>KJB10359 pep chromosome:Graimondii2_0_v6:1:34747570:34750387:1 gene:B456_001G197200 transcript:KJB10359 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCI1 [Source:Projected from Arabidopsis thaliana (AT5G38480) UniProtKB/TrEMBL;Acc:A0A178UA42] MSSTGSTREENVYMAKLAEQAERYEEMVEFMEKVVKAVNVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESKGNGDHVAIIKEYRGKIEAELSKICDGILSLLESHLIPSASTAESKVFYLKMKGDYHRYLAEFKTGVERKEAAESTLLAYKSAQAFDDAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDEAGDEIKEAPLKPESGEGQQ >KJB10362 pep chromosome:Graimondii2_0_v6:1:34747633:34750387:1 gene:B456_001G197200 transcript:KJB10362 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCI1 [Source:Projected from Arabidopsis thaliana (AT5G38480) UniProtKB/TrEMBL;Acc:A0A178UA42] MSSTGSTREENVYMAKLAEQAERYEEMVEFMEKVVKAVNVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESKGNGDHVAIIKEYRGKIEAELSKICDGILSLLESHLIPSASTAESKVFYLKMKGDYHRYLAEFKTGVERKEAAESTLLAYKSAQDIAIVELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDEAGDEIKEAPLKPESGEGQQ >KJB10357 pep chromosome:Graimondii2_0_v6:1:34747570:34750387:1 gene:B456_001G197200 transcript:KJB10357 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCI1 [Source:Projected from Arabidopsis thaliana (AT5G38480) UniProtKB/TrEMBL;Acc:A0A178UA42] MSSTGSTREENVYMAKLAEQAERYEEMVEFMEKVVKAVNVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESKGNGDHVAIIKEYRGKIEAELSKICDGILSLLESHLIPSASTAESKVFYLKMKGDYHRYLAEFKTGVERKEAAESTLLAYKSAQDIAIVELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDEAGDEIKEAPLKPESGEGQQ >KJB10361 pep chromosome:Graimondii2_0_v6:1:34747570:34750387:1 gene:B456_001G197200 transcript:KJB10361 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCI1 [Source:Projected from Arabidopsis thaliana (AT5G38480) UniProtKB/TrEMBL;Acc:A0A178UA42] MSSTGSTREENVYMAKLAEQAERYEEMVEFMEKVVKAVNVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESKGNGDHVAIIKEYRGKIEAELSKICDGILSLLESHLIPSASTAESKVFYLKMKGDYHRYLAEFKTGVERKEAAESTLLAYKSAQDIAIVELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDEAGDEIKEAPLKPESGEGQQ >KJB10358 pep chromosome:Graimondii2_0_v6:1:34748364:34749560:1 gene:B456_001G197200 transcript:KJB10358 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCI1 [Source:Projected from Arabidopsis thaliana (AT5G38480) UniProtKB/TrEMBL;Acc:A0A178UA42] MSSTGSTREENVYMAKLAEQAERYEEMVEFMEKVVKAVNVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESKGNGDHVAIIKEYRGKIEAELSKICDGILSLLESHLIPSASTAESKVFYLKMKGDYHRYLAEFKTGVERKEAAESTLLAYKSAQLSYDGRILLLLNLLLLTQLDSGLLLTSLFSTTKSSTHLIVPAILQNRLLMMQFQSWILWVRNLTRIVH >KJB10360 pep chromosome:Graimondii2_0_v6:1:34748364:34749209:1 gene:B456_001G197200 transcript:KJB10360 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCI1 [Source:Projected from Arabidopsis thaliana (AT5G38480) UniProtKB/TrEMBL;Acc:A0A178UA42] MSSTGSTREENVYMAKLAEQAERYEEMVEFMEKVVKAVNVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESKGNGDHVAIIKEYRGKIEAELSKICDGILSLLESHLIPSASTAESKVFYLKMKGDYHRYLAEFKTGVERKEAAESTLLAYKSAQDIAIVELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQGTS >KJB10363 pep chromosome:Graimondii2_0_v6:1:34747546:34750531:1 gene:B456_001G197200 transcript:KJB10363 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCI1 [Source:Projected from Arabidopsis thaliana (AT5G38480) UniProtKB/TrEMBL;Acc:A0A178UA42] MSSTGSTREENVYMAKLAEQAERYEEMVEFMEKVVKAVNVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESKGNGDHVAIIKEYRGKIEAELSKICDGILSLLESHLIPSASTAESKVFYLKMKGDYHRYLAEFKTGVERKEAAESTLLAYKSAQDIAIVELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDEAGDEIKEAPLKPESGEGQQ >KJB06603 pep chromosome:Graimondii2_0_v6:1:14290721:14294245:1 gene:B456_001G118400 transcript:KJB06603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVNRVINRARASISNCQYLLSHDPKISPSPPPHFASKSSIRFFDVYKLASKENIKKERARLADELNRGYFDDMSELKQHGGKIALANKILIPGIAARKFPAVDVIYSDGRKSKLPIVFDASGVDASKLAVPEASLVCLSFRANSQAMVDSWSKPFYDAFSESKSVQLYEMARLWFCKARRVVIAYLLHESSSGGKIGSLAFFRLNKFTVLRDLNFVAENLGVEFFKGTVVV >KJB06599 pep chromosome:Graimondii2_0_v6:1:14290736:14293580:1 gene:B456_001G118400 transcript:KJB06599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVNRVINRARASISNCQYLLSHDPKISPSPPPHFASKSSIRFFDVYKLASKENIKKERARLADELNRGYFDDMSELKQHGGKAMVDSWSKPFYDAFSESKSVQLYEVSFIDSWLLCLNPIKRLLLQFMRKSSDGAKDALQRHIVYSFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGFGFAKQEELSSLIYCTKVLLEEK >KJB06606 pep chromosome:Graimondii2_0_v6:1:14290737:14293580:1 gene:B456_001G118400 transcript:KJB06606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELKQHGGKIALANKILIPGIAARKFPAVDVIYSDGRKSKLPIVFDASGVDASKLAVPEASLVCLSFRANSQAMVDSWSKPFYDAFSESKSVQLYEVSFIDSWLLCLNPIKRLLLQFMRKSSDGAKDALQRHIVYSFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGFGFAKQEELSSLIYCTKVLLEEK >KJB06605 pep chromosome:Graimondii2_0_v6:1:14290737:14293580:1 gene:B456_001G118400 transcript:KJB06605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELKQHGGKAMVDSWSKPFYDAFSESKSVQLYEVSFIDSWLLCLNPIKRLLLQFMRKSSDGAKDALQRHIVYSFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGFGFAKQEELSSLIYCTKVLLEEK >KJB06604 pep chromosome:Graimondii2_0_v6:1:14290737:14292977:1 gene:B456_001G118400 transcript:KJB06604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELKQHGGKIALANKILIPGIAARKFPAVDVIYSDGRKSKLPIVFDASGVDASKLAVPEASLVCLSFRANSQAMVDSWSKPFYDAFSESKSVQLYEVSFIDSWLLCLNPIKRLLLQFMRKSSDGAKDALQRHIVYSFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGFGFAKQEELSSLIYCTKVLLEEK >KJB06609 pep chromosome:Graimondii2_0_v6:1:14291311:14292802:1 gene:B456_001G118400 transcript:KJB06609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELKQHGGKIALANKILIPGIAARKFPAVDVIYSDGRKSKLPIVFDASGVDASKLAVPEASLVCLSFRANSQAMVDSWSKPFYDAFSESKSVQLYEVSFIDSWLLCLNPIKRLLLQFMRKSSDGAKDALQRHIVYSFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGFGFAKQEELSSLIYCTKVLLEEK >KJB06602 pep chromosome:Graimondii2_0_v6:1:14290737:14293580:1 gene:B456_001G118400 transcript:KJB06602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVNRVINRARASISNCQYLLSHDPKISPSPPPHFASKSSIRFFDVYKLASKENIKKERARLADELNRGYFDDMSELKQHGGKIALANKILIPGIAARKFPAVDVIYSDGRKSKLPIVFDASGVDASKLAVPEASLVCLSFRANSQVSFIDSWLLCLNPIKRLLLQFMRKSSDGAKDALQRHIVYSFGDHYYFRKELKILNLLTG >KJB06595 pep chromosome:Graimondii2_0_v6:1:14290737:14293580:1 gene:B456_001G118400 transcript:KJB06595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVNRVINRARASISNCQYLLSHDPKISPSPPPHFASKSSIRFFDVYKLASKENIKKERARLADELNRGYFDDMSELKQHGGKIALANKILIPGIAARKFPAVDVIYSDGRKSKLPIVFDASGVDASKLAVPEASLVCLSFRANSQAMVDSWSKPFYDAFSESKSVQLYEVSFIDSWLLCLNPIKRLLLQFMRKSSDGAKDALQRHIVYSFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGFGFAKQEELSSLIYCTKVLLEEK >KJB06610 pep chromosome:Graimondii2_0_v6:1:14290737:14293580:1 gene:B456_001G118400 transcript:KJB06610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVNRVINRARASISNCQYLLSHDPKISPSPPPHFASKSSIRFFDVYKLASKENIKKERARLADELNRGYFDDMSELKQHGGKIALANKILIPGIAARKFPAVDVIYSDGRKSKLPIVFDASGVDASKLAVPEASLVCLSFRANSQAMVDSWSKPFYDAFSESKSVQLYEALVLQSKKSCHRLFIARKFFWRKNRFLQPSKALGFPF >KJB06598 pep chromosome:Graimondii2_0_v6:1:14290721:14294245:1 gene:B456_001G118400 transcript:KJB06598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVNRVINRARASISNCQYLLSHDPKISPSPPPHFASKSSIRFFDVYKLASKENIKKERARLADELNRGYFDDMSELKQHGGKIALANKILIPGIAARKFPAVDVIYSDGRKSKLPIVFDASGVDASKLAVPEASLVCLSFRANSQAMVDSWSKPFYDAFSESKSVQLYEVSFIDSWLLCLNPIKRLLLQFMRKSSDGAKDALQRHIVYSFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGFGFAKQEELSSLIYCTKVLLEEK >KJB06600 pep chromosome:Graimondii2_0_v6:1:14290737:14293580:1 gene:B456_001G118400 transcript:KJB06600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVNRVINRARASISNCQYLLSHDPKISPSPPPHFASKSSIRFFDVYKLASKENIKKERARLADELNRGYFDDMSELKQHGGKIALANKILIPGIAARKFPAVDVIYSDGRKSKLPIVFDASGVDASKLAVPEASLVCLSFRANSQAMVDSWSKPFYDAFSESKSVQLYEVSFIDSWLLCLNPIKRLLLQFMRKSSDGAKDALQRHIVYSFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGFGFAKQEELSSLIYCTKVLLEEK >KJB06607 pep chromosome:Graimondii2_0_v6:1:14290737:14293580:1 gene:B456_001G118400 transcript:KJB06607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELKQHGGKIALANKILIPGIAARKFPAVDVIYSDGRKSKLPIVFDASGVDASKLAVPEASLVCLSFRANSQVSFIDSWLLCLNPIKRLLLQFMRKSSDGAKDALQRHIVYSFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGFGFAKQEELSSLIYCTKVLLEEK >KJB06611 pep chromosome:Graimondii2_0_v6:1:14290721:14294245:1 gene:B456_001G118400 transcript:KJB06611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVNRVINRARASISNCQYLLSHDPKISPSPPPHFASKSSIRFFDVYKLASKENIKKERARLADELNRGYFDDMSELKQHGGKIALANKILIPGIAARKFPAVDVIYSDGRKSKLPIVFDASGVDASKLAVPEASLVCLSFRANSQAMVDSWSKPFYDAFSESKSVQLYEVSFIDSWLLCLNPIKRLLLQFMRKSSDGAKDALQRHIVYSFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGFGFAKQEELSSLIYCTKVLLEEK >KJB06596 pep chromosome:Graimondii2_0_v6:1:14290721:14294245:1 gene:B456_001G118400 transcript:KJB06596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVNRVINRARASISNCQYLLSHDPKISPSPPPHFASKSSIRFFDVYKLASKENIKKERARLADELNRGYFDDMSELKQHGGKIALANKILIPGIAARKFPAVDVIYSDGRKSKLPIVFDASGVDASKLAVPEASLVCLSFRANSQVSFIDSWLLCLNPIKRLLLQFMRKSSDGAKDALQRHIVYSFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGFGFAKQEELSSLIYCTKVLLEEK >KJB06597 pep chromosome:Graimondii2_0_v6:1:14290737:14293580:1 gene:B456_001G118400 transcript:KJB06597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVNRVINRARASISNCQYLLSHDPKISPSPPPHFASKSSIRFFDVYKLASKENIKKERARLADELNRGYFDDMSELKQHGGKIALANKILIPGIAARKFPAVDVIYSDGRKSKLPIVFDASGVDASKLAVPEASLVCLSFRANSQAMVDSWSKPFYDAFSESKSVQLYEVYFPA >KJB06601 pep chromosome:Graimondii2_0_v6:1:14290737:14293580:1 gene:B456_001G118400 transcript:KJB06601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELKQHGGKAMVDSWSKPFYDAFSESKSVQLYEVSFIDSWLLCLNPIKRLLLQFMRKSSDGAKDALQRHIVYSFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGFGFAKQEELSSLIYCTKVLLEEK >KJB06608 pep chromosome:Graimondii2_0_v6:1:14290737:14293580:1 gene:B456_001G118400 transcript:KJB06608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELKQHGGKIALANKILIPGIAARKFPAVDVIYSDGRKSKLPIVFDASGVDASKLAVPEASLVCLSFRANSQVSFIDSWLLCLNPIKRLLLQFMRKSSDGAKDALQRHIVYSFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGFGFAKQEELSSLIYCTKVLLEEK >KJB06366 pep chromosome:Graimondii2_0_v6:1:78527:87053:-1 gene:B456_001G000900 transcript:KJB06366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRMIKTFLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSSFPKWPAKDLATVVPNLESTGIDLLSKMLCMDPSKRITARSALEHEYLKDIGFVP >KJB06367 pep chromosome:Graimondii2_0_v6:1:78527:87053:-1 gene:B456_001G000900 transcript:KJB06367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRMIKTFLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSSFPKWPAKDLATVVPNLESTGIDLLSKMLCMDPSKRITARSALEHEYLKDIGFVP >KJB06368 pep chromosome:Graimondii2_0_v6:1:78917:85709:-1 gene:B456_001G000900 transcript:KJB06368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCPEFGKDPRMIKTFLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSSFPKWPAKDLATVVPNLESTGIDLLSKMLCMDPSKRITARSALEHEYLKDIGFVP >KJB06370 pep chromosome:Graimondii2_0_v6:1:78527:87060:-1 gene:B456_001G000900 transcript:KJB06370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRMIKTFLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSSFPKWPAKDLATVVPNLESTGIDLLSKMLCMDPSKRITARSALEHEYLKDIGFVP >KJB06369 pep chromosome:Graimondii2_0_v6:1:78917:85709:-1 gene:B456_001G000900 transcript:KJB06369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCPEFGKDPRMIKTFLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSSFPKWPAKDLATVVPNLESTGIDLLSKMLCMDPSKRITARSALEHEYLKDIGFVP >KJB06365 pep chromosome:Graimondii2_0_v6:1:78527:85911:-1 gene:B456_001G000900 transcript:KJB06365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKILLLYNVCNRFSLSFSDCTLGDRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRMIKTFLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSSFPKWPAKDLATVVPNLESTGIDLLSKMLCMDPSKRITARSALEHEYLKDIGFVP >KJB06371 pep chromosome:Graimondii2_0_v6:1:83253:87053:-1 gene:B456_001G000900 transcript:KJB06371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRMIKTFLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRCCTLLIVASILF >KJB06724 pep chromosome:Graimondii2_0_v6:1:23042877:23045498:1 gene:B456_001G159800 transcript:KJB06724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKPLLHGTPVYYYCPPALEENESVTPHDGSASAMAARFLVNLDLEVPDTFRPPPAPLPYDVVLGCPQSTDSESFRETLSRGSFETLPTCEDLEESDCKTQSGLLLSPRKSEVSKLTESKESTAEEEDACPICLEEYGTENPKLITKCEHHFHLSCILEWMERSDTCPICGQVCLC >KJB06725 pep chromosome:Graimondii2_0_v6:1:23042863:23046355:1 gene:B456_001G159800 transcript:KJB06725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKPLLHGTPVYYYCPPALEENESVTPHDGSASAMAARFLVNLDLEVPDTFRPPPAPLPYDVVLGCPQSTDSESFRETLSRGSFETLPTCEDLEESDCKTQSGLLLSPRKSEVSKLTESKESTAEEEDACPICLEEYGTENPKLITKCEHHFHLSCILEWMERSDTCPICGNDIKPDFWSMTLGMLQIHIGFWFCSKSDDYWGGWRKLQGQWRDQVQIEMSFILWKGMRVVSLPCFK >KJB06728 pep chromosome:Graimondii2_0_v6:1:23042877:23046335:1 gene:B456_001G159800 transcript:KJB06728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKPLLHGTPVYYYCPPALEENESVTPHDGSASAMAARFLVNLDLEVPDTFRPPPAPLPYDVVLGCPQSTDSESFRETLSRGSFETLPTCEDLEESDCKTQSGLLLSPRKSEVSKLTESKESTAEEEDACPICLEEYGTENPKLITKCEHHFHLSCILEWMERSDTCPICGNDIKPDFWSMTLGMLQIHIGFWFCSKSDGVPPRLNFIRYLVI >KJB06729 pep chromosome:Graimondii2_0_v6:1:23044033:23045785:1 gene:B456_001G159800 transcript:KJB06729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKPLLHGTPVYYYCPPALEENESVTPHDGSASAMAARFLVNLDLEVPDTFRPPPAPLPYDVVLGCPQSTDSESFRETLSRGSFETLPTCEDLEESDCKTQSGLLLSPRKSEVSKLTESKESTAEEEDACPICLEEYGTENPKLITKCEHHFHLSCILEWMERSDTCPICGQEMILNQTFGQ >KJB06730 pep chromosome:Graimondii2_0_v6:1:23044533:23046335:1 gene:B456_001G159800 transcript:KJB06730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFLVNLDLEVPDTFRPPPAPLPYDVVLGCPQSTDSESFRETLSRGSFETLPTCEDLEESDCKTQSGLLLSPRKSEVSKLTESKESTAEEEDACPICLEEYGTENPKLITKCEHHFHLSCILEWMERSDTCPICGQEMILNQTFGQ >KJB06723 pep chromosome:Graimondii2_0_v6:1:23043693:23046335:1 gene:B456_001G159800 transcript:KJB06723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKPLLHGTPVYYYCPPALEENESVTPHDGSASAMAARFLVNLDLEVPDTFRPPPAPLPYDVVLGCPQSTDSESFRETLSRGSFETLPTCEDLEESDCKTQSGLLLSPRKSEVSKLTESKESTAEEEDACPICLEEYGTENPKLITKCEHHFHLSCILEWMERSDTCPICGQEMILNQTFGQ >KJB06726 pep chromosome:Graimondii2_0_v6:1:23042877:23046335:1 gene:B456_001G159800 transcript:KJB06726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKPLLHGTPVYYYCPPALEENESVTPHDGSASAMAARFLVNLDLEVPDTFRPPPAPLPYDVVLGCPQSTDSESFRETLSRGSFETLPTCEDLEESDCKTQSGLLLSPRKSEVSKLTESKESTAEEEDACPICLEEYGTENPKLITKCEHHFHLSCILEWMERSDTCPICDTYWFLVLQQV >KJB06727 pep chromosome:Graimondii2_0_v6:1:23044033:23045785:1 gene:B456_001G159800 transcript:KJB06727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKPLLHGTPVYYYCPPALEENESVTPHDGSASAMAARFLVNLDLEVPDTFRPPPAPLPYDVVLGCPQSTDSESFRETLSRGSFETLPTCEDLEESDCKTQSGLLLSPRKSEVSKLTESKESTAEEEDACPICLEEYGTENPKLITKCEHHFHLSCILEWMERSDTCPICGQEMILNQTFGQ >KJB08827 pep chromosome:Graimondii2_0_v6:1:11981623:11984181:-1 gene:B456_001G106400 transcript:KJB08827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLKVRAVRCDNGEKTDISRVFLVEQVKRMLDKIQQNLFDVAKQKRDACIEVVKTWDEFVKALGQKKLILAPWCDEEEVEKDVKARTRGEMGAAKSLFVPHSNSLNSQKVRHRLRKDHGIEGGIPVVFSLEKPKAQLLPFRGPSGEEDNPSDYQVRMLASYFCSFKVLCLIT >KJB08826 pep chromosome:Graimondii2_0_v6:1:11981213:11984881:-1 gene:B456_001G106400 transcript:KJB08826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLKVRAVRCDNGEKTDISRVFLVEQVKRMLDKIQQNLFDVAKQKRDACIEVVKTWDEFVKALGQKKLILAPWCDEEEVEKDVKARTRGEMGAAKSLFVPHSNSLNSQKVRHRLRKDHGIEGGIPVVFSLEKPKAQLLPFRGPSGEEDNPSDYQWGNSSNILKQNLK >KJB08828 pep chromosome:Graimondii2_0_v6:1:11981213:11984949:-1 gene:B456_001G106400 transcript:KJB08828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLKVRAVRCDNGEKTDISRVFLVEQVKRMLDKIQQNLFDVAKQKRDACIEVVKTWDEFVKALGQKKLILAPWCDEEEVEKDVKARTRGEMGAAKSLFVPHSNSLNSQKVRHRLRKDHGIEGGIPVVFSLEKPKAQLLPFRGPSGEEDNPSDYQWGNSSNILKQNLK >KJB06559 pep chromosome:Graimondii2_0_v6:1:144498:148527:-1 gene:B456_001G001300 transcript:KJB06559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESEEQQLSKKAAKKEAAKLEKMRRRQEAAALASAASSLSVEEEDSYSSNYGDVTLPELKSCSKPDAGNWSIAISSMDWTRVGALTEELKEKEVLIRGRVHTTRPVSKNMAFVVVRERGFTVQCLVATHEAGVSRQMVKFVAALNRESIIDAIGMVSVPGNPIKGTTQQVEIHVRKLYCVNKAMPTLPINVEDAARSDAEIEAALQAGDKLPRVNQDTRLNFRVLDIRTPANQGIFRIQCQVGTIFRQFLLSEGFVEIHTPKLIAGSSEGLDVEMEIKKHYSEVMDIVDRLFVTMFDSLNEKCRMELEAVGKQYPFEPLKYKPKTLRLTFEEGVQMLKDAGVEVDPLGDLNTEAERKLGQLVLEKYDTEFYILHRYPLAVRPFYTMPCYDNALYSNSFDVFIRGEEIISGAQRVHVPEFLAERAQACGIDVNTISTYVDSFRYGVPPHGGFGVGLERVVMLFCALGNIRKTSLYPRDPQRIAP >KJB06560 pep chromosome:Graimondii2_0_v6:1:146634:148527:-1 gene:B456_001G001300 transcript:KJB06560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESEEQQLSKKAAKKEAAKLEKMRRRQEAAALASAASSLSVEEEDSYSSNYGDVTLPELKSCSKPDAGNWSIAISSMDWTRVGALTEELKEKEVLIRGRVHTTRPVSKNMAFVVVRERGFTVQCLVATHEAGVSRQMVKFVAALNRESIIDAIGMVSVPGNPIKGTTQQVEIHVRKLYCVNKAMPTLPINVEDAARSDAEIEAALQAGDKLPRVNQDTRLNFRVLDIRTPANQGIFRIQCQVGTIFRQFLLSEGFVEIHTPKLIAGSSEGGSAVFKLDYKGQPACLAQSPQLHKQMAICGDFGRVFEVGPVFRAEDSYTHRHLCEFTGLDVEMEIKKHYSEVMDIVDRLFVTMFDSLNEKCRMELEAVGKQYPFEPLKVFTHSSVLQYLYIF >KJB06558 pep chromosome:Graimondii2_0_v6:1:144481:148713:-1 gene:B456_001G001300 transcript:KJB06558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESEEQQLSKKAAKKEAAKLEKMRRRQEAAALASAASSLSVEEEDSYSSNYGDVTLPELKSCSKPDAGNWSIAISSMDWTRVGALTEELKEKEVLIRGRVHTTRPVSKNMAFVVVRERGFTVQCLVATHEAGVSRQMVKFVAALNRESIIDAIGMVSVPGNPIKGTTQQVEIHVRKLYCVNKAMPTLPINVEDAARSDAEIEAALQAGDKLPRVNQDTRLNFRVLDIRTPANQGIFRIQCQVGTIFRQFLLSEGFVEIHTPKLIAGSSEGGSAVFKLDYKGQPACLAQSPQLHKQMAICGDFGRVFEVGPVFRAEDSYTHRHLCEFTGLDVEMEIKKHYSEVMDIVDRLFVTMFDSLNEKCRMELEAVGKQYPFEPLKYKPKTLRLTFEEGVQMLKDAGVEVDPLGDLNTEAERKLGQLVLEKYDTEFYILHRYPLAVRPFYTMPCYDNALYSNSFDVFIRGEEIISGAQRVHVPEFLAERAQACGIDVNTISTYVDSFRYGVPPHGGFGVGLERVVMLFCALGNIRKTSLYPRDPQRIAP >KJB06575 pep chromosome:Graimondii2_0_v6:1:9845659:9848268:-1 gene:B456_001G090200 transcript:KJB06575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPATATQAAAPAVPQLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPDGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKAINALEQHIKNLLSPSTPFFFNTLYDPFRDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRFVDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGVKTGLPYIYHSKASNPFVNLRKEYKGIFWQEEIIPFFQQTVLPKDCTTVQKCYIELAKQVKEKLSKVDPYFDKLAEAMVTWIEAWDELNPTGSSVTNGKAK >KJB07500 pep chromosome:Graimondii2_0_v6:1:3324212:3328258:-1 gene:B456_001G036200 transcript:KJB07500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMVKDEWIRAAITDDNVVVELLVRLKQAQAAPPAPKSALPALRWGIRQPRSRSMLLRCDAKRDGDFNVSARGSPTTPLCWSGGSGDASPSAADGFEETSKHVIRSPPVAPSRSKGTASSESNRTSTKRSRRKKTFAELKEEENLLLKERVHLEKEIASTRATCKEHRATNENLKRIRLDLNMDTVKNSSLIADESEKVPCLRVPSSSDSTLPPEALDDDRKPSLDSCNVGKDNSSEKSVFMLPDLNMMPAEDDSCPAKLYWTS >KJB09357 pep chromosome:Graimondii2_0_v6:1:17992327:17996496:-1 gene:B456_001G137100 transcript:KJB09357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCDTTNILFGKIKVLDPENASKIMGYILIQDLADMDLLRLAFGPETLLQSLVFKAKAHLGLSSSTFSTQLNPISRPNSSNNSQNPLPPLSPSIIPRNGFLEFSKKVPSWSPASSPKSSPFLSYENIRSGSLLVTSKTGDSSTDLIDENQMSDYFSFLNDSSSSRNEDFIGHRRSFSESDACFGTVEEAGGFGGLVGGYKPCLYFARGFCKNGDNCKFSHGLGGLADNVDINGVVFGSPSKMDLLYHQQEEMMRMRAAAHQQRFAAAQLVAGVSSPLPYEKKMNLLLQQQTEAQRAAALMFGEEICKFPQGRAEREFFAMGLTEKANSASKQIYLTFPADSTFKDEDVSNYFSMFGPVQDVRIPYQQKRMFGFVTFVHPETVKHILARGNPHYICDSRVLVKPYKEKGKVPDKKQHLPERGNFSSCSSPSGLDSREPYDPHVGAKMFYNASEMMLRREFEEQADLQHAIELQRRRFVNLQLPDFKNDGIHHHQRSLSVGGSVSLPAYSHASQNVHLSDSIKQEGSEGLLISLSRSISYYYLFVGFVNLWWLCICEIVDSFLFSCPEKENKITTGSSRCLSL >KJB09360 pep chromosome:Graimondii2_0_v6:1:17991007:17996610:-1 gene:B456_001G137100 transcript:KJB09360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCDTTNILFGKIKVLDPENASKIMGYILIQDLADMDLLRLAFGPETLLQSLVFKAKAHLGLSSSTFSTQLNPISRPNSSNNSQNPLPPLSPSIIPRNGFLEFSKKVPSWSPASSPKSSPFLSYENIRSGSLLVTSKTGDSSTDLIDENQMSDYFSFLNDSSSSRNEDFIGHRRSFSESDACFGTVEEAGGFGGLVGGYKPCLYFARGFCKNGDNCKFSHGLGGLADNVDINGVVFGSPSKMDLLYHQQEEMMRMRAAAHQQRFAAAQLVAGVSSPLPYEKKMNLLLQQQTEAQRAAALMFGEEICKFPQGRAEREFFAMGLTEKANSASKQIYLTFPADSTFKDEDVSNYFSMFGPVQDVRIPYQQKRMFGFVTFVHPETVKHILARGNPHYICDSRVLVKPYKEKGKVPDKKQHLPERGNFSSCSSPSGLDSREPYDPHVGAKMFYNASEMMLRREFEEQADLQHAIELQRRRFVNLQLPDFKNDGIHHHQRSLSVGGSVSLPAYSHASQNVHLSDSIKQEGSEVNGGNTAAAPPVTVNAAEEEEVNSACVQKGGVGHTQDQECSNPKGCHKSSLEHALPDSPFASPEKSTESHLSEFPAATGNPNLCAMSSSENDPLLLATSTSGMTSI >KJB09359 pep chromosome:Graimondii2_0_v6:1:17991007:17996682:-1 gene:B456_001G137100 transcript:KJB09359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCDTTNILFGKIKVLDPENASKIMGYILIQDLADMDLLRLAFGPETLLQSLVFKAKAHLGLSSSTFSTQLNPISRPNSSNNSQNPLPPLSPSIIPRNGFLEFSKKVPSWSPASSPKSSPFLSYENIRSGSLLVTSKTGDSSTDLIDENQMSDYFSFLNDSSSSRNEDFIGHRRSFSESDACFGTVEEAGGFGGLVGGYKPCLYFARGFCKNGDNCKFSHGLGGLADNVDINGVVFGSPSKMDLLYHQQEEMMRMRAAAHQQRFAAAQLVAGVSSPLPYEKKMNLLLQQQTEAQRAAALMFGEEICKFPQGRAEREFFAMGLTEKANSASKQIYLTFPADSTFKDEDVSNYFSMFGPVQDVRIPYQQKRMFGFVTFVHPETVKHILARGNPHYICDSRVLVKPYKEKGKVPDKKQHLPERGNFSSCSSPSGLDSREPYDPHVGAKMFYNASEMMLRREFEEQADLQHAIELQRRRFVNLQLPDFKNDGIHHHQRSLSVGGSVSLPAYSHASQNVHLSDSIKQEVNGGNTAAAPPVTVNAAEEEEVNSACVQKGGVGHTQDQECSNPKGCHKSSLEHALPDSPFASPEKSTESHLSEFPAATGNPNLCAMSSSENDPLLLATSTSGMTSI >KJB09358 pep chromosome:Graimondii2_0_v6:1:17991007:17996772:-1 gene:B456_001G137100 transcript:KJB09358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCDTTNILFGKIKVLDPENASKIMGYILIQDLADMDLLRLAFGPETLLQSLVFKAKAHLGLSSSTFSTQLNPISRPNSSNNSQNPLPPLSPSIIPRNGFLEFSKKVPSWSPASSPKSSPFLSYENIRSGSLLVTSKTGDSSTDLIDENQMSDYFSFLNDSSSSRNEDFIGHRRSFSESDACFGTVEEAGGFGGLVGGYKPCLYFARGFCKNGDNCKFSHGLGGLADNVDINGVVFGSPSKMDLLYHQQEEMMRMRAAAHQQRFAAAQLVAGVSSPLPYEKKMNLLLQQQTEAQRAAALMFGEEICKFPQGRAEREFFAMGLTEKANSASKQIYLTFPADSTFKDEDVSNYFSMFGPVQDVRIPYQQKRMFGFVTFVHPETVKHILARGNPHYICDSRVLVKPYKEKGKVPDKKQHLPERGNFSSCSSPSGLDSREPYDPHVGAKMFYNASEMMLRREFEEQADLQHAIELQRRRFVNLQLPDFKNDGIHHHQRSLSVGGSVSLPAYSHASQNVHLSDSIKQEGSEVNGGNTAAAPPVTVNAAEEEEVNSACVQKGGVGHTQDQECSNPKGCHKSSLEHALPDSPFASPEKSTESHLSEFPAATGNPNLCAMSSSENDPLLLATSTSGMTSI >KJB07967 pep chromosome:Graimondii2_0_v6:1:5438812:5439758:1 gene:B456_001G056100 transcript:KJB07967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEKLKLKKNMLAKAWDRCKSLGNSGKNSSVNPLMKKSKSCHIPSSSMEDHKKKNKVPPEGCFSVYVGSERQRFVIKTELVNHPLFKMLLEDAELEYGFNSEGPLLLPCDVDLFYKVLAEMDDNGDDGEMSTRFVCSFGCSPSRHRLSSRSTNKGYGSYKALCSSPMIKLNSY >KJB09076 pep chromosome:Graimondii2_0_v6:1:15913197:15915731:1 gene:B456_001G126700 transcript:KJB09076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEHVISRCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNAAIFHHPTLGDFELQHLPMGTNDSELDERIIQHLAAAAAMGRARHIARREGMRNRSSIQGRPQFLVFSTHPNAPSTGPISSLVATQGEVQPAPTITVGTPSSPSRTVGEESLVSITPETSAQADQQSASASRSNVIFVNGQGNSVPVNNRRSPNQSSPNSQDRAGPSDFQSFSESLKSRFNAVSMRYRESISKSTRGWKERFFSRNTSMAGLGFEIRGEVNDGSNATVLRTIEPVETRDNIASPTVSSILEDGSNPESNNHQIVDAGVETPLTETSAQASCAASSASK >KJB09070 pep chromosome:Graimondii2_0_v6:1:15910254:15915684:1 gene:B456_001G126700 transcript:KJB09070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKSEAHITSAAAFVEGGIQDACDDACSICLEAFCESDPSTVTCCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNAAIFHHPTLGDFELQHLPMGTNDSELDERIIQHLAAAAAMGRARHIARREGMRNRSSIQGRPQFLVFSTHPNAPSTGPISSLVATQGEVQPAPTITVGTPSSPSRTVGEESLVSITPETSAQADQQSASASRSNVIFVNGQGNSVPVNNRRSPNQSSPNSQDRAGPSDFQSFSESLKSRFNAVSMRYRESISKSTRGWKERFFSRNTSMAGLGFEIRGEVNDGSNATVLRTIEPVETRDNIASPTVSSILEDGSNPESNNHQIVDAGVETPLTETSAQASCAASSASK >KJB09075 pep chromosome:Graimondii2_0_v6:1:15913197:15915731:1 gene:B456_001G126700 transcript:KJB09075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEHVISRCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNAAIFHHPTLGDFELQHLPMGTNDSELDERIIQHLAAAAAMGRARHIARREGMRNRSSIQGRPQFLVFSTHPNAPSTGPISSLVATQGEVQPAPTITVGTPSSPSRTVGEESLVSITPETSAQADQQSASASRSNVIFVNGQGNSVPVNNRRSPNQSSPNSQDRAGPSDFQSFSESLKSRFNAVSMRESISKSTRGWKERFFSRNTSMAGLGFEIRGEVNDGSNATVLRTIEPVETRDNIASPTVSSILEDGSNPESNNHQIVDAGVETPLTETSAQASCAASSASK >KJB09071 pep chromosome:Graimondii2_0_v6:1:15910210:15915684:1 gene:B456_001G126700 transcript:KJB09071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKSEAHITSAAAFVEGGIQDACDDACSICLEAFCESDPSTVTCCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNAAIFHHPTLGDFELQHLPMGTNDSELDERIIQHLAAAAAMGRARHIARREGMRNRSSIQGRPQFLVFSTHPNAPSTGPISSLVATQGEVQPAPTITVGTPSSPSRTVGEESLVSITPETSAQADQQSASASRSNVIFVNGQGNSVPVNNRRSPNQSSPNSQDRAGPSDFQSFSESLKSRFNAVSMRYRESISKSTRGWKERFFSRNTSMAGLGFEIRGEVNDGSNATVLRTIEPVETRDNIASPTVSSILEDGSNPESNNHQIVDAGVETPLTETSAQASCAASSASK >KJB09067 pep chromosome:Graimondii2_0_v6:1:15910063:15915731:1 gene:B456_001G126700 transcript:KJB09067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKSEAHITSAAAFVEGGIQDACDDACSICLEAFCESDPSTVTCCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNAAIFHHPTLGDFELQHLPMGTNDSELDERIIQHLAAAAAMGRARHIARREGMRNRSSIQGRPQFLVFSTHPNAPSTGPISSLVATQGEVQPAPTITVGTPSSPSRTVGEESLVSITPETSAQADQQSASASRSNVIFVNGQGNSVPVNNRRSPNQSSPNSQDRAGPSDFQSFSESLKSRFNAVSMRESISKSTRGWKERFFSRNTSMAGLGFEIRGEVNDGSNATVLRTIEPVETRDNIASPTVSSILEDGSNPESNNHQIVDAGVETPLTETSAQASCAASSASK >KJB09074 pep chromosome:Graimondii2_0_v6:1:15910254:15915684:1 gene:B456_001G126700 transcript:KJB09074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKSEAHITSAAAFVEGGIQDACDDACSICLEAFCESDPSTVTCCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNAAIFHHPTLGDFELQHLPMGTNDSELDERIIQHLAAAAAMGRARHIARREGMRNRSSIQGRPQFLVFSTHPNAPSTGPISSLVATQGEVQPAPTITVGTPSSPSRTVGEESLVSITPETSAQADQQSASASRSNVIFVNGQGNSVPVNNRRSPNQSSPNSQDRAGPSDFQSFSESLKSRFNAVSMRYRESISKSTRGWKERFFSRNTSMAGLGFEIRGEVNDGSNATVLRTIEPVETRDNIASPTVSSILEDGSNPESNNHQIVDAGVETPLTETSAQASCAASSASK >KJB09077 pep chromosome:Graimondii2_0_v6:1:15910299:15915684:1 gene:B456_001G126700 transcript:KJB09077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWQPISLKDPTSQELLEAVERERSFRFNPSRNAAIFHHPTLGDFELQHLPMGTNDSELDERIIQHLAAAAAMGRARHIARREGMRNRSSIQGRPQFLVFSTHPNAPSTGPISSLVATQGEVQPAPTITVGTPSSPSRTVGEESLVSITPETSAQADQQSASASRSNVIFVNGQGNSVPVNNRRSPNQSSPNSQDRAGPSDFQSFSESLKSRFNAVSMRYRESISKSTRGWKERFFSRNTSMAGLGFEIRGEVNDGSNATVLRTIEPVETRDNIASPTVSSILEDGSNPESNNHQIVDAGVETPLTETSAQASCAASSASK >KJB09066 pep chromosome:Graimondii2_0_v6:1:15910063:15915731:1 gene:B456_001G126700 transcript:KJB09066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKSEAHITSAAAFVEGGIQDACDDACSICLEAFCESDPSTVTCCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNAAIFHHPTLGDFELQHLPMGTNDSELDERIIQHLAAAAAMGRARHIARREGMRNRSSIQGRPQFLVFSTHPNAPSTGPISSLVATQGEVQPAPTITVGTPSSPSRTVGEESLVSITPETSAQADQQSASASRSNVIFVNGQGNSVPVNNRRSPNQSSPNSQDRAGPSDFQSFSESLKSRFNAVSMRYRESISKSTRGWKERFFSRNTSMAGLGFEIRGEVNDGSNATVLRTIEPVETRDNIASPTVSSILEDGSNPESNNHQIVDAGVETPLTETSAQASCAASSASK >KJB09073 pep chromosome:Graimondii2_0_v6:1:15910254:15915684:1 gene:B456_001G126700 transcript:KJB09073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKSEAHITSAAAFVEGGIQDACDDACSICLEAFCESDPSTVTCCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNAAIFHHPTLGDFELQHLPMGTNDSELDERIIQHLAAAAAMGRARHIARREVATQGEVQPAPTITVGTPSSPSRTVGEESLVSITPETSAQADQQSASASRSNVIFVNGQGNSVPVNNRRSPNQSSPNSQDRAGPSDFQSFSESLKSRFNAVSMRYRESISKSTRGWKERFFSRNTSMAGLGFEIRGEVNDGSNATVLRTIEPVETRDNIASPTVSSILEDGSNPESNNHQIVDAGVETPLTETSAQASCAASSASK >KJB09068 pep chromosome:Graimondii2_0_v6:1:15910063:15915731:1 gene:B456_001G126700 transcript:KJB09068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKSEAHITSAAAFVEGGIQDACDDACSICLEAFCESDPSTVTCCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNAAIFHHPTLGDFELQHLPMGTNDSELDERIIQHLAAAAAMGRARHIARREGMRNRSSIQGRPQFLVFSTHPNAPSTGPISSLVATQGEVQPAPTITVGTPSSPSRTVGEESLVSITPETSAQADQQSASASRSNVIFVNGQGNSVPVNNRRSPNQSSPNSQDRAGPSDFQSFSESLKSRFNAVSMRYRESISKSTRGWKERFFSRNTSMAGLGFEIRGEVNDGSNATVLRTIEPVETRDNIASPTVSSILEDGSNPESNNHQIVDAGVETPLTETSAQASCAASSASK >KJB09065 pep chromosome:Graimondii2_0_v6:1:15910063:15915731:1 gene:B456_001G126700 transcript:KJB09065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKSEAHITSAAAFVEGGIQDACDDACSICLEAFCESDPSTVTCCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNAAIFHHPTLGDFELQHLPMGTNDSELDERIIQHLAAAAAMGRARHIARREGMRNRSSIQGRPQFLVFSTHPNAPSTGPISSLVATQGEVQPAPTITVGTPSSPSRTVGEESLVSITPETSAQADQQSASASRSNVIFVNGQGNSVPVNNRRSPNQSSPNSQDRAGPSDFQSFSESLKSRFNAVSMRESISKSTRGWKERFFSRNTSMAGLGFEIRGEVNDGSNATVLRTIEPVETRDNIASPTVSSILEDGSNPESNNHQIVDAGVETPLTETSAQASCAASSASK >KJB09069 pep chromosome:Graimondii2_0_v6:1:15910254:15915684:1 gene:B456_001G126700 transcript:KJB09069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKSEAHITSAAAFVEGGIQDACDDACSICLEAFCESDPSTVTCCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNAAIFHHPTLGDFELQHLPMGTNDSELDERIIQHLAAAAAMGRARHIARREGMRNRSSIQGRPQFLVFSTHPNAPSTGPISSLVATQGEVQPAPTITVGTPSSPSRTVGEESLVSITPETSAQADQQSASASRSNVIFVNGQGNSVPVNNRRSPNQSSPNSQDRAGPSDFQSFSESLKSRFNAVSMRYRESISKSTRGWKERFFSRNTSMAGLGFEIRGEVNDGSNATVLRTIEPVETRDNIASPTVSSILEDGSNPESNNHQIVDAGVETPLTETSAQASCAASSASK >KJB09072 pep chromosome:Graimondii2_0_v6:1:15910690:15914721:1 gene:B456_001G126700 transcript:KJB09072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKSEAHITSAAAFVEGGIQDACDDACSICLEAFCESDPSTVTCCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNAAIFHHPTLGDFELQHLPMGTNDSELDERIIQHLAAAAAMGRARHIARREGMRNRSSIQGRPQFLVFSTHPNAPSTGPISSLVATQGEVQPAPTITVGTPSSPSRTVGEESLVSITPETSAQADQQSASASRSNVIFVNGQGNSVPVNNRRSPNQSSPNSQDRAGPSDFQSFSESLKSRFNAVSMRYWP >KJB09064 pep chromosome:Graimondii2_0_v6:1:15910044:15915731:1 gene:B456_001G126700 transcript:KJB09064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKSEAHITSAAAFVEGGIQDACDDACSICLEAFCESDPSTVTCCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNAAIFHHPTLGDFELQHLPMGTNDSELDERIIQHLAAAAAMGRARHIARREGMRNRSSIQGRPQFLVFSTHPNAPSTGPISSLVATQGEVQPAPTITVGTPSSPSRTVGEESLVSITPETSAQADQQSASASRSNVIFVNGQGNSVPVNNRRSPNQSSPNSQDRAGPSDFQSFSESLKSRFNAVSMRYRESISKSTRGWKERFFSRNTSMAGLGFEIRGEVNDGSNATVLRTIEPVETRDNIASPTVSSILEDGSNPESNNHQIVDAGVETPLTETSAQASCAASSASK >KJB10214 pep chromosome:Graimondii2_0_v6:1:31095585:31098705:1 gene:B456_001G189800 transcript:KJB10214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAQKRPVSQPVSQPKPKERQRVSIEERKKNLRKYEQDKLKEHHASNNVLDGLNMFDGTDGHYFHTRSRGHHSVWDSCLFNYGS >KJB11090 pep chromosome:Graimondii2_0_v6:1:48051242:48053370:-1 gene:B456_001G240600 transcript:KJB11090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRKPSYGRSEEEEEGYRKPSYGRSEEEKDMAARNMAMTTVMMMMKRSVTTTAIRPTTISAMMTMTTSQTGRSLYKWKSAVIAIK >KJB11089 pep chromosome:Graimondii2_0_v6:1:48051242:48053370:-1 gene:B456_001G240600 transcript:KJB11089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYVMVDPRKRKKGIGSQVMVDPRKRQKDIGSQVMVDPRKKDMAARNMAMTTVMMMMKRSVTTTAIRPTTISAMMTMTTSQTGRSLYKWKSAVIAIK >KJB11083 pep chromosome:Graimondii2_0_v6:1:48050982:48053532:-1 gene:B456_001G240600 transcript:KJB11083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRKPSYGR >KJB11086 pep chromosome:Graimondii2_0_v6:1:48051242:48053370:-1 gene:B456_001G240600 transcript:KJB11086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPIMVDPRKRKKGIGSQVMVDPRKRQKDIGSQVMVDPRKKDMAARNMAMTTVMMMMKRSVTTTAIRPTTISAMMTMTTSQTGRSLYKWKSAVIAIK >KJB11096 pep chromosome:Graimondii2_0_v6:1:48050982:48053532:-1 gene:B456_001G240600 transcript:KJB11096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRKPSYGRSEEEEEGYRKPSYGRSEEEDMAARNMAMTTVMMMMKRSVTTTAIRPTTISAMMTMTTSQTGRSLYKWKSAVIAIK >KJB11091 pep chromosome:Graimondii2_0_v6:1:48052308:48053370:-1 gene:B456_001G240600 transcript:KJB11091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRKPSYGRSEEEEEGYRKPSYVMVDPRKKDMAARNM >KJB11098 pep chromosome:Graimondii2_0_v6:1:48050982:48053532:-1 gene:B456_001G240600 transcript:KJB11098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRKPSYGRSEEEEEGYRKPSYGRSEEEAEGYRKPRR >KJB11088 pep chromosome:Graimondii2_0_v6:1:48050982:48053532:-1 gene:B456_001G240600 transcript:KJB11088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRKPSYGRSEEEEEGYRNQVMVDPRKKDMAARNMAMTTVMMMMKRSVTTTAIRPTTISAMMTMTTSQTGRSLYKWKSAVIAIK >KJB11093 pep chromosome:Graimondii2_0_v6:1:48050982:48053532:-1 gene:B456_001G240600 transcript:KJB11093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRKPSYGRSEEEEEGI >KJB11087 pep chromosome:Graimondii2_0_v6:1:48050982:48053532:-1 gene:B456_001G240600 transcript:KJB11087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRKPIMVDPRKRQKDIGSQVMVDPRKKDMAARNMAMTTVMMMMKRSVTTTAIRPTTISAMMTMTTSQTGRSLYKWKSAVIAIK >KJB11101 pep chromosome:Graimondii2_0_v6:1:48050982:48053532:-1 gene:B456_001G240600 transcript:KJB11101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRNQVMVDPRKRQKDIGSQVMVDPRKKDMAARNMAMTTVMMMMKRSVTTTAIRPTTISAMMTMTTSQTGRSLYKWKSAVIAIK >KJB11082 pep chromosome:Graimondii2_0_v6:1:48051297:48053370:-1 gene:B456_001G240600 transcript:KJB11082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRKPSYGRSEEEEEGYRKPSYGRSEEEAEGYRKPSYGRSEEEGYGRKKHGDDDSDDDDEEKRHHHRYKAHHHKRHDDYDD >KJB11099 pep chromosome:Graimondii2_0_v6:1:48050982:48053532:-1 gene:B456_001G240600 transcript:KJB11099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRKPSYGRSEEEEEGYRKPIMVDPRKKDMAARNMAMTTVMMMMKRSVTTTAIRPTTISAMMTMTTSQTGRSLYKWKSAVIAIK >KJB11085 pep chromosome:Graimondii2_0_v6:1:48052346:48053370:-1 gene:B456_001G240600 transcript:KJB11085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRKPSYGRSEEEEEGYRKPTKLW >KJB11097 pep chromosome:Graimondii2_0_v6:1:48052391:48053370:-1 gene:B456_001G240600 transcript:KJB11097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRKPTKLW >KJB11095 pep chromosome:Graimondii2_0_v6:1:48050969:48053556:-1 gene:B456_001G240600 transcript:KJB11095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRKPSYVMVDPRKRQKDIGSQVMVDPRKKDMAARNMAMTTVMMMMKRSVTTTAIRPTTISAMMTMTTSQTGRSLYKWKSAVIAIK >KJB11092 pep chromosome:Graimondii2_0_v6:1:48051242:48053370:-1 gene:B456_001G240600 transcript:KJB11092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRKPSYGRSEEEEEGYRKPSYVMVDPRKKDMAARNMAMTTVMMMMKRSVTTTAIRPTTISAMMTMTTSQTGRSLYKWKSAVIAIK >KJB11094 pep chromosome:Graimondii2_0_v6:1:48051297:48053370:-1 gene:B456_001G240600 transcript:KJB11094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRKKPSYGRSEEEAEGYRKPSYGRSEEEGYGRKKHGDDDSDDDDEEKRHHHRYKAHHHKRHDDYDD >KJB11084 pep chromosome:Graimondii2_0_v6:1:48052364:48053370:-1 gene:B456_001G240600 transcript:KJB11084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPEHGEYGSEYGRRPNYGEPESEYGSGFGRKAEYEGGGSEYGTGYGRRTEGEYGSGYGGRTETEYGSGYERKPSYGRSEEEEEGYRKPSYGRSEEEEEGRI >KJB11100 pep chromosome:Graimondii2_0_v6:1:48050982:48053532:-1 gene:B456_001G240600 transcript:KJB11100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRGGEDDVDEFDEFDPTPYGGGYNLELTYGRPLEPSDETCYPSATPSDGDFDYARPDFSSGYAHLAYADEALETEYSSYLKPKPRPGRFEPAPAFGDYGRKPEYEQFESGHGRKPEFGRPGSEFESDFGRRPEFEQPPEEFGSGHGRRPAYEHSHQPDFGSGRRSEFEQPPPPEFGTGYRRRPEFEQPPPPEFESGHGRRPEYGEQESEFGSEYGRKPERI >KJB08232 pep chromosome:Graimondii2_0_v6:1:7369654:7376607:-1 gene:B456_001G072400 transcript:KJB08232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKTVLFGKKPSKSSYPKGREVANDSQVLVAARASESDLAVAPPFSSQLNPHTTERDERRLELENKETANISHDDETSLPMSQDIDSQKFTLQDSPSNPERIKQEQSATLVQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAISTLCCMMGIVKLQARVRGQMVRHSDCVHELQKKCNQINLLESKLVVSLGFNMPSHIGKLSAHAFVRKLIASSPTVMPLRLRYDVGEPNSVWIWLERWSASCFWKPVPQPKKTSNTKFEKKRVNGQTVEMDTGRAKRSVRRIPPANMDTSVQATSEFDKPKCNPRKISGHPAEPVQENPQNELEKVKRNLRKVHNPVVEHSLQSEFEFEKLKSLEKVSSTPDLDMVEESLNRSAEKTSKEAAMAANNSANKMKKETALTVNSSVEKRKKEMAVAVNSSTEKMSREMTTVNISAEGLNKEMAVTVNGSSEIIKKQVTTAASESPEIETMPWPLGMNETADSLHADCAAVDSKPSIGIAIKDENSPITNVELKRKDGSMNNEPQLQKSGKKASNPAKQVGTENGHQNSPVLPSYMAATESAKAKLRLQGSPRSGQDGDDKSNLSRRQSFPSPANSKLSSHSPRTQRLVHSGKVENKRDRSALSSRDGNAKATQVEWRR >KJB08234 pep chromosome:Graimondii2_0_v6:1:7369784:7376366:-1 gene:B456_001G072400 transcript:KJB08234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKTVLFGKKPSKSSYPKGREKVANDSQVLVAARASESDLAVAPPFSSQLNPHTTERDERRLELENKETANISHDDETSLPMSQDIDSQKFTLQDSPSNPERIKQEQSATLVQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAISTLCCMMGIVKLQARVRGQMVRHSDCVHELQKKCNQINLLESKLVVSLGFNMPSHIGKLSAHAFVRKLIASSPTVMPLRLRYDVGEPNSVWIWLERWSASCFWKPVPQPKKTSNTKFEKKRVNGQTVEMDTGRAKRSVRRIPPANMDTSVQATSEFDKPKCNPRKISGHPAEPVQENPQNELEKVKRNLRKVHNPVVEHSLQSEFEFEKLKSLEKVSSTPDLDMVEESLNRSAEKTSKEAAMAANNSANKMKKETALTVNSSVEKRKKEMAVAVNSSTEKMSREMTTVNISAEGLNKEMAVTVNGSSEIIKKQVTTAASESPEIETMPWPLGMNETADSLHADCAAVDSKPSIGIAIKDENSPITNVELKRKDGSMNNEPQLQKSGKKASNPAKQVGTENGHQNSPVLPSYMAATESAKAKLRLQGSPRSGQDGDDKSNLSRRQSFPSPANSKLSSHSPRTQRLVHSGKVENKRDRSALSSRDGNAKATQVEWRR >KJB08231 pep chromosome:Graimondii2_0_v6:1:7369588:7376607:-1 gene:B456_001G072400 transcript:KJB08231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKTVLFGKKPSKSSYPKGREKVANDSQVLVAARASESDLAVAPPFSSQLNPHTTERDERRLELENKETANISHDDETSLPMSQDIDSQKFTLQDSPSNPERIKQEQSATLVQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAISTLCCMMGIVKLQARVRGQMVRHSDCVHELQKKCNQINLLESKLVVSLGFNMPSHIGKLSAHAFVRKLIASSPTVMPLRLRYDVGEPNSVWIWLERWSASCFWKPVPQPKKTSNTKFEKKRVNGQTVEMDTGRAKRSVRRIPPANMDTSVQATSEFDKPKCNPRKISGHPAEPVQENPQNELEKVKRNLRKVHNPVVEHSLQSEFEFEKLKSLEKVSSTPDLDMVEESLNRSAEKTSKEAAMAANNSANKMKKETALTVNSSVEKRKKEMAVAVNSSTEKMSREMTTVNISAEGLNKEMAVTVNGSSEIIKKQVTTAASESPEIETMPWPLGMNETADSLHADCAAVDSKPSIGIAIKDENSPITNVELKRKDGSMNNEPQLQKSGKKASNPAKQVGTENGHQNSPVLPSYMAATESAKAKLRLQGSPRSGQDGDDKSNLSRRQSFPSPANSKLSSHSPRTQRLVHSGKVENKRDRSALSSRDGNAKATQVEWRR >KJB08235 pep chromosome:Graimondii2_0_v6:1:7370404:7376366:-1 gene:B456_001G072400 transcript:KJB08235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKTVLFGKKPSKSSYPKGREKVANDSQVLVAARASESDLAVAPPFSSQLNPHTTERDERRLELENKETANISHDDETSLPMSQDIDSQKFTLQDSPSNPERIKQEQSATLVQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAISTLCCMMGIVKLQARVRGQMVRHSDCVHELQKKCNQINLLESKLVVSLGFNMPSHIGKLSAHAFVRKLIASSPTVMPLRLRYDVGEPNSVWIWLERWSASCFWKPVPQPKKTSNTKFEKKRVNGQTVEMDTGRAKRSVRRIPPANMDTSVQATSEFDKPKCNPRKISGHPAEPVQENPQNELEKVKRNLRKVHNPVVEHSLQSEFEFEKLKSLEKVSSTPDLDMVEESLNRSAEKTSKEAAMAANNSANKMKKETALTVNSSVEKRKKEMAVAVNSSTEKMSREMTTVNISAEGLNKEMAVTVNGSSEIIKKQVTTAASESPEIETMPWPLGMNETADSLHADCAAVDSKPSIGIAIKDENSPITNVELKRKDGSMNNEPQLQKSGKKASNPAKQVGTENGHQNSPVLPSYMAATESAKAKLRLQGSPRSGQDGDDKSNLSRRQSFPSPANSKLSSHSPRTQRLVHSGKVENKRDRSALSSRDGNGINC >KJB08233 pep chromosome:Graimondii2_0_v6:1:7370009:7375062:-1 gene:B456_001G072400 transcript:KJB08233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKTVLFGKKPSKSSYPKGREKVANDSQVLVAARASESDLAVAPPFSSQLNPHTTERDERRLELENKETANISHDDETSLPMSQDIDSQKFTLQDSPSNPERIKQEQSATLVQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAISTLCCMMGIVKLQARVRGQMVRHSDCVHELQKKCNQINLLESKLVVSLGFNMPSHIGKLSAHAFVRKLIASSPTVMPLRLRYDVGEPNSVWIWLERWSASCFWKPVPQPKKTSNTKFEKKRVNGQTVEMDTGRAKRSVRRIPPANMDTSVQATSEFDKPKCNPRKISGHPAEPVQENPQNELEKVKRNLRKVHNPVVEHSLQSEFEFEKLKSLEKVSSTPDLDMVEESLNRSAEKTSKEAAMAANNSANKMKKETALTVNSSVEKRKKEMAVAVNSSTEKMSREMTTVNISAEGLNKEMAVTVNGSSEIIKKQVTTAASESPEIETMPWPLGMNETADSLHADCAAVDSKPSIGIAIKDENSPITNVELKRKDGSMNNEPQLQKSGKKASNPAKQVGTENGHQNSPVLPSYMAATESAKAKLRLQGSPRSGQDGDDKSNLSRRQSFPSPANSKLSSHSPRTQRLVHSGKVENKRDRSALSSRDGNAKATQVEWRR >KJB10067 pep chromosome:Graimondii2_0_v6:1:27967523:27973446:-1 gene:B456_001G182500 transcript:KJB10067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic L-amino acid decarboxylase (AADC), Serotonin biosynthesi [Source: Projected from Oryza sativa (Os07g0437500)] MTKYIQAKILPGVTHWQSPNYFAYYPSNSSVAGFVGEMLSAGLNIVGFSWIASPAATELEMIVLDWLAKMLKLPEDFLSAGRGGGVIQGTASEAVLVVLLAARDKVLRRVGKDALEKLVVYASDQTHSSLQKACQMAGIHPENCRLLKATSSTNYALSPEFLSETISQDLTIGLIPFFLCATVGTTSSTAVDPLLSLGKIAKSNGMWFHVDAAYAGSACVCPEFRHYMDGVEVADSFNMNAHKWFLTNFDCSALWVKDRSALVQALSTNPEYLKNKITETMDGITTVWLGKPAMLYKKSYQVGQTF >KJB10069 pep chromosome:Graimondii2_0_v6:1:27968066:27974034:-1 gene:B456_001G182500 transcript:KJB10069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic L-amino acid decarboxylase (AADC), Serotonin biosynthesi [Source: Projected from Oryza sativa (Os07g0437500)] MENRLKPMDAEQLREYGHKMVDFIADYYKTIENFPVLSQVEPGYLRNLLPDSAPNQPDSFQHVLDDIQAKILPGVTHWQSPNYFAYYPSNSSVAGFVGEMLSAGLNIVGFSWIASPAATELEMIVLDWLAKMLKLPEDFLSAGRGGGVIQGTASEAVLVVLLAARDKVLRRVGKDALEKLVVYASDQTHSSLQKACQMAGIHPENCRLLKATSSTNYALSPEFLSETISQDLTIGLIPFFLCATVGTTSSTAVDPLLSLGKIAKSNGMWFHVDAAYAGSACVCPEFRHYMDGVEVADSFNMNAHKWFLTNFDCSALWVKDRSALVQALSTNPEYLKNKASQANMVVDYKDWQVPLGRRFRSLKLWMVLRLYGLENLQCYIRNHIKLAKHFENLIAQDPRFEVVTPRIFSLVCFRLLPVRNNESHGNKLNHQLLDAVNSTGSAFISHTVLSGKYILRFAVGAPLTEEKHVNAAWKVLQDKATSLLAESL >KJB10068 pep chromosome:Graimondii2_0_v6:1:27968066:27973390:-1 gene:B456_001G182500 transcript:KJB10068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic L-amino acid decarboxylase (AADC), Serotonin biosynthesi [Source: Projected from Oryza sativa (Os07g0437500)] MTKYIQAKILPGVTHWQSPNYFAYYPSNSSVAGFVGEMLSAGLNIVGFSWIASPAATELEMIVLDWLAKMLKLPEDFLSAGRGGGVIQGTASEAVLVVLLAARDKVLRRVGKDALEKLVVYASDQTHSSLQKACQMAGIHPENCRLLKATSSTNYALSPEFLSETISQDLTIGLIPFFLCATVGTTSSTAVDPLLSLGKIAKSNGMWFHVDAAYAGSACVCPEFRHYMDGVEVADSFNMNAHKWFLTNFDCSALWVKDRSALVQALSTNPEYLKNKASQANMVVDYKDWQVPLGRRFRSLKLWMVLRLYGLENLQCYIRNHIKLAKHFENLIAQDPRFEVVTPRIFSLVCFRLLPVRNNESHGNKLNHQLLDAVNSTGSAFISHTVLSGKYILRFAVGAPLTEEKHVNAAWKVLQDKATSLLAESL >KJB10070 pep chromosome:Graimondii2_0_v6:1:27967523:27974299:-1 gene:B456_001G182500 transcript:KJB10070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic L-amino acid decarboxylase (AADC), Serotonin biosynthesi [Source: Projected from Oryza sativa (Os07g0437500)] MENRLKPMDAEQLREYGHKMVDFIADYYKTIENFPVLSQVEPGYLRNLLPDSAPNQPDSFQHVLDDIQAKILPGVTHWQSPNYFAYYPSNSSVAGFVGEMLSAGLNIVGFSWIASPAATELEMIVLDWLAKMLKLPEDFLSAGRGGGVIQGTASEAVLVVLLAARDKVLRRVGKDALEKLVVYASDQTHSSLQKACQMAGIHPENCRLLKATSSTNYALSPEFLSETISQDLTIGLIPFFLCATVGTTSSTAVDPLLSLGKIAKSNGMWFHVDAAYAGSACVCPEFRHYMDGVEVADSFNMNAHKWFLTNFDCSALWVKDRSALVQALSTNPEYLKNKITETMDGITTVWLGKPAMLYKKSYQVGQTF >KJB10441 pep chromosome:Graimondii2_0_v6:1:38039930:38041530:1 gene:B456_001G201100 transcript:KJB10441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVIGGESLDVLQHWVVELFSNGRQGSQGKLEFKVEGSVWRAGKLYRLEADKNVHFLELRWALPCLLQAYLKKPEDYLAHLLGHE >KJB10440 pep chromosome:Graimondii2_0_v6:1:38039543:38041530:1 gene:B456_001G201100 transcript:KJB10440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKKSLVDAVEKGIDLCKQILELYNDYYHGRLMKLVVIGGESLDVLQHWVVELFSNGRQGSQGKLEFKVEGSVWRAGKLYRLEADKNVHFLELRWALPCLLQAYLKKPEDYLAHLLGHE >KJB10033 pep chromosome:Graimondii2_0_v6:1:27774459:27775638:1 gene:B456_001G181400 transcript:KJB10033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSHSNFKLKRHKMYPRVKVRVQEEEEDHFPPHNNNHHEPSLFLSFLESLSKQEKENKINSPPSNSISSITKAYITSPTPKDGGTVKKNKKQIGKDMKSNVKASSVLPPRAVLSSPDNDRMIGSINKLDYASSSASKKRPADVGTPSSPNIGKGHKPKTENVKHTPSAARTNIITKGGYNTDIVKPTPDSNVGKGPKAKNPGLAASTRKGVV >KJB10032 pep chromosome:Graimondii2_0_v6:1:27774866:27775557:1 gene:B456_001G181400 transcript:KJB10032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCFKYFEHLVSFAEKENKINSPPSNSISSITKAYITSPTPKDGGTVKKNKKQIGKDMKSNVKASSVLPPRAVLSSPDNDRMIGSINKLDYASSSASKKRPADVGTPSSPNIGKGHKPKTENVKHTPSAARTNIITKGGYNTDIVKPTPDSNVGKGPKAKNPGLAASTRKGVV >KJB11387 pep chromosome:Graimondii2_0_v6:1:52811670:52819361:1 gene:B456_001G255900 transcript:KJB11387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFRTVEGGDHAFNTFFSETGTGKHALRSIFLNLEPTVVDEVRTGAYRQLFHPEHLISAKEDAVNNFARGHFTSFHIFCRALQAFMTKPMRENKNLTGIARYASVNTHLEIEQNGRDDLESLGCVLLYFLRGSLPWQGLKAGMKKQKYDKINEMKASIFIEVFCKSYPSEFVS >KJB11388 pep chromosome:Graimondii2_0_v6:1:52811462:52820245:1 gene:B456_001G255900 transcript:KJB11388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFRTVEGGDHAFNTFFSETGTGKHALRSIFLNLEPTVVDEVRTGAYRQLFHPEHLISAKEDAVNNFARGHFTSFHIFCRALQAFMTKPMRENKNLTGIARYASVNTHLEIEQNGRDDLESLGCVLLYFLRGSLPWQGLKAGMKKQKYDKINEMKASIFIEVISLATSLIGPYFSLWWLINFPSMRILFAYPHPYNVIFVLKFSLIKWFV >KJB09734 pep chromosome:Graimondii2_0_v6:1:23231208:23232038:-1 gene:B456_001G161000 transcript:KJB09734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HHIKVTGFEPMALCTQNRCADQTALHLVSPPEAYRSARSMNTRTELAHPFGHRGARTNPSNQPLFYFLICLPHYTAFCLLLSLEFKNPARSRLRVLWTLRPLRSGFEPLTQGFSVLCSNQLSYLNHFPKVSFLHRIAPYLTTLLVREKPLTNMLGLFSLVRQAFEQLFQLPPNLPTCSRTEIVLFCPPGDSTKRFFLSRNFACPLDFRAGGNGIRTHDTIFLYVDLANQCLKPLSHPSKLLIEI >KJB11205 pep chromosome:Graimondii2_0_v6:1:50228838:50232329:1 gene:B456_001G248500 transcript:KJB11205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTADKPLATARNSAAPSSVANSSAEPISKKTAEPALPSTDKLDPPSSDTSASEVPLKESEGSKTGGTAGGSVSGGGSAPVSDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTGPSTNGSEASKQSEDLKRKARAERFGLSVPTTAADEEAKKKARLARFAQDSKPDTVEEEKRKARAIRFSNPPSSTSSQVNGKGNIEPAPIAGKAGGGP >KJB11207 pep chromosome:Graimondii2_0_v6:1:50228838:50233481:1 gene:B456_001G248500 transcript:KJB11207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTADKPLATARNSAAPSSVANSSAEPISKKTAEPALPSTDKLDPPSSDTSASEVPLKESEGSKTGGTAGGSVSGGGSAPVSDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTGPSTNGSEASKQSEDLKRKARAERFGLSVPTTAADEEAKKKARLARFAQDSKPDTVEEEKRKARAIRFSNPPSSTSSQVNGKGNIEPEAPIAGKAGGGP >KJB11208 pep chromosome:Graimondii2_0_v6:1:50228800:50232356:1 gene:B456_001G248500 transcript:KJB11208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTADKPLATARNSAAPSSVANSSAEPISKKTAEPALPSTDKLDPPSSDTSASEVPLKESEGSKTGGTAGGSVSGGGSAPVSDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTGPSTNGSEASKQSEDLKRKARAERFGLSVPTTAADEEAKKKARLARFAQDSKPDTVEEEKRKARAIRFSNPPSSTSSQVNGKGNIEPEAPIAGKAGGGP >KJB11206 pep chromosome:Graimondii2_0_v6:1:50228838:50232329:1 gene:B456_001G248500 transcript:KJB11206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTADKPLATARNSAAPSSVANSSAEPISKKTAEPALPSTDKLDPPSSDTSASEVPLKESEGSKTGGTAGGSVSGGGSAPVSDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTGPSTNGSEASKQSEDLKRKARAERFGLSVPTTAADEEAKKKARLARFAQDSKPDTVEEEKRKARAIRFSNPPSSTSSQEAPIAGKAGGGP >KJB11204 pep chromosome:Graimondii2_0_v6:1:50228838:50233481:1 gene:B456_001G248500 transcript:KJB11204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTADKPLATARNSAAPSSVANSSAEPISKKTAEPALPSTDKLDPPSSDTSASEVPLKESEGSKTGGTAGGSVSGGGSAPVSDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTGPSTNGSEASKQSEDLKRKARAERFGLSVPTTAADEEAKKKARLARFAQDSKPDTVEEEKRKARAIRFSNPPSSTSSQVNGKGNIEPEAPIAGKAGGGP >KJB09390 pep chromosome:Graimondii2_0_v6:1:18327396:18329424:1 gene:B456_001G1385002 transcript:KJB09390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAFPAARKRTYNWSVKAIRRKTIGTGRMRYLRHVPCRFKMGFREDDHSHDTPAKILRR >KJB11677 pep chromosome:Graimondii2_0_v6:1:54815366:54818519:-1 gene:B456_001G271100 transcript:KJB11677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVLSSLCFTSQKPCSFTSSLRFSLGALTGSRSAAPLTVGFRPVKRRNGRIRVSVYSRASPLVFRDLDADDFRHPLDKQNTLILKAIPGLNELGRALLGTVTEQIMLLENIGTSVLVSKDQLPELHKMMIEAAGILNIESPDLYVRQSPVPNAYTLAISGKKPFVVIHTSLVELLTRNELQAVLAHELGHLKCDHGVWLTFANLLTLGAYSVPGLGAFLAQTLEEQLFRWLRAAELTCDRAALLVAQDPKVVISVLMKLAGGCPSMADQLNVDAFLEQARSYDKASSSPVGYYIRNAQTRQLSHPLPVLRAREIDEWSRSNEYRSLLKRATQMNVVEKV >KJB11675 pep chromosome:Graimondii2_0_v6:1:54815620:54818407:-1 gene:B456_001G271100 transcript:KJB11675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVLSSLCFTSQKPCSFTSSLRFSLGALTGSRSAAPLTVGFRPVKRRNGRIRVSVYSRASPLVFRDLDADDFRHPLDKQNTLILKAIPGLNELGRALLGTVTEQIMLLENIGTSVLVSKDQLPELHKMMIEAAGILNIESPDLYVRQSPVPNAYTLAISGKKPFVVIHTSLVELLTRNELQAVLAHELGHLKCDHGVWLTFANLLTLGAYSVPGLGAFLAQTLEEQLFRWLRAAELTCDRAALLVAQDPKRLSSLF >KJB11679 pep chromosome:Graimondii2_0_v6:1:54816440:54818407:-1 gene:B456_001G271100 transcript:KJB11679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVLSSLCFTSQKPCSFTSSLRFSLGALTGSRSAAPLTVGFRPVKRRNGRIRVSVYSRASPLVFRDLDADDFRHPLDKQNTLILKAIPGLNELGRALLGTVTEQIMLLENIGTSVLVSKDQLPELHKMMIEAAGILNIESPDLYVRQSPVPNAYTLAISGKKPFVVIHTSLVELLTRNELQAVLAHELGHLKCDHGVWLTFANLLTLGAYSVPGLGAFLAQTLEEQLFRWLRAAELTCDRAALLVAQDPKV >KJB11678 pep chromosome:Graimondii2_0_v6:1:54815366:54818519:-1 gene:B456_001G271100 transcript:KJB11678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVLSSLCFTSQKPCSFTSSLRFSLGALTGSRSAAPLTVGFRPVKRRNGRIRVSVYSRASPLVFRDLDADDFRHPLDKQNTLILKAIPGLNELGRALLAGTVTEQIMLLENIGTSVLVSKDQLPELHKMMIEAAGILNIESPDLYVRQSPVPNAYTLAISGKKPFVVIHTSLVELLTRNELQAVLAHELGHLKCDHGVWLTFANLLTLGAYSVPGLGAFLAQTLEEQLFRWLRAAELTCDRAALLVAQDPKVVISVLMKLAGGCPSMADQLNVDAFLEQARSYDKASSSPVGYYIRNAQTRQLSHPLPVLRAREIDEWSRSNEYRSLLKRATQMNVVEKV >KJB11676 pep chromosome:Graimondii2_0_v6:1:54816336:54818407:-1 gene:B456_001G271100 transcript:KJB11676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVLSSLCFTSQKPCSFTSSLRFSLGALTGSRSAAPLTVGFRPVKRRNGRIRVSVYSRASPLVFRDLDADDFRHPLDKQNTLILKAIPGLNELGRALLAGTVTEQIMLLENIGTSVLVSKDQLPELHKMMIEAAGILNIESPDLYVRQSPVPNAYTLAISGKKPFVVIHTSLVELLTRNELQAVLAHELGHLKCDHGVWLTFANLLTLGAYSVPGLGAFLAQTLEEQLFRWLRAAELTCDRAALLVAQDPKRLSSLF >KJB10670 pep chromosome:Graimondii2_0_v6:1:43082942:43086926:1 gene:B456_001G215300 transcript:KJB10670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGAATPATSNPSARVRYLIRGLASEETREQSLDVLCKNRLAYDNLAVLLWHSFGIMGVLLKIITSAYRPLLSDGLTENAVTQVCNAIALFQCVASHPDTRIPFIRATMPVYLYPFLNTMSNERSYECLRITSLGVIGSLAKVEDPEVIEYLLSTQIFPSCLRCMEVGKTLSRTVSTFIIYRILQSEKGLKYCFVLAERYLSVSQCLGKLVENLGEDDAEHLPHLLKNIIGCYLRLSENERTRPQLLSYVPWKLLDHKYANIVRSDPEVLADLRQLVCNLRTSKSCTTHCTDEPPASSDSPGPSIP >KJB09886 pep chromosome:Graimondii2_0_v6:1:24915982:24918859:-1 gene:B456_001G172700 transcript:KJB09886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDDIPESTVHNILEQDTLKWVFVGGKGGVGKTTCSSIISMLLARVRPSVLIISTDPAHNLSDAFQQRFTKTPTLVYGFKNLYAMEVDPSVDNDEFGTEGMDSLFSDLANAIPGIDEAMSFGEMLKLVQTMDYSCIVFDTAPTGHTLRLLQFPATLEKGLEKMMSLKSKFGGLLSQVTRIFGVEDEFGEDAIIGRLEGMKNVIEQVNKQFKDPDLTTFICVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVLFDDEDVESKLLKARMRMQQKYLDQFFMLYDDFHITKLPLLPEEVTGVEALKRFSRHFITPYQPSLEKGTVEEVEQRLSRLKEQLAEAEAELEKL >KJB07308 pep chromosome:Graimondii2_0_v6:1:1399789:1405259:-1 gene:B456_001G015000 transcript:KJB07308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDYINVQRRPLMEFLTCPLCSNIYREATTICVCLHTFCKQCIYEKVDKEKTHYCPVCDAYLGSIPQHMLRNDHKLEKLVKQIFPLEKPNEDNTENRFSNHSMNPIMKQNELDNSEPTVREFSLERSRKGLCWEHLGGRKDGETYGTEVQVKRRRRMQRKPYCKSLIGQREEGLKIGTANEMSKTNTSGLEKPTDSLNRCGEIVYLNQYSGCSPRPVWFCLLASQETNDMALPQIPKPFISTKNGDLAISIVNKYLAMKLNLKHESEVEVMCLGHPLMPTLTLNNLIDTWLEAVSDIEPVPAEEGDGRNFLMELTYRRSMKQCTLQ >KJB09407 pep chromosome:Graimondii2_0_v6:1:18657955:18659184:-1 gene:B456_001G139700 transcript:KJB09407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSGLECGFLSGPNGGLFDLESPIQREQRPQNHMVMMTDLGNEHRSTGLTEAKGSSQKGFPMNFGKGKGISPVIAITDGSMSDEDEPSYNEDGNREKCKGKNGWPWKRMKWKDNVVRLLIAVVSCVGDEEGVEGLKRKSRILQKKEKWKTVSKIMISKGCHVSPQQCEDKFNDLNKRYKKLNDILGRGTSCRVVENHSLMDSMPHLSQKAKDDVKKILNSKHLFYQEMCAYHNGQSIPNCQDLDLQGCIPSERCLDNNGSDEEEADSEDDDDNDVDDDDDDYAVCEEERMGEVKERKKASAECGGQDGFKFQEGTRSSMVGKDRIKRQMVQLQEERVKLQTEAFELEKQRFKWVQYCSKKDRELERLRLEKERRRMENEQSILQLRRKVVEVGSLGIDRQSQIDMCSH >KJB09405 pep chromosome:Graimondii2_0_v6:1:18657686:18659974:-1 gene:B456_001G139700 transcript:KJB09405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSGLECGFLSGPNGGLFDLESPIQREQRPQNHMVMMTDLGNEHRSTGLTEAKGSSQKGFPMNFGKGKGISPVIAITDGSMSDEDEPSYNEDGNREKCKGKNGWPWKRMKWKDNVVRLLIAVVSCVGDEEGVEGLKRKSRILQKKEKWKTVSKIMISKGCHVSPQQCEDKFNDLNKRYKKLNDILGRGTSCRVVENHSLMDSMPHLSQKAKDDVKKILNSKHLFYQEMCAYHNGQSIPNCQDLDLQGCIPSERCLDNNGSDEEEADSEDDDDNDVDDDDDDYAVCEEERMGEVKERKKASAECGGQDGFKFQEGTRSSMVGKDRIKRQMVQLQEERVKLQTEAFELEKQRFKWVQYCSKKDRELERLRLEKERRRMENEQSILQLRRKVVEVGSLGIDRQSQIDMCSH >KJB09406 pep chromosome:Graimondii2_0_v6:1:18657754:18659974:-1 gene:B456_001G139700 transcript:KJB09406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSGLECGFLSGPNGGLFDLESPIQREQRPQNHMVMMTDLGNEHRSTGLTEAKGSSQKGFPMNFGKGKGISPVIAITDGSMSDEDEPSYNEDGNREKCKGKNGWPWKRMKWKDNVVRLLIAVVSCVGDEEGVEGLKRKSRILQKKEKWKTVSKIMISKGCHVSPQQCEDKFNDLNKRYKKLNDILGRGTSCRVVENHSLMDSMPHLSQKAKDDVKKILNSKHLFYQEMCAYHNGQSIPNCQDLDLQGCIPSERCLDNNGSDEEEADSEDDDDNDVDDDDDDYAVCEEERMGEVKERKKASAECGGQDGFKFQEGTRSSMVGKDRIKRQMVQLQEERVKLQTEAFELEKQRFKWVQYCSKKDRELERLRLEKERRRMENEQSILQLRRKVVEVGSLGIDRQSQIDMCSH >KJB07553 pep chromosome:Graimondii2_0_v6:1:2781583:2782873:1 gene:B456_001G029700 transcript:KJB07553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAELEQKVGAESSVDLVTIAQAMHWFDLPVFYKQVKWVLKKPHGIIAAWCYTTPEVNDSMDKVLERFYKNPYWDSARQMVDDKYKTIDFPFEAVDGEDSTGPFEFENERWMGLEDYFTYLRSWSAYQKAKEKGVELLSEHVVEEFKRAWGEDENGGQKLVKFPIYLRIGKVGA >KJB07556 pep chromosome:Graimondii2_0_v6:1:2781583:2782873:1 gene:B456_001G029700 transcript:KJB07556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAELEQKVGAESSVDLVTIAQAMHWFDLPVFYKQVKWVLKKPHGIIAAWCYTTPEVNDSMDKVLERFYKNPYWDSARQMVDDKYKTIDFPFEAVDGEDSTGPFEFENERWMGLEDYFTYLRSWSAYQKAKEKGVELLSEHVVEEFKRAWGEDENGGQKLVKFPIYLRIGKVGA >KJB07552 pep chromosome:Graimondii2_0_v6:1:2781583:2782873:1 gene:B456_001G029700 transcript:KJB07552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLFIKQAEQYAQGRPSYPPHLFQFIASKTSQQDLAWDVGTGSGQAARSLAEIYNNVIATDTSSKQLEFATKLPNIRYQQTSPTMSSAELEQKVGAESSVDLVTIAQAMHWFDLPVFYKQVKWVLKKPHGIIAAWCYTTPEVNDSMDKVLERFYKNPYWDSARQMVDDKYKTIDFPFEAVDGEDSTGPFEFENERWMGLEDYFTYLRSWSAYQKAKEKGVELLSEHVVEEFKRAWGEDENGGQKLVKFPIYLRIGKVGA >KJB07555 pep chromosome:Graimondii2_0_v6:1:2781496:2782903:1 gene:B456_001G029700 transcript:KJB07555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLFIKQAEQYAQGRPSYPPHLFQFIASKTSQQDLAWDVGTGSGQAARSLAEIYNNVIATDTSSKQLEFATKLPNIRYQQTSPTMSSAELEQKVGAESSVDLVTIAQAMHWFDLPVFYKQVKWVLKKPHGIIAAWCYTTPEVNDSMDKVLERFYKNPYWDSARQMVDDKYKTIDFPFEAVDGEDSTGPFEFENERWMGLEDYFTYLRSWSAYQKAKEKGVELLSEHVVEEFKRAWGEDENGGQKLVKFPIYLRIGKVGA >KJB07554 pep chromosome:Graimondii2_0_v6:1:2781583:2782873:1 gene:B456_001G029700 transcript:KJB07554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALVVLAEIYNNVIATDTSSKQLEFATKLPNIRYQQTSPTMSSAELEQKVGAESSVDLVTIAQAMHWFDLPVFYKQVKWVLKKPHGIIAAWCYTTPEVNDSMDKVLERFYKNPYWDSARQMVDDKYKTIDFPFEAVDGEDSTGPFEFENERWMGLEDYFTYLRSWSAYQKAKEKGVELLSEHVVEEFKRAWGEDENGGQKLVKFPIYLRIGKVGA >KJB10898 pep chromosome:Graimondii2_0_v6:1:46769836:46778192:1 gene:B456_001G230900 transcript:KJB10898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKILEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALCKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMKEQQRPFSEGEIRSFMSQMLQGLVHMHGNGYFHRDLKPENLLVTKDFLKIADFGLAREVLSMPPYTEYVSTRWYRAPEVLLQSSYYTPAIDMWAVGAILAELFTLSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEISPTNLSDIMPNASSEAVDLISQLCSWDPLRRPTAEQALQHPFFNVDVHVPHPLLCDPLELRLNNMGTKPNLELNLWDFGTEPDDCFLGLTLAVKPSASNLEMVHTVSQSMEEDILFCPRLNDHPEQSVFWSLLTPDQNGIHTPAGSSLSLSFNSIQHAPIGVPQSAGFVITSLQPNLLERQWLAVPTPFQQAHFTLD >KJB10895 pep chromosome:Graimondii2_0_v6:1:46769562:46778192:1 gene:B456_001G230900 transcript:KJB10895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKILEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALCKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMKEQQRPFSEGEIRSFMSQMLQGLVHMHGNGYFHRDLKPENLLVTKDFLKIADFGLAREVLSMPPYTEYVSTRWYRAPEVLLQSSYYTPAIDMWAVGAILAELFTLSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEQLCSWDPLRRPTAEQALQHPFFNVDVHVPHPLLCDPLELRLNNMGTKPNLELNLWDFGTEPDDCFLGLTLAVKPSASNLEMVHTVSQSMEEDILFCPRLNDHPEQSVFWSLLTPDQNGIHTPAGSSLSLSFNSIQHAPIGVPQSAGFVITSLQPNLLERQWLAVPTPFQQAHFTLD >KJB10899 pep chromosome:Graimondii2_0_v6:1:46769562:46778211:1 gene:B456_001G230900 transcript:KJB10899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKILEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALCKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMKEQQRPFSEGEIRSFMSQMLQGLVHMHGNGYFHRDLKPENLLVTKDFLKIADFGLAREVLSMPPYTEYVSTRWYRAPEVLLQSSYYTPAIDMWAVGAILAELFTLSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEISPTNLSDIMPNASSEAVDLISQLCSWDPLRRPTAEQALQHPFFNVDVHVPHPLLCDPLELRLNNMGTKPNLELNLWDFGTEPDDCFLGLTLAVKPSASNLEMVHTVSQSMEEDILFCPRLNDHPEQSVFWSLLTPDQNGIHTPAGSSLSLSFNSIQHAPIGVPQSAGFVITSLQPNLLERQWLAVPTPFQQAHFTLD >KJB10892 pep chromosome:Graimondii2_0_v6:1:46770671:46778192:1 gene:B456_001G230900 transcript:KJB10892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKILEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALCKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMKEQQRPFSEGEIRSFMSQMLQGLVHMHGNGYFHRDLKPENLLVTKDFLKIADFGLAREVLSMPPYTEYVSTRWYRAPEVLLQSSYYTPAIDMWAVGAILAELFTLSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEISPTNLSDIMPNASSEAVDLISQLCSWDPLRRPTAEQALQHPFFNVDVHVPHPLLCDPLELRLNNMGTKPNLELNLWDFGTEPDDCFLGLTLAVKPSASNLEMVHTVSQSMEEDILFCPRLNDHPEQSVFWSLLTPDQNGIHTPAGSSLSLSFNSIQHAPIGVPQSAGFVITSLQPNLLERQWLAVPTPFQQAHFTLD >KJB10900 pep chromosome:Graimondii2_0_v6:1:46770671:46778192:1 gene:B456_001G230900 transcript:KJB10900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKILEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKEHNLYQIMKEQQRPFSEGEIRSFMSQMLQGLVHMHGNGYFHRDLKPENLLVTKDFLKIADFGLAREVLSMPPYTEYVSTRWYRAPEVLLQSSYYTPAIDMWAVGAILAELFTLSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEISPTNLSDIMPNASSEAVDLISQLCSWDPLRRPTAEQALQHPFFNVDVHVPHPLLCDPLELRLNNMGTKPNLELNLWDFGTEPDDCFLGLTLAVKPSASNLEMVHTVSQSMEEDILFCPRLNDHPEQSVFWSLLTPDQNGIHTPAGSSLSLSFNSIQHAPIGVPQSAGFVITSLQPNLLERQWLAVPTPFQQAHFTLD >KJB10897 pep chromosome:Graimondii2_0_v6:1:46769562:46778192:1 gene:B456_001G230900 transcript:KJB10897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKILEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALCKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMKEQQRPFSEGEIRSFMSQMLQGLVHMHGNGYFHRDLKPENLLVTKDFLKIADFGLAREVLSMPPYTEYVSTRWYRAPEVLLQSSYYTPAIDMWAVGAILAELFTLSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEQLCSWDPLRRPTAEQALQHPFFNVDVHVPHPLLCDPLELRLNNMGTKPNLELNLWDFGTEPDDCFLGLTLAVKPSASNLVFWSLLTPDQNGIHTPAGSSLSLSFNSIQHAPIGVPQSAGFVITSLQPNLLERQWLAVPTPFQQAHFTLD >KJB10893 pep chromosome:Graimondii2_0_v6:1:46769584:46778192:1 gene:B456_001G230900 transcript:KJB10893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKILEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKEHNLYQIMKEQQRPFSEGEIRSFMSQMLQGLVHMHGNGYFHRDLKPENLLVTKDFLKIADFGLAREVLSMPPYTEYVSTRWYRAPEVLLQSSYYTPAIDMWAVGAILAELFTLSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEISPTNLSDIMPNASSEAVDLISQLCSWDPLRRPTAEQALQHPFFNVDVHVPHPLLCDPLELRLNNMGTKPNLELNLWDFGTEPDDCFLGLTLAVKPSASNLEMVHTVSQSMEEDILFCPRLNDHPEQSVFWSLLTPDQNGIHTPAGSSLSLSFNSIQHAPIGVPQSAGFVITSLQPNLLERQWLAVPTPFQQAHFTLD >KJB10891 pep chromosome:Graimondii2_0_v6:1:46769562:46778192:1 gene:B456_001G230900 transcript:KJB10891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKILEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALCKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMKEQQRPFSEGEIRSFMSQMLQGLVHMHGNGYFHRDLKPENLLVTKDFLKIADFGLAREVLSMPPYTEYVSTRWYRAPEVLLQSSYYTPAIDMWAVGAILAELFTLSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEISPTNLSDIMPNASSEAVDLISQLCSWDPLRRPTAEQALQHPFFNVDVHVPHPLLCDPLELRLNNMGTKPNLELNLWDFGTEPDDCFLGLTLAVKPSASNLVFWSLLTPDQNGIHTPAGSSLSLSFNSIQHAPIGVPQSAGFVITSLQPNLLERQWLAVPTPFQQAHFTLD >KJB10896 pep chromosome:Graimondii2_0_v6:1:46769836:46778192:1 gene:B456_001G230900 transcript:KJB10896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKILEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALCKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMKEQQRPFSEGEIRSFMSQMLQGLVHMHGNGYFHRDLKPENLLVTKDFLKIADFGLAREVLSMPPYTEYVSTRWYRAPEVLLQSSYYTPAIDMWAVGAILAELFTLSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEISPTNLSDIMPNASSEAVDLISQLCSWDPLRRPTAEQALQHPFFNVDVHVPHPLLCDPLELRLNNMGTKPNLELNLWDFGTEPDDCFLGLTLAVKPSASNLVFWSLLTPDQNGIHTPAGSSLSLSFNSIQHAPIGVPQSAGFVITSLQPNLLERQWLAVPTPFQQAHFTLD >KJB10889 pep chromosome:Graimondii2_0_v6:1:46769836:46778192:1 gene:B456_001G230900 transcript:KJB10889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKILEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALCKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMKEQQRPFSEGEIRSFMSQMLQGLVHMHGNGYFHRDLKPENLLVTKDFLKIADFGLAREVLSMPPYTEYVSTRWYRAPEVLLQSSYYTPAIDMWAVGAILAELFTLSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEQLCSWDPLRRPTAEQALQHPFFNVDVHVPHPLLCDPLELRLNNMGTKPNLELNLWDFGTEPDDCFLGLTLAVKPSASNLEMVHTVSQSMEEDILFCPRLNDHPEQSVFWSLLTPDQNGIHTPAGSSLSLSFNSIQHAPIGVPQSAGFVITSLQPNLLERQWLAVPTPFQQAHFTLD >KJB10890 pep chromosome:Graimondii2_0_v6:1:46769836:46778192:1 gene:B456_001G230900 transcript:KJB10890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKILEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALCKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMKEQQRPFSEGEIRSFMSQMLQGLVHMHGNGYFHRDLKPENLLVTKDFLKIADFGLAREVLSMPPYTEYVSTRWYRAPEVLLQSSYYTPAIDMWAVGAILAELFTLSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEQLCSWDPLRRPTAEQALQHPFFNVDVHVPHPLLCDPLELRLNNMGTKPNLELNLWDFGTEPDDCFLGLTLAVKPSASNLVFWSLLTPDQNGIHTPAGSSLSLSFNSIQHAPIGVPQSAGFVITSLQPNLLERQWLAVPTPFQQAHFTLD >KJB10894 pep chromosome:Graimondii2_0_v6:1:46769603:46777929:1 gene:B456_001G230900 transcript:KJB10894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKILEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALCKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMKEQQRPFSEGEIRSFMSQMLQGLVHMHGNGYFHRDLKPENLLVTKDFLKIADFGLAREVLSMPPYTEYVSTRWYRAPEVLLQSSYYTPAIDMWAVGAILAELFTLSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEFAAITFGQISPTNLSDIMPNASSEAVDLISQLCSWDPLRRPTAEQALQHPFFNVDVHVPHPLLCDPLELRLNNMGTKPNLELNLWDFGTEPDDCFLGLTLAVKPSASNLEMVHTVSQSMEEDILFCPRLNDHPEQSVFWSLLTPDQNGIHTPAGSSLSLSFNSIQHAPIGVPQSAGFVITSLQPNLLERQWLAVPTPFQQAHFTLD >KJB11196 pep chromosome:Graimondii2_0_v6:1:49160611:49171956:-1 gene:B456_001G246900 transcript:KJB11196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNLIFLSPKFQTPNFLPSYPFSSSTTRPLISRQFLGFTHSLWHPGGASSLRKKRKNLAFLRFQPPRFVSRASIDSNLVFVVIGVTALSALSLAFYNQFFRKSQTSKKVSGSSRSALRQQRQGKDVVIQTADHQILETGDLQRDTFAKENGGLTEHMKEVNDASESKEVLLQETAVVNGDSMLTKASESNGADFLAFNANDSDVLEESGTTVLPLQPTVLLESGAGQPFTFATQRSGLHLEERVNEFEADYPRLAVEPKSSASSVLVEDAVVLIGEDKVRNYDIFRESGREELHTFYEADHLVAKSSSNLTLKPVSSHFLSSNSNKFSSLKLKLNSELNKDALSAKNSLQTADMVEGKVTQANFQGGFSHKRKHLGRGRESPRDKGKKHLIQEKDTKLAQFPFPNGELANDKHHPEEYLSYYNHLLRCGRLSDSVDLLEDMEQKGLLDMDKVYHAKFFKICSKQKAVKEAFRFTKLIANPTLSTFNMLMSVCGCSKDSEGAFQVLRLVQEAGFQADCILYTTLISTCAKSGKVDTMFEVFHEMVNSGVEPNVNTYGALIDGCARAGQVPKAFGAYGIMRSKNVKPDRVVFNALITACGQSGAVDRAFDVLAEMMAETQPIDPDHITVGALIKACSNAGQVERAREVYKMMHKFNIRGTPEVYTIAVNCCSQTGDWEFACGVYNDMKKKGVAPDEVFISALIDVAGHAGKLDAAFELLQEAKNHGINSGIVSYSSLMGACSNARNWQKALELYENIKALKLKLTVSTVNALITSLCEANQLPKAMEVLSEMEESGLSPNIITYSILLVASERNGDLEVGLMLLSKARDDGIAPNLVMSRCIIGMCLRRFVKACAVGEPVLSFNSGRPHIENKWMSVALTVYRETIDAGTVPTMEVVSKILGCLQLPRDDSLRSRLVENLEVIADPSRSSSLGSLIDGFGEYDPRAFSLLEEAASFGIVPCVSFKESPIVVDARELQINTAEVQNYLAYPSYCPWRRHKS >KJB11195 pep chromosome:Graimondii2_0_v6:1:49160482:49171956:-1 gene:B456_001G246900 transcript:KJB11195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNLIFLSPKFQTPNFLPSYPFSSSTTRPLISRQFLGFTHSLWHPGGASSLRKKRKNLAFLRFQPPRFVSRASIDSNLVFVVIGVTALSALSLAFYNQFFRKSQTSKKVSGSSRSALRQQRQGKDVVIQTADHQILETGDLQRDTFAKENGGLTEHMKEVNDASESKEVLLQETAVVNGDSMLTKASESNGADFLAFNANDSDVLEESGTTVLPLQPTVLLESGAGQPFTFATQRSGLHLEERVNEFEADYPRLAVEPKSSASSVLVEDAVVLIGEDKVRNYDIFRESGREELHTFYEADHLVAKSSSNLTLKPVSSHFLSSNSNKFSSLKLKLNSELNKDALSAKNSLQTADMVEGKVTQANFQGGFSHKRKHLGRGRESPRDKGKKHLIQEKDTKLAQFPFPNGELANDKHHPEEYLSYYNHLLRCGRLSDSVDLLEDMEQKGLLDMDKVYHAKFFKICSKQKAVKEAFRFTKLIANPTLSTFNMLMSVCGCSKDSEGAFQVLRLVQEAGFQADCILYTTLISTCAKSGKVDTMFEVFHEMVNSGVEPNVNTYGALIDGCARAGQVPKAFGAYGIMRSKNVKPDRVVFNALITACGQSGAVDRAFDVLAEMMAETQPIDPDHITVGALIKACSNAGQVERAREVYKMMHKFNIRGTPEVYTIAVNCCSQTGDWEFACGVYNDMKKKGVAPDEVFISALIDVAGHAGKLDAAFELLQEAKNHGINSGIVSYSSLMGACSNARNWQKALELYENIKALKLKLTVSTVNALITSLCEANQLPKAMEVLSEMEESGLSPNIITYSILLVASERNGDLEVGLMLLSKARDDGIAPNLVMSRCIIGMCLRRFVKACAVGEPVLSFNSGRPHIENKWMSVALTVYRETIDAGTVPTMEVVSKILGCLQLPRDDSLRSRLVENLEVIADPSRSSSLGSLIDGFGEYDPRAFSLLEEAASFGIVPCVSFKESPIVVDARELQINTAEVYLLTILKGLKHRLAAGAKLPSISILLPLEKAQILTSGGEKSINVAGRMGQAIAALLRRIKLPYQGNESYGKIRINGLALRKWFQPKLASPFTGKPGEWNASQMRLGKGISHQQRNIRTGNLSLH >KJB10171 pep chromosome:Graimondii2_0_v6:1:29985834:29987620:-1 gene:B456_001G187200 transcript:KJB10171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVDTFLLIVIPSGNINPNFNKPSKDGSREKIPLFLPSTGLIFVWFPRISTMTRGNQREKDRERAQSRSGNKGKAGSKDDGLTPEQRRERDAKALQEKAAKKAAQAAAGGNTAGGAGGSKNKK >KJB10169 pep chromosome:Graimondii2_0_v6:1:29985846:29987620:-1 gene:B456_001G187200 transcript:KJB10169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVDTFLLIVIPSGNINPNFNKPSKDGSREKIPLFLPSTGLIFVWFPRISTMTRGNQREKDRERAQSRSGNKGKAGSKDDGLTPEQRRERDAKALQEKAAKKAAQAAAGGNTAGGAGGSKNKK >KJB10170 pep chromosome:Graimondii2_0_v6:1:29985437:29987646:-1 gene:B456_001G187200 transcript:KJB10170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVDTFLLIVIPSGNINPNFNKPSKDGSREKIPLFLPSTGLIFVWFPRISTMTRGNQREKDRERAQSRSGNKGKAGSKDDGLTPEQRRERDAKALQEKAAKKAAQAAAGGNTAGGAGGSKNKK >KJB11424 pep chromosome:Graimondii2_0_v6:1:53179426:53182949:-1 gene:B456_001G257900 transcript:KJB11424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSLILSPLHFNFIANNKDINKNLSFLSANPLIFNISSKPTNINKGSSIVLCSYKSGSGLTAEDKRVLRERYGFDPNEYISEAKNERIKEGKKQGKGKEVVAEEEAKVHRTTHKLLQVLGGKAKRKKLLSPKGMDVRPMMEVVKGAAFDILQAADGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEAHFVEMDPWVVSNVLRPNLEWTGFLDASVIHPVRVETFLEQADRFAGNGPFDYISVTPPYTQVDYGMLMSQISKSPLVGENTFIVVEYPLRTDMLDSCGCLVKIKDRRFGRTHLAIYGPKWAQKKRKSDKTLQNTT >KJB11421 pep chromosome:Graimondii2_0_v6:1:53179637:53182858:-1 gene:B456_001G257900 transcript:KJB11421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSLILSPLHFNFIANNKDINKNLSFLSANPLIFNISSKPTNINKGSSIVLCSYKSGSGLTAEDKRVLRERYGFDPNEYISEAKNERIKEGKKQGKGKEVVAEEEAKVHRTTHKLLQVLGGKAKRKKLLSPKGMDVRPMMEVVKGAAFDILQAADGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEAHFVEMDPWVVSNVLRPNLEWTGFLDASVIHPVRVETFLEQADRFAGNGPFDYISVTPPYTQVDYGMLMSQISKSPLVGENTFIVVEYPLRTDMLDSCGCLVKIKDRRFGRTHLAIYGPKWAQKKRKSDKTLQNTT >KJB11420 pep chromosome:Graimondii2_0_v6:1:53179446:53182872:-1 gene:B456_001G257900 transcript:KJB11420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSLILSPLHFNFIANNKDINKNLSFLSANPLIFNISSKPTNINKGSSIVLCSYKSGSGLTAEDKRVLRERYGFDPNEYISEAKNERIKEGKKQGKGKEVVAEEEAKVHRTTHKLLQVLGGKAKRKKLLSPKGMDVRPMMEVVKGAAFDILQAADGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEAHFVEMDPWVVSNVLRPNLEWTGFLDASVIHPVRVETFLEQADRFAGNGPFDYISVTPPYTQVDYGMLMSQISKSPLVGENTFIWNIL >KJB11422 pep chromosome:Graimondii2_0_v6:1:53180015:53182858:-1 gene:B456_001G257900 transcript:KJB11422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSLILSPLHFNFIANNKDINKNLSFLSANPLIFNISSKPTNINKGSSIVLCSYKSGSGLTAEDKRVLRERYGFDPNEYISEAKNERIKEGKKQGKGKEVVAEEEAKVHRTTHKLLQVLGGKAKRKKLLSPKGMDVRPMMEVVKGAAFDILQAADGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEAHFVEMDPWVVSNVLRPNLEWTGFLDASVIHPVRVETFLEQADRFAGNGPFDYISVTPPYTQVDYGMLMSQISKSPLVGENTFIWNIL >KJB11419 pep chromosome:Graimondii2_0_v6:1:53180335:53182790:-1 gene:B456_001G257900 transcript:KJB11419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSLILSPLHFNFIANNKDINKNLSFLSANPLIFNISSKPTNINKGSSIVLCSYKSGSGLTAEDKRVLRERYGFDPNEYISEAKNERIKEGKKQGKGKEVVAEEEAKVHRTTHKLLQVLGGKAKRKKLLSPKGMDVRPMMEVVKGAAFDILQAADGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEAHFVEMDPWVVSNVLRPNLEWTGFLDASVIHPVRVETFLEQADRFAGNGPFDYISVTPPYTQVDYGMLMSQISKSPLVGENTFIVVEYPLRTDMLDSCGCLVKIKDRRFGRTHLAIYGPKWAQKKRKSDKTLQNTT >KJB11423 pep chromosome:Graimondii2_0_v6:1:53180015:53182858:-1 gene:B456_001G257900 transcript:KJB11423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSLILSPLHFNFIANNKDINKNLSFLSANPLIFNISSKPTNINKGSSIVLCSYKSGSGLTAEDKRVLRERYGFDPNEYISEAKNERIKEGKKQGKGKEVVAEEEAKVHRTTHKLLQVLGGKAKRKKLLSPKGMDVRPMMEVVKGAAFDILQAADGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEAHFVEMDPWVVSNVLRPNLEWTGFLDASVIHPVRVETFLEQADRFAGNGPFDYISVTPPYTQVDYGMLMSQISKSPLVGENTFIVMEYPLRTDMLDSCGCLVKIKDRRFGRTHLAIYGPKWAQKKRKSDKTLQNTT >KJB09155 pep chromosome:Graimondii2_0_v6:1:15904945:15906823:1 gene:B456_001G126500 transcript:KJB09155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLCFSSLNPLSSPFSSSSSSSTNHFLPQLSLTKQDISTLSRTNNSKRRKLLYHPTRLILHPVLLLTGFDKPLDTQNFLATISVLAAIALSLFLGLKGDPVPCDRCAGNGLILCKKCGGSGYSKRL >KJB09154 pep chromosome:Graimondii2_0_v6:1:15904918:15905898:1 gene:B456_001G126500 transcript:KJB09154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLCFSSLNPLSSPFSSSSSSSTNHFLPQLSLTKQDISTLSRTNNSKRRKLLYHPTRLILHPVLLLTGFDKPLDTQNFLATISVLAAIALSLFLGLKGDPVPCDRCAGNGGTKCVFCEDGKMKQETGLIDCRVCKGAGKIYLKVRTQA >KJB09153 pep chromosome:Graimondii2_0_v6:1:15904880:15906859:1 gene:B456_001G126500 transcript:KJB09153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLCFSSLNPLSSPFSSSSSSSTNHFLPQLSLTKQDISTLSRTNNSKRRKLLYHPTRLILHPVLLLTGFDKPLDTQNFLATISVLAAIALSLFLGLKGDPVPCDRCAGNGGTKCVFCEDGKMKQETGLIDCRVCKGAGLILCKKCGGSGYSKRL >KJB08350 pep chromosome:Graimondii2_0_v6:1:8070639:8073935:-1 gene:B456_001G078000 transcript:KJB08350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMYFTIDSTFYVSFTFMLYLTLRIGGKMPREVYAVSIVGPFPIAVTNLLDLTRLDLHNNKLTGPIPPQIGRLRRLRMLNLRWNKLQDVLPPEIGELKKLTHLSLSFNNFKGEIPKELANLPQLRYLYLQENRFTGRIPPELGTLQNLRHMDVGNNHLVGTIRELIRIEGCFPVLRNLYLNNNYLTGGVPAQLSNVTNLEILYLSYNKMSGVIPTALADIPKLTYLYLDHNQFSGRIPNAFYKHPFLKELYIEGNGFRPGVDPIGAHKVLELSDTDFLV >KJB08346 pep chromosome:Graimondii2_0_v6:1:8070400:8071982:-1 gene:B456_001G078000 transcript:KJB08346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFYESHRNLRWNKLQDVLPPEIGELKKLTHLSLSFNNFKGEIPKELANLPQLRYLYLQENRFTGRIPPELGTLQNLRHMDVGNNHLVGTIRELIRIEGCFPVLRNLYLNNNYLTGGVPAQLSNVTNLEILYLSYNKMSGVIPTALADIPKLTYLYLDHNQFSGRIPNAFYKHPFLKELYIEGNGFRPGVDPIGAHKVLELSDTDFLV >KJB08347 pep chromosome:Graimondii2_0_v6:1:8070639:8072545:-1 gene:B456_001G078000 transcript:KJB08347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLRWNKLQDVLPPEIGELKKLTHLSLSFNNFKGEIPKELANLPQLRYLYLQENRFTGRIPPELGTLQNLRHMDVGNNHLVGTIRELIRIEGCFPVLRNLYLNNNYLTGGVPAQLSNVTNLEILYLSYNKMSGVIPTALADIPKLTYLYLDHNQFSGRIPNAFYKHPFLKELYIEGNGFRPGVDPIGAHKVLELSDTDFLV >KJB08352 pep chromosome:Graimondii2_0_v6:1:8070998:8074999:-1 gene:B456_001G078000 transcript:KJB08352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKMVSLWPLTLICFLAFSVSIAHSKTLKRDVKALNEIKASLGWRVVYAWIGDDPCGDGDLPPWSGVTCSTQGDYRVVTELEVYAVSIVGPFPIAVTNLLDLTRLDLHNNKLTGPIPPQIGRLRRLRMLNLRWNKLQDVLPPEIGELKKLTHLSLSFNNFKGEIPKELANLPQLRYLYLQENRFTGRIPPELGTLQNLRHMDVGNNHLVGTIRELIRIEGCFPVLRNLYLNNNYLTGGVPAQLSNVTNLEILYLSYNKMSGVIPTALADIPKLTYL >KJB08349 pep chromosome:Graimondii2_0_v6:1:8070639:8074175:-1 gene:B456_001G078000 transcript:KJB08349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYAVSIVGPFPIAVTNLLDLTRLDLHNNKLTGPIPPQIGRLRRLRMLNLRWNKLQDVLPPEIGELKKLTHLSLSFNNFKGEIPKELANLPQLRYLYLQENRFTGRIPPELGTLQNLRHMDVGNNHLVGTIRELIRIEGCFPVLRNLYLNNNYLTGGVPAQLSNVTNLEILYLSYNKMSGVIPTALADIPKLTYLYLDHNQFSGRIPNAFYKHPFLKELYIEGNGFRPGVDPIGAHKVLELSDTDFLV >KJB08351 pep chromosome:Graimondii2_0_v6:1:8070400:8075085:-1 gene:B456_001G078000 transcript:KJB08351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKMVSLWPLTLICFLAFSVSIAHSKTLKRDVKALNEIKASLGWRVVYAWIGDDPCGDGDLPPWSGVTCSTQGDYRVVTELEVYAVSIVGPFPIAVTNLLDLTRLDLHNNKLTGPIPPQIGRLRRLRMLNLRWNKLQDVLPPEIGELKKLTHLSLSFNNFKGEIPKELANLPQLRYLYLQENRFTGRIPPELGTLQNLRHMDVGNNHLVGTIRELIRIEGCFPVLRNLYLNNNYLTGGVPAQLSNVTNLEILYLSYNKMSGVIPTALADIPKLTYLYLDHNQFSGRIPNAFYKHPFLKELYIEGNGFRPGVDPIGAHKVLELSDTDFLV >KJB08348 pep chromosome:Graimondii2_0_v6:1:8070400:8074585:-1 gene:B456_001G078000 transcript:KJB08348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLRWNKLQDVLPPEIGELKKLTHLSLSFNNFKGEIPKELANLPQLRYLYLQENRFTGRIPPELGTLQNLRHMDVGNNHLVGTIRELIRIEGCFPVLRNLYLNNNYLTGGVPAQLSNVTNLEILYLSYNKMSGVIPTALADIPKLTYLYLDHNQFSGRIPNAFYKHPFLKELYIEGNGFRPGVDPIGAHKVLELSDTDFLV >KJB06242 pep chromosome:Graimondii2_0_v6:1:4030641:4033189:-1 gene:B456_001G042700 transcript:KJB06242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCTNLAPEQLCYIPCNFCNIVLAVSVPCSSLFDIVTVRCGHCTNLWSVNMAAAFQSLSWQDVQGASYATQDYRTDLGSSSKCNTKFSMRAPSKNATEERVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLENQKSN >KJB06243 pep chromosome:Graimondii2_0_v6:1:4030104:4033712:-1 gene:B456_001G042700 transcript:KJB06243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCTNLAPEQLCYIPCNFCNIVLAVSVPCSSLFDIVTVRCGHCTNLWSVNMAAAFQSLSWQDVQVGASYATQDYRTDLGSSSKCNTKFSMRAPSKNATEERVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLENQKSN >KJB06247 pep chromosome:Graimondii2_0_v6:1:4030179:4033439:-1 gene:B456_001G042700 transcript:KJB06247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCTNLAPEQLCYIPCNFCNIVLAVSVPCSSLFDIVTVRCGHCTNLWSVNMAAAFQSLSWQDVQGASYATQDYRTDLGSSSKCNTKFSMRAPSKNATEERVVNRPPEKRQRVPSAYNQFIKGDPKDQGQQS >KJB06246 pep chromosome:Graimondii2_0_v6:1:4030143:4032369:-1 gene:B456_001G042700 transcript:KJB06246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFQSLSWQDVQGASYATQDYRTDLGSSSKCNTKFSMRAPSKNATEERVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLENQKSN >KJB06244 pep chromosome:Graimondii2_0_v6:1:4030104:4033712:-1 gene:B456_001G042700 transcript:KJB06244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCTNLAPEQLCYIPCNFCNIVLAVSVPCSSLFDIVTVRCGHCTNLWSVNMAAAFQSLSWQDVQGASYATQDYRTDLGSSSKCNTKFSMRAPSKNATEERVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLENQKSN >KJB06245 pep chromosome:Graimondii2_0_v6:1:4030641:4032042:-1 gene:B456_001G042700 transcript:KJB06245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFQSLSWQDVQGASYATQDYRTDLGSSSKCNTKFSMRAPSKNATEERVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLENQKSN >KJB06374 pep chromosome:Graimondii2_0_v6:1:6287174:6288272:-1 gene:B456_001G062900 transcript:KJB06374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLIHKLFKTRSTQGLILSFNAPQLQNPLPKLTPSLIPNQLHDFASTKPDFLNHPSFFLDPKSPKDGNFTEPSKFYPNFPFGYLLNPISLSGFDSLMAMEVEEGTTETEIWADSVKKKRKKKMNKHKYKKLRKRLRRKT >KJB10675 pep chromosome:Graimondii2_0_v6:1:43146944:43150747:-1 gene:B456_001G215600 transcript:KJB10675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFELRRAREKLDKEQRERKERAKLKLDRERKAKEEAKKQRDAIEAAQRSRRLDAIEAQLKAEQQMGESLLAGRGVVFYKILEAVPFQGSGDKIKLPPSCFTELSDQGAFDKGPMYFQLSLVHQEGSSATKDDDKENNRTTHSGVLEFTADEGSVAIPPHVWSNLFPVDAPNIPLVEVRYVRLSKGTYAKLQPDGIGFSDLPNHKAILETSLRQHATLSQDDVLTVKYGELTYKLRVLELKPSSSISVLETDIEVDIVNPGVESERTDQYVLKPLAFGASESGLVEEGNYMYYKFSIDDDTWEKLVSDDVKIEVKIDAEANGGDTDLYVSKHPLIFPNRHQHEWSSHDVGSKTLILSSKDRNLGTGTYSLAVYGFKGTTKYQVSVHVQENSKHKVGQQATHSSSMEVDTVECRNCKHFIPSRSIALHEAYCSRHNVVCTHAGCGIVLRIEEAKNHVHCDKCGQAFHLGEMEKHMKVFHEPLRCPCGVVLEKEDMVQHQTSDCPLRLITCRFCGDMVQAGSSAMDVRDRLRGLSEHESICGSRTAPCDSCGRSVMLKDMDIHQIAVHQKN >KJB10863 pep chromosome:Graimondii2_0_v6:1:46570224:46573320:-1 gene:B456_001G229500 transcript:KJB10863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEMNIENGEFEDWRGSKADPAKHGGVTASSIACVVEVLENMVFLSNATNFVEYFLKSMHYSAAESANMVTNFMGTSFLLTIFGGFVSDSFLTRFKTFIIFCTLELLGLILLTIQAQDSRLLPAINSKPSKTQEAILYTGLYAIAAGVGGVKAALPAHGADQLDHSNQRLVSSFFNWFFFSLCLGGLIASTVMIWIQENLGWNWGFKISVVTLSVALCIFSMGFPIYRYKRPGGSPLIRIFKVLASAIANRKASLPEAENTQIYGEKRNHDKFRFLNKALMGESVASTEVEETKTFIGLLPIFASTIMMNCCLAQLMTFSVQQGNIMNRSLNSFKIPTQSLSVFPLSIMLTSIPIYEYFVRMFRSKNNHHPGILNMFQPLRRIGLGLALASGSMAAAAVVEAKRREAANDDVVLSVFWLGWQYLLLGVSDMLTLGGMLEFFYSEAPDTMRSMSTALSWCSTAMGYFISSVLVTISNSVSGHFGKEWLGGSDLNHTRLDLFYMLLCILNFINLLNYIFWARRY >KJB10865 pep chromosome:Graimondii2_0_v6:1:46570224:46573502:-1 gene:B456_001G229500 transcript:KJB10865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIENGEFEDWRGSKADPAKHGGVTASSIACVVEVLENMVFLSNATNFVEYFLKSMHYSAAESANMVTNFMGTSFLLTIFGGFVSDSFLTRFKTFIIFCTLELLGLILLTIQAQDSRLLPAINSKPSKTQEAILYTGLYAIAAGVGGVKAALPAHGADQLDHSNQRLVSSFFNWFFFSLCLGGLIASTVMIWIQENLGWNWGFKISVVTLSVALCIFSMGFPIYRYKRPGGSPLIRIFKVLASAIANRKASLPEAENTQIYGEKRNHDKFRFLNKALMGESVASTEVEETKTFIGLLPIFASTIMMNCCLAQLMTFSVQQGNIMNRSLNSFKIPTQSLSVFPLSIMLTSIPIYEYFVRMFRSKNNHHPGILNMFQPLRRIGLGLALASGSMAAAAVVEAKRREAANDDVVLSVFWLGWQYLLLGVSDMLTLGGMLEFFYSEAPDTMRSMSTALSWCSTAMGYFISSVLVTISNSVSGHFGKEWLGGSDLNHTRLDLFYMLLCILNFINLLNYIFWARRY >KJB10864 pep chromosome:Graimondii2_0_v6:1:46570335:46572907:-1 gene:B456_001G229500 transcript:KJB10864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIENGEFEDWRGSKADPAKHGGVTASSIACVVEVLENMVFLSNATNFVEYFLKSMHYSAAESANMVTNFMGTSFLLTIFGGFVSDSFLTRFKTFIIFCTLELLGLILLTIQAQDSRLLPAINSKPSKTQEAILYTGLYAIAAGVGGVKAALPAHGADQLDHSNQRLVSSFFNWFFFSLCLGGLIASTVMIWIQENLGWNWGFKISVVTLSVALCIFSMGFPIYRYKRPGGSPLIRIFKVLASAIANRKASLPEAENTQIYGEKRNHDKFRFLNKALMGESVASTEVEETKTFIGLLPIFASTIMMNCCLAQLMTFSVQQGNIMNRSLNSFKIPTQSLSVFPLSIMLTSIPIYEYFVRMFRSKNNHHPGILNMFQPLRRIGLGLALASGSMAAAAVVEAKRREAANDDVVLSVFWLGWQYLLLGVSDMLTLGGMLEFFYSEAPDTMRSMSTALSWCSTAMGYFISSVLVTISNSVSGHFGKEWLGGSDLNHTRLDLFYMLLCILNFINLLNYIFWARRY >KJB11561 pep chromosome:Graimondii2_0_v6:1:54404381:54406773:1 gene:B456_001G266900 transcript:KJB11561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEENREFDVDVDDNDDDGFEDENNIPNSQNKKRKKKKLLNEGGDADNRGVCYLSRVPPHMDHVKLRQLLSQYGEILRIYLTPSGHQPQVKVKRPRPSKVQEQEFSEGWVEFARKGIAKRVANMLNGEQIGGRKRSSFYYDIWNIKYLSKFKWDDLTEEIAYKSAIREQKLALEISAAKRERDFYLSKVDQSRKLSSIEERMKKKQKVQEESGMNSELPVSHKKVIRQFPQKKPVAVDTSQGKPTLSKDVLAGIFSQV >KJB11562 pep chromosome:Graimondii2_0_v6:1:54404383:54406766:1 gene:B456_001G266900 transcript:KJB11562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFLQKFSTHSHIFTYSPPPNKLLTALLQCLTGALFLRRTKILNKEASMTEEENREFDVDVDDNDDDGFEDENNIPNSQNKKRKKKKLLNEGGDADNRGVCYLSRVPPHMDHVKLRQLLSQYGEILRIYLTPSGHQPQVKVKRPRPSKVQEQEFSEGWVEFARKGIAKRVANMLNGEQIGGRKRSSFYYDIWNIKYLSKFKWDDLTEEIAYKSAIREQKLALEISAAKRERDFYLSKVDQSRKLSSIEERMKKKQKVQEESGMNSELPVSHKKVIRQFPQKKPVAVDTSQGKPTLSKDVLAGVNIFSGLVIKV >KJB11560 pep chromosome:Graimondii2_0_v6:1:54404371:54406799:1 gene:B456_001G266900 transcript:KJB11560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEENREFDVDVDDNDDDGFEDENNIPNSQNKKRKKKKLLNEGGDADNRGVCYLSRVPPHMDHVKLRQLLSQYGEILRIYLTPSGHQPQVKVKRPRPSKVQEQEFSEGWVEFARKGIAKRVANMLNGEQIGGRKRSSFYYDIWNIKYLSKFKWDDLTEEIAYKSAIREQKLALEISAAKRERDFYLSKVDQSRKLSSIEERMKKKQKVQEESGMNSELPVSHKKVIRQFPQKKPVAVDTSQGKPTLSKDVLAGIFSQV >KJB07321 pep chromosome:Graimondii2_0_v6:1:23684263:23687009:-1 gene:B456_001G166600 transcript:KJB07321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAQPTITTKKALPFSFATRRTPSPFPTTNKIERSPKALGRSAGRTEEVCPARGGSVESFCCLGRELYDLFPYPILWQLQLATTRARPARPERAQRSASIRITLGGLSLLPDLERYYESSVPDSIAAAIWFTGTTKKSHAYVTVIDGFIIFDYEGPGRASGFHSHHHIDDKSPLQRTVAPPTRKGINIETSLPFESENLWRKSGFKFPETTRLYSQREYAAPKIIPSAANVATKLFLWKAPTKMRNSPIKLLVPGELILAKVKEKKMVERFGMVLTKPPAQRFSSFTNRAAL >KJB07519 pep chromosome:Graimondii2_0_v6:1:2641052:2645336:1 gene:B456_001G028000 transcript:KJB07519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLKPMEPTRIHSNGDSLFQDITFAPDIPVYATTAAYNKDPCPNKLHLGIGVYRTEEGKCHTLNVVKQVEQALANDLSADKEYLPITGMPEFNKLSAKLMFGSGSPAIKESRVVTVQCVSGCLSLRVGAEFLAKHYHQHVVYLPEPTYGNHPNLISAAGLTLKTYRYYDPKTLVLDFQGLLEDLGSALTGAIVLFHACGHNPTGVDPTCQQWEQIRQLVRQRGLLPFFDCAYQGLVSGNLDDDAQSIRMFGCDGGECFVAQSYSKNMGLYGERIGSLSIVCKTADVARRVESQLKLIIRPLYSNPPIHGAAIVTAILKDRDLYQKWTNELKAMRDRLVQVRRQLYDSLCNKGNRL >KJB07520 pep chromosome:Graimondii2_0_v6:1:2642515:2646262:1 gene:B456_001G028000 transcript:KJB07520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEFNKLSAKLMFGSGSPAIKESRVVTVQCVSGCLSLRVGAEFLAKHYHQHVVYLPEPTYGNHPNLISAAGLTLKTYRYYDPKTLVLDFQGLLEDLGSALTGAIVLFHACGHNPTGVDPTCQQWEQIRQLVRQRGLLPFFDCAYQGLVSGNLDDDAQSIRMFGCDGGECFVAQSYSKNMGLYGERIGSLSIVCKTADVARRVESQLKLIIRPLYSNPPIHGAAIVTAILKDRDLYQKWTNELKAMRDRLVQVRRQLYDSLCNKGTPGDWSHIIKQVGMYSFTGLNKDQVDFLTREYHIYMSSDGRINIGGLSSKAVPYFADAIHDAVISSLPSSTLA >KJB07518 pep chromosome:Graimondii2_0_v6:1:2640994:2646316:1 gene:B456_001G028000 transcript:KJB07518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLKPMEPTRIHSNGDSLFQDITFAPDIPVYATTAAYNKDPCPNKLHLGIGVYRTEEGKCHTLNVVKQVEQALANDLSADKEYLPITGMPEFNKLSAKLMFGSGSPAIKESRVVTVQCVSGCLSLRVGAEFLAKHYHQHVVYLPEPTYGNHPNLISAAGLTLKTYRYYDPKTLVLDFQGLLEDLGSALTGAIVLFHACGHNPTGVDPTCQQWEQIRQLVRQRGLLPFFDCAYQGLVSGNLDDDAQSIRMFGCDGGECFVAQSYSKNMGLYGERIGSLSIVCKTADVARRVESQLKLIIRPLYSNPPIHGAAIVTAILKDRDLYQKWTNELKAMRDRLVQVRRQLYDSLCNKGTPGDWSHIIKQVGMYSFTGLNKDQVDFLTREYHIYMSSDGRINIGGLSSKAVPYFADAIHDAVISSLPSSTLA >KJB11415 pep chromosome:Graimondii2_0_v6:1:53129466:53131006:-1 gene:B456_001G257400 transcript:KJB11415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIGDSWAQIGSTIAGLMFTLATLQQFFPHQLRLSLQQFVLASLQQFSLVQKLCDKFVNLFSPYIPITFPEYSGYWSNQAFDAIETYVGALSTTKASLLKGSLVQNSKALVLTRDDRKVCDEFNAVQVWWVLEPSPTSSSEDKYFQLIFRRQHRDLITGSYLDHVIEQGKAIQAKNKQRRLYTNNPSENWRSYKKNLWSDIAFESPATFQTIAMDPSKKEEIINDLVSFSKGKEYYMKLGKPWKRGYLLYGPPGTGKSTMIAAMANFLNYDIYDLELTTVKTNTELRKLLAETSSKSIIVIEDIDCSLDVTGERKKGRPKSNEKETEPENEKTSKVTLSGILNFIDGTWSACGTGRIFVFTTNHIDKLDPALIRRGRMDMHIELSYCSFEAFKILAKNYLDVDSHDLFEKIGILLKETEMTPADVAENLMPKREKKDPDACLNSLIQALEDAKEKAKLKAEKEKNIKINKGKNMKFYAKRLLF >KJB06495 pep chromosome:Graimondii2_0_v6:1:4245930:4246485:1 gene:B456_001G044600 transcript:KJB06495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSQNSISSPTFKGSTGFGTYSWSTHNTQPQCFGKHAVNSQGTPSNSKFSTTSSSSTIPTGRQCAREVKRFKCNGCGYLSCDCLNRRLMISYGHLRCRHLESTICRQL >KJB09118 pep chromosome:Graimondii2_0_v6:1:15510913:15511545:-1 gene:B456_001G124400 transcript:KJB09118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEYCPHHQDGNQLVKRIAIAIVGLLIVCAIVVLLVWAILHPSKPRFLLQDVTIYAFNLSTAPHLLTSNLQITLAARNPNSRIGISYRKLDIFASYRNQQITLPTLLPTTYQGRRDFTIWSPFLYGNDVPVAPFLQAGLSADMNGGLVMLDIKVFGHVKWKVGSWISRRYQINVHCPAYISFSDRIKGFQVGSAMKYQLLQTCRVDISL >KJB08353 pep chromosome:Graimondii2_0_v6:1:8093423:8096294:-1 gene:B456_001G078200 transcript:KJB08353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQSQQQLQQSSFAYLSHSSNPTPNPVLLYPQPPTVPYEPFLYPPGTDPYAYKPQLTHVAVEAQAEIYEDPNGASQSWITRQAGPIRYDATLSVAASNSNNGSNQSLVNNVISASNQTALIQPMRCEVCNIECQTKDVYEKHITGKKHRRKLQEKISSSTAILPESSNTMIYGASCVANAEELERKKQKLLDSGAAVNSVRMCTICNVACNSHEVFVKHLSGRRHAAQAGLIAVDGVGPYLAAVRANDQFWNKGKKTSKVVQSSWCEVCEINCNSGDAYAQHLSGKKHLKKLENLEKSKKGTSDPSIGAPAEMNQMIKPVENPAASSSDGGVSVQNPVAAQPEASKEDLETKKLKVMEGGTAAADVRVCTICNVVCNSEKVFKYHLTGQKHAAMVKKQAATTS >KJB07464 pep chromosome:Graimondii2_0_v6:1:2400155:2406008:1 gene:B456_001G025900 transcript:KJB07464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSPNGSLQKQSLPPKNYGITKPISLAGPSEADIQRNTELEKFLIESGLYESKEEAAKREEVLGHISEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMRFKFQGISIDLLYASISLLVVPDDLDISRESVLHNVDEQTVRSLNGCRVADQILKLVPNVKHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSLSTLRVMMEQFQFGNRICEEIELNKAQWSALFEPHLFFEAYKNYLQVDIVSADADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGLEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYRRPRSLRHPSQQTGKTCEDVTTSRSGSAERQIKRKRDDETVDEKLNKPEKRASISPLRMESVSPDIITSKSVGTSHNSNGQAVKVEHRGTVDLDSLRGQTSLDIDDSSVVRSVESAEQIGLPFRQELLSPCEVSDFETRETCKAGLNQEKTADSTSAFINDPEIGSSRRILNWKGVGAEVDQEVVKACNQTAAVEIAESVFGSSSNAQNLNCKGSVCGADLDSLLEKGHLNASAVFQNSLSEELKPSISVGKVVNSQDGASRLSLKSTA >KJB07463 pep chromosome:Graimondii2_0_v6:1:2400155:2406008:1 gene:B456_001G025900 transcript:KJB07463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSPNGSLQKQSLPPKNYGITKPISLAGPSEADIQRNTELEKFLIESGLYESKEEAAKREEVLGHISEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMRFKFQGISIDLLYASISLLVVPDDLDISRESVLHNVDEQTVRSLNGCRVADQILKLVPNVKHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSLSTLRVMMEQFQFGNRICEEIELNKAQWSALFEPHLFFEAYKNYLQVDIVSADADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGLEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYRRPRSLRHPSQQTGKTCEDVTTSRSGSAERQIKRKRDDETVDEKLNKPEKRASISPLRMESVSPDIITSKSVGTSHNSNGQAVKVEHRGTVDLDSLRGQTSLDIDDSSVVRSVESAEQIGLPFRQELLSPCEVSDFETRETCKAGLNQEKTADSTSAFINDPEIGSSRRILNWKGVGAEVDQEVVKACNQTAAVEIAESVFGSSSNAQNLNCKGSVCGADLDSLLEKGHLNASAVFQNSLSEELKPSISVGKVVNSQDGARSETLQKPVMSRLSLKSTA >KJB07460 pep chromosome:Graimondii2_0_v6:1:2399702:2406008:1 gene:B456_001G025900 transcript:KJB07460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSPNGSLQKQSLPPKNYGITKPISLAGPSEADIQRNTELEKFLIESGLYESKEEAAKREEVLGHISEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMRFKFQGISIDLLYASISLLVVPDDLDISRESVLHNVDEQTVRSLNGCRVADQILKLVPNVKHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSLSTLRVMMEQFQFGNRICEEIELNKAQWSALFEPHLFFEAYKNYLQVDIVSADADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGLEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYRRPRSLRHPSQQTGKTCEDVTTSRSGSAERQIKRKRDDETVDEKLNKPEKRASISPLRMESVSPDIITSKSVGTSHNSNGQAVKVEHRGTVDLDSLRGQTSLDIDDSSVVRSVESAEQIGLPFRQELLSPCEVSDFETRETCKAGLNQEKTADSTSAFINDPEIGSSRRILNWKGVGAEVDQEVVKACNQTAAVEIAESVFGSSSNAQNLNCKGSVCGADLDSLLEKGHLNASAVFQNSLSEELKPSISVGKVVNSQDGARSETLQKPVMRLSLKSTA >KJB07466 pep chromosome:Graimondii2_0_v6:1:2400155:2406008:1 gene:B456_001G025900 transcript:KJB07466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSPNGSLQKQSLPPKNYGITKPISLAGPSEADIQRNTELEKFLIESGLYESKEEAAKREEVLGHISEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMRFKFQGISIDLLYASISLLVVPDDLDISRESVLHNVDEQTVRSLNGCRVADQILKLVPNVKHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSLSTLRVMMEQFQFGNRICEEIELNKAQWSALFEPHLFFEAYKNYLQVDIVSADADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGLEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYRRPRSLRHPSQQTGKTCEDVTTSRSGSAERQIKRKRDDETVDEKLNKPEKRASISPLRMESVSPDIITSKSVGTSHNSNGQAVKVEHRGTVDLDSLRGQTSLDIDDSSVVRSVESAEQIGLPFRQELLSPCEVSDFETRETCKAGLNQEKTADSTSAFINDPEIGSSRRILNWKGVGAEVDQEVVKACNQTAAVEIAESVFGSSSNAQNLNCKGSVCGADLDSLLEKGHLNASAVFQNSLSEELKPSISVGKVVNSQDGARSETLQKPVMRLSLKSTA >KJB07467 pep chromosome:Graimondii2_0_v6:1:2400876:2406008:1 gene:B456_001G025900 transcript:KJB07467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMRFKFQGISIDLLYASISLLVVPDDLDISRESVLHNVDEQTVRSLNGCRVADQILKLVPNVKHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSLSTLRVMMEQFQFGNRICEEIELNKAQWSALFEPHLFFEAYKNYLQVDIVSADADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGLEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYRRPRSLRHPSQQTGKTCEDVTTSRSGSAERQIKRKRDDETVDEKLNKPEKRASISPLRMESVSPDIITSKSVGTSHNSNGQAVKVEHRGTVDLDSLRGQTSLDIDDSSVVRSVESAEQIGLPFRQELLSPCEVSDFETRETCKAGLNQEKTADSTSAFINDPEIGSSRRILNWKGVGAEVDQEVVKACNQTAAVEIAESVFGSSSNAQNLNCKGSVCGADLDSLLEKGHLNASAVFQNSLSEELKPSISVGKVVNSQDGARSETLQKPVMRLSLKSTA >KJB07465 pep chromosome:Graimondii2_0_v6:1:2400155:2406008:1 gene:B456_001G025900 transcript:KJB07465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSPNGSLQKQSLPPKNYGITKPISLAGPSEADIQRNTELEKFLIESGLYESKEEAAKREEVLGHISEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMRFKFQGISIDLLYASISLLVVPDDLDISRESVLHNVDEQTVRSLNGCRVADQILKLVPNVKHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSLSTLRVMMEQFQFGNRICEEIELNKAQWSALFEPHLFFEAYKNYLQVDIVSADADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGLEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYRRPRSLRHPSQQTGKTCEDVTTSRSGSAERQIKRKRDDETVDEKLNKPEKRASISPLRMESVSPDIITSKSVGTSHNSNGQAVKVEHRGTVDLDSLRGQTSLDIDDSSVVRSVESAEQIGLPFRQELLSPCEVSDFETRETCKAGLNQEKTADSTSAFINDPEIGSSRRILNWKGVGAEVDQEVVKACNQTAAVEIAESVFGSSSNAQNLNCKGSVCGADLDSLLEKGHLNASAVFQNSLSEELKPSISVGKVVNSQDGARLSLKSTA >KJB07459 pep chromosome:Graimondii2_0_v6:1:2399675:2406292:1 gene:B456_001G025900 transcript:KJB07459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSPNGSLQKQSLPPKNYGITKPISLAGPSEADIQRNTELEKFLIESGLYESKEEAAKREEVLGHISEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMRFKFQGISIDLLYASISLLVVPDDLDISRESVLHNVDEQTVRSLNGCRVADQILKLVPNVKHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSLSTLRVMMEQFQFGNRICEEIELNKAQWSALFEPHLFFEAYKNYLQVDIVSADADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGLEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYRRPRSLRHPSQQTGKTCEDVTTSRSGSAERQIKRKRDDETVDEKLNKPEKRASISPLRMESVSPDIITSKSVGTSHNSNGQAVKVEHRGTVDLDSLRGQTSLDIDDSSVVRSVESAEQIGLPFRQELLSPCEVSDFETRETCKAGLNQEKTADSTSAFINDPEIGSSRRILNWKGVGAEVDQEVVKACNQTAAVEIAESVFGSSSNAQNLNCKGSVCGADLDSLLEKGHLNASAVFQNSLSEELKPSISVGKVVNSQDGARSETLQKPVMRLSLKSTA >KJB07462 pep chromosome:Graimondii2_0_v6:1:2400155:2404827:1 gene:B456_001G025900 transcript:KJB07462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSPNGSLQKQSLPPKNYGITKPISLAGPSEADIQRNTELEKFLIESGLYESKEEAAKREEVLGHISEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMRFKFQGISIDLLYASISLLVVPDDLDISRESVLHNVDEQTVRSLNGCRVADQILKLVPNVKHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSLSTLRVMMEQFQFGNRICEEIELNKAQWSALFEPHLFFEAYKNYLQVDIVSADADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGLEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYRRPRSLRHPSQQTGKTCEDVTTSRSGSAERQIKRKRDDETVDEKLNKPEKRASISPLRMESVSPDIITSKSVGTSHNSNGQAVKVEHRGTVDLDSLRGQTSLDIDDSSVVRSVESAEQIGLPFRQELLSPCEVSDFETRETCKAGLNQEKTADSTSAFINDPEIGSSRRILNWKGVGAEVDQEVVKACNQTAAVEIAESVFGSSSNAQNLNCKGSVCGADLDSLLEKGHLNASAVFQNSLSEELKVLNLTLGHKLLAYFFSIL >KJB07458 pep chromosome:Graimondii2_0_v6:1:2399675:2406104:1 gene:B456_001G025900 transcript:KJB07458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSPNGSLQKQSLPPKNYGITKPISLAGPSEADIQRNTELEKFLIESGLYESKEEAAKREEVLGHISEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMRFKFQGISIDLLYASISLLVVPDDLDISRESVLHNVDEQTVRSLNGCRVADQILKLVPNVKHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSLSTLRVMMEQFQFGNRICEEIELNKAQWSALFEPHLFFEAYKNYLQVDIVSADADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGLEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYRRPRSLRHPSQQTGKTCEDVTTSRSGSAERQIKRKRDDETVDEKLNKPEKRASISPLRMESVSPDIITSKSVGTSHNSNGQAVKVEHRGTVDLDSLRGQTSLDIDDSSVVRSVESAEQIGLPFRQELLSPCEVSDFETRETCKAGLNQEKTADSTSAFINDPEIGSSRRILNWKGVGAEVDQEVVKACNQTAAVEIAESVFGSSSNAQNLNCKGSVCGADLDSLLEKGHLNASAVFQNSLSEELKPSISVGKVVNSQDGARLSLKSTA >KJB07461 pep chromosome:Graimondii2_0_v6:1:2400155:2404543:1 gene:B456_001G025900 transcript:KJB07461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSPNGSLQKQSLPPKNYGITKPISLAGPSEADIQRNTELEKFLIESGLYESKEEAAKREEVLGHISEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMRFKFQGISIDLLYASISLLVVPDDLDISRESVLHNVDEQTVRSLNGCRVADQILKLVPNVKHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSLSTLRVMMEQFQFGNRICEEIELNKAQWSALFEPHLFFEAYKNYLQVDIVSADADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGLEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYRRPRSLRHPSQQTGKTCEDVTTSRSGSAERQIKRKRDDETVDEKLNKPEKRASISPLRMESVSPDIITSKSVGTSHNSNGQAVKVEHRGTVDLDSLRGQTSLDIDDSSVVRSVESAEQIGLPFRQELLSPCEVSDFETRETCKAGLNQEKTADSTSAFINDPEIGSSRRILNWKGVGAEVDQEVVKACNQTAAVEIAESVFGSSSNAQNLNCKVSFFV >KJB07468 pep chromosome:Graimondii2_0_v6:1:2401395:2406008:1 gene:B456_001G025900 transcript:KJB07468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVTELQPVPDAHVPVMRFKFQGISIDLLYASISLLVVPDDLDISRESVLHNVDEQTVRSLNGCRVADQILKLVPNVKHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSLSTLRVMMEQFQFGNRICEEIELNKAQWSALFEPHLFFEAYKNYLQVDIVSADADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGLEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYRRPRSLRHPSQQTGKTCEDVTTSRSGSAERQIKRKRDDETVDEKLNKPEKRASISPLRMESVSPDIITSKSVGTSHNSNGQAVKVEHRGTVDLDSLRGQTSLDIDDSSVVRSVESAEQIGLPFRQELLSPCEVSDFETRETCKAGLNQEKTADSTSAFINDPEIGSSRRILNWKGVGAEVDQEVVKACNQTAAVEIAESVFGSSSNAQNLNCKGSVCGADLDSLLEKGHLNASAVFQNSLSEELKPSISVGKVVNSQDGARSETLQKPVMRLSLKSTA >KJB07150 pep chromosome:Graimondii2_0_v6:1:32718534:32720098:1 gene:B456_001G193200 transcript:KJB07150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMVNDDPAMVGDVNIYMNDLDDPQLAEVEIMIAEPKSRGKGLGKESVLMMMAYAVQNFRIHVFRAKIGASNGSSLSLFRKLGFKETSYSEIFKEVTLELAVTEQKQEELLQLLGSVVTHA >KJB07156 pep chromosome:Graimondii2_0_v6:1:32717846:32720318:1 gene:B456_001G193200 transcript:KJB07156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSLEGQKVIMVPYMEAHVPKYHLWMQDPALLQATGSEPLSLQQEYDMQLSWNQDPLKKTFIILDKEMVGEKFVHVNPHVEAMVGDVNIYMNDLDDPQLAEVEIMIAEPKSRGKGLGKESVLMMMAYAVQNFRIHVFRAKIGASNGSSLRLQGDFL >KJB07151 pep chromosome:Graimondii2_0_v6:1:32717964:32720318:1 gene:B456_001G193200 transcript:KJB07151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKVTSSTTFFLIAQNLVKPFDFFSVLFWTDMELMVNDDPAMVGDVNIYMNDLDDPQLAEVEIMIAEPKSRGKGLGKESVLMMMAYAVQNFRIHVFRAKIGASNGSSLSLFRKLGFKETSYSEIFKEVTLELAVTEQKQEELLQLLGSVVTHA >KJB07157 pep chromosome:Graimondii2_0_v6:1:32717964:32720318:1 gene:B456_001G193200 transcript:KJB07157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSLEGQKVIMVPYMEAHVPKYHLWMQDPALLQATGSEPLSLQQEYDMQLSWNQDPLKKTFIILDKEMVGEKFVHVNPHVEAMVGDVNIYMNDLDDPQLAEVEIMIAEPKRIAVVRALGKSLS >KJB07149 pep chromosome:Graimondii2_0_v6:1:32717843:32720360:1 gene:B456_001G193200 transcript:KJB07149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSLEGQKVIMVPYMEAHVPKYHLWMQDPALLQATGSEPLSLQQEYDMQLSWNQDPLKKTFIILDKEMVGEKFVHVNPHVEAMVGDVNIYMNDLDDPQLAEVEIMIAEPKSRGKGLGKESVLMMMAYAVQNFRIHVFRAKIGASNGSSLSLFRKLGFKETSYSEIFKEVTLELAVTEQKQEELLQLLGSVVTHA >KJB07152 pep chromosome:Graimondii2_0_v6:1:32717846:32720318:1 gene:B456_001G193200 transcript:KJB07152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEKFVHVNPHVEAMVGDVNIYMNDLDDPQLAEVEIMIAEPKSRGKGLGKESVLMMMAYAVQNFRIHVFRAKIGASNGSSLSLFRKLGFKETSYSEIFKEVTLELAVTEQKQEELLQLLGSVVTHA >KJB07155 pep chromosome:Graimondii2_0_v6:1:32718441:32719663:1 gene:B456_001G193200 transcript:KJB07155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKVTSSTTFFLIAQNLVKPFDFFSVLFWTDMELMVNDDPAMVGDVNIYMNDLDDPQLAEVEIMIAEPKSRGKGLGKESVLMMMAYAVQNFRIHVFRAKIGASNGSSLSLFRKLVSVLLSSLTHTYLHSCS >KJB07158 pep chromosome:Graimondii2_0_v6:1:32717846:32720368:1 gene:B456_001G193200 transcript:KJB07158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSLEGQKVIMVPYMEAHVPKYHLWMQDPALLQATGSEPLSLQQEYDMQLSWNQDPLKKTFIILDKEMVGEKFVHVNPHVEAMVGDVNIYMNDLDDPQLAEVEIMIAEPKSRGKGLGKESVLMMMAYAVQNFRIHVFRAKIGASNGSSLSLFRKLVSVLLSSLTHTYLHSCS >KJB07153 pep chromosome:Graimondii2_0_v6:1:32718441:32719663:1 gene:B456_001G193200 transcript:KJB07153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKVTSSTTFFLIAQNLVKPFDFFSVLFWTDMELMVNDDPAMVGDVNIYMNDLDDPQLAEVEIMIAEPKSRGKGLGKESVLMMMAYAVQNFRIHVFRAKIGASNGSSLSLFRKLVSVLLSSLTHTYLHSCS >KJB07154 pep chromosome:Graimondii2_0_v6:1:32717846:32720318:1 gene:B456_001G193200 transcript:KJB07154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSLEGQKVIMVPYMEAHVPKYHLWMQDPALLQATGSEPLSLQQEYDMQLSWNQDPLKKTFIILDKEMVGEKFVHVNPHVEAMVGDVNIYMNDLDDPQLAEVEIMIAEPKRASRRLLIAKYSRR >KJB10736 pep chromosome:Graimondii2_0_v6:1:44606654:44609923:1 gene:B456_001G219900 transcript:KJB10736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENGKLFIGGISWETNEERLKEYFSSFGEVVEAMIMKDWTTGRARGFGFIVFSDLAVAEKVIKEKHNIDGRMVEAKKAVPRDDQNIMSRSTSSIQGSPSLCRIRKIFVGGLASTVTDSDFKKYFDHFGNITDVVVMYDHNTQRPRGFGFVTYDSEEAVDKVLLKSFHELNGKMVEVKRAVPKELLPGPCRSPLVGYNCGLNRVNNFLSGCTQGYTPSNIGGFGLRTDGRFSTVPGGRSGFPPCGSGYGMGMNFEPGLNPSFGNSANFSSNMNYGRGLNPYYIGNANRFGSPIGYDGSTGGNTSFFSSVTRNLWGNGGLNYNTNGSSSNAYIGSGSVSIGGSAFGNSGINWSSAIANQDEGSNVSNNSVHFAYGSADDSFRLGIVGYGRNNGTNVAPTSSYASNFGYDVAFTDLYGGASAYGDITWRSSTFKRDGSGSFGYGLGSATSDVSGKISPGYVSSHKVNKRQASRGIAT >KJB10735 pep chromosome:Graimondii2_0_v6:1:44607094:44609923:1 gene:B456_001G219900 transcript:KJB10735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENGKLFIGGISWETNEERLKEYFSSFGEVVEAMIMKDWTTGRARGFGFIVFSDLAVAEKVIKEKHNIDGRMVEAKKAVPRDDQNIMSRSTSSIQGSPSLCRIRKIFVGGLASTVTDSDFKKYFDHFGNITDVVVMYDHNTQRPRGFGFVTYDSEEAVDKVLLKSFHELNGKMVEVKRAVPKELLPGPCRSPLVGYNCGLNRVNNFLSGCTQGYTPSNIGGFGLRTDGRFSTVPGGRSGFPPCGSGYGMGMNFEPGLNPSFGNSANFSSNMNYGRGLNPYYIGNANRFGSPIGYDGSTGGNTSFFSSVTRNLWGNGGLNYNTNGSSSNAYIGSGSVSIGGSAFGNSGINWSSAIANQDEGSNVSNNSVHFAYGSADDSFRLGIVGYGRNNGTNVAPTSSYASNFGYDVAFTDLYGGASAYGDITWRSSTFKRDGSGSFGYGLGSATSDVSGKISPGYVSSHKVNKRQASRGIAT >KJB07635 pep chromosome:Graimondii2_0_v6:1:3153567:3163417:-1 gene:B456_001G034600 transcript:KJB07635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRRCLSGWIGVRPLVNGKSLYSLYIYVQNGRETVWPHDPQTGWSYSVTIPSWVVLPKARDSDPVVFYRVQVGLQSPEGVTTTRGVLRRFNDFLKLFTELKTAFPKKSLPPAPPKGLLRMKSRVLLEERRYSLEEWMTKLLSDFDLSRSVTVASFLELEAAARSAFQEVNKCSSEPNVAGNSTISSNEIHPSSNTSHIAGCSSVTSDYGSDTAYETSELGSPRLGRENSSDIGLGDLTLDEDLSGSIENFVKYGMSNIDEGLSMGQTILEQLEDFPRHKTHNRNINKTLEKDTYNGNGSRASFHGTDGLELFSEPEPAKAAGHARKLSTESVGSDVTSLRGSDMCNFRIPNSSCDLPGTSEVLSTMGTLGKSDLQFSGATQIVLPLDQRHKMNRFLLTMQQGLFTAKTDMEDLIARLNQEIAVKGYLTTKVKDLEVELESTKQKSKENLQQALLIERERFTQMQWEMAELRRKLLEMELNLNPKQDEMQITETTNHSAAKEEDAMLQELNASKEQLNIISKQYEELETKSKAEIKVLVKEVKSLRKSEKELKQEVDQSLSKISEVEVQLEHERQISKHVRTAREKLLNECQLLHNRLLECNVNLSIVDDENLIKDSSLVEEALDLLTKSDDKITVLLAEVQLLAKEDSSAIGDTDNVHDNHYDNRIDDELRKIIADIFTDNAKLRKQVNSQLQHRLKCDIMSKNNDKELEKS >KJB07633 pep chromosome:Graimondii2_0_v6:1:3153449:3163450:-1 gene:B456_001G034600 transcript:KJB07633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRRSPPKHRHDGTSPLPLGMDWSPPPRKWNGRETVWPHDPQTGWSYSVTIPSWVVLPKARDSDPVVFYRVQVGLQSPEGVTTTRGVLRRFNDFLKLFTELKTAFPKKSLPPAPPKGLLRMKSRVLLEERRYSLEEWMTKLLSDFDLSRSVTVASFLELEAAARSAFQEVNKCSSEPNVAGNSTISSNEIHPSSNTSHIAGCSSVTSDYGSDTAYETSELGSPRLGRENSSDIGLGDLTLDEDLSGSIENFVKYGMSNIDEGLSMGQTILEQLEDFPRHKTHNRNINKTLEKDTYNGNGSRASFHGTDGLELFSEPEPAKAAGHARKLSTESVGSDVTSLRDLQFSGATQIVLPLDQRHKMNRFLLTMQQGLFTAKTDMEDLIARLNQEIAVKGYLTTKVKDLEVELESTKQKSKENLQQALLIERERFTQMQWEMAELRRKLLEMELNLNPKQDEMQITETTNHSAAKEEDAMLQELNASKEQLNIISKQYEELETKSKAEIKVLVKEVKSLRKSEKELKQEVDQSLSKISEVEVQLEHERQISKHVRTAREKLLNECQLLHNRLLECNVNLSIVDDENLIKDSSLVEEALDLLTKSDDKITVLLAEVQLLAKEDSSAIGDTDNVHDNHYDNRIDDELRKIIADIFTDNAKLRKQVNSQLQHRLKCDIMSKNNDKELEKS >KJB07634 pep chromosome:Graimondii2_0_v6:1:3153466:3163417:-1 gene:B456_001G034600 transcript:KJB07634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRRCLSGWIGVRPLVNGMGGKLFGHMILKQDGVTLLQYHLGLSFPKQEIQILLWVQVGLQSPEGVTTTRGVLRRFNDFLKLFTELKTAFPKKSLPPAPPKGLLRMKSRVLLEERRYSLEEWMTKLLSDFDLSRSVTVASFLELEAAARSAFQEVNKCSSEPNVAGNSTISSNEIHPSSNTSHIAGCSSVTSDYGSDTAYETSELGSPRLGRENSSDIGLGDLTLDEDLSGSIENFVKYGMSNIDEGLSMGQTILEQLEDFPRHKTHNRNINKTLEKDTYNGNGSRASFHGTDGLELFSEPEPAKAAGHARKLSTESVGSDVTSLRDLQFSGATQIVLPLDQRHKMNRFLLTMQQGLFTAKTDMEDLIARLNQEIAVKGYLTTKVKDLEVELESTKQKSKENLQQALLIERERFTQMQWEMAELRRKLLEMELNLNPKQDEMQITETTNHSAAKEEDAMLQELNASKEQLNIISKQYEELETKSKAEIKVLVKEVKSLRKSEKELKQEVDQSLSKISEVEVQLEHERQISKHVRTAREKLLNECQLLHNRLLECNVNLSIVDDENLIKDSSLVEEALDLLTKSDDKITVLLAEVQLLAKEDSSAIGDTDNVHDNHYDNRIDDELRKIIADIFTDNAKLRKQVNSQLQHRLKCDIMSKNNDKELEKS >KJB07636 pep chromosome:Graimondii2_0_v6:1:3153567:3163594:-1 gene:B456_001G034600 transcript:KJB07636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRRSPPKHRHDGTSPLPLGMDWSPPPRKWNGRETVWPHDPQTGWSYSVTIPSWVVLPKARDSDPVVFYRVQVGLQSPEGVTTTRGVLRRFNDFLKLFTELKTAFPKKSLPPAPPKGLLRMKSRVLLEERRYSLEEWMTKLLSDFDLSRSVTVASFLELEAAARSAFQEVNKCSSEPNVAGNSTISSNEIHPSSNTSHIAGCSSVTSDYGSDTAYETSELGSPRLGRENSSDIGLGDLTLDEDLSGSIENFVKYGMSNIDEGLSMGQTILEQLEDFPRHKTHNRNINKTLEKDTYNGNGSRASFHGTDGLELFSEPEPAKAAGHARKLSTESVGSDVTSLRGSDMCNFRIPNSSCDLPGTSEVLSTMGTLGKSDLQFSGATQIVLPLDQRHKMNRFLLTMQQGLFTAKTDMEDLIARLNQEIAVKGYLTTKVKDLEVELESTKQKSKENLQQALLIERERFTQMQWEMAELRRKLLEMELNLNPKQDEMQITETTNHSAAKEEDAMLQELNASKEQLNIISKQYEELETKSKAEIKVLVKEVKSLRKSEKELKQEVDQSLSKISEVEVQLEHERQISKHVRTAREKLLNECQLLHNRLLECNVNLSIVDDENLIKDSSLVEEALDLLTKSDDKITVLLAEVQLLAKEDSSAIGDTDNVHDNHYDNRIDDELRKIIADIFTDNAKLRKQVNSQLQHRLKCDIMSKNNDKELEKS >KJB09509 pep chromosome:Graimondii2_0_v6:1:19939078:19941150:1 gene:B456_001G146800 transcript:KJB09509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCSKTNMVMVFGEITTKANVDYEKIVRDTCRNIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKRPEDIGAGDQGHMFGYASDETPEFMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYDDNGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDGKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCLVQVSYAIGVPEPLSVFVNSYGTGKIPDKEILQIVKENFDFRPGMITINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQS >KJB10470 pep chromosome:Graimondii2_0_v6:1:39224533:39227158:1 gene:B456_001G202800 transcript:KJB10470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKRYEDRRRIGSVKTAVNIYGEMILDGNSSLKKPQEDSPEPSSRVKQLHRARRDKNRYKESRKTAESELFSSRGTDKDLAFMVEESKFKAKSRMSDIESLRKNGYLENKALDVENRSLASYRYEEVMRELQVVKKELSQLKLDMASVMAEKARAKKEFEDSSFTMLSNAASAEALSKQIEAANEEHVLVELAQIEALKEVGETEAQREKEAGEFSFRMEETKKKMKDITEDIDQSKELETKLGVTLSNINHLQDELKQVKGQEEVVQKGDDGLKQQDDSFQSAEEVESSVSLELITKELEAAKKELALIKDESFQYMSSMDIIRNELKHVTEETARLKKTEEKADLKVQSLNSKLVRAKSKLEAVAATEEKAKSTVASLSLTLEQLRAEAEASKKEKMLVTEDTATIKAEIQKTESEIDLTEEKLQAAMQELEAVKSSEALALEKLRSLIEATMKSRASASNHSSTITISRFEYEYLTGHAVGAEEIADKKVAAAQAWIEALKASEREILMKTDIAHRELRELRVEEEHGVSLPANKNVDSQNRQSLLLRSMKSNGSSTQSRRAKHHKSTSPAISEGGSTEFMIKKKRKAAPNLSKFFNGKKVEKDE >KJB10469 pep chromosome:Graimondii2_0_v6:1:39224551:39227005:1 gene:B456_001G202800 transcript:KJB10469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEESKFKAKSRMSDIESLRKNGYLENKALDVENRSLASYRYEEVMRELQVVKKELSQLKLDMASVMAEKARAKKEFEDSSFTMLSNAASAEALSKQIEAANEEHVLVELAQIEALKEVGETEAQREKEAGEFSFRMEETKKKMKDITEDIDQSKELETKLGVTLSNINHLQDELKQVKGQEEVVQKGDDGLKQQDDSFQSAEEVESSVSLELITKELEAAKKELALIKDESFQYMSSMDIIRNELKHVTEETARLKKTEEKADLKVQSLNSKLVRAKSKLEAVAATEEKAKSTVASLSLTLEQLRAEAEASKKEKMLVTEDTATIKAEIQKTESEIDLTEEKLQAAMQELEAVKSSEALALEKLRSLIEATMKSRASASNHSSTITISRFEYEYLTGHAVGAEEIADKKVAAAQAWIEALKASEREILMKTDIAHRELRELRVEEEHGVSLPANKNVDSQNRQSLLLRSMKSNGSSTQSRRAKHHKSTSPAISEGGSTEFMIKKKRKAAPNLSKFFNGKKVEKDE >KJB10471 pep chromosome:Graimondii2_0_v6:1:39224454:39227005:1 gene:B456_001G202800 transcript:KJB10471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKRYEDRRRIGSVKTAVNIYGEMILDGNSSLKKPQEDSPEKPSSRVKQLHRARRDKNRYKESRKTAESELFSSRGTDKDLAFMVEESKFKAKSRMSDIESLRKNGYLENKALDVENRSLASYRYEEVMRELQVVKKELSQLKLDMASVMAEKARAKKEFEDSSFTMLSNAASAEALSKQIEAANEEHVLVELAQIEALKEVGETEAQREKEAGEFSFRMEETKKKMKDITEDIDQSKELETKLGVTLSNINHLQDELKQVKGQEEVVQKGDDGLKQQDDSFQSAEEVESSVSLELITKELEAAKKELALIKDESFQYMSSMDIIRNELKHVTEETARLKKTEEKADLKVQSLNSKLVRAKSKLEAVAATEEKAKSTVASLSLTLEQLRAEAEASKKEKMLVTEDTATIKAEIQKTESEIDLTEEKLQAAMQELEAVKSSEALALEKLRSLIEATMKSRASASNHSSTITISRFEYEYLTGHAVGAEEIADKKVAAAQAWIEALKASEREILMKTDIAHRELRELRVEEEHGVSLPANKNVDSQNRQSLLLRSMKSNGSSTQSRRAKHHKSTSPAISEGGSTEFMIKKKRKAAPNLSKFFNGKKVEKDE >KJB06705 pep chromosome:Graimondii2_0_v6:1:2383603:2387476:1 gene:B456_001G025700 transcript:KJB06705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQGPNGSLQKQSLPPKNYGITKPISLAGPSEADIQRNTELEQFLIESGLYESKEEAAKREEVLGHISEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPDLDISHESVLPNVDEQTVRSLNGCRVADEILKLVPNVEHFRMTLRCLEFWAKRRGVYSNVTGFLGGLNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCSIEEGELGFPVWDPRKNPQDRFHHMPIITPAYPCMNSSYNVSLSTLRVMMEQFQFGNRICVEIEQNKSQWSALFEPHLFFEAYRNYLQVDIVSADADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFQHCAFFMGLQRKEGVSGLEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVCRRQLPAFVFPDGYRRPSIIEASKPADWKNL >KJB06703 pep chromosome:Graimondii2_0_v6:1:2383603:2387476:1 gene:B456_001G025700 transcript:KJB06703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQGPNGSLQKQSLPPKNYGITKPISLAGPSEADIQRNTELEQFLIESGLYESKEEAAKREEVLGHISEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPDLDISHESVLPNVDEQTVRSLNGCRVADEILKLVPNVEHFRMTLRCLEFWAKRRGVYSNVTGFLGGLNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCSIEEGELGFPVWDPRKNPQDRFHHMPIITPAYPCMNSSYNVSLSTLRVMMEQFQFGD >KJB06704 pep chromosome:Graimondii2_0_v6:1:2383603:2386559:1 gene:B456_001G025700 transcript:KJB06704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQGPNGSLQKQSLPPKNYGITKPISLAGPSEADIQRNTELEQFLIESGLYESKEEAAKREEVLGHISEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMRFKFQGISIDLLYASISLLVVPDDLDISHESVLPNVDEQTVRSLNGCRVADEILKLVPNVEHFRMTLRCLEFWAKRRGVYSNVTGFLGGLNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCSIEEGELGFPVWDPRKNPQDRFHHMPIITPAYPCMNSSYNVSLSTLRVMMEQFQFGNRICVVRQYMVLFVKV >KJB06702 pep chromosome:Graimondii2_0_v6:1:2383328:2387750:1 gene:B456_001G025700 transcript:KJB06702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQGPNGSLQKQSLPPKNYGITKPISLAGPSEADIQRNTELEQFLIESGLYESKEEAAKREEVLGHISEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMRFKFQGISIDLLYASISLLVVPDDLDISHESVLPNVDEQTVRSLNGCRVADEILKLVPNVEHFRMTLRCLEFWAKRRGVYSNVTGFLGGLNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCSIEEGELGFPVWDPRKNPQDRFHHMPIITPAYPCMNSSYNVSLSTLRVMMEQFQFGNRICVEIEQNKSQWSALFEPHLFFEAYRNYLQVDIVSADADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFQHCAFFMGLQRKEGVSGLEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVCRRQLPAFVFPDGYRRPSIIEASKPADWKNL >KJB07745 pep chromosome:Graimondii2_0_v6:1:3974009:3975164:1 gene:B456_001G042400 transcript:KJB07745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGEEMNRVGAEIRICGEYEPPKRPRPHTSYDRAQQSRHFMIYVHSKIMIVDDECIIIRFANINQRSIDGANDTKIEMGAYQPYRLSTKEPVRGQIHGLHMALWYEHLRKLDKSFLEPESLEFVRKVNQAVEEYWNLYACKSLDNKLLGHLLSYPIGVSSDGEVKELARLEYFSDTKSRVLGPKSGSFLPFSLPKFSNLIV >KJB07895 pep chromosome:Graimondii2_0_v6:1:4897004:4903205:-1 gene:B456_001G051600 transcript:KJB07895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSGAIDRIIGRPPPKTGDIVLVADGAEKPIGWGLYNSVSMFCVRLMQLEEEATRDPSCALDMEKLLETRINAAVELRRGLGLPSATTNAYRLVNSEGDRLSGLIVDVFGDLAVVASSAAWVEKYKSKVKACISSIDEINHIHWRPSVEILKEEGMDAADLKELHPSTCPQRTKVIENGISYAISLEGQKTGFYADQRENRMFLSTISHGQRVLDVCCYSGGFALNAAKGGAMSITGVDTSLPALELARENIALNNLDPEKTSFLREDATVFMKGALSRNDSWDIVILDPPKLAPSRKVLQSASGMYRNLNSLAMKITRRGGLLMTCSCSGAMTQSGAFLRTLQGAASMAERKITVLRQSGAGCDHPIDPSYPEGAYLSNILLRVL >KJB07896 pep chromosome:Graimondii2_0_v6:1:4897004:4905639:-1 gene:B456_001G051600 transcript:KJB07896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQLQARLMKCLSCSHSHLPLPPPPPPPFSSLQRFASSQPKGVAKVILKKGKTQLFKDGSPMVYSGAIDRIIGRPPPKTGDIVLVADGAEKPIGWGLYNSVSMFCVRLMQLEEEATRDPSCALDMEKLLETRINAAVELRRGLGLPSATTNAYRLVNSEGDRLSGLIVDVFGDLAVVASSAAWVEKYKSKVKACISSIDEINHIHWRPSVEILKEEGMDAADLKELHPSTCPQRTKVIENGISYAISLEGQKTGFYADQRENRMFLSTISHGQRVLDVCCYSGGFALNAAKGGAMSITGVDTSLPALELARENIALNNLDPEKTSFLREDATVFMKGALSRNDSWDIVILDPPKLAPSRKVLQSASGMYRNLNSLAMKITRRGGLLMTCSCSGAMTQSGAFLRTLQGAASMAERKITVLRQSGAGCDHPIDPSYPEGAYLSNILLRVL >KJB06456 pep chromosome:Graimondii2_0_v6:1:6333991:6335066:1 gene:B456_001G063500 transcript:KJB06456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSTITKSISMADSCCLVCIPSLLTTCSKSPSLLSFPPKPINLFLSSSHSSTSLTLKTRTHFSSLVSFVAQTSDWAQQGEENDTTITIDEDESETEGGESKWENDESDGAEAIWGTEGEDAGFEEQSGDSEEEGSEPSEEAKLFVGNLPFDVDSQSLAMLFEKAGTVEIAEVIYNRDTEQSRGFGFVTMSSIEEAEKAVEQFNRYVSSFVLLFFFWLRFSYFWNNIYDSKYKEIGYYIDMCVMDG >KJB06455 pep chromosome:Graimondii2_0_v6:1:6333934:6336725:1 gene:B456_001G063500 transcript:KJB06455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSTITKSISMADSCCLVCIPSLLTTCSKSPSLLSFPPKPINLFLSSSHSSTSLTLKTRTHFSSLVSFVAQTSDWAQQGEENDTTITIDEDESETEGGESKWENDESDGAEAIWGTEGEDAGFEEQSGDSEEEGSEPSEEAKLFVGNLPFDVDSQSLAMLFEKAGTVEIAEVIYNRDTEQSRGFGFVTMSSIEEAEKAVEQFNRYDLNGRLLTVNKAAPRGSRVDQPPRVFERAFRVYVGNLPWDVDNARLEQVFSEHGKVVEARVVYDRETGRSRGFGFVTMSSETELNDAIAALDGQSLDGRAIRVNVAEERPRRGFF >KJB06457 pep chromosome:Graimondii2_0_v6:1:6333991:6336376:1 gene:B456_001G063500 transcript:KJB06457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSTITKSISMADSCCLVCIPSLLTTCSKSPSLLSFPPKPINLFLSSSHSSTSLTLKTRTHFSSLVSFVAQTSDWAQQGEENDTTITIDEDESETEGGESKWENDESDGAEAIWGTEGEDAGFEEQSGDSEEEGSEPSEEAKLFVGNLPFDVDSQSLAMLFEKAGTVEIAEVIYNRDTEQSRGFGFVTMSSIEEAEKAVEQFNRYDLNGRLLTVNKAAPRGSRVDQPPRVFERAFRVYVGNLPWDVDNARLEQVFSEHGKVVEARVVYDRETGRSRGFGFVTMSSETELNDAIAALDGQVLLLFPLKFLLYCWS >KJB06995 pep chromosome:Graimondii2_0_v6:1:7412855:7415186:1 gene:B456_001G072900 transcript:KJB06995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPGGLFDLENHFAFYGAYHSNPINIFIHTLFVWPIFFTSLVLFYFTPTICDLSQSGILPSGFNHVLVFNYGFLCALIYGLFYVILDKKAGSLAALICLACWVGATFLAAHLGYSLAWKVVLAAQLFCWTGQFIGHGVFEKRAPALLDNLVQAFLMAPFFVLLEVLQSLFGYEPYPGFHARVKAKIEAEIKEWKDKKQKKNS >KJB08594 pep chromosome:Graimondii2_0_v6:1:10301180:10309340:1 gene:B456_001G092600 transcript:KJB08594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEDEERRRQRKLEEALEVKSLRRIISAYLNYPEAAEEDVRRYEKSFKKLPPAHKALLPHYPSKFQNLRRCISLNSYFIFTMLQAFEPPLDMSQDVDGCKDPHLENFEHGHCHSEERNASSCQSASTSGRMCCSNHAQACSQEKSNIMSNPTTELQEVKSEQQHEPIFGSGAGEVENNNEIAECCSNDVADSNGNAFSSPHDWLDPSLQLNVPLVDVDKVRCIIRNIVRDWAAEGEKERNQCYKPILEELDAQFPNRSKESPPACLVPGAGLGRLALEISCLGFISQGNEFSYYMMICSSFILNHTETTGQWTIYPWIHSNCNSLSDNDQLRPVLIPDIHPASAGITEGFSMCGGDFVEVYNDSSQIGVWDAVVTCFFIDTAHNIIEYIEIISRILKEGGVWINFGPLLYHFADMYGQEDDMSIELSLEDVKKIAFHYGFELEKEQTIETTYTTNPRSMMQNHYHAAFWTMRKKRTTTT >KJB08596 pep chromosome:Graimondii2_0_v6:1:10303456:10309148:1 gene:B456_001G092600 transcript:KJB08596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAFEPPLDMSQDVDGCKDPHLENFEHGHCHSEERNASSCQSASTSGRMCCSNHAQACSQEKSNIMSNPTTELQEVKSEQQHEPIFGSGAGEVENNNEIAECCSNDVADSNGNAFSSPHDWLDPSLQLNVPLVDVDKVRCIIRNIVRDWAAEGEKERNQCYKPILEELDAQFPNRSKESPPACLVPGAGLGRLALEISCLGFISQGNEFSYYMMICSSFILNHTETTGQWTIYPWIHSNCNSLSDNDQLRPVLIPDIHPASAGITEGFSMCGGDFVEVYNDSSQIGVWDAVVTCFFIDTAHNIIEYIEIISRILKEGGVWINFGPLLYHFADMYGQEDDMSIELSLEDVKKIAFHYGFELEKEQTIETTYTTNPRSMMQNHYHAAFWTMRKKRTTTT >KJB08593 pep chromosome:Graimondii2_0_v6:1:10301180:10307335:1 gene:B456_001G092600 transcript:KJB08593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEDEERRRQRKLEEALEVKSLRRIISAYLNYPEAAEEDVRRYEKSFKKLPPAHKALLPHYPSKFQNLRRCISLNSYFIFTMLQAFEPPLDMSQDVDGCKDPHLENFEHGHCHSEERNASSCQSASTSGRMCCSNHAQACSQEKSNIMSNPTTEEVKSEQQHEPIFGSGAGEVENNNEIAECCSNDVADSNGNAFSSPHDWLDPSLQLNVPLVDVDKVRCIIRNIVRDWAAEGEKERNQCYKPILEELDAQFPNRSKESPPACLVPGAGLGRLALEISCLGFISQGNEFSYYMMICSSFILNHTETTGQWTIYPWIHSNCNSLSDNDQLRPVLIPDIHPASAGITEGFSMCGGDFVEVYNDSSQIGKVTGSSYSLHIVIFVY >KJB08595 pep chromosome:Graimondii2_0_v6:1:10302792:10309333:1 gene:B456_001G092600 transcript:KJB08595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGNVLALSTRMQSFKYSSLLQDLQCDRNFLCQIVLLLNGYLYYPCFIMFSICLFIFQALLPHYPSKFQNLRRCISLNSYFIFTMLQAFEPPLDMSQDVDGCKDPHLENFEHGHCHSEERNASSCQSASTSGRMCCSNHAQACSQEKSNIMSNPTTEEVKSEQQHEPIFGSGAGEVENNNEIAECCSNDVADSNGNAFSSPHDWLDPSLQLNVPLVDVDKVRCIIRNIVRDWAAEGEKERNQCYKPILEELDAQFPNRSKESPPACLVPGAGLGRLALEISCLGFISQGNEFSYYMMICSSFILNHTETTGQWTIYPWIHSNCNSLSDNDQLRPVLIPDIHPASAGITEGFSMCGGDFVEVYNDSSQIGVWDAVVTCFFIDTAHNIIEYIEIISRILKEGGVWINFGPLLYHFADMYGQEDDMSIELSLEDVKKIAFHYGFELEKEQTIETTYTTNPRSMMQNHYHAAFWTMRKKRTTTT >KJB08597 pep chromosome:Graimondii2_0_v6:1:10306171:10309148:1 gene:B456_001G092600 transcript:KJB08597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMICSSFILNHTETTGQWTIYPWIHSNCNSLSDNDQLRPVLIPDIHPASAGITEGFSMCGGDFVEVYNDSSQIGVWDAVVTCFFIDTAHNIIEYIEIISRILKEGGVWINFGPLLYHFADMYGQEDDMSIELSLEDVKKIAFHYGFELEKEQTIETTYTTNPRSMMQNHYHAAFWTMRKKRTTTT >KJB08592 pep chromosome:Graimondii2_0_v6:1:10301164:10309340:1 gene:B456_001G092600 transcript:KJB08592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEDEERRRQRKLEEALEVKSLRRIISAYLNYPEAAEEDVRRYEKSFKKLPPAHKALLPHYPSKFQNLRRCISLNSYFIFTMLQAFEPPLDMSQDVDGCKDPHLENFEHGHCHSEERNASSCQSASTSGRMCCSNHAQACSQEKSNIMSNPTTEEVKSEQQHEPIFGSGAGEVENNNEIAECCSNDVADSNGNAFSSPHDWLDPSLQLNVPLVDVDKVRCIIRNIVRDWAAEGEKERNQCYKPILEELDAQFPNRSKESPPACLVPGAGLGRLALEISCLGFISQGNEFSYYMMICSSFILNHTETTGQWTIYPWIHSNCNSLSDNDQLRPVLIPDIHPASAGITEGFSMCGGDFVEVYNDSSQIGVWDAVVTCFFIDTAHNIIEYIEIISRILKEGGVWINFGPLLYHFADMYGQEDDMSIELSLEDVKKIAFHYGFELEKEQTIETTYTTNPRSMMQNHYHAAFWTMRKKRTTTT >KJB07023 pep chromosome:Graimondii2_0_v6:1:8000296:8004813:-1 gene:B456_001G077300 transcript:KJB07023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVNSRIIPIRTSCRRHYSVFLPTFSLQLRNKNLNKGQVWMMRRPKSLDSVAASIQPLEASTTGNTDNRQPSKEVLELWRSADAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEQALSARLSLFKPSLAQVQDFLDKRPPRISPGIEELLLFGSSGEFLGFDANEPTSRSGGKATAVQQIRKVKGYKALVMIGDGATDLEARKPGGANLFICYAGVQHRESVAAKANWLVFSFTDLITALE >KJB07029 pep chromosome:Graimondii2_0_v6:1:8002964:8004813:-1 gene:B456_001G077300 transcript:KJB07029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVNSRIIPIRTSCRRHYSVFLPTFSLQLRNKNLNKGQVWMMRRPKSLDSVAASIQPLEASTTGNTDNRQPSKEVLELWRSADAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEQALSARLSLFKPSLAQVQDFLDKRPPRYEAHISLSRIYLDMFFI >KJB07024 pep chromosome:Graimondii2_0_v6:1:8001969:8004115:-1 gene:B456_001G077300 transcript:KJB07024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVNSRIIPIRTSCRRHYSVFLPTFSLQLRNKNLNKGQVWMMRRPKSLDSVAASIQPLEASTTGNTDNRQPSKEVLELWRSADAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEQALSARLSLFKPSLAQVQDFLDKRPPRISPGIEELVKKLMARNTDVYLISGGFRQMINKIVN >KJB07027 pep chromosome:Graimondii2_0_v6:1:8000347:8004813:-1 gene:B456_001G077300 transcript:KJB07027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVNSRIIPIRTSCRRHYSVFLPTFSLQLRNKNLNKGQVWMMRRPKSLDSVAASIQPLEASTTGNTDNRQPSKDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEQALSARLSLFKPSLAQVQDFLDKRPPRISPGIEELVKKLMARNTDVYLISGGFRQMINPVASILGIPQENIFANQLLFGSSGEFLGFDANEPTSRSGGKATAVQQIRKVKGYKALVMIGDGATDLEARKPGGANLFICYAGVQHRESVAAKANWLVFSFTDLITALE >KJB07019 pep chromosome:Graimondii2_0_v6:1:8000269:8004826:-1 gene:B456_001G077300 transcript:KJB07019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVNSRIIPIRTSCRRHYSVFLPTFSLQLRNKNLNKGQVWMMRRPKSLDSVAASIQPLEASTTGNTDNRQPSKEVLELWRSADAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEQALSARLSLFKPSLAQVQDFLDKRPPRISPGIEELVKKLMARNTDVYLISGGFRQMINPVASILGIPQENIFANQLLFGSSGEFLGFDANEPTSRSGGKATAVQQIRKVKGYKALVMIGDGATDLEARKPGGANLFICYAGVQHRESVAAKANWLVFSFTDLITALE >KJB07020 pep chromosome:Graimondii2_0_v6:1:8000680:8004115:-1 gene:B456_001G077300 transcript:KJB07020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVNSRIIPIRTSCRRHYSVFLPTFSLQLRNKNLNKGQVWMMRRPKSLDSVAASIQPLEASTTGNTDNRQPSKEVLELWRSADAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEQALSARLSLFKPSLAQVQDFLDKRPPRISPGIEELVKKLMARNTDVYLISGGFRQMINPVASILGIPQENIFANQLLFGSSGEFLGFDANEPTSRSGGKATAVQQIRKVKGYKALVMIGDGATDLEARKPGGANLFICYAGVQHRESVAAKANWLVFSFTDLITALE >KJB07026 pep chromosome:Graimondii2_0_v6:1:8002297:8004115:-1 gene:B456_001G077300 transcript:KJB07026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVNSRIIPIRTSCRRHYSVFLPTFSLQLRNKNLNKGQVWMMRRPKSLDSVAASIQPLEASTTGNTDNRQPSKEVLELWRSADAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEQALSARLSLFKPSLAQVQDFLDKRPPRISPGIEELVKKLMARNTDVYLISGGFRQMINVCNYATKCLKDHLCFTLFC >KJB07028 pep chromosome:Graimondii2_0_v6:1:8001565:8004813:-1 gene:B456_001G077300 transcript:KJB07028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVNSRIIPIRTSCRRHYSVFLPTFSLQLRNKNLNKGQVWMMRRPKSLDSVAASIQPLEASTTGNTDNRQPSKEVLELWRSADAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEQALSARLSLFKPSLAQVQDFLDKRPPRISPGIEELVKKLMARNTDVYLISGGFRQMINPVASILGIPQENIFANQLLFGSSGEFLGFDANEPTSRSGGKATAVQQIRKVKGYKALVMIGDGATDLEVSKVILTFFRYG >KJB07022 pep chromosome:Graimondii2_0_v6:1:8000296:8004813:-1 gene:B456_001G077300 transcript:KJB07022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVNSRIIPIRTSCRRHYSVFLPTFSLQLRNKNLNKGQVWMMRRPKSLDSVAASIQPLEASTTGNTDNRQPSKEVLELWRSADAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEQALSARLSLFKPSLAQVQDFLDKRPPRISPGIEELVKKLMARNTDVYLISGGFRQMINPVASILGIPQENIFANQLLFGSSGEFLGFDANEPTSRSGGKATAVQQIRKVRIQGISHDW >KJB07025 pep chromosome:Graimondii2_0_v6:1:8000296:8004813:-1 gene:B456_001G077300 transcript:KJB07025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALMNLRNFVGLERLLQNGLLGSMGGSVPFEQALSARLSLFKPSLAQVQDFLDKRPPRISPGIEELVKKLMARNTDVYLISGGFRQMINPVASILGIPQENIFANQLLFGSSGEFLGFDANEPTSRSGGKATAVQQIRKVKGYKALVMIGDGATDLEARKPGGANLFICYAGVQHRESVAAKANWLVFSFTDLITALE >KJB07021 pep chromosome:Graimondii2_0_v6:1:8000296:8004756:-1 gene:B456_001G077300 transcript:KJB07021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVNSRIIPIRTSCRRHYSVFLPTFSLQLRNKNLNKGQVWMMRRPKSLDSVAASIQPLEASTTGNTDNRQPSKEVLELWRSADAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEQALSARLSLFKPSLAQVQDFLDKRPPRISPGIEELVKKLMARNTDVYLISGGFRQMINPVASILGIPQENIFANQLLFGSSGEFLGFDANEPTSRSGGKATAVQQIRKVKGYKALVMIGDGATDLEARKPGGANLFICYAGVQHRESVAAKANWLVFSFTDLITALE >KJB06400 pep chromosome:Graimondii2_0_v6:1:14653858:14655978:-1 gene:B456_001G120300 transcript:KJB06400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKQQQMLEQQQSPMQRVKNSGVMMTMSESPVNDEKEEEMAESALALFRAKEEEIERKKMEVRDRVQAYMGRVEEATRRLADIREELDDLVDPMRKDVAILRKRIDTINREMKPLGQTCQKKF >KJB06399 pep chromosome:Graimondii2_0_v6:1:14653831:14655982:-1 gene:B456_001G120300 transcript:KJB06399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKQQQMLEQQQSPMQRVKNSGVMMTMSESPVNDEKEEEMAESALALFRAKEEEIERKKMEVRDRVQAYMGRVEEATRRLADIREELDDLVDPMRKDVAILRKRIDTINREMKPLGQTCQKKEKEYKEALEAFNDKNKEKAQLVSKLMELVSESEKLRMKKLEELSKNIETLH >KJB09839 pep chromosome:Graimondii2_0_v6:1:24329783:24333988:1 gene:B456_001G170100 transcript:KJB09839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQAYEEIHDCLIKPRINPQRRKDKVYIGCGAGFGGDRPMAAKKLLNRVKELNYIVLECLAERTLAERYQAMASGGDGYDSNISEWMSLLLPLAVDRGTCIITNMGAMDPVSAREKVLEVASSLGIRLSVAVAHEVFVNESGSGSLPEKLVNMEGGVSTYLGAAPIVACLERYRPNVLITSRVADAALFLAPMVYELGWNWDDLELLAQGSLAGHLLECGCQLTGGYFMHPADKNRNLSFPSLLDLSLPYAEISSSGEICVMKAEGSGGILNFGTCAEQLLYEVGDPSAYITPDVVVDFQGVTFQPLSRSKVLCIGAKPSAHPVPDKLLQLIPKHRGWKGWGEISYGGYECVKRAKAAELLVRSWMEEAFPGVSSCILSYIIGLDSLKATCIDHHLSTWRASEDIRLRMDGLFQEKKHAQQLAKEFTALYTNGPAGGGGISTGVKKEIVLEKQLVLSIPSSSVK >KJB09841 pep chromosome:Graimondii2_0_v6:1:24329992:24332888:1 gene:B456_001G170100 transcript:KJB09841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQAYEEIHDCLIKPRINPQRRKDKVYIGCGAGFGGDRPMAAKKLLNRVKELNYIVLECLAERTLAERYQAMASGGDGYDSNISEWMSLLLPLAVDRGTCIITNMGAMDPVSAREKVLEVASSLGIRLSVAVAHEVFVNESGSGSLPEKLVNMEGGVSTYLGAAPIVACLERYRPNVLITSRVADAALFLAPMVYELGWNWDDLELLAQGSLAGHLLECGCQLTGGYFMHPADKNRNLSFPSLLDLSLPYAEISSSGEICVMKAEGSGGILNFGTCAEQLLYEVGDPSAYITPDVVVDFQGVTFQPLSRSKVLCIGAKPSAHPVPDKLLQLIPKHRGWKGWGEISYGGYECVKRAKAAELLVRSWMEEAFPGVSSCILSYIIGLDSLKATCIDHHLSTWRASEDIRLRMDGLFQEKKHAQQLAKEFTALYTNGPAGGGGIRYISS >KJB09838 pep chromosome:Graimondii2_0_v6:1:24329692:24334043:1 gene:B456_001G170100 transcript:KJB09838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQAYEEIHDCLIKPRINPQRRKDKVYIGCGAGFGGDRPMAAKKLLNRVKELNYIVLECLAERTLAERYQAMASGGDGYDSNISEWMSLLLPLAVDRGTCIITNMGAMDPVSAREKVLEVASSLGIRLSVAVAHEVFVNESGSGSLPEKLVNMEGGVSTYLGAAPIVACLERYRPNVLITSRVADAALFLAPMVYELGWNWDDLELLAQGSLAGHLLECGCQLTGGYFMHPADKNRNLSFPSLLDLSLPYAEISSSGEICVMKAEGSGGILNFGTCAEQLLYEVGDPSAYITPDVVVDFQGVTFQPLSRSKVLCIGAKPSAHPVPDKLLQLIPKHRGWKGWGEISYGGYECVKRAKAAELLVRSWMEEAFPGVSSCILSYIIGLDSLKATCIDHHLSTWRASEDIRLRMDGLFQEKKHAQQLAKEFTALYTNGPAGGGGISTGVKKEIVLEKQLIGREHVFWRIGSKQTEVSEHVFADVTKACISAELALPPFQQEDMQNSCLEYGLSSEISLSAAQSGQKIPLYNIAHSRAGDKGNDLNFSVIPHCPQNFEMLKLIITPQWVKSVVSVLLDASPKAIDETKQLVNEDNVKVEIYEVHGIQSLNVVVRNILDGGVNCSRRIDRHGKCISDLILCQHVLPKF >KJB09840 pep chromosome:Graimondii2_0_v6:1:24329783:24333988:1 gene:B456_001G170100 transcript:KJB09840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQAYEEIHDCLIKPRINPQRRKDKVYIGCGAGFGGDRPMAAKKLLNRVKELNYIVLECLAERTLAERYQAMASGGDGYDSNISEWMSLLLPLAVDRGTCIITNMGAMDPVSAREKVLEVASSLGIRLSVAVAHEVFVNESGSGSLPEKLVNMEGGVSTYLGAAPIVACLERYRPNVLITSRVADAALFLAPMVYELGWNWDDLELLAQGSLAGHLLECGCQLTGGYFMHPADKNRNLSFPSLLDLSLPYAEISSSGEICVMKAEGSGGILNFGTCAEQLLYEVVDFQGVTFQPLSRSKVLCIGAKPSAHPVPDKLLQLIPKHRGWKGWGEISYGGYECVKRAKAAELLVRSWMEEAFPGVSSCILSYIIGLDSLKATCIDHHLSTWRASEDIRLRMDGLFQEKKHAQQLAKEFTALYTNGPAGGGGISTGVKKEIVLEKQLIGREHVFWRIGSKQTEVSEHVFADVTKACISAELALPPFQQEDMQNSCLEYGLSSEISLSAAQSGQKIPLYNIAHSRAGDKGNDLNFSVIPHCPQNFEMLKLIITPQWVKSVVSVLLDASPKAIDETKQLVNEDNVKVEIYEVHGIQSLNVVVRNILDGGVNCSRRIDRHGKCISDLILCQHVLPKF >KJB08345 pep chromosome:Graimondii2_0_v6:1:8065478:8067450:1 gene:B456_001G077900 transcript:KJB08345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALNCPSIEVVVVDISVTRISAWNSDQLPIYEPGLNEVVKQCKGRNLFFSTDIEKHVSEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIERILTHNSKGIKYQILSNPEFLAEGTAIQDLFKPDRVLIGGRETPDGLKAIQALKDVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLAEVANYWKQVIKVNDYQKNRFVNRVVSSMFNTVSGKKIGIMGFAFKKDTGDTRETPAIDVCKGLLGDKARLSIYDPQATADQIQRDLTMNKFDWDHPIHLQPMSPTGVKQVSIAWDAYEASKDAHALCILTEWDEFKTLDYRRIFDNMQKPAFVFDGRNVVNVEMLRDIGFIVYSIGKPLDPWLKNMPAVA >KJB06305 pep chromosome:Graimondii2_0_v6:1:8764584:8769414:1 gene:B456_001G082500 transcript:KJB06305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINDSCLMFAFMLRQFRDNYIREEFAYCYFFSSQVRRSIVYGDQPRNRLDLYLPINTNGPKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIIVACVDYRNFPQGTISDMVKDVSQGVSFVCNLIDRFGGDPNRIYLMGQSAGAHISACVLLEQAIKESRGESTTWSVSQIKAYFGLSGGYNLFNLVDHFHNRGLYRSIFLSIMEGEGSFEQFSPEVRIKDPRNREAASLLPPIKLFHGTSDYSIPSDASINIVEALKGVGAEAEVILYEGKSHTDLFLQDPLRGGKDDLFDHVVSVIHAGDEEALAKDASAPPRKRLVPEILLRLARHISPF >KJB06299 pep chromosome:Graimondii2_0_v6:1:8762721:8769442:1 gene:B456_001G082500 transcript:KJB06299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRLILLRGLHLLCFDISGYRWYTRLVALACYALLLMPGFLQVAYCYFFSSQVRRSIVYGDQPRNRLDLYLPINTNGPKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIIVACVDYRNFPQGTISDMVKDVSQGVSFVCNLIDRFGGDPNRIYLMGQSAGAHISACVLLEQAIKESRGESTTWSVSQIKAYFGLSGGYNLFNLVDHFHNRGLYRSIFLSIMEGEGSFEQFSPEVRIKDPRNREAASLLPPIKLFHGTSDYSIPSDASINIVEALKGVGAEAEVILYEGKSHTDLFLQDPLRGGKDDLFDHVVSVIHAGDEEALAKDASAPPRKRLVPEILLRLARHISPF >KJB06298 pep chromosome:Graimondii2_0_v6:1:8762623:8769442:1 gene:B456_001G082500 transcript:KJB06298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSPPIHDLRQHDETATHFYLDVRCLICRFLGFIFGTETFGNHQQQQFPRKPENMERQSSFSRDLGHAAAETYLITRLTFTLLRYLGVGYRWYTRLVALACYALLLMPGFLQVAYCYFFSSQVRRSIVYGDQPRNRLDLYLPINTNGPKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIIVACVDYRNFPQGTISDMVKDVSQGVSFVCNLIDRFGGDPNRIYLMGQSAGAHISACVLLEQAIKESRGESTTWSVSQIKAYFGLSGGYNLFNLVDHFHNRGLYRSIFLSIMEGEGSFEQFSPEVRIKDPRNREAASLLPPIKLFHGTSDYSIPSDASINIVEALKGVGAEAEVILYEGKSHTDLFLQDPLRGGKDDLFDHVVSVIHAGDEEALAKDASAPPRKRLVPEILLSIHWVLSFLFRYCRNISLP >KJB06301 pep chromosome:Graimondii2_0_v6:1:8762721:8769414:1 gene:B456_001G082500 transcript:KJB06301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSPPIHDLRQHDETATHFYLDVRCLICRFLGFIFGTETFGNHQQQQFPRKPENMERQSSFSRDLGHAAAETYLITRLTFTLLRYLGVGYRWYTRLVALACYALLLMPGFLQVAYCYFFSSQVRRSIVYGDQPRNRLDLYLPINTNGPKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIIVACVDYRNFPQGTISDMVKDVSQGVSFVCNLIDRFGGDPNRIYLMGQSAGAHISACVLLEQAIKESRGESTTWSVSQIKAYFGLSGGYNLFNLVDHFHNRGLYRSIFLSIMEGEGSFEQFSPEVRIKDPRNREAASLLPPIKLFHGTSDYSIPSDARLECVKLLPRMLRHLRGNASCRRFC >KJB06302 pep chromosome:Graimondii2_0_v6:1:8762721:8769414:1 gene:B456_001G082500 transcript:KJB06302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSPPIHDLRQHDETATHFYLDVRCLICRFLGFIFGTETFGNHQQQQFPRKPENMERQSSFSRDLGHAAAETYLITRLTFTLLRYLGVGYRWYTRLVALACYALLLMPGFLQVAYCYFFSSQVRRSIVYGDQPRNRLDLYLPINTNGPKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIIVACVDYRNFPQGTISDMVKDVSQGVSFVCNLIDRFGGDPNRIYLMGQSAGAHISACVLLEQAIKESRGESTTWSVSQIKAYFGLSGGYNLFNLVDHFHNRGLYRSIFLSIMEGEGSFEQFSPEVRIKDPRNREAASLLPPIKLFHGTSDYSIPSDASINIVEALKGVGAEAEVILYEGKSHTDLFLQVSDYY >KJB06296 pep chromosome:Graimondii2_0_v6:1:8762721:8769414:1 gene:B456_001G082500 transcript:KJB06296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSPPIHDLRQHDETATHFYLDVRCLICRFLGFIFGTETFGNHQQQQFPRKPENMERQSSFSRDLGHAAAETYLITRLTFTLLRYLGVGYRWYTRLVALACYALLLMPGFLQVAYCYFFSSQVRRSIVYGDQPRNRLDLYLPINTNGPKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIIVACVDYRNFPQGTISDMVKDVSQGVSFVCNLIDRFGGDPNRIYLMGQSAGAHISACVLLEQAIKESRGESTTWSVSQIKAYFGLSGGYNLFNLVDHFHNRGLYRSIFLSIMEGEGSFEQFSPEVRIKDPRNREAASLLPPIKLFHGTSDYSIPSDASINIVEALKGVGAEAEVILYEGKSHTDLFLQDPLRGGKDDLFDHVVSVIHAGDEEALAKDASAPPRKRLVPEILLRLARHISPF >KJB06306 pep chromosome:Graimondii2_0_v6:1:8764613:8769414:1 gene:B456_001G082500 transcript:KJB06306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDVSQGVSFVCNLIDRFGGDPNRIYLMGQSAGAHISACVLLEQAIKESRGESTTWSVSQIKAYFGLSGGYNLFNLVDHFHNRGLYRSIFLSIMEGEGSFEQFSPEVRIKDPRNREAASLLPPIKLFHGTSDYSIPSDASINIVEALKGVGAEAEVILYEGKSHTDLFLQDPLRGGKDDLFDHVVSVIHAGDEEALAKDASAPPRKRLVPEILLRLARHISPF >KJB06300 pep chromosome:Graimondii2_0_v6:1:8762838:8767673:1 gene:B456_001G082500 transcript:KJB06300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSPPIHDLRQHDETATHFYLDVRCLICRFLGFIFGTETFGNHQQQQFPRKPENMERQSSFSRDLGHAAAETYLITRLTFTLLRYLGVGYRWYTRLVALACYALLLMPGFLQVAYCYFFSSQVRRSIVYGDQPRNRLDLYLPINTNGPKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIIVACVDYRNFPQGTISDMVKDVSQGVSFVCNLIDRFGGDPNRIYLMGQSAGAHISACVLLEQAIKESRGESTTWSVSQIKAYFGLSGGYNLFNLVDHFHNRGLYRSIFLSIMEGEGSFEQFSPEVRIKDPRNREAASLLPPIKLFHGTSDYSIPSDARLECVLT >KJB06303 pep chromosome:Graimondii2_0_v6:1:8762721:8769414:1 gene:B456_001G082500 transcript:KJB06303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFLQVAYCYFFSSQVRRSIVYGDQPRNRLDLYLPINTNGPKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIIVACVDYRNFPQGTISDMVKDVSQGVSFVCNLIDRFGGDPNRIYLMGQSAGAHISACVLLEQAIKESRGESTTWSVSQIKAYFGLSGGYNLFNLVDHFHNRGLYRSIFLSIMEGEGSFEQFSPEVRIKDPRNREAASLLPPIKLFHGTSDYSIPSDASINIVEALKGVGAEAEVILYEGKSHTDLFLQDPLRGGKDDLFDHVVSVIHAGDEEALAKDASAPPRKRLVPEILLRLARHISPF >KJB06297 pep chromosome:Graimondii2_0_v6:1:8762653:8769414:1 gene:B456_001G082500 transcript:KJB06297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRLILLRGLHLLCFDISVAYCYFFSSQVRRSIVYGDQPRNRLDLYLPINTNGPKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIIVACVDYRNFPQGTISDMVKDVSQGVSFVCNLIDRFGGDPNRIYLMGQSAGAHISACVLLEQAIKESRGESTTWSVSQIKAYFGLSGGYNLFNLVDHFHNRGLYRSIFLSIMEGEGSFEQFSPEVRIKDPRNREAASLLPPIKLFHGTSDYSIPSDASINIVEALKGVGAEAEVILYEGKSHTDLFLQDPLRGGKDDLFDHVVSVIHAGDEEALAKDASAPPRKRLVPEILLRLARHISPF >KJB06304 pep chromosome:Graimondii2_0_v6:1:8765053:8769414:1 gene:B456_001G082500 transcript:KJB06304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDVSQGVSFVCNLIDRFGGDPNRIYLMGQSAGAHISACVLLEQAIKESRGESTTWSVSQIKAYFGLSGGYNLFNLVDHFHNRGLYRSIFLSIMEGEGSFEQFSPEVRIKDPRNREAASLLPPIKLFHGTSDYSIPSDASINIVEALKGVGAEAEVILYEGKSHTDLFLQDPLRGGKDDLFDHVVSVIHAGDEEALAKDASAPPRKRLVPEILLRLARHISPF >KJB09626 pep chromosome:Graimondii2_0_v6:1:21643530:21645558:1 gene:B456_001G154400 transcript:KJB09626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKSRNNRLFHSSIILLLVSLSAALSSPFQLQTLLPRPLPSAPTLSWHDSEPESNSLEETSQLDPLSSNTTLEVQLELHHVDALSSEDTPELLFDLRLQRDALRSGTIYSLVSKAVARNPPRAAGRRSGFSSSIISGLAQGSGEYFTRLGVGTPARNLYMVLDTGSDVVWVQCSPCKSCYSQSDPIFDPTKSASFSGIPCRSPLCRSLDSSGCNQRRMCLYQVSYGDGSVTFGDFSTETLTFRRTSVGRVALGCGHDNEGLFVGAAGLLGLGRGILSFPSQTGSRFNRKFSYCLVDRSASSKPSSLVFGDAAIPRGALFTPLLTNPKLDTFYYIELLGISVGGTRVPKISPSLFKMDQDGNGGVIIDSGTSVTRLTQPAYTATRDAFRLGATHLKRAPDFSLFDTCFDLSGQTSVKVPTLVLHFRGADLSLPATNYLIPVDSSGTFCFAFAGTMNGLSIIGNIQQQGFRVAYDLAGSRVGFSPRGCA >KJB09627 pep chromosome:Graimondii2_0_v6:1:21643530:21645558:1 gene:B456_001G154400 transcript:KJB09627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKSRNNRLFHSSIILLLVSLSAALSSPFQLQTLLPRPLPSAPTLSWHDSEPESNSLEETSQLDPLSSNTTLEVQLELHHVDALSSEDTPELLFDLRLQRDALRSGTIYSLVSKAVARNPPRAAGIPCRSPLCRSLDSSGCNQRRMCLYQVSYGDGSVTFGDFSTETLTFRRTSVGRVALGCGHDNEGLFVGAAGLLGLGRGILSFPSQTGSRFNRKFSYCLVDRSASSKPSSLVFGDAAIPRGALFTPLLTNPKLDTFYYIELLGISVGGTRVPKISPSLFKMDQDGNGGVIIDSGTSVTRLTQPAYTATRDAFRLGATHLKRAPDFSLFDTCFDLSGQTSVKVPTLVLHFRGADLSLPATNYLIPVDSSGTFCFAFAGTMNGLSIIGNIQQQGFRVAYDLAGSRVGFSPRGCA >KJB09628 pep chromosome:Graimondii2_0_v6:1:21643684:21645531:1 gene:B456_001G154400 transcript:KJB09628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKSRNNRLFHSSIILLLVSLSAALSSPFQLQTLLPRPLPSAPTLSWHDSEPESNSLEETSQLDPLSSNTTLEVQLELHHVDALSSEDTPELLFDLRLQRDALRSGTIYSLVSKAVARNPPRAAGRRSGFSSSIISGLAQGSGEYFTRLGVGTPARNLYMVLDTGSDVVWVQCSPCKSCYSQSDPIFDPTKSASFSGIPCRSPLCRSLDSSGCNQRRMCLYQVSYGDGSVTFGDFSTETLTFRRTSVGRVALGCGHDNEGLFVGAAGLLGLGRGILSFPSQTGSRFNRKFSYCLVDRSASSKPSSLVFGDAAIPRGALFTPLLTNPKLDTFYYIELLGISVGGTRVPKISPSLFKMDQDGNGGVIIDSGQTSVKVPTLVLHFRGADLSLPATNYLIPVDSSGTFCFAFAGTMNGLSIIGNIQQQGFRVAYDLAGSRVGFSPRGCA >KJB09962 pep chromosome:Graimondii2_0_v6:1:26683923:26689194:1 gene:B456_001G178000 transcript:KJB09962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSVYDLSARVETGQMDTTSVRCLVNSISRFIHLVSCQTIKVAPVEKDYRNMVIVLKLLKPLLDDVIECEIPSDDILYKECEELDMLVNEAREFTENWCPKMSKIHRVLQSEPFLTKMQSSSLQICHILYRLLQSTPSSSSITSVQHCMREIKSMEQERPSENIGQTLRSKKDDAIPCTEHLINVMKSLNLMSNQELLKETLALERERTDAQVNNAKGKIDQINQIMDLISHIRDYMLKIEHFEPTSGILIPPDFLCPLSLELMLDPVFVASGQTYDRASIQKWLDSGLTICPKTRQTLAHKNLIPNYTVKAMVTSWCEENNLQLSNAKLVSISSPSNHISSQDLTYSYSSSSASRSSLEVGNGLEKQMIDSSSRFSGECNRCQSRETGKYDHQSPDQSYVHNRTESASSAIYSVDYAPTPASNDLSMRSKKNEINELAEISSKSLGNFPSTKEYGLSHSIMGKQLQVSGTKIEDAVNGNHNYNRAYSSAFSRPGCDDLITSSLVKKLVDNLQSLSNEVQTTAAAELRLLAKHNMDNRIIIGRCGAIAPLLSLFYSEVKITQEHAVTALLNLSINEDNKAVIAKSGAIEPLIHVLKSGNDGAKENAAAALFSLSVLEECKARIGRSGAVKALVNLLSSGTLRGKKDAVTALFNLSIFHENKARIVQAGAVKYLVELMDPDSGMVDKAVALLSNLSTIGEGRLAIVRESGIPILVEVIESGSRRGKENAASVLLQLCLNSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLGHFRNQREGSMGKARHEN >KJB09959 pep chromosome:Graimondii2_0_v6:1:26684044:26688772:1 gene:B456_001G178000 transcript:KJB09959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTSVRCLVNSISRFIHLVSCQTIKVAPVEKDYRNMVIVLKLLKPLLDDVIECEIPSDDILYKECEELDMLVNEAREFTENWCPKMSKIHRVLQSEPFLTKMQSSSLQICHILYRLLQSTPSSSSITSVQHCMREIKSMEQERPSENIGQTLRSKKDDAIPCTEHLINVMKSLNLMSNQELLKETLALERERTDAQVNNAKGKIDQINQIMDLISHIRDYMLKIEHFEPTSGILIPPDFLCPLSLELMLDPVFVASGQTYDRASIQKWLDSGLTICPKTRQTLAHKNLIPNYTVKAMVTSWCEENNLQLSNAKLVSISSPSNHISSQDLTYSYSSSSASRSSLEVGNGLEKQMIDSSSRFSGECNRCQSRETGKYDHQSPDQSYVHNRTESASSAIYSVDYAPTPASNDLSMRSKKNEINELAEISSKSLGNFPSTKEYGLSHSIMGKQLQVSGTKIEDAVNGNHNYNRAYSSAFSRPGCDDLITSSLVKKLVDNLQSLSNEVQTTAAAELRLLAKHNMDNRIIIGRCGAIAPLLSLFYSEVKITQEHAVTALLNLSINEDNKAVIAKSGAIEPLIHVLKSGNDGAKENAAAALFSLSVLEECKARIGRSGAVKALVNLLSSGTLRGKKDAVTALFNLSIFHENKARIVQAGAVKYLVELMDPDSGMVDKAVALLSNLSTIGEGRLAIVRESGIPILVEVIESGSRRGKENAASVLLQLCLNSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLGHFRNQREGSMGKARHEN >KJB09961 pep chromosome:Graimondii2_0_v6:1:26684044:26688515:1 gene:B456_001G178000 transcript:KJB09961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTSVRCLVNSISRFIHLVSCQTIKVAPVEKDYRNMVIVLKLLKPLLDDVIECEIPSDDILYKECEELDMLVNEAREFTENWCPKMSKIHRVLQSEPFLTKMQSSSLQICHILYRLLQSTPSSSSITSVQHCMREIKSMEQERPSENIGQTLRSKKDDAIPCTEHLINVMKSLNLMSNQELLKETLALERERTDAQVNNAKGKIDQINQIMDLISHIRDYMLKIEHFEPTSGILIPPDFLCPLSLELMLDPVFVASGQTYDRASIQKWLDSGLTICPKTRQTLAHKNLIPNYTVKAMVTSWCEENNLQLSNAKLVSISSPSNHISSQDLTYSYSSSSASRSSLEVGNGLEKQMIDSSSRFSGECNRCQSRETGKYDHQSPDQSYVHNRTESASSAIYSVDYAPTPASNDLSMRSKKNEINELAEISSKSLGNFPSTKEYGLSHSIMGKQLQVSGTKIEDAVNGNHNYNRAYSSAFSRPGCDDLITSSLVKKLVDNLQSLSNEVQTTAAAELRLLAKHNMDNRIIIGRCGAIAPLLSLFYSEVKITQEHAVTALLNLSINEDNKAVIAKSGAIEPLIHVLKSGNDGAKENAAAALFSLSVLEECKARIGRSGAVKALVNLLSSGTLRGKKDAVTALFNLSIFHENKARIVQAGAVKYLVELMDPDSGMVDKAVALLSNLSTIGEGRLAIVRESGIPILVEVIESGSRRGKENAASVLLQLCLNSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKVSSLVFPLFLLWCYGPCIVCF >KJB09960 pep chromosome:Graimondii2_0_v6:1:26683916:26689146:1 gene:B456_001G178000 transcript:KJB09960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTSVRCLVNSISRFIHLVSCQTIKVAPVEKDYRNMVIVLKLLKPLLDDVIECEIPSDDILYKECEELDMLVNEAREFTENWCPKMSKIHRVLQSEPFLTKMQSSSLQICHILYRLLQSTPSSSSITSVQHCMREIKSMEQERPSENIGQTLRSKKDDAIPCTEHLINVMKSLNLMSNQELLKETLALERERTDAQVNNAKGKIDQINQIMDLISHIRDYMLKIEHFEPTSGILIPPDFLCPLSLELMLDPVFVASGQTYDRASIQKWLDSGLTICPKTRQTLAHKNLIPNYTVKAMVTSWCEENNLQLSNAKLVSISSPSNHISSQDLTYSYSSSSASRSSLEVGNGLEKQMIDSSSRFSGECNRCQSRETGKYDHQSPDQSYVHNRTESASSAIYSVDYAPTPASNDLSMRSKKNEINELAEISSKSLGNFPSTKEYGLSHSIMGKQLQVSGTKIEDAVNGNHNYNRAYSSAFSRPGCDDLITSSLVKKLVDNLQSLSNEVQTTAAAELRLLAKHNMDNRIIIGRCGAIAPLLSLFYSEVKITQEHAVTALLNLSINEDNKAVIAKSGAIEPLIHVLKSGNDGAKENAAAALFSLSVLEECKARIGRSGAVKALVNLLSSGTLRGKKDAVTALFNLSIFHENKARIVQAGAVKYLVELMDPDSGMVDKAVALLSNLSTIGEGRLAIVRESGIPILVEVIESGSRRGKENAASVLLQLCLNSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLGHFRNQREGSMGKARHEN >KJB09958 pep chromosome:Graimondii2_0_v6:1:26683899:26689194:1 gene:B456_001G178000 transcript:KJB09958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSVYDLSARVESQMDTTSVRCLVNSISRFIHLVSCQTIKVAPVEKDYRNMVIVLKLLKPLLDDVIECEIPSDDILYKECEELDMLVNEAREFTENWCPKMSKIHRVLQSEPFLTKMQSSSLQICHILYRLLQSTPSSSSITSVQHCMREIKSMEQERPSENIGQTLRSKKDDAIPCTEHLINVMKSLNLMSNQELLKETLALERERTDAQVNNAKGKIDQINQIMDLISHIRDYMLKIEHFEPTSGILIPPDFLCPLSLELMLDPVFVASGQTYDRASIQKWLDSGLTICPKTRQTLAHKNLIPNYTVKAMVTSWCEENNLQLSNAKLVSISSPSNHISSQDLTYSYSSSSASRSSLEVGNGLEKQMIDSSSRFSGECNRCQSRETGKYDHQSPDQSYVHNRTESASSAIYSVDYAPTPASNDLSMRSKKNEINELAEISSKSLGNFPSTKEYGLSHSIMGKQLQVSGTKIEDAVNGNHNYNRAYSSAFSRPGCDDLITSSLVKKLVDNLQSLSNEVQTTAAAELRLLAKHNMDNRIIIGRCGAIAPLLSLFYSEVKITQEHAVTALLNLSINEDNKAVIAKSGAIEPLIHVLKSGNDGAKENAAAALFSLSVLEECKARIGRSGAVKALVNLLSSGTLRGKKDAVTALFNLSIFHENKARIVQAGAVKYLVELMDPDSGMVDKAVALLSNLSTIGEGRLAIVRESGIPILVEVIESGSRRGKENAASVLLQLCLNSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLGHFRNQREGSMGKARHEN >KJB07622 pep chromosome:Graimondii2_0_v6:1:3079050:3080375:1 gene:B456_001G033500 transcript:KJB07622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSSSTPILKTYIPQSSPAVDSGHRIPSIPISLTSSPINKIQRASSDGNMRQIVISNRQKLPTSSMGSPNTVKEEIFTFPQLSLLGDVDDGGDDNGGGGGGGVDRFGDWGQGKQRLDEYYRKMIKTYPGETVLLTNYAKFLKEVQGDLLKAEEYCGKAIIVKPDDGEILSLYGDLIWINHGDEALAQSYFDRAVKASPNNCYVLASYARYLWAAEKDDD >KJB07765 pep chromosome:Graimondii2_0_v6:1:4405619:4408025:1 gene:B456_001G046200 transcript:KJB07765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAAMKPTKPGLEESQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >KJB07764 pep chromosome:Graimondii2_0_v6:1:4405721:4408025:1 gene:B456_001G046200 transcript:KJB07764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAAMKPTKPGLEESQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGRTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >KJB07763 pep chromosome:Graimondii2_0_v6:1:4405724:4408025:1 gene:B456_001G046200 transcript:KJB07763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAAMKPTKPGLEESQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >KJB10545 pep chromosome:Graimondii2_0_v6:1:40635139:40636065:1 gene:B456_001G206800 transcript:KJB10545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEGIHHIHAKKYVHCNLKPDNNYESEIEPLILNFPGTPFYMPPKCGTTNKISVALDIWSLGCVVLQMVTVKPLEELPIKMGICEGKYFLMTFFARKASERWSVEMLLSHPFLMCEQRGNQPSIYTSNKRAKR >KJB06121 pep chromosome:Graimondii2_0_v6:1:11882157:11890059:1 gene:B456_001G1055002 transcript:KJB06121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNSTSKSPRKNDKGLRPKARFFEQSQPMVANGRRQPIIFSFSFQFHFPDTIIYSLSSNSKSLTLSCLDFLDCRSTSTVKTSTDPPPVLHPLLESIV >KJB06123 pep chromosome:Graimondii2_0_v6:1:11882157:11890939:1 gene:B456_001G1055002 transcript:KJB06123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNSTSKSPRKNDKGLRPKARFFEQSQPMVANGRRQPIIFSFSFQFHFPDTIIYSLSSNSKSLTLSCLDFLDCRSTSTVKTSTDPPPALGTRFTQFVQPVFQRCMNIIQTQQLGKKQSTLKSIWHTLASFPDFNFYDYG >KJB06122 pep chromosome:Graimondii2_0_v6:1:11882157:11890163:1 gene:B456_001G1055002 transcript:KJB06122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNSTSKSPRKNDKGLRPKARFFEQSQPMVANGRRQPIIFSFSFQFHFPDTIIYSLSSNSKSLTLSCLDFLDCRSTSTVKTSTDPPPALGTRFTQFVQPVFQRCMNIIQTQQLGKKQSTLKSIWHTLASFPISRFSRKLNLLIY >KJB06912 pep chromosome:Graimondii2_0_v6:1:6682877:6686215:-1 gene:B456_001G066600 transcript:KJB06912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMKGGGGERVEKLKYSRMKLWMIRATTSVLLWTCVVQLMAVGETWGPRVLKGWPSCFTHQDSSFSVIEDKVLSVPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRDEVRILKELPPRLKRRVETGTVYSMPPISWSDISYYRNQILPLIRKYKVLHLNRTDTRLANNGQPLDIQKLRCRVNFSALRFTSQIEDLGKRVIKLLRQNGPFIVLHLRYEMDMLAFSGCTQGCNNEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETVLILRALDIENSYQIYIAAGEIYGGNRRMASLASAYPKLKGDFIGAIRPWVFSKSFIPDGSIRLSCFVGE >KJB06911 pep chromosome:Graimondii2_0_v6:1:6682379:6686470:-1 gene:B456_001G066600 transcript:KJB06911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMKGGGGERVEKLKYSRMKLWMIRATTSVLLWTCVVQLMAVGETWGPRVLKGWPSCFTHQDSSFSVIEDKVLSVPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRDEVRILKELPPRLKRRVETGTVYSMPPISWSDISYYRNQILPLIRKYKVLHLNRTDTRLANNGQPLDIQKLRCRVNFSALRFTSQIEDLGKRVIKLLRQNGPFIVLHLRYEMDMLAFSGCTQGCNNEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETVLILRALDIENSYQIYIAAGEIYGGNRRMASLASAYPKLVRKETLLEPSDLGFFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRFLGYKQTILLDRRLLVDLIDQYNSGSLSWNEFSNAVKESHKYRKGQPTQRSVIPDRPKEEDYFYANPEECLKPSSYGQVSSM >KJB06913 pep chromosome:Graimondii2_0_v6:1:6682889:6686215:-1 gene:B456_001G066600 transcript:KJB06913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMKGGGGERVEKLKYSRMKLWMIRATTSVLLWTCVVQLMAVGETWGPRVLKGWPSCFTHQDSSFSVIEDKVLSVPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRDEVRILKELPPRLKRRVETGTVYSMPPISWSDISYYRNQILPLIRKYKVLHLNRTDTRLANNGQPLDIQKLRCRVNFSALRFTSQIEDLGKRVIKLLRQNGPFIVLHLRYEMDMLAFSGCTQGCNNEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETVLILRALDIENSYQIYIAAGEIYGGNRRMASLASAYPKLVRKETLLEPSDLGFFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRFLGYKQTILLDRRLLVDLIDQYNSGSLSWNEFSNAVKESHKYRKGQPTQRSVIPDRPKEEDYFYANPEECLKPSSYGQVSSM >KJB10916 pep chromosome:Graimondii2_0_v6:1:46931465:46933935:-1 gene:B456_001G232300 transcript:KJB10916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREERVESFYARLRESARASSLSPLLIFPSTSDVDSLCALKIIFHILESDSVRYSCYPVSSFQEIREYAASELSSSSEEPVTLLLINWGCHRDLQEDLKLGSAARVFVVDSHRPIHLHNLSDQNDQVVVLYTNDDERLADLAYDFEVMELANASYCLHNSELDGEEDEDSESEDDDEDEEVEGRARDGSRKRRRLSSEGEEEPPARRFKKLKREYYRMGTFHGKPSGCLMYDLSHCLRKNTNELLWLACVSLTDQFVHERLTDERYEAGVMELQQHINSLGNLDAVTSVTLKDGTKVRAPDSSRIAYEEEPRLMLLREWNLFDSMLCSSYIAPKLKTWSDNGMKKLKLLLARMGFALVDCQQKFQYMNHEVKQKMKDQFEQILPEYGLDDFYYKSFLRLHGYTSRVSAADMVYGVTALLESFVQSDGLCALKQFGVAYDALSLSNLDKLKSGMQQAIKIQRAILRQGSAAITKSGCIRSGRKFRWVKLEDSVDTKLLGHPQALTKFCYFLMDALKEKGAKLKPLLCACMLQEPSKVLIVGVCGKPRLGALQGNAFGLAFRNAAEETGAEFFHELFESSWIVLDAGVVNSFMVKLTERL >KJB10917 pep chromosome:Graimondii2_0_v6:1:46931465:46933984:-1 gene:B456_001G232300 transcript:KJB10917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELANASYCLHNSELDGEEDEDSESEDDDEDEEVEGRARDGSRKRRRLSSEGEEEPPARRFKKLKREYYRMGTFHGKPSGCLMYDLSHCLRKNTNELLWLACVSLTDQFVHERLTDERYEAGVMELQQHINSLGNLDAVTSVTLKDGTKVRAPDSSRIAYEEEPRLMLLREWNLFDSMLCSSYIAPKLKTWSDNGMKKLKLLLARMGFALVDCQQKFQYMNHEVKQKMKDQFEQILPEYGLDDFYYKSFLRLHGYTSRVSAADMVYGVTALLESFVQSDGLCALKQFGVAYDALSLSNLDKLKSGMQQAIKIQRAILRQGSAAITKSGCIRSGRKFRWVKLEDSVDTKLLGHPQALTKFCYFLMDALKEKGAKLKPLLCACMLQEPSKVLIVGVCGKPRLGALQGNAFGLAFRNAAEETGAEFFHELFESSWIVLDAGVVNSFMVKLTERL >KJB06178 pep chromosome:Graimondii2_0_v6:1:54091720:54114650:-1 gene:B456_001G264900 transcript:KJB06178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFVQVCEKLEPDNTVENSEKKILEEEDNKKDQTTLMTKTMEEKAKEENTAAVVSITEESKEEIAPIEVKEDHVPSSSVELGESKNDTEEENSASCTAETEETNIKEADAELEKEKNQKCNVVVIEENSSARTEHEHEQIRVSSAEIDVKSGNSYVTDEKDMEIPEEEDAMQDKVHNEGSENQLEMTTKEIPLKEILGDEVKEYEATLIEERKITDETSEKDHDSCEHSETPVPQVTDEKLIQNEDNPLADVPKAEPEDTGNESRHEVEEQLAEESNLDVTDVSSGEEHGELENQTDACKAKTLPVENSSDVGLERSKFEDGKPLDGTMDLEATLGTCKDGEKATKEENSAKNIEKTESLKEDAEKEKENQIPEMVTMTYNARVADYRSVSTECFMEAKSKEQIVAENLEVKEHLTNDGEASHITKELEEMRQAEESGDPVSLCSEDREAEEKTEVIIDDSKPCHEESCIEAVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVENVWLEEISSELDPDVLTKDNDEVQKQNLNMETVDKDEAEVCKGSETAASGTAKEEITPIEVKEELVCSSSSELEQSKMDTEEAATVKEYISTAVLDCTSQAAETEETNLKEAKAEPEEEKNEINNVVDGEDDEIGLESADINVKSEDLCVTSEDIETPREEDRMQDKIPNAGSENQMGMTIEEIPMKEVSGDDVKEGSTMPSEENDATLIEGKKIVDETSEEDQALHKPPENVVDAKVVQETDEELAITKGSDEMRKDVESIVPMPEVKTSEVENIGFIQKNQEENSHKDEIQEESFKQKNEPEEENHACPLDAVSEDVNTAICAKTAETSTSIEEATSVKDHDERSVGEIREDSPTDHLQTKASYINETVEVEISNEKADDLHVIDSYVGQLVDNEHEKQYELLSVQPVENEALEHKEESILQQPENCSAQKPEPKDAMENLKHEETKEVHEGPMSIVKKECAIIDDSLKATEENENEATPEVEVCEKLEPDNTVANAEKQILEEEDNKKDQTTLMTETKKEKAKEENTAAVASITVTSYTIIEETESIEETAKPNNGSSLYDIVQESKEEITPIEVKEDRARSSEFELKESKNDTEEEISASYPAETEETNIKEAEADADAEADAEAEREKRNNEIYNVVVIEENSSARTEHEESGVCSAEIDVKSGDSYVTHEKDMEIPEEEDAVQDKVPYAGSEDQLEMTTKEIPLKETLGDEVKEYEATFSEERKITDETSDKDQDQREHSETPMPQIMDEKLMQIEDNPLVDVPKAESKDMGNESGQVVEEQLAEESNLEITDVSTVEEHRELENQTNACEAKALPVENPSDVGLDRSKIEDGKPLDEAVDLEATLGTHKDDEKATEEEKLAKNIEKPESLKEDTEIEKENQIPEMVIMTYNAKVEDYSSVSTECFMEAESKEQIVAENLEVKEHSTNDGEASHITKELEEMRQAEESGDPVSLRSKDREAEEKTEEIIDDSKPCHEESCIEVVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVEIVQLEEISSESDPDIRTNENDEVQKQNLNMETVDKDEAEVAEKPEPDNTVENDGKQILEKEDTNNNQIPIEEVHEGPTLIVKEECAIINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVELALAGSEEKPESDSEPVAEDRSKEPIPEDTKSQDGETNTDAQNKETENQIKEEQKDKLKDSVNKDKKTKKVVSEEADGSEDTKEQVMEEESSTNEPEAMLEGETIISEVISKELEEHGAVIEEQKIKAESFEDEESSQSKITKELQGAVEDRAAAVHIVPGETSTDNLHSITSTLPSEVKENETMETELKEDESTENIQEQTREIEEVSNFNKETCKRASDNELLTETEDAANEKKIVMARDETEGEEHQCEKTIEGNEITKDKDLDKEVMENKEVTEISYSTSHLEEMIKDGSGEELKDKLVEDKKYEELIAETQKTSGSEIIEDQIKDKTVEDPGQASVVKIETTSVTEENVAIAGFGEKSKSETEAVAEEQSKDTIPEHTKSLDDETSIGVQNQETEEQIKEELKDKLEDEDSISSEQNTNVVTKAVILSEEVDKEVEKVDGIKEIKEHVMEEESSTNELHPVSKGDEATNEVKDYSAVSTECIKVAASKEQIKAEVEENSTNDKEGSDLTKEIEERRQDEDSRDPIKEHGEDREEEKIEEIIDDSKFCHEESPIEAVTEVIAGTSLNNTEVNEELVNTANISSVKMSLETIESDSNQETKEIEKVQLEETSSNLAPEAPTNNNDEAEITERDLKAFYMPKDQIVEAENGLSVEKLEEVTGEQILETKIPKEGTTSFPLVSKEEEENVSSVKKIEEDKLSEADTSEKISEDPFDAKEVAETCSEKEAIQELDDAKNDEAATTQCPQVEESSEQSLPSELTVENLKCGAEANEKEEEKVKEVEILEKERPQEPEAVMDQETIIVQASITEGSQEIEANEEKRKEAKKLDDGNREDSSAGETEKLNELQLVTREEIASGQSSSELTEESLKHGAGANEEEGEKEKEVELLEKEGPQEPKAVIDQETIIAQASLTEESQEIEANKEKRKETEKLHDGNHEDSSAGVTEELNELQLVTKKEIASAQSSSEKQLYISATAITSEMLEHETKEKEDEKTNDRQIIKDGTEEDASDARTTAEICSQKDSSLKLEAFAEDEATAGTAEKIESEKKGEAEFSQDNGEDVILQKELLQEDEALAAENNNSSQTIPTEKPEEKILNPVVTLPSEEHEHETINEVDKPEEENMKEEETKIGEIDGVKTVEEISTEKDEIKEAKPVLEVENDHLHITTSALPSEVQGDEMNDMESKDESPEKIQEKTGEVEEASNFKANTCEKALDNELLTEIEDAAIKENLVKARDGSGSEVNQCEKTNEGNEIPLNEVSVEQVTKNKKLTETTYSTSCSEDLITDGSGEDEVKENPTEGKTYGELVQEVQKTSEIEIIENQIRDKTFEYPSHASISRIETITVTEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEIPGEKDPIECKKTTNSIGKQQFPKEQQDEASETSDKVVVGDLEPRNAREIYSEAGPDNGKEKRPENAGAEKSEEPESEDSAKLSLYDLLQRSTRELQGAKNVIEEKELVVSKEEPPEEEAKTDEDEGDENSKTEPGIKPHKKSHNILSGVGSKVKHSISKMKKAITGKSSHSKESKPISPKESKK >KJB06191 pep chromosome:Graimondii2_0_v6:1:54091720:54121262:-1 gene:B456_001G264900 transcript:KJB06191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAVIIQEPVSIVEKIATESVIIQEPISIVEKMATEEVIIQEPISIVKTAESKHLTLEVAANNKGIETAYIITESNTGISKGESFLVSPEISMVANETSGMLEHTEVDGTPFKEEKILEDKEKTVVWIEEEDRTRDGIEKGDMDHGLEELEKQASMDSHNPIVDNLPSMVAESEEVARVHEPEENKCVNGENDASQDENLFDTSSIKKTKELQSQASDIRMENIVEECPREETGQCEIDEKTDQDFSTSNELCKDDANSESEKVAVDAIKAEDKELAMTVASDEMEIDEESAIAMPKEYITEAEHVSNPNEKPANPMNAVYKDANIDISGETAKTSTSIEEATSVKDHEDRSEGDGRETMSVKEVHEESEMEASGTGKENPETKEHPIPDISDSSITDETAKESMKEVESSLMKLTEEATNSNVTEMNSIEQRAKPDNDPSPFDEKESKEEIQQTLEEDLVRGSSFELKESRMETEEETSVKEDEAEGEKNVVAVKEIGLATTEHDVIGVEGANIDVNSMDFSVTCEKNVEAPKPREEDGMQDKIQNAVSEDRKEMTTEDVPLKEAIGDEIKEHSTIPSEEYETAEERKITDETLEKDRAYKHLEKVAADVEVVLDTDKKPAVTGALDEMKREEESTVLTPEGKQEEEIPIQQKNEPEKEMPSCPLNVVHEDVNTAISRETTETSTSIREKTSLENHEERSEREGREDSSTEHQQNEVNENTKTMELEISNDNADDLHVTDSSVQQSAENGYEKQFEFSDVQPEEQVDDAMVAVKEKEKVSEDASKASQSSDYTIPEHIFTEAAATDLKSETSEMGNENTMFVKEAYHLNSGSECNEALKNKHKGIRQELNDHIEDNSKHEETKEVSEKLELDNTVENDGKQILEEDTNNDQITLKEVCEGSETEALGTAKEEITLIKVKEELVCSSSFELEESKMDTEEATAVKERIDTADLDCTSQAAEMLETNLKEAKAEAEEEEEKNEINNVSDDEDHGNGLGSADIDAKSAESCMTGEKDIDIPQEEDRMQDKILNAGSENQMEMTTDEIPLKDIIGDDVKEHSAMHSEENDATLLEERKLVDETSEEDQAQQKHSENVVVDVKVVQVTGEELAITKGSEEMRKDKESIVPMPEGEISEVENIGLARKNQEGNSEKDEILEESFKQKNEPEKENRACPWDAVSEDVNTAICAETAETSTSIEEATPVKDQDERSEGETREDSPTDHLQASQSNETVEVEISNENADDLHVTDSFVGQLMDNEHEKQYKFSDVEPVENEALEHKEESIQQESENRSALPKDAKENVEDNLNQEETKEVHEGSTSIVKEECATINDSLKATEENENEATPEVEVCEKLEPDNTVENSEKKILEEEDNKKDQTTLMTKTMEEKAKEENTAAVVSITEESKEEIAPIEVKEDHVPSSSVELGESKNDTEEENSASCTAETEETNIKEADAELEKEKNQKCNVVVIEENSSARTEHEHEQIRVSSAEIDVKSGNSYVTDEKDMEIPEEEDAMQDKVHNEGSENQLEMTTKEIPLKEILGDEVKEYEATLIEERKITDETSEKDHDSCEHSETPVPQVTDEKLIQNEDNPLADVPKAEPEDTGNESRHEVEEQLAEESNLDVTDVSSGEEHGELENQTDACKAKTLPVENSSDVGLERSKFEDGKPLDGTMDLEATLGTCKDGEKATKEENSAKNIEKTESLKEDAEKEKENQIPEMVTMTYNARVADYRSVSTECFMEAKSKEQIVAENLEVKEHLTNDGEASHITKELEEMRQAEESGDPVSLCSEDREAEEKTEVIIDDSKPCHEESCIEAVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVENVWLEEISSELDPDVLTKDNDEVQKQNLNMETVDKDEAEVAEKLEPDNTVENDGKQILEKEDTNNNQIPIEEVCKGSETAASGTAKEEITPIEVKEELVCSSSSELEQSKMDTEEAATVKEYISTAVLDCTSQAAETEETNLKEAKAEPEEEKNEINNVVDGEDDEIGLESADINVKSEDLCVTSEDIETPREEDRMQDKIPNAGSENQMGMTIEEIPMKEVSGDDVKEGSTMPSEENDATLIEGKKIVDETSEEDQALHKPPENVVDAKVVQETDEELAITKGSDEMRKDVESIVPMPEVKTSEVENIGFIQKNQEENSHKDEIQEESFKQKNEPEEENHACPLDAVSEDVNTAICAKTAETSTSIEEATSVKDHDERSVGEIREDSPTDHLQTKASYINETVEVEISNEKADDLHVIDSYVGQLVDNEHEKQYELLSVQPVENEALEHKEESILQQPENCSAQKPEPKDAMENLKHEETKEVHEGPMSIVKKECAIIDDSLKATEENENEATPEVEVCEKLEPDNTVANAEKQILEEEDNKKDQTTLMTETKKEKAKEENTAAVASITVTSYTIIEETESIEETAKPNNGSSLYDIVQESKEEITPIEVKEDRARSSEFELKESKNDTEEEISASYPAETEETNIKEAEADADAEADAEAEREKRNNEIYNVVVIEENSSARTEHEESGVCSAEIDVKSGDSYVTHEKDMEIPEEEDAVQDKVPYAGSEDQLEMTTKEIPLKETLGDEVKEYEATFSEERKITDETSDKDQDQREHSETPMPQIMDEKLMQIEDNPLVDVPKAESKDMGNESGQVVEEQLAEESNLEITDVSTVEEHRELENQTNACEAKALPVENPSDVGLDRSKIEDGKPLDEAVDLEATLGTHKDDEKATEEEKLAKNIEKPESLKEDTEIEKENQIPEMVIMTYNAKVEDYSSVSTECFMEAESKEQIVAENLEVKEHSTNDGEASHITKELEEMRQAEESGDPVSLRSKDREAEEKTEEIIDDSKPCHEESCIEVVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVEIVQLEEISSESDPDIRTNENDEVQKQNLNMETVDKDEAEVAEKPEPDNTVENDGKQILEKEDTNNNQIPIEEVHEGPTLIVKEECAIINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVELALAGSEEKPESDSEPVAEDRSKEPIPEDTKSQDGETNTDAQNKETENQIKEEQKDKLKDSVNKDKKTKKVVSEEADGSEDTKEQVMEEEKNVSSVKKIEEDKLSEADTSEKISEDPFDAKEVAETCSEKEAIQELDDAKNDEAATTQCPQVEESSEQSLPSELTVENLKCGAEANEKEEEKVKEVEILEKERPQEPEAVMDQETIIVQASITEGSQEIEANEEKRKEAKKLDDGNREDSSAGETEKLNELQLVTREEIASGQSSSELTEESLKHGAGANEEEGEKEKEVELLEKEGPQEPKAVIDQETIIAQASLTEESQEIEANKEKRKETEKLHDGNHEDSSAGVTEELNELQLVTKKEIASAQSSSEKQLYISATAITSEMLEHETKEKEDEKTNDRQIIKDGTEEDASDARTTAEICSQKDSSLKLEAFAEDEATAGTAEKIESEKKGEAEFSQDNGEDVILQKELLQEDEALAAENNNSSQTIPTEKPEEKILNPVVTLPSEEHEHETINEVDKPEEENMKEEETKIGEIDGVKTVEEISTEKDEIKEAKPVLEVENDHLHITTSALPSEVQGDEMNDMESKDESPEKIQEKTGEVEEASNFKANTCEKALDNELLTEIEDAAIKENLVKARDGSGSEVNQCEKTNEGNEIPLNEVSVEQVTKNKKLTETTYSTSCSEDLITDGSGEDEVKENPTEGKTYGELVQEVQKTSEIEIIENQIRDKTFEYPSHASISRIETITVTEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEIPGEKDPIECKKTTNSIGKQQFPKEQQDEASETSDKVVVGDLEPRNAREIYSEAGPDNGKEKRPENAGAEKSEEPESEDSAKLSLYDLLQRSTRELQGAKNVIEEKELVVSKEEPPEEEAKTDEDEGDENSKTEPGIKPHKKSHNILSGVGSKVKHSISKMKKAITGKSSHSKESKPISPKESKK >KJB06180 pep chromosome:Graimondii2_0_v6:1:54091720:54121149:-1 gene:B456_001G264900 transcript:KJB06180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEVIIQEPISIVKTAESKHLTLEVAANNKGIETAYIITESNTGISKGESFLVSPEISMVANETSGMLEHTEVDGTPFKEEKILEDKEKTVVWIEEEDRTRDGIEKGDMDHGLEELEKQASMDSHNPIVDNLPSMVAESEEVARVHEPEENKCVNGENDASQDENLFDTSSIKKTKELQSQASDIRMENIVEECPREETGQCEIDEKTDQDFSTSNELCKDDANSESEKVAVDAIKAEDKELAMTVASDEMEIDEESAIAMPKEYITEAEHVSNPNEKPANPMNAVYKDANIDISGETAKTSTSIEEATSVKDHEDRSEGDGRETMSVKEVHEESEMEASGTGKENPETKEHPIPDISDSSITDETAKESMKEVESSLMKLTEEATNSNVTEMNSIEQRAKPDNDPSPFDEKESKEEIQQTLEEDLVRGSSFELKESRMETEEETSVKEDEAEGEKNVVAVKEIGLATTEHDVIGVEGANIDVNSMDFSVTCEKNVEAPKPREEDGMQDKIQNAVSEDRKEMTTEDVPLKEAIGDEIKEHSTIPSEEYETAEERKITDETLEKDRAYKHLEKVAADVEVVLDTDKKPAVTGALDEMKREEESTVLTPEGKQEEEIPIQQKNEPEKEMPSCPLNVVHEDVNTAISRETTETSTSIREKTSLENHEERSEREGREDSSTEHQQNEVNENTKTMELEISNDNADDLHVTDSSVQQSAENGYEKQFEFSDVQPEEQVDDAMVAVKEKEKVSEDASKASQSSDYTIPEHIFTEAAATDLKSETSEMGNENTMFVKEAYHLNSGSECNEALKNKHKGIRQELNDHIEDNSKHEETKEVSEKLELDNTVENDGKQILEEDTNNDQITLKEVCEGSETEALGTAKEEITLIKVKEELVCSSSFELEESKMDTEEATAVKERIDTADLDCTSQAAEMLETNLKEAKAEAEEEEEKNEINNVSDDEDHGNGLGSADIDAKSAESCMTGEKDIDIPQEEDRMQDKILNAGSENQMEMTTDEIPLKDIIGDDVKEHSAMHSEENDATLLEERKLVDETSEEDQAQQKHSENVVVDVKVVQVTGEELAITKGSEEMRKDKESIVPMPEGEISEVENIGLARKNQEGNSEKDEILEESFKQKNEPEKENRACPWDAVSEDVNTAICAETAETSTSIEEATPVKDQDERSEGETREDSPTDHLQASQSNETVEVEISNENADDLHVTDSFVGQLMDNEHEKQYKFSDVEPVENEALEHKEESIQQESENRSALPKDAKENVEDNLNQEETKEVHEGSTSIVKEECATINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVELALAGSEEKPESDSEPVAEDRSKEPIPEDTKSQDGETNTDAQNKETENQIKEEQKDKLKDSVNKDKKTKKVVSEEADGSEDTKEQVMEEESSTNEPEAMLEGETIISEVISKELEEHGAVIEEQKIKAESFEDEESSQSKITKELQGAVEDRAAAVHIVPGETSTDNLHSITSTLPSEVKENETMETELKEDESTENIQEQTREIEEVSNFNKETCKRASDNELLTETEDAANEKKIVMARDETEGEEHQCEKTIEGNEITKDKDLDKEVMENKEVTEISYSTSHLEEMIKDGSGEELKDKLVEDKKYEELIAETQKTSGSEIIEDQIKDKTVEDPGQASVVKIETTSVTEENVAIAGFGEKSKSETEAVAEEQSKDTIPEHTKSLDDETSIGVQNQETEEQIKEELKDKLEDEDSISSEQNTNVVTKAVILSEEVDKEVEKVDGIKEIKEHVMEEESSTNELHPVSKGDEATNEVKDYSAVSTECIKVAASKEQIKAEVEENSTNDKEGSDLTKEIEERRQDEDSRDPIKEHGEDREEEKIEEIIDDSKFCHEESPIEAVTEVIAGTSLNNTEVNEELVNTANISSVKMSLETIESDSNQETKEIEKVQLEETSSNLAPEAPTNNNDEAEITERDLKAFYMPKDQIVEAENGLSVEKLEEVTGEQILETKIPKEGTTSFPLVSKEEEENVSSVKKIEEDKLSEADTSEKISEDPFDAKEVAETCSEKEAIQELDDAKNDEAATTQCPQVEESSEQSLPSELTVENLKCGAEANEKEEEKVKEVEILEKERPQEPEAVMDQETIIVQASITEGSQEIEANEEKRKEAKKLDDGNREDSSAGETEKLNELQLVTREEIASGQSSSELTEESLKHGAGANEEEGEKEKEVELLEKEGPQEPKAVIDQETIIAQASLTEESQEIEANKEKRKETEKLHDGNHEDSSAGVTEELNELQLVTKKEIASAQSSSEKQLYISATAITSEMLEHETKEKEDEKTNDRQIIKDGTEEDASDARTTAEICSQKDSSLKLEAFAEDEATAGTAEKIESEKKGEAEFSQDNGEDVILQKELLQEDEALAAENNNSSQTIPTEKPEEKILNPVVTLPSEEHEHETINEVDKPEEENMKEEETKIGEIDGVKTVEEISTEKDEIKEAKPVLEVENDHLHITTSALPSEVQGDEMNDMESKDESPEKIQEKTGEVEEASNFKANTCEKALDNELLTEIEDAAIKENLVKARDGSGSEVNQCEKTNEGNEIPLNEVSVEQVTKNKKLTETTYSTSCSEDLITDGSGEDEVKENPTEGKTYGELVQEVQKTSEIEIIENQIRDKTFEYPSHASISRIETITVTEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEIPGEKDPIECKKTTNSIGKQQFPKEQQDEASETSDKVVVGDLEPRNAREIYSEAGPDNGKEKRPENAGAEKSEEPESEDSAKLSLYDLLQRSTRELQGAKNVIEEKELVVSKEEPPEEEAKTDEDEGDENSKTEPGIKPHKKSHNILSGVGSKVKHSISKMKKAITGKSSHSKESKPISPKESKK >KJB06185 pep chromosome:Graimondii2_0_v6:1:54092002:54121182:-1 gene:B456_001G264900 transcript:KJB06185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAVIIQEPVSIVEKIATESVIIQEPISIVEKMATEEVIIQEPISIVKTAESKHLTLEVAANNKGIETAYIITESNTGISKGESFLVSPEISMVANETSGMLEHTEVDGTPFKEEKILEDKEKTVVWIEEEDRTRDGIEKGDMDHGLEELEKQASMDSHNPIVDNLPSMVAESEEVARVHEPEENKCVNGENDASQDENLFDTSSIKKTKELQSQASDIRMENIVEECPREETGQCEIDEKTDQDFSTSNELCKDDANSESEKVAVDAIKAEDKELAMTVASDEMEIDEESAIAMPKEYITEAEHVSNPNEKPANPMNAVYKDANIDISGETAKTSTSIEEATSVKDHEDRSEGDGRETMSVKEVHEESEMEASGTGKENPETKEHPIPDISDSSITDETAKESMKEVESSLMKLTEEATNSNVTEMNSIEQRAKPDNDPSPFDEKESKEEIQQTLEEDLVRGSSFELKESRMETEEETSVKEDEAEGEKNVVAVKEIGLATTEHDVIGVEGANIDVNSMDFSVTCEKNVEAPKPREEDGMQDKIQNAVSEDRKEMTTEDVPLKEAIGDEIKEHSTIPSEEYETAEERKITDETLEKDRAYKHLEKVAADVEVVLDTDKKPAVTGALDEMKREEESTVLTPEGKQEEEIPIQQKNEPEKEMPSCPLNVVHEDVNTAISRETTETSTSIREKTSLENHEERSEREGREDSSTEHQQNEVNENTKTMELEISNDNADDLHVTDSSVQQSAENGYEKQFEFSDVQPEEQVDDAMVAVKEKEKVSEDASKASQSSDYTIPEHIFTEAAATDLKSETSEMGNENTMFVKEAYHLNSGSECNEALKNKHKGIRQELNDHIEDNSKHEETKEVSEKLELDNTVENDGKQILEEDTNNDQITLKEVCEGSETEALGTAKEEITLIKVKEELVCSSSFELEESKMDTEEATAVKERIDTADLDCTSQAAEMLETNLKEAKAEAEEEEEKNEINNVSDDEDHGNGLGSADIDAKSAESCMTGEKDIDIPQEEDRMQDKILNAGSENQMEMTTDEIPLKDIIGDDVKEHSAMHSEENDATLLEERKLVDETSEEDQAQQKHSENVVVDVKVVQVTGEELAITKGSEEMRKDKESIVPMPEGEISEVENIGLARKNQEGNSEKDEILEESFKQKNEPEKENRACPWDAVSEDVNTAICAETAETSTSIEEATPVKDQDERSEGETREDSPTDHLQASQSNETVEVEISNENADDLHVTDSFVGQLMDNEHEKQYKFSDVEPVENEALEHKEESIQQESENRSALPKDAKENVEDNLNQEETKEVHEGSTSIVKEECATINDSLKATEENENEATPEVEVCEKLEPDNTVENSEKKILEEEDNKKDQTTLMTKTMEEKAKEENTAAVVSITEESKEEIAPIEVKEDHVPSSSVELGESKNDTEEENSASCTAETEETNIKEADAELEKEKNQKCNVVVIEENSSARTEHEHEQIRVSSAEIDVKSGNSYVTDEKDMEIPEEEDAMQDKVHNEGSENQLEMTTKEIPLKEILGDEVKEYEATLIEERKITDETSEKDHDSCEHSETPVPQVTDEKLIQNEDNPLADVPKAEPEDTGNESRHEVEEQLAEESNLDVTDVSSGEEHGELENQTDACKAKTLPVENSSDVGLERSKFEDGKPLDGTMDLEATLGTCKDGEKATKEENSAKNIEKTESLKEDAEKEKENQIPEMVTMTYNARVADYRSVSTECFMEAKSKEQIVAENLEVKEHLTNDGEASHITKELEEMRQAEESGDPVSLCSEDREAEEKTEVIIDDSKPCHEESCIEAVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVENVWLEEISSELDPDVLTKDNDEVQKQNLNMETVDKDEAEVCKGSETAASGTAKEEITPIEVKEELVCSSSSELEQSKMDTEEAATVKEYISTAVLDCTSQAAETEETNLKEAKAEPEEEKNEINNVVDGEDDEIGLESADINVKSEDLCVTSEDIETPREEDRMQDKIPNAGSENQMGMTIEEIPMKEVSGDDVKEGSTMPSEENDATLIEGKKIVDETSEEDQALHKPPENVVDAKVVQETDEELAITKGSDEMRKDVESIVPMPEVKTSEVENIGFIQKNQEENSHKDEIQEESFKQKNEPEEENHACPLDAVSEDVNTAICAKTAETSTSIEEATSVKDHDERSVGEIREDSPTDHLQTKASYINETVEVEISNEKADDLHVIDSYVGQLVDNEHEKQYELLSVQPVENEALEHKEESILQQPENCSAQKPEPKDAMENLKHEETKEVHEGPMSIVKKECAIIDDSLKATEENENEATPEVEVCEKLEPDNTVANAEKQILEEEDNKKDQTTLMTETKKEKAKEENTAAVASITVTSYTIIEETESIEETAKPNNGSSLYDIVQESKEEITPIEVKEDRARSSEFELKESKNDTEEEISASYPAETEETNIKEAEADADAEADAEAEREKRNNEIYNVVVIEENSSARTEHEESGVCSAEIDVKSGDSYVTHEKDMEIPEEEDAVQDKVPYAGSEDQLEMTTKEIPLKETLGDEVKEYEATFSEERKITDETSDKDQDQREHSETPMPQIMDEKLMQIEDNPLVDVPKAESKDMGNESGQVVEEQLAEESNLEITDVSTVEEHRELENQTNACEAKALPVENPSDVGLDRSKIEDGKPLDEAVDLEATLGTHKDDEKATEEEKLAKNIEKPESLKEDTEIEKENQIPEMVIMTYNAKVEDYSSVSTECFMEAESKEQIVAENLEVKEHSTNDGEASHITKELEEMRQAEESGDPVSLRSKDREAEEKTEEIIDDSKPCHEESCIEVVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVEIVQLEEISSESDPDIRTNENDEVQKQNLNMETVDKDEAEVAEKPEPDNTVENDGKQILEKEDTNNNQIPIEEVHEGPTLIVKEECAIINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVELALAGSEEKPESDSEPVAEDRSKEPIPEDTKSQDGETNTDAQNKETENQIKEEQKDKLKDSVNKDKKTKKVVSEEQADGSEDTKEQVMEEESSTNEPEAMLEGETIISEVISKELEEHGAVIEEQKIKAESFEDEESSQSKITKELQGAVEDRAAAVHIVPENIQEQTREIEEVSNFNKETCKRASDNELLTETEDAANEKKIVMARDETEGEEHQCEKTIEGNEITKDKDLDKEVMENKEVTEISYSTSHLEEMIKDGSGEELKDKLVEDKKYEELIAETQKTSGSEIIEDQIKDKTVEDPGQASVVKIETTSVTEENVAIAGFGEKSKSETEAVAEEQSKDTIPEHTKSLDDETSIGVQNQETEEQIKEELKDKLEDEDSISSEQNTNVVTKAVILSEEVDKEVEKVDGIKEIKEHVMEEESSTNELHPVSKGDEATNEVKDYSAVSTECIKVAASKEQIKAEVEENSTNDKEGSDLTKEIEERRQDEDSRDPIKEHGEDREEEKIEEIIDDSKFCHEESPIEAVTEVIAGTSLNNTEVNEELVNTANISSVKMSLETIESDSNQETKEIEKVQLEETSSNLAPEAPTNNNDEAEITERDLKAFYMPKDQIVEAENGLSVEKLEEVTGEQILETKIPKEGTTSFPLVSKEEEENVSSVKKIEEDKLSEADTSEKISEDPFDAKEVAETCSEKEAIQELDDAKNDEAATTQCPQVEESSEQSLPSELTVENLKCGAEANEKEEEKVKEVEILEKERPQEPEAVMDQETIIVQASITEGSQEIEANEEKRKEAKKLDDGNREDSSAGETEKLNELQLVTREEIASGQSSSELTEESLKHGAGANEEEGEKEKEVELLEKEGPQEPKAVIDQETIIAQASLTEESQEIEANKEKRKETEKLHDGNHEDSSAGVTEELNELQLVTKKEIASAQSSSEKQLYISATAITSEMLEHETKEKEDEKTNDRQIIKDGTEEDASDARTTAEICSQKDSSLKLEAFAEDEATAGTAEKIESEKKGEAEFSQDNGEDVILQKELLQEDEALAAENNNSSQTIPTEKPEEKILNPVVTLPSEEHEHETINEVDKPEEENMKEEETKIGEIDGVKTVEEISTEKDEIKEAKPVLEVENDHLHITTSALPSEVQGDEMNDMESKDESPEKIQEKTGEVEEASNFKANTCEKALDNELLTEIEDAAIKENLVKARDGSGSEVNQCEKTNEGNEIPLNEVSVEQVTKNKKLTETTYSTSCSEDLITDGSGEDEVKENPTEGKTYGELVQEVQKTSEIEIIENQIRDKTFEYPSHASISRIETITVTEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEIPGEKDPIECKKTTNSIGKQQFPKEQQDEASETSDKVVVGDLEPRNAREIYSEAGPDNGKEKRPENAGAEKSEEPESEDSAKLSLYDLLQRSTRELQGAKNVIEEKELVVSKEEPPEEEAKTDEDEGDENSKTEPGIKPHKKSHNILSGVGSKVKHSISKMKKAITGKSSHSKESKPISPKESKK >KJB06184 pep chromosome:Graimondii2_0_v6:1:54092002:54121182:-1 gene:B456_001G264900 transcript:KJB06184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAVIIQEPVSIVEKIATESVIIQEPISIVEKMATEEVIIQEPISIVKTAESKHLTLEVAANNKGIETAYIITESNTGISKGESFLVSPEISMVANETSGMLEHTEVDGTPFKEEKILEDKEKTVVWIEEEDRTRDGIEKGDMDHGLEELEKQASMDSHNPIVDNLPSMVAESEEVARVHEPEENKCVNGENDASQDENLFDTSSIKKTKELQSQASDIRMENIVEECPREETGQCEIDEKTDQDFSTSNELCKDDANSESEKVAVDAIKAEDKELAMTVASDEMEIDEESAIAMPKEYITEAEHVSNPNEKPANPMNAVYKDANIDISGETAKTSTSIEEATSVKDHEDRSEGDGRETMSVKEVHEESEMEASGTGKENPETKEHPIPDISDSSITDETAKESMKEVESSLMKLTEEATNSNVTEMNSIEQRAKPDNDPSPFDEKESKEEIQQTLEEDLVRGSSFELKESRMETEEETSVKEDEAEGEKNVVAVKEIGLATTEHDVIGVEGANIDVNSMDFSVTCEKNVEAPKPREEDGMQDKIQNAVSEDRKEMTTEDVPLKEAIGDEIKEHSTIPSEEYETAEERKITDETLEKDRAYKHLEKVAADVEVVLDTDKKPAVTGALDEMKREEESTVLTPEGKQEEEIPIQQKNEPEKEMPSCPLNVVHEDVNTAISRETTETSTSIREKTSLENHEERSEREGREDSSTEHQQNEVNENTKTMELEISNDNADDLHVTDSSVQQSAENGYEKQFEFSDVQPEEQVDDAMVAVKEKEKVSEDASKASQSSDYTIPEHIFTEAAATDLKSETSEMGNENTMFVKEAYHLNSGSECNEALKNKHKGIRQELNDHIEDNSKHEETKEVSEKLELDNTVENDGKQILEEDTNNDQITLKEVCEGSETEALGTAKEEITLIKVKEELVCSSSFELEESKMDTEEATAVKERIDTADLDCTSQAAEMLETNLKEAKAEAEEEEEKNEINNVSDDEDHGNGLGSADIDAKSAESCMTGEKDIDIPQEEDRMQDKILNAGSENQMEMTTDEIPLKDIIGDDVKEHSAMHSEENDATLLEERKLVDETSEEDQAQQKHSENVVVDVKVVQVTGEELAITKGSEEMRKDKESIVPMPEGEISEVENIGLARKNQEGNSEKDEILEESFKQKNEPEKENRACPWDAVSEDVNTAICAETAETSTSIEEATPVKDQDERSEGETREDSPTDHLQASQSNETVEVEISNENADDLHVTDSFVGQLMDNEHEKQYKFSDVEPVENEALEHKEESIQQESENRSALPKDAKENVEDNLNQEETKEVHEGSTSIVKEECATINDSLKATEENENEATPEVEVCEKLEPDNTVENSEKKILEEEDNKKDQTTLMTKTMEEKAKEENTAAVVSITEESKEEIAPIEVKEDHVPSSSVELGESKNDTEEENSASCTAETEETNIKEADAELEKEKNQKCNVVVIEENSSARTEHEHEQIRVSSAEIDVKSGNSYVTDEKDMEIPEEEDAMQDKVHNEGSENQLEMTTKEIPLKEILGDEVKEYEATLIEERKITDETSEKDHDSCEHSETPVPQVTDEKLIQNEDNPLADVPKAEPEDTGNESRHEVEEQLAEESNLDVTDVSSGEEHGELENQTDACKAKTLPVENSSDVGLERSKFEDGKPLDGTMDLEATLGTCKDGEKATKEENSAKNIEKTESLKEDAEKEKENQIPEMVTMTYNARVADYRSVSTECFMEAKSKEQIVAENLEVKEHLTNDGEASHITKELEEMRQAEESGDPVSLCSEDREAEEKTEVIIDDSKPCHEESCIEAVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVENVWLEEISSELDPDVLTKDNDEVQKQNLNMETVDKDEAEVAEKLEPDNTVENDGKQILEKEDTNNNQIPIEEVCKGSETAASGTAKEEITPIEVKEELVCSSSSELEQSKMDTEEAATVKEYISTAVLDCTSQAAETEETNLKEAKAEPEEEKNEINNVVDGEDDEIGLESADINVKSEDLCVTSEDIETPREEDRMQDKIPNAGSENQMGMTIEEIPMKEVSGDDVKEGSTMPSEENDATLIEGKKIVDETSEEDQALHKPPENVVDAKVVQETDEELAITKGSDEMRKDVESIVPMPEVKTSEVENIGFIQKNQEENSHKDEIQEESFKQKNEPEEENHACPLDAVSEDVNTAICAKTAETSTSIEEATSVKDHDERSVGEIREDSPTDHLQTKASYINETVEVEISNEKADDLHVIDSYVGQLVDNEHEKQYELLSVQPVENEALEHKEESILQQPENCSAQKPEPKDAMENLKHEETKEVHEGPMSIVKKECAIIDDSLKATEENENEATPEVEVCEKLEPDNTVANAEKQILEEEDNKKDQTTLMTETKKEKAKEENTAAVASITVTSYTIIEETESIEETAKPNNGSSLYDIVQESKEEITPIEVKEDRARSSEFELKESKNDTEEEISASYPAETEETNIKEAEADADAEADAEAEREKRNNEIYNVVVIEENSSARTEHEESGVCSAEIDVKSGDSYVTHEKDMEIPEEEDAVQDKVPYAGSEDQLEMTTKEIPLKETLGDEVKEYEATFSEERKITDETSDKDQDQREHSETPMPQIMDEKLMQIEDNPLVDVPKAESKDMGNESGQVVEEQLAEESNLEITDVSTVEEHRELENQTNACEAKALPVENPSDVGLDRSKIEDGKPLDEAVDLEATLGTHKDDEKATEEEKLAKNIEKPESLKEDTEIEKENQIPEMVIMTYNAKVEDYSSVSTECFMEAESKEQIVAENLEVKEHSTNDGEASHITKELEEMRQAEESGDPVSLRSKDREAEEKTEEIIDDSKPCHEESCIEVVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVEIVQLEEISSESDPDIRTNENDEVQKQNLNMETVDKDEAEVAEKPEPDNTVENDGKQILEKEDTNNNQIPIEEVHEGPTLIVKEECAIINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVELALAGSEEKPESDSEPVAEDRSKEPIPEDTKSQDGETNTDAQNKETENQIKEEQKDKLKDSVNKDKKTKKVVSEEADGSEDTKEQVMEEESSTNEPEAMLEGETIISEVISKELEEHGAVIEEQKIKAESFEDEESSQSKITKELQGAVEDRAAAVHIVPGETSTDNLHSITSTLPSEVKENETMETELKEDESTENIQEQTREIEEVSNFNKETCKRASDNELLTETEDAANEKKIVMARDETEGEEHQCEKTIEGNEITKDKDLDKEVMENKEVTEISYSTSHLEEMIKDGSGEELKDKLVEDKKYEELIAETQKTSGSEIIEDQIKDKTVEDPGQASVVKIETTSVTEENVAIAGFGEKSKSETEAVAEEQSKDTIPEHTKSLDDETSIGVQNQETEEQIKEELKDKLEDEDSISSEQNTNVVTKAVILSEEVDKEVEKVDGIKEIKEHVMEEESSTNELHPVSKGDEATNEVKDYSAVSTECIKVAASKEQIKAEVEENSTNDKEGSDLTKEIEERRQDEDSRDPIKEHGEDREEEKIEEIIDDSKFCHEESPIEAVTEVIAGTSLNNTEVNEELVNTANISSVKMSLETIESDSNQETKEIEKVQLEETSSNLAPEAPTNNNDEAEITERDLKAFYMPKDQIVEAENGLSVEKLEEVTGEQILETKIPKEGTTSFPLVSKEEEENVSSVKKIEEDKLSEADTSEKISEDPFDAKEVAETCSEKEAIQELDDAKNDEAATTQCPQVEESSEQSLPSELTVENLKCGAEANEKEEEKVKEVEILEKERPQEPEAVMDQETIIVQASITEGSQEIEANEEKRKEAKKLDDGNREDSSAGETEKLNELQLVTREEIASGQSSSELTEESLKHGAGANEEEGEKEKEVELLEKEGPQEPKAVIDQETIIAQASLTEESQEIEANKEKRKETEKLHDGNHEDSSAGVTEELNELQLVTKKEIASAQSSSEKQLYISATAITSEMLEHETKEKEDEKTNDRQIIKDGTEEDASDARTTAEICSQKDSSLKLEAFAEDEATAGTAEKIESEKKGEAEFSQDNGEDVILQKELLQEDEALAAENNNSSQTIPTEKPEEKILNPVVTLPSEEHEHETINEVDKPEEENMKEEETKIGEIDGVKTVEEISTEKDEIKEAKPVLEVENDHLHITTSALPSEVQGDEMNDMESKDESPEKIQEKTGEVEEASNFKANTCEKALDNELLTEIEDAAIKENLVKARDGSGSEVNQCEKTNEGNEIPLNEVSVEQVTKNKKLTETTYSTSCSEDLITDGSGEDEVKENPTEGKTYGELVQEVQKTSEIEIIENQIRDKTFEYPSHASISRIETITVTEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEIPGEKDPIECKKTTNSIGKQQFPKEQQDEASETSDKVVVGDLEPRNAREIYSEAGPDNGKEKRPENAGAEKSEEPESEDSAKLSLYDLLQRSTRELQGAKNVIEEKELVVSKEEPPEEEAKTDEDEGDENSKTEPGIKPHKKSHNILSGVGSKVKHSISKMKKAITGKSSHSKESKPISPKESKK >KJB06188 pep chromosome:Graimondii2_0_v6:1:54091720:54121262:-1 gene:B456_001G264900 transcript:KJB06188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAVIIQEPVSIVKTAESKHLTLEVAANNKGIETAYIITESNTGISKGESFLVSPEISMVANETSGMLEHTEVDGTPFKEEKILEDKEKTVVWIEEEDRTRDGIEKGDMDHGLEELEKQASMDSHNPIVDNLPSMVAESEEVARVHEPEENKCVNGENDASQDENLFDTSSIKKTKELQSQASDIRMENIVEECPREETGQCEIDEKTDQDFSTSNELCKDDANSESEKVAVDAIKAEDKELAMTVASDEMEIDEESAIAMPKEYITEAEHVSNPNEKPANPMNAVYKDANIDISGETAKTSTSIEEATSVKDHEDRSEGDGRETMSVKEVHEESEMEASGTGKENPETKEHPIPDISDSSITDETAKESMKEVESSLMKLTEEATNSNVTEMNSIEQRAKPDNDPSPFDEKESKEEIQQTLEEDLVRGSSFELKESRMETEEETSVKEDEAEGEKNVVAVKEIGLATTEHDVIGVEGANIDVNSMDFSVTCEKNVEAPKPREEDGMQDKIQNAVSEDRKEMTTEDVPLKEAIGDEIKEHSTIPSEEYETAEERKITDETLEKDRAYKHLEKVAADVEVVLDTDKKPAVTGALDEMKREEESTVLTPEGKQEEEIPIQQKNEPEKEMPSCPLNVVHEDVNTAISRETTETSTSIREKTSLENHEERSEREGREDSSTEHQQNEVNENTKTMELEISNDNADDLHVTDSSVQQSAENGYEKQFEFSDVQPEEQVDDAMVAVKEKEKVSEDASKASQSSDYTIPEHIFTEAAATDLKSETSEMGNENTMFVKEAYHLNSGSECNEALKNKHKGIRQELNDHIEDNSKHEETKEVSEKLELDNTVENDGKQILEEDTNNDQITLKEVCEGSETEALGTAKEEITLIKVKEELVCSSSFELEESKMDTEEATAVKERIDTADLDCTSQAAEMLETNLKEAKAEAEEEEEKNEINNVSDDEDHGNGLGSADIDAKSAESCMTGEKDIDIPQEEDRMQDKILNAGSENQMEMTTDEIPLKDIIGDDVKEHSAMHSEENDATLLEERKLVDETSEEDQAQQKHSENVVVDVKVVQVTGEELAITKGSEEMRKDKESIVPMPEGEISEVENIGLARKNQEGNSEKDEILEESFKQKNEPEKENRACPWDAVSEDVNTAICAETAETSTSIEEATPVKDQDERSEGETREDSPTDHLQASQSNETVEVEISNENADDLHVTDSFVGQLMDNEHEKQYKFSDVEPVENEALEHKEESIQQESENRSALPKDAKENVEDNLNQEETKEVHEGSTSIVKEECATINDSLKATEENENEATPEVEVCEKLEPDNTVENSEKKILEEEDNKKDQTTLMTKTMEEKAKEENTAAVVSITEESKEEIAPIEVKEDHVPSSSVELGESKNDTEEENSASCTAETEETNIKEADAELEKEKNQKCNVVVIEENSSARTEHEHEQIRVSSAEIDVKSGNSYVTDEKDMEIPEEEDAMQDKVHNEGSENQLEMTTKEIPLKEILGDEVKEYEATLIEERKITDETSEKDHDSCEHSETPVPQVTDEKLIQNEDNPLADVPKAEPEDTGNESRHEVEEQLAEESNLDVTDVSSGEEHGELENQTDACKAKTLPVENSSDVGLERSKFEDGKPLDGTMDLEATLGTCKDGEKATKEENSAKNIEKTESLKEDAEKEKENQIPEMVTMTYNARVADYRSVSTECFMEAKSKEQIVAENLEVKEHLTNDGEASHITKELEEMRQAEESGDPVSLCSEDREAEEKTEVIIDDSKPCHEESCIEAVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVENVWLEEISSELDPDVLTKDNDEVQKQNLNMETVDKDEAEVCKGSETAASGTAKEEITPIEVKEELVCSSSSELEQSKMDTEEAATVKEYISTAVLDCTSQAAETEETNLKEAKAEPEEEKNEINNVVDGEDDEIGLESADINVKSEDLCVTSEDIETPREEDRMQDKIPNAGSENQMGMTIEEIPMKEVSGDDVKEGSTMPSEENDATLIEGKKIVDETSEEDQALHKPPENVVDAKVVQETDEELAITKGSDEMRKDVESIVPMPEVKTSEVENIGFIQKNQEENSHKDEIQEESFKQKNEPEEENHACPLDAVSEDVNTAICAKTAETSTSIEEATSVKDHDERSVGEIREDSPTDHLQTKASYINETVEVEISNEKADDLHVIDSYVGQLVDNEHEKQYELLSVQPVENEALEHKEESILQQPENCSAQKPEPKDAMENLKHEETKEVHEGPMSIVKKECAIIDDSLKATEENENEATPEVEVCEKLEPDNTVANAEKQILEEEDNKKDQTTLMTETKKEKAKEENTAAVASITVTSYTIIEETESIEETAKPNNGSSLYDIVQESKEEITPIEVKEDRARSSEFELKESKNDTEEEISASYPAETEETNIKEAEADADAEADAEAEREKRNNEIYNVVVIEENSSARTEHEESGVCSAEIDVKSGDSYVTHEKDMEIPEEEDAVQDKVPYAGSEDQLEMTTKEIPLKETLGDEVKEYEATFSEERKITDETSDKDQDQREHSETPMPQIMDEKLMQIEDNPLVDVPKAESKDMGNESGQVVEEQLAEESNLEITDVSTVEEHRELENQTNACEAKALPVENPSDVGLDRSKIEDGKPLDEAVDLEATLGTHKDDEKATEEEKLAKNIEKPESLKEDTEIEKENQIPEMVIMTYNAKVEDYSSVSTECFMEAESKEQIVAENLEVKEHSTNDGEASHITKELEEMRQAEESGDPVSLRSKDREAEEKTEEIIDDSKPCHEESCIEVVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVEIVQLEEISSESDPDIRTNENDEVQKQNLNMETVDKDEAEVAEKPEPDNTVENDGKQILEKEDTNNNQIPIEEVHEGPTLIVKEECAIINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVELALAGSEEKPESDSEPVAEDRSKEPIPEDTKSQDGETNTDAQNKETENQIKEEQKDKLKDSVNKDKKTKKVVSEEADGSEDTKEQVMEEESSTNEPEAMLEGETIISEVISKELEEHGAVIEEQKIKAESFEDEESSQSKITKELQGAVEDRAAAVHIVPGETSTDNLHSITSTLPSEVKENETMETELKEDESTENIQEQTREIEEVSNFNKETCKRASDNELLTETEDAANEKKIVMARDETEGEEHQCEKTIEGNEITKDKDLDKEVMENKEVTEISYSTSHLEEMIKDGSGEELKDKLVEDKKYEELIAETQKTSGSEIIEDQIKDKTVEDPGQASVVKIETTSVTEENVAIAGFGEKSKSETEAVAEEQSKDTIPEHTKSLDDETSIGVQNQETEEQIKEELKDKLEDEDSISSEQNTNVVTKAVILSEEVDKEVEKVDGIKEIKEHVMEEESSTNELHPVSKGDEATNEVKDYSAVSTECIKVAASKEQIKAEVEENSTNDKEGSDLTKEIEERRQDEDSRDPIKEHGEDREEEKIEEIIDDSKFCHEESPIEAVTEVIAGTSLNNTEVNEELVNTANISSVKMSLETIESDSNQETKEIEKVQLEETSSNLAPEAPTNNNDEAEITERDLKAFYMPKDQIVEAENGLSVEKLEEVTGEQILETKIPKEGTTSFPLVSKEEEENVSSVKKIEEDKLSEADTSEKISEDPFDAKEVAETCSEKEAIQELDDAKNDEAATTQCPQVEESSEQSLPSELTVENLKCGAEANEKEEEKVKEVEILEKERPQEPEAVMDQETIIVQASITEGSQEIEANEEKRKEAKKLDDGNREDSSAGETEKLNELQLVTREEIASGQSSSELTEESLKHGAGANEEEGEKEKEVELLEKEGPQEPKAVIDQETIIAQASLTEESQEIEANKEKRKETEKLHDGNHEDSSAGVTEELNELQLVTKKEIASAQSSSEKQLYISATAITSEMLEHETKEKEDEKTNDRQIIKDGTEEDASDARTTAEICSQKDSSLKLEAFAEDEATAGTAEKIESEKKGEAEFSQDNGEDVILQKELLQEDEALAAENNNSSQTIPTEKPEEKILNPVVTLPSEEHEHETINEVDKPEEENMKEEETKIGEIDGVKTVEEISTEKDEIKEAKPVLEVENDHLHITTSALPSEVQGDEMNDMESKDESPEKIQEKTGEVEEASNFKANTCEKALDNELLTEIEDAAIKENLVKARDGSGSEVNQCEKTNEGNEIPLNEVSVEQVTKNKKLTETTYSTSCSEDLITDGSGEDEVKENPTEGKTYGELVQEVQKTSEIEIIENQIRDKTFEYPSHASISRIETITVTEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEIPGEKDPIECKKTTNSIGKQQFPKEQQDEASETSDKVVVGDLEPRNAREIYSEAGPDNGKEKRPENAGAEKSEEPESEDSAKLSLYDLLQRSTRELQGAKNVIEEKELVVSKEEPPEEEAKTDEDEGDENSKTEPGIKPHKKSHNILSGVGSKVKHSISKMKKAITGKSSHSKESKPISPKESKK >KJB06182 pep chromosome:Graimondii2_0_v6:1:54091720:54121124:-1 gene:B456_001G264900 transcript:KJB06182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEVIIQEPISIVKTAESKHLTLEVAANNKGIETAYIITESNTGISKGESFLVSPEISMVANETSGMLEHTEVDGTPFKEEKILEDKEKTVVWIEEEDRTRDGIEKGDMDHGLEELEKQASMDSHNPIVDNLPSMVAESEEVARVHEPEENKCVNGENDASQDENLFDTSSIKKTKELQSQASDIRMENIVEECPREETGQCEIDEKTDQDFSTSNELCKDDANSESEKVAVDAIKAEDKELAMTVASDEMEIDEESAIAMPKEYITEAEHVSNPNEKPANPMNAVYKDANIDISGETAKTSTSIEEATSVKDHEDRSEGDGRETMSVKEVHEESEMEASGTGKENPETKEHPIPDISDSSITDETAKESMKEVESSLMKLTEEATNSNVTEMNSIEQRAKPDNDPSPFDEKESKEEIQQTLEEDLVRGSSFELKESRMETEEETSVKEDEAEGEKNVVAVKEIGLATTEHDVIGVEGANIDVNSMDFSVTCEKNVEAPKPREEDGMQDKIQNAVSEDRKEMTTEDVPLKEAIGDEIKEHSTIPSEEYETAEERKITDETLEKDRAYKHLEKVAADVEVVLDTDKKPAVTGALDEMKREEESTVLTPEGKQEEEIPIQQKNEPEKEMPSCPLNVVHEDVNTAISRETTETSTSIREKTSLENHEERSEREGREDSSTEHQQNEVNENTKTMELEISNDNADDLHVTDSSVQQSAENGYEKQFEFSDVQPEEQVDDAMVAVKEKEKVSEDASKASQSSDYTIPEHIFTEAAATDLKSETSEMGNENTMFVKEAYHLNSGSECNEALKNKHKGIRQELNDHIEDNSKHEETKEVSEKLELDNTVENDGKQILEEDTNNDQITLKEVCEGSETEALGTAKEEITLIKVKEELVCSSSFELEESKMDTEEATAVKERIDTADLDCTSQAAEMLETNLKEAKAEAEEEEEKNEINNVSDDEDHGNGLGSADIDAKSAESCMTGEKDIDIPQEEDRMQDKILNAGSENQMEMTTDEIPLKDIIGDDVKEHSAMHSEENDATLLEERKLVDETSEEDQAQQKHSENVVVDVKVVQVTGEELAITKGSEEMRKDKESIVPMPEGEISEVENIGLARKNQEGNSEKDEILEESFKQKNEPEKENRACPWDAVSEDVNTAICAETAETSTSIEEATPVKDQDERSEGETREDSPTDHLQASQSNETVEVEISNENADDLHVTDSFVGQLMDNEHEKQYKFSDVEPVENEALEHKEESIQQESENRSALPKDAKENVEDNLNQEETKEVHEGSTSIVKEECATINDSLKATEENENEATPEVEVCEKLEPDNTVENSEKKILEEEDNKKDQTTLMTKTMEEKAKEENTAAVVSITEESKEEIAPIEVKEDHVPSSSVELGESKNDTEEENSASCTAETEETNIKEADAELEKEKNQKCNVVVIEENSSARTEHEHEQIRVSSAEIDVKSGNSYVTDEKDMEIPEEEDAMQDKVHNEGSENQLEMTTKEIPLKEILGDEVKEYEATLIEERKITDETSEKDHDSCEHSETPVPQVTDEKLIQNEDNPLADVPKAEPEDTGNESRHEVEEQLAEESNLDVTDVSSGEEHGELENQTDACKAKTLPVENSSDVGLERSKFEDGKPLDGTMDLEATLGTCKDGEKATKEENSAKNIEKTESLKEDAEKEKENQIPEMVTMTYNARVADYRSVSTECFMEAKSKEQIVAENLEVKEHLTNDGEASHITKELEEMRQAEESGDPVSLCSEDREAEEKTEVIIDDSKPCHEESCIEAVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVENVWLEEISSELDPDVLTKDNDEVQKQNLNMETVDKDEAEVCKGSETAASGTAKEEITPIEVKEELVCSSSSELEQSKMDTEEAATVKEYISTAVLDCTSQAAETEETNLKEAKAEPEEEKNEINNVVDGEDDEIGLESADINVKSEDLCVTSEDIETPREEDRMQDKIPNAGSENQMGMTIEEIPMKEVSGDDVKEGSTMPSEENDATLIEGKKIVDETSEEDQALHKPPENVVDAKVVQETDEELAITKGSDEMRKDVESIVPMPEVKTSEVENIGFIQKNQEENSHKDEIQEESFKQKNEPEEENHACPLDAVSEDVNTAICAKTAETSTSIEEATSVKDHDERSVGEIREDSPTDHLQTKASYINETVEVEISNEKADDLHVIDSYVGQLVDNEHEKQYELLSVQPVENEALEHKEESILQQPENCSAQKPEPKDAMENLKHEETKEVHEGPMSIVKKECAIIDDSLKATEENENEATPEVEVCEKLEPDNTVANAEKQILEEEDNKKDQTTLMTETKKEKAKEENTAAVASITVTSYTIIEETESIEETAKPNNGSSLYDIVQESKEEITPIEVKEDRARSSEFELKESKNDTEEEISASYPAETEETNIKEAEADADAEADAEAEREKRNNEIYNVVVIEENSSARTEHEESGVCSAEIDVKSGDSYVTHEKDMEIPEEEDAVQDKVPYAGSEDQLEMTTKEIPLKETLGDEVKEYEATFSEERKITDETSDKDQDQREHSETPMPQIMDEKLMQIEDNPLVDVPKAESKDMGNESGQVVEEQLAEESNLEITDVSTVEEHRELENQTNACEAKALPVENPSDVGLDRSKIEDGKPLDEAVDLEATLGTHKDDEKATEEEKLAKNIEKPESLKEDTEIEKENQIPEMVIMTYNAKVEDYSSVSTECFMEAESKEQIVAENLEVKEHSTNDGEASHITKELEEMRQAEESGDPVSLRSKDREAEEKTEEIIDDSKPCHEESCIEVVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVEIVQLEEISSESDPDIRTNENDEVQKQNLNMETVDKDEAEVAEKPEPDNTVENDGKQILEKEDTNNNQIPIEEVHEGPTLIVKEECAIINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVELALAGSEEKPESDSEPVAEDRSKEPIPEDTKSQDGETNTDAQNKETENQIKEEQKDKLKDSVNKDKKTKKVVSEEADGSEDTKEQVMEEESSTNEPEAMLEGETIISEVISKELEEHGAVIEEQKIKAESFEDEESSQSKITKELQGAVEDRAAAVHIVPGETSTDNLHSITSTLPSEVKENETMETELKEDESTENIQEQTREIEEVSNFNKETCKRASDNELLTETEDAANEKKIVMARDETEGEEHQCEKTIEGNEITKDKDLDKEVMENKEVTEISYSTSHLEEMIKDGSGEELKDKLVEDKKYEELIAETQKTSGSEIIEDQIKDKTVEDPGQASVVKIETTSVTEENVAIAGFGEKSKSETEAVAEEQSKDTIPEHTKSLDDETSIGVQNQETEEQIKEELKDKLEDEDSISSEQNTNVVTKAVILSEEVDKEVEKVDGIKEIKEHVMEEESSTNELHPVSKGDEATNEVKDYSAVSTECIKVAASKEQIKAEVEENSTNDKEGSDLTKEIEERRQDEDSRDPIKEHGEDREEEKIEEIIDDSKFCHEESPIEAVTEVIAGTSLNNTEVNEELVNTANISSVKMSLETIESDSNQETKEIEKVQLEETSSNLAPEAPTNNNDEAEITERDLKAFYMPKDQIVEAENGLSVEKLEEVTGEQILETKIPKEGTTSFPLVSKEEEENVSSVKKIEEDKLSEADTSEKISEDPFDAKEVAETCSEKEAIQELDDAKNDEAATTQCPQVEESSEQSLPSELTVENLKCGAEANEKEEEKVKEVEILEKERPQEPEAVMDQETIIVQASITEGSQEIEANEEKRKEAKKLDDGNREDSSAGETEKLNELQLVTREEIASGQSSSELTEESLKHGAGANEEEGEKEKEVELLEKEGPQEPKAVIDQETIIAQASLTEESQEIEANKEKRKETEKLHDGNHEDSSAGVTEELNELQLVTKKEIASAQSSSEKQLYISATAITSEMLEHETKEKEDEKTNDRQIIKDGTEEDASDARTTAEICSQKDSSLKLEAFAEDEATAGTAEKIESEKKGEAEFSQDNGEDVILQKELLQEDEALAAENNNSSQTIPTEKPEEKILNPVVTLPSEEHEHETINEVDKPEEENMKEEETKIGEIDGVKTVEEISTEKDEIKEAKPVLEVENDHLHITTSALPSEVQGDEMNDMESKDESPEKIQEKTGEVEEASNFKANTCEKALDNELLTEIEDAAIKENLVKARDGSGSEVNQCEKTNEGNEIPLNEVSVEQVTKNKKLTETTYSTSCSEDLITDGSGEDEVKENPTEGKTYGELVQEVQKTSEIEIIENQIRDKTFEYPSHASISRIETITVTEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEIPGEKDPIECKKTTNSIGKQQFPKEQQDEASETSDKVVVGDLEPRNAREIYSEAGPDNGKEKRPENAGAEKSEEPESEDSAKLSLYDLLQRSTRELQGAKNVIEEKELVVSKEEPPEEEAKTDEDEGDENSKTEPGIKPHKKSHNILSGVGSKVKHSISKMKKAITGKSSHSKESKPISPKESKK >KJB06187 pep chromosome:Graimondii2_0_v6:1:54092740:54121182:-1 gene:B456_001G264900 transcript:KJB06187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAVIIQEPVSIVEKIATESVIIQEPISIVEKMATEEVIIQEPISIVKTAESKHLTLEVAANNKGIETAYIITESNTGISKGESFLVSPEISMVANETSGMLEHTEVDGTPFKEEKILEDKEKTVVWIEEEDRTRDGIEKGDMDHGLEELEKQASMDSHNPIVDNLPSMVAESEEVARVHEPEENKCVNGENDASQDENLFDTSSIKKTKELQSQASDIRMENIVEECPREETGQCEIDEKTDQDFSTSNELCKDDANSESEKVAVDAIKAEDKELAMTVASDEMEIDEESAIAMPKEYITEAEHVSNPNEKPANPMNAVYKDANIDISGETAKTSTSIEEATSVKDHEDRSEGDGRETMSVKEVHEESEMEASGTGKENPETKEHPIPDISDSSITDETAKESMKEVESSLMKLTEEATNSNVTEMNSIEQRAKPDNDPSPFDEKESKEEIQQTLEEDLVRGSSFELKESRMETEEETSVKEDEAEGEKNVVAVKEIGLATTEHDVIGVEGANIDVNSMDFSVTCEKNVEAPKPREEDGMQDKIQNAVSEDRKEMTTEDVPLKEAIGDEIKEHSTIPSEEYETAEERKITDETLEKDRAYKHLEKVAADVEVVLDTDKKPAVTGALDEMKREEESTVLTPEGKQEEEIPIQQKNEPEKEMPSCPLNVVHEDVNTAISRETTETSTSIREKTSLENHEERSEREGREDSSTEHQQNEVNENTKTMELEISNDNADDLHVTDSSVQQSAENGYEKQFEFSDVQPEEQVDDAMVAVKEKEKVSEDASKASQSSDYTIPEHIFTEAAATDLKSETSEMGNENTMFVKEAYHLNSGSECNEALKNKHKGIRQELNDHIEDNSKHEETKEVSEKLELDNTVENDGKQILEEDTNNDQITLKEVCEGSETEALGTAKEEITLIKVKEELVCSSSFELEESKMDTEEATAVKERIDTADLDCTSQAAEMLETNLKEAKAEAEEEEEKNEINNVSDDEDHGNGLGSADIDAKSAESCMTGEKDIDIPQEEDRMQDKILNAGSENQMEMTTDEIPLKDIIGDDVKEHSAMHSEENDATLLEERKLVDETSEEDQAQQKHSENVVVDVKVVQVTGEELAITKGSEEMRKDKESIVPMPEGEISEVENIGLARKNQEGNSEKDEILEESFKQKNEPEKENRACPWDAVSEDVNTAICAETAETSTSIEEATPVKDQDERSEGETREDSPTDHLQASQSNETVEVEISNENADDLHVTDSFVGQLMDNEHEKQYKFSDVEPVENEALEHKEESIQQESENRSALPKDAKENVEDNLNQEETKEVHEGSTSIVKEECATINDSLKATEENENEATPEVEVCEKLEPDNTVENSEKKILEEEDNKKDQTTLMTKTMEEKAKEENTAAVVSITEESKEEIAPIEVKEDHVPSSSVELGESKNDTEEENSASCTAETEETNIKEADAELEKEKNQKCNVVVIEENSSARTEHEHEQIRVSSAEIDVKSGNSYVTDEKDMEIPEEEDAMQDKVHNEGSENQLEMTTKEIPLKEILGDEVKEYEATLIEERKITDETSEKDHDSCEHSETPVPQVTDEKLIQNEDNPLADVPKAEPEDTGNESRHEVEEQLAEESNLDVTDVSSGEEHGELENQTDACKAKTLPVENSSDVGLERSKFEDGKPLDGTMDLEATLGTCKDGEKATKEENSAKNIEKTESLKEDAEKEKENQIPEMVTMTYNARVADYRSVSTECFMEAKSKEQIVAENLEVKEHLTNDGEASHITKELEEMRQAEESGDPVSLCSEDREAEEKTEVIIDDSKPCHEESCIEAVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVENVWLEEISSELDPDVLTKDNDEVQKQNLNMETVDKDEAEVCKGSETAASGTAKEEITPIEVKEELVCSSSSELEQSKMDTEEAATVKEYISTAVLDCTSQAAETEETNLKEAKAEPEEEKNEINNVVDGEDDEIGLESADINVKSEDLCVTSEDIETPREEDRMQDKIPNAGSENQMGMTIEEIPMKEVSGDDVKEGSTMPSEENDATLIEGKKIVDETSEEDQALHKPPENVVDAKVVQETDEELAITKGSDEMRKDVESIVPMPEVKTSEVENIGFIQKNQEENSHKDEIQEESFKQKNEPEEENHACPLDAVSEDVNTAICAKTAETSTSIEEATSVKDHDERSVGEIREDSPTDHLQTKASYINETVEVEISNEKADDLHVIDSYVGQLVDNEHEKQYELLSVQPVENEALEHKEESILQQPENCSAQKPEPKDAMENLKHEETKEVHEGPMSIVKKECAIIDDSLKATEENENEATPEVEVCEKLEPDNTVANAEKQILEEEDNKKDQTTLMTETKKEKAKEENTAAVASITVTSYTIIEETESIEETAKPNNGSSLYDIVQESKEEITPIEVKEDRARSSEFELKESKNDTEEEISASYPAETEETNIKEAEADADAEADAEAEREKRNNEIYNVVVIEENSSARTEHEESGVCSAEIDVKSGDSYVTHEKDMEIPEEEDAVQDKVPYAGSEDQLEMTTKEIPLKETLGDEVKEYEATFSEERKITDETSDKDQDQREHSETPMPQIMDEKLMQIEDNPLVDVPKAESKDMGNESGQVVEEQLAEESNLEITDVSTVEEHRELENQTNACEAKALPVENPSDVGLDRSKIEDGKPLDEAVDLEATLGTHKDDEKATEEEKLAKNIEKPESLKEDTEIEKENQIPEMVIMTYNAKVEDYSSVSTECFMEAESKEQIVAENLEVKEHSTNDGEASHITKELEEMRQAEESGDPVSLRSKDREAEEKTEEIIDDSKPCHEESCIEVVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVEIVQLEEISSESDPDIRTNENDEVQKQNLNMETVDKDEAEVAEKPEPDNTVENDGKQILEKEDTNNNQIPIEEVHEGPTLIVKEECAIINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVELALAGSEEKPESDSEPVAEDRSKEPIPEDTKSQDGETNTDAQNKETENQIKEEQKDKLKDSVNKDKKTKKVVSEEADGSEDTKEQVMEEESSTNEPEAMLEGETIISEVISKELEEHGAVIEEQKIKAESFEDEESSQSKITKELQGAVEDRAAAVHIVPGETSTDNLHSITSTLPSEVKENETMETELKEDESTENIQEQTREIEEVSNFNKETCKRASDNELLTETEDAANEKKIVMARDETEGEEHQCEKTIEGNEITKDKDLDKEVMENKEVTEISYSTSHLEEMIKDGSGEELKDKLVEDKKYEELIAETQKTSGSEIIEDQIKDKTVEDPGQASVVKIETTSVTEENVAIAGFGEKSKSETEAVAEEQSKDTIPEHTKSLDDETSIGVQNQETEEQIKEELKDKLEDEDSISSEQNTNVVTKAVILSEEVDKEVEKVDGIKEIKEHVMEEESSTNELHPVSKGDEATNEVKDYSAVSTECIKVAASKEQIKAEVEENSTNDKEGSDLTKEIEERRQDEDSRDPIKEHGEDREEEKIEEIIDDSKFCHEESPIEAVTEVIAGTSLNNTEVNEELVNTANISSVKMSLETIESDSNQETKEIEKVQLEETSSNLAPEAPTNNNDEAEITERDLKAFYMPKDQIVEAENGLSVEKLEEVTGEQILETKIPKEGTTSFPLVSKEEEENVSSVKKIEEDKLSEADTSEKISEDPFDAKEVAETCSEKEAIQELDDAKNDEAATTQCPQVEESSEQSLPSELTVENLKCGAEANEKEEEKVKEVEILEKERPQEPEAVMDQETIIVQASITEGSQEIEANEEKRKEAKKLDDGNREDSSAGETEKLNELQLVTREEIASGQSSSELTEESLKHGAGANEEEGEKEKEVELLEKEGPQEPKAVIDQETIIAQASLTEESQEIEANKEKRKETEKLHDGNHEDSSAGVTEELNELQLVTKKEIASAQSSSEKQLYISATAITSEMLEHETKEKEDEKTNDRQIIKDGTEEDASDARTTAEICSQKDSSLKLEAFAEDEATAGTAEKIESEKKGEAEFSQDNGEDVILQKELLQEDEALAAENNNSSQTIPTEKPEEKILNPVVTLPSEEHEHETINEVDKPEEENMKEEETKIGEIDGVKTVEEISTEKDEIKEAKPVLEVENDHLHITTSALPSEVQGDEMNDMESKDESPEKIQEKTGEVEEASNFKANTCEKALDNELLTEIEDAAIKENLVKARDGSGSEVNQCEKTNEGNEIPLNEVSVEQVTKNKKLTETTYSTSCSEDLITDGSGEDEVKENPTEGKTYGELVQEVQKTSEIEIIENQIRDKTFEYPSHASISRIETITVTEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEVRI >KJB06183 pep chromosome:Graimondii2_0_v6:1:54091720:54120939:-1 gene:B456_001G264900 transcript:KJB06183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEVIIQEPISIVKTAESKHLTLEVAANNKGIETAYIITESNTGISKGESFLVSPEISMVANETSGMLEHTEVDGTPFKEEKILEDKEKTVVWIEEEDRTRDGIEKGDMDHGLEELEKQASMDSHNPIVDNLPSMVAESEEVARVHEPEENKCVNGENDASQDENLFDTSSIKKTKELQSQASDIRMENIVEECPREETGQCEIDEKTDQDFSTSNELCKDDANSESEKVAVDAIKAEDKELAMTVASDEMEIDEESAIAMPKEYITEAEHVSNPNEKPANPMNAVYKDANIDISGETAKTSTSIEEATSVKDHEDRSEGDGRETMSVKEVHEESEMEASGTGKENPETKEHPIPDISDSSITDETAKESMKEVESSLMKLTEEATNSNVTEMNSIEQRAKPDNDPSPFDEKESKEEIQQTLEEDLVRGSSFELKESRMETEEETSVKEDEAEGEKNVVAVKEIGLATTEHDVIGVEGANIDVNSMDFSVTCEKNVEAPKPREEDGMQDKIQNAVSEDRKEMTTEDVPLKEAIGDEIKEHSTIPSEEYETAEERKITDETLEKDRAYKHLEKVAADVEVVLDTDKKPAVTGALDEMKREEESTVLTPEGKQEEEIPIQQKNEPEKEMPSCPLNVVHEDVNTAISRETTETSTSIREKTSLENHEERSEREGREDSSTEHQQNEVNENTKTMELEISNDNADDLHVTDSSVQQSAENGYEKQFEFSDVQPEEQVDDAMVAVKEKEKVSEDASKASQSSDYTIPEHIFTEAAATDLKSETSEMGNENTMFVKEAYHLNSGSECNEALKNKHKGIRQELNDHIEDNSKHEETKEVSEKLELDNTVENDGKQILEEDTNNDQITLKEVCEGSETEALGTAKEEITLIKVKEELVCSSSFELEESKMDTEEATAVKERIDTADLDCTSQAAEMLETNLKEAKAEAEEEEEKNEINNVSDDEDHGNGLGSADIDAKSAESCMTGEKDIDIPQEEDRMQDKILNAGSENQMEMTTDEIPLKDIIGDDVKEHSAMHSEENDATLLEERKLVDETSEEDQAQQKHSENVVVDVKVVQVTGEELAITKGSEEMRKDKESIVPMPEGEISEVENIGLARKNQEGNSEKDEILEESFKQKNEPEKENRACPWDAVSEDVNTAICAETAETSTSIEEATPVKDQDERSEGETREDSPTDHLQASQSNETVEVEISNENADDLHVTDSFVGQLMDNEHEKQYKFSDVEPVENEALEHKEESIQQESENRSALPKDAKENVEDNLNQEETKEVHEGSTSIVKEECATINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVELALAGSEEKPESDSEPVAEDRSKEPIPEDTKSQDGETNTDAQNKETENQIKEEQKDKLKDSVNKDKKTKKVVSEEADGSEDTKEQVMEEESSTNEPEAMLEGETIISEVISKELEEHGAVIEEQKIKAESFEDEESSQSKITKELQGAVEDRAAAVHIVPGETSTDNLHSITSTLPSEVKENETMETELKEDESTENIQEQTREIEEVSNFNKETCKRASDNELLTETEDAANEKKIVMARDETEGEEHQCEKTIEGNEITKDKDLDKEVMENKEVTEISYSTSHLEEMIKDGSGEELKDKLVEDKKYEELIAETQKTSGSEIIEDQIKDKTVEDPGQASVVKIETTSVTEENVAIAGFGEKSKSETEAVAEEQSKDTIPEHTKSLDDETSIGVQNQETEEQIKEELKDKLEDEDSISSEQNTNVVTKAVILSEEVDKEVEKVDGIKEIKEHVMEEESSTNELHPVSKGDEATNEVKDYSAVSTECIKVAASKEQIKAEVEENSTNDKEGSDLTKEIEERRQDEDSRDPIKEHGEDREEEKIEEIIDDSKFCHEESPIEAVTEVIAGTSLNNTEVNEELVNTANISSVKMSLETIESDSNQETKEIEKVQLEETSSNLAPEAPTNNNDEAEITERDLKAFYMPKDQIVEAENGLSVEKLEEVTGEQILETKIPKEGTTSFPLVSKEEEENVSSVKKIEEDKLSEADTSEKISEDPFDAKEVAETCSEKEAIQELDDAKNDEAATTQCPQVEESSEQSLPSELTVENLKCGAEANEKEEEKVKEVEILEKERPQEPEAVMDQETIIVQASITEGSQEIEANEEKRKEAKKLDDGNREDSSAGETEKLNELQLVTREEIASGQSSSELTEESLKHGAGANEEEGEKEKEVELLEKEGPQEPKAVIDQETIIAQASLTEESQEIEANKEKRKETEKLHDGNHEDSSAGVTEELNELQLVTKKEIASAQSSSEKQLYISATAITSEMLEHETKEKEDEKTNDRQIIKDGTEEDASDARTTAEICSQKDSSLKLEAFAEDEATAGTAEKIESEKKGEAEFSQDNGEDVILQKELLQEDEALAAENNNSSQTIPTEKPEEKILNPVVTLPSEEHEHETINEVDKPEEENMKEEETKIGEIDGVKTVEEISTEKDEIKEAKPVLEVENDHLHITTSALPSEVQGDEMNDMESKDESPEKIQEKTGEVEEASNFKANTCEKALDNELLTEIEDAAIKENLVKARDGSGSEVNQCEKTNEGNEIPLNEVSVEQVTKNKKLTETTYSTSCSEDLITDGSGEDEVKENPTEGKTYGELVQEVQKTSEIEIIENQIRDKTFEYPSHASISRIETITVTEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEIPGEKDPIECKKTTNSIGKQQFPKEQQDEASETSDKVVVGDLEPRNAREIYSEAGPDNGKEKRPENAGAEKSEEPESEDSAKLSLYDLLQRSTRELQGAKNVIEEKELVVSKEEPPEEEAKTDEDEGDENSKTEPGIKPHKKSHNILSGVGSKVKHSISKMKKAITGKSSHSKESKPISPKESKK >KJB06189 pep chromosome:Graimondii2_0_v6:1:54092002:54121182:-1 gene:B456_001G264900 transcript:KJB06189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAVIIQEPVSIVEKIATESVIIQEPISIVEKMATEEVIIQEPISIVKTAESKHLTLEVAANNKGIETAYIITESNTGISKGESFLVSPEISMVANETSGMLEHTEVDGTPFKEEKILEDKEKTVVWIEEEDRTRDGIEKGDMDHGLEELEKQASMDSHNPIVDNLPSMVAESEEVARVHEPEENKCVNGENDASQDENLFDTSSIKKTKELQSQASDIRMENIVEECPREETGQCEIDEKTDQDFSTSNELCKDDANSESEKVAVDAIKAEDKELAMTVASDEMEIDEESAIAMPKEYITEAEHVSNPNEKPANPMNAVYKDANIDISGETAKTSTSIEEATSVKDHEDRSEGDGRETMSVKEVHEESEMEASGTGKENPETKEHPIPDISDSSITDETAKESMKEVESSLMKLTEEATNSNVTEMNSIEQRAKPDNDPSPFDEKESKEEIQQTLEEDLVRGSSFELKESRMETEEETSVKEDEAEGEKNVVAVKEIGLATTEHDVIGVEGANIDVNSMDFSVTCEKNVEAPKPREEDGMQDKIQNAVSEDRKEMTTEDVPLKEAIGDEIKEHSTIPSEEYETAEERKITDETLEKDRAYKHLEKVAADVEVVLDTDKKPAVTGALDEMKREEESTVLTPEGKQEEEIPIQQKNEPEKEMPSCPLNVVHEDVNTAISRETTETSTSIREKTSLENHEERSEREGREDSSTEHQQNEVNENTKTMELEISNDNADDLHVTDSSVQQSAENGYEKQFEFSDVQPEEQVDDAMVAVKEKEKVSEDASKASQSSDYTIPEHIFTEAAATDLKSETSEMGNENTMFVKEAYHLNSGSECNEALKNKHKGIRQELNDHIEDNSKHEETKEVSEKLELDNTVENDGKQILEEDTNNDQITLKEVCEGSETEALGTAKEEITLIKVKEELVCSSSFELEESKMDTEEATAVKERIDTADLDCTSQAAEMLETNLKEAKAEAEEEEEKNEINNVSDDEDHGNGLGSADIDAKSAESCMTGEKDIDIPQEEDRMQDKILNAGSENQMEMTTDEIPLKDIIGDDVKEHSAMHSEENDATLLEERKLVDETSEEDQAQQKHSENVVVDVKVVQVTGEELAITKGSEEMRKDKESIVPMPEGEISEVENIGLARKNQEGNSEKDEILEESFKQKNEPEKENRACPWDAVSEDVNTAICAETAETSTSIEEATPVKDQDERSEGETREDSPTDHLQASQSNETVEVEISNENADDLHVTDSFVGQLMDNEHEKQYKFSDVEPVENEALEHKEESIQQESENRSALPKDAKENVEDNLNQEETKEVHEGSTSIVKEECATINDSLKATEENENEATPEVEVCEKLEPDNTVENSEKKILEEEDNKKDQTTLMTKTMEEKAKEENTAAVVSITEESKEEITPIEVKEDRARSSEFELKESKNDTEEEISASYPAETEETNIKEAEADADAEADAEAEREKRNNEIYNVVVIEENSSARTEHEESGVCSAEIDVKSGDSYVTHEKDMEIPEEEDAVQDKVPYAGSEDQLEMTTKEIPLKETLGDEVKEYEATFSEERKITDETSDKDQDQREHSETPMPQIMDEKLMQIEDNPLVDVPKAESKDMGNESGQVVEEQLAEESNLEITDVSTVEEHRELENQTNACEAKALPVENPSDVGLDRSKIEDGKPLDEAVDLEATLGTHKDDEKATEEEKLAKNIEKPESLKEDTEIEKENQIPEMVIMTYNAKVEDYSSVSTECFMEAESKEQIVAENLEVKEHSTNDGEASHITKELEEMRQAEESGDPVSLRSKDREAEEKTEEIIDDSKPCHEESCIEVVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVEIVQLEEISSESDPDIRTNENDEVQKQNLNMETVDKDEAEVAEKPEPDNTVENDGKQILEKEDTNNNQIPIEEVHEGPTLIVKEECAIINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVELALAGSEEKPESDSEPVAEDRSKEPIPEDTKSQDGETNTDAQNKETENQIKEEQKDKLKDSVNKDKKTKKVVSEEADGSEDTKEQVMEEESSTNEPEAMLEGETIISEVISKELEEHGAVIEEQKIKAESFEDEESSQSKITKELQGAVEDRAAAVHIVPGETSTDNLHSITSTLPSEVKENETMETELKEDESTENIQEQTREIEEVSNFNKETCKRASDNELLTETEDAANEKKIVMARDETEGEEHQCEKTIEGNEITKDKDLDKEVMENKEVTEISYSTSHLEEMIKDGSGEELKDKLVEDKKYEELIAETQKTSGSEIIEDQIKDKTVEDPGQASVVKIETTSVTEENVAIAGFGEKSKSETEAVAEEQSKDTIPEHTKSLDDETSIGVQNQETEEQIKEELKDKLEDEDSISSEQNTNVVTKAVILSEEVDKEVEKVDGIKEIKEHVMEEESSTNELHPVSKGDEATNEVKDYSAVSTECIKVAASKEQIKAEVEENSTNDKEGSDLTKEIEERRQDEDSRDPIKEHGEDREEEKIEEIIDDSKFCHEESPIEAVTEVIAGTSLNNTEVNEELVNTANISSVKMSLETIESDSNQETKEIEKVQLEETSSNLAPEAPTNNNDEAEITERDLKAFYMPKDQIVEAENGLSVEKLEEVTGEQILETKIPKEGTTSFPLVSKEEEENVSSVKKIEEDKLSEADTSEKISEDPFDAKEVAETCSEKEAIQELDDAKNDEAATTQCPQVEESSEQSLPSELTVENLKCGAEANEKEEEKVKEVEILEKERPQEPEAVMDQETIIVQASITEGSQEIEANEEKRKEAKKLDDGNREDSSAGETEKLNELQLVTREEIASGQSSSELTEESLKHGAGANEEEGEKEKEVELLEKEGPQEPKAVIDQETIIAQASLTEESQEIEANKEKRKETEKLHDGNHEDSSAGVTEELNELQLVTKKEIASAQSSSEKQLYISATAITSEMLEHETKEKEDEKTNDRQIIKDGTEEDASDARTTAEICSQKDSSLKLEAFAEDEATAGTAEKIESEKKGEAEFSQDNGEDVILQKELLQEDEALAAENNNSSQTIPTEKPEEKILNPVVTLPSEEHEHETINEVDKPEEENMKEEETKIGEIDGVKTVEEISTEKDEIKEAKPVLEVENDHLHITTSALPSEVQGDEMNDMESKDESPEKIQEKTGEVEEASNFKANTCEKALDNELLTEIEDAAIKENLVKARDGSGSEVNQCEKTNEGNEIPLNEVSVEQVTKNKKLTETTYSTSCSEDLITDGSGEDEVKENPTEGKTYGELVQEVQKTSEIEIIENQIRDKTFEYPSHASISRIETITVTEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEIPGEKDPIECKKTTNSIGKQQFPKEQQDEASETSDKVVVGDLEPRNAREIYSEAGPDNGKEKRPENAGAEKSEEPESEDSAKLSLYDLLQRSTRELQGAKNVIEEKELVVSKEEPPEEEAKTDEDEGDENSKTEPGIKPHKKSHNILSGVGSKVKHSISKMKKAITGKSSHSKESKPISPKESKK >KJB06179 pep chromosome:Graimondii2_0_v6:1:54091720:54119886:-1 gene:B456_001G264900 transcript:KJB06179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTAESKHLTLEVAANNKGIETAYIITESNTGISKGESFLVSPEISMVANETSGMLEHTEVDGTPFKEEKILEDKEKTVVWIEEEDRTRDGIEKGDMDHGLEELEKQASMDSHNPIVDNLPSMVAESEEVARVHEPEENKCVNGENDASQDENLFDTSSIKKTKELQSQASDIRMENIVEECPREETGQCEIDEKTDQDFSTSNELCKDDANSESEKVAVDAIKAEDKELAMTVASDEMEIDEESAIAMPKEYITEAEHVSNPNEKPANPMNAVYKDANIDISGETAKTSTSIEEATSVKDHEDRSEGDGRETMSVKEVHEESEMEASGTGKENPETKEHPIPDISDSSITDETAKESMKEVESSLMKLTEEATNSNVTEMNSIEQRAKPDNDPSPFDEKESKEEIQQTLEEDLVRGSSFELKESRMETEEETSVKEDEAEGEKNVVAVKEIGLATTEHDVIGVEGANIDVNSMDFSVTCEKNVEAPKPREEDGMQDKIQNAVSEDRKEMTTEDVPLKEAIGDEIKEHSTIPSEEYETAEERKITDETLEKDRAYKHLEKVAADVEVVLDTDKKPAVTGALDEMKREEESTVLTPEGKQEEEIPIQQKNEPEKEMPSCPLNVVHEDVNTAISRETTETSTSIREKTSLENHEERSEREGREDSSTEHQQNEVNENTKTMELEISNDNADDLHVTDSSVQQSAENGYEKQFEFSDVQPEEQVDDAMVAVKEKEKVSEDASKASQSSDYTIPEHIFTEAAATDLKSETSEMGNENTMFVKEAYHLNSGSECNEALKNKHKGIRQELNDHIEDNSKHEETKEVSEKLELDNTVENDGKQILEEDTNNDQITLKEVCEGSETEALGTAKEEITLIKVKEELVCSSSFELEESKMDTEEATAVKERIDTADLDCTSQAAEMLETNLKEAKAEAEEEEEKNEINNVSDDEDHGNGLGSADIDAKSAESCMTGEKDIDIPQEEDRMQDKILNAGSENQMEMTTDEIPLKDIIGDDVKEHSAMHSEENDATLLEERKLVDETSEEDQAQQKHSENVVVDVKVVQVTGEELAITKGSEEMRKDKESIVPMPEGEISEVENIGLARKNQEGNSEKDEILEESFKQKNEPEKENRACPWDAVSEDVNTAICAETAETSTSIEEATPVKDQDERSEGETREDSPTDHLQASQSNETVEVEISNENADDLHVTDSFVGQLMDNEHEKQYKFSDVEPVENEALEHKEESIQQESENRSALPKDAKENVEDNLNQEETKEVHEGSTSIVKEECATINDSLKATEENENEATPEVEVCEKLEPDNTVENSEKKILEEEDNKKDQTTLMTKTMEEKAKEENTAAVVSITEESKEEIAPIEVKEDHVPSSSVELGESKNDTEEENSASCTAETEETNIKEADAELEKEKNQKCNVVVIEENSSARTEHEHEQIRVSSAEIDVKSGNSYVTDEKDMEIPEEEDAMQDKVHNEGSENQLEMTTKEIPLKEILGDEVKEYEATLIEERKITDETSEKDHDSCEHSETPVPQVTDEKLIQNEDNPLADVPKAEPEDTGNESRHEVEEQLAEESNLDVTDVSSGEEHGELENQTDACKAKTLPVENSSDVGLERSKFEDGKPLDGTMDLEATLGTCKDGEKATKEENSAKNIEKTESLKEDAEKEKENQIPEMVTMTYNARVADYRSVSTECFMEAKSKEQIVAENLEVKEHLTNDGEASHITKELEEMRQAEESGDPVSLCSEDREAEEKTEVIIDDSKPCHEESCIEAVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVENVWLEEISSELDPDVLTKDNDEVQKQNLNMETVDKDEAEVCKGSETAASGTAKEEITPIEVKEELVCSSSSELEQSKMDTEEAATVKEYISTAVLDCTSQAAETEETNLKEAKAEPEEEKNEINNVVDGEDDEIGLESADINVKSEDLCVTSEDIETPREEDRMQDKIPNAGSENQMGMTIEEIPMKEVSGDDVKEGSTMPSEENDATLIEGKKIVDETSEEDQALHKPPENVVDAKVVQETDEELAITKGSDEMRKDVESIVPMPEVKTSEVENIGFIQKNQEENSHKDEIQEESFKQKNEPEEENHACPLDAVSEDVNTAICAKTAETSTSIEEATSVKDHDERSVGEIREDSPTDHLQTKASYINETVEVEISNEKADDLHVIDSYVGQLVDNEHEKQYELLSVQPVENEALEHKEESILQQPENCSAQKPEPKDAMENLKHEETKEVHEGPMSIVKKECAIIDDSLKATEENENEATPEVEVCEKLEPDNTVANAEKQILEEEDNKKDQTTLMTETKKEKAKEENTAAVASITVTSYTIIEETESIEETAKPNNGSSLYDIVQESKEEITPIEVKEDRARSSEFELKESKNDTEEEISASYPAETEETNIKEAEADADAEADAEAEREKRNNEIYNVVVIEENSSARTEHEESGVCSAEIDVKSGDSYVTHEKDMEIPEEEDAVQDKVPYAGSEDQLEMTTKEIPLKETLGDEVKEYEATFSEERKITDETSDKDQDQREHSETPMPQIMDEKLMQIEDNPLVDVPKAESKDMGNESGQVVEEQLAEESNLEITDVSTVEEHRELENQTNACEAKALPVENPSDVGLDRSKIEDGKPLDEAVDLEATLGTHKDDEKATEEEKLAKNIEKPESLKEDTEIEKENQIPEMVIMTYNAKVEDYSSVSTECFMEAESKEQIVAENLEVKEHSTNDGEASHITKELEEMRQAEESGDPVSLRSKDREAEEKTEEIIDDSKPCHEESCIEVVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVEIVQLEEISSESDPDIRTNENDEVQKQNLNMETVDKDEAEVAEKPEPDNTVENDGKQILEKEDTNNNQIPIEEVHEGPTLIVKEECAIINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVELALAGSEEKPESDSEPVAEDRSKEPIPEDTKSQDGETNTDAQNKETENQIKEEQKDKLKDSVNKDKKTKKVVSEEADGSEDTKEQVMEEESSTNEPEAMLEGETIISEVISKELEEHGAVIEEQKIKAESFEDEESSQSKITKELQGAVEDRAAAVHIVPGETSTDNLHSITSTLPSEVKENETMETELKEDESTENIQEQTREIEEVSNFNKETCKRASDNELLTETEDAANEKKIVMARDETEGEEHQCEKTIEGNEITKDKDLDKEVMENKEVTEISYSTSHLEEMIKDGSGEELKDKLVEDKKYEELIAETQKTSGSEIIEDQIKDKTVEDPGQASVVKIETTSVTEENVAIAGFGEKSKSETEAVAEEQSKDTIPEHTKSLDDETSIGVQNQETEEQIKEELKDKLEDEDSISSEQNTNVVTKAVILSEEVDKEVEKVDGIKEIKEHVMEEESSTNELHPVSKGDEATNEVKDYSAVSTECIKVAASKEQIKAEVEENSTNDKEGSDLTKEIEERRQDEDSRDPIKEHGEDREEEKIEEIIDDSKFCHEESPIEAVTEVIAGTSLNNTEVNEELVNTANISSVKMSLETIESDSNQETKEIEKVQLEETSSNLAPEAPTNNNDEAEITERDLKAFYMPKDQIVEAENGLSVEKLEEVTGEQILETKIPKEGTTSFPLVSKEEEENVSSVKKIEEDKLSEADTSEKISEDPFDAKEVAETCSEKEAIQELDDAKNDEAATTQCPQVEESSEQSLPSELTVENLKCGAEANEKEEEKVKEVEILEKERPQEPEAVMDQETIIVQASITEGSQEIEANEEKRKEAKKLDDGNREDSSAGETEKLNELQLVTREEIASGQSSSELTEESLKHGAGANEEEGEKEKEVELLEKEGPQEPKAVIDQETIIAQASLTEESQEIEANKEKRKETEKLHDGNHEDSSAGVTEELNELQLVTKKEIASAQSSSEKQLYISATAITSEMLEHETKEKEDEKTNDRQIIKDGTEEDASDARTTAEICSQKDSSLKLEAFAEDEATAGTAEKIESEKKGEAEFSQDNGEDVILQKELLQEDEALAAENNNSSQTIPTEKPEEKILNPVVTLPSEEHEHETINEVDKPEEENMKEEETKIGEIDGVKTVEEISTEKDEIKEAKPVLEVENDHLHITTSALPSEVQGDEMNDMESKDESPEKIQEKTGEVEEASNFKANTCEKALDNELLTEIEDAAIKENLVKARDGSGSEVNQCEKTNEGNEIPLNEVSVEQVTKNKKLTETTYSTSCSEDLITDGSGEDEVKENPTEGKTYGELVQEVQKTSEIEIIENQIRDKTFEYPSHASISRIETITVTEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEIPGEKDPIECKKTTNSIGKQQFPKEQQDEASETSDKVVVGDLEPRNAREIYSEAGPDNGKEKRPENAGAEKSEEPESEDSAKLSLYDLLQRSTRELQGAKNVIEEKELVVSKEEPPEEEAKTDEDEGDENSKTEPGIKPHKKSHNILSGVGSKVKHSISKMKKAITGKSSHSKESKPISPKESKK >KJB06186 pep chromosome:Graimondii2_0_v6:1:54091720:54121262:-1 gene:B456_001G264900 transcript:KJB06186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAVIIQEPVSIVEKIATESVIIQEPISIVEKMATEEVIIQEPISIVKTAESKHLTLEVAANNKGIETAYIITESNTGISKGESFLVSPEISMVANETSGMLEHTEVDGTPFKEEKILEDKEKTVVWIEEEDRTRDGIEKGDMDHGLEELEKQASMDSHNPIVDNLPSMVAESEEVARVHEPEENKCVNGENDASQDENLFDTSSIKKTKELQSQASDIRMENIVEECPREETGQCEIDEKTDQDFSTSNELCKDDANSESEKVAVDAIKAEDKELAMTVASDEMEIDEESAIAMPKEYITEAEHVSNPNEKPANPMNAVYKDANIDISGETAKTSTSIEEATSVKDHEDRSEGDGRETMSVKEVHEESEMEASGTGKENPETKEHPIPDISDSSITDETAKESMKEVESSLMKLTEEATNSNVTEMNSIEQRAKPDNDPSPFDEKESKEEIQQTLEEDLVRGSSFELKESRMETEEETSVKEDEAEGEKNVVAVKEIGLATTEHDVIGVEGANIDVNSMDFSVTCEKNVEAPKPREEDGMQDKIQNAVSEDRKEMTTEDVPLKEAIGDEIKEHSTIPSEEYETAEERKITDETLEKDRAYKHLEKVAADVEVVLDTDKKPAVTGALDEMKREEESTVLTPEGKQEEEIPIQQKNEPEKEMPSCPLNVVHEDVNTAISRETTETSTSIREKTSLENHEERSEREGREDSSTEHQQNEVNENTKTMELEISNDNADDLHVTDSSVQQSAENGYEKQFEFSDVQPEEQVDDAMVAVKEKEKVSEDASKASQSSDYTIPEHIFTEAAATDLKSETSEMGNENTMFVKEAYHLNSGSECNEALKNKHKGIRQELNDHIEDNSKHEETKEVSEKLELDNTVENDGKQILEEDTNNDQITLKEVCEGSETEALGTAKEEITLIKVKEELVCSSSFELEESKMDTEEATAVKERIDTADLDCTSQAAEMLETNLKEAKAEAEEEEEKNEINNVSDDEDHGNGLGSADIDAKSAESCMTGEKDIDIPQEEDRMQDKILNAGSENQMEMTTDEIPLKDIIGDDVKEHSAMHSEENDATLLEERKLVDETSEEDQAQQKHSENVVVDVKVVQVTGEELAITKGSEEMRKDKESIVPMPEGEISEVENIGLARKNQEGNSEKDEILEESFKQKNEPEKENRACPWDAVSEDVNTAICAETAETSTSIEEATPVKDQDERSEGETREDSPTDHLQASQSNETVEVEISNENADDLHVTDSFVGQLMDNEHEKQYKFSDVEPVENEALEHKEESIQQESENRSALPKDAKENVEDNLNQEETKEVHEGPTLIVKEECAIINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVELALAGSEEKPESDSEPVAEDRSKEPIPEDTKSQDGETNTDAQNKETENQIKEEQKDKLKDSVNKDKKTKKVVSEEADGSEDTKEQVMEEESSTNEPEAMLEGETIISEVISKELEEHGAVIEEQKIKAESFEDEESSQSKITKELQGAVEDRAAAVHIVPGETSTDNLHSITSTLPSEVKENETMETELKEDESTENIQEQTREIEEVSNFNKETCKRASDNELLTETEDAANEKKIVMARDETEGEEHQCEKTIEGNEITKDKDLDKEVMENKEVTEISYSTSHLEEMIKDGSGEELKDKLVEDKKYEELIAETQKTSGSEIIEDQIKDKTVEDPGQASVVKIETTSVTEENVAIAGFGEKSKSETEAVAEEQSKDTIPEHTKSLDDETSIGVQNQETEEQIKEELKDKLEDEDSISSEQNTNVVTKAVILSEEVDKEVEKVDGIKEIKEHVMEEESSTNELHPVSKGDEATNEVKDYSAVSTECIKVAASKEQIKAEVEENSTNDKEGSDLTKEIEERRQDEDSRDPIKEHGEDREEEKIEEIIDDSKFCHEESPIEAVTEVIAGTSLNNTEVNEELVNTANISSVKMSLETIESDSNQETKEIEKVQLEETSSNLAPEAPTNNNDEAEITERDLKAFYMPKDQIVEAENGLSVEKLEEVTGEQILETKIPKEGTTSFPLVSKEEEENVSSVKKIEEDKLSEADTSEKISEDPFDAKEVAETCSEKEAIQELDDAKNDEAATTQCPQVEESSEQSLPSELTVENLKCGAEANEKEEEKVKEVEILEKERPQEPEAVMDQETIIVQASITEGSQEIEANEEKRKEAKKLDDGNREDSSAGETEKLNELQLVTREEIASGQSSSELTEESLKHGAGANEEEGEKEKEVELLEKEGPQEPKAVIDQETIIAQASLTEESQEIEANKEKRKETEKLHDGNHEDSSAGVTEELNELQLVTKKEIASAQSSSEKQLYISATAITSEMLEHETKEKEDEKTNDRQIIKDGTEEDASDARTTAEICSQKDSSLKLEAFAEDEATAGTAEKIESEKKGEAEFSQDNGEDVILQKELLQEDEALAAENNNSSQTIPTEKPEEKILNPVVTLPSEEHEHETINEVDKPEEENMKEEETKIGEIDGVKTVEEISTEKDEIKEAKPVLEVENDHLHITTSALPSEVQGDEMNDMESKDESPEKIQEKTGEVEEASNFKANTCEKALDNELLTEIEDAAIKENLVKARDGSGSEVNQCEKTNEGNEIPLNEVSVEQVTKNKKLTETTYSTSCSEDLITDGSGEDEVKENPTEGKTYGELVQEVQKTSEIEIIENQIRDKTFEYPSHASISRIETITVTEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEIPGEKDPIECKKTTNSIGKQQFPKEQQDEASETSDKVVVGDLEPRNAREIYSEAGPDNGKEKRPENAGAEKSEEPESEDSAKLSLYDLLQRSTRELQGAKNVIEEKELVVSKEEPPEEEAKTDEDEGDENSKTEPGIKPHKKSHNILSGVGSKVKHSISKMKKAITGKSSHSKESKPISPKESKK >KJB06190 pep chromosome:Graimondii2_0_v6:1:54091720:54121262:-1 gene:B456_001G264900 transcript:KJB06190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAVIIQEPVSIVEKIATESVIIQEPISIVEKMATEEVIIQEPISIVKTAESKHLTLEVAANNKGIETAYIITESNTGISKGESFLVSPEISMVANETSGMLEHTEVDGTPFKEEKILEDKEKTVVWIEEEDRTRDGIEKGDMDHGLEELEKQASMDSHNPIVDNLPSMVAESEEVARVHEPEENKCVNGENDASQDENLFDTSSIKKTKELQSQASDIRMENIVEECPREETGQCEIDEKTDQDFSTSNELCKDDANSESEKVAVDAIKAEDKELAMTVASDEMEIDEESAIAMPKEYITEAEHVSNPNEKPANPMNAVYKDANIDISGETAKTSTSIEEATSVKDHEDRSEGDGRETMSVKEVHEESEMEASGTGKENPETKEHPIPDISDSSITDETAKESMKEVESSLMKLTEEATNSNVTEMNSIEQRAKPDNDPSPFDEKESKEEIQQTLEEDLVRGSSFELKESRMETEEETSVKEDEAEGEKNVVAVKEIGLATTEHDVIGVEGANIDVNSMDFSVTCEKNVEAPKPREEDGMQDKIQNAVSEDRKEMTTEDVPLKEAIGDEIKEHSTIPSEEYETAEERKITDETLEKDRAYKHLEKVAADVEVVLDTDKKPAVTGALDEMKREEESTVLTPEGKQEEEIPIQQKNEPEKEMPSCPLNVVHEDVNTAISRETTETSTSIREKTSLENHEERSEREGREDSSTEHQQNEVNENTKTMELEISNDNADDLHVTDSSVQQSAENGYEKQFEFSDVQPEEQVDDAMVAVKEKEKVSEDASKASQSSDYTIPEHIFTEAAATDLKSETSEMGNENTMFVKEAYHLNSGSECNEALKNKHKGIRQELNDHIEDNSKHEETKEVSEKLELDNTVENDGKQILEEDTNNDQITLKEVCEGSETEALGTAKEEITLIKVKEELVCSSSFELEESKMDTEEATAVKERIDTADLDCTSQAAEMLETNLKEAKAEAEEEEEKNEINNVSDDEDHGNGLGSADIDAKSAESCMTGEKDIDIPQEEDRMQDKILNAGSENQMEMTTDEIPLKDIIGDDVKEHSAMHSEENDATLLEERKLVDETSEEDQAQQKHSENVVVDVKVVQVTGEELAITKGSEEMRKDKESIVPMPEGEISEVENIGLARKNQEGNSEKDEILEESFKQKNEPEKENRACPWDAVSEDVNTAICAETAETSTSIEEATPVKDQDERSEGETREDSPTDHLQASQSNETVEVEISNENADDLHVTDSFVGQLMDNEHEKQYKFSDVEPVENEALEHKEESIQQESENRSALPKDAKENVEDNLNQEETKEVHEGSTSIVKEECATINDSLKATEENENEATPEVEVCEKLEPDNTVENSEKKILEEEDNKKDQTTLMTKTMEEKAKEENTAAVVSITEESKEEIAPIEVKEDHVPSSSVELGESKNDTEEENSASCTAETEETNIKEADAELEKEKNQKCNVVVIEENSSARTEHEHEQIRVSSAEIDVKSGNSYVTDEKDMEIPEEEDAMQDKVHNEGSENQLEMTTKEIPLKEILGDEVKEYEATLIEERKITDETSEKDHDSCEHSETPVPQVTDEKLIQNEDNPLADVPKAEPEDTGNESRHEVEEQLAEESNLDVTDVSSGEEHGELENQTDACKAKTLPVENSSDVGLERSKFEDGKPLDGTMDLEATLGTCKDGEKATKEENSAKNIEKTESLKEDAEKEKENQIPEMVTMTYNARVADYRSVSTECFMEAKSKEQIVAENLEVKEHLTNDGEASHITKELEEMRQAEESGDPVSLCSEDREAEEKTEVIIDDSKPCHEESCIEAVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVENVWLEEISSELDPDVLTKDNDEVQKQNLNMETVDKDEAEVCKGSETAASGTAKEEITPIEVKEELVCSSSSELEQSKMDTEEAATVKEYISTAVLDCTSQAAETEETNLKEAKAEPEEEKNEINNVVDGEDDEIGLESADINVKSEDLCVTSEDIETPREEDRMQDKIPNAGSENQMGMTIEEIPMKEVSGDDVKEGSTMPSEENDATLIEGKKIVDETSEEDQALHKPPENVVDAKVVQETDEELAITKGSDEMRKDVESIVPMPEVKTSEVENIGFIQKNQEENSHKDEIQEESFKQKNEPEEENHACPLDAVSEDVNTAICAKTAETSTSIEEATSVKDHDERSVGEIREDSPTDHLQTKASYINETVEVEISNEKADDLHVIDSYVGQLVDNEHEKQYELLSVQPVENEALEHKEESILQQPENCSAQKPEPKDAMENLKHEETKEVHEGPMSIVKKECAIIDDSLKATEENENEATPEVEVCEKLEPDNTVANAEKQILEEEDNKKDQTTLMTETKKEKAKEENTAAVASITVTSYTIIEETESIEETAKPNNGSSLYDIVQESKEEITPIEVKEDRARSSEFELKESKNDTEEEISASYPAETEETNIKEAEADADAEADAEAEREKRNNEIYNVVVIEENSSARTEHEESGVCSAEIDVKSGDSYVTHEKDMEIPEEEDAVQDKVPYAGSEDQLEMTTKEIPLKETLGDEVKEYEATFSEERKITDETSDKDQDQREHSETPMPQIMDEKLMQIEDNPLVDVPKAESKDMGNESGQVVEEQLAEESNLEITDVSTVEEHRELENQTNACEAKALPVENPSDVGLDRSKIEDGKPLDEAVDLEATLGTHKDDEKATEEEKLAKNIEKPESLKEDTEIEKENQIPEMVIMTYNAKVEDYSSVSTECFMEAESKEQIVAENLEVKEHSTNDGEASHITKELEEMRQAEESGDPVSLRSKDREAEEKTEEIIDDSKPCHEESCIEVVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVEIVQLEEISSESDPDIRTNENDEVQKQNLNMETVDKDEAEVAEKPEPDNTVENDGKQILEKEDTNNNQIPIEEVHEGPTLIVKEECAIINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEIPGEKDPIECKKTTNSIGKQQFPKEQQDEASETSDKVVVGDLEPRNAREIYSEAGPDNGKEKRPENAGAEKSEEPESEDSAKLSLYDLLQRSTRELQGAKNVIEEKELVVSKEEPPEEEAKTDEDEGDENSKTEPGIKPHKKSHNILSGVGSKVKHSISKMKKAITGKSSHSKESKPISPKESKK >KJB06176 pep chromosome:Graimondii2_0_v6:1:54091720:54107901:-1 gene:B456_001G264900 transcript:KJB06176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEEETAVNKHINTADFYCTSQAAETAETNLKEAKAEPEEEEINEINNVVDGEDEGIGLESADIDVKSVESYVTSEKDIEISRKEDGVQDKFPNTGSENQMEMTSEEILLKEVLGDDVKEHSTMPLEKYDTTLIEERKIAEDTSEEDQDPHKHSENVAVDVRVIQVTDKELAITKGLYEMRKDEESIVPMPEVKTSEVENIVVVQKNQEGNSHKDEIQESFKQKNEPEKENHVCPLDAVSEDVNTAICAETAETNTSIAEATSVKDHDERSEGETREDSPTDHLQPKASQSNETVEVEISNENADDLHVTDSFVGQLMDNEHKKQYKFSDVEPVENEALEHKEESILQESENRSALPKDAMENVEDNLNQEETKEVHEGPTLIVKEECAIINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVELALAGSEEKPESDSEPVAEDRSKEPIPEDTKSQDGETNTDAQNKETENQIKEEQKDKLKDSVNKDKKTKKVVSEEADGSEDTKEQVMEEESSTNEPEAMLEGETIISEVISKELEEHGAVIEEQKIKAESFEDEESSQSKITKELQGAVEDRAAAVHIVPGETSTDNLHSITSTLPSEVKENETMETELKEDESTENIQEQTREIEEVSNFNKETCKRASDNELLTETEDAANEKKIVMARDETEGEEHQCEKTIEGNEITKDKDLDKEVMENKEVTEISYSTSHLEEMIKDGSGEELKDKLVEDKKYEELIAETQKTSGSEIIEDQIKDKTVEDPGQASVVKIETTSVTEENVAIAGFGEKSKSETEAVAEEQSKDTIPEHTKSLDDETSIGVQNQETEEQIKEELKDKLEDEDSISSEQNTNVVTKAVILSEEVDKEVEKVDGIKEIKEHVMEEESSTNELHPVSKGDEATNEVKDYSAVSTECIKVAASKEQIKAEVEENSTNDKEGSDLTKEIEERRQDEDSRDPIKEHGEDREEEKIEEIIDDSKFCHEESPIEAVTEVIAGTSLNNTEVNEELVNTANISSVKMSLETIESDSNQETKEIEKVQLEETSSNLAPEAPTNNNDEAEITERDLKAFYMPKDQIVEAENGLSVEKLEEVTGEQILETKIPKEGTTSFPLVSKEEEENVSSVKKIEEDKLSEADTSEKISEDPFDAKEVAETCSEKEAIQELDDAKNDEAATTQCPQVEESSEQSLPSELTVENLKCGAEANEKEEEKVKEVEILEKERPQEPEAVMDQETIIVQASITEGSQEIEANEEKRKEAKKLDDGNREDSSAGETEKLNELQLVTREEIASGQSSSELTEESLKHGAGANEEEGEKEKEVELLEKEGPQEPKAVIDQETIIAQASLTEESQEIEANKEKRKETEKLHDGNHEDSSAGVTEELNELQLVTKKEIASAQSSSEKQLYISATAITSEMLEHETKEKEDEKTNDRQIIKDGTEEDASDARTTAEICSQKDSSLKLEAFAEDEATAGTAEKIESEKKGEAEFSQDNGEDVILQKELLQEDEALAAENNNSSQTIPTEKPEEKILNPVVTLPSEEHEHETINEVDKPEEENMKEEETKIGEIDGVKTVEEISTEKDEIKEAKPVLEVENDHLHITTSALPSEVQGDEMNDMESKDESPEKIQEKTGEVEEASNFKANTCEKALDNELLTEIEDAAIKENLVKARDGSGSEVNQCEKTNEGNEIPLNEVSVEQVTKNKKLTETTYSTSCSEDLITDGSGEDEVKENPTEGKTYGELVQEVQKTSEIEIIENQIRDKTFEYPSHASISRIETITVTEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEIPGEKDPIECKKTTNSIGKQQFPKEQQDEASETSDKVVVGDLEPRNAREIYSEAGPDNGKEKRPENAGAEKSEEPESEDSAKLSLYDLLQRSTRELQGAKNVIEEKELVVSKEEPPEEEAKTDEDEGDENSKTEPGIKPHKKSHNILSGVGSKVKHSISKMKKAITGKSSHSKESKPISPKESKK >KJB06192 pep chromosome:Graimondii2_0_v6:1:54092002:54121182:-1 gene:B456_001G264900 transcript:KJB06192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAVIIQEPVSIVEKIATESVIIQEPISIVEKMATEEVIIQEPISIVKTAESKHLTLEVAANNKGIETAYIITESNTGISKGESFLVSPEISMVANETSGMLEHTEVDGTPFKEEKILEDKEKTVVWIEEEDRTRDGIEKGDMDHGLEELEKQASMDSHNPIVDNLPSMVAESEEVARVHEPEENKCVNGENDASQDENLFDTSSIKKTKELQSQASDIRMENIVEECPREETGQCEIDEKTDQDFSTSNELCKDDANSESEKVAVDAIKAEDKELAMTVASDEMEIDEESAIAMPKEYITEAEHVSNPNEKPANPMNAVYKDANIDISGETAKTSTSIEEATSVKDHEDRSEGDGRETMSVKEVHEESEMEASGTGKENPETKEHPIPDISDSSITDETAKESMKEVESSLMKLTEEATNSNVTEMNSIEQRAKPDNDPSPFDEKESKEEIQQTLEEDLVRGSSFELKESRMETEEETSVKEDEAEGEKNVVAVKEIGLATTEHDVIGVEGANIDVNSMDFSVTCEKNVEAPKPREEDGMQDKIQNAVSEDRKEMTTEDVPLKEAIGDEIKEHSTIPSEEYETAEERKITDETLEKDRAYKHLEKVAADVEVVLDTDKKPAVTGALDEMKREEESTVLTPEGKQEEEIPIQQKNEPEKEMPSCPLNVVHEDVNTAISRETTETSTSIREKTSLENHEERSEREGREDSSTEHQQNEVNENTKTMELEISNDNADDLHVTDSSVQQSAENGYEKQFEFSDVQPEEQVDDAMVAVKEKEKVSEDASKASQSSDYTIPEHIFTEAAATDLKSETSEMGNENTMFVKEAYHLNSGSECNEALKNKHKGIRQELNDHIEDNSKHEETKEVSEKLELDNTVENDGKQILEEDTNNDQITLKEVCEGSETEALGTAKEEITLIKVKEELVCSSSFELEESKMDTEEATAVKERIDTADLDCTSQAAEMLETNLKEAKAEAEEEEEKNEINNVSDDEDHGNGLGSADIDAKSAESCMTGEKDIDIPQEEDRMQDKILNAGSENQMEMTTDEIPLKDIIGDDVKEHSAMHSEENDATLLEERKLVDETSEEDQAQQKHSENVVVDVKVVQVTGEELAITKGSEEMRKDKESIVPMPEGEISEVENIGLARKNQEGNSEKDEILEESFKQKNEPEKENRACPWDAVSEDVNTAICAETAETSTSIEEATPVKDQDERSEGETREDSPTDHLQASQSNETVEVEISNENADDLHVTDSFVGQLMDNEHEKQYKFSDVEPVENEALEHKEESIQQESENRSALPKDAKENVEDNLNQEETKEVHEGSTSIVKEECATINDSLKATEENENEATPEVEVCEKLEPDNTVENSEKKILEEEDNKKDQTTLMTKTMEEKAKEENTAAVVSITEESKEEIAPIEVKEDHVPSSSVELGESKNDTEEENSASCTAETEETNIKEADAELEKEKNQKCNVVVIEENSSARTEHEHEQIRVSSAEIDVKSGNSYVTDEKDMEIPEEEDAMQDKVHNEGSENQLEMTTKEIPLKEILGDEVKEYEATLIEERKITDETSEKDHDSCEHSETPVPQVTDEKLIQNEDNPLADVPKAEPEDTGNESRHEVEEQLAEESNLDVTDVSSGEEHGELENQTDACKAKTLPVENSSDVGLERSKFEDGKPLDGTMDLEATLGTCKDGEKATKEENSAKNIEKTESLKEDAEKEKENQIPEMVTMTYNARVADYRSVSTECFMEAKSKEQIVAENLEVKEHLTNDGEASHITKELEEMRQAEESGDPVSLCSEDREAEEKTEVIIDDSKPCHEESCIEAVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVENVWLEEISSELDPDVLTKDNDEVQKQNLNMETVDKDEAEVCKGSETAASGTAKEEITPIEVKEELVCSSSSELEQSKMDTEEAATVKEYISTAVLDCTSQAAETEETNLKEAKAEPEEEKNEINNVVDGEDDEIGLESADINVKSEDLCVTSEDIETPREEDRMQDKIPNAGSENQMGMTIEEIPMKEVSGDDVKEGSTMPSEENDATLIEGKKIVDETSEEDQALHKPPENVVDAKVVQETDEELAITKGSDEMRKDVESIVPMPEVKTSEVENIGFIQKNQEENSHKDEIQEESFKQKNEPEEENHACPLDAVSEDVNTAICAKTAETSTSIEEATSVKDHDERSVGEIREDSPTDHLQTKASYINETVEVEISNEKADDLHVIDSYVGQLVDNEHEKQYELLSVQPVENEALEHKEESILQQPENCSAQKPEPKDAMENLKHEETKEVHEGPMSIVKKECAIIDDSLKATEENENEATPEVEVCEKLEPDNTVANAEKQILEEEDNKKDQTTLMTETKKEKAKEENTAAVASITVTSYTIIEETESIEETAKPNNGSSLYDIVQESKEEITPIEVKEDRARSSEFELKESKNDTEEEISASYPAETEETNIKEAEADADAEADAEAEREKRNNEIYNVVVIEENSSARTEHEESGVCSAEIDVKSGDSYVTHEKDMEIPEEEDAVQDKVPYAGSEDQLEMTTKEIPLKETLGDEVKEYEATFSEERKITDETSDKDQDQREHSETPMPQIMDEKLMQIEDNPLVDVPKAESKDMGNESGQVVEEQLAEESNLEITDVSTVEEHRELENQTNACEAKALPVENPSDVGLDRSKIEDGKPLDEAVDLEATLGTHKDDEKATEEEKLAKNIEKPESLKEDTEIEKENQIPEMVIMTYNAKVEDYSSVSTECFMEAESKEQIVAENLEVKEHSTNDGEASHITKELEEMRQAEESGDPVSLRSKDREAEEKTEEIIDDSKPCHEESCIEVVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVEIVQLEEISSESDPDIRTNENDEVQKQNLNMETVDKDEAEVAEKPEPDNTVENDGKQILEKEDTNNNQIPIEEVHEGPTLIVKEECAIINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVELALAGSEEKPESDSEPVAEDRSKEPIPEDTKSQDGETNTDAQNKETENQIKEEQKDKLKDSVNKDKKTKKVVSEEQADGSEDTKEQVMEEESSTNEPEAMLEGETIISEVISKELEEHGAVIEEQKIKAESFEDEESSQSKITKELQGAVEDRAAAVHIVPGETSTDNLHSITSTLPSEVKENETMETELKEDESTENIQEQTREIEEVSNFNKETCKRASDNELLTETEDAANEKKIVMARDETEGEEHQCEKTIEGNEITKDKDLDKEVMENKEVTEISYSTSHLEEMIKDGSGEELKDKLVEDKKYEELIAETQKTSGSEIIEDQIKDKTVEDPGQASVVKIETTSVTEENVAIAGFGEKSKSETEAVAEEQSKDTIPEHTKSLDDETSIGVQNQETEEQIKEELKDKLEDEDSISSEQNTNVVTKAVILSEEVDKEVEKVDGIKEIKEHVMEEESSTNELHPVSKGDEATNEVKDYSAVSTECIKVAASKEQIKAEVEENSTNDKEGSDLTKEIEERRQDEDSRDPIKEHGEDREEEKIEEIIDDSKFCHEESPIEAVTEVIAGTSLNNTEVNEELVNTANISSVKMSLETIESDSNQETKEIEKVQLEETSSNLAPEAPTNNNDEAEITERDLKAFYMPKDQIVEAENGLSVEKLEEVTGEQILETKIPKEGTTSFPLVSKEEEENVSSVKKIEEDKLSEADTSEKISEDPFDAKEVAETCSEKEAIQELDDAKNDEAATTQCPQVEESSEQSLPSELTVENLKCGAEANEKEEEKVKEVEILEKERPQEPEAVMDQETIIVQASITEGSQEIEANEEKRKEAKKLDDGNREDSSAGETEKLNELQLVTREEIASGQSSSELTEESLKHGAGANEEEGEKEKEVELLEKEGPQEPKAVIDQETIIAQASLTEESQEIEANKEKRKETEKLHDGNHEDSSAGVTEELNELQLVTKKEIASAQSSSEKQLYISATAITSEMLEHETKEKEDEKTNDRQIIKDGTEEDASDARTTAEICSQKDSSLKLEAFAEDEATAGTAEKIESEKKGEAEFSQDNGEDVILQKELLQEDEALAAENNNSSQTIPTEKPEEKILNPVVTLPSEEHEHETINEVDKPEEENMKEEETKIGEIDGVKTVEEISTEKDEIKEAKPVLEVENDHLHITTSALPSEVQGDEMNDMESKDESPEKIQEKTGEVEEASNFKANTCEKALDNELLTEIEDAAIKENLVKARDGSGSEVNQCEKTNEGNEIPLNEVSVEQVTKNKKLTETTYSTSCSEDLITDGSGEDEVKENPTEGKTYGELVQEVQKTSEIEIIENQIRDKTFEYPSHASISRIETITVTEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEIPGEKDPIECKKTTNSIGKQQFPKEQQDEASETSDKVVVGDLEPRNAREIYSEAGPDNGKEKRPENAGAEKSEEPESEDSAKLSLYDLLQRSTRELQGAKNVIEEKELVVSKEEPPEEEAKTDEDEGDENSKTEPGIKPHKKSHNILSGVGSKVKHSISKMKKAITGKSSHSKESKPISPKESKK >KJB06177 pep chromosome:Graimondii2_0_v6:1:54091720:54108943:-1 gene:B456_001G264900 transcript:KJB06177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESKEQIVAENLEVKEHSTNDGEASHITKELEEMRQAEESGDPVSLRSKDREAEEKTEEIIDDSKPCHEESCIEVVTEVTAETSLNDADKIVNASNISSAETTVGNIKSDAQETEVEIVQLEEISSESDPDIRTNENDEVQKQNLNMETVDKDEAEVAEKPEPDNTVENDGKQILEKEDTNNNQIPIEEVCRGSETTASGTVEKEITIIEFKEELVCSSSFELEESKMDTEEETAVNKHINTADFYCTSQAAETAETNLKEAKAEPEEEEINEINNVVDGEDEGIGLESADIDVKSVESYVTSEKDIEISRKEDGVQDKFPNTGSENQMEMTSEEILLKEVLGDDVKEHSTMPLEKYDTTLIEERKIAEDTSEEDQDPHKHSENVAVDVRVIQVTDKELAITKGLYEMRKDEESIVPMPEVKTSEVENIVVVQKNQEGNSHKDEIQESFKQKNEPEKENHVCPLDAVSEDVNTAICAETAETNTSIAEATSVKDHDERSEGETREDSPTDHLQPKASQSNETVEVEISNENADDLHVTDSFVGQLMDNEHKKQYKFSDVEPVENEALEHKEESILQESENRSALPKDAMENVEDNLNQEETKEVHEGPTLIVKEECAIINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVELALAGSEEKPESDSEPVAEDRSKEPIPEDTKSQDGETNTDAQNKETENQIKEEQKDKLKDSVNKDKKTKKVVSEEADGSEDTKEQVMEEESSTNEPEAMLEGETIISEVISKELEEHGAVIEEQKIKAESFEDEESSQSKITKELQGAVEDRAAAVHIVPGETSTDNLHSITSTLPSEVKENETMETELKEDESTENIQEQTREIEEVSNFNKETCKRASDNELLTETEDAANEKKIVMARDETEGEEHQCEKTIEGNEITKDKDLDKEVMENKEVTEISYSTSHLEEMIKDGSGEELKDKLVEDKKYEELIAETQKTSGSEIIEDQIKDKTVEDPGQASVVKIETTSVTEENVAIAGFGEKSKSETEAVAEEQSKDTIPEHTKSLDDETSIGVQNQETEEQIKEELKDKLEDEDSISSEQNTNVVTKAVILSEEVDKEVEKVDGIKEIKEHVMEEESSTNELHPVSKGDEATNEVKDYSAVSTECIKVAASKEQIKAEVEENSTNDKEGSDLTKEIEERRQDEDSRDPIKEHGEDREEEKIEEIIDDSKFCHEESPIEAVTEVIAGTSLNNTEVNEELVNTANISSVKMSLETIESDSNQETKEIEKVQLEETSSNLAPEAPTNNNDEAEITERDLKAFYMPKDQIVEAENGLSVEKLEEVTGEQILETKIPKEGTTSFPLVSKEEEENVSSVKKIEEDKLSEADTSEKISEDPFDAKEVAETCSEKEAIQELDDAKNDEAATTQCPQVEESSEQSLPSELTVENLKCGAEANEKEEEKVKEVEILEKERPQEPEAVMDQETIIVQASITEGSQEIEANEEKRKEAKKLDDGNREDSSAGETEKLNELQLVTREEIASGQSSSELTEESLKHGAGANEEEGEKEKEVELLEKEGPQEPKAVIDQETIIAQASLTEESQEIEANKEKRKETEKLHDGNHEDSSAGVTEELNELQLVTKKEIASAQSSSEKQLYISATAITSEMLEHETKEKEDEKTNDRQIIKDGTEEDASDARTTAEICSQKDSSLKLEAFAEDEATAGTAEKIESEKKGEAEFSQDNGEDVILQKELLQEDEALAAENNNSSQTIPTEKPEEKILNPVVTLPSEEHEHETINEVDKPEEENMKEEETKIGEIDGVKTVEEISTEKDEIKEAKPVLEVENDHLHITTSALPSEVQGDEMNDMESKDESPEKIQEKTGEVEEASNFKANTCEKALDNELLTEIEDAAIKENLVKARDGSGSEVNQCEKTNEGNEIPLNEVSVEQVTKNKKLTETTYSTSCSEDLITDGSGEDEVKENPTEGKTYGELVQEVQKTSEIEIIENQIRDKTFEYPSHASISRIETITVTEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEIPGEKDPIECKKTTNSIGKQQFPKEQQDEASETSDKVVVGDLEPRNAREIYSEAGPDNGKEKRPENAGAEKSEEPESEDSAKLSLYDLLQRSTRELQGAKNVIEEKELVVSKEEPPEEEAKTDEDEGDENSKTEPGIKPHKKSHNILSGVGSKVKHSISKMKKAITGKSSHSKESKPISPKESKK >KJB06181 pep chromosome:Graimondii2_0_v6:1:54092002:54120892:-1 gene:B456_001G264900 transcript:KJB06181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEVIIQEPISIVKTAESKHLTLEVAANNKGIETAYIITESNTGISKGESFLVSPEISMVANETSGMLEHTEVDGTPFKEEKILEDKEKTVVWIEEEDRTRDGIEKGDMDHGLEELEKQASMDSHNPIVDNLPSMVAESEEVARVHEPEENKCVNGENDASQDENLFDTSSIKKTKELQSQASDIRMENIVEECPREETGQCEIDEKTDQDFSTSNELCKDDANSESEKVAVDAIKAEDKELAMTVASDEMEIDEESAIAMPKEYITEAEHVSNPNEKPANPMNAVYKDANIDISGETAKTSTSIEEATSVKDHEDRSEGDGRETMSVKEVHEESEMEASGTGKENPETKEHPIPDISDSSITDETAKESMKEVESSLMKLTEEATNSNVTEMNSIEQRAKPDNDPSPFDEKESKEEIQQTLEEDLVRGSSFELKESRMETEEETSVKEDEAEGEKNVVAVKEIGLATTEHDVIGVEGANIDVNSMDFSVTCEKNVEAPKPREEDGMQDKIQNAVSEDRKEMTTEDVPLKEAIGDEIKEHSTIPSEEYETAEERKITDETLEKDRAYKHLEKVAADVEVVLDTDKKPAVTGALDEMKREEESTVLTPEGKQEEEIPIQQKNEPEKEMPSCPLNVVHEDVNTAISRETTETSTSIREKTSLENHEERSEREGREDSSTEHQQNEVNENTKTMELEISNDNADDLHVTDSSVQQSAENGYEKQFEFSDVQPEEQVDDAMVAVKEKEKVSEDASKASQSSDYTIPEHIFTEAAATDLKSETSEMGNENTMFVKEAYHLNSGSECNEALKNKHKGIRQELNDHIEDNSKHEETKEVSEKLELDNTVENDGKQILEEDTNNDQITLKEVCEGSETEALGTAKEEITLIKVKEELVCSSSFELEESKMDTEEATAVKERIDTADLDCTSQAAEMLETNLKEAKAEAEEEEEKNEINNVSDDEDHGNGLGSADIDAKSAESCMTGEKDIDIPQEEDRMQDKILNAGSENQMEMTTDEIPLKDIIGDDVKEHSAMHSEENDATLLEERKLVDETSEEDQAQQKHSENVVVDVKVVQVTGEELAITKGSEEMRKDKESIVPMPEGEISEVENIGLARKNQEGNSEKDEILEESFKQKNEPEKENRACPWDAVSEDVNTAICAETAETSTSIEEATPVKDQDERSEGETREDSPTDHLQASQSNETVEVEISNENADDLHVTDSFVGQLMDNEHEKQYKFSDVEPVENEALEHKEESIQQESENRSALPKDAKENVEDNLNQEETKEVHEGSTSIVKEECATINDSLKATEENENEATPEVEVSENLEPDGTVENTEKQILEEEDNKKDQTSLMTDTMKEKAEEEKNAAVVSITEESKQETTPIEVKEDHVCSLSLELKESKKDIEEETSASCVAETEETNIKETEAHAEVEKGKNEINNVVVVEENSLARSEHEESGVCSAEIDVKSGDSHVTHEKDMEKEEDAMQDKVPNAGSEDQMEMTTKEIPLKEILGDDVKEYEDTLIEERKITDETSEKDQGSCEHSETPVPQITDEKSIQNEDSPLVDVPKAKPEDTGNESGHEVEEQLAGKSNLEVTDVSSGEEHRELENQTHACEAETLPVENSSDVGLERSKFEDGKPLDGAMDLEATLGTSKDDKKATGEENFAKNIEKPESLKEETEMEKENQIPEMVITNYSAKVDDYSSVLTECVTEVETKEQIVAENLQVEEYLTSDGEASHITKEFEEMRQDEESRDPVSLHSEDREAEEKTEEIIDDSNLCYNESRIEAVTEVREETVDNLTQDHELVETKSEASKISKAELLGEIDHMGSPNTVLEVKLEEQFQTSYCSFLSETEASPVEKIKEEMQKDDEIKQECRGGSSETKTIEEVCLSNEQTEAVSEEETIADQAPLTDGPVEQIQTTSSTLPSEESEHGTRAISEAKEYGKTKGEVPTKLDVLTGGVVTGEQTLSGNKPEEGTTSTPLVFEEDNEENICIEEEKIYEADMIPDKISEDLSVADTTAEICLEKEEFLKLDDGKNDDTEKEDEKTNEGELIRNEIQEDAKEAKTALKICSQTERSVKPEAVAEDEMAVSETLTEKTSDEQIQNPTSALPSKEEECERTITDEMIESVKTQDYNEEDASLQKDRLQEDEALAVDDNASSEVIQTEKHKDLPNPADILPTDHLHLTTSVLPSEVQGDERKETELEEDENPDKIPEQTREIEEASDFNTEIHEKASENELLNETEDAAIHEKLVKARTETGEIILNEVSTEKVILEAQKTGENENPIEDKTVEDPVQASDARIETATATEENVELALAGSEEKPESDSEPVAEDRSKEPIPEDTKSQDGETNTDAQNKETENQIKEEQKDKLKDSVNKDKKTKKVVSEEQADGSEDTKEQVMEEESSTNEPEAMLEGETIISEVISKELEEHGAVIEEQKIKAESFEDEESSQSKITKELQGAVEDRAAAVHIVPGETSTDNLHSITSTLPSEVKENETMETELKEDESTENIQEQTREIEEVSNFNKETCKRASDNELLTETEDAANEKKIVMARDETEGEEHQCEKTIEGNEITKDKDLDKEVMENKEVTEISYSTSHLEEMIKDGSGEELKDKLVEDKKYEELIAETQKTSGSEIIEDQIKDKTVEDPGQASVVKIETTSVTEENVAIAGFGEKSKSETEAVAEEQSKDTIPEHTKSLDDETSIGVQNQETEEQIKEELKDKLEDEDSISSEQNTNVVTKAVILSEEVDKEVEKVDGIKEIKEHVMEEESSTNELHPVSKGDEATNEVKDYSAVSTECIKVAASKEQIKAEVEENSTNDKEGSDLTKEIEERRQDEDSRDPIKEHGEDREEEKIEEIIDDSKFCHEESPIEAVTEVIAGTSLNNTEVNEELVNTANISSVKMSLETIESDSNQETKEIEKVQLEETSSNLAPEAPTNNNDEAEITERDLKAFYMPKDQIVEAENGLSVEKLEEVTGEQILETKIPKEGTTSFPLVSKEEEENVSSVKKIEEDKLSEADTSEKISEDPFDAKEVAETCSEKEAIQELDDAKNDEAATTQCPQVEESSEQSLPSELTVENLKCGAEANEKEEEKVKEVEILEKERPQEPEAVMDQETIIVQASITEGSQEIEANEEKRKEAKKLDDGNREDSSAGETEKLNELQLVTREEIASGQSSSELTEESLKHGAGANEEEGEKEKEVELLEKEGPQEPKAVIDQETIIAQASLTEESQEIEANKEKRKETEKLHDGNHEDSSAGVTEELNELQLVTKKEIASAQSSSEKQLYISATAITSEMLEHETKEKEDEKTNDRQIIKDGTEEDASDARTTAEICSQKDSSLKLEAFAEDEATAGTAEKIESEKKGEAEFSQDNGEDVILQKELLQEDEALAAENNNSSQTIPTEKPEEKILNPVVTLPSEEHEHETINEVDKPEEENMKEEETKIGEIDGVKTVEEISTEKDEIKEAKPVLEVENDHLHITTSALPSEVQGDEMNDMESKDESPEKIQEKTGEVEEASNFKANTCEKALDNELLTEIEDAAIKENLVKARDGSGSEVNQCEKTNEGNEIPLNEVSVEQVTKNKKLTETTYSTSCSEDLITDGSGEDEVKENPTEGKTYGELVQEVQKTSEIEIIENQIRDKTFEYPSHASISRIETITVTEENVEPALAGFEEKPKSDSEPVAEDQSNETIPEDIKSQDDETSQETKEHITEELKHKLKDEVYINREENENEVTKVVVLSEKVDKEVEKADGSEAIKEHVMEEESSTNELPPVSRGDEANKAKDYNSVSTECIKEAESREHIEAEQTEVERKSTHDKEGSHIIEELEERRKGEESTDPAKVSSEDREAEEKADIIDDLKLCHEESHIEVVTDLRAQTSLNDAVKEELINTLNISSVKMGLETIKGDANQETKEVEMGQLEDISSDLALEIPLNDNNEVEVIKRDADALYMHKDQVAEPEKGPTAELEANKTEDGEEKPDEQLQSSACTLLSEGENIRIANPIENIEEEIQKDAEIKHESREDSSDTKRIEEVRLPNEEQGELKAVDTIADKGLPNDEPEEKIQTIFSTLASKESEHGTGAINEEIEYGKTKEEIPKKLDAVAGDEITGEQTLETNKPEEKTTSSPLVSEEEENVSKAEKTEEEKINEADMSLDKISEDPSDAKKKAETCLEKQEFQELNDATKDETAAAQALQMEESNEQSLYLEFPVQNPKHEADASKEEEKVKEPEMLEKEGPGEPETKETEDEKMSEGEIIKIKTEEEASDAQITVEISSQKQRSIGLEAVKEDEMTAGTAEKIESKKMEEAKFLQRDTRDDVTLQKEQLKEDEALAVDDSATSQTTATEKPEEQISNLVATLQSEEYKHKTVNEVDNTEEDDMKEKETKNGHIDGVKKEEKISQEKDKIKEAEAILRGETNHLHITTSVLPSEVQRDEMNKTEFKEDEGHEKILELKGEVEEAMNFKREIHEKAFDNELLNEETKDAAIKENIVKARDGTGGEENQCEQRNEGNEIILNEVSKEEVASYSTSHSEGPIKDEARENVGKDEPIEDNTFEELIVEAQKTSENEIIEKQIKNAVVEDQSQASAVGIETTTESSSKISIAEGNQILNDIQLTDETTKTASDKQIPREFDHIENMEITSLVVEEYFRIDLQDRVDPQKAEIEDVEEIYSGGVEHAGEKTANNSSEEIIKESVSMEDLTKISSSDHVESFTKGTSQLTRDLIEREAKDETDASRFLLVDKQENKYPAPVDAGEEKEQMEVETRDKDSSDTKIGDNICSEKEEKKELKSVVEEKSIVIQPPQTKINEDLVSHFEDGSKEDEHTGDKTKETFEVPTYEIQNEKPTLETPKDAASDNIEKETVAKDETVKDDMKEATIVEEIPGEKDPIECKKTTNSIGKQQFPKEQQDEASETSDKVVVGDLEPRNAREIYSEAGPDNGKEKRPENAGAEKSEEPESEDSAKLSLYDLLQRSTRELQGAKNVIEEKELVVSKEEPPEEEAKTDEDEGDENSKTEPGIKPHKKSHNILSGVGSKVKHSISKMKKAITGKSSHSKESKPISPKESKK >KJB10482 pep chromosome:Graimondii2_0_v6:1:39568946:39569836:-1 gene:B456_001G203500 transcript:KJB10482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFLIICVFCFLFSALPFSIARQVHRVEHNGYYVPFPPVNKVPRTGSVTTSCVGGKYAACTIPIPPPGKGFPNRRGPICPCRH >KJB11671 pep chromosome:Graimondii2_0_v6:1:54789316:54792505:-1 gene:B456_001G270800 transcript:KJB11671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLSGPSDYSQEPSRHPCLHINAKEPFNAEPPRSALVSSYVTPVELFFKRNHGPIPLVDDIERYCVDINGLIESPKKLYFRDIRMLPKYNVTATLQCAGNRRTAMSITRKVKGVGWNVSAIGNAVWGGAKLADILELIGVPKLTSSTQSGGKHIEFVSIDKCEEENGGPYKASIPLSQATNPEADVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVDWGNISWNTRKPQMDFPVQSVICSLEDAQSIKPGKVRSLCIHSFIKVRISGYAVSGGCRGIERVDVSIDGGKTWREATRFQKTGIPYIADGISNDKWAWVLFELTVDIPQSTEIIAKAVDSAANVQPEKVQDIWNLRGILNTSWHRVHVRIGHSNM >KJB11669 pep chromosome:Graimondii2_0_v6:1:54789516:54792345:-1 gene:B456_001G270800 transcript:KJB11669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLSGPSDYSQEPSRHPCLHINAKEPFNAEPPRSALVSSYVTPVELFFKRNHGPIPLVDDIERYCVDINGLIESPKKLYFRDIRMLPKYNVTATLQCAGNRRTAMSITRKVKGVGWNVSAIGNAVWGGAKLADILELIGVPKLTSSTQSGGKHIEFVSIDKCEEENGGPYKASIPLSQATNPEADVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVDWGNISWNTRKPQMDFPVQSVICSLEDAQSIKPGKVRISGYAVSGGCRGIERVDVSIDGGKTWREATRFQKTGIPYIADGISNDKWAWVLFELTVDIPQSTEIIAKAVDSAANVQPEKVQDIWNLRGILNTSWHRVHVRIGHSNM >KJB11667 pep chromosome:Graimondii2_0_v6:1:54789238:54791920:-1 gene:B456_001G270800 transcript:KJB11667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKYNVTATLQCAGNRRTAMSITRKVKGVGWNVSAIGNAVWGGAKLADILELIGVPKLTSSTQSGGKHIEFVSIDKCEEENGGPYKASIPLSQATNPEADVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVDWGNISWNTRKPQMDFPVQSVICSLEDAQSIKPGKVRISGYAVSGGCRGIERVDVSIDGGKTWREATRFQKTGIPYIADGISNDKWAWVLFELTVDIPQSTEIIAKAVDSAANVQPEKVQDIWNLRGILNTSWHRVHVRIGHSNM >KJB11670 pep chromosome:Graimondii2_0_v6:1:54789238:54792505:-1 gene:B456_001G270800 transcript:KJB11670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLSGPSDYSQEPSRHPCLHINAKEPFNAEPPRSALVSSYVTPVELFFKRNHGPIPLVDDIERYCVDINGLIESPKKLYFRDIRMLPKYNVTATLQCAGNRRTAMSITRKVKGVGWNVSAIGNAVWGGAKLADILELIGVPKLTSSTQSGGKHIEFVSIDKCEEENGGPYKASIPLSQATNPEADVLLAYEMNGESVICSLEDAQSIKPGKVRISGYAVSGGCRGIERVDVSIDGGKTWREATRFQKTGIPYIADGISNDKWAWVLFELTVDIPQSTEIIAKAVDSAANVQPEKVQDIWNLRGILNTSWHRVHVRIGHSNM >KJB11668 pep chromosome:Graimondii2_0_v6:1:54789238:54792505:-1 gene:B456_001G270800 transcript:KJB11668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLSGPSDYSQEPSRHPCLHINAKEPFNAEPPRSALVSSYVTPVELFFKRNHGPIPLVDDIERYCVDINGLIESPKKLYFRDIRMLPKYNVTATLQCAGNRRTAMSITRKVKGVGWNVSAIGNAVWGGAKLADILELIGVPKLTSSTQSGGKHIEFVSIDKCEVENGGPYKASIPLSQATNPEADVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVDWGNISWNTRKPQMDFPVQSVICSLEDAQSIKPGKVRISGYAVSGGCRGIERVDVSIDGGKTWREATRFQKTGIPYIADGISNDKWAWVLFELTVDIPQSTEIIAKAVDSAANVQPEKVQDIWNLRGILNTSWHRVHVRIGHSNM >KJB11666 pep chromosome:Graimondii2_0_v6:1:54789234:54792103:-1 gene:B456_001G270800 transcript:KJB11666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKYNVTATLQCAGNRRTAMSITRKVKGVGWNVSAIGNAVWGGAKLADILELIGVPKLTSSTQSGGKHIEFVSIDKCEEENGGPYKASIPLSQATNPEADVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVDWGNISWNTRKPQMDFPVQSVICSLEDAQSIKPGKVRISGYAVSGGCRGIERVDVSIDGGKTWREATRFQKTGIPYIADGISNDKWAWVLFELTVDIPQSTEIIAKAVDSAANVQPEKVQDIWNLRGILNTSWHRVHVRIGHSNM >KJB07650 pep chromosome:Graimondii2_0_v6:1:24647541:24651207:-1 gene:B456_001G171900 transcript:KJB07650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALIVLYLNKAEARDKICRAIQYGSKFLSNGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPRGTPLPLALLGKSKNALLSTFLFLDQIVWLGRTGSHLQNKERTELIGRISLFCWMGSSICTTLVELGELRRLSKSMKKLEKNLKDSDNYKNEEYCAKLQKSNERTLALVKAGLDIVVAVGLLQLAPKKVTPRVTGALGFATSFISCYQLLPSPPKSKVN >KJB07652 pep chromosome:Graimondii2_0_v6:1:24647541:24651207:-1 gene:B456_001G171900 transcript:KJB07652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALIVLYLNKAEARDKICRAIQYGSKFLSNGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPRGTPLPLALLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERTELIGRISLFCWMGSSICTTLVELGELRRLSKSMKKLEKNLKDSDNYKNEEYCAKLQKSNERTLALVKAGLDIVVAVGLLQLAPKKVTPRVTGALGFATSFISCYQLLPSPPKSKVN >KJB07648 pep chromosome:Graimondii2_0_v6:1:24647541:24650995:-1 gene:B456_001G171900 transcript:KJB07648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALIVLYLNKAEARDKICRAIQYGSKFLSNGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPRGTPLPLALLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERTELIGRISLFCWMGSSICTTLVELGELRRLSKSMKKLEKNLKDSDNYKNEEYCAKLQKSNERTLALVKAGLDIVVAVGLLQLAPKKVTPRVTGALGFATSFISCYQLLPSPPKSKVN >KJB07651 pep chromosome:Graimondii2_0_v6:1:24648360:24650135:-1 gene:B456_001G171900 transcript:KJB07651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALIVLYLNKAEARDKICRAIQYGSKFLSNGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPRGTPLPLALLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERTELIGRISLFCWMGSSICTTLVELGELRRLSKSMKKLEKNLKDSDNYKNEEYCAKLQKSNERTLALVKAGLDIVVAVGLLQLAPKKVTPRVTGALGFATSFISCYQVVLCVAVASIATEVQGKLKWEACFAHQA >KJB07649 pep chromosome:Graimondii2_0_v6:1:24647541:24651207:-1 gene:B456_001G171900 transcript:KJB07649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALIVLYLNKAEARDKICRAIQYGSKFLSNGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPRGTPLPLALLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERTELIGRISLFCWMGSSICTTLVELGELRRLSKSMKKLEKNLKDSDNYKNEEYCAKLQKSNERTLALVKAGLDIVVAVGLLQLAPKKVTPRVTGALGFATSFISCYQLLPSPPKSKVN >KJB07647 pep chromosome:Graimondii2_0_v6:1:24647541:24651435:-1 gene:B456_001G171900 transcript:KJB07647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALIVLYLNKAEARDKICRAIQYGSKFLSNGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPRGTPLPLALLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERTELIGRISLFCWMGSSICTTLVELGELRRLSKSMKKLEKNLKDSDNYKNEEYCAKLQKSNERTLALVKAGLDIVVAVGLLQLAPKKVTPRVTGALGFATSFISCYQLLPSPPKSKVN >KJB11585 pep chromosome:Graimondii2_0_v6:1:54411049:54413309:-1 gene:B456_001G267100 transcript:KJB11585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSVIETPPKGGFSFDLCKRNEMLSKKGVNPPSFRKTGTTIVGLIFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKRHLFNYQGYVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAVFESKYREGLTRNEGIELVTEAICSGIFNDLGSGSNVDICVITKGGKEYLRNHLQPNPRTYTSSKGYSFPKKTG >KJB11582 pep chromosome:Graimondii2_0_v6:1:54409821:54412964:-1 gene:B456_001G267100 transcript:KJB11582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKRHLFNYQGYVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAVFESKYREGLTRNEGIELVTEAICSGIFNDLGSGSNVDICVITKGGKEYLRNHLQPNPRTYTSSKGYSFPKKTEVLLTKIIPLKEKVEIIEGGDAMEE >KJB11587 pep chromosome:Graimondii2_0_v6:1:54411104:54413309:-1 gene:B456_001G267100 transcript:KJB11587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSVIETPPKGGFSFDLCKRNEMLSKKGVNPPSFRKTGTTIVGLIFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKRHLFNYQGYVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAVFESKYREGLTRNEGIELVTEAICSGIFNDLGSGSNVDICVITKVWWQRILEEPSTT >KJB11583 pep chromosome:Graimondii2_0_v6:1:54409964:54413521:-1 gene:B456_001G267100 transcript:KJB11583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSVIETPPKGGFSFDLCKRNEMLSKKGVNPPSFRKTGTTIVGLIFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKRHLFNYQGYVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAVFESKYREGLTRNEGIELVTEAICSGIFNDLGSGSNVDICVITKGGKEYLRNHLQPNPRTYTSSKGYSFPKKTEVLLTKIIPLKEKVEIIEGGDAMEE >KJB11586 pep chromosome:Graimondii2_0_v6:1:54409964:54413521:-1 gene:B456_001G267100 transcript:KJB11586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSVIETPPKGGFSFDLCKRNEMLSKKGVNPPSFRKTGTTIVGLIFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKRHLFNYQGYVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAVFESKYREGLTRNEGIELVTEAICSGIFNDLGSGSNVDICVITKGGKEYLRNHLQPNPRTYTSSKGYSFPKKTGPLDKNHTA >KJB11584 pep chromosome:Graimondii2_0_v6:1:54409821:54413541:-1 gene:B456_001G267100 transcript:KJB11584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSVIETPPKGGFSFDLCKRNEMLSKKGVNPPSFRKTGTTIVGLIFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKRHLFNYQGYVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAVFESKYREGLTRNEGIELVTEAICSGIFNDLGSGSNHLCN >KJB10713 pep chromosome:Graimondii2_0_v6:1:43924605:43927567:-1 gene:B456_001G218000 transcript:KJB10713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGSTPVLLWLFFLSLSPLTKAKVPAIIVFGDSSVDSGNNNLISTVLKSNFQPYGRDFYGGQPTGRFCNGRIPPDFISAAFGLKPAIPAYLDPAYNISDFATGVCFASAGTGYDNATSKVLNVIPLWKELEYYKEYQRKLRSYVGENKANEILREALYLMSLGTNDFLENYYVFPTRKSQFSVRQYQDFLLGLGENFVRELHALGVRKISITGLPPMGCLPLERATNILGQNDCVPEYNNVASGFNRKLEGLVAKLNKELPGMRMVSAPAYDIFYQIITRPSLFGFEVTGVACCSTGTFEMSYLCNQYNPFTCSDANKYVFWDAFHPTEKTNKIISDHLIPLLLAMFSH >KJB10994 pep chromosome:Graimondii2_0_v6:1:47323931:47330153:-1 gene:B456_001G235600 transcript:KJB10994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIQHKKGHNIEKFPGCLGRMVNLFDLNSGVPGNRLLTDKPHRDGSSLSRSQSDVVRMPSPTFGDQIEDKVIVSELRTFSNKKGNVTPMKMLLAQEMSKDVESKCNPPNVVAKLMGLDALPRRQHNSSAQRCRFKGSSRHSSCHSEIPVESWEQDQSFPDEQMQCEVSPCEVLNKYKDVYEIWQQSPRTTYSRDSSPKKGRYSDNANENKMALVRQKFMEAKHLVTDEKLRQSKEFQDALEVLSSNRELFLKFLEEPNSTFSQHLNNFRCSSLLPQTKRITVLRPSKMVDNEKFVGTGQKGDNQTKKPVQIGQVTGYGRNNTACSFPSPKVEDYPSQPTRIVVLKPSPGKNQDIIRTPASPSPPLPRILHGGDFYEEPEEDDARESKEVAKEITRHMRENLMGHRRDETLLSSVFSNGYTGDDSSCNRSENEYPVENLSDSEVMSPTSRHSWDYINRFASPYSSTPFSRVSCSPESSVCREAKKRLSERWAMMTSNGSSQEQRHGRRSSSTLGEMLALSDTKKLVRSEEEGSSKEQERRGSTSCVASNLYEEESTSDSPKNILRSKSVPGSSTMYGVRLNNEIPDPEASKEQVMKTKSMKSSLKGKVSSLFFSKNKKTNKEKYSGSQSTDESPSVTPGTPGSPIIHPRKISNDASQCVNDSYIQECLSPVVGGSASKTPLPDLIGIGQKQGMITTEVVCL >KJB10993 pep chromosome:Graimondii2_0_v6:1:47323931:47328329:-1 gene:B456_001G235600 transcript:KJB10993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPTFGDQIEDKVIVSELRTFSNKKGNVTPMKMLLAQEMSKDVESKCNPPNVVAKLMGLDALPRRQHNSSAQRCRFKGSSRHSSCHSEIPVESWEQDQSFPDEQMQCEVSPCEVLNKYKDVYEIWQQSPRTTYSRDSSPKKGRYSDNANENKMALVRQKFMEAKHLVTDEKLRQSKEFQDALEVLSSNRELFLKFLEEPNSTFSQHLNNFRCSSLLPQTKRITVLRPSKMVDNEKFVGTGQKGDNQTKKPVQIGQVTGYGRNNTACSFPSPKVEDYPSQPTRIVVLKPSPGKNQDIIRTPASPSPPLPRILHGGDFYEEPEEDDARESKEVAKEITRHMRENLMGHRRDETLLSSVFSNGYTGDDSSCNRSENEYPVENLSDSEVMSPTSRHSWDYINRFASPYSSTPFSRVSCSPESSVCREAKKRLSERWAMMTSNGSSQEQRHGRRSSSTLGEMLALSDTKKLVRSEEEGSSKEQERRGSTSCVASNLYEEESTSDSPKNILRSKSVPGSSTMYGVRLNNEIPDPEASKEQVMKTKSMKSSLKGKVSSLFFSKNKKTNKEKYSGSQSTDESPSVTPGTPGSPIIHPRKISNDASQCVNDSYIQECLSPVVGGSASKTPLPDLIGIGQKQGMITTEGGLSVAKPSMPVHISENQEQPSPISVLEPPFEEDENMISESSGGTKPVHRGVGVPPRSNLIEKSPPIESIARTLSWDDSCSETATLLYPSKLSLVSPGAKEEEQDWFLFVQLLLSAAGLNGEVQLDSFFARWHSAESPLDPCLREKYANLNDKEPLHEAKRRQWRSNRKLIFDCVNAALLEISGYGSDRCMKAMSFGRAQMIGKEGASPMLVDHVWAQMKEWFSGEVKCLGCDDGDSDSLVVERVVQKEVVGKGWIDQMKWEADNLGREIEWRLLEELVEEAVTDITGKLF >KJB10998 pep chromosome:Graimondii2_0_v6:1:47323931:47330175:-1 gene:B456_001G235600 transcript:KJB10998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIQHKKGHNIEKFPGCLGRMVNLFDLNSGVPGNRLLTDKPHRDGSSLSRSQSDVVRMPSPTFGDQIEDKVIVSELRTFSNKKGNVTPMKMLLAQEMSKDVESKCNPPNVVAKLMGLDALPRRQHNSSAQRCRFKGSSRHSSCHSEIPVESWEQDQSFPDEQMQCEVSPCEVLNKYKDVYEIWQQSPRTTYSRDSSPKKGRYSDNANENKMALVRQKFMEAKHLVTDEKLRQSKEFQDALEVLSSNRELFLKFLEEPNSTFSQHLNNFRCSSLLPQTKRITVLRPSKMVDNEKFVGTGQKGDNQTKKPVQIGQVTGYGRNNTACSFPSPKVEDYPSQPTRIVVLKPSPGKNQDIIRTPASPSPPLPRILHGGDFYEEPEEDDARESKEVAKEITRHMRENLMGHRRDETLLSSVFSNGYTGDDSSCNRSENEYPVENLSDSEVMSPTSRHSWDYINRFASPYSSTPFSRVSCSPESSVCREAKKRLSERWAMMTSNGSSQEQRHGRRSSSTLGEMLALSDTKKLVRSEEEGSSKEQERRGSTSCVASNLYEEESTSDSPKNILRSKSVPGSSTMYGVRLNNEIPDPEASKEQVMKTKSMKSSLKGKVSSLFFSKNKKTNKEKYSGSQSTDESPSVTPGTPGSPIIHPRKISNDASQCVNDSYIQECLSPVVGGSASKTPLPDLIGIGQKQGMITTEGGLSVAKPSMPVHISENQEQPSPISVLEPPFEEDENMISESSGGTKPVHRGVGVPPRSNLIEKSPPIESIARTLSWDDSCSETATLLYPSKLSLVSPGAKEEEQDWFLFVQLLLSAAGLNGEVQLDSFFARWHSAESPLDPCLREKYANLNDKEPLHEAKRRQWRSNRKLIFDCVNAALLEISGYGSDRCMKAMSFGRAQMIGKEGASPMLVDHVWAQMKEWFSGEVKCLGCDDGDSDSLVVERVVQKEVVGKGWIDQMKWEADNLGREIEWRLLEELVEEAVTDITGKLF >KJB10997 pep chromosome:Graimondii2_0_v6:1:47324397:47328812:-1 gene:B456_001G235600 transcript:KJB10997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIQQRKGIEMNGIQHKKGHNIEKFPGCLGRMVNLFDLNSGVPGNRLLTDKPHRDGSSLSRSQSDVVRMPSPTFGDQIEDKVIVSELRTFSNKKGNVTPMKMLLAQEMSKDVESKCNPPNVVAKLMGLDALPRRQHNSSAQRCRFKGSSRHSSCHSEIPVESWEQDQSFPDEQMQCEVSPCEVLNKYKDVYEIWQQSPRTTYSRDSSPKKGRYSDNANENKMALVRQKFMEAKHLVTDEKLRQSKEFQDALEVLSSNRELFLKFLEEPNSTFSQHLNNFRCSSLLPQTKRITVLRPSKMVDNEKFVGTGQKGDNQTKKPVQIGQVTGYGRNNTACSFPSPKVEDYPSQPTRIVVLKPSPGKNQDIIRTPASPSPPLPRILHGGDFYEEPEEDDARESKEVAKEITRHMRENLMGHRRDETLLSSVFSNGYTGDDSSCNRSENEYPVENLSDSEVMSPTSRHSWDYINRFASPYSSTPFSRVSCSPESSVCREAKKRLSERWAMMTSNGSSQEQRHGRRSSSTLGEMLALSDTKKLVRSEEEGSSKEQERRGSTSCVASNLYEEESTSDSPKNILRSKSVPGSSTMYGVRLNNEIPDPEASKEQVMKTKSMKSSLKGKVSSLFFSKNKKTNKEKYSGSQSTDESPSVTPGTPGSPIIHPRKISNDASQCVNDSYIQECLSPVVGGSASKTPLPDLIGIGQKQGMITTEGGLSVAKPSMPVHISENQEQPSPISVLEPPFEEDENMISESSGGTKPVHRGVGVPPRSNLIEKSPPIESIARTLSWDDSCSETATLLYPSKLSLVSPGAKEEEQDWFLFVQLLLSAAGLNGEVQLDSFFARWHSAESPLDPCLREKYANLNDKEPLHEAKRRQWRSNRKLIFDCVNAALLEISGYGSDRCMKAMSFGRAQMIGKEGASPMLVDHVWAQMKEWFSGEVKCLGCDDGDSDSLVVERVVQKEVVGKGWIDQMKWEADNLGREIEWRLLEELVEEAVTDITGKLF >KJB10996 pep chromosome:Graimondii2_0_v6:1:47323931:47330153:-1 gene:B456_001G235600 transcript:KJB10996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIQHKKGHNIEKFPGCLGRMVNLFDLNSGVPGNRLLTDKPHRDGSSLSRSQSDVVRMPSPTFGDQIEDKVIVSELRTFSNKKGNVTPMKMLLAQEMSKDVESKCNPPNVVAKLMGLDALPRRQHNSSAQRCRFKGSSRHSSCHSEIPVESWEQDQSFPDEQMQCEVSPCEVLNKYKDVYEIWQQSPRTTYSRDSSPKKGRYSDNANENKMALVRQKFMEAKHLVTDEKLRQSKEFQDALEVLSSNRELFLKFLEEPNSTFSQHLNNFRCSSLLPQTKRITVLRPSKMVDNEKFVGTGQKGDNQTKKPVQIGQVTGYGRNNTACSFPSPKVEDYPSQPTRIVVLKPSPGKNQDIIRTPASPSPPLPRILHGGDFYEEPEEDDARESKEVAKEITRHMRENLMGHRRDETLLSSVFSNGYTGDDSSCNRSENEYPVENLSDSEVMSPTSRHSWDYINRFASPYSSTPFSRVSCSPESSVCREAKKRLSERWAMMTSNGSSQEQRHGRRSSSTLGEMLALSDTKKLVRSEEEGSSKEQERRGSTSCVASNLYEEESTSDSPKNILRSKSVPGSSTMYGVRLNNEIPDPEASKEQVMKTKSMKSSLKGKVSSLFFSKNKKTNKEKYSGSQSTDESPSVTPGTPGSPIIHPRKISNDASQCVNDSYIQECLSPVVGGSASKTPLPDLIGIGQKQGMITTEGGLSVAKPSMPVHISENQEQPSPISVLEPPFEEDENMISESSGGTKPVHRGVGVPPRSNLIEKSPPIESIARTLSWDDSCSETATLLYPSKLSLVSPGAKEEEQDWFLFVQLLLSAAGLNGEVQLDSFFARWHSAESPLDPCLREKYANLNDKEPLHEAKRRQWRSNRKLIFDCVNAALLEISGYGSDRCMKAMSFGRAQMIGKEGASPMLVDHVWAQMKEWFSGEVKCLGCDDGDSDSLVVERVRDRMEVARRTCRRGGDRYNW >KJB10995 pep chromosome:Graimondii2_0_v6:1:47324397:47328613:-1 gene:B456_001G235600 transcript:KJB10995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIQHKKGHNIEKFPGCLGRMVNLFDLNSGVPGNRLLTDKPHRDGSSLSRSQSDVVRMPSPTFGDQIEDKVIVSELRTFSNKKGNVTPMKMLLAQEMSKDVESKCNPPNVVAKLMGLDALPRRQHNSSAQRCRFKGSSRHSSCHSEIPVESWEQDQSFPDEQMQCEVSPCEVLNKYKDVYEIWQQSPRTTYSRDSSPKKGRYSDNANENKMALVRQKFMEAKHLVTDEKLRQSKEFQDALEVLSSNRELFLKFLEEPNSTFSQHLNNFRCSSLLPQTKRITVLRPSKMVDNEKFVGTGQKGDNQTKKPVQIGQVTGYGRNNTACSFPSPKVEDYPSQPTRIVVLKPSPGKNQDIIRTPASPSPPLPRILHGGDFYEEPEEDDARESKEVAKEITRHMRENLMGHRRDETLLSSVFSNGYTGDDSSCNRSENEYPVENLSDSEVMSPTSRHSWDYINRFASPYSSTPFSRVSCSPESSVCREAKKRLSERWAMMTSNGSSQEQRHGRRSSSTLGEMLALSDTKKLVRSEEEGSSKEQERRGSTSCVASNLYEEESTSDSPKNILRSKSVPGSSTMYGVRLNNEIPDPEASKEQVMKTKSMKSSLKGKVSSLFFSKNKKTNKEKYSGSQSTDESPSVTPGTPGSPIIHPRKISNDASQCVNDSYIQECLSPVVGGSASKTPLPDLIGIGQKQGMITTEGGLSVAKPSMPVHISENQEQPSPISVLEPPFEEDENMISESSGGTKPVHRGVGVPPRSNLIEKSPPIESIARTLSWDDSCSETATLLYPSKLSLVSPGAKEEEQDWFLFVQLLLSAAGLNGEVQLDSFFARWHSAESPLDPCLREKYANLNDKEPLHEAKRRQWRSNRKLIFDCVNAALLEISGYGSDRCMKAMSFGRAQMIGKEGASPMLVDHVWAQMKEWFSGEVKCLGCDDGDSDSLVVERVVQKEVVGKGWIDQMKWEADNLGREIEWRLLEELVEEAVTDITGKLF >KJB08023 pep chromosome:Graimondii2_0_v6:1:5891031:5898943:-1 gene:B456_001G059600 transcript:KJB08023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTALSLCPYILSRRPTPRKRLFSCFVGSTTPIGTRRTNVPRRSSGRLDGARKSMEDSVQRKMEQFYEGTAGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDELGVQKITPDTTFIKKWSHKIEAVVITHGHEDHIGALPWVIPALDPHTPIYASSFTMELIKKRLKENGIFVPSRLKVFKMRKRFTAGPFEIEPLRVTHSIPDCCGLVLRCADGTILHTGDWKIDESPLDGNIFDRQFLEDLSKEGVTLMMSDSTNVLSPGRTTSERVVADALLRHISNAKGRIITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKAEDIDAYAPKDLIIVTTGSQAEPRAALNLASYGSSHSFKLNKEDVILYSAKVIPGNESRVMKMLNRISEIGSTIVMGRNEGLHTSGHGYRGELEEVLKIVKPQHFLPIHGELVFLKEHELLGKSTGVRHTTVIKNGEMLGVSHLRNRKVLSNGFSSLGKENLQLMYSDGDKAFGTSTELCIDERLRIASDGIIVVSMEILRPQKIDGIIENSLKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLAHMERTVSEVLRKMVRKYSGKRPEVIAIALENPAGVLSDELNEKLSGNSNVGFGIPAVRKVMDGHPKRREPNKIKAENDSNLHIENTSEQNLIVGNDVETFLPEEVTTSSSPDHAERHTRSTEDSDEFWKPFIKSSSPIDNLENDNNGFIPIEEHKSELKSDDATSSGDVSELLSSQLKSSKPAKRNKWTSEEVKKLIKMRGELHSRFQVLKGRMALWEEISASLLADGISRSPVQCKSRWASLVQKYEEIRSEKKSHKDWPYFEEMNKILSDDFEAAAT >KJB08022 pep chromosome:Graimondii2_0_v6:1:5891031:5899234:-1 gene:B456_001G059600 transcript:KJB08022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTALSLCPYILSRRPTPRKRLFSCFVGSTTPIGTRRTNVPRRSSGRLDGARKSMEDSVQRKMEQFYEGTAGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDELGVQKITPDTTFIKKWSHKIEAVVITHGHEDHIGALPWVIPALDPHTPIYASSFTMELIKKRLKENGIFVPSRLKVFKMRKRFTAGPFEIEPLRVTHSIPDCCGLVLRCADGTILHTGDWKIDESPLDGNIFDRQFLEDLSKEGVTLMMSDSTNVLSPGRTTSERVVADALLRHISNAKGRIITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKAEDIDAYAPKDLIIVTTGSQAEPRAALNLASYGSSHSFKLNKEDVILYSAKVIPGNESRVMKMLNRISEIGSTIVMGRNEGLHTSGHGYRGELEEVLKIVKPQHFLPIHGELVFLKEHELLGKSTGVRHTTVIKNGEMLGVSHLRNRKVLSNGFSSLGKENLQLMYSDGDKAFGTSTELCIDERLRIASDGIIVVSMEILRPQKIDGIIENSLKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLAHMERTVSEVLRKMVRKYSGKRPEVIAIALENPAGVLSDELNEKLSGNSNVGFGIPAVRKVMDGHPKRREPNKIKAENDSNLHIENTSEQNLIVGNDVETFLPEEVTTSSSPDHAERHTRSTEDSDEFWKPFIKSSSPIDNLENDNNGFIPIEEHKSELKSDDATSSGDVSELLSSQLKSSKPAKRNKWTSEEVKKLIKMRGELHSRFQVLKGRMALWEEISASLLADGISRSPVQCKSRWASLVQKYEEIRSEKKSHKDWPYFEEMNKILSDDFEAAAT >KJB08024 pep chromosome:Graimondii2_0_v6:1:5891600:5898943:-1 gene:B456_001G059600 transcript:KJB08024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTALSLCPYILSRRPTPRKRLFSCFVGSTTPIGTRRTNVPRRSSGRLDGARKSMEDSVQRKMEQFYEGTAGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDELGVQKITPDTTFIKKWSHKIEAVVITHGHEDHIGALPWVIPALDPHTPIYASSFTMELIKKRLKENGIFVPSRLKVFKMRKRFTAGPFEIEPLRVTHSIPDCCGLVLRCADGTILHTGDWKIDESPLDGNIFDRQFLEDLSKEGVTLMMSDSTNVLSPGRTTSERVVADALLRHISNAKGRIITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKAEDIDAYAPKDLIIVTTGSQAEPRAALNLASYGSSHSFKLNKEDVILYSAKVIPGNESRVMKMLNRISEIGSTIVMGRNEGLHTSGHGYRGELEEVLKIVKPQHFLPIHGELVFLKEHELLGKSTGVRHTTVIKNGEMLGVSHLRNRKVLSNGFSSLGKENLQLMYSDGDKAFGTSTELCIDERLRIASDGIIVVSMEILRPQKIDGIIENSLKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLAHMERTVSEVLRKMVRKYSGKRPEVIAIALENPAGVLSDELNEKLSGNSNVGFGIPAVRKVMDGHPKRREPNKIKAENDSNLHIENTSEQNLIVGNDVETFLPEEVTTSSSPDHAERHTRSTEDSDEFWKPFIKSSSPIDNLENDNNGFIPIEEHKSELKSDDATSSGDVSELLSSQLKSSKPAKRNKWTSEEVKKLIKMRGELHSRFQVLKGRMALWEEISASLLADGISRSPVQCKSRWASLVQKYEEIRSEKKSHKDWPYFEEMNKILSDDFEAAAT >KJB09983 pep chromosome:Graimondii2_0_v6:1:27803802:27807144:1 gene:B456_001G181700 transcript:KJB09983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARRLVSFFKNSPSSKLSSNGTSVNDEKNKSFAGKAVSFILITVTGGVALSALDDLAIYHGCSRKAMEKAGKNQAIINAIGEPIKKGPWYNASLAVAHKRHSVSCTFPVSGPQGNGVLQLKAVRNGDDNWYSYVLPRDWEILIMEALLYVPGNEEKQQTLRISLLENAPSPACVACTECKPQQSENQEKK >KJB09984 pep chromosome:Graimondii2_0_v6:1:27803871:27806788:1 gene:B456_001G181700 transcript:KJB09984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARRLVSFFKNSPSSKLSSNGTSVNDEKNKSFAGKAVSFILITVTGGVALSALDDLAIYHGCSRKAMEKAGKNQAIINAIGEPIKKGPWYNASLAVAHKRHSVSCTFPVSGPQGNGVLQLKAVRNGDDNWYSYVLPRDWEILIMEALLYVPGNEEKQQTLRISLLENAPSPACVACTECKPQQSENQEKK >KJB09012 pep chromosome:Graimondii2_0_v6:1:14389023:14392624:1 gene:B456_001G118900 transcript:KJB09012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRMDLDGRPIKPITICMIGAGGFIGSHLCEKLMSETPHKVLALDVYNDKIKHLLEPDSLPWAGRIQFHRLNIKHDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVKYCSENNKRLIHFSTCEVYGKTIQSFLPKDSPLRQDPAYYVLKEDVSPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVLLMIENPGRANGHIFNVGNPNNEVTVRQLAEMMTKVYTKVSGESALETPTIDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAIKKSMAKPTSS >KJB09011 pep chromosome:Graimondii2_0_v6:1:14389023:14392624:1 gene:B456_001G118900 transcript:KJB09011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRMDLDGRPIKPITICMIGAGGFIGSHLCEKLMSETPHKVLALDVYNDKIKHLLEPDSLPWAGRIQFHRLNIKHDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIQSFLPKDSPLRQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVLLMIENPGRANGHIFNVGNPNNEVTVRQLAEMMTKVYTKVSGESALETPTIDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAIKKSMAKPTSS >KJB09010 pep chromosome:Graimondii2_0_v6:1:14388969:14392630:1 gene:B456_001G118900 transcript:KJB09010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRMDLDGRPIKPITICMIGAGGFIGSHLCEKLMSETPHKVLALDVYNDKIKHLLEPDSLPWAGRIQFHRLNIKHDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIQSFLPKDSPLRQDPAYYVLKEDVSPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVLLMIENPGRANGHIFNVGNPNNEVTVRQLAEMMTKVYTKVSGESALETPTIDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAIKKSMAKPTSS >KJB11041 pep chromosome:Graimondii2_0_v6:1:47793808:47794764:1 gene:B456_001G239100 transcript:KJB11041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKVRFGNRSTKMRKRQVVLRREEPPRSSSTNSSLTSRSVRYAECQKNHAAGVGGYAVDGCREFMASGEEGTTAALTCAACGCHRNFHRREVETEVACDCSSPPPSNGA >KJB11040 pep chromosome:Graimondii2_0_v6:1:47793808:47794740:1 gene:B456_001G239100 transcript:KJB11040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRQVVLRREEPPRSSSTNSSLTSRSVRYAECQKNHAAGVGGYAVDGCREFMASGEEGTTAALTCAACGCHRNFHRREVETEVACDCSSPPPSNGA >KJB11042 pep chromosome:Graimondii2_0_v6:1:47793892:47794740:1 gene:B456_001G239100 transcript:KJB11042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKGSPSLIRFGNRSTKMRKRQVVLRREEPPRSSSTNSSLTSRSVRYAECQKNHAAGVGGYAVDGCREFMASGEEGTTAALTCAACGCHRNFHRREVETEVACDCSSPPPSNGA >KJB11460 pep chromosome:Graimondii2_0_v6:1:53562750:53564808:-1 gene:B456_001G260300 transcript:KJB11460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQYICNTYKIKFSLVGKPNISTYFSAFAGLAVSQNMGLSSYLWNALPFMAMITVECTDVGISVISKAALSKGMSNVVSVTYFNALGTLILLPYYIFFRDKQAPLTFSLLWRFFLLGLIGSSGQIIFLTGVKFSSPTLSSALVNLIPVFTFLLAVIFRMEKLEMRKSSSQAKLLGAIVAVTGAFVVTLYKGPPVLMSSSPSDFLHHPFDSKQFKWIIGGLSEQSKWIIGGFLLLLVCLSSATWNVLQAATVKEYTDKMTIVFFFTFFIAIQSLVFSVILERNPTAWRLKSTEEVAAILCSIRNMTRTLRRNI >KJB11459 pep chromosome:Graimondii2_0_v6:1:53561705:53564808:-1 gene:B456_001G260300 transcript:KJB11459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQYICNTYKIKFSLVGKPNISTYFSAFAGLAVSQNMGLSSYLWNALPFMAMITVECTDVGISVISKAALSKGMSNVVSVTYFNALGTLILLPYYIFFRDKQAPLTFSLLWRFFLLGLIGSSGQIIFLTGVKFSSPTLSSALVNLIPVFTFLLAVIFRMEKLEMRKSSSQAKLLGAIVAVTGAFVVTLYKGPPVLMSSSPSDFLHHPFDSKQFKWIIGGLSEQSKWIIGGFLLLLVCLSSATWNVLQAATVKEYTDKMTIVFFFTFFIAIQSLVFSVILERNPTAWRLKSTEEVAAILCSAVFGSLYRISIHTWCLEKKGPVYVSMFKPLGIAVAVALTVIFLGESLFLGSVIGSIIILVGFYTVIWGQSNEKKTLKTEVCGLESSHQKTPLIHNS >KJB11197 pep chromosome:Graimondii2_0_v6:1:49194316:49197229:1 gene:B456_001G247000 transcript:KJB11197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAEPGCKNQRWSLRGMTALVTGGTRGMHHNKFAVVEELAALGAAVHTCSRNQSELTERLKEWQSKGFKLSGSVCDLSSREQREKLMETVSSVFNGKLNILVNNAGITRIKPCVEQSLEDYKTVMSTNVEAPYHLSQLSYPFLKASGNGSIVFISSVAGSMALPGLSAYSASKGAINQITKNLACEWAKDNIRTNNVSPWGVKTKMAEQNINAPLAGELFRLIAGTAMPRMAEPEEISSLVAFLCLPAASYITGQVISVDGGYTAGGCWPFHNFSFDLFSHLNS >KJB09877 pep chromosome:Graimondii2_0_v6:1:24746568:24752054:1 gene:B456_001G172200 transcript:KJB09877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEIALIIFSNRGKLYEFSSSGMTKTLERYQRCCFIPHDNTHERETQSWYLEVIKLNAKYEALERTQRHLLGEDLGPLNMKELHNLEKQLEGALARARQRKTQIMMEQMDDLRKKERQLGDLNKQLIVKLEAEGQNLETIQGLWSCCGAEATENFPLHLSQTQPTECDLQPVLQIGYHHYVEAEGSSAPTGMAGETNFIHGWVI >KJB09878 pep chromosome:Graimondii2_0_v6:1:24746568:24752062:1 gene:B456_001G172200 transcript:KJB09878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEIALIIFSNRGKLYEFSSSGMTKTLERYQRCCFIPHDNTHERETQSWYLEVIKLNAKYEALERTQRHLLGEDLGPLNMKELHNLEKQLEGALARARQRKTQIMMEQMDDLRKKERQLGDLNKQLIVKLEAEGQNLETIQGLWSCCGAEATENFPLHLSQTQPTECDLQPVLQIGYHHYVEAEGSSAPTGMAGTTIPI >KJB08193 pep chromosome:Graimondii2_0_v6:1:7093183:7107525:-1 gene:B456_001G070400 transcript:KJB08193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTKRKRGRKPKNPTPSQPLQSPPSSPSDDVFSLSNIEIIPSTSSAVEITTTASRPRGRPKKLPKLPDNPDPLPPPPILSPCRHVSNGTAIGVGGGSGGGELAVDPIGARVVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVISGRRVLTNAHSVEHYTQVKVKKRGSDTKYLATVLSIGTECDIAMLTVNDDEFWEGVSPVEFGELPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLRQDEAENIGYVIPTPVIQHFIQDYEKNEAYTGFPILGIEWQKMENPDLRKAMGMKPEQKGVRIRRVDPTTPESGVLKSSDIVLSFDGVDIANDGTVPFRHGERIGFSYLVSQKYTGDSSAIEVLRNSEILNFNIKLTSSRRVIPAHNRGKPPSYYIIAGFVFTTVSVPYLRSEYGDNYEYEAPMNLLDKLYHATLQSPDEQLVVVSQVLVSDINIGYEDIVNTQVLALNGKPVANLWRLAEMVENCDDEFLKFDLEYEQIVVLRTMTAKAATPDILATHCIPSAMSADLKALRPWT >KJB09241 pep chromosome:Graimondii2_0_v6:1:16706317:16709095:1 gene:B456_001G131000 transcript:KJB09241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQDGLSIPSTVKGLAQAQQPNPNPNPNPVKKKRNFPGTPDPDAEVIALSPKSLMATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYICPEKTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARFTSVSTNVNPAAASFRNDLIHGANNNGMHQFSSGFRPEFGGLELVDNLNSNGQKPRLQLWLDEANSQVNPFGIPSNANAFLAPKSTSFPDLGMAPMNMFGSLTTQWLGKYPEDASFAGANLNMSALRHGPKQEEENKGDLSESITSLYSNNSLQQQQQQNYTHMSATALLQKAAQMGSTRNNPAINNSGFGLMSSNTYDQNKNHVYKLFKQANDQSDNINELVSSLSSNQATIVKDGSALDDLKSSSFVRNASSKGKQGGQAVVLASKLNNTNSNEVELSLTRDFLGVGGESSRPLLQQGLAKFASMGSIHPWS >KJB09242 pep chromosome:Graimondii2_0_v6:1:16706501:16708972:1 gene:B456_001G131000 transcript:KJB09242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYICPEKTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARFTSVSTNVNPAAASFRNDLIHGANNNGMHQFSSGFRPEFGGLELVDNLNSNGQKPRLQLWLDEANSQVNPFGIPSNANAFLAPKSTSFPDLGMAPMNMFGSLTTQWLGKYPEDASFAGANLNMSALRHGPKQEEENKGDLSESITSLYSNNSLQQQQQQNYTHMSATALLQKAAQMGSTRNNPAINNSGFGLMSSNTYDQNKNHVYKLFKQANDQSDNINELVSSLSSNQATIVKDGSALDDLKSSSFVRNASSKGKQGGQAVVLASKLNNTNSNEVELSLTRDFLGVGGESSRPLLQQGLAKFASMGSIHPWS >KJB07436 pep chromosome:Graimondii2_0_v6:1:2181127:2185201:1 gene:B456_001G023100 transcript:KJB07436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDMFSIPRPMVSQNSVVINGIPPETITNNSVVESNLFNQINQNQTLVGFPVLPSVQGEFGGDLCSDLHVSNHARFFDSNALIASVGRKVASDASLGSSGLEHNIEFHEQFIGRTPVSSNPPASCGLQENLNELAIIAPSIYPQDFRNYSSTECSDGINSTTVTSVNSVLNEVFGSMTNKWDFEKFPGPLEHVGKTTLKTAFQPYSSIGCPDPNSWMAPNGANMSLDYPCGSSKNSNELSLSLATSLPGVINGNNIPDQSSEINCCLNTTRLGSEQTSSNAKELSLSFGSDGPVQVSHLISGSRYLHAVQEILAQIANYSLENLEQMSVGPGATMPFSGSCLAGRWMAVMDSNDCPNVDGNTEVQLEAELQKRTVEAKKTQLLTLLQVVDDRYSQCLDEIHTVISAFHAATELDPRVHASFALHTISFLYKNLRERISNQILAMGANFNSACTRDREKSFQNSFIQEQWALQQLKKKDQIWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDAEKHLLAIKSGLTRSQVSNWFINARVRLWKPMIEEMYLEMNKRKARQNE >KJB07435 pep chromosome:Graimondii2_0_v6:1:2180542:2185201:1 gene:B456_001G023100 transcript:KJB07435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDMFSIPRPMVSQNSVVINGIPPETITNNSVVESNLFNQINQNQTLVGFPVLPSVQGEFGGDLCSDLHVSNHARFFDSNALIASVGRKVASDASLGSSGLEHNIEFHEQFIGRTPVSSNPPASCGLQENLNELAIIAPSIYPQDFRNYSSTECSDGINSTTVTSVNSVLNEVFGSMTNKWDFEKFPGPLEHVGKTTLKTAFQPYSSIGCPDPNSWMAPNGANMSLDYPCGSSKNSNELSLSLATSLPGVINGNNIPDQSSEINCCLNTTRLGSEQTSSNAKELSLSFGSDGPVQVSHLISGSRYLHAVQEILAQIANYSLENLEQMSVGPGATMPFSGSCLAGRWMAVMDSNDCPNVDGNTEVQLEAELQKRTVEAKKTQLLTLLQVVDDRYSQCLDEIHTVISAFHAATELDPRVHASFALHTISFLYKNLRERISNQILAMGANFNSACTRDREKSFQNSFIQEQWALQQLKKKDQIWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDAEKHLLAIKSGLTRSQVSNWFINARVRLWKPMIEEMYLEMNKRKARQNE >KJB07434 pep chromosome:Graimondii2_0_v6:1:2181890:2185271:1 gene:B456_001G023100 transcript:KJB07434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDMFSIPRPMVSQNSVVINGIPPETITNNSVVESNLFNQINQNQTLVGFPVLPSVQGEFGGDLCSDLHVSNHARFFDSNALIASVGRKVASDASLGSSGLEHNIEFHEQFIGRTPVSSNPPASCGLQENLNELAIIAPSIYPQDFRNYSSTECSDGINSTTVTSVNSVLNEVFGSMTNKWDFEKFPGPLEHVGKTTLKTAFQPYSSIGCPDPNSWMAPNGANMSLDYPCGSSKNSNELSLSLATSLPGVINGNNIPDQSSEINCCLNTTRLGSEQTSSNAKELSLSFGSDGPVQVSHLISGSRYLHAVQEILAQIANYSLENLEQMSVGPGATMPFSGSCLAGRWMAVMDSNDCPNVDGNTEVQLEAELQKRTVEAKKTQLLTLLQVVDDRYSQCLDEIHTVISAFHAATELDPRVHASFALHTISFLYKNLRERISNQILAMGANFNSACTRDREKSFQNSFIQEQWALQQLKKKDQIWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDAEKHLLAIKSGLTRSQVSNWFINARVRLWKPMIEEMYLEMNKRKARQNE >KJB07437 pep chromosome:Graimondii2_0_v6:1:2180751:2185271:1 gene:B456_001G023100 transcript:KJB07437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDMFSIPRPMVSQNSVVINGIPPETITNNSVVESNLFNQINQNQTLVGFPVLPSVQGEFGGDLCSDLHVSNHARFFDSNALIASVGRKVASDASLGSSGLEHNIEFHEQFIGRTPVSSNPPASCGLQENLNELAIIAPSIYPQDFRNYSSTECSDGINSTTVTSVNSVLNEVFGSMTNKWDFEKFPGPLEHVGKTTLKTAFQPYSSIGCPDPNSWMAPNGANMSLDYPCGSSKNSNELSLSLATSLPGVINGNNIPDQSSEINCCLNTTRLGSEQTSSNAKELSLSFGSDGPVQVSHLISGSRYLHAVQEILAQIANYSLENLEQMSVGPGATMPFSGSCLAGRWMAVMDSNDCPNVDGNTEVQLEAELQKRTVEAKKTQLLTLLQVVDDRYSQCLDEIHTVISAFHAATELDPRVHASFALHTISFLYKNLRERISNQILAMGANFNSACTRDREKSFQNSFIQEQWALQQLKKKDQIWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDAEKHLLAIKSGLTRSQVSNWFINARVRLWKPMIEEMYLEMNKRKARQNE >KJB06288 pep chromosome:Graimondii2_0_v6:1:3740256:3743845:1 gene:B456_001G040400 transcript:KJB06288 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MMDTSTVADGSSFLSLFAALSYGIASMAMVFINKAIIMQYAHSMTLLTLQQLATTLLIHFGRQMGYTRAKGVDITTARRLLPISLFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGFFSGKGKPTTQVTLSVVLTAVGVIVAAIGDFSFDLPGYTMALTSVFFQTMYLVLVEKSGAEEGLSSIEIMFYNSFLSLPFLLFLIIATGEFPNSLALLLAKSNSFSFLFILLLSLVMGIALNYTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVQVHGLNVTGLVINTAGGVWYSYAKYQQKKIKAPKVVMDLEAHRR >KJB06287 pep chromosome:Graimondii2_0_v6:1:3740277:3743882:1 gene:B456_001G040400 transcript:KJB06287 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MMDTSTVADGSSFLSLFAALSYGIASMAMVFINKAIIMQYAHSMTLLTLQQLATTLLIHFGRQMGYTRAKGVDITTARRLLPISLFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGFFSGKGKPTTQVTLSVVLTAVGVIVAAIGDFSFDLPGYTMALTSVFFQTMYLVLVEKSGAEEGLSSIEIMFYNSFLSLPFLLFLIIATGEFPNSLALLLAKSNSFSFLFILLLSLVMGIALNYTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVQVHGLNVTGLVINTAGGVWYSYAKYQQKKIKAPKVVMDLEAHRR >KJB06289 pep chromosome:Graimondii2_0_v6:1:3740412:3743845:1 gene:B456_001G040400 transcript:KJB06289 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MGYTRAKGVDITTARRLLPISLFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGFFSGKGKPTTQVTLSVVLTAVGVIVAAIGDFSFDLPGYTMALTSVFFQTMYLVLVEKSGAEEGLSSIEIMFYNSFLSLPFLLFLIIATGEFPNSLALLLAKSNSFSFLFILLLSLVMGIALNYTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVQVHGLNVTGLVINTAGGVWYSYAKYQQKKIKAPKVVMDLEAHRR >KJB06290 pep chromosome:Graimondii2_0_v6:1:3740412:3743845:1 gene:B456_001G040400 transcript:KJB06290 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MGYTRAKGVDITTARRLLPISLFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGFFSGKGKPTTQVTLSVVLTAVGVIVAAIGDFSFDLPGYTMALTSVFFQTMYLVLVEKSGAEEGLSSIEIMFYNSFLSLPFLLFLIIATGEFPNSLALLLAKSNSFSFLFILLLSLVMGIALNYTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVQVHGLNVTGLVINTAGGVWYSYAKYQQKKIKAPKVVMDLEAHRR >KJB06193 pep chromosome:Graimondii2_0_v6:1:23421879:23422109:-1 gene:B456_001G163100 transcript:KJB06193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLFQVSVAGRSVNRGRFLVTTPGDIRSENADMSNEKSCEKHDRLPVEGFLRSVNLRRVNRSLRNPRKGCRPMGTRK >KJB08583 pep chromosome:Graimondii2_0_v6:1:10228925:10231316:1 gene:B456_001G092000 transcript:KJB08583 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylpyruvate dioxygenase [Source:Projected from Arabidopsis thaliana (AT1G06570) UniProtKB/Swiss-Prot;Acc:P93836] MVQTNQSGSGNDFKLVGFSNFVRSNPKSDRFTVKRFHHIEFWCTDATNVARRFSWGLGMQFVAKSDLSTGNLTHSSYLLRSGDLNFLFTAPYSPSIAVAQNLSPQSTASIPSFDHSLCRSFAATHGLGVRAIAIEVDDAETAFTTSVTHGALPFSPPTPLGDVATIAEVKLYGDVVLRYVSYTTTINSDHDFLPGFEKIEDALSYPLDYGLRRLDHAVGNVPELGPAVSYVKSFTGFHEFAEFTAEDVGTSESGLNSVVLANNEEMVLLPMNEPVFGTKRKSQIQTYLEHNEGAGVQHLALVSEDIFKTLREMRKRSFVGGFEFMPSPPPTYYKKLKQRAGDILSDEQIKECEELGILVDRDDQGTLLQIFTKPVGDRQANHLHRDNTKNWVPGEG >KJB08581 pep chromosome:Graimondii2_0_v6:1:10228789:10231456:1 gene:B456_001G092000 transcript:KJB08581 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylpyruvate dioxygenase [Source:Projected from Arabidopsis thaliana (AT1G06570) UniProtKB/Swiss-Prot;Acc:P93836] MEYNTPSIFPFLLQSQQQHQHPTRPLKMVQTNQSGSGNDFKLVGFSNFVRSNPKSDRFTVKRFHHIEFWCTDATNVARRFSWGLGMQFVAKSDLSTGNLTHSSYLLRSGDLNFLFTAPYSPSIAVAQNLSPQSTASIPSFDHSLCRSFAATHGLGVRAIAIEVDDAETAFTTSVTHGALPFSPPTPLGDVATIAEVKLYGDVVLRYVSYTTTINSDHDFLPGFEKIEDALSYPLDYGLRRLDHAVGNVPELGPAVSYVKSFTGFHEFAEFTAEDVGTSESGLNSVVLANNEEMVLLPMNEPVFGTKRKSQIQTYLEHNEGAGVQHLALVSEDIFKTLREMRKRSFVGGFEFMPSPPPTYYKKLKQRAGDILSDEQIKECEELGILVDRDDQGTLLQIFTKPVGDRPTIFIEIIQRIGCLVKDEEGKQYQKGGCGGFGKGNFSELFKSIEEYEKSLEAKQSQNP >KJB08582 pep chromosome:Graimondii2_0_v6:1:10228925:10230240:1 gene:B456_001G092000 transcript:KJB08582 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylpyruvate dioxygenase [Source:Projected from Arabidopsis thaliana (AT1G06570) UniProtKB/Swiss-Prot;Acc:P93836] MVQTNQSGSGNDFKLVGFSNFVRSNPKSDRFTVKRFHHIEFWCTDATNVARRFSWGLGMQFVAKSDLSTGNLTHSSYLLRSGDLNFLFTAPYSPSIAVAQNLSPQSTASIPSFDHSLCRSFAATHGLGVRAIAIEVDDAETAFTTSVTHGALPFSPPTPLGDVATIAEVKLYGDVVLRYVSYTTTINSDHDFLPGFEKIEDALSYPLDYGLRRLDHAVGNVPELGPAVSYVKSFTGFHEFAEFTAEDVGTSESGLNSVVLANNEEMVLLPMNEPVFGTKRKSQIQTYLEHNEGAGVQHLALVSEDIFKTLREMRKRSFVGGFEFMPSPPPTYYKKLKQRAGDILSDEQIKECEELGILVDRDDQGTLLQIFTKPVGDRQVFLCTNHFIFFLPTIMDDMTVNTEQLRQTGVDDF >KJB11724 pep chromosome:Graimondii2_0_v6:1:55182467:55184164:-1 gene:B456_001G274500 transcript:KJB11724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQRFVVNGGIKMPIGYRFRPTDEELVVHYLKRKALNLPLPASVIPEFDVFQTDPWSLPGDVKEKRYFFSSRYGNDSNNKKRKRVVAGSGYWKPIGKEKPILASGTNQVVGMRQALIFCERKLCTDTKPRWLLHQFRLVGSADQTQMTKREWHGDWVVFKVIQRKRNAKKHGVICSNSQTTRAAAYMPSCIDFTVEDSSMFGPPQPTSPSSSEITEVSPNGLLDQEESSAAVITTFLHYCMRKQ >KJB08218 pep chromosome:Graimondii2_0_v6:1:7220149:7231827:1 gene:B456_001G071300 transcript:KJB08218 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP37 [Source:Projected from Arabidopsis thaliana (AT3G54170) UniProtKB/TrEMBL;Acc:A0A178V9T4] MILSLRESLQNCKDTLATCQTELETAKSEIQKWHSAFQNEPFIPAGIAPEPKLVMNYLQTLKSSEETLKEQLEKAKKKEAAFIVTFAKREQEIAELKSAVRDLKVQLKPPSMQARRLLLDPAIHEEFTRLKNLVEEKDKKVKELQENIAAVSFTPQSKMGKMLMAKCRTLQEENEEIGTQAEEGKMHELAMKLALQKSQNAELRSQFEALYKQMEGLTNDAERSNEMVFILQEKLEEKDDEINRLNLELQHKMLPTEDNNKTGIVSDTEKVKDEMITDETGNSS >KJB08220 pep chromosome:Graimondii2_0_v6:1:7221664:7231827:1 gene:B456_001G071300 transcript:KJB08220 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP37 [Source:Projected from Arabidopsis thaliana (AT3G54170) UniProtKB/TrEMBL;Acc:A0A178V9T4] MNYLQTLKSSEETLKEQLEKAKKKEAAFIVTFAKREQEIAELKSAVRDLKVQLKPPSMQARRLLLDPAIHEEFTRLKNLVEEKDKKVKELQENIAAVSFTPQSKMGKMLMAKCRTLQEENEEIGTQAEEGKMHELAMKLALQKSQNAELRSQFEALYKQMEGLTNDAERSNEMVFILQEKLEEKDDEINRLNLELQHKMLPTEDNNKTGIVSDTEKVKDEMITDETGNSS >KJB08217 pep chromosome:Graimondii2_0_v6:1:7220149:7225669:1 gene:B456_001G071300 transcript:KJB08217 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP37 [Source:Projected from Arabidopsis thaliana (AT3G54170) UniProtKB/TrEMBL;Acc:A0A178V9T4] MASHAHLDDDDDFGGDFPGSHSARHSGNKRSFGDLEYDEDDIFGSKKGNSKVEETAPGVATGMILSLRESLQNCKDTLATCQTELETAKSEIQKWHSAFQNEPFIPAGIAPEPKLVMNYLQTLKSSEETLKEQLEKAKKKEAAFIVTFAKREQEIAELKSAVRDLKVQLKPPSMQARRLLLDPAIHEEFTRLKNLVEEKDKKVKELQENIAAVSFTPQSKMGKMLMAKCRTLQEENEEIGTQAEEGKMHELAMKLALQKSQNAELRSQFEALYKQMEGLTNDAERSNEMVVIFLSSLIFSLN >KJB08216 pep chromosome:Graimondii2_0_v6:1:7220043:7231929:1 gene:B456_001G071300 transcript:KJB08216 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP37 [Source:Projected from Arabidopsis thaliana (AT3G54170) UniProtKB/TrEMBL;Acc:A0A178V9T4] MASHAHLDDDDDFGGDFPGSHSARHSGNKRSFGDLEYDEDDIFGSKKGNSKVEETAPGVATGMILSLRESLQNCKDTLATCQTELETAKSEIQKWHSAFQNEPFIPAGIAPEPKLVMNYLQTLKSSEETLKEQLEKAKKKEAAFIVTFAKREQEIAELKSAVRDLKVQLKPPSMQARRLLLDPAIHEEFTRLKNLVEEKDKKVKELQENIAAVSFTPQSKMGKMLMAKCRTLQEENEEIGTQAEEGKMHELAMKLALQKSQNAELRSQFEALYKQMEGLTNDAERSNEMVFILQEKLEEKDDEINRLNLELQHKMLPTEDNNKTGIVSDTEKVKDEMITDETGNSS >KJB08219 pep chromosome:Graimondii2_0_v6:1:7220149:7231827:1 gene:B456_001G071300 transcript:KJB08219 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP37 [Source:Projected from Arabidopsis thaliana (AT3G54170) UniProtKB/TrEMBL;Acc:A0A178V9T4] MMSDDDFGGDFPGSHSARHSGNKRSFGDLEYDEDDIFGSKKGNSKVEETAPGVATGMILSLRESLQNCKDTLATCQTELETAKSEIQKWHSAFQNEPFIPAGIAPEPKLVMNYLQTLKSSEETLKEQLEKAKKKEAAFIVTFAKREQEIAELKSAVRDLKVQLKPPSMQARRLLLDPAIHEEFTRLKNLVEEKDKKVKELQENIAAVSFTPQSKMGKMLMAKCRTLQEENEEIGTQAEEGKMHELAMKLALQKSQNAELRSQFEALYKQMEGLTNDAERSNEMVFILQEKLEEKDDEINRLNLELQHKMLPTEDNNKTGIVSDTEKVKDEMITDETGNSS >KJB07104 pep chromosome:Graimondii2_0_v6:1:3624227:3626329:-1 gene:B456_001G038800 transcript:KJB07104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of rudimentary homolog [Source:Projected from Arabidopsis thaliana (AT5G10810) UniProtKB/Swiss-Prot;Acc:Q96319] MANRHTIILMQTSQSRATRTFMDYDSISQAMDGICGLYERKLKDLNPATGNITYDIADLYNFIDGLADMSALVYDHRIQAFLPNDRQWIKQKLFQHLKKLAH >KJB07106 pep chromosome:Graimondii2_0_v6:1:3625263:3625881:-1 gene:B456_001G038800 transcript:KJB07106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of rudimentary homolog [Source:Projected from Arabidopsis thaliana (AT5G10810) UniProtKB/Swiss-Prot;Acc:Q96319] MQTSQSRATRTFMDYDSISQAMDGICGLYERKLKDLNPATGNITYDIADLYNFIDGLADMSALVYASLFLHCFLFYIMHHSHAFYVILHAQ >KJB07107 pep chromosome:Graimondii2_0_v6:1:3624597:3625881:-1 gene:B456_001G038800 transcript:KJB07107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of rudimentary homolog [Source:Projected from Arabidopsis thaliana (AT5G10810) UniProtKB/Swiss-Prot;Acc:Q96319] MQTSQSRATRTFMDYDSISQAMDGICGLYERKLKDLNPATGNITYDIADLYNFIDGLADMSALVYDHRIQAFLPNDRQWIKQKLFQHLKKLAH >KJB07105 pep chromosome:Graimondii2_0_v6:1:3624170:3626406:-1 gene:B456_001G038800 transcript:KJB07105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of rudimentary homolog [Source:Projected from Arabidopsis thaliana (AT5G10810) UniProtKB/Swiss-Prot;Acc:Q96319] MANRHTIILMQTSQSRATRTFMDYDSISQAMDGICGLYERKLKDLNPATGNITYDIADLYNFIDGLADMSALVYDHRIQAFLPNDRQWIKQKLFQHLKKLAH >KJB08612 pep chromosome:Graimondii2_0_v6:1:11605208:11609625:-1 gene:B456_001G104300 transcript:KJB08612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFWTSSHFEQLLDPEEVDVVHQLDKDKGLTLEEFKLIKMHMTNCILKLAQSVKVRQRVVATAVTYMRRVYTRKSMSEYDPRLIAPTCLYLASKAEESTVQARLLVFYIKKLNSDEKYRYEIKEILEMEMKILEALNYYLVVFHPYRTLAQLLQDAGINDMSMTQLSWGLVNDTYKMDLILIHPPYLIALACMYIASVHREKDITTWFEELHVDMNVVKNISMEILDFYENYKISDERINAAFSKLDFKP >KJB08610 pep chromosome:Graimondii2_0_v6:1:11605208:11609537:-1 gene:B456_001G104300 transcript:KJB08610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFWTSSHFEQLLDPEEVDVVHQLDKDKGLTLEEFKLIKMHMTNCILKLAQSVKVRQRVVATAVTYMRRVYTRKSMSEYDPRLIAPTCLYLASKAEESTVQARLLVFYIKKLNSDEKYRYEIKEILEMEMKILEALNYYLVVFHPYRTLAQLLQDAGINDMSMTQLSWGLVNDTYKMDLILIHPPYLIALACMYIASVHREKDITTWFEELHVDMNVVRYSFFRYKKTTKSICNIKKCPFLTSFHRKLAGEEHLHGDFRFLRKLQNFR >KJB08611 pep chromosome:Graimondii2_0_v6:1:11605208:11609562:-1 gene:B456_001G104300 transcript:KJB08611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVYTRKSMSEYDPRLIAPTCLYLASKAEESTVQARLLVFYIKKLNSDEKYRYEIKEILEMEMKILEALNYYLVVFHPYRTLAQLLQDAGINDMSMTQLSWGLVNDTYKMDLILIHPPYLIALACMYIASVHREKDITTWFEELHVDMNVVKNISMEILDFYENYKISDERINAAFSKLDFKP >KJB06833 pep chromosome:Graimondii2_0_v6:1:15919057:15922086:-1 gene:B456_001G126800 transcript:KJB06833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGMKLLKYIMWNNELRRIPVIMMSAQDEVSIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRREQG >KJB08717 pep chromosome:Graimondii2_0_v6:1:11177553:11180528:1 gene:B456_001G099400 transcript:KJB08717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSGSNINHQHMSKMLPPRQQQQQQPGSLQTSLSLISLDPHTLPNAQEPRFNLDNIHESPTESASSRETWPTADAITAKKMVNGKTENDCTEQSVIRRVSNADKITLRDIARERVDVISEKMHHLPDEFLDELKNQLKTILEGNGGSQNREEFLILQKLVQSRSDLTAKTLIRAHRAQLEILVAINMGIQAFLHPNISLSQTSLIEVFVYKRCRNIACQSQLPADDCSCEICANRNGFCNLCMCVICNKFDFEVNSCRWIGCDFCSHWTHTDCAIRDGQICMGPSAKSGTGPTEMLFHCRACNRTSELLGWVKDVFQHCVPAWDREALMRELDFVSRIFRGSDDPRGKKLFLKCEDLLEKLRGGLAESMAGRSILMFFQDSSTSLENGEGGGLIAPQEACNRIADVVQEAIKKMEMVADEKMRMFKKARLALDACERELEDKAKEVAELKLERQKKKLQIEELEKIVRLKHAEADMFQLKANEAKQEAERLQRIAHAKSDKSEEEYTSSYLKLRLSEAEAEKKYLFEKIKLQESSHTSQSSNGGDPSQILTYAKIRDLLHGYNIPSKTDSHPNERHKFRTNP >KJB08716 pep chromosome:Graimondii2_0_v6:1:11177475:11180575:1 gene:B456_001G099400 transcript:KJB08716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSGSNINHQHMSKMLPPRQQQQQQPGSLQTSLSLISLDPHTLPNAQEPRFNLDNIHESPTESASSRETWPTADAITAKKMVNGKTENDCTEQSVIRRVSNADKITLRDIARERVDVISEKMHHLPDEFLDELKNQLKTILEGNGGSQNREEFLILQKLVQSRSDLTAKTLIRAHRAQLEILVAINMGIQAFLHPNISLSQTSLIEVFVYKRCRNIACQSQLPADDCSCEICANRNGFCNLCMCVICNKFDFEVNSCRWIGCDFCSHWTHTDCAIRDGQICMGPSAKSGTGPTEMLFHCRACNRTSELLGWVKDVFQHCVPAWDREALMRELDFVSRIFRGSDDPRGKKLFLKCEDLLEKLRGGLAESMAGRSILMFFQELDTDSSTSLENGEGGGLIAPQEACNRIADVVQEAIKKMEMVADEKMRMFKKARLALDACERELEDKAKEVAELKLERQKKKLQIEELEKIVRLKHAEADMFQLKANEAKQEAERLQRIAHAKSDKSEEEYTSSYLKLRLSEAEAEKKYLFEKIKLQESSHTSQSSNGGDPSQILTYAKIRDLLHGYNIPSKTDSHPNERHKFRTNP >KJB07250 pep chromosome:Graimondii2_0_v6:1:1036169:1039441:1 gene:B456_001G010900 transcript:KJB07250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSMKASTNSPKPSFLIGENYHLSLKQSMEDLLAETHKKTPNFSGFIDKFHSLMQAKFDPPLESIWVYTALSFRSRNFEKGDPLNRLSIINDLFQLVSSCSSPCNSSKSIALLAPIVFEVYKLVVEVLGKDSRKVNKRIKSLVEVIIGYISMCCCKGFSEESENIDMDLVIPVEDLVSIWIDRNVNLQSFLPLVSSEICGMISERGFNVNYLAGVVMVEAFLLKLCLDLRIGIEGIVLEKELKSWAVASISSFHNFYFFEMLTRMLLQPALPVTALVGPEDGNLLKNILYDAVILVEYTFLKSKTVHLSNEHVKGIAMTRLVVTLEAIELFRDQKRATCYTSAFANSHLHSQITKWISTLISSDEKAGGVSGSSPKALIKRLLKLEKQGIKLFSNSILKYHAKLLVGDSKEVDEQSVTKVEEKKLEDDEPLFSLDDREDEKNEAMTAAYVAAARSMRLINDNSREKRKGSEGEEKEKIKYFKYDLSSKSKAAKEKVSVSGSDSGASDDDMSSDSEVDNPLV >KJB07247 pep chromosome:Graimondii2_0_v6:1:1036080:1039446:1 gene:B456_001G010900 transcript:KJB07247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSMKASTNSPKPSFLIGENYHLSLKQSMEDLLAETHKKTPNFSGFIDKFHSLMQAKFDPPLESIWVYTALSFRSRNFEKGDPLNRLSIINDLFQLVSSCSSPCNSSKSIALLAPIVFEVYKLVVEVLGKDSRKVNKRIKSLVEVIIGYISMCCCKGFSEESENIDMDLVIPVEDLVSIWIDRNVNLQSFLPLVSSEICGMISERGFNVNYLAGVVMVEAFLLKLCLDLRIGIEGIVLEKELKSWAVASISSFHNFYFFEMLTRMLLQPALPVTALVGPEDGNLLKNILYDAVILVEYTFLKSKTVHLSNEHVKGIAMTRLVVTLEAIELFRKNRDQKRATCYTSAFANSHLHSQITKWISTLISSDEKAGGVSGSSPKALIKRLLKLEKQGIKLFSNSILKYHAKLLVGDSKEVDEQSVTKVEEKKLEDDEPLFSLDDREDEKNEAMTAAYVAAARSMRLINDNSREKRKGSEGEEKEKIKYFKYDLSSKSKAAKEKVSVSGSDSGASDDDMSSDSEVDNPLV >KJB07249 pep chromosome:Graimondii2_0_v6:1:1036169:1039441:1 gene:B456_001G010900 transcript:KJB07249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSMKASTNSPKPSFLIGENYHLSLKQSMEDLLAETHKKTPNFSGFIDKFHSLMQAKFDPPLESIWVYTALSFRSRNFEKGDPLNRLSIINDLFQLVSSCSSPCNSSKSIALLAPIVFEVYKLVVEVLGKDSRKVNKRIKSLVEVIIGYISMCCCKGFSEESENIDMDLVIPVEDLVSIWIDRNVNLQSFLPLVSSEICGMISERGFNVNYLAGVVMVEAFLLKLCLDLRIGIEGIVLEKELKSWAVASISSFHNFYFFEMLTRMLLQPALPVTALVGPEDGNLLKNILYDAVILVEYTFLKSKTVHLSNEHVKGIAMTRLVVTLEAIELFSSDEKAGGVSGSSPKALIKRLLKLEKQGIKLFSNSILKYHAKLLVGDSKEVDEQSVTKVEEKKLEDDEPLFSLDDREDEKNEAMTAAYVAAARSMRLINDNSREKRKGSEGEEKEKIKYFKYDLSSKSKAAKEKVSVSGSDSGASDDDMSSDSEVDNPLV >KJB07251 pep chromosome:Graimondii2_0_v6:1:1036169:1039441:1 gene:B456_001G010900 transcript:KJB07251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSMKASTNSPKPSFLIGENYHLSLKQSMEDLLAETHKKTPNFSGFIDKFHSLMQAKFDPPLESIWVYTALSFRSRNFEKGDPLNRLSIINDLFQLVSSCSSPCNSSKSIALLAPIVFEVYKLVVEVLGKDSRKVNKRIKSLVEVIIGYISMCCCKGFSEESENIDMDLVIPVEDLVSIWIDRNVNLQSFLPLVSSEICGMISERGFNVNYLAGVVMVEAFLLKLCLDLRIGIEGIVLEKELKSWAVASISSFHNFYFFEMLTRMLLQPALPVTALGPEDGNLLKNILYDAVILVEYTFLKSKTVHLSNEHVKGIAMTRLVVTLEAIELFRKNRDQKRATCYTSAFANSHLHSQITKWISTLISSDEKAGGVSGSSPKALIKRLLKLEKQGIKLFSNSILKYHAKLLVGDSKEVDEQSVTKVEEKKLEDDEPLFSLDDREDEKNEAMTAAYVAAARSMRLINDNSREKRKGSEGEEKEKIKYFKYDLSSKSKAAKEKVSVSGSDSGASDDDMSSDSEVDNPLV >KJB07248 pep chromosome:Graimondii2_0_v6:1:1036257:1038781:1 gene:B456_001G010900 transcript:KJB07248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSMKASTNSPKPSFLIGENYHLSLKQSMEDLLAETHKKTPNFSGFIDKFHSLMQAKFDPPLESIWVYTALSFRSRNFEKGDPLNRLSIINDLFQLVSSCSSPCNSSKSIALLAPIVFEVYKLVVEVLGKDSRKVNKRIKSLVEVIIGYISMCCCKGFSEESENIDMDLVIPVEDLVSIWIDRNVNLQSFLPLVSSEICGMISERGFNVNYLAGVVMVEAFLLKLCLDLRIGIEGIVLEKELKSWAVASISSFHNFYFFEMLTRMLLQPALPVTALVGPEDGNLLKNILYDAVILVEYTFLKSKTVHLSNEHVKGIAMTRLVVTLEAIELFRKNRDQKRATCYTSAFANSHLHSQITKWISTLISSDEKAGGVSGSSPKALISKAAIEA >KJB06206 pep chromosome:Graimondii2_0_v6:1:15481691:15483567:-1 gene:B456_001G124000 transcript:KJB06206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKPAKQERREEVLLKIVPPLDQAYVRWLARDIERIHGFSPRNPRAVKPPEHYIEYMQLNGWLDVDLDDPDLAHLFK >KJB06204 pep chromosome:Graimondii2_0_v6:1:15481658:15483816:-1 gene:B456_001G124000 transcript:KJB06204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKPAKQERREEVLLKIVPPLDQAYVRWLARDIERIHGFSPRNPRAVKPPEHYIEYMQLNGWLDVDLDDPDLAHLFK >KJB06205 pep chromosome:Graimondii2_0_v6:1:15481685:15483567:-1 gene:B456_001G124000 transcript:KJB06205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNTFTVSTQIGLLSRPMRPICFCYIIMGNKPAKQERREEVLLKIVPPLDQAYVRWLARDIERIHGFSPRNPRAVKPPEHYIEYMQLNGWLDVDLDDPDLAHLFK >KJB08018 pep chromosome:Graimondii2_0_v6:1:5871787:5882880:1 gene:B456_001G059400 transcript:KJB08018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSLSGNNNKRSSSSSEDKPPSPKRQKVENAEKSMPAAESSKEMCTPPAVDPGYCGNGETPIAGDDVNNAGKGETSSAAVAVVAPIADGSAPVLLDKGRSSFTTWSISQKQNPNFDTSTPWCRLLSQSAQNPNVSICISNFTIGSSKHCDFQLKDQTISAVLCKIKHTQHEGSAAAMLESTGSKGSVQVNGTVLKKNNSCVLKSGDEVVFGLLGNHAYIFQQLMTDVAVKGAEVQNTIGKFLQLERRSGDSSAVTGAATILASLSSLRPDLSRWKSPPQASSKIPQVTEVSTAADVNLDGMEGNSTANIGNDKAAEVGSVNKTLHLDCNHDSNTEAGNVLDGRNEWVKDSQPTMLSSISLRCAVFKEDIHAGILDGRNLDVSFDNFPYYLSENTKNVLIAASIIHLKHKEHVKYTSDLTTVNPRILLSGPAGSEIYQEMLTKALANYFGAKLLIFDSHSFLGGLSSKEAELLKDGVNAEKSCTCTKQNSGPIELANSLAPAVEADTSSAVPDATCDPESLPKTEADTMPSSGSSKNKMFKIGDRVKFMNSTSGSLYPAASPSRGPPYGVRGKVMLLFADNPFSKIGVRFDKPIPDGVDLGNIREVGHGFFCNASDLRLENSSTEDLDRLLINTLFEAIHSESRTSPFILFMKDAEKSLAGNTDSYSTFKSKLEKLPDNVIVIGSHTHTDNRKEKSHPGGLLFTKFGGSQTALLDLAFPDSFGRLHDRGKEVPKATKILTKLFPNKVTIHMPQDEAVLASWKHQLDRDAETLKMKGNLNLLRTVLGRSGMECEGLETLCIKDQTLTNESAEKVVGWALSHHLMQHPEADADVRLVLSCESIQYGIEILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAANRAKILKVILAKEDLSPEVDFDAVASMTDGYSGSDLKVLSSGLFPTSDGFSCRLLADCLIILLYCRIFV >KJB08021 pep chromosome:Graimondii2_0_v6:1:5873761:5884219:1 gene:B456_001G059400 transcript:KJB08021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVAVKGAEVQNTIGKFLQLERRSGDSSAVTGAATILASLSSLRPDLSRWKSPPQASSKIPQVTEVSTAADVNLDGMEGNSTANIGNDKAAEVGSVNKTLHLDCNHDSNTEAGNVLDGRNEWVKDSQPTMLSSISLRCAVFKEDIHAGILDGRNLDVSFDNFPYYLSENTKNVLIAASIIHLKHKEHVKYTSDLTTVNPRILLSGPAGSEIYQEMLTKALANYFGAKLLIFDSHSFLGGLSSKEAELLKDGVNAEKSCTCTKQNSGPIELANSLAPAVEADTSSAVPDATCDPESLPKTEADTMPSSGSSKNKMFKIGDRVKFMNSTSGSLYPAASPSRGPPYGVRGKVMLLFADNPFSKIGVRFDKPIPDGVDLGNIREVGHGFFCNASDLRLENSSTEDLDRLLINTLFEAIHSESRTSPFILFMKDAEKSLAGNTDSYSTFKSKLEKLPDNVIVIGSHTHTDNRKEKSHPGGLLFTKFGGSQTALLDLAFPDSFGRLHDRGKEVPKATKILTKLFPNKVTIHMPQDEAVLASWKHQLDRDAETLKMKGNLNLLRTVLGRSGMECEGLETLCIKDQTLTNESAEKVVGWALSHHLMQHPEADADVRLVLSCESIQYGIEILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAANRAKILKVILAKEDLSPEVDFDAVASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKERAAALAEGKPPPPLSGSADIRSLNMDDFKYAHERVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >KJB08014 pep chromosome:Graimondii2_0_v6:1:5871721:5884219:1 gene:B456_001G059400 transcript:KJB08014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSLSGNNNKRSSSSSEDKPPSPKRQKVENAEKSMPAAESSKEMCTPPAVDPGYCGNGETPIAGDDVNNAGKGETSSAAVAVVAPIADGSAPVLLDKGRSSFTTWSISQKQNPNFDTSTPWCRLLSQSAQNPNVSICISNFTIGSSKHCDFQLKDQTISAVLCKIKHTQHEGSAAAMLESTGSKGSVQVNGTVLKKNNSCVLKSGDEVVFGLLGNHAYIFQQLMTDVAVKGAEVQNTIGKFLQLERRSGDSSAVTGAATILASLSSLRPDLSRWKSPPQASSKIPQVTEVSTAADVNLDGMEGNSTANIGNDKAAEVGSVNKTLHLDCNHDSNTEAGNVLDGRNEWVKDSQPTMLSSISLRCAVFKEDIHAGILDGRNLDVSFDNFPYYLSENTKNVLIAASIIHLKHKEHVKYTSDLTTVNPRILLSGPAGSEIYQEMLTKALANYFGAKLLIFDSHSFLGGLSSKEAELLKDGVNAEKSCTCTKQNSGPIELANSLAPAVEADTSSAVPDATCDPESLPKTEADTMPSSGSSKNKMFKIGDRVKFMNSTSGSLYPAASPSRGPPYGVRGKVMLLFADNPFSKIGVRFDKPIPDGVDLGNIREVGHGFFCNASDLRLENSSTEDLDRLLINTLFEAIHSESRTSPFILFMKDAEKSLAGNTDSYSTFKSKLEKLPDNVIVIGSHTHTDNRKEKSHPGGLLFTKFGGSQTALLDLAFPDSFGRLHDRGKEVPKATKILTKLFPNKVTIHMPQDEAVLASWKHQLDRDAETLKMKGNLNLLRTVLGRSGMECEGLETLCIKDQTLTNESAEKVVGWALSHHLMQHPEADADVRLVLSCESIQYGIEILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAANRAKILKVILAKEDLSPEVDFDAVASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKERAAALAEGKPPPPLSGSADIRSLNMDDFKYAHERVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >KJB08020 pep chromosome:Graimondii2_0_v6:1:5871730:5884219:1 gene:B456_001G059400 transcript:KJB08020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSLSGNNNKRSSSSSEDKPPSPKRQKVENAEKSMPAAESSKEMCTPPAVDPGYCGNGETPIAGDDVNNAGKGETSSAAVAVVAPIADGSAPVLLDKGRSSFTTWSISQKQNPNFDTSTPWCRLLSQSAQNPNVSICISNFTIGSSKHCDFQLKDQTISAVLCKIKHTQHEGSAAAMLESTGSKGSVQVNGTVLKKNNSCVLKSGDEVVFGLLGNHAYIFQQLMTDVAVKGAEVQNTIGKFLQLERRSGDSSAVTGAATILASLSSLRPDLSRWKSPPQASSKIPQVTEVSTAADVNLDGMEGNSTANIGNDKAAEVGSVNKTLHLDCNHDSNTEAGNVKLSGVNDLLRPFLRMFAPSTSCNLKLSKSICKQVLDGRNEWVKDSQPTMLSSISLRCAVFKEDIHAGILDGRNLDVSFDNFPYYLSENTKNVLIAASIIHLKHKEHVKYTSDLTTVNPRILLSGPAGSEIYQEMLTKALANYFGAKLLIFDSHSFLGGLSSKEAELLKDGVNAEKSCTCTKQNSGPIELANSLAPAVEADTSSAVPDATCDPESLPKTEADTMPSSGSSKNKMFKIGDRVKFMNSTSGSLYPAASPSRGPPYGVRGKVMLLFADNPFSKIGVRFDKPIPDGVDLGNIREVGHGFFCNASDLRLENSSTEDLDRLLINTLFEAIHSESRTSPFILFMKDAEKSLAGNTDSYSTFKSKLEKLPDNVIVIGSHTHTDNRKEKSHPGGLLFTKFGGSQTALLDLAFPDSFGRLHDRGKEVPKATKILTKLFPNKVTIHMPQDEAVLASWKHQLDRDAETLKMKGNLNLLRTVLGRSGMECEGLETLCIKDQTLTNESAEKVVGWALSHHLMQHPEADADVRLVLSCESIQYGIEILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAANRAKILKVILAKEDLSPEVDFDAVASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKERAAALAEGKPPPPLSGSADIRSLNMDDFKYAHERVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >KJB08013 pep chromosome:Graimondii2_0_v6:1:5871711:5884219:1 gene:B456_001G059400 transcript:KJB08013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSLSGNNNKRSSSSSEDKPPSPKRQKVENAEKSMPAAESSKEMCTPPAVDPGYCGNGETPIAGDDVNNAGKGETSSAAVAVVAPIADGSAPVLLDKGRSSFTTWSISQKQNPNFDTSTPWCRLLSQSAQNPNVSICISNFTIGSSKHCDFQLKDQTISAVLCKIKHTQHEGSAAAMLESTGSKGSVQVNGTVLKKNNSCVLKSGDEVVFGLLGNHAYIFQQLMTDVAVKGAEVQNTIGKFLQLERRSGDSSAVTGAATILASLSSLRPDLSRWKSPPQASSKIPQVTEVSTAADVNLDGMEGNSTANIGNDKAAEVGSVNKTLHLDCNHDSNTEAGNVLDGRNEWVKDSQPTMLSSISLRCAVFKEDIHAGILDGRNLDVSFDNFPYYLSENTKNVLIAASIIHLKHKEHVKYTSDLTTVNPRILLSGPAGSEIYQEMLTKALANYFGAKLLIFDSHSFLGGLSSKEAELLKDGVNAEKSCTCTKQNSGPIELANSLAPAVEADTSSAVPDATCDPESLPKTEADTMPSSGSSKNKMFKIGDRVKFMNSTSGSLYPAASPSRGPPYGVRGKVMLLFADNPFSKIGVRFDKPIPDGVDLGNIREVGHGFFCNASDLRLENSSTEDLDRLLINTLFEAIHSESRTSPFILFMKDAEKSLAGNTDSYSTFKSKLEKLPDNVIVIGSHTHTDNRKEKSHPGGLLFTKFGGSQTALLDLAFPDSFGRLHDRGKEVPKATKILTKLFPNKVTIHMPQDEAVLASWKHQLDRDAETLKMKGNLNLLRTVLGRSGMECEGLETLCIKDQTLTNESAEKVVGWALSHHLMQHPEADADVRLVLSCESIQYGIEILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAANRAKILKVILAKEDLSPEVDFDAVASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKERAAALAEGKPPPPLSGSADIRSLNMDDFKYAHERVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >KJB08016 pep chromosome:Graimondii2_0_v6:1:5871730:5884219:1 gene:B456_001G059400 transcript:KJB08016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSLSGNNNKRSSSSSEDKPPSPKRQKVENAEKSMPAAESSKEMCTPPAVDPGYCGNGETPIAGDDVNNAGKGETSSAAVAVVAPIADGSAPVLLDKGRSSFTTWSISQKQNPNFDTSTPWCRLLSQSAQNPNVSICISNFTIGSSKHCDFQLKDQTISAVLCKIKHTQHEGSAAAMLESTGSKGSVQVNGTVLKKNNSCVLKSGDEVVFGLLGNHAYIFQQLMTDVAVKGAEVQNTIGKFLQLERRSGDSSAVTGAATILASLSSLRPDLSRWKSPPQASSKIPQVTEVSTAADVNLDGMEGNSTANIGNDKAAEVGSVNKTLHLDCNHDSNTEVLDGRNEWVKDSQPTMLSSISLRCAVFKEDIHAGILDGRNLDVSFDNFPYYLSENTKNVLIAASIIHLKHKEHVKYTSDLTTVNPRILLSGPAGSEIYQEMLTKALANYFGAKLLIFDSHSFLGGLSSKEAELLKDGVNAEKSCTCTKQNSGPIELANSLAPAVEADTSSAVPDATCDPESLPKTEADTMPSSGSSKNKMFKIGDRVKFMNSTSGSLYPAASPSRGPPYGVRGKVMLLFADNPFSKIGVRFDKPIPDGVDLGNIREVGHGFFCNASDLRLENSSTEDLDRLLINTLFEAIHSESRTSPFILFMKDAEKSLAGNTDSYSTFKSKLEKLPDNVIVIGSHTHTDNRKEKSHPGGLLFTKFGGSQTALLDLAFPDSFGRLHDRGKEVPKATKILTKLFPNKVTIHMPQDEAVLASWKHQLDRDAETLKMKGNLNLLRTVLGRSGMECEGLETLCIKDQTLTNESAEKVVGWALSHHLMQHPEADADVRLVLSCESIQYGIEILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAANRAKILKVILAKEDLSPEVDFDAVASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKERAAALAEGKPPPPLSGSADIRSLNMDDFKYAHERVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >KJB08019 pep chromosome:Graimondii2_0_v6:1:5871730:5884219:1 gene:B456_001G059400 transcript:KJB08019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSLSGNNNKRSSSSSEDKPPSPKRQKVENAEKSMPAAESSKEMCTPPAVDPGYCGNGETPIAGDDVNNAGKGETSSAAVAVVAPIADGSAPVLLDKGRSSFTTWSISQKQNPNFDTSTPWCRLLSQSAQNPNVSICISNFTIGSSKHCDFQLKDQTISAVLCKIKHTQHEGSAAAMLESTGSKGSVQVNGTVLKKNNSCVLKSGDEVVFGLLGNHAYIFQQLMTDVAVKGAEVQNTIGKFLQLERRSGDSSAVTGAATILASLSSLRPDLSRWKSPPQASSKIPQVTEVSTAADVNLDGMEGNSTANIGNDKAAEVGSVNKTLHLDCNHDSNTEAGNVKLSGVLDGRNEWVKDSQPTMLSSISLRCAVFKEDIHAGILDGRNLDVSFDNFPYYLSENTKNVLIAASIIHLKHKEHVKYTSDLTTVNPRILLSGPAGSEIYQEMLTKALANYFGAKLLIFDSHSFLGGLSSKEAELLKDGVNAEKSCTCTKQNSGPIELANSLAPAVEADTSSAVPDATCDPESLPKTEADTMPSSGSSKNKMFKIGDRVKFMNSTSGSLYPAASPSRGPPYGVRGKVMLLFADNPFSKIGVRFDKPIPDGVDLGNIREVGHGFFCNASDLRLENSSTEDLDRLLINTLFEAIHSESRTSPFILFMKDAEKSLAGNTDSYSTFKSKLEKLPDNVIVIGSHTHTDNRKEKSHPGGLLFTKFGGSQTALLDLAFPDSFGRLHDRGKEVPKATKILTKLFPNKVTIHMPQDEAVLASWKHQLDRDAETLKMKGNLNLLRTVLGRSGMECEGLETLCIKDQTLTNESAEKVVGWALSHHLMQHPEADADVRLVLSCESIQYGIEILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAANRAKILKVILAKEDLSPEVDFDAVASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKERAAALAEGKPPPPLSGSADIRSLNMDDFKYAHERVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >KJB08015 pep chromosome:Graimondii2_0_v6:1:5871694:5884318:1 gene:B456_001G059400 transcript:KJB08015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSLSGNNNKRSSSSSEDKPPSPKRQKVENAEKSMPAAESSKEMCTPPAVDPGYCGNGETPIAGDDVNNAGKGETSSAAVAVVAPIADGSAPVLLDKGRSSFTTWSISQKQNPNFDTSTPWCRLLSQSAQNPNVSICISNFTIGSSKHCDFQLKDQTISAVLCKIKHTQHEGSAAAMLESTGSKGSVQVNGTVLKKNNSCVLKSGDEVVFGLLGNHAYIFQQLMTDVAVKGAEVQNTIGKFLQLERRSGDSSAVTGAATILASLSSLRPDLSRWKSPPQASSKIPQVTEVSTAADVNLDGMEGNSTANIGNDKAAEVGSVNKTLHLDCNHDSNTEAGNVLDGRNEWVKDSQPTMLSSISLRCAVFKEDIHAGILDGRNLDVSFDNFPYYLSENTKNVLIAASIIHLKHKEHVKYTSDLTTVNPRILLSGPAGSEIYQEMLTKALANYFGAKLLIFDSHSFLGGLSSKEAELLKDGVNAEKSCTCTKQNSGPIELANSLAPAVEADTSSAVPDATCDPESLPKTEADTMPSSGSSKNKMFKIGDRVKFMNSTSGSLYPAASPSRGPPYGVRGKVMLLFADNPFSKIGVRFDKPIPDGVDLGNIREVGHGFFCNASDLRLENSSTEDLDRLLINTLFEAIHSESRTSPFILFMKDAEKSLAGNTDSYSTFKSKLEKLPDNVIVIGSHTHTDNRKEKSHPGGLLFTKFGGSQTALLDLAFPDSFGRLHDRGKEVPKATKILTKLFPNKVTIHMPQDEAVLASWKHQLDRDAETLKMKGNLNLLRTVLGRSGMECEGLETLCIKDQTLTNESAEKVVGWALSHHLMQHPEADADVRLVLSCESIQYGIEILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAANRAKILKVILAKEDLSPEVDFDAVASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKERAAALAEGKPPPPLSGSADIRSLNMDDFKYAHERVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >KJB08017 pep chromosome:Graimondii2_0_v6:1:5871730:5884219:1 gene:B456_001G059400 transcript:KJB08017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSLSGNNNKRSSSSSEDKPPSPKRQKVENAEKSMPAAESSKEMCTPPAVDPGYCGNGETPIAGDDVNNAGKGETSSAAVAVVAPIADGSAPVLLDKGRSSFTTWSISQKQNPNFDTSTPWCRLLSQSAQNPNVSICISNFTIGSSKHCDFQLKDQTISAVLCKIKHTQHEGSAAAMLESTGSKGSVQVNGTVLKKNNSCVLKSGDEVVFGLLGNHAYIFQQLMTDVAVKGAEVQNTIGKFLQLERRSGDSSAVTGAATILASLSSLRPDLSRWKSPPQASSKIPQVTEVSTAADVNLDGMEGNSTANIGNDKAAEVGSVNKTLHLDCNHDSNTEAGNVLDGRNEWVKDSQPTMLSSISLRCAVFKEDIHAGILDGRNLDVSFDNFPYYLSENTKNVLIAASIIHLKHKEHVKYTSDLTTVNPRILLSGPAGSEIYQEMLTKALANYFGAKLLIFDSHSFLGGLSSKEAELLKDGVNAEKSCTCTKQNSGPIELANSLAPAVEADTSSAVPDATCDPESLPKTEADTMPSSGSSKNKMFKIGDRVKFMNSTSGSLYPAASPSRGPPYGVRGKVMLLFADNPFSKIGVRFDKPIPDGVDLGNIREVGHGFFCNASDLRLENSSTEDLDRLLINTLFEAIHSESRTSPFILFMKDAEKSLAGNTDSYSTFKSKLEKLPDNVIVIGSHTHTDNRKEKSHPGGLLFTKFGGSQTALLDLAFPDSFGRLHDRGKEVPKATKILTKLFPNKVTIHMPQDEAVLASWKHQLDRDAETLKMKGNLNLLRTVLGRSGMECEGLETLCIKDQTLTNESAEKVVGWALSHHLMQHPEADADVRLVLSCESIQYGIEILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAANRAKILKVILAKEDLSPEVDFDAVASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKVGTSCCSSRGQTSSAFEWEC >KJB08473 pep chromosome:Graimondii2_0_v6:1:8822392:8823924:1 gene:B456_001G083200 transcript:KJB08473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMMMLGAVQLGAAAACVVVLVPMGMAGWHLSRNKMLFFSGALFISLAICVHLTPYFPSVPDFVTSVSSAVVFDHRSSCINLVNEISWEVKPNASFHHFNNTQNSSSIADFYDKRWDWSDSYKLKACEFTKLSKSDASDLLNGSWVVIAGDSQARLFTLSLLNLILGPQSQRMDSVRADLFKRHSDYSVLVNETGMKLDFIWAPYVMNLTNLVMNFKTQKNCPDVMIMGAGLWHMLHFTNASDYDLHLHILKTQVVSLLPFSTELAMNEPVTGSVPIKSSHLFWLGMPVLINGMLNTEEKRAKMNDAMWHAYDKALGDSKLLRQTGGPLFLLDFQSLTWNCGPHCTSDGMHYDGAIYEAAVQIMLNTLLIESHQTL >KJB08412 pep chromosome:Graimondii2_0_v6:1:8524075:8525086:1 gene:B456_001G080600 transcript:KJB08412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQSVSKESDCQRGFMSLFLEAIVMIFSASEDNCSQEANDIRNTAIRLVSHLAQIPSFAGHLKDVLLLMSKTQRQQLRGVIRASVTLNHSVGEMKSVAPPLEIKLPVPLEMRREDNALPSATQVKLKQQSEERWSSPLATPIGTNHDDMEEDEEDEDDWDAFQSFPAT >KJB09284 pep chromosome:Graimondii2_0_v6:1:17063381:17066397:-1 gene:B456_001G133000 transcript:KJB09284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHLLCNHSLFFSSAFTNCGFSNTPPSKLQFQRTPFVKASKKQLEIVYDPDERLNKLADEVDKEAPFSRLTLFSPCKINVFLRITNKREDGYHDLASLFHTISLGDVIKFSLSPSKTKDRLSTNVSGVPLDDKNLIIKALNLYRKKTGSSNFFWVHLDKKVPTGAGLGGGSSNAATALWAANQFNGSVATEKELQQWSSEIGSDIPFFFSHGAAYCTGRGEFVQDISHPLPSDIPMVLIKPKEACSTAEVYKRLRLDQTSNVDPLTLLEKISRNGISQDVCINDLEPPAFEVLPSLKRLKQRVTAAGRGQYDAVFMSGSGSTIVGVGSPDPPQFVYDDDDYREVFLSGKLQVV >KJB09286 pep chromosome:Graimondii2_0_v6:1:17062664:17066547:-1 gene:B456_001G133000 transcript:KJB09286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHLLCNHSLFFSSAFTNCGFSNTPPSKLQFQRTPFVKASKKQLEIVYDPDERLNKLADEVDKEAPFSRLTLFSPCKINVFLRITNKREDGYHDLASLFHTISLGDVIKFSLSPSKTKDRLSTNVSGVPLDDKNLIIKALNLYRKKTGSSNFFWVKDVHLDKKVPTGAGLGGGSSNAATALWAANQFNGSVATEKELQQWSSEIGSDIPFFFSHGAAYCTGRGEFVQDISHPLPSDIPMVLIKPKEACSTAEVYKRLRLDQTSNVDPLTLLEKISRNGISQDVCINDLEPPAFEVLPSLKRLKQRVTAAGRGQYDAVFMSGSGSTIVGVGSPDPPQFVYDDDDYREVFLSDANFIYREENEWYKELVSTTVCDPLETARTFE >KJB09285 pep chromosome:Graimondii2_0_v6:1:17062664:17066547:-1 gene:B456_001G133000 transcript:KJB09285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHLLCNHSLFFSSAFTNCGFSNTPPSKLQFQRTPFVKASKKQLEIVYDPDERLNKLADEVDKEAPFSRLTLFSPCKINVFLRITNKREDGYHDLASLFHTISLGDVIKFSLSPSKTKDRLSTNVSGVPLDDKNLIIKALNLYRKKTGSSNFFWVHLDKKVPTGAGLGGGSSNAATALWAANQFNGSVATEKELQQWSSEIGSDIPFFFSHGAAYCTGRGEFVQDISHPLPSDIPMVLIKPKEACSTAEVYKRLRLDQTSNVDPLTLLEKISRNGISQDVCINDLEPPAFEVLPSLKRLKQRVTAAGRGQYDAVFMSGSGSTIVGVGSPDPPQFVYDDDDYREVFLSGKCKLHLPGRK >KJB09283 pep chromosome:Graimondii2_0_v6:1:17062486:17066567:-1 gene:B456_001G133000 transcript:KJB09283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHLLCNHSLFFSSAFTNCGFSNTPPSKLQFQRTPFVKASKKQLEIVYDPDERLNKLADEVDKEAPFSRLTLFSPCKINVFLRITNKREDGYHDLASLFHTISLGDVIKFSLSPSKTKDRLSTNVSGVPLDDKNLIIKALNLYRKKTGSSNFFWVHLDKKVPTGAGLGGGSSNAATALWAANQFNGSVATEKELQQWSSEIGSDIPFFFSHGAAYCTGRGEFVQDISHPLPSDIPMVLIKPKEACSTAEVYKRLRLDQTSNVDPLTLLEKISRNGISQDVCINDLEPPAFEVLPSLKRLKQRVTAAGRGQYDAVFMSGSGSTIVGVGSPDPPQFVYDDDDYREVFLSDANFIYREENEWYKELVSTTVCDPLETARTFE >KJB10005 pep chromosome:Graimondii2_0_v6:1:27815045:27818874:1 gene:B456_001G181800 transcript:KJB10005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGGGGSESGSMSTVSREDNMVMSSEDSSCSDESELELGLGLSLGGFKMHQVSRGGHYARILTAKDFPSVISASSSPSSSSSSSSSSSSSSSSLSRANVTAGTKRTADSVAAANSSSQVVGWPPIRAYRMNSMVNQAKNQATEGFNSTMENHKSETSTVEKGTTGISSYQNSGNAKLRKSLFVKVNMDGIPIGRKVDMNAHESYEKLAKTLEDMFLKTTPNVNPAGEISFLFSWLWGCPVLCEYMEFN >KJB10004 pep chromosome:Graimondii2_0_v6:1:27815019:27819005:1 gene:B456_001G181800 transcript:KJB10004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGGGGSESGSMSTVSREDNMVMSSEDSSCSDESELELGLGLSLGGFKMHQVSRGGHYARILTAKDFPSVISASSSPSSSSSSSSSSSSSSSSLSRANVTAGTKRTADSVAAANSSSQVVGWPPIRAYRMNSMVNQAKNQATEGFNSTMENHKSETSTVEKGTTGISSYQNSGNAKLRKSLFVKVNMDGIPIGRKVDMNAHESYEKLAKTLEDMFLKTTPNVNPAGSRALKLGMMNKLTRSSKLLDGSSDFVLTYEDKEGDWMLVGDVPWKVFLSSVKRLRIMRTSEATGLAPRLHERNCQRQRSKHI >KJB08577 pep chromosome:Graimondii2_0_v6:1:9883534:9885835:-1 gene:B456_001G090400 transcript:KJB08577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFNVYLLVLHTIAMGDESAAYTPTDYILLNCGASSSSGSILKEGRKRITDEGSKFSIFNSKNTSFASTASRQDHVTCLKFLRLYFYPVQYSCFDGSTSFFYVTGNDHLLLQNFSAYLNFSSEDNQAASLIKEFMVPCFKTEKLKVTFWPSPNSLAFVNGIEVVSMSKNMYVKHQDNSVSFVNSKIPFDIPDATAFETVYLLKVGRATVANYSKDTPAYTAPAVVYTTSRTMGRDPYINMNYNLTWNFDIDGGFNYLLRLHFCETLLEVTEAGQRPLADVIYCSGGKGISVYRDYVLLIPSEDSSKQTLWLALHPNEEVGSMFANAILNSLEIFRLNKLDGSLAVPNPESTSPLPDQLCQCFTLAEIQAATNDFDDAFIIGHSRFGNVYKGFISRIKSEVAIKRLNSMSQQGAREFWTEIQLLSQLRYVNLVSLIGYCDDNEMILVYEYMANGIPRDHLYNTKKNPLSWKQRLKICIGAAYGLDYLHSEAIHRIIHRDVKSTNILLDEQYVAKISGFDLSKMSPISMTNVPLTTVVKGTFRYMDPEYYKRLRLTEKLDVYSFGVVLFEVLFARAAVDSEVEYSQISLAYWVRKCVANESINESIDPLLKGKISPSCLRTFSNIAENCIRENG >KJB07072 pep chromosome:Graimondii2_0_v6:1:20216081:20219961:1 gene:B456_001G147400 transcript:KJB07072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEEDDIHRAADNGGQYVVKHSDGNDGGYQTSEIASKSAQTVKVQPIEVPSIPADELKEITDNYGTNSLIGEGSYGRVYYGVLKSGQHAAIKKLDASKQPDDEFLSQVSMVSRLKHENFVQLLGYCVDGSSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAARGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDQRLGGDYPSKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARPGPVETPST >KJB07073 pep chromosome:Graimondii2_0_v6:1:20217264:20219117:1 gene:B456_001G147400 transcript:KJB07073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEEDDIHRAADNGGQYVVKHSDGNDGGYQTSEIASKSAQTVKVQPIEVPSIPADELKEITDNYGTNSLIGEGSYGRVYYGVLKSGQHAAIKKLDASKQPDDEFLSQVSMVSRLKHENFVQLLGYCVDGSSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAARGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWVLFLLIIF >KJB07074 pep chromosome:Graimondii2_0_v6:1:20216081:20219416:1 gene:B456_001G147400 transcript:KJB07074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEEDDIHRAADNGGQYVVKHSDGNDGGYQTSEIASKSAQTVKVQPIEVPSIPADELKEITDNYGTNSLIGEGSYGRVYYGVLKSGQHAAIKKLDASKQPDDEFLSQVSMVSRLKHENFVQLLGYCVDGSSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAARGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWVLFLLIIF >KJB07075 pep chromosome:Graimondii2_0_v6:1:20216081:20219961:1 gene:B456_001G147400 transcript:KJB07075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEEDDIHRAADNGGQYVVKHSDGNDGGYQTSEIASKSAQTVKVQPIEVPSIPADELKEITDNYGTNSLIGEGSYGRVYYGVLKSGQHAAIKKLDASKQPDDEFLSQVSMVSRLKHENFVQLLGYCVDGSSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAARGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDQRLGGDYPSKAVAKVCLFMDSNTF >KJB07077 pep chromosome:Graimondii2_0_v6:1:20215951:20219961:1 gene:B456_001G147400 transcript:KJB07077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEEDDIHRAADNGGQYVVKHSDGNDGGYQTSEIASKSAQTVKVQPIEVPSIPADELKEITDNYGTNSLIGEGSYGRVYYGVLKSGQHAAIKKLDASKQPDDEFLSQVSMVSRLKHENFVQLLGYCVDGSSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAARGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDQRLGGDYPSKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARPGPVETPST >KJB07076 pep chromosome:Graimondii2_0_v6:1:20216081:20219961:1 gene:B456_001G147400 transcript:KJB07076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEEDDIHRAADNGGQYVVKHSDGNDGGYQTSEIASKSAQTVKVQPIEVPSIPADELKEITDNYGTNSLIGEGSYGRVYYGVLKSGQHAAIKKLDASKQPDDEFLSQVSMVSRLKHENFVQLLGYCVDGSSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAARGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDQRLGGDYPSKAVAKFSACACSSVHTQHPKYTMRGQLEGGDNLLKIPNSSIIHCIRFVHNVSYSLFFSLEYMFMVFQLVSFL >KJB10964 pep chromosome:Graimondii2_0_v6:1:47146002:47153506:-1 gene:B456_001G234100 transcript:KJB10964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLHASAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIESQQLKAREQQQLQMQQLQLMQHRNAPLQRRDPSHPALGGSVNTINSEGMIGQPSASVLAMKMYEERVKHPHSADSETSSALIDANRMALLKTQTNNQVQLLQGSPGNMSAALQQIQSRTPLTTDIKTEVSLGGNPKSLPMDPSSIYGQAILQPKSGLGGAVLNQGVPGLPLRGWPLTGIDQLRPSLGVQMQKPNLQTQNQFVLTSQQQHVLAQAQLQGNLGNSTTFVNAKVGQSVRNNGSICSPVPSSSPKMKMGQMSHSSSQQQDQLQQQQQPSQQLQQNNRKRKQHSASGAANSTGTGNTGPSPSSPPSTHTPGDAITSATSLQHVNSVSKSMMYGADATAGLTSSSNLLEDMDRFDPLDENMESLLSHESDSRDIYGTIKQCPPEHPKESAKGFTFAEVGCIQTRNSEVTCCHFSSDGKVLASAGHDKKPGYCVKAYNSHPSPVMSLDFHPKKTDLFCFCDNDNEIRYFNLNTFSCTRISKGGMAQVRFQPRIGHFLAAASDKVVSIFDVETDRQTLTFQGHSEIVNYICWDANGEYLASVSHNLVKIWSLVTGECIQELGSGGNQFHSCVFHPNYSTLLVIGGISSLELWNMAENKSMTISAHENIISALAQSPVTGMVASASHDSSVKLWK >KJB10967 pep chromosome:Graimondii2_0_v6:1:47146323:47153439:-1 gene:B456_001G234100 transcript:KJB10967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLHASAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIESQQLKAREQQQLQMQQLQLMQHRNAPLQRRDPSHPALGGSVNTINSEGMIGQPSASVLAMKMYEERVKHPHSADSETSSALIDANRMALLKTQTNNQVQLLQGSPGNMSAALQQIQSRTPLTTDIKTEVSLGGNPKSLPMDPSSIYGQAILQPKSGLGGAVLNQGVPGLPLRGWPLTGIDQLRPSLGVQMQKPNLQTQNQFVLTSQQQHVLAQAQLQGNLGNSTTFVNAKVGQSVRNNGSICSPVPSSSPKMKMGQMSHSSSQQQDQLQQQQQPSQQLQQNNRKRKQHSASGAANSTGTGNTGPSPSSPPSTHTPDATAGLTSSSNLLEDMDRFDPLDENMESLLSHESDSRDIYGTIKQCPPEHPKESAKGFTFAEVGCIQTRNSEVTCCHFSSDGKVLASAGHDKKVVLWNMDTLKTESTPEEHKLVITEVRFRPNSSQLATASFDKSVRLWDAANPGYCVKAYNSHPSPVMSLDFHPKKTDLFCFCDNDNEIRYFNLNTFSCTRISKGGMAQVRFQPRIGHFLAAASDKVVSIFDVETDRQTLTFQGHSEIVNYICWDANGEYLASVSHNLVKIWSLVTGECIQELGSGGNQFHSCVFHPNYSTLLVIGGISSLELWNMAENKSMTISAHENIISALAQSPVTGMVASASHDSSVKLWK >KJB10963 pep chromosome:Graimondii2_0_v6:1:47146366:47152846:-1 gene:B456_001G234100 transcript:KJB10963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLHASAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIESQQLKAREQQQLQMQQLQLMQHRNAPLQRRDPSHPALGGSVNTINSEGMIGQPSASVLAMKMYEERVKHPHSADSETSSALIDANRMALLKTQTNNQVQLLQGSPGNMSAALQQIQSRTPLTTDIKTEVSLGGNPKSLPMDPSSIYGQAILQPKSGLGGAVLNQGVPGLPLRGWPLTGIDQLRPSLGVQMQKPNLQTQNQFVLTSQQQHVLAQAQLQGNLGNSTTFVNAKVGQSVRNNGSICSPVPSSSPKMKMGQMSHSSSQQQDQLQQQQQPSQQLQQNNRKRKQHSASGAANSTGTGNTGPSPSSPPSTHTPGDAITSATSLQHVNSVSKSMMYGADATAGLTSSSNLLEDMDRFDPLDENMESLLSHESDSRDIYGTIKQCPPEHPKESAKGFTFAEVGCIQTRNSEVTCCHFSSDGKVLASAGHDKKVVLWNMDTLKTESTPEEHKLVITEVRFRPNSSQLATASFDKSVRLWDAANPGYCVKAYNSHPSPVMSLDFHPKKTDLFCFCDNDNEIRYFNLNTFSCTRISKGGMAQVRFQPRIGHFLAAASDKVVSIFDVETDRQTLTFQGHSEIVNYICWDANGEYLASVSHNLVKIWSLVTGECIQELGSGGNQFHSCVFHPNYSTLLVIGGISSLELWNMAENKSMTISAHENIISALAQSPVTGMVASASHDSSVKLWK >KJB10969 pep chromosome:Graimondii2_0_v6:1:47146366:47152846:-1 gene:B456_001G234100 transcript:KJB10969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLHASAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIESQQLKAREQQQLQMQQLQLMQHRNAPLQRRDPSHPALGGSVNTINSEGMIGQPSASVLAMKMYEERVKHPHSADSETSSALIDANRMALLKTQTNNQVQLLQGSPGNMSAALQQIQSRTPLTTDIKTEVSLGGNPKSLPMDPSSIYGQAILQPKSGLGGAVLNQGVPGLPLRGWPLTMQKPNLQTQNQFVLTSQQQHVLAQAQLQGNLGNSTTFVNAKVGQSVRNNGSICSPVPSSSPKMKMGQMSHSSSQQQDQLQQQQQPSQQLQQNNRKRKQHSASGAANSTGTGNTGPSPSSPPSTHTPGDAITSATSLQHVNSVSKSMMYGADATAGLTSSSNLLEDMDRFDPLDENMESLLSHESDSRDIYGTIKQCPPEHPKESAKGFTFAEVGCIQTRNSEVTCCHFSSDGKVLASAGHDKKVVLWNMDTLKTESTPEEHKLVITEVRFRPNSSQLATASFDKSVRLWDAANPGYCVKAYNSHPSPVMSLDFHPKKTDLFCFCDNDNEIRYFNLNTFSCTRISKGGMAQVRFQPRIGHFLAAASDKVVSIFDVETDRQTLTFQGHSEIVNYICWDANGEYLASVSHNLVKIWSLVTGECIQELGSGGNQFHSCVFHPNYSTLLVIGGISSLELWNMAENKSMTISAHENIISALAQSPVTGMVASASHDSSVKLWK >KJB10965 pep chromosome:Graimondii2_0_v6:1:47146323:47152891:-1 gene:B456_001G234100 transcript:KJB10965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGVEFIFFSFLQEKKMAQSNWEADKMLDVYIHDYLLKRKLHASAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIESQQLKAREQQQLQMQQLQLMQHRNAPLQRRDPSHPALGGSVNTINSEGMIGQPSASVLAMKMYEERVKHPHSADSETSSALIDANRMALLKTQTNNQVQLLQGSPGNMSAALQQIQSRTPLTTDIKTEVSLGGNPKSLPMDPSSIYGQAILQPKSGLGGAVLNQGVPGLPLRGWPLTGIDQLRPSLGVQMQKPNLQTQNQFVLTSQQQHVLAQAQLQGNLGNSTTFVNAKVGQSVRNNGSICSPVPSSSPKMKMGQMSHSSSQQQDQLQQQQQPSQQLQQNNRKRKQHSASGAANSTGTGNTGPSPSSPPSTHTPGDAITSATSLQHVNSVSKSMMYGADATAGLTSSSNLLEDMDRFDPLDENMESLLSHESDSRDIYGTIKQCPPEHPKESAKGFTFAEVGCIQTRNSEVTCCHFSSDGKVLASAGHDKKVVLWNMDTLKTESTPEEHKLVITEVRFRPNSSQLATASFDKSVRLWDAANPGYCVKAYNSHPSPVMSLDFHPKKTDLFCFCDNDNEIRYFNLNTFSCTRISKGGMAQVRFQPRIGHFLAAASDKVVSIFDVETDRQTLTFQGHSEIVNYICWDANGEYLASVSHNLVKIWSLVTGECIQELGSGGNQFHSCVFHPNYSTLLVIGGISSLELWNMAENKSMTISAHENIISALAQSPVTGMVASASHDSSVKLWK >KJB10968 pep chromosome:Graimondii2_0_v6:1:47146323:47153439:-1 gene:B456_001G234100 transcript:KJB10968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLHASAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIESQQLKAREQQQLQMQQLQLMQHRNAPLQRRDPSHPALGGSVNTINSEGMIGQPSASVLAMKMYEERVKHPHSADSETSSALIDANRMALLKTQTNNQVQLLQGSPGNMSAALQQIQSRTPLTTDIKTEVSLGGNPKSLPMDPSSIYGQAILQPKSGLGGAVLNQGVPGLPLRGWPLTMQKPNLQTQNQFVLTSQQQHVLAQAQLQGNLGNSTTFVNAKVGQSVRNNGSICSPVPSSSPKMKMGQMSHSSSQQQDQLQQQQQPSQQLQQNNRKRKQHSASGAANSTGTGNTGPSPSSPPSTHTPGDAITSATSLQHVNSVSKSMMYGADATAGLTSSSNLLEDMDRFDPLDENMESLLSHESDSRDIYGTIKQCPPEHPKESAKGFTFAEVGCIQTRNSEVTCCHFSSDGKVLASAGHDKKPGYCVKAYNSHPSPVMSLDFHPKKTDLFCFCDNDNEIRYFNLNTFSCTRISKGGMAQVRFQPRIGHFLAAASDKVVSIFDVETDRQTLTFQGHSEIVNYICWDANGEYLASVSHNLVKIWSLVTGECIQELGSGGNQFHSCVFHPNYSTLLVIGGISSLELWNMAENKSMTISAHENIISALAQSPVTGMVASASHDSSVKLWK >KJB10966 pep chromosome:Graimondii2_0_v6:1:47146323:47153187:-1 gene:B456_001G234100 transcript:KJB10966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMKLHASAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIESQQLKAREQQQLQMQQLQLMQHRNAPLQRRDPSHPALGGSVNTINSEGMIGQPSASVLAMKMYEERVKHPHSADSETSSALIDANRMALLKTQTNNQVQLLQGSPGNMSAALQQIQSRTPLTTDIKTEVSLGGNPKSLPMDPSSIYGQAILQPKSGLGGAVLNQGVPGLPLRGWPLTGIDQLRPSLGVQMQKPNLQTQNQFVLTSQQQHVLAQAQLQGNLGNSTTFVNAKVGQSVRNNGSICSPVPSSSPKMKMGQMSHSSSQQQDQLQQQQQPSQQLQQNNRKRKQHSASGAANSTGTGNTGPSPSSPPSTHTPGDAITSATSLQHVNSVSKSMMYGADATAGLTSSSNLLEDMDRFDPLDENMESLLSHESDSRDIYGTIKQCPPEHPKESAKGFTFAEVGCIQTRNSEVTCCHFSSDGKVLASAGHDKKVVLWNMDTLKTESTPEEHKLVITEVRFRPNSSQLATASFDKSVRLWDAANPGYCVKAYNSHPSPVMSLDFHPKKTDLFCFCDNDNEIRYFNLNTFSCTRISKGGMAQVRFQPRIGHFLAAASDKVVSIFDVETDRQTLTFQGHSEIVNYICWDANGEYLASVSHNLVKIWSLVTGECIQELGSGGNQFHSCVFHPNYSTLLVIGGISSLELWNMAENKSMTISAHENIISALAQSPVTGMVASASHDSSVKLWK >KJB08564 pep chromosome:Graimondii2_0_v6:1:9717470:9724185:-1 gene:B456_001G089500 transcript:KJB08564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIATTRCCPDKQVSWFPLNLRVLDWENTHRFPCNLSVRKLVMSNQRLAYLSIDSSRKQHRSPIVCKTFAIHAGLEESSSLQSEDSFTEDERTSEDSPEQLLAKPLSSEELKSLLADSERAKLTKKLSEANQQNRFLKRQLYMKEEALVNFKSELAVMELEIQALVLLAEEISKAGIPQGSRKINGRYIQSHLHTRLEAVLEKLKEQLKDVDAVQSKEIPLFWCGMAESVQVMGSFDGWSQGEHLSPEFTGSFTTFSTTLFLRPGRYEIKFLVDGEWHLSPEYPTIDLDPNHTDQA >KJB08567 pep chromosome:Graimondii2_0_v6:1:9720145:9724185:-1 gene:B456_001G089500 transcript:KJB08567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIATTRCCPDKQVSWFPLNLRVLDWENTHRFPCNLSVRKLVMSNQRLAYLSIDSSRKQHRSPIVCKTFAIHAGLEESSSLQSEDSFTEDERTSEDSPEQLLAKPLSSEELKSLLADSERAKLTKKLSEANQQNRFLKRQLYMKEEALVNFKSELAVMELEIQALVLLAEEISKAGIPQGSRKINGRYIQSHLHTRLEAVLEKLKEQLKDVDAVQSKEIPLFWCGMAESVQVMGSFDGWSQGEHLSPEFTGSFTTFSTTLFLRPGRYNRSL >KJB08566 pep chromosome:Graimondii2_0_v6:1:9719599:9724185:-1 gene:B456_001G089500 transcript:KJB08566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQRLAYLSIDSSRKQHRSPIVCKTFAIHAGLEESSSLQSEDSFTEDERTSEDSPEQLLAKPLSSEELKSLLADSERAKLTKKLSEANQQNRFLKRQLYMKEEALVNFKSELAVMELEIQALVLLAEEISKAGIPQGSRKINGRYIQSHLHTRLEAVLEKLKEQLKDVDAVQSKEIPLFWCGMAESVQVMGSFDGWSQGEHLSPEFTGSFTTFSTTLFLRPGRYEIKFLVDGEWHLSPEYPTIGEGLMQNNLLIVE >KJB08565 pep chromosome:Graimondii2_0_v6:1:9719507:9724205:-1 gene:B456_001G089500 transcript:KJB08565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIATTRCCPDKQVSWFPLNLRVLDWENTHRFPCNLSVRKLVMSNQRLAYLSIDSSRKQHRSPIVCKTFAIHAGLEESSSLQSEDSFTEDERTSEDSPEQLLAKPLSSEELKSLLADSERAKLTKKLSEANQQNRFLKRQLYMKEEALVNFKSELAVMELEIQALVLLAEEISKAGIPQGSRKINGRYIQSHLHTRLEAVLEKLKEQLKDVDAVQSKEIPLFWCGMAESVQVMGSFDGWSQGEHLSPEFTGSFTTFSTTLFLRPGRYEIKFLVDGEWHLSPEYPTIGEGLMQNNLLIVE >KJB08568 pep chromosome:Graimondii2_0_v6:1:9721175:9724185:-1 gene:B456_001G089500 transcript:KJB08568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIATTRCCPDKQVSWFPLNLRVLDWENTHRFPCNLSVRKLVMSNQRLAYLSIDSSRKQHRSPIVCKTFAIHAGLEESSSLQSEDSFTEDERTSEDSPEQLLAKPLSSEELKSLLADSERAKLTKKLSEANQQNRFLKRQLYMKEEALVNFKSELAVMELEIQVNIHFSYFCFFILYICSFPFSYIVDC >KJB10516 pep chromosome:Graimondii2_0_v6:1:39967220:39968780:-1 gene:B456_001G205300 transcript:KJB10516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKKGPWTAEEDKKLFNFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEHEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPLTHKPLTTTQEHHPQQQRDEQKKQASKPDDARSQAPKEPEAETSLKSTITEAKEEEPMNNIGIDGFCTDEVPLIESHEILVRNPAPSISSSSSSSCHSSMFLEELQFSDFEWPCDDYNTSNKELSLWDDDFNIWDFLSNDDTDKKLALDSLSSPLMQCPRMGFDQDSRPYQLL >KJB10972 pep chromosome:Graimondii2_0_v6:1:47205703:47208772:-1 gene:B456_001G234600 transcript:KJB10972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPMVHYMSSVSPHSPKCYHNNQTLALPHSNFLSPTSFLRLKRKTLFSNIQFNKPLAPRPLVYALQSNFFKVIQTVWKVGKDGVEAGTNLVPDSVPRPIARISVSVVVLFVTLFVLKSFLSTAFFALATMGLVYFVFIALNKDQGPRGGSGSESMEDPVEEARKIMEKYK >KJB10975 pep chromosome:Graimondii2_0_v6:1:47207695:47208757:-1 gene:B456_001G234600 transcript:KJB10975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPMVHYMSSVSPHSPKCYHNNQTLALPHSNFLSPTSFLRLKRKTLFSNIQFNKPLAPRPLVYALQSNFFKVIQTVWKVGKDGVEAGTNLVPDSVPRPIARISVSVVVLFVTLFVLKSFLSTAFFALVCFISYFLSI >KJB10973 pep chromosome:Graimondii2_0_v6:1:47205740:47208772:-1 gene:B456_001G234600 transcript:KJB10973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPMVHYMSSVSPHSPKCYHNNQTLALPHSNFLSPTSFLRLKRKTLFSNIQFNKPLAPRPLVYALQSNFFKGLVVSMVFADNHVISFIQTVWKVGKDGVEAGTNLVPDSVPRPIARISVSVVVLFVTLFVLKSFLSTAFFALATMGLVYFVFIALNKDQGPRGGSGSESMEDPVEEARKIMEKYK >KJB10974 pep chromosome:Graimondii2_0_v6:1:47205790:47208630:-1 gene:B456_001G234600 transcript:KJB10974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPMVHYMSSVSPHSPKCYHNNQTLALPHSNFLSPTSFLRLKRKTLFSNIQFNKPLAPRPLVYALQSNFFKVIQTVWKVGKDGVEAGTNLVPVKVQSFCFCDSSVRIKDIVEYTCPYVFEFRTLSQDQSQGSLFQLSFCL >KJB10976 pep chromosome:Graimondii2_0_v6:1:47211995:47215834:1 gene:B456_001G234700 transcript:KJB10976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGMNRQGFPGDRKPDGSDKKDKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPLTKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDSQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >KJB10977 pep chromosome:Graimondii2_0_v6:1:47217493:47218248:-1 gene:B456_001G234800 transcript:KJB10977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLGLQHQGCHGLKNLIKVQRQKERKSHCSFCIALPSALPQFREPWKGVVRYDEEWSLFNLLNCTCY >KJB10014 pep chromosome:Graimondii2_0_v6:1:27669345:27670572:-1 gene:B456_001G180400 transcript:KJB10014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKIVLILVLTCLCIGLAAADWNILNPIWNINERQDSLKNYCESWRINVELNNIREFDVVPQECVAHIKKYMTSSQYDADCQRAIEEVTLYLSRCCSLKGDGKDAWIFDVDDTLISTIPYFKKHGFGGEKVNSSSLEAWMEESKAPALDHTFKLFHDIKDRGMKIFLVSSRKETLRSPTVDNLINVGYHGWSRLFLRYAMQLT >KJB10013 pep chromosome:Graimondii2_0_v6:1:27669341:27670572:-1 gene:B456_001G180400 transcript:KJB10013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKIVLILVLTCLCIGLAAADWNILNPIWNINERQDSLKNYCESWRINVELNNIREFDVVPQECVAHIKKYMTSSQYDADCQRAIEEVTLYLSRCCSLKGDGKDAWIFDVDDTLISTIPYFKKHGFGGEKVNSSSLEAWMEESKAPALDHTFKLFHDIKDRGMKIFLVSSRKETLRSPTVDNLINVGYHGWSRLFLRGFEDEYMHVEQYKSQVRKTLMDQGYRIWGIVGDQWSSLKGLPEAKRTFKLPNSIYYMS >KJB11403 pep chromosome:Graimondii2_0_v6:1:53050422:53052925:-1 gene:B456_001G256800 transcript:KJB11403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYTEIGKKARDLLYKDYQTDQKFTLTTSSPTGVAITSAGTKKGDLFLADVNTQLKSRNVTTDIKVDTSSNLFTTITVDEPAPGLKAIFGFRVPDQRSGKIELQYLHEYAGISSSIGLTANPIVNFSGVLGTNVLALGTDISFDTKTGNFTKCNAGFSFTNADLIASLALYVGDSVNASYYHIVNLSTNTVVGAEVTHSFSTNVNTITVGTQHALDPSTTIKARVNNAGKASALIQHEWRPKSLFTISGEVDTKSIDKSPKVGLALALKP >KJB11405 pep chromosome:Graimondii2_0_v6:1:53050738:53052003:-1 gene:B456_001G256800 transcript:KJB11405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLISKWTPAPMQLFTTITVDEPAPGLKAIFGFRVPDQRSGKIELQYLHEYAGISSSIGLTANPIVNFSGVLGTNVLALGTDISFDTKTGNFTKCNAGFSFTNADLIASLALNEKGDSVNASYYHIVNLSTNTVVGAEVTHSFSTNVNTITVGTQHALDPSTTIKARVNNAGKASALIQHEWRPKSLFTISGEVDTKSIDKSPKVGLALALKP >KJB11404 pep chromosome:Graimondii2_0_v6:1:53050422:53052925:-1 gene:B456_001G256800 transcript:KJB11404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYTEIGKKARDLLYKDYQTDQKFTLTTSSPTGAITSAGTKKGDLFLADVNTQLKSRNVTTDIKVDTSSNLFTTITVDEPAPGLKAIFGFRVPDQRSGKIELQYLHEYAGISSSIGLTANPIVNFSGVLGTNVLALGTDISFDTKTGNFTKCNAGFSFTNADLIASLALNEKGDSVNASYYHIVNLSTNTVVGAEVTHSFSTNVNTITVGTQHALDPSTTIKARVNNAGKASALIQHEWRPKSLFTISGEVDTKSIDKSPKVGLALALKP >KJB11402 pep chromosome:Graimondii2_0_v6:1:53050402:53052940:-1 gene:B456_001G256800 transcript:KJB11402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYTEIGKKARDLLYKDYQTDQKFTLTTSSPTGVAITSAGTKKGDLFLADVNTQLKSRNVTTDIKVDTSSNLFTTITVDEPAPGLKAIFGFRVPDQRSGKIELQYLHEYAGISSSIGLTANPIVNFSGVLGTNVLALGTDISFDTKTGNFTKCNAGFSFTNADLIASLALNEKGDSVNASYYHIVNLSTNTVVGAEVTHSFSTNVNTITVGTQHALDPSTTIKARVNNAGKASALIQHEWRPKSLFTISGEVDTKSIDKSPKVGLALALKP >KJB09192 pep chromosome:Graimondii2_0_v6:1:16327225:16330243:1 gene:B456_001G129000 transcript:KJB09192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSGKHEAERYAVVTGANKGIGFEIVRQLASNGVAVVLTARNKVRGNEATAKLHQLGLSNVVFHQLNVLDQASVESLADFLSQKFGRLDILVNNAGASGAIVDEDELKALGIDSTAWLSGKVAKMVQSVMKYTYEEAEVCLNTNYYGVQRVTETLLPLLQLSSSGARIVNVSSLRSELKRVPGESIRNELRDIENLTEEKLDGILQIFLKDFKDNALEANGWPLMLPAYSMSKVVLNVTQEF >KJB09191 pep chromosome:Graimondii2_0_v6:1:16327534:16329026:1 gene:B456_001G129000 transcript:KJB09191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSGKHEAERYAVVTGANKGIGFEIVRQLASNGVAVVLTARNKVRGNEATAKLHQLGLSNVVFHQLNVLDQASVESLADFLSQKFGRLDILVNNAGASGAIVDEDELKALGIDSTAWLSGKVAKMVQSVMKYTYEEAEVCLNTNYYGVQRVTETLLPLLQLSSSGARIVNVSSLRSELKKVRKRPMKWYIMASQLHNGKLQIL >KJB09194 pep chromosome:Graimondii2_0_v6:1:16327419:16330243:1 gene:B456_001G129000 transcript:KJB09194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDSSRLAEMENSGKHEAERYAVVTGANKGIGFEIVRQLASNGVAVVLTARNKVRGNEATAKLHQLGLSNVVFHQLNVLDQASVESLADFLSQKFGRLDILVNNAGASGAIVDEDELKALGIDSTAWLSGKVAKMVQSVMKYTYEEAEVCLNTNYYGVQRVTETLLPLLQLSSSGARIVNVSSLRSELKKVRKRPMKWYIMASQLHNGKLQIL >KJB09195 pep chromosome:Graimondii2_0_v6:1:16327419:16330243:1 gene:B456_001G129000 transcript:KJB09195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDSSRLAEMENSGKHEAERYAVVTGANKGIGFEIVRQLASNGVAVVLTARNKVRGNEATAKLHQLGLSNVVFHQLNVLDQASVESLADFLSQKFGRLDILVNNAGASGAIVDEDELKALGIDSTAWLSGKVAKMVQSVMKYTYEEAEVCLNTNYYGVQRVTETLLPLLQLSSSGARIVNVSSLRSELKRVPGESIRNELRDIENLTEEKLDGILQIFLKDFKDNALEANGWPLMLPAYSMSKVVLNVTQEF >KJB09198 pep chromosome:Graimondii2_0_v6:1:16327419:16330243:1 gene:B456_001G129000 transcript:KJB09198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDSSRLAEMENSGKHEAERYAVVTGANKGIGFEIVRQLASNGVAVVLTARNKVRGNEATAKLHQLGLSNVVFHQLNVLDQASVESLADFLSQKFGRLDILVNNAGASGAIVDEDELKALGIDSTAWGRWQRWSKA >KJB09196 pep chromosome:Graimondii2_0_v6:1:16327419:16330243:1 gene:B456_001G129000 transcript:KJB09196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDSSRLAEMENSGKHEAERYAVVTGANKGIGFEIVRQLASNGVAVVLTARNKVRGNEATAKLHQLGLSNVVFHQLNVLDQASVESLADFLSQKFGRLDILVRIPVTQFGMNYECRCKYPIQRSSKATLIACILTT >KJB09197 pep chromosome:Graimondii2_0_v6:1:16327501:16328012:1 gene:B456_001G129000 transcript:KJB09197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDSSRLAEMENSGKHEAERYAVVTGANKGIGFEIVRQLASNGVAVVLTARNKVRGNEATAKLHQLGLSNVVFHQLNVLDQASVESLADFLSQKFGRLDILVRIPVTQFGMNYECRCKYPIQRSSKATLIACILTT >KJB09193 pep chromosome:Graimondii2_0_v6:1:16327419:16330243:1 gene:B456_001G129000 transcript:KJB09193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDSSRLAEMENSGKHEAERYAVVTGANKGIGFEIVRQLASNGVAVVLTARNKVRGNEATAKLHQLGLSNVVFHQLNVLDQASVESLADFLSQKFGRLDILVNNAGASGAIVDEDELKALGIDSTAWGRWQRWSKA >KJB11317 pep chromosome:Graimondii2_0_v6:1:52481045:52483448:1 gene:B456_001G253400 transcript:KJB11317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNGQRGSSTPSAMLATLLSRRAKLQEELGNIERQVYDMETSYLQDPGQCGNVLKGFEGFLSSSNKNTALSKRSRKFQPEDRLFSLSSVASPVAEEVATARDGEPVICRGKPKKGRGREAKKMRHFSEPDYDYDDDPDVTL >KJB11316 pep chromosome:Graimondii2_0_v6:1:52481045:52483048:1 gene:B456_001G253400 transcript:KJB11316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNGQRGSSTPSAMLATLLSRRAKLQEELGNIERQVYDMETSYLQDPGQCGNVLKGFEGFLSSSNKNTALHLLKLICSSKRSRKFQPEDRLFSLSSVASPVAEEVATARDGEPVICRGKPKKGRGREAKKMRHFSEPDYDYDDDPDVTL >KJB11318 pep chromosome:Graimondii2_0_v6:1:52481146:52483048:1 gene:B456_001G253400 transcript:KJB11318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVTIHSSFFLGQRGSSTPSAMLATLLSRRAKLQEELGNIERQVYDMETSYLQDPGQCGNVLKGFEGFLSSSNKNTALSKRSRKFQPEDRLFSLSSVASPVAEEVATARDGEPVICRGKPKKGRGREAKKMRHFSEPDYDYDDDPDVTL >KJB11315 pep chromosome:Graimondii2_0_v6:1:52480998:52483349:1 gene:B456_001G253400 transcript:KJB11315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATLLSRRAKLQEELGNIERQVYDMETSYLQDPGQCGNVLKGFEGFLSSSNKNTALSKRSRKFQPEDRLFSLSSVASPVAEEVATARDGEPVICRGKPKKGRGREAKKMRHFSEPDYDYDDDPDVTL >KJB07949 pep chromosome:Graimondii2_0_v6:1:5315078:5318244:1 gene:B456_001G055000 transcript:KJB07949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIQQSDDQLNGVVPPSVAVVSSDTVGSKRQRRPSVRLGDIGGDQSYDSHTRRPTASSAVSAASKQWKNQPHHSLNTSVGGLNSKSSKTRTVPNLAAADTTNNDTFDDEREAKENNNNLDGVTIGSWRVKDIKKRGPAIKRIRSNWVPKFDNSSGGNANINGENNTEDKYSGGEDNDGFDMENSESPIKEQSPIHCLDNLGIHRRRPVHEDGVRIWLNNLGLGRYAPLFEIHEVDDEVLPLLTLEDLKDMGINAVGSRRKMFCAIQKLSKGFS >KJB07950 pep chromosome:Graimondii2_0_v6:1:5314999:5317972:1 gene:B456_001G055000 transcript:KJB07950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NENTCYSPKHHFHSVSPLHLYLSFSFPPIAPPNPPLMADIQQSDDQLNGVVPPSVAVVSSDTVGSKRQRRPSVRLGDIGGDQSYDSHTRRPTASSAVSAASKQWKNQPHHSLNTSVGGLNSKSSKTRTVPNLAAADTTNNDTFDDEREAKENNNNLDGVTIGSWRVKDIKKRGPAIKRIRSNWVPKFDNSSGGNANINGENNTEDKYSGGEDNDGFDMENSESPIKEQSPIHCLDNLGIHRRRPVHEDGVRIWLNNLGLGRYAPLFEIHEVDDEVLPLLTLEDLKDMGINAVGSRRKMFCAIQKLSKGFS >KJB06488 pep chromosome:Graimondii2_0_v6:1:2223732:2225454:1 gene:B456_001G023700 transcript:KJB06488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLVVGAILLLLSLTGIVSAGRDVTGDILRLPSEANKFFHGGDDDEVEGTRWAVLIAGSNGYWNYRHQADVCHAYQLLRNGGLKEENIIVFMYDDIAYNEENPRPGIIINNPHGDDVYKGVPKDYTGENVTVNNFFAAILGNKSALTGGSGKVVNSGPNDHIFIYYSDHGGPGVLGMPTLPYLYADDLIDVLKKKHASGTYKSLVFYLEACESGSIFEGLLPEGLNIYATTAANAVESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDIHNLRKETLHQQYEFVRLF >KJB06489 pep chromosome:Graimondii2_0_v6:1:2223732:2226770:1 gene:B456_001G023700 transcript:KJB06489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLVVGAILLLLSLTGIVSAGRDVTGDILRLPSEANKFFHGGDDDEVEGTRWAVLIAGSNGYWNYRHQADVCHAYQLLRNGGLKEENIIVFMYDDIAYNEENPRPGIIINNPHGDDVYKGVPKDYTGENVTVNNFFAAILGNKSALTGGSGKVVNSGPNDHIFIYYSDHGGPGVLGMPTLPYLYADDLIDVLKKKHASGTYKSLVFYLEACESGSIFEGLLPEGLNIYATTAANAVESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSSDIHNLRKETLHQQYEFVKRRTINGNSAYGSHVMQFGDIGISMDNLFTYLGTNPANDNFKFIDENSLLPPTKAVNQRDADLVHFWDKYRKAPDGSVRKVEAQKQVMEAMSHRMHVDNSIQLIGKLLFGVERGPEVLNTVRPTGQPLVDDWKCLKKMVRTFETHCGSLAQYGMKHMRSLANICNAGIETEKMGEASAQACVNIPSGHWGSVEKGFSA >KJB06490 pep chromosome:Graimondii2_0_v6:1:2223732:2226770:1 gene:B456_001G023700 transcript:KJB06490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLVVGAILLLLSLTGIVSAGRDVTGDILRLPSEANKFFHGGDDDEVEGTRWAVLIAGSNGYWNYRHQADVCHAYQLLRNGGLKEENIIVFMYDDIAYNEENPRPGIIINNPHGDDVYKGVPKDYTGENVTVNNFFAAILGNKSALTGGSGKVVNSGPNDHIFIYYSDHGGPGVLGMPTLPYLYADDLIDVLKKKHASGTYKSLVFYLEACESGSIFEGLLPEGLNIYATTAANAVESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDIHNLRKETLHQQYEFVKRRTINGNSAYGSHVMQFGDIGISMDNLFTYLGTNPANDNFKFIDENSLLPPTKAVNQRDADLVHFWDKVCILCLLHRKLL >KJB06487 pep chromosome:Graimondii2_0_v6:1:2223687:2226775:1 gene:B456_001G023700 transcript:KJB06487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLVVGAILLLLSLTGIVSAGRDVTGDILRLPSEANKFFHGGDDDEVEGTRWAVLIAGSNGYWNYRHQADVCHAYQLLRNGGLKEENIIVFMYDDIAYNEENPRPGIIINNPHGDDVYKGVPKDYTGENVTVNNFFAAILGNKSALTGGSGKVVNSGPNDHIFIYYSDHGGPGVLGMPTLPYLYADDLIDVLKKKHASGTYKSLVFYLEACESGSIFEGLLPEGLNIYATTAANAVESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDIHNLRKETLHQQYEFVKRRTINGNSAYGSHVMQFGDIGISMDNLFTYLGTNPANDNFKFIDENSLLPPTKAVNQRDADLVHFWDKYRKAPDGSVRKVEAQKQVMEAMSHRMHVDNSIQLIGKLLFGVERGPEVLNTVRPTGQPLVDDWKCLKKMVRTFETHCGSLAQYGMKHMRSLANICNAGIETEKMGEASAQACVNIPSGHWGSVEKGFSA >KJB10512 pep chromosome:Graimondii2_0_v6:1:39871690:39875923:-1 gene:B456_001G204900 transcript:KJB10512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPYHVADDILAVHLLSIFITCFYVPCFVFLLALPSLFFTLFSHLFLIILLALLSLSLIFLQHCPTFFPVVSIFLSSLFFSIVVSSLQLWVSFVSPENSEMAWLLILVLVFAVSQATADEEPYIGVNIGTDLSIMPHPTQVVALLKAQQIRHIRLYDADRGMLVALANSGIRVMVSIPNEQLLGIGQSNSTAANWVSRNIVAHYPATNITAICVGSEVLTTLPNAARILVNAMKFIHSALVASNLDNQIKVSSPLASSIILDSFPPSQAFFNRSWNPVLVPMLNFLQSTGSYLMLNIYPYYDYMQSNGVIPLDYALFKPLSPTKEAVDANTLVHYSNVFDAMVDATYFAMAFLNFTNIPVIVTETGWPSKGDSNEPDATMENANTYNSNLIRHVMNKTGTPKRPGIAVSTYIYELYNEDLKPGPSSEKNWGLFDANGDPIYILRLTDSGSLLANDTTNQTYCTAKEGADPKMLQAALDWACGPGKVDCSPLLQGKPCYEPDNVIAHATYAFDTYYHRMGKTSDACDFNGVADITTTDPSHGSCIFPGSLGRNGTMVNITAPSMNSTTSDSPGWSIYGRGGFGSMLLVIKVMVWSLILL >KJB10513 pep chromosome:Graimondii2_0_v6:1:39872393:39875923:-1 gene:B456_001G204900 transcript:KJB10513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPYHVADDILAVHLLSIFITCFYVPCFVFLLALPSLFFTLFSHLFLIILLALLSLSLIFLQHCPTFFPVVSIFLSSLFFSIVVSSLQLWVSFVSPENSEMAWLLILVLVFAVSQATADEEPYIGVNIGTDLSIMPHPTQVVALLKAQQIRHIRLYDADRGMLVALANSGIRVMVSIPNEQLLGIGQSNSTAANWVSRNIVAHYPATNITAICVGSEVLTTLPNAARILVNAMKFIHSALVASNLDNQIKVSSPLASSIILDSFPPSQAFFNRSWNPVLVPMLNFLQSTGSYLMLNIYPYYDYMQSNGVIPLDYALFKPLSPTKEAVDANTLVHYSNVFDAMVDATYFAMAFLNFTNIPVIVTETGWPSKGDSNEPDATMENANTYNSNLIRHVMNKTGTPKRPGIAVSTYIYELYNEDLKPGPSSEKNWGLFDANGDPIYILRLTDSGSLLANDTTNQTYCTAKEGADPKMLQAALDWACGPGKVDCSPLLQGKPCYEPDNVIAHATYAFDTYYHRMGKTSDACDFNGVADITTTDPSKMNQYHASHA >KJB07546 pep chromosome:Graimondii2_0_v6:1:2753363:2758124:-1 gene:B456_001G029500 transcript:KJB07546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPPQQVDHHSLPPPVAEEPESLLSSLVFDLSQHVQMAMENMLKMINEIDQNSLGIMEEVEKCKESALERKKSLEEEKEKFQKAAYTVLDMLNSRE >KJB07548 pep chromosome:Graimondii2_0_v6:1:2753363:2758150:-1 gene:B456_001G029500 transcript:KJB07548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPPQQVDHHSLPPPVAEEPESLLSSLVFDLSQHVQMAMENMLKMINEIDQNSLGIMEEVEKCKESALERKKSLEEEKEKFQKAAYTVLDMLNSRE >KJB07547 pep chromosome:Graimondii2_0_v6:1:2753270:2758181:-1 gene:B456_001G029500 transcript:KJB07547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPPQQVDHHSLPPPVAEEPESLLSSLVFDLSQHVQMAMENMLKMINEIDQNSLGIMEEVEKCKESALERKKSLEEEKEKFQKAAYTVLDMLNSRE >KJB09448 pep chromosome:Graimondii2_0_v6:1:19317832:19319256:-1 gene:B456_001G142900 transcript:KJB09448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEISGTLMASISRDKKKAPHDDHNSLATPHKRKRSAALNVIKVALYMLRLKSSKSKSVQANMVPKVSWKRLLGSMRPMHLQSNQSPPPTIEAKPGFMLEPEFIPVSQPTEEAIATAPWSPMASSDSSFMSQYESPLNQEIHVMEPSEEESWFDDDGGDEMIDAKAEEFIAQFYQQIRLQNLMNQ >KJB10015 pep chromosome:Graimondii2_0_v6:1:27672849:27677716:-1 gene:B456_001G180500 transcript:KJB10015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIHPDISLEEMMKLIEGFVDILVLASGYQSSGLLAHWDSDNIKRAFQWALFFENVFRRLSSLDFYQESIQELDAALSEMTSHPSFPQGLAHLSSVTLRRARSFLSEHLLHNLPLRDSHLRAVITAIVEMDLSDLSQTEHDCLNAYLNNLTLQSRICMRDTSTSSPDLTPTVQTEKSGTDNFTKIALHELFRRQSSVSCVSVIEEGLDVLSNAMRHSSRTDSDSSLFREQMNHETVPALLRADALVDVVTWNRWKSRALSYFLDKRTIRMVSGASLIFSDANAQWKKVFGQLHISDKSSKYDLHQAIELLLLGSIASRWNCIIEHLMSASYDSVTISKQYHVLANSVFEISQSSHQIEEIKKSKEGGILDYLMGLLGGQIHLLLKSSPALAAVSLPFWPTLFRLYLSEIEIQFKGKPSMIRCCSCIQDRNEHKDCELAERIWCLYIFHVCGSQIINGACGA >KJB10020 pep chromosome:Graimondii2_0_v6:1:27673899:27677716:-1 gene:B456_001G180500 transcript:KJB10020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIHPDISLEEMMKLIEGFVDILVLASGYQSSGLLAHWDSDNIKRAFQWALFFENVFRRLSSLDFYQESIQELDAALSEMTSHPSFPQGLAHLSSVTLRRARSFLSEHLLHNLPLRDSHLRAVITAIVEMDLSDLSQTEHDCLNAYLNNLTLQSRICMRDTSTSSPDLTPTVQTEKSGTDNFTKIALHELFRRQSSVSCVSVIEEGLDVLSNAMRHSSRTDSDSSLFREQMNHETVPALLRSADALVDVVTWNRWKSRALSYFLDKRTIRMVSGASLIFSDANAQWKKVFGQLHISDKSSKYDLHQAIELLLLGSIASRWNCIIEHLMSASYDSVTISKQYHVLANSVFEISQSSHQIEEIKKSKEGGILDYLMGLLGGQIHLLLKSSPALAAVSLPFWPTLFRLYLSEIEIQFKGKPSMIRFGICKSNFN >KJB10019 pep chromosome:Graimondii2_0_v6:1:27671099:27677826:-1 gene:B456_001G180500 transcript:KJB10019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIHPDISLEEMMKLIEGFVDILVLASGYQSSGLLAHWDSDNIKRAFQWALFFENVFRRLSSLDFYQESIQELDAALSEMTSHPSFPQGLAHLSSVTLRRARSFLSEHLLHNLPLRDSHLRAVITAIVEMDLSDLSQTEHDCLNAYLNNLTLQSRICMRDTSTSSPDLTPTVQTEKSGTDNFTKIALHELFRRQSSVSCVSVIEEGLDVLSNAMRHSSRTDSDSSLFREQMNHETVPALLRSADALVDVVTWNRWKSRALSYFLDKRTIRMVSGASLIFSDANAQWKKVFGQLHISDKSSKYDLHQAIELLLLGSIASRWNCIIEHLMSASYDSVTISKQYHVLANSVFEISQSSHQIEEIKKSKEGGILDYLMGLLYLSEIEIQFKGKPSMIRFGICKSNFN >KJB10017 pep chromosome:Graimondii2_0_v6:1:27672849:27677716:-1 gene:B456_001G180500 transcript:KJB10017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIHPDISLEEMMKLIEGFVDILVLASGYQSSGLLAHWDSDNIKRAFQWALFFENVFRRLSSLDFYQESIQELDAALSEMTSHPSFPQGLAHLSSVTLRRARSFLSEHLLHNLPLRDSHLRAVITAIVEMDLSDLSQTEHDCLNAYLNNLTLQSRICMRDTSTSSPDLTPTVQTEKSGTDNFTKIALHELFRRQSSVSCVSVIEEGLDVLSNAMRHSSRTDSDSSLFREQMNHETVPALLRSADALVDVVTWNRWKSRALSYFLDKRTIRMVSGASLIFSDANAQWKKVFGQLHISDKSSKYDLHQAIELLLLGSIASRWNCIIEHLMSASYDSVTISKQYHVLANSVFEISQSSHQIEEIKKSKEGGILDYLMGLLGGQIHLLLKSSPALAAVSLPFWPTLFRLYLSEIEIQFKGKPSMIRCCSCIQDRNEHKDCELAERIWCLYIFHVCGSQIINGACGA >KJB10021 pep chromosome:Graimondii2_0_v6:1:27671439:27677716:-1 gene:B456_001G180500 transcript:KJB10021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIHPDISLEEMMKLIEGFVDILVLASGYQSSGLLAHWDSDNIKRAFQWALFFENVFRRLSSLDFYQESIQELDAALSEMTSHPSFPQGLAHLSSVTLRRARSFLSEHLLHNLPLRDSHLRAVITAIVEMDLSDLSQTEHDCLNAYLNNLTLQSRICMRDTSTSSPDLTPTVQTEKSGTDNFTKIALHELFRRQSSVSCVSVIEEGLDVLSNAMRHSSRTDSDSSLFREQMNHETVPALLRSADALVDVVTWNRWKSRALSYFLDKRTIRMVSGASLIFSDANAQWKKVFGQLHISDKSSKYDLHQAIELLLLGSIASRWNCIIEHLMSASYDSVTISKQYHVLANSVFEISQSSHQIEEIKKSKEGGILDYLMGLLGGQIHLLLKSSPALAAVSLPFCIYISIPLSLSLYCLADAAVVFKTGMSIKTVSILVLISINSLWMLLYRCELAERIWCLYIFHVCGSQIINGACELKILRMQIVKRIQFLKWEKKIANDEHAVKLIEVERRPTLCCCPKLYALKQFVLKLKSQWRKAMRLQRGSMQFSYDFHSYSLNFDDGFPREHVIH >KJB10016 pep chromosome:Graimondii2_0_v6:1:27671028:27677826:-1 gene:B456_001G180500 transcript:KJB10016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIHPDISLEEMMKLIEGFVDILVLASGYQSSGLLAHWDSDNIKRAFQWALFFENVFRRLSSLDFYQESIQELDAALSEMTSHPSFPQGLAHLSSVTLRRARSFLSEHLLHNLPLRDSHLRAVITAIVEMDLSDLSQTEHDCLNAYLNNLTLQSRICMRDTSTSSPDLTPTVQTEKSGTDNFTKIALHELFRRQSSVSCVSVIEEGLDVLSNAMRHSSRTDSDSSLFREQMNHETVPALLRADALVDVVTWNRWKSRALSYFLDKRTIRMVSGASLIFSDANAQWKKVFGQLHISDKSSKYDLHQAIELLLLGSIASRWNCIIEHLMSASYDSVTISKQYHVLANSVFEISQSSHQIEEIKKSKEGGILDYLMGLLGGQIHLLLKSSPALAAVSLPFWPTLFRLYLSEIEIQFKGKPSMIRCCSCIQDRNEHKDCELAERIWCLYIFHVCGSQIINGACGA >KJB10018 pep chromosome:Graimondii2_0_v6:1:27671099:27677826:-1 gene:B456_001G180500 transcript:KJB10018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIHPDISLEEMMKLIEGFVDILVLASGYQSSGLLAHWDSDNIKRAFQWALFFENVFRRLSSLDFYQESIQELDAALSEMTSHPSFPQGLAHLSSVTLRRARSFLSEHLLHNLPLRDSHLRAVITAIVEMDLSDLSQTEHDCLNAYLNNLTLQSRICMRDTSTSSPDLTPTVQTEKSGTDNFTKIALHELFRRQSSVSCVSVIEEGLDVLSNAMRHSSRTDSDSSLFREQMNHETVPALLRSADALVDVVTWNRWKSRALSYFLDKRTIRMVSGASLIFSDANAQWKKVFGQLHISDKSSKYDLHQAIELLLLGSIASRWNCIIEHLMSASYDSVTISKQYHVLANSVFEISQSSHQIEEIKKSKEGGILDYLMGLLGGQIHLLLKSSPALAAVSLPFWPTLFRLYLSEIEIQFKGKPSMIRCCSCIQDRNEHKDCELAERIWCLYIFHVCGSQIINGACGA >KJB06713 pep chromosome:Graimondii2_0_v6:1:6358298:6361734:1 gene:B456_001G063800 transcript:KJB06713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCNSVAAADKIQSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYHEAAMIEIEMLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRNNNYRSFPIDLVRDIGRQLLECVAFMHDLRLIHTDLKPENILLVSPECVKVPDYKGTSRFPKGSSYFKRLPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLHQHMLKRVDRHAEKYVRSGRLDWPDGAASRDSMRAVLKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPIDRLTAREALRHPFFSGDKFRR >KJB06718 pep chromosome:Graimondii2_0_v6:1:6358298:6361734:1 gene:B456_001G063800 transcript:KJB06718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESVTEFPHTHMDRRPRKRPRLGWDVTQLPPQAQVGMFYSQEIWNVTSVASTAKAPSDCASTITTSSSVVLNGGVARNGSPPWREDDKDGHYMFELGDNLTSRYKIQSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYHEAAMIEIEMLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRNNNYRSFPIDLVRDIGRQLLECVAFMHDLRLIHTDLKPENILLVSPECVKVPDYKGTSRFPKGSSYFKRLPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLHQHMLKRSTCGEVC >KJB06716 pep chromosome:Graimondii2_0_v6:1:6358317:6361679:1 gene:B456_001G063800 transcript:KJB06716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESVTEFPHTHMDRRPRKRPRLGWDVTQLPPQAQVGMFYSQEIWNVTSVASTAKAPSDCASTITTSSSVVLNGGVARNGSPPWREDDKDGHYMFELGDNLTSRYKIQSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYHEAAMIEIEMLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRNNNYRSFPIDLVRDIGRQLLECVAFMHDLRLIHTDLKPENILLVSPECVKVPDYKGTSRFPKGSSYFKRLPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTVFQENRFIVLWFCKIAK >KJB06722 pep chromosome:Graimondii2_0_v6:1:6359960:6361734:1 gene:B456_001G063800 transcript:KJB06722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIRMVPIVVLILSLVSYSLLLLIFAVMHDLRLIHTDLKPENILLVSPECVKVPDYKGTSRFPKGSSYFKRLPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLHQHMLKRVDRHAEKYVRSGRLDWPDGAASRDSMRAVLKLPRLQVWFCFGRASTIGGGLCWSNRFVQ >KJB06717 pep chromosome:Graimondii2_0_v6:1:6358317:6361679:1 gene:B456_001G063800 transcript:KJB06717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESVTEFPHTHMDRRPRKRPRLGWDVTQLPPQAQVGMFYSQEIWNVTSVASTAKAPSDCASTITTSSSVVLNGGVARNGSPPWREDDKDGHYMFELGDNLTSRYKIQSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYHEAAMIEIEMLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRNNNYRSFPIDLVRDIGRQLLECVAFMHDLRLIHTDLKPENILLVSPECVKVPDYKGTSRFPKGSSYFKRLPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGMHFQVIMAHVSMVLENGHMIIKFFISDFIGLGWSYPCDIWSVGCILVELCTVFQENRFIVLWFCKIAK >KJB06711 pep chromosome:Graimondii2_0_v6:1:6359502:6361527:1 gene:B456_001G063800 transcript:KJB06711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGTFGQVLECWDRERKEMVAIKIVRGIKKYHEAAMIEIEMLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRNNNYRSFPIDLVRDIGRQLLECVAFMHDLRLIHTDLKPENILLVSPECVKVPDYKGTSRFPKGSSYFKRLPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLHQHMLKRVDRHAEKYVRSGRLDWPDGAASRDSMRAVLKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPIDRLTAREALRHPFFSGDKFRR >KJB06721 pep chromosome:Graimondii2_0_v6:1:6359921:6361734:1 gene:B456_001G063800 transcript:KJB06721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLRLIHTDLKPENILLVSPECVKVPDYKGTSRFPKGSSYFKRLPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLHQHMLKRVDRHAEKYVRSGRLDWPDGAASRDSMRAVLKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPIDRLTAREALRHPFFSGDKFRR >KJB06715 pep chromosome:Graimondii2_0_v6:1:6358317:6361679:1 gene:B456_001G063800 transcript:KJB06715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQEIWNVTSVASTAKAPSDCASTITTSSSVVLNGGVARNGSPPWREDDKDGHYMFELGDNLTSRYKIQSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYHEAAMIEIEMLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRNNNYRSFPIDLVRDIGRQLLECVAFMHDLRLIHTDLKPENILLVSPECVKVPDYKGTSRFPKGSSYFKRLPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLHQHMLKRVDRHAEKYVRSGRLDWPDGAASRDSMRAVLKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPIDRLTAREALRHPFFSGDKFRR >KJB06714 pep chromosome:Graimondii2_0_v6:1:6358296:6361679:1 gene:B456_001G063800 transcript:KJB06714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESVTEFPHTHMDRRPRKRPRLGWDVTQLPPQAQVGMFYSQEIWNVTSVASTAKAPSDCASTITTSSSVVLNGGVARNGSPPWREDDKDGHYMFELGDNLTSRYKIQSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYHEAAMIEIEMLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRNNNYRSFPIDLVRDIGRQLLECVAFMHDLRLIHTDLKPENILLVSPECVKVPDYKGTSRFPKGSSYFKRLPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLHQHMLKRVDRHAEKYVRSGRLDWPDGAASRDSMRAVLKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPIDRLTAREALRHPFFSGDKFRR >KJB06719 pep chromosome:Graimondii2_0_v6:1:6358317:6361679:1 gene:B456_001G063800 transcript:KJB06719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESVTEFPHTHMDRRPRKRPRLGWDVTQLPPQAQVGMFYSQEIWNVTSVASTAKAPSDCASTITTSSSVVLNGGVARNGSPPWREDDKDGHYMFELGDNLTSRYKIQSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYHEAAMIEIEMLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRNNNYRSFPIDLVRDIGRQLLECVAFMHDLRLIHTDLKPENILLVSPECVKVPDYKGTSRFPKGSSYFKRLPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTVFQENRFIVLWFCKIAK >KJB06720 pep chromosome:Graimondii2_0_v6:1:6358317:6361734:1 gene:B456_001G063800 transcript:KJB06720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESVTEFPHTHMDRRPRKRPRLGWDVTQLPPQAQVGMFYSQEIWNVTSVASTAKAPSDCASTITTSSSVVLNGGVARNGSPPWREDDKDGHYMFELGDNLTSRYKIQSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYHEAAMIEIEMLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRNNNYRSFPIDLVRDIGRQLLECVAFMHDLRLIHTDLKPENILLVSPECVKVPDYKGTSRFPKGSSYFKRLPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLHQHMLKRVDRHAEKYVRSGRLDWPDGAASRDSMRAVLKLPRLQVWFCFGRASTIGGGLCWSNRFVQ >KJB06712 pep chromosome:Graimondii2_0_v6:1:6358296:6361734:1 gene:B456_001G063800 transcript:KJB06712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVAIVMHDLRLIHTDLKPENILLVSPECVKVPDYKGTSRFPKGSSYFKRLPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLHQHMLKRVDRHAEKYVRSGRLDWPDGAASRDSMRAVLKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPIDRLTAREALRHPFFSGDKFRR >KJB09930 pep chromosome:Graimondii2_0_v6:1:25951538:25953092:1 gene:B456_001G175600 transcript:KJB09930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQLPPMATVSDSPEDSPNPNPPPSPPSDFPSKSESNAPEQVTPWMDSAVEQALLYQKIIEQNVNDAIKASRSRLSEIRSTSSAHFNLTIESLKDVKSQLDVYEDLAFGKVKEGINIAASNPLITGGAAVGLGFLVLKSMVPRLYMQQWASIKTVSILFVYDENDATM >KJB09929 pep chromosome:Graimondii2_0_v6:1:25951538:25953092:1 gene:B456_001G175600 transcript:KJB09929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQLPPMATVSDSPEDSPNPNPPPSPPSDFPSKSESNAPEQVTPWMDSAVEQALLYQKIIEQNVNDAIKASRSRLSEIRSTSSAHFNLTIESLKDVKSQLDVYEDLAFGKVKEGINIAASNPLITGGAAVGLGFLGQDIYCITRLCVFFRAKR >KJB09928 pep chromosome:Graimondii2_0_v6:1:25951493:25955374:1 gene:B456_001G175600 transcript:KJB09928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQLPPMATVSDSPEDSPNPNPPPSPPSDFPSKSESNAPEQVTPWMDSAVEQALLYQKIIEQNVNDAIKASRSRLSEIRSTSSAHFNLTIESLKDVKSQLDVYEDLAFGKVKEGINIAASNPLITGGAAVGLGFLVLKRPRHLLYYKTLRLFQSEESLISKADIRVKELRQSIDRLKAESEKLERSASVAEDELIRGRTKLRQAGKQIRSVIQSAYKIERQAAGLKDTLGELPSREASRFRSQVSNLASQAKRERNVLTKEVSKISNHGIAV >KJB09931 pep chromosome:Graimondii2_0_v6:1:25951538:25955295:1 gene:B456_001G175600 transcript:KJB09931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQLPPMATVSDSPEDSPNPNPPPSPPSDFPSKSESNAPEQVTPWMDSAVEQALLYQKIIEQNVNDAIKASRSRLSEIRSTSSAHFNLTIESLKDVKSQLDVYEDLAFGKVKEGINIAASNPLITGGAAVGLGFLLLQCPGPRHLLYYKTLRLFQSEESLISKADIRVKELRQSIDRLKAESEKLERSASVAEDELIRGRTKLRQAGKQIRSVIQSAYKIERQAAGLKDTLGELPSREASRFRSQVSNLASQAKRERNVLTKEVSKISNHGIAV >KJB06884 pep chromosome:Graimondii2_0_v6:1:1732813:1736972:1 gene:B456_001G018600 transcript:KJB06884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLCLKPEALDEDHHPQSPNSNTINGNGDCNGGNNGGFILPISWGSSINRYLQWKSGKVWSKSFSAGDDSCNSCSELEDGKSHERSGQETNQQMCNYKYQLEQEVKKLQQQLQEETDLHLALASAVEHCGSPSSSSPGKLPDKAQELLDSIAVLEITVAKLEQEFVSLQCQISQERNERRLAEYHLKHLPFPSTSLFDSSLAYLTEPIARLCNEGEAEQNTDDMHLPEAFIDNNYIVDNLWHHPNRLSEEMVLRMRDIFIFLADSSKLSSSSSSSSVSPASPHCPLANFLASSSDSPVVTSFVSSPSGGDAYDPYGVSNKVDWTFSIGTYSKAIEVSWLSVGKKELEYAAMALKRFRLLVEQLLRVDPSQMSSNEKLAFWVNLYNALIMHAYLAYGVPRNDIKLFSLMQKAAYTIGGHSVSAADIECTILKMNPATYRPQIKYTIDHPEPLLHFALSCGLHSSPAVRIFRPENMNESLKRSMQDYIQASVGISNKGKLLVPKLLHCFAKGMVEDSVLPDWICQFLSPQQASMVKNCLSRNKWRILGARVFSIIPFDSRFRFLFLLDDKSSQLSKSKV >KJB06892 pep chromosome:Graimondii2_0_v6:1:1733440:1736924:1 gene:B456_001G018600 transcript:KJB06892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNYKYQLEQEDLYLILMQHATTWVIQVKKLQQQLQEETDLHLALASAVEHCGSPSSSSPGKLPDKAQELLDSIAVLEITVAKLEQEFVSLQCQISQERNERRLAEYHLKHLPFPSTSLFDSSLAYLTEPIARLCNEGEAEQNTDDMHLPEAFIDNNYIVDNLWHHPNRLSEEMVLRMRDIFIFLADSSKLSSSSSSSSVSPASPHCPLANFLASSSDSPVVTSFVSSPSGGDAYDPYGVSNKVDWTFSIGTYSKAIEVSWLSVGKKELEYAAMALKRFRLLVEQLLRVDPSQMSSNEKLAFWVNLYNALIMHAYLAYGVPRNDIKLFSLMQKAAYTIGGHSVSAADIECTILKMNPATYRPQIAAVFALQKFKASAELQKYTIDHPEPLLHFALSCGLHSSPAVRIFRPENMNESLKRSMQDYIQASVGISNKGKLLVPKLLHCFAKGMVEDSVLPDWICQFLSPQQASMVKNCLSRNKWRILGARVFSIIPFDSRFRFLFLLDDKSSQLSKSKV >KJB06885 pep chromosome:Graimondii2_0_v6:1:1732813:1736972:1 gene:B456_001G018600 transcript:KJB06885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLCLKPEALDEDHHPQSPNSNTINGNGDCNGGNNGGFILPISWGSSINRYLQWKSGKVWSKSFSAGDDSCNSCSELEVKKLQQQLQEETDLHLALASAVEHCGSPSSSSPGKLPDKAQELLDSIAVLEITVAKLEQEFVSLQCQISQERNERRLAEYHLKHLPFPSTSLFDSSLAYLTEPIARLCNEGEAEQNTDDMHLPEAFIDNNYIVDNLWHHPNRLSEEMVLRMRDIFIFLADSSKLSSSSSSSSVSPASPHCPLANFLASSSDSPVVTSFVSSPSGGDAYDPYGVSNKVDWTFSIGTYSKAIEVSWLSVGKKELEYAAMALKRFRLLVEQLLRVDPSQMSSNEKLAFWVNLYNALIMHAYLAYGVPRNDIKLFSLMQKAAYTIGGHSVSAADIECTILKMNPATYRPQIKFKASAELQKYTIDHPEPLLHFALSCGLHSSPAVRIFRPENMNESLKRSMQDYIQASVGISNKGKLLVPKLLHCFAKGMVEDSVLPDWICQFLSPQQASMVKNCLSRNKWRILGARVFSIIPFDSRFRFLFLLDDKSSQLSKSKV >KJB06890 pep chromosome:Graimondii2_0_v6:1:1733151:1736924:1 gene:B456_001G018600 transcript:KJB06890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNYKYQLEQEVKKLQQQLQEETDLHLALASAVEHCGSPSSSSPGKLPDKAQELLDSIAVLEITVAKLEQEFVSLQCQISQERNERRLAEYHLKHLPFPSTSLFDSSLAYLTEPIARLCNEGEAEQNTDDMHLPEAFIDNNYIVDNLWHHPNRLSEEMVLRMRDIFIFLADSSKLSSSSSSSSVSPASPHCPLANFLASSSDSPVVTSFVSSPSGGDAYDPYGVSNKVDWTFSIGTYSKAIEVSWLSVGKKELEYAAMALKRFRLLVEQLLRVDPSQMSSNEKLAFWVNLYNALIMHAYLAYGVPRNDIKLFSLMQKAAYTIGGHSVSAADIECTILKMNPATYRPQIKFKASAELQKYTIDHPEPLLHFALSCGLHSSPAVRIFRPENMNESLKRSMQDYIQASVGISNKGKLLVPKLLHCFAKGMVEDSVLPDWICQFLSPQQASMVKNCLSRNKWRILGARVFSIIPFDSRFRFLFLLDDKSSQLSKSKV >KJB06893 pep chromosome:Graimondii2_0_v6:1:1734703:1736924:1 gene:B456_001G018600 transcript:KJB06893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLPEAFIDNNYIVDNLWHHPNRLSEEMVLRMRDIFIFLADSSKLSSSSSSSSVSPASPHCPLANFLASSSDSPVVTSFVSSPSGGDAYDPYGVSNKVDWTFSIGTYSKAIEVSWLSVGKKELEYAAMALKRFRLLVEQLLRVDPSQMSSNEKLAFWVNLYNALIMHAYLAYGVPRNDIKLFSLMQKAAYTIGGHSVSAADIECTILKMNPATYRPQIAAVFALQKFKASAELQKYTIDHPEPLLHFALSCGLHSSPAVRIFRPENMNESLKRSMQDYIQASVGISNKGKLLVPKLLHCFAKGMVEDSVLPDWICQFLSPQQASMVKNCLSRNKWRILGARVFSIIPFDSRFRFLFLLDDKSSQLSKSKV >KJB06889 pep chromosome:Graimondii2_0_v6:1:1733151:1736924:1 gene:B456_001G018600 transcript:KJB06889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNYKYQLEQEVKKLQQQLQEETDLHLALASAVEHCGSPSSSSPGKLPDKAQELLDSIAVLEITVAKLEQEFVSLQCQISQERNERRLAEYHLKHLPFPSTSLFDSSLAYLTEPIARLCNEGEAEQNTDDMHLPEAFIDNNYIVDNLWHHPNRLSEEMVLRMRDIFIFLADSSKLSSSSSSSSVSPASPHCPLANFLASSSDSPVVTSFVSSPSGGDAYDPYGVSNKVDWTFSIGTYSKAIEVSWLSVGKKELEYAAMALKRFRLLVEQLLRVDPSQMSSNEKLAFWVNLYNALIMHAYLAYGVPRNDIKLFSLMQKAAYTIGGHSVSAADIECTILKMNPATYRPQIAAVFALQKFKASAELQKYTIDHPEPLLHFALSCGLHSSPAVRIFRPENMNESLKRSMQDYIQASVGISNKGKLLVPKLLHCFAKGMVEDSVLPDWICQFLSPQQASMVKNCLSRNKWRILGARVFSIIPFDSRFRFLFLLDDKSSQLSKSKV >KJB06888 pep chromosome:Graimondii2_0_v6:1:1733108:1736944:1 gene:B456_001G018600 transcript:KJB06888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLCLKPEALDEDHHPQSPNSNTINGNGDCNGGNNGGFILPISWGSSINRYLQWKSGKVWSKSFSAGDDSCNSCSELEDGKSHERSGQETNQQMCNYKYQLEQEVKKLQQQLQEETDLHLALASAVEHCGSPSSSSPGKLPDKAQELLDSIAVLEITVAKLEQEFVSLQCQISQERNERRLAEYHLKHLPFPSTSLFDSSLAYLTEPIARLCNEGEAEQNTDDMHLPEAFIDNNYIVDNLWHHPNRLSEEMVLRMRDIFIFLADSSKLSSSSSSSSVSPASPHCPLANFLASSSDSPVVTSFVSSPSGGDAYDPYGVSNKVDWTFSIGTYSKAIEVSWLSVGKKELEYAAMALKRFRLLVEQLLRVDPSQMSSNEKLAFWVNLYNALIMHAYLAYGVPRNDIKLFSLMQKAAYTIGGHSVSAADIECTILKMNPATYRPQIAAVFALQKFKASAELQKYTIDHPEPLLHFALSCGLHSSPAVRIFRPENMNESLKRSMQDYIQASVGISNKGKLLVPKLLHCFAKGMVEDSVLPDWICQFLSPQQASMVKNCLSRNKWRILGARVFSIIPFDSRFRFLFLLDDKSSQLSKSKV >KJB06886 pep chromosome:Graimondii2_0_v6:1:1732813:1736972:1 gene:B456_001G018600 transcript:KJB06886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLCLKPEALDEDHHPQSPNSNTINGNGDCNGGNNGGFILPISWGSSINRYLQWKSGKVWSKSFSAGDDSCNSCSELEVKKLQQQLQEETDLHLALASAVEHCGSPSSSSPGKLPDKAQELLDSIAVLEITVAKLEQEFVSLQCQISQERNERRLAEYHLKHLPFPSTSLFDSSLAYLTEPIARLCNEGEAEQNTDDMHLPEAFIDNNYIVDNLWHHPNRLSEEMVLRMRDIFIFLADSSKLSSSSSSSSVSPASPHCPLANFLASSSDSPVVTSFVSSPSGGDAYDPYGVSNKVDWTFSIGTYSKAIEVSWLSVGKKELEYAAMALKRFRLLVEQLLRVDPSQMSSNEKLAFWVNLYNALIMHAYLAYGVPRNDIKLFSLMQKAAYTIGGHSVSAADIECTILKMNPATYRPQIAAVFALQKFKASAELQKYTIDHPEPLLHFALSCGLHSSPAVRIFRPENMNESLKRSMQDYIQASVGISNKGKLLVPKLLHCFAKGMVEDSVLPDWICQFLSPQQASMVKNCLSRNKWRILGARVFSIIPFDSRFRFLFLLDDKSSQLSKSKV >KJB06887 pep chromosome:Graimondii2_0_v6:1:1732813:1736972:1 gene:B456_001G018600 transcript:KJB06887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLCLKPEALDEDHHPQSPNSNTINGNGDCNGGNNGGFILPISWGSSINRYLQWKSGKVWSKSFSAGDDSCNSCSELEDGKSHERSGQETNQQMCNYKYQLEQEVKKLQQQLQEETDLHLALASAVEHCGSPSSSSPGKLPDKAQELLDSIAVLEITVAKLEQEFVSLQCQISQERNERRLAEYHLKHLPFPSTSLFDSSLAYLTEPIARLCNEGEAEQNTDDMHLPEAFIDNNYIVDNLWHHPNRLSEEMVLRMRDIFIFLADSSKLSSSSSSSSVSPASPHCPLANFLASSSDSPVVTSFVSSPSGGDAYDPYGVSNKVDWTFSIGTYSKAIEVSWLSVGKKELEYAAMALKRFRLLVEQLLRVDPSQMSSNEKLAFWVNLYNALIMHAYLAYGVPRNDIKLFSLMQKAAYTIGGHSVSAADIECTILKMNPATYRPQIKFKASAELQKYTIDHPEPLLHFALSCGLHSSPAVRIFRPENMNESLKRSMQDYIQASVGISNKGKLLVPKLLHCFAKGMVEDSVLPDWICQFLSPQQASMVKNCLSRNKWRILGARVFSIIPFDSRFRFLFLLDDKSSQLSKSKV >KJB06891 pep chromosome:Graimondii2_0_v6:1:1733810:1735919:1 gene:B456_001G018600 transcript:KJB06891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNYKYQLEQEVKKLQQQLQEETDLHLALASAVEHCGSPSSSSPGKLPDKAQELLDSIAVLEITVAKLEQEFVSLQCQISQERNERRLAEYHLKHLPFPSTSLFDSSLAYLTEPIARLCNEGEAEQNTDDMHLPEAFIDNNYIVDNLWHHPNRLSEEMVLRMRDIFIFLADSSKLSSSSSSSSVSPASPHCPLANFLASSSDSPVVTSFVSSPSGGDAYDPYGVSNKVDWTFSIGTYSKAIEVSWLSVGKKELEYAAMALKRFRLLVEQLLRVDPSQMSSNEKLAFWVNLYNALIMHAYLAYGVPRNDIKLFSLMQKAAYTIGGHSVSAADIECTILKMNPATYRPQIVCIITPFLNSY >KJB09007 pep chromosome:Graimondii2_0_v6:1:14314969:14317030:-1 gene:B456_001G118700 transcript:KJB09007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSSNFIVVFVLFAFVLTVANGQPLVPAMFIFGDSVVDVGNNNNLYTIIKADFPPYGRDFLNHKPTGRFCNGKLASDFTAENIGFTDYPPAYLSKKAKGNNLLIGANFASASSGYYETTAKLYHTLSLSKQLENYKQYQNKLVAIAGKSNASSIVSDGIYLISSGSSDFLQNYYINPLLYESYTPDQFSDVLIESYANFIQNLYKLGARKIGVTTLPPLGCLPAAITVFGSDSNECVAKLNKVAVSFNNKLNATSQRLQTKLPNLKLVVFDIYQSLYNLVTKPADSGTSSFL >KJB09006 pep chromosome:Graimondii2_0_v6:1:14314962:14317030:-1 gene:B456_001G118700 transcript:KJB09006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSSNFIVVFVLFAFVLTVANGQPLVPAMFIFGDSVVDVGNNNNLYTIIKADFPPYGRDFLNHKPTGRFCNGKLASDFTAENIGFTDYPPAYLSKKAKGNNLLIGANFASASSGYYETTAKLYHTLSLSKQLENYKQYQNKLVAIAGKSNASSIVSDGIYLISSGSSDFLQNYYINPLLYESYTPDQFSDVLIESYANFIQNLYKLGARKIGVTTLPPLGCLPAAITVFGSDSNECVAKLNKVAVSFNNKLNATSQRLQTKLPNLKLVVFDIYQSLYNLVTKPADSGFAEARKACCGTGLLETSILCNPKSIGTCANASEYVFWDGFHPSEAANKILADDLLSSGISLIS >KJB10028 pep chromosome:Graimondii2_0_v6:1:27690831:27691031:1 gene:B456_001G181100 transcript:KJB10028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSIPILSFSIDSFPIEMYGFMNLCVYIDLVRGLTKMCKSSICLCHTMSIFLFAYGIATPFGSIH >KJB09912 pep chromosome:Graimondii2_0_v6:1:25474451:25478220:-1 gene:B456_001G174600 transcript:KJB09912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSVTLSQALLSRAISSHASAQSSDHRPSLSTISFPGFSGLKSSIPRASSSRRRLPSRSNQKRQVRAAAVETIGTAAETSLVEKSVNTIRFLSIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFILSAGHGCMLQYALLHLAGYDSVLEEDLKSFRQWGSRTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDNEIVDHYTYVILGDGCQMEGISNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTENVDTRFEGLGWHVIWVKNGNTGYDEIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANSYSVHGSALGAKEVDATRKNLGWPYEPFHVPEDVKAHWSRHTPQGAALEAEWNAKFAEYEQKYKEEAAELKAIITGELPAGWEKALPTYTPESPADATRNLSQQNLNALVKVLPGLLGGSADLASSNMTLLKMYGDFQKDTPEERNVRFGVREHGMGSICNGIALHSPGFIPYCATFFVFTDYMRAAIRISALCEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNVLMLRPADGNETAGAYKVAVLNRKRPSILALSRQKLPQLPGTSIEGVEKGGYIVSDNSSGNNPNVILIGTGSELEIAAKAADELRKEGKTVRVVSFVSWELFDEQSDAYKESVLPSAVSARVSIEAGSTFGWAKIVGSKGKAIGIDRFGASAPAGKIYKEFGLTPEAVVAAAKELC >KJB10635 pep chromosome:Graimondii2_0_v6:1:42428835:42434323:-1 gene:B456_001G212800 transcript:KJB10635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGQHNNASASSSSSLNSSFQDTEDDQAIASILAEEENLHYNAKLGKRLSHLDSIPHTPRVNGEIPDVNDATLDHERLSERLATYGLAELQMEGDGNCQFRALADQLFRNPDYHKHVRRQIVKQLKHSKKLYEGYVPMKYKGYLKKMKKSGEWGDHVTLQAAADRFGAKICLVTSFRDTCYIEIMPKQASPTREIWLSFWSEVHYNSLYASGDVPTRAPRRKHWLF >KJB10634 pep chromosome:Graimondii2_0_v6:1:42428739:42434819:-1 gene:B456_001G212800 transcript:KJB10634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGQHNNASASSSSSLNSSFQDTEDDQAIASILAEEENLHYNAKLGKRLSHLDSIPHTPRVNGEIPDVNDATLDHERLSERLATYGLAELQMEGDGNCQFRALADQLFRNPDYHKHVRRQIVKQLKHSKKLYEGYVPMKYKGYLKKMKKSGEWGDHVTLQAAADRFGAKICLVTSFRDTCYIEIMPKQASPTREIWLSFWSEVHYNSLYASGDVPTRAPRRKHWLF >KJB10636 pep chromosome:Graimondii2_0_v6:1:42428835:42434819:-1 gene:B456_001G212800 transcript:KJB10636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLENDSLIWIPFRLATYGLAELQMEGDGNCQFRALADQLFRNPDYHKHVRRQIVKQLKHSKKLYEGYVPMKYKGYLKKMKKSGEWGDHVTLQAAADRFGAKICLVTSFRDTCYIEIMPKQASPTREIWLSFWSEVHYNSLYASGDVPTRAPRRKHWLF >KJB10786 pep chromosome:Graimondii2_0_v6:1:45735246:45741161:1 gene:B456_001G224300 transcript:KJB10786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSNATLCLVSFIVFSFLQSPAFAVKRSYVVYLGGHSHGVQSSSIDLDAVTESHYHFLGSFLGGHEHAREAIFYSYTRHINGFAAHLDDEVAAQIARHPKVVSLFLNKGRKLHTTRSWDFLGLDHNGVVPSNSIWNKTRYGEDTIIGNLDTGVWPESKSFSDDGYGPIPSKWKGICQNQKDAGFHCNRKLIGARYFNKGYASVVGKLNSSFDTPRDKEGHGTHTLSTAGGNMVPRASVFGFGKGTAKGGSPRARVAAYKVCWPPVSGNECFDADILAAFDVAIQDGVDVLSVSLGGDSTAFFNDSVAIGSFHAIKHGIVVVCSAGNSGPADGTVSNIAPWQITVGASTMDREFRSVVVLGNNMHYKGQSLSSKVLPDKKFFPLLSAADAKLANASIQNATLCQAGALDPKKVTGKILVCLRGKNARVDKGQQAALAGAVGMILANDFLTGNEIIADAHLLPASHINYTDGLAVFAYINSTKNPMARIMPVTTLIGTKPAPFMAAFSSKGPNTITPEILKPDITAPGVSVIAAYTEAEGPTNEDFDKRRVQFNSVSGTSMSCPHVSGIVGLLKTRYPNWSPAAIKSAIMTSATTLDNANERILNASYIKAGPFSYGSGHIQPNLAVDPGLVYDLSTKDYLNFLCTLGYNDTLISAFSQDNYKCPGSINLANFNYPSITIPNLVGSITVTRTVKNVGTPGTYRAQVQKPVGISVKVKPKKLKFKKVGEEKTFIVSLKVKKGEAIKEYVYGQLVWSDHVHYVRSPIVVKAV >KJB10785 pep chromosome:Graimondii2_0_v6:1:45735138:45741161:1 gene:B456_001G224300 transcript:KJB10785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSNATLCLVSFIVFSFLQSPAFAVKRSYVVYLGGHSHGVQSSSIDLDAVTESHYHFLGSFLGGHEHAREAIFYSYTRHINGFAAHLDDEVAAQIARHPKVVSLFLNKGRKLHTTRSWDFLGLDHNGVVPSNSIWNKTRYGEDTIIGNLDTGVWPESKSFSDDGYGPIPSKWKGICQNQKDAGFHCNRKLIGARYFNKGYASVVGKLNSSFDTPRDKEGHGTHTLSTAGGNMVPRASVFGFGKGTAKGGSPRARVAAYKVCWPPVSGNECFDADILAAFDVAIQDGVDVLSVSLGGDSTAFFNDSVAIGSFHAIKHGIVVVCSAGNSGPADGTVSNIAPWQITVGASTMDREFRSVVVLGNNMHYKGQSLSSKVLPDKKFFPLLSAADAKLANASIQNATLCQAGALDPKKVTGKILVCLRGKNARVDKGQQAALAGAVGMILANDFLTGNEIIADAHLLPASHINYTDGLAVFAYINSTKNRNPMARIMPVTTLIGTKPAPFMAAFSSKGPNTITPEILKPDITAPGVSVIAAYTEAEGPTNEDFDKRRVQFNSVSGTSMSCPHVSGIVGLLKTRYPNWSPAAIKSAIMTSATTLDNANERILNASYIKAGPFSYGSGHIQPNLAVDPGLVYDLSTKDYLNFLCTLGYNDTLISAFSQDNYKCPGSINLANFNYPSITIPNLVGSITVTRTVKNVGTPGTYRAQVQKPVGISVKVKPKKLKFKKVGEEKTFIVSLKVKKGEAIKEYVYGQLVWSDHVHYVRSPIVVKAV >KJB08621 pep chromosome:Graimondii2_0_v6:1:12309409:12311484:-1 gene:B456_001G107900 transcript:KJB08621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDEIRKGPWTEQEDILLANFVHLFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPHEERLVLELHAKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKTAQEKKRAIPISPSSSSSNCHSSSSTVTTVDSLPSSGTGNIVSFYDTGGLDMAGKKNSPEFEDGNGYSMDDIWKDIDMPEEDTIIKPLPDNYSQQGCNFSWEYCWDSLWKMDDEEESKMFFPPNQLVSCFDFGTESVTG >KJB08623 pep chromosome:Graimondii2_0_v6:1:12309741:12310593:-1 gene:B456_001G107900 transcript:KJB08623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYSQSFRFEGVAGDNEIGLNRTGKSCRLRWVNYLHPGLKRGKMTPHEERLVLELHAKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKTAQEKKRAIPISPSSSSSNCHSSSSTVTTVDSLPSSGTGNIVSFYDTGGLDMAGKKNSPEFEDGNGYSMDDIWKDIDMPEEDTIIKPLPDNYSQQGCNFSWEYCWDSLWKMDDEEESKMFFPPNQLVSCFDFGTESVTG >KJB08622 pep chromosome:Graimondii2_0_v6:1:12309409:12310784:-1 gene:B456_001G107900 transcript:KJB08622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPHEERLVLELHAKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKTAQEKKRAIPISPSSSSSNCHSSSSTVTTVDSLPSSGTGNIVSFYDTGGLDMAGKKNSPEFEDGNGYSMDDIWKDIDMPEEDTIIKPLPDNYSQQGCNFSWEYCWDSLWKMDDEEESKMFFPPNQLVSCFDFGTESVTG >KJB09664 pep chromosome:Graimondii2_0_v6:1:22616677:22617370:-1 gene:B456_001G157600 transcript:KJB09664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFLFHLWSCLRRYGLFHFLFLKNFKIRRLAVDAILMAESAERKEHMGIKGLTKLLADNAPKAMKEQKLESYFGRKIAIDASMSIYQFLIVVGRIGTEMLTNEAGEVTKFLIYFSLHSLFLFYLKELSLADSYFIFLPFQLNFHYLVHKMFTWVLLA >KJB09666 pep chromosome:Graimondii2_0_v6:1:22616020:22617509:-1 gene:B456_001G157600 transcript:KJB09666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKLLADNAPKAMKEQKLESYFGRKIAIDASMSIYQFLIVVGRIGTEMLTNEAGEVTKFLIYFSLHSLFLFYLKELSLADSYFIFLPFQLNFHYLVHKMFTWVLLA >KJB09669 pep chromosome:Graimondii2_0_v6:1:22616677:22617370:-1 gene:B456_001G157600 transcript:KJB09669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFLFHLWSCLRRYGLFHFLFLKNFKIRRLAVDAILMAESAERKEHMGIKGLTKLLADNAPKAMKEQKLESYFGRKIAIDASMSIYQFLIVVGRIGTEMLTNEAGEVTKFLIYFSLHSLFLFYLKELSLADSYFIFLPFQLNFHYLVHKMFTWVLLA >KJB09667 pep chromosome:Graimondii2_0_v6:1:22616677:22617229:-1 gene:B456_001G157600 transcript:KJB09667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGLTKLLADNAPKAMKEQKLESYFGRKIAIDASMSIYQFLIVVGRIGTEMLTNEAGEVTKFLIYFSLHSLFLFYLKELSLADSYFIFLPFQLNFHYLVHKMFTWVLLA >KJB09665 pep chromosome:Graimondii2_0_v6:1:22616020:22620164:-1 gene:B456_001G157600 transcript:KJB09665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQKLESYFGRKIAIDASMSIYQFLIVVGRIGTEMLTNEAGEVTKFLIYFSLHSLFLFYLKELSLADSYFIFLPFQLNFHYLVHKMFTWVLLA >KJB09668 pep chromosome:Graimondii2_0_v6:1:22615977:22620164:-1 gene:B456_001G157600 transcript:KJB09668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQKLESYFGRKIAIDASMSIYQFLIVVGRIGTEMLTNEAGEVTKFLIYFSLHSLFLFYLKELSLADSYFIFLPFQLNFHYLVHKMFTWVLLA >KJB06501 pep chromosome:Graimondii2_0_v6:1:45084410:45085053:-1 gene:B456_001G222100 transcript:KJB06501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIIFCDICIKEISNANKFGTHFKRDEWLRKVVKFKNETGKIYSNKQFKNRMESFQWNDRCFKRLLDEMFIGIIAIGDKAWAPSLGILPSDLFEDDDNATPEENEQNAIDDVLMSDDVRHNIDGNLQTNELQPQP >KJB10820 pep chromosome:Graimondii2_0_v6:1:46255764:46256647:1 gene:B456_001G226800 transcript:KJB10820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMPMEFVTDPDDQGSAMEVDDVDTPEIFSEGVHVEVPIPTPNKGEILRKLDCRLVCSLFYNLTNKIIDWFVDLLIQNG >KJB07212 pep chromosome:Graimondii2_0_v6:1:21517650:21519006:-1 gene:B456_001G153300 transcript:KJB07212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMLPNTCTRGPMLERKTRPPEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNRRSILSSSSSPSSSSSSSASAKVPADLKPTSFSHLSSQNPNTHKGQQDLNLGFPPMQPSHGLSQYIQVAKVENNDHQQKYPSASALGLLRTGMASRGLNSFVPAPEPDSTTPYSTGFSMQDYKPALTFSIDGLENRAAGIHGIQENGGRVFFPFGEMKPISTNITNEVDQNKDQGNSAGYWTNGMFGGGGGSW >KJB07213 pep chromosome:Graimondii2_0_v6:1:21517650:21519584:-1 gene:B456_001G153300 transcript:KJB07213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKWSQDFGLVKPMEEMLPNTCTRGPMLERKTRPPEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNRRSILSSSSSPSSSSSSSASAKVPADLKPTSFSHLSSQNPNTHKGQQDLNLGFPPMQPSHGLSQYIQVAKVENNDHQQKYPSASALGLLRTGMASRGLNSFVPAPEPDSTTPYSTGFSMQDYKPALTFSIDGLENRAAGIHGIQENGGRVFFPFGEMKPISTNITNEVDQNKDQGNSAGYWTNGMFGGGGGSW >KJB11178 pep chromosome:Graimondii2_0_v6:1:48600252:48603078:1 gene:B456_001G243900 transcript:KJB11178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDCPKDICAVTDSSTEGVPASQPPSDPDGTTQRSLEELVARAIAPVKREFLRPPPSSRTTQNNPASDANVKQPQANLVQEKKSKRQLKRERRQEQKSPLNLCPEIAKTGDVNACSYKDKCRFSHDIEAFMAQKPADLEGDCPFIKADAPCPYGLACRFAGTHKDNAPAATSNLLKKSSEVNGLNKDVQKLLWKNKMRFTKADVVVKSLGLAGPNWKVKKLVDKEEDEVGLDGSHAADKTDCKKVVDDSVDCSECPSTFPAAVNAEEACETDELRPLKKAKLVVDEKSSDEGEGATVLEKDNEKNSAETEPADNVLAEPDRSPKISNLKDATVLEKYNEKKSAETEPADDVHAEPDKSLKIHPREKMLIDFREKLYLAPLTTVGNLPFRRVCKTLGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDTIARTVELIEKECTVDFIDINMGCPIDIVVDKGAGSFLLTKPLRMKGIIQAASGTVDKPITVKVRTGYFGGKNRIDSLIADIGSWGATALTIHGRSRQQRYSKLADWDYIYQCAQKAPCTLQVLGNGDIFSYLDWNNRKTDCPELSTCMIARGALIKVCI >KJB11177 pep chromosome:Graimondii2_0_v6:1:48600046:48604621:1 gene:B456_001G243900 transcript:KJB11177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDCPKDICAVTDSSTEGVPASQPPSDPDGTTQRSLEELVARAIAPVKREFLRPPPSSRTTQNNPASDANVKQPQANLVQEKKSKRQLKRERRQEQKSPLNLCPEIAKTGDVNACSYKDKCRFSHDIEAFMAQKPADLEGDCPFIKADAPCPYGLACRFAGTHKDNAPAATSNLLKKSSEVNGLNKDVQKLLWKNKMRFTKADVVVKSLGLAGPNWKVKKLVDKEEDEVGLDGSHAADKTDCKKVVDDSVDCSECPSTFPAAVNAEEACETDELRPLKKAKLVVDEKSSDEGEGATVLEKDNEKNSAETEPADNVLAEPDRSPKISNLKDATVLEKYNEKKSAETEPADDVHAEPDKSLKIHPREKMLIDFREKLYLAPLTTVGNLPFRRVCKTLGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDTIARTVELIEKECTVDFIDINMGCPIDIVVDKGAGSFLLTKPLRMKGIIQAASGTVDKPITVKVRTGYFGGKNRIDSLIADIGSWGATALTIHGRSRQQRYSKLADWDYIYQCAQKAPCTLQVLGNGDIFSYLDWNNRKTDCPELSTCMIARGALIKPWLFTEIKEQRHWDISSQERLNILKEYVRFGLEHWGSDKKGVETTRHFLLEWLSYACRYIPVGLLDVIPQRLNWRPPSYYGRDDLETLMASDSAADWIRISEMLLGKVPDGFTFAPKHKSNAYDRAENG >KJB11180 pep chromosome:Graimondii2_0_v6:1:48600119:48604583:1 gene:B456_001G243900 transcript:KJB11180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMHVLIKINVVSAMTLKPLWLRFAGTHKDNAPAATSNLLKKSSEVNGLNKDVQKLLWKNKMRFTKADVVVKSLGLAGPNWKVKKLVDKEEDEVGLDGSHAADKTDCKKVVDDSVDCSECPSTFPAAVNAEEACETDELRPLKKAKLVVDEKSSDEGEGATVLEKDNEKNSAETEPADNVLAEPDRSPKISNLKDATVLEKYNEKKSAETEPADDVHAEPDKSLKIHPREKMLIDFREKLYLAPLTTVGNLPFRRVCKTLGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDTIARTVELIEKECTVDFIDINMGCPIDIVVDKGAGSFLLTKPLRMKGIIQAASGTVDKPITVKVRTGYFGGKNRIDSLIADIGSWGATALTIHGRSRQQRYSKLADWDYIYQCAQKAPCTLQVLGNGDIFSYLDWNNRKTDCPELSTCMIARGALIKPWLFTEIKEQRHWDISSQERLNILKEYVRFGLEHWGSDKKGVETTRHFLLEWLSYACRYIPVGLLDVIPQRLNWRPPSYYGRDDLETLMASDSAADWIRISEMLLGKVPDGFTFAPKHKSNAYDRAENG >KJB11181 pep chromosome:Graimondii2_0_v6:1:48600637:48604583:1 gene:B456_001G243900 transcript:KJB11181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKPADLEGDCPFIKADAPCPYGLACRFAGTHKDNAPAATSNLLKKSSEVNGLNKDVQKLLWKNKMRFTKADVVVKSLGLAGPNWKVKKLVDKEEDEVGLDGSHAADKTDCKKVVDDSVDCSECPSTFPAAVNAEEACETDELRPLKKAKLVVDEKSSDEGEGATVLEKDNEKNSAETEPADNVLAEPDRSPKISNLKDATVLEKYNEKKSAETEPADDVHAEPDKSLKIHPREKMLIDFREKLYLAPLTTVGNLPFRRVCKTLGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDTIARTVELIEKECTVDFIDINMGCPIDIVVDKGAGSFLLTKPLRMKGIIQAASGTVDKPITVKVRTGYFGGKNRIDSLIADIGSWGATALTIHGRSRQQRYSKLADWDYIYQCAQKAPCTLQVLGNGDIFSYLDWNNRKTDCPELSTCMIARGALIKPWLFTEIKEQRHWDISSQERLNILKEYVRFGLEHWGSDKKGVETTRHFLLEWLSYACRYIPVGLLDVIPQRLNWRPPSYYGRDDLETLMASDSAADWIRISEMLLGKVPDGFTFAPKHKSNAYDRAENG >KJB11179 pep chromosome:Graimondii2_0_v6:1:48600119:48604583:1 gene:B456_001G243900 transcript:KJB11179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDCPKDICAVTDSSTEGVPASQPPSDPDGTTQRSLEELVARAIAPVKREFLRPPPSSRTTQNNPASDANVKQPQANLVQEKKSKRQLKRERRQEQKSPLNLCPEIAKTGDVNACSYKDKCRFSHDIEAFMAQKPADLEGDCPFIKADAPCPYGLACRFAGTHKDNAPAATSNLLKKSSEVNGLNKDVQKLLWKNKMRFTKADVVVKSLGLAGPNWKVKKLVDKEEDEVGLDGSHAADKTDCKKVVDDSVDCSECPSTFPAAVNAEEACETDELRPLKKAKLVVDEKSSDEGEGATVLEKDNEKNSAETEPADNVLAEPDRSPKISNLKDATVLEKYNEKKSAETEPADDVHAEPDKSLKIHPREKMLIDFREKLYLAPLTTVGNLPFRRVCKTLGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDTIARTVELIEKECTVDFIDINMGCPIDIVVDKGAGSFLLTKPLRMKGIIQAASGTVDKPITVKVRTGYFGGKNRIDSLIADIGSWGATALTIHGRSRQQRYSKLADWDYIYQCAQKAPCTLQVLGNGDIFSYLDWNNRKTDCPELSTCMIARGALIKNFSLS >KJB06915 pep chromosome:Graimondii2_0_v6:1:1769559:1770560:1 gene:B456_001G019100 transcript:KJB06915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPTQTEATTNHGSDPTGNGSKYKGVRKRKWGKWVSEIRLPNSRERIWLGSYDSPEKAARAFDAAQYCLRGNGAKFNFPDNPPDIAGATSLGPHEIQAVASRFANESVNNNNAMTDRTVEQYTTSSSVTVSDGGVATQVESHETRTDWSSFLSMLDSNEGMSSDYGFYPGLGHLPGDHFYPPPPPPPVDEYMDDDQNYVGDGFSQHSSFLWNF >KJB07218 pep chromosome:Graimondii2_0_v6:1:790086:791961:1 gene:B456_001G008500 transcript:KJB07218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIRFKILVPRTTTPTPKHLTIAPSHLNLASTTIIETNPSFPYPNVEDPAKTLPNLLSNCTTLLHLDQLYARIIQTRLLDCYSSPFHWNNVIRSYTRFNAPVKALHIYIAMSRTGLLPDRYTLPLVLKATCQCFAIDIGRQLHSVAVKIGLELNEFCESGFISLYAKAGQFKNARKVFDENPDRKLGSWNALIAGLAQTGRAKEAIHMFLELKKNGFLPDDVTMVSVTSSCGSLGDFELALQLHKCVFQAKRFEKSDILMLNSVIDMYGKCGRMDLAYLVFSRMKEKNVSSWTSMIVGYAMHGHVKEALGCFLCMRESGVRPNYVTFIGVLSACVHGGKVEEGKFYFDMMNSYGIKPNLQHYGCMADLLGRAGLLEEARKMVEEMPMKANVVIWGSLIGACEKFGNVEIGEWVAKHLQELEPWNDGVYVVLSNIYASNGLWEDVERVRRIVKQMKLAKTPGYSLATT >KJB11654 pep chromosome:Graimondii2_0_v6:1:54714891:54726712:-1 gene:B456_001G270300 transcript:KJB11654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSTIFSFLSLLLFGSATNFNRDLPSESCRFPAIFNFGASNSDTGGYAAAFIQLKSPNGDTFFGMPAGRFCDGRLIVDFTGGIYASLMPREETFSKALYTFDIGQNDLTQSLFLNMTIVQVIAAIPDIVNHFSDIIKNLYNLGARSFWVYNTRPLGCFPKILTSFPLAEKDSVGCAKPYNLLAQRFNVELKNALARLRIEFPLATIVYVDLYAALYSLYTHPTKNGFEHPLVACCGYGGKYNYSEEAICGGKISVNGKNITVGSCKDPSVRVSWDGVHFTEAANKFAFDLVSSGDFSDPPIPLKLACHPSSRTKETGVRSGKSQISQIIIRF >KJB11656 pep chromosome:Graimondii2_0_v6:1:54723831:54726662:-1 gene:B456_001G270300 transcript:KJB11656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSTIFSFLSLLLFGSATNFNRDLPSESCRFPAIFNFGASNSDTGGYAAAFIQLKSPNGDTFFGMPAGRFCDGRLIVDFTGGIYASLMPREETFSKALYTFDIGQNDLTQSLFLNMTIVQVIAAIPDIVNHFSDIIKNLYNLGARSFWVYNTRPLGCFPKILTSFPLAEKDSVGCAKPYNLLAQRFNVELKNALARLRIEFPLATIVYVDLYAALYSLYTHPTKNGFEHPLVACCGYGGKYNYSEEAICGGKISVNGKNITVGSCKDPSVRVSWDGVHFTEAANKFAFDLVSSGDFSDPPIPLKLACHPR >KJB11655 pep chromosome:Graimondii2_0_v6:1:54714907:54726643:-1 gene:B456_001G270300 transcript:KJB11655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSTIFSFLSLLLFGSATNFNRDLPSESCRFPAIFNFGASNSDTGGYAAAFIQLKSPNGDTFFGMPAGRFCDGRLIVDFTAESLDLSFLSSYLNSLAINFSHGVNFATASSTIRLPMADVVPYGHASPFYLPLQYLQFAQFKNRSQIIRRHGGIYASLMPREETFSKALYTFDIGQNDLTQSLFLNMTIVQVIAAIPDIVNHFSDIIKNLYNLGARSFWVYNTRPLGCFPKILTSFPLAEKDSVGCAKPYNLLAQRFNVELKNALARLRIEFPLATIVYVDLYAALYSLYTHPTKNGFEHPLVACCGYGGKYNYSEEAICGGKISVNGKNITVGSCKDPSVRVSWDGVHFTEAANKFAFDLVSSGDFSDPPIPLKLACHPSSRTKETGVRSGKSQISQIIIRF >KJB08314 pep chromosome:Graimondii2_0_v6:1:7823294:7826007:-1 gene:B456_001G076200 transcript:KJB08314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSLAAYDLLDPNGSINIIWDIMSWKPDGYLATVRISNLQMYRQIRSPGWTIGWTWANKEVIWSMVGAQAIDRGDCSNFKTNIPHSCETSPAIVDLLPGSVPQNQQLPNCCKGGVLGSWGQRDKAATVSWFQVSVGHSGTSRKTVKVPKGFYLLGPGAGYACSSAVVVPPSVFLSADGRRKTRAMMTWTVTCTYSPTLASKNPNCCVSLSSFYNPMITPCSTCACGRKDKHNCTKRDSEISTLLKPNLVMDGETQLLQCTQHMCPIQVHWHFKVNYKKHWRVKISITNFNYWLNYSHWNLVVQHPNLNNVTLVYNFTYKLLPYHSTSDTGVFYGVKEGNELLMEAENVQSEMIFGKDEKEFTLDQGWVFPRKVYFNGDECLMPPPNSYPFLPKSPNPIHPLLFAAALLLVLLAFCSFWCNSKIESQKTQRKGPQLLR >KJB07368 pep chromosome:Graimondii2_0_v6:1:1738293:1750290:-1 gene:B456_001G018700 transcript:KJB07368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGFVSRAFESMLKECAGKKYPDLQKAIQAYLDSPKQTNQHSSSSEQNQAAEPSAGDGSSGETETVAGQTGTESVGSSSVPQSAGDTEHVSKPTGVSGTTIITALANAGYTLEGAEVELVLNPLRLAFESKNLKILEPALDCLHKLIAYDHLEGDPGLDGGKNVPLFTDILNMVCSCVDNSSPDSTILQVLKVLLTAVASAKFRVHGEPLLGVIRVCYNIALHSKSPVNQATSKAMLTQMISIIFRRMEADPVSTSSNSSDLTKAASVENSISKAEEASSNDQNDDEMTLGDALNQAKDTTLASVEELQNLAGGADIKGLEAALDKVVHVEDGKKITRGIDLESMSIGKRDALLVFRTLCKMGMKEDTDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFAVLLLRFRECLKGEIGVFFPLIVLRSLDGSDFPINQKTSVLRMLEKVCKDPQMLVDVYVNYDCDLEAPNLFERLVTTLSKIAQGAQSADPNSVVANQTTSIKGSALQCLVNLLKSLVDWEKSRRQSERKRGGSESPEEDSAGESVELKSREDATSNFEKAKAHKSTMEAAISEFNRQPVKGIGYLISNKLVENNPAAVAQFLRNTLSLDKAMIGDYLGQHEEFPLAVMHAYVDSMTFSGMKFHTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFIRMNATNDPEESAPTELLEEIYDSIVKDEIKMKDDATGIGKGVRQKPEGEERGRLVSILNLALPKQKSAVDAKSESEAIIKQTQAIIRNQGAKRVFYTAQEIELVKPMVEAVGWSLLATFSVTMEEGENRPRVALCMEGFKAGIHITYVLGMDTMRYAFLTSLVRFTFLHAPKDMRSKNVEALRTLLGLCDSEPDSLQDTWNAVLECVSRLEFITTTPVIAASVMHGSNQISRDAVVQSLKELAGKPAEQVFTNSEKLPSDSVVEFFTALCGVSAEELRQIPARVFSLQKLVEISYYNIARIRMVWARIWTVLADHFISAGSHADEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVILMRNSRSGTIRSLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDDMESIVESAFENVEQVVLEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAVALLRICEDRLAEGRIPGGALKPISVDADSAFDVTEHYWFPMLAGLSDLTSDSRPEVRSCALEVLFDLLNERGSKFSTSFWESIFHRVLFPMFDHVRHAGKESLISSGDELFRESSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKSDQTVVSISLGALVHLIEVGGHQFSESDWDMLLKSIRDASYTTQPLELLNALGLENPMNPSILRDLKVHTDVYQFSSTDNGNISPLASPSSSTRNTNASVSQDHNQDSALQPIPDGSEGVPSPSGRAQKSAEAGSLQRSQTIGQRIMDNIFLRGFTSKPKSPTSETPVPSSPLKLPESLEPDARDEEESPLMETVRGKCITQLLLLGAVDSIQKKYWDNLKATQKIAIMDILLSLLEFAASYNSYSNLRTRMHHTPAERPPLNLFRQELAGTSIYLDVLQKVTSGFNDNNRQNLESNGSQDTEDTKLEGIAEERLISFCEQVLRDATDLQSTIGETTNVDMHRVLELRSPIIIKVLRGMCFMNNKIFRKHLREFYPLLTKLVCCDQLGVRGALGDLFRIQLKALLP >KJB07367 pep chromosome:Graimondii2_0_v6:1:1738293:1750290:-1 gene:B456_001G018700 transcript:KJB07367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGFVSRAFESMLKECAGKKYPDLQKAIQAYLDSPKQTNQHSSSSEQNQAAEPSAGDGSSGETETVAGQTGTESVGSSSVPQSAGDTEHVSKPTGVSGTTIITALANAGYTLEGAEVELVLNPLRLAFESKNLKILEPALDCLHKLIAYDHLEGDPGLDGGKNVPLFTDILNMVCSCVDNSSPDSTILQVLKVLLTAVASAKFRVHGEPLLGVIRVCYNIALHSKSPVNQATSKAMLTQMISIIFRRMEADPVSTSSNSSDLTKAASVENSISKAEEASSNDQNDDEMTLGDALNQAKDTTLASVEELQNLAGGADIKGLEAALDKVVHVEDGKKITRGIDLESMSIGKRDALLVFRTLCKMGMKEDTDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFAVLLLRFRECLKGEIGVFFPLIVLRSLDGSDFPINQKTSVLRMLEKVCKDPQMLVDVYVNYDCDLEAPNLFERLVTTLSKIAQGAQSADPNSVVANQTTSIKGSALQCLVNLLKSLVDWEKSRRQSERKRGGSESPEEDSAGESVELKSREDATSNFEKAKAHKSTMEAAISEFNRQPVKGIGYLISNKLVENNPAAVAQFLRNTLSLDKAMIGDYLGQHEEFPLAVMHAYVDSMTFSGMKFHTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFIRMNATNDPEESAPTELLEEIYDSIVKDEIKMKDDATGIGKGVRQKPEGEERGRLVSILNLALPKQKSAVDAKSESEAIIKQTQAIIRNQGAKRVFYTAQEIELVKPMVEAVGWSLLATFSVTMEEGENRPRVALCMEGFKAGIHITYVLGMDTMRYAFLTSLVRFTFLHAPKDMRSKNVEALRTLLGLCDSEPDSLQDTWNAVLECVSRLEFITTTPVIAASVMHGSNQISRDAVVQSLKELAGKPAEQVFTNSEKLPSDSVVEFFTALCGVSAEELRQIPARVFSLQKLVEISYYNIARIRMVWARIWTVLADHFISAGSHADEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVILMRNSRSGTIRSLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDDMESIVESAFENVEQVVLEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAVALLRICEDRLAEGRIPGGALKPISVDADSAFDVTEHYWFPMLAGLSDLTSDSRPEVRSCALEVLFDLLNERGSKFSTSFWESIFHRVLFPMFDHVRHAGKESLISSGDELFRESSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKSDQTVVSISLGALVHLIEVGGHQFSESDWDMLLKSIRDASYTTQPLELLNALGLENPMNPSILRDLKVHTDVYQFSSTDNGNISPLASPSSSTRNTNASVSQDHNQDSALQPIPDGSEGVPSPSGRAQKSAEAGSLQRSQTIGQRIMDNIFLRGFTSKPKSPTSETPVPSSPLKLPESLEPDARDEEESPLMETVRGKCITQLLLLGAVDSIQKKYWDNLKATQKIAIMDILLSLLEFAASYNSYSNLRTRMHHTPAERPPLNLFRQELAGTSIYLDVLQKVTSGFNDNNRQNLESNGSQDTEDTKLEGIAEERLISFCEQVLRDATDLQSTIGETTNVDMHRVLELRSPIIIKVLRGMCFMNNKIFRKHLREFYPLLTKLVCCDQLGVRGALGDLFRIQLKALLP >KJB07372 pep chromosome:Graimondii2_0_v6:1:1737861:1750755:-1 gene:B456_001G018700 transcript:KJB07372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGFVSRAFESMLKECAGKKYPDLQKAIQAYLDSPKQTNQHSSSSEQNQAAEPSAGDGSSGETETVAGQTGTESVGSSSVPQSAGDTEHVSKPTGVSGTTIITALANAGYTLEGAEVELVLNPLRLAFESKNLKILEPALDCLHKLIAYDHLEGDPGLDGGKNVPLFTDILNMVCSCVDNSSPDSTILQVLKVLLTAVASAKFRVHGEPLLGVIRVCYNIALHSKSPVNQATSKAMLTQMISIIFRRMEADPVSTSSNSSDLTKAASVENSISKAEEASSNDQNDDEMTLGDALNQAKDTTLASVEELQNLAGGADIKGLEAALDKVVHVEDGKKITRGIDLESMSIGKRDALLVFRTLCKMGMKEDTDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFAVLLLRFRECLKGEIGVFFPLIVLRSLDGSDFPINQKTSVLRMLEKVCKDPQMLVDVYVNYDCDLEAPNLFERLVTTLSKIAQGAQSADPNSVVANQTTSIKGSALQCLVNLLKSLVDWEKSRRQSERKRGGSESPEEDSAGESVELKSREDATSNFEKAKAHKSTMEAAISEFNRQPVKGIGYLISNKLVENNPAAVAQFLRNTLSLDKAMIGDYLGQHEEFPLAVMHAYVDSMTFSGMKFHTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFIRMNATNDPEESAPTELLEEIYDSIVKDEIKMKDDATGIGKGVRQKPEGEERGRLVSILNLALPKQKSAVDAKSESEAIIKQTQAIIRNQGAKRVFYTAQEIELVKPMVEAVGWSLLATFSVTMEEGENRPRVALCMEGFKAGIHITYVLGMDTMRYAFLTSLVRFTFLHAPKDMRSKNVEALRTLLGLCDSEPDSLQDTWNAVLECVSRLEFITTTPVIAASVMHGSNQISRDAVVQSLKELAGKPAEQVFTNSEKLPSDSVVEFFTALCGVSAEELRQIPARVFSLQKLVEISYYNIARIRMVWARIWTVLADHFISAGSHADEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVILMRNSRSGTIRSLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDDMESIVESAFENVEQVVLEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAVALLRICEDRLAEGRIPGGALKPISVDADSAFDVTEHYWFPMLAGLSDLTSDSRPEVRSCALEVLFDLLNERGSKFSTSFWESIFHRVLFPMFDHVRHAGKESLISSGDELFRESSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKSDQTVVSISLGALVHLIEVGGHQFSESDWDMLLKSIRDASYTTQPLELLNALGLENPMNPSILRDLKVHTDVYQFSSTDNGNISPLASPSSSTRNTNASVSQDHNQDSALQPIPDGSEGVPSPSGRAQKSAEAGSLQRSQTIGQRIMDNIFLRGFTSKPKSPTSETPVPSSPLKLPESLEPDARDEEESPLMETVRGKCITQLLLLGAVDSIQKKYWDNLKATQKIAIMDILLSLLEFAASYNSYSNLRTRMHHTPAERPPLNLFRQELAGTSIYLDVLQKVTSGFNDNNRQNLESNGSQDTEDTKLEGIAEERLISFCEQVLRDATDLQSTIGETTNVDMHRVLELRSPIIIKVLRGMCFMNNKIFRKHLREFYPLLTKLVCCDQLGVRGALGDLFRIQLKALLP >KJB07369 pep chromosome:Graimondii2_0_v6:1:1739279:1750290:-1 gene:B456_001G018700 transcript:KJB07369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGFVSRAFESMLKECAGKKYPDLQKAIQAYLDSPKQTNQHSSSSEQNQAAEPSAGDGSSGETETVAGQTGTESVGSSSVPQSAGDTEHVSKPTGVSGTTIITALANAGYTLEGAEVELVLNPLRLAFESKNLKILEPALDCLHKLIAYDHLEGDPGLDGGKNVPLFTDILNMVCSCVDNSSPDSTILQVLKVLLTAVASAKFRVHGEPLLGVIRVCYNIALHSKSPVNQATSKAMLTQMISIIFRRMEADPVSTSSNSSDLTKAASVENSISKAEEASSNDQNDDEMTLGDALNQAKDTTLASVEELQNLAGGADIKGLEAALDKVVHVEDGKKITRGIDLESMSIGKRDALLVFRTLCKMGMKEDTDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFAVLLLRFRECLKGEIGVFFPLIVLRSLDGSDFPINQKTSVLRMLEKVCKDPQMLVDVYVNYDCDLEAPNLFERLVTTLSKIAQGAQSADPNSVVANQTTSIKGSALQCLVNLLKSLVDWEKSRRQSERKRGGSESPEEDSAGESVELKSREDATSNFEKAKAHKSTMEAAISEFNRQPVKGIGYLISNKLVENNPAAVAQFLRNTLSLDKAMIGDYLGQHEEFPLAVMHAYVDSMTFSGMKFHTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFIRMNATNDPEESAPTELLEEIYDSIVKDEIKMKDDATGIGKGVRQKPEGEERGRLVSILNLALPKQKSAVDAKSESEAIIKQTQAIIRNQGAKRVFYTAQEIELVKPMVEAVGWSLLATFSVTMEEGENRPRVALCMEGFKAGIHITYVLGMDTMRYAFLTSLVRFTFLHAPKDMRSKNVEALRTLLGLCDSEPDSLQDTWNAVLECVSRLEFITTTPVIAASVMHGSNQISRDAVVQSLKELAGKPAEQVFTNSEKLPSDSVVEFFTALCGVSAEELRQIPARVFSLQKLVEISYYNIARIRMVWARIWTVLADHFISAGSHADEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVILMRNSRSGTIRSLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDDMESIVESAFENVEQVVLEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAVALLRICEDRLAEGRIPGGALKPISVDADSAFDVTEHYWFPMLAGLSDLTSDSRPEVRSCALEVLFDLLNERGSKFSTSFWESIFHRVLFPMFDHVRHAGKESLISSGDELFRESSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKSDQTVVSISLGALVHLIEVGGHQFSESDWDMLLKSIRDASYTTQPLELLNALGLENPMNPSILRDLKVHTDVYQFSSTDNGNISPLASPSSSTRNTNASVSQDHNQDSALQPIPDGSEGVPSPSGRAQKSAEAGSLQRSQTIGQRIMDNIFLRGFTSKPKSPTSETPVPSSPLKLPESLEPDARDEEESPLMETVRGKCITQLLLLGAVDSIQKKYWDNLKATQKIAIMDILLSLLEFAASYNSYSNLRTRMHHTPAER >KJB07370 pep chromosome:Graimondii2_0_v6:1:1738873:1750290:-1 gene:B456_001G018700 transcript:KJB07370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGFVSRAFESMLKECAGKKYPDLQKAIQAYLDSPKQTNQHSSSSEQNQAAEPSAGDGSSGETETVAGQTGTESVGSSSVPQSAGDTEHVSKPTGVSGTTIITALANAGYTLEGAEVELVLNPLRLAFESKNLKILEPALDCLHKLIAYDHLEGDPGLDGGKNVPLFTDILNMVCSCVDNSSPDSTILQVLKVLLTAVASAKFRVHGEPLLGVIRVCYNIALHSKSPVNQATSKAMLTQMISIIFRRMEADPVSTSSNSSDLTKAASVENSISKAEEASSNDQNDDEMTLGDALNQAKDTTLASVEELQNLAGGADIKGLEAALDKVVHVEDGKKITRGIDLESMSIGKRDALLVFRTLCKMGMKEDTDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFAVLLLRFRECLKGEIGVFFPLIVLRSLDGSDFPINQKTSVLRMLEKVCKDPQMLVDVYVNYDCDLEAPNLFERLVTTLSKIAQGAQSADPNSVVANQTTSIKGSALQCLVNLLKSLVDWEKSRRQSERKRGGSESPEEDSAGESVELKSREDATSNFEKAKAHKSTMEAAISEFNRQPVKGIGYLISNKLVENNPAAVAQFLRNTLSLDKAMIGDYLGQHEEFPLAVMHAYVDSMTFSGMKFHTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFIRMNATNDPEESAPTELLEEIYDSIVKDEIKMKDDATGIGKGVRQKPEGEERGRLVSILNLALPKQKSAVDAKSESEAIIKQTQAIIRNQGAKRVFYTAQEIELVKPMVEAVGWSLLATFSVTMEEGENRPRVALCMEGFKAGIHITYVLGMDTMRYAFLTSLVRFTFLHAPKDMRSKNVEALRTLLGLCDSEPDSLQDTWNAVLECVSRLEFITTTPVIAASVMHGSNQISRDAVVQSLKELAGKPAEQVFTNSEKLPSDSVVEFFTALCGVSAEELRQIPARVFSLQKLVEISYYNIARIRMVWARIWTVLADHFISAGSHADEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVILMRNSRSGTIRSLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDDMESIVESAFENVEQVVLEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAVALLRICEDRLAEGRIPGGALKPISVDADSAFDVTEHYWFPMLAGLSDLTSDSRPEVRSCALEVLFDLLNERGSKFSTSFWESIFHRVLFPMFDHVRHAGKESLISSGDELFRESSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKSDQTVVSISLGALVHLIEVGGHQFSESDWDMLLKSIRDASYTTQPLELLNALGLENPMNPSILRDLKVHTDVYQFSSTDNGNISPLASPSSSTRNTNASVSQDHNQDSALQPIPDGSEGVPSPSGRAQKSAEAGSLQRSQTIGQRIMDNIFLRGFTSKPKSPTSETPVPSSPLKLPESLEPDARDEEESPLMETVRGKCITQLLLLGAVDSIQKKYWDNLKATQKIAIMDILLSLLEFAASYNSYSNLRTRMHHTPAERPPLNLFRQELAGTSIYLDVLQKVTSGFNDNNRQNLESNGSQDTEDTKLEGIAEERLISFCEQVLRDATDLQSTIGETTNVDMHRVLELRSPIIIKVLYQK >KJB07371 pep chromosome:Graimondii2_0_v6:1:1737884:1750676:-1 gene:B456_001G018700 transcript:KJB07371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGFVSRAFESMLKECAGKKYPDLQKAIQAYLDSPKQTNQHSSSSEQNQAAEPSAGDGSSGETETVAGQTGTESVGSSSVPQSAGDTEHVSKPTGVSGTTIITALANAGYTLEGAEVELVLNPLRLAFESKNLKILEPALDCLHKLIAYDHLEGDPGLDGGKNVPLFTDILNMVCSCVDNSSPDSTILQVLKVLLTAVASAKFRVHGEPLLGVIRVCYNIALHSKSPVNQATSKAMLTQMISIIFRRMEADPVSTSSNSSDLTKAASVENSISKAEEASSNDQNDDEMTLGDALNQAKDTTLASVEELQNLAGGADIKGLEAALDKVVHVEDGKKITRGIDLESMSIGKRDALLVFRTLCKMGMKEDTDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFAVLLLRFRECLKGEIGVFFPLIVLRSLDGSDFPINQKTSVLRMLEKVCKDPQMLVDVYVNYDCDLEAPNLFERLVTTLSKIAQGAQSADPNSVVANQTTSIKGSALQCLVNLLKSLVDWEKSRRQSERKRGGSESPEEDSAGESVELKSREDATSNFEKAKAHKSTMEAAISEFNRQPVKGIGYLISNKLVENNPAAVAQFLRNTLSLDKAMIGDYLGQHEEFPLAVMHAYVDSMTFSGMKFHTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFIRMNATNDPEESAPTELLEEIYDSIVKDEIKMKDDATGIGKGVRQKPEGEERGRLVSILNLALPKQKSAVDAKSESEAIIKQTQAIIRNQGAKRVFYTAQEIELVKPMVEAVGWSLLATFSVTMEEGENRPRVALCMEGFKAGIHITYVLGMDTMRYAFLTSLVRFTFLHAPKDMRSKNVEALRTLLGLCDSEPDSLQDTWNAVLECVSRLEFITTTPVIAASVMHGSNQISRDAVVQSLKELAGKPAEQVFTNSEKLPSDSVVEFFTALCGVSAEELRQIPARVFSLQKLVEISYYNIARIRMVWARIWTVLADHFISAGSHADEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVILMRNSRSGTIRSLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDDMESIVESAFENVEQVVLEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAVALLRICEDRLAEGRIPGGALKPISVDADSAFDVTEHYWFPMLAGLSDLTSDSRPEVRSCALEVLFDLLNERGSKFSTSFWESIFHRVLFPMFDHVRHAGKESLISSGDELFRESSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKSDQTVVSISLGALVHLIEVGGHQFSESDWDMLLKSIRDASYTTQPLELLNALGLENPMNPSILRDLKVHTDVYQFSSTDNGNISPLASPSSSTRNTNASVSQDHNQDSALQPIPDGSEGVPSPSGRAQKSAEAGSLQRSQTIGQRIMDNIFLRGFTSKPKSPTSETPVPSSPLKAPSKSFPSRVSRNFHLSGCLAKSHIRI >KJB09759 pep chromosome:Graimondii2_0_v6:1:23367206:23368798:-1 gene:B456_001G162500 transcript:KJB09759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:cox1 MTNPVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGSGNWFVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDSAISSLHLSGVSSILGSINFITTISNMRGPGMTMHRSPLFVWSVLVTAFPLLLSLPVLAGAITMLLTDRNFNTTFSDPAGGGDPILYQHLFRFFGHPEVYIPILPGSGIISHIVSTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVPANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFHYWVGKIFGRTYPETLGQIHFWITFFGVNLTFFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGICRFFVVVTITSSSGNNKRCAPSPWAVEQNSTTPEWMVQSPPAFHTFGELPAIKETKTFENKQN >KJB09901 pep chromosome:Graimondii2_0_v6:1:25324707:25326391:-1 gene:B456_001G173700 transcript:KJB09901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCLSKEWSDMWPENKRDLSFLTSLPGAAEKLKIMSADLSDPASYNAAIEGCKGVFHVATPVDFENKESEAVTERSISGALGILKACLKSKTVKRVVYTSSASTVMFNGQDVEVVDESFWTDVDFVRENLSPFMRSYMISKTLTERAALEFGTQHGLDVVTVIPSLVVGPFICPKFPGSVRSSLALVLGNQSEYSLLLNASMVHVDDLARAHIFLLEYPEAKGRYNCSSDTISLEKLSEFLGGKYPEFPIPSPESLGEIKGMKWPGVSSKKLLDTGFEFNCGVEEMFDGAIQCCKERGYL >KJB09902 pep chromosome:Graimondii2_0_v6:1:25324733:25326863:-1 gene:B456_001G173700 transcript:KJB09902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKGTVCVTGGTGFVASWLIKSLLQEGYAVRTTVRADPEWSDMWPENKRDLSFLTSLPGAAEKLKIMSADLSDPASYNAAIEGCKGVFHVATPVDFENKESEAVTERSISGALGILKACLKSKTVKRVVYTSSASTVMFNGQDVEVVDESFWTDVDFVRENLSPFMRSYMISKTLTERAALEFGTQHGLDVVTVIPSLVVGPFICPKFPGSVRSSLALVLGNQSEYSLLLNASMVHVDDLARAHIFLLEYPEAKGRYNCSSDTISLEKLSEFLGGKYPEFPIPSPESLGEIKGMKWPGVSSKKLLDTGFEFNCGVEEMFDGAIQCCKERGYL >KJB09899 pep chromosome:Graimondii2_0_v6:1:25317062:25326863:-1 gene:B456_001G173700 transcript:KJB09899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKGTVCVTGGTGFVASWLIKSLLQEGYAVRTTVRADPENKRDLSFLTSLPGAAEKLKIMSADLSDPASYNAAIEGCKGVFHVATPVDFENKESEAVTERSISGALGILKACLKSKTVKRVVYTSSASTVMFNGQDVEVVDESFWTDVDFVRENLSPFMRSYMISKTLTERAALEFGTQHGLDVVTVIPSLVVGPFICPKFPGSVRSSLALVLGNQSEYSLLLNASMVHVDDLARAHIFLLEYPEAKGRYNCSSDTISLEKLSEFLGGKYPEFPIPSPESLGEIKGMKCPGLSSKKLLETGFEFKNGVEEMFDGAIQCCSS >KJB09900 pep chromosome:Graimondii2_0_v6:1:25324661:25326863:-1 gene:B456_001G173700 transcript:KJB09900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKGTVCVTGGTGFVASWLIKSLLQEGYAVRTTVRADPENKRDLSFLTSLPGAAEKLKIMSADLSDPASYNAAIEGCKGVFHVATPVDFENKESEAVTERSISGALGILKACLKSKTVKRVVYTSSASTVMFNGQDVEVVDESFWTDVDFVRENLSPFMRSYMISKTLTERAALEFGTQHGLDVVTVIPSLVVGPFICPKFPGSVRSSLALVLGNQSEYSLLLNASMVHVDDLARAHIFLLEYPEAKGRYNCSSDTISLEKLSEFLGGKYPEFPIPSPESLGEIKGMKWPGVSSKKLLDTGFEFNCGVEEMFDGAIQCCKERGYL >KJB09852 pep chromosome:Graimondii2_0_v6:1:24485470:24488326:-1 gene:B456_001G170800 transcript:KJB09852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEHGGHGAEDFRTKVWSMSGGPYCRPKHWRRNTAIAMFGVFLICIPIAMKSAELEQRPHQPVRPIPSQLWCKNFGNKDY >KJB09853 pep chromosome:Graimondii2_0_v6:1:24485467:24488336:-1 gene:B456_001G170800 transcript:KJB09853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEHGGHGAEDFRTKVWSMSGGPYCRPKHWRRNTAIAMFGVFLICIPIAMKSAELEQRPHQPVRPIPSQLWCKNFGNKDY >KJB10393 pep chromosome:Graimondii2_0_v6:1:35544089:35547083:-1 gene:B456_001G198800 transcript:KJB10393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab escort protein 1 [Source:Projected from Arabidopsis thaliana (AT3G06540) UniProtKB/Swiss-Prot;Acc:Q8LLD4] MDDIPPYPPIDPTTFDLIVIGTGLPESIIAAASSTASKSVLHLDPNPFYGSHFSSLPLADLPSFLSSHSTSPSPPRSPSSDDPNEFSLLEFATRPLYSSIDISTFSPNLLDQHSRKFNLDVAGPRVFFCAEKSIELLLRTGTNQYMEFKSVDATFVGDNKGNLWSVPDSRAAIFKDKSLGLVEKNKLMRFFKLVQGHLAGEQDIKISEEDLQSPFVDFLNKMGLPPKIKSFILYAIAMADYDQEDTGVCRDLLKTKDGIDQLALYNASIGRFQNASGAFLYPIYGQGELSQAFCRRAAVKGCLYVLRMPVTALLVDKDTGCYKGVRLASGQDIFSQKLILDPTFKVTLPSGSSPPLPLKEKLPFFSLKDDKGKIARGICITKTSLKPDISNFLVVYPPRSLFPEQVTSIRLLQIASNLAVCLPGMFVLYISALCNDDDQGKKLIHAVMNTLLTVPLDSESNAAVQSETAENGSAVQDETSESSSGGPSDSGEEKPTLLWSALYSQELTLVCFLWLLSYVYLLSY >KJB10394 pep chromosome:Graimondii2_0_v6:1:35542771:35547147:-1 gene:B456_001G198800 transcript:KJB10394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab escort protein 1 [Source:Projected from Arabidopsis thaliana (AT3G06540) UniProtKB/Swiss-Prot;Acc:Q8LLD4] MDDIPPYPPIDPTTFDLIVIGTGLPESIIAAASSTASKSVLHLDPNPFYGSHFSSLPLADLPSFLSSHSTSPSPPRSPSSDDPNEFSLLEFATRPLYSSIDISTFSPNLLDQHSRKFNLDVAGPRVFFCAEKSIELLLRTGTNQYMEFKSVDATFVGDNKGNLWSVPDSRAAIFKDKSLGLVEKNKLMRFFKLVQGHLAGEQDIKISEEDLQSPFVDFLNKMGLPPKIKSFILYAIAMADYDQEDTGVCRDLLKTKDGIDQLALYNASIGRFQNASGAFLYPIYGQGELSQAFCRRAAVKGCLYVLRMPVTALLVDKDTGCYKGVRLASGQDIFSQKLILDPTFKVTLPSGSSPPLPLKEKLPFFSLKDDKGKIARGICITKTSLKPDISNFLVVYPPRSLFPEQVTSIRLLQIASNLAVCLPGMFVLYISALCNDDDQGKKLIHAVMNTLLTVPLDSESNAAVQSETAENGSAVQDETSESSSGGPSDSGEEKPTLLWSALYSQELTLIFQEIYPGEEFFPETSLENPEGDGDASPET >KJB10392 pep chromosome:Graimondii2_0_v6:1:35542726:35547147:-1 gene:B456_001G198800 transcript:KJB10392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab escort protein 1 [Source:Projected from Arabidopsis thaliana (AT3G06540) UniProtKB/Swiss-Prot;Acc:Q8LLD4] MDDIPPYPPIDPTTFDLIVIGTGLPESIIAAASSTASKSVLHLDPNPFYGSHFSSLPLADLPSFLSSHSTSPSPPRSPSSDDPNEFSLLEFATRPLYSSIDISTFSPNLLDQHSRKFNLDVAGPRVFFCAEKSIELLLRTGTNQYMEFKSVDATFVGDNKGNLWSVPDSRAAIFKDKSLGLVEKNKLMRFFKLVQGHLAGEQDIKISEEDLQSPFVDFLNKMGLPPKIKSFILYAIAMADYDQEDTGVCRDLLKTKDGIDQLALYNASIGRFQNASGAFLYPIYGQGELSQAFCRRAAVKGCLYVLRMPVTALLVDKDTGCYKGVRLASGQDIFSQKLILDPTFKVTLPSGSSPPLPLKEKLPFFSLKDDKGKIARGICITKTSLKPDISNFLVVYPPRSLFPEQVTSIRLLQIASNLAVCLPGMFVLYISALCNDDDQGKKLIHAVMNTLLTVPLDSESNAAVQSETAENGSAVQDETSESSSGGPSDSGEEKPTLLWSALYSQELTLGQVDFICSTPMPDGKLNYNDLIDTTFKIFQEIYPGEEFFPETSLENPEGDGDASPET >KJB09330 pep chromosome:Graimondii2_0_v6:1:17724873:17726925:-1 gene:B456_001G1357001 transcript:KJB09330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEKLHQLGVLGCVMCISGSVIIVIHAPQESPITSVQEIWAMATQPAFLLYLGSVIVLVVLLILYFAPRCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLVYLETWFFMFIVATCVIIQMNYLNK >KJB09332 pep chromosome:Graimondii2_0_v6:1:17724873:17726807:-1 gene:B456_001G1357001 transcript:KJB09332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSQDNLRGFILALLSSGFIGASFIIKKKGLRRAAAVSGVRAGYGGYAYLLEPLWWLGMVTMIVGEVANFVAYAFAPAILVTPLGALSIIVSAVLAHFMLKEKLHQLGVLGCVMCISGSVIIVIHAPQESPITSVQEIWAMATQPAFLLYLGSVIVLVVLLILYFAPRCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLVYLETWFFMFIVATCVIIQMNYLNK >KJB09329 pep chromosome:Graimondii2_0_v6:1:17724873:17725885:-1 gene:B456_001G1357001 transcript:KJB09329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEKLHQLGVLGCVMCISGSVIIVIHAPQESPITSVQEIWAMATQPAFLLYLGSVIVLVVLLILYFAPRCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLVYLETWFFMFIVATCVIIQMNYLNK >KJB09331 pep chromosome:Graimondii2_0_v6:1:17724873:17726807:-1 gene:B456_001G1357001 transcript:KJB09331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSQDNLRGFILALLSSGFIGASFIIKKKGLRRAAAVSGVRAGYGGYAYLLEPLWWLGMVTMIVGEVANFVAYAFAPAILVTPLGALSIIVSAVLAHFMLKEKLHQLGVLGCVMCISGSVIIVIHAPQESPITSVQEIWAMATQPAFLLYLGSVIVLVVLLILYFAPRCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLVYLETWFFMFIVATCVIIQMNYLNK >KJB09334 pep chromosome:Graimondii2_0_v6:1:17724873:17726925:-1 gene:B456_001G1357001 transcript:KJB09334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSQDNLRGFILALLSSGFIGASFIIKKKGLRRAAAVSGVRAGYGGYAYLLEPLWWLGMVTMIVGEVANFVAYAFAPAILVTPLGALSIIVSAVLAHFMLKEKLHQLGVLGCVMCISGSVIIVIHAPQESPITSVQEIWAMATQPAFLLYLGSVIVLVVLLILYFAPRCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLVYLETWFFMFIVATCVIIQMNYLNK >KJB09333 pep chromosome:Graimondii2_0_v6:1:17724873:17726967:-1 gene:B456_001G1357001 transcript:KJB09333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSQDNLRGFILALLSSGFIGASFIIKKKGLRRAAAVSGVRAGYGGYAYLLEPLWWLGMVTMIVGEVANFVAYAFAPAILVTPLGALSIIVSAVLAHFMLKEKLHQLGVLGCVMCISGSVIIVIHAPQESPITSVQEIWAMATQPAFLLYLGSVIVLVVLLILYFAPRCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLVYLETWFFMFIVATCVIIQMNYLNK >KJB09701 pep chromosome:Graimondii2_0_v6:1:22520163:22522085:1 gene:B456_001G157300 transcript:KJB09701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVLKLDLHDDRAKQKALKTVSTLSGIDSIAMDMKAKQLTVIGTVDPVNVVSKLRKYWPTDIVSVGPAKEPEKKEPKKEEPKKEEEPKKEEPKKEEEQKKEEPKKEEPKKEEPKKEEEKKKEEEKKPLPLPPPDPVLELVKAYKAYNPHMTTYYYVQSMEENPNACVIC >KJB09699 pep chromosome:Graimondii2_0_v6:1:22520035:22522144:1 gene:B456_001G157300 transcript:KJB09699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVLKLDLHDDRAKQKALKTVSTLSGIDSIAMDMKAKQLTVIGTVDPVNVVSKLRKYWPTDIVSVGPAKEPEKKEPKKEEPKKEEEPKKEEPKKEEEQKKEEPKKEEPKKEEPKKEEEKKKEEEKKPLPLPPPDPVLELVKAYKAYNPHMTTYYYVQSMEENPNACVIC >KJB09700 pep chromosome:Graimondii2_0_v6:1:22520163:22522085:1 gene:B456_001G157300 transcript:KJB09700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKAKQLTVIGTVDPVNVVSKLRKYWPTDIVSVGPAKEPEKKEPKKEEPKKEEEPKKEEPKKEEEQKKEEPKKEEPKKEEPKKEEEKKKEEEKKPLPLPPPDPVLELVKAYKAYNPHMTTYYYVQSMEENPNACVIC >KJB08492 pep chromosome:Graimondii2_0_v6:1:8874800:8879887:1 gene:B456_001G084000 transcript:KJB08492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lipid phosphate phosphatase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G02600) UniProtKB/Swiss-Prot;Acc:Q8LFD1] MASWNSVFLSWLKNFSNIFKYKMDEVQLGSHTIRSHGFAIARTHMHDWLILVLLVVIWVVILIIHPFYRFVGKDMMDDLRYPLQSNTVPVWAVPMYAVLLPMLIFIFVYIRRRDVYDLHHAVLGLLFSVLVTAVITDSIKNAVGRPRPDFFWRCFPDGKDVYDKWGNVICHGDKSVIREGHKSFPSGHTSWSFAGLGFLSLYLSGKIKAFDRRGHVAKLCIVFLPLLVASLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFYPPPYDANGSGTYAYFRVIEESTANTTNTINAANLPNAMTGEIQIANQQEPTDNGFMGMHLARNSNSVLEDVESGKS >KJB08490 pep chromosome:Graimondii2_0_v6:1:8874535:8879887:1 gene:B456_001G084000 transcript:KJB08490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lipid phosphate phosphatase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G02600) UniProtKB/Swiss-Prot;Acc:Q8LFD1] MDEVQLGSHTIRSHGFAIARTHMHDWLILVLLVVIWVVILIIHPFYRFVGKDMMDDLRYPLQSNTVPVWAVPMYAVLLPMLIFIFVYIRRRDVYDLHHAVLGLLFSVLVTAVITDSIKNAVGRPRPDFFWRCFPDGKDVYDKWGNVICHGDKSVIREGHKSFPSGHTSWSFAGLGFLSLYLSGKIKAFDRRGHVAKLCIVFLPLLVASLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFYPPPYDANGSGTYAYFRVIEESTANTTNTINAANLPNAMTGEIQIANQQEPTDNGFMGMHLARNSNSVLEDVESGKS >KJB08491 pep chromosome:Graimondii2_0_v6:1:8876401:8879531:1 gene:B456_001G084000 transcript:KJB08491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lipid phosphate phosphatase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G02600) UniProtKB/Swiss-Prot;Acc:Q8LFD1] MRVENPANASHCLSSSDLLPLIEQGNKEYKMDEVQLGSHTIRSHGFAIARTHMHDWLILVLLVVIWVVILIIHPFYRFVGKDMMDDLRYPLQSNTVPVWAVPMYAVLLPMLIFIFVYIRRRDVYDLHHAVLGLLFSVLVTAVITDSIKNAVGRPRPDFFWRCFPDGKDVYDKWGNVICHGDKSVIREGHKSFPSGHTSWSFAGLGFLSLYLSGKIKAFDRRGHVAKLCIVFLPLLVASLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFYPPPYDANGSGTYAYFRVIEESTANTTNTINAANLPNAMTGEIQIANQQEPTDNGFMGMHLARNSNSVLEDVESGKS >KJB08494 pep chromosome:Graimondii2_0_v6:1:8877168:8879850:1 gene:B456_001G084000 transcript:KJB08494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lipid phosphate phosphatase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G02600) UniProtKB/Swiss-Prot;Acc:Q8LFD1] MQLEDLGQTSFGAVSQMERMYASVDLLFSEYKLFNVNIFSKELNFITSQVYDKWGNVICHGDKSVIREGHKSFPSGHTSWSFAGLGFLSLYLSGKIKAFDRRGHVAKLCIVFLPLLVASLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFYPPPYDANGSGTYAYFRVIEESTANTTNTINAANLPNAMTGEIQIANQQEPTDNGFMGMHLARNSNSVLEDVESGKS >KJB08493 pep chromosome:Graimondii2_0_v6:1:8874805:8879887:1 gene:B456_001G084000 transcript:KJB08493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lipid phosphate phosphatase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G02600) UniProtKB/Swiss-Prot;Acc:Q8LFD1] MYAVLLPMLIFIFVYIRRRDVYDLHHAVLGLLFSVLVTAVITDSIKNAVGRPRPDFFWRCFPDGKDVYDKWGNVICHGDKSVIREGHKSFPSGHTSWSFAGLGFLSLYLSGKIKAFDRRGHVAKLCIVFLPLLVASLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFYPPPYDANGSGTYAYFRVIEESTANTTNTINAANLPNAMTGEIQIANQQEPTDNGFMGMHLARNSNSVLEDVESGKS >KJB11137 pep chromosome:Graimondii2_0_v6:1:48328144:48331254:-1 gene:B456_001G242600 transcript:KJB11137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRLASQRLIEVRQAFRLSSQVYRSFSTALNYHIDGPDNNPDLPWEFSEANKAKVKGILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVVGAAPIRVYEVATFYSMFNRSKVGKYHLLVCGTTPCMICGSREIEGALLKHLGVERNEVTKDGLFSVGEMECMGCCVNAPMIAVADYTNGSEGYTYNYYEDVTTQRVVEIVEMLRKGEKPPVGTQNPKRIMSGPEGGNTTLLSDPKPPPCRDLDAC >KJB11138 pep chromosome:Graimondii2_0_v6:1:48328568:48331254:-1 gene:B456_001G242600 transcript:KJB11138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRLASQRLIEVRQAFRLSSQVYRSFSTALNYHIDGPDNNPDLPWEFSEANKAKVKGILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVVGAAPIRVYEVATFYSMFNRSKVGKYHLLVCGTTPCMICGSREIEGALLKHLGVERNEVTKDGLFSVGEMECMGCCVNAPMIAVADYTNGSEGYTYNYYVGFCRVLFNLPD >KJB07114 pep chromosome:Graimondii2_0_v6:1:45948196:45950800:1 gene:B456_001G225800 transcript:KJB07114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKMEDTCPIIQRPSLKTHPRFNNYSLWKHKLRENCYKRVREDRTRLLWKMRLPAAQSFNHKEFIKTAFQDIVSDELKRIKDSPISDCLESSNSVSSAPDELWEYSGLQDAYQGECEEILLEMQRIFYEDLRREPAEKEPKEGIESWEDEQDEYLARAVYEHMQLNDEQKQIWCPICKRGELQQNHQLIYCTLCKLQLNRDDEVNLEVLRDRLAEAHVDHLERGCRLKPKFCLETKFGLTALYILCQDCSTFEIVI >KJB07113 pep chromosome:Graimondii2_0_v6:1:45948070:45950800:1 gene:B456_001G225800 transcript:KJB07113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKMEDTCPIIQRPSLKTHPRFNNYSLWKHKLRENCYKRVREDRTRLLWKMRLPAAQSFNHKEFIKTAFQDIVSDELKRIKDSPISDCLESSNSVSSAPDELWEYSGLQDAYQGECEEILLEMQRIFYEDLRREPAEKEPKEGIESWEDEQDEYLARAVYEHMQLNDEQVQKQIWCPICKRGELQQNHQLIYCTLCKLQLNRDDEVNLEVLRDRLAEAHVDHLERGCRLKPKFCLETKFGLTALYILCQDCSTFEIVI >KJB07115 pep chromosome:Graimondii2_0_v6:1:45948602:45950532:1 gene:B456_001G225800 transcript:KJB07115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPAAQSFNHKEFIKTAFQDIVSDELKRIKDSPISDCLESSNSVSSAPDELWEYSGLQDAYQGECEEILLEMQRIFYEDLRREPAEKEPKEGIESWEDEQDEYLARAVYEHMQLNDEQVQKQIWCPICKRGELQQNHQLIYCTLCKLQLNRDDEVNLEVLRDRLAEAHVDHLERGCRLKPKFCLETKFGLTALYILCQDCSTFEIVI >KJB08339 pep chromosome:Graimondii2_0_v6:1:8048741:8055274:1 gene:B456_001G077800 transcript:KJB08339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEHMLLPSWMDKNPAEMAADSRFFILSCVIAGLVGILTIVYTAFQWRRNINLSWMKAVARSKKIPKTKHKVPVAPHTWELESVSRAKNLNCCACLKPMSPSQTLGPMISSDSFIHRCSICGTVAHLSCSSSAHKDCKCVSMIGFDHVMHQWAVRWAELTDQPDEASFCSYCEEPCSGSFLGGSPIWCCLWCQRLLHVDCHSSMSNETGNICDLGQFRRLILSPLYVKKLSPNSGFLSSITHGANELASSVRATIRSQSKKHQHDSETSFDAGSNGNFCDISTECTADSAENVNGSHAIEENCNGGMNVGTPRQNGGIDKNMEKKPSFKRSESINQKDESQVLRMKQKYELIDLPPDAKPLLVFVNKKSGAQRGYSLKLRLNLLLNPVQVFELSSTQGPEMGLFLFRKVLHLRILVCGGDGTVGWVLNAIDKQNFISPPPVAILPAGTGNDMARVLSWGGGLGAVERQGGLCMVLQQIEHAAVTILDRWKVAILNQQGKQLQSPKFMNNYLGIGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGARSIMDRTFADFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDETYDNFEPQSMHDKILEVVSISGTWHLGKLQVGLSRARRLAQGRSIKIQLFAALPVQIDGEPWSQQPCTLSISHHGQAFMLKRTAEESLGHAAAIITDVLESAETNHVINASQKRALLQEMALRLT >KJB08335 pep chromosome:Graimondii2_0_v6:1:8048750:8053229:1 gene:B456_001G077800 transcript:KJB08335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEHMLLPSWMDKNPAEMAADSRFFILSCVIAGLVGILTIVYTAFQWRRNINLSWMKAVARSKKIPKTKHKVPVAPHTWELESVSRAKNLNCCACLKPMSPSQTLGPMISSDSFIHRCSICGTVAHLSCSSSAHKDCKCVSMIGFDHVMHQWAVRWAELTDQPDEASFCSYCEEPCSGSFLGGSPIWCCLWCQRLLHVDCHSSMSNETGNICDLGQFRRLILSPLYVKKLSPNSGFLSSITHGANELASSVRATIRSQSKKHQHDSETSFDAGSNGNFCDISTECTADSAENVNGSHAIEENCNGGMNVGTPRQNGGIDKNMEKKPSFKRSESINQKDESQVLRMKQKYELIDLPPDAKPLLVFVNKKSGAQRGYSLKLRLNLLLNPVQVFELSSTQGPEMGLFLFRKVLHLRILVCGGDGTVGWVLNAIDKQNFISPPPVAILPAGTGNDMARVLSWGGGLGAVERQGGLCMVLQQIEHAAVTILDRWKVAILNQQGKQLQSPKFMNNYLGIGCDAKVALDIHNLREENPEKFYNQVVKIFLHSAF >KJB08336 pep chromosome:Graimondii2_0_v6:1:8048750:8055212:1 gene:B456_001G077800 transcript:KJB08336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEHMLLPSWMDKNPAEMAADSRFFILSCVIAGLVGILTIVYTAFQWRRNINLSWMKAVARSKKIPKTKHKVPVAPHTWELESVSRAKNLNCCACLKPMSPSQTLGPMISSDSFIHRCSICGTVAHLSCSSSAHKDCKCVSMIGFDHVMHQWAVRWAELTDQPDEASFCSYCEEPCSGSFLGGSPIWCCLWCQRLLHVDCHSSMSNETGNICDLGQFRRLILSPLYVKKLSPNSGFLSSITHGANELASSVRATIRSQSKKHQHDSETSFDAGSNGNFCDISTECTADSAENVNGSHAIEENCNGGMNVGTPRQNGGIDKNMEKKPSFKRSESINQKDESQVLRMKQKYELIDLPPDAKPLLVFVNKKSGAQRGYSLKLRLNLLLNPVQVFELSSTQGPEMGLFLFRKVLHLRILVCGGDGTVGWVLNAIDKQNFISPPPVAILPAGTGNDMARVLSWGGGLGAVERQGGLCMVLQQIEHAAVTILDRWKVAILNQQGKQLQSPKFMNNYLGIGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGARSIMDRTFADFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDETYDNFEPQSMHDKILEVVSISGTWHLGKLQAFMLKRTAEESLGHAAAIITDVLESAETNHVINASQKRALLQEMALRLT >KJB08334 pep chromosome:Graimondii2_0_v6:1:8048750:8055212:1 gene:B456_001G077800 transcript:KJB08334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEHMLLPSWMDKNPAEMAADSRFFILSCVIAGLVGILTIVYTAFQWRRNINLSWMKAVARSKKIPKTKHKVPVAPHTWELESVSRAKNLNCCACLKPMSPSQTLGPMISSDSFIHRCSICGTVAHLSCSSSAHKDCKCVSMIGFDHVMHQWAVRWAELTDQPDEASFCSYCEEPCSGSFLGGSPIWCCLWCQRLLHVDCHSSMSNETGNICDLGQFRRLILSPLYVKKLSPNSGFLSSITHGANELASSVRATIRSQSKKHQHDSETSFDAGSNGNFCDISTECTADSAENVNGSHAIEENCNGGMNVGTPRQNGGIDKNMEKKPSFKRSESINQKDESQVLRMKQKYELIDLPPDAKPLLVFVNKKSGAQRGYSLKLRLNLLLNPVQVFELSSTQGPEMGLFLFRKVLHLRILVCGGDGTVGWVLNAIDKQNFISPPPVAILPAGTGNDMARVLSWGGGLGAVERQGGLCMVLQQIEHAAVTILDRWKVAILNQQGKQLQSPKFMNNYLGIGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGARSIMDRTFADFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDETYDNFEPQSMHDKILEVVSISGTWHLGKLQVGLSRARRLAQGRSIKIQLFAALPVQIDGEPWSQQPCTLSISHHGQAFMLKRTAEESLGHAAAIITDVLESAETNHVINASQKRALLQEMALRLT >KJB08337 pep chromosome:Graimondii2_0_v6:1:8049835:8054647:1 gene:B456_001G077800 transcript:KJB08337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEHMLLPSWMDKNPAEMAADSRFFILSCVIAGLVGILTIVYTAFQWRRNINLSWMKAVARSKKIPKTKHKVPVAPHTWELESVSRAKNLNCCACLKPMSPSQTLGPMISSDSFIHRCSICGTVAHLSCSSSAHKDCKCVSMIGFDHVMHQWAVRWAELTDQPDEASFCSYCEEPCSGSFLGGSPIWCCLWCQRLLHVDCHSSMSNETGNICDLGQFRRLILSPLYVKKLSPNSGFLSSITHGANELASSVRATIRSQSKKHQHDSETSFDAGSNGNFCDISTECTADSAENVNGSHAIEENCNGGMNVGTPRQNGGIDKNMEKKPSFKRSESINQKDESQVLRMKQKYELIDLPPDAKPLLVFVNKKSGAQRGYSLKLRLNLLLNPVQVFELSSTQGPEMGLFLFRKVLHLRILVCGGDGTVGWVLNAIDKQNFISPPPVAILPAGTGNDMARVLSWGGGLGAVERQGGLCMVLQQIEHAAVTILDRWKVAILNQQGKQLQSPKFMNNYLGIGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGARSIMDRTFADFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDETYDNFEPQSMHDKILEVVSISGTWHLGKLQVGLSRARRLAQGRSIKIQLFAALPVQIDGEPWSQQPCTLSISHHGQVLSLSFSLSWHFMSIHVLYGLTT >KJB08338 pep chromosome:Graimondii2_0_v6:1:8048750:8055212:1 gene:B456_001G077800 transcript:KJB08338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEHMLLPSWMDKNPAEMAADSRFFILSCVIAGLVGILTIVYTAFQWRRNINLSWMKAVARSKKIPKTKHKVPVAPHTWELESVSRAKNLNCCACLKPMSPSQTLGPMISSDSFIHRCSICGTVAHLSCSSSAHKDCKCVSMIGFDHVMHQWAVRWAELTDQPDEASFCSYCEEPCSGSFLGGSPIWCCLWCQRLLHVDCHSSMSNETGNICDLGQFRRLILSPLYVKKLSPNSGFLSSITHGANELASSVRATIRSQSKKHQHDSETSFDAGSNGNFCDISTECTADSAENVNGSHAIEENCNGGMNVGTPRQNGGIDKNMEKKPSFKRSESINQKDESQVLRMKQKYELIDLPPDAKPLLVFVNKKSGAQRGYSLKLRLNLLLNPVQVFELSSTQGPEMGLFLFRKVLHLRILVCGGDGTVGWVLNAIDKQNFISPPPVAILPAGTGNDMARVLSWGGGLGAVERQGGLCMVLQQIEHAAVTILDRWKVAILNQQGKQLQSPKFMNNYLGIGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGARSIMDRTFADFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDETYDNFEPQSMHDKILEVVSISGTWHLGKLQVGLSRARRLAQGRSIKIQLFAALPVQIDGEPWSQQPCTLSISHHGQRTAEESLGHAAAIITDVLESAETNHVINASQKRALLQEMALRLT >KJB10453 pep chromosome:Graimondii2_0_v6:1:38995697:38996158:-1 gene:B456_001G202200 transcript:KJB10453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PNPASLESLFHVLNPISFIQAPVTQFLRLTTESSIMERGPTYGAYAELRETKLRMKSGMMQQEKEEIELKQMPTKKQVKFSSSVGVSRKGSSILAQSVSNFSATLRKENQKPPVRSGMELAPPLASGNNWTKENGVWPSNSRGSKPANAGEKK >KJB09113 pep chromosome:Graimondii2_0_v6:1:16234206:16235665:-1 gene:B456_001G128000 transcript:KJB09113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIQKKATDISADLRGTSIFLVGKHLLLDAKNQMNNSVKSNLGMLLADLFRYYYFDSDALVSEAAGGESAAISLKESDEKGFRESETEVLKQLSSMGRLVVCAGDGTVQNSTNFCLSQFIDVPLDMVAKGIIGNKSLLLSSEIVISRSYSEVLSQLMALYEDMRRGYATADATSSLQKVAYQLGYEDMDAVTTEDITMEVLKEIERLTRVK >KJB09251 pep chromosome:Graimondii2_0_v6:1:16819895:16821700:-1 gene:B456_001G131500 transcript:KJB09251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPEGSSVELTVQTRPEIKHLALTREKISLNSVKSRLCEVPGSEKNYPKISYIKLTSFNQRLLWWAFTQRNRNPKIWLEKGVIEYICDNHGVRDIYDTDGSSAIAASEPLAVLVNMGTASASEILTGALKDNKRAVLFGEPTYGKGNKIQSVFQLSDGSGLAVTVAHYETPAHNDSNKVGLIPDHPLPNSFPKDDDSFCGCLQDPAYACYDEFYYLIYVLRNKYLILVLNYMCLFLILIFSGY >KJB06344 pep chromosome:Graimondii2_0_v6:1:8811766:8815232:1 gene:B456_001G082900 transcript:KJB06344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQMNGASVDENNCTQSEEMLLHEALRRLISTIIYPDGSSSSPAPLLQRIKISVSENGPRLVEASRNTSRTVIRWTRSGSPLRALLVISVRVGSIAFLTLTGLLVFMLFFLAATVNAVIISSLIALAAAGGFLALFFACVTVFYIGAISVAAFVISTAIISAIIAALVATGWVGFFWVLWLGTKRSMDLAKHSLSITGSAFSAYSSAQHAHRHQELHKVSD >KJB06343 pep chromosome:Graimondii2_0_v6:1:8811753:8815232:1 gene:B456_001G082900 transcript:KJB06343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQMNGASVDENNCTQSEEMLLHEALRRLISTIIYPDGSSSSPAPLLQRIKISVSENGPRLVEASRNTSRTVIRWTRSGSPLRALLVISVGSIAFLTLTGLLVFMLFFLAATVNAVIISSLIALAAAGGFLALFFACVTVFYIGAISVAAFVISTAIISAIIAALVATGWVGFFWVLWLGTKRSMDLAKHSLSITGSAFSAYSSAQHAHRHQELHKVSD >KJB09895 pep chromosome:Graimondii2_0_v6:1:25195261:25196257:-1 gene:B456_001G173300 transcript:KJB09895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSQIRRPHSHSAALMASNTKLILALFGLLITTATVATGYTNHTVGGYAGWFFHSKTNISATNYTSWAANQTFSLGDCLIFRTTTNQTVIQTYNETTYRNCTTDDAFQYNGGNTDFDQSLTIEVPLTIEGANYYFSDAGDGVQCQRGMAFKILVRHGNGLPPSLNQPPPPPYVEAPSDTAQSPPVTINGESPSLNNKAAVGGTNTGVMLCLLLFGVTSSLMTLIW >KJB09123 pep chromosome:Graimondii2_0_v6:1:15522546:15527907:1 gene:B456_001G124600 transcript:KJB09123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNKRERNQNPQPFLADDDSVASTKKHSKAPKHHQKQDKMISSGMSSKILKEALLQQKEIEEEASGGIAKSAFGSVEEEPNKHEEEEDIDDFGGFSETQSQFGNYEEEIDEDDEKLLEAFLSKDAGPQRTLADVIIQRIKENDANAASEKQPLPKLDDSLIDLYKGVGKFLNKYTAGKMPKAFKHIPSMQLWEDVLYLTQPENWSPNAMFQATRIFASNLGAKKAERFYRLVLLPRVRDDIRKNKRLHFALYQSLKKALYKPAAFNKGILFPLCKSGTCNLREAVIVGSVLEKVSIPMLHSSVALMKLAEMEYCGTTSYFIKLLLEKKYALPYRVVDAVVAHFMRFLEDTRIMPVIWHQSLLAFVQRYKNELLKEDKNNLRVLLETQKHKLVTPEIMRELDNSRNRGEKEDDPMLLASSVHVINKTIEEDRFDIPEVPMEED >KJB09130 pep chromosome:Graimondii2_0_v6:1:15522546:15527957:1 gene:B456_001G124600 transcript:KJB09130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNKRERNQNPQPFLADDDSVASTKKHSKAPKHHQKQDKMISSGMSSKILKEALLQQKEIEEEASGGIAKSAFGSVEEEPNKHEEEEDIDDFGGFSETQSQFGNYEEEIDEDDEKLLEAFLSKDAGPQRTLADVIIQRIKENDANAASEKQPLPKLDDSLIDLYKGVGKFLNKYTAGKMPKAFKHIPSMQLWEDVLYLTQPENWSPNAMFQATRIFASNLGAKKAERFYRLVLLPRVRDDIRKNKRLHFALYQSLKKALYKPAAFNKGILFPLCKSGTCNLREAVIVGSVLEKVSIPMLHSSVALMKLAEMEYCGTTSYFIKLLLEKKYALPYRVVDAVVAHFMRFLEDTRIMPVIWHQSLLAFVQRYKNELLKEDKNNLRVLLETQKHKLVTPEIMRELDNSRNRGEKEDDPMLLASSVHVINKTIEEDRFDIPEVPMEED >KJB09126 pep chromosome:Graimondii2_0_v6:1:15522546:15526797:1 gene:B456_001G124600 transcript:KJB09126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNKRERNQNPQPFLADDDSVASTKKHSKAPKHHQKQDKMISSGMSSKILKEALLQQKEIEEEASGGIAKSAFGSVEEEPNKHEEEEDIDDFGGFSETQSQFGNYEEEIDEDDEKLLEAFLSKDAGPQRTLADVIIQRIKENDANAASEKQPLPKLDDSLIDLYKGVGKFLNKYTAGKMPKAFKHIPSMQLWEDVLYLTQPENWSPNAMFQATRIFASNLGAKKAERFYRLVLLPRVRDDIRKNKRLHFALYQSLKKALYKPAAFNKGILFPLCKSGTCNLREAVIVGSVLEKVSIPMLHSSVALMKLAEMEYCGTTSYFIKLLLEKKYALPYRVVDAVVAHFMRFLEDTRIMPVIWHQSLLAFVQRYKNELLKEDKNNLRVLLETQKHKLVTPEIMRELDNSRNRGEKEDDPMLLDILFFLSGHFLFHVYECKN >KJB09128 pep chromosome:Graimondii2_0_v6:1:15522512:15528162:1 gene:B456_001G124600 transcript:KJB09128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNKRERNQNPQPFLADDDSVASTKKHSKAPKHHQKQDKMISSGMSSKILKEALLQQKEIEEEASGGIAKSAFGSVEEEPNKHEEEEDIDDFGGFSETQSQFGNYEEEIDEDDEKLLEAFLSKDAGPQRTLADVIIQRIKENDANAASEKQPLPKLDDSLIDLYKGVGKFLNKYTAGKMPKAFKHIPSMQLWEDVLYLTQPENWSPNAMFQATRIFASNLGAKKAERFYRLVLLPRVRDDIRKNKRLHFALYQSLKKALYKPAAFNKGILFPLCKSGTCNLREAVIVGSVLEKVSIPMLHSSVALMKLAEMEYCGTTSYFIKLLLEKKYALPYRVVDAVVAHFMRFLEDTRIMPVIWHQSLLAFVQRYKNELLKEDKNNLRVLLETQKHKLVTPEIMRELDNSRNRGEKEDDPMLLASSVHVINKTIEEDRFDIPEVPMEED >KJB09125 pep chromosome:Graimondii2_0_v6:1:15522546:15525678:1 gene:B456_001G124600 transcript:KJB09125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNKRERNQNPQPFLADDDSVASTKKHSKAPKHHQKQDKMISSGMSSKILKEALLQQKEIEEEASGGIAKSAFGSVEEEPNKHEEEEDIDDFGGFSETQSQFGNYEEEIDEDDEKLLEAFLSKDAGPQRTLADVIIQRIKENDANAASEKQPLPKLDDSLIDLYKGVGKFLNKYTAGKMPKAFKHIPSMQLWEDVLYLTQPENWSPNAMFQATRIFASNLGAKKAERFYRLVLLPRVRDDIRKNKRLHFALYQSLKKALYKPAAFNKGILFPLCKSGTCNLREAVIVGSVLEKVSIPMLHSSVALMKLAEMEYCGTTSYFIKLLLEKKYALPYRVVDAVVAHFMRFLEDTRIMPVIWHQSLLAFVQRSVKFI >KJB09127 pep chromosome:Graimondii2_0_v6:1:15522546:15528070:1 gene:B456_001G124600 transcript:KJB09127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNKRERNQNPQPFLADDDSVASTKKHSKAPKHHQKQDKMISSGMSSKILKEALLQQKEIEEEASGGIAKSAFGSVEEEPNKHEEEEDIDDFGGFSETQSQFGNYEEEIDEDDEKLLEAFLSKDAGPQRTLADVIIQRIKENDANAASEKQPLPKLDDSLIDLYKGVGKFLNKYTAGKMPKAFKHIPSMQLWEDVLYLTQPENWSPNAMFQATRIFASNLGAKKAERFYRLVLLPRVRDDIRKNKRLHFALYQSLKKALYKPAAFNKGILFPLCKSGTCNLREAVIVGSVLEKVSIPMLHSSVALMKLAEMEYCGTTSYFIKLLLEKKYALPYRVVDAVVAHFMRFLEDTRIMPVIWHQSLLAFVQRYKNELLKEDKNNLRVLLETQKHKLVTPEIMRELDNSRNRGEKEDDPMLLASSVHVINKTIEEDRFDIPEVPMEED >KJB09129 pep chromosome:Graimondii2_0_v6:1:15522546:15528070:1 gene:B456_001G124600 transcript:KJB09129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNKRERNQNPQPFLADDDSVASTKKHSKAPKHHQKQDKMISSGMSSKILKEALLQQKEIEEEASGGIAKSAFGSVEEEPNKHEEEEDIDDFGGFSETQSQFGNYEEEIDEDDEKLLEAFLSKDAGPQRTLADVIIQRIKENDANAASEKQPLPKLDDSLIDLYKGVGKFLNKYTAGKMPKAFKHIPSMQLWEDVLYLTQPENWSPNAMFQATRIFASNLGAKKAERFYRLVLLPRVRDDIRKNKRLHFALYQSLKKALYKPAAFNKGILFPLCKSGTCNLREAVIVGSVLEKVSIPMLHSSVALMKLAEMEYCGTTSYFIKLLLEKKYALPYRVVDAVVAHFMRFLEDTRIMPVIWHQSLLAFVQRYKNELLKEDKNNLRVLLETQKHKLVTPEIMRELDNSRNRGEKEDDPMLLASSVHVINKTIEEDRFDIPEVPMEED >KJB09124 pep chromosome:Graimondii2_0_v6:1:15522546:15524870:1 gene:B456_001G124600 transcript:KJB09124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNKRERNQNPQPFLADDDSVASTKKHSKAPKHHQKQDKMISSGMSSKILKEALLQQKEIEEEASGGIAKSAFGSVEEEPNKHEEEEDIDDFGGFSETQSQFGNYEEEIDEDDEKLLEAFLSKDAGPQRTLADVIIQRIKENDANAASEKQPLPKLDDSLIDLYKGVGKFLNKYTAGKMPKAFKHIPSMQLWEDVLYLTQPENWSPNAMFQATRIFASNLGAKKAERFYRLVLLPRVRDDIRKNKRLHFALYQSLKKALYKPAAFNKGILFPLCKSGTCNLREAVIVGSVLEKVSIPMLHSRYFTGGLVI >KJB08007 pep chromosome:Graimondii2_0_v6:1:5755055:5759096:1 gene:B456_001G058200 transcript:KJB08007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C6 [Source:Projected from Arabidopsis thaliana (AT3G48610) UniProtKB/Swiss-Prot;Acc:Q8H965] MERSFSFIFLLFILPFVVSQESPIKTIVVLVMENRSFDHMVGWMKQGINPTINGVTGNECNPISTKTPNPKSVCFTNDAQFVDPDPGHSFEAVEQQVFGSTPSSFPSMSGFVEQAFSISPNMSETVMKGFKPEAVPIYASLVKEFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKKQLAQGYPQKTIFDSLHENGKDFGVYFQNIPTTLFYRNLRKLKYVFKFHQFDLKFKKDALNGKLPSLSVIEPRYFDLKGLPANDDHPSHDVANGQKLVKEVYETLRASPQWNETLLVITYDEHGGFYDHVKTPFVNVPNPDGNTGPAPSFFKFDRLGVRVPTIMVSPWIKKGTVISGPKGPTPNSEFEHSSIPATIKKIFNLSSNFLTHRDAWAGTFEDVVSHLTSPRTDCPETLSDVVPLRTTEAKEDAALSEFQSEVVQLAAVLNGDHFLSSFPDEMSKKMTVKEAHEYTKGAVSRFIRASKEALKLGAAESAIVDMRSSLTTRSSNP >KJB10551 pep chromosome:Graimondii2_0_v6:1:40704736:40707632:1 gene:B456_001G207100 transcript:KJB10551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVCCCLRAENFEDYMNPNSNVYRNCLCLGCFVQNFLHVCTTLFQRGEMHSVPSSTEGMASMNTSASLDNSLSDMYCSTPRPLPWDADTRYFRLQRDGLVSRCEKGSSHSQEESEPLRGEDDAGSESLSKGDEWNACEQGSTEQYCKSSQLSSTKGLDGTGYFYSPIEEEDVCPTCLEGKLSLMIMFKPLDFCCKIF >KJB10554 pep chromosome:Graimondii2_0_v6:1:40706137:40709015:1 gene:B456_001G207100 transcript:KJB10554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVPSSTEGMASMNTSASLDNSLSDMYCSTPRPLPWDADTRYFRLQRDGLVSRCEKGSSHSQEESEPLRGEDDAGSESLSKGDEWNACEQGSTEQYCKSSQLSSTKGLDGTGYFYSPIEEEDVCPTCLEEYTLENPKIVTKCSHHFHLGCIYEWMERSENCPVCGKVMAFDETI >KJB10553 pep chromosome:Graimondii2_0_v6:1:40705467:40709015:1 gene:B456_001G207100 transcript:KJB10553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVCCCLRAENFEDYMNPNSNVYRNCLCLGCFVQNFLHVCTTLFQRGEMHSVPSSTEGMASMNTSASLDNSLSDMYCSTPRPLPWDADTRYFRLQRDGLVSRCEKGSSHSQEESEPLRGEDDAGSESLSKGDEWNACEQGSTEQYCKSSQLSSTKGLDGTGYFYSPIEEEDVCPTCLEEYTLENPKIVTKCSHHFHLGCIYEWMERSENCPVCGKVMAFDETI >KJB10552 pep chromosome:Graimondii2_0_v6:1:40704736:40709015:1 gene:B456_001G207100 transcript:KJB10552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVCCCLRAENFEDYMNPNSNVYRNCLCLGCFVQNFLHVCTTLFQRGEMHSVPSSTEGMASMNTSASLDNSLSDMYCSTPRPLPWDADTRYFRLQRDGLVSRCEKGSSHSQEESEPLRGEDDAGSESLSKGDEWNACEQGSTEQYCKSSQLSSTKGLDGTGYFYSPIEEEDVCPTCLEGYGVR >KJB10550 pep chromosome:Graimondii2_0_v6:1:40704729:40709170:1 gene:B456_001G207100 transcript:KJB10550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVCCCLRAENFEDYMNPNSNVYRNCLCLGCFVQNFLHVCTTLFQRGEMHSVPSSTEGMASMNTSASLDNSLSDMYCSTPRPLPWDADTRYFRLQRDGLVSRCEKGSSHSQEESEPLRGEDDAGSESLSKGDEWNACEQGSTEQYCKSSQLSSTKGLDGTGYFYSPIEEEDVCPTCLEEYTLENPKIVTKCSHHFHLGCIYEWMERSENCPVCGKVMAFDETI >KJB07135 pep chromosome:Graimondii2_0_v6:1:12769964:12772449:1 gene:B456_001G110400 transcript:KJB07135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLSYIALDFEQELETAKTSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KJB10928 pep chromosome:Graimondii2_0_v6:1:46979618:46983084:-1 gene:B456_001G232900 transcript:KJB10928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQQEVPVMEVNMNLLGKLESLGFPRARAVRGLHYTGNTTVEDAVNWLIDHENDADIDQMPLVVINLDVESPQPNDITEAIKLKEQELRDRVCMKNRDEEKKLEREREKERIRAGKALLEAKRIAEENERKRLLALRKAEEEEEKRAREKVLKKLDLDKLERKQALGLPLENRAAKPPLPSIQEEKSSLPVKSITKADHMRECLRSLKLAYKDDNAKVKKAFQTLLIYVGNVARSPDEEKFRKIRLSNPKFQERVGSLKGGIKFLELCGFERSEGDEFLVFPRDKVDVQSLNTAGSMLKSALTNPYFGLLEKMKED >KJB10929 pep chromosome:Graimondii2_0_v6:1:46979751:46982886:-1 gene:B456_001G232900 transcript:KJB10929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQQAEVPVMEVNMNLLGKLESLGFPRARAVRGLHYTGNTTVEDAVNWLIDHENDADIDQMPLVVINLDVESPQPNDITEAIKLKEQELRDRVCMKNRDEEKKLEREREKERIRAGKALLEAKRIAEENERKRLLALRKAEEEEEKRAREKVLKKLDLDKLERKQALGLPLENRAAKPPLPSIQEEKSSLPVKSITKADHMRECLRSLKLAYKDDNAKVKKAFQTLLIYVGNVARSPDEEKFRKIRLSNPKFQERVGSLKGGIKFLELCGFERSEGDEFLVFPRDKVDVQSLNTAGSMLKSALTNPYFGLLEKMKED >KJB11641 pep chromosome:Graimondii2_0_v6:1:54606903:54610777:1 gene:B456_001G269200 transcript:KJB11641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFYLFLLVLFSWSCHGLQTYQTQLLLQIRKHLEYPSQLQILDNYNGDLCNLSAMSNLTISCQDNFVTEFKIRGDKVANVSDFNGYAIPSKTLSKTFSIDSLVTTLTRLTSLKVLSLVSLGIWGPLPDKIHRLYSLEFLDLSSNFMFGSIPPQISRMVKLQTLTLDGNYFNDTIPNTLDSLSNLSVLSFRGNRLKGQFPSSICRISSLTDVAMCHNKLSGKLPDLSSLTRLRVLDVRENRLDSELPVMPQGLVTALLAKNLFSGEIPAQFGVLSHLQHLDLSFNQLSGTPPSALFDLPSISYLNLASNVLSGSLPEHLTCGSKLGFVDISNNKFVGDLPSCLDNKSDKRVVKYGGNCLSTDGQQQHQGLYCKEANTRRSGRKTAVLVAIIVVSVLLLMLLAFGILILFQRCRPRRTFETHIRQKVVQDNLTTGVSSEVLANARFISEVAKLGTQGTPICRLFSMEELEEATDNFDSSMFMGEGFTGKLYKGRLENGTYVVIRSLTLQKKYSIQNLKVRLDFLSKLHHPHLVGLLGHCIAGGVQDDSGASKVFLVYDYVPNGNYRMHLSETCPEKVLKWSDRLAILIDVAKAVHFLHTGVIPGVYNNRLKTNNILLDEHRLAKLSDYGMFIIMEENEKLEAKGESLKSSRRKTLEDDVYNFGFILLESLVGPIVSGKGETFLLNEMVSFGSQDGRKRIVDPTVLTTCSQESLSIVVSITGKCICPEPSSRPSFEDVLWNLQYAAQVQAAADADQKSDSTS >KJB11639 pep chromosome:Graimondii2_0_v6:1:54606209:54610914:1 gene:B456_001G269200 transcript:KJB11639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFYLFLLVLFSWSCHGLQTYQTQLLLQIRKHLEYPSQLQILDNYNGDLCNLSAMSNLTISCQDNFVTEFKIRGDKVANVSDFNGYAIPSKTLSKTFSIDSLVTTLTRLTSLKVLSLVSLGIWGPLPDKIHRLYSLEFLDLSSNFMFGSIPPQISRMVKLQTLTLDGNYFNDTIPNTLDSLSNLSVLSFRGNRLKGQFPSSICRISSLTDVAMCHNKLSGKLPDLSSLTRLRVLDVRENRLDSELPVMPQGLVTALLAKNLFSGEIPAQFGVLSHLQHLDLSFNQLSGTPPSALFDLPSISYLNLASNVLSGSLPEHLTCGSKLGFVDISNNKFVGDLPSCLDNKSDKRVVKYGGNCLSTDGQQQHQGLYCKEANTRRSGRKTAVLVAIIVVSVLLLMLLAFGILILFQRCRPRRTFETHIRQKVVQDNLTTGVSSEVLANARFISEVAKLGTQGTPICRLFSMEELEEATDNFDSSMFMGEGFTGKLYKGRLENGTYVVIRSLTLQKKYSIQNLKVRLDFLSKLHHPHLVGLLGHCIAGGVQDDSGASKVFLVYDYVPNGNYRMHLSETCPEKVLKWSDRLAILIDVAKAVHFLHTGVIPGVYNNRLKTNNILLDEHRLAKLSDYGMFIIMEENEKLEAKGESLKSSRRKTLEDDVYNFGFILLESLVGPIVSGKGETFLLNEMVSFGSQDGRKRIVDPTVLTTCSQESLSIVVSITGKCICPEPSSRPSFEDVLWNLQYAAQVQAAADADQKSDSTS >KJB11638 pep chromosome:Graimondii2_0_v6:1:54606209:54610914:1 gene:B456_001G269200 transcript:KJB11638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFYLFLLVLFSWSCHGLQTYQTQLLLQIRKHLEYPSQLQILDNYNGDLCNLSAMSNLTISCQDNFVTEFKIRGDKVANVSDFNGYAIPSKTLSKTFSIDSLVTTLTRLTSLKVLSLVSLGIWGPLPDKIHRLYSLEFLDLSSNFMFGSIPPQISRMVKLQTLTLDGNYFNDTIPNTLDSLSNLSVLSFRGNRLKGQFPSSICRISSLTDVAMCHNKLSGKLPDLSSLTRLRVLDVRENRLDSELPVMPQGLVTALLAKNLFSGEIPAQFGVLSHLQHLDLSFNQLSGTPPSALFDLPSISYLNLASNVLSGSLPEHLTCGSKLGFVDISNNKFVGDLPSCLDNKSDKRVVKYGGNCLSTDGQQQHQGLYCKEANTRRSGRKTAVLVAIIVVSVLLLMLLAFGILILFQRCRPRRTFETHIRQKVVQDNLTTGVSSEVLANARFISEVAKLGTQGTPICRLFSMEELEEATDNFDSSMFMGEGFTGKLYKGRLENGTYVVIRSLTLQKKYSIQNLKVRLDFLSKLHHPHLVGLLGHCIAGGVQDDSGASKVFLVYDYVPNGNYRMHLSETCPEKVLKWSDRLAILIDVAKAVHFLHTGVIPGVYNNRLKTNNILLDEHRLAKLSDYGMFIIMEENEKLEPKENIRG >KJB11640 pep chromosome:Graimondii2_0_v6:1:54606903:54609847:1 gene:B456_001G269200 transcript:KJB11640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFYLFLLVLFSWSCHGLQTYQTQLLLQIRKHLEYPSQLQILDNYNGDLCNLSAMSNLTISCQDNFVTEFKIRGDKVANVSDFNGYAIPSKTLSKTFSIDSLVTTLTRLTSLKVLSLVSLGIWGPLPDKIHRLYSLEFLDLSSNFMFGSIPPQISRMVKLQTLTLDGNYFNDTIPNTLDSLSNLSVLSFRGNRLKGQFPSSICRISSLTDVAMCHNKLSGKLPDLSSLTRLRVLDVRENRLDSELPVMPQGLVTALLAKNLFSGEIPAQFGVLSHLQHLDLSFNQLSGTPPSALFDLPSISYLNLASNVLSGSLPEHLTCGSKLGFVDISNNKFVGDLPSCLDNKSDKRVVKYGGNCLSTDGQQQHQGLYCKEANTRRSGRKTAVLVAIIVVSVLLLMLLAFGILILFQRCRPRRTFETHIRQKVVQDNLTTGVSSEVLANARFISEVAKLGTQGTPICRLFSMEELEEATDNFDSSMFMGEGFTGKLYKGRLENGTYVVIRSLTLQKKYSIQNLKVRLDFLSKLHHPHLVGLLGHCIAGGVQDDSGASKVFLVYDYVPNGNYRMHLSETCPEKVLKWSDRLAILIDVAKAVHFLHTGVIPGVYNNRLKTNNILLDEHRLAKLSDYGMFIIMEENEKLEAKGESLKSRYLYLTCYLQKIPS >KJB11642 pep chromosome:Graimondii2_0_v6:1:54606903:54610777:1 gene:B456_001G269200 transcript:KJB11642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFYLFLLVLFSWSCHGLQTYQTQLLLQIRKHLEYPSQLQILDNYNGDLCNLSAMSNLTISCQDNFVTEFKIRGDKVANVSDFNGYAIPSKTLSKTFSIDSLVTTLTRLTSLKVLSLVSLGIWGPLPDKIHRLYSLEFLDLSSNFMFGSIPPQISRMVKLQTLTLDGNYFNDTIPNTLDSLSNLSVLSFRGNRLKGQFPSSICRISSLTDVAMCHNKLSGKLPDLSSLTRLRVLDVRENRLDSELPVMPQGLVTALLAKNLFSGEIPAQFGVLSHLQHLDLSFNQLSGTPPSALFDLPSISYLNLASNVLSGSLPEHLTCGSKLGFVDISNNKFVGDLPSCLDNKSDKRVVKYGGNCLSTDGQQQHQGLYCKEANTRRSGRKTAVLVAIIVVSVLLLMLLAFGILILFQRCRPRRTFETHIRQKVVQDNLTTGVSSEVLANARFISEVAKLGTQGTPICRLFSMEELEEATDNFDSSMFMGEGFTGKLYKGRLENGTYVVIRSLTLQKKYSIQNLKVRLDFLSKLHHPHLVGLLGHCIAGGVQDDSGASKVFLVYDYVPNGNYRMHLSETCPEKVLKWSDRLAILIDVAKAVHFLHTGVIPGVYNNRLKTNNILLDEHRLAKLSDYGMFIIMEENEKLEAGRELKV >KJB11576 pep chromosome:Graimondii2_0_v6:1:54386370:54388794:-1 gene:B456_001G266600 transcript:KJB11576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGVLISGVLVSWWVLSVNGANLPPCQFPAIYNFGDSNSDTGGISAAFEPIRAPYGVPYFHKPSAERLKLPYLHAYLNSLGANFRHGANFATGGSTIRRPNETIYEYGISPFGLDMQIIQFEQFKARATEMYNQAKDPSEKDKLPRPEDFSKALYTFDIGQNDLSVGFRKLSFDQLRASIPDIINQLASAVHHLYEQGGRSFWIHNTGPIGCLPVNFFYILNPEPGYVDQYGCVKKQNEMAMEFNRQLKDRVIKLRTELPEASITLVDVYTAKIAMIGNAKNLGMADPLKPCCGYHVNYDHVWCGNKAIINKTEVFGASCKNPSMFVSWDGVHYTQAANQYVADRTLNGSLSDPAILITQACHKQ >KJB11573 pep chromosome:Graimondii2_0_v6:1:54386327:54388932:-1 gene:B456_001G266600 transcript:KJB11573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGVLISGVLVSWWVLSVNGANLPPCQFPAIYNFGDSNSDTGGISAAFEPIRAPYGVPYFHKPSGRDSDGRLIIDFIAERLKLPYLHAYLNSLGANFRHGANFATGGSTIRRPNETIYEYGISPFGLDMQIIQFEQFKARATEMYNQAKDPSEKDKLPRPEDFSKALYTFDIGQNDLSVGFRKLSFDQLRASIPDIINQLASAVHHLYEQGGRSFWIHNTGPIGCLPVNFFYILNPEPGYVDQYGCVKKQNEMAMEFNRQLKDRVIKLRTELPEASITLVDVYTAKIAMIGNAKNLGMADPLKPCCGYHVNYDHVWCGNKAIINKTEVFGASCKNPSMFVSWDGVHYTQAANQYVADRTLNGSLSDPAILITQACHKQ >KJB11574 pep chromosome:Graimondii2_0_v6:1:54386790:54388702:-1 gene:B456_001G266600 transcript:KJB11574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGVLISGVLVSWWVLSVNGANLPPCQFPAIYNFGDSNSDTGGISAAFEPIRAPYGVPYFHKPSGRDSDGRLIIDFIAERLKLPYLHAYLNSLGANFRHGANFATGGSTIRRPNETIYEYGISPFGLDMQIIQFEQFKARATEMYNQAKDPSEKDKLPRPEDFSKALYTFDIGQNDLSVGFRKLSFDQLRASIPDIINQLASAVHHLYEQGGRSFWIHNTGPIGCLPVNFFYILNPEPGYVDQYGCVKKQNEMAMEFNRQLKDRVIKLRTELPEASITLVDVYTAKIAMIGNAKNLVCAYFLQEWRIH >KJB11575 pep chromosome:Graimondii2_0_v6:1:54386370:54388794:-1 gene:B456_001G266600 transcript:KJB11575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGVLISGVLVSWWVLSVNGANLPPCQFPAIYNFGDSNSDTGGISAAFEPIRAPYGVPYFHKPSGRDSDGRLIIDFIAERLKLPYLHAYLNSLGANFRHGANFATGGSTIRRPNETIYEYGISPFGLDMQIIQFEQFKARATEMYNQDPSEKDKLPRPEDFSKALYTFDIGQNDLSVGFRKLSFDQLRASIPDIINQLASAVHHLYEQGGRSFWIHNTGPIGCLPVNFFYILNPEPGYVDQYGCVKKQNEMAMEFNRQLKDRVIKLRTELPEASITLVDVYTAKIAMIGNAKNLGMADPLKPCCGYHVNYDHVWCGNKAIINKTEVFGASCKNPSMFVSWDGVHYTQAANQYVADRTLNGSLSDPAILITQACHKQ >KJB10810 pep chromosome:Graimondii2_0_v6:1:46032125:46035384:1 gene:B456_001G226000 transcript:KJB10810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVKAQKSKAYFKRFQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRLVARFTNKDIVAQIIHASISGDIVLAAAYSHELPHYGLEVGLTNYAAAYCVGLLLARRVLKQLEMDTEYEGNVEATGDDFTVEPAESRRPFRALLDVGLVRTTTGNRVFSVLKGALDGGVDIPHSEKRFAGFNKDSKQLDPEVHRKYIYGGHVAAYMKMSPEKYQSHFSEYIKRGIEPDSIEGMYKKVHAAIRADPEAKKSKKEPPKEHKRYNLKKLSYEERKAKLIDRLKALNSAAGVDNDSDKGDD >KJB10809 pep chromosome:Graimondii2_0_v6:1:46032190:46034780:1 gene:B456_001G226000 transcript:KJB10809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVKAQKSKAYFKRFQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRLVARFTNKDIVAQIIHASISGDIVLAAAYSHELPHYGLEVGLTNYAAAYCVGLLLARRVLKQLEMDTEYEGNVEATGDDFTVEPAESRRPFRALLDVGLVRTTTGNRVFSVLKGALDGGVDIPHSEKRFAGFNKDSKQLDPEVHRKYIYGGHVAAYMKNLMEDEPREVPVSLQRVHKERH >KJB07726 pep chromosome:Graimondii2_0_v6:1:3781972:3786404:1 gene:B456_001G041100 transcript:KJB07726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED17 MTRPKPLPNEVLSDLAVSAATKLQCYRQLGKYFKQSAKALEEQIAREARFYGALIRLQQNWKVKRQRLAAAASSSEGFIIDLFDNSLYDSAAMCRPSSLSTIRVDHDSAGMLAINLPPNSCRSLHFGFLGVHSADIPKELGKIRTRGSVEQCTREREKESMSDDEYIKETHTLLREVHQSIFDDQVFEMLNREAFNQSIGVNVTGIRENYLQLSIGQGNTLFISLVPSGKGDDQDAANTQDSESAIVPLDSFDNVKLEGKHGTSKRKWGFPNRTSCEIYLQQIVHEHAFVKAKDKPNLSGTRVSGQSGKDGPGLLGHFCLSLAHRIFSNRVLVELENVVCRVPYLHLMTHPTWHSQTSSWTIFLKVPQSILHAESQSLKSDFQNMKDAVKSQFRTKVVVNDDRINVEGDGAPNVVSLFKRSSENISSVNKYECDLADLPVIILLQAASQVICWLHEEALMVGIKTNRDFLCLTFELEQGDTVSLVAHVNPEDIKGGIAWWLVMEDGSPDDWKLQMDMYDGASEYRKFLGHLTLDVLYSTLMDLVSLCGGGRNH >KJB07725 pep chromosome:Graimondii2_0_v6:1:3781145:3785572:1 gene:B456_001G041100 transcript:KJB07725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED17 MDGNLEISLDKLPIKRLESIEENGVERYPSDSSYDEKRVSLIRRIDFAWALEDDEERERKKRQKKSSKDASATWQWQSMVENLQLAHQELSVIIDLINTVDANDAVTVAGMTRPKPLPNEVLSDLAVSAATKLQCYRQLGKYFKQSAKALEEQIAREARFYGALIRLQQNWKVKRQRLAAAASSSEGFIIDLFDNSLYDSAAMCRPSSLSTIRVDHDSAGMLAINLPPNSCRSLHFGFLGVHSADIPKELGKIRTRGSVEQCTREREKESMSDDEYIKETHTLLREVHQSIFDDQVFEMLNREAFNQSIGVNVTGIRENYLQLSIGQGNTLFISLVPSGKGDDQDAANTQDSESAIVPLDSFDNVKLEGKHGTSKRKWGFPNRTSCEIYLQQIVHEHAFVKAKDKPNLSGTRVSGQSGKDGPGLLGHFCLSLAHRIFSNRVLVELENVVCRVPYLHLMTHPTWHSQTSSWTIFLKVPQSILHAESQSLKSDFQNMKDAVKSQFRTKVVVNDDRINVEGDGAPNVVSLFKRSSENISSVNKYECDLADLPVIILLQVGGYC >KJB07723 pep chromosome:Graimondii2_0_v6:1:3781136:3786356:1 gene:B456_001G041100 transcript:KJB07723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED17 MDGNLEISLDKLPIKRLESIEENGVERYPSDSSYDEKRVSLIRRIDFAWALEDDEERERKKRQKKSSKDASATWQWQSMVENLQLAHQELSVIIDLINTVDANDAVTVAGMTRPKPLPNEVLSDLAVSAATKLQCYRQLGKYFKQSAKALEEQIAREARFYGALIRLQQNWKVKRQRLAAAASSSEGFIIDLFDNSLYDSAAMCRPSSLSTIRVDHDSAGMLAINLPPNSCRSLHFGFLGVHSADIPKELGKIRTRGSVEQCTREREKESMSDDEYIKETHTLLREVHQSIFDDQVFEMLNREAFNQSIGVNVTGIRENYLQLSIGQGNTLFISLVPSGKGDDQDAANTQDSESAIVPLDSFDNVKLEGKHGTSKRKWGFPNRTSCEIYLQQIVHEHAFVKAKDKPNLSGTRVSGQSGKDGPGLLGHFCLSLAHRIFSNRVLVELENVCRVPYLHLMTHPTWHSQTSSWTIFLKVPQSILHAESQSLKSDFQNMKDAVKSQFRTKVVVNDDRINVEGDGAPNVVSLFKRSSENISSVNKYECDLADLPVIILLQAASQVICWLHEEALMVGIKTNRDFLCLTFELEQGDTVSLVAHVNPEDIKGGIAWWLVMEDGSPDDWKLQMDMYDGASEYRKFLGHLTLDVLYSTLMDLVSLCGGGRNH >KJB07721 pep chromosome:Graimondii2_0_v6:1:3781041:3786404:1 gene:B456_001G041100 transcript:KJB07721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED17 MDGNLEISLDKLPIKRLESIEENGVERYPSDSSYDEKRVSLIRRIDFAWALEDDEERERKKRQKKSSKDASATWQWQSMVENLQLAHQELSVIIDLINTVDANDAVTVAGMTRPKPLPNEVLSDLAVSAATKLQCYRQLGKYFKQSAKALEEQIAREARFYGALIRLQQNWKVKRQRLAAAASSSEGFIIDLFDNSLYDSAAMCRPSSLSTIRVDHDSAGMLAINLPPNSCRSLHFGFLGVHSADIPKELGKIRTRGSVEQCTREREKESMSDDEYIKETHTLLREVHQSIFDDQVFEMLNREAFNQSIGVNVTGIRENYLQLSIGQGNTLFISLVPSGKGDDQDAANTQDSESAIVPLDSFDNVKLEGKHGTSKRKWGFPNRTSCEIYLQQIVHEHAFVKAKDKPNLSGTRVSGQSGKDGPGLLGHFCLSLAHRIFSNRVLVELENVVCRVPYLHLMTHPTWHSQTSSWTIFLKVPQSILHAESQSLKSDFQNMKDAVKSQFRTKVVVNDDRINVEGDGAPNVVSLFKRSSENISSVNKYECDLADLPVIILLQAASQVICWLHEEALMVGIKTNRDFLCLTFELEQGDTVSLVAHVNPEDIKGGIAWWLVMEDGSPDDWKLQMDMYDGASEYRKFLGHLTLDVLYSTLMDLVSLCGGGRNH >KJB07722 pep chromosome:Graimondii2_0_v6:1:3781136:3784659:1 gene:B456_001G041100 transcript:KJB07722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED17 MDGNLEISLDKLPIKRLESIEENGVERYPSDSSYDEKRVSLIRRIDFAWALEDDEERERKKRQKKSSKDASATWQWQSMVENLQLAHQELSVIIDLINTVDANDAVTVAGMTRPKPLPNEVLSDLAVSAATKLQCYRQLGKYFKQSAKALEEQIAREARFYGALIRLQQNWKVKRQRLAAAASSSEGFIIDLFDNSLYDSAAMCRPSSLSTIRVDHDSAGMLAINLPPNSCRSLHFGFLGVHSADIPKELGKIRTRGSVEQCTREREKESMSDDEYIKETHTLLREVHQSIFDDQVFEMLNREAFNQSIGVNVTGIRENYLQLSIGQGNTLFISLVPSGKGDDQDAANTQDSESAIVPLDSFDNVKLEGKHGTSKRKWGFPNRTSCEIYLQQIVHEHAFVKAKDKPNLSGTRVSGQSGKDGPGLLGHFCLSLAHRIFSNRVLVELENVVCRVPYLHLMTHPTWHSQTSSWTIFLKVPQSILHAESQSLKSDFQNMKDAVKSQFRTKVVVNDDRINVEGDGAPNVVSLFKRSSENISSVNKYECDLADLPVIILLQVGYFCQFLGMASLSFIFGPCLCLLNWLIMLS >KJB07727 pep chromosome:Graimondii2_0_v6:1:3781972:3786404:1 gene:B456_001G041100 transcript:KJB07727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED17 MVDANDAVTVAGMTRPKPLPNEVLSDLAVSAATKLQCYRQLGKYFKQSAKALEEQIAREARFYGALIRLQQNWKVKRQRLAAAASSSEGFIIDLFDNSLYDSAAMCRPSSLSTIRVDHDSAGMLAINLPPNSCRSLHFGFLGVHSADIPKELGKIRTRGSVEQCTREREKESMSDDEYIKETHTLLREVHQSIFDDQVFEMLNREAFNQSIGVNVTGIRENYLQLSIGQGNTLFISLVPSGKGDDQDAANTQDSESAIVPLDSFDNVKLEGKHGTSKRKWGFPNRTSCEIYLQQIVHEHAFVKAKDKPNLSGTRVSGQSGKDGPGLLGHFCLSLAHRIFSNRVLVELENVVCRVPYLHLMTHPTWHSQTSSWTIFLKVPQSILHAESQSLKSDFQNMKDAVKSQFRTKVVVNDDRINVEGDGAPNVVSLFKRSSENISSVNKYECDLADLPVIILLQAASQVICWLHEEALMVGIKTNRDFLCLTFELEQGDTVSLVAHVNPEDIKGGIAWWLVMEDGSPDDWKLQMDMYDGASEYRKFLGHLTLDVLYSTLMDLVSLCGGGRNH >KJB07724 pep chromosome:Graimondii2_0_v6:1:3781136:3786356:1 gene:B456_001G041100 transcript:KJB07724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED17 MDGNLEISLDKLPIKRLESIEENGVERYPSDSSYDEKRVSLIRRIDFAWALEDDEERERKKRQKKSSKDASATWQWQSMVENLQLAHQELSVIIDLINTVDANDAVTVAGMTRPKPLPNEVLSDLAVSAATKLQCYRQLGKYFKQSAKALEEQIAREARFYGALIRLQQNWKVKRQRLAAAASSSEGFIIDLFDNSLYDSAAMCRPSSLSTIRVDHDSAGMLAINLPPNSCRSLHFGFLGVHSADIPKELGKIRTRGSVEQCTREREKESMSDDEYIKETHTLLREVHQSIFDDQVFEMLNREAFNQSIGVNVTGIRENYLQLSIGQGNTLFISLVPSGKGDDQDAANTQDSESAIVPLDSFDNVKLEGKHGTSKRKWGFPNRTSCEIYLQQIVHEHAFVKAKDKPNLSGTRVSGQSGKDGPGLLGHFCLSLAHRIFSNRVLVELENVVCRVPYLHLMTHPTWHSQTSSWTIFLKVPQSILHAESQSLKSDFQNMKDAVKSQFRTKVVVNDDRINVEGDGAPNVVSLFKRSSENISSVNKYECDLADLPVIILLQGDTVSLVAHVNPEDIKGGIAWWLVMEDGSPDDWKLQMDMYDGASEYRKFLGHLTLDVLYSTLMDLVSLCGGGRNH >KJB08860 pep chromosome:Graimondii2_0_v6:1:12412856:12414496:1 gene:B456_001G108500 transcript:KJB08860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALEMSLDDLIKRSRKSGSGNSRGRGRGSTAGPARRIPNRRANRSAPYTAAKAPETMWQHDMYSDKGSALQGQAGRASAIETGTKLYISNLDYGVSNDDIKELFSEVGDLKRYGIHYDRSGRSKGTAEVVFSRRTDAMAAVKRYNNVQLDGKPMKIEIVGTNIATPTAPSAANGTFGSSNGAPRGYVL >KJB08862 pep chromosome:Graimondii2_0_v6:1:12412856:12416480:1 gene:B456_001G108500 transcript:KJB08862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALEMSLDDLIKRSRKSGSGNSRGRGRGSTAGPARRIPNRRANRSAPYTAAKAPETMWQHDMYSDKGSALQGQAGRASAIETGTKLYISNLDYGVSNDDIKELFSEVGDLKRYGIHYDRSGRSKGTAEVVFSRRTDAMAAVKRYNNVQLDGKPMKIEIVGTNIATPTAPSAANGTFGSSNGAPRGGQGRGGGFGRQRGGAGGRGFGRGRGQGKGRGEKVSAEDLDADLEKYHSEAMQTN >KJB08859 pep chromosome:Graimondii2_0_v6:1:12412833:12416480:1 gene:B456_001G108500 transcript:KJB08859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALEMSLDDLIKRSRKSGSGNSRGRGRGSTAGPARRIPNRRANRSAPYTAAKAPETMWQHDMYSDKGSALQGQAGRASAIETGTKLYISNLDYGVSNDDIKELFSEVGDLKRYGIHYDRSGRSKGTAEVVFSRRTDAMAAVKRYNNVQLDGKPMKIEIVGTNIATPTAPSAANGTFGSSNGAPRGGQGRGGGFGRQRGGAGGRGFGRGRGQGKGRGEKVSAEDLDADLEKYHSEAMQTN >KJB08858 pep chromosome:Graimondii2_0_v6:1:12412833:12416502:1 gene:B456_001G108500 transcript:KJB08858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALEMSLDDLIKRSRKSGSGNSRGRGRGSTAGPARRIPNRRANRSAPYTAAKAPETMWQHDMYSDKGSALQGQAGRASAIETGTKLYISNLDYGVSNDDIKELFSEVGDLKRYGIHYDRSGRSKGTAEVVFSRRTDAMAAVKRYNNVQLDGKPMKIEIVGTNIATPTAPSAANGTFGSSNGAPRGGQGRGGGFGRQRGGAGGRGFGRGRGQGKGRGEKVSAEDLDADLEKYHSEAMQTN >KJB08861 pep chromosome:Graimondii2_0_v6:1:12412833:12416483:1 gene:B456_001G108500 transcript:KJB08861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALEMSLDDLIKRSRKSGSGNSRGRGRGSTAGPARRIPNRRANRSAPYTAAKAPETMWQHDMYSDKGSALQGQAGRASAIETGTKLYISNLDYGVSNDDIKELFSEVGDLKRYGIHYDRSGRSKGTAEVVFSRRTDAMAAVKRYNNVQLDGKPMKIEIVGTNIATPTAPSAANGTFGSSNGAPRGGQGRGGGFGRQRGGAGGRGFGRGRGQGKGRGEKVSAEDLDADLEKYHSEAMQTN >KJB08857 pep chromosome:Graimondii2_0_v6:1:12412856:12416464:1 gene:B456_001G108500 transcript:KJB08857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALEMSLDDLIKRSRKSGSGNSRGRGRGSTAGPARRIPNRRANRSAPYTAAKAPETMWQHDMYSDKGSALQGQAGRASAIETGTKLYISNLDYGVSNDDIKELFSEVGDLKRYGIHYDRSGRSKGTAEVVFSRRTDAMAAVKRYNNVQLDGKPMKIEIVGTNIATPTAPSAANGTFGSSNGAPRGGQGRGGGFGRQRGGAGGRGFGRGRGQGKGRGEKVSAEDLDADLEKYHSEAMQTN >KJB07484 pep chromosome:Graimondii2_0_v6:1:2446852:2451678:-1 gene:B456_001G026300 transcript:KJB07484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSLTTTDGHNNIVSGAGFETTPFGRKADEDIKKLRVLKELVAEKKMNEYDSGGRSGDKGPGAENDGNTAPLPEKVSVGGSPMYRIEKKLGKGGFGQVYVGRRIGATGPGALEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHYKGRQGDYYVMVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGLPGTPDEKKLFLVDLGLATKWRDSSSGQHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPAPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPHIRPINTDGAQKLIYQVGQKRGRLTMEDEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLAQHIEKGSEDGLFISSVASCSNLWALIMDAGTGFTAQVYELSPYFLHKVGMDYGAVGEELLHQCNCRS >KJB07483 pep chromosome:Graimondii2_0_v6:1:2445532:2452060:-1 gene:B456_001G026300 transcript:KJB07483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSLTTTDGHNNIVSGAGFETTPFGRKADEDIKKLRVLKELVAEKKMNEYDSGGRSGDKGPGAENDGNTAPLPEKVSVGGSPMYRIEKKLGKGGFGQVYVGRRIGATGPGALEVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGLPGTPDEKKLFLVDLGLATKWRDSSSGQHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPAPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPHIRPINTDGAQKLIYQVGQKRGRLTMEDEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLAQHIEKGSEDGLFISSVASCSNLWALIMDAGTGFTAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAPFSDQVVELDFLYPSEGIHRRWDSGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >KJB07477 pep chromosome:Graimondii2_0_v6:1:2443813:2452106:-1 gene:B456_001G026300 transcript:KJB07477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSLTTTDGHNNIVSGAGFETTPFGRKADEDIKKLRVLKELVAEKKMNEYDSGGRSGDKGPGAENDGNTAPLPEKVSVGGSPMYRIEKKLGKGGFGQVYVGRRIGATGPGALEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHYKGRQGDYYVMVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGLPGTPDEKKLFLVDLGLATKWRDSSSGQHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPAPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPHIRPINTDGAQKLIYQVGQKRGRLTMEDEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLAQHIEKGSEDGLFISSVASCSNLWALIMDAGTGFTAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAPFSDQVVELDFLYPSEGIHRRWDSGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKRLFYMWLKAFAKELQGDGPTFDLVQLQVHLS >KJB07479 pep chromosome:Graimondii2_0_v6:1:2444459:2452060:-1 gene:B456_001G026300 transcript:KJB07479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSLTTTDGHNNIVSGAGFETTPFGRKADEDIKKLRVLKELVAEKKMNEYDSGGRSGDKGPGAENDGNTAPLPEKVSVGGSPMYRIEKKLGKGGFGQVYVGRRIGATGPGALEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHYKGRQGDYYVMVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGLPGTPDEKKLFLVDLGLATKWRDSSSGQHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPAPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPHIRPINTDGAQKLIYQVGQKRGRLTMEDEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLAQHIEKGSEDGLFISSVASCSNLWALIMDAGTGFTAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAPFSDQVVELDFLYPSEGIHRRWDSGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKRLFYMWLKAFAKELQGDGPTFDLVQLQVHLS >KJB07482 pep chromosome:Graimondii2_0_v6:1:2445532:2452060:-1 gene:B456_001G026300 transcript:KJB07482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSLTTTDGHNNIVSGAGFETTPFGRKADEDIKKLRVLKELVAEKKMNEYDSGGRSGDKGPGAENDGNTAPLPEKVSVGGSPMYRIEKKLGKGGFGQVYVGRRIGATGPGALEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHYKGRQGDYYVMVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGLPGTPDEKKLFLVDLGLATKWRDSSSGQHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPAPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPHIRPINTDGAQKLIYQVGQKRGRLTMEDEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLAQHIEKGSEDGLFISSVASCSNLWALIMDAGTGFTAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAPFSDQVVELDFLYPSEGIHRRWDSGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >KJB07480 pep chromosome:Graimondii2_0_v6:1:2443813:2452106:-1 gene:B456_001G026300 transcript:KJB07480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSLTTTDGHNNIVSGAGFETTPFGRKADEDIKKLRVLKELVAEKKMNEYDSGGRSGDKGPGAENDGNTAPLPEKVSVGGSPMYRIEKKLGKGGFGQVYVGRRIGATGPGALEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHYKGRQGDYYVMVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGLPGTPDEKKLFLVDLGLATKWRDSSSGQHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPAPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPHIRPINTDGAQKLIYQVGQKRGRLTMEDEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLAQHIEKGSEDGLFISSVASCSNLWALIMDAGTGFTAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAPFSDQVVELDFLYPSEGIHRRWDSGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKRLFYMWLKAFAKELQGDGPTFDLVQLQVHLS >KJB07478 pep chromosome:Graimondii2_0_v6:1:2446127:2451678:-1 gene:B456_001G026300 transcript:KJB07478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSLTTTDGHNNIVSGAGFETTPFGRKADEDIKKLRVLKELVAEKKMNEYDSGGRSGDKGPGAENDGNTAPLPEKVSVGGSPMYRIEKKLGKGGFGQVYVGRRIGATGPGALEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHYKGRQGDYYVMVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGLPGTPDEKKLFLVDLGLATKWRDSSSGQHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPAPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPHIRPINTDGAQKLIYQVGQKRGRLTMEDEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLAQHIEKGSEDGLFISSVASCSNLWALIMDAGTGFTAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAPFSDQVVELDFLYPSEGIHRRWDSGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >KJB07481 pep chromosome:Graimondii2_0_v6:1:2446127:2450643:-1 gene:B456_001G026300 transcript:KJB07481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGLPGTPDEKKLFLVDLGLATKWRDSSSGQHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPAPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPHIRPINTDGAQKLIYQVGQKRGRLTMEDEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLAQHIEKGSEDGLFISSVASCSNLWALIMDAGTGFTAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAPFSDQVVELDFLYPSEGIHRRWDSGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >KJB07735 pep chromosome:Graimondii2_0_v6:1:3843764:3845915:1 gene:B456_001G041800 transcript:KJB07735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSHNDAPLNSTNPANSTNPASSFTTADPINLVNSELPPTQNLTENAPLNQLSNQPFLSLSQPFSSFCAVHGNPQHSLGLQPIYIRATYYPCPTSSHQLPTKVACKLAPATGGVKKPHRSKPGTVPLREINLVREITQDFKTGLRFQSSVVTALQEVPKAYLVGLFEDINLYTIHAKRVIIMPKYIQLARRIRGERAYIICLFVFTF >KJB10903 pep chromosome:Graimondii2_0_v6:1:46807738:46812375:1 gene:B456_001G231100 transcript:KJB10903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVGKDIATGSFARTFADIDLDDGNQDSVPIDRDNEEIKESLPTACALRVFPKPTISSLFPSVSVSVSVSSLTKLLSFKPFFSFLFQNPCIKKMGSKRDSNSAVKKVFEWIRKQSKKMKILLAVMAMLFSLVALKLTAKYHNHFFVASESIHAAGILVLIYKLTTKKTCSGLSLKSQELTAIYLAVRVVCSFNLEGDIHTLLDFATFLFTAWVIFMIRFKLKSTYIKELDNFPIYYMVVPCAILAMLINPRTAHIYFSHVLWAFCVYLEAVSVMPQLRMMQNAKMIEPFTAHYVFALGMARFLACAHWIIQVYETGGRYLFLVGYGYLWFPMAILAEIVQTFILVDFCYYYIKSFMQGQLIIRMPV >KJB10902 pep chromosome:Graimondii2_0_v6:1:46807738:46812329:1 gene:B456_001G231100 transcript:KJB10902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVGKDIATGSFARTFADIDLDDGNQDSVPIDRDNEEIKESLPTACALRVFPKPTISSLFPSVSVSVSVSSLTKLLSFKPFFSFLFQNPCIKKMGSKRDSNSAVKKVFEWIRKQSKKMKILLAVMAMLFSLVALKLTAKYHNHFFVASESIHAAGILVLIYKLTTKKTCSGLSLKSQELTAIYLAVRVVCSFNLEGDIHTLLDFATFLFTAWVIFMIRFKLKSTYIKELDNFPIYYMVVPCAILAMLINPRTAHIYFSHVLWAFCVYLEAVSVMPQLRMMQNAKMIEPFTAHYVFALGMARFLACAHWIIQEGGICSWWDMVTFGFPWLYLQKLFKHSSWLTSVTIILRVSCRAN >KJB09169 pep chromosome:Graimondii2_0_v6:1:16076942:16083057:-1 gene:B456_001G127200 transcript:KJB09169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTHVTKRAKYKTTIKDPGTPGTLRMTFEKILFVPHNPKSAGKLDVEFRYIKGQKHTKEGSNKPPWLNLTNNQNGSFIFEFENYSDLQECRDFVGKVLAKGGEVSEKPTVSYPDEQLSAAEMELRIKLLQEDSELQKLHKQFVLSGVLTETEFWATRKKLLDREVSKKTKQRLGFKSAMISDIKPSTDGRTNKVTFNLTPEVILQIFAEKPAVHRAFLSYVPNKMSERTFWTKYFRAEYLHSTKNSIAAAAEAAEDEELAVFLKQDDILASEAQKKIRRVDPTLDMEADEGDDYTHLPDHGIFREGNKEMTESQNELYKRSLSQDINRHAAVVLEGRAVDVELEDTKAVAEALAQSKQKSSNKGESDGDISRERLDRLSRMTEIEDLQGPNTLPLAPLCIKDPRDYFDSQQANALRTSGDALGGIEQIKCGLSTQEVYGSLRESISSIKAMGLKEPIVKPEVAHQVLDALTNSISNTKYHIGKNPQESVLDRLPRKTKEELLHHWTSILELLKHFWASYPITTTYLYAKVNRLKDAMSNIYPQLEVNVKKN >KJB09168 pep chromosome:Graimondii2_0_v6:1:16075765:16083278:-1 gene:B456_001G127200 transcript:KJB09168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTHVTKRAKYKTTIKDPGTPGTLRMTFEKILFVPHNPKSAGKLDVEFRYIKGQKHTKEGSNKPPWLNLTNNQNGSFIFEFENYSDLQECRDFVGKVLAKGGEVSEKPTVSYPDEQLSAAEMELRIKLLQEDSELQKLHKQFVLSGVLTETEFWATRKKLLDREVSKKTKQRLGFKSAMISDIKPSTDGRTNKVTFNLTPEVILQIFAEKPAVHRAFLSYVPNKMSERTFWTKYFRAEYLHSTKNSIAAAAEAAEDEELAVFLKQDDILASEAQKKIRRVDPTLDMEADEGDDYTHLPDHGIFREGNKEMTESQNELYKRSLSQDINRHAAVVLEGRAVDVELEDTKAVAEALAQSKQKSSNKGESDGDISRERLDRLSRMTEIEDLQGPNTLPLAPLCIKVLDALTNSISNTKYHIGKNPQESVLDRLPRKTKEELLHHWTSILELLKHFWASYPITTTYLYAKVNRLKDAMSNIYPQLEEIKGSVPSELRHQVSLLVRPMHQALDAAIQHYEASMQKRSAQSGERPNGYV >KJB09167 pep chromosome:Graimondii2_0_v6:1:16075667:16083330:-1 gene:B456_001G127200 transcript:KJB09167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTHVTKRAKYKTTIKDPGTPGTLRMTFEKILFVPHNPKSAGKLDVEFRYIKGQKHTKEGSNKPPWLNLTNNQNGSFIFEFENYSDLQECRDFVGKVLAKGGEVSEKPTVSYPDEQLSAAEMELRIKLLQEDSELQKLHKQFVLSGVLTETEFWATRKKLLDREVSKKTKQRLGFKSAMISDIKPSTDGRTNKVTFNLTPEVILQIFAEKPAVHRAFLSYVPNKMSERTFWTKYFRAEYLHSTKNSIAAAAEAAEDEELAVFLKQDDILASEAQKKIRRVDPTLDMEADEGDDYTHLPDHGIFREGNKEMTESQNELYKRSLSQDINRHAAVVLEGRAVDVELEDTKAVAEALAQSKQKSSNKGESDGDISRERLDRLSRMTEIEDLQGPNTLPLAPLCIKDPRDYFDSQQANALRTSGDALGGIEQIKCGLSTQEVYGSLRESISSIKAMGLKEPIVKPEVAHQVLDALTNSISNTKYHIGKNPQESVLDRLPRKTKEELLHHWTSILELLKHFWASYPITTTYLYAKVNRLKDAMSNIYPQLEEIKGSVPSELRHQVSLLVRPMHQALDAAIQHYEASMQKRSAQSGERPNGYV >KJB09170 pep chromosome:Graimondii2_0_v6:1:16075767:16083278:-1 gene:B456_001G127200 transcript:KJB09170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTHVTKRAKYKTTIKDPGTPGTLRMTFEKILFVPHNPKSAGKLDVEFRYIKGQKHTKEGSNKPPWLNLTNNQNGSFIFEFENYSDLQECRDFVGKVLAKGGEVSEKPTVSYPDEQLSAAEMELRIKLLQEDSELQKLHKQFVLSGVLTETEFWATRKKLLDREVSKKTKQRLGFKSAMISDIKPSTDGRTNKVTFNLTPEVILQIFAEKPAVHRAFLSYVPNKMSERTFWTKYFRAEYLHSTKNSIAAAAEAAEDEELAVFLKQDDILASEAQKKIRRVDPTLDMEADEGDDYTHLPDHGIFREGNKEMTESQNELYKRSLSQDINRHAAVVLEGRAVDVELEDTKAVAEALAQSKQKSSNKGESDGDISRERLDRLSRMTEIEDLQGPNTLPLAPLCIKDPRDYFDSQQANALRTSGDALGGIEQIKCGLSTQEVYGSLRESISSIKAMGLKEPIVKPEVAHQVLDALTNSISNTKYHIGKNPQESVLDRLPRKTKEELLHHWTSILELLKHFWASYPITTTYLYAKVNRLKDAMSNIYPQLEVNIKGSVPSELRHQVSLLVRPMHQALDAAIQHYEASMQKRSAQSGERPNGYV >KJB08804 pep chromosome:Graimondii2_0_v6:1:11758293:11759390:-1 gene:B456_001G104900 transcript:KJB08804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFSLASTTVVKKKTQFSSLLLSNFMLFCSLILSHPLYFSYFIFFFPYLFKIFSFLSPLFVTTSLLVIAFLTVLRSEVVDGESDDGFGCLEELEAYKIVFETSTIVDIRENPDEVSGVEPIVGCLQGVELEEASVQRVETLTSGEFTETVRVNATVKILEEFLRQKDDGVVEILSSKSVDANNDEGSNPKTTMNADNGKEFEAKEMEWKKTLACKLFEERHNAMAAAATTENEGGEGMDLLWETYESSDTNKSKLKSFGSKKGKKGGNYEEDDDDNDYDDDSDGKLCCLQALKFSTGKINLGMGMGRPNFVKISKAFKGFGWLHHVGSTKHGKKGYY >KJB07858 pep chromosome:Graimondii2_0_v6:1:4578205:4581055:1 gene:B456_001G048000 transcript:KJB07858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSMANLSRRVYRSLLCNPRTSQLSMPFCTTTPISSSAETSDSDSDPFPDLPSSQSSTPLESAKDSNTKSRLYDCPLENGFDTGIYKVGFETTGGHMGMRNQWSMLIAVLFSGIAFVFTKSTWEVLS >KJB07857 pep chromosome:Graimondii2_0_v6:1:4578159:4581243:1 gene:B456_001G048000 transcript:KJB07857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSMANLSRRVYRSLLCNPRTSQLSMPFCTTTPISSSAETSDSDSDPFPDLPSSQSSTPLESAKDSNTKSRLYDCPLENGFDTGIYKAILVGQVGQTPINKKLKSGLSVTLFSLGTGGIRNNRRPYGNEEPVEYANRCAIQWHRVCVYQEHLGGLVLKHALPGTTLYLEGNLEMKVFADPISGMVRRLREISVRRNGRIVFLGNVDKEEGPATGEMKGVGFF >KJB07859 pep chromosome:Graimondii2_0_v6:1:4578205:4581055:1 gene:B456_001G048000 transcript:KJB07859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSMANLSRRVYRSLLCNPRTSQLSMPFCTTTPISSSAETSDSDSDPFPDLPSSQSSTPLESAKDSNTKSRLYDCPLENGFDTGIYKAILVGQVGQTPINKKLKSGLSVTLFSLGTGGIRNNRRPYGNEEPVEYANRCAIQWHRVCVYQEHLGGLVLKHALPGG >KJB10368 pep chromosome:Graimondii2_0_v6:1:35130559:35134964:1 gene:B456_001G197700 transcript:KJB10368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVMMAADASSMRGGGATSFASSPSSNLLPNNLPLLTAFLAFALAQFLKLFTNWFKERRWDSKRMIDSGGMPSSHSATVTALAVAIGLQDGMGGPAFAIAVVLACVEYCWWQSLLSIFFSLTFLFPLIDAMAIDLTTSASMSLTMLISMVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSSVRPLRELLGHTPLQVVAGALVGFIVAFLMRNSG >KJB10374 pep chromosome:Graimondii2_0_v6:1:35130877:35133090:1 gene:B456_001G197700 transcript:KJB10374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVMMAADASSMRGGGATSFASSPSSNLLPNNLPLLTAFLAFALAQFLKLFTNWFKERRWDSKRMIDSGGMPSSHSATVTALAVAIGLQDGMGGPAFAIAVVLACVEYCWWQSLLSIFFSLTFLFPLIDAMAIDLTTSASMSLTMLISMVFSHSSRESLLHFVSLFPFCIQQSHSNSAF >KJB10370 pep chromosome:Graimondii2_0_v6:1:35130480:35134979:1 gene:B456_001G197700 transcript:KJB10370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVMMAADASSMRGGGATSFASSPSSNLLPNNLPLLTAFLAFALAQFLKLFTNWFKERRWDSKRMIDSGGMPSSHSATVTALAVAIGLQDGMGGPAFAIAVVLACVEYCWWQSLLSIFFSLTFLFPLIDAMAIDLTTSASMSLTMLISMVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSSVRPLRELLGHTPLQVVAGALVGFIVAFLMRNSG >KJB10371 pep chromosome:Graimondii2_0_v6:1:35132544:35134684:1 gene:B456_001G197700 transcript:KJB10371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFKERRWDSKRMIDSGGMPSSHSATVTALAVAIGLQDGMGGPAFAIAVVLACVVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSSVRPLRELLGHTPLQVVAGALVGFIVAFLMRNSG >KJB10369 pep chromosome:Graimondii2_0_v6:1:35130559:35134964:1 gene:B456_001G197700 transcript:KJB10369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVMMAADASSMRGGGATSFASSPSSNLLPNNLPLLTAFLAFALAQFLKLFTNWFKERRWDSKRMIDSGGMPSSHSATVTALAVAIGLQDGMGGPAFAIAVVLACVVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSSVRPLRELLGHTPLQVVAGALVGFIVAFLMRNSG >KJB10375 pep chromosome:Graimondii2_0_v6:1:35130559:35134964:1 gene:B456_001G197700 transcript:KJB10375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVMMAADASSMRGGGATSFASSPSSNLLPNNLPLLTAFLAFALAQFLKLFTNWFKERRWDSKRMIDSGGMPSSHSATVTALAVAIGLQDGMGGPAFAIAVVLACVVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSSVRPLRELLGHTPLQVVAGALVGFIVAFLMRNSG >KJB10373 pep chromosome:Graimondii2_0_v6:1:35130480:35134979:1 gene:B456_001G197700 transcript:KJB10373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVMMAADASSMRGGGATSFASSPSSNLLPNNLPLLTAFLAFALAQFLKLFTNWFKERRWDSKRMIDSGGMPSSHSATVTALAVAIGLQDGMGGPAFAIAVVLACVVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSSVRPLRELLGHTPLQVVAGALVGFIVAFLMRNSG >KJB10372 pep chromosome:Graimondii2_0_v6:1:35130559:35134964:1 gene:B456_001G197700 transcript:KJB10372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVMMAADASSMRGGGATSFASSPSSNLLPNNLPLLTAFLAFALAQFLKLFTNWFKERRWDSKRMIDSGGMPSSHSATVTALAVAIGLQDGMGGPAFAIAVVLACVEYCWWQSLLSIFFSLTFLFPLIDAMAIDLTTSASMSLTM >KJB08181 pep chromosome:Graimondii2_0_v6:1:7153191:7154568:1 gene:B456_001G0706002 transcript:KJB08181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWSVHSVSTPLSLSLGSHSLPKPAYYCCFNYGFPVKSRKAPFCAISIRCCASASKSYNVNKILQAEPEIDDFVVVNFYRFVFIRDPQHEIAKHLTFLKGLDIHGRIYINEQGINAQYSGPSKHSFAYVEWLKEDDRFSDILVQTSPAFNGHAFPKLKLRYKPSLVQ >KJB08179 pep chromosome:Graimondii2_0_v6:1:7153303:7154568:1 gene:B456_001G0706002 transcript:KJB08179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWSVHSVSTPLSLSLGSHSLPKPAYYCCFNYGFPVKSRKAPFCAISIRCCASASKSYNVNKILQAEPEIDDFVVVNFYRFVFIRDPQHEIAKHLTFLKGLDIHGRIYINEQGINAQYSGPSKHSFAYVEWLKEDDRFSDILVQTSPAFNGHAFPKLKLRYKPSLVQ >KJB08182 pep chromosome:Graimondii2_0_v6:1:7153303:7154568:1 gene:B456_001G0706002 transcript:KJB08182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWSVHSVSTPLSLSLGSHSLPKPAYYCCFNYGFPVKSRKAPFCAISIRCCASASKSYNVNKILQAEPEIDDFVVVNFYRFVFIRDPQHEIAKHLTFLKGLDIHGRIYINEQGINAQYSGPSKHSFAYVEWLKEDDRFSDILVQTSPAFNGHAFPKLKLRYKPSLVQ >KJB08180 pep chromosome:Graimondii2_0_v6:1:7153303:7154568:1 gene:B456_001G0706002 transcript:KJB08180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWSVHSVSTPLSLSLGSHSLPKPAYYCCFNYGFPVKSRKAPFCAISIRCCASASKSYNVNKILQAEPEIDDFVVVNFYRFVFIRDPQHEIAKHLTFLKGLDIHGRIYINEQGINAQYSGPSKHSFAYVEWLKEDDRFSDILVQTSPAFNGHAFPKLKLRYKPSLVQ >KJB10341 pep chromosome:Graimondii2_0_v6:1:34433077:34448154:-1 gene:B456_001G196700 transcript:KJB10341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKFPAKATAAFCLITAGCFSSQANLLPFSDSPVSQGILRSSRAICTVAITVADYKYSLYGLPNDCDEYRRLLSEVHLRSAKRLLKLCEINKGFYVKAGQFVSSLRQIPKEYSLTLSSLQDQVVPYDFKVIKEVLVSNLGQDPSELFTWFNEQPIAAASIAQVHHAMLKDHQEVAIKVQYPGIEKKMKLDTRIMYSLSEFVAWFFPEFRFRWLVSEFTEAISLELDFVQEARNFETMSNNFRNNKVVRIPRIFWDLTTNQVLTMQFCHGHKVDDVVFLKGMKINPEKVAKKLLEVFAEMIFVHGFLHGDPHPGNILVSIEGQGGFSLVLLDHGICKKLDEVFRLEYCQLWKALILLDSRKIQHLAERFGVGKYYRYLPIIFSGRTIDSKSGIGKGMLVEEQKKLKQHLKALKMEDISSFMESLPSNFLIILRTDELLRSTVSKLGASKWVRLLTYGKYASLGLSPKSNPCSGLTSFALYTRLIGNIEYILLRLTIGKGFSSPKF >KJB10343 pep chromosome:Graimondii2_0_v6:1:34432886:34448154:-1 gene:B456_001G196700 transcript:KJB10343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKFPAKATAAFCLITAGCFSSQANLLPFSDSPVSQGILRSSRAICTVAITVADYKYSLYGLPNDCDEYRRLLSEVHLRSAKRLLKLCEINKGFYVKAGQFVSSLRQIPKEYSLTLSSLQDQVVPYDFKVIKEVLVSNLGQDPSELFTWFNEQPIAAASIAQVHHAMLKDHQEVAIKVQYPGIEKKMKLDTRIMYSLSEFVAWFFPEFRFRWLVSEFTEAISLELDFVQEARNFETMSNNFRNNKVVRIPRIFWDLTTNQVLTMQFCHGHKVDDVVFLKGMKINPEKVAKKLLEVFAEMIFVHGFLHGDPHPGNILVSIEGQGGFSLVLLDHGICKKLDEVFRLEYCQLWKALILLDSRKIQHLAERFGVGKYYRYLPIIFSGRTIDSKSGIGKGMLVEEQKKLKQHLKALKMEDISSFMESLPSNFLIILRTDELLRSTVSKLGASKWVRLLTYGKYASLGLSPKSNPCSGLTSFALYTRLIGNIEYILLRLTIGVMNLVLWMEKLKRCLNKFYGKMSAAGKGMSTLFFNIYFKM >KJB10339 pep chromosome:Graimondii2_0_v6:1:34432630:34448239:-1 gene:B456_001G196700 transcript:KJB10339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKFPAKATAAFCLITAGCFSSQANLLPFSDSPVSQGILRSSRAICTVAITVADYKYSLYGLPNDCDEYRRLLSEVHLRSAKRLLKLCEINKGFYVKAGQFVSSLRQIPKEYSLTLSSLQDQVVPYDFKVIKEVLVSNLGQDPSELFTWFNEQPIAAASIAQVHHAMLKDHQEVAIKFFPEFRFRWLVSEFTEAISLELDFVQEARNFETMSNNFRNNKVVRIPRIFWDLTTNQVLTMQFCHGHKVDDVVFLKGMKINPEKVAKKLLEVFAEMIFVHGFLHGDPHPGNILVSIEGQGGFSLVLLDHGICKKLDEVFRLEYCQLWKALILLDSRKIQHLAERFGVGKYYRYLPIIFSGRTIDSKSGIGKGMLVEEQKKLKQHLKALKMEDISSFMESLPSNFLIILRTDELLRSTVSKLGASKWVRLLTYGKYASLGLSPKSNPCSGLTSFALYTRLIGNIEYILLRLTIGVMNLVLWMEKLKRCLNKFYGKMSAAGKEAISR >KJB10342 pep chromosome:Graimondii2_0_v6:1:34432657:34448154:-1 gene:B456_001G196700 transcript:KJB10342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKFPAKATAAFCLITAGCFSSQANLLPFSDSPVSQGILRSSRAICTVAITVADYKYSLYGLPNDCDEYRRLLSEVHLRSAKRLLKLCEINKGFYVKAGQFVSSLRQIPKEYSLTLSSLQDQVVPYDFKVIKEVLVSNLGQDPSELFFRNLGFGGWSQNSPRQFLWSLDLTTNQVLTMQFCHGHKVDDVVFLKGMKINPEKVAKKLLEVFAEMIFVHGFLHGDPHPGNILVSIEGQGGFSLVLLDHGICKKLDEVFRLEYCQLWKALILLDSRKIQHLAERFGVGKYYRYLPIIFSGRTIDSKSGIGKGMLVEEQKKLKQHLKALKMEDISSFMESLPSNFLIILRTDELLRSTVSKLGASKWVRLLTYGKYASLGLSPKSNPCSGLTSFALYTRLIGNIEYILLRLTIGVMNLVLWMEKLKRCLNKFYGKMSAAGKGMSTLFFNIYFKM >KJB10340 pep chromosome:Graimondii2_0_v6:1:34432805:34448154:-1 gene:B456_001G196700 transcript:KJB10340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKFPAKATAAFCLITAGCFSSQANLLPFSDSPVSQGILRSSRAICTVAITVADYKYSLYGLPNDCDEYRRLLSEVHLRSAKRLLKLCEINKGFYVKAGQFVSSLRQIPKEYSLTLSSLQDQVVPYDFKVIKEVLVSNLGQDPSELFTWFNEQPIAAASIAQVHHAMLKDHQEVAIKVQYPGIEKKMKLDTRIMYSLSEFVAWFFPEFRFRWLVSEFTEAISLELDFVQEARNFETMSNNFRNNKVVRIPRIFWDLTTNQVLTMQFCHGHKVDDVVFLKGMKINPEKVAKKLLEVFAEMIFVHGFLHGDPHPGNILVSIEGQGGFSLVLLDHGICKKLDEVFRLEYCQLWKALILLDSRKIQHLAERFGVGKYYRYLPIIFSGRTIDSKSGIGKGMLVEEQKKLKQHLKALKMEDISSFMESLPSNFLIILRTDELLRSTVSKLGASKWVRLLTYGKYASLGLSPKSNPCSGLTSFALYTRLIGNIEYILLRLTIGVMNLVLWMEKLKRCLNKFYGKMSAAGKEAISR >KJB11031 pep chromosome:Graimondii2_0_v6:1:47531406:47534817:1 gene:B456_001G237400 transcript:KJB11031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLSLLFFCYCIGSCSFGLAEKTNLNNEVSALLSIKAGLIDPLNSLRDWKLPDNVALKHSAHCNWTGVWCNSDGAVEKLDLSFMNLSGRVSDDIQQLKRLTSLNFIDVSSNSFTGSFPVGFARAARLTFLNGSSNSFTGVLPEELGNVTSLETLDLRGNFFQGSVPKSFKSLHKLKFLGLSGNNLTGQIPGELGQLSSLETIIMGYNEFEGGIPVEFGNLSSLKYLDLAVGNLSGEIPAELGRLKLLETVFLYKNSFEGKIHPSIGNITSLQLLDLSDNELSGEIPAEISDLKNLQLLNLMCNRLSGAVPTGIGGLTQLQVLELWNNSLSGSLPIDLGKNSPLQWLDISSNSFSGEIPGTLCDGGNLTKLILFNNAFSGTLPVSLSNCPSLVRVRVQNNLLSGTIPVGLGKLGRLQRLELANNSLTGTIPDDIASSTSLSFIDLSNNDLESSLPSTILSISSLQTFIASNNNLVGEIPDQFQDWPSLSVLDLSTNHFTGSIPASIASCEKLVTLNLRNNRLTGDIPESIAMMPTLAVVDLSNNSLTGGIPGNFGTSPALEMFNVSYNKLEGPVPATGVLRTINPDDLVGNAGLCGGALPPCNLHSQTSSRQRSLRAKHIVAGWLTGISSVLAAGILLIGGRSLYKKWYSHGSCFEERFEAGKGEWPWRLMAFQRLGFTAADILACIKETNVVGMGATGVVYKAEMPQSNAVVAVKKLWRSGTDIENGNSGDFVGEVNLLGKLRHRNIVRLLGFLHNDTSMMIVYEFMQNGSLADALYGKQAGRLLVDWVSRYNIALGVAQELQILVWQG >KJB11032 pep chromosome:Graimondii2_0_v6:1:47531406:47534835:1 gene:B456_001G237400 transcript:KJB11032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLSLLFFCYCIGSCSFGLAEKTNLNNEVSALLSIKAGLIDPLNSLRDWKLPDNVALKHSAHCNWTGVWCNSDGAVEKLDLSFMNLSGRVSDDIQQLKRLTSLNLCCNQLSSALPETICNLTSLNSIDVSSNSFTGSFPVGFARAARLTFLNGSSNSFTGVLPEELGNVTSLETLDLRGNFFQGSVPKSFKSLHKLKFLGLSGNNLTGQIPGELGQLSSLETIIMGYNEFEGGIPVEFGNLSSLKYLDLAVGNLSGEIPAELGRLKLLETVFLYKNSFEGKIHPSIGNITSLQLLDLSDNELSGEIPAEISDLKNLQLLNLMCNRLSGAVPTGIGGLTQLQVLELWNNSLSGSLPIDLGKNSPLQWLDISSNSFSGEIPGTLCDGGNLTKLILFNNAFSGTLPVSLSNCPSLVRVRVQNNLLSGTIPVGLGKLGRLQRLELANNSLTGTIPDDIASSTSLSFIDLSNNDLESSLPSTILSISSLQTFIASNNNLVGEIPDQFQDWPSLSVLDLSTNHFTGSIPASIASCEKLVTLNLRNNRLTGDIPESIAMMPTLAVVDLSNNSLTGGIPGNFGTSPALEMFNVSYNKLEGPVPATGVLRTINPDDLVGNAGLCGGALPPCNLHSQTSSRQRSLRAKHIVAGWLTGISSVLAAGILLIGGRSLYKKWYSHGSCFEERFEAGKGEWPWRLMAFQRLGFTAADILACIKETNVVGMGATGVVYKAEMPQSNAVVAVKKLWRSGTDIENGNSGDFVGEVNLLGKLRHRNIVRLLGFLHNDTSMMIVYEFMQNGSLADALYGKQAGRLLVDWVSRYNIALGVAQGLAYLHHDCHPPVIHRDIKSNNILLDANLVARIADFGLARMMVSKNETVSMVAGSYGYIAPEYGYTLKVDEKIDIYSFGVVLLELLTGKRPLDPEFGESINIVEWIRRKVGDNRALEEELDPNLGNCKHIQEEMLLVVRIALLCTAKHPKDRPSMRDVITMLGEAKPRRKSSSSNSGNASTKEMPVFSTSPVNGLF >KJB08427 pep chromosome:Graimondii2_0_v6:1:8604547:8605518:-1 gene:B456_001G081200 transcript:KJB08427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEYLQQFLDETTWYNHIVLGYLLPTNLWYPLPHFLQTWLRNYLAGTLLYLISGFLWCFYIYYLKRNVYVPKDAIPTNKAMLLQIYVAMKAMPWYCALPSLSEYMIENGWTKCYDRVSEVGWLPHLLYLSLYLVFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGKFLICVLHLVYSCFHCLNTFWLIAIEHNI >KJB08428 pep chromosome:Graimondii2_0_v6:1:8603006:8605682:-1 gene:B456_001G081200 transcript:KJB08428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQIYVAMKAMPWYCALPSLSEYMIENGWTKCYDRVSEVGWLPHLLYLSLYLVFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPVDGILQAVPHVIALFIIPTHFTTHVGLLFLEAVWTANIHDCIHGKLWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMLGTLRDPSDEEGKKVM >KJB08432 pep chromosome:Graimondii2_0_v6:1:8603006:8607581:-1 gene:B456_001G081200 transcript:KJB08432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGCCAFVRGNGCCGAKAGLAFHPVDGILQAVPHVIALFIIPTHFTTHVGLLFLEAVWTANIHDCIHGKLWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMLGTLRDPSDEEGKKVM >KJB08430 pep chromosome:Graimondii2_0_v6:1:8603006:8605680:-1 gene:B456_001G081200 transcript:KJB08430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEYLQQFLDETTWYNHIVLGYLLPTNLWYPLPHFLQTWLRNYLAGTLLYLISGFLWCFYIYYLKRNVYVPKDAIPTNKAMLLQIYVAMKAMPWYCALPSLSEYMIENGWTKCYDRVSEVGWLPHLLYLSLYLVFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPVDGILQAVPHVIALFIIPTHFTTHVGLLFLEAVWTANIHDCIHGKLWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMLGTLRDPSDEEGKKVM >KJB08426 pep chromosome:Graimondii2_0_v6:1:8603006:8605890:-1 gene:B456_001G081200 transcript:KJB08426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEYLQQFLDETTWYNHIVLGYLLPTNLWYPLPHFLQTWLRNYLAGTLLYLISGFLWCFYIYYLKRNVYVPKDAIPTNKAMLLQIYVAMKAMPWYCALPSLSEYMIENGWTKCYDRVSEVGWLPHLLYLSLYLVFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPVDGILQAVPHVIALFIIPTHFTTHVGLLFLEAVWTANIHDCIHGKLWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMLGTLRDPSDEEGPHVNGV >KJB08429 pep chromosome:Graimondii2_0_v6:1:8603006:8605680:-1 gene:B456_001G081200 transcript:KJB08429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQIYVAMKAMPWYCALPSLSEYMIENGWTKCYDRVSEVGWLPHLLYLSLYLVFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPVDGILQAVPHVIALFIIPTHFTTHVGLLFLEAVWTANIHDCIHGKLWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMLGTLRDPSDEEGKKVM >KJB08431 pep chromosome:Graimondii2_0_v6:1:8603006:8607538:-1 gene:B456_001G081200 transcript:KJB08431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGCCAFVRGNGCCGAKADAIPTNKAMLLQIYVAMKAMPWYCALPSLSEYMIENGWTKCYDRVSEVGWLPHLLYLSLYLVFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPVDGILQAVPHVIALFIIPTHFTTHVGLLFLEAVWTANIHDCIHGKLWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMLGTLRDPSDEEGKKVM >KJB08422 pep chromosome:Graimondii2_0_v6:1:8838577:8839449:-1 gene:B456_001G083700 transcript:KJB08422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MSLKLLWFVSSQSNLGFLHHGNRSLPCPNLLFQHRAGKGKNQRWKPHFCSLRTHVPGSEGLRRSKVKVTVMSTMAATSSAGEIAMSSEEKVYNVVLKQAALVNKQLRSPRGDVVVPGDLSLLNEAYDRCGEICAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNAPHITPTALDRWEARLEDLFNGRPFDMLDAALANTVNKFPVNIQVTCIRLACAYLKLS >KJB08418 pep chromosome:Graimondii2_0_v6:1:8837568:8839563:-1 gene:B456_001G083700 transcript:KJB08418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MKHMIVVVKFVPRTLLMTPERRRAIWAIYVWCRRTDELVDGPNAPHITPTALDRWEARLEDLFNGRPFDMLDAALANTVNKFPVNIQPFKDMIEGMRMDLRKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESLASTESVYNAALALGIANQLTNILRDVGEDAQRGRIYLPQDELAQEGLSDEDIFSGKVTDKWRNFMKKQIKRARMLFHEAEKGVKELNASSRWPVSASLMLYKQILDEIEANDYNNFTKRAYVSKAKKLIALPVAYVRSLVAPSTISSSHRN >KJB08419 pep chromosome:Graimondii2_0_v6:1:8837576:8839563:-1 gene:B456_001G083700 transcript:KJB08419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MSLKLLWFVSSQSNLGFLHHGNRSLPCPNLLFQHRAGKGKNQRWKPHFCSLRTHVPGSEGLRRSKVKVTVMSTMAATSSAGEIAMSSEEKVYNVVLKQAALVNKQLRSPRGDVVVPGDLSLLNEAYDRCGEICAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNAPHITPTALDRWEARLEDLFNGRPFDMLDAALANTVNKFPVNIQPFKDMIEGMRMDLRKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESLASTESVYNAALALGIANQLTNILRDVGEDAQRGRIYLPQDELAQEGLSDEDIFSGKVTDKWRNFMKKQIKRARMLFHEAEKGVKELNASSRWPCLNC >KJB08423 pep chromosome:Graimondii2_0_v6:1:8837576:8839593:-1 gene:B456_001G083700 transcript:KJB08423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MSLKLLWFVSSQSNLGFLHHGNRSLPCPNLLFQHRAGKGKNQRWKPHFCSLRTHVPGSEGLRRSKVKVTVMSTMAATSSAGEIAMSSEEKVYNVVLKQAALVNKQLRSPRGDVVVPGDLSLLNEAYDRCGEICAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNAPHITPTALDRWEARLEDLFNGRPFDMLDAALANTVNKFPVNIQPFKDMIEGMRMDLRKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESLASTESVYNAALALGIANQLTNILRDVGEE >KJB08425 pep chromosome:Graimondii2_0_v6:1:8837576:8839575:-1 gene:B456_001G083700 transcript:KJB08425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MSLKLLWFVSSQSNLGFLHHGNRSLPCPNLLFQHRAGKGKNQRWKPHFCSLRTHVPGSEGLRRSKVKVTVMSTMAATSSAGEIAMSSEEKVYNVVLKQAALVNKQLRSPRGDVVVPGDLSLLNEAYDRCGEICAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNAPHITPTALDRWEARLEDLFNGRPFDMLDAALANTVNKFPVNIQPFKDMIEGMRMDLRKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESLASTESVYNAALALGIANQLTNILRDVGEE >KJB08420 pep chromosome:Graimondii2_0_v6:1:8837568:8839563:-1 gene:B456_001G083700 transcript:KJB08420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MTPERRRAIWAIYVWCRRTDELVDGPNAPHITPTALDRWEARLEDLFNGRPFDMLDAALANTVNKFPVNIQPFKDMIEGMRMDLRKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESLASTESVYNAALALGIANQLTNILRDVGEDAQRGRIYLPQDELAQEGLSDEDIFSGKVTDKWRNFMKKQIKRARMLFHEAEKGVKELNASSRWPVSASLMLYKQILDEIEANDYNNFTKRAYVSKAKKLIALPVAYVRSLVAPSTISSSHRN >KJB08417 pep chromosome:Graimondii2_0_v6:1:8837490:8839782:-1 gene:B456_001G083700 transcript:KJB08417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MSLKLLWFVSSQSNLGFLHHGNRSLPCPNLLFQHRAGKGKNQRWKPHFCSLRTHVPGSEGLRRSKVKVTVMSTMAATSSAGEIAMSSEEKVYNVVLKQAALVNKQLRSPRGDVVVPGDLSLLNEAYDRCGEICAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNAPHITPTALDRWEARLEDLFNGRPFDMLDAALANTVNKFPVNIQPFKDMIEGMRMDLRKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESLASTESVYNAALALGIANQLTNILRDVGEDAQRGRIYLPQDELAQEGLSDEDIFSGKVTDKWRNFMKKQIKRARMLFHEAEKGVKELNASSRWPVSASLMLYKQILDEIEANDYNNFTKRAYVSKAKKLIALPVAYVRSLVAPSTISSSHRN >KJB08424 pep chromosome:Graimondii2_0_v6:1:8838577:8839449:-1 gene:B456_001G083700 transcript:KJB08424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MSLKLLWFVSSQSNLGFLHHGNRSLPCPNLLFQHRAGKGKNQRWKPHFCSLRTHVPGSEGLRRSKVKVTVMSTMAATSSAGEIAMSSEEKVYNVVLKQAALVNKQLRSPRGDVVVPGDLSLLNEAYDRCGEICAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNAPHITPTALDRWEARLEDLFNGRPFDMLDAALANTVNKFPVNIQVTCIRLACAYLKLS >KJB08421 pep chromosome:Graimondii2_0_v6:1:8837576:8839563:-1 gene:B456_001G083700 transcript:KJB08421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MSLKLLWFVSSQSNLGFLHHGNRSLPCPNLLFQHRAGKGKNQRWKPHFCSLRTHVPGSEGLRRSKVKVTVMSTMAATSSAGEIAMSSEEKVYNVVLKQAALVNKQLRSPRGDVVVPGDLSLLNEAYDRCGEICAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNAPHITPTALDRWEARLEDLFNGRPFDMLDAALANTVNKFPVNIQIIFHSVCSLSKT >KJB08695 pep chromosome:Graimondii2_0_v6:1:11040998:11044687:1 gene:B456_001G098200 transcript:KJB08695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICRNSLLITEMLKNVNQWMQKTVSNLLMFIGSNFNSLAMPAQRSKGHPVHHMLSSKEAAQLLSASFQHIPDQKNYHPRDAGDSEFKSHSNNAQITRVSSDKDCFPSQSMNQTVKAVRDKLNKIQSNTEHLQAGLHPRKEE >KJB08696 pep chromosome:Graimondii2_0_v6:1:11041272:11044489:1 gene:B456_001G098200 transcript:KJB08696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYKDDPPAVRVYTVCDDSRNVPALGCGDDLPKLFANYGDVEECKPMDAEDCEQFTDVYWIEFQLISNARFAKRKASQRSKGHPVHHMLSSKEAAQLLSASFQHIPDQKNYHPRDAGDSEFKSHSNNAQITRVSSDKDCFPSQSMNQTVKAVRDKLNKIQSNTEHLQAGLHPRKEE >KJB08694 pep chromosome:Graimondii2_0_v6:1:11040901:11044800:1 gene:B456_001G098200 transcript:KJB08694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICRNSLLITEMLKNVNQWMQKTVSNLLMFIGSNFNSLAMPAQRSKGHPVHHMLSSKEAAQLLSASFQHIPDQKNYHPRDAGDSEFKSHSNNAQITRVSSDKDCFPSQSMNQTVKAVRDKLNKIQSNTEHLQAGLHPRKEE >KJB09553 pep chromosome:Graimondii2_0_v6:1:20493885:20495447:-1 gene:B456_001G149400 transcript:KJB09553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITKICPWKSGDNSCQVHIPGSLEDEGKGKEDNPVHGADSGKDLDDCDLEGINCELCMLEGKICSIPYELFDLPDLREILSLETWNSCLTEEDRFCLSANLPDMDEWTFWLTMKELFGSSDLYFGNPMDTFFKRLKGGFYPPKVCCLRESLQFLERRKYYHTLRSYHDKMAQMFTDMRRLWDECSVSTGVEERHYMWRTRRSCRDSNLLDLNAVPSDGYLLNEDANLDSVMCHLPKRMKTWETVSTKNIVASPSADGMTIIAPNYSTKGVLKVKTSGSNAIHNHNQKLVVGDKSEQCLSVPKVLLKAVTKVPSVLPPLSKVCSRRSQTALLVGAQVLRDPKPERTILGNAGCFSGSSFLWQNIVGSKMNPERSGCMLNHQDCTFRSKEVDFADSKRHKLGGENLWKNFDVGKTHKGNGDRMQEKFMAFPNQIKTPSDFNVENSEKTNKPSVSKRLKYDLPLPLTYKRRKSQAKNTSDLTNSLRTGIDIRTSSSKESNHPLGNSVNAFKFKFLGPMNREA >KJB09552 pep chromosome:Graimondii2_0_v6:1:20493734:20496368:-1 gene:B456_001G149400 transcript:KJB09552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITKICPWKSGDNSCQVHIPGSLEDEGKGKEDNPVHGADSGKDLDDCDLEGINCELCMLEGKICSIPYELFDLPDLREILSLETWNSCLTEEDRFCLSANLPDMDEWTFWLTMKELFGSSDLYFGNPMDTFFKRLKGGFYPPKVCCLRESLQFLERRKYYHTLRSYHDKMAQMFTDMRRLWDECSVSTGVEERHYMWRTRRSCRDSNLLDLNAVPSDGYLLNEDANLDSVMCHLPKRMKTWETVSTKNIVASPSADGMTIIAPNYSTKGVLKVKTSGSNAIHNHNQKLVVGDKSEQCLSVPKVLLKAVTKVPSVLPPLSKVCSRRSQTALLVGAQVLRDPKPERTILGNAGCFSGSSFLWQNIVGSKMNPERSGCMLNHQDCTFRSKEVDFADSKRHKLGGENLWKNFDVGKTHKGNGDRMQEKFMAFPNQIKTPSDFNVENSEKTNKPSVSKRLKYDLPLPLTYKRRKSQAKNTSDLTNSLRTGIDIRTSSSKESNHPLGNSVNAFKFKFLGPMNREA >KJB08371 pep chromosome:Graimondii2_0_v6:1:8198031:8199619:-1 gene:B456_001G079000 transcript:KJB08371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSPLIIQMEKSTKIMRRSIYTFLQNYHYFTLTPALLAFPYSLSLLLSQIFVPSSSLFQSIHGRLSVVFQASGFPYSSDSFTFLSSKLSQTICFSLFALPFTFSFFLLSKASIISCFRHGKSFKQPSFSWVLSLYKPLLETLICNFFLIISANATPFSVLLFGFNFLDGLGFSSPNWILLMSAFGAVLYSVLVANAILISNFALVSSGIQGSGGYLSILKACVLIKGRTSTALTLALPLNLTMAAIEALFHYRVVRAYRNGGDFTCFSMALEAIFIAFLYSIIVVLDTVVSCFFFNSCKTNQEGKFSYGTEIAEEDDCVKLKNIEGLP >KJB07806 pep chromosome:Graimondii2_0_v6:1:4333663:4337453:1 gene:B456_001G045400 transcript:KJB07806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGDIHNLRKETLHQQYEIELAWTISSHVWVPILLTITSNSSMGTHCCHPPKLLINAMMILSISGISIARHLMSWLGRLKLKSSINILSLFVAVLRHTTQPVSKGMRHSLNPRQNGTAAC >KJB07805 pep chromosome:Graimondii2_0_v6:1:4333663:4337453:1 gene:B456_001G045400 transcript:KJB07805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGDIHNLRKETLHQQYEIELAWTISSHVWVPILLTITSNSSMGTHCCHPPKLLINAMMILSISGISIARHLMSWLGRLKLKSSINILSLFVAVLRHTTQPVSKGMRHSLNPRQNGTAILSAGRCILVHSYRQILGKIISMLNLVFSLQAC >KJB07804 pep chromosome:Graimondii2_0_v6:1:4333663:4337453:1 gene:B456_001G045400 transcript:KJB07804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHCCHPPKLLINAMMILSISGISIARHLMSWLGRLKLKSSINILSLFVAVLRHTTQPVSKGMRHSLNPRQNGTATYTDKEGIRQQQLLAFIPRHHKHYILPNSVSRKVHFSPQLQTDPRENYLYAKSCLQPSGLLRTSKMLMVRQLALLNSHII >KJB07807 pep chromosome:Graimondii2_0_v6:1:4333663:4337453:1 gene:B456_001G045400 transcript:KJB07807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGDIHNLRKETLHQQYEIELAWTISSHVWVPILLTITSNSSMGTHCCHPPKLLINAMMILSISGISIARHLMSWLGRLKLKSSINILSLFVAVLRHTTQPVSKGMRHSLNPRQNGTATYTDKEGIRQQQLLAFIPRHHKHYILPNSVSRKVHFSPQLQTDPRENYLYAKSCLQPSGLLRTSKMLMVRQLALLNSHII >KJB10885 pep chromosome:Graimondii2_0_v6:1:46737533:46738013:1 gene:B456_001G230600 transcript:KJB10885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVGFAIIVEISFSLRLISYSQGAEVAKSHNLQSIHSIFPFLDDKFSHLNYVLETLIPHLIHLEILVQTLRYCVKDASSLHLLWFSLYEYCNLKSFITPKKSISILTPRSFLFLYNSHTYEYESISFFSVINLLIYDQHLLESFQSIRLSGCR >KJB06146 pep chromosome:Graimondii2_0_v6:1:2090966:2091764:-1 gene:B456_001G0222001 transcript:KJB06146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRRLITQVSRQSESGRIGCLFNRTNLS >KJB06148 pep chromosome:Graimondii2_0_v6:1:2090966:2091505:-1 gene:B456_001G0222001 transcript:KJB06148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRRLITQVSRQSESGRIGCLFNRTNLS >KJB06149 pep chromosome:Graimondii2_0_v6:1:2090966:2091720:-1 gene:B456_001G0222001 transcript:KJB06149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRRLITQVSRQSESGRIGCLFNRTNLS >KJB06147 pep chromosome:Graimondii2_0_v6:1:2090966:2091558:-1 gene:B456_001G0222001 transcript:KJB06147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRRLITQVSRQSESGRIGCLFNRTNLS >KJB06270 pep chromosome:Graimondii2_0_v6:1:7297142:7301572:1 gene:B456_001G072000 transcript:KJB06270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKGKKVKLTEKGEEENSEQIDKDLVLSIEKLQEIQDELEKINEEASEEVLEVEQKYNEVRRPVYDTRKDIIKKIPDFWLTAFLSHPALGDLLTEEDQEIFKHITSLEVEDFKDLKSGYSITFNFNPNPYFEDSKLTKTFTFHDEGTKITATQIKWKEGMGLPNGVNHEKKGYKRQLAEESFFTWFTDAHQKDDMDEIHDEVAEIIKEDLWPNPLTYFNNEADEEDFDGDEEMMTRMMMMMMMMKMTIMITKFAAGLLIILVAYLALSKNLV >KJB06266 pep chromosome:Graimondii2_0_v6:1:7297048:7301599:1 gene:B456_001G072000 transcript:KJB06266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKGKKVKLTEKGEEENSEQIDKDLVLSIEKLQEIQDELEKINEEASEEVLEVEQKYNEVRRPVYDTRKDIIKKIPDFWLTAFLSHPALGDLLTEEDQEIFKHITSLEVEDFKDLKSGYSITFNFNPNPYFEDSKLTKTFTFHDEGTKITATQIKWKEGMGLPNGVNHEKKGYKRQLAEESFFTWFTDAHQKDDMDEIHDEVAEIIKEDLWPNPLTYFNNEADEEDFDGDEEGKDDDEDDDDDDDDEDDDNDN >KJB06265 pep chromosome:Graimondii2_0_v6:1:7297271:7301181:1 gene:B456_001G072000 transcript:KJB06265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKGKKVKLTEKGEEENSEQIDKDLVLSIEKLQEIQDELEKINEEASEEVLEVEQKYNEVRRPVYDTRKDIIKKIPDFWLTAFLSHPALGDLLTEEDQEIFKHITSLEVEDFKDLKSGYSITFNFNPNPYFEDSKLTKTFTFHDEGTKITATQIKWKEGMGLPNGVNHEKKGYKRQLAEESFFTWFTDAHQKDDMDEIHDEVAEIIKEDLWPNPLTYFNNEADEEDFDGDEEGKDDDEDDDDDDDDEDDDNDN >KJB06268 pep chromosome:Graimondii2_0_v6:1:7297149:7301572:1 gene:B456_001G072000 transcript:KJB06268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKGKKVKLTEKGEEENSEQIDKDLVLSIEKLQEIQDELEKINEEASEEVLEVEQKYNEVRRPVYDTRKDIIKKIPDFWLTAFLSHPALGDLLTEEDQEIFKHITSLEVEDFKDLKSGYSITFNFNPNPYFEDSKLTKTFTFHDEGTKITATQIKWKEGMGLPNGVNHEKKGYKRQLAEESRDYQGGFVAQPTHLFQQ >KJB06267 pep chromosome:Graimondii2_0_v6:1:7297149:7300429:1 gene:B456_001G072000 transcript:KJB06267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKGKKVKLTEKGEEENSEQIDKDLVLSIEKLQEIQDELEKINEEASEEVLEVEQKYNEVRRPVYDTRKDIIKKIPDFWLTAFLSHPALGDLLTEEDQEIFKHITSLEVEDFKDLKSGYSITFNFNPNPYFEDSKLTKTFTFHDEGTKITATQIKWKEGMGLPNGVNHEKKGYKRQLAEESFFTWFTDAHQKDDMDEIHDEVAEIIKEDLWPNPLTYFNNEADEEDFDGDEEVVIN >KJB06271 pep chromosome:Graimondii2_0_v6:1:7298066:7301572:1 gene:B456_001G072000 transcript:KJB06271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFTGLLDVQFLSHPALGDLLTEEDQEIFKHITSLEVEDFKDLKSGYSITFNFNPNPYFEDSKLTKTFTFHDEGTKITATQIKWKEGMGLPNGVNHEKKGYKRQLAEESFFTWFTDAHQKDDMDEIHDEVAEIIKEDLWPNPLTYFNNEADEEDFDGDEEGKDDDEDDDDDDDDEDDDNDN >KJB06269 pep chromosome:Graimondii2_0_v6:1:7297149:7301572:1 gene:B456_001G072000 transcript:KJB06269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKGKKVKLTEKGEEENSEQIDKDLVLSIEKLQEIQDELEKINEEASEEVLEVEQKYNEVRRPVYDTRKDIIKKIPDFWLTAFLSHPALGDLLTEEDQEIFKHITSLEVEDFKDLKSGYSITFNFNPNPYFEDSKLTKTFTFHDEGTKITATQIKWKEGMGLPNGVNHEKKGYKRQLAEESFFTWFTDAHQKDDMDEIHDEHYR >KJB08756 pep chromosome:Graimondii2_0_v6:1:11416805:11422400:1 gene:B456_001G101800 transcript:KJB08756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDHEFVGLKIFPSLENRNRKINTNFKPKYLFCLLTKVLFIISTRISSMHIGPFLIAPIFANCLHLKINRIKFRYGVLLLFVAMKLNRFASFEPL >KJB09423 pep chromosome:Graimondii2_0_v6:1:19083860:19084877:-1 gene:B456_001G141000 transcript:KJB09423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRLSLPFSLLLLSLLVIASAGDYSDASSKYGFDGIPADSPQAKPDEAEIPHYGTKPDYYKPQPTYKDKSEGNEKPAGYYTTPYVIKPKPEGEEKPNHKPEPEEEKHYYGSKPDNYKQTKEGEKPENERKPYVVKPEPKGEEKSYDGTKPEEMNNRISIAVQGLVLCNTGSKYYPIQGASATITCKAVDEVGAERTVSICSKATDTKGYFFATLSDQGRDKLKLKECKAYLKSSPLEACNVPTNVNKAIEGARLSAFRVLKEKKTKLYSVGPFFYTTQAKPTAAPKYGY >KJB11267 pep chromosome:Graimondii2_0_v6:1:54421251:54423051:-1 gene:B456_001G267200 transcript:KJB11267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESYKKSEGCTIKECQDMIQRSLRTPMVKFLMEHMEKTGCKVGDNFIKAVNCNRKMGGGYVRGEGIVVCSDQVKIQDDVNQVVIHELIHAYDECRASNLDWTNCAHHACSEIRAGHLSGDCHYKREFLRGFMKIRGHEQDCVRRRVMKSVIANPFCSETAAKDAMEAVWDVCYNDTKPFDRAP >KJB11265 pep chromosome:Graimondii2_0_v6:1:54420886:54423113:-1 gene:B456_001G267200 transcript:KJB11265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESYKKSEGCTIKECQDMIQRSLRTPMVKFLMEHMEKTGCKIVVCSDQVKIQDDVNQVVIHELIHAYDECRASNLDWTNCAHHACSEIRAGHLSGDCHYKREFLRGFMKIRGHEQDCVRRRVMKSVIANPFCSETAAKDAMEAVWDVCYNDTKPFDRAP >KJB11268 pep chromosome:Graimondii2_0_v6:1:54421251:54423051:-1 gene:B456_001G267200 transcript:KJB11268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESYKKSEGCTIKECQDMIQRSLRTPMVKFLMEHMEKTGCKVGDNFIKAVNCNRKMGGGYVRGEGIVVCSDQVKIQDDVNQVVIHELIHAYDECRASNLDWTNCAHHACSEIRAGHLSGDCHYKREFLRGFMKIRGHEQDCVRRRVMKSVIANPFCSETAAKDAMEAVWDVCYNDTKPFDRAP >KJB11266 pep chromosome:Graimondii2_0_v6:1:54421251:54423051:-1 gene:B456_001G267200 transcript:KJB11266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESYKKSEGCTIKECQDMIQRSLRTPMVKFLMEHMEKTGCKVGDNFIKAVNCNRKMGGGYVRGEGIVVCSDQVKIQDDVNQVVIHELIHAYDECRASNLDWTNCAHHACSEIRAGHLSGDCHYKREFLRGFMKIRGHEQDCVRRRVMKSVIANPFCSETAAKDAMEAVWDVCYNDTKPFDRAP >KJB11269 pep chromosome:Graimondii2_0_v6:1:54420886:54423425:-1 gene:B456_001G267200 transcript:KJB11269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESYKKSEGCTIKECQDMIQRSLRTPMVKFLMEHMEKTGCKVGDNFIKAVNCNRKMGGGYVRGEGIVVCSDQVKIQDDVNQVVIHELIHAYDECRASNLDWTNCAHHACSEIRAGHLSGDCHYKREFLRGFMKIRGHEQDCVRRRVMKSVIANPFCSETAAKDAMEAVWDVCYNDTKPFDRAP >KJB07640 pep chromosome:Graimondii2_0_v6:1:3198734:3201372:1 gene:B456_001G034800 transcript:KJB07640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYALVARGSVVLAEFTAASTNASAIARQILEKIPGENDSHVSYSQDRYIFHVKRTDGLTVLCMADESAGRRIPFAFLEDIHQRFVRTYGRAVFSALPYGMNDEFSRVLSQQMEYYSSDPNADRINRLKGEMSQWCSFECTRMNFYLLQGLTILLFASHGISIFLFDLLQTI >KJB07644 pep chromosome:Graimondii2_0_v6:1:3198734:3201372:1 gene:B456_001G034800 transcript:KJB07644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYALVARGSVVLAEFTAASTNASAIARQILEKIPGENDSHVSYSQDRYIFHVKRTDGLTVLCMADESAGRRIPFAFLEDIHQRFVRTYGRAVFSALPYGMNDEFSRVLSQQMEYYSSDPNADRINRLKGEKRNDREY >KJB07643 pep chromosome:Graimondii2_0_v6:1:3198653:3201849:1 gene:B456_001G034800 transcript:KJB07643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYALVARGSVVLAEFTAASTNASAIARQILEKIPGENDSHVSYSQDRYIFHVKRTDGLTVLCMADESAGRRIPFAFLEDIHQRFVRTYGRAVFSALPYGMNDEFSRVLSQQMEYYSSDPNADRINRLKGEMSQVRNVMIENIDKVLERGDRLELLVDKTANMQGNTFRFRKQTRRFRNTVWWRNVKLTVALIILLLIIIYVVLAFVCHGITLPSCLK >KJB07642 pep chromosome:Graimondii2_0_v6:1:3199087:3200861:1 gene:B456_001G034800 transcript:KJB07642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPFFVWLTKVPGVWRIPFAFLEDIHQRFVRTYGRAVFSALPYGMNDEFSRVLSQQMEYYSSDPNADRINRLKGEMSQVRNVMIENIDKVLERGDRLELLVDKTANMQGNTFRFRKQTRRFRNTVWWRNVKLTVALIILLLIIIYVVLAFVCHGITLPSCLK >KJB07645 pep chromosome:Graimondii2_0_v6:1:3199775:3201372:1 gene:B456_001G034800 transcript:KJB07645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQRNMVCECFSFFSLLAKKSLVQTFLIINCLNMADVFIVSFAGRIPFAFLEDIHQRFVRTYGRAVFSALPYGMNDEFSRVLSQQMEYYSSDPNADRINRLKGEMSQVRNVMIENIDKVLERGDRLELLVDKTANMQGNTFRFRKQTRRFRNTVWWRNVKLTVALIILLLIIIYVVLAFVCHGITLPSCLK >KJB07641 pep chromosome:Graimondii2_0_v6:1:3198734:3201372:1 gene:B456_001G034800 transcript:KJB07641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYALVARGSVVLAEFTAASTNASAIARQILEKIPGENDSHVSYSQDRYIFHVKRTDGLTVLCMADESAGRRIPFAFLEDIHQRFVRTYGRAVFSALPYGMNDEFSRVLSQQMEYYSSDPNADRINRLKGEMSQVGFKFFELVINSFISRFSLKGSFSCSIREQWCSFECTRMNFYLLQGLTILLFASHGISIFLFDLLQTI >KJB07639 pep chromosome:Graimondii2_0_v6:1:3198734:3201372:1 gene:B456_001G034800 transcript:KJB07639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYALVARGSVVLAEFTAASTNASAIARQILEKIPGENDSHVSYSQDRYIFHVKRTDGLTVLCMADESAGRRIPFAFLEDIHQRFVRTYGRAVFSALPYGMNDEFSRVLSQQMEYYSSDPNADRINRLKGEMSQVRNVMIENIDKVLERGDRLELLVDKTANMQGNTFRFRKQTRRFRNTVWWRNVKLTVALIILLLIIIYVVLAFVCHGITLPSCLK >KJB07820 pep chromosome:Graimondii2_0_v6:1:4373389:4387027:-1 gene:B456_001G045800 transcript:KJB07820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MAKPRKPKPEDPKPAHSGAVVRHQKLCLSIDTNLRRIYGYTELEIEVPDIGIVGLHAENLGIESVLVDGEPTDFEYYPRNQSSDIEKRWASAVSSPSSAADVAAAAYVTALETELIPNLLINCFNKMQIEQINTEPNGVQSSAEVKQNVKSVRVNYWVEKMETGIHFEDNVVHTDNQIRRARCWFPCIDDNNQRCCFDLEFTVAHNLVAVSNGSLLYQVLSKYEPPCKTYVYRLDVPVTAQWISLAVGPFEILPDQHNGLISHMCLPPNLPKLRHTVEFFHNAFSEYEQYLDAKFPFGSYNQVFLSPEMAISSSTVGASLCILTSQVLFDEKVIDQTIDTCIKLAFALSRQWFGVYITPEAPNDEWLLDGLAGFLTDLFIKKFLGNNEARYRRYKANCAVCKADDSGATALSSSFACKDLYGTHSIGLLGKIRSWKSVAILQMLEKQMGPDFFKKILQAIICRAQSTTCPVRSLSTKEFRHFANKIGNLERPFLKEFFPRWVGLYGCPVLRMGFSYNKRKNIIELAVLRECTATIDSSVSVLNANPDSENRDGDIGWPGVMTVRVYELDGMSDHPDLPMAGDAWQLLEIACHSKLAARRYQKPKKGSKPDGSDDNGDLPTVDMRSSVDSPLLWIRADPEMEYLAEIHFNQPVQMWINQLEKDEDVVAQAQAITALESLPELSFSVLKALNNFLTDSKAFWRVRIEAALALASTSSEETDMAGLQHLVKFYKSRRFDTDIGLPKPNDFSDFPEYFVLEVMQ >KJB07812 pep chromosome:Graimondii2_0_v6:1:4366962:4385952:-1 gene:B456_001G045800 transcript:KJB07812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MQNVKSVRVNYWVEKMETGIHFEDNVVHTDNQIRRARCWFPCIDDNNQRCCFDLEFTVAHNLVAVSNGSLLYQVLSKYEPPCKTYVYRLDVPVTAQWISLAVGPFEILPDQHNGLISHMCLPPNLPKLRHTVEFFHNAFSEYEQYLDAKFPFGSYNQVFLSPEMAISSSTVGASLCILTSQVLFDEKVIDQTIDTCIKLAFALSRQWFGVYITPEAPNDEWLLDGLAGFLTDLFIKKFLGNNEARYRRYKANCAVCKADDSGATALSSSFACKDLYGTHSIGLLGKIRSWKSVAILQMLEKQMGPDFFKKILQAIICRAQSTTCPVRSLSTKEFRHFANKIGNLERPFLKEFFPRWVGLYGCPVLRMGFSYNKRKNIIELAVLRECTATIDSSVSVLNANPDSENRDGDIGWPGVMTVRVYELDGMSDHPDLPMAGDAWQLLEIACHSKLAARRYQKPKKGSKPDGSDDNGDLPTVDMRSSVDSPLLWIRADPEMEYLAEIHFNQPVQMWINQLEKDEDVVAQAQAITALESLPELSFSVLKALNNFLTDSKAFWRVRIEAALALASTSSEETDMAGLQHLVKFYKSRRFDTDIGLPKPNDFSDFPEYFVLEAIPHAVATIRAADRKSPREAVEFILQLLKYNDNNENPYSDVFWLAALVQSVGELEFGQQRIDRLLQFDRLMPSYNGILTISCIRTLAQIALKLSGFIHLDHVFELIKPFRDSKTIWQVRIEASRALLDLEHHCNGINAALLLFIKYIEEEPSLRGQVKLGVHAMRLCQIQGGSVSSEDIKATTLVALLHLLESRIAFNNVFLRHYLFCILQVLAGRSPTLYGVPKEKLPCMGNVEICNEQKNNFGAPVNEIRPPQPQPPMGNPSHSNHSHDNLAIPEASKEVDTVSNSHDRKTAVVKIRVKQSATSSKAEEADNGTAERSEGGERSEGRRNHDADRGATSSVSVDAPQRNSAEAVSISNQNIEEVNSFHDHGSRITASIGSAKIASEGDNFGKELQCTADSGNASVHHQPDDPSSPSIIQDSYIDAEAKKYASLQTLSISRDDGPPFQEKEKEKKKKSKKKKKDKEKKRKGEEHKGERNDPEYLEKKRLKKEKKYKEKEMARILGEVKAASGELKSKKEETTSLTQMGGNKQEADNMNSSEPPKVVISKLETRTEPTQPTSAPKFRIKIKSKPLSKS >KJB07819 pep chromosome:Graimondii2_0_v6:1:4372708:4387027:-1 gene:B456_001G045800 transcript:KJB07819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MAKPRKPKPEDPKPAHSGAVVRHQKLCLSIDTNLRRIYGYTELEIEVPDIGIVGLHAENLGIESVLVDGEPTDFEYYPRNQSSDIEKRWASAVSSPSSAADVAAAAYVTALETELIPNLLINCFNKMQIEQINTEPNGVQSSAEVKQNVKSVRVNYWVEKMETGIHFEDNVVHTDNQIRRARCWFPCIDDNNQRCCFDLEFTVAHNLVAVSNGSLLYQVLSKYEPPCKTYVYRLDVPVTAQWISLAVGPFEILPDQHNGLISHMCLPPNLPKLRHTVEFFHNAFSEYEQYLDAKFPFGSYNQVFLSPEMAISSSTVGASLCILTSQVLFDEKVIDQTIDTCIKLAFALSRQWFGVYITPEAPNDEWLLDGLAGFLTDLFIKKFLGNNEARYRRYKANCAVCKADDSGATALSSSFACKDLYGTHSIGLLGKIRSWKSVAILQMLEKQMGPDFFKKILQAIICRAQSTTCPVRSLSTKEFRHFANKIGNLERPFLKEFFPRWVGLYGCPVLRMGFSYNKRKNIIELAVLRECTATIDSSVSVLNANPDSENRDGDIGWPGVMTVRVYELDGMSDHPDLPMAGDAWQLLEIACHSKLAARRYQKPKKGSKPDGSDDNGDLPTVDMRSSVDSPLLWIRADPEMEYLAEIHFNQPVQMWINQLEKDEDVVAQAQAITALESLPELSFSVLKALNNFLTDSKAFWRVRIEAALALASTSSEETDMAGLQHLVKFYKSRRFDTDIGLPKPNDFSDFPEYFVLEVMQ >KJB07813 pep chromosome:Graimondii2_0_v6:1:4366962:4385952:-1 gene:B456_001G045800 transcript:KJB07813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MQNVKSVRVNYWVEKMETGIHFEDNVVHTDNQIRRARCWFPCIDDNNQRCCFDLEFTVAHNLVAVSNGSLLYQVLSKYEPPCKTYVYRLDVPVTAQWISLAVGPFEILPDQHNGLISHMCLPPNLPKLRHTVEFFHNAFSEYEQYLDAKFPFGSYNQVFLSPEMAISSSTVGASLCILTSQVLFDEKVIDQTIDTCIKLAFALSRQWFGVYITPEAPNDEWLLDGLAGFLTDLFIKKFLGNNEARYRRYKANCAVCKADDSGATALSSSFACKDLYGTHSIGLLGKIRSWKSVAILQMLEKQMGPDFFKKILQAIICRAQSTTCPVRSLSTKEFRHFANKIGNLERPFLKEFFPRWVGLYGCPVLRMGFSYNKRKNIIELAVLRECTATIDSSVSVLNANPDSENRDGDIGWPGVMTVRVYELDGMSDHPDLPMAGDAWQLLEIACHSKLAARRYQKPKKGSKPDGSDDNGDLPTVDMRSSVDSPLLWIRADPEMEYLAEIHFNQPVQMWINQLEKDEDVVAQAQAITALESLPELSFSVLKALNNFLTDSKAFWRVRIEAALALASTSSEETDMAGLQHLVKFYKSRRFDTDIGLPKPNDFSDFPEYFVLEAIPHAVATIRAADRKSPREAVEFILQLLKYNDNNENPYSDVFWLAALVQSVGELEFGQQSIYFLSSLLKRIDRLLQFDRLMPSYNGILTISCIRTLAQIALKLSGFIHLDHVFELIKPFRDSKTIWQVRIEASRALLDLEHHCNGINAALLLFIKYIEEEPSLRGQVKLGVHAMRLCQIQGGSVSSEDIKATTLVALLHLLESRIAFNNVFLRHYLFCILQVLAGRSPTLYGVPKEKLPCMGNVEICNEQKNNFGAPVNEIRPPQPQPPMGNPSHSNHSHDNLAIPEASKEVDTVSNSHDRKTAVVKIRVKQSATSSKAEEADNGTAERSEGGERSEGRRNHDADRGATSSVSVDAPQRNSAEAVSISNQNIEEVNSFHDHGSRITASIGSAKIASEGDNFGKELQCTADSGNASVHHQPDDPSSPSIIQDSYIDAEAKKYASLQTLSISRDDGPPFQEKEKEKKKKSKKKKKDKEKKRKGEEHKGERNDPEYLEKKRLKKEKKYKEKEMARILGEVKAASGELKSKKEETTSLTQMGGNKQEADNMNSSEPPKVVISKLETRTEPTQPTSAPKFRIKIKSKPLSKS >KJB07815 pep chromosome:Graimondii2_0_v6:1:4366726:4387096:-1 gene:B456_001G045800 transcript:KJB07815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MAKPRKPKPEDPKPAHSGAVVRHQKLCLSIDTNLRRIYGYTELEIEVPDIGIVGLHAENLGIESVLVDGEPTDFEYYPRNQSSDIEKRWASAVSSPSSAADVAAAAYVTALETELIPNLLINCFNKMQIEQINTEPNGVQSSAEVKQNVKSVRVNYWVEKMETGIHFEDNVVHTDNQIRRARCWFPCIDDNNQRCCFDLEFTVAHNLVAVSNGSLLYQVLSKYEPPCKTYVYRLDVPVTAQWISLAVGPFEILPDQHNGLISHMCLPPNLPKLRHTVEFFHNAFSEYEQYLDAKFPFGSYNQVFLSPEMAISSSTVGASLCILTSQVLFDEKVIDQTIDTCIKLAFALSRQWFGVYITPEAPNDEWLLDGLAGFLTDLFIKKFLGNNEARYRRYKANCAVCKADDSGATALSSSFACKDLYGTHSIGLLGKIRSWKSVAILQMLEKQMGPDFFKKILQAIICRAQSTTCPVRSLSTKEFRHFANKIGNLERPFLKEFFPRWVGLYGCPVLRMGFSYNKRKNIIELAVLRECTATIDSSVSVLNANPDSENRDGDIGWPGVMTVRVYELDGMSDHPDLPMAGDAWQLLEIACHSKLAARRYQKPKKGSKPDGSDDNGDLPTVDMRSSVDSPLLWIRADPEMEYLAEIHFNQPVQMWINQLEKDEDVVAQAQAITALESLPELSFSVLKALNNFLTDSKAFWRVRIEAALALASTSSEETDMAGLQHLVKFYKSRRFDTDIGLPKPNDFSDFPEYFVLEAIPHAVATIRAADRKSPREAVEFILQLLKYNDNNENPYSDVFWLAALVQSVGELEFGQQSIYFLSSLLKRIDRLLQFDRLMPSYNGILTISCIRTLAQIALKLSGFIHLDHVFELIKPFRDSKTIWQVRIEASRALLDLEHHCNGINAALLLFIKYIEEEPSLRGQVKLGVHAMRLCQIQGGSVSSEDIKATTLVALLHLLESRIAFNNVFLRHYLFCILQVLAGRSPTLYGVPKEKLPCMGNVEICNEQKNNFGAPVNEIRPPQPQPPMGNPSHSNHSHDNLAIPEASKEVDTVSNSHDRKTAVVKIRVKQSATSSKAEEADNGTAERSEGGERSEGRRNHDADRGATSSVSVDAPQRNSAEAVSISNQNIEEVNSFHDHGSRITASIGSAKIASEGDNFGKELQCTADSGNASVHHQPDDPSSPSIIQDSYIDAEAKKYASLQTLSISRDDGPPFQEKEKEKKKKSKKKKKDKEKKRKGEEHKGERNDPEYLEKKRLKKEKKYKEKEMARILGEVKAASGELKSKKEETTSLTQMGGNKQEADNMNSSEPPKVVISKLETRTEPTQPTSAPKFRIKIKSKPLSKS >KJB07818 pep chromosome:Graimondii2_0_v6:1:4369104:4387027:-1 gene:B456_001G045800 transcript:KJB07818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MAKPRKPKPEDPKPAHSGAVVRHQKLCLSIDTNLRRIYGYTELEIEVPDIGIVGLHAENLGIESVLVDGEPTDFEYYPRNQSSDIEKRWASAVSSPSSAADVAAAAYVTALETELIPNLLINCFNKMQIEQINTEPNGVQSSAEVKQNVKSVRVNYWVEKMETGIHFEDNVVHTDNQIRRARCWFPCIDDNNQRCCFDLEFTVAHNLVAVSNGSLLYQVLSKYEPPCKTYVYRLDVPVTAQWISLAVGPFEILPDQHNGLISHMCLPPNLPKLRHTVEFFHNAFSEYEQYLDAKFPFGSYNQVFLSPEMAISSSTVGASLCILTSQVLFDEKVIDQTIDTCIKLAFALSRQWFGVYITPEAPNDEWLLDGLAGFLTDLFIKKFLGNNEARYRRYKANCAVCKADDSGATALSSSFACKDLYGTHSIGLLGKIRSWKSVAILQMLEKQMGPDFFKKILQAIICRAQSTTCPVRSLSTKEFRHFANKIGNLERPFLKEFFPRWVGLYGCPVLRMGFSYNKRKNIIELAVLRECTATIDSSVSVLNANPDSENRDGDIGWPGVMTVRVYELDGMSDHPDLPMAGDAWQLLEIACHSKLAARRYQKPKKGSKPDGSDDNGDLPTVDMRSSVDSPLLWIRADPEMEYLAEIHFNQPVQMWINQLEKDEDVVAQAQAITALESLPELSFSVLKALNNFLTDSKAFWRVRIEAALALASTSSEETDMAGLQHLVKFYKSRRFDTDIGLPKPNDFSDFPEYFVLEAIPHAVATIRAADRKSPREAVEFILQLLKYNDNNENPYSDVFWLAALVQSVGELEFGQQSIYFLSSLLKRIDRLLQFDRLMPSYNGILTISCIRTLAQIALKLSGFIHLDHVFELIKPFRDSKTIWQVRIEASRALLDLEHHCNGINAALLLFIKYIEEEPSLRGQVKLGVHAMRLCQIQGGSVSSEDIKATTLVALLHLLESRIAFNNVFLRHYLFCILQVLAGRWVCILIWSL >KJB07816 pep chromosome:Graimondii2_0_v6:1:4366795:4387057:-1 gene:B456_001G045800 transcript:KJB07816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MAKPRKPKPEDPKPAHSGAVVRHQKLCLSIDTNLRRIYGYTELEIEVPDIGIVGLHAENLGIESVLVDGEPTDFEYYPRNQSSDIEKRWASAVSSPSSAADVAAAAYVTALETELIPNLLINCFNKMQIEQINTEPNGVQSSAENVKSVRVNYWVEKMETGIHFEDNVVHTDNQIRRARCWFPCIDDNNQRCCFDLEFTVAHNLVAVSNGSLLYQVLSKYEPPCKTYVYRLDVPVTAQWISLAVGPFEILPDQHNGLISHMCLPPNLPKLRHTVEFFHNAFSEYEQYLDAKFPFGSYNQVFLSPEMAISSSTVGASLCILTSQVLFDEKVIDQTIDTCIKLAFALSRQWFGVYITPEAPNDEWLLDGLAGFLTDLFIKKFLGNNEARYRRYKANCAVCKADDSGATALSSSFACKDLYGTHSIGLLGKIRSWKSVAILQMLEKQMGPDFFKKILQAIICRAQSTTCPVRSLSTKEFRHFANKIGNLERPFLKEFFPRWVGLYGCPVLRMGFSYNKRKNIIELAVLRECTATIDSSVSVLNANPDSENRDGDIGWPGVMTVRVYELDGMSDHPDLPMAGDAWQLLEIACHSKLAARRYQKPKKGSKPDGSDDNGDLPTVDMRSSVDSPLLWIRADPEMEYLAEIHFNQPVQMWINQLEKDEDVVAQAQAITALESLPELSFSVLKALNNFLTDSKAFWRVRIEAALALASTSSEETDMAGLQHLVKFYKSRRFDTDIGLPKPNDFSDFPEYFVLEAIPHAVATIRAADRKSPREAVEFILQLLKYNDNNENPYSDVFWLAALVQSVGELEFGQQSIYFLSSLLKRIDRLLQFDRLMPSYNGILTISCIRTLAQIALKLSGFIHLDHVFELIKPFRDSKTIWQVRIEASRALLDLEHHCNGINAALLLFIKYIEEEPSLRGQVKLGVHAMRLCQIQGGSVSSEDIKATTLVALLHLLESRIAFNNVFLRHYLFCILQVLAGRSPTLYGVPKEKLPCMGNVEICNEQKNNFGAPVNEIRPPQPQPPMGNPSHSNHSHDNLAIPEASKEVDTVSNSHDRKTAVVKIRVKQSATSSKAEEADNGTAERSEGGERSEGRRNHDADRGATSSVSVDAPQRNSAEAVSISNQNIEEVNSFHDHGSRITASIGSAKIASEGDNFGKELQCTADSGNASVHHQPDDPSSPSIIQDSYIDAEAKKYASLQTLSISRDDGPPFQEKEKEKKKKSKKKKKDKEKKRKGEEHKGERNDPEYLEKKRLKKEKKYKEKEMARILGEVKAASGELKSKKEETTSLTQMGGNKQEADNMNSSEPPKVVISKLETRTEPTQPTSAPKFRIKIKSKPLSKS >KJB07817 pep chromosome:Graimondii2_0_v6:1:4366840:4387027:-1 gene:B456_001G045800 transcript:KJB07817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MAKPRKPKPEDPKPAHSGAVVRHQKLCLSIDTNLRRIYGYTELEIEVPDIGIVGLHAENLGIESVLVDGEPTDFEYYPRNQSSDIEKRWASAVSSPSSAADVAAAAYVTALETELIPNLLINCFNKMQIEQINTEPNGVQSSAEVKQNVKSVRVNYWVEKMETGIHFEDNVVHTDNQIRRARCWFPCIDDNNQRCCFDLEFTVAHNLVAVSNGSLLYQVLSKYEPPCKTYVYRLDVPVTAQWISLAVGPFEILPDQHNGLISHMCLPPNLPKLRHTVEFFHNAFSEYEQYLDAKFPFGSYNQVFLSPEMAISSSTVGASLCILTSQVLFDEKVIDQTIDTCIKLAFALSRQWFGVYITPEAPNDEWLLDGLAGFLTDLFIKKFLGNNEARYRRYKANCAVCKADDSGATALSSSFACKDLYGTHSIGLLGKIRSWKSVAILQMLEKQMGPDFFKKILQAIICRAQSTTCPVRSLSTKEFRHFANKIGNLERPFLKEFFPRWVGLYGCPVLRMGFSYNKRKNIIELAVLRECTATIDSSVSVLNANPDSENRDGDIGWPGVMTVRVYELDGMSDHPDLPMAGDAWQLLEIACHSKLAARRYQKPKKGSKPDGSDDNGDLPTVDMRSSVDSPLLWIRADPEMEYLAEIHFNQPVQMWINQLEKDEDVVAQAQAITALESLPELSFSVLKALNNFLTDSKAFWRVRIEAALALASTSSEETDMAGLQHLVKFYKSRRFDTDIGLPKPNDFSDFPEYFVLEAIPHAVATIRAADRKSPREAVEFILQLLKYNDNNENPYSDVFWLAALVQSVGELEFGQQSIYFLSSLLKRIDRLLQFDRLMPSYNGILTISCIRTLAQIALKLSGFIHLDHVFELIKPFRDSKTIWQVRIEASRALLDLEHHCNGINAALLLFIKYIEEEPSLRGKVGCACYAVMSDTRWICL >KJB07814 pep chromosome:Graimondii2_0_v6:1:4366726:4387094:-1 gene:B456_001G045800 transcript:KJB07814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MAKPRKPKPEDPKPAHSGAVVRHQKLCLSIDTNLRRIYGYTELEIEVPDIGIVGLHAENLGIESVLVDGEPTDFEYYPRNQSSDIEKRWASAVSSPSSAADVAAAAYVTALETELIPNLLINCFNKMQIEQINTEPNGVQSSAEVKQNVKSVRVNYWVEKMETGIHFEDNVVHTDNQIRRARCWFPCIDDNNQRCCFDLEFTVAHNLVAVSNGSLLYQVLSKYEPPCKTYVYRLDVPVTAQWISLAVGPFEILPDQHNGLISHMCLPPNLPKLRHTVEFFHNAFSEYEQYLDAKFPFGSYNQVFLSPEMAISSSTVGASLCILTSQVLFDEKVIDQTIDTCIKLAFALSRQWFGVYITPEAPNDEWLLDGLAGFLTDLFIKKFLGNNEARYRRYKANCAVCKADDSGATALSSSFACKDLYGTHSIGLLGKIRSWKSVAILQMLEKQMGPDFFKKILQAIICRAQSTTCPVRSLSTKEFRHFANKIGNLERPFLKEFFPRWVGLYGCPVLRMGFSYNKRKNIIELAVLRECTATIDSSVSVLNANPDSENRDGDIGWPGVMTVRVYELDGMSDHPDLPMAGDAWQLLEIACHSKLAARRYQKPKKGSKPDGSDDNGDLPTVDMRSSVDSPLLWIRADPEMEYLAEIHFNQPVQMWINQLEKDEDVVAQAQAITALESLPELSFSVLKALNNFLTDSKAFWRVRIEAALALASTSSEETDMAGLQHLVKFYKSRRFDTDIGLPKPNDFSDFPEYFVLEAIPHAVATIRAADRKSPREAVEFILQLLKYNDNNENPYSDVFWLAALVQSVGELEFGQQRIDRLLQFDRLMPSYNGILTISCIRTLAQIALKLSGFIHLDHVFELIKPFRDSKTIWQVRIEASRALLDLEHHCNGINAALLLFIKYIEEEPSLRGQVKLGVHAMRLCQIQGGSVSSEDIKATTLVALLHLLESRIAFNNVFLRHYLFCILQVLAGRSPTLYGVPKEKLPCMGNVEICNEQKNNFGAPVNEIRPPQPQPPMGNPSHSNHSHDNLAIPEASKEVDTVSNSHDRKTAVVKIRVKQSATSSKAEEADNGTAERSEGGERSEGRRNHDADRGATSSVSVDAPQRNSAEAVSISNQNIEEVNSFHDHGSRITASIGSAKIASEGDNFGKELQCTADSGNASVHHQPDDPSSPSIIQDSYIDAEAKKYASLQTLSISRDDGPPFQEKEKEKKKKSKKKKKDKEKKRKGEEHKGERNDPEYLEKKRLKKEKKYKEKEMARILGEVKAASGELKSKKEETTSLTQMGGNKQEADNMNSSEPPKVVISKLETRTEPTQPTSAPKFRIKIKSKPLSKS >KJB06944 pep chromosome:Graimondii2_0_v6:1:6707932:6711691:-1 gene:B456_001G066800 transcript:KJB06944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIWNKARNFAEDAARRSSELSIGSAKLGDIVTEAMKRSKEIAAEASKRAEEIKAEAAKQAELIKSSIAEGVAPPQNTERMVEQEKELESFGINEELRDFVKGITLSTFQDFPLPDDSPMSDVPTISNEISKLRFELCPRVMRERKFWRIYFLLVNSHVAPYEKWYMEEIERTTAEKIRDKKMKESSNIEMTSQQQAKESKQHNKTSASFVEQDLDVFLLGGDSDECPDDGDEGFDDFGSDDEKVKS >KJB06943 pep chromosome:Graimondii2_0_v6:1:6707894:6711776:-1 gene:B456_001G066800 transcript:KJB06943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIWNKARNFAEDAARRSSELSIGSAKLGDIVTEAMKRSKEIAAEASKRAEEIKAEAAKQAELIKSSIAEGVAPPQNTERMVEQEKELESFGINEELRDFVKGITLSTFQDFPLPDDSPMSDVPTISNVRQDLTEWQEKHAKLVLSTVKEISKLRFELCPRVMRERKFWRIYFLLVNSHVAPYEKWYMEEIERTTAEKIRDKKMKESSNIEMTSQQQAKESKQHNKTSASFVEQDLDVFLLGGDSDECPDDGDEGFDDFGSDDEKVKS >KJB09660 pep chromosome:Graimondii2_0_v6:1:21774055:21777693:-1 gene:B456_001G155300 transcript:KJB09660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRRQRFVRFQDWNSDDGLFQMKVGQSLNAFMERLQGVFEKGVERIRSLKKSISFRSLGSNPATEKAKALGSKKRILNPQGQFLQTWNKMFLLSCAIALAVDPLFFYIPVVDGRRKCLNLDDRLEITASVLRTFIDAFYILHMIFQFRTAFIAPSSRVFGRGELIEDLWPIAKRYLSKYFIIDVLAILPLPQVVILIITPTIKGSVSLVTKDLLEFIIFAQYVPRIIRIFPLYKEVTRTSGILAETAWAGAALNLFLYMLASHVVGAFWYLFSIEREDSCWRNAIGEGEESALYCDKHTTTINTTLVNLLNSSCPFINPDDIKDSKAFNFGIFIDALDSRVVETCDFPKKFFYCFWWGLRNLSSLGQNLKTSTFVWEILFAIVISIAGLVLFSLLIGNMQKYLQSTGVRVEEMRVKRQDAEQWMSHRMLPENLRERIRKYEQYKWQETRGVEEETLISSLPKDLKRDIKRHLCLDLLKRVPMFEKMDERLLDAMCDHLKPALYTDKSYIVREGDPVEEMLFIIRGNLTSTTTNGGRTGFFNAVHLKAGDFCGDHLLTWALDLQSSSNLPISTRTVQALTEVEAFALMADDLKFVASQFRRLHSKQFQHTFKFYSVQWQTWAASFIQAAWRRYFKRKLMKSLREAEDKLQNALAKESGTSPSLGATLYASKFAANALRTLRKNGSQSTRLPQRLPPLLPQKPAEPDFTAEDS >KJB10078 pep chromosome:Graimondii2_0_v6:1:28441703:28458238:1 gene:B456_001G183300 transcript:KJB10078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARAKDTKERMAAVERLYHLLEGSRKSLTYSEVTSLVDCCMDLLNDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSYGWTHRSWRVREEFAQTVTSAISLFASTELPLQRAILPPILQMLHDSNPGVREAAILCIEEMYMQAGTQFRDELHRHQLPASMVRDINARLEKTEMLVRPSNGTLGGLAAGDRKPVIYNVKKSSPRAKSSSRETYLLGGEIDVTEKSTDPIKVYSDKELIREFEKIASTLMPEKDWSIRIAAMQRVEGLVSGGAADYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKEFFGEFESCAEMFIPVLFKLVVITVLVIAESADNCIKMMLHNCKVARVLPRIADCAKNDRNAVLRARCCEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAISEVRSTARMCYRMFTKTWPDRSRRLFSSFDPAIQRIINEEDGGMHRRHASPSLRDRNVKISFTSQTSATLNVPGCGTSAIVAMDRTSSLSSGTSLSSGLNFSQSKPVGKGAERTLESVLHASKQKVSAIESMLRGLDISEKQRSTSLALGVDPPSFRDPPFPAAVSASNSLTSSLGLESITSTVGKSGNHNGDLILSDIITQTSKDSGKLSYRSNVATESFPVLSSYSPRRAAVRPDRGSIEDNTDIREASRFIKPHIDRQYFEMPYRDVNSRHSQNNNIPNFQRPLSRKHVSGRISAERRKIFDDNQPSLGEISNYVDGPASLTEALSEGLSPSSNWCARVAAFMYLQSLLQQGPKGVLEVNQNFMKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKESVRQPCSTTLGIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFSISSFNKHATNSEGSSNIGILMLWLAKLKPLVHDKNTKLKDAAISCIISVYSHFDAIAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLISYLQNKKERQRSKSSYDPYDAVGTWSEEGYVGVSKKSLLLGRYSSGSVDSEGGRKGSTQDSTLITGPIGQETSDETQVNLFQNFGISSNADVLPSKTKELSYMVNSNDQNLGSQMGQVENLESSVNMEGLSTPHLETNGPSRFDSLVDVKGVACDHDISSELDLNHLKPAPVKIGSMPDTGPSIPKILHLFCNGIDESSTASKRGALQQLIEISVANDISIWTKYFNQILTTLLEVLDDSDSSIRELALSLIVQMLKNQKDAMEDSVEIVIEKLLHVTKDIVPKVSNEAENCLNTVMSQYDPFRCLSVIGTDVFR >KJB10077 pep chromosome:Graimondii2_0_v6:1:28441703:28458238:1 gene:B456_001G183300 transcript:KJB10077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARAKDTKERMAAVERLYHLLEGSRKSLTYSEVTSLVDCCMDLLNDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSYGWTHRSWRVREEFAQTVTSAISLFASTELPLQRAILPPILQMLHDSNPGVREAAILCIEEMYMQAGTQFRDELHRHQLPASMVRDINARLEKTEMLVRPSNGTLGGLAAGDRKPVIYNVKKSSPRAKSSSRETYLLGGEIDVTEKSTDPIKVYSDKELIREFEKIASTLMPEKDWSIRIAAMQRVEGLVSGGAADYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKEFFGEFESCAEMFIPVLFKLVVITVLVIAESADNCIKMMLHNCKVARVLPRIADCAKNDRNAVLRARCCEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAISEVRSTARMCYRMFTKTWPDRSRRLFSSFDPAIQRIINEEDGGMHRRHASPSLRDRNVKISFTSQTSATLNVPGCGTSAIVAMDRTSSLSSGTSLSSGLNFSQSKPVGKGAERTLESVLHASKQKVSAIESMLRGLDISEKQRSTSLALGVDPPSFRDPPFPAAVSASNSLTSSLGLESITSTVGKSGNHNGDLILSDIITQTSKDSGKLSYRSNVATESFPVLSSYSPRRAAVRPDRGSIEDNTDIREASRFIKPHIDRQYFEMPYRDVNSRHSQNNNIPNFQRPLSRKHVSGRISAERRKIFDDNQPSLGEISNYVDGPASLTEALSEGLSPSSNWCARVAAFMYLQSLLQQGPKGVLEVNQNFMKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKESVRQPCSTTLGIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFSISSFNKHATNSEGSSNIGILMLWLAKLKPLVHDKNTKLKDAAISCIISVYSHFDAIAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLISYLQNKKERQRSKSSYDPYDAVGTWSEEGYVGVSKKSLLLGRYSSGSVDSEGGRKGSTQDSTLITGPIGQETSDETQVNLFQNFGISSNADVLPSKTKELSYMVNSNDQNLGSQMGQVENLESSVNMEGLSTPHLETNGPSRFDSLVDVKGVACDHDISSELDLNHLKPAPVKIGSMPDTGPSIPKILHLFCNGIDESSTASKRGALQQLIEISVANDISIWTKYFNQILTTLLEVLDDSDSSIRELALSLIVQMLKNQKDAMEDSVEIVIEKLLHVTKDIVPKVSNEAENCLNTVMSQYDPFRCLSVIVPLLVTEDEKTLVICINCLTKGEEKGNGGLGRQMKGDIYLMND >KJB10076 pep chromosome:Graimondii2_0_v6:1:28442190:28456366:1 gene:B456_001G183300 transcript:KJB10076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARAKDTKERMAAVERLYHLLEGSRKSLTYSEVTSLVDCCMDLLNDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSYGWTHRSWRVREEFAQTVTSAISLFASTELPLQRAILPPILQMLHDSNPGVREAAILCIEEMYMQAGTQFRDELHRHQLPASMVRDINARLEKTEMLVRPSNGTLGGLAAGDRKPVIYNVKKSSPRAKSSSRETYLLGGEIDVTEKSTDPIKVYSDKELIREFEKIASTLMPEKDWSIRIAAMQRVEGLVSGGAADYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKEFFGEFESCAEMFIPVLFKLVVITVLVIAESADNCIKMMLHNCKVARVLPRIADCAKNDRNAVLRARCCEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAISEVRSTARMCYRMFTKTWPDRSRRLFSSFDPAIQRIINEEDGGMHRRHASPSLRDRNVKISFTSQTSATLNVPGCGTSAIVAMDRTSSLSSGTSLSSGLNFSQSKPVGKGAERTLESVLHASKQKVSAIESMLRGLDISEKQRSTSLALGVDPPSFRDPPFPAAVSASNSLTSSLGLESITSTVGKSGNHNGDLILSDIITQTSKDSGKLSYRSNVATESFPVLSSYSPRRAAVRPDRGSIEDNTDIREASRFIKPHIDRQYFEMPYRDVNSRHSQNNNIPNFQRPLSRKHVSGRISAERRKIFDDNQPSLGEISNYVDGPASLTEALSEGLSPSSNWCARVAAFMYLQSLLQQGPKGVLEVNQNFMKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKESVRQPCSTTLGIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFSISSFNKHATNSEGSSNIGILMLWLAKLKPLVHDKNTKLKDAAISCIISVYSHFDAIAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLISYLQNKKERQRSKSSYDPYDAVGTWSEEGYVGVSKKSLLLGRYSSGSVDSEGGRKGSTQDSTLITGPIGQETSDETQVNLFQNFGISSNADVLPSKTKELSYMVNSNDQNLGSQMGQVENLESSVNMEGLSTPHLETNGPSRFDSLVDVKGVACDHDISSELDLNHLKPAPVKIGSMPDTGPSIPKILHLFCNGIDESSTASKRGALQQLIEISVANDISIWTKYFNQILTTLLEVLDDSDSSIRELALSLIVQMLKNQKDAMEDSVEIVIEKLLHVTKDIVPKVSNEAENCLNTVMSQYDPFRCLSVIVPLLVTEDEKTLVICINCLTKVFILNLNLSENC >KJB10080 pep chromosome:Graimondii2_0_v6:1:28441703:28458255:1 gene:B456_001G183300 transcript:KJB10080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARAKDTKERMAAVERLYHLLEGSRKSLTYSEVTSLVDCCMDLLNDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSYGWTHRSWRVREEFAQTVTSAISLFASTELPLQRAILPPILQMLHDSNPGVREAAILCIEEMYMQAGTQFRDELHRHQLPASMVRDINARLEKTEMLVRPSNGTLGGLAAGDRKPVIYNVKKSSPRAKSSSRETYLLGGEIDVTEKSTDPIKVYSDKELIREFEKIASTLMPEKDWSIRIAAMQRVEGLVSGGAADYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKEFFGEFESCAEMFIPVLFKLVVITVLVIAESADNCIKMMLHNCKVARVLPRIADCAKNDRNAVLRARCCEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAISEVRSTARMCYRMFTKTWPDRSRRLFSSFDPAIQRIINEEDGGMHRRHASPSLRDRNVKISFTSQTSATLNVPGCGTSAIVAMDRTSSLSSGTSLSSGLNFSQSKPVGKGAERTLESVLHASKQKVSAIESMLRGLDISEKQRSTSLALGVDPPSFRDPPFPAAVSASNSLTSSLGLESITSTVGKSGNHNGDLILSDIITQTSKDSGKLSYRSNVATESFPVLSSYSPRRAAVRPDRGSIEDNTDIREASRFIKPHIDRQYFEMPYRDVNSRHSQNNNIPNFQRPLSRKHVSGRISAERRKIFDDNQPSLGEISNYVDGPASLTEALSEGLSPSSNWCARVAAFMYLQSLLQQGPKGVLEVNQNFMKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKESVRQPCSTTLGIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFSISSFNKHATNSEGSSNIGILMLWLAKLKPLVHDKNTKLKDAAISCIISVYSHFDAIAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLISYLQNKKERQRSKSSYDPYDAVGTWSEEGYVGGSTQDSTLITGPIGQETSDETQVNLFQNFGISSNADVLPSKTKELSYMVNSNDQNLGSQMGQVENLESSVNMEGLSTPHLETNGPSRFDSLVDVKGVACDHDISSELDLNHLKPAPVKIGSMPDTGPSIPKILHLFCNGIDESSTASKRGALQQLIEISVANDISIWTKYFNQILTTLLEVLDDSDSSIRELALSLIVQMLKNQKDAMEDSVEIVIEKLLHVTKDIVPKVSNEAENCLNTVMSQYDPFRCLSVIVPLLVTEDEKTLVICINCLTKLVGQLSQEDLMSLLPSFLPAIFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPHLEGLNSTQLRLVTIYANRISQARLGPL >KJB10079 pep chromosome:Graimondii2_0_v6:1:28441703:28458242:1 gene:B456_001G183300 transcript:KJB10079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARAKDTKERMAAVERLYHLLEGSRKSLTYSEVTSLVDCCMDLLNDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSYGWTHRSWRVREEFAQTVTSAISLFASTELPLQRAILPPILQMLHDSNPGVREAAILCIEEMYMQAGTQFRDELHRHQLPASMVRDINARLEKTEMLVRPSNGTLGGLAAGDRKPVIYNVKKSSPRAKSSSRETYLLGGEIDVTEKSTDPIKVYSDKELIREFEKIASTLMPEKDWSIRIAAMQRVEGLVSGGAADYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKEFFGEFESCAEMFIPVLFKLVVITVLVIAESADNCIKMMLHNCKVARVLPRIADCAKNDRNAVLRARCCEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAISEVRSTARMCYRMFTKTWPDRSRRLFSSFDPAIQRIINEEDGGMHRRHASPSLRDRNVKISFTSQTSATLNVPGCGTSAIVAMDRTSSLSSGTSLSSGLNFSQSKPVGKGAERTLESVLHASKQKVSAIESMLRGLDISEKQRSTSLALGVDPPSFRDPPFPAAVSASNSLTSSLGLESITSTVGKSGNHNGDLILSDIITQTSKDSGKLSYRSNVATESFPVLSSYSPRRAAVRPDRGSIEDNTDIREASRFIKPHIDRQYFEMPYRDVNSRHSQNNNIPNFQRPLSRKHVSGRISAERRKIFDDNQPSLGEISNYVDGPASLTEALSEGLSPSSNWCARVAAFMYLQSLLQQGPKGVLEVNQNFMKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKESVRQPCSTTLGIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFSISSFNKHATNSEGSSNIGILMLWLAKLKPLVHDKNTKLKDAAISCIISVYSHFDAIAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLISYLQNKKERQRSKSSYDPYDAVGTWSEEGYVGVSKKSLLLGRYSSGSVDSEGGRKGSTQDSTLITGPIGQETSDETQVNLFQNFGISSNADVLPSKTKELSYMVNSNDQNLGSQMGQVENLESSVNMEGLSTPHLETNGPSRFDSLVDVKGVACDHDISSELDLNHLKPAPVKIGSMPDTGPSIPKILHLFCNGIDESSTASKRGALQQLIEISVANDISIWTKYFNQILTTLLEVLDDSDSSIRELALSLIVQMLKNQKDAMEDSVEIVIEKLLHVTKDIVPKVSNEAENCLNTVMSQYDPFRCLSVIVPLLVTEDEKTLVICINCLTKLVGQLSQEDLMSLLPSFLPAIFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPHLEGLNSTQLRLVTIYANRISQARLGPL >KJB06264 pep chromosome:Graimondii2_0_v6:1:5847291:5850646:-1 gene:B456_001G059300 transcript:KJB06264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRSAFISSLSKFRSLINAGFHLLPEMAKRKQLEIQFIGEDEEGTSCTVTHSTVSASGRFLRNKRRVNARNTRQQGMLNSKQFSDCFEKIWKIFPDNQRASFTYLDCLWFSWYMDELFKEKVLEWISRKHIFTKKYVFVPILHWRHWNLLIFCNFDKPLQSNTQTTCMLLLDSMQMSGPRRLEPTIRKYESATCVGIHIFFCCISISLLLSWF >KJB06263 pep chromosome:Graimondii2_0_v6:1:5846693:5850649:-1 gene:B456_001G059300 transcript:KJB06263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPRSAFISSLSKFRSLINAGFHLLPEMAKRKQLEIQFIGEDEEGTSCTVTHSTVSASGRFLRNKRRVNARNTRQQGMLNSKQFSDCFEKIWKIFPDNQRASFTYLDCLWFSWYMDELFKEKVLEWISRKHIFTKKYVFVPILHWRHWNLLIFCNFDKPLQSNTQTTCMLLLDSMQMSGPRRLEPTIRKFLLDVYEAEKRPVMKQAISKIPLLIPKVPQQRNGEDCGRFVLYFISLFMESAPKNFSTTGGYPYFVSSFFHFWYSIIRGLVLTDTVGTYRGVNETAVLTSYTSSTRKIFEFG >KJB06261 pep chromosome:Graimondii2_0_v6:1:5846682:5850648:-1 gene:B456_001G059300 transcript:KJB06261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELFKEKVLEWISRKHIFTKKYVFVPILHWRHWNLLIFCNFDKPLQSNTQTTCMLLLDSMQMSGPRRLEPTIRKFLLDVYEAEKRPVMKQAISKIPLLIPKVPQQRNGEDCGRFVLYFISLFMESAPKNFSTTGGYPYFMKEDWFAPQDFDCFCKRFKLCS >KJB06262 pep chromosome:Graimondii2_0_v6:1:5847766:5850649:-1 gene:B456_001G059300 transcript:KJB06262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPRSAFISSLSKFRSLINAGFHLLPEMAKRKQLEIQFIGEDEEGTSCTVTHSTVSASGRFLRNKRRVNARNTRQQGMLNSKQFSDCFEKIWKIFPDNQRASFTYLDCLWFSWYMDELFKEKVLEWISRKHIFTKKYVFVPILHWRHWNLLIFCNFDKPLQSNTQTTCMLLLDSMQMSGPRRLEPTIRKFLLDVYEAEKRPVMKQAISKIPLLIPKQMKTIRFPNREMVKIVVGLFFIS >KJB06259 pep chromosome:Graimondii2_0_v6:1:5846681:5850750:-1 gene:B456_001G059300 transcript:KJB06259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKQLEIQFIGEDEEGTSCTVTHSTVSASGRFLRNKRRVNARNTRQQGMLNSKQFSDCFEKIWKIFPDNQRASFTYLDCLWFSWYMDELFKEKVLEWISRKHIFTKKYVFVPILHWRHWNLLIFCNFDKPLQSNTQTTCMLLLDSMQMSGPRRLEPTIRKFLLDVYEAEKRPVMKQAISKIPLLIPKVPQQRNGEDCGRFVLYFISLFMESAPKNFSTTGGYPYFMKEDWFAPQDFDCFCKRFKLCS >KJB06260 pep chromosome:Graimondii2_0_v6:1:5846682:5850649:-1 gene:B456_001G059300 transcript:KJB06260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRSAFISSLSKFRSLINAGFHLLPEMAKRKQLEIQFIGEDEEGTSCTVTHSTVSASGRFLRNKRRVNARNTRQQGMLNSKQFSDCFEKIWKIFPDNQRASFTYLDCLWFSWYMDELFKEKVLEWISRKHIFTKKYVFVPILHWRHWNLLIFCNFDKPLQSNTQTTCMLLLDSMQMSGPRRLEPTIRKFLLDVYEAEKRPVMKQAISKIPLLIPKMKEDWFAPQDFDCFCKRFKLCS >KJB08941 pep chromosome:Graimondii2_0_v6:1:13439982:13443931:-1 gene:B456_001G114800 transcript:KJB08941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKALHPTMIRQDSSSLHDQHKPRAKNYFKVVSRKLAGVFTALLSGKRKKGRIDVNKMQKNNTRVERISFSTSTDQSTASDVRSSAGFKSFGSYGSSSSMSGRITTPSFSFEDICKATANFSPENKIGEGGFGTVYKGRLKDGSLVAVKRAKKDKYDQGLPQQFKNEILTLSKIEHLNLVRLFGYLEHKDEQIIVVEYVGNGNLREHLDAVRGNGLEIAERLDIAIDVAHAITYLHTYTDPPIIHRDIKASNILITEKLRAKVADFGFARLATEDPTATHISTQVKGTAGYVDPEYTRTYQLTDKSDVYSFGVLLVELMTGRYPIESKKPVKERVTVRWAMKRLKEGEFVIAMDPKLRRSPASNMVVENVLKLAHQCLAPVRQSRPTMKKCVEVLWGIRKDYKDRVSSTTAASTSHCSANFPYRNAKSDRHLFGIQEGDSYGFISA >KJB08943 pep chromosome:Graimondii2_0_v6:1:13440125:13443791:-1 gene:B456_001G114800 transcript:KJB08943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKALHPTMIRQDSSSLHDQHKPRAKNYFKVVSRKLAGVFTALLSGKRKKGRIDVNKMQKNNTRVERISYQSTASDVRSSAGFKSFGSYGSSSSMSGRITTPSFSFEDICKATANFSPENKIGEGGFGTVYKGRLKDGSLVAVKRAKKDKYDQGLPQQFKNEILTLSKIEHLNLVRLFGYLEHKDEQIIVVEYVGNGNLREHLDAVRGNGLEIAERLDIAIDVAHAITYLHTYTDPPIIHRDIKASNILITEKLRAKVADFGFARLATEDPTATHISTQVKGTAGYVDPEYTRTYQLTDKSDVYSFGVLLVELMTGRYPIESKKPVKERVTVRWAMKRLKEGEFVIAMDPKLRRSPASNMVVENVLKLAHQCLAPVRQSRPTMKKCVEVLWGIRKDYKDRVSSTTAASTSHCSANFPYRNAKSDRHLFGIQEGDSYGFISA >KJB08942 pep chromosome:Graimondii2_0_v6:1:13440125:13443791:-1 gene:B456_001G114800 transcript:KJB08942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKALHPTMIRQDSSSLHDQHKPRAKNYFKVVSRKLAGVFTALLSGKRKKGRIDVNKMQKNNTRVERISFSTSTDQSTASDVRSSAGFKSFGSYGSSSSMSGRITTPSFSFEDICKATANFSPENKIGEGGFGTVYKGRLKDGSLVAVKRAKKDKYDQGLPQQFKNEILTLSKIEHLNLVRLFGYLEHKDEQIIVVEYVGNGNLREHLDAVRGNGLEIAERLDIAIDVAHAITYLHTYTEKLRAKVADFGFARLATEDPTATHISTQVKGTAGYVDPEYTRTYQLTDKSDVYSFGVLLVELMTGRYPIESKKPVKERVTVRWAMKRLKEGEFVIAMDPKLRRSPASNMVVENVLKLAHQCLAPVRQSRPTMKKCVEVLWGIRKDYKDRVSSTTAASTSHCSANFPYRNAKSDRHLFGIQEGDSYGFISA >KJB10486 pep chromosome:Graimondii2_0_v6:1:39634843:39638253:-1 gene:B456_001G203800 transcript:KJB10486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKFLSPKLLNTFPSFTRFLNSASTASLAAVASLNFDEKPEPAAVEKQPTSLKQESITNATLDLDDHQRLFGSIPTLNLLRSSANLGLAANERFVDFGMWIMNSRLMETSLVRDAILKAVRHTFFQHFCAGETTEEAADCVRRIHDKGFRGMLVYAVEHTSDNAGCDRNLEGFLGSVELAKSLPASSVSFVIAKITAICPIGLLKRVSDLLRWQYKDPSFYLPWKLNTLPIFSDSSALYHTLEKPAPLSPKEESDLQLAHQRLLELCQKCVQDNVALTIDAEDTSIQPAIDYFTYSSAIMYNQDGNPIVFGTIQAYLKDAKERLFVTTKTAQSLGIPMGFKLVRGAYMSSESKLASLLGYDSPIHNSIQETHACYNDCASFMLERIADGYGAVVLATHNVESGQLAASKACDLGIQKGNQKLEFAQLYGMSEALSFGLRNAGFQVSKYLPYGPVAMVMPYLLRRAEENRGLLSTSSLDSVLMGKELKRRLKKLQFAKSEMASPSSMKIEIGTH >KJB09615 pep chromosome:Graimondii2_0_v6:1:21386158:21393878:1 gene:B456_001G152800 transcript:KJB09615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDVVTSASFVPASEILSQTVEAILEIVVSANDVLFKKDSFKKLASYLERIVPVLKELKGKCISNSESLNNAIQILNREIKAAKQLTAECSTKSKVYLLMNSRGIVRRLEGTMREISRGLSLLPLASLELSSAIVVEIGNLCDSMQKAEFKAAITEEEILEKIETGIQERNADRSYANNLLVLIAEAVGIPTERSALKREFEDFKSEIENVRLRKDKAEAIQMDQIIALLERADAASSPKEKEMKYFTKRKSLGSQPLEPLQSFYCPITRDVMVDPVETSSGQTFERSAIEKWFTEGNNLCPLTMTPLDTSILRPNKTLRQSIEEWKDRNTMITIASMKPNLTSGDEEEVLQCLGQLKDLCEQRDMHREWVILENYISVLIQLLGGKNRDIRNRVLVILHILTKDSDDAKDRVAKVDGAIELVVRSLGRRTDERRLAVALLLDLSKYNVLRDSIGKVQGCILLLVTMASGDDYQAARDAEEILENLSYSDQNVIQMARANYFKHLLQRLSTGPDDVKLIMATAIAEMELTDHNKVVLLERGALRPLLNWVSHGGIQMKSVAVKALRNLSSVPKNGLQMIKEGASRPLLDLLHLGSSSSALREQVAATVMHLAVSTMSQESTETPVSLLESDEDVFMVFSLISLTGPEIQQNLLQIFQALCQSPSAAYIKTKLTQCLAIQVLIQLCECDIGNVRLNAVKLFCFLVKDGDEATILEHVRQKCIETLLRIIQSFNDDEEVASAVGIIANLPENDQITQWLVDAGAIPIIFRFLRSGRLNDSNRSQLVDSAVGAICRFTAPTNLEWQKRAAEADVIPMLVQLLDSGTTLTKYHAATSLSRFSQSSLQLSRTIPKKKGFWCLSAPPETACPVHGGICSVVSSFCLLEADAVIPLARVLEGTDAGVCEASLDALLTLIEGERLQNGSKVLAEANAITPMIRCLSSPSLRLQEKALHALERIFRLPEFKQKYGPAAQMPLVDLTQRGNSSMKSLSARILAHLNVLHDQSSYF >KJB09614 pep chromosome:Graimondii2_0_v6:1:21385970:21393878:1 gene:B456_001G152800 transcript:KJB09614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDVVTSASFVPASEILSQTVEAILEIVVSANDVLFKKDSFKKLASYLERIVPVLKELKGKCISNSESLNNAIQILNREIKAAKQLTAECSTKSKVYLLMNSRGIVRRLEGTMREISRGLSLLPLASLELSSAIVVEIGNLCDSMQKAEFKAAITEEEILEKIETGIQERNADRSYANNLLVLIAEAVGIPTERSALKREFEDFKSEIENVRLRKDKAEAIQMDQIIALLERADAASSPKEKEMKYFTKRKSLGSQPLEPLQSFYCPITRDVMVDPVETSSGQTFERSAIEKWFTEGNNLCPLTMTPLDTSILRPNKTLRQSIEEWKDRNTMITIASMKPNLTSGDEEEVLQCLGQLKDLCEQRDMHREWVILENYISVLIQLLGGKNRDIRNRVLVILHILTKDSDDAKDRVAKVDGAIELVVRSLGRRTDERRLAVALLLDLSKYNVLRDSIGKVQGCILLLVTMASGDDYQAARDAEEILENLSYSDQNVIQMARANYFKHLLQRLSTGPDDVKLIMATAIAEMELTDHNKVVLLERGALRPLLNWVSHGGIQMKSVAVKALRNLSSVPKNGLQMIKEGASRPLLDLLHLGSSSSALREQVAATVMHLAVSTMSQESTETPVSLLESDEDVFMVFSLISLTGPEIQQNLLQIFQALCQSPSAAYIKTKLTQCLAIQVLIQLCECDIGNVRLNAVKLFCFLVKDGDEATILEHVRQKCIETLLRIIQSFNDDEEVASAVGIIANLPENDQITQWLVDAGAIPIIFRFLRSGRLNDSNRSQLVDSAVGAICRFTAPTNLEWQKRAAEADVIPMLVQLLDSGTTLTKYHAATSLSRFSQSSLQLSRTIPKKKGFWCLSAPPETACPVHGGICSVVSSFCLLEADAVIPLARVLEGTDAGVCEASLDALLTLIEGERLQNGSKVLAEANAITPMIRCLSSPSLRLQEKALHALERIFRLPEFKQKYGPAAQMPLVDLTQRGNSSMKSLSARILAHLNVLHDQSSYF >KJB06276 pep chromosome:Graimondii2_0_v6:1:19606623:19607968:1 gene:B456_001G144200 transcript:KJB06276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVQRPASSSDSDERKRKRMLSNRESARRSRIRKQKQLEDLVNEVSALQKDNSQLSEKINVATQRYAEMECANNVLRAQAMELTERLRSLNSVLHIVEEVSGYAVDIPEIPDPLMKPWQIPCPVQPIMALADMFEC >KJB11632 pep chromosome:Graimondii2_0_v6:1:54565350:54568394:-1 gene:B456_001G268800 transcript:KJB11632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQFDSDKHDTFGVPIQVLSLSNMSHSKRKGLIHCLRHELEQIQMLQKKVELLRTNGVTVSSSSDILSCSNVQSLPRVKDIQKLPMMASEQGKKGNPRNGKARELIRGSSGKFKSAKHASEANTANIFLMKQCEGLLKRLMGHQYGWVFNEPVDVVKLNIPDYFNVIKHPMDLGTIKKKINSGGYASPLQFYADVRLTFSNAMTYNPPGNDVHVMADTLNKFFEVRWKNIDKKLPAIGAQLSQSKAPAEDIETSKTVLPAKKRKTTSVTQQVIPEPVKRMTDEEKHKLGVELESLLTEMPMHIIDFLREHSSNGRESEEEEIEIDIDDLSDXXXXXXXXQLNKSGLSSSPMQQCRGDDQANEVVDIRENEPPLSSHPPKEIENDIGHRSIKSFNSGSSRDSDSGSSSDSESGAAKASSLVLEAVDSGNQLDEKTSADNPLDRNECNGFDQLEQTSQAKSSSVESDCHQDGDSAPNERPVSPEKRYRAAILKNRFADTILKAREKALTTQGEKGDPEKLRREREELEHQRKKGLNFKPVFPDFQNADTYDFNKGKFGCLYFALLSNNYVNVGQRRHGCKQKPKLLKMLKDKLKQKLQQRLDGRESSRGMQHDRHC >KJB11628 pep chromosome:Graimondii2_0_v6:1:54564711:54568893:-1 gene:B456_001G268800 transcript:KJB11628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQFDSDKHDTFGVPIQVLSLSNMSHSKRKGLIHCLRHELEQIQMLQKKVELLRTNGVTVSSSSDILSCSNVQSLPRVKDIQKLPMMASEQGKKGNPRNGKARELIRGSSGKFKSAKHASEANTANIFLMKQCEGLLKRLMGHQYGWVFNEPVDVVKLNIPDYFNVIKHPMDLGTIKKKINSGGYASPLQFYADVRLTFSNAMTYNPPGNDVHVMADTLNKFFEVRWKNIDKKLPAIGAQLSQSKAPAEDIETSKTVLPAKKRKTTSVTQQVIPEPVKRMTDEEKHKLGVELESLLTEMPMHIIDFLREHSSNGRESEEEEIEIDIDDLSDXXXXXXXXQLNKSGLSSSPMQQCRGDDQANEVVDIRENEPPLSSHPPKEIENDIGHRSIKSFNSGSSRDSDSGSSSDSESGAAKASSLVLEAVDSGNQLDEKTSADNPLDRNECNGFDQLEQTSQAKSSSVESDCHQDGDSAPNERPVSPEKRYRAAILKNRFADTILKAREKALTTQVSCKLWFSPNLLYAYMLSIFLLWIRGRRGTLRNCVVRERNLNIKGRKARLQAEAKAAEDAQRQAEAEAAAEARRKRELERDAARQALLKMEKTVEINENSRFLEDLEMLRAAPAEHLPSSVDETSPDHSQDGLGSFKFGSNNPLEQLGLYMKEDEEEEEGEPSNVPNPVNDPEEGEID >KJB11627 pep chromosome:Graimondii2_0_v6:1:54564711:54568893:-1 gene:B456_001G268800 transcript:KJB11627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQFDSDKHDTFGVPIQVLSLSNMSHSKRKGLIHCLRHELEQIQMLQKKVELLRTNGVTVSSSSDILSCSNVQSLPRVKDIQKLPMMASEQGKKGNPRNGKARELIRGSSGKFKSAKHASEANTANIFLMKQCEGLLKRLMGHQYGWVFNEPVDVVKLNIPDYFNVIKHPMDLGTIKKKINSGGYASPLQFYADVRLTFSNAMTYNPPGNDVHVMADTLNKFFEVRWKNIDKKLPAIGAQLSQSKAPAEDIETSKTVLPAKKRKTTSVTQQVIPEPVKRMTDEEKHKLGVELESLLTEMPMHIIDFLREHSSNGRESEEEEIEIDIDDLSDXXXXXXXXQLNKSGLSSSPMQQCRGDDQANEVVDIRENEPPLSSHPPKEIENDIGHRSIKSFNSGSSRDSDSGSSSDSESGAAKASSLVGAPKVLEAVDSGNQLDEKTSADNPLDRNECNGFDQLEQTSQAKSSSVESDCHQDGDSAPNERPVSPEKRYRAAILKNRFADTILKAREKALTTQGEKGDPEKLRREREELEHQRKKEKARLQAEAKAAEDAQRQAEAEAAAEARRKRELERDAARQALLKMEKTVEINENSRFLEDLEMLRAAPAEHLPSSVDETSPDHSQDGLGSFKFGSNNPLEQLGLYMKEDEEEEEGEPSNVPNPVNDPEEGEID >KJB11633 pep chromosome:Graimondii2_0_v6:1:54566046:54568394:-1 gene:B456_001G268800 transcript:KJB11633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQFDSDKHDTFGVPIQVLSLSNMSHSKRKGLIHCLRHELEQIQMLQKKVELLRTNGVTVSSSSDILSCSNVQSLPRVKDIQKLPMMASEQGKKGNPRNGKARELIRGSSGKFKSAKHASEANTANIFLMKQCEGLLKRLMGHQYGWVFNEPVDVVKLNIPDYFNVIKHPMDLGTIKKKINSGGYASPLQFYADVRLTFSNAMTYNPPGNDVHVMADTLNKFFEVRWKNIDKKLPAIGAQLSQSKAPAEDIETSKTVLPAKKRKTTSVTQQVIPEPVKRMTDEEKHKLGVELESLLTEMPMHIIDFLREHSSNGRESEEEEIEIDIDDLSDXXXXXXXXQLNKSGLSSSPMQQCRGDDQANEVVDIRENEPPLSSHPPKEIENDIGHRSIKSFNSGSSRDSDSGSSSDSESGAAKASSLVLEAVDSGNQLDEKTSADNPLDRNGEYLKFIIFLLSISFRKEMFLILI >KJB11631 pep chromosome:Graimondii2_0_v6:1:54564711:54569456:-1 gene:B456_001G268800 transcript:KJB11631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQFDSDKHDTFGVPIQVLSLSNMSHSKRKGLIHCLRHELEQIQMLQKKVELLRTNGVTVSSSSDILSCSNVQSLPRVKDIQKLPMMASEQGKKGNPRNGKARELIRGSSGKFKSAKHASEANTANIFLMKQCEGLLKRLMGHQYGWVFNEPVDVVKLNIPDYFNVIKHPMDLGTIKKKINSGGYASPLQFYADVRLTFSNAMTYNPPGNDVHVMADTLNKFFEVRWKNIDKKLPAIGAQLSQSKAPAEDIETSKTVLPAKKRKTTSVTQQVIPEPVKRMTDEEKHKLGVELESLLTEMPMHIIDFLREHSSNGRESEEEEIEIDIDDLSDXXXXXXXXQLNKSGLSSSPMQQCRGDDQANEVVDIRENEPPLSSHPPKEIENDIGHRSIKSFNSGSSRDSDSGSSSDSESGAAKASSLVLEAVDSGNQLDEKTSADNPLDRNECNGFDQLEQTSQAKSSSVESDCHQDGDSAPNERPVSPEKRYRAAILKNRFADTILKAREKALTTQGEKGDPEKLRREREELEHQRKKEKARLQAEAKAAEDAQRQAEAEAAAEARRKRELERDAARQALLKMEKTVEINENSRFLEDLEMLRAAPAEHLPSSVDETSPDHSQDGLGSFKFGSNNPLEQLGLYMKEDEEEEEGEPSNVPNPVNDPEEGEID >KJB11629 pep chromosome:Graimondii2_0_v6:1:54564711:54569344:-1 gene:B456_001G268800 transcript:KJB11629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQFDSDKHDTFGVPIQVLSLSNMSHSKRKGLIHCLRHELEQIQMLQKKVELLRTNGVTVSSSSDILSCSNVQSLPRVKDIQKLPMMASEQGKKGNPRNGKARELIRGSSGKFKSAKHASEANTANIFLMKQCEGLLKRLMGHQYGWVFNEPVDVVKLNIPDYFNVIKHPMDLGTIKKKINSGGYASPLQFYADVRLTFSNAMTYNPPGNDVHVMADTLNKFFEVRWKNIDKKLPAIGAQLSQSKAPAEDIETSKTVLPAKKRKTTSVTQQVIPEPVKRMTDEEKHKLGVELESLLTEMPMHIIDFLREHSSNGRESEEEEIEIDIDDLSDXXXXXXXXQLNKSGLSSSPMQQCRGDDQANEVVDIRENEPPLSSHPPKEIENDIGHRSIKSFNSGSSRDSDSGSSSDSESGAAKASSLVGAPKVLEAVDSGNQLDEKTSADNPLDRNECNGFDQLEQTSQAKSSSVESDCHQDGDSAPNERPVSPEKRYRAAILKNRFADTILKAREKALTTQGEKGDPEKLRREREELEHQRKKEKARLQAEAKAAEDAQRQAEAEAAAEARRKRELERDAARQALLKMEKTVEINENSRFLEDLEMLRAAPAEHLPSSVDETSPDHSQDGLGSFKFGSNNPLEQLGLYMKEDEEEEEGEPSNVPNPVNDPEEGEID >KJB11630 pep chromosome:Graimondii2_0_v6:1:54564711:54569456:-1 gene:B456_001G268800 transcript:KJB11630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQFDSDKHDTFGVPIQVLSLSNMSHSKRKGLIHCLRHELEQIQMLQKKVELLRTNGVTVSSSSDILSCSNVQSLPRVKDIQKLPMMASEQGKKGNPRNGKARELIRGSSGKFKSAKHASEANTANIFLMKQCEGLLKRLMGHQYGWVFNEPVDVVKLNIPDYFNVIKHPMDLGTIKKKINSGGYASPLQFYADVRLTFSNAMTYNPPGNDVHVMADTLNKFFEVRWKNIDKKLPAIGAQLSQSKAPAEDIETSKTVLPAKKRKTTSVTQQVIPEPVKRMTDEEKHKLGVELESLLTEMPMHIIDFLREHSSNGRESEEEEIEIDIDDLSDXXXXXXXXQLNKSGLSSSPMQQCRGDDQANEVVDIRENEPPLSSHPPKEIENDIGHRSIKSFNSGSSRDSDSGSSSDSESGAAKASSLVLEAVDSGNQLDEKTSADNPLDRNECNGFDQLEQTSQAKSSSVESDCHQDGDSAPNERPVSPEKRYRAAILKNRFADTILKAREKALTTQGEKGDPEKLRREREELEHQRKKGTVASRSQSC >KJB08848 pep chromosome:Graimondii2_0_v6:1:14440467:14442689:1 gene:B456_001G119100 transcript:KJB08848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKTVALSPDSAANANHQTQNKSSMDPPEVQANALGPGEGSGWTATSKEIVNKRGRGRPRKYEHGIPIINGSLEAFPLPTASYCSTKRPRGRPKGTGKFQGLASFGEYMDTAGGSFTPHVLPVYEGEDLANTIVSFCGRASRSVCVLTASGAVSSVTLCAPGSSVGTLTYEGRFEILTLSGSSVVSGEPGTRRRTGLLSVSLANPHGRVFGGSVEGPLIAAGPGPIQLIVASFKQNIGREIRRKYCGGTSASAKIFASSEMVNAPINQVSAMAEDHEKCTSPPPVAVIMKADSLKSNTIVAENNNINPTSLQSIDPNNLQKAENLIAENHDFSSQKMAGSNNLQTSPVQQPVSDEMMIDNSGH >KJB11401 pep chromosome:Graimondii2_0_v6:1:53047984:53050286:1 gene:B456_001G256700 transcript:KJB11401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANMFVVPQTKGTVLCCKCGILMAPNPANMCVTCLRSEVDITEGLRKHATIMHCPECDSYLQPPRTWLKAQLESKELLTYCVKRLETDLKKVRLVNAEFIWTEPHSKRIKVKLKVQKEVLNGAVLEQSYVVEYVLQDHMFESCTRFQANPDQWVASVQLRQRVSHRRTFFYLEQLILKHDAAVHAIKIKQMDQGIDFFFANKSHASKFVEFLDKVAPTKRRESKQLVSHDPKNNSYNYKYTFSVEISPICREDLICLPPRVAVSLGNLGPLVICTKVTKNIALLDPLTLRQCFLDPDQYWRYSFKSLLGSRQLVEYDVFNVEVVSPEFNVGGSKYVMADVEVARVTDYGKLFYVRTHLGHILKPGDRALGYDLYGANNNDNELDKYQNLVIPEVILIKKSYEEKRQKKRGKPRPWKLKSLDMELDESKGRGYEEKMNTEYEEFLRDLEENPELRFNLSLYRNKDYQPSEMASVSDGDDVPSVPLEELLADLELSEEEDGDDSMKD >KJB09744 pep chromosome:Graimondii2_0_v6:1:23295604:23296968:1 gene:B456_001G162000 transcript:KJB09744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:cob MTIRNQRFSLLKQPISSTLNQHLIDYPTPSNLSYWWGFGSLAGICLVIQIVTGVFLAMHYTPHVDLAFNSVEHVMRDVEGGWLLRYMHANGASMFLIVVYLHIFRGLYHASYSSPREFVRCLGVVIFLLMIVTAFIGYVLPWGQMSFWGATVITSLASAIPVVGDTIVTWLWGGFSVDNATLNRFFSLHHLLPFILVGASLLHLAALHQYGSNNPLGVHSEMDKISFYPYFYVKDLVGWVAFAIFFSIWIFYAPNVLGHPDNYIPANPMPTPPHIVPEWYFLPIHAILRSIPDKSGGVAAIAPVFICLLALPFFKSMYVRSSSFRPIYQGIFWLLLADCLLLGWIGCQPVEAPFVTIGQISPLVFFLFFAITPILGRVGRGIPNSYTETEHA >KJB10023 pep chromosome:Graimondii2_0_v6:1:27682805:27683096:-1 gene:B456_001G180700 transcript:KJB10023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PYEEKTSYTFLGGEGRDLRKVGFYDPINNQTYLNVPAILYFLEKGAQPTATVHDILKKAGVFTELSLNQTKFN >KJB06576 pep chromosome:Graimondii2_0_v6:1:10276856:10280435:-1 gene:B456_001G092300 transcript:KJB06576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYFMATSLNPKPCSNSNIIVVPPLLYSKPKHRFKLISSSSSCGKAFAQSEGKEGGVKEEDPPAFSGSLSSTRTQLDLLDQLSSTSSTADGYESDGRSGKLTIREQLVRLVGDRDDDFTIPLGKNLKKVSPKFLTISQKRNIRRQAYLNEVSQRNDSVFFATIGAFVLVPPLVILGIAILTGYVQLFP >KJB06577 pep chromosome:Graimondii2_0_v6:1:10277544:10280219:-1 gene:B456_001G092300 transcript:KJB06577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYFMATSLNPKPCSNSNIIVVPPLLYSKPKHRFKLISSSSSCGKAFAQSEGKEGGVKEEDPPAFSGSLSSTRTQLDLLDQLSSTSSTADGYESDGRSGKLTIREQLVRLVGDRDDDFTIPLGKNLKKVSPKFLTISQKRNIRRQAYLNEVSQRNDSVFFATIGAFVLVPPLVILGIAILTGYVQLFP >KJB10057 pep chromosome:Graimondii2_0_v6:1:28382039:28384042:1 gene:B456_001G183200 transcript:KJB10057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMENLFRLVDQDQDFFQRKWTLVNGPVIVGAGPSGLATAACLKEQGVPFVVLERADCIASLWQKRTYDRLKLHLPKQFCQLPKLPFPQDFPEYPTKRQFIEYLESYAKHFDINPKFNECVQSARYDETSGFWRVKTIVTSDSNKTEFEYVCRSLVVATGENAECVLPDIEGLSEFGGEVIHACDYKSGEKFKGQKVLVVGCGNSGMEVSLDLCNHNASPSMVVRSSVHVLPREIFGKSTFELAVLMMKWLPLWLVDKLMLILAWLVLGNIEKYGLKRPSTGPLELKNTKGKTPVLDIGALEKIRSGDINVVPGIKRFSRGQVELVNGEKLDIDSVVLATGYRSNVPSWLQEGEFFSKNGYPKASFPHEWKGKAGLYAAGFTRRGLSGASSDAMRIAQDIAQVFKDETKQLRMRTVARHRRCISLSQF >KJB10058 pep chromosome:Graimondii2_0_v6:1:28382123:28383997:1 gene:B456_001G183200 transcript:KJB10058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMENLFRLVDQDQDFFQRKWTLVNGPVIVGAGPSGLATAACLKEQGVPFVVLERADCIASLWQKRTYDRLKLHLPKQFCQLPKLPFPQDFPEYPTKRQFIEYLESYAKHFDINPKFNECVQSARYDETSGFWRVKTIVTSDSNKTEFEYVCRSLVVATGENAECVLPDIEGLSEFGGEVIHACDYKSGEKFKGQKVLVVGCGNSGMEVSLDLCNHNASPSMVVRSSVHVLPREIFGKSTFELAVLMMKWLPLWLVDKLMLILAWLVLGNIEKYGLKRPSTGPLELKNTKGKTPVLDIGALEKIRSGDINVVPGIKRFSRGQVELVNGEKLDIDSVVLATGYRSNVPSWLQVRKCSKIKLF >KJB11362 pep chromosome:Graimondii2_0_v6:1:52671028:52673836:-1 gene:B456_001G255200 transcript:KJB11362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFQIGIFPKSFLPLCLVKNNHNSCNMLPVSDVTRSTLSHWCNVWPSCVHHRRFLKTSPCFATSRKSDSNPDPDPNPDSKPKSKAETGNQDQKSVVSHNKDENPDKSFPTTIPKKPRRGRRSEAVAVEDFIRDSLEQTFESIRQQNPEVFENKESVMKDRLENQFDCDSSSDEDEDEDEKDSEAGKRGKKMVVEEDDPDWPLDAEIGWGIRASEYFEQHAIKNVVGEDGFEIDWEGEIDDSWVKEINCLEWESFAFHPSPLIVLVFERYKRATHNWKTLKELEKAIQVYWNSKDRLPPRAVKLDINIERDLAYALKVRECPQLLFLRGNRIMYREKEFRKADELVQMIAYFYYNAKKPAWIDEASICRPY >KJB11363 pep chromosome:Graimondii2_0_v6:1:52671028:52673972:-1 gene:B456_001G255200 transcript:KJB11363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFQIGIFPKSFLPLCLVKNNHNSCNMLPVSDVTRSTLSHWCNVWPSCVHHRRFLKTSPCFATSRKSDSNPDPDPNPDSKPKSKAETGNQDQKSVVSHNKDENPDKSFPTTIPKKPRRGRRSEAVAVEDFIRDSLEQTFESIRQQNPEVFENKESVMKDRLENQFDCDSSSDEDEDEDEKDSEAGKRGKKMVVEEDDPDWPLDAEIGWGIRASEYFEQHAIKNVVGEDGFEIDWEGEIDDSWVKEINCLEWESFAFHPSPLIVLVFERYKRATHNWKTLKELEKAIQVYWNSKDRLPPRAVKLDINIERDLAYALKVRECPQLLFLRGNRIMYREKEFRKADELVQMIAYFYYNAKKPAWIDEASICRPY >KJB11361 pep chromosome:Graimondii2_0_v6:1:52671028:52673842:-1 gene:B456_001G255200 transcript:KJB11361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFQIGIFPKSFLPLCLVKNNHNSCNMLPVSDVTRSTLSHWCNVWPSCVHHRRFLKTSPCFATSRKSDSNPDPDPNPDSKPKSKAETGNQDQKSVVSHNKDENPDKSFPTTIPKKPRRGRRSEAVAVEDFIRDSLEQTFESIRQQNPEVFENKESVMKDRLENQFDCDSSSDEDEDEDEKDSEAGKRGKKMVVEEDDPDWPLDAEIGWGIRASEYFEQHAIKNVVGEDGFEIDWEGEIDDSWVKEINCLEWESFAFHPSPLIVLVFERYKRATHNWKTLKELEKAIQVYWNSKDRLPPRAVKLDINIERDLAYALKVRECPQLLFLRGNRIMYREKEFRKADELVQMIAYFYYNAKKPAWIDEASICRPY >KJB08026 pep chromosome:Graimondii2_0_v6:1:5971802:5975647:1 gene:B456_001G060300 transcript:KJB08026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSVNLEDVPSESLMTELLRRMKCATKPEKRLILIGPPGSGKGTQSPMIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDELVVGIIDEAMKKPSCQKGFILDGFPRTVGQAQMLDEMLEKQGVKIDKVLDFAIDDSILEERITGRWIHPASGRSYHTKFAPPKVPGVDDVTGEPLIQRKDDTAAVLKSRLEAFHKQTEPVIDYYWKKGVVAKLHAEKSPKEVTEEVQKVLS >KJB08027 pep chromosome:Graimondii2_0_v6:1:5971821:5975439:1 gene:B456_001G060300 transcript:KJB08027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDELVVGIIDEAMKKPSCQKGFILDGFPRTVGQAQMLDEMLEKQGVKIDKVLDFAIDDSILEERITGRWIHPASGRSYHTKFAPPKVPGVDDVTGEPLIQRKDDTAAVLKSRLEAFHKQTEPVIDYYWKKGVVAKLHAEKSPKEVTEEVQKVLS >KJB09151 pep chromosome:Graimondii2_0_v6:1:15895320:15897805:-1 gene:B456_001G126300 transcript:KJB09151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWTRRLCVCIYVINLDHMLSHCSVIRSEKKHYKNLLNILVSANAMDKILKTWQCIWFKKVTIMSLSMMCCIVNYDYTSSPFFCYFSGPILFVKKFSYKDVKRATDGFHRIVYSNSHGAAYKANFEGGEVALVKEARAFDEGKESFYREVQFLGRLHHRHLLSLRGFSTGQKRLLVFDNIENGSLKEHFNDPLRTPLNWKARLQIAVGVAAALEYLLLFSNPPVYHVSISSSNIMLDENFTAKLSDVGLLSSIGTYVQMPHSSCSEECMDQECGNIVYQLGVLILELITGQSSEKGGTDLIQWVQGSRLSSSIHMMIDPDLGNNYDAGELKKLLVVARLCIKSKSNPKFPVSQVFRFLQKKVHIPRD >KJB09150 pep chromosome:Graimondii2_0_v6:1:15894642:15898033:-1 gene:B456_001G126300 transcript:KJB09150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMIRKLRFRLLAWLHRSRSGPILFVKKFSYKDVKRATDGFHRIVYSNSHGAAYKANFEGGEVALVKEARAFDEGKESFYREVQFLGRLHHRHLLSLRGFSTGQKRLLVFDNIENGSLKEHFNDPLRTPLNWKARLQIAVGVAAALEYLLLFSNPPVYHVSISSSNIMLDENFTAKLSDVGLLSSIGTYVQMPHSSCSEECMDQECGNIVYQLGVLILELITGQSSEKGGTDLIQWVQGSRLSSSIHMMIDPDLGNNYDAGELKKLLVVARLCIKSKSNPKFPVSQVFRFLQKKVHIPRD >KJB10226 pep chromosome:Graimondii2_0_v6:1:31174103:31176802:-1 gene:B456_001G190100 transcript:KJB10226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEEGSTAVGKRKRNMGKKKGKKPKNKKLKMLEGKGKKLRLSKKMRNLFEKRARQYNSDDDEEEREEGEEALGDTRIGRGDDNSSEENDGSEEVEIQPGVMKFTDGVRAFRLAFKNIIKRNVADDSLGPVLSGHKQLVAKKLAEEEAERKVKGEAKKEKHLVAEKGHFKPANYLDSHEKFLIGIATKGVVKLFNAVNKAQKAQKGLDPSRSKDAKVIRRRRKEAFFSELGKTSRDSSSKVGYLLTILQVLLHSPIPFHLSSSLFGKHFWRLLVIY >KJB10225 pep chromosome:Graimondii2_0_v6:1:31174099:31176866:-1 gene:B456_001G190100 transcript:KJB10225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEEGSTAVGKRKRNMGKKKGKKPKNKKLKMLEGKGKKLRLSKKMRNLFEKRARQYNSDDDEEEREEGEEALGDTRIGRGDDNSSEENDGSEEVEIQPGVMKFTDGVRAFRLAFKNIIKRNVADDSLGPVLSGHKQLVAKKLAEEEAERKVKGEAKKEKHLVAEKGHFKPANYLDSHEKFLIGIATKGVVKLFNAVNKAQKAQKGLDPSRSKDAKVIRRRRKEAFFSELGKTSRDSSSKGNTSSDPRNEEEPAWAPLRDNYMLTNPKLKNWDKTAEAGIADDAGRMSEDSGSDDD >KJB10880 pep chromosome:Graimondii2_0_v6:1:46676441:46678005:1 gene:B456_001G230200 transcript:KJB10880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPNEIINNISTSQKLADSWVETNVVPFYSTTKIRYLLVGNEVISGSPKDIWPNIVPAMRKIKKSLKTHGLDKIKVSTSMAMDVLESSFPPSNGTFRSDIADSIVRPLLQFLHRTKSFYFLDVYPYFAWVMDPKNINLEYALFESRTIKYTDPLSNLTYTNLFDQMVDSVVFAMKRLGYPDIRIWIAETGWPNAGDIDQIGANIYNAATYNRNVVKKLTAKPPIGTPARPGWVIPSLIFALYNENQKPGPGTERHFGLLYPNGTKIYGIDLSGKTPDSCFEPLPKPDNNEPYKGKIWCVAAKGVNETALSSALSYACSQGNKTCDPIQPGKKCFKPDSLFWHASYAFSSYWSQSRKTGATCYFNGLATQTAKDPSFGHCKFPSVTL >KJB10879 pep chromosome:Graimondii2_0_v6:1:46676295:46677863:1 gene:B456_001G230200 transcript:KJB10879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPLYFFFLFLSVSSGEFSSQVGVNYGQLGNNLPSPKQSVKLIQSLGAKRVKIYDANHDILNALKGTNLQVCIMVPNEIINNISTSQKLADSWVETNVVPFYSTTKIRYLLVGNEVISGSPKDIWPNIVPAMRKIKKSLKTHGLDKIKVSTSMAMDVLESSFPPSNGTFRSDIADSIVRPLLQFLHRTKSFYFLDVYPYFAWVMDPKNINLEYALFESRTIKYTDPLSNLTYTNLFDQMVDSVVFAMKRLGYPDIRIWIAETGWPNAGDIDQIGANIYNAATYNRNVVKKLTAKPPIGTPARPGWVIPSLIFALYNENQKPGPGTERHFGLLYPNGTKIYGIDLSGKTPDSCFEPLPKPDNNEPYKGKIWCVAAKGVNETALSSALSYACSQGNKTCDPIQPGKKCFKPDSLFWHASYAFSSYWSQSRKTGATCYFNGLATQTAKDPSKYIFFLVMIT >KJB10878 pep chromosome:Graimondii2_0_v6:1:46676120:46678741:1 gene:B456_001G230200 transcript:KJB10878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPLYFFFLFLSVSSGEFSSQVGVNYGQLGNNLPSPKQSVKLIQSLGAKRVKIYDANHDILNALKGTNLQVCIMVPNEIINNISTSQKLADSWVETNVVPFYSTTKIRYLLVGNEVISGSPKDIWPNIVPAMRKIKKSLKTHGLDKIKVSTSMAMDVLESSFPPSNGTFRSDIADSIVRPLLQFLHRTKSFYFLDVYPYFAWVMDPKNINLEYALFESRTIKYTDPLSNLTYTNLFDQMVDSVVFAMKRLGYPDIRIWIAETGWPNAGDIDQIGANIYNAATYNRNVVKKLTAKPPIGTPARPGWVIPSLIFALYNENQKPGPGTERHFGLLYPNGTKIYGIDLSGKTPDSCFEPLPKPDNNEPYKGKIWCVAAKGVNETALSSALSYACSQGNKTCDPIQPGKKCFKPDSLFWHASYAFSSYWSQSRKTGATCYFNGLATQTAKDPSFGHCKFPSVTL >KJB06486 pep chromosome:Graimondii2_0_v6:1:2221311:2223550:-1 gene:B456_001G023600 transcript:KJB06486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGESILEAIYEDEDLGDGEDVEMVDVEEGELVECCNSGNDREKSGSAAVNGENQVPHSKNKKRRANKRKNKRKKGGSGHKPLDINQFVLDTCRRLKEKKSYMVYNAVGCLGVSALSDLVVEVCAIQSCGGQMTTDGKRCRTGGGILWNILKVRQPAAYREIMKKTKDFEKQFKQQNVGRTLAQNKESSSRETACNLTNGTSVSVLEDSQLLPQTREEQFSTEGTRKTVHDRIRVPVSYEDILGEDLKEDQCHQ >KJB10729 pep chromosome:Graimondii2_0_v6:1:44196408:44198101:-1 gene:B456_001G219000 transcript:KJB10729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFSRNFMMICSALLLCSSLTYGQTASPPAPVAITPTPTPAPAPAPEYVNLTYLLSVAGPFHTFLNYLESTKVIDTFQSQANNTEQGITIFVPKDDSFKGLKKPSLSNLSDDQLKSLILFHALPKYYALADFNDLSTKGPITTLAGGQYTLNFTDDSGTVHLDSGWSKTKVSSAVHSTDPIAIYQVNKVLLPEAIFGTDIPPTPAPSPAPDISPAADSPSADSKEGGSPSKALPSDSASHRVMKLSIWSHLVLAVLGGLVLLF >KJB10730 pep chromosome:Graimondii2_0_v6:1:44196408:44197804:-1 gene:B456_001G219000 transcript:KJB10730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFSRNFMMICSALLLCSSLTYGQTASPPAPVAITPTPTPAPAPAPEYVNLTYLLSVAGPFHTFLNYLESTKVIDTFQSQANNTEQGITIFVPKDDSFKGLKKPSLSNLSDDQLKSLILFHALPKYYALADFNDLSTKGPITTLAGGQYTLNFTDDSGTVHLDSGWSKTKVSSAVHSTDPIAIYQVNKVLLPEAIFGTDIPPTPAPSPAPDISPAADSPSADSKEGGSPSKALPSDSASHRVMKLSIWSHLVLAVLGGLVLLF >KJB09308 pep chromosome:Graimondii2_0_v6:1:17349873:17360777:1 gene:B456_001G134000 transcript:KJB09308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAATNGAEQIPTETIRDIVEELLEAARYDDLDDLIRLASIGVSLDSKDSHGRTALHMAAANGHLDIVEYLIGRGVDVNASNVENNTPLHWACLNGHVEVVKKLVSAGANVSLLNSHERTPIDEAVSMGKVDVIDAINATMAELELTGVNVS >KJB09310 pep chromosome:Graimondii2_0_v6:1:17350063:17360718:1 gene:B456_001G134000 transcript:KJB09310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAATNGAEQIPTETIRDIVEELLEAARYDDLDDLIRLASIGVSLDSKDSHGRTALHMAAANGHLDIVEYLIGRGVDVNASNVENNTPLHWACLNGHVEVVKKLVSAGANS >KJB09309 pep chromosome:Graimondii2_0_v6:1:17350063:17357840:1 gene:B456_001G134000 transcript:KJB09309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAATNGAEQIPTETIRDIVEELLEAARYDDLDDLIRLASIGVSLDSKDSHGRTALHMAAANGHLDIVEYLIGRGVVRKLSYEYFLWLF >KJB06273 pep chromosome:Graimondii2_0_v6:1:3102119:3104700:1 gene:B456_001G033700 transcript:KJB06273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRLTRRKEKKNNYQLNSFDSDESLVFDSDGMNGDDSTTTSESSSGELSLGPELESSRNLETRLGPAQNYMVRMLSWKKRRLSFRTSAKKTELLGFDFDRHPETCSVVDSQKGEYWWEVKELVSRNGAARLKAQVFFASFDQRSERAAGESACTALVAVIAHWLHSNHASMPTRPEFDNLITQGSSEWRKLCSNTAYTNAFPDKHFDLETVLKADVRPVTVSHEKSFTGFFSPDKFECLKGAMSFDEIWNEIKSSETNNCQPRVYIISWNDHFFVLKVESKAYYIIDTLGERLFEGCKQAYMLKFDDSSLMYGKKKKKDDEMAICSGKECCREYIKRFLAAIAVEELEEEEKKGRVSAFTLHQRLQIDFHYSSFSSATSSSHFFF >KJB06275 pep chromosome:Graimondii2_0_v6:1:3102119:3104802:1 gene:B456_001G033700 transcript:KJB06275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWRLRSPVKRLHVKVKPLKLEGITRNEEEDDKKIVLIEMVWRGPKSSLVSFHISSSSRHKRNRSSEKILGNGESIEWEDDEFDNLCDFPVVSKDLGFGSWDVLFDVLLGKNCEEKSKLAVAGKVSLDLAKLVSEMECSEIERKLPITLNVNGVVIEATLSILVSFAEVRDTTGGAQNSVESNKEDGFFKMVKRLTRRKEKKNNYQLNSFDSDESLVFDSDGMNGDDSTTTSESSSGELSLGPELESSRNLETRLGPAQNYMVRMLSWKKRRLSFRTSAKKTELLGFDFDRHPETCSVVDSQKGEYWWEVKELVSRNGAARLKAQVFFASFDQRSERAAGESACTALVAVIAHWLHSNHASMPTRPEFDNLITQGSSEWRKLCSNTAYTNAFPDKHFDLETVLKADVRPVTVSHEKSFTGFFSPDKFECLKGAMSFDEIWNEIKSSETNNCQPRVYIISWNDHFFVLKVESKAYYIIDTLGERLFEGCKQAYMLKFDDSSLMYGKKKKKDDEMAICSGKECCREYIKRFLAAIAVEELEEEEKKGRVSAFTLHQRLQIDFHYSSFSSATSSSHFFF >KJB06272 pep chromosome:Graimondii2_0_v6:1:3102119:3104700:1 gene:B456_001G033700 transcript:KJB06272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRLTRRKEKKNNYQLNSFDSDESLVFDSDGMNGDDSTTTSESSSGELSLGPELESSRNLETRLGPAQNYMVRMLSWKKRRLSFRTSAKKTELLGFDFDRHPETCSVVDSQKGEYWWEVKELVSRNGAARLKAQVFFASFDQRSERAAGESACTALVAVIAHWLHSNHASMPTRPEFDNLITQGSSEWRKLCSNTAYTNAFPDKHFDLETVLKADVRPVTVSHEKSFTGFFSPDKFECLKGAMSFDEIWNEIKSSETNNCQPRVYIISWNDHFFVLKVESKAYYIIDTLGERLFEGCKQAYMLKFDDSSLMYGKKKKKDDEMAICSGKECCREYIKRFLAAIAVEELEEEEKKGRVSAFTLHQRLQIDFHYSSFSSATSSSHFFF >KJB06274 pep chromosome:Graimondii2_0_v6:1:3102119:3104700:1 gene:B456_001G033700 transcript:KJB06274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWRLRSPVKRLHVKVKPLKLEGITRNEEEDDKKIVLIEMVWRGPKSSLVSFHISSSSRHKRNRSSEKILGNGESIEWEDDEFDNLCDFPVVSKDLGFGSWDVLFDVLLILVSFAEVRDTTGGAQNSVESNKEDGFFKMVKRLTRRKEKKNNYQLNSFDSDESLVFDSDGMNGDDSTTTSESSSGELSLGPELESSRNLETRLGPAQNYMVRMLSWKKRRLSFRTSAKKTELLGFDFDRHPETCSVVDSQKGEYWWEVKELVSRNGAARLKAQVFFASFDQRSERAAGESACTALVAVIAHWLHSNHASMPTRPEFDNLITQGSSEWRKLCSNTAYTNAFPDKHFDLETVLKADVRPVTVSHEKSFTGFFSPDKFECLKGAMSFDEIWNEIKSSETNNCQPRVYIISWNDHFFVLKVESKAYYIIDTLGERLFEGCKQAYMLKFDDSSLMYGKKKKKDDEMAICSGKECCREYIKRFLAAIAVEELEEEEKKGRVSAFTLHQRLQIDFHYSSFSSATSSSHFFF >KJB07625 pep chromosome:Graimondii2_0_v6:1:3115161:3118344:-1 gene:B456_001G033900 transcript:KJB07625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTIQKAIGAVKDQTSIGLAKVASNMAPDLEVAIVKATSHDDDPADEKYIREILNLTSYSRGYVHACVSAVSKRLGKTRNWTVALKALVLVHRLLNEGDPVFQEEILYATRRGTRLLNMSDFRDEAHSSSWDHSAFIRTYAMYLDQRLELMLFDRKSSGGSGAGGSSHGSADDRYGGRDNFRSPPPRPYEYDYGDIRGDNGYGNYGMTRRTRSYGDMSEAAGRDGREEKKAVTPLREMTPERIFGKMGHLQRLLDRFLSCRPTGLAKNCRMILIALYPVVKESFQLYADICEVLAVLLDKFFDMEYPDCVKAFDAYASAAKQIDELIAFYNWCKDTGVARSSEYPEVQRITSKLLETLEEFVRDRAKRPKSPERKELPPPPKEEEPAPDMNEIKALPAPENYTPPPPPEPEPVKPPEPQEDLVNLRDDTVSADDQGNKLALALFNGPPANNGNGSWEAFSSNGPEVTSAWQTPAAEPGKEDWELALVETASNLSRQKAALGGGLDPLLLNGMYDQGTVRQHVSTAQLSGGSASSVALPGPGKTTTQVLALPAPDGTVQNVNQDPFAASLSIPPPSYVQMADMEKKQTLLVQEQQVWQQYARDGMQGQASLAKINNPGYYGPGPMPVMPYGMPPVNGMGPPAGYYYTPY >KJB07623 pep chromosome:Graimondii2_0_v6:1:3114749:3118201:-1 gene:B456_001G033900 transcript:KJB07623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTIQKAIGAVKDQTSIGLAKVASNMAPDLEVAIVKATSHDDDPADEKYIREILNLTSYSRGYVHACVSAVSKRLGKTRNWTVALKALVLVHRLLNEGDPVFQEEILYATRRGTRLLNMSDFRDEAHSSSWDHSAFIRTYAMYLDQRLELMLFDRKSSGGSGAGGSSHGSADDRYGGRDNFRSPPPRPYEYDYGDIRGDNGYGNYGMTRRTRSYGDMSEAAGRDGREEKKAVTPLREMTPERIFGKMGHLQRLLDRFLSCRPTGLAKNCRMILIALYPVVKESFQLYADICEVLAVLLDKFFDMEYPDCVKAFDAYASAAKQIDELIAFYNWCKDTGVARSSEYPEVQRITSKLLETLEEFVRDRAKRPKSPERKELPPPPKEEEPAPDMNEIKALPAPENYTPPPPPEPEPVKPPEPQEDLVNLRDDTVSADDQGNKLALALFNGPPANNGNGSWEAFSSNGPEVTSAWQTPAAEPGKEDWELALVETASNLSRQKAALGGGLDPLLLNGMYDQGTVRQHVSTAQLSGGSASSVALPGPGKTTTQVLALPAPDGTVQNVNQDPFAASLSIPPPSYVQMADMEKKQTLLVQEQQVWQQYARDGMQGQASLAKINNPGYYGPGPMPVMPYGMPPVNGMGPPAGGERPRELSLNCHI >KJB07624 pep chromosome:Graimondii2_0_v6:1:3115780:3117729:-1 gene:B456_001G033900 transcript:KJB07624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTIQKAIGAVKDQTSIGLAKVASNMAPDLEVAIVKATSHDDDPADEKYIREILNLTSYSRGYVHACVSAVSKRLGKTRNWTVALKALVLVHRLLNEGDPVFQEEILYATRRGTRLLNMSDFRDEAHSSSWDHSAFIRTYAMYLDQRLELMLFDRKSSGGSGAGGSSHGSADDRYGGRDNFRSPPPRPYEYDYGDIRGDNGYGNYGMTRRTRSYGDMSEAAGRDGREEKKAVTPLREMTPERIFGKMGHLQRLLDRFLSCRPTGLAKNCRMILIALYPVVKESFQLYADICEVLAVLLDKFFDMEYPDCVKAFDAYASAAKQIDELIAFYNWCKDTGVARSSEYPEVQRITSKLLETLEEFVRDRAKRPKSPERKELPPPPKEEEPAPDMNEIKALPAPENYTPPPPPEPEPVKPPEPQEDLVNLRDDTVSADDQGNKLALALFNGPPANNGNGSWEAFSSNGPEVTSAWQTPAAEPGKEDWELALVETASNLSRQKAALGGGLDPLLLNGMYDQGTVRQHVSTAQLSGGSASSVALPGPGKTTTQVLALPAPDGTVQNVNQDPFAASLSIPPPSYVQMADMEKKQTLLVQEQQVWQQYARDGMQGQASLAKINNPGYYGPGPMPVMPYGMPPVNGMGPPAGYYYTPY >KJB11152 pep chromosome:Graimondii2_0_v6:1:48401709:48403673:-1 gene:B456_001G243200 transcript:KJB11152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLSLIEHTLRLPPHILHLPVDEAIKSELETLFLDKVIAKLGLCISVYDIRSIKGGFIFPGDGASTYTVEFRLIVFRPFIGEIIVAKLKESDASGLRLSLGFFDDIYIPVHLLPTPSRFEAIPNTRNQGRWIWDFGDAEEAQSEEPRFVIDGSDPIKFRVDSVIYPSIPLEQPENSKPFAPMVITGTINYDGLGPVSWWEEAEMIQEDE >KJB11151 pep chromosome:Graimondii2_0_v6:1:48401386:48404732:-1 gene:B456_001G243200 transcript:KJB11151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLSLIEHTLRLPPHILHLPVDEAIKSELETLFLDKVIAKLGLCISVYDIRSIKGGFIFPGDGASTYTVEFRLIVFRPFIGEIIVAKLKESDASGLRLSLGFFDDIYIPVHLLPTPSRFEAIPNTRNQGRWIWDFGDAEEAQSEEPRFVIDGSDPIKFRVDSVIYPSIPLEQPENSKPFAPMVITGTINYDGLGPVSWWEEAEMIQEDE >KJB11153 pep chromosome:Graimondii2_0_v6:1:48401379:48404773:-1 gene:B456_001G243200 transcript:KJB11153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLSLIEHTLRLPPHILHLPVDEAIKSELETLFLDKVIAKLGLCISVYDIRSIKGGFIFPGDGASTYTVEFRLIVFRPFIGEIIVAKLKESDASGLRLSLGFFDDIYIPVHLLPTPSRFEAIPNTRNQGRWIWDFGDAEEAQSEEPRFVIDGSDPIKFRVDSVIYPSIPLEQPENSKPFAPMVITGTINYDGLGPVSWWEEAEMIQEDE >KJB11149 pep chromosome:Graimondii2_0_v6:1:48401379:48404745:-1 gene:B456_001G243200 transcript:KJB11149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLSLIEHTLRLPPHILHLPVDEAIKSELETLFLDKVIAKLGLCISVYDIRSIKGGFIFPGDGASTYTVEFRLIVFRPFIGEIIVAKLKESDASGLRLSLGFFDDIYIPVHLLPTPSRFEAIPNTRNQGRWIWDFGDAEEAQSEEPRFVIDGSDPIKFRVDSVIYPSIPLEQPENSKPFAPMVITGTINYDGLGPVSWWEEAEMIQEDE >KJB11156 pep chromosome:Graimondii2_0_v6:1:48401829:48404732:-1 gene:B456_001G243200 transcript:KJB11156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLSLIEHTLRLPPHILHLPVDEAIKSELETLFLDKVIAKLGLCISVYDIRSIKGGFIFPGDGASTYTVEFRLIVFRPFIGEIIVAKLKESDASGLRLSLGFFDDIYIPVHLLPTPSRFEAIPNTRNQGRWIWDFGDAEEAQSEEPRFVIDGSDPVCLVILLQKFP >KJB11155 pep chromosome:Graimondii2_0_v6:1:48401407:48404732:-1 gene:B456_001G243200 transcript:KJB11155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLSLIEHTLRLPPHILHLPVDEAIKSELETLFLDKVIAKLGLCISVYDIRSIKGGFIFPGDGASTYTVEFRLIVFRPFIGEIIVAKLKESDASGLRLSLGFFDDIYIPVHLLPTPSRFEAIPNTRNQGRWIWDFGDAEEAQSEEPRFVIDGSDPIKFRVDSVIYPSIPLEQPENSKPFAPMVITGTINYDGLGPVSWWEEAEMIQEDE >KJB11154 pep chromosome:Graimondii2_0_v6:1:48401407:48404588:-1 gene:B456_001G243200 transcript:KJB11154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLSLIEHTLRLPPHILHLPVDEAIKSELETLFLDKVIAKLGLCISVYDIRSIKGGFIFPGDGASTYTVEFRLIVFRPFIGEIIVAKLKESDASGLRLSLGFFDDIYIPVHLLPTPSRFEAIPNTRNQGRWIWDFGDAEEAQSEEPRFVIDGSDPIKFRVDSVIYPSIPLEQPENSKPFAPMVITGTINYDGLGPVSWWEEAEMIQEDE >KJB11150 pep chromosome:Graimondii2_0_v6:1:48401709:48403673:-1 gene:B456_001G243200 transcript:KJB11150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLSLIEHTLRLPPHILHLPVDEAIKSELETLFLDKVIAKLGLCISVYDIRSIKGGFIFPGDGASTYTVEFRLIVFRPFIGEIIVAKLKESDASGLRLSLGFFDDIYIPVHLLPTPSRFEAIPNTRNQGRWIWDFGDAEEAQSEEPRFVIDGSDPIKFRVDSVIYPSIPLEQPENSKPFAPMVITGTINYDGLGPVSWWEEAEMIQEDE >KJB08989 pep chromosome:Graimondii2_0_v6:1:14070004:14073459:-1 gene:B456_001G117200 transcript:KJB08989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFLATSVSTTRIASSFIASSQTSKPQAFISPTKNNLAPRRITRLKPPHVAAPSSAVAPSPDQKQDEEYRVSDEFSEESSDAKFSWKDHWYPVSLVEDLDPTLPTPFQLLGRDLVLWFDKSKNQWVAFDDKCPHRLAPLSEGRLDENGHLQCSYHGWSFDGCGSCTRIPQAASQGPEARAVQSPRACATVFPTMVSQGLLFVWPDENGQERASATKPPMLPDDFKKPEFATVNIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKVDSSGPWGFGGSNDDNPKISSKFIAPCYYINKIEIDTKLPIVGEQKWKIWICSFNVPMAPGKTRSIVCSARNFFQFTVPGSAWWQVVPRWFEHWTSNKVYDGDMIVLQGQEKIFLSKSMESSEDINKQYTKLTFTPTQADRFVLAFRNWLRRHGNGQPEWLTSVGQQPLPSTVLSKRQMLDRFEQHTLKCSSCKQAYKSFQTWQKILMGATVAFCATASIPSDIQFRVVLALLGVVSAGLAYTLHELEKNFVFVDYVHAEID >KJB11783 pep chromosome:Graimondii2_0_v6:1:6918148:6920407:1 gene:B456_001G068700 transcript:KJB11783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKGDFTSLNRIPDLSLHISPPNSAPSSICAAGDSSFDIWRKDDGVNPKSHSDSSIKPASQADTQLSLANPTTSALEAESESPWEKKSFAPLARTDDDNIYHGISLLDVSGLKPIKGIPVYSNYAWSYSSYNCSSSSALHSPPRFNGISMETLRPHFYPHQLGGSDFCNSMVRSRFIPKLQSKRNMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKSTDKPASSSDGSKSEEDYWAAAAPRQRSGSPDSSLNHDNNNAAANSNLWSNSSRYVSLSLSTSFYFSKNIISI >KJB06759 pep chromosome:Graimondii2_0_v6:1:1046165:1049053:1 gene:B456_001G011000 transcript:KJB06759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAQICPSTSPYSADDLADQILEVLNFFGLGAVMCMGVTAGAYILTLFAIKYRERVLGLILVSPLCRAPSWTEWFYNKVMSNLLYFYGMCGLLKEILLQRYFSKEVRGNAEIPESDIVQACRRLLDERYGSNVMRFLQAINRRPDLTSELKRLRCRTLIFVGDSSPFHSEALYMTSKLDRRFSALVEVQACGSMVTEEQPHAMLIPMEYFFMRYGLYRPCHLSDSPRSPLSPSCISPELLSPESMGLKLKPIKTRVSLEV >KJB06756 pep chromosome:Graimondii2_0_v6:1:1046567:1048667:1 gene:B456_001G011000 transcript:KJB06756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAQICPSTSPYSADDLADQILEVLNFFGLGAVMCMGVTAGAYILTLFAIKYRERVLGLILVSPLCRAPSWTEWFYNKVMSNLLYFYGMCGLLKEILLQRYFSKEVRGNAEIPESDIVQACRRLLDERYGSNVMRFLQAINRRPDLTSELKRLRCRTLIFVGDSSPFHSEALYMTSKLDRRFSALVEVQACGSMVTEEQPHAMLIPMEYFFMRYGLYRPCHLSDSPRSPLSPSCISPELLSPESMGLKLKPIKTRVSLEV >KJB06758 pep chromosome:Graimondii2_0_v6:1:1046658:1048667:1 gene:B456_001G011000 transcript:KJB06758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVNLLSYSYLPFTHYFISLQRNQLLDFIIHIVSFLALSQEFLQLGAAQICPSTSPYSADDLADQILEVLNFFGLGAVMCMGVTAGAYILTLFAIKYRERVLGLILVSPLCRAPSWTEWFYNKVMSNLLYFYGMCGLLKEILLQRYFSKEVRGNAEIPESDIVQACRRLLDERYGSNVMRFLQAINRRPDLTSELKRLRCRTLIFVGDSSPFHSEALYMTSKLDRRFSALVEVQACGSMVTEEQPHAMLIPMEYFFMRYGLYRPCHLSDSPRSPLSPSCISPELLSPESMGLKLKPIKTRVSLEV >KJB06757 pep chromosome:Graimondii2_0_v6:1:1044843:1049053:1 gene:B456_001G011000 transcript:KJB06757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESNDSIPLDAEKIYLGGKEHHVRTRCGSVSVIVYGDQDKPALITYPDLALNYMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAQICPSTSPYSADDLADQILEVLNFFGLGAVMCMGVTAGAYILTLFAIKYRERVLGLILVSPLCRAPSWTEWFYNKVMSNLLYFYGMCGLLKEILLQRYFSKFVVMQKFPNQILFKHAEDYWMRDMGQT >KJB06752 pep chromosome:Graimondii2_0_v6:1:1044796:1049053:1 gene:B456_001G011000 transcript:KJB06752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESNDSIPLDAEKIYLGGKEHHVRTRCGSVSVIVYGDQDKPALITYPDLALNYMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAQICPSTSPYSADDLADQILEVLNFFGLGAVMCMGVTAGAYILTLFAIKYRERVLGLILVSPLCRAPSWTEWFYNKVMSNLLYFYGMCGLLKEILLQRYFSKEVRGNAEIPESDIVQACRRLLDERYGSNVMRFLQAINRRPDLTSELKRLRCRTLIFVGDSSPFHSEALYMTSKLDRRFSALVEVQACGSMVTEEQPHAMLIPMEYFFMRYGLYRPCHLSDSPRSPLSPSCISPELLSPESMGLKLKPIKTRVSLEV >KJB06754 pep chromosome:Graimondii2_0_v6:1:1044843:1048084:1 gene:B456_001G011000 transcript:KJB06754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESNDSIPLDAEKIYLGGKEHHVRTRCGSVSVIVYGDQDKPALITYPDLALNYMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAQICPSTSPYSADDLADQILEVLNFFGLGAVMCMGVTAGAYILTLFAIKYRERVLGLILVSPLCRAPSWTEWFYNKVMSNLLYFYGMCGLLKEILLQRYFSKEVRGNAEIPESDIVQACRRLLDERYGSNVMRFLQAINRRPDLTSELKRLRCRTLIFVGDSSPFHSEALYMTSKLDRRFSALVEVSTNYVL >KJB06753 pep chromosome:Graimondii2_0_v6:1:1044843:1049053:1 gene:B456_001G011000 transcript:KJB06753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESNDSIPLDAEKIYLGGKEHHVRTRCGSVSVIVYGDQDKPALITYPDLALNYMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAQICPSTSPYSADDLADQILEVLNFFGLGAVMCMGVTAGAYILTLFAIKYRERVLGLILVSPLCRAPSWTEWFYNKVMSNLLYFYGMCGLLKEILLQRYFRSSW >KJB06755 pep chromosome:Graimondii2_0_v6:1:1044843:1049007:1 gene:B456_001G011000 transcript:KJB06755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESNDSIPLDAEKIYLGGKEHHVRTRCGSVSVIVYGDQDKPALITYPDLALNYMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAQICPSTSPYSADDLADQILEVLNFFGLGAVMCMGVTAGAYILTLFAIKYRERVLGLILVSPLCRAPSWTEWFYNKVMSNLLYFYGMCGLLKEILLQRYFSKEVRGNAEIPESDIVQACRRLLDERYGSNVMRFLQAINRYDTKEHDCFPIVTFCMLFGFIT >KJB07799 pep chromosome:Graimondii2_0_v6:1:4425453:4433532:1 gene:B456_001G046500 transcript:KJB07799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTPSDPLLQVETTCGTLLYELQIIWDEVGETDTDRDEMLLELERECLEVYRRKVDQANRCRAQLRQTIADSEAELAAICSAMGERPVHIRQSDQNAGSLKEELSKILPQLEEMKKRKIERRNQFVQVLQQIQIITNEIYGSAKLVSSKAVVDESDLSLRKLEELHKQLNELEKEKNDRLKQVEDHLSMLNSLCLVMGMDFKLTVAEVHPSLGDSEGFWSISNNTIEQLATLIKKLQEVKIQRMQRLQDLGTTMLELWNLMDTPIEEQQMFQNVTCNIAASEHEITEPNTLSVDFIKYVEAEVSRLEELKSSKMKELVLKKRLELEEICRKTHLVPDSQSAVEDAIEAIESGAVGAATILEQIELQIAKVKEEAFSRREILERVEKWLMACDEECWLEEYNRDENRYNAGKGAHLTLKRAEKARSLVNKLPGMVEALASKTMAWEKETEVEFLYDGIRLLSMLEEYTILQQEKEQERRRLRDQKKLQGQLIAEQEALYGSKPSPSKPLSVKKGPRHSTGGASSRRVSLGGAMLPTHKPDSLHSAKATPQTLHKRTERMFQNDHLNHRHDDAIPAFSAFRRGVDIADIPVRKHSFNLVNTNELESPLVRKPFSPISSMVSSKTNTTNTLEDDGETLQKMKPVNFPYTTPSKTTFLVDEENRTPKAMCIAAMTPASTVSVPMQTAMTPAPLIIPFGKPVQEISEEIEQSFEEKRLAFVLAETLQVTTSLVQEKEGGRVGVIVKGDEAAPRRENPMCLGGSSEMMKKKRGRPRKYGADGCLAMALSVTPISSSIPLSREFWPWKQGEMVQKSQHKYEIESSPPARGDKIAYFDVTMKVMSFSQQGGRAICILSANGTISNVTLRQPTSSGGTLTYEGWFEILSLSDSFMPTQNGGTKSRSGGMSISLAGPNGHVLGGGLAGLLVAAGPVQLVVGSFLPGHQQEQKYKQQRTKAAVVSYTREFKVSYGGVMPILTSASFPIQGFRDSAIDSSKTSSAGEESKGHSLSQCEVSSC >KJB07797 pep chromosome:Graimondii2_0_v6:1:4425721:4428583:1 gene:B456_001G046500 transcript:KJB07797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTPSDPLLQVETTCGTLLYELQIIWDEVGETDTDRDEMLLELERECLEVYRRKVDQANRCRAQLRQTIADSEAELAAICSAMGERPVHIRQSDQNAGSLKEELSKILPQLEEMKKRKIERRNQFVQVLQQIQIITNEIYGSAKLVSSKAVVDESDLSLRKLEELHKQLNELEKEKNDRLKQVEDHLSMLNSLCLVMGMDFKLTVAEVHPSLGDSEGFWSISNNTIEQLATLIKKLQEVKIQRMQRLQDLGTTMLELWNLMDTPIEEQQMFQNVTCNIAASEHEITEPNTLSVDFIKYVEAEVSRLEELKSSKMKELVLKKRLELEEICRKTHLVPDSQSAVEDAIEAIESGAVGAATILEQIELQIAKVKEEAFSRREILERVEKWLMACDEECWLEEYNRDENRYNAGKGAHLTLKRAEKARSLVNKLPGMVEALASKTMAWEKETEVEFLYDGIRLLSMLEEYTILQQEKEQERRRLRDQKKLQGQLIAEQEALYGSKPSPSKPLSVKKGPRHSTGGASSRRVSLGGAMLPTHKPDSLHSAKATPQTLHKRTERMFQNDHLNHRHDDAIPAFSACKFSLSLLVTTKKYISILNRFLA >KJB07796 pep chromosome:Graimondii2_0_v6:1:4425196:4429346:1 gene:B456_001G046500 transcript:KJB07796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTPSDPLLQVETTCGTLLYELQIIWDEVGETDTDRDEMLLELERECLEVYRRKVDQANRCRAQLRQTIADSEAELAAICSAMGERPVHIRQSDQNAGSLKEELSKILPQLEEMKKRKIERRNQFVQVLQQIQIITNEIYGSAKLVSSKAVVDESDLSLRKLEELHKQLNELEKEKNDRLKQVEDHLSMLNSLCLVMGMDFKLTVAEVHPSLGDSEGFWSISNNTIEQLATLIKKLQEVKIQRMQRLQDLGTTMLELWNLMDTPIEEQQMFQNVTCNIAASEHEITEPNTLSVDFIKYVEAEVSRLEELKSSKMKELVLKKRLELEEICRKTHLVPDSQSAVEDAIEAIESGAVGAATILEQIELQIAKVKEEAFSRREILERVEKWLMACDEECWLEEYNRDENRYNAGKGAHLTLKRAEKARSLVNKLPGMVEALASKTMAWEKETEVEFLYDGIRLLSMLEEYTILQQEKEQERRRLRDQKKLQGQLIAEQEALYGSKPSPSKPLSVKKGPRHSTGGASSRRVSLGGAMLPTHKPDSLHSAKATPQTLHKRTERMFQNDHLNHRHDDAIPAFSAFRRGVDIADIPVRKHSFNLVNTNELESPLVRKPFSPISSMVSSKTNTTNTLEDDGETLQKMKPVNFPYTTPSKTTFLVDEENRTPKAMCIAAMTPASTVSVPMQTAMTPAPLIIPFGKPVQEISEEIEQSFEEKRLAFVLAETLQVTTSLVQV >KJB07798 pep chromosome:Graimondii2_0_v6:1:4425030:4429476:1 gene:B456_001G046500 transcript:KJB07798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTPSDPLLQVETTCGTLLYELQIIWDEVGETDTDRDEMLLELERECLEVYRRKVDQANRCRAQLRQTIADSEAELAAICSAMGERPVHIRQSDQNAGSLKEELSKILPQLEEMKKRKIERRNQFVQVLQQIQIITNEIYGSAKLVSSKAVVDESDLSLRKLEELHKQLNELEKEKNDRLKQVEDHLSMLNSLCLVMGMDFKLTVAEVHPSLGDSEGFWSISNNTIEQLATLIKKLQEVKIQRMQRLQDLGTTMLELWNLMDTPIEEQQMFQNVTCNIAASEHEITEPNTLSVDFIKYVEAEVSRLEELKSSKMKELVLKKRLELEEICRKTHLVPDSQSAVEDAIEAIESGAVGAATILEQIELQIAKVKEEAFSRREILERVEKWLMACDEECWLEEYNRDENRYNAGKGAHLTLKRAEKARSLVNKLPGMVEALASKTMAWEKETEVEFLYDGIRLLSMLEEYTILQQEKEQERRRLRDQKKLQGQLIAEQEALYGSKPSPSKPLSVKKGPRHSTGGASSRRVSLGGAMLPTHKPDSLHSAKATPQTLHKRTERMFQNDHLNHRHDDAIPAFSAFRRGVDIADIPVRKHSFNLVNTNELESPLVRKPFSPISSMVSSKTNTTNTLEDDGETLQKMKPVNFPYTTPSKTTFLVDEENRTPKAMCIAAMTPASTVSVPMQTAMTPAPLIIPFGKPVQEISEEIEQSFEEKRLAFVLAETLQVTTSLVQV >KJB09659 pep chromosome:Graimondii2_0_v6:1:21690548:21693063:1 gene:B456_001G154900 transcript:KJB09659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESANELKWRKQALKPIDTTRRSSSSKSEEEEENKNSQKTGEEEPLSPSSRLFHEPNFNVYVIAIMGCKTRIYPDVFKANLGHTLLRHPRFSSLQVMDERNKGGMKWVRTQVDLEKHVIVPKLDPNIDSPEKFLEDYIYNLSKTSIDESQPLWDLHLLNLRTSESEAVGVFRIHHSLGDGTSLMSLLLACTRQMNDPLALPTVPIKKQEKKNDHTWFWRITSMFWSSFQVFLNTVVDVFMFIATALFLKDTQNPLKGPPGVEFTPRRIVYRTVSLDDIKLVKNAMNTTINDVALGITQAGLSRYINRIYGGNNKAEGAIEIDNLPKKIRLRSTLLINIRPSAGIQALADIMEKDGEAKWGNWIGYVLLPFTIARRDDPLDYVRDAKATIDRKKRSLEAIFTFSIAELALKLFGVKTASALSHRILSHTTMCFSNLVGPLEEIGFYGHPMAFLAPSSYGQPHALMINFQSYIDKMTIVVSADEGTIPNPHQLCDDIVESLRLIKHAVVTKGLA >KJB08407 pep chromosome:Graimondii2_0_v6:1:8404716:8409931:-1 gene:B456_001G080000 transcript:KJB08407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKCRQKTMDFLAPCKNLKKDKQCTIKYCHKCLLNRYGEKAEEVALLIDWKCPKCRDICNCSCCMKKKGHNPTGILVHTAKKTGFSSVSELLQAKGPENFGYEKFIKDTGVLSNKQAKEFMATSPKMLGKENSFDGDCDSKVGSENLTLFPDEKKSKKMKREELKELCNGNGDHDLSLNKTGLKKAKTSKESSKKTVKGNYCLSDEKNLNKEVQIGDHSSLSKGQEVKCAKNKKGDLNGAKALEDISKKRESVTSDEESRKKLKSKQKSVAAEKNLNRQVIETNTVYPVKKKKCGVKSEDSGGSNGCKNDNSSGKLQSVIKPCRDKKLDTDVQLPKGSSLITVAGIDLPPKDVGHALQFLEFCAAFGAVLDMKKGQAESVIREIMRGRGRCRLQYSPVVQIHVQLLSLIQKDMGKKFPPFKASDNGSWFRALGQCVSESQCALREVSSDIYDGGVDAYNVLGSSIKLKLLNILCDEALCTITLRNWIDKQNSQFVDSEKEAKEKILVARDKEKQLRQKMQDEVAKAIIEKSGASLSVSEHEVLVRQIKREVIQVHEDVCQAIRMLPRKRQRSDAVRTAPIILDVSGRAFWKLRGYTSENYILLQDIGTLDPVAPSEKWFVYDVEQKPDVEKYISSIRTKRVKIHKVKDSLPTAIVGENLKHA >KJB08408 pep chromosome:Graimondii2_0_v6:1:8404744:8410658:-1 gene:B456_001G080000 transcript:KJB08408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSTSASQIEEANQTNGNGIRVVGRRIYDSENGKTCHQCRQKTMDFLAPCKNLKKDKQCTIKYCHKCLLNRYGEKAEEVALLIDWKCPKCRDICNCSCCMKKKGHNPTGILVHTAKKTGFSSVSELLQAKGPENFGYEKFIKDTGVLSNKQAKEFMATSPKMLGKENSFDGDCDSKVGSENLTLFPDEKKSKKMKREELKELCNGNGDHDLSLNKTGLKKAKTSKESSKKTVKGNYCLSDEKNLNKEVQIGDHSSLSKGQEVKCAKNKKGDLNGAKALEDISKKRESVTSDEESRKKLKSKQKSVAAEKNLNRQVIETNTVYPVKKKKCGVKSEDSGGSNGCKNDNSSGKLQSVIKPCRDKKLDTDVQLPKGSSLITVAGIDLPPKDVGHALQFLEFCAAFGAVLDMKKGQAESVIREIMRGRGRCRLQYSPVVQIHVQLLSLIQKDMGKKFPPFKASDNGSWFRALGQCVSESQCALREVSSDIYDGGVDAYNVLGSSIKLKLLNILCDEALCTITLRNWIDKQNSQFVDSEKEAKEKILVARDKEKQLRQKMQDEVAKAIIEKSGASLSVSEHEVLVRQIKREVIQVHEDVCQAIRMLPRKRQRSDAVRTAPIILDVSGRAFWKLRGYTSENYILLQDIGTLDPVAPSEKWFVYDVEQKPDVEKYISSIRTKRVKIHKVKDSLPTAIVGENLKHA >KJB11352 pep chromosome:Graimondii2_0_v6:1:52558043:52562270:-1 gene:B456_001G254300 transcript:KJB11352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRDIGATLPPGFRFYPSDEELVCHYLYKKIANEEVLKGTLVEIDLHTCEPWQLPEVAKLNANEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRTVVDPRTQQVVGMRKTLVFYRNRAPNGIKTGWIMHEFRLETPHMPPKEDWVLCRVFHKSKGAENSTRLSSPMMLEPSIHAPSLADHTTMACGYHHQINSLATKPAHQSHSQSLLNLLQYNSHQENDDDDKNNNNLHCSNNEVSSKVDDDYEFLWDMNMEENSLGDDHHHHHRHLIHGVASNSDDMRFEIDNSMIFL >KJB11465 pep chromosome:Graimondii2_0_v6:1:53575278:53583967:-1 gene:B456_001G260400 transcript:KJB11465 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MVQFMKAMRDEKGEMIRNAHLLGFFRRICKLLYLKTKPVFVFDGATPILKRRTVIARRRQRENAQAKIRKTAEKLLLNQLKQMRLKELAKDLDNQRKMQKNNNKDKGKMVSSDNQSDTNFVGCNANVELTKEGDVKLKEKLEVPSIAKDGGHNEDEDEDEDEVIILPDIDGNIDPDVLAALPQSMQRQLLKQKILLNDLNQSNKERSGTEHDAMTSTSYSQEKLDEMLAASLATQEDSNLANASTSVAAIPSEDDGDEDEEMILPAMHGNVDPAVLAALPPSLQLDLLGQMRERLMAENRQKYQKVKKAPEKFSELQIQSYLKTVAFRREIDEVQRAAAGRGVAGVQTSRIASEANREFIFSSSFTGDKQALTSARKEIDEDKQQERHSDHPSGFLGSVKSSCKSNVAAESVPDESTSAPDEDVGTYVDATGRIRVSRVRGMGIRMTRDLQRNLDLMKEIEKERTNLNKGVNVKSVPDKSKIDASKSVSNGNQFVETSHDDNGESVNVNESNQQSAFETESCMEITFEDDGKTEYFDDDDIFARLAAGEPVTLPSPEEKSLRKQPSGSDSDFEWEEGVVEGKWDGVTPGMNAEHNLLNKESNITDDSEVEWEEEPSDAPKSSSGPVESGRMLSKGYWEEESDLQEAIRRSLTDVGVEKSNSFPSDVIESKNLGENLDEDFGSLHEKGDTGASSFPGDAVNWQNKSCENLDRPRKPCTVNEPSISETFNSPESPSPVHNSDKNMTILSKFSERSDGSHSEQSRHNETAEFVATLEKEVDFPTGKHLDVSKEVDGLSTISDSWFKDNSHSFDAAHGDIPDTIQVDKKTGSEDEPSNLVSDNKSSIEAEILDQDKKIDFEAKPSQQSVDTVNLSIPTVQSSANKVISDLHIEQELSGDITYENCVNKAEQHTDMSTIKGNDNEEIKFSKASLDEELLILDQECINMVDEQRKLERNAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMELTNLVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFMQDIEKELGLTREKLMRMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLHKFREWIESPDPTILGKLNVQEGSSARKRGPKSTEKDVNGTKTSTRGSESNNGTSSLDQNSFQADKNMQSTDCTDDIKQIFMDKHRNVSKNWHIPSSFPSEAVISAYSLPQVDKSTEPFTWGRPDLFVLRKLCWEKFGWGSQKSDELLLPVLRESEKRETQLRMEAFYTFNERFAKIRSKRIKKAVKGITGNQSSELIDDGMQQVSKSRRKRRASPVQSGDDKSGEPSNKKEDIASRCQSKSTDKSVPKTSRKRQSSGKDVSFEMRTPEPQLQTLRRRETNKQSAGNGRGRGGGEGRRRKGSSGFQQFETSSSGGDSGNVNQEVDGEKLDQPREVRRSMHTRNPVNYTVKDLEDEGGLSHKESSGEDAMEKEAGEDVKEKIQCEAREPSLDNIYGDYLETGGGFCMDERGTDLPDANQDVDLETEPTNDYLKMGGGFYMEGDIDQPDTSQDVNPFSETGSANDYLKMGGGFCMEESETIGNPDAGNYEDPVQATESSNCFAFMDKADDNIDSAEPSVNAEGSLLDKLQNGGKTPDEANDALNLNHRNAANKDDSKESASLPEASDVGTTFISGLSAMPSLKRKRRRR >KJB11470 pep chromosome:Graimondii2_0_v6:1:53575078:53584313:-1 gene:B456_001G260400 transcript:KJB11470 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MGVHGLWELLAPVGRRVSVETLAGKKLAIDASIWMVQFMKAMRDEKGEMIRNAHLLGFFRRICKLLYLKTKPVFVFDGATPILKRRTVIARRRQRENAQAKIRKTAEKLLLNQLKQMRLKELAKDLDNQRKMQKNNNKDKGKMVSSDNQSDTNFVGCNANVELTKEGDVKLKEKLEVPSIAKDGGHNEDEDEDEDEPDIDGNIDPDVLAALPQSMQRQLLKQILLNDLNQSNKERSGTEHDAMTSTSYSQEKLDEMLAASLATQEDSNLANASTSVAAIPSEDDGDEDEEMILPAMHGNVDPAVLAALPPSLQLDLLGQMRERLMAENRQKYQKVKKAPEKFSELQIQSYLKTVAFRREIDEVQRAAAGRGVAGVQTSRIASEANREFIFSSSFTGDKQALTSARKEIDEDKQQERHSDHPSGFLGSVKSSCKSNVAAESVPDESTSAPDEDVGTYVDATGRIRVSRVRGMGIRMTRDLQRNLDLMKEIEKERTNLNKGVNVKSVPDKSKIDASKSVSNGNQFVETSHDDNGESVNVNESNQQSAFETESCMEITFEDDGKTEYFDDDDIFARLAAGEPVTLPSPEEKSLRKQPSGSDSDFEWEEGVVEGKWDGVTPGMNAEHNLLNKESNITDDSEVEWEEEPSDAPKSSSGPVESGRMLSKGYWEEESDLQEAIRRSLTDVGVEKSNSFPSDVIESKNLGENLDEDFGSLHEKGDTGASSFPGDAVNWQNKSCENLDRPRKPCTVNEPSISETFNSPESPSPVHNSDKNMTILSKFSERSDGSHSEQSRHNETAEFVATLEKEVDFPTGKHLDVSKEVDGLSTISDSWFKDNSHSFDAAHGDIPDTIQVDKKTGSEDEPSNLVSDNKSSIEAEILDQDKKIDFEAKPSQQSVDTVNLSIPTVQSSANKVISDLHIEQELSGDITYENCVNKAEQHTDMSTIKGNDNEEIKFSKASLDEELLILDQECINMVDEQRKLERNAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMELTNLVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFMQDIEKELGLTREKLMRMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLHKFREWIESPDPTILGKLNVQEGSSARKRGPKSTEKDVNGTKTSTRGSESNNGTSSLDQNSFQADKNMQSTDCTDDIKQIFMDKHRNVSKNWHIPSSFPSEAVISAYSLPQVDKSTEPFTWGRPDLFVLRKLCWEKFGWGSQKSDELLLPVLRESEKRETQLRMEAFYTFNERFAKIRSKRIKKAVKGITGNQSSELIDDGMQQVSKSRRKRRASPVQSGDDKSGEPSNKKEDIASRCQSKSTDKSVPKTSRKRQSSGKDVSFEMRTPEPQLQTLRRRETNKQSAGNGRGRGGGEGRRRKGSSGFQQFETSSSGGDSGNVNQEVDGEKLDQPREVRRSMHTRNPVNYTVKDLEDEGGLSHKESSGEDAMEKEAGEDVKEKIQCEAREPSLDNIYGDYLETGGGFCMDERGTDLPDANQDVDLETEPTNDYLKMGGGFYMEGDIDQPDTSQDVNPFSETGSANDYLKMGGGFCMEESETIGNPDAGNYEDPVQATESSNCFAFMDKADDNIDSAEPSVNAEGSLLDKLQNGGKTPDEANDALNLNHRNAANKDDSKESASLPEASDVGTTFISGLSAMPSLKRKRRRR >KJB11471 pep chromosome:Graimondii2_0_v6:1:53575078:53584325:-1 gene:B456_001G260400 transcript:KJB11471 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MVQFMKAMRDEKGEMIRNAHLLGFFRRICKLLYLKTKPVFVFDGATPILKRRTVIARRRQRENAQAKIRKTAEKLLLNQLKQMRLKELAKDLDNQRKMQKNNNKDKGKMVSSDNQSDTNFVGCNANVELTKEGDVKLKEKLEVPSIAKDGGHNEDEDEDEDEVIILPDIDGNIDPDVLAALPQSMQRQLLKQGKKILLNDLNQSNKERSGTEHDAMTSTSYSQEKLDEMLAASLATQEDSNLANASTSVAAIPSEDDGDEDEEMILPAMHGNVDPAVLAALPPSLQLDLLGQMRERLMAENRQKYQKVKKAPEKFSELQIQSYLKTVAFRREIDEVQRAAAGRGVAGVQTSRIASEANREFIFSSSFTGDKQALTSARKEIDEDKQQERHSDHPSGFLGSVKSSCKSNVAAESVPDESTSAPDEDVGTYVDATGRIRVSRVRGMGIRMTRDLQRNLDLMKEIEKERTNLNKGVNVKSVPDKSKIDASKSVSNGNQFVETSHDDNGESVNVNESNQQSAFETESCMEITFEDDGKTEYFDDDDIFARLAAGEPVTLPSPEEKSLRKQPSGSDSDFEWEEGVVEGKWDGVTPGMNAEHNLLNKESNITDDSEVEWEEEPSDAPKSSSGPVESGRMLSKGYWEEESDLQEAIRRSLTDVGVEKSNSFPSDVIESKNLGENLDEDFGSLHEKGDTGASSFPGDAVNWQNKSCENLDRPRKPCTVNEPSISETFNSPESPSPVHNSDKNMTILSKFSERSDGSHSEQSRHNETAEFVATLEKEVDFPTGKHLDVSKEVDGLSTISDSWFKDNSHSFDAAHGDIPDTIQVDKKTGSEDEPSNLVSDNKSSIEAEILDQDKKIDFEAKPSQQSVDTVNLSIPTVQSSANKVISDLHIEQELSGDITYENCVNKAEQHTDMSTIKGNDNEEIKFSKASLDEELLILDQECINMVDEQRKLERNAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMELTNLVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFMQDIEKELGLTREKLMRMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLHKFREWIESPDPTILGKLNVQEGSSARKRGPKSTEKDVNGTKTSTRGSESNNGTSSLDQNSFQADKNMQSTDCTDDIKQIFMDKHRNVSKNWHIPSSFPSEAVISAYSLPQVDKSTEPFTWGRPDLFVLRKLCWEKFGWGSQKSDELLLPVLRESEKRETQLRMEAFYTFNERFAKIRSKRIKKAVKGITGNQSSELIDDGMQQVSKSRRKRRASPVQSGDDKSGEPSNKKEDIASRCQSKSTDKSVPKTSRKRQSSGKDVSFEMRTPEPQLQTLRRRETNKQSAGNGRGRGGGEGRRRKGSSGFQQFETSSSGGDSGNVNQEVDGEKLDQPREVRRSMHTRNPVNYTVKDLEDEGGLSHKESSGEDAMEKEAGEDVKEKIQCEAREPSLDNIYGDYLETGGGFCMDERGTDLPDANQDVDLETEPTNDYLKMGGGFCMEESETIGNPDAGNYEDPVQATESSNCFAFMDKADDNIDSAEPSVNAEGSLLDKLQNGGKTPDEANDALNLNHRNAANKDDSKESASLPEASDVGTTFISGLSAMPSLKRKRRRR >KJB11468 pep chromosome:Graimondii2_0_v6:1:53576081:53584153:-1 gene:B456_001G260400 transcript:KJB11468 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MGVHGLWELLAPVGRRVSVETLAGKKLAIDASIWMVQFMKAMRDEKGEMIRNAHLLGFFRRICKLLYLKTKPVFVFDGATPILKRRTVIARRRQRENAQAKIRKTAEKLLLNQLKQMRLKELAKDLDNQRKMQKNNNKDKGKMVSSDNQSDTNFVGCNANVELTKEGDVKLKEKLEVPSIAKDGGHNEDEDEDEDEVIILPDIDGNIDPDVLAALPQSMQRQLLKQGKKILLNDLNQSNKERSGTEHDAMTSTSYSQEKLDEMLAASLATQEDSNLANASTSVAAIPSEDDGDEDEEMILPAMHGNVDPAVLAALPPSLQLDLLGQMRERLMAENRQKYQKVKKAPEKFSELQIQSYLKTVAFRREIDEVQRAAAGRGVAGVQTSRIASEANREFIFSSSFTGDKQALTSARKEIDEDKQQERHSDHPSGFLGSVKSSCKSNVAAESVPDESTSAPDEDVGTYVDATGRIRVSRVRGMGIRMTRDLQRNLDLMKEIEKERTNLNKGVNVKSVPDKSKIDASKSVSNGNQFVETSHDDNGESVNVNESNQQSAFETESCMEITFEDDGKTEYFDDDDIFARLAAGEPVTLPSPEEKSLRKQPSGSDSDFEWEEGVVEGKWDGVTPGMNAEHNLLNKESNITDDSEVEWEEEPSDAPKSSSGPVESGRMLSKGYWEEESDLQEAIRRSLTDVGVEKSNSFPSDVIESKNLGENLDEDFGSLHEKGDTGASSFPGDAVNWQNKSCENLDRPRKPCTVNEPSISETFNSPESPSPVHNSDKNMTILSKFSERSDGSHSEQSRHNETAEFVATLEKEVDFPTGKHLDVSKEVDGLSTISDSWFKDNSHSFDAAHGDIPDTIQVDKKTGSEDEPSNLVSDNKSSIEAEILDQDKKIDFEAKPSQQSVDTVNLSIPTVQSSANKVISDLHIEQELSGDITYENCVNKAEQHTDMSTIKGNDNEEIKFSKASLDEELLILDQECINMVDEQRKLERNAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMELTNLVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFMQDIEKELGLTREKLMRMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLHKFREWIESPDPTILGKLNVQEGSSARKRGPKSTEKDVNGTKTSTRGSESNNGTSSLDQNSFQADKNMQSTDCTDDIKQIFMDKHRNVSKNWHIPSSFPSEAVISAYSLPQVDKSTEPFTWGRPDLFVLRKLCWEKFGWGSQKSDELLLPVLRESEKRETQLRMEAFYTFNERFAKIRSKRIKKAVKGITGNQSSELIDDGMQQVSKSRRKRRASPVQSGDDKSGEPSNKKEDIASRCQSKSTDKSVPKTSRKRQSSGKDVSFEMRTPEPQLQTLRRRETNKQSAGNGRGRGGGEGRRRKGSSGFQQFETSSSGGDSGNVNQEVDGEKLDQPREVRRVSYFHFLGLIAPEKDSN >KJB11466 pep chromosome:Graimondii2_0_v6:1:53575078:53584153:-1 gene:B456_001G260400 transcript:KJB11466 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MVQFMKAMRDEKGEMIRNAHLLGFFRRICKLLYLKTKPVFVFDGATPILKRRTVIARRRQRENAQAKIRKTAEKLLLNQLKQMRLKELAKDLDNQRKMQKNNNKDKGKMVSSDNQSDTNFVGCNANVELTKEGDVKLKEKLEVPSIAKDGGHNEDEDEDEDEVIILPDIDGNIDPDVLAALPQSMQRQLLKQGKKILLNDLNQSNKERSGTEHDAMTSTSYSQEKLDEMLAASLATQEDSNLANASTSVAAIPSEDDGDEDEEMILPAMHGNVDPAVLAALPPSLQLDLLGQMRERLMAENRQKYQKVKKAPEKFSELQIQSYLKTVAFRREIDEVQRAAAGRGVAGVQTSRIASEANREFIFSSSFTGDKQALTSARKEIDEDKQQERHSDHPSGFLGSVKSSCKSNVAAESVPDESTSAPDEDVGTYVDATGRIRVSRVRGMGIRMTRDLQRNLDLMKEIEKERTNLNKGVNVKSVPDKSKIDASKSVSNGNQFVETSHDDNGESVNVNESNQQSAFETESCMEITFEDDGKTEYFDDDDIFARLAAGEPVTLPSPEEKSLRKQPSGSDSDFEWEEGVVEGKWDGVTPGMNAEHNLLNKESNITDDSEVEWEEEPSDAPKSSSGPVESGRMLSKGYWEEESDLQEAIRRSLTDVGVEKSNSFPSDVIESKNLGENLDEDFGSLHEKGDTGASSFPGDAVNWQNKSCENLDRPRKPCTVNEPSISETFNSPESPSPVHNSDKNMTILSKFSERSDGSHSEQSRHNETAEFVATLEKEVDFPTGKHLDVSKEVDGLSTISDSWFKDNSHSFDAAHGDIPDTIQVDKKTGSEDEPSNLVSDNKSSIEAEILDQDKKIDFEAKPSQQSVDTVNLSIPTVQSSANKVISDLHIEQELSGDITYENCVNKAEQHTDMSTIKGNDNEEIKFSKASLDEELLILDQECINMVDEQRKLERNAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMELTNLVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFMQDIEKELGLTREKLMRMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLHKFREWIESPDPTILGKLNVQEGSSARKRGPKSTEKDVNGTKTSTRGSESNNGTSSLDQNSFQADKNMQSTDCTDDIKQIFMDKHRNVSKNWHIPSSFPSEAVISAYSLPQVDKSTEPFTWGRPDLFVLRKLCWEKFGWGSQKSDELLLPVLRESEKRETQLRMEAFYTFNERFAKIRSKRIKKAVKGITGNQSSELIDDGMQQVSKSRRKRRASPVQSGDDKSGEPSNKKEDIASRCQSKSTDKSVPKTSRKRQSSGKDVSFEMRTPEPQLQTLRRRETNKQSAGNGRGRGGGEGRRRKGSSGFQQFETSSSGGDSGNVNQEVDGEKLDQPREVRRSMHTRNPVNYTVKDLEDEGGLSHKESSGEDAMEKEAGEDVKEKIQCEAREPSLDNIYGDYLETGGGFCMDERGTDLPDANQDVDLETEPTNDYLKMGGGFYMEGDIDQPDTSQDVNPFSETGSANDYLKMGGGFCMEESETIGNPDAGNYEDPVQATESSNCFAFMDKADDNIDSAEPSVNAEGSLLDKLQNGGKTPDEANDALNLNHRNAANKDDSKESASLPEASDVGTTFISGLSAMPSLKRKRRRR >KJB11469 pep chromosome:Graimondii2_0_v6:1:53575072:53584325:-1 gene:B456_001G260400 transcript:KJB11469 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MGVHGLWELLAPVGRRVSVETLAGKKLAIDASIWMVQFMKAMRDEKGEMIRNAHLLGFFRRICKLLYLKTKPVFVFDGATPILKRRTVIARRRQRENAQAKIRKTAEKLLLNQLKQMRLKELAKDLDNQRKMQKNNNKDKGKMVSSDNQSDTNFVGCNANVELTKEGDVKLKEKLEVPSIAKDGGHNEDEDEDEDEVIILPDIDGNIDPDVLAALPQSMQRQLLKQILLNDLNQSNKERSGTEHDAMTSTSYSQEKLDEMLAASLATQEDSNLANASTSVAAIPSEDDGDEDEEMILPAMHGNVDPAVLAALPPSLQLDLLGQMRERLMAENRQKYQKVKKAPEKFSELQIQSYLKTVAFRREIDEVQRAAAGRGVAGVQTSRIASEANREFIFSSSFTGDKQALTSARKEIDEDKQQERHSDHPSGFLGSVKSSCKSNVAAESVPDESTSAPDEDVGTYVDATGRIRVSRVRGMGIRMTRDLQRNLDLMKEIEKERTNLNKGVNVKSVPDKSKIDASKSVSNGNQFVETSHDDNGESVNVNESNQQSAFETESCMEITFEDDGKTEYFDDDDIFARLAAGEPVTLPSPEEKSLRKQPSGSDSDFEWEEGVVEGKWDGVTPGMNAEHNLLNKESNITDDSEVEWEEEPSDAPKSSSGPVESGRMLSKGYWEEESDLQEAIRRSLTDVGVEKSNSFPSDVIESKNLGENLDEDFGSLHEKGDTGASSFPGDAVNWQNKSCENLDRPRKPCTVNEPSISETFNSPESPSPVHNSDKNMTILSKFSERSDGSHSEQSRHNETAEFVATLEKEVDFPTGKHLDVSKEVDGLSTISDSWFKDNSHSFDAAHGDIPDTIQVDKKTGSEDEPSNLVSDNKSSIEAEILDQDKKIDFEAKPSQQSVDTVNLSIPTVQSSANKVISDLHIEQELSGDITYENCVNKAEQHTDMSTIKGNDNEEIKFSKASLDEELLILDQECINMVDEQRKLERNAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMELTNLVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFMQDIEKELGLTREKLMRMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLHKFREWIESPDPTILGKLNVQEGSSARKRGPKSTEKDVNGTKTSTRGSESNNGTSSLDQNSFQADKNMQSTDCTDDIKQIFMDKHRNVSKNWHIPSSFPSEAVISAYSLPQVDKSTEPFTWGRPDLFVLRKLCWEKFGWGSQKSDELLLPVLRESEKRETQLRMEAFYTFNERFAKIRSKRIKKAVKGITGNQSSELIDDGMQQVSKSRRKRRASPVQSGDDKSGEPSNKKEDIASRCQSKSTDKSVPKTSRKRQSSGKDVSFEMRTPEPQLQTLRRRETNKQSAGNGRGRGGGEGRRRKGSSGFQQFETSSSGGDSGNVNQEVDGEKLDQPREVRRSMHTRNPVNYTVKDLEDEGGLSHKESSGEDAMEKEAGEDVKEKIQCEAREPSLDNIYGDYLETGGGFCMDERGTDLPDANQDVDLETEPTNDYLKMGGGFYMEGDIDQPDTSQDVNPFSETGSANDYLKMGGGFCMEESETIGNPDAGNYEDPVQATESSNCFAFMDKADDNIDSAEPSVNAEGSLLDKLQNGGKTPDEANDALNLNHRNAANKDDSKESASLPEASDVGTTFISGLSAMPSLKRKRRRR >KJB11467 pep chromosome:Graimondii2_0_v6:1:53575078:53584009:-1 gene:B456_001G260400 transcript:KJB11467 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MVQFMKAMRDEKGEMIRNAHLLGFFRRICKLLYLKTKPVFVFDGATPILKRRTVIARRRQRENAQAKIRKTAEKLLLNQLKQMRLKELAKDLDNQRKMQKNNNKDKGKMVSSDNQSDTNFVGCNANVELTKEGDVKLKEKLEVPSIAKDGGHNEDEDEDEDEVIILPDIDGNIDPDVLAALPQSMQRQLLKQILLNDLNQSNKERSGTEHDAMTSTSYSQEKLDEMLAASLATQEDSNLANASTSVAAIPSEDDGDEDEEMILPAMHGNVDPAVLAALPPSLQLDLLGQMRERLMAENRQKYQKVKKAPEKFSELQIQSYLKTVAFRREIDEVQRAAAGRGVAGVQTSRIASEANREFIFSSSFTGDKQALTSARKEIDEDKQQERHSDHPSGFLGSVKSSCKSNVAAESVPDESTSAPDEDVGTYVDATGRIRVSRVRGMGIRMTRDLQRNLDLMKEIEKERTNLNKGVNVKSVPDKSKIDASKSVSNGNQFVETSHDDNGESVNVNESNQQSAFETESCMEITFEDDGKTEYFDDDDIFARLAAGEPVTLPSPEEKSLRKQPSGSDSDFEWEEGVVEGKWDGVTPGMNAEHNLLNKESNITDDSEVEWEEEPSDAPKSSSGPVESGRMLSKGYWEEESDLQEAIRRSLTDVGVEKSNSFPSDVIESKNLGENLDEDFGSLHEKGDTGASSFPGDAVNWQNKSCENLDRPRKPCTVNEPSISETFNSPESPSPVHNSDKNMTILSKFSERSDGSHSEQSRHNETAEFVATLEKEVDFPTGKHLDVSKEVDGLSTISDSWFKDNSHSFDAAHGDIPDTIQVDKKTGSEDEPSNLVSDNKSSIEAEILDQDKKIDFEAKPSQQSVDTVNLSIPTVQSSANKVISDLHIEQELSGDITYENCVNKAEQHTDMSTIKGNDNEEIKFSKASLDEELLILDQECINMVDEQRKLERNAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMELTNLVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFMQDIEKELGLTREKLMRMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLHKFREWIESPDPTILGKLNVQEGSSARKRGPKSTEKDVNGTKTSTRGSESNNGTSSLDQNSFQADKNMQSTDCTDDIKQIFMDKHRNVSKNWHIPSSFPSEAVISAYSLPQVDKSTEPFTWGRPDLFVLRKLCWEKFGWGSQKSDELLLPVLRESEKRETQLRMEAFYTFNERFAKIRSKRIKKAVKGITGNQSSELIDDGMQQVSKSRRKRRASPVQSGDDKSGEPSNKKEDIASRCQSKSTDKSVPKTSRKRQSSGKDVSFEMRTPEPQLQTLRRRETNKQSAGNGRGRGGGEGRRRKGSSGFQQFETSSSGGDSGNVNQEVDGEKLDQPREVRRSMHTRNPVNYTVKDLEDEGGLSHKESSGEDAMEKEAGEDVKEKIQCEAREPSLDNIYGDYLETGGGFCMDERGTDLPDANQDVDLETEPTNDYLKMGGGFYMEGDIDQPDTSQDVNPFSETGSANDYLKMGGGFCMEESETIGNPDAGNYEDPVQATESSNCFAFMDKADDNIDSAEPSVNAEGSLLDKLQNGGKTPDEANDALNLNHRNAANKDDSKESASLPEASDVGTTFISGLSAMPSLKRKRRRR >KJB07628 pep chromosome:Graimondii2_0_v6:1:3135951:3139743:1 gene:B456_001G034200 transcript:KJB07628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMMATNSFKNPMLPVSDPPIDKEEEKERLLKRGDERIFKGSAMTKRGAYAAIYYMSCAVLLILFNKAALSSYGFPCANVITLFQMISSCTFLYALRRWKMISFANNESLTISDSNTSLVPLKTLIHTLPLAGTYLLYMLVTVESIRGVNVPMYTTLRRTTVVFTMIVEFLLAGQKYTSSIVGSVGLIVIGAFVAGARDLSFDFYGYAIVFLANISTAIYLATIARIGKSSGLNSFGLMWCNGIICGPILLVWTFLHGDLKTTMNFPHLLSPGFLAVLILSCMLAFFLNYTIFLNTTLNSAVTQTICGNLKDLFTIGLGWMLFGGLPFDILNVIGQLLGFVGSGLYAYYKLVGK >KJB09780 pep chromosome:Graimondii2_0_v6:1:23586345:23588970:1 gene:B456_001G165300 transcript:KJB09780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPKAKQEETYGGPRSPLGRRQKSLPLKTEGTSDYSKPKRTRRGIGSPFTLFLGRNSGNGNSKPLSGWLNGFGRILNKRVCEIPVPLFFAARPFNQQISDVMKQLSKKAASNCSEILSYMGGLDGEQKQLIKKLVNFRMKEENIKPICEVEKVRIAGTIYDVPGIVAKDRQQTLAIRWILEAAFKRRISYRISLEKCSFAEILDAYRKRGIARKKRENLHGLSSTNRIGPFTPFRLTRGADLINALQREGSQVSHVVNFWGQAKVRARHLAMNSVTGSLSLCLTLASQVGIDSSERWACHVKAGPSNDCTKSLRH >KJB07737 pep chromosome:Graimondii2_0_v6:1:3998807:4001771:1 gene:B456_001G042600 transcript:KJB07737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALVNYSGDDEFFIRKNSIELGRLCSISSQADVYWPPHKRVRISAPFLFRETDFEQNKQPSINDLPGECIFEIFKRLPSGRERSLCACVSKRWLRLLSSIRKGEYGSSKVVKENIDSVSYAIETISSNENGGYLTRCLEGKKATDVRLAAVAVGTSGRGGLGKLSIRGSNSSCGVTNFGLSSIARGCPSLKSLSLWNVPHVGDVGLYEIAKECHLLEKLDLCQCPAVSSKGLIAIAENCLNLTALSIESCPKIGNESLQAVGKLCPKLQSISIKDCPLVGDHGVSSLFSLASSVLSKVKLEGLKISDFSLSVIGYYGKSVTNLMLSGLRNVSEKGFWVMGNAQGLQKLVSLMLTSCPGLTDVSLEAMGKGCANLKQMCLRSCRFVSDDGLLAFSKSQGSLECLQLEECNKVTQSGIIGFLSNCGLKSLALVKCMGIRDVSLDTPLSSPCNSLKSLSVKNCPGFGTASLAMVGNLCPQLQHVDLSGLYGITDAGLLPLLESCREGLAKVNLSGCLNLTDEIVLALTRLHGGTLELLNLDGRPRITDASLVAVADNCVFLSDLDVSRCAISDSGVAALSHAEQLNLQVVSFSGCLGVSNKSMPFLKQLGKTLVGLNLQHCNSISARTVELLVESLWRCDILF >KJB07738 pep chromosome:Graimondii2_0_v6:1:3998807:4001771:1 gene:B456_001G042600 transcript:KJB07738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALVNYSGDDEFFIRKNSIELGRLCSISSQADVYWPPHKRVRISAPFLFRETDFEQNKQPSINDLPGECIFEIFKRLPSGRERSLCACVSKRWLRLLSSIRKGEYGSSKVVKENIDSVSYAIETISSNENGGYLTRCLEGKKATDVRLAAVAVGTSGRGGLGKLSIRGSNSSCGVTNFGLSSIARGCPSLKSLSLWNVPHVGDVGLYEIAKECHLLEKLDLCQCPAVSSKGLIAIAENCLNLTALSIESCPKIGNESLQAVGKLCPKLQSISIKDCPLVGDHGVSSLFSLASSVLSKVKLEGLKISDFSLSVIGYYGKSVTNLMLSGLRNVSEKGFWVMGNAQGLQKLVSLMLTSCPGLTDVSLEAMGKGCANLKQMCLRSCRFVSDDGLLAFSKSQGSLECLQLEECNKVTQSGIIGFLSNCGLKSLALVKCMGIRDVSLDTPLSSPCNSLKSLSVKNCPGFGTASLAMVGNLCPQLQHVDLSGLYGITDAGLLPLLESCREGLAKVNLSGCLNLTDEIVLALTRLHGGTLELLNLDGRPRITDASLVAVADNCVFLSDLDVSRCAISDSGVAALSHAEQLNLQVVSFSGCLGVSNKSMPFLKQLGKTLVGLNLQHCNSISARTVELLVESLWRCDILF >KJB08732 pep chromosome:Graimondii2_0_v6:1:11196302:11196593:1 gene:B456_001G099900 transcript:KJB08732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NDTKDLYLFINSPGRWVISGVAIYNTMQIAQPDVHTICIGLAASMGSFLLAGGEIPKRLAFPHARRQ >KJB09808 pep chromosome:Graimondii2_0_v6:1:23915629:23919395:1 gene:B456_001G168400 transcript:KJB09808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNSKSMPGLSIRADESSLDCKKPPENLEPAGSSIDLYPFSEGSFLKSEPDKLRFGFNKFLGLFLKEICAQGCVCSLPPMAEGGQPVDWLKLFLVVREKGGYNAVSESGLWDSVATESGLGLNVASLVKLVYIKYLVSLERWLERIVQREDLKSESHYTGNLVEMGIELKRFLSEFSQVEESVVAGSGGGEKIVNGEQSMDIDITKDFLDYNEVEKLRNDDDLKSVVVDSDGEKKFINVDEYVHTPSDLVKSAGNSTDVEKFCNEDEVKSAIMEDSVECKKCTHSDDDVVKLDSSDIKEKLSSNKRKRDSSAIMEDSLECKKCTHNDDDVVKLDSSDIKEKLSSNKRKRDSSAIMEDFVECKKYTHSDDDDVVKLGSSDIKEKFSSNKRKRDSMWGMLNWAKEVAMDPCDLVVGSLPESSKWKSYGSEELWKQILLFRVAAFHRKDDHSSSDQSKMQKTQKMHPCMYDDNTKIGYNLRERFSCTKKLLFGKTDAKGQNWSQSSGNHSDFNGTCDSVTPGSVFDYEADIQVPIGPQFQFEVPVWTGVASGSDAKWLGTRFWPLEKKENRFLIERDRIGKGRQDLCGCQVQGSLQCVKFHVAEKRSKLKLELGPAFKKLKFDKMGEDVASAWKEGEQMMFSNIVKSNPSSEQKCFWDEICKHFRNKSREELVCYYYNVFLLQRRAYQNRVAPSNIDSDDEPESELAAKGIGQEAIKPYTSILISPKKSQKKSRYSSK >KJB10960 pep chromosome:Graimondii2_0_v6:1:47100017:47115973:-1 gene:B456_001G233800 transcript:KJB10960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSLGSALLLNAEVDSMGGVVDSGVDIGVKTSLRRAAIEKAQAELRQEYVVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTNQQAEHLVTSDAKGSFAPTASPHGDSVESSGRPGIPAVCEPSSADSLLLFSGENELPEGERKSMNSRKWNTVFPSEQSSRMDGAQNTKESEDSAIFRPYARRNRSKINRDGARSSPKDIVQGRGGHGPCLPAHVASKDVKALTSETNKQKGKNIHCVDATKLTTSDGDLASKMITSDNQFNMAFDGGQATEETTDQSKGDISESKVDVTFSKSLIDDLHKETAQVEADKSPVNLVPAESDLVAGKEQGVSTGLEESPATGTTKAENGTGYNQLNGFGDAKRDEEKPIEGQNSSVAIGMKGLDSVSSCTQNSLRLDVNNDKDVYINPKNVDSNGKLVEQTSEKEESLNLAVGEMARQNSEIKAVDNVAVVLDTYRSVIQNDSLNDSTVKVVEETRSELQNEVSCLSNDEAQRSSHAVSEAEREVSTVPGDNSNSYKENFSSSLPQGKMDNSICEIPDTTLLGITSIAIPDTQASLDNHVKVVDKAHEDSIMEEAGIIEAKRKRIAELSVASLSMENCQKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVTFTLRLQLEEKNQYWKLRKAALILANAVMDFWHSAQLLLNSRDASLGPKNCGYDLVGSQADEVLKNNNAELDMDTNKEQQQHPGNDNELAIQAYALRFLKYSSSSVPSRQADTAATSDRIFDSSIMDSSWDEHLTEESLFYAVPSGAMETYRRSIEFYLVQTEKIESNVQEVVETSVYDAGAEFPYGNFVYDEDEGETSMYYLPGAFQGSKSSKLNQKKRTMKIMKSYPPARSYEMGSDLPYGNCAQQSTLMGKRPASGLNVGPIPTKRVRTGPRQRVLSLFSCAAAAGGLQAPTKTDASSGDNNSFQDDQSTLNGGFQIEKSTEVESVGNFESQLQNDRAEPPTKAKKKKKTKNLGSAYDEGWQLESTHNELGNYSKKRPESSHFDSNGTSGLFGQHNAKKLKIMKQQLDNTFDITSNGSIPSPVGSQMSNMSNSSKIIRLMHVSDKSRKAKTPKMSAAQPGSSTPWSLLEDQALVVLVHDMGPNWDLVSDAINSTLQLKCIFLKPKECKERYKILMDRSGDGADSADDLMSSQSYPSTLPGIPKGSARQLFQRLQGPVEEETLKSHFEKIILVGKKQHYRRCQHDNQDLKQIVPVHNSHVKSLSEVCPNNLNGGVLTPLDFCDAPASSKDVLPLGYQASSLAISNQGAVGPRLPASGANSSLQGSSNAVLGSNLSSPSATLDASVRDGRFGVPRTSLPADEQHRVQQHNPVLSGRNVQQSKLTLPGAISGSDRGVLMLAGGNGVGMMCGINRNMPMSRPGFQGMVSSTMLNSGSMLSSNLVGMPSPGNMHSGPGSGQGNSTLRPRDTIHMMQPGHSPENQRQMVVPELQLHVQENSQGIAAFNGLTSAYPNQSTPSPVQSYPGHPQKSHGLNNSLQGSNGSQQQAYAMRLAKERQRQQQQQQQQQSHMHQQHQKFAVSNALKPHVRPQTQLPVSSLQSSSQIQSPASTQAVSLSPLTPSTPITPMSLHQQQKNHLVPRGLGRSSRPGASGLNNQIGQQQQRQLQQQQFQQSGRHHPQQRQQTQSQQQAKLLKGAGRGNMQVHQNLSVDPSPLNGLSMASSNQAAEKGEQMMHLMQGQGLYSGSVMSPVQPSKPPVSSQSMNHSQPQKKLLSGAVPPSTKYLQQMAAHSDNSSQVQVSTAPSGHTQSDVHQSVLPAAMGPNCQHLQLQSQSHKKQVNQSQPTVKRMIQQNQQVNSDPSSKSQAEPAQADQQPMSNASLMGTATTMAMPQCVIWVCQMWPLKWDPWGALLIQILLVVIRYLLSAKC >KJB10957 pep chromosome:Graimondii2_0_v6:1:47100935:47112124:-1 gene:B456_001G233800 transcript:KJB10957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSLGSALLLNAEVDSMGGVVDSGVDIGVKTSLRRAAIEKAQAELRQEYVVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTNQQAEHLVTSDAKGSFAPTASPHGDSVESSGRPGIPAVCEPSSADSLLLFSGENELPEGERKSMNSRKWNTVFPSEQSSRMDGAQNTKESEDSAIFRPYARRNRSKINRDGARSSPKDIVQGRGGHGPCLPAHVASKDVKALTSETNKQKGKNIHCVDATKLTTSDGDLASKMITSDNQFNMAFDGGQATEETTDQSKGDISESKVDVTFSKSLIDDLHKETAQVEADKSPVNLVPAESDLVAGKEQGVSTGLEESPATGTTKAENGTGYNQLNGFGDAKRDEEKPIEGQNSSVAIGMKGLDSVSSCTQNSLRLDVNNDKDVYINPKNVDSNGKLVEQTSEKEESLNLAVGEMARQNSEIKAVDNVAVVLDTYRSVIQNDSLNDSTVKVVEETRSELQNEVSCLSNDEAQRSSHAVSEAEREVSTVPGDNSNSYKENFSSSLPQGKMDNSICEIPDTTLLGITSIAIPDTQASLDNHVKVVDKAHEDSIMEEAGIIEAKRKRIAELSVASLSMENCQKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVTFTLRLQLEEKNQYWKLRKAALILANAVMDFWHSAQLLLNSRDASLGPKNCGYDLVGSQADEVLKNNNAELDMKDTNKEQQQHPGNDNELAIQAYALRFLKYSSSSVPSRQADTAATSDRIFDSSIMDSSWDEHLTEESLFYAVPSGAMETYRRSIEFYLVQTEKIESNVQEVVETSVYDAGAEFPYGNFVYDEDEGETSMYYLPGAFQGSKSSKLNQKKRTMKIMKSYPPARSYEMGSDLPYGNCAQQSTLMGKRPASGLNVGPIPTKRVRTGPRQRVLSLFSCAAAAGGLQAPTKTDASSGDNNSFQDDQSTLNGGFQIEKSTEVESVGNFESQLQNDRAEPPTKAKKKKKTKNLGSAYDEGWQLESTHNELGNYSKKRPESSHFDSNGTSGLFGQHNAKKLKIMKQQLDNTFDITSNGSIPSPVGSQMSNMSNSSKIIRLMHVSDKSRKAKTPKMSAAQPGSSTPWSLLEDQALVVLVHDMGPNWDLVSDAINSTLQLKCIFLKPKECKERYKILMDRSGDGADSADDLMSSQSYPSTLPGIPKGSARQLFQRLQGPVEEETLKSHFEKIILVGKKQHYRRCQHDNQDLKQIVPVHNSHVKSLSEVCPNNLNGGVLTPLDFCDAPASSKDVLPLGYQASSLAISNQGAVGPRLPASGANSSLQGSSNAVLGSNLSSPSATLDASVRNVQQSKLTLPGAISGSDRGVLMLAGGNGVGMMCGINRNMPMSRPGFQGMVSSTMLNSGSMLSSNLVGMPSPGNMHSGPGSGQGNSTLRPRDTIHMMQPGHSPENQRQMVVPELQLHVQENSQGIAAFNGLTSAYPNQSTPSPVQSYPGHPQKSHGLNNSLQGSNGSQQQAYAMRLAKERQRQQQQQQQQQSHMHQQHQKFAVSNALKPHVRPQTQLPVSSLQSSSQIQSPASTQAVSLSPLTPSTPITPMSLHQQQKNHLVPRGLGRSSRPGASGLNNQIGQQQQRQLQQQQFQQSGRHHPQQRQQTQSQQQAKLLKGAGRGNMQVHQNLSVDPSPLNGLSMASSNQAAEKGEQMMHLMQGQGLYSGSVMSPVQPSKPPVSSQSMNHSQPQKKLLSGAVPPSTKYLQQMAAHSDNSSQVQVSTAPSGHTQSDVHQSVLPAAMGPNCQHLQLQSQSHKKQVNQSQPTVKRMIQQNQQVNSDPSSKSQAEPAQADQQPMSNASLMGTATTMAMPQAAIDSADNVSVVSPSVGPQWKPSESVCDLGLPNVATQVGSMGSPPHPNSARSDSLPSVSQVLGKRQLSGSLPSNGSTDGAQWPQQPQIQQSSTLPPSQQPYQQLQNQHSLLPQQQPLQQQSQQQTLHLQTVQGSLYHRPSNSKLE >KJB10954 pep chromosome:Graimondii2_0_v6:1:47099932:47116010:-1 gene:B456_001G233800 transcript:KJB10954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSLGSALLLNAEVDSMGGVVDSGVDIGVKTSLRRAAIEKAQAELRQEYVVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTNQQAEHLVTSDAKGSFAPTASPHGDSVESSGRPGIPAVCEPSSADSLLLFSGENELPEGERKSMNSRKWNTVFPSEQSSRMDGAQNTKESEDSAIFRPYARRNRSKINRDGARSSPKDIVQGRGGHGPCLPAHVASKDVKALTSETNKQKGKNIHCVDATKLTTSDGDLASKMITSDNQFNMAFDGGQATEETTDQSKGDISESKVDVTFSKSLIDDLHKETAQVEADKSPVNLVPAESDLVAGKEQGVSTGLEESPATGTTKAENGTGYNQLNGFGDAKRDEEKPIEGQNSSVAIGMKGLDSVSSCTQNSLRLDVNNDKDVYINPKNVDSNGKLVEQTSEKEESLNLAVGEMARQNSEIKAVDNVAVVLDTYRSVIQNDSLNDSTVKVVEETRSELQNEVSCLSNDEAQRSSHAVSEAEREVSTVPGDNSNSYKENFSSSLPQGKMDNSICEIPDTTLLGITSIAIPDTQASLDNHVKVVDKAHEDSIMEEAGIIEAKRKRIAELSVASLSMENCQKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVTFTLRLQLEEKNQYWKLRKAALILANAVMDFWHSAQLLLNSRDASLGPKNCGYDLVGSQADEVLKNNNAELDMDTNKEQQQHPGNDNELAIQAYALRFLKYSSSSVPSRQADTAATSDRIFDSSIMDSSWDEHLTEESLFYAVPSGAMETYRRSIEFYLVQTEKIESNVQEVVETSVYDAGAEFPYGNFVYDEDEGETSMYYLPGAFQGSKSSKLNQKKRTMKIMKSYPPARSYEMGSDLPYGNCAQQSTLMGKRPASGLNVGPIPTKRVRTGPRQRVLSLFSCAAAAGGLQAPTKTDASSGDNNSFQDDQSTLNGGFQIEKSTEVESVGNFESQLQNDRAEPPTKAKKKKKTKNLGSAYDEGWQLESTHNELGNYSKKRPESSHFDSNGTSGLFGQHNAKKLKIMKQQLDNTFDITSNGSIPSPVGSQMSNMSNSSKIIRLMHVSDKSRKAKTPKMSAAQPGSSTPWSLLEDQALVVLVHDMGPNWDLVSDAINSTLQLKCIFLKPKECKERYKILMDRSGDGADSADDLMSSQSYPSTLPGIPKGSARQLFQRLQGPVEEETLKSHFEKIILVGKKQHYRRCQHDNQDLKQIVPVHNSHVKSLSEVCPNNLNGGVLTPLDFCDAPASSKDVLPLGYQASSLAISNQGAVGPRLPASGANSSLQGSSNAVLGSNLSSPSATLDASVRDGRFGVPRTSLPADEQHRVQQHNPVLSGRNVQQSKLTLPGAISGSDRGVLMLAGGNGVGMMCGINRNMPMSRPGFQGMVSSTMLNSGSMLSSNLVGMPSPGNMHSGPGSGQGNSTLRPRDTIHMMQPGHSPENQRQMVVPELQLHVQENSQGIAAFNGLTSAYPNQSTPSPVQSYPGHPQKSHGLNNSLQGSNGSQQQAYAMRLAKERQRQQQQQQQQQSHMHQQHQKFAVSNALKPHVRPQTQLPVSSLQSSSQIQSPASTQAVSLSPLTPSTPITPMSLHQQQKNHLVPRGLGRSSRPGASGLNNQIGQQQQRQLQQQQFQQSGRHHPQQRQQTQSQQQAKLLKGAGRGNMQVHQNLSVDPSPLNGLSMASSNQAAEKGEQMMHLMQGQGLYSGSVMSPVQPSKPPVSSQSMNHSQPQKKLLSGAVPPSTKYLQQMAAHSDNSSQVQVSTAPSGHTQSDVHQSVLPAAMGPNCQHLQLQSQSHKKQVNQSQPTVKRMIQQNQQVNSDPSSKSQAEPAQADQQPMSNASLMGTATTMAMPQAAIDSADNVSVVSPSVGPQWKPSESVCDLGLPNVATQVGSMGSPPHPNSARSDSLPSVSQVLGKRQLSGSLPSNGSTDGAQWPQQPQIQQSSTLPPSQQPYQQLQNQHSLLPQQQPLQQQSQQQTLHLQTVQGSLYHRPSNSKLE >KJB10956 pep chromosome:Graimondii2_0_v6:1:47100016:47116010:-1 gene:B456_001G233800 transcript:KJB10956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSLGSALLLNAEVDSMGGVVDSGVDIGVKTSLRRAAIEKAQAELRQEYVVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTNQQAEHLVTSDAKGSFAPTASPHGDSVESSGRPGIPAVCEPSSADSLLLFSGENELPEGERKSMNSRKWNTVFPSEQSSRMDGAQNTKESEDSAIFRPYARRNRSKINRDGARSSPKDIVQGRGGHGPCLPAHVASKDVKALTSETNKQKGKNIHCVDATKLTTSDGDLASKMITSDNQFNMAFDGGQATEETTDQSKGDISESKVDVTFSKSLIDDLHKETAQVEADKSPVNLVPAESDLVAGKEQGVSTGLEESPATGTTKAENGTGYNQLNGFGDAKRDEEKPIEGQNSSVAIGMKGLDSVSSCTQNSLRLDVNNDKDVYINPKNVDSNGKLVEQTSEKEESLNLAVGEMARQNSEIKAVDNVAVVLDTYRSVIQNDSLNDSTVKVVEETRSELQNEVSCLSNDEAQRSSHAVSEAEREVSTVPGDNSNSYKENFSSSLPQGKMDNSICEIPDTTLLGITSIAIPDTQASLDNHVKVVDKAHEDSIMEEAGIIEAKRKRIAELSVASLSMENCQKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVTFTLRLQLEEKNQYWKLRKAALILANAVMDFWHSAQLLLNSRDASLGPKNCGYDLVGSQADEVLKNNNAELDMDTNKEQQQHPGNDNELAIQAYALRFLKYSSSSVPSRQADTAATSDRIFDSSIMDSSWDEHLTEESLFYAVPSGAMETYRRSIEFYLVQTEKIESNVQEVVETSVYDAGAEFPYGNFVYDEDEGETSMYYLPGAFQGSKSSKLNQKKRTMKIMKSYPPARSYEMGSDLPYGNCAQQSTLMGKRPASGLNVGPIPTKRVRTGPRQRVLSLFSCAAAAGGLQAPTKTDASSGDNNSFQDDQSTLNGGFQIEKSTEVESVGNFESQLQNDRAEPPTKAKKKKKTKNLGSAYDEGWQLESTHNELGNYSKKRPESSHFDSNGTSGLFGQHNAKKLKIMKQQLDNTFDITSNGSIPSPVGSQMSNMSNSSKIIRLMHVSDKSRKAKTPKMSAAQPGSSTPWSLLEDQALVVLVHDMGPNWDLVSDAINSTLQLKCIFLKPKECKERYKILMDRSGDGADSADDLMSSQSYPSTLPGIPKGSARQLFQRLQGPVEEETLKSHFEKIILVGKKQHYRRCQHDNQDLKQIVPVHNSHVKSLSEVCPNNLNGGVLTPLDFCDAPASSKDVLPLGYQASSLAISNQGAVGPRLPASGANSSLQGSSNAVLGSNLSSPSATLDASVRNVQQSKLTLPGAISGSDRGVLMLAGGNGVGMMCGINRNMPMSRPGFQGMVSSTMLNSGSMLSSNLVGMPSPGNMHSGPGSGQGNSTLRPRDTIHMMQPGHSPENQRQMVVPELQLHVQENSQGIAAFNGLTSAYPNQSTPSPVQSYPGHPQKSHGLNNSLQGSNGSQQQAYAMRLAKERQRQQQQQQQQQSHMHQQHQKFAVSNALKPHVRPQTQLPVSSLQSSSQIQSPASTQAVSLSPLTPSTPITPMSLHQQQKNHLVPRGLGRSSRPGASGLNNQIGQQQQRQLQQQQFQQSGRHHPQQRQQTQSQQQAKLLKGAGRGNMQVHQNLSVDPSPLNGLSMASSNQAAEKGEQMMHLMQGQGLYSGSVMSPVQPSKPPVSSQSMNHSQPQKKLLSGAVPPSTKYLQQMAAHSDNSSQVQVSTAPSGHTQSDVHQSVLPAAMGPNCQHLQLQSQSHKKQVNQSQPTVKRMIQQNQQVNSDPSSKSQAEPAQADQQPMSNASLMGTATTMAMPQAAIDSADNVSVVSPSVGPQWKPSESVCDLGLPNVATQVGSMGSPPHPNSARSDSLPSVSQVLGKRQLSGSLPSNGSTDGAQWPQQPQIQQSSTLPPSQQPYQQLQNQHSLLPQQQPLQQQSQQQTLHLQTVQGSLYHRPSNSKLE >KJB10959 pep chromosome:Graimondii2_0_v6:1:47100017:47112124:-1 gene:B456_001G233800 transcript:KJB10959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSLGSALLLNAEVDSMGGVVDSGVDIGVKTSLRRAAIEKAQAELRQEYVVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTNQQAEHLVTSDAKGSFAPTASPHGDSVESSGRPGIPAVCEPSSADSLLLFSGENELPEGERKSMNSRKWNTVFPSEQSSRMDGAQNTKESEDSAIFRPYARRNRSKINRDGARSSPKDIVQGRGGHGPCLPAHVASKDVKALTSETNKQKGKNIHCVDATKLTTSDGDLASKMITSDNQFNMAFDGGQATEETTDQSKGDISESKVDVTFSKSLIDDLHKETAQVEADKSPVNLVPAESDLVAGKEQGVSTGLEESPATGTTKAENGTGYNQLNGFGDAKRDEEKPIEGQNSSVAIGMKGLDSVSSCTQNSLRLDVNNDKDVYINPKNVDSNGKLVEQTSEKEESLNLAVGEMARQNSEIKAVDNVAVVLDTYRSVIQNDSLNDSTVKVVEETRSELQNEVSCLSNDEAQRSSHAVSEAEREVSTVPGDNSNSYKENFSSSLPQGKMDNSICEIPDTTLLGITSIAIPDTQASLDNHVKVVDKAHEDSIMEEAGIIEAKRKRIAELSVASLSMENCQKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVTFTLRLQLEEKNQYWKLRKAALILANAVMDFWHSAQLLLNSRDASLGPKNCGYDLVGSQADEVLKNNNAELDMDTNKEQQQHPGNDNELAIQAYALRFLKYSSSSVPSRQADTAATSDRIFDSSIMDSSWDEHLTEESLFYAVPSGAMETYRRSIEFYLVQTEVNKIESNVQEVVETSVYDAGAEFPYGNFVYDEDEGETSMYYLPGAFQGSKSSKLNQKKRTMKIMKSYPPARSYEMGSDLPYGNCAQQSTLMGKRPASGLNVGPIPTKRVRTGPRQRVLSLFSCAAAAGGLQAPTKTDASSGDNNSFQDDQSTLNGGFQIEKSTEVESVGNFESQLQNDRAEPPTKAKKKKKTKNLGSAYDEGWQLESTHNELGNYSKKRPESSHFDSNGTSGLFGQHNAKKLKIMKQQLDNTFDITSNGSIPSPVGSQMSNMSNSSKIIRLMHVSDKSRKAKTPKMSAAQPGSSTPWSLLEDQALVVLVHDMGPNWDLVSDAINSTLQLKCIFLKPKECKERYKILMDRSGDGADSADDLMSSQSYPSTLPGIPKGSARQLFQRLQGPVEEETLKSHFEKIILVGKKQHYRRCQHDNQDLKQIVPVHNSHVKSLSEVCPNNLNGGVLTPLDFCDAPASSKDVLPLGYQASSLAISNQGAVGPRLPASGANSSLQGSSNAVLGSNLSSPSATLDASVRDGRFGVPRTSLPADEQHRVQQHNPVLSGRNVQQSKLTLPGAISGSDRGVLMLAGGNGVGMMCGINRNMPMSRPGFQGMVSSTMLNSGSMLSSNLVGMPSPGNMHSGPGSGQGNSTLRPRDTIHMMQPGHSPENQRQMVVPELQLHVQENSQGIAAFNGLTSAYPNQSTPSPVQSYPGHPQKSHGLNNSLQGSNGSQQQAYAMRLAKERQRQQQQQQQQQSHMHQQHQKFAVSNALKPHVRPQTQLPVSSLQSSSQIQSPASTQAVSLSPLTPSTPITPMSLHQQQKNHLVPRGLGRSSRPGASGLNNQIGQQQQRQLQQQQFQQSGRHHPQQRQQTQSQQQAKLLKGAGRGNMQVHQNLSVDPSPLNGLSMASSNQAAEKGEQMMHLMQGQGLYSGSVMSPVQPSKPPVSSQSMNHSQPQKKLLSGAVPPSTKYLQQMAAHSDNSSQVQVSTAPSGHTQSDVHQSVLPAAMGPNCQHLQLQSQSHKKQVNQSQPTVKRMIQQNQQVNSDPSSKSQAEPAQADQQPMSNASLMGTATTMAMPQAAIDSADNVSVVSPSVGPQWKPSESVCDLGLPNVATQVGSMGSPPHPNSARSDSLPSVSQVLGKRQLSGSLPSNGSTDGAQWPQQPQIQQSSTLPPSQQPYQQLQNQHSLLPQQQPLQQQSQQQTLHLQTVQGSLYHRPSNSKLE >KJB10958 pep chromosome:Graimondii2_0_v6:1:47100017:47112124:-1 gene:B456_001G233800 transcript:KJB10958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSLGSALLLNAEVDSMGGVVDSGVDIGVKTSLRRAAIEKAQAELRQEYVVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTNQQAEHLVTSDAKGSFAPTASPHGDSVESSGRPGIPAVCEPSSADSLLLFSGENELPEGERKSMNSRKWNTVFPSEQSSRMDGAQNTKESEDSAIFRPYARRNRSKINRDGARSSPKDIVQGRGGHGPCLPAHVASKDVKALTSETNKQKGKNIHCVDATKLTTSDGDLASKMITSDNQFNMAFDGGQATEETTDQSKGDISESKVDVTFSKSLIDDLHKETAQVEADKSPVNLVPAESDLVAGKEQGVSTGLEESPATGTTKAENGTGYNQLNGFGDAKRDEEKPIEGQNSSVAIGMKGLDSVSSCTQNSLRLDVNNDKDVYINPKNVDSNGKLVEQTSEKEESLNLAVGEMARQNSEIKAVDNVAVVLDTYRSVIQNDSLNDSTVKVVEETRSELQNEVSCLSNDEAQRSSHAVSEAEREVSTVPGDNSNSYKENFSSSLPQGKMDNSICEIPDTTLLGITSIAIPDTQASLDNHVKVVDKAHEDSIMEEAGIIEAKRKRIAELSVASLSMENCQKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVTFTLRLQLEEKNQYWKLRKAALILANAVMDFWHSAQLLLNSRDASLGPKNCGYDLVGSQADEVLKNNNAELDMVLVILMDFYWSLRIQIRSSSSTLEMTMNLLFRIFDSSIMDSSWDEHLTEESLFYAVPSGAMETYRRSIEFYLVQTEKIESNVQEVVETSVYDAGAEFPYGNFVYDEDEGETSMYYLPGAFQGSKSSKLNQKKRTMKIMKSYPPARSYEMGSDLPYGNCAQQSTLMGKRPASGLNVGPIPTKRVRTGPRQRVLSLFSCAAAAGGLQAPTKTDASSGDNNSFQDDQSTLNGGFQIEKSTEVESVGNFESQLQNDRAEPPTKAKKKKKTKNLGSAYDEGWQLESTHNELGNYSKKRPESSHFDSNGTSGLFGQHNAKKLKIMKQQLDNTFDITSNGSIPSPVGSQMSNMSNSSKIIRLMHVSDKSRKAKTPKMSAAQPGSSTPWSLLEDQALVVLVHDMGPNWDLVSDAINSTLQLKCIFLKPKECKERYKILMDRSGDGADSADDLMSSQSYPSTLPGIPKGSARQLFQRLQGPVEEETLKSHFEKIILVGKKQHYRRCQHDNQDLKQIVPVHNSHVKSLSEVCPNNLNGGVLTPLDFCDAPASSKDVLPLGYQASSLAISNQGAVGPRLPASGANSSLQGSSNAVLGSNLSSPSATLDASVRDGRFGVPRTSLPADEQHRVQQHNPVLSGRNVQQSKLTLPGAISGSDRGVLMLAGGNGVGMMCGINRNMPMSRPGFQGMVSSTMLNSGSMLSSNLVGMPSPGNMHSGPGSGQGNSTLRPRDTIHMMQPGHSPENQRQMVVPELQLHVQENSQGIAAFNGLTSAYPNQSTPSPVQSYPGHPQKSHGLNNSLQGSNGSQQQAYAMRLAKERQRQQQQQQQQQSHMHQQHQKFAVSNALKPHVRPQTQLPVSSLQSSSQIQSPASTQAVSLSPLTPSTPITPMSLHQQQKNHLVPRGLGRSSRPGASGLNNQIGQQQQRQLQQQQFQQSGRHHPQQRQQTQSQQQAKLLKGAGRGNMQVHQNLSVDPSPLNGLSMASSNQAAEKGEQMMHLMQGQGLYSGSVMSPVQPSKPPVSSQSMNHSQPQKKLLSGAVPPSTKYLQQMAAHSDNSSQVQVSTAPSGHTQSDVHQSVLPAAMGPNCQHLQLQSQSHKKQVNQSQPTVKRMIQQNQQVNSDPSSKSQAEPAQADQQPMSNASLMGTATTMAMPQAAIDSADNVSVVSPSVGPQWKPSESVCDLGLPNVATQVGSMGSPPHPNSARSDSLPSVSQVLGKRQLSGSLPSNGSTDGAQWPQQPQIQQSSTLPPSQQPYQQLQNQHSLLPQQQPLQQQSQQQTLHLQTVQGSLYHRPSNSKLE >KJB10955 pep chromosome:Graimondii2_0_v6:1:47100935:47112124:-1 gene:B456_001G233800 transcript:KJB10955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSLGSALLLNAEVDSMGGVVDSGVDIGVKTSLRRAAIEKAQAELRQEYVVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTNQQAEHLVTSDAKGSFAPTASPHGDSVESSGRPGIPAVCEPSSADSLLLFSGENELPEGERKSMNSRKWNTVFPSEQSSRMDGAQNTKESEDSAIFRPYARRNRSKINRDGARSSPKDIVQGRGGHGPCLPAHVASKDVKALTSETNKQKGKNIHCVDATKLTTSDGDLASKMITSDNQFNMAFDGGQATEETTDQSKGDISESKVDVTFSKSLIDDLHKETAQVEADKSPVNLVPAESDLVAGKEQGVSTGLEESPATGTTKAENGTGYNQLNGFGDAKRDEEKPIEGQNSSVAIGMKGLDSVSSCTQNSLRLDVNNDKDVYINPKNVDSNGKLVEQTSEKEESLNLAVGEMARQNSEIKAVDNVAVVLDTYRSVIQNDSLNDSTVKVVEETRSELQNEVSCLSNDEAQRSSHAVSEAEREVSTVPGDNSNSYKENFSSSLPQGKMDNSICEIPDTTLLGITSIAIPDTQASLDNHVKVVDKAHEDSIMEEAGIIEAKRKRIAELSVASLSMENCQKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVTFTLRLQLEEKNQYWKLRKAALILANAVMDFWHSAQLLLNSRDASLGPKNCGYDLVGSQADEVLKNNNAELDMDTNKEQQQHPGNDNELAIQAYALRFLKYSSSSVPSRQADTAATSDRIFDSSIMDSSWDEHLTEESLFYAVPSGAMETYRRSIEFYLVQTEKIESNVQEVVETSVYDAGAEFPYGNFVYDEDEGETSMYYLPGAFQGSKSSKLNQKKRTMKIMKSYPPARSYEMGSDLPYGNCAQQSTLMGKRPASGLNVGPIPTKRVRTGPRQRVLSLFSCAAAAGGLQAPTKTDASSGDNNSFQDDQSTLNGGFQIEKSTEVESVGNFESQLQNDRAEPPTKAKKKKKTKNLGSAYDEGWQLESTHNELGNYSKKRPESSHFDSNGTSGLFGQHNAKKLKIMKQQLDNTFDITSNGSIPSPVGSQMSNMSNSSKIIRLMHVSDKSRKAKTPKMSAAQPGSSTPWSLLEDQALVVLVHDMGPNWDLVSDAINSTLQLKCIFLKPKECKERYKILMDRSGDGADSADDLMSSQSYPSTLPGIPKGSARQLFQRLQGPVEEETLKSHFEKIILVGKKQHYRRCQHDNQDLKQIVPVHNSHVKSLSEVCPNNLNGGVLTPLDFCDAPASSKDVLPLGYQASSLAISNQGAVGPRLPASGANSSLQGSSNAVLGSNLSSPSATLDASVRDGRFGVPRTSLPADEQHRVQQHNPVLSGRNVQQSKLTLPGAISGSDRGVLMLAGGNGVGMMCGINRNMPMSRPGFQGMVSSTMLNSGSMLSSNLVGMPSPGNMHSGPGSGQGNSTLRPRDTIHMMQPGHSPENQRQMVVPELQLHVQENSQGIAAFNGLTSAYPNQSTPSPVQSYPGHPQKSHGLNNSLQGSNGSQQQAYAMRLAKERQRQQQQQQQQQSHMHQQHQKFAVSNALKPHVRPQTQLPVSSLQSSSQIQSPASTQAVSLSPLTPSTPITPMSLHQQQKNHLVPRGLGRSSRPGASGLNNQIGQQQQRQLQQQQFQQSGRHHPQQRQQTQSQQQAKLLKGAGRGNMQVHQNLSVDPSPLNGLSMASSNQAAEKGEQMMHLMQGQGLYSGSVMSPVQPSKPPVSSQSMNHSQPQKKLLSGAVPPSTKYLQQMAAHSDNSSQVQVSTAPSGHTQSDVHQSVLPAAMGPNCQHLQLQSQSHKKQVNQSQPTVKRMIQQNQQVNSDPSSKSQAEPAQADQQPMSNASLMGTATTMAMPQAAIDSADNVSVVSPSVGPQWKPSESVCDLGLPNVATQVGSMGSPPHPNSARSDSLPSVSQVLGKRQLSGSLPSNGSTDGAQWPQQPQIQQSSTLPPSQQPYQQLQNQHSLLPQQQPLQQQSQQQTLHLQTVQGSLYHRPSNSKLE >KJB06116 pep chromosome:Graimondii2_0_v6:1:8964400:8965346:-1 gene:B456_001G0843002 transcript:KJB06116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRMVPLICLSFITDGLHGVACGIVRGIGWQHVGAYANLAAYYLVGIPAGVLCGFVLKLRGEGLWVGMVVGSGVQMLLLSLVIAFTNWKKQAIKARERMLHGTLAGENESF >KJB06117 pep chromosome:Graimondii2_0_v6:1:8964559:8965305:-1 gene:B456_001G0843002 transcript:KJB06117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRMVPLICLSFITDGLHGVACGIVRGIGWQHVGAYANLAAYYLVGIPAGVLCGFVLKLRGEGLWVGMVVGSGVQMLLLSLVIAFTNWKKQAIKARERMLHGTLAGENESF >KJB06115 pep chromosome:Graimondii2_0_v6:1:8964559:8965297:-1 gene:B456_001G0843002 transcript:KJB06115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLICLSFITDGLHGVACGIVRGIGWQHVGAYANLAAYYLVGIPAGVLCGFVLKLRGEGLWVGMVVGSGVQMLLLSLVIAFTNWKKQAIKARERMLHGTLAGENESF >KJB10304 pep chromosome:Graimondii2_0_v6:1:33517760:33521840:-1 gene:B456_001G195100 transcript:KJB10304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRSPVSIALSFLFTFFSVSNAFYGPSSPVLQLTPSNFKSKVLNSNGVVLVEFFAPWCGHCQALTPTWEKAANVLNGVATVAALDADAHQSLAEEYGIRGFPTIKVFAPGKPPVDYQGARDVKPIAEFALQQVKALLKDRLAGKASGGSSEKYQPSASVELNSRNFDELVLKSKELWIVEFFAPWCGRCKKLAPEWKKAANNLKGKVKLGHVDCDSEKSLMSRYKVQGFPTILAFGADKDGPIPYEGARNASSIESFALEQLETNVGPAEVTEVIGPVSLAPLFR >KJB10301 pep chromosome:Graimondii2_0_v6:1:33516731:33522020:-1 gene:B456_001G195100 transcript:KJB10301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRSPVSIALSFLFTFFSVSNAFYGPSSPVLQLTPSNFKSKVLNSNGVVLVEFFAPWCGHCQALTPTWEKAANVLNGVATVAALDADAHQSLAEEYGIRGFPTIKVFAPGKPPVDYQGARDVKPIAEFALQQVKALLKDRLAGKASGGSSEKYQPSASVELNSRNFDELVLKSKELWIVEFFAPWCGRCKKLAPEWKKAANNLKGKVKLGHVDCDSEKSLMSRYKVQGFPTILAFGADKDGPIPYEGARNASSIESFALEQLETNVGPAEVTEVIGPDVMEDKCGSAAICFVAFLPDILDSKAEGRNKYLEMLLSVAKKFKRNPYSYVWAAAGKQPDLEKCVGIGGYGYPALVALNVKKGAYAPLRSAFELEHIIEFVKEAGRGGKGNLPLNGGLNIVKTEAWDGKDGKIMEEDEFSLEELMGED >KJB10302 pep chromosome:Graimondii2_0_v6:1:33517760:33521840:-1 gene:B456_001G195100 transcript:KJB10302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRSPVSIALSFLFTFFSVSNAFYGPSSPVLQLTPSNFKSKVLNSNGVVLVEFFAPWCGHCQALTPTWEKAANVLNGVATVAALDADAHQSLAEEYGIRGFPTIKVFAPGKPPVDYQGARDVKPIAEFALQQVKALLKDRLAGKASGGSSEKYQPSASVELNSRNFDELVLKSKELWIVEFFAPWCGRCKKLAPEWKKAANNLKGKVKLGHVDCDSEKSLMSRYKVQGFPTILAFGADKDGPIPYEGARNASSIESFALEQLETNVGPAEVTEVIGPVSLAPLFR >KJB10306 pep chromosome:Graimondii2_0_v6:1:33516792:33521993:-1 gene:B456_001G195100 transcript:KJB10306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRSPVSIALSFLFTFFSVSNAFYGPSSPVLQLTPSNFKSKVLNSNGVVLVEFFAPWCGHCQALTPTWEKAANVLNGVATVAALDADAHQSLAEEYGIRGFPTIKVFAPGKPPVDYQGARDVKPIAEFALQQVKALLKDRLAGKASGGSSEKYQPSASVELNSRNFDELVLKSKELWIVEFFAPWCGRCKKLAPEWKKAANNLKGKVKLGHVDCDSEKQSLMSRYKVQGFPTILAFGADKDGPIPYEGARNASSIESFALEQLETNVGPAEVTEVIGPDVMEDKCGSAAICFVAFLPDILDSKAEGRNKYLEMLLSVAKKFKRNPYSYVWAAAGKQPDLEKCVGIGGYGYPALVALNVKKGAYAPLRSAFELEHIIEFVKEAGRGGKGNLPLNGGLNIVKTEAWDGKDGKIMEEDEFSLEELMGED >KJB10303 pep chromosome:Graimondii2_0_v6:1:33516792:33521993:-1 gene:B456_001G195100 transcript:KJB10303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRSPVSIALSFLFTFFSVSNAFYGPSSPVLQLTPSNFKSKVLNSNGVVLVEFFAPWCGHCQALTPTWEKAANVLNGVATVAALDADAHQSLAEEYGIRGFPTIKVFAPGKPPVDYQGARDVKPIAEFALQQVKALLKDRLAGKASGGSSEKYQPSASVELNSRNFDELVLKSKELWIVEFFAPWCGRCKKLAPEWKKAANNLKGKVKLGHVDCDSEKSLMSRYKVQGFPTILAFGADKDGPIPYEGARNASSIESFALEQLETNVGPAEVTEVIGP >KJB10305 pep chromosome:Graimondii2_0_v6:1:33516792:33521993:-1 gene:B456_001G195100 transcript:KJB10305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRSPVSIALSFLFTFFSVSNAFYGPSSPVLQLTPSNFKSKVLNSNGVVLVEFFAPWCGHCQALTPTWEKAANVLNGVATVAALDADAHQSLAEEYGIRGFPTIKVFAPGKPPVDYQGARDVKPIAEFALQQVKALLKDRLAGKASGGSSEKYQPSASVELNSRNFDELVLKSKELWIVEFFAPWCGRCKKLAPEWKKAANNLKGKVKLGHVDCDSEKSLMSRYKVQGFPTILAFGADKDGPIPYEGARNASSIESFALEQLETNVGPAEVTEVIGPDVMEDKCGSAAICFVAFLPDILDSKAEGRNKYLEMLLSVAKKFKRNPYRQLCLGSCW >KJB10596 pep chromosome:Graimondii2_0_v6:1:41746803:41750053:-1 gene:B456_001G210200 transcript:KJB10596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLPDCFRLLSKLRVFRADETPLEVPPREVIKLGAQAVVEFMADLVANRDNKAAPPKKEKSFWFRICSICWPFRTENTDDNM >KJB08387 pep chromosome:Graimondii2_0_v6:1:8594765:8602918:1 gene:B456_001G081100 transcript:KJB08387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTHLVRGNFAIEISKGRVFPCFGEVKQRSLTLNRNFCIRSGSTCSGSRSGDVSMGAGLVRAKNGIEIAVRSSVKTRSIKAQASGGDIEDLTPFNPQAKSSGAVLPFVGVACLGAMLFGYHLGVVNGALEYLSKDLGIPENTVMQGWIVSTLLAGATVGSFTGGTLADKFGRTKTFQLDAIPLIIGAFLTATAQTVQTMIIGRFLAGIGIGISSAIVPLYISEISPTEIRGALGSINQLFICIGILAALVAGLPLAGNPLWWRTMFGVAIVPAILLAVGMAFSPESPRWLFQQGKIPEAEKAIRTLYGKERVAEVMYELRTAGQGSTEQEAGWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGSAIASSLMDRQGRKSLLMTSFSGMAASMLLLSLSFTWKVLAPYSGTLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGTHWVSHHREPAQSC >KJB08382 pep chromosome:Graimondii2_0_v6:1:8594666:8602918:1 gene:B456_001G081100 transcript:KJB08382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTHLVRGNFAIEISKGRVFPCFGEVKQRSLTLNRNFCIRSGSTCSGSRSGDVSMGAGLVRAKNGIEIAVRSSVKTRSIKAQASGGDIEDLTPFNPQAKSSGAVLPFVGVACLGAMLFGYHLGVVNGALEYLSKDLGIPENTVMQGWIVSTLLAGATVGSFTGGTLADKFGRTKTFQLDAIPLIIGAFLTATAQTVQTMIIGRFLAGIGIGISSAIVPLYISEISPTEIRGALGSINQLFICIGILAALVAGLPLAGNPLWWRTMFGVAIVPAILLAVGMAFSPESPRWLFQQGKIPEAEKAIRTLYGKERVAEVMYELRTAGQGSTEQEAGWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGSAIASSLMDRQGRKSLLMTSFSGMAASMLLLSLSFTWKVLAPYSGTLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGTHWISNFVIGLYFLSVVNKFGISSVYLGFAGVCALAVLYIAGNVVETKGRSLEEIELALNPTT >KJB08389 pep chromosome:Graimondii2_0_v6:1:8594765:8602918:1 gene:B456_001G081100 transcript:KJB08389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTHLVRGNFAIEISKGRVFPCFGEVKQRSLTLNRNFCIRSGSTCSGSRSGDVSMGAGLVRAKNGIEIAVRSSVKTRSIKAQASGGDIEDLTPFNPQAKSSGAVLPFVGVACLGAMLFGYHLGVVNGALEYLSKDLGIPENTVMQGWIVSTLLAGATVGSFTGGTLADKFGRTKTFQLDAIPLIIGAFLTATAQTVQTMIIGRFLAGIGIGISSAIVPLYISEISPTEIRGALGSINQLFICIGILAALVAGLPLAGNPLWWRTMFGVAIVPAILLAVGMAFSPESPRWLFQQGKIPEAEKAIRTLYGKERVAEVMYELRTAGQGSTEQEAGWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGSAIASSLMDRQGRKSLLMTSFSGMAASMLLLSLSFTWKVLAPYSGTLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGTHWVSHHREPAQSC >KJB08386 pep chromosome:Graimondii2_0_v6:1:8595107:8601596:1 gene:B456_001G081100 transcript:KJB08386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTHLVRGNFAIEISKGRVFPCFGEVKQRSLTLNRNFCIRSGSTCSGSRSGDVSMGAGLVRAKNGIEIAVRSSVKTRSIKAQASGGDIEDLTPFNPQAKSSGAVLPFVGVACLGAMLFGYHLGVVNGALEYLSKDLGIPENTVMQGWIVSTLLAGATVGSFTGGTLADKFGRTKTFQLDAIPLIIGAFLTATAQTVQTMIIGRFLAGIGIGISSAIVPLYISEISPTEIRGALGSINQLFICIGILAALVAGLPLAGNPLWWRTMFGVAIVPAILLAVGMAFSPESPRWLFQQGKIPEAEKAIRTLYGKERVAEVMYELRTAGQGSTEQEAGWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGSAIASSLMDRQGRKSLLMTSFSGMAASMLLLSLSFTWKVLAPYSGTLAVVGTVL >KJB08390 pep chromosome:Graimondii2_0_v6:1:8594765:8602918:1 gene:B456_001G081100 transcript:KJB08390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGWIVSTLLAGATVGSFTGGTLADKFGRTKTFQLDAIPLIIGAFLTATAQTVQTMIIGRFLAGIGIGISSAIVPLYISEISPTEIRGALGSINQLFICIGILAALVAGLPLAGNPLWWRTMFGVAIVPAILLAVGMAFSPESPRWLFQQGKIPEAEKAIRTLYGKERVAEVMYELRTAGQGSTEQEAGWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGSAIASSLMDRQGRKSLLMTSFSGMAASMLLLSLSFTWKVLAPYSGTLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGTHWISNFVIGLYFLSVVNKFGISSVYLGFAGVCALAVLYIAGNVVETKGRSLEEIELALNPTT >KJB08385 pep chromosome:Graimondii2_0_v6:1:8595107:8601596:1 gene:B456_001G081100 transcript:KJB08385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTHLVRGNFAIEISKGRVFPCFGEVKQRSLTLNRNFCIRSGSTCSGSRSGDVSMGAGLVRAKNGIEIAVRSSVKTRSIKAQASGGDIEDLTPFNPQAKSSGAVLPFVGVACLGAMLFGYHLGVVNGALEYLSKDLGIPENTVMQGWIVSTLLAGATVGSFTGGTLADKFGRTKTFQLDAIPLIIGAFLTATAQTVQTMIIGRFLAGIGIGISSAIVPLYISEISPTEIRGALGSINQLFICIGILAALVAGLPLAGNPLWWRTMFGVAIVPAILLAVGMAFSPESPRWLFQQGKIPEAEKAIRTLYGKERVAEVMYELRTAGQGSTEQEAGWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGSAIASSLMDRQGRKSLLMTSFSGMAASMLLLSLSFTWKVLAPYSGTLAVVGTVL >KJB08391 pep chromosome:Graimondii2_0_v6:1:8594765:8602918:1 gene:B456_001G081100 transcript:KJB08391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTHLVRGNFAIEISKGRVFPCFGEVKQRSLTLNRNFCIRSGSTCSGSRSGDVSMGAGLVRAKNGIEIAVRSSVKTRSIKAQASGGDIEDLTPFNPQAKSSGAVLPFVGVACLGAMLFGYHLGVVNGALEYLSKDLGIPENTVMQGWIVSTLLAGATVGSFTGGTLADKFGRTKTFQLDAIPLIIGAFLTATAQTVQTMIIGRFLAGIGIGISSAIVPLYISEISPTEIRGALGSINQLFICIGILAALVAGLPLAGNPLWWRTMFGVAIVPAILLAVGMAFSPESPRWLFQQGKIPEAEKAIRTLYGKERVAEVMYELRTAGQGSTEQEAGWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGSAIASSLMDRQGRKSLLMTSFSGMAASMLLLSLSFTWKVLAPYSGTLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGTHWISNFVIGLYFLSVVNKFGISSVYLGFAGVCALAVLYIAGNVVETKGRSLEEIELALNPTT >KJB08388 pep chromosome:Graimondii2_0_v6:1:8594765:8602918:1 gene:B456_001G081100 transcript:KJB08388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTHLVRGNFAIEISKGRVFPCFGEVKQRSLTLNRNFCIRSGSTCSGSRSGDVSMGAGLVRAKNGIEIAVRSSVKTRSIKAQASGGDIEDLTPFNPQAKSSGAVLPFVGVACLGAMLFGYHLGVVNGALEYLSKDLGIPENTVMQAQTVQTMIIGRFLAGIGIGISSAIVPLYISEISPTEIRGALGSINQLFICIGILAALVAGLPLAGNPLWWRTMFGVAIVPAILLAVGMAFSPESPRWLFQQGKIPEAEKAIRTLYGKERVAEVMYELRTAGQGSTEQEAGWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGSAIASSLMDRQGRKSLLMTSFSGMAASMLLLSLSFTWKVLAPYSGTLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGTHWISNFVIGLYFLSVVNKFGISSVYLGFAGVCALAVLYIAGNVVETKGRSLEEIELALNPTT >KJB08383 pep chromosome:Graimondii2_0_v6:1:8594765:8599529:1 gene:B456_001G081100 transcript:KJB08383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTHLVRGNFAIEISKGRVFPCFGEVKQRSLTLNRNFCIRSGSTCSGSRSGDVSMGAGLVRAKNGIEIAVRSSVKTRSIKAQASGGDIEDLTPFNPQAKSSGAVLPFVGVACLGAMLFGYHLGVVNGALEYLSKDLGIPENTVMQGWIVSTLLAGATVGSFTGGTLADKFGRTKTFQLDAIPLIIGAFLTATAQTVQTMIIGRFLAGIGIGISSAIVPLYISEISPTEIRGALGSINQLFICIGILAALVAGLPLAGNPLWWRTMFGVAIVPAILLAVGMAFSPESPRWLFQQGKIPEAEKAIRTLYGKERVAEVMYELRTAGQGSTEQEAGWFDLFSSRYWKGIPEF >KJB08384 pep chromosome:Graimondii2_0_v6:1:8594765:8602918:1 gene:B456_001G081100 transcript:KJB08384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTHLVRGNFAIEISKGRVFPCFGEVKQRSLTLNRNFCIRSGSTCSGSRSGDVSMGAGLVRAKNGIEIAVRSSVKTRSIKAQASGGDIEDLTPFNPQAKSSGAVLPFVGVACLGAMLFGYHLGVVNGALEYLSKDLGIPENTVMQGWIVSTLLAGATVGSFTGGTLADKFGRTKTFQLDAIPLIIGAFLTATAQTVQTMIIGRFLAGIGIGISSAIVPLYISEISPTEIRGALGSINQLFICIGILAALVAGLPLAGNPLWWRTMFGVAIVPAILLAVGMAFSPESPRWLFQQGKIPEAEKAIRTLYGKERVAEVMYELRTAGQGSTEQEAGWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGSAIASSLMDRQGRKSLLMTSFSGMLRFILFTWCWACACSSSARDICFQN >KJB09809 pep chromosome:Graimondii2_0_v6:1:24063640:24070066:-1 gene:B456_001G168900 transcript:KJB09809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGGLISNRSFGSFIGSGKVCQGEQAILLHKGERSGIAVLHGNMFYRKLWSQTANGFLSGYTCGRYLRSTVHLDENTLKPLSVSPNGRQLISSVSLCHCKKSHKVSPRQRSRGSFGYYSFSSPVPGGCLEPSDKDGIGKNSTSAYYKSEEYDITEAKVDSLPSPDGMNEAILVGGDMQEAVPLWQDFPKRWVIVLLCFAAFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQILGGIWADKIGGKLVLGFGVVWWSVATVLTPIAARFGLPFLLIMRAFMGIGEGVAMPAMNNLLSKWIPVSERSRSLALVYSGMYLGSVIGLAFSPILINKFGWPSVFYSFGSLGSIWFALWLRKAYSSPKEDPELSKEEKKIIMGGSISKEPVTVIPWQLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAAFANIGGWIADTLVSKGLSVTTVRKIMQSIGFLGPAFFLTQLIHVKTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWNDVFKVSVALYIIGTLVWNLFSTGEKVLD >KJB09810 pep chromosome:Graimondii2_0_v6:1:24063621:24070160:-1 gene:B456_001G168900 transcript:KJB09810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGGLISNRSFGSFIGSGKVCQGEQAILLHKGERSGIAVLHGNMFYRKLWSQTANGFLSGYTCGRYLRSTVHLDENTLKPLSVSPNGRQLISSVSLCHCKKSHKVSPRQRSRGSFGYYSFSSPVPGGCLEPSDKDGIGKNSTSAYYKSEEYDITEAKVDSLPSPDGMNEAILVGGDMQEAVPLWQDFPKRWVIVLLCFAAFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQILGGIWADKIGGKLVLGFGVVWWSVATVLTPIAARFGLPFLLIMRAFMGIGEGVAMPAMNNLLSKWIPVSERSRSLALVYSGMYLGSVIGLAFSPILINKFGWPSVFYSFGSLGSIWFALWLRKAYSSPKEDPELSKEEKKIIMGGSISKEPVTVIPWQLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAAFANIGGWIADTLVSKGLSVTTVRKIMQSIGFLGPAFFLTQLIHVKTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWNDVFKVSVALYIIGTLVWNLFSTGEKVLD >KJB09811 pep chromosome:Graimondii2_0_v6:1:24063678:24070050:-1 gene:B456_001G168900 transcript:KJB09811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGGLISNRSFGSFIGSGKVCQGEQAILLHKGERSGIAVLHGNMFYRKLWSQTANGFLSGYTCGRYLRSTVHLDENTLKPLSVSPNGRQLISSVSLCHCKKSHKVSPRQRSRGSFGYYSFSSPVPGGCLEPSDKDGIGKNSTSAYYKSEEYDITEAKVDSLPSPDGMNEAILVGGDMQEAVPLWQDFPKRWVIVLLCFAAFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQILGGIWADKIGGKLVLGFGVVWWSVATVLTPIAARFGLPFLLIMRAFMGIGEGVAMPAMNNLLSKWIPVSERSRSLALVYSGMYLGSVIGLAFSPILINKFGWPSVFYSFGSLGSIWFALWLRKAYSSPKEDPELSKEEKKIIMGGSISKEPVTVIPWQLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAAFANIGGWIADTLVSKGLSVTTVRKIMQSIGFLGPAFFLTQLIHVKTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAVILLGLSNTAGVLAGVFGTAATGYILQRGSWNDVFKVSVALYIIGTLVWNLFSTGEKVLD >KJB11048 pep chromosome:Graimondii2_0_v6:1:47633077:47636381:-1 gene:B456_001G238200 transcript:KJB11048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGLYRRVLPSPPAIEFSSPEGKQLFTEALAGGTAEGFFKLISYYQTQSEPAYCGLATLAMVLNALAIDPGRTWKGPWRWFDDSMLDCCEPLEKIKSQGITFGKVACLAVCNGAQVEPFRTDQSSIEDFRERVVSCTSSEDCHLIVSYNRAVFKQTGSGHFSPIGGYHAGKDMVLILDVARFKYPPHWVPLSLLWDAMNTIDKATGHCRGALLFKEVITGTTIVYQMQSCKHENWNVVAKYLTEDLPLLLTSKNLKDVNDVLCVVFRSAPSSLRDFIKWIAEVRRQDDGSTILSEEEKGRVALKEEVLKQIRETELFKNVTGYLGFERSLCESEASLGYTDSLADIAANVCCQGAGLLTGKIRLLNGCGKDIKLLKSVCKEPVTVVSGTVTTDGTEQMVDMLIPSSETKPSCLCDFDQNSCSGIPPSIGDGLTVLLSALPQDTWLGLKEEKLQAEMKGLTSADCLPTLLQEEVLHLQRQLYFLKADLNISPSSS >KJB11050 pep chromosome:Graimondii2_0_v6:1:47633077:47636458:-1 gene:B456_001G238200 transcript:KJB11050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGLYRRVLPSPPAIEFSSPEGKQLFTEALAGGTAEGFFKLISYYQTQSEPAYCGLATLAMVLNALAIDPGRTWKGPWRWFDDSMLDCCEPLEKIKSQGITFGKVACLAVCNGAQVEPFRTDQSSIEDFRERVVSCTSSEDCHLIVSYNRAVFKQTGSGHFSPIGGYHAGKDMVLILDVARFKYPPHWVPLSLLWDAMNTIDKATGHCRGFMVMSKLQKATSVLYTMSCKHENWNVVAKYLTEDLPLLLTSKNLKDVNDVLCVVFRSAPSSLRDFIKWIAEVRRQDDGSTILSEEEKGRVALKEEVLKQIRETELFKNVTGYLGFERSLCESEASLGYTDSLADIAANVCCQGAGLLTGKIRLLNGCGKDIKLLKSVCKEPVTVVSGTVTTDGTEQMVDMLIPSSETKPSCLCDFDQNSCSGIPPSIGDGLTVLLSALPQDTWLGLKEEKLQAEMKGLTSADCLPTLLQEEVLHLQRQLYFLKADLNISPSSS >KJB11051 pep chromosome:Graimondii2_0_v6:1:47633081:47636381:-1 gene:B456_001G238200 transcript:KJB11051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGLYRRVLPSPPAIEFSSPEGKQLFTEALAGGTAEGFFKLISYYQTQSEPAYCGLATLAMVLNALAIDPGRTWKGPWRWFDDSMLDCCEPLEKIKSQGITFGKVACLAVCNGAQVEPFRTDQSSIEDFRERVVSCTSSEDCHLIVSYNRAVFKQTGSGHFSPIGGYHAGKDMVLILDVARFKYPPHWVPLSLLWDAMNTIDKATGHCRGFMVMSKLQKATSVLYTMSCKHENWNVVAKYLTEDLPLLLTSKNLKDVNDVLCVVFRSAPSSLRDFIKWIAEVRRQDDGSTILSEEEKGRVALKEEVLKQIRETELFKNVTGYLGFERSLCESEASLGYTDSLADIAANVCCQGAGLLTGKIRLLNGCGKDIKLLKSVCKEPVTVVSGTVTTDGTEQMVDMLIPSSETKPSCLCDFDQNSCSGIPPSIGDGLTVLLSALPQDTWLGLKEEKLQAEMKGLTSADCLPTLLQEEDVCLTGSAFATAALFPQG >KJB11049 pep chromosome:Graimondii2_0_v6:1:47633077:47636381:-1 gene:B456_001G238200 transcript:KJB11049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGLYRRVLPSPPAIEFSSPEGKQLFTEALAGGTAEGFFKLISYYQTQSEPAYCGLATLAMVLNALAIDPGRTWKGPWRWFDDSMLDCCEPLEKIKSQGITFGKVACLAVCNGAQVEPFRTDQSSIEDFRERVVSCTSSEDCHLIVSYNRAVFKQTGSGHFSPIGGYHAGKDMVLILDVARFKYPPHWVPLSLLWDAMNTIDKATGHCSWLCLSFRKQHLFFTLWYLNLSLADQSHSCKHENWNVVAKYLTEDLPLLLTSKNLKDVNDVLCVVFRSAPSSLRDFIKWIAEVRRQDDGSTILSEEEKGRVALKEEVLKQIRETELFKNVTGYLGFERSLCESEASLGYTDSLADIAANVCCQGAGLLTGKIRLLNGCGKDIKLLKSVCKEPVTVVSGTVTTDGTEQMVDMLIPSSETKPSCLCDFDQNSCSGIPPSIGDGLTVLLSALPQDTWLGLKEEKLQAEMKGLTSADCLPTLLQEEVLHLQRQLYFLKADLNISPSSS >KJB11052 pep chromosome:Graimondii2_0_v6:1:47633349:47636381:-1 gene:B456_001G238200 transcript:KJB11052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGLYRRVLPSPPAIEFSSPEGKQLFTEALAGGTAEGFFKLISYYQTQSEPAYCGLATLAMVLNALAIDPGRTWKGPWRWFDDSMLDCCEPLEKIKSQGITFGKVACLAVCNGAQVEPFRTDQSSIEDFRERVVSCTSSEDCHLIVSYNRAVFKQTGSGHFSPIGGYHAGKDMVLILDVARFKYPPHWVPLSLLWDAMNTIDKATGHCRGFMVMSKLQKATSVLYTMSCKHENWNVVAKYLTEDLPLLLTSKNLKDVNDVLCVVFRSAPSSLRDFIKWIAEVRRQDDGSTILSEEEKGRVALKEEVLKQIRETELFKNVTGYLGFERSLCESEASLGYTDSLADIAANVCCQGAGLLTGKIRLLNGCGKDIKLLKSVCKEPVTVVSGTVTTDGTEQMVDMLIPSSETKPSCLCDFDQNSCSGIPPSIGDGLTVLLSALPQDTWLGLKEEKLQAEMKGLTSADCLPTLLQEEVMSGVLYFFFFVFCIKFFVFPFRN >KJB08291 pep chromosome:Graimondii2_0_v6:1:7704145:7707558:-1 gene:B456_001G075300 transcript:KJB08291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g18270 [Source:Projected from Arabidopsis thaliana (AT3G18270) UniProtKB/TrEMBL;Acc:Q94K39] MLSQFALSFFGKSPLNSSKPHEPLKFQLPLSNLYVKNSMKAPVTSSSSNFGFKELLETFTVEVQKAENKPLNVPLIAPFTIATSRLDKVENVAIRIELKNGCVGWGEAPILPFVTAEDQPTAMAKAKGACDMLKNCSFLTLEAVLGEIGDLLPGHQFASVRAGIEMALIDAVAKSIGLPLWRLFGGALNTIITDITIPIVSPAEAAALASKYHKQGFKTLKLKVGKNLKADIEVLQAIRAAHPDCSFILDANEGYKPEEAIEVLEKLHEMGVTPVLFEQPVHRDDWEGLGRVTHFAKSKYGVSVAADESCRSLADVKKIVKGELADVVNIKLAKVGVLGALEIIDLARASGLDLMIGGMVETRLAMGFAGHLAAGLGCFKFVDLDTPLLLSEDPVLEGSWSYLQIHKC >KJB08293 pep chromosome:Graimondii2_0_v6:1:7704145:7707558:-1 gene:B456_001G075300 transcript:KJB08293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g18270 [Source:Projected from Arabidopsis thaliana (AT3G18270) UniProtKB/TrEMBL;Acc:Q94K39] MLSQFALSFFGKSPLNSSKPHEPLKFQLPLSNLYVKNSMKAPVTSSSSNFGFKELLETFTVEVQKAENKPLNVPLIAPFTIATSRLDKVENVAIRIELKNGCVGWGEAPILPFVTAEDQPTAMAKAKGACDMLKNCSFLTLEAVLGEIGDLLPGHQFASVRAGIEMALIDAVAKSIGLPLWRLFGGALNTIITDITIPIVSPAEAAALASKYHKQGFKTLKLKVGKNLKADIEVLQAIRAAHPDCSFILDANEGYKPEEAIEVLEKLHEMGVTPVLFEQPVHRDDWEGLGRVTHFAKSKYGVSVAADESCRSLADVKKIVKGELADVVNIKLAKVGVLGALEIIDLARASGLDLMIGGMVETRLAMGFAGHLAAGLGCFKFVDLDTPLLLSEDPVLEGYEEVIYIWLAGECWNSESDWFVKVARQTLPPGKSSECVQFRCL >KJB08297 pep chromosome:Graimondii2_0_v6:1:7705038:7707558:-1 gene:B456_001G075300 transcript:KJB08297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g18270 [Source:Projected from Arabidopsis thaliana (AT3G18270) UniProtKB/TrEMBL;Acc:Q94K39] MLSQFALSFFGKSPLNSSKPHEPLKFQLPLSNLYVKNSMKAPVTSSSSNFGFKELLETFTVEVQKAENKPLNVPLIAPFTIATSRLDKVENVAIRIELKNGCVGWGEAPILPFVTAEDQPTAMAKAKGACDMLKNCSFLTLEAVLGEIGDLLPGHQFASVRAGIEMALIDAVAKSIGLPLWRLFGGALNTIITDITIPIVSPAEAAALASKYHKQGFKTLKLKVGKNLKADIEVLQAIRAAHPDCSFILDANEGYKPEEAIEVLEKLHEMGVTPVLFEQPVHRDDWEGLGRVTHFAKSKYGVSVAADESCRSLADVKKIVKGELADVVNIKLAKVGVLGALEIIDLARASGLDLMIGGMVETRLAMGFAGHLAAGLGCFKFVDLDTPLLLSEDPVLEGYEVHGAIYKFTNARGQGSFLHWENIEW >KJB08294 pep chromosome:Graimondii2_0_v6:1:7704238:7707558:-1 gene:B456_001G075300 transcript:KJB08294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g18270 [Source:Projected from Arabidopsis thaliana (AT3G18270) UniProtKB/TrEMBL;Acc:Q94K39] MLSQFALSFFGKSPLNSSKPHEPLKFQLPLSNLYVKNSMKAPVTSSSSNFGFKELLETFTVEVQKAENKPLNVPLIAPFTIATSRLDKVENVAIRIELKNGCVGWGEAPILPFVTAEDQPTAMAKAKGACDMLKNCSFLTLEAVLGEIGDLLPGHQFASVRAGIEMALIDAVAKSIGLPLWRLFGGALNTIITDITIPIVSPAEAAALASKYHKQGFKTLKLKVGKNLKADIEVLQAIRAAHPDCSFILDANEGYKPEEAIEVLEKLHEMGVTPVLFEQPVHRDDWEGLGRVTHFAKSKYGVSVAADESCRSLADVKKIVKGELADVVNIKLAKVGVLGALEIIDLARASGLDLMIGGMVETRLAMGFAGHLAAGLGCFNFNLKTWCADLLIWILPFSCLKIRFLKGMKFMELFTNSQMLEARVVSFIGKILNEVIYIWLAGECWNSESDWFVKVARQTLPPGKSSECVQFRCL >KJB08295 pep chromosome:Graimondii2_0_v6:1:7704238:7707558:-1 gene:B456_001G075300 transcript:KJB08295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g18270 [Source:Projected from Arabidopsis thaliana (AT3G18270) UniProtKB/TrEMBL;Acc:Q94K39] MFLVVLYMLIRLFLHLKVRAGIEMALIDAVAKSIGLPLWRLFGGALNTIITDITIPIVSPAEAAALASKYHKQGFKTLKLKVGKNLKADIEVLQAIRAAHPDCSFILDANEGYKPEEAIEVLEKLHEMGVTPVLFEQPVHRDDWEGLGRVTHFAKSKYGVSVAADESCRSLADVKKIVKGELADVVNIKLAKVGVLGALEIIDLARASGLDLMIGGMVETRLAMGFAGHLAAGLGCFKFVDLDTPLLLSEDPVLEGYEGILLLKLTVCVWFVWFL >KJB08298 pep chromosome:Graimondii2_0_v6:1:7705094:7707558:-1 gene:B456_001G075300 transcript:KJB08298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g18270 [Source:Projected from Arabidopsis thaliana (AT3G18270) UniProtKB/TrEMBL;Acc:Q94K39] MLSQFALSFFGKSPLNSSKPHEPLKFQLPLSNLYVKNSMKAPVTSSSSNFGFKELLETFTVEVQKAENKPLNVPLIAPFTIATSRLDKVENVAIRIELKNGCVGWGEAPILPFVTAEDQPTAMAKAKGACDMLKNCSFLTLEAVLGEIGDLLPGHQFASVRAGIEMALIDAVAKSIGLPLWRLFGGALNTIITDITIPIVSPAEAAALASKYHKQGFKTLKLKVGKNLKADIEVLQAIRAAHPDCSFILDANEGYKPEEAIEVLEKLHEMGVTPVLFEQPVHRDDWEGLGRVTHFAKSKYGVSVAADESCRSLADVKKIVKGELADVVNIKLAKVGVLGALEIIDLARASGLDLMIGGMVETRLAMGFAGHLAAGLGCFKFVDLDTPLLLSEDPVLEGYEGILLLKLTVCVWFVWFLW >KJB08296 pep chromosome:Graimondii2_0_v6:1:7705859:7707257:-1 gene:B456_001G075300 transcript:KJB08296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g18270 [Source:Projected from Arabidopsis thaliana (AT3G18270) UniProtKB/TrEMBL;Acc:Q94K39] MLSQFALSFFGKSPLNSSKPHEPLKFQLPLSNLYVKNSMKAPVTSSSSNFGFKELLETFTVEVQKAENKPLNVPLIAPFTIATSRLDKVENVAIRIELKNGCVGWGEAPILPFVTAEDQPTAMAKAKGACDMLKNCSFLTLEAVLGEIGDLLPGHQFASVRAGIEMALIDAVAKSIGLPLWRLFGGALNTIITDITIPIVSPAEAAALASKYHKQGFKTLKLKVGKNLKADIEVLQAIRAAHPDCSFILDANEGYKPEEAIEVLEKLHEMGVTPVLFEQPVHRDDWEGLGRVTHFAKSKYGVSVAADESCRSLADVKKIVKGELADVVNIKLAKVGVLGALEIIDLARASGLDLMIGGMVETRLAMGFAGHLAAGLGCFK >KJB08292 pep chromosome:Graimondii2_0_v6:1:7704579:7707257:-1 gene:B456_001G075300 transcript:KJB08292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g18270 [Source:Projected from Arabidopsis thaliana (AT3G18270) UniProtKB/TrEMBL;Acc:Q94K39] MLSQFALSFFGKSPLNSSKPHEPLKFQLPLSNLYVKNSMKAPVTSSSSNFGFKELLETFTVEVQKAENKPLNVPLIAPFTIATSRLDKVENVAIRIELKNGCVGWGEAPILPFVTAEDQPTAMAKAKGACDMLKNCSFLTLEAVLGEIGDLLPGHQFASVRAGIEMALIDAVAKSIGLPLWRLFGGALNTIITDITIPIVSPAEAAALASKYHKQGFKTLKLKVGKNLKADIEVLQAIRAAHPDCSFILDANEGYKPEEAIEVLEKLHEMGVTPVLFEQPVHRDDWEGLGRVTHFAKSKYGVSVAADESCRSLADVKKIVKGELADVVNIKLAKVGVLGALEIIDLARASGLDLMIGGMVETRLAMGFAGHLAAGLGCFKFVDLDTPLLLSEDPVLEGYEVHGAIYKFTNARGQGSFLHWENIE >KJB11043 pep chromosome:Graimondii2_0_v6:1:47608526:47611376:-1 gene:B456_001G237900 transcript:KJB11043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLRHFTARAGNGSGEPILDTDNGEELVHVQPSVSVAVGDRAPESPGTLYITTRQVIWLSELDREKGYAVYFLSLSLHAVSRDPEAYPSPCIYTQIETEADEVEDESEDSDSECSEVLDLSKIREMRFIPSDANQLDTLFQVFCECAELNPEPIEEGEEEGHNWIFSADQLEDEAGAGDDVEWHFSQNPTNTIGHSNGHHDLASSVLELQINDQRFEDAEEMEQDSDTGHHHQSQHLQD >KJB11044 pep chromosome:Graimondii2_0_v6:1:47608831:47611376:-1 gene:B456_001G237900 transcript:KJB11044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLRHFTARAGNGSGEPILDTDNGEELVHVQPSVSVAVGDRAPESPGTLYITTRQVIWLSELDREKGYAVYFLSLSLHAVSRDPEAYPSPCIYTQIETEADEVEDESEDSDSECSEVLDLSKIREMRFIPSDANQLDTLFQVFCECAELNPEPIEEGEEEGHNWIFSADQLEDEAGAGDDVEWHFSQNPTNTIGHSNGHHDLASSVLELQINDQRFEDAEEMEQDSDTGHHHQSQQ >KJB10761 pep chromosome:Graimondii2_0_v6:1:45231431:45233509:1 gene:B456_001G222700 transcript:KJB10761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICYSMFIAPFTTMLSFSTVLVFITFALSHLFKLQWQRNAQKPKQPSLPPGPKPWPIVGNLPEFIINKKKTSVSHWIHSFMKEMNTEIACIRLGNVHVIPVTCPEISLQFMRKQDAIFASRPLTMATDVLSKGHLTTIFTPLGDQWRKMKRVMVSEMLSHERHRWLHEKRVEEADNLVRYVLNQCKNGDEGGLVDLRLVAQHYCCNVTRKLIFNKRYLGEGKADGGPGFEEEEYVHAIFALVFHLYSFCISDYLPFLRGLDLEGHEKIVEDATSVLEKYNNPIIEDRIQQWRDGKKHEPQDLLDVFVSLTDDDNGTPLLSADEIKAQVNEIMIAAVDNPSNNLEWALAEMLNKPETLQKARDELDNVVGKDRLVQESDIPQLNYIKACAREAFRLHPVAPFSPPHVSVTDTTVADYFIPKGSHVIVSRVGLGRNPKVWNEPGEFKPERHLQDCNKGEEVVLEEPDLRLFTFGRGRRGCPGVVLGSSMITMMLGRLLQGFDWSIPINQGTIDLCPGRGVPFLAKPLLAVAKPRLPPMSTLFQLKVKYSD >KJB07578 pep chromosome:Graimondii2_0_v6:1:2873491:2876553:-1 gene:B456_001G030800 transcript:KJB07578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCLSFFFLFLFAFSAIPANSLPTFFPGAAYQSLIKQAKISKPKLPFKTHYFPQTLDHFTFQPKSSKLFYQKYLINSDYWRKGAPIFVYTGNEGNIEWFAANTGFMLDIAPKFKALLVFIEHRFYGKSMPFGKDSYQSAKTLGYLNSQQALADFAVLITSLKQNLSSEASPVVVFGGSYGGMLAAWFRLKYPHIAIGALASSAPILQFDKLIPWSSFYDAVSQDFKDVSLNCYQVIKGSWAELEAMSTQKQALAELSKTFRTCKSLHSTASARDWLWTAFVYTSMVNYPTKADFMKPLPAYPVQKMCKIIDKIPSGATKLSRAFAAASLYYNYSRSENCFKIEHEVDGHGLHGWDWQTCTEMVMPMTCSKESMFPPSGFDYEEFAEQCQMKYGVSPRPHWITTEFGGERIQKVLKRFGSNIIFSNGMQDPWSRGGIISLVTEKGAHHVDFRSATKKDPKWLIQLRKQEVEIIQKWLNEYYADL >KJB07579 pep chromosome:Graimondii2_0_v6:1:2874043:2876279:-1 gene:B456_001G030800 transcript:KJB07579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCLSFFFLFLFAFSAIPANSLPTFFPGAAYQSLIKQAKISKPKLPFKTHYFPQTLDHFTFQPKSSKLFYQKYLINSDYWRKGAPIFVYTGNEGNIEWFAANTGFMLDIAPKFKALLVFIEHRFYGKSMPFGKDSYQSAKTLGYLNSQQALADFAVLITSLKQNLSSEASPVVVFGGSYGGMLAAWFRLKYPHIAIGALASSAPILQFDKLIPWSSFYDAVSQDFKDVSLNCYQVIKGSWAELEAMSTQKQALAELSKTFRTCKSLHSTASARDWLWTAFVYTSMVNYPTKADFMKPLPAYPVQKMCKIIDKIPSGATKLSRAFAAASLYYNYSRSENCFKIEHEVDGHGLHGWDWQTCTEMVMPMTCSKESMFPPSGFDYEEFAEQCQMKYGVSPRPHWITTEFGGERIQKVLKRFGSNIIFSNGMQDPWSRGGVLKNISSSIISLVTEKGAHHVDFRSATKKDPKWLIQLRKQEVEIIQKWLNEYYADL >KJB10637 pep chromosome:Graimondii2_0_v6:1:42732427:42736950:1 gene:B456_001G213900 transcript:KJB10637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGNNSNSSDPDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDETTHKYKGKTVMTESERYESLRHCKWVDEVIPDAPWVIDQEFLDKHNIDYVAHDSLPYADASGAANDVYEFVKAAGKFKETKRTDGISTSDIIMRIVKDYNQYVLRNLDRGYSRKELGVSYVKEKRLRVNMRLKKLQEKVKEQQEKVGEKIQIVAMHRNEWVENADRWVAGFLEMFEEGCHKMGTAIRDRIQERLRGQLLQNGKGDDDDDEEYYYDDDYYDDEDDDDEFYYDDNEYNDEKDKKNEKEKK >KJB10639 pep chromosome:Graimondii2_0_v6:1:42732603:42736947:1 gene:B456_001G213900 transcript:KJB10639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESERYESLRHCKWVDEVIPDAPWVIDQEFLDKHNIDYVAHDSLPYADASGAANDVYEFVTAGKFKETKRTDGISTSDIIMRIVKDYNQYVLRNLDRGYSRKELGVSYVKEKRLRVNMRLKKLQEKVKEQQEKVGEKIQIVAMHRNEWVENADRWVAGFLEMFEEGCHKMGTAIRDRIQERLRGQLLQNGKGDDDDDEEYYYDDDYYDDEDDDDEFYYDDNEYNDEKDKKNEKEKK >KJB10640 pep chromosome:Graimondii2_0_v6:1:42732603:42736947:1 gene:B456_001G213900 transcript:KJB10640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGNNSNSSDPDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDETTHKYKGKTVMTESERYESLRHCKWVDEVIPDAPWVIDQEFLDKHNIDYVAHDSLPYADASGAANDVYEFVKAAGKFKETKRTDGISTSDIIMRIVKDYNQYVLRNLDRGYSRKELGVSYVKRLRVNMRLKKLQEKVKEQQEKVGEKIQIVAMHRNEWVENADRWVAGFLEMFEEGCHKMGTAIRDRIQERLRGQLLQNGKGDDDDDEEYYYDDDYYDDEDDDDEFYYDDNEYNDEKDKKNEKEKK >KJB10638 pep chromosome:Graimondii2_0_v6:1:42732603:42736947:1 gene:B456_001G213900 transcript:KJB10638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGNNSNSSDPDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDETTHKYKGKTVMTESERYESLRHCKWVDEVIPDAPWVIDQEFLDKHNIDYVAHDSLPYADASGAANDVYEFVKAAGKFKETKRTDGISTSDIIMRIVKDYNQYVLRNLDRGYSRKELGVSYVKKRNKIVSASSFY >KJB10642 pep chromosome:Graimondii2_0_v6:1:42733017:42736947:1 gene:B456_001G213900 transcript:KJB10642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESERYESLRHCKWVDEVIPDAPWVIDQEFLDKHNIDYVAHDSLPYADASGAANDVYEFVKAAGKFKETKRTDGISTSDIIMRIVKDYNQYVLRNLDRGYSRKELGVSYVKEKRLRVNMRLKKLQEKVKEQQEKVGEKIQIVAMHRNEWVENADRWVAGFLEMFEEGCHKMGTAIRDRIQERLRGQLLQNGKGDDDDDEEYYYDDDYYDDEDDDDEFYYDDNEYNDEKDKKNEKEKK >KJB10641 pep chromosome:Graimondii2_0_v6:1:42732685:42736168:1 gene:B456_001G213900 transcript:KJB10641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGNNSNSSDPDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDETTHKYKGKTVMTESERYESLRHCKWVDEVIPDAPWVIDQEFLDKHNIDYVAHDSLPYADASGAANDVYEFVKAAGKFKETKRTDGISTSDIIMRIVKDYNQYVLRNLDRGYSRKELGVSYVKEKRLRVNMRLKKLQEKVKEQQEKVGEKVYTNCCYAP >KJB09026 pep chromosome:Graimondii2_0_v6:1:14583220:14584069:1 gene:B456_001G119900 transcript:KJB09026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIAARSSFLRPVLRTATASRISFSVPNSSLRSTSTQLSTLQPVHSAVASACLVSKLPRDANNCAEGRFANYLIPI >KJB07707 pep chromosome:Graimondii2_0_v6:1:3685550:3696866:-1 gene:B456_001G039700 transcript:KJB07707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVKAIQTGTKPSLSSPAPAMAEPHSPWWHSPVPYLFGGLAAILGLIAFALLILGCSYFRLCGLLDTTTGEGADVESGQKDGDSSEQVKVYEEKILVIMAGEERPTFLATPISIKPSCFDDKNGKCEDNEGSEKAKNGEKVKEEVGNERH >KJB11237 pep chromosome:Graimondii2_0_v6:1:50690438:50696846:1 gene:B456_001G249100 transcript:KJB11237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGKVGSLISQGVYSVATPLHPFGGAVDVIVVQEQDGTFRSTPWYVQFGKFQGVLKGAEKVVHIIVNDIEADFHMYLDNSGEAYFTRGVDFCKGNETDGDLKGSDGEVRRGEGNKNIGEFYRLEHSVSDSVVVQLRDEHDFTNLKQLERAESDTRYYEFQDEQSSIDSSVNFSEFGSGRFEGLNGECFNEVQGSDSEVVLVSVDGHILTAPVSASEQGTENVQLSTPQFHLGPGEGPDFCEDNEEFSSGDDIWAADYISKLNSVADKDSSDNICSLNGKSTALSHPEVCENGGEHASQTEETNLSNREGEMNRQSDIEDAAVLDKKDDIFKSCLELSELGGHGIDYKEIVSPSEAQISQDKPPCNPLGDDETEEEAVGNSKNKDVPSSTFSPNSSNNNGSPELQIEGEPVENEVLDTDFMGSNIASVESLSNDHEQKDEQFGASAAIEGINSSQQSPAPEDMTGKSEIMETETTSGKERETQARLGFEISLCGNELHAGMGLDAAAEAFEVHRISHEEYKSNAMSIIENENLIIRFGGKYFPWEKAAPIVLGMAAFGLELDVEAGDAVPVELNESSNTKNNDSIVASTSSGHRWRLWPIPFRKVKTLEHTHSNLSSKEEFVDTESTLPNSQADSTPAFGGKIESPRKQFFRTNVPTSEQIASLNLKAGQNKITFSFSTRVLGTQQVDAHIYLWKWNAKIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVAKLFSAIKENGYQLLFLSARAIVQAYLTRSFLNNLKQDGKALPNGPVVISPNGLFPSLYREVIRRAPHEFKIACLEDIKKLFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISHCIEVKSYTSLHTLVNDMFPPTSLVEQEDFNSWNFWKVPLPDIE >KJB11240 pep chromosome:Graimondii2_0_v6:1:50690858:50696846:1 gene:B456_001G249100 transcript:KJB11240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGKVGSLISQGVYSVATPLHPFGGAVDVIVVQEQDGTFRSTPWYVQFGKFQGVLKGAEKVVHIIVNDIEADFHMYLDNSGEAYFTRGVDFCKGNETDGDLKGSDGEVRRGEGNKNIGEFYRLEHSVSDSVVVQLRDEHDFTNLKQLERAESDTRYYEFQDEQSSIDSSVNFSEFGSGRFEGLNGECFNEVQGSDSEVVLVSVDGHILTAPVSASEQGTENVQLSTPQFHLGPGEGPDFCEDNEEFSSGDDIWAADYISKLNSVADKDSSDNICSLNGKSTALSHPEVCENGGEHASQTEETNLSNREGEMNRQSDIEDAAVLDKKDDIFKSCLELSELGGHGIDYKEIVSPSEAQISQDKPPCNPLGDDETEEEAVGNSKNKDVPSSTFSPNSSNNNGSPELQIEGEPVENEVLDTDFMGSNIASVESLSNDHEQKDEQFGASAAIEGINSSQQSPAPEDMTGKSEIMETETTSGKERETQARLGFEISLCGNELHAGMGLDAAAEAFEVHRISHEEYKSNAMSIIENENLIIRFGGKYFPWEKAAPIVLGMAAFGLELDVEAGDAVPVELNESSNTKNNDSIVASTSSGHRWRLWPIPFRKVKTLEHTHSNLSSKEEFVDTESTLPNSQADSTPAFGGKIESPRKQFFRTNVPTSEQIASLNLKAGQNKITFSFSTRVLGTQQVDAHIYLWKWNAKIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVAKLFSAIKENGYQLLFLSARAIVQAYLTRSFLNNLKQDGKALPNGPVVISPNGLFPSLYREVIRRAPHEFKIACLEDIKKLFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISHCIEVKSYTSLHTLVNDMFPPTSLVEQEDFNSWNFWKVPLPDIE >KJB11239 pep chromosome:Graimondii2_0_v6:1:50690163:50696846:1 gene:B456_001G249100 transcript:KJB11239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGKVGSLISQGVYSVATPLHPFGGAVDVIVVQEQDGTFRSTPWYVQFGKFQGVLKGAEKVVHIIVNDIEADFHMYLDNSGEAYFTRGVDFCKGNETDGDLKGSDGEVRRGEGNKNIGEFYRLEHSVSDSVVVQLRDEHDFTNLKQLERAESDTRYYEFQDEQSSIDSSVNFSEFGSGRFEGLNGECFNEVQGSDSEVVLVSVDGHILTAPVSASEQGTENVQLSTPQFHLGPGEGPDFCEDNEEFSSGDDIWAADYISKLNSVADKDSSDNICSLNGKSTALSHPEVCENGGEHASQTEETNLSNREGEMNRQSDIEDAAVLDKKDDIFKSCLELSELGGHGIDYKEIVSPSEAQISQDKPPCNPLGDDETEEEAVGNSKNKDVPSSTFSPNSSNNNGSPELQIEGEPVENEVLDTDFMGSNIASVESLSNDHEQKDEQFGASAAIEGINSSQQSPAPEDMTGKSEIMETETTSGKERETQARLGFEISLCGNELHAGMGLDAAAEAFEVHRISHEEYKSNAMSIIENENLIIRFGGKYFPWEKAAPIVLGMAAFGLELDVEAGDAVPVELNESSNTKNNDSIVASTSSGHRWRLWPIPFRKVKTLEHTHSNLSSKEEFVDTESTLPNSQADSTPAFGGKIESPRKQFFRTNVPTSEQIASLNLKAGQNKITFSFSTRVLGTQQVDAHIYLWKWNAKIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVAKLFSAIKENGYQLLFLSARAIVQAYLTRSFLNNLKQDGKALPNGPVVISPNGLFPSLYREVIRRAPHEFKIACLEDIKKLFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISHCIEVKSYTSLHTLVNDMFPPTSLVEQEDFNSWNFWKVPLPDIE >KJB11238 pep chromosome:Graimondii2_0_v6:1:50691108:50696846:1 gene:B456_001G249100 transcript:KJB11238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGKVGSLISQGVYSVATPLHPFGGAVDVIVVQEQDGTFRSTPWYVQFGKFQGVLKGAEKVVHIIVNDIEADFHMYLDNSGEAYFTRGVDFCKGNETDGDLKGSDGEVRRGEGNKNIGEFYRLEHSVSDSVVVQLRDEHDFTNLKQLERAESDTRYYEFQDEQSSIDSSVNFSEFGSGRFEGLNGECFNEVQGSDSEVVLVSVDGHILTAPVSASEQGTENVQLSTPQFHLGPGEGPDFCEDNEEFSSGDDIWAADYISKLNSVADKDSSDNICSLNGKSTALSHPEVCENGGEHASQTEETNLSNREGEMNRQSDIEDAAVLDKKDDIFKSCLELSELGGHGIDYKEIVSPSEAQISQDKPPCNPLGDDETEEEAVGNSKNKDVPSSTFSPNSSNNNGSPELQIEGEPVENEVLDTDFMGSNIASVESLSNDHEQKDEQFGASAAIEGINSSQQSPAPEDMTGKSEIMETETTSGKERETQARLGFEISLCGNELHAGMGLDAAAEAFEVHRISHEEYKSNAMSIIENENLIIRFGGKYFPWEKAAPIVLGMAAFGLELDVEAGDAVPVELNESSNTKNNDSIVASTSSGHRWRLWPIPFRKVKTLEHTHSNLSSKEEFVDTESTLPNSQADSTPAFGGKIESPRKQFFRTNVPTSEQIASLNLKAGQNKITFSFSTRVLGTQQVDAHIYLWKWNAKIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVAKLFSAIKENGYQLLFLSARAIVQAYLTRSFLNNLKQDGKALPNGPVVISPNGLFPSLYREVIRRAPHEFKIACLEDIKKLFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISHCIEVKSYTSLHTLVNDMFPPTSLVEQEDFNSWNFWKVPLPDIE >KJB11602 pep chromosome:Graimondii2_0_v6:1:54490226:54491142:-1 gene:B456_001G267900 transcript:KJB11602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRFRLVMAMQRTIQRIHSLVDTPRLKSFSLNAPKSVDVEYANGIKFSLSAEFLRVYSPAADGKIRSIGSEKVISGRRHVGIMSAEPVGNYGVRYLL >KJB11600 pep chromosome:Graimondii2_0_v6:1:54489481:54491235:-1 gene:B456_001G267900 transcript:KJB11600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRFRLVMAMQRTIQRIHSLVDTPRLKSFSLNAPKSVDVEYANGIKFSLSAEFLRVYSPAADGKIRSIGSEKVISGRRHVGIMSAEPVGNYGDSFR >KJB11601 pep chromosome:Graimondii2_0_v6:1:54489621:54491154:-1 gene:B456_001G267900 transcript:KJB11601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRFRLVMAMQRTIQRIHSLVDTPRLKSFSLNAPKSVDVEYANGIKFSLSAEFLRVYSPAADGKIRSIGSEKVISGRRHVGIMSAEPVGNYGVRIVFDDLHKTGIYSWDYFFNLGSNKFTLMRNYIKTLKKHGLSRDPSKRKSSKDL >KJB11603 pep chromosome:Graimondii2_0_v6:1:54489621:54491154:-1 gene:B456_001G267900 transcript:KJB11603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRFRLVMAMQRTIQRIHSLVDTPRLKSFSLNAPKSVDVEYANGIKFSLSAEFLRVYSPAADGKIRSIGSEKVYIWLHLTALIEHKALSNLNVYFMMLSLF >KJB10252 pep chromosome:Graimondii2_0_v6:1:32078477:32082009:-1 gene:B456_001G191700 transcript:KJB10252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANKAEALKAKEIAEKRFGERDFKGAKNYAIKAKALYPELEGISQMVSTFEVYVAWETKCNGEIDYYSILGLKPFADKEAVKKQYRKMAVLLHPDKNKCVGADGAFKLVSEAWTLLSDKIKKSAYDIKRNKKMPSGVVQTPTYASGVTGVSNSLNSTSQGRLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGTFIAVETGSAPVNGSFPYCPWSYVPNNGYASHGYDGVTYIPTNAAAFTGNVVSGYHSGHGYDYVSNMSFQWSSFSGTSTGIMSLNGVSAISTDSVYQTNREGRGAGSKVKSSANGKHSMKNIVTPTIPNLFNGYNDSSGSKTGGVEKKRKVIVNSDFKSGYVDKGLKPSEAGLANGHGVEPDPKLSNPSEPPNRRCLTQPMFDARKLLIDKARTEIRKKLEEIRLASEAAAAASAVKLGIEGVQLPAAGKAPKISDLTFSVHQLASNKSAPVSITVPDSDFHDFDKDRSEECFKPKQIWALYDEDDGMPRLYCLIRQVVSVKPFKILITYLSSKTDNEFGSVNWVDSGFSKSCGHFRAWNSDIIDQVNIFSHLLRGEKAGRGGCVRIFPKSGDIWAVYRNWSPDWNRLTPDDVRHQYEMVEVLDGYSEELGVCVTPLVKLVGFKTVYQRNTNKDAIRWIPRKEMFRFSHQVPSWLLQGESGDLPNNCWDLDPAATPDELLHAATEAKA >KJB10251 pep chromosome:Graimondii2_0_v6:1:32078308:32081827:-1 gene:B456_001G191700 transcript:KJB10251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANKAEALKAKEIAEKRFGERDFKGAKNYAIKAKALYPELEGISQMVSTFEVYVAWETKCNGEIDYYSILGLKPFADKEAVKKQYRKMAVLLHPDKNKCVGADGAFKLVSEAWTLLSDKIKKSAYDIKRNKKMPSGVVQTPTYASGVTGVSNSLNSTSQGRLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGTFIAVETGSAPVNGSFPYCPWSYVPNNGYASHGYDGVTYIPTNAAAFTGNVVSGYHSGHGYDYVSNMSFQWSSFSGTSTGIMSLNGVSAISTDSVYQTNREGRGAGSKVKSSANGKHSMKNIVTPTIPNLFNGYNDSSGSKTGGVEKKRKVIVNSDFKSGYVDKGLKPSEAGLANGHGVEPDPKLSNPSEPPNRRCLTQPMFDARKLLIDKARTEIRKKLEEIRLASEAAAAASAVKLGIEGVQLPAAGKAPKISDLTFSVHQLASNKSAPVSITVPDSDFHDFDKDRSEECFKPKQIWALYDEDDGMPRLYCLIRQGLEF >KJB07877 pep chromosome:Graimondii2_0_v6:1:4747918:4750995:-1 gene:B456_001G050000 transcript:KJB07877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAIRVHQLGGPQVLKWEDVELGEPREGEIRIKNKAVGLNFIDIYFRKGVYKAATMPFTPGMEVVGEVTAVGPGLTGRKVGDIVAYAGDRMGSYAEEQILPADKVVPVPPSVDPIIAASIMLKGMTAQYLVRRCFKVEPGHTVLVHAAAGGTGSLLCQWANALGATVIGTVSTKEKAAQAKEDGCHHVIIYTEEDFVSRVNEMTSGKGVEVVYDSVGKNTFEGSLACLKPRGYMVSFGQSSGTPDPVPLSALAPKSLFLTRPSMMQYTSTRDELLETAGEVFANVASGVLRVRVNHKYPLSEASQAHADLENRRTTGSIVLIP >KJB07878 pep chromosome:Graimondii2_0_v6:1:4748142:4750728:-1 gene:B456_001G050000 transcript:KJB07878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAIRVHQLGGPQVLKWEDVELGEPREGEIRIKNKAVGLNFIDIYFRKGVYKAATMPFTPGMEVVGEVTAVGPGLTGRKVGDIVAYAGDRMGSYAEEQILPADKVVPVPPSVDPIIAASIMLKGMTAQYLVRRCFKVEPGHTVLVHAAAGGTGSLLCQWANALGATVIGTVSTKEKAAQAKEDGCHHVIIYTEEDFVSRVNEMTSGKGVEVVYDSVGKNTFEVITVLRLMLYHLLCSFLLLG >KJB07876 pep chromosome:Graimondii2_0_v6:1:4748270:4749717:-1 gene:B456_001G050000 transcript:KJB07876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKGMEVVGEVTAVGPGLTGRKVGDIVAYAGDRMGSYAEEQILPADKVVPVPPSVDPIIAASIMLKGMTAQYLVRRCFKVEPGHTVLVHAAAGGTGSLLCQWANALGATVIGTVSTKEKAAQAKEDGCHHVIIYTEEDFVSRVNEMTSGKGVEVVYDSVGKNTFEGSLACLKPRGYMVSFGQSSGTPDPVPLSALAPKSLFLTRPSMMQYTSTRDELLETAGEVFANVASGVLRVRVNHKYPLSEASQAHADLENRRTTGSIVLIP >KJB11552 pep chromosome:Graimondii2_0_v6:1:54090372:54091266:1 gene:B456_001G264800 transcript:KJB11552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKVIEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDISAIAVDSIEVDKETIDMLSLLGMADLPGIVKVDPVAVQVPQVGFGRGGGPGRRF >KJB06993 pep chromosome:Graimondii2_0_v6:1:6723766:6727222:-1 gene:B456_001G067100 transcript:KJB06993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYKAKTNIEVNGQKGDGGIKNHGVNDFMAQKNPIQGASYTASPSEVERRKEENKVHDMSETFLASDAPELVVFIQESDYQSIKDIFIDREVPSRNRLKYKGIYSKYNTDVNGDSEEPGKSLSILSSISNEIEQDFQNYARKRHALEDLMKDDDDEDSEGRDVHSHDKTTMSNLEEFLQGSECQQPHKTEGLPRSLTGLSQSIVNKMASGDCGSIAASPIPCSGSMSLRSSSSTASSHSFAFPILPTEWNGSPVRMAEADPRRPKKHQSWKTCFLC >KJB06994 pep chromosome:Graimondii2_0_v6:1:6724705:6727222:-1 gene:B456_001G067100 transcript:KJB06994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYKAKTNIEVNGQKGDGGIKNHGVNDFMAQKNPIQGASYTASPSEVERRKEENKVHDMSETFLASDAPELVVFIQESDYQSIKDIFIDREVPSRNRLKYKGIYSKYNTDVNGDSEEPGKSLSILSSISNEIEQDFQNYARKRHALEDLMKDDDDEDSEGRDVHSHDKTTMSNLEEFLQGSECQQPHKTEGLPRSLTGLSQSIVNKMASGDCGSIAASPIPCSGSMSLRSSSSTASSHSFAFPILPTEWNGSPVRMAEADPRRPKKHQSWKTCFLCCMWLFNCFFCTNSNSNSSYLIQFSFVFGGSYSILMSEYMQDNQVFINAGPESGVGLSLSEMDMDRDMRSHG >KJB06991 pep chromosome:Graimondii2_0_v6:1:6723696:6727085:-1 gene:B456_001G067100 transcript:KJB06991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYKAKTNIEVNGQKGDGGIKNHGVNDFMAQKNPIQGASYTASPSEVERRKEENKVHDMSETFLASDAPELVVFIQESDYQSIKDIFIDREVPSRNRLKYKGIYSKYNTDVNGDSEEPGKSLSILSSISNEIEQDFQNYARKRHALEDLMKDDDDEDSEGRDVHSHDKTTMSNLEEFLQGSECQQPHKTEGLPRSLTGLSQSIVNKMASGDCGSIAASPIPCSGSMSLRSSSSTASSHSFAFPILPTEWNGSPVRMAEADPRRPKKHQSWKTCFLC >KJB06992 pep chromosome:Graimondii2_0_v6:1:6723766:6726281:-1 gene:B456_001G067100 transcript:KJB06992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYKAKTNIEVNGQKGDGGIKNHGVNDFMAQKNPIQGASYTASPSEVERRKEENKVHDMSETFLASDAPELVVFIQESDYQSIKDIFIDREVPSRNRLKYKGIYSKYNTDVNGDSEEPGKSLSILSSISNEIEQDFQNYARKRHALEDLMKDDDDEDSEGRDVHSHDKTTMSNLEEFLQGSECQQPHKTEGLPRSLTGLSQSIVNKMASGDCGSIAASPIPCSGSMSLRSSSSTASSHSFAFPILPTEWNGSPVRMAEADPRRPKKHQSWKTCFLC >KJB07017 pep chromosome:Graimondii2_0_v6:1:7788117:7806325:-1 gene:B456_001G075800 transcript:KJB07017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLFEYSSDSCMERILERYERYSYAERQLAANENERTGSWTLEHAKLKARMEVLQRNQRHYMGEDLENLSLRELQNLEHQLDSALKHIRSRKNQLMFESISELQKKVTLQEQNNVLAKKVKEKEKEKEKEKEKEMTHQPQQNNCQDSSSMLPQPLQSLNISDTYEARSNGREEGNPSAAQHRNSNVLLPPWMIPRIE >KJB07015 pep chromosome:Graimondii2_0_v6:1:7788073:7806421:-1 gene:B456_001G075800 transcript:KJB07015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLFEYSSDSCMERILERYERYSYAERQLAANENERTGSWTLEHAKLKARMEVLQRNQRHYMGEDLENLSLRELQNLEHQLDSALKHIRSRKNQLMFESISELQKKDKALQEQNNVLAKKVKEKEKEKEKEKEKEMTHQPQQNNCQDSSSMLPQPLQSLNISDTYEARSNGREEGNPSAAQHRNSNVLLPPWMIPRIE >KJB07014 pep chromosome:Graimondii2_0_v6:1:7788073:7806393:-1 gene:B456_001G075800 transcript:KJB07014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLFEYSSDSCMERILERYERYSYAERQLAANENERTGSWTLEHAKLKARMEVLQRNQRHYMGEDLENLSLRELQNLEHQLDSALKHIRSRKNQLMFESISELQKKDKALQEQNNVLAKKEKEKEKEMTHQPQQNNCQDSSSMLPQPLQSLNISDTYEARSNGREEGNPSAAQHRNSNVLLPPWMIPRIE >KJB07016 pep chromosome:Graimondii2_0_v6:1:7788117:7806325:-1 gene:B456_001G075800 transcript:KJB07016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLFEYSSDSCMERILERYERYSYAERQLAANENERTGSWTLEHAKLKARMEVLQRNQRHYMGEDLENLSLRELQNLEHQLDSALKHIRSRKNQLMFESISELQKKDKALQEQNNVLAKKKEKEKEKEMTHQPQQNNCQDSSSMLPQPLQSLNISDTYEARSNGREEGNPSAAQHRNSNVLLPPWMIPRIE >KJB11028 pep chromosome:Graimondii2_0_v6:1:47603109:47604682:-1 gene:B456_001G237800 transcript:KJB11028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSSSTPVLGSLVSSIAADSPSNNNHYYETSSPFRHYPSSSFHGHTHHNRLSFHPSPGSVHLSTVVYCGSSPVSPSVVDQFSDFELKGFRRAQSEGNLEGLAHAACDGNDEFYERNQPKKFSARHNNKCLMLQTIPSFSFYKSGARCEEEDEEEEEESDFEEEEEVLNENEERLMAASGSHGFNAISMENILLKEQVKEYVGVGQEMFLPRGLGIGTTTDGGISGGSGGGWGGGGGGGGGEFNSGGKNGDGGDNHEVEEYYKRMVEENPGNPLFLGNYARFLYQVRVFITSLQSI >KJB11027 pep chromosome:Graimondii2_0_v6:1:47602540:47604891:-1 gene:B456_001G237800 transcript:KJB11027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSSSTPVLGSLVSSIAADSPSNNNHYYETSSPFRHYPSSSFHGHTHHNRLSFHPSPGSVHLSTVVYCGSSPVSPSVVDQFSDFELKGFRRAQSEGNLEGLAHAACDGNDEFYERNQPKKFSARHNNKCLMLQTIPSFSFYKSGARCEEEDEEEEEESDFEEEEEVLNENEERLMAASGSHGFNAISMENILLKEQVKEYVGVGQEMFLPRGLGIGTTTDGGISGGSGGGWGGGGGGGGGEFNSGGKNGDGGDNHEVEEYYKRMVEENPGNPLFLGNYARFLYQSKRDLQGAEEYYSRAILVDPKDGETLSQYAKLVWELHRDEERASSYFERAVQVSPQDSHVHAAYASFLWETEEDGDECAAPSEIDTIPTQFHQGTLASA >KJB08846 pep chromosome:Graimondii2_0_v6:1:12283800:12284294:-1 gene:B456_001G107700 transcript:KJB08846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSSAPALAPQPPKWNPLLILSVAIVSIIFMLLSSYSVLRRLCREFNAAIFPRNQLQSRILSDQSNFDHPSSQTQSNALESTVLNSLPISQLKKGNKELPWPSNTDCAVCLAEFEEGEWLKHLPSCTHAFHVSCIDTWFRSHSSCPLCRSSVFNLTERPECSV >KJB10564 pep chromosome:Graimondii2_0_v6:1:40926047:40931090:1 gene:B456_001G207700 transcript:KJB10564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDLNDYTIIKEGEAEILMHAKNEVFYNKTQVNNRDMSIAVLRTFISRWKQEHEALLSKRNKSAAKLPENNVTVSEVDDTLNNSDVNTEKSNEECEGPAEKSQDDTCTTSEEPVKTEGKVRGELKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDKGAVEACQRNIKFNGSVACSKVESHLADARVYMLTNPKEFDVVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLACIESHANRYKRYIVPVLSVQMDFYVRVFVRIYTSASAMKNTPLKLSYVYQCTGCDAFHLQPIGRTVSKNTSVRYLPGFAPVVPQECSHCQKKFNMGGPIWSAPIHDQEWVTNILSDVKSMKDRYPAYDRISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISGTHVNPLGLKSDAPMEVIWDIMRCWVKNHPVKAQPADQPGSVILAKEPVLQANFARAVASLSKAQAKKVARFLPNPERHWGPKLRAGRQITSKHISLLGEEKVNGCLSHQDSELDAKRQKIEKLEDAPAES >KJB10563 pep chromosome:Graimondii2_0_v6:1:40926021:40931208:1 gene:B456_001G207700 transcript:KJB10563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDLNDYTIIKEGEAEILMHAKNEVFYNKTQVNNRDMSIAVLRTFISRWKQEHEALLSKRNKSAAKLPENNVTVSEVDDTLNNSDVNTEKSNEECEGPAEKSQDDTCTTSEEPVKTEGKVRGELKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDKGAVEACQRNIKFNGSVACSKVESHLADARVYMLTNPKEFDVVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLACIESHANRYKRYIVPVLSVQMDFYVRVFVRIYTSASAMKNTPLKLSYVYQCTGCDAFHLQPIGRTVSKNTSVRYLPGFAPVVPQECSHCQKKFNMGGPIWSAPIHDQEWVTNILSDVKSMKDRYPAYDRISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISGTHVNPLGLKSDAPMEVIWDIMRCWVKNHPVKAQPADQPGSVILAKEPVLQANFARAVASLSKAQAKKVARFLPNPERHWGPKLRAGRQITSKHISLLGEEKVNGCLSHQDSELDAKRQKIEKLEDAPAES >KJB10565 pep chromosome:Graimondii2_0_v6:1:40926132:40930972:1 gene:B456_001G207700 transcript:KJB10565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDLNDYTIIKEGEAEILMHAKNEVFYNKTQVNNRDMSIAVLRTFISRWKQEHEALLSKRNKSAAKLPENNVTVSEVDDTLNNSDVNTEKSNEECEGPAEKSQDDTCTTSEEPVKTEGKVRGELKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDKGAVEACQRNIKFNGSVACSKVESHLADARVYMLTNPKEFDVVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLACIESHANRYKRYIVPVLSVQMDFYVRVFVRIYTSASAMKNTPLKLSYVYQCTGCDAFHLQPIGRTVSKNTSVRYLPGFAPVVPQECSHCQKKFNMGGPIWSAPIHDQEWVTNILSDVKSMKDRYPAYDRISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISGTHVNPLGLKSDAPMEVIWDIMRCWVKNHPVKAQPADQPGSVILAKEPVLQANFARAVASLSKAQAKKVARFLPNPERHWGPKLRAGRQITSKHISLLGEEKVNGCLSHQDSELDAKRQKIEKLEDAPAES >KJB10566 pep chromosome:Graimondii2_0_v6:1:40927341:40931015:1 gene:B456_001G207700 transcript:KJB10566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPIHIHTNTVCLLAGAVEACQRNIKFNGSVACSKVESHLADARVYMLTNPKEFDVVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLACIESHANRYKRYIVPVLSVQMDFYVRVFVRIYTSASAMKNTPLKLSYVYQCTGCDAFHLQPIGRTVSKNTSVRYLPGFAPVVPQECSHCQKKFNMGGPIWSAPIHDQEWVTNILSDVKSMKDRYPAYDRISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISGTHVNPLGLKSDAPMEVIWDIMRCWVKNHPVKAQPADQPGSVILAKEPVLQANFARAVASLSKAQAKKVARFLPNPERHWGPKLRAGRQITSKHISLLGEEKVNGCLSHQDSELDAKRQKIEKLEDAPAES >KJB09633 pep chromosome:Graimondii2_0_v6:1:21586717:21588801:1 gene:B456_001G153700 transcript:KJB09633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAVWQRTANRCFVQEDAKRAPKLACCQSSSHCKQADSSPTGVADARDHPAVDLNALNRNPSYSNLPPDMRWWLQLQPSYGPQKGLKNEQLYALEDEVESLKGEIKSPSNVSRVQPHDAQDASGVDRKRNNGGSLDSTETVRNYELLEMESVECHVSKKINDCCYDPESPWAGDGKAEPWWRTTDKDELASLVAQKSLDFIENCDLPPPQKMHVRRYLCASSGSYGGNRKSEAGPMLGPILNAQGSPDSVRTLGRKMQCASSTPFRTIQKDIIEEVTETDPTTAQLLDALRRSQTRAREAEKAAKQAYKEKEHVIKLFFKQASQLFAYKQWFQILQLEALFVHTKDNGQPVSPQFPVVLPPTSYNSRKLRKRQKTGKGNRGKRGLPRPDLTKYVVAFALGLSLVGAGLLLGWTVGWMFPF >KJB09632 pep chromosome:Graimondii2_0_v6:1:21586134:21588801:1 gene:B456_001G153700 transcript:KJB09632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAVWQRTANRCFVQEDAKRAPKLACCQSSSHCKQADSSPTGVADARDHPAVDLNALNRNPSYSNLPPDMRWWLQLQPSYGPQKGLKNEQLYALEDEVESLKGEIKSPSNVSRVQPHDAQDASGVDRKRNNGGSLDSTETVRNYELLEMESVECHVSKKINDCCYDPESPWAGDGKAEPWWRTTDKDELASLVAQKSLDFIENCDLPPPQKMHVRRYLCASSGSYGGNRKSEAGPMLGPILNAQGSPDSVRTLGRKMQCASSTPFRTIQKDIIEEVTETDPTTAQLLDALRRSQTRAREAEKAAKQAYKEKEHVIKLFFKQASQLFAYKQWFQILQLEALFVHTKDNGQPVSPQFPVVLPPTSYNSRKLRKRQKTGKGNRGKRGLPRPDLTKYVVAFALGLSLVGAGLLLGWTVGWMFPF >KJB09634 pep chromosome:Graimondii2_0_v6:1:21585875:21588946:1 gene:B456_001G153700 transcript:KJB09634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAVWQRTANRCFVQEDAKRAPKLACCQSSSHCKQADSSPTGVADARDHPAVDLNALNRNPSYSNLPPDMRWWLQLQPSYGPQKGLKNEQLYALEDEVESLKGEIKSPSNVSRVQPHDAQDASGVDRKRNNGGSLDSTETVRNYELLEMESVECHVSKKINDCCYDPESPWAGDGKAEPWWRTTDKDELASLVAQKSLDFIENCDLPPPQKMHVRRYLCASSGSYGGNRKSEAGPMLGPILNAQGSPDSVRTLGRKMQCASSTPFRTIQKDIIEEVTETDPTTAQLLDALRRSQTRAREAEKAAKQAYKEKEHVIKLFFKQASQLFAYKQWFQILQLEALFVHTKDNGQPVSPQFPVVLPPTSYNSRKLRKRQKTGKGNRGKRGLPRPDLTKYVVAFALGLSLVGAGLLLGWTVGWMFPF >KJB07701 pep chromosome:Graimondii2_0_v6:1:3601732:3602836:1 gene:B456_001G038300 transcript:KJB07701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAFSRSITPSLVLLFIFLSFAQGKEIMVGGKTGAWKIPSSESDSLNKWAEKARFQIGDSLVWKYDGGKDSVLQVSKEDYTSCNTSNPIADYKDGNTKVKLEKSGPYFFMSGAKGHCEQGQKMIVVVMSQKHRYIGISPAPSPVDFEGPAVAPTSGVAVLKAGLLATVGVLVLGLF >KJB07490 pep chromosome:Graimondii2_0_v6:1:10050527:10051300:1 gene:B456_001G091300 transcript:KJB07490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTVKGRGLRSCKATMTTMMMAMVLLLGQEASAFSATASNNNNSSNLASHCASTMEECLVDIGHADHVFFADPETSLQKVHPNFIDVSKNKLSTPDDKCGRPRPGQPYYPCVPDPNDSKKGEHCKGIYKDSNRNRGCK >KJB11033 pep chromosome:Graimondii2_0_v6:1:47551419:47553119:1 gene:B456_001G237500 transcript:KJB11033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPRKSLSLLFVSLFSVTIFGGGSFTFFTIAADDTNLVFKGCANQKFQDPSGVYLQNLKNLMSTLVSQSSQKTFSTTSSGEDPYAIMGLYQCRGDLTPSQCYTCVSKIPEMSDKLCGSAVAARVQLSGCYLRYEVVGFKQVPGTEFLYKVCGSSRARGTEFESSRDAAFNMAENGVKSGGGGGGGGSSLFYTGNYQSVYVLGQCEGDLAASDCGDCVKSAFETAKDDCGDSVSGQVYLHKCYISYSYYSNGVPTISSPSGPSLHLDLSALFFSLKIK >KJB11035 pep chromosome:Graimondii2_0_v6:1:47551419:47553859:1 gene:B456_001G237500 transcript:KJB11035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPRKSLSLLFVSLFSVTIFGGGSFTFFTIAADDTNLVFKGCANQKFQDPSGVYLQNLKNLMSTLVSQSSQKTFSTTSSGEDPYAIMGLYQCRGDLTPSQCYTCVSKIPEMSDKLCGSAVAARVQLSGCYLRYEVVGFKQVPGTEFLYKVCGSSRARGTEFESSRDAAFNMAENGVKSGGGGGGGGSSLFYTGNYQSVYVLGQCEGDLAASDCGDCVKSAFETAKDDCGDSVSGQVYLHKCYISYSYYSNGVPTISSPSATGTGAGTGTGTKQHAQRTVAIAVGGVAALGFIIVCLMFLKSVSKKRRSKY >KJB11034 pep chromosome:Graimondii2_0_v6:1:47551995:47552828:1 gene:B456_001G237500 transcript:KJB11034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPRKSLSLLFVSLFSVTIFGGGSFTFFTIAADDTNLVFKGCANQKFQDPSGVYLQNLKNLMSTLVSQSSQKTFSTTSSGEDPYAIMGLYQCRGDLTPSQCYTCVSKIPEMSDKLCGSAVAARVQLSGCYLRYEVVGFKQVPGTEFLYKVCGSSRARGTEFESSRDAAFNMAENGVKSGGGGGGGGSSLFYTGNYQSVYVLGQCEGDLAASDCGDCVKSAFETAKDDCGDSVSGQVYLHKCYISYSYYSNGVPTISSPSGKAVDKRKKGKIIYLSH >KJB06401 pep chromosome:Graimondii2_0_v6:1:14724704:14728150:1 gene:B456_001G120900 transcript:KJB06401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSQPTMEETILVGDDLMMGPPSPVIPQEIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDELALYRQCAEKRDKELRQRLQDSERKLGLSMPFDQAKERASQLESEVTSLERRLILASGIEGIEGFRQRWSLHGRLTDTKKRLESLKLGMEKRKEDEPSKTSTRKSGFFW >KJB06404 pep chromosome:Graimondii2_0_v6:1:14725673:14727412:1 gene:B456_001G120900 transcript:KJB06404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSQPTMEETILVGDDLMMGPPSPVIPQEIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDELALYRQCAEKRDKELRQRLQDSERKLGLSMPFDQAKERASQLESEVTSLERRLILASGIEGIEGFRQRWSLHGRLTDTK >KJB06403 pep chromosome:Graimondii2_0_v6:1:14724793:14727867:1 gene:B456_001G120900 transcript:KJB06403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLKVSSYVMGSYGIYFCINDIEPFCQDELALYRQCAEKRDKELRQRLQDSERKLGLSMPFDQAKERASQLESEVTSLERRLILASGIEGIEGFRQRWSLHGRLTDTKKRLESLKLGMEKRKEDEPSKTSTRKSGFFW >KJB06405 pep chromosome:Graimondii2_0_v6:1:14724793:14727867:1 gene:B456_001G120900 transcript:KJB06405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSQPTMEETILVGDDLMMGPPSPVIPQEIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDELALYRQCAEKRDKELRQRLQDSERKLGLSMPFDQAKERASQLESEVTSLERRLILASGIEGIEGFRQRWSLHGRLTDTKKRLESLKLGMEKRKEDEPSKTSTRKSGFFW >KJB06402 pep chromosome:Graimondii2_0_v6:1:14724039:14727867:1 gene:B456_001G120900 transcript:KJB06402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSQPTMEETILVGDDLMMGPPSPVIPQEIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDELALYRQCAEKRDKELRQRLQDSERKLGLSMPFDQAKERASQLESEVTSLERRLILASGIEGIEGFRQRWSLHGRLTDTKKRLESLKLGMEKRKEDEPSKTSTRKSGFFW >KJB09473 pep chromosome:Graimondii2_0_v6:1:19654477:19661909:-1 gene:B456_001G144900 transcript:KJB09473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHRDRGERFNDHPPLNPTPWYTNAQRPNFPPDYHHQHHYHPYNHHHNPQQFDYCPTQQQFDHLPAQQQFDHRPTQQPFEHRPTQQQFDHRPNQQPFEHRPNQQPFEHRPNQQQFDHRPTQQQFEHQSSQQQFEHQPGNQHHFERFPEQHQQPISEQNDAFWSNGGNGPNAGRKRGFHDSGRGASPDHNEGSSLAKLYIATVPKTATEESIRFMFQEHGNVVEVIQPKDKKTGERHGYCFVKYAMFEEAERAIAALNNRYMFPGESTTIKVRYADAERDRPGPLPDKLYVGCLNKQASKREIEEIFSHYGHVLDVFIMRDEHREHRGCGFVQFSQRDMAQAAIRGLSGIFTMKGCDQPLIVRSANPKRPRNGEPRGNYAFNSMPSGPHLQELAMRSMPNLGDSMAGHIPPNASYPGQHISTNPQPQGVSHWANPQVAACHVTYQSYPPVQQAHSQPTSLPSQQTQTLQGSSQSSHPADSEQKQQPLIPPASQVPSQQNGNVPKLESPQTGSSQPIAATSVVPIVPQSLETVALQESDWSEHTCPEGNKYYYNCVTCESRWNKPEEFALFEKLLQKQQKVQNPSQHIQPDSTGPSVKQVSQSQEVQLQTYPMHLKLEVEQPFSTLGMDHMEIKSETSPVVDLTCV >KJB09476 pep chromosome:Graimondii2_0_v6:1:19655846:19661790:-1 gene:B456_001G144900 transcript:KJB09476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHRDRGERFNDHPPLNPTPWYTNAQRPNFPPDYHHQHHYHPYNHHHNPQQFDYCPTQQQFDHLPAQQQFDHRPTQQPFEHRPTQQQFDHRPNQQPFEHRPNQQPFEHRPNQQQFDHRPTQQQFEHQSSQQQFEHQPGNQHHFERFPEQHQQPISEQNDAFWSNGGNGPNAGRKRGFHDSGRGASPDHNEGSSLAKLYIATVPKTATEESIRFMFQEHGNVVEVIQPKDKKTGERHGYCFVKYAMFEEAERAIAALNNRYMFPGESTTIKVRYADAERDRPGPLPDKLYVGCLNKQASKREIEEIFSHYGHVLDVFIMRDEHREHRGCGFVQFSQRDMAQAAIRGLSGIFTMKGCDQPLIVRSANPKRPRNGEPRGNYAFNSMPSGPHLQELAMRSMPNLGDSMAGHIPPNASYPGQHISTNPQPQGVSHWANPQVAACHVTYQSYPPVQQAHSQPTSLPSQQTQTLQGSSQSSHPADSEQKQQPLIPPASQVPSQQNGNVPKLESPQTGSSQPIAATSVVPIVPQSLETVALQESDWSEHTCPEGNKYYYNCVTCESRWNKPEEFALFEKLLQKQQKVQNPSQHIQPDSTGPSVKQVSQSQEVQLQTYPMHLKLEVEQPFSTLGMDHMEIKSETSPVVDLTCV >KJB09474 pep chromosome:Graimondii2_0_v6:1:19654571:19661909:-1 gene:B456_001G144900 transcript:KJB09474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHRDRGERFNDHPPLNPTPWYTNAQRPNFPPDYHHQHHYHPYNHHHNPQQFDYCPTQQQFDHLPAQQQFDHRPTQQPFEHRPTQQQFDHRPNQQPFEHRPNQQPFEHRPNQQQFDHRPTQQQFEHQSSQQQFEHQPGNQHHFERFPEQHQQPISEQNDAFWSNGGNGPNAGRKRGFHDSGRGASPDHNEGSSLAKLYIATVPKTATEESIRFMFQEHGNVVEVIQPKDKKTGERHGYCFVKYAMFEEAERAIAALNNRYMFPGESTTIKVRYADAERDRPGPLPDKLYVGCLNKQASKREIEEIFSHYGHVLDVFIMRDEHREHRGCGFVQFSQRDMAQAAIRGLSGIFTMKGCDQPLIVRSANPKRPRNGEPRGNYAFNSMPSGPHLQELAMRSMPNLGDSMAGHIPPNASYPGQHISTNPQPQGVSHWANPQVAACHVTYQSYPPVQQAHSQPTSLPSQQTQTLQGSSQSSHPADSEQKQQPLIPPASQVPSQQNGNVPKLESPQTGSSQPIAATSVVPIVPQSLETVALQESDWSEHTCPEGNKYYYNCVTCESRWNKPEEFALFEKLLQKQQKVQNPSQHIQPDSTGPSVKQVSQSQEGMDHMEIKSETSPVVDLTCV >KJB09475 pep chromosome:Graimondii2_0_v6:1:19655846:19661790:-1 gene:B456_001G144900 transcript:KJB09475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHRDRGERFNDHPPLNPTPWYTNAQRPNFPPDYHHQHHYHPYNHHHNPQQFDYCPTQQQFDHLPAQQQFDHRPTQQPFEHRPTQQQFDHRPNQQPFEHRPNQQPFEHRPNQQQFDHRPTQQQFEHQSSQQQFEHQPGNQHHFERFPEQHQQPISEQNDAFWSNGGNGPNAGRKRGFHDSGRGASPDHNEGSSLAKLYIATVPKTATEESIRFMFQEHGNVVEVIQPKDKKTGERHGYCFVKYAMFEEAERAIAALNNRYMFPGESTTIKVRYADAERDRPGPLPDKLYVGCLNKQASKREIEEIFSHYGHVLDVFIMRDEHREHRGCGFVQFSQRDMAQAAIRGLSGIFTMKGCDQPLIVRSANPKRPRNGEPRGNYAFNSMPSGPHLQELAMRSMPNLGDSMAGHIPPNASYPGQHISTNPQPQGVSHWANPQVAACHVTYQSYPPVQQAHSQPTSLPSQQTQTLQGSSQSSHPADSEQKQQPLIPPASQVPSQQNGNVPKLESPQTGSSQPIAATSVVPIVPQSLETVALQESDWSEHTCPEGNKYYYNCVTCESRWNKPEEFALFEKLLQKQQKVQNPSQHIQPDSTGPSVKQVSQSQEVQLQTYPMHLKLEVEQPFSTLGMDHMEIKSETSPVVDLTCV >KJB09477 pep chromosome:Graimondii2_0_v6:1:19656165:19661790:-1 gene:B456_001G144900 transcript:KJB09477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHRDRGERFNDHPPLNPTPWYTNAQRPNFPPDYHHQHHYHPYNHHHNPQQFDYCPTQQQFDHLPAQQQFDHRPTQQPFEHRPTQQQFDHRPNQQPFEHRPNQQPFEHRPNQQQFDHRPTQQQFEHQSSQQQFEHQPGNQHHFERFPEQHQQPISEQNDAFWSNGGNGPNAGRKRGFHDSGRGASPDHNEGSSLAKLYIATVPKTATEESIRFMFQEHGNVVEVIQPKDKKTGERHGYCFVKYAMFEEAERAIAALNNRYMFPGESTTIKVRYADAERDRPGPLPDKLYVGCLNKQASKREIEEIFSHYGHVLDVFIMRDEHREHRGCGFVQFSQRDMAQAAIRGLSGIFTMKGCDQPLIVRSANPKRPRNGEPRGNYAFNSMPSGPHLQELAMRSMPNLGDSMAGHIPPNASYPGQHISTNPQPQGVSHWANPQVAACHVTYQSYPPVQQAHSQPTSLPSQQTQTLQGSSQSSHPADSEQKQQPLIPPASQVPSQQNGNVPKLESPQTGSSQPIAATSVVPIVPQSLETVALQESDWSEHTCPEGNKYYYNCVTCESRWNKPEEFALFEKLLQKQQKVQNPSQHIQPDSTGPSVKQVSQSQEVQLQTYPMHLKLEVEQPFSTLVRI >KJB08513 pep chromosome:Graimondii2_0_v6:1:9132973:9133920:-1 gene:B456_001G085600 transcript:KJB08513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISDNCTPLSANNESRGALVVFEGLDRSGKTSQCGRLVSYLEGLGHSVELWRFPDRTTSVGQMISAYRTNKSQLDDHTIHFLFSANRWEKRSMMETKLKAGTTLRVDRYSYSGVAFSTAKGLDFEWCKAPEIGLIAPDLVVYLDITPEKAAERGGYGGERYEQLEFQRKVAQHYKLLKDSSWKMKVFCVDCRL >KJB08514 pep chromosome:Graimondii2_0_v6:1:9132854:9134884:-1 gene:B456_001G085600 transcript:KJB08514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFIEVPIVFFPRKISHFPSLMAAVPLRNFDDFLVRRRSLNLRLNLSSEFPLRSIRMEISDNCTPLSANNESRGALVVFEGLDRSGKTSQCGRLVSYLEGLGHSVELWRFPDRTTSVGQMISAYRTNKSQLDDHTIHFLFSANRWEKRSMMETKLKAGTTLRVDRYSYSGVAFSTAKGLDFEWCKAPEIGLIAPDLVVYLDITPEKAAERGGYGGERYEQLEFQRKVAQHYKLLKDSSWKIVDACQPLDDVEKQVKEIVLQHIIACQKGKILSSVCGVFIF >KJB08511 pep chromosome:Graimondii2_0_v6:1:9132654:9134884:-1 gene:B456_001G085600 transcript:KJB08511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPLRNFDDFLVRRRSLNLRLNLSSEFPLRSIRMEISDNCTPLSANNESRGALVVFEGLDRSGKTSQCGRLVSYLEGLGHSVELWRFPDRTTSVGQMISAYRTNKSQLDDHTIHFLFSANRWEKRSMMETKLKAGTTLRVDRYSYSGVAFSTAKGLDFEWCKAPEIGLIAPDLVVYLDITPEKAAERGGYGDCRCLPTLG >KJB08512 pep chromosome:Graimondii2_0_v6:1:9132854:9133920:-1 gene:B456_001G085600 transcript:KJB08512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISDNCTPLSANNESRGALVVFEGLDRSGKTSQCGRLVSYLEGLGHSVELWRFPDRTTSVGQMISAYRTNKSQLDDHTIHFLFSANRWEKRSMMETKLKAGTTLRVDRYSYSGVAFSTAKGLDFEWCKAPEIGLIAPDLVVYLDITPEKAAERGGYGGERYEQLEFQRKVAQHYKLLKDSSWKIVDACQPLDDVEKQVKEIVLQHIIACQKGKILSSVCGVFIF >KJB08510 pep chromosome:Graimondii2_0_v6:1:9132951:9133920:-1 gene:B456_001G085600 transcript:KJB08510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISDNCTPLSANNESRGALVVFEGLDRSGKTSQCGRLVSYLEGLGHSVELWRFPDRTTSVGQMISAYRTNKSQLDDHTIHFLFSANRWEKRSMMETKLKAGTTLRVDRYSYSGVAFSTAKGLDFEWCKAPEIGLIAPDLVVYLDITPEKAAERGGYGDCRCLPTLG >KJB11038 pep chromosome:Graimondii2_0_v6:1:47563426:47572421:1 gene:B456_001G237700 transcript:KJB11038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEAVVTDSSASMDYTSAVYNSTGSGACPPEATGDPNASIAPVDGTYAASGGYLNSAGQEGQISITNESKPTGGSTDGNAINAGNTAVDATKTAGYSILLNGNIVNEARNTTTVENGNVLDNVGRASNAPEFVGSVLAMSGEEDRLWSIVRANSLDFNAWTALIEETEKVAEDNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCSFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSCLAVIYTRILENPNHQLDRYFNSFKELAGSRPLSELTTAEEAAAYVSGIASEADGEVLEGEVRPDAAEQTPKPVSAGSTEAVELEKYVAIREELYKKAKEFDSKILGFETAIRRPYFHVRPLNVSELENWHNYLDFIEREGDVNKVVKLYERCLIACANYPEYWIRYVLCMEASGRMDLADNALARATRVFVKRQPEIHLFDARFKEQHGDIPGARAAYQLVHSEISPGFLEAIIKHANMESRLGKLDDAFSLYEQAIAIEKGKEHSQTLPMLYAQYSRFSFLVSGNAEKAREILTRALDHVQLSKPFLEALIHFETILPPLRQIDYLESLVDKFLAPSLDGSTADKEDLSSIFLEFLGLFGDVQSIKRAEDRHAKLFLPRRPISELRKRRAEDFSSSDKTKLAKFYSGAPSPGQSLLGIYPNAQNQWQAGYGAQPQTWPPTTQPPAQAQPWTPGYGQQGAYGACSSYGSNYATQQVPTSVPQSAGYGAYLATYPVQSFPQPTATTTLTPVQQPAATVPQTYYGSYY >KJB11039 pep chromosome:Graimondii2_0_v6:1:47563426:47572421:1 gene:B456_001G237700 transcript:KJB11039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEAVVTDSSASMDYTSAVYNSTGSGACPPEATGDPNASIAPVDGTYAASGGYLNSAGQEGQISITNESKPTGGSTDGNAINAGNTAVDATKTAGYSILLNGNIVNEARNTTTVENGNVLDNVGRASNAPEFVGSVLAMSGEEDRLWSIVRANSLDFNAWTALIEETEKVAEDNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCSFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSCLAVIYTRILENPNHQLDRYFNSFKELAGSRPLSELTTAEEAAAYVSGIASEADGEVLEGEVRPDAAEQTPKPVSAGSTEAVELEKYVAIREELYKKAKEFDSKILGFETAIRRPYFHVRPLNVSELENWHNYLDFIEREGDVNKVVKLYERCLIACANYPEYWIRYVLCMEASGRMDLADNALARATRVFVKRQPEIHLFDARFKEQHGDIPGARAAYQLVHSEISPGFLEAIIKHANMESRLGKLDDAFSLYEQAIAIEKGKEHSQTLPMLYAQYSRFSFLVSGNAEKAREILTRALDHVQLSKPFLEALIHFETILPPLRQIDYLESLVDKFLAPSLDGSTADKEDLSSIFLEAHF >KJB11037 pep chromosome:Graimondii2_0_v6:1:47563426:47572163:1 gene:B456_001G237700 transcript:KJB11037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEAVVTDSSASMDYTSAVYNSTGSGACPPEATGDPNASIAPVDGTYAASGGYLNSAGQEGQISITNESKPTGGSTDGNAINAGNTAVDATKTAGYSILLNGNIVNEARNTTTVENGNVLDNVGRASNAPEFVGSVLAMSGEEDRLWSIVRANSLDFNAWTALIEETEKVAEDNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCSFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSCLAVIYTRILENPNHQLDRYFNSFKELAGSRPLSELTTAEEAAAYVSGIASEADGEVLEGEVRPDAAEQTPKPVSAGSTEAVELEKYVAIREELYKKAKEFDSKILGFETAIRRPYFHVRPLNVSELENWHNYLDFIEREGDVNKVVKLYERCLIACANYPEYWIRYVLCMEASGRMDLADNALARATRVFVKRQPEIHLFDARFKEQHGDIPGARAAYQLVHSEISPGFLEAIIKHANMESRLGKLDDAFSLYEQAIAIEKGKEHSQTLPMLYAQYSRFSFLVSGNAEKAREILTRALDHVQLSKPFLEALIHFETILPPLRQIDYLESLVDKFLAPSLDGSTADKEDLSSIFLEFLGLFGDVQSIKRAEDRHAKLFLPRRPISELRKRRAEDFSSSDKTKLAKFYSGAPSPGQSLLGIYPNAQNQWQAGYGAQPQTWPPTTQPPAQAQPWTPGYGQQVG >KJB06106 pep chromosome:Graimondii2_0_v6:1:4632410:4640034:-1 gene:B456_001G0485001 transcript:KJB06106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNYLVISAFSTALSFIALRLRTEFSLDKLQTDGLITGNFIHTENVNHVLELLLGSYSMALLANFVLNVFILVILTLKGTFLPLVIPPTIIQAGLWLIWLTVICCLKMFQALGRDRLEHLNASPSVTPWAYFRVFSVFLFVLSFSFFWIGLSFVLYKTLNPSMFLLLFFEPLSITFETMQAILVHGFQLLEISFHAVGNTVDCQRSKLFDLSVADSFWEWKGILIRNLGFFLDLATLIMAVGHYVLIWWLHGMAFHLADAVLFLNIRALLTAIVKRIKGFIKLRMALGALHAALPDATSEEIQAYDDECAISQESMAKAKKLHCNHLFHLSCLRSWLDQGLNEAYSCPTCRKPLFLGRTENEVNSRQINPGLDRQNMPGHTLATGVFPNQMQNPVEGSPWRSAGLDSGWPHS >KJB06107 pep chromosome:Graimondii2_0_v6:1:4632405:4640034:-1 gene:B456_001G0485001 transcript:KJB06107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNYLVISAFSTALSFIALRLRTEFSLDKLQTDGLITGNFIHTENVNHVLELLLGSYSMALLANFVLNVFILVILTLKGTFLPLVIPPTIIQAGLWLIWLTVICCLKMFQALGRDRLEHLNASPSVTPWAYFRVFSVFLFVLSFSFFWIGLSFVLYKTLNPSMFLLLFFEPLSITFETMQAILVHGFQLLEISFHAVGNTVDCQRSKLFDLSVADSFWEWKGILIRNLGFFLDLATLIMAVGHYVLIWWLHGMAFHLADAVLFLNIRALLTAIVKRIKGFIKLRMALGALHAALPDATSEEIQAYDDECAICRESMAKAKKLHCNHLFHLSCLRSWLDQGLNEAYSCPTCRKPLFLGRTENEVNSRQINPGLDRQNMPGHTLATGVFPNQMQNPVEGSPWRTGFWMAAFLTNPEC >KJB06104 pep chromosome:Graimondii2_0_v6:1:4633477:4639146:-1 gene:B456_001G0485001 transcript:KJB06104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNYLVISAFSTALSFIALRLRTEFSLDKLQTDGLITGNFIHTENVNHVLELLLGSYSMALLANFVLNVFILVILTLKTVFFGQLYPSEIRKLIERIINYVIYKGTFLPLVIPPTIIQAGLWLIWLTVICCLKMFQALGRDRLEHLNASPSVTPWAYFRVFSVFLFVLSFSFFWIGLSFVLYKTLNPSMFLLLFFEPLSITFETMQAILVHGFQLLEISFHAVGNTVDCQRSKLFDLSVADSFWEWKGILIRNLGFFLDLATLIMAVGHYVLIWWLHGMAFHLADAVLFLNIRALLTAIVKRIKGFIKLRMALGALHAALPDATSEEIQAYDDECAICRESMAKAKKLHCNHLFHLSCLRSWLDQGLNEAYSCPTCRKPLFLGRTENEVNSRQINPGLDRQNMPGHTLATGVFPNQMQNPVEGSPWRSAGLDSGWPHS >KJB06108 pep chromosome:Graimondii2_0_v6:1:4633887:4639146:-1 gene:B456_001G0485001 transcript:KJB06108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNYLVISAFSTALSFIALRLRTEFSLDKLQTDGLITGNFIHTENVNHVLELLLGSYSMALLANFVLNVFILVILTLKGTFLPLVIPPTIIQAGLWLIWLTVICCLKMFQALGRDRLEHLNASPSVTPWAYFRVFSVFLFVLSFSFFWIGLSFVLYKTLNPSMFLLLFFEPLSITFETMQAILVHGFQLLEISFHAVGNTVDCQRSKLFDLSVADSFWEWKGILIRNLGFFLDLATLIMAVGHYVLIWWLHGMAFHLADAVLFLNIRALLTAIVKRIKGFIKLRMALGALHAALPDATSEEIQAYDDECAICRESMAKAKKLHCNHLFHLSCLRSWLDQGLNEAYSCPTCRKPLFLGRTENEVNSRQINPGLDRQNMPGHTLATGVFPNQMQNPVEGSPWR >KJB06100 pep chromosome:Graimondii2_0_v6:1:4632410:4640034:-1 gene:B456_001G0485001 transcript:KJB06100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNYLVISAFSTALSFIALRLRTEFSLDKLQTDGLITGNFIHTENVNHVLELLLGSYSMALLANFVLNVFILVILTLKGTFLPLVIPPTIIQAGLWLIWLTVICCLKMFQALGRDRLEHLNASPSVTPWAYFRVFSVFLFVLSFSFFWIGLSFVLYKTLNPSMFLLLFFEPLSITFETMQAILVHGFQLLEISFHAVGNTVDCQRSKLFDLSVADSFWEWKGILIRNLGFFLDLATLIMAVGHYVLIWWLHGMAFHLADAVLFLNIRALLTAIVKRIKGFIKLRMALGALHAALPDATSEEIQAYDDECAICRESMAKAKKLHCNHLFHLSCLRSWLDQGLNEAYSCPTCRKPLFLGRTENEVNSRQINPGLDRQNMPGHTLATGVFPNQMQNPVEGSPWRSAGLDSGWPHS >KJB06101 pep chromosome:Graimondii2_0_v6:1:4632410:4637156:-1 gene:B456_001G0485001 transcript:KJB06101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQALGRDRLEHLNASPSVTPWAYFRVFSVFLFVLSFSFFWIGLSFVLYKTLNPSMFLLLFFEPLSITFETMQAILVHGFQLLEISFHAVGNTVDCQRSKLFDLSVADSFWEWKGILIRNLGFFLDLATLIMAVGHYVLIWWLHGMAFHLADAVLFLNIRALLTAIVKRIKGFIKLRMALGALHAALPDATSEEIQAYDDECAICRESMAKAKKLHCNHLFHLSCLRSWLDQGLNEAYSCPTCRKPLFLGRTENEVNSRQINPGLDRQNMPGHTLATGVFPNQMQNPVEGSPWRFGSFKLVSLACLEITVCCTFILNNHLI >KJB06103 pep chromosome:Graimondii2_0_v6:1:4634151:4639146:-1 gene:B456_001G0485001 transcript:KJB06103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNYLVISAFSTALSFIALRLRTEFSLDKLQTDGLITGNFIHTENVNHVLELLLGSYSMALLANFVLNVFILVILTLKTVFFGQLYPSEIRKLIERIINYVIYKGTFLPLVIPPTIIQAGLWLIWLTVICCLKMFQALGRDRLEHLNASPSVTPWAYFRVFSVFLFVLSFSFFWIGLSFVLYKTLNPSMFLLLFFEPLSITFETMQAILVHGFQLLEISFHAVGNTVDCQRSKLFDLSVADSFWEWKGILIRNLGFFLDLATLIMAVGHYVLIWWLHGMAFHLADAVLFLNIRALLTAIVKRIKGFIKLRMALGALHAALPDATSEEIQAYDDECAICRESMAKAKKLHCNHLFHLSCLRSWLDQGLNEAYSCPTCRKPLFLGRTENEVNSRQINPGLDRQNMPGHTLATGVFPNQMQNPVEGSPWRFGSFKLVSLACLEITVCCTFILNNHLI >KJB06102 pep chromosome:Graimondii2_0_v6:1:4633460:4639146:-1 gene:B456_001G0485001 transcript:KJB06102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNYLVISAFSTALSFIALRLRTEFSLDKLQTDGLITGNFIHTENVNHVLELLLGSYSMALLANFVLNVFILVILTLKTVFFGQLYPSEIRKLIERIINYVIYKGTFLPLVIPPTIIQAGLWLIWLTVICCLKMFQALGRDRLEHLNASPSVTPWAYFRVFSVFLFVLSFSFFWIGLSFVLYKTLNPSMFLLLFFEPLSITFETMQAILVHGFQLLEISFHAVGNTVDCQRSKLFDLSVADSFWEWKGILIRNLGFFLDLATLIMAVGHYVLIWWLHGMAFHLADAVLFLNIRALLTAIVKRIKGFIKLRMALGALHAALPDATSEEIQAYDDECAICRESMAKAKKLHCNHLFHLSCLRSWLDQGLNEAYSCPTCRKPLFLGRTENEVNSRQINPGLDRQNMPGHTLATGVFPNQMQNPVEGSPWRTGFWMAAFLTNPEC >KJB06109 pep chromosome:Graimondii2_0_v6:1:4632410:4640034:-1 gene:B456_001G0485001 transcript:KJB06109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNYLVISAFSTALSFIALRLRTEFSLDKLQTDGLITGNFIHTENVNHVLELLLGSYSMALLANFVLNVFILVILTLKTVFFGQLYPSEIRKLIERIINYVIYKGTFLPLVIPPTIIQAGLWLIWLTVICCLKMFQALGRDRLEHLNASPSVTPWAYFRVFSVFLFVLSFSFFWIGLSFVLYKTLNPSMFLLLFFEPLSITFETMQAILVHGFQLLEISFHAVGNTVDCQRSKLFDLSVADSFWEWKGILIRNLGFFLDLATLIMAVGHYVLIWWLHGMAFHLADAVLFLNIRALLTAIVKRIKGFIKLRMALGALHAALPDATSEEIQAYDDECAICRESMAKAKKLHCNHLFHLSCLRSWLDQGLNEAYSCPTCRKPLFLGRTENEVNSRQINPGLDRQNMPGHTLATGVFPNQMQNPVEGSPWSVDGAGPSTGVGSVGLGRVHMMMRHLASIGDTAVEHTAWSPWSMNPSQAAASVSSVPPNVGGRYPGNAGSLRMRTTPSTGNGNIANILAMTETDLQRTNSVTDTVNNRLLQM >KJB06105 pep chromosome:Graimondii2_0_v6:1:4633477:4639146:-1 gene:B456_001G0485001 transcript:KJB06105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNYLVISAFSTALSFIALRLRTEFSLDKLQTDGLITGNFIHTENVNHVLELLLGSYSMALLANFVLNVFILVILTLKGTFLPLVIPPTIIQAGLWLIWLTVICCLKMFQALGRDRLEHLNASPSVTPWAYFRVFSVFLFVLSFSFFWIGLSFVLYKTLNPSMFLLLFFEPLSITFETMQAILVHGFQLLEISFHAVGNTVDCQRSKLFDLSVADSFWEWKGILIRNLGFFLDLATLIMAVGHYVLIWWLHGMAFHLADAVLFLNIRALLTAIVKRIKGFIKLRMALGALHAALPDATSEEIQAYDDECAICRESMAKAKKLHCNHLFHLSCLRSWLDQGLNEAYSCPTCRKPLFLGRTENEVNSRQINPGLDRQNMPGHTLATGVFPNQMQNPVEGSPWRSAGLDSGWPHS >KJB09718 pep chromosome:Graimondii2_0_v6:1:22752479:22754787:-1 gene:B456_001G158600 transcript:KJB09718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSGILPNRVVYNTLISSFYKEADMQAAASNVLPTLSLQGMLVEAKALVESMEKNGDLMNLDSYNIWLLGLLRNSKLAEAQLVFKDMVDKGVEPNIYLYNIVMDGLCKNGMLSDTRMVMGFIVRNGLSPDTVTYARMFYHIFDPASFMPVVDDLGKEETSMRLMNLQRRCWRWLQMVEWKIRYLEN >KJB11725 pep chromosome:Graimondii2_0_v6:1:55189683:55192382:-1 gene:B456_001G274600 transcript:KJB11725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTILHLSNLPNLDHVPEIYSTCFSKGLDLNRASSFRIPKFMVIGHRGHGMNILQSSDSRMKAIKENSILSFNSAAKFPLDFIEFDVQVTKDDCPVIFHDDFILTEENGTIFEKKVTELCLAEFLCYGPQKEAGKEGKCLYRKTKDGKFVKWNVETDDSLCTLQDAFQNVEPSLGFNIELKFDDFAVYQQDHLLHILQVILQVVFEFARDRPIIFSTFQPDAAQLVRKLQNNYPVYFLTEGGASIYYDVRRNSLGEAMKVCLEGGLQGIVSEIKGVFKDPGAVPKIKDSNLSLLTYGKLNNVPEAVHMQYLMGIDGVIVDFVEEISQTVDDMIKPAKEMTTEGKGESEANSKLQFSQKELSFLLKLIPELIQF >KJB11726 pep chromosome:Graimondii2_0_v6:1:55189771:55192073:-1 gene:B456_001G274600 transcript:KJB11726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTILHLSNLPNLDHVPEIYSTCFSKGLDLNRASSFRIPKFMVIGHRGHGMNILQSSDSRMKAIKENSILSFNSAAKFPLDFIEFDVQVTKDDCPVIFHDDFILTEENGTIFEKKVTELCLAEFLCYGPQKEAGKEGKCLYRKTKDGKFVKWNVETDDSLCTLQDAFQNVEPSLGFNIELKFDDFAVYQQDHLLHILQVILQVVFEFARDRPIIFSTFQPDAAQLVRKLQNNYPKVGHQFTMMLEGTHWGKP >KJB11728 pep chromosome:Graimondii2_0_v6:1:55189904:55191763:-1 gene:B456_001G274600 transcript:KJB11728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTILHLSNLPNLDHVPEIYSTCFSKGLDLNRASSFRIPKFMVIGHRGHGMNILQSSDSRMKAIKENSILSFNSAAKFPLDFIEFDVQVTKDDCPVIFHDDFILTEENGTIFEKKVTELCLAEFLCYGPQKEAGKEGKCLYRKTKDGKFVKWNVETDDSLCTLQDAFQNVEPSLGFNIELKFDDFAVYQQDHLLHILQVILQVVFEFARDRPIIFSTFQPDAAQLVRKLQNNYPVYFLTEGGASIYYDVRRNSLGEAMKVCLEGGLQGIVSEIKGVFKDPGAVPKIKDSNLSLLTYGKLK >KJB11729 pep chromosome:Graimondii2_0_v6:1:55190570:55191821:-1 gene:B456_001G274600 transcript:KJB11729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTILHLSNLPNLDHVPEIYSTCFSKGLDLNRASSFRIPKFMVIGHRGHGMNILQSSDSRMKAIKENSILSFNSAAKFPLDFIEFDVQVTKDDCPVIFHDDFILTEENGTIFEKKVTELCLAEFLCYGPQKEAGKEGKCLYRKTKDGKFVKWNVETDDSLCTLQDAFQNVEPSLGFNIELKFDDFAVYQQDHLLHILQVILQVVFEFARDRPIIFSTFQPDAAQLVRKLQNNYPVRTVAA >KJB11727 pep chromosome:Graimondii2_0_v6:1:55189904:55191267:-1 gene:B456_001G274600 transcript:KJB11727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTFLVFGFYTLCTICSFKGSIFIYCVVLQGTIFEKKVTELCLAEFLCYGPQKEAGKEGKCLYRKTKDGKFVKWNVETDDSLCTLQDAFQNVEPSLGFNIELKFDDFAVYQQDHLLHILQVILQVVFEFARDRPIIFSTFQPDAAQLVRKLQNNYPVYFLTEGGASIYYDVRRNSLGEAMKVCLEGGLQGIVSEIKGVFKDPGAVPKIKDSNLSLLTYGKLNNVPEAVHMQYLMGIDGVIVDFVEEISQTVDDMIKPAKEMTTEGKGESEANSKLQFSQKELSFLLKLIPELIQF >KJB11213 pep chromosome:Graimondii2_0_v6:1:49586928:49592689:-1 gene:B456_001G247600 transcript:KJB11213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGSEFVVGSENMHLEEPWFTGMDTEETEMGQPNSEIQGFRLEKGEASNMVFSTEAPLVIKESSTSGSCSCSLKKIKSTVAAKGSEFCQKDKSGHDKKLSRQYRIELGQLFQGAVSSHDWELAESLILSADPQTLNDALCVTLDAIWFLSTQQELYGITDLIKKIIANGAYDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECHGDEVLKAEAGAKVQKFTEWALKCIGSHSRCQGSNDRVNHCSAIEIQLQLTAFKTFLDLAGNQLTGKDFTEAFDAACFPLTLFSSSFDAGWASGISATVIQGLLDMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAMAFLGPLMRAAERGCMQVVRWFVKRGCRDMELCLALTAATSSSQVGVAAYLLPHVPKHVLTALSIEILKAAGERSGGSLDGVAFLLHSDFLGDAAATYAVADSIAKSDDEAVAPELRAFVQEHWSEAAFLDGLKQGQEHYMKLMRILKRGESPICLRDLPAPLRVGIAYMPLYRECVEVGGRLLSQRLRGQLVEAVRMLGGGSLEEVSQGRELLATLEHHLPPFLVRAPSVG >KJB11214 pep chromosome:Graimondii2_0_v6:1:49587012:49592611:-1 gene:B456_001G247600 transcript:KJB11214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGSEFVVGSENMHLEEPWFTGMDTEETEMGQPNSEIQGFRLEKGEASNMVFSTEAPLVIKESSTSGSCSCSLKKIKSTVAAKGSEFCQKDKSGHDKKLSRQYRIELGQLFQGAVSSHDWELAESLILSADPQTLNDALCVTLDAIWFLSTQQELYGITDLIKKIIANGAYDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECHGDEVLKAEAGAKVQKFTEWALKCIGSHSRCQGSNDRVNHCSAIEIQLQLTAFKTFLDLAGNQLTGKDFTEAFDAACFPLTLFSSSFDAGWASGISATVIQGLLDMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAMAFLGPLMRAAERGCMQVVRWFVKRGCRDMELCLALTAATSSSQVGVAAYLLPHVPKHVLTALSIEILKAAGERSGGSLDGVAFLLHSDFLGDAAATYAVADSIAKSDDEAVAPELRAFVQEHWSEAAFLDGLKQGQEHYMKLMRILKRGESPICLRDLPAPLRVGIAYMPLYRECVEVGGRLLSQRLRGQLVEAVRMLGGGSLEEVSQGRELLATLEHHLPPFLVRAPSVG >KJB08282 pep chromosome:Graimondii2_0_v6:1:7663324:7665171:-1 gene:B456_001G074900 transcript:KJB08282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFSEQSYRQPFPFLDIDPTMESLTQFAELNPQSFILDNSAFNFQTFFPLSINDSLFSNQASQGETMSGFIHNSNQSSVSAQPIFSAKTEVEEISNKRKALDILESSSGNSSSSSPQVSETGIKRRNNSGRGKRAKSNEKEMEKPKEVVHVRARRGQATDSHSLAERVRRGKINERLRCLQDIVPGCYKTMGMALMLDEIINYVQSLQNQVEFLSMKLTAASTYYDFNSESDAMERMQRGKAEEAKELERLMREGYVGIGGVACFHSSSTYGPL >KJB07262 pep chromosome:Graimondii2_0_v6:1:1468529:1470404:1 gene:B456_001G015600 transcript:KJB07262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDSDVPMVPVGESSNSSAASSSTKKPKRFEIKKWSAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >KJB07264 pep chromosome:Graimondii2_0_v6:1:1468369:1470750:1 gene:B456_001G015600 transcript:KJB07264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDSDVPMVPVGESSNSSAASSSTKKPKRFEIKKWSAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQIIVSGSSKSMVTKSFGLFYQLNPLTFPSTVCKKIYIFSSGFC >KJB07265 pep chromosome:Graimondii2_0_v6:1:1468440:1470664:1 gene:B456_001G015600 transcript:KJB07265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDSDVPMVPVGESSNSSAASSSTKKPKRFEIKKWSAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLGTLFLPPGVLFSLHSHFC >KJB07263 pep chromosome:Graimondii2_0_v6:1:1468435:1469064:1 gene:B456_001G015600 transcript:KJB07263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDSDVPMVPVGESSNSSAASSSTKKPKRFEIKKWSAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGISFSLYFKSL >KJB09985 pep chromosome:Graimondii2_0_v6:1:27397367:27403514:1 gene:B456_001G179300 transcript:KJB09985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVIGGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLESAKTKHPQLYYESKLYMLLQGGTGIPHLKWFGVETDYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQMINRLEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVSTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHHCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPKIGGSSRGRHSSGRAGLAAGPTIEKPERISVGREIRDRFSGAVEAFSKRNISSTSPHLDHSRHKTVDDGALSKHALPDSDKRRSSSRYGSTSRRAVVASRPSSSVEANDAPQNRLTSSGGRMSTTQRIQLAFESKTSSRATPVRGSRDDHPLRSFELLSIRK >KJB09991 pep chromosome:Graimondii2_0_v6:1:27397541:27403368:1 gene:B456_001G179300 transcript:KJB09991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCPWMIILSALYFQPALFFSFEMKMWWCSSTVPLRMCHFRCKCEGCQKFLIMESAKTKHPQLYYESKLYMLLQGGTGIPHLKWFGVETDYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQMINRLEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVSTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHHCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPKIGGSSRGRHSSGRAGLAAGPTIEKPERISVGREIRDRFSGAVEAFSKRNISSTSPHLDHSRHKTVDDGALSKHALPDSDKRRSSSRYGSTSRRAVVASRPSSSVEANDAPQNRLTSSGGRMSTTQRIQLAFESKTSSRATPVRGSRDDHPLRSFELLSIRK >KJB09989 pep chromosome:Graimondii2_0_v6:1:27397541:27403368:1 gene:B456_001G179300 transcript:KJB09989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVIGGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLESAKTKHPQLYYESKLYMLLQGGTGIPHLKWFGVETDYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQMINRLEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVSTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHHCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPKIGGSSRGRVSTCNLLALCYCYLGNILLSNSICMSAFQWKGRFSCWTNH >KJB09990 pep chromosome:Graimondii2_0_v6:1:27398101:27401747:1 gene:B456_001G179300 transcript:KJB09990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVIGGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLESAKTKHPQLYYESKLYMLLQGGTGIPHLKWFGVETDYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQMINRLEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVSTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHHCRSLRFEDKPDYSYLKRLFRDLFIREG >KJB09987 pep chromosome:Graimondii2_0_v6:1:27397541:27403368:1 gene:B456_001G179300 transcript:KJB09987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQGGTGIPHLKWFGVETDYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQMINRLEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVSTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHHCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPKIGGSSRGRHSSGRAGLAAGPTIEKPERISVGREIRDRFSGAVEAFSKRNISSTSPHLDHSRHKTVDDGALSKHALPDSDKRRSSSRYGSTSRRAVVASRPSSSVEANDAPQNRLTSSGGRMSTTQRIQLAFESKTSSRATPVRGSRDDHPLRSFELLSIRK >KJB09992 pep chromosome:Graimondii2_0_v6:1:27397541:27403368:1 gene:B456_001G179300 transcript:KJB09992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQMINRLEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVSTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHHCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPKIGGSSRGRHSSGRAGLAAGPTIEKPERISVGREIRDRFSGAVEAFSKRNISSTSPHLDHSRHKTVDDGALSKHALPDSDKRRSSSRYGSTSRRAVVASRPSSSVEANDAPQNRLTSSGGRMSTTQRIQLAFESKTSSRATPVRGSRDDHPLRSFELLSIRK >KJB09988 pep chromosome:Graimondii2_0_v6:1:27399145:27403102:1 gene:B456_001G179300 transcript:KJB09988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTYFYFSVPNVRNLQRPSILSFIMSQNCICFFKEEVTGIPHLKWFGVETDYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQMINRLEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVSTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHHCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPKIGGSSRGRHSSGRAGLAAGPTIEKPERISVGREIRDRFSGAVEAFSKRNISSTSPHLDHSRHKTVDDGALSKHALPDSDKRRSSSRYGSTSRRAVVASRPSSSVEANDAPQNRLTSSGGRMSTTQRIQLAFESKTSSRATPVRGSRDDHPLRSFELLSIRK >KJB09986 pep chromosome:Graimondii2_0_v6:1:27397541:27403368:1 gene:B456_001G179300 transcript:KJB09986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVIGGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLESAKTKHPQLYYESKLYMLLQGGTGIPHLKWFGVETDYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQMINRLEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVSTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHHCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPKIGGSSRGRWKGRFSCWTNH >KJB07777 pep chromosome:Graimondii2_0_v6:1:4294654:4300870:-1 gene:B456_001G045000 transcript:KJB07777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNPLVFMDVSIDGDPAERMVFELFPDIAPKTAENFRALCTGEKGIGPKTGKPLHYKGSFFHRVMKGSLAQGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFVITFKANHDLDRKYIVFGQLVQGNEVLKKIENVGDEEGIPTVTVKIINCGEVIEDKRKNKLRTGKDASSGANNYEVRRKGKNKKSSRDKRKKRRRHYSSDSDSSSDSEIESSESDSDSDSYLSSSSDISSSSDDGHKKRKRYFKRGKYRRGKKRERRRDKKRKRRDKRSKRKSRRASDSLTDDDSESSRESSSDNDDQGKPQKHEGHSQKSVGNQSPSATERAIPHRKTEEAGLVKECEAPKENGERKSNGIEEDTKSDRGAERQPDVVDDRPSKSRSRSASPKRTMSKSISPWRSQRRCPSLSPRRSVSRSPVASRNPPCFPERSGSRNPARSISRSPTRARKNRSISKSPVRGHPRRTIGRSPVRSRSRSRKSPSRSPPRSTGKSISRSPVRLSKRSTSKSPARSSRRSISRSPIRSRRSTSRSPVRSSRRSISRSSARAPTRRSISRSPLREPSRNYRRSYSRSPTTVRRVRSPPGRGRSMSTSVSPDASPKRIRRGRGFSERYSYARRYRTPSPDRSPVRSYRFSGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSLSVSPSPRYRNRRYGHSPSRSPSRSRTSIRSRSPSRSRTPIRSRSPVDSPRAGRRRSPSQSRSRSESRSSLNSQSPKKVSKAKSRSSSGSPDSGRGLVSYDDGSPDSGR >KJB07782 pep chromosome:Graimondii2_0_v6:1:4294654:4299631:-1 gene:B456_001G045000 transcript:KJB07782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVQGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFVITFKANHDLDRKYIVFGQLVQGNEVLKKIENVGDEEGIPTVTVKIINCGEVIEDKRKNKLRTGKDASSGANNYEVRRKGKNKKSSRDKRKKRRRHYSSDSDSSSDSEIESSESDSDSDSYLSSSSDISSSSDDGHKKRKRYFKRGKYRRGKKRERRRDKKRKRRDKRSKRKSRRASDSLTDDDSESSRESSSDNDDQGKPQKHEGHSQKSVGNQSPSATERAIPHRKTEEAGLVKECEAPKENGERKSNGIEEDTKSDRGAERQPDVVDDRPSKSRSRSASPKRTMSKSISPWRSQRRCPSLSPRRSVSRSPVASRNPPCFPERSGSRNPARSISRSPTRARKNRSISKSPVRGHPRRTIGRSPVRSRSRSRKSPSRSPPRSTGKSISRSPVRLSKRSTSKSPARSSRRSISRSPIRSRRSTSRSPVRSSRRSISRSSARAPTRRSISRSPLREPSRNYRRSYSRSPTTVRRVRSPPGRGRSMSTSVSPDASPKRIRRGRGFSERYSYARRYRTPSPDRSPVRSYRFSGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSLSVSPSPRYRNRRYGHSPSRSPSRSRTSIRSRSPSRSRTPIRSRSPVDSPRAGRRRSPSQSRSRSESRSSLNSQSPKKVSKAKSRSSSGSPDSGRGLVSYDDGSPDSGR >KJB07781 pep chromosome:Graimondii2_0_v6:1:4294199:4300304:-1 gene:B456_001G045000 transcript:KJB07781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSTGEKGIGPKTGKPLHYKGSFFHRVMKGSLAQGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFVITFKANHDLDRKYIVFGQLVQGNEVLKKIENVGDEEGIPTVTVKIINCGEVIEDKRKNKLRTGKDASSGANNYEVRRKGKNKKSSRDKRKKRRRHYSSDSDSSSDSEIESSESDSDSDSYLSSSSDISSSSDDGHKKRKRYFKRGKYRRGKKRERRRDKKRKRRDKRSKRKSRRASDSLTDDDSESSRESSSDNDDQGKPQKHEGHSQKSVGNQSPSATERAIPHRKTEEAGLVKECEAPKENGERKSNGIEEDTKSDRGAERQPDVVDDRPSKSRSRSASPKRTMSKSISPWRSQRRCPSLSPRRSVSRSPVASRNPPCFPERSGSRNPARSISRSPTRARKNRSISKSPVRGHPRRTIGRSPVRSRSRSRKSPSRSPPRSTGKSISRSPVRLSKRSTSKSPARSSRRSISRSPIRSRRSTSRSPVRSSRRSISRSSARAPTRRSISRSPLREPSRNYRRSYSRSPTTVRRVRSPPGRGRSMSTSVSPDASPKRIRRGRGFSERYSYARRYRTPSPDRSPVRSYRFSGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSLSVSPSPRYRNRRYGHSPSRSPSRSRTSIRSRSPSRSRTPIRSRSPVDSPRAGRRRSPSQSRSRSESRSSLNSQSPKKVSKAKSRSSSGSPDSGRGLVSYDDGSPDSGR >KJB07783 pep chromosome:Graimondii2_0_v6:1:4294199:4300214:-1 gene:B456_001G045000 transcript:KJB07783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVQGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFVITFKANHDLDRKYIVFGQLVQGNEVLKKIENVGDEEGIPTVTVKIINCGEVIEDKRKNKLRTGKDASSGANNYEVRRKGKNKKSSRDKRKKRRRHYSSDSDSSSDSEIESSESDSDSDSYLSSSSDISSSSDDGHKKRKRYFKRGKYRRGKKRERRRDKKRKRRDKRSKRKSRRASDSLTDDDSESSRESSSDNDDQGKPQKHEGHSQKSVGNQSPSATERAIPHRKTEEAGLVKECEAPKENGERKSNGIEEDTKSDRGAERQPDVVDDRPSKSRSRSASPKRTMSKSISPWRSQRRCPSLSPRRSVSRSPVASRNPPCFPERSGSRNPARSISRSPTRARKNRSISKSPVRGHPRRTIGRSPVRSRSRSRKSPSRSPPRSTGKSISRSPVRLSKRSTSKSPARSSRRSISRSPIRSRRSTSRSPVRSSRRSISRSSARAPTRRSISRSPLREPSRNYRRSYSRSPTTVRRVRSPPGRGRSMSTSVSPDASPKRIRRGRGFSERYSYARRYRTPSPDRSPVRSYRFSGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSLSVSPSPRYRNRRYGHSPSRSPSRSRTSIRSRSPSRSRTPIRSRSPVDSPRAGRRRSPSQSRSRSESRSSLNSQSPKKVSKAKSRSSSGSPDSGRGLVSYDDGSPDSGR >KJB07788 pep chromosome:Graimondii2_0_v6:1:4294654:4300870:-1 gene:B456_001G045000 transcript:KJB07788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNPLVFMDVSIDGDPAERMVFELFPDIAPKTAENFRALCTGEKGIGPKTGKPLHYKGSFFHRVMKGSLAQGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFVITFKANHDLDRKYIVFGQLVQGNEVLKKIENVGDEEGIPTVTVKIINCGEVIEDKRKNKLRTGKDASSGANNYEVRRKGKNKKSSRDKRKKRRRHYSSDSDSSSDSEIESSESDSDSDSYLSSSSDISSSSDDGHKKRKRYFKRGKYRRGKKRERRRDKKRKRRDKRSKRKSRRASDSLTDDDSESSRESSSDNDDQGKPQKHEGHSQKSVGNQSPSATERAIPHRKTEEAGLVKECEAPKENGERKSNGIEEDTKSDRGAERQPDVVDDRPSKSRSRSASPKRTMSKSISPWRSQRRCPSLSPRRSVSRSPVASRNPPCFPERSGSRNPARSISRSPTRARKNRSISKSPVRGHPRRTIGRSPVRSRSRSRKSPSRSPPRSTGKSISRSPVRLSKRSTSKSPARSSRRSISRSPIRSRRSTSRSPVRSSRRSISRSSARAPTRRSISRSPLREPSRNYRRSYSRSPTTVRRVRSPPGRGRSMSTSVSPDASPKRIRRGRGFSERYSYARRYRTPSPDRSPVRSYRFSGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSLSVSPSPRYRNRRYGHSPSRSPSRSRTSIRSRSPSRSRTPIRSRSPVDSPRAGRRRSPSQSRSRSESRSSLNSQSPKKVSKAKSRSSSGSPDSGRGLVSYDDGSPDSGR >KJB07776 pep chromosome:Graimondii2_0_v6:1:4294654:4300870:-1 gene:B456_001G045000 transcript:KJB07776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNPLVFMDVSIDGDPAERMVFELFPDIAPKTAENFRALCTGEKGIGPKTGKPLHYKGSFFHRVMKGSLAQGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFVITFKANHDLDRKYIVFGQLVQGNEVLKKIENVGDEEGIPTVTVKIINCGEVIEDKRKNKLRTGKDASSGANNYEVRRKGKNKKSSRDKRKKRRRHYSSDSDSSSDSEIESSESDSDSDSYLSSSSDISSSSDDGHKKRKRYFKRGKYRRGKKRERRRDKKRKRRDKRSKRKSRRASDSLTDDDSESSRESSSDNDDQGKPQKHEGHSQKSVGNQSPSATERAIPHRKTEEAGLVKECEAPKENGERKSNGIEEDTKSDRGAERQPDVVDDRPSKSRSRSASPKRTMSKSISPWRSQRRCPSLSPRRSVSRSPVASRNPPCFPERSGSRNPARSISRSPTRARKNRSISKSPVRGHPRRTIGRSPVRSRSRSRKSPSRSPPRSTGKSISRSPVRLSKRSTSKSPARSSRRSISRSPIRSRRSTSRSPVRSSRRSISRSSARAPTRRSISRSPLREPSRNYRRSYSRSPTTVRRVRSPPGRGRSMSTSVSPDASPKRIRRGRGFSERYSYARRYRTPSPDRSPVRSYRFSGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSLSVSPSPRYRNRRYGHSPSRSPSRSRTSIRSRSPSRSRTPIRSRSPVDSPRAGRRRSPSQSRSRSESRSSLNSQSPKKVSKAKSRSSSGSPDSGRGLVSYDDGSPDSGR >KJB07779 pep chromosome:Graimondii2_0_v6:1:4294199:4298945:-1 gene:B456_001G045000 transcript:KJB07779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIADRDTVGSQFVITFKANHDLDRKYIVFGQLVQGNEVLKKIENVGDEEGIPTVTVKIINCGEVIEDKRKNKLRTGKDASSGANNYEVRRKGKNKKSSRDKRKKRRRHYSSDSDSSSDSEIESSESDSDSDSYLSSSSDISSSSDDGHKKRKRYFKRGKYRRGKKRERRRDKKRKRRDKRSKRKSRRASDSLTDDDSESSRESSSDNDDQGKPQKHEGHSQKSVGNQSPSATERAIPHRKTEEAGLVKECEAPKENGERKSNGIEEDTKSDRGAERQPDVVDDRPSKSRSRSASPKRTMSKSISPWRSQRRCPSLSPRRSVSRSPVASRNPPCFPERSGSRNPARSISRSPTRARKNRSISKSPVRGHPRRTIGRSPVRSRSRSRKSPSRSPPRSTGKSISRSPVRLSKRSTSKSPARSSRRSISRSPIRSRRSTSRSPVRSSRRSISRSSARAPTRRSISRSPLREPSRNYRRSYSRSPTTVRRVRSPPGRGRSMSTSVSPDASPKRIRRGRGFSERYSYARRYRTPSPDRSPVRSYRFSGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSLSVSPSPRYRNRRYGHSPSRSPSRSRTSIRSRSPSRSRTPIRSRSPVDSPRAGRRRSPSQSRSRSESRSSLNSQSPKKVSKAKSRSSSGSPDSGRGLVSYDDGSPDSGR >KJB07787 pep chromosome:Graimondii2_0_v6:1:4294199:4301795:-1 gene:B456_001G045000 transcript:KJB07787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNPLVFMDVSIDGDPAERMVFELFPDIAPKTAENFRALCTGEKGIGPKTGKPLHYKGSFFHRVMKGSLAQGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFVITFKANHDLDRKYIVFGQLVQGNEVLKKIENVGDEEGIPTVTVKIINCGEVIEDKRKNKLRTGKDASSGANNYEVRRKGKNKKSSRDKRKKRRRHYSSDSDSSSDSEIESSESDSDSDSYLSSSSDISSSSDDGHKKRKRYFKRGKYRRGKKRERRRDKKRKRRDKRSKRKSRRASDSLTDDDSESSRESSSDNDDQGKPQKHEGHSQKSVGNQSPSATERAIPHRKTEEAGLVKECEAPKENGERKSNGIEEDTKSDRGAERQPDVVDDRPSKSRSRSASPKRTMSKSISPWRSQRRCPSLSPRRSVSRSPVASRNPPCFPERSGSRNPARSISRSPTRARKNRSISKSPVRGHPRRTIGRSPVRSRSRSRKSPSRSPPRSTGKSISRSPVRLSKRSTSKSPARSSRRSISRSPIRSRRSTSRSPVRSSRRSISRSSARAPTRRSISRSPLREPSRNYRRSYSRSPTTVRRVRSPPGRGRSMSTSVSPDASPKRIRRGRGFSERYSYARRYRTPSPDRSPVRSYRFSGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSLSVSPSPRYRNRRYGHSPSRSPSRSRTSIRSRSPSRSRTPIRSRSPVDSPRAGRRRSPSQSRSRSESRSSLNSQSPKKVSKAKSRSSSGSPDSGRGLVSYDDGSPDSGR >KJB07780 pep chromosome:Graimondii2_0_v6:1:4294199:4300097:-1 gene:B456_001G045000 transcript:KJB07780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIADRDTVGSQFVITFKANHDLDRKYIVFGQLVQGNEVLKKIENVGDEEGIPTVTVKIINCGEVIEDKRKNKLRTGKDASSGANNYEVRRKGKNKKSSRDKRKKRRRHYSSDSDSSSDSEIESSESDSDSDSYLSSSSDISSSSDDGHKKRKRYFKRGKYRRGKKRERRRDKKRKRRDKRSKRKSRRASDSLTDDDSESSRESSSDNDDQGKPQKHEGHSQKSVGNQSPSATERAIPHRKTEEAGLVKECEAPKENGERKSNGIEEDTKSDRGAERQPDVVDDRPSKSRSRSASPKRTMSKSISPWRSQRRCPSLSPRRSVSRSPVASRNPPCFPERSGSRNPARSISRSPTRARKNRSISKSPVRGHPRRTIGRSPVRSRSRSRKSPSRSPPRSTGKSISRSPVRLSKRSTSKSPARSSRRSISRSPIRSRRSTSRSPVRSSRRSISRSSARAPTRRSISRSPLREPSRNYRRSYSRSPTTVRRVRSPPGRGRSMSTSVSPDASPKRIRRGRGFSERYSYARRYRTPSPDRSPVRSYRFSGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSLSVSPSPRYRNRRYGHSPSRSPSRSRTSIRSRSPSRSRTPIRSRSPVDSPRAGRRRSPSQSRSRSESRSSLNSQSPKKVSKAKSRSSSGSPDSGRGLVSYDDGSPDSGR >KJB07775 pep chromosome:Graimondii2_0_v6:1:4294197:4301284:-1 gene:B456_001G045000 transcript:KJB07775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNPLVFMDVSIDGDPAERMVFELFPDIAPKTAENFRALCTGEKGIGPKTGKPLHYKGSFFHRVMKGSLAQGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFVITFKANHDLDRKYIVFGQLVQGNEVLKKIENVGDEEGIPTVTVKIINCGEVIEDKRKNKLRTGKDASSGANNYEVRRKGKNKKSSRDKRKKRRRHYSSDSDSSSDSEIESSESDSDSDSYLSSSSDISSSSDDGHKKRKRYFKRGKYRRGKKRERRRDKKRKRRDKRSKRKSRRASDSLTDDDSESSRESSSDNDDQGKPQKHEGHSQKSVGNQSPSATERAIPHRKTEEAGLVKECEAPKENGERKSNGIEEDTKSDRGAERQPDVVDDRPSKSRSASPKRTMSKSISPWRSQRRCPSLSPRRSVSRSPVASRNPPCFPERSGSRNPARSISRSPTRARKNRSISKSPVRGHPRRTIGRSPVRSRSRSRKSPSRSPPRSTGKSISRSPVRLSKRSTSKSPARSSRRSISRSPIRSRRSTSRSPVRSSRRSISRSSARAPTRRSISRSPLREPSRNYRRSYSRSPTTVRRVRSPPGRGRSMSTSVSPDASPKRIRRGRGFSERYSYARRYRTPSPDRSPVRSYRFSGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSLSVSPSPRYRNRRYGHSPSRSPSRSRTSIRSRSPSRSRTPIRSRSPVDSPRAGRRRSPSQSRSRSESRSSLNSQSPKKVSKAKSRSSSGSPDSGRGLVSYDDGSPDSGR >KJB07778 pep chromosome:Graimondii2_0_v6:1:4294199:4301795:-1 gene:B456_001G045000 transcript:KJB07778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNPLVFMDVSIDGDPAERMVFELFPDIAPKTAENFRALCTGEKGIGPKTGKPLHYKGSFFHRVMKGSLAQGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFVITFKANHDLDRKYIVFGQLVQGNEVLKKIENVGDEEGIPTVTVKIINCGEVIEDKRKNKLRTGKDASSGANNYEVRRKGKNKKSSRDKRKKRRRHYSSDSDSSSDSEIESSESDSDSDSYLSSSSDISSSSDDGHKKRKRYFKRGKYRRGKKRERRRDKKRKRRDKRSKRKSRRASDSLTDDDSESSRESSSDNDDQGKPQKHEGHSQKSVGNQSPSATERAIPHRKTEEAGLVKECEAPKENGERKSNGIEEDTKSDRGAERQPDVVDDRPSKSRSASPKRTMSKSISPWRSQRRCPSLSPRRSVSRSPVASRNPPCFPERSGSRNPARSISRSPTRARKNRSISKSPVRGHPRRTIGRSPVRSRSRSRKSPSRSPPRSTGKSISRSPVRLSKRSTSKSPARSSRRSISRSPIRSRRSTSRSPVRSSRRSISRSSARAPTRRSISRSPLREPSRNYRRSYSRSPTTVRRVRSPPGRGRSMSTSVSPDASPKRIRRGRGFSERYSYARRYRTPSPDRSPVRSYRFSGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSLSVSPSPRYRNRRYGHSPSRSPSRSRTSIRSRSPSRSRTPIRSRSPVDSPRAGRRRSPSQSRSRSESRSSLNSQSPKKVSKAKSRSSSGSPDSGRGLVSYDDGSPDSGR >KJB07785 pep chromosome:Graimondii2_0_v6:1:4294197:4300952:-1 gene:B456_001G045000 transcript:KJB07785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNPLVFMDVSIDGDPAERMVFELFPDIAPKTAENFRALCTGEKGIGPKTGKPLHYKGSFFHRVMKGSLAQGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFVITFKANHDLDRKYIVFGQLVQGNEVLKKIENVGDEEGIPTVTVKIINCGEVIEDKRKNKLRTGKDASSGANNYEVRRKGKNKKSSRDKRKKRRRHYSSDSDSSSDSEIESSESDSDSDSYLSSSSDISSSSDDGHKKRKRYFKRGKYRRGKKRERRRDKKRKRRDKRSKRKSRRASDSLTDDDSESSRESSSDNDDQGKPQKHEGHSQKSVGNQSPSATERAIPHRKTEEAGLVKECEAPKENGERKSNGIEEDTKSDRGAERQPDVVDDRPSKSRSASPKRTMSKSISPWRSQRRCPSLSPRRSVSRSPVASRNPPCFPERSGSRNPARSISRSPTRARKNRSISKSPVRGHPRRTIGRSPVRSRSRSRKSPSRSPPRSTGKSISRSPVRLSKRSTSKSPARSSRRSISRSPIRSRRSTSRSPVRSSRRSISRSSARAPTRRSISRSPLREPSRNYRRSYSRSPTTVRRVRSPPGRGRSMSTSVSPDASPKRIRRGRGFSERYSYARRYRTPSPDRSPVRSYRFSGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSLSVSPSPRYRNRRYGHSPSRSPSRSRTSIRSRSPSRSRTPIRSRSPVDSPRAGRRRSPSQSRSRSESRSSLNSQSPKKVSKAKSRSSSGSPDSGRGLVSYDDGSPDSGR >KJB07786 pep chromosome:Graimondii2_0_v6:1:4294654:4300870:-1 gene:B456_001G045000 transcript:KJB07786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNPLVFMDVSIDGDPAERMVFEFSLILQLFPDIAPKTAENFRALCTGEKGIGPKTGKPLHYKGSFFHRVMKGSLAQGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFVITFKANHDLDRKYIVFGQLVQGNEVLKKIENVGDEEGIPTVTVKIINCGEVIEDKRKNKLRTGKDASSGANNYEVRRKGKNKKSSRDKRKKRRRHYSSDSDSSSDSEIESSESDSDSDSYLSSSSDISSSSDDGHKKRKRYFKRGKYRRGKKRERRRDKKRKRRDKRSKRKSRRASDSLTDDDSESSRESSSDNDDQGKPQKHEGHSQKSVGNQSPSATERAIPHRKTEEAGLVKECEAPKENGERKSNGIEEDTKSDRGAERQPDVVDDRPSKSRSRSASPKRTMSKSISPWRSQRRCPSLSPRRSVSRSPVASRNPPCFPERSGSRNPARSISRSPTRARKNRSISKSPVRGHPRRTIGRSPVRSRSRSRKSPSRSPPRSTGKSISRSPVRLSKRSTSKSPARSSRRSISRSPIRSRRSTSRSPVRSSRRSISRSSARAPTRRSISRSPLREPSRNYRRSYSRSPTTVRRVRSPPGRGRSMSTSVSPDASPKRIRRGRGFSERYSYARRYRTPSPDRSPVRSYRFSGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSLSVSPSPRYRNRRYGHSPSRSPSRSRTSIRSRSPSRSRTPIRSRSPVDSPRAGRRRSPSQSRSRSESRSSLNSQSPKKVSKAKSRSSSGSPDSGRGLVSYDDGSPDSGR >KJB07784 pep chromosome:Graimondii2_0_v6:1:4294199:4301795:-1 gene:B456_001G045000 transcript:KJB07784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNPLVFMDVSIDGDPAERMVFELFPDIAPKTAENFRALCTGEKGIGPKTGKPLHYKGSFFHRVMKGSLAQGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFVITFKANHDLDRKYIVFGQLVQGNEVLKKIENVGDEEGIPTVTVKIINCGEVIEDKRKNKLRTGKDASSGANNYEVRRKGKNKKSSRDKRKKRRRHYSSDSDSSSDSEIESSESDSDSDSYLSSSSDISSSSDDGHKKRKRYFKRGKYRRGKKRERRRDKKRKRRDKRSKRKSRRASDSLTDDDSESSRESSSDNDDQGKPQKHEGHSQKSVGNQSPSATERAIPHRKTEEAGLVKECEAPKENGERKSNGIEEDTKSDRGAERQPDVVDDRPSKSRSRSASPKRTMSKSISPWRSQRRCPSLSPRRSVSRSPVASRNPPCFPERSGSRNPARSISRSPTRARKNRSISKSPVRGHPRRTIGRSPVRSRSRSRKSPSRSPPRSTGKSISRSPVRLSKRSTSKSPARSSRRSISRSPIRSRRSTSRSPVRSSRRSISRSSARAPTRRSISRSPLREPSRNYRRSYSRSPTTVRRVRSPPGRGRSMSTSVSPDASPKRIRRGRGFSERYSYARRYRTPSPDRSPVRSYRFSGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSLSVSPSPRYRNRRYGHSPIEVEPLFAAVVQ >KJB11426 pep chromosome:Graimondii2_0_v6:1:53202360:53205033:1 gene:B456_001G258100 transcript:KJB11426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMKGEQWSNLGSTMAAIMFVYAMLRQYFPPQLQDYIFRYGKKLSNFMYPYIHVTFDEFIGERMKKSEAFSAIQNYLSDKSSANAKRLKADVVKDSQSLVLSMDYNEEITDEFNGIKLWWSAKRTTPKTQQFSFYPGADEKRFYTLKFHKRDRQVITGIYLSHVLKQGKAIAADNRQRKLYSNGAGQGWLGNRSSTTWTHVAFEHPATFDTLAMDEKKKREIKKDLVKFSNGKEYYAKIGKAWKRGYLLYGPPGTGKSTMVAVMANFLNYDVYDLELTTVKNNVELRRLLIETSNKSIIVIEDIDCSLDLTGQREEKKKKKKKDDKNEEGDPISAMSKNEERKESEVTLSGLLNFIDGIWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCRFEAFKVLAKNYLDIDSHPLFGEIGNLLEETDMTPADVAENLMLKSDDDDDDDDDEVETCLKNLIEALKTAKDEASKKAEEDARLKAEKEQKEKEEAEKEQSVKEDVTQHAISAKEVKDNGVIH >KJB06425 pep chromosome:Graimondii2_0_v6:1:25955505:25959029:1 gene:B456_001G175700 transcript:KJB06425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAALTLSSPLTVSTTTKQKPRPPHNPKPKITCSSLTTQSQSAKQHLLNLISDQERGLKTQNDTNKRDSIIKSIDAMAVLGRNTVTTGDSLSATWRLLWTTEKEQLFIIEKAYLFGTQAGDVLQVIDVDNKTLNNVITFPPDGVFFVRSSIEIASSQRVNFKFTSAVLRGKNWEFPLPPFGQGWFETVYLDDEIRVVKDIRDDYLVVERAPYNWKE >KJB06426 pep chromosome:Graimondii2_0_v6:1:25955505:25959101:1 gene:B456_001G175700 transcript:KJB06426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAALTLSSPLTVSTTTKQKPRPPHNPKPKITCSSLTTQSQSAKQHLLNLISDQERGLKTQNDTNKRDSIIKSIDAMAVLGRNTVTTGDSLSATWRLLWTTEKEQLFIIEKAYLFGTQAGDVLQVIDVDNKTLNNVITFPPDGVFFVRSSIEIASSQRVNFKFTSAVLRGKNWEFPLPPFGQGWFETVYLDDEIRVVKDIRDDYLVVERAPYNWKE >KJB06422 pep chromosome:Graimondii2_0_v6:1:25955939:25956582:1 gene:B456_001G175700 transcript:KJB06422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAALTLSSPLTVSTTTKQKPRPPHNPKPKITCSSLTTQSQSAKQHLLNLISDQERGLKTQNDTNKRDSIIKSIDAMAVLGRNTVTTGDSLSATWRLLWTTEKEQLFIIEKAYLFGTQAGDVLQVIDVDNKTLNNVITFPPDGVFFVRSSIEIASSQRVNFKFTSAVLRGKNWEFPLPPFGQGW >KJB06423 pep chromosome:Graimondii2_0_v6:1:25955505:25959029:1 gene:B456_001G175700 transcript:KJB06423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAALTLSSPLTVSTTTKQKPRPPHNPKPKITCSSLTTQSQSAKQHLLNLISDQERGLKTQNDTNKRDSIIKSIDAMAVLGRNTVTTGDSLSATWRLLWTTEKEQLFIIEKAYLFGTQAGDVLQVIDVDNKTLNNVITFPPDGVFFVRSSIEIASSQRVNFKFTSAVLRGKNWEFPLPPFGQVIHNFRS >KJB06420 pep chromosome:Graimondii2_0_v6:1:25955505:25956736:1 gene:B456_001G175700 transcript:KJB06420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAALTLSSPLTVSTTTKQKPRPPHNPKPKITCSSLTTQSQSAKQHLLNLISDQERGLKTQNDTNKRDSIIKSIDAMAVLGRNTVTTGDSLSATWRLLWTTEKEQLFIIEKAYLFGTQAGDVLQVIDVDNKTLNNVITFPPDGVFFVRSSIEIASSQRVNFKFTSAVLRGKNWEFPLPPFGQGWNSGFQV >KJB06424 pep chromosome:Graimondii2_0_v6:1:25955939:25956582:1 gene:B456_001G175700 transcript:KJB06424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAALTLSSPLTVSTTTKQKPRPPHNPKPKITCSSLTTQSQSAKQHLLNLISDQERGLKTQNDTNKRDSIIKSIDAMAVLGRNTVTTGDSLSATWRLLWTTEKEQLFIIEKAYLFGTQAGDVLQVIDVDNKTLNNVITFPPDGVFFVRSSIEIASSQRVNFKFTSAVLRGKNWEFPLPPFGQGW >KJB06421 pep chromosome:Graimondii2_0_v6:1:25955505:25959029:1 gene:B456_001G175700 transcript:KJB06421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAALTLSSPLTVSTTTKQKPRPPHNPKPKITCSSLTTQSQSAKQHLLNLISDQERGLKTQNDTNKRDSIIKSIDAMAVLGRNTVTTGDSLSATWRLLWTTEKEQLFIIEKAYLFGTQAGDVLQVIDVDNKTLNNVITFPPDGVFFVRSSIEIASSQRVNFKFTSAVLRGKNWEFPLPPFGQGWFETVYLDDEIRVVKDIRDDYLVVERAPYNWKE >KJB06427 pep chromosome:Graimondii2_0_v6:1:25955505:25959101:1 gene:B456_001G175700 transcript:KJB06427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAALTLSSPLTVSTTTKQKPRPPHNPKPKITCSSLTTQSQSAKQHLLNLISDQERGLKTQNDTNKRDSIIKSIDAMAVLGRNTVTTGDSLSATWRLLWTTEKEQLFIIEKAYLFGTQAGDVLQVIDVDNKTLNNVITFPPDGVFFVRSSIEIASSQRVNFKFTSAVLRGKNWEFPLPPFGQGWFETVYLDDEIRVVKDIRDDYLVVERAPYNWKE >KJB07595 pep chromosome:Graimondii2_0_v6:1:2959380:2960872:-1 gene:B456_001G032000 transcript:KJB07595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRASLERPELCRHGKRSVRRRDCHPKNNKNPGLLHGKYELGRILGHGTFAKVYHARNLQTGKSIAMKVVGKEKVINAGMTEQIKREISVMKMVKHPNIVELHEIMATKTKIYFAMELVRGGELFSKVAKGRLDEDSARLYFQQLVSAIDFCHSRGVYHRDLKPENLLLDEDGNLKVADFGLSAFSEHLKQDGLLHTSCGTPAYVAPEVIGKKGYDGAKVDIWSCGVILYVLLAGFLPFQDDNLIAMCRKIYRGDFKCPPWFSSEARRLITKLLDPNPKTRITISKIMNSSWFKKSTPKVVKLKTKEDPEFEHFNGDKSSKPETLNAFHIISLSDGFDLSPLFEEKKREEKQELRFATTRPASSVISRLEEVAKSVKFSVKKTESRVRLQGQECGRKGKLAVAADIFTVTPSFLVVEVKKDNGDTLEYNQFCSKELRPALKDIVWTSPAENSTLA >KJB08036 pep chromosome:Graimondii2_0_v6:1:5987446:5990514:1 gene:B456_001G060500 transcript:KJB08036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFRAFLNSPVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTSAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWARAQGYLSEKKEEASSQ >KJB08037 pep chromosome:Graimondii2_0_v6:1:5987446:5990514:1 gene:B456_001G060500 transcript:KJB08037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPPEMISGNMTSAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWARAQGYLSEKKEEASSQ >KJB08035 pep chromosome:Graimondii2_0_v6:1:5987446:5989353:1 gene:B456_001G060500 transcript:KJB08035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFRAFLNSPVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTSAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWARAQG >KJB08034 pep chromosome:Graimondii2_0_v6:1:5987431:5990564:1 gene:B456_001G060500 transcript:KJB08034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFRAFLNSPVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTSAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWARAQGYLSEKKEEASSQ >KJB09341 pep chromosome:Graimondii2_0_v6:1:17709448:17716455:1 gene:B456_001G135600 transcript:KJB09341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA lyase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G26800) UniProtKB/Swiss-Prot;Acc:O81027] MSSLEEPLGFDKLPSMSTIDRIQRFSAGACRPTVDDMGMGNCWIEGRGCSTSNSSDEDYEEYKGEAFPWRRHVRDASDGEAFNRRAKSLSKNRMKFGHVCKSRNLPDQHYSSKCTERGTRGITNKFLNGIPKFVKIVEVGPRDGLQNEKNIVPTSVKVELIRRLVSSGLPVVEATSFVSPKWVPQLADAKDVMKAVCDIEDARLPVLTPNVKGFEAAVAAGAKEVAIFASASESFSKSNINCSIEESLARYRAVCLAAKEHSVPVRGGRNNSSVESGLCGKGTLRYGLF >KJB09343 pep chromosome:Graimondii2_0_v6:1:17709448:17717672:1 gene:B456_001G135600 transcript:KJB09343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA lyase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G26800) UniProtKB/Swiss-Prot;Acc:O81027] MSSLEEPLGFDKLPSMSTIDRIQRFSAGACRPTVDDMGMGNCWIEGRGCSTSNSSDEDYEEYKGEAFPWRRHVRDASDGEAFNRRAKSLSKNRMKFGHVCKSRNLPDQHYSSKCTERGTRGITNKFLNGIPKFVKIVEVGPRDGLQNEKNIVPTSVKVELIRRLVSSGLPVVEATSFVSPKWVPQLADAKDVMKAVCDIEDARLPVLTPNVKGFEAAVAAGAKEVAIFASASESFSKSNINCSIEESLARYRAVCLAAKEHSVPVRGWGLAQWIHQWRGWVVVHMQRELQGMFPRKMWCIC >KJB09342 pep chromosome:Graimondii2_0_v6:1:17709448:17717672:1 gene:B456_001G135600 transcript:KJB09342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA lyase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G26800) UniProtKB/Swiss-Prot;Acc:O81027] MSSLEEPLGFDKLPSMSTIDRIQRFSAGACRPTVDDMGMGNCWIEGRGCSTSNSSDEDYEEYKGEAFPWRRHVRDASDGEAFNRRAKSLSKNRMKFGHVCKSRNLPDQHYSSKCTERGTRGITNKFLNGIPKFVKIVEVGPRDGLQNEKNIVPTSVKVELIRRLVSSGLPVVEATSFVSPKWVPQLADAKDVMKAVCDIEDARLPVLTPNVKGFEAAVAAGAKEVAIFASASESFSKSNINCSIEESLARYRAVCLAAKEHSVPVRGYVSCVVGCPVEGTIPPSKVAYVAKELYDMGCFEISLGDTIGVGTPDGD >KJB09340 pep chromosome:Graimondii2_0_v6:1:17709344:17717769:1 gene:B456_001G135600 transcript:KJB09340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA lyase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G26800) UniProtKB/Swiss-Prot;Acc:O81027] MSSLEEPLGFDKLPSMSTIDRIQRFSAGACRPTVDDMGMGNCWIEGRGCSTSNSSDEDYEEYKGEAFPWRRHVRDASDGEAFNRRAKSLSKNRMKFGHVCKSRNLPDQHYSSKCTERGTRGITNKFLNGIPKFVKIVEVGPRDGLQNEKNIVPTSVKVELIRRLVSSGLPVVEATSFVSPKWVPQLADAKDVMKAVCDIEDARLPVLTPNVKGFEAAVAAGAKEVAIFASASESFSKSNINCSIEESLARYRAVCLAAKEHSVPVRGYVSCVVGCPVEGTIPPSKVAYVAKELYDMGCFEISLGDTIGVGTPGTVIPMLEAVMAVVPAEKLAVHFHDTYGQSLPNILISLQMGISTVDSSVAGLGGCPYAKGASGNVPTEDVVYMLNGLGVKTNVDLAKLMLAGEFISNHLGRQSGSKTAVALCRVTADASKI >KJB06361 pep chromosome:Graimondii2_0_v6:1:3800623:3805717:-1 gene:B456_001G041400 transcript:KJB06361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATKNIWTAMEANHAKQSHNGNSTFDDHSILHSNLSVDTALPFPLMVPRVIALCKDLFRKWAKLNDSCFSVDTVSGGITNLLLKVSVKEENGEYVSVTVRLYGPNTEYVINRERDQIPLSCRIWCQVAWCIWKWDGTIFYKYMRKPKLVSEIAKQLRRFHQVEIPGSKEPQLWVDIFKFFEKASTLQFEDTDKQRTYETISFKEVHKEVTELKELTTLLNSPVVFAHNDLLSGNLMHNEEQEKLYLIDFEYGSYNYRGFDIGNHFNEYAGYDCDYSLYPSKDEQYHFFRHYLEPEKPYEVSEKDLEALYVETNTFMLASHLYWALWALIQARMSPIDFDYLGYYFLRYNEYKKQKRMCFYLAKSHISGSGKA >KJB06364 pep chromosome:Graimondii2_0_v6:1:3804598:3805649:-1 gene:B456_001G041400 transcript:KJB06364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANHAKQSHNGNSTFDDHSILHSNLSVDTALPFPLMVPRVIALCKDLFRKWAKLNDSCFSVDTVSGGITNLLLKVSVKEENGEYVSVTVRLYGPNTEYVINRERELRVFSDAFRY >KJB06362 pep chromosome:Graimondii2_0_v6:1:3800964:3805656:-1 gene:B456_001G041400 transcript:KJB06362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATKNIWTAMEANHAKQSHNGNSTFDDHSILHSNLSVDTALPFPLMVPRVIALCKDLFRKWAKLNDSCFSVDTVSGGITNLLLKVSVKEENGEYVSVTVRLYGPNTEYVINRERELRAIKYLSAAGFGAKLLGVFGNGMVQSFINARTLTPADMRKPKLVSEIAKQLRRFHQVEIPGSKEPQLWVDIFKFFEKASTLQFEDTDKQRTYETISFKEVHKEVTELKELTTLLNSPVVFAHNDLLSGNLMHNEEQEKLYLIDFEYGSYNYRGFDIGNHFNEYAGYDCDYSLYPSKDEQYHFFRHYLEPEKPYEVSEKDLEALYVETNTFMLASHLYWALWALIQARMSPIDFDYLGYYFLRYNEYKKQKRMCFYLAKSHISGSGKA >KJB06363 pep chromosome:Graimondii2_0_v6:1:3803173:3805690:-1 gene:B456_001G041400 transcript:KJB06363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATKNIWTAMEANHAKQSHNGNSTFDDHSILHSNLSVDTALPFPLMVPRVIALCKDLFRKWAKLNDSCFSVDTVSGGITNLLLKVSVKEENGEYVSVTVRLYGPNTEYVINRERELRAIKYLSAAGFGAKLLGVFGNGMVQSFINARTLTPAGKHQRDKLRE >KJB07337 pep chromosome:Graimondii2_0_v6:1:1578784:1585311:-1 gene:B456_001G017100 transcript:KJB07337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDFFPDSPTGDFCDSVLRHFSKSDQEDSQRLCATVGAMTQELKEQNLPLSPIAYFGATCSSLDRLGSEPDSPPHVVQSLATVLSLLLPRIPVAILKKKGDFVSRMVVTVLRLNSVTEVTLTSGLKCLAQLLIAGDKVNWSDLSQNYGVLIGYLTDSRSKVRRQSHLCIRDVLQSLRGTPVLAPASEAISNLFERFLLLAGGSNANSSEGVKGAQEVLYVLDALKDSLPLMSMKYMTTILKYYKTLLELRQPLVTRRVTDSLNVVCTYPNIEVSAEALLDLLSFLAVSVSASEASPVSLTFNARLLSSGMMKVQSLNRQLCVIKLPIVFSALKDILGSEHEEAIFSATEAFKTLIDGCIDEGLIKQGVDQIIHAQSDDRKSGPTIIEKVCAIIESLLDYHYSVAWDMAFQVVSTMFDKLGYYSSYFMKGTIKNLADMQSLPDEDFPYRKQLHECVGSALGAMGPETFLGILPLNFQANDLSEVNVWLFPILKQHIVGARLGFFCETLLGLVEEMKQRSRRLGLEGKVFSSRSADALVYSVWSLLPSFCNYPLDTAKSFKDLLKPICSALDEEHDIRGIICSSLQILIQQNKRIKEGKDDADSAEICPAKQRAISHYTPEIAGENLNVLTASAPQLLKLLSGIFMKSTVDEGGSLQSTIGEFASIAHKNVVRTLFKNTMERLLEVTQQAGVAEASNMQVDNSSSKSSLFLKRARLIDLAVSLLPGLDEPALNLLFIAIKPALQDVDGLIQKKAYKVLSIILRNQKGFLSAKLEELLKLMIEVLPSLHFSAKRHRLDCLYELITHASKVDPNLRRHEILSSFLTEIILALKEANKKTRNRAYEVLVQIGHEYGDQDDGGQREHLFNMVARGLAGETPHMISAAVKGLARLAYEFSDLVSSAYKLLPSTYLLLQRKNREIIKANLGLLKVLVAKSQAEGLQAHLASLVEGLLKWQDDTKNHFKAKVKLLLEMLVRKCGIDAVKAVMPEEHMKLLTNIRKIKERKEKKQAVSSVESKSHLSKATTSRFLTLLHCIHTIIHIAIICTISSVFRVLFIGTPLIFHTCLNVQA >KJB07336 pep chromosome:Graimondii2_0_v6:1:1578749:1585413:-1 gene:B456_001G017100 transcript:KJB07336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDFFPDSPTGDFCDSVLRHFSKSDQEDSQRLCATVGAMTQELKEQNLPLSPIAYFGATCSSLDRLGSEPDSPPHVVQSLATVLSLLLPRIPVAILKKKGDFVSRMVVTVLRLNSVTEVTLTSGLKCLAQLLIAGDKVNWSDLSQNYGVLIGYLTDSRSKVRRQSHLCIRDVLQSLRGTPVLAPASEAISNLFERFLLLAGGSNANSSEGVKGAQEVLYVLDALKDSLPLMSMKYMTTILKYYKTLLELRQPLVTRRVTDSLNVVCTYPNIEVSAEALLDLLSFLAVSVSASEASPVSLTFNARLLSSGMMKVQSLNRQLCVIKLPIVFSALKDILGSEHEEAIFSATEAFKTLIDGCIDEGLIKQGVDQIIHAQSDDRKSGPTIIEKVCAIIESLLDYHYSVAWDMAFQVVSTMFDKLGYYSSYFMKGTIKNLADMQSLPDEDFPYRKQLHECVGSALGAMGPETFLGILPLNFQANDLSEVNVWLFPILKQHIVGARLGFFCETLLGLVEEMKQRSRRLGLEGKVFSSRSADALVYSVWSLLPSFCNYPLDTAKSFKDLLKPICSALDEEHDIRGIICSSLQILIQQNKRIKEGKDDADSAEICPAKQRAISHYTPEIAGENLNVLTASAPQLLKLLSGIFMKSTVDEGGSLQSTIGEFASIAHKNVVRTLFKNTMERLLEVTQQAGVAEASNMQVDNSSSKSSLFLKRARLIDLAVSLLPGLDEPALNLLFIAIKPALQDVDGLIQKKAYKVLSIILRNQKGFLSAKLEELLKLMIEVLPSLHFSAKRHRLDCLYELITHASKVDPNLRRHEILSSFLTEIILALKEANKKTRNRAYEVLVQIGHEYGDQDDGGQREHLFNMVARGLAGETPHMISAAVKGLARLAYEFSDLVSSAYKLLPSTYLLLQRKNREIIKANLGLLKVLVAKSQAEGLQAHLASLVEGLLKWQDDTKNHFKAKVKLLLEMLVRKCGIDAVKAVMPEEHMKLLTNIRKIKERKEKKQAVSSVESKSHLSKATTSRLSRWNHTKIFSDFGDDDTDDSDVEMASGQKSKASSKLKSKASTLRSKKTRRAEKSLPEDLLDQLEDEPLDLLDRHKTRSALRSSSNLKRKQDSDDEPEFDPEGRLIINEGRKPKKVAASDADSDRRSEAPSHFSVGSSRNNQKRRKTSESGWAYTGSEYASKKAGGDVKRKDKLEPYAYWPLDRKMMSRRPEHRAAARKGMASVVKMTKKLEGKSTSTALSSKFIKSRKIQKKGGKRKR >KJB07338 pep chromosome:Graimondii2_0_v6:1:1579820:1585311:-1 gene:B456_001G017100 transcript:KJB07338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDFFPDSPTGDFCDSVLRHFSKSDQEDSQRLCATVGAMTQELKEQNLPLSPIAYFGATCSSLDRLGSEPDSPPHVVQSLATVLSLLLPRIPVAILKKKGDFVSRMVVTVLRLNSVTEVTLTSGLKCLAQLLIAGDKVNWSDLSQNYGVLIGYLTDSRSKVRRQSHLCIRDVLQSLRGTPVLAPASEAISNLFERFLLLAGGSNANSSEGVKGAQEVLYVLDALKDSLPLMSMKYMTTILKYYKTLLELRQPLVTRRVTDSLNVVCTYPNIEVSAEALLDLLSFLAVSVSASEASPVSLTFNARLLSSGMMKVQSLNRQLCVIKLPIVFSALKDILGSEHEEAIFSATEAFKTLIDGCIDEGLIKQGVDQIIHAQSDDRKSGPTIIEKVCAIIESLLDYHYSVAWDMAFQVVSTMFDKLGYYSSYFMKGTIKNLADMQSLPDEDFPYRKQLHECVGSALGAMGPETFLGILPLNFQANDLSEVNVWLFPILKQHIVGARLGFFCETLLGLVEEMKQRSRRLGLEGKVFSSRSADALVYSVWSLLPSFCNYPLDTAKSFKDLLKPICSALDEEHDIRGIICSSLQILIQQNKRIKEGKDDADSAEICPAKQRAISHYTPEIAGENLNVLTASAPQLLKLLSGIFMKSTVDEGGSLQSTIGEFASIAHKNVVRTLFKNTMERLLEVTQQAGVAEASNMQVDNSSSKSSLFLKRARLIDLAVSLLPGLDEPALNLLFIAIKPALQDVDGLIQKKAYKVLSIILRNQKGFLSAKLEELLKLMIEVLPSLHFSAKRHRLDCLYELITHASKVDPNLRRHEILSSFLTEIILALKEANKKTRNRAYEVLVQIGHEYGDQDDGGQREHLFNMVARGLAGETPHMISAAVKGLARLAYEFSDLVSSAYKLLPSTYLLLQRKNREIIKANLGLLKVLVAKSQAEGLQAHLASLVEGLLKWQDDTKNHFKAKVKLLLEMLVRKCGIDAVKAVMPEEHMKLLTNIRKIKERKEKKQAVSSVESKSHLSKATTSRLSRWNHTKIFSDFGDDDTDDSDVEMASGQKSKASSKLKSKASTLRSVNHITVFLGTNVS >KJB07962 pep chromosome:Graimondii2_0_v6:1:5391105:5393171:1 gene:B456_001G055700 transcript:KJB07962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLSWVSVFGDLGVIVSFMVAFSPMPAFYQIYKKKTSEGFQSLPYVISLFSALLWIYYALLKRNEMHLIIINIFCCFIQSFYIVTYFYYGRKKEKVEAVKLMLLFNVFGSGLIFFSTYFLHNPKTRLCILGYICLGFSASTYAAPLAIVRKVIKTKSVEFMPFTLSVFLTIQAVMWFFYGLLKKDINIAGPNILGFIFGILQMILYAIYKNHPKKMVVEDPKLQLSDQLESVVSSDVNTTAPQTKDKAYNNGGGGGGDVEAQNIKKNTLDASQKV >KJB09820 pep chromosome:Graimondii2_0_v6:1:24152714:24157584:-1 gene:B456_001G169300 transcript:KJB09820 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 33 [Source:Projected from Arabidopsis thaliana (AT2G45910) UniProtKB/Swiss-Prot;Acc:Q8GUH1] MISENRIRMLVMGGAADKHYSKYKPHKKVVDLKSKKAIFVRENAPNTCHTIWFLCKGLLIYTRKLSSDVTDTKVASSSLPASPNLESSENHFRSQSVILRQTSRVKPSTSAPDSLRRVRSENVYGHVGSTLGFPSPDGNEGLSTPWNRSDVEGSSNEWDGLSRSPQNSVLSSSSSNGMADAALVPYMGTEVNGNGLQSSLIPHAEGNFNLSSLPSIQDVTTDNTLYDQLQQVMAEATNSRREAFEEAMKRSEAEKDALVAIRKVKASEILYAQELKQRKEIEEALAKEKDKLGKMKNQRDEVRIELQAALGQKSSLENQIAESEKEVKELQEKIFSAVELLQNYKKERDELQMERDNALKEAEELRKSRAEPSGAHMHQFFTEFSFTEIEEATLNFDPSLKIGEGGYGSIYKGNLRHTTVAIKRLHSNSLQGPSEFQQEVDVLSKMRHPNLVTLIGACPDAWTLIYEYLPNGSLEDRLSCRGNSPPLSWQTRIRLATELCSVLIFLHSSKPHGIVHGDLKPANILLDANFVTKLSDFGICRLLSNNTTICCRTDPKGTFAYMDPEFLSTGELTQKADVYSFGVILLRLLTGRQALGIIKEVQYALDNGNLKNLLDPLAGDWPFVQAEQLANLALRCCEMNRRCRPDLSTDVWRVLEPMRASCGGSSSFQLGSEEQCHPPSYFICPIFQEVMQDPHVAADGFTYEAEALRGWLDSGHDTSPMTNIKLAHSNLVPNLALRSAIQEWLQQH >KJB09823 pep chromosome:Graimondii2_0_v6:1:24152714:24158770:-1 gene:B456_001G169300 transcript:KJB09823 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 33 [Source:Projected from Arabidopsis thaliana (AT2G45910) UniProtKB/Swiss-Prot;Acc:Q8GUH1] MALVSVVPAITEGVNSMRFCDVRAPGILSSGREIVEETVACIIEEKIYVAVGKNVDKYKSVLFWALQHSGGKKICIIHVHQPAKMIPVSEMGTKFPASKLEEQEVKAYWEIERKNMEKMLNDYLLLCLQRGVQAEKLYIERDSIEQGILEMISENRIRMLVMGGAADKHYSKYKPHKKVVDLKSKKAIFVRENAPNTCHTIWFLCKGLLIYTRKLSSDVTDTKVASSSLPASPNLESSENHFRSQSVILRQTSRVKPSTSAPDSLRRVRSENVYGHVGSTLGFPSPDGNEGLSTPWNRSDVEGSSNEWDGLSRSPQNSVLSSSSSNGMADAALVPYMGTEVNGNGLQSSLIPHAEGNFNLSSLPSIQDVTTDNTLYDQLQQVMAEATNSRREAFEEAMKRSEAEKDALVAIRKVKASEILYAQELKQRKEIEEALAKEKDKLGKMKNQRDEVRIELQAALGQKSSLENQIAESEKEVKELQEKIFSAVELLQNYKKERDELQMERDNALKEAEELRKSRAEPSGAHMHQFFTEFSFTEIEEATLNFDPSLKIGEGGYGSIYKG >KJB09825 pep chromosome:Graimondii2_0_v6:1:24154442:24158770:-1 gene:B456_001G169300 transcript:KJB09825 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 33 [Source:Projected from Arabidopsis thaliana (AT2G45910) UniProtKB/Swiss-Prot;Acc:Q8GUH1] MALVSVVPAITEGVNSMRFCDVRAPGILSSGREIVEETVACIIEEKIYVAVGKNVDKYKSVLFWALQHSGGKKICIIHVHQPAKMIPVSEMGTKFPASKLEEQEVKAYWEIERKNMEKMLNDYLLLCLQRGVQAEKLYIERDSIEQGILEMISENRIRMLVMGGAADKHYSKYKPHKKVVDLKSKKAIFVRENAPNTCHTIWFLCKGLLIYTRKLSSDVTDTKVASSSLPASPNLESSENHFRSQSVILRQTSRVKPSTSAPDSLRRVRSENVYGHVGSTLGFPSPDGNEGLSTPWNRSDVEGSSNEWDGLSRSPQNSVLSSSSSNGMADAALVPYMGTEVNGNGLQSSLIPHAEGNFNLSSLPSIQDVTTDNTLYDQLQQVMAEATNSRREAFEEAMKRSEAEKDALVAIRKVKASEILYAQELKQRKEIEEALAKEKDKLGKMKNQRDEVRIELQAALGQKSSLENQIAESEKEVKELQEKIFSAVELLQNYKKERDELQMERDNALKEAEELRKSRAEPSGAHMHQFFTEFSFTEIEEATLNFDPSLKIGEGGYGSIYKGNLRHTTVAIKRLHSNSLQGPSEFQQEV >KJB09822 pep chromosome:Graimondii2_0_v6:1:24152714:24158770:-1 gene:B456_001G169300 transcript:KJB09822 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 33 [Source:Projected from Arabidopsis thaliana (AT2G45910) UniProtKB/Swiss-Prot;Acc:Q8GUH1] MALVSVVPAITEGVNSMRFCDVRAPGILSSGREIVEETVACIIEEKIYVAVGKNVDKYKSVLFWALQHSGGKKICIIHVHQPAKMIPVSEMGTKFPASKLEEQEVKAYWEIERKNMEKMLNDYLLLCLQRGVQAEKLYIERDSIEQGILEMISENRIRMLVMGGAADKHYSKYKPHKKVVDLKSKKAIFVRENAPNTCHTIWFLCKGLLIYTRKLSSDVTDTKVASSSLPASPNLESSENHFRSQSVILRQTSRVKPSTSAPDSLRRVRSENVYGHVGSTLGFPSPDGNEGLSTPWNRSDVEGSSNEWDGLSRSPQNSVLSSSSSNGMADAALVPYMGTEVNGNGLQSSLIPHAEGNFNLSSLPSIQDVTTDNTLYDQLQQVMAEATNSRREAFEEAMKRSEAEKDALVAIRKVTSEILYAQELKQRKEIEEALAKEKDKLGKMKNQRDEVRIELQAALGQKSSLENQIAESEKEVKELQEKIFSAVELLQNYKKERDELQMERDNALKEAEELRKSRAEPSGAHMHQFFTEFSFTEIEEATLNFDPSLKIGEGGYGSIYKGNLRHTTVAIKRLHSNSLQGPSEFQQEVDVLSKMRHPNLVTLIGACPDAWTLIYEYLPNGSLEDRLSCRGNSPPLSWQTRIRLATELCSVLIFLHSSKPHGIVHGDLKPANILLDANFVTKLSDFGICRLLSNNTTICCRTDPKGTFAYMDPEFLSTGELTQKADVYSFGVILLRLLTGRQALGIIKEVQYALDNGNLKNLLDPLAGDWPFVQAEQLANLALRCCEMNRRCRPDLSTDVWRVLEPMRASCGGSSSFQLGSEEQCHPPSYFICPIFQEVMQDPHVAADGFTYEAEALRGWLDSGHDTSPMTNIKLAHSNLVPNLALRSAIQEWLQQH >KJB09821 pep chromosome:Graimondii2_0_v6:1:24152714:24158731:-1 gene:B456_001G169300 transcript:KJB09821 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 33 [Source:Projected from Arabidopsis thaliana (AT2G45910) UniProtKB/Swiss-Prot;Acc:Q8GUH1] MALVSVVPAITEGVNSMRFCDVRAPGILSSGREIVEETVACIIEEKIYVAVGKNVDKYKSVLFWALQHSGGKKICIIHVHQPAKMIPVSEMGTKFPASKLEEQEVKAYWEIERKNMEKMLNDYLLLCLQRGVQAEKLYIERDSIEQGILEMISENRIRMLVMGGAADKHYSKKVVDLKSKKAIFVRENAPNTCHTIWFLCKGLLIYTRKLSSDVTDTKVASSSLPASPNLESSENHFRSQSVILRQTSRVKPSTSAPDSLRRVRSENVYGHVGSTLGFPSPDGNEGLSTPWNRSDVEGSSNEWDGLSRSPQNSVLSSSSSNGMADAALVPYMGTEVNGNGLQSSLIPHAEGNFNLSSLPSIQDVTTDNTLYDQLQQVMAEATNSRREAFEEAMKRSEAEKDALVAIRKVKASEILYAQELKQRKEIEEALAKEKDKLGKMKNQRDEVRIELQAALGQKSSLENQIAESEKEVKELQEKIFSAVELLQNYKKERDELQMERDNALKEAEELRKSRAEPSGAHMHQFFTEFSFTEIEEATLNFDPSLKIGEGGYGSIYKGNLRHTTVAIKRLHSNSLQGPSEFQQEVDVLSKMRHPNLVTLIGACPDAWTLIYEYLPNGSLEDRLSCRGNSPPLSWQTRIRLATELCSVLIFLHSSKPHGIVHGDLKPANILLDANFVTKLSDFGICRLLSNNTTICCRTDPKGTFAYMDPEFLSTGELTQKADVYSFGVILLRLLTGRQALGIIKEVQYALDNGNLKNLLDPLAGDWPFVQAEQLANLALRCCEMNRRCRPDLSTDVWRVLEPMRASCGGSSSFQLGSEEQCHPPSYFICPIFQEVMQDPHVAADGFTYEAEALRGWLDSGHDTSPMTNIKLAHSNLVPNLALRSAIQEWLQQH >KJB09824 pep chromosome:Graimondii2_0_v6:1:24152714:24158834:-1 gene:B456_001G169300 transcript:KJB09824 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 33 [Source:Projected from Arabidopsis thaliana (AT2G45910) UniProtKB/Swiss-Prot;Acc:Q8GUH1] MALVSVVPAITEGVNSMRFCDVRAPGILSSGREIVEETVACIIEEKIYVAVGKNVDKYKSVLFWALQHSGGKKICIIHVHQPAKMIPVSEMGTKFPASKLEEQEVKAYWEIERKNMEKMLNDYLLLCLQRGVQAEKLYIERDSIEQGILEMISENRIRMLVMGGAADKHYSKYKPHKKVVDLKSKKAIFVRENAPNTCHTIWFLCKGLLIYTRKLSSDVTDTKVASSSLPASPNLESSENHFRSQSVILRQTSRVKPSTSAPDSLRRVRSENVYGHVGSTLGFPSPDGNEGLSTPWNRSDVEGSSNEWDGLSRSPQNSVLSSSSSNGMADAALVPYMGTEVNGNGLQSSLIPHAEGNFNLSSLPSIQDVTTDNTLYDQLQQVMAEATNSRREAFEEAMKRSEAEKDALVAIRKVKASEILYAQELKQRKEIEEALAKEKDKLGKMKNQRDEVRIELQAALGQKSSLENQIAESEKEVKELQEKIFSAVELLQNYKKERDELQMERDNALKEAEELRKSRAEPSGAHMHQFFTEFSFTEIEEATLNFDPSLKIGEGGYGSIYKGNLRHTTVAIKRLHSNSLQGPSEFQQEVDVLSKMRHPNLVTLIGACPDAWTLIYEYLPNGSLEDRLSCRGNSPPLSWQTRIRLATELCSVLIFLHSSKPHGIVHGDLKPANILLDANFVTKLSDFGICRLLSNNTTICCRTDPKGTFAYMDPEFLSTGELTQKADVYSFGVILLRLLTGRQALGIIKEVQYALDNGNLKNLLDPLAGDWPFVQAEQLANLALRCCEMNRRCRPDLSTDVWRVLEPMRASCGGSSSFQLGSEEQCHPPSYFICPIFQEVMQDPHVAADGFTYEAEALRGWLDSGHDTSPMTNIKLAHSNLVPNLALRSAIQEWLQQH >KJB10925 pep chromosome:Graimondii2_0_v6:1:47177363:47178427:1 gene:B456_001G234300 transcript:KJB10925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIALGGWFTGTTFVTSWYTHGLANSYLEDCNFLTAAVFTPANSLVHFLLLLWGLEAQGDFTRWCQLGGLWTFVTLHDAFGLIGFMLRQFELARSIQLRPYNAITFSGPIAVVVSIFLIYPLGFHNWILNPFHMMGVVGVLGAALLYAIHGATVENTLFEDGDGVNIFRAFNPTQAEETYSMVTANHFWSQIFGEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFLEEVLPCGNAL >KJB09379 pep chromosome:Graimondii2_0_v6:1:18216303:18217785:1 gene:B456_001G137800 transcript:KJB09379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAGKTVCVTGASGYIASWLVKQLLLCGYTVKASVRDPNDPRKTRHLLGLEGAEGRLKLFKADLLEQGSFDSVVEGCVGVFHTASPFYHDVLDPQAELLDPAVKGTLNVLSSCAKTPSVKRVVLTSSIAAVAYNGKPRTPDVVVDENWFSDPDYCKGLKLWYVVSKTMAEDSAWKFSKEKGIDMVAINPAMVIGPLLQPTLNTSAAAILNLIKGILGHYFLSPFRHCCSHHIWR >KJB09378 pep chromosome:Graimondii2_0_v6:1:18216124:18219039:1 gene:B456_001G137800 transcript:KJB09378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAGKTVCVTGASGYIASWLVKQLLLCGYTVKASVRDPNDPRKTRHLLGLEGAEGRLKLFKADLLEQGSFDSVVEGCVGVFHTASPFYHDVLDPQAELLDPAVKGTLNVLSSCAKTPSVKRVVLTSSIAAVAYNGKPRTPDVVVDENWFSDPDYCKGLKLWYVVSKTMAEDSAWKFSKEKGIDMVAINPAMVIGPLLQPTLNTSAAAILNLIKGAETFPNATFGWVNVKDVATAHIQAFEIPSASGRYCLVERVVHYSEIVNILHHLYPSLQLPQKCAGDKPYVPTYQVSKEKAKSLGIEFIPLDVSLKETVESLKEKGFVHLSSLY >KJB09897 pep chromosome:Graimondii2_0_v6:1:25245859:25246728:-1 gene:B456_001G173500 transcript:KJB09897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVTAHGRPVPPPFLPRDLHLNPHHQFLHHRQQYNSEDEPNRGQKRDRQEAAATTTTNTSESKEVAINEGEITRKPRGRPAGSKNKPKPPIIITRDSANALRSHVMEIANGSDVIETVSTFARRRQRGVCILSGNGTVSNVTLRQPGAPGAVVTLHGRFEILSLSGSFLPPPAPSAASCLTIYLAGAQGQVVGGTVVGPLAASGPVVIMVASFGNAAYERLPLEEEEQPVEPTPESGSVGSPISMVGQQQQQLLQDPNGSFVQGLPPNLLNSVQLPAEAYWGTGRPPY >KJB09977 pep chromosome:Graimondii2_0_v6:1:27316308:27323351:-1 gene:B456_001G179000 transcript:KJB09977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLAGFLVLLLVVSICEAAFLFQPISDSHRSAALELFTPDHGSFKSLEETYEALRTFQILGIEKKPDLTATACRSISETVGSSSSTAKDLFYALKANSIAECKIDKKASKGIISRLNAAVSGASSLLDFYYSVGGLVLIKDQSSEADVHLADAEGVFRSVKAFSQSDGRWRYSSSNPESSAFAAGIALETLAGIVSLASSEIDQSLISTLKNDIIKLFDSIEKYDDGALYFDDKLVDGHEYQGPLSTTSSVLRGLTAFAAVTAENLNVRDTMLGLAKFFLGICVPGDAKDFFNQIDSLACLESNRVSIPLILSFPSTVLSLTRKDSLKVGVSTVLGSEVPSLTVKLVGAFSSGSKDASLVESQELNFDKASGLHILNDLPKSIDVGSYTFVFEVVLHEPEHEEVYFMGSQTKVPISVTGLIKVENSEIAVLDSDLGSIESQKKLDLAGKNAISLSANHLQKLRLSFQLTTPHGRSFKPHQAFLKLRHESKVEHIFVVGNSGKQFEIVLNFLGLVEKFFYLSGKYDMELTIGDAAMENSLLVAIGHIELDLPEAPEKAPRPPPQPVDPYSRYGPKAEITHIFRAPEKRPPQELSLAFLGLTILPLLGFLIGLLRLGVNLKNFPTKPVPATFAVLFHVGIGAVLLLYVFFWVKLDLFQTLKLLGFLGVFLVFVGHRILSHLAAASAKLKSA >KJB09973 pep chromosome:Graimondii2_0_v6:1:27316308:27323189:-1 gene:B456_001G179000 transcript:KJB09973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLAGFLVLLLVVSICEAAFLFQPISDSHRSAALELFTPDHGSFKSLEETYEALRTFQILGIEKKPDLTATACRSISETVGSSSSTAKDLFYALKANSIAECKIDKKASKGIISRLNAAVSGASSLLDFYYSVGGLVLIKDQSSEADVHLADAEGVFRSVKAFSQSDGRWRYSSSNPESSAFAAGIALETLAGIVSLASSEIDQSLISTLKNDIIKLFDSIEKYDDGALYFDDKLVDGHEYQGPLSTTSSVLRGLTAFAAVTAENLNLPGDTMLGLAKFFLGICVPGDAKDFFNQIDSLACLESNRVSIPLILSFPSTVLSLTRKDSLKVGVSTVLGSEVPSLTVKLVGAFSSGSKDASLVESQELNFDKASGLHILNDLPKSIDVGSYTFVFEVVLHEPEHEEVYFMGSQTKVPISVTGLIKVENSEIAVLDSDLGSIESQKKLDLAGKNAISLSANHLQKLRLSFQLTTPHGRSFKPHQAFLKLRHESKVEHIFVVGNSGKQFEIVLNFLGLVEKFFYLSGKYDMELTIGDAAMENSLLVAIGHIELDLPEAPEKAPRPPPQPVDPYSRYGPKAEITHIFRAPEKRPPQELSLAFLGLTILPLLGFLIGLLRLGVNLKNFPTKPVPATFAVLFHVGIGAVLLLYVFFWVKLDLFQTLKLLGFLGVFLVFVGHRILSHLAAASAKLKSA >KJB09982 pep chromosome:Graimondii2_0_v6:1:27316308:27323351:-1 gene:B456_001G179000 transcript:KJB09982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLAGFLVLLLVVSICEAAFLFQPISDSHRSAALELFTPDHGSFKSLEETYEALRTFQILGIEKKPDLTATACRSISETVGSSSSTAKDLFYALKANSIAECKIDKKASKGIISRLNAAVSGASSLLDFYYSVGGLVLIKDQSSEADVHLADAEGVFRSVKAFSQSDGRWRYSSSNPESSAFAAGIALETLAGIVSLASSEIDQSLISTLKNDIIKLFDSIEKYDDGALYFDDKLVDGHEYQGPLSTTSSVLRGLTAFAAVTAENLNLPGDTMLGLAKFFLGICVPGDAKDFFNQIDSLACLESNRVSIPLILSFPSTVLSLTRKDSLKVGVSTVLGSEVPSLTVKLVGAFSSGSKDASLVESQELNFDKASGLHILNDLPKSIDVGSYTFVFEVVLHEPEHEEVYFMGSQTKVPISVTGLIKVENSEIAVLDSDLGSIESQKKLDLAGKNAISLSANHLQKLRLSFQLTTPHGRSFKPHQAFLKLRHESKVEHIFVVGNSGKQFEIVLNFLGLVEKFFYLSGKYDMELTIGDAAMNSLLVAIGHIELDLPEAPEKAPRPPPQPVDPYSRYGPKAEITHIFRAPEKRPPQELSLAFLGLTILPLLGFLIGLLRLGVNLKNFPTKPVPATFAVLFHVGIGAVLLLYVFFWVKLDLFQTLKLLGFLGVFLVFVGHRILSHLAAASAKLKSA >KJB09976 pep chromosome:Graimondii2_0_v6:1:27316707:27323074:-1 gene:B456_001G179000 transcript:KJB09976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLAGFLVLLLVVSICEAAFLFQPISDSHRSAALELFTPDHGSFKSLEETYEALRTFQILGIEKKPDLTATACRSISETVGSSSSTAKDLFYALKANSIAECKIDKKASKGIISRLNAAVSGASSLLDFYYSVGGLVLIKDQSSEADVHLADAEGVFRSVKAFSQSDGRWRYSSSNPESSAFAAGIALETLAGIVSLASSEIDQSLISTLKNDIIKLFDSIEKYDDGALYFDDKLVDGHEYQGPLSTTSSVLRGLTAFAAVTAENLNLPGDTMLGLAKFFLGICVPGDAKDFFNQIDSLACLESNRVSIPLILSFPSTVLSLTRKDSLKVGVSTVLGSEVPSLTVKLVGAFSSGSKDASLVESQELNFDKASGLHILNDLPKSIDVGSYTFVFEVVLHEPEHEEVYFMGSQTKVPISVTGLIKVENSEIAVLDSDLGSIESQKKLDLAGKNAISLSANHLQKLRLSFQLTTPHGRSFKPHQAFLKLRHESKVEHIFVVGNSGKQFEIVLNFLGLVEKFFYLSGKYDMELTIGDAAMENSLLVAIGHIELDLPEAPEKAPRPPPQPVDPYSRYGPKAEITHIFRAPEKRPPQELSLAFLGLTILPLLGFLIGLLRLGVNLKNFPTKPVPATFAVLFHVGIGAVLLLYVFFWVKVSICYTFKTSLMYKVDCI >KJB09975 pep chromosome:Graimondii2_0_v6:1:27316269:27323372:-1 gene:B456_001G179000 transcript:KJB09975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLAGFLVLLLVVSICEAAFLFQPISDSHRSAALELFTPDHGSFKSLEETYEALRTFQILGIEKKPDLTATACRSISETVGSSSSTAKDLFYALKANSIAECKIDKKASKGIISRLNAAVSGASSLLDFYYSVGGLVLIKDQSSEADVHLADAEGVFRSVKAFSQSDGRWRYSSSNPESSAFAAGIALETLAGIVSLASSEIDQSLISTLKNDIIKLFDSIEKYDDGALYFDDKLVDGHEYQGPLSTTSSVLRGLTAFAAVTAENLNLPGDTMLGLAKFFLGICVPGDAKDFFNQIDSLACLESNRVSIPLILSFPSTVLSLTRKDSLKVGVSTVLGSEVPSLTVKLVGAFSSGSKDASLVESQELNFDKASGLHILNDLPKSIDVGSYTFVFEVVLHEPEHEEVYFMGSQTKVPISVTGLIKVENSEIAVLDSDLGSIESQKKLDLAGKNAISLSANHLQKLRLSFQLTTPHGRSFKPHQAFLKLRHESKVEHIFVVGNSGKQFEIVLNFLGLVEKFFYLSGKYDMELTIGDAAMENSLLVAIGHIELDLPEAPEKAPRPPPQPVDPYSRYGPKAEITHIFRAPEKRPPQELSLAFLGLTILPLLGFLIGLLRLGVNLKNFPTKPVPATFAVLFHVGIGAVLLLYVFFWVKLDLFQTLKLLGFLGVFLVFVGHRILSHLAAASAKLKSA >KJB09980 pep chromosome:Graimondii2_0_v6:1:27316308:27323351:-1 gene:B456_001G179000 transcript:KJB09980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLAGFLVLLLVVSICEAAFLFQPISDSHRSAALELFTPDHGSFKSLEETYEALRTFQILGIEKKPDLTATACRSISETVGSSSSTAKDLFYALKANSIAECKIDKKASKGIISRLNAAVSGASSLLDFYYSVGGLVLIKDQSSEADVHLADAEGVFRSVKAFSQSDGRWRYSSSNPESSAFAAGIALETLAGIVSLASSEIDQSLISTLKNDIIKLFDSIEKYDDGALYFDDKLVDGHEYQGPLSTTSSVLRGLTAFAAVTAENLNLPGDTMLGLAKFFLGICVPGDAKDFFNQIDSLACLESNRVSIPLILSFPSTVLSLTRKDSLKVGVSTVLGSEVPSLTVKLVGAFSSGSKDASLVESQELNFDKASGLHILNDLPKSIDVGSYTFVFEVVLHEPEHEEVYFMGSQTKVPISVTGLIKVENSEIAVLDSDLGSIESQKKLDLAGKNAISLSANHLQKLRLSFQLTTPHGRSFKPHQANMTWSSLLVMLLWRTLC >KJB09978 pep chromosome:Graimondii2_0_v6:1:27316600:27323074:-1 gene:B456_001G179000 transcript:KJB09978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLAGFLVLLLVVSICEAAFLFQPISDSHRSAALELFTPDHGSFKSLEETYEALRTFQILGIEKKPDLTATACRSISETVGSSSSTAKDLFYALKANSIAECKIDKKASKGIISRLNAAVSGASSLLDFYYSVGGLVLIKDQSSEADVHLADAEGVFRSVKAFSQSDGRWRYSSSNPESSAFAAGIALETLAGIVSLASSEIDQSLISTLKNDIIKLFDSIEKYDDGALYFDDKLVDGHEYQGPLSTTSSVLRGLTAFAAVTAENLNLPGDTMLGLAKFFLGICVPGDAKDFFNQIDSLACLESNRVSIPLILSFPSTVLSLTRKDSLKVGVSTVLGSEVPSLTVKLVGAFSSGSKDASLVESQELNFDKASGLHILNDLPKSIDVGSYTFVFEVVLHEPEHEEVYFMGSQTKVPISVTGLIKVENSEIAVLDSDLGSIESQKKLDLAGKNAISLSANHLQKLRLSFQLTTPHGRSFKPHQAFLKLRHESKVEHIFVVGNSGKQFEIVLNFLGLVEKFFYLSGKYDMELTIGDAAMENSLLVAIGHIELDLPEAPEKAPRPPPQPVDPYSRYGPKAEITHIFRAPEKRPPQELSLAFLGLTILPLLGFLIGLLRLGVNLKNFPTKPVPATFAVLFHVGIGAVLLLYVFFWVKVIGSIPNTEATWFLGSIPCVCWA >KJB09974 pep chromosome:Graimondii2_0_v6:1:27316308:27320681:-1 gene:B456_001G179000 transcript:KJB09974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAYCWQISTLKNDIIKLFDSIEKYDDGALYFDDKLVDGHEYQGPLSTTSSVLRGLTAFAAVTAENLNLPGDTMLGLAKFFLGICVPGDAKDFFNQIDSLACLESNRVSIPLILSFPSTVLSLTRKDSLKVGVSTVLGSEVPSLTVKLVGAFSSGSKDASLVESQELNFDKASGLHILNDLPKSIDVGSYTFVFEVVLHEPEHEEVYFMGSQTKVPISVTGLIKVENSEIAVLDSDLGSIESQKKLDLAGKNAISLSANHLQKLRLSFQLTTPHGRSFKPHQAFLKLRHESKVEHIFVVGNSGKQFEIVLNFLGLVEKFFYLSGKYDMELTIGDAAMENSLLVAIGHIELDLPEAPEKAPRPPPQPVDPYSRYGPKAEITHIFRAPEKRPPQELSLAFLGLTILPLLGFLIGLLRLGVNLKNFPTKPVPATFAVLFHVGIGAVLLLYVFFWVKLDLFQTLKLLGFLGVFLVFVGHRILSHLAAASAKLKSA >KJB09979 pep chromosome:Graimondii2_0_v6:1:27316600:27323074:-1 gene:B456_001G179000 transcript:KJB09979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLAGFLVLLLVVSICEAAFLFQPISDSHRSAALELFTPDHGSFKSLEETYEALRTFQILGIEKKPDLTATACRSISETVGSSSSTAKDLFYALKANSIAECKIDKKASKGIISRLNAAVSGASSLLDFYYSVGGLVLIKDQSSEADVHLADAEGVFRSVKAFSQSDGRWRYSSSNPESSAFAAGIALETLAGIVSLASSEIDQSLISTLKNDIIKLFDSIEKYDDGALYFDDKLVDGHEYQGPLSTTSSVLRGLTAFAAVTAENLNLPGDTMLGLAKFFLGICVPGDAKDFFNQIDSLACLESNRVSIPLILSFPSTVLSLTRKDSLKVGVSTVLGSEVPSLTVKLVGAFSSGSKDASLVESQELNFDKASGLHILNDLPKSIDVGSYTFVFEVVLHEPEHEEVYFMGSQTKVPISVTGLIKVENSEIAVLDSDLGSIESQKKLDLAGKNAISLSANHLQKLRLSFQLTTPHGRSFKPHQAFLKLRHESKVEHIFVVGNSGKQFEIVLNFLGLVEKFFYLSGKYDMELTIGDAAMENSLLVAIGHIELDLPEAPEKAPRPPPQPVDPYSRYGPKAEITHIFRAPEKRPPQELSLAFLGLTILPLLGFLIGVTITSRGEPEELSHQTRTCHICRSLPCWHWSSSIALCVFLGEVGSIPNTEATWFLGSIPCVCWA >KJB09981 pep chromosome:Graimondii2_0_v6:1:27316308:27323351:-1 gene:B456_001G179000 transcript:KJB09981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLAGFLVLLLVVSICEAAFLFQPISDSHRSAALELFTPDHGSFKSLEETYEALRTFQILGIEKKPDLTATACRSISETVGSSSSTAKDLFYALKANSIAECKIDKKASKGIISRLNAAVSGASSLLDFYYSVGGLVLIKDQSSEADVHLADAEGVFRSVKAFSQSDGRWRYSSSNPESSAFAAGIALETLAGIVSLASSEIDQSLISTLKNDIIKLFDSIEKYDDGALYFDDKLVDGHEYQGPLSTTSSVLRGLTAFAAVTAENLNLPGDTMLGLAKFFLGICVPGDAKDFFNQIDSLACLESNRVSIPLILSFPSTVLSLTRKDSLKVGVSTVLGSEVPSLTVKLVGAFSSGSKDASLVESQELNFDKASGLHILNDLPKSIDVGSYTFVFEVVLHEPEHEEVYFMGSQTKVPISVTGLIKVENSEIAVLDSDLGSIESQKKLDLAGKNAISLSANHLQKLRLSFQLTTPHGRSFKPHQAFLKLRHESKVEHIFVVGNSGKQFEIVLNFLGLVEKFFYLSGKYDMELTIGDAAMVKLFVSGYRTY >KJB10979 pep chromosome:Graimondii2_0_v6:1:47236193:47236624:-1 gene:B456_001G235100 transcript:KJB10979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEQELLHSYGVQTLGVDNSRDAVDLIASDVEFNLIIIEKILPVLNGLEVTRQIREIGVCCKMLEVTACSGESERQAFLATGVDVFIEKPLDHEHLVPILRELDGQ >KJB08764 pep chromosome:Graimondii2_0_v6:1:11461804:11466680:1 gene:B456_001G102600 transcript:KJB08764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESQQEPKVTIPPLIPLEYSNRVMLKTILECGDDQIGLFGKTVVVGGWVKSSKEVKREPVAAALKPPTAAEAFPPSPGRKELSCVEVVQSRIPFFKKIIRVFGVSSDSYPGVREKFEPVPKPPPPPPSKFFVQISDGSSISSLMVVIDSAVVPVSAGHVLPTGTCILAQGVLEKSSSHGKQTIELKVEKILHIGTVEPDTYPLSRKRLPLDSLRDYPHIRPRTTTVASITRIRDTLDFAAHTFFHNHGFLHVQVPIITTIDSEGFSEKFQVTTSKKWGLGSGSDADVRHETVKAEQHIEARDKSKLGTGVKPEVKEDFFGRQSYLSVSGRLHLESYACALGNVYSFGPRFRAEKTGSIKQVAEMWVVEAEIAFSQLEDSMKCAEDCFKFLCKWILDHCPQDMKFVTKRIDKTIVHRLEYMMSISYERISYREAVDILRKVTDKTFETKLRWGVPLTAEHLSYLADDHYKRPVIIYDYPKAVKPFYVRLNDDGKTVAAFDMVVPKMGTVITGSQSEERLDMLSARMKEFDLSRDQYEWYQDLRKHGTVKHSGFRLGFDLMVLLMTGLTDVRDVVPFPRTHGKANN >KJB10184 pep chromosome:Graimondii2_0_v6:1:30144238:30147744:1 gene:B456_001G188100 transcript:KJB10184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEVRGPSLRGYRRRKALLDLNVPPTEIREQEGTSQQAGSEQLTAQPVQPPPSATIDVEAIDDDVIESSAIAFSAAKNNSRRSRGRTVVDVDSGRPARSTNNNLNRCRRLPPTPVVINCDRYINLESSPQSMVKEITKPQPLPKEPTFTCPICMGSLNEEMSTRCGHIFCKACIKAAIAAQGKCPTCRKRVTVKELIRVFLPSAS >KJB10183 pep chromosome:Graimondii2_0_v6:1:30144238:30147744:1 gene:B456_001G188100 transcript:KJB10183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEVRGPSLRGYRRRKALLDLNVPPTEIREQEGTSQQAGSEQLTAQPVQPPPSATIDVEAIDDDVIESSAIAFSAAKNNSRRSRGRTVVDVDSGRPARSTNNNLNRCRRLPPTPVVINCDRYINLESSPQSMVKEITKPQPLPKEPTFTCPICMGSLNEEMSTRCGHIFCKACIKAAIAAQGKCPTCRKRVTVKELIRVFLPSAS >KJB10187 pep chromosome:Graimondii2_0_v6:1:30144238:30147744:1 gene:B456_001G188100 transcript:KJB10187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEVRGPSLRGYRRRKALLDLNVPPTEIREQEGTSQQAGSEQLTAQPVQPPPSATIDVEAIDDDVIESSAIAFSAAKNNSRRSRGRTVVDVDSGRPARSTNNNLNRCRRLPPTPVVINCDRYINLESSPQSMVKEITKPQPLPKEPTFTCPICMGSLNEEMSTRCGHIFCKACIKAAIAAQGKCPTCRKRVTVKELIRVFLPSAS >KJB10185 pep chromosome:Graimondii2_0_v6:1:30144314:30147744:1 gene:B456_001G188100 transcript:KJB10185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEVRGPSLRGYRRRKALLDLNVPPTEIREQEGTSQQAGSEQLTAQPVQPPPSATIDVEAIDDDVIESSAIAFSAAKNNSRRSRGRTVVDVDSGRPARSTNNNLNRCRRLPPTPVVINCDRYINLESSPQSMVKEITKPQPLPKEPTFTCPICMGSLNEEMSTRCGHIFCKACIKAAIAAQGKCPTCRKRVTVKELIRVFLPSAS >KJB10186 pep chromosome:Graimondii2_0_v6:1:30144297:30147744:1 gene:B456_001G188100 transcript:KJB10186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEVRGPSLRGYRRRKALLDLNVPPTEIREQEGTSQQAGSEQLTAQPVQPPPSATIDVEAIDDDVIESSAIAFSAAKNNSRRSRGRTVVDVDSGRPARSTNNNLNRCRRLPPTPVVINCDRYINLESSPQSMVKEITKPQPLPKEPTFTCPICMGSLNEEMSTRCGHIFCKACIKAAIAAQGKCPTCRKRVTVKELIRVFLPSAS >KJB09480 pep chromosome:Graimondii2_0_v6:1:19894881:19896653:1 gene:B456_001G146200 transcript:KJB09480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEHIRIDNLSSHLGSIFKFPKPSAFYGVFDGHGGPEAAAYVRKHALRFFFEDVKFPQTCEVDDVFLEGVENSLRKSFLLADLALAGDCTVNSSSGTTAITALIFGRLLMVANAGDCRAVLCRKGEAIDMSEDHRPIYPSERRRVEELGGFIDDGYLNGVLSVSRALGDWDMKSPKGLPSPLIAEPEFRRMVLTEDDEFLIIGCDGIWDVMSSEHAVSLVCRGLRRHDDPEQCAKDLVMEALRRNTFDNLTVVVICFSAPDSREQPSPRQRRLKCCSLSAEALCSLRSLLDGNANR >KJB09479 pep chromosome:Graimondii2_0_v6:1:19894379:19896653:1 gene:B456_001G146200 transcript:KJB09479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAEVVCHQSVPVSDVPFFVKGSNIEEIDETLAIRSPKFGQVRVAESDVSLSQQDARSVEKVPDPCVESTILQFLPSIRSGSFADIGPRRFMEDEHIRIDNLSSHLGSIFKFPKPSAFYGVFDGHGGPEAAAYVRKHALRFFFEDVKFPQTCEVDDVFLEGVENSLRKSFLLADLALAGDCTVNSSSGTTAITALIFGRLLMVANAGDCRAVLCRKGEAIDMSEDHRPIYPSERRRVEELGGFIDDGYLNGVLSVSRALGDWDMKSPKGLPSPLIAEPEFRRMVLTEDDEFLIIGCDGIWDVMSSEHAVSLVCRGLRRHDDPEQCAKDLVMEALRRNTFDNLTVVVICFSAPDSREQPSPRQRRLKCCSLSAEALCSLRSLLDGNANR >KJB09478 pep chromosome:Graimondii2_0_v6:1:19894379:19896653:1 gene:B456_001G146200 transcript:KJB09478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAEVVCHQSVPVSDVPFFVKGSNIEEIDETLAIRSPKFGQVRVAESDVSLSQQVFDGHGGPEAAAYVRKHALRFFFEDVKFPQTCEVDDVFLEGVENSLRKSFLLADLALAGDCTVNSSSGTTAITALIFGRLLMVANAGDCRAVLCRKGEAIDMSEDHRPIYPSERRRVEELGGFIDDGYLNGVLSVSRALGDWDMKSPKGLPSPLIAEPEFRRMVLTEDDEFLIIGCDGIWDVMSSEHAVSLVCRGLRRHDDPEQCAKDLVMEALRRNTFDNLTVVVICFSAPDSREQPSPRQRRLKCCSLSAEALCSLRSLLDGNANR >KJB10444 pep chromosome:Graimondii2_0_v6:1:38142217:38145542:-1 gene:B456_001G201400 transcript:KJB10444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTESSREENVYMAKLAEQAERYEEMVEFMENVAKTVDVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVATIKEYRSKIEAELSKICDGILSLLESHLIPSASSAESKVFYLKMKGDYHRYLAEFKTAAERKEAAESTLLAYKSAQDIALGDLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDEAGDEIKEASKPESGEGQQ >KJB08439 pep chromosome:Graimondii2_0_v6:1:8655311:8658298:-1 gene:B456_001G081700 transcript:KJB08439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVGLSRVLTHRMRLMESLTITGTSPIVGVVAMLISFQVLVCMCSTDTRNAPECNKPFNCGIVKEISYPFRQKGSPAYCGKPGFELFCEGGDPMITISSRTYQLLVYNTTLRSLTLEPMSNKDSVLCPRRLVNTSLNLSPFRALWNTQNISLYYGCPIEANQYQGLTNHQFNCSMNGTDTVGYYLVPTAFPDLSVEAKDALRSCRSNVLVPAFASILRVLEHGPSQAYLNVTLQNGFGVGWDDDSISGSSDGFTPKFKLALGLGVAGAVVFVVVIMTVTFRIKNETLSRGILLKLQRGKRKQWERIEAYILQYGAELAPKRYSYSDIKRITKSFKDELGQGGFGTVYKGALSDGRLVAVKVLNEAKGSGDEFINEVASISRTSHVNVVAFVGFCYEISIRALIYEFMPNGSLDKFICHRESPDKPEQLEVKTLYNIAIGIARGLEYLHQGCNTRILHFDIKPHNILLDEKFCPKISDFGLAKLCERKDSIISTISARGTIGYIAPEVFCRSFGGVSHKSDVYSYGMMVLEMVGEKENIHSQTSNSNFPVWIYNRLKGADLNLEGMTAENEELIRKMIIVSLWCIQSNPSDRPSMTKAIEMLQGSIEALTIPPTPFLFSPPRSPENTFTTSFFAPSIQTEDSAFISTTSV >KJB07365 pep chromosome:Graimondii2_0_v6:1:1677355:1688682:1 gene:B456_001G018100 transcript:KJB07365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPDNKLSDLVDIVKSWIPWRTEPPNVSKDFWMPDHSCRVCYECDSQFTVFNRRHHCRICGRVFCAKCTANSVPAPSVEPRTGREDWERIRVCNYCFKQWEQGIAAVDNETKAPSPGLSPSPSATSLVSTKSSCTCNSGSSTVGSTLYSTGPYHRVNYNSGLSPCESAQMNAPTEQNNETSGMSTNPSSAMVDSSDHFGLCSYRSDDEDGGYGAYRSNSECRRYAHAEEYSSAINIDKIGCVYESDKVHPDGEDIDSKHLSGSPLAENFDTQIVDGIKKFEEVNEQENTDQDEVLAYYVDGTDAEPVDFENNGLLWLLPEPEDEEDEREAALFDDEDDDEGATGEWGYLRPSNSFGSGEYRSRVKSGEEHRQAMKNVVEGHFRALVSQLLQVENVHVGDEDGGESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRRCESAVVKGVVCKKNVAHRRMSSKKDKPRFLILGGALEYQRISNHLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRYAQEYLLSNDISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKLLEEHGSAGQGGKKLTKTLMFFEGCPKPLGYTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPINVALPNKPSSIDRSISTIPGFAVPSSGKPVASQPINNFQKSNEVVISDSSSSANIDPSCQSVGVSSSSLSKGPRTTSKESASDSDEAIASLNSLSALRDAISYNSVSSISHAFCKDNGVDPKESLRTKTTNNGEAIMSDPFISLCQRLSEAAEQCDDPGGSNHADGSSVMAANHLGSTELQSSKQEISNKSEEVGSSKEEFPLSPSDHQSILVSLSTRCVWKGSVCERSLLFRIKYYGSFDKPLGRFLRDNLFDQSFHCRSCEMPSEAHVHCYTHRQGSLTISVKKLSEPPLPGEREGKIWMWHRCLKCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASIDVHSVYLPPSKLEFNYDNQEWIQCEANEVSNRAEFLFTEMYKALRKISEKLSGPGSQDCGIKAPERSICIEELEAMLQKDGEELQESLQEAVCKELKAGQPVIDILEINKLRRQILFLSYVWDQRLIHVCGSINNNIQEVMSSPIPKLGLKPVNSMEKLLEMNVSPKPSKSFNSCESALVETKPNIKMNQGGNAGVIDKSGGDHPEKGGKDFNNRKEAEPSVSSSINTSEKSYSPESGGVVQRAQSEGELPIMANLSDTLEAAWTGKSHPASMNAKENGYSAPDSVAVDVSGAVNLDLGVLASDRGEGEVTRSPQPALPAKKLESLEKSMSWASMPFPNFYSSFNKNSSFNPRKLSINEHSPVYVSSFMELERQSGARLLLPLGVNDTVVPVYDDEPTSIIAYALVSSDYHSQMSEVERPKDAADSAVSPSLFDSVNLLSLNSFSDVSSEAYRSFGSFDDSILSLSGSGSLVSDPLLYTKDLHARVSFTDDGPLGKVKYSVTCYYAKRFESLRRTCCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFVKFGPAYFKYLSESINTRSPTCLAKILGIYQVSSKHLKGGRESKMDMLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGSKAKRLLERAVWNDTSFLALIDVMDYSLLVGVDEEKHELILGIIDFMRQYTWDKHLETWVKTSGILGGQNTSPTVISPQQYKKRFRKAMTAYFLMVPDQWSPPTIVPSGSQTDLCEENNNSTQSGLLQQ >KJB07363 pep chromosome:Graimondii2_0_v6:1:1677886:1688609:1 gene:B456_001G018100 transcript:KJB07363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPDNKLSDLVDIVKSWIPWRTEPPNVSKDFWMPDHSCRVCYECDSQFTVFNRRHHCRICGRVFCAKCTANSVPAPSVEPRTGREDWERIRVCNYCFKQWEQGIAAVDNETKAPSPGLSPSPSATSLVSTKSSCTCNSGSSTVGSTLYSTGPYHRVNYNSGLSPCESAQMNAPTEQNNETSGMSTNPSSAMVDSSDHFGLCSYRSDDEDGGYGAYRSNSECRRYAHAEEYSSAINIDKIGCVYESDKVHPDGEDIDSKHLSGSPLAENFDTQIVDGIKKFEEVNEQENTDQDEVLAYYVDGTDAEPVDFENNGLLWLLPEPEDEEDEREAALFDDEDDDEGATGEWGYLRPSNSFGSGEYRSRVKSGEEHRQAMKNVVEGHFRALVSQLLQVENVHVGDEDGGESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRRCESAVVKGVVCKKNVAHRRMSSKKDKPRFLILGGALEYQRISNHLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRYAQEYLLSNDISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKLLEEHGSAGQGGKKLTKTLMFFEGCPKPLGYTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPINVALPNKPSSIDRSISTIPGFAVPSSGKPVASQPINNFQKSNEVVISDSSSSANIDPSCQSVGVSSSSLSKGPRTTSKESASDSDEAIASLNSLSALRDAISYNSVSSISHAFCKDNGVDPKESLRTKTTNNGEAIMSDPFISLCQRLSEAAEQCDDPGGSNHADGSSVMAANHLGSTELQSSKQEISNKSEEVGSSKEEFPLSPSDHQSILVSLSTRCVWKGSVCERSLLFRIKYYGSFDKPLGRFLRDNLFDQSFHCRSCEMPSEAHVHCYTHRQGSLTISVKKLSEPPLPGEREGKIWMWHRCLKCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASIDVHSVYLPPSKLEFNYDNQEWIQCEANEVSNRAEFLFTEMYKALRKISEKLSGPGSQDCGIKAPERSICIEELEAMLQKDGEELQESLQEAVCKELKAGQPVIDILEINKLRRQILFLSYVWDQRLIHVCGSINNNIQEVMSSPIPKLGLKPVNSMEKLLEMNVSPKPSKSFNSCESALVETKPNIKMNQGGNAGVIDKSGGDHPEKGGKDFNNRKEAEPSVSSSINTSEKSYSPESGGVVQRAQSEGELPIMANLSDTLEAAWTGKSHPASMNAKENGYSAPDSVAVDVSGAVNLDLGVLASDRGEGEVTRSPQPALPAKKLESLEKSMSWASMPFPNFYSSFNKNSSFNPRKLSINEHSPVYVSSFMELERQSGARLLLPLGVNDTVVPVYDDEPTSIIAYALVSSDYHSQMSEVERPKDAADSAVSPSLFDSVNLLSLNSFSDVSSEAYRSFGSFDDSILSLSGSGSLVSDPLLYTKDLHARVSFTDDGPLGKVKYSVTCYYAKRFESLRRTCCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFVKFGPAYFKYLSESINTRSPTCLAKILGIYQVSSKHLKGGRESKMDMLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGSKAKRLLERAVWNDTSFLALIDVMDYSLLVGVDEEKHELILGIIDFMRQYTWDKHLETWVKTSGILGGQNTSPTVISPQQYKKRFRKAMTAYFLMVPDQWSPPTIVPSGSQTDLCEENNNSTQSGLLQQ >KJB07366 pep chromosome:Graimondii2_0_v6:1:1677513:1688609:1 gene:B456_001G018100 transcript:KJB07366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPDNKLSDLVDIVKSWIPWRTEPPNVSKDFWMPDHSCRVCYECDSQFTVFNRRHHCRICGRVFCAKCTANSVPAPSVEPRTGREDWERIRVCNYCFKQWEQGIAAVDNETKAPSPGLSPSPSATSLVSTKSSCTCNSGSSTVGSTLYSTGPYHRVNYNSGLSPCESAQMNAPTEQNNETSGMSTNPSSAMVDSSDHFGLCSYRSDDEDGGYGAYRSNSECRRYAHAEEYSSAINIDKIGCVYESDKVHPDGEDIDSKHLSGSPLAENFDTQIVDGIKKFEEVNEQENTDQDEVLAYYVDGTDAEPVDFENNGLLWLLPEPEDEEDEREAALFDDEDDDEGATGEWGYLRPSNSFGSGEYRSRVKSGEEHRQAMKNVVEGHFRALVSQLLQVENVHVGDEDGGESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRRCESAVVKGVVCKKNVAHRRMSSKKDKPRFLILGGALEYQRISNHLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRYAQEYLLSNDISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKLLEEHGSAGQGGKKLTKTLMFFEGCPKPLGYTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPINVALPNKPSSIDRSISTIPGFAVPSSGKPVASQPINNFQKSNEVVISDSSSSANIDPSCQSVGVSSSSLSKGPRTTSKESASDSDEAIASLNSLSALRDAISYNSVSSISHAFCKDNGVDPKESLRTKTTNNGEAIMSDPFISLCQRLSEAAEQCDDPGGSNHADGSSVMAANHLGSTELQSSKQEISNKSEEVGSSKEEFPLSPSDHQSILVSLSTRCVWKGSVCERSLLFRIKYYGSFDKPLGRFLRDNLFDQSFHCRSCEMPSEAHVHCYTHRQGSLTISVKKLSEPPLPGEREGKIWMWHRCLKCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASIDVHSVYLPPSKLEFNYDNQEWIQCEANEVSNRAEFLFTEMYKALRKISEKLSGPGSQDCGIKAPERSICIEELEAMLQKDGEELQESLQEAVCKELKAGQPVIDILEINKLRRQILFLSYVWDQRLIHVCGSINNNIQEVMSSPIPKLGLKPVNSMEKLLEMNVSPKPSKSFNSCESALVETKPNIKMNQGGNAGVIDKSGGDHPEKGGKDFNNRKEAEPSVSSSINTSEKSYSPESGGVVQRAQSEGELPIMANLSDTLEAAWTGKSHPASMNAKENGYSAPDSVAVDVSGAVNLDLGVLASDRGEGEVTRSPQPALPAKKLESLEKSMSWASMPFPNFYSSFNKNSSFNPRKLSINEHSPVYVSSFMELERQSGARLLLPLGVNDTVVPVYDDEPTSIIAYALVSSDYHSQMSEVERPKDAADSAVSPSLFDSVNLLSLNSFSDVSSEAYRSFGSFDDSILSLSGSGSLVSDPLLYTKDLHARVSFTDDGPLGKVKYSVTCYYAKRFESLRRTCCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFVKFGPAYFKYLSESINTRSPTCLAKILGIYQVSSKHLKGGRESKMDMLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGSKAKRLLERAVWNDTSFLALIDVMDYSLLVGVDEEKHELILGIIDFMRQYTWDKHLETWVKTSGILGGQNTSPTVISPQQYKKRFRKAMTAYFLMVPDQWSPPTIVPSGSQTDLCEENNNSTQSGLLQQ >KJB07364 pep chromosome:Graimondii2_0_v6:1:1677652:1688609:1 gene:B456_001G018100 transcript:KJB07364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPDNKLSDLVDIVKSWIPWRTEPPNVSKDFWMPDHSCRVCYECDSQFTVFNRRHHCRICGRVFCAKCTANSVPAPSVEPRTGREDWERIRVCNYCFKQWEQGIAAVDNETKAPSPGLSPSPSATSLVSTKSSCTCNSGSSTVGSTLYSTGPYHRVNYNSGLSPCESAQMNAPTEQNNETSGMSTNPSSAMVDSSDHFGLCSYRSDDEDGGYGAYRSNSECRRYAHAEEYSSAINIDKIGCVYESDKVHPDGEDIDSKHLSGSPLAENFDTQIVDGIKKFEEVNEQENTDQDEVLAYYVDGTDAEPVDFENNGLLWLLPEPEDEEDEREAALFDDEDDDEGATGEWGYLRPSNSFGSGEYRSRVKSGEEHRQAMKNVVEGHFRALVSQLLQVENVHVGDEDGGESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRRCESAVVKGVVCKKNVAHRRMSSKKDKPRFLILGGALEYQRISNHLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRYAQEYLLSNDISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKLLEEHGSAGQGGKKLTKTLMFFEGCPKPLGYTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPINVALPNKPSSIDRSISTIPGFAVPSSGKPVASQPINNFQKSNEVVISDSSSSANIDPSCQSVGVSSSSLSKGPRTTSKESASDSDEAIASLNSLSALRDAISYNSVSSISHAFCKDNGVDPKESLRTKTTNNGEAIMSDPFISLCQRLSEAAEQCDDPGGSNHADGSSVMAANHLGSTELQSSKQEISNKSEEVGSSKEEFPLSPSDHQSILVSLSTRCVWKGSVCERSLLFRIKYYGSFDKPLGRFLRDNLFDQSFHCRSCEMPSEAHVHCYTHRQGSLTISVKKLSEPPLPGEREGKIWMWHRCLKCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASIDVHSVYLPPSKLEFNYDNQEWIQCEANEVSNRAEFLFTEMYKALRKISEKLSGPGSQDCGIKAPERSICIEELEAMLQKDGEELQESLQEAVCKELKAGQPVIDILEINKLRRQILFLSYVWDQRLIHVCGSINNNIQEVMSSPIPKLGLKPVNSMEKLLEMNVSPKPSKSFNSCESALVETKPNIKMNQGGNAGVIDKSGGDHPEKGGKDFNNRKEAEPSVSSSINTSEKSYSPESGGVVQRAQSEGELPIMANLSDTLEAAWTGKSHPASMNAKENGYSAPDSVAVDVSGAVNLDLGVLASDRGEGEVTRSPQPALPAKKLESLEKSMSWASMPFPNFYSSFNKNSSFNPRKLSINEHSPVYVSSFMELERQSGARLLLPLGVNDTVVPVYDDEPTSIIAYALVSSDYHSQMSEVERPKDAADSAVSPSLFDSVNLLSLNSFSDVSSEAYRSFGSFDDSILSLSGSGSLVSDPLLYTKDLHARVSFTDDGPLGKVKYSVTCYYAKRFESLRRTCCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFVKFGPAYFKYLSESINTRSPTCLAKILGIYQVSSKHLKGGRESKMDMLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGSKAKRLLERAVWNDTSFLALIDVMDYSLLVGVDEEKHELILGIIDFMRQYTWDKHLETWVKTSGILGGQNTSPTVISPQQYKKRFRKAMTAYFLMVPDQWSPPTIVPSGSQTDLCEENNNSTQSGLLQQ >KJB10135 pep chromosome:Graimondii2_0_v6:1:29605720:29609536:1 gene:B456_001G185500 transcript:KJB10135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKMAGVKRRIITDLDVRALHKELDEVSCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKLRANSSNGSQNLNETNSMAGGSDDNIREPTRNLDSQGEGIIEIADSYSFRGRSESEDVDAENFKSSLKCPLCRGNVLRWEVVEEARKYLNSKKRTCSRESCSYSGSYQELRRHARRVHPTIRPSDIDPSRERAWRRLEHQREYGDIVSAIRSAMPGALVVGDYVIENGDRLAARRENSTGEASSPWWTTFFLFQIGSVDNVGESRARSRVWSRHRHPGGAVSERRFLWGENLLGLQDDDDEDDVRVISDVGEDASPSPRRRRRLTRSRSDEDQS >KJB10132 pep chromosome:Graimondii2_0_v6:1:29605899:29609495:1 gene:B456_001G185500 transcript:KJB10132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKMAGVKRRIITDLDVRALHKELDEVSCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKLRANSSNGSQNLNETNSMAGGSDDNIREPTRNLDSQGEGIIEIADSYSFRGRSESEDVDAENFKSSLKCPLCRGNVLRWEVVEEARKYLNSKKRTCSRESCSYSGSYQELRRHARRVHPTIRPSDIDPSRERAWRRLEHQREYGDIVSAIRSAMPGALVVGDYVIENGDRLAARRENSTGEASSPWWTTFFLFQIGSVDNVGESRARSRVWSRHRHPGGAVSERRFLWGENLLGLQDDDDEDDVRVISDVGEDASPSPRRRRRLTRSRSDEDQS >KJB10134 pep chromosome:Graimondii2_0_v6:1:29606650:29609495:1 gene:B456_001G185500 transcript:KJB10134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKMAGVKRRIITDLDVRALHKELDEVSCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKLRANSSKSPILPHPFPLNPPNSSTFDLNLALRTDFVEGNGSQNLNETNSMAGGSDDNIREPTRNLDSQGEGIIEIADSYSFRGRSESEDVDAENFKSSLKCPLCRGNVLRWEVVEEARKYLNSKKRTCSRESCSYSGSYQELRRHARRVHPTIRPSDIDPSRERAWRRLEHQREYGDIVSAIRSAMPGALVVGDYVIENGDRLAARRENSTGEASSPWWTTFFLFQIGSVDNVGESRARSRVWSRHRHPGGAVSERRFLWGENLLGLQDDDDEDDVRVISDVGEDASPSPRRRRRLTRSRSDEDQS >KJB10131 pep chromosome:Graimondii2_0_v6:1:29607639:29609495:1 gene:B456_001G185500 transcript:KJB10131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKMAGVKRRIITDLDVRALHKELDEVSCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKLRANSSNGSQNLNETNSMAGGSDDNIREPTRNLDSQGEGIIEIADSYSFRGRSESEDVDAENFKSSLKCPLCRGNVLRWEVVEEARKYLNSKKRTCSRESCSYSGSYQELRRHARRVHPTIRPSDIDPSRERAWRRLEHQREYGDIVSAIRSAMPGALVVGDYVIENGDRLAARRENSTGEASSPWWTTFFLFQIGSVDNVGESRARSRVWSRHRHPGGAVSERRFLWGENLLGLQDDDDEDDVRVISDVGEDASPSPRRRRRLTRSRSDEDQS >KJB10133 pep chromosome:Graimondii2_0_v6:1:29606650:29609495:1 gene:B456_001G185500 transcript:KJB10133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKMAGVKRRIITDLDVRALHKELDEVSCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKLRANSSNGSQNLNETNSMAGGSDDNIREPTRNLDSQGEGIIEIADSYSFRGRSESEDVDAENFKSSLKCPLCRGNVLRWEVVEEARKYLNSKKRTCSRESCSYSGSYQELRRHARRVHPTIRPSDIDPSRERAWRRLEHQREYGDIVSAIRSAMPGALVVGDYVIENGDRLAARRENSTGEASSPWWTTFFLFQIGSVDNVGESRARSRVWSRHRHPGGAVSERRFLWGENLLGLQDDDDEDDVRVISDVGEDASPSPRRRRRLTRSRSDEDQS >KJB09391 pep chromosome:Graimondii2_0_v6:1:18356540:18359482:1 gene:B456_001G138600 transcript:KJB09391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTVDDETHSFFRILVLKEFSIKDFRCCLEDARELFDKMPEKGCLSNEFSFGILVRGYCRFGLANKGLELLGEMRSSGIFPNKVVYNTLISSFYKEGKTGDVEKLVERMREDGVRDVHN >KJB07593 pep chromosome:Graimondii2_0_v6:1:2931019:2933912:1 gene:B456_001G031700 transcript:KJB07593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGLFAEILDGDVYKYYADGEWKKSSSAKTVAIINPTTRKTQYKVQACTQEEVNKVMESAKTAQKSWAKTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVTEVVRSGDLVSYTAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVILAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVSALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVMESVADGCVFTKDVNKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGVTNSINMMTKIKSTVINLPTPSYTMG >KJB07592 pep chromosome:Graimondii2_0_v6:1:2930995:2933957:1 gene:B456_001G031700 transcript:KJB07592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGLFAEILDGDVYKYYADGEWKKSSSAKTVAIINPTTRKTQYKVQACTQEEVNKVMESAKTAQKSWAKTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVTEVVRSGDLVSYTAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVILAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVSALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVMESVADVLVDKVKAKIAKLTVGPPEDDCDITPVVSESSANFIEGLVKDAKEKGATFCQEYKRDGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSIEEGIHHCNASNFGLQGCVFTKDVNKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGVTNSINMMTKIKSTVINLPTPSYTMG >KJB07457 pep chromosome:Graimondii2_0_v6:1:2343703:2346257:1 gene:B456_001G024700 transcript:KJB07457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSINFNFFIPIYIVIFIVSSSTFSHGKMLDGAVLNVGEELKAETLPLKLGSRVYKLQGLKSLTWYEVKISYPASIPASFSLQLKKGDLESGLNRNRRLLNTEKLIFKTDNLDSINDQGGLHVLVTVEPEGFVAIPNTKEREFIIFNIVCDELLLGIPYYAWWVVAFVVLCLVSALIIPSYLPSYLLRDQNVAKQS >KJB07455 pep chromosome:Graimondii2_0_v6:1:2343374:2346276:1 gene:B456_001G024700 transcript:KJB07455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSINFNFFIPIYIVIFIVSSSTFSHGKMLDGAVLNVGEELKAETLPLKLGSRVYKLQGLKSLTWYEVKISYPASIPASFSLQLKKGDLESGLNRNRRLLNTEKLIFKTDNLDSINDQGGLHVLVTVEPEGFVAIPNTKEREFIIFNIVCDELLLGIPYYAWWVVAFVVLCLVSALIIPSYLPSYLLRDQNVAKQS >KJB07456 pep chromosome:Graimondii2_0_v6:1:2343703:2346257:1 gene:B456_001G024700 transcript:KJB07456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSINFNFFIPIYIVIFIVSSSTFSHGKMLDGAVLNVGEELKAETLPLKLGSRVYKLQGLKSLTWYEVKISYPASGGLHVLVTVEPEGFVAIPNTKEREFIIFNIVCDELLLGIPYYAWWVVAFVVLCLVSALIIPSYLPSYLLRDQNVAKQS >KJB11015 pep chromosome:Graimondii2_0_v6:1:47475531:47476252:-1 gene:B456_001G236600 transcript:KJB11015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRTDNPEMAKKHLKVRTKAKRLKTEMGKVREDQLCLIEEQSKLMTRFGEIERQCNELKQEAQMMAKQSALTRLKLELMLGILKAREGGDLVQAANLTRFLRKIVAMEKANAI >KJB09519 pep chromosome:Graimondii2_0_v6:1:20247630:20248534:1 gene:B456_001G147800 transcript:KJB09519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILELILLTSTNRSCSLPASIVSSLLTSLPASTSFNSFFFSKNFKNFEFVLLLTTPSSTSPNTNHHHYLVNQPPYITTTVKSKPPHNHSIYHCHHKHILTTPLATKKFTPTTTQKIQNEKKKLQRKTKWKPATEKKETKSKKEETKYK >KJB11695 pep chromosome:Graimondii2_0_v6:1:54929533:54931598:-1 gene:B456_001G272400 transcript:KJB11695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQVLETTLIRPSTAPFSHDHTLPLSHLDNDHSLNVTFRYLRAYVNSDTTGRDPFQVISSAISAALHHYYPLAGSLRRSSNGRYELFCELDQSLPLVSASVDCTLESVNHLDDPDMNSAEQLVPDPSPEDTLVNPCTLQLTVFKCGGFTLGAAIHNALCDGLGATQFFCMAADIARGVDKVKYQPVWDRSTLLGPRNPPKVEAPVPEFLCLEKGFNPYKQDIGHVERECFYVEDECLDQLKALLFEQSGLGLTTFEILGAYIWRAKVKASKIPGEETVKFSYLMNIRKVVKPALPAGYWGNGCVAMYAKVSAKDLIEQPLWKTAELIKKSKSNASDEYVRSFIDLQELHYEDGITAGKGVSGFTDWRHLGHSAVDFGWGGPMTVLPLSTNFLGSMEPCFFLPYASSNTGKNKGFKVLVSLRESAIADFREEMEKFSRKEFSKL >KJB11696 pep chromosome:Graimondii2_0_v6:1:54929431:54931854:-1 gene:B456_001G272400 transcript:KJB11696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQVLETTLIRPSTAPFSHDHTLPLSHLDNDHSLNVTFRYLRAYVNSDTTGRDPFQVISSAISAALHHYYPLAGSLRRSSNGRYELFCELDQSLPLVSASVDCTLESVNHLDDPDMNSAEQLVPDPSPEDTLVNPCTLQLTVFKCGGFTLGAAIHNALCDGLGATQFFCMAADIARGVDKVKYQPVWDRSTLLGPRNPPKVEAPVPEFLCLEKGFNPYKQDIGHVERECFYVEDECLDQLKALLFEQSGLGLTTFEILGAYIWRAKVKASKIPGEETVKFSYLMNIRKVVKPALPAGYWGNGCVAMYAKVSAKDLIEQPLWKTAELIKKSKSNASDEYVRSFIDLQELHYEDGITAGKGVSGFTDWRHLGHSAVDFGWGGPMTVLPLSTNFLGSMEPCFFLPYASSNTGKNKGFKVLVSLRESAIADFREEMEKFSRKEFSKL >KJB08766 pep chromosome:Graimondii2_0_v6:1:11461969:11474909:-1 gene:B456_001G102700 transcript:KJB08766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESMQSKVETWIKDQRAKILKVSWGPLRWRMRWQWPPWNTGGREHRQRLQQEYERRKRQLQELCRAVKVDSVSDLQDILCCMVLSECVYKKPASEMMRAVNKFKADFGGQVVSLERVQPSSDHVPHRYLLAEAGDTLFASFIGTKQYKDVMAGANILQGAIFNEDVDRIEVTEANQGERQKGNGENKSISLGSKPKQIKDRPEPAAHRGFLARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAALATLAILRVIAESSSSKESEKVHVKCITFSQPPVGNAALRDYVNRKGWQHYFKSYCIPEDLIPRILSPAYFHHYNAQSSLMSSGVESTSLSTSKNEQDSQKGKTEKLNENEGEQLVIGVGPVQGPFWRLSKLVPLEGVRRQFKKYRGKQVDPIEPSAADSSTASIEDVVVGPQFLEIQEGTDGISLKPFADTDNGASDPGSGKLTGKNNGSEDNNRWRRVPSLPSYVPFGQLYLLGNSSVESLSGAEYSKLTSVRSVIVELKERFQSHSMYSYRSRFQRIYNLCMNDSASTFFGMEQVQQFPHLQQWLGLSVAGAVELGHIVESPIIRTATSIVPLGWNGIPGEKSTEQLKVDITGFRLHMCTLVHAQVNGKWCSTTVESFPSAPDYSAGNGQPPELQKIRVLVGAPLRRPPKHQTLADSLMTMFPSINSETVNLNKEHDMASSHQEKYVRPEGLSDFFIFCTSDFSTASKEVHVRTRRVRLLGLEGAGKTSLFNAILGKGKLTHITNTENLQVESDFQEGIAGGLCYCDSPGVNLQELAIEASRFKDELWRGIRDFSRKTDLIVLVHNLSHRIPRYNHPDSSEQYPALLPLLDQAKSLGIPWVLAITNKFSVSAHQQRAAINTVIQAYQASPSNTEVVNSCPYVMPGAASSSLPWGVMSENSDGRMGVQKFLSAPIDLVRRPFRRKDTVLPVEGVDSLCHVVHRVLWSHEEASLEELARDRLSLELAREHAMAIDKKDSQAKASALTSAAVGASFGAGVGVVLALVMGAASALRKP >KJB08765 pep chromosome:Graimondii2_0_v6:1:11464070:11474787:-1 gene:B456_001G102700 transcript:KJB08765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESMQSKVETWIKDQRAKILKVSWGPLRWRMRWQWPPWNTGGREHRQRLQQEYERRKRQLQELCRAVKVDSVSDLQDILCCMVLSECVYKKPASEMMRAVNKFKADFGGQVVSLERVQPSSDHVPHRYLLAEAGDTLFASFIGTKQYKDVMAGANILQGAIFNEDVDRIEVTEANQGERQKGNGENKSISLGSKPKQIKDRPEPAAHRGFLARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAALATLAILRVIAESSSSKESEKVHVKCITFSQPPVGNAALRDYVNRKGWQHYFKSYCIPEDLIPRILSPAYFHHYNAQSSLMSSGVESTSLSTSKNEQDSQKGKTEKLNENEGEQLVIGVGPVQGPFWRLSKLVPLEGVRRQFKKYRGKQVDPIEPSAADSSTASIEDVVVGPQFLEIQEGTDGISLKPFADTDNGASDPGSGKLTGKNNGSEDNNRWRRVPSLPSYVPFGQLYLLGNSSVESLSGAEYSKLTSVRSVIVELKERFQSHSMYSYRSRFQRIYNLCMNDSASTFFGMEQVQQFPHLQQWLGLSVAGAVELGHIVESPIIRTATSIVPLGWNGIPGEKSTEQLKVDITGFRLHMCTLVHAQVNGKWCSTTVESFPSAPDYSAGNGQPPELQKIRVLVGAPLRRPPKHQTLADSLMTMFPSINSETVNLNKEHDMASSHQEKYVRPEGLSDFFIFCTSDFSTASKEVHVRTRRVRLLGLEGAGKTSLFNAILGKGKLTHITNTENLQVESDFQEGIAGGLCYCDSPGVNLQELAIEASRFKDELWRGIRDFSRKTDLIVLVHNLSHRIPRYNHPDSSEQYPALLPLLDQAKSLGIPWVLAITNKFSVSAHQQRAAINTVIQAYQASPSNTEVVNSCPYVMPGAASSSLPWGVMSENSDGRMGVQKFLSAPIDLVRRPFRRKDTVLPVEGVDSLCHVVHRVLWSHEEASLEELARDRLSLELAREHAMAIDKKDSQAKASALTSAAVGASFGAGVGVVLALVMGAASALRKP >KJB08767 pep chromosome:Graimondii2_0_v6:1:11465408:11474787:-1 gene:B456_001G102700 transcript:KJB08767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESMQSKVETWIKDQRAKILKVSWGPLRWRMRWQWPPWNTGGREHRQRLQQEYERRKRQLQELCRAVKVDSVSDLQDILCCMVLSECVYKKPASEMMRAVNKFKADFGGQVVSLERVQPSSDHVPHRYLLAEAGDTLFASFIGTKQYKDVMAGANILQGAIFNEDVDRIEVTEANQGERQKGNGENKSISLGSKPKQIKDRPEPAAHRGFLARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAALATLAILRVIAESSSSKESEKVHVKCITFSQPPVGNAALRDYVNRKGWQHYFKSYCIPEDLIPRILSPAYFHHYNAQSSLMSSGVESTSLSTSKNEQDSQKGKTEKLNENEGEQLVIGVGPVQGPFWRLSKLVPLEGVRRQFKKYRGKQVDPIEPSAADSSTASIEDVVVGPQFLEIQEGTDGISLKPFADTDNGASDPGSGKLTGKNNGSEDNNRWRRVPSLPSYVPFGQLYLLGNSSVESLSGAEYSKLTSVRSVIVELKERFQSHSMYSYRSRFQRIYNLCMNDSASTFFGMEQVQQFPHLQQWLGLSVAGAVELGHIVESPIIRTATSIVPLGWNGIPGEKSTEQLKVDITGFRLHMCTLVHAQVNGKWCSTTVESFPSAPDYSAGNGQPPELQKIRVLVGAPLRRPPKHQTLADSLMTMFPSINSETVNLNKEHDMASSHQEKYVRPEGLSDFFIFCTSDFSTASKEVHVRTRRVRLLGLEGAGKTSLFNAILGKGKLTHITNTENLQVESDFQEGIAGGLCYCDSPGVNLQELAIEASRFKDELWRGIRDFSRKTDLIVLVHNLSHRIPRYNHPDSSEQYPALLPLLDQAKSLGIPWVLAITNKFSVSAHQQRAAINTVIQAYQASPSNTEVVNSCPYVMPGAASSSLPWGVMSENSDGRMGVQKFLSAPIDLVRRPFRRKDTVLPVEGVDSLCHVVHRVLWSHEEASLEELARDRLSLELAREHAMAIDKKDSQAKASALTSAAVGASFGAGVGVVLALVMGAASALRKP >KJB08768 pep chromosome:Graimondii2_0_v6:1:11466145:11474924:-1 gene:B456_001G102700 transcript:KJB08768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESMQSKVETWIKDQRAKILKVSWGPLRWRMRWQWPPWNTGGREHRQRLQQEYERRKRQLQELCRAVKVDSVSDLQDILCCMVLSECVYKKPASEMMRAVNKFKADFGGQVVSLERVQPSSDHVPHRYLLAEAGDTLFASFIGTKQYKDVMAGANILQGAIFNEDVDRIEVTEANQGERQKGNGENKSISLGSKPKQIKDRPEPAAHRGFLARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAALATLAILRVIAESSSSKESEKVHVKCITFSQPPVGNAALRDYVNRKGWQHYFKSYCIPEDLIPRILSPAYFHHYNAQSSLMSSGVESTSLSTSKNEQDSQKGKTEKLNENEGEQLVIGVGPVQGPFWRLSKLVPLEGVRRQFKKYRGKQVDPIEPSAADSSTASIEDVVVGPQFLEIQEGTDGISLKPFADTDNGASDPGSGKLTGKNNGSEDNNRWRRVPSLPSYVPFGQLYLLGNSSVESLSGAEYSKLTSVRSVIVELKERFQSHSMYSYRSRFQRIYNLCMNDSASTFFGMEQVQQFPHLQQWLGLSVAGAVELGHIVESPIIRTATSIVPLGWNGIPGEKSTEQLKVDITGFRLHMCTLVHAQVNGKWCSTTVESFPSAPDYSAGNGQPPELQKIRVLVGAPLRRPPKHQTLADSLMTMFPSINSETVNLNKEHDMASSHQEKYVRPEGLSDFFIFCTSDFSTASKEVHVRTRRVRLLGLEGAGKTSLFNAILGKGKLTHITNTENLQVESDFQEGIAGGLCYCDSPGVNLQELAIEASRFKDELWRGIRDFSRKTDLIVLVHNLSHRIPRYNHPDSSEQYPALLPLLDQAKSLGIPWVLAITNKFSVSAHQQRAAINTVIQAYQASPSNTEVVNSCPYVMPGAASSSLPWGVMSENSDGRMGVQKFLSAPIDLVRRPFRRKDTVLPVEGVDSLCHVVHRVLWSHEEASLEELARDRLSLELAREHAMAIDKKDSQAKASALTSAAVGASFGAGVGVVLALVMGAASALRKP >KJB08769 pep chromosome:Graimondii2_0_v6:1:11463127:11474787:-1 gene:B456_001G102700 transcript:KJB08769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESMQSKVETWIKDQRAKILKVSWGPLRWRMRWQWPPWNTGGREHRQRLQQEYERRKRQLQELCRAVKVDSVSDLQDILCCMVLSECVYKKPASEMMRAVNKFKADFGGQVVSLERVQPSSDHVPHRYLLAEAGDTLFASFIGTKQYKDVMAGANILQGAIFNEDVDRIEVTEANQGERQKGNGENKSISLGSKPKQIKDRPEPAAHRGFLARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAALATLAILRVIAESSSSKESEKVHVKCITFSQPPVGNAALRDYVNRKGWQHYFKSYCIPEDLIPRILSPAYFHHYNAQSSLMSSGVESTSLSTSKNEQDSQKGKTEKLNENEGEQLVIGVGPVQGPFWRLSKLVPLEGVRRQFKKYRGKQVDPIEPSAADSSTASIEDVVVGPQFLEIQEGTDGISLKPFADTDNGASDPGSGKLTGKNNGSEDNNRWRRVPSLPSYVPFGQLYLLGNSSVESLSGAEYSKLTSVRSVIVELKERFQSHSMYSYRSRFQRIYNLCMNDSASTFFGMEQVQQFPHLQQWLGLSVAGAVELGHIVESPIIRTATSIVPLGWNGIPGEKSTEQLKVDITGFRLHMCTLVHAQVNGKWCSTTVESFPSAPDYSAGNGQPPELQKIRVLVGAPLRRPPKHQTLADSLMTMFPSINSETVNLNKEHDMASSHQEKYVRPEGLSDFFIFCTSDFSTASKEVHVRTRRVRLLGLEGAGKTSLFNAILGKGKLTHITNTENLQVESDFQEGIAGGLCYCDSPGVNLQELAIEASRFKDELWRGIRDFSRKTDLIVLVHNLSHRIPRYNHPDSSEQYPALLPLLDQAKSLGIPWVLAITNKFSVSAHQQRAAINTVIQAYQASPSNTEVVNSCPYVMPGAASSSLPWGVMSENSDGRMGVQKFLSAPIDLVRRPFRRKDTVLPVEGVDSLCHVVHRVLWSHEEASLEELARDRLSLELAREHAMAIDKKDSQAKASALTSAAVGASFGAGVGVVLALVMGAASALRKP >KJB06334 pep chromosome:Graimondii2_0_v6:1:6118088:6120777:1 gene:B456_001G061500 transcript:KJB06334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAIAPELKKLPRPGRGGFQAHVLTEEEARVRAIAEIVNCMVELSRKNQRVDLNAIKSAACRKYGLARAPKLVEMIAALPESERVSLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVTYSEYSAVKCIGMTIETRPDYCLGPHLRQMLSYGCT >KJB06333 pep chromosome:Graimondii2_0_v6:1:6118088:6122003:1 gene:B456_001G061500 transcript:KJB06333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAIAPELKKLPRPGRGGFQAHVLTEEEARVRAIAEIVNCMVELSRKNQRVDLNAIKSAACRKYGLARAPKLVEMIAALPESERVSLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVTYSEYSAVKCIGMTIETRPDYCLGPHLRQMLSYGCT >KJB08459 pep chromosome:Graimondii2_0_v6:1:46851685:46857703:1 gene:B456_001G231300 transcript:KJB08459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGKNSVLTPLPRFFGNGNALETSSQNAKSVSVHPMPLDSINRMHGKSIPMVSCGSPNVSGSGSSKEHHNQPFPGQLIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSKEEKQELRNFKWDEFLAYTRHSITNKKSKRRLGIRPQKSSEPAIENGQ >KJB08458 pep chromosome:Graimondii2_0_v6:1:46851685:46857703:1 gene:B456_001G231300 transcript:KJB08458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCGSPNVSGSGSSKEHHNQPFPGQLIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSKEEKQELRNFKWDEFLAYTRHSITNKKSKRRLGIRPQKSSEPAIENGQ >KJB08454 pep chromosome:Graimondii2_0_v6:1:46851527:46858023:1 gene:B456_001G231300 transcript:KJB08454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGKNSVLTPLPRFFGNGNALETSSQNAKSVSVHPMPLDSINRMHGKSIPMVSCGSPNVSGSGSSKEHHNQPFPGQLIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSKEEKQELRNFKWDEFLAYTRHSITNKKSKRRLGIRPQKSSEPAIENGQ >KJB08455 pep chromosome:Graimondii2_0_v6:1:46851685:46856504:1 gene:B456_001G231300 transcript:KJB08455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGKNSVLTPLPRFFGNGNALETSSQNAKSVSVHPMPLDSINRMHGKSIPMVSCGSPNVSGSGSSKEHHNQPFPGQLIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDR >KJB08461 pep chromosome:Graimondii2_0_v6:1:46852344:46857703:1 gene:B456_001G231300 transcript:KJB08461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGKNSVLTPLPRFFGNGNALETSSQNAKSVSVHPMPLDSINRMHGKSIPMVSCGSPNVSGSGSSKEHHNQPFPGQLIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSKEEKQELRNFKWDEFLAYTRHSITNKKSKRRLGIRPQKSSEPAIENGQ >KJB08456 pep chromosome:Graimondii2_0_v6:1:46851685:46857703:1 gene:B456_001G231300 transcript:KJB08456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDSINRMHGKSIPMVSCGSPNVSGSGSSKEHHNQPFPGQLIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSKEEKQELRNFKWDEFLAYTRHSITNKKSKRRLGIRPQKSSEPAIENGQ >KJB08460 pep chromosome:Graimondii2_0_v6:1:46851870:46857703:1 gene:B456_001G231300 transcript:KJB08460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGKNSVLTPLPRFFGNGNALETSSQNAKSVSVHPMPLDSINRMHGKSIPMVSCGSPNVSGSGSSKEHHNQPFPGQLIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSKEEKQELRNFKWDEFLAYTRHSITNKKSKRRLGIRPQKSSEPAIENGQ >KJB08462 pep chromosome:Graimondii2_0_v6:1:46851740:46857703:1 gene:B456_001G231300 transcript:KJB08462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGKNSVLTPLPRFFGNGNALETSSQNAKSVSVHPMPLDSINRMHGKSIPMVSCGSPNVSGSGSSKEHHNQPFPGQLIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSKEEKQELRNFKWDEFLAYTRHSITNKKSKRRLGIRPQKSSEPAIENGQ >KJB08457 pep chromosome:Graimondii2_0_v6:1:46852772:46857703:1 gene:B456_001G231300 transcript:KJB08457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDSINRMHGKSIPMVSCGSPNVSGSGSSKEHHNQPFPGQLIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSKEEKQELRNFKWDEFLAYTRHSITNKKSKRRLGIRPQKSSEPAIENGQ >KJB07542 pep chromosome:Graimondii2_0_v6:1:2743698:2745776:-1 gene:B456_001G029200 transcript:KJB07542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g15130 [Source:Projected from Arabidopsis thaliana (AT3G15130) UniProtKB/Swiss-Prot;Acc:P0C898] MIRLLFPIWSGRQRLANLLRYCSKNSLLDQGVQVHAASLRMGFGFDLMLSNDLIEMYSKCGVMDTASLVFDRMTERNVVSWTALMCGHLQNGNARATLSLFFQMVFSCLKPNEYTFSTNFKACGILNVPEIGMKIHGMCVLTGFETVPVVGNSIVDMYSKCGRINEAVMMFNVLPVKNLISWNTMLAGYTLAGQGEKALLWFHRMLENGEIPDEYTLTTVLKACSRLGKIREGSMIHGFLITSGFPCSGKAAIAGSLIDLYVKCGNLAEARRVFNQIGEKNVISWSALILGFAQEGNLAEAIELFKQLQNNSKQVDGFVLSSMMGVFADFALVEQGKQMHAYAVKVPSGSEISVSNSIVDMYLKCGLLDEAERLFNEMPARNVVSWTVMITGYGKHGIGKEAIRLFNQMQSNNIEPDGVTYLATLSACSHSGLIKEGEEYFSQLCRNRWVKPGIEHYACMVDLLGRDGRLKEAKDLIENMPLKPNVGIWQTLLSACRVHGNLQLGKEVGQNLLTLDGENPVNYVMISNIYAEAGYWKEYEQVREMAKAKGLRKEAGRSWVEIDKVVHFFYGGDDTHPMVDKIHQVLKEMERRMKEEMGYVHGVKFALRDIDEESKVESLRVHSEKLAIGLALCHGGWDEARVIRVFKNLRICGDCHDFIKCLSKIVKVVFVVRDANRFHQFKDGLCSCRDYW >KJB10253 pep chromosome:Graimondii2_0_v6:1:32184371:32188713:1 gene:B456_001G191800 transcript:KJB10253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSASSSSSSEDGNGNGNGNGHGARRGGGDFEGPSLTRRRGNNEIWPGPFVEDLVVKVAIDASRSIGRLAAAGAIAHVFQVCSTWQAVSRSDPLWHRLTSVIWGRTHRMHTTWHEEYVYRHQTSQNFRLGRSVHVTLHFDPTGVDIPDGLTCRCLTLSDTHLACGFADGTVRLFDLATRLHVATFHPQHGDRFGRFSRAVSGIIITDPKIIFATLDGDIYVAIIEGQHQARRAHIGNIVDDGSLVDFTGCGRWWVGLYAGVPGRAIHIWDGNTEELVYVNTTLTDRESLRGWHMLTELTEAIGRVRVTSQESAVACTSSKYMVLDLRNPAFPLHDRESARGFIVNSLDTNNEAFIIVDNRGRAIVRRADTLEELCRFNTRLGNLMGCMNLGYALLCAAGVIRVWGIEHGQYLYSFGENIGAVNAMAGDDRHVAAASSNSTIHLWDFGAQ >KJB11119 pep chromosome:Graimondii2_0_v6:1:48253055:48255407:-1 gene:B456_001G242000 transcript:KJB11119 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-carotene isomerase, Strigolactones biosynthesi [Source: Projected from Oryza sativa (Os11g0587000)] MEAKVVLQSRTPTGTSSRGVNKQRCSPVRAVLARPAESIVGSGTKERLRLKLKPADSKREVAQDSSSFHNDNWFDLWAINYLSQSLQAATGVKSMLSGYESLVETTAMMSKKFNTKTQQELVMQVLDTAIPKLILNMIKTLLPQSQFTREYFAAFTTVFFAWLIGPSEVRESELNGRREKNVVYVKKCRFLEQSNCVGMCINLCKMPSQAFIKDSLGMPLNMVPRKKCKY >KJB11117 pep chromosome:Graimondii2_0_v6:1:48252307:48255423:-1 gene:B456_001G242000 transcript:KJB11117 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-carotene isomerase, Strigolactones biosynthesi [Source: Projected from Oryza sativa (Os11g0587000)] MEAKVVLQSRTPTGTSSRGVNKQRCSPVRAVLARPAESIVGSGTKERLRLKLKPADSKREVAQDSSSFHNDNWFDLWAINYLSQSLQAATGVKSMLSGYESLVETTAMMSKKFNTKTQQELVMQVLDTAIPKLILNMIKTLLPQSQFTREYFAAFTTVFFAWLIGPSEVRESELNGRREKNVVYVKKCRFLEQSNCVGMCINLCKMPSQAFIKDSLGMPLNMVPNFDDMSCEMIFGQDPPTPNDDPALKQPCYKLCRANQKHTVKSSG >KJB11118 pep chromosome:Graimondii2_0_v6:1:48253921:48255356:-1 gene:B456_001G242000 transcript:KJB11118 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-carotene isomerase, Strigolactones biosynthesi [Source: Projected from Oryza sativa (Os11g0587000)] MEAKVVLQSRTPTGTSSRGVNKQRCSPVRAVLARPAESIVGSGTKERLRLKLKPADSKREVAQDSSSFHNDNWFDLWAINYLSQSLQAATGVKSMLSGYESLVETTAMMSKKFNTKTQQELVMQVLDTAIPKLILNMIKTLLPQSQFTREYFAAFTTVFFAWLIGPSEVRESELNGRREKNVVYVKKCR >KJB06808 pep chromosome:Graimondii2_0_v6:1:33570441:33571331:-1 gene:B456_001G195300 transcript:KJB06808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKTVITHEPQLISITVYDTDRDRMQNTVAKVAVVGSGISGSVCAATLARNGISVTLFDSAKGPGGRMSQRREISEDGRELLFGHGAPYFTVTNPDVLSVVTEWESRGLVAEWKSNFGSFDCFTNKIVNTEHQERATMFLNCLCA >KJB06806 pep chromosome:Graimondii2_0_v6:1:33569102:33571331:-1 gene:B456_001G195300 transcript:KJB06806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKTVITHEPQLISITVYDTDRDRMQNTVAKVAVVGSGISGSVCAATLARNGISVTLFDSAKGPGGRMSQRREISEDGRELLFGHGAPYFTVTNPDVLSVVTEWESRGLVAEWKSNFGSFDCFTNKIVNTEHQFSV >KJB06807 pep chromosome:Graimondii2_0_v6:1:33569426:33571331:-1 gene:B456_001G195300 transcript:KJB06807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKTVITHEPQLISITVYDTDRDRMQNTVAKVAVVGSGISGSVCAATLARNGISVTLFDSAKGPGGRMSQRREISEDGRELLFGHGAPYFTVTNPDVLSVVTEWESRGLVAEWKSNFGSFDCFTNKIVNTEHQERATMFLNCLCA >KJB06809 pep chromosome:Graimondii2_0_v6:1:33569110:33571331:-1 gene:B456_001G195300 transcript:KJB06809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKTVITHEPQLISITVYDTDRDRMQNTVAKVAVVGSGISGSVCAATLARNGISVTLFDSAKGPGGRMSQRREISEDGRELLFGHGAPYFTVTNPDVLSVVTEWESRGLVAEWKSNFGSFDCFTNKIVNTEHQERATMFLNCLCA >KJB06804 pep chromosome:Graimondii2_0_v6:1:33569004:33571331:-1 gene:B456_001G195300 transcript:KJB06804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKTVITHEPQLISITVYDTDRDRMQNTVAKVAVVGSGISGSVCAATLARNGISVTLFDSAKGPGGRMSQRSSLFDTLEILKSGERLAASEVGLLATVGVTMVKA >KJB06805 pep chromosome:Graimondii2_0_v6:1:33569004:33571331:-1 gene:B456_001G195300 transcript:KJB06805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKTVITHEPQLISITVYDTDRDRMQNTVAKVAVVGSGISGSVCAATLARNGISVTLFDSAKGPGGRMSQRREISEDGRELLFGHGAPYFTVTNPDVLSVVTEWESRGLVAEWKSNFGSFDCFTNKIVNTEHQA >KJB07130 pep chromosome:Graimondii2_0_v6:1:20846286:20849536:-1 gene:B456_001G150500 transcript:KJB07130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDKNEAKVVETPRKPPRLNERILSSMSRRSVAAHPWHDLEIGPGAPAIFNCVVEIPKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDCLVIMQEPVLPGCFLRARALGLMPMIDQGEKDDKIIAVCVDDPEYKHYTDIKDLPPHRLTEIRRFFEDCILFNIKL >KJB07128 pep chromosome:Graimondii2_0_v6:1:20846286:20848460:-1 gene:B456_001G150500 transcript:KJB07128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSYCQHEYQVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDCLVIMQEPVLPGCFLRARALGLMPMIDQGEKDDKIIAVCVDDPEYKHYTDIKDLPPHRLTEIRRFFEDYKKNENKEVAVDKFLPATAAVEAVQYSMDLYAEYIMQTLRR >KJB07129 pep chromosome:Graimondii2_0_v6:1:20846286:20849536:-1 gene:B456_001G150500 transcript:KJB07129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDKNEAKVVETPRKPPRLNERILSSMSRRSVAAHPWHDLEIGPGAPAIFNCVVEIPKGSKVKYELDKKTGLIKEPVLPGCFLRARALGLMPMIDQGEKDDKIIAVCVDDPEYKHYTDIKDLPPHRLTEIRRFFEDYKKNENKEVAVDKFLPATAAVEAVQYSMDLYAEYIMQTLRR >KJB07131 pep chromosome:Graimondii2_0_v6:1:20846286:20849536:-1 gene:B456_001G150500 transcript:KJB07131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDKNEAKVVETPRKPPRLNERILSSMSRRSVAAHPWHDLEIGPGAPAIFNCNILKVVEIPKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDCLVIMQEPVLPGCFLRARALGLMPMIDQGEKDDKIIAVCVDDPEYKHYTDIKDLPPHRLTEIRRFFEDYKKNENKEVAVDKFLPATAAVEAVQYSMDLYAEYIMQTLRR >KJB07127 pep chromosome:Graimondii2_0_v6:1:20846255:20849564:-1 gene:B456_001G150500 transcript:KJB07127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDKNEAKVVETPRKPPRLNERILSSMSRRSVAAHPWHDLEIGPGAPAIFNCVVEIPKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDCLVIMQEPVLPGCFLRARALGLMPMIDQGEKDDKIIAVCVDDPEYKHYTDIKDLPPHRLTEIRRFFEDYKKNENKEVAVDKFLPATAAVEAVQYSMDLYAEYIMQTLRR >KJB07132 pep chromosome:Graimondii2_0_v6:1:20846792:20848410:-1 gene:B456_001G150500 transcript:KJB07132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDKNEAKVVETPRKPPRLNERILSSMSRRSVAAHPWHDLEIGPGAPAIFNCVVEIPKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDCLVIMQEPVLPGCFLRARALGLMPMIDQGEKDDKIIAVCVDDPEYKHYTDIKDLPPHRLTEIRRFFEDYKKNENKEVAVDKFLPATAAVEAVQYSMYA >KJB06396 pep chromosome:Graimondii2_0_v6:1:10765791:10767276:-1 gene:B456_001G096600 transcript:KJB06396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPTPIFGLQLAESRNCEADNSFFNRPLLLFVALIGAIVGGLLARQRKEELQRVNEQLRQINVTLRRQAKIESYAPSLSLFPSVKGRVENRKNFLRNQELEKAFLEFKTALELAKSLKDLIEEKKAARGLGTPLKRQGKYQEAIEYHSMVLVISDREGEDSRNTEAYGAIADYYTELGDLEKARILYDKYIARLEID >KJB11750 pep chromosome:Graimondii2_0_v6:1:55315762:55318627:1 gene:B456_001G2756002 transcript:KJB11750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGAANGLVWMDGEGEEEEDAVSSWTPNINNQIQSKQDDFTMPFKSVLDAYWYMNPAMNQDPHIRELGFVDNNLLLHHPLDSSASCSPSQPFTLEPHPFLPPKPCFSSLLCNSPFDFASETAFQPNQTPNFMGFPPTQFSTPDFSSSSDFQGPRLFTATENASAFSGGFEGVDASGNAVFVNRAKILKPLEVFPSVGSQPTLFQKRAAMRQGSGVADERRNLDVSDGKRQRHEQGEIEEASFDVSGLNYDSDDCKLEEKAKNGGCNSNATSTLTAGGDHKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHTELESTPPGSFMPPSSSCHPLTPTPPTLPGRVKEELCPSSFSSPKNQPPKVEVRLREGRAVNIH >KJB11751 pep chromosome:Graimondii2_0_v6:1:55315927:55318627:1 gene:B456_001G2756002 transcript:KJB11751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGAANGLVWMDGEGEEEEDAVSSWTPNINNQIQSKQDDFTMPFKSVLDAYWYMNPAMNQDPHIRELGFVDNNLLLHHPLDSSASCSPSQPFTLEPHPFLPPKPCFSSLLCNSPFDFASETAFQPNQTPNFMGFPPTQFSTPDFSSSSDFQGPRLFTATENASAFSGGFEGVDASGNAVFVNRAKILKPLEVFPSVGSQPTLFQKRAAMRQGSGVADERRNLDVSDGKRQRHEQGEIEEASFDVSGLNYDSDDCKLEEKAKNGGCNSNATSTLTAGGDHKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKILIVLIMSQMDRASILGDAIDYLKELLQRINDLHTELESTPPGSFMPPSSSCHPLTPTPPTLPGRVKEELCPSSFSSPKNQPPKVEVRLREGRAVNIH >KJB09234 pep chromosome:Graimondii2_0_v6:1:16601707:16605838:1 gene:B456_001G130800 transcript:KJB09234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAGGSGGRTLEETPTWAVAVVCFALVLISIIIEHILHMIGKWLKNKHKRALYEALEKIKSELMLLGFISLLLTVGQGLISDICISKEIAATWHPCNKKQEEKLNESDDQDKTDTEHRRRLLTVSNSGVVIRRSLAGPSVDKCAAQGKVPFVSSEGIHQLHLFIFVLALFHVLYCVLTLALGTAKMKRWKRWEKETGTIEYQFSHDPERFRFARETSFGRRHLSFWTQNPILMRIVCFFRQFVRSVPKVDYLTLRHGFIMAHLAPHSETKFDFQKYINRSLEEDFNVVVGISPPIWFFAVLFLLLNTHGWFSYLWLPFIPLIIILLVGTKLQVIITKMGLRIQERGEVVKGVPVVEPGDDLFWFDRPRLILYLINFVLFQNAFQLAFFACTWYEFGSKSCFHEHVEDVVIRISMGWVQT >KJB09233 pep chromosome:Graimondii2_0_v6:1:16601707:16605838:1 gene:B456_001G130800 transcript:KJB09233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAGGSGGRTLEETPTWAVAVVCFALVLISIIIEHILHMIGKWLKNKHKRALYEALEKIKSELMLLGFISLLLTVGQGLISDICISKEIAATWHPCNKKQEEKLNESDDQDKTDTEHRRRLLTVSNSGVVIRRSLAGPSVDKCAAQGKVPFVSSEGIHQLHLFIFVLALFHVLYCVLTLALGTAKMKRWKRWEKETGTIEYQFSHDPERFRFARETSFGRRHLSFWTQNPILMRIVCFFRQFVRSVPKVDYLTLRHGFIMAHLAPHSETKFDFQKYINRSLEEDFNVVVGISPPIWFFAVLFLLLNTHGWFSYLWLPFIPLIIILLVGTKLQVIITKMGLRIQERGEVVKGVPVVEPGDDLFWFDRPRLILYLINFVLFQNAFQLAFFACTWYEFGSKSCFHEHVEDVVIRISMGILVQILCSYVTLPLYALVTQMGTNMKPTIFNERVATALRNWHHTAKKHIKHNKGSVTPFSSRPNTPSHHTSPVHLLRNYRSEVDSLHTSPRRSNFDIELSDTDSLSPSPPNHTEGSSTSHHHVNMEDQVQVDYIDNDINELNFNERRELTQHEINIELKDFSFDRRTTSI >KJB10158 pep chromosome:Graimondii2_0_v6:1:29903061:29909420:1 gene:B456_001G187000 transcript:KJB10158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTMPGELIAFQENERFRKEGNLDFETSEDEKRRPTRGRSLKKKAMTASTKLTHGIRKRGKRVADCKFAAISIEDVRDAEEEKAVQAFREALVAKDQLPSRHDDYHTLLRFLKARKFDLDKTVQMWEDMLNWRKENGVDTILQDFVYDEYEEVQRCYPHGYHGVDKQGRPVYIERLGKIDPTKLMKVTTVDRFLKYHVQGFEKAFVEKFPACSIAAKRHIDSTTTILDVQGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMYIVNAGNGFKLLWNTAKSFLDPRTTAKIHVLGNKFHNKLLESIDPSQLPEFLGGTCSCLNDGGCLRSDKGPWNNSEIMKLVHSGDALYLRKTESSSDNDNLEAKLLSTKVASSEISYASDVRPHTSDLMQLVSLSDKGQMSAPKSMHDIIEPESAAITEEANSTNDVISIVTPRNPRKKFVNQVIDFVVYFLLKLLACIFFFAPGLGRFSEAQDSNPQVGNLSNHEMAGSGSLENGTLTEAKEESLHPCWQRLQSLESLVTDLCNKPINIPPEKEDMLLESLSRIKSIEQDLQRTKKALLATASKQVELAESLEHLKETSLAGTYSCWRRNYKPLNPGR >KJB10160 pep chromosome:Graimondii2_0_v6:1:29903630:29908077:1 gene:B456_001G187000 transcript:KJB10160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTMPGELIAFQENERFRKEGNLDFETSEDEKRRPTRGRSLKKKAMTASTKLTHGIRKRGKRVADCKFAAISIEDVRDAEEEKAVQAFREALVAKDQLPSRHDDYHTLLRFLKARKFDLDKTVQMWEDMLNWRKENGVDTILQDFVYDEYEEVQRCYPHGYHGVDKQGRPVYIERLGKIDPTKLMKVTTVDRFLKYHVQGFEKAFVEKFPACSIAAKRHIDSTTTILDVQGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMYIVNAGNGFKLLWNTAKSFLDPRTTAKIHVLGNKFHNKLLESIDPSQLPEFLGGTCSCLNDGGCLRSDKGPWNNSEIMKLVHSGDALYLRKTESSSDNDNLEAKLLSTKVASSEISYASDVRPHTSDLMQLVSLSDKGQMSAPKSMHDIIEPESAAITEEANSTNDVISIVTPRNPRKKFVNQVIDFVVYFLLKLLACIFFFAPGLGRFSEAQDSNPQVGNLSNHEMAGSGSLENGTLTEAKEESLHPCWQRLQSLESLVTDLCNKPINIPPEKEDMLLESLSRIKSIEQDLQRTKKVRLLSIISVYCNQFLYRCMFWSVNWI >KJB10162 pep chromosome:Graimondii2_0_v6:1:29903061:29909420:1 gene:B456_001G187000 transcript:KJB10162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTMPGELIAFQENERFRKEGNLDFETSEDEKRRPTRGRSLKKKAMTASTKLTHGIRKRGKRVADCKFAAISIEDVRDAEEEKAVQAFREALVAKDQLPSRHDDYHTLLRFLKARKFDLDKTVQMWEDMLNWRKENGVDTILQDFVYDEYEEVQRCYPHGYHGVDKQGRPVYIERLGKIDPTKLMKVTTVDRFLKYHVQGFEKAFVEKFPACSIAAKRHIDSTTTILDVQGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMYIVNAGNGFKLLWNTAKSFLDPRTTAKIHVLGNKFHNKLLESIDPSQLPEFLGGTCSCLNDGGCLRSDKGPWNNSEIMKLVHSGDALYLRKTESSSDNDNLEAKLLSTKVASSEISYASDVRPHTSDLMQLVSLSDKGQMSAPKSMHDIIEPESAAITEEANSTNDVISIVTPRNPRKKFVNQVIDFVVYFLLKLLACIFFFAPGLGRFSEAQDSNPQVGNLSNHEMAGSGSLENGTLTEAKEESLHPCWQRLQSLESLVTDLCNKPINIPPEKEDMLLESLSRIKSIEQDLQRTKKALLATASKQVELAESLEHLKETSLAGTYSCWRRNYKPLNPGR >KJB10165 pep chromosome:Graimondii2_0_v6:1:29903061:29909420:1 gene:B456_001G187000 transcript:KJB10165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASTKLTHGIRKRGKRVADCKFAAISIEDVRDAEEEKAVQAFREALVAKDQLPSRHDDYHTLLRFLKARKFDLDKTVQMWEDMLNWRKENGVDTILQDFVYDEYEEVQRCYPHGYHGVDKQGRPVYIERLGKIDPTKLMKVTTVDRFLKYHVQGFEKAFVEKFPACSIAAKRHIDSTTTILDVQGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMYIVNAGNGFKLLWNTAKSFLDPRTTAKIHVLGNKFHNKLLESIDPSQLPEFLGGTCSCLNDGGCLRSDKGPWNNSEIMKLVHSGDALYLRKTESSSDNDNLEAKLLSTKVASSEISYASDVRPHTSDLMQLVSLSDKGQMSAPKSMHDIIEPESAAITEEANSTNDVISIVTPRNPRKKFVNQVIDFVVYFLLKLLACIFFFAPGLGRFSEAQDSNPQVGNLSNHEMAGSGSLENGTLTEAKEESLHPCWQRLQSLESLVTDLCNKPINIPPEKEDMLLESLSRIKSIEQDLQRTKKALLATASKQVELAESLEHLKETSLAGTYSCWRRNYKPLNPGR >KJB10166 pep chromosome:Graimondii2_0_v6:1:29903061:29909420:1 gene:B456_001G187000 transcript:KJB10166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTMPGELIAFQENERFRKEGNLDFETSEDEKRRPTRGRSLKKKAMTASTKLTHGIRKRGKRVADCKFAAISIEDVRDAEEEKAVQAFREALVAKDQLPSRHDDYHTLLRFLKARKFDLDKTVQMWEDMLNWRKENGVDTILQDFVYDEYEEVQRCYPHGYHGVDKQGRPVYIERLGKIDPTKLMKVTTVDRFLKYHVQGFEKAFVEKFPACSIAAKRHIDSTTTILDVQGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMYIVNAGNGFKLLWNTAKSFLDPRTTAKIHVLGNKFHNKLLESIDPSQLPEFLGGTCSCLNDGGCLRSDKGPWNNSEIMKLVHSGDALYLRKTESSSDNDNLEAKLLSTKVSPTSVFVASSEISYASDVRPHTSDLMQLVSLSDKGQMSAPKSMHDIIEPESAAITEEANSTNDVISIVTPRNPRKKFVNQVIDFVVYFLLKLLACIFFFAPGLGRFSEAQDSNPQVGNLSNHEMAGSGSLENGTLTEAKEESLHPCWQRLQSLESLVTDLCNKPINIPPEKEDMLLESLSRIKSIEQDLQRTKKALLATASKQVELAESLEHLKETSLAGTYSCWRRNYKPLNPGR >KJB10164 pep chromosome:Graimondii2_0_v6:1:29902975:29909518:1 gene:B456_001G187000 transcript:KJB10164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTMPGELIAFQENERFRKEGNLDFETSEDEKRRPTRGRSLKKKAMTASTKLTHGIRKRGKRVADCKFAAISIEDVRDAEEEKAVQAFREALVAKDQLPSRHDDYHTLLRFLKARKFDLDKTVQMWEDMLNWRKENGVDTILQDFVYDEYEEVQRCYPHGYHGVDKQGRPVYIERLGKIDPTKLMKVTTVDRFLKYHVQGFEKAFVEKFPACSIAAKRHIDSTTTILDVQGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMYIVNAGNGFKLLWNTAKSFLDPRTTAKIHVLGNKFHNKLLESIDPSQLPEFLGGTCSCLNDGGCLRSDKGPWNNSEIMKLVHSGDALYLRKTESSSDNDNLEAKLLSTKVASSEISYASDVRPHTSDLMQLVSLSDKGQMSAPKSMHDIIEPESAAITEEANSTNDVISIVTPRNPRKKFVNQVIDFVVYFLLKLLACIFFFAPGLGRFSEAQDSNPQVGNLSNHEMAGSGSLENGTLTEAKEESLHPCWQRLQSLESLVTDLCNKPINIPPEKEDMLLESLSRIKSIEQDLQRTKKALLATASKQVELAESLEHLKETSLAGTYSCWRRNYKPLNPGR >KJB10161 pep chromosome:Graimondii2_0_v6:1:29903061:29909420:1 gene:B456_001G187000 transcript:KJB10161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTMPGELIAFQENERFRKEGNLDFETSEDEKRRPTRGRSLKKKAMTASTKLTHGIRKRGKRVADCKFAAISIEDVRDAEEEKAVQAFREALVAKDQLPSRHDDYHTLLRFLKARKFDLDKTVQMWEDMLNWRKENGVDTILQDFVYDEYEEVQRCYPHGYHGVDKQGRPVYIERLGKIDPTKLMKVTTVDRFLKYHVQGFEKAFVEKFPACSIAAKRHIDSTTTILDVQGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMYIVNAGNGFKLLWNTAKSFLDPRTTAKIHVLGNKFHNKLLESIDPSQLPEFLGGTCSCLNDGGCLRSDKGPWNNSEIMKLVHSGDALYLRKTESSSDNDNLEAKLLSTKVASSEISYASDVRPHTSDLMQLVSLSDKGQMSAPKSMHDIIEPESAAITEEANSTNDVISIVTPRNPRKKFVNQVIDFVVYFLLKLLACIFFFAPGLGRFSEAQDSNPQVGNLSNHEMAGSGSLENGTLTEAKEESLHPCWQRLQSLESLVTDLCNKPINIPPEKEDMLLESLSRIKSIEQDLQRTKKALLATASKQVELAESLEHLKETSLAVHLLMVSGMALAGDILVLAEKLQTFEPGKMNSVV >KJB10167 pep chromosome:Graimondii2_0_v6:1:29902784:29909561:1 gene:B456_001G187000 transcript:KJB10167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTMPGELIAFQENERFRKEGNLDFETSEDEKRRPTRGRSLKKKAMTASTKLTHGIRKRGKRVADCKFAAISIEDVRDAEEEKAVQAFREALVAKDQLPSRHDDYHTLLRFLKARKFDLDKTVQMWEDMLNWRKENGVDTILQDFVYDEYEEVQRCYPHGYHGVDKQGRPVYIERLGKIDPTKLMKVTTVDRFLKYHVQGFEKAFVEKFPACSIAAKRHIDSTTTILDVQGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMYIVNAGNGFKLLWNTAKSFLDPRTTAKIHVLGNKFHNKLLESIDPSQLPEFLGGTCSCLNDGGCLRSDKGPWNNSEIMKLVHSGDALYLRKTESSSDNDNLEAKLLSTKVASSEISYASDVRPHTSDLMQLVSLSDKGQMSAPKSMHDIIEPESAAITEEANSTNDVISIVTPRNPRKKFVNQVIDFVVYFLLKLLACIFFFAPGLGRFSEAQDSNPQVGNLSNHEMAGSGSLENGTLTEAKEESLHPCWQRLQSLESLVTDLCNKPINIPPEKEDMLLESLSRIKSIEQDLQRTKKALLATASKQVELAESLEHLKETSLAGTYSCWRRNYKPLNPGR >KJB10163 pep chromosome:Graimondii2_0_v6:1:29903061:29909420:1 gene:B456_001G187000 transcript:KJB10163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTMPAFQENERFRKEGNLDFETSEDEKRRPTRGRSLKKKAMTASTKLTHGIRKRGKRVADCKFAAISIEDVRDAEEEKAVQAFREALVAKDQLPSRHDDYHTLLRFLKARKFDLDKTVQMWEDMLNWRKENGVDTILQDFVYDEYEEVQRCYPHGYHGVDKQGRPVYIERLGKIDPTKLMKVTTVDRFLKYHVQGFEKAFVEKFPACSIAAKRHIDSTTTILDVQGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMYIVNAGNGFKLLWNTAKSFLDPRTTAKIHVLGNKFHNKLLESIDPSQLPEFLGGTCSCLNDGGCLRSDKGPWNNSEIMKLVHSGDALYLRKTESSSDNDNLEAKLLSTKVASSEISYASDVRPHTSDLMQLVSLSDKGQMSAPKSMHDIIEPESAAITEEANSTNDVISIVTPRNPRKKFVNQVIDFVVYFLLKLLACIFFFAPGLGRFSEAQDSNPQVGNLSNHEMAGSGSLENGTLTEAKEESLHPCWQRLQSLESLVTDLCNKPINIPPEKEDMLLESLSRIKSIEQDLQRTKKALLATASKQVELAESLEHLKETSLAGTYSCWRRNYKPLNPGR >KJB10159 pep chromosome:Graimondii2_0_v6:1:29903061:29909422:1 gene:B456_001G187000 transcript:KJB10159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTMPGELIAFQENERFRKEGNLDFETSEDEKRRPTRGRSLKKKAMTASTKLTHGIRKRGKRVADCKFAAISIEDVRDAEEEKAVQAFREALVAKDQLPSRHDDYHTLLRFLKARKFDLDKTVQMWEDMLNWRKENGVDTILQDFVYDEYEEVQRCYPHGYHGVDKQGRPVYIERLGKIDPTKLMKVTTVDRFLKYHVQGFEKAFVEKFPACSIAAKRHIDSTTTILDVQGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMYIVNAGNGFKLLWNTAKSFLDPRTTAKIHVLGNKFHNKLLESIDPSQLPEFLGGTCSCLNDGGCLRSDKGPWNNSEIMKLVHSGDALYLRKTESSSDNDNLEAKLLSTKVASSEISYASDVRPHTSDLMQLVSLSDKGQMSAPKSMHDIIEPESAAITEEANSTNDVISIVTPRNPRKKFVNQVIDFVVYFLLKLLACIFFFAPGLGRFSEAQDSNPQVGNLSNHEMAGSGSLENGTLTEAKEESLHPCWQRLQSLESLVTDLCNKPINIPPEKEDMLLESLSRIKSIEQDLQRTKKALLATASKQVELAESLEHLKETSLAGTYSCWRRNYKPLNPGR >KJB08651 pep chromosome:Graimondii2_0_v6:1:10658282:10664025:-1 gene:B456_001G095600 transcript:KJB08651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSASAFTTDGADHKVELVVLENPESATDGGLAVPSKEIIPLLSQAERPKINIFKDFRSREKPLEQVIKNITEAEISLLSQLSFWVWKGSRYSGLVCMALSSIIYFVMEVLSDKFNAQSIPLFETAFTRCTVTLILSCLWLRISGQPLFEATHPWSPLVLRALLGSLSLLSFVYCIQRIPFSQALVLGFTTPIFASIMAKIILHEKLKIADIVGLICSFFGMLFIFKMLYTRRLLKAEEASIISFMRNHHIYIALIGLFSSITSGISYCLIKAAAKASDQPVLTVFSFGILASPATGICSFAFEEFVLPSRYSLSLMLILGTLSFLAEVFLARGLQLEKVGKAVNVQFTEVALSQLWGICTSRMGWSFGQLAGCLLILISVTCTMYIGPDKDNE >KJB08650 pep chromosome:Graimondii2_0_v6:1:10658188:10664076:-1 gene:B456_001G095600 transcript:KJB08650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPLFETAFTRCTVTLILSCLWLRISGQPLFEATHPWSPLVLRALLGSLSLLSFVYCIQRIPFSQALVLGFTTPIFASIMAKIILHEKLKIADIVGLICSFFGMLFIFKMLYTRRLLKAEEASIISFMRNHHIYIALIGLFSSITSGISYCLIKAAAKASDQPVLTVFSFGILASPATGICSFAFEEFVLPSRYSLSLMLILGTLSFLAEVFLARGLQLEKVGKAVNVQFTEVALSQLWGICTSRMGWSFGQLAGCLLILISVTCTMYIGPDKDNE >KJB08168 pep chromosome:Graimondii2_0_v6:1:6948242:6957268:1 gene:B456_001G069000 transcript:KJB08168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQGKKLINNPNDVVTEFIEGLVETYQGLQYLDGFPEVKVVLRADVSGATYDKVAVISGGGSGHEPAQAGYVGEGLLTASICGDVFASPTVDSILAGIRAVTGPMGCLLVVTNYTGDRLNFGLAAEQARSEGYKIETVIVGDDCALPKSQGIAGRRGLAGTILVNKVAGAVAAAGLSLADVASEAKRASEVVGTMGVALSVCTLPGQVTSDRLGSGKMELGLGIHGEPGAAVADLQPVDVVVTHVLKQILESNYVPITRGNRVVVMINGLGATPVMELMIAAGKTVPKLQLEFGLLVERVYTGSFMTSLDMKGFSISIMKVDQTLLQHLDASTKAPHWPVGSAGNRPPAKTPVPLPSSRSMKSDELLSRPLQLTEQGHILEVAIEAAVNAIINMRDILNDWDSTIGDGDCGSAMYKGATAILDDMKKYYPLNNAAETVNEIGSSVRRAMGGTSGVLYTIFCKAAYAQLKANSDSTVTAKQWAEALEAAVAAVSKYGGASTGYRTLLDALIPALAVLKEVIQNSSLSLLFFINDV >KJB10881 pep chromosome:Graimondii2_0_v6:1:47366934:47369290:1 gene:B456_001G236000 transcript:KJB10881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFWINFLDNKDKVAPFITFFIGVGEEHKKAVNEAKELLKLYEEQVLGEKKYFGGEEIGMLDLAMGWMAYFGVIEEIVDVKILDAETFPRLHAWIQNFRAHPVIKNNLPDHDRLLQNYTEKRQRFLAYSPHTENA >KJB06860 pep chromosome:Graimondii2_0_v6:1:1527077:1530743:1 gene:B456_001G016600 transcript:KJB06860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQGLNPQQQAMMQQALYHHPSLFAGPQIEPILSGNLPPNFDSATCRSVYVGNIHPQVSEPLLQEVFLSTGPIEGCKLIKKDKQSSYGFVHYFDRGSAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSTHHNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGSRQIRCNWAAKGATSNDDNAKSIVDSNGPSEEGQEKSNDDSPENNPMYTTVYVGNLAPEVTSADLHSHFHALGAGTIEDVRVQRDKGFGFVRYSSHDEAALAIQMGNARILCSKPIKCSWGSKPTALGTSSGPHPPPTAAHMAGGAQVMNMMHPQGQYAVKAQAAMGMSGGEASQAMYNGGYQNVATSQQQLMYYQ >KJB06859 pep chromosome:Graimondii2_0_v6:1:1527077:1530743:1 gene:B456_001G016600 transcript:KJB06859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQGLNPQQQAMMQQALYHHPSLFAGPQIEPILSGNLPPNFDSATCRSVYVGNIHPQVSEPLLQEVFLSTGPIEGCKLIKKDKSSYGFVHYFDRGSAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSTHHNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGSRQIRCNWAAKGATSNDDNAKSIVDSNGPSEEGQEKSNDDSPENNPMYTTVYVGNLAPEASILVPPLIKTCKITRLMLLAVLIN >KJB06857 pep chromosome:Graimondii2_0_v6:1:1527077:1530743:1 gene:B456_001G016600 transcript:KJB06857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQGLNPQQQAMMQQALYHHPSLFAGPQIEPILSGNLPPNFDSATCRSVYVGNIHPQVSEPLLQEVFLSTGPIEGCKLIKKDKSSYGFVHYFDRGSAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSTHHNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGSRQIRCNWAAKGATSNDDNAKSIVDSNGPSALDHGIIFLQKKVKKNLMTILRRTILCIPLFMWATSLQRLHQLISTVISMPSEQELSKMSVSNETKVSVL >KJB06856 pep chromosome:Graimondii2_0_v6:1:1526945:1530754:1 gene:B456_001G016600 transcript:KJB06856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQGLNPQQQAMMQQALYHHPSLFAGPQIEPILSGNLPPNFDSATCRSVYVGNIHPQVSEPLLQEVFLSTGPIEGCKLIKKDKSSYGFVHYFDRGSAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSTHHNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGSRQIRCNWAAKGATSNDDNAKSIVDSNGPSEEGQEKSNDDSPENNPMYTTVYVGNLAPEVTSADLHSHFHALGAGTIEDVRVQRDKGFGFVRYSSHDEAALAIQMGNARILCSKPIKCSWGSKPTALGTSSGPHPPPTAAHMAGGAQVMNMMHPQGQYAVKAQAAMGMSGGEASQAMYNGGYQNVATSQQQLMYYQ >KJB06858 pep chromosome:Graimondii2_0_v6:1:1527077:1530743:1 gene:B456_001G016600 transcript:KJB06858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQGLNPQQQAMMQQALYHHPSLFAGPQIEPILSGNLPPNFDSATCRSVYVGNIHPQVSEPLLQEVFLSTGPIEGCKLIKKDKSSYGFVHYFDRGSAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSTHHNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGSRQIRCNWAAKGATSNDDNAKSIVDSNGPSEEGQEKSNDDSPENNPMYTTVYVGNLAPEELSKMSVSNETKVSVL >KJB06861 pep chromosome:Graimondii2_0_v6:1:1527077:1532323:1 gene:B456_001G016600 transcript:KJB06861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQGLNPQQQAMMQQALYHHPSLFAGPQIEPILSGNLPPNFDSATCRSVYVGNIHPQVSEPLLQEVFLSTGPIEGCKLIKKDKSSYGFVHYFDRGSAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSTHHNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGSRQIRCNWAAKGATSNDDNAKSIVDSNGPSEEGQEKSNDDSPENNPMYTTVYVGNLAPEVTSADLHSHFHALGAGTIEDVRVQRDKGFGFVRYSSHDEAALAIQMGNARILCSKPIKCSWGSKPTALGTSSGPHPPPTAAHMAGGAQVMNMMHPQGQYAVKAQAAMGMSGGEASQAMYNGGYQNVATSQQQLMYYHLQEG >KJB10604 pep chromosome:Graimondii2_0_v6:1:41999008:41999379:-1 gene:B456_001G210900 transcript:KJB10604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRELCVGLVMMMILLKPISGRDAVLVLRSNATYQCNGLLGGCGIREELESELDLLMIDSTVIRILGDVKNVGKIAYGTLTKGKPAISQKNCGPSYSNCLPPPKPDKHNTNCNGNSPYCKKH >KJB07826 pep chromosome:Graimondii2_0_v6:1:4395475:4397871:1 gene:B456_001G046000 transcript:KJB07826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRVSILVVASLAAFAVSPLNTKQRLKKQHSMLSGKGCSSTQETEELGGEKFGDDISFLQEEDMQNEEGTEVVNTKNLNPNTEIEHSQNPINELESRRLTLERKLLELHSLKEKLSCIAYLQKDLTGKTAEIGKLNFTIAALKAEIKDLQEIIRQGNMEVKQLDMAKQLIEELQRKNGNGSQIKGQIILLEEQLSGFTAIETSTGDALVKNRLEDIKNIELKVIKKRRRNKELELEKREIFVKLYAAHAKLSALSDMTQNKTIGKISELRQANGDLANKVNILQKSRFDMVEELVYQRWLNVCLRAEIKEYQTSSRKTSEKEVQKASDQKTSKIITQYPDTNSTWSYTSSTDSEEIDSSTIDSSSSSQRSISKNSSTCWRSMDDGSSVVSSPNKSSTGSPADRTGIIRRFSTSMLPSKELTETMEMPNLTKVRRVSFNADVEAVSSVKIVLTKSVEGLLDEKGIVSLVPEDRSSVKKGVVESQSALAGEKHEFPLSEASLVEPDQSSGSNRRSIDENERDAREVSWIENAQPDGELHPSISNVIHKENKMESLINPRIFAFLLFVLVLLLCFLLLSAMIY >KJB07825 pep chromosome:Graimondii2_0_v6:1:4395318:4397899:1 gene:B456_001G046000 transcript:KJB07825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRVSILVVASLAAFAVSPLNTKQRLKKQHSMLSGKGCSSTQETEELGGEKFGDDISEEDMQNEEGTEVVNTKNLNPNTEIEHSQNPINELESRRLTLERKLLELHSLKEKLSCIAYLQKDLTGKTAEIGKLNFTIAALKAEIKDLQEIIRQGNMEVKQLDMAKQLIEELQRKNGNGSQIKGQIILLEEQLSGFTAIETSTGDALVKNRLEDIKNIELKVIKKRRRNKELELEKREIFVKLYAAHAKLSALSDMTQNKTIGKISELRQANGDLANKVNILQKSRFDMVEELVYQRWLNVCLRAEIKEYQTSSRKTSEKEVQKASDQKTSKIITQYPDTNSTWSYTSSTDSEEIDSSTIDSSSSSQRSISKNSSTCWRSMDDGSSVVSSPNKSSTGSPADRTGIIRRFSTSMLPSKELTETMEMPNLTKVRRVSFNADVEAVSSVKIVLTKSVEGLLDEKGIVSLVPEDRSSVKKGVVESQSALAGEKHEFPLSEASLVEPDQSSGSNRRSIDENERDAREVSWIENAQPDGELHPSISNVIHKENKMESLINPRIFAFLLFVLVLLLCFLLLSAMIY >KJB09829 pep chromosome:Graimondii2_0_v6:1:25145326:25146934:-1 gene:B456_001G173100 transcript:KJB09829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAENQSQPPQNDLPYVLILKPPAAFSIFGDHFFHSPKFQFLKAFESPLPLSQFLLTHAQSVQAILTSASGSVTADTIRLLPHLDLVVTTSQGINHIDCSECRRRGIAVAGAGTIFSTDCADSAVGLLLDVLRKVSAANRYVKQGLWSSQGNYPLGNKLGGKQVGIVGLGSIGTEVAKRLEAFRCSISYNSRSKKPSVPYTFYPNVVELAVNCDALIICCASTDETHHLIGKEVLSALGKDGVIINIARGPIIDEKELVQCLIKREIRGAGLDVFEHEPDVPVELLALDNVVMSPHNAFCTTESLEELRKTVVGNFEAFFSNEPLLTPVPLDDLPHLSG >KJB09826 pep chromosome:Graimondii2_0_v6:1:25139711:25146934:-1 gene:B456_001G173100 transcript:KJB09826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAENQSQPPQNDLPYVLILKPPAAFSIFGDHFFHSPKFQFLKAFESPLPLSQFLLTHAQSVQAILTSASGSVTADTIRLLPHLDLVVTTSQGINHIDCSECRRRGIAVAGAGTIFSTDCADSAVGLLLDVLRKVSAANRYVKQGLWSSQGNYPLGNKLGGKQVGIVGLGSIGTEVAKRLEAFRCSISYNSRSKKPSVPYTFYPNVVELAVNCDALIICCASTDETHHLIGKEVLSALGKDGVIINIARGPIIDEKELVQCLIKREIRGAGLDVFEHEPDVPVELLALDNVVMSPHNAFCTTESLEELRKTVVGNFEAFFSNEPLLTPVPLDDLPHLSEG >KJB09828 pep chromosome:Graimondii2_0_v6:1:25141176:25146821:-1 gene:B456_001G173100 transcript:KJB09828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAENQSQPPQNDLPYVLILKPPAAFSIFGDHFFHSPKFQFLKAFESPLPLSQFLLTHAQSVQAILTSASGSVTADTIRLLPHLDLVVTTSQGINHIDCSECRRRGIAVAGAGTIFSTDCADSAVGLLLDVLRKVSAANRYVKQGLWSSQGNYPLGNKVLGGKQVGIVGLGSIGTEVAKRLEAFRCSISYNSRSKKPSVPYTFYPNVVELAVNCDALIICCASTDETHHLIGKEVLSALGKDGVIINIARGPIIDEKELVQCLIKREIRGAGLDVFEHEPDVPVELLALDNVVMSPHNAFCTTESLEELRKTVVGNFEAFFSNEPLLTPVPLDDLPHLSACSW >KJB09827 pep chromosome:Graimondii2_0_v6:1:25140843:25146982:-1 gene:B456_001G173100 transcript:KJB09827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAENQSQPPQNDLPYVLILKPPAAFSIFGDHFFHSPKFQFLKAFESPLPLSQFLLTHAQSVQAILTSASGSVTADTIRLLPHLDLVVTTSQGINHIDCSECRRRGIAVAGAGTIFSTDCADSAVGLLLDVLRKVSAANRYVKQGLWSSQGNYPLGNKLGGKQVGIVGLGSIGTEVAKRLEAFRCSISYNSRSKKPSVPYTFYPNVVELAVNCDALIICCASTDETHHLIGKEVLSALGKDGVIINIARGPIIDEKELVQCLIKREIRGAGLDVFEHEPDVPVELLALDNVVMSPHNAFCTTESLEELRKTVVGNFEAFFSNEPLLTPVPLDDLPHLSGHILQGEVRYIMLQGEEEMMTKSWCVTIIR >KJB10379 pep chromosome:Graimondii2_0_v6:1:35267954:35270943:1 gene:B456_001G198100 transcript:KJB10379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNSSIFCLCLFWTTLFVSMNSDLASDRAALVGLRAASGGRTLLWNLSRSPCNWTGVRCVQNRVVELRLPGVGLSGPLPIAIGNLAQLHTLSLRFNALSGSIPYDFAKLTSLRKLYLQGNRFSGEIPAFLFTLQKLIRLNLANNNFTGTIPESINNLTRLGTLYLENNHLSGSIPDIDLPALVQFNVSFNQLNGSIPKGLSGKPKTAFEGNSLCGKPLVSCNGTENSSSSSGDKWSSGVIAGIVVGCVTAVLLILIILVFLCKRKGSKKMETRDIAPPKQAEVEIPAADKAAGESDNTSNRLSGVVKKDAIAKSSGSKKLVFFGNRSRVFYLEDLLRASAEVLGKGTFGTAYKATLELGMVVAVKRLKDVTVSEKEFKEKMEVVGAMDHPNLVPVRAYYFSRNEKLLVYDYMPMGSLSALLHGNRGAGRIPLNWETRCGIALGAARGIAYLHSKGPEISHGNIKSSNILLTTSYEARISDFGLAQLAGPTSAPDRVNGYRAPEVTDVRRVSQKADVYSFGILLLELLTGKAPRHALLNEDGVDLSRWVQSVVPEEWTTEVFDHELLRHQNVEEDMVKLLQLAIDCTAQYPDKRPSLSEMTTRIEELHGPTTEKEIHQIHEAENGSSEHT >KJB10858 pep chromosome:Graimondii2_0_v6:1:46542908:46544757:1 gene:B456_001G229200 transcript:KJB10858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPPTISTKAHKSQNHRKFHQNPANYAPCPSPTIFIHSPCFKVESHFTHLRREIQIPIFTTIKPHPTDMGAHEEEAGGEEYLFKIVLIGDSAVGKSNLLSRFARNEFDNNSKATIGVEFQTQCVEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDITRRSSFDSIKRWLDELTTHCDTTLTRMLVGNKCDLENIRDVSVEEGKSLAEEEGLFFLETSALESTNVQTAFEIVIREIYNNVSRKALNSDSYKGELSGNKVTLVKDGANASKTGFSCCAR >KJB10859 pep chromosome:Graimondii2_0_v6:1:46542908:46546514:1 gene:B456_001G229200 transcript:KJB10859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPPTISTKAHKSQNHRKFHQNPANYAPCPSPTIFIHSPCFKVESHFTHLRREIQIPIFTTIKPHPTDMGAHEEEAGGEEYLFKIVLIGDSAVGKSNLLSRFARNEFDNNSKATIGVEFQTQCVEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDITRRSSFDSIKRWLDELTTHCDTTLTRMLVGNKCDLENIRDVSVEEGKSLAEEEGLFFLETSALESTNVQTAFEIVIREIYNNVSRKALNSDSYKGELSGNKVTLVKDGANASKTGFSCCAR >KJB11763 pep chromosome:Graimondii2_0_v6:1:55401682:55403102:-1 gene:B456_001G276200 transcript:KJB11763 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MLLQQQKETRGRERTMEAKPEEKAEFEEAEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHKGKCVGTVVCKMGEHRNTFRGYIAMLVVIKPYRGRGIATELVTRSIRMMMESGCEEYVYILHRVLTELILKGR >KJB11768 pep chromosome:Graimondii2_0_v6:1:55402522:55403102:-1 gene:B456_001G276200 transcript:KJB11768 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MLLQQQKETRGRERTMEAKPEEKAEFEEAEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHKGKCVGTVVCKMGEHRNTFRGYIAMLVVIKPYRGRGIGIFFCHPLKFIEWVRFFRFEVEKNCVSYGFPVNCSCLNGYVVTKFM >KJB11764 pep chromosome:Graimondii2_0_v6:1:55400945:55403123:-1 gene:B456_001G276200 transcript:KJB11764 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MLLQQQKETRGRERTMEAKPEEKAEFEEAEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHKGKCVGTVVCKMGEHRNTFRGYIAMLVVIKPYRGRGIATELVTRSIRMMMESGCEELAKFF >KJB11765 pep chromosome:Graimondii2_0_v6:1:55400950:55403103:-1 gene:B456_001G276200 transcript:KJB11765 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MLLQQQKETRGRERTMEAKPEEKAEFEEAEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLQAFHKGKCVGTVVCKMGEHRNTFRGYIAMLVVIKPYRGRGIATELVTRSIRMMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLFHYYLNGVDAFRLKLLFPPPRQVL >KJB11766 pep chromosome:Graimondii2_0_v6:1:55400950:55403103:-1 gene:B456_001G276200 transcript:KJB11766 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MLLQQQKETRGRERTMEAKPEEKAEFEEAEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHKGKCVGTVVCKMGEHRNTFRGYIAMLVVIKPYRGRGIGIFFCHPLKFIEWVRFFRFEVEKNCVSYGFPVNCSCLNGYVVTKFM >KJB11767 pep chromosome:Graimondii2_0_v6:1:55400950:55403103:-1 gene:B456_001G276200 transcript:KJB11767 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MLLQQQKETRGRERTMEAKPEEKAEFEEAEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHKGKCVGTVVCKMGEHRNTFRGYIAMLVVIKPYRGRGIATELVTRSIRMMMESGCEEVRSHWKRK >KJB11762 pep chromosome:Graimondii2_0_v6:1:55400933:55403188:-1 gene:B456_001G276200 transcript:KJB11762 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MLLQQQKETRGRERTMEAKPEEKAEFEEAEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHKGKCVGTVVCKMGEHRNTFRGYIAMLVVIKPYRGRGIATELVTRSIRMMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLFHYYLNGVDAFRLKLLFPPPRQVL >KJB11769 pep chromosome:Graimondii2_0_v6:1:55401864:55403103:-1 gene:B456_001G276200 transcript:KJB11769 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MLLQQQKETRGRERTMEAKPEEKAEFEEAEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHKGKCVGTVVCKMGEHRNTFRGYIAMLVVIKPYRGRGIATELVTRSIRMMMESGCEEVRYLSYFCLGNIICNDEY >KJB07411 pep chromosome:Graimondii2_0_v6:1:2075767:2077446:1 gene:B456_001G022000 transcript:KJB07411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFPSSKPAATTTAAPPPANGATAGPPAATTTATNGGATKSNLYNPTSRQPYRQPYNRRHHHRPRRNYCCCCCFWTILIILILALLVAIAGSILYVLYRPHRPSFTLASLRVHRLNLTTTADSASSHLSTLFNLTLSSKNPNSHLTFTYDPFTLSCVTSNNDVFIGNGTLPAFISNSKNETTFKGVVITTSSDLDADTVNNLRPDLKKKNGIPLKIEMDTKVTVKMDGLKSKKVGIRVTCDDIKGTVPKGKSPSVANVSGSKCKVDLRIKIWKWTF >KJB08781 pep chromosome:Graimondii2_0_v6:1:11544851:11548856:-1 gene:B456_001G103400 transcript:KJB08781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFDIPAAEDMMNEDMDLPDESPILKVGEEKEIGNQGLKKKLVKEGEGWEYPEIGDEVEVHYTGTLLDGTQFDSSRDRGTPFKFTLGQGQVIKGWDEGIKTMKKGENAIFTIPPALAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGLFKKILIEGDKWENPKDLDEVLINYEAKLEDGTVVAKAEGVEFTVKDGLFCPALARAVKTMKKGEKVLLTVKPQYGFGEKGKPATGAEGAVPPNATLLITLELVSWKTVTEVTDDKKVIKKILKEGEGYERPNEGAVVQVKLIGKLQDGTIFLKKGHNEGEELFEFKTDDEQVIEGLDRAVLNMKKGEVALLTIAPEYAFGSSESKQEFAVVPPNSTVYYEVELVSFVKEKESWDLNTQEKIEAAGKKKEEGNVLFKAGKYVRASKRYEKAVKYIDYDTSFSEEEKKQSKALKVACNLNDAACKLKLKDYKQAEKLCTKVLELESANVKALYRRAQAYINLADLDLAELDIKKALELDPDNREVKLEYKALKEKIKEYNKKEAKFYGNMFAKMNKMDSGDSSKSAAKEPEPMSIDSKA >KJB08783 pep chromosome:Graimondii2_0_v6:1:11544902:11548776:-1 gene:B456_001G103400 transcript:KJB08783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFDIPAAEDMMNEDMDLPDESPILKVGEEKEIGNQGLKKKLVKEGEGWEYPEIGDEVEVHYTGTLLDGTQFDSSRDRGTPFKFTLGQGQVIKGWDEGIKTMKKGENAIFTIPPALAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGLFKKILIEGDKWENPKDLDEVLINYEAKLEDGTVVAKAEGVEFTVKDGLFCPALARAVKTMKKGEKVLLTVKPQYGFGEKGKPATGAEGAVPPNATLLITLELVSWKTVTEVTDDKKVIKKILKEGEGYERPNEGAVVQVKLIGKLQDGTIFLKKGHNEGEELFEFKTDDEQVIEGLDRAVLNMKKGEVALLTIAPEYAFGSSESKQEFAVVPPNSTVYYEVELVSFVKEKESWDLNTQEKIEAAGKKKEEGNVLFKAGKYVRASKRYEKAVKYIDYDTSFSEEEKKQSKALKVACNLNDAACKLKLKDYKQAEKLCTKVLELESANVKALYRRAQAYINLADLDLAELDIKKALELDPDNREVKLEYKALKEKIKEYNKKEAKFYGNMFAKMNKMDSGDSSKSAAKEPEPMSIDSKA >KJB08784 pep chromosome:Graimondii2_0_v6:1:11544910:11548776:-1 gene:B456_001G103400 transcript:KJB08784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFDIPAAEDMMNEDMDLPDESPILKVGEEKEIGNQGLKKKLVKEGEGWEYPEIGDEVEVHYTGTLLDGTQFDSSRDRGTPFKFTLGQGQVIKGWDEGIKTMKKGENAIFTIPPALAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGLFKKILIEGDKWENPKDLDEVLINYEAKLEDGTVVAKAEGVEFTVKDGLFCPALARAVKTMKKGEKVLLTVKPQYGFGEKGKPATGAEGAVPPNATLLITLELVSWKTVTEVTDDKKVIKKILKEGEGYERPNEGAVVQVKLIGKLQDGTIFLKKGHNEGEELFEFKTDDEQVIEGLDRAVLNMKKGEVALLTIAPEYAFGSSESKQEFAVVPPNSTVYYEVELVSFVKEKESWDLNTQEKIEAAGKKKEEGNVLFKAGKYVRASKRYEKAVKYIDYDTSFSEEEKKQSKALKVACNLNDAACKLKLKDYKQAEKLCTKVLELESANVKALYRRAQAYINLADLDLAELDIKKALELDPDNREVKLEYKALKEKIKEYNKKEAKFYGNMFAKMNKMDSGDSKISCKGTRTNEHR >KJB08782 pep chromosome:Graimondii2_0_v6:1:11545615:11548588:-1 gene:B456_001G103400 transcript:KJB08782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFDIPAAEDMMNEDMDLPDESPILKVGEEKEIGNQGLKKKLVKEGEGWEYPEIGDEVEVHYTGTLLDGTQFDSSRDRGTPFKFTLGQGQVIKGWDEGIKTMKKGENAIFTIPPALAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGLFKKILIEGDKWENPKDLDEVLINYEAKLEDGTVVAKAEGVEFTVKDGLFCPALARAVKTMKKGEKVLLTVKPQYGFGEKGKPATGAEGAVPPNATLLITLELVSWKTVTEVTDDKKVIKKILKEGEGYERPNEGAVVQVKLIGKLQDGTIFLKKGHNEGEELFEFKTDDEQVIEGLDRAVLNMKKGEVALLTIAPEYAFGSSESKQEFAVVPPNSTVYYEVELVSFVKEKESWDLNTQEKIEAAGKKKEEGNVLFKAGKYVRASKRYEKAVKYIDYDTSFSEEEKKQSKALKVACNLNDAACKLKLKDYKQAEKLCTKVLELESANVKALYRRAQAYINLADLDLAELDIKKALELDPDNR >KJB09539 pep chromosome:Graimondii2_0_v6:1:20331585:20333948:-1 gene:B456_001G148400 transcript:KJB09539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVIAKESTRVHKLALHLPTGSSSPFIARLLSTLSSNPNPSKNPPRTSTAEANKTFLPTNVPSLPQSFAHQTLLHSSLSSQLKSPPNLSEAKRLHAILIVNGFLNSVYADKFLGSQLVTVYVTFGFLQDALVVFDKLPQRTNLAWNAILRGFLDVGRFSRAIQFFHLMVSQGLIPDNFTYPLVFKACTELNDLEEGKKLRDFILWNESHYDMKHNVYVECAMMDMFAKCGSLSEARKIFEGIREKDLACWSALICGNVQSGEWLEALSLFKRMVLEGLRPDSVIMAAILPACGRLEDMEMGPTLHGCAIRCGFNSDLYVSNALMDMYCKCSATHFAYSLFSNMDNKDAVSWSTLIAGYSQNCQYLESLQLYLMMNDAEIRTNAVIAATVLPVLSKLKLLKHGKEMHGYILKQGFESDVVVGAALIEFYANCKSMIKAEHVFRLMSDRDITLWNSIVVGYSLNGEIDLAFQIFQRIWDFNLKPNSITLVSILPICSKIGALRYGKEIHAFATRSGLGTAVSVGNALIDMYCKCGSLELAVRVFNQVKERNIVTYNTIISAHGIYGLGEHVFQFFEEMKEARIKPNKVTFIALLTACSHCGLVDRGWSMCHAMVYDYNIPLDMEHYSCIVDLLGRAGHLDDAYDLIKKMPVEPDMNILGSLLGACRVHNRVDLAECLEKHILEKNQKDSGHYALLSNIYSSTGRWKDALKVRTMIKEKRLPKRPGSSWIEVGSRMYMFHATQSELGKIQDILQKLLLGMRNEGYMPDPSFPSDDLQYDVNDLTNFDAS >KJB09122 pep chromosome:Graimondii2_0_v6:1:15514554:15516981:-1 gene:B456_001G124500 transcript:KJB09122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSSRPVTGYPVHNVNGCGPPPPATSTTAYPYVNPSPYPYYPAPPPQNPRPTFFRRLFVSFALLLIIFGTILLIFWLVLRPHLPDFSIQSISLSNFNASNQRVNGTWNAQFQVSNPNKKLSIYYGDIVSSVFHKDDFLTETRIGPFVQGTREENSVKASYSVVDSFVEGKVVDAMNGERSRGEIKFNIKVVADVAFRYGGWRGRRRILRVWCYDVALTGSSGKMTGGSKKCSVD >KJB09121 pep chromosome:Graimondii2_0_v6:1:15515498:15516981:-1 gene:B456_001G124500 transcript:KJB09121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSSRPVTGYPVHNVNGCGPPPPATSTTAYPYVNPSPYPYYPAPPPQNPRPTFFRRLFVSFALLLIIFGTILLIFWLVLRPHLPDFSIQSISLSNFNASNQRVNGTWNAQFQVSNPNKKLSIYYGDIVSSVFHKDDFLTETRIGPFVQGTREENSVKASYSVVDSFVEGKVVDAMNGERSRGEIKFNIKVVADVAFRYGGWRGRRRILRVWCYDVALTGSSGKMTGGSKKCSVD >KJB09120 pep chromosome:Graimondii2_0_v6:1:15514410:15517035:-1 gene:B456_001G124500 transcript:KJB09120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSSRPVTGYPVHNVNGCGPPPPATSTTAYPYVNPSPYPYYPAPPPQNPRPTFFRRLFVSFALLLIIFGTILLIFWLVLRPHLPDFSIQSISLSNFNASNQRVNGTWNAQFQVSNPNKKLSIYYGDIVSSVFHKDDFLTETRIGPFVQGTREENSVKASYSVVDSFVEGKVVDAMNGERSRGEIKFNIKVVADVAFRYGGWRGRRRILRVWCYDVALTGSSGKMTGGSKKCSVD >KJB09119 pep chromosome:Graimondii2_0_v6:1:15514839:15516981:-1 gene:B456_001G124500 transcript:KJB09119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSSRPVTGYPVHNVNGCGPPPPATSTTAYPYVNPSPYPYYPAPPPQNPRPTFFRRLFVSFALLLIIFGTILLIFWLVLRPHLPDFSIQSISLSNFNASNQRVNGTWNAQFQVSNPNKKLSIYYGDIVSSVFHKDDFLTETRIGPFVQGTREENSVKASYSVVDSFVEGKVVDAMNGERSRGEIKFNIKVVADVAFRYGGWRGRRRILRVWCYDVALTGSSGKMTGGSKKCSVD >KJB09273 pep chromosome:Graimondii2_0_v6:1:17722816:17724300:-1 gene:B456_001G1357002 transcript:KJB09273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALDTFNTAVVSPIYYVMFTSLTIIASVIMFKDWDGQNTGSIISELCGFIAVLCGTILLNSAKDSDRGSSFRGEHAPLSPTLSTGLFSGNRETLKHDEENELSPDDICLRKQEPY >KJB09275 pep chromosome:Graimondii2_0_v6:1:17723387:17724300:-1 gene:B456_001G1357002 transcript:KJB09275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALDTFNTAVVSPIYYVMFTSLTIIASVIMFKDWDGQNTGSIISELCGFIAVLCGTILLNSAKDSDRGSSFRGEHAPLSPTLSTGLFSGNRETLKHDEENELSPDDICLRKQEPY >KJB09277 pep chromosome:Graimondii2_0_v6:1:17723851:17724300:-1 gene:B456_001G1357002 transcript:KJB09277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALDTFNTAVVSPIYYVMFTSLTIIASVIMFKVLHHQLLITSC >KJB09274 pep chromosome:Graimondii2_0_v6:1:17723609:17724300:-1 gene:B456_001G1357002 transcript:KJB09274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALDTFNTAVVSPIYYVMFTSLTIIASVIMFKDWDGQNTGSIISELCGFIAVLCGTILLNSAKDSDRGSSFRGV >KJB09276 pep chromosome:Graimondii2_0_v6:1:17723387:17724300:-1 gene:B456_001G1357002 transcript:KJB09276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALDTFNTAVVSPIYYVMFTSLTIIASVIMFKDWDGQNTGSIISELCGFIAVLCGTILLNSAKDSDRGSSFRGEHAPLSPTLSTGLFSGNRETLKHDEENELSPDDICLRKQEPY >KJB09272 pep chromosome:Graimondii2_0_v6:1:17722744:17724300:-1 gene:B456_001G1357002 transcript:KJB09272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALDTFNTAVVSPIYYVMFTSLTIIASVIMFKVSMHLYPLHCLPDSSVVTVKL >KJB11578 pep chromosome:Graimondii2_0_v6:1:54398813:54401380:1 gene:B456_001G266800 transcript:KJB11578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTNSKEGSWRQSSSNRSNSLSSWDSYFFYPQSSYDLESPKYSYASPQYYPHSQHEPPYYPPTRDHGNDKTMLQRRYSSIADSYNSLDQVTEALANAGLESSNLIVGIDFTKSNMWTGKRSFNGKSLHHIGNYLNPYEQAISIIGKTLSVFDEDNLIPCFGFGDASTHDQDVFSFYPDNRFCNGFEEVLSRYRELVPHLRLAGPTSFAPIIEMAMTIVEQSRGQYHVLVIIADGQVTKSTDTGRDRLSPQEQKTIDVIVQASKLPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFRFVNFTEIMSKNTTPSLKETEFALTALMEIPSQYKATIELNILGNRTGNVPDRVPLPLRTYGAPSFNSSKPSCRTGFQPSVPPCPESTSLVSPTPSAPISIYDSQLCPICLDNSKDMAFGCGHQTCEGCSKDLETCPICRSSIGTKLKLYL >KJB11579 pep chromosome:Graimondii2_0_v6:1:54398688:54401318:1 gene:B456_001G266800 transcript:KJB11579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTNSKEGSWRQSSSNRSNSLSSWDSYFFYPQSSYDLESPKYSYASPQYYPHSQHEPPYYPPTRDHGNDKTMLQRRYSSIADSYNSLDQVTEALANAGLESSNLIVGIDFTKSNMWTGKRSFNGKSLHHIGNYLNPYEQAISIIGKTLSVFDEDNLIPCFGFGDASTHDQDVFSFYPDNRFCNGFEEVLSRYRELVPHLRLAGPTSFAPIIEMAMTIVEQSRGQYHVLVIIADGQVTKSTDTGRDRLSPQEQKTIDVIVQASKLPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFRFVNFTEIMSKNTTPSLKETEFALTALMEIPSQYKATIELNILGNRTGNVPDRVPLPLRTYGAPSFNSSKPSCRTGFQPSVPPCPESTSLVSPTPSAPISIYDSQLCPICLDNSKDMAFGCGHQTCEGCSKDLETCPICRSSIGTKLKLYL >KJB07541 pep chromosome:Graimondii2_0_v6:1:2711960:2715408:-1 gene:B456_001G028900 transcript:KJB07541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLSEIEGKQAHDPMFLEKMKESSSSSSSSCLCVPGPVIVGAGPSGLATAACLKEKGVPSVILERSNCIASLWQLKTYDRLRLHLPKQFCELPLMGFPTGFPTYPSKQQFVDYLEAYARKFDIKPRFNETVSQAEYDPTLGFWRVTSVGVKGKEMEYVCRWLVVATGENAEAMMPEMEGMGEFSGDIRHTSLYKSGEEFRGKRVLVVGCGNSGMEVCLDLCNHNARPSLVVRDTVHVLPQEMLGTSTFGLSMWLLRWFPLRLVDRFLLIVSWLMLGDTARFGLARPHLGPLQLKNLSGKTPVLDVGTLAKIKSGDIKVRPSIKSLKRHAVEFVNGTTENFDAIILATGYKSNVPSWLKEKVMFSEKDGYPRRPFPNGWKGEYGLYAVGFTKRGLLGTSMDAIRIAEDIQRCWKEEAKHRLAFTNSLLHQSSSL >KJB07540 pep chromosome:Graimondii2_0_v6:1:2712967:2715051:-1 gene:B456_001G028900 transcript:KJB07540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLSEIEGKQAHDPMFLEKMKESSSSSSSSCLCVPGPVIVGAGPSGLATAACLKEKGVPSVILERSNCIASLWQLKTYDRLRLHLPKQFCELPLMGFPTGFPTYPSKQQFVDYLEAYARKFDIKPRFNETVSQAEYDPTLGFWRVTSVGVKGKEMEYVCRWLVVATGENAEAMMPEMEGMGEFSGDIRHTSLYKSGEEFRGKRVLVVGCGNSGMEVCLDLCNHNARPSLVVRDTVHVLPQEMLGTSTFGLSMWLLRWFPLRLVDRFLLIVSWLMLGDTARFGLARPHLGPLQLKNLSGKTPVLDVGTLAKIKSGDIKNCCCCRYVRASRA >KJB09742 pep chromosome:Graimondii2_0_v6:1:23278173:23279742:-1 gene:B456_001G161800 transcript:KJB09742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHGPIYSSFSSKKKKFRISPGPGEQIGVGKRERGATSPPPLLFPDHPSLPSPSPRPNILHPPASSRGGSIPVIRDVAKKTEKPRYEMPALRFKTCRLLSGNVRNRELTIIQRRILRRLRNNKRSIKKRFIREKILPLFHGDLPITEMHRGTERASYIPFPLNPETRSDVIPVRLHFRETIPQARQLISHRRVCVNNEMLRSYMQEEDLKRTKKFGSEKVCLASSFAEHNRMKRNLYFFKSLFLWNEKNRNLPTQTRSPIVYNSFYIDIKTMKRRIKRIELPTHYSEVNHRTLKAVVSYGPNIGHIPHDIRLKDPNLPLRSGNGRGQNI >KJB07844 pep chromosome:Graimondii2_0_v6:1:4479456:4480779:1 gene:B456_001G047300 transcript:KJB07844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSDQIDDYLYEISDIPHHEDFVRQNLTSGESVEGSHLTRRTGKGRGLKSLAVANNNDANYAVSANDAKKIARKEIERERRQQMGKLYQKLRSLLPPESIKGKRAASDHMNEAVNYITYMKKRIGELSIKRDKVKKLSNRSALDLGSSSASSSGINSCVVVHPFRGGVEIMISSGFGDQSWHLSTVLQAILEEGLDVVRCVSSQTIEGLLHTVQSEVTDPTQIDLSRLQTKLNDLISYYDNAGCGR >KJB06354 pep chromosome:Graimondii2_0_v6:1:30118:30998:-1 gene:B456_001G000500 transcript:KJB06354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFKNSYMVMEVLLDPNKEISGDDPIVVTQFNISKAIKDGILVNFGECGLASSLGSFQVKYVNPITKLCVMRASRDEYQKIWSSISMVRSIGNCPVLFNLLDLSGKFFKYEAKLACS >KJB06351 pep chromosome:Graimondii2_0_v6:1:28834:31015:-1 gene:B456_001G000500 transcript:KJB06351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFKNSYMVMEVLLDPNKEISGDDPIVVTQFNISKAIKDGILVNFGECGLASSLGSFQVKYVNPITKLCVMRASRDEYQKIWSSISMVRSIGNCPVLFNLLDLSGSIKACKTATLKCDELKFEQYKLMVGACLSADVTQHMQNCLEKIRILEH >KJB06352 pep chromosome:Graimondii2_0_v6:1:28864:30998:-1 gene:B456_001G000500 transcript:KJB06352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFKNSYMVMEVLLDPNKEISGDDPIVVTQFNISKAIKDGILVNFGECGLASSLGSFQVKYVNPITKLCVMRASRDEYQKIWSSISMVRSIGNCPVLFNLLDLSGNIKACKTATLKCDELKFEQYKLMVGACLSADVTQHMQNCLEKIRILEH >KJB06349 pep chromosome:Graimondii2_0_v6:1:29207:30678:-1 gene:B456_001G000500 transcript:KJB06349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFKNSYMVMEVLLDPNKEISGDDPIVVTQFNISKAIKDGILVNFGECGLASSLGSFQVKYVNPITKLCVMRASRDEYQKIWSSISMVRSIGNCPVLFNLLDLSGSIKACKTATLKCDELKFEQYKLMVGACLSADVTQHMQNCLEKIRILEH >KJB06353 pep chromosome:Graimondii2_0_v6:1:29207:30678:-1 gene:B456_001G000500 transcript:KJB06353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFKNSYMVMEVLLDPNKEISGDDPIVVTQFNISKAIKDGILVNFGECGLASSLGSFQVKYVNPITKLCVMRASRDEYQKIWSSISMVRSIGNCPVLFNLLDLSGSIKACKTATLKCDELKFEQYKLMVGACLSADVTQHMQNCLEKIRILEH >KJB06350 pep chromosome:Graimondii2_0_v6:1:28864:30998:-1 gene:B456_001G000500 transcript:KJB06350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFKNSYMVMEVLLDPNKEISGDDPIVVTQFNISKAIKDGILVNFGECGLASSLGSFQVKYVNPITKLCVMRASRDEYQKIWSSISMVRSIGNCPVLFNLLDLSV >KJB11478 pep chromosome:Graimondii2_0_v6:1:53663949:53667103:1 gene:B456_001G261200 transcript:KJB11478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIISFNCCSSLTASKLINPRVPTKGSSFLSTHKSFSWWNRHFLPKCTSQKHVQCQLQNGQQKMRSFSLKECAISVALAAGLIIGMPSLDSSPMAYVANPSLPDLSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITESLKVAGVRGLDSVERNVKQASRSLKQGKSLIISGLAESKKDHGVELLDKLEIGMEELQQIVEGRNRDAVAPKQKELLKYVGDVEEDMVDSFPYEVPEEYRSMPLLKGRAAVDMKVKVKDNPNLEECVFHIVLDGYNAPVTAGNFLDLVQRHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTIPLEIMVDGEKAPVYGSTLEELGLYKAQTKLPFNAFGTMAMARDEFENNSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDFLADLKVGDVIESIQVVSGLENLVNPSYKIAG >KJB11476 pep chromosome:Graimondii2_0_v6:1:53663805:53667103:1 gene:B456_001G261200 transcript:KJB11476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIISFNCCSSLTASKLINPRVPTKGSSFLSTHKSFSWWNRHFLPKCTSQKHVQCQLQNGQKMRSFSLKECAISVALAAGLIIGMPSLDSSPMAYVANPSLPDLSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITESLKVAGVRGLDSVERNVKQASRSLKQGKSLIISGLAESKKDHGVELLDKLEIGMEELQQIVEGRNRDAVAPKQKELLKYVGDVEEDMVDSFPYEVPEEYRSMPLLKGRAAVDMKVKVKDNPNLEECVFHIVLDGYNAPVTAGNFLDLVQRHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTIPLEIMVDGEKAPVYGSTLEELGLYKAQTKLPFNAFGTMAMARDEFENNSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDFLADLKVGDVIESIQVVSGLENLVNPSYKIAG >KJB11477 pep chromosome:Graimondii2_0_v6:1:53663979:53666584:1 gene:B456_001G261200 transcript:KJB11477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIISFNCCSSLTASKLINPRVPTKGSSFLSTHKSFSWWNRHFLPKCTSQKHVQCQLQNGQQKMRSFSLKECAISVALAAGLIIGMPSLDSSPMAYVANPSLPDLSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITESLKVAGVRGLDSVERNVKQASRSLKQGKSLIISGLAESKKDHGVELLDKLEIGMEELQQIVEGRNRDAVAPKQKELLKYVGDVEEDMVDSFPYEVPEEYRSMPLLKGRAAVDMKVKVKDNPNLEECVFHIVLDGYNAPVTAGNFLDLVQRHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTIPLEIMVDGEKAPVYGSTLEELGLYKAQTKLPFNAFGTMAMARDVRIH >KJB07693 pep chromosome:Graimondii2_0_v6:1:3537945:3543045:1 gene:B456_001G037900 transcript:KJB07693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASPDGKGNGGMGSTSGEKFANGTDLNMDIDEDDDVFDELVSVQELGEEFLRNFCRKAAVSFFNQYGLVSHQINSYNDFIKYGLQNTFDSFGEFVIHSGYDPSKKGEGDWRHARVKFGKVTVERPTFWAVTGGNELNMLPRHARLQNMTYSSRMKVTVELQVYTAKSVKSDKFKTGREEIVEEEIVHQDNREIIIGRIPVMVKSELCWMNEVEKSDCDFDHGGYFLIKGAEKIFIAQEQISLKRLWISNIQGWTVAYRSEVKRNRLIIRLVENSKVEYIKGGEKILTVFFLSIEIPVWILFFALGVRSDKEVVSLIDYGSSDSSISNILFASIRDADWKCRNFREESAALNHLDRLRKETRFPPEESVEECLGKYLFPSLKGFKQKARFLGYMVKSLLQAFTGHRKCDNRDDFRNKRLELAGELLEREMKVHIAHARRRMAKTLQRDLYADRTVRPIEHYLDASIVTNGLSRAFSTGAWSHPYKKMERISGVVANLGRANPLQTMVDLRKTRQQVQYTGKVGDARYPHPSHWGKVCFLSTPDGENCGLVKNLATTALVSTNIVKSVVESIVDTLFDSGMEKVVNDTSSSLDGKDKVFLNGEWVGVCEDSLFFAAELRRKRRRKELPYQVEIKRDEHKGEVRIFTDAGRILRPLLVVENLFKLKAFKGKENYAFQPLLDKGIVELIGAEEEEDCRTAWGIRYLLTEVEGKQPVKYTHCELDMSFLLGLSCGIIPFANHDHARRVLYQAQKHSQQAIGFSTTNPNIRVDTLSHQMYYPQRPLFHTMTADCLGKSGFPLGQNRLEPKPELYNGQNAIVAVNVHLGYNQEDSLVMNRASIERGMFRTEHVRSYKAEVDNKEIQEKRRKAEDIVNFGKTESKIGRVDSLDDDGFPYIGASLQSGDIVIGRFAESGADHGVKLKHTERGMVQKVVLSSNDDGKNFAVVSLRQVRSPCLGDKFSSMHGQKGVLGFLESHENFPFTTQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIACGGSKKHATPFSTLSIDAITEQLHR >KJB07692 pep chromosome:Graimondii2_0_v6:1:3537850:3543912:1 gene:B456_001G037900 transcript:KJB07692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASPDGKGNGGMGSTSGEKFANGTDLNMDIDEDDDVFDELVSVQELGEEFLRNFCRKAAVSFFNQYGLVSHQINSYNDFIKYGLQNTFDSFGEFVIHSGYDPSKKGEGDWRHARVKFGKVTVERPTFWAVTGGNELNMLPRHARLQNMTYSSRMKVTVELQVYTAKSVKSDKFKTGREEIVEEEIVHQDNREIIIGRIPVMVKSELCWMNEVEKSDCDFDHGGYFLIKGAEKIFIAQEQISLKRLWISNIQGWTVAYRSEVKRNRLIIRLVENSKVEYIKGGEKILTVFFLSIEIPVWILFFALGVRSDKEVVSLIDYGSSDSSISNILFASIRDADWKCRNFREESAALNHLDRLRKETRFPPEESVEECLGKYLFPSLKGFKQKARFLGYMVKSLLQAFTGHRKCDNRDDFRNKRLELAGELLEREMKVHIAHARRRMAKTLQRDLYADRTVRPIEHYLDASIVTNGLSRAFSTGAWSHPYKKMERISGVVANLGRANPLQTMVDLRKTRQQVQYTGKVGDARYPHPSHWGKVCFLSTPDGENCGLVKNLATTALVSTNIVKSVVESIVDTLFDSGMEKVVNDTSSSLDGKDKVFLNGEWVGVCEDSLFFAAELRRKRRRKELPYQVEIKRDEHKGEVRIFTDAGRILRPLLVVENLFKLKAFKGKENYAFQPLLDKGIVELIGAEEEEDCRTAWGIRYLLTEVEGKQPVKYTHCELDMSFLLGLSCGIIPFANHDHARRVLYQAQKHSQQAIGFSTTNPNIRVDTLSHQMYYPQRPLFHTMTADCLGKSGFPLGQNRLEPKPELYNGQNAIVAVNVHLGYNQEDSLVMNRASIERGMFRTEHVRSYKAEVDNKEIQEKRRKAEDIVNFGKTESKIGRVDSLDDDGFPYIGASLQSGDIVIGRFAESGADHGVKLKHTERGMVQKVVLSSNDDGKNFAVVSLRQVRSPCLGDKFSSMHGQKGVLGFLESHENFPFTTQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIACGGSKKHATPFSTLSIDAITEQLHRAGFSRWGNERVYNGRTGEMVRSLIFMGPTFYQRLVHMAEDKVKFRNTGPVHPLTRQPVADRKRYGGIKFGEMERDCLIAHGASANLHERLFTLSDCSQMHVCQNCKNAANVIERTVAAGRKIRGPYCRVCQSGDEIVKVSVPYGAKLLCQELFSMGISLKFETQLC >KJB06735 pep chromosome:Graimondii2_0_v6:1:19133442:19137445:-1 gene:B456_001G141500 transcript:KJB06735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47490) UniProtKB/Swiss-Prot;Acc:O22261] MYRGLAPTVLALLPNWAVYFTMYEQLKDCLCSNDGKHQLSVGANMLAASGAGAATTCFTNPLWVVKTRLQTQGMRAGVVPYRGTFSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKCYLANQDNTPMDKLGARDVAVASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGVVDCIRKVFQQEGFAGFYRGCATNLLRTTPAAVITFTSFEMVHRFLVNLFPSDPQPHTL >KJB06737 pep chromosome:Graimondii2_0_v6:1:19133442:19137919:-1 gene:B456_001G141500 transcript:KJB06737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47490) UniProtKB/Swiss-Prot;Acc:O22261] MTNDSHPPNSRSLLCNAGAGAAAGTSIISCLLPSPTYVIKTRLQVHGLPKLGNATIRRSLIVGSLEQIFQKEGLRGMYRGLAPTVLALLPNWAVYFTMYEQLKDCLCSNDGKHQLSVGANMLAASGAGAATTCFTNPLWVVKTRLQTQGMRAGVVPYRGTFSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKCYLANQDNTPMDKLGARDVAVASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGVVDCIRKVFQQEGFAGFYRGCATNLLRTTPAAVITFTSFEMVHRFLVNLFPSDPQPHTL >KJB06738 pep chromosome:Graimondii2_0_v6:1:19133442:19137919:-1 gene:B456_001G141500 transcript:KJB06738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47490) UniProtKB/Swiss-Prot;Acc:O22261] MYRGLAPTVLALLPNWAVYFTMYEQLKDCLCSNDGKHQLSVGANMLAASGAGAATTCFTNPLWVVKTRLQTQGMRAGVVPYRGTFSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKCYLANQDNTPMDKLGARDVAVASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGVVDCIRKVFQQEGFAGFYRGCATNLLRTTPAAVITFTSFEMVHRFLVNLFPSDPQPHTL >KJB06736 pep chromosome:Graimondii2_0_v6:1:19133797:19137115:-1 gene:B456_001G141500 transcript:KJB06736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47490) UniProtKB/Swiss-Prot;Acc:O22261] MYRGLAPTVLALLPNWAVYFTMYEQLKDCLCSNDGKHQLSVGANMLAASGAGAATTCFTNPLWVVKTRLQTQGMRAGVVPYRGTFSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKCYLANQDNTPMDKLGARDVAVASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGVVDCIRKVFQQEGFAGFYRGCATNLLRTTPAAVITFTSFEMVHRFLVNLFPSDPQPHTL >KJB06734 pep chromosome:Graimondii2_0_v6:1:19133442:19135648:-1 gene:B456_001G141500 transcript:KJB06734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47490) UniProtKB/Swiss-Prot;Acc:O22261] MLQVYFTMYEQLKDCLCSNDGKHQLSVGANMLAASGAGAATTCFTNPLWVVKTRLQTQGMRAGVVPYRGTFSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKCYLANQDNTPMDKLGARDVAVASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGVVDCIRKVFQQEGFAGFYRGCATNLLRTTPAAVITFTSFEMVHRFLVNLFPSDPQPHTL >KJB06733 pep chromosome:Graimondii2_0_v6:1:19133420:19137919:-1 gene:B456_001G141500 transcript:KJB06733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47490) UniProtKB/Swiss-Prot;Acc:O22261] MTNDSHPPNSRSLLCNAGAGAAAGVIAATFVCPLDVIKTRLQVHGLPKLGNATIRRSLIVGSLEQIFQKEGLRGMYRGLAPTVLALLPNWAVYFTMYEQLKDCLCSNDGKHQLSVGANMLAASGAGAATTCFTNPLWVVKTRLQTQGMRAGVVPYRGTFSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKCYLANQDNTPMDKLGARDVAVASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGVVDCIRKVFQQEGFAGFYRGCATNLLRTTPAAVITFTSFEMVHRFLVNLFPSDPQPHTL >KJB09497 pep chromosome:Graimondii2_0_v6:1:19782238:19783654:-1 gene:B456_001G145600 transcript:KJB09497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLIAVSSSHLLPKPPLNVNFKHPPSINCCSVRKQRKRSLKSSWPSISLSLFGCGFILGPLIDGLHSRVDLVVYQNGAINIGPLHTNKWVPPLLGLFYTTVGLLQIYLDEKNSSNVPQGSLEKAVRSLIALVLFIELSSEMYKNGVPDNIEAYILFAAAEVLWYSLDRTRLGFTLASVIGICCPLAEIPLMKFFHLWYYPQANIDIFGQGLVTWTVTCYFAYTPFLVCLSRWLQSTVNGNASGTDMSE >KJB09496 pep chromosome:Graimondii2_0_v6:1:19782853:19783617:-1 gene:B456_001G145600 transcript:KJB09496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLIAVSSSHLLPKPPLNVNFKHPPSINCCSVRKQRKRSLKSSWPSISLSLFGCGFILGPLIDGLHSRVDLVVYQNGAINIGPLHTNKWVPPLLGLFYTTVGLLQIYLDEKNSSNVPQGSLEKAVRSLIALVLFIELSSEMYKNGVPDNIEAYILFAAAEVLWYSLDRTRLGFTLASVIGICCPLAEIPLMK >KJB09495 pep chromosome:Graimondii2_0_v6:1:19782238:19783628:-1 gene:B456_001G145600 transcript:KJB09495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLIAVSSSHLLPKPPLNVNFKHPPSINCCSVRKQRKRSLKSSWPSISLSLFGCGFILGPLIDGLHSRVDLVVYQNGAINIGPLHTNKWVPPLLGLFYTTVGLLQIYLDEKNSSNVPQGSLEKAVRSLIGRGFMVLTRQNTPWFHPSICHWHMLSLG >KJB09676 pep chromosome:Graimondii2_0_v6:1:22002864:22004803:1 gene:B456_001G155800 transcript:KJB09676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTMGWEQNTLLNELAQGRDFTNMLRKHLHPSSSPETRQVLLDKILCSYDKALSLLNCSGFMVETKPRVRTLGSPESDASDKKDMLKKRKTSSGWSEQIRVCSAMSLEGPLDDGYCWRKYGQKDILGSNFPRAYYRCTHRYSQGCLAGKQVQRSDEDPTIFEVKYRGRHACNQVPHLVAKPKEKGNHYREKQQVEEKQKQSKEMLLSFETGLKVKTEDLDNREDIFPSFSFPIESEEVQNGLLLNSLMKNMSPAFVSPATSESNYFSVSAFHMGSFDFGQNVQTSESELTEIISAPASVTNSPIVDLDISSLEKLEFDQSFPYDNPEFFTNFLQ >KJB09677 pep chromosome:Graimondii2_0_v6:1:22002699:22004803:1 gene:B456_001G155800 transcript:KJB09677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTMGWEQNTLLNELAQGRDFTNMLRKHLHPSSSPETRQVLLDKILCSYDKALSLLNCSGFMVETKPRVRTLGSPESDASDKKDMLKKRKTSSGWSEQIRVCSAMSLEGPLDDGYCWRKYGQKDILGSNFPRAYYRCTHRYSQGCLAGKQVQRSDEDPTIFEVKYRGRHACNQVPHLVAKPKEKGNHYREKQQVEEKQKQSKEMLLSFETGLKVKTEDLDNREDIFPSFSFPIESEEVQNGLLLNSLMKNMSPAFVSPATSESNYFSVSAFHMGSFDFGQNVQTSESELTEIISAPASVTNSPIVDLDISSLEKLEFDQSFPYDNPEFFTNFLQ >KJB10947 pep chromosome:Graimondii2_0_v6:1:47040827:47044629:1 gene:B456_001G233300 transcript:KJB10947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNYDQEPWNWHGDQKNNDFGASEGAWTQVTLNEEDLSYMFVEATPVKECGDLSYHVTQNDDISKESEEKRETASQVKRRRMLQFDTHAVDSSLICEEMPSAFLKSRERDDMIEEILPDASEWVAGLSEDASASSYDGLDQSCEGWLAEYFNDPELLLSSDDMNLTGASNVQIDTSELCNAQPESGADAVQKQATRTLGNVVLKGRKSFIRMPPKVASSVAYPFAFIKPCGFHGDVTLKDINQRIRTPPPSKSKQSNEDLADAFPTSAFSGKPVVGKTKIRTEGGKGTITIMRTKG >KJB10948 pep chromosome:Graimondii2_0_v6:1:47040797:47044629:1 gene:B456_001G233300 transcript:KJB10948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNYDQEPWNWHGDQKNNDFGASEGAWTQVTLNEEDLSYMFVEATPVKECGDLSYHVTQNDDISKESEEKRETASQVKRRRMLQFDTHAVDSSLICEEMPSAFLKSRERDDMIEEILPDASEWVAGLSEDASASSYDGLDQSCEGWLAEYFNDPELLLSSDDMNLTGASNVQIDTSELCNAQPESGADAVQKQATRTLGNVVLKGRKSFIRMPPKVASSVAYPFAFIKPCGFHGDVTLKDINQRIRTPPPSKSKQSNEDLADAFPTSAFSGKPVVGKTKIRTEGGKGTITIMRTKG >KJB10949 pep chromosome:Graimondii2_0_v6:1:47040960:47044629:1 gene:B456_001G233300 transcript:KJB10949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNYDQEPWNWHGDQKNNDFGASEGAWTQVTLNEEDLSYMFVEATPVKECGDLSYHVTQNDDISKESEEKRETASQVKRRRMLQFDTHAVDSSLICEEMPSAFLKSRERDDMIEEILPDASEWVAGLSDASASSYDGLDQSCEGWLAEYFNDPELLLSSDDMNLTGASNVQIDTSELCNAQPESGADAVQKQATRTLGNVVLKGRKSFIRMPPKVASSVAYPFAFIKPCGFHGDVTLKDINQRIRTPPPSKSKQSNEDLADAFPTSAFSGKPVVGKTKIRTEGGKGTITIMRTKG >KJB10946 pep chromosome:Graimondii2_0_v6:1:47040798:47044629:1 gene:B456_001G233300 transcript:KJB10946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNYDQEPWNWHGDQKNNDFGASEGAWTQVTLNEEDLSYMFVEATPVKECGDLSYHVTQNDDISKESEEKRETASQVKRRRMLQFDTHAVDSSLICEEMPSAFLKSRERDDMIEEILPDASEWVAGLSEDASASSYDGLDQSCEGWLAEYFNDPELLLSSDDMNLTGASNVQIDTSELCNAQPESGADAVQKQATRTLGNVVLKGRKSFIRMPPKVASSVAYPFAFIKPCGFHGDVTLKDINQRIRTPPPSKSKQSNEDLADAFPTSAFSGKPVVGKTKIRTEGGKGTITIMRTKG >KJB10027 pep chromosome:Graimondii2_0_v6:1:27687595:27689583:1 gene:B456_001G181000 transcript:KJB10027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCISLPSSHLLLHSPAKTTLKLACHVSPRMFFNDSATNNNSGSTNGFSLIKNVSKLLWGASLPPGILISTVRTAWTSTWQIMMSQLAPSDPSGAYTRPFSKFRLNPTAATTKLHLYVGLPCPWAHRTLIVRALKGLEEAVPVSVAGHGLDGSWEFKDVPDKDNDILVPTMDGVNRCRNLKEVYRLRKGGYDGRATVPMLWDVEKKEVVCNESYDIIEFFNSGLNELAQHPGLDLSPVELKEEIEEWNGVIYPNVNNGVYRCGFAQSQEAYDVAVSGLFSTLDRIDDHLGSSRYLCGDRLTLADICLFTTLIRFDLVYNVLFKCTKKKLLEYTNLHAYMRDIYQIPKVATTCNFLEIMDGYYRMLFPLNPGSIRPVMPSVCEHEFLSRPSKRESMSSVGKRVQHVL >KJB08365 pep chromosome:Graimondii2_0_v6:1:8179280:8190509:1 gene:B456_001G078900 transcript:KJB08365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRFFYRKPPDRLLEISERVYVFDCCFSVDVLEEDEYKVYMGSIVAQLQDYFPDASFMVFNFREGERRSLISDILSQYDMTVMDYPRQHGGCQLLPLEMIRHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYQKQYNGEKKTLEMVYRQAPRELLQLLSPLNPQPSQLRYLQYISRRNLGSDWPPSDTPLFLDCLILRVLPPFGGEEGCRPVVRVYGQDPKTPATRSSKLLFSTSKKKNQVRHFLKEECILVKMDIHCHVQGDVVLECIHLNEDLVREELIFRVMFHTAFVQANILMLNRDEIDVLWDGKDQFPKDFRAEVLFTDPDAVVPDLLEVMESEDGNEIESAAPEEFFEVEEIFSNAVNALEGKVDDNSLIVLDNKVEQKEVWRENVDPSKFQDCASNDGNYKQDVKVDFSMDAVKDIAVDDANYNLDKRMVSDINAVKDIAVDDGDIKVEPVAFTVDVLRVREATEVTEGVLGKLEEMEDKGNRKDCVQLKNSESKMLHQRLKSDVSKPKPERTLPASKKHAGMGPKPALDSLLVKSKSKQQEAQGPPVRQAKPNVISRWIPPNKGSYTNSMHVSYPPSRYNSASVALLSNSVALKDSYSSANQKGSNGVSISKDVSSKPKSVKADHVELSNSPKEISSVPIILTSPPLPQQSAPIPPSPPPPFSPSSAPPPPPPLPPPPPRFSLWVSSSFQENATSIVLNSLSPPPPPPPPPYEALLPTRPPLPSASRPPPPPPPPLHKASPPPPPPPPPGHGVSPPPPPQPLLRGTPSPPPPPLAPPPTSGIPLPPSPPLMNGAPPPKLGAIPPAPPPLRGVPSMPARHGAPPPPPPPVRGAPAPCPPPMQGASRPIGGAPPPPPPPGGRAPGPPPPPRAPGAPLAPSLGARVVDGRGRGRGLSSPAAATAPRRSSLKPLHWSKVTRAIQGSLWEELQRHEAQIVPEFDVAELETLFSAVVPKPAGSVNRSGVRRKSVGSKPDKVHLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDDVVLDVDQVENLIKFCPTKEEMELLKGYTGDKESLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQISEFKKSLSTVNSACDEVRNSLKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAAKKPALLDFHQEFVSLEAATKIQLKSLAEEMQAITKGLEKVKQELAASENDGPVSEVFWGTLKGFLSVAEGEVTSVTNLYSVVGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENMKQVDLEKKKAEKEKAKGINLTKKGAN >KJB08364 pep chromosome:Graimondii2_0_v6:1:8179280:8190509:1 gene:B456_001G078900 transcript:KJB08364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRFFYRKPPDRLLEISERVYVFDCCFSVDVLEEDEYKVYMGSIVAQLQDYFPDASFMVFNFREGERRSLISDILSQYDMTVMDYPRQHGGCQLLPLEMIRHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYQKQYNGEKKTLEMVYRQAPRELLQLLSPLNPQPSQLRYLQYISRRNLGSDWPPSDTPLFLDCLILRVLPPFGGEEGCRPVVRVYGQDPKTPATRSSKLLFSTSKKKNQVRHFLKEECILVKMDIHCHVQGDVVLECIHLNEDLVREELIFRVMFHTAFVQANILMLNRDEIDVLWDGKDQFPKDFRAEVLFTDPDAVVPDLLEVMESEDGNEIESAAPEEFFEVEEIFSNAVNALEGKVDDNSLIVLDNKVEQKEVWRENVDPSKFQDCASNDGNYKQDVKVDFSMDAVKDIAVDDANYNLDKRMVSDINAVKDIAVDDGDIKVEPVAFTVDVLRVREATEVTEGVLGKLEEMEDKGNRKDCVQLKNSESKMLHQRLKSDVSKPKPERTLPASKKHAGMGPKPALDSLLVKSKSKQQEAQGPPVRQAKPNVISRWIPPNKGSYTNSMHVSYPPSRYNSASVALLSNSVALKDSYSSANQKGSNGVSISKDVSSKPKSVKADHVELSNSPKEISSVPIILTSPPLPQQSAPIPPSPPPPFSPSSAPPPPPPLPPPPPRFSLWVSSSFQENATSIVLNSLSPPPPPPPPPYEALLPTRPPLPSASRPPPPPPPPLHKASPPPPPPPPPGHGVSPPPPPQPLLRGTPSPPPPPLAPPPTSGIPLPPSPPLMNGAPPPKLGAIPPAPPPLRGVPSMPARHGAPPPPPPPVRGAPAPCPPPMQGASRPIGGAPPPPPPPGGRAPGPPPPPRAPGAPLAPSLGARVVDGRGRGRGLSSPAAATAPRRSSLKPLHWSKVTRAIQGSLWEELQRHEAQIVPEFDVAELETLFSAVVPKPAGSVNRSGVRRKSVGSKPDKVHLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDDVVLDVDQVENLIKFCPTKEEMELLKGYTGDKESLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQISEFKKSLSTVNSACDEVRNSLKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHYLCKIQLKSLAEEMQAITKGLEKVKQELAASENDGPVSEVFWGGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENMKQVDLEKKKAEKEKAKGINLTKKGAN >KJB08366 pep chromosome:Graimondii2_0_v6:1:8179446:8190403:1 gene:B456_001G078900 transcript:KJB08366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRFFYRKPPDRLLEISERVYVFDCCFSVDVLEEDEYKVYMGSIVAQLQDYFPDASFMVFNFREGERRSLISDILSQYDMTVMDYPRQHGGCQLLPLEMIRHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYQKQYNGEKKTLEMVYRQAPRELLQLLSPLNPQPSQLRYLQYISRRNLGSDWPPSDTPLFLDCLILRVLPPFGGEEGCRPVVRVYGQDPKTPATRSSKLLFSTSKKKNQVRHFLKEECILVKMDIHCHVQGDVVLECIHLNEDLVREELIFRVMFHTAFVQANILMLNRDEIDVLWDGKDQFPKDFRAEVLFTDPDAVVPDLLEVMESEDGNEIESAAPEEFFEVEEIFSNAVNALEGKVDDNSLIVLDNKVEQKEVWRENVDPSKFQDCASNDGNYKQDVKVDFSMDAVKDIAVDDANYNLDKRMVSDINAVKDIAVDDGDIKVEPVAFTVDVLRVREATEVTEGVLGKLEEMEDKGNRKDCVQLKNSESKMLHQRLKSDVSKPKPERTLPASKKHAGMGPKPALDSLLVKSKSKQQEAQGPPVRQAKPNVISRWIPPNKGSYTNSMHVSYPPSRYNSASVALLSNSVALKDSYSSANQKGSNGVSISKDVSSKPKSVKADHVELSNSPKEISSVPIILTSPPLPQQSAPIPPSPPPPFSPSSAPPPPPPLPPPPPRFSLWVSSSFQENATSIVLNSLSPPPPPPPPPYEALLPTRPPLPSASRPPPPPPPPLHKASPPPPPPPPPGHGVSPPPPPQPLLRGTPSPPPPPLAPPPTSGIPLPPSPPLMNGAPPPKLGAIPPAPPPLRGVPSMPARHGAPPPPPPPVRGAPAPCPPPMQGASRPIGGAPPPPPPPGGRAPGPPPPPRAPGAPLAPSLGARVVDGRGRGRGLSSPAAATAPRRSSLKPLHWSKVTRAIQGSLWEELQRHEAQIVPEFDVAELETLFSAVVPKPAGSVNRSGVRRKSVGSKPDKVHLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDDVVLDVDQVENLIKFCPTKEEMELLKGYTGDKESLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQISEFKKSLSTVNSACDEVRNSLKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHYLCKIQLKSLAEEMQAITKGLEKVKQELAASENDGPVSEVFWGTLKGFLSVAEGEVTSVTNLYSVVGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENMKQVDLEKKKAEKEKAKGINLTKKGAN >KJB08367 pep chromosome:Graimondii2_0_v6:1:8179446:8190509:1 gene:B456_001G078900 transcript:KJB08367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRFFYRKPPDRLLEISERVYVFDCCFSVDVLEEDEYKVYMGSIVAQLQDYFPDASFMVFNFREGERRSLISDILSQYDMTVMDYPRQHGGCQLLPLEMIRHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYQKQYNGEKKTLEMVYRQAPRELLQLLSPLNPQPSQLRYLQYISRRNLGSDWPPSDTPLFLDCLILRVLPPFGGEEGCRPVVRVYGQDPKTPATRSSKLLFSTSKKKNQVRHFLKEECILVKMDIHCHVQGDVVLECIHLNEDLVREELIFRVMFHTAFVQANILMLNRDEIDVLWDGKDQFPKDFRAEVLFTDPDAVVPDLLEVMESEDGNEIESAAPEEFFEVEEIFSNAVNALEGKVDDNSLIVLDNKVEQKEVWRENVDPSKFQDCASNDGNYKQDVKVDFSMDAVKDIAVDDANYNLDKRMVSDINAVKDIAVDDGDIKVEPVAFTVDVLRVREATEVTEGVLGKLEEMEDKGNRKDCVQLKNSESKMLHQRLKSDVSKPKPERTLPASKKHAGMGPKPALDSLLVKSKSKQQEAQGPPVRQAKPNVISRWIPPNKGSYTNSMHVSYPPSRYNSASVALLSNSVALKDSYSSANQKGSNGVSISKDVSSKPKSVKADHVELSNSPKEISSVPIILTSPPLPQQSAPIPPSPPPPFSPSSAPPPPPPLPPPPPRFSLWVSSSFQENATSIVLNSLSPPPPPPPPPYEALLPTRPPLPSASRPPPPPPPPLHKASPPPPPPPPPGHGVSPPPPPQPLLRGTPSPPPPPLAPPPTSGIPLPPSPPLMNGAPPPKLGAIPPAPPPLRGVPSMPARHGAPPPPPPPVRGAPAPCPPPMQGASRPIGGAPPPPPPPGGRAPGPPPPPRAPGAPLAPSLGARVVDGRGRGRGLSSPAAATAPRRSSLKPLHWSKVTRAIQGSLWEELQRHEAQIVPEFDVAELETLFSAVVPKPAGSVNRSGVRRKSVGSKPDKVHLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDDVVLDVDQVENLIKFCPTKEEMELLKGYTGDKESLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQISEFKKSLSTVNSACDEVRNSLKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAAKKPALLDFHQEFVSLEAATKIQLKSLAEEMQAITKGLEKVKQELAASENDGPVSEVFWGGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENMKQVDLEKKKAEKEKAKGINLTKKGAN >KJB08994 pep chromosome:Graimondii2_0_v6:1:14179976:14188028:1 gene:B456_001G117600 transcript:KJB08994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGLHNVSVLENSFLRESQSQASRRRGNGSTRPSSLLQMWRELEDEHVVSHAQERTNERMLQRRSNDLPMTDLSDSRHSEHSGVSEDVSVSVSENEFVQWLPDQFGLQNGSEDSSNFDCEHSSDLGEVERERVRQIFQEWMNSGGRGCTSNVSGRNNSSRAQWLGETEQERVRIIREWVQMNSQQRGSLTDSREEQAADAGGQIERLLDGLVVNQNAGRTEHVHRGIRKLCGRQALLDMLKKAERERQTELQRLLEHRAVSNFAHRNRIQSLLRGRFLRNDRMVEGDRSTSIAASELGLLRQKQTVSGLREGFLSRLDNSCSGPASSNRSDRSSSADSDGNRSEENRVNNTHDAIGGLNDRSECENEETDNGRCMIGTTDLEVETEVSQQATSACLEDQQEQVSESVFSIWQGSASAESNESRYDIGQVFDGPRQESLADESSLETLQNEAGEQSNLQESGEASYERSFQDGERSRTYWLTNVVQNVERVPVDHIDGQEPASQGEQWQEEDQETEDADWQEASLDHNELMDDRNEEASDMNHEDGESENGGYDDMQEAPDAQREDGGLHDTRQNWFEGSYNLQAATIGRTDTFYLPDDDNVHNTELRELLSRRSVSTLLRSGFRESLDQLIQSYVERQNHASVDWDLNEAAPTPESLEQDIEQQSRDQNEGQSSPIAPSSPRMPSTQPLWDQDSHHYNWQPHDGHQRFGIEWEIINDLRVDMTRLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAGSQGMIDDDLPKDASSNWDNVRKGICCICCESNIDSLLYRCGHMCTCLNCATELAHGGGKCPMCHAPVVEVIRAYSIH >KJB08995 pep chromosome:Graimondii2_0_v6:1:14180301:14186268:1 gene:B456_001G117600 transcript:KJB08995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGLHNVSVLENSFLRESQSQASRRRGNGSTRPSSLLQMWRELEDEHVVSHAQERTNERMLQRRSNDLPMTDLSDSRHSEHSGVSEDVSVSVSENEFVQWLPDQFGLQNGSEDSSNFDCEHSSDLGEVERERVRQIFQEWMNSGGRGCTSNVSGRNNSSRAQWLGETEQERVRIIREWVQMNSQQRGSLTDSREEQAADAGGQIERLLDGLVVNQNAGRTEHVHRGIRKLCGRQALLDMLKKAERERQTELQRLLEHRAVSNFAHRNRIQSLLRGRFLRNDRMVEGDRSTSIAASELGLLRQKQTVSGLREGFLSRLDNSCSGPASSNRSDRSSSADSDGNRSEENRVNNTHDAIGGLNDRSECENEETDNGRCMIGTTDLEVETEVSQQATSACLEDQQEQVSESVFSIWQGSASAESNESRYDIGQVFDGPRQESLADESSLETLQNEAGEQSNLQESGEASYERSFQDGERSRTYWLTNVVQNVERVPVDHIDGQEPASQGEQWQEEDQETEDADWQEASLDHNELMDDRNEEASDMNHEDGESENGGYDDMQEAPDAQREDGGLHDTRQNWFEGSYNLQAATIGRTDTFYLPDDDNVHNTELRELLSRRSVSTLLRSGFRESLDQLIQSYVERQNHASVDWDLNEAAPTPESLEQDIEQQSRDQNEGQSSPIAPSSPRMPSTQPLWDQDSHHYNWQPHDGHQRFGIEWEIINDLRVDMTRLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAGSQASYVS >KJB08997 pep chromosome:Graimondii2_0_v6:1:14180301:14187007:1 gene:B456_001G117600 transcript:KJB08997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGLHNVSVLENSFLRESQSQASRRRGNGSTRPSSLLQMWRELEDEHVVSHAQERTNERMLQRRSNDLPMTDLSDSRHSEHSGVSEDVSVSVSENEFVQWLPDQFGLQNGSEDSSNFDCEHSSDLGEVERERVRQIFQEWMNSGGRGCTSNVSGRNNSSRAQWLGETEQERVRIIREWVQMNSQQRGSLTDSREEQAADAGGQIERLLDGLVVNQNAGRTEHVHRGIRKLCGRQALLDMLKKAERERQTELQRLLEHRAVSNFAHRNRIQSLLRGRFLRNDRMVEGDRSTSIAASELGLLRQKQTVSGLREGFLSRLDNSCSGPASSNRSDRSSSADSDGNRSEENRVNNTHDAIGGLNDRSECENEETDNGRCMIGTTDLEVETEVSQQATSACLEDQQEQVSESVFSIWQGSASAESNESRYDIGQVFDGPRQESLADESSLETLQNEAGEQSNLQESGEASYERSFQDGERSRTYWLTNVVQNVERVPVDHIDGQEPASQGEQWQEEDQETEDADWQEASLDHNELMDDRNEEASDMNHEDGESENGGYDDMQEAPDAQREDGGLHDTRQNWFEGSYNLQAATIGRTDTFYLPDDDNVHNTELRELLSRRSVSTLLRSGFRESLDQLIQSYVERQNHASVDWDLNEAAPTPESLEQDIEQQSRDQNEGQSSPIAPSSPRMPSTQPLWDQDSHHYNWQPHDGHQRFGIEWEIINDLRVDMTRLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAGSQGMIDDDLPKDASSNWDNVRKGICCICCESNIDSLLYRCGHMCTCLNCATELAHGGGKCPMCHAPVVEVIRAYSIH >KJB08996 pep chromosome:Graimondii2_0_v6:1:14180301:14187007:1 gene:B456_001G117600 transcript:KJB08996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGLHNVSVLENSFLRESQSQASRRRGNGSTRPSSLLQMWRELEDEHVVSHAQERTNERMLQRRSNDLPMTDLSDSRHSEHSGVSEDVSVSVSENEFVQWLPDQFGLQNGSEDSSNFDCEHSSDLGEVERERVRQIFQEWMNSGGRGCTSNVSGRNNSSRAQWLGETEQERVRIIREWVQMNSQQRGSLTDSREEQAADAGGQIERLLDGLVVNQNAGRTEHVHRGIRKLCGRQALLDMLKKAERERQTELQRLLEHRAVSNFAHRNRIQSLLRGRFLRNDRMVEGDRSTSIAASELGLLRQKQTVSGLREGFLSRLDNSCSGPASSNRSDRSSSADSDGNRSEENRVNNTHDAIGGLNDRSECENEETDNGRCMIGTTDLEVETEVSQQATSACLEDQQEQVSESVFSIWQGSASAESNESRYDIGQVFDGPRQESLADESSLETLQNEAGEQSNLQESGEASYERSFQDGERSRTYWLTNVVQNVERVPVDHIDGQEPASQGEQWQEEDQETEDADWQEASLDHNELMDDRNEEASDMNHEDGESENGGYDDMQEAPDAQREDGGLHDTRQNWFEGSYNLQAATIGRTDTFYLPDDDNVHNTELRELLSRRSVSTLLRSGFRESLDQLIQSYVERQNHASVDWDLNEAAPTPESLEQDIEQQSRDQNEGQSSPIAPSSPRMPSTQPLWDQDSHHYNWQPHDGHQRFGIEWEIINDLRVDMTRLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRYD >KJB09593 pep chromosome:Graimondii2_0_v6:1:21034847:21036211:1 gene:B456_001G151400 transcript:KJB09593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDQRPLQQRNKSPTSKLFTRSAAYVILVLLSYTLGYLSHTSPPQQQQLQPPSPVFQLADSPSQLDNFRVTAPCANSPLPPHLVFPTILDRVFNGSSPYSNSPPPYLSSFLKQRRIKGWGSYGAVFKHLIKRVKPQVIIEVGTFLGASALHMVNVTRELRLQTQILCLDDFRGWPGFRDRFKDIKMINGDVLLFQQFMQNVIYFNATGSVLPVPFSTASGLEKLCEWGITADLIEIDAGHDFISAWGDINRAYRILRPGGVIFGHDYFTKADNRGVRRAVNLFAQMNNLKIQTDGQHWVLDTSLAKHN >KJB10473 pep chromosome:Graimondii2_0_v6:1:39348813:39351647:-1 gene:B456_001G203200 transcript:KJB10473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLVLLVDRLLTESTLEAAKNQSQQGITPESKVDIIKFSSHRMEGNVGSSPSKLVECRICHDEEEDLNMEIPCSCRGSLKYAHRKCVQRWCDEKGDTICEICRQQYKPGYLAPSPTLFRYGGFPMNFRGNWGISGRDLPASQFIAMVTPDHDFLESDFDDYLAPNSRSVVCCRVVAIIFTVLVVLRHALPIIISGAEDYSLTLSTLVLLSTIAILLPIYIMVKAFSAAIQRRRRQHQEPRFSLAASDEESDLPQLEQQQQQQQQTRLRSVRVH >KJB10472 pep chromosome:Graimondii2_0_v6:1:39348813:39352378:-1 gene:B456_001G203200 transcript:KJB10472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLVLLVDRLLTESTLEAAKNQSQQGITPESKVDIIKFSSHRMEGNVGSSPSKLVECRICHDEEEDLNMEIPCSCRGSLKYAHRKCVQRWCDEKGDTICEICRQQYKPGYLAPSPTLFRYGGFPMNFRGNWGISGRDLPASQFIAMVTPDHDFLESDFDDYLAPNSRSVVCCRVVAIIFTVLVVLRHALPIIISGAEDYSLTLSTLVLLSTIAILLPIYIMVKAFSAAIQRRRRQHQEPRFSLAASDEESDLPQLEQQQQQQQQTRLRSVRVH >KJB07888 pep chromosome:Graimondii2_0_v6:1:4809426:4812084:-1 gene:B456_001G050800 transcript:KJB07888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRWSRALIHLSKLRSFNSIELGKDFSLIHRQSYAAVAPAPAPSADSFPPAKSSANLDKMFWSKPCSLALAPDSPLRVEDPKYEGIRRIVLKMMLFYSKQSKSIRGANVIYRRVLSQVDKPAIYEVFSLEKTFRMTFSLLVLHMWLCLRRLKAEGKDGVELGQYVYEIYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVAYDAALLPEATHEELTEVIWRNIFSDDGTSKPDAAALHTVQAMARYVRREVSCLSLTDKEAMFSGNFMFTPLESSSADSVRR >KJB06156 pep chromosome:Graimondii2_0_v6:1:5637493:5638144:1 gene:B456_001G057300 transcript:KJB06156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKIFDEDKSYMQSCKVAVSTCAFGGGDDLYQPIGMSEASFKKVCYVQDFLLLQNGRIDYGEFVAMVLIFSLLADCIADPEYDDS >KJB10610 pep chromosome:Graimondii2_0_v6:1:42066223:42071120:-1 gene:B456_001G211100 transcript:KJB10610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGASTEQGVRDATENSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEPSIKGIITFDENSVISMSPVNFHGLPKYDGCCFYIGTPQKKDYFLCAETPGAARAWVSTLHATQLVLKAHKEAVNSLSGNGSAKLGTVATVVAAANSTAKECSKEIEAAMQVSLRNVLGLVANRPTDGPMDDFTIMKETLRVKDEELQNLARDLRARDSTIREIADKLSETAEAAESAASAAHAMDEHRRIACEEIERLTKDSEKQREAFMLKLRESEERLGFLSEERDQLIKQRDSAMQEAHMWRNELAKARERVVILEAAVLRAEEKVRVAETDAEARIKEAEQKEAAAVKEKQDLLAYVNALQAHLQRQQSDTKQIFEEKMESSNTSNSPPDTKDVELSENVDKACLSVSRTASVPEESVVHMAADEVNIQPVGEGEWSDIQATDARIADVREIAPEAEGSSHDISVVSPAVNNQHDQGPNSFHQP >KJB10609 pep chromosome:Graimondii2_0_v6:1:42066223:42071028:-1 gene:B456_001G211100 transcript:KJB10609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGASTEQGVRDATENSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEPSIKGIITFDENSVISMSPVNFHGLPKYDGCCFYIGTPQKKDYFLCAETPGAARAWVSTLHATQLVLKAHKEAVNSLSGNGSAKLGTVATVVAAANSTAKECSKEIEAAMQVSLRNVLGLVANRPTDGPMDDFTIMKTLRVKDEELQNLARDLRARDSTIREIADKLSETAEAAESAASAAHAMDEHRRIACEEIERLTKDSEKQREAFMLKLRESEERLGFLSEERDQLIKQRDSAMQEAHMWRNELAKARERVVILEAAVLRAEEKVRVAETDAEARIKEAEQKEAAAVKEKQDLLAYVNALQAHLQRQQSDTKQIFEEKMESSNTSNSPPDTKDVELSENVDKACLSVSRTASVPEESVVHMAADEVNIQPVGEGEWSDIQATDARIADVREIAPEAEGSSHDISVVSPAVNNQHDQGPNSFHQP >KJB10611 pep chromosome:Graimondii2_0_v6:1:42067316:42071028:-1 gene:B456_001G211100 transcript:KJB10611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGASTEQGVRDATENSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEPSIKGIITFDENSVISMSPVNFHGLPKYDGCCFYIGTPQKKDYFLCAETPGAARAWVSTLHATQLVLKAHKEAVNSLSGNGSAKLGTVATVVAAANSTAKECSKEIEAAMQVSLRNVLGLVANRPTDGPMDDFTIMKETLRVKDEELQNLARDLRARDSTIREIADKLSETAEAAESAASAAHAMDEHRRIACEEIERLTKDSEKQREAFMLKLRESEERLGFLSEERDQLIKQRDSAMQEAHMWRNELAKARERVVILEAAVLRAEEKVRVAETDAEARIKEAEQKEAAAVKEKQDLLAYVNALQAHLQRFDLLYF >KJB07090 pep chromosome:Graimondii2_0_v6:1:42852231:42854694:1 gene:B456_001G2144002 transcript:KJB07090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNVKKKKQTDKGDASAEPVRTKVAGEARDESADVESNQPNREEDATDRRVLRSKYLAMMTKISGGVLFGDLKNKKEM >KJB10689 pep chromosome:Graimondii2_0_v6:1:43323518:43330951:1 gene:B456_001G216600 transcript:KJB10689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASADTITDDGNHTVELTLLDNEESAANGGSAAASSEEITPLLTQIERPKINIFSVSRSRRKPRELVIKAPETEISPVSQFMLWAWSGSRYSGLLCMALSSVIYFVMEVLSENFTAQSIPLFETAFVRCTVTLILSYIWLRRIGLPIFGATHPRKLLLLRSLVGYLSLLSFIYCIQRIPFSLAILLSFTTPIMASIMARIFLHEKLKITEIGGLACSFSGMLFIFQQMLTTQGGLQKTEEANNSSFGGSNHIYAALIGFFSSITGGISYCLIKAAAKATDQPVVTVLSFAILASPAAGICTFAFEEFVLPSFNSLSLMLALGILSFLAEVFLAHGLQLEKINKAANVMFMEAALSQLWGIGTSSIAPSLGRLVGCILILISVSFTMFFGPEKENE >KJB10685 pep chromosome:Graimondii2_0_v6:1:43323485:43331191:1 gene:B456_001G216600 transcript:KJB10685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASADTITDDGNHTVELTLLDNEESAANGGSAAASSEEITPLLTQIERPKINIFSVSRSRRKPRELVIKAPETEISPVSQFMLWAWSGSRYSGLLCMALSSVIYFVMEVLSENFTAQSIPLFETAFVRCTVTLILSYIWLRRIGLPIFGATHPRKLLLLRSLVGYLSLLSFIYCIQRIPFSLAILLSFTTPIMASIMARIFLHEKLKITEIGGLACSFSGMLFIFQQMLTTQGTEKTEEANNSSFGGSNHIYAALIGFFSSITGGISYCLIKAAAKATDQPVVTVLSFAILASPAAGICTFAFEEFVLPSFNSLSLMLALGILSFLAEVFLAHGLQLEKINKAANVMFMEAALSQLWGIGTSSIAPSLGRLVGCILILISVSFTMFFGPEKENE >KJB10687 pep chromosome:Graimondii2_0_v6:1:43323518:43329820:1 gene:B456_001G216600 transcript:KJB10687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASADTITDDGNHTVELTLLDNEESAANGGSAAASSEEITPLLTQIERPKINIFSVSRSRRKPRELVIKAPETEISPVSQFMLWAWSGSRYSGLLCMALSSVIYFVMEVLSENFTAQSIPLFETAFVRCTVTLILSYIWLRRIGLPIFGATHPRKLLLLRSLVGYLSLLSFIYCIQRIPFSLAILLSFTTPIMASIMARIFLHEKLKITEIGGLACSFSGMLFIFQQMLTTQGGLQKTEEANNSSFGGSNHIYAALIGFFSSITGGISYCLIKAAAKATDQPVVTVLSFAILASPAAGICTFAFEVSAII >KJB10688 pep chromosome:Graimondii2_0_v6:1:43323518:43330951:1 gene:B456_001G216600 transcript:KJB10688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASADTITDDGNHTVELTLLDNEESAANGGSAAASSEEITPLLTQIERPKINIFSVSRSRRKPRELVIKAPETEISPVSQFMLWAWSGSRYSGLLCMALSSVIYFVMEVLSENFTAQSIPLFETAFVRCTVTLILSYIWLRRIGLPIFGATHPRKLLLLRSLVGYLSLLSFIYCIQRIPFSLAILLSFTTPIMASIMARIFLHEKLKITEIGGLACSFSGMLFIFQQMLTTQGTEKTEEANNSSFGGSNHIYAALIGFFSSITGGISYCLIKAAAKATDQPVVTVLSFAILASPAAGICTFAFEEFVLPSFNSLSLMLALGVSSSRTTA >KJB10686 pep chromosome:Graimondii2_0_v6:1:43323518:43328251:1 gene:B456_001G216600 transcript:KJB10686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASADTITDDGNHTVELTLLDNEESAANGGSAAASSEEITPLLTQIERPKINIFSVSRSRRKPRELVIKAPETEISPVSQFMLWAWSGSRYSGLLCMALSSVIYFVMEVLSENFTAQSIPLFETAFVRCTVTLILSYIWLRRIGLPIFGATHPRKLLLLRSLVGYLSLLSFIYCIQRIPFSLAILLSFTTPIMASIMARIFLHEKLKITEIGGLACSFSGMLFIFQQMLTTQGTEVS >KJB06849 pep chromosome:Graimondii2_0_v6:1:1510150:1513112:-1 gene:B456_001G016300 transcript:KJB06849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVPVFALFLSLLILPTLPTLCSSQSDADSLSKFKKSLKNGDSKLKNWVPGSSPCRKKWIGVMCDGETIIGLHLTSLGLSGSVDVESLLKLRSLRTISLVKNMFEGSIPELNKLGALRAIYLSNNQFTGEIPNDYFESMGSLKKVWLNQNKFSGKIPDSLMQLQNLVELHLEGNRFSGKIPSLKYPNVMKSLDLKGNKLDGKIPESFSKFNASVFEGNNELCGKPLEKHCDTADSRKVQQENEKQEEPDSPPPSSSQSNATVVISAVTLVVVVFFVVVAIISTNREDELTNHHSSSREPLRQGNNLPESTRQKPLESSRKSGPEGKIKRGSSQKGNKNGMADLVVVNEEKGSFGLQDLMKAAAEVLGSGGLGSAYKAVMGNGVAVVVKRMREMNRLGKDGFETEMQRFGALKHPNVLTPLAYHFRREEKLIVSQYMPSGSLLYALHGDRGVFHAKLNWSNRLKIIKGIAQGLGYIHTQLKIYDVPHGNLKASNVLLTETYEPMLSDYGFYSLIDSDKVTQVLFAYKSPEYLQSQKISPKSDIYCLGIVLLEIVTGKFPSQYLNTCNGGIDIVQWAQSSISEDRVEELVDPEILSTSSDSINQVVSIIRIGVACVESNPDQRLTMNEVICKILEVN >KJB07914 pep chromosome:Graimondii2_0_v6:1:4973359:4977089:-1 gene:B456_001G052500 transcript:KJB07914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHEEQEHEVYGGEIPDEEGEVDADMSGGAEDYEGNEHDLEHDPNSNSKDLEDMKKRLKEIEDEAGALREMQAKVEKEMGAVQDSSGASATQAEKEEVDSRSIYVGNVDYSCTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEIDAVQNALLLNETELHGRQLKVSAKRTNVPGMKQYRGRRPNPYFRSRRPFMPGPVFYPPYSYGRVPRFRRPTRYRPY >KJB07917 pep chromosome:Graimondii2_0_v6:1:4973862:4976531:-1 gene:B456_001G052500 transcript:KJB07917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPELYVKCKLKSRRKWALSKIPQVLLQLKLKRRKSIRARFMLVDYSCTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEIDAVQNALLLNETELHGRQLKVSAKRTNVPGMKQYRGRRPNPYFRSRRPFMPGPVFYPPYSYGRVPRFRRPTRYRPY >KJB07918 pep chromosome:Graimondii2_0_v6:1:4974446:4977006:-1 gene:B456_001G052500 transcript:KJB07918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHEEQEHEVYGGEIPDEEGEVDADMSGGAEDYEGNEHDLEHDPNSNSKDLEDMKKRLKEIEDEAGALREMQAKVEKEMGAVQDSSGASATQAEKEEVDSRSIYVGNVDYSCTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEIDAVQNALLLNETELHGRQLKVSAKRTNVPGMKQYRGRRPNPYFRSRRPFMPGPVFYPPYSYG >KJB07915 pep chromosome:Graimondii2_0_v6:1:4973505:4976997:-1 gene:B456_001G052500 transcript:KJB07915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHEEQEHEVYGGEIPDEEGEVDADMSGGAEDYEGNEHDLEHDPNSNSKDLEDMKKRLKEIEDEAGALREMQAKVEKEMGAVQDSSGASATQAEKEEVDSRSIYVGNVDYSCTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEIDAVQNALLLNETELHGRQLKVSAKRTNVPGMKQYRGRRPNPYFRSRRPFMPGPVFYPPYSYGRVPRFRRPTRYRPY >KJB07916 pep chromosome:Graimondii2_0_v6:1:4973505:4977006:-1 gene:B456_001G052500 transcript:KJB07916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHEEQEHEVYGGEIPDEEGEVDADMSGGAEDYEGNEHDLEHDPNSNSKDLEDMKKRLKEIEDEAGALREMQAKVEKEMGAVQDSSELHGRQLKVSAKRTNVPGMKQYRGRRPNPYFRSRRPFMPGPVFYPPYSYGRVPRFRRPTRYRPY >KJB10589 pep chromosome:Graimondii2_0_v6:1:41448132:41449750:1 gene:B456_001G209100 transcript:KJB10589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNQRKGLFLAILIMMMVMVSTNNHYCVGALVEKTKSSSSHCSGSIQECLLFEEDDVLVESEISQVFPDLPANSYNNKAVYQSLIADASCGRRSPDKRCTPKRNNPKKHQPCGTYTRRC >KJB10712 pep chromosome:Graimondii2_0_v6:1:43857372:43860433:-1 gene:B456_001G217800 transcript:KJB10712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSTPNILLSLFSIHFLLSLVKINEAKVPAIIVFGDSSVDAGNNNYIPTIARSNFEPYGRDFNGGHPTGRFSNGKIATDFISQAFGLKGAIPAYLDPSYSISDFATGVTFASAGTGYDNSTSNVLSVIPLWKELEYYKDYQTKLKANLGDGKANDIIKDALYMISVGTNDFLENYYAVPGRSSQYTIKEYENFLVGIAGNFTKALYDLGARKISLGGLPPMGCMPLERTGNLMGGSECVNSYNNLAAEFNSKLNDLVIKQNKELNGMDMVFSDPYGIMLDIIQKPAFYGFEVTGVACCATGMFEMGYACSRTNPFTCSDADKYVFWDSFHPTEKTNAIVANHVVQTSLAKFF >KJB07948 pep chromosome:Graimondii2_0_v6:1:5285027:5288608:1 gene:B456_001G054900 transcript:KJB07948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSTKSNPFLIDSIFSPHKISGFVEEIENLNFDQVYSENNEPSGSIFKEQSSTGEFTVVDDNVALTSKKRRAKNGVKDEKEGKPKKQKKGNDEKKAYKGSKKDRKKGGEEPPNGRYIHVRARRGQATDSHSLAERVRRKKISERMEKLQRLVPGCDKITGKALILDEIINYVQSLESQVEFLSMKIATLNPMFYDLGVDPESFMVKPEMVNNISSPPQCYPTQPITTTAAAIGTAATFTPTNNIPLLDTSAAFLFHQGETSAVFSQFQDNGSVLWEVEGSRQEFLNPFRSF >KJB08683 pep chromosome:Graimondii2_0_v6:1:10923936:10924999:1 gene:B456_001G097500 transcript:KJB08683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTTTYWSSFQGQQFIAKKKRKEKEEAFFGGVHGKARHFLLPSFMFLFSGDFFKINFYVIIFVIMII >KJB08203 pep chromosome:Graimondii2_0_v6:1:7193809:7197441:1 gene:B456_001G071000 transcript:KJB08203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISDEKVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKRIPNLAQIYLVGFYEEREFAMYVSSISTELRVPVRYLREDKPHGSAGGLYNFRDLIMEDNPSHIFLLNCDVCCSFPLPEMLEAHKRYGGMGTILVIKVSAESASEFGELVADPITNELLHYTEKPETFVSDRINCGVYVFTPDIFTAIQGVSSQRKDRANLRRLSSFEALQSATRNPPSDFVRLDQDILTPFAGKKKLYTYETMDFWEQIKTPGKSLKCSGLYLAQFRFTSPNLLASGDGTKSATIIGDVYIHPSAKVHPSAKVTSFSLRFNHDV >KJB08201 pep chromosome:Graimondii2_0_v6:1:7193338:7198828:1 gene:B456_001G071000 transcript:KJB08201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISDEKVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKRIPNLAQIYLVGFYEEREFAMYVSSISTELRVPVRYLREDKPHGSAGGLYNFRDLIMEDNPSHIFLLNCDVCCSFPLPEMLEAHKRYGGMGTILVIKVSAESASEFGELVADPITNELLHYTEKPETFVSDRINCGVYVFTPDIFTAIQGVSSQRKDRANLRRLSSFEALQSATRNPPSDFVRLDQDILTPFAGKKKLYTYETMDFWEQIKTPGKSLKCSGLYLAQFRFTSPNLLASGDGTKSATIIGDVYIHPSAKVHPSAKIGPNVSISANARIGAGVRLISCIILDGVEIMENAVVFHAIVGWKSSIGKWSRVQASLTYGYFYMILMTKSYVI >KJB08205 pep chromosome:Graimondii2_0_v6:1:7193338:7198788:1 gene:B456_001G071000 transcript:KJB08205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISDEKVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKRIPNLAQIYLVGFYEEREFAMYVSSISTELRVPVRYLREDKPHGSAGGLYNFRDLIMEDNPSHIFLLNCDVCCSFPLPEMLEAHKRYGGMGTILVIKVSAESASEFGELVADPITNELLHYTEKPETFVSDRINCGVYVFTPDIFTAIQGVSSQRKDRANLRRLSSFEALQSATRNPPSDFVRLDQDILTPFAGKKKLYTYETMDFWEQIKTPGKSLKCSGLYLAQFRFTSPNLLASGDGTKSATIIGDVYIHPSAKVHPSAKIGPNVSISANARIGAGVRLISCIILDGVEIMVCNRIQCFQIALKTLTEVPLNLTHD >KJB08204 pep chromosome:Graimondii2_0_v6:1:7193082:7198825:1 gene:B456_001G071000 transcript:KJB08204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISDEKVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKRIPNLAQIYLVGFYEEREFAMYVSSISTELRVPVRYLREDKPHGSAGGLYNFRDLIMEDNPSHIFLLNCDVCCSFPLPEMLEAHKRYGGMGTILVIKVSAESASEFGELVADPITNELLHYTEKPETFVSDRINCGVYVFTPDIFTAIQGVSSQRKDRANLRRLSSFEALQSATRNPPSDFVRLDQDILTPFAGKKKLYTYETMDFWEQIKTPGKSLKCSGLYLAQFRFTSPNLLASGDGTKSATIIGDVYIHPSAKVHPSAKIGPNVSISANARIGAGVRLISCIILDGVEIMENAVVFHAIVGWKSSIGKWSRVQASLTYGYFYMILMTKSYVI >KJB08202 pep chromosome:Graimondii2_0_v6:1:7193082:7198838:1 gene:B456_001G071000 transcript:KJB08202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISDEKVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKRIPNLAQIYLVGFYEEREFAMYVSSISTELRVPVRYLREDKPHGSAGGLYNFRDLIMEDNPSHIFLLNCDVCCSFPLPEMLEAHKRYGGMGTILVIKVSAESASEFGELVADPITNELLHYTEKPETFVSDRINCGVYVFTPDIFTAIQGVSSQRKDRANLRRLSSFEALQSATRNPPSDFVRLDQDILTPFAGKKKLYTYETMDFWEQIKTPGKSLKCSGLYLAQFRFTSPNLLASGDGTKSATIIGDVYIHPSAKVHPSAKIGPNVSISANARIGAGVRLISCIILDGVEIMENAVVFHAIVGWKSSIGKWSRVQAEGDYKAKLGITILGEAVTVEDEVVVTNSIVLPHKTLNVSVQDEILL >KJB10804 pep chromosome:Graimondii2_0_v6:1:45939097:45942102:-1 gene:B456_001G225600 transcript:KJB10804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSERKMPGICSVFLLVVLFAHSCHGFYLPGSYMHTYSTSDTIVAKVNSLTSIETELPFSYYSLPYCKPLGGIKKSAENLGELLMGDQIDNSPYRFKMNVNESLYVCTTSPLNEHEVKLLKQRTRDLYQVNMILDNLPVMRIAKQNGVSIQWTGFPVGFTPPNSNDDYIINHLKFKVLVHEYEGSGVQIIGTGEEGMGVISEADKKKASGYEIVGFEVTPCSVKYDPEVMTKLHMYDSISPVNCPLELDKSQIIRERERISFTYEVEFVKSDIRWPSRWDAYLKMEGARVHWFSILNSLMVITFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPDCAKLLCVMIGDGVQITGMAAVTIVFAAFGFMSPASRGMLLTGMIILYLFLGIAAGYAAVRLWRTLKGTSEGWRSVSWSVACFFPGIVFVILTVLNFILWGSKSTGAIPISLYFVLLSLWFCISVPLTLVGGFLGTRAEAIQYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLIIVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLQSLSGPVSAILYLGYSMIMAIAIMLSTGTIGFITSFYFVHYLFSSVKID >KJB10450 pep chromosome:Graimondii2_0_v6:1:38910634:38911809:1 gene:B456_001G201800 transcript:KJB10450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS MASSMISSATIATVNRSSPAQANMVAPFTGLKSASAFPVTRKANNDITSLASNGGRVQCMQVWPPLGKKKFETLSYLPDLTPVQLAKEVDYLLRSKWIPCLEFELEGFVHRKYSNLPTYYDGRYWTMWKLPMFGCTDSAQVLKELEECKKEYPNAFIRIIGFDNVRQVQCISFIAYKPEGY >KJB10449 pep chromosome:Graimondii2_0_v6:1:38910634:38911809:1 gene:B456_001G201800 transcript:KJB10449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS MASSMISSATIATVNRSSPAQANMVAPFTGLKSASAFPVTRKANNDITSLASNGGRVQCMQVWPPLGKKKFETLSYLPDLTPVQLAKEVDYLLRSKWIPCLEFELEEGFVHRKYSNLPTYYDGRYWTMWKLPMFGCTDSAQVLKELEECKKEYPNAFIRIIGFDNVRQVQCISFIAYKPEGY >KJB08569 pep chromosome:Graimondii2_0_v6:1:9757581:9759271:1 gene:B456_001G089700 transcript:KJB08569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLIPFSGKKARCKTMNPSSIELSLSLKPSYVPKSISSLLLDLSKIDNQYYKLSVLNDYICKLEEELARVQPLKHLLPLCTLLLMEETETLKHELLNINNEKEQREIQEECYANFQSCKEKGNNMERLMLGCKENSTWNSVGESSSDGKGKEVAAIDEYSWRNDNQGFMYLNNYDHHHHPKPLTQPIWKNNRRCWSSELHSRFVEALNMLGGIEVATPKQIKNLMQVEGLTIEQVKSHLQKYRLHYGKVRLV >KJB06832 pep chromosome:Graimondii2_0_v6:1:15425854:15426678:1 gene:B456_001G123500 transcript:KJB06832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRENSPKLELKLNLLSPPTARPNNQVNGSPNTSEMSLESSCVSSETDDSSMMMMQRRSSEEETPMVLVGCPRCLMYVMLAQVNLKCPKCKTTVFLDFLNHYNTKMPSN >KJB06831 pep chromosome:Graimondii2_0_v6:1:15425854:15426780:1 gene:B456_001G123500 transcript:KJB06831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRENSPKLELKLNLLSPPTARPNNQVNGSPNTSEMSLESSCVSSETDDSSMMMMQRRSSEEETPMVLVGCPRCLMYVMLAQVNLKCPKCKTTVFLDFLNHYNTKMPSN >KJB11296 pep chromosome:Graimondii2_0_v6:1:52362002:52363474:1 gene:B456_001G252200 transcript:KJB11296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLVSSWSKDKPVPESYIFPPETRPGNLIVPTCKTIPVIDLCNAEGRNRTDIVQQILKASQEYGFFQVVNHGISENLMNESMDVFNELFEMPDEDKVHQWRDNLRHPCHPLQDCIKHWPQKPIRYREVVAALSIEAKKLGLRILELVSEGLGLESGYFGNKLSEALHLFVNHYPPCPDPSLTLGITKHCDPNLLTILHQGDVHGLQIFNNEEWIGVEPLPNAFVVNIGNQLQIISNNKLKSGEHRVVTNSRVARTTAAFFIAPSEDSIIEPAISLVGEASVYRAFEYKDFLLDYLSHMGNNEVVLGRFESQSLNNGTECLPPVRCNV >KJB08619 pep chromosome:Graimondii2_0_v6:1:10443667:10445738:1 gene:B456_001G094000 transcript:KJB08619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMHSLPYLIVLFLNLGALLTSLGCPECYHHPSPPPKCPPPHYPPKHHHPPIVKPPYHPKPPKHHPPKPPKPPAVNPPYHPKPPKPPVVKPPVVKPPYHPKPPKPPVVKPPYHPKPPKHPPHPPKPPVVKPPYVPKPPIVKPPPYTPKPPVVKPPPYTPKPPVVKPPPYTPKPPVVKPPPYTPKPPVVKPPPYAPKPPVVKPPPYAPKPPVVKPPPYAPKPPVVKPPPYTPKPPYYPIPPVISPPTLPPKPPVYPSPPIVNPPPYTPKPPVVKPPPYTPKPPYYPIPPVISPPTLPPKPPVYPSPPIVNPPTPPILPPPIVNPPTPPILPPPSPPIVNPPTPPIVKPPSPGTPCPPPPPPAQQTCPIDTLKLGACVDVLGGLVHIGIGSSAKDTCCPVLQGLLDLDAAICLCTTIKAKLLNINIIIPIALQVLIDCGKTPPPGFQCPAQ >KJB09707 pep chromosome:Graimondii2_0_v6:1:22645380:22648667:-1 gene:B456_001G158000 transcript:KJB09707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVHFQPAPPPPWFPMLPPEPPNSSTFWETRNVRDRLRELQDTLNLANAVQKELEILTMIKDGSMDPSVSEFLKYLEDRRIDLETQELLSVEAANALMSKLRAQLEPFRYVADEGIPWEEKSAVARLTNKIKKSKRNNLWRKRKRKRIAELLAKEHEQFDQADREADEWRAREIAKDIASRKVEKMKEIAKLKAKEEKKRLESELELVLMVEKLQELRSMRIQKLKKQGHFLPEEDDKFLEKVRAAVEEEERQALAAADTDAAKDAIATAEETRKATQNQRPLSNDPMTDQHENKERKDQITPNEDKKGSGTVTDMESGKNKLEGHGYTRAYDPLTNLPIEFYHYYHGSNSDMGTLIEVRRTWDAYIRPGGR >KJB09705 pep chromosome:Graimondii2_0_v6:1:22645380:22648650:-1 gene:B456_001G158000 transcript:KJB09705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVHFQPAPPPPWFPMLPPEPPNSSTFWETRNVRDRLRELQDTLNLANAVQKELEILTMIKDGSMDPSVSEFLKYLEDRRIDLETQELLSVEAANALMSKLRAQLEPFRYVADEGIPWEEKSAVARLTNKIKKSKRNNLWRKRKRKRIAELLAKVVFLGFSLSMNNLIKLTEKLMSGELGRLPRTLRAARYLLCSRRVEKMKEIAKLKAKEEKKRLESELELVLMVEKLQELRSMRIQKLKKQGHFLPEEDDKFLEKVRAAVEEEERQALAAADTDAAKDAIATAEETRKATQNQRPLSNDPMTDQHENKERKDQITPNEDKKGSGTVTDMESGKNKLEGHGYTRAYDPLTNLPIEFYHYYHGSNSDMGTLIEVRRTWDAYIRPGGR >KJB09704 pep chromosome:Graimondii2_0_v6:1:22645060:22648731:-1 gene:B456_001G158000 transcript:KJB09704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVHFQPAPPPPWFPMLPPEPPNSSTFWETRNVRDRLRELQDTLNLANAVQKELEILTMIKDGSMDPSVSEFLKYLEDRRIDLETQELLSVEAANALMSKLRAQLEPFRYVADEGIPWEEKSAVARLTNKIKKSKRNNLWRKRKRKRIAELLAKEHEQFDQADREADEWRAREIAKDIASRKVEKMKEIAKLKAKEEKKRLESELELVLMVEKLQELRSMRIQKLKKQGHFLPEEDDKFLEKVRAAVEEEERQALAAADTDAAKDAIATAEETRKATQNQRPLSNDPMTDQHENKERKDQITPNEDKKGSGTVTDMESGKNKLEGHGYTRAYDPLTNLPIEFYHYYHGSNSDMGTLIEVRRTWDAYIRPGGSRIPGHWVQPPPPADDIWASYLVRPK >KJB09706 pep chromosome:Graimondii2_0_v6:1:22645380:22648650:-1 gene:B456_001G158000 transcript:KJB09706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNFLLTVSKIDWFLRQKELEILTMIKDGSMDPSVSEFLKYLEDRRIDLETQELLSVEAANALMSKLRAQLEPFRYVADEGIPWEEKSAVARLTNKIKKSKRNNLWRKRKRKRIAELLAKEHEQFDQADREADEWRAREIAKDIASRKVEKMKEIAKLKAKEEKKRLESELELVLMVEKLQELRSMRIQKLKKQGHFLPEEDDKFLEKVRAAVEEEERQALAAADTDAAKDAIATAEETRKATQNQRPLSNDPMTDQHENKERKDQITPNEDKKGSGTVTDMESGKNKLEGHGYTRAYDPLTNLPIEFYHYYHGSNSDMGTLIEVRRTWDAYIRPGGR >KJB07210 pep chromosome:Graimondii2_0_v6:1:1531087:1532217:-1 gene:B456_001G016700 transcript:KJB07210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKTGRPMIGNLSELLVPTHKSGLLDPSRSPKSPLDLFKTPSSSSRRGSMKRCYDVFGDGVGLGIIALMEKSTVDHHPSCKLQHTICRFKGRFQENSEEDYTFVTRHGESSTKVYFNGGEEEEEQRLVGKIKEITSLKPRFVQDFNYPTSDFLSSCHLCKKKLHGKDIYMYRGKGFL >KJB07208 pep chromosome:Graimondii2_0_v6:1:1531048:1532273:-1 gene:B456_001G016700 transcript:KJB07208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKTGRPMIGNLSELLVPTHKSGLLDPSRSPKSPLDLFKTPSSSSRRGSMKRCYDVFGDGVGLGIIALMEKSTVDHHPSCKLQHTICRFKGRFQENSEEDYTFVTRHGESSTKVYFNGGEEEEEQRLVGKIKEITSLKPRFVQDFNYPTSDFLSSCHLCKKKLHGKDIYMYRGEKAFCSAECRSSQIMMDERKEQCKSKASKSGKNQSLGYDKTEQIFSTGILAI >KJB07209 pep chromosome:Graimondii2_0_v6:1:1531087:1532217:-1 gene:B456_001G016700 transcript:KJB07209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKTGRPMIGNLSELLVPTHKSGLLDPSRSPKSPLDLFKTPSSSSRRGSMKRCYDVFGDGVGLGIIALMEKSTVDHHPSCKLQHTICRFKGRFQENSEEDYTFVTRHGESSTKVYFNGGEEEEEQRLVGKIKEITSLKPRFVQDFNYPTSDFLSSCHL >KJB10569 pep chromosome:Graimondii2_0_v6:1:40985838:40987418:-1 gene:B456_001G208000 transcript:KJB10569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEPATAIEAAVAASPLHQLKDELDIVIPTIRNLDFLEMWRPFLQPYHLIIVQDGDPSKTIKVPNGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDNCFVAKDPSGKAINALEQHIKNLLSPSTPFFFNTLYDPFAEGADFVRGYPFSLREGVSTAVSHGLWLNIPDYDAPTQLVKPLERNTRFVDAVLTIPKGTLFPMCGMNLAFDRQLIGPAMYFGLMGEGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIYHSKASNPFVNLRKEYKGIYWQEDIIPFFQQVVLPKDCTTVQKCYIELAKQVKEKLGKIDPYFDKLADAMVTWIQAWDGLNPTSASFSNGKTG >KJB11159 pep chromosome:Graimondii2_0_v6:1:48412002:48413850:1 gene:B456_001G243500 transcript:KJB11159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIESSVRSIDGFVTGITFSADSVKKKIILKPKDRNAPHVSEGESKQQCASSSVANSPGSTASRQLQQQEAGGKLIRSILSSNEMGQNYLDNVKRPLRPTNLRLGLNGRVSNDIAALKCDFDTKSVSNDKFIKKALHGSGSGSEKHEKRTRNKDRPDHGVWSPLHCFDVPK >KJB10106 pep chromosome:Graimondii2_0_v6:1:29233447:29237351:-1 gene:B456_001G184400 transcript:KJB10106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMPRQSKNYKQQAMPRQSLTTHLHYYRLLLLSLFFTLLPNAVFAANSEAIALLSWLHSTPSPPSPLSNWHPSDPNPCTWSYITCSSENFVIEINIQFVQLALPFPSSLSSLPSLQKLVISGANLTGTIPPDIGDCLQLTVIDVSANSLVGSIPSSIGKLHNLQDLILNSNQLTGEIPAAIGECSSLKNLLIFDNYLSGNLPVELGKLSNLEVIRAGGNKDIAGRIPEEIGDCQNLKVLGLADTKISGSIPVSLGKLTKLQTLSVYTTMLSGEVPPHIGNCSELVDLYLYENDLFGSLPPELGKLQKLEKLLLWQNNFEGSIPEEIGNCKSLMTIDLSLNYFSGSIPHSFGNLSNLQELMLSNNNITGTIPPILSNATSLVQLQLDTNQISGSIPAELGTLTKLTVFFAWQNKLEGSIPAALASWRSLEALDLSHNALTGSLPSGLFQLQNLTKLLLISNDISGTIPPEIGNCSSLIRVRLVNNRISGTIPKEIGLLDNLSFLDLSENHLGGSVPDEIGNCTQLQMLNLSNNTIEGSFPSSLSSLTRLQVLDVSVNQFKGQIPESFGQLTSLNRLILSRNSLSGAIPSSLGHCLNLQLLDLSSNALSGTIPEELFDIQALDIALNLSWNALSGVIPPQVSALNKLSILDLSHNKLEGDLVAISGLDNLVSLNISCNNFTGYLPDSKLFRQLSAAEMAGNQGLCSKGHDSCFLSSASATGMQSDSGFRRSQKLKIAIALLTTLAIALAIFGAFAVFRARKMIGDDNDSEMGGDSWPWQFTPFQKLNFSVDQVLKCLVEGNVIGKGCSGIVYRAELENGETIAVKKLWPTTMAAGYDCHSDKVGIGGVRDSFSAEVKTLGSIRHKNIVRFLGCCWNRSTRLLMYDYMENGSLGSLLHERNGSCLEWDLRYRIILGAAQGLAYLHHDCVPPIVHRDIKANNILIGPQFESYIADFGLAKLVDNGDFARSSSTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHIVDWVRQKRGGVGVLDPSLQARPESEIEEMLQTIGVALLCVNPSPDDRPTMKDVAAMLKEIKQDREECMKLSMVPDGSSDSDHNHQGNSNSPSSMIQNSYPQSSSTSFSASSLLYSSTSNAKLAFK >KJB10215 pep chromosome:Graimondii2_0_v6:1:31145469:31148389:-1 gene:B456_001G189900 transcript:KJB10215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTAQSWFLGGPSSGGLDKQKSASLLADWNAYASSQDPDASAIGFDIESAMRTTGDKVSGTFNVVSKGVRGLPGSFQSASRNVPSAKSLMYFGVLLASGVFFIFIAFTMFLPVIVLVPQKFGICFTIGCALIVGSFFALKGPRYQLVHMSSRERLPFTLGFVGSMVGTIYVSMWLHSYVLSVLFSLLQVVSLSYYAISYFPGGSSGLKFISSTIASSVLRCFGR >KJB10217 pep chromosome:Graimondii2_0_v6:1:31145480:31148142:-1 gene:B456_001G189900 transcript:KJB10217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFGVLLASGVFFIFIAFTMFLPVIVLVPQKFGICFTIGCALIVGSFFALKGPRYQLVHMSSRERLPFTLGFVGSMVGTIYVSMWLHSYVLSVLFSLLQVVSLSYYAISYFPGGSSGLKFISSTIASSVLRCFGR >KJB10216 pep chromosome:Graimondii2_0_v6:1:31145480:31147903:-1 gene:B456_001G189900 transcript:KJB10216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISCHSLRVSKGVRGLPGSFQSASRNVPSAKSLMYFGVLLASGVFFIFIAFTMFLPVIVLVPQKFGICFTIGCALIVGSFFALKGPRYQLVHMSSRERLPFTLGFVGSMVGTIYVSMWLHSYVLSVLFSLLQVVSLSYYAISYFPGGSSGLKFISSTIASSVLRCFGR >KJB10219 pep chromosome:Graimondii2_0_v6:1:31145480:31148389:-1 gene:B456_001G189900 transcript:KJB10219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTAQSWFLGGPSSGGLDKQKSASLLADWNAYASSQDPDASAIGFDIESAMRTTGDKVSGTFNVVSKGVRGLPGSFQSASRNVPSAKSLMYFGVLLASGVFFIFIAFTMFLPVIVLVPQKFGICFTIGCALIVGSFFALKGPRYQLVHMSSRERLPFTLGFVGSMVGTIYVSMWLHSYVLSVLFSLLQEDLLA >KJB10218 pep chromosome:Graimondii2_0_v6:1:31145652:31147422:-1 gene:B456_001G189900 transcript:KJB10218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISCHSLRVSKGVRGLPGSFQSASRNVPSAKSLMYFGVLLASGVFFIFIAFTMFLPVIVLVPQKFGICFTIGCALIVGSFFALKGPRYQLVHMSSRERLPFTLGFVGSMVGTIYVSMWLHSYVLSVLFSLLQVVSLSYYAISYFPGGSSGLKFISSTIASSVLRCFGR >KJB10231 pep chromosome:Graimondii2_0_v6:1:31615281:31615899:1 gene:B456_001G190800 transcript:KJB10231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTGSDNDGASPGKIFIGGLAKDTTLETFTKYFEKYGEITNFVIMKDWHTGRPRGFGFLTFADPSVVDTVMQEDHVINGKQVSLSFDHFVF >KJB10315 pep chromosome:Graimondii2_0_v6:1:33630351:33634719:-1 gene:B456_001G195600 transcript:KJB10315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQEEKSCPYYMRTGSCKFGVACKFHHPQPASPGAGLPANAVGSSILPPSGVPYVGGLPSWSLPRPPHVSGPRVQTQSYMPVVVSPSQNTIPAHGWSTYMGNMSPVSSAGILGSNFAYNLMNPAESGSSEQMLLSSTSASDFPERPDQPKCRYYMNTGTCKYGSDCKYHHPKERIANSAVNSIGPLGLPSRPGQAICSSYAMYGLCKYGPTCRFDHPYMGYPYNYGLSVPLSVLDTSLLPYQRMSPTAHLSEAPLPSKLSDLARNTDSLSKKHQNSEIKNSDDPPPQQVTSPHSLQGSSKTSHDD >KJB10318 pep chromosome:Graimondii2_0_v6:1:33632383:33634719:-1 gene:B456_001G195600 transcript:KJB10318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNRQVQNNAVSNETADKIEEAIMRLKINDNNQEVGVSRSASYPDRPGEPDCSYFLRTGSCGYGSNCRFNHPVYDAQNGQYREELPERIGQPDCGYFLKTGTCKYGSTCKYHHPKDRNGAGPVTFNDLGLPMRQEEKSCPYYMRTGSCKFGVACKFHHPQPASPGAGLPANAVGSSILPPSGVPYVGGLPSWSLPRPPHVSGPRVQTQSYMPVVVSPSQNTIPAHGWSTYMVSEFFLCSVMPI >KJB10317 pep chromosome:Graimondii2_0_v6:1:33631180:33634401:-1 gene:B456_001G195600 transcript:KJB10317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNRQVQNNAVSNETADKIEEAIMRLKINDNNQEVGVSRSASYPDRPGEPDCSYFLRTGSCGYGSNCRFNHPVYDAQNGQYREELPERIGQPDCGYFLKTGTCKYGSTCKYHHPKDRNGAGPVTFNDLGLPMRQEEKSCPYYMRTGSCKFGVACKFHHPQPASPGAGLPANAVGSSILPPSGVPYVGGLPSWSLPRPPHVSGPRVQTQSYMPVVVSPSQNTIPAHGWSTYMGNMSPVSSAGILGSNFAYNLMNPAESGSSEQMLLSSTSASDFPERPDQPKCRYYMNTGTCKYGSDCKYHHPKERIANSAVNSIGPLGLPSRPVSFPLVFTLSGI >KJB10314 pep chromosome:Graimondii2_0_v6:1:33631180:33634401:-1 gene:B456_001G195600 transcript:KJB10314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNRQVQNNAVSNETADKIEEAIMRLKINDNNQEVGVSRSASYPDRPGEPDCSYFLRTGSCGYGSNCRFNHPVYDAQNGQYREELPERIGQPDCGYFLKTGTCKYGSTCKYHHPKDRNGAGPVTFNDLGLPMRQEEKSCPYYMRTGSCKFGVACKFHHPQPASPGAGLPANAVGSSILPPSGVPYVGGLPSWSLPRPPHVSGPRVQTQSYMPVVVSPSQNTIPAHGWSTYMGNMSPVSSAGILGSNFAYNLMNPAESGSSEQMLLSSTSASDFPERPDQPKCRYYMNTGTCKYGSDCKYHHPKERIANSAVNSIGPLGLPSRPVSFPLVFTLSGI >KJB10316 pep chromosome:Graimondii2_0_v6:1:33630351:33634719:-1 gene:B456_001G195600 transcript:KJB10316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNRQVQNNAVSNETADKIEAIMRLKINDNNQEVGVSRSASYPDRPGEPDCSYFLRTGSCGYGSNCRFNHPVYDAQNGQYREELPERIGQPDCGYFLKTGTCKYGSTCKYHHPKDRNGAGPVTFNDLGLPMRQEEKSCPYYMRTGSCKFGVACKFHHPQPASPGAGLPANAVGSSILPPSGVPYVGGLPSWSLPRPPHVSGPRVQTQSYMPVVVSPSQNTIPAHGWSTYMGNMSPVSSAGILGSNFAYNLMNPAESGSSEQMLLSSTSASDFPERPDQPKCRYYMNTGTCKYGSDCKYHHPKERIANSAVNSIGPLGLPSRPGQAICSSYAMYGLCKYGPTCRFDHPYMGYPYNYGLSVPLSVLDTSLLPYQRMSPTAHLSEAPLPSKLSDLARNTDSLSKKHQNSEIKNSDDPPPQQVTSPHSLQGSSKTSHDD >KJB10313 pep chromosome:Graimondii2_0_v6:1:33630303:33634767:-1 gene:B456_001G195600 transcript:KJB10313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNRQVQNNAVSNETADKIEEAIMRLKINDNNQEVGVSRSASYPDRPGEPDCSYFLRTGSCGYGSNCRFNHPVYDAQNGQYREELPERIGQPDCGYFLKTGTCKYGSTCKYHHPKDRNGAGPVTFNDLGLPMRQEEKSCPYYMRTGSCKFGVACKFHHPQPASPGAGLPANAVGSSILPPSGVPYVGGLPSWSLPRPPHVSGPRVQTQSYMPVVVSPSQNTIPAHGWSTYMGNMSPVSSAGILGSNFAYNLMNPAESGSSEQMLLSSTSASDFPERPDQPKCRYYMNTGTCKYGSDCKYHHPKERIANSAVNSIGPLGLPSRPGQAICSSYAMYGLCKYGPTCRFDHPYMGYPYNYGLSVPLSVLDTSLLPYQRMSPTAHLSEAPLPSKLSDLARNTDSLSKKHQNSEIKNSDDPPPQQVTSPHSLQGSSKTSHDD >KJB11132 pep chromosome:Graimondii2_0_v6:1:48316098:48323626:1 gene:B456_001G242400 transcript:KJB11132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISYWIFVFSILLFSLVSGSSSIDNFHQPFPIVEPDPGHTKLRLSREGLEAISRITTPISAVAVIGPYRSGKSFLLNQLLSLSCDEGFGVGHMRDTKTKGIWVWGTPLEVDIDGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDIAFEPAKLLWLIQRDFLQGKSVKEMVDEALRHVPNSDGDKNIDQVNQIRDSLAVMGDNSTAFSLPQPHLMRTKLCDLKDDDLDPMYVKKRGQLKELVASIIRPKIVQGKYLNGKEFVSFLEQILDALNKGEIPSTGSLVEVFNKGILERCLKLYSERMGKLRLPMPEQSLQDAHERSREEAMKAFEEQHFGRHHAKKSADQLDEEMKEVFKNVIMTNEYQSTRLCEALYTKCEDRMDQLQVLRLPTMAKFDAGLQQCNQSFEQECVGPSKANYEQRIMKMLGKSRSLFIKEYNQRLFNWLVAFSLVMVVIGRFIIKFILVEMAAWILFIFLETYTRMFWSAESLYYNPVWHFIVSAWETIVYSPILDLDRWAIPIACMAALGILYCRCYGRRKHGSQWLLPLYNHQKGGSNRPRSD >KJB11134 pep chromosome:Graimondii2_0_v6:1:48316157:48323626:1 gene:B456_001G242400 transcript:KJB11134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISYWIFVFSILLFSLVSGSSSIDNFHQPFPIVEPDPGHTKLRLSREGLEAISRITTPISAVAVIGPYRSGKSFLLNQLLSLSCDEGFGVGHMRDTKTKGIWVWGTPLEVDIDGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETIREADISRLSFAVELAEEFYGRFGQDIAFEPAKLLWLIQRDFLQGKSVKEMVDEALRHVPNSDGDKNIDQVNQIRDSLAVMGDNSTAFSLPQPHLMRTKLCDLKDDDLDPMYVKKRGQLKELVASIIRPKIVQGKYLNGKEFVSFLEQILDALNKGEIPSTGSLVEVFNKGILERCLKLYSERMGKLRLPMPEQSLQDAHERSREEAMKAFEEQHFGRHHAKKSADQLDEEMKEVFKNVIMTNEYQSTRLCEALYTKCEDRMDQLQVLRLPTMAKFDAGLQQCNQSFEQECVGPSKANYEQRIMKMLGKSRSLFIKEYNQRLFNWLVAFSLVMVVIGRFIIKFILVEMAAWILFIFLETYTRMFWSAESLYYNPVWHFIVSAWETIVYSPILDLDRWAIPIACMAALGILYCRCYGRRKHGSQWLLPLYNHQKGGSNRPRSD >KJB11135 pep chromosome:Graimondii2_0_v6:1:48316157:48323626:1 gene:B456_001G242400 transcript:KJB11135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISYWIFVFSILLFSLVSGSSSIDNFHQPFPIVEPDPGHTKLRLSREGLEAISRITTPISAVAVIGPYRSGKSFLLNQLLSLSCDEGFGVGHMRDTKTKGIWVWGTPLEVDIDGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETIREADISRLSFAVELAEEFYGRFGQDIAFEPAKLLWLIQRDFLQGKSVKEMVDEALRHVPNSDGDKNIDQVNQIRDSLAVMGDNSTAFSLPQPHLMRTKLCDLKDDDLDPMYVKKRGQLKELVASIIRPKIVQGKYLNGKEFVSFLEQILDALNKGEIPSTGSLVEVFNKGILERCLKLYSERMGKLRLPMPEQSLQDAHERSREEAMKAFEEQHFGRHHAKKSADQLDEEMKEVFKNVIMTNEYQSTRLCEALYTKCEDRMDQLQVLRLPTMAKFDAGLQQCNQSFEQECVGPSKANYEQRIMKMLGKSRSLFIKEYNQRLFNWLVAFSLVMVVIGRFIIKFILVEMAAWILFIFLETYTRMFWSAESLYYNPVWHFIVSAWETIVYSPILDLDRWAIPIACMAALGILYCRCYGRRKHGSQWLLPLYNHQKGGSNRPRSD >KJB11133 pep chromosome:Graimondii2_0_v6:1:48316157:48322995:1 gene:B456_001G242400 transcript:KJB11133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISYWIFVFSILLFSLVSGSSSIDNFHQPFPIVEPDPGHTKLRLSREGLEAISRITTPISAVAVIGPYRSGKSFLLNQLLSLSCDEGFGVGHMRDTKTKGIWVWGTPLEVDIDGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETIREADISRLSFAVELAEEFYGRFGQDIAFEPAKLLWLIQRDFLQGKSVKEMVDEALRHVPNSDGDKNIDQVNQIRDSLAVMGDNSTAFSLPQPHLMRTKLCDLKDDDLDPMYVKKRGQLKELVASIIRPKIVQGKYLNGKEFVSFLEQILDALNKGEIPSTGSLVEVFNKGILERCLKLYSERMGKLRLPMPEQSLQDAHERSREEAMKAFEEQHFGRHHAKKSADQLDEEMKEVFKNVIMTNEYQSTRLCEALYTKCEDRMDQLQVLRLPTMAKFDAGLQQCNQSFEQECVGPSKANYEQRIMKMLGKSRSLFIKEYNQRLFNWLVAFSLVMVVIGRFIIKFILVEMAAWILFIFLETYTRMFWSAESLYYNPVWHFIVSAWETIVYSPILDLDR >KJB10435 pep chromosome:Graimondii2_0_v6:1:38986617:38991568:1 gene:B456_001G202100 transcript:KJB10435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLKASSNVFLSEKLPFLSRSKTRQGVVPKKVTFRSAPVALSLSTSTGTVEHDPISNSPDIAIPIMVNGCTGKMGKSVIQAADSAGLHVVPVSFDAEKNSGQTVELCGKKILVHGPSDRERILASVFQEYPNLIVVDYTVPAAVNDNAELYGKVGVPFVMGTTGGDRDQLYKTVEESKVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVMESHQAGKLDTSGTAKAIISCFQKLGVSFDMDQIQMIRDPKQQVEMVGVPEEHLSGHAFHLYHLTSPDQTVSFEFQHNVCGRSIYAEGTVDAVLFLAKKVQLFIFLLPV >KJB10436 pep chromosome:Graimondii2_0_v6:1:38987402:38991568:1 gene:B456_001G202100 transcript:KJB10436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFDSVYLPNQLWSACSKMASLLKASSNVFLSEKLPFLSRSKTRQGVVPKKVTFRSAPVALSLSTSTGTVEHDPISNSPDIAIPIMVNGCTGKMGKSVIQAADSAGLHVVPVSFDAEKNSGQTVELCGKKILVHGPSDRERILASVFQEYPNLIVVDYTVPAAVNDNAELYGKVGVPFVMGTTGGDRDQLYKTVEESKVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVMESHQAGKLDTSGTAKAIISCFQKLGVSFDMDQIQMIRDPKQQVEMVGVPEEHLSGHAFHLYHLTSPDQTVSFEFQHNVCGRSIYAEGTVDAVLFLAKKVKLKADKRIYNMIDVLREGNMR >KJB10434 pep chromosome:Graimondii2_0_v6:1:38986570:38991568:1 gene:B456_001G202100 transcript:KJB10434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLKASSNVFLSEKLPFLSRSKTRQGVVPKKVTFRSAPVALSLSTSTGTVEHDPISNSPDIAIPIMVNGCTGKMGKSVIQAADSAGLHVVPVSFDAEKNSGQTVELCGKKILVHGPSDRERILASVFQEYPNLIVVDYTVPAAVNDNAELYGKVGVPFVMGTTGGDRDQLYKTVEESKVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVMESHQAGKLDTSGTAKAIISCFQKLGVSFDMDQIQMIRDPKQQVEMVGVPEEHLSGHAFHLYHLTSPDQTVSFEFQHNVCGRSIYAEGTVDAVLFLAKKVKLKADKRIYNMIDVLREGNMR >KJB10437 pep chromosome:Graimondii2_0_v6:1:38988597:38991568:1 gene:B456_001G202100 transcript:KJB10437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSVIQAADSAGLHVVPVSFDAEKNSGQTVELCGKKILVHGPSDRERILASVFQEYPNLIVVDYTVPAAVNDNAELYGKVGVPFVMGTTGGDRDQLYKTVEESKVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVMESHQAGKLDTSGTAKAIISCFQKLGVSFDMDQIQMIRDPKQQVEMVGVPEEHLSGHAFHLYHLTSPDQTVSFEFQHNVCGRSIYAEGTVDAVLFLAKKVKLKADKRIYNMIDVLREGNMR >KJB11396 pep chromosome:Graimondii2_0_v6:1:52998238:53001576:-1 gene:B456_001G256400 transcript:KJB11396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSASVSLVRTPTHLPCFRSNHGKVQSLNRYSKTKTTHLSINSSLRMCSIAASDSPLSTSMYELSSKKVWIWTENSQVMTAAVERGWDTFIFSSQNQGLANEWSKIALIDPLFIKEGEIFDNAGERVATIFQVSTPSELKKLHPEAHHVGNVVIDLLDWQVIPAENIVAEFQGSKTTVFAVSKSPAEAQLFLEALEHGLGGVVLKVEDVKAVLDLKEYFNRRNEVHNRLSLTKATITQVHAVGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESSYIASRPFRVNAGPVHAYVATPGGKTSYLSELKAGKEVMVVDQTGKMRTAIVGRVKIETRPLILVEAKTAETVALVCPHEGNRTQKTVIPVTSLKAGDEVLLRLQGGARHTGIEIKEFIVEN >KJB11394 pep chromosome:Graimondii2_0_v6:1:52998233:53001722:-1 gene:B456_001G256400 transcript:KJB11394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSASVSLVRTPTHLPCFRSNHGKVQSLNRYSKTKTTHLSINSSLRMCSIAASDSPLSTSMYELSSKKVWIWTENSQVMTAAVERGWDTFIFSSQNQGLANEWSKIALIDPLFIKEGEIFDNAGERVATIFQVSTPSELKKLHPEAHHVGNVVIDLLDWQVIPAENIVAEFQGSKTTVFAVSKSPAEAQLFLEALEHGLGGVVLKVEDVKAVLDLKEYFNRRNEVHNRLSLTKATITQVHAVGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESSYIASRPFRVNAGPVHAYVATPGGKTSYLSELKAGKEVMVVDQTGKMRTAIVGRVKIETRPLILVEAKIDANDQTVYSILLQTAETVALVCPHEGNRTQKTVIPVTSLKAGDEVLLRLQGGARHTGIEIKEFIVEN >KJB11397 pep chromosome:Graimondii2_0_v6:1:52998646:53001555:-1 gene:B456_001G256400 transcript:KJB11397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSASVSLVRTPTHLPCFRSNHGKVQSLNRYSKTKTTHLSINSSLRMCSIAASDSPLSTSMYELSSKKVWIWTENSQVMTAAVERGWDTFIFSSQNQGLANEWSKIALIDPLFIKEGEIFDNAGERVATIFQVSTPSELKKLHPEAHHVGNVVIDLLDWQVIPAENIVAEFQGSKTTVFAVSKSPAEAQLFLEALEHGLGGVVLKVEDVKAVLDLKEYFNRRNEVHNRLSLTKATITQVHAVGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESSYIASRPFRVNAGPVHAYVATPGGKTSYLSELKAGKEVMVVDQTGKMRTAIVGRVKIETRPLILVEAKVCLSHTLSTFGFPTIRMFISNSIFSTRDATLLLQIDANDQTVYSILLQTAETVALVCPHEGNRTQKTVIPVTSLKAGDEVLLRLQGGARHTGIEIKEFIVEN >KJB11395 pep chromosome:Graimondii2_0_v6:1:52998238:53001576:-1 gene:B456_001G256400 transcript:KJB11395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSASVSLVRTPTHLPCFRSNHGKVQSLNRYSKTKTTHLSINSSLRMCSIAASDSPLSTSMYELSSKKVWIWTENSQVMTAAVERGWDTFIFSSQNQGLANEWSKIALIDPLFIKEGEIFDNAGERVATIFQVSTPSELKKLHPEAHHVGNVVIDLLDWQVIPAENIVAEFQGSKTTVFAVSKSPAEAQLFLEALEHGLGGVVLKVEDVKAVLDLKEYFNRRNEVHNRLSLTKATITQVHAVGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESSYIASRPFRVNAVSFAFTLGYNCKTDLFSLRYKFQKCHGRKQPIIYQSNSLSFHLFF >KJB11398 pep chromosome:Graimondii2_0_v6:1:52998238:53001629:-1 gene:B456_001G256400 transcript:KJB11398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSASVSLVRTPTHLPCFRSNHGKVQSLNRYSKTKTTHLSINSSLRMCSIAASDSPLSTSMYELSSKKVWIWTENSQVMTAAVERGWDTFIFSSQNQGLANEWSKIALIDPLFIKEGEIFDNAGERVATIFQVSTPSELKKLHPEAHHVGNVVIDLLDWQVIPAENIVAEFQGSKTTVFAVSKSPAEAQLFLEALEHGLGGVVLKVEDVKAVLDLKVHAVGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESSYIASRPFRVNAGPVHAYVATPGGKTSYLSELKAGKEVMVVDQTGKMRTAIVGRVKIETRPLILVEAKIDANDQTVYSILLQTAETVALVCPHEGNRTQKTVIPVTSLKAGDEVLLRLQGGARHTGIEIKEFIVEN >KJB09570 pep chromosome:Graimondii2_0_v6:1:20598690:20600698:1 gene:B456_001G150100 transcript:KJB09570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEYERTATIPGQRTYILRKLKRKYGKVEVSCIESGQSNQYLLPNLGNYIANNGIQAQAARCHTYELDPNQILAELKVINGHEGFEYQSTESWNSHLVGDFPKNQRSHLPFNSGNHIAGKATPNLLMRYLKINQAPMKKAYNFGTWLLLMKETIKVNWLLRMMDRECLLIPKLVLQRIQSE >KJB09571 pep chromosome:Graimondii2_0_v6:1:20598690:20600698:1 gene:B456_001G150100 transcript:KJB09571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEYERTATIPGQRTYILRKLKRKYGKVEVSCIESGQSNQYLLPNLGNYIANNGIQAQAARCHTYELDPNQILAELKVINGHEGFEYQSTESWNSHLVGDFPKNQRSHLPFNSGNHIAGKATPNLLMRYLKINQAPMKKAYNFGTWLLLMKETIKVNWLLRMMDRECLLIPKLVLQRIQSE >KJB10824 pep chromosome:Graimondii2_0_v6:1:46271465:46275785:1 gene:B456_001G227000 transcript:KJB10824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKQSVATLIDSTTTKIQQLQKAFAELESHRAVTLNLKWKELEEHFHGLEKSLKRRFHELEDQEKEFETKTRKSREMLKKREAAVVAKEQASLVRLQEKRDAAVFAISNALEKHRKVSPEEPADSCDGDSGELSVEEKPPDSVASESNSEDIKCSSENGNFGVKSYPQLVKICEEMDSEGLHKFISDNRKNLAALKEEIPWALKAAASPAYLVLESLKGFYLLEAPNVDRKKDSNLLGLRRTCIMLMECLSFLLRNMDMVSVSALISEDVRGQAKLIAEEWKPKLDALDMDASNGNSLEAHAFLQLLATFGIASDFNEEELSRLIPMVSRRRQTADLCRSLGLSEKMPGVIEVLVNNGRQIDAVNLAFAFDLTVQFLPVPLLKAYLKEARKASSPVKPGNSSSTAQIEFSERELAALKAVIKCIEEHNIEEQYPIDPLQKRVLLLEKVKADKKGSTEAAKPQTKRARANGAGYGPRVTNVAADKPFYSRTTDRYPQYVYDRPYVYSGPADNHGPALLGSATYNFSPSGNYFGYQYQVPYLH >KJB10822 pep chromosome:Graimondii2_0_v6:1:46271456:46275778:1 gene:B456_001G227000 transcript:KJB10822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKQSVATLIDSTTTKIQQLQKAFAELESHRAVTLNLKWKELEEHFHGLEKSLKRRFHELEDQEKEFETKTRKSREMLKKREAAVVAKEQASLVRLQEKRDAAVFAISNALEKHRKVSPEEPADSCDGDSGELSVEEKPPDSVASESNSEDIKCSSENGNFGVKSYPQLVKICEEMDSEGLHKFISDNRKNLAALKEEIPWALKAAASPAYLVLESLKGFYLLEAPNVDRKKDSNLLGLRRTCIMLMECLSFLLRNMDMVSVSALISEDVRGQAKLIAEEWKPKLDALDMDASNGNSLEAHAFLQLLATFGIASDFNEEELSRLIPMVSRRRQTADLCRSLGLSEKMPGVIEVLVNNGRQIDAVNLAFAFDLTVQFLPVPLLKAYLKEARKASSPVKPGNSSSTAQIEFSERELAALKAVIKCIEEHNIEEQYPIDPLQKRVLLLEKVKADKKGSTEAAKPQTKRARANGAGYGPRVTNVAADKPFYSRTTDRYPQYVYDRPYVYSGPADNHGPALLGSATYNFSPSGNYFGYQYQVPYLH >KJB10825 pep chromosome:Graimondii2_0_v6:1:46272718:46275583:1 gene:B456_001G227000 transcript:KJB10825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFRFFVMEDKQSVATLIDSTTTKIQQLQKAFAELESHRAVTLNLKWKELEEHFHGLEKSLKRRFHELEDQEKEFETKTRKSREMLKKREAAVVAKEQASLVRLQEKRDAAVFAISNALEKHRKVSPEEPADSCDGDSGELSVEEKPPDSVASESNSEDIKCSSENGNFGVKSYPQLVKICEEMDSEGLHKFISDNRKNLAALKEEIPWALKAAASPAYLVLESLKGFYLLEAPNVDRKKDSNLLGLRRTCIMLMECLSFLLRNMDMVSVSALISEDVRGQAKLIAEEWKPKLDALDMDASNGNSLEAHAFLQLLATFGIASDFNEEELSRLIPMVSRRRQTADLCRSLGLSEKMPGVIEVLVNNGRQIDAVNLAFAFDLTVQFLPVPLLKAYLKEARKASSPVKPGNSSSTAQIEFSERELAALKAVIKCIEEHNIEEQYPIDPLQKRVLLLEKVKADKKGSTEAAKPQTKRARANGAGYGPRVTNVAADKPFYSRTTDRYPQYVYDRPYVYSGPADNHGPALLGSATYNFSPSGNYFGYQYQVPYLH >KJB10823 pep chromosome:Graimondii2_0_v6:1:46271465:46275594:1 gene:B456_001G227000 transcript:KJB10823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKQSVATLIDSTTTKIQQLQKAFAELESHRAVTLNLKWKELEEHFHGLEKSLKRRFHELEDQEKEFETKTRKSREMLKKREAAVVAKEQASLVRLQEKRDAAVFAISNALEKHRKVSPEEPADSCDGDSGELSVEEKPPDSVASESNSEDIKCSSENGNFGVKSYPQLVKICEEMDSEGLHKFISDNRKNLAALKEEIPWALKAAASPAYLVLESLKGFYLLEAPNVDRKKDSNLLGLRRTCIMLMECLSFLLRNMDMVSVSALISEDVRGQAKLIAEEWKPKLDALDMDASNGNSLEAHAFLQLLATFGIASDFNEEELSRLIPMVSRRRQTADLCRSLGLSEKMPGVIEVLVNNGRQIDAVNLAFAFDLTVQFLPVPLLKAYLKEARKASSPVKPGNSSSTAQIEFSERELAALKAVIKCIEEHNIEEQYPIDPLQKRVLLLEKVKADKKGSTEAAKPQTKRARANGAGYGPRVTNVAADKPFYSRTTDRYPQYVYDRPYVYSGPADNHGPALLGSATYNFSPSGNYFGYQYQVPYLH >KJB11545 pep chromosome:Graimondii2_0_v6:1:54065452:54072548:1 gene:B456_001G264400 transcript:KJB11545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKASSPKLGGLSPPAYVSGPEDKEISDEEDDDRNHKHRRHDTRSQSLETDFVDPVFTRPYKKHNKPFENGHPIRGNESRAGETWKNYNGLPLDKDLTSKFGRRRPGFRLNQTFSGDYGPGRARGRDNSSWKQCDPRFSSVDIASQMVQPGSVAPSLFAGRGLPNVSNAQSSSWTAFGLMPGIPNGGLDTLHPIGLQGALRPPMNSSLNMGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVTVSSAQLLSTPAVPGPLPSGVPPSASLMNSDGIYRKSSKPGMTGDALGSNGTYTVSTSASEADLYDPDQPLWNNNGSEATAALSGLHSPKVHKMEPLHDNEFPVRSAGSQGTNLSVWGRIASSRNRIDTKQETGLTPSDYLENETKGEQKEFPSLQGTSCQVKPISTEGDGTKVMDLSLKSQTDSRHNSRKPTQKAFRTLFVNGIPQKSNKREALLSHFRKFGQIIDIYIPSNSERAFVQFSRREEAEAALKSPDAVMGNRFIKLWWANRDSIPDDAVKSGSGIAVNPHGLTAPAFQVQPVATRGKDNLQPTAQKSNVIHDADLSPSLNSPRPVNLNGPKASPPLQKKLETLEQMKEELRKKQEMLEQKRNDFRRQLDKLQKQSSGAKGDLHTEQAAKRQKVGIAADPAKASTSSSSEPAAFVATPCTVVTDKNKSTENLALQESTSSKHHSHPSAVVGHPIMINKYKLDNRPTAFQVIPPLPAGFADVDVLKQHFSQYGDLVSVELQDVEKDDNTGSEASHNCSVLITYSTHHSAEKAYADGKCWKTHNLQLSWQTSSKDQHSRKETDVETNEKLTACCSTSGNCEHMECLVPEDSKHNPIPNV >KJB11544 pep chromosome:Graimondii2_0_v6:1:54065520:54072534:1 gene:B456_001G264400 transcript:KJB11544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKASSPKLGGLSPPAYVSGPEDKEISDEEDDDRNHKHRRHDTRSQSLETDFVDPVFTRPYKKHNKPFENGHPIRGNESRAGETWKNYNGLPLDKDLTSKFGRRRPGFRLNQTFSGDYGPGRARGRDNSSWKQCDPRFSSVDIASQMVQPGSVAPSLFAGRGLPNVSNAQSSSWTAFGLMPGIPNGGLDTLHPIGLQGALRPPMNSSLNMGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVTVSSAQLLSTPAVPGPLPSGVPPSASLMNSDGIYRKSSKPGMTGDALGSNGTYTVSTSASEADLYDPDQPLWNNNGSEATAALSGLHSPKVHKMEPLHDNEFPVRSAGSQGTNLSVWGRIASSRNRIDTKQETGLTPSDYLENETKGEQKEFPSLQGTSCQVKPISTEGDGTKVMDLSLKSQTDSRHNSRKPTQKAFRTLFVNGIPQKSNKREALLSHFRKFGQIIDIYIPSNSERAFVQFSRREEAEAALKSPDAVMGNRFIKLWWANRDSIPDDAVKSGSGIAVNPHGLTAPAFQVQPVATRGKDNLQPTAQKSNVIHDADLSPSLNSPRPVNLNGPKASPPLQKKLETLEQMKEELRKKQEMLEQKRNDFRRQLDKLQKQSSGAKGDLHTEQAAKRQKVGIAADPAKASTSSSSEPAAFVATPCTVVTDKNKSTENLALQESTSSKHHSHPSAVVGHPIMINKYKLDNRPTAFQVIPPLPAGFADVDVLKQHFSQYGDLVSVELQDVEKDDNTGSEASHNCSVLITYSTHHSAEKAYADGKCWKTHNLQLSWQTSSKDQHSRKETDVETNEKLTACCSTSGNCEHMECLVPEDSKHNPIPNV >KJB06139 pep chromosome:Graimondii2_0_v6:1:42857819:42859393:-1 gene:B456_001G2143001 transcript:KJB06139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAELVFIPFPAKGHLVSTVEVAKLLVDLNSNLSISVLIIERTVDDERTAYADSLTATSTTSRIKFIHLPQLDQDTDAANFIGSVVQTHEPLVREAVTKIVEHSNSVPGSPRLAGFVLDLFCTSFRDLANDFGVPSYLFCTSGAGFLGFLFFTQALHDEQNFEFVELTDSETEFTIPSYVNPVSTKLFPSVTFKPEGFGLFLSVAKQVREMKGIMVNTFLELESHAVDSLSNCKLPPVYPVGPILNTEGCSGVHQNYDSIMQWLDQQPRSSVVFLCFGSRGGFSANQVKEIACALEQSGLRFLWSLRRAPEQVNGKMGHPTDYENMAEVLPEGFLDRTAEIGKIIGWAPQLAILGHPATGGFVSHCGWNSTLESIWFGVPMATWPLYAEQQLNALQLVKELGLAVEIKMDYRIDGGGEVELVKAETIERGIRRLMEHDSDVRKRMKEMSDRSRKALMDGGSSLSTLCRFIDEVVDNMP >KJB10353 pep chromosome:Graimondii2_0_v6:1:34944142:34948040:1 gene:B456_001G197400 transcript:KJB10353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQTYADKSDGEDQSHQPSTSSPDSSPPRLIPSKSAAPKVDDTMLALTVAKAHQSHSKPIDPSQHVVAFNPTYDQLWAPIYGPAHPYAKDGIAQGMRNHKLGFVEDAAIESFVFDEQYNTFHKYGYAADPSGNNYIGDLDALQENDAISVYNIPQHEQKKRKIEKMKDVEMEDEVVGGEHEVDPTEIDNPASDVWLMKNKKSPWAGKREGVQTELTEEQKKYAEEYAKKKEEKGHSGEKGEHLVDKTTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLIHTWSGHTKGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGSKFLTAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDEDKQNILLAGMSDKKIVQWDINTGQTTQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPAISLHPNTNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYWD >KJB10350 pep chromosome:Graimondii2_0_v6:1:34942516:34947956:1 gene:B456_001G197400 transcript:KJB10350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMFFTFGWLVSNILIDSFHILVLLLPFPKFWKTTPVSKYPVPDPSPLLPSSFWQVRKLTKAFKKPSMDLLQTYADKSDGEDQSHQPSTSSPDSSPPRLIPSKSAAPKVDDTMLALTVAKAHQSHSKPIDPSQHVVAFNPTYDQLWAPIYGPAHPYAKDGIAQGMRNHKLGFVEDAAIESFVFDEQYNTFHKYGYAADPSGNNYIGDLDALQENDAISVYNIPQHEQKKRKIEKMKDVEMEDEVVGGEHEVDPTEIDNPASDVWLMKNKKSPWAGKREGVQTELTEEQKKYAEEYAKKKEEKGHSGEKGEHLVDKTTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLIHTWSGHTKGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGSKFLTAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDEDKQNILLAGMSDKKIVQWDINTGQTTQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPAISLHPNTNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYWD >KJB10352 pep chromosome:Graimondii2_0_v6:1:34944917:34947634:1 gene:B456_001G197400 transcript:KJB10352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQTYADKSDGEDQSHQPSTSSPDSSPPRLIPSKSAAPKVDDTMLALTVAKAHQSHSKPIDPSQHVVAFNPTYDQLWAPIYGPAHPYAKDGIAQGMRNHKLGFVEDAAIESFVFDEQYNTFHKYGYAADPSGNNYIGDLDALQENDAISVYNIPQHEQKKRKIEKMKDVEMEDEVVGGEHEVDPTEIDNPASDVWLMKNKKSPWAGKREGVQTELTEEQKKYAEEYAKKKEEKGHSGEKGEHLVDKTTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLIHTWSGHTKGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGSKFLTAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDEDKQNILLAGMSDKKIVQWDINTGQTTQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPAISLHPNTNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYWD >KJB10354 pep chromosome:Graimondii2_0_v6:1:34943195:34948040:1 gene:B456_001G197400 transcript:KJB10354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQTYADKSDGEDQSHQPSTSSPDSSPPRLIPSKSAAPKVDDTMLALTVAKAHQSHSKPIDPSQHVVAFNPTYDQLWAPIYGPAHPYAKDGIAQGMRNHKLGFVEDAAIESFVFDEQYNTFHKYGYAADPSGNNYIGDLDALQENDAISVYNIPQHEQKKRKIEKMKDVEMEDEVVGGEHEVDPTEIDNPASDVWLMKNKKSPWAGKREGVQTELTEEQKKYAEEYAKKKEEKGHSGEKGEHLVDKTTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLIHTWSGHTKGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGSKFLTAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDEDKQNILLAGMSDKKIVQWDINTGQTTQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPAISLHPNTNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYWD >KJB10355 pep chromosome:Graimondii2_0_v6:1:34943118:34947956:1 gene:B456_001G197400 transcript:KJB10355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQTYADKSDGEDQSHQPSTSSPDSSPPRLIPSKSAAPKVDDTMLALTVAKAHQSHSKPIDPSQHVVAFNPTYDQLWAPIYGPAHPYAKDGIAQGMRNHKLGFVEDAAIESFVFDEQYNTFHKYGYAADPSGNNYIGDLDALQENDAISVYNIPQHEQKKRKIEKMKDVEMEDEVVGGEHEVDPTEIDNPASDVWLMKNKKSPWAGKREGVQTELTEEQKKYAEEYAKKKEEKGHSGEKGEHLVDKTTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLIHTWSGHTKGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGSKFLTAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDEDKQNILLAGMSDKKIVQWDINTGQTTQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPAISLHPNTNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYCH >KJB10351 pep chromosome:Graimondii2_0_v6:1:34943118:34948040:1 gene:B456_001G197400 transcript:KJB10351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQTYADKSDGEDQSHQPSTSSPDSSPPRLIPSKSAAPKVDDTMLALTVAKAHQSHSKPIDPSQHVVAFNPTYDQLWAPIYGPAHPYAKDGIAQGMRNHKLGFVEDAAIESFVFDEQYNTFHKYGYAADPSGNNYIGDLDALQENDAISVYNIPQHEQKKRKIEKMKDVEMEDEVVGGEHEVDPTEIDNPASDVWLMKNKKSPWAGKREGVQTELTEEQKKYAEEYAKKKEEKGHSGEKGEHLVDKTTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLIHTWSGHTKGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGSKFLTAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDEDKQNILLAGMSDKKIVQWDINTGQTTQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPAISLHPNTNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYWD >KJB07688 pep chromosome:Graimondii2_0_v6:1:3501855:3503815:1 gene:B456_001G037700 transcript:KJB07688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSAWVDTTLDLNINPFHHRNKALRTKSEGEMADSDVKLPFKQENADLVEELNRIKAENKKLTEMLTALGHCYNDLQNKFTELVTINSENEVSTSKKRKAECEDYSTNMIGFSNGNKETSCSDEDSCKVPRECIKTTISRIYVRTNPSDNSLIVRDGYQWRKYGQKVTKDNPSPRAYFKCSFAPNCPVKKKVQRSAEDPSVLVATYEGEHNHAHPSPSEVAILSPKCSAKPVSCASTRASAPTTTLELMQPEGYGDGAKKKTQEVDDAPAAIQQVLVQHMAASLTRNPNFTAALAAAISGRVI >KJB07687 pep chromosome:Graimondii2_0_v6:1:3501855:3503815:1 gene:B456_001G037700 transcript:KJB07687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSAWVDTTLDLNINPFHHRNKALRTKSEGEMADSDVKLPFKQENADLVEELNRIKAENKKLTEMLTALGHCYNDLQNKFTELVTINSENEVSTSKKRKAECEDYSTNMIGFSNGNKETSCSDEDSCKVPRECIKTTISRIYVRTNPSDNSLIVRDGYQWRKYGQKVTKDNPSPRAYFKCSFAPNCPVKKKVQRSAEDPSVLVATYEGEHNHAHPSPSEVAILSPKCSAKPVSCASTRASAPTTTLELMQPEGYGDGAKKKTQEVDDAPAAIQQVLVQHMAASLTRNPNFTAALAAAISGRVI >KJB07689 pep chromosome:Graimondii2_0_v6:1:3501855:3503815:1 gene:B456_001G037700 transcript:KJB07689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSAWVDTTLDLNINPFHHRNKALRTKSEGEMADSDVKLPFKQEQNADLVEELNRIKAENKKLTEMLTALGHCYNDLQNKFTELVTINSENEVSTSKKRKAECEDYSTNMIGFSNGNKETSCSDEDSCKVPRECIKTTISRIYVRTNPSDNSLIVRDGYQWRKYGQKVTKDNPSPRAYFKCSFAPNCPVKKKVQRSAEDPSVLVATYEGEHNHAHPSPSEVAILSPKCSAKPVSCASTRASAPTTTLELMQPEGYGDGAKKKTQEVDDAPAAIQQVLVQHMAASLTRNPNFTAALAAAISGRVI >KJB07690 pep chromosome:Graimondii2_0_v6:1:3501855:3503815:1 gene:B456_001G037700 transcript:KJB07690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTALGHCYNDLQNKFTELVTINSENEVSTSKKRKAECEDYSTNMIGFSNGNKETSCSDEDSCKVPRECIKTTISRIYVRTNPSDNSLIVRDGYQWRKYGQKVTKDNPSPRAYFKCSFAPNCPVKKKVQRSAEDPSVLVATYEGEHNHAHPSPSEVAILSPKCSAKPVSCASTRASAPTTTLELMQPEGYGDGAKKKTQEVDDAPAAIQQVLVQHMAASLTRNPNFTAALAAAISGRVI >KJB10326 pep chromosome:Graimondii2_0_v6:1:34141576:34146355:-1 gene:B456_001G196200 transcript:KJB10326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHDDERSVFPLTSLQIGDLQSYLSDLSLFLAPESNKFYILVDNRPWLRDLGSRPAHLWQLMVTKSRLSPFANTKGRRARKDGKEASSKSNAKDAKKLERWFSLVDAAMLSKKRILLPVKTLKTSSLLSNELHRTLYGFIVFEVSWSNVRGLNYLNELQTDTSLAIEAKFMRRWEFDSIDQAAKCLSSWFSGTLLEQRHLKEYLNSTIGEVFYDAEKDFPRSIPFDDDENICGDSFSIEEDFPHDHCGNFRVYPGTVECDTSEPHTPPPTGPYKRRKVTRANGTGVEVDAYCEETRGQAESSLGNSNENAVEATEYRDVLILFRFDDRDLPFKLQQIIMPDLRLLHLLEAGLPSWVIFLQSYPGFCHIYRPWMCPLARALYVLISIITVLIGFYDLYKNVPVLKATASRLCGPLFDWIETWEMVSRIKYLGTMLFLHNFQKAVEWFLTATHATRSFFSVLYLPLAEPFMECWGFLLPIWNMFSELVECLFSVIWTVFGSLCSLMEDLIEVLLRPIWFIGLVLWNIVTSILYPIFWILWEILFAPIRLVLALSSIVAFVCGFISDLVGDIWRLSSSIIQLASASEATVSSTYEVSVWRSLWNDLFSQVFRAVRSILNGFVAFFTACNRHRLSIYNHVQDVIQRRFGGVPRSQTSDPRRSKSTCGNQCQVSNLSTCYCIKWKT >KJB10325 pep chromosome:Graimondii2_0_v6:1:34140858:34145959:-1 gene:B456_001G196200 transcript:KJB10325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYIKFYCLISDCRDLQSYLSDLSLFLAPESNKFYILVDNRPWLRDLGSRPAHLWQLMVTKSRLSPFANTKGRRARKDGKEASSKSNAKDAKKLERWFSLVDAAMLSKKRILLPVKTLKTSSLLSNELHRTLYGFIVFEVSWSNVRGLNYLNELQTDTSLAIEAKFMRRWEFDSIDQAAKCLSSWFSGTLLEQRHLKEYLNSTIGEVFYDAEKDFPRSIPFDDDENICGDSFSIEEDFPHDHCGNFRVYPGTVECDTSEPHTPPPTGPYKRRKVTRANGTGVEVDAYCEETRGQAESSLGNSNENAVEATEYRDVLILFRFDDRDLPFKLQQIIMPDLRLLHLLEAGLPSWVIFLQSYPGFCHIYRPWMCPLARALYVLISIITVLIGFYDLYKNVPVLKATASRLCGPLFDWIETWEMVSRIKYLGTMLFLHNFQKAVEWFLTATHATRSFFSVLYLPLAEPFMECWGFLLPIWNMFSELVECLFSVIWTVFGSLCSLMEDLIEVLLRPIWFIGLVLWNIVTSILYPIFWILWEILFAPIRLVLALSSIVAFVCGFISDLVGDIWRLSSSIIQLASASEATVSSTYEVSVWRSLWNDLFSQVFRAVRSILNGFVAFFTACNRHRLSIYNHVQDVIQRRFGGVPRSQTSDPRRSKSTCGNQCQSEVRRRVHSKNCSPATLSF >KJB10327 pep chromosome:Graimondii2_0_v6:1:34139417:34146430:-1 gene:B456_001G196200 transcript:KJB10327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHDDERSVFPLTSLQIGDLQSYLSDLSLFLAPESNKFYILVDNRPWLRDLGSRPAHLWQLMVTKSRLSPFANTKGRRARKDGKEASSKSNAKDAKKLERWFSLVDAAMLSKKRILLPVKTLKTSSLLSNELHRTLYGFIVFEVSWSNVRGLNYLNELQTDTSLAIEAKFMRRWEFDSIDQAAKCLSSWFSGTLLEQRHLKEYLNSTIGEVFYDAEKDFPRSIPFDDDENICGDSFSIEEDFPHDHCGNFRVYPGTVECDTSEPHTPPPTGPYKRRKVTRANGTGVEVDAYCEETRGQAESSLGNSNENAVEATEYRDVLILFRFDDRDLPFKLQQIIMPDLRLLHLLEAGLPSWVIFLQSYPGFCHIYRPWMCPLARALYVLISIITVLIGFYDLYKNVPVLKATASRLCGPLFDWIETWEMVSRIKYLGTMLFLHNFQKAVEWFLTATHATRSFFSVLYLPLAEPFMECWGFLLPIWNMFSELVECLFSVIWTVFGSLCSLMEDLIEVLLRPIWFIGLVLWNIVTSILYPIFWILWEILFAPIRLVLALSSIVAFVCGFISDLVGDIWRLSSSIIQLASASEATVSSTYEVSVWRSLWNDLFSQVFRAVRSILNGFVAFFTACNRHRLSIYNHVQDVIQRRFGGVPRSQTSDPRRSKSTCGNQCQSEVRRRVHSKNCSPATLSF >KJB10324 pep chromosome:Graimondii2_0_v6:1:34140858:34146729:-1 gene:B456_001G196200 transcript:KJB10324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHDDERSVFPLTSLQIGDLQSYLSDLSLFLAPESNKFYILVDNRPWLRDLGSRPAHLWQLMVTKSRLSPFANTKGRRARKDGKEASSKSNAKDAKKLERWFSLVDAAMLSKKRILLPVKTLKTSSLLSNELHRTLYGFIVFEVSWSNVRGLNYLNELQTDTSLAIEAKFMRRWEFDSIDQAAKCLSSWFSGTLLEQRHLKEYLNSTIGEVFYDAEKDFPRSIPFDDDENICGDSFSIEEDFPHDHCGNFRVYPGTVECDTSEPHTPPPTGPYKRRKVTRANGTGVEVDAYCEETRGQAESSLGNSNENAVEATEYRDVLILFRFDDRDLPFKLQQIIMPDLRLLHLLEAGLPSWVIFLQSYPGFCHIYRPWMCPLARALYVLISIITVLIGFYDLYKNVPVLKATASRLCGPLFDWIETWEMVSRIKYLGTMLFLHNFQKAVEWFLTATHATRSFFSVLYLPLAEPFMECWGFLLPIWNMFSELVECLFSVIWTVFGSLCSLMEDLIEVLLRPIWFIGLVLWNIVTSILYPIFWILWEILFAPIRLVLALSSIVAFVCGFISDLVGDIWRLSSSIIQLASASEATVSSTYEVSVWRSLWNDLFSQVFRAVRSILNGFVAFFTACNRHRLSIYNHVQDVIQRRFGGVPRSQTSDPRRSKSTCGNQCQSEVRRRVHSKNCSPATLSF >KJB11104 pep chromosome:Graimondii2_0_v6:1:48067267:48070217:-1 gene:B456_001G240900 transcript:KJB11104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCELSANLSKKCTAAGALAGEQKKQKLSDVRAGVEDAEALIRKMDLEARSLQPNVKAVLLAKLREYKSDLNNLKSEVKRIASGNLNAAARDELLESGMADTLTASADQRSRLMMTTERLNQSGDRIKDSRRTMLETEELGVSILQDLHSQRQALLHANNTLHGVDDNIGKSKKILTAISRRMSRNKWIIGTIIAVLIIAIALVLYFKLAK >KJB11106 pep chromosome:Graimondii2_0_v6:1:48067177:48069974:-1 gene:B456_001G240900 transcript:KJB11106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCELSANLSKKCTAAGALAGEQKKQKLSDVRAGVEDAEALIRKMDLEARSLQPNVKAVLLAKLREYKSDLNNLKSEVKRIASGNLNAAARDELLESGMADTLTASADQRSRLMMTTERLNQSGDRIKDSRRTMLETEELGVSILQDLHSQRQALLHANNTLHGVDDNIGKSKKILTAISRRMSRNKWIIGTIIAVLIIAIALVLYFKLAK >KJB11108 pep chromosome:Graimondii2_0_v6:1:48067841:48069886:-1 gene:B456_001G240900 transcript:KJB11108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCELSANLSKKCTAAGALAGEQKKQKLSDVRAGVEDAEALIRKMDLEARSLQPNVKAVLLAKLREYKSDLNNLKSEVKRIASGNLNAAARDELLESGMADTLTASADQRSRLMMTTERLNQSGDRIKDSRRTMLETEELGVSILQDLHSQRQALLHANNTVPPILYH >KJB11107 pep chromosome:Graimondii2_0_v6:1:48067267:48070096:-1 gene:B456_001G240900 transcript:KJB11107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCELSANLSKKCTAAGALAGEQKKQKLSDVRAGVEDAEALIRKMDLEARSLQPNVKAVLLAKLREYKSDLNNLKSEVKRIASGNLNAAARDELLESGMADTLTVSFADQRSRLMMTTERLNQSGDRIKDSRRTMLETEELGVSILQDLHSQRQALLHANNTLHGVDDNIGKSKKILTAISRRMSRNKWIIGTIIAVLIIAIALVLYFKLAK >KJB11105 pep chromosome:Graimondii2_0_v6:1:48067267:48070096:-1 gene:B456_001G240900 transcript:KJB11105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEARSLQPNVKAVLLAKLREYKSDLNNLKSEVKRIASGNLNAAARDELLESGMADTLTASADQRSRLMMTTERLNQSGDRIKDSRRTMLETEELGVSILQDLHSQRQALLHANNTLHGVDDNIGKSKKILTAISRRMSRNKWIIGTIIAVLIIAIALVLYFKLAK >KJB10693 pep chromosome:Graimondii2_0_v6:1:43340268:43344264:1 gene:B456_001G216700 transcript:KJB10693 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32980) UniProtKB/Swiss-Prot;Acc:O48767] MSMGTDTTWVGKKPLRRIGGMSDALSIAADLGFSVPPPPSQEEVQNLSSATGEKGDDLIKVLRELTTVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKCETLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTTAVTDFQWSQNFKEPPSVWGMLRPIPVALASCTRFFEAMSATRESFATLQSLRVGHSATPLPTTPAKDPSHRAIGGDSEHTTLPAWKNETSFDDLAIKSLRSQELERQEADDENSEVGDFDPVDGTSHRRLSWPPSVKKNGL >KJB10696 pep chromosome:Graimondii2_0_v6:1:43342634:43344264:1 gene:B456_001G216700 transcript:KJB10696 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32980) UniProtKB/Swiss-Prot;Acc:O48767] MHDYARFSAQVFFHTKNKLKTRITNLGFLAGCFTSICEPKREKDLVLLLKASLLGFMQKQFSELLMKAASDYGALTTAVTDFQWSQNFKEPPSVWGEMLRPIPVALASCTRFFEAMSATRESFATLQSLRVGHSATPLPTTPAKDPSHRAIGGDSEHTTLPAWKNETSFDDLAIKSLRSQELERQEADDENSEVGDFDPVDGTSHRRLSWPPSVKKNGL >KJB10690 pep chromosome:Graimondii2_0_v6:1:43340222:43344276:1 gene:B456_001G216700 transcript:KJB10690 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32980) UniProtKB/Swiss-Prot;Acc:O48767] MSMGTDTTWVGKKPLRRIGGMSDALSIAADLGFSVPPPPSQEEVQNLSSATGEKGDDLIKVLRELTTVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKCETLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTTAVTDFQWSQNFKEPPSVWGEMLRPIPVALASCTRFFEAMSATRESFATLQSLRVGHSATPLPTTPAKDPSHRAIGGDSEHTTLPAWKNETSFDDLAIKSLRSQELERQEADDENSEVGDFDPVDGTSHRRLSWPPSVKKNGL >KJB10694 pep chromosome:Graimondii2_0_v6:1:43340268:43349760:1 gene:B456_001G216700 transcript:KJB10694 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32980) UniProtKB/Swiss-Prot;Acc:O48767] MSMGTDTTWVGKKPLRRIGGMSDALSIAADLGFSVPPPPSQEEVQNLSSATGEKGDDLIKVLRELTTVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKCETLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTTAVTDFQWSQNFKEPPSVWGEMLRPIPVALASCTRFFEAMSATRESFATLQSLRVGHSATPLPTTPAKDPSHRAIGGDSEHTTLPAWKNETSFDDLAIKSLRSQELERQEADDENSEVGDFDPVDGTSHRRLSWPPSVKKNGL >KJB10692 pep chromosome:Graimondii2_0_v6:1:43340268:43344264:1 gene:B456_001G216700 transcript:KJB10692 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32980) UniProtKB/Swiss-Prot;Acc:O48767] MSMGTDTTWVGKKPLRRIGGMSDALSIAADLGFSVPPPPSQEEVQNLSSATGEKGDDLIKVLRELTTVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKCETLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQAASDYGALTTAVTDFQWSQNFKEPPSVWGEMLRPIPVALASCTRFFEAMSATRESFATLQSLRVGHSATPLPTTPAKDPSHRAIGGDSEHTTLPAWKNETSFDDLAIKSLRSQELERQEADDENSEVGDFDPVDGTSHRRLSWPPSVKKNGL >KJB10695 pep chromosome:Graimondii2_0_v6:1:43341826:43344264:1 gene:B456_001G216700 transcript:KJB10695 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32980) UniProtKB/Swiss-Prot;Acc:O48767] MKAASDYGALTTAVTDFQWSQNFKEPPSVWGEMLRPIPVALASCTRFFEAMSATRESFATLQSLRVGHSATPLPTTPAKDPSHRAIGGDSEHTTLPAWKNETSFDDLAIKSLRSQELERQEADDENSEVGDFDPVDGTSHRRLSWPPSVKKNGL >KJB10691 pep chromosome:Graimondii2_0_v6:1:43341680:43344044:1 gene:B456_001G216700 transcript:KJB10691 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32980) UniProtKB/Swiss-Prot;Acc:O48767] MEKKCETLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTTAVTDFQWSQNFKEPPSVWGEMLRPIPVALASCTRFFEAMSATRESFATLQSLRVGHSATPLPTTPAKDPSHRAIGGDSEHTTLPAWKNETSFDDLAIKSLRSQELERQEADDENSEVGDFDPVDGTSHRRLSWPPSVKKNGL >KJB08253 pep chromosome:Graimondii2_0_v6:1:7548124:7548935:-1 gene:B456_001G073800 transcript:KJB08253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQLMSTSFSYYYHHQTNPIIAAARTLKPSPSSTCLNSLLSLQRFGVFQRTRQEKRRGYGVVVASSSSNAAAPLWDSWKPEKSSAASPSLSDIIWPSAGAFAAMAILGKMDQILAPQGVSMTIAPLGAVCAVLFATPSSPAARVFHLCSPF >KJB08252 pep chromosome:Graimondii2_0_v6:1:7546811:7548935:-1 gene:B456_001G073800 transcript:KJB08252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQLMSTSFSYYYHHQTNPIIAAARTLKPSPSSTCLNSLLSLQRFGVFQRTRQEKRRGYGVVVASSSSNAAAPLWDSWKPEKSSAASPSLSDIIWPSAGAFAAMAILGKMDQILAPQGVSMTIAPLGAVCAVLFATPSSPAARKYNMFMAQIGCAAIGVAAFSVFGPGWLARSAALAASIAFMILTRSNHPPGILLLPIAFNYIYIYIHSHI >KJB08249 pep chromosome:Graimondii2_0_v6:1:7545935:7548935:-1 gene:B456_001G073800 transcript:KJB08249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQLMSTSFSYYYHHQTNPIIAAARTLKPSPSSTCLNSLLSLQRFGVFQRTRQEKRRGYGVVVASSSSNAAAPLWDSWKPEKSSAASPSLSDIIWPSAGAFAAMAILGKMDQILAPQGVSMTIAPLGAVCAVLFATPSSPAARKYNMFMAQIGCAAIGVAAFSVFGPGWLARSAALAASIAFMILTRSNHPPAASLPILFIDGVKLHHLNFWFALFPGAAACIILCLMQEVVCFLKNNFKF >KJB08251 pep chromosome:Graimondii2_0_v6:1:7545942:7548935:-1 gene:B456_001G073800 transcript:KJB08251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQLMSTSFSYYYHHQTNPIIAAARTLKPSPSSTCLNSLLSLQRFGVFQRTRQEKRRGYGVVVASSSSNAAAPLWDSWKPEKSSAASPSLSDIIWPSAGAFAAMAILGKMDQILAPQGVSMTIAPLGAVCAVLFATPSSPAARIGCAAIGVAAFSVFGPGWLARSAALAASIAFMILTRSNHPPAASLPILFIDGVKLHHLNFWFALFPGAAACIILCLMQEVVCFLKNNFKF >KJB08250 pep chromosome:Graimondii2_0_v6:1:7547045:7548801:-1 gene:B456_001G073800 transcript:KJB08250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQLMSTSFSYYYHHQTNPIIAAARTLKPSPSSTCLNSLLSLQRFGVFQRTRQEKRRGYGVVVASSSSNAAAPLWDSWKPEKSSAASPSLSDIIWPSAGAFAAMAILGKMDQILAPQGVSMTIAPLGAVCAVLFATPSSPAARKYNMFMAQIGCAAIGVAAFSVFGPGWLARSAALAASIAFMILTRSNHPPGILLLPIAFNYIYIYIHSHI >KJB09843 pep chromosome:Graimondii2_0_v6:1:24404159:24408324:-1 gene:B456_001G170300 transcript:KJB09843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATKRYAVVTGANKGVGLEICKQLAQNGIMVVLTARDEKRGLEALESLKHSGLSGYLVFHQLDVADPKSIASLADFVKKQFGKLDILVNNAGILGATFSIAPGTEVNSRDIWSKATDDNYELAEECLKTNYNGAKRTAEALIPLLQLSDLPRIVNVSSSIVMLKGKGEKLKGVLTGVTTEEKLNDLITEYLKDFKEGLHGSKGWPTFISAYTVSKVALNAYTRILASKYPDFCINSVCPGYAKTDINLNTGTIAAEEGAVTPVKLALLPKGGPSGLFFVKGEPATPEP >KJB10868 pep chromosome:Graimondii2_0_v6:1:46612438:46614161:-1 gene:B456_001G229700 transcript:KJB10868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPTTSPKPQEINIKANRVLSASAMIDDQQQLDRRPHHSIPTLDLDRLEIVTALGRGAKGVVFLARDKVKDEVLALKVICRDSIEKKNHKAVKTSHGNEGNEYRRVSFEQEVLRNLNHPLLPRLRGVLATDKVVGYAIDYCPGRDLNSLRKKQTEKMFSDDIIRFYAAEMVLALEYLHNLGIVYRDLKPENIMIQENGHLMLVDFDLSTKLSPMSPEKSIPPNSVNQTPPDSVKKKRFFPFVRCCNSGISPDDTASQESVNSERTTESESVEKSNSFVGTEEYVAPEIISGNGHDFAVDWWSLGILLHEMLYGTTPFRGPNRKETFYRILTKPPDLVGEPTSLRNLIRKLLQKDPKQRITLEGIKGHDYFKGIDWDLILQMDRPPYIPAQSEAEISVVNKEGIKGFDDVESFVQEIFANGEDGGKNKVKISLRFLSFLLIWLLLLLL >KJB10869 pep chromosome:Graimondii2_0_v6:1:46612553:46614161:-1 gene:B456_001G229700 transcript:KJB10869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPTTSPKPQEINIKANRVLSASAMIDDQQQLDRRPHHSIPTLDLDRLEIVTALGRGAKGVVFLARDKVKDEVLALKVICRDSIEKKNHKAVKTSHGNEGNEYRRVSFEQEVLRNLNHPLLPRLRGVLATDKVVGYAIDYCPGRDLNSLRKKQTEKMFSDDIIRFYAAEMVLALEYLHNLGIVYRDLKPENIMIQENGHLMLVDFDLSTKLSPMSPEKSIPPNSVNQTPPDSVKKKRFFPFVRCCNSGISPDDTASQESVNSERTTESESVEKSNSFVGTEEYVAPEIISGNGHDFAVDWWSLGILLHEMLYGTTPFRGPNRKETFYRILTKPPDLVGEPTSLRNLIRKLLQKDPKQRITLEGIKGHDYFKGIDWDLILQMDRPPYIPAQSEAEISVVNKEGIKGFDDVESFVQEIFANGEDGGKNKGSNDENPNTNDSHNLNNNPFSVF >KJB06966 pep chromosome:Graimondii2_0_v6:1:5004560:5007885:1 gene:B456_001G052700 transcript:KJB06966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51100) UniProtKB/Swiss-Prot;Acc:Q9LU64] MAATTSMVTSLTFTIPSLGLRLPTRSYPCLKPQRRLVRKAASNVITATYELKAPPYPLNALEPHMSRETLAYHWGKHHRTYVENLNKQVVGTDLEGLSLEDIIIVTYNKGDILPAFNNAAQAWNHDFFWESMKPGGGGKPSGDLLDLIERDFGSFERFIEEFKAAAATQFGSGWAWLAYKANRLDVENAVNPWPSEKDKELVIVKSPNAVNPLVWDYFPLLTIDVWEHAYYLDFQNRRPDYISVFMEKLVSWETVNTRLEKAKARAAEREMEEERRRKEEEEGKPTNEEEDDDDLEMYVDNDNDDSEAE >KJB06970 pep chromosome:Graimondii2_0_v6:1:5004604:5008855:1 gene:B456_001G052700 transcript:KJB06970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51100) UniProtKB/Swiss-Prot;Acc:Q9LU64] MAATTSMVTSLTFTIPSLGLRLPTRSYPCLKPQRRLVRKAASNVITATYELKAPPYPLNALEPHMSRETLAYHWGKHHRTYVENLNKQVVGTDLEGLSLEDIIIVTYNKGDILPAFNNAAQAWNHDFFWESMKPGGGGKPSGDLLDLIERDFGSFERFIEEFKAAAATQFGSGWAWLAYKANRLDVENAVNPWPSEKDKELVIVKSPNAVNPLVWDYFPLLTIDVWEHAYYLDFQNRRPDYISVFMEKLVSWETVNTRLEKAKARAAEREMEEERRRKEEEEGKPTNEEEDDDDLEMYVDNDNDDSEAE >KJB06973 pep chromosome:Graimondii2_0_v6:1:5004866:5008855:1 gene:B456_001G052700 transcript:KJB06973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51100) UniProtKB/Swiss-Prot;Acc:Q9LU64] MSRETLAYHWGKHHRTYVENLNKQVVGTDLEGLSLEDIIIVTYNKGDILPAFNNAAQAWNHDFFWESMKPGGGGKPSGDLLDLIERDFGSFERFIEEFKAAAATQFGSGWAWLAYKANRLDVENAVNPWPSEKDKELVIVKSPNAVNPLVWDYFPLLTIDVWEHAYYLDFQNRRPDYISVFMEKLVSWETVNTRLEKAKARAAEREMEEERRRKEEEEGKPTNEEEDDDDLEMYVDNDNDDSEAE >KJB06967 pep chromosome:Graimondii2_0_v6:1:5004604:5008855:1 gene:B456_001G052700 transcript:KJB06967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51100) UniProtKB/Swiss-Prot;Acc:Q9LU64] MSRETLAYHWGKHHRTYVENLNKQVVGTDLEGLSLEDIIIVTYNKGDILPAFNNAAQAWNHDFFWESMKPGGGGKPSGDLLDLIERDFGSFERFIEEFKAAAATQFGSGWAWLAYKANRLDVENAVNPWPSEKDKELVIVKSPNAVNPLVWDYFPLLTIDVWEHAYYLDFQNRRPDYISVFMEKLVSWETVNTRLEKAKARAAEREMEEERRRKEEEEGKPTNEEEDDDDLEMYVDNDNDDSEAE >KJB06969 pep chromosome:Graimondii2_0_v6:1:5004604:5008855:1 gene:B456_001G052700 transcript:KJB06969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51100) UniProtKB/Swiss-Prot;Acc:Q9LU64] MAATTSMVTSLTFTIPSLGLRLPTRSYPCLKPQRRLVRKAASNVITATYELKAPPYPLNALEPHMSRETLAYHWGKHHRTYVENLNKQVVGTDLEGLSLEDIIIVTYNKGDILPAFNNAAQAWNHDFFWESMKPGGGGKPSGDLLDLIERDFGSFERFIEEFKAAAATQFGSGWAWLAYKANRLDVENAVNPWPSEKDKELVIVKSPNAVNPLVWDYFPLLTIDVWENRRPDYISVFMEKLVSWETVNTRLEKAKARAAEREMEEERRRKEEEEGKPTNEEEDDDDLEMYVDNDNDDSEAE >KJB06965 pep chromosome:Graimondii2_0_v6:1:5004596:5008855:1 gene:B456_001G052700 transcript:KJB06965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51100) UniProtKB/Swiss-Prot;Acc:Q9LU64] MAATTSMVTSLTFTIPSLGLRLPTRSYPCLKPQRRLVRKAASNVITATYELKAPPYPLNALEPHMSRETLAYHWGKHHRTYVENLNKQVVGTDLEGLSLEDIIIVTYNKGDILPAFNNAAQAWNHDFFWESMKPGGGGKPSGDLLDLIERDFGSFERFIEEFKAAAATQFGSGWAWLAYKANRLDVENAVNPWPSEKDKELVIVKSPNAVNPLVWDYFPLLTIDVWEHAYYLDFQNRRPDYISVFMEKLVSWETVNTRLEKAKARAAEREMEEERRRKEEEEGKPTNEEEDDDDLEMYVDNDNDDSEAE >KJB06972 pep chromosome:Graimondii2_0_v6:1:5006240:5007636:1 gene:B456_001G052700 transcript:KJB06972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51100) UniProtKB/Swiss-Prot;Acc:Q9LU64] MFFFLSFTTRLQAWNHDFFWESMKPGGGGKPSGDLLDLIERDFGSFERFIEEFKAAAATQFGSGWAWLAYKANRLDVENAVNPWPSEKDKELVIVKSPNAVNPLVWDYFPLLTIDVWEHAYYLDFQNRRPDYISVFMEKLVSWETVNTRLEKAKARAAEREMEEERRRKEEEEGKPTNEEEDDDDLEMYVDNDNDDSEAE >KJB06971 pep chromosome:Graimondii2_0_v6:1:5004893:5008855:1 gene:B456_001G052700 transcript:KJB06971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51100) UniProtKB/Swiss-Prot;Acc:Q9LU64] MSRETLAYHWGKHHRTYVENLNKQVVGTDLEGLSLEDIIIVTYNKGDILPAFNNAAQAWNHDFFWESMKPGGGGKPSGDLLDLIERDFGSFERFIEEFKAAAATQFGSGWAWLAYKANRLDVENAVNPWPSEKDKELVIVKSPNAVNPLVWDYFPLLTIDVWEHAYYLDFQNRRPDYISVFMEKLVSWETVNTRLEKAKARAAEREMEEERRRKEEEEGKPTNEEEDDDDLEMYVDNDNDDSEAE >KJB06968 pep chromosome:Graimondii2_0_v6:1:5004759:5007173:1 gene:B456_001G052700 transcript:KJB06968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51100) UniProtKB/Swiss-Prot;Acc:Q9LU64] MAATTSMVTSLTFTIPSLGLRLPTRSYPCLKPQRRLVRKAASNVITATYELKAPPYPLNALEPHMSRETLAYHWGKHHRTYVENLNKQVVGTDLEGLSLEDIIIVTYNKGDILPAFNNAAQAWNHDFFWESMKPGGGGKPSGDLLDLIERDFGSFERFIEEFKAAAATQFGSGWAWLAYKANRLDVENAVNPWPSEKDKELVIVKSPNAVNPLVWDYFPLLTIDVWEVLHV >KJB08777 pep chromosome:Graimondii2_0_v6:1:11504484:11506520:1 gene:B456_001G103100 transcript:KJB08777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQELELLMMHNRTYCAEIAHDVSTKKRKEIVERAAQLDVVVTNKLARLRSQENE >KJB08776 pep chromosome:Graimondii2_0_v6:1:11504207:11506520:1 gene:B456_001G103100 transcript:KJB08776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQELELLMMHNRTYCAEIAHDVSTKKRKEIVERAAQLDVVVTNKLARLRSQENE >KJB09691 pep chromosome:Graimondii2_0_v6:1:22286134:22288025:1 gene:B456_001G156700 transcript:KJB09691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTCFSLGIHLLLSSSFLSSLFFNFIFAFEALPFSTANCYALGFLQNSLLLKGKPTMTTISSSTFLGVAVIFGLVFASMSPYVEAQSASLAPSPTSDGTSIDQGIAYVLMVVALVLTYLIHPLDSSSYTFF >KJB09106 pep chromosome:Graimondii2_0_v6:1:15405164:15408218:1 gene:B456_001G123300 transcript:KJB09106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPCKIRKRDCSSSSPSSSSSSFIQKYRFKRAVLVGKKAGSTTPVPTWITATTKSPSLAMPSAEFPSKIVAQTKEASVSARKLAATLWEINTIPSPQPKEVLAKKDKRRKACRVAKMAHTLAQNLSDPSYSPFSEKMDGGRVQSHRRRASIVSQKLQVTDYKLGSLGPVGSGSFMEIETHSKGKNHGGCIIGVRARLKDVSNGLATSKELLKVLNRICGLEEQHTTSMSLVSALRVEIDRARIHVDQLVREQRSNRNEIEHLMRHFAEEKATWKRKERERIHNAVSCIAQELEVEKKLRRQTERLNKKLGKELADTAASLSKAMKDLESEKRAKEILEQVCDELARGIGEDRATVEELKRESAKVKEEIDKEREMLQFADVLREERVQMKLSEAKYHFEEKNAVVEKLRNELETYLGNKLDEENGDGSPNLQRIKELEAYLKEIDFGSCQIVEKDVDKINVTNEEECQGDDSADSDLHSIELNMDNNDMSYKWSYAYRDYVEDGSKKTWVEKESKGRKSLSEMISWGSICLERGNSNSKDWDFELEIPEKFERDGTYNPPSQVQAQDYEDEIKRYRSVKSLRDHILHSNKIAPIQSFPSPTRQWSHFETGSPVSKGGVSKPKLIGTISEGRTSTS >KJB09107 pep chromosome:Graimondii2_0_v6:1:15405263:15408206:1 gene:B456_001G123300 transcript:KJB09107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRVQSHRRRASIVSQKLQVTDYKLGSLGPVGSGSFMEIETHSKGKNHGGCIIGVRARLKDVSNGLATSKELLKVLNRICGLEEQHTTSMSLVSALRVEIDRARIHVDQLVREQRSNRNEIEHLMRHFAEEKATWKRKERERIHNAVSCIAQELEVEKKLRRQTERLNKKLGKELADTAASLSKAMKDLESEKRAKEILEQVCDELARGIGEDRATVEELKRESAKVKEEIDKEREMLQFADVLREERVQMKLSEAKYHFEEKNAVVEKLRNELETYLGNKLDEENGDGSPNLQRIKELEAYLKEIDFGSCQIVEKDVDKINVTNEEECQGDDSADSDLHSIELNMDNNDMSYKWSYAYRDYVEDGSKKTWVEKESKGRKSLSEMISWGSICLERGNSNSKDWDFELEIPEKFERDGTYNPPSQVQAQDYEDEIKRYRSVKSLRDHILHSNKIAPIQSFPSPTRQWSHFETGSPVSKGGVSKPKLIGTISEGRTSTS >KJB10707 pep chromosome:Graimondii2_0_v6:1:43465173:43469102:1 gene:B456_001G217100 transcript:KJB10707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKIDFTSKDGYVSETNATNIFLVKKGRVLTPHADYCVPGITRATIMELVVKEKFELVERRISLSEFHAADEEHGHNKNRNMALIKTGTWPSFVQPA >KJB09670 pep chromosome:Graimondii2_0_v6:1:21823974:21830074:-1 gene:B456_001G155500 transcript:KJB09670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLLLASNKASISTSFPLFLSYKSSFQEKPKHSTKSHFHPSKGVPEKTPFLGRSLVFQDKVFTSLGNLRKTHFPFEPIRAAVKRRKELPFDNVIQRDKKLKLVLKIRKILVSQPDRIMSLRSLGRYRRDLGLEKRRRFIALLRKFPGVFEIMEEGAFSLRFRLTPEAERLYLDELRVRNEMEGLLVVKLRKLLMMSMEKRILLEKIAHLRTDLGLPLEFRDTICQRYPQYFRVVQTERGPALELTHWDPELAVSAAELAEEENRARELEEKNLIIDRPLKFNRIKLPKGLNLSKGEMRRLCQFRDMPYISPYSDFSGLRSGTPEKEKHACGVVHEILSLTVEKRTLVDHLTHFREEFRFSQQLRGMIIRHPDMFYVSLKGDRDSVFLREAYRDSQLIDKDPLLLIKEKFRSLVAIPRFPKRGSSKKDADNNEESNMQEEAIKVLHARLLKMSNSWSSDSMTKSLISSYVKFNDFRAATTIFFVGFARNYVFWSSFLDELQSCGGQTRRVLEVFGELCGKGVVFDSKVLTLVLKMCASLMDPWLGLQIHADLVKKGFDLDVHLKCALMNFYGRCWDLESANQVFNEMVEKKELAWNEVIMLNLRNERWEKAMELFRGMQFSCAKAYASTVAKLLHCSVIELGILKFGREIHGYVIRNELDYDAYVVTSLLDMYVKHNDLGKAQAVFSCMKSKRNIVAWNTLISGYSFKGLFDDARKLMNEMEEEGITPDLVTWNSLISGYSMWGQGDEALGLIHQVKSSGMSPNVVSWTALVSGSSQNGSYRESLEFFSQMQREGIRPNSVTLSSLLRNCGGLSLLQKGKEIHSFSIKNGFIEDVFVATALIDMYCKSGNLKAAYEVFERIENKTLATWNCLIMGFAIYGLGKEVVSLFEQMLGADIIPDAITFTAVLSGCKNSGLVNEGWKYFDSMSSDYGIIPTIEHYSCMVDLLGRAGYLDEAWDFMQSMPLKPDATIWGALLGSCRIHKNIQLAEIASKKLFELEPYNSANYVLMLNLYAMFDRWGDVECIKDLMSDIGVKNGQVWSWVEIDQIIHVFCAGENHPDEGEIYYELYHLVSEMKKLGYKPDVKCVYQNIDDSEKEKVLLSHTEKLAITYGLIKSRSITPIRVIKNSRICPDCHTAAKYMSLVKNREIFLRDGSRFHHFSEGKCSCNDCW >KJB11440 pep chromosome:Graimondii2_0_v6:1:53456112:53458814:-1 gene:B456_001G259500 transcript:KJB11440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVETEDAGNEEWTINVYSRIIEQLESMIVRKGISQVNESILNSLRAGTTLQTEAIRIRNEQLLIKKLEELEEICLFNKGKAFDPAKKLNVIKIKMAELEWYKKVAKANETGYYDCYKKQLSRRDRDVIKHKKFLTNYWKEVVAQNERKPQKQLVYLRSRWLYAGTTYRRMVEPLDIADYYRDNGSNYVTTGRSHHYIKLQQWLEEDEKQSRFRIDTKKQNVDVILTDDSCFWAHVEEARLWCKSLKTADVGMISERVCLRQKLMEFEVYVMEQIKKYAVSSEIFLKGSSFMQWWKEYEMLIEPHHNSPLTDFIRNCKFQQYASGCLVLN >KJB11438 pep chromosome:Graimondii2_0_v6:1:53456254:53457463:-1 gene:B456_001G259500 transcript:KJB11438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDSKSDDYKPFGTFLLCCEDGCTWSENPEAVSELLMAVETEDAGNEEWTINVYSRIIEQLESMIVRKGISQVNESILNSLRAGTTLQTEAIRIRNEQLLIKKLEELEEICLFNKGKAFDPAKKLNVIKIKMAELEWYKKVAKANETGYYDCYKKQLSRRDRDVIKHKKFLTNYWKEVVAQNERKPQKQLVYLRSRWLYAGTTYRRMVEPLDIADYYRDNGSNYVTTGRSHHYIKLQQWLEEDEKQSRFRIDTKKQNVDVILTDDSCFWAHVEEARLWCKSLKTADVGMISERVCLRQKLMEFEVYVMEQIKKYAVSSEIFLKGSSFMQWWKEYEMLIEPHHNSPLTDFIRNCKFQQYASGCLVLN >KJB11441 pep chromosome:Graimondii2_0_v6:1:53456100:53459130:-1 gene:B456_001G259500 transcript:KJB11441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDSKSDDYKPFGTFLLCCEDGCTWSENPEAVSELLMAVETEDAGNEEWTINVYSRIIEQLESMIVRKGISQVNESILNSLRAGTTLQTEAIRIRNEQLLIKKLEELEEICLFNKGKAFDPAKKLNVIKIKMAELEWYKKVAKANETGYYDCYKKQLSRRDRDVIKHKKFLTNYWKEVVAQNERKPQKQLVYLRSRWLYAGTTYRRMVEPLDIADYYRDNGSNYVTTGRSHHYIKLQQWLEEDEKQSRFRIDTKKQNVDVILTDDSCFWAHVEEARLWCKSLKTADVGMISERVCLRQKLMEFEVYVMEQIKKYAVSSEIFLKGSSFMQWWKEYEMLIEPHHNSPLTDFIRNCKFQQYASGCLVLN >KJB11439 pep chromosome:Graimondii2_0_v6:1:53456112:53458826:-1 gene:B456_001G259500 transcript:KJB11439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDSKSDDYKPFGTFLLCCEDGCTWSENPEAVSELLMAVETEDAGNEEWTINVYSRIIEQLESMIVRKGISQVNESILNSLRAGTTLQTEAIRIRNEQLLIKKLEELEEICLFNKGKAFDPAKKLNVIKIKMAELEWYKKVAKANETGYYDCYKKQLSRRDRDVIKHKKFLTNYWKEVVAQNERKPQKQLVYLRSRWLYAGTTYRRMVEPLDIADYYRDNGSNYVTTGRSHHYIKLQQWLEEDEKQSRFRIDTKKQNVDVILTDDSCFWAHVEEARLWCKSLKTADVGMISERVCLRQKLMEFEVYVMEQIKKYAVSSEIFLKGSSFMQWWKEYEMLIEPHHNSPLTDFIRNCKFQQYASGCLVLN >KJB11437 pep chromosome:Graimondii2_0_v6:1:53456254:53458843:-1 gene:B456_001G259500 transcript:KJB11437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQMNQLFCSGLEVANFVVSSGLLKLSWAKILDCYGGFNLNELQNLGLSIKWKAYQQANINILVFTTSPICTKSHLQDSKQLVSSTAFKESFPVFEFLCNNGNSFSIHKAAIALFADHFHELLQLKAECGRNSNSLIVTGHSLGGSVASLFTLWLLESLDISLAKRPLCLTFGSPLVGDKGFQQAISQHPAWNSCFLHVAATSKDSIPRLFIAPRDLNSMDLDSKSDDYKPFGTFLLCCEDGCTWSENPEAVSELLMAVETEDAGNEEWTINVYSRIIEQLESMIVRKGISQVNESILNSLRAGTTLQTEAIRIRNEQLLIKKLEELEEICLFNKGKAFDPAKKLNVIKIKMAELEWYKKVAKANETGYYDCYKKQLSRRDRDVIKHKKFLTNYWKEVVAQNERKPQKQLVYLRSRWLYAGTTYRRMVEPLDIADYYRDNGSNYVTTGRSHHYIKLQQWLEEDEKQSRFRIDTKKQNVDVILTDDSCFWAHVEEARLWCKSLKTADVGMISERVCLRQKLMEFEVYVMEQIKKYAVSSEIFLKGSSFMQWWKEYEMLIEPHHNSPLTDFIRNCKFQQYASGCLVLN >KJB10926 pep chromosome:Graimondii2_0_v6:1:46971831:46975653:-1 gene:B456_001G232800 transcript:KJB10926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADRVEEDAGKRSVKERLNGNPSGNFIPRRQIAGKRQRQDDKWEHDLYQEDGPNVSRPNVSNRKVDARDLRLKLQRKSLQNVSQSGRGTLSGVCDLREKLSGTMNAQPINSDPPKQKVKVAQPARKSVAVETTEPEPRRASNTPARKKAKQSADTSVEGFLQSLGLEKYAITFQAEEVDMTALVHMTDGDLKALGIPMGPRKKIILELESRG >KJB10927 pep chromosome:Graimondii2_0_v6:1:46971844:46975607:-1 gene:B456_001G232800 transcript:KJB10927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADRVEEDAGKRSVKERLNGNPSGNFIPRRQIAGKRQRQDDKWEHDLYQEDGPNVSRPNVSNRKVDARDLRLKLQRKSLQNVSQSGRGTLSGVCDLREKLSGTMNAQPINSDPPKQKVKVAQPARKSVAVETTEPEPRRASNTPARKKAKQSADTSVEGFLQSLGLEKYAITFQAEEVDMTALVHMTDGDLKALGIPMGPRKKIILELESRG >KJB06982 pep chromosome:Graimondii2_0_v6:1:472323:476816:-1 gene:B456_001G005200 transcript:KJB06982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGILGRGFATKCKSLIKAIKSRIDVIRRKKSATLKFLKKDIADLLANGLDINAYGRVEGYIAELVLSSCYDFIDKCCDFVTKHVSVMQKLSDCPEDCREAVSSLMFAAARFSDLPELRELRHIFHERYENSLDVFANKQLVENSASNPSTMERKVMVMHDIASEFSIKWDSKAFERRMSEPVVIPQPQDRTKKYGSFHVNGEDNKSNYGKQDRLKKYGSFHVNGDDNKSNDGKQDRLKKYGSFHVNGDDNKSNDGKQDRPKKYGSFHVNGDDNKSNDGKSADPPRDELKVDKNGHKWEFSVEDKLRQGREEAFARRENLDIPLPQKQEVVEKDDIAFKTARLSSSTSGKRIERVNGGGKVQDGRENSVPGIDNQDVLTQRKPDLNPNNYAAPRSRSQDKDLFVPDSYANEYGVQNSTRKTPVEGEPKRKPRSSSALPPPYVKPPSIKSKESMNGANILSSLAGLDSDGVSGDPSMPDKEGQRIPPMRGHDRETDNYYSHSEIGIPIPRRRSSRRRHLRSASGHIEIGNAEDTEFMRRKPRSRRRDESRQGLQILFDEEHQRYDQEERIIDRLLMHYSKKPSTSEDGKLRRKSKSHHAHHKRTDVDEEALEKASMDRSDDISETIPRPVRSISLPREQTTQSEGTKVYTRATSFQQGRSNAARHVHPKLPDYDDLAAHFAAMKGR >KJB06977 pep chromosome:Graimondii2_0_v6:1:472889:476651:-1 gene:B456_001G005200 transcript:KJB06977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGILGRGFATKCKSLIKAIKSRIDVIRRKKSATLKFLKKDIADLLANGLDINAYGRVEGYIAELVLSSCYDFIDKCCDFVTKHVSVMQKLSDCPEDCREAVSSLMFAAARFSDLPELRELRHIFHERYENSLDVFANKQLVENSASNPSTMERKVMVMHDIASEFSIKWDSKAFERRMSEPVVIPQPQDRTKKYGSFHVNGEDNKSNYGKQDRLKKYGSFHVNGDDNKSNDGKQDRLKKYGSFHVNGDDNKSNDGKQDRPKKYGSFHVNGDDNKSNDGKSADPPRDELKVDKNGHKWEFSVEDKLRQGREEAFARRENLDIPLPQKQEVVEKDDIAFKTARLSSSTSGKRIERVNGGGKVQDGRENSVPGIDNQDVLTQRKPDLNPNNYAAPRSRSQDKDLFVPDSYANEYGVQNSTRKTPVEGEPKRKPRSSSALPPPYVKPPSIKSKESMNGANILSSLAGLDSDGVSGDPSMPDKEGQRIPPMRGHDRETDNYYSHSEIGIPIPRRRSSRRRHLRSASGHIEIGNAEDTEFMRRKPRSRRRDESRQGLQILFDEEHQRYDQEERIIDRLLMHYSKKPSTSEDGKLRRKSKSHHAHHKRTDVDEEALEKASMDRSDDISETIPRPVRSISLPREQTTQSEGTKVYTRATSFQQGRSNAARHVHPKLPDYDDLAAHFAAMKGR >KJB06981 pep chromosome:Graimondii2_0_v6:1:472889:476651:-1 gene:B456_001G005200 transcript:KJB06981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGILGRGFATKCKSLIKAIKSRIDVIRRKKSATLKFLKKDIADLLANGLDINAYGRVEGYIAELVLSSCYDFIDKCCDFVTKHVSVMQKLSDCPEDCREAVSSLMFAAARFSDLPELRELRHIFHERYENSLDVFANKQLVENSASNPSTMERKVMVMHDIASEFSIKWDSKAFERRMSEPVVIPQPQDRTKKYGSFHVNGEDNKSNYGKQDRPKKYGSFHVNGDDNKSNDGKSADPPRDELKVDKNGHKWEFSVEDKLRQGREEAFARRENLDIPLPQKQEVVEKDDIAFKTARLSSSTSGKRIERVNGGGKVQDGRENSVPGIDNQDVLTQRKPDLNPNNYAAPRSRSQDKDLFVPDSYANEYGVQNSTRKTPVEGEPKRKPRSSSALPPPYVKPPSIKSKESMNGANILSSLAGLDSDGVSGDPSMPDKEGQRIPPMRGHDRETDNYYSHSEIGIPIPRRRSSRRRHLRSASGHIEIGNAEDTEFMRRKPRSRRRDESRQGLQILFDEEHQRYDQEERIIDRLLMHYSKKPSTSEDGKLRRKSKSHHAHHKRTDVDEEALEKASMDRSDDISETIPRPVRSISLPREQTTQSEGTKVYTRATSFQQGRSNAARHVHPKLPDYDDLAAHFAAMKGR >KJB06983 pep chromosome:Graimondii2_0_v6:1:472532:476849:-1 gene:B456_001G005200 transcript:KJB06983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGILGRGFATKCKSLIKAIKSRIDVIRRKKSATLKFLKKDIADLLANGLDINAYGRVEGYIAELVLSSCYDFIDKCCDFVTKHVSVMQKLSDCPEDCREAVSSLMFAAARFSDLPELRELRHIFHERYENSLDVFANKQLVENSASNPSTMERKVMVMHDIASEFSIKWDSKAFERRMSEPVVIPQPQDRTKKYGSFHVNGEDNKSNYGKQDRLKKYGSFHVNGDDNKSNDGKQDRPKKYGSFHVNGDDNKSNDGKSADPPRDELKVDKNGHKWEFSVEDKLRQGREEAFARRENLDIPLPQKQEVVEKDDIAFKTARLSSSTSGKRIERVNGGGKVQDGRENSVPGIDNQDVLTQRKPDLNPNNYAAPRSRSQDKDLFVPDSYANEYGVQNSTRKTPVEGEPKRKPRSSSALPPPYVKPPSIKSKESMNGANILSSLAGLDSDGVSGDPSMPDKEGQRIPPMRGHDRETDNYYSHSEIGIPIPRRRSSRRRHLRSASGHIEIGNAEDTEFMRRKPRSRRRDESRQGLQILFDEEHQRYDQEERIIDRLLMHYSKKPSTSEDGKLRRKSKSHHAHHKRTDVDEEALEKASMDRSDDISETIPRPVRSISLPREQTTQSEGTKVYTRATSFQQGRSNAARHVHPKLPDYDDLAAHFAAMKGR >KJB06979 pep chromosome:Graimondii2_0_v6:1:472529:476849:-1 gene:B456_001G005200 transcript:KJB06979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGILGRGFATKCKSLIKAIKSRIDVIRRKKSATLKFLKKDIADLLANGLDINAYGRVEGYIAELVLSSCYDFIDKCCDFVTKHVSVMQKLSDCPEDCREAVSSLMFAAARFSDLPELRELRHIFHERYENSLDVFANKQLVENSASNPSTMERKVMVMHDIASEFSIKWDSKAFERRMSEPVVIPQPQDRTKKYGSFHVNGEDNKSNYGKQDRLKKYGSFHVNGDDNKSNDGKQDRLKKYGSFHVNGDDNKSNDGKQDRPKKYGSFHVNGDDNKSNDGKSADPPRDELKVDKNGHKWEFSVEDKLRQGREEAFARRENLDIPLPQKQEVVEKDDIAFKTARLSSSTSGKRIERVNGGGKVQDGRENSVPGIDNQDVLTQRKPDLNPNNYAAPRSRSQDKDLFVPDSYANEYGVQNSTRKTPVEGEPKRKPRSSSALPPPYVKPPSIKSKESMNGANILSSLAGLDSDGVSGDPSMPDKEGQRIPPMRGHDRETDNYYSHSEIGIPIPRRRSSRRRHLRSASGHIEIGNAEDTEFMRRKPRSRRRDESRQGLQILFDEEHQRYDQEERIIDRLLMHYSKKPSTSEDGKLRRKSKSHHAHHKRTDVDEEALEKASMDRSDDISETIPRPVRSISLPREQTTQSEGTKVYTRATSFQQGRSNAARHVHPKLPDYDDLAAHFAAMKGR >KJB06978 pep chromosome:Graimondii2_0_v6:1:472889:476651:-1 gene:B456_001G005200 transcript:KJB06978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGILGRGFATKCKSLIKAIKSRIDVIRRKKSATLKFLKKDIADLLANGLDINAYGRVEGYIAELVLSSCYDFIDKCCDFVTKHVSVMQKLSDCPEDCREAVSSLMFAAARFSDLPELRELRHIFHERYENSLDVFANKQLVENSASNPSTMERKVMVMHDIASEFSIKWDSKAFERRMSEPVVIPQPQDRTKKYGSFHVNGEDNKSNYGKQDRLKKYGSFHVNGDDNKSNDGKQDRLKKYGSFHVNGDDNKSNDGKQDRLKKYGSFHVNGDDNKSNDGKQDRPKKYGSFHVNGDDNKSNDGKSADPPRDELKVDKNGHKWEFSVEDKLRQGREEAFARRENLDIPLPQKQEVVEKDDIAFKTARLSSSTSGKRIERVNGGGKVQDGRENSVPGIDNQDVLTQRKPDLNPNNYAAPRSRSQDKDLFVPDSYANEYGVQNSTRKTPVEGEPKRKPRSSSALPPPYVKPPSIKSKESMNGANILSSLAGLDSDGVSGDPSMPDKEGQRIPPMRGHDRETDNYYSHSEIGIPIPRRRSSRRRHLRSASGHIEIGNAEDTEFMRRKPRSRRRDESRQGLQILFDEEHQRYDQEERIIDRLLMHYSKKPSTSEDGKLRRKSKSHHAHHKRTDVDEEALEKASMDRSDDISETIPRPVRSISLPREQTTQSEGTKVYTRATSFQQGRSNAARHVHPKLPDYDDLAAHFAAMKGR >KJB06980 pep chromosome:Graimondii2_0_v6:1:472532:476816:-1 gene:B456_001G005200 transcript:KJB06980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGILGRGFATKCKSLIKAIKSRIDVIRRKKSATLKFLKKDIADLLANGLDINAYGRVEGYIAELVLSSCYDFIDKCCDFVTKHVSVMQKLSDCPEDCREAVSSLMFAAARFSDLPELRELRHIFHERYENSLDVFANKQLVENSASNPSTMERKVMVMHDIASEFSIKWDSKAFERRMSEPVVIPQPQDRPKKYGSFHVNGDDNKSNDGKSADPPRDELKVDKNGHKWEFSVEDKLRQGREEAFARRENLDIPLPQKQEVVEKDDIAFKTARLSSSTSGKRIERVNGGGKVQDGRENSVPGIDNQDVLTQRKPDLNPNNYAAPRSRSQDKDLFVPDSYANEYGVQNSTRKTPVEGEPKRKPRSSSALPPPYVKPPSIKSKESMNGANILSSLAGLDSDGVSGDPSMPDKEGQRIPPMRGHDRETDNYYSHSEIGIPIPRRRSSRRRHLRSASGHIEIGNAEDTEFMRRKPRSRRRDESRQGLQILFDEEHQRYDQEERIIDRLLMHYSKKPSTSEDGKLRRKSKSHHAHHKRTDVDEEALEKASMDRSDDISETIPRPVRSISLPREQTTQSEGTKVYTRATSFQQGRSNAARHVHPKLPDYDDLAAHFAAMKGR >KJB06984 pep chromosome:Graimondii2_0_v6:1:472532:476849:-1 gene:B456_001G005200 transcript:KJB06984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGILGRGFATKCKSLIKAIKSRIDVIRRKKSATLKFLKKDIADLLANGLDINAYGRVEGYIAELVLSSCYDFIDKCCDFVTKHVSVMQKLSDCPEDCREAVSSLMFAAARFSDLPELRELRHIFHERYENSLDVFANKQLVENSASNPSTMERKVMVMHDIASEFSIKWDSKAFERRMSEPVVIPQPQDRTKKYGSFHVNGEDNKSNYGKQDRLKKYGSFHVNGDDNKSNDGKQDRPKKYGSFHVNGDDNKSNDGKSADPPRDELKVDKNGHKWEFSVEDKLRQGREEAFARRENLDIPLPQKQEVVEKDDIAFKTARLSSSTSGKRIERVNGGGKVQDGRENSVPGIDNQDVLTQRKPDLNPNNYAAPRSRSQDKDLFVPDSYANEYGVQNSTRKTPVEGEPKRKPRSSSALPPPYVKPPSIKSKESMNGANILSSLAGLDSDGVSGDPSMPDKEGQRIPPMRGHDRETDNYYSHSEIGIPIPRRRSSRRRHLRSASGHIEIGNAEDTEFMRRKPRSRRRDESRQGLQILFDEEHQRYDQEERIIDRLLMHYSKKPSTSEDGKLRRKSKSHHAHHKRTDVDEEALEKASMDRSDDISETIPRPVRSISLPREQTTQSEGTKVYTRATSFQQGRSNAARHVHPKLPDYDDLAAHFAAMKGR >KJB06985 pep chromosome:Graimondii2_0_v6:1:472640:476816:-1 gene:B456_001G005200 transcript:KJB06985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGILGRGFATKCKSLIKAIKSRIDVIRRKKSATLKFLKKDIADLLANGLDINAYGRVEGYIAELVLSSCYDFIDKCCDFVTKHVSVMQKLSDCPEDCREAVSSLMFAAARFSDLPELRELRHIFHERYENSLDVFANKQLVENSASNPSTMERKVMVMHDIASEFSIKWDSKAFERRMSEPVVIPQPQDRTKKYGSFHVNGEDNKSNYGKQDRLKKYGSFHVNGDDNKSNDGKQDRLKKYGSFHVNGDDNKSNDGKQDRPKKYGSFHVNGDDNKSNDGKSADPPRDELKVDKNGHKWEFSVEDKLRQGREEAFARRENLDIPLPQKQEVVEKDDIAFKTARLSSSTSGKRIERVNGGGKVQDGRENSVPGIDNQDVLTQRKPDLNPNNYAAPRSRSQDKDLFVPDSYANEYGVQNSTRKTPVEGEPKRKPRSSSALPPPYVKPPSIKSKESMNGANILSSLAGLDSDGVSGDPSMPDKEGQRIPPMRGHDRETDNYYSHSEIGIPIPRRRSSRRRHLRSASGHIEIGNAEDTEFMRRKPRSRRRDESRQGLQILFDEEHQRYDQEERIIDRLLMHYSKKPSTSEDGKLRRKSKSHHAHHKRTDVDEEALEKASMDRSDDISETIPRPVRSISLPREQTTQSEGTKVYTRATSFQQGRSNAARHVHPKLPDYDDLAAHFAAMKGR >KJB09657 pep chromosome:Graimondii2_0_v6:1:21683603:21690069:1 gene:B456_001G154800 transcript:KJB09657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLITLVNNIQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGAGIVTRRPLVLQLHRIDDGKEYAEFMHLPKKRFTDFAAVRQEISDETDRETGRSKQISSVPIHLSIFSPNVVNLTLIDLPGLTKVAIEGQSETIVQDIENMVRSFIEKPNCIILAISPANQDLATSDAIKIAREVDPKGDRTFGVLTKIDLMDKGTNAVDILEGKSYKLQFPWVGVVNRSQADINKSVDMIAARRREREYFQSSPEYSHLAHRMGSEHLGKMLSKHLETVIKSRIPGLQSLINKTIIELEGELTKLGKPIAADAGGKLYTTMEICRAFDQNFKEHLDGVRAGGEKIYGVFDNQLPAALKRLQFDKHLSIENVRKLITEADGYQPHLIAPEQGYRRLIESCLVTIRGPAEAAVDGVHAILKGIVQKAIAETTELKQYPTLRVEVGNAAFESLERMREESKRATLQLVDMECGYLTVEFFRKLPQDVEKGGNPTHSLFDRYNDSYLRRVGSTVLQYVNMTCASLRNSIPKSIVYCQVREAKRSLLDFFFTELGKKESKQLSKMLDEDPAVQQRRANLAKRLELYRSAQHEIDAVAWSK >KJB09658 pep chromosome:Graimondii2_0_v6:1:21683568:21689786:1 gene:B456_001G154800 transcript:KJB09658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLITLVNNIQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGAGIVTRRPLVLQLHRIDDGKEYAEFMHLPKKRFTDFAAVRQEISDETDRETGRSKQISSVPIHLSIFSPNVVNLTLIDLPGLTKVAIEGQSETIVQDIENMVRSFIEKPNCIILAISPANQDLATSDAIKIAREVDPKGDRTFGVLTKIDLMDKGTNAVDILEGKSYKLQFPWVGVVNRSQADINKSVDMIAARRREREYFQSSPEYSHLAHRMGSEHLGKMLSKHLETVIKSRIPGLQSLINKTIIELEGELTKLGKPIAADAGGKLYTTMEICRAFDQNFKEHLDGVRAGGEKIYGVFDNQLPAALKRLQFDKHLSIENVRKLITEADGYQPHLIAPEQGYRRLIESCLVTIRGPAEAAVDGVHAILKGIVQKAIAETTELKQYPTLRVEVGNAAFESLERMREESKRATLQLVDMECGYLTVEFFRKLPQDVEKGGNPTHSLFDRYNDSYLRRVGSTVLQYVNMTCASLRNSIPKSIVYCQVREAKRSLLDFFFTELGKKESKQLSKMLDEDPAVQQRRANLAKRLELYRSAQHEIDAVAWSK >KJB11646 pep chromosome:Graimondii2_0_v6:1:54617640:54620022:-1 gene:B456_001G269400 transcript:KJB11646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIARLPKLRLATATAPKIDVDGSATDRGVQWVLIGEPGVKRHAYAERLSKLLEVPHISMGTLVRQELNPHSSLYKQIANAVNEGKLVPEDVIFALLSKRLEEGYYGGENGFILDGIPRTRIQAEILDQITDIDLVVNFKRTEEQMLKSSESEVLHIGSSKDVGTSWKKNVHVYSEQAKSVEDYYSKQKKLLNFQVSGAPADAWQGLLAALHLQHINALASSQKLTA >KJB11647 pep chromosome:Graimondii2_0_v6:1:54617640:54620043:-1 gene:B456_001G269400 transcript:KJB11647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIARLPKLRLATATAVSVSTFLKPLRHGLRSFGSAAALELPDEDVYYEYEQAHRDRRSAQPKIDVDGSATDRGVQWVLIGEPGVKRHAYAERLSKLLEVPHISMGTLVRQELNPHSSLYKQIANAVNEGKLVPEDVIFALLSKRLEEGYYGGENGFILDGIPRTRIQAEILDQITDIDLVVNFKRTEEQMLKSSESEVLHIGSSKDVGTSWKKNVHVYSEQAKSVEDYYSKQKKLLNFQVSGAPADAWQGLLAALHLQHINALASSQKLTA >KJB08259 pep chromosome:Graimondii2_0_v6:1:7582652:7585796:1 gene:B456_001G074100 transcript:KJB08259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G62640) UniProtKB/TrEMBL;Acc:F4K7R6] MDSLFALEPNSFPLLLCCCFFFFFLQDSVYYHPTLNPTGAPPPGKPPMYKSSIGPRIPLSAASSSAAASSSQTESEDVPLAVPPPPPLPDAGSNSGDGTVVPLSLPLPPPPPVPSTPATANLGIPLPPPPPGPPPKEQVAVRPPLPPPPPLPQSAQPLPPGTSGNMPDDSTSKELAQVPTVLPPPPPGMPPKLATNQGEGAPSEADTNNHTAISKMVPPPPPPPRQPPVPGPAMVPALQPDVLPPGIPGFPPPPLPDMRAALSASGLPSQTAPPGMMVPLIPKPPLGPPPGPPPMMRPPLPPGPPPAALDDYNLANRPPLPQKPSYVKSAASTVVKRPLAQHQPELTAMVPASVRVRREITAPKARPKPSHLIMTSTTKPVATTIVKPESASTSSAPKAQSIDDSYTAFLEDMKALGALDS >KJB08258 pep chromosome:Graimondii2_0_v6:1:7581073:7585796:1 gene:B456_001G074100 transcript:KJB08258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G62640) UniProtKB/TrEMBL;Acc:F4K7R6] MKEKGETPVMFSHLGPPRRRTTAEEEERAKHPKPEDSVYYHPTLNPTGAPPPGKPPMYKSSIGPRIPLSAASSSAAASSSQTESEDVPLAVPPPPPLPDAGSNSGDGTVVPLSLPLPPPPPVPSTPATANLGIPLPPPPPGPPPKEQVAVRPPLPPPPPLPQSAQPLPPGTSGNMPDDSTSKELAQVPTVLPPPPPGMPPKLATNQGEGAPSEADTNNHTAISKMVPPPPPPPRQPPVPGPAMVPALQPDVLPPGIPGFPPPPLPDMRAALSASGLPSQTAPPGMMVPLIPKPPLGPPPGPPPMMRPPLPPGPPPAALDDYNLANRPPLPQKPSYVKSAASTVVKRPLAQHQPELTAMVPASVRVRREITAPKARPKPSHLIMTSTTKPVATTIVKPESASTSSAPKAQSIDDSYTAFLEDMKALGALDS >KJB08257 pep chromosome:Graimondii2_0_v6:1:7581053:7585804:1 gene:B456_001G074100 transcript:KJB08257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G62640) UniProtKB/TrEMBL;Acc:F4K7R6] MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPHAIMEQIEKLEVMKAEGALDKARKHKKRQLEDTLNLVLKKRKEFEDKMKEKGETPVMFSHLGPPRRRTTAEEEERAKHPKPEDSVYYHPTLNPTGAPPPGKPPMYKSSIGPRIPLSAASSSAAASSSQTESEDVPLAVPPPPPLPDAGSNSGDGTVVPLSLPLPPPPPVPSTPATANLGIPLPPPPPGPPPKEQVAVRPPLPPPPPLPQSAQPLPPGTSGNMPDDSTSKELAQVPTVLPPPPPGMPPKLATNQGEGAPSEADTNNHTAISKMVPPPPPPPRQPPVPGPAMVPALQPDVLPPGIPGFPPPPLPDMRAALSASGLPSQTAPPGMMVPLIPKPPLGPPPGPPPMMRPPLPPGPPPAALDDYNLANRPPLPQKPSYVKSAASTVVKRPLAQHQPELTAMVPASVRVRREITAPKARPKPSHLIMTSTTKPVATTIVKPESASTSSAPKAQSIDDSYTAFLEDMKALGALDS >KJB10846 pep chromosome:Graimondii2_0_v6:1:46433407:46436722:1 gene:B456_001G228100 transcript:KJB10846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHHHLCYKMQECFNAIRNKISDCNSLQDRHGSRYLINGKVVIEHSPGLSGPGKSATVQVYSSTVIDPNTRKGKLSGKACLKKGKSSKKDSTKTTVYKIKLHVEPGFGNPGAFLIENNHKHRFFLQSATLVPPENNVIHFDCRSWVYPIKDTNTSRLFFSNTCYLPSKSPACLVELRKEELESLRGDGTGERKEWDRIYDYDVYDDLGNPEKGPDHVRPVLGGSRSRPYPRRGRTGRPATKNGTISLDTYVPPDERCSPKKLSEFIANAIQATAHFLLPEAKSLPRDSSSFESFGDIRDLYSNNRRQAMRGTSVTEKVKKFVPAQLFKDVTHVIEEVDIKFPLPQIIRANQFAWKLDEEFGRQMLAGTNPTRIHCLREFPPRGTLTESYIEQSDIEHNLDGLSFEQAMKQWRIYVLDHHDYLLPFLRKMYSEGVCPCASRTLLFLRNDATLKPLAIELTYPGSSNNVAGMKTMVFLPEKEDIPQALWQLAKAHVAANDSAYHQLISHWLHTHAVVEPFIIATRRQLSVMHPIHRLLDPHFKDTMHINALARTVLINAGGILEKTLFTGKFSMELSSELYKQWRFDEQALPSDLIKRCMALEESENPRGAHMLFQDYPYGLDGLDIWLAIQTWVGDFCDIFYEDDASVKSDTEIQAWWLEIRNVGHGDKRKEKWWCQMTTKADLKRTLTTLVWIASALHASVNFGQYSYAGYPPNRPSRCRKFVPEEGTMEFAEFLKDPDKYFLNMLPDRFEASLGIALMEVLSRHTSEEVYLGQRATSEWIDNEQVKQRFEKFNKSLREMEKQIMERNGDPELMNRRGPAKVPYKLLYPDATKVETSAGITAKGIPNSISI >KJB06208 pep chromosome:Graimondii2_0_v6:1:15906980:15907338:-1 gene:B456_001G126600 transcript:KJB06208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIALCLVLLLAFLSPSLSQGTQFCPIELTMDGSPCGENGKYDCVEVMIARYGASAMPNTCSCSTLPDMQRTCNCLIVCQNSKLLD >KJB10378 pep chromosome:Graimondii2_0_v6:1:35239204:35243908:1 gene:B456_001G198000 transcript:KJB10378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLRAWLLALAVVVVCGVAQVRSSASDHRYTAGDEVPLYANKVGPFHNPSETYRLFDFPFCSSAPVKEKKEALGEVLNGDRLVSAPYRLDFLLAKEEVAKFRTAVSKNYYFQMYYNDLPIWGFLGKVDNEGKADPSEYKYYLFKHLIFEILYNKDRVIEITVRSDPNSLVDLTQDEPVNVDFMYTVKWKETETPFEKRMDKYSQSSSMPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVEYAHDEESADDQEETGWKYIHGDVFSTSFIFILALVGVFYPYNRGALFSALVVIYALTSLIAGYTAASFYCQLEGTNWVRNLLLTGSLSYGPLFVTFCFLNTVAIAYKATAALPFGTIVVIFLIWTLVTSPLLILGGIAGKNTKAEFQVPCRTTIYPREIPPLPRYQQTLPQMATAGFLPFSAICIELYYIFASVWGHRIYTIDSILFIVFILLLIVSAFVTVAMTYFQLAAEDHEWWWRSFLCGGSKPSYKT >KJB06416 pep chromosome:Graimondii2_0_v6:1:1471188:1473387:-1 gene:B456_001G015700 transcript:KJB06416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSGGSLSLPPPCPKSPPEYPDLYGKRRETAKVQMLEREISFLEVELKSVEGLQHASSCCKEVTDFVTTNSDPLIPTNRKNRKSCRLWKWLCGIPCFNLSMICCCCYSKCSCHLTCRPCYNCIDMCDCSLCKCNSCNCNSCLNCCTNPRWRCCSCPKSQCCGNISCGKNCCIFRFPSCMDRCCRWKCCPKCPKIRLCCCTKNCCNPCCFLF >KJB10241 pep chromosome:Graimondii2_0_v6:1:31860867:31864773:-1 gene:B456_001G191200 transcript:KJB10241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHACSQLDIICPTDFFVFTMKIKVLAKSDDLKISSMVPCVYRFYSVGSLISAFSTGNLKLIAEYFQNSETLGGPSRDWVGIYEECSTILYQEIDYINEGKNADRFRRDFRNIKWVRVPMVFWDYTATKVLTLEYVPGIKINQLDALDARGYNRSRISSHAIEAYLIQILRTGFFHADPHPGNLAIDVDESIIYYDFGMMGEIKSFTRERLLELFYAVYEKDAKKVMQSLIDLGALQPTGDLSSVRRSVQFFLDNLLDQRPDQDTTLAAIGEDLFAIAQDQPFRFPSTFTFVLRAFSTLEGIGYILDPNFSFAKIAAPYAQELLDIRQRQRTGSQLVQEIRKQADDARSYTMSMPYRVQRIEEILKQLESGDLRLRVRVLESERAARKATILQMATMYTVLGGTLVNLGVTFSSQGSQIIANGSFVGAGVFLTLFLRSMQRVKKLDKFEKMI >KJB10243 pep chromosome:Graimondii2_0_v6:1:31860867:31867378:-1 gene:B456_001G191200 transcript:KJB10243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPNCRIKSLHSLQRKQGASLRRNWELLFMYCLRNLRTSQLLQLALVHRAVLHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQNSETLGGPSRDWVGIYEECSTILYQEIDYINEGKNADRFRRDFRNIKWVRVPMVFWDYTATKVLTLEYVPGIKINQLDALDARGYNRSRISSHAIEAYLIQILRTGFFHADPHPGNLAIDVDESIIYYDFGMMGEIKSFTRERLLELFYAVYEKDAKKVMQSLIDLGALQPTGDLSSVRRSVQFFLDNLLDQRPDQDTTLAAIGEDLFAIAQDQPFRFPSTFTFVLRAFSTLEGIGYILDPNFSFAKIAAPYAQELLDIRQRQRTGSQLVQEIRKQADDARSYTMSMPYRVQRIEEILKQLESGDLRLRVRVLESERAARKATILQMATMYTVLGGTLVNLGVTFSSQGSQIIANGSFVGAGVFLTLFLRSMQRVKKLDKFEKMI >KJB10238 pep chromosome:Graimondii2_0_v6:1:31860771:31867573:-1 gene:B456_001G191200 transcript:KJB10238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTEPTPKVGINGRAVKMVPASEVVKRQAPATRKVEQVNGVKQVINGNGASIVRRKNSPSLVKMPISRVSKDLPPLEELKILPSDENFSWANENYSSLQRSIDVWSFVISLRVRVLLDNAKWAYAGGFTEDKQKKRRRTTASWLRERVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDKVPAFSPKKARGFIEKELGAPIHVLFKEFEDQPIAAASLGQVHRAVLHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQNSETLGGPSRDWVGIYEECSTILYQEIDYINEGKNADRFRRDFRNIKWVRVPMVFWDYTATKVLTLEYVPGIKINQLDALDARGYNRSRISSHAIEAYLIQILRTGFFHADPHPGNLAIDVDESIIYYDFGMMGEIKSFTRERLLELFYAVYEKDAKKVMQSLIDLGALQPTGDLSSVRRSVQFFLDNLLDQRPDQDTTLAAIGEDLFAIAQDQPFRFPSTFTFVLRAFSTLEGIGYILDPNFSFAKIAAPYAQELLDIRQRQRTGSQLVQEIRKQADDARSYTMSMPYRVQRIEEILKQLESGDLRLRVRVLESERAARKATILQMATMYTVLGGTLVNLGVTFSSQGSQIIANGSFVGAGVFLTLFLRSMQRVKKLDKFEKMI >KJB10242 pep chromosome:Graimondii2_0_v6:1:31861310:31866681:-1 gene:B456_001G191200 transcript:KJB10242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILASHSCYSCNYEMMNQGRAVGTLSFSGSISNNFVNLERQIYCLPMTGKSFRFQVEMQQTEPTPKVGINGRAVKMVPASEVVKRQAPATRKVEQVNGVKQVINGNGASIVRRKNSPSLVKMPISRVSKDLPPLEELKILPSDENFSWANENYSSLQRSIDVWSFVISLRVRVLLDNAKWAYAGGFTEDKQKKRRRTTASWLRERVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDKVPAFSPKKARGFIEKELGAPIHVLFKEFEDQPIAAASLGQVHRAVLHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQNSETLGGPSRDWVGIYEECSTILYQEIDYINEGKNADRFRRDFRNIKWVRVPMVFWDYTATKVLTLEYVPGIKINQLDALDARGYNRSRISSHAIEAYLIQILRTGFFHADPHPGNLAIDVDESIIYYDFGMMGEIKSFTRERLLELFYAVYEKDAKKVMQSLIDLGALQPTGDLSSVRRSVQFFLDNLLDQRPDQDTTLAAIGEDLFAIAQDQPFRFPSTFTFVLRAFSTLEGIGYILDPNFSFAKIAAPYAQELLDIRQRQRTGSQLVQEIRKQADDARSYTMSMPYRVQRIEEILKQLESGDLRLRVRVLESERAARKATILQMATMYTVLGGTLVNLGVTFSSQGSQIIANGSFVGAGGVIHPT >KJB10239 pep chromosome:Graimondii2_0_v6:1:31861143:31866681:-1 gene:B456_001G191200 transcript:KJB10239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILASHSCYSCNYEMMNQGRAVGTLSFSGSISNNFVNLERQIYCLPMTGKSFRFQVEMQQTEPTPKVGINGRAVKMVPASEVVKRQAPATRKVEQVNGVKQVINGNGASIVRRKNSPSLVKMPISRVSKDLPPLEELKILPSDENFSWANENYSSLQRSIDVWSFVISLRVRVLLDNAKWAYAGGFTEDKQKKRRRTTASWLRERVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDKVPAFSPKKARGFIEKELGAPIHVLFKEFEDQPIAAASLGQVHRAVLHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQNSETLGGPSRDWVGIYEECSTILYQEIDYINEGKNADRFRRDFRNIKWVRVPMVFWDYTATKVLTLEYVPGIKINQLDALDARGYNRSRISSHAIEAYLIQILRTGFFHADPHPGNLAIDVDESIIYYDFGMMGEIKSFTRERLLELFYAVYEKDAKKVMQSLIDLGALQPTGDLSSVRRSVQFFLDNLLDQRPDQDTTLAAIGEDLFAIAQDQPFRFPSTFTFVLRAFSTLEGIGYILDPNFSFAKIAAPYAQELLDIRQRQRTGSQLVQEIRKQADDARSYTMSMPYRVQRIEEILKQLESGDLRLRVRVLESERAARKATILQMATMYTVLGGTLVNLGVTFSSQGSQIIANGSFVGAGVFLTLFLRSMQRVKKLDKFEKMI >KJB10240 pep chromosome:Graimondii2_0_v6:1:31860840:31867522:-1 gene:B456_001G191200 transcript:KJB10240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILASHSCYSCNYEMMNQGRAVGKSFRFQVEMQQTEPTPKVGINGRAVKMVPASEVVKRQAPATRKVEQVNGVKQVINGNGASIVRRKNSPSLVKMPISRVSKDLPPLEELKILPSDENFSWANENYSSLQRSIDVWSFVISLRVRVLLDNAKWAYAGGFTEDKQKKRRRTTASWLRERVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDKVPAFSPKKARGFIEKELGAPIHVLFKEFEDQPIAAASLGQVHRAVLHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQNSETLGGPSRDWVGIYEECSTILYQEIDYINEGKNADRFRRDFRNIKWVRVPMVFWDYTATKVLTLEYVPGIKINQLDALDARGYNRSRISSHAIEAYLIQILRTGFFHADPHPGNLAIDVDESIIYYDFGMMGEIKSFTRERLLELFYAVYEKDAKKVMQSLIDLGALQPTGDLSSVRRSVQFFLDNLLDQRPDQDTTLAAIGEDLFAIAQDQPFRFPSTFTFVLRAFSTLEGIGYILDPNFSFAKIAAPYAQELLDIRQRQRTGSQLVQEIRKQADDARSYTMSMPYRVQRIEEILKQLESGDLRLRVRVLESERAARKATILQMATMYTVLGGTLVNLGVTFSSQGSQIIANGSFVGAGVFLTLFLRSMQRVKKLDKFEKMI >KJB10237 pep chromosome:Graimondii2_0_v6:1:31861470:31866681:-1 gene:B456_001G191200 transcript:KJB10237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILASHSCYSCNYEMMNQGRAVGTLSFSGSISNNFVNLERQIYCLPMTGKSFRFQVEMQQTEPTPKVGINGRAVKMVPASEVVKRQAPATRKVEQVNGVKQVINGNGASIVRRKNSPSLVKMPISRVSKDLPPLEELKILPSDENFSWANENYSSLQRSIDVWSFVISLRVRVLLDNAKWAYAGGFTEDKQKKRRRTTASWLRERVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDKVPAFSPKKARGFIEKELGAPIHVLFKEFEDQPIAAASLGQVHRAVLHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQNSETLGGPSRDWVGIYEECSTILYQEIDYINEGKNADRFRRDFRNIKWVRVPMVFWDYTATKVLTLEYVPGIKINQLDALDARGYNRSRISSHAIEAYLIQILRTGFFHADPHPGNLAIDVDESIIYYDFGMMGEIKSFTRERLLELFYAVYEKDAKKVMQSLIDLGALQPTGDLSSVRRSVQFFLDNLLDQRPDQDTTLAAIGEDLFAIAQDQPFRFPSTFTFVLRAFSTLEGIGYILDPNFSFAKIAAPYAQELLDIRQRQRTGSQLVQEIRKQADDARSYTMSMPYRVQRIEEILKQLESGDLRLRVRVLENFLTV >KJB08773 pep chromosome:Graimondii2_0_v6:1:11487936:11489843:1 gene:B456_001G102900 transcript:KJB08773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQELELLMMHHRTYCAEIAHDVSTKKRKEIVERAAQLDVVVTNKLARLRSQEDE >KJB06452 pep chromosome:Graimondii2_0_v6:1:22640253:22641545:1 gene:B456_001G157900 transcript:KJB06452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETESMQSAVESVMEKISEKIHGHDSSSSSDSDSDSDHEKPASPSSVKAKIYRLFGRERPLHHVLGGGKPADVFLWRNKKISAGVLGGATAIWVLFELIEYHLLTLLCHISILSLAVLFLWSNVHTFIHKTPPRIPQVHLPEEPFLQIASALTIELNQALELLRDIASGRNLKKFLVVCLGFKS >KJB06451 pep chromosome:Graimondii2_0_v6:1:22640213:22642241:1 gene:B456_001G157900 transcript:KJB06451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETESMQSAVESVMEKISEKIHGHDSSSSSDSDSDSDHEKPASPSSVKAKIYRLFGRERPLHHVLGGGKPADVFLWRNKKISAGVLGGATAIWVLFELIEYHLLTLLCHISILSLAVLFLWSNVHTFIHKTPPRIPQVHLPEEPFLQIASALTIELNQALELLRDIASGRNLKKFLVVVAAFWVLSVVGSWCNFLTLFYTSFVLLHTVPVLYEKYEDKVDPFAEKAVIEIKKQYAVFDANVLSKIPTGPLKSKKV >KJB06453 pep chromosome:Graimondii2_0_v6:1:22640253:22642163:1 gene:B456_001G157900 transcript:KJB06453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETESMQSAVESVMEKISEKIHGHDSSSSSDSDSDSDHEKPASPSSVKAKIYRLFGRERPLHHVLGGGKPADVFLWRNKKISAGVLGGATAIWVLFELIEYHLLTLLCHISILSLAVLFLWSNVHTFIHKTPPRIPQVHLPEEPFLQIASALTIELNQALELLRDIASGRNLKKFLVVVAAFWVLSVVGSWCNFLTLFYTCNDTGLLFLVPSSFIYTNFILNFDPSSLWKSAFVLLHTVPVLYEKYEDKVDPFAEKAVIEIKKQYAVFDANVLSKIPTGPLKSKKV >KJB10829 pep chromosome:Graimondii2_0_v6:1:46286982:46287387:1 gene:B456_001G227100 transcript:KJB10829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGLGSIVRKAASVASIAAKNAYAAASTTSSSDEEMIPLKCCSMSITLPWEHIAYDLLFKGSPPVNL >KJB06662 pep chromosome:Graimondii2_0_v6:1:2354454:2357790:-1 gene:B456_001G025000 transcript:KJB06662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MHIDVGDPTRISIHHLFFSLSSDGHSQSLFSNTNPLYITILTLFQYLHHFFNHKQKKSNCSRFSLSMGNPNDTHTKLVPGAAGFLLQDVPHFTDYLDHLPSYPNPLQNNPAYSVVEQYFVDEDDTVTEEIVVHKESARGVHFRRAGPRQKVYFKSDDVNACIVTCGGLCPGLNTVIREIVCGLYHMYGVRKVLGIDGGYRGFYAKNTITLTPKFVDDIHKRGGTILGTSRGGHDSVKIVDRIQDHGINQVYIIGGDGTHKGAAVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTVVEEAQRAIKAAHVESESIGNGIGLVKLMGRYCGFIAMYATLASRDVDCCLIPESPFYLEGKGGLYEYIGKRLKQNGHMVIVIAEGAGQELLSESLQSVDQQDASGNKLLQDVGLWISHKIKEHFAKKKMPINLKYIDPTYMIRAIPSIASDNVYCTLLAHGAVHGAMTGYTGFTVGPVNGRHAYIPFNRIIEKQNKVVITDRMWARLLSSTNQPSFLKPRDIAEAKKEKYPANGILDGKIVKTMSMN >KJB06663 pep chromosome:Graimondii2_0_v6:1:2353903:2357870:-1 gene:B456_001G025000 transcript:KJB06663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MHIDVGDPTRISIHHLFFSLSSDGHSQSLFSNTNPLYITILTLFQYLHHFFNHKQKKSNCSRFSLSMGNPNDTHTKLVPGAAGFLLQDVPHFTDYLDHLPSYPNPLQNNPAYSVVEQYFVDEDDTVTEEIVVHKESARGVHFRRAGPRQKVYFKSDDVNACIVTCGGLCPGLNTVIREIVCGLYHMYGVRKVLGIDGGYRGFYAKNTITLTPKFVDDIHKRGGTILGTSRGGHDSVKIVDRIQDHGINQVYIIGGDGTHKGAAVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTVVEEAQRAIKAAHVESESIGNGIGLVKLMGRYCGFIAMYATLASRDVDCCLIPESPFYLEGKGGLYEYIGKRLKQNGHMVIVIAEGAGQELLSESLQSVDQQDASGNKLLQDVGLWISHKIKILHT >KJB09161 pep chromosome:Graimondii2_0_v6:1:16054315:16069512:-1 gene:B456_001G127100 transcript:KJB09161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPQSDLTDNHQPMEVVAQPEAANTVENQPVEDPPSSRFTWRIENFSRLNAKKHYSEVFVVGGYKWRILLFPKGNNVDHLSMYLDVADSASLPYGWSRYAQFSLAVVNQIHNKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPCRGYLVNDTLIVEAEVVVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTHDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCHDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDGGKYLSPDADRRVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDDDLAGQIGKDIYFDLVDHDKVRSFRIQKQITFNVFKEEIAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPLEETQSVGTLREVSNKVHNAELRLFLEVELGLDLRPIAPPDKTKEDILLFFKHYNPEKEELRFVGRLFVKSTGKPIEILSKLNKMAGYAPDEEINLYEEIKFEPSVMCEPIDKKITFRASQLEDGDIVCFQKSLPVESTEQFRYPDVPSFLEYVHNRQIVHFRSLEKPKEDDFCLEMSRLYTYDDVVERVAQKLGLNDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPDAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKETAQNQMQILNFGEPFFLVIHEGETLAEIKVRIQKKLQVPDEDFAKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRAYAANQDVT >KJB09163 pep chromosome:Graimondii2_0_v6:1:16054847:16069512:-1 gene:B456_001G127100 transcript:KJB09163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMAPAPIDQQEDEEMLVPQSDLTDNHQPMEVVAQPEAANTVENQPVEDPPSSRFTWRIENFSRLNAKKHYSEVFVVGGYKWRILLFPKGNNVDHLSMYLDVADSASLPYGWSRYAQFSLAVVNQIHNKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPCRGYLVNDTLIVEAEVVVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTHDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCHDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDGGKYLSPDADRRVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDDDLAGQIGKDIYFDLVDHDKVRSFRIQKQITFNVFKEEIAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPLEETQSVGTLREVSNKVHNAELRLFLEVELGLDLRPIAPPDKTKEDILLFFKHYNPEKEELRFVGRLFVKSTGKPIEILSKLNKMAGYAPDEEINLYEEIKFEPSVMCEPIDKKITFRASQLEDGDIVCFQKSLPVESTEQFRYPDVPSFLEYVHNRQIVHFRSLEKPKEDDFCLEMSRLYTYDDVVERVAQKLGLNDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKTKVKLSHPDAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKETAQNQMQILNFGEPFFLVIHEGETLAEIKVRIQKKLQVPDEDFAKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRAYAANQNRHTFEKPVKIYN >KJB09165 pep chromosome:Graimondii2_0_v6:1:16054847:16069512:-1 gene:B456_001G127100 transcript:KJB09165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPQSDLTDNHQPMEVVAQPEAANTVENQPVEDPPSSRFTWRIENFSRLNAKKHYSEVFVVGGYKWRILLFPKGNNVDHLSMYLDVADSASLPYGWSRYAQFSLAVVNQIHNKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPCRGYLVNDTLIVEAEVVVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTHDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCHDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDGGKYLSPDADRRVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDDDLAGQIGKDIYFDLVDHDKVRSFRIQKQITFNVFKEEIAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPLEETQSVGTLREVSNKVHNAELRLFLEVELGLDLRPIAPPDKTKEDILLFFKHYNPEKEELRFVGRLFVKSTGKPIEILSKLNKMAGYAPDEEINLYEEIKFEPSVMCEPIDKKITFRASQLEDGDIVCFQKSLPVESTEQFRYPDVPSFLEYVHNRQIVHFRSLEKPKEDDFCLEMSRLYTYDDVVERVAQKLGLNDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPDAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKETAQNQMQILNFGEPFFLVIHEGETLAEIKVRIQKKLQVPDEDFAKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRAYAANQNRHTFEKPVKIYN >KJB09162 pep chromosome:Graimondii2_0_v6:1:16055849:16069292:-1 gene:B456_001G127100 transcript:KJB09162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMAPAPIDQQEDEEMLVPQSDLTDNHQPMEVVAQPEAANTVENQPVEDPPSSRFTWRIENFSRLNAKKHYSEVFVVGGYKWRILLFPKGNNVDHLSMYLDVADSASLPYGWSRYAQFSLAVVNQIHNKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPCRGYLVNDTLIVEAEVVVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTHDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCHDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDGGKYLSPDADRRVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDDDLAGQIGKDIYFDLVDHDKVRSFRIQKQITFNVFKEEIAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPLEETQSVGTLREVSNKVHNAELRLFLEVELGLDLRPIAPPDKTKEDILLFFKHYNPEKEELRFVGRLFVKSTGKPIEILSKLNKMAGYAPDEEINLYEEIKFEPSVMCEPIDKKITFRASQLEDGDIVCFQKSLPVESTEQFRYPDVPSFLEYVHNRQIVHFRSLEKPKEDDFCLEMSRLYTYDDVVERVAQKLGLNDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPDAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKETAQNQMQILNFGEPFFLVIHEGETLAEIKVRIQKKLQVPDEDFAKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRAYAANQNRHTFEKPVKIYN >KJB09164 pep chromosome:Graimondii2_0_v6:1:16055242:16069135:-1 gene:B456_001G127100 transcript:KJB09164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPQSDLTDNHQPMEVVAQPEAANTVENQPVEDPPSSRFTWRIENFSRLNAKKHYSEVFVVGGYKWRILLFPKGNNVDHLSMYLDVADSASLPYGWSRYAQFSLAVVNQIHNKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPCRGYLVNDTLIVEAEVVVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTHDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCHDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDGGKYLSPDADRRVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDDDLAGQIGKDIYFDLVDHDKVRSFRIQKQITFNVFKEEIAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPLEETQSVGTLREVSNKVHNAELRLFLEVELGLDLRPIAPPDKTKEDILLFFKHYNPEKEELRFVGRLFVKSTGKPIEILSKLNKMAGYAPDEEINLYEEIKFEPSVMCEPIDKKITFRASQLEDGDIVCFQKSLPVESTEQFRYPDVPSFLEYVHNRQIVHFRSLEKPKEDDFCLEMSRLYTYDDVVERVAQKLGLNDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPDAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKETAQNQMQILNFGEPFFLVIHEGETLAEIKVRIQKKLQVPDEDFAKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRAYAANQNRHTFEKPVKIYN >KJB09160 pep chromosome:Graimondii2_0_v6:1:16054440:16069292:-1 gene:B456_001G127100 transcript:KJB09160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMAPAPIDQQEDEEMLVPQSDLTDNHQPMEVVAQPEAANTVENQPVEDPPSSRFTWRIENFSRLNAKKHYSEVFVVGGYKWRILLFPKGNNVDHLSMYLDVADSASLPYGWSRYAQFSLAVVNQIHNKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPCRGYLVNDTLIVEAEVVVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTHDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCHDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDGGKYLSPDADRRVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDDDLAGQIGKDIYFDLVDHDKVRSFRIQKQITFNVFKEEIAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPLEETQSVGTLREVSNKVHNAELRLFLEVELGLDLRPIAPPDKTKEDILLFFKHYNPEKEELRFVGRLFVKSTGKPIEILSKLNKMAGYAPDEEINLYEEIKFEPSVMCEPIDKKITFRASQLEDGDIVCFQKSLPVESTEQFRYPDVPSFLEYVHNRQIVHFRSLEKPKEDDFCLEMSRLYTYDDVVERVAQKLGLNDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPDAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKETAQNQMQILNFGEPFFLVIHEGETLAEIKVRIQKKLQVPDEDFAKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRAYAANQDVT >KJB08005 pep chromosome:Graimondii2_0_v6:1:5738965:5745877:1 gene:B456_001G058100 transcript:KJB08005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYSGSPRRNISRSSFSSARRKALENGRSDSVRKLLPSPRPTGLAGERTVKKLRLSKALTVPESTTIYEACRRMAARKVDALLLTDSNALLCGILTDKDIVTRVIARELNMEETPVSKVMTKNPVFVLSDTLAVEALQKMVQGKFRHLPVVQNGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGTSFSGQNTFIETLREQMFRPSLSTIIADNPKIVIVSPDDTVLTTTKKMQECRINSAVVLVENKPRGILTSKDILMRVIAQNLPPETTSVEQVMTPNPECATLDTPIVSALHTMHDGNFLHLPVLDRDADGELVSIVDVIEVTHAAVATVSQVGKNFGVNNEAASTMVQKFWDSAMALPPIEDEDETRSYSSLKLASEAAETERSLPYPSSNFPFTFGFKIQDRMGRMHRFTSDTRSLTDLITAVLQRLGDDRDRDSVPQILYEDEDHDKVVLASDNDLQVAVEHAKSVGLKIRSNT >KJB08001 pep chromosome:Graimondii2_0_v6:1:5738607:5746826:1 gene:B456_001G058100 transcript:KJB08001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYSGSPRRNISRSSFSSARRKALENGRSDSVRKLLPSPRPTGLAGERTVKKLRLSKALTVPESTTIYEACRRMAARKVDALLLTDSNALLCGILTDKDIVTRVIARELNMEETPVSKVMTKNPVFVLSDTLAVEALQKMVQGKFRHLPVVQNGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGTSFSGQNTFIETLREQMFRPSLSTIIADNPKIVIVSPDDTVLTTTKKMQECRINSAVVLVENKPRGILTSKDILMRVIAQNLPPETTSVEQVMTPNPECATLDTPIVSALHTMHDGNFLHLPVLDRDADGELVSIVDVIEVTHAAVATVSQVGKNFGVNNEAASTMVQKFWDSAMALPPIEDEDETRSYSSLKLASEAAETERSLPYPSSNFPFTFGFKIQDRMGRMHRFTSDTRSLTDLITAVLQRLGDDRDRDSVPQILYEDEDHDKVVLASDNDLQVAVEHAKSVGLKGLRLHLDYSGTKDHRRRGSGSGCMDYANSDAWNTACNTVAAGAAVVAGLSLLAYLRKAGN >KJB08003 pep chromosome:Graimondii2_0_v6:1:5738824:5745864:1 gene:B456_001G058100 transcript:KJB08003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYSGSPRRNISRSSFSSARRKALENGRSDSVRKLLPSPRPTGLAGERTVKKLRLSKALTVPESTTIYEACRRMAARKVDALLLTDSNALLCGILTDKDIVTRVIARELNMEETPVSKVMTKNPVFVLSDTLAVEALQKMVQGKFRHLPVVQNGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGTSFSGQNTFIETLREQMFRPSLSTIIADNPKIVIVSPDDTVLTTTKKMQECRINSAVVLVENKPRGILTSKDILMRVIAQNLPPETTSVEQVMTPNPECATLDTPIVSALHTMHDGNFLHLPVLDRDGELVSIVDVIEVTHAAVATVSQVGKNFGVNNEAASTMVQKFWDSAMALPPIEDEDETRSYSSLKLASEAAETERSLPYPSSNFPFTFGFKIQDRMGRMHRFTSDTRSLTDLITAVLQRLGDDRDRDSVPQILYEDEDHDKVVLASDNDLQVAVEHAKSVGLKIRSNT >KJB08006 pep chromosome:Graimondii2_0_v6:1:5738965:5746826:1 gene:B456_001G058100 transcript:KJB08006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYSGSPRRNISRSSFSSARRKALENGRSDSVRKLLPSPRPTGLAGERTVKKLRLSKALTVPESTTIYEACRRMAARKVDALLLTDSNALLCGILTDKDIVTRVIARELNMEETPVSKVMTKNPVFVLSDTLAVEALQKMVQGKFRHLPVVQNGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGTSFSGQNTFIETLREQMFRPSLSTIIADNPKIVIVSPDDTVLTTTKKMQECRINSAVVLVENKPRGILTSKDILMRVIAQNLPPETTSVEQVMTPNPECATLDTPIVSALHTMHDGNFLHLPVLDRDADGELVSIVDVIEVTHAAVATVSQVGKNFGVNNEAASTMVQKFWDSAMALPPIEDEDETRSYSSLKLASEAAETERSLPYPSSNFPFTFGFKIQDRMGRMHRFTSVLQRLGDDRDRDSVPQILYEDEDHDKVVLASDNDLQVAVEHAKSVGLKIRSNT >KJB08004 pep chromosome:Graimondii2_0_v6:1:5738824:5746844:1 gene:B456_001G058100 transcript:KJB08004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYSGSPRRNISRSSFSSARRKALENGRSDSVRKLLPSPRPTGLAGERTVKKLRLSKALTVPESTTIYEACRRMAARKVDALLLTDSNALLCGILTDKDIVTRVIARELNMEETPVSKVMTKNPVFVLSDTLAVEALQKMVQGKFRHLPVVQNGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGTSFSGQNTFIETLREQMFRPSLSTIIADNPKIVIVSPDDTVLTTTKKMQECRINSAVVLVENKPRGILTSKDILMRVIAQNLPPETTSVEQVMTPNPECATLDTPIVSALHTMHDGNFLHLPVLDRDGELVSIVDVIEVTHAAVATVSQVGKNFGVNNEAASTMVQKFWDSAMALPPIEDEDETRSYSSLKLASEAAETERSLPYPSSNFPFTFGFKIQDRMGRMHRFTSDTRSLTDLITAVLQRLGDDRDRDSVPQILYEDEDHDKVVLASDNDLQVAVEHAKSVGLKGLN >KJB08002 pep chromosome:Graimondii2_0_v6:1:5738764:5746851:1 gene:B456_001G058100 transcript:KJB08002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYSGSPRRNISRSSFSSARRKALENGRSDSVRKLLPSPRPTGLAGERTVKKLRLSKALTVPESTTIYEACRRMAARKVDALLLTDSNALLCGILTDKDIVTRVIARELNMEETPVSKVMTKNPVFVLSDTLAVEALQKMVQGKFRHLPVVQNGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGTSFSGQNTFIETLREQMFRPSLSTIIADNPKIVIVSPDDTVLTTTKKMQECRINSAVVLVENKPRGILTSKDILMRVIAQNLPPETTSVEQVMTPNPECATLDTPIVSALHTMHDGNFLHLPVLDRDGELVSIVDVIEVTHAAVATVSQVGKNFGVNNEAASTMVQKFWDSAMALPPIEDEDETRSYSSLKLASEAAETERSLPYPSSNFPFTFGFKIQDRMGRMHRFTSDTRSLTDLITAVLQRLGDDRDRDSVPQILYEDEDHDKVVLASDNDLQVAVEHAKSVGLKGLRLHLDYSGTKDHRRRGSGSGCMDYANSDAWNTACNTVAAGAAVVAGLSLLAYLRKAGN >KJB09459 pep chromosome:Graimondii2_0_v6:1:19561025:19561898:-1 gene:B456_001G143800 transcript:KJB09459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCTSSCFIQVSDMAKVIDAQGKLRKVKLPVKAAEIMLDEPGRVISPVEELKRTRCVVPMRADDELLAAKAYVLVPIQRVNCKVTDVDVAIIEAACSGKKRAKSGAKVLPELREEERQVDPVLAVPGCRKGNYRPWTPVLEPISEVL >KJB06384 pep chromosome:Graimondii2_0_v6:1:3237047:3239732:1 gene:B456_001G035100 transcript:KJB06384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIARLLLLALLPTALTISSGSGDGYTINGRVKIPQGFALPGKTSNVKVILNGGQIVTYLRPDGYFSFQNIPAGTHLIEVAAIGYFFSPVRVDVSARNPGKVQAALTENRRGLSELVLEPLRDEQYYEIREPFSIMSVVKSPMGLMVGFMLVVVFLMPKLVENMDPEEMRRAQEEMRNQGVPSLASLLPGGGRN >KJB06383 pep chromosome:Graimondii2_0_v6:1:3237017:3239749:1 gene:B456_001G035100 transcript:KJB06383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIARLLLLALLPTALTISSGSGDGYTINGRVKIPQGLGTKGFALPGKTSNVKVILNGGQIVTYLRPDGYFSFQNIPAGTHLIEVAAIGYFFSPVRVDVSARNPGKVQAALTENRRGLSELVLEPLRDEQYYEIREPFSIMSVVKSPMGLMVGFMLVVVFLMPKLVENMDPEEMRRAQEEMRNQGVPSLASLLPGGGRN >KJB09767 pep chromosome:Graimondii2_0_v6:1:23451198:23454067:1 gene:B456_001G163400 transcript:KJB09767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKRDENSQPEMLEGAKSMGAGAATIASAGAAVGIGNVFSSLIHSVARNPSLANIICGVAGFFGRFLGSEGTAIMTTTCVSFSSILSLIAFYEVAPGASACYLRIAPWISSEMFDASWGFFGDHPDAAVAPRRYGLDPLLSTPEHHSMSGIRGDILDVTTPLVGGCAVWERSWSGKAKTAPRIWVARGRLCPDGGALWGRAQPNRDSTPPTSSAPLYRLNHSKSLVGGTGEPRELVRCVGQRRQTCTPYLILLRQVVDSFIREGKKGPKHGGCRTLEWQRKAGSYLFFQACLDIRFPRKIKLVSRPSIYVLFIHSYFFMPMLVTGDNSLQLFLGWEGVGLASYLLIHFWFTRLQADKAAIKAMPVNRVGDFGLAPGISGRFTLFQTVDFSTIFACASAPRNSWISCNMRLNAITLICILLLIGAVGKSAQIGSHTWSPDAMEGPTPVSALIHAATMVTAGVFMIARCSPLFEYPPTALIVITFAGATTSFLAATTGILQNDLKRVIAYSTCSQLGYMIFACGISNYSVSVFHLMNHAFFKALLFLSAGSVIHAMSDEQDMRKMGGLASSFPFTYAMMLMGSLSLIGFPFLTGFYSKDVILELAYTKYTISGNFAFWLGSVSVLFTSYYSFRLLFLTFLVPTNSFGRDILRCHDAPIPMAIPLILLALGSLFVGYLAKV >KJB11493 pep chromosome:Graimondii2_0_v6:1:53781832:53785853:-1 gene:B456_001G262100 transcript:KJB11493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIGTNFRHGANFATGGSSVRPPGFSPFNLGIQISQFIQFKARTTTLYNQLSLNRRIPLSISNLPRPAEFSQALYTFDIGQNDLGHGFQTMSEKQVRDSIPDIVGELSKAIHILYKEGARFFWIHNTGPLGCLPYNVIYGKKPGNVDKNGCVKAQNEAAMEFNKQLKNKITWLRTQLPFAKFTYVDVYSAKYDLISNAKKLGFVDPFNFCCGSFYGYHINCGKKAIVNGTVYGNPCDHPSNHISWDGIHYSETASMLVSNRILNGSLSDPPVSIQDACHHQTDI >KJB11490 pep chromosome:Graimondii2_0_v6:1:53781789:53785945:-1 gene:B456_001G262100 transcript:KJB11490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEEHWKLVYVIGILALGFAVKSNADGSISCGFPAIYNFGDSNSDTGGISATLNEILPPNGETFFGHPAGRASDGRLIIDFIAEGIKLPYLSAYMDSIGTNFRHGANFATGGSSVRPPGFSPFNLGIQISQFIQFKARTTTLYNQLSLNRRIPLSISNLPRPAEFSQALYTFDIGQNDLGHGFQTMSEKQVRDSIPDIVGELSKAIHILYKEGARFFWIHNTGPLGCLPYNVIYGKKPGNVDKNGCVKAQNEAAMEFNKQLKNKITWFCRSI >KJB11492 pep chromosome:Graimondii2_0_v6:1:53781990:53785395:-1 gene:B456_001G262100 transcript:KJB11492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIGTNFRHGANFATGGSSVRPPGFSPFNLGIQISQFIQFKARTTTLYNQLSLNRRIPLSISNLPRPAEFSQALYTFDIGQNDLGHGFQTMSEKQVRDSIPDIVGELSKAIHILYKEGARFFWIHNTGPLGCLPYNVIYGKKPGNVDKNGCVKAQNEAAMEFNKQLKNKITWLRTQLPFAKFTYVDVYSAKYDLISNAKKLGFVDPFNFCCGSFYGYHINCGKKAIVNGTVYGNPCDHPSNHISWDGIHYSETASMLVSNRILNGSLSDPPVSIQDACHHQTDI >KJB11491 pep chromosome:Graimondii2_0_v6:1:53781990:53785756:-1 gene:B456_001G262100 transcript:KJB11491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEEHWKLVYVIGILALGFAVKSNADGSISCGFPAIYNFGDSNSDTGGISATLNEILPPNGETFFGHPAGRASDGRLIIDFIAEGIKLPYLSAYMDSIGTNFRHGANFATGGSSVRPPGFSPFNLGIQISQFIQFKARTTTLYNQLSLNRRIPLSISNLPRPAEFSQALYTFDIGQNDLGHGFQTMSEKQVRDSIPDIVGELSKAIHILYKEGARFFWIHNTGPLGCLPYNVIYGKKPGNVDKNGCVKAQNEAAMEFNKQLKNKITWLRTQLPFAKFTYVDVYSAKYDLISNAKKLGFVDPFNFCCGSFYGYHINCGKKAIVNGTVYGNPCDHPSNHISWDGIHYSETASMLVSNRILNGSLSDPPVSIQDACHHQTDI >KJB06829 pep chromosome:Graimondii2_0_v6:1:1255925:1261467:-1 gene:B456_001G013300 transcript:KJB06829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNLRWFGVEGDYNVLVIDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFFHSKAFLHRDIKPDNFLMGLGRRANQVYIIDYGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTNPPARALGLGAGTSSPLPPAIANADRHTGHTSSWFVIHGFFSAESIRTLDELWKLCEAEESSCK >KJB06827 pep chromosome:Graimondii2_0_v6:1:1256369:1260942:-1 gene:B456_001G013300 transcript:KJB06827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNLRWFGVEGDYNVLVIDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFFHSKAFLHRDIKPDNFLMGLGRRANQVYIIDYGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTNPPARALGLGAGTSSPLPPAIANADRHTAMEDTRAAGLSSMDSSRRRASGPLMSSGNYAKQKSPVANDHFIGQSGGSSSRQVGVSSSRDAFAGSDVDPQRSRTAYASPGALQKNSSRQRSPIDSADPKRSMSARNTSHVKNYEAALKGIEGLQFESDERIHY >KJB06830 pep chromosome:Graimondii2_0_v6:1:1255870:1261547:-1 gene:B456_001G013300 transcript:KJB06830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNLRWFGVEGDYNVLVIDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFFHSKAFLHRDIKPDNFLMGLGRRANQVYIIDYGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTNPPARALGLGAGTSSPLPPAIANADRHTAMEDTRAAGLSSMDSSRRRASGPLMSSGNYAKQKSPVANDHVDHLQGKLVFLAVVMHLLVAMLTHNVLVQPTLAPEHCKRIQVDREVPLILPTLSVQCLQETPVMSRTMKRPSKESRACNLKATREFTIKRLDIQNLL >KJB06826 pep chromosome:Graimondii2_0_v6:1:1256369:1260942:-1 gene:B456_001G013300 transcript:KJB06826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNLRWFGVEGDYNVLVIDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFFHSKAFLHRDIKPDNFLMGLGRRANQVYIIDYGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTNPPARALGLGAGTSSPLPPAIANADRHTAMEDTRAAGLSSMDSSRRRASGPLMSSGNYAKQKSPVANDHSGGSSSRQVGVSSSRDAFAGSDVDPQRSRTAYASPGALQKNSSRQRSPIDSADPKRSMSARNTSHVKNYEAALKGIEGLQFESDERIHY >KJB06828 pep chromosome:Graimondii2_0_v6:1:1255925:1259716:-1 gene:B456_001G013300 transcript:KJB06828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGRRANQVYIIDYGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTNPPARALGLGAGTSSPLPPAIANADRHTAMEDTRAAGLSSMDSSRRRASGPLMSSGNYAKQKSPVANDHFIGQSGGSSSRQVGVSSSRDAFAGSDVDPQRSRTAYASPGALQKNSSRQRSPIDSADPKRSMSARNTSHVKNYEAALKGIEGLQFESDERIHY >KJB07383 pep chromosome:Graimondii2_0_v6:1:1755290:1758150:-1 gene:B456_001G018900 transcript:KJB07383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLFIGVSQSSLLHLQPKLASFQRLTGGPRFAVASNRQLHFRRLTVTGVVSSDSKAPTTVTSTVGSEKGGIDIEVDTGNGGGDKFDDRSGGGGDGGDNSGGGGDSESKGEGEFSDDGGKKKMALSMSQKLTLGYAALVGVGGVMGYVKSGSQKSLAAGGLSAAVLYYVYTQLPTNPVFASSVGLGISAALLGVMGSRFKRSGKVFPAGVVSLVSLVMTGGYLHGIMRSMH >KJB07087 pep chromosome:Graimondii2_0_v6:1:7430169:7431890:-1 gene:B456_001G073300 transcript:KJB07087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIECLTWDLKRMLTLHWERHLFVAHQMVMFSATWPAAVHRLAQEYMDLNPVKVVIGSEDLAANHDVMQIVEDLDERARYERLTAFKFSLHWLNRMGSI >KJB07089 pep chromosome:Graimondii2_0_v6:1:7430391:7431055:-1 gene:B456_001G073300 transcript:KJB07089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMGFEEDVNFTLGKTSLSHQMVMFSATWPAAVHRLAQEYMDLNPVKVVIGSEDLAANHDVMQIVEDLDERARYERLTAFKFSLHWLNRMGSI >KJB07086 pep chromosome:Graimondii2_0_v6:1:7430128:7432074:-1 gene:B456_001G073300 transcript:KJB07086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMFSATWPAAVHRLAQEYMDLNPVKVVIGSEDLAANHDVMQIVEDLDERARYERLTAFKFSLHWLNRMGSI >KJB07088 pep chromosome:Graimondii2_0_v6:1:7430169:7432047:-1 gene:B456_001G073300 transcript:KJB07088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMGFEEDVNFTLGKTSLSHQMVMFSATWPAAVHRLAQEYMDLNPVKVVIGSEDLAANHDVMQIVEDLDERARYERLTAFKFSLHWLNRMGSI >KJB09269 pep chromosome:Graimondii2_0_v6:1:16969991:16970364:-1 gene:B456_001G132300 transcript:KJB09269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYYRPTVTEAFAFVQYIMSEANFGWLIQLVHRWSASMMVLMMILHVFRVYLTGVFKKPRELTWVTGMVLVVLIVSFGVTGYSGPSPTRKMGLNFFQARLE >KJB10727 pep chromosome:Graimondii2_0_v6:1:44186756:44191035:-1 gene:B456_001G218900 transcript:KJB10727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRLSWESQTVTWVKKLILRPSFGWQCLGLVNWQLYLDLQGRAVEKSGGLRSSFLKATTTTFHHAINESEKASYVAHINNYLAEDKFLKDFLPIDPATDALFDLAKDGVLLCKLINVAVPGTIDERAINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEARPHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSQEVEELLSLAPEKVLLKWMNFHLKKAGYQKQVTNFSTDLKDGEAYAHLLNALAPEHSTHSTLDAKDPTERANKVLEMAEKLDCKRYLTPKDIVEGSPNLNLAFVAQIFHHRNGLTDSKTTTFAEMMTDDAETSREERCFRFWMNSLGATTYVNNVFEDVRNGYVMLEIVDKIFPGTVNWKQANKPPIKMPFKQVENCNQVIQIGRNLNFSLVNVAGNDIVAGNKKLIIAFLWQLMRFSMLQLLKNLRTHSQGKEITDADILNWANNKVKKAGRTSQMESFKDKSLSSGIFFLELLSAVEPRVVNWGLVTKGETDEDKKLNATYIISVARKLGCSIFLLPEDVIEVNQKMMLTLTASIMYWSLQQQEAASEDGEISDEIFVEGRENETRLSGETSDLTLDSESSKTEE >KJB10728 pep chromosome:Graimondii2_0_v6:1:44186536:44192012:-1 gene:B456_001G218900 transcript:KJB10728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYQGVIVSDPWLQSQFTQVELRTLKSKFISVRTQHGRVTRDDLPPVFAGMKAFSEMFSEDEIKTFLGESNSDMGEEIDFEAFLRLYLDLQGRAVEKSGGLRSSFLKATTTTFHHAINESEKASYVAHINNYLAEDKFLKDFLPIDPATDALFDLAKDGVLLCKLINVAVPGTIDERAINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEARPHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSQEVEELLSLAPEKVLLKWMNFHLKKAGYQKQVTNFSTDLKDGEAYAHLLNALAPEHSTHSTLDAKDPTERANKVLEMAEKLDCKRYLTPKDIVEGSPNLNLAFVAQIFHHRNGLTDSKTTTFAEMMTDDAETSREERCFRFWMNSLGATTYVNNVFEDVRNGYVMLEIVDKIFPGTVNWKQANKPPIKMPFKQVENCNQVIQIGRNLNFSLVNVAGNDIVAGNKKLIIAFLWQLMRFSMLQLLKNLRTHSQGKEITDADILNWANNKVKKAGRTSQMESFKDKSLSSGIFFLELLSAVEPRVVNWGLVTKGETDEDKKLNATYIISVARKLGCSIFLLPEDVIEVNQKMMLTLTASIMYWSLQQQEAASEDGEISDEIFVEGRENETRLSGETSDLTLDSESSKTEE >KJB08970 pep chromosome:Graimondii2_0_v6:1:13806588:13810407:1 gene:B456_001G116100 transcript:KJB08970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPCPRSNVSNLPQEVLDSLFKIPKSSEHEPSTMSWRNVVKKMQLLGPPIDFCPSTDAEPQQDIYAKGDEYHKFRESAKEHWDSMRSYYQKAATAYSKGELEYAAYLSDQGKIQTKLAREADERASQNIFKARNKAFENVITIDLHGQHVKQAMKLLKLHLLFGIHVPSVQTLRVITGCGTHGMGKSKLKQSVTKLLEKEGIQWQEENRGTVLIKLDGYRELSFLESNSDTE >KJB08965 pep chromosome:Graimondii2_0_v6:1:13804538:13810416:1 gene:B456_001G116100 transcript:KJB08965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTATKKKRRPRAAKTSAVNDSSRHALSQRDQRREGEGEGEDDEEQKRLLGSLMEAFGSISLEEATSAYNQADGDLDKAAEILSNLIDNGSNSEDPDPSTSSISSGSSSSGSSGFGFSETSCVQNLNSGRGRSRGGKQQKRVVASTGTVSTVLGKDYVRSSPWRDPAAVAPAKSVLATEEAEQFLCSMLGEECELSMAVVRDVLCLCGYNVEKALDALLDLSASSYEKSKSFNDNLNSRQDTGFVIECADNLTDRTSDRISKLLESELQDSIWSAGYGHRNYSKVLASSEAMQPPCPRSNVSNLPQEVLDSLFKIPKSSEHEPSTMSWRNVVKKMQLLGPPIDFCPSTDAEPQQDIYAKGDEYHKFRESAKEHWDSMRSYYQKAATAYSKGELEYAAYLSDQGKIQTKLAREADERASQNIFKARNKAFENVITIDLHGQHVKQAMKLLKLHLLFGIHVPSVQTLRVITGCGTHGMGKSKLKQSVTKLLEKEGIQWQEENRGTVLIKLDGYRELSFLESNSDTE >KJB08966 pep chromosome:Graimondii2_0_v6:1:13804648:13810407:1 gene:B456_001G116100 transcript:KJB08966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCALDALLDLSASSYEKSKSFNDNLNSRQDTGFVIECADNLTDRTSDRISKLLESELQDSIWSAGYGHRNYSKVLASSEAMQPPCPRSNVSNLPQEVLDSLFKIPKSSEHEPSTMSWRNVVKKMQLLGPPIDFCPSTDAEPQQDIYAKGDEYHKFRESAKEHWDSMRSYYQKAATAYSKGELEYAAYLSDQVTKLLEKEGIQWQEENRGTVLIKLDGYRELSFLESNSDTE >KJB08969 pep chromosome:Graimondii2_0_v6:1:13804675:13809351:1 gene:B456_001G116100 transcript:KJB08969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTATKKKRRPRAAKTSAVNDSSRHALSQRDQRREGEGEGEDDEEQKRLLGSLMEAFGSISLEEATSAYNQADGDLDKAAEILSNLIDNGSNSEDPDPSTSSISSGSSSSGSSGFGFSETSCVQNLNSGRGRSRGGKQQKRVVASTGTVSTVLGKDYVRSSPWRDPAAVAPAKSVLATEEAEQFLCSMLGEECELSMAVVRDVLCLCGYNVEKALDALLDLSASSYEKSKSFNDNLNSRQDTGFVIECADNLTDRTSDRISKLLESELQDSIWSAGYGHRNYSKVLASSEAMQPPCPRSNVSNLPQEVLDSLFKIPKSSEHEPSTMSWRNVVKKMQLLGPPIDFCPSTDAEPQQDIYAKGDEYHKFRESAKEHWDSMRSYYQKAATAYSKGELEYAAYLSDQGKIQTKLAREADERASQNIFKARNKAFENVITIDLHGQHVKQAMKLLKLHLLFGIHVPCKHCLT >KJB08967 pep chromosome:Graimondii2_0_v6:1:13804648:13810407:1 gene:B456_001G116100 transcript:KJB08967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTATKKKRRPRAAKTSAVNDSSRHALSQRDQRREGEGEGEDDEEQKRLLGSLMEAFGSISLEEATSAYNQADGDLDKAAEILSNLIDNGSNSEDPDPSTSSISSGSSSSGSSGFGFSETSCVQNLNSGRGRSRGGKQQKRVVASTGTVSTVLGKDYVRSSPWRDPAAVAPAKSVLATEEAEQFLCSMLGEECELSMAVVRDVLCLCGYNVEKALDALLDLSASSYEKSKSFNDNLNSRQDTGFVIECADNLTDRTSDRISKLLESELQDSIWSAGYGHRNYSKVLASSEAMQPPCPRSNVSNLPQEVLDSLFKIPKSSEHEPSTMSWRNVVKKMQLLGPPIDFCPSTDAEPQQDIYAKGDEYHKFRESAKEHWDSMRSYYQKAATAYSKGELEYAAYLSDQVTKLLEKEGIQWQEENRGTVLIKLDGYRELSFLESNSDTE >KJB08968 pep chromosome:Graimondii2_0_v6:1:13804648:13810407:1 gene:B456_001G116100 transcript:KJB08968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCALDALLDLSASSYEKSKSFNDNLNSRQDTGFVIECADNLTDRTSDRISKLLESELQDSIWSAGYGHRNYSKVLASSEAMQPPCPRSNVSNLPQEVLDSLFKIPKSSEHEPSTMSWRNVVKKMQLLGPPIDFCPSTDAEPQQDIYAKGDEYHKFRESAKEHWDSMRSYYQKAATAYSKGELEYAAYLSDQGKIQTKLAREADERASQNIFKARNKAFENVITIDLHGQHVKQAMKLLKLHLLFGIHVPSVQTLRVITGCGTHGMGKSKLKQSVTKLLEKEGIQWQEENRGTVLIKLDGYRELSFLESNSDTE >KJB07536 pep chromosome:Graimondii2_0_v6:1:2686750:2689295:1 gene:B456_001G028600 transcript:KJB07536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEAGDYTIFMGLDKYENEELIKYGFIKDIWFHVDKMSSAHVYLRLKKGQTIDDISEGVLEDCAQLVKANSIQGNKVNNIDVVYTPWSNLKKTPSMDVGQVGFHNSKMVRTVRVEKRINEIVNSLNKTKVERKPDLKAEKEAVYAAEKAERKQQLREKKRREEMQRLEKERQAEIRSYKGLMVSEKMTSNKQIAATSKSFQEVEEDFINLLSVKEGWFLFTSFSLQA >KJB07533 pep chromosome:Graimondii2_0_v6:1:2686769:2689295:1 gene:B456_001G028600 transcript:KJB07533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEAGDYTIFMGLDKYENEELIKYGFIKDIWFHVDKMSSAHVYLRLKKGQTIDDISEGVLEDCAQLVKANSIQGNKVNNIDVVYTPWSNLKKTPSMDVGQVGFHNSKMVRTVRVEKRINEIVNSLNKTKVERKPDLKAEKEAVYAAEKAERKQQLREKKRREEMQRLEKERQAEIRSYKGLMVSEKMTSNKQIAATSKSFQEVEEDFM >KJB07535 pep chromosome:Graimondii2_0_v6:1:2686900:2688105:1 gene:B456_001G028600 transcript:KJB07535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEAGDYTIFMGLDKYENEELIKYGFIKDIWFHVDKMSSAHVYLRLKKGQTIDDISEGVLEDCAQLVKANSIQGNKVNNIDVVYTPWSNLKKTPSMDVGQVGFHNSKMVRTVRVEKRINEIVNSLNKTKVERKPDLKAEKEAVYAAEKAERKQQLREKQSHET >KJB07534 pep chromosome:Graimondii2_0_v6:1:2686769:2687813:1 gene:B456_001G028600 transcript:KJB07534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEAGDYTIFMGLDKYENEELIKYGFIKDIWFHVDKMSSAHVYLRLKKGQTIDDISEGVLEDCAQLVKANSIQGNKVNNIDVVYTPWSNLKKTPSMDVGQVGFHNSKMVRTVRVEKRINEIVNSLNKTKVERKPDLKGMQKLLRLRIDDSDIIVYERNLV >KJB10503 pep chromosome:Graimondii2_0_v6:1:39778182:39782276:1 gene:B456_001G204600 transcript:KJB10503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSVTENSSTGNDHEQELVLNHGGTESNPETDREEELDGEEVRRVLQVSAATGKFWHNWDKLKSMLSFQLKLVLSEYPEAKMTIEQQNASLGETNLELVTRLNEELHSFIEGPPFTLQRLCEILLDARSIYPKLSKLALALEKGSVPNRTSGYTLVSLGENVPLTSQIG >KJB10505 pep chromosome:Graimondii2_0_v6:1:39778182:39783578:1 gene:B456_001G204600 transcript:KJB10505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSVTENSSTGNDHEQELVLNHGGTESNPETDREEELDGEEVRRVLQVSAATGKFWHNWDKLKSMLSFQLKLVLSEYPEAKMTIEQQNASLGETNLELVTRLNEELHSFIEGPPFTLQRLCEILLDARSIYPKLSKLALALEKNLLVTSTLTVCTEPYPEMMPNPEAEKATEEAPLQSNSVQNGVESMVGDRDEIMTEVEADIEEMTIDVDAFQEMVGPSEANSTAAENS >KJB10501 pep chromosome:Graimondii2_0_v6:1:39778182:39779975:1 gene:B456_001G204600 transcript:KJB10501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSVTENSSTGNDHEQELVLNHGGTESNPETDREEELDGEEVRRVLQVSAATGKFWHNWDKLKSMLSFQLKLVLSEYPEAKMTIEQQNASLGETNLELVTRLNEGTFHTMFSFMYPKCEQKGWY >KJB10504 pep chromosome:Graimondii2_0_v6:1:39778182:39783505:1 gene:B456_001G204600 transcript:KJB10504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSVTENSSTGNDHEQELVLNHGGTESNPETDREEELDGEEVRRVLQVSAATGKFWHNWDKLKSMLSFQLKLVLSEYPEAKMTIEQQNASLGETNLELVTRLNEELHSFIEGPPFTLQRLCEILLDARSIYPKLSKLALALEKNLLVTSTLTVCTEPYPEMMPNPEAEKATEEAPLQSNSVQNGVESMVGDRDEIMTEVEADIEEMTIDVDAFQEMVGPSEANSTAAENS >KJB10502 pep chromosome:Graimondii2_0_v6:1:39778317:39782125:1 gene:B456_001G204600 transcript:KJB10502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSVTENSSTGNDHEQELVLNHGGTESNPETDREEELDGEEVRRVLQVSAATGKFWHNWDKLKSMLSFQLKLVLSEYPEAKMTIEQQNASLGETNLELVTRLNEELHSFIEGPPFTLQRLCEILLDARSIYPKLSKLALALEKGSVPNRTSGYTLVSLGENVPLTSQIG >KJB10500 pep chromosome:Graimondii2_0_v6:1:39777944:39783505:1 gene:B456_001G204600 transcript:KJB10500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSVTENSSTGNDHEQELVLNHGGTESNPETDREEELDGEEVRRVLQVSAATGKFWHNWDKLKSMLSFQLKLVLSEYPEAKMTIEQQNASLGETNLELVTRLNEELHSFIEGPPFTLQRLCEILLDARSIYPKLSKLALALEKNLLVTSTLTVCTEPYPEMMPNPEAEKATEEAPLQSNSVQNGVESMVGDRDEIMTEVEADIEEMTIDVDAFQEMVGPSEANSTAAENS >KJB10506 pep chromosome:Graimondii2_0_v6:1:39778190:39783547:1 gene:B456_001G204600 transcript:KJB10506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFQLKLVLSEYPEAKMTIEQQNASLGETNLELVTRLNEELHSFIEGPPFTLQRLCEILLDARSIYPKLSKLALALEKNLLVTSTLTVCTEPYPEMMPNPEAEKATEEAPLQSNSVQNGVESMVGDRDEIMTEVEADIEEMTIDVDAFQEMVGPSEANSTAAENS >KJB09356 pep chromosome:Graimondii2_0_v6:1:17853816:17865171:1 gene:B456_001G136300 transcript:KJB09356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGKSVKKEFKGFGLHSGTVKSFDSSSGFFEIVYEDGDSEELDFHQVASLVMDDGSNPAFEPRSDPELEVLREKPRVGRPRKRRRVERKARVCPGNAEKETLASNANVNLDTNADLNEGLSGNLKDNNSIDGNLSGALDEKGIGSLMDLNLNSNGDIEMKNGFDLNSSGFDLNLNDTCCSNNYLNDNGISCSEGENVKKRGCIDLNLDASCDVDDNINLNCKTQGKECSFDLNLGADEETDKDAIDGNCVWQVEVRESGTCSDLLKETLIIVQNDAAEDVSRKELKIHSGLGSVEGILEKGTVVDPNVINADGCRGVGLEGVPEFGTAVTDGCRDDIGSSFKQASGPRKRIKLAKGLDSSTERVLRRSARRVSSRNHVSSTPPPATTCDVTGLAISPSVSAVTVEKPVRSSRKVSEEPVVLPPKLRLPPSSENLNLDGISVLDIFSIYAFLRSFSTLLFLSPFELEDFVAALKCQSPSSLFDCIHVSILQTLKTHLVYLSSEGSESASECLRSLNWGFLDSITWPVFMVEYLLIHCSGLDYGFDLTSLKLFRCDYYKQPASVKVEILRCLCDDMIEVEAVRSEVNRRSLASETDMDFGRDMNNGICKKRKATKDVSGGSGFSEEIVDDTNDRNSDDCCLCKMDGSLICCDGCPAAYHSKCVGVVNALLPEGDWYCPECAIEREKPWVKPRKSLRGAELLGIDPHGRLYYNSSGYLLVLDSFDAECPSNYYHRDDLIFVLDVLKSSFQYGDIIEAICKQWDVAVGSNGAKICVIRNETADGGKPEEKEVAEISGHRDIEVAESTNMLDLVTGTEIPYMSSEGSAETMQMGSVFLNFQKQGSVEVSNQSEIPGKCSTLEDSSLISNDLDARQESKTKLASQQTPRVLNAKRGDASQLLPGTGYVNHYSFAQTASLVVEELLHKPSEKTNDDSLKSLEEIIGIQMKVILKKSNRLHWPDIHNLYVDARKENCGWCFSCRYPVDDTDCLFRITSGCVPEVSKSEMVDLQSRWNKKGHVIDVIYHIFSIENRLSGLLSGPWLNLQYMKIWHKSILNASGIASVKHLLLTLEANLHHLALSTDWMKHVDSAVIMGSASHVVIASSRGSAKHGIARKRGSCNDNESNPTSNPAVGPSICWWRGGRVSRQLFNWKVLPCSLVSKAARQGGGKKIPGILYPESSDFAKRSRSIAWRAAVESSTSIEQLAFQVRELGSNIRWDDVANTHALPTLPKDFKKSIRLFKKCVVRRKSIETDVVKYLLDFGKRRIIPEIVKRYGTVVEESSSERKKYWLNESYVPLHLVKSFEERRIARNSNKMVSDKTSEISRMAKESSKKKGFSYLFSKAESTEYYQCGHCNKDVLIREAVCCQYCKGFFHKRHVRKSAGAIIAKCAYTCHRCLGDKSNVNVKKGGNIMKRKGDTKGQKTITKTARKLPQKGNRANEKSLAVRMSLRSRKDKKGAAAVPLRRSPRKIKYISLQKKKPGRCKKGKKKSKKKATKKIKEITWQRKRTRAYHSYWLNGLQLSSKPNDERVMQFQRKMVFDPSEHKIASPDPPRCFLCCESGYASNSNYIACEICEEWFHGDAYGLNSENKSKMIGFRCHVCRKTIPPVCPNMMATRVDEISIG >KJB09354 pep chromosome:Graimondii2_0_v6:1:17853431:17865233:1 gene:B456_001G136300 transcript:KJB09354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGKSVKKEFKGFGLHSGTVKSFDSSSGFFEIVYEDGDSEELDFHQVASLVMDDGSNPAFEPRSDPELEVLREKPRVGRPRKRRRVERKARVCPGNAEKETLASNANVNLDTNADLNEGLSGNLKDNNSIDGNLSGALDEKGIGSLMDLNLNSNGDIEMKNGFDLNSSGFDLNLNDTCCSNNYLNDNGISCSEGENVKKRGCIDLNLDASCDVDDNINLNCKTQGKECSFDLNLGADEETDKDAIDGNCVWQVEVRESGTCSDLLKETLIIVQNDAAEDVSRKELKIHSGLGSVEGILEKGTVVDPNVINADGCRGVGLEGVPEFGTAVTDGCRDDIGSSFKQASGPRKRIKLAKGLDSSTERVLRRSARRVSSRNHVSSTPPPATTCDVTGLAISPSVSAVTVEKPVRSSRKVSEEPVVLPPKLRLPPSSENLNLDGISVLDIFSIYAFLRSFSTLLFLSPFELEDFVAALKCQSPSSLFDCIHVSILQTLKTHLVYLSSEGSESASECLRSLNWGFLDSITWPVFMVEYLLIHCSGLDYGFDLTSLKLFRCDYYKQPASVKVEILRCLCDDMIEVEAVRSEVNRRSLASETDMDFGRDMNNGICKKRKATKDVSGGSGFSEEIVDDTNDRNSDDCCLCKMDGSLICCDGCPAAYHSKCVGVVNALLPEGDWYCPECAIEREKPWVKPRKSLRGAELLGIDPHGRLYYNSSGYLLVLDSFDAECPSNYYHRDDLIFVLDVLKSSFQYGDIIEAICKQWDVAVGSNGASNNFDSLHSACSGTHRKVKIPTVSSSLPLVSSAEICVIRNETADGGKPEEKEVAEISGHRDIEVAESTNMLDLVTGTEIPYMSSEGSAETMQMGSVFLNFQKQGSVEVSNQSEIPGKCSTLEDSSLISNDLDARQESKTKLASQQTPRVLNAKRGDASQLLPGTGYVNHYSFAQTASLVVEELLHKPSEKTNDDSLKSLEEIIGIQMKVILKKSNRLHWPDIHNLYVDARKENCGWCFSCRYPVDDTDCLFRITSGCVPEVSKSEMVDLQSRWNKKGHVIDVIYHIFSIENRLSGLLSGPWLNLQYMKIWHKSILNASGIASVKHLLLTLEANLHHLALSTDWMKHVDSAVIMGSASHVVIASSRGSAKHGIARKRGSCNDNESNPTSNPAVGPSICWWRGGRVSRQLFNWKVLPCSLVSKAARQGGGKKIPGILYPESSDFAKRSRSIAWRAAVESSTSIEQLAFQVRELGSNIRWDDVANTHALPTLPKDFKKSIRLFKKCVVRRKSIETDVVKYLLDFGKRRIIPEIVKRYGTVVEESSSERKKYWLNESYVPLHLVKSFEERRIARNSNKMVSDKTSEISRMAKESSKKKGFSYLFSKAESTEYYQCGHCNKDVLIREAVCCQYCKGFFHKRHVRKSAGAIIAKCAYTCHRCLGDKSNVNVKKGGNIMKRKGDTKGQKTITKTARKLPQKGNRANEKSLAVRMSLRSRKDKKGAAAVPLRRSPRKIKYISLQKKKPGRCKKGKKKSKKKATKKIKEITWQRKRTRAYHSYWLNGLQLSSKPNDERVMQFQRKMVFDPSEHKIASPDPPRCFLCCESGYASNSNYIACEICEEWFHGDAYGLNSENKSKMIGFRCHVCRKTIPPVCPNMMATRVDEISIG >KJB09353 pep chromosome:Graimondii2_0_v6:1:17853431:17865233:1 gene:B456_001G136300 transcript:KJB09353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGKSVKKEFKGFGLHSGTVKSFDSSSGFFEIVYEDGDSEELDFHQVASLVMDDGSNPAFEPRSDPELEVLREKPRVGRPRKRRRVERKARVCPGNAEKETLASNANVNLDTNADLNEGLSGNLKDNNSIDGNLSGALDEKGIGSLMDLNLNSNGDIEMKNGFDLNSSGFDLNLNDTCCSNNYLNDNGISCSEGENVKKRGCIDLNLDASCDVDDNINLNCKTQGKECSFDLNLGADEETDKDAIDGNCVWQVEVRESGTCSDLLKETLIIVQNDAAEDVSRKELKIHSGLGSVEGILEKGTVVDPNVINADGCRGVGLEGVPEFGTAVTDGCRDDIGSSFKQASGPRKRIKLAKGLDSSTERVLRRSARRVSSRNHVSSTPPPATTCDVTGLAISPSVSAVTVEKPVRSSRKVSEEPVVLPPKLRLPPSSENLNLDGISVLDIFSIYAFLRSFSTLLFLSPFELEDFVAALKCQSPSSLFDCIHVSILQTLKTHLVYLSSEGSESASECLRSLNWGFLDSITWPVFMVEYLLIHCSGLDYGFDLTSLKLFRCDYYKQPASVKVEILRCLCDDMIEVEAVRSEVNRRSLASETDMDFGRDMNNGICKKRKATKDVSGGSGFSEEIVDDTNDRNSDDCCLCKMDGSLICCDGCPAAYHSKCVGVVNALLPEGDWYCPECAIEREKPWVKPRKSLRGAELLGIDPHGRLYYNSSGYLLVLDSFDAECPSNYYHRDDLIFVLDVLKSSFQYGDIIEAICKQWDVAVGSNEICVIRNETADGGKPEEKEVAEISGHRDIEVAESTNMLDLVTGTEIPYMSSEGSAETMQMGSVFLNFQKQGSVEVSNQSEIPGKCSTLEDSSLISNDLDARQESKTKLASQQTPRVLNAKRGDASQLLPGTGYVNHYSFAQTASLVVEELLHKPSEKTNDDSLKSLEEIIGIQMKVILKKSNRLHWPDIHNLYVDARKENCGWCFSCRYPVDDTDCLFRITSGCVPEVSKSEMVDLQSRWNKKGHVIDVIYHIFSIENRLSGLLSGPWLNLQYMKIWHKSILNASGIASVKHLLLTLEANLHHLALSTDWMKHVDSAVIMGSASHVVIASSRGSAKHGIARKRGSCNDNESNPTSNPAVGPSICWWRGGRVSRQLFNWKVLPCSLVSKAARQGGGKKIPGILYPESSDFAKRSRSIAWRAAVESSTSIEQLAFQVRELGSNIRWDDVANTHALPTLPKDFKKSIRLFKKCVVRRKSIETDVVKYLLDFGKRRIIPEIVKRYGTVVEESSSERKKYWLNESYVPLHLVKSFEERRIARNSNKMVSDKTSEISRMAKESSKKKGFSYLFSKAESTEYYQCGHCNKDVLIREAVCCQYCKGFFHKRHVRKSAGAIIAKCAYTCHRCLGDKSNVNVKKGGNIMKRKGDTKGQKTITKTARKLPQKGNRANEKSLAVRMSLRSRKDKKGAAAVPLRRSPRKIKYISLQKKKPGRCKKGKKKSKKKATKKIKEITWQRKRTRAYHSYWLNGLQLSSKPNDERVMQFQRKMVFDPSEHKIASPDPPRCFLCCESGYASNSNYIACEICEEWFHGDAYGLNSENKSKMIGFRCHVCRKTIPPVCPNMMATRVDEISIG >KJB09355 pep chromosome:Graimondii2_0_v6:1:17853816:17859616:1 gene:B456_001G136300 transcript:KJB09355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGKSVKKEFKGFGLHSGTVKSFDSSSGFFEIVYEDGDSEELDFHQVASLVMDDGSNPAFEPRSDPELEVLREKPRVGRPRKRRRVERKARVCPGNAEKETLASNANVNLDTNADLNEGLSGNLKDNNSIDGNLSGALDEKGIGSLMDLNLNSNGDIEMKNGFDLNSSGFDLNLNDTCCSNNYLNDNGISCSEGENVKKRGCIDLNLDASCDVDDNINLNCKTQGKECSFDLNLGADEETDKDAIDGNCVWQVEVRESGTCSDLLKETLIIVQNDAAEDVSRKELKIHSGLGSVEGILEKGTVVDPNVINADGCRGVGLEGVPEFGTAVTDGCRDDIGSSFKQASGPRKRIKLAKGLDSSTERVLRRSARRVSSRNHVSSTPPPATTCDVTGLAISPSVSAVTVEKPVRSSRKVSEEPVVLPPKLRLPPSSENLNLDGISVLDIFSIYAFLRSFSTLLFLSPFELEDFVAALKCQSPSSLFDCIHVSILQTLKTHLVYLSSEGSESASECLRSLNWGFLDSITWPVFMVEYLLIHCSGLDYGFDLTSLKLFRCDYYKQPASVKVEILRCLCDDMIEVEAVRSEVNRRSLASETDMDFGRDMNNGICKKRKATKDVSGGSGFSEEIVDDTNDRNSDDCCLCKMDGSLICCDGCPAAYHSKCVGVVNALLPEGDWYCPECAIEREKPWVKPRKSLRGAELLGIDPHGRLYYNSSGYLLVLDSFDAECPSNYYHRDDLIFVLDVLKSSFQYGDIIEAICKQWDVAVGSNGAKICVIRNETADGGKPEEKEVAEISGHRDIEVAESTNMLDLVTGTEIPYMSSEGSAETMQMGSVFLNFQKQGSVEVSNQSEIPGKCSTLEDSSLISNDLDARQESKTKLASQQTPRVLNAKRGDASQLLPGTGYVNHYSFAQTASLVVEELLHKPSEKTNDDSLKSLEEIIGIQMKVILKKSNRLHWPDIHNLYVDARKENCGWCFSCRYPVDDTDCLFRITSGCVPEVSKSEMVDLQSRWNKKGHVIDVIYHIFSIENRLSGLLSGPWLNLQYMKIWHKSILNASGIASVKHLLLTLEANLHHLALSTDWMKHVDSAVIMGSASHVVIASSRGSAKHGIARKRGSCNDNESNPTSNPAVGPSICWWRGGRVSRQLFNWKVLPCSLVSKAARQGLHFSFFLLCFLVDIVT >KJB10483 pep chromosome:Graimondii2_0_v6:1:39594918:39598176:-1 gene:B456_001G203600 transcript:KJB10483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEREDPQKLKRIAAAAYDYENDPRWADYWSNILIPPHLASRSDVVDHFKRKFYQRYIDHDLVVESMPSNKPSQSAKSSASSSTSSSAVNDEVRPRNAGSASRSSGASAAAGSNRTSMHWDRQTLQFSVNAWVFIVAVLAIFPLVPRSLSYRAYRLSFVGTACSSLYSLYALYGRPRAWNLQALQVYFQSIIATKDFIYLIYCLTFVTSHLFLKFALIPVLCRTLEHIAKFLRRNFNHSTLYRKYLEDPCVWVESNTTTINILSSHAEIGLGFLLIVSLFSWQRNIIQTFMYWQLLKLMYHAPVSAGYHQSVWVKIGRTVNPLVQRYAPFLNTPVSAIQRWWFR >KJB10484 pep chromosome:Graimondii2_0_v6:1:39594987:39598158:-1 gene:B456_001G203600 transcript:KJB10484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRFDLAMQVRPLEVQGHQQLLVQIELLCIGIDKHYSFLSMLGYKVFIVAVLAIFPLVPRSLSYRAYRLSFVGTACSSLYSLYALYGRPRAWNLQALQVYFQSIIATKDFIYLIYCLTFVTSHLFLKFALIPVLCRTLEHIAKFLRRNFNHSTLYRKYLEDPCVWVESNTTTINILSSHAEIGLGFLLIVSLFSWQRNIIQTFMYWQLLKLMYHAPVSAGYHQSVWVKIGRTVNPLVQRYAPFLNTPVSAIQRWWFR >KJB09344 pep chromosome:Graimondii2_0_v6:1:17740148:17744584:-1 gene:B456_001G135900 transcript:KJB09344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKQGLGWIEWLRGWMYVIYEMLFQRITASHLHNPLELPPLSDLTCIVTGSTSGIGREIARQLAEAGAHVVMAVRNPKAAHELINQWSASWHGLPLNIEVMQLDLLSLDSVVTFANAWNARLAPLHVLINNAGIFSIGEPQKFSKDGFEEHMQVNHLAPALLSILLLPSLIRGSPSRIINVNSVMHYVGFVDTEDMNAVTGKRKYSSLLGYTSSKLAQVMFSSVLHKRLPVEAGVNVLCVSPGIVHTNVARDLPRIVQAAYHLIPYFIFSAQEAHIFWEAYLSFAISIIII >KJB09346 pep chromosome:Graimondii2_0_v6:1:17743223:17744532:-1 gene:B456_001G135900 transcript:KJB09346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKQGLGWIEWLRGWMYVIYEMLFQRITASHLHNPLELPPLSDLTCIVTGSTSGIGREIARQLAEAGAHVVMAVRNPKAAHELINQWSASWHGLPLNIEVMQLDLLSLDSVVTFANAWNARLAPLHVLINNAGIFSIGEPQKFSKDGFEEHMQVNHLAPALLSILLLPSLIRGSPSRIINVNSVVSSAQLITLTRILLPLLEEEK >KJB09345 pep chromosome:Graimondii2_0_v6:1:17740751:17744584:-1 gene:B456_001G135900 transcript:KJB09345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKQGLGWIEWLRGWMYVIYEMLFQRITASHLHNPLELPPLSDLTCIVTGSTSGIGREIARQLAEAGAHVVMAVRNPKAAHELINQWSASWHGLPLNIEVMQLDLLSLDSVVTFANAWNARLAPLHVLINNAGIFSIGEPQKFSKDGFEEHMQVNHLAPALLSILLLPSLIRGSPSRIINVNSVMHYVGFVDTEDMNAVTGKRKYSSLLGYTSSKLAQVMFSSVLHKRLPVEAGVNVLCVSPGIVHTNVVSLLEHFSY >KJB09751 pep chromosome:Graimondii2_0_v6:1:46035782:46040368:-1 gene:B456_001G226100 transcript:KJB09751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSAQIPLCLALMMAACLMIGINGSIDGAQREFDYFALSLQWPGTICHKTRHCCSSNACCRGSNSPTEFTIHGLWPDYNDGTWPSCCYRSQFDVKEISTLLDALEKYWPSLYCGKSSTCFSGKGLFWAHEWEKHGTCSSPVIRDEYSYFITTLNVYFKYNVTKILNEAGYVPSNSERYPLGGIVSAIENSFRATPEVICSKEAVEEIRLCFFKDFKPRNCLASKTSCPKYVSLPAYVSMGKEASESEMA >KJB09747 pep chromosome:Graimondii2_0_v6:1:46035522:46040470:-1 gene:B456_001G226100 transcript:KJB09747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSAQIPLCLALMMAACLMIGINGSIDGAQREFDYFALSLQWPGTICHKTRHCCSSNACCRGSNSPTEFTIHGLWPDYNDGTWPSCCYRSQFDVKEISTLLDALEKYWPSLYCGKSSTCFSGKGLFWAHEVDIHGTCSSPVIRDEYSYFITTLNVYFKYNVTVKNTE >KJB09748 pep chromosome:Graimondii2_0_v6:1:46035522:46040470:-1 gene:B456_001G226100 transcript:KJB09748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRGSSITLLCLFNGPAPSATRLAIAAPPMPAAEAQTLQLNLPFVNGLWPDYNDGTWPSCCYRSQFDVKEISTLLDALEKYWPSLYCGKSSTCFSGKGLFWAHEVDIHGTCSSPVIRDEYSYFITTLNVYFKYNVTKILNEAGYVPSNSERYPLGGIVSAIENSFRATPEVICSKEAVEEIRLCFFKDFKPRNCLASKTSCPKYVSLPAYVSMGREASESEMA >KJB09754 pep chromosome:Graimondii2_0_v6:1:46037423:46040470:-1 gene:B456_001G226100 transcript:KJB09754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSAQIPLCLALMMAACLMIGINGSIDGAQREFDYFALSLQWPGTICHKTRHCCSSNACCRGSNSPTEFTIHGLWPDYNDGTWPSCCYRSQFDVKEISTLLDALEKYWPSLYCGKSSTCFSGKGLFWAHEWEKHGTCSSPVIRDEYSYFITTLNVYFKYNVTVPSRSYRCCLVLVRVVAYFVLQI >KJB09749 pep chromosome:Graimondii2_0_v6:1:46035812:46040368:-1 gene:B456_001G226100 transcript:KJB09749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSAQIPLCLALMMAACLMIGINGSIDGAQREFDYFALSLQWPGTICHKTRHCCSSNACCRGSNSPTEFTIHGLWPDYNDGTWPSCCYRSQFDVKEISTLLDALEKYWPSLYCGKSSTCFSGKGLFWAHEWEKHGTCSSPVIRDEYSYFITTLNVYFKYNVTKILNEAGYVPSNSERYPLGGIVSAIENSFRATPEVICSKEAVEEIRLCFFKDFKPRNCLASKTSCPKYVSLPAYVSMGCKFPILLDQNIK >KJB09750 pep chromosome:Graimondii2_0_v6:1:46035524:46040470:-1 gene:B456_001G226100 transcript:KJB09750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSAQIPLCLALMMAACLMIGINGSIDGAQREFDYFALSLQWPGTICHKTRHCCSSNACCRGSNSPTEFTIHGLWPDYNDGTWPSCCYRSQFDVKEISTLLDALEKYWPSLYCGKSSTCFSGKGLFWAHEWEKHGTCSSPVIRDEYSYFITTLNVYFKYNVTKILNEAGYVPSNSERYPLGGIVSAIENSFRATPEVICSKEAVEEIRLCFFKDFKPRNCLASKTSCPKYVSLPAYVSMGNIGI >KJB09746 pep chromosome:Graimondii2_0_v6:1:46035486:46040525:-1 gene:B456_001G226100 transcript:KJB09746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSAQIPLCLALMMAACLMIGINGSIDGAQREFDYFALSLQWPGTICHKTRHCCSSNACCRGSNSPTEFTIHGLWPDYNDGTWPSCCYRSQFDVKEISTLLDALEKYWPSLYCGKSSTCFSGKGLFWAHEWEKHGTCSSPVIRDEYSYFITTLNVYFKYNVTKILNEAGYVPSNSERYPLGGIVSAIENSFRATPEVICSKEAVEEIRLCFFKDFKPRNCLASKTSCPKYVSLPAYVSMGREASESEMA >KJB09753 pep chromosome:Graimondii2_0_v6:1:46035961:46040470:-1 gene:B456_001G226100 transcript:KJB09753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSAQIPLCLALMMAACLMIGINGSIDGAQREFDYFALSLQWPGTICHKTRHCCSSNACCRGSNSPTEFTIHGLWPDYNDGTWPSCCYRSQFDVKEISTLLDALEKYWPSLYCGKSSTCFSGKGLFWAHEVDIHGTCSSPVIRDEYSYFITTLNVYFKYNVTKILNEAGYVPSNSERYPLGGIVSAIENSFRATPEVICSKEAVEEIRLCFFKDFKPRNCLASKTSCPKYVSLPAYVSMGKFL >KJB09752 pep chromosome:Graimondii2_0_v6:1:46035522:46040470:-1 gene:B456_001G226100 transcript:KJB09752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSAQIPLCLALMMAACLMIGINGSIDGAQREFDYFALSLQWPGTICHKTRHCCSSNACCRGSNSPTEFTIHGLWPDYNDGTWPSCCYRSQFDVKEISTLLDALEKYWPSLYCGKSSTCFSGKGLFWAHEWEKHGTCSSPVIRDEYSYFITTLNVYFKYNVTKILNEAGYVPSNSERYPLGGIVSAIENSFRATPEVICSKEAVEEIRLCFFKDFKPRNCLASKTSCPKYVSLPAYVSMGREASESEMA >KJB08397 pep chromosome:Graimondii2_0_v6:1:8302656:8319465:1 gene:B456_001G079700 transcript:KJB08397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNESSNVSQAEEIKVQANEAFKANKYGQAIDLYTQAIELNSQNAVYWANRAFAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATKKLKECEKAVMKLKFEEAISVPESERRFVADSIDYHSIEVEPQYSGAKIEGDDVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQMREMLRSQPSLVDINVPDGSHFTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFALKCMCPSAIYLARGNHESRSMNKIYGFEGEVRSKLSEKFVELFAEVFCCLPLAHVINQKVFVVHGGLFSVDGVKLSDIKAVDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTRKFLQDNNLDLIVRSHEVKDEGYEIEHDGKLITIFSAPNYCDQMGNKGAYIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFQ >KJB08394 pep chromosome:Graimondii2_0_v6:1:8302377:8315596:1 gene:B456_001G079700 transcript:KJB08394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNESSNVSQAEEIKVQANEAFKANKYGQAIDLYTQAIELNSQNAVYWANRAFAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATKKLKECEKAVMKLKFEEAISVPESERRFVADSIDYHSIGTSPGSSSMPTQVGIAAVAVAFVAVLAMMLGAAAATMVAAVVVVVLGTWWWGGSTEVEPQYSGAKIEGDDVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQMREMLRSQPSLVDINVPDGSHFTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFALKCMCPSAIYLARGNHESRSMNKIYGFEGEVRSKLSEKFVELFAEVFCCLPLAHVINQKVFVVHGGLFSVDGVKLSDIKAVDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTRKFLQDNNLDLIVRSHEVKDEGYEIEHDGKLITIFSAPNYCDQMGNKGAYIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFQ >KJB08392 pep chromosome:Graimondii2_0_v6:1:8302377:8314449:1 gene:B456_001G079700 transcript:KJB08392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNESSNVSQAEEIKVQANEAFKANKYGQAIDLYTQAIELNSQNAVYWANRAFAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATKKLKECEKAVMKLKFEEAISVPESERRFVADSIDYHSIGTSPGSSSMPTQVGIAAVAVAFVAVLAMMLGAAAATMVAAVVVVVLGTWWWGGSTEVEPQYSGAKIEGDDVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQMREMLRSQPSLVDINVPDGSHFTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFALKCMCPSAIYLARGNHESRSMNKIYGFEGEVRSKLSEKFVELFAEVFCCLPLAHVINQKVFVVHGGLFSVDGVKLSDIKAVDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTRKFLQDNNLDLIVRSHEVKDEGYEIEHDGKLITIFSAPNYCDQVIES >KJB08396 pep chromosome:Graimondii2_0_v6:1:8302758:8315596:1 gene:B456_001G079700 transcript:KJB08396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNESSNVSQAEEIKVQANEAFKANKYGQAIDLYTQAIELNSQNAVYWANRAFAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATKKLKECEKAVMKLKFEEAISVPESERRFVADSIDYHSIEVEPQYSGAKIEGDDVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQMREMLRSQPSLVDINVPDGSHFTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFALKCMCPSAIYLARGNHESRSMNKIYGFEGEVRSKLSEKFVELFAEVFCCLPLAHVINQKVFVVHGGLFSVDGVKLSDIKAVDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTRKFLQDNNLDLIVRSHEVKDEGYEIEHDGKLITIFSAPNYCDQMGNKGAYIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFQ >KJB08393 pep chromosome:Graimondii2_0_v6:1:8302377:8315596:1 gene:B456_001G079700 transcript:KJB08393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNESSNVSQAEEIKVQANEAFKANKYGQAIDLYTQAIELNSQNAVYWANRAFAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATKKLKECEKAVMKLKFEEAISVPESERRFVADSIDYHSIEVEPQYSGAKIEGDDVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQMREMLRSQPSLVDINVPDGSHFTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFALKCMCPSAIYLARGNHESRSMNKIYGFEGEVRSKLSEKFVELFAEVFCCLPLAHVINQKVFVVHGGLFSVDGVKLSDIKAVDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTRKFLQDNNLDLIVRSHEVKDEGYEIEHDGKLITIFSAPNYCDQMGNKGAYIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFQ >KJB08398 pep chromosome:Graimondii2_0_v6:1:8306431:8315596:1 gene:B456_001G079700 transcript:KJB08398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFEMFPVSLICFNSRIKFTMGTSPGSSSMPTQVGIAAVAVAFVAVLAMMLGAAAATMVAAVVVVVLGTWWWGGSTEVEPQYSGAKIEGDDVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQMREMLRSQPSLVDINVPDGSHFTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFALKCMCPSAIYLARGNHESRSMNKIYGFEGEVRSKLSEKFVELFAEVFCCLPLAHVINQKVFVVHGGLFSVDGVKLSDIKAVDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTRKFLQDNNLDLIVRSHEVKDEGYEIEHDGKLITIFSAPNYCDQMGNKGAYIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFQ >KJB08395 pep chromosome:Graimondii2_0_v6:1:8302656:8319465:1 gene:B456_001G079700 transcript:KJB08395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNESSNVSQAEEIKVQANEAFKANKYGQAIDLYTQAIELNSQNAVYWANRAFAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATKKLKECEKAVMKLKFEEAISVPESERRFVADSIDYHSIGTSPGSSSMPTQVGIAAVAVAFVAVLAMMLGAAAATMVAAVVVVVLGTWWWGGSTEVEPQYSGAKIEGDDVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQMREMLRSQPSLVDINVPDGSHFTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFALKCMCPSAIYLARGNHESRSMNKIYGFEGEVRSKLSEKFVELFAEVFCCLPLAHVINQKVFVVHGGLFSVDGVKLSDIKAVDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTRKFLQDNNLDLIVRSHEVKDEGYEIEHDGKLITIFSAPNYCDQMGNKGAYIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFQ >KJB10851 pep chromosome:Graimondii2_0_v6:1:46519306:46521175:1 gene:B456_001G228600 transcript:KJB10851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYYRRSHVPAFGSWDWNNDLPFTQCFETVRQTGLLRYSYAEDRDLYVAGDLYENDVVTPAMIVVPRKKKKVGESHVKEGKKQRWEVSEEKAAAATSPIVMAKPTPKPVDEDLYKISPDLLYPKPKKKKGLGLLSSCLVPSCLS >KJB10142 pep chromosome:Graimondii2_0_v6:1:29768424:29769333:1 gene:B456_001G186300 transcript:KJB10142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEPLWALGGWFFLYFFDCMAAPKSTSSLSHTYEKPHFFPLFLTLVFLVFLLLLNPSSNPINSSNVTPSIPFKRLLLHNSWKSSSSTMNLPPKQRRHPRTSASSFGAEAHEVPSGPNPISNR >KJB07061 pep chromosome:Graimondii2_0_v6:1:42668317:42670098:1 gene:B456_001G213600 transcript:KJB07061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLPHLKEGNMTAPPIENAIALHRDWKRTKFFLNHEALQQVIKVEQTQVSRSLSGTIVEVTNEENSAIRVEIPDIVSVSSCADLTLPPGAGLCIDTTHGPIFLIADSWESLNGWLDAIRLVYTIYARGKTDVLASIITS >KJB07062 pep chromosome:Graimondii2_0_v6:1:42668094:42670277:1 gene:B456_001G213600 transcript:KJB07062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLPHLKEGNMTAPPIENAIALHRDWKRTKFFLNHEALQQVIKVEQTQVSRSLSGTIVEVTNEENSAIRVEIPDIVSVSSCADLTLPPGAGLCIDTTHGPIFLIADSWESLNGWLDAIRLVYTIYARGKTDVLASIITS >KJB07064 pep chromosome:Graimondii2_0_v6:1:42669197:42670277:1 gene:B456_001G213600 transcript:KJB07064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPPIENAIALHRDWKRTKFFLNHEALQQVIKVEQTQVSRSLSGTIVEVTNEENSAIRVEIPDIVSVSSCADLTLPPGAGLCIDTTHGPIFLIADSWESLNGWLDAIRLVYTIYARGKTDVLASIITS >KJB07063 pep chromosome:Graimondii2_0_v6:1:42668075:42670277:1 gene:B456_001G213600 transcript:KJB07063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQSFRDNRFHKKHNSDLLMRIQVYSSALKLVRSMLHLPHLKEGNMTAPPIENAIALHRDWKRTKFFLNHEALQQVIKVEQTQVSRSLSGTIVEVTNEENSAIRVEIPDIVSVSSCADLTLPPGAGLCIDTTHGPIFLIADSWESLNGWLDAIRLVYTIYARGKTDVLASIITS >KJB06538 pep chromosome:Graimondii2_0_v6:1:9031916:9034680:-1 gene:B456_001G084900 transcript:KJB06538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGDHFVLLVDRLLTESTLEAAIESKKQLQLGMPSTSKHDIIDFSSMDDNVGSSPSKLVECRICHDDDEDLNMEIPCSCRGSLKYAHRKCVQRWCNEKGDIVCEICHQQFKPGYTAPPPLFHYGSVPMNFRGNWEISRRDLPTPHFITVVTEDRDFLETEIDDYSAPRSRSLICCRVIAITFMVLLVLRHTLPVVISGAGDYSLTLFTLLMLRAIGIMLPIYIMVKAFTAIQRRQHHQDPQFSLDASDEESDLPQLHPPHSRLIRVH >KJB06539 pep chromosome:Graimondii2_0_v6:1:9032480:9034680:-1 gene:B456_001G084900 transcript:KJB06539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGDHFVLLVDRLLTESTLEAAIESKKQLQLGMPSTSKHDIIDFSSMDDNVGSSPSKLVECRICHDDDEDLNMEIPCSCRGSLKYAHRKCVQRWCNEKGDIVCEICHQQFKPGYTAPPPLFHYGSVPMNFRGNWEISRRDLPTPHFITVVTEDRDFLETEIDDYSAPRSRSLICCRVIAITFMVLLVLRHTLPVVISGAGDYSLTLFTVR >KJB07200 pep chromosome:Graimondii2_0_v6:1:640066:642897:-1 gene:B456_001G007200 transcript:KJB07200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSDDEIRRLFRIRKTVLQLLKDRDYFVGDFEINMSREQFISKFGENMKREDLVINKAKRNDSSDQIYVFFPEEPKVGVKTMKTYTNRMKSENVFRAILVVQQNLTPFARTCINEISSKFHLEVFQVKFIAFMIFGFHFRSSLCLVVKDLH >KJB07201 pep chromosome:Graimondii2_0_v6:1:641361:642299:-1 gene:B456_001G007200 transcript:KJB07201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSDDEIRRLFRIRKTVLQLLKDRDYFVGDFEINMSREQFISKFGENMKREDLVINKAKRNDSSDQIYVFFPEEPKVGVKTMKTYTNRMKSENVFRAILVVQQNLTPFARTCINEISSKFHLEVFQVKFIAFMIFGFHFRSSLCLVVKDLH >KJB07199 pep chromosome:Graimondii2_0_v6:1:639924:642974:-1 gene:B456_001G007200 transcript:KJB07199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSDDEIRRLFRIRKTVLQLLKDRDYFVGDFEINMSREQFISKFGENMKREDLVINKAKRNDSSDQIYVFFPEEPKVGVKTMKTYTNRMKSENVFRAILVVQQNLTPFARTCINEISSKFHLEVFQETELLVNVKEHSLVPEHQVLTTEEKKTLLQRYTVKETQLPRIQVSDPIARYYGLKRGQVVKIIRPSETAGRYITYRYVV >KJB08310 pep chromosome:Graimondii2_0_v6:1:7815967:7819284:-1 gene:B456_001G076000 transcript:KJB08310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 50 [Source:Projected from Arabidopsis thaliana (AT5G60940) UniProtKB/Swiss-Prot;Acc:Q8L4J2] MMNVYCEISAVQDTKGSSKSFPKHETRHLSEHKNIARCARFSPDGKFVATGSADTSIKLFEISKIKQMMLPDSKEGPVRPVIRTFYDHVQPINDLDFHPQSTVLISGAKDNTIKFFDFSKATAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHSIAHLYDVNTFQCYLSANTPEIGVNGAINQVRYSSTGGMYVTASKDGAIRLWDGVSASCVRAIADAHGTAEATSACFTKDQRFVLSCGKDSAVKLWEIGTGRLVKQYLGATRMQFRCQAVFNDTEEFVLSIDEPSNEIVIWDALTADKVAKWPSNHIGAPRWIEHSPTEAAFISCGTDRSVRFWKENL >KJB08312 pep chromosome:Graimondii2_0_v6:1:7815967:7821012:-1 gene:B456_001G076000 transcript:KJB08312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 50 [Source:Projected from Arabidopsis thaliana (AT5G60940) UniProtKB/Swiss-Prot;Acc:Q8L4J2] MEGNNLEKTLQEGNLFRQLNCLIVAHLRHHNLTQAARAVASATMTPLDVEAPPNKLLELVAKGIAVEKDETLRGVLSSTLFDLGTALGYGLNPDPRVSSVDFSAVQDTKGSSKSFPKHETRHLSEHKNIARCARFSPDGKFVATGSADTSIKLFEISKIKQMMLPDSKEGPVRPVIRTFYDHVQPINDLDFHPQSTVLISGAKDNTIKFFDFSKATAKRAFRVIQDTHNVRSVSFHPSGDFLLAAHLYDVNTFQCYLSANTPEIGVNGAINQVRYSSTGGMYVTASKDGAIRLWDGVSASCVRAIADAHGTAEATSACFTKDQRFVLSCGKDSAVKLWEIGTGRLVKQYLGATRMQFRCQAVFNDTEEFVLSIDEPSNEIVIWDALTADKVAKWPSNHIGAPRWIEHSPTEAAFISCGTDRSVRFWKENL >KJB08309 pep chromosome:Graimondii2_0_v6:1:7815818:7821091:-1 gene:B456_001G076000 transcript:KJB08309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 50 [Source:Projected from Arabidopsis thaliana (AT5G60940) UniProtKB/Swiss-Prot;Acc:Q8L4J2] MEGNNLEKTLQEGNLFRQLNCLIVAHLRHHNLTQAARAVASATMTPLDVEAPPNKLLELVAKGIAVEKDETLRGVLSSTLFDLGTALGYGLNPDPRVSSVDFSAVQDTKGSSKSFPKHETRHLSEHKNIARCARFSPDGKFVATGSADTSIKLFEISKIKQMMLPDSKEGPVRPVIRTFYDHVQPINDLDFHPQSTVLISGAKDNTIKFFDFSKATAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHSIAHLYDVNTFQCYLSANTPEIGVNGAINQVRYSSTGGMYVTASKDGAIRLWDGVSASCVRAIADAHGTAEATSACFTKDQRFVLSCGKDSAVKLWEIGTGRLVKQYLGATRMQFRCQAVFNDTEEFVLSIDEPSNEIVIWDALTADKVAKWPSNHIGAPRWIEHSPTEAAFISCGTDRSVRFWKENL >KJB08311 pep chromosome:Graimondii2_0_v6:1:7815967:7821008:-1 gene:B456_001G076000 transcript:KJB08311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 50 [Source:Projected from Arabidopsis thaliana (AT5G60940) UniProtKB/Swiss-Prot;Acc:Q8L4J2] MEGNNLEKTLQEGNLFRQLNCLIVAHLRHHNLTQAARAVASATMTPLDVEAPPNKLLELVAKGIAVEKDETLRGVLSSTLFDLGTALGYGLNPDPRVSSVDFSAVQDTKGSSKSFPKHETRHLSEHKNIARCARFSPDGKFVATGSADTSIKLFEISKIKQMMLPDSKEGPVRPVIRTFYDHVQPINDLDFHPQSTVLISGAKDNTIKFFDFSKATAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHSIAHLYDVNTFQCYLSANTPEIGVNGAINQVRYSSTGGMYVTASKDGAIRLWDGVSASCVRAIADAHGTAEATSACFTKDQRCGCFTQWDVLQFINEIGYKL >KJB07410 pep chromosome:Graimondii2_0_v6:1:2071195:2071993:1 gene:B456_001G021900 transcript:KJB07410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMRFPNGQLSQGSKWVVLVFKVGFMGMMMMIMVPSVTSMEEIGTPSAGLLCISECSTCPVICSPPPAPPSKSFPPPSVSVHHTPPPDVPYFYYTPMSPQTPQHSPPPSVSVSPPPSPPRPPPSPPAPSSKGSPPPPFKYFYNEPSGQGPPTTPRQYPYPYPYYYYYSSKASSLSVLVSISAVVLLFFNAVLFYC >KJB10129 pep chromosome:Graimondii2_0_v6:1:29582064:29585070:1 gene:B456_001G185200 transcript:KJB10129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALNRQRVLLHHLRPSSSSSSSHESAATLFPSNCAAGDSAAYHRTAAFGDDVVIVAACRTAICKAKRGGFKDTLADDLLAPVLKALIDRTKLDPSEVSDIVVGTVLAPGSQRGIECRMAAFYAGFPDTVPIRTVNRQCSSGLQAVADVAASIKAGFYDIGIGAGLESMTTDKVVPGVPKVNPKVESFAQARDCLLPMGITSENVAEHYGVTRQEQDQAAVESHRRAAAATASGKFKDEIVPVLTKIVDPKTGEEKAATIMVDDGIRPNTNMADLAKLKPAFKKDGTTTAGNASQVSDGAGAALLMKRSLAMQKGFPILGVFRSFAAVGVDPAVMGIGPAVAIPAAIKSAGLELDDIDLFEINEVMGFFS >KJB10128 pep chromosome:Graimondii2_0_v6:1:29581924:29585070:1 gene:B456_001G185200 transcript:KJB10128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALNRQRVLLHHLRPSSSSSSSHESAATLFPSNCAAGDSAAYHRTAAFGDDVVIVAACRTAICKAKRGGFKDTLADDLLAPVLKALIDRTKLDPSEVSDIVVGTVLAPGSQRGIECRMAAFYAGFPDTVPIRTVNRQCSSGLQAVADVAASIKAGFYDIGIGAGLESMTTDKVVPGVPKVNPKVESFAQARDCLLPMGITSENVAEHYGVTRQEQDQAAVESHRRAAAATASGKFKDEIVPVLTKIVDPKTGEEKAATIMVDDGIRPNTNMADLAKLKPAFKKDGTTTAGNASQVSDGAGAALLMKRSLAMQKGFPILGVFRSFAAVGVDPAVMGIGPAVAIPAAIKSAGLELDDIDLFEINEAFASQFVYSCKKLGLDREKVNVNGGAIALGHPLGATGARCVGTLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDCVDDFCNARAVQKNDLLSKDAR >KJB11302 pep chromosome:Graimondii2_0_v6:1:52377831:52379771:1 gene:B456_001G252300 transcript:KJB11302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESMDVFKEFFEMPWEDKAMLYSEDPKNSCRLSTSSVNYAREKIHHWRDNLRHPCHPLQDCIKHWPQKPIRYREVVATYTIEAKKLGLRILELVSEGLGLESRFFGDKLSESEILSINHYPPCPDPSLTLGVAKHCDPNLLTLLHQGDVSGLQVFNNGEWIGVEPLHNVFVVNIGNQLQIISNNKLKSVEHRVVTNSRVARTSAGFFIGPSEDSIIEPEKSLVDDAPFYRAFEFKDFLLHYFPNLEDNEVVMGHFKSQA >KJB11301 pep chromosome:Graimondii2_0_v6:1:52377831:52379771:1 gene:B456_001G252300 transcript:KJB11301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESMDVFKEFFEMPWEDKAMLYSEDPKNSCRLSTSSVNYAREKIHHWRDNLRHPCHPLQDCIKHWPQKPIRYREVVATYTIEAKKLGLRILELVSEGLGLESRFFGDKLSESEILSINHYPPCPDPSLTLGVAKHCDPNLLTLLHQGDVSGLQVFNNGEWIGVEPLHNVFVVNIGNQLQVDYK >KJB11298 pep chromosome:Graimondii2_0_v6:1:52377831:52379771:1 gene:B456_001G252300 transcript:KJB11298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKRFTIGVIICVTLVILYKIASNIGLKSQFDTVVATYTIEAKKLGLRILELVSEGLGLESRFFGDKLSESEILSINHYPPCPDPSLTLGVAKHCDPNLLTLLHQGDVSGLQVFNNGEWIGVEPLHNVFVVNIGNQLQIISNNKLKSVEHRVVTNSRVARTSAGFFIGPSEDSIIEPEKSLVDDAPFYRAFEFKDFLLHYFPNLEDNEVVMGHFKSQA >KJB11300 pep chromosome:Graimondii2_0_v6:1:52377831:52379804:1 gene:B456_001G252300 transcript:KJB11300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLIFNQIIKLSATTSIINSHIQEMEKLVSRWCKNKPLPESYIFPPETRPGNLVVPTCNTIPVIDLSKAEGQNRTHIVQQILKAGQDYGFFQVVNYGVPESLMNESMDVFKEFFEMPWEDKAMLYSEDPKNSCRLSTSSVNYAREKIHHWRDNLRHPCHPLQDCIKHWPQKPIRYREVVATYTIEAKKLGLRILELVSEGLGLESRFFGDKLSESEILSINHYPPCPDPSLTLGVAKHCDPNLLTLLHQGDVSGLQVFNNGEWIGVEPLHNVFVVNIGNQLQVDYK >KJB11297 pep chromosome:Graimondii2_0_v6:1:52377802:52379871:1 gene:B456_001G252300 transcript:KJB11297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLIFNQIIKLSATTSIINSHIQEMEKLVSRWCKNKPLPESYIFPPETRPGNLVVPTCNTIPVIDLSKAEGQNRTHIVQQILKAGQDYGFFQVVNYGVPESLMNESMDVFKEFFEMPWEDKAMLYSEDPKNSCRLSTSSVNYAREKIHHWRDNLRHPCHPLQDCIKHWPQKPIRYREVVATYTIEAKKLGLRILELVSEGLGLESRFFGDKLSESEILSINHYPPCPDPSLTLGVAKHCDPNLLTLLHQGDVSGLQVFNNGEWIGVEPLHNVFVVNIGNQLQIISNNKLKSVEHRVVTNSRVARTSAGFFIGPSEDSIIEPEKSLVDDAPFYRAFEFKDFLLHYFPNLEDNEVVMGHFKSQA >KJB11299 pep chromosome:Graimondii2_0_v6:1:52377831:52379804:1 gene:B456_001G252300 transcript:KJB11299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSRVTCFVIDLCKQVVNYGVPESLMNESMDVFKEFFEMPWEDKAMLYSEDPKNSCRLSTSSVNYAREKIHHWRDNLRHPCHPLQDCIKHWPQKPIRYREVVATYTIEAKKLGLRILELVSEGLGLESRFFGDKLSESEILSINHYPPCPDPSLTLGVAKHCDPNLLTLLHQGDVSGLQVFNNGEWIGVEPLHNVFVVNIGNQLQIISNNKLKSVEHRVVTNSRVARTSAGFFIGPSEDSIIEPEKSLVDDAPFYRAFEFKDFLLHYFPNLEDNEVVMGHFKSQA >KJB09005 pep chromosome:Graimondii2_0_v6:1:14303270:14306797:1 gene:B456_001G118500 transcript:KJB09005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVFPAWIMVTILVLEASLVMGGFQASLKLERRIPLVSHEVELGRLREFDRLRHGRLLQSSGGVVDFPVRGTYDPFLVGLYYTKLQIGSPPKEYYVQIDTGSDVLWIGCNSCNGCPESSGLQIELNLYDPGSSSTSSLVSCSDQRCNAGVQSSDSGCSGQGNQCSYTFQYGDGSGTSGYYVADLLHISTILEGSMTANSTAPIMFGCSVLQTGDLTKSDRAVDGIFGFGQQSLSVISQLSSQGIAPRVFSHCLRGKNGGGGILVFGEILEPNMVYTPLVPSQPHYNLDLRSISVGGQVLSIDPSVFSTSSSQGTIVDSGTTLAYLADEAYDAFVDAITKTVSQTVRPVLSKGNQCYLITSSVTDIFPQVSLNFAGAASLILNPPDYLVQQNSIGGAAVWCIGFQKIQGQGITILGDLVLKDKIIVYDLANQRIGWTDYDCSMSVNVSANLNSGRTEFVNAGQMSNDGSSPDQLQSIIASLLNMIMLAALLFS >KJB09428 pep chromosome:Graimondii2_0_v6:1:19106658:19111178:-1 gene:B456_001G141300 transcript:KJB09428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIVSRRLSAGSTTSQLVTSLRYATCWRSFSTSFREERDTFGPINVPSDKLWGAQTQRSLQNFEIGGERERMPEPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAQEVAEGKLNDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHQRGDKFVHPNDHVNRSQSSNDTFPTVMHIAAAMEINSRLIPKLKILHSTLHSKSIEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRVLCTLPRMYQLAQGGTAVGTGLNTKKGFDAKIAAAVADETNLPFVTAENKFEALAAHDAFVETSGALNTVATSLMKVANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEAITMVCAQVMGNHVAITVGGSNGHFELNVFKPMIANALLHSLRLLGDASASFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGSTLKEAALSLGVLTSEEFDTLVVPEKMIGPSD >KJB09427 pep chromosome:Graimondii2_0_v6:1:19106200:19111749:-1 gene:B456_001G141300 transcript:KJB09427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIVSRRLSAGSTTSQLVTSLRYATCWRSFSTSFREERDTFGPINVPSDKLWGAQTQRSLQNFEIGGERERMPEPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAQEVAEGKLNDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHQRGDKFVHPNDHVNRSQSSNDTFPTVMHIAAAMEINSRLIPKLKILHSTLHSKSIEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRVLCTLPRMYQLAQGGTAVGTGLNTKKGFDAKIAAAVADETNLPFVTAENKFEALAAHDAFVETSGALNTVATSLMKVANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEAITMVCAQVMGNHVAITVGGSNGHFELNVFKPMIANALLHSLRLLGDASASFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGSTLKEAALSLGVLTSEEFDTLVVPEKMIGPSD >KJB07909 pep chromosome:Graimondii2_0_v6:1:4951115:4954629:1 gene:B456_001G052100 transcript:KJB07909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRATYGDDDSSDFDSDHSPTLSLPANSNPQSKETLSSPLPPPPVSLLHPPNSLGSLDYLQTGQPSRVRSFPHVEGNYALHVYIPVFIPSISKKEMGQFLKRVSSVVSNLHVVDIDVPLNTLCKEEHKLEQVALGREFHISLGRTVPIRVHQIDSIVTMLRQKLQFQKRYWIDFNKWEVFINDDRTRTFLSLEVVTGGLPEITKQIQAVNEVYKLHNLPEFYKDPRPHISLAWALGDVSGSLKKVVEQETKSSVFRGSLQSRICTSKVGGIECKIGNRTHIICKSPDQ >KJB08902 pep chromosome:Graimondii2_0_v6:1:13058179:13065158:1 gene:B456_001G112000 transcript:KJB08902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELGRRPMIQNSEGSFGDDLEEIDLLLREQRCRQDADDLERELNLYRSGSAPPTVDGSLSAVGGLFGGGAAASGGSGATPFSAFHGIKNGNGFASEEELRSDPSYHSYYYSNVNLNPRLPPPLLSKEDWKYAQRLKGGNSVIGGVGDRRKINRVDNGSSRSMFSTPPGFDSRKQEIEVEAEKGRSSAEWGGNGLIGISGIGLGSKQKSLAEIFQDDLGHTAPVARIPSRPASCNAFDENLENGGSAELTSSDTLRSSVNLQGSSAVHNIGPPTSYTYADAVGASLSRSTTPDPQLVARAPSPCLTPIGGGRVGNLDKRSINSPTTFGGITSGLNESGDLVAAMSGMNLSSNGVIDEDNQLPSQIEQDVENHQNYLFGLQDGQSHIKQQAYLKKSESGHLHMPSANGNGVRSDLKNPSLLSERQAQLQKSALPSNNSYLKGPPTSTLNGGGSLPARYQHNVLSGYSLNPALASVMASQPGTGNLPPLFESVAASSAIAVPGMDSRVLGRGLGSGQSNSNAASELHTFGRVGSQIAGNALQASLIDPMYLQYLRTSDYTAAQLSALNDPSMDRNFLGNSYMNLLELQKAYLGTLLSPQKSPYGVPLGAKSGSSNIHGFYGSPTFGAGMSYPGSPLANPVIPNSPVGPGSPMRHSDLNMCFPSGMRNLAGGVMGPWHFDAGCNMDESFASSLLEEFKSNKTKCFELLEIAGHVVEFSADQYGSRFIQQKLETATTEEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPAQRRELADKLFGHVLTLSLQMYGCRVIQKAIEVVDTDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEEHIQFIVTTFFDQVVTLSTHPYGCRVIQRILEHCKNPKTQNKVMDEILGSVSMLAQDQYGNYVVQHVLEHGKPHERSIIIKELAGKIVHMSQQKFASNVVEKCLTFGGPAERLLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSPRPA >KJB08904 pep chromosome:Graimondii2_0_v6:1:13058438:13065111:1 gene:B456_001G112000 transcript:KJB08904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELGRRPMIQNSEGSFGDDLEEIDLLLREQRCRQDADDLERELNLYRSGSAPPTVDGSLSAVGGLFGGGAAASGGSGATPFSAFHGIKNGNGFASEEELRSDPSYHSYYYSNVNLNPRLPPPLLSKEDWKYAQRLKGGNSVIGGVGDRRKINRVDNGSSRSMFSTPPGFDSRKQEIEVEAEKGRSSAEWGGNGLIGISGIGLGSKQKSLAEIFQDDLGHTAPVARIPSRPASCNAFDENLENGGSAELTSSDTLRSSVNLQGSSAVHNIGPPTSYTYADAVGASLSRSTTPDPQLVARAPSPCLTPIGGGRVGNLDKRSINSPTTFGGITSGLNESGDLVAAMSGMNLSSNGVIDEDNQLPSQIEQDVENHQNYLFGLQDGQSHIKQQAYLKKSESGHLHMPSANGNGVRSDLKNPSLLSERQAQLQKSALPSNNSYLKGPPTSTLNGGGSLPARYQHNVLSGYSLNPALASVMASQPGTGNLPPLFESVAASSAIAVPGMDSRVLGRGLGSGQSNSNAASELHTFGRVGSQIAGNALQASLIDPMYLQYLRTSDYTAAQLSALNDPSMDRNFLGNSYMNLLELQKAYLGTLLSPQKSPYGVPLGAKSGSSNIHGFYGSPTFGAGMSYPGSPLANPVIPNSPVGPGSPMRHSDLNMCFPSGMRNLAGGVMGPWHFDAGCNMDESFASSLLEEFKSNKTKCFELLEIAGHVVEFSADQYGSRFIQQKLETATTEEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPAQRRELADKLFGHVLTLSLQMYGCRVIQKAIEVVDTDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEEHIQFIVTTFFDQVVTLSTHPYGCRVIQRILEHCKNPKTQNKVMDEILGSVSMLAQDQYGNYVVQHVLEHGKPHERSIIIKELAGKIVHMSQQKFASNVVEKCLTFGGPAERLLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGGTNSS >KJB08901 pep chromosome:Graimondii2_0_v6:1:13058147:13065158:1 gene:B456_001G112000 transcript:KJB08901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQNSEGSFGDDLEEIDLLLREQRCRQDADDLERELNLYRSGSAPPTVDGSLSAVGGLFGGGAAASGGSGATPFSAFHGIKNGNGFASEEELRSDPSYHSYYYSNVNLNPRLPPPLLSKEDWKYAQRLKGGNSVIGGVGDRRKINRVDNGSSRSMFSTPPGFDSRKQEIEVEAEKGRSSAEWGGNGLIGISGIGLGSKQKSLAEIFQDDLGHTAPVARIPSRPASCNAFDENLENGGSAELTSSDTLRSSVNLQGSSAVHNIGPPTSYTYADAVGASLSRSTTPDPQLVARAPSPCLTPIGGGRVGNLDKRSINSPTTFGGITSGLNESGDLVAAMSGMNLSSNGVIDEDNQLPSQIEQDVENHQNYLFGLQDGQSHIKQQAYLKKSESGHLHMPSANGNGVRSDLKNPSLLSERQAQLQKSALPSNNSYLKGPPTSTLNGGGSLPARYQHNVLSGYSLNPALASVMASQPGTGNLPPLFESVAASSAIAVPGMDSRVLGRGLGSGQSNSNAASELHTFGRVGSQIAGNALQASLIDPMYLQYLRTSDYTAAQLSALNDPSMDRNFLGNSYMNLLELQKAYLGTLLSPQKSPYGVPLGAKSGSSNIHGFYGSPTFGAGMSYPGSPLANPVIPNSPVGPGSPMRHSDLNMCFPSGMRNLAGGVMGPWHFDAGCNMDESFASSLLEEFKSNKTKCFELLEIAGHVVEFSADQYGSRFIQQKLETATTEEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPAQRRELADKLFGHVLTLSLQMYGCRVIQKAIEVVDTDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEEHIQFIVTTFFDQVVTLSTHPYGCRVIQRILEHCKNPKTQNKVMDEILGSVSMLAQDQYGNYVVQHVLEHGKPHERSIIIKELAGKIVHMSQQKFASNVVEKCLTFGGPAERLLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSPRPA >KJB08903 pep chromosome:Graimondii2_0_v6:1:13058179:13065181:1 gene:B456_001G112000 transcript:KJB08903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELGRRPMIQNSEGSFGDDLEEIDLLLREQRCRQDADDLERELNLYRSGSAPPTVDGSLSAVGGLFGGGAAASGGSGATPFSAFHGIKNGNGFASEEELRSDPSYHSYYYSNVNLNPRLPPPLLSKEDWKYAQRLKGGNSVIGGVGDRRKINRVDNGSSRSMFSTPPGFDSRKQEIEVEAEKGRSSAEWGGNGLIGISGIGLGSKQKSLAEIFQDDLGHTAPVARIPSRPASCNAFDENLENGGSAELTSSDTLRSSVNLQGSSAVHNIGPPTSYTYADAVGASLSRSTTPDPQLVARAPSPCLTPIGGGRVGNLDKRSINSPTTFGGITSGLNESGDLVAAMSGMNLSSNGVIDEDNQLPSQIEQDVENHQNYLFGLQDGQSHIKQQAYLKKSESGHLHMPSANGNGVRSDLKNPSLLSERQAQLQKSALPSNNSYLKGPPTSTLNGGGSLPARYQHNVLSGYSLNPALASVMASQPGTGNLPPLFESVAASSAIAVPGMDSRVLGRGLGSGQSNSNAASELHTFGRVGSQIAGNALQASLIDPMYLQYLRTSDYTAAQLSALNDPSMDRNFLGNSYMNLLELQKAYLGTLLSPQKSPYGVPLGAKSGSSNIHGFYGSPTFGAGMSYPGSPLANPVIPNSPVGPGSPMRHSDLNMCFPSGMRNLAGGVMGPWHFDAGCNMDESFASSLLEEFKSNKTKCFELLEIAGHVVEFSADQYGSRFIQQKLETATTEEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPAQRRELADKLFGHVLTLSLQMYGCRVIQKAIEVVDTDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEEHIQFIVTTFFDQVVTLSTHPYGCRVIQRILEHCKNPKTQNKVMDEILGSVSMLAQDQYGNYVVQHVLEHGKPHERSIIIKELAGKIVHMSQQKFASNVVEKCLTFGGPAERLLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSPRPA >KJB08905 pep chromosome:Graimondii2_0_v6:1:13058438:13065111:1 gene:B456_001G112000 transcript:KJB08905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELGRRPMIQNSEGSFGDDLEEIDLLLREQRCRQDADDLERELNLYRSGSAPPTVDGSLSAVGGLFGGGAAASGGSGATPFSAFHGIKNGNGFASEEELRSDPSYHSYYYSNVNLNPRLPPPLLSKEDWKYAQRLKGGNSVIGGVGDRRKINRVDNGSSRSMFSTPPGFDSRKQEIEVEAEKGRSSAEWGGNGLIGISGIGLGSKQKSLAEIFQDDLGHTAPVARIPSRPASCNAFDENLENGGSAELTSSDTLRSSVNLQGSSAVHNIGPPTSYTYADAVGASLSRSTTPDPQLVARAPSPCLTPIGGGRVGNLDKRSINSPTTFGGITSGLNESGDLVAAMSGMNLSSNGVIDEDNQLPSQIEQDVENHQNYLFGLQDGQSHIKQQAYLKKSESGHLHMPSANGNGVRSDLKNPSLLSERQAQLQKSALPSNNSYLKGPPTSTLNGGGSLPARYQHNVLSGYSLNPALASVMASQPGTGNLPPLFESVAASSAIAVPGMDSRVLGRGLGSGQSNSNAASELHTFGRVGSQIAGNALQASLIDPMYLQYLRTSDYTAAQLSALNDPSMDRNFLGNSYMNLLELQKAYLGTLLSPQKSPYGVPLGAKSGSSNIHGFYGSPTFGAGMSYPGSPLANPVIPNSPVGPGSPMRHSDLNMCFPSGMRNLAGGVMGPWHFDAGCNMDESFASSLLEEFKSNKTKCFELLEIAGHVVEFSADQYGSRFIQQKLETATTEEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPAQRRELADKLFGHVLTLSLQMYGCRVIQKAIEVVDTDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEEHIQFIVTTFFDQVVTLSTHPYGCRVIQRILEHCKNPKTQNKVMDEILGSVSMLAQDQYGNYVVQHVLEHGKPHERSIIIKELAGKIVHMSQQKFASNVVEKCLTFGGPAERLLLVNEMLGSTDENEPLQVCSCFVLMFFLDFK >KJB06645 pep chromosome:Graimondii2_0_v6:1:3427043:3429638:-1 gene:B456_001G037100 transcript:KJB06645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEKTKFRLHSHDVPYGSGSGQQSVTGFPNVDDSNSYWIVRPEPDTSAKQGDTIPSGTIIRLQHMRTRKWLHSHLHASPISGNLEVSCFGGESESDTGDYWRLIIEGSGKTWKQDQKIRLQHVDTGGYLHSHDKKYARIAGGQQEVCGVREKRADNVWLAAEGVYLPITESK >KJB06648 pep chromosome:Graimondii2_0_v6:1:3427650:3429638:-1 gene:B456_001G037100 transcript:KJB06648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGFLGFALFLFLSLDLDQGSTSSASAASSSSTSQNVEITYGTVLKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPNVDDSNSYWIVRPEPDTSAKQGDTIPSGTIIRLQHMRTRKWLHSHLHASPISGNLEVSCFGGESESDTGDYWRLIIEGSGKTWKQDQKIRLQHVDTGGYLHSHDKKYARIAGGQQEVRMEKYSNINII >KJB06647 pep chromosome:Graimondii2_0_v6:1:3427043:3429683:-1 gene:B456_001G037100 transcript:KJB06647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGFLGFALFLFLSLDLDQGSTSSASAASSSSTSQNVEITYGTVLKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPNVDDSNSYWIVRPEPDTSAKQGDTIPSGTIIRLQHMRTRKWLHSHLHASPISGNLEVSCFGGESESDTGDYWRLIIEGSGKTWKQDQKIRLQHVDTGGYLHSHDKKYARIAGGQQEVCGVREKRADNVWLAAEGVYLPITESK >KJB06646 pep chromosome:Graimondii2_0_v6:1:3427965:3429378:-1 gene:B456_001G037100 transcript:KJB06646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGFLGFALFLFLSLDLDQGSTSSASAASSSSTSQNVEITYGTVLKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPNVDDSNSYWIVRPEPDTSAKQGDTIPSGTIIRLQHMRTRKWLHSHLHASPISGNLEVSCFGGESESDTGDYWR >KJB06961 pep chromosome:Graimondii2_0_v6:1:4843805:4844976:-1 gene:B456_001G051100 transcript:KJB06961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATFEESTTLEFIRQHLLGDFATVDAFINTLDFFLSHLQPQSHQLPEIFTHGVEPAPITKESFCEEKRHYRGVRRRPWGKFAAEIRDPNRKGIRVWLGTYDNDVDAAKAYDCAAFKMRGQKAILNFPLEAGEGSQPPAVTTGRKRRREKRVWLPESDVTSPGSSEMAWEVKEEEGELDDDDRNGLSLLTRKRVMVTC >KJB10191 pep chromosome:Graimondii2_0_v6:1:30217360:30219994:-1 gene:B456_001G188300 transcript:KJB10191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGTSSFFTPLSRFFDNGNAPGNSSQSAKLVSVHPMPSDPIHMNGKSIPKVASGSPNVSGSGSSKEHHNQPFPGQPIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAAHLYDRAAFMCGREPNFELSEEEKQELRKFKWEEFLAYTRRSITNKSNPFVYEFSMISRAAVVQIFIGVYL >KJB10188 pep chromosome:Graimondii2_0_v6:1:30216848:30220643:-1 gene:B456_001G188300 transcript:KJB10188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGTSSFFTPLSRFFDNGNAPGNSSQSAKLVSVHPMPSDPIHMNGKSIPKVASGSPNVSGSGSSKEHHNQPFPGQPIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAAHLYDRAAFMCGREPNFELSEEEKQELRKFKWEEFLAYTRRSITNKKYKRRLGKVEPQKRSEPAMENSEWDNKQGVNSFSASEEFGPDSSAS >KJB10193 pep chromosome:Graimondii2_0_v6:1:30216832:30221462:-1 gene:B456_001G188300 transcript:KJB10193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGTSSFFTPLSRFFDNGNAPGNSSQSAKLVSVHPMPSDPIHMNGKSIPKVASGSPNVSGSGSSKEHHNQPFPGQPIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAAHLYDRAAFMCGREPNFELSEEEKQELRKFKWEEFLAYTRRSITNKKYKRRLGKVEPQKRSEPAMENSEWDNKQGVNSFSASEEFGPDSSAS >KJB10189 pep chromosome:Graimondii2_0_v6:1:30216848:30221462:-1 gene:B456_001G188300 transcript:KJB10189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGTSSFFTPLSRFFDNGNAPGNSSQSAKLVSVHPMPSDPIHMNGKSIPKVASGSPNVSGSGSSKEHHNQPFPGQPIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAAHLYDRAAFMCGREPNFELSEEEKQELRKFKWEEFLAYTRRSITNKKYKRRLGKVEPQKRSEPAMENSEWDNKQGVNSFSASEEFGPDSSAS >KJB10190 pep chromosome:Graimondii2_0_v6:1:30216848:30220162:-1 gene:B456_001G188300 transcript:KJB10190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGTSSFFTPLSRFFDNGNAPGNSSQSAKLVSVHPMPSDPIHMNGKSIPKVASGSPNVSGSGSSKEHHNQPFPGQPIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAAHLYDRAAFMCGREPNFELSEEEKQELRKFKWEEFLAYTRRSITNKKYKRRLGKVEPQKRSEPAMENSEWDNKQGVNSFSASEEFGPDSSAS >KJB10192 pep chromosome:Graimondii2_0_v6:1:30216848:30221462:-1 gene:B456_001G188300 transcript:KJB10192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGTSSFFTPLSRFFDNGNAPGNSSQSAKLVSVHPMPSDPIHMNGKSIPKVASGSPNVSGSGSSKEHHNQPFPGQPIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAAHLYDRAAFMCGREPNFELSEEEKQELRKFKWEEDLNLQWRTASGTTNKE >KJB11355 pep chromosome:Graimondii2_0_v6:1:52612325:52614784:1 gene:B456_001G254500 transcript:KJB11355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQACCRSGEGKENGGDSQGITVCGMQFAYELQHPLFFDFNLDIARGSRCLLVGANGSGKTTLLKILAGKHMVGGREVVRVLNRSAFHDTQLVCGGDLAYLGGSWSKTIGSAGEVPLQGDFSAEHMIFGVEGIDPVRRDKLIELLDIDLQWRMHKVSDGQRRRVQICMGLLHPFQVLLLDEVTVDLDVVARMDLLDFFKEECEQRGATVVYATHIFDGLETWATHLAYIQDGQLKRSEKLTEINELKSSENLLSVVEAWLRSETKCEKKKPSNSPAQVQKSSPFGTSPFMSSRHMAYYR >KJB11357 pep chromosome:Graimondii2_0_v6:1:52612477:52614656:1 gene:B456_001G254500 transcript:KJB11357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQACCRSGEGKENGGDSQGITVCGMQFAYELQHPLFFDFNLDIARGSRCLLVGANGSGKTTLLKILAGKHMVGGREVVRVLNRSAFHDTQLVCGGDLAYLGGSWSKTIGSAGEVPLQGDFSAEHMIFGVEGIDPVRRDKLIELLDIDLQWRMHKVSDGQRRRVQICMGLLHPFQVLLLDEVTVDLDVVARMDLLDFFKEECEQRSHSRIRYPYF >KJB11360 pep chromosome:Graimondii2_0_v6:1:52612346:52614656:1 gene:B456_001G254500 transcript:KJB11360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQACCRSGEGKENGGDSQGITVCGMQFAYELQHPLFFDFNLDIARGSRCLLVGANGSAGKTTLLKILAGKHMVGGREVVRVLNRSAFHDTQLVCGGDLAYLGGSWSKTIGSAGEVPLQGDFSAEHMIFGVEGIDPVRRDKLIELLDIDLQWRMHKVSDGQRRRVQICMGLLHPFQVLLLDEVTVDLDVVARMDLLDFFKEECEQRGATVVYATHIFDGLETWATHLAYIQDGQLKRSEKLTEINELKSSENLLSVVEAWLRSETKCEKKKPSNSPAQVQKSSPFGTSPFMSSRHMAYYR >KJB11359 pep chromosome:Graimondii2_0_v6:1:52612477:52614656:1 gene:B456_001G254500 transcript:KJB11359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQACCRSGEGKENGGDSQGITVCGMQFAYELQHPLFFDFNLDIARGSRCLLVGANGSGKTTLLKILAGKHMVGGREVVRVLNRSAFHDTQLVCGGDLAYLGGSWSKTIGSAVSKFSYFFFKINIHHFRLKLQIYCAKHMQGEVPLQGDFSAEHMIFGVEGIDPVRRDKLIELLDIDLQWRMHKVSDGQRRRVQICMGLLHPFQVLLLDEVTVDLDVVARMDLLDFFKEECEQRGATVVYATHIFDGLETWATHLAYIQDGQLKRSEKLTEINELKSSENLLSVVEAWLRSETKCEKKKPSNSPAQVQKSSPFGTSPFMSSRHMAYYR >KJB11358 pep chromosome:Graimondii2_0_v6:1:52612416:52614656:1 gene:B456_001G254500 transcript:KJB11358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQACCRSGEGKENGGDSQGITVCGMQFAYELQHPLFFDFNLDIARGSRCLLVGANGSGKTTLLKILAGKHMVGGREVVRVLNRSAFHDTQLVCGGDLAYLGGSWSKTIGSAGEVPLQGDFSAEHMIFGVEGIDPVRRDKLIELLDIDLQWRMHKVSDGQRRRVQICMGLLHPFQVLLLDEVTVDLDVVARMDLLDFFKEECEQSYTLPIFLMVWRHGQHIWLTSKMVN >KJB11356 pep chromosome:Graimondii2_0_v6:1:52612785:52614251:1 gene:B456_001G254500 transcript:KJB11356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGREVVRVLNRSAFHDTQLVCGGDLAYLGGSWSKTIGSAGEVPLQGDFSAEHMIFGVEGIDPVRRDKLIELLDIDLQWRMHKVSDGQRRRVQICMGLLHPFQVLLLDEVTVDLDVVARMDLLDFFKEECEQRGATVVYATHIFDGLETWATHLAYIQDGQLKRSEKLTEINELKSSENLLSVVEAWLRSETKCEKKKPSNSPAQVQKSSPFGTSPFMSSRHMAYYR >KJB08715 pep chromosome:Graimondii2_0_v6:1:11169302:11174804:-1 gene:B456_001G099300 transcript:KJB08715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutamate carboxypeptidase AMP1 [Source:Projected from Arabidopsis thaliana (AT3G54720) UniProtKB/Swiss-Prot;Acc:Q9M1S8] MPPNLTKPNSGFTSKPSPLCTFVSLIILLIIGFYTLHYPPGNPKKVLLFQQIFLSSASNSTIASYLRSLTSHPHLAGTKPSLETIHYVKTHFQNLGLETHTVPFQTLLSYPVHASVSMHFGNSTVLNLPLNEMGIPSYPSSGLIQPYHAFSPSGTVHGKVVFANHGREDDYRTLGLMGVNVNGCIVIIRKGGSLSRGAVVKIAEKKGALGVLMYAEGDVSKGSFGSGVERGTVMEGVGDPLTPGWGADEDGERLKLEDKKVLERFPGIPSLPLPFESAQLILDSLEGPLAPQEWRDSGRSNLSHVGSGLVMVNFTYQGEKKLATIYNVVAVIRGLEEPDRYVLMGNHRDAWTYGAVDPNSGTATLLDIARRYALLMRKGWNPRRTIIFCSWDAEEFGMIGSTEWVEQNLVNLGAKAVAYLNVDCAVQGPGFFAGATPQLDNLIFEVTKKVQDQDSEVVATIYEKWKTMNGNNVWPHNLYFSMSSFI >KJB08714 pep chromosome:Graimondii2_0_v6:1:11167645:11174804:-1 gene:B456_001G099300 transcript:KJB08714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutamate carboxypeptidase AMP1 [Source:Projected from Arabidopsis thaliana (AT3G54720) UniProtKB/Swiss-Prot;Acc:Q9M1S8] MPPNLTKPNSGFTSKPSPLCTFVSLIILLIIGFYTLHYPPGNPKKVLLFQQIFLSSASNSTIASYLRSLTSHPHLAGTKPSLETIHYVKTHFQNLGLETHTVPFQTLLSYPVHASVSMHFGNSTVLNLPLNEMGIPSYPSSGLIQPYHAFSPSGTVHGKVVFANHGREDDYRTLGLMGVNVNGCIVIIRKGGSLSRGAVVKIAEKKGALGVLMYAEGDVSKGSFGSGVERGTVMEGVGDPLTPGWGADEDGERLKLEDKKVLERFPGIPSLPLPFESAQLILDSLEGPLAPQEWRDSGRSNLSHVGSGLVMVNFTYQGEKKLATIYNVVAVIRGLEEPDRYVLMGNHRDAWTYGAVDPNSGTATLLDIARRYALLMRKGWNPRRTIIFCSWDAEEFGMIGSTEWVEQNLVNLGAKAVAYLNVDCAVQGPGFFAGATPQLDNLIFEVTKKVQDQDSEVVATIYEKWKTMNGNNIQRLSGVDSDFAPFLQHAGVPSVDIYYGRDFPVYHTAFDSFNWMINNADPFFWRHVAVAGVWGLLGLHLADDPVLPLDYLSYAKQLQEYKDAFSRVLDGNISLTPLAASIQEFTSAAKQASEETKKLMEQEFTNDLLALKIRALNDRLMLAERGFLDTDGIKGREWFKHLVSVFFSKIAVRTEVLHTGMAM >KJB08711 pep chromosome:Graimondii2_0_v6:1:11166730:11174804:-1 gene:B456_001G099300 transcript:KJB08711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutamate carboxypeptidase AMP1 [Source:Projected from Arabidopsis thaliana (AT3G54720) UniProtKB/Swiss-Prot;Acc:Q9M1S8] MPPNLTKPNSGFTSKPSPLCTFVSLIILLIIGFYTLHYPPGNPKKVLLFQQIFLSSASNSTIASYLRSLTSHPHLAGTKPSLETIHYVKTHFQNLGLETHTVPFQTLLSYPVHASVSMHFGNSTVLNLPLNEMGIPSYPSSGLIQPYHAFSPSGTVHGKVVFANHGREDDYRTLGLMGVNVNGCIVIIRKGGSLSRGAVVKIAEKKGALGVLMYAEGDVSKGSFGSGVERGTVMEGVGDPLTPGWGADEDGERLKLEDKKVLERFPGIPSLPLPFESAQLILDSLEGPLAPQEWRDSGRSNLSHVGSGLVMVNFTYQGEKKLATIYNVVAVIRGLEEPDRYVLMGNHRDAWTYGAVDPNSGTATLLDIARRYALLMRKGWNPRRTIIFCSWDAEEFGMIGSTEWVEQNLVNLGAKAVAYLNVDCAVQGPGFFAGATPQLDNLIFEVTKKVQDQDSEVVATIYEKWKTMNGNNIQRLSGVDSDFAPFLQHAGVPSVDIYYGRDFPVYHTAFDSFNWMINNADPFFWRHVAVAGVWGLLGLHLADDPVLPLDYLSYAKQLQEYKDAFSRVLDGNISLTPLAASIQEFTSAAKQASEETKKLMEQEFTNDLLALKIRALNDRLMLAERGFLDTDGIKGREWFKHLIYGPRSNYESGLEFFPGISDAMAESKNMTQKDGHAAIKHEIWRVARAIQRAAAALKGELV >KJB08712 pep chromosome:Graimondii2_0_v6:1:11166804:11174728:-1 gene:B456_001G099300 transcript:KJB08712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutamate carboxypeptidase AMP1 [Source:Projected from Arabidopsis thaliana (AT3G54720) UniProtKB/Swiss-Prot;Acc:Q9M1S8] MPPNLTKPNSGFTSKPSPLCTFVSLIILLIIGFYTLHYPPGNPKKVLLFQQIFLSSASNSTIASYLRSLTSHPHLAGTKPSLETIHYVKTHFQNLGLETHTVPFQTLLSYPVHASVSMHFGNSTVLNLPLNEMGIPSYPSSGLIQPYHAFSPSGTVHGKVVFANHGREDDYRTLGLMGVNVNGCIVIIRKGGSLSRGAVVKIAEKKGALGVLMYAEGDVSKGSFGSGVERGTVMEGVGDPLTPGWGADEDGERLKLEDKKVLERFPGIPSLPLPFESAQLILDSLEGPLAPQEWRDSGRSNLSHVGSGLVMVNFTYQGEKKLATIYNVVAVIRGLEEPDRYVLMGNHRDAWTYGAVDPNSGTATLLDIARRYALLMRKGWNPRRTIIFCSWDAEEFGMIGSTEWVEQNLVNLGAKAVAYLNVDCAVQGPGFFAGATPQLDNLIFEVTKKVQDQDSEVVATIYEKWKTMNGNNIQRLSGVDSDFAPFLQHAGVPSVDIYYGRDFPVYHTAFDSFNWMINNADPFFWRHVAVAGVWGLLGLHLADDPVLPLDYLSYAKQLQEYKDAFSRVLDGNISLTPLAASIQEFTSAAKQASEETKKLMEQEFTNDLLALKIRALNDRLMLAERGFLDTDGIKGREWFKHLIYGPRSNYESGLEFFPGISDAMAESKNMTQKDGHAAIKHEIWRVARAIQRAAAALKGELV >KJB08713 pep chromosome:Graimondii2_0_v6:1:11166804:11174804:-1 gene:B456_001G099300 transcript:KJB08713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutamate carboxypeptidase AMP1 [Source:Projected from Arabidopsis thaliana (AT3G54720) UniProtKB/Swiss-Prot;Acc:Q9M1S8] MPPNLTKPNSGFTSKPSPLCTFVSLIILLIIGFYTLHYPPGNPKKVLLFQQIFLSSASNSTIASYLRSLTSHPHLAGTKPSLETIHYVKTHFQNLGLETHTVPFQTLLSYPVHASVSMHFGNSTVLNLPLNEMGIPSYPSSGLIQPYHAFSPSGTVHGKVVFANHGREDDYRTLGLMGVNVNGCIVIIRKGGSLSRGAVVKIAEKKGALGVLMYAEGDVSKGSFGSGVERGTVMEGVGDPLTPGWGADEDGERLKLEDKKVLERFPGIPSLPLPFESAQLILDSLEGPLAPQEWRDSGRSNLSHVGSGLVMVNFTYQGEKKLATIYNVVAVIRGLEEPDRYVLMGNHRDAWTYGAVDPNSGTATLLDIARRYALLMRKGWNPRRTIIFCSWDAEEFGMIGSTEWVEQNLVNLGAKAVAYLNVDCAVQGPGFFAGATPQLDNLIFEVTKKVQDQDSEVVATIYEKWKTMNGNNIQRLSGVDSDFAPFLQHAGVPSVDIYYGRVAGVWGLLGLHLADDPVLPLDYLSYAKQLQEYKDAFSRVLDGNISLTPLAASIQEFTSAAKQASEETKKLMEQEFTNDLLALKIRALNDRLMLAERGFLDTDGIKGREWFKHLIYGPRSNYESGLEFFPGISDAMAESKNMTQKDGHAAIKHEIWRVARAIQRAAAALKGELV >KJB09644 pep chromosome:Graimondii2_0_v6:1:21614815:21619035:-1 gene:B456_001G154000 transcript:KJB09644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-2 [Source:Projected from Arabidopsis thaliana (AT2G46520) UniProtKB/Swiss-Prot;Acc:Q9ZPY7] MEWNPETLQFLSQCFLRTLSPQPEPRRAAESSLSEAADRPNYGLAVLRLVAEPSVDEQIRQAAAVNFKNHLRTRWVPSNDLNAGPTFSPILDPEKDQIKTLIVSLMLSSSPRIQSQLSEALAVIGKHDFPKSWPTLLPELISNLQKAAQSSDYASINGILGTANSIFKKFRYQYKTNDLLLDLKYCLDNFAAPLLDIFLKTASLIDSTASSPGGGSPATLQPLFESQRLCCRIFYSLNFQELPEFFEDHMKEWMGEFRKYLTTNYPSLESSGDGLALVDQLRAAVCENISLYMEKNEEEFQGYLNDFASAVWSLLTNVSQSSSRDKLAVTAMKFLTTVSTSVHHTLFASEGVVPQICQSIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDLDTRRRIACELLKGIATNYKKQVTDIVSLQIQNLLSSFGTNPSANWKDKDCAIYLVVSLATKKAGGTLVSTDLVDVQSFFLSVIVPELQSQDVNGFPMLKAGALKFFTTFRGLIQKPVAFQLFPDLVRFLGAESNVVHSYAASCIEKLLLVKDEGGKARYTSADITPCVPVLMNNLFNSLKFPESEENQYIMKCILRVLAVADISSEIAGPCIAGLTSILNEVCKNPRNPIFNHYLFESVAILIRRACERDASLISAFEGSLFPSLQTILANDVTEFLPYAFQLLAQLVELNKPPISPSYMQIFVLLLSPDSWRRSSNVPALVRLLQAFLQKAPNEVNQEGRLNQVLGIFNMLVSSASSDEQGFYVLNTVIENLEYGVISPYMGNIWNVLFMRLQNNRTVKFQKSLVIFMSLFLIKHGATNLVDTMNAVQDNIFLVILEQFWIPNLKLITGAIELKLTAVASTRLICESPVLLDPAAARLWGKMLDSIVTLLSRPEQDRVEEEPEMPDIAENVGYTATFVKLYNAGKREEDPLTDVKDPKQFLVASLAKLSAHTPGRYPQIINENLEPANQAALLQLCGIYNCQIV >KJB09642 pep chromosome:Graimondii2_0_v6:1:21615846:21618779:-1 gene:B456_001G154000 transcript:KJB09642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-2 [Source:Projected from Arabidopsis thaliana (AT2G46520) UniProtKB/Swiss-Prot;Acc:Q9ZPY7] MEWNPETLQFLSQCFLRTLSPQPEPRRAAESSLSEAADRPNYGLAVLRLVAEPSVDEQIRQAAAVNFKNHLRTRWVPSNDLNAGPTFSPILDPEKDQIKTLIVSLMLSSSPRIQSQLSEALAVIGKHDFPKSWPTLLPELISNLQKAAQSSDYASINGILGTANSIFKKFRYQYKTNDLLLDLKYCLDNFAAPLLDIFLKTASLIDSTASSPGGGSPATLQPLFESQRLCCRIFYSLNFQELPEFFEDHMKEWMGEFRKYLTTNYPSLESSGDGLALVDQLRAAVCENISLYMEKNEEEFQGYLNDFASAVWSLLTNVSQSSSRDKLAVTAMKFLTTVSTSVHHTLFASEGVVPQICQSIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDLDTRRRIACELLKGIATNYKKQVTDIVSLQIQNLLSSFGTNPSANWKDKDCAIYLVVSLATKKAGGTLVSTDLVDVQSFFLSVIVPELQSQDVNGFPMLKAGALKFFTTFRGLIQKPVAFQLFPDLVRFLGAESNVVHSYAASCIEKLLLVKDEGGKARYTSADITPCVPVLMNNLFNSLKFPESEENQYIMKCILRVLAVADISSEIAGPCIAGLTSILNEVCKNPRNPIFNHYLFESVAILIRRACERDASLISAFEGSLFPSLQTILANDVTEFLPYAFQLLAQLVELNKPPISPSYMQIFVLLLSPDSWRRSSNVPALVRLLQAFLQKAPNEVNQEGRLNQVLGIFNMLVSSASSDEQGFYVLNTVIENLEYGVISPYMGNIWNVLFMRLQNNRTVKFQKSLVIFMSLFLIKHGATNLVDTMNAVQDNIFLVILEQFWIPNLKLITGAIELKLTAVASTRLICESPVLLDPAAARLWGKMLDSIVTLLSRPEQDRVEEEPEMPDIAENVGYTATFVKLYNAGKREEDPLTDVKDPKQFLVASLAKLSAHTPGRYPQIINENLEPANQAALLQLCGIYNCQIV >KJB09643 pep chromosome:Graimondii2_0_v6:1:21614815:21618924:-1 gene:B456_001G154000 transcript:KJB09643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-2 [Source:Projected from Arabidopsis thaliana (AT2G46520) UniProtKB/Swiss-Prot;Acc:Q9ZPY7] MEWNPETLQFLSQCFLRTLSPQPEPRRAAESSLSEAADRPNYGLAVLRLVAEPSVDEQIRQAAAVNFKNHLRTRWVPSNDLNAGPTFSPILDPEKDQIKTLIVSLMLSSSPRIQSQLSEALAVIGKHDFPKSWPTLLPELISNLQKAAQSSDYASINGILGTANSIFKKFRYQYKTNDLLLDLKYCLDNFAAPLLDIFLKTASLIDSTASSPGGGSPATLQPLFESQRLCCRIFYSLNFQELPEFFEDHMKEWMGEFRKYLTTNYPSLESSGDGLALVDQLRAAVCENISLYMEKNEEEFQGYLNDFASAVWSLLTNVSQSSSRDKLAVTAMKFLTTVSTSVHHTLFASEGVVPQICQSIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDLDTRRRIACELLKGIATNYKKQVTDIVSLQIQNLLSSFGTNPSANWKDKDCAIYLVVSLATKKAGGTLVSTDLVDVQSFFLSVIVPELQSQDVNGFPMLKAGALKFFTTFRGLIQKPVAFQLFPDLVRFLGAESNVVHSYAASCIEKLLLVKDEGGKARYTSADITPCVPVLMNNLFNSLKFPESEENQYIMKCILRVLAVADISSEIAGPCIAGLTSILNEVCKNPRNPIFNHYLFESVAILIRRACERDASLISAFEGSLFPSLQTILANDVTEFLPYAFQLLAQLVELNKPPISPSYMQIFVLLLSPDSWRRSSNVPALVRLLQAFLQKAPNEVNQEGRLNQVLGIFNMLVSSASSDEQGFYVLNTVIENLEYGVISPYMGNIWNVLFMRLQNNRTVKFQKSLVIFMSLFLIKHGATNLVDTMNAVQDNIFLVILEQFWIPNLKLITGAIELKLTAVASTRLICESPVLLDPAAARLWGKMLDSIVTLLSRPEQDRVEEEPEMPDIAENVGYTATFVKLYNAGKREEDPLTDVKDPKQFLVASLAKLSAHTPGRYPQIINENLEPANQAALLQLCGIYNCQIV >KJB08132 pep chromosome:Graimondii2_0_v6:1:6792913:6794525:1 gene:B456_001G067700 transcript:KJB08132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLQNNLLMGGRLDSRDRFSDWRLDIDSMSYEQLLELGEKIGHVNTGLKEDEISRCLRKMKGSVMNDLPLSVNMNVDKKCSICQEEYEANEEMGKLYCGHSFHIQCIKQWLVHKNTCPVCKTEAAAQC >KJB08131 pep chromosome:Graimondii2_0_v6:1:6790430:6794525:1 gene:B456_001G067700 transcript:KJB08131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLTETSASVSASSSSSSSCSTIAEHLKLRRPARNQINTTETDPDPVSEIPSLIQSTRSKSTISSLFLSSNNDTTKKKTNPFSQSALRGLGCTASTSQQVSVPAMIRTSADWETKKVKKKKKTQQQQQQQQQQEQEKKKKKKKKKSSKLVVGNESSNSHKVHHPHHQQGVLLNEGSGYNISCGVIQDVWCGPGIGFSADAVGSVDRVATRRNVPARGKIDVEKPSYIARRTVNPETLSFLDSDSAFISSRPEPDFFGSRYYRHARHPSPEGLAEIMMLQNNLLMGGRLDSRDRFSDWRLDIDSMSYEQLLELGEKIGHVNTGLKEDEISRCLRKMKGSVMNDLPLSVNMNVDKKCSICQEEYEANEEMGKLYCGHSFHIQCIKQWLVHKNTCPVCKTEAAAQC >KJB08130 pep chromosome:Graimondii2_0_v6:1:6790301:6794654:1 gene:B456_001G067700 transcript:KJB08130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLTETSASVSASSSSSSSCSTIAEHLKLRRPARNQINTTETDPDPVSEIPSLIQSTRSKSTISSLFLSSNNDTTKKKTNPFSQSALRGLGCTASTSQQVSVPAMIRTSADWETKKVKKKKKTQQQQQQQQQQEQEKKKKKKKKKSSKLVVGNESSNSHKVHHPHHQQGVLLNEGSGYNISCGVIQDVWCGPGIGFSADAVGSVDRVATRRNVPARGKIDVEKVNHRGPSYIARRTVNPETLSFLDSDSAFISSRPEPDFFGSRYYRHARHPSPEGLAEIMMLQNNLLMGGRLDSRDRFSDWRLDIDSMSYEQLLELGEKIGHVNTGLKEDEISRCLRKMKGSVMNDLPLSVNMNVDKKCSICQEEYEANEEMGKLYCGHSFHIQCIKQWLVHKNTCPVCKTEAAAQC >KJB11323 pep chromosome:Graimondii2_0_v6:1:52488477:52490417:1 gene:B456_001G253600 transcript:KJB11323 gene_biotype:protein_coding transcript_biotype:protein_coding description:WXR1 [Source:Projected from Arabidopsis thaliana (AT2G31190) UniProtKB/TrEMBL;Acc:A0A178VQM6] MCVPNHTFWLEVCIYFVDEQILKDGMQHMGKLICSNLGARMDSEPKRWRILADVLYDLGTGLEVLSPLCPHLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVVGLGVGIHLASTVCSSMQGKLIAGPLLSIIHVFSVVEEMRAAPINTLNPQRTAMIVADFLKTGKVSSPADLRYREDLLFPGRLIEDAGNVKVGRALHKVVKPSKLQEWKETFPEEKFVLSHGNKWTDMLLEHNATAEDALRGWLVAAYATSMEKSFHEPSASMLQDAYDKMNSIFTPFLNELQAKGWHTDRFLDGTGSRFAF >KJB11322 pep chromosome:Graimondii2_0_v6:1:52487422:52490436:1 gene:B456_001G253600 transcript:KJB11322 gene_biotype:protein_coding transcript_biotype:protein_coding description:WXR1 [Source:Projected from Arabidopsis thaliana (AT2G31190) UniProtKB/TrEMBL;Acc:A0A178VQM6] MNLLDKLKRHTKTEPGEVKAPQVQVPVYWLETSDTVSRRYEFEPDGYLSVKVVNDSRPVYHRVVESFLNKFFPSGYPYSVNEGYLRYTQFRALQHMTSAALSVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHMGKLICSNLGARMDSEPKRWRILADVLYDLGTGLEVLSPLCPHLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVVGLGVGIHLASTVCSSMQGKLIAGPLLSIIHVFSVVEEMRAAPINTLNPQRTAMIVADFLKTGKVSSPADLRYREDLLFPGRLIEDAGNVKVGRALHKVVKPSKLQEWKETFPEEKFVLSHGNKWTDMLLEHNATAEDALRGWLVAAYATSMEKSFHEPSASMLQDAYDKMNSIFTPFLNELQAKGWHTDRFLDGTGSRFAF >KJB09149 pep chromosome:Graimondii2_0_v6:1:15892758:15895745:1 gene:B456_001G126200 transcript:KJB09149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTRYYEILGVSKNASHDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGAAAHDPFDIFSSFFGGSPFGGASSRGRRQRRGEDVVHPLKVSLEDLYLGTSKKLSLSRNVICSKCSGKGSKSGASMQCPGCQGSGMKISVRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVIVEKGMQNGQKITFPGEADEAPDTITGDIVFVLQQKDHPKFKRKGEDLFVEHTLALTEALCGFQFVVTHLDGRQLLIKSIPGEVVKPDSFKAINDEGMPLYQRPFIKGKMYIHFTVEFPDSLNPDQIMALEAILPPKPTSHLTDMELDECEETTLHDVNIEEEMRRKQQAAQEAYDEDEDTHGGAQRVQCAQQ >KJB07664 pep chromosome:Graimondii2_0_v6:1:3321666:3323883:-1 gene:B456_001G036100 transcript:KJB07664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLIILRYSAETMVDDQSLVVGKELCNLQCKDLGLSRTPVWKMDVQNSLNNIQNSPCSVSKLNVYNSCRTVGSYGLWAVDSWNSLPKCQHHECF >KJB07665 pep chromosome:Graimondii2_0_v6:1:3322115:3322970:-1 gene:B456_001G036100 transcript:KJB07665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNQSYSAETMVDDQSLVVGKELCNLQCKDLGLSRTPVWKMDVQNSLNNIQNSPCSVSKLNVYNSCRTVGSYGLWAVDSWNSLPKCQHHECF >KJB07663 pep chromosome:Graimondii2_0_v6:1:3322558:3323654:-1 gene:B456_001G036100 transcript:KJB07663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLIILRYSAETMVDDQSLVVGKELCNLQCKDLGLSRTPVWKMDVQNSLNNIQNSPCSVSKLNVYNSCRTVGSYGLWAVDSWNSLPKCQHHECF >KJB07710 pep chromosome:Graimondii2_0_v6:1:3719188:3721008:1 gene:B456_001G040000 transcript:KJB07710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNTILLTCSIVLNLLLFHSWYFHGQWEQSWTKSATAEAEFVASISCSGHGRAFLDGSILDGKPVCECNACYGGPHCSVFLPECIADADSGDPMFLEPFWLKHAASSTIVVPGWHRMSYEFNDDSLISKELDAQIRKLHAVIGNAVTDGRFIIFGVGATQLLHAAVHALSTTNHPSSPSRVVASTPYYPIYKEQTEFFNSEDYKFEGDTSLCNNNSGCKGNFIELVTSPNNPDGQLKKAVLQGPSAKTIHDFAYYWPHYTPIPALADENLMIFTLSKLTGHGGSRFGWAIIKDEAVHQRMLTYMNLSTYGVARETQLRVLKLLKVAVENEGKEMFNFGYQTMRNRWRKLSKTMSLSKRFSIQELETQFCSFSEKVRGATPAYAWLKCVREEDKDCNAVLHSVNITGRHGSLFGAESRFVRLSLVKSDDDFDLLLKRMGTLVSQENNNDGINKIMTHRDEFIGTK >KJB10347 pep chromosome:Graimondii2_0_v6:1:34628807:34633567:-1 gene:B456_001G197000 transcript:KJB10347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVCCCLRPEDFEDYINPNSNVYRNCVCLSCFVQNFVHVYTTLFRRGELRSVPSSIQGTGSIISSASLDNSLSDMYQSPPRPLPYDAEARYFRLQRDGLVSRHEKGSSQSHEESEPLRGENDADPGSLSTEGKWNAFERGSKEQHSKSSQKLSSAKAPVGIGYIYSSAEEEEDVCPTCLEGYGVR >KJB10348 pep chromosome:Graimondii2_0_v6:1:34629148:34632120:-1 gene:B456_001G197000 transcript:KJB10348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVCCCLRPEDFEDYINPNSNVYRNCVCLSCFVQNFVHVYTTLFRRGELRSVPSSIQGTGSIISSASLDNSLSDMYQSPPRPLPYDAEARYFRLQRDGLVSRHEKGSSQSHEESEPLRGENDADPGSLSTEGKWNAFERGSKEQHSKSSQKLSSAKAPVGIGYIYSSAEEEEDVCPTCLEEYTPENPKIITKCSHHFHLGCIYEWMERSENCPVCGKVMVFDETT >KJB10349 pep chromosome:Graimondii2_0_v6:1:34628807:34633567:-1 gene:B456_001G197000 transcript:KJB10349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQSPPRPLPYDAEARYFRLQRDGLVSRHEKGSSQSHEESEPLRGENDADPGSLSTEGKWNAFERGSKEQHSKSSQKLSSAKAPVGIGYIYSSAEEEEDVCPTCLEEYTPENPKIITKCSHHFHLGCIYEWMERSENCPVCGKVMVFDETT >KJB09955 pep chromosome:Graimondii2_0_v6:1:26568449:26571877:1 gene:B456_001G177700 transcript:KJB09955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSTWCRYLVHKIEYSFTLGWKSYKRGQISDRELQDAVWKNVFQGKLTYLHWSKGEAMAPTIGERGGTLLVRKIPSADPGRVFVGDVIVMKDPDDSDNYLVRRLAATEGYEMVSKDEKDEPFVLEKDQCWVLADNEKLKPKVLNVTKDSRLFGPVPMTGIVGRVIYCLRTAVDHGPVQNSHYGMRKDSPVLEVELDVDDMVKNHKS >KJB09953 pep chromosome:Graimondii2_0_v6:1:26568447:26571909:1 gene:B456_001G177700 transcript:KJB09953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSTWCRYLVHKIEYSFTLGWKSYKRGQISDRELQDAVWKNVFQGKLTYLHWSKGEAMAPTIGERGGTLLVRKIPSADPGRVFVGDVIVMKDPDDSDNYLVRRLAATEGYEMVSKDEKDEPFVLEKDQCWVLADNEKLKPKEAKDSRLFGPVPMTGIVGRVIYCLRTAVDHGPVQNSHYGMRKDSPVLEVELDVDDMVKNHKS >KJB09954 pep chromosome:Graimondii2_0_v6:1:26568449:26571877:1 gene:B456_001G177700 transcript:KJB09954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSTWCRYLVHKIEYSFTLGWKSYKRGQISDRELQDAVWKNVFQGKLTYLHWSKGEAMAPTIGERGGTLLVRKIPSADPGRVFVGDVIVMKDPDDSDNYLVRRLAATEGYEMVSKDEKDEPFVLEKDQCWVLADNEKLKPKVLNEAKDSRLFGPVPMTGIVGRVIYCLRTAVDHGPVQNSHYGMRKDSPVLEVELDVDDMVKNHKS >KJB06580 pep chromosome:Graimondii2_0_v6:1:2983958:2987682:1 gene:B456_001G032200 transcript:KJB06580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETESQAKRHEGKQYDNSEGEEEEEEEEEEVDELEDEEEEEEEGVSRVNESHGAGTAPSSDAEVRASKLETLTNDDRCERLRENPTSELKEGAESKEQVKIAHQKVLPSLAVQRAEAPKQHLLQSSVSPTSSSQPSPTSITQDISSPPTPTLPAQSHLDQKVNGASPPVANQQNPSNLKMLSFVPVVKTPVSDGYNWRKYGQKQVKSPKGSRSYYKCTFSNCQVKKIECSDQTGHVIEIVNKGIHSHEPPRKMNFTRENNILSSAVPLSIVREQPSRIPNDSDPSTSSKESLPEPTVHTERKRQCSSGSDGHGDVQMKKEFLSEAEPNKRRKKGDAVCAGSVVKAGKKPKFVVHAAGDVGISGDGYRWRKYGQKMELL >KJB06579 pep chromosome:Graimondii2_0_v6:1:2983958:2986183:1 gene:B456_001G032200 transcript:KJB06579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETESQAKRHEGKQYDNSEGEEEEEEEEEEVDELEDEEEEEEEGVSRVNESHGAGTAPSSDAEVRASKLETLTNDDRCERLRENPTSELKEGAESKEQVKIAHQKVLPSLAVQRAEAPKQHLLQSSVSPTSSSQPSPTSITQDISSPPTPTLPAQSHLDQKVNGASPPVANQQNPSNLKMLSFVPVVKTPVSDGYNWRKYGQKQVKSPKGSRSYYKCTFSNCQVKKIECSDQTGHVIEIVNKGIHSHEPPRKMNFTRENNILSSAVPLSIVREQPSRIPNDSDPSTSSKESLPEPTVHTERKRQCSSGSDGHGDVQMKKEFLSEAEPNKRQAFP >KJB06578 pep chromosome:Graimondii2_0_v6:1:2983827:2987746:1 gene:B456_001G032200 transcript:KJB06578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETESQAKRHEGKQYDNSEGEEEEEEEEEEVDELEDEEEEEEEGVSRVNESHGAGTAPSSDAEVRASKLETLTNDDRCERLRENPTSELKEGAESKEQVKIAHQKVLPSLAVQRAEAPKQHLLQSSVSPTSSSQPSPTSITQDISSPPTPTLPAQSHLDQKVNGASPPVANQQNPSNLKMLSFVPVVKTPVSDGYNWRKYGQKQVKSPKGSRSYYKCTFSNCQVKKIECSDQTGHVIEIVNKGIHSHEPPRKMNFTRENNILSSAVPLSIVREQPSRIPNDSDPSTSSKESLPEPTVHTERKRQCSSGSDGHGDVQMKKEFLSEAEPNKRRKKGDAVCAGSVVKAGKKPKFVVHAAGDVGISGDGYRWRKYGQKMVKGNSNPRNYYRCTSAGCPVRKHIETAVDNTNAVVITYKGVHDHDMPVPKKRHGPSSAPLVAAAAPASMNNLQLAIADGEQKQVTSTKWSVGTEGELTGEAVDLGGEKAMESARTLLSIGFEIKPC >KJB07085 pep chromosome:Graimondii2_0_v6:1:2042036:2044991:-1 gene:B456_001G021700 transcript:KJB07085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAASKRDKKNSSTSTSPCAHLRSAYHNCFNQWYSEKFVKGQWDKEECVSEWQKYRDCLSEHLDDKHLSRFLEAEAAFGSVFQEGKENPVENSAK >KJB07802 pep chromosome:Graimondii2_0_v6:1:4303953:4306937:-1 gene:B456_001G045200 transcript:KJB07802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLVLLSVLLCASCHISLSLTNGTASNGTVADGLLENGNFENAPNATNMKGTVVVGRYSIPGWVNEGFVEYIKSGQKQGDMLLVVPEGAYAVRLGNEASIKQKIKVIKGMYYSITFSAARTCAQEERLNVTVAPDSGVLPIQTVYSSSGWDNYAWAFKAIYEVAELIIHNPGVEEDPACGPLIDAVAIKALYPPRPTNKNSVKNGGFEEGPYVFPNTPWGVLIPPNIEDDHSPLPAWIVESLKAVKYIDSAHYFVPQGRRAVELVAGKESAIAQIVRTIVGKMYRLSFAVGDANNSCVGSLVVEAFAGKDTLKVPYESKGKGGFKRAELKFKAVSNRTRIMFLSTFYTMRSDDFSSLCGPVLDDVKLLSIQTSPNP >KJB07801 pep chromosome:Graimondii2_0_v6:1:4303673:4307125:-1 gene:B456_001G045200 transcript:KJB07801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLVLLSVLLCASCHISLSLTNGTASNGLLENGNFENAPNATNMKGTVVVGRYSIPGWVNEGFVEYIKSGQKQGDMLLVVPEGAYAVRLGNEASIKQKIKVIKGMYYSITFSAARTCAQEERLNVTVAPDSGVLPIQTVYSSSGWDNYAWAFKAIYEVAELIIHNPGVEEDPACGPLIDAVAIKALYPPRPTNKNSVKNGGFEEGPYVFPNTPWGVLIPPNIEDDHSPLPAWIVESLKAVKYIDSAHYFVPQGRRAVELVAGKESAIAQIVRTIVGKMYRLSFAVGDANNSCVGSLVVEAFAGKDTLKVPYESKGKGGFKRAELKFKAVSNRTRIMFLSTFYTMRSDDFSSLCGPVLDDVKLLSIQTSPNP >KJB08208 pep chromosome:Graimondii2_0_v6:1:7208421:7213741:-1 gene:B456_001G071200 transcript:KJB08208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQVPSRQLFIDGEWREPILKKRLPIINPATEEIIGNIPAATAEDVELAVAAARRALSRNKGKDWATAPGSARAKYLRAIAAKVTERKTELAKLEAIDCGKPLDEAVWDIEDVAGCFEYYADLAEGLDAKQKAPVSLPMETFKSYVLKEPIGVVGLITPWNYPLLMATWKVAPSLAAGCAAILKPSELASITCLELAEVCREVGLPPGVLNILTGLGPEAGAPLASHPDVDKQIAFTGSSATGSKIMAAAAQMVKPVSLELGGKSPIIVFEDVDLDKAAEWTAFGCFWTNGQICSATSRLIVHENIVREFLDRLVKWTKNIKISDPFEEGCRLGPVVSGGQYEKVLKFISTAKSEGATILSGGVRPEHLKKGFFVEPTIITDVTTSMQIWREEVFGPVLCVKTFSTEEEALELANDTHYGLGAAVISNDLERCDRVSKNLQAGIVWVNCSQPCFCQAPWGGNKRSGFGRELGEWGLDNYLSVKQVTQYVSDEPWGWYRSPSKL >KJB08207 pep chromosome:Graimondii2_0_v6:1:7208421:7213741:-1 gene:B456_001G071200 transcript:KJB08207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQVPSRQLFIDGEWREPILKKRLPIINPATEEIIGNIPAATAEDVELAVAAARRALSRNKGKDWATAPGSARAKYLRAIAAKVTERKTELAKLEAIDCGKPLDEAVWDIEDVAGCFEYYADLAEGLDAKQKAPVSLPMETFKSYVLKEPIGVVGLITPWNYPLLMATWKVAPSLAAGCAAILKPSELASITCLELAEVCREVGLPPGVLNILTGLGPEAGAPLASHPDVDKIAFTGSSATGSKIMAAAAQMVKPVSLELGGKSPIIVFEDVDLDKAAEWTAFGCFWTNGQICSATSRLIVHENIVREFLDRLVKWTKNIKISDPFEEGCRLGPVVSGGQHLKKGFFVEPTIITDVTTSMQIWREEVFGPVLCVKTFSTEEEALELANDTHYGLGAAVISNDLERCDRVSKNLQAGIVWVNCSQPCFCQAPWGGNKRSGFGRELGEWGLDNYLSVKQVTQYVSDEPWGWYRSPSKL >KJB08212 pep chromosome:Graimondii2_0_v6:1:7209402:7213741:-1 gene:B456_001G071200 transcript:KJB08212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQVPSRQLFIDGEWREPILKKRLPIINPATEEIIGNIPAATAEDVELAVAAARRALSRNKGKDWATAPGSARAKYLRAIAAKVTERKTELAKLEAIDCGKPLDEAVWDIEDVAGCFEYYADLAEGLDAKQKAPVSLPMETFKSYVLKEPIGVVGLITPWNYPLLMATWKVAPSLAAGCAAILKPSELASITCLELAEVCREVGLPPGVLNILTGLGPEAGAPLASHPDVDKIAFTGSSATGSKIMAAAAQMVKPVSLELGGKSPIIVFEDVDLDKAAEWTAFGCFWTNGQICSATSRLIVHENIVREFLDRLVKWTKNIKISDPFEEGCRLGPVVSGGQYEKVLKFISTAKSEGATILSGGVRPEHLKKGFFVEPTIITDVTTSMQIWREEVFGPVLCVKTFSTEEEALELANDTHYGLGAAVISNDLERCDRVSKVRVVYSR >KJB08213 pep chromosome:Graimondii2_0_v6:1:7210566:7213741:-1 gene:B456_001G071200 transcript:KJB08213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQVPSRQLFIDGEWREPILKKRLPIINPATEEIIGNIPAATAEDVELAVAAARRALSRNKGKDWATAPGSARAKYLRAIAAKVTERKTELAKLEAIDCGKPLDEAVWDIEDVAGCFEYYADLAEGLDAKQKAPVSLPMETFKSYVLKEPIGVVGLITPWNYPLLMATWKVAPSLAAGCAAILKPSELASITCLELAEVCREVGLPPGVLNILTGLGPEAGAPLASHPDVDKIAFTGSSATGSKIMAAAAQMVKPVSLELGGKSPIIVFEDVDLDKAAEWTAFGCFWTNGQICSATSRLIVHLFLEDIISHS >KJB08214 pep chromosome:Graimondii2_0_v6:1:7210736:7213741:-1 gene:B456_001G071200 transcript:KJB08214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQVPSRQLFIDGEWREPILKKRLPIINPATEEIIGNIPAATAEDVELAVAAARRALSRNKGKDWATAPGSARAKYLRAIAAKVTERKTELAKLEAIDCGKPLDEAVWDIEDVAGCFEYYADLAEGLDAKQKAPVSLPMETFKSYVLKEPIGVVGLITPWNYPLLMATWKVAPSLAAGCAAILKPSELASITCLELAEVCREVGLPPGVLNILTGLGPEAGAPLASHPDVDKIAFTGSSATGSKIMAAAAQMVKPVSLELGGKSPIIVFEDVDLDKAAEWTAFGCFWTNGQICSATSRLIVHTGHTISGKHLKNGNQIQ >KJB08215 pep chromosome:Graimondii2_0_v6:1:7210837:7213741:-1 gene:B456_001G071200 transcript:KJB08215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQVPSRQLFIDGEWREPILKKRLPIINPATEEIIGNIPAATAEDVELAVAAARRALSRNKGKDWATAPGSARAKYLRAIAAKVTERKTELAKLEAIDCGKPLDEAVWDIEDVAGCFEYYADLAEGLDAKQKAPVSLPMETFKSYVLKEPIGVVGLITPWNYPLLMATWKVAPSLAAGCAAILKPSELASITCLELAEVCREVGLPPGVLNILTGLGPEAGAPLASHPDVDKIAFTGSSATGSKIMAAAAQMVKPVSLELGGKSPIIVFEDVDLDKAAEWTAFGCFWTNGQICSATSRLIVHGSSCMIGNKVVYTI >KJB08211 pep chromosome:Graimondii2_0_v6:1:7208421:7213790:-1 gene:B456_001G071200 transcript:KJB08211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQVPSRQLFIDGEWREPILKKRLPIINPATEEIIGNIPAATAEDVELAVAAARRALSRNKGKDWATAPGSARAKYLRAIAAKVTERKTELAKLEAIDCGKPLDEAVWDIEDVAGCFEYYADLAEGLDAKQKAPVSLPMETFKSYVLKEPIGVVGLITPWNYPLLMATWKVAPSLAAGCAAILKPSELASITCLELAEVCREVGLPPGVLNILTGLGPEAGAPLASHPDVDKIAFTGSSATGSKIMAAAAQMVKPVSLELGGKSPIIVFEDVDLDKAAEWTAFGCFWTNGQICSATSRLIVHENIVREFLDRLVKWTKNIKISDPFEEGCRLGPVVSGGQYEKVLKFISTAKSEGATILSGGVRPEHLKKGFFVEPTIITDVTTSMQIWREEVFGPVLCVKTFSTEEEALELANDTHYGLGAAVISNDLERCDRVSKNLQAGIVWVNCSQPCFCQAPWGGNKRSGFGRELGEWGLDNYLSVKQVTQYVSDEPWGWYRSPSKL >KJB08210 pep chromosome:Graimondii2_0_v6:1:7208719:7213671:-1 gene:B456_001G071200 transcript:KJB08210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQVPSRQLFIDGEWREPILKKRLPIINPATEEIIGNIPAATAEDVELAVAAARRALSRNKGKDWATAPGSARAKYLRAIAAKVTERKTELAKLEAIDCGKPLDEAVWDIEDVAGCFEYYADLAEGLDAKQKAPVSLPMETFKSYVLKEPIGVVGLITPWNYPLLMATWKVAPSLAAGCAAILKPSELASITCLELAEVCREVGLPPGVLNILTGLGPEAGAPLASHPDVDKIAFTGSSATGSKIMAAAAQMVKPVSLELGGKSPIIVFEDVDLDKAAEWTAFGCFWTNGQICSATSRLIVHENIVREFLDRLVKWTKNIKISDPFEEGCRLGPVVSGGQVRKVLKFISTAKSEGATILSGGVRPEHLKKGFFVEPTIITDVTTSMQIWREEVFGPVLCVKTFSTEEEALELANDTHYGLGAAVISNDLERCDRVSKNLQAGIVWVNCSQPCFCQAPWGGNKRSGFGRELGEWGLDNYLSVKQVTQYVSDEPWGWYRSPSKL >KJB08209 pep chromosome:Graimondii2_0_v6:1:7208421:7213033:-1 gene:B456_001G071200 transcript:KJB08209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFKSYVLKEPIGVVGLITPWNYPLLMATWKVAPSLAAGCAAILKPSELASITCLELAEVCREVGLPPGVLNILTGLGPEAGAPLASHPDVDKIAFTGSSATGSKIMAAAAQMVKPVSLELGGKSPIIVFEDVDLDKAAEWTAFGCFWTNGQICSATSRLIVHENIVREFLDRLVKWTKNIKISDPFEEGCRLGPVVSGGQYEKVLKFISTAKSEGATILSGGVRPEHLKKGFFVEPTIITDVTTSMQIWREEVFGPVLCVKTFSTEEEALELANDTHYGLGAAVISNDLERCDRVSKNLQAGIVWVNCSQPCFCQAPWGGNKRSGFGRELGEWGLDNYLSVKQVTQYVSDEPWGWYRSPSKL >KJB08206 pep chromosome:Graimondii2_0_v6:1:7208421:7213741:-1 gene:B456_001G071200 transcript:KJB08206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFKSYVLKEPIGVVGLITPWNYPLLMATWKVAPSLAAGCAAILKPSELASITCLELAEVCREVGLPPGVLNILTGLGPEAGAPLASHPDVDKIAFTGSSATGSKIMAAAAQMVKPVSLELGGKSPIIVFEDVDLDKAAEWTAFGCFWTNGQICSATSRLIVHENIVREFLDRLVKWTKNIKISDPFEEGCRLGPVVSGGQYEKVLKFISTAKSEGATILSGGVRPEHLKKGFFVEPTIITDVTTSMQIWREEVFGPVLCVKTFSTEEEALELANDTHYGLGAAVISNDLERCDRVSKNLQAGIVWVNCSQPCFCQAPWGGNKRSGFGRELGEWGLDNYLSVKQVTQYVSDEPWGWYRSPSKL >KJB09171 pep chromosome:Graimondii2_0_v6:1:16118253:16127607:1 gene:B456_001G127300 transcript:KJB09171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDMLLHFSSNSSNQSDHSLPTKIAKLEARLVGKASSASAAQQPQQQQQQPPWSSLSSASKFVSAEDLPEASSSSDSDDENGGEFLILANTQKRRKVQGDDNLLVLKHAEAISEGTQKIVEVVDVKASSDGNRRKQGRGRGHSVSNRGRGSRANDQIRQQTSTSIVTASNSQLDNSYHKDNRLKEQFQTYDRTSLEEEVTSLHSKVAALEEDLQKCRQEASDYQNHCRLLEKELKDIKDYDQKMKPKRQKMISDLLIAVSKAERREARMKVRQDSLRLGNVGVIRAGTLISETWEDGQALKDLNAHLRQLLEAKEAVERQRKQLKKRQSDKGDATDAESGVQEEDILFQDEIHKSRLASIKREEESILRERDRYELDKGRLIREMKRIRDEDGSRFNNFQILNNRYALLNLLGKGGFSEVYKAYDLVEHRYVACKLHGLNAQWSEDKKQSYIRHAIREYYIHKTLVHRHIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATLVLPEKEARIIIVQIFQGLVCLNKRAQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSKIPLISSKVDVWSAGVLFYQMLFGRRPFGHDQTQERILREDTIIKARKVEFPSRPSVSNEAKDLIRRCLTYNQAERPDVLTIAQDPYLTYSKK >KJB09172 pep chromosome:Graimondii2_0_v6:1:16118441:16127607:1 gene:B456_001G127300 transcript:KJB09172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDMLLHFSSNSSNQSDHSLPTKIAKLEARLVGKASSASAAQQPQQQQQQPPWSSLSSASKFVSAEDLPEASSSSDSDDENGGEFLILANTQKRRKVQGDDNLLVLKHAEDNRLKEQFQTYDRTSLEVCVTSLHSKVAALEEDLQKCRQEASDYQNHCRLLEKELKDIKDYDQKMKPKRQKMISDLLIAVSKAERREARMKVRQDSLRLGNVGVIRAGTLISETWEDGQALKDLNAHLRQLLEAKEAVERQRKQLKKRQSDKGDATDAESGVQEEDILFQDEIHKSRLASIKREEESILRERDRYELDKGRLIREMKRIRDEDGSRFNNFQILNNRYALLNLLGKGGFSEVYKAYDLVEHRYVACKLHGLNAQWSEDKKQSYIRHAIREYYIHKTLVHRHIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATLVLPEKEARIIIVQIFQGLVCLNKRAQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSKIPLISSKVDVWSAGVLFYQMLFGRRPFGHDQTQERILREDTIIKARKVEFPSRPSVSNEAKDLIRRCLTYNQAERPDVLTIAQDPYLTYSKK >KJB09173 pep chromosome:Graimondii2_0_v6:1:16118441:16127607:1 gene:B456_001G127300 transcript:KJB09173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDMLLHFSSNSSNQSDHSLPTKIAKLEARLVGKASSASAAQQPQQQQQQPPWSSLSSASKFVSAEDLPEASSSSDSDDENGGEFLILANTQKRRKVQGDDNLLVLKHAEDNRLKEQFQTYDRTSLEEEVTSLHSKVAALEEDLQKCRQEASDYQNHCRLLEKELKDIKDYDQKMKPKRQKMISDLLIAVSKAERREARMKVRQDSLRLGNVGVIRAGTLISETWEDGQALKDLNAHLRQLLEAKEAVERQRKQLKKRQSDKGDATDAESGVQEEDILFQDEIHKSRLASIKREEESILRERDRYELDKGRLIREMKRIRDEDGSRFNNFQILNNRYALLNLLGKGGFSEVYKAYDLVEHRYVACKLHGLNAQWSEDKKQSYIRHAIREYYIHKTLVHRHIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATLVLPEKEARIIIVQIFQGLVCLNKRAQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSKIPLISSKVDVWSAGVLFYQMLFGRRPFGHDQTQERILREDTIIKARKVEFPSRPSVSNEAKDLIRRCLTYNQAERPDVLTIAQDPYLTYSKK >KJB10933 pep chromosome:Graimondii2_0_v6:1:47019324:47024621:1 gene:B456_001G233200 transcript:KJB10933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPLYGGPGAKVSVFDYSVENHFRAMDTISKLCEEPKIDGLDETDIRRFSSSITFLREWRHFDYEPRIIRFANELKDSPRKDVSLGIELPQFSSATVPKQDRVYGSNASVEYGKDFVMYVGGSVWALDWCPQVHENPNSLVKCEFIAIAAHPPESYYHKLGAPLVGRGIIQIWCVLNAGVNEEGATLAKEKSKQIPQNTEAVNESSAKRTRGRPRKMPIDESQTDQVKRPEGRSRKKSVLEFPDSDPDVQPLAVQYPGISFQPVSTDNVPGNTQENVPHKNHKKRKVCKEATCTSDATPQTSKKSIKLKSKTQEKNNSDSKIENEKSESSSAINQQIHFNTGQEATVSSNVLGCNSIKVSPGSLSIPDDIALPRAVLCLAHNGKVAWDVKWQPYHKHDSKCNQRMGYLAVLLGNGSLEVWEVPLLNMIKTIYSTSPKQGTDPRFVKLDPVFKCSKLKCGDIQSIPLTVEWSTSPPHDYLLAGCHDGMVALWKFSASGSPKINGNKLVKVFLVIDVV >KJB10935 pep chromosome:Graimondii2_0_v6:1:47019324:47028450:1 gene:B456_001G233200 transcript:KJB10935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPLYGGPGAKVSVFDYSVENHFRAMDTISKLCEEPKIDGLDETDIRRFSSSITFLREWRHFDYEPRIIRFANELKDSPRKDVSLGIELPQFSSATVPKQDRVYGSNASVEYGKDFVMYVGGSVWALDWCPQVHENPNSLVKCEFIAIAAHPPESYYHKLGAPLVGRGIIQIWCVLNAGVNEEGATLAKEKSKQIPQNTEAVNESSAKRTRGRPRKMPIDESQTDQVKRPEGRSRKKSVLEFPDSDPDVQPLAVQYPGISFQPVSTDNVPGNTQENVPHKNHKKRKVCKEATCTSDATPQTSKKSIKLKSKTQEKNNSDSKIENEKSESSSAINQQIHFNTGQEATVSSNVLGCNSIKVSPGSLSIPDDIALPRAVLCLAHNGKVAWDVKWQPYHKHDSKCNQRMGYLAVLLGNGSLEVWEVPLLNMIKTIYSTSPKQGTDPRFVKLDPVFKCSKLKCGDIQSIPLTVEWSTSPPHDYLLAGCHDGMVALWKFSASGSPKINDTRPLLCFSADTAPIRSVAWAPSGSDMESSNVILTAGHGGVKFWDIRDPFLPLWDVHPAPKFIYSLDWLPEPRCVIISFDDGTMKLLSLVQAACDVPVTGKPFGGSKQQGLHVYNCSSFAIWCVQVSRLIANLKSSGQRFFTKSITAFCMWILDRGGTCCNS >KJB10938 pep chromosome:Graimondii2_0_v6:1:47019726:47027173:1 gene:B456_001G233200 transcript:KJB10938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPLYGGPGAKVSVFDYSVENHFRAMDTISKLCEEPKIDGLDETDIRRFSSSITFLREWRHFDYEPRIIRFANELKDSPRKDVSLGIELPQFSSATVPKQDRVYGSNASVEYGKDFVMYVGGSVWALDWCPQVHENPNSLVKCEFIAIAAHPPESYYHKLGAPLVGRGIIQIWCVLNAGVNEEGATLAKEKSKQIPQNTEAVNESSAKRTRGRPRKMPIDESQTDQVKRPEGRSRKKSVLEFPDSDPDVQPLAVQYPGISFQPVSTDNVPGNTQENVPHKNHKKRKVCKEATCTSDATPQTSKKSIKLKSKTQEKNNSDSKIENEKSESSSAINQQIHFNTGQEATVSSNVLGCNSIKVSPGSLSIPDDIALPRAVLCLAHNGKVAWDVKWQPYHKHDSKCNQRMGYLAVLLGNGSLEVWEVPLLNMIKTIYSTSPKQGTDPRFVKLDPVFKCSKLKCGDIQSIPLTVEWSTSPPHDYLLAGCHDGMVALWKFSASGSPKINDTRPLLCFSADTAPIRSVAWAPSGSDMESSNVILTAGHGGVKFWDIRDPFLPLWDVHPAPKFIYSLDWLPEPRCVIISFDDGTMKLLSLVQAACDVPVTGKPFGGSKQQGLHVYNCSSFAIWCVQVSRLIGMVAYCGADGTAACFQVS >KJB10932 pep chromosome:Graimondii2_0_v6:1:47019324:47028450:1 gene:B456_001G233200 transcript:KJB10932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPLYGGPGAKVSVFDYSVENHFRAMDTISKLCEEPKIDGLDETDIRRFSSSITFLREWRHFDYEPRIIRFANELKDSPRKDVSLGIELPQFSSATVPKQDRVYGSNASVEYGKDFVMYVGGSVWALDWCPQVHENPNSLVKCEFIAIAAHPPESYYHKLGAPLVGRGIIQIWCVLNAGVNEEGATLAKEKSKQIPQNTEAVNESSAKRTRGRPRKMPIDESQTDQVKRPEGRSRKKSVLEFPDSDPDVQPLAVQYPGISFQPVSTDNVPGNTQENVPHKNHKKRKVCKEATCTSDATPQTSKKSIKLKSKTQEKNNSDSKIENEKSESSSAINQQIHFNTGQEATVSSNVLGCNSIKVSPGSLSIPDDIALPRAVLCLAHNGKVAWDVKWQPYHKHDSKCNQRMGYLAVLLGNGSLEVWEVPLLNMIKTIYSTSPKQGTDPRFVKLDPVFKCSKLKCGDIQSIPLTVEWSTSPPHDYLLAGCHDGMVALWKFSASGSPKINDTRPLLCFSADTAPIRSVAWAPSGSDMESSNVILTAGHGGVKFWDIRDPFLPLWDVHPAPKFIYSLDWLPEPRCVIISFDDGTMKLLSLVQAACDVPVTGKPFGGSKQQGLHVYNCSSFAIWCVQVSRLIGMVAYCGADGTAACFQLTSKAVDKDFSRNRSPHFACGSLTEEEPAVIVNTPLPDNPLPLKKPSSECGDGQRSMRYFLTESLGKNAKDRKAKVPTSNQRTLALYDGNDPSVESEPEETLAALKSKMKPKSKSERTLALCYGNDPSLESEPEETLAALKSKMNPNSKSDGKKKANDSQALAQGTKEATNKEREETEKEGESQMETFPPKIVAMHRLRWNMNKGSERWLCYGGAAGIVRCQEITVRDVDTKLTRKR >KJB10937 pep chromosome:Graimondii2_0_v6:1:47019324:47028450:1 gene:B456_001G233200 transcript:KJB10937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPLYGGPGAKVSVFDYSVENHFRAMDTISKLCEEPKIDGLDETDIRRFSSSITFLREWRHFDYEPRIIRFANELKDSPRKDVSLGIELPQFSSATVPKDRVYGSNASVEYGKDFVMYVGGSVWALDWCPQVHENPNSLVKCEFIAIAAHPPESYYHKLGAPLVGRGIIQIWCVLNAGVNEEGATLAKEKSKQIPQNTEAVNESSAKRTRGRPRKMPIDESQTDQVKRPEGRSRKKSVLEFPDSDPDVQPLAVQYPGISFQPVSTDNVPGNTQENVPHKNHKKRKVCKEATCTSDATPQTSKKSIKLKSKTQEKNNSDSKIENEKSESSSAINQQIHFNTGQEATVSSNVLGCNSIKVSPGSLSIPDDIALPRAVLCLAHNGKVAWDVKWQPYHKHDSKCNQRMGYLAVLLGNGSLEVWEVPLLNMIKTIYSTSPKQGTDPRFVKLDPVFKCSKLKCGDIQSIPLTVEWSTSPPHDYLLAGCHDGMVALWKFSASGSPKINDTRPLLCFSADTAPIRSVAWAPSGSDMESSNVILTAGHGGVKFWDIRDPFLPLWDVHPAPKFIYSLDWLPEPRCVIISFDDGTMKLLSLVQAACDVPVTGKPFGGSKQQGLHVYNCSSFAIWCVQVSRLIGMVAYCGADGTAACFQLTSKAVDKDFSRNRSPHFACGSLTEEEPAVIVNTPLPDNPLPLKKPSSECGDGQRSMRYFLTESLGKNAKDRKAKVPTSNQRTLALYDGNDPSVESEPEETLAALKSKMKPKSKSERTLALCYGNDPSLESEPEETLAALKSKMNPNSKSDGKKKANDSQALAQGTKEATNKEREETEKEGESQMETFPPKIVAMHRLRWNMNKGSERWLCYGGAAGIVRCQEITVRDVDTKLTRKR >KJB10936 pep chromosome:Graimondii2_0_v6:1:47019311:47028601:1 gene:B456_001G233200 transcript:KJB10936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPLYGGPGAKVSVFDYSVENHFRAMDTISKLCEEPKIDGLDETDIRRFSSSITFLREWRHFDYEPRIIRFANELKDSPRKDVSLGIELPQFSSATVPKQDRVYGSNASVEYGKDFVMYVGGSVWALDWCPQVHENPNSLVKCEFIAIAAHPPESYYHKLGAPLVGRGIIQIWCVLNAGVNEEGATLAKEKSKQIPQNTEAVNESSAKRTRGRPRKMPIDESQTDQVKRPEGRSRKKSVLEFPDSDPDVQPLAVQYPGISFQPVSTDNVPGNTQENVPHKNHKKRKVCKEATCTSDATPQTSKKSIKLKSKTQEKNNSDSKIENEKSESSSAINQQIHFNTGQEATVSSNVLGCNSIKVSPGSLSIPDDIALPRAVLCLAHNGKVAWDVKWQPYHKHDSKCNQRMGYLAVLLGNGSLEVWEVPLLNMIKTIYSTSPKQGTDPRFVKLDPVFKCSKLKCGDIQSIPLTVEWSTSPPHDYLLAGCHDGMVALWKFSASGSPKINDTRPLLCFSADTAPIRSVAWAPSGSDMESSNVILTAGHGGVKFWDIRDPFLPLWDVHPAPKFIYSLDWLPEPRCVIISFDDGTMKLLSLVQAACDVPVTGKPFGGSKQQGLHVYNCSSFAIWCVQVSRLIGMVAYCGADGTAACFQLTSKAVDKDFSRNRSPHFACGSLTEEEPAVIVNTPLPDNPLPLKKPSSECGDGQRSMRYFLTESLGKNAKDRKAKVPTSNQRTLALYDGNDPSVESEPEETLAALKSKMKPKSKSERTLALCYGNDPSLESEPEETLAALKSKMNPNSKSDGKKKANDSQALAQGTKEATNKEREETEKEGESQMETFPPKIVAMHRLRWNMNKGSERWLCYGGAAGIVRCQEITVRDVDTKLTRKR >KJB10934 pep chromosome:Graimondii2_0_v6:1:47019324:47026813:1 gene:B456_001G233200 transcript:KJB10934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPLYGGPGAKVSVFDYSVENHFRAMDTISKLCEEPKIDGLDETDIRRFSSSITFLREWRHFDYEPRIIRFANELKDSPRKDVSLGIELPQFSSATVPKQDRVYGSNASVEYGKDFVMYVGGSVWALDWCPQVHENPNSLVKCEFIAIAAHPPESYYHKLGAPLVGRGIIQIWCVLNAGVNEEGATLAKEKSKQIPQNTEAVNESSAKRTRGRPRKMPIDESQTDQVKRPEGRSRKKSVLEFPDSDPDVQPLAVQYPGISFQPVSTDNVPGNTQENVPHKNHKKRKVCKEATCTSDATPQTSKKSIKLKSKTQEKNNSDSKIENEKSESSSAINQQIHFNTGQEATVSSNVLGCNSIKVSPGSLSIPDDIALPRAVLCLAHNGKVAWDVKWQPYHKHDSKCNQRMGYLAVLLGNGSLEVWEVPLLNMIKTIYSTSPKQGTDPRFVKLDPVFKCSKLKCGDIQSIPLTVEWSTSPPHDYLLAGCHDGMVALWKFSASGSPKINDTRPLLCFSADTAPIRSVAWAPSGSDMESSNVILTAGHGGVKFWDIRDPFLPLWDVHPAPKFIYSLDWLPEPRCVIISFDDGTMKLLSLVQAACDVPVTGKPFGGSKQQGLHVYNCSSFAIWCVQVSRLIGMLGNDFLTLLILPSDKSNSLSNYLCV >KJB08601 pep chromosome:Graimondii2_0_v6:1:10329088:10330172:1 gene:B456_001G092900 transcript:KJB08601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPNKAVIVLQGRYAGRKAVIVRSFDEGTRDRPYGHCLVAGIKKYPSKVIRKDSAKKTAKKSRVKCFVKLVNYQHLMPTRYTLDVDLKDVVNADALQTKDKKVAACKATKERFQERFKTGKNRWFFTKLRF >KJB10319 pep chromosome:Graimondii2_0_v6:1:33727463:33735025:-1 gene:B456_001G195700 transcript:KJB10319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKASTEEALELPSLPLPLPTIPSNVKLESLKPPKYSIVSRREVGTSGRKISLLANHFKVSVNAPDAVFYQYTVTVLSEDSRVVESKRIGRKLVDKLYQTYSSELAGKRFAYDGEKSLYTVGPLPQNKFEFTIVLEDSFAKWSPDADGGPAETGKRTKRSFQSKTYKVELSYSAKIPLKSISLALKGVQSDDSTQDALRVLDIILRQQAADRGCLLVRQSFFQDDSRNYVDLGGGVLGLRGFHSSFRPTQGGLSLNMDVSTTMILRPGPVFEFLKQNLNLNDERFWLKARRMLKNMRIKTRHRNMEFKITGLSEKPCCELFFPMKVKNGGCGEVRTVEITVYEYFTKHCGIELTYSADMPCLDVGKPKRPNYLPLELCSLVSLQRYTKALTTNQRATLVERSRQKPRERIQILTDALKNNKFNENPVLSACEISIGRQLTEVEGRILETPRLKVGNHEDCIPRNGRWNYNNKRFLDPKKIERWIAVNFSARCDLSQISRDLISCARNKGIEMERPHTLIEEERHQAAKAGPAARVEAMFDQIIAKLPGPPEFILCVLPVRKNSDIYGPWKKKCLCDMGIATQCISPGKINDQYLTNVLLKINSKLGGINSLLEIEKPSILPLLKDTPTLILGMDVSHGSPGRSDVPSIAAVVGSLHWPLISRYRASVRTQSPKMEMIEALYKPSPDGKVDDGIIRELLVDFYKTSGNRKPKQIIIFRDGVSESQFNQVLNIELEQIIKSYQFLGESDVPKFTVVVAQKNHHTKLFQANAPENVPPGTVVDTKIVHPRNYDFYMSAHAGMIGTSRPAHYHVLLDEIGFSPNDLQNLIHCLSYVYQRSTAAVSIVAPICYAHLAAYQMGQFLKLDELSETSSGNVTSTGSILVPDLPRLKKNVASTMFFC >KJB10321 pep chromosome:Graimondii2_0_v6:1:33727743:33734927:-1 gene:B456_001G195700 transcript:KJB10321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKASTEEALELPSLPLPLPTIPSNVKLESLKPPKYSIVSRREVGTSGRKISLLANHFKVSVNAPDAVFYQYTVTVLSEDSRVVESKRIGRKLVDKLYQTYSSELAGKRFAYDGEKSLYTVGPLPQNKFEFTIVLEDSFAKWSPDADGGPAETGKRTKRSFQSKTYKVELSYSAKIPLKSISLALKGVQSDDSTQDALRVLDIILRQQAADRGCLLVRQSFFQDDSRNYVDLGGGVLGLRGFHSSFRPTQGGLSLNMDVSTTMILRPGPVFEFLKQNLNLNDERFWLKARRMLKNMRIKTRHRNMEFKITGLSEKPCCELFFPMKVKNGGCGEVRTVEITVYEYFTKHCGIELTYSADMPCLDVGKPKRPNYLPLELCSLVSLQRYTKALTTNQRATLVERSRQKPRERIQILTDALKNNKFNENPVLSACEISIGRQLTEVEGRILETPRLKVGNHEDCIPRNGRWNYNNKRFLDPKKIERWIAVNFSARCDLSQISRDLISCARNKGIEMERPHTLIEEERHQAAKAGPAARVEAMFDQIIAKLPGPPEFILCVLPVRKNSDIYGPWKKKCLCDMGIATQCISPGKINDQYLTNVLLKINSKLGGINSLLEIEKPSILPLLKDTPTLILGMDVSHGSPGRSDVPSIAAVVGSLHWPLISRYRASVRTQSPKMEMIEALYKPSPDGKVDDGIIRELLVDFYKTSGNRKPKQIIIFRDGVSESQFNQVLNIELEQIIKSYQFLGESDVPKFTVVVAQKNHHTKLFQANAPENVPPGTVVDTKIVHPRNYDFYMSAHAGMIGTSRPAHYHVLLDEIGFSPNDLQNLIHCLSYV >KJB10320 pep chromosome:Graimondii2_0_v6:1:33727468:33733352:-1 gene:B456_001G195700 transcript:KJB10320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKASTEEALELPSLPLPLPTIPSNVKLESLKPPKYSIVSRREVGTSGRKISLLANHFKVSVNAPDAVFYQYTVTVLSEDSRVVESKRIGRKLVDKLYQTYSSELAGKRFAYDGEKSLYTVGPLPQNKFEFTIVLEDSFAKWSPDADGGPAETGKRTKRSFQSKTYKVELSYSAKIPLKSISLALKGVQSDDSTQDALRVLDIILRQQAADRGCLLVRQSFFQDDSRNYVDLGGGVLGLRGFHSSFRPTQGGLSLNMDVSTTMILRPGPVFEFLKQNLNLNDERFWLKARRMLKNMRIKTRHRNMEFKITGLSEKPCCELFFPMKVKNGGCGEVRTVEITVYEYFTKHCGIELTYSADMPCLDVGKPKRPNYLPLELCSLVSLQRYTKALTTNQRATLVERSRQKPRERIQILTDALKNNKFNENPVLSACEISIGRQLTEVEGRILETPRLKVGNHEDCIPRNGRWNYNNKRFLDPKKIERWIAVNFSARCDLSQISRDLISCARNKGIEMERPHTLIEEERHQAAKAGPAARVEAMFDQIIAKLPGPPEFILCVLPVRKNSDIYGPWKKKCLCDMGIATQCISPGKINDQYLTNVLLKINSKLGGINSLLEIEKPSILPLLKDTPTLILGMDVSHGSPGRSDVPSIAAVVGSLHWPLISRYRASVRTQSPKMEMIEALYKPSPDGKVDDGIIRELLVDFYKTSGNRKPKQIIIFRDGVSESQFNQVLNIELEQIIKSYQFLGESDVPKFTVVVAQKNHHTKLFQANAPENVPPGTVVDTKIVHPRNYDFYMSAHAGMIGTSRPAHYHVLLDEIGFSPNDLQNLIHCLSYVYQRSTAAVSIDGPIFEAG >KJB11324 pep chromosome:Graimondii2_0_v6:1:52490602:52492342:-1 gene:B456_001G253700 transcript:KJB11324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRIHEGNEKEHEENYEEPLLDHEDEKLIKRALIDFKCKVEDAMHGNYLFGIPDTHPCHNQTTKEIEQLNEIKLWGVALMPSKPHEGTDTLLLKFLKSKNYVVHEALEKLRKTLAWRKEFKADEILEENLGPEFQKVAYLNTVDKEGRPVFYHVYGDLKDKPMQYLEKMRSEENSEKFLRWRVQQMEKCIKELNFEPGGADSLIQVIDWKDFQGPHTKELRSVYRKCWTLLEEHYPEIIHQYIIVNVPLRYYVSHSFSSRLITHKPSNKIIFARPGKVTETLLRFISPENLLVEYGGLKRINDNEFSSEHKVFELNLKAHASTHIEIPAPEVGVTIVWDVTVVEWDVTYKEEFIPEDEGSYQVLLQDKEKKGGETLCVRNSYYINEAGMISIFIHNHINKCKKVFYRYKTKPTVPTYLLYKT >KJB08087 pep chromosome:Graimondii2_0_v6:1:6327131:6328305:1 gene:B456_001G063200 transcript:KJB08087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPKFSYHRLKNEECSFNEAEEEKAIQFKQTSRKWQRPRFKRFAIRSRPKLRIPGLTRFLRKRRRVLSRLKLSWRKALNRLKHGQAHMNDLFGGNFLVLQVNHTPFTTGKKKPLKGS >KJB11723 pep chromosome:Graimondii2_0_v6:1:55172704:55174443:-1 gene:B456_001G274400 transcript:KJB11723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLLSRNGYVHDPTTIQLLFEISQALHSDTDLVNMKNDDNQQQARFISRFVRMVDHGVEYERHLAFLMECHGALGNIIELKINEHVLSVCSKLIETAKLCLNAKDKYLTSTISFLDKNLPAAAVSSSIAI >KJB09639 pep chromosome:Graimondii2_0_v6:1:21593404:21598111:-1 gene:B456_001G153900 transcript:KJB09639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLEASTNQELNNQAPLFNLPSKILCRVIHVQLLAELETDDVYAQITLQPEADQSEPTSPDPCPAEAPKRTVNSFCKILTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELAAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGARRLARQQTTMPSSVISSQSMHLGVLATAAHAVTTQTLFVVYYKPRTSQFIIGVNKYLEAIKNGFSVGMRFKMRFEGEDTPDRRFTGTIVGVGDFSPHWSESKWRTLKIQWDEPATIQRPERVSPWEIEPFAPSASINLVQPAVKNKRPRPVDIPVSEITTNSAGSTFWGRGSTQSHELTQVGSTPEIQSSESQVMWGMRQKEADYSRGYNSNAWPHSPLVNVSLNLFPNSVSDKNRTEKPQTTLTGYALPSLSRPSKGLMHDQVEKGKKSETSTGCRLFGFNLTDTISAVIPTDKEQTNTTVDHNGVWGSLAAASHIDQNPETAKQKHVAAEASSKEMQAKQGASTTSTRSRTKVQMQGIAVGRAVDLTVLKGYDDLINEVEKMFDIKGELRPSGKWSVVFTDDEGDMMLVGDDPWMDFCKMVRKIFIYSSEEVKKISPRCKFAVSSLEGEGTAVTIDSEHKSET >KJB09636 pep chromosome:Graimondii2_0_v6:1:21593404:21598108:-1 gene:B456_001G153900 transcript:KJB09636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVQVNPRGSSIAHSESGSSDDDLYAELWKLCAGPLVEIPRNHERVFYFPQGHMEQLEASTNQELNNQAPLFNLPSKILCRVIHVQLLAELETDDVYAQITLQPEADQSEPTSPDPCPAEAPKRTVNSFCKILTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELAAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGARRLARQQTTMPSSVISSQSMHLGVLATAAHAVTTQTLFVVYYKPRTSQFIIGVNKYLEAIKNGFSVGMRFKMRFEGEDTPDRRFTGTIVGVGDFSPHWSESKWRTLKIQWDEPATIQRPERVSPWEIEPFAPSASINLVQPAVKNKRPRPVDIPVSEITTNSAGSTFWGRGSTQSHELTQVGSTPEIQSSESQVMWAASHIDQNPETAKQKHVAAEASSKEMQAKQGASTTSTRSRTKVQMQGIAVGRAVDLTVLKGYDDLINEVEKMFDIKGELRPSGKWSVVFTDDEGDMMLVGDDPWMDFCKMVRKIFIYSSEEVKKISPRCKFAVSSLEGEGTAVTIDSEHKSET >KJB09641 pep chromosome:Graimondii2_0_v6:1:21593404:21598113:-1 gene:B456_001G153900 transcript:KJB09641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVQVNPRGSSIAHSESGSSDDDLYAELWKLCAGPLVEIPRNHERVFYFPQGHMEQLEASTNQELNNQAPLFNLPSKILCRVIHVQLLAELETDDVYAQITLQPEADQSEPTSPDPCPAEAPKRTVNSFCKILTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELAAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGARRLARQQTTMPSSVISSQSMHLGVLATAAHAVTTQTLFVVYYKPRTSQFIIGVNKYLEAIKNGFSVGMRFKMRFEGEDTPDRRFTGTIVGVGDFSPHWSESKWRTLKIQWDEPATIQRPERVSPWEIEPFAPSASINLVQPAVKNKRPRPVDIPVSEITTNSAGSTFWGRGSTQSHELTQVGSTPEIQSSESQVMWGMRQKEADYSRGYNSNAWPHSPLVNVSLNLFPNSVSDKNRTEKPQTTLTGYALPSLSRPSKGLMHDQVEKGKKSETSTGCRLFGFNLTDTISAVIPTDKEQTNTTVDHNGVWGSLAAASHIDQNPETAKQKHVAAEASSKEMQAKQGASTTSTRSRTKVQMQGIAVGRAVDLTVLKGYDDLINEVEKMFDIKGELRPSGKWSVVFTDDEGDMMLVGDDPWMDFCKMVRKIFIYSSEEVKKISPRCKFAVSSLEGEGTAVTIDSEHKSET >KJB09637 pep chromosome:Graimondii2_0_v6:1:21594358:21597532:-1 gene:B456_001G153900 transcript:KJB09637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVQVNPRGSSIAHSESGSSDDDLYAELWKLCAGPLVEIPRNHERVFYFPQGHMEQLEASTNQELNNQAPLFNLPSKILCRVIHVQLLAELETDDVYAQITLQPEADQSEPTSPDPCPAEAPKRTVNSFCKILTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELAAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGARRLARQQTTMPSSVISSQSMHLGVLATAAHAVTTQTLFVVYYKPRTSQFIIGVNKYLEAIKNGFSVGMRFKMRFEGEDTPDRRFTGTIVGVGDFSPHWSESKWRTLKIQWDEPATIQRPERVSPWEIEPFAPSASINLVQPAVKNKRPRPVDIPVSEITTNSAGSTFWGRGSTQSHELTQVGSTPEIQSSESQVMWGMRQKEADYSRGYNSNAWPHSPLVNVSLNLFPNSVSDKNRTEKPQTTLTGYALPSLSRPSKGLMHDQVEKGKKSETSTGCRLFGFNLTDTISAVIPTDKEQTNTTVDHNGVWGSLAAASHIDQNPETAKQKHVAAEASSKEMQAKQGASTTSTRSRTKVNIRVSTCLYLHCFFIIEVPD >KJB09635 pep chromosome:Graimondii2_0_v6:1:21593918:21597532:-1 gene:B456_001G153900 transcript:KJB09635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVQVNPRGSSIAHSESGSSDDDLYAELWKLCAGPLVEIPRNHERVFYFPQGHMEQLEASTNQELNNQAPLFNLPSKILCRVIHVQLLAELETDDVYAQITLQPEADQSEPTSPDPCPAEAPKRTVNSFCKILTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELAAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGARRLARQQTTMPSSVISSQSMHLGVLATAAHAVTTQTLFVVYYKPRTSQFIIGVNKYLEAIKNGFSVGMRFKMRFEGEDTPDRRFTGTIVGVGDFSPHWSESKWRTLKIQWDEPATIQRPERVSPWEIEPFAPSASINLVQPAVKNKRPRPVDIPVSEITTNSAGSTFWGRGSTQSHELTQVGSTPEIQSSESQVMWGMRQKEADYSRGYNSNAWPHSPLVNVSLNLFPNSVSDKNRTEKPQTTLTGYALPSLSRPSKGLMHDQVEKGKKSETSTGCRLFGFNLTDTISAVIPTDKEQTNTTVDHNGVWGSLAAASHIDQNPETAKQKHVAAEASSKEMQAKQGASTTSTRSRTKVQMQGIAVGRAVDLTVLKGYDDLINEVEKMFDIKGELRPSGKWSVVFTDDEGDMMLVGDDPWMDFCKMVRKIFIYSSEEVKKISPRCKFAVSSLEGEGTAVTIDSEHKSET >KJB09640 pep chromosome:Graimondii2_0_v6:1:21593404:21598108:-1 gene:B456_001G153900 transcript:KJB09640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVQVNPRGSSIAHSESGSSDDDLYAELWKLCAGPLVEIPRNHERVFYFPQGHMEQLEASTNQELNNQAPLFNLPSKILCRVIHVQLLAELETDDVYAQITLQPEADQSEPTSPDPCPAEAPKRTVNSFCKILTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELAAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGARRLARQQTTMPSSVISSQSMHLGVLATAAHAVTTQTLFVVYYKPRTSQFIIGVNKYLEAIKNGFSVGMRFKMRFEGEDTPDRRFTGTIVGVGDFSPHWSESKWRTLKIQWDEPATIQRPERVSPWEIEPFAPSASINLVQPAVKNKRPRPVDIPVSEITTNSAGSTFWGRGSTQSHELTQVGSTPEIQSSESQVMWGMRQKEADYSRGYNSNAWPHSPLVNVSLNLFPNSVSDKNRTEKPQTTLTGYALPSLSRPSKGLMHDQVEKGKKSETSTGCRLFGFNLTDTISAVIPTDKEQTNTTVDHNGVWGSLAAASHIDQNPETAKQKHVAAEASSKEMQAKQGASTTSTRSRTKVQMQGIAVGRAVDLTVLKGYDDLINEVEKMFDIKGELRPSGKWSVVFTDDEGDMMLVGDDPWMDFCKMVRKIFIYSSEEVKKISPRCKFAVSSLEGEGTAVTIDSEHKSET >KJB09638 pep chromosome:Graimondii2_0_v6:1:21595017:21597532:-1 gene:B456_001G153900 transcript:KJB09638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVQVNPRGSSIAHSESGSSDDDLYAELWKLCAGPLVEIPRNHERVFYFPQGHMEQLEASTNQELNNQAPLFNLPSKILCRVIHVQLLAELETDDVYAQITLQPEADQSEPTSPDPCPAEAPKRTVNSFCKILTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELAAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGARRLARQQTTMPSSVISSQSMHLGVLATAAHAVTTQTLFVVYYKPRTSQFIIGVNKYLEAIKNGFSVGMRFKMRFEGEDTPDRRFTGTIVGVGDFSPHWSESKWRTLKIQWDEPATIQRPERVSPWEIEPFAPSASINLVQPAVKNKRPRPVDIPVSGVGKLLFQVFGIESWLLTLLTLIDLLKM >KJB08631 pep chromosome:Graimondii2_0_v6:1:10469087:10474433:-1 gene:B456_001G094600 transcript:KJB08631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MAEALSPNPRPRIPMAVRIQSPTSPFFLGSNDDKLERAQARAARAAAIRRKPVTPLAQPPTDPDPCLAKDQILELFQNCIKLASENKINQKNTWELNLIDHLRDIIKVEGENDVETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGHENEQDSLTEDNNAETAQKVNAKKETEKKMSPLSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYAGCRVLFDSFEVPGKEIPCSHQYDVSETIDLSFAEEYIERMVSNMQAKDEISPTLKNIVNQFDEDNRRPLDNFSCSQRSEDQADTTNKNEFSGIAFESFETDGFDHDDQPSIVDEEFNGVEPTFTSYHKDTEQLSFNNPDADDKFEEVDEYLFLSLGFPLKQNAWAGPDHWKYRKTKGSEDVPNEENTVASTKKARNKKQTEPDIDFTKALDDELLDIFAPPKNLKSLLLPSNRAPCNTKLPEDCHYQPEDLVKLFLLPNVMCLGRRRRRKLSDESREQSDDYGPQPSWDEQSAFGGFDNEVDHSDVDDSGMLVSQPRQVSKIEVQYDKTSKQVDVQALKETLWDHMQQTPETSFQSPEETSFKHLLANFPADCKAAATTEEISPHLCFICLLHLANEHGLRIHGRPDMDDLGIQIPH >KJB08632 pep chromosome:Graimondii2_0_v6:1:10469087:10474427:-1 gene:B456_001G094600 transcript:KJB08632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MAEALSPNPRPRIPMAVRIQSPTSPFFLGSNDDKLERAQARAARAAAIRRKPVTPLAQPPTDPDPCLAKDQILELFQNCIKLASENKINQKNTWELNLIDHLRDIIKVEGENDVETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGHENEQDSLTEDNNAETAQKVNAKKETEKKMSPLSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYAGCRVLFDSFEVPGKEIPCSHQYDVSETIDLSFAEEYIERMVSNMQAKDEISPTLKNIVNQFDEDNRRPLDNFSCSQRSEDQADTTNKNEFSGIAFESFETDGFDHDDQPSIVDEEFNGVEPTFTSYHKDTEQLSFNNPDADDKFEEVDEYLFLSLGFPLKQNAWAGPDHWKYRKTKGSEDVPNEENTVASTKKARNKKQTEPDIDFTKALDDELLDIFAPPKNLKSLLLPSNRAPCNTKLPEDCHYQPEDLVKLFLLPNVMCLGRRRRRKLSGNSLIDTSQIDESREQSDDYGPQPSWDEQSAFGGFDNEVDHSDVDDSGMLVSQPRQVSKIEVQYDKTSKQVDVQALKETLWDHMQQTPETSFQSPEETSFKHLLANFPADCKAAATTEEISPHLCFICLLHLANEHGLRIHGRPDMDDLGIQIPH >KJB08630 pep chromosome:Graimondii2_0_v6:1:10469301:10474364:-1 gene:B456_001G094600 transcript:KJB08630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MAEALSPNPRPRIPMAVRIQSPTSPFFLGSNDDKLERAQARAARAAAIRRKPVTPLAQPPTDPDPCLAKDQILELFQNCIKLASENKINQKNTWELNLIDHLRDIIKVEGENDVETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGHENEQDSLTEDNNAETAQKVNAKKETEKKMSPLSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYAGCRVLFDSFEVPGKEIPCSHQYDVSETIDLSFAEEYIERMVSNMQAKDEISPTLKNIVNQFDEDNRRPLDNFSCSQRSEDQADTTNKNEFSGIAFESFETDGFDHDDQPSIVDEEFNGVEPTFTSYHKDTEQLSFNNPDADDKFEEVDEYLFLSLGFPLKQNAWAGPDHWKYRKTKGSEDVPNEENTVASTKKARNKKQTEPDIDFTKALDDELLDIFAPPKNLKSLLLPSNRAPCNTKLPEDCHYQPEDLVKLFLLPNVMCLGRRRRRKLSGNSLIDTSQIDESREQSDDYGPQPSWDEQSAFGGFDNEVDHSDVDDSGMLVSQPRQVSKIEVQYDKTSKQVDVQALKETLWDHMQQTPETSFQSPEETSFKHLLANFPADCKAAATTEEISPHLCFICLLHLANEHGLRIHGRPDMDDLGIQIPH >KJB08633 pep chromosome:Graimondii2_0_v6:1:10469301:10474433:-1 gene:B456_001G094600 transcript:KJB08633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MAEALSPNPRPRIPMAVRIQSPTSPFFLGSNDDKLERAQARAARAAAIRRKPVTPLAQPPTDPDPCLAKDQILELFQNCIKLASENKINQKNTWELNLIDHLRDIIKVEGENDVETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGHENEQDSLTEDNNAETAQKVNAKKETEKKMSPLSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYAGCRVLFDSFEVPGKEIPCSHQYDVSETIDLSFAEEYIERMVSNMQAKDEISPTLKNIVNQFDEDNRRPLDNFSCSQRSEDQADTTNKNEFSGIAFESFETDGFDHDDQPSIVDEEFNGVEPTFTSYHKDTEQLSFNNPDADDKFEEVDEYLFLSLGFPLKQNAWAGPDHWKYRKTKGSEDVPNEENTVASTKKARNKKQTEPDIDFTKALDDELLDIFAPPKNLKSLLLPSNRAPCNTKLPEDCHYQPEDLVKLFLLPNVMCLGRRRRRKLSDESREQSDDYGPQPSWDEQSAFGGFDNEVDHSDVDDSGMLVSQPRQVSKIEVQYDKTSKQVDVQALKETLWDHMQQTPETSFQSPEETSFKHLLANFPADCKAAATTEEISPHLCFICLLHLANEHGLRIHGRPDMDDLGIQIPH >KJB09651 pep chromosome:Graimondii2_0_v6:1:21627698:21628487:-1 gene:B456_001G154100 transcript:KJB09651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAHFILAFCLLALASPLAYASDPSPLQDFCVAINDPKDGVFVNGKFCKDPKLAKAEDFYYSGLNIPRNTSNPVGSTVTPVNVAQIPGLNTLGISLVRIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNTDNRLIAKVLYPGDVFVFPIGLIHFQFNVGKTKAVAFAGLSSQNPSVITIANAVFGPNPSINPDILVKALQLDKNVVKKLQSKLWWANN >KJB11506 pep chromosome:Graimondii2_0_v6:1:53819045:53823847:-1 gene:B456_001G262500 transcript:KJB11506 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSF1 [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/TrEMBL;Acc:A0A178V9M4] MSFPLQLISCRAAQDFHSRDSSFINGVFIKTRMTRKKKNQLKVLAMSSDNSTLKMNLNEYMVTLQKPLGIRFGLSLDGRIFVHALKRGSNAEKSRIIMVGDTLKKTSDSSGGSFTEIKNFGDAQEMLTEETGSFSLVLERPFSPFPIHELHQLSDLDILFNRVFSSKFLASPGLKFLNDRNGHVGSGLQKNILSSPVSQLVCIFSEKEPGDGEWAHGSFPLEEYIKALERSKGELYYNHSLGMRYSKITEQIYVGSCIQTDADVKTLSDAGITAVLNFQSGVEAENWEINSKSINESCQRLNVLMINYPIKDGDSFDLRKRLPFSVGLLLRLLKKNHRVFVTCTTGFDRSPACVIAYLHWMTDTPLHAAHNFVTGLHTCKPDRPAIAWATWDLIAMVESGRHDGPATHALTFVWNGHNEGEDVCLVGDFTGNWKEPIKATHKGGARYEVEIRLPQGKYYYKYIINGNWRHSTSSPTERDERGNINNVIMIGDTASVRPTIQPQQKDANLIKVIERPLTENERFMLAKAARCIAFSVCPIRLVPK >KJB11504 pep chromosome:Graimondii2_0_v6:1:53819409:53823365:-1 gene:B456_001G262500 transcript:KJB11504 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSF1 [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/TrEMBL;Acc:A0A178V9M4] MVGDTLKKTSDSSGGSFTEIKNFGDAQEMLTEETGSFSLVLERPFSPFPIHELHQLSDLDILFNRGRMPVATWNKALLASNLQTTEGGGNSGFVVFSSKFLASPGLKFLNDRNGHVGSGLQKNILSSPVSQLVCIFSEKEPGDGEWAHGSFPLEEYIKALERSKGELYYNHSLGMRYSKITEQIYVGSCIQTDADVKTLSDAGITAVLNFQSGVEAENWEINSKSINESCQRLNVLMINYPIKDGDSFDLRKRLPFSVGLLLRLLKKNHRVFVTCTTGFDRSPACVIAYLHWMTDTPLHAAHNFVTGLHTCKPDRPAIAWATWDLIAMVESGRHDGPATHALTFVWNGHNEGEDVCLVGDFTGNWKEPIKATHKGGARYEVEIRLPQGKYYYKYIINGNWRHSTSSPTERDERGNINNVIMIGDTASVRPTIQPQQKDANLIKVIERPLTENERFMLAKAARCIAFSVCPIRLVPK >KJB11505 pep chromosome:Graimondii2_0_v6:1:53819409:53823747:-1 gene:B456_001G262500 transcript:KJB11505 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSF1 [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/TrEMBL;Acc:A0A178V9M4] MSFPLQLISCRAAQDFHSRDSSFINGVFIKTRMTRKKKNQLKVLAMSSDNSTLKMNLNEYMVTLQKPLGIRFGLSLDGRIFVHALKRGSNAEKSRIIMVGDTLKKTSDSSGGSFTEIKNFGDAQEMLTEETGSFSLVLERPFSPFPIHELHQLSDLDILFNRGRMPVATWNKALLASNLQTTEGGGNSGFVVFSSKFLASPGLKFLNDRNGHVGSGLQKNILSSPVSQLVCIFSEKEPGDGEWAHGSFPLEEYIKALERSKGELYYNHSLGMRYSKITEQIYVGSCIQTDADVKTLSDAGITAVLNFQSGVEAENWEINSKSINESCQRLNVLMINYPIKDGDSFDLRKRLPFSVGLLLRLLKKNHRVFVTCTTGFDRSPACVIAYLHWMTDTPLHAAHNFVTGLHTCKPDRPAIAWATWDLIAMVESGRHDGPATHALTFVWNGHNEGEDVCLVGDFTGNWKEPIKATHKGGARYEVEIRLPQGKYYYKYIINGNWRHSTSSPTERDERGNINNVIMIGDTASVRPTIQPQQKDANLIKVIERPLTENERFMLAKAARCIAFSVCPIRLVPK >KJB11507 pep chromosome:Graimondii2_0_v6:1:53819045:53823847:-1 gene:B456_001G262500 transcript:KJB11507 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSF1 [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/TrEMBL;Acc:A0A178V9M4] MSFPLQLISCRAAQDFHSRDSSFINGVFIKTRMTRKKKNQLKVLAMSSDNSTLKMNLNEYMVTLQKPLGIRFGLSLDGRIFVHALKRGSNAEKSRIIMVGDTLKKTSDSSGGSFTEIKNFGDAQEMLTEETGSFSLVLERPFSPFPIHELHQLSDLDILFNRGRMPVATWNKALLASNLQTTEGGGNSGFVVFSSKFLASPGLKFLNDRNGHVGSGLQKNILSSPVSQLVCIFSEKEPGDGEWAHGSFPLEEYIKALERSKGELYYNHSLGMRYSKGITAVLNFQSGVEAENWEINSKSINESCQRLNVLMINYPIKDGDSFDLRKRLPFSVGLLLRLLKKNHRVFVTCTTGFDRSPACVIAYLHWMTDTPLHAAHNFVTGLHTCKPDRPAIAWATWDLIAMVESGRHDGPATHALTFVWNGHNEGEDVCLVGDFTGNWKEPIKATHKGGARYEVEIRLPQGKYYYKYIINGNWRHSTSSPTERDERGNINNVIMIGDTASVRPTIQPQQKDANLIKVIERPLTENERFMLAKAARCIAFSVCPIRLVPK >KJB09813 pep chromosome:Graimondii2_0_v6:1:24079663:24086218:1 gene:B456_001G169100 transcript:KJB09813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINWDVFIVILVVVLSARLGSAGDIVHIDNVAPKRPGCSNNFVLVKVPTWVEGLEDNEYVGVGARFGPTLESKEKHASHTELALADPPDCCSKPRNKLTGEVILVQRGNCSFTVKANVAEEAGASAILIINNQTELFKMVCESDADVNIKIPAVMLPQDAGSRLEKYITNTTMVSVALYSPKRPAVDIAEVFLWLMAVGTILCASYWSAWTAREVAIEQEKLLKDASEEFLQVGAAGSSGFVDINTTSAILFVVIASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQTCLGALLACFRWFRRYAESFIKVPFFGAVSHLTLAVCPFCITFAVVWAVYRRISFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLGCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPVLLKIPRMFDPWGGYSVIGFGDIILPGLIVAFSLRYDWMTKKSLRAGYFVWAMTAYGLGLLVTYVALNLMDGHGQPALLYIVPFTLGTLITLGKKRGDLKTLWTRGEPERPCPHVQLQPLEQKE >KJB09814 pep chromosome:Graimondii2_0_v6:1:24079663:24086218:1 gene:B456_001G169100 transcript:KJB09814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINWDVFIVILVVVLSARLGSAGDIVHIDNVAPKRPGCSNNFVLVKVPTWVEGLEDNEYVGVGARFGPTLESKEKHASHTELALADPPDCCSKPRNKLTGEVILVQRGNCSFTVKANVAEEAGASAILIINNQTELFKMVCESDADVNIKIPAVMLPQDAGSRLEKYITNTTMVSVALYSPKRPAVDIAEVFLWLMAVGTILCASYWSAWTAREVAIEQEKLLKDASEEFLQVGAAGSSGFVDINTTSAILFVVIASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQTCLGALLACFRWFRRYAESFIKVPFFGAVSHLTLAVCPFCITFAVVWAVYRRISFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLGCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPVLLKIPRMFDPWGGYSVIGFGDIILPGLIVAFSLRYDWMTKKSLRAGYFVWAMTAYGLGLLVTYVALNLMDGHGQPALLYIVPFTLGTLITLGKKRGDLKTLWTRGEPERPCPHVQLQPLEQKE >KJB10478 pep chromosome:Graimondii2_0_v6:1:39546930:39549916:1 gene:B456_001G203300 transcript:KJB10478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GS MSLLTDLVNLNLSDCTDKIIAEYIWIGGSGMDLRSKARTLSGPVSDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRCNAAKIFSHPDVAAEEPWYGIEQEYTLLQKDVKWPIGWPLGGYPGPQGPYYCGVGVDKAYGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDELWVARYILERITETAGVVLSFDPKPIQGDWNGAGAHTNYRYHLNLL >KJB10480 pep chromosome:Graimondii2_0_v6:1:39547039:39549169:1 gene:B456_001G203300 transcript:KJB10480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GS MSLLTDLVNLNLSDCTDKIIAEYIWIGGSGMDLRSKARTLSGPVSDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRCNAAKIFSHPDVAAEEPWYGIEQEYTLLQKDVKWPIGWPLGGYPGPQGPYYCGVGVDKAYGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDELWVARYILERITETAGVVLSFDPKPIQGDWNGAGAHTNYRYHLNLL >KJB10479 pep chromosome:Graimondii2_0_v6:1:39546930:39549916:1 gene:B456_001G203300 transcript:KJB10479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GS MSLLTDLVNLNLSDCTDKIIAEYIWIGGSGMDLRSKARTLSGPVSDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRCNAAKIFSHPDVAAEEPWYGIEQEYTLLQKDVKWPIGWPLGGYPGPQGPYYCGVGVDKAYGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDELWVARYILERITETAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRSDGGYEVIKKAIEKLGLRHKEHIAAYGEGNERRLTGRHETADINTFLWVKK >KJB10477 pep chromosome:Graimondii2_0_v6:1:39546919:39549972:1 gene:B456_001G203300 transcript:KJB10477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GS MSLLTDLVNLNLSDCTDKIIAEYIWIGGSGMDLRSKARTLSGPVSDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRCNAAKIFSHPDVAAEEPWYGIEQEYTLLQKDVKWPIGWPLGGYPGPQGPYYCGVGVDKAYGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDELWVARYILERITETAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRSDGGYEVIKKAIEKLGLRHKEHIAAYGEGNERRLTGRHETADINTFLWGVANRGASIRVGRDTEKAGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >KJB09054 pep chromosome:Graimondii2_0_v6:1:15030129:15031205:-1 gene:B456_001G121800 transcript:KJB09054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TFL1a MAKLSDPLVLGRVIGDVIDALSPSVKMSVTFNTNKQVYNGHEFFPSAVTNKPKVEVHGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDATFGREMVNYEMPRPNIGIHRFVFLLFKQKGRQTVRSIPSSRDRFYTRKFAEENELGVPVAAVYFNAQRETAARRR >KJB08573 pep chromosome:Graimondii2_0_v6:1:9854839:9858384:-1 gene:B456_001G090300 transcript:KJB08573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFMVVLVLLFILALSICTALLRWNELKFSKKGLPPGTMGWPIFGETTEFLKQGPNFMKNQRARYGSFFKSHILGCPTAVSMDPELNRYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKQMRGALLALISPTMIKQQLLPKIDEFMRNFVSDWDNKIIDIQEKTKQMAFLSSLKQIATVESTSIAQEFMPEFFKLVLGTLSLPIDLPGTNYRRGLKARKIILTILKQLIEKRRGNQESHKDMLAYLMSKDDNNRYKLSDDEIIDQIITILYSGYETVSTTSMMAVKYLHDHPSILEELRKEHMAIREKKRPEDPIEWNDLKPMKFTRAVIFETSRLATIVNGVLRKTTEEMELNGFVVPKGWRIYVYTREINYDPFIYPDPLAFNPWRWMVSNDHIFLIFLFNFFINDMKVLMNVGQKLGISK >KJB08575 pep chromosome:Graimondii2_0_v6:1:9854839:9858384:-1 gene:B456_001G090300 transcript:KJB08575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFMVVLVLLFILALSICTALLRWNELKFSKKGLPPGTMGWPIFGETTEFLKQGPNFMKNQRARYGSFFKSHILGCPTAVSMDPELNRYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKQMRGALLALISPTMIKQQLLPKIDEFMRNFVSDWDNKIIDIQEKTKQMAFLSSLKQIATVESTSIAQEFMPEFFKLVLGTLSLPIDLPGTNYRRGLKVKYPSAFRFSLHSIVSSRLSCSFITLQARKIILTILKQLIEKRRGNQESHKDMLAYLMSKDDNNRYKLSDDEIIDQIITILYSGYETVSTTSMMAVKYLHDHPSILEELRKEHMAIREKKRPEDPIEWNDLKPMKFTRAVIFETSRLATIVNGVLRKTTEEMELNGFVVPKGWRIYVYTREINYDPFIYPDPLAFNPWRWMDKSLESQSNFLIFGGGTRQCPGKELGIAEISTFLHYFITRYRWEEIGGEKLMKFPRVEAPNGLHIRVSFY >KJB08572 pep chromosome:Graimondii2_0_v6:1:9854839:9858384:-1 gene:B456_001G090300 transcript:KJB08572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSSLKQIATVESTSIAQEFMPEFFKLVLGTLSLPIDLPGTNYRRGLKARKIILTILKQLIEKRRGNQESHKDMLAYLMSKDDNNRYKLSDDEIIDQIITILYSGYETVSTTSMMAVKYLHDHPSILEELRKEHMAIREKKRPEDPIEWNDLKPMKFTRAVIFETSRLATIVNGVLRKTTEEMELNGFVVPKGWRIYVYTREINYDPFIYPDPLAFNPWRWMDKSLESQSNFLIFGGGTRQCPGKELGIAEISTFLHYFITRYRWEEIGGEKLMKFPRVEAPNGLHIRVSFY >KJB08576 pep chromosome:Graimondii2_0_v6:1:9854839:9858452:-1 gene:B456_001G090300 transcript:KJB08576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFMVVLVLLFILALSICTALLRWNELKFSKKGLPPGTMGWPIFGETTEFLKQGPNFMKNQRARYGSFFKSHILGCPTAVSMDPELNRYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKQMRGALLALISPTMIKQQLLPKIDEFMRNFVSDWDNKIIDIQEKTKQMAFLSSLKQIATVESTSIAQEFMPEFFKLVLGTLSLPIDLPGTNYRRGLKARKIILTILKQLIEKRRGNQESHKDMLAYLMSKDDNNRYKLSDDEIIDQIITILYSGYETVSTTSMMAVKYLHDHPSILEELRKEHMAIREKKRPEDPIEWNDLKPMKFTRAVIFETSRLATIVNGVLRKTTEEMELNGFVVPKGWRIYVYTREINYDPFIYPDPLAFNPWRWMDKSLESQSNFLIFGGGTRQCPGKELGIAEISTFLHYFITRYRWEEIGGEKLMKFPRVEAPNGLHIRVSFY >KJB08574 pep chromosome:Graimondii2_0_v6:1:9855542:9858302:-1 gene:B456_001G090300 transcript:KJB08574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFMVVLVLLFILALSICTALLRWNELKFSKKGLPPGTMGWPIFGETTEFLKQGPNFMKNQRARYGSFFKSHILGCPTAVSMDPELNRYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKQMRGALLALISPTMIKQQLLPKIDEFMRNFVSDWDNKIIDIQEKTKQMAFLSSLKQIATVESTSIAQEFMPEFFKLVLGTLSLPIDLPGTNYRRGLKARKIILTILKQLIEKRRGNQESHKDMLAYLMSKDDNNRYKLSDDEIIDQIITILYSGYETVSTTSMMAVKYLHDHPSILEELRKEHMAIREKKRPEDPIEWNDLKPMKFTRAVIFETSRLATIVNGVLRKTTEEMELNGFVVPKGWRIYVYTREINYDPFIYPDPLAFNPWRWMDKSLESQSNFLIFGGGTRQCPGKELGIAEISTFLHYFITRYR >KJB10766 pep chromosome:Graimondii2_0_v6:1:45561881:45562549:1 gene:B456_001G223200 transcript:KJB10766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKFLNVRKLAPFYSHGRPKPVAQLQQEPRENQGTSALKIVHPGGVVECYYMAIPAVNIMKNYPSSVLARPEVFRRPWDSLVRSHEILTPGQKFYVVPRHTVRKLCRRIRKTSGEVSVSQSSIDVSKYGSSSKQFEVSDSSGVSGSFTSKSRKKNGTKKHVRFAGVDVIKISKDEGIAIAESSKKKSNVEFQQQGGKGKSRNVVLWQPRLPAISERHGPGE >KJB08971 pep chromosome:Graimondii2_0_v6:1:13816714:13820575:1 gene:B456_001G116200 transcript:KJB08971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQHAWAHSAHPRSPSYLFFSVVALSFLSLIALLIYKVDNFASQTKTIVGHNLEPTPWHIFPPKNFTDESRHSRAYKIIQCSYLTCRYAATVGGAQPSEGQEEERRGLVSSRTPPQCPNFFKFIYRDLEPWMTTRISVNHINEAKEHAAFRIVIVEGKLFVDLYYACVQSRLMFTLWGILQLIKKYPGMVPDVDMMFDCMDKPTIERARVENGSLPLPLFRYCTTEAHFDIPFPDWSFWGWPEVNVEAWDEQFKEIKKGSQAQTWLKKIPRAYWKGNPDVESPIRLALMQCNDSNLWGTEIIRQDWAEEAKTGYERSKLSDQCNYRYKIYAEGYAWSVSLKYILSCGSLPLLISPQYEDFFTRALVPKLNYWPVSNIDLCRSIKFAVDWGNTNPSQAEAVGKRAQHLMESLSMDRVYDYMFHLISEYAKLQDFKPVPPSSAQQVCEESLLCFADDKQKEFLKKSAVTDGSSTPPCTLIKRPNPNFFTIWNDQKRKIIDNVKDMENRANAAIT >KJB06163 pep chromosome:Graimondii2_0_v6:1:10447859:10448323:-1 gene:B456_001G094100 transcript:KJB06163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLFGQENCLPKCNPRLKKQLLLDKKEQKTIEISIPNSSKVTFLSGKKIKTEKEKPNHYSNIKSA >KJB10618 pep chromosome:Graimondii2_0_v6:1:42163712:42173694:-1 gene:B456_001G211500 transcript:KJB10618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VACUOLELESS1 [Source:Projected from Arabidopsis thaliana (AT2G38020) UniProtKB/Swiss-Prot;Acc:Q93VQ0] MANVSVAAEWQLLYNRYYRKPELYPLRWKNIDLSRNKVACAPFGGPIAVIRDDSKIVQLYSESALRKLRIFTSSGVLISDTVWKNPGGRLIGMSWTEDQTLICIVQDGTVYRYNIHAELIEPNVTLGKECFEQNVVECIFWGNGVVCLTEGGLLFCIPDFKVMRPCQLAETGAEDLPNCMAVIEPKYTVSGNVEVLVGVGDGILIVDEDGVQRVDGEAVQGPVQKMVVSWDGKYLAIFTHDGRILVTDINFKGVLLEYNCESALPPEQLAWCGLDSVLLYWDDTPLLMVGPRGDPVHYFYDEPLLLIPECDGVRILSNTSLEFLQRVPDSTVSIFKIGSTSPAALLYDALDHFDRRSAKADENLRLIQSSLPEAVEACIDAAGHEFDVSRQRTLLRAASYGQAFCSTFQRDRIQEMCKTLRVLNAVRDPEIGIPLSINQYKLLTPSVLIARLINAHRHLLALRISEYVGMNQEVVIMHWACSKITASLAIPDATLLEILLDKLKLCRGISYAAVAAHADKNGRRKLAAMLVEHEPRSSKQVPLLLSIGEEDTALMKATESGDSDLVYLVLFHIWQKRPPLEFFGMIQARPLPRDLFISYARCYKHEFLKDFFLSTGQLQEVAFLLWKESWELGKNPMASKGSPLHGPRIKLIDKAQHLFAETKEHTFESKAAEEHAKLLRIQHELEVSTKQAIFVDSSISDTIRTCIVLGNHRAAMKVKTEFKVSEKRWYWLKVFALATIRDWEALEKFSKEKRPPIGYRPFVEACVDADEKGEALKYIPKLADLRERAEAYARIGMAKEAADAASQAKDGELLGRLKLTFAQNAAASSLFDTLRDRLSFQGVS >KJB10617 pep chromosome:Graimondii2_0_v6:1:42164830:42173553:-1 gene:B456_001G211500 transcript:KJB10617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VACUOLELESS1 [Source:Projected from Arabidopsis thaliana (AT2G38020) UniProtKB/Swiss-Prot;Acc:Q93VQ0] MANVSVAAEWQLLYNRYYRKPELYPLRWKNIDLSRNKVACAPFGGPIAVIRDDSKIVQLYSESALRKLRIFTSSGVLISDTVWKNPGGRLIGMSWTEDQTLICIVQDGTVYRYNIHAELIEPNVTLGKECFEQNVVECIFWGNGVVCLTEGGLLFCIPDFKVMRPCQLAETGAEDLPNCMAVIEPKYTVSGNVEVLVGVGDGILIVDEDGVQRVDGEAVQGPVQKMVVSWDGKYLAIFTHDGRILVTDINFKGVLLEYNCESALPPEQLAWCGLDSVLLYWDDTPLLMVGPRGDPVHYFYDEPLLLIPECDGVRILSNTSLEFLQRVPDSTVSIFKIGSTSPAALLYDALDHFDRRSAKADENLRLIQSSLPEAVEACIDAAGHEFDVSRQRTLLRAASYGQAFCSTFQRDRIQEMCKTLRVLNAVRDPEIGIPLSINQYKLLTPSVLIARLINAHRHLLALRISEYVGMNQEVVIMHWACSKITASLAIPDATLLEILLDKLKLCRGISYAAVAAHADKNGRRKLAAMLVEHEPRSSKQVPLLLSIGEEDTALMKATESGDSDLVYLVLFHIWQKRPPLEFFGMIQARPLPRDLFISYARCYKHEFLKDFFLSTGQLQEVAFLLWKESWELGKNPMASKGSPLHGPRIKLIDKAQHLFAETKEHTFESKAAEEHAKLLRIQHELEVSTKQAIFVDSSISDTIRTCIVLGNHRAAMKVKTEFKVSEKRWYWLKVFALATIRDWEALEKFSKEKRPPIGYRPFVEACVDADEKGEALKYIPKLADLRERAEVTIHV >KJB10616 pep chromosome:Graimondii2_0_v6:1:42163712:42173756:-1 gene:B456_001G211500 transcript:KJB10616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VACUOLELESS1 [Source:Projected from Arabidopsis thaliana (AT2G38020) UniProtKB/Swiss-Prot;Acc:Q93VQ0] MANVSVAAEWQLLYNRYYRKPELYPLRWKNIDLSRNKVACAPFGGPIAVIRDDSKIVQLYSESALRKLRIFTSSGVLISDTVWKNPGGRLIGMSWTEDQTLICIVQDGTVYRYNIHAELIEPNVTLGKECFEQNVVECIFWGNGVVCLTEGGLLFCIPDFKVMRPCQLAETGAEDLPNCMAVIEPKYTVSGNVEVLVGVGDGILIVDEDGVQRVDGEAVQGPVQKMVVSWDGKYLAIFTHDGRILVTDINFKGVLLEYNCESALPPEQLAWCGLDSVLLYWDDTPLLMVGPRGDPVHYFYDEPLLLIPECDGVRILSNTSLEFLQRVPDSTVSIFKIGSTSPAALLYDALDHFDRRSAKADENLRLIQSSLPEAVEACIDAAGHEFDVSRQRTLLRAASYGQAFCSTFQRDRIQEMCKTLRVLNAVRDPEIGIPLSINQYKLLTPSVLIARLINAHRHLLALRISEYVGMNQEVVIMHWACSKITASLAIPDATLLEILLDKLKLCRGISYAAVAAHADKNGRRKLAAMLVEHEPRSSKQVPLLLSIGEEDTALMKATESGDSDLVYLVLFHIWQKRPPLEFFGMIQARPLPRDLFISYARCYKHEFLKDFFLSTGQLQEVAFLLWKESWELGKNPMASKGSPLHGPRIKLIDKAQHLFAETKEHTFESKAAEEHAKLLRIQHELEVSTKQAIFVDSSISDTIRTCIVLGNHRAAMKVKTEFKVSEKRWYWLKVFALATIRDWEALEKFSKEKRPPIGYRPFVEACVDADEKGEALKYIPKLADLRERAEAYARIGMAKEAADAASQAKDGELLGRLKLTFAQNAAASSLFDTLRDRLSFQGIVVCIFFCLFYGRKASVVGTFMKRNRDCRLSVTDNKFVSVALVQVA >KJB10619 pep chromosome:Graimondii2_0_v6:1:42165429:42173694:-1 gene:B456_001G211500 transcript:KJB10619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VACUOLELESS1 [Source:Projected from Arabidopsis thaliana (AT2G38020) UniProtKB/Swiss-Prot;Acc:Q93VQ0] MANVSVAAEWQLLYNRYYRKPELYPLRWKNIDLSRNKVACAPFGGPIAVIRDDSKIVQLYSESALRKLRIFTSSGVLISDTVWKNPGGRLIGMSWTEDQTLICIVQDGTVYRYNIHAELIEPNVTLGKECFEQNVVECIFWGNGVVCLTEGGLLFCIPDFKVMRPCQLAETGAEDLPNCMAVIEPKYTVSGNVEVLVGVGDGILIVDEDGVQRVDGEAVQGPVQKMVVSWDGKYLAIFTHDGRILVTDINFKGVLLEYNCESALPPEQLAWCGLDSVLLYWDDTPLLMVGPRGDPVHYFYDEPLLLIPECDGVRILSNTSLEFLQRVPDSTVSIFKIGSTSPAALLYDALDHFDRRSAKADENLRLIQSSLPEAVEACIDAAGHEFDVSRQRTLLRAASYGQAFCSTFQRDRIQEMCKTLRVLNAVRDPEIGIPLSINQYKLLTPSVLIARLINAHRHLLALRISEYVGMNQEVVIMHWACSKITASLAIPDATLLEILLDKLKLCRGISYAAVAAHADKNGRRKLAAMLVEHEPRSSKQVPLLLSIGEEDTALMKATESGDSDLVYLVLFHIWQKRPPLEFFGMIQARPLPRDLFISYARCYKHEFLKDFFLSTGQLQEVAFLLWKESWELGKNPMASKGSPLHGPRIKLIDKAQHLFAETKEHTFESKAAEEHAKLLRIQHELEVSTKQAIFVDSSISDTIRTCIVLGNHRAAMKVKTEFKVSEKRWYWLKVFALATIRDWEALEKFSKEKRPPIGKLSTFLFNYRVQDR >KJB10620 pep chromosome:Graimondii2_0_v6:1:42166355:42173694:-1 gene:B456_001G211500 transcript:KJB10620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VACUOLELESS1 [Source:Projected from Arabidopsis thaliana (AT2G38020) UniProtKB/Swiss-Prot;Acc:Q93VQ0] MANVSVAAEWQLLYNRYYRKPELYPLRWKNIDLSRNKVACAPFGGPIAVIRDDSKIVQLYSESALRKLRIFTSSGVLISDTVWKNPGGRLIGMSWTEDQTLICIVQDGTVYRYNIHAELIEPNVTLGKECFEQNVVECIFWGNGVVCLTEGGLLFCIPDFKVMRPCQLAETGAEDLPNCMAVIEPKYTVSGNVEVLVGVGDGILIVDEDGVQRVDGEAVQGPVQKMVVSWDGKYLAIFTHDGRILVTDINFKGVLLEYNCESALPPEQLAWCGLDSVLLYWDDTPLLMVGPRGDPVHYFYDEPLLLIPECDGVRILSNTSLEFLQRVPDSTVSIFKIGSTSPAALLYDALDHFDRRSAKADENLRLIQSSLPEAVEACIDAAGHEFDVSRQRTLLRAASYGQAFCSTFQRDRIQEMCKTLRVLNAVRDPEIGIPLSINQYKLLTPSVLIARLINAHRHLLALRISEYVGMNQEVVIMHWACSKITASLAIPDATLLEILLDKLKLCRGISYAAVAAHADKNGRRKLAAMLVEHEPRSSKQVPLLLSIGEEDTALMKATESGDSDLVYLVLFHIWQKRPPLEFFGMIQARPLPRDLFISYARCYKHEFLKDFFLSTGQLQEVAFLLWKESWELGKNPMASKGSPLHGPRIKLIDKAQHLFAETKEHTFESKAAEEHAKLLR >KJB08138 pep chromosome:Graimondii2_0_v6:1:6818609:6821267:-1 gene:B456_001G068000 transcript:KJB08138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSFPAAPTVGATSVHLNYPESVESSPRSHNDNTYDDPLPAVPGARLRLMCSFGGHIMPRPHDKSLCYIGGETRIVAVDRHCSLSALCTRLSRALLNGRSFTLKYQLPTEDLDSLVSVSTDEDLENMIEEYDRLIAPSASSATSSRIRLFLFFNKPDTAASMGPLLNDAKSETWFVDALNGSGLVPRGNSDSATIEALLNIDGEFEAEQVVEEQNKEVKNNNVVHEVQCSLPDSPLVEKTSSFGSSSSSPSMSNLPPIRVRFDQDGGPKVQDQRVGIEEQFAQISFATNIHKQDDCYGATAVSALPPHLAPTRGGGSSDNLNRILSDDERSDQGVPVGFRKPPLPLQPVQHKACGTYNLPSPDSVASDSSIASANSLSKAMYYQDQTHVTTRDNRTAANPNTNSDTSIPSNQTQIQQIQESYTLSSQLDQQQQQQQQQQQQQQQQFVQDTVHYTPAPMQMSSYYPVYAPPLQQQQLLHHPADQQYPAVYVMPVTQVTQPQPYMSMQPNTGVMTMKSNASDASIMAPTRPLTPPTPSMATVSTAYKETMPPIYPTSTAKPEMAATVYRTAVPSPHQVVQVQQPYIGFSQMQHPPPSPAVTHTANYRYEYPNPTQDQMYYAQHQTSQLPPQYQTLTPAAAAAALADASKQLPTDGSNQQIR >KJB07125 pep chromosome:Graimondii2_0_v6:1:494258:495907:-1 gene:B456_001G005400 transcript:KJB07125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRFKLRISRMFRSSFGSCRTRKISDVIEKPIFTPQNHKNTVPLFDPSPSPPPKPKPFPSICKPRRSESTQTAVNHDCVMVTHKETIPRRRKTNTARCYYPAFVSSAVTGGYHHPMSPLNFFSYCKDFGFYEKKKTVTRHKTKTKKKKRVYHVHHKTNNNTTPFFFSSSSRESANYGGPWWWLSSEEDETETLFSSMNLSSDSSESISARRIRPLSNRKNCNNPRRRKAKNSSCDNDNNNKVKDSFAVVKRSSDPYNDFRTSMVEMIVERQIFAAEDLEQLLQCFLSLNSHHHHGIIVEVFTEICETLFSNWS >KJB07043 pep chromosome:Graimondii2_0_v6:1:3084683:3089260:-1 gene:B456_001G033600 transcript:KJB07043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDNLLSAGGLEVAHQNGVYPQLRVSGDDSGILNNVNGNAEETVGTCSQNGIDDNGATMEARERSNDLVDNNGSIGSKEGEVNDHVNVKQSKPQKVQSKTKNEKPSGTRNASSALMKKSKDGKTAEVRLTASNGGSVATNSHLKQPLKNRSCNERQANASKGPEKPDAAFSEGPLEKPKLKPLKKGPLNKAEGDTESSPMAADAKPRKVGSLPNYGFSFKCDERAEKRKEFYTKLEEKIQAMEVEKSNLQAKSKETQEAEIKMFRKSLNFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRRKSSTPLDSDGNSNSGHQSVQLSLDEKAFQSTSSKVISPVNAKKPQRKSLPKLPSQKTSLSGSTNDEKISNTSSQKKVNAPKASTERKIALPKATNEENNTLSDVTNEELSPTQLQPAVSAADSGESQPDIDQVDLVQEPIALEH >KJB07048 pep chromosome:Graimondii2_0_v6:1:3084683:3089168:-1 gene:B456_001G033600 transcript:KJB07048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIDDNGATMEARERSNDLVDNNGSIGSKEGEVNDHVNVKQSKPQKVQSKTKNEKPSGTRNASSALMKKSKDGKTAEVRLTASNGGSVATNSHLKQPLKNRSCNERQANASKGPEKPDAAFSEGPLEKPKLKPLKKGPLNKAEGDTESSPMAADAKPRKVGSLPNYGFSFKCDERAEKRKEFYTKLEEKIQAMEVEKSNLQAKSKETQEAEIKMFRKSLNFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRRKSSTPLDSDGNSNSGHQSVQLSLDEKAFQSTSSKVISPVNAKKPQRKSLPKLPSQKTSLSGSTNDEKISNTSSQKKVNAPKASTERKIALPKATNEENNTLSDVTNEELSPTQLQPAVSAADSGESQPDIDQVDLVQEPIALEH >KJB07049 pep chromosome:Graimondii2_0_v6:1:3084683:3089157:-1 gene:B456_001G033600 transcript:KJB07049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDNLLSAGGLEVAHQNGVYPQLRVSGDDSGILNNVNGNAEETVGTCSQNGIDDNGATMEARERSNDLVDNNGSIGSKEGEVNDHVNVKQSKPQKVQSKTKNEKPSGTRNASSALMKKSKDGKTAEVRLTASNGGSVATNSHLKQPLKNRSCNERQANASKGPEKPDAAFSEGPLEKPKLKPLKKGPLNKAEGDTESSPMAADAKPRKVGSLPNYGFSFKCDERAEKRKEFYTKLEEKIQAMEVEKSNLQAKSKETQEAEIKMFRKSLNFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRRKSSTPLDSDGNSNSGHQSVQLSLDEKAFQSTSSKVISPVNAKKPQRKSLPKLPSQKTSLSGSTNDEKISNTSSQKKVNAPKASTERKIALPKATNEENNTLSDVTNEELSPTQLQPAVSAADSGESQPDIDQVDLVQEPIALEH >KJB07045 pep chromosome:Graimondii2_0_v6:1:3084683:3089095:-1 gene:B456_001G033600 transcript:KJB07045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARERSNDLVDNNGSIGSKEGEVNDHVNVKQSKPQKVQSKTKNEKPSGTRNASSALMKKSKDGKTAEVRLTASNGGSVATNSHLKQPLKNRSCNERQANASKGPEKPDAAFSEGPLEKPKLKPLKKGPLNKAEGDTESSPMAADAKPRKVGSLPNYGFSFKCDERAEKRKEFYTKLEEKIQAMEVEKSNLQAKSKETQEAEIKMFRKSLNFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRRKSSTPLDSDGNSNSGHQSVQLSLDEKAFQSTSSKVISPVNAKKPQRKSLPKLPSQKTSLSGSTNDEKISNTSSQKKVNAPKASTERKIALPKATNEENNTLSDVTNEELSPTQLQPAVSAADSGESQPDIDQVDLVQEPIALEH >KJB07046 pep chromosome:Graimondii2_0_v6:1:3085188:3087835:-1 gene:B456_001G033600 transcript:KJB07046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDKPMHPRVLKNRMQPSLKAPCTEKPKLKPLKKGPLNKAEGDTESSPMAADAKPRKVGSLPNYGFSFKCDERAEKRKEFYTKLEEKIQAMEVEKSNLQAKSKETQEAEIKMFRKSLNFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRRKSSTPLDSDGNSNSGHQSVQLSLDEKAFQSTSSKVISPVNAKKPQRKSLPKLPSQKTSLSGSTNDEKISNTSSQKKVNAPKASTERKIALPKATNEENNTLSDVTNEELSPTQLQPAVSAADSGESQPDIDQVDLVQEPIALEH >KJB07042 pep chromosome:Graimondii2_0_v6:1:3084646:3089193:-1 gene:B456_001G033600 transcript:KJB07042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDNLLSAGGLEVAHQNGVYPQLRVSGDDSGILNNVNGNAEETVGTCSQNGIDDNGATMEARERSNDLVDNNGSIGSKVEGEVNDHVNVKQSKPQKVQSKTKNEKPSGTRNASSALMKKSKDGKTAEVRLTASNGGSVATNSHLKQPLKNRSCNERQANASKGPEKPDAAFSEGPLEKPKLKPLKKGPLNKAEGDTESSPMAADAKPRKVGSLPNYGFSFKCDERAEKRKEFYTKLEEKIQAMEVEKSNLQAKSKETQEAEIKMFRKSLNFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRRKSSTPLDSDGNSNSGHQSVQLSLDEKAFQSTSSKVISPVNAKKPQRKSLPKLPSQKTSLSGSTNDEKISNTSSQKKVNAPKASTERKIALPKATNEENNTLSDVTNEELSPTQLQPAVSAADSGESQPDIDQVDLVQEPIALEH >KJB07050 pep chromosome:Graimondii2_0_v6:1:3084646:3089195:-1 gene:B456_001G033600 transcript:KJB07050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDNLLSAGGLEVAHQNGVYPQLRVSGDDSGILNNVNGNAEETVGTCSQNGIDDNGATMEARERSNDLVDNNGSIGSKEGEVNDHVNVKQSKPQKVQSKTKNEKPSGTRNASSALMKKSKDGKTAEVRLTASNGGSVATNSHLKQPLKNRSCNERQANASKGPEKPDAAFSEGPLEKPKLKPLKKGPLNKAEGDTESSPMAADAKPRKVGSLPNYGFSFKCDERAEKRKEFYTKLEEKIQAMEVEKSNLQAKSKETQEAEIKMFRKSLNFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRRKSSTPLDSDGNSNSGHQSVQLSLDEKAFQSTSSKVISPVNAKKPQRKSLPKLPSQKTSLSGSTNDEKISNTSSQKKVNAPKASTERKIALPKATNEENNTLSDVTNEELSPTQLQPAVSAADSGESQPDIDQVDLVQEPIALEH >KJB07047 pep chromosome:Graimondii2_0_v6:1:3084683:3089157:-1 gene:B456_001G033600 transcript:KJB07047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDNLLSAGGLEVAHQNGVYPQLRVSGDDSGILNNVNGNAEETVGTCSQNGIDDNGATMEARERSNDLVDNNGSIGSKEGEVNDHVNVKQSKPQKVQSKTKNEKPSGTRNASSALMKKSKDGKTAEVRLTASNGGSVATNSHLKQPLKNRSCNERQANASKGPEKPDAAFSEGPLEKPKLKPLKKGPLNKAEGDTESSYPFCPMAADAKPRKVGSLPNYGFSFKCDERAEKRKEFYTKLEEKIQAMEVEKSNLQAKSKETQEAEIKMFRKSLNFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRRKSSTPLDSDGNSNSGHQSVQLSLDEKAFQSTSSKVISPVNAKKPQRKSLPKLPSQKTSLSGSTNDEKISNTSSQKKVNAPKASTERKIALPKATNEENNTLSDVTNEELSPTQLQPAVSAADSGESQPDIDQVDLVQEPIALEH >KJB07044 pep chromosome:Graimondii2_0_v6:1:3084683:3088540:-1 gene:B456_001G033600 transcript:KJB07044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCVMDSDNLLSAGGLEVAHQNGVYPQLRVSGDDSGILNNVNGNAEETVGTCSQNGIDDNGATMEARERSNDLVDNNGSIGSKEGEVNDHVNVKQSKPQKVQSKTKNEKPSGTRNASSALMKKSKDGKTAEVRLTASNGGSVATNSHLKQPLKNRSCNERQANASKGPEKPDAAFSEGPLEKPKLKPLKKGPLNKAEGDTESSPMAADAKPRKVGSLPNYGFSFKCDERAEKRKEFYTKLEEKIQAMEVEKSNLQAKSKETQEAEIKMFRKSLNFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRRKSSTPLDSDGNSNSGHQSVQLSLDEKAFQSTSSKVISPVNAKKPQRKSLPKLPSQKTSLSGSTNDEKISNTSSQKKVNAPKASTERKIALPKATNEENNTLSDVTNEELSPTQLQPAVSAADSGESQPDIDQVDLVQEPIALEH >KJB07390 pep chromosome:Graimondii2_0_v6:1:1807810:1809939:-1 gene:B456_001G019500 transcript:KJB07390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKKKITWKSLMPSCYKSKDSSDSGENRLKLKPCQFQRISLSDVSDPSSPICVNDLSTSLFGSNLYVFTLAELRLITHNFARCNLLGEGGFGPVYKGFVDDKLRPGLKAQPVAVKTLDLDGLQGHREWLVSFWPLSLIGLHLWFYYVLKICVYLQAEIIFLGQLRHPHLVKLIGYCYEDVNRLLVYEYMPRGSLENQLFRRYSATLPWSTRMKIALGAAKGLAFLHEADKPVIYRDFKSSNILLDSDYNCKLSDFGLAKDGPEGGETHVTTRVMGTQGYAAPEYIMTGHLTTMSDVYSFGVVLLELLTGKRSMDNTRPSREQSLVEWARPLLRDPKRLDRVIDPRLEGQYSNKGAQKVAALAYKCLSHYPKPRPTMGDVVIVLESVQGFEDEFVGPFVYVVPNEADDSNEFLAKKGENEHDSPLRGWRNRIKLPPSSVANAESPCSI >KJB07389 pep chromosome:Graimondii2_0_v6:1:1807806:1810033:-1 gene:B456_001G019500 transcript:KJB07389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKKKITWKSLMPSCYKSKDSSDSGENRLKLKPCQFQRISLSDVSDPSSPICVNDLSTSLFGSNLYVFTLAELRLITHNFARCNLLGEGGFGPVYKGFVDDKLRPGLKAQPVAVKTLDLDGLQGHREWLAEIIFLGQLRHPHLVKLIGYCYEDVNRLLVYEYMPRGSLENQLFRRYSATLPWSTRMKIALGAAKGLAFLHEADKPVIYRDFKSSNILLDSDYNCKLSDFGLAKDGPEGGETHVTTRVMGTQGYAAPEYIMTGHLTTMSDVYSFGVVLLELLTGKRSMDNTRPSREQSLVEWARPLLRDPKRLDRVIDPRLEGQYSNKGAQKVAALAYKCLSHYPKPRPTMGDVVIVLESVQGFEDEFVGPFVYVVPNEADDSNEFLAKKGENEHDSPLRGWRNRIKLPPSSVANAESPCSI >KJB08850 pep chromosome:Graimondii2_0_v6:1:12355137:12358309:1 gene:B456_001G108000 transcript:KJB08850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSRGTTTEFGVVSRKWKERDISPERHKIWIAPKSHPTTTNSSEKKVSVVYYLSRNGQLEHPHFMEVPLSSHHGLYLKDVINRLNLLRGKGMASLYSWSCKRSYKNGFVWHDLAENDFIYPSHGQEYVLKGSEVLDHSINTKSLEVKSSSFRLTKPLESQKSENGHDFPSIRRRRNQSWTSIDLNEYRVYKAESSSDSARRLAADASTQTDDKRRSGKAMVKQTEIEEVQSESQELEQNQTSELNREEISPPPSDSSPETLETLMKADGRLRLCNGGNDDNLNKTAEGCQSGRIKAPSVLMQLISCGSISFKDCGPSSERDQGFSLIGHYKSRLPRGAGGDCNQVGKDAGIERDIGSFSRVRLEDKEYFSGSLIETKKVEVPAFKRSSSYNADRSSQLQLEEKEMDGVRAKCIPRKSKTVATKKEGNMNGDICGDNGSKRHEV >KJB08849 pep chromosome:Graimondii2_0_v6:1:12354956:12358328:1 gene:B456_001G108000 transcript:KJB08849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSRGTTTEFGVVSRKWKERDISPERHKIWIAPKSHPTTTNSSEKKVSVVYYLSRNGQLEHPHFMEVPLSSHHGLYLKDVINRLNLLRGKGMASLYSWSCKRSYKNGFVWHDLAENDFIYPSHGQEYVLKGSEVLDHSINTKSLEVKSSSFRLTKPLESQKSENGHDFPSIRRRRNQSWTSIDLNEYRVYKAESSSDSARRLAADASTQTDDKRRSGKAMVKQTEIEEVQSESQELEQNQTSELNREEISPPPSDSSPETLETLMKADGRLRLCNGGNDDNLNKTAEGCQSGRIKAPSVLMQLISCGSISFKDCGPSSERDQGFSLIGHYKSRLPRGAGGDCNQVGKDAGIERDIGSFSRVRLEDKEYFSGSLIETKKVEVPAFKRSSSYNADRSSQLQLEEKEMDGVRAKCIPRKSKTVATKKEGNMNGDICGDNGSKRHEV >KJB07361 pep chromosome:Graimondii2_0_v6:1:23992813:23993956:-1 gene:B456_001G168500 transcript:KJB07361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIILKIQNLYSFAAPTFPFHAKKKKMKSYQSILILLLMILPLLLSPNAVEGGKRKIHITDDLDDVVDDEEDEAWKEWGKKKTSQEFDPPPSDFDKMELSQIQEEIMKRHTGPAFGFVKLRLGIPRDKNMVAEIALKWTQLLRTGALGVKFMGIDLGTIMFNVEDGHKVLEEFILSQDEAYEIKIGDKVYRRAGDPPIEVVAEKLRQSKKNEEHVKEEL >KJB07359 pep chromosome:Graimondii2_0_v6:1:23993071:23993930:-1 gene:B456_001G168500 transcript:KJB07359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIILKIQNLYSFAAPTFPFHAKKKKMKSYQSILILLLMILPLLLSPNAVEGGKRKIHITDDLDDVVDDEEDEAWKEWGKKKTSQEFDPPPSDFDKMELSQIQEEIMKRHTGPAFGFVKLRLGIPRDKNMVAEIALKWTQLLRTGALGVKFMGIDLGTIMFNVEDGHKVLEVNIRFPCSATNIVPSLSHRKFTGNRYVLELQLKEFILSQDEAYEIKIGDKVYRRAGDPPIEVVAEKLRQSKKNEEHVKEEL >KJB07358 pep chromosome:Graimondii2_0_v6:1:23993475:23993930:-1 gene:B456_001G168500 transcript:KJB07358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIILKIQNLYSFAAPTFPFHAKKKKMKSYQSILILLLMILPLLLSPNAVEGGKRKIHITDDLDDVVDDEEDEAWKEWGKKKTSQEFDPPPSDFDKMELSQIQEEIMKRHTGPAFGFVKLRLGIPRDKVFIFVSFLFQFFEDLFPSHSLIG >KJB07360 pep chromosome:Graimondii2_0_v6:1:23992877:23993956:-1 gene:B456_001G168500 transcript:KJB07360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIILKIQNLYSFAAPTFPFHAKKKKMKSYQSILILLLMILPLLLSPNAVEGGKRKIHITDDLDDVVDDEEDEAWKEWGKKKTSQEFDPPPSDFDKMELSQIQEEIMKRHTGPAFGFVKLRLGIPRDKNMVAEIALKWTQLLRTGALGVKFMGIDLGTIMFNVEDGHKVLEVNIRFPCSS >KJB07357 pep chromosome:Graimondii2_0_v6:1:23992708:23993960:-1 gene:B456_001G168500 transcript:KJB07357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIILKIQNLYSFAAPTFPFHAKKKKMKSYQSILILLLMILPLLLSPNAVEGGKRKIHITDDLDDVVDDEEDEAWKEWGKKKTSQEFDPPPSDFDKMELSQIQEEIMKRHTGPAFGFVKLRLGIPRDKNMVAEIALKWTQLLRTGALGVKFMGIDLGTIMFNVEDGHKVLELKEFILSQDEAYEIKIGDKVYRRAGDPPIEVVAEKLRQSKKNEEHVKEEL >KJB07362 pep chromosome:Graimondii2_0_v6:1:23992877:23993956:-1 gene:B456_001G168500 transcript:KJB07362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIILKIQNLYSFAAPTFPFHAKKKKMKSYQSILILLLMILPLLLSPNAVEGGKRKIHITDDLDDVVDDEEDEAWKEWGKKKTSQEFDPPPSDFDKMELSQIQEEIMKRHTGPAFGFVKLRLGIPRDKNMVAEIALKWTQLLRTGALGVKFMGIDLGTIMFNVEDGHKVLELPILSLL >KJB08196 pep chromosome:Graimondii2_0_v6:1:7145884:7152483:-1 gene:B456_001G070500 transcript:KJB08196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSYISLMDLASGDMFNSPQTPERISRVMTVPVDGGITDDGDFDSLSSECCEKTIIVANFLPLQAQKDIKSGQWLFSFDEDSLLLQMKDGFSSDTIVVYVGSLKVDVDPKEQDEVSKTLLEEFNCVPTFLPPDLQKKFYHGFCKQYLWPLFHYMLPMCADYGNRFDRLHWQAYLSANKIFADKIIEVTNPEKDYVWVHDYHLMALPTFLRKHFHRVKLGFFLHSPFPSSEIYRTLPVREEILKALLNADLIGFHTFDYARHFLTCCSRILGLDHESKRGHIGLDYFGRTVYMKILPVGIHMGQLQSSLNHPSSSTKVKEIVAKFNGKRIIIGVDDMDIFKGISLKVLAMEQLLQQHPELQGKVVLIQIVNPARSTGKDVQEAKREIYESTERINDVFGFPGYEPVVLIDRCVPFYEKAAYYACAECCIVNAVRDGMNLVPYKYIVCRQGTSRMDEALQIAPKSPRTSMLVVSEFIGCSPSLSGAIRVNPWSIDALADALNMAITMPGVEKQIRHEKHYRYVSSHDVAYWSQSFMQDLERACKEHYNRHCWGFGFGLSFRILSISPSFRKLSIDHILSVHRRTCRRAIFLDYDGTVVPHSSIIKSPGPEVILFMNSICSDPKNTVFIVSGRGKNSLSDWFAQCENLGIAAEHGYFIRWNRRSEWETFPIAIDFGWKRIAEPVMQLYTEATDGSFIESKESALVWHHQDADPDFGSSQAKELLDHLENVLANEPVVVKRGHQIVEGVTKGLVAKKVLSTMISNGEPPDFVLCVGDDRSDEDMFESISNTAYISSLPVSPEIFACTIGQKPSKARYYLDDTVDVLKLLSGLTGASSSTTSTSNTETEVSFESCA >KJB08195 pep chromosome:Graimondii2_0_v6:1:7145757:7151047:-1 gene:B456_001G070500 transcript:KJB08195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSYISLMDLASGDMFNSPQTPERISRVMTVPVDGGITDDGDFDSLSSECCEKTIIVANFLPLQAQKDIKSGQWLFSFDEDSLLLQMKDGFSSDTIVVYVGSLKVDVDPKEQDEVSKTLLEEFNCVPTFLPPDLQKKFYHGFCKQYLWPLFHYMLPMCADYGNRFDRLHWQAYLSANKIFADKIIEVTNPEKDYVWVHDYHLMALPTFLRKHFHRVKLGFFLHSPFPSSEIYRTLPVREEILKALLNADLIGFHTFDYARHFLTCCSRILGLDHESKRGHIGLDYFGRTVYMKILPVGIHMGQLQSSLNHPSSSTKVKEIVAKFNGKRIIIGVDDMDIFKGISLKVLAMEQLLQQHPELQGKVVLIQIVNPARSTGKDVQEAKREIYESTERINDVFGFPGYEPVVLIDRCVPFYEKAAYYACAECCIVNAVRDGMNLVPYKYIVCRQGTSRMDEALQIAPKSPRTSMLVVSEFIGCSPSLSGAIRVNPWSIDALADALNMAITMPGVEKQIRHEKHYRYVSSHDVAYWSQSFMQDLERACKEHYNRHCWGFGFGLSFRILSISPSFRKLSIDHILSVHRRTCRRAIFLDYDGTVVPHSSIIKSPGPEVILFMNSICSDPKNTVFIVSGRGKNSLSDWFAQCENLGIAAEHGYFIRWNRRSEWETFPIAIDFGWKRIAEPVMQLYTEATDGSFIESKESALVWHHQDADPDFGSSQAKELLDHLENVLANEPVVVKRGHQIVEVKPQGVTKGLVAKKVLSTMISNGEPPDFVLCVGDDRSDEDMFESISNTAYISSLPVSPEIFACTIGQKPSKARYYLDDTVDVLKLLSGLTGASSSTTSTSNTETEVSFESCA >KJB11222 pep chromosome:Graimondii2_0_v6:1:49751344:49752409:-1 gene:B456_001G247900 transcript:KJB11222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDRKIGVAMDFSCSSKSALRWAIDNLADKGDTFYIIHINPSSSNDSTNTHLAQSGSPLIPLAQFRQPEIMNKYDVKVDIAVLDMLDTASRQKEIQVVSKLYWGGDAREKILDAIENLKLDSLVMGSRGLGTLQRIILGSVSNYVLTYAPCPVTIVKEPPSSSS >KJB08950 pep chromosome:Graimondii2_0_v6:1:13647053:13650153:-1 gene:B456_001G115400 transcript:KJB08950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEVAATARLQWSKPIPPQPPSSSQALVSATSSPSVLCLKSVRRSALFGAHSTTLQRSRCREFLYPRSRTLKRACSASLVDDFSDEEFSKKIQELAPKFQSPDGSDKPISEVNMKDLDSESLKPSWLERGEEIIPSNIERKANSVDLPLSLRIIKRKLQWQEGFRDAGESAYCSMKKAFSSMVFIIRELHSYTLQMRELLFYEDLQGVLVRVQKEMHASFVWLFQQVFSHTPTLMVYVMVLLANYSVHSMGSTAALAAVAAPSSPSASYVSVVEDQKHPKFDFSSIKSFSVTSSIGKTTSIGGSNGGGGKARPIAGGTDGDGLFNAAEQFRTIVPDGASQLSSPGTTGESQTASSTSGRVSREEELSLWNTIVDEASKMQALFSDEMIDNETIKSFISPVMAKMEPDDYHEDYLKTELLYKTELSQEPNNPLLLANYAQFLYLVVRDYDRAEEYFKKAIEVEPADGEAYSKYASFLWRVRKDLWAAEETLLGAISADPTNSYYSAYYAHFLWNTGGDDTCFPLTSPDTTQKEA >KJB08951 pep chromosome:Graimondii2_0_v6:1:13648221:13649651:-1 gene:B456_001G115400 transcript:KJB08951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEVAATARLQWSKPIPPQPPSSSQALVSATSSPSVLCLKSVRRSALFGAHSTTLQRSRCREFLYPRSRTLKRACSASLVDDFSDEEFSKKIQELAPKFQSPDGSDKPISEVNMKDLDSESLKPSWLERGEEIIPSNIERKANSVDLPLSLRIIKRKLQWQEGFRDAGESAYCSMKKAFSSMVFIIRELHSYTLQMRELLFYEDLQGVLVRVQKEMHASFVWLFQQVFSHTPTLMVYVMVLLANYSVHSMGSTAALAAVAAPSSPSASYVSVVEDQKHPKFDFSSIKSFSVTSSIGKTTSIGGSNGGGGKARPIAGGTDGDGLFNAAEQFRTIVPDGASQLSSPGTTGESQTASSTSGRVSREEELSLWNTIVDEASKMQALFSDEMIDNETIKSFISPVMAKMEPDDYHEDYLKTELLYKTELSQEPNNPLLLANYAQFLYLVVRDYDRYEIFTIFHLIDSISIQFPLWFLVEN >KJB08949 pep chromosome:Graimondii2_0_v6:1:13647079:13650133:-1 gene:B456_001G115400 transcript:KJB08949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEVAATARLQWSKPIPPQPPSSSQALVSATSSPSVLCLKSVRRSALFGAHSTTLQRSRCREFLYPRSRTLKRACSASLVDDFSDEEFSKKIQELAPKFQSPDGSDKPISEVNMKDLDSESLKPSWLERGEEIIPSNIERKANSVDLPLSLRIIKRKLQWQEGFRDAGESAYCSMKKAFSSMVFIIRELHSYTLQMRELLFYEDLQGVLVRVQKEMHASFVWLFQQVFSHTPTLMVYVMVLLANYSVHSMGSTAALAAVAAPSSPSASYVSVVEDQKHPKFDFSSIKSFSVTSSIGKTTSIGGSNGGGGKARPIAGGTDGDGLFNAAEQFRTIVPDGASQLSSPGTTGESQTASSTSGRVSREEELSLWNTIVDEASKMQALFSDEMIDNETIKSFISPVMAKMEPDDYHEDYLKTELLYKTELSQEPNNPLLLANYAQFLYLVVRDYDRAEEYFKKAIEVEPADGEAYSKYASFLWRVRKDLWAAEETLLGAISADPTNSYYSAYYAHFLWNTGGDDTCFPLTSPDTTQKEA >KJB08578 pep chromosome:Graimondii2_0_v6:1:9922524:9923708:1 gene:B456_001G090500 transcript:KJB08578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQGILWRRTSRVRDEKNASKRHKEDIRQEILSIIDEKWKDESPSLKARMSQYGNSPPMKGNARVSVVILIKSPDDITRKFIRKILHQSSRIKSKLLIARFILASSCRMSLASVVFWTKDKLLGKIVEGKEIKVNWEIQPVWDDIVVMGRLFPFTRYCGNDTKALYRTCNEAAEVLSTC >KJB09629 pep chromosome:Graimondii2_0_v6:1:21553780:21555736:1 gene:B456_001G153500 transcript:KJB09629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G46580) UniProtKB/Swiss-Prot;Acc:Q9ZPY1] MGTITAPWKQLLLNALESNSHLKHSSYFQLATVGCNGRPSNRTLVFRGFQESSDKFHINTDNRTHKIEELKHCPFAEICWYFTDSWEQFRISGRVDVIDGSNPDTFELQQREKSWFASSVKSRLQYLGPNPGLPRISEHQSEEEVRLDPSIGPVAAFCLLVLDPDQVDYLNLKSTERVKFISTLDMNGVKNWTSERINP >KJB09630 pep chromosome:Graimondii2_0_v6:1:21553800:21555638:1 gene:B456_001G153500 transcript:KJB09630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G46580) UniProtKB/Swiss-Prot;Acc:Q9ZPY1] MGTITAPWKQLLLNALESNSHLKHSSYFQLATVGCNGRPSNRTLVFRGFQESSDKFHINTDNRTHKICWYFTDSWEQFRISGRVDVIDGSNPDTFELQQREKSWFASSVKSRLQYLGPNPGLPRISEHQSEEEVRLDPSIGPVAAFCLLVLDPDQVDYLNLKSTERVKFISTLDMNGVKNWTSERINP >KJB10832 pep chromosome:Graimondii2_0_v6:1:46338586:46341230:-1 gene:B456_001G227400 transcript:KJB10832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFARKAKWTFFVVTTLFSLVQPLSANHTSINDTAIEMIHVGLILDAQSWVGKIVDSCISMAISDFYSQNCHYQTKLVVHTRDFGGDPLLLLSQALVLLENFKLDAIIVAENSAGVKILAELGSRVKIPIISLFAAGLSLSSFEYPHLIQIGEDESSRDKAVAAIVEAFSWRSAILIYEDNDSARPILSSVTGFRLDQHVALLTSSTDEEIVEQLMKLRSLQMTVYVVHMSPILASRLFLNAKQLGMMTQGYAWITTDMITNFMNSMDPSVFESMQGVVGFKPHIPASKELRRFAIRWRSKNLNENKNLEEMEMNVYGIWSYDMVWAVATAAERVMTRHPHILHQETRLNMNFTTIRSSESGLVFMDEILQSRFKGISGGFQLTNGRLIPKELQIVNVFKGERIIGYWNPGNGITSLMKQENHNETNLTSSSKLESVIWPGGTMNIPKGCSLHGKRLRIGVPANNGFREIISVTRDPRTNETTVTGYCVDVFKEAVQSLGYEVHYDFIPFEDANGQMAGTYNDLILQVYYKNYDAVMGDTTILASRFPYVDFLMPLSGDLWITTAAFFILTGLVIWFIERPINEEFQGSLCEQIGMIFWYSFSTLVFANKEKLLSHLSKFVVIIWVFVVLIITSSYTATLASMLTVQQVELNLKQDYVGYHCGFLLSRAVSNLNFKNPRLKPYKSAEEYADALGRGSNNGGVSAIVDEIPYLKVFLAKYPSDYTISKSNTTTGGFGFVRLL >KJB08788 pep chromosome:Graimondii2_0_v6:1:11582719:11586137:1 gene:B456_001G103800 transcript:KJB08788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMAENLMHALQYNSYGGGAAGLKHVEVPIPTPNKGEILLKLEATSLNPVDWKIQKGMLRPFLPRKFPYIPATDVAGEVVKVGPGVTNYKAGDKVVSMLHHFTGGGLAEYAVAKENLTVARPPEVSAAEGAGLPVAGLTAHQALTQSAGVKLDGSGQQVNLLITAASGGVGQYAVQLAKLGNTHVTATCGARNLDFVKSLGADEVLDYKTPDGAALKSPSGRKYDAVIHCAMGIPWSTFEPNLSSNGKVIDITPGPSALLTFAMKKLTFSKKNLVPLLLSPKKENLEYLINLVKEKKLKTVIDSKHPLSKAEEAWAKSIDGHATGKIIVEP >KJB06239 pep chromosome:Graimondii2_0_v6:1:43809028:43809872:1 gene:B456_001G217600 transcript:KJB06239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLLSFFFLYLFFYSRNSLMIIRVFLALQVRKQGKIFLDHFKNFQETLSMKFSSKVRESSYLSSIPPELYLLLVSFLFNLARVIFYHLFRF >KJB11721 pep chromosome:Graimondii2_0_v6:1:55145527:55148286:1 gene:B456_001G274000 transcript:KJB11721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTYSVYGVGRKKKLSIPEVVVFAPCMRIPAQCDLQRALKGLIPGDVIDKLSCLRNRIALVADDTGGSAITELRQALEEYLSILIGLTKKEHGLENLVEFKWKNLVDGRQETSVANAWFELLSVVHMMAMLTLCEADTIMIPKDYSGSGFRVVSTDCKRDAVDLLLKAAGYLEFCVRNVLCCIPPEIKKSMSKDLQDGVLEAISIQALGQGTEIQLGLAIESQKATLSVKRRLACELLIYYSQAYQCISGCDLSHGYGKKHMWFIKWKFLEAKAAAYYYHGLVLDKGSEPTCHISAVCCFLAAQELLVESKKACISFCLATPVTRAPPLWGAMKHLHQKIPEVASRKSQMYGYLLEQEKALQSLPELPDFQLSLRPDDYELPAIDPAWDSGNWEKQGQQPLKDHLNDSEDEIETE >KJB11720 pep chromosome:Graimondii2_0_v6:1:55145513:55148286:1 gene:B456_001G274000 transcript:KJB11720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTYSVYGVGRKKKLSIPEVVVFAPCMRIPAQCDLQRALKGLIPGDVIDKLSCLRNRIALVADDTGGSAITELRQALEEYLSILIGLTKKEHGLENLVEFKWKNLVDGRQETSVANAWFELLSVVHMMAMLTLCEADTIMIPKDYSGSGFRVVSTDCKRDAVDLLLKAAGYLEFCVRNVLCCIPPEIKKSMSKDLQDGVLEAISIQALGQGTEIQLGLAIESQKATLSVKRRLACELLIYYSQAYQCISGCDLSHGYGKKHMWFIKWKFLEAKAAAYYYHGLVLDKGSEPTCHISAVCCFLAAQELLVESKKACISFCLATPVTRAPPLWGAMKHLHQKIPEVASRKSQMYGYLLEQEKALQSLPELPDFQLSLRPDDYELPAIDPAWDSGNWEKQGQQPLKDHLNDSEDEIETE >KJB08813 pep chromosome:Graimondii2_0_v6:1:11821702:11828863:1 gene:B456_001G105300 transcript:KJB08813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCRCGYEICVWCWHHIMDMAEKDDTEGRCPACRSAYDKERIVGTAAKCERMVAEINMERKMKSHKAKTKSSEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRRDYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAVRCIQSVHGFVLDGRPLKACFGTTKYCHAWLRNVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTSRVQQITGATNNMQRRPGNMLPPPADDYCPNSSASAAKLITKSSPNNTIVTVPKSSPPNGSSGRSIALPAGASWGMRALNQPQPVSLACTNGPPKQNSDTVSSTLPFSSAVTNTNLACSLHTDVIKKPSEEIHPMHTKGKPDLLKPLKQSAGLDCRIATLEKPTSPERVTVSKSLSNQLSCTAAANHDDQGTNIPSTITSTTFGNGGQTLISSGEKAVIISNTDGDTQRLCSDMSTLTLEGNVLNGHSDEVRPSSSSSEHGCSSSPSNQGLRQSHIDYYREPLNTAAAGSSVTSPNGVCVSKEQSVWKTDARIQAEKNTSSEVEEDVLSFDNQRLKDPEVITRSSYVPNSPISLHLSNHSRSHSLQHNEAFGAVNLNADTLLVDDKAGDNSCLQGANVSSLSNGCLDKYISSSIGSDITIEGPPLLSNEEKGKQLGRILANSQSNDANDTGESNIISNILSLDFDTWDESLTSPQNLAKLLGDNDKQANPLKLSSSWKAPNHNQSRFSFARQEDSKYRLADVESPFNIYGQMPQNHPSGQDFTDNRDSYLSKFGVSNGLYSCNFEESDNFSSSPSVFSNKLSASRAQIPVPPGFSVPSRAPPPGFSSIERVNHAFDATSGNHLMDSQSLLRNSYQAPQSGGISGPGDIEFIDPAILAVGKGRIQGGLNNSGLDMRSNFLQQLGPYENEARFQLLMQRSLSPHQNLRYDVGDSFSSLNDSYGIPSRLMDQSQVNNMSAFAQLNLQQSRNTHNMSNGQWDGWNEVQGGNGLGVAELLRNERLGFNKFYSGYEDSKYRMAASGDLYNRTFGL >KJB08816 pep chromosome:Graimondii2_0_v6:1:11821702:11828863:1 gene:B456_001G105300 transcript:KJB08816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLILIKHIAIFTCRRLMYIVVSISRMVAEINMERKMKSHKAKTKSSEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRRDYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAVRCIQSVHGFVLDGRPLKACFGTTKYCHAWLRNVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMQRRPGNMLPPPADDYCPNSSASAAKLITKSSPNNTIVTVPKSSPPNGSSGRSIALPAGASWGMRALNQPQPVSLACTNGPPKQNSDTVSSTLPFSSAVTNTNLACSLHTDVIKKPSEEIHPMHTKGKPDLLKPLKQSAGLDCRIATLEKPTSPERVTVSKSLSNQLSCTAAANHDDQGTNIPSTITSTTFGNGGQTLISSGEKAVIISNTDGDTQRLCSDMSTLTLEGNVLNGHSDEVRPSSSSSEHGCSSSPSNQGLRQSHIDYYREPLNTAAAGSSVTSPNGVCVSKEQSVWKTDARIQAEKNTSSEVEEDVLSFDNQRLKDPEVITRSSYVPNSPISLHLSNHSRSHSLQHNEAFGAVNLNADTLLVDDKAGDNSCLQGANVSSLSNGCLDKYISSSIGSDITIEGPPLLSNEEKGKQLGRILANSQSNDANDTGESNIISNILSLDFDTWDESLTSPQNLAKLLGDNDKQANPLKLSSSWKAPNHNQSRFSFARQEDSKYRLADVESPFNIYGQMPQNHPSGQDFTDNRDSYLSKFGVSNGLYSCNFEESDNFSSSPSVFSNKLSASRAQIPVPPGFSVPSRAPPPGFSSIERVNHAFDATSGNHLMDSQSLLRNSYQAPQSGGISGPGDIEFIDPAILAVGKGRIQGGLNNSGLDMRSNFLQQLGPYENEARFQLLMQRSLSPHQNLRYDVGDSFSSLNDSYGIPSRLMDQSQVNNMSAFAQLNLQQSRNTHNMSNGQWDGWNEVQGGNGLGVAELLRNERLGFNKFYSGYEDSKYRMAASGDLYNRTFGL >KJB08812 pep chromosome:Graimondii2_0_v6:1:11821702:11827349:1 gene:B456_001G105300 transcript:KJB08812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCRCGYEICVWCWHHIMDMAEKDDTEGRCPACRSAYDKERIVGTAAKCERMVAEINMERKMKSHKAKTKSSEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRRDYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAVRCIQSVHGFVLDGRPLKACFGTTKYCHAWLRNVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMQRRPGNMLPPPADDYCPNSSASAAKLITKSSPNNTIVTVPKSSPPNGSSGRSIALPAGASWGMRALNQPQPVSLACTNGPPKQNSDTVSSTLPFSSAVTNTNLACSLHTDVIKKPSEEIHPMHTKGKPDLLKPLKQSAGLDCRIATLEKPTSPERVTVSKSLSNQLSCTAAANHDDQGTNIPSTITSTTFGNGGQTLISSGEKAVIISNTDGDTQRLCSDMSTLTLEGNVLNGHSDEVRPSSSSSEHGCSSSPSNQGLRQSHIDYYREPLNTAAAGSSVTSPNGVCVSKEQSVWKTDARIQAEKNTSSEVEEDVLSFDNQRLKDPEVITRSSYVPNSPISLHLSNHSRSHSLQHNEAFGAVNLNADTLLVDDKAGDNSCLQGANVSSLSNGCLDKYISSSIGSDITIEGPPLLSNEEKGKQLGRILANSQSNDANDTGESNIISNILSLDFDTWDESLTSPQNLAKLLGDNDKQANPLKLSSSWKAPNHNQSRFSFARQEDSKYRLADVESPFNIYGQMPQNHPSGQDFTDNRDSYLSKFGVSNGLYSCNFEESDNFSSSPSVFSNKLSGKCHGIYSAPAILS >KJB08814 pep chromosome:Graimondii2_0_v6:1:11821702:11828863:1 gene:B456_001G105300 transcript:KJB08814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCRCGYEICVWCWHHIMDMAEKDDTEGRCPACRSAYDKERIVGTAAKCERMVAEINMERKMKSHKAKTKSSEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRRDYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAVRCIQSVHGFVLDGRPLKACFGTTKYCHAWLRNVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMQRRPGNMLPPPADDYCPNSSASAAKLITKSSPNNTIVTVPKSSPPNGSSGRSIALPAGASWGMRALNQPQPVSLACTNGPPKQNSDTVSSTLPFSSAVTNTNLACSLHTDVIKKPSEEIHPMHTKGKPDLLKPLKQSAGLDCRIATLEKPTSPERVTVSKSLSNQLSCTAAANHDDQGTNIPSTITSTTFGNGGQTLISSGEKAVIISNTDGDTQRLCSDMSTLTLEGNVLNGHSDEVRPSSSSSEHGCSSSPSNQGLRQSHIDYYREPLNTAAAGSSVTSPNGVCVSKEQSVWKTDARIQAEKNTSSEVEEDVLSFDNQRLKDPEVITRSSYVPNSPISLHLSNHSRSHSLQHNEAFGAVNLNADTLLVDDKAGDNSCLQGANVSSLSNGCLDKYISSSIGSDITIEGPPLLSNEEKGKQLGRILANSQSNDANDTGESNIISNILSLDFDTWDESLTSPQNLAKLLGDNDKQANPLKLSSSWKAPNHNQSRFSFARQEDSKYRLADVESPFNIYGQMPQNHPSGQDFTDNRDSYLSKFGVSNGLYSCNFEESDNFSSSPSVFSNKLSAASRAQIPVPPGFSVPSRAPPPGFSSIERVNHAFDATSGNHLMDSQSLLRNSYQAPQSGGISGPGDIEFIDPAILAVGKGRIQGGLNNSGLDMRSNFLQQLGPYENEARFQLLMQRSLSPHQNLRYDVGDSFSSLNDSYGIPSRLMDQSQVNNMSAFAQLNLQQSRNTHNMSNGQWDGWNEVQGGNGLGVAELLRNERLGFNKFYSGYEDSKYRMAASGDLYNRTFGL >KJB08811 pep chromosome:Graimondii2_0_v6:1:11821664:11828920:1 gene:B456_001G105300 transcript:KJB08811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCRCGYEICVWCWHHIMDMAEKDDTEGRCPACRSAYDKERIVGTAAKCERMVAEINMERKMKSHKAKTKSSEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRRDYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAVRCIQSVHGFVLDGRPLKACFGTTKYCHAWLRNVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMQRRPGNMLPPPADDYCPNSSASAAKLITKSSPNNTIVTVPKSSPPNGSSGRSIALPAGASWGMRALNQPQPVSLACTNGPPKQNSDTVSSTLPFSSAVTNTNLACSLHTDVIKKPSEEIHPMHTKGKPDLLKPLKQSAGLDCRIATLEKPTSPERVTVSKSLSNQLSCTAAANHDDQGTNIPSTITSTTFGNGGQTLISSGEKAVIISNTDGDTQRLCSDMSTLTLEGNVLNGHSDEVRPSSSSSEHGCSSSPSNQGLRQSHIDYYREPLNTAAAGSSVTSPNGVCVSKEQSVWKTDARIQAEKNTSSEVEEDVLSFDNQRLKDPEVITRSSYVPNSPISLHLSNHSRSHSLQHNEAFGAVNLNADTLLVDDKAGDNSCLQGANVSSLSNGCLDKYISSSIGSDITIEGPPLLSNEEKGKQLGRILANSQSNDANDTGESNIISNILSLDFDTWDESLTSPQNLAKLLGDNDKQANPLKLSSSWKAPNHNQSRFSFARQEDSKYRLADVESPFNIYGQMPQNHPSGQDFTDNRDSYLSKFGVSNGLYSCNFEESDNFSSSPSVFSNKLSASRAQIPVPPGFSVPSRAPPPGFSSIERVNHAFDATSGNHLMDSQSLLRNSYQAPQSGGISGPGDIEFIDPAILAVGKGRIQGGLNNSGLDMRSNFLQQLGPYENEARFQLLMQRSLSPHQNLRYDVGDSFSSLNDSYGIPSRLMDQSQVNNMSAFAQLNLQQSRNTHNMSNGQWDGWNEVQGGNGLGVAELLRNERLGFNKFYSGYEDSKYRMAASGDLYNRTFGL >KJB08817 pep chromosome:Graimondii2_0_v6:1:11825224:11828863:1 gene:B456_001G105300 transcript:KJB08817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSNSITDGMRWQWSKHHAFLILAHAPNLVVNLYIAQNTIVTVPKSSPPNGSSGRSIALPAGASWGMRALNQPQPVSLACTNGPPKQNSDTVSSTLPFSSAVTNTNLACSLHTDVIKKPSEEIHPMHTKGKPDLLKPLKQSAGLDCRIATLEKPTSPERVTVSKSLSNQLSCTAAANHDDQGTNIPSTITSTTFGNGGQTLISSGEKAVIISNTDGDTQRLCSDMSTLTLEGNVLNGHSDEVRPSSSSSEHGCSSSPSNQGLRQSHIDYYREPLNTAAAGSSVTSPNGVCVSKEQSVWKTDARIQAEKNTSSEVEEDVLSFDNQRLKDPEVITRSSYVPNSPISLHLSNHSRSHSLQHNEAFGAVNLNADTLLVDDKAGDNSCLQGANVSSLSNGCLDKYISSSIGSDITIEGPPLLSNEEKGKQLGRILANSQSNDANDTGESNIISNILSLDFDTWDESLTSPQNLAKLLGDNDKQANPLKLSSSWKAPNHNQSRFSFARQEDSKYRLADVESPFNIYGQMPQNHPSGQDFTDNRDSYLSKFGVSNGLYSCNFEESDNFSSSPSVFSNKLSASRAQIPVPPGFSVPSRAPPPGFSSIERVNHAFDATSGNHLMDSQSLLRNSYQAPQSGGISGPGDIEFIDPAILAVGKGRIQGGLNNSGLDMRSNFLQQLGPYENEARFQLLMQRSLSPHQNLRYDVGDSFSSLNDSYGIPSRLMDQSQVNNMSAFAQLNLQQSRNTHNMSNGQWDGWNEVQGGNGLGVAELLRNERLGFNKFYSGYEDSKYRMAASGDLYNRTFGL >KJB08815 pep chromosome:Graimondii2_0_v6:1:11823466:11828291:1 gene:B456_001G105300 transcript:KJB08815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRYITYSKEEEAVRCIQSVHGFVLDGRPLKACFGTTKYCHAWLRNVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMQRRPGNMLPPPADDYCPNSSASAAKLITKSSPNNTIVTVPKSSPPNGSSGRSIALPAGASWGMRALNQPQPVSLACTNGPPKQNSDTVSSTLPFSSAVTNTNLACSLHTDVIKKPSEEIHPMHTKGKPDLLKPLKQSAGLDCRIATLEKPTSPERVTVSKSLSNQLSCTAAANHDDQGTNIPSTITSTTFGNGGQTLISSGEKAVIISNTDGDTQRLCSDMSTLTLEGNVLNGHSDEVRPSSSSSEHGCSSSPSNQGLRQSHIDYYREPLNTAAAGSSVTSPNGVCVSKEQSVWKTDARIQAEKNTSSEVEEDVLSFDNQRLKDPEVITRSSYVPNSPISLHLSNHSRSHSLQHNEAFGAVNLNADTLLVDDKAGDNSCLQGANVSSLSNGCLDKYISSSIGSDITIEGPPLLSNEEKGKQLGRILANSQSNDANDTGESNIISNILSLDFDTWDESLTSPQNLAKLLGDNDKQANPLKLSSSWKAPNHNQSRFSFARQEDSKYRLADVESPFNIYGQMPQNHPSGQDFTDNRDSYLSKFGVSNGLYSCNFEESDNFSSSPSVFSNKLSASRAQIPVPPGFSVPSRAPPPGFSSIERVNHAFDATSGNHLMDSQSLLRNSYQAPQSGGISGPGDIEFIDPAILAVGKGRIQGGLNNSGLDMRSNFLQQLGPYENEARFQLLMQRSLSPHQNLRYDVGDSFSSLNDSYGIPSRLMDQSQVNNMSAFAQLNLQQSRNTHNMSNGQWDGWNEVQGGNGLGVAELLRNERLGFNKFYSGYEDSKYRMAASGDLYNRTFGL >KJB09537 pep chromosome:Graimondii2_0_v6:1:20327948:20330488:1 gene:B456_001G148300 transcript:KJB09537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLPNVPSMRDPDFMELVWENGQVLIRGLSSKVTQKSSPFSSRSTANGSKDGGVADSTFAHPISGLSSLSKLDRQGVDANIVPVNNFNRLKSSYVPKQLVEDEVPCSSLQQFKGSKEEKDRVNFSILRSNHASSGAMSTPGLAAGAEDLQGNKVRSAPPMSSNIPFGNEGDFMAKRMRPTLPDSEPLKESFPDEQSEAVPNTRLAPDDTAFKGNPDQMVASSSLCSRGASNCPTYTFKRRYEDTDLSKNTTMEEAEGTTKAAPVPRGSKGAKRKRKTEVHNLSERRRRDKINKKMRALKELIPNCNKVDKASMLDEAIEYLKTLQLQVQMMSIGNGVYMPPMMFPLPSAMQHINAQHLGGYSPMALGMGMRMQMGLGCGAPPQFPTSLMTGAPAVPGNPEARFNMLGFPDQMLLRSMSHSPFLSSAASFTPQSVQPPAAVVSQSAAPPAAQVDLLGGANPLSTSKDSYPTH >KJB09535 pep chromosome:Graimondii2_0_v6:1:20327948:20329599:1 gene:B456_001G148300 transcript:KJB09535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLPNVPSMRDPDFMELVWENGQVLIRGLSSKVTQKSSPFSSRSTANGSKDGGVADSTFAHPISGLSSLSKLDRQGVDANIVPVNNFNRLKSSYVPKQLVEDEVPCSSLQQFKGSKEEKDRVNFSILRSNHASSGAMSTPGLAAGAEDLQGNKVRSAPPMSSNIPFGNEGDFMAKRMRPTLPDSEPLKESFPDEQSEAVPNTRLAPDDTAFKGNPDQMVASSSLCSRGASNCPTYTFKRRYEDTDLSKVCIILSIKKYKILQ >KJB09538 pep chromosome:Graimondii2_0_v6:1:20327776:20330488:1 gene:B456_001G148300 transcript:KJB09538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLPNVPSMRDPDFMELVWENGQVLIRGLSSKVTQKSSPFSSRSTANGSKDGGVADSTFAHPISGLSSLSKLDRQGVDANIVPVNNFNRLKSSYVPKQLVEDEVPCSSLQQFKGSKEEKDRVNFSILRSNHASSGAMSTPGLAAGAEDLQGNKVRSAPPMSSNIPFGNEGDFMAKRMRPTLPDSEPLKESFPDEQSEAVPNTRLAPDDTAFKGNPDQMVASSSLCSRGASNCPTYTFKRRYEDTDLSKNTTMEEAEGTTKAAPVPRGSKGAKRKRKTEVHNLSERRRRDKINKKMRALKELIPNCNKVDKASMLDEAIEYLKTLQLQVQMMSIGNGVYMPPMMFPLPSAMQHINAQHLGGYSPMALGMGMRMQMGLGCGAPPQFPTSLMTGAPAVPGNPEARFNMLGFPDQMLLRSMSHSPFLSSAASFTPQSVQPPAAVVSQSAAPPAAQVDLLGGANPLSTSKDSYPTH >KJB09534 pep chromosome:Graimondii2_0_v6:1:20327948:20330657:1 gene:B456_001G148300 transcript:KJB09534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLPNVPSMRDPDFMELVWENGQVLIRGLSSKVTQKSSPFSSRSTANGSKDGGVADSTFAHPISGLSSLSKLDRQGVDANIVPVNNFNRLKSSYVPKQLVEDEVPCSSLQQFKGSKEEKDRVNFSILRSNHASSGAMSTPGLAAGAEDLQGNKVRSAPPMSSNIPFGNEGDFMAKRMRPTLPDSEPLKESFPDEQSEAVPNTRLAPDDTAFKGNPDQMVASSSLCSRGASNCPTYTFKRRYEDTDLSKNTTMEEAEGTTKAAPVPRGSKGAKRKRKTEVHNLSERRRRDKINKKMRALKELIPNCNKVDKASMLDEAIEYLKTLQLQVQMMSIGNGVYMPPMMFPLPSAMQHINAQHLGGYSPMALGMGMRMQMGLGCGAPPQFPTSLMTGAPAVPGNPEARFNMLGFPDQMLLRSMSHSPFLSSAASFTPQSVQPPAAVVSQSAAPPAAQVDLLGGANPLSTSKDSYPTH >KJB09536 pep chromosome:Graimondii2_0_v6:1:20328099:20330488:1 gene:B456_001G148300 transcript:KJB09536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVWENGQVLIRGLSSKVTQKSSPFSSRSTANGSKDGGVADSTFAHPISGLSSLSKLDRQGVDANIVPVNNFNRLKSSYVPKQLVEDEVPCSSLQQFKGSKEEKDRVNFSILRSNHASSGAMSTPGLAAGAEDLQGNKVRSAPPMSSNIPFGNEGDFMAKRMRPTLPDSEPLKESFPDEQSEAVPNTRLAPDDTAFKGNPDQMVASSSLCSRGASNCPTYTFKRRYEDTDLSKNTTMEEAEGTTKAAPVPRGSKGAKRKRKTEVHNLSERRRRDKINKKMRALKELIPNCNKVDKASMLDEAIEYLKTLQLQVQMMSIGNGVYMPPMMFPLPSAMQHINAQHLGGYSPMALGMGMRMQMGLGCGAPPQFPTSLMTGAPAVPGNPEARFNMLGFPDQMLLRSMSHSPFLSSAASFTPQSVQPPAAVVSQSAAPPAAQVDLLGGANPLSTSKDSYPTH >KJB09002 pep chromosome:Graimondii2_0_v6:1:14227338:14228070:-1 gene:B456_001G118000 transcript:KJB09002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGITSLLIVLLLASCVSNLEVAECSRDIDCTFKCKYGGICDDTNTRKCFCWPPKVFMEDVRCINDDDCIKICTPGCKIHICQHGLCLCQCSA >KJB11771 pep chromosome:Graimondii2_0_v6:1:55439989:55442194:-1 gene:B456_001G276400 transcript:KJB11771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVTVSVAKPTLQANAKGFAEFSGLRNSASLTFARKTSDDFQSVIAFQTSALGINNGGYRKGVVEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFEADVKPVGDNAISVDGKVIKVVSDRNPVNLPWKDLGIDLVIEGTGVFVDRDGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADIYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPTLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRESAEKELKGILSVCDEPLVSVDFRCSDVSSTVDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >KJB11770 pep chromosome:Graimondii2_0_v6:1:55439785:55442602:-1 gene:B456_001G276400 transcript:KJB11770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQSMTLVVLSRLLTFSSMTLPLGYLKPMLSLLVITPYLLMARSSRSFLTATLSTSHGRIDLVIEGTGVFVDRDGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADIYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPTLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRESAEKELKGILSVCDEPLVSVDFRCSDVSSTVDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >KJB08497 pep chromosome:Graimondii2_0_v6:1:9209506:9211810:-1 gene:B456_001G086400 transcript:KJB08497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPRVLLLEEDLNPKIRKKKSSANKAPLFPLERNDIKGVQQVQGSVPLRTGKKTSKRNSKNEISPIFQQPERSNSDSLPDSSTSGNEYRALRRKYLLLEEESFTLGKELKDVEDEVKALEDEKLALLDQLVVLEGLMDPSEMQTHGA >KJB08496 pep chromosome:Graimondii2_0_v6:1:9209432:9212191:-1 gene:B456_001G086400 transcript:KJB08496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPRVLLLEEDLNPKIRKKKSSANKAPLFPLERNDIKGVQQVQGSVPLRTGKKTSKRNSKNEISPIFQQPERSNSDSLPDSSTSGNEYRALRRKYLLLEEESFTLGKELKDVEDEVKALEDEKLALLDQLVVLEGLMDPSEMQTHGA >KJB08805 pep chromosome:Graimondii2_0_v6:1:11799452:11800087:1 gene:B456_001G105000 transcript:KJB08805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTEIFSSSREKLKAKSSNMRIHKRKLHDHQVQNNSPMKKQKGVVIDDGSSNPLFCRLCGDQNPTSNIFNETHCGHRFCSDCIRRHIVTKLKDENTIAVGCPEPNCDTSITPEQCESILPGEVIYRRDDALLYSVILPLLVFECPYEDCKAKLIDEVMGGTECECPNCLTIICGECRDFKHEGMGCEKFKEFGNLQ >KJB09409 pep chromosome:Graimondii2_0_v6:1:18729774:18731600:-1 gene:B456_001G140000 transcript:KJB09409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHMTRQEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYNVKAILESNTLPIGGGAAKRLKESQALESSRKREEMIALGSSFQYGSSGSSSSRLQSYPLMQAPFEQQPQPFLTLQNHDINSQYTQDASFHQNYIQTQLQLHQQSGGSYNLHQSSQNTQFYNSYIQNNPALLHGLMNMGCPSPASVVDNSGGSSGSYMGNGIGLASNATSGNAVGSTEDVALVKVDYDMAGGGYGGWSGDSVAGSNPGVFTMWND >KJB09410 pep chromosome:Graimondii2_0_v6:1:18729774:18732971:-1 gene:B456_001G140000 transcript:KJB09410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMNSNNWLSFPLSPTNSSLPTHLHSSQSQQFNLGLVNDSMENPFQTQEWNLINTHYTSEVPKVADFLGVSKSESPSLDLVAFNEIHQPSDSDYSLLPLQNTDVAAAPNNNYDFQENANTLQSLTLSMGSSGKGSACETSGENSTTTNIVEAPPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKELEEMKHMTRQEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYNVKAILESNTLPIGGGAAKRLKESQALESSRKREEMIALGSSFQYGSSGSSSSRLQSYPLMQAPFEQQPQPFLTLQNHDINSQYTQDASFHQNYIQTQLQLHQQSGGSYNLHQSSQNTQFYNSYIQNNPALLHGLMNMGCPSPASVVDNSGGSSGSYMGNGIGLASNATSGNAVGSTEDVALVKVDYDMAGGGYGGWSGDSVAGSNPGVFTMWND >KJB06900 pep chromosome:Graimondii2_0_v6:1:6589034:6593581:1 gene:B456_001G065900 transcript:KJB06900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKPPPFTLGYHMPAEWEPHLQCWMGWPERPDNWRDNAKHAQRVFARVATTISKFEPVTVCASAAQWENARTLLPPNVRVLEMSMNDAWFRDTGPTFVVRKGAVISDNHKHRVAGIDWNFNSWGVGIDDGCYEDWSLDLLVARKILGNERLPRIPHTMILEGGSIHVDGEGTCLTTEECLLNKNRNPNMTKEEIENELKAYLGVEKVIWLPRGLYGDDDTNGHIDNMCCFAKPGVVVLSWIDDEKDPQYERSMEAFTVLSNEKDARGRKLEIVKLHVPGPLYMTDEEADGVVQDGDAKARLPGTRLAASYVNFYIANGAIILPQFGDQKRDDEAVSVLSQTFPNYKVVGIEGAREIVLGGGNIHCITQQHPAI >KJB06899 pep chromosome:Graimondii2_0_v6:1:6588972:6593581:1 gene:B456_001G065900 transcript:KJB06899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKPPPFTLGYHMPAEWEPHLQCWMGWPERPDNWRDNAKHAQRVFARVATTISKFEPVTVCASAAQWENARTLLPPNVRVLEMSMNDAWFRDTGPTFVVRKGAVISDNHKHRVAGIDWNFNSWGGIDDGCYEDWSLDLLVARKILGNERLPRIPHTMILEGGSIHVDGEGTCLTTEECLLNKNRNPNMTKEEIENELKAYLGVEKVIWLPRGLYGDDDTNGHIDNMCCFAKPGVVVLSWIDDEKDPQYERSMEAFTVLSNEKDARGRKLEIVKLHVPGPLYMTDEEADGVVQDGDAKARLPGTRLAASYVNFYIANGAIILPQFGDQKRDDEAVSVLSQTFPNYKVVGIEGAREIVLGGGNIHCITQQHPAI >KJB09501 pep chromosome:Graimondii2_0_v6:1:19847764:19848312:1 gene:B456_001G145900 transcript:KJB09501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLKSLCSVATILVAIAYFCFSGVVVADIALIKSICKQSQDYDFCMTSLGTDPRSETTDVRGLAMVSASLAVIQIQDTLGRIPDIIKQTTDPVAVRRLGVCENDYDGLLGNFQNAFRATSNNAFQDTVKFVRDGAKQVADCHDIFRKDGPIATSPIEGDDVKVFKLAELVLIAIYRLIPKI >KJB08563 pep chromosome:Graimondii2_0_v6:1:9687665:9690202:1 gene:B456_001G089400 transcript:KJB08563 gene_biotype:protein_coding transcript_biotype:protein_coding description:DELLA repressor protein, Gibberellin signalin [Source: Projected from Oryza sativa (Os03g0707600)] MKRDHQEISGSGSKPAESSSIKGKLWEEDPDAGGMDDELLAVLGYKVRSSDMADVAQKLEMLEKVMGTAQEDGISQLGDTVHFNPSDLSGWVQNLLIEFNGPTTTPDPNFNDDSEYDLRAIPGVAAYPPVKSDPGLENTRKRAKTESSSSSSSTTTRPVVLIDSQETGVRLVHTLMACAEAVQQDNLKLADALVKHIGLLASSQTGAMRKVATYFAEALARRIYRIFPPDSLDPSYNDKLQIPFYETCPYLKFAHFTANQAILEAFSMASRVHVIDFGLKQGMQWPALMQALALRHGGPPAFRLTGIGPPQPDNTDALQQVGWKLAQLAERIGIEFEFRGFVANSLADLEPEMLDIRPPEIEVVAVNAVFELHPLLARPGGIEKVVSSIKAMKPKIVTVVEQEANHNGPVFLDRFTEALHYYSTLFDSLEGSGVAPPSQDLAMSELYLGRQICNVVACEGMDRVERHEPLTQWRTRMETAGFSPVHLGSNAYKQASMLLALFASGDGYRVEENNGCLMLGWHTRPLIATSAWRLAGTESGSELTQELS >KJB06294 pep chromosome:Graimondii2_0_v6:1:8661248:8662351:-1 gene:B456_001G081800 transcript:KJB06294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 2 [Source:Projected from Arabidopsis thaliana (AT5G38630) UniProtKB/Swiss-Prot;Acc:Q9SWS1] MVLSVFGVKKRIIRAMLAYKTVPGTKIFKKLVHLTVQCLAFILSTIGVWAALKFHNDKGIENFYSLHSWLGIACLFLFGIQWAAGFATFWYPGGSRNSRAALLPWHVFFGMYTYALAVATATTGILEKLTFLQTNRVISRYSTEALLVNSLGILIVVLGGFVILATLTPVNGKSDVHRGLVE >KJB06295 pep chromosome:Graimondii2_0_v6:1:8660994:8664499:-1 gene:B456_001G081800 transcript:KJB06295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 2 [Source:Projected from Arabidopsis thaliana (AT5G38630) UniProtKB/Swiss-Prot;Acc:Q9SWS1] MCVSSDTEMGVPVIKFPIFMVVRGLGIVITAILFVWTVHYRGGLALISDNKDLIFNVHPVLMVIGLVLLNGEAMLAYKTVPGTKIFKKLVHLTVQCLAFILSTIGVWAALKFHNDKGIENFYSLHSWLGIACLFLFGIQWAAGFATFWYPGGSRNSRAALLPWHVFFGMYTYALAVATATTGILEKLTFLQTNRVISRYSTEALLVNSLGILIVVLGGFVILATLTPVNGKSDVHRGLVE >KJB06293 pep chromosome:Graimondii2_0_v6:1:8660994:8664456:-1 gene:B456_001G081800 transcript:KJB06293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 2 [Source:Projected from Arabidopsis thaliana (AT5G38630) UniProtKB/Swiss-Prot;Acc:Q9SWS1] MCVSSDTEMGVPVIKFPIFMVVRGLGIVITAILFVWTVHYRGGLALISDNKDLIFNVHPVLMVIGLVLLNGEAMLAYKTVPGTKIFKNLHSWLGIACLFLFGIQWAAGFATFWYPGGSRNSRAALLPWHVFFGMYTYALAVATATTGILEKLTFLQTNRVISRYSTEALLVNSLGILIVVLGGFVILATLTPVNGKSDVHRGLVE >KJB07508 pep chromosome:Graimondii2_0_v6:1:2591976:2599239:-1 gene:B456_001G027700 transcript:KJB07508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPIKIDSISIDLIKANGDIDTWKCEHFSIRGYASEMRRKDWKKSWPFASDGGQNTFKEQNVKLPPLLVPKFRWWCCQNCQQETGAEGSINEERNVNNNSSKLKSFGSCPLGDSVASSSGLLQAGKINVDSRKCDAIACLNVNTSTCHPLVSGKSNRKVENADKRVIAASLQLCNPDLEENEVAGVKLLESNVECTVKDATATCETGKSACNQRMALVKGRGSHVKASTVHRVPDAIRTHIDEHSSLEFDDCDYASSESDEVLPGTETGSLHRRKNRKVRLLTELLVKNEDEKTNLTSTEDCPSSTIPDASIHMDSTSASQGQVAFQGNVTSGLARRRKRKMPRDEEWMPGELMSSPNNGHKNLRTFNRDAETAYGITSSDSEGTINRTSSQTPAKSNLVNLKVDRSPILGKKKNKKTQSIDECLSLRLSRENLQKERQKKPGDPTKSDATDIVLYKSNDVSAGSGFNPFTESVAKAEKKSNLLRKKSKMYQDHSQRTSPVPWNNGILREGPTSREDVEVRQIGNVAVPLEVTDDASPEKGLQFSFSNCFPAKRYDTKCSTPIRDGLQSLSSCQGRVLSEYDTGRKDLNMNHAGESTFPTKSQVDAYLGKGMRVDLNSNQNTYRIPFLNERQKHRSPAKVGSCSTMQQMDFSGTSTNGRTEFPDHATVAREHYDQHVEMVSEQGAADDIMEIAELMVKNQYEWCLPGTEIDKQLPETSNTKIQGVDLNKVYGNEEMNLFQETTDKPKAQAKNGRIGKFERGGNVGSSKQKSVDYFSHIDRNQYKISQLERSYPPAGFRPFPLCGEKPLNGVQFSATNSIRQNSAQNCQRLGNMIGQRSSHATVQALGVCNTCQSAPQQNKEVAHLWSSMISNSMPYVHSIPQKCADQIARLDVLSHCPSSLPKGNMSRNDDRNFLNVASNYEKHCRKFDSDALRRTHADYSFSCKHNAAGSVDLYSNETIPAMHLLSLMDAGLQSGAPVDVDGNQRFVKKTSFVPGHRPKELSSMASGGYRTNSMKHLSFDCYGKSHQPESFCECMSAAAAVSPTSFQHGKSFKKAPDFAGQFSMKSREKEKNKCPDSQRQSKNHRSQKTVSSNNGLNTTCGSIPVHSMSKLVLGTSDFTMFPMTLHPKESATKQKHKARTMSGTLFHPKSGSECGICLINRNPADFTVPEAGNMYMIGGEDLKFGREKAPSSGLGKLVGHKHERKLTVRKEHSRRTS >KJB07510 pep chromosome:Graimondii2_0_v6:1:2592723:2596345:-1 gene:B456_001G027700 transcript:KJB07510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVKGRGSHVKASTVHRVPDAIRTHIDEHSSLEFDDCDYASSESDEVLPGTETGSLHRRKNRKVRLLTELLVKNEDEKTNLTSTEDCPSSTIPDASIHMDSTSASQGQVAFQGNVTSGLARRRKRKMPRDEEWMPGELMSSPNNGHKNLRTFNRDAETAYGITSSDSEGTINRTSSQTPAKSNLVNLKVDRSPILGKKKNKKTQSIDECLSLRLSRENLQKERQKKPGDPTKSDATDIVLYKSNDVSAGSGFNPFTESVAKAEKKSNLLRKKSKMYQDHSQRTSPVPWNNGILREGPTSREDVEVRQIGNVAVPLEVTDDASPEKGLQFSFSNCFPAKRYDTKCSTPIRDGLQSLSSCQGRVLSEYDTGRKDLNMNHAGESTFPTKSQVDAYLGKGMRVDLNSNQNTYRIPFLNERQKHRSPAKVGSCSTMQQMDFSGTSTNGRTEFPDHATVAREHYDQHVEMVSEQGAADDIMEIAELMVKNQYEWCLPGTEIDKQLPETSNTKIQGVDLNKVYGNEEMNLFQETTDKPKAQAKNGRIGKFERGGNVGSSKQKSVDYFSHIDRNQYKISQLERSYPPAGFRPFPLCGEKPLNGVQFSATNSIRQNSAQNCQRLGNMIGQRSSHATVQALGVCNTCQSAPQQNKEVAHLWSSMISNSMPYVHSIPQKCADQIARLDVLSHCPSSLPKGNMSRNDDRNFLNVASNYEKHCRKFDSDALRRTHADYSFSCKHNAAGSVDLYSNETIPAMHLLSLMDAGLQSGAPVDVDGNQRFVKKTSFVPGHRPKELSSMASGGYRTNSMKHLSFDCYGKSHQPESFCECMSAAAAVSPTSFQHGKSFKKAPDFAGQFSMKSREKEKNKCPDSQRQSKNHRSQKTVSSNNGLNTTCGSIPVHSMSKLVLGTSDFTMFPMTLHPKESATKQKHKARTMSGTLFHPKSGSECGICLINRNPADFTVPEAGNMYMIGGEDLKFGREKAPSSGLGKLVGHKHERKLTVRKEHSRRTS >KJB07507 pep chromosome:Graimondii2_0_v6:1:2591976:2599239:-1 gene:B456_001G027700 transcript:KJB07507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKKLISRVQRIVLLVPFLMRQVAFQGNVTSGLARRRKRKMPRDEEWMPGELMSSPNNGHKNLRTFNRDAETAYGITSSDSEGTINRTSSQTPAKSNLVNLKVDRSPILGKKKNKKTQSIDECLSLRLSRENLQKERQKKPGDPTKSDATDIVLYKSNDVSAGSGFNPFTESVAKAEKKSNLLRKKSKMYQDHSQRTSPVPWNNGILREGPTSREDVEVRQIGNVAVPLEVTDDASPEKGLQFSFSNCFPAKRYDTKCSTPIRDGLQSLSSCQGRVLSEYDTGRKDLNMNHAGESTFPTKSQVDAYLGKGMRVDLNSNQNTYRIPFLNERQKHRSPAKVGSCSTMQQMDFSGTSTNGRTEFPDHATVAREHYDQHVEMVSEQGAADDIMEIAELMVKNQYEWCLPGTEIDKQLPETSNTKIQGVDLNKVYGNEEMNLFQETTDKPKAQAKNGRIGKFERGGNVGSSKQKSVDYFSHIDRNQYKISQLERSYPPAGFRPFPLCGEKPLNGVQFSATNSIRQNSAQNCQRLGNMIGQRSSHATVQALGVCNTCQSAPQQNKEVAHLWSSMISNSMPYVHSIPQKCADQIARLDVLSHCPSSLPKGNMSRNDDRNFLNVASNYEKHCRKFDSDALRRTHADYSFSCKHNAAGSVDLYSNETIPAMHLLSLMDAGLQSGAPVDVDGNQRFVKKTSFVPGHRPKELSSMASGGYRTNSMKHLSFDCYGKSHQPESFCECMSAAAAVSPTSFQHGKSFKKAPDFAGQFSMKSREKEKNKCPDSQRQSKNHRSQKTVSSNNGLNTTCGSIPVHSMSKLVLGTSDFTMFPMTLHPKESATKQKHKARTMSGTLFHPKSGSECGICLINRNPADFTVPEAGNMYMIGGEDLKFGREKAPSSGLGKLVGHKHERKLTVRKEHSRRTS >KJB07505 pep chromosome:Graimondii2_0_v6:1:2591837:2599270:-1 gene:B456_001G027700 transcript:KJB07505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPIKIDSISIDLIKANGDIDTWKCEHFSIRGYASEMRRKDWKKSWPFASDGGQNTFKEQNVKLPPLLVPKFRWWCCQNCQQETGAEGSINEERNVNNNSSKLKSFGSCPLGDSVASSSGLLQAGKINVDSRKCDAIACLNVNTSTCHPLVSGKSNRKVENADKRVIGQTDILENNINKEIPNYAGLEVIASLMKQALRLDEKAASLQLCNPDLEENEVAGVKLLESNVECTVKDATATCETGKSACNQRMALVKGRGSHVKASTVHRVPDAIRTHIDEHSSLEFDDCDYASSESDEVLPGTETGSLHRRKNRKVRLLTELLVKNEDEKTNLTSTEDCPSSTIPDASIHMDSTSASQGQVAFQGNVTSGLARRRKRKMPRDEEWMPGELMSSPNNGHKNLRTFNRDAETAYGITSSDSEGTINRTSSQTPAKSNLVNLKVDRSPILGKKKNKKTQSIDECLSLRLSRENLQKERQKKPGDPTKSDATDIVLYKSNDVSAGSGFNPFTESVAKAEKKSNLLRKKSKMYQDHSQRTSPVPWNNGILREGPTSREDVEVRQIGNVAVPLEVTDDASPEKGLQFSFSNCFPAKRYDTKCSTPIRDGLQSLSSCQGRVLSEYDTGRKDLNMNHAGESTFPTKSQVDAYLGKGMRVDLNSNQNTYRIPFLNERQKHRSPAKVGSCSTMQQMDFSGTSTNGRTEFPDHATVAREHYDQHVEMVSEQGAADDIMEIAELMVKNQYEWCLPGTEIDKQLPETSNTKIQGVDLNKVYGNEEMNLFQETTDKPKAQAKNGRIGKFERGGNVGSSKQKSVDYFSHIDRNQYKISQLERSYPPAGFRPFPLCGEKPLNGVQFSATNSIRQNSAQNCQRLGNMIGQRSSHATVQALGVCNTCQSAPQQNKEVAHLWSSMISNSMPYVHSIPQKCADQIARLDVLSHCPSSLPKGNMSRNDDRNFLNVASNYEKHCRKFDSDALRRTHADYSFSCKHNAAGSVDLYSNETIPAMHLLSLMDAGLQSGAPVDVDGNQRFVKKTSFVPGHRPKELSSMASGGYRTNSMKHLSFDCYGKSHQPESFCECMSAAAAVSPTSFQHGKSFKKAPDFAGQFSMKSREKEKNKCPDSQRQSKNHRSQKTVSSNNGLNTTCGSIPVHSMSKLVLGTSDFTMFPMTLHPKESATKQKHKARTMSGTLFHPKSGSECGICLINRNPADFTVPEAGNMYMIGGEDLKFGREKAPSSGLGKLVGHKHERKLTVRKEHSRRTS >KJB07511 pep chromosome:Graimondii2_0_v6:1:2592723:2596345:-1 gene:B456_001G027700 transcript:KJB07511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVKGRGSHVKASTVHRVPDAIRTHIDEHSSLEFDDCDYASSESDEVLPGTETGSLHRRKNRKVRLLTELLVKNEDEKTNLTSTEDCPSSTIPDASIHMDSTSASQGQVAFQGNVTSGLARRRKRKMPRDEEWMPGELMSSPNNGHKNLRTFNRDAETAYGITSSDSEGTINRTSSQTPAKSNLVNLKVDRSPILGKKKNKKTQSIDECLSLRLSRENLQKERQKKPGDPTKSDATDIVLYKSNDVSAGSGFNPFTESVAKAEKKSNLLRKKSKMYQDHSQRTSPVPWNNGILREGPTSREDVEVRQIGNVAVPLEVTDDASPEKGLQFSFSNCFPAKRYDTKCSTPIRDGLQSLSSCQGRVLSEYDTGRKDLNMNHAGESTFPTKSQVDAYLGKGMRVDLNSNQNTYRIPFLNERQKHRSPAKVGSCSTMQQMDFSGTSTNGRTEFPDHATVAREHYDQHVEMVSEQGAADDIMEIAELMVKNQYEWCLPGTEIDKQLPETSNTKIQGVDLNKVYGNEEMNLFQETTDKPKAQAKNGRIGKFERGGNVGSSKQKSVDYFSHIDRNQYKISQLERSYPPAGFRPFPLCGEKPLNGVQFSATNSIRQNSAQNCQRLGNMIGQRSSHATVQALGVCNTCQSAPQQNKEVAHLWSSMISNSMPYVHSIPQKCADQIARLDVLSHCPSSLPKGNMSRNDDRNFLNVASNYEKHCRKFDSDALRRTHADYSFSCKHNAAGSVDLYSNETIPAMHLLSLMDAGLQSGAPVDVDGNQRFVKKTSFVPGHRPKELSSMASGGYRTNSMKHLSFDCYGKSHQPESFCECMSAAAAVSPTSFQHGKSFKKAPDFAGQFSMKSREKEKNKCPDSQRQSKNHRSQKTVSSNNGLNTTCGSIPVHSMSKLVLGTSDFTMFPMTLHPKESATKQKHKARTMSGTLFHPKSGSECGICLINRNPADFTVPEAGNMYMIGGEDLKFGREKAPSSGLGKLVGHKHERKLTVRKEHSRRTS >KJB07506 pep chromosome:Graimondii2_0_v6:1:2592723:2596345:-1 gene:B456_001G027700 transcript:KJB07506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVKGRGSHVKASTVHRVPDAIRTHIDEHSSLEFDDCDYASSESDEVLPGTETGSLHRRKNRKVRLLTELLVKNEDEKTNLTSTEDCPSSTIPDASIHMDSTSASQGQVAFQGNVTSGLARRRKRKMPRDEEWMPGELMSSPNNGHKNLRTFNRDAETAYGITSSDSEGTINRTSSQTPAKSNLVNLKVDRSPILGKKKNKKTQSIDECLSLRLSRENLQKERQKKPGDPTKSDATDIVLYKSNDVSAGSGFNPFTESVAKAEKKSNLLRKKSKMYQDHSQRTSPVPWNNGILREGPTSREDVEVRQIGNVAVPLEVTDDASPEKGLQFSFSNCFPAKRYDTKCSTPIRDGLQSLSSCQGRVLSEYDTGRKDLNMNHAGESTFPTKSQVDAYLGKGMRVDLNSNQNTYRIPFLNERQKHRSPAKVGSCSTMQQMDFSGTSTNGRTEFPDHATVAREHYDQHVEMVSEQGAADDIMEIAELMVKNQYEWCLPGTEIDKQLPETSNTKIQGVDLNKVYGNEEMNLFQETTDKPKAQAKNGRIGKFERGGNVGSSKQKSVDYFSHIDRNQYKISQLERSYPPAGFRPFPLCGEKPLNGVQFSATNSIRQNSAQNCQRLGNMIGQRSSHATVQALGVCNTCQSAPQQNKEVAHLWSSMISNSMPYVHSIPQKCADQIARLDVLSHCPSSLPKGNMSRNDDRNFLNVASNYEKHCRKFDSDALRRTHADYSFSCKHNAAGSVDLYSNETIPAMHLLSLMDAGLQSGAPVDVDGNQRFVKKTSFVPGHRPKELSSMASGGYRTNSMKHLSFDCYGKSHQPESFCECMSAAAAVSPTSFQHGKSFKKAPDFAGQFSMKSREKEKNKCPDSQRQSKNHRSQKTVSSNNGLNTTCGSIPVHSMSKLVLGTSDFTMFPMTLHPKESATKQKHKARTMSGTLFHPKSGSECGICLINRNPADFTVPEAGNMYMIGGEDLKFGREKAPSSGLGKLVGHKHERKLTVRKEHSRRTS >KJB07509 pep chromosome:Graimondii2_0_v6:1:2591976:2598225:-1 gene:B456_001G027700 transcript:KJB07509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQALRLDEKAASLQLCNPDLEENEVAGVKLLESNVECTVKDATATCETGKSACNQRMALVKGRGSHVKASTVHRVPDAIRTHIDEHSSLEFDDCDYASSESDEVLPGTETGSLHRRKNRKVRLLTELLVKNEDEKTNLTSTEDCPSSTIPDASIHMDSTSASQGQVAFQGNVTSGLARRRKRKMPRDEEWMPGELMSSPNNGHKNLRTFNRDAETAYGITSSDSEGTINRTSSQTPAKSNLVNLKVDRSPILGKKKNKKTQSIDECLSLRLSRENLQKERQKKPGDPTKSDATDIVLYKSNDVSAGSGFNPFTESVAKAEKKSNLLRKKSKMYQDHSQRTSPVPWNNGILREGPTSREDVEVRQIGNVAVPLEVTDDASPEKGLQFSFSNCFPAKRYDTKCSTPIRDGLQSLSSCQGRVLSEYDTGRKDLNMNHAGESTFPTKSQVDAYLGKGMRVDLNSNQNTYRIPFLNERQKHRSPAKVGSCSTMQQMDFSGTSTNGRTEFPDHATVAREHYDQHVEMVSEQGAADDIMEIAELMVKNQYEWCLPGTEIDKQLPETSNTKIQGVDLNKVYGNEEMNLFQETTDKPKAQAKNGRIGKFERGGNVGSSKQKSVDYFSHIDRNQYKISQLERSYPPAGFRPFPLCGEKPLNGVQFSATNSIRQNSAQNCQRLGNMIGQRSSHATVQALGVCNTCQSAPQQNKEVAHLWSSMISNSMPYVHSIPQKCADQIARLDVLSHCPSSLPKGNMSRNDDRNFLNVASNYEKHCRKFDSDALRRTHADYSFSCKHNAAGSVDLYSNETIPAMHLLSLMDAGLQSGAPVDVDGNQRFVKKTSFVPGHRPKELSSMASGGYRTNSMKHLSFDCYGKSHQPESFCECMSAAAAVSPTSFQHGKSFKKAPDFAGQFSMKSREKEKNKCPDSQRQSKNHRSQKTVSSNNGLNTTCGSIPVHSMSKLVLGTSDFTMFPMTLHPKESATKQKHKARTMSGTLFHPKSGSECGICLINRNPADFTVPEAGNMYMIGGEDLKFGREKAPSSGLGKLVGHKHERKLTVRKEHSRRTS >KJB07767 pep chromosome:Graimondii2_0_v6:1:4264561:4274868:-1 gene:B456_001G044800 transcript:KJB07767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGITTSFPFKTIPTRPTKTIPLLLSSLQSKLFGIHVRNPNSFSSPLHCSATINARYGADSRFSPPGRSKKNDEDQALDLSAIRSDSVRLIDEEQSMIGIVSKSQAIQMAEDAGLDLVILSPDADPPVVRIMDYNKYRYEQQKKKKVQQKKTNRMDLKELKMGYNIDQHDYSVRLKAARKFLNDGDKVKVIVNLKGRENEFRNMAMELIRRFQNDVGEVIH >KJB07766 pep chromosome:Graimondii2_0_v6:1:4264325:4274868:-1 gene:B456_001G044800 transcript:KJB07766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGITTSFPFKTIPTRPTKTIPLLLSSLQSKLFGIHVRNPNSFSSPLHCSATINARYGADSRFSPPGRSKKNDEDQALDLSAIRSDSVRLIDEEQSMIGIVSKSQAIQMAEDAGLDLVILSPDADPPVVRIMDYNKYRYEQQKKKKVQQKKTNRMDLKELKMGYNIDQHDYSVRLKAARKFLNDGDKVKVIVNLKGRENEFRNMAMELIRRFQNDVGELATEESKNFKDRNIFIVLVPNKAVVQKAQQDPGKKKDKPTKNEVSAGV >KJB07768 pep chromosome:Graimondii2_0_v6:1:4268867:4274868:-1 gene:B456_001G044800 transcript:KJB07768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGITTSFPFKTIPTRPTKTIPLLLSSLQSKLFGIHVRNPNSFSSPLHCSATINARYGADSRFSPPGRSKKNDEDQALDLSAIRSDSVRLIDEEQSMIGIVSKSQAIQMAEDAGLDLVILSPDADPPVVRIMDYNKYRYEQQKKKKVQQKKTNRMDLKELKMGQQIRGR >KJB09017 pep chromosome:Graimondii2_0_v6:1:14484431:14487327:-1 gene:B456_001G119400 transcript:KJB09017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGFINQFYEVPDYWKTYVHEVDQEREMWLNSFYKAPLRLPMPAELEYWWSKDETPEFVLINKEPEPDPEDPSKLIYTEDPLILHTPTGRLINYIEDEEHGVRLFWQPPVKEGEEIDPKKAKFLPLGFDEFYGREVIQKRDNIWKRLITAIENALKPGFDKLEKWTEEKKKAGELKMKLIEKELDLIEAELCLEEAIEDMDEELKKKEKEEEKKMEMGLLEDEDTSVVANLEDKAIPKDDVDKVVDEEEDGEEEEEEEDDDDDVTPSSFGSVAGNQKEKKPREPPFSSSSLFASCSLVSVVPSTLRESILALKQRRLPLKSHPSSSVESASDSLKTTDSVSFPLVLRHKGRLRAFKQDHQKYQPQNQYSGKKSQLHSLCKILSCPSTTTRSRVRQPENLNRYELHAAPKKYSYSILSLHIPVCYLESYTDTKRHGASL >KJB09018 pep chromosome:Graimondii2_0_v6:1:14484431:14490211:-1 gene:B456_001G119400 transcript:KJB09018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIGSLIRLYRTIIMIFRTPKQLLKSWIGLRSFSIGSATFSLMEARMYEGTVWDDLAHGKGVYVAEQGLVRYEGEWLQNNMEGHGVVEVDIPDIEPVPGSKLEAKMRAEGKIISRDFMTPEDREWLEMDVEDSIRLADGQYEIPFYESDIWIKHFGRKPEKGRYRYAGQWKHGRMHGCGVYEVNERTIYGRFYFGELLDDLDGCDENISAMHAGIAEVAAAKARMFVNKPDGMVREERGPYGDPQHPYFYEEEDVWMAPGFINQFYEVPDYWKTYVHEVDQEREMWLNSFYKAPLRLPMPAELEYWWSKDETPEFVLINKEPEPDPEDPSKLIYTEDPLILHTPTGRLINYIEDEEHGVRLFWQPPVKEGEEIDPKKAKFLPLGFDEFYGREVIQKRDNIWKRLITAIENALKPGFDKLEKWTEEKKKAGELKMKLIEKELDLIEAELCLEEAIEDMDEELKKKEKEEEKKMEMGLLEDEDTSVVANLEDKAIPKDDVDKVVDEEEDGEEEEEEEDDDDDVTPSSFGSVAGNQKEKKPREPPFSSSSLFASCSLVSVVPSTLRESILALKQRRLPLKSHPSSSVESASDSLKTTDSVSFPLVLRHKGRLRAFKQDHQKYQPQNQYSGKKSQLHSLCKILSCPSTTTRSRVRQPENLNRYELHAAPKKYSYSILSLHIPVCYLESYTDTKRHGASL >KJB09019 pep chromosome:Graimondii2_0_v6:1:14484431:14490839:-1 gene:B456_001G119400 transcript:KJB09019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEEEQQLTESQDPEEDDEEEEQAQQLTESQQQYPDSDSDSDYSSSSSDDYIEESDSETLTYTRPGEAPLETVNTPETNIRRYTRVLESKRIKRIQEEEDEDYVYIEDLWDFPPDPENWREEDLKEYWVDAPLEMTKPGWDPVWADEEDWKIVRDEIKEGRDPGIAPFYVPYRKPYPAIPDNHYDISNPKAVIEELDRIEEFLNWVSYIFPDGSSYEGTVWDDLAHGKGVYVAEQGLVRYEGEWLQNNMEGHGVVEVDIPDIEPVPGSKLEAKMRAEGKIISRDFMTPEDREWLEMDVEDSIRLADGQYEIPFYESDIWIKHFGRKPEKGRYRYAGQWKHGRMHGCGVYEVNERTIYGRFYFGELLDDLDGCDENISAMHAGIAEVAAAKARMFVNKPDGMVREERGPYGDPQHPYFYEEEDVWMAPGFINQFYEVPDYWKTYVHEVDQEREMWLNSFYKAPLRLPMPAELEYWWSKDETPEFVLINKEPEPDPEDPSKLIYTEDPLILHTPTGRLINYIEDEEHGVRLFWQPPVKEGEEIDPKKAKFLPLGFDEFYGREVIQKRDNIWKRLITAIENALKPGFDKLEKWTEEKKKAGELKMKLIEKELDLIEAELCLEEAIEDMDEELKKKEKEEEKKMEMGLLEDEDTSVVANLEDKAIPKDDVDKVVDEEEDGEEEEEEEDDDDDVTPSSFGSVAGNQKEKKPREPPFSSSSLFASCSLVSVVPSTLRESILALKQRRLPLKSHPSSSVESASDSLKTTDSVSFPLVLRHKGRLRAFKQDHQKYQPQNQYSGKKSQLHSLCKILSCPSTTTRSRVRQPENLNRYELHAAPKKYSYSILSLHIPVCYLESYTDTKRHGASL >KJB10931 pep chromosome:Graimondii2_0_v6:1:47001371:47005644:1 gene:B456_001G233100 transcript:KJB10931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFIAMSSVGSFVAPNGLVMDKKLSSSSYRLSSLASISSSSFLSRRNVVLRRSRLPKISAAKELHFNNDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYCSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNGLAGDGATTSVVLAQGLIAEGVKLCQSKREVPSYSSAL >KJB09508 pep chromosome:Graimondii2_0_v6:1:19924568:19926886:1 gene:B456_001G146700 transcript:KJB09508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMIRQLTNLRHLLRKQVNNRRPVYLSSHPLTSLLGSRRLFKAVNLDTLTPTMPSSMDPKGIVVFTSVGRSQYGFDIFTVNLNQTPITGSTSEHRLTDGISINYNAQFVNEDQSIVFISERSGCPRIYLTRRGLSKPEQLPSVPDSLFHDRPIIKSHHLYFISAHEQPEKPFQSWSALYSTELHGKRKIIRLSPYGVVDYSPAISKSGTFVAIASYGSRPWEGDFHELKTDIVVFPTSDPNNRLVVCERGGWPTWSGDSTIFFHRQADDGWWSIFRVQFPENPLELSEFPVLPLPITPPGLHCFTPAAFNDGKRIAVATRRRGKCYRHIEIFDLERKVFHPVTELLNPAFHHYNPFVSSNSESLGYHRFRGKSIEGESRVPHLEPVASPIEDLGMLRINGSFPSFSPDGSLLALNPALDENGGIKVVKSDGSERWTLIKGRVAFCNSWSPTEKYVIYSSLGPIFESTKTTVQIARVTFEPSYLNSDLQEIPCDVKILTREDTGNNAFPSCSPDGKSLVFRSGRSGHKNLYILDAVNGEFNDGIRKLTAGPWIDTMPSWSPNGDLIAFSSNMHNPNNVDAFSIYVIKPDGSDLRRIYAAGPKGSSDVDMERINHVCFSPNGEWLVFAANIGGVTAEPVSFPNQFQPYGDLYVMRLDGSGLRRLTCDGYENGTPTWHFGGELDMRRLCLGNDAGVELTGGFDEPLWITSDFN >KJB06150 pep chromosome:Graimondii2_0_v6:1:2950155:2951355:-1 gene:B456_001G031800 transcript:KJB06150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKIYNIPIRFSVKMITVSRRTFKEAASSSFKERTIKSHAFFSLPKLSIKTIIMLF >KJB11350 pep chromosome:Graimondii2_0_v6:1:52705619:52706789:-1 gene:B456_001G255400 transcript:KJB11350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKSASISKTKENPFSSSPSFIYVSIFSLSIFLNSVVSIFDAFSLKDRVGTVLQLQKGSYFWPDPVLELVLLLAFVEEFLLYYLQRKDTSGIENRYFDLLCVPIAICVVSTMLELRSNRSIYSKSVRGIGLILHGTWFVQMGFSFYTNLMVHGCSLHEKSRGNYTSKCRSHPDYHRARSIATLQFNCHLALLVVLVVGMLSLIGKRNGVVVGASRDGLRYKPLGAEIQLMDSNGGNFTLDSDDDLDSGIKEEDDLVKEKSAVVELGGNGHASHV >KJB08078 pep chromosome:Graimondii2_0_v6:1:6245583:6248278:-1 gene:B456_001G062300 transcript:KJB08078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSNCNSISSSASELPEPDIHILTSGGFRIPAHSSILAMASPVLENIIEQPRKHRSSERVIPILGVPCDAVSALVDYLYSSRCKEEEIAKYGIHLLVLSHVYSVPQLKQRCSKGVSQRLTAENAVDVLQLARLCDAPDLYIKCMKYIAAHFKSVEQTEAWNFMQDHDPWLELEILQFIDEDEKRKRRMRRHMKEQNLYLQLSEAMVCLQHICTEGCTIVGPYDVKPAKKPSPCNKYATCHGVQLLIKHFALCKTRVNGGGCSRCNRMWQLLRLHSSICDQPDSCRVPLCRHKQNIQSPKIMAFKLQNLVLGD >KJB08079 pep chromosome:Graimondii2_0_v6:1:6244149:6248378:-1 gene:B456_001G062300 transcript:KJB08079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSNCNSISSSASELPEPDIHILTSGGFRIPAHSSILAMASPVLENIIEQPRKHRSSERVIPILGVPCDAVSALVDYLYSSRCKEEEIAKYGIHLLVLSHVYSVPQLKQRCSKGVSQRLTAENAVDVLQLARLCDAPDLYIKCMKYIAAHFKSVEQTEAWNFMQDHDPWLELEILQFIDEDEKRKRRMRRHMKEQNLYLQLSEAMVCLQHICTEGCTIVGPYDVKPAKKPSPCNKYATCHGVQLLIKHFALCKTRVNGGGCSRCNRMWQLLRLHSSICDQPDSCRVPLCRQFKVKAQQQKMGDDAKWKLLVKKVLSAKAISSIALATPKRKREDELRETMDTHHQVLKNFRFFQ >KJB08080 pep chromosome:Graimondii2_0_v6:1:6246279:6248330:-1 gene:B456_001G062300 transcript:KJB08080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSNCNSISSSASELPEPDIHILTSGGFRIPAHSSILAMASPVLENIIEQPRKHRSSERVIPILGVPCDAVSALVDYLYSSRCKEEEIAKYGIHLLVLSHVYSVPQLKQRCSKGVSQRLTAENAVDVLQLARLCDAPDLYIKCMKYIAAHFKSVEQTEAWNFMQDHDPWLELEILQFIDEDEKRKRRMRRHMKEQNLYLQLSEAMVCLQHICTEGCTIVGPYDVKPAKKPSPCNKYATCHGVQLLIKHFALCKTRVNGGGCSRCNRMWQLLRLHSSICDQPDSCRVPLCR >KJB08404 pep chromosome:Graimondii2_0_v6:1:8353980:8367454:1 gene:B456_001G079800 transcript:KJB08404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MEIALNILTACLSISELKEQVLEAFASWLRLKHGIPGSVLATHPLVLTALSSLNCDILSEASVNVVSELIHYTASGSSGGVSVQMPLIQVIVPQVMSLQAQLRDSSKDDEDVKAIARLFADMGDSYVELIATGSNEAMMIVNALLEVASLPEFDIASMTFNFWHNLQVILTKRNFDISFGDEASIEAERNRRLQVFRQSYESLVSLVSSRVQYPDDYQNLSYEDLKEFKQTRYAVADVLTDAASVLGGDTTLQILYMKLVEAVSSCRNEQSEWRPAEAALFCIRAISNYVSVVEANVMPQVMDLLSKLPHQPQLLQTVCLIVGAYSKWLDAAPSGFSKLPLVIDILMSGMRTSEDSAAAAALAFRHICDDCRKKLCAYCKQLFHIYYTAVNGEGSFKGSAEDSLHLVEALSMVITELPPEPAKDALEELCSSVVTPLQEVINQGPEVLEKKHARELTVYIDRFAYIFRYVNHPGAVADAVHRLWPIFKAIFDLRAWDMRTMESLCRACKYAVRTSGRFMGITIGAMLEEIQGLYQQHHQPCFLYLSSEVIKIFGSDPSCASYLKNMIEALFKHTTCLLTSIKEFTRRPDIGDDCFLLASRCIRYCPQLFIPSSIFPALVDCAMIGITVQHREASNSILTFLSDIFDLAKSSKGEQFLSIRDSVIIPRGATITRILVAALTGALPSSRLETVAYALLALTRAYGMQALEWAKESVSLIPLAAVKEVERIRFLQALSDAASGADVNVLMIPVEELSDVCRRNRTVQEIVQGALKPLELNLVPVP >KJB08400 pep chromosome:Graimondii2_0_v6:1:8348884:8365435:1 gene:B456_001G079800 transcript:KJB08400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MQSMELQNTVKEALNALYHYPDDAVRMQADRWLQDFQRTIDAWQVADNLLHDATSNPETLIFCSQTLRSKVQRDFEELPSEAFRQLRDSLNNLLKKFHKGPAIVRTQISIAVAALAVHVPAEDWGDGGIVNWLRDGMNAHPEYIPGFLELLTVLPEEAFNYKIAARPERRRQFEKELTSQMEIALNILTACLSISELKEQVLEAFASWLRLKHGIPGSVLATHPLVLTALSSLNCDILSEASVNVVSELIHYTASGSSGGVSVQMPLIQVIVPQVMSLQAQLRDSSKDDEDVKAIARLFADMGDSYVELIATGSNEAMMIVNALLEVASLPEFDIASMTFNFWHNLQVILTKRNFDISFGDEASIEAERNRRLQVFRQSYESLVSLVSSRVQYPDDYQNLSYEDLKEFKQTRYAVADVLTDAASVLGGDTTLQILYMKLVEAVSSCRNEQSEWRPAEAALFCIRAISNYVSVVEANVMPQVMDLLSKLPHQPQLLQTVCLIVGAYSKWLDAAPSGFSKLPLVIDILMSGMRTSEDSAAAAALAFRHICDDCRKKLCAYCKQLFHIYYTAVNGEGSFKGSAEDSLHLVEALSMVITELPPEPAKDALEELCSSVVTPLQEVINQGPEVLEKKHARELTVYIDRFAYIFRYVNHPGAVADAVHRLWPIFKAIFDLRAWDMRTMESLCRACKYAVRTSGRFMGITIGAMLEEIQGLYQQHHQPCFLYLSSEVIKIFGSDPSCASYLKNMIEALFKHTTCLLTSIKKTRHWR >KJB08403 pep chromosome:Graimondii2_0_v6:1:8350202:8367531:1 gene:B456_001G079800 transcript:KJB08403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MYTCIQHSHVADNLLHDATSNPETLIFCSQTLRSKVQRDFEELPSEAFRQLRDSLNNLLKKFHKGPAIVRTQISIAVAALAVHVPAEDWGDGGIVNWLRDGMNAHPEYIPGFLELLTVLPEEAFNYKIAARPERRRQFEKELTSQMEIALNILTACLSISELKEQVLEAFASWLRLKHGIPGSVLATHPLVLTALSSLNCDILSEASVNVVSELIHYTASGSSGGVSVQMPLIQVIVPQVMSLQAQLRDSSKDDEDVKAIARLFADMGDSYVELIATGSNEAMMIVNALLEVASLPEFDIASMTFNFWHNLQVILTKRNFDISFGDEASIEAERNRRLQVFRQSYESLVSLVSSRVQYPDDYQNLSYEDLKEFKQTRYAVADVLTDAASVLGGDTTLQILYMKLVEAVSSCRNEQSEWRPAEAALFCIRAISNYVSVVEANVMPQVMDLLSKLPHQPQLLQTVCLIVGAYSKWLDAAPSGFSKLPLVIDILMSGMRTSEDSAAAAALAFRHICDDCRKKLCAYCKQLFHIYYTAVNGEGSFKGSAEDSLHLVEALSMVITELPPEPAKDALEELCSSVVTPLQEVINQGPEVLEKKHARELTVYIDRFAYIFRYVNHPGAVADAVHRLWPIFKAIFDLRAWDMRTMESLCRACKYAVRTSGRFMGITIGAMLEEIQGLYQQHHQPCFLYLSSEVIKIFGSDPSCASYLKNMIEALFKHTTCLLTSIKEFTRRPDIGDDCFLLASRCIRYCPQLFIPSSIFPALVDCAMIGITVQHREASNSILTFLSDIFDLAKSSKGEQFLSIRDSVIIPRGATITRILVAALTGALPSSRLETVAYALLALTRAYGMQALEWAKESVSLIPLAAVKEVERIRFLQALSDAASGADVNVLMIPVEELSDVCRRNRTVQEIVQGALKPLELNLVPVP >KJB08402 pep chromosome:Graimondii2_0_v6:1:8348884:8367531:1 gene:B456_001G079800 transcript:KJB08402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MQSMELQNTVKEALNALYHYPDDAVRMQADRWLQDFQRTIDAWQVADNLLHDATSNPETLIFCSQTLRSKVQRDFEELPSEAFRQLRDSLNNLLKKFHKGPAIVRTQISIAVAALAVHVPAEDWGDGGIVNWLRDGMNAHPEYIPGFLELLTVLPEEAFNYKIAARPERRRQFEKELTSQMEIALNILTACLSISELKEQVLEAFASWLRLKHGIPGSVLATHPLVLTALSSLNCDILSEASVNVVSELIHYTASGSSGGVSVQMPLIQVIVPQVMSLQAQLRDSSKDDEDVKAIARLFADMGDSYVELIATGSNEAMMIVNALLEVASLPEFDIASMTFNFWHNLQVILTKRNFDISFGDEASIEAERNRRLQVFRQSYESLVSLVSSRVQYPDDYQNLSYEDLKEFKQTRYAVADVLTDAASVLGGDTTLQILYMKLVEAVSSCRNEQSEWRPAEAALFCIRAISNYVSVVEANVMPQVMDLLSKLPHQPQLLQTVCLIVGAYSKWLDAAPSGFSKLPLVIDILMSGMRTSEDSAAAAALAFRHICDDCRKKLCAYCKQLFHIYYTAVNGEGSFKGSAEDSLHLVEALSMVITELPPEPAKDALEELCSSVVTPLQEVINQGPEVLEKKHARELTVYIDRFAYIFRYVNHPGAVADAVHRLWPIFKAIFDLRAWDMRTMESLCRACKYAVRTSGRFMGITIGAMLEEIQGLYQQHHQPCFLYLSSEVIKIFGSDPSCASYLKNMIEALFKHTTCLLTSIKEFTRRPDIGDDCFLLASRCIRYCPQLFIPSSIFPALVDCAMIGITVQHREASNSILTFLSDIFDLAKSSKGEQFLSIRDSVIIPRGATITRILVAALTGALPSSRLETVAYALLALTRAYGMQALEWAKESVSLIPLAAVKEVERIRFLQALSDAASGADVNVLMIPVEELSDVCRRNRTVQEIVQGALKPLELNLVPVP >KJB08401 pep chromosome:Graimondii2_0_v6:1:8348884:8367454:1 gene:B456_001G079800 transcript:KJB08401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MQSMELQNTVKEALNALYHYPDDAVRMQADRWLQDFQRTIDAWQVADNLLHDATSNPETLIFCSQTLRSKVQRDFEELPSEAFRQLRDSLNNLLKKFHKGPAIVRTQISIAVAALAVHVPAEDWGDGGIVNWLRDGMNAHPEYIPGFLELLTVLPEEAFNYKIAARPERRRQFEKELTSQMEIALNILTACLSISELKEQVLEAFASWLRLKHGIPGSVLATHPLVLTALSSLNCDILSEASVNVVSELIHYTASGSSGGVSVQMPLIQVIVPQVMSLQAQLRDSSKDDEDVKAIARLFADMGDSYVELIATGSNEAMMIVNALLEVASLPEFDIASMTFNFWHNLQVILTKRNFDISFGDEASIEAERNRRLQVFRQSYESLVSLVSSRVQYPDDYQNLSYEDLKEFKQTRYAVADVLTDAASVLGGDTTLQILYMKLVEAVSSCRNEQSEWRPAEAALFCIRAISNYVSVVEANVMPQVMDLLSKLPHQPQLLQTVCLIVGAYSKWLDAAPSGFSKLPLVIDILMSGMRTSEDSAAAAALAFRHICDDCRKKLCAYCKQLFHIYYTAVNGEGSFKGSAEDSLHLVEALSMVITELPPEPAKDALEELCSSVVTPLQEVINQGPEVLEKKHARELTVYIDRFAYIFRYVNHPGAVADAVHRLWPIFKAIFDLRAWDMRTMESLCRACKYAVRTSGRFMGITIGAMLEEIQGLYQQHHQPCFLYLSSEVIKIFGSDPSCASYLKNMIEALFKHTTCLLTSIKFTRRPDIGDDCFLLASRCIRYCPQLFIPSSIFPALVDCAMIGITVQHREASNSILTFLSDIFDLAKSSKGEQFLSIRDSVIIPRGATITRILVAALTGALPSSRLETVAYALLALTRAYGMQALEWAKESVSLIPLAAVKEVERIRFLQALSDAASGADVNVLMIPVEELSDVCRRNRTVQEIVQGALKPLELNLVPVP >KJB08399 pep chromosome:Graimondii2_0_v6:1:8348884:8365435:1 gene:B456_001G079800 transcript:KJB08399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MQSMELQNTVKEALNALYHYPDDAVRMQADRWLQDFQRTIDAWQVADNLLHDATSNPETLIFCSQTLRSKVQRDFEELPSEAFRQLRDSLNNLLKKFHKGPAIVRTQISIAVAALAVHVPAEDWGDGGIVNWLRDGMNAHPEYIPGFLELLTVLPEEAFNYKIAARPERRRQFEKELTSQMEIALNILTACLSISELKEQVLEAFASWLRLKHGIPGSVLATHPLVLTALSSLNCDILSEASVNVVSELIHYTASGSSGGVSVQMPLIQVIVPQVMSLQAQLRDSSKDDEDVKAIARLFADMGDSYVELIATGSNEAMMIVNALLEVASLPEFDIASMTFNFWHNLQVILTKRNFDISFGDEASIEAERNRRLQVFRQSYESLVSLVSSRVQYPDDYQNLSYEDLKEFKQTRYAVADVLTDAASVLGGDTTLQILYMKLVEAVSSCRNEQSEWRPAEAALFCIRAISNYVSVVEANVMPQVMDLLSKLPHQPQLLQTVCLIVGAYSKWLDAAPSGFSKLPLVIDILMSGMRTSEDSAAAAALAFRHICDDCRKKLCAYCKQLFHIYYTAVNGEGSFKGSAEDSLHLVEALSMVITELPPEPAKDALEELCSSVVTPLQEVINQGPEVLEKKHARELTVYIDRFAYIFRYVNHPGAVADAVHRLWPIFKAIFDLRAWDMRTMESLCRACKYAVRTSGRFMGITIGAMLEEIQGLYQQHHQPCFLYLSSEVIKIFGSDPSCASYLKNMIEALFKHTTCLLTSIKEFTRRPDIGDDCFLLASRCIRYCPQLFIPSSIFPALVDCAMIGITVQHRYASFLPTACIFA >KJB06931 pep chromosome:Graimondii2_0_v6:1:46068198:46072658:1 gene:B456_001G226200 transcript:KJB06931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEGKKFGGGPRELSGAVDLISHYKLLPHHDFFCKRPLPVSISDTHYLHNVVGDTEIRKGEGMQLDQLIQNTSHNRDSNVRIQPFDLDILKEAFQLSETTPVELSVSEKGIPTIAGKSKSEAKDKDRKHKKHKDRDKDKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGADHSKKHHEKKRKHDGDEDLSDVHRHKKSKHKSSKIDEVGAIKVAG >KJB06937 pep chromosome:Graimondii2_0_v6:1:46068218:46072658:1 gene:B456_001G226200 transcript:KJB06937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEGKKFGGGPRELSGAVDLISHYKLLPHHDFFCKRPLPVSISDTHYLHNVVGDTEIRKGEGMQLDQLIQNTSHNRDSNVRIQPFDLDILKEAFQLSETTPVELSVSEKGIPTIAGKSKSEAKDKDRKHKKHKDRDKDKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGADHSKKHHEKKRKHDGDEDLSDVHRHKKT >KJB06939 pep chromosome:Graimondii2_0_v6:1:46068289:46072658:1 gene:B456_001G226200 transcript:KJB06939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEGKKFGGGPRELSGAVDLISHYKLLPHHDFFCKRPLPVSISDTHYLHNVVGDTEIRKGEGMQLDQLIQNTSHNRDSNVRIQPFDLDILKEAFQLSETTPVELSVGFLLLLGSQRVKLKIKTGSIKSTRTEIRTRIKSIRSTSTVIKIKIEVKIKTRKKRRIEVGIMILVLITQKSTMKRKGSMMEMKILVMFTDTKKVSIKAQKLMKLVQLK >KJB06938 pep chromosome:Graimondii2_0_v6:1:46068185:46072658:1 gene:B456_001G226200 transcript:KJB06938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEGKKFGGGPRELSGAVDLISHYKLLPHHDFFCKRPLPVSISDTHYLHNVVGDTEIRKGEGMQLDQLIQNTSHNRDSNVRIQPFDLDILKEAFQLSETTPVELSVSEKGIPTIAGKSKSEAKDKDRKHKKHKDRDKDKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGADHSKKHHEKKRKHDGDEDLSDVHRHKKSKHKSSKIDEVGAIKVAG >KJB06933 pep chromosome:Graimondii2_0_v6:1:46068132:46072815:1 gene:B456_001G226200 transcript:KJB06933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEGKKFGGGPRELSGAVDLISHYKLLPHHDFFCKRPLPVSISDTHYLHNVVGDTEIRKGEGMQLDQLIQNTSHNRDSNVRIQPFDLDILKEAFQLSETTPVELSVSEKGIPTIAGKSKSEAKDKDRKHKKHKDRDKDKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGADHSKKHHEKKRKHDGDEDLSDVHRHKKSKHKSSKIDEVGAIKVAG >KJB06934 pep chromosome:Graimondii2_0_v6:1:46068198:46072658:1 gene:B456_001G226200 transcript:KJB06934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDQLIQNTSHNRDSNVRIQPFDLDILKEAFQLSETTPVELSVSEKGIPTIAGKSKSEAKDKDRKHKKHKDRDKDKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGADHSKKHHEKKRKHDGDEDLSDVHRHKKSKHKSSKIDEVGAIKVAG >KJB06940 pep chromosome:Graimondii2_0_v6:1:46068318:46072658:1 gene:B456_001G226200 transcript:KJB06940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDQLIQNTSHNRDSNVRIQPFDLDILKEAFQLSETTPVELSVSEKGIPTIAGKSKSEAKDKDRKHKKHKDRDKDKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGADHSKKHHEKKRKHDGDEDLSDVHRHKKSKHKSSKIDEVGAIKVAG >KJB06932 pep chromosome:Graimondii2_0_v6:1:46068218:46072135:1 gene:B456_001G226200 transcript:KJB06932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEGKKFGGGPRELSGAVDLISHYKLLPHHDFFCKRPLPVSISDTHYLHNVVGDTEIRKGEGMQLDQLIQNTSHNRDSNVRIQPFDLDILKEAFQLSETTPVELSVSEKGIPTIAGKSKSEAKDKDRKHKKHKDRDKDKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGADHSKKHHEKKRKHDGDEDLSDVHRHKKSKHKSSKIDEVGAIKVAG >KJB06936 pep chromosome:Graimondii2_0_v6:1:46068218:46072658:1 gene:B456_001G226200 transcript:KJB06936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEGKKFGGGPRELSGAVDLISHYKLLPHHDFFCKRPLPVSISDTHYLHNVVGDTEIRKGEGMQLDQLIQNTSHNRDSNVRIQPFDLDILKEAFQLSETTPVELSVSEKGIPTIAGKSKSEAKDKDRKHKKHKDRDKDKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGADHSKKHHEKKRKHDGDEDLSDVHRHKKSKHKSSKIDEVGAIKVAG >KJB06935 pep chromosome:Graimondii2_0_v6:1:46068197:46072826:1 gene:B456_001G226200 transcript:KJB06935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEGKKFGGGPRELSGAVDLISHYKLLPHHDFFCKRPLPVSISDTHYLHNVVGDTEIRKGEGMQLDQLIQNTSHNRDSNVRIQPFDLDILKEAFQLSETTPVELSVSEKGIPTIAGKSKSEAKDKDRKHKKHKDRDKDKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGADHSKKHHEKKRKHDGDEDLSDVHRHKKSKHKSSKIDEVGAIKVAG >KJB06329 pep chromosome:Graimondii2_0_v6:1:4089291:4091878:1 gene:B456_001G043100 transcript:KJB06329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFGVHLFFLLFLAAIASVTVQAFTGTYGINYGRIANNILSPDDVVTLLRAAKIKNVRIYDFDQSVLKAFSGTGLELVVGLPNENLRDVSANADHAMNWVKDNVLAYLPDTHIRGIAIGNEVLGSSDEFSGFLLGAVKNVYNALDKLKLSHLVQITTAHSQAVFADSFPPSSCVFKDNVVQYMKPLLEFFSQIGSPFCLNAYPFLAYMSNKDQIDINYALFLPTKGADDPKTKLHYDNLLDAQIDAAYAALEDAGYGKMEVIVTETGWASHGDENEAAATTNNARTYNYNLRKRLAKMKGTPLRPKSVLKVYVFAIFNENLKPGPTSERNFGLFKPDGSISYDIGFHGFKSSSADSSLLSLKVQGFLPPLTYILTFCRSVSSILRQNKAYL >KJB06328 pep chromosome:Graimondii2_0_v6:1:4089193:4092355:1 gene:B456_001G043100 transcript:KJB06328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFGVHLFFLLFLAAIASVTVQAFTGTYGINYGRIANNILSPDDVVTLLRAAKIKNVRIYDFDQSVLKAFSGTGLELVVGLPNENLRDVSANADHAMNWVKDNVLAYLPDTHIRGIAIGNEVLGSSDEFSGFLLGAVKNVYNALDKLKLSHLVQITTAHSQAVFADSFPPSSCVFKDNVVQYMKPLLEFFSQIGSPFCLNAYPFLAYMSNKDQIDINYALFLPTKGADDPKTKLHYDNLLDAQIDAAYAALEDAGYGKMEVIVTETGWASHGDENEAAATTNNARTYNYNLRKRLAKMKGTPLRPKSVLKVYVFAIFNENLKPGPTSERNFGLFKPDGSISYDIGFHGFKSSSADSSLLSLKEIRASSWSGSYSIILTMATALLLVL >KJB06327 pep chromosome:Graimondii2_0_v6:1:4089003:4092393:1 gene:B456_001G043100 transcript:KJB06327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFGVHLFFLLFLAAIASVTVQAFTGTYGINYGRIANNILSPDDVVTLLRAAKIKNVRIYDFDQSVLKAFSGTGLELVVGLPNENLRDVSANADHAMNWVKDNVLAYLPDTHIRGIAIGNEVLGSSDEFSGFLLGAIDAAYAALEDAGYGKMEVIVTETGWASHGDENEAAATTNNARTYNYNLRKRLAKMKGTPLRPKSVLKVYVFAIFNENLKPGPTSERNFGLFKPDGSISYDIGFHGFKSSSADSSLLSLKEIRASSWSGSYSIILTMATALLLVL >KJB07588 pep chromosome:Graimondii2_0_v6:1:2907629:2916487:-1 gene:B456_001G031400 transcript:KJB07588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKIRVYESGRTEQDYDPDLPPELAAATGQEISVDTANLGRSNGGQDDLTKGTARVRPPLPTGRAIQVEGGSGERLPSIDTRPPRIRDSDAIIEIVCQDTLDDDSSTGNAVEDRTENDMPREDLRGDLAPEADIVHEDTEYVNGFPDAYSSRKRELVERTMNSVRTNVPEDDGILPVCGETSRPYGPGFRSQSPMYHNGNFGSPRDERHRQGRARERSPHMTPSRGKWDKLSDTHSHEEESVESMDQKSPLLVKDDVDDELEPADRSPVTEKDELINGPRKDESPHDPKKNEEVSSQVEQQKLQELEGGEDFMAARISENSEARSGSSRDYQKWRDGAEDEVVQGGRSSRIPIVKKHMDEHDQNFRRKDRDARCEFERSQIVGKPGEDSYPVRDYDTSSPHSLHIKMEGLDRRRESDNIDVTWQQREDDFYSKKSRAEDLRKRERDEMGSRNRAKVRESERSDRDDYPHSRKQLDNGIYKVHHDKDVSARHRERDDNLKSRYEAADDYHSKRRKDEEYVRRDIADKEETLHGNRESSSSRRKRERDEILDPRKRVEQQRTRDTFDHHSVRHKDEIWLHRERVERQRERDDWNRLKQSHDESLSKREREEGRGTVRSGRGSEDKAWVGHNRAKDEHKVSGKEYQLKETARHSEQMKRRDRNDDESFSRHRGHEDSNARGHQFSNDERKSRQERSSTRSDHVVNASDSQRGHEKKHKENTRKNRESEGGDPISLGSAKRNQEDLSGHYNETGLRSVEKNENPVHYNSSKKHRDDPSSDDEQQESKRGRSKLERWTSHKERDYSINSKSSASSKFKEIEKIDNVDAAESNKTLDEPGKLVEPAENHHPLSDNKTAGAPETKETDTRPLDDRHLDTVEKLKKRSERFKLPMPKEKDAMAIKKMESEALPSAKNETPADSEVKPERPARKRRWISK >KJB07587 pep chromosome:Graimondii2_0_v6:1:2907591:2916546:-1 gene:B456_001G031400 transcript:KJB07587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDEFGDLYTDVLKPFSSAAAPPLQPSTPTHFHRPIDSNPQSQGGDDDDILFGPSRSIPDTQNLAPLKFHPVLPPAVAAAAALGSIPNSAPEPMVLDSGKEVVFDIEEGGSKEIEDSGLDDPIIPGLTDSVRQEDSGRNDDGGDGGLRGSGQVEAEADGEGDDWDSDSEDDLQIVLNDNNHGPMAMERGVIGEDDDDEDGDPLVIVADADANQGMEEQDWGEEGGQTADGERKEGGEVGKVGTAGSGGGGVVAPKIGYSSHGFHPFHSQFKYVRPGAAPMPGATAGGPGGAPGQVRPGMSAMVGRGRGDWRPPGMKAGPSMQKGFHPNFGMPGWGNNTVGRGFGGGLDFTLPSHKTIFDVDIDSFEEKPWKYPGVDLSDFFNFSLNEESWKDYCKQLEQRRLETTMQSKIRVYESGRTEQDYDPDLPPELAAATGQEISVDTANLGRSNGGQDDLTKGTARVRPPLPTGRAIQVEGGSGERLPSIDTRPPRIRDSDAIIEIVCQDTLDDDSSTGNAVEDRTENDMPREDLRGDLAPEADIVHEDTEYVNGFPDAYSSRKRELVERTMNSVRTNVPEDDGILPVCGETSRPYGPGFRSQSPMYHNGNFGSPRDERHRQGRARERSPHMTPSRGKWDKLSDTHSHEEESVESMDQKSPLLVKDDVDDELEPADRSPVTEKDELINGPRKDESPHDPKKNEEVSSQVEQQKLQELEGGEDFMAARISENSEARSGSSRDYQKWRDGAEDEVVQGGRSSRIPIVKKHMDEHDQNFRRKDRDARCEFERSQIVGKPGEDSYPVRDYDTSSPHSLHIKMEGLDRRRESDNIDVTWQQREDDFYSKKSRAEDLRKRERDEMGSRNRAKVRESERSDRDDYPHSRKQLDNGIYKVHHDKDVSARHRERDDNLKSRYEAADDYHSKRRKDEEYVRRDIADKEETLHGNRESSSSRRKRERDEILDPRKRVEQQRTRDTFDHHSVRHKDEIWLHRERVERQRERDDWNRLKQSHDESLSKREREEGRGTVRSGRGSEDKAWVGHNRAKDEHKVSGKEYQLKETARHSEQMKRRDRNDDESFSRHRGHEDSNARGHQFSNDERKSRQERSSTRSDHVVNASDSQRGHEKKHKENTRKNRESEGGDPISLGSAKRNQEDLSGHYNETGLRSVEKNENPVHYNSSKKHRDDPSSDDEQQESKRGRSKLERWTSHKERDYSINSKSSASSKFKEIEKIDNVDAAESNKTLDEPGKLVEPAENHHPLSDNKTAGAPETKETDTRPLDDRHLDTVEKLKKRSERFKLPMPKEKDAMAIKKMESEALPSAKNETPADSEVKPERPARKRRWISK >KJB07589 pep chromosome:Graimondii2_0_v6:1:2909783:2916487:-1 gene:B456_001G031400 transcript:KJB07589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDEFGDLYTDVLKPFSSAAAPPLQPSTPTHFHRPIDSNPQSQGGDDDDILFGPSRSIPDTQNLAPLKFHPVLPPAVAAAAALGSIPNSAPEPMVLDSGKEVVFDIEEGGSKEIEDSGLDDPIIPGLTDSVRQEDSGRNDDGGDGGLRGSGQVEAEADGEGDDWDSDSEDDLQIVLNDNNHGPMAMERGVIGEDDDDEDGDPLVIVADADANQGMEEQDWGEEGGQTADGERKEGGEVGKVGTAGSGGGGVVAPKIGYSSHGFHPFHSQFKYVRPGAAPMPGATAGGPGGAPGQVRPGMSAMVGRGRGDWRPPGMKAGPSMQKGFHPNFGMPGWGNNTVGRGFGGGLDFTLPSHKTIFDVDIDSFEEKPWKYPGVDLSDFFNFSLNEESWKDYCKQLEQRRLETTMQSKIRVYESGRTEQDYDPDLPPELAAATGQEISVDTANLGRSNGGQDDLTKGTARVRPPLPTGRAIQVEGGSGERLPSIDTRPPRIRDSDAIIEIVCQDTLDDDSSTGNAVEDRTENDMPREDLRGDLAPEADIVHEDTEYVNGFPDAYSSRKRELVERTMNSVRTNVPEDDGILPVCGETSRPYGPGFRSQSPMYHNGNFGSPRDERHRQGRARERSPHMTPSRGKWDKLSDTHSHEEESVESMDQKSPLLVKDDVDDELEPADRSPVTEKDELINGPRKDESPHDPKKNEEVSSQVEQQKLQELEGGEDFMAARISENSEARSGSSRDYQKWRDGAEDEVVQGGRSSRIPIVKKHMDEHDQNFRRKDRDARCEFERSQIVGKPGEDSYPVRDYDTSSPHSLHIKMEGLDRRRESDNIDVTWQQREDDFYSKKSRAEDLRKRERDEMGSRNRAKVRESERSDRDDYPHSRKQLDNGIYKVHHDKDVSARHRERDDNLKSRYEAADDYHSKRRKDEEYVRRDIADKEETLHGNRESSSSRRKRERDEILDPRKRVEQQRTRDTFDHHSVRHKDEIWLHRERVERQRERDDWNRLKQSHDESLSKREREEGRGTVRSGRGSEDKAWVGHNRAKDEHKVSGKEYQLKETARHSEQMKRRDRNDDESFSRHRGHEDSNARGHQFSNDERKSRQERSSTRSDHVVNASDSQRGHEKKHKENTRKNRESEGGDPISLGSAKRNQEDLSGHYNETVCFIDSRR >KJB11121 pep chromosome:Graimondii2_0_v6:1:48144644:48153508:-1 gene:B456_001G241500 transcript:KJB11121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSWFCCNFIHGCLAKSGNALIEFLKVLNDSNGRITDWNDNLVSPCFSWSHVTCRNGNVISLNLASNGFSGTLSPSIKKLKFLVNLELQNNNLSGLLPDFLGEMAHLEILNLANNKFSGSIPENWGQLSNLKNLDLSSNNLTGRVPRNLFLVPRINFNGTHLACGSSLEQPCVSTSTFPVSTSRSKIRIVVTSASCGALILLSLGAFFVSRYYQAHKFKRDVFVDVIGEDDLKISFGQIRRFSWREIQLATDNFNEGNIIGQGGFGRVYKGVLSDNTKVAVKRLADYYSPGGEAAFQREVQLISVAVHKNLLRLIGFCTTSSERILVYPFMQNLSVAYQLRDLKPGSKGLDWPMRKRIAFGAAHGLEYLHEHCNPKIIHRDLKAANILLDDNFEAVLGDFGLAKLVDTKLTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGIMLLELVTGQRAVDFARLEEEEDVLLLDHIKKLLRENRVDDIVDGNLKIYDAKEVETIVRVALLCTQSSPEDRPTMAEVVKMLDGVGLAVRWAEWEELEQVRNQEFMRFSHQFTWGEDSTVDQEAIQLSRAR >KJB11122 pep chromosome:Graimondii2_0_v6:1:48144839:48153285:-1 gene:B456_001G241500 transcript:KJB11122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSWFCCNFIHGCLAKSVFKGFERLLGPSSSENKAKSSNNEAFPKCLPLKHITIWLLLLFVATTYSSKEPDIEGNALIEFLKVLNDSNGRITDWNDNLVSPCFSWSHVTCRNGNVISLNLASNGFSGTLSPSIKKLKFLVNLELQNNNLSGLLPDFLGEMAHLEILNLANNKFSGSIPENWGQLSNLKNLDLSSNNLTGRVPRNLFLVPRINFNGTHLACGSSLEQPCVSTSTFPVSTSRSKIRIVVTSASCGALILLSLGAFFVSRYYQAHKFKRDVFVDVIGEDDLKISFGQIRRFSWREIQLATDNFNEGNIIGQGGFGRVYKGVLSDNTKVAVKRLADYYSPGGEAAFQREVQLISVAVHKNLLRLIGFCTTSSERILVYPFMQNLSVAYQLRDLKPGSKGLDWPMRKRIAFGAAHGLEYLHEHCNPKIIHRDLKAANILLDDNFEAVLGDFGLAKLVDTKLTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGIMLLELVTGQRAVDFARLEEEEDVLLLDHIKKLLRENRVDDIVDGNLKIYDAKEVETIVRVALLCTQSSPEDRPTMAEVVKMLDGVGLAVRWAEWEELEQVRNQEFMRFSHQFTWGEDSTVDQEAIQLSRAR >KJB11120 pep chromosome:Graimondii2_0_v6:1:48144604:48147272:-1 gene:B456_001G241500 transcript:KJB11120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLEILNLANNKFSGSIPENWGQLSNLKNLDLSSNNLTGRVPRNLFLVPRINFNGTHLACGSSLEQPCVSTSTFPVSTSRSKIRIVVTSASCGALILLSLGAFFVSRYYQAHKFKRDVFVDVIGEDDLKISFGQIRRFSWREIQLATDNFNEGNIIGQGGFGRVYKGVLSDNTKVAVKRLADYYSPGGEAAFQREVQLISVAVHKNLLRLIGFCTTSSERILVYPFMQNLSVAYQLRDLKPGSKGLDWPMRKRIAFGAAHGLEYLHEHCNPKIIHRDLKAANILLDDNFEAVLGDFGLAKLVDTKLTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGIMLLELVTGQRAVDFARLEEEEDVLLLDHIKKLLRENRVDDIVDGNLKIYDAKEVETIVRVALLCTQSSPEDRPTMAEVVKMLDGVGLAVRWAEWEELEQVRNQEFMRFSHQFTWGEDSTVDQEAIQLSRAR >KJB06909 pep chromosome:Graimondii2_0_v6:1:6604295:6608629:-1 gene:B456_001G066100 transcript:KJB06909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFGLLSSVNWDSEFYPQLTDFVYLPFFALFFLSVRLFLDNFIFENLAKRLVLGKGHTLHDVQKHDNRKKLNKFKESAWKCVYFFSSELLSIYVAYGEPWLTNSKYFWEGPGKQVWPEQKIKLKLKAYYTYAGGFYTYALFALIFWETRRSDFLVTMVHHIATIILIVLSYVCRFARVGIVTLALHEGSDVFLETAKMSKYSGLEWLASVAFVLFALSWTILRVLLFPFWIIRSTTYEVLLTLDKEKHMVDGSIYYYLFNTLLFCLLVVHIYWWILMIRVIMRQVKSGGQVDDVRSDSEGEDEHED >KJB06910 pep chromosome:Graimondii2_0_v6:1:6604332:6608525:-1 gene:B456_001G066100 transcript:KJB06910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFGLLSSVNWDSEFYPQLTDFVYLPFFALFFLSVRLFLDNFIFENLAKRLVLGKGHTLHDVQKHDNRKKLNKFKESAWKCVYFFSSELLSIYVAYGEPWLTNSKYFWEGPGKQVWPEQKIKFARVGIVTLALHEGSDVFLETAKMSKYSGLEWLASVAFVLFALSWTILRVLLFPFWIIRSTTYEVLLTLDKEKHMVDGSIYYYLFNTLLFCLLVVHIYWWILMIRVIMRQVKSGGQVDDVRSDSEGEDEHED >KJB08704 pep chromosome:Graimondii2_0_v6:1:11181160:11183389:1 gene:B456_001G099500 transcript:KJB08704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRSGLSRTGSFRPENLGQNALHMIGHLCFTLFVIGVLVFTIITATYEPKNPIFHPSTKIETFLTSSSNATFRSDNTVVRTGEDFMVSNQTAFATFINAKDVVETKEGSTDEISLSRCEGDWKEPIDCKDPEVFHLMMKVVIERFEDIHFYQFGKPAPGPKENTCDMAWRFRPKEGKTVAFFKDYRRFVIKRSKKCELRVVSIGDYHSGVNARKKKRKNQKPGFEQGGVPLPVVGEPINDSLPVVESEIAFSRGKYLIYAGGGDRCKNMNHYLWSYLCALGEAQYLNRTLVMDLTLCLSSIYTLSNEDEEGKDFRFYFDFEHLKETASVLDQQQFWEDWNEWQRQDGLTLYLVEDSRVTPMQLSEVKDSLIMRKFGSVEPDNYWYRVCEGETESVIRRSWHLVWKSRRLMDIVSAIASRLNWDYDSVHIVRGDKARNSDLWPNLAQDTSPNTLISTLQGKIEDGRNVYVATNEPNMSFFDPLKDKYSTHFLEDYKDLWDENSEWYSLTKELNYGIPVDFDGYMRASVDTEVFFRGKKQIETFNDLTDDCKEGVNTCNTATS >KJB08703 pep chromosome:Graimondii2_0_v6:1:11181160:11184608:1 gene:B456_001G099500 transcript:KJB08703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRSGLSRTGSFRPENLGQNALHMIGHLCFTLFVIGVLVFTIITATYEPKNPIFHPSTKIETFLTSSSNATFRSDNTVVRTGEDFMVSNQTAFATFINAKDVVETKEGSTDEISLSRCEGDWKEPIDCKDPEVFHLMMKVVIERFEDIHFYQFGKPAPGPKENTCDMAWRFRPKEGKTVAFFKDYRRFVIKRSKKCELRVVSIGDYHSGVNARKKKRKNQKPGFEQGGVPLPVVGEPINDSLPVVESEIAFSRGKYLIYAGGGDRCKNMNHYLWSYLCALGEAQYLNRTLVMDLTLCLSSIYTLSNEDEEGKDFRFYFDFEHLKETASVLDQQQFWEDWNEWQRQDGLTLYLVEDSRVTPMQLSEVKDSLIMRKFGSVEPDNYWYRVCEGETESVIRRSWHLVWKSRRLMDIVSAIASRLNWDYDSVHIVRGDKARNSDLWPNLAQDTSPNTLISTLQGKIEDGRNVYVATNEPNMSFFDPLKDKYSTHFLEDYKDLWDENSEWYSLTKELNYGIPVDFDGYMRASVDTEVFFRGKKQIETFNDLTDDCKEGVNTCNTATS >KJB09802 pep chromosome:Graimondii2_0_v6:1:23775153:23776835:1 gene:B456_001G167800 transcript:KJB09802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRTEIRLIIQEYIQNDRENSLSFPDRRRIIGTPTPGRILAESEFAAPTITKLIPIPFSTLGASVAYNVNPVADQFQRAFQTSTFCNRLYSFFNKRWFFDQVLNDFLVRSFLRFGYEVSFEALDKGAIEILGPYGISYTFRRLAERISQLQSGFVVRRVRYDPWPPAWGLRSCCLLSTSRAFTAPFCNFPSFVRPRGCKKRDRGGYLAGVVSVVCHEVPMDKGTSESSLLGAGSPLPSIPLHSIVSEDIRGSSEWGFPCREPDEGRPSRPVRRAGISRPYYHYAFAMLLGSTLFVTFSCMWDSLSSWVDNRSSFILIVSSFYNNKSSQE >KJB09888 pep chromosome:Graimondii2_0_v6:1:25010333:25011999:1 gene:B456_001G172900 transcript:KJB09888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHCLPMVSVIQDKPMLPPTAAVAKNEHRPLAFDASILGSESNIPSQFIWPDDDKPCLDDPELVIPAIDFGAFLLGDSLAVSKAAEAVNEACKKHGLQLSEKQKAKRKVGESYGYASSFVGRFYSKLPWKETLRLYQEYCEARNKVSQEIMGLLGISLGLDQAYFKDFFEQNDSILRLNHYSPCQKPELTLGTGPHTDPTSLTILHQDQVGGLQVFADEKWHSVAHIPGAFVALTNGIYKSCLHRAVVNTETVRKSLAFFLCPKLERPVTPAAGLVNAANSRKYPDFTWAALLEFTQNHYRADMKTLVAFSKWVQEQESNNKLIP >KJB11021 pep chromosome:Graimondii2_0_v6:1:47522752:47525409:-1 gene:B456_001G237200 transcript:KJB11021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAEIYDGIRAQFPLAFGKQQKSQTSLEAIHNATRRSTAGAAASTASTTASNSNNKTNEALPSLSSYSKAWLDSLRNSKSPNPNPNDSVIGPPRPPPGPVPDEDDEDVMVGPPPPPPGSSEDDDNDVMIGPPRPPVGPSSDSEEDGEEENRYRIPLSNEIVLKGHTKIVSALAIDHSGSRVLSGSFDYTVRMFDFQGMNSRLQSFRQLEPFEGHQVRNLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRIPVTTCAWDREGKCIAGGIGDGSIQIWTLKPGWGSRPDIYIEKSHSDDITGLRFSSDGRTLLSRSFDGSLKVWDLRQIKAPLKVFDDLPNNYAQTNIAFSPDEQLFLTGTSVEKESTVGGLLCFYDCSKLELVQRVGISPSCSVVQCAWHPRLNQIFATSGDKSQGGTHVLYDPTLSERGALVCVARAPRKKSVDDFEAPLVIHNPHALPLFRDQPSRKRQREKILKDPVKSHKPELPITGPGHGGRVGSTKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADVAEKDPKFIAPAYAETQPEPVFAKSDSEDEEK >KJB11018 pep chromosome:Graimondii2_0_v6:1:47521116:47525636:-1 gene:B456_001G237200 transcript:KJB11018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAEIYDGIRAQFPLAFGKQQKSQTSLEAIHNATRRSTAGAAASTASTTASNSNNKTNEALPSLSSYSKAWLDSLRNSKSPNPNPNDSVIGPPRPPPGPVPDEDDEDVMVGPPPPPPGSSEDDDNDVMIGPPRPPVGPSSDSEEDGEEENRYRIPLSNEIVLKGHTKIVSALAIDHSGSRVLSGSFDYTVRMFDFQGMNSRLQSFRQLEPFEGHQVRNLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRIPVTTCAWDREGKCIAGGIGDGSIQIWTLKPGWGSRPDIYIEKSHSDDITGLRFSSDGRTLLSRSFDGSLKVWDLRQIKAPLKVFDDLPNNYAQTNIAFSPDEQLFLTGTSVEKESTVGGLLCFYDCSKLELVQRVGISPSCSVVQCAWHPRLNQIFATSGDKSQGGTHVLYDPTLSERGALVCVARAPRKKSVDDFEAPLVIHNPHALPLFRDQPSRKRQREKILKDPVKSHKPELPITGPGHGGRVGSTKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADVAEKDPKFIAPAYAETQPEPVFAKSDSEDEEK >KJB11017 pep chromosome:Graimondii2_0_v6:1:47521116:47525450:-1 gene:B456_001G237200 transcript:KJB11017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAEIYDGIRAQFPLAFGKQQKSQTSLEAIHNATRRSTAGAAASTASTTASNSNNKTNEALPSLSSYSKAWLDSLRNSKSPNPNPNDSVIGPPRPPPGPVPDEDDEDVMVGPPPPPPGSSEDDDNDVMIGPPRPPVGPSSDSEEDGEEENRYRIPLSNEIVLKGHTKIVSALAIDHSGSRVLSGSFDYTVRMFDFQGMNSRLQSFRQLEPFEGHQVRNLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRIPVTTCAWDREGKCIAGGIGDGSIQIWTLKPGWGSRPDIYIEKSHSDDITGLRFSSDGRTLLSRSFDGSLKVWDLRQIKAPLKVFDDLPNNYAQTNIAFSPDEQLFLTGTSVEKESTVGGLLCFYDCSKLELVQRVGISPSCSVVQCAWHPRLNQIFATSGDKSQGGTHVLYDPTLSERGALVCVARAPRKKSVDDFEAPLVIHNPHALPLFRDQPSRKRQREKILKDPVKSHKPELPITGPGHGGRVGSTKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADVAEKDPKFIAPAYAETQPEPVFAKSDSEDEEK >KJB11019 pep chromosome:Graimondii2_0_v6:1:47522187:47525450:-1 gene:B456_001G237200 transcript:KJB11019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAEIYDGIRAQFPLAFGKQQKSQTSLEAIHNATRRSTAGAAASTASTTASNSNNKTNEALPSLSSYSKAWLDSLRNSKSPNPNPNDSVIGPPRPPPGPVPDEDDEDVMVGPPPPPPGSSEDDDNDVMIGPPRPPVGPSSDSEEDGEEENRYRIPLSNEIVLKGHTKIVSALAIDHSGSRVLSGSFDYTVRMFDFQGMNSRLQSFRQLEPFEGHQVRNLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRIPVTTCAWDREGKCIAGGIGDGSIQIWTLKPGWGSRPDIYIEKSHSDDITGLRFSSDGRTLLSRSFDGSLKVWDLRQIKAPLKVFDDLPNNYAQTNIAFSPDEQLFLTGTSVEKESTVGGLLCFYDCSKLELVQRVGISPSCSVVQCAWHPRLNQIFATSGDKSQGGTHVLYDPTLSERGALVCVARAPRKKSVDDFEAPLVIHNPHALPLFRDQPSRKRQREKILKDPVKSHKPELPITGPGHGGRVGSTKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADVAEKDPKFIAPAYAETQPEPVFAKSDSEDEEK >KJB11020 pep chromosome:Graimondii2_0_v6:1:47521116:47525450:-1 gene:B456_001G237200 transcript:KJB11020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAEIYDGIRAQFPLAFGKQQKSQTSLEAIHNATRRSTAGAAASTASTTASNSNNKTNEALPSLSSYSKAWLDSLRNSKSPNPNPNDSVIGPPRPPPGPVPDEDDEDVMVGPPPPPPGSSEDDDNDVMIGPPRPPVGPSSDSEEDGEEENRYRIPLSNEIVLKGHTKIVSALAIDHSGSRVLSGSFDYTVRMFDFQGMNSRLQSFRQLEPFEGHQVRNLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRIPVTTCAWDREGKCIAGGIGDGSIQIWTLKPGWGSRPDIYIEKSHSDDITGLRFSSDGRTLLSRSFDGSLKVWDLRQIKAPLKVFDDLPNNYAQTNIAFSPDEQLFLTGTSVEKESTVGGLLCFYDCSKLELVQRVGISPSCSVVQCAWHPRLNQIFATSGDKSQGGTHVLYDPTLSERGALVCVARAPRKKSVDDFEAPLVIHNPHALPLFRDQPSRKRQREKILKDPVKSHKPELPITGPGHGGRVGSTKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADVAEKDPKFIAPAYAETQPEPVFAKSDSEDEEK >KJB06882 pep chromosome:Graimondii2_0_v6:1:6569857:6571985:1 gene:B456_001G065800 transcript:KJB06882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSEVEKTQQKEKERKKMLAIAPIAKPLAGKKLSKKTLKLVRKASEHKCLKRGVKEVVKSIRRGHKGLCVIAGNISPIDVITHVPILCEEAEIPYVYVSSKEDLATAGATKRPTCCVLVLTKPTKGELAIEEQEKMKADYSQIVSDVSELTSSLF >KJB06883 pep chromosome:Graimondii2_0_v6:1:6569896:6571957:1 gene:B456_001G065800 transcript:KJB06883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSEVEKTQQKEKERKKMLAIAPIAKPLAGKKLSKKTLKLVRKASEHKCLKRGVKEVVKSIRRGHKGLCVIAGNISPIDVITHVPILCEEAEIPYVYVSSKEVSLDLGPLSFSSNLVALHAKSGRSTCLSKTLESKFIYSL >KJB09756 pep chromosome:Graimondii2_0_v6:1:23336664:23344155:1 gene:B456_001G162300 transcript:KJB09756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLHNFFFFIIFMVVPRGTAAPVLLKWFVSRDVSTGAPFSNGTIIPIPISSFPLLVYLHSRKFIRSMDRAKSGVLVRASRPILLPDIIGRSSSDTRARNALFRFVPVLHFLLLESKGDFSYLESFCGVLRLLFFRTFFFLPRDRSAKHERARRRKRQTLRPNGNEQRRNDKMRCSGHPHLERRVEGFGPVAFPVPPSSGGACVGDMPPEIGLEALALPTSRQLMAMAVGHDYYQKAPMKMNISHGGVCICMLGVLLSSYPRPDRQLLGLPISPKLPPVFGPSCMRQKLVPRTVRRPSPTPAVMVRFRSTNTKKIQFTQRLPLGSELHMGKERCCLRGLDHLHAPTFHSICGNLMIYKPSLTNDRLMFEHDESLRADPLLINFPASYENGKLEHFLHRWMKNRKHNNFWLTMFPEKRYFRETTSTTEVAIHTNLFTDLYASIGTGSSRTGGWSQSSGCGASRHFFDGPRPPACRPALRFMGLRRARQNGRALLTKPSLVLLWPWPEGALSACTMLKSRLWATIKRAIYIEGREKGSLSSPDCRGRSPITFGAPLWSFSLAFPRPLELVDRPLSTSDASRFHLGFITSSPIKQARDSASDSFKPFRGFLVRFSPSYPLKRSETFGTSFGSNVRVEMNPATSIASCPMLSRALPKEASGNDLSWAYAMKNDLRGKNSCAYVDGSLLWDQPNMLRDIDPMVRIFNLEALLKPGHMIADSRKRQNANSRRQSDTAHLAALPETPTESETFQSPYSIGPLKHYFYDLRSTPTPDTDSFTPRQRKQIQRLNPSCHISSSSATGICSPLCLQHRGLLTSRDMSPAQDLDILFLLECWGEYISAAFRTLLASTHFIYKALSQRSCPHTPQQNGVAERKHRHILETARSLLLSASVPSQFLAEAVLTAVYFLNRIPSSVISEPGVQPCRKTHPSHYSIATPPPTLAEKHPPSTFPFPRAQKPALWGKGRPLLLLLTKREQPALQANIEPPPEEADRAKAPFPSALPEVSKLHVEIRRAYHPFSSPDIRQAKSPPPCRSQSSDGTWNHTAAAALRAHLLRLPVTYALAASIALRSLYSRDGEGSKIFARRPMNQSRESSMGLEDPTAFRPRGLQLVLSLFSFTMLLPAGGRKVGFPTRAGEGQTLGLGGLPGFAYLRSSRARGNGLSFPGPPRLLNVGSADGGSGVNIQPKASWRSTIAKHCGHHSSSYVWLAYNLRASYPILDKEKGGYEALLGRPWSKPGWFMTRLKKKKTTMIPNFTSINSLVSMLPRLQTRCKRDIPKLLLIKPLSRSLVQP >KJB06788 pep chromosome:Graimondii2_0_v6:1:19693369:19695007:-1 gene:B456_001G145000 transcript:KJB06788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPDPDIDDDFSEIYKEYTGPLGSAVSKAEDRVKENKRSHAASNEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPARDPHARALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDESDQRGSSSSRMSRSRSPERSPVGARLRRPESQRAHSGPHNSLHFQQRFGRQDKAVEDRVLEDLRKISRDSPQGRDSIGYVYGINIKLLMVMMELEVARATLNPQAVCLMVATHLIPTMVIIETWELIELRDGILRDGDLICNLAISLNTMPSLKR >KJB06789 pep chromosome:Graimondii2_0_v6:1:19692374:19695398:-1 gene:B456_001G145000 transcript:KJB06789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPDPDIDDDFSEIYKEYTGPLGSAVSKAEDRVKENKRSHAASNEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPARDPHARALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDESDQRGSSSSRMSRSRSPERSPVGARLRRPESQRAHSGPHNSLHFQQRFGRQDKAVEDRVLEDLRKISRDSPQGRDSIGYVYGINIKLVIPCSWRVCCLGYPLMVMMELEVARATLNPQAVCLMVATHLIPTMVIIETWELIELRDGILRDGDLICNLAISLNTMPSLKR >KJB06781 pep chromosome:Graimondii2_0_v6:1:19691467:19695464:-1 gene:B456_001G145000 transcript:KJB06781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPDPDIDDDFSEIYKEYTGPLGSAVSKAEDRVKENKRSHAASNEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPARDPHARALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDESDQRGSSSSRMSRSRSPERSPVGARLRRPESQRAHSGPHNSLHFQQRFGRQDKAVEDRVLEDLRKISRDSPQAYGNDGARSRSSHSKSPGRLPYGGNSFNSYDGHNRNMGAYRTEGWDTERRGSDLQSGNQFEYHAFPQTLDELELEFKREAMELGRIRDKEEDEENYKHRETIKEMRENYIKKSAILRDTHAKQWEEFLQFDAQRRQQQARQQMSASSFGAYKQQGYSEYDGPSVHAHYAGAGLPMDSRGRYPNPMENYSSRLHDSYGEFQRQRREDFGKAYNRY >KJB06779 pep chromosome:Graimondii2_0_v6:1:19691553:19695398:-1 gene:B456_001G145000 transcript:KJB06779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPDPDIDDDFSEIYKEYTGPLGSAVSKAEDRVKENKRSHAASNEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPARDPHARALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDESDQRGSSSSRMSRSRSPERSPVGARLRRPESQRAHSGPHNSLHFQQRFGRQDKAVEDRVLEDLRKISRDSPQAYGNDGARSRSSHSKSPGRLPYGGNSFNSYDGHNRNMGAYRTEGWDTERRGSDLQSGNQFEYHAFPQTLDELELEFKREAMELGRIRDKEEDEENYKHRETIKEMRENYIKKSAILRDTHAKQWEEFLQFDAQRRQQQARQQMSASSFGAYKQQGYSEYDGPSVHAHYAGAGLPMDSRGRYPNPMENYSSRLHDSYGEFQRQRREDFGKAYNRY >KJB06785 pep chromosome:Graimondii2_0_v6:1:19693752:19695007:-1 gene:B456_001G145000 transcript:KJB06785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPDPDIDDDFSEIYKEYTGPLGSAVSKAEDRVKENKRSHAASNEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPARDPHARALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDESDQRGSSSSRMSRSRSPERSPVGARLRRPESQRAHSGPHNSLHFQQRFGRQDKAVEDRVLEDLRKISRDSPQGRDSIGYVYGINIKLVIPCSWRVCCLGYPVCSYKNYLIVNFNNAAYILSFVAARGKFLCQALCIRF >KJB06778 pep chromosome:Graimondii2_0_v6:1:19692359:19695007:-1 gene:B456_001G145000 transcript:KJB06778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPDPDIDDDFSEIYKEYTGPLGSAVSKAEDRVKENKRSHAASNEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPARDPHARALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDESDQRGSSSSRMSRSRSPERSPVGARLRRPESQRAHSGPHNSLHFQQRFGRQDKAVEDRVLEDLRKISRDSPQAYGNDGARSRSSHSKSPGRLPYGGNSFNSYDGHNRNMGAYRTEGWDTERRGSDLQSGNQFEYHAFPQTLDELELEFKREAMELGRIRDKEEDEENYKHRETIKEMRENYIKKSAILRDTHAKQWEEFLQFDAQRRQQQARQQMSASSFGAYKQQGYSEYDGPSVHAHYAGAGLPMDSRGRYPNPMENYSSRLHDSYGEFQRQRREDFGKAYNRY >KJB06791 pep chromosome:Graimondii2_0_v6:1:19693752:19695007:-1 gene:B456_001G145000 transcript:KJB06791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPDPDIDDDFSEIYKEYTGPLGSAVSKAEDRVKENKRSHAASNEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPARDPHARALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDESDQRGSSSSRMSRSRSPERSPVGARLRRPESQRAHSGPHNSLHFQQRFGRQDKAVEDRVLEDLRKISRDSPQGRDSIGYVYGINIKLVIPCSWRVCCLGYPVCSYKNYLIVNFNNAAYILSFVAARGKFLCQALCIRF >KJB06784 pep chromosome:Graimondii2_0_v6:1:19693752:19695007:-1 gene:B456_001G145000 transcript:KJB06784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPDPDIDDDFSEIYKEYTGPLGSAVSKAEDRVKENKRSHAASNEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPARDPHARALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDESDQRGSSSSRMSRSRSPERSPVGARLRRPESQRAHSGPHNSLHFQQRFGRQDKAVEDRVLEDLRKISRDSPQARGKFLCQALCIRF >KJB06786 pep chromosome:Graimondii2_0_v6:1:19693369:19695007:-1 gene:B456_001G145000 transcript:KJB06786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPDPDIDDDFSEIYKEYTGPLGSAVSKAEDRVKENKRSHAASNEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPARDPHARALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDESDQRGSSSSRMSRSRSPERSPVGARLRRPESQRAHSGPHNSLHFQQRFGRQDKAVEDRVLEDLRKISRDSPQGRDSIGYVYGINIKLQELMVMMELEVARATLNPQAVCLMVATHLIPTMVIIETWELIELRDGILRDGDLICNLAISLNTMPSLKR >KJB06787 pep chromosome:Graimondii2_0_v6:1:19693724:19695007:-1 gene:B456_001G145000 transcript:KJB06787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPDPDIDDDFSEIYKEYTGPLGSAVSKAEDRVKENKRSHAASNEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPARDPHARALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDESDQRGSSSSRMSRSRSPERSPVGARLRRPESQRAHSGPHNSLHFQQRFGRQDKAVEDRVLEDLRKISRDSPQGRDSIGYVYGINIKLQEVSSYVKHYVYDSEVMSRVGPN >KJB06780 pep chromosome:Graimondii2_0_v6:1:19691553:19695258:-1 gene:B456_001G145000 transcript:KJB06780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPDPDIDDDFSEIYKEYTGPLGSAVSKAEDRVKENKRSHAASNEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPARDPHARALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDESDQRGSSSSRMSRSRSPERSPVGARLRRPESQRAHSGPHNSLHFQQRFGRQDKAVEDRVLEDLRKISRDSPQAYGNDGARSRSSHSKSPGRLPYGGNSFNSYDGHNRNMGAYRTEGWDTERRGSDLQSGNQFEYHAFPQTLDELELEFKREAMELGRIRDKEEDEENYKHRETIKEMRENYIKKSAILRDTHAKQWEEFLQFDAQRRQQQARQQMSASSFGAYKQQGYSEYDGPSVHAHYAGAGLPMDSRGRYPNPMENYSSRLHDSYGEFQRQRREDFGKAYNRY >KJB06782 pep chromosome:Graimondii2_0_v6:1:19691553:19695258:-1 gene:B456_001G145000 transcript:KJB06782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPDPDIDDDFSEIYKEYTGPLGSAVSKAEDRVKENKRSHAASNEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPARDPHARALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDESDQRGSSSSRMSRSRSPERSPVGARLRRPESQRAHSGPHNSLHFQQRFGRQDKAVEDRVLEDLRKISRDSPQARAYGNDGARSRSSHSKSPGRLPYGGNSFNSYDGHNRNMGAYRTEGWDTERRGSDLQSGNQFEYHAFPQTLDELELEFKREAMELGRIRDKEEDEENYKHRETIKEMRENYIKKSAILRDTHAKQWEEFLQFDAQRRQQQARQQMSASSFGAYKQQGYSEYDGPSVHAHYAGAGLPMDSRGRYPNPMENYSSRLHDSYGEFQRQRREDFGKAYNRY >KJB06783 pep chromosome:Graimondii2_0_v6:1:19691069:19695258:-1 gene:B456_001G145000 transcript:KJB06783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPDPDIDDDFSEIYKEYTGPLGSAVSKAEDRVKENKRSHAASNEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPARDPHARALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDESDQRGSSSSRMSRSRSPERSPVGARLRRPESQRAHSGPHNSLHFQQRFGRQDKAVEDRVLEDLRKISRDSPQAYGNDGARSRSSHSKSPGRLPYGGNSFNSYDGHNRNMGAYRTEGWDTERRGSDLQSGNQFEYHAFPQTLDELELEFKREAMELGRIRDKEEDEENYKHRETIKEMRENYIKKSAILRDTHAKQWEEFLQFDAQRRQQQARQQMSASSFGAYKQQGYSEYDGPSVHAHYAGAGLPMDSRGRYPNPMENYSSRLHDSYGEFQRQRREDFGKAYNRY >KJB06790 pep chromosome:Graimondii2_0_v6:1:19693060:19695398:-1 gene:B456_001G145000 transcript:KJB06790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPDPDIDDDFSEIYKEYTGPLGSAVSKAEDRVKENKRSHAASNEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPARDPHARALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDESDQRGSSSSRMSRSRSPERSPVGARLRRPESQRAHSGPHNSLHFQQRFGRQDKAVEDRVLEDLRKISRDSPQAYGNDGARSRSSHSKSPGRLPYGGNSFNSYDGHNRNMGAYRTEGWDTERRGSDLQSGNQFEYHAFPQTLDELELEFKREAMELGRIRDKEEDEENYKHREVRCLSCLTIVCAFGAKWFL >KJB06865 pep chromosome:Graimondii2_0_v6:1:1552733:1555604:1 gene:B456_001G016900 transcript:KJB06865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQLTASSMTVSVRNLASFEGLRPSSIVKFSSFGSLKPGSLTQRSFKGLVVKAATVVAPKYTSIKPLGDRVLVKIKETEEKTEGGILLPTTAQSKPQGGEVVAIGEGKTIGKTKLECSVKTGAQVIYSKYAGTELEFNGSNHLILKEDDIVGILETDDIKDLKPLNDRVFIKVAEAEEKTSGGLLLTESSKEKPSIGTVIAVGPGTLDEEGNRKPLSVAPGNTVLYSKYAGNDFKGNDGTSYIALRASDVMAVLS >KJB06863 pep chromosome:Graimondii2_0_v6:1:1552686:1555604:1 gene:B456_001G016900 transcript:KJB06863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQLTASSMTVSVRNLASFEGLRPSSIVKFSSFGSLKPGSLTQRSFKGLVVKAATVVAPKYTSIKPLGDRVLVKIKETEEKTEGGILLPTTAQSKPQGGEVVAIGEGKTIGKTKLECSVKTGAQVIYSKYAGTELEFNGSNHLILKEDDIVGILETDDIKDLKPLNDRVFIKVAEAEEKTSGGLLLTESSKEKPSIGTVIAVGPGTLDEEGNRKPLSVAPGNTVLYSKYAGNDFKGNDGTSYIALRASDVMAVLS >KJB06864 pep chromosome:Graimondii2_0_v6:1:1552992:1554248:1 gene:B456_001G016900 transcript:KJB06864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQLTASSMTVSVRNLASFEGLRPSSIVKFSSFGSLKPGSLTQRSFKGLVVKAATVVAPKYTSIKPLGDRVLVKIKETEEKTEGGILLPTTAQSKPQGGEVVAIGEGKTIGKTKLECSVKTGAQVIYSKYAGTELEFNGSNHLILKEDDIVGILETDDIKDLKPLNDRVFIKVAEAEEKTSGGLLLTESSKEKPSIGTVRIG >KJB10007 pep chromosome:Graimondii2_0_v6:1:27564007:27568110:1 gene:B456_001G179800 transcript:KJB10007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVKRVVEPRVLSFVKVVLTVISFRLGALLLCGWDCCDWKWPFIHKFSELPVERREKILMKWSSNGHHRLPLRAVFALIKTYCLFIFFSMTDEKSENPSWKAIGYNVDKRQKRVSSPHGRKGIIETMHEDDSTFVQSLTEKGLQVTEDPDHNVFNIKCDVVIVGSGCGGGVAAAVLASSGQKVVVIEKGNYFATTDYTSLEGPSMSELYEYGGFLTTTNGKFMIMAGSTVGGGSAINWSASIKTPNNVLKEWSLDHKIPLFGSSEYENAMDAVYQRLGVTENCTEEGLQNQVLRKGCENLGLKVEAVPRNSPEDHYCGSCNLGCRTGDKKGTATTWLVDAQGYGAVILTACKADRLMLVNNNEDARRRKKCLGVVATSLNKNLTKKLQFEAKTTISACGSLLTPPLMISSGLKNPNIGRNLHLHPALVAWGYFPEDETGIKGKSYEGGIITSFNRIVSEESNNVHAIIQAPALGPASFAAISPWVSGRELKERMVRYPRLAHLFTLIRDQGSGEVMEEGKIKYRLSEVDKENLKIGLRQVLRVLIAAGAVEVGTHRSDGQRIKCKGLTEESLQEFLDNVPVVGGLSSKDEYWTLYLTAHQIGSCRMGATEEEGAVDENGQSWEAEGLFVCDASVLPTAIGVNPMITIQSTSYCISNKIAQLLKKE >KJB10008 pep chromosome:Graimondii2_0_v6:1:27564007:27568110:1 gene:B456_001G179800 transcript:KJB10008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGNHETGDAHPLLRGGRRKTTYTHGFSSAQIQSLAAICEALIPPLPLDSAHQASSLDAFYKASGAEPPLPDEVAEMMVKRVVEPRVLSFVKVVLTVISFRLGALLLCGWDCCDWKWPFIHKFSELPVERREKILMKWSSNGHHRLPLRAVFALIKTYCLFIFFSMLLKFVSQTDEKSENPSWKAIGYNVDKRQKRVSSPHGRKGIIETMHEDDSTFVQSLTEKGLQVTEDPDHNVFNIKCDVVIVGSGCGGGVAAAVLASSGQKVVVIEKGNYFATTDYTSLEGPSMSELYEYGGFLTTTNGKFMIMAGSTVGGGSAINWSASIKTPNNVLKEWSLDHKIPLFGSSEYENAMDAVYQRLGVTENCTEEGLQNQVLRKGCENLGLKVEAVPRNSPEDHYCGSCNLGCRTGDKKGTATTWLVDAQGYGAVILTACKADRLMLVNNNEDARRRKKCLGVVATSLNKNLTKKLQFEAKTTISACGSLLTPPLMISSGLKNPNIGRNLHLHPALVAWGYFPEDETGIKGKSYEGGIITSFNRIVSEESNNVHAIIQAPALGPASFAAISPWVSGRELKERMVRYPRLAHLFTLIRDQGSGEVMEEGKIKYRLSEVDKENLKIGLRQVLRVLIAAGAVEVGTHRSDGQRIKCKGLTEESLQEFLDNVPVVGGLSSKDEYWTLYLTAHQIGSCRMGATEEEGAVDENGQSWEAEGLFVCDASVLPTAIGVNPMITIQSTSYCISNKIAQLLKKE >KJB10006 pep chromosome:Graimondii2_0_v6:1:27563980:27568110:1 gene:B456_001G179800 transcript:KJB10006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGNHETGDAHPLLRGGRRKTTYTHGFSSAQIQSLAAICEALIPPLPLDSAHQASSLDAFYKASGAEPPLPDEVAEMMVKRVVEPRVLSFVKVVLTVISFRLGALLLCGWDCCDWKWPFIHKFSELPVERREKILMKWSSNGHHRLPLRAVFALIKTYCLFIFFSMTDEKSENPSWKAIGYNVDKRQKRVSSPHGRKGIIETMHEDDSTFVQSLTEKGLQVTEDPDHNVFNIKCDVVIVGSGCGGGVAAAVLASSGQKVVVIEKGNYFATTDYTSLEGPSMSELYEYGGFLTTTNGKFMIMAGSTVGGGSAINWSASIKTPNNVLKEWSLDHKIPLFGSSEYENAMDAVYQRLGVTENCTEEGLQNQVLRKGCENLGLKVEAVPRNSPEDHYCGSCNLGCRTGDKKGTATTWLVDAQGYGAVILTACKADRLMLVNNNEDARRRKKCLGVVATSLNKNLTKKLQFEAKTTISACGSLLTPPLMISSGLKNPNIGRNLHLHPALVAWGYFPEDETGIKGKSYEGGIITSFNRIVSEESNNVHAIIQAPALGPASFAAISPWVSGRELKERMVRYPRLAHLFTLIRDQGSGEVMEEGKIKYRLSEVDKENLKIGLRQVLRVLIAAGAVEVGTHRSDGQRIKCKGLTEESLQEFLDNVPVVGGLSSKDEYWTLYLTAHQIGSCRMGATEEEGAVDENGQSWEAEGLFVCDASVLPTAIGVNPMITIQSTSYCISNKIAQLLKKE >KJB08874 pep chromosome:Graimondii2_0_v6:1:12723619:12729444:1 gene:B456_001G109900 transcript:KJB08874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTSSDLQKYGVPFYGAGWIPYNHIKSKLASQEKIEEDKKEEKDPTPSNDDEITTPLNYVVLAGGGGEGRSGIPNAIVVSHVNFTSNSLSDQPVVKHETGSDLPYRMTVHPHGDGIICAFQQSCRLFEWKESEGNEVHKLGVKVSEKVLIQLEDVGQQLALTFNSEGSVLAVGGEDGSLRVFKWPSMKIVLNEAQAHSSVKNLDFSCDGKFLVSLGSGLCRIWDVTSSKVVASLAKGNDEVFAFCRFSQINDKNPHLYIAAVTDYGGSILTYNTTTWKRIRTSRVVREAISAFNVSSDGKFLAVGTVGGDLFIINSANMRVQMMVKKAHLGLVTALTFSPDSRALVSASLDSSARLTLIKDKTSSGGMTWMIILMVLLAIAVYFMKEKGIIP >KJB08875 pep chromosome:Graimondii2_0_v6:1:12723665:12729423:1 gene:B456_001G109900 transcript:KJB08875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTSSDLQKYGVPFYGAGWIPYNHIKSKLASQEKIEEDKKEEKDPTPSNDDEITTPLNYVVLAGGGGEGRSGIPNAIVVSHVNFTSNSLSDQPVVKHETGSDLPYRMTVHPHGDGIICAFQQSCRLFEWKESEGNEVHKLGVKVSEKVLIQLEDVGQQLALTFNSEGSVLAVGVQDGSLRVFKWPSMKIVLNEAQAHSSVKNLDFSCDGKFLVSLGSGLCRIWDVTSSKVVASLAKGNDEVFAFCRFSQINDKNPHLYIAAVTDYGGSILTYNTTTWKRIRTSRVVREAISAFNVSSDGKFLAVGTVGGDLFIINSANMRVQMMVKKAHLGLVTALTFSPDSRALVSASLDSSARLTLIKDKTSSGGMTWMIILMVLLAIAVYFMKEKGIIP >KJB06503 pep chromosome:Graimondii2_0_v6:1:48339546:48340974:-1 gene:B456_001G242800 transcript:KJB06503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRPNKRTLSDIVSEGSRSTTEEDHQDNAPPAKVQIVGWPPVRSYRKNCLQGKKDEVEGGTGMYVKVSVDGAPYLRKIDLKVYRSYPQLLLALENMFKLTIGAYSEREGYNGSNYAPTYEDRDGDWMLVGDVPWEMFISSCKRLRIMKGSEARGLGCV >KJB06505 pep chromosome:Graimondii2_0_v6:1:48339546:48340974:-1 gene:B456_001G242800 transcript:KJB06505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDLNLKATELRLGLPGSDEPERQMTPRPNKRTLSDIVSEGSRSTTEEDHQDNAPPAKVQIVGWPPVRSYRKNCLQGKKDEVEGGTGMYVKVSVDGAPYLRKIDLKVYRSYPQLLLALENMFKLTIGKISKNPQLLFSLDKSIEINPMIKSCSLIGFFLSAILKVHTQRGKATMDLTMLQHMKTEMVTGCLLEMFPGKCSSPLARG >KJB06506 pep chromosome:Graimondii2_0_v6:1:48340073:48340797:-1 gene:B456_001G242800 transcript:KJB06506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDLNLKATELRLGLPGSDEPERQMTPRPNKRTLSDIVSEGSRSTTEEDHQDNAPPAKVQIVGWPPVRSYRKNCLQGKKDEVEGGTGMYVKVSVDGAPYLRKIDLKVYRSYPQLLLALENMFKLTIGKISKNPQLLFSLDKSIEINPMIKSCSLIGFFLSAILKVHTQRGKATMDLTMLQHMKTEMVTGCLLEMFPGSKFLFQTFFS >KJB06507 pep chromosome:Graimondii2_0_v6:1:48339546:48340975:-1 gene:B456_001G242800 transcript:KJB06507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDLNLKATELRLGLPGSDEPERQMTPRPNKRTLSDIVSEGSRSTTEEDHQDNAPPAKVQIVGWPPVRSYRKNCLQGKKDEVEGGTGMYVKVSVDGAPYLRKIDLKVYRSYPQLLLALENMFKLTIGAYSEREGYNGSNYAPTYEDRDGDWMLVGDVPWEMFISSCKRLRIMKGSEARGLGCV >KJB06504 pep chromosome:Graimondii2_0_v6:1:48340101:48340797:-1 gene:B456_001G242800 transcript:KJB06504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDLNLKATELRLGLPGSDEPERQMTPRPNKRTLSDIVSEGSRSTTEEDHQDNAPPAKVQIVGWPPVRSYRKNCLQGKKDEVEGGTGMYVKVSVDGAPYLRKIDLKVYRSYPQLLLALENMFKLTIGAYSEREGYNGSNYAPTYEDRDGDWMLVGDVPWE >KJB10425 pep chromosome:Graimondii2_0_v6:1:37269503:37270596:-1 gene:B456_001G200500 transcript:KJB10425 gene_biotype:protein_coding transcript_biotype:protein_coding description:DVL3 [Source:Projected from Arabidopsis thaliana (AT1G67265) UniProtKB/TrEMBL;Acc:Q6X5U0] MHAMRDIKERVKQRRDFNMKISLSSSSSGAAAMGESKKKVSCRKLGGYLRQQKGRLYIIRRCVVMLLCWHD >KJB10421 pep chromosome:Graimondii2_0_v6:1:36068181:36071261:-1 gene:B456_001G200100 transcript:KJB10421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFGVVRSTDEAVEEIMRIHRSLPPRPAVDEVLAAKALIRNVEKEDQARLEAITRQTKSPNVPEELFLILLEMQKNCVYFQSKEQKREAFKLLDLESVHALFDEFIQRASNCLSSTSSKLNDKTTLSNALPHTAATPPPSDNSFTAATPSSFREPASERPLFSRDDSYVKKAKSSFYANSTDGLGISMSSSPHILDSSLKSGGATAAVHDGEKLSLIKLASIIEVSSKKGTRDLNLQAKLMDQIDWLPDSIGKLCSLITLDLSDNRIVALPDTIGGLSSLKKLDLHSNKIAQLPDSVGDLLSLVFLDLSANQLSSLPATFGRLVRLEELDLSSNHLPSLPDSIGSLISLKKLNVETNDIEEIPHTIGHCSSLKELRADYNRLKALPEAVGKIETLEVLSVRYNNIKQLPTTMSSLANLKELDVSFNELESLPESLCFATTLVKMNVGNNFADMRSLPRSIGNLEMLEELDISNNQIRVLPDSFRMLTRLQVLRVDQNPLEVPPRHIAEQGAQVI >KJB10420 pep chromosome:Graimondii2_0_v6:1:36068101:36071492:-1 gene:B456_001G200100 transcript:KJB10420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFGVVRSTDEAVEEIMRIHRSLPPRPAVDEVLAAKALIRNVEKEDQARLEAITRQTKSPNVPEELFLILLEMQKNCVYFQSKEQKREAFKLLDLESVHALFDEFIQRASNCLSSTSSKLNDKTTLSNALPHTAATPPPSDNSFTAATPSSFREPASERPLFSRDDSYVKKAKSSFYANSTDGLGISMSSSPHILDSSLKSGGATAAVHDGEKLSLIKLASIIEVSSKKGTRDLNLQAKLMDQIDWLPDSIGKLCSLITLDLSDNRIVALPDTIGGLSSLKKLDLHSNKIAQLPDSVGDLLSLVFLDLSANQLSSLPATFGRLVRLEELDLSSNHLPSLPDSIGSLISLKKLNVETNDIEEIPHTIGHCSSLKELRADYNRLKALPEAVGKIETLEVLSVRYNNIKQLPTTMSSLANLKELDVSFNELESLPESLCFATTLVKMNVGNNFADMRSLPRSIGNLEMLEELDISNNQIRVLPDSFRMLTRLQVLRVDQNPLEVPPRHIAEQGAQAVVRYMADLVEKRDVKLQPVKQKKSWAQICFFSKSNKRKRNGMDYVKA >KJB11114 pep chromosome:Graimondii2_0_v6:1:48137688:48140242:1 gene:B456_001G241400 transcript:KJB11114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEMQSGGKMMGFLVILLVLFIEMAAPTSASRNSFSTQILEVQNQLKLLNKPAVKSIKSPDGDIIDCIKLSHQPAFDHPMLKNHKIQMTPNFHPEGVFGENKVASKMKLGSSRNVTQMWHLNGRCPEGTIPIRRTRKDDLLRASSIKAFGKKRHKTIPQPKSADPDLITQSGHQHAIAYVDEEKYYGAKATINVWEPKIQQPNEFSLSQIWILGGSFGEDLNSIEAGWQVSPDLYGDNHTRLFTYWTSDAYQATGCYNLLCSGFIQINNEIAMGASIYPVSSYHDSQYDISLLVWKVMSKKLFIISKLPFHKHQIYIYP >KJB11116 pep chromosome:Graimondii2_0_v6:1:48137534:48140278:1 gene:B456_001G241400 transcript:KJB11116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEMQSGGKMMGFLVILLVLFIEMAAPTSASRNSFSTQILEVQNQLKLLNKPAVKSIKSPDGDIIDCIKLSHQPAFDHPMLKNHKIQMTPNFHPEGVFGENKVASKMKLGSSRNVTQMWHLNGRCPEGTIPIRRTRKDDLLRASSIKAFGKKRHKTIPQPKSADPDLITQSGHQHAIAYVDEEKYYGAKATINVWEPKIQQPNEFSLSQIWILGGSFGEDLNSIEAGWQVSPDLYGDNHTRLFTYWTSDAYQATGCYNLLCSGFIQINNEIAMGASIYPVSSYHDSQYDISLLVWKDPKEGNWWLQFGNSYVLGYWPAFLFSYLSDSASMIEWGGEVVNSESDGQHTSTQMGSGHFPKEGFGKSSYFKNIQIVDGSNNLRVPKDIATFTEQSNCYDVQTGKGGNWGSYFYYGGPGRNANCP >KJB11113 pep chromosome:Graimondii2_0_v6:1:48137688:48140242:1 gene:B456_001G241400 transcript:KJB11113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEMQSGGKMMGFLVILLVLFIEMAAPTSASRNSFSTQILEVQNQLKLLNKPAVKSIKSPDGDIIDCIKLSHQPAFDHPMLKNHKIQMTPNFHPEGVFGENKVASKMKLGSSRNVTQMWHLNGRCPEGTIPIRRTRKDDLLRASSIKAFGKKRHKTIPQPKSADPDLITQSGHQHAIAYVDEEKYYGAKATINVWEPKIQQPNEFSLSQIWILGGSFGEDLNSIEAGWQVSPDLYGDNHTRLFTYWTSDAYQATGCYNLLCSGFIQINNEIAMGASIYPVSSYHDSQYDISLLVWKDPKEGNWWLQFGNSYVLGYWPAFLFSYLSDSASMIEWGGEVVNSESDGQHTSTQMGSGHFPKEGFGKSSYFKNIQIVDGSNNLRVPKDIATFTEQSNCYDVQTGKGGNWGSYFYYGGPGRNANCP >KJB11115 pep chromosome:Graimondii2_0_v6:1:48138425:48139823:1 gene:B456_001G241400 transcript:KJB11115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINQMTPNFHPEGVFGENKVASKMKLGSSRNVTQMWHLNGRCPEGTIPIRRTRKDDLLRASSIKAFGKKRHKTIPQPKSADPDLITQSGHQHAIAYVDEEKYYGAKATINVWEPKIQQPNEFSLSQIWILGGSFGEDLNSIEAGWQVSPDLYGDNHTRLFTYWTSDAYQATGCYNLLCSGFIQINNEIAMGASIYPVSSYHDSQYDISLLVWKDPKEGNWWLQFGNSYVLGYWPAFLFSYLSDSASMIEWGGEVVNSESDGQHTSTQMGSGHFPKEGFGKSSYFKNIQIVDGSNNLRVPKDIATFTEQSNCYDVQTGKGGNWGSYFYYGGPGRNANCP >KJB08469 pep chromosome:Graimondii2_0_v6:1:8792647:8808976:-1 gene:B456_001G082800 transcript:KJB08469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRKAAVSLLRRVRLPSHSIFTSVSPPTTSMAIATPLPFSLSRKIQFPNQFGNGSSWSTTYADPPIWTILSIQAAIILGINVHPVFADDGSNQTNTESDIQGANISGLRKIEDGSVISNVHTSKWRIFTDNGRDYFLQGKLEEAEKFFLSAIQEAKEGFGERDPHVASACNNLAELYRVKKAFDKAEPLYLDAIRILEEAFGSEDIRVGVALHNLGQFYLVQRKLEEARVCYESALKIKGRVLGRGSADYADTMYHLGTVLFLQGRLNDSEVVIQDSIRVLEESGQGESMACIRRLRYLAQIYIKSNRISEAENIERKVLHIMELSKGWNSLDTVVAAEGLGLTLQSSGSLKEAQELLERCLDARKTLLPEDHIQIGANMLHIARVVMLNYNQLRGMHVSDAIAELDKAKGLLNNAIRIARKVISKSKTQNKKQGYGVSGETRRDGYAAVIILLQSLNELGLLEINRLELQESGAKLSSTPEVKNAHFECISAYKELAAERLIGDLRQVKAEYLSCLKHLSSLLDAEGTTEYRGTTLQELKGDIKRVEDDISQSRRHKS >KJB08468 pep chromosome:Graimondii2_0_v6:1:8792647:8808976:-1 gene:B456_001G082800 transcript:KJB08468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRKAAVSLLRRVRLPSHSIFTSVSPPTTSMAIATPLPFSLSRKIQFPNQFGNGSSWSTTYADPPIWTILSIQAAIILGINVHPVFADDGSNQTNTESDIQGANISGLRKIEDGSVISNVHTSKWRIFTDNGRDYFLQGKLEEAEKFFLSAIQEAKEGFGERDPHVASACNNLAELYRVKKAFDKAEPLYLDAIRILEEAFGSEDIRVGVALHNLGQFYLVQRKLEEARVCYESALKIKGRVLGRGSADYADTMYHLGTVLFLQGRLNDSEVVIQDSIRVLEESGQGESMACIRRLRYLAQIYIKSNRISEAENIERKVLHIMELSKGWNSLDTVVAAEGLGLTLQSSGSLKEAQELLERCLDARKTLLPEDHIQIGANMLHIARVVMLNYNQLRGMHVSDAIAELDKAKGLLNNAIRIARKVISKSKTQNKKQGYGVSGETRRDGYAAVIILLQSLNELGLLEINRLELQESGAKLSSTPEVKNAHFECISAYKELAAERLIGDLRQVKAEYLSCLKHLSSLLDAEGTTEYRGTTLQELKGDIKRVEDDISQSRRHKS >KJB09848 pep chromosome:Graimondii2_0_v6:1:24449697:24455060:-1 gene:B456_001G170700 transcript:KJB09848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNLTRFASSRLSRTRRLFSSVIPGPCIVHKRGTDILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFMESYHSLEKNTKGQPDGVVALAKWRILNRLHDRNETLYYRVLMDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQHVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRVLPVMLDVGTNNQKLLEDRLYLGLRQPRLEGEEYLEIVDEFMEAVFTRWPKAIVQFEDFQMKWAFETLDRYRKKFCMFNDDIQGTAGVALAGLLGTVRAQGRTLADFPDQKIVVVGAGSAGLGVLSMAVQAVARMTGKNETAAQNFFLLDKDGLITKERKNLDPAAAPFAKDPGQIVGLREGASLLEVVKKVKPDVLLGLSGVGGVFSEEVLKALRESGSTRPAIFAMSNPTMNAECTAADAFKHAGENIVFASGSPFENVNLGNGKVGYVNQANNMYLFPGIGLGSLVSGARFITDGMLQAAAECLASYMTDEEIQKGILYPSIDSIRHITAEVGASVLRAAVAEEVAEGHGDVGPKELAHMSKEETVEYVFRNMWYPIYSPLVQEK >KJB09851 pep chromosome:Graimondii2_0_v6:1:24449882:24454824:-1 gene:B456_001G170700 transcript:KJB09851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNLTRFASSRLSRTRRLFSSVIPGPCIVHKRGTDILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFMESYHSLEKNTKGQPDGVVALAKWRILNRLHDRNETLYYRVLMDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQHVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRVLPVMLDVGTNNQKLLEDRLYLGLRQPRLEGEEYLEIVDEFMEAVFTRWPKAIVQFEDFQMKWAFETLDRYRKKFCMFNDDIQGTAGVALAGLLGTVRAQGRTLADFPDQKIVVVGAGSAGLGVLSMAVQAVARMTGKNETAAQNFFLLDKDGLITKERKNLDPAAAPFAKDPGQIVGLREGASLLEVVKKVKPDVLLGLSGVGGVFSEEVEVLKALRESGSTRPAIFAMSNPTMNAECTAADAFKHAGENIVFASGSPFENVNLGNGKVGYVNQANNMYLFPGIGLGSLVSGARFITDGMLQAAAECLASYMTDEEIQKGILYPSIDSIRHITAEVGASVLRAAVAEEVAEGHGDVGPKELAHMSKEETVEYVFRNMWYPIYSPLVQEK >KJB09849 pep chromosome:Graimondii2_0_v6:1:24449688:24455060:-1 gene:B456_001G170700 transcript:KJB09849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNLTRFASSRLSRTRRLFSSVIPGPCIVHKRGTDILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFMESYHSLEKNTKGQPDGVVALAKWRILNRLHDRNETLYYRVLMDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQHVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRVLPVMLDVGTNNQKLLEDRLYLGLRQPRLEGEEYLEIVDEFMEAVFTRWPKAIVQFEDFQMKWAFETLDRYRKKFCMFNDDIQGTAGVALAGLLGTVRAQGRTLADFPDQKIVVVGAGSAGLGVLSMAVQAVARMTGKNETAAQNFFLLDKDGLITKERKNLDPAAAPFAKDPGQIVGLREGASLLEVVKKVKPDVLLGLSGVGGVFSEEVLKALRESGSTRPAIFAMSNPTMNAECTAADAFKHAGENIVFASGSPFENVNLGNGKVGYVNQANNMYLFPGIGLGSLVSGARFITDGMLQAAAECLASYMTDEEIQKGILYPSIDSIRHITAEVGASVLRAAVAEEVAEGHGDVGPKELAHMSKEETVEYVFRNMWYPIYSPLVQEK >KJB09850 pep chromosome:Graimondii2_0_v6:1:24449374:24455191:-1 gene:B456_001G170700 transcript:KJB09850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNLTRFASSRLSRTRRLFSSVIPGPCIVHKRGTDILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFMESYHSLEKNTKGQPDGVVALAKWRILNRLHDRNETLYYRVLMDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQHVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRVLPVMLDVGTNNQKLLEDRLYLGLRQPRLEGEEYLEIVDEFMEAVFTRWPKAIVQFEDFQMKWAFETLDRYRKKFCMFNDDIQGTAGVALAGLLGTVRAQGRTLADFPDQKIVVVGAGSAGLGVLSMAVQAVARMTGKNETAAQNFFLLDKDGLITKERKNLDPAAAPFAKDPGQIVGLREGASLLEVVKKVKPDVLLGLSGVGGVFSEEVLKALRESGSTRPAIFAMSNPTMNAECTAADAFKHAGENIVFASGSPFENVNLGNGKVGYVNQANNMYLFPGIGLGSLVSGARFITDGMLQAAAECLASYMTDEEIQKGILYPSIDSIRHITAEVGASVLRAAVAEEVAEGHGDVGPKELAHMSKEETVEYVFRNMWYPIYSPLVQEK >KJB07856 pep chromosome:Graimondii2_0_v6:1:4570987:4576943:1 gene:B456_001G047900 transcript:KJB07856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDPEKRFYSIMDKLFHSSKSTTPFSSPPAPGTGGQRQLLRAKKRPVPSYTTAVEKPQHCLAASEAPLCRPWDRGDLLRRLSTFKSMTWFAKPKVVNAVNCARRGWVNVDMDIIACESCGARLLFSTPSSWKRQQVEKAALVFSLKLDSEHKLLCPWIDNTCDERLAEFPPSVPADLVDKFRERSDSLFQLIALPVISSLAIEFMRSPQLEQFLRQPLMLDCLKGNAEFSHLERIEDGSAVDSAILYYQAQKLLSLCGWEPRSLPYVVDCKDGQNQFVKDADILSSSQGVGYGLNLHLSFRPTDENENLEANKGFENSFGLQYDPKSVVLDCRLCGASVGLWAFSTVQRPVELFRLFGCEEVNPGVHDSGHESDVCEVPFNSGSSSMEQSSNSKLTIAGGPPPTRQNFKARIYVPVIGESLRARLLYHPEIRDQIYSNPKNTLVESNCNRILGEIDCFNNSVNQLGVPLADLRTLNGKKDGQVNCNSKSSDQSPCSNYDVCSGDDTFRNVTPLEGTDFTAKENSPYTGIDDSNIGGQIESSQNLVLDSCQSNNFPEKVDNDRTCNLAVKNSDAMLVGESSVMTQGANVSPRNEGAEANDSSVMVTSEKYYPEQNAEPDKVCDKKNCFSNRDSTCVASCLEADVNVDGTNKMNSREDKTCSNSEEGVIAEVQAVQNNKVLSCPKGKDLKRLHMDKISEFDPIRQHRHFCPWIAPMSGGAPGWQQTLSALLYGKDFPHSSPVCSTSTVSMIKVDDPIASVRKLFMSPTAKRTKITRE >KJB07852 pep chromosome:Graimondii2_0_v6:1:4571051:4575587:1 gene:B456_001G047900 transcript:KJB07852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDPEKRFYSIMDKLFHSSKSTTPFSSSPPAPGTGGQRQLLRAKKRPVPSYTTAVEKPQHCLAASEAPLCRPWDRGDLLRRLSTFKSMTWFAKPKVVNAVNCARRGWVNVDMDIIACESCGARLLFSTPSSWKRQQVEKAALVFSLKLDSEHKLLCPWIDNTCDERLAEFPPSVPADLVDKFRERSDSLFQLIALPVISSLAIEFMRSPQLEQFLRQPLMLDCLKGNAEFSHLERIEDGSAVDSAILYYQAQKLLSLCGWEPRSLPYVVDCKDGQNQFVKDADILSSSQGVGYGLNLHLSFRPTDENENLEANKGFENSFGLQYDPKSVVLDCRLCGASVGLWAFSTVQRPVELFRLFGCEEVNPGVHDSGHESDVCEVPFNSGSSSMEQSSNSKLTIAGGPPPTRQNFKARIYVPVIGESLRARLLYHPEIRDQIYSNPKNTLVESNCNRILGEIDCFNNSVNQLGVPLADLRTLNGKKDGQVNCNSKSSDQSPCSNYDVCSGDDTFRNVTPLEGTDFTAKENSPYTGIDDSNIGGQIESSQNLVLDSCQSNNFPEKVDNDRTCNLAVKNSDAMLVGESSVMTQGANVSPRNEGAEANDSSVMVTSEKYYPEQNAEPDKVCDKKNCFSNRDSTCVASCLEADVNVDGTNKMNSREDKTCSNSEEGVIAEVQAVQNNKVLSCPKVNNKSEQLMCLHFQERI >KJB07853 pep chromosome:Graimondii2_0_v6:1:4570987:4576943:1 gene:B456_001G047900 transcript:KJB07853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDPEKRFYSIMDKLFHSSKSTTPFSSSPPAPGTGGQRQLLRAKKRPVPSYTTAVEKPQHCLAASEAPLCRPWDRGDLLRRLSTFKSMTWFAKPKVVNAVNCARRGWVNVDMDIIACESCGARLLFSTPSSWKRQQVEKAALVFSLKLDSEHKLLCPWIDNTCDERLAEFPPSVPADLVDKFRERSDSLFQLIALPVISSLAIEFMRSPQLEQFLRQPLMLDCLKGNAEFSHLERIEDGSAVDSAILYYQAQKLLSLCGWEPRSLPYVVDCKDGQNQFVKDADILSSSQGVGYGLNLHLSFRPTDENENLEANKGFENSFGLQYDPKSVVLDCRLCGASVGLWAFSTVQRPVELFRLFGCEEVNPGVHDSGHESDVCEVPFNSGSSSMEQSSNSKLTIAGGPPPTRQNFKARIYVPVIGESLRARLLYHPEIRDQIYSNPKNTLVESNCNRILGEIDCFNNSVNQLGVPLADLRTLNGKKDGQVNCNSKSSDQSPCSNYDVCSGDDTFRNVTPLEGTDFTAKENSPYTGIDDSNIGGQIESSQNLVLDSCQSNNFPEKVDNDRTCNLAVKNSDAMLVGESSVMTQGANVSPRNEGAEANDSSVMVTSEKYYPEQNAEPDKMNSREDKTCSNSEEGVIAEVQAVQNNKVLSCPKGKDLKRLHMDKISEFDPIRQHRHFCPWIAPMSGGAPGWQQTLSALLYGKDFPHSSPVCSTSTVSMIKVDDPIASVRKLFMSPTAKRTKITRE >KJB07851 pep chromosome:Graimondii2_0_v6:1:4570790:4577001:1 gene:B456_001G047900 transcript:KJB07851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDPEKRFYSIMDKLFHSSKSTTPFSSSPPAPGTGGQRQLLRAKKRPVPSYTTAVEKPQHCLAASEAPLCRPWDRGDLLRRLSTFKSMTWFAKPKVVNAVNCARRGWVNVDMDIIACESCGARLLFSTPSSWKRQQVEKAALVFSLKLDSEHKLLCPWIDNTCDERLAEFPPSVPADLVDKFRERSDSLFQLIALPVISSLAIEFMRSPQLEQFLRQPLMLDCLKGNAEFSHLERIEDGSAVDSAILYYQAQKLLSLCGWEPRSLPYVVDCKDGQNQFVKDADILSSSQGVGYGLNLHLSFRPTDENENLEANKGFENSFGLQYDPKSVVLDCRLCGASVGLWAFSTVQRPVELFRLFGCEEVNPGVHDSGHESDVCEVPFNSGSSSMEQSSNSKLTIAGGPPPTRQNFKARIYVPVIGESLRARLLYHPEIRDQIYSNPKNTLVESNCNRILGEIDCFNNSVNQLGVPLADLRTLNGKKDGQVNCNSKSSDQSPCSNYDVCSGDDTFRNVTPLEGTDFTAKENSPYTGIDDSNIGGQIESSQNLVLDSCQSNNFPEKVDNDRTCNLAVKNSDAMLVGESSVMTQGANVSPRNEGAEANDSSVMVTSEKYYPEQNAEPDKVCDKKNCFSNRDSTCVASCLEADVNVDGTNKMNSREDKTCSNSEEGVIAEVQAVQNNKVLSCPKGKDLKRLHMDKISEFDPIRQHRHFCPWIAPMSGGAPGWQQTLSALLYGKDFPHSSPVCSTSTVSMIKVDDPIASVRKLFMSPTAKRTKITRE >KJB07854 pep chromosome:Graimondii2_0_v6:1:4571051:4575784:1 gene:B456_001G047900 transcript:KJB07854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDPEKRFYSIMDKLFHSSKSTTPFSSSPPAPGTGGQRQLLRAKKRPVPSYTTAVEKPQHCLAASEAPLCRPWDRGDLLRRLSTFKSMTWFAKPKVVNAVNCARRGWVNVDMDIIACESCGARLLFSTPSSWKRQQVEKAALVFSLKLDSEHKLLCPWIDNTCDERLAEFPPSVPADLVDKFRERSDSLFQLIALPVISSLAIEFMRSPQLEQFLRQPLMLDCLKGNAEFSHLERIEDGSAVDSAILYYQAQKLLSLCGWEPRSLPYVVDCKDGQNQFVKDADILSSSQGVGYGLNLHLSFRPTDENENLEANKGFENSFGLQYDPKSVVLDCRLCGASVGLWAFSTVQRPVELFRLFGCEEVNPGVHDSGHESDVCEVPFNSGSSSMEQSSNSKLTIAGGPPPTRQNFKARIYVPVIGESLRARLLYHPEIRDQIYSNPKNTLVESNCNRILGEIDCFNNSVNQLGVPLADLRTLNGKKDGQVNCNSKSSDQSPCSNYDVCSGDDTFRNVTPLEGTDFTAKENSPYTGIDDSNIGGQIESSQNLVLDSCQSNNFPEKVDNDRTCNLAVKNSDAMLVGESSVMTQGANVSPRNEGAEANDSSVMVTSEKYYPEQNAEPDKVCDKKNCFSNRDSTCVASCLEADVNVDGTNKMNSREDKTCSNSEEGVIAEVQAVQNNKVLSCPKGKDLKRLHMDKISEFDPIRQHRHFCPWIAPMSGGAPGWQQTLSALLYGKDFPHSSPVCSTSTVSMIKVS >KJB07855 pep chromosome:Graimondii2_0_v6:1:4570987:4576943:1 gene:B456_001G047900 transcript:KJB07855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDPEKRFYSIMDKLFHSSKSTTPFSSSPPAPGTGGQRQLLRAKKRPVPSYTTAVEKPQHCLAASEAPLCRPWDRGDLLRRLSTFKSMTWFAKPKVVNAVNCARRGWVNVDMDIIACESCGARLLFSTPSSWKRQQVEKAALVFSLKLDSEHKLLCPWIDNTCDERLAEFPPSVPADLVDKFRERSDSLFQLIALPVISSLAIEFMRSPQLEQFLRQPLMLDCLKGNAEFSHLERIEDGSAVDSAILYYQAQKLLSLCGWEPRSLPYVVDCKDGQNQFVKDADILSSSQGVGYGLNLHLSFRPTDENENLEANKGFENSFGLQYDPKSVVLDCRLCGASVGLWAFSTVQRPVELFRLFGCEEVNPGVHDSGHESDVCEVPFNSGSSSMEQSSNSKLTIAGGPPPTRQNFKARIYVPVIGESLRARLFNPKNTLVESNCNRILGEIDCFNNSVNQLGVPLADLRTLNGKKDGQVNCNSKSSDQSPCSNYDVCSGDDTFRNVTPLEGTDFTAKENSPYTGIDDSNIGGQIESSQNLVLDSCQSNNFPEKVDNDRTCNLAVKNSDAMLVGESSVMTQGANVSPRNEGAEANDSSVMVTSEKYYPEQNAEPDKVCDKKNCFSNRDSTCVASCLEADVNVDGTNKMNSREDKTCSNSEEGVIAEVQAVQNNKVLSCPKGKDLKRLHMDKISEFDPIRQHRHFCPWIAPMSGGAPGWQQTLSALLYGKDFPHSSPVCSTSTVSMIKVDDPIASVRKLFMSPTAKRTKITRE >KJB06331 pep chromosome:Graimondii2_0_v6:1:5909882:5910714:1 gene:B456_001G059800 transcript:KJB06331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMTATGPIKLNTTIHHCCHSYQTHEYGEIKYVHMKFFLEKANHIRVGYSPSSSTIITSFDCNMKAKLFGMKNPSDSLVNK >KJB10599 pep chromosome:Graimondii2_0_v6:1:41830709:41832296:-1 gene:B456_001G210400 transcript:KJB10599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRELVGLVMMMILLKPISGRDAVLVLRSNATYQCNGFLGGCGIREELESELDLLMIDSTVIRILGVDKTVGKIYSTLTKDKPAVKQKDCGPSYSNCLPPPNPDNHNKNCKGNSPYCR >KJB10598 pep chromosome:Graimondii2_0_v6:1:41830991:41832263:-1 gene:B456_001G210400 transcript:KJB10598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRELVGLVMMMILLKPISGRDAVLVLRSNATYQCNGFLGGCGIREELESELDLLMIDSTVIRILGVDKTVGKIYSTLTKDKPAVKQKDCGPSYSNCLPPPNPDNHNKNCKGNSPYCR >KJB08504 pep chromosome:Graimondii2_0_v6:1:9546938:9547480:-1 gene:B456_001G088000 transcript:KJB08504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSNSTATVVKATGTGFRHWNPALFGGVAVVLGLIVMAIVIIACSYKKSPSNSSGEEDKAKKGDQQMEMASRIVVIMAGDQNPSYLANPMPSTSSHHLH >KJB08139 pep chromosome:Graimondii2_0_v6:1:6836796:6839303:-1 gene:B456_001G068100 transcript:KJB08139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKLDFILVPAGLLQLALYHVWLLFTILKHPTRTVIGLNAESRHQWVLSMMSDPLKNGVLAVQTIRNNIMASTLLATVAITLSSLISVFVTSSSDSSNTTSEIFYGNKSRILSSIKYFSILLCFLVAFICNVQSIRYYAHVSFLITLPSSVDNVESVEYAARNLNRGSYSWSLGLRAFYLSFPLLLWIFGPIPFFLCCCIMSCVLYFLDTTTSFTRQLHRRSFKEETLKTGYLE >KJB08141 pep chromosome:Graimondii2_0_v6:1:6836816:6838847:-1 gene:B456_001G068100 transcript:KJB08141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLLATVAITLSSLISVFVTSSSDSSNTTSEIFYGNKSRILSSIKYFSILLCFLVAFICNVQSIRYYAHVSFLITLPSSVDNVESVEYAARNLNRGSYSWSLGLRAFYLSFPLLLWIFGPIPFFLCCCIMSCVLYFLDTTTSFTRQLHRRSFKEETLKTGYLE >KJB08142 pep chromosome:Graimondii2_0_v6:1:6836816:6839279:-1 gene:B456_001G068100 transcript:KJB08142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQDPLKNGVLAVQTIRNNIMASTLLATVAITLSSLISVFVTSSSDSSNTTSEIFYGNKSRILSSIKYFSILLCFLVAFICNVQSIRYYAHVSFLITLPSSVDNVESVEYAARNLNRGSYSWSLGLRAFYLSFPLLLWIFGPIPFFLCCCIMSCVLYFLDTTTSFTRQLHRRSFKEETLKTGYLE >KJB08140 pep chromosome:Graimondii2_0_v6:1:6836807:6839303:-1 gene:B456_001G068100 transcript:KJB08140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLLATVAITLSSLISVFVTSSSDSSNTTSEIFYGNKSRILSSIKYFSILLCFLVAFICNVQSIRYYAHVSFLITLPSSVDNVESVEYAARNLNRGSYSWSLGLRAFYLSFPLLLWIFGPIPFFLCCCIMSCVLYFLDTTTSFTRQLHRRSFKEETLKTGYLE >KJB07385 pep chromosome:Graimondii2_0_v6:1:1781089:1784290:1 gene:B456_001G019200 transcript:KJB07385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPATELNCSLYICFTDMVIYESSGYCLVAVFELLIAISKCLFFILISNSIIEFYYFDSRVQFFVYLHLSFTGSGIRLSESLYTVKGVGENGSRVDELKRLLSTASESSCLPASFHDTKKIDMVEKPHVRYSRSDPKNSLSSMLSGHTLYIDSDISDELRNKVLEAASKEGALVVDRWFVGCNASYVVCEGNSVHRYVGHSNNIVTPLWILKTAKDRYLQRLVHMSADLARQIGTVLENSQNGIEGEVNNAGNFSQDTPSFRSNASYEERQQIVHSAKTGVRNRRGRRMQTCQTPIRPISPSSLLDSICWTISEPTSTASVFTDSCSVEDVNEHQSIFFDANGDGQDSRASFTNSTRSLTESERNELIFKNHFLTILFPVDRFSEMGPSSRTYFSNNGFTCLQVLDYIYSFYQENMSSHEIEAAIHTDSRHADRLRASYSSKETVECGGNMIFKRIDFLGSRRSFEMLKRVSGDNNSNVYELLIRA >KJB07384 pep chromosome:Graimondii2_0_v6:1:1779089:1784648:1 gene:B456_001G019200 transcript:KJB07384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLNNNRVEVVNRKGCSKLFIGSSPSFEPMPLSPVASSLGSEPVTVRSTGPFSGLVICVTGLSKEARKQVMEATERLGGQYSPSLHPQCTHLVVQSINGRKFEHALKHGSRNGLFIVTLGWFVDSVKRNVRLSESLYTVKGVGENGSRVDELKRLLSTASESSCLPASFHDTKKIDMVEKPHVRYSRSDPKNSLSSMLSGHTLYIDSDISDELRNKVLEAASKEGALVVDRWFVGCNASYVVCEGNSVHRYVGHSNNIVTPLWILKTAKDRYLQRLVHMSADLARQIGTVLENSQNGIEGEVNNAGNFSQDTPSFRSNASYEERQQIVHSAKTGVRNRRGRRMQTCQTPIRPISPSSLLDSICWTISEPTSTASVFTDSCSVEDVNEHQSIFFDANGDGQDSRASFTNSTRSLTESERNELIFKNHFLTILFPVDRFSEMGPSSRTYFSNNGFTCLQVLDYIYSFYQENMSSHEIEAAIHTDSRHADRLRASYSSKETVECGGNMIFKRIDFLGSRRSFEMLKRVSGDNNSNVYELLIRA >KJB07386 pep chromosome:Graimondii2_0_v6:1:1779089:1784648:1 gene:B456_001G019200 transcript:KJB07386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMMMMITFPITQSMYYFYWYHSSVDLNLGYSINGRKFEHALKHGSRNGLFIVTLGWFVDSVKRNVRLSESLYTVKGVGENGSRVDELKRLLSTASESSCLPASFHDTKKIDMVEKPHVRYSRSDPKNSLSSMLSGHTLYIDSDISDELRNKVLEAASKEGALVVDRWFVGCNASYVVCEGNSVHRYVGHSNNIVTPLWILKTAKDRYLQRLVHMSADLARQIGTVLENSQNGIEGEVNNAGNFSQDTPSFRSNASYEERQQIVHSAKTGVRNRRGRRMQTCQTPIRPISPSSLLDSICWTISEPTSTASVFTDSCSVEDVNEHQSIFFDANGDGQDSRASFTNSTRSLTESERNELIFKNHFLTILFPVDRFSEMGPSSRTYFSNNGFTCLQVLDYIYSFYQENMSSHEIEAAIHTDSRHADRLRASYSSKETVECGGNMIFKRIDFLGSRRSFEMLKRVSGDNNSNVYELLIRA >KJB10334 pep chromosome:Graimondii2_0_v6:1:34331265:34334313:1 gene:B456_001G196400 transcript:KJB10334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVESHGEKDDSNEGTVVDFRGNPVDKSKTGGWLAAGLILGSELSERICVMGISMNLVTYLVGDLHISAAKSATIVTNFMGALNLLGLLGGFLADAKLGRYLTVALSASITALGVILLTLATTIPSMRPPYCDDYRRKHHECIEANGRQLALLYAALYTTALGGGGIKSNVSGFGSDQFDVGDPKEEKAMIFFFNRFYFGISLGSLFAVIVLVYIQDNVGRGWGYGISAVTMVIAVAVLICGTPWYRFKKPQGSPLTIVWRVLLLALKKKNQPYPSHPSLLNDYDNRKVPYTPRFKFLDKAAILDDNCAANAEKNNPWLVSTVTHVEEVKMVIKLLPIWSTCILFWTIYSQMTTFTVEQATIMHRKIGSFVIPAGSFSAFLIISILLFTSLNEKFFVPLARKITHNPQGITSLQRIGIGLVFSVAAMVGAAIIEKERREIAVEKEVKISAFWLLVQFFLVGAGEAFAYVGQLEFFIREAPDRMKSMSTGLFLSTISMGFFVSSLLVSIVDHVTDRNWLRNNLNKGKLNNFYWLLAVLGFLNFLVFLIFASRHQYKMQLPIEPEQWEK >KJB10335 pep chromosome:Graimondii2_0_v6:1:34331265:34334313:1 gene:B456_001G196400 transcript:KJB10335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVESHGEKDDSNEGTVVDFRGNPVDKSKTGGWLAAGLILGSELSERICVMGISMNLVTYLVGDLHISAAKSATIVTNFMGALNLLGLLGGFLADAKLGRYLTVALSASITALGVILLTLATTIPSMRPPYCDDYRRKHHECIEANGRQLALLYAALYTTALGGGGIKSNVSGFGSDQFDVGDPKEEKAMIFFFNRFYFGISLGSLFAVIVLVYIQDNVGRGWGYGISAVTMVIAVAVLICGTPWYRFKKPQGSPLTIVWRVLLLALKKKNQPYPSHPSLLNDYDNRKVPYTPRFKFLDKAAILDDNCAANAEKNNPWLVSTVTHVEEVKMVIKLLPIWSTCILFWTIYSQMTTFTVEQATIMHRKIGSFVIPAGSFSAFLIISILLFTSLNEKFFVPLARKITHNPQGITSLQRIGIGLVFSVAAMVGAAIIEKERREIAVEKEVKISAFWLLVQFFLVGAGEAFAYVGQLEFFIREAHIYLSRVICKVIIPLFFLILLIKLCNIVGDIFTYLAQ >KJB10333 pep chromosome:Graimondii2_0_v6:1:34330865:34334416:1 gene:B456_001G196400 transcript:KJB10333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVESHGEKDDSNEGTVVDFRGNPVDKSKTGGWLAAGLILGSELSERICVMGISMNLVTYLVGDLHISAAKSATIVTNFMGALNLLGLLGGFLADAKLGRYLTVALSASITALGVILLTLATTIPSMRPPYCDDYRRKHHECIEANGRQLALLYAALYTTALGGGGIKSNVSGFGSDQFDVGDPKEEKAMIFFFNRFYFGISLGSLFAVIVLVYIQDNVGRGWGYGISAVTMVIAVAVLICGTPWYRFKKPQGSPLTIVWRVLLLALKKKNQPYPSHPSLLNDYDNRKVPYTPRFKFLDKAAILDDNCAANAEKNNPWLVSTVTHVEEVKMVIKLLPIWSTCILFWTIYSQMTTFTVEQATIMHRKIGSFVIPAGSFSAFLIISILLFTSLNEKFFVPLARKITHNPQGITSLQRIGIGLVFSVAAMVGAAIIEKERREIAVEKEVKISAFWLLVQFFLVGAGEAFAYVGQLEFFIREAPDRMKSMSTGLFLSTISMGFFVSSLLVSIVDHVTDRNWLRNNLNKGKLNNFYWLLAVLGFLNFLVFLIFASRHQYKMQLPIEPESGEKELKGLNGETIQDMEKKASLQAVGEVEP >KJB06408 pep chromosome:Graimondii2_0_v6:1:17038881:17041017:1 gene:B456_001G132800 transcript:KJB06408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEDKTLVEIIDENKQIDLAKYINYVSAPQAGAIATFSGTTRDTFEGKTVVELRYEAYVPMAIRNLKSICSSARSSWDLHSIAVAHRVGSVPVGEISVFVAVSATHRADALDACKFLIAELKASVPIWKKEVYSNGEVWKENTEFLERRFKHGKDGSCCRRKIKTEARDIKGCCKPKVKEEDAADISTS >KJB06409 pep chromosome:Graimondii2_0_v6:1:17038881:17041017:1 gene:B456_001G132800 transcript:KJB06409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEDKTLVEIIDENKQIDLAKYINYVSAPQAGAIATFSGTTRDTFEGKTVVELRYEAYVPMAIRNLKSICSSARSSWDLHSIAVAHRVGSVPVGEISVFVAVSATHRADALDACKFLIAELKASVPIWKKEVYSNGEVWKENTEFLERRFKHGKDGSCCRRKIKTEARDIKGCCKPKVKEEDAADISTS >KJB06410 pep chromosome:Graimondii2_0_v6:1:17038881:17041017:1 gene:B456_001G132800 transcript:KJB06410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEDKTLVEIIDENKQIDLAKYINYVSAPQAGAIATFSGTTRDTFEGKTVVELRYEAYVPMAIRNLKSICSSARSSWDLHSIAVAHRVGSVPVGEISVFVAVSATHRADALDACKFLIAELKASVPIWKKEVYSNGEVWKENTEFLERRFKHGKDGSCCRRKIKTEARDIKGCCKPKVKEEDAADISTS >KJB09690 pep chromosome:Graimondii2_0_v6:1:22194494:22199098:-1 gene:B456_001G156600 transcript:KJB09690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKMEILHVEKVIEEFETMTKDTENVQRETLKKILEENGSAEYLQNLGLNGRTDPQSFKACVPVVTHQDLDPYIQRIVDGASSPILTGKPITTISLSSGTTQGRPKFVPFNDELMETTLQIFRTSYAFRNREFPVKNGKALQFIYSSKQSRTKGGLFAGTATTNVFRNSQFKKAMKAMQSQCCSPDEVIFGPDFRQSLYCHLLCGLIFREEIQLVSSTFAHSIVHAFRTLEQVWEELCVDIREGILTSRITFPSVRSAMAKLLKPNPELADLIRRKISGLSNWYGLIPELFPNVKYIYGIMTGSMEHYLKKLRHYAGDVPLISADYGSSEGWIGANINPNVPTESTTYAVLPNIGYFEFIPLKENVEEQVHDRGDANILSMEPKPVSLTEVKIGEEYEVIVTSFAGLYRYRLGDVVKVMGFHNSTPELKFICRRNLMLTVNIDKNTEKDLQQAVEEAAKLIAEEKQEVIDFSSHVDMWTDPGHYVIFWEISGEVSDEVLKECCNCLDRSFVDAGYVSSRKVNAIGPLELRILRRGTFQKVLDHYLGLGAAVSQFKTPRCVGPTNYKVLQILCDNVFKTYFSTAF >KJB09689 pep chromosome:Graimondii2_0_v6:1:22194460:22199098:-1 gene:B456_001G156600 transcript:KJB09689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKMEILHVEKVIEEFETMTKDTENVQRETLKKILEENGSAEYLQNLGLNGRTDPQSFKACVPVVTHQDLDPYIQRIVDGASSPILTGKPITTISLSSGTTQGRPKFVPFNDELMETTLQIFRTSYAFRNREFPVKNGKALQFIYSSKQSRTKGGLFAGTATTNVFRNSQFKKAMKAMQSQCCSPDEVIFGPDFRQSLYCHLLCGLIFREEIQLVSSTFAHSIVHAFRTLEQVWEELCVDIREGILTSRITFPSVRSAMAKLLKPNPELADLIRRKISGLSNWYGLIPELFPNVKYIYGIMTGSMEHYLKKLRHYAGDVPLISADYGSSEGWIGANINPNVPTESTTYAVLPNIGYFEFIPLKENVEEQVHDRGDANILSMEPKPVSLTEVKIGEEYEVIVTSFAGLYRYRLGDVVKVMGFHNSTPELKFICRRNLMLTVNIDKNTEKDLQQAVEEAAKLIAEEKQEVIDFSSHVDMWTDPGHYVIFWEISGEVSDEVLKECCNCLDRSFVDAGYVSSRKVNAIGPLELRILRRGTFQKVLDHYLGLGAAVSQFKTPRCVGPTNYKVLQILCDNVFKTYFSTAF >KJB07485 pep chromosome:Graimondii2_0_v6:1:2455692:2456655:1 gene:B456_001G026400 transcript:KJB07485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETQPKWKGKAMAVLKRSTPDQIWPFLEEFCNLDRLFPDIHTCYRVEGSPGQPGLVRHCIGKFGWVNEKLLTIDPTNWSLSYQVLENNFGLNNYVATLKVLPTAKMGDDGKPAGCEIEWSFITDPIQGMKLEDFVSYIDNSLQFMAKKMEDALNAQMQRFGMP >KJB08243 pep chromosome:Graimondii2_0_v6:1:7485628:7489588:-1 gene:B456_001G073500 transcript:KJB08243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFCCVQVDQSTVAIKERFGRFEDVLEPGCHCLPWFLGSQLAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLTNTRTQIQAYVFDVIRASVPKLNLDDVFEQKTEIAKAVEEELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVATQIRDGLLQATHQ >KJB08242 pep chromosome:Graimondii2_0_v6:1:7485643:7488693:-1 gene:B456_001G073500 transcript:KJB08242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFCCVQVDQSTVAIKERFGRFEDVLEPGCHCLPWFLGSQLAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLTNTRTQIQAYVFDVIRASVPKLNLDDVFEQKTEIAKAVEEELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVATQIRDGLLQATHQ >KJB07291 pep chromosome:Graimondii2_0_v6:1:5805443:5805977:-1 gene:B456_001G058900 transcript:KJB07291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDDQKELQLLPTPIPISSPTQIPSRRSTVAATTATGGGGDHQYEGPSLDLQLSISLTPIQQPSNCVSVESLKWQAAEQIRLAAIEKAYAERVRELTKREMELAQSEFARARHMWQRAREEVEKAERMKERATKQIDSTCMEITCQSCRQRFRP >KJB09921 pep chromosome:Graimondii2_0_v6:1:25708746:25710702:-1 gene:B456_001G175000 transcript:KJB09921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVEISRYNLIPLSVTSLCGCPCRLLSNKTLIPTRRTPKLKLNFVFHGSKSVFRVRPTSIYVNRRLITAVARAEPESIDESNAEEEVDQGTVLPTTKDSFSELQHKSSQLRKRIVFGLGIGISVGGAVLAGGWVFTVALAAAVFLGAREYFELVRSRGITAGMTPPPRYVSRVCSVICVFMPILTLYFGNIDISVTSAAFVVATALLMQRGNPRFAQLSSTMFGLFYCGYLPCFWVKLRCGLAAPALNTRIGAGWPFLLGGQAHWTVGLVATLISFSSIIAADTYAFLGGKVYSLDQQDLVLLV >KJB09919 pep chromosome:Graimondii2_0_v6:1:25706884:25710912:-1 gene:B456_001G175000 transcript:KJB09919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVEISRYNLIPLSVTSLCGCPCRLLSNKTLIPTRRTPKLKLNFVFHGSKSVFRVRPTSIYVNRRLITAVARAEPESIDESNAEEEVDQGTVLPTTKDSFSELQHKSSQLRKRIVFGLGIGISVGGAVLAGGWVFTVALAAAVFLGAREYFELVRSRGITAGMTPPPRYVSRVCSVICVFMPILTLYFGNIDISVTSAAFVVATALLMQRGNPRFAQLSSTMFGLFYCGYLPCFWVKLRCGLAAPALNTRIGAGWPFLLGGQAHWTVGLVATLISFSSIIAADTYAFLGGKAIGKTPLTNISPKKTWEGAIVGLGGCIATSVVLSKIFSWPASLVRSLARINASVNC >KJB09920 pep chromosome:Graimondii2_0_v6:1:25706941:25710702:-1 gene:B456_001G175000 transcript:KJB09920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVEISRYNLIPLSVTSLCGCPCRLLSNKTLIPTRRTPKLKLNFVFHGSKSVFRVRPTSIYVNRRLITAVARAEPESIDESNAEEEVDQGTVLPTTKDSFSELQHKSSQLRKRIVFGLGIGISVGGAVLAGGWVFTVALAAAVFLGAREYFELVRSRGITAGMTPPPRYVSRVCSVICVFMPILTLYFGNIDISVTSAAFVVATALLMQRGNPRFAQLSSTMFGLFYCGYLPCFWVKLRCGLAAPALNTRIGAGWPFLLGGQAHWTVGLVATLISFSSIIAADTYAFLGGKAIGKTPLTNISPKKTWEGAIVGLGGCIATSVVLSKIFSWPASLVSAIAFGFLNFFGSVFGDLTESMIKRDAGVKDSGSLIPGHGNYSWS >KJB09918 pep chromosome:Graimondii2_0_v6:1:25706835:25710912:-1 gene:B456_001G175000 transcript:KJB09918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVEISRYNLIPLSVTSLCGCPCRLLSNKTLIPTRRTPKLKLNFVFHGSKSVFRVRPTSIYVNRRLITAVARAEPESIDESNAEEEVDQGTVLPTTKDSFSELQHKSSQLRKRIVFGLGIGISVGGAVLAGGWVFTVALAAAVFLGAREYFELVRSRGITAGMTPPPRYVSRVCSVICVFMPILTLYFGNIDISVTSAAFVVATALLMQRGNPRFAQLSSTMFGLFYCGYLPCFWVKLRCGLAAPALNTRIGAGWPFLLGGQAHWTVGLVATLISFSSIIAADTYAFLGGKAIGKTPLTNISPKKTWEGAIVGLGGCIATSVVLSKIFSWPASLVSAIAFGFLNFFGSVFGDLTESMIKRDAGVKDSGSLIPGHGGILDRVDSYIFTGALAYSFVKILLPLYGV >KJB09144 pep chromosome:Graimondii2_0_v6:1:15762002:15763219:1 gene:B456_001G125700 transcript:KJB09144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIEIPQYFICPISLQIMKDPVTAVTGITYDRESIEQWLKTSKHTTCPVTKQALPSDSDLTPNHTLWRLIQAWSAANASNGMDLIPTPKTPVSKSHVVKLIRDFGVPSLYMNALKKMEVLAEDNERKRKCLEEAGVPKAVILLLITCHRQGKISCLEKALRILHLIWTPCSEIKALVNQNCDFIDCLTWILLCETENPVIVTTHVMVILKRVIEVTNSRLLEKLKPEFFKQMLRVVKSKTSQQATKSALHILIQTCQWGRNKSKMMDANAIFELIEFELEKPEKHVTELIINLLAHLCSCADGRAQFLGHAGSIAMVAKRILRVSPATDDRAVQILKSISKYAATKHVLVEMLKVGAVTKLCMVMQADCAAYVKEKARGILRLHSNLWNGSPCIAVYLLTRYQR >KJB11643 pep chromosome:Graimondii2_0_v6:1:54613142:54618511:1 gene:B456_001G269300 transcript:KJB11643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLNAKNRRGPTVRVDYLIHIQEIKPWPPSQSLRSLCSVLIQWENSERNSGSTKTTSPTLGSIVGEGKIEFNESFKLPVNLVRDLSVKGRDVDMFHKNFLEFNLYEPRREKIQLLATAIVDLAEYGVIKETLEITVPMNSKRSYSNTTQPMLLIKIDRISKGQNISSSRSGLSKELFQDKKGSESVSSLMDEEYAEEAEIASFTDDDVSSHSSLTVSSSNLESNGSSLPQNKENGLVAVTVGKEVKGEHILASKLNLERTNVANSSLTDLSSDFERSVDVRASALNSYGSNSSVRENIVNHKFQFTSSSLTSEKMHNEINSSGSKASVADDAYGFSLEANSGYGWQENGHEGQYSVDKRYFTKDEQLNVQSQENALRMKANDVKSIRPSSDVVNEELKEVGFAVDVHHRPGSSRSKSSNKRKDSKVYPKDTRNVILDNKVQQLENKIKMLEGELREAAATEAALYSVVAEHGSSMSKVHAPARRLSRLYLHACKEGSQLRRGSAAKSAVSGLALVAKACGNDVPRLMFWLSNCVVLRAIISESTVGRTERNGVEKGKKLASSPLKWRESSPGRKENKSFSDWDNPLAFTSALERVETWVFSRIIESVWWQALTPHMQSEGKKEIYEGKGSGSSKSYGRIPSSSNQDQVNFSLDHWKKAFKDACERLCPVRAAGHECGCLCLLSKLIMEQCVARLDVAMFNAILRDSGDEIPTDPVSDPISDTLVLPIPAGKTSFGAGAQLKNAIGNWSRWLTDLFGIDDDDLVGDENDWDDNDKQQITSLKSFNLLNALSDLMMLPKDMLLSKHIREEVCPTFAATLIKRVLDTFAPDEFCPDPVPNAVLEALEAEDPVEARKGSITNFPCVASPPVYSPPSATSVASIIGEVGSKSQLRRSGSSVLRKSHTSDDELDELNSPLSSIFIDGFLSSPFQSKPNRISKGNSNQSAIRYKLLRDVWMNSE >KJB11644 pep chromosome:Graimondii2_0_v6:1:54613380:54617377:1 gene:B456_001G269300 transcript:KJB11644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLNAKNRRGPTVRVDYLIHIQEIKPWPPSQSLRSLCSVLIQWENSERNSGSTKTTSPTLGSIVGEGKIEFNESFKLPVNLVRDLSVKGRDVDMFHKNFLEFNLYEPRREKIQLLATAIVDLAEYGVIKETLEITVPMNSKRSYSNTTQPMLLIKIDRISKGQNISSSRSGLSKELFQDKKGSESVSSLMDEEYAEEAEIASFTDDDVSSHSSLTVSSSNLESNGSSLPQNKENGLVAVTVGKEVKGEHILASKLNLERTNVANSSLTDLSSDFERSVDVRASALNSYGSNSSVRENIVNHKFQFTSSSLTSEKMHNEINSSGSKASVADDAYGFSLEANSGYGWQENGHEGQYSVDKRYFTKDEQLNVQSQENALRMKANDVKSIRPSSDVVNEELKEVGFAVDVHHRPGSSRSKSSNKRKDSKVYPKDTRNVILDNKVQQLENKIKMLEGELREAAATEAALYSVVAEHGSSMSKVHAPARRLSRLYLHACKEGSQLRRGSAAKSAVSGLALVAKACGNDVPRLMFWLSNCVVLRAIISESTVGRTERNGVEKGKKLASSPLKWRESSPGRKENKSFSDWDNPLAFTSALERVETWVFSRIIESVWWQALTPHMQSEGKKEIYEGKGSGSSKSYGRIPSSSNQDQVNFSLDHWKKAFKDACERLCPVRAAGHECGCLCLLSKLIMEQCVARLDVAMFNAILRDSGDEIPTDPVSDPISDTLVLPIPAGKTSFGAGAQLKNAIGNWSRWLTDLFGIDDDDLVGDENDWDDNDKQQITSLKSFNLLNALSDLMMLPKDMLLSKHIREEVRYPIFRPFI >KJB11645 pep chromosome:Graimondii2_0_v6:1:54615108:54618422:1 gene:B456_001G269300 transcript:KJB11645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNEINSSGSKASVADDAYGFSLEANSGYGWQENGHEGQYSVDKRYFTKDEQLNVQSQENALRMKANDVKSIRPSSDVVNEELKEVGFAVDVHHRPGSSRSKSSNKRKDSKVYPKDTRNVILDNKVQQLENKIKMLEGELREAAATEAALYSVVAEHGSSMSKVHAPARRLSRLYLHACKEGSQLRRGSAAKSAVSGLALVAKACGNDVPRLMFWLSNCVVLRAIISESTVGRTERNGVEKGKKLASSPLKWRESSPGRKENKSFSDWDNPLAFTSALERVETWVFSRIIESVWWQALTPHMQSEGKKEIYEGKGSGSSKSYGRIPSSSNQDQVNFSLDHWKKAFKDACERLCPVRAAGHECGCLCLLSKLIMEQCVARLDVAMFNAILRDSGDEIPTDPVSDPISDTLVLPIPAGKTSFGAGAQLKNAIGNWSRWLTDLFGIDDDDLVGDENDWDDNDKQQITSLKSFNLLNALSDLMMLPKDMLLSKHIREEVCPTFAATLIKRVLDTFAPDEFCPDPVPNAVLEALEAEDPVEARKGSITNFPCVASPPVYSPPSATSVASIIGEVGSKSQLRRSGSSVLRKSHTSDDELDELNSPLSSIFIDGFLSSPFQSKPNRISKGNSNQSAIRYKLLRDVWMNSE >KJB09039 pep chromosome:Graimondii2_0_v6:1:14716252:14722527:1 gene:B456_001G120700 transcript:KJB09039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPQNGNGKLNTIAEEEEERVIGPGPAPRARPKRPLQFEQAYLDSLPSANMYEKSYMHRDVVTHVAVSSADFFITGSVDGHLKFWKKKAVGIEFAKHFRSHLDPIEGLAVSADGLLCCTISSDRSVKVYDVVNYDMMVMIRLPYVPGAVEWVYKQGDVKAKLAISDRNSSLVHIYDARAGSNEPIISREIHLGPVKVMRYNSAFDIVISGDEQGIIEYWSPATLQFPESEVHFRLKSDTNLFEIAKCKTTISAIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDAPMYRLEAIDFGRRMAVEKEIEKTETAPQPNAVFDESSNFLIYATLLGIKMVNLHTNKVARILGKVESNDRFLRIALYQGDRSSKKVRKIPAAAANVNESKDPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPADELLAVSDIGKAVTTSLPDNVILHTTMGDIHMRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQGIEKVKTDKADKPYQDVKILNVTVPKS >KJB09041 pep chromosome:Graimondii2_0_v6:1:14716690:14722485:1 gene:B456_001G120700 transcript:KJB09041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVMIRLPYVPGAVEWVYKQGDVKAKLAISDRNSSLVHIYDARAGSNEPIISREIHLGPVKVMRYNSAFDIVISGDEQGIIEYWSPATLQFPESEVHFRLKSDTNLFEIAKCKTTISAIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDAPMYRLEAIDFGRRMAVEKEIEKTETAPQPNAVFDESSNFLIYATLLGIKMVNLHTNKVARILGKVESNDRFLRIALYQGDRSSKKVRKIPAAAANVNESKDPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPADELLAVSDIGKAVTTSLPDNVILHTTMGDIHMRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQGIEKVKTDKADKPYQDVKILNVTVPKS >KJB09038 pep chromosome:Graimondii2_0_v6:1:14716283:14722514:1 gene:B456_001G120700 transcript:KJB09038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPQNGNGKLNTIAEEEEERVIGPGPAPRARPKRPLQFEQAYLDSLPSANMYEKSYMHRDVVTHVAVSSADFFITGSVDGHLKFWKKKAVGIEFAKHFRSHLDPIEGLAVSADGLLCCTISSDRSVKVYDVVNYDMMVMIRLPYVPGAVEWVYKQGDVKAKLAISDRNSSLVHIYDARAGSNEPIISREIHLGPVKVMRYNSAFDIVISGDEQGIIEYWSPATLQFPESEVHFRLKSDTNLFEIAKCKTTISAIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDAPMYRLEAIDFGRRMAVEKEIEKTETAPQPNAVFDESSNFLIYATLLGIKMVNLHTNKVARILGKVESNDRFLRIALYQGDRSSKKVRKIPAAAANVNESKDPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPADELLAVSDIGKAVTTSLPDNVILHTTMGDIHMRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQGIEKVKTDKADKPYQDVKILNVTVPKS >KJB09040 pep chromosome:Graimondii2_0_v6:1:14716690:14722485:1 gene:B456_001G120700 transcript:KJB09040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSADGLLCCTISSDRSVKVYDVVNYDMMVMIRLPYVPGAVEWVYKQGDVKAKLAISDRNSSLVHIYDARAGSNEPIISREIHLGPVKVMRYNSAFDIVISGDEQGIIEYWSPATLQFPESEVHFRLKSDTNLFEIAKCKTTISAIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDAPMYRLEAIDFGRRMAVEKEIEKTETAPQPNAVFDESSNFLIYATLLGIKMVNLHTNKVARILGKVESNDRFLRIALYQGDRSSKKVRKIPAAAANVNESKDPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPADELLAVSDIGKAVTTSLPDNVILHTTMGDIHMRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQGIEKVKTDKADKPYQDVKILNVTVPKS >KJB11161 pep chromosome:Graimondii2_0_v6:1:48468118:48474938:-1 gene:B456_001G243600 transcript:KJB11161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGLVALLRLTWVAAILPIILASLRLRPFHQTILGVAKRGKTMHPSSSKFTVPQRFFSHFYMAGTLWTTLLLLTTWLYACTAGSTSSTIFALHKSHRVWRTVFLLWLMEAQVLRRLYESLYVFHYRPLARMHIFGYFIGMRKQWQPLEVIGGNRFPLWLRWKQWVGSAIFLWGWIHQLRCHAILGSMRAGGEEYVIPKGDWFEIVSSPHYLAEIVIYVGLLIASGGADITIWLLLAFVVTNLGFAAAETQKWYIGKFEDYPKHRYAMIPFIF >KJB11165 pep chromosome:Graimondii2_0_v6:1:48468398:48474715:-1 gene:B456_001G243600 transcript:KJB11165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSCCKPNTIFGSYWLCRLQKFTVPQRFFSHFYMAGTLWTTLLLLTTWLYACTAGSTSSTIFALHKSHRVWRTVFLLWLMEAQVLRRLYESLYVFHYRPLARMHIFGYFIGMSYYIVAPLSLCCTCAPEVFEFTLDLVSEGRKQWQPLEVIGGNRFPLWLRWKQWVGSAIFLWGWIHQLRCHAILGSMRAGGEEYVIPKGDWFEIVSSPHYLAEIVIYVGLLIASGGADITIWLLLAFVVTNLGFAAAETQKWYIGKFEDYPKHRYAMIPFIF >KJB11163 pep chromosome:Graimondii2_0_v6:1:48468118:48474938:-1 gene:B456_001G243600 transcript:KJB11163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGLVALLRLTWVAAILPIILASLRLRPFHQTILGVAKRGKTMHPSSSKFTVPQRFFSHFYMAGTLWTTLLLLTTWLYACTAGSTSSTIFALHKSHRVWRTVFLLWLMEAQVLRRLYESLYVFHYRPLARMHIFGYFIGMSYYIVAPLSLCCTCAPEVFEFTLDLVSEGRKQWQPLEVIGGNRFPLWLRWKQWVGSAIFLWGWIHQLRCHAILVIYVGLLIASGGADITIWLLLAFVVTNLGFAAAETQKWYIGKFEDYPKHRYAMIPFIF >KJB11162 pep chromosome:Graimondii2_0_v6:1:48468118:48474938:-1 gene:B456_001G243600 transcript:KJB11162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGLVALLRLTWVAAILPIILASLRLRPFHQTILGVAKRGKTMHPSSSKFTVPQRFFSHFYMAGTLWTTLLLLTTWLYACTAGSTSSTIFALHKSHRVWRTVFLLWLMEAQVLRRLYESLYVFHYRPLARMHIFGYFIGMSYYIVAPLSLCCTCAPEVFEFTLDLVSEGRKQWQPLEVIGGNRFPLWLRWKQWVGSAIFLWGWIHQLRCHGSMRAGGEEYVIPKGDWFEIVSSPHYLAEIVIYVGLLIASGGADITIWLLLAFVVTNLGFAAAETQKWYIGKFEDYPKHRYAMIPFIF >KJB11164 pep chromosome:Graimondii2_0_v6:1:48468118:48474938:-1 gene:B456_001G243600 transcript:KJB11164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGLVALLRLTWVAAILPIILASLRLRPFHQTILGVAKRGKTMHPSSSFTVPQRFFSHFYMAGTLWTTLLLLTTWLYACTAGSTSSTIFALHKSHRVWRTVFLLWLMEAQVLRRLYESLYVFHYRPLARMHIFGYFIGMSYYIVAPLSLCCTCAPEVFEFTLDLVSEGRKQWQPLEVIGGNRFPLWLRWKQWVGSAIFLWGWIHQLRCHAILGSMRAGGEEYVIPKGDWFEIVSSPHYLAEIVIYVGLLIASGGADITIWLLLAFVVTNLGFAAAETQKWYIGKFEDYPKHRYAMIPFIF >KJB11167 pep chromosome:Graimondii2_0_v6:1:48473628:48474938:-1 gene:B456_001G243600 transcript:KJB11167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGLVALLRLTWVAAILPIILASLRLRPFHQTILGVAKRGKTMHPSSSKFTVPQRFFSHFYMAGTLWTTLLLLTTWLYACTAGSTSSTIFALHKSHRVWRTVFLLWLMEAQVLRRLYESLYVFHYRPLARMHIFGYFIGMSYYIVAPLSLCCTCAPEVFEFTLDLVSEGRKQWQPLEVIGGNRFPLWLRWKQWVGSAIFLWGWIHQLRCHAILVS >KJB11160 pep chromosome:Graimondii2_0_v6:1:48468415:48474884:-1 gene:B456_001G243600 transcript:KJB11160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGLVALLRLTWVAAILPIILASLRLRPFHQTILGVAKRGKTMHPSSSKFTVPQRFFSHFYMAGTLWTTLLLLTTWLYACTAGSTSSTIFALHKSHRVWRTVFLLWLMEAQVLRRLYESLYVFHYRPLARMHIFGYFIGMSYYIVAPLSLCCTCAPEVFEFTLDLVSEGRKQWQPLEVIGGNRFPLWLRWKQWVGSAIFLWGWIHQLRCHAILGSMRAGGEEYVIPKGDWFEIVSSPHYLAEIVIYVGLLIASGGADITIWLLLAFVVKEKKKKLSFNFVAFLGLFNDLKYLYIWVVGDGRSQIWGLQQLKHKSGILVNLKITQNIDMP >KJB11166 pep chromosome:Graimondii2_0_v6:1:48468118:48475093:-1 gene:B456_001G243600 transcript:KJB11166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGLVALLRLTWVAAILPIILASLRLRPFHQTILGVAKRGKTMHPSSSKFTVPQRFFSHFYMAGTLWTTLLLLTTWLYACTAGSTSSTIFALHKSHRVWRTVFLLWLMEAQVLRRLYESLYVFHYRPLARMHIFGYFIGMSYYIVAPLSLCCTCAPEVFEFTLDLVSEGRKQWQPLEVIGGNRFPLWLRWKQWVGSAIFLWGWIHQLRCHAILGSMRAGGEEYVIPKGDWFEIVSSPHYLAEIVIYVGLLIASGGADITIWLLLAFVVTNLGFAAAETQKWYIGKFEDYPKHRYAMIPFIF >KJB06319 pep chromosome:Graimondii2_0_v6:1:46888913:46890377:-1 gene:B456_001G231800 transcript:KJB06319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIESRGPSLRGYQWRKVVLDLNAPPCEIIEQEGTSEQVGLKEVACQPVHPASPATIDVEVIDDDVTESSATAFAEAKNNSRRSSGRTVVDLDSGWLTRSTNNNQNKHRRPPPSQTVINCDHYINLESTPQFTVRALALVH >KJB06322 pep chromosome:Graimondii2_0_v6:1:46887958:46891298:-1 gene:B456_001G231800 transcript:KJB06322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIESRGPSLRGYQWRKVVLDLNAPPCEIIEQEGTSEQVGLKEVACQPVHPASPATIDVEVIDDDVTESSATAFAEAKNNSRRSSGRTVVDLDSGQGNSKAATTSNLQLSNLYGSINRGDVDKVWTHILQGMH >KJB06321 pep chromosome:Graimondii2_0_v6:1:46888845:46890377:-1 gene:B456_001G231800 transcript:KJB06321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIESRGPSLRGYQWRKVVLDLNAPPCEIIEQEGTSEQVGLKEVACQPVHPASPATIDVEVIDDDVTESSATAFAEAKNNSRRSSGRTVVDLDSGWLTRSTNNNQNKHRRPPPSQTVINCDHYINLESTPQFTVRTCLAGHQI >KJB06318 pep chromosome:Graimondii2_0_v6:1:46887958:46891286:-1 gene:B456_001G231800 transcript:KJB06318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIESRGPSLRGYQWRKVVLDLNAPPCEIIEQEGTSEQVGLKEVACQPVHPASPATIDVEVIDDDVTESSATAFAEAKNNSRRSSGRTVVDLDSGWLTRSTNNNQNKHRRPPPSQTVINCDHYINLESTPQFTEILKPQPPPTFNCPICMGPLTEEMSTRCGHIFCKACIKAAIAVQRKCPTCRKKVTVKELFRVFLPSAC >KJB06317 pep chromosome:Graimondii2_0_v6:1:46887958:46890616:-1 gene:B456_001G231800 transcript:KJB06317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIESRGPSLRGYQWRKVVLDLNAPPCEIIEQEGTSEQVGLKEVACQPVHPASPATIDVEVIDDDVTESSATAFAEAKNNSRRSSGRTVVDLDSGWLTRSTNNNQNKHRRPPPSQTVINCDHYINLESTPQFTVKEILKPQPPPTFNCPICMGPLTEEMSTRCGHIFCKACIKAAIAVQRKCPTCRKKVTVKELFRVFLPSAC >KJB06320 pep chromosome:Graimondii2_0_v6:1:46888845:46890377:-1 gene:B456_001G231800 transcript:KJB06320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIESRGPSLRGYQWRKVVLDLNAPPCEIIEQEGTSEQVGLKEVACQPVHPASPATIDVEVIDDDVTESSATAFAEAKNNSRRSSGRTVVDLDSGWLTRSTNNNQNKHRRPPPSQTVINCDHYINLESTPQFTVRTCLAGHQI >KJB06315 pep chromosome:Graimondii2_0_v6:1:46887958:46891162:-1 gene:B456_001G231800 transcript:KJB06315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIESRGPSLRGYQWRKVVLDLNAPPCEIIEQEGTSEQVGLKEVACQPVHPASPATIDVEVIDDDVTESSATAFAEAKNNSRRSSGRTVVDLDSGWLTRSTNNNQNKHRRPPPSQTVINCDHYINLESTPQFTVKEILKPQPPPTFNCPICMGPLTEEMSTRCGHIFCKACIKAAIAVQRKCPTCRKKVTVKELFRVFLPSAC >KJB06316 pep chromosome:Graimondii2_0_v6:1:46887927:46891315:-1 gene:B456_001G231800 transcript:KJB06316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIESRGPSLRGYQWRKVVLDLNAPPCEIIEQEGTSEQVGLKEVACQPVHPASPATIDVEVIDDDVTESSATAFAEAKNNSRRSSGRTVVDLDSGWLTRSTNNNQNKHRRPPPSQTVINCDHYINLESTPQFTVKEILKPQPPPTFNCPICMGPLTEEMSTRCGHIFCKACIKAAIAVQRKCPTCRKKVTVKELFRVFLPSAC >KJB06776 pep chromosome:Graimondii2_0_v6:1:1206113:1206544:-1 gene:B456_001G012600 transcript:KJB06776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASLISSPSLLPTKPLTIHRQNQNHYTTAFRNRASFKLLAAKLPAGVEVPKVEPKFKAPFLGFTRTAEIWNSRACMIGIIGVFIVELVRL >KJB06777 pep chromosome:Graimondii2_0_v6:1:1205853:1206728:-1 gene:B456_001G012600 transcript:KJB06777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASLISSPSLLPTKPLTIHRQNQNHYTTAFRNRASFKLLAAKLPAGVEVPKVEPKFKAPFLGFTRTAEIWNSRACMIGIIGVFIVELIINKGILQTIGVDVGKGLDIPL >KJB07985 pep chromosome:Graimondii2_0_v6:1:5507585:5516940:-1 gene:B456_001G056900 transcript:KJB07985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQIDVITNIMNVIVPPFMLIVLLLVYPLYLIYKFINFITRLLTSENVAGKVVLVTGAAAGIGEQISYEYARRRARLVLVDVRGDLLGRVVENVRSFGSSDVIAITADVSKEEDCKRFVDEAIKHFHRLDHLVNNAGLARVKLFEEIQNFSDFSYLLDVNLWGVAFGTYYAIPHLRKTKGKIIVMASSVGWYPFPRFSFYNASKAALITFYETLRTEIGNSNIGITIVTPGLVKSALSQNEPAKAALGWIPMESAEKCGKAIVRSACRGDKYVVEPSWVNSLYALKVMCPDLVEFCNRFLFITAEKTAPPTTDAPHKSFIPTELKSD >KJB07093 pep chromosome:Graimondii2_0_v6:1:2562008:2567967:1 gene:B456_001G027500 transcript:KJB07093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYFRWLLGFLHLLIWGSIILVHAIPAPDPVQCNRTICTLSSSYGAWGDRKDCSVKSVVYPTTEEELRSAVAHANKNKLKVKVVSKFSHTIPKLACPSSLGHDSLLISTAKYDSGIEIDSVNLAVTADAGVALRDVIDKVEEAGLSLVAAPYWEGVSVAGMISTGAHGSSWWGKGGAVHDHVIGLSMIVPGNESEGYAKVKQIGAQDQLLNAAKVSLGILGVISKVKLSLERGFKRSITYNFTSDSSIENNYMEHGKKYEFGDITWYPSKHTAVYRYDSRVPMDTPGDGINDFLGFQSNEILISKSVRASEKLFESTKSVNGECTLADTTLWYKKQIGNGLKNNGQIFTGYPVVGRQGKMQTSGSCLYSPKTRIDASCAWDPRIKGLFFYESTAMFTATKFGDFIKDVKKLRDLKPENFCGIDHYNGFLIRYIKASKAYLGQSEDSIVVDFNYYRADEASTPRLNQDVMEEVEQMAFFKYGARPHWAKNRNLAFLKVQSHPRAP >KJB07091 pep chromosome:Graimondii2_0_v6:1:2562008:2567967:1 gene:B456_001G027500 transcript:KJB07091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYFRWLLGFLHLLIWGSIILVHAIPAPDPVQCNRTICTLSSSYGAWGDRKDCSVKSVVYPTTEEELRSAVAHANKNKLKVKVVSKFSHTIPKLACPSSLGHDSLLISTAKYDSGIEIDSVNLAVTADAGVALRDVIDKVEEAGLSLVAAPYWEGVSVAGMISTGAHGSSWWGKGGAVHDHVIGLSMIVPGNESEGYAKVKQIGAQDQLLNAAKVSLGILGVISKVKLSLERGFKRSITYNFTSDSSIENNYMEHGKKYEFGDITWYPSKHTAVYRYDSRVPMDTPGDGINDFLGFQSNEILISKSVRASEKLFESTKSVNGECTLADTTLWYKKQIGNGLKNNGQIFTGYPVVGRQGKMQTSGSCLYSPKTRIDASCAWDPRIKGLFFYESTAMFTATKFGDFIKDVKKLRDLKPENFCGIDHYNGFLIRYIKASKAYLGQSEDSIVVDFNYYRADEASTPRLNQDVMEEVEQMAFFKYGARPHWAKNRNLAFLKVQSKYLNFNMFIAVKKQLDPENMLSSEWSDEILFGKEGVGSDGCALEGLCICSEDRHCSPSKGYFCKPGLVYSEARVCRYSPSSSNL >KJB07092 pep chromosome:Graimondii2_0_v6:1:2562008:2567109:1 gene:B456_001G027500 transcript:KJB07092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYFRWLLGFLHLLIWGSIILVHAIPAPDPVQCNRTICTLSSSYGAWGDRKDCSVKSVVYPTTEEELRSAVAHANKNKLKVKVVSKFSHTIPKLACPSSLGHDSLLISTAKYDSGIEIDSVNLAVTADAGVALRDVIDKVEEAGLSLVAAPYWEGVSVAGMISTGAHGSSWWGKGGAVHDHVIGLSMIVPGNESEGYAKVKQIGAQDQLLNAAKVSLGILGVISKVKLSLERGFKRSITYNFTSDSSIENNYMEHGKKYEFGDITWYPSKHTAVYRYDSRVPMDTPGDGINDFLGFQSNEILISKSVRASEKLFESTKSVNGECTLADTTLWYKKQIGNGLKNNGQIFTGYPVVGRQGKMQTSGSCLYSPKTRIDASCAWDPRIKGLFFYESTAMFTATKFGDFIKDVKKLRDLKPENFCGIDHYNGFLIRYIKASKAYLGQSEDSIVVDFNYYRADEASTPRLNQDVMEEVEQMAFFKYGARPHWAKNRNLAFLKVQSKYLNFNMFIAVKKQLDPENMLSSEWSDEILFGKEGVGSDGCALEGLCICSEDRHCSPSKGYFCKPGLVYSEARVCRYSPSSSNL >KJB07591 pep chromosome:Graimondii2_0_v6:1:2922878:2925012:1 gene:B456_001G031600 transcript:KJB07591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEGDGGATGAESRDCYLKMYAVKKPDKVDPNEQRFEPCVIDKLGNIFNKEALVQALLGKKFPKGFRHIKGFKGKINIKLMYKFFALQNCGHVVSAKALKEVKSSACLVCHKEFVESDKVVINGREAEVAALREMMKEEKAKTVKGKKKRAMDVLDGEKGFKGLSNGEMKYRAADAAQAHATKQVYALIFTSSKKSDFKETFTCRSLPLGRN >KJB07488 pep chromosome:Graimondii2_0_v6:1:2472136:2475716:1 gene:B456_001G026700 transcript:KJB07488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKKGANAVVLFVFMFLINFVVSESHNGRELELLLSFKSSIVHDPSGFLSNWDPSATTFCQWHGITCNNLSHVETLDLSAKNLSGILVSSSVFNLPFVKTFNLSNNHLYGEIPPDIFFANSSLRYLNLSNNNFSGHIPNGFISGLVILDLSNNMFSGKIPREIGLFSGLKFLDLGGNVLLGKIPISISNITTLKYLTLASNELVGSIPQEIGKMKSLKWIYLGYNNLSGEIPKEIGVLTCLNHLDLVYNNLTGQIPSSIGNLKDLNYLFLYQNKLTGSIPNSIFGLKKLVSLDLSDNSLSGEIPELVAQLRNLEILHLFGNRFSGRIPNALTSLPNLQVLQLWSNRFSGQIPQSLGRYNNLTVVDLSTNNLSGRIPDGLCSSGCLFKLILFSNSLEGEIPRNLSTCTSLQRVRLQNNRLVGELSFTKLPLVYFLDVSNNNLSGNIGEQNWDMPSLEMLSLAGNRFSGKLLPHLLNAPKIEFLDLSGNEFSGTINPRFGTLTELMQLSLSENKLSGEIPEDLSSCKKLVRLDLSHNQLSGQIPSGLADMPVLGHLDLSGNRLSGEIPAKLGQIESLVQVNISYNHLTGALPSTGAFLAIKESAVAGNDLCGGDTTSGLPPCKKLKNRANWWPFMACSFAGLLLLVITGFGFLFMRGRNNLEPKRVENGDGSMWELQFFDPKVSKSVTMDDITLSLKESNVISRCKEGVSFKGKSVSNDLQIVVKEMHHVSSFPASFWSEITEFGKLQHPNIVKLIGKCRSDKGAYLVYEYVEGKLLSEILGNLSWERRWKIAIGTAKALRFLHSRCSPSVLVGDMSPERIIINAKDEPRLQFIFPFVDNQPFLPSSYVAPEARENKKMNEKSDIYGMGLILIELLTGKTPADAEFKVQYSSMVEWARYCYSDCHLDMWVDPVIKSQASINNQNQIVAAMNLALHCTAGDPTARPCAADVFETLKSAFRTSSCLPSLNFSSPV >KJB08871 pep chromosome:Graimondii2_0_v6:1:12718822:12722280:-1 gene:B456_001G109800 transcript:KJB08871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIKGILSLQRAAMFRASSERWKLGIRSFSTQGATTAGAPQAPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERKNLERARKEAYEAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIERHCGGIRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLEASA >KJB08872 pep chromosome:Graimondii2_0_v6:1:12718765:12722346:-1 gene:B456_001G109800 transcript:KJB08872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIKGILSLQRAAMFRASSERWKLGIRSFSTQGATTAGAPQAPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERKNLERARKEAYEAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIERHCGGIRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLEASA >KJB08870 pep chromosome:Graimondii2_0_v6:1:12718651:12722346:-1 gene:B456_001G109800 transcript:KJB08870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIKGILSLQRAAMFRASSERWKLGIRSFSTQGATTAGAPQAPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERKNLERARKEAYEAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIERHCGGIRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLEASA >KJB08873 pep chromosome:Graimondii2_0_v6:1:12719262:12722280:-1 gene:B456_001G109800 transcript:KJB08873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIKGILSLQRAAMFRASSERWKLGIRSFSTQGATTAGAPQAPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERKNLERARKEAYEAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIERHCGGIRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLEASA >KJB09597 pep chromosome:Graimondii2_0_v6:1:21142771:21143411:-1 gene:B456_001G151900 transcript:KJB09597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAHCYLEGNAEVVEFCLHDGYQQVLAASTYTLQEGEQPIRAGSISLFDVNAEKGNLELFHRMDTAGIFDIKWSTVGSNVSPLLAQADADGYLRIYSLETDAQSCFHHCNDSNPTATSVSVGLSDGSVSITTLAESKIEKLQGWKAHDFELWTTCFDIHQPQLVYTGSDLQSSSIYVN >KJB08268 pep chromosome:Graimondii2_0_v6:1:7597585:7603640:1 gene:B456_001G074200 transcript:KJB08268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKRLESYSNRQVTYSKRRTGILKKAKELSILCDIHIILLMFSPTGKPTLFHGERSNIEEVIVKFAQLTPQERAKRKLESLEALKKTFMKLDHDLNIHDFLGANSQSIEEMTKEVSRFRAQLAEVHKRLSYWSNPDKIDNIEHLRQMEDSLRESIERVRIHKENFGKHHLMSLECCNQFQNRIPLSVMIGAVQEAQPVMWLPNNENHHTLLHNELNFLPHRDAECSTDCSLAGYSGFFGSGKQTEISSSGQVDNVVQECNALNELGSNACLNLEPGEQYFYQPYSASNYQDDEKLKTEMEVNLQGNPVVNQVISNFEIPRPMYNNGHQAWVLSSGPCGIAMFDGNSYHQTPSSGQCHDL >KJB08266 pep chromosome:Graimondii2_0_v6:1:7597562:7603492:1 gene:B456_001G074200 transcript:KJB08266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVIDLTMDTVLSHRPKAKELSILCDIHIILLMFSPTGKPTLFHGERSNIEEVIVKFAQLTPQERAKRKLESLEALKKTFMKLDHDLNIHDFLGANSQSIEEMTKEVSRFRAQLAEVHKRLSYWSNPDKIDNIEHLRQMEDSLRESIERVRIHKENFGKHHLMSLECCNQFQNRIPLSVMIGAVQEAQPVMWLPNNENHHTLLHNELNFLPHRDAECSTDCSLAGYSGFFGSGKQTEISSSGQVDNVVQECNALNELGSNACLNLEPGEQYFYQPYSASNYQDDEKLKTEMEVNLQGNPVVNQVISNFEIPRPMYNNGHQAWVLSSGPCGIAMFDGNSYHQTPSSGQCHDL >KJB08269 pep chromosome:Graimondii2_0_v6:1:7598852:7603640:1 gene:B456_001G074200 transcript:KJB08269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINIEEVIVKFAQLTPQERAKRKLESLEALKKTFMKLDHDLNIHDFLGANSQSIEEMTKEVSRFRAQLAEVHKRLSYWSNPDKIDNIEHLRQMEDSLRESIERVRIHKENFGKHHLMSLECCNQFQNRIPLSVMIGAVQEAQPVMWLPNNENHHTLLHNELNFLPHRDAECSTDCSLAGYSGFFGSGKQTEISSSGQVDNVVQECNALNELGSNACLNLEPGEQYFYQPYSASNYQDDEKLKTEMEVNLQGNPVVNQVISNFEIPRPMYNNGHQAWVLSSGPCGIAMFDGNSYHQTPSSGQCHDL >KJB08267 pep chromosome:Graimondii2_0_v6:1:7597855:7603590:1 gene:B456_001G074200 transcript:KJB08267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKRLESYSNRQVTYSKRRTGILKKAKELSILCDIHIILLMFSPTGKPTLFHGERSNIEEVIVKFAQLTPQERAKRKLESLEALKKTFMKLDHDLNIHDFLGANSQSIEEMTKEVSRFRAQLAEVHKRLSYWSNPDKIDNIEHLRQMEDSLRESIERVRIHKENFGKHHLMSLECCNQFQNRIPLSVMIGAVQEAQPVMWLPNNENHHTLLHNELNFLPHRDAECSTDCSLAGYSGFFGSGKQTEISSSGQVDNVVQECNALNELGSNACLNLEPGEQYFYQPYSASNYQDDEKLKTEMEVNLQGNPVVNQVISNFEIPRPMYNNGHQAWVLSSGPCGIAMFDGNSYHQTPSSGQCHDL >KJB08265 pep chromosome:Graimondii2_0_v6:1:7597562:7602398:1 gene:B456_001G074200 transcript:KJB08265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVIDLTMDTVLSHRPKAKELSILCDIHIILLMFSPTGKPTLFHGERSNIEEVIVKFAQLTPQERAKRKLESLEALKKTFMKLDHDLNIHDFLGANSQSIEEMTKEVSRFRAQLAEVHKRLSYWSNPDKIDNIEHLRQMEDSLRESIERVRIHKENFGKHHLMSLECCNQFQNRIPLSVMIGAVQEAQPVMWLPNNENHHTLLHNELNFLPHRDAECSTDCSLAGYSGFFGSGKQTEISSSGQVDNVVQECNALNELGSNACLNLEPGEQYFYQPYSASNYQDDEKLKTEMEVNLQGNPVVNQVISNFEIPRPMYNNGHQAWVLSSGPCGIAMFDGNSYHQVRR >KJB07018 pep chromosome:Graimondii2_0_v6:1:1834375:1835941:1 gene:B456_001G019700 transcript:KJB07018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIALERIESAGFGRVMNCACDSSKFESPPPVKETKQLLLAETAAVEEEEDDWRVSSSTTTSSSIGKNSDEVSGISSDGGDCEENEVQSSYNGPLDMMNSLEQVLPMRRSISSFYNGKSKSYTSLVDASSASSIKDIAKPENAYTRRRRNLLAINHIWDKNRSKKFIRPISSSKSTLALAVAMSGSETDSFSSAGEDSSSTSSPRLLLPPLHPQTKMVSLYNNSISITPSSSPSSGGRNFSNWRSFSLADVREYN >KJB06418 pep chromosome:Graimondii2_0_v6:1:17679594:17682301:-1 gene:B456_001G135500 transcript:KJB06418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNLDCKVTLRWQSSINSDNKKSILIQTHFLKSTRHCCCLSSLRLSPSTPRRPPDGCSSKTHTTLLVETYHQHRRLRALIEKLEKEGSCPMQILGDDGDWTKNDFWAAVKFLRHAFRSNEILKGFMNSLYSQPFA >KJB09434 pep chromosome:Graimondii2_0_v6:1:19112885:19118243:-1 gene:B456_001G141400 transcript:KJB09434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGMLSFSVASVVEDVLQQHGNRSKDLDLESRKAEEAASRRYEAAGWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVLNRVQPGAVPKVVESPCDAALIPDGAALSAFQYFENIRNFLVAGQGLGLPTFEASDLEQGGKSARVVNCVLALKSYNEWKLSGGNGVWKFGGNLKPATTTLGKSFVRKNSEPFTNSLQRTSSMNEKLLSGHSNEIDPNKMQASSGSLSMLVRALLTDKKPEEVPTLVESVLSKVVEEFENRIASQSGVMKTTSKDITPSKLRKPVLKQTLGDKKIEEKNIEVMKKEDCFQKNLINEEELKGQLQKQQMIFDQQQRNIKELKHAINSTKAGMQFIQMKFHEEFNSLGMHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSGSSYLSTVDHIEEGNIIINTPSKYGKGRKSFTFNKVFGQSATQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKDLTEKSQGVNYRALGDLFLLAEQRKDTFRYDVAVQMIEIYNEQVRDLLVTDGSNKRLEIRNSSQTGLNVPDANLMPVSSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTTLRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNPHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDALGETISTLKFAERVATVELGAARVNKDTSDVKELKEQIASLKAALARKEGEMDQSQHSVSSSSEKYRTKASDLSPFNPNQQVGDVLGAREPVANVGNIEVCNNSALRQKRQSVDLDELLANSPPWPPVVSPAQNFRDDEKELGSGEWVDKVMVNKQDTINRVGSPLGCWEAENGNLSDVFYQKYLHDSSKIYPEKSYNMFLGANGFNMASADDIDDIDVATSDSSEPDLLWQFNSTKLSSITNGIESKTKRPTPKSARNPDMR >KJB09432 pep chromosome:Graimondii2_0_v6:1:19112080:19118448:-1 gene:B456_001G141400 transcript:KJB09432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGMLSFSVASVVEDVLQQHGNRSKDLDLESRKAEEAASRRYEAAGWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVLNRVQPGAVPKVVESPCDAALIPDGAALSAFQYFENIRNFLVAGQGLGLPTFEASDLEQGGKSARVVNCVLALKSYNEWKLSGGNGVWKFGGNLKPATTTLGKSFVRKNSEPFTNSLQRTSSMNEKLLSGHSNEIDPNKMQASSGSLSMLVRALLTDKKPEEVPTLVESVLSKVVEEFENRIASQSGVMKTTSKDITPSKLRKPVLKQTLGDKKIEEKNIEVMKKEDCFQKNLINEEELKGQLQKQQMIFDQQQRNIKELKHAINSTKAGMQFIQMKFHEEFNSLGMHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSGSSYLSTVDHIEEGNIIINTPSKYGKGRKSFTFNKVFGQSATQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKDLTEKSQGVNYRALGDLFLLAEQRKDTFRYDVAVQMIEIYNEQVRDLLVTDGSNKRLEIRNSSQTGLNVPDANLMPVSSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTTLRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNPHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDALGETISTLKFAERVATVELGAARVNKDTSDVKELKEQIASLKAALARKEGEMDQSQHSVSSSSEKYRTKASDLSPFNPNQQVGDVLGAREPVANVGNIEVCNNSALRQKRQSVDLDELLANSPPWPPVVSPAQNFRDDEKELGSGEWVDKVMVNKQDTINRVGSPLGCWEAENGNLSDVFYQKYLHDSSKIYPEKSYNMFLGANGFNMASADDIDDIDVATSDSSEPDLLWQFNSTKLSSITNGIESKTKRPTPKSARNPDMSKNLHPMSGPSPSRKLANGAGQPLHRNVRQPPAADGKRRTGSRK >KJB09430 pep chromosome:Graimondii2_0_v6:1:19112037:19118619:-1 gene:B456_001G141400 transcript:KJB09430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGMLSFSVASVVEDVLQQHGNRSKDLDLESRKAEEAASRRYEAAGWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVLNRVQPGAVPKVVESPCDAALIPDGAALSAFQYFENIRNFLVAGQGLGLPTFEASDLEQGGKSARVVNCVLALKSYNEWKLSGGNGVWKFGGNLKPATTTLGKSFVRKNSEPFTNSLQRTSSMNEKLLSGHSNEIDPNKMQASSGSLSMLVRALLTDKKPEEVPTLVESVLSKVVEEFENRIASQSGVMKTTSKDITPSKLRKPVLKQTLGDKKIEEKNIEVMKKEDCFQKNLINEEELKGQLQKQQMIFDQQQRNIKELKHAINSTKAGMQFIQMKFHEEFNSLGMHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSGSSYLSTVDHIEEGNIIINTPSKYGKGRKSFTFNKVFGQSATQVLDGYNVCIFAYGQTGSGKTYTMTGPKDLTEKSQGVNYRALGDLFLLAEQRKDTFRYDVAVQMIEIYNEQVRDLLVTDGSNKRLEIRNSSQTGLNVPDANLMPVSSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTTLRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNPHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDALGETISTLKFAERVATVELGAARVNKDTSDVKELKEQIASLKAALARKEGEMDQSQHSVSSSSEKYRTKASDLSPFNPNQQVGDVLGAREPVANVGNIEVCNNSALRQKRQSVDLDELLANSPPWPPVVSPAQNFRDDEKELGSGEWVDKVMVNKQDTINRVGSPLGCWEAENGNLSDVFYQKYLHDSSKIYPEKSYNMFLGANGFNMASADDIDDIDVATSDSSEPDLLWQFNSTKLSSITNGIESKTKRPTPKSARNPDMSKNLHPMSGPSPSRKLANGAGQPLHRNVRQPPAADGKRRTGSRK >KJB09433 pep chromosome:Graimondii2_0_v6:1:19112037:19118619:-1 gene:B456_001G141400 transcript:KJB09433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGMLSFSVASVVEDVLQQHGNRSKDLDLESRKAEEAASRRYEAAGWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVLNRVQPGAVPKVVESPCDAALIPDGAALSAFQYFENIRNFLVAGQGLGLPTFEASDLEQGGKSARVVNCVLALKSYNEWKLSGGNGVWKFGGNLKPATTTLGKSFVRKNSEPFTNSLQRTSSMNEKLLSGHSNEIDPNKMASSGSLSMLVRALLTDKKPEEVPTLVESVLSKVVEEFENRIASQSGVMKTTSKDITPSKLRKPVLKQTLGDKKIEEKNIEVMKKEDCFQKNLINEEELKGQLQKQQMIFDQQQRNIKELKHAINSTKAGMQFIQMKFHEEFNSLGMHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSGSSYLSTVDHIEEGNIIINTPSKYGKGRKSFTFNKVFGQSATQVLDGYNVCIFAYGQTGSGKTYTMTGPKDLTEKSQGVNYRALGDLFLLAEQRKDTFRYDVAVQMIEIYNEQVRDLLVTDGSNKRLEIRNSSQTGLNVPDANLMPVSSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTTLRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNPHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDALGETISTLKFAERVATVELGAARVNKDTSDVKELKEQIASLKAALARKEGEMDQSQHSVSSSSEKYRTKASDLSPFNPNQQVGDVLGAREPVANVGNIEVCNNSALRQKRQSVDLDELLANSPPWPPVVSPAQNFRDDEKELGSGEWVDKVMVNKQDTINRVGSPLGCWEAENGNLSDVFYQKYLHDSSKIYPEKSYNMFLGANGFNMASADDIDDIDVATSDSSEPDLLWQFNSTKLSSITNGIESKTKRPTPKSARNPDMSKNLHPMSGPSPSRKLANGAGQPLHRNVRQPPAADGKRRTGSRK >KJB09431 pep chromosome:Graimondii2_0_v6:1:19112663:19118243:-1 gene:B456_001G141400 transcript:KJB09431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGMLSFSVASVVEDVLQQHGNRSKDLDLESRKAEEAASRRYEAAGWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVLNRVQPGAVPKVVESPCDAALIPDGAALSAFQYFENIRNFLVAGQGLGLPTFEASDLEQGGKSARVVNCVLALKSYNEWKLSGGNGVWKFGGNLKPATTTLGKSFVRKNSEPFTNSLQRTSSMNEKLLSGHSNEIDPNKMASSGSLSMLVRALLTDKKPEEVPTLVESVLSKVVEEFENRIASQSGVMKTTSKDITPSKLRKPVLKQTLGDKKIEEKNIEVMKKEDCFQKNLINEEELKGQLQKQQMIFDQQQRNIKELKHAINSTKAGMQFIQMKFHEEFNSLGMHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSGSSYLSTVDHIEEGNIIINTPSKYGKGRKSFTFNKVFGQSATQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKDLTEKSQGVNYRALGDLFLLAEQRKDTFRYDVAVQMIEIYNEQVRDLLVTDGSNKRLEIRNSSQTGLNVPDANLMPVSSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTTLRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNPHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDALGETISTLKFAERVATVELGAARVNKDTSDVKELKEQIASLKAALARKEGEMDQSQHSVSSSSEKYRTKASDLSPFNPNQQVGDVLGAREPVANVGNIEVCNNSALRQKRQSVDLDELLANSPPWPPVVSPAQNFRDDEKELGSGEWVDKVMVNKQDTINRVGSPLGCWEAENGNLSDVFYQKYLHDSSKIYPEKSYNMFLGANGFNMASADDIDDIDVATSDSSEPDLLWQFNSTKLSSITNGIESKTKRPTPKSARNPDMSKNLHPMSGPSPSRKLANGAGQPLHRNVRQPPAADGKRRTGSRK >KJB10605 pep chromosome:Graimondii2_0_v6:1:42062051:42067619:1 gene:B456_001G211000 transcript:KJB10605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCDFLNRHSGLGIISRSVVRFLDLTVCRAMGCFFHCFGVRTDRSRPHLVASCSKSTERTVSRNRLSSLFVDEEKGDSPSNDLESPQINKVLKDEAKFLKSCGTIPETPVEIRKASNKFKQSPPCGGDSETSKYRSWLPNTSIDKLQLDKKSDRPPTPSKLFEVLGRSDSPENTPSSCISNAANTGMSSICSTEGSEATTADKTAKTCIFSTSAYERNKSVRFECESDASSESENIGQNPEKLEALGYQSASKYSPNPTPLKLSDEMQTPGTVFPSNVGIFANGKTRIRSEYVHLVLNPVGNASPLNAMKKEPLSSKEMFNEQEESPERLENGTPKLGVKQASLGKDSEDEGSLSSWLKPKQITIDDPDKNIHVTSSKTPQFNRTPGDRPIIGMVAAHWNEDESSRISPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEESLISQRKPVDRTLMSLDEIDESDTALSQLRPSSHAKSKK >KJB10606 pep chromosome:Graimondii2_0_v6:1:42062074:42065553:1 gene:B456_001G211000 transcript:KJB10606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCDFLNRHSGLGIISRSVVRFLDLTVCRAMGCFFHCFGVRTDRSRPHLVASCSKSTERTVSRNRLSSLFVDEEKGDSPSNDLESPQINKVLKDEAKFLKSCGTIPETPVEIRKASNKFKQSPPCGGDSETSKYRSWLPNTSIDKLQLDKKSDRPPTPSKLFEVLGRSDSPENTPSSCISNAANTGMSSICSTEGSEATTADKTAKTCIFSTSAYERNKSVRFECESDASSESENIGQNPEKLEALGYQSASKYSPNPTPLKLSDEMQTPGTVFPSNVGIFANGKTRIRSEYVHLVLNPVGNASPLNAMKKEPLSSKEMFNEQEESPERLENGTPKLGVKQASLGKDSEDEGSLSSWLKPKQITIDDPDKNIHVTSSKTPQFNRTPGDRPIIGMVAAHWNEDESSRISPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEESLISQRKPVDRTLMSLDEIDESDTALSQLRPSSHAKSVVSF >KJB10607 pep chromosome:Graimondii2_0_v6:1:42062111:42064305:1 gene:B456_001G211000 transcript:KJB10607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCDFLNRHSGLGIISRSVVRFLDLTVCRAMGCFFHCFGVRTDRSRPHLVASCSKSTERTVSRNRLSSLFVDEEKGDSPSNDLESPQINKVLKDEAKFLKSCGTIPETPVEIRKASNKFKQSPPCGGDSETSKYRSWLPNTSIDKLQLDKKSDRPPTPSKLFEVLGRSDSPENTPSSCISNAANTGMSSICSTEGSEATTADKTAKTCIFSTSAYERNKSVRFECESDASSESENIGQNPEKLEALGYQSASKYSPNPTPLKLSDEMQTPGTVFPSNVGIFANGKTRIRSEYVHLVLNPVGNASPLNAMKKEPLSSKEMFNEQEESPERLENGTPKLGVKQASLGKDSEDEGSLSSWLKPKQITIDDPDKNIHVTSSKTPQFNRTPGDRPIIGMVAAHWNEDESSRISPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEESLISQRYV >KJB10608 pep chromosome:Graimondii2_0_v6:1:42062111:42065531:1 gene:B456_001G211000 transcript:KJB10608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCDFLNRHSGLGIISRSVVRFLDLTVCRAMGCFFHCFGVRTDRSRPHLVASCSKSTERTVSRNRLSSLFVDEEKGDSPSNDLESPQINKVLKDEAKFLKSCGTIPETPVEIRKASNKFKQSPPCGGDSETSKYRSWLPNTSIDKLQLDKKSDRPPTPSKLFEVLGSCISNAANTGMSSICSTEGSEATTADKTAKTCIFSTSAYERNKSVRFECESDASSESENIGQNPEKLEALGYQSASKYSPNPTPLKLSDEMQTPGTVFPSNVGIFANGKTRIRSEYVHLVLNPVGNASPLNAMKKEPLSSKEMFNEQEESPERLENGTPKLGVKQASLGKDSEDEGSLSSWLKPKQITIDDPDKNIHVTSSKTPQFNRTPGDRPIIGMVAAHWNEDESSRISPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEESLISQRKPVDRTLMSLDEIDESDTALSQLRPSSHAKSVVSF >KJB08545 pep chromosome:Graimondii2_0_v6:1:9556498:9557222:-1 gene:B456_001G088200 transcript:KJB08545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQTPSLLHIHVKLLGFSLHSITPWPNNPSIFYLNGKRISRVEILGVVTSRDYKPNKFLRFTLDDGTHSITCVLWLNHLTSPFFASRQPATLRVISDLAKCFADDIQFGKVARVRGRVSSYRGDLQVTVSDVVIERDPDAETLHRLDCISLGRRCYFG >KJB08484 pep chromosome:Graimondii2_0_v6:1:8864344:8869730:-1 gene:B456_001G083900 transcript:KJB08484 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1691 [Source:Projected from Arabidopsis thaliana (AT4G09980) UniProtKB/TrEMBL;Acc:A0A178V0G2] MDSPERSSRSHVRRDREDSSDLKSDRAVGDEEECEAIDSKRKHKCSKSRKSSNVEEGEGVESGSSGRRRSSGDRSESRKRSSASTRADTDEDDCDTTKSSRPKQIRRKQEESSLEKLSSWYQDGEIESRQDGTEKSGGKGHAWADETDRKKVASKLSKSKEERSHDGELEKSLDRDSRYSERRESSRDKGHSSSELSRNSRRRWDESDASRKAEENTYEKPDLISGKASDLKYDSARENSASARNEPSESKSIAADSNNEKGAKSSSREERRVDAEKSKSKGRSDALEEDNRSSPLTREDRSGREKIEKHRQQRNPSGRDVDSRERASNVDDDGITWTRDKSSREVGQTNRSRTPERSSRRYQDSDPTEMDFERSSERKTKEIERDDRSKSRGDNWSDRTRDREGSKENWKRRQLSNNEKESKDGDSAYDRGREWDLPRHGRERNENERPHGRPGNRKDGNRGEAVKTSSNFGISNYNYDVIEIQTKPLDYGRAESGSNFPRRSESGQQSDMKSTPNEEEWAYMQENRGRRSDAYGSGPLDEDSRDKYTEESNSTRDPNVPNDELDYSGGKGRGQKLTASGRGFVGQNSSAGSQPPYGNQDVGSFGRVPPQGMKGSRMGRGGRGRPSGRDNQQMGLPMPMMGSPFAHLGMPPPGPMQQINPSMSPAPGPPISPGVFIPPFSPPVVWPGPRAVDMNMLGVPPGLSPVPPGPRFPPNMGGLPNPGMDFNQSGPGRGPSNVSLSNFNGAGPMTRGTPPERTSGGWIPPRTGGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPKLRELIQKKDEIVAKSASPPMYMKSDLREFELSPDFFGTKFDVILVDPPWEEYVHRAPGVADHIEYWTFEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKTNATPGLRHDSHSIFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPSYGSTQKPEDMYRIIEHFALGRRRLELFGEDHNIRSGWLTVGKGLSSSNFNAEAYVRSFADKDGKVWQGGGGRNPPPDAPHLVKTTPDIEALRPKSPVKNQQQMQQQQSTSISLTSNSANRRPAGNSPQNPTVLGLNQEGSSSNPSTPAAWASPMEAFRGREGMNMSSDDRMFDIYGYGSQANGEYLDFESHRPMNLM >KJB08485 pep chromosome:Graimondii2_0_v6:1:8864269:8869717:-1 gene:B456_001G083900 transcript:KJB08485 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1691 [Source:Projected from Arabidopsis thaliana (AT4G09980) UniProtKB/TrEMBL;Acc:A0A178V0G2] MDSPERSSRSHVRRDREDSSDLKSDRAVGDEEECEAIDSKRKHKCSKSRKSSNVEEGEGVESGSSGRRRSSGDRSESRKRSSASTRADTDEDDCDTTKSSRPKQIRRKQEESSLEKLSSWYQDGEIESRQDGTEKSGGKGHAWADETDRKKVASKLSKSKEERSHDGELEKSLDRDSRYSERRESSRDKGHSSSELSRNSRRRWDESDASRKAEENTYEKPDLISGKASDLKYDSARENSASARNEPSESKSIAADSNNEKGAKSSSREERRVDAEKSKSKGRSDALEEDNRSSPLTREDRSGREKIEKHRQQRNPSGRDVDSRERASNVDDDGITWTRDKSSREVGQTNRSRTPERSSRRYQDSDPTEMDFERSSERKTKEIERDDRSKSRGDNWSDRTRDREGSKENWKRRQLSNNEKESKDGDSAYDRGREWDLPRHGRERNENERPHGRPGNRKDGNRGEAVKTSSNFGISNYNYDVIEIQTKPLDYGRAESGSNFPRRSESGQQSDMKSTPNEEEWAYMQENRGRRSDAYGSGPLDEDSRDKYTEESNSTRDPNVPNDELDYSGGKGRGQKLTASGRGFVGQNSSAGSQPPYGNQDVGSFGRVPPQGMKGSRMGRGGRGRPSGRDNQQMGLPMPMMGSPFAHLGMPPPGPMQQINPSMSPAPGPPISPGVFIPPFSPPVVWPGPRAVDMNMLGVPPGLSPVPPGPRFPPNMGGLPNPGMDFNQSGPGRGPSNVSLSNFNGAGPMTRGTPPERTSGGWIPPRTGGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPKLRELIQKKDEIVAKSASPPMYMKSDLREFELSPDFFGTKFDVILVDPPWEEYVHRAPGVADHIEYWTFEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKTNATPGLRHDSHSIFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPSYGSTQKPEDMYRIIEHFALGRRRLELFGEDHNIRSGWLTVGKGLSSSNFNAEAYVRSFADKDGKVWQGGGGRNPPPDAPHLVKTTPDIEALRPKSPVKNQQQMQQQQSTSISLTSNSANRRPAGNSPQNPTVLGLNQEGSSSNPSTPAAWASPMEAFRGREGMNMSSDDRMFDIYGYGSQANGEYLDFESHRPMNLM >KJB08486 pep chromosome:Graimondii2_0_v6:1:8864344:8869630:-1 gene:B456_001G083900 transcript:KJB08486 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1691 [Source:Projected from Arabidopsis thaliana (AT4G09980) UniProtKB/TrEMBL;Acc:A0A178V0G2] MDSPERSSRSHVRRDREDSSDLKSDRAVGDEEECEAIDSKRKHKCSKSRKSSNVEEGEGVESGSSGRRRSSGDRSESRKRSSASTRADTDEDDCDTTKSSRPKQIRRKQEESSLEKLSSWYQDGEIESRQDGTEKSGGKGHAWADETDRKKVASKLSKSKEERSHDGELEKSLDRDSRYSERRESSRDKGHSSSELSRNSRRRWDESDASRKAEENTYEKPDLISGKASDLKYDSARENSASARNEPSESKSIAADSNNEKGAKSSSREERRVDAEKSKSKGRSDALEEDNRSSPLTREDRSGREKIEKHRQQRNPSGRDVDSRERASNVDDDGITWTRDKSSREVGQTNRSRTPERSSRRYQDSDPTEMDFERSSERKTKEIERDDRSKSRGDNWSDRTRDREGSKENWKRRQLSNNEKESKDGDSAYDRGREWDLPRHGRERNENERPHGRPGNRKDGNRGEAVKTSSNFGISNYNYDVIEIQTKPLDYGRAESGSNFPRRSESGQQSDMKSTPNEEEWAYMQENRGRRSDAYGSGPLDEDSRDKYTEESNSTRDPNVPNDELDYSGGKGRGQKLTASGRGFVGQNSSAGSQPPYGNQDVGSFGRVPPQGMKGSRMGRGGRGRPSGRDNQQMGLPMPMMGSPFAHLGMPPPGPMQQINPSMSPAPGPPISPGVFIPPFSPPVVWPGPRAVDMNMLGVPPGLSPVPPGPRFPPNMGGLPNPGMDFNQSGPGRGPSNVSLSNFNGAGPMTRGTPPERTSGGWIPPRTGGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPKLRELIQKKDEIVAKSASPPMYMKSDLREFELSPDFFGTKFDVILVDPPWEEYVHRAPGVADHIEYWTFEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKTNATPGLRHDSHSIFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPSYGSTQKPEDMYRIIEHFALGRRRLELFGEDHNIRSGWLTVGKGLSSSNFNAEAYVRSFADKDGKVWQGGGGRNPPPDAPHLVKTTPDIEALRPKSPVKNQQQMQQQQSTSISLTSNSANRRPAGNSPQNPTVLGLNQEGSSSNPSTPAAWASPMEAFRGREGMNMSSDDRMFDIYGYGSQANGEYLDFESHRPMNLM >KJB11262 pep chromosome:Graimondii2_0_v6:1:51787781:51789544:1 gene:B456_001G250400 transcript:KJB11262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTELLSQLKDLKAELALLRVAKVTDGAPNKLSKIKVVRLSIAQVLTVISQKQKSALREAYKKKKFLPLDLRPKKTRAIRRRLTKHQASLKTQREKKKEMYFPLRKYAIKV >KJB11261 pep chromosome:Graimondii2_0_v6:1:51788035:51788438:1 gene:B456_001G250400 transcript:KJB11261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTELLSQLKDLKAELALLRVAKVTDGAPNKLSKIKVVRLSIAQVLTVISQKQKSALREAYKKKKFLPLDLRPKKTRAIRRRLTKHQVHLDFVFNIMK >KJB09110 pep chromosome:Graimondii2_0_v6:1:15469069:15469662:1 gene:B456_001G123700 transcript:KJB09110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKFPSICNKSRTKGMSWKLSLFYHLKAIPFINKPRRLKHSNSVLSDSTAKFISALDCSWSQSRHCFTVPKDSEELLDMAIEALRSNRLFFEPDDTGSILEAARFPFKDCVALAMETVDPYMDFRVSMEEIVEACELKEQKHLEELLAWYLKMNRKKNHEFIVGAFIDMFATINSCSSSCFISVSSSASAASRTII >KJB08447 pep chromosome:Graimondii2_0_v6:1:8711476:8713329:1 gene:B456_001G082300 transcript:KJB08447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIEVPKPILMPISGKEQKCVGNIFSCSFNAREKKLICVTSGNSYLGSFIVKELLAHGYLVRVTIQHPAEFEDVKDLLGVEDLNLLESVVVTKMEDLQSLCDAFRGCHAVFHTSSFIDPHGISGYSEQKVFLETEVARTVMEACAKAAYIKRCVFTSSLLASIWRNENIDGIIDDSCWSSEEICRENKLWLALGKTKAEKVAWMKAKELKVNLVTVCPGLLMAPTFPNSHIQTSIPYLKGTSKQNAFYVVQLFIFPSNMTLQTYEKHHVGGQMMLQQGCLAIAEVEKVAKAHVSVYEGMNCGASGRYLCFDGVVRRQQEAIELEKGLKMTGFLLGERHVVLSEEDEEEIPIKISNSKLAALLNKINQRLPCKT >KJB08446 pep chromosome:Graimondii2_0_v6:1:8712071:8713087:1 gene:B456_001G082300 transcript:KJB08446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLQSLCDAFRGCHAVFHTSSFIDPHGISGYSEQKVFLETEVARTVMEACAKAAYIKRCVFTSSLLASIWRNENIDGIIDDSCWSSEEICRENKLWLALGKTKAEKVAWMKAKELKVNLVTVCPGLLMAPTFPNSHIQTSIPYLKGGQMMLQQGCLAIAEVEKVAKAHVSVYEGMNCGASGRYLCFDGVVRRQQEAIELEKGLKMTGFLLGERHVVLSEEDEEEIPIKISNSKLAALLNKINQRLPCKT >KJB08448 pep chromosome:Graimondii2_0_v6:1:8711476:8713329:1 gene:B456_001G082300 transcript:KJB08448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIEVPKPILMPISGKEQKCVGNIFSCSFNAREKKLICVTSGNSYLGSFIVKELLAHGYLVRVTIQHPAEFEDVKDLLGVEDLNLLESVVVTKMEDLQSLCDAFRGCHAVFHTSSFIDPHGISGYSVFLETEVARTVMEACAKAAYIKRCVFTSSLLASIWRNENIDGIIDDSCWSSEEICRENKLWLALGKTKAEKVAWMKAKELKVNLVTVCPGLLMAPTFPNSHIQTSIPYLKGGQMMLQQGCLAIAEVEKVAKAHVSVYEGMNCGASGRYLCFDGVVRRQQEAIELEKGLKMTGFLLGERHVVLSEEDEEEIPIKISNSKLAALLNKINQRLPCKT >KJB08449 pep chromosome:Graimondii2_0_v6:1:8711476:8713329:1 gene:B456_001G082300 transcript:KJB08449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIEVPKPILMPISGKEQKCVGNIFSCSFNAREKKLICVTSGNSYLGSFIVKELLAHGYLVRVTIQHPAEFEDVKDLLGVEDLNLLESVVVTKMEDLQSLCDAFRGCHAVFHTSSFIDPHGISGYSEQKVFLETEVARTVMEACAKAAYIKRCVFTSSLLASIWRNENIDGIIDDSCWSSEEICRENKLWLALGKTKAEKVAWMKAKELKVNLVTVCPGLLMAPTFPNSHIQTSIPYLKDDVATRLLSNCRGGKSGQSSC >KJB08445 pep chromosome:Graimondii2_0_v6:1:8711392:8713351:1 gene:B456_001G082300 transcript:KJB08445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIEVPKPILMPISGKEQKCVGNIFSCSFNAREKKLICVTSGNSYLGSFIVKELLAHGYLVRVTIQHPAEFEDVKDLLGVEDLNLLESVVVTKMEDLQSLCDAFRGCHAVFHTSSFIDPHGISGYSEQKVFLETEVARTVMEACAKAAYIKRCVFTSSLLASIWRNENIDGIIDDSCWSSEEICRENKLWLALGKTKAEKVAWMKAKELKVNLVTVCPGLLMAPTFPNSHIQTSIPYLKGGQMMLQQGCLAIAEVEKVAKAHVSVYEGMNCGASGRYLCFDGVVRRQQEAIELEKGLKMTGFLLGERHVVLSEEDEEEIPIKISNSKLAALLNKINQRLPCKT >KJB09177 pep chromosome:Graimondii2_0_v6:1:16168663:16170682:-1 gene:B456_001G127700 transcript:KJB09177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFSIPKLGILLLCCLAAVSEATYAKYKDPKQPVAVRIEDLMSRMTLAEKIGQMTQIERSVATPDVMKKECLSGRGSVPAIKATPETCIKMVNTIQKGSLSTRLQIPMIYGIDAVHGHNNVYKATIFPHNVGLGVTRDPQLIKKIGDATALKVRATGIFYVFAPCIAVCRDPRWGRCYESYSEDHKIVQLMTEIIPGLLGDIPGNSKKGVPFVCGK >KJB11003 pep chromosome:Graimondii2_0_v6:1:47386343:47388176:-1 gene:B456_001G236200 transcript:KJB11003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSLFRLVDQEQDSFQRKWTLVNGPVIIGAGPSGLATAACLREQGVPFVVLERAECIASLWQKRTYDRLKLHLPKQFCQLPKLPFPEDFPEYPTRKQFIEYLESYAKHFDINPKFNECVQSARYDETSGFWRVKTIVTSGSNKIELEYICRWLVVATGENAERVVPDIQGLAEFGGEVIHACEYKSGEKFQGQKVLVVGCGNSGMEVSLDLCNYNASPSMVVRSSVHVLPREVYGKSTFELVVLMMKWLPLWLVDKLMLVLAWLVLGNVEKYGLKRPSMGPLELKNTKGKTPVLDIGALKKIKSGDINVVPAIKRFSYRQVELVNGEKLDIDSVVLATGYRSNVPSWLQEGEFFGENGYPKAPFPHGWKGNGGLYAVGFTRRGLSGASSDAMRTAQDIGKLWKDETKQHKKITIAGHRQCISQF >KJB11378 pep chromosome:Graimondii2_0_v6:1:52670020:52670746:1 gene:B456_001G255100 transcript:KJB11378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFKTPAGGLQSTPLAQAGEERLSRKGLRAQSSSTRDMPDLPKSSKRTSSTKENASSTKQSKKPSKSTRKPKDASQTTETTTKDSKKSRRKKVKDMGGEGSSRRSRTTQESDTLSEAGSLISCDSEFVEGEGN >KJB09591 pep chromosome:Graimondii2_0_v6:1:21021884:21022437:-1 gene:B456_001G151300 transcript:KJB09591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINVFKFCSGLRVLGHVMILLVAAIVGVSYYAVVFLTYGPLLLRGGFDSFLSFTIVIIFHVLLVLLLWSYIRVVLKDPGSVPENWRAVSGEESLEVGTSLAAAEDGFERRSRGGGYCIHCQNGKPPRCHHCSICKSNLNLPVRFWIYYIHLRRNLVIM >KJB09588 pep chromosome:Graimondii2_0_v6:1:21020200:21022437:-1 gene:B456_001G151300 transcript:KJB09588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINVFKFCSGLRVLGHVMILLVAAIVGVSYYAVVFLTYGPLLLRGGFDSFLSFTIVIIFHVLLVLLLWSYIRVVLKDPGSVPENWRAVSGEESLEVGTSLAAAEDGFERRSRGGGYCIHCQNGKPPRCHHCSICQRCVLKMDHHCVWVVNCVGACNYKFFLLFLLYTFLETTMVTIVLLPSFINFFGEAKNHSSAAKSAIIFLAFDSVP >KJB09592 pep chromosome:Graimondii2_0_v6:1:21021856:21022631:-1 gene:B456_001G151300 transcript:KJB09592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINVFKFCSGLRVLGHVMILLVAAIVGVSYYAVVFLTYGPLLLRGGFDSFLSFTIVIIFHLVLLLWSYIRVVLKDPGSVPENWRAVSGEESLEVGTSLAAAEDGFERRSRGGGYCIHCQNGKPPRCHHCSICKSNLNLPVRFWIYYIHLRRNLVIM >KJB09587 pep chromosome:Graimondii2_0_v6:1:21018952:21022631:-1 gene:B456_001G151300 transcript:KJB09587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINVFKFCSGLRVLGHVMILLVAAIVGVSYYAVVFLTYGPLLLRGGFDSFLSFTIVIIFHVLLVLLLWSYIRVVLKDPGSVPENWRAVSGEESLEVGTSLAAAEDGFERRSRGGGYCIHCQNGKPPRCHHCSICQRCVLKMDHHCVWVVNCVGACNYKFFLLFLLYTFLETTMVTIVLLPSFINFFGEAKNHSSAAKSAIIFLAFVLNFAFALSLLCFVVMHASLLSSNTTSVEVHEKKGVIRWKYDLGRKKNFEQVFGTKKSLWLFPLFSEDDLEKIPALRGLEFPTRSDVDA >KJB09589 pep chromosome:Graimondii2_0_v6:1:21018832:21022662:-1 gene:B456_001G151300 transcript:KJB09589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINVFKFCSGLRVLGHVMILLVAAIVGVSYYAVVFLTYGPLLLRGGFDSFLSFTIVIIFHVLLVLLLWSYIRVVLKDPGSVPENWRAVSGEESLEVGTSLAAAEDGFERRSRGGGYCIHCQNGKPPRCHHCSICQRCVLKMDHHCVWVVNCVGACNYKFFLLFLLYTFLETTMVTIVLLPSFINFFGEAKNHSSAAKSAIIFLAFVLNFAFALSLLCFVVMHASLLSSNTTSVEVHEKKGVIRWKYDLGRKKNFEQVFGTKKSLWLFPLFSEDDLEKIPALRGLEFPTRSDVDA >KJB09590 pep chromosome:Graimondii2_0_v6:1:21018971:21022631:-1 gene:B456_001G151300 transcript:KJB09590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVLLLWSYIRVVLKDPGSVPENWRAVSGEESLEVGTSLAAAEDGFERRSRGGGYCIHCQNGKPPRCHHCSICQRCVLKMDHHCVWVVNCVGACNYKFFLLFLLYTFLETTMVTIVLLPSFINFFGEAKNHSSAAKSAIIFLAFVLNFAFALSLLCFVVMHASLLSSNTTSVEVHEKKGVIRWKYDLGRKKNFEQVFGTKKSLWLFPLFSEDDLEKIPALRGLEFPTRSDVDA >KJB07317 pep chromosome:Graimondii2_0_v6:1:1488188:1493340:1 gene:B456_001G015900 transcript:KJB07317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRMKGTPYKMRWRAKELSLALIILVCTTIFILTWDRSPTLTSTLPSKNQLQLSPDIKTVPVDPKTHVKEDISTLTRGEAVNNKEGQHVDKTHSTNTDKSSSDFEEVTIQKKEAAAKHKHSPKREETNVIESGSDSKLIAETNNVILRGNTSEQEEKSVDHQACDYRKGKWVIDDRRPLYSGHGCKQWLASMWACRMMQRQDFAFEKLRWQPKDCEMEEFEGSKFLKRMKDKTLAFVGDSLGRQQFQSLMCMITAGKNSPDVLDVGKDYGLVIPPGGKRPNGWAYRFPSTNTTVLYYWSSTLCDLEPLDILNPQTEYAMHLDRPPAFLCDFLDRIDVLVLNSGHHWNRGKLKANKWIMYVGGKPNTNRRIADMGSAKNFTIHSTLKWLNSQLPKHPHLKAFYRSISPRHFVNGDWNSGGSCNNTSPMSIGKEVLQEESTDQSAASAVKGTRIALLDITALSQVRDEGHISRFSITASPGVQDCLHWCLPGVPDTWNEILFALI >KJB07318 pep chromosome:Graimondii2_0_v6:1:1488189:1493334:1 gene:B456_001G015900 transcript:KJB07318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRMKGTPYKMRWRAKELSLALIILVCTTIFILTWDRSPTLTSTLPSKNQLQLSPDIKTVPVDPKTHVKEDISTLTRGEAVNNKEGQHVDKTHSTNTDKSSSDFEEVTIQKKAAKHKHSPKREETNVIESGSDSKLIAETNNVILRGNTSEQEEKSVDHQACDYRKGKWVIDDRRPLYSGHGCKQWLASMWACRMMQRQDFAFEKLRWQPKDCEMEEFEGSKFLKRMKDKTLAFVGDSLGRQQFQSLMCMITAGKNSPDVLDVGKDYGLVIPPGGKRPNGWAYRFPSTNTTVLYYWSSTLCDLEPLDILNPQTEYAMHLDRPPAFLCDFLDRIDVLVLNSGHHWNRGKLKANKWIMYVGGKPNTNRRIADMGSAKNFTIHSTLKWLNSQLPKHPHLKAFYRSISPRHFVNGDWNSGGSCNNTSPMSIGKEVLQEESTDQSAASAVKGTRIALLDITALSQVRDEGHISRFSITASPGVQDCLHWCLPGVPDTWNEILFALI >KJB07319 pep chromosome:Graimondii2_0_v6:1:1488189:1493334:1 gene:B456_001G015900 transcript:KJB07319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRMKGTPYKMRWRAKELSLALIILVCTTIFILTWDRSPTLTSTLPSKNQLQLSPDIKTVPVDPKTHVKEDISTLTRGEAVNNKEGQHVDKTHSTNTDKSSSDFEEVTIQKKAAAKHKHSPKREETNVIESGSDSKLIAETNNVILRGNTSEQEEKSVDHQACDYRKGKWVIDDRRPLYSGHGCKQWLASMWACRMMQRQDFAFEKLRWQPKDCEMEEFEGSKFLKRMKDKTLAFVGDSLGRQQFQSLMCMITAGKNSPDVLDVGKDYGLVIPPGGKRPNGWAYRFPSTNTTVLYYWSSTLCDLEPLDILNPQTEYAMHLDRPPAFLCDFLDRIDVLVLNSGHHWNRGKLKANKWIMYVGGKPNTNRRIADMGSAKNFTIHSTLKWLNSQLPKHPHLKAFYRSISPRHFVNGDWNSGGSCNNTSPMSIGKEVLQEESTDQSAASAVKGTRIALLDITALSQVRDEGHISRFSITASPGVQDCLHWCLPGVPDTWNEILFALI >KJB07320 pep chromosome:Graimondii2_0_v6:1:1488914:1493334:1 gene:B456_001G015900 transcript:KJB07320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTPYKMRWRAKELSLALIILVCTTIFILTWDRSPTLTSTLPSKNQLQLSPDIKTVPVDPKTHVKEDISTLTRGEAVNNKEGQHVDKTHSTNTDKSSSDFEEVTIQKKAAKHKHSPKREETNVIESGSDSKLIAETNNVILRGNTSEQEEKSVDHQACDYRKGKWVIDDRRPLYSGHGCKQWLASMWACRMMQRQDFAFEKLRWQPKDCEMEEFEGSKFLKRMKDKTLAFVGDSLGRQQFQSLMCMITAGKNSPDVLDVGKDYGLVIPPGGKRPNGWAYRFPSTNTTVLYYWSSTLCDLEPLDILNPQTEYAMHLDRPPAFLCDFLDRIDVLVLNSGHHWNRGKLKANKWIMYVGGKPNTNRRIADMGSAKNFTIHSTLKWLNSQLPKHPHLKAFYRSISPRHFVNGDWNSGGSCNNTSPMSIGKEVLQEESTDQSAASAVKGTRIALLDITALSQVRDEGHISRFSITASPGVQDCLHWCLPGVPDTWNEILFALI >KJB08661 pep chromosome:Graimondii2_0_v6:1:10757075:10760060:-1 gene:B456_001G096500 transcript:KJB08661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDTATTTELIQNQHQKGNQEMCRATEVVSTVDRVVDMSSPVMSQDELNLIPPLNFAMVDNGIFRSGFPDSANFSFLQTLRLRSIIYLCPEPYSEANNEFLKSNGIKLFQFGIEGYKEPFVNIPQDTIREALKTLLDVRNHPVLIHCKRGKHRTGCLVGCLRKLQRWCLSSIFDEYQRFAAAKARVSDQRFMELFDVSSLKHLPMSFSCLKR >KJB10461 pep chromosome:Graimondii2_0_v6:1:39014096:39020824:-1 gene:B456_001G202500 transcript:KJB10461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSIASKFAFFPPNPPSYTVVEDDACDGRLYIPEVPRRDDVDVLKLRTRRGNDIVAVHIKHPKASATLLYSHGNAADLGQMFELFVELCNRLRVNIMGYDYSGYGQSTGKPTECNTYADIDAAYTCLKERYGVKDEDLILYGQSVGSGPTVDLASRLPNLRGVVLHSPILSGMRVLYPVKRTYWFDIYKGTADEVVHHSHGKQLWELCKNKYDPLWVNGGGHCNLEIYPEFIRHLKKFVLSLNKSKAAAANGSEKVVVNSDKRSNKPSEGGTLDTFELGADLPDVSRNSLDSRLEKSKKSNKPEKSRMSTDRVDRFRRKKGLVW >KJB10460 pep chromosome:Graimondii2_0_v6:1:39013992:39020887:-1 gene:B456_001G202500 transcript:KJB10460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSIASKFAFFPPNPPSYTVVEDDACDGRLYIPEVPRRDDVDVLKLRTRRGNDIVAVHIKHPKASATLLYSHGNAADLGQMFELFVELCNRLRVNIMGYDYSGYGQSTGKPTECNTYADIDAAYTCLKERYGVKDEDLILYGQSVGSGPTVDLASRLPNLRGVVLHSPILSGMRVLYPVKRTYWFDIYKNIDKIGAVNCQVLVIHGTADEVVHHSHGKQLWELCKNKYDPLWVNGGGHCNLEIYPEFIRHLKKFVLSLNKSKAAAANGSEKVVVNSDKRSNKPSEGGTLDTFELGADLPDVSRNSLDSRLEKSKKSNKPEKSRMSTDRVDRFRRKKGLVW >KJB11518 pep chromosome:Graimondii2_0_v6:1:53886730:53889835:-1 gene:B456_001G263300 transcript:KJB11518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDLLTLYVKIRCCELEKGEKKREMGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >KJB11519 pep chromosome:Graimondii2_0_v6:1:53886730:53889908:-1 gene:B456_001G263300 transcript:KJB11519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >KJB11520 pep chromosome:Graimondii2_0_v6:1:53887047:53889143:-1 gene:B456_001G263300 transcript:KJB11520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKASA >KJB11521 pep chromosome:Graimondii2_0_v6:1:53886730:53889844:-1 gene:B456_001G263300 transcript:KJB11521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >KJB11517 pep chromosome:Graimondii2_0_v6:1:53886645:53890003:-1 gene:B456_001G263300 transcript:KJB11517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >KJB07960 pep chromosome:Graimondii2_0_v6:1:5364578:5367411:1 gene:B456_001G055500 transcript:KJB07960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHHPWIFISGILGNILSFMVYLAPLPTFVRVYKKKSTEGFESLPYVVALVSAMLWIYYATLKPNAFLLMTINSIGCVVETIYIIVFIVYAPKKARILTLKLLLVFNMGALVLVLITHFFSKGRSRIHVIGWSCVVTSAAVFAAPLSIMRSVIHTKSVEFMPFTLSFFLTCSAILWLVYGLLLKDFYISLPNIVGVVLGTIQMLLYVVYKKFNNNIAKDHERKQPSPIVNGKNINHIKASNIHSSSPQVSGDIEVGRDENLYGPQLEHSDDGV >KJB07959 pep chromosome:Graimondii2_0_v6:1:5364578:5367174:1 gene:B456_001G055500 transcript:KJB07959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRHFKTQCFPFNDHKLHWLCCGNHLHHRLHRLCSQESSGRSRIHVIGWSCVVTSAAVFAAPLSIMRSVIHTKSVEFMPFTLSFFLTCSAILWLVYGLLLKDFYISLPNIVGVVLGTIQMLLYVVYKKFNNNIAKDHERKQPSPIVNGKNINHIKASNIHSSSPQVSGDIEVGRDENLYGPQLEHSDDGV >KJB10153 pep chromosome:Graimondii2_0_v6:1:29829300:29834348:1 gene:B456_001G186800 transcript:KJB10153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLFWVSFTLFFGFLVVAFCDQDGFLSLSCGGAKSYVDSSKIKWVSDDTFITTGNTTTVEYAEGTSSSSSIALRFFPESRGRNCYKFPVENMSSIVLVRAQFVYKNYDGLEKPPAFSVSLGRAVVSTVNLTHKDPWIDEFLWPVSKDTLSFCLQAIPDGGAPVISSLEVRPLPRGAYQSGMEDIPNKSLRKSYRINSGYTNGSLRYPVDPFDRIWDADQSYTPFHSSPGFNIPLSFNLSSLKESPPLDVLQTARVLARQDVLHYNLPLDKLGDYYIVLYFASILPVSASFDILINGDVELSEFTIRTSEASTLYFKQKGIINLDIALRSIIFYPQINALEVYEIVDIPPETSSTTVSALQVIEQATGFDLGWQDDPCVPTPWDHIECKGSTVTSLDLSDINLRSISPTFGDLLDLKILNLHNTSLSGATQNLGSLQHLEKLNLSFNQLTSFGSDMNGLVNLRVLDLHNNSLQGIVPDSLGELKNLHLLNLENNKLQGTLPLSLNRESLEVRTSGNLCLSFSTMACNDVSSNPSIETPQVTIVTNRKHRAHRHLAIILGAAGGTLFALLLTSLLVLLYINKRKTEATYTTSASIDMRNWNAERIFSYKEIKAATNNFKEVIGRGSFGSVYLGKLSDGKPVAVKVRFDKTQLGADSFINEGCMQVHLLSQIHHQNLVSLEGFCHELKHQILVYEYLPGGSLADHLYGPNSQKVSLSWIRRLKIAVDAAKGLDYLHNGSDPRIIHRDVKCSNILLDCEMNAKVCDFGLSKQVTLADATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLTHSGTPDSFNLVLWAKPYLQAGALEIVDDNLKGTFDVESMRKAALVTVRCVERDASRRPTIAQVLGELKEAYSLQLAYLASLGHSG >KJB10154 pep chromosome:Graimondii2_0_v6:1:29829300:29834348:1 gene:B456_001G186800 transcript:KJB10154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLFWVSFTLFFGFLVVAFCDQDGFLSLSCGGAKSYVDSSKIKWVSDDTFITTGNTTTVEYAEGTSSSSSIALRFFPESRGRNCYKFPVENMSSIVLVRAQFVYKNYDGLEKPPAFSVSLGRAVVSTVNLTHKDPWIDEFLWPVSKDTLSFCLQAIPDGGAPVISSLEVRPLPRGAYQSGMEDIPNKSLRKSYRINSGYTNGSLRYPVDPFDRIWDADQSYTPFHSSPGFNIPLSFNLSSLKESPPLDVLQTARVLARQDVLHYNLPLDKLGDYYIVLYFASILPVSASFDILINGDVELSEFTIRTSEASTLYFKQKGIINLDIALRSIIFYPQINALEVYEIVDIPPETSSTTVSALQVIEQATGFDLGWQDDPCVPTPWDHIECKGSTVTSLDLSDINLRSISPTFGDLLDLKILNLHNTSLSGATQNLGSLQHLEKLNLSFNQLTSFGSDMNGLVNLRVLDLHNNSLQGIVPDSLGELKNLHLLNLENNKLQGTLPLSLNRESLEVRTSGNLCLSFSTMACNDVSSNPSIETPQVTIVTNRKHRAHRHLAIILGAAGGTLFALLLTSLLVLLYINKRKTEATYTTSASIDMRNWNAERIFSYKEIKAATNNFKEVIGRGSFGSVYLGKLSDGKPVAVKVRFDKTQLGADSFINEGCMQVHLLSQIHHQNLVSLEGFCHELKHQILVYEYLPGGSLADHLYGPNSQKVSLSWIRRLKIAVDAAKGLDYLHNGSDPRIIHRDVKCSNILLDCEMNAKVCDFGLSKYYSTQQLTEKSDVYSFGVVLLELICGREPLTHSGTPDSFNLVLWAKPYLQAGALEIVDDNLKGTFDVESMRKAALVTVRCVERDASRRPTIAQVLGELKEAYSLQLAYLASLGHSG >KJB10152 pep chromosome:Graimondii2_0_v6:1:29829503:29833432:1 gene:B456_001G186800 transcript:KJB10152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLFWVSFTLFFGFLVVAFCDQDGFLSLSCGGAKSYVDSSKIKWVSDDTFITTGNTTTVEYAEGTSSSSSIALRFFPESRGRNCYKFPVENMSSIVLVRAQFVYKNYDGLEKPPAFSVSLGRAVVSTVNLTHKDPWIDEFLWPVSKDTLSFCLQAIPDGGAPVISSLEVRPLPRGAYQSGMEDIPNKSLRKSYRINSGYTNGSLRYPVDPFDRIWDADQSYTPFHSSPGFNIPLSFNLSSLKESPPLDVLQTARVLARQDVLHYNLPLDKLGDYYIVLYFASILPVSASFDILINGDVELSEFTIRTSEASTLYFKQKGIINLDIALRSIIFYPQINALEVYEIVDIPPETSSTTVSALQVIEQATGFDLGWQDDPCVPTPWDHIECKGSTVTSLDLSDINLRSISPTFGDLLDLKILNLHNTSLSGATQNLGSLQHLEKLNLSFNQLTSFGSDMNGLVNLRVLDLHNNSLQGIVPDSLGELKNLHLLNLENNKLQGTLPLSLNRESLEVRTSGNLCLSFSTMACNDVSSNPSIETPQVTIVTNRKHRAHRHLAIILGAAGGTLFALLLTSLLVLLYINKRKTEATYTTSASIDMRNWNAERIFSYKEIKAATNNFKEVIGRGSFGSVYLGKLSDGKPVAVKVRFDKTQLGADSFINEVHLLSQIHHQNLVSLEGFCHELKHQILVYEYLPGGSLADHLYGPNSQKVSLSWIRRLKIAVDAAKGLDYLHNGSDPRIIHRDVKCSNILLDCEMNAKVCDFGLSKQVTLADATHVTTVVKGTAGYLDPEYVFLKLCLYCTAHHH >KJB10149 pep chromosome:Graimondii2_0_v6:1:29829300:29834348:1 gene:B456_001G186800 transcript:KJB10149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLFWVSFTLFFGFLVVAFCDQDGFLSLSCGGAKSYVDSSKIKWVSDDTFITTGNTTTVEYAEGTSSSSSIALRFFPESRGRNCYKFPVENMSSIVLVRAQFVYKNYDGLEKPPAFSVSLGRAVVSTVNLTHKDPWIDEFLWPVSKDTLSFCLQAIPDGGAPVISSLEVRPLPRGAYQSGMEDIPNKSLRKSYRINSGYTNGSLRYPVDPFDRIWDADQSYTPFHSSPGFNIPLSFNLSSLKESPPLDVLQTARVLARQDVLHYNLPLDKLGDYYIVLYFASILPVSASFDILINGDVELSEFTIRTSEASTLYFKQKGIINLDIALRSIIFYPQINALEVYEIVDIPPETSSTTVSALQVIEQATGFDLGWQDDPCVPTPWDHIECKGSTVTSLDLSDINLRSISPTFGDLLDLKILNLHNTSLSGATQNLGSLQHLEKLNLSFNQLTSFGSDMNGLVNLRVLDLHNNSLQGIVPDSLGELKNLHLLNLENNKLQGASIDMRNWNAERIFSYKEIKAATNNFKEVIGRGSFGSVYLGKLSDGKPVAVKVRFDKTQLGADSFINEVHLLSQIHHQNLVSLEGFCHELKHQILVYEYLPGGSLADHLYGPNSQKVSLSWIRRLKIAVDAAKGLDYLHNGSDPRIIHRDVKCSNILLDCEMNAKVCDFGLSKQVTLADATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLTHSGTPDSFNLVLWAKPYLQAGALEIVDDNLKGTFDVESMRKAALVTVRCVERDASRRPTIAQVLGELKEAYSLQLAYLASLGHSG >KJB10151 pep chromosome:Graimondii2_0_v6:1:29829300:29834348:1 gene:B456_001G186800 transcript:KJB10151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLFWVSFTLFFGFLVVAFCDQDGFLSLSCGGAKSYVDSSKIKWVSDDTFITTGNTTTVEYAEGTSSSSSIALRFFPESRGRNCYKFPVENMSSIVLVRAQFVYKNYDGLEKPPAFSVSLGRAVVSTVNLTHKDPWIDEFLWPVSKDTLSFCLQAIPDGGAPVISSLEVRPLPRGAYQSGMEDIPNKSLRKSYRINSGYTNGSLRYPVDPFDRIWDADQSYTPFHSSPGFNIPLSFNLSSLKESPPLDVLQTARVLARQDVLHYNLPLDKLGDYYIVLYFASILPVSASFDILINGDVELSEFTIRTSEASTLYFKQKGIINLDIALRSIIFYPQINALEVYEIVDIPPETSSTTVSALQVIEQATGFDLGWQDDPCVPTPWDHIECKGSTVTSLDLSDINLRSISPTFGDLLDLKILNLHNTSLSGATQNLGSLQHLEKLNLSFNQLTSFGSDMNGLVNLRVLDLHNNSLQGIVPDSLGELKNLHLLNLENNKLQGTLPLSLNRESLEVRTSGNLCLSFSTMACNDVSSNPSIETPQVTIVTNRKHRAHRHLAIILGAAGGTLFALLLTSLLVLLYINKRKTEATYTTSASIDMRNWNAERIFSYKEIKAATNNFKEVIGRGSFGSVYLGKLSDGKPVAVKVRFDKTQLGADSFINEVHLLSQIHHQNLVSLEGFCHELKHQILVYEYLPGGSLADHLYGPNSQKVSLSWIRRLKIAVDAAKGLDYLHNGSDPRIIHRDVKCSNILLDCEMNAKVCDFGLSKQVTLADATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLTHSGTPDSFNLVLWVTIISFSF >KJB10148 pep chromosome:Graimondii2_0_v6:1:29829300:29834348:1 gene:B456_001G186800 transcript:KJB10148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLFWVSFTLFFGFLVVAFCDQDGFLSLSCGGAKSYVDSSKIKWVSDDTFITTGNTTTVEYAEGTSSSSSIALRFFPESRGRNCYKFPVENMSSIVLVRAQFVYKNYDGLEKPPAFSVSLGRAVVSTVNLTHKDPWIDEFLWPVSKDTLSFCLQAIPDGGAPVISSLEVRPLPRGAYQSGMEDIPNKSLRKSYRINSGYTNGSLRYPVDPFDRIWDADQSYTPFHSSPGFNIPLSFNLSSLKESPPLDVLQTARVLARQDVLHYNLPLDKLGDYYIVLYFASILPVSASFDILINGDVELSEFTIRTSEASTLYFKQKGIINLDIALRSIIFYPQINALEVYEIVDIPPETSSTTVSALQVIEQATGFDLGWQDDPCVPTPWDHIECKGSTVTSLDLSDINLRSISPTFGDLLDLKILNLHNTSLSGATQNLGSLQHLEKLNLSFNQLTSFGSDMNGLVNLRVLDLHNNSLQGIVPDSLGELKNLHLLNLENNKLQGTLPLSLNRESLEVRTSGNLCLSFSTMACNDVSSNPSIETPQVTIVTNRKHRAHRHLAIILGAAGGTLFALLLTSLLVLLYINKRKTEATYTTSASIDMRNWNAERIFSYKEIKAATNNFKEVIGRGSFGSVYLGKLSDGKPVAVKVRFDKTQLGADSFINEVHLLSQIHHQNLVSLEGFCHELKHQILVYEYLPGGSLADHLYGPNSQKVSLSWIRRLKIAVDAAKGLDYLHNGSDPRIIHRDVKCSNILLDCEMNAKVCDFGLSKQVTLADATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLTHSGTPDSFNLVLWAKPYLQAGALEIVDDNLKGTFDVESMRKAALVTVRCVERDASRRPTIAQVLGELKEAYSLQLAYLASLGHSG >KJB10150 pep chromosome:Graimondii2_0_v6:1:29829300:29834348:1 gene:B456_001G186800 transcript:KJB10150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLFWVSFTLFFGFLVVAFCDQDGFLSLSCGGAKSYVDSSKIKWVSDDTFITTGNTTTVEYAEGTSSSSSIALRFFPESRGRNCYKFPVENMSSIVLVRAQFVYKNYDGLEKPPAFSVSLGRAVVSTVNLTHKDPWIDEFLWPVSKDTLSFCLQAIPDGGAPVISSLEVRPLPRGAYQSGMEDIPNKSLRKSYRINSGYTNGSLRYPVDPFDRIWDADQSYTPFHSSPGFNIPLSFNLSSLKESPPLDVLQTARVLARQDVLHYNLPLDKLGDYYIVLYFASILPVSASFDILINGDVELSEFTIRTSEASTLYFKQKGIINLDIALRSIIFYPQINALEVYEIVDIPPETSSTTVSALQVIEQATGFDLGWQDDPCVPTPWDHIECKGSTVTSLDLSDINLRSISPTFGDLLDLKILNLHNTSLSGATQNLGSLQHLEKLNLSFNQLTSFGSDMNGLVNLRVLDLHNNSLQGIVPDSLGELKNLHLLNLENNKLQGTLPLSLNRESLEVRTSGNLCLSFSTMACNDVSSNPSIETPQVTIVTNRKHRAHRHLAIILGAAGGTLFALLLTSLLVLLYINKRKTEATYTTSASIDMRNWNAERIFSYKEIKAATNNFKEVIGRGSFGSVYLGKLSDGKPVAVKVRFDKTQLGADSFINEVHLLSQIHHQNLVSLEGFCHELKHQILVYEYLPGGSLADHLYGPNSQKVSLSWIRRLKIAVDAAKGLDYLHNGSDPRIIHRDVKCSNILLDCEMNAKVCDFGLSKQVTLADATHVTTVVKGTAGYLDPEYVFLKLCLYCTAHHH >KJB10147 pep chromosome:Graimondii2_0_v6:1:29829503:29833432:1 gene:B456_001G186800 transcript:KJB10147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLFWVSFTLFFGFLVVAFCDQDGFLSLSCGGAKSYVDSSKIKWVSDDTFITTGNTTTVEYAEGTSSSSSIALRFFPESRGRNCYKFPVENMSSIVLVRAQFVYKNYDGLEKPPAFSVSLGRAVVSTVNLTHKDPWIDEFLWPVSKDTLSFCLQAIPDGGAPVISSLEVRPLPRGAYQSGMEDIPNKSLRKSYRINSGYTNGSLRYPVDPFDRIWDADQSYTPFHSSPGFNIPLSFNLSSLKESPPLDVLQTARVLARQDVLHYNLPLDKLGDYYIVLYFASILPVSASFDILINGDVELSEFTIRTSEASTLYFKQKGIINLDIALRSIIFYPQINALEVYEIVDIPPETSSTTVSALQVIEQATGFDLGWQDDPCVPTPWDHIECKGSTVTSLDLSDINLRSISPTFGDLLDLKILNLHNTSLSGATQNLGSLQHLEKLNLSFNQLTSFGSDMNGLVNLRVLDLHNNSLQGIVPDSLGELKNLHLLNLENNKLQGTLPLSLNRESLEVRTSGNLCLSFSTMACNDVSSNPSIETPQVTIVTNRKHRAHRHLAIILGAAGGTLFALLLTSLLVLLYINKRKTEATYTTSASIDMRNWNAERIFSYKEIKAATNNFKEVIGRGSFGSVYLGKLSDGKPVAVKVRFDKTQLGADSFINEVHLLSQIHHQNLVSLEGFCHELKHQILVYEYLPGGSLADHLYGPNSQKVSLSWIRRLKIAVDAAKGLDYLHNGSDPRIIHRDVKCSNILLDCEMNAKVCDFGLSKQVTLADATHVTTVVKGTAGYLDPEYVFLKLCLYCTAHHH >KJB09266 pep chromosome:Graimondii2_0_v6:1:16951312:16958879:-1 gene:B456_001G132200 transcript:KJB09266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEAVALKILDKEKVLKHKMAEQIKREIATMKLIQHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNNGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDSNIMNLYKKISAAEFTCPPWLSFSAMKLITRILDPNPITRITIPEILQDEWFKKDYKPPMFEEKDDTNLDDVEAVFRDSEEHHVTEKREEQPTPMNAFELISMSNGLNLGNLFDAEQGFKRETRFTSTRPANEIIHKIEEAAKPLGFDVHKKNYKMRLENMKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSSSLDDVVWKTEEDMKEVK >KJB09267 pep chromosome:Graimondii2_0_v6:1:16952208:16958390:-1 gene:B456_001G132200 transcript:KJB09267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEAVALKILDKEKVLKHKMAEQIKREIATMKLIQHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNNGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDSNIMNLYKKISAAEFTCPPWLSFSAMKLITRILDPNPITRITIPEILQDEWFKKDYKPPMFEEKDDTNLDDVEAVFRDSEEHHVTEKREEQPTPMNAFELISMSNGLNLGNLFDAEQGFKRETRFTSTRPANEIIHKIEEAAKPLGFDVHKKNYKMRLENMKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKVPLNSLIKISLCILNILCFSNLHKLPMRVM >KJB09263 pep chromosome:Graimondii2_0_v6:1:16951312:16958879:-1 gene:B456_001G132200 transcript:KJB09263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEAVALKILDKEKVLKHKMAEQIKREIATMKLIQHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNNGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDSNIMNLYKKISAAEFTCPPWLSFSAMKLITRILDPNPITRITIPEILQDEWFKKDYKPPMFEEKDDTNLDDVEAVFRDSEEHHVTEKREEQPTPMNAFELISMSNGLNLGNLFDAEQGFKRETRFTSTRPANEIIHKIEEAAKPLGFDVHKKNYKMRLENMKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSSSLDDVVWKTEEDMKEVK >KJB09265 pep chromosome:Graimondii2_0_v6:1:16951321:16958390:-1 gene:B456_001G132200 transcript:KJB09265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEAVALKILDKEKVLKHKMAEQIKREIATMKLIQHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNNGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDSNIMNLYKKISAAEFTCPPWLSFSAMKLITRILDPNPITRITIPEILQDEWFKKDYKPPMFEEKDDTNLDDVEAVFRDSEEHHVTEKREEQPTPMNAFELISMSNGLNLGNLFDAEQGFKRETRFTSTRPANEIIHKIEEAAKPLGFDVHKKNYKMRLENMKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSSSLDDVVWKTEEDMKEVK >KJB09262 pep chromosome:Graimondii2_0_v6:1:16949570:16958390:-1 gene:B456_001G132200 transcript:KJB09262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEAVALKILDKEKVLKHKMAEQIKREIATMKLIQHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNNGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDSNIMNLYKKISAAEFTCPPWLSFSAMKLITRILDPNPITRITIPEILQDEWFKKDYKPPMFEEKDDTNLDDVEAVFRDSEEHHVTEKREEQPTPMNAFELISMSNGLNLGNLFDAEQGFKRETRFTSTRPANEIIHKIEEAAKPLGFDVHKKNYKMRLENMKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKVF >KJB09264 pep chromosome:Graimondii2_0_v6:1:16951321:16958816:-1 gene:B456_001G132200 transcript:KJB09264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEAVALKILDKEKVLKHKMAEQIKREIATMKLIQHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNNGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDSNIMNLYKKISAAEFTCPPWLSFSAMKLITRILDPNPITRITIPEILQDEWFKKDYKPPMFEEKDDTNLDDVEAVFRDSEEHHVTEKREEQPTPMNAFELISMSNGLNLGNLFDAEQGFKRETRFTSTRPANEIIHKIEEAAKPLGFDVHKKNYKMRLENMKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSSSLDDVVWKTEEDMKEVK >KJB09268 pep chromosome:Graimondii2_0_v6:1:16953068:16958390:-1 gene:B456_001G132200 transcript:KJB09268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEAVALKILDKEKVLKHKMAEQIKREIATMKLIQHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNNGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDSNIMNLYKKISAAEFTCPPWLSFSAMKLITRILDPNPITRITIPEILQDEWFKKDYKPPMFEEKDDTNLDDVEAVFRDSEEHHVTEKREEQPTPMNAFELISMSNGLNLGNLFDAEQVCF >KJB07794 pep chromosome:Graimondii2_0_v6:1:14242226:14246607:1 gene:B456_001G118100 transcript:KJB07794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLLLMATALDVHIPELSPPGIGDTLPTDLRTRQQRIAEITEMIHVASLLHDDVLDDADTRRGVGSLNAVMGNKLAVLAGDFLLSRACLTLASLKNTEVVTLIATVVENLVTGETMQLTTASNKRFSMEYYMQKTYNKTASLMSNSCKSVALLAGHTTVIAMLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPILFAMEEYPQLRAVVDKGFDNPANVDMALEYLGKSRGIERTKELAMKHANLAAAAIESLPQSDDEDVIKSRQALIDLTQRVITRNK >KJB07791 pep chromosome:Graimondii2_0_v6:1:14239697:14244683:1 gene:B456_001G118100 transcript:KJB07791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAALLHLLRYRTAAAAAAAEPLSAFRCLMTRSNSKTPAAGIKWAGFCRPFSSKAALNDVIGNDTDSSVAVMEPQERVDPFSLVANELSLIATRLRSMVAAEVPNLASAAEYFFKIGAEGKRFRPTVLLLMATALDVHIPELSPPGIGDTLPTDLRTRQQRIAEITEMIHVASLLHDDVLDDADTRRGVGSLNAVMGNKLAVLAGDFLLSRACLTLASLKNTEVVTLIATVVENLVTGETMQLTTASNKRFSMEYYMQKTYNKTASLMSNSCKSVALLAGHTTVIAMLAFEYGKNLVCKSHKYFLGQKGKKFLKVSNGNLFEIMLHVTTYVSWRTLWTVVTPINMYA >KJB07793 pep chromosome:Graimondii2_0_v6:1:14241173:14246638:1 gene:B456_001G118100 transcript:KJB07793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAEVPNLASAAEYFFKIGAEGKRFRPTVLLLMATALDVHIPELSPPGIGDTLPTDLRTRQQRIAEITEMIHVASLLHDDVLDDADTRRGVGSLNAVMGNKLAVLAGDFLLSRACLTLASLKNTEVVTLIATVVENLVTGETMQLTTASNKRFSMEYYMQKTYNKTASLMSNSCKSVALLAGHTTVIAMLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPILFAMEEYPQLRAVVDKGFDNPANVDMALEYLGKSRGIERTKELAMKHANLAAAAIESLPQSDDEDVIKSRQALIDLTQRVITRNK >KJB07795 pep chromosome:Graimondii2_0_v6:1:14242824:14246607:1 gene:B456_001G118100 transcript:KJB07795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLAVLAGDFLLSRACLTLASLKNTEVVTLIATVVENLVTGETMQLTTASNKRFSMEYYMQKTYNKTASLMSNSCKSVALLAGHTTVIAMLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPILFAMEEYPQLRAVVDKGFDNPANVDMALEYLGKSRGIERTKELAMKHANLAAAAIESLPQSDDEDVIKSRQALIDLTQRVITRNK >KJB07792 pep chromosome:Graimondii2_0_v6:1:14239697:14246607:1 gene:B456_001G118100 transcript:KJB07792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAALLHLLRYRTAAAAAAAEPLSAFRCLMTRSNSKTPAAGIKWAGFCRPFSSKAALNDVIGNDTDSSVAVMEPQERVDPFSLVANELSLIATRLRSMVAAEVPNLASAAEYFFKIGAEGKRFRPTVLLLMATALDVHIPELSPPGIGDTLPTDLRTRQQRIAEITEMIHVASLLHDDVLDDADTRRGVGSLNAVMGNKLAVLAGDFLLSRACLTLASLKNTEVVTLIATVVENLVTGETMQLTTASNKRFSMEYYMQKTYNKTASLMSNSCKSVALLAGHTTVIAMLAFED >KJB07789 pep chromosome:Graimondii2_0_v6:1:14239433:14246638:1 gene:B456_001G118100 transcript:KJB07789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAALLHLLRYRTAAAAAAAEPLSAFRCLMTRSNSKTPAAGIKWAGFCRPFSSKAALNDVIGNDTDSSVAVMEPQERVDPFSLVANELSLIATRLRSMVAAEVPNLASAAEYFFKIGAEGKRFRPTVLLLMATALDVHIPELSPPGIGDTLPTDLRTRQQRIAEITEMIHVASLLHDDVLDDADTRRGVGSLNAVMGNKLAVLAGDFLLSRACLTLASLKNTEVVTLIATVVENLVTGETMQLTTASNKRFSMEYYMQKTYNKTASLMSNSCKSVALLAGHTTVIAMLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPILFAMEEYPQLRAVVDKGFDNPANVDMALEYLGKSRGIERTKELAMKHANLAAAAIESLPQSDDEDVIKSRQALIDLTQRVITRNK >KJB07790 pep chromosome:Graimondii2_0_v6:1:14239556:14246607:1 gene:B456_001G118100 transcript:KJB07790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAALLHLLRYRTAAAAAAAEPLSAFRCQLMTRSNSKTPAAGIKWAGFCRPFSSKAALNDVIGNDTDSSVAVMEPQERVDPFSLVANELSLIATRLRSMVAAEVPNLASAAEYFFKIGAEGKRFRPTVLLLMATALDVHIPELSPPGIGDTLPTDLRTRQQRIAEITEMIHVASLLHDDVLDDADTRRGVGSLNAVMGNKLAVLAGDFLLSRACLTLASLKNTEVVTLIATVVENLVTGETMQLTTASNKRFSMEYYMQKTYNKTASLMSNSCKSVALLAGHTTVIAMLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPILFAMEEYPQLRAVVDKGFDNPANVDMALEYLGKSRGIERTKELAMKHANLAAAAIESLPQSDDEDVIKSRQALIDLTQRVITRNK >KJB07099 pep chromosome:Graimondii2_0_v6:1:5576445:5578185:-1 gene:B456_001G057100 transcript:KJB07099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKVEDDGKKSEEKKETKEETTPLPPPPPQEIVLKVYMHCEGCARKVRRCLKGFQGVEDVMTDCKSNKVVVKGEKADPLKVLERVQRKSHKQVELLSPIPKPPSTAEDKKPEENEKPKSDEKKEEPQVIMVVLKVHMHCEACAQGIKKRIQRMKGVESAEPDLKSSEVTVKGVFSPPKLIEYVYKRTGKHAVIVKQEPPPPPPPEDKKEEEKPKDGGKEEKKNEESSGEKDKKDSSSGGGDDKGKDKKGSGGGEGGEANVAAGGGGEVAEGAVEETRVAVELKKNEFYYYPPRYATEFYAYPPQYFSDENPNACSIM >KJB07098 pep chromosome:Graimondii2_0_v6:1:5576401:5578371:-1 gene:B456_001G057100 transcript:KJB07098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEKKPVEEKKMEEKKVEDDGKKSEEKKETKEETTPLPPPPPQEIVLKVYMHCEGCARKVRRCLKGFQGVEDVMTDCKSNKVVVKGEKADPLKVLERVQRKSHKQVELLSPIPKPPSTAEDKKPEENEKPKSDEKKEEPQVIMVVLKVHMHCEACAQGIKKRIQRMKGVESAEPDLKSSEVTVKGVFSPPKLIEYVYKRTGKHAVIVKQEPPPPPPPEDKKEEEKPKDGGKEEKKNEESSGEKDKKDSSSGGGDDKGKDKKGSGGGEGGEANVAAGGGGEVAEGAVEETRVAVELKKNEFYYYPPRYATEFYAYPPQYFSDENPNACSIM >KJB07100 pep chromosome:Graimondii2_0_v6:1:5576445:5578126:-1 gene:B456_001G057100 transcript:KJB07100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKVEDDGKKSEEKKETKEETTPLPPPPPQEIVLKVYMHCEGCARKVRRCLKGFQGVEDVMTDCKSNKVVVKGEKADPLKVLERVQRKSHKQVELLSPIPKPPSTAEDKKPEENEKPKSDEKKEEPQVIMVVLKVHMHCEACAQGIKKRIQRMKGVESAEPDLKSSEVTVKGVFSPPKLIEYVYKRTGKHAVIVKQEPPPPPPPEDKKEEEKPKDGGKEEKKNEESSGEKDKKDSSSGGGDDKGKDKKGSGGGEGGEANVAAGGGGEVAEGAVEETRVAVELKKNEFYYYPPRYATEFYAYPPQYFSDENPNACSIM >KJB09864 pep chromosome:Graimondii2_0_v6:1:24570299:24570672:-1 gene:B456_001G1712002 transcript:KJB09864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLIGFLLGFVLLLRPQFGWVGRKHLPAGARVTSKHKAYQYLFLVIAMVLLIVGFTVGLVMLFRGENGHDHCSWCHYLSCVPTSKWHCGN >KJB07117 pep chromosome:Graimondii2_0_v6:1:2609747:2613415:-1 gene:B456_001G027800 transcript:KJB07117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRARLFKEYKEVQREKTADPDIQLVCDDSNIFKWTALIKGPSETPYEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDMRGFQSMARMYTRLAAMPKKG >KJB07121 pep chromosome:Graimondii2_0_v6:1:2610370:2613080:-1 gene:B456_001G027800 transcript:KJB07121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKTADPDIQLVCDDSNIFKWTALIKGPSETPYEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGKLVYLMLFFSVS >KJB07118 pep chromosome:Graimondii2_0_v6:1:2609747:2613434:-1 gene:B456_001G027800 transcript:KJB07118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASRARLFKEYKEVQREKTADPDIQLVCDDSNIFKWTALIKGPSETPYEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDMRGFQSMARMYTRLAAMPKKG >KJB07120 pep chromosome:Graimondii2_0_v6:1:2610071:2612924:-1 gene:B456_001G027800 transcript:KJB07120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASRARLFKEYKEVQREKTADPDIQLVCDDSNIFKWTALIKGPSETPYEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDMRGFQSMARMYTRLAAMPKKG >KJB07122 pep chromosome:Graimondii2_0_v6:1:2609747:2613468:-1 gene:B456_001G027800 transcript:KJB07122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKTADPDIQLVCDDSNIFKWTALIKGPSETPYEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDMRGFQSMARMYTRLAAMPKKG >KJB07116 pep chromosome:Graimondii2_0_v6:1:2609747:2613441:-1 gene:B456_001G027800 transcript:KJB07116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKTADPDIQLVCDDSNIFKWTALIKGPSETPYEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDMRGFQSMARMYTRLAAMPKKG >KJB07119 pep chromosome:Graimondii2_0_v6:1:2609747:2613469:-1 gene:B456_001G027800 transcript:KJB07119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKTADPDIQLVCDDSNIFKWTALIKGPSETPYEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDMRGFQSMARMYTRLAAMPKKG >KJB07123 pep chromosome:Graimondii2_0_v6:1:2609747:2613431:-1 gene:B456_001G027800 transcript:KJB07123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKTADPDIQLVCDDSNIFKWTALIKGPSETPYEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDMRGFQSMARMYTRLAAMPKKG >KJB10389 pep chromosome:Graimondii2_0_v6:1:40709165:40712489:-1 gene:B456_001G207200 transcript:KJB10389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQTAFAHGALWEKGTWTKQLLKLRINLILRSLNTYTDFGACVMHIILTYSCSLQIKWHPFFLDPSAPKEGVSKREYYEKKFGSRTQGILARMTEIFRNVGLEYDMSGLTGNTLDSHRLIYFAGKQGLDKQHALVEELYLGYFTQGKYIGDREFLLESARKVGVEGAAEFLENPNNGVKEVNEDLEKYSANISGVPNYVINGKQQLSGGQPPEVFLRAFQVAAK >KJB10387 pep chromosome:Graimondii2_0_v6:1:40709164:40712528:-1 gene:B456_001G207200 transcript:KJB10387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVSVQKCFSFVFQKDSKTRSIMAQSSGSNTGKKLIRIDVSSDSVCPWCFVGKRNLDKAIAQAKDQFDFEIKWHPFFLDPSAPKEGVSKREYYEKKFGSRTQGILARMTEIFRNVGLEYDMSGLTGNTLDSHRLIYFAGKQGLDKQHALVEELYLGYFTQGKYIGDREFLLESARKVGVEGAAEFLENPNNGVKEVNEDLEKYSANISGVPNYVINGKQQLSGGQPPEVFLRAFQVAAK >KJB10388 pep chromosome:Graimondii2_0_v6:1:40709165:40711481:-1 gene:B456_001G207200 transcript:KJB10388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSSGSNTGKKLIRIDVSSDSVCPWCFVGKRNLDKAIAQAKDQFDFEIKWHPFFLDPSAPKEGVSKREYYEKKFGSRTQGILARMTEIFRNVGLEYDMSGLTGNTLDSHRLIYFAGKQGLDKQHALVEELYLGYFTQGKYIGDREFLLESARKVGVEGAAEFLENPNNGVKEVNEDLEKYSANISGVPNYVINGKQQLSGGQPPEVFLRAFQVAAK >KJB06391 pep chromosome:Graimondii2_0_v6:1:3274103:3276647:-1 gene:B456_001G035500 transcript:KJB06391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGNVFFTLIITLSVALITYNILISANASLKQELPGPSTSSIIDPIIQMPVEKSRKYGSNAEKRLFHTAVTASDSVYNTWQCRVMYYWFKKHKNGPNSDMGGFTRILHSGKPDNYMNEIPTFIARPLPAGMDQGYIVLNRPWAFVQWLQKADIKEDYILMAEPDHIIVKPIPNLSKDGLGAAFPFFYIEPKKYELVLRKYFPEEKGPITNIDPIGNSPVIVGKDSLKKIAPTWMNVSLAMKKDPETDKAFGWVLEMYAYAVSSALHGVGNILYKDFMIQPPWDTEIGNKFIIHYTYGCDYNLKGKLTYGKIGEWRFDKRSFDTEAPPRNLPLPPPGVPESVVSLTSTLL >KJB06389 pep chromosome:Graimondii2_0_v6:1:3273442:3276647:-1 gene:B456_001G035500 transcript:KJB06389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGNVFFTLIITLSVALITYNILISANASLKQELPGPSTSSIIDPIIQMPVEKSRKYGSNAEKRLFHTAVTASDSVYNTWQCRVMYYWFKKHKNGPNSDMGGFTRILHSGKPDNYMNEIPTFIARPLPAGMDQGYIVLNRPWAFVQWLQKADIKEDYILMAEPDHIIVKPIPNLSKDGLGAAFPFFYIEPKKYELVLRKYFPEEKGPITNIDPIGNSPVIVGKDSLKKIAPTWMNVSLAMKKDPETDKAFGWVLEMYAYAVSSALHGVGNILYKDFMIQV >KJB06392 pep chromosome:Graimondii2_0_v6:1:3274301:3276647:-1 gene:B456_001G035500 transcript:KJB06392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGNVFFTLIITLSVALITYNILISANASLKQELPGPSTSSIIDPIIQMPVEKSRKYGSNAEKRLFHTAVTASDSVYNTWQCRVMYYWFKKHKNGPNSDMGGFTRILHSGKPDNYMNEIPTFIARPLPAGMDQGYIVLNRPWAFVQWLQKADIKEDYILMAEPDHIIVKPIPNLSKDGLGAAFPFFYIEPKKYELVLRKYFPEEKGPITNIDPIGNSPVIVGKDSLKKIAPTWMNVSLAMKKDPETDKAFGWVLEMYAYAVSSALHGVGNILYKDFMIQPPWDTEIGNKFIIHYTYGCDYNLKVIYCTKILIILL >KJB06390 pep chromosome:Graimondii2_0_v6:1:3273442:3276671:-1 gene:B456_001G035500 transcript:KJB06390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGNVFFTLIITLSVALITYNILISANASLKQELPGPSTSSIIDPIIQMPVEKSRKYGSNAEKRLFHTAVTASDSVYNTWQCRVMYYWFKKHKNGPNSDMGGFTRILHSGKPDNYMNEIPTFIARPLPAGMDQGYIVLNRPWAFVQWLQKADIKEDYILMAEPDHIIVKPIPNLSKDGLGAAFPFFYIEPKKYELVLRKYFPEEKGPITNIDPIGNSPVIVGKDSLKKIAPTWMNVSLAMKKDPETDKAFGWVLEMYAYAVSSALHGVGNILYKDFMIQPPWDTEIGNKFIIHYTYGCDYNLKGKLTYGKIGEWRFDKRSFDTEAPPRNLPLPPPGVPESVVILVKMVNEATSNIPNWGS >KJB06388 pep chromosome:Graimondii2_0_v6:1:3273442:3276647:-1 gene:B456_001G035500 transcript:KJB06388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGNVFFTLIITLSVALITYNILISANASLKQELPGPSTSSIIDPIIQMPVEKSRKYGSNAEKRLFHTAVTASDSVYNTWQCRVMYYWFKKHKNGPNSDMGGFTRILHSGKPDNYMNEIPTFIARPLPAGMDQGYIVLNRPWAFVQWLQKADIKEDYILMAEPDHIIVKPIPNLSKDGLGAAFPFFYIEPKKYELVLRKYFPEEKGPITNIDPIGNSPVIVGKDSLKKIAPTWMNVSLAMKKDPETDKAFGWVLEMYAYAVSSALHGVGNILYKDFMIQPPWDTEIGNKFIIHYTYGCDYNLKGKLTYGKIGEWRFDKRSFDTEAPPRNLPLPPPGVPESVNVIVAGDTGENGK >KJB09481 pep chromosome:Graimondii2_0_v6:1:19708448:19709083:1 gene:B456_001G145100 transcript:KJB09481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYYTHLVPFNAKIIETTVTDEASVAEFWVLQVRSKFMFHGQKLTIAGLNCKWKSHPIRSMSNKIATLQLCVDTMCLVIQLLHINHMPQFIKTFLSDTDVVFVGIDIEETVFKLQNEYGLSCGRIIDVRSLVKAWFPLSYYGKPGLKVLANRLVGLHKWRPSGDECLNNMDTRFLDEDQVKFACIDAYALCRIGHKLLKEDERASQAYVSG >KJB08641 pep chromosome:Graimondii2_0_v6:1:10550337:10553132:1 gene:B456_001G095200 transcript:KJB08641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQQEEDKKPGDQSAAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRA >KJB08640 pep chromosome:Graimondii2_0_v6:1:10550254:10553140:1 gene:B456_001G095200 transcript:KJB08640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQQEEDKKPGDQSAAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGTV >KJB07170 pep chromosome:Graimondii2_0_v6:1:287672:289989:-1 gene:B456_001G003300 transcript:KJB07170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAATSSFFPVTSSPDSSDSKNKKLGSGSTNLGGIKSKPSASSGSLQVKANAQTSPKINGTTVVTSPVEGFKNEDGAGSPHPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGIGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETAINHCKSAGLLGEGFGATPEMCKKNLIWVVTRMQVVFDRYPTWGDVVQVDTCVSASGKNGMRRDWLVSDSKTGEVLTRASSVWVMMNKLTRRLSKIPEEVRGEIEPYFMNSDPVVAEDSRKLVKLDKSMAEHVRKGLTPRWSDLDVNQHVNNVKYIGWILESAPLPVLETHELSSMTLEYRRECGRESILQSLTTVSDSSVGDLVNVGEIECQHLLQLEEGSEIVRGRTQWRPKYAKSFGNVGQIPAESA >KJB07169 pep chromosome:Graimondii2_0_v6:1:287408:291013:-1 gene:B456_001G003300 transcript:KJB07169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAATSSFFPVTSSPDSSDSKNKKLGSGSTNLGGIKSKPSASSGSLQVKANAQTSPKINGTTVVTSPVEGFKNEDGAGSPHPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGIGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETAINHCKSAGLLGEGFGATPEMCKKNLIWVVTRMQVVFDRYPTWGDVVQVDTCVSASGKNGMRRDWLVSDSKTGEVLTRASSVWVMMNKLTRRLSKIPEEVRGEIEPYFMNSDPVVAEDSRKLVKLDKSMAEHVRKGLTPRWSDLDVNQHVNNVKYIGWILESAPLPVLETHELSSMTLEYRRECGRESILQSLTTVSDSSVGDLVNVGEIECQHLLQLEEGSEIVRGRTQWRPKYAKSFGNVGQIPAESA >KJB10266 pep chromosome:Graimondii2_0_v6:1:32655772:32658662:-1 gene:B456_001G193000 transcript:KJB10266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIGMDPSAANPGPIDQSVLYDQDKHVSSAVWDGQERGALRCHEHTSKLGEWRLTPKQIELVEKAGFGYLRKIPAISLDNPLISALVERWRRETNTFHFTVGEMTVTLQDVAFLLGLAIDGMPVIGITYTTCGTVCEKYLGKAPDSTYASGGMVKLSWLKEFFSQCPENATIEEIERHTRAYLLYLVGSTIFSTTTGNKVPVMYLPLFENFENAGRYAWGAAALAFLYRALGNASVRSQSTICGCLTLLQCWSYYHLNIGRPKLNRDPIHEHFPFVLRWKGKQSGPTTNRDVVFYRKALDSLEPCDVEWLPYKYMDGTVIPEEIRNSLVLGRSKTMLICFDKAERHLPNRVLRQYGMLQPIPEDVPQWVRKSRGVDGGVDLSGKMESELNEWADRALHIVDGDDDADENEYMVWYLRITRKVVGRPISLSSEFQRTIGGVREISYLAETFPLKGLLPEQFESISRIRSIAQECLRDQVGGTVVVSPIVGTELGKRTRGKERVRRKGTGKRRRSNDPMEGHGASEDESQYCGMVEVDQLHPHHTNDEVDHLPLCTTVLEGEAALLLDTPNKVDDMQLHDATDGIDASHFCDPCNEADNSNMLNSIGESDLQTAKTVEEVIPQSFELPDATNGNTDLEIHNETNKPEDSQGCNATNGINGPQTLVATDVNKAQIHGATDKVSESQPSDAVHSDQRMVKEEVEVVPQLSHENTEDLAQQGDNSVIA >KJB10263 pep chromosome:Graimondii2_0_v6:1:32655123:32658816:-1 gene:B456_001G193000 transcript:KJB10263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIGMDPSAANPGPIDQSVLYDQDKHVSSAVWDGQERGALRCHEHTSKLGEWRLTPKQIELVEKAGFGYLRKIPAISLDNPLISALVERWRRETNTFHFTVGEMTVTLQDVAFLLGLAIDGMPVIGITYTTCGTVCEKYLGKAPDSTYASGGMVKLSWLKEFFSQCPENATIEEIERHTRAYLLYLVGSTIFSTTTGNKVPVMYLPLFENFENAGRYAWGAAALAFLYRALGNASVRSQSTICGCLTLLQCWSYYHLNIGRPKLNRDPIHEHFPFVLRWKGKQSGPTTNRDVVFYRKALDSLEPCDVEWLPYKYMDGTVIPEEIRNSLVLGRSKTMLICFDKAERHLPNRVLRQYGMLQPIPEDVPQWVRKSRGVDGGVDLSGKMESELNEWADRALHIVDGDDDADENEYMVWYLRITRKVVGRPISLSSEFQRTIGGVREISYLAETFPLKGLLPEQFESISRIRSIAQECLRDQVGGTVVVSPIVGTELGKRTRGKERVRRKGTGKRRRSNDPMEGHGASEDESQYCGMVEVDQLHPHHTNDEVDHLPLCTTVLEGEAALLLDTPNKVDDMQLHDATDGIDASHFCDPCNEADNSNMLNSIGESDLQTAKTVEEVIPQSFELPDATNGNTDLEIHNETNKPEDSQGCNATNGINGPQTLVATDVNKAQIHGATDKVSESQPSDAVHSDQRMVKEEVEVVPQLSHENTEDLAQQGDNSVIA >KJB10267 pep chromosome:Graimondii2_0_v6:1:32655237:32658717:-1 gene:B456_001G193000 transcript:KJB10267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIGMDPSAANPGPIDQSVLYDQDKHVSSAVWDGQERGALRCHEHTSKLGEWRLTPKQIELVEKAGFGYLRKIPAISLDNPLISALVERWRRETNTFHFTVGEMTVTLQDVAFLLGLAIDGMPVIGITYTTCGTVCEKYLGKAPDSTYASGGMVKLSWLKEFFSQCPENATIEEIERHTRAYLLYLVGSTIFSTTTGNKVPVMYLPLFENFENAGRYAWGAAALAFLYRALGNASVRSQSTICGCLTLLQCWSYYHLNIGRPKLNRDPIHEHFPFVLRWKGKQSGPTTNRDVVFYRKALDSLEPCDVEWLPYKYMDGTVIPEEIRNSLVLGRSKTMLICFDKAERHLPNRVLRQYGMLQPIPEDVPQWVRKSRGVDGGVDLSGKMESELNEWADRALHIVDGDDDADENEYMVWYLRITRKVVGRPISLSSEFQRTIGGVREISYLAETFPLKGLLPEQFESISRIRSIAQECLRDQVGGTVVVSPIVGTELGKRTRGKERVRRKGTGKRRRSNDPMEGHGASEDESQYCGMVEVDQLHPHHTNDEVDHLPLCTTVLEGEAALLLDTPNKVDDMQLHDATDGIDASHFCDPCNEADNSNMLNSIGESDLQTAKTVEEVIPQSFELPDATNGNTDLEIHNETNKPEDSQGCNATNGINGPQTLVATDVNKAQIHGATDKVSESQPSDAVHSDQRMVKEEVEVVPQLSHENTEDLAQQGDNSVIA >KJB10265 pep chromosome:Graimondii2_0_v6:1:32655237:32659421:-1 gene:B456_001G193000 transcript:KJB10265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIGMDPSAANPGPIDQSVLYDQDKHVSSAVWDGQERGALRCHEHTSKLGEWRLTPKQIELVEKAGFGYLRKIPAISLDNPLISALVERWRRETNTFHFTVGEMTVTLQDVAFLLGLAIDGMPVIGITYTTCGTVCEKYLGKAPDSTYASGGMVKLSWLKEFFSQCPENATIEEIERHTRAYLLYLVGSTIFSTTTGNKVPVMYLPLFENFENAGRYAWGAAALAFLYRALGNASVRSQSTICGCLTLLQCWSYYHLNIGRPKLNRDPIHEHFPFVLRWKGKQSGPTTNRDVVFYRKALDSLEPCDVEWLPYKYMDGTVIPEEIRNSLVLGRSKTMLICFDKAERHLPNRVLRQYGMLQPIPEDVPQWVRKSRGVDGGVDLSGKMESELNEWADRALHIVDGDDDADENEYMVWYLRITRKVVGRPISLSSEFQRTIGGVREISYLAETFPLKGLLPEQFESISRIRSIAQECLRDQVGGTVVVSPIVGTELGKRTRGKERVRRKGTGKRRRSNDPMEGHGASEDESQYCGMVEVDQLHPHHTNDEVDHLPLCTTVLEGEAALLLDTPNKVDDMQLHDATDGIDASHFCDPCNEADNSNMLNSIGESDLQTAKTVEEVIPQSFELPDATNGNTDLEIHNETNKPEDSQGCNATNGINGPQTLVATDVNKAQIHGATDKVSESQPSDAVHSDQRMVKEEVEVVPQLSHENTEDLAQQGDNSVIA >KJB10264 pep chromosome:Graimondii2_0_v6:1:32655772:32658662:-1 gene:B456_001G193000 transcript:KJB10264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIGMDPSAANPGPIDQSVLYDQDKHVSSAVWDGQERGALRCHEHTSKLGEWRLTPKQIELVEKAGFGYLRKIPAISLDNPLISALVERWRRETNTFHFTVGEMTVTLQDVAFLLGLAIDGMPVIGITYTTCGTVCEKYLGKAPDSTYASGGMVKLSWLKEFFSQCPENATIEEIERHTRAYLLYLVGSTIFSTTTGNKVPVMYLPLFENFENAGRYAWGAAALAFLYRALGNASVRSQSTICGCLTLLQCWSYYHLNIGRPKLNRDPIHEHFPFVLRWKGKQSGPTTNRDVVFYRKALDSLEPCDVEWLPYKYMDGTVIPEEIRNSLVLGRSKTMLICFDKAERHLPNRVLRQYGMLQPIPEDVPQWVRKSRGVDGGVDLSGKMESELNEWADRALHIVDGDDDADENEYMVWYLRITRKVVGRPISLSSEFQRTIGGVREISYLAETFPLKGLLPEQFESISRIRSIAQECLRDQVGGTVVVSPIVGTELGKRTRGKERVRRKGTGKRRRSNDPMEGHGASEDESQYCGMVEVDQLHPHHTNDEVDHLPLCTTVLEGEAALLLDTPNKVDDMQLHDATDGIDASHFCDPCNEADNSNMLNSIGESDLQTAKTVEEVIPQSFELPDATNGNTDLEIHNETNKPEDSQGCNATNGINGPQTLVATDVNKAQIHGATDKVSESQPSDAVHSDQRMVKEEVEVVPQLSHENTEDLAQQGDNSVIA >KJB10514 pep chromosome:Graimondii2_0_v6:1:39914604:39915876:-1 gene:B456_001G205000 transcript:KJB10514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENACQALDNGDNSGNLNMTIFRQIKHVYIYGGVYGKERELRTSLLTRKQPNTGSKETSLDEVLCRHKERKPFAYGLCNEYYEEFMKVSGGLDGGSDPPAFQRAEKERLAKLSIEENAKVCSVIILYKGNI >KJB06142 pep chromosome:Graimondii2_0_v6:1:54644209:54648596:1 gene:B456_001G2697001 transcript:KJB06142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNAEIAGYSEARERELPLVQEVESKVKELREMIAGLNSNQMSLRTSFRNLKEKTGQMDEKISKAEFDLVQSVQENANLRSKIVQSPDKLQRALEEKKLARDEAKNAERSAIQSFQEKTATVEVYSKALKKMSKHFALMQAIHEQVNSAKSVEKECKGLKAKLSDDVVLDKSLEAKLIEWEGKVGQLDEHKRQLQKERDLKFEESTKHLNSLKSEVLSKRCELEARQKKVEDVVAEVDSITIKTSMVRESGAAKVQQLISKCEEIVKQFQQYSSSIGLLLPVDGNGTKTTFD >KJB06141 pep chromosome:Graimondii2_0_v6:1:54644209:54648596:1 gene:B456_001G2697001 transcript:KJB06141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNAEIAGYSEARERELPLVQEVESKVKELREMIAGLNSNQMSLRTSFRNLKEKTGQMDEKISKAEFDLVQSVQENANLRSKIVQSPDKLQRALEEKKLARDEAKNAERSAIQSFQEKTATVEVYSKALKKMSKHFALMQAIHEQVNSAKSVEKECKGLKAKLSDDVVLDKSLEAKLIEWEGKVGQLDEHKRQLQKERDLKFEESTKHLNSLKSEVLSKRCELEARQKKVEDVVAEVDSITIKTSMVRESGAAKVQQLIISTIFILYWAPLASGWEWNKDNI >KJB06143 pep chromosome:Graimondii2_0_v6:1:54644260:54648596:1 gene:B456_001G2697001 transcript:KJB06143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNAEIAGYSEARERELPLVQEVESKVKELREMIAGLNSNQMSLRTSFRNLKEKTGQMDEKISKAEFDLVQSVQENANLRSKIVQSPDKLQRALEEKKLARDEAKNAERSAIQSFQEKTATVEVYSKALKKMSKHFALMQAIHEQVNSAKSVEKECKGLKAKLSDDVVLDKSLEAKLIEWEGKVGQLDEHKRQLQKERDLKFEESTKHLNSLKSEVLSKRCELEARQKKVEDVVAEVDSITIKTSMVRESGAAKVQQLISKCEEIVKQFQQYSSSIGLLLPVDGNGTKTTFD >KJB06144 pep chromosome:Graimondii2_0_v6:1:54644260:54647423:1 gene:B456_001G2697001 transcript:KJB06144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNAEIAGYSEARERELPLVQEVESKVKELREMIAGLNSNQMSLRTSFRNLKEKTGQMDEKISKAEFDLVQSVQENANLRSKIVQSPDKLQRALEEKKLARDEAKNAERSAIQSFQEKTATVEVYSKALKKMSKHFALMQAIHEQVNSAKSVEKECKGLKAKLSDDVVLDKSLEAKLIEWEGKGSWTIG >KJB09337 pep chromosome:Graimondii2_0_v6:1:17638563:17644754:-1 gene:B456_001G135100 transcript:KJB09337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLSLTQFSPMLGHPHLRLSASFNSESSYGDNREILVQHLLLKEDDEKLLLELQQRIAGGEDLSDLAVEYSICPSKQEGGMLGWVRKGQMVPEFEEAAFCAPLNKVVKCKTNFGWHLLQVLSEREESLLKDIQPEEFHAKMQDPSFIEEAQLIDVREPEEVSQASLPGFQVLPLRQFGSWGPEITSKFDPTKDTYVLCHHGMRSLQVAKWLQTQGFRKIFNISGGIHAYATKAPYFWVCPPWYYRV >KJB09338 pep chromosome:Graimondii2_0_v6:1:17638873:17644725:-1 gene:B456_001G135100 transcript:KJB09338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHPHLRLSASFNSESSYGDNREILVQHLLLKEDDEKLLLELQQRIAGGEDLSDLAVEYSICPSKQEGGMLGWVRKGQMVPEFEEAAFCAPLNKVVKCKTNFGWHLLQVLSEREESLLKDIQPEEFHAKMQDPSFIEEAQLIDVREPEEVSQASLPGFQVLPLRQFGSWGPEITSKFDPTKDTYVLCHHGMRSLQVAKWLQTQGFRKIFNISGGIHAYATKVDPSVPTY >KJB09336 pep chromosome:Graimondii2_0_v6:1:17638873:17644754:-1 gene:B456_001G135100 transcript:KJB09336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLYLSLNSLPCWVIPISDYQVASFNSESSYGDNREILVQHLLLKEDDEKLLLELQQRIAGGEDLSDLAVEYSICPSKQEGGMLGWVRKGQMVPEFEEAAFCAPLNKVVKCKTNFGWHLLQVLSEREESLLKDIQPEEFHAKMQDPSFIEEAQLIDVREPEEVSQASLPGFQVLPLRQFGSWGPEITSKFDPTKDTYVLCHHGMRSLQVAKWLQTQGFRKIFNISGGIHAYATKVDPSVPTY >KJB06398 pep chromosome:Graimondii2_0_v6:1:14643007:14644452:-1 gene:B456_001G120200 transcript:KJB06398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVFPNASFTIGDAISEKQPPQHKLLPAGEASEETILTVWKKSLLFNCNGFTVFDSKGDLVFRVDNYMDGNKGEILLMDASGNPLLTIRRKKMSLGDSWLVYEGESMEKPRLCVKKSVNILSKCLAYVSSGNNNSRNNIMYEIEGSYSQRNCAVFDDKRRLVAEIKRKEAVGGVAFGTDVFRLIVRPGYITTDSAMALLILLDQMFGSSRR >KJB07829 pep chromosome:Graimondii2_0_v6:1:4444792:4446139:1 gene:B456_001G046800 transcript:KJB07829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLISCTFSSLKTSATPSMILSCSLPCTSEASKPASLIALTISSLLITMGLYSTSPNEVAKATTALLTPGRSLKMPSTELTQAAQVIPPIVNCPTSTPRGFVPTLLGSGRISASNPTSSMAFFMSSSLTKVG >KJB07002 pep chromosome:Graimondii2_0_v6:1:6966092:6974511:-1 gene:B456_001G069400 transcript:KJB07002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLSSFFPLAATTTTTSLSFSSSFSNMAWPRRANALRLLGCSNAAVSRHGQVANEYDPELRVVLELATDEELYELQRILFGPSYFSPLLKSILNKDDVEDMMIEENVEERDAFIAGLESRFLFLAADARSTLRGWRPSYRNVLLSVRKKLNIPCSSKLSTEDLEAEIFLHLLRNYSSEESGTFPGLWEHNNISNIQNSLELGLSQWKVQVLAAGKVGATEFQSMVLKGGGIVTLAKLYQLLTKKLSGKVFLEAANYLMKKEALKKGGQVVATSVESRAALLAAKQVLHPDMWA >KJB07000 pep chromosome:Graimondii2_0_v6:1:6965815:6974516:-1 gene:B456_001G069400 transcript:KJB07000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLSSFFPLAATTTTTSLSFSSSFSNMAWPRRANALRLLGCSNAAVSRHGQVANEYDPELRVVLELATDEELYELQRILFGPSYFSPLLKSILNKDDVEDMMIEENVEERDAFIAGLESRFLFLAADARSTLRGWRPSYRNVLLSVRKKLNIPCSSKLSTEDLEAEIFLHLLRNYSSEESGTFPGLWEHNNISNIQNSLELGLSQWKVQVLAAGKVGATEFQSMVLKGGGIVTLAKLYQLLTKKLSGKVFLEAANYLMKKEALKKGGQVVATSVESRAALLAAKQGFAGATSRYVGLRSMMNLLGPLFWGTFLADVVIQMLGTDYARILRAIYGFAQIRITRTYR >KJB07004 pep chromosome:Graimondii2_0_v6:1:6970820:6974359:-1 gene:B456_001G069400 transcript:KJB07004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLSSFFPLAATTTTTSLSFSSSFSNMAWPRRANALRLLGCSNAAVSRHGQVANEYDPELRVVLELATDEELYELQRILFGPSYFSPLLKSILNKDDVEDMMIEENVEERDAFIAGLESRFLFLAADARSTLRGWRPSYRNVLLSVRKKLNIPCSSKLSTEDLEAEIFLHLLRNYSSEESGTFPGLWEHNNISNIQNSLELGLSQWKVQVLAAGKVGATEFQSMVLKGGGIVTLAKLYQLLTKKLSGKVFLEAANYLMKKEALKKGGQVVATSVESRAALLAAKQGFAGATSRYVGLRSMMNLLGPLY >KJB07001 pep chromosome:Graimondii2_0_v6:1:6966527:6974279:-1 gene:B456_001G069400 transcript:KJB07001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLSSFFPLAATTTTTSLSFSSSFSNMAWPRRANALRLLGCSNAAVSRHGQVANEYDPELRVVLELATDEELYELQRILFGPSYFSPLLKSILNKDDVEDMMIEENVEERDAFIAGLESRFLFLAADARSTLRGWRPSYRNVLLSVRKKLNIPCSSKLSTEDLEAEIFLHLLRNYSSEESGTFPGLWEHNNISNIQNSLELGLSQWKVQVLAAGKVGATEFQSMVLKGGGIVTLAKLYQLLTKKLSGKVFLEAANYLMKKEALKKGGQVVATSVESRAALLAAKQGFAGATSRYVGLRSMMNLLGPLFWGTFLADVVIQMLGTDYARILRAIYGFAQIRITRTYR >KJB07003 pep chromosome:Graimondii2_0_v6:1:6966143:6974359:-1 gene:B456_001G069400 transcript:KJB07003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLSSFFPLAATTTTTSLSFSSSFSNMAWPRRANALRLLGCSNAAVSRHVANEYDPELRVVLELATDEELYELQRILFGPSYFSPLLKSILNKDDVEDMMIEENVEERDAFIAGLESRFLFLAADARSTLRGWRPSYRNVLLSVRKKLNIPCSSKLSTEDLEAEIFLHLLRNYSSEESGTFPGLWEHNNISNIQNSLELGLSQWKVQVLAAGKVGATEFQSMVLKGGGIVTLAKLYQLLTKKLSGKVFLEAANYLMKKEALKKGGQVVATSVESRAALLAAKQGFAGATSRYVGLRSMMNLLGPLFWGTFLADVVIQMLGTDYARILRAIYGFAQIRITRTYR >KJB07066 pep chromosome:Graimondii2_0_v6:1:2029835:2031169:1 gene:B456_001G021300 transcript:KJB07066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQERDWLSLVAVHSDAWLLSVAFYFGARFGFYKTDRERLFNMINDLPTIFEVVTGSAKKHTKEKLLVSNHSSKKSKSNSKQGSEAQTKYSKAVPSQDEVDDGIEEEDDDEHGEALCGACGENYADDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSGNKSARPS >KJB07065 pep chromosome:Graimondii2_0_v6:1:2028728:2031313:1 gene:B456_001G021300 transcript:KJB07065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYNPRTVEEVFRDFKGRRAGMIKALTTDVGEFFQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQERDWLSLVAVHSDAWLLSVAFYFGARFGFYKTDRERLFNMINDLPTIFEVVTGSAKKHTKEKLLVSNHSSKKSKSNSKQGSEAQTKYSKAVPSQDEVDDGIEEEDDDEHGEALCGACGENYADDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSGNKSARPS >KJB07069 pep chromosome:Graimondii2_0_v6:1:2028728:2031313:1 gene:B456_001G021300 transcript:KJB07069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYNPRTVEEVFRDFKGRRAGMIKALTTDVGEFFQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQERDWLSLVAVHSDAWLLSVAFYFGARFGFYKTDRERLFNMINDLPTIFEVVTGSAKKHTKEKLLVSNHSSKKSKSNSKDEVDDGIEEEDDDEHGEALCGACGENYADDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSGNKSARPS >KJB07067 pep chromosome:Graimondii2_0_v6:1:2028871:2032112:1 gene:B456_001G021300 transcript:KJB07067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYNPRTVEEVFRDFKGRRAGMIKALTTDVGEFFQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQERDWLSLVAVHSDAWLLSVAFYFGARFGFYKTDRERLFNMINDLPTIFEVVTGSAKKHTKEKLLVSNHSSKKSKSNSKDEVDDGIEEEDDDEHGEALCGACGENYADDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSGNKSARPS >KJB07068 pep chromosome:Graimondii2_0_v6:1:2028871:2031929:1 gene:B456_001G021300 transcript:KJB07068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYNPRTVEEVFRDFKGRRAGMIKALTTDVGEFFQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQERDWLSLVAVHSDAWLLSVAFYFGARFGFYKTDRERLFNMINDLPTIFEVVTGSAKKHTKEKLLVSNHSSKKSKSNSKCHHRMRLMMVSKRKMTMSMERHYVGLVGRIMQMMNSGFAVISVRNGSMENV >KJB06957 pep chromosome:Graimondii2_0_v6:1:464795:464975:1 gene:B456_001G0050002 transcript:KJB06957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRNKNIQAKL >KJB06956 pep chromosome:Graimondii2_0_v6:1:464650:464975:1 gene:B456_001G0050002 transcript:KJB06956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRNKNIQAKL >KJB06810 pep chromosome:Graimondii2_0_v6:1:33943928:33945618:1 gene:B456_001G195900 transcript:KJB06810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDSYNTKLSLNQQPAGSQQMCRTIEEDALAVDHHVDMSSSTSDDLNLIPPLNFAMVDNGIFRSGFPDSANFSFLQTLKLTSIIYLCPEPYPEANTEFLKSNGIKLFQFGIESYKQSGSRNCALVMLLAFVICLSMQQ >KJB06811 pep chromosome:Graimondii2_0_v6:1:33943946:33950753:1 gene:B456_001G195900 transcript:KJB06811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDSYNTKLSLNQQPAGSQQMCRTIEEDALAVDHHVDMSSSTSDDLNLIPPLNFAMVDNGIFRSGFPDSANFSFLQTLKLTSIIYLCPEPYPEANTEFLKSNGIKLFQFGIESYKEPFVNIPEDTIREALRLVSMLGITQF >KJB06814 pep chromosome:Graimondii2_0_v6:1:33944088:33949982:1 gene:B456_001G195900 transcript:KJB06814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDSYNTKLSLNQQPAGSQQMCRTIEEDALAVDHHVDMSSSTSDDLNLIPPLNFAMVDNGIFRSGFPDSANFSFLQTLKLTSIIYLCPEPYPEANTEFLKSNGIKLFQFGIESYKMLGITQF >KJB06813 pep chromosome:Graimondii2_0_v6:1:33943991:33950704:1 gene:B456_001G195900 transcript:KJB06813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDSYNTKLSLNQQPAGSQQMCRTIEEDALAVDHHVDMSSSTSDDLNLIPPLNFAMVDNGIFRSGFPDSANFSFLQTLKLTSIIYLCPEPYPEANTEFLKSNGIKLFQFGIESYKEPFVNIPEDTIREALRLVSMLGITQF >KJB06815 pep chromosome:Graimondii2_0_v6:1:33943946:33950753:1 gene:B456_001G195900 transcript:KJB06815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDSYNTKLSLNQQPAGSQQMCRTIEEDALAVDHHVDMSSSTSDDLNLIPPLNFAMVDNGIFRSGFPDSANFSFLQTLKLTSIIYLCPEPYPEANTEFLKSNGIKLFQFGIESYKEPFVNIPEDTIREALRLVSMLGITQF >KJB06816 pep chromosome:Graimondii2_0_v6:1:33943972:33950704:1 gene:B456_001G195900 transcript:KJB06816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDSYNTKLSLNQQPAGSQQMCRTIEEDALAVDHHVDMSSSTSDDLNLIPPLNFAMVDNGIFRSGFPDSANFSFLQTLKLTSIIYLCPEPYPEANTEFLKSNGIKLFQFGIESYKHRTGCLVGCLRKLQRWCLSSVFDEYQRFAATKARVSDQRFMELFDVSSLKHLPMSFSCLKK >KJB06812 pep chromosome:Graimondii2_0_v6:1:33943928:33950716:1 gene:B456_001G195900 transcript:KJB06812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDSYNTKLSLNQQPAGSQQMCRTIEEDALAVDHHVDMSSSTSDDLNLIPPLNFAMVDNGIFRSGFPDSANFSFLQTLKLTSIIYLCPEPYPEANTEFLKSNGIKLFQFGIESYKEPFVNIPEDTIREALRLVSMLGITQF >KJB11542 pep chromosome:Graimondii2_0_v6:1:54056651:54062089:1 gene:B456_001G264300 transcript:KJB11542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMKRKPVQQKSQIRWKRKVLAALLVLLCFASLVLMETQYPQVVSLSSLRHRFIVKPKIAFLFIARNRLPLDMVWDAFFKGEENRFSVYVHSRPGFLLNKGTTRSAYFLNRQVNDSIQVDWGEATMIEAERILLRHALTDPYNERFVFVSDSCIPIYNFSYTYDYIMSTSTSFVDSFADTKEGRYNPKMDPVIPVYNWRKGSQWVVLTRKHAGVVVNDTTVFPMFQQHCKARNHYRSFGEIVHFQLIQQRSTIVYQMNITFKHYLRKKALKGKLRADH >KJB11540 pep chromosome:Graimondii2_0_v6:1:54056643:54062089:1 gene:B456_001G264300 transcript:KJB11540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMKRKPVQQKSQIRWKRKVLAALLVLLCFASLVLMETQYPQVVSLSSLRHRFIVKPKIAFLFIARNRLPLDMVWDAFFKGEENRFSVYVHSRPGFLLNKGTTRSAYFLNRQVNDSIQVDWGEATMIEAERILLRHALTDPYNERFVFVSDSCIPIYNFSYTYDYIMSTSTSFVDSFADTKEGRYNPKMDPVIPVYNWRKGSQWVVLTRKHAGVVVNDTTVFPMFQQHCKRKSLPEFWRDRPFPADPAKEHNCIPDEHYVQTLLAQEGFEGEITRRSLTYSAWDLSASKDRERRGWHPVTYKFSDATPVLIKSIKDIDNINYETENRREWCSSKGKPAPCFLFARKFTRPAALHLLNLSVLGARRGAKSET >KJB11541 pep chromosome:Graimondii2_0_v6:1:54056651:54061540:1 gene:B456_001G264300 transcript:KJB11541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMKRKPVQQKSQIRWKRKVLAALLVLLCFASLVLMETQYPQVVSLSSLRHRFIVKPKIAFLFIARNRLPLDMVWDAFFKGEENRFSVYVHSRPGFLLNKGTTRSAYFLNRQVNDSIQVDWGEATMIEAERILLRHALTDPYNERFVFVSDSCIPIYNFSYTYDYIMSTSTSFVDSFADTKEGRYNPKMDPVIPVYNWRKGSQWVVLTRKHAGVVVNDTTVFPMFQQHCKRKSLPEFWRDRPFPADPAKEHNCIPDEHYVQTLLAQEGFEGEITRRSLTYSAWDLSASKDRERRGWHPVTYKFSDATPVLIKSIKVPLPFL >KJB11539 pep chromosome:Graimondii2_0_v6:1:54056458:54062209:1 gene:B456_001G264300 transcript:KJB11539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMKRKPVQQKSQIRWKRKVLAALLVLLCFASLVLMETQYPQVVSLSSLRHRFIVKPKIAFLFIARNRLPLDMVWDAFFKGEENRFSVYVHSRPGFLLNKGTTRSAYFLNRQVNDSIQVDWGEATMIEAERILLRHALTDPYNERFVFVSDSCIPIYNFSYTYDYIMSTSTSFVDSFADTKEGRYNPKMDPVIPVYNWRKGSQWVVLTRKHAGVVVNDTTVFPMFQQHCKRKSLPEFWRDRPFPADPAKEHNCIPDEHYVQTLLAQEGFEGEITRRSLTYSAWDLSASKDRERRGWHPVTYKFSDATPVLIKSIKDIDNINYETENRREWCSSKGKPAPCFLFARKFTRPAALHLLNLSVLGARRGAKSET >KJB11543 pep chromosome:Graimondii2_0_v6:1:54057241:54062204:1 gene:B456_001G264300 transcript:KJB11543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAERILLRHALTDPYNERFVFVSDSCIPIYNFSYTYDYIMSTSTSFVDSFADTKEGRYNPKMDPVIPVYNWRKGSQWVVLTRKHAGVVVNDTTVFPMFQQHCKRKSLPEFWRDRPFPADPAKEHNCIPDEHYVQTLLAQEGFEGEITRRSLTYSAWDLSASKDRERRGWHPVTYKFSDATPVLIKSIKDIDNINYETENRREWCSSKGKPAPCFLFARKFTRPAALHLLNLSVLGARRGAKSET >KJB09556 pep chromosome:Graimondii2_0_v6:1:20528938:20532395:1 gene:B456_001G149800 transcript:KJB09556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKRKTNENEGMEGEEDSKHNIKKMKNEKSEILPSSIKNKEKRSAVHAKLKHQKKLDKRKKLKARDAAAKRALELGEEPPPKQIPRTIENTREADETVCMPDDEELFAGNDADEFSAVLKQDRTPKILITTCRFNSTRGPAFITELLSVIPNSHYHKRGTYDLKKIVEYANNKEFTSIIVVHTNRREPDALLIIGLPDGPTAHFKLSSLVLRKDIKNHGNPTSHEPELVLNNFTTRLGHRIGRLIQSLFPQSPNFRGRRVVTFHNQRDFIFFRHHRYIFETKEIKQSESKGKKAKDTKGESISKEKVIARLQECGPRFTLKLVSLQHGTFDTKGGEFEWVHKPEMDTSRRRFFL >KJB09557 pep chromosome:Graimondii2_0_v6:1:20528977:20532335:1 gene:B456_001G149800 transcript:KJB09557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLFAGNDADEFSAVLKQDRTPKILITTCRFNSTRGPAFITELLSVIPNSHYHKRGTYDLKKIVEYANNKEFTSIIVVHTNRREPDALLIIGLPDGPTAHFKLSSLVLRKDIKNHGNPTSHEPELVLNNFTTRLGHRIGRLIQSLFPQSPNFRGRRVVTFHNQRDFIFFRHHRYIFETKEIKQSESKGKKAKDTKGESISKEKVIARLQECGPRFTLKLVSLQHGTFDTKGGEFEWVHKPEMDTSRRRFFL >KJB09116 pep chromosome:Graimondii2_0_v6:1:15501761:15502863:-1 gene:B456_001G124200 transcript:KJB09116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKDLHGSNKEAFISFPFVVSHLLHLFLYFFFSLFSEKPPFPLPQLIPNSSVLNPSTPIPPICNRRFLMRLLRWVMGLRPKCGI >KJB09022 pep chromosome:Graimondii2_0_v6:1:14515946:14516916:-1 gene:B456_001G119600 transcript:KJB09022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSFLFTALLLFFLILISSSHLSARFLENKQGKEGVELSQVTDREDFELMNQLMGVEDCDVGDDGCLRRRLISEAHLDYIYTQHRKP >KJB06219 pep chromosome:Graimondii2_0_v6:1:29585071:29586864:-1 gene:B456_001G185300 transcript:KJB06219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM5 MANNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITTEGRRITKLDQILLNGNNIAILVPGGSPDPQ >KJB11650 pep chromosome:Graimondii2_0_v6:1:54643016:54644051:1 gene:B456_001G2697002 transcript:KJB11650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFDYPILSRSDIISILAESQIAAVTDNDFKNIKPDFISNLYTRLLIYLDALNEEDQGQVEFSALEQIENPDLLIGSFQVMNLYCRLREVMASLNCPMQFNLRDLIKPDPRRTEHFLSGILNFCLYKETKMNLLRPI >KJB11649 pep chromosome:Graimondii2_0_v6:1:54639281:54644051:1 gene:B456_001G2697002 transcript:KJB11649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPREDQGQVEFSALEQIENPDLLIGSFQVMNLYCRLREVMASLNCPMQFNLRDLIKPDPRRTEHFLSGILNFCLYKETKMNLLRPI >KJB09437 pep chromosome:Graimondii2_0_v6:1:19216172:19220867:-1 gene:B456_001G142300 transcript:KJB09437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSARAEVRRNRYKVTVDAEEGRRRREDNMVEIRKNKREENLLKKRREGLLAQQQPQQQQLLSSTADSEKNLESLPAMVAGLWSDDRNAQLEATTQFQKLLSIDHGPPINEVVQSGVVPRFVEFLARDDFPQLQFEAAWALTNIAAGMSDNTKVVIDHGAVPVFVKLLASPADDVREQVVWALGNVSGDSPKCRDLVLGHGALMPLLAQFNEHAKLSMLRNATWTLSNFCRGKPRASFEQTKPALPTLERLIHLNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVQLLLHPSPTVLIPALRTVGNIVTGDDMQTQCIINHQALPCLLNLLTNNYKKSIKKEACWTISNITAGNANQIQAVIDAGIIAPLVHLLQHSEFEIKKEAAWAISNATSGGSHKQIKFLVSEGCITLCDLLTCPDPRIITVCLEGLENILKVGEAQKKLGHTGEFNVYADLLNDAGGLEKIENLQSHDNNEIYEKAVKILETYWGEDEDEPLPPGDASQSDFHFGGNQLPVPSGGFSFS >KJB07958 pep chromosome:Graimondii2_0_v6:1:5359509:5360517:-1 gene:B456_001G055400 transcript:KJB07958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKLPPLLCHRVEEIRRSRKRAVADSGEDLISQNDNSNESNGSQKLSTVQTNDSNNRERRSQSFEFGSVDGPLNCPSSPSFRFYITPLVKDKKNDDNCNCKNDLKKKESFSKSDGTDESQTSSEESPTKLKNESKGTKMWWLVPWGKYVKNLIHIKPCC >KJB07423 pep chromosome:Graimondii2_0_v6:1:2123700:2124026:-1 gene:B456_001G022600 transcript:KJB07423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYKSSRSYGNGMMMQLETYHEPPPPASNYDLWCYTAPYSQSHMADNYYPNNGNCSKPWNFGELEFQRKKRVATYKMYSAESKVKGSLKRSFRWLKHKYIQVVYGWW >KJB09034 pep chromosome:Graimondii2_0_v6:1:14676043:14678091:-1 gene:B456_001G120500 transcript:KJB09034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSERRYPTNGSVYVCNLPEGTDESMLAEYFRTIGLLKKDKRTGRPKVWLYRDKVTNEPKESMSSNAGVDVPTTTVDGGGFEDDAAKEMDGGGGRGRGRLDSSGKMWQQEGDWLCPNTSCSNVNFSFRGVCN >KJB09032 pep chromosome:Graimondii2_0_v6:1:14676043:14677983:-1 gene:B456_001G120500 transcript:KJB09032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSERRYPTNGSVYVCNLPEGTDESMLAEYFRTIGLLKKDKRTGRPKVWLYRDKVTNEPKGDATVTYVDPHAALATVEWFNDKDFHGSIIGVFMAESMSSNAGVDVPTTTVDGGGFEDDAAKEMDGGGGRGRGRLDSSGKMWQQEGDWLCPNTRSVM >KJB09030 pep chromosome:Graimondii2_0_v6:1:14675934:14678091:-1 gene:B456_001G120500 transcript:KJB09030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSERRYPTNGSVYVCNLPEGTDESMLAEYFRTIGLLKKDKRTGRPKVWLYRDKVTNEPKGDATVTYVDPHAALATVEWFNDKDFHGSIIGVFMAESMSSNAGVDVPTTTVDGGGFEDDAAKEMDGGGGRGRGRLDSSGKMWQQEGDWLCPNTRSVM >KJB09033 pep chromosome:Graimondii2_0_v6:1:14676043:14678141:-1 gene:B456_001G120500 transcript:KJB09033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSERRYPTNGSVYVCNLPEGTDESMLAEYFRTIGLLKKDKRTGRPKVWLYRDKVTNEPKGDATVTYVDPHAALATVEWFNDKDFHGSIIGVFMAESMSSNAGVDVPTTTVDGGGFEDDAAKEMDGGGGRGRGRLDSSGKMWQQEGDWLCPNTSCSNVNFSFRGVCN >KJB09035 pep chromosome:Graimondii2_0_v6:1:14676043:14678215:-1 gene:B456_001G120500 transcript:KJB09035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSERRYPTNGSVYVCNLPEGTDESMLAEYFRTIGLLKKDKRTGRPKVWLYRDKVTNEPKESMSSNAGVDVPTTTVDGGGFEDDAAKEMDGGGGRGRGRLDSSGKMWQQEGDWLCPNTSCSNVNFSFRGVCN >KJB09031 pep chromosome:Graimondii2_0_v6:1:14676773:14677341:-1 gene:B456_001G120500 transcript:KJB09031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSERRYPTNGSVYVCNLPEGTDESMLAEYFRTIGLLKKDKRTGRPKVWLYRDKVTNEPKGDATVTYVDPHAALATVEWFNDKDFHGSIIGVFMAESMSSNAGVDVPTTTVDGGGFEDDAAKEMDGGGGRGRGRLDSSGKMWQQEGDWLCPNTRSVM >KJB11187 pep chromosome:Graimondii2_0_v6:1:48645998:48647273:1 gene:B456_001G244300 transcript:KJB11187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASVTTPLRPSLSTGHRLESRIECSSRCGLVRIPTRIRPWELGLQSQPSVGRSRKQSSSIACTATALNATCSASGQTQTVTREAPTITQAPVHCKNKHILSFSSLSIFIRCIPFRDFPE >KJB11188 pep chromosome:Graimondii2_0_v6:1:48645998:48647273:1 gene:B456_001G244300 transcript:KJB11188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASVTTPLRPSLSTGHRLESRIECSSRCGLVRIPTRIRPWELGLQSQPSVGRSRKQSSSIACTATALQNATCSASGQTQTVTREAPTITQAPVHCKNKHILSFSSLSIFIRCIPFRDFPE >KJB08302 pep chromosome:Graimondii2_0_v6:1:7713224:7714572:1 gene:B456_001G075400 transcript:KJB08302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINKSYESDDETTTPRVNFFGRERPVHAILGGGKVADVLLWRNQKLAATLLFGVTAIWYLFEVVEYNFVTLFCHICITTMLIVFIRSITAEYFGWNPPKIPELISNEDAFREVVSTFHRRLNELLRKFLHIAGGNDPLYFFSVLTSLYIISVIGSIFNFLDLLFVGFLCMETLPYLYARYEKEVEYHAGQMTKKASKMYKRFDSRVLNKIPRGPVKEKKRT >KJB09687 pep chromosome:Graimondii2_0_v6:1:22175805:22176512:-1 gene:B456_001G156400 transcript:KJB09687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSKERNEAAAAFDIWDCGSPLYDSYELVSVSHQIERHLMKLPSLGGPNWQTTRIGHRPDVTISDSTSNDGRDKDRSSLKKRLTKFLGSRFWKRRKVWTKEGQGVAFARSR >KJB06951 pep chromosome:Graimondii2_0_v6:1:448452:449291:-1 gene:B456_001G004800 transcript:KJB06951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQGLRDSSVPYIPLPQNPNHQNVIVSLVYYHRPYQTNHYLRRGVIFAGVLLLLSATILFFYPSDPILKLARIRLNHVGVISSPKLTIDLSFSLTISVRNRDFFSLDYEKLVVSVGYRGKELGVVNSEGGRVRARGRSYVNATLDLNGFEVVHDVIYLIEDWAKGVIPFDTTTKVNGVLGLCFLKIPLKSR >KJB06950 pep chromosome:Graimondii2_0_v6:1:445234:449508:-1 gene:B456_001G004800 transcript:KJB06950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQGLRDSSVPYIPLPQNPNHQNVIVSLVYYHRPYQTNHYLRRGVIFAGVLLLLSATILFFYPSDPILKLARIRLNHVGVISSPKLTIDLSFSLTISVRNRDFFSLDYEKLVVSVGYRGKELGVVNSEGGRVRARGRSYVNATLDLNGFEVVHDVIYLIEDWAKGVIPFDTTTKVNGVLGLCFLKIPLKAKVACEVSVNTRNQTIVRQDCHAQ >KJB06952 pep chromosome:Graimondii2_0_v6:1:448042:449508:-1 gene:B456_001G004800 transcript:KJB06952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQGLRDSSVPYIPLPQNPNHQNVIVSLVYYHRPYQTNHYLRRGVIFAGVLLLLSATILFFYPSDPILKLARIRLNHVGVISSPKLTIDLSFSLTISVRNRDFFSLDYEKLVVSVGYRGKELGVVNSEGGRVRARGRSYVNATLDLNGFEVVHDVIYLIEDWAKGVIPFDTTTKVNGVLGLCFLKIPLKV >KJB10626 pep chromosome:Graimondii2_0_v6:1:42291536:42292896:1 gene:B456_001G212100 transcript:KJB10626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKQQVDWQLQTSVAAHNGTTACCYFLEHDHLCMSCMATFAWELNLTESKIPVPLHYLRLVSLIDYCNDDGEMILKQRLEICVGAAQGLQYLHSGAKHTIIHQDVKTTNILLDENWVAKVLDFGVGPTNISQTYVSMVVKGSFGYLDPEYYRHGQLTKESNVYSFGVVLCEILCARPPISRSTEKNKVSLATWAQECYRNESLYNIIYPFLKGKISFECLKKITKLAMSCLHDDGIKRPSLDAVVCGLQFALQLQESAEEEPLKPNASGGFEEDMDEFKTYEMEDESGEVFSSIGDHVMNSKSTTSFNLRTSDEQKVFVSRILINTRLR >KJB07773 pep chromosome:Graimondii2_0_v6:1:4283966:4291791:-1 gene:B456_001G044900 transcript:KJB07773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNPLVFMDVSIDGDPVERMVFELFPDIAPKTVENFRALCTGEKGIGPRTGKPLHYKGSFFHRVSKGSLAKGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFVITFKANHDLDRKYVVFGQLVQGNEVLKKMENVGNEEGIPTVTVKIINCGEVGEDNRKNKFRTGKDAFSAADNYESRRKAKNKKSSRDKRKKRRRHYSSDSESSSDSETESSESDSDSDSYLSSSSDISSSSDNGHKKRKRSSKRGKYRRGKRRDRRLEKKRKRRDKRSKRKSRRASDSLTDDDSESSSESSSDSDDRGKPQKHKEPSQKSVGNQSPLATEKALPHRKTEEADLECDAPRENGGRKSNGIEEDAKSDRSAERPPDVVDDRPSKSRSRSASPKRTMSKSMSISPWRTHSRSPSLSRSRSVSRSPVASRNPPRFLERSLSRSPARSIRISPSRTRKDRSISRSPVRGHSRRSISRSPVRSLLQSRRSPSRSPLKSTRKSISRSPVRLSKRSRSGSPARSRRSISRSPIRSRRSISGSPVRSSRRSISRSSARAPPRRSISRSPLREPSSYYRRSYSRSPTAVRRVRSPTDRGRNMSRSVSPDESPKRVRRGRGFSERYSYARRYRTPSPDRSPVRSYRYGGRIDRERYSSYRRYSPRRYRSPPRRRTPRYRGRRSRTRSLSVSQSPRYRNRRCGHGRSRSPSRSRTPIRSRSAVDSPRVGRRSFPSPSRSRSESRSLLNSQSPKQVSKVKSRSSSGSPDGRRGLVSYDDGSPDSGR >KJB07769 pep chromosome:Graimondii2_0_v6:1:4283966:4288142:-1 gene:B456_001G044900 transcript:KJB07769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIADRDTVGSQFVITFKANHDLDRKYVVFGQLVQGNEVLKKMENVGNEEGIPTVTVKIINCGEVGEDNRKNKFRTGKDAFSAADNYESRRKAKNKKSSRDKRKKRRRHYSSDSESSSDSETESSESDSDSDSYLSSSSDISSSSDNGHKKRKRSSKRGKYRRGKRRDRRLEKKRKRRDKRSKRKSRRASDSLTDDDSESSSESSSDSDDRGKPQKHKEPSQKSVGNQSPLATEKALPHRKTEEADLECDAPRENGGRKSNGIEEDAKSDRSAERPPDVVDDRPSKSRSRSASPKRTMSKSMSISPWRTHSRSPSLSRSRSVSRSPVASRNPPRFLERSLSRSPARSIRISPSRTRKDRSISRSPVRGHSRRSISRSPVRSLLQSRRSPSRSPLKSTRKSISRSPVRLSKRSRSGSPARSRRSISRSPIRSRRSISGSPVRSSRRSISRSSARAPPRRSISRSPLREPSSYYRRSYSRSPTAVRRVRSPTDRGRNMSRSVSPDESPKRVRRGRGFSERYSYARRYRTPSPDRSPVRSYRYGGRIDRERYSSYRRYSPRRYRSPPRRRTPRYRGRRSRTRSLSVSQSPRYRNRRCGHGRSRSPSRSRTPIRSRSAVDSPRVGRRSFPSPSRSRSESRSLLNSQSPKQVSKVKSRSSSGSPDGRRGLVSYDDGSPDSGR >KJB07771 pep chromosome:Graimondii2_0_v6:1:4283966:4291444:-1 gene:B456_001G044900 transcript:KJB07771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNPLVFMDVSIDGDPVERMVFELFPDIAPKTVENFRALCTGEKGIGPRTGKPLHYKGSFFHRVSKGSLAKGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFVITFKANHDLDRKYVVFGQLVQGNEVLKKMENVGNEEGIPTVTVKIINCGEVGEDNRKNKFRTGKDAFSAADNYESRRKAKNKKSSRDKRKKRRRHYSSDSESSSDSETESSESDSDSDSYLSSSSDISSSSDNGHKKRKRSSKRGKYRRGKRRDRRLEKKRKRRDKRSKRKSRRASDSLTDDDSESSSESSSDSDDRGKPQKHKEPSQKSVGNQSPLATEKALPHRKTEEADLECDAPRENGGRKSNGIEEDAKSDRSAERPPDVVDDRPSKSRSRSASPKRTMSKSMSISPWRTHSRSPSLSRSRSVSRSPVASRNPPRFLERSLSRSPARSIRISPSRTRKDRSISRSPVRGHSRRSISRSPVRSLLQSRRSPSRSPLKSTRKSISRSPVRLSKRSRSGSPARSRRSISRSPIRSRRSISGSPVRSSRRSISRSSARAPPRRSISRSPLREPSSYYRRSYSRSPTAVRRVRSPTDRGRNMSRSVSPDESPKRVRRGRGFSERYSYARRYRTPSPDRSPVRSYRYGGRIDRERYSSYRRYSPRRYRSPPRRRTPRYRGRRSRTRSLSVSQSPRYRNRRCGHGRSRSPSRSRTPIRSRSAVDSPRVGRRSFPSPSRSRSESRSLLNSQSPKQVSKVKSRSSSGSPDGRRGLVSYDDGSPDSGR >KJB07772 pep chromosome:Graimondii2_0_v6:1:4283966:4291235:-1 gene:B456_001G044900 transcript:KJB07772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNPLVFMDVSIDGDPVERMVFELFPDIAPKTVENFRALCTGEKGIGPRTGKPLHYKGSFFHRVSKGSLAKGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFVITFKANHDLDRKYVVFGQLVQGNEVLKKMENVGNEEGIPTVTVKIINCGEVGEDNRKNKFRTGKDAFSAADNYESRRKAKNKKSSRDKRKKRRRHYSSDSESSSDSETESSESDSDSDSYLSSSSDISSSSDNGHKKRKRSSKRGKYRRGKRRDRRLEKKRKRRDKRSKRKSRRASDSLTDDDSESSSESSSDSDDRGKPQKHKEPSQKSVGNQSPLATEKALPHRKTEEADLECDAPRENGGRKSNGIEEDAKSDRSAERPPDVVDDRPSKSRSRSASPKRTMSKSMSISPWRTHSRSPSLSRSRSVSRSPVASRNPPRFLERSLSRSPARSIRISPSRTRKDRSISRSPVRGHSRRSISRSPVRSLLQSRRSPSRSPLKSTRKSISRSPVRLSKRSRSGSPARSRRSISRSPIRSRRSISGSPVRSSRRSISRSSARAPPRRSISRSPLREPSSYYRRSYSRSPTAVRRVRSPTDRGRNMSRSVSPDESPKRVRRGRGFSERYSYARRYRTPSPDRSPVRSYRYGGRIDRERYSSYRRYSPRRYRSPPRRRTPRYRGRRSRTRSLSVSQSPRYRNRRCGHGRSRSPSRSRTPIRSRSAVDSPRVGRRSFPSPSRSRSESRSLLNSQSPKQVSKVKSRSSSGSPDGRRGLVSYDDGSPDSGR >KJB07770 pep chromosome:Graimondii2_0_v6:1:4283966:4291834:-1 gene:B456_001G044900 transcript:KJB07770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNPLVFMDVSIDGDPVERMVFELFPDIAPKTVENFRALCTGEKGIGPRTGKPLHYKGSFFHRVSKGSLAKGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFVITFKANHDLDRKYVVFGQLVQGNEVLKKMENVGNEEGIPTVTVKIINCGEVGEDNRKNKFRTGKDAFSAADNYESRRKAKNKKSSRDKRKKRRRHYSSDSESSSDSETESSESDSDSDSYLSSSSDISSSSDNGHKKRKRSSKRGKYRRGKRRDRRLEKKRKRRDKRSKRKSRRASDSLTDDDSESSSESSSDSDDRGKPQKHKEPSQKSVGNQSPLATEKALPHRKTEEADLECDAPRENGGRKSNGIEEDAKSDRSAERPPDVVDDRPSKSRSRSASPKRTMSKSMSISPWRTHSRSPSLSRSRSVSRSPVASRNPPRFLERSLSRSPARSIRISPSRTRKDRSISRSPVRGHSRRSISRSPVRSLLQSRRSPSRSPLKSTRKSISRSPVRLSKRSRSGSPARSRRSISRSPIRSRRSISGSPVRSSRRSISRSSARAPPRRSISRSPLREPSSYYRRSYSRSPTAVRRVRSPTDRGRNMSRSVSPDESPKRVRRGRGFSERYSYARRYRTPSPDRSPVRSYRYGGRIDRERYSSYRRYSPRRYRSPPRRRTPRYRGRRSRTRSLSVSQSPRYRNRRCGHGRSRSPSRSRTPIRSRSAVDSPRVGRRSFPSPSRSRSESRSLLNSQSPKQVSKVKSRSSSGSPDGRRGLVSYDDGSPDSGR >KJB07945 pep chromosome:Graimondii2_0_v6:1:5249629:5254521:1 gene:B456_001G054700 transcript:KJB07945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVLAQSTIVPSLVTSRSHGRSTGKSKRSVKMMSSLPTPGLRINSFSGLRGSTSLDNMVRVDQDFHSKVAISISSRRGRGSRCVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGEGNEVSVVTGGSTGNTKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIATGDVPDTIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDEALISAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELEKELRQITKSKNEAVRSQDFEKAGELRDREIELRAQITAIQEKDKEMNKAEAEAGDGGPLVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKSKEIELQVTERFRERVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDSDGNVTVLNGSSGTSESLTNPIPVV >KJB07946 pep chromosome:Graimondii2_0_v6:1:5249753:5254521:1 gene:B456_001G054700 transcript:KJB07946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVLAQSTIVPSLVTSRSHGRSTGKSKRSVKMMSSLPTPGLRINSFSGLRGSTSLDNMVRVDQDFHSKVAISISSRRGRGSRCVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGEGNEVSVVTGGSTGNTKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIATGDVPDTIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDEALISAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELEKELRQITKSKNEAVRSQDFEKAGELRDREIELRAQITAIQEKDKEMNKAEAEAGDGGPLVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKSKEIELQVTERFRERVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDSDGNVTVLNGSSGTSESLTNPIPVV >KJB10586 pep chromosome:Graimondii2_0_v6:1:41277942:41278484:1 gene:B456_001G208800 transcript:KJB10586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKWKGCSLIEILITAVVLLETTTQSGSSGSFVKDLSSATVIADNMELEFLMDSEVSRKLATEPNYLTIAALDANKKAAMCNRIPYSPCVGKPGNPKMPNNCGPTVIYNRNCKGP >KJB11271 pep chromosome:Graimondii2_0_v6:1:52158695:52164409:-1 gene:B456_001G250800 transcript:KJB11271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSLPPIPGISLTNPTLSKTRRLSSSHYSISKKPRAMAKEVYFNHDGSATKKLQTGVDKVAELIGVTLGPKGRNVVLQNKYGPPKIVNDGETVLKQIELEDPLENVGVKLVRQAGAKTNDLAGDGCTTSIVLAHGLITEGVKVVSAGMNPIQIARGIEKTANALVSELKLISREVEDHELAHVAAVSAGNDYAVGKMISDAIQQVGRKGVVKIEKGKGTENSLEMVEGMQFERGYLSPYFVTDRDKMIVEFHNCKFLLVDKKITNPKEMFKILDSAVKEKYPVVIVAEDIEKEALAPVIRNKLKGVLKAAAIKAPAFGERKRHCLEDIAILTGGTVIRDDMGITLDRVGKEVLGTATKVVITKDSTYIVSDGSTRESVQKRVSQIQNLVENTEENFQKKILNERIARLSGGIAILQVGAQTQVELKDKQLRIEDALNATKAAIEEGVVVGGGCILLRLSEKVDSIKNLLDNQEQKIGAEIFKRALSYPTKLIAKNAGVNGSVVVEKVLSNNDTRYGYNAARNSYEDLIKAGIMDPTKVVRCCLEHAASVAKVFLTSDAVVVDIVDNMDIKFKPKLTRKKIQSLTKSFFPKKLFPRFSK >KJB11276 pep chromosome:Graimondii2_0_v6:1:52158735:52164281:-1 gene:B456_001G250800 transcript:KJB11276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSLPPIPGISLTNPTLSKTRRLSSSHYSISKKPRAMAKEVYFNHDGSATKKLQTGVDKVAELIGVTLGPKGRNVVLQNKYGPPKIVNDGETVLKQIELEDPLENVGVKLVRQAGAKTNDLAGDGCTTSIVLAHGLITEGVKVVSAGMNPIQIARGIEKTANALVSELKLISREVEDHELAHVAAVSAGNDYAVGKMISDAIQQVGRKGVVKIEKGKGTENSLEMVEGMQFERGYLSPYFVTDRDKMIVEFHNCKFLLVDKKITNPKEMFKILDSAVKEKYPVVIVAEDIEKEALAPVIRNKLKGVLKAAAIKAPAFGERKRHCLEDIAILTGGTVIRDDMGITLDRVGKEVLGTATKVVITKDSTYIVSDGSTRESVQKRVSQIQNLVENTEENFQKKILNERIARLSGGIAILQVGAQTQVELKDKQLRIEDALNATKAAIEEGVVVGGGCILLRLSEKVDSIKNLLDNQEQKIGAEIFKRALSYPTKLIAKNAGVNGSVVVEKVLSNNDTRYGYNAARNSYEDLIKAGIMDPTKVVRCCLEHAASVAKVFLTSDAVVVDIVDNMDIKFKPKLTRKKIQSLTKSFFPKKLFPRFSK >KJB11273 pep chromosome:Graimondii2_0_v6:1:52158735:52163434:-1 gene:B456_001G250800 transcript:KJB11273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIQIARGIEKTANALVSELKLISREVEDHELAHVAAVSAGNDYAVGKMISDAIQQVGRKGVVKIEKGKGTENSLEMVEGMQFERGYLSPYFVTDRDKMIVEFHNCKFLLVDKKITNPKEMFKILDSAVKEKYPVVIVAEDIEKEALAPVIRNKLKGVLKAAAIKAPAFGERKRHCLEDIAILTGGTVIRDDMGITLDRVGKEVLGTATKVVITKDSTYIVSDGSTRESVQKRVSQIQNLVENTEENFQKKILNERIARLSGGIAILQVGAQTQVELKDKQLRIEDALNATKAAIEEGVVVGGGCILLRLSEKVDSIKNLLDNQEQKIGAEIFKRALSYPTKLIAKNAGVNGSVVVEKVLSNNDTRYGYNAARNSYEDLIKAGIMDPTKVVRCCLEHAASVAKVFLTSDAVVVDIVDNMDIKFKPKLTRKKIQSLTKSFFPKKLFPRFSK >KJB11272 pep chromosome:Graimondii2_0_v6:1:52158735:52162561:-1 gene:B456_001G250800 transcript:KJB11272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTLQVEDHELAHVAAVSAGNDYAVGKMISDAIQQVGRKGVVKIEKGKGTENSLEMVEGMQFERGYLSPYFVTDRDKMIVEFHNCKFLLVDKKITNPKEMFKILDSAVKEKYPVVIVAEDIEKEALAPVIRNKLKGVLKAAAIKAPAFGERKRHCLEDIAILTGGTVIRDDMGITLDRVGKEVLGTATKVVITKDSTYIVSDGSTRESVQKRVSQIQNLVENTEENFQKKILNERIARLSGGIAILQVGAQTQVELKDKQLRIEDALNATKAAIEEGVVVGGGCILLRLSEKVDSIKNLLDNQEQKIGAEIFKRALSYPTKLIAKNAGVNGSVVVEKVLSNNDTRYGYNAARNSYEDLIKAGIMDPTKVVRCCLEHAASVAKVFLTSDAVVVDIVDNMDIKFKPKLTRKKIQSLTKSFFPKKLFPRFSK >KJB11277 pep chromosome:Graimondii2_0_v6:1:52160747:52164281:-1 gene:B456_001G250800 transcript:KJB11277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSLPPIPGISLTNPTLSKTRRLSSSHYSISKKPRAMAKEVYFNHDGSATKKLQTGVDKVAELIGVTLGPKGRNVVLQNKYGPPKIVNDGETVLKQIELEDPLENVGVKLVRQAGAKTNDLAGDGCTTSIVLAHGLITEGVKVVSAGMNPIQIARGIEKTANALVSELKLISREVEDHELAHVAAVSAGNDYAVGKMISDAIQQVGRKGVVKIEKGKGTENSLEMVEGMQFERGYLSPYFVTDRDKMIVEFHNCKFLLVDKKITNPKEMFKILDSAVKEKYPVVIVAEDIEKEALAPVIRNKLKGVLKAAAIKAPAFGERKRHCLEDIAILTGGTVIRDDMGITLDRVGKEVLGTATKVVITKDSTYIVSDGSTRESVQKRVSQIQNLVEVHMITPCPLLFPIISDTTHHMHEEGRI >KJB11274 pep chromosome:Graimondii2_0_v6:1:52159195:52162820:-1 gene:B456_001G250800 transcript:KJB11274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGGVFIEGSFLQLKSHHTLQMPMILFFQVVSAGMNPIQIARGIEKTANALVSELKLISREVEDHELAHVAAVSAGNDYAVGKMISDAIQQVGRKGVVKIEKGKGTENSLEMVEGMQFERGYLSPYFVTDRDKMIVEFHNCKFLLVDKKITNPKEMFKILDSAVKEKYPVVIVAEDIEKEALAPVIRNKLKGVLKAAAIKAPAFGERKRHCLEDIAILTGGTVIRDDMGITLDRVGKEVLGTATKVVITKDSTYIVSDGSTRESVQKRVSQIQNLVENTEENFQKKILNERIARLSGGIAILQVGAQTQVELKDKQLRIEDALNATKAAIEEGVVVGGGCILLRLSEKVDSIKNLLDNQEQKIGAEIFKRALSYPTKLIAKNAGVNGSVVVEKVLSNNDTRYGYNAARNSYEDLIKAGIMDPTKVVRCCLEHAASVAKVFLTSDAVVVDIVDNMDIKFKPKLTRKKIQSLTKSFFPKKLFPRFSK >KJB11275 pep chromosome:Graimondii2_0_v6:1:52158735:52164281:-1 gene:B456_001G250800 transcript:KJB11275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPFSCCSFVVGMVQTGVDKVAELIGVTLGPKGRNVVLQNKYGPPKIVNDGETVLKQIELEDPLENVGVKLVRQAGAKTNDLAGDGCTTSIVLAHGLITEGVKVVSAGMNPIQIARGIEKTANALVSELKLISREVEDHELAHVAAVSAGNDYAVGKMISDAIQQVGRKGVVKIEKGKGTENSLEMVEGMQFERGYLSPYFVTDRDKMIVEFHNCKFLLVDKKITNPKEMFKILDSAVKEKYPVVIVAEDIEKEALAPVIRNKLKGVLKAAAIKAPAFGERKRHCLEDIAILTGGTVIRDDMGITLDRVGKEVLGTATKVVITKDSTYIVSDGSTRESVQKRVSQIQNLVENTEENFQKKILNERIARLSGGIAILQVGAQTQVELKDKQLRIEDALNATKAAIEEGVVVGGGCILLRLSEKVDSIKNLLDNQEQKIGAEIFKRALSYPTKLIAKNAGVNGSVVVEKVLSNNDTRYGYNAARNSYEDLIKAGIMDPTKVVRCCLEHAASVAKVFLTSDAVVVDIVDNMDIKFKPKLTRKKIQSLTKSFFPKKLFPRFSK >KJB08154 pep chromosome:Graimondii2_0_v6:1:6856467:6862236:-1 gene:B456_001G068300 transcript:KJB08154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSASNVMMEIESNKPAGNGMVIGGLSPLSESLWREKTNTEFVGDVSARLTWKDLTVMVTLNNGETQKVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPWSEKRALVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSGGKTVYFGQASEAYEFFARAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTAEAIRKLTDFYRTSQQCYAAKERVDEISKVKGTVLDSGGSQASFLMQSYTLTKRSFINMSRDFGYYWLRLLIYVVVTVCIGTIYLNIGTSYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVIGNTISAMPFLIVITFISGTICYFMVRLHPGLEHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLKGLLFKNQSPELPQIPGEYILENVFQINISEDVTPWIRGLIARRRMQQKNGIQNTTVAPDGLTQSPSLRTYVATTRDKR >KJB08156 pep chromosome:Graimondii2_0_v6:1:6856538:6861499:-1 gene:B456_001G068300 transcript:KJB08156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWSEKRALVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSGGKTVYFGQASEAYEFFARAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTAEAIRKLTDFYRTSQQCYAAKERVDEISKVKGTVLDSGGSQASFLMQSYTLTKRSFINMSRDFGYYWLRLLIYVVVTVCIGTIYLNIGTSYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVIGNTISAMPFLIVITFISGTICYFMVRLHPGLEHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLKGLLFKNQSPELPQIPGEYILENVFQINVRRSKWIDLSVIFSMIIIYRIIFFLMIKISEDVTPWIRGLIARRRMQQKNGIQNTTVAPDGLTQSPSLRTYVATTRDKR >KJB08158 pep chromosome:Graimondii2_0_v6:1:6858638:6862228:-1 gene:B456_001G068300 transcript:KJB08158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSASNVMMEIESNKPAGNGMVIGGLSPLSESLWREKTNTEFVGDVSARLTWKDLTVMVTLNNGETQKVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPWSEKRALVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSGGKTVYFGQASEAYEFFARAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTAEAIRKLTDFYRTSQQCYAAKERVDEISKVKGTVLDSGGSQASFLMQSYTLTKRSFINMSRDFGYYWLRLLIYVVVTVCIGTIYLNIGTSYNSILVLSYKC >KJB08152 pep chromosome:Graimondii2_0_v6:1:6856295:6862231:-1 gene:B456_001G068300 transcript:KJB08152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSASNVMMEIESNKPAGNGMVIGGLSPLSESLWREKTNTEFVGDVSARLTWKDLTVMVTLNNGETQKVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPWSEKRALVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSGGKTVYFGQASEAYEFFARAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTAEAIRKLTDFYRTSQQCYAAKERVDEISKVKGTVLDSGGSQASFLMQSYTLTKRSFINMSRDFGYYWLRLLIYVVVTVCIGTIYLNIGTSYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVIGNTISAMPFLIVITFISGTICYFMVRLHPGLEHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLKGLLFKNQSPELPQIPGEYILENVFQINVRRSKWIDLSVIFSMIIIYRIIFFLMIKISEDVTPWIRGLIARRRMQQKNGIQNTTVAPDGLTQSPSLRTYVATTRDKR >KJB08155 pep chromosome:Graimondii2_0_v6:1:6856467:6862228:-1 gene:B456_001G068300 transcript:KJB08155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSASNVMMEIESNKPAGNGMVIGGLSPLSESLWREKTNTEFVGDVSARLTWKDLTVMVTLNNGETQKVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPWSEKRALVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSGGKTVYFGQASEAYEFFARAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTAEAIRKLTDFYRTSQQCYAAKERVDEISKVKGTVLDSGGSQASFLMQSYTLTKRSFINMSRDFGYYWLRLLIYVVVTVCIGTIYLNIGTSYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVIGNTISAMPFLIVITFISGTICYFMVRLHPGLEHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLKGLLFKNQSPELPQIPGEYILENVFQIN >KJB08157 pep chromosome:Graimondii2_0_v6:1:6858323:6862228:-1 gene:B456_001G068300 transcript:KJB08157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSASNVMMEIESNKPAGNGMVIGGLSPLSESLWREKTNTEFVGDVSARLTWKDLTVMVTLNNGETQKVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPWSEKRALVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSGGKTVYFGQASEAYEFFARAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTAEAIRKLTDFYRTSQQCYAAKERVDEISKVKGTVLDSGGSQASFLMQSYTLTKRSFINMSRDFGYYWLRLLIYVVVTVCIGTIYLNIGTSYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVSTHMIDGKSTVKEVRIELQFVPSTQNMSKLVHVQ >KJB08153 pep chromosome:Graimondii2_0_v6:1:6856467:6862228:-1 gene:B456_001G068300 transcript:KJB08153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSASNVMMEIESNKPAGNGMVIGGLSPLSESLWREKTNTEFVGDVSARLTWKDLTVMVTLNNGETQKVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPWSEKRALVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSGGKTVYFGQASEAYEFFARAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTAEAIRKLTDFYRTSQQCYAAKERVDEISKVKGTVLDSGGSQASFLMQSYTLTKRSFINMSRDFGYYWLRLLIYVVVTVCIGTIYLNIGTSYNSILARGSCASFVFGFVTFMSIGFSKGKVKWALWCYRICYWEYNLSYAIPHCDHFHLWNYLLLHGSSSPGFGTLFVLRIVPLC >KJB10499 pep chromosome:Graimondii2_0_v6:1:39743977:39756647:1 gene:B456_001G204500 transcript:KJB10499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEETKLDMKLSSSGQGQQAHEGENKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAATTNKEVDTHIPNYPDLPPQLICQLQNVTMHADVETDEVYAQMSLQPLTPEEQKDTFLPMELGIPSKQPTNYFYKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDIEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVIPSSVLSSDSMLIGLLAAAAHAAATNSCFTVFYNPRASPSDFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISSLDPVRWPNSHWRSVKVGWDESTTGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGSSSLFDNRDDISNGLSWLRGGSGEQGLQSLNLQSICSHPWVQQKLDLSFPGNDYNLQYQHMLANGLQNLGSGDLLRQQLQQSFQYVQQPGSHNLLLQQQQQQQQQSVSQLVPHNIVQAQQSQILIEGFLPVPGREQVGNQSEELAQQQHNMTQSDQHQQRRPVNVPSFLKPDFIDSGSGPPVLDMLGSLCPESSANLLNFSTTGQSMLADQLPQLSWAPKYAHSDVNAFAGSTSGPQVSPGKDATIELDIGTSDAQNSTVFGVNDDSFGLLLPTSMHGFTTSSSEADMPSIPLGDPSFQNPLYGCMQYSSELQSTGQVDPPTSSQTFIKVYKSGSVGRSLDISRFSSYHELREELAQMFGIEGKLEDPLRSGWQLVFVDRENDILLLGDDPWEAFVNNVWYIKILSPEDVQKMG >KJB11184 pep chromosome:Graimondii2_0_v6:1:48628157:48630297:-1 gene:B456_001G244200 transcript:KJB11184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLLLHYHAPITTVHLRSCSFPSPKPTAHIHLRSNPTTGATVKCMANPRRVKMVSKQIRRELSDMLLTDKVLQYAILPEAALGADRYLSSLTTISDVEVSADLQVVKVYVSVFGDERGKEIALAGLKSKAKYVRSELGKRMKLRLTPEIRFIEDESLERGSRVIAILDKIKAEKKTLADEDYEEEAESSVSAQDDTDWESDDPDEDIIYVK >KJB11186 pep chromosome:Graimondii2_0_v6:1:48628375:48630146:-1 gene:B456_001G244200 transcript:KJB11186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLLLHYHAPITTVHLRSCSFPSPKPTAHIHLRSNPTTGATVKCMANPRRVKMVSKQIRRELSDMLLTDKVLQYAILPEAALGADRYLSSLTTISDVEVSADLQEIALAGLKSKAKYVRSELGKRMKLRLTPEIRFIEDESLERGSRVIAILDKIKAEKKTLADEDYEEEAESSVSAQDDTDWESDDPDEDIIYVK >KJB11185 pep chromosome:Graimondii2_0_v6:1:48628125:48630297:-1 gene:B456_001G244200 transcript:KJB11185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLLLHYHAPITTVHLRSCSFPSPKPTAHIHLRSNPTTGATVKCMANPRRVKMVSKQIRRELSDMLLTDKVLQYAILPEAALGADRYLSSLTTISDVEVSADLQVVKVYVSVFGDERGKEIALAGLKSKAKYVRSELGKRMKLRLTPEIRFIEDESLERGSRVIAILDKIKAEKKTLADEDYEEEAESSVSAQDDTDWESDDPDEDIIYVK >KJB07890 pep chromosome:Graimondii2_0_v6:1:4887828:4896308:1 gene:B456_001G051500 transcript:KJB07890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIPLVARETSSYSRSTDQMCQEDSGLNLSAEEEIAAEESLSVYCKPVELYNILQRRAIRNPLFLQRCLRYKLQAKHKRRIQMTVSVPGIVNEGVLTQTVFPLYILLARLVSDVAVAEYSAVYRFRRACILTSFTGIDDSNQAQVNFILPEINKLAMEAKSGSLAILLVSFANGGCCLWGRIPLESLYLSWEKSPNLSSGQRSEMVLPVDLQSCLLKLKCSNEDKFISIQSSSNSSLVNQPLQLQVIISAKEVGVKEKSAYNSYTCSGVSSSSLPHIIGLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRHHLPANHDLFNFEFWVTEEYQAVNVSVKTDSWRSELVADGVDPKQQTFIYYSRRRRQKGLVQNARHVHPVFLESNLPAGGCELLDKAHGGNIIQNGIMGALECAEHLPSSSNVAGVSGTAGQSYSDSEHVQSVSGNNLGPPALQFAKTRKISMERSDPRNRTFLRKRQFFHSHRAQPMAIDQVTSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHIPWACEAFSKLHGQDLVKAPALIWCWRLFMTKLWNHGLLDARTMNNCNIILQQHKKNGSDLIKG >KJB07893 pep chromosome:Graimondii2_0_v6:1:4888245:4894537:1 gene:B456_001G051500 transcript:KJB07893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIPLVARETSSYSRSTDQMCQEDSGLNLSAEEEIAAEESLSVYCKPVELYNILQRRAIRNPLFLQRCLRYKLQAKHKRRIQMTVSVPGIVNEGVLTQTVFPLYILLARLVSDVAVAEYSAVYRFRRACILTSFTGIDDSNQAQVNFILPEINKLAMEAKSGSLAILLVSFANGGCCLWGRIPLESLYLSWEKSPNLSSGQRSEMVLPVDLQSCLLKLKCSNEDKFISIQSSSNSSLVNQPLQLQVIISAKEVGVKEKSAYNSYTCSGVSSSSLPHIIGLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRHHLPANHDLFNFEFWVTEEYQAVNVSVKTDSWRSELVADGVDPKQQTFIYYSRRRRQKGLVQNARHVHPVFLESNLPAGGCELLDKAHGGNIIQNGIMGALECAEHLPSSSNVAGVSGTAGQSYSDSEHVQSVSGNNLGPPALQFAKTRKISMERSDPRKYVLNFFFF >KJB07892 pep chromosome:Graimondii2_0_v6:1:4888020:4896290:1 gene:B456_001G051500 transcript:KJB07892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIPLVARETSSYSRSTDQMCQEDSGLNLSAEEEIAAEESLSVYCKPVELYNILQRRAIRNPLFLQRCLRYKLQAKHKRRIQMTVSVPGIVNEGVLTQTVFPLYILLARLVSDVAVAEYSAVYRFRRACILTSFTGIDDSNQAQVNFILPEINKLAMEAKSGSLAILLVSFANGGCCLWGRIPLESLYLSWEKSPNLSSGQRSEMVLPVDLQSCLLKLKCSNEDKFISIQSSSNSSLVNQPLQLQVIISAKEVGVKEKSAYNSYTCSGVSSSSLPHIIGLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKLVADGVDPKQQTFIYYSRRRRQKGLVQNARHVHPVFLESNLPAGGCELLDKAHGGNIIQNGIMGALECAEHLPSSSNVAGVSGTAGQSYSDSEHVQSVSGNNLGPPALQFAKTRKISMERSDPRNRTFLRKRQFFHSHRAQPMAIDQVTSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHIPWACEAFSKLHGQDLVKAPALIWCWRLFMTKLWNHGLLDARTMNNCNIILQQHKKNGSDLIKG >KJB07891 pep chromosome:Graimondii2_0_v6:1:4887874:4896290:1 gene:B456_001G051500 transcript:KJB07891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIPLVARETSSYSRSTDQMCQEDSGLNLSAEEEIAAEESLSVYCKPVELYNILQRRAIRNPLFLQRCLRYKLQAKHKRRIQMTVSVPGIVNEGVLTQTVFPLYILLARLVSDVAVAEYSAVYRFRRACILTSFTGIDDSNQAQVNFILPEINKLAMEAKSGSLAILLVSFANGGCCLWGRIPLESLYLSWEKSPNLSSGQRSEMVLPVDLQSCLLKLKCSNEDKFISIQSSSNSSLVLQVIISAKEVGVKEKSAYNSYTCSGVSSSSLPHIIGLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRHHLPANHDLFNFEFWVTEEYQAVNVSVKTDSWRSELVADGVDPKQQTFIYYSRRRRQKGLVQNARHVHPVFLESNLPAGGCELLDKAHGGNIIQNGIMGALECAEHLPSSSNVAGVSGTAGQSYSDSEHVQSVSGNNLGPPALQFAKTRKISMERSDPRNRTFLRKRQFFHSHRAQPMAIDQVTSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHIPWACEAFSKLHGQDLVKAPALIWCWRLFMTKLWNHGLLDARTMNNCNIILQQHKKNGSDLIKG >KJB07894 pep chromosome:Graimondii2_0_v6:1:4888929:4896293:1 gene:B456_001G051500 transcript:KJB07894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSVPGIVNEGVLTQTVFPLYILLARLVSDVAVAEYSAVYRFRRACILTSFTGIDDSNQAQVNFILPEINKLAMEAKSGSLAILLVSFANGGCCLWGRIPLESLYLSWEKSPNLSSGQRSEMVLPVDLQSCLLKLKCSNEDKFISIQSSSNSSLVNQPLQLQVIISAKEVGVKEKSAYNSYTCSGVSSSSLPHIIGLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRHHLPANHDLFNFEFWVTEEYQAVNVSVKTDSWRSELVADGVDPKQQTFIYYSRRRRQKGLVQNARHVHPVFLESNLPAGGCELLDKAHGGNIIQNGIMGALECAEHLPSSSNVAGVSGTAGQSYSDSEHVQSVSGNNLGPPALQFAKTRKISMERSDPRNRTFLRKRQFFHSHRAQPMAIDQVTSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHIPWACEAFSKLHGQDLVKAPALIWCWRLFMTKLWNHGLLDARTMNNCNIILQQHKKNGSDLIKG >KJB09204 pep chromosome:Graimondii2_0_v6:1:16523555:16530074:1 gene:B456_001G130600 transcript:KJB09204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEAALDPWLSSLWSRLNEIKPHFFPKGPDFLVSNEELIGLPKVQVTYHNVNDMDSRLSTATDFKYLQMQIGRARSMSSGKVPHEKSKPDAFLKMVKNFPLTRASHEKDVRHFEFEFVSQVIKYEIGDVLEVLPSQSPAAVDSFIQRCNLDPESLITVHPREMENRHIDNNVNTLDVPIKLRTFVELTMDVTSASPRRYFFEVMSFFATAEHEKERLQYFASPEGRDDLYQYNQKERRTVVEVLEDFPSVQMPFEWFVQLVPPLKNRAFSISSSPLAHPTQVHLTVDVVSWTTPFKRKRQGLCSTWLASLDPEQSIYIPVWFHKGLLPPPPPSLPLIVIGPGTGCAPFRGFVEERAVQSQCSTIAPTLLFFGCRNENNDFLYRDFWLSHSENDGVLSEAKGGGFYAAFSRDQPQKVYVQHKMQEQSERIWNLLCEGAAIYVAGSSTKMPSDVMVAFEEIISKESGAPRESAVRWLRSLEKAGKYHVEAWS >KJB09201 pep chromosome:Graimondii2_0_v6:1:16523555:16527620:1 gene:B456_001G130600 transcript:KJB09201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKSMTLLILYATQTGNALDVAERIAREAERRACTVVISSTDDYDANSLPAEDTVIFVVSTTGQGDTPDSMKVFWRFLLQRNLGSHWLEGIHYAVFGLGDSGYQKYNFVAKKLDKRLSDLGATAVVERGLGDDQHPSGYEAALDPWLSSLWSRLNEIKPHFFPKGPDFLVSNEELIGLPKVQVTYHNVNDMDSRLSTATDFKYLQMQIGRARSMSSGKVPHEKSKPDAFLKMVKNFPLTRASHEKDVRHFEFEFVSQVIKYEIGDVLEVLPSQSPAAVDSFIQRCNLDPESLITVSSFAYWYMVVILLSDLHVSFIDIIDFA >KJB09203 pep chromosome:Graimondii2_0_v6:1:16523555:16530074:1 gene:B456_001G130600 transcript:KJB09203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKSMTLLILYATQTGNALDVAERIAREAERRACTVVISSTDDYDANSLPAEDTVIFVVSTTGQGDTPDSMKVFWRFLLQRNLGSHWLEGIHYAVFGLGDSGYQKYNFVAKKLDKRLSDLGATAVVERGLGDDQHPSGYEAALDPWLSSLWSRLNEIKPHFFPKGPDFLVSNEELIGLPKVQVTYHNVNDMDSRLSTATDFKYLQMQIGRARSMSSGKVPHEKSKPDAFLKMVKNFPLTRASHEKDVRHFEFEFVSQVIKYEIGDVLEVLPSQSPAAVDSFIQRCNLDPESLITVHPREMENRHIDNNVNTLDVPIKLRTFVELTMDVTSASPRRYFFEVMSFFATAEHEKERLQYFASPEGRDDLYQYNQKERRTVVEVLEDFPSVQMPFEWFVQLVPPLKNRAFSISSSPLAHPTQVHLTVDVVSWTTPFKRKRQGLCSTWLASLDPEQSMMC >KJB09200 pep chromosome:Graimondii2_0_v6:1:16523615:16527956:1 gene:B456_001G130600 transcript:KJB09200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKSMTLLILYATQTGNALDVAERIAREAERRACTVVISSTDDYDANSLPAEDTVIFVVSTTGQGDTPDSMKVFWRFLLQRNLGSHWLEGIHYAVFGLGDSGYQKYNFVAKKLDKRLSDLGATAVVERGLGDDQHPSGYEAALDPWLSSLWSRLNEIKPHFFPKGPDFLVSNEELIGLPKVQVTYHNVNDMDSRLSTATDFKYLQMQIGRARSMSSGKVPHEKSKPDAFLKMVKNFPLTRASHEKDVRHFEFEFVSQVIKYEIGDVLEVLPSQSPAAVDSFIQRCNLDPESLITVHPREMENRHIDNNVNTLDVPIKLRTFVELTMDVTSASPRRYFFEARSCDELLCHC >KJB09205 pep chromosome:Graimondii2_0_v6:1:16523465:16530086:1 gene:B456_001G130600 transcript:KJB09205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKSMTLLILYATQTGNALDVAERIAREAERRACTVVISSTDDYDANSLPAEDTVIFVVSTTGQGDTPDSMKVFWRFLLQRNLGSHWLEGIHYAVFGLGDSGYQKYNFVAKKLDKRLSDLGATAVVERGLGDDQHPSGYEAALDPWLSSLWSRLNEIKPHFFPKGPDFLVSNEELIGLPKVQVTYHNVNDMDSRLSTATDFKYLQMQIGRARSMSSGKVPHEKSKPDAFLKMVKNFPLTRASHEKDVRHFEFEFVSQVIKYEIGDVLEVLPSQSPAAVDSFIQRCNLDPESLITVHPREMENRHIDNNVNTLDVPIKLRTFVELTMDVTSASPRRYFFEVMSFFATAEHEKERLQYFASPEGRDDLYQYNQKERRTVVEVLEDFPSVQMPFEWFVQLVPPLKNRAFSISSSPLAHPTQVHLTVDVVSWTTPFKRKRQGLCSTWLASLDPEQSIYIPVWFHKGLLPPPPPSLPLIVIGPGTGCAPFRGFVEERAVQSQCKAKGGGFYAAFSRDQPQKVYVQHKMQEQSERIWNLLCEGAAIYVAGSSTKMPSDVMVAFEEIISKESGAPRESAVRWLRSLEKAGKYHVEAWS >KJB09206 pep chromosome:Graimondii2_0_v6:1:16524879:16530074:1 gene:B456_001G130600 transcript:KJB09206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRLSTATDFKYLQMQIGRARSMSSGKVPHEKSKPDAFLKMVKNFPLTRASHEKDVRHFEFEFVSQVIKYEIGDVLEVLPSQSPAAVDSFIQRCNLDPESLITVHPREMENRHIDNNVNTLDVPIKLRTFVELTMDVTSASPRRYFFEVMSFFATAEHEKERLQYFASPEGRDDLYQYNQKERRTVVEVLEDFPSVQMPFEWFVQLVPPLKNRAFSISSSPLAHPTQVHLTVDVVSWTTPFKRKRQGLCSTWLASLDPEQSIYIPVWFHKGLLPPPPPSLPLIVIGPGTGCAPFRGFVEERAVQSQCSTIAPTLLFFGCRNENNDFLYRDFWLSHSENDGVLSEAKGGGFYAAFSRDQPQKVYVQHKMQEQSERIWNLLCEGAAIYVAGSSTKMPSDVMVAFEEIISKESGAPRESAVRWLRSLEKAGKYHVEAWS >KJB09207 pep chromosome:Graimondii2_0_v6:1:16526183:16530074:1 gene:B456_001G130600 transcript:KJB09207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNIPLQVKNFPLTRASHEKDVRHFEFEFVSQVIKYEIGDVLEVLPSQSPAAVDSFIQRCNLDPESLITVHPREMENRHIDNNVNTLDVPIKLRTFVELTMDVTSASPRRYFFEVMSFFATAEHEKERLQYFASPEGRDDLYQYNQKERRTVVEVLEDFPSVQMPFEWFVQLVPPLKNRAFSISSSPLAHPTQVHLTVDVVSWTTPFKRKRQGLCSTWLASLDPEQSIYIPVWFHKGLLPPPPPSLPLIVIGPGTGCAPFRGFVEERAVQSQCSTIAPTLLFFGCRNENNDFLYRDFWLSHSENDGVLSEAKGGGFYAAFSRDQPQKVYVQHKMQEQSERIWNLLCEGAAIYVAGSSTKMPSDVMVAFEEIISKESGAPRESAVRWLRSLEKAGKYHVEAWS >KJB09199 pep chromosome:Graimondii2_0_v6:1:16523421:16530074:1 gene:B456_001G130600 transcript:KJB09199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKSMTLLILYATQTGNALDVAERIAREAERRACTVVISSTDDYDANSLPAEDTVIFVVSTTGQGDTPDSMKVFWRFLLQRNLGSHWLEGIHYAVFGLGDSGYQKYNFVAKKLDKRLSDLGATAVVERGLGDDQHPSGYEAALDPWLSSLWSRLNEIKPHFFPKGPDFLVSNEELIGLPKVQVTYHNVNDMDSRLSTATDFKYLQMQIGRARSMSSGKVPHEKSKPDAFLKMVKNFPLTRASHEKDVRHFEFEFVSQVIKYEIGDVLEVLPSQSPAAVDSFIQRCNLDPESLITVHPREMENRHIDNNVNTLDVPIKLRTFVELTMDVTSASPRRYFFEVMSFFATAEHEKERLQYFASPEGRDDLYQYNQKERRTVVEVLEDFPSVQMPFEWFVQLVPPLKNRAFSISSSPLAHPTQVHLTVDVVSWTTPFKRKRQGLCSTWLASLDPEQSIYIPVWFHKGLLPPPPPSLPLIVIGPGTGCAPFRGFVEERAVQSQCSTIAPTLLFFGCRNENNDFLYRDFWLSHSENDGVLSEAKGGGFYAAFSRDQPQKVYVQHKMQEQSERIWNLLCEGAAIYVAGSSTKMPSDVMVAFEEIISKESGAPRESAVRWLRSLEKAGKYHVEAWS >KJB09202 pep chromosome:Graimondii2_0_v6:1:16523555:16528411:1 gene:B456_001G130600 transcript:KJB09202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKSMTLLILYATQTGNALDVAERIAREAERRACTVVISSTDDYDANSLPAEDTVIFVVSTTGQGDTPDSMKVFWRFLLQRNLGSHWLEGIHYAVFGLGDSGYQKYNFVAKKLDKRLSDLGATAVVERGLGDDQHPSGYEAALDPWLSSLWSRLNEIKPHFFPKGPDFLVSNEELIGLPKVQVTYHNVNDMDSRLSTATDFKYLQMQIGRARSMSSGKVPHEKSKPDAFLKMVKNFPLTRASHEKDVRHFEFEFVSQVIKYEIGDVLEVLPSQSPAAVDSFIQRCNLDPESLITVHPREMENRHIDNNVNTLDVPIKLRTFVELTMDVTSASPRRYFFEVMSFFATAEHEKERLQYFASPEGRDDLYQYNQKERRTVVEVK >KJB09049 pep chromosome:Graimondii2_0_v6:1:14807577:14810668:1 gene:B456_001G121300 transcript:KJB09049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g27610 [Source:Projected from Arabidopsis thaliana (AT2G27610) UniProtKB/Swiss-Prot;Acc:Q9ZUW3] MTSSLSLTRNQAKQFLKTLNNPFHKFLSLFQTNVSIPQPLDLCVESASLRHTPHLFEKSPQPDLALCNGLLFDYSRNNNNKEALNLFLGIHSFGLPVDGSTLSCVLKVCGCLFNVIAGRQVHCQCLKLGLLEDVSVGTSLLDMYMKTENVEDGRRVFDHMRERNVVSWTSLLGGYAQNGMNEEVLELFLIMQMEGIKPNPYTFAAVLGALASEGMVEKGVQVHCLIVKLGFKTVTFVSNSLISMYLRSGMGKDARLVFDGMATKNAVTWNCMISGFVTNGLDFAALETFYDMRLAGVKFTEMTFIPLIKLCANRKELGFARQLHCRVLKDGFNFDPKIKTALMVTYSKCSEINDAFKLFSTMHEAQNVVSWTAMISGHQQNGVKPNDFTYSTILTAQPAVSPFQIHAQAIKANYEKSPSVGTAILDAYVKLGNIEEAAKAFEQIDERDIVAWSAMLAGYAQIGDSEGATNIFMQLVKEGIKPNEFTFSSVINACASPTAPFEQGKQFHAWSIKSKLSDALCVCSALITMYAKRGNIDNAYQVFRRQQERDLASWNSMISGYAQHGHAKKALEIFEEMRRENMEMDDITFIGVISACSHAGLVDEGEKYFNVMIEKHRISPTMQLYSCMVDLYSRAGMLDKAMDIINTMPFPAGATVWRTLLAASRVHRHLELGKLAAEQLISLQPQDSAAYVLLSNIYAAAGNWHERRNVRKLMDQRKVKKEAGYSWIEDMGYQPDTDHVLQDIADEDKETILSQHSERLAIAFGLIATPPGTALQIVKNLRVCGDCHTVIKLISLIEGRDIVVRDTNRFHHFKAGSCSCGDYW >KJB09891 pep chromosome:Graimondii2_0_v6:1:25425275:25427206:1 gene:B456_001G174100 transcript:KJB09891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGATMAWNVFKFCTALRGLGSIMILLVLGVVGVSYYSVVLTNFGPALYDGGLDSLTAVVVLILFHCLLVMLLWSYFSVVLTDPGSIPANWRPALDEERGEADPLNGSEFNVNPSNQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLVCLLYFRTSFSCSLCPVGPTCFILSFKKLIFSNFLWKHNLSCGVFLSSLTFVFSLHWLS >KJB09890 pep chromosome:Graimondii2_0_v6:1:25425258:25428356:1 gene:B456_001G174100 transcript:KJB09890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNVFKFCTALRGLGSIMILLVLGVVGVSYYSVVLTNFGPALYDGGLDSLTAVVVLILFHCLLVMLLWSYFSVVLTDPGSIPANWRPALDEERGEADPLNGSEFNVNPSNQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLVTLALLPHFIAFFSDVEIPGTPGTLATTFLSFVLNLAFALSVLGFLIMHISLVAANTTTIEAYEKKTTPKWRYDLGRKKNFEQVFGTDKRYWFIPAYSDEDLRRMPALQGLEYPSKPDFDSQEF >KJB09892 pep chromosome:Graimondii2_0_v6:1:25425335:25428356:1 gene:B456_001G174100 transcript:KJB09892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGATMAWNVFKFCTALRGLGSIMILLVLGVVGVSYYSVVLTNFGPALYDGGLDSLTAVVVLILFHCLLVMLLWSYFSVVLTDPGSIPANWRPALDEERGEADPLNGSEFNVNPSNQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLVTLALLPHFIAFFSDVEIPGTPGTLATTFLSFVLNLAFALSVLGFLIMHISLVAANTTTIEAYEKKTTPKWRYDLGRKKNFEQVFGTDKRYWFIPAYSDEDLRRMPALQGLEYPSKPDFDSQEF >KJB09889 pep chromosome:Graimondii2_0_v6:1:25425160:25428526:1 gene:B456_001G174100 transcript:KJB09889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGATMAWNVFKFCTALRGLGSIMILLVLGVVGVSYYSVVLTNFGPALYDGGLDSLTAVVVLILFHCLLVMLLWSYFSVVLTDPGSIPANWRPALDEERGEADPLNGSEFNVNPSNQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLVTLALLPHFIAFFSDVEIPGTPGTLATTFLSFVLNLAFALSVLGFLIMHISLVAANTTTIEAYEKKTTPKWRYDLGRKKNFEQVFGTDKRYWFIPAYSDEDLRRMPALQGLEYPSKPDFDSQEF >KJB09079 pep chromosome:Graimondii2_0_v6:1:15254116:15255375:-1 gene:B456_001G122200 transcript:KJB09079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPVEVIGNILSRLGGARDVVIASATCRKWREASRKHLHTLAFNSNDLPPYRDITPKQLEILITQTIFQTTGLQGLSILMDDVDEFSASTVIAWLMYTRETLRRLFYNVRTSPNVNILEICGRQKLETLALAHNSITGVEPNFQRFPCLKSLSLSHVSISALDLSLLLTACPKLESLELVNLEIAMSDAQVTVELSCPTLKSIYVEAISLDKFMLEADSIERLHLRDCALELFELIGIGTLKYFKIDDVSVIHLDIGETIDNLEVVDVSNFTIIWPKFYQMISRSSKLRKLRLWDVAFDDEDEVVDLETIAVCFPRLKHLALSYELRDGVMHYGLQGSSNLENVMVLELGWTVINDLFSHWVEELLKRCPNLRKMIIFGSVSEVKSHEECQILANFTSSIVQLMRKYMNVEVHFEFE >KJB09080 pep chromosome:Graimondii2_0_v6:1:15251697:15256244:-1 gene:B456_001G122200 transcript:KJB09080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVDEFSASTVIAWLMYTRETLRRLFYNVRTSPNVNILEICGRQKLETLALAHNSITGVEPNFQRFPCLKSLSLSHVSISALDLSLLLTACPKLESLELVNLEIAMSDAQVTVELSCPTLKSIYVEAISLDKFMLEADSIERLHLRDCALELFELIGIGTLKYFKIDDVSVIHLDIGETIDNLEVVDVSNFTIIWPKFYQMISRSSKLRKLRLWDVAFDDEDEVVDLETIAVCFPRLKHLALSYELRDGVMHYGLQGSSNLENVMVLELGWTVINDLFSHWVEELLKRCPNLRKMIIFGSVSEVKSHEECQILANFTSSIVQLMRKYMNVEVHFEFE >KJB09078 pep chromosome:Graimondii2_0_v6:1:15253948:15256244:-1 gene:B456_001G122200 transcript:KJB09078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVDEFSASTVIAWLMYTRETLRRLFYNVRTSPNVNILEICGRQKLETLALAHNSITGVEPNFQRFPCLKSLSLSHVSISALDLSLLLTACPKLESLELVNLEIAMSDAQVTVELSCPTLKSIYVEAISLDKFMLEADSIERLHLRDCALELFELIGIGTLKYFKIDDVSVIHLDIGETIDNLEVVDVSNFTIIWPKFYQMISRSSKLRKLRLWDVAFDDEDEVVDLETIAVCFPRLKHLALSYELRDGVMHYGLQGSSNLENVMVLELGWTVINDLFSHWVEELLKRCPNLRKMIIFGSVSEVKSHEECQILANFTSSIVQLMRKYMNVEVHFEFE >KJB07272 pep chromosome:Graimondii2_0_v6:1:1160065:1163009:1 gene:B456_001G012200 transcript:KJB07272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPQPVVVQYCQVCGLPAEYCEFGSEFDKCKPWLIQNAPDLYPDLLKEANAKEADKVADQLQSTGISSADSGSSSEAKQEEVKRLPGGKIKKKKEVVIEKVVRNKRKCITTVKGLELFGVKLSDASKKLGKKFATGASVVKGPTDKEQIDVQGDISYDIVEFITETWADVPETAIFFIEDGKKVPAA >KJB07269 pep chromosome:Graimondii2_0_v6:1:1160026:1163025:1 gene:B456_001G012200 transcript:KJB07269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPQPVVVQYCQVCGLPAEYCEFGSEFDKCKPWLIQNAPDLYPDLLKEANAKEADKVADQLQSTGISSADSGSSSEAKQEEVKRLPGGKIKKKEKKEVVIEKVVRNKRKCITTVKGLELFGVKLSDASKKLGKKFATGASVVKGPTDKEQIDVQGDISYDIVEFITETWADVPETAIFFIEDGKKVPAA >KJB07270 pep chromosome:Graimondii2_0_v6:1:1160065:1161690:1 gene:B456_001G012200 transcript:KJB07270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPQPVVVQYCQVCGLPAEYCEFGSEFDKCKPWLIQNAPDLYPDLLKEANAKEADKVADQLQSTGISSADSGSSSEAKQEEVKRLPGGKIKKKEKKEVVIEKVVRNKRKCITTVKGLELFGVKLSDASKKLGKKFATGASVVKGPTDKEQIDVQGDISYDIVEFITETWADVILITFWPFLMFYANLHFSPFLCFKFNDQLHIGRLFLLY >KJB07271 pep chromosome:Graimondii2_0_v6:1:1160065:1163009:1 gene:B456_001G012200 transcript:KJB07271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPQPVVVQYCQVCGLPAEYCEFGSEFDKCKPWLIQNAPDLYPDLLKEANAKEADKVADQLQSTGISSADSGSSSEAKQEEVKRLPGGKIKKKEKKEVVIEKVVRNKRKCITTVKGLELFGVKLSDASKKLGKKFATGASVVKIDVQGDISYDIVEFITETWADVPETAIFFIEDGKKVPAA >KJB09576 pep chromosome:Graimondii2_0_v6:1:20836533:20846178:1 gene:B456_001G150400 transcript:KJB09576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, miRNA biogenesis, Reguration of developmen [Source: Projected from Oryza sativa (Os03g0121800)] MEEEGRVSGGNGSSYWLDACEDISCDLISDFVDFDAPIVQDSVDNTSNQDFFGGIDHILDSFKNGVGLPPVGSNSDSSVVNGNGIHDPVAGDGWSPNELSGVSKDLPDNSVPPSNGVEKKIGSKGQEKSCDDSNSSLFDYSNKDNGVHRDDKRSSESRDRGLDSEERCNKRARANGCKSDRQYSSRGQYYPRDRERCFARKRVRDWDEIDRRDREHVRRREHYYGGNRRDGREREPRGYWERERSGSNEMVFRLGTWEADRQREGKVANDKTPECNGKMEKKVEQPKEKLLEEQARQYQLDVLEQAKRKNTIAFLETGAGKTLIAVLLMKSISDDLQKHNRKMLSVFLVPKVPLVYQQAEVIRERTGFQVGHYCGEMGQDFWDARRWLREFESKQVLVMTAQILLNILRHSIIKMESINLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPSVFGMTASPVNLKGVSSQVDCAIKIRNLESKLDSVVCTIKDRKELEKHVPMPSEVVVEYDKAASLWSLHEQIKQMEATVEEAAQSSSRRSKWQFMGARDAGAKEELHQVYGVSERTESDGAANLIQKLRAVNYALGELGQWCAYKVAQSFLTALQNDERANYQLDVKFQESYLNKVVSLLQCQLSEGAVTEKDMNNAEAENCNAQDGTNTDEIEEGELPDSHVVSGGEHVDVIIGAAVADGKVTPKVQSLIKILLKYQHTEDFRAIIFVERVVAALVLPKVFAELPSLSFIRCASLIGHNNSQEMRTGQMQDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNLSHATFLRNARNSEETLRKEAIERTDLSHLKDTSRLISVDMVPGTVYQVESTGAIVSLNSAVGLVHFYCSQLPSDRYSILRPEFIMKKHEKPGGPTEYSCKLQLPCNAPFEELEGPMCSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGSGEEAEKVDQNDEGDPLPGTARHREFYPEGVADILQGEWILSGRDGVDDSKIHRLYMYTIKCVNNGSSKDPFLTKVSDFAVLFGKELDAEVLSMSVDLFIVRAMITKASLVFRGSIDITESQMASLKNFHVRMMSIVLDVDVDPATTPWDPAKAYLFVPVVGDKFVDPIKEVDWDLVDNIITTNAWSNPLQRARPDVFLGTNERTLGGDRREYGFGKLRHGLAFGHKPHPTYGIRGAVAPFDVVKATGVVPSRDTIEVQGDWTKGKLIMADGVARAEDLVGRIITAAHSGKRFYVDTICYDMSAETSFPRKEGYLGPVEYSSYADYYKLKYGVELSCKQQALIRGRGVSYCKNLLSPRFEHSEGESEEALDKTYYVFLPPELCFVHPLPGSLVRGAQRLPSIMRRVESMLLAIQLKHIIQFPVPASKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKGLQSYIQADRFAPSRWAAPGVLPVFDEDTKDGDTSLFDQEHATADVLPVKVHGNGFEDEDMEDGEIESDSSSYRVLSSKTLADVVEALIGVYYVEGGKHAANHLMKWIGIQVESDPDEMDSIVKPSNVPESILRSVNFEALEGALKIEFKNRALLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTNLPPGRLTDLRAAAVNNENFARVAVKHLLHVHLRHGSSALEKQIRDFVKEVQDELLKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGRDTAVVWRVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRSGNLATVEVFIDGVQVGVAQNPQKKMAQKLAARNALAVLKEKETAEAKEKCEENGKKKNGNQTFTRQTLNDICLRRNWPMPFYWCVNEGGPAHAKRFTFAVKVNTTDRGWTDECIGEPMPSVKKAKDSAAVLLLELLNKWYS >KJB09575 pep chromosome:Graimondii2_0_v6:1:20836533:20845672:1 gene:B456_001G150400 transcript:KJB09575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, miRNA biogenesis, Reguration of developmen [Source: Projected from Oryza sativa (Os03g0121800)] MEEEGRVSGGNGSSYWLDACEDISCDLISDFVDFDAPIVQDSVDNTSNQDFFGGIDHILDSFKNGVGLPPVGSNSDSSVVNGNGIHDPVAGDGWSPNELSGVSKDLPDNSVPPSNGVEKKIGSKGQEKSCDDSNSSLFDYSNKDNGVHRDDKRSSESRDRGLDSEERCNKRARANGCKSDRQYSSRGQYYPRDRERCFARKRVRDWDEIDRRDREHVRRREHYYGGNRRDGREREPRGYWERERSGSNEMVFRLGTWEADRQREGKVANDKTPECNGKMEKKVEQPKEKLLEEQARQYQLDVLEQAKRKNTIAFLETGAGKTLIAVLLMKSISDDLQKHNRKMLSVFLVPKVPLVYQQAEVIRERTGFQVGHYCGEMGQDFWDARRWLREFESKQVLVMTAQILLNILRHSIIKMESINLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPSVFGMTASPVNLKGVSSQVDCAIKIRNLESKLDSVVCTIKDRKELEKHVPMPSEVVVEYDKAASLWSLHEQIKQMEATVEEAAQSSSRRSKWQFMGARDAGAKEELHQVYGVSERTESDGAANLIQKLRAVNYALGELGQWCAYKVAQSFLTALQNDERANYQLDVKFQESYLNKVVSLLQCQLSEGAVTEKDMNNAEAENCNAQDGTNTDEIEEGELPDSHVVSGGEHVDVIIGAAVADGKVTPKVQSLIKILLKYQHTEDFRAIIFVERVVAALVLPKVFAELPSLSFIRCASLIGHNNSQEMRTGQMQDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNLSHATFLRNARNSEETLRKEAIERTDLSHLKDTSRLISVDMVPGTVYQVESTGAIVSLNSAVGLVHFYCSQLPSDRYSILRPEFIMKKHEKPGGPTEYSCKLQLPCNAPFEELEGPMCSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGSGEEAEKVDQNDEGDPLPGTARHREFYPEGVADILQGEWILSGRDGVDDSKIHRLYMYTIKCVNNGSSKDPFLTKVSDFAVLFGKELDAEVLSMSVDLFIVRAMITKASLVFRGSIDITESQMASLKNFHVRMMSIVLDVDVDPATTPWDPAKAYLFVPVVGDKFVDPIKEVDWDLVDNIITTNAWSNPLQRARPDVFLGTNERTLGGDRREYGFGKLRHGLAFGHKPHPTYGIRGAVAPFDVVKATGVVPSRDTIEVQGDWTKGKLIMADGVARAEDLVGRIITAAHSGKRFYVDTICYDMSAETSFPRKEGYLGPVEYSSYADYYKLKYGVELSCKQQALIRGRGVSYCKNLLSPRFEHSEGESEEALDKTYYVFLPPELCFVHPLPGSLVRGAQRLPSIMRRVESMLLAIQLKHIIQFPVPASKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKGLQSYIQADRFAPSRWAAPGVLPVFDEDTKDGDTSLFDQEHATADVLPVKVHGNGFEDEDMEDGEIESDSSSYRVLSSKTLADVVEALIGVYYVEGGKHAANHLMKWIGIQVESDPDEMDSIVKPSNVPESILRSVNFEALEGALKIEFKNRALLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTNLPPGRLTDLRAAAVNNENFARVAVKHLLHVHLRHGSSALEKQIRDFVKEVQDELLKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGRDTAVVWRVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRSGNLATVEVFIDGVQVGVAQNPQKKMAQKLAARNALAVLKEKETAEAKEKCEENGKKKNGNQTFTRQTLNDICLRRNWPMPFYW >KJB07803 pep chromosome:Graimondii2_0_v6:1:4323480:4326190:-1 gene:B456_001G045300 transcript:KJB07803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVEIWVLTMCVIFQLGYGFYLPGSYPHKYVVGDPLSVKVNSLTSIDTEMPFSYYSLPFCKPKEGVKDSAENLGELLMGDRIENSPYKFKMYVNESEIFLCQSNKVSADDFKLLKKRIDEMYQINLILDNLPAIRYTKKEGFMLRWTGYPVGVKVQNVYYLFNHLKFKVLIHKYEETSVARVMGTGDAVEVMPTVTKEGSDMPGHMVVGFEVVPCSVVHDGNLVNKLKMYEKYQSPIKCDPNAVSMPIKEGEPIVFTYEVAFEESDIKWPSRWDAYLKMEGSKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKESQVQMNEELSGWKLVVGDVFRAPSNPALLCILIGDGVQILGMAIVTILFAALGFMSPASRGTLITGMLFFYLILGIAAGYVAVRLWRTIGCGDHKGWISVAWKAACFFPGIAFLILTILNFLLWGSHSTGAIPFAVFVILLLLWFCISVPLTLVGGYFGAKAHHIEYPVRTNQIPREIPAQKYPSWLLVLGSGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSINYLIFDLKSLSGPVSATLYLGYSLFMVLAVMLATGTVGFLSSFWFVHYLFASVKLD >KJB11226 pep chromosome:Graimondii2_0_v6:1:50093735:50095899:1 gene:B456_001G248200 transcript:KJB11226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFQKSKEQVLGKQVDLGSNDSDAATLETLNLSPPPQPQKVKLIEAEAEPSEQTYPVEVAIAAAAAVALAAPAEAVADVVRRQSNTGPRFAGKSNEEVAAIKIQTAFRVYLAKRALHALRGLVRLKSMMEGPMVKRQAAGTLRCMQTLSRVQCKIRLRRIRMTEENQALQRQLLQKHAKEIVNLQMGEDWDDSLQSKEQIEASLLSKHEASMRREKAMAYSFTHQQTWKNASRSMNPLFMDPNNLSWGWSWLERWMAAWPWEGRGMAEKEQNNDQSSVKSGRSSFGGDISKAYARYQLNLDKQSLKASQKPSRTSSLQSPSTPKPVSTPTRKVKSPSPRSSVVAPDNMRSTVSVLSERNRRHTIGGSSVLDDESLASSPSLPSYMVPTQSARLKTRLQSPLGLEANGTTPEKGPIPSTKKRLSYPPSPARPRRHSGPPKVDSGSDTNTEVAAVNGDGN >KJB11228 pep chromosome:Graimondii2_0_v6:1:50092844:50096249:1 gene:B456_001G248200 transcript:KJB11228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWLSSLKKAFTPESKEKKTQKSKEQVLGKQVDLGSNDSDAATLETLNLSPPPQPQKVKLIEAEAEPSEQTYPVEVAIAAAAAVALAAPAEAVADVVRRQSNTGPRFAGKSNEEVAAIKIQTAFRVYLAKRALHALRGLVRLKSMMEGPMVKRQAAGTLRCMQTLSRVQCKIRLRRIRMTEENQALQRQLLQKHAKEIVNLQMGEDWDDSLQSKEQIEASLLSKHEASMRREKAMAYSFTHQQTWKNASRSMNPLFMDPNNLSWGWSWLERWMAAWPWEGRGMAEKEQNNDQSSVKSGRSSFGGDISKAYARYQLNLDKQSLKASQKPSRTSSLQSPSTPKPVSTPTRKVKSPSPRSSVVAPDNMRSTVSVLSERNRRHTIGGSSVLDDESLASSPSLPSYMVPTQSARLKTRLQSPLGLEANGTTPEKGPIPSTKKRLSYPPSPARPRRHSGPPKVDSGSDTNTEVAAVNGDGN >KJB11225 pep chromosome:Graimondii2_0_v6:1:50092825:50096249:1 gene:B456_001G248200 transcript:KJB11225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWLSSLKKAFTPESKEKKTQKSKEQVLGKQVDLGSNDSDAATLETLNLSPPPQPQKVKLIEAEAEPSEQTYPVEVAIAAAAAVALAAPAEAVADVVRRQSNTGPRFAGKSNEEVAAIKIQTAFRVYLAKRALHALRGLVRLKSMMEGPMVKRQAAGTLRCMQTLSRVQCKIRLRRIRMTEENQALQRQLLQKHAKEIVNLQMGEDWDDSLQSKEQIEASLLSKHEASMRREKAMAYSFTHQQTWKNASRSMNPLFMDPNNLSWGWSWLERWMAAWPWEGRGMAEKEQNNDQSSVKSGRSSFGGDISKAYARYQLNLDKQSLKASQKPSRTSSLQSPSTPKPVSTPTRKVKSPSPRSSVVAPDNMRSTVSVLSERNRRHTIGGSSVLDDESLASSPSLPSYMVPTQSARLKTRLQSPLGLEANGTTPEKGPIPSTKKRLSYPPSPARPRRHSGPPKVDSGSDTNTEVAAVNGDGN >KJB11227 pep chromosome:Graimondii2_0_v6:1:50093031:50096249:1 gene:B456_001G248200 transcript:KJB11227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWLSSLKKAFTPESKEKKTQKSKEQVLGKQVDLGSNDSDAATLETLNLSPPPQPQKVKLIEAEAEPSEQTYPVEVAIAAAAAVALAAPAEAVADVVRRQSNTGPRFAGKSNEEVAAIKIQTAFRVYLAKRALHALRGLVRLKSMMEGPMVKRQAAGTLRCMQTLSRVQCKIRLRRIRMTEENQALQRQLLQKHAKEIVNLQMGEDWDDSLQSKEQIEASLLSKHEASMRREKAMAYSFTHQQTWKNASRSMNPLFMDPNNLSWGWSWLERWMAAWPWEGRGMAEKEQNNDQSSVKSGRSSFGGDISKAYARYQLNLDKQSLKASQKPSRTSSLQSPSTPKPVSTPTRKVKSPSPRSSVVAPDNMRSTVSVLSERNRRHTIGGSSVLDDESLASSPSLPSYMVPTQSARLKTRLQSPLGLEANGTTPEKGPIPSTKKRLSYPPSPARPRRHSGPPKVDSGSDTNTEVAAVNGDGN >KJB06526 pep chromosome:Graimondii2_0_v6:1:2242424:2243062:1 gene:B456_001G023900 transcript:KJB06526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFINDSSIETDDGVSMFVEVFLHRRRKSVLDLVLSFDNYYYYLMVSVVLSSSNYYYYMFFLLIIIVSRWCLSCFRLSSINVHDSFLELFSLIRGTPWKHQPWRMDSYLTT >KJB10795 pep chromosome:Graimondii2_0_v6:1:45789658:45790924:-1 gene:B456_001G224900 transcript:KJB10795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVWKNHKVVFSLLLVTILLSMATSGSLLAEAKIPNFLAIQDSLKSGIQRRNLRPSPPGGGTSPIPNPPYRQEPPARYK >KJB09136 pep chromosome:Graimondii2_0_v6:1:15658165:15660394:-1 gene:B456_001G125300 transcript:KJB09136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANRSANSRLALVKDSIVKIRSKVAEFPGKMKKVAEDDPRRIVHSFKVGLAITLVSLFYYFDHLYVGFGSSAMWAVLTVIVVIEFSVGATLGKGLNRGLATFLAGALGFGAHHLANLPGQELQPILLGIFVFILAATVSFIRFFPRMKVRYDYGLLIFMLTFCLISVSGYRDEEVLEMAHKRVSTILIGGFTAIFVCIFICPVWAGEDLHNLVANNLEKVASFLEGFGDEYFRKSSEPESNKASLQVYKNILNSKQSEESLVNFARWEPPHGLFRFRHPWKQYLKIGSLTRQCAYRIEALNGCLNSDVQASPETCGNFREAFIKASSETGKAVKGLASAMRTMTLPSADCPQTTKSKNAADNLKSLLRTGLCQGIDIVEILEVATVASLLLDVLACTEKISESIHELASLAHFKRLEPDEKDGKPDLDKQKQVRQSPNSIASNHHHHIIIIE >KJB10030 pep chromosome:Graimondii2_0_v6:1:27761867:27764187:1 gene:B456_001G181200 transcript:KJB10030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPRKSPSLLSLSLVSITIFLGSFPFVATAADYTNLVFKGCADQKFQDPSGVYLQNLKNLMQDLVSQSSQRTFSTAASGEDPNAINGLYQCRGDLSTSQCYSCVSKIPKISDKVCGKAVAARVQLSGCYLRYEIAGFKQVPETEFLYKVCGSSSSGRTEFEKRRETAFNMAEEGVKSGSSLFYTGDYQSVYVLAQCQGDMGTANCGDCVKTAFETAKNNCGDSVSAQLYLHKCYISYSYYPNGIPTISSGTGETRQRTQKTVAIAVGGVAGLGFLVVCLMFLKSVVKKRSKKHEGY >KJB10029 pep chromosome:Graimondii2_0_v6:1:27762208:27763017:1 gene:B456_001G181200 transcript:KJB10029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPRKSPSLLSLSLVSITIFLGSFPFVATAADYTNLVFKGCADQKFQDPSGVYLQNLKNLMQDLVSQSSQRTFSTAASGEDPNAINGLYQCRGDLSTSQCYSCVSKIPKISDKVCGKAVAARVQLSGCYLRYEIAGFKQVPETEFLYKVCGSSSSGRTEFEKRRETAFNMAEEGVKSGSSLFYTGDYQSVYVLAQCQGDMGTANCGDCVKTAFETAKNNCGDSVSAQLYLHKCYISYSYYPNGIPTISSGKAGEKNKINHHIYQLITC >KJB09673 pep chromosome:Graimondii2_0_v6:1:21830390:21833557:1 gene:B456_001G155600 transcript:KJB09673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CenH3 MSRTKHTAAKKPRRKPSAAAAASPATASPHTRSVTAKKTGGPGTPRTRALQEIRKYQKTSNLLVPAASFIREVRAISYRFAPDISRWQAEALVAIQEAAEDYLIQLFGDAMLCAIHAKRVTLMKKDIQLARRLGGMGQPW >KJB09671 pep chromosome:Graimondii2_0_v6:1:21830353:21833664:1 gene:B456_001G155600 transcript:KJB09671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CenH3 MSRTKHTAAKKPRRKPSAAAAASPATASPHTRSVTAKKTGGPGTPTPGNLKRPHRFRAGTRALQEIRKYQKTSNLLVPAASFIREVRAISYRFAPDISRWQAEALVAIQEAAEDYLIQLFGDAMLCAIHAKRVTLMKKDIQLARRLGGMGQPW >KJB09674 pep chromosome:Graimondii2_0_v6:1:21830390:21833557:1 gene:B456_001G155600 transcript:KJB09674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CenH3 MSRTKHTAAKKPRRKPSAAAAASPATASPHTRSCKTNLSQIRNLLFLKVTAKKTGGPGTPTPGNLKRPHRFRAGTRALQEIRKYQKTSNLLVPAASFIREVRAISYRFAPDISRWQAEALVAIQEAAEDYLIQLFGDAMLCAIHAKRVTLMKKDIQLARRLGGMGQPW >KJB09672 pep chromosome:Graimondii2_0_v6:1:21830646:21832582:1 gene:B456_001G155600 transcript:KJB09672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CenH3 MSRTKHTAAKKPRRKPSAAAAASPATASPHTRSVTAKKTGGPGTPTPGNLKRPHRFRAGTRALQEIRKYQKTSNLLVPAASFIREVRAISYRFAPDISRWQAEALVAIQEVHM >KJB11199 pep chromosome:Graimondii2_0_v6:1:49248346:49251420:-1 gene:B456_001G247100 transcript:KJB11199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGNVTAEDLIEALREVDWSSPPRPLSEFFSRFTVPRSYAKWNSRLKCNLYYYRTNYFILIVLIVGLGFLRRPVAVLAAILTALSIAFLNDSFAGTFSEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSSKRTIHICGRPRWVFVFIFSSVSFILWYVSCGLLTVLWALAIALVATVLHASFRTPNLKARLNTFREEFRAVWRNYSEL >KJB11198 pep chromosome:Graimondii2_0_v6:1:49249427:49251407:-1 gene:B456_001G247100 transcript:KJB11198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGNVTAEDLIEALREVDWSSPPRPLSEFFSRFTVPRSYAKWNSRLKCNLYYYRTNYFILIVLIVGLGFLRRPVAVLAAILTALSIAFLNDSFAGTFSEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSSKRTIHICGRPRWVFVFIFSSVSFILWYVSCGLLTVLWALAIALVATVLHASFRTPNLKARLNTFREEFRAVWRNYSEL >KJB11200 pep chromosome:Graimondii2_0_v6:1:49250225:49251220:-1 gene:B456_001G247100 transcript:KJB11200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGNVTAEDLIEALREVDWSSPPRPLSEFFSRFTVPRSYAKWNSRLKCNLYYYRTNYFILIVLIVGLGFLRRPVAVLAAILTALSIAFLNDSFAGTFSEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSSKRTIHICGRPRWVFVFIFSSGINVFEKCSFSF >KJB08286 pep chromosome:Graimondii2_0_v6:1:7684431:7686465:1 gene:B456_001G075100 transcript:KJB08286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSMMKIHKDKDVEPTEFEESVAQAFFDLENTNQDLKSDLKDLYINSAVQIDVSGSRKAVVIHVPYRLRKAFRKVHVKLVRELEKKFSGKDVILIATRRILRPPKKGSAVQRPRSRTLTAVHEAMLEDIVLPAEIVGKRTRYRIDGSKIMKVFLDPKERNNTEYKLETFSAVYRKLAGKDVVFEFPVTEA >KJB08285 pep chromosome:Graimondii2_0_v6:1:7682717:7686465:1 gene:B456_001G075100 transcript:KJB08285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVFKNLTTHCAVSLHSPAVKQASQQPFLPLLLLLFSPSPVPEQARPVIQIRMYTSMMKIHKDKDVEPTEFEESVAQAFFDLENTNQDLKSDLKDLYINSAVQIDVSGSRKAVVIHVPYRLRKAFRKVHVKLVRELEKKFSGKDVILIATRRILRPPKKGSAVQRPRSRTLTAVHEAMLEDIVLPAEIVGKRTRYRIDGSKIMKVFLDPKERNNTEYKLETFSAVYRKLAGKDVVFEFPVTEA >KJB08287 pep chromosome:Graimondii2_0_v6:1:7684501:7686465:1 gene:B456_001G075100 transcript:KJB08287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDINLFTCSQIDVSGSRKAVVIHVPYRLRKAFRKVHVKLVRELEKKFSGKDVILIATRRILRPPKKGSAVQRPRSRTLTAVHEAMLEDIVLPAEIVGKRTRYRIDGSKIMKVFLDPKERNNTEYKLETFSAVYRKLAGKDVVFEFPVTEA >KJB11733 pep chromosome:Graimondii2_0_v6:1:55220746:55223042:1 gene:B456_001G274800 transcript:KJB11733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQARLKCASIGHVLDSLIPLISTFSFPLYKYQNHHVFLTSSQNPKSKTSIQLYYFSLYLKMHCQTTGSWGSYMPTPRTSVGDPLERIERLASENAVVIFSISSCCMCHAIKRLFCGMGVNPTVYELDEDPRGKDMEKALIRLLGTSPPVPVVFIGGKLVGAMDRVMASHINGTLVPLLKQAGALWL >KJB06685 pep chromosome:Graimondii2_0_v6:1:10411288:10416128:-1 gene:B456_001G093600 transcript:KJB06685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKSLCFTILIAILAIFISRFIIDPSPHFHKIIIDSSLTLSSSSCIIMKFIPFDFSDFCRHRKHPDKGKPVSVCDDFPPNIPPPETNTTLTLCVDQNGCCNFTTVQAAVDAVPNLSMKRSVIWINTGIYYEKVIIPKTKPNITFQGQGYTSTAIAWNDTANSANGTFYSGSVQVFSTNFIAKNMSFMNVAPLPRPGDVGAQAVAIRIAGDQAAFWGCGFYGAQDTLHDDRGRHYFKDCYIQGSIDFIFGNGKSLYEDCQLISMANQVAPGSKSINGAVTAHGRASADEDSGFAFVNCSIGGTGRIWLGRAWRPYSRVVFALTSMTDIIAPEGWNDFNDPSRDQTIFYGEYNCTGAGANMNGRAPYVQKLNDTQASLFLTASFIDADQWLQSYNS >KJB09045 pep chromosome:Graimondii2_0_v6:1:14742404:14745907:1 gene:B456_001G121100 transcript:KJB09045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLPPLPVYPRPKKLEAEKAYEVEEDIDKMGLSLEEPQIAALLKLSADTWRGERVYNYLHNLRRSVRWVSEETCKVLEDWFCCKASEIGSDVGSVKEAILRNGGGWHGLGWIGEGKWVVKKGNVEPNGRCCCCGEQLDCVDIDDVETEKFALSVAGLALEREVKANFREFQDWLEKYADYEAIVDGANIGLYQQNFAEGGFSVLQLDAVIKEMYTRSGNKWPLVILHNKRVRALLENPSHRKLVEEWMANGVLYTTPHGSNDDWYWLYATVKLRCLLVTNDEMRDHIFELLGSSFFLKWKERHQVRYTFLKGALKLQMPPAYSIVIQESEKGSWHVPIVCESDEESLRSWLCITRPGGCEDEGKTGSTMETCEIVNGPCCKSSENGNNEKCDDKTTSMTGKRKERSP >KJB09043 pep chromosome:Graimondii2_0_v6:1:14742375:14745907:1 gene:B456_001G121100 transcript:KJB09043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSHHQNPTPSTKKKKTHKNPEANFLYELNSCSKSKDLKAAISLYDSALSNNTRLNQSHFNTLLYLCSTFATDPDSKDLALRYGFRVFDHMMALNIHPNEASITSIARLSAAKGDGDYAFEMVKKLGDYQVLPRLRTYEPALFCFCQKLEAEKAYEVEEDIDKMGLSLEEPQIAALLKLSADTWRGERVYNYLHNLRRSVRWVSEETCKVLEDWFCCKASEIGSDVGSVKEAILRNGGGWHGLGWIGEGKWVVKKGNVEPNGRCCCCGEQLDCVDIDDVETEKFALSVAGLALEREVKANFREFQDWLEKYADYEAIVDGANIGLYQQNFAEGGFSVLQLDAVIKEMYTRSGNKWPLVILHNKRVRALLENPSHRKLVEEWMANGVLYTTPHGSNDDWYWLYATVKLRCLLVTNDEMRDHIFELLGSSFFLKWKERHQVRYTFLKGALKLQMPPAYSIVIQESEKGSWHVPIVCESDEESLRSWLCITRPGGCEDEGKTGSTMETCEIVNGPCCKSSENGNNEKCDDKTTSMTGKRKERSP >KJB09044 pep chromosome:Graimondii2_0_v6:1:14742404:14745907:1 gene:B456_001G121100 transcript:KJB09044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSHHQNPTPSTKKKKTHKNPEANFLYELNSCSKSKDLKAAISLYDSALSNNTRLNQSHFNTLLYLCSTFATDPDSKDLALRYGFRVFDHMMALNIHPNEASITSIARLSAAKGDGDYAFEMVKKLGDYQVLPRLRTYEPALFCFCQKLEAEKAYEVEEDIDKMGLSLEEPQIAALLKLSADTWRGERVYNYLHNLRRSVRWVSEETCKVLEDWFCCKASEIGSDVGSVKEAILRNGGGWHGLGWIGEGKWVVKKGNVEPNGRCCCCGEQLDCVDIDDVETEKFALSVAGLALEREVKANFREFQDWLEKYADYEAIVDGANIGLYQQNFAEGGFSVLQLDAVIKEMYTRSGNKWPLVILHNKRVRALLENPSHRKLVEEWMANGVLYTTPHGSNDDWYWLYATVKLRCLLVTNDEMRDHIFELLGSSFFLKWKERFDIHF >KJB06310 pep chromosome:Graimondii2_0_v6:1:3217876:3221425:1 gene:B456_001G035000 transcript:KJB06310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRYKAGLFLIGTVVVIWVTSAEVTQDIFADYKQPFAVTYLGASLMVIYLPIAFIKDWVCNLLRRRSSKTVKDIESTDETSNELNSPLRHKIYEMELQGTLVRKDSDADFSPHIEGKPLVSKQKDEVQFLKQDKQLTTREIATIGFYIAPIWFVTEYLSNAALARTSVASTTVLSSTAGLFTLFIGAFLGQDSLNVAKVVAVFVSMAGVVMTTLGKTWAADDSIGSANGKRSLVGDLFGLLSAMSYGLFTVLLKKFAGEEGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKLDEVVLANGFVGSVLSDYFWYAPFSQLLPAPNCHGFLV >KJB06309 pep chromosome:Graimondii2_0_v6:1:3217840:3221914:1 gene:B456_001G035000 transcript:KJB06309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRYKAGLFLIGTVVVIWVTSAEVTQDIFADYKQPFAVTYLGASLMVIYLPIAFIKDWVCNLLRRRSSKTVKDIESTDETSNELNSPLRHKIYEMELQGTLVRKDSDADFSPHIEGKPLVSKQKDEVQFLKQDKQLTTREIATIGFYIAPIWFVTEYLSNAALARTSVASTTVLSSTAGLFTLFIGAFLGQDSLNVAKVVAVFVSMAGVVMTTLGKTWAADDSIGSANGKRSLVGDLFGLLSAMSYGLFTVLLKKFAGEEGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKLDEVVLANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMLIHGRHYSAIYILGSAQVFAGFVIANLSDWMSRKLGL >KJB06313 pep chromosome:Graimondii2_0_v6:1:3218349:3221914:1 gene:B456_001G035000 transcript:KJB06313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIYLPIAFIKDWVCNLLRRRSSKTVKDIESTDETSNELNSPLRHKIYEMELQGTLVRKDSDADFSPHIEGKPLVSKQKDEVQFLKQDKQLTTREIATIGFYIAPIWFVTEYLSNAALARTSVASTTVLSSTAGLFTLFIGAFLGQDSLNVAKVVAVFVSMAGVVMTTLGKTWAADDSIGSANGKRSLVGDLFGLLSAMSYGLFTVLLKKFAGEEGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKLDEVVLANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMLIHGRHYSAIYILGSAQVFAGFVIANLSDWMSRKLGL >KJB06312 pep chromosome:Graimondii2_0_v6:1:3217862:3221914:1 gene:B456_001G035000 transcript:KJB06312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRYKAGLFLIGTVVVIWVTSAEVTQDIFADYKQPFAVTYLGASLMVIYLPIAFIKDWVCNLLRRRSSKTVKDIESTDETSNELNSPLRHKIYEMELQGTLVRKDSDADFSPHIEGKPLVSKQKDEVQFLKQDKQLTTREIATIGFYIAPIWFVTEYLSNAALARTSVASTTVLSSTAGLFTLFIGAFLGQDSLNVAKVVAVFVSMAGVVMTTLGKTWAADDSIGSANGKRSLVGDLFGLLSAMSYGLFTVLLKKFAGEEGERVDVQKLFGYIGLFTLVALWWLALGIEPKFTIPHSAKLDEVVLANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMLIHGRHYSAIYILGSAQVFAGFVIANLSDWMSRKLGL >KJB06307 pep chromosome:Graimondii2_0_v6:1:3217813:3221865:1 gene:B456_001G035000 transcript:KJB06307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQGTLVRKDSDADFSPHIEGKPLVSKQKDEVQFLKQDKQLTTREIATIGFYIAPIWFVTEYLSNAALARTSVASTTVLSSTAGLFTLFIGAFLGQDSLNVAKVVAVFVSMAGVVMTTLGKTWAADDSIGSANGKRSLVGDLFGLLSAMSYGLFTVLLKKFAGEEGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKLDEVVLANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMLIHGRHYSAIYILGSAQVFAGFVIANLSDWMSRKLGL >KJB06314 pep chromosome:Graimondii2_0_v6:1:3219643:3221542:1 gene:B456_001G035000 transcript:KJB06314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHMQKGTKRVIDNGVWLLQYLSNAALARTSVASTTVLSSTAGLFTLFIGAFLGQDSLNVAKVVAVFVSMAGVVMTTLGKTWAADDSIGSANGKRSLVGDLFGLLSAMSYGLFTVLLKKFAGEEGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKLDEVVLANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMLIHGRHYSAIYILGSAQVFAGFVIANLSDWMSRKLGL >KJB06311 pep chromosome:Graimondii2_0_v6:1:3217876:3221816:1 gene:B456_001G035000 transcript:KJB06311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRYKAGLFLIGTVVVIWVTSAEVTQDIFADYKQPFAVTYLGASLMVIYLPIAFIKDWVCNLLRRRSSKTVKDIESTDETSNELNSPLRHKIYEMELQGTLVRKDSDADFSPHIEGKPLVSKQKDEVQFLKQDKQLTTREIATIGFYIAPIWFVTEYLSNAALARTSVASTTVLSSTAGLFTLFIGAFLGQDSLNVAKVVAVFVSMAGVVMTTLGKTWAADDSIGSANGKRSLVGDLFGLLSAMSYGLFTVLLKKFAGEEGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKLDEVVLANGFVGSVLSDYFWYAPFSQLLPAPNCHGFLV >KJB06308 pep chromosome:Graimondii2_0_v6:1:3218783:3221816:1 gene:B456_001G035000 transcript:KJB06308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHMQKGTKRVIDNGVWLLQYLSNAALARTSVASTTVLSSTAGLFTLFIGAFLGQDSLNVAKVVAVFVSMAGVVMTTLGKTWAADDSIGSANGKRSLVGDLFGLLSAMSYGLFTVLLKKFAGEEGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKLDEVVLANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMLIHGRHYSAIYILGSAQVFAGFVIANLSDWMSRKLGL >KJB06741 pep chromosome:Graimondii2_0_v6:1:985799:987587:1 gene:B456_001G010200 transcript:KJB06741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MSKKRGLSLEEKREKILQIFYESQDFFLLKELEKLGPKKGVITQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVQRKLESDLENSKKKFVELVDKCNELKKGREESDERETALAELKAIELKHNELKEEMVQYADNDPAAFEAMSLVFVWTTFVYEIQHV >KJB06740 pep chromosome:Graimondii2_0_v6:1:985799:989252:1 gene:B456_001G010200 transcript:KJB06740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MSKKRGLSLEEKREKILQIFYESQDFFLLKELEKLGPKKGVITQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVQRKLESDLENSKKKFVELVDKCNELKKGREESDERETALAELKAIELKHNELKEEMVQYADNDPAAFEAMKKAIEVAHGAANRWTDNIFTLRQWCSNNFPEAKEQLEHMYKEIGITDDFDYVELSPAAIQICAVGDEEGNP >KJB06742 pep chromosome:Graimondii2_0_v6:1:985698:989189:1 gene:B456_001G010200 transcript:KJB06742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MSKKRGLSLEEKREKILQIFYESQDFFLLKELEKLGPKKGVITQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVQRKLESDLENSKKKFVELVDKCNELKKGREESDERETALAELKAIELKHNELKEEMVQYADNDPAAFEAMKKAIEVAHGAANRWTDNIFTLRQWCSNNFPEAKEQLEHMYKEIGITDDFDYVELSPAAIQICAVGDEEGNP >KJB10146 pep chromosome:Graimondii2_0_v6:1:29823846:29825805:1 gene:B456_001G186700 transcript:KJB10146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGICAKKVVVDARHHMLGRLASIVAKELLNGQKVVVVRCEEICMSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKIFWRTVRGMIPHKTKRGAAALARLKAYEGIPAPFDKIKRMVIPDALKVLRLQKGHKYCLLGRLSSEVGWNHYDTIRELEKKRKERAQVSYERKKQLNKLRVKAEKTAEEKLGSQLDLLDPVKY >KJB10038 pep chromosome:Graimondii2_0_v6:1:27787047:27798032:1 gene:B456_001G181500 transcript:KJB10038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEAVVADSSAMMDYTSAAYNSAGSGAYSSQATGDPTASGGYPNSAGQEGQSSAMYDAGNATTVENGNAFDNLGGASAAPEFVDGSAPTMSAEEERLWSILRANSLDFNAWTVLIEETEKVAENNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCAFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSRLAAIYTRIFENPNQQLDRYFNSFKELAGSRPLSELRAAEEAAANVSGPVSEADGQVNEGEVHPDAAEQMQKPLTAGSTEAEELEKYVAIREELYKKAKELDSKIIGFETAIRRPYFHVRPLNVAELENWHNYLDFVEREGDFNKVVKLYERCLIACANYPEYWIRYVLCMEASGSMDLANNALVRATQVFVKRQPEIHLFAARFKEQNGDIEGAQAAYHLVHSEISPGLLEAIIKHANMECRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMLYAQYSRFSYLVSGNAKKAREILTGALDHVQLSKPFLEALIHFETILPSPRQIDYLQSLVDKFISPNSDGSAADKEDLSSIFLEFLSLFDDVQSIKRAEDRHAKLFLPHRPMSELRKRHAEEFLSSDKTKLVKSYSGAPSPGQSLMGAYPNAQNQWPAGYGAQPQTWPPTTQAQAQPWTSGYSQQAAYGAYSSYGSNYAAPQVPTSVPQIASYGVYPTTTYPMQSFPQQSYAQPTAATTLTPAQQPPPAAAAAPQAYYGGPYY >KJB10035 pep chromosome:Graimondii2_0_v6:1:27787047:27798032:1 gene:B456_001G181500 transcript:KJB10035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEAVVADSSAMMDYTSAAYNSAGSGAYSSQATGDPTASGGYPNSAGQEGQSSAMYDAGNATTVENGNAFDNLGGASAAPEFVDGSAPTMSAEEERLWSILRANSLDFNAWTVLIEETEKVAENNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCAFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSRLAAIYTRIFENPNQQLDRYFNSFKELAGSRPLSELRAAEEAAANVSGPVSEADGQVNEGEVHPDAAEQMQKPLTAGSTEAEELEKYVAIREELYKKAKELDSKIIGFETAIRRPYFHVRPLNVAELENWHNYLDFVEREGDFNKVVKLYERCLIACANYPEYWIRYVLCMEASGSMDLANNALVRATQVFVKRQPEIHLFAARFKEQNGDIEGAQAAYHLVHSEISPGLLEAIIKHANMECRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMLYAQYSRFSYLVSGNAKKAREILTGALDHVQLSKPFLEALIHFETILPSPRQIDYLQSLVDKFISPNSDGSAADKEDLSSIFLESIKRAEDRHAKLFLPHRPMSELRKRHAEEFLSSDKTKLVKSYSGAPSPGQSLMGAYPNAQNQWPAGYGAQPQTWPPTTQAQAQPWTSGYSQQAAYGAYSSYGSNYAAPQVPTSVPQIASYGVYPTTTYPMQSFPQQSYAQPTAATTLTPAQQPPPAAAAAPQAYYGGPYY >KJB10041 pep chromosome:Graimondii2_0_v6:1:27787047:27798032:1 gene:B456_001G181500 transcript:KJB10041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEAVVADSSAMMDYTSAAYNSAGSGAYSSQATGDPTASGGYPNSAGQEGQSSAMYDAGNATTVENGNAFDNLGGASAAPEFVDGSAPTMSAEEERLWSILRANSLDFNAWTVLIEETEKVAENNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCAFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSRLAAIYTRIFENPNQQLDRYFNSFKELAGSRPLSELRAAEEAAANVSGPVSEADGQVNEGEVHPDAAEQMQKPLTAGSTEAEELEKYVAIREELYKKAKELDSKIIGFETAIRRPYFHVRPLNVAELENWHNYLDFVEREGDFNKVVKLYERCLIACANYPEYWIRYVLCMEASGSMDLANNALVRATQVFVKRQPEIHLFAARFKEQNGDIEGAQAAYHLVHSEISPGLLEAIIKHANMECRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMLYAQYSRFSYLVSGNAKKAREILTGALDHVQLSKPFLEALIHFETILPSPRQIDYLQSLVDKFISPNSDGSAADKEDLSSIFLEFLSLFDDVQSIKRAEDRHAKLFLPHRPMSELRKRHAEEFLSSDKTKLVKSYSGAPSPGQSLMGAYPNAQNQWPAGYGAQPQTWPPTTQAQAQPWTSGYSQQAAYGAYSSYGSNYAAPQVPTSVPQIASYGVYPTTTYPMQSFPQQSYAQPTAATTLTPAQQPPPAAAAAPQAYYGGPYY >KJB10039 pep chromosome:Graimondii2_0_v6:1:27787047:27798054:1 gene:B456_001G181500 transcript:KJB10039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEAVVADSSAMMDYTSAAYNSAGSGAYSSQATGDPTASGGYPNSAGQEGQSSAMYDGNATTVENGNAFDNLGGASAAPEFVDGSAPTMSAEEERLWSILRANSLDFNAWTVLIEETEKVAENNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCAFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSRLAAIYTRIFENPNQQLDRYFNSFKELAGSRPLSELRAAEEAAANVSGPVSEADGQVNEGEVHPDAAEQMQKPLTAGSTEAEELEKYVAIREELYKKAKELDSKIIGFETAIRRPYFHVRPLNVAELENWHNYLDFVEREGDFNKVVKLYERCLIACANYPEYWIRYVLCMEASGSMDLANNALVRATQVFVKRQPEIHLFAARFKEQNGDIEGAQAAYHLVHSEISPGLLEAIIKHANMECRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMLYAQYSRFSYLVSGNAKKAREILTGALDHVQLSKPFLEALIHFETILPSPRQIDYLQSLVDKFISPNSDGSAADKEDLSSIFLEFLSLFDDVQSIKRAEDRHAKLFLPHRPMSELRKRHAEEFLSSDKTKLVKSYSGAPSPGQSLMGAYPNAQNQWPAGYGAQPQTWPPTTQAQAQPWTSGYSQQAAYGAYSSYGSNYAAPQVPTSVPQIASYGVYPTTTYPMQSFPQQSYAQPTAATTLTPAQQPPPAAAAAPQAYYGGPYY >KJB10040 pep chromosome:Graimondii2_0_v6:1:27787047:27798054:1 gene:B456_001G181500 transcript:KJB10040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEAVVADSSAMMDYTSAAYNSAGSGAYSSQATGDPTASGGYPNSAGQEGQSSAMYDGNATTVENGNAFDNLGGASAAPEFVDGSAPTMSAEEERLWSILRANSLDFNAWTVLIEETEKVAENNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCAFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSRLAAIYTRIFENPNQQLDRYFNSFKELAGSRPLSELRAAEEAAANVSGPVSEADGQVNEGEVHPDAAEQMQKPLTAGSTEAEELEKYVAIREELYKKAKELDSKIIGFETAIRRPYFHVRPLNVAELENWHNYLDFVEREGDFNKVVKLYERCLIACANYPEYWIRYVLCMEASGSMDLANNALVRATQVFVKRQPEIHLFAARFKEQNGDIEGAQAAYHLVHSEISPGLLEAIIKHANMECRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMLYAQYSRFSYLVSGNAKKAREILTGALDHVQLSKPFLEALIHFETILPSPRQIDYLQSLVDKFISPNSDGSAADKEDLSSIFLEAAYGAYSSYGSNYAAPQVPTSVPQIASYGVYPTTTYPMQSFPQQSYAQPTAATTLTPAQQPPPAAAAAPQAYYGGPYY >KJB10034 pep chromosome:Graimondii2_0_v6:1:27787047:27794306:1 gene:B456_001G181500 transcript:KJB10034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEAVVADSSAMMDYTSAAYNSAGSGAYSSQATGDPTASGGYPNSAGQEGQSSAMYDAGNATTVENGNAFDNLGGASAAPEFVDGSAPTMSAEEERLWSILRANSLDFNAWTVLIEETEKVAENNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCAFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSRLAAIYTRIFENPNQQLDRYFNSFKELAGSRPLSELRAAEEAAANVSGPVSEADGQVNEGEVHPDAAEQMQKPLTAGSTEAEELEKYVAIREELYKKAKELDSKIIGFETAIRRPYFHVRPLNVAELENWHNYLDFVEREGDFNKVVKLYERCLIACANYPEYWIRYVLCMEASGSMDLANNALVRATQVFVKRQPEIHLFAARFKEQNGDIEGAQAAYHLVHSEISPGLLEAIIKHANMECRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMLYAQYSRFSYLVSGNAKKAREILTGALDHVQLSKPFLEALIHFETILPSPRQIDYLQSLVDKFISPNSDGSAADKEDLSSIFLEVIVFVKMQMTCLLTAFCGISTFGIECLVIKMYSA >KJB10036 pep chromosome:Graimondii2_0_v6:1:27787047:27798032:1 gene:B456_001G181500 transcript:KJB10036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEAVVADSSAMMDYTSAAYNSAGSGAYSSQATGDPTASGGYPNSAGQEGQSSAMYDAGNATTVENGNAFDNLGGASAAPEFVDGSAPTMSAEEERLWSILRANSLDFNAWTVLIEETEKVAENNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCAFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSRLAAIYTRIFENPNQQLDRYFNSFKELAGSRPLSELRAAEEAAANVSGPVSEADGQVNEGEVHPDAAEQMQKPLTAGSTEAEELEKYVAIREELYKKAKELDSKIIGFETAIRRPYFHVRPLNVAELENWHNYLDFVEREGDFNKVVKLYERCLIACANYPEYWIRYVLCMEASGSMDLANNALVRATQVFVKRQPEIHLFAARFKEQNGDIEGAQAAYHLVHSEISPGLLEAIIKHANMECRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMLYAQYSRFSYLVSGNAKKAREILTGALDHVQLSKPFLEALIHFETILPSPRQIDYLQSLVDKFISPNSDGSAADKEDLSSIFLEAHVGIKKTSC >KJB10037 pep chromosome:Graimondii2_0_v6:1:27787614:27798032:1 gene:B456_001G181500 transcript:KJB10037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEEERLWSILRANSLDFNAWTVLIEETEKVAENNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCAFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSRLAAIYTRIFENPNQQLDRYFNSFKELAGSRPLSELRAAEEAAANVSGPVSEADGQVNEGEVHPDAAEQMQKPLTAGSTEAEELEKYVAIREELYKKAKELDSKIIGFETAIRRPYFHVRPLNVAELENWHNYLDFVEREGDFNKVVKLYERCLIACANYPEYWIRYVLCMEASGSMDLANNALVRATQVFVKRQPEIHLFAARFKEQNGDIEGAQAAYHLVHSEISPGLLEAIIKHANMECRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMLYAQYSRFSYLVSGNAKKAREILTGALDHVQLSKPFLEALIHFETILPSPRQIDYLQSLVDKFISPNSDGSAADKEDLSSIFLEFLSLFDDVQSIKRAEDRHAKLFLPHRPMSELRKRHAEEFLSSDKTKLVKSYSGAPSPGQSLMGAYPNAQNQWPAGYGAQPQTWPPTTQAQAQPWTSGYSQQAAYGAYSSYGSNYAAPQVPTSVPQIASYGVYPTTTYPMQSFPQQSYAQPTAATTLTPAQQPPPAAAAAPQAYYGGPYY >KJB11617 pep chromosome:Graimondii2_0_v6:1:54541511:54544613:1 gene:B456_001G268500 transcript:KJB11617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDSVHGQWKHHELKVKDSKTLLFGEKPVTVFGIKNPEEIPWGEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLDIVSNASCTTNCLAPLAKVIHDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKASYEDIKAAIKEASQTTMKGILGYVDEDLVSTDFVGDSRSSIFDAKAGIALNDNFTKLVAWYDNEWGYSSRVIDLVRHMASC >KJB11619 pep chromosome:Graimondii2_0_v6:1:54541628:54544603:1 gene:B456_001G268500 transcript:KJB11619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDSVHGQWKHHELKVKDSKTLLFGEKPVTVFGIKNPEEIPWGEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLDIVSNASCTTNCLAPLAKVIHDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKASYEDIKAAIKEASQTTMKGILGYVDEDLVSTDFVGDSQAFLMLRPESH >KJB11618 pep chromosome:Graimondii2_0_v6:1:54541628:54544603:1 gene:B456_001G268500 transcript:KJB11618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMYDSVHGQWKHHELKVKDSKTLLFGEKPVTVFGIKNPEEIPWGEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLDIVSNASCTTNCLAPLAKVIHDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKASYEDIKAAIKEASQTTMKGILGYVDEDLVSTDFVGDSRSSIFDAKAGIALNDNFTKLVAWYDNEWGYSSRVIDLVRHMASC >KJB11620 pep chromosome:Graimondii2_0_v6:1:54541870:54544322:1 gene:B456_001G268500 transcript:KJB11620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLLLMILSSPLITWFTYMFKYDSVHGQWKHHELKVKDSKTLLFGEKPVTVFGIKNPEEIPWGEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLDIVSNASCTTNCLAPLAKVIHDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKASYEDIKAAIKEASQTTMKGILGYVDEDLVSTDFVGDSRSSIFDAKAGIALNDNFTKLVAWYDNEWGYSSRVIDLVRHMASC >KJB11221 pep chromosome:Graimondii2_0_v6:1:50296045:50297677:1 gene:B456_001G248600 transcript:KJB11221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSTGEGSAEITERRKLIQRDIDAAIILIQLSNDKFCLLETKLQSQSRYGACHSKGDQDGTEVGGDSTRPSLESINYDVVGEFGMNRSSLLKLKKDGRTSIHGKKLYKSSLEKCGLKKVKPELGSTSVSKVASSTVQETKSLTSEPFQKLQFGLNCPTAHPGFSFSIIHFLSAILTAMITLYAKVDPSASSNNPAESNPKRISGYHFLTMSEIVEQIRSNPGDPCTLKVQESLQELVRDALLILSLTTAPLGSKAWEVLTIYSKCNKSWAWIGPSAIKPHNYTNEAVLLEAWGLPRRKVQKLVHCFVDWLKKAQDSLLKIGDLPAPPLTLMHQTVNEEAVRYLVPERAFSYTALDGKKSTVVPLSSSKPSLKCREHFMLKADRPPNIIVLSLVRDAAALLRDRMGIGADFCVLIRDSQYIVEEIPDEQLNQIISEKKLWFYLHRDREEDDFKYDAPLSTRKRRRHR >KJB11772 pep chromosome:Graimondii2_0_v6:1:55451709:55455829:1 gene:B456_001G276500 transcript:KJB11772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISRLSRVGFRVAKELSRGGYTYMSRARYTQRPCSQYQWSSLELLPETRAFQGSIFQKHHGLSTSASDGASAGGDEEKETISVTFVSKDGEEIPIRVPIGMSMLAAAHENDIELEGACEGSLACSTCHVIVMDMEYYNKLEDPTDEENDMLDLAFGLTETSRLGCQIVASPELDGIRLAIPAATRNFAVDGYVPKPH >KJB11773 pep chromosome:Graimondii2_0_v6:1:55451725:55455340:1 gene:B456_001G276500 transcript:KJB11773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISRLSRVGFRVAKELSRGGYTYMSRARYTQRPCSQYQWSSLELLPETRAFQGSIFQKHHGLSTSASDGASAGGDEEKETISVTFVSKDGEEIPIRVPIGMSMLAAAHENDIELEGACEGSLACSTCHVIVMDMEYYNKLEDPTDEENDMLDLAFGLTETSRLGCQIVASPELDGIRLAIPAATRNFAVDGYVPKPH >KJB11059 pep chromosome:Graimondii2_0_v6:1:47708719:47712839:1 gene:B456_001G238800 transcript:KJB11059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFWKCCFFYSRMDVIWNPDDYSVEDLVVLLSEKDLTAVKLLSERTKAIGKVAYSAAIGGKIVALAALLIVAAEKVNDSVVVPCDAYSGSKEKDTVYQCVIREALSSGRRDKSPSRTAKKNCLSPTKVAPDMKRKLLLCEIELLQLFGADSHNSGGDKKMTSSLILAIKARDEAVIELLLKTNMDVNDADSEGNSALHWSLRMSWGSSSQQLKILWLLLKHGARVNQKDKLELTSFHIAAANGNTQALQVLLLEDPDGIHYRTIMKETPLFFAVKNDHIGCAELLQRWGASNEVLNLRRERPIDLAKSQDMRFILNKTYITLMHRNSPVEQKYTPRFQGDEVIFDTCETLLTMADEGSYTERTNTNVKTEICKYFESGGCVRGSKCFYAHGKEELRQAKHGMHLVNSPAAEKLKRKIFVGGLHPLLDSESLSKFFQDEFGSVEDAHVVSIKTGDELQSRGFGFVTFKHEKSVSKAVQAHYVTMMGKQVEIKSAVGRWDESLKLLTQQHPKDPNDQHQPPVESSIEMTADEMPRRKALEETKADKISWVNKLLHGQPKTYSESQVLTSPIASNQNIPVWLRTFKKWLPGFLQEVSKKLKEGEYPLSSLKADFRAAFGLELDHVSLGYTKLSDFMRSFPDLCCMKVMPLGGCGSPNHMVLIPSPPRPDWKSLQPLNMHCCPPSCTAPPHENTDTDPRNLKHPPDLLSNSCEDISISSSQVDPCKNSNFLQFLKSDKGGTQCRGFNERKLGHTGRHLVLEALLRKRNNSSIFFLRQFDFYHNYKASVKQGKCFWCNQSKLLWANFPCQHLLWCGECKTEAARAAGDSDHRCVVCDAKVQTFILPTLDRYPQSLHGKSLKTKEFPPFDPSCIPKNFGISFCLS >KJB11060 pep chromosome:Graimondii2_0_v6:1:47707709:47713026:1 gene:B456_001G238800 transcript:KJB11060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEKENRRVDGSSNGDNFQNITPIQAALLNSLETVLINSVIQDDRTRFLTHSRQLVDDLDDDPLNRRSVVLKLLLICCYFDAIECATSLFNGEVETDFLPLVNEVDTATEMTALQAAAEAHSVRCLELLLKKRARTEVKSKDGRSLLALEMALSSSRMDVIWNPDDYSVEDLVVLLSEKDLTAVKLLSERTKAIGKVAYSAAIGGKIVALAALLIVAAEKVNDSVVVPCDAYSGSKEKDTVYQCVIREALSSGRRDKSPSRTAKKNCLSPTKVAPDMKRKLLLCEIELLQLFGADSHNSGGDKKMTSSLILAIKARDEAVIELLLKTNMDVNDADSEGNSALHWSLRMSWGSSSQQLKILWLLLKHGARVNQKDKLELTSFHIAAANGNTQALQVLLLEDPDGIHYRTIMKETPLFFAVKNDHIGCAELLQRWGASNEVLNLRRERPIDLAKSQDMRFILNKTYITLMHRNSPVEQKYTPRFQGDEVIFDTCETLLTMADEGSYTERTNTNVKTEICKYFESGGCVRGSKCFYAHGKEELRQAKHGMHLVNSPAAEKLKRKIFVGGLHPLLDSESLSKFFQDEFGSVEDAHVVSIKTGDELQSRGFGFVTFKHEKSVSKAVQAHYVTMMGKQVEIKSAVGRWDESLKLLTQQHPKDPNDQHQPPVESSIEMTADEMPRRKALEETKADKISWVNKLLHGQPKTYSESQVLTSPIASNQNIPVWLRTFKKWLPGFLQEVSKKLKEGEYPLSSLKADFRAAFGLELDHVSLGYTKLSDFMRSFPDLCCMKVMPLGGCGSPNHMVLIPSPPRPDWKSLQPLNMHCCPPSCTAPPHENTDTDPRNLKHPPDLLSNSCEDISISSSQVDPCKNSNFLQFLKSDKGGTQCRGFNERKLGHTGRHLVLEALLRKRNNSSIFFLRQFDFYHNYKASVKQGKCFWCNQSKLLWANFPCQHLLWCGECKTEAARAAGDSDHRCVVCDAKVQTFILPTLDRYPQSLHGKSLKTKEFPPFDPSCIPK >KJB11061 pep chromosome:Graimondii2_0_v6:1:47707709:47713026:1 gene:B456_001G238800 transcript:KJB11061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEKENRRVDGSSNGDNFQNITPIQAALLNSLETVLINSVIQDDRTRFLTHSRQLVDDLDDDPLNRRSVVLKLLLICCYFDAIECATSLFNGEVETDFLPLVNEVDTATEMTALQAAAEAHSVRCLELLLKKRARTEVKSKDGRSLLALEMALSSSRMDVIWNPDDYSVEDLVVLLSEKDLTAVKLLSERTKAIGKVAYSAAIGGKIVALAALLIVAAEKVNDSVVVPCDAYSGSKEKDTVYQCVIREALSSGRRDKSPSRTAKKNCLSPTKVAPDMKRKLLLCEIELLQLFGADSHNSGGDKKMTSSLILAIKARDEAVIELLLKTNMDVNDADSEGNSALHWSLRMSWGSSSQQLKILWLLLKHGARVNQKDKLELTSFHIAAANGNTQALQVLLLEDPDGIHYRTIMKETPLFFAVKNDHIGCAELLQRWGASNEVLNLRRERPIDLAKSQDMRFILNKTYITLMHRNSPVEQKYTPRFQGDEVIFDTCETLLTMADEGSYTERTNTNVKTEICKYFESGGCVRGSKCFYAHGKEELRQAKHGMHLVNSPAAEKLKRKIFVGGLHPLLDSESLSKFFQDEFGSVEDAHVVSIKTGDELQSRGFGFVTFKHEKSVSKAVQAHYVTMMGKQVEIKSAVGRWDESLKLLTQQHPKDPNDQHQPPVESSIEMTADEMPRRKALEETKADKISWVNKLLHGQPKTYSESQVLTSPIASNQNIPVWLRTFKKWLPGFLQEVSKKLKEGEYPLSSLKADFRAAFGLELDHVSLGYTKLSDFMRSFPDLCCMKVMPLGGCGSPNHMVLIPSPPRPDWKSLQPLNMHCCPPSCTAPPHENTDTDPRNLKHPPDLLSNSCEDISISSSQVDPCKNSNFLQFLKSDKGGTQCRGFNERKLGHTGRHLVLEALLRKRNNSSIFFLRQFDFYHNYKASVKQGKCFWCNQSKLLWANFPCQHLLWCGECKTEAARAAGDSDHRCVVCDAKVQTFILPTLDRYPQSLHGKSLKTKEFPPFDPSCIPKNFGISFCLS >KJB11368 pep chromosome:Graimondii2_0_v6:1:52634531:52636794:-1 gene:B456_001G254800 transcript:KJB11368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFSKKPNPKEALRESKREMTRATRGIEKEIGALQSEEKKLVAEIKRTAKTGNEAATKTLARQLVRLRQQIAKLQSSRAQMRGISTHTQAMHAQSSVAIGMKGATKAMSAMNKQMAPEKQAKIIREFQRQSSQMDMTTEMMSDAIDDALDNDEAEDETEDLTNQVLDEIGVDVASQSDVYKFLQLSSAPRGRIAGKNTEAVSRYFSVFSLVLLDWNVFCSSETHVFDEDPHLRFEFHAQRFPPYLQ >KJB11367 pep chromosome:Graimondii2_0_v6:1:52634098:52636877:-1 gene:B456_001G254800 transcript:KJB11367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFSKKPNPKEALRESKREMTRATRGIEKEIGALQSEEKKLVAEIKRTAKTGNEAATKTLARQLVRLRQQIAKLQSSRAQMRGISTHTQAMHAQSSVAIGMKGATKAMSAMNKQMAPEKQAKIIREFQRQSSQMDMTTEMMSDAIDDALDNDEAEDETEDLTNQVLDEIGVDVASQSDVYKFLQLSSAPRGRIAGKNTEAVSSSGVDELEKRLAALRNP >KJB11369 pep chromosome:Graimondii2_0_v6:1:52634098:52636927:-1 gene:B456_001G254800 transcript:KJB11369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRATRGIEKEIGALQSEEKKLVAEIKRTAKTGNEAATKTLARQLVRLRQQIAKLQSSRAQMRGISTHTQAMHAQSSVAIGMKGATKAMSAMNKQMAPEKQAKIIREFQRQSSQMDMTTEMMSDAIDDALDNDEAEDETEDLTNQVLDEIGVDVASQLSSAPRGRIAGKNTEAVSSSGVDELEKRLAALRNP >KJB11370 pep chromosome:Graimondii2_0_v6:1:52635029:52636877:-1 gene:B456_001G254800 transcript:KJB11370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFSKKPNPKEALRESKREMTRATRGIEKEIGALQSEEKKLVAEIKRTAKTGNEAATKTLARQLVRLRQQIAKLQSSRAQMRGISTHTQAMHAQSSVAIGMKGATKAMSAMNKQMAPEKQAKIIREFQRQSSQMDMTTEMMSDAIDDALDNDEAEDETEDLTNQV >KJB11366 pep chromosome:Graimondii2_0_v6:1:52634066:52636995:-1 gene:B456_001G254800 transcript:KJB11366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFSKKPNPKEALRESKREMTRATRGIEKEIGALQSEEKKLVAEIKRTAKTGNEAATKTLARQLVRLRQQIAKLQSSRAQMRGISTHTQAMHAQSSVAIGMKGATKAMSAMNKQMAPEKQAKIIREFQRQSSQMDMTTEMMSDAIDDALDNDEAEDETEDLTNQVLDEIGVDVASQLSSAPRGRIAGKNTEAVSSSGVDELEKRLAALRNP >KJB10527 pep chromosome:Graimondii2_0_v6:1:40262627:40265259:1 gene:B456_001G206000 transcript:KJB10527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGDISPKKERKSRRSKHVVDEKAPLLPKRQDEAGGYDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLILGIAMIVFMAFLTDASIEFLLRFSRAGKSTSYGGLMGDAFGKYGRIFLQVCVLVNNIGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWLGEHWWNGRTFVLIVTTLGIFSPLACFKRIDSLRFTSALSVALAVVFLVITVGIAIIKLISGTVMMPRLLPDVTDLTSFWKLFTTVPVLVTAYICHYNVHSIDNELEDSTQIRPVVRTALALCSTVYIMTSFFGFLLFGDATMDDVLANFDTNLGIPYSSLLNDAVRVSYAAHLMLVFPIVFYPLRLNMDGLLFPYSSPLAQSNIRFACITAGLIAVIFLGANFIPSIWDAFQFTGATAAVCLGFIFPAAVTLR >KJB10528 pep chromosome:Graimondii2_0_v6:1:40262627:40266010:1 gene:B456_001G206000 transcript:KJB10528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGDISPKKERKSRRSKHVVDEKAPLLPKRQDEAGGYDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLILGIAMIVFMAFLTDASIEFLLRFSRAGKSTSYGGLMGDAFGKYGRIFLQVCVLVNNIGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWLGEHWWNGRTFVLIVTTLGIFSPLACFKRIDSLRFTSALSVALAVVFLVITVGIAIIKLISGTVMMPRLLPDVTDLTSFWKLFTTVPVLVTAYICHYNVHSIDNELEDSTQIRPVVRTALALCSTVYIMTSFFGFLLFGDATMDDVLANFDTNLGIPYSSLLNDAVRVSYAAHLMLVFPIVFYPLRLNMDGLLFPYSSPLAQSNIRFACITAGLIAVIFLGANFIPSIWDAFQFTGATAAVCLGFIFPAAVTLRNHHFIATKKDKMLALFMIVLAVFSNAVAIYSDAYALFKKNSGAGPRA >KJB10526 pep chromosome:Graimondii2_0_v6:1:40262577:40266101:1 gene:B456_001G206000 transcript:KJB10526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGDISPKKERKSRRSKHVVDEKAPLLPKRQDEAGGYDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLILGIAMIVFMAFLTDASIEFLLRFSRAGKSTSYGGLMGDAFGKYGRIFLQVCVLVNNIGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWLGEHWWNGRTFVLIVTTLGIFSPLACFKRIDSLRFTSALSVALAVVFLVITVGIAIIKLISGTVMMPRLLPDVTDLTSFWKLFTTVPVLVTAYICHYNVHSIDNELEDSTQIRPVVRTALALCSTVYIMTSFFGFLLFGDATMDDVLANFDTNLGIPYSSLLNDAVRVSYAAHLMLVFPIVFYPLRLNMDGLLFPYSSPLAQSNIRFACITAGLIAVIFLGANFIPSIWDAFQFTGATAAVCLGFIFPAAVTLRNHHFIATKKDKMLALFMIVLAVFSNAVAIYSDAYALFKKNSGAGPRA >KJB08830 pep chromosome:Graimondii2_0_v6:1:12033117:12035489:1 gene:B456_001G106600 transcript:KJB08830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGYPTIPRASSEVFSDSLSAPDVLTTSPLIFPTLCPVTAELFESVTEAFHSGSSSGSYNSPSSLTSCSTTTTQRRSLMQRSVSSHSLKIQKNGFHNCHLATSLNESIDSDSVPVRRVFSTGDLDQGNSMGQRNWRWESPLGSESNAIIEGMSRACRYSPQQKKERIERYRSKRNLRNFNKKIKYACRKTLADSRPRVRGRFVRNEEIEKINDHQVEWIHVNGEEEDDEENWIAFIDSLSPNLINP >KJB08831 pep chromosome:Graimondii2_0_v6:1:12033282:12034099:1 gene:B456_001G106600 transcript:KJB08831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGYPTIPRASSEVFSDSLSAPDVLTTSPLIFPTLCPVTAELFESVTEAFHSGSSSGSYNSPSSLTSCSTTTTQRRSLMQRSVSSHSLKIQKNGFHNCHLATSLNESIDSDSVPVRRVFSTGDLDQGNSMGQRNWRWESPLGSESNAIIEGMSRACRYSPQQKKERIERYRSKRNLRNFNKKIKVSINYLPQFPNLFLLFQLCF >KJB07430 pep chromosome:Graimondii2_0_v6:1:2351519:2354768:1 gene:B456_001G024900 transcript:KJB07430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAEAQQQEKVSSEVPAAEKKRWTLGDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLQQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRIVQVDLKFPPKPIVSSSAKDLISQMLVKDSSQRLPLHKLLEHPWIVQNADPSGIYKA >KJB11230 pep chromosome:Graimondii2_0_v6:1:50144507:50147030:1 gene:B456_001G248300 transcript:KJB11230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKLRTHRRRQRWADKAYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KJB11229 pep chromosome:Graimondii2_0_v6:1:50144406:50147030:1 gene:B456_001G248300 transcript:KJB11229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRGMGAGRKLRTHRRRQRWADKAYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KJB10991 pep chromosome:Graimondii2_0_v6:1:47278447:47288193:-1 gene:B456_001G235400 transcript:KJB10991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARAKDTKERMAAVERLYQLLEGSRKSLTSSEVTSLVDCCLDLLKDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSYAWTHRSWRVREEFARTVTSSISLFSSTELPLQRAILPPILQMLNDTNPGVREAAILCIEEMYTQAGTQFRDELHRHQLPGSMMRDINARLEKIEPQVRHSDGTLGGFATGEIKPAVRNPKKSSPRAKSSSRETSLFGGESDITEKPIDPIQVYSDKELVREFEKIASTLVPEKDWSIRIAAMQRLEGLVSGGAADYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLSFLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRSAVLRARCCEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAMSEVRSTARMCYRMFSKTWPDRSRRLFTSFDPAIQRIINEEDGGMHRRHASPSVRDRNVKMPTSSQSSASAHLPGYQTSAIVAMDRTSTLSSGTPLTSGLNLSQSKSLGKGAGRTLESVLHASKQKVSAIESMLRGLDISQKQRSTSLDLGVDPPSSRDPPFPAVVPASNSLTSSLGLESTTSTVGKGSNRNGGLIMSDIISQIQASKESGELSYRTSATTESLPAFISYSAKRASERQERGSLEENIDIREARRSVNPHVDRQYLDTPYRDVNSRDLQNNHVPNFQRPLLRKHVAGRMSAGRRKSFDDSQLSLGDMSSYVEGPASLSDALSEGLSPSSDWSARVAAFTYLRSLLQQGPKGIQEVVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSMTLEIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAVTSFNKHAMNSEGSSNIGILKLWLAKLTPLVHDKNTKLKDVAITCIISVYSNFDPTAVLNFILSLSVEEQNFLRRALKRYTPRIEVDLINYLQNKKERQRKSSYDPSDFVGTSSEEGYIGVSKKSLLLGRYSAGSTDGDGGRKWGSTQESTLITGNIGLATSDETQDNLFQNLETSSNTNVFPSKTKESSYMVNSICQTLGSQTGQIENLESSVNLEALSTPHLEINCLSRFDTLETTEAATHNGTSSELDLNHLKPAAIKVRSMPDTGPSIPQILHVICNGNDESPTASKHNALQQLHEISVANDLSVWTKYANQILTAVLEVLDDSDFSIRELALSLIIEMLKNQKGVMGDSVEIVIEKLIHVVKDIVPKVSNEAEHCLNTVLSEYDPFRCLSVCGSLSNV >KJB10990 pep chromosome:Graimondii2_0_v6:1:47278434:47288494:-1 gene:B456_001G235400 transcript:KJB10990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARAKDTKERMAAVERLYQLLEGSRKSLTSSEVTSLVDCCLDLLKDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSYAWTHRSWRVREEFARTVTSSISLFSSTELPLQRAILPPILQMLNDTNPGVREAAILCIEEMYTQAGTQFRDELHRHQLPGSMMRDINARLEKIEPQVRHSDGTLGGFATGEIKPAVRNPKKSSPRAKSSSRETSLFGGESDITEKPIDPIQVYSDKELVREFEKIASTLVPEKDWSIRIAAMQRLEGLVSGGAADYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLSFLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRSAVLRARCCEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAMSEVRSTARMCYRMFSKTWPDRSRRLFTSFDPAIQRIINEEDGGMHRRHASPSVRDRNVKMPTSSQSSASAHLPGYQTSAIVAMDRTSTLSSGTPLTSGLNLSQSKSLGKGAGRTLESVLHASKQKVSAIESMLRGLDISQKQRSTSLDLGVDPPSSRDPPFPAVVPASNSLTSSLGLESTTSTVGKGSNRNGGLIMSDIISQIQASKESGELSYRTSATTESLPAFISYSAKRASERQERGSLEENIDIREARRSVNPHVDRQYLDTPYRDVNSRDLQNNHVPNFQRPLLRKHVAGRMSAGRRKSFDDSQLSLGDMSSYVEGPASLSDALSEGLSPSSDWSARVAAFTYLRSLLQQGPKGIQEVVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSMTLEIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAVTSFNKHAMNSEGSSNIGILKLWLAKLTPLVHDKNTKLKDVAITCIISVYSNFDPTAVLNFILSLSVEEQNFLRRALKRYTPRIEVDLINYLQNKKERQRKSSYDPSDFVGTSSEEGYIGVSKKSLLLGRYSAGSTDGDGGRKWGSTQESTLITGNIGLATSDETQDNLFQNLETSSNTNVFPSKTKESSYMVNSICQTLGSQTGQIENLESSVNLEALSTPHLEINCLSRFDTLETTEAATHNGTSSELDLNHLKPAAIKVRSMPDTGPSIPQILHVICNGNDESPTASKHNALQQLHEISVANDLSVWTKYANQILTAVLEVLDDSDFSIRELALSLIIEMLKNQKGVMGDSVEIVIEKLIHVVKDIVPKVSNEAEHCLNTVLSEYDPFRCLSVIVPLLVTEDEKTLVICINCLTKLVGRLSQEELTAQLPSFLPALFEAFGNQSADVRKTVVFCLVDIYIMLGKSFLPHLEGLNSTQLRLVTIYANRISQARTGTPIDAGHE >KJB11566 pep chromosome:Graimondii2_0_v6:1:54320405:54324204:1 gene:B456_001G266100 transcript:KJB11566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNGFFSTQEFNLDSKWLIDPQQLLVGPKIGEGAHAKVYEGKYKNQNVAIKVVRRGETPEEIARREGRFAREVAMLSKVQHKNLVKFIGACKEPVMVIVTELLLGGTLRRYLLNMRPKCLDMCVAIGFALDIARAMECLHSHGIIHRDLKPENLILTEDHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAEDLPEDLAAIVTSCWQEDPNARPNFTQIIQMLLHYLSTVSPPELVMMPPKRTTSENVVFPPESPGTSSLMAARDDVVETPKTVDGEDRRKGFFFCFNQCY >KJB11565 pep chromosome:Graimondii2_0_v6:1:54320405:54324190:1 gene:B456_001G266100 transcript:KJB11565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNGFFSTQEFNLDSKWLIDPQQLLVGPKIGEGAHAKVYEGKYKNQNVAIKVVRRGETPEEIARREGRFAREVAMLSKVQHKNLVKFIGACKEPVMVIVTELLLGGTLRRYLLNMRPKCLDMCVAIGFALDIARAMECLHSHGIIHRDLKPENLILTEDHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKVK >KJB08961 pep chromosome:Graimondii2_0_v6:1:13911812:13915282:-1 gene:B456_001G116600 transcript:KJB08961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWYRNLIKLSTPIKSKTPITKNLLQASLSHFSTANQPPASAQAQPSGLGPTKPHDKPRVVVLGSGWAGCRLMKGLDPKLYDIVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIARIQPSISSAPGSYFFLANSTAVDTEHHEVHCETVTDGTDTLDPWKFKISYDKLVIASGAQASTFGIHGVKEHAIFLREVHHAQEIRRKLLLNLMLSDVPGLPEEEKRRLLHCVVVGGGPTGVEFSGELSDFIRRDVHERYTHVKDYIHVTLIEANEILSSFDDRLRRYAIKHLTKSGVRLVRGIVKDVKPENLVLTDGSEVPYGLLVWSTGVGPSPFVNSLGLPKSPGGRIGVDEWLRVPTVQDVFSIGDCSGFLESTGKPVLPALAQVAERQGKYLANLLNKICKDGGGHANGAKDMNLGDPFEYKHLGSMATVGRFKALVDLRQSKEAKGISLAGFVSWFIWRSAYLTRVVSWRNRFYVAINWLTTFVFGRDISRI >KJB08959 pep chromosome:Graimondii2_0_v6:1:13912678:13915122:-1 gene:B456_001G116600 transcript:KJB08959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWYRNLIKLSTPIKSKTPITKNLLQASLSHFSTANQPPASAQAQPSGLGPTKPHDKPRVVVLGSGWAGCRLMKGLDPKLYDIVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIARIQPSISSAPGSYFFLANSTAVDTEHHEVHCETVTDGTDTLDPWKFKISYDKLVIASGAQASTFGIHGVKEHAIFLREVHHAQEIRRKLLLNLMLSDVPGLPEEEKRRLLHCVVVGGGPTGVEFSGELSDFIRRDVHERYTHVKDYIHVTLIEANEILSSFDDRLRRYAIKHLTKSGVRLVRGIVKDVKPENLVLTDGSEVPYGLLVWSTGVGPSPFVNSLGLPKSPGGRIGVDEWLRVPTVQDVFSIGDCSGFLESTGKPVLPALAQVQYRVGPKLCIN >KJB08960 pep chromosome:Graimondii2_0_v6:1:13911901:13915229:-1 gene:B456_001G116600 transcript:KJB08960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWYRNLIKLSTPIKSKTPITKNLLQASLSHFSTANQPPASAQAQPSGLGPTKPHDKPRVVVLGSGWAGCRLMKGLDPKLYDIVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIARIQPSISSAPGSYFFLANSTAVDTEHHEVHCETVTDGTDTLDPWKFKISYDKLVIASGAQASTFGIHGVKEHAIFLREVHHAQEIRRKLLLNLMLSDVPGLPEEEKRRLLHCVVVGGGPTGVEFSGELSDFIRRDVHERYTHVKDYIHVTLIEANEILSSFDDRLRRYAIKHLTKSGVRLVRGIVKDVKPENLVLTDGSEVPYGLLVWSTGVGPSPFVNSLGLPKSPGGRIGVDEWLRVPTVQDVFSIGDCSGFLESTGKPVLPALAQVAERQGKYLANLLNKICKDGGGHANGAKDMNLGDPFEYKHLGSMATVGRFKALVDLRQSKEAKGISLAGFVSWFIWRSAYLTRVVSWRNRFYVAINWLTTFVFGRDISRI >KJB08958 pep chromosome:Graimondii2_0_v6:1:13911901:13915229:-1 gene:B456_001G116600 transcript:KJB08958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWYRNLIKLSTPIKSKTPITKNLLQASLSHFSTANQPPASAQAQPSGLGPTKPHDKPRVVVLGSGWAGCRLMKGLDPKLYDIVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIARIQPSISSAPGSYFFLANSTAVDTEHHEVHCETVTDGTDTLDPWKFKISYDKLVIASGAQASTFGIHGVKEHAIFLREVHHAQEIRRKLLLNLMLSDVPGLPEEEKRRLLHCVVVGGGPTGVEFSGELSDFIRRDVHERYTHVKDYIHVTLIEANEILSSFDDRLRRYAIKHLTKSGVRLVRGIVKDVKPENLVLTDGSEVPYGLLVWSTGVGPSPFVNSLGLPKSPGGRIGVDEWLRVPTVQDVFSIGDCSGFLESTGKPVLPALAQVAERQGKYLANLLNKICKDGGGHANGAKDMNLGDPFEYKHLGSMATVGRFKALVDLRQSKEAKGISLAGFVSWFIWRSAYLTRVVSWRNRFYVAINWLTTFVFGRDISRI >KJB06476 pep chromosome:Graimondii2_0_v6:1:9005278:9009411:1 gene:B456_001G084600 transcript:KJB06476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFRLAPEESPDPTQQHPPPQQQLQAGREVASDDERSVAADSWSIKSDYGSTLDDEQRHADAAEALSSAANFRAALNYSSDKDEADADAMTAVLGLQNYRNGAYADELTSFRDHSHAGEIWIGAEIMDTVTSWTKNLCIDISQGHMPNHVDEAKLESVAQDEKYLSCWSVLDIGTGNGLLLQELAKQGFTDLTGIDYSEVAIDFARSHADRDGFSSIKFLVDDILETKLERQFQLVMDKGTLDAIGLHPDGPIKRMMYWDSVSKLVAPGGVLVITSCNHTKDELVQEVENFNHRNAGMLRQDPNTIKDREIHRDCPPYQYLNHVQTYPTFMFGGSLGAHVATVAFVRN >KJB06477 pep chromosome:Graimondii2_0_v6:1:9006603:9009394:1 gene:B456_001G084600 transcript:KJB06477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICLTWICLVSVIYTIHSSDKDEADADAMTAVLGLQNYRNGAYADELTSFRDHSHAGEIWIGAEIMDTVTSWTKNLCIDISQGHMPNHVDEAKLESVAQDEKYLSCWSVLDIGTGNGLLLQELAKQGFTDLTGIDYSEVAIDFARSHADRDGFSSIKFLVDDILETKLERQFQLVMDKGTLDAIGLHPDGPIKRMMYWDSVSKLVAPGGVLVITSCNHTKDELVQEVENFNHRNAGMLRQDPNTIKDREIHRDCPPYQYLNHVQTYPTFMFGGSLGAHVATVAFVRN >KJB10961 pep chromosome:Graimondii2_0_v6:1:47135348:47138390:-1 gene:B456_001G234000 transcript:KJB10961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKHQQQLSLAKSSTQSCNEWIFRDVPSDITIEVNGGTIALHKFPLVSRSGRIRKLVEEHRDSDISRVELMNLPGGAKSFELAAKFCYGINFEITPLNVAQLCCVSDYLEMTEEFSKDNLHLHAEQYLESIVYKNLEMCVEVLQQCENLLPHANELNIVSRCIDAVASKACAEKIALSFSRLEYSSSGRLHTIRQAKCEGDRWIEDLSVIRIDLYQRVITAMKCRGVRPESIGASLVNYAQKVLTKKVDLVSTGHQKLVVETIISLLPVERLAVPISFLFELLRNAVMLDCTIACRLDLERRIGSQLDIATLDDLLIPSFRHGCETLFDVDTVYRILVNFSQQDDGEYDMDDVSIFESDSPHSPSQSALLKVSKLMDDYLAEIALDVNLKLSKFIAIAEALPAHARTIHDGFYRAIDIYLKTRRDCAN >KJB10962 pep chromosome:Graimondii2_0_v6:1:47135369:47138390:-1 gene:B456_001G234000 transcript:KJB10962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKHQQQLSLAKSSTQSCNEWIFRDVPSDITIEVNGGTIALHKFPLVSRSGRIRKLVEEHRDSDISRVELMNLPGGAKSFELAAKFCYGINFEITPLNVAQLCCVSDYLEMTEEFSKDNLHLHAEQYLESIVYKNLEMCVEVLQQCENLLPHANELNIVSRCIDAVASKACAEKIALSFSRLEYSSSGRLHTIRQAKCEGDRWIEDLSVIRIDLYQRVITAMKCRGVRPESIGASLVNYAQKVLTKKVDLVSTGHQKLVVETIISLLPVERLAVPISFLFELLRNAVMLDCTIACRLDLERRIGSQLDIATLDDLLIPSFRHGCETLFDVDTVYRILVNFSQQDDGEYDMDDVSIFESDSPHSPSQSALLKVSKLMDDYLAEIALDVNLKLSKFIAIAEALPAHARTIHDGFYRAIDIYLKTHKGILDADKKRLCKLIDFQKVSQEAGAHAALNERLPIQSVVQVLYFEQLRLRNALCCSHTDGNHKPVHHQSWRISSGALSAAMSPRDNYAFLRRENRELKLELTRLRMRLNDLEKEHVCMKRDMAKSHSHKFMSSFSKKIAKLRFFRHSSSRGSSSPSRQSYRADSKVIERTCASIE >KJB07136 pep chromosome:Graimondii2_0_v6:1:12922282:12924770:1 gene:B456_001G111300 transcript:KJB07136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSIRPHVVGGSAVVKPPLSRTKPPPFSVRVSGFSASNSQGFTTSAKEEGPSCIFVGPVETASQETLEALYRQARDAYYSGEPLIVDDMFDRVELKLRWYGSKSVVKYPRCSIRRHSTYADAEEDLSQGLALASIWILIFAFGCTLCLVPIVYTISLAYQDPFSSGASYDSQAANLEFLATVNGILFMGIGSVTGYPLASAAVRVLQRLWRNDLVALKGACPNCGEEVFAFVKSEKFNGSPHRADCHVCDCTLEFRAKTEQSVSRLGRKWVYGRIYLVSRKGRSRRWM >KJB07137 pep chromosome:Graimondii2_0_v6:1:12922282:12924198:1 gene:B456_001G111300 transcript:KJB07137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSIRPHVVGGSAVVKPPLSRTKPPPFSVRVSGFSASNSQGFTTSAKEEGPSCIFVGPVETASQETLEALYRQARDAYYSGEPLIVDDMFDRVELKLRWYGSKSVVKYPRCSIRRHSTYADAEEDLSQGLALASIWILIFAFGCTLCLVPIVYTISLAYQDPFSSGASYDSQAANLEFLATVNGILFMGIGSVTGYPLASAAVRVLQRLWRNDLVALKGACPNCGEEVNLYKFFSCTYFMSLKSKSTTISFFSRPRH >KJB07138 pep chromosome:Graimondii2_0_v6:1:12922554:12923943:1 gene:B456_001G111300 transcript:KJB07138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSIRPHVVGGSAVVKPPLSRTKPPPFSVRVSGFSASNSQGFTTSAKEEGPSCIFVGPVETASQETLEALYRQARDAYYSGEPLIVDDMFDRVELKLRWYGSKSVVKYPRCSIRRHSTYADAEEDLSQGLALASIWILIFAFGCTLCLVPIVYTISLAYQDPFSSGASYDSQAANLEFLATVNGILFMGIGSVTGYPLASAAVRVLQRLWRNDLVALKGACPNCGEEVNLYKFFSCTYFMSLKSKSTTISFFSRPRH >KJB07139 pep chromosome:Graimondii2_0_v6:1:12922152:12924770:1 gene:B456_001G111300 transcript:KJB07139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSIRPHVVGGSAVVKPPLSRTKPPPFSVRVSGFSASNSQGFTTSAKEEGPSCIFVGPVETASQETLEALYRQARDAYYSGEPLIVDDMFDRVELKLRWYGSKSVVKYPRCSIRRHSTYADAEEDLSQGLALASIWILIFAFGCTLCLVPIVYTISLAYQDPFSSGASYDSQAANLEFLATVNGILFMGIGSVTGYPLASAAVRVLQRLWRNDLVALKGACPNCGEEVFAFVKSEKFNGSPHRADCHVCDCTLEFRAKTEQSVSRLGRKWVYGRIYLVSRKGRSRRWM >KJB06824 pep chromosome:Graimondii2_0_v6:1:14722911:14724142:-1 gene:B456_001G120800 transcript:KJB06824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIAAFLLAVLGGNTNPSADDLKAILGSAEADDDKIEMLLSEVKGKDITELIASGREKLASVPSGGGGGGGVAVAAPTTGGGAGDAPAAETKKEEKVEEKEESDDDMGFSLFD >KJB06823 pep chromosome:Graimondii2_0_v6:1:14722821:14724322:-1 gene:B456_001G120800 transcript:KJB06823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIAAFLLAVLGGNTNPSADDLKAILGSVAAEADDDKIEMLLSEVKGKDITELIASGREKLASVPSGGGGGGGVAVAAPTTGGGAGDAPAAETKKEEKVEEKEESDDDMGFSLFD >KJB06498 pep chromosome:Graimondii2_0_v6:1:4450263:4453127:-1 gene:B456_001G046900 transcript:KJB06498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLPALCYFFKYLCCCFHAFFLLQLCFDLIEKWTSENLRDWVMGREVGDLSISGIATYQPSDGTMKLKMAMAGFMSRVIGRDVSYEPSQMVLTAGVTSAIETLCFCLADHGNAFLVPTPYYPSFDRDMKWRTGVELIPVHCRSKDNFVLSISALDQAFNQTRKRETKIRGILLSNPANPVGNLLSRKMLEALLKFAEEKNIHIVSDEIFAGSIYGEKEFVSIAEVVGSEDFDKNRVHIVYGLSKDLFLPGVRVGMIYSFNENVLAAARKLTRFSSVSAPTQRLLVSMLSDTRFIVEYIQTNKKRIRDMRDLFVAGLEELGIKCTDSRSSLYCWADMRNLIPTYGEKGELELWEKLLNVGKINLTPGSACHCIEPGWFRCCFTTLDKDDVRVVMERIRKVVGSRTSCG >KJB06500 pep chromosome:Graimondii2_0_v6:1:4450377:4453072:-1 gene:B456_001G046900 transcript:KJB06500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRFLFPHLTILEKNVFSFDRDMKWRTGVELIPVHCRSKDNFVLSISALDQAFNQTRKRETKIRGILLSNPANPVGNLLSRKMLEALLKFAEEKNIHIVSDEIFAGSIYGEKEFVSIAEVVGSEDFDKNRVHIVYGLSKDLFLPGVRVGMIYSFNENVLAAARKLTRFSSVSAPTQRLLVSMLSDTRFIVEYIQTNKKRIRDMRDLFVAGLEELGIKCTDSRSSLYCWADMRNLIPTYGEKGELELWEKLLNVGKINLTPGSACHCIEPGWFRCCFTTLDKDDVRVVMERIRKVVGSRTSCG >KJB06499 pep chromosome:Graimondii2_0_v6:1:4450377:4452068:-1 gene:B456_001G046900 transcript:KJB06499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFFRILQAMAGFMSRVIGRDVSYEPSQMVLTAGVTSAIETLCFCLADHGNAFLVPTPYYPSFDRDMKWRTGVELIPVHCRSKDNFVLSISALDQAFNQTRKRETKIRGILLSNPANPVGNLLSRKMLEALLKFAEEKNIHIVSDEIFAGSIYGEKEFVSIAEVVGSEDFDKNRVHIVYGLSKDLFLPGVRVGMIYSFNENVLAAARKLTRFSSVSAPTQRLLVSMLSDTRFIVEYIQTNKKRIRDMRDLFVAGLEELGIKCTDSRSSLYCWADMRNLIPTYGEKGELELWEKLLNVGKINLTPGSACHCIEPGWFRCCFTTLDKDDVRVVMERIRKVVGSRTSCG >KJB06497 pep chromosome:Graimondii2_0_v6:1:4450207:4453173:-1 gene:B456_001G046900 transcript:KJB06497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVVPLQGVAQGRGGLLLGSLIPCALFYFLQLYLKRRRSPSDPSPPSTSSSNQPGMTRHSSLSNLLSRGPLRISSLATSIAKPDDSPYYMGLDRASGDPYHRIANPDGVIQLGLSENRLCFDLIEKWTSENLRDWVMGREVGDLSISGIATYQPSDGTMKLKMAMAGFMSRVIGRDVSYEPSQMVLTAGVTSAIETLCFCLADHGNAFLVPTPYYPSFDRDMKWRTGVELIPVHCRSKDNFVLSISALDQAFNQTRKRETKIRGILLSNPANPVGNLLSRKMLEALLKFAEEKNIHIVSDEIFAGSIYGEKEFVSIAEVVGSEDFDKNRVHIVYGLSKDLFLPGVRVGMIYSFNENVLAAARKLTRFSSVSAPTQRLLVSMLSDTRFIVEYIQTNKKRIRDMRDLFVAGLEELGIKCTDSRSSLYCWADMRNLIPTYGEKGELELWEKLLNVGKINLTPGSACHCIEPGWFRCCFTTLDKDDVRVVMERIRKVVGSRTSCG >KJB09542 pep chromosome:Graimondii2_0_v6:1:20429923:20430965:1 gene:B456_001G148600 transcript:KJB09542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDESMTVVSSMVEDDYDDIDVGFEHQAHTYNLSRLSMCTSSMYTNEDDDDNLGMYLSRLSFDADVDEEFRGKELLQLSSDSDKEPSCYSLPATPPRRRNRKAVLSQELMGVAKDYASENEAQKGGLRRSKGNNKLRKRRITRDRWEDNESKSCNKKKDGELIASYSNHSGSFSGESEGGSAGLVVITRPKGGQRSLCMDLEEVKACRDLGLELEHERMLEMPSSGGNSPIANWRISSPG >KJB09541 pep chromosome:Graimondii2_0_v6:1:20429856:20431374:1 gene:B456_001G148600 transcript:KJB09541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDESMTVVSSMVEDDYDDIDVGFEHQAHTYNLSRLSMCTSSMYTNEDDDDNLGMYLSRLSFDADVDEEFRGKELLQLSSDSDKEPSCYSLPATPPRRRNRKAVLSQELMGVAKDYASENEAQKGGLRRSKGNNKLRKRRITRDRWEDNESKSCNKKKDGELIASYSNHSGSFSGESEGGSAGLVVITRPKGGQRSLCMDLEEVKACRDLGLELEHERMLEMPSSGGNSPIANWRISSPGDDPRDVKARLKVWAQAVALASTSKHCS >KJB08743 pep chromosome:Graimondii2_0_v6:1:11308532:11312429:1 gene:B456_001G100900 transcript:KJB08743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTSSAAGGCFFFLLWWSFSSCEALQVPNRRLLHEPYSTLSSEPPSLPPPPSPPNPKYTLSSSAATSPPGSPFFPSFPSAPPPPPPSTFASFPANISSLVIPHTPTPKHNSQKLVILAIAAVASASIVAAIIVFVYCRQRGPRRDCFEDNKTVTSDNSSRACQYNHNDNNNNNNTAGARKVRTTSTTSSEFLYLGTVVNSQGRFDDGSGDSPGNDELDQTKMYSPELHPLPPLSRQNTGRNCRDGVVESGTEEEDDDDEEEVFYSPRGSLGGRDSSTGTGSGSRRVFTAAKTIESSSTCSCSSSSSGSPARSRSLSISPPASFSSRWPDPKSPQLVQTQASPERILIDSPRVSNASINGTIRSPSLSLISTSPDRVFIRESNEPNMEPPSPSPALVENPDSSSPSLSSPNRVLIEKLDESIKNFKDFVQNMKAPLILASPGTKDSQSNDPSVCSASTSPDRALNSPLLNRAFEESPEMSPLKPDFYSKAPSLSSLASSSPERVLEKSPESSPLRLNKALQKPILSPPPPPPPPPPPKQRRLWEKPVPSVSFAQQTSKPLPPLTPSSTPFIMEEQEEGLKPKLKPLHWDKVRASSGREMVWDHLRSSSFKLNEEMIETLFVVNPNSKPNQTTPRSVLPLPNEENRVLDPKKAQNIAILLKALNVTLEEVSEALLEGNLDTLGTGFLESLLRMAPTMEEECKLKEYKDDSPVKLGPAERFLKMVLDIPFAFKRVDAMLYVANFESEVEYLKNSFETLEAACDELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAQAFKLDTLLKLIDVKGADGKTTLLHFVVQEILRAEGARLSNTNQTPNSTLTEDARCRKLGLQVVSGLSSELTNVKKAAAMDSEALSSDVSKLSRGLENISDVLRLNETMGLDESKEKFSESMNQFMKMAEQEIPRIQAHERLALSLVKEITEYFHGNSAKEEAHPFRIFMVVRDFLTVLDRVCKEVGTINERTIVSSAHKFPVPVNPMMQQTFPVPVNPMMQQAFPGFQGNPHYDSDDETAPS >KJB07549 pep chromosome:Graimondii2_0_v6:1:2761680:2768613:-1 gene:B456_001G029600 transcript:KJB07549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:Projected from Arabidopsis thaliana (AT5G14800) UniProtKB/Swiss-Prot;Acc:P54904] MEAVPIQSENFKLGFIGAGKMAESIARGVVQSGVLPPQRISTAIHSNPSRGTPFQSLGISVYSHNTDVVDASDVIIFSVKPQVVKNVVLQLRPLLSKKKLLVSIAAGVKLQDLEEWAGHGRFIRVMPNTPSAVGMGASVMSLGGAATEQDGELVGKLFGAVGKTWKADEKLFDAVTGLSGSGPAYIYLAIEALADGGVTAGLPRELALGLASQTVLGAAAMAVQSGKHPGQLKDDVTSPGGTTIAGIHELEKNGFRGTLMNAVVAAAKRSQELSRK >KJB07551 pep chromosome:Graimondii2_0_v6:1:2761164:2769123:-1 gene:B456_001G029600 transcript:KJB07551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:Projected from Arabidopsis thaliana (AT5G14800) UniProtKB/Swiss-Prot;Acc:P54904] MEAVPIQSENFKLGFIGAGKMAESIARGVVQSGVLPPQRISTAIHSNPSRGTPFQSLGISVYSHNTDVVDASDVIIFSVKPQVVKNVVLQLRPLLSKKKLLVSIAAGVKLQDLEEWAGHGRFIRVMPNTPSAVGMGASVMSLGGAATEQDGELVGKLFGAVGKTWKADEKLFDAVTGLSGSGPAYIYLAIEALADGGVTAGLPRELALGLASQTVLGAAAMAVQSGKHPGQLKDDVTSPGGTTIAGIHELEKNGFRGTLMNAVVAAAKRSQELSRK >KJB07550 pep chromosome:Graimondii2_0_v6:1:2761455:2768960:-1 gene:B456_001G029600 transcript:KJB07550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:Projected from Arabidopsis thaliana (AT5G14800) UniProtKB/Swiss-Prot;Acc:P54904] MEAVPIQSENFKLGFIGAGKMAESIARGVVQSGVLPPQRISTAIHSNPSRGTPFQSLGISVYSHNTDVVDASDVIIFSVKPQVVKNVVLQLRPLLSKKKLLVSIAAGVKLQDLEEWAGHGRFIRVMPNTPSAVGMGASVMSLGGAATEQDGELVGKLFGAVGKTWKADEKLFDAVTGLSGSGPAYIYLAIEALADGGVTAGLPRELALGLASQTVLGAAAMAVQSGKHPGQLKDDVTSPGGTTIAGIHELEKNGFRGTLMNAVVAAAKRSQELSRK >KJB08085 pep chromosome:Graimondii2_0_v6:1:6298850:6302672:-1 gene:B456_001G063100 transcript:KJB08085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITKPISMADSCCLVCIPSLFTTCSKSPSILSFPPKRINLLLSSSHSSTSLTLKTKAHFSSLVSFVAQTSDCAQQEEENDATITIDDEESGIEAKWENDESDGPEGEDAVFEEQSGDSEEEGSEPSEEAKLFVGNLPSDVDSQSLAMLFEKAGTVEIAEVIYNRDTEQSRGFGFVTMSSIEEAEKAVEQFNRYDLNGRLLTVNKAAPRGSRLDRPPRVFERAFRVYVGNLPWDVDNARLEQVFSEHGKVVEARVVYDRETGRSRGFGFVTMSSETELNDAIAALDGQSLDGRAIRVNVAEERPRRGFF >KJB08086 pep chromosome:Graimondii2_0_v6:1:6299369:6302626:-1 gene:B456_001G063100 transcript:KJB08086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITKPISMADSCCLVCIPSLFTTCSKSPSILSFPPKRINLLLSSSHSSTSLTLKTKAHFSSLVSFVAQTSDCAQQEEENDATITIDDEESGIEAKWENDESDGPEGEDAVFEEQSGDSEEEGSEPSEEAKLFVGNLPSDVDSQSLAMLFEKAGTVEIAEVIYNRDTEQSRGFGFVTMSSIEEAEKAVEQFNRYDLNGRLLTVNKAAPRGSRLDRPPRVFERAFRVYVGNLPWDVDNARLEQVFSEHGKVVEARVVYDRETGRSRGFGFVTMSSETELNDAIAALDGQSLDGRAIRVNVAEERPRRGFF >KJB10724 pep chromosome:Graimondii2_0_v6:1:44182409:44186300:1 gene:B456_001G218800 transcript:KJB10724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTQSKRDLALELQAQFPILRPSIHARRANLTVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWALEASKGANWYLQPQVSSLSEGIVLKSSLKLAALANSITLKRLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYNDLTKAVDGKVTPATRQIDHDLPRTFPGHPWLDTPEGHAALRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTNNLSGCHVEQRVFKDLLAKKCPRIAAHLEALEFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFYEGAKVLFHAALAIFMMKEDELLLTHQVGDIINILQRTTHHLFDPDELLTVAFDKIGFMTTNTISKQRKKQEPEVMKELDERLRRLNSLRTDDK >KJB10726 pep chromosome:Graimondii2_0_v6:1:44182544:44186039:1 gene:B456_001G218800 transcript:KJB10726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTQSKRDLALELQAQFPILRPSIHARRANLTVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWALEASKGANWYLQPQVSSLSEGIVLKSSLKLAALANSITLKRLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYNDLTKAVDGKVTPATRQIDHDLPRTFPGHPWLDTPEGHAALRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTNNLSGCHVEQRVFKDLLAKKCPRIAAHLEALEFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFYEGAKVLFHAALAIFMMKEDELLLTHQVGDIINILQRTTHHLFDPDELLTVRLFIILFSSFLVLYNISCFFLIIYGESHS >KJB10725 pep chromosome:Graimondii2_0_v6:1:44182544:44186039:1 gene:B456_001G218800 transcript:KJB10725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTQSKRDLALELQAQFPILRPSIHARRANLTVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWALEASKGANWYLQPQVSSLSEGIVLKSSLKLAALANSITLKRLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYNDLTKAVDGKVTPATRQIDHDLPRTFPGHPWLDTPEGHAALRRVLVGYSFRDSDVGYCQMKEDELLLTHQVGDIINILQRTTHHLFDPDELLTVAFDKIGFMTTNTISKQRKKQEPEVMKELDERLRRLNSLRTDDK >KJB08654 pep chromosome:Graimondii2_0_v6:1:10682656:10682877:-1 gene:B456_001G095900 transcript:KJB08654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFQLCSIVLIFFLFTSKIKTASSGSTASHSRQPRRHVFQPTGFKGQPPSSMQFGEEKRRVPTGSNPLHNKR >KJB09863 pep chromosome:Graimondii2_0_v6:1:24570936:24572201:-1 gene:B456_001G1712001 transcript:KJB09863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RNCPKNNQGFEGGCVARFLGRLSFEPLKENPLFGDSSNTLEKLGALKWDKVVHGNQAWRLITCIWLHAGVIHLLANMLSLIFIGIRLEQQFGFSTLSVPFCSGSVLLSLFIQRSISVGASGALFGLLGAMLSELLTNWTIYTNKVILVAS >KJB09208 pep chromosome:Graimondii2_0_v6:1:16341176:16345061:1 gene:B456_001G129100 transcript:KJB09208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIDREIQAPNSGRKHGGWVTFPFITGAVLGLGLAGTGWMANLIIYLIQQFNVNAIDAAQVSNIVNGGSSLFPIVGATIADSSLGCFSVITLFSSISLLGTVFIALTATLNSLRPTPCNGGLGSFCKDPSWVQFLVLYAGIALGSMGVGGTRFTLATMGANQFDNPQHQGIFFNWCFFSQYAASAVGATALVYIEDNLSWGLGFGLSVAANLLALLIFLLGNRFYLHDKPQGSPFLDIVRVMVAAFRKRNFSLSPMANDYYNPITVEGAPPNKAFRFLNRASLKAEGDIKTDGSGTIAKPWRLCSVQQVEDLKTLVRILPLWSSSIFLSTPVAIHSSLTVLQALNMDRHLGQHLKIPAGSILVIEIVACAIFLTLIDRFLWLTWQNLTRKSPSPLQRIGVGHVLNVLAMAVSALVESKRLKMVHHHDRDQSQPRSSMLALWLVPQLSLVGIGEAFHYPGQVSLYYQEFPASLRSTATAMIALIIGIAFYLGTAIIDFVRRVTSWLPDNINNGRLDNVYWMLTVVGVLNFGYYLACSRSYKYQNVEKQVDADTNYTYG >KJB06167 pep chromosome:Graimondii2_0_v6:1:17646339:17648271:1 gene:B456_001G135200 transcript:KJB06167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAFSGPYLCYVKNAQNKWFKIDDSMVCSLQLSSTCLKPFHLFTACFFPQSMFSSTLYFDFLPLIFEIACLACSPLI >KJB06168 pep chromosome:Graimondii2_0_v6:1:17646310:17648271:1 gene:B456_001G135200 transcript:KJB06168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAFSGPYLCYVKNAQNKWFKIDDSMVCSLQLSSTCLKPFHLFTACFFPQSMFSSTLYFDFLPLIFEIACLACSPLI >KJB08637 pep chromosome:Graimondii2_0_v6:1:10531739:10536992:-1 gene:B456_001G095000 transcript:KJB08637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MVNKAWKIIPRPLLETILNNHAQHHRVPQPLILHGPRGVGKTTLILDRILGEWNKGPHLTGYVDFAQSIKDHHPNFDGSFPWYSWSSCELPSLSSCQTQLENCLESMAHKGIKLGTISSPQIFTTLNKWHGINTALRRILNQNASKIAISNKVSSSGLWDRAVFALSARFNASEIDGVLDFEEKGKSLSIDEASYFKEAIVALRLAKEVIKMQQKWRANAIADLNRSGRFSRSLANSCTDWPCLLLELLSQAAEIGHFQPKLVINNVEILCNAMLTDDSMVCGSMYHDSLIWRIIALGANERCLPVILVTSDSYYSYQAFMDFGFPDIFVSRETFGWTPQEAKMHMVTDYFTHAEWMVIDDVLGPNPRHLFEVYVLKQSNYYQKLMDDEASTFEDIVDAYLAYLQVTVVNPSMEKALSILQKFAIDARSGKILEHRLHFGAPWRHPPSSKDPTKCKEWAKIQLMDFVQSLVNAEFGVNYLADCSLEILDDPAAVALVEVGLLYAQRDPSFFRPISKGIQRCLARWLVQERMQLSYQNLLQYLWQRIMRGRSYRHLMLQVGYDKY >KJB08638 pep chromosome:Graimondii2_0_v6:1:10531857:10536992:-1 gene:B456_001G095000 transcript:KJB08638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MVNKAWKIIPRPLLETILNNHAQHHRVPQPLILHGPRGVGKTTLILDRILGEWNKGPHLTGYVDFAQSIKDHHPNFDGSFPWYSWSSCELPSLSSCQTQLENCLESMAHKGIKLGTISSPQIFTTLNKWHGINTALRRILNQNASKIAISNKVSSSGLWDRAVFALSARFNASEIDGVLDFEEKGKSLSIDEASYFKEAIVALRLAKEVIKMQQKWRANAIADLNRSGRFSRSLANSCTDWPCLLLELLSQAAEIGHFQPKLVINNVEILCNAMLTDDSMVCGSMYHDSLIWRIIALGANERCLPVILVTSDSYYSYQAFMDFGFPDIFVSRETFGWTPQEAKMHMVTDYFTHAEWMVIDDVLGPNPRHLFEVYVLKQSNYYQKLMDDEASTFEDIVDAYLAYLQVTVVNPSMEKALSILQKFAIDARSGKILEHRLHFGAPWRHPPSSKDPTKCKEWAKIQLMDFVQSLVNAEFGVNYLADCSLEILDDPAAVALVEVGLLYAQRDPSFFRPISKGIQRCLARWLVQERMQLSYQNLLQYLWQRIMRGRSYRHLMLQVGYDKY >KJB10812 pep chromosome:Graimondii2_0_v6:1:46073233:46076714:-1 gene:B456_001G226300 transcript:KJB10812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNCSSPTTIPVVSTFASPFDTSAAVNGSDSTSTRKPISLWPGMYHSPVTNALWEAKSQIFERLLDPPKDAPPQSELLTKTPSQSRTSILYNLSSDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDDDSTTRPLLLVTASVDKIVLKKPISVDIDLKIVGSVIWVGRSSIEIQLEVIQSTKENSDVSDSVALTANFIFVARDSKTGKAAPVNRLSPETEREKFLFEEAEARSKLRKRKRVDRREFEHGEINRLEALLAEGRIFCDMPALADRDSILLKDTHLENALICQPQQRNIHGRIFGGFLMHRAFELAFSTAYAFAGLVPCFLEVDHVDFLRPVDVGDFLRLKSCVLYTELENPDQPLINVEVVAHVTRPEIRTSEVSNTFYFTFSVRPEAKATKNGFKIRNVVPATEEEARRILERMDAEMLTRKSQ >KJB10811 pep chromosome:Graimondii2_0_v6:1:46073233:46076662:-1 gene:B456_001G226300 transcript:KJB10811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNCSSPTTIPVVSTFASPFDTSAAVNGSDSTSTRKPISLWPGMYHSPVTNALWEAKSQIFERLLDPPKDAPPQSELLTKTPSQSRTSILYNLSSDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDDDSTTRPLLLVTASVDKIVLKKPISVDIDLKIVGSVIWVGRSSIEIQLEVIQSTKENSDVSDSVALTANFIFVARDSKTGKAAPVNRLSPETEREKFLFEEAEARSKLRKRKRVDRREFEHGEINRLEALLAEGRIFCDMPALADRDSILLKDTHLENALICQPQQRNIHGRIFGGFLMHRAFELAFSTAYAFAGLVPCFLEVDHVDFLRPVSIFLLFVELLASTCISIYIFIFHARCCSRLFEHSTSFYLAFNHYFMHIAVK >KJB10119 pep chromosome:Graimondii2_0_v6:1:29482114:29482943:-1 gene:B456_001G184800 transcript:KJB10119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELILRDNKLTKIPDVVIFKKLLVFDVSFNEITSLQGLSKASSTIKELYVSKNEVTKMEEIDHLHELQILELGSHKLRVIENLQNFTKLQELWLGRNQIKVINLCGLSCIKKISLQSNQLTSMIGLEECNALEEIYLSHNGIAKMGDLSKLVNLQVLDVSSNKLTSFDDIQYLTR >KJB08908 pep chromosome:Graimondii2_0_v6:1:13078796:13080837:1 gene:B456_001G112200 transcript:KJB08908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEVKMRDFEANGGDDEEATANAITTIVDDDDDDVEERVIEWEMGLPNCDDLTPLSQSLIPPELASAFSISPEPRRTTVDVNRASRNTLSSLRSTGAHSSTTNNNNSNSNFRDTIVVEAEGYGSGSGSGSDPKKMRKMDIAEEADSAVRTTENSDDPSGRTLKRQRLVWTPQLHKRFVDVVAHLGIKSAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSASDQLFASTPVPQSLHETGSGGGGGGGGSGGAGANGNGHLGMAIPMPYGAPMMPVPMPMCGHVGMHQGLYHQQRQHHHQNGYEANSYGMMQQRDWSGGNRYGSQ >KJB08909 pep chromosome:Graimondii2_0_v6:1:13078855:13081267:1 gene:B456_001G112200 transcript:KJB08909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEVKMRDFEANGGDDEEATANAITTIVDDDDDDVEERVIEWEMGLPNCDDLTPLSQSLIPPELASAFSISPEPRRTTVDVNRASRNTLSSLRSTGAHSSTTNNNNSNSNFRDTIVVEAEGYGSGSGSGSDPKKMRKMDIAEEADSAVRTTENSDDPSGRTLKRQRLVWTPQLHKRFVDVVAHLGIKSAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSASDQLFASTPVPQSLHETGSGGGGGGGGSGGAGANGNGHLGMAIPMPYGAPMMPVPMPMCGHVGMHQGLYHQQRQHHHQNGYEANSYGMMQQRDWSGGNRYGSQ >KJB08910 pep chromosome:Graimondii2_0_v6:1:13078796:13081446:1 gene:B456_001G112200 transcript:KJB08910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEVKMRDFEANGGDDEEATANAITTIVDDDDDDVEERVIEWEMGLPNCDDLTPLSQSLIPPELASAFSISPEPRRTTVDVNRASRNTLSSLRSTGAHSSTTNNNNSNSNFRDTIVVEAEGYGSGSGSGSDPKKMRKMDIAEEADSAVRTTENSDDPSGRTLKRQRLVWTPQLHKRFVDVVAHLGIKSAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSASDQLFASTPVPQSLHETGSGGGGGGGGSGGAGANGNGHLGMAIPMPYGAPMMPVPMPMCGHVGMHQGLYHQQRQHHHQNGYEANSYGMMQQRDWSGGNRYGSQ >KJB08964 pep chromosome:Graimondii2_0_v6:1:13772731:13783951:1 gene:B456_001G116000 transcript:KJB08964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNAFELDVEAGNEESFHRPSNAEVVEQDEEDLIWEAIARLPSVKRGRFAILRRTPSEIEHSVGGGGVEGGGETGSTETIDVTRLDRARRELVVKKALASDDQDNYKLLSAIKDRLDRVGMEVPKVEVRFQNLNINANAEIGSRALPTLINVARNFFEYVLTGLRVLRPNKFQLHILKDISGIIKPGRMTLLLGPPGSGKSTLLLALAGKLDRKSLNVSGDITYNGTKLDEFYVRRTSAYIGQTDNHIPELTVRETFDFAARCQGASEGMAGYMKDLTKLEKEKNIRPVPEIDAFMKASSIGGKKHSISTDYVLKVLGLDICSDTFVGNDMLRGVSGGQRKRVTTGEMIVGPRKTLFMDEISTGLDSSTTFQIVKCMRNFVHLMEATVLMGLLQPAPETYELFDDVLLLSEGYMVYQGPRGKVLEFFESLGFKLPPRKGVADFLQEVTSKKDQAQYWADPSKPYVFIPVSEMAIAFKNSRFGKSLESTLSAPYDKSQSHPSALARTKYAASRWELLNSCFAREKLLMTRQSFLYIFRTFQVAFVAFVTSTIFLRTKLHPVDEINGNLYLSCLFFGVVHMMFNGFSELSLLIFRLPVFYKQRDNLFHPAWIWSVVSWSIRVPYSAIEAVVWSCVLYYTVGFAPSAGRFFRFTFAQFVLHQMAVSLFRMLASLARDLVVANTFGSASLLLVFLLGGFVIPKDQIKPWWVWASWLSPLQYAQRAVSINEFTATRWKKISAIGNNTIGYNVLHQHGLPSAKYWYWLGVGVLIGYAVIFNIIVTLALAYLNPLSKGKAIVPEETEENSVRKDVESEKLTSDSSSAQGSSKKGMILPFEPLAMTFHNVNYFVDMPVEMSAQGIPETRLQLLSNVSGVFTPGVLTALVGSSGAGKTTLMDVLSGRKTGGYIEGDIKISGYPKVQETFARISGYVEQNDIHSPQVTVEESLWFSSSLRLPKEISKDQKIEFVEEVMRLVELDTLRNAIVGLPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGKLGVRSQILIDYFQRIDGIPSIPDGYNPATWMLEITNPVAEQRIGRDFADIYTNSAEYREVEGSITRLSVPPPGSQPLKFPSVYSQDQLSQFLICLKKQNLVYWRSPRYNLVRLVFTTVCALLLGSVYWDVGNKRDTTKGLFMVMGALYSACLFLGINNASSVQPIVSIERTVFYREKAAGLYAPTSYAAAQGLVELPYIVAQTILFGVITYFMINFERTASKFFLYLVIMFLTFTYFTFYGLMAVGLTPSQHMAAVVSSAFYSFWNLLSGFLIPKPRIPGWWIWFYYICPVAWTLKGIISSQLGDVETMIVEPTFKGTVKEYVSTVFGIDPDVTGPAVAVLIGFCILFFGVFAFSVKFLNFQKR >KJB11534 pep chromosome:Graimondii2_0_v6:1:54017294:54019015:1 gene:B456_001G263900 transcript:KJB11534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGDTTYTKIFVGGLAWETKRDTLKRYFEQFGEILEAVVINDKSTGKSKGYGFVTFKDAGSAIRACYNPFPVIDGRKANCNVAAFGAHKNPPTSASHHGIQPLISPLPPSRVMAPPSTGIRVTHTIVIH >KJB11531 pep chromosome:Graimondii2_0_v6:1:54017186:54019349:1 gene:B456_001G263900 transcript:KJB11531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPGLNKMAVGDTTYTKIFVGGLAWETKRDTLKRYFEQFGEILEAVVINDKSTGKSKGYGFVTFKDAGSAIRACYNPFPVIDGRKANCNVAAFGAHKNPPTSASHHGIQPLISPLPPSRVMAPPSTGTPAFYRQLVPQYGLPYSAYGYPGYTHNSYPLNCYNVYGGQHLASQYTTGVYLTYFPLYPQYPKYRALAPSPVAAAPARAEEVKGMVGAASSAQSSAL >KJB11533 pep chromosome:Graimondii2_0_v6:1:54017294:54019015:1 gene:B456_001G263900 transcript:KJB11533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGDTTYTKIFVGGLAWETKRDTLKRYFEQFGEILEAVVINDKSTGKSKGYGFVTFKDAGSAIRACYNPFPVIDGRKANCNVAAFGAHKNPPTSASHHGIQPLISPLPPSRVMAPPSTGTPAFYRQLVPQYGLPYSAYGLDHSAKHDLLEVVFYSLVFFSKCLLALYHSGIRVTHTIVIH >KJB11532 pep chromosome:Graimondii2_0_v6:1:54017225:54019349:1 gene:B456_001G263900 transcript:KJB11532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGDTTYTKIFVGGLAWETKRDTLKRYFEQFGEILEAVVINDKSTGKSKGYGFVTFKDAGSAIRACYNPFPVIDGRKANCNVAAFGAHKNPPTSASHHGIQPLISPLPPSRVMAPPSTGTPAFYRQLVPQYGLPYSAYGYPGYTHNSYPLNCYNVYGGQHLASQYTTGVYLTYFPLYPQYPKYRALAPSPVAAAPARAEEVKGMVGAASSAQSSAL >KJB11516 pep chromosome:Graimondii2_0_v6:1:53879028:53881423:-1 gene:B456_001G263200 transcript:KJB11516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKPCKSQEVEVRKGPWTMEEDLILINYIANHGEGVWNSLAKAAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQFLIMELHTKWGNRWSKIAKHLPGRTDNEIKNYWRTRIQKHMNKPAEVCYSNQSDCELLDQQASSSQLPTNTTNADFMGTSYSPLSSFDYYNNIEAFSGQQQQQQIMLQSPSNQEDNYWNMEDIWSMQILNGN >KJB10292 pep chromosome:Graimondii2_0_v6:1:33107940:33110096:1 gene:B456_001G194400 transcript:KJB10292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKIFTICFSVLLLCSSLAYGQARSPPAPVAMSPTPTPAPAPAPPYVNLTYLLSVAGPFHTFLDYLESTKVIDTFQNQANNTDQGITVFVPKDSAFKDLRKPSFSNLSDDQLKSLILYHALPRFYTLADFNELSTKGSISTLAGGEYTLNFTYDSGTVHLDSGWSKTKVTSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPTPAPSPAPVPDVSPAADSPSAESKESGSSPKSAPSTSSSNSIMNLCIWRQLVLAVSAGVVLFF >KJB10290 pep chromosome:Graimondii2_0_v6:1:33108437:33110078:1 gene:B456_001G194400 transcript:KJB10290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKIFTICFSVLLLCSSLAYGQARSPPAPVAMSPTPTPAPAPAPPYVNLTYLLSVAGPFHTFLDYLESTKVIDTFQNQANNTDQGITVFVPKDSAFKDLRKPSFSNLSDDQLKSLILYHALPRFYTLADFNELSTKGSISTLAGGEYTLNFTYDSGTVHLDSGWSKTKVTSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPTPAPSPAPVPDVSPAADSPSAESKESGSSPKSAPSTSSSNSIMNLCIWRQLVLAVSAGVVLFF >KJB10289 pep chromosome:Graimondii2_0_v6:1:33108322:33110078:1 gene:B456_001G194400 transcript:KJB10289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKIFTICFSVLLLCSSLAYGQARSPPAPVAMSPTPTPAPAPAPPYVNLTYLLSVAGPFHTFLDYLESTKVIDTFQNQANNTDQGITVFVPKDSAFKDLRKPSFSNLSDDQLKSLILYHALPRFYTLADFNELSTKGSISTLAGGEYTLNFTYDSGTVHLDSGWSKTKVTSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPTPAPSPAPVPDVSPAADSPSAESKESGSSPKSAPSTSSSNSIMNLCIWRQLVLAVSAGVVLFF >KJB10291 pep chromosome:Graimondii2_0_v6:1:33107940:33110096:1 gene:B456_001G194400 transcript:KJB10291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKIFTICFSVLLLCSSLAYGQARSPPAPVAMSPTPTPAPAPAPPYVNLTYLLSVAGPFHTFLDYLESTKVIDTFQNQANNTDQGITVFVPKDSAFKDLRKPSFSNLSDDQLKSLILYHALPRFYTLADFNELSTKGSISTLAGGEYTLNFTYDSGTVHLDSGWSKTKVTSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPTPAPSPAPVPDVSPAADSPSAESKESGSSPKSAPSTSSSNSIMNLCIWRQLVLAVSAGVVLFF >KJB07278 pep chromosome:Graimondii2_0_v6:1:1272349:1283608:1 gene:B456_001G013400 transcript:KJB07278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFDLPSDSPDRPLYTSGQRGAHLAAQLDRSGSFRETLDNQIQSSLASMSRSTSLVAQGDVSNFFQCLRFDPKVVAADHKSSRQGDFKRHINVALGISADEAPTLLSKGKLLPSPIPEEIKRVKTGLRDCSVKARERMKTFNEALSVFNKFFPTIPSKKRSRSESFSSDRSNALLSSDRSVLGPSIGKMGIHNHSIAGGFEFEQQKSEERPKSAFPNKRTRTSLLDVRNNSLVRQPGNADRDREMLRIPNSAAVQGEDRTLAGAVDGWEKAKMKKKRSGIKPDVSPSMVSTKPIEGYREPKQGIQQRPVSDARSRLNNDSHEFRSGISNGSAGVGKSEGISLPTGLGPRSSVSRTDLDNSSLRNDKRDRPVASDKERVNLRAVNKMSVRDEFNSASPTSNIKMNASIRGPRSGSGVAPKLSPVVHRTASNDWELSHCTNKPPTAGGVNNRKRTISTQSSSPPVAHWASQRPQKSSRSARRTNLVPVLSNNDETPLLDTVSDMPGNEIGSGYSRRLSSGSPQQVKLKGDALSSAALSESEESGAAEIKCKGKVTKFDEIDEKAGQNVQKVSNLILPSRKNKLINGEDIGDGVRRQGRTGRGITTTRSLMPMTVEKYGNVGTAKQLRSARLGLDKAESKAGRPPTRKLTDRKPYARQKHAAINAAADLLVGLEDGHEELVAAVNALTSSARAFPNTFWRQMEPFLGFISDADIAYLKQQGNYELNKLGSTPVPSITDGCSIPINGFELLEHERDVGICAVASVDEVYSQQLLLDTRDNNMIPLCQRFLSALIPEEDIDSGNEDLPFDSYETGFEMDGELGCNGLTCINFQSTGHASFDGYRITEKPEHDDPEIDMLGNIGTNSNFSHSRNGSFPDQQMPGMVCSELQYESMTTNEKLILEAQSIGIFLEPLPDIAQMGDVEILEDISKLEEKHKEQVSKKKGVLDKLLKAALETRTIQEKEFEQCALDKLVTMAYEKYMTCWGPNATKSSSNKMIKQASLAFVKRTLDRCHKFEDTSRSCFDEPMLRDMFLSGSSHLNGVQSVDSPTDTESGKPCTKSSTRFLEARASGQNGDSYAVNSSDLLLPTNRSSDQTTVKDDSWSNRGKRRELLLEDVVGGTSSAQPVIGSSLSSSTKGKRSERDREGNGHGREVLSRNGTNKIGRPVSNAKGERKSKTKPKQKTTQLSASVNGLLGKMSEPKTSTSVSKSSEITANNNAKDKDEFALDVLDDLQLPGQDLGSWLNIDDDGLQDHDFMGLEIPMDDLSDLNMMV >KJB07279 pep chromosome:Graimondii2_0_v6:1:1273942:1283568:1 gene:B456_001G013400 transcript:KJB07279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFDLPSDSPDRPLYTSGQRGAHLAAQLDRSGSFRETLDNQIQSSLASMSRSTSLVAQGDVSNFFQCLRFDPKVVAADHKSSRQGDFKRHINVALGISADEAPTLLSKGKLLPSPIPEEIKRVKTGLRDCSVKARERMKTFNEALSVFNKFFPTIPSKKRSRSESFSSDRSNALLSSDRSVLGPSIGKMGIHNHSIAGGFEFEQQKSEERPKSAFPNKRTRTSLLDVRNNSLVRQPGNADRDREMLRIPNSAAVQGEDRTLAGAVDGWEKAKMKKKRSGIKPDVSPSMVSTKPIEGYREPKQGIQQRPVSDARSRLNNDSHEFRSGISNGSAGVGKSEGISLPTGLGPRSSVSRTDLDNSSLRNDKRDRPVASDKERVNLRAVNKMSVRDEFNSASPTSNIKMNASIRGPRSGSGVAPKLSPVVHRTASNDWELSHCTNKPPTAGGVNNRKRTISTQSSSPPVAHWASQRPQKSSRSARRTNLVPVLSNNDETPLLDTVSDMPGNEIGSGYSRRLSSGSPQQVKLKGDALSSAALSESEESGAAEIKCKGKVTKFDEIDEKAGQNVQKVSNLILPSRKNKLINGEDIGDGVRRQGRTGRGITTTRSLMPMTVEKYGNVGTAKQLRSARLGLDKAESKAGRPPTRKLTDRKPYARQKHAAINAAADLLVGLEDGHEELVAAVNALTSSARAFPNTFWRQMEPFLGFISDADIAYLKQQGNYELNKLGSTPVPSITDGCSIPINGFELLEHERDVGICAVASVDEVYSQQLLLDTRDNNMIPLCQRFLSALIPEEDIDSGNEDLPFDSYETGFEMDGELGCNGLTCINFQSTGHASFDGYRITEKPEHDDPEIDMLGNIGTNSNFSHSRNGSFPDQQMPGMVCSELQYESMTTNEKLILEAQSIGIFLEPLPDIAQMGDVEILEDISKLEEKHKEQVSKKKGVLDKLLKAALETRTIQEKEFEQCALDKLVTMAYEKYMTCWGPNATKSSSNKMIKQASLAFVKRTLDRCHKFEDTSRSCFDEPMLRDMFLSGSSHLNGVQSVDSPTDTESGKPCTKSSTRFLEARASGMHLIPMEIVKFPHVVVSVCMCLIPYNVFICQMFSMVKMGIAMLLILLICFYPQIGHPIKLLLKMTHGLTGGKGESYCWRMWLVVLLVPSQSLEVLCQVVQKERGVREIEKETDMVERFYLEMELIRLVDQYPMQRGKGNQKQSLSRKQLSYLLL >KJB08759 pep chromosome:Graimondii2_0_v6:1:11431831:11436753:-1 gene:B456_001G102100 transcript:KJB08759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSPDLQVSFFFFFFLFVVSSFAGDPYVFYDWTVSYITASPLGDKQQVIGINGQFPGPILNVTTNWNVVVNVKNDLDEPLLFTWNGIQHRKNSWQDGVSGTNCPIPAGWNWTYEFQVKDQIGSFFYFPSLNFQRAAGGYGGIIINNRAVIPLPFGMPDGDITIFISDWYTKSHKGLRKDVENGVDLGVPDGILINGYGPYRYDPTLVKNGIVYQIINVEPGKTYRFRVHNVGISTSLNFRIQNHNLLLVETEGSYTVQQNYSNMDIHVGQSYSFLVTMDQNASTDYYIVASPRFVNSSDWSKVNGVAILHYSNSQGPASGPLPSLNDYDAYFSMNQARSIRWNVSAGAARPNPQGSFKYGDITVTDVYVILNRPVELVDGKQRTTLNGISYLPPSTPLKLAQQFKIPGVYKLDFPNKLMNRPPKLDTSVINGTFKGFMEIIFQNNDTTVQSYHLDGYAFFVVGMDFGVWTENSRSTYNKWDGVARSTTQVFPGAWAAILVSLDNAGIWNLRAQNLDSWYLGQETYVSVVNPEVDQSEVLLPENSIYCGILSSLQKDQAQRVNFSGATSISGSSKMVFIMLIIALIGHSFR >KJB10585 pep chromosome:Graimondii2_0_v6:1:41251355:41253600:1 gene:B456_001G208700 transcript:KJB10585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTEYYVNIFVSLVLLAFLGLILRLYHELVVKPMKLRARLLKQGIKGPPPSLLIGNIREIKKAQSSIVKVPSMQNPATHNCGALLFPFLEQWRKQYGQVFVFSLGNTQILFVNQPDAVKEITTCTSLALGKPSYQQKDRGPLLGQGVLTSNGAIWAHQRKILAPELYMDKVKGMMNLIVESTFTLVDSWKTRIEVEGGLADIKIDEYMRSFSGDVISRACFGSNYCKGEEIFLKLRALQEAMSKKGLSTGVPGMRYLPIKSNREAWELEKEVRDLILHVVNERKGAATYENDLLQMVVDGAKNSDLSQEATERFVVDNCKNIYLAGYETTAVSATWCLMLLAANQEWQHNVRTEVVEICGGGTPDAGMLRKMNLVYIYIYMSK >KJB10584 pep chromosome:Graimondii2_0_v6:1:41251056:41253600:1 gene:B456_001G208700 transcript:KJB10584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTEYYVNIFVSLVLLAFLGLILRLYHELVVKPMKLRARLLKQGIKGPPPSLLIGNIREIKKAQSSIVKVPSMQNPATHNCGALLFPFLEQWRKQYGQVFVFSLGNTQILFVNQPDAVKEITTCTSLALGKPSYQQKDRGPLLGQGVLTSNGAIWAHQRKILAPELYMDKVKGMMNLIVESTFTLVDSWKTRIEVEGGLADIKIDEYMRSFSGDVISRACFGSNYCKGEEIFLKLRALQEAMSKKGLSTGVPGMRYLPIKSNREAWELEKEVRDLILHVVNERKGAATYENDLLQMVVDGAKNSDLSQEATERFVVDNCKNIYLAGYETTAVSATWCLMLLAANQEWQHNVRTEVVEICGGGTPDAGMLRKMNLLTKVIQESLRLYPPVAVVSREALEDMNFGEIFVPKGVNIWMMVLALHTDPEIWGEDAYKFNPNRFAKGIKGACKLPQVYMPFGVGPRVCLGQNLAMVELKLLMSLLLSNFSFSLSPNYTHSPVLRLVIEPENGVHLLVKKL >KJB10783 pep chromosome:Graimondii2_0_v6:1:45697268:45700100:-1 gene:B456_001G224100 transcript:KJB10783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPSGLLAKLWSFVSFIPFFSLLFILGLLKAAIIGPVAGAIIGSGNSAVVIGLWPAHFIWTYFSVARTKRLGLALKIVVLVSLIVPLGLWPVVAVAGSILGGIGYGVFAPLIATFQAAGQNAIDKCYHCFADGCLSTIKGSCTVVVDFTDFCFHSYFSYMDELSEKMPPDEKPMDVKLMKLPGCLLVGLIGMLVDVPLITVVTLWKSPYMLFRGWKRLFEDLIGREGPFLETVCVPFAGLAILLWPLAVVGAVVGAIIASFFLGLYGGVIVHQEDSLHMGFSYIISVVSLFDEYVNDLLYVREGSCLPRLRYRRNMDCNPEIEKVDNNCCNELESKGQASSTQLISENSRTLKWPIQQYRPMQVWDWLFKSYEVDGWILLRDGLITIKDIEECIVKGNCKKLCIRLQAWSILQCLLTSVKADASGIVIGDNAELTRSNMPRDKVFEWFAGPLFVMKEQLKKLELDESEEACLRKLVMECKNETPKDWNDSGYPSEDSVRRAQLQAIIRRLQGIVASMSRIPTFRRRFRNLVKLLYIEAIQADASVHHIGGILKARLSGQRSSGKGSKKDGYENDDAKGNKANENLDVV >KJB10782 pep chromosome:Graimondii2_0_v6:1:45696952:45700207:-1 gene:B456_001G224100 transcript:KJB10782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPSGLLAKLWSFVSFIPFFSLLFILGLLKVAGAIIGSGNSAVVIGLWPAHFIWTYFSVARTKRLGLALKIVVLVSLIVPLGLWPVVAVAGSILGGIGYGVFAPLIATFQAAGQNAIDKCYHCFADGCLSTIKGSCTVVVDFTDFCFHSYFSYMDELSEKMPPDEKPMDVKLMKLPGCLLVGLIGMLVDVPLITVVTLWKSPYMLFRGWKRLFEDLIGREGPFLETVCVPFAGLAILLWPLAVVGAVVGAIIASFFLGLYGGVIVHQEDSLHMGFSYIISVVSLFDEYVNDLLYVREGSCLPRLRYRRNMDCNPEIEKVDNNCCNELESKGQASSTQLISENSRTLKWPIQQYRPMQVWDWLFKSYEVDGWILLRDGLITIKDIEECIVKGNCKKLCIRLQAWSILQCLLTSVKADASGIVIGDNAELTRSNMPRDKVFEWFAGPLFVMKEQLKKLELDESEEACLRKLVMECKNETPKDWNDSGYPSEDSVRRAQLQAIIRRLQGIVASMSRIPTFRRRFRNLVKLLYIEAIQADASVHHIGGILKARLSGQRSSGKGSKKDGYENDDAKGNKANENLDVV >KJB08010 pep chromosome:Graimondii2_0_v6:1:5768565:5772676:1 gene:B456_001G058500 transcript:KJB08010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNQQTQSTPYPPQPPTSAITPPSSATATAPPFHHLLQQQQQQLQMFWSYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDETGLAPMVGATASGVPYFYPPMGQPAAGGPGGMMIGRPAVDPTGGIYGQPPSQAWQSVWQTAGTDDGSYGSGVTGGQGNLDGQG >KJB08011 pep chromosome:Graimondii2_0_v6:1:5768654:5772511:1 gene:B456_001G058500 transcript:KJB08011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNQQTQSTPYPPQPPTSAITPPSSATATAPPFHHLLQQQQQQLQMFWSYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDETGLAPMVGATASGVPYFYPPMGQPAAGGPGGMMIGRPAVDPTGGIYGQPPSQAWQSVWQTAGTDDGSYGSGVTGGQGNLDGQGEVDRASV >KJB08012 pep chromosome:Graimondii2_0_v6:1:5768654:5772507:1 gene:B456_001G058500 transcript:KJB08012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNQQTQSTPYPPQPPTSAITPPSSATATAPPFHHLLQQQQQQLQMFWSYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDETGLAPMVGATASGVPYFYPPMGQPAAGGPGGMMIGRPAVDPTGGIYGQPPSQAWQSVWQTAGTDDGSYGSGVTGGQGNLDGQGEVDRASV >KJB08106 pep chromosome:Graimondii2_0_v6:1:6500605:6504202:1 gene:B456_001G065100 transcript:KJB08106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQMAIRVSPTARLSLPPPSPSPPSPSVPSSIISTKPSLKPRQPKPISLSLPTSTAISLLALFSPPHEAKAINLSKEQIISSLNEVEKTIDQVQEASSSALDVAQQIFDVVGKVLKPAIDAGVPIAQKAGEEALKVASPAISEASKKAQEAFQGTGLDTEPVLSAAKTAADAAKQTTKVIEVAKPIASSTMETITSAEPITIVATGGALFVAYLLIPPIWSFISYNLRGYKGDLTPAQALDLISTQNYVMIDIRSEKDKDRAGVPRLPSSAKNRMVAIPLEELPSKLRSLVRNTKKVEAEIAALKISYLKKISKSSNIVIMDS >KJB08105 pep chromosome:Graimondii2_0_v6:1:6500605:6504202:1 gene:B456_001G065100 transcript:KJB08105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQMAIRVSPTARLSLPPPSPSPPSPSVPSSIISTKPSLKPRQPKPISLSLPTSTAISLLALFSPPHEAKAINLSKEQIISSLNEVEKTIDQVQEASSSALDVAQQIFDVVGKVLKPAIDAGVPIAQKAGEEALKVASPAISEASKKAQEAFQGTGLDTEPVLSAAKTAADAAKQTTKVIEVAKPIASSTMETITSAEPITIVATGGALFVAYLLIPPIWSFISYNLRGYKGGDLTPAQALDLISTQNYVMIDIRSEKDKDRAGVPRLPSSAKNRMVAIPLEELPSKLRSLVRNTKKVEAEIAALKISYLKKISKSSNIVIMDSYCDSAKTVARTLASLGFNNCWVVADGFSGRKGWLQSRLGTDSYNFSLVEILSPSNVFPAAAKRFGTTSTKFLPGAE >KJB08103 pep chromosome:Graimondii2_0_v6:1:6500480:6504226:1 gene:B456_001G065100 transcript:KJB08103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQMAIRVSPTARLSLPPPSPSPPSPSVPSSIISTKPSLKPRQPKPISLSLPTSTAISLLALFSPPHEAKAINLSKEQIISSLNEVEKTIDQVQEASSSALDVAQQIFDVVGKVLKPAIDAGVPIAQKAGEEALKVASPAISEASKKAQEAFQGTGLDTEPVLSAAKTAADAAKQTTKVIEVAKPIASSTMETITSAEPITIVATGGALFVAYLLIPPIWSFISYNLRGYKGDLTPAQALDLISTQNYVMIDIRSEKDKDRAGVPRLPSSAKNRMVAIPLEELPSKLRSLVRNTKKVEAEIAALKISYLKKISKSSNIVIMDSYCDSAKTVARTLASLGFNNCWVVADGFSGRKGWLQSRLGTDSYNFSLVEILSPSNVFPAAAKRFGTTSTKFLPGAE >KJB08104 pep chromosome:Graimondii2_0_v6:1:6500605:6502685:1 gene:B456_001G065100 transcript:KJB08104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQMAIRVSPTARLSLPPPSPSPPSPSVPSSIISTKPSLKPRQPKPISLSLPTSTAISLLALFSPPHEAKAINLSKEQIISSLNEVEKTIDQVQEASSSALDVAQQIFDVVGKVLKPAIDAGVPIAQKAGEEALKVASPAISEASKKAQEAFQGTGLDTEPVLSAAKTAADAAKQTTKVIEVAKPIASSTMETITSAEPITIVATGGALFVAYLLIPPIWSFISYNLRGYKGGHCL >KJB06582 pep chromosome:Graimondii2_0_v6:1:154901:159866:1 gene:B456_001G001600 transcript:KJB06582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISGAAERRDSRSGGKIVRPRRTLLRKTPYDRPRLLNSTQQNPNWISRHIFSPTRAIVSGATRVLSSVFGFESSSSSSSSSSSSDRDSTSDDTAGTNDGQDVSTEGFNNIGHSEPQPFAGKIETKRLIEQLLMQESFSREECNKLTNIIKSRVVDFPMIKGMGLERLNETPNRTGGTDVGIDDLCDTAVIEAKKWLEEKKSGSNSKSEFPHGTSAPNFVTLAHSVEGEAGSPVDMAKTYMRTRPPWTSPSTNNIEFRSPSPLGVPLFKEETPYSIGGKYLSSSKRKRDSPATGSWNIQEEIRKVRSKATQEMLRTLSSQKVDWSSLALEHKSVPDTLSAKNLGPAEEINLQSPKKPVDASEDLATRPVSLTPEVALNSDALPVPATLGCEENQDMEAVQSSEGKRER >KJB06581 pep chromosome:Graimondii2_0_v6:1:154829:161194:1 gene:B456_001G001600 transcript:KJB06581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISGAAERRDSRSGGKIVRPRRTLLRKTPYDRPRLLNSTQQNPNWISRHIFSPTRAIVSGATRVLSSVFGFESSSSSSSSSSSSDRDSTSDDTAGTNDGQDVSTEGFNNIGHSEPQPFAGKIETKRLIEQLLMQESFSREECNKLTNIIKSRVVDFPMIKGMGLERLNETPNRTGGTDVGIDDLCDTAVIEAKKWLEEKKSGSNSKSEFPHGTSAPNFVTLAHSVEGEAGSPVDMAKTYMRTRPPWTSPSTNNIEFRSPSPLGVPLFKEETPYSIGGKYLSSSKRKRDSPATGSWNIQEEIRKVRSKATQEMLRTLSSQKVDWSSLALEHKSVPDTLSAKNLGPAEEINLQSPKKPVDASEDLATRPVSLTPEVALNSDALPVPATLGCEENQDMEAVQSSEGKRGKTLDEGQRLQSAINFKTPSNSDVDHFKDANGSTLQFDSTRDGTVQVGSQIEDKNCSTIKEVAETGGAAANGLPSSGYSMPAEVEKEENHGAINEEENNTVGSGDENATRVGEASIEVAEVNENDVAISDSQHSSSMKFEGEGEGEDLAQKPNTRHSKRKSNSVMEKQEVKKVSRNNRRGKGRVR >KJB06584 pep chromosome:Graimondii2_0_v6:1:154901:161188:1 gene:B456_001G001600 transcript:KJB06584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISGAAERRDSRSGGKIVRPRRTLLRKTPYDRPRLLNSTQQNPNWISRHIFSPTRAIVSGATRVLSSVFGFESSSSSSSSSSSSDRDSTSDDTAGTNDGQDVSTEGFNNIGHSEPQPFAGKIETKRLIEQLLMQESFSREECNKLTNIIKSRVVDFPMIKGMGLERLNETPNRTGGTDVGIDDLCDTAVIEAKKWLEEKKSGSNSKSEFPHGTSAPNFVTLAHSVEGEAGSPVDMAKTYMRTRPPWTSPSTNNIEFRSPSPLGVPLFKEETPYSIGGKYLSSSKRKRDSPATGSWNIQEEIRKVRSKATQEMLRTLSSQKVDWSSLALEHKSVPDTLSAKNLGPAEEINLQSPKKPVDASEDLATRPVSLTPEVALNSDALPVPATLGCEENQDMEAVQSSEGKRGKTLDEGQRLQSAINFKTPSNSDVDHFKDANGSTLQFDSTRDGTVQGSQIEDKNCSTIKEVAETGGAAANGLPSSGYSMPAEVEKEENHGAINEEENNTVGSGDENATRVGEASIEVAEVNENDVAISDSQHSSSMKFEGEGEGEDLAQKPNTRHSKRKSNSVMEKQEVKKVSRNNRRGKGRVR >KJB06583 pep chromosome:Graimondii2_0_v6:1:154901:160455:1 gene:B456_001G001600 transcript:KJB06583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISGAAERRDSRSGGKIVRPRRTLLRKTPYDRPRLLNSTQQNPNWISRHIFSPTRAIVSGATRVLSSVFGFESSSSSSSSSSSSDRDSTSDDTAGTNDGQDVSTEGFNNIGHSEPQPFAGKIETKRLIEQLLMQESFSREECNKLTNIIKSRVVDFPMIKGMGLERLNETPNRTGGTDVGIDDLCDTAVIEAKKWLEEKKSGSNSKSEFPHGTSAPNFVTLAHSVEGEAGSPVDMAKTYMRTRPPWTSPSTNNIEFRSPSPLGVPLFKEETPYSIGGKYLSSSKRKRDSPATGSWNIQEEIRKVRSKATQEMLRTLSSQKVDWSSLALEHKSVPDTLSAKNLGPAEEINLQSPKKPVDASEDLATRPVSLTPEVALNSDALPVPATLGCEENQDMEAVQSSEGKRGKTLDEGQRLQSAINFKTPSNSDVDHFKDANGSTLQFDSTRDGTVQGKKLLICCFSA >KJB06569 pep chromosome:Graimondii2_0_v6:1:11104189:11108367:1 gene:B456_001G098800 transcript:KJB06569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSGDDSFSDAAEECYNAEFRSYPDDAWYNVRVLFAGNSGDKMRVKYDNFSDDYDNIFIADSFKSAYEVYDFIGRFRKASAQLQDPDCSMVVKGMRVCSSDSFGNDDVRFYDAIIDEVLHKKHSYVNGQEECECTFLISWLHGPNVGNITDKGVANICLLQGSEIPPKLASFIEIALQKIDKALCKSVSGTSNDLVAPHKDNKGSPIVKWKPSSSECIRQRKCAPRPLSAVWPLGGIEFGCASKQEETDLGGDKNLHKILVQNLEKELSSSTVSEFIHKQTSITTRVYIFPSLPWEPYTNGVIMMNCQKDLERLLGFLQNPNHFIASLNGRPWVATEKLLTNDHWTLMLSSPNKLLNRKVAGFNNELKVVCYGTKEYNKAKELRDLFLQFIEHQRGLYKKLRMEERNIS >KJB06571 pep chromosome:Graimondii2_0_v6:1:11104145:11108367:1 gene:B456_001G098800 transcript:KJB06571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSGDDSFSDAAEECYNAEFRSYPDDAWYNVRVLFAGNSGDKMRVKYDNFSDDYDNIFIADSFKSAYEVYDFIGRFRKASAQLQDPDCSMVVKGMRVCSSDSFGNDDVRFYDAIIDEVLHKKHSYVNGQEECECTFLISWLHGPNVGNITDKGVANICLLQGSEIPPKLASFIEIALQKIDKALCKSVSGTSNDLVAPHKDNKGSPIVKWKPSSSECIRQRKCAPRPLSAVWPLGGIEFGCASKQEETDLGGDKNLHKILVQNLEKELSSSTVSEFIHKQTSITTRVYIFPSLPWEPYTNGVIMMNCQKDLERLLGFLQNPNHFIASLNGRPWVATEKLLTNDHWTLMLSSPNKLLNRKVAGFNNELKVVCYGTKEYNKAKELRDLFLQFIEHQRGLYKKLRMEERNIS >KJB06570 pep chromosome:Graimondii2_0_v6:1:11104187:11108367:1 gene:B456_001G098800 transcript:KJB06570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSGDDSFSDAAEECYNAEFRSYPDDAWYNVRVLFAGNSGDKMRVKYDNFSDDYDNIFIADSFKSAYEVYDFIGRFRKASAQLQDPDCSMVVKGMRVCSSDSFGNDDVRFYDAIIDERKCAPRPLSAVWPLGGIEFGCASKQEETDLGGDKNLHKILVQNLEKELSSSTVSEFIHKQTSITTRVYIFPSLPWEPYTNGVIMMNCQKDLERLLGFLQNPNHFIASLNGRPWVATEKLLTNDHWTLMLSSPNKLLNRKVAGFNNELKVVCYGTKEYNKAKELRDLFLQFIEHQRGLYKKLRMEERNIS >KJB06573 pep chromosome:Graimondii2_0_v6:1:11106240:11108094:1 gene:B456_001G098800 transcript:KJB06573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVEFLLSGIYIYQVLHKKHSYVNGQEECECTFLISWLHGPNVGNITDKGVANICLLQGSEIPPKLASFIEIALQKIDKALCKSVSGTSNDLVAPHKDNKGSPIVKWKPSSSECIRQRKCAPRPLSAVWPLGGIEFGCASKQEETDLGGDKNLHKILVQNLEKELSSSTVSEFIHKQTSITTRVYIFPSLPWEPYTNGVIMMNCQKDLERLLGFLQNPNHFIASLNGRPWVATEKLLTNDHWTLMLSSPNKLLNRKVAGFNNELKVVCYGTKEYNKAKELRDLFLQFIEHQRGLYKKLRMEERNIS >KJB06572 pep chromosome:Graimondii2_0_v6:1:11104207:11108094:1 gene:B456_001G098800 transcript:KJB06572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSGDDSFSDAAEECYNAEFRSYPDDAWYNVRVLFAGNSGDKMRVKYDNFSDDYDNIFIADSFKSAYEVYDFIGRFRKASAQLQDPDCSMVVKGMRVCSSDSFGNDDVRFYDAIIDERKCAPRPLSAVWPLGGIEFGCASKQEETDLGGDKNLHKILVQNLEKELSSSTVSEFIHKQTSITTRVYIFPSLPWEPYTNGVIMMNCQKDLERLLGFLQNPNHFIASLNGRPWVATEKLLTNDHWTLMLSSPNKLLNRKVAGFNNELKVVCYGTKEYNKAKELRDLFLQFIEHQRGLYKKLRMEERNIS >KJB07646 pep chromosome:Graimondii2_0_v6:1:3206035:3208484:1 gene:B456_001G034900 transcript:KJB07646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCWPYFDPEFDNLPERIYGPPCRVCIDNDSMEECTVIKVDSVNKQGILLEVVQVLTNINLIILKSYISSDAGWFMDVFHVKDEHGDKIRDQNVINYILQAIGTVREIENTVKAMAYRNDVFNNSDQLSEHTAIEMKGTDRPGLFSEISAALADLHCNIVEAHAWSHNARLACVAYISDQSTDTPIDDPHRLATIEGHLTTLLRATTTPTQSESEIASPQEVKTAEFGEGTNMTDVERRLHQLMLSAGDFHRPEFEAMTPPPSSRSDGDEEGRKMVVTIENCHEKWYSIVSIECKDRPRLMFDTVCTLTDMQYVIFHASISSRDGRSLQEYFIRHVDGYALSSESEKEKVIKCLEAAIERRVCEGVRLELSAENRVGLLSDITRVLRENGLSVVRADVKTQGEKAVNAFYLKDILGNEVDTDVVESVKKEMDDVIDFEVKNDGGGSSSQQGGCLSSSPPQRSPGFFSLGDVLKSQITRFSHNFIPTN >KJB08934 pep chromosome:Graimondii2_0_v6:1:13316144:13317916:1 gene:B456_001G114000 transcript:KJB08934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQQKSSHVVLVMVTFQGHINAALQLATILHSKGFSITIVHLELNSPKPSNYPEFTFVSIPDNLTESQLSDKDIPGLVRSVNKNCAAPLQRCLEKILHSHHHISAVIYDSFMFCAQPIVDDLGLPGVTLCTHSALGLLFYHVFPQLNEKDCISGLESPELQALQLQGFHALSSQNPTEAGLEVGAAFANALKSSSAIIVNSMEFLELEVLSKIKQYLPTPIFIVGPLHKLAPTMCNSLCTEDNKCISWLNKQAPKSVIYVSFGSIANIDKQELIETAWGLSNSKQPFLWVFRPSMDRGSEWTESLLNELEESVGERGFIVKWAPQKEVLAHAAVGGFWSHCGWNSTIESICEGVPMLCRPFFGDQILNACYICNVWKIGLELQNQERGNIERTIKRLMVDMEGNYIRKRAMDLKEKAAFYLMEDGSTSCSFDGLAKHISSV >KJB10376 pep chromosome:Graimondii2_0_v6:1:35150386:35152149:-1 gene:B456_001G197800 transcript:KJB10376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKARRRIARQKKAVRIFPRPTAGPIRPVVHGQTLKYNMKLRAGNGFTLEELKAAGISKKLAPTIAIAVDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRCARKFKAGDSTAKELATATQVQGSYMPISREKPSVDLVKVTEEMKSFKAYNKLRVERMNERHIGARLKKAAEAEKEDKK >KJB07668 pep chromosome:Graimondii2_0_v6:1:3364946:3366058:1 gene:B456_001G036500 transcript:KJB07668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPYNPNALQSSSSKLLSATLTAKLHKCYMASLQITKQKSVQKPQNKALTPLVISNNNDDDGIAARDSGTGVRLVEKRSLAETEAKSAQVETTQQFKPLEEDHIEQMIEELLHYGSIELCNVYSQ >KJB06332 pep chromosome:Graimondii2_0_v6:1:44291180:44292414:1 gene:B456_001G219200 transcript:KJB06332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEVASVQTALHEEKTEESVKDQEATTDEVVAEAPAAEPVAEEPKEAEPAAAVVEEPEASVEVETKEVVEEPKAVTEEPVVEEAPKETVPEPVAEEIKETTEQTVETKEITESSEAAAEAPKEEVKKEEEAKPAEVEEKVETEADPAEKTE >KJB11365 pep chromosome:Graimondii2_0_v6:1:52629131:52634091:1 gene:B456_001G254700 transcript:KJB11365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVIGGKFKLGRKIGSGSFGELYLGVNVQTKEEVAVKLESAKTKHPQLHYESKLYMLLQGGTGIPHLKWFGIEADYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTSIEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYIFDWTVLKYPQIGGSSRVRHSSGRAGLAAGAAIEKPERISVGREIRDRFSGAVEAFSKRNVSSPSPRRDHSRHKTAEGVTLSKHVHPDSDKRCSSSRYGSTSRKAVVTSRPGSSSEPNDIPQNRQVSSSSRMSTTQRLLAFESKTSNRSATVKGSRDNHPLRSFELLSLRK >KJB06959 pep chromosome:Graimondii2_0_v6:1:4760243:4763198:-1 gene:B456_001G050100 transcript:KJB06959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLKLGFYNQRFHLLRFIPPQSSNVSPSTFWVQNLTNNNTTNHSSLSYTPRINPVIAKALRTEAAATAQPIRMVKAIRVHQLGGPQVLKWEDVELGEPKEGEIRIKNKAIGLNFIDIYFRKGVYKAATMPFTPGMEAVGEVTAVGPGLTGRKVGDIVAYAGNPMGSYAEEQILPADKVVPVPPSVDPITAASIMLKGMTAQFLVRRCFKVESGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSTKEKAAQAKEDGCHHVIIYKEEDFVSRVNEITSGKGVEVVYDSVGKDTFEGSLACLKPRGYMVSFGQSSGTPDPVPLSALAPKSLFLTRPSMMQYTSTRDELLETAGEVFANVASGVLRVRVNHKYPLSEAAQAHADLENRRTTGSVVLIP >KJB06960 pep chromosome:Graimondii2_0_v6:1:4760256:4763143:-1 gene:B456_001G050100 transcript:KJB06960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLKLGFYNQRFHLLRFIPPQSSNVSPSTFWVQNLTNNNTTNHSSLSYTPRINPVIAKALRTEAAATAQPIRMVKAIRVHQLGGPQVLKWEDVELGEPKEGEIRIKNKAIGLNFIDIYFRKGVYKAATMPFTPGMEAVGEVTAVGPGLTGRKVGDIVAYAGNPMGSYAEEQILPADKVVPVPPSVDPITAASIMLKGMTAQFLVESGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSTKEKAAQAKEDGCHHVIIYKEEDFVSRVNEITSGKGVEVVYDSVGKDTFEGSLACLKPRGYMVSFGQSSGTPDPVPLSALAPKSLFLTRPSMMQYTSTRDELLETAGEVFANVASGVLRVRVNHKYPLSEAAQAHADLENRRTTGSVVLIP >KJB09560 pep chromosome:Graimondii2_0_v6:1:20551130:20553866:1 gene:B456_001G149900 transcript:KJB09560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLVSFFKDPEFANVDSSENESIPFRGTILRTKSGKFSSVSEPCVSCTTFNILAPIYKRLDQQNQNVRESDFRAFWLARNERIVDWLLYESSSIICLQEFWVGNEELVQMYEQRLGASGYDTFKLARTNNRGDGLLTAIHKEYFRVLNYRELLFNDFGDRVAQLLHVQSVIPFLQNNDDSMQQEILIVNTHLLFPHDSSLSIVRLHQVYKILQYLETYQRENKLSQVPVMLCGDWNGSKRGHVYKFLRSQGFVSSYDIAHEYTDSDHKWVSHRNHRGNICGVDFIWLRNPNKSIKPLKISWAEAAFGIIKYQLQKAQLNEKDAFDFLRADNNGNYITYSDFCDALKQFPGDERSLGPSRC >KJB09558 pep chromosome:Graimondii2_0_v6:1:20550780:20554511:1 gene:B456_001G149900 transcript:KJB09558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLVSFFKDPEFANVDSSENESIPFRGTILRTKSGKFSSVSEPCVSCTTFNILAPIYKRLDQQNQNVRESDFRAFWLARNERIVDWLLYESSSIICLQEFWVGNEELVQMYEQRLGASGYDTFKLARTNNRGDGLLTAIHKEYFRVLNYRELLFNDFGDRVAQLLHVQSVIPFLQNNDDSMQQEILIVNTHLLFPHDSSLSIVRLHQVYKILQYLETYQRENKLSQVPVMLCGDWNGSKRGHVYKFLRSQGFVSSYDIAHEYTDSDHKWVSHRNHRGNICGVDFIWLRNPNKSIKPLKISWAEAAFGIIKYQLQKAQLNEKDAFDFLRADNNGNYITYSDFCDALKQLKLTSLSHGLSFQEMKDLWVQADVDGNGVLDYDEFLRIWYCTCSEHIDEDSNSEDSNEGITKEAFGFAVKNAILFPREVEKGIWPENYHLSDHARLTALFSPVRLRCSQKSL >KJB09559 pep chromosome:Graimondii2_0_v6:1:20550976:20554030:1 gene:B456_001G149900 transcript:KJB09559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLVSFFKDPEFANVDSSENESIPFRGTILRTKSGKFSSVSEPCVSCTTFNILAPIYKRLDQQNQNVRESDFRAFWLARNERIVDWLLYESSSIICLQEFWVGNEELVQMYEQRLGASGYDTFKLARTNNRGDGLLTAIHKEYFRVLNYRELLFNDFGDRVAQLLHVQSVIPFLQNNDDSMQQEILIVNTHLLFPHDSSLSIVRLHQVYKILQYLETYQRENKLSQVPVMLCGDWNGSKRGHVYKFLRSQGFVSSYDIAHEYTDSDHKWVSHRNHRGNICGVDFIWLRNPNKSIKPLKISWAEAAFGIIKYQLQKAQLNEKDAFDFLRADNNGNYITYSDFCDALKQVFFIHFLDLIIMMGVIKMKFSSFPNHLQLKLTSLSHGLSFQEMKDLWVQADVDGNGVLDYDEFLVCISNLCLLPVTDFLDQMVISFDNII >KJB09562 pep chromosome:Graimondii2_0_v6:1:20551491:20554314:1 gene:B456_001G149900 transcript:KJB09562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISFRLLAVLIMCTDYPERFNSVTVVLDVLFSFYNFSKYSLLVSNLRIYVSFSTTGLLTAIHKEYFRVLNYRELLFNDFGDRVAQLLHVQSVIPFLQNNDDSMQQEILIVNTHLLFPHDSSLSIVRLHQVYKILQYLETYQRENKLSQVPVMLCGDWNGSKRGHVYKFLRSQGFVSSYDIAHEYTDSDHKWVSHRNHRGNICGVDFIWLRNPNKSIKPLKISWAEAAFGIIKYQLQKAQLNEKDAFDFLRADNNGNYITYSDFCDALKQLKLTSLSHGLSFQEMKDLWVQADVDGNGVLDYDEFLRIWYCTCSEHIDEDSNSEDSNEGITKEAFGFAVKNAILFPREVEKGIWPENYHLSDHARLTALFSPVRLRCSQKSL >KJB09561 pep chromosome:Graimondii2_0_v6:1:20550976:20554314:1 gene:B456_001G149900 transcript:KJB09561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLVSFFKDPEFANVDSSENESIPFRGTILRTKSGKFSSVSEPCVSCTTFNILAPIYKRLDQQNQNVRESDFRAFWLARNERIVDWLLYESSSIICLQEFWVGNEELVQMYEQRLGASGYDTFKLARTNNRGDGLLTAIHKEYFRVLNYRELLFNDFGDRVAQLLHVQSVIPFLQNNDDSMQQEILIVNTHLLFPHDSSLSIVRLHQVYKILQYLETYQRENKLSQVPVMLCGDWNGSKRGHVYKFLRSQGFVSSYDIAHEYTDSDHKWVSHRNHRGNICGVDFIWLRNPNKSIKPLKISWAEAAFGIIKYQLQKAQLNEKDAFDFLRADNNGNYITYSDFCDALKQEMKDLWVQADVDGNGVLDYDEFLRIWYCTCSEHIDEDSNSEDSNEGITKEAFGFAVKNAILFPREVEKGIWPENYHLSDHARLTALFSPVRLRCSQKSL >KJB06746 pep chromosome:Graimondii2_0_v6:1:2395066:2396418:1 gene:B456_001G025800 transcript:KJB06746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSEMRDVWVQRRAKFFIIPSPAEDQKKLRAQQSSQGIRAGLKAAAITGVFTAVPTLIAVRKVAWAKANLNHTAQALIISGASIAAYFITVDKTVLESARKNSRAQFDNKTA >KJB06744 pep chromosome:Graimondii2_0_v6:1:2395066:2396418:1 gene:B456_001G025800 transcript:KJB06744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSEMRDVWVQRRAKFFIIPSPAEDQKKLRAQQSSQEGIRAGLKAAAITGVFTAVPTFVRLLGQRQTSTILLKHLS >KJB06745 pep chromosome:Graimondii2_0_v6:1:2395187:2395935:1 gene:B456_001G025800 transcript:KJB06745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSEMRDVWVQRRAKFFIIPSPAEDQKKLRAQQSSQEGIRAGLKAAAITGVFTAVPTLIAVRKVAWAKANLNHTAQALIISGGFF >KJB06747 pep chromosome:Graimondii2_0_v6:1:2395051:2396630:1 gene:B456_001G025800 transcript:KJB06747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSEMRDVWVQRRAKFFIIPSPAEDQKKLRAQQSSQEGIRAGLKAAAITGVFTAVPTLIAVRKVAWAKANLNHTAQALIISGASIAAYFITVDKTVLESARKNSRAQFDNKTA >KJB06743 pep chromosome:Graimondii2_0_v6:1:2395066:2396444:1 gene:B456_001G025800 transcript:KJB06743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSEMRDVWVQRRAKFFIIPSPAEDQKKLRAQQSSQEGIRAGLKAAAITGVFTAVPTLIAVRKVAWAKANLNHTAQALIISGASIAAYFITVDKTVLESARKNSRAQFDNKTA >KJB11791 pep chromosome:Graimondii2_0_v6:1:2086296:2089607:-1 gene:B456_001G0222002 transcript:KJB11791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSLLSNQQRFRSSYVGSLARRFQDAYESTEVANLRELYLRNDPEAVIRLFESQASLHSNRSALSEYVKALVKVDRLDESELLKTLQKGISSSAREEEIKGGLSALRNVGKPTNNGALGTASAPIHMVSSESGNFKDQLWRIFRSLALGFLLISGIGALIEDRGISKGLGLHEEVQVVESNTKFNDVKGVDEAKAELEEIVHYLQDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGRRNPKDQQYMRMTLNQLLVELDGFKQNEGVIVIAATNFPESLDKALVRPGRVDRHIIVPYPDVEGRRQIMESHMSKVLKAEDVDLMIIARGTPGFSGADLANLVNIAAVKAAMDGAKAVTMTDLEFAKDKIILGSERKSAVISEESLKLTAFHEAGHALVAIHTNGALPVHKATIVPRGSSLGMVSQLPDKDQTSFSRKQMLARLDVAMGGRVAEELIFGENEVTSGAVSDLENATNLARKMVTRYGMSKEVGLVTHFYNDHGRSMSTETRLLIEKEVRELLERAMLAQFNSQQQQEQCEQRIEPQNGSKFNPVPSSASPATSAAAAAAATATATATAAAKGKGVAPVGS >KJB11787 pep chromosome:Graimondii2_0_v6:1:2086201:2089607:-1 gene:B456_001G0222002 transcript:KJB11787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSLLSNQQRFRSSYVGSLARRFQDAYESTEVANLRELYLRNDPEAVIRLFESQASLHSNRSALSEYVKALVKVDRLDESELLKTLQKGISSSAREEEIKGGLSALRNVGKPTNNGALGTASAPIHMVSSESGNFKDQLWRIFRSLALGFLLISGIGALIEDRGISKGLGLHEEVQVVESNTKFNDVKGVDEAKAELEEIVHYLQDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGRRNPKDQQYMRMTLNQLLVELDGFKQNEGVIVIAATNFPESLDKALVRPGRVDRHIIVPYPDVEGRRQIMESHMSKVLKAEDVDLMIIARGTPGFSGADLANLVNIAAVKAAMDGAKAVTMTDLEFAKDKIILGSERKSAVISEESLKLTAFHEAGHALVAIHTNGALPVHKATIVPRGSSLGMVSQLPDKDQTSFSRKQMLARLDVAMGGRVAEELIFGENEVTSGAVSDLENATNLARKMVTRYGMSKEVGLVTHFYNDHGRSMSTETRLLIEKEVRELLERAYNNAKTILTTHCKEHYALANALLEHETLTGSQIKAMLAQFNSQQQQEQCEQRIEPQNGSKFNPVPSSASPATSAAAAAAATATATATAAAKGKGVAPVGS >KJB11788 pep chromosome:Graimondii2_0_v6:1:2086829:2089006:-1 gene:B456_001G0222002 transcript:KJB11788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSESGNFKDQLWRIFRSLALGFLLISGIGALIEDRGISKGLGLHEEVQVVESNTKFNDVKGVDEAKAELEEIVHYLQDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGRRNPKDQQYMRMTLNQLLVELDGFKQNEGVIVIAATNFPESLDKALVRPGRVDRHIIVPYPDVEGRRQIMESHMSKVLKAEDVDLMIIARGTPGFSGADLANLVNIAAVKAAMDGAKAVTMTDLEFAKDKIILGSERKSAVISEESLKLTAFHEAGHALVAIHTNGALPVHKATIVPRGSSLGMVSQLPDKDQTSFSRKQMLARLDVAMGGRVAEELIFGENEVTSGAVSDLENATNLARKMVTRYGMSKEVGLVTHFYNDHGRSMSTETRLLIEKEVRELLERAYNNAKTILTTHCKEHYALANALLEHETLTGSQIKAMLAQFNSQQQQEQCEQRIEPQNGSKFNPVPSSASPATSAAAAAAATATATATAAAKGKGVAPVGS >KJB11790 pep chromosome:Graimondii2_0_v6:1:2086296:2089607:-1 gene:B456_001G0222002 transcript:KJB11790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSLLSNQQRFRSSYVGSLARRFQDAYESTEVANLRELYLRNDPEAVIRLFESQASLHSNRSALSEYVKALVKVDRLDESISSSAREEEIKGGLSALRNVGKPTNNGALGTASAPIHMVSSESGNFKDQLWRIFRSLALGFLLISGIGALIEDRGISKGLGLHEEVQVVESNTKFNDVKGVDEAKAELEEIVHYLQDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGRRNPKDQQYMRMTLNQLLVELDGFKQNEGVIVIAATNFPESLDKALVRPGRVDRHIIVPYPDVEGRRQIMESHMSKVLKAEDVDLMIIARGTPGFSGADLANLVNIAAVKAAMDGAKAVTMTDLEFAKDKIILGSERKSAVISEESLKLTAFHEAGHALVAIHTNGALPVHKATIVPRGSSLGMVSQLPDKDQTSFSRKQMLARLDVAMGGRVAEELIFGENEVTSGAVSDLENATNLARKMVTRYGMSKEVGLVTHFYNDHGRSMSTETRLLIEKEVRELLERAYNNAKTILTTHCKEHYALANALLEHETLTGSQIKAMLAQFNSQQQQEQCEQRIEPQNGSKFNPVPSSASPATSAAAAAAATATATATAAAKGKGVAPVGS >KJB11789 pep chromosome:Graimondii2_0_v6:1:2086829:2089605:-1 gene:B456_001G0222002 transcript:KJB11789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSLLSNQQRFRSSYVGSLARRFQDAYESTEVANLRELYLRNDPEAVIRLFESQASLHSNRSALSEYVKALVKVDRLDESELLKTLQKGISSSAREEEIKGGLSALRNVGKPTNNGALGTASAPIHMVSSESGNFKDQLWRIFRSLALGFLLISGIGALIEDRGISKGLGLHEEVQVVESNTKFNDVKGVDEAKAELEEIVHYLQDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGRRNPKDQQYMRMTLNQLLVELDGFKQNEGVIVIAATNFPESLDKALVRPGRVDRHIIVPYPDVEGRRQIMESHMSKVLKAEDVDLMIIARGTPGFSGADLANLVNIAAVKAAMDGAKAVTMTDLEFAKDKIILGSERKSAVISEESLKLTAFHEAGHALVAIHTNGALPVHKATIVPRGSSLGMVSQLPDKDQTSFSRKQMLARLDVAMGGRVAEELIFGENEVTSGAVSDLENATNLARKMVTRYGMSKEVGLVTHFYNDHGRSMSTETRLLIEKEVRELLERAYNNAKTILTTHCKEHYALANALLEHETLTGSQIKAMLAQFNSQQQQEQCEQRIEPQNGSKFNPVPSSASPATSAAAAAAATATATATAAAKGKGVAPVGS >KJB11786 pep chromosome:Graimondii2_0_v6:1:2086829:2089006:-1 gene:B456_001G0222002 transcript:KJB11786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSESGNFKDQLWRIFRSLALGFLLISGIGALIEDRGISKGLGLHEEVQVVESNTKFNDVKGVDEAKAELEEIVHYLQDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGRRNPKDQQYMRMTLNQLLVELDGFKQNEGVIVIAATNFPESLDKALVRPGRVDRHIIVPYPDVEGRRQIMESHMSKVLKAEDVDLMIIARGTPGFSGADLANLVNIAAVKAAMDGAKAVTMTDLEFAKDKIILGSERKSAVISEESLKLTAFHEAGHALVAIHTNGALPVHKATIVPRGSSLGMVSQLPDKDQTSFSRKQMLARLDVAMGGRVAEELIFGENEVTSGAVSDLENATNLARKMVTRYGMSKEVGLVTHFYNDHGRSMSTETRLLIEKEVRELLERAYNNAKTILTTHCKEHYALANALLEHETLTGSQIKAMLAQFNSQQQQEQCEQRIEPQNGSKFNPVPSSASPATSAAAAAAATATATATAAAKGKGVAPVGS >KJB10876 pep chromosome:Graimondii2_0_v6:1:46667543:46669830:-1 gene:B456_001G230100 transcript:KJB10876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSRALKVAPILLLLLILFTFANAKKSADVTQLQIGVKHKPKSCEFQAHKGDRIKVHYRGKLTDGTVFDSSFERGDPIEFELGSGQVIKGLFPLATTDNLKLSFGQWFIHFMTGLLIVACMELIDA >KJB10875 pep chromosome:Graimondii2_0_v6:1:46667543:46669308:-1 gene:B456_001G230100 transcript:KJB10875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVCLFVHILEIVSFFIAILCCLLSYYVFFFFTFSQHKPKSCEFQAHKGDRIKVHYRGKLTDGTVFDSSFERGDPIEFELGSGQVIKGWDQGLLGMCVGEKRKLKIPAKLGYGDHGSPPKIPGGATLVFDTELVAVNGKPSSGGDNTSEDEL >KJB10877 pep chromosome:Graimondii2_0_v6:1:46667954:46669830:-1 gene:B456_001G230100 transcript:KJB10877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSRALKVAPILLLLLILFTFANAKKSADVTQLQIGVKHKPKSCEFQAHKGDRIKVHYRGKLTDGTVFDSSFERGDPIEFELGSGQVIKGWDQGLLGMCVGEKRKLKIPAKLGYGDHGSPPKIPGNSFVAVVLIWMIVTTSMA >KJB10874 pep chromosome:Graimondii2_0_v6:1:46667531:46669875:-1 gene:B456_001G230100 transcript:KJB10874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSRALKVAPILLLLLILFTFANAKKSADVTQLQIGVKHKPKSCEFQAHKGDRIKVHYRGKLTDGTVFDSSFERGDPIEFELGSGQVIKGWDQGLLGMCVGEKRKLKIPAKLGYGDHGSPPKIPGGATLVFDTELVAVNGKPSSGGDNTSEDEL >KJB09027 pep chromosome:Graimondii2_0_v6:1:14620117:14623188:1 gene:B456_001G120000 transcript:KJB09027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPTLLVPSLQNQVSAIYKVILSTKKPLLVRVTWCKNQTGQGLILNFGDDDEDDPSTCFKLNTNLRFFRKKKGNKVIEANHSKIEVIWDLSSAKYDAGPEPVNGFYVLVMVDSEISLVLGDIDEETVTRKFKKKTPVAKVSLISRQEHCSGNTLYSTKAQFSDTGIVHDVLIRFGGENEGLKHPVLSVYIDKKTVIRVKRLQWNFRGNQTIFVDGLSVDLMWDVHDWFFKPVTGSAIFMFRTRSGFDSRLWLEEKLLQKDQDRHGFSLLIYACKNT >KJB08434 pep chromosome:Graimondii2_0_v6:1:8625930:8626287:1 gene:B456_001G081400 transcript:KJB08434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGCLDETRTLNYGQVFVQFSGSRSDQRDIVQGKVIVAKNPCLHPGDVRVLRAVNVPDLHHMVDCVVFP >KJB09239 pep chromosome:Graimondii2_0_v6:1:17532939:17537497:1 gene:B456_001G134500 transcript:KJB09239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MYRKILMRMRMRMRMMNATRKVVVGWAKEYKRYLSTTTTNQILLSPPLVSVDLPEIWGSTSTLYHHHPSQSPVSHAKIINGKSIAEEITSRVASNVKRMKESIGKVPGLAVILVGQRRDSLTYVRNKIKACEEAGIKSVVAEFPDSCAEEDIMTSLSKFNEDPSVHGILVQLPLPEHLDEEKILNLVSLEKDVDGFHPINMGNLSMRGREPLFVPCTPKGCLELLLRSGVEIVGKKAVVIGRSNIVGLPIALLLQRHHATVTIVHACTKNPERITCEADIVVTAAGVPSLIRSSWLKPGAVVIDVGTCPVEVKLLLFH >KJB09236 pep chromosome:Graimondii2_0_v6:1:17532838:17537507:1 gene:B456_001G134500 transcript:KJB09236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MYRKILMRMRMRMRMMNATRKVVVGWAKEYKRYLSTTTTNQILLSPPLVSVDLPEIWGSTSTLYHHHPSQSPVSHAKIINGKSIAEEITSRVASNVKRMKESIGKVPGLAVILVGQRRDSLTYVRNKIKACEEAGIKSVVAEFPDSCAEEDIMTSLSKFNEDPSVHGILVQLPLPEHLDEEKILNLVSLEKDVDGFHPINMGNLSMRGREPLFVPCTPKGCLELLLRSGVEIVGKKAVVIGRSNIVGLPIALLLQRHHATVTIVHACTKNPERITCEADIVVTAAGVPSLIRSSWLKPGAVVIDVGTCPVEDPSAEYGYRLVGDVCYEEALSIVSAVTPVPGGVGPMTIAMLLCNTLDSAKRLYGFT >KJB09238 pep chromosome:Graimondii2_0_v6:1:17532939:17537476:1 gene:B456_001G134500 transcript:KJB09238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MYRKILMRMRMRMRMMNATRKVVVGWAKEYKRYLSTTTTNQILLSPPLVSVDLPEIWGSTSTLYHHHPSQSPVSHAKIINGKSIAEEITSRVASNVKRMKESIGKVPGLAVILVGQRRDSLTYVRNKIKACEEAGIKSVVAEFPDSCAEEDIMTSLSKFNEDPSVHGILVQLPLPELEYYLEFYKRTLYVLQMTCKSFLVQHLDEEKILNLVSLEKDVDGFHPINMGNLSMRGREPLFVPCTPKGCLELLLRSGVEIVGKKAVVIGRSNIVGLPIALLLQRHHATVTIVHACTKNPERITCEADIVVTAAGVPSLIRSSWLKPGAVVIDVGTCPVEDPSAEYGYRLVGDVCYEEALSIVSAVTPVPGGVGPMTIAMLLCNTLDSAKRLYGFT >KJB09240 pep chromosome:Graimondii2_0_v6:1:17532939:17537476:1 gene:B456_001G134500 transcript:KJB09240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MYRKILMRMRMRMRMMNATRKVVVGWAKEYKRYLSTTTTNQILLSPPLVSVDLPEIWGSTSTLYHHHPSQSPVSHAKIINGKSIAEEITSRVASNVKRMKESIGKVPGLAVILVGQRRDSLTYVRNKIKACEEAGIKSVVAEFPDSCAEEDIMTSLSKFNEDPSVHGILVQLPLPEHLDEEKILNLVSLEKDVDGFHPINMGNLSMRGREPLFVPCTPKGCLELLLRSGVEIVGKKAVVIGRSNIVGLPIALLLQRHHATVTIVHACTKNPERITCEADIVVTAAGVPSLIRSSWLKPGAVVIDVGTCPVEVKLLLFH >KJB09237 pep chromosome:Graimondii2_0_v6:1:17532939:17537476:1 gene:B456_001G134500 transcript:KJB09237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MYRKILMRMRMRMRMMNATRKVVVGWAKEYKRYLSTTTTNQILLSPPLVSVDLPEIWGSTSTLYHHHPSQSPVSHLDEEKILNLVSLEKDVDGFHPINMGNLSMRGREPLFVPCTPKGCLELLLRSGVEIVGKKAVVIGRSNIVGLPIALLLQRHHATVTIVHACTKNPERITCEADIVVTAAGVPSLIRSSWLKPGAVVIDVGTCPVEDPSAEYGYRLVGDVCYEEALSIVSAVTPVPGGVGPMTIAMLLCNTLDSAKRLYGFT >KJB09363 pep chromosome:Graimondii2_0_v6:1:17870232:17878055:-1 gene:B456_001G136400 transcript:KJB09363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGKSVKKEFKGFGLHSGTVNSFDSSSGFFEIVYEDGDSEELDFRQVASLVMADGSNPILEPRSDPELEVLREKPRVGRPRKRRRVERKARVCPGNAKQETLASNANVNLDTNADLNEGFSGNLKGNKSVDGNLGGTLDEKGIGSLMDLNLNSNGDIEMKIGFDLNSSGFDLNLNDTCCSNNYLNDNRISCSEGESVKKRGCIDLNLDASCDVDDSINLNCKTQGKECSFDLNLGADEEIDKDAIAGNCVWQVEVRESATCADILKETLIIEKNDAVEDVSRNELNNHSGLGSVDGILEKGAIVHQNVIKADDCGGVGLEGVPESGTAVTDGCQVDIGSSFKQASGRRKRIKLVNGLDSSTERVLRRSARRVSSRNHVSSTPPPATTCDVAGLATSPSVSAVTEEKPVRSSRRVSEEPVVLPPKLQLPPSSENLNLDGISVLDIFSIYACLRSFSTLLFLSPFELEDFVAALKCQSPSSLFDCIHVSILQTLKKHLVYLSSEGSESASECLRSLNWGFLDSITWPNFMVEYLLIHGSWLDYDFDLTSLKLFRCDYYKQPASVKVEILRCLCDDMIEVEAVRSELNRRSLASETDMDFDRNMNNGVCKKRKATKDLSGGSGFTEEIVDDTTDWNSDDCCLCKMDGNLICCDGCPAAYHSKCVGVVNAHLPEGDWYCPECAIEREKPWVKPRKSLRGAELLGIDPHGRLYYNSSGYLLVLDSFDAECPSNYYHRDDLIFVLDVLKSSFQYGDIIEAICKQWDVAVGSNGASNNFDSLHSACSGTHRKVKIPTVSSSLPLVSSAEICVIRNETADGGKPEEKEVAEISGHRVIEVAESTNMLDLVTGTEIPYMSSEGSAETMQMGSVFLNFQKQGSVEVSNQSEIPGKCSTLEDSSLISNDLDARQESKTKFASQQTPGVLNAKRGDASQLQPGTGYVNHYSFAQTASLVVEELLRKPSEKTNDDSLKSLEEIIGNQMKVILKKSNRFHWPDIYNLYVDAHKENCGWCFSCRYPVDDTDCLFRITSGCVPEVSKSDMLDLQSRWNKKGHVIDVIYHIFSIENRLSGLLSGPWLNPQYMKIWHKSILNASGIVSVKHLLLTLEASLHHLALSTDWMKHVDSAVIMGSASHVVIASSRGSAKHGIARKRGSCNDNESNPTSNPSVGPSICWWRGGRVSRQLFNWKVLPCSLVSKAARQGGGKKIPGILYPESSDFAKRSRSIAWRAAVESSTSIEQLAFQVRELDSNIRWDDAENTHPLPTLPKDFKKSIRLFKKCVVRRKSIETDVVKYLLDFGKRRIIPEIVKRYGTVVEESSSERKKYWLNESYVPLHLVKSFEERRIARNSNKMVSDKTSEISRMAKESSKKKGFSYLFSKAERTEYYQCGHCNKDVLIR >KJB09362 pep chromosome:Graimondii2_0_v6:1:17869676:17878055:-1 gene:B456_001G136400 transcript:KJB09362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGKSVKKEFKGFGLHSGTVNSFDSSSGFFEIVYEDGDSEELDFRQVASLVMADGSNPILEPRSDPELEVLREKPRVGRPRKRRRVERKARVCPGNAKQETLASNANVNLDTNADLNEGFSGNLKGNKSVDGNLGGTLDEKGIGSLMDLNLNSNGDIEMKIGFDLNSSGFDLNLNDTCCSNNYLNDNRISCSEGESVKKRGCIDLNLDASCDVDDSINLNCKTQGKECSFDLNLGADEEIDKDAIAGNCVWQVEVRESATCADILKETLIIEKNDAVEDVSRNELNNHSGLGSVDGILEKGAIVHQNVIKADDCGGVGLEGVPESGTAVTDGCQVDIGSSFKQASGRRKRIKLVNGLDSSTERVLRRSARRVSSRNHVSSTPPPATTCDVAGLATSPSVSAVTEEKPVRSSRRVSEEPVVLPPKLQLPPSSENLNLDGISVLDIFSIYACLRSFSTLLFLSPFELEDFVAALKCQSPSSLFDCIHVSILQTLKKHLVYLSSEGSESASECLRSLNWGFLDSITWPNFMVEYLLIHGSWLDYDFDLTSLKLFRCDYYKQPASVKVEILRCLCDDMIEVEAVRSELNRRSLASETDMDFDRNMNNGVCKKRKATKDLSGGSGFTEEIVDDTTDWNSDDCCLCKMDGNLICCDGCPAAYHSKCVGVVNAHLPEGDWYCPECAIEREKPWVKPRKSLRGAELLGIDPHGRLYYNSSGYLLVLDSFDAECPSNYYHRDDLIFVLDVLKSSFQYGDIIEAICKQWDVAVGSNGASNNFDSLHSACSGTHRKVKIPTVSSSLPLVSSAEICVIRNETADGGKPEEKEVAEISGHRVIEVAESTNMLDLVTGTEIPYMSSEGSAETMQMGSVFLNFQKQGSVEVSNQSEIPGKCSTLEDSSLISNDLDARQESKTKFASQQTPGVLNAKRGDASQLQPGTGYVNHYSFAQTASLVVEELLRKPSEKTNDDSLKSLEEIIGNQMKVILKKSNRFHWPDIYNLYVDAHKENCGWCFSCRYPVDDTDCLFRITSGCVPEVSKSDMLDLQSRWNKKGHVIDVIYHIFSIENRLSGLLSGPWLNPQYMKIWHKSILNASGIVSVKHLLLTLEASLHHLALSTDWMKHVDSAVIMGSASHVVIASSRGSAKHGIARKRGSCNDNESNPTSNPSVGPSICWWRGGRVSRQLFNWKVLPCSLVSKAARQGGGKKIPGILYPESSDFAKRSRSIAWRAAVESSTSIEQLAFQVRELDSNIRWDDAENTHPLPTLPKDFKKSIRLFKKCVVRRKSIETDVVKYLLDFGKRRIIPEIVKRYGTVVEESSSERKKYWLNESYVPLHLVKSFEERRIARNSNKMVSDKTSEISRMAKESSKKKGFSYLFSKAERTEYYQCGHCNKDVLIREAICCQYCKGKWCSSILKCIRLVFVFTK >KJB09364 pep chromosome:Graimondii2_0_v6:1:17871314:17878386:-1 gene:B456_001G136400 transcript:KJB09364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGKSVKKEFKGFGLHSGTVNSFDSSSGFFEIVYEDGDSEELDFRQVASLVMADGSNPILEPRSDPELEVLREKPRVGRPRKRRRVERKARVCPGNAKQETLASNANVNLDTNADLNEGFSGNLKGNKSVDGNLGGTLDEKGIGSLMDLNLNSNGDIEMKIGFDLNSSGFDLNLNDTCCSNNYLNDNRISCSEGESVKKRGCIDLNLDASCDVDDSINLNCKTQGKECSFDLNLGADEEIDKDAIAGNCVWQVEVRESATCADILKETLIIEKNDAVEDVSRNELNNHSGLGSVDGILEKGAIVHQNVIKADDCGGVGLEGVPESGTAVTDGCQVDIGSSFKQASGRRKRIKLVNGLDSSTERVLRRSARRVSSRNHVSSTPPPATTCDVAGLATSPSVSAVTEEKPVRSSRRVSEEPVVLPPKLQLPPSSENLNLDGISVLDIFSIYACLRSFSTLLFLSPFELEDFVAALKCQSPSSLFDCIHVSILQTLKKHLVYLSSEGSESASECLRSLNWGFLDSITWPNFMVEYLLIHGSWLDYDFDLTSLKLFRCDYYKQPASVKVEILRCLCDDMIEVEAVRSELNRRSLASETDMDFDRNMNNGVCKKRKATKDLSGGSGFTEEIVDDTTDWNSDDCCLCKMDGNLICCDGCPAAYHSKCVGVVNAHLPEGDWYCPECAIEREKPWVKPRKSLRGAELLGIDPHGRLYYNSSGYLLVLDSFDAECPSNYYHRDDLIFVLDVLKSSFQYGDIIEAICKQWDVAVGSNEICVIRNETADGGKPEEKEVAEISGHRVIEVAESTNMLDLVTGTEIPYMSSEGSAETMQMGSVFLNFQKQGSVEVSNQSEIPGKCSTLEDSSLISNDLDARQESKTKFASQQTPGVLNAKRGDASQLQPGTGYVNHYSFAQTASLVVEELLRKPSEKTNDDSLKSLEEIIGNQMKVILKKSNRFHWPDIYNLYVDAHKENCGWCFSCRYPVDDTDCLFRITSGCVPEVSKSDMLDLQSRWNKKGHVIDVIYHIFSIENRLSGLLSGPWLNPQYMKIWHKSILNASGIVSVKHLLLTLEASLHHLALSTDWMKHVDSAVIMGSASHVVIASSRGSAKHGIARKRGSCNDNESNPTSNPSVGPSICWWRGGRVSRQLFNWKVLPCSLVSKAARQGGGKKIPGILYPESSDFAKRSRSIAWRAAVESSTSIEQLAFQCFLQR >KJB09361 pep chromosome:Graimondii2_0_v6:1:17867893:17878547:-1 gene:B456_001G136400 transcript:KJB09361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGKSVKKEFKGFGLHSGTVNSFDSSSGFFEIVYEDGDSEELDFRQVASLVMADGSNPILEPRSDPELEVLREKPRVGRPRKRRRVERKARVCPGNAKQETLASNANVNLDTNADLNEGFSGNLKGNKSVDGNLGGTLDEKGIGSLMDLNLNSNGDIEMKIGFDLNSSGFDLNLNDTCCSNNYLNDNRISCSEGESVKKRGCIDLNLDASCDVDDSINLNCKTQGKECSFDLNLGADEEIDKDAIAGNCVWQVEVRESATCADILKETLIIEKNDAVEDVSRNELNNHSGLGSVDGILEKGAIVHQNVIKADDCGGVGLEGVPESGTAVTDGCQVDIGSSFKQASGRRKRIKLVNGLDSSTERVLRRSARRVSSRNHVSSTPPPATTCDVAGLATSPSVSAVTEEKPVRSSRRVSEEPVVLPPKLQLPPSSENLNLDGISVLDIFSIYACLRSFSTLLFLSPFELEDFVAALKCQSPSSLFDCIHVSILQTLKKHLVYLSSEGSESASECLRSLNWGFLDSITWPNFMVEYLLIHGSWLDYDFDLTSLKLFRCDYYKQPASVKVEILRCLCDDMIEVEAVRSELNRRSLASETDMDFDRNMNNGVCKKRKATKDLSGGSGFTEEIVDDTTDWNSDDCCLCKMDGNLICCDGCPAAYHSKCVGVVNAHLPEGDWYCPECAIEREKPWVKPRKSLRGAELLGIDPHGRLYYNSSGYLLVLDSFDAECPSNYYHRDDLIFVLDVLKSSFQYGDIIEAICKQWDVAVGSNEICVIRNETADGGKPEEKEVAEISGHRVIEVAESTNMLDLVTGTEIPYMSSEGSAETMQMGSVFLNFQKQGSVEVSNQSEIPGKCSTLEDSSLISNDLDARQESKTKFASQQTPGVLNAKRGDASQLQPGTGYVNHYSFAQTASLVVEELLRKPSEKTNDDSLKSLEEIIGNQMKVILKKSNRFHWPDIYNLYVDAHKENCGWCFSCRYPVDDTDCLFRITSGCVPEVSKSDMLDLQSRWNKKGHVIDVIYHIFSIENRLSGLLSGPWLNPQYMKIWHKSILNASGIVSVKHLLLTLEASLHHLALSTDWMKHVDSAVIMGSASHVVIASSRGSAKHGIARKRGSCNDNESNPTSNPSVGPSICWWRGGRVSRQLFNWKVLPCSLVSKAARQGGGKKIPGILYPESSDFAKRSRSIAWRAAVESSTSIEQLAFQVRELDSNIRWDDAENTHPLPTLPKDFKKSIRLFKKCVVRRKSIETDVVKYLLDFGKRRIIPEIVKRYGTVVEESSSERKKYWLNESYVPLHLVKSFEERRIARNSNKMVSDKTSEISRMAKESSKKKGFSYLFSKAERTEYYQCGHCNKDVLIREAICCQYCKGFFHKRHVRKSAGAIIAKCAYTCHRCLGGKSNVNVKKGGNIMKWKGDTKGQRTITKSARKLPQKCIRANEKSLAVRMSLRSRKDKKGAAAVPLRRSPRKIKYISLQKKKPGRCKKGKKKSKKKATKKIKEITWQRKRTRIYHSFWLNGLRLSSKPNDERVMQFQRKMVFDSSEHKIVSPDPPRCFLCRESGYASNSNYIACEICGEWFHGDAYGLNSGNKSKIIGFRCHVCRKTIPPVCPNMMATRVDEISIG >KJB10532 pep chromosome:Graimondii2_0_v6:1:40353885:40360552:1 gene:B456_001G206200 transcript:KJB10532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATMGPPPPRNPNPSTEPESIAQEESEPRTAKTTMGPPPPLPINPNPSTEPESIAPEESELITAKTTMGPPPPLPINPNLQNPLDEEEPSNSKSEPNSTEKPLNPKQSSVPYTIPPWSGPPCHHFFLEVLKDGCILDRFKVFEKGAYMFGRIDLCDFVLEHPTISRFHAVLQFRSSGEAYLYDLGSTHGTFINKSQVTKKTYVDLRVGDVIRFGHSTRLYIFQGPSELMPPEKDLKVIREAKIREEMLDREASLRRARAEASLSDGISWGMGEDAIEEAEDDADEVTWQTYKGQLTEKQEKTRDKIIKRTEKIAHMKKEIDAIRAKDIAQGGLTQGQQTQIARNEQRITQVLEELESLEETLNESIRESIGARGGTTRGKRKGGPEDDEEDISSDDDEFYDRTKKKPTVQKVGETQSIETADSLLDKRDAITKEIEEKKELLLTEKNKMTSDTGLETEAGDALDAYMSGLSSQLVLDRTVQIEKELSALQSELDRIFYLLKIADPTGEAAKKRDMKAQVPAPDRPRPPAAAVRKQIAKEPKKISSATEPANSPVQKEGVADVSMESRKKPEENVVSDTSEGEKAIYTVAKPQWLGAVENKEIKESNQVIVVDTHKVDDFVDYKDRKKVLGSADNPQVKEPSGIEATASGLIIRTQKQVEKPEAGDKPSDQSTTPSTGAEEIAQNAVALLLKHTRGYHADEEELNETPDMSARNQSKKKEKKPKRVLGPEKPSFLDSNPDPEYETWVPPEGQSGDGRTTLNDRYGTSACGILLT >KJB10531 pep chromosome:Graimondii2_0_v6:1:40354093:40359942:1 gene:B456_001G206200 transcript:KJB10531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATMGPPPPRNPNPSTEPESIAQEESEPRTAKTTMGPPPPLPINPNPSTEPESIAPEESELITAKTTMGPPPPLPINPNLQNPLDEEEPSNSKSEPNSTEKPLNPKQSSVPYTIPPWSGPPCHHFFLEVLKDGCILDRFKVFEKGAYMFGRIDLCDFVLEHPTISRFHAVLQFRSSGEAYLYDLGSTHGTFINKSQVTKKTYVDLRVGDVIRFGHSTRLYIFQGPSELMPPEKDLKVIREAKIREEMLDREASLRRARAEASLSDGISWGMGEDAIEEAEDDADEVTWQTYKGQLTEKQEKTRDKIIKRTEKIAHMKKEIDAIRAKDIAQGGLTQGQQTQIARNEQRITQVLEELESLEETLNESIRESIGARGGTTRGKRKGGPEDDEEDISSDDDEFYDRTKKKPTVQKVGETQSIETADSLLDKRDAITKEIEEKKELLLTEKNKMTSDTGLETEAGDALDAYMSGLSSQLVLDRTVQIEKELSALQSELDRIFYLLKIADPTGEAAKKRDMKAQVPAPDRPRPPAAAVRKQIAKEPKKISSATEPANSPVQKEGVADVSMESRKKPEENVVSDTSEGEKAIYTVAKPQWLGAVENKEIKESNQVIVVDTHKVDDFVDYKDRKKVLGSADNPQVKEPSGIEATASGLIIRTQKQVEKPEAGDKPSDQSTTPSTGAEEIAQNAVALLLKHTRGYHADEEELNETPDMSARNQSKKKEKKPKRVLGPEKPSFLDSNPDPEYETWVPPEGTLLSVNESEIWVASIMGSNEWIAT >KJB10530 pep chromosome:Graimondii2_0_v6:1:40354113:40360038:1 gene:B456_001G206200 transcript:KJB10530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATMGPPPPRNPNPSTEPESIAQEESEPRTAKTTMGPPPPLPINPNPSTEPESIAPEESELITAKTTMGPPPPLPINPNLQNPLDEEEPSNSKSEPNSTEKPLNPKQSSVPYTIPPWSGPPCHHFFLEVLKDGCILDRFKVFEKGAYMFGRIDLCDFVLEHPTISRFHAVLQFRSSGEAYLYDLGSTHGTFINKSQVTKKTYVDLRVGDVIRFGHSTRLYIFQGPSELMPPEKDLKVIREAKIREEMLDREASLRRARAEASLSDGISWGMGEDAIEEAEDDADEVTWQTYKGQLTEKQEKTRDKIIKRTEKIAHMKKEIDAIRAKDIAQGGLTQGQQTQIARNEQRITQVLEELESLEETLNESIRESIGARGGTTRGKRKGGPEDDEEDISSDDDEFYDRTKKKPTVQKVGETQSIETADSLLDKRDAITKEIEEKKELLLTEKNKMTSDTGLETEAGDALDAYMSGLSSQLVLDRTVQIEKELSALQSELDRIFYLLKIADPTGEAAKKRDMKAQVPAPDRPRPPAAAVRKQIAKEPKKISSATEPANSPVQKEGVADVSMESRKKPEENVVSDTSEGEKAIYTVAKPQWLGAVENKEIKESNQVIVVDTHKVDDFVDYKDRKKVLGSADNPQVKEPSGIEATASGLIIRTQKQVEKPEAGDKPSDQSTTPSTGAEEIAQNAVALLLKHTRGYHADEEELNETPDMSARNQSKKKEKKPKRVLGPEKPSFLDSNPDPEYETWVPPEGQSGDGRTTLNDRYGY >KJB10443 pep chromosome:Graimondii2_0_v6:1:38090775:38092012:1 gene:B456_001G201300 transcript:KJB10443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDPGVWSYKGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLTKIFGIHLFLSGLACL >KJB09569 pep chromosome:Graimondii2_0_v6:1:20594927:20597812:1 gene:B456_001G150000 transcript:KJB09569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVLEIGFRFLPPDEEIISFFLKKKMMGDHKTTRYIREVDLLKHEPWQLPAMSVVQSTYPEWFFFYKLSKISQRKNDRSTNDGYWKSTGADRPITCGDSLIGTKKTLVFYKGRTPNGLRTDWVMHEFRATADSLPPNADNSYVVGYLRGNAAEKNEYLISNVYQQCTRFAASSSQDNAVGATSMESNSADFDIALRMYMEEEGMFDSDLSPSGGLHCFPSSSAIFNNDQSRIEEERFSLEDFDYLQSDDLFDDENEWQRQFVNTIDDEMEFYGALFHNPDERTNAEAEYRDNAWVKKHYRVVTHDQIVHMSDGTCHGHSEGLNQRRMETGVHHDEVLIMDSGVESATVTAYEINCLELVQEERSVNSRACKSEYKPISHKSAVLRHPRRVQLQDKSLGKAVSRDKTRESGIRGPAVQPIQDKKSILQANEGPKMDRDRNTKLIVNWRSEGSSGSSRKNSFNFVEMSQLSCKADPALVYFRNLVLGLILFVVLVREVMFLR >KJB09564 pep chromosome:Graimondii2_0_v6:1:20595036:20596550:1 gene:B456_001G150000 transcript:KJB09564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVLEIGFRFLPPDEEIISFFLKKKMMGDHKTTRYIREVDLLKHEPWQLPAMSVVQSTYPEWFFFYKLSKISQRKNDRSTNDGYWKSTGADRPITCGDSLIGTKKTLVFYKGRTPNGLRTDWVMHEFRATADSLPPNADNSYVVGYLRGNAAEKNEYLISNVYQQCTRFAASSSQDNAVGATSMESNSADFDIALRMYMEEEGMFDSDLSPSGGLHCFPSSSAIFNNDQSRIEEERFSLEDFDYLQSDDLFDDENEWQRQFVNTIDDEMEFYGALFHNPDERTNAEAEYRDNAWVKKHYRVVTVGDFSNGE >KJB09566 pep chromosome:Graimondii2_0_v6:1:20594947:20597777:1 gene:B456_001G150000 transcript:KJB09566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVLEIGFRFLPPDEEIISFFLKKKMMGDHKTTRYIREVDLLKHEPWQLPAMSVVQSTYPEWFFFYKLSKISQRKNDRSTNDGYWKSTGADRPITCGDSLIGTKKTLVFYKGRTPNGLRTDWVMHEFRATADSLPPNADNSYVVGYLRGNAAEKNEYLISNVYQQCTRFAASSSQDNAVGATSMESNSADFDIALRMYMEEEGMFDSDLSPSGGLHCFPSSSAIFNNDQSRIEEERFSLEDFDYLQSDDLFDDENEWQRQFVNTIDDEMEFYGALFHNPDERTNAEAEYRDNAWHDQIVHMSDGTCHGHSEGLNQRRMETGVHHDEVLIMDSGVESATVTAYEINCLELVQEERSVNSRACKSEYKPISHKSAVLRHPRRVQLQDKSLGKAVSRDKVS >KJB09568 pep chromosome:Graimondii2_0_v6:1:20594947:20597777:1 gene:B456_001G150000 transcript:KJB09568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVLEIGFRFLPPDEEIISFFLKKKMMGDHKTTRYIREVDLLKHEPWQLPAMSVVQSTYPEWFFFYKLSKISQRKNDRSTNDGYWKSTGADRPITCGDSLIGTKKTLVFYKGRTPNGLRTDWVMHEFRATADSLPPNAQCTRFAASSSQDNAVGATSMESNSADFDIALRMYMEEEGMFDSDLSPSGGLHCFPSSSAIFNNDQSRIEEERFSLEDFDYLQSDDLFDDENEWQRQFVNTIDDEMEFYGALFHNPDERTNAEAEYRDNAWHDQIVHMSDGTCHGHSEGLNQRRMETGVHHDEVLIMDSGVESATVTAYEINCLELVQEERSVNSRACKSEYKPISHKSAVLRHPRRVQLQDKSLGKAVSRDKTRESGIRGPAVQPIQDKKSILQANEGPKMDRDRNTKLIVNWRSEGSSGSSRKNSFNFVEMSQLSCKADPALVYFRNLVLGLILFVVLVREVMFLR >KJB09565 pep chromosome:Graimondii2_0_v6:1:20594927:20597812:1 gene:B456_001G150000 transcript:KJB09565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVLEIGFRFLPPDEEIISFFLKKKMMGDHKTTRYIREVDLLKHEPWQLPAMSVVQSTYPEWFFFYKLSKISQRKNDRSTNDGYWKSTGADRPITCGDSLIGTKKTLVFYKGRTPNGLRTDWVMHEFRATADSLPPNADNSYVVGYLRGNAAEKNEYLISNVYQQCTRFAASSSQDNAVGATSMESNSADFDIALRMYMEEEGMFDSDLSPSGGLHCFPSSSAIFNNDQSRIEEERFSLEDFDYLQSDDLFDDENEWQRQFVNTIDDEMEFYGALFHNPDERTNAEAEYRDNAWHDQIVHMSDGTCHGHSEGLNQRRMETGVHHDEVLIMDSGVESATVTAYEINCLELVQEERSVNSRACKSEYKPISHKSAVLRHPRRVQLQDKSLGKAVSRDKTRESGIRGPAVQPIQDKKSILQANEGPKMDRDRNTKLIVNWRSEGSSGSSRKNSFNFVEMSQLSCKADPALVYFRNLVLGLILFVVLVREVMFLR >KJB09567 pep chromosome:Graimondii2_0_v6:1:20595036:20596843:1 gene:B456_001G150000 transcript:KJB09567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVLEIGFRFLPPDEEIISFFLKKKMMGDHKTTRYIREVDLLKHEPWQLPAMSVVQSTYPEWFFFYKLSKISQRKNDRSTNDGYWKSTGADRPITCGDSLIGTKKTLVFYKGRTPNGLRTDWVMHEFRATADSLPPNADNSYVVGYLRGNAAEKNEYLISNVYQQCTRFAASSSQDNAVGATSMESNSADFDIALRMYMEEEGMFDSDLSPSGGLHCFPSSSAIFNNDQSRIEEERFSLEDFDYLQSDDLFDDENEWQRQFVNTIDDEMEFYGALFHNPDERTNAEAEYRDNAWVKKHYRVVTVGDFSNA >KJB10495 pep chromosome:Graimondii2_0_v6:1:39941284:39941963:1 gene:B456_001G2051001 transcript:KJB10495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGEDLSEGEAKVLMQYGWVPNTGLGTMLNYCDRVVHDRKNESDSSEWRSKIGKLLVDAYNGGNIVSASLVEDVIEQDSERTQIKTEL >KJB10493 pep chromosome:Graimondii2_0_v6:1:39941284:39941942:1 gene:B456_001G2051001 transcript:KJB10493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGEDLSEGEAKVLMQYGWVPNTGLGTMLNYCDRVVHDRKNESDSSEWRSKIGKLLVDAYNGGNIVSASLVEDVIEQDSERTQIKTEL >KJB10494 pep chromosome:Graimondii2_0_v6:1:39941284:39941547:1 gene:B456_001G2051001 transcript:KJB10494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGEDLSEGEAKVLMQYGWVPNTGLGTMLNYCDRVVHDRKNESDSSEWRSKIGKLLVDAYNGGNIVSASLVEDVIEQDSERTQIKTEL >KJB08634 pep chromosome:Graimondii2_0_v6:1:10490093:10492212:1 gene:B456_001G094700 transcript:KJB08634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQNFLLKYRECFGCSTELVNDSYGCFDCNIFFHKKCVELPIKISHPYHRKHQLILESDFCFCNLCQVSHSGLFYRCSICNLDFHSECLPPSVIKDKKHHEHPFTLLLRPNSFTCDACDTQGDNVSYICSTCNIQVHKDCISLPRFIRLTLHRHPLSRNFFLSIHFHDSRTWDCRICYKKINMEHGSYCCSRPNCEFVIHVKCAISWENKFWYDITELENPDEFKELDESGNLIFRVLRETKVGDNVVAAEIIHSSHDQHSLTFNDEINDNKHCNGCMMPISSSFYYCSCCDFFLHKACAELPRRTLLWFPQSSFRLLTDGIFKCWLCDYDCSGFSYKTANGLVVCLRCATTPHNFTYQAKEPHYLFYDAENVSNCSACGRRRDRKGSYICKDCNFVLNSGCVTLPKTARHNFDEHPLKLVYEDNNDYPLHHWCDICEKKRDSKFWFYHCKVCDNAMHPKCVLGEYPFIKLGSKYKYKGHPHPLSFIQKIDYHPLCEKCREPCQDLSLECEEHGCNFVGHWKCLRVFSEVYSYHH >KJB08842 pep chromosome:Graimondii2_0_v6:1:12236146:12237978:-1 gene:B456_001G107400 transcript:KJB08842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTIFSKSPSPPTRHHTFTESLIEENIEAAELSITKWDSLYGDDHVSYCNIASLFSENNRDEAKQYLSSIKGLQKAMRYLVSLESTSDKLVRAQTLMQTAMKRLEREFYQILKSNRNYLDPESVSNHSSSRLSVSRSSFSDSEEGGSENDSIPEVERGSSAVIADLRAIAEAMISAGYAKECFKIYRTIRKSIVDEALYNLGVERNLGFQQIQKMEWEILEVKIKHWLNAVKGAVKTVFYGERLLCDQVFAISSSIRESCFTDVSKEGALALFGFPENVAKCKKTPEKMFRILDLYEAVSDLWPEIESIFSFESTSTVRSTAVNSLIRLSDAVRTMLTDFEMAIQKHSSKTTVPGGGIHPLTRYVMNYISFLADYSGVLSDIISDWPLQISSPLPESYFGSPDKEESISSPISVRLAWLILVMLCKLDGKAEMYKDVALSYLFLANNLQYVVNKVRQSNLKLLLGDNWVTKHEQKVRKYAANYERMGWSKVFAALPENPTAEIPVDQVIEHFRNFNSAFEEAYKKQTSWVVTDPKLRDEIKLSLARRIGPIYKEFFDKYGGVQLMKEMWAETLVRYTPDDLGNYCSDLFFRSGSSGSISSSSSQGGGYSR >KJB10145 pep chromosome:Graimondii2_0_v6:1:29806471:29806910:-1 gene:B456_001G186600 transcript:KJB10145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEGAVRARSKKALLVKSEDDDVKALRYNPQVSRIQTEERTKESAKLRKCDSNLESYEDNVNLIKFRGFSKEVKMSKVFKYNEVNSKNEGIRRTRTRKIINFFPFSNTVH >KJB06881 pep chromosome:Graimondii2_0_v6:1:6556127:6558090:-1 gene:B456_001G065700 transcript:KJB06881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNFMFLRIKTKTTLVILFCFLSPIVSAISFTKIRLPKNATGPEALAFELTIPRFFTGIADGRILKYLGPTIGFQEFGFAAPNRPKSVCDGTETANPNPVCGRPLGMALHHRTNQLYVCDAFFGFGVLGPRGGLVTQLSIAADGEPYRFCNGVDVHQPTGNVYFTDASSIFDITQLDIAASVMDSTGRLLKYDAKTKQVTVLVRNLSFAAGVAVDEEEKFVMVTEFTANRTRKISLQGGGSVIATIQPTPDNIKRTRLNKFWLAAARVVPRMDSSLLPTGVRINGNGTVLETFNLERWYGNKSVSEVQEFGTKLYIVSRLVDFIGVLLKH >KJB06946 pep chromosome:Graimondii2_0_v6:1:42231873:42233930:1 gene:B456_001G211700 transcript:KJB06946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLVGKLFPFTIFICLMNIIVLPLVSSSSQQHVKSIDSIADKKDSIHKVSHKLMFEIRLHGFLLWASMGFLMPVGILAIRMSNRQECGTRLKILFYVHVVSQILSLLISTAGAVMSLKNFNNSFNNHHQRLGLALYGIIWLQALTGVLRPCRGSKGRSGWFFAHWLLGTAISILGIINIYTGLRAYHEKTLRIIKPWTIVLTAEISLIAFVYLFQDKWLYIQKQGLILGDESVTPKPQDSSSTEKQKESSSEKY >KJB06947 pep chromosome:Graimondii2_0_v6:1:42232126:42233693:1 gene:B456_001G211700 transcript:KJB06947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLVGKLFPFTIFICLMNIIVLPLVSSSSQQHVKSIDSIADKKDSIHKILSLLISTAGAVMSLKNFNNSFNNHHQRLGLALYGIIWLQALTGVLRPCRGSKGRSGWFFAHWLLGTAISILGIINIYTGLRAYHEKTLRIIKPWTIVLTAEISLIAFVYLFQDKWLYIQKQGLILGDESVTPKPQDSSSTEKQKESSSEKY >KJB06945 pep chromosome:Graimondii2_0_v6:1:42231873:42233930:1 gene:B456_001G211700 transcript:KJB06945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLVGKLFPFTIFICLMNIIVLPLVSSSSQQHVKSIDSIADKKDSIHKVSHKLMFEIRLHGFLLWASMGFLMPVGILAIRMSNRQECGTRLKILFYVHVVSQILSLLISTAGAVMSLKNFNNSFNNHHQRLGLALYGIIWLQALTGVLRPCRGSKGRSGWFFAHWLLGTAISILGIINIYTGLRAYHEKTLRIIKPWTIVLTAEISLIAFVYLFQDKWLYIQKQGLILGDESVTPKPQDSSSTEKQKESSSEKY >KJB10229 pep chromosome:Graimondii2_0_v6:1:31483099:31484299:1 gene:B456_001G190600 transcript:KJB10229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSRGGLALVLCPVLPSRFTLLFYPKSTLFCFFLLAFFSTLLAVFSFSFLPLFGITTGLRTPIIKHPPSHVFRSSPPAKLVKFLLLCPWEPIKKIQPSS >KJB10659 pep chromosome:Graimondii2_0_v6:1:42911122:42913199:-1 gene:B456_001G214600 transcript:KJB10659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTATATSKKPHLSFCPFGATSITLTNFTSPPIRRPMTTSAVSIEKETVISERPYTFLRQTDGEDNGSIRSRFQSMILEMQESVCGALEALDGAGKFKEDAWTRPGGGGGISRVLQDGAVFEKAGVNISVVYGVMPPEAYRAAKASAAGQKSGPVPLFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDTPGAPRQWWFGGGTDLTPAYIFEEDIKHFHSIQKRACDKFDPSFYPRFKKWCDDYFFIKHRSERRGLGGIFFDDLNDYDQEMLLSFATGNSS >KJB10660 pep chromosome:Graimondii2_0_v6:1:42910283:42913199:-1 gene:B456_001G214600 transcript:KJB10660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTATATSKKPHLSFCPFGATSITLTNFTSPPIRRPMTTSAVSIEKETVISERPYTFLRQTDGEDNGSIRSRFQSMILEMQESVCGALEALDGAGKFKEDAWTRPGGGGGISRVLQDGAVFEKAGVNISVVYGVMPPEAYRAAKASAAGQKSGPVPLFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDTPGAPRQWWFGGGTDLTPAYIFEEDIKHFHSIQKRACDKFDPSFYPRFKKWCDDYFFIKHRSERRGLGGIFFDDLNDYDQEMLLSFATECADSVVPAYIPILEKRKDTPFNESHKAWQQLWRGAM >KJB10842 pep chromosome:Graimondii2_0_v6:1:46406610:46410028:1 gene:B456_001G227800 transcript:KJB10842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGSEETLHSWDLDKEGGKADSSVEEKTAEREGSEILEPYVGMEFESEDDAKKYYIEYARRIGFMVRIMQRRRSGIDGRTLARRLGCNKQGFSPNHKGTLGQEKKPRPSAREGCKATILVKMEKTGKWVVTRFEKEHNHPLMITANGFNTTGDKDKKIEELTMELAHQEQLCSAYREKLFAFINNVEKQTEELSSKIEVIVDNVRKLEAERQRFTHRSAGSLGI >KJB10843 pep chromosome:Graimondii2_0_v6:1:46407212:46410028:1 gene:B456_001G227800 transcript:KJB10843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQITWDLDKEGGKADSSVEEKTAEREGSEILEPYVGMEFESEDDAKKYYIEYARRIGFMVRIMQRRRSGIDGRTLARRLGCNKQGFSPNHKGTLGQEKKPRPSAREGCKATILVKMEKTGKWVVTRFEKEHNHPLMITANGFNTTGDKDKKIEELTMELAHQEQLCSAYREKLFAFINNVEKQTEELSSKIEVIVDNVRKLEAERQRFTHRSAGSLGI >KJB10844 pep chromosome:Graimondii2_0_v6:1:46407349:46410028:1 gene:B456_001G227800 transcript:KJB10844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFESEDDAKKYYIEYARRIGFMVRIMQRRRSGIDGRTLARRLGCNKQGFSPNHKGTLGQEKKPRPSAREGCKATILVKMEKTGKWVVTRFEKEHNHPLMITANGFNTTGDKDKKIEELTMELAHQEQLCSAYREKLFAFINNVEKQTEELSSKIEVIVDNVRKLEAERQRFTHRSAGSLGI >KJB11663 pep chromosome:Graimondii2_0_v6:1:54780098:54782316:1 gene:B456_001G270600 transcript:KJB11663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSFLMPPCRKIRICKAKREPNLNHSDSSSGVEPQDADYSYVPQLCDELENLILARFPRSEYWKLYLLNKHFLQILKSGELFKIRRQIGFKESSIFMSASGGNSWWAFDRLFKCCRKLPELPSRDVSFIGGDRESLCAGSHLIVAGWETTDGYVVWRFELETSKWIKGPFMIDPRCLFASATCGTFGFVAGGIGMGLKGAKVLNSAEKYNPETKSWENLKCMHKPRKFCSGCFMDNKFYVIGGKDENNNELTCGEAYNQDKNTWELIPDMLKDDDNQVATQQSPPLLAVVNNELYSLKTSSNELRVYIKNSNTWKKLGAAPVRADLHQGWGVAFKSLGNELLVIGFSSSISSGNDNGKGMTIYTCKPEPESKELQWRCIEGYKDRLNFFLLNCCVMVA >KJB11662 pep chromosome:Graimondii2_0_v6:1:54780098:54782316:1 gene:B456_001G270600 transcript:KJB11662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSFLMPPCRKIRICKAKREPNLNHSDSSSGVEPQDADYSYVPQLCDELENLILARFPRSEYWKLYLLNKHFLQILKSGELFKIRRQIGFKESSIFMSASGGNSWWAFDRLFKCCRKLPELPSRDVSFIGGDRESLCAGSHLIVAGWETTDGYVVWRFELETSKWIKGPFMIDPRCLFASATCGTFGFVAGGIGMGLKGAKVLNSAEKYNPETKSWENLKCMHKPRKFCSGCFMDNKFYVIGGKDENNNELTCGEAYNQDKNTWELIPDMLKDDDNQVATQQSPPLLAVVNNELYSLKTSSNELRVYIKNSNTWKKLGAAPVRADLHQGWGVAFKSLGNELLVIGFSSSISSGNDNGKGMTIYTCKPEPESKELQWRCIEGYKDRLNFFLLNCCVMVA >KJB07605 pep chromosome:Graimondii2_0_v6:1:3023939:3024173:-1 gene:B456_001G0327001 transcript:KJB07605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVVTTQISNQLGTTDWKKSIPSLSL >KJB07602 pep chromosome:Graimondii2_0_v6:1:3023939:3024306:-1 gene:B456_001G0327001 transcript:KJB07602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVVTTQISNQLGTTDWKKSIPSLSL >KJB07604 pep chromosome:Graimondii2_0_v6:1:3023939:3024026:-1 gene:B456_001G0327001 transcript:KJB07604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVVTTQISNQLGTTDWKKSIPSLSL >KJB07603 pep chromosome:Graimondii2_0_v6:1:3023939:3024117:-1 gene:B456_001G0327001 transcript:KJB07603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVVTTQISNQLGTTDWKKSIPSLSL >KJB10086 pep chromosome:Graimondii2_0_v6:1:30104386:30109804:1 gene:B456_001G187900 transcript:KJB10086 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor B [Source:Projected from Arabidopsis thaliana (AT3G25040) UniProtKB/Swiss-Prot;Acc:Q8VWI1] MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGISLKTQELYAIVFASRYLDIFTDFISLYNTLMKLIFLGSSFSIVWYMRSHNVVRRSYDKAQDTFRHYFILLPCLLLALFIHEKFTFKEVMWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRGLYILNWVYRYLTEPHYVHWIPWISGIVQTLLYADFFYYYFDSWKNNKKLQLPA >KJB10085 pep chromosome:Graimondii2_0_v6:1:30104386:30109777:1 gene:B456_001G187900 transcript:KJB10085 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor B [Source:Projected from Arabidopsis thaliana (AT3G25040) UniProtKB/Swiss-Prot;Acc:Q8VWI1] MKLIFLGSSFSIVWYMRSHNVVRRSYDKAQDTFRHYFILLPCLLLALFIHEKFTFKEVMWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRGLYILNWVYRYLTEPHYVHWIHTPLCRLFLLLLRQLEEQQKAPVASLIPHAKDCKYLNFCGILFLMNAHPISHVI >KJB10744 pep chromosome:Graimondii2_0_v6:1:45030234:45031938:-1 gene:B456_001G221700 transcript:KJB10744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYKKPRFKDAAFKRFLSVVLGTLLLVSLLVVSLGTNATPGSSGLDEDLSESVVNIQSFREKLNLPKENDFSIRLEKQNRLPPRNIDLYPRLAKDHITIVLYVHNRPQYLRVVVNSLSKVVGISETLLIVSHDGYFEEMNKIVEGIKFCRLKQIFAPYSPHVFTDSFPGVSSKDCQEKDEAGKKHCVGNPDQYGNHRSPNIVSLKHHWWWMMNTVWDGLKETRGHDGHILFIEEDHFIYPNAYRNLQLLVSLKPNKCPDCYAANLAPCDVNLRGEGWNSLVAERMGNVGYAFNRTVWRKIHGKAKEFCFFDDYNWDITMWATVYPSFGSPVYTLRGPRTSAVHFGKCGLHQGQGKTNACIDNGSVNIQVDDIDKVANIRPEWDVKVYQNQPGYKAGFKGWGGWGDNRDHRLCLNFAQMYH >KJB06157 pep chromosome:Graimondii2_0_v6:1:5951758:5952068:1 gene:B456_001G0600001 transcript:KJB06157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILKAQAIS >KJB06159 pep chromosome:Graimondii2_0_v6:1:5952368:5953130:1 gene:B456_001G0600001 transcript:KJB06159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKVVEEYYKNEKKLLEFQIGNARMETWRRLLTALHLQHINAARLLQKPTCFQNQTSWTGNWLVDWSRTRLKAG >KJB06158 pep chromosome:Graimondii2_0_v6:1:5952368:5952662:1 gene:B456_001G0600001 transcript:KJB06158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKVVEEYYKNEKKLLEFQIGNARMETWRRLLTALHLQHINAARLLQKPTVSSSLP >KJB09607 pep chromosome:Graimondii2_0_v6:1:21295371:21296787:1 gene:B456_001G152300 transcript:KJB09607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGEQVFVFEEVAKRKERDDCWLLISGKVYDVTQFLEDHPGGDEVLLAASGKDATQDFEDVGHSDDARNMMKKYYIGEVDSTTVPPSNKIKPQTYSVTPKDDEPGFLFKILQILVPILILGLAFGFQFLGKKEKN >KJB09608 pep chromosome:Graimondii2_0_v6:1:21295602:21296757:1 gene:B456_001G152300 transcript:KJB09608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGEQVFVFEEVAKRKERDDCWLLISGKVYDVTQFLEDHPGGDEVLLAASGKDATQDFEDVGHSDDARNMMKKYYIGEVDSTTVPPSNKIKPQTYSVTPKDDEPGFLFKILQILVPILILGLAFGFQFLGKKEKN >KJB11712 pep chromosome:Graimondii2_0_v6:1:55098714:55101858:1 gene:B456_001G273700 transcript:KJB11712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFPDEVVEHVFDFITSHKDRNSVSLVCKSWYKIERYSRQRVFIGNCYSITPQRLIARFPGLKSLTLKGKPHFADFNLVPHDWGGFVDPWIKALAKSRIGLEELRLKRMVVSDDSLELLSRSFPNFKSLVLVSCEGFTTDGVAAIAANCRFLRELDLQENEVEDHRGHWLSCFPESCTSLVSLNFACLKGEINLASLERLVARSPNLKSLRLNRVVPLDTLQKLLMRAPQLVDLGIGSYVDDPSSEVFSKLKAVIQLCNSIRSLSGFLEVAPCCMSAIYPICENLTFLNLSYAPGLQGNDLTKLVQHCRKLQRLWILDCIGDKGLGVVASTCKELQELRVFPSDPFDAGNAAVTEEGLVLISAGCPKLNSLLYFCHQMTNAALITVAKNCTNFIRFRLCILDPVKADPVTNQPLDEGFGAIVRSNKSLKRLSLSGLLTDQVFLYIGMYAKKLEMLSIAFAGDSNKGMLYVLNGCKKLRKLEIRDCPFGDVALLEDMGKYETMRSLWMSSCEVTLGACKTLSKKMPSLNVEIINESEQLEFSLDDRLLVDKMYLYRTLVGHRKDKPEYVLLL >KJB11713 pep chromosome:Graimondii2_0_v6:1:55098930:55101844:1 gene:B456_001G273700 transcript:KJB11713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFPDEVVEHVFDFITSHKDRNSVSLVCKSWYKIERYSRQRVFIGNCYSITPQRLIARFPGLKSLTLKGKPHFADFNLVPHDWGGFVDPWIKALAKSRIGLEELRLKRMVVSDDSLELLSRSFPNFKSLVLVSCEGFTTDGVAAIAANCRFLRELDLQENEVEDHRGHWLSCFPESCTSLVSLNFACLKGEINLASLERLVARSPNLKSLRLNRVVPLDTLQKLLMRAPQLVDLGIGSYVDDPSSEVFSKLKAVIQLCNSIRSLSGFLEVAPCCMSAIYPICENLTFLNLSYAPGLQDTGLYWRQRTRSCSFNL >KJB11711 pep chromosome:Graimondii2_0_v6:1:55098655:55101937:1 gene:B456_001G273700 transcript:KJB11711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFPDEVVEHVFDFITSHKDRNSVSLVCKSWYKIERYSRQRVFIGNCYSITPQRLIARFPGLKSLTLKGKPHFADFNLVPHDWGGFVDPWIKALAKSRIGLEELRLKRMVVSDDSLELLSRSFPNFKSLVLVSCEGFTTDGVAAIAANCRFLRELDLQENEVEDHRGHWLSCFPESCTSLVSLNFACLKGEINLASLERLVARSPNLKSLRLNRVVPLDTLQKLLMRAPQLVDLGIGSYVDDPSSEVFSKLKAVIQLCNSIRSLSGFLEVAPCCMSAIYPICENLTFLNLSYAPGLQGNDLTKLVQHCRKLQRLWILDCIGDKGLGVVASTCKELQELRVFPSDPFDAGNAAVTEEGLVLISAGCPKLNSLLYFCHQMTNAALITVAKNCTNFIRFRNVC >KJB07058 pep chromosome:Graimondii2_0_v6:1:8020194:8023633:-1 gene:B456_001G077500 transcript:KJB07058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNYIHQIITNASIPQPSTSEINGQDGQNDRQCHGFWYDAVLVVPAFLFVVYLAVNAKKNVEKLRNGRSYVVISYYALLWLASGLNLAWCSLQSWQCANGKEVAWNLLSLFTTSGLLCLEISLVGFLLQESYASGREALARIFTISGIIAGVDMLLKAIYIFGFGVPLFFNDVGSIHQMMWGLWTIQKLLLTAVYGFILFVHFSKWKQKLPPRPAFYNYVVIMFAVNGITLFASGLAAIGIGFSIWLYNLTIICYHSLYLPFLYITFLADFFREEDFLLDDAYYSEMRDAGFFDADWD >KJB07057 pep chromosome:Graimondii2_0_v6:1:8020194:8023669:-1 gene:B456_001G077500 transcript:KJB07057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNYIHQIITNASIPQPSTSEINGQDGQNDRQCHGFWYDAVLVVPAFLFVVYLAVNAKKNVEKLRNGRSYVVISYYALLWLASGLNLAWCSLQSWQCANGKEVAWNLLSLFTTSGLLCLEISLVGFLLQESYASGREALARIFTISGIIAGVDMLLKAIYIFGFGVPLFFNDVGSIHQMMWGLWTIQKLLLTAVYGFILFVHFSKWKQKLPPRPAFYNYVVIMFAVNGITLFASGLAAIGIGFSIWLYNLTIICYHSLYLPFLYITFLADFFREEDFLLDDAYYSEMRDAGFFDADWD >KJB10710 pep chromosome:Graimondii2_0_v6:1:43665158:43666523:1 gene:B456_001G217400 transcript:KJB10710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFPVINLEKLNGEERATIMEQIKDACENWGFFELLNHGIPHEFLDTVERLTKEHYKKCMEQRFKELVASKALEGLQAEVTDMDWESTFFLRHLPESNMAEIPDLAHEYRKVMKEFALKLEKLAEELLDLLCENLGLEKGYLKKAFHGTRGPTFGTKVSNYPPCPKPDKIKGLRAHTDAGGIILLFQDAQVSGLQLLKDGQWVDVPPMRHSIVINLGDQLEVITNGKYKSVEHRVIAQTNGARMSIASFYNPGSDAIIHPAPALVENKQLYPKFVFEDYMKLYAGLKFQAKEPRFQAMKARETTLPIATA >KJB06924 pep chromosome:Graimondii2_0_v6:1:4584240:4586466:-1 gene:B456_001G048100 transcript:KJB06924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIQRLSVLGMAAMAMGLVASHDYGAALTKSILFYEGQRSGKLPPTQRITWRKDSALRDGFEIGVDLVGGYYDAGDNVKFTFPMAFSITMLAWSLLEFGQSLGTDLQHSLKAIQWGTDYLLKATSVPGFVFAQVGDPYGDHNCWERPEDMDTPRTPYAVSKEFPGSEVSAEIAAALAASSMVFRPINRGYSARLLKRARMIFEFADKYRGSYNDSLGPWACPFYCDYSGYQDELVWGAAWLLRATKAPYYRNYVLANIQNLDKSSSFAEFGWDTKHAGINVLVSRLIKSQTPEPFITNADKFVCSVLPESPTISVSYSPGGLLIKPGGSNLQHATALSFLLLVYSRPLSKDSRVIHCGNVVATPARLIQVARSQVDYILGSNPLNMSYMVGYGEKFPERIHHRGSSLPSITQHPQHIDCTGGATYFYTNNPNPNLLTGAVVGGPDIKDSYGDSRADFAHSEPTTYINAPLVGLLAYFKSH >KJB06923 pep chromosome:Graimondii2_0_v6:1:4584128:4586062:-1 gene:B456_001G048100 transcript:KJB06923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHSQVDLVGGYYDAGDNVKFTFPMAFSITMLAWSLLEFGQSLGTDLQHSLKAIQWGTDYLLKATSVPGFVFAQVGDPYGDHNCWERPEDMDTPRTPYAVSKEFPGSEVSAEIAAALAASSMVFRPINRGYSARLLKRARMIFEFADKYRGSYNDSLGPWACPFYCDYSGYQDELVWGAAWLLRATKAPYYRNYVLANIQNLDKSSSFAEFGWDTKHAGINVLVSRLIKSQTPEPFITNADKFVCSVLPESPTISVSYSPGGLLIKPGGSNLQHATALSFLLLVYSRPLSKDSRVIHCGNVVATPARLIQVARSQVDYILGSNPLNMSYMVGYGAVVGGPDIKDSYGDSRADFAHSEPTTYINAPLVGLLAYFKSH >KJB07177 pep chromosome:Graimondii2_0_v6:1:535342:538690:-1 gene:B456_001G005900 transcript:KJB07177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQESLRSIVYRSFVTCDDPKGVVECRTIRRSKTGSTDTMVHDKTDECRQKTKNRSTKGTSCQLLEVSRRAHKLNNVIDSCSKQLWYDTNSRDVAKDLLKGALDLQDSLHVLGKLQEASHYMAKWDRVRNEPNSSLVREWDYRTENQNPRLSVDGSSRDCVEEHRKVITYSLAKQNLLPNVEEMRCLSGRYQGIPSTSSSQSSTVRAEKGPSLIAKLMGLEEMPSRPLQTNSCKEVECNKILDRQKHMFEINKPKVRKSRFVFRKEDRDRRTMDIHEAMHFKGLLKSNFIKEIKYDSHQWSDFFSEQKLINDSPPIVLIKPRYSPHLQTEEKFVPWFHEGRSLNTDTMLRKVQPPSISSREGAKESKEKEAKPVKSDVKAKEKLSMKTKTSGPITVPLLKKEATHRKTKKITKPVNEEVARAKNLLRSKDEAKVTPPKSGKLENGSNVTNNKMSHQRGSQTVVRAPNDRKKGVNKMKAAKVTNERLEHKGDGIVSEGKKIDPILESDTVFERYSIETDIMIEECRDNSEDSVCDITLVTTDYQNNRKCIGPNETDNESFTRGAKLKALLLSCPAFLNHADYLFYLHVNLPTTSPKFDINEFTDANRRLFLDCASEIVRRISFPDSQLVHPPLLSVVGNAKTRISLDHLLRETCDQVEALRNYSEVAGEDYPAGSLYSMLERDLKHKEALSGIWDLGWKKGFTVNDTIQVVDEIEKQLLNGLIEEIYASVSAETEITRV >KJB07178 pep chromosome:Graimondii2_0_v6:1:535253:539314:-1 gene:B456_001G005900 transcript:KJB07178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQESLRSIVYRSFVTCDDPKGVVECRTIRRSKTGSTDTMVHDKTDECRQKTKNRSTKGTSCQLLEVSRRAHKLNNVIDSCSKQLWYDTNSRDVAKDLLKGALDLQDSLHVLGKLQEASHYMAKWDRVRNEPNSSLVREWDYRTENQNPRLSVDGSSRDCVEEHRKVITYSLAKQNLLPNVEEMRCLSGRYQGIPSTSSSQSSTVRAEKGPSLIAKLMGLEEMPSRPLQTNSCKEVECNKILDRQKHMFEINKPKVRKSRFVFRKEDRDRRTMDIHEAMHFKGLLKSNFIKEIKYDSHQWSDFFSEQKLINDSPPIVLIKPRYSPHLQTEEKFVPWFHEGRSLNTDTMLRKVQPPSISSREGAKESKEKEAKPVKSDVKAKEKLSMKTKTSGPITVPLLKKEATHRKTKKITKPVNEEVARAKNLLRSKDEAKVTPPKSGKLENGSNVTNNKMSHQRGSQTVVRAPNDRKKGVNKMKAAKVTNERLEHKGDGIVSEGKKIDPILESDTVFERYSIETDIMIEECRDNSEDSVCDITLVTTDYQNNRKCIGPNETDNESFTRGAKLKALLLSCPAFLNHADYLFYLHVNLPTTSPKFDINEFTDANRRLFLDCASEIVRRISFPDSQLVHPPLLSVVGNAKTRISLDHLLRETCDQVEALRNYSEVAGEDYPAGSLYSMLERDLKHKEALSGIWDLGWKKGFTVNDTIQVVDEIEKQLLNGLIEEIYASVSAETEITRV >KJB07261 pep chromosome:Graimondii2_0_v6:1:1135078:1139951:-1 gene:B456_001G012000 transcript:KJB07261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLMPLILHLAKLCIIGFALLVQGYLGHNASPSPAEFSSFPPIERIHGEQRSFTPSTPPQPNERDLLSPPALPPLLSAPVPEMTEGHARSLPPTPHNTAPPPFTIEERVPSLAPSTPPVLPLSSPPQPVQVHAPSKSPIAPQGKEPVSKSLDLVPDAPAPVAFPTLPRISPNIDPFPSITPSQNSPKNPPVHQIPSGPPSHSSPENSPFVHQTPIAPQFRNSPQNSPSINSSSSSAFPPTDNQRNSSNNKRPVLEPSAPAPVAPGLRDSPQSSAPVHSSMPRALAPTPSANQENSSNSKAPVKEPIAPAPVTTPWRNSPLNQTPIHSQGPTSLPPRAPVLVPPTPVSVASPPRKVEMTPPPVRSIVPPSISPISVVSPPGESPHSSPTVDRNVTGNPSPLPDPNSSPVSNPPSSNDGTPVKSPTNETHKSAPRVNHAPKNGSDDTFAGSSPAVSPPEPTAKRLPSNSLVPSLAPANEAHNSPALSPSTSFHEHQHKRNERTGPAPASSDPISPPLKQQGPVISPAFHPRRKQRHYAPPPFHSAPPSLSSVPSPVTAVSPVPSPSPVTASRQTKMPHISPKVSPSVSPSRSPKVAPPPARVMSFPPPPPNQDCSTTICTDPYTNTPPGSPCGCVLPMQVGLRLSVALYTFFPLVSELAEEIAVGVFMKQSQVRIMGATAASEQPEKTIVLVDLVPLGEKFDNTTAFLTYQRFWHKQVAIKASLFGDYEVLYVRYLGLPPSPPLPPDAGIIDGEPYSGNNNNARAIKPLGVDVHGKRRKHALSGGVIAIIVMSVLVVVVLCSAVAWVLLFKHGDQASQRGTSPQHPQTSHAKPSGSAGSMVGSGLSSISLSFGSSIAAYTGSAKTLTASEIERATGNFDDSRILGEGGFGRVYRGVLEDGTNVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERSRCLVYELIPNGSVESHLHGS >KJB07259 pep chromosome:Graimondii2_0_v6:1:1133524:1140195:-1 gene:B456_001G012000 transcript:KJB07259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLMPLILHLAKLCIIGFALLVQGYLGHNASPSPAEFSSFPPIERIHGEQRSFTPSTPPQPNERDLLSPPALPPLLSAPVPEMTEGHARSLPPTPHNTAPPPFTIEERVPSLAPSTPPVLPLSSPPQPVQVHAPSKSPIAPQGKEPVSKSLDLVPDAPAPVAFPTLPRISPNIDPFPSITPSQNSPKNPPVHQIPSGPPSHSSPENSPFVHQTPIAPQFRNSPQNSPSINSSSSSAFPPTDNQRNSSNNKRPVLEPSAPAPVAPGLRDSPQSSAPVHSSMPRALAPTPSANQENSSNSKAPVKEPIAPAPVTTPWRNSPLNQTPIHSQGPTSLPPRAPVLVPPTPVSVASPPRKVEMTPPPVRSIVPPSISPISVVSPPGESPHSSPTVDRNVTGNPSPLPDPNSSPVSNPPSSNDGTPVKSPTNETHKSAPRVNHAPKNGSDDTFAGSSPAVSPPEPTAKRLPSNSLVPSLAPANEAHNSPALSPSTSFHEHQHKRNERTGPAPASSDPISPPLKQQGPVISPAFHPRRKQRHYAPPPFHSAPPSLSSVPSPVTAVSPVPSPSPVTASRQTKMPHISPKVSPSVSPSRSPKVAPPPARVMSFPPPPPNQDCSTTICTDPYTNTPPGSPCGCVLPMQVGLRLSVALYTFFPLVSELAEEIAVGVFMKQSQVRIMGATAASEQPEKTIVLVDLVPLGEKFDNTTAFLTYQRFWHKQVAIKASLFGDYEVLYVRYLGLPPSPPLPPDAGIIDGEPYSGNNNNARAIKPLGVDVHGKRRKHALSGGVIAIIVMSVLVVVVLCSAVAWVLLFKHGDQASQRGTSPQHPQTSHAKPSGSAGSMVGSGLSSISLSFGSSIAAYTGSAKTLTASEIERATGNFDDSRILGEGGFGRVYRGVLEDGTNVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERSRCLVYELIPNGSVESHLHGVDKESAPLDWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAMDEEGRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMTQPPGQENLVAWARPLLASKEGLETIIDPSLGSDVSFESVAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDEAKVGSRGTSQDDISIDMDGKVGTGSEQLSDPLQSHYSIPNYVSGLDTERGLSVSDLFSSSARFRRESSESFRRHCTSGPLRTGRGNHFWHKMQQLSRGSISEHSAMIKFWSGSH >KJB07260 pep chromosome:Graimondii2_0_v6:1:1133524:1139592:-1 gene:B456_001G012000 transcript:KJB07260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGHARSLPPTPHNTAPPPFTIEERVPSLAPSTPPVLPLSSPPQPVQVHAPSKSPIAPQGKEPVSKSLDLVPDAPAPVAFPTLPRISPNIDPFPSITPSQNSPKNPPVHQIPSGPPSHSSPENSPFVHQTPIAPQFRNSPQNSPSINSSSSSAFPPTDNQRNSSNNKRPVLEPSAPAPVAPGLRDSPQSSAPVHSSMPRALAPTPSANQENSSNSKAPVKEPIAPAPVTTPWRNSPLNQTPIHSQGPTSLPPRAPVLVPPTPVSVASPPRKVEMTPPPVRSIVPPSISPISVVSPPGESPHSSPTVDRNVTGNPSPLPDPNSSPVSNPPSSNDGTPVKSPTNETHKSAPRVNHAPKNGSDDTFAGSSPAVSPPEPTAKRLPSNSLVPSLAPANEAHNSPALSPSTSFHEHQHKRNERTGPAPASSDPISPPLKQQGPVISPAFHPRRKQRHYAPPPFHSAPPSLSSVPSPVTAVSPVPSPSPVTASRQTKMPHISPKVSPSVSPSRSPKVAPPPARVMSFPPPPPNQDCSTTICTDPYTNTPPGSPCGCVLPMQVGLRLSVALYTFFPLVSELAEEIAVGVFMKQSQVRIMGATAASEQPEKTIVLVDLVPLGEKFDNTTAFLTYQRFWHKQVAIKASLFGDYEVLYVRYLGLPPSPPLPPDAGIIDGEPYSGNNNNARAIKPLGVDVHGKRRKHALSGGVIAIIVMSVLVVVVLCSAVAWVLLFKHGDQASQRGTSPQHPQTSHAKPSGSAGSMVGSGLSSISLSFGSSIAAYTGSAKTLTASEIERATGNFDDSRILGEGGFGRVYRGVLEDGTNVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERSRCLVYELIPNGSVESHLHGVDKESAPLDWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAMDEEGRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMTQPPGQENLVAWARPLLASKEGLETIIDPSLGSDVSFESVAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDEAKVGSRGTSQDDISIDMDGKVGTGSEQLSDPLQSHYSIPNYVSGLDTERGLSVSDLFSSSARFRRESSESFRRHCTSGPLRTGRGNHFWHKMQQLSRGSISEHSAMIKFWSGSH >KJB07258 pep chromosome:Graimondii2_0_v6:1:1133509:1140195:-1 gene:B456_001G012000 transcript:KJB07258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLMPLILHLAKLCIIGFALLVQGYLGHNASPSPAEFSSFPPIERIHGEQRSFTPSTPPQPNERDLLSPPALPPLLSAPVPEMTEGHARSLPPTPHNTAPPPFTIEERVPSLAPSTPPVLPLSSPPQPVQVHAPSKSPIAPQGKEPVSKSLDLVPDAPAPVAFPTLPRISPNIDPFPSITPSQNSPKNPPVHQIPSGPPSHSSPENSPFVHQTPIAPQFRNSPQNSPSINSSSSSAFPPTDNQRNSSNNKRPVLEPSAPAPVAPGLRDSPQSSAPVHSSMPRALAPTPSANQENSSNSKAPVKEPIAPAPVTTPWRNSPLNQTPIHSQGPTSLPPRAPVLVPPTPVSVASPPRKVEMTPPPVRSIVPPSISPISVVSPPGESPHSSPTVDRNVTGNPSPLPDPNSSPVSNPPSSNDGTPVKSPTNETHKSAPRVNHAPKNGSDDTFAGSSPAVSPPEPTAKRLPSNSLVPSLAPANEAHNSPALSPSTSFHEHQHKRNERTGPAPASSDPISPPLKQQAFHPRRKQRHYAPPPFHSAPPSLSSVPSPVTAVSPVPSPSPVTASRQTKMPHISPKVSPSVSPSRSPKVAPPPARVMSFPPPPPNQDCSTTICTDPYTNTPPGSPCGCVLPMQVGLRLSVALYTFFPLVSELAEEIAVGVFMKQSQVRIMGATAASEQPEKTIVLVDLVPLGEKFDNTTAFLTYQRFWHKQVAIKASLFGDYEVLYVRYLGLPPSPPLPPDAGIIDGEPYSGNNNNARAIKPLGVDVHGKRRKHALSGGVIAIIVMSVLVVVVLCSAVAWVLLFKHGDQASQRGTSPQHPQTSHAKPSGSAGSMVGSGLSSISLSFGSSIAAYTGSAKTLTASEIERATGNFDDSRILGEGGFGRVYRGVLEDGTNVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERSRCLVYELIPNGSVESHLHGVDKESAPLDWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAMDEEGRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMTQPPGQENLVAWARPLLASKEGLETIIDPSLGSDVSFESVAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDEAKVGSRGTSQDDISIDMDGKVGTGSEQLSDPLQSHYSIPNYVSGLDTERGLSVSDLFSSSARFRRESSESFRRHCTSGPLRTGRGNHFWHKMQQLSRGSISEHSAMIKFWSGSH >KJB07255 pep chromosome:Graimondii2_0_v6:1:1099310:1102972:-1 gene:B456_001G011700 transcript:KJB07255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPSITELFAQLALHLQLPINARENEEETLNLSISKLNQSLNLDENPNSELRVLDTALSLMCFKSPQVIDSLIEYLVKTIISVLSSSVTFKVSRLQNEEFLLMDSSNLGLHCVELVEMFNNIHAKIQGKGLFSHLLLRAVLRVAVLASCYLSSSPHKPILVESIEGRSAAVSKLHCHLPAELSLENEELPLRLFFWYLDPLTLKQAVSKILQDTRERPFLCLSEEFHQRMDWRAIIICLALSPVMFIETRALLHNWFLRTGLAFVLDLLAGLVVAILDVISRPTWWGLSVEMGSKLPFSNAYFPNKNHLLRILVGAFSVENFIHLVHATSELVSLGGEQLCPAIKSTAVAVQSIDHRSLWALAIDFPDWFYFASVLLFSEKTPQKNFQAKCSLSPKVGEVHDKESLSTFAARYIAWILSPTSKTNQDFLVDFLTKISLSWGLKQFDLTMHNKEGATSKKKLKKPKVHNKMEDYAQAKEYDCQMIGIWLNETEKMYLEYGSNTTINGSASADSNAFCVLNLQQNVLLRRILLGVLIGCPSCITENGCGLLLHYAATGKILQPRETMHAGLRHIKHKSEREDLTTWIDKCSEKDVLAGACLVFGLTDALDSISASFFETEESGIDFIYQVKVKAGKYLMKCINRLCQLNNEKGVSTLRDVHNRLERWRHQGREILLVQTDVDDIINYLNQKIVKSSK >KJB09009 pep chromosome:Graimondii2_0_v6:1:14320384:14323788:-1 gene:B456_001G118800 transcript:KJB09009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLRFRKLCYVESAVKCTSVGYESFEIDEKLDEKEEDVLSANHFALEINKKRKQPKRQPKEWRCLDSCCWIIGYLCTTWWLLLFCYHCLPVTLLRVPELPGPGVRLKREGLTALHPVVLVPGIVTGGLELWEGRPCADGLFRKRLWGGGSFTQIFKRPLCLLEHLSLHYETGLDPQGIRVRAVPGLVGADYFAPGYFVWAVLIENLAKIGYEGKNLHMAAYDWRLSFQNTEIRDHALTRLKSKIELMYISNGYKKVVAVPHSMGVIYFLHFLKWVETPPPMGGGGGPGWCAKHIKAVMNIGPAFLGVPKAVSNLFSAEGKDVSYIRAMAPGVFDSEILGLQTFERVMRMARTWDSIVSLLPKGGEVIWGNMDRSPEEGHVCDFSKKSHSKTSLTTNNINNSDVKRGFLVKDLANYGRIISFGKPASVLHSSKLPTADSKEFSRTSTSENFNNFSCGEAWTEYDEMSRQSIQNVAADKAYTTTTLLDLLRFVAPKMMRRAEAHFSHGIAENLDDPKYNHYKYWSNPLETK >KJB09008 pep chromosome:Graimondii2_0_v6:1:14320381:14323818:-1 gene:B456_001G118800 transcript:KJB09008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLRFRKLCYVESAVKCTSVGYESFEIDEKLDEKEEDVLSANHFALEINKKRKQPKRQPKEWRCLDSCCWIIGYLCTTWWLLLFCYHCLPVTLLRVPELPGPGVRLKREGLTALHPVVLVPGIVTGGLELWEGRPCADGLFRKRLWGGGSFTQIFKRPLCLLEHLSLHYETGLDPQGIRVRAVPGLVGADYFAPGYFVWAVLIENLAKIGYEGKNLHMAAYDWRLSFQNTEIRDHALTRLKSKIELMYISNGYKKVVAVPHSMGVIYFLHFLKWVETPPPMGGGGGPGWCAKHIKAVMNIGPAFLGVPKAVSNLFSAEGKDVSYIRAMAPGVFDSEILGLQTFERVMRMARTWDSIVSLLPKGGEVIWGNMDRSPEEGHVCDFSKKSHSKTSLTTNNINNSDVKRGFLVKDLANYGRIISFGKPASVLHSSKLPTADSKEFSRTSTSENFNNFSCGEAWTEYDEMSRQSIQNVAADKAYTTTTLLDLLRFVAPKMMRRAEAHFSHGIAENLDDPKYNHYKYWSNPLETKLSDAPDMEIYCMYGVGIPTERSYVYKLSPNSRCKSIPYQIDNSVHGEDGSCLKGGVYFADGDESVPVLSAGFMCAKGWRGRTRFNPSGIATYIREYRHKPPTSLMEGRGIESGSHVDIMGNFALIEDIMRVAAGATGDEIGGDQIHSDIIKMSERINLGL >KJB09185 pep chromosome:Graimondii2_0_v6:1:16260470:16260724:1 gene:B456_001G1283001 transcript:KJB09185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEVLERAKRAKEQAAREELEAQRLIPKSTSLSTSMGSGATANNGAAAKASPSTANNGVSTTPSSYNPPNPAAANTDPGPDTKKE >KJB11452 pep chromosome:Graimondii2_0_v6:1:53470205:53471496:-1 gene:B456_001G259700 transcript:KJB11452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKALLLLLLATFLLVSTTVASNEVGVKTEIKYAAPVPVKAPIPAPPVKPPTTPVPPYKAPTPAPPTKGPTPYKPPTKAPTPPYKPPTKAPTPPYKPPAPAPPTKAPTPPYKPPAPAPPTKAPTPPFKPPAPAPPTKAPTPPYKPPTPAPAPPVKAPTPPYKPPTPPTKAPTPAPAPPTKAPTPPYKPPVPTPPVKPPTTPAPPYKPPSPPLPPVRTKKDCIPLCGQRCKLHSRTNLCLRACMTCCDRCKCVPPGTYGNREMCGKCYTDMRTHRNKHKCP >KJB11454 pep chromosome:Graimondii2_0_v6:1:53469882:53471832:-1 gene:B456_001G259700 transcript:KJB11454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKALLLLLLATFLLVSTTVASNEVGVKTEIKYAAPVPVKAPIPAPPVKPPTTPVPPYKAPTPAPPTKGPTPYKPPTKAPTPPYKPPTKAPTPPYKPPAPAPPTKAPTPPYKPPAPAPPTKAPTPPYKPPAPAPPTKAPTPPFKPPAPAPPTKAPTPPYKPPTPAPAPPVKAPTPPYKPPTPPTKAPTPAPAPPTKAPTPPYKPPVPTPPVKPPTTPAPPYKPPSPPLPPVRTKKDCIPLCGQRCKLHSRTNLCLRACMTCCDRCKCVPPGTYGNREMCGKCYTDMRTHRNKHKCP >KJB11451 pep chromosome:Graimondii2_0_v6:1:53469882:53471593:-1 gene:B456_001G259700 transcript:KJB11451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKALLLLLLATFLLVSTTVASNEVGVKTEIKYAAPVPVKAPIPAPPVKPPTTPVPPYKAPTPAPPTKGPTPYKPPTKAPTPPYKPPTKAPTPPFKPPAPAPPTKAPTPPYKPPTPAPAPPVKAPTPPYKPPTPPTKAPTPAPAPPTKAPTPPYKPPVPTPPVKPPTTPAPPYKPPSPPLPPVRTKKDCIPLCGQRCKLHSRTNLCLRACMTCCDRCKCVPPGTYGNREMCGKCYTDMRTHRNKHKCP >KJB11453 pep chromosome:Graimondii2_0_v6:1:53469882:53471593:-1 gene:B456_001G259700 transcript:KJB11453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKALLLLLLATFLLVSTTVASNEVGVKTEIKYAAPVPVKAPIPAPPVKPPTTPVPPYKAPTPAPPTKGPTPYKPPTKAPTPPYKPPTKAPTPPYKPPAPAPPTKAPTPPFKPPAPAPPTKAPTPPYKPPTPAPAPPVKAPTPPYKPPTPPTKAPTPAPAPPTKAPTPPYKPPVPTPPVKPPTTPAPPYKPPSPPLPPVRTKKDCIPLCGQRCKLHSRTNLCLRACMTCCDRCKCVPPGTYGNREMCGKCYTDMRTHRNKHKCP >KJB11450 pep chromosome:Graimondii2_0_v6:1:53470205:53471496:-1 gene:B456_001G259700 transcript:KJB11450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKALLLLLLATFLLVSTTVASNEVGVKTEIKYAAPVPVKAPIPAPPVKPPTTPVPPYKAPTPAPPTKGPTPYKPPTKAPTPPYKPPTKAPTPPYKPPAPAPPTKAPTPPYKPPAPAPPTKAPTPPFKPPAPAPPTKAPTPPYKPPTPAPAPPVKAPTPPYKPPTPPTKAPTPAPAPPTKAPTPPYKPPVPTPPVKPPTTPAPPYKPPSPPLPPVRTKKDCIPLCGQRCKLHSRTNLCLRACMTCCDRCKCVPPGTYGNREMCGKCYTDMRTHRNKHKCP >KJB07951 pep chromosome:Graimondii2_0_v6:1:5320905:5322501:1 gene:B456_001G055100 transcript:KJB07951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLAMLKHSAATDYGSFLGLRRWIHASALPPPLGAPIGHPPPSQSLVFPESDQTPESNNNSNIGFGFGFGFGFPSFPLGGGSMELMAVPKKKVSRHKRGIRNGPKALKPVPVIIRCKSCGRVKLPHFFCCSGDRGHNNERDDSSG >KJB07952 pep chromosome:Graimondii2_0_v6:1:5321002:5322468:1 gene:B456_001G055100 transcript:KJB07952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLAMLKHSAATDYGSFLGLRRWIHASALPPPLGAPIGHPPPSQSLVFPESDQTPESNNNSNIGFGFGFGFGFPSFPLGGGSMELMAVPKKKVRMFFKIKRWFRMEMFIFYRFFPYVL >KJB07953 pep chromosome:Graimondii2_0_v6:1:5321002:5322468:1 gene:B456_001G055100 transcript:KJB07953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLAMLKHSAATDYGSFLGLRRWIHASALPPPLGAPIGHPPPSQSLVFPESDQTPESNNNSNIGFGFGFGFGFPSFPLGGGSMELMAVPKKKVSRHKRGIRNGPKALKPVPVIIRCK >KJB10447 pep chromosome:Graimondii2_0_v6:1:38713624:38717236:1 gene:B456_001G201700 transcript:KJB10447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLEYTPFDRINDFLRELNLGERTIKGSLEAYSCKHTGTDKRLSLSLENEILDSLGKSSDTDFSPVEFLLSRSSRKTLIYLVLTLYHMYPDYDLSAVKAHQFFTEEIWDTFKQIFETYMLEASKEWIETYGGSSLLETVYKALDEVVNLAECEIYSYNPDSDADPFLEKGAIWSFSFFFYNRKLKRVVSFSFCCLSNLVGDGFLVDNLCSEEDGEIFDNMDM >KJB10448 pep chromosome:Graimondii2_0_v6:1:38713413:38717236:1 gene:B456_001G201700 transcript:KJB10448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLEYTPFDRINDFLRELNLGERTIKGSLEAYSCKHTGTDKRLSLSLENEILDSLGKSSDTDFSPVEFLLSRSSRKTLIYLVLTLYHMYPDYDLSAVKAHQFFTEEIWDTFKQIFETYMLEASKEWIETYGGSSLLETVYKALDEVVNLAECEIYSYNPDSDADPFLEKGAIWSFSFFFYNRKLKRVVSFSFCCLSNLVGDGFLVDNLCSEEDGEIFDNMDM >KJB09512 pep chromosome:Graimondii2_0_v6:1:20001174:20012216:1 gene:B456_001G147100 transcript:KJB09512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENGVEVAELERRMDDDEEVELQWAAVERLPTVKRMRTSLFDQKLLNEDLGMKVIDVTGLGALERRVFIDHLITVIDKDHLNLLNRLKERMVRVGLELPTIEVRFKNLNVEAECKVVHGKPHMPTLWNTITNIFSAIRNVNRCVSQPNKIKILDHVSGIIKPSRMTLLLGLPGCGKTTFLQTLAGKHDPSLKVSGEISYNGYKFSEFVPHKTSTYISQYDLHCSDLTVRETIDFSARCQGIGNRADILKELSRREKLLGIIPEPDIDTYMKAISVEGLKRTLQTDYILKILGLDICADTIVGDAMNQGISGGEKKRLTTGEMMVGPIKSLFMDEISTGLDSSTAFQIVTCLQQLAHITGATILISLLQPTPEIFELFDDIILMAEGKIVYQGPRSEVQEFFEYCGFRCPQRKGLADFLQEVLSEKDQAQYWFHRDRPYSYFSTHKFIAAFKEFHVGQRLHEEIYTPFNKTENHKNALSFNIHSLGKWELFKACLSREWLLTKRNSCLYVLKSSQLIFVALVAVSIFIRTRMKIDEFHASKFMACLFFGLLRLLTIGIPELALTNSRLGIFYKQRDFYFYPSWAYSIPSAILKIPFSFLDTFLWTTLTYFGVGYSPEPERFFRQLFIQFLLHQVALSIFRLIAVVFQTLSVASIVSQFTVMATLLFSGFIIPLSSMPFWIKWGFWISPVSYAEIGISVNEFLSPRWQKLSSSNETLGHQTLLKRGLNFGEHFYWISVAALMGMWFLVNIAFTLALTYSKPQKTGTSQAIVSHKRFSYLKRKEDLSNSIQENQLPGVHVASKVTSMVLPFVPITLSFENVHYFVDTPKKFREKVLNKKLHLLQDISGAFRPGVLTALMGASGAGKTTLMDVLSGRKTGGYTEGDIRVGGYPKVQETYARVSGYCEQTDVHSPQITVKESVIFSAWLRLPAEIPRQKRLEFVSEVLQMIELDEIQNALVGVPSVSGISAEQRKRLTIAVELVSNPSIIFMDEPTSCLDARAAAVVMRVVKNIVNTRRTIVCTIHQPSIDIFEAFDEIILMKRGGQIIYSGELGQNSCKLIEYFGGIPGVPKIKDNYNPATWMLEVTNPSSEAELGVDFAHIYKESHLYQRNKELVQELRVPAQGSKELHFSTRFSQNRWEQFKTCLWKQHLSYWRNPTYNLGRMILAMVSSVLYGALLWNKGQKVDNDQDLFNIMGSTYVFMVCIGASNLFSALPIITSQRTIEYRERFVGMYSSKVHSLAQVIIEIPYVFLEATLFLIISYPTVNLYGSAFKVSWYCYNIFCTLLTYKYMGMAIVSLSPTYKMASVFGSYWIMIVNLFSGFLIPQPVLPKWWVWFYWMVPTSWALRGLLTSQYGDINKEIIAFGERKTISTFLENHYGFKHEDLPLTAILLCAYPIFFASIFTYFMAKLNFQRR >KJB09440 pep chromosome:Graimondii2_0_v6:1:19274828:19276107:-1 gene:B456_001G142600 transcript:KJB09440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSIVGRDPLIEVAISLEKAALSDEYFIKRKLYPNVDFYSGYISVLFNRAMGFPPEFFTLLFAIPRMAGYLAHWHESLDDPDTKIIRPQQKL >KJB09441 pep chromosome:Graimondii2_0_v6:1:19275062:19276107:-1 gene:B456_001G142600 transcript:KJB09441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSIVGRDPLIEVAISLEKAALSDEYFIKRKLYPNVDFYSGYISVLFNRAMGFPPEFFTLLFAIPRMAGYLAHWHESLDDPDTKIIRPQQQKL >KJB10413 pep chromosome:Graimondii2_0_v6:1:48953747:48953998:1 gene:B456_001G245200 transcript:KJB10413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLPRIVNAMPSLKRSLSSSEIIMVPKGNFAIYVGEVNEKKCFVVPISLLKHPSFPKLLSQPEEEFGFNHPKGALTIPCSE >KJB10418 pep chromosome:Graimondii2_0_v6:1:36049714:36056144:1 gene:B456_001G200000 transcript:KJB10418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MKGVLLWYCSWVWLTMEGGKVMGATESSGERKLDQTPTWAVAGVCAVIIIISIVLETVLNKLGTWFTERHKSALFEALDKVKAGKSCTHKLLLEYSPFQSHCCFYGFYKMPLLCPNKEFFTIDMIIVELMVLGFISLLLTFGQSYIARICIPIDVANTMLPCKSDSEKDTSESSEEEHRRRLLWFDRRSLSTISTAPKCKEGHEPLISVEGLHELHILIFFLAVFHVLYSFVTMMLGRLKIRGWKVWEQETLSHDYEFSNDPSRFRLTHETSFVKAHTSFWTRIPFFFYIGCFFRQFFRSVGRVDYLTLRNGFINVHLAPGSKFNFQKYIKRSLEDDFKIVVGVSPVLWASFVVYLLLNVRGWHALFWASLVPVIIILAVGTKLQAILTKMALEITERHAVVQGMPLVQASDQYFWFGRPQLVLHLIHFALFQNAFQITYFLWIWYAFGIKSCFHADFTLAIIKVSLGVGVLCLCSYITLPLYALVTQMGSRMKRSIFDEQTSKALKKWHMAAKKRGNARKSPTRALGGSTSPSSTLHSTGHSLHRYKTTGHSTRSSYNYEDRDMSDLEAEPLTPTSTNLIIRVDHDEHATEITETYHTEARNEDDFSFAKPAPAKEP >KJB10417 pep chromosome:Graimondii2_0_v6:1:36049554:36056199:1 gene:B456_001G200000 transcript:KJB10417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MKGVLLWYCSWVWLTMEGGKVMGATESSGERKLDQTPTWAVAGVCAVIIIISIVLETVLNKLGTWFTERHKSALFEALDKVKAELMVLGFISLLLTFGQSYIARICIPIDVANTMLPCKSDSEKDTSESSEEEHRRRLLWFDRRSLSTISTAPKCKEGHEPLISVEGLHELHILIFFLAVFHVLYSFVTMMLGRLKIRGWKVWEQETLSHDYEFSNDPSRFRLTHETSFVKAHTSFWTRIPFFFYIGCFFRQFFRSVGRVDYLTLRNGFINVHLAPGSKFNFQKYIKRSLEDDFKIVVGVSPVLWASFVVYLLLNVRGWHALFWASLVPVIIILAVGTKLQAILTKMALEITERHAVVQGMPLVQASDQYFWFGRPQLVLHLIHFALFQNAFQITYFLWIWYAFGIKSCFHADFTLAIIKVSLGVGVLCLCSYITLPLYALVTQMGSRMKRSIFDEQTSKALKKWHMAAKKRGNARKSPTRALGGSTSPSSTLHSTGHSLHRYKTTGHSTRSSYNYEDRDMSDLEAEPLTPTSTNLIIRVDHDEHATEITETYHTEARNEDDFSFAKPAPAKEP >KJB10419 pep chromosome:Graimondii2_0_v6:1:36050416:36056144:1 gene:B456_001G200000 transcript:KJB10419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MPLLCPNKEFFTIDMIIVELMVLGFISLLLTFGQSYIARICIPIDVANTMLPCKSDSEKDTSESSEEEHRRRLLWFDRRSLSTISTAPKCKEGHEPLISVEGLHELHILIFFLAVFHVLYSFVTMMLGRLKIRGWKVWEQETLSHDYEFSNDPSRFRLTHETSFVKAHTSFWTRIPFFFYIGCFFRQFFRSVGRVDYLTLRNGFINVHLAPGSKFNFQKYIKRSLEDDFKIVVGVSPVLWASFVVYLLLNVRGWHALFWASLVPVIIILAVGTKLQAILTKMALEITERHAVVQGMPLVQASDQYFWFGRPQLVLHLIHFALFQNAFQITYFLWIWYAFGIKSCFHADFTLAIIKVSLGVGVLCLCSYITLPLYALVTQMGSRMKRSIFDEQTSKALKKWHMAAKKRGNARKSPTRALGGSTSPSSTLHSTGHSLHRYKTTGHSTRSSYNYEDRDMSDLEAEPLTPTSTNLIIRVDHDEHATEITETYHTEARNEDDFSFAKPAPAKEP >KJB10416 pep chromosome:Graimondii2_0_v6:1:36050085:36055076:1 gene:B456_001G200000 transcript:KJB10416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MKGVLLWYCSWVWLTMEGGKVMGATESSGERKLDQTPTWAVAGVCAVIIIISIVLETVLNKLGTWFTERHKSALFEALDKVKAELMVLGFISLLLTFGQSYIARICIPIDVANTMLPCKSDSEKDTSESSEEEHRRRLLWFDRRSLSTISTAPKCKEGHEPLISVEGLHELHILIFFLAVFHVLYSFVTMMLGRLKIRGWKVWEQETLSHDYEFSNDPSRFRLTHETSFVKAHTSFWTRIPFFFYIGCFFRQFFRSVGRVDYLTLRNGFINVHLAPGSKFNFQKYIKRSLEDDFKIVVGVSPVLWASFVVYLLLNVRGWHALFWASLVPVIIILAVGTKLQAILTKMALEITERHAVVQGMPLVQASDQYFWFGRPQLVLHLIHFALFQNAFQITYFLWIWYAFGIKSCFHADFTLAIIKVSLGVGVLCLCSYITLPLYALVTQVPFSVPEIFK >KJB10415 pep chromosome:Graimondii2_0_v6:1:36049714:36056144:1 gene:B456_001G200000 transcript:KJB10415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MKGVLLWYCSWVWLTMEGGKVMGATESSGERKLDQTPTWAVAGVCAVIIIISIVLETVLNKLGTWFTERHKSALFEALDKVKAELMVLGFISLLLTFGQSYIARICIPIDVANTMLPCKSDSEKDTSESSEEEHRRRLLWFDRRSLSTISTAPKCKEGHEPLISVEGLHELHILIFFLAVFHVLYSFVTMMLGRLKIRGWKVWEQETLSHDYEFSNDPSRFRLTHETSFVKAHTSFWTRIPFFFYIGCFFRQFFRSVGRVDYLTLRNGFINVHLAPGSKFNFQKYIKRSLEDDFKIVVGVSPVLWASFVVYLLLNVRGWHALFWASLVPVIIILAVGTKLQAILTKMALEITERHAVVQGMPLVQASDQYFWFGRPQLVLHLIHFALFQNAFQITYFLWIWYAFGIKSCFHADFTLAIIKVSLGVGVLCLCSYITLPLYALVTQMGSRMKRSIFDEQTSKALKKWHMAAKKRGNARKSPTRALGGSTSPSSTLHSTGHSLHRYKTTGHSTRSSYNYEDRDMSDLEAEPLTPTSTNLIIRVDHDEHATEITETYHTEARNEDDFSFAKPAPAKEP >KJB10414 pep chromosome:Graimondii2_0_v6:1:36049548:36056170:1 gene:B456_001G200000 transcript:KJB10414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MKGVLLWYCSWVWLTMEGGKVMGATESSGERKLDQTPTWAVAGVCAVIIIISIVLETVLNKLGTWFTERHKSALFEALDKVKAELMVLGFISLLLTFGQSYIARICIPIDVANTMLPCKSDSEKDTSESSEEEHRRRLLWFDRRSLSTISTAPKCKEGHEPLISVEGLHELHILIFFLAVFHVLYSFVTMMLGRLKIRGWKVWEQETLSHDYEFSNDPSRFRLTHETSFVKAHTSFWTRIPFFFYIGCFFRQFFRSVGRVDYLTLRNGFINVHLAPGSKFNFQKYIKRSLEDDFKIVVGVSPVLWASFVVYLLLNVRGWHALFWASLVPVIIILAVGTKLQAILTKMALEITERHAVVQGMPLVQASDQYFWFGRPQLVLHLIHFALFQNAFQITYFLWIWYAFGIKSCFHADFTLAIIKVSLGVGVLCLCSYITLPLYALVTQMGSRMKRSIFDEQTSKALKKWHMAAKKRGNARKSPTRALGGSTSPSSTLHSTGHSLHRYKTTGHSTRSSYNYEDRDMSDLEAEPLTPTSTNLIIRVDHDEHATEITETYHTEARNEDDFSFAKPAPAKEP >KJB09729 pep chromosome:Graimondii2_0_v6:1:23192215:23193243:1 gene:B456_001G160500 transcript:KJB09729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLFLGGAENEKNERKMKLFSTAIQNSKDFFSKCLSWPWPKTAGSATAGFAIAGLATAGSSNDLGVGGQMEPPQPPIVPSPLEQFEIVPLIDLKIGNLYFSFTNPSLFMLLTLSLFLLLVHFVTKKGGGKLVPNAWQSLVELIYDFVLNPVNEQIGGLSSNVKQKYFPCISVTFTFSLFRNPQGMIPYSFTVTMGFQRNGIHFLSFLLPAGVPLPLAPFLVLLELISYCFRALSLGIRLFANMMAGHSLVKILSGFAWTMLFLALTGLELGVAILQAHVSTILICIYLNDAINLHQSAYLFFYN >KJB06842 pep chromosome:Graimondii2_0_v6:1:1409383:1411765:1 gene:B456_001G015100 transcript:KJB06842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVKPRPLFSPPFSVTFSSPKHSKRPFTLSTKSSKTSITMSIIEHVVLFKVKDDTDQAKVNMMLNGLNGLVSLDPVVHLTAGPVFRTRSPISNFTHMLHSRYKSKEDLNSYSAHPDHLRVVKENVLPICDDIMAVDWVADNDPLPLSLPCNSAIKVTFLKLKENVTDEVQGEILGVIKGIKDNVSGIQQITCGENFSPARARGFSIASVAVFNGVNEMEGSEENEEYVNLQKQKVRDYLDGVIVVDYVVPSSSSSSSSSSSNL >KJB06844 pep chromosome:Graimondii2_0_v6:1:1409349:1411843:1 gene:B456_001G015100 transcript:KJB06844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVKPRPLFSPPFSVTFSSPKHSKRPFTLSTKSSKTSITMSIIEHVVLFKVKDDTDQAKVNMMLNGLNGLVSLDPVVHLTAGPVFRTRSPISNFTHMLHSRYKSKEDLNSYSAHPDHLRVVKENVLPICDDIMAVDWVADNDPLPLSLPCNSAIKVTFLKLKENVTDEVQGEILGVIKGIKDNVSGIQQITCGENFSPARARGFSIASVAVFNGVNEMEGSEENEEYVNLQKQKVRDYLDGVIVVDYVVPSSSSSSSSSSSNL >KJB06843 pep chromosome:Graimondii2_0_v6:1:1409380:1412698:1 gene:B456_001G015100 transcript:KJB06843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVKPRPLFSPPFSVTFSSPKHSKRPFTLSTKSSKTSITMSIIEHVVLFKVKDDTDQAKVNMMLNGLNGLVSLDPVVHLTAGPVFRTRSPISNFTHMLHSRYKSKEDLNSYSAHPDHLRVVKENVLPICDDIMAVDWVADNDPLPLSLPCNSAIKVTFLKLKENVTDEVQGEILGVIKGIKDNVSGIQQITCGENFSPARARGFSIASVAVFNGVNEMEGSEENEEYVNLQKQKPDPVQSP >KJB06679 pep chromosome:Graimondii2_0_v6:1:35608980:35610549:1 gene:B456_001G199000 transcript:KJB06679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSVSAAHGSVESGTMAGVGKCILVTGPPGVGKTTLIMRICENLKLSNPNIMLQGFYSQEIRQGGERVGFEVVTLDGRRARLASSTISSPESRQWPAVGKYKVDIASFESLVLPELKQIREETGLFIVDEVGKMELFSSYFFPAILNILQSNIPLLATAPIPKFGKDIPAVVRLKNHPGATIFTLDKSNRDAMKDRIYPQLAGMLLKP >KJB06681 pep chromosome:Graimondii2_0_v6:1:35608980:35611398:1 gene:B456_001G199000 transcript:KJB06681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSVSAAHGSVESGTMAGVGKCILVTGPPGVGKTTLIMRICENLKLSNPNIMLQGFYSQEIRQGGERVGFEVVTLDGRRARLASSTISSPESRQWPAVGKYKVDIASFESLVLPELKIREETGLFIVDEVGKMELFSSYFFPAILNILQSNIPLLATAPIPKFGKDIPAVVRLKNHPGATIFTLDKSNRDAMKDRIYPQLAGRSREAYKNGACNFLK >KJB06682 pep chromosome:Graimondii2_0_v6:1:35609103:35610303:1 gene:B456_001G199000 transcript:KJB06682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSVSAAHGSVESGTMAGVGKCILVTGPPGVGKTTLIMRICENLKLSNPNIMLQGFYSQEIRQGGERVGFEVVTLDGRRARLASSTISSPESRQWPAVGKYKVDIASFESLVLPELKIREETGLFIVDEVGKMELFSSYFFPAILNILQSNIPLLATAPIPKFGKDIPAVVRLKNHPGATIFTLDKSNRDAMKDRIYPQLAGMLLKP >KJB06678 pep chromosome:Graimondii2_0_v6:1:35608975:35611623:1 gene:B456_001G199000 transcript:KJB06678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSVSAAHGSVESGTMAGVGKCILVTGPPGVGKTTLIMRICENLKLSNPNIMLQGFYSQEIRQGGERVGFEVVTLDGRRARLASSTISSPESRQWPAVGKYKVDIASFESLVLPELKIREETGLFIVDEVGKMELFSSYFFPAILNILQSNIPLLATAPIPKFGKDIPAVVRLKNHPGATIFTLDKSNRDAMKDRIYPQLAGRSREAYKNGACNFLK >KJB06680 pep chromosome:Graimondii2_0_v6:1:35608980:35610694:1 gene:B456_001G199000 transcript:KJB06680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSVSAAHGSVESGTMAGVGKCILVTGPPGFYSQEIRQGGERVGFEVVTLDGRRARLASSTISSPESRQWPAVGKYKVDIASFESLVLPELKIREETGLFIVDEVGKMELFSSYFFPAILNILQSNIPLLATAPIPKFGKDIPAVVRLKNHPGATIFTLDKSNRDAMKDRIYPQLAGMLLKP >KJB10751 pep chromosome:Graimondii2_0_v6:1:44835137:44836200:-1 gene:B456_001G221200 transcript:KJB10751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTHHCEDHETVGENKEKRPKFFINDHVDILIEILKRLDGRSLCVAASVCRLWCTIARNDSLWENLCFRHVSPPPSSVRSVVLALGGYKRLYTGCVRPVRSRLGRMRKASWAGDEVQLSLSLFCVDYYERLGGSNSNGRLVGESSPSSLMFLCKPVNV >KJB06698 pep chromosome:Graimondii2_0_v6:1:23034164:23035572:1 gene:B456_001G159700 transcript:KJB06698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIKVWVISVLVLVAPVVIRSTKLEASNVAIFYRSDFSESISYGLDKHCTLPSSYRKSINLFLPLVAAFAGTNLTMVLNEEVTHDFLKHLGSKFWILENTILVSSYESNTRTFFFENKIEVLFLK >KJB07202 pep chromosome:Graimondii2_0_v6:1:690670:698737:1 gene:B456_001G007600 transcript:KJB07202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVYSRACIGEICVPKDGRVKEPQRGRTNAAEIAVFSSTSSNEGEETRDQIHSQLSLNLPGDRELGITRLSRVSSQFLPADGSRAVKVPSGNYELKYSYLSQRGYYPDALDKANQDSFCIHTPFGTNPDDHFFGVFDGHGEFGAECSQFVKRKLCENLLRSNKFHVDAIEACHAAYLTTNTQLHADSLDDSMSGTTAITVLVRGRKIYVANSGDSRAVIAEKRGKEIVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVMLELTEDHPFFVLASDGVFEFLSSQTVVDMVAKHNDPRDACAAIVAESYRLWLQYETRTDDITVIVVHINGLAGAGGESANPASILRPPVPQVSEATGSESPLAFSLSSRNQQARHDLSRARLRAIESSLEKGQIWVPPPPAHRKTWEEEAHIERALHDHFLFRKLTDSQRHVLLDCMQRIEVQPGDTVVKQGGEGDCFYVVGSGEFEVLATQEDKNGAVPRVLQRYTADKLSSFGELALMYNKPLQASVLAVTSGTLWALKREDFRGILMSEFSNLSSLKLLRSVNLLSRLTILQLSHVADSLFEVSFSNGQTIFNKNEGLSALHIIQKGQVRITFDRDLLSSPNVCSLKSDNPNEDDDQQTGKDLSVEKTEGSYFGEWTLLGEQMGSLTAVAVGDVMCAVLTKEKFDSVVGPLTKLSQDDQKIRDYPLDATKDSSKEIDISSLAKVSFTQLEWRTSLYSTDCSEIGLVLVRDSEKILSLKRFSKQKVKKLGKEAQVLKEKDLMKSMSSAVCMPEILCTCADQMHAGILLNTYLVCPLASILHTPLDEQSARFCAASVVTALEDLHENGVLYRGVSPDVLMLNKTGHLQLVDFRFGKKLSSERTFTICGMADSLAPEVIQGKGHGLPADWWSLGVLIYFLLQGEMPFGSWRQSELDTFAKIAKGQFTLSQNLSPEAVDLITKLLEVDESVRLGSHGSDSVKNHPWFDGVDWKGIRDQSVPVPHELTSRIAQHLESHNEECPVAVTSPTQDIAVLNDPEWLDEW >KJB07205 pep chromosome:Graimondii2_0_v6:1:690719:695749:1 gene:B456_001G007600 transcript:KJB07205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLTISKTIIVSRFLMGCVYSRACIGEICVPKDGRVKEPQRGRTNAAEIAVFSSTSSNEGEETRDQIHSQLSLNLPGDRELGITRLSRVSSQFLPADGSRAVKVPSGNYELKYSYLSQRGYYPDALDKANQDSFCIHTPFGTNPDDHFFGVFDGHGEFGAECSQFVKRKLCENLLRSNKFHVDAIEACHAAYLTTNTQLHADSLDDSMSGTTAITVLVRGRKIYVANSGDSRAVIAEKRGKEIVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVMLELTEDHPFFVLASDGVFEFLSSQTVVDMVAKHNDPRDACAAIVAESYRLWLQYETRTDDITVIVVHINGLAGQAGGESANPASILRPPVPQVSEATGSESPLAFSLSSRNQQARHDLSRARLRAIESSLEKGQIWVPPPPAHRKTWEEEAHIERALHDHFLFRKLTDSQRHVLLDCMQRIEVQPGDTVVKQGGEGDCFYVVGSGEFEVLATQEDKNGAVPRVLQRYTADKLSSFGELALMYNKPLQASVLAVTSGTLWALKREDFRGILMSEFSNLSSLKLLRSVNLLSRLTILQLSHVADSLFEVSFSNGQTIFNKNEGLSALHIIQKGQVRITFDRDLLSSPNVCSLKSDNPNEDDDQQTGKDLSVEKTEGSYFGEWTLLGEQMGSLTAVAVGDVMCAVLTKEKFDSVVGPLTKLSQDDQKIRDYPLDATKDSSKEIDISSLAKVSFTQLEWRTSLYSTDCSEIGLVLVRDSGWLYLCIYFSSHFKLKKDAFSCVTSLGSTVN >KJB07207 pep chromosome:Graimondii2_0_v6:1:690677:698341:1 gene:B456_001G007600 transcript:KJB07207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLTISKTIIVSRFLMGCVYSRACIGEICVPKDGRVKEPQRGRTNAAEIAVFSSTSSNEGEETRDQIHSQLSLNLPGDRELGITRLSRVSSQFLPADGSRAVKVPSGNYELKYSYLSQRGYYPDALDKANQDSFCIHTPFGTNPDDHFFGVFDGHGEFGAECSQFVKRKLCENLLRSNKFHVDAIEACHAAYLTTNTQLHADSLDDSMSGTTAITVLVRGRKIYVANSGDSRAVIAEKRGKEIVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVMLELTEDHPFFVLASDGVFEFLSSQTVVDMVAKHNDPRDACAAIVAESYRLWLQYETRTDDITVIVVHINGLAGQAGGESANPASILRPPVPQVSEATGSESPLAFSLSSRNQQARHDLSRARLRAIESSLEKGQIWVPPPPAHRKTWEEEAHIERALHDHFLFRKLTDSQRHVLLDCMQRIEVQPGDTVVKQGGEGDCFYVVGSGEFEVLATQEDKNGAVPRVLQRYTADKLSSFGELALMYNKPLQASVLAVTSGTLWALKREDFRGILMSEFSNLSSLKLLRSVNLLSRLTILQLSHVADSLFEVSFSNGQTIFNKNEGLSALHIIQKGQVRITFDRDLLSSPNVCSLKSDNPNEDDDQQTGKDLSVEKTEGSYFGEWTLLGEQMGSLTAVAVGDVMCAVLTKEKFDSVVGPLTKLSQDDQKIRDYPLDATKDSSKEIDISSLAKVSFTQLEWRTSLYSTDCSEIGLVLVRDSEKILSLKRFSKQKVKKLGKEAQVLKEKDLMKSMSSAVCMPEILCTCADQMHAGILLNTYLVCPLASILHTPLDEQSARFCAASVVTALEDLHENGVLYRGVSPDVLMLNKTGHLQLVDFRFGKKLSSERTFTICGMADSLAPEVIQGKGHGLPADWWSLGVLIYFLLQGEMPFGSWRQSELDTFAKIAKGQFTLSQNLSPEAVDLITKLLEVDESVRLGSHGSDSVKNHPWFDGVDWKGIRDQSVPVPHELTSRIAQHLESHNEECPVAVTSPTQDIAVLNDPEWLDEW >KJB07204 pep chromosome:Graimondii2_0_v6:1:690719:695184:1 gene:B456_001G007600 transcript:KJB07204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLTISKTIIVSRFLMGCVYSRACIGEICVPKDGRVKEPQRGRTNAAEIAVFSSTSSNEGEETRDQIHSQLSLNLPGDRELGITRLSRVSSQFLPADGSRAVKVPSGNYELKYSYLSQRGYYPDALDKANQDSFCIHTPFGTNPDDHFFGVFDGHGEFGAECSQFVKRKLCENLLRSNKFHVDAIEACHAAYLTTNTQLHADSLDDSMSGTTAITVLVRGRKIYVANSGDSRAVIAEKRGKEIVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVMLELTEDHPFFVLASDGVFEFLSSQTVVDMVAKHNDPRDACAAIVAESYRLWLQYETRTDDITVIVVHINGLAGQAGGESANPASILRPPVPQVSEATGSESPLAFSLSSRNQQARHDLSRARLRAIESSLEKGQIWVPPPPAHRKTWEEEAHIERALHDHFLFRKLTDSQRHVLLDCMQRIEVQPGDTVVKQGGEGDCFYVVGSGEFEVLATQEDKNGAVPRVLQRYTADKLSSFGELALMYNKPLQASVLAVTSGTLWALKREDFRGILMSEFSNLSSLKLLRSVNLLSRLTILQLSHVADSLFEVSFSNGQTIFNKNEGLSALHIIQKGQVRITFDRDLLSSPNVCSLKSDNPNEDDDQQTGKDLSVEKTEGSYFGEWTLLGEQMGSLTAVAVGDVMCAVLTKEKFDSVVGPLTKLSQDDQKYVLV >KJB07206 pep chromosome:Graimondii2_0_v6:1:690719:696950:1 gene:B456_001G007600 transcript:KJB07206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLTISKTIIVSRFLMGCVYSRACIGEICVPKDGRVKEPQRGRTNAAEIAVFSSTSSNEGEETRDQIHSQLSLNLPGDRELGITRLSRVSSQFLPADGSRAVKVPSGNYELKYSYLSQRGYYPDALDKANQDSFCIHTPFGTNPDDHFFGVFDGHGEFGAECSQFVKRKLCENLLRSNKFHVDAIEACHAAYLTTNTQLHADSLDDSMSGTTAITVLVRGRKIYVANSGDSRAVIAEKRGKEIVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVMLELTEDHPFFVLASDGVFEFLSSQTVVDMVAKHNDPRDACAAIVAESYRLWLQYETRTDDITVIVVHINGLAGQAGGESANPASILRPPVPQVSEATGSESPLAFSLSSRNQQARHDLSRARLRAIESSLEKGQIWVPPPPAHRKTWEEEAHIERALHDHFLFRKLTDSQRHVLLDCMQRIEVQPGDTVVKQGGEGDCFYVVGSGEFEVLATQEDKNGAVPRVLQRYTADKLSSFGELALMYNKPLQASVLAVTSGTLWALKREDFRGILMSEFSNLSSLKLLRSVNLLSRLTILQLSHVADSLFEVSFSNGQTIFNKNEGLSALHIIQKGQVRITFDRDLLSSPNVCSLKSDNPNEDDDQQTGKDLSVEKTEGSYFGEWTLLGEQMGSLTAVAVGDVMCAVLTKEKFDSVVGPLTKLSQDDQKIRDYPLDATKDSSKEIDISSLAKVSFTQLEWRTSLYSTDCSEIGLVLVRDSEKILSLKRFSKQKVKKLGKEAQVLKEKDLMKSMSSAVCMPEILCTCADQMHAGILLNTYLVCPLASILHTPLDEQSARFCAASVVTALEDLHENGVLYRGVSPDVLMLNKTGHLQLVDFRFGKKLSSERTFTICGMADSLAPEVIQGKGHGLPADW >KJB07203 pep chromosome:Graimondii2_0_v6:1:690719:697998:1 gene:B456_001G007600 transcript:KJB07203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVYSRACIGEICVPKDGRVKEPQRGRTNAAEIAVFSSTSSNEGEETRDQIHSQLSLNLPGDRELGITRLSRVSSQFLPADGSRAVKVPSGNYELKYSYLSQRGYYPDALDKANQDSFCIHTPFGTNPDDHFFGVFDGHGEFGAECSQFVKRKLCENLLRSNKFHVDAIEACHAAYLTTNTQLHADSLDDSMSGTTAITVLVRGRKIYVANSGDSRAVIAEKRGKEIVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVMLELTEDHPFFVLASDGVFEFLSSQTVVDMVAKHNDPRDACAAIVAESYRLWLQYETRTDDITVIVVHINGLAGQAGGESANPASILRPPVPQVSEATGSESPLAFSLSSRNQQARHDLSRARLRAIESSLEKGQIWVPPPPAHRKTWEEEAHIERALHDHFLFRKLTDSQRHVLLDCMQRIEVQPGDTVVKQGGEGDCFYVVGSGEFEVLATQEDKNGAVPRVLQRYTADKLSSFGELALMYNKPLQASVLAVTSGTLWALKREDFRGILMSEFSNLSSLKLLRSVNLLSRLTILQLSHVADSLFEVSFSNGQTIFNKNEGLSALHIIQKGQVRITFDRDLLSSPNVCSLKSDNPNEDDDQQTGKDLSVEKTEGSYFGEWTLLGEQMGSLTAVAVGDVMCAVLTKEKFDSVVGPLTKLSQDDQKIRDYPLDATKDSSKEIDISSLAKVSFTQLEWRTSLYSTDCSEIGLVLVRDSEKILSLKRFSKQKVKKLGKEAQVLKEKDLMKSMSSAVCMPEILCTCADQMHAGILLNTYLVCPLASILHTPLDEQSARFCAASVVTALEDLHENGVLYRGVSPDVLMLNKTGHLQLVDFRFGKKLSSERTFTICGMADSLAPEVIQGKGHGLPADWWSLGVLIYFLLQGEMPFGSWRQSELDTFAKIAKGQFTLSQNLSPEAVDLITKLLEVDESVRLGSHGSDSVKNHPWFDGVDWKGIRDQSVPVPHELTSRIAQHLESHNEECPVAVTSPTQDIAVLNDPEWLDEW >KJB10601 pep chromosome:Graimondii2_0_v6:1:41890162:41890610:1 gene:B456_001G210600 transcript:KJB10601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVFWGTRVMEIVKKHESGDLVWKRIKLTSTRKAKAKKRLHCVWQSIIRAEDNLETLTSFGIISC >KJB09470 pep chromosome:Graimondii2_0_v6:1:19625925:19629891:-1 gene:B456_001G144700 transcript:KJB09470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLALLNPRGALGNVCSFLVKLVVLCCAISITNADFSGNLQVPAQSPTTAAEGVPAVSDLPSPSNLPLVHRPSRRHFAPRSAPTLVAPAQSPLHGPLITAGHPPISSRLSKPLMKRSALVHPGMGLPNIAPTQISAGPIPAGLAQPPLSPEVSNCCKPDSILKPGTHGCHCVYPIKLDLLLLNVSQNPDRNAFLVELTSQLDLLPNQIEIINFYVLSLSRLNISMDIIPHTGISFSASDASKINSSLVMHRVHFDPNVVRDYQILNFTWFEPPAPSPAPIVVSRPRAAPAHLSSNSTLLGSSNKGNHSNLILIFGISAGILVFAIISVLIICSCTFHKGKTEAFPKESVKSRTTDVVERAGSFPHPSSTRFLQYEELKEATNNFASASILGEGGFGRVFKGVLSDGTSVAIKRLTNGGPQGDKEFLVEVEMLSRLHHRNLVKLVGYYSSRDSSQNLLCYELVPNGSLESWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRTNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWARPILRDKDRLEELSDPGLGGKYPKEDFIRVCTIAAACVAPEASQRPTMGEVVQSLKMVQHIAEYQDSMLTVSNNRPNQRQSSTTFESDEMSSMFSSGPCSGLSAFDKDISQTAVSSEDLHEGR >KJB09468 pep chromosome:Graimondii2_0_v6:1:19625547:19630226:-1 gene:B456_001G144700 transcript:KJB09468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLALLNPRGALGNVCSFLVKLVVLCCAISITNADFSGNLQVPAQSPTTAAEGVPAVSDLPSPSNLPLVHRPSRRHFAPRSAPTLVAPAQSPLHGPLITAGHPPISSRLSKPLMKRSALVHPGMGLPNIAPTQISAGPIPAGLAQPPLSPEVSNCCKPDSILKPGTHGCHCVYPIKLDLLLLNVSQNPDRNAFLVELTSQLDLLPNQIEIINFYVLSLSRLNISMDIIPHTGISFSASDASKINSSLVMHRVHFDPNVVRDYQILNFTWFEPPAPSPAPIVVSRPRAAPAHLSSNSTLLGSSNKGNHSNLILIFGISAGILVFAIISVLIICSCTFHKGKTEAFPKESVKSRTTDVVERAGSFPHPSSTRFLQYEELKEATNNFASASILGEGGFGRVFKGVLSDGTSVAIKRLTNGGPQGDKEFLVEVEMLSRLHHRNLVKLVGYYSSRDSSQNLLCYELVPNGSLESWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRTNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWVSQS >KJB09471 pep chromosome:Graimondii2_0_v6:1:19625547:19630285:-1 gene:B456_001G144700 transcript:KJB09471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLALLNPRGALGNVCSFLVKLVVLCCAISITNADFSGNLQVPAQSPTTAAEGVPAVSDLPSPSNLPLVHRPSRRHFAPRSAPTLVAPAQSPLHGPLITAGHPPISSRLSKPLMKRSALVHPGMGLPNIAPTQISAGPIPAGLAQPPLSPEVSNCCKPDSILKPGTHGCHCVYPIKLDLLLLNVSQNPDRNAFLVELTSQLDLLPNQIEIINFYVLSLSRLNISMDIIPHTGISFSASDASKINSSLVMHRVHFDPNVVRDYQILNFTWFEPPAPSPAPIVVSRPRAAPAHLSSNSTLLGSSNKGNHSNLILIFGISAGILVFAIISVLIICSCTFHKGKTEAFPKESVKSRTTDVVERAGSFPHPSSTRFLQYEELKEATNNFASASILGEGGFGRVFKGVLSDGTSVAIKRLTNGGPQGDKEFLVEVEMLSRPLGSKLSFGLGHQNEDCT >KJB09469 pep chromosome:Graimondii2_0_v6:1:19625547:19630285:-1 gene:B456_001G144700 transcript:KJB09469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLALLNPRGALGNVCSFLVKLVVLCCAISITNADFSGNLQVPAQSPTTAAEGVPAVSDLPSPSNLPLVHRPSRRHFAPRSAPTLVAPAQSPLHGPLITAGHPPISSRLSKPLMKRSALVHPGMGLPNIAPTQISAGPIPAGLAQPPLSPEVSNCCKPDSILKPGTHGCHCVYPIKLDLLLLNVSQNPDRNAFLVELTSQLDLLPNQIEIINFYVLSLSRLNISMDIIPHTGISFSASDASKINSSLVMHRVHFDPNVVRDYQILNFTWFEPPAPSPAPIVVSRPRAAPAHLSSNSTLLGSSNKGNHSNLILIFVKSRTTDVVERAGSFPHPSSTRFLQYEELKEATNNFASASILGEGGFGRVFKGVLSDGTSVAIKRLTNGGPQGDKEFLVEVEMLSRLHHRNLVKLVGYYSSRDSSQNLLCYELVPNGSLESWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRTNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWARPILRDKDRLEELSDPGLGGKYPKEDFIRVCTIAAACVAPEASQRPTMGEVVQSLKMVQHIAEYQDSMLTVSNNRPNQRQSSTTFESDEMSSMFSSGPCSGLSAFDKDISQTAVSSEDLHEGR >KJB10676 pep chromosome:Graimondii2_0_v6:1:43191138:43192738:-1 gene:B456_001G215700 transcript:KJB10676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLEPLLNLQHLCVNEKANDSSVESTKKTESSTTPLSLDEVEVILGYEFKNKQLLEEAFTDASLGEDFSNERLEYVGDSVLNLLFTKDQYFEYPDLSPGVLTRLRAANVDSDKLARVAVKHGFHRFLRHKKPLLEDQIRQFSEEIQRYPLHSNGLVDVPKALADLVESVIGAVFIDSNSSIDIVWKVFKDLLEPIIRRETLKIHPVTQLYEMCQKKNLKVKFVDLWKESTTFDVFVDDQFVGRGSCRLKKEIAHNRAAKDALDNIVRILDKKDT >KJB10708 pep chromosome:Graimondii2_0_v6:1:43470883:43471339:1 gene:B456_001G217200 transcript:KJB10708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESSVLLRFCFSRFHLPCFTLPTRKAPPPSPSQHQIESPLTFSFLLLFLKVTAKKIGGPATQVHRFRAGTRASRDSKIPASSEKSQDFLDLHPFWVCFEFLFV >KJB09350 pep chromosome:Graimondii2_0_v6:1:17772915:17774907:-1 gene:B456_001G136100 transcript:KJB09350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWWLRLAVLMMVGSHWAWGQCETSIPKLISQCGQYVEGSGPETTPSKACCDVITSLDIPCMCKYVTPDVEKLVNMEKVVFVAKSCGLTLQPGMKCGSFVVPPSV >KJB09351 pep chromosome:Graimondii2_0_v6:1:17773022:17774899:-1 gene:B456_001G136100 transcript:KJB09351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWWLRLAVLMMVGSHWAWGQCETSIPKLISQCGQYVEGSGPETTPSKACCDVITSLDIPCMCKYVTPDVEKLVNMEKVVFVAKSCGLTLQPGMKCGIVVSGFVVPPSV >KJB09352 pep chromosome:Graimondii2_0_v6:1:17773235:17773549:-1 gene:B456_001G136100 transcript:KJB09352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWWLRLAVLMMVGSHWAWGQCETSIPKLISQCGQYVEGSGPETTPSKACCDVITSLDIPCMCKYVTPDVEKLVNMEKVVFVAKSCGLTLQPGMKCGSTYFTYL >KJB06130 pep chromosome:Graimondii2_0_v6:1:31194010:31194880:1 gene:B456_001G1902001 transcript:KJB06130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHKMNDSRVACVEEEAVLSQEAYILLYAKQGIPWFSTAIEVQKPCVDPGISDSSPKFVLNDIDFASNLEVEKSANCSANETKDVADRASTQFSCDVFN >KJB07256 pep chromosome:Graimondii2_0_v6:1:1108923:1110728:-1 gene:B456_001G011800 transcript:KJB07256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPRIVIIGAGMAGLTAANKLYTSSNDLFELFVVEGGTRIGGRINTSEFYSDRVEMGATWIHGIKGSPVHQIAQQINALQGSDKPWECMDGLLDEPKTIAEGGFELNGSMIEPISTLFKNLMDFAQGNEASKWCSMSRRLGNKSIGSFLRKGLDVFWDSCKDHEELKGYGKWSRELLEEAIFAMYENTQRTYTSAGDLFSLDYEAESEYRMFPGEEITIGNGYSSIIEYLASVLPRDVIQLDRKVAKIEWDRCDSRPVKIHFLDGSFVLADHVIVTVSLGVLKAGICNDPGLFSPPLPSFKTDAISRLGYGVVNKLFLRLNGNRKPEELPSLQMVFHRSDSELRHKKIPWWMRRTATLSPIYNNASVFLSWFAGKEALELERLSNEEIIKAVTTTVSSLLSEPHNEIMSDSNSNGFEVSFVDVLKSKWGSDPLFLGSYSYVAVGSCGADFDTMAEPLPTDVYHHHPLQILFAGEATHRTHYSTTHGAYFSGIREANRLLQHYHCVGV >KJB07943 pep chromosome:Graimondii2_0_v6:1:5236982:5241733:1 gene:B456_001G054600 transcript:KJB07943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTEVAMKGNCVNGRGGGESFSSGYSEPKDGRNTVEGQNGHSTNQAPAIDPETALYNELWHACAGPLVTVPREQDRVFYFPQGHIEQVEASTSQVADEQMPVYNLPSKILCRVINVQLKAEPDTDEVFAQVTLLPEPNQDENTVNKEPPAPQPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAYTTKTIFTVYYKPRTSPAEFIVPFDQYMESMKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDADPKKWKDSKWRCLKVRWDETSTIPRPERVSPWKIEPALAPPALNPLPMPRPKRPRSNAVPSSPDSSVLTREGSSKAIVDPSPATGFSRVLQGQEFSTLRGNFGESHESDTAEKSVIWRPTVDDEKIDVVPTSRRFGSENWMSSGRHEPAAYADLLSGFRSNADSSLGYCPPLVDQTSLAGNPMRRQLLDQEGKLGSWSLMSSGLSLKLVDSNAKPSVQGSEVPYQARGNGRFSGFGEYPVLQGHRIEHPHGNWLMPPPTSSNYENPIQSRDLMPKASLGQDHENGKSREGSCKLFGIPLISNSVASEPTVSPINATNKAASHVEAAPNQARTFTFDQKSEQPKFSPLAENLSIFNEQEKSFQPGQPHTREVQSKSPSASTRSCTKVLMQGSALGRSVDLTKFNNYDELIAELDQLFEFGGELMAPKKNWLVVYTDDEGDMMLVGDDPWQEFCTMVRKIGIYTREEVQKMKPGSLNSKGEDNLVSAEGLDAKDVKCTSASSTENC >KJB07944 pep chromosome:Graimondii2_0_v6:1:5237005:5241811:1 gene:B456_001G054600 transcript:KJB07944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTEVAMKGNCVNGRGGGESFSSGYSEPKDGRNTVEGQNGHSTNQAPAIDPETALYNELWHACAGPLVTVPREQDRVFYFPQGHIEQVEASTSQVADEQMPVYNLPSKILCRVINVQLKAEPDTDEVFAQVTLLPEPNQDENTVNKEPPAPQPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAYTTKTIFTVYYKPRTSPAEFIVPFDQYMESMKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDADPKKWKDSKWRCLKVRWDETSTIPRPERVSPWKIEPALAPPALNPLPMPRPKRPRSNAVPSSPDSSVLTREGSSKAIVDPSPATGFSRVLQGQEFSTLRGNFGESHESDTAEKSVIWRPTVDDEKIDVVPTSRRFGSENWMSSGRHEPAAYADLLSGFRSNADSSLGYCPPLVDQTSLAGNPMRRQLLDQEGKLGSWSLMSSGLSLKLVDSNAKPSVQGSEVPYQARGNGRFSGFGEYPVLQGHRIEHPHGNWLMPPPTSSNYENPIQSRDLMPKASLGQDHENGKSREGSCKLFGIPLISNSVASEPTVSPINATNKAASHVEAAPNQARTFTFDQKSEQPKFSPLAENLSIFNEQEKSFQPGQPHTREVQSKSPSASTRSCTKVLMQGSALGRSVDLTKFNNYDELIAELDQLFEFGGELMAPKKNWLVVYTDDEGDMMLVGDDPWQEFCTMVRKIGIYTREEVQKMKPGSLNSKGEDNLVSAEGLDAKDVKCTSASSTENC >KJB08528 pep chromosome:Graimondii2_0_v6:1:9288066:9288314:-1 gene:B456_001G086900 transcript:KJB08528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEEKANNDRIFKRFDVNGDGKISAAELGEALKALGCVSVEEVSKMMSEMDADGDGFISYEEFIAFAAANRGLMKDVAKIF >KJB06494 pep chromosome:Graimondii2_0_v6:1:4243359:4243899:1 gene:B456_001G044500 transcript:KJB06494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFHSFLELSLSTNSVLREKEKEKNITFASAPWKLKKAVGKHDGNSGLGFSNKRRKKNAPFLFICKQTMVRKHYYYYESVLFLSELRWQFLSAFPRRKVLLILNYMVGSLYFYYYYLVSKRKCLRIYY >KJB07412 pep chromosome:Graimondii2_0_v6:1:2082940:2084818:-1 gene:B456_001G022100 transcript:KJB07412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSHTATNSAAGPQACAVCKYQRRKCTNNCLLAPFFPANRHKDFLNTRKLFGVRNIIKLIENLNFQQRVIAIRTIIFEANMRAQNPVGGCYGLICDLNNKIGEYKTQLNLVNQQLAIYKSQSVFTQYQQQQPQKQYNDGGDQNLDAGFSIFDGGGGGSSPPLLSAYDVFESNLVKAELEGSNVLSDVDEDMKPLFGNNKRDQSFSFDSEGHVVFSDDKKEQQTFCFDSGGSKIQNSGQHVSKERGGLIQHQLKNDLNGGASLFTLTSGKE >KJB06640 pep chromosome:Graimondii2_0_v6:1:347397:353650:-1 gene:B456_001G003700 transcript:KJB06640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFSISKLTTKSLSLKFPLSLFPNYPESSAVAAAARFPSLSPRSIRFSICSDASRSSRGGVSLSSRDRNLSTMAGADEFVKGNVHPNGVAVITLDRPKALNAMNLDMDIKYKQILDEWESDPKVKCVLIEGSSTRAFCAGMDIKGVVAEIQKDRNTSLVPKVFTAEYSLICKISEYKKPYISFMDGVTMGFGVGLSSHGRYRVVTERTVLAMPENGIGLFPDVGFSYIAAQGPGGGSIGAYLGMTGKRISTPSDALYAGLGTHYVPSGNLGSLKEALLSSTFSEDPDKDLTTLLAKYSSNPESEAHLKLLLPQISSCFSADKSVNETIEELKKHLQSTEASVAEWANDALQGLGKGAPFSLCLTKNYFSRVASGYGKSGNEFTTLKGVMKTEYRIALRSSLRNDFAEGVRAVLVDKDQNPKWNPPSLNEVNPKDVEAIFEPLGPGIEELKV >KJB06642 pep chromosome:Graimondii2_0_v6:1:347557:353507:-1 gene:B456_001G003700 transcript:KJB06642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFSISKLTTKSLSLKFPLSLFPNYPESSAVAAAARFPSLSPRSIRFSICSDASRSSRGGVSLSSRDRNLSTMAGADEFVKGNVHPNGVAVITLDRPKALNAMNLDMDIKYKQILDEWESDPKVKCVLIEGSSTRAFCAGGDVKQITEKNNLSDMIEVFTAEYSLICKISEYKKPYISFMDGVTMGFGVGLSSHGRYRVVTERTVLAMPENGIGLFPDVGFSYIAAQGPGGGSIGAYLGMTGKRISTPSDALYAGLGTHYVPSGNLGSLKEALLSSTFSEDPDKDLTTLLAKYSSNPESEAHLKLLLPQISSCFSADKSVNETIEELKKHLQSTEASVAEWANDALQGLGKGAPFSLCLTKNYFSRVASGYGKSGNEFTTLKGVMKTEYRIALRSSLRNDFAEGVRAVLVDKDQNPKWNPPSLNEVNPKDVEAIFEPLGPGIEELKV >KJB06641 pep chromosome:Graimondii2_0_v6:1:347557:353507:-1 gene:B456_001G003700 transcript:KJB06641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFSISKLTTKSLSLKFPLSLFPNYPESSAVAAAARFPSLSPRSIRFSICSDASRSSRGGVSLSSRDRNLSTMAGADEFVKGNVHPNGVAVITLDRPKALNAMNLDMDIKYKQILDEWESDPKVKCVLIEGSSTRAFCAGMDIKGVVAEIQKDRNTSLVPKVFTAEYSLICKISEYKKPYISFMDGVTMGFGVGLSSHGRYRVVTERTVLAMPENGIGLFPDVGFSYIAAQGPGGGSIGAYLGMTGKRISTPSDALYAGLGTHYVPSGNLGSLKEALLSSTFSEDPDKDLTTLLAKYSSNPESEAHLKLLLPQISSCFSADKSVNETIEELKKHLQSTEASVAEWANDALQGLGKGAPFSLCLTKNYFSRVASGYGKSGNEFTTVSLVMYNPTAFLSRVPFFFVVIFFM >KJB07616 pep chromosome:Graimondii2_0_v6:1:3040868:3041916:-1 gene:B456_001G033100 transcript:KJB07616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITLEELHAYHAIDRSVFSRLVITLRRDPAESLLVMAMWLWLEEKGYPNIIAKMVNLSDPLLEALAGEAVSCLNCLGSKNPTIPPNGILPLTTRIMEKDVSLHMFYQNKFSTISGIKSFMTTVCSRIFTDILQHVVGSTSRVIPNQPLVIPGFPHSLFGSVTIVPRAMDHDFPIGGLWGWNPSNNVSEDDRTLFLTFSRGFPVSEDEIRELFTNIGVCVDSVRMQDNVPYGEQPLFAKMVLRSVANVDQILSGRPIAKFRINGKHIWARKYERRE >KJB10399 pep chromosome:Graimondii2_0_v6:1:35878172:35879766:-1 gene:B456_001G199900 transcript:KJB10399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWTLFEVAAMPILQVLIISLLGAFLATDYCKLFPEDTRRSLNKLVFVVFTPSLMFASLAKTVTLQDIISWWFMPVNMGITFLVGGIVGWFVVKILRPKPHLEGLIIATCSAGNVGNLLLILVPAVCNEDRSPFGNRDVCNSVGLSYASFSMALGGFYIWTISYQMVKSSAVKSKALEAAEEFVSKVEANNNLDATAQTQLLKERKEEGAITTVATKEVEDPEQHANVSQESRPKQEGKGLLWVNVVAFLRQILKELMAPPTLGAIAGFVFGATVWLRKLIIGEGAPLRVMQDSTKLLGYALFSLNKM >KJB10400 pep chromosome:Graimondii2_0_v6:1:35877191:35880474:-1 gene:B456_001G199900 transcript:KJB10400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWTLFEVAAMPILQVLIISLLGAFLATDYCKLFPEDTRRSLNKLVFVVFTPSLMFASLAKTVTLQDIISWWFMPVNMGITFLVGGIVGWFVVKILRPKPHLEGLIIATCSAGNVGNLLLILVPAVCNEDRSPFGNRDVCNSVGLSYASFSMALGGFYIWTISYQMVKSSAVKSKALEAAEEFVSKVEANNNLDATAQTQLLKERKEEGAITTVATKEVEDPEQHANVSQESRPKQEGKGLLWVNVVAFLRQILKELMAPPTLGAIAGFVFGATVWLRKLIIGEGAPLRVMQDSTKLLGDATIPCITLIIGGNLIGGLRSSKIKPLVIVGVVCARYIILPVIGIWVVKAAAKLGFLPSDPLFSYVLMLQFTVPPASNIGTMTQLFDVGQEECSVLFLWTYLVAAFALTTWSTIFMWILT >KJB08229 pep chromosome:Graimondii2_0_v6:1:7270232:7272838:1 gene:B456_001G071800 transcript:KJB08229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKGNSGELKSRSSASIFIVIGLCCFFYILGAWQRSGFGKGDKIASAITKQTDCSVISNLNYETHHGDDVVTADGSDKAAKEFPSCHAKYVDYTPCQDQMRAMKFPRDNMIYRERHCPTEDEKLQCLIPAPKGYATPFPWPQSRDYVPFANAPYKSLTVEKAVQNWIQYEGNVFRFPGGGTQFPQGADTYINQLASAIPIDNGMVRTALDTGCGVASLGAYLFKKNVITMSFAPRDSHEAQVQFALERGVPAVIGVLGSIKMPFATRAFDMAHCSRCLIPWGENDGLYMMEVDRVLRPGGYWVLSGPPINWRTYFGAWKRPKEDLEEEQRKIEEIARLLCWEKLDELGDIAVWKKRTNYDLCRQQDTKPNLCEPSYPDDVWYKKMEACVTPYPKSTKSYEEWKPFPDRLNAIPPRISSGSVPGVSVDMYQEDIQIWEKHVKSYKSINNLIDSGRFRNIMDMNAGLGSFAAALDSPKLWVMNVMPTIAEKDTLGVISDRGLIGIYHDWCEAFSTYPRTYDLIHANGIFSLYKDNSVCPDFQLIEFIFAHTCTTCLVITRTVEAYMLVFYRCRCKAEDILLEMDRILRPEGSVIIRDNVNVLVKVKKIVGGMRWDARMVDHEDGPLVSEKVLFAVKKYWVVGDIITAHNKKKKVKN >KJB08228 pep chromosome:Graimondii2_0_v6:1:7269497:7273010:1 gene:B456_001G071800 transcript:KJB08228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKGNSGELKSRSSASIFIVIGLCCFFYILGAWQRSGFGKGDKIASAITKQTDCSVISNLNYETHHGDDVVTADGSDKAAKEFPSCHAKYVDYTPCQDQMRAMKFPRDNMIYRERHCPTEDEKLQCLIPAPKGYATPFPWPQSRDYVPFANAPYKSLTVEKAVQNWIQYEGNVFRFPGGGTQFPQGADTYINQLASAIPIDNGMVRTALDTGCGVASLGAYLFKKNVITMSFAPRDSHEAQVQFALERGVPAVIGVLGSIKMPFATRAFDMAHCSRCLIPWGENDGLYMMEVDRVLRPGGYWVLSGPPINWRTYFGAWKRPKEDLEEEQRKIEEIARLLCWEKLDELGDIAVWKKRTNYDLCRQQDTKPNLCEPSYPDDVWYKKMEACVTPYPKSTKSYEEWKPFPDRLNAIPPRISSGSVPGVSVDMYQEDIQIWEKHVKSYKSINNLIDSGRFRNIMDMNAGLGSFAAALDSPKLWVMNVMPTIAEKDTLGVISDRGLIGIYHDWCEAFSTYPRTYDLIHANGIFSLYKDKCKAEDILLEMDRILRPEGSVIIRDNVNVLVKVKKIVGGMRWDARMVDHEDGPLVSEKVLFAVKKYWVVGDIITAHNKKKKVKN >KJB09695 pep chromosome:Graimondii2_0_v6:1:22394740:22396430:1 gene:B456_001G157000 transcript:KJB09695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAASSSMAATAILVPRVHTAARITHCSALPSLPPRVSSASFSSSVKLSPESRRFSQLLTKASEETAVDAGEFFTDLKEKWDKVENKSTVILYGGGAIVAVWLSSIFVGALNSVPLLPKIMELVGLGYTGWFVYRYLLFKEGTSYGY >KJB09696 pep chromosome:Graimondii2_0_v6:1:22394740:22396430:1 gene:B456_001G157000 transcript:KJB09696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAASSSMAATAILVPRVHTAARITHCSALPSLPPRVSSASFSSSVKLSPESRRFSQLLTKASEETAVDAGEFFTDLKEKWDKVENKSTVILYGGGAIVAVWLSSIFVGALNSVPLLPKIMELVGLGYTGWFVYRYLLFKSSRKELATDIESLKKKIAETE >KJB10534 pep chromosome:Graimondii2_0_v6:1:41128146:41131144:-1 gene:B456_001G208300 transcript:KJB10534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWTADGYVAVVTIFNFQQYRHIQAPGWTLGWKWSKKEVIWSMMGGQTTEQGDCSRFKGNIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLNSWVQDPATAGSSFQVSVGQAGTTNKTVRVPKNFTLKAPGPGYTCGPAKIVKPSRFVTADKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNETIVPCPQCACGCQNTSQPGSCVDPKAPHIASVVPSTGKNNYAPLVQCTSHMCPVRVHWHVKQNYKEYWRVKVTITNFNYNMNYTQWNLVVQHPNFDNLTQIFSFNYKSLTPYTAINDTAMLWGVKFYNDLLSQAGQLGNVQSELLFRKDKATFTFEKGWAFPRRIYFNGDNCVMPPPDTYPWLPNGSSHQLISTLSLLTTLLAAMAFLLGYA >KJB10535 pep chromosome:Graimondii2_0_v6:1:41128146:41131986:-1 gene:B456_001G208300 transcript:KJB10535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLFSFIARSFFNLSSFSFLLLFLLSFSSFTATEAYDPLDPNGNITIKWDIMSWTADGYVAVVTIFNFQQYRHIQAPGWTLGWKWSKKEVIWSMMGGQTTEQGDCSRFKGNIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLNSWVQDPATAGSSFQVSVGQAGTTNKTVRVPKNFTLKAPGPGYTCGPAKIVKPSRFVTADKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNETIVPCPQCACGCQNTSQPGSCVDPKAPHIASVVPSTGKNNYAPLVQCTSHMCPVRVHWHVKQNYKEYWRVKVTITNFNYNMNYTQWNLVVQHPNFDNLTQIFSFNYKSLTPYTAINDTAMLWGVKFYNDLLSQAGQLGNVQSELLFRKDKATFTFEKGWAFPRRIYFNGDNCVMPPPDTYPWLPNGSSHQLISTLSLLTTLLAAMAFLLGYA >KJB10533 pep chromosome:Graimondii2_0_v6:1:41128146:41130749:-1 gene:B456_001G208300 transcript:KJB10533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGQTTEQGDCSRFKGNIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLNSWVQDPATAGSSFQVSVGQAGTTNKTVRVPKNFTLKAPGPGYTCGPAKIVKPSRFVTADKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNETIVPCPQCACGCQNTSQPGSCVDPKAPHIASVVPSTGKNNYAPLVQCTSHMCPVRVHWHVKQNYKEYWRVKVTITNFNYNMNYTQWNLVVQHPNFDNLTQIFSFNYKSLTPYTAINDTAMLWGVKFYNDLLSQAGQLGNVQSELLFRKDKATFTFEKGWAFPRRIYFNGDNCVMPPPDTYPWLPNGSSHQLISTLSLLTTLLAAMAFLLGYA >KJB06510 pep chromosome:Graimondii2_0_v6:1:9576599:9580721:-1 gene:B456_001G088700 transcript:KJB06510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated protein AAF, chlorolplastic [Source:Projected from Arabidopsis thaliana (AT1G66330) UniProtKB/Swiss-Prot;Acc:Q9C8Y4] MAFTSSKVPNGSVVTKTIGNTNHRGKIYPITRGFDRRRLRNTGLLMAKLRFPNERLGNIYEKTGGFGVTRGSSLICLSSRTQKSETDCSDASSAQIAEDEVGHSSMHGRTIHSSPGLAEACRFACNDAKFVNERARNDIVLLSRGIMRLDARARQDVAILGSGFLKLDARAREDTEKIDRGVKKKAERLHHIATILKAKAESRLKKAADKHWSDGALEADLRRADFRAKQRAMEDALMALEFVKNIHDMMVSKGYKFPLRWEKGSLSANDLMLEKNGKTLDFFNGEVSTDRISAIQEAYWSIASALSEADGIDYTDPEELELLVMTLIDLDAMDGKSSVSLLQECSSSPDVNTRQALANALAAAPSMWTLGNAGMGALQRLAEDDNPAIAAAASKAIYELKKQWEIEEGDSWRFMMNMKPSEDIDGDDEDN >KJB06508 pep chromosome:Graimondii2_0_v6:1:9576600:9580649:-1 gene:B456_001G088700 transcript:KJB06508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated protein AAF, chlorolplastic [Source:Projected from Arabidopsis thaliana (AT1G66330) UniProtKB/Swiss-Prot;Acc:Q9C8Y4] MHGRTIHSSPGLAEACRFACNDAKFVNERARNDIVLLSRGIMRLDARARQDVAILGSGFLKLDARAREDTEKIDRGVKKKAERLHHIATILKAKAESRLKKAADKHWSDGALEADLRRADFRAKQRAMEDALMALEFVKNIHDMMVSKGYKFPLRWEKGSLSANDLMLEKNGKTLDFFNGEVSTDRISAIQEAYWSIASALSEADGIDYTDPEELELLVMTLIDLDAMDGKSSVSLLQECSSSPDVNTRQALANALAAAPSMWTLGNAGMGALQRLAEDDNPAIAAAASKAIYELKKQWEIEEGDSWRFMMNMKPSEDIDGDDEDN >KJB06513 pep chromosome:Graimondii2_0_v6:1:9576583:9580756:-1 gene:B456_001G088700 transcript:KJB06513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated protein AAF, chlorolplastic [Source:Projected from Arabidopsis thaliana (AT1G66330) UniProtKB/Swiss-Prot;Acc:Q9C8Y4] MHGRTIHSSPGLAEACRFACNDAKFVNERARNDIVLLSRGIMRLDARARQDVAILGSGFLKLDARAREDTEKIDRGVKKKAERLHHIATILKAKAESRLKKAADKHWSDGALEADLRRADFRAKQRAMEDALMALEFVKNIHDMMVSKGYKFPLRWEKGSLSANDLMLEKNGKTLDFFNGEVSTDRISAIQEAYWSIASALSEADGIDYTDPEELELLVMTLIDLDAMDGKSSVSLLQECSSSPDVNTRQALANALAAAPSMWTLGNAGMGALQRLAEDDNPAIAAAASKAIYELKKQWEIEEGDSWRFMMNMKPSEDIDGDDEDN >KJB06511 pep chromosome:Graimondii2_0_v6:1:9576600:9580606:-1 gene:B456_001G088700 transcript:KJB06511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated protein AAF, chlorolplastic [Source:Projected from Arabidopsis thaliana (AT1G66330) UniProtKB/Swiss-Prot;Acc:Q9C8Y4] MAFTSSKVPNGSVVTKTIGNTNHRGKIYPITRGFDRRRLRNTGLLMAKLRFPNERLGNIYEKTGGFGVTRGSSLICLSSRTQKSETDCSDASSAQIAEDEVGHSSMHGRTIHSSPGLAEACRFACNDAKFVNERARNDIVLLSRGIMRLDARARQDVAILGSGFLKLDARAREDTEKIDRGVKKKAERLHHIATILKAKAESRLKKAADKHWSDGALERLAEDDNPAIAAAASKAIYELKKQWEIEEGDSWRFMMNMKPSEDIDGDDEDN >KJB06509 pep chromosome:Graimondii2_0_v6:1:9576821:9579649:-1 gene:B456_001G088700 transcript:KJB06509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated protein AAF, chlorolplastic [Source:Projected from Arabidopsis thaliana (AT1G66330) UniProtKB/Swiss-Prot;Acc:Q9C8Y4] MAFTSSKVPNGSVVTKTIGNTNHRGKIYPITRGFDRRRLRNTGLLMAKLRFPNERLGNIYEKTGGFGVTRGSSLICLSSRTQKSETDCSDASSAQIAEDEVGHSSMHGRTIHSSPGLAEACRFACNDAKFVNERARNDIVLLSRGIMRLDARARQDVAILGSGFLKLDARAREDTEKIDRGVKKKAERLHHIATILKAKAESRLKKAADKHWSDGALEADLRRADFRAKQRAMEDALMALEFVKNIHDMMVSKGYKFPLRWEKGSLSANDLMLEKNGKTLDFFNGEVSTDRISAIQEAYWSIASALSEADGIDYTDPEELELLVMTLIDLDAMDGKSSVSLLQECSSSPDVNTRQALANALAAAPSMWTLGNAGMGALQRLAEDDNPAIAAAASKAIYELKKQWEIEEGDSWRFMMNMKPSEDIDGDDEDN >KJB06512 pep chromosome:Graimondii2_0_v6:1:9577820:9579649:-1 gene:B456_001G088700 transcript:KJB06512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated protein AAF, chlorolplastic [Source:Projected from Arabidopsis thaliana (AT1G66330) UniProtKB/Swiss-Prot;Acc:Q9C8Y4] MAFTSSKVPNGSVVTKTIGNTNHRGKIYPITRGFDRRRLRNTGLLMAKLRFPNERLGNIYEKTGGFGVTRGSSLICLSSRTQKSETDCSDASSAQIAEDEVGHSSMHGRTIHSSPGLAEACRFACNDAKFVNERARNDIVLLSRGIMRLDARARQDVAILGSGFLKLDARAREDTEKIDRGVKKKAERLHHIATILKAKAESRLKKAADKHWSDGALEADLRRADFRAKQRAMEDALMALEFVKNIHDMMVSKGYKFPLRWEKGSLSANDLMLEKNGKTLDFFNGSLLEYSIRTFRSRWNRLHRPRRARVVSNDTYRSRCHGW >KJB08230 pep chromosome:Graimondii2_0_v6:1:7285266:7286902:1 gene:B456_001G071900 transcript:KJB08230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLYSFLRISKASIMESDKRKNPHPAIAPSAGIRTTPARLDRNKMLEEGETSVFGAEKYFSMKLDDDDDEEYSNKPVPNRGDPHRMMTPRRLPGTPSASSEASWNSQSVLLRSSMRNRSENRLKKVDGRSFFSNLSCTGSCSDGKSVYVNQNVDYHGRVVENRKDQIQINHRPNNLDRRKRYQAKLHNQSFDRMSVRSNREAYYYKPQVLNPGLQNVTVKAQLDDDPRKSLEVFGSTAIKKGDIAKNLERKLSMLSWDAIPNAPTISSISRSRRLGDDIESDTSSDLFEIDNISGSGQALFTRQVSDGMSSCMTPYAPSETSIEWSVVTASAAADCSFISDRDGKKSSENIRVQAGRVTKEAQRSRSGGILGCKSLKAVMVAENAYRSDEKAKPTKLHQFPKPVTAMPSMKDLDFS >KJB06541 pep chromosome:Graimondii2_0_v6:1:9039831:9040601:-1 gene:B456_001G085000 transcript:KJB06541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKFMGFSWSLVGVLMFIMILIAKSKSVDAITCQEALLSLMPCRPFLTGGESTPVATCCSAVANINAAASTTAIRKDLCRCLEAASRSEGVDPDKAKQLPQLCGVTVAISFDPTINCDTQWKCGAVCHHE >KJB06540 pep chromosome:Graimondii2_0_v6:1:9040033:9040511:-1 gene:B456_001G085000 transcript:KJB06540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKFMGFSWSLVGVLMFIMILIAKSKSVDAITCQEALLSLMPCRPFLTGGESTPVATCCSAVANINAAASTTAIRKDLCRCLEAASRSEGVDPDKAKQLPQLCGVTVAISFDPTINCDTIK >KJB06542 pep chromosome:Graimondii2_0_v6:1:9039777:9040601:-1 gene:B456_001G085000 transcript:KJB06542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKFMGFSWSLVGVLMFIMILIAKSKSVDAITCQEALLSLMPCRPFLTGGESTPVATCCSAVANINAAASTTAIRKDLCRCLEAASRSEGVDPDKAKQLPQLCGVTVAISFDPTINCDTRQWKCGAVCHHE >KJB09835 pep chromosome:Graimondii2_0_v6:1:24289860:24295178:-1 gene:B456_001G169800 transcript:KJB09835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGRYPPPGIGVGRGGGVNANPSFQSRPSQQHYVQRNLVHNQQHFQQHNQQHFQQQQQHQQQQQWLRRNQLPSGNDSSVIDEVEKTVQSEAVDSSSQDWKARLKIPPADTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLIMDEADKLLSPEFQPSIEQLIRFLPATRQILLFSATFPVTVKDFKDRYLQKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >KJB09834 pep chromosome:Graimondii2_0_v6:1:24289833:24295275:-1 gene:B456_001G169800 transcript:KJB09834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGRYPPPGIGVGRGGGVNANPSFQSRPSQQHYVQRNLVHNQQHFQQHNQQHFQQQQQHQQQQQWLRRNQLPSGNDSSVIDEVEKTVQSEAVDSSSQDWKARLKIPPADTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLIMDEADKLLSPEFQPSIEQLIRFLPATRQILLFSATFPVTVKDFKDRYLQKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >KJB07530 pep chromosome:Graimondii2_0_v6:1:2666021:2668514:-1 gene:B456_001G028400 transcript:KJB07530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGHLNLTEVKKADDVPDCTLKVVAQTLRTSSSLKVSEDGKKVGRSTKLLEPEELIEQLDSRTIAASPLELNVQREALEAFFGQYAKVNSVRLPRHVVQRKYFCGTALIEFSAVEDAQTVLEQSLVFAGAELELKPKKDFDVIREKEVEEFERNRSITTSNGSNAEEKHPKGLLVAFKLKSASAGDSAEQNGPDEKKTAEMKMTKKVDDKHGSPIDKVVEKENKSSISIYKDDMNVVLREDLKDVFKKFGTVKYVDFRIREDKGYIRFEQPEAAQKAHAASVSAKGGLVVKNFIANVEPVTGVAESEYWSLLRGNQGKHRVGNHFHWRGGKNNRGGKRGRDGENGSPRGRPNEAKRARAA >KJB07531 pep chromosome:Graimondii2_0_v6:1:2666467:2668470:-1 gene:B456_001G028400 transcript:KJB07531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSLGEDTAKAVLRQVEFYFGDSNLPKDDFLKTKINESEDDMVCLALICSFSKMRGHLNLTEVKKADDVPDCTLKVVAQTLRTSSSLKVSEDGKKVGRSTKLLEPEELIEQLDSRTIAASPLELNVQREALEAFFGQYAKVNSVRLPRHVVQRKYFCGTALIEFSAVEDAQTVLEQSLVFAGAELELKPKKDFDVIREKEVEEFERNRSITTSNGSNAEEKHPKGLLVAFKLKSASAGDSAEQNGPDEKKTAEMKMTKKVDDKHGSPIDKVVEKENKSSISIYKDDMNVVLREDLKDVFKKFGTVKYVDFRIREDKGYIRFEQPEAAQKAHAASVSAKGGLVVKNFIANVEPVTGRDSL >KJB07528 pep chromosome:Graimondii2_0_v6:1:2666893:2668470:-1 gene:B456_001G028400 transcript:KJB07528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSLGEDTAKAVLRQVEFYFGDSNLPKDDFLKTKINESEDDMVCLALICSFSKMRGHLNLTEVKKADDVPDCTLKVVAQTLRTSSSLKVSEDGKKVGRSTKLLEPEELIEQLDSRTIAASPLELNVQREALEAFFGQYAKVNSVRLPRHVVQRKYFCGTALIEFSAVEDAQTVLEQSLVFAGAELELKPKKDFDVIREKEVEEFERNRSITTSNGSNAEEKHPKGLLVAFKLKSASAGDSAEQNGPDEKKTAEMKMTKKVDDKHGSPIDKVVEKENKSSISIYKDDMNVVLREDLKDVFKKFGTVKVLLKLVSLTI >KJB07527 pep chromosome:Graimondii2_0_v6:1:2665764:2668713:-1 gene:B456_001G028400 transcript:KJB07527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSLGEDTAKAVLRQVEFYFGDSNLPKDDFLKTKINESEDDMVCLALICSFSKMRGHLNLTEVKKADDVPDCTLKVVAQTLRTSSSLKVSEDGKKVGRSTKLLEPEELIEQLDSRTIAASPLELNVQREALEAFFGQYAKVNSVRLPRHVVQRKYFCGTALIEFSAVEDAQTVLEQSLVFAGAELELKPKKDFDVIREKEVEEFERNRSITTSNGSNAEEKHPKGLLVAFKLKSASAGDSAEQNGPDEKKTAEMKMTKKVDDKHGSPIDKVVEKENKSSISIYKDDMNVVLREDLKDVFKKFGTVKYVDFRIREDKGYIRFEQPEAAQKAHAASVSAKGGLVVKNFIANVEPVTGVAESEYWSLLRGNQGKHRVGNHFHWRGGKNNRGGKRGRDGENGSPRGRPNEAKRARAA >KJB07529 pep chromosome:Graimondii2_0_v6:1:2666626:2668470:-1 gene:B456_001G028400 transcript:KJB07529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSLGEDTAKAVLRQVEFYFGDSNLPKDDFLKTKINESEDDMVCLALICSFSKMRGHLNLTEVKKADDVPDCTLKVVAQTLRTSSSLKVSEDGKKVGRSTKLLEPEELIEQLDSRTIAASPLELNVQREALEAFFGQYAKVNSVRLPRHVVQRKYFCGTALIEFSAVEDAQTVLEQSLVFAGAELELKPKKDFDVIREKEVEEFERNRSITTSNGSNAEEKHPKGLLVAFKLKSASAGDSAEQNGPDEKKTAEMKMTKKVDDKHGSPIDKVVEKENKSSISIYKDDMNVVLREDLKDVFKKFGTVKYVDFRIREDKGYIRFEQPEAAQKAHAASVSAKGGLVVKNFIANVEPVTGKEDFLFLI >KJB10298 pep chromosome:Graimondii2_0_v6:1:33461412:33471793:1 gene:B456_001G195000 transcript:KJB10298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] MDPLFDRINVRDLLSGHDLSDPSTPLSTPDLRLIISRLESHSLHIKSKVRSYLLSHREDFASLFSQCSDAVFKTNEISDNVSGILSLISDRPIDVEIRKLVDEIGRTTKEAREKREMLGLLKIIVGICERLEGARSAFSDGLLRFAAEEVKELKKALRIGDEEEGEPIVYGLLRKQWADLFDEMQDLFSKFMENAVRFEQDSRTIRVKYKLCVDKMDGIELHTVMEAMDVAGILDYSLAKAADLIIKHAITPAVSYGSPAMFAEDVNQGSEGISEAVLKILPSQDCKIVDVDGDAIYARVIQVIKFIFKHICFENGSWIRSFGRLTWPRISDIIISKFLSKVVPEDASKLVDFQKIVKCTSEFEFSLKEMMFLSASDGKDYSLSSFSENVEVHFAFRKKTEVLGKARYLLLQCDFSVPQDYTSKGPLLKNDGMAINSSNQVVDLIFSSERCVVSKAASQLMELVHQTLQDVCLSSAIVALEFFHVRRNILERQLDGINQVAILMYNDCLFLSQEILGLAFEYRTDFPDSIKEHAVFADMAPRFHLMAEEILQRQIQIVIFNLREVIDGADGFQNTHQMQQFESAKFSIDQAVFILEKVHIIWEPLLRPSTYKRSMCMVLESVFSRITKDILLLDDLAAEETLQLQRLIHMMLDNLSSLLKSLISAFDSNEKSEEDTSRPIDDLIPSLRKIRKVGELLDMPLKSITSAWESGELMSCGFTILELKDFIRAIFADSTLRKECIWRIENVRGMTTQQSKAMDQYSTQQVHLRVSMLIKLPILEQVDTNCHDLNRWL >KJB10299 pep chromosome:Graimondii2_0_v6:1:33461592:33470564:1 gene:B456_001G195000 transcript:KJB10299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] MDPLFDRINVRDLLSGHDLSDPSTPLSTPDLRLIISRLESHSLHIKSKVRSYLLSHREDFASLFSQCSDAVFKTNEISDNVSGILSLISDRPIDVEIRKLVDEIGRTTKEAREKREMLGLLKIIVGICERLEGARSAFSDGLLRFAAEEVKELKKALRIGDEEEGEPIVYGLLRKQWADLFDEMQDLFSKFMENAVRFEQDSRTIRVKYKLCVDKMDGIELHTVMEAMDVAGILDYSLAKAADLIIKHAITPAVSYGSPAMFAEDVNQGSEGISEAVLKILPSQDCKIVDVDGDAIYARVIQVIKFIFKHICFENGSWIRSFGRLTWPRISDIIISKFLSKVVPEDASKLVDFQKIVKCTSEFEFSLKEMMFLSASDGKDYSLSSFSENVEVHFAFRKKTEVLGKARYLLLQCDFSVPQDYTSKGPLLKNDGMAINSSNQVVDLIFSSERCVVSKAASQLMELVHQTLQDVCLSSAIVALEFFHVRRNILERQLDGINQVAILMYNDCLFLSQEILGLAFEYRTDFPDSIKEHAVFADMAPRFHLMAEEILQRQIQIVIFNLREVIDGADGFQNTHQMQQFESAKFSIDQAVFILEKVHIIWEPLLRPSTYKRSMCMVLESVFSRITKDILLLDDLAAEETLQLQRLIHMMLDNLSSLLKSLISAFDSNEKSEEDTSRPIDDLIPSLRKIRKVGELLDMPLKSITSAWESGELMSCGFTILEVLI >KJB10300 pep chromosome:Graimondii2_0_v6:1:33461592:33470909:1 gene:B456_001G195000 transcript:KJB10300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] MDPLFDRINVRDLLSGHDLSDPSTPLSTPDLRLIISRLESHSLHIKSKVRSYLLSHREDFASLFSQCSDAVFKTNEISDNVSGILSLISDRPIDVEIRKLVDEIGRTTKEAREKREMLGLLKIIVGICERLEGARSAFSDGLLRFAAEEVKELKKALRIGDEEEGEPIVYGLLRKQWADLFDEMQDLFSKFMENAVRFEQDSRTIRVKYKLCVDKMDGIELHTVMEAMDVAGILDYSLAKAADLIIKHAITPAVSYGSPAMFAEDVNQGSEGISEAVLKILPSQDCKIVDVDGDAIYARVIQVIKFIFKHICFENGSWIRSFGRLTWPRISDIIISKFLSKVVPEDASKLVDFQKIVKCTSEFEFSLKEMMFLSASDGKDYSLSSFSENVEVHFAFRKKTEVLGKARYLLLQCDFSVPQDYTSKGPLLKNDGMAINSSNQVVDLIFSSERCVVSKAASQLMELVHQTLQDVCLSSAIVALEFFHVRRNILERQLDGINQVAILMYNDCLFLSQEILGLAFEYRTDFPDSIKEHAVFADMAPRFHLMAEEILQRQIQIVIFNLREVIDGADGFQNTHQMQQFESAKFSIDQAVFILEKVHIIWEPLLRPSTYKRSMCMVLESVFSRITKDILLLDDLAAEETLQLQRLIHMMLDNLSSLLKSLISAFDSNEKSEEDTSRPIDDLIPSLRKIRKVGELLDMPLKSITSAWESGELMSCGFTILELKDFIRAIFADSTLRKECIWRIENVSLY >KJB08125 pep chromosome:Graimondii2_0_v6:1:6761034:6762059:-1 gene:B456_001G067500 transcript:KJB08125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFLPSPDCDTISKTDNGQIDSHLIQKTNEGYREILPNLPRGKGWMTEHLVQYQGVWLTPNFALKGLMWVQDHFKPRSTDICLATFPKTGTTWLKALTFATVNRTRYGFTDHPLLTTVPHGCLPFLEACPKDLDGFPSPRLLSTHIPYTLLPNSMTVNQSCRFVYICRDPKDVLVSKWLFMNKLRPKELAPISLEEAFELFCQGISHYGPYWDHVLGYWKASLETPEKVLFLKYEELKEEPSVVVKRLGEFLGHPFSLEEVSKGVVEEIVKLCSFENLSNLEVNKSSVLKLSTQIIIDNRHFFRKGKVGDGKSYLTDEMIKRINEITSEKLLGSGLVFGS >KJB08123 pep chromosome:Graimondii2_0_v6:1:6759041:6762092:-1 gene:B456_001G067500 transcript:KJB08123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFLPSPDCDTISKTDNGQIDSHLIQKTNEGYREILPNLPRGKGWMTEHLVQYQGVWLTPNFALKGLMWVQDHFKPRSTDICLATFPKTGTTWLKALTFATVNRTRYGFTDHPLLTTVPHGCLPFLEACPKDLDGFPSPRLLSTHIPYTLLPNSMTVNQSCRFVYICRDPKDVLVSKWLFMNKLRPKELAPISLEEAFELFCQGISHYGPYWDHVLGYWKASLETPEKILFLKYEDLKKEPLVVVKRLDDFLGYPFSLEEESKGVVEEIVKLCSFENLSTLEVNKVSEQKFSKDTIIDNRHFFRKGKVGDWKTYLTTEMVERLDEITYEKLLGSGLVFGS >KJB08124 pep chromosome:Graimondii2_0_v6:1:6760885:6762182:-1 gene:B456_001G067500 transcript:KJB08124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFLPSPDCDTISKTDNGQIDSHLIQKTNEGYREILPNLPRGKGWMTEHLVQYQGVWLTPNFALKGLMWVQDHFKPRSTDICLATFPKTGTTWLKALTFATVNRTRYGFTDHPLLTTVPHGCLPFLEACPKDLDGFPSPRLLSTHIPYTLLPNSMTVNQSCRFVYICRDPKDVLVSKWLFMNKLRPKELAPISLEEAFELFCQGISHYGPYWDHVLGYWKASLETPEKVLFLKYEELKEEPSVVVKRLEREKLEMGRVI >KJB10989 pep chromosome:Graimondii2_0_v6:1:49036207:49036609:-1 gene:B456_001G245600 transcript:KJB10989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHMTKLMHAKQILRHSKLFANQAASASTHVPKGYFAVYVGESQKRRFIVPISFLNQPSFQKLLSIAEEEFGFSHPMGGLTIPCREEIFVNLTSSLL >KJB08025 pep chromosome:Graimondii2_0_v6:1:5887225:5887650:-1 gene:B456_001G059500 transcript:KJB08025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKIKEYERVLRYFDKDGDGKISASELIHRLNQMGENLLFNEAKVAVEALDSNGDGLLDLEDLIALMEEGNEEEKLKDLKEAFGMYDVDGNGFITAQGLKRMLSKLGELKSIDECKVMIKKFDLNGDGVLSFEEFRVMMQ >KJB08474 pep chromosome:Graimondii2_0_v6:1:8825078:8826593:-1 gene:B456_001G083300 transcript:KJB08474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin, Al-inducible expansin, Root cell elongatio [Source: Projected from Oryza sativa (Os04g0583500)] MSNPSSITATAALLLSLISTVTSHYSSSTFSSSPPAPQSTVWHSARATYYAASDPRDAVGGACGYGDLVKAGYGMATVGLSEALFQRGQICGACFELRCVDDLRWCIPGTSIIVTATNFCAPNYGFTAEGGGRCNPPNKHFVLPIEAFEKIAIWKAGNMPVQYRRIKCRKEGGVRFTIDGSGIFVSVLISNVGGAGDIVAVKIKGSRTGWLPMGRNWGQNWHINSDLRNQPLSFEVTNSDGLTLTSYNVAPKNWNFGQTFEGKQFEA >KJB09158 pep chromosome:Graimondii2_0_v6:1:15933381:15936069:-1 gene:B456_001G126900 transcript:KJB09158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVGILGILFLLLALYCGTDPFKHSAISEFPDFEAYKVDMPPWEMVPMVRDKDNLLQKSEIKFLNQVQGPESMAFDPLGRGPYTGVADGRIVFWDGEKWNDFAHTSSNRNFKQLIFSSENGGRLIKYNPHTKETTILMTNLQFPNGVSLSKDGTFLVCCEGCPGRLLRYWLKGEKAGTWEVFAILPGFPDNIRTNKDGEFWVAINSRRSMYAHILGLHPKVRKFILKLPISTKVQVLLHVGGKLHAQVVKYSPEGKLLRILEDSEGKVVKAVSEVDERDGKLWLGSVLMPFIAVYDLN >KJB09157 pep chromosome:Graimondii2_0_v6:1:15933365:15936238:-1 gene:B456_001G126900 transcript:KJB09157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVGILGILFLLLALYCGTDPFKHSAISEFPDFEAYKVDMPPWEMVPMVRDKDNLLQKSEIKFLNQVQGPESMAFDPLGRGPYTGVADGRIVFWDGEKWNDFAHTSSNRSELCNPKPSPLSYLPNEHICGRPLGLRFDKKTGDLYIADAYLGLLKVGPEGGLATSLVTGANGVPLRFTNDLDIDDEGIVYFTDSSSKYQRRNFKQLIFSSENGGRLIKYNPHTKETTILMTNLQFPNGVSLSKDGTFLVCCEGCPGRLLRYWLKGEKAGTWEVFAILPGFPDNIRTNKDGEFWVAINSRRSMYAHILGLHPKVRKFILKLPISTKVQVLLHVGGKLHAQVVKYSPEGKLLRILEDSEGKVVKAVSEVDERDGKLWLGSVLMPFIAVYDLN >KJB10679 pep chromosome:Graimondii2_0_v6:1:43289061:43296662:-1 gene:B456_001G216200 transcript:KJB10679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGTTMMVSLRPFNGVSPYPSKHPLVHRNRQTALRGTIYMSAQTQAVPSRTQRIMESISVSGEVGGAGGAYSYNALKRLDGIWSSICSTQTVQQAPQQVVSSFPGVSSRSVLAEKQVDKCDVVVCGGTLGIFIATALIAKGLKVCIVERNILKGREQEWNISRKELMELVEAGILDEDDIEEVTAVSFNPNRCGFENKGEIWVEDILNLGVSPVKLIEIVKKRFVSFGGVIFEGCSVSSISIYNDAAILQLAEGNILSSRLIIDAMGNFSPVVKQIRGGRKPDGVCLVVGSCARGFKENSTSDVIYSSSSVKKVGNAEVQYFWEAFPAGSGPLDRTTYMFTYVNPQPTSPKLEELLEDYWDLMPKYQGVSMDSLEILRVIYGIFPTYRDSPLPAAFNRVLQFGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAIDGDFLDSYSLSLLNPYMPNLSASWLFQRAMSAKKQSNVPLDFINELLDINFKSMQRLGDPVLRPFLQDVIQFGPLAKTLGLVMLTKPQILPSIFKQVDIPVLIDWSRHFFMLGFYTFLSTYMDPVIRSWLNGLPSKVRYEWKRHLEAWKYGSGLDYRL >KJB10680 pep chromosome:Graimondii2_0_v6:1:43289066:43296661:-1 gene:B456_001G216200 transcript:KJB10680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGTTMMVSLRPFNGVSPYPSKHPLVHRNRQTALRGTIYMSAQTQAVPSRTQRIMESISVSGEVGGAGGAYSYNALKRLDGIWSSICSTQTVQQAPQQVVSSFPGVSSRSVLAEKQVDKCDVVVCGGTLGIFIATALIAKGLKVCIVERNILKGREQEWNISRKELMELVEAGILDEDDIEEVTAVSFNPNRCGFENKGEIWVEDILNLGVSPVKLIEIVKKRFVSFGGVIFEGCSVSSISIYNDAAILQLAEGNILSSRLIIDAMGNFSPVVKQIRGGRKPDGVCLVVGSCARGFKENSTSDVIYSSSSVKKVGNAEVQYFWEAFPAGSGPLDRTTYMFTYVNPQPTSPKLEELLEDYWDLMPKYQGVSMDSLEILRVIYGIFPTYRDSPLPAAFNRVLQFGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAIDGDFLDSYSLSLLNPYMPNLSASWLFQRAMSAKKQSNVPLDFINELLDINFKSMQRLGDPVLRPFLQDVIQFGPLAKTLGLVMLTKPQILPSIFKQVDIPVLIDWSRHFFMLGFYTFLSTYMDPVIR >KJB10682 pep chromosome:Graimondii2_0_v6:1:43291264:43296661:-1 gene:B456_001G216200 transcript:KJB10682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGTTMMVSLRPFNGVSPYPSKHPLVHRNRQTALRGTIYMSAQTQAVPSRTQRIMESISVSGEVGGAGGAYSYNALKRLDGIWSSICSTQTVQQAPQQVVSSFPGVSSRSVLAEKQVDKCDVVVCGGTLGIFIATALIAKGLKVCIVERNILKGREQEWNISRKELMELVEAGILDEDDIEEVTAVSFNPNRCGFENKGEIWVEDILNLGVSPVKLIEIVKKRFVSFGGVIFEGCSVSSISIYNDAAILQLAEGNILSSRLIIDAMGNFSPVVKQIRGGRKPDGVCLVVGSCARGFKENSTSDVIYSSSSVKKVGNAEVQYFWEAFPAGSGPLDRTTYMFTYVNPQPTSPKLEELLEDYWDLMPKYQGVSMDSLEILRVIYGIFPTYRDR >KJB10681 pep chromosome:Graimondii2_0_v6:1:43289315:43296661:-1 gene:B456_001G216200 transcript:KJB10681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGTTMMVSLRPFNGVSPYPSKHPLVHRNRQTALRGTIYMSAQTQAVPSRTQRIMESISVSGEVGGAGGAYSYNALKRLDGIWSSICSTQTVQQAPQQVVSSFPGVSSRSVLAEKQVDKCDVVVCGGTLGIFIATALIAKGLKVCIVERNILKGREQEWNISRKELMELVEAGILDEDDIEEVTAVSFNPNRCGFENKGEIWVEDILNLGVSPVKLIEIVKKRFVSFGGVIFEGCSVSSISIYNDAAILQLAEGNILSSRLIIDAMGNFSPVVKQIRGGRKPDGVCLVVGSCARGFKENSTSDVIYSSSSVKKVGNAEVQYFWEAFPAGSGPLDRTTYMFTYVNPQPTSPKLEELLEDYWDLMPKYQGVSMDSLEILRVIYGIFPTYRDSPLPAAFNRVLQFGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAIDGDFLDSYSLSLLNPYMPNLSASWLFQRAMSAKKQSNVPLDFINELLDINFKSMQRLGDPVLRPFLQDVIQFGPLAKTLGLVMLTKPQILPSIFKQVINNGRGTP >KJB11245 pep chromosome:Graimondii2_0_v6:1:50757379:50757970:-1 gene:B456_001G249300 transcript:KJB11245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ICIHNFSIRSILPRAPRICKWEKPPKGVIKVNVDASVNANRTGLGIIVRDSDGFILRGKVVFISKVVNSEWAKLGALLEGIRLAQSLNLDKVIFEMDCTCAISCFCKHKDDITIFGYRIKEAHKILDSFSKFEVKWVDCRCNKVANSLFNLRWWPPDTFITKKLFNY >KJB06209 pep chromosome:Graimondii2_0_v6:1:16522592:16522837:-1 gene:B456_001G130500 transcript:KJB06209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKVVATAEMRCCRVMGGAATSAPPSAGSDFGSNMLWFYTDDAPGLKISPTVDLIMTLCFIAFVAALHIFGKIYRAKAGAGL >KJB10043 pep chromosome:Graimondii2_0_v6:1:27798077:27802994:1 gene:B456_001G181600 transcript:KJB10043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINSLDSWNGGVLVMVSGSVKIKDFSSRRKFVQTFFLAPQEKGYFVLSDILQFIDDGETSQLPASTLQENKHDAQPNLSSPVAEPQYSDYVLEEEAREYVNSVHIDDDPIDKYSLPEQPQEEDFEHEVVVEEAPADETLASHHNVVGIVQEIPAMPLEEPVGEPPRKTYASIVLLRVPKEQAVSSVRVQPSYNKVSQSTSEWDHIPEPTSQQSHLAWLDVSESAAEKAVEEGLVSEEGEYIGEYKSVYVRNLPSTVTVSEIEQEFKNFGRIKPDGVFIRNRKDVVGVCYAFVEFEDIFAVHNAIKASHIQLGERQVYIEERRPNSSSTRGGRRGRGRGNYTAEASRGCFGSVVWVEEATKNLVTTDQEATVCISEVLDKTQCLAE >KJB10045 pep chromosome:Graimondii2_0_v6:1:27798412:27802803:1 gene:B456_001G181600 transcript:KJB10045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERLHNFQLPHYKKTSMMLNQICQAPADETLASHHNVVGIVQEIPAMPLEEPVGEPPRKTYASIVLLRVPKEQAVSSVRVQPSYNKVSQSTSEWDHIPEPTSQQSHLAWLDVSESAAEKAVEEGLVSEEGEYIGEYKSVYVRNLPSTVTVSEIEQEFKNFGRIKPDGVFIRNRKDVVGVCYAFVEFEDIFAVHNAIKASHIQLGERQVYIEERRPNSSSTRGGRRGRGRGNYTAEASRGCFGSVVWVEEATKNLVTTDQEATVCISEVLDKTQCLAE >KJB10044 pep chromosome:Graimondii2_0_v6:1:27798276:27802803:1 gene:B456_001G181600 transcript:KJB10044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSVKIKDFSSRRKFVQTFFLAPQEKGYFVLSDILQFIDDGETSQLPASTLQENKHDAQPNLSSPVAEPQYSDYVLEEEAREYVNSVHIDDDPIDKYSLPEQPQEEDFEHEVVVEEAPADETLASHHNVVGIVQEIPAMPLEEPVGEPPRKTYASIVLLRVPKEQAVSSVRVQPSYNKVSQSTSEWDHIPEPTSQQSHLAWLDVSESAAEKAVEEGLVSEEGEYIGEYKSVYVRNLPSTVTVSEIEQEFKNFGRIKPDGVFIRNRKDVVGVCYAFVEFEDIFAVHNAIKASHIQLGERQVYIEERRPNSSSTRGGRRGRGRGNYTAEASRGCFGSVVWVEEATKNLVTTDQEATVCISEVLDKTQCLAE >KJB10042 pep chromosome:Graimondii2_0_v6:1:27798077:27802803:1 gene:B456_001G181600 transcript:KJB10042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINSLDSWNGGVLVMVSGSVKIKDFSSRRKFVQTFFLAPQEKGYFVLSDILQFIDDGETSQLPASTLQENKHDAQPNLSSPVAEPQYSDYVLEEEAREYVNSVHIDDDPIDKYSLPEQPQEEDFEHEVVVEEAPADETLASHHNVVGIVQEIPAMPLEEPVGEPPRKTYASIVLLRVPKEQAVSSVRVQPSYNKVSQSTSEWDHIPEPTSQQSHLAWLDVSESAAEKAVEEGLVSEEGEYIGEYKSVYVRNLPSTVTVSEIEQEFKNFGRIKPDGVFIRNRKDVVGVCYAFVEFEDIFAVHNAIKASHIQLGERQVYIEERRPNSSSTRGGRRGRGRGNYTAEASRGCFGSVVWVEEATKNLVTTDQEATVCISEVLDKTQCLAE >KJB11512 pep chromosome:Graimondii2_0_v6:1:53855873:53857971:-1 gene:B456_001G262800 transcript:KJB11512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLEQRFPVPYKNLSVEIKGNKTDLVVCRYDDHFLVMATQIGTMGTILQARKEEGFTVQPTFNVSVIFGKRDEPMLPAITRQLIEHISSSGSSMPLVLSLGLKDHSMDTLKGIVSAVIENRLW >KJB11511 pep chromosome:Graimondii2_0_v6:1:53856334:53857806:-1 gene:B456_001G262800 transcript:KJB11511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLEQRFPVPYKNLSVEIKGNKTDLVVCRYDDHFLVMATQIGTMGTILQARKEEGFTVQPTFNVSVIFGKRDEPMLPAITRQLIEHISSSGSSMPLVLSLGLKDHSMVLFYNTRHLEGHCFGCD >KJB11513 pep chromosome:Graimondii2_0_v6:1:53856655:53857806:-1 gene:B456_001G262800 transcript:KJB11513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLEQRFPVPYKNLSVEIKGNKTDLVVCRYDDHFLVMATQIGTMGTILQARKEEGFTVQPTFNVSVIFGKRDEPMLPAITRQLIEHIRSVYFLLNRHFLDDVN >KJB11510 pep chromosome:Graimondii2_0_v6:1:53855873:53857971:-1 gene:B456_001G262800 transcript:KJB11510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLEQRFPVPYKNLSVEIKGNKTDLVVCRYDDHFLVMATQIGTMGTILQARKEEGFTVQPTFNVSVIFGKRDEPMLPAITRQLIEHISSSGSSMPLVLSLGLKDHSMDTLKGIVSAVIENRLW >KJB07907 pep chromosome:Graimondii2_0_v6:1:5082972:5086630:-1 gene:B456_001G053400 transcript:KJB07907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFALLLLLAFASFQALCFAADDDTAFYDSFDEPFDGRWIVSDKDDYKGVWKHSKSEGHDDYGLLVSEKARKYAIVNELNEPVSLKDGSTVLQFETRFQNGLECGGAYLKYLRPQEAGWKAKLFDNESPYSIMFGPDKCGATNKVHFILKHKNPKSGEYVEHHLKYPPSVPSDKLTHVYTAILKPDNEVRILIDGEEKKKANFLSADDFEPPLIPAKTIPDPDDKKPEDWDERAKIPDPNAVKPDDWDEDAPMEIEDEEAVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIDNPKCETAPGCGEWKRPMKMNPAYKGKWSAPLIDNPNYKGIWKPQEIPNPDYFELDKPDFEPIAAVGIEIWTMQDGILFDNILIAKNDKVAESYRETTWKPKFEVEKEKQKAEDESTDSDGLSGVQKKVFDVLYKVADIPFLSEYKLQILDLIEKAEKQPNITIGVIVSILVIILTVLFRLLFGGKKQPKVEKNPEVAETSNNQSTSGEKAEEEEEEEEEEKEGTAAAPRRRRRDT >KJB07903 pep chromosome:Graimondii2_0_v6:1:5083939:5086408:-1 gene:B456_001G053400 transcript:KJB07903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFALLLLLAFASFQALCFAADDDTAFYDSFDEPFDGRWIVSDKDDYKGVWKHSKSEGHDDYGLLVSEKARKYAIVNELNEPVSLKDGSTVLQFETRFQNGLECGGAYLKYLRPQEAGWKAKLFDNESPYSIMFGPDKCGATNKVHFILKHKNPKSGEYVEHHLKYPPSVPSDKLTHVYTAILKPDNEVRILIDGEEKKKANFLSADDFEPPLIPAKTIPDPDDKKPEDWDERAKIPDPNAVKPDDWDEDAPMEIEDEEAVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIDNPKCETAPGCGEWKRPMKMNPAYKGKWSAPLIDNPNYKGIWKPQEIPNPDYFELDKPDFEPIAAVGIEIWTMQDGILFDNILIAKNDKVAESYRETTWKPKFEVEKEKQKAEDESTDSDGLSGVQVMHWQDYFVIVGMFLYLIQITL >KJB07906 pep chromosome:Graimondii2_0_v6:1:5082972:5086481:-1 gene:B456_001G053400 transcript:KJB07906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFALLLLLAFASFQALCFAADDDTAFYDSFDEPFDGRWIVSDKDDYKGVWKHSKSEGHDDYGLLVSEKARKYAIVNELNEPVSLKDGSTVLQFETRFQNGLECGGAYLKYLRPQEAGWKAKLFDNESPYSIMFGPDKCGATNKVHFILKHKNPKSGEYVEHHLKYPPSVPSDKLTHVYTAILKPDNEVRILIDGEEKKKANFLSADDFEPPLIPAKTIPDPDDKKPEDWDERAKIPDPNAVKPDDWDEDAPMEIEDEEAVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIDNPKCETAPGCGEWKRPMKMNPAYKGKWSAPLIDNPNYKGIWKPQEIPNPDYFELDKPDFEPIAAVGIEIWTMQDGILFDNILIAKNDKVAESYRETTWKPKFEVEKEKQKAEDESTDSDGLSGVQKKVFDVLYKVADIPFLSEYKLQILDLIEKAEKQPNITIGVIVSILVIILTVLFRLLFGGKKQPKVEKNPEVAETSNNQSTSGEKAEEEEEEEEEEKEGTAAAPRRRRRDT >KJB07904 pep chromosome:Graimondii2_0_v6:1:5082972:5086481:-1 gene:B456_001G053400 transcript:KJB07904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFALLLLLAFASFQALCFAADDDTAFYDSFDEPFDGRWIVSDKDDYKGVWKHSKSEGHDDYGLLVSEKARKYAIVNELNEPVSLKDGSTVLQFETRFQNGLECGGAYLKYLRPQEAGWKAKLFDNESPYSIMFGPDKCGATNKVHFILKHKNPKSGEYVEHHLKYPPSVPSDKLTHVYTAILKPDNEVRILIDGEEKKKANFLSADDFEPPLIPAKTIPDPDDKKPEDWDERAKIPDPNAVKPDDWDEDAPMEIEDEEAVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIDNPKCETAPGCGEWKRPMKMNPAYKGKWSAPLIDNPNYKGIWKPQEIPNPDYFELDKPDFEPIAAVGIEIWTMQDGILFDNILIAKNDKVAESYRETTWKPKFEVEKEKQKAEDESTDSDGLSGVQKVFDVLYKVADIPFLSEYKLQILDLIEKAEKQPNITIGVIVSILVIILTVLFRLLFGGKKQPKVEKNPEVAETSNNQSTSGEKAEEEEEEEEEEKEGTAAAPRRRRRDT >KJB07905 pep chromosome:Graimondii2_0_v6:1:5082982:5086481:-1 gene:B456_001G053400 transcript:KJB07905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGPDKCGATNKVHFILKHKNPKSGEYVEHHLKYPPSVPSDKLTHVYTAILKPDNEVRILIDGEEKKKANFLSADDFEPPLIPAKTIPDPDDKKPEDWDERAKIPDPNAVKPDDWDEDAPMEIEDEEAVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIDNPKCETAPGCGEWKRPMKMNPAYKGKWSAPLIDNPNYKGIWKPQEIPNPDYFELDKPDFEPIAAVGIEIWTMQDGILFDNILIAKNDKVAESYRETTWKPKFEVEKEKQKAEDESTDSDGLSGVQKKVFDVLYKVADIPFLSEYKLQILDLIEKAEKQPNITIGVIVSILVIILTVLFRLLFGGKKQPKVEKNPEVAETSNNQSTSGEKAEEEEEEEEEEKEGTAAAPRRRRRDT >KJB08843 pep chromosome:Graimondii2_0_v6:1:12268382:12269082:1 gene:B456_001G107500 transcript:KJB08843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMHLFCASPTSIATCSHLDHRSMVRHGHRPLDPQKSKPYSPCSSQLLIILTTRNKSVKPSDVRRKCSAHIHDLTNPPTSGSTRYLLSDRPFIDCLSDSDHVTAKPKHVVVLRVSIHYKGCEGKVKKQISKMKGLISFSIDLATKKVTVISDVTPSSVLASVSKVKNAQL >KJB08301 pep chromosome:Graimondii2_0_v6:1:7898622:7903253:-1 gene:B456_001G076700 transcript:KJB08301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSREKSCFEAKQEGDGGKVSSSRQWQGFRNPRIVRVSRSFGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDRLGLNQPSKVIDWLLEATKDDVDKLPPLEFHHSLMPNPCQSSPFTPLMDPNLMFMKDYEGETSMQVEREITDMKGKWIKEQEKLIFPVTNHGSSLPGGFMFNTGTNSMPLNTYNHHWNPSQFTNHGFHHHHQPENYFHGAIANTYPPLPSCPPPSTTPSHFPTFPWYGTNTNQDAAGDQDNSKLQFFT >KJB08300 pep chromosome:Graimondii2_0_v6:1:7901439:7903164:-1 gene:B456_001G076700 transcript:KJB08300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSREKSCFEAKQEGDGGKVSSSRQWQGFRNPRIVRVSRSFGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDRLGLNQPSKVIDWLLEATKDDVDKLPPLEFHHSLMPNPCQSSPFTPLMDPNLMFMKDYEGETSMQVEREITDMKGKWIKEQEKLIFPVTNHGSSLPGGFMFNTGTNSMPLNTYNHHWNPSQFTNHGFHHHHQPENYFHGAIANTYPPLPSCPPPSTTPSHFPTFPWYGTNTNQDAAGDQDNSKLQFFT >KJB08299 pep chromosome:Graimondii2_0_v6:1:7898275:7903253:-1 gene:B456_001G076700 transcript:KJB08299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSREKSCFEAKQEGDGGKVSSSRQWQGFRNPRIVRVSRSFGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDRLGLNQPSKVIDWLLEATKDDVDKLPPLEFHHSLMPNPCQSSPFTPLMDPNLMFMKDYEGETSMQVEREITDMKGKWIKEQEKLIFPVTNHGSSLPGGFMFNTGTNSMPLNTYNHHWNPSQFTNHGFHHHHQPENYFHGAIANTYPPLPSCPPPSTTPSHFPTFPWYGTNTNQDAAGDQDNSKLQFFT >KJB11739 pep chromosome:Graimondii2_0_v6:1:55261837:55266316:-1 gene:B456_001G275200 transcript:KJB11739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPMLRYEESLVEQELKDIVVGEACADLRHQLDISYPVNNGIVQNWDDMYNIWDHAFYNELKINPSECKILLTDPPLNPSKNREKMVETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGFSFPHLTKRMNVAGRHITSYLVDLLSRRGYAMNRTADFETVREIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEREILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWISREDYLEEGVACLSKCGPA >KJB11741 pep chromosome:Graimondii2_0_v6:1:55261881:55266290:-1 gene:B456_001G275200 transcript:KJB11741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPMLRYEESLVEQELKDIVVGEACADLRHQLDISYPVNNGIVQNWDDMYNIWDHAFYNELKINPSECKILLTDPPLNPSKNREKMVETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVPVVDGFSFPHLTKRMNVAGRHITSYLVDLLSRRGYAMNRTADFETVREIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEREILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWISREDYLEEGVACLSKCGPA >KJB11743 pep chromosome:Graimondii2_0_v6:1:55262531:55266290:-1 gene:B456_001G275200 transcript:KJB11743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPMLRYEESLVEQELKDIVVGEACADLRHQLDISYPVNNGIVQNWDDMYNIWDHAFYNELKINPSECKILLTDPPLNPSKNREKMVETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGFSFPHLTKRMNVAGRHITSYLVDLLSRRGYAMNRTADFETVREIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMVLNYF >KJB11740 pep chromosome:Graimondii2_0_v6:1:55261881:55266290:-1 gene:B456_001G275200 transcript:KJB11740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPMLRYEESLVEQELKDIVVGEACADLRHQLDISYPVNNGIVQNWDDMYNIWDHAFYNELKINPSECKILLTDPPLNPSKNREKMVETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGFSFPHLTKRMNVAGRHITSYLVDLLSRRGYAMNRTADFETVREIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEREILDRYLDVVLKGNKDGNCD >KJB11742 pep chromosome:Graimondii2_0_v6:1:55263726:55266154:-1 gene:B456_001G275200 transcript:KJB11742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPMLRYEESLVEQELKDIVVGEACADLRHQLDISYPVNNGIVQNWDDMYNIWDHAFYNELKINPSECKILLTDPPLNPSKNREKMVETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGFSFPHLTKRMNVAGRHITSYLVDLLSRRGYAMNRTADFETVREIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMVLNYF >KJB07808 pep chromosome:Graimondii2_0_v6:1:4338972:4342671:-1 gene:B456_001G045500 transcript:KJB07808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTTLAQVYREHPIHLRDIIPLDFNSIRSVPDSHVWPISDDFSSDHQLMVPIIDLKDPNAVKLAGHACETWGVFQVINHGIHLNLLEEVESEARRLFSLPTQTKMKALREPAGATGYGLARISPFFPKYMWHEGFTIMDSPTDHARALWPTDNARFCDVIERYQKQMKVLAEKLTDLILESLAIFREDLNWDVGSPSTALQLNSYPPCPNPNRAMGLAPHTDTSFLTILYQGSISGLQIFKQGAGWISMLPVTGALVVNVGDLLHILTNARFPSVLHRAVLNQEGSHRVSVAYFYGLPIECSVSPLLKLLDSGENPRYRPVTVKEYVDIKSKYFEEPLTSIRI >KJB09318 pep chromosome:Graimondii2_0_v6:1:17548734:17553813:1 gene:B456_001G134600 transcript:KJB09318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAERLANLALAGLTVAPLVVKVDPNLNVVLTACLTVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATVLPTIKRFLPKHWNEDLIIWHFPFFRSFEIEFTRSQIIAAIPGTFFCSWYALQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSTRPFSMLGLGDIVIPGIFVALALRYDVSRGKERQYFKSAFLGYTVGLVLTIVVMNWFQAAQVNS >KJB09321 pep chromosome:Graimondii2_0_v6:1:17548476:17554490:1 gene:B456_001G134600 transcript:KJB09321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATVLPTIKRFLPKHWNEDLIIWHFPFFRSFEIEFTRSQIIAAIPGTFFCSWYALQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSTRPFSMLGLGDIVIPGIFVALALRYDVSRGKERQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHCIWNGEVGPLLEFDESKMAVVEGSEDKPSKKVE >KJB09320 pep chromosome:Graimondii2_0_v6:1:17548383:17554514:1 gene:B456_001G134600 transcript:KJB09320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAERLANLALAGLTVAPLVVKVDPNLNVVLTACLTVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATVLPTIKRFLPKHWNEDLIIWHFPFFRSFEIEFTRSQIIAAIPGTFFCSWYALQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSTRPFSMLGLGDIVIPGIFVALALRYDVSRGKERQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHCIWNGEVGPLLEFDESKMAVVEGSEDKPSKKVE >KJB09319 pep chromosome:Graimondii2_0_v6:1:17548458:17554490:1 gene:B456_001G134600 transcript:KJB09319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAERLANLALAGLTVAPLVVKVDPNLNVVLTACLTVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATVLPTIKRFLPKHWNEDLIIWHFPFFRSFEIEFTRSQIIAAIPGTFFCSWYALQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSTRPFSMLGLGDIVIPGIFVALALRYDVSRGKERQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHCIWNGEVGPVCSQPFSLTLCSWS >KJB09317 pep chromosome:Graimondii2_0_v6:1:17548458:17554490:1 gene:B456_001G134600 transcript:KJB09317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAERLANLALAGLTVAPLVVKVDPNLNVVLTACLTVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATVLPTIKRFLPKHWNEDLIIWHFPFFRSFEIEFTRSQIIAAIPGTFFCSWYALQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSTRPFSMLGLGDIVIPGIFVALALRYDVSRGKERQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHCIWNGEVGPLLEFDESKMAVVEGSEDKPSKKVE >KJB09861 pep chromosome:Graimondii2_0_v6:1:24528191:24530158:-1 gene:B456_001G171000 transcript:KJB09861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGGSLNNSSGGGLGGATIVADIPFSNNMAAAGAMAQNIYNSPGLSLALQQPSIGNQGDGVRMGENFEASIGRRSREEEHESRSGSDNIDGVSGDDQDAANNRPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIREAMRNPICTNCGGPAIIGDLSLEEQHLRIENARLKDELDRVCALASKFLGRPLSSLATSIASPLPNSNLELGVGSNGFGGLSTTLPLGPDFGGGVSNSLPVVPPNGVERSMFLELALAAMDELVKMAQTDEPLWIRSLEVGREILNHDEYSRMFTPCIGIKPAGFLTEASRQTGLVIINSLALVETLMDSNRWAEMFPCMIARTSTTDVISSGMGGTRNGAIQLMHAELQLLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTLRETSGAPTTYVKCRRLPSGCVVQDMPNGYSKVTF >KJB09859 pep chromosome:Graimondii2_0_v6:1:24525191:24530158:-1 gene:B456_001G171000 transcript:KJB09859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGGSLNNSSGGGLGGATIVADIPFSNNMAAAGAMAQNIYNSPGLSLALQQPSIGNQGDGVRMGENFEASIGRRSREEEHESRSGSDNIDGVSGDDQDAANNRPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIREAMRNPICTNCGGPAIIGDLSLEEQHLRIENARLKDELDRVCALASKFLGRPLSSLATSIASPLPNSNLELGVGSNGFGGLSTTLPLGPDFGGGVSNSLPVVPPNGVERSMFLELALAAMDELVKMAQTDEPLWIRSLEVGREILNHDEYSRMFTPCIGIKPAGFLTEASRQTGLVIINSLALVETLMDSNRWAEMFPCMIARTSTTDVISSGMGGTRNGAIQLMHAELQLLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTLRETSGAPTTYVKCRRLPSGCVVQDMPNGYSKVTWVEHVEYDESQIHHLYRPLLSSGIGFGAQRWVAALQRQCECLAILMSSTVPTGDHTAITASGRRSMLKLAQRMTGNFCAGVCASTVHKWNKLNAGNVDEDVRVMTRKSVDNPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEIAHIAKGQDHGNCVSLLRSSAMNANQSSMLILQETCMDAGGSLVVYAPVDIPAMQVVMSGGDSAYVALLPSGFAIIPDGPGSPGPTTSNGNGDSHRVGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCES >KJB09858 pep chromosome:Graimondii2_0_v6:1:24526631:24530139:-1 gene:B456_001G171000 transcript:KJB09858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGGSLNNSSGGGLGGATIVADIPFSNNMAAAGAMAQNIYNSPGLSLALQQPSIGNQGDGVRMGENFEASIGRRSREEEHESRSGSDNIDGVSGDDQDAANNRPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIREAMRNPICTNCGGPAIIGDLSLEEQHLRIENARLKDELDRVCALASKFLGRPLSSLATSIASPLPNSNLELGVGSNGFGGLSTTLPLGPDFGGGVSNSLPVVPPNGVERSMFLELALAAMDELVKMAQTDEPLWIRSLEVGREILNHDEYSRMFTPCIGIKPAGFLTEASRQTGLVIINSLALVETLMDSNRWAEMFPCMIARTSTTDVISSGMGGTRNGAIQLMHAELQLLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTLRETSGAPTTYVKCRRLPSGCVVQDMPNGYSKVTWVEHVEYDESQIHHLYRPLLSSGIGFGAQRWVAALQRQCECLAILMSSTVPTGDHTAITASGRRSMLKLAQRMTGNFCAGVCASTVHKWNKLNAGNVDEDVRVMTRKSVDNPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEIAHIAKGQDHGNCVSLLRSSVIIILIIIIIVLFIYKNKWGGGE >KJB09856 pep chromosome:Graimondii2_0_v6:1:24527092:24530139:-1 gene:B456_001G171000 transcript:KJB09856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGGSLNNSSGGGLGGATIVADIPFSNNMAAAGAMAQNIYNSPGLSLALQQPSIGNQGDGVRMGENFEASIGRRSREEEHESRSGSDNIDGVSGDDQDAANNRPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIREAMRNPICTNCGGPAIIGDLSLEEQHLRIENARLKDELDRVCALASKFLGRPLSSLATSIASPLPNSNLELGVGSNGFGGLSTTLPLGPDFGGGVSNSLPVVPPNGVERSMFLELALAAMDELVKMAQTDEPLWIRSLEVGREILNHDEYSRMFTPCIGIKPAGFLTEASRQTGLVIINSLALVETLMDSNRWAEMFPCMIARTSTTDVISSGMGGTRNGAIQLMHAELQLLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTLRETSGAPTTYVKCRRLPSGCVVQDMPNGYSKVTWVEHVEYDESQIHHLYRPLLSSGIGFGAQRWVAALQRQCECLAILMSSTVPTGDHTGNPIITLRRTYLYTYIH >KJB09857 pep chromosome:Graimondii2_0_v6:1:24527092:24530139:-1 gene:B456_001G171000 transcript:KJB09857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGGSLNNSSGGGLGGATIVADIPFSNNMAAAGAMAQNIYNSPGLSLALQQPSIGNQGDGVRMGENFEASIGRRSREEEHESRSGSDNIDGVSGDDQDAANNRPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIREAMRNPICTNCGGPAIIGDLSLEEQHLRIENARLKDELDRVCALASKFLGRPLSSLATSIASPLPNSNLELGVGSNGFGGLSTTLPLGPDFGGGVSNSLPVVPPNGVERSMFLELALAAMDELVKMAQTDEPLWIRSLEVGREILNHDEYSRMFTPCIGIKPAGFLTEASRQTGLVIINSLALVETLMDSNRWAEMFPCMIARTSTTDVISSGMGGTRNGAIQLMHAELQLLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTLRETSGAPTTYVKCRRLPSGCVVQDMPNGYSKVTWVEHVEYDESQIHHLYRPLLSSGIGFGAQRWVAALQRQCECLAILMSSTVPTGDHTGNPIITLRRTYLYTYIH >KJB09860 pep chromosome:Graimondii2_0_v6:1:24525191:24530158:-1 gene:B456_001G171000 transcript:KJB09860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGGSLNNSSGGGLGGATIVADIPFSNNMAAAGAMAQNIYNSPGLSLALQQPSIGNQGDGVRMGENFEASIGRRSREEEHESRSGSDNIDGVSGDDQDAANNRPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIREAMRNPICTNCGGPAIIGDLSLEEQHLRIENARLKDELDRVCALASKFLGRPLSSLATSIASPLPNSNLELGVGSNGFGGLSTTLPLGPDFGGGVSNSLPVVPPNGVERSMFLELALAAMDELVKMAQTDEPLWIRSLEVGREILNHDEYSRMFTPCIGIKPAGFLTEASRQTGLVIINSLALVETLMDSNRWAEMFPCMIARTSTTDVISSGMGGTRNGAIQLMHAELQLLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTLRETSGAPTTYVKCRRLPSGCVVQDMPNGYSKVTWVEHVEYDESQIHHLYRPLLSSGIGFGAQRWVAALQRQCECLAILMSSTVPTGDHTAITASGRRSMLKLAQRMTGNFCAGVCASTVHKWNKLNAGNVDEDVRVMTRKSVDNPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEIAHIAKGQDHGNCVSLLRSSAMNANQSSMLILQETCMDAGGSLVVYAPVDIPAMQVVMSGGDSAYVALLPSGFAIIPDGPGSPGPTTSNGNGDSHRVGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCES >KJB08053 pep chromosome:Graimondii2_0_v6:1:25376002:25377807:-1 gene:B456_001G174000 transcript:KJB08053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKGIVCVTGGTGYVGSWLIKLLLELGYSVHTTVRADPGNKRDLSFLTNLPGANERLKIFTADLNDPESFGTAIEGCKGVFHVAAPMDFQDNEPEAVVTQRLIDGTLGILKTCLRSNTVKKVVYTSSITAVYFNKIKNVEIMDESYWSDVDYIRSEVKSYVSSYAITKTSTEKAVLEFAEQHGLDLVSIIPPMVLGPFICPKMHGPVRTALSPILGSRKNNNLLLNLAMVHMDDLARAFIFLLEHPEAKGRYNCSSDTVTAPKIVEILSTNHPEFPIVDF >KJB08051 pep chromosome:Graimondii2_0_v6:1:25375492:25377818:-1 gene:B456_001G174000 transcript:KJB08051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKGIVCVTGGTGYVGSWLIKLLLELGYSVHTTVRADPGNKRDLSFLTNLPGANERLKIFTADLNDPESFGTAIEGCKGVFHVAAPMDFQDNEPEAVVTQRLIDGTLGILKTCLRSNTVKKVVYTSSITAVYFNKIKNVEIMDESYWSDVDYIRSEVKSYVSSYAITKTSTEKAVLEFAEQHGLDLVSIIPPMVLGPFICPKMHGPVRTALSPILGSRKNNNLLLNLAMVHMDDLARAFIFLLEHPEAKGRYNCSSDTVTAPKIVEILSTNHPEFPIVDTLEGIEGAKLPGLSSKKLLDLGFRFKYGVEDMYDGAIKSCKEKGLL >KJB08052 pep chromosome:Graimondii2_0_v6:1:25375999:25377716:-1 gene:B456_001G174000 transcript:KJB08052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQDNEPEAVVTQRLIDGTLGILKTCLRSNTVKKVVYTSSITAVYFNKIKNVEIMDESYWSDVDYIRSEVKSYVSSYAITKTSTEKAVLEFAEQHGLDLVSIIPPMVLGPFICPKMHGPVRTALSPILGSRKNNNLLLNLAMVHMDDLARAFIFLLEHPEAKGRYNCSSDTVTAPKIVEILSTNHPEFPIVDTLEGIEGAKLPGLSSKKLLDLGFRFKYGVEDMYDGAIKSCKEKGLL >KJB10519 pep chromosome:Graimondii2_0_v6:1:40061128:40063974:-1 gene:B456_001G205700 transcript:KJB10519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAVRSSRGGGSGGVGAGFRSLFSYRIFISALFSLLFIATVSVLLTSRPPPTHHHSRLPSGANAYMHRTFLALNSDPLKTRLDLIYKQANDHITLVKTYAAYARKLKLENSKQLKMFDDLAKNFSDLTSKPSLFETDGNLDEDVLRQFEKEVKDRMKFARLMVAESKENYDNQLKIQKLKDTIFSVSESLGKAKKNGALASSIAAKSIPKSLHCLAMRLVEERISHPEKYKEELPKAEFEDPSLYHYAVFSDNVIAVSVVVRSVVKNAEEPWKHVFHVVTDRMNVAAMKVWFRMRPVEGGAHLEVKAVEDYSFLNSSYAPVLRQIESAKKQRFNFEKTTENVTKDGSNMKLKNPESMSMLNHLRFYLPEMYPKLHKILLLDDDVVVQKDLTGLWKIDLAGKVNGAVETCFGSFRRFSQYLNFTHPLIKERFNPKACAWAYGMNIFDLDGWRREKCTETYEEWQNLNEDRTLWKLDALPPGLITFYSLTKSLDKSWHVLGLGYNPSISMDEINNAAVIHYNGNMKPWLDIAMNQYKNLWTKYVDNDMEFVQMCNFGV >KJB10520 pep chromosome:Graimondii2_0_v6:1:40061170:40063948:-1 gene:B456_001G205700 transcript:KJB10520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRTFLALNSDPLKTRLDLIYKQANDHITLVKTYAAYARKLKLENSKQLKMFDDLAKNFSDLTSKPSLFETDGNLDEDVLRQFEKEVKDRMKFARLMVAESKENYDNQLKIQKLKDTIFSVSESLGKAKKNGALASSIAAKSIPKSLHCLAMRLVEERISHPEKYKEELPKAEFEDPSLYHYAVFSDNVIAVSVVVRSVVKNAEEPWKHVFHVVTDRMNVAAMKVWFRMRPVEGGAHLEVKAVEDYSFLNSSYAPVLRQIESAKKQRFNFEKTTENVTKDGSNMKLKNPESMSMLNHLRFYLPEMYPKLHKILLLDDDVVVQKDLTGLWKIDLAGKVNGAVETCFGSFRRFSQYLNFTHPLIKERFNPKACAWAYGMNIFDLDGWRREKCTETYEEWQNLNEDRTLWKLDALPPGLITFYSLTKSLDKSWHVLGLGYNPSISMDEINNAAVIHYNGNMKPWLDIAMNQYKNLWTKYVDNDMEFVQMCNFGV >KJB09290 pep chromosome:Graimondii2_0_v6:1:17111647:17120190:-1 gene:B456_001G133200 transcript:KJB09290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFLTYLLLSFLIIYDSLGLSQSNQFCDAGVGYPESTCGFSSSSSSKLLIKGGTVLNAHQQEVADVYVEDGIIVAVKPNIKVDEDVTLLDATGKYVMPGGIDPHTHLAMEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLVAGFEAYKKKAKKSCMNYGFHMAITKWDESVSREMEIMVKEKGINSFKFFMAYKGSLMISDELLLQGLERCKSLGALAMVHAENGDAVFEGQKRMIDLGITGPEGHALSRPPVLEGEATARAIRLAKFVNTPLYVVHVMSIDAMEEIARARKSGQKVIGEPVVSGLVLNDSGLWDPDFVTAAKYVMSPPIRESGHDKALQAALSTGVLQLVGTDHCTFNSTQKAFGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDFVRITSTEWYDFIGTVLFIVTFFCFQIYSL >KJB09293 pep chromosome:Graimondii2_0_v6:1:17117028:17120212:-1 gene:B456_001G133200 transcript:KJB09293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFLTYLLLSFLIIYDSLGLSQSNQFCDAGVGYPESTCGFSSSSSSKLLIKGGTVLNAHQQEVADVYVEDGIIVAVKPNIKVDEDVTLLDATGKYVMPGGIDPHTHLAMEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLVAGFEAYKKKAKKSCMNYGFHMAITKWDESVSREMEIMVKEKGINSFKFFMAYKGSLMISDELLLQGLERCKSLGALAMVHAENGDAVFEGQKRMIDLGITGPEGHALSRPPVLEGEATARAIRLAKFVNTPLYVVHVMSIDAMEEIARARKSGFEVI >KJB09291 pep chromosome:Graimondii2_0_v6:1:17111558:17120190:-1 gene:B456_001G133200 transcript:KJB09291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFLTYLLLSFLIIYDSLGLSQSNQFCDAGVGYPESTCGFSSSSSSKLLIKGGTVLNAHQQEVADVYVEDGIIVAVKPNIKVDEDVTLLDATGKYVMPGGIDPHTHLAMEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLVAGFEAYKKKAKKSCMNYGFHMAITKWDESVSREMEIMVKEKGINSFKFFMAYKGSLMISDELLLQGLERCKSLGALAMVHAENGDAVFEGQKRMIDLGITGPEGHALSRPPVLEGEATARAIRLAKFVNTPLYVVHVMSIDAMEEIARARKSGQKVIGEPVVSGLVLNDSGLWDPDFVTAAKYVMSPPIRESGHDKALQAALSTGVLQLVGTDHCTFNSTQKAFGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDFVRITSTE >KJB09289 pep chromosome:Graimondii2_0_v6:1:17109590:17120212:-1 gene:B456_001G133200 transcript:KJB09289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGIDPHTHLAMEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLVAGFEAYKKKAKKSCMNYGFHMAITKWDESVSREMEIMVKEKGINSFKFFMAYKGSLMISDELLLQGLERCKSLGALAMVHAENGDAVFEGQKRMIDLGITGPEGHALSRPPVLEGEATARAIRLAKFVNTPLYVVHVMSIDAMEEIARARKSGQKVIGEPVVSGLVLNDSGLWDPDFVTAAKYVMSPPIRESGHDKALQAALSTGVLQLVGTDHCTFNSTQKAFGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDFVRITSTECARIFNIYPRKGAILVGSDADIIIFNPNSSFEISASMHHSRTDTNVYDGRKGKGKVEVTIVGGRVVWQDNELKVVPGSGKYIEMPPFSYLFNGIDKADAKYLSSLQAPVKRYFTAS >KJB09292 pep chromosome:Graimondii2_0_v6:1:17111120:17120212:-1 gene:B456_001G133200 transcript:KJB09292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFLTYLLLSFLIIYDSLGLSQSNQFCDAGVGYPESTCGFSSSSSSKLLIKGGTVLNAHQQEVADVYVEDGIIVAVKPNIKVDEDVTLLDATGKYVMPGGIDPHTHLAMEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLVAGFEAYKKKAKKSCMNYGFHMAITKWDESVSREMEIMVKEKGINSFKFFMAYKGSLMISDELLLQGLERCKSLGALAMVHAENGDAVFEGQKRMIDLGITGPEGHALSRPPVLEGEATARAIRLAKFVNTPLYVVHVMSIDAMEEIARARKSGQKVIGEPVVSGLVLNDSGLWDPDFVTAAKYVMSPPIRESGHDKALQAALSTGVLQLVGTDHCTFNSTQKAFGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDFVRITSTECARIFNIYPRKGAILVGSDADIIIFNPNSSFEISASMHHSRTDTNVYDGRKGKVMSIFLFVPYA >KJB09288 pep chromosome:Graimondii2_0_v6:1:17109584:17120314:-1 gene:B456_001G133200 transcript:KJB09288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFLTYLLLSFLIIYDSLGLSQSNQFCDAGVGYPESTCGFSSSSSSKLLIKGGTVLNAHQQEVADVYVEDGIIVAVKPNIKVDEDVTLLDATGKYVMPGGIDPHTHLAMEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLVAGFEAYKKKAKKSCMNYGFHMAITKWDESVSREMEIMVKEKGINSFKFFMAYKGSLMISDELLLQGLERCKSLGALAMVHAENGDAVFEGQKRMIDLGITGPEGHALSRPPVLEGEATARAIRLAKFVNTPLYVVHVMSIDAMEEIARARKSGQKVIGEPVVSGLVLNDSGLWDPDFVTAAKYVMSPPIRESGHDKALQAALSTGVLQLVGTDHCTFNSTQKAFGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDFVRITSTECARIFNIYPRKGAILVGSDADIIIFNPNSSFEISASMHHSRTDTNVYDGRKGKGKVEVTIVGGRVVWQDNELKVVPGSGKYIEMPPFSYLFNGIDKADAKYLSSLQAPVKRYFTAS >KJB07679 pep chromosome:Graimondii2_0_v6:1:3432095:3439666:1 gene:B456_001G037200 transcript:KJB07679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSDKELELLLMDAGNKLLEPPSSVDELITLLDQVESFLSRVEQSPSQSMQNALSASLKALIAEQLFRHPDDDVKVAVAACISEITRITAPDAPYHDNQMKEVFQLIVSSFKNLSDKSNRSYIKRTSILETVAKVRSCVVMLDLECNALIIEMFQRFLNGIRDYHAHTVFASMVTIMTLVLEESEDISIELLSPILASVKRDNEEVLPIARRLGKSVLENCASKLRPYLTQAVENSGNSFEDYSSVVASICQVAPSAVAQNDAATNKSVDDKSKPAEAPLDNAVQEDKEIPKESDLTEQVDLANEKSPKSVVSNGIVQTDENSSLAYLKKQEEDHLANKSENANTSALAEPDELEGEKVVNLDSKLEQSTKGKGRKFHSKSAKPSDSTHVGGREVETLTDYKDDSKDDAHPPKTKRETDVQPSLTKATEDESNDVAFPTPSGTVHDESHLKKAALPKRKDCLSKEITPVEDVSKKSSEVASDSKAKTSKRLGKKVSFAVSEVSAPADVDKTKKESGTASEAKSLKSFSRKLGDKKRQAQGKVIPEDGTKISTRNDDEEMVGSPKAVKPNKHDSQMDETPKTNYKRKHTASKDKASGTLEYDENLVGLKVKVWWPKDREFYEGVIHSFDPIKRKHKVCYDDGDEEILNLRREKWAVIYDETASDKVGSFLIYIYNYLLFDSCSRLLILC >KJB07678 pep chromosome:Graimondii2_0_v6:1:3432005:3439666:1 gene:B456_001G037200 transcript:KJB07678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSDKELELLLMDAGNKLLEPPSSVDELITLLDQVESFLSRVEQSPSQSMQNALSASLKALIAEQLFRHPDDDVKVAVAACISEITRITAPDAPYHDNQMKEVFQLIVSSFKNLSDKSNRSYIKRTSILETVAKVRSCVVMLDLECNALIIEMFQRFLNGIRDYHAHTVFASMVTIMTLVLEESEDISIELLSPILASVKRDNEEVLPIARRLGKSVLENCASKLRPYLTQAVENSGNSFEDYSSVVASICQVAPSAVAQNDAATNKSVDDKSKPAEAPLDNAVQEDKEIPKESDLTEQVDLANEKSPKSVVSNGIVQTDENSSLAYLKKQEEDHLANKSENANTSALAEPDELEGEKVVNLDSKLEQSTKGKGRKFHSKSAKPSDSTHVGGREVETLTDYKDDSKDDAHPPKTKRETDVQPSLTKATEDESNDVAFPTPSGTVHDESHLKKAALPKRKDCLSKEITPVEDVSKKSSEVASDSKAKTSKRLGKKVSFAVSEVSAPADVDKTKKESGTASEAKSLKSFSRKLGDKKRQAQGKVIPEDGTKISTRNDDEEMVGSPKAVKPNKHDSQMDETPKTNYKRKHTASKDKASGTLEYDENLVGLKVKVWWPKDREFYEGVIHSFDPIKRKHKVCYDDGDEEILNLRREKWAVIYDETASDKEDGADHPSPDGLSEISQKKKAKAVDQPSKKAKMDALPKRGGGASSGKSKGAVTKSGRKMKEDGKEDSSKSVAKSENVTKAKQHTPKSGSRSVDGASKVGNKSKNEDTGDTPKSTKSKDDVASKIGNKSKNEDTGDTPKSTKSEEDVTSKVGNKSKNGDTGDTPKSTKSKNDDNVKPKASLSKQDTLKTTESKQEAPKVSSNPKDKPLKTDGKPDNNGNGKLKSGSSKVKEGESLKESSPDSAEVVETAKRKTPSSSKGQGNDPKPAKKLRDEPKATSPELS >KJB07680 pep chromosome:Graimondii2_0_v6:1:3432095:3439666:1 gene:B456_001G037200 transcript:KJB07680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETSSLNLPPQLMSSSLSSIDYHAHTVFASMVTIMTLVLEESEDISIELLSPILASVKRDNEEVLPIARRLGKSVLENCASKLRPYLTQAVENSGNSFEDYSSVVASICQVAPSAVAQNDAATNKSVDDKSKPAEAPLDNAVQEDKEIPKESDLTEQVDLANEKSPKSVVSNGIVQTDENSSLAYLKKQEEDHLANKSENANTSALAEPDELEGEKVVNLDSKLEQSTKGKGRKFHSKSAKPSDSTHVGGREVETLTDYKDDSKDDAHPPKTKRETDVQPSLTKATEDESNDVAFPTPSGTVHDESHLKKAALPKRKDCLSKEITPVEDVSKKSSEVASDSKAKTSKRLGKKVSFAVSEVSAPADVDKTKKESGTASEAKSLKSFSRKLGDKKRQAQGKVIPEDGTKISTRNDDEEMVGSPKAVKPNKHDSQMDETPKTNYKRKHTASKDKASGTLEYDENLVGLKVKVWWPKDREFYEGVIHSFDPIKRKHKVCYDDGDEEILNLRREKWAVIYDETASDKEDGADHPSPDGLSEISQKKKAKAVDQPSKKAKMDALPKRGGGASSGKSKGAVTKSGRKMKEDGKEDSSKSVAKSENVTKAKQHTPKSGSRSVDGASKVGNKSKNEDTGDTPKSTKSKDDVASKIGNKSKNEDTGDTPKSTKSEEDVTSKVGNKSKNGDTGDTPKSTKSKNDDNVKPKASLSKQDTLKTTESKQEAPKVSSNPKDKPLKTDGKPDNNGNGKLKSGSSKVKEGESLKESSPDSAEVVETAKRKTPSSSKGQGNDPKPAKKLRDEPKATSPELS >KJB08665 pep chromosome:Graimondii2_0_v6:1:12454385:12455950:-1 gene:B456_001G108800 transcript:KJB08665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRERKDEFKRKVGRCVRRSQEML >KJB08668 pep chromosome:Graimondii2_0_v6:1:12454385:12456980:-1 gene:B456_001G108800 transcript:KJB08668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQACLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRERKDEFKRKVGRCVRRSQEML >KJB08669 pep chromosome:Graimondii2_0_v6:1:12455477:12456831:-1 gene:B456_001G108800 transcript:KJB08669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQACLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVCL >KJB08670 pep chromosome:Graimondii2_0_v6:1:12454963:12456934:-1 gene:B456_001G108800 transcript:KJB08670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQACLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAVSDCRLS >KJB08667 pep chromosome:Graimondii2_0_v6:1:12454385:12456934:-1 gene:B456_001G108800 transcript:KJB08667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQACLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAEWRERKDEFKRKVGRCVRRSQEML >KJB08666 pep chromosome:Graimondii2_0_v6:1:12454385:12456934:-1 gene:B456_001G108800 transcript:KJB08666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRERKDEFKRKVGRCVRRSQEML >KJB11608 pep chromosome:Graimondii2_0_v6:1:54506444:54510402:-1 gene:B456_001G268100 transcript:KJB11608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVALKLYSVFFKFLLKHRLQNLIQNAIDESSNPYGVTTRPEESVSASNPSFTDGVATKDIHIDPFTALSIRIFLPDSSLSPPEQPDLKPNLRSSGNDDPNSHNHRRSSYAPSNVGAPRNDPRRSSLEGLNLRSDNNVYRGYSPSPQNCRKLPIMLQFHGGGWVSGSNESVANDYFCRRIAKLCDVIVIAVGYRLAPENKYPAAFEDGLKVLNWLAKQANLSECSKSMGSGARGVGSEFTKAEVQRHIVDTFGASVVEPWLAAHGDPSRCVLLGVSCGANIVDYVARKAVEAGKRLDPVKVVAQVLMYPFFIGNVPTQSEIKLANSYFYDKAMCLLAWKLFLPKEEFSLDHPAANPLISDRGPPLKFMPPTLTVVAEHDWMRDRAIAYSETLRKVNVDAPVLEYKDAVHEFATLDMLLKTPQAQACAEDIAIWVKKYISTRGHEFSY >KJB06284 pep chromosome:Graimondii2_0_v6:1:4079967:4080825:-1 gene:B456_001G043000 transcript:KJB06284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAFLSTANSFFPSSISPSTSSSCSSSSSSLGTALVYLNNQNVQRRTLCKAFNESPPPTPALTKRGFSLCFITSLVLAAGNGCSNAIAAILEADDDEELLEKVKKDRKKRLERQGVISSSGQEKGYLQDVVYKLSEIGQAIDNNDLSTASSVLGGSTDTEWVKNANVAFNKVTNKCHISSVLDGLCSRDVMSGFLYCCS >KJB06283 pep chromosome:Graimondii2_0_v6:1:4079566:4080864:-1 gene:B456_001G043000 transcript:KJB06283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAFLSTANSFFPSSISPSTSSSCSSSSSSLGTALVYLNNQNVQRRTLCKAFNESPPPTPALTKRGFSLCFITSLVLAAGNGCSNAIAAILEADDDEELLEKVKKDRKKRLERQGVISSSGQEKGYLQDVVYKLSEIGQAIDNNDLSTASSVLGGSTDTEWVKNANVAFNKP >KJB06286 pep chromosome:Graimondii2_0_v6:1:4079566:4080974:-1 gene:B456_001G043000 transcript:KJB06286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAFLSTANSFFPSSISPSTSSSCSSSSSSLGTALVYLNNQNVQRRTLCKAFNESPPPTPALTKRGFSLCFITSLVLAAGNGCSNAIAAILEADDDEELLEKVKKDRKKRLERQGVISSSGQEKGYLQDVVYKLSEIGQAIDNNDLSTASSVLGGSTDTEWVKNANVAFNKLSSSPEEKTQVETFNSSLASLISSVTKNDVESSKVAFVTSATAFEKWTTLTGLVGQLKGL >KJB06285 pep chromosome:Graimondii2_0_v6:1:4079566:4080864:-1 gene:B456_001G043000 transcript:KJB06285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAFLSTANSFFPSSISPSTSSSCSSSSSSLGTALVYLNNQNVQRRTLCKAFNESPPPTPALTKRGFSLCFITSLVLAAGNGCSNAIAAILEADDDEELLEKVKKDRKKRLERQGVISSSGQEKGYLQDVVYKLSEIGQAIDNNDLSTASSVLGGSTDTD >KJB06484 pep chromosome:Graimondii2_0_v6:1:26484751:26485629:-1 gene:B456_001G177400 transcript:KJB06484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSIRFPPAKKAWKSFTSKLQTRLHKLHKSKAFKKPKNNGKKLQKAASKTTRPSLFLGQRLQLKSSRRRRALPFGYQRYYLSVNKAAAPVYIDKLFKDAPVSGVVEYIHQQPLEKNKKKLIDEAAEAGTSNEGEKQGDDKLESVGLASPMLYGIDARAEEFIASFRAEMERQEIIARNL >KJB10749 pep chromosome:Graimondii2_0_v6:1:44773664:44776886:-1 gene:B456_001G221000 transcript:KJB10749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGASNIGGCNEHGDEDTLSVSLLNTTANSSRKNWTRLYNLFLVVGSRIKPEREEVSIEEDGSVPKSSSNSGSADCCTIDMVPTEIEEFFIEEDGSVPKSSSTSGSADCSTNDMFAPKIEVFPKEDGSVPRSADDIRINIPEGVDGNANIIFLRKAAQIVRDKDLTSLEEEGGVRQVKSRLQSILAQEIDRSFEEQATAVIVTSTTFDAKVFVVSFVEASTTPTIFLLLVFAALSFTIEMMEAEPKHGWHDGVAILVAVFMLLIFRSVANYRRARRLQKRNKFHVSVLKDGQPKTITISTLVVGHILCLEKGDFVPADGLFVSGNGLKLDDKLNPNINRDDNPFLLAGSKIMEGEGHMLVTSVGDNTVLAIVDPDEKSLMEGQIDKTNAYMEYVGLSISLLVSALVLINLVVRKMDKNSNTMPEIKGGVSAYRVIKIFARVFLNPRGKVQILTGVLTVMVTSLQHGIPVVITISLSYWKKKMASGNANVQNLSSCGTIGIVSVMCFDENTVVACKEVMESIVGTLKEEEVGCKLMSKDELATAQVMAHEIGILNPDLRDMAIENKDLHELAATAEGMNKIAVIGSCKLEDKIRILQRLKQDGHVVAFIGGMATNDDLALKAADVGITICECSTKMARENSEIVISSRNSLRSLIRCLKMGKCAYGNVQTFSQIQLTAILASLLVTLVTTSILDESPITGIHMLWVNSIICILGGLMMVMESYGHHNQTVRRMKSLLTKTMWRNVAIRAASDACHLLLLQFIGQAILQINKDVVKTMVFNGFILCQVLDLFISTIIIFARNEEVSVSVVRFMCSSHWFLMASGGVMAMQVVVVELLQSLAEYERLNVMQWGFCFIYAAWMCGTGLTVKLIADSASEVLWSSRSSGSQFGYLSLRRSSLRLFVIPFSVCAVASFSYYYVNPDIA >KJB06867 pep chromosome:Graimondii2_0_v6:1:1707609:1710176:-1 gene:B456_001G018300 transcript:KJB06867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPFHLLVFFLVALCLCTSSNASSTTNEFLETECLKVPATEFIGSLKTTIDAIRKATSVVSQFGGFFHDFRLSNAISDCLDLLDSSADELSWTMFASQNPNGTKDNSTGDLSSDLRTWLSAAMVNQQTCIDGFEGTNSMVKTVVSGSLNQITSLVRNLLIMVHPGPNSKSNGTRNGSQKGGGGGGHPGQNRFPVWFKREDRRLLQINGVTANVVVAADGSGNFTRIMDAVETAPDKSLNRYVIYIKRGLYKENVEIKKKKWNLMMIGDGMDVTVISGNRSFIDGWTTFRSATFAVSGRGFIARDITFENTAGPQKHQAVALRSDSDLSVFFRCAIKGYQDSLYTHTMRQFYRECKITGTVDFIFGDGAVLFQNCQILAKQGLPNQKNTITAQGRKDPNQPTGFSIQFCNISADTDLLPSVNSTPTYLGRPWKLYSRTIIMQSYISDAIRPQGWLEWNQDFALDTLYYAEYMNNGPGASLSERVKWPGYHVLNNSAQAVNFTVAQFIEGDLWLPSTGVKYTSGFGV >KJB06870 pep chromosome:Graimondii2_0_v6:1:1706893:1710354:-1 gene:B456_001G018300 transcript:KJB06870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPFHLLVFFLVALCLCTSSNASSTTNEFLETECLKVPATEFIGSLKTTIDAIRKATSVVSQFGGFFHDFRLSNAISDCLDLLDSSADELSWTMFASQNPNAKDNSTGDLSSDLRTWLSAAMVNQQTCIDGFEGTNSMVKTVVSGSLNQITSLVRNLLIMVHPGPNSKSNGTRNGSQKGGGGGGHPGQNRFPVWFKREDRRLLQINGVTANVVVAADGSGNFTRIMDAVETAPDKSLNRYVIYIKRGLYKENVEIKKKKWNLMMIGDGMDVTVISGNRSFIDGWTTFRSATFAVSGRGFIARDITFENTAGPQKHQAVALRSDSDLSVFFRCAIKGYQDSLYTHTMRQFYRECKITGTVDFIFGDGAVLFQNCQILAKQGLPNQKNTITAQGRKDPNQPTGFSIQFCNISADTDLLPSVNSTPTYLGRPWKLYSRTIIMQSYISDAIRPQGWLEWNQDFALDTLYYAEYMNNGPGASLSERVKWPGYHVLNNSAQAVNFTVAQFIEGDLWLPSTGVKYTSGFGV >KJB06869 pep chromosome:Graimondii2_0_v6:1:1707609:1709840:-1 gene:B456_001G018300 transcript:KJB06869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFCVISFSLNCVFAAKDNSTGDLSSDLRTWLSAAMVNQQTCIDGFEGTNSMVKTVVSGSLNQITSLVRNLLIMVHPGPNSKSNGTRNGSQKGGGGGGHPGQNRFPVWFKREDRRLLQINGVTANVVVAADGSGNFTRIMDAVETAPDKSLNRYVIYIKRGLYKENVEIKKKKWNLMMIGDGMDVTVISGNRSFIDGWTTFRSATFAVSGRGFIARDITFENTAGPQKHQAVALRSDSDLSVFFRCAIKGYQDSLYTHTMRQFYRECKITGTVDFIFGDGAVLFQNCQILAKQGLPNQKNTITAQGRKDPNQPTGFSIQFCNISADTDLLPSVNSTPTYLGRPWKLYSRTIIMQSYISDAIRPQGWLEWNQDFALDTLYYAEYMNNGPGASLSERVKWPGYHVLNNSAQAVNFTVAQFIEGDLWLPSTGVKYTSGFGV >KJB06866 pep chromosome:Graimondii2_0_v6:1:1707609:1710176:-1 gene:B456_001G018300 transcript:KJB06866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPFHLLVFFLVALCLCTSSNASSTTNEFLETECLKVPATEFIGSLKTTIDAIRKATSVVSQFGGFFHDFRLSNAISDCLDLLDSSADELSWTMFASQNPNAKDNSTGDLSSDLRTWLSAAMVNQQTCIDGFEGTNSMVKTVVSGSLNQITSLVRNLLIMVHPGPNSKSNGTRNGSQKGGGGGGHPGQNRFPVWFKREDRRLLQINGVTANVVVAADGSGNFTRIMDAVETAPDKSLNRYVIYIKRGLYKENVEIKKKKWNLMMIGDGMDVTVISGNRSFIDGWTTFRSATFAVSGRGFIARDITFENTAGPQKHQAVALRSDSDLSVFFRCAIKGYQDSLYTHTMRQFYRECKITGTVDFIFGDGAVLFQNCQILAKQGLPNQKNTITAQGRKDPNQPTGFSIQFCNISADTDLLPSVNSTPTYLGRPWKLYSRTIIMQSYISDAIRPQGWLEWNQDFALDTLYYAEYMNNGPGASLSERVKWPGYHVLNNSAQAVNFTVAQFIEGDLWLPSTGVKYTSGFGV >KJB06868 pep chromosome:Graimondii2_0_v6:1:1706893:1710316:-1 gene:B456_001G018300 transcript:KJB06868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPFHLLVFFLVALCLCTSSNASSTTNEFLETECLKVPATEFIGSLKTTIDAIRKATSVVSQFGGFFHDFRLSNAISDCLDLLDSSADELSWTMFASQNPNDNSTGDLSSDLRTWLSAAMVNQQTCIDGFEGTNSMVKTVVSGSLNQITSLVRNLLIMVHPGPNSKSNGTRNGSQKGGGGGGHPGQNRFPVWFKREDRRLLQINGVTANVVVAADGSGNFTRIMDAVETAPDKSLNRYVIYIKRGLYKENVEIKKKKWNLMMIGDGMDVTVISGNRSFIDGWTTFRSATFAVSGRGFIARDITFENTAGPQKHQAVALRSDSDLSVFFRCAIKGYQDSLYTHTMRQFYRECKITGTVDFIFGDGAVLFQNCQILAKQGLPNQKNTITAQGRKDPNQPTGFSIQFCNISADTDLLPSVNSTPTYLGRPWKLYSRTIIMQSYISDAIRPQGWLEWNQDFALDTLYYAEYMNNGPGASLSERVKWPGYHVLNNSAQAVNFTVAQFIEGDLWLPSTGVKYTSGFGV >KJB06871 pep chromosome:Graimondii2_0_v6:1:1706768:1710379:-1 gene:B456_001G018300 transcript:KJB06871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPFHLLVFFLVALCLCTSSNASSTTNEFLETECLKVPATEFIGSLKTTIDAIRKATSVVSQFGGFFHDFRLSNAISDCLDLLDSSADELSWTMFASQNPNAKDNSTGDLSSDLRTWLSAAMVNQQTCIDGFEGTNSMVKTVVSGSLNQITSLVRNLLIMVHPGPNSKSNGTRNGSQKGGGGGGHPGQNRFPVWFKREDRRLLQINGVTANVVVAADGSGNFTRIMDAVETAPDKSLNRYVIYIKRGLYKENVEIKKKKWNLMMIGDGMDVTVISGNRSFIDGWTTFRSATFAVSGRGFIARDITFENTAGPQKHQAVALRSDSDLSVFFRCAIKGYQDSLYTHTMRQFYRECKITGTVDFIFGDGAVLFQNCQILAKQGLPNQKNTITAQGRKDPNQPTGFSIQFCNISADTDLLPSVNSTPTYLGRPWKLYSRTIIMQSYISDAIRPQGWLEWNQDFALDTLYYAEYMNNGPGASLSERVKWPGYHVLNNSAQAVNFTVAQFIEGDLWLPSTGVKYTSGFGV >KJB07932 pep chromosome:Graimondii2_0_v6:1:5157232:5161942:1 gene:B456_001G053900 transcript:KJB07932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPETFASGSFFKWDPRGLMAPTPARLVEAVAAVPQPQAVAVAAAAAFMGRPRELGGIEELFQAYGIRYYTAAKIAELGFTVNTLLGMKEEELDEMMNSVSQIFRWELLVGERYGIKAAVRAERRRLEEEDSRRRHLVLGDTTTTTTAANALDALSQEGLSEEPVQQEKEAAGSGGGGTWEMVIGGGRRKQQRRRKGQKKVVEVDNGDEFEGGDDDDENGDGGGGGYERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCRDFLIQVQNIAKDRGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEEASNALRRAFKERGENVGAWRQACYKPLVSIAARQGWDIDAIFNAHPRLAIWYVPTKLRQLCHAERNGAAAVAAGSSSVSGGHDHMGF >KJB07933 pep chromosome:Graimondii2_0_v6:1:5157232:5161942:1 gene:B456_001G053900 transcript:KJB07933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPETFASGSFFKWDPRGLMAPTPARLVEAVAAVPQPQAVAVAAAAAFMGRPRELGGIEELFQAYGIRYYTAAKIAELGFTVNTLLGMKEEELDEMMNSVSQIFRWELLVGERYGIKAAVRAERRRLEEEDSRRRHLVLGDTTTTTTAANALDALSQEEEPVQQEKEAAGSGGGGTWEMVIGGGRRKQQRRRKGQKKVVEVDNGDEFEGGDDDDENGDGGGGGYERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCRDFLIQVQNIAKDRGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEEASNALRRAFKERGENVGAWRQACYKPLVSIAARQGWDIDAIFNAHPRLAIWYVPTKLRQLCHAERNGAAAVAAGSSSVSGGHDHMGF >KJB07330 pep chromosome:Graimondii2_0_v6:1:9992269:9992838:1 gene:B456_001G091100 transcript:KJB07330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGWSLQILLTSFMILFNTIPSEPKSVLEVKRYFHSLGNVTVFTNHAEFKFLHSSNIERMLAGVADPFVTTNALIASEAALASCGRGEPYHKCLPPPNDNNPPKEPCGRYKRGKPCP >KJB09254 pep chromosome:Graimondii2_0_v6:1:16883122:16885259:1 gene:B456_001G131800 transcript:KJB09254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNNIFPIQDQDERDPIGLLHGVDESGRVMMRNSRTPKSDILFVKKGKKIILRLMMKPLSSSSNFLLYFFFFFLVFFRCIQSINAQNATTDPSEVRALNSIFQQWRIQAVDSWNISGEPCSGTALTQSSSVFEDPTNNPAIRCDCSFKNNTLCHITSLRVYALDKRGVIPKELLDLPFLEFLKIDKNFFSGPLPTFLGNMSKLWLLLFQKGA >KJB09255 pep chromosome:Graimondii2_0_v6:1:16883122:16885720:1 gene:B456_001G131800 transcript:KJB09255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNNIFPIQDQDERDPIGLLHGVDESGRVMMRNSRTPKSDILFVKKGKKIILRLMMKPLSSSSNFLLYFFFFFLVFFRCIQSINAQNATTDPSEVRALNSIFQQWRIQAVDSWNISGEPCSGTALTQSSSVFEDPTNNPAIRCDCSFKNNTLCHITSLRVYALDKRGVIPKELLDLPFLEFLKIDKNFFSGPLPTFLGNMSKLWLLSMAQNNFNGPIPKELGNLKKLYLLSLGNNNLSGTLPPELGNLVELGEL >KJB09384 pep chromosome:Graimondii2_0_v6:1:18239686:18243609:-1 gene:B456_001G138000 transcript:KJB09384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCATTGPLVDTNSNKKKGKKNKAIPYSADEYGVTHGSTTFKLKVLNELTGRDISAQYDLGREMGRGEFGVTYLCTDPNSSEKFACKSISKKKLRTAVDIEDVRREVQIMKHLPKHPNVVTLKDTFEDDDAVHIVMELCEGGELFDRIVARGHYTERAAAGVMKTIVEVVQMCHKHGVMHRDLKPENFLFANKKEASPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILICGVPPFWAETEQGVAEAIIRSVIDFKRDPWPKVSDNAKDLVRKMLNPDPKKRLTAQEVLEHPWLQHAKKAPNVPLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEVADIKETFDMMDTKQRGKITLEELKAGLQKLGQQIPDADLQILVGAAGGDGDGTLNYGEFVAVSVHLRKMANDEHLHKAFVFLDLNKSGYLEKEDLRDALNDEVDPCSEEVINAIMHDVDTNKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSMKLMQVVAT >KJB09385 pep chromosome:Graimondii2_0_v6:1:18239686:18243204:-1 gene:B456_001G138000 transcript:KJB09385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCATTGPLVDTNSNKKKGKKNKAIPYSADEYGVTHGSTTFKLKVLNELTGRDISAQYDLGREMGRGEFGVTYLCTDPNSSEKFACKSISKKKLRTAVDIEDVRREVQIMKHLPKHPNVVTLKDTFEDDDAVHIVMELCEGGELFDRIVARGHYTERAAAGVMKTIVEVVQMCHKHGVMHRDLKPENFLFANKKEASPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILICGVPPFWAETEQGVAEAIIRSVIDFKRDPWPKVSDNAKDLVRKMLNPDPKKRLTAQEVLEHPWLQHAKKAPNVPLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEVADIKETFDMMDTKQRGKITLEELKAGLQKLGQQIPDADLQILVGAAGGDGDGTLNYGEFVAVSVHLRKMANDEHLHKAFVFLDLNKSGYLEKEDLRDALNDEVDPCSEEVINAIMHDVDTNKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSMKLMQVVAT >KJB09842 pep chromosome:Graimondii2_0_v6:1:24364847:24371649:1 gene:B456_001G170200 transcript:KJB09842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTCCYKHPTIFHGDEGTRYRILDPFRCRNFSYNVFNPKHGSKTWSLRKMKRNMAYSSRLSSNLVFKGNFDIRGVWSHCQGNGSSPYADGNDHNAEFAESSNESSSGLGEEVRNVSGEVETPTLVELRELVHKAMEELKVARLNSRMFEEKAQEILEAAIASRDKATKAWNHVNSRLNVMQDIVNEECIAKEAVQKATMALSLAEARLQVAVDSFELLENGNGSVESYGKSDAQMNVREDNGVLLDAQYEIRECQKKLANCEVELRQVWGKKEELLKEVERLKEVAENAQMDALEAEEDVANIMLLAEKAVAFEVEATQRLNGAEIALKRSEKSLSSLTVDTAESAQGQVLGEGNIVKEEKISQGGYSDAFLEIKGDALNNGDTLIGKPKADILSDEAKKRFENHKQFFDFSNHENVMLGLDSIKEAEVEAEKSKNVKPKKSDSPKNLTTESSPLNTPKSLLNKSSRFLSASFFSLTVDGTEFTPASVAQGFLESARKQIPKLVVGLLLFGAATVKSLAIETSLLDHNANNKSNPQKRAILLTLVAFYANQTERSAQLLQQPDAITFREEVSPNAKPMIHKVQNFPKRIKNIVAMLPHQEMNVEEASLFDVLWLLLASVIFVPIFQKIPGGSPVLGYLVAGILIGPYGLSIIHHVHGTKAIAEFGVVFLLFNIGLELSVERLSSIKKYVFGLGSAQVLVTAVAVGLVAHFVSGQPGPAAMVIGNGLALSSTAVVLQVLQERGESTSRHGRSTFSVLLFQDLAVVVLLILIPLISPNSSKGEVRLVLEPLRKAVVKAAVSITTIIAGGRLLLRPIYGKIAENQNPEIFSANSLLVILGTSLLTAGAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSTDPKLLVSNFPVIMEALGLLIGGKIILVAFVGTLFGISIVSAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMALTPWLAAGGQLISSHFELHDVRSLLPVESEVHV >KJB10235 pep chromosome:Graimondii2_0_v6:1:31710692:31715008:-1 gene:B456_001G191000 transcript:KJB10235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNEVAASQGIRIRRRPPSGPPLHYVGPFEFRLQNEGNTPRNILEEIVWHKDVEVSQMKEKKPLLSLKKVIENSPPTRDFVGALKAAHLQTGLPGLIAEVKKASPSRGILRENFDPVEIARAYEKGGAACLSVLTDEKYFKGSFENLEAIRNAGVKCPLLCKEFVIDAWQIYYARIKGADAILLIAAVLPDLDIRYMIKICKMLGLAALVEVHDEREMDRVLGIEGIELIGINNRNLETFEVDISNTKKLLEGERGQMIRKKDIIVVGESGLFTPDDVAYVREAGVKAVLVGESIVKQSDPGKGISGLFGKDISL >KJB10234 pep chromosome:Graimondii2_0_v6:1:31710620:31715068:-1 gene:B456_001G191000 transcript:KJB10234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVSMKTAPGISFQSIPSPNQRPNFFLRRSMDLQHRRFHFPSIRAQQSGTIFPRKEDEEDSLKVKEWEVGMLQNEVAASQGIRIRRRPPSGPPLHYVGPFEFRLQNEGNTPRNILEEIVWHKDVEVSQMKEKKPLLSLKKVIENSPPTRDFVGALKAAHLQTGLPGLIAEVKKASPSRGILRENFDPVEIARAYEKGGAACLSVLTDEKYFKGSFENLEAIRNAGVKCPLLCKEFVIDAWQIYYARIKGADAILLIAAVLPDLDIRYMIKICKMLGLAALVEVHDEREMDRVLGIEGIELIGINNRNLETFEVDISNTKKLLEGERGQMIRKKDIIVVGESGLFTPDDVAYVREAGVKAVLVGESIVKQSDPGKGISGLFGKDISL >KJB07682 pep chromosome:Graimondii2_0_v6:1:3454678:3460005:1 gene:B456_001G037400 transcript:KJB07682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKRILCFCVLTSLSVSFQCRNLIHLFWSLLRLFCSSISISLSWSVSSKTRNMVSGVPCHAMPCDDLTVSFLNLCFLFFNAISRLFDVIHCCGTPGYCADIAAKTMNSMGPFTLSISY >KJB10285 pep chromosome:Graimondii2_0_v6:1:32952305:32953025:-1 gene:B456_001G194000 transcript:KJB10285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHVLVILRRNLQNIKKSPRVADENRYGGGGNSNNNNGIFIDRSSSRGSWNWISVICNVVRAPLSLVSCFFAQPHVNGATDGVWVSTEIAQISEMNHLMVSDSMRYAILM >KJB08452 pep chromosome:Graimondii2_0_v6:1:8754863:8759440:1 gene:B456_001G082400 transcript:KJB08452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDPQKLKKIAAAAYDYENDPRWADYWSNILIPPHMASRSDVVDHFKRKFYQRYIDPDLVVEAMSSSAQTGSAKPTSSSASSSVANGEARAFSAGSTARTSGPSAGSGSNATSLRWDRHTIQFSVNAWVFIVAVLAIFPLVPRSLSNRAYRLSFMGTASSSVYALYALYGRPRAWTLQAVQVYFQSVIATKDFIYFIYCLTFVTSHLCLKFALIPILCRSLECIAKFLRHNFSRSTLYRKYLEDPCVWVESNNTTLSILSSHAEIGLGWLLIFSLFSWQRNIVQTFMYWQLLKLMYHAPVTAGYHQSVWAKIGRTINPLILRYAPFLNTPVSAIQKWWSR >KJB08453 pep chromosome:Graimondii2_0_v6:1:8755946:8759201:1 gene:B456_001G082400 transcript:KJB08453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAQTGSAKPTSSSASSSVANGEARAFSAGSTARTSGPSAGSGSNATSLRWDRHTIQFSVNAWVFIVAVLAIFPLVPRSLSNRAYRLSFMGTASSSVYALYALYGRPRAWTLQAVQVYFQSVIATKDFIYFIYCLTFVTSHLCLKFALIPILCRSLECIAKFLRHNFSRSTLYRKYLEDPCVWVESNNTTLSILSSHAEIGLGWLLIFSLFSWQRNIVQTFMYWQLLKLMYHAPVTAGYHQSVWAKIGRTINPLILRYAPFLNTPVSAIQKWWSR >KJB08785 pep chromosome:Graimondii2_0_v6:1:11559244:11560407:-1 gene:B456_001G103500 transcript:KJB08785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPIHRLLLPATQKTLKNLIYSPLPAVSSLNFTHPSLYRRRFSTPPLSPQPPASHFLRPRTRTPLETQFETWVQKLKPGFTPSDVESALRAQRDADLALDIFRWTALQRGYKHTDTTYLTMIKLLISAKRYRHAETLVEEVIAGACPISVPLYNAVIRFCCGRKFLFNRAFDVYKKMLKSDDCKPTLETFAMLFNSLLRRFNRQTVCYVYLHSVRSLAKQMKAVGIIPDTFVLNMIIKAYSKCLDVDGAIRVYREMGLYGCEPNAYTYGYIFKGLCEKGRVLQGFGLYKEMREKGLVPKGSAYMILICSLAMEQRLDDAVDVVYDMLENSMAPDLLTYKTVLEELCRGGKSNDAFELLEEWKKRDLSMGQKNYRILVNSLLCKNRE >KJB10090 pep chromosome:Graimondii2_0_v6:1:31040795:31043029:-1 gene:B456_001G189500 transcript:KJB10090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLILLSSWKLKLIILSLICPFRMQLLRNTRFNLSKIVKKNSKLERNEANLARVRSSIKQAALVRNLTSTHQDPDYVPHGPIYRNANAFHRSYLEMEKVFKIYVYKEGEPPIFHNGPCRSIYSSEGRFIHELEKGNFYTTEDPDEALVYFLPFSVVMLVQYLYEPETSNTDAIGRTVVDYIDVISGKYPYWNRSLGADHFMLSCHDWGPRTSSYVPHLFHKSIRVLCNANTSEGFNPSKDASFPEINLLTGEVEGLLGGPSPSHRSILAFFAGRLHGYIRYLLLNEWKDKRDPDVQVFDQLPKGVSYMSKLKNSRFCLCPSGYEVASPRIVEAIYAECVPVLISDNYVPPFSDVLNWKSFSIQIAVKDIPNIKKILMGVSQRQYLRMQRRVKQVQRHFVVNATPKRYDVFHMINHSIWLRRLNIHVRDFHQS >KJB10088 pep chromosome:Graimondii2_0_v6:1:31040966:31042810:-1 gene:B456_001G189500 transcript:KJB10088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLNKVAMTPPSSDHKRPHTPSSFRFSSLNLVWFLVVPSTVFFLVSTLGPHRPSISPLSSLLQVSFSNNSLQGSSIFNGSSIDSSFQLEAETDYFVSNMPLPDAIIEKHTIQLDMSKNNESEPVVIVRSKIVKKNSKLERNEANLARVRSSIKQAALVRNLTSTHQDPDYVPHGPIYRNANAFHRSYLEMEKVFKIYVYKEGEPPIFHNGPCRSIYSSEGRFIHELEKGNFYTTEDPDEALVYFLPFSVVMLVQYLYEPETSNTDAIGRTVVDYIDVISGKYPYWNRSLGADHFMLSCHDWGPRTSSYVPHLFHKSIRVLCNANTSEGFNPSKDASFPEINLLTGRLHGYIRYLLLNEWKDKRDPDVQVFDQLPKGVSYMSKLKNSRFCLCPSGYEVASPRIVEAIYAECVPVLISDNYVPPFSDVLNWKSFSIQIAVKDIPNIKKILMGVSQRQYLRMQRRVKQVQRHFVVNATPKRYDVFHMINHSIWLRRLNIHVRDFHQS >KJB10089 pep chromosome:Graimondii2_0_v6:1:31040966:31042810:-1 gene:B456_001G189500 transcript:KJB10089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLNKVAMTPPSSDHKRPHTPSSFRFSSLNLVWFLVVPSTVFFLVSTLGPHRPSISPLSSLLQVSFSNNSLQGSSIFNGSSIDSSFQLEAETDYFVSNMPLPDAIIEKHTIQLDMSKNNESEPVVIVRSKIVKKNSKLERNEANLARVRSSIKQAALVRNLTSTHQDPDYVPHGPIYRNANAFHRSYLEMEKVFKIYVYKEGEPPIFHNGPCRSIYSSEGRFIHELEKGNFYTTEDPDEALVYFLPFSVVMLVQYLYEPETSNTDAIGRTVVDYIDVISGKYPYWNRSLGADHFMLSCHDWGPRTSSYVPHLFHKSIRVLCNANTSEGFNPSKDASFPEINLLTGEVEGLLGGPSPSHRSILAFFAGRLHGYIRYLLLNEWKDKRDPDVQVFDQLPKGVSYMSKLKNSRFCLCPSGYEVASPRIVEAIYAECVPVLISDNYVPPFSDVLNWKSFSIQIAVKDIPNIKKILMGVSQRQYLRMQRRVKQVQRHFVVNATPKRYDVFHMINHSIWLRRLNIHVRDFHQS >KJB10087 pep chromosome:Graimondii2_0_v6:1:31040792:31043029:-1 gene:B456_001G189500 transcript:KJB10087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLILLSSWKLKLIILSLICPFRMQLLRNTRFNLSKIVKKNSKLERNEANLARVRSSIKQAALVRNLTSTHQDPDYVPHGPIYRNANAFHRSYLEMEKVFKIYVYKEGEPPIFHNGPCRSIYSSEGRFIHELEKGNFYTTEDPDEALVYFLPFSVVMLVQYLYEPETSNTDAIGRTVVDYIDVISGKYPYWNRSLGADHFMLSCHDWGPRTSSYVPHLFHKSIRVLCNANTSEGFNPSKDASFPEINLLTGRLHGYIRYLLLNEWKDKRDPDVQVFDQLPKGVSYMSKLKNSRFCLCPSGYEVASPRIVEAIYAECVPVLISDNYVPPFSDVLNWKSFSIQIAVKDIPNIKKILMGVSQRQYLRMQRRVKQVQRHFVVNATPKRYDVFHMINHSIWLRRLNIHVRDFHQS >KJB10558 pep chromosome:Graimondii2_0_v6:1:40740133:40745345:-1 gene:B456_001G207300 transcript:KJB10558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSRKLMSSQFYVMLRLLLLFSLTVASSMSFVAVLACSKHLIDTRNAVMVQWKLASQPRSLSYREYLKLKARYEELQRTQRNLLGEDLGPLNSKELEQLEHQLESSLKHVRSTKTQYMLDQLSELQNKEQMLMETNRALSIKLEEVSARNQFRVSWEGGEQSVAFTNQQAQSMGLFQPLECNPTLQIGYCNPVASDQMAATTHAQQVNGFIPGWML >KJB10556 pep chromosome:Graimondii2_0_v6:1:40740133:40743623:-1 gene:B456_001G207300 transcript:KJB10556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFSLFAFPFSMLKTLDRYQKCSYGAVEVSKPAKELESSYREYLKLKARYEELQRTQRNLLGEDLGPLNSKELEQLEHQLESSLKHVRSTKTQYMLDQLSELQNKEQMLMETNRALSIKLEEVSARNQFRVSWEGGEQSVAFTNQQAQSMGLFQPLECNPTLQIGYCNPVASDQMAATTHAQQVNGFIPGWML >KJB10559 pep chromosome:Graimondii2_0_v6:1:40740479:40744922:-1 gene:B456_001G207300 transcript:KJB10559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSRKLMSSQFYVMLRLLLLFSLTVASSMSFVAVLACSKHLIDTRNAVMVQWKLASQPRSLSSYREYLKLKARYEELQRTQRNLLGEDLGPLNSKELEQLEHQLESSLKHVRSTKTQYMLDQLSELQNKEQMLMETNRALSIKLEEVSARNQFRVSWEGGEQSVAFTNQQAQSMGLFQPLECNPTLQIGYCNPVASDQMAATTHAQQVNGFIPGWML >KJB10557 pep chromosome:Graimondii2_0_v6:1:40741301:40744998:-1 gene:B456_001G207300 transcript:KJB10557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLDRYQKCSYGAVEVSKPAKELESSYREYLKLKARYEELQRTQRNLLGEDLGPLNSKELEQLEHQLESSLKHVRSTKTQYMLDQLSELQNKEQMLMETNRALSIKVKSIS >KJB10555 pep chromosome:Graimondii2_0_v6:1:40740069:40745384:-1 gene:B456_001G207300 transcript:KJB10555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLDRYQKCSYGAVEVSKPAKELESSYREYLKLKARYEELQRTQRNLLGEDLGPLNSKELEQLEHQLESSLKHVRSTKTQYMLDQLSELQNKEQMLMETNRALSIKLEEVSARNQFRVSWEGGEQSVAFTNQQAQSMGLFQPLECNPTLQIGYCNPVASDQMAATTHAQQVNGFIPGWML >KJB08045 pep chromosome:Graimondii2_0_v6:1:6023524:6025534:-1 gene:B456_001G060800 transcript:KJB08045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRVDVPRRTDVGNLNWVDKNGYDYPSTRRNDGFNLGPRRCGLEDVMRVKSDLAPMLDDGVQQPAQKKRKFSPIVWDVEEKEVRISSRNGALDAVRAPLFANLVENSLPKSTVSDFSSVLSPLVGQQCEGDEQEQDMTGKEKRLGPNIFTSRWASDSDDEDDSRSKEKIRRSSSLESGEFEREELEGDGVLSNERSSSVLSAWKDEDMECKLESDGVMDIDGTFGEDASDDQSDSDVEELAGGMNMLLGCRSVYEYERLNKISEGTYGVVFRARDKKTGEIVALKKVKILDRRELEEFGFPLTSLREINILASFNHPSIVKVKEVVVDDHDNVYMVMEYMEHDLKALMESMKWPFSTSDVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLSSQGELKICDFGMARQYGSPQKPYTTKVVTQWYRAPELLLGAKTYSTAVDMWSVGCIMAEMLAKQPLFKGTSEIDQLRKIFDTLGTPNEKIWAGFSELPGSKANYSKQRYNLLRKKFPVASFTGSAVLSDAGFDLLNRLLTYDPEKNNS >KJB08043 pep chromosome:Graimondii2_0_v6:1:6023439:6025534:-1 gene:B456_001G060800 transcript:KJB08043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRVDVPRRTDVGNLNWVDKNGYDYPSTRRNDGFNLGPRRCGLEDVMRVKSDLAPMLDDGVQQPAQKKRKFSPIVWDVEEKEVRISSRNGALDAVRAPLFANLVENSLPKSTVSDFSSVLSPLVGQQCEGDEQEQDMTGKEKRLGPNIFTSRWASDSDDEDDSRSKEKIRRSSSLESGEFEREELEGDGVLSNERSSSVLSAWKDEDMECKLESDGVMDIDGTFGEDASDDQSDSDVEELAGGMNMLLGCRSVYEYERLNKISEGTYGVVFRARDKKTGEIVALKKVKILDRRELEEFGFPLTSLREINILASFNHPSIVKVKEVVVDDHDNVYMVMEYMEHDLKALMESMKWPFSTSDVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLSSQGELKICDFGMARQYGSPQKPYTTKVVTQWYRAPELLLGAKTYSTAVDMWSVGCIMAEMLAKQPLFKGTSEIDQLRKIFDTLGTPNEKIWAGFSELPGSKANYSKQRYNLLRKKFPVASFTGSAVLSDAGFDLLNRLLTYDPEKRITADDALKHDWFRELPLPKSKEFLPTFRPKV >KJB08047 pep chromosome:Graimondii2_0_v6:1:6022016:6025975:-1 gene:B456_001G060800 transcript:KJB08047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRVDVPRRTDVGNLNWVDKNGYDYPSTRRNDGFNLGPRRCGLEDVMRVKSDLAPMLDDGVQQPAQKKRKFSPIVWDVEEKEVRISSRNGALDAVRAPLFANLVENSLPKSTVSDFSSVLSPLVGQQCEGDEQEQDMTGKEKRLGPNIFTSRWASDSDDEDDSRSKEKIRRSSSLESGEFEREELEGDGVLSNERSSSVLSAWKDEDMECKLESDGVMDIDGTFGEDASDDQSDSDVEELAGGMNMLLGCRSVYEYERLNKISEGTYGVVFRARDKKTGEIVALKKVKILDRRELEEFGFPLTSLREINILASFNHPSIVKVKEVVVDDHDNVYMVMEYMEHDLKALMESMKWPFSTSDVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLSSQGELKICDFGMARQYGSPQKPYTTKVVTQWYRAPELLLGAKTYSTAVDMWSVGCIMAEMLAKQPLFKGTSEIDQLRKIFDTLGTPNEKIWAGFSELPGSKANYSKQRYNLLRKKFPVASFTGSAVLSDAGFDLLNRLLTYDPEKNNS >KJB08044 pep chromosome:Graimondii2_0_v6:1:6021397:6025975:-1 gene:B456_001G060800 transcript:KJB08044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKSDLAPMLDDGVQQPAQKKRKFSPIVWDVEEKEVRISSRNGALDAVRAPLFANLVENSLPKSTVSDFSSVLSPLVGQQCEGDEQEQDMTGKEKRLGPNIFTSRWASDSDDEDDSRSKEKIRRSSSLESGEFEREELEGDGVLSNERSSSVLSAWKDEDMECKLESDGVMDIDGTFGEDASDDQSDSDVEELAGGMNMLLGCRSVYEYERLNKISEGTYGVVFRARDKKTGEIVALKKVKILDRRELEEFGFPLTSLREINILASFNHPSIVKVKEVVVDDHDNVYMVMEYMEHDLKALMESMKWPFSTSDVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLSSQGELKICDFGMARQYGSPQKPYTTKVVTQWYRAPELLLGAKTYSTAVDMWSVGCIMAEMLAKQPLFKGTSEIDQLRKIFDTLGTPNEKIWAGFSELPGSKANYSKQRYNLLRKKFPVASFTGSAVLSDAGFDLLNRLLTYDPEKNNS >KJB08046 pep chromosome:Graimondii2_0_v6:1:6021347:6025975:-1 gene:B456_001G060800 transcript:KJB08046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRVDVPRRTDVGNLNWVDKNGYDYPSTRRNDGFNLGPRRCGLEDVMRVKSDLAPMLDDGVQQPAQKKRKFSPIVWDVEEKEVRISSRNGALDAVRAPLFANLVENSLPKSTVSDFSSVLSPLVGQQCEGDEQEQDMTGKEKRLGPNIFTSRWASDSDDEDDSRSKEKIRRSSSLESGEFEREELEGDGVLSNERSSSVLSAWKDEDMECKLESDGVMDIDGTFGEDASDDQSDSDVEELAGGMNMLLGCRSVYEYERLNKISEGTYGVVFRARDKKTGEIVALKKVKILDRRELEEFGFPLTSLREINILASFNHPSIVKVKEVVVDDHDNVYMVMEYMEHDLKALMESMKWPFSTSDVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLSSQGELKICDFGMARQYGSPQKPYTTKVVTQWYRAPELLLGAKTYSTAVDMWSVGCIMAEMLAKQPLFKGTSEIDQLRKIFDTLGTPNEKIWAGFSELPGSKANYSKQRYNLLRKKFPVASFTGSAVLSDAGFDLLNRLLTYDPEKRITADDALKHDWFRELPLPKSKEFLPTFRPKV >KJB08042 pep chromosome:Graimondii2_0_v6:1:6023439:6025534:-1 gene:B456_001G060800 transcript:KJB08042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRVDVPRRTDVGNLNWVDKNGYDYPSTRRNDGFNLGPRRCGLEDVMRVKSDLAPMLDDGVQQPAQKKRKFSPIVWDVEEKEVRISSRNGALDAVRAPLFANLVENSLPKSTVSDFSSVLSPLVGQQCEGDEQEQDMTGKEKRLGPNIFTSRWASDSDDEDDSRSKEKIRRSSSLESGEFEREELEGDGVLSNERSSSVLSAWKDEDMECKLESDGVMDIDGTFGEDASDDQSDSDVEELAGGMNMLLGCRSVYEYERLNKISEGTYGVVFRARDKKTGEIVALKKVKILDRRELEEFGFPLTSLREINILASFNHPSIVKVKEVVVDDHDNVYMVMEYMEHDLKALMESMKWPFSTSDVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLSSQGELKICDFGMARQYGSPQKPYTTKVVTQWYRAPELLLGAKTYSTAVDMWSVGCIMAEMLAKQPLFKGTSEIDQLRKIFDTLGTPNEKIWAGFSELPGSKANYSKQRYNLLRKKFPVASFTGSAVLSDAGFDLLNRLLTYDPEKRITADDALKHDWFRELPLPKSKEFLPTFRPKV >KJB07342 pep chromosome:Graimondii2_0_v6:1:2046677:2053070:1 gene:B456_001G021800 transcript:KJB07342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFKGQPRLPKFAVPKRYDLQLKPDLSACKFAGSVSIDVDIVAETRFIVLNAAELSINPGSVSFSPRNSSKVFDPKKVELVEEDEILVLDFAEALPLGLGVLAIGFEGILNDRMKGFYRSTYEHNGEKKNMAVTQFEPADARRSFPCWDEPSFKAKFKITLDVPSELVALSNMPVIEEKVNGPLKTVSYQESPIMSTYLVAYVVGLFDYVEDHTSDGIKVRVYCQVGKANQGEFALKVAVRTLELYKDYFAVPYPLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDEQHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSIFPEWRIWTQFLDELTDGLRLDGLAESHPIEVEINHAAEIDEIFDAISYRKGASVIRMLQSYLGAECFQRSLASYIKKHAYSNAKTEDLWAALEEGSGEPVTKLMNTWTKQKGYPVVSVKFKDQKLEFEQSQFFSSGSLGDGQWIVPITFCCGSYDKKKSFLLQTKSENYDVKEFASDSNKSAWIKLNVDQTGFYRVKYDEELAARLRYAIENKYLTPTDRFGILDDSFALCMARQLPLTSLLTLMGAYREELDYTVLSNLISITYKVGRIAADARPELMDNINQFFINIFQYSAEKLGWDATQGESHLDSMLRGEILTALAMLGHKETLSEASRRFDAFLKDRNTPLLPPDTRKVAAYVAVMQTVTSSNRAGFDSLLKVYRETDLSQEKIRILGSLPSCPDQGIISEVLKFSLSPEVRSQDAMYALAVSKEGREVAWTWFKENWDLILKTYGSGFLITRFVSAVVSPFASFEKVKEVEEFFANRSMPSIARTLKQSLERVNINANWVKSIQAEQNLGEAIQELAYRKY >KJB07343 pep chromosome:Graimondii2_0_v6:1:2046677:2053070:1 gene:B456_001G021800 transcript:KJB07343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFKGQPRLPKFAVPKRYDLQLKPDLSACKFAGSVSIDVDIVAETRFIVLNAAELSINPGSVSFSPRNSSKVFDPKKVELVEEDEILVLDFAEALPLGLGVLAIGFEGILNDRMKGFYRSTYEHNGEKKNMAVTQFEPADARRSFPCWDEPSFKAKFKITLDVPSELVALSNMPVIEEKVNGPLKTVSYQESPIMSTYLVAYVVGLFDYVEDHTSDGIKVRVYCQVGKANQGEFALKVAVRTLELYKDYFAVPYPLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDEQHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSIFPEWRIWTQFLDELTDGLRLDGLAESHPIEVEINHAAEIDEIFDAISYRKGASVIRMLQSYLGAECFQRSLASYIKKHAYSNAKTEDLWAALEEGSGEPVTKLMNTWTKQKGYPVVSVKFKDQKLEFEQSQFFSSGSLGDGQWIVPITFCCGSYDKKKSFLLQTKSENYDVKEFASDSNKSAWIKLNVDQTGFYRVKYDEELAARLRYAIENKYLTPTDRFGILDDSFALCMARQLPLTSLLTLMGAYREELDYTVLSNLISITYKVGRIAADARPELMDNINQFFINIFQYSAEKLGWDATQGESHLDSMLRGEILTALAMLGHKETLSEASRRFDAFLKDRNTPLLPPDTRKAAYVAVMQTVTSSNRAGFDSLLKVYRETDLSQEKIRILGSLPSCPDQGIISEVLKFSLSPEVRSQDAMYALAVSKEGREVAWTWFKVISLAQHEQNYC >KJB07345 pep chromosome:Graimondii2_0_v6:1:2048057:2053070:1 gene:B456_001G021800 transcript:KJB07345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFYRSTYEHNGEKKNMAVTQFEPADARRSFPCWDEPSFKAKFKITLDVPSELVALSNMPVIEEKVNGPLKTVSYQESPIMSTYLVAYVVGLFDYVEDHTSDGIKVRVYCQVGKANQGEFALKVAVRTLELYKDYFAVPYPLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDEQHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSIFPEWRIWTQFLDELTDGLRLDGLAESHPIEVEINHAAEIDEIFDAISYRKGASVIRMLQSYLGAECFQRSLASYIKKHAYSNAKTEDLWAALEEGSGEPVTKLMNTWTKQKGYPVVSVKFKDQKLEFEQSQFFSSGSLGDGQWIVPITFCCGSYDKKKSFLLQTKSENYDVKEFASDSNKSAWIKLNVDQTGFYRVKYDEELAARLRYAIENKYLTPTDRFGILDDSFALCMARQLPLTSLLTLMGAYREELDYTVLSNLISITYKVGRIAADARPELMDNINQFFINIFQYSAEKLGWDATQGESHLDSMLRGEILTALAMLGHKETLSEASRRFDAFLKDRNTPLLPPDTRKAAYVAVMQTVTSSNRAGFDSLLKVYRETDLSQEKIRILGSLPSCPDQGIISEVLKFSLSPEVRSQDAMYALAVSKEGREVAWTWFKENWDLILKTYGSGFLITRFVSAVVSPFASFEKVKEVEEFFANRSMPSIARTLKQSLERVNINANWVKSIQAEQNLGEAIQELAYRKY >KJB07341 pep chromosome:Graimondii2_0_v6:1:2046595:2053103:1 gene:B456_001G021800 transcript:KJB07341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFKGQPRLPKFAVPKRYDLQLKPDLSACKFAGSVSIDVDIVAETRFIVLNAAELSINPGSVSFSPRNSSKVFDPKKVELVEEDEILVLDFAEALPLGLGVLAIGFEGILNDRMKGFYRSTYEHNGEKKNMAVTQFEPADARRSFPCWDEPSFKAKFKITLDVPSELVALSNMPVIEEKVNGPLKTVSYQESPIMSTYLVAYVVGLFDYVEDHTSDGIKVRVYCQVGKANQGEFALKVAVRTLELYKDYFAVPYPLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDEQHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSIFPEWRIWTQFLDELTDGLRLDGLAESHPIEVEINHAAEIDEIFDAISYRKGASVIRMLQSYLGAECFQRSLASYIKKHAYSNAKTEDLWAALEEGSGEPVTKLMNTWTKQKGYPVVSVKFKDQKLEFEQSQFFSSGSLGDGQWIVPITFCCGSYDKKKSFLLQTKSENYDVKEFASDSNKSAWIKLNVDQTGFYRVKYDEELAARLRYAIENKYLTPTDRFGILDDSFALCMARQLPLTSLLTLMGAYREELDYTVLSNLISITYKVGRIAADARPELMDNINQFFINIFQYSAEKLGWDATQGESHLDSMLRGEILTALAMLGHKETLSEASRRFDAFLKDRNTPLLPPDTRKAAYVAVMQTVTSSNRAGFDSLLKVYRETDLSQEKIRILGSLPSCPDQGIISEVLKFSLSPEVRSQDAMYALAVSKEGREVAWTWFKENWDLILKTYGSGFLITRFVSAVVSPFASFEKVKEVEEFFANRSMPSIARTLKQSLERVNINANWVKSIQAEQNLGEAIQELAYRKY >KJB07344 pep chromosome:Graimondii2_0_v6:1:2046677:2053070:1 gene:B456_001G021800 transcript:KJB07344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFKGQPRLPKFAVPKRYDLQLKPDLSACKFAGSVSIDVDIVAETRFIVLNAAELSINPGSVSFSPRNSSKVFDPKKVELVEEDEILVLDFAEALPLGLGVLAIGFEGILNDRMKGFYRSTYEHNGEKKNMAVTQFEPADARRSFPCWDEPSFKAKFKITLDVPSELVALSNMPVIEEKVNGPLKTVSYQESPIMSTYLVAYVVGLFDYVEDHTSDGIKVRVYCQVGKANQGEFALKVAVRTLELYKDYFAVPYPLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDEQHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSIFPEWRIWTQFLDELTDGLRLDGLAESHPIEVEINHAAEIDEIFDAISYRKGASVIRMLQSYLGAECFQRSLASYIKKHAYSNAKTEDLWAALEEGSGEPVTKLMNTWTKQKGYPVVSVKFKDQKLEFEQSQFFSSGSLGDGQWIVPITFCCGSYDKKKSFLLQTKSENYDVKEFASDSNKSAWIKLNVDQTGFYRVKYDEELAARLRYAIENKYLTPTDRFGILDDSFALCMARQLPLTSLLTLMGAYREELDYTVLSNLISITYKVGRIAADARPELMDNINQFFINIFQYSAEKLGWDATQGESHLDSMLRGEILTALAMLGHKETLSEASRRFDAFLKDRNTPLLPPDTRKAAYVAVMQTVTSSNRAGFDSLLKVYRETDLSQEKIRILGSLPSCPDQGIISEVLKFSLSPEVRSQDAMYALAVSKEGREVAWTWFKENWDLILKTYGSGFLITRFVSAVVSPVCASLCATS >KJB09613 pep chromosome:Graimondii2_0_v6:1:21366852:21369544:1 gene:B456_001G152700 transcript:KJB09613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRIQPLHRRLVIGLLHQNTTFRSFTSVDLSTVDPSSPLLQYYTVTPPIKPWPQRLHPKRLVSMINRQQNLDLTLQIFLYAGKFHPNFCHNFDTYQSIIQKLSRARAFEPMESLISQLQNSQIKCGENLFITVIRNYGLASLPKLAVKTFLRIENFNVQRSVRSLNTLLNVLIQNKRYDLVHLMFKSSKTKFNVIPNVFTGNILIKALCQKNDVEAAYKVLDEMPAMGMVPNLVTYTTILGGYLARGDMRNGKRVFQELLDRGWIPDATTYTVLMDGYCKLGKFNEAVKVMDEMEENGVAPNEVTYGVMIEAFCKEKKSGEALNMFDDMLQRKYIPSSSLSCKVIDLLCEEGRVEEGCYLWKKMLKNDCLPDNAILSTLIHWLCKEGKVWEARKIFDEFEKGSVPSLLTYNTLISGMCEKGELSEAGKLWDDMVEKGCNPNVFTYNILIKGFCKIGNATEGIRILEEMLDKGCLPNKATYNILIEVLQGMGKEGEVEKVVSMAMSSGRVDGSSWDLFLTKIVGKLNNGVDLLHQLLESAN >KJB09937 pep chromosome:Graimondii2_0_v6:1:26125125:26125814:1 gene:B456_001G176100 transcript:KJB09937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLIQQVDTYLLELDVAQVKLLRRLVGVEWWQPPSGYCLKINFDADFHSLSKTSYAEVVIRNRYGIVLGSHIVVYKHIHSTFVALPTTCLHAVRLGLALGFSYVIVEGDSLTVLRKVQSSRLDPPILGAYIRDIKCWAGCFRKCDFQHVLRVGNTVADLLAKEGLKVEMGSYMCEGVLDFVKRAVEYDRLSSL >KJB09370 pep chromosome:Graimondii2_0_v6:1:17946381:17947734:-1 gene:B456_001G137000 transcript:KJB09370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRSNSSCNNPPAQAQAVSELETLQKVHEEKTQKIQHLNTQIQALKLHLHMKKKKNDNLDEKKQAFHNLTHTYNTLREEYNALLGERSRDHNPNN >KJB10709 pep chromosome:Graimondii2_0_v6:1:43503379:43505836:-1 gene:B456_001G217300 transcript:KJB10709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPILILSSFVCLCLLLVLFRFLHRFWWTPVYIQYRLGSQGIKGPSYKFIHGNTKEMLKMRNEALSKPLGLLDDIFPRVLPHVYTWMNNYGKNHLSWRGGEPQLLITEPELIKEVLNNRDGSYLKASLPFYSMKLMGNGLATSEGEQWVKHRKLINPAFQGENLGKMIPEMIVSVELMLQSWKKYQAKEIEVSEEFRLLTSDIISRTAFGSNYLEGKTIFDMMTKYSNIIRRNIFKPRFPGLSKIWKTSDEIEGDKLLGVMHNSVMEIIKKREEKVKLGDIDCYGTDFLGLLLKAYHDVDENKRILVQDLIDECKTFYIAGQETINSLLSWTVLLLAIHTDWQDKAREEVIELFGHQNPHPNDLSKLHTISMIINEALRLYPPTVAFIRRSEREVRLGKFILPANIQLFISNLVVHRDPGIWGDDAHLFKPERFSGGVAKATSNNVAAYFPFGIGPRTCVGFNFATLGAKIVLIMILQRYRFTFSPTYVHSPVINFLLVPQHGIQVILHSLQSDEGPS >KJB10442 pep chromosome:Graimondii2_0_v6:1:38066176:38067888:1 gene:B456_001G201200 transcript:KJB10442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEVNNPPSLPSYPQLIINAIEALNDKNGSNKTSISKYIESKYGDLAAGHSTLLSHHLNIMKESGELVFWKNNYMKADPNAPPRRGRGRPPKPKVPLPPGMVLSSARPRGRPPKNPNAPLRFPKPSGSGKPRGRPRKMARPEGGIAASSTTMMSASVRPRGRPPKVKTSAFTEVSVGH >KJB07558 pep chromosome:Graimondii2_0_v6:1:2786004:2799874:1 gene:B456_001G029800 transcript:KJB07558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTKALKEEGGEGGQEKEVLLDGSNGSSSSGDANTKGAPKHRHTPTASYGGDEKGIYEIFGWVYHIGANSIGHEYCHLRFLFIKGKYVMMYKRDPHENPGIKPIRKGVIGPTLMVEELGRRKVNDGDLYVIQFYNRLDESKKGEIACPTAGEARKWMEAFDHAKQQAEYELSRGGSTRNKLNMEADIDLDGHRPRVRRYAHGLKNLIRIGKGPEMLLRQTSNLGGSGTSNRYFEGEFGDAIEAHEWKCVRTVNGIRIFEDVADSKRGKGALVKAVGLVDASADTAFEVILNLERRKRYEWDMLTADLELIDSYDGHYDVVYGTYDPKYLTRWQSKRDFVFTRQWFRGQDGAYTILQLPAVHKKRPSRSGYRRTPINRLKEYIGANPSLSFESSATVVQSKLSDVSTSSSEFEDLEVQDEFYDAIAGDSTSSSEDEESEDDTEKKKFKLKNVSWAISSLALKRVSASDANKELDPTVPSVHVDASQFSGSLHKGRDETDSNCWTSPSGTGFMIRGKTYLKDNAKIMGSDPLLKLIAVDWFKVDTATDKIALHPRSLVQSDAGKKLPFILVINLEVPAKPNYSLVLYYAAERPVNKNSLLGRFVDGTDMFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFRQDNFLEIDVDIGSSSVARSVIGLVLGYVTSLVVDLAILIEGKEEAELPEYVLGTVRLNRVKPESAVPLKA >KJB07557 pep chromosome:Graimondii2_0_v6:1:2785881:2799874:1 gene:B456_001G029800 transcript:KJB07557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTKALKEEGGEGGQEKEVLLDGSNGSSSSGDANTKGAPKHRHTPTASYGGDEKGIYEIFGWVYHIGANSIGHEYCHLRFLFIKGKYVMMYKRDPHENPGIKPIRKGVIGPTLMVEELGRRKVNDGDLYVIQFYNRLDESKKGEIACPTAGEARKWMEAFDHAKQQAEYELSRGGSTRNKLNMEADIDLDGHRPRVRRYAHGLKNLIRIGKGPEMLLRQTSNLGGSGTSNRYFEGEFGDAIEAHEWKCVRTVNGIRIFEDVADSKRGKGALVKAVGLVDASADTAFEVILNLERRKRYEWDMLTADLELIDSYDGHYDVVYGTYDPKYLTRWQSKRDFVFTRQWFRGQDGAYTILQLPAVHKKRPSRSGYRRTPINPSTWEVRSLNSPMGSNTTKCLVTHMLEIHSSGWLRWKKNSSSKFEQTIPYALLSQVAGLKEYIGANPSLSFESSATVVQSKLSDVSTSSSEFEDLEVQDEFYDAIAGDSTSSSEDEESEDDTEKKKFKLKNVSWAISSLALKRVSASDANKELDPTVPSVHVDASQFSGSLHKGRDETDSNCWTSPSGTGFMIRGKTYLKDNAKIMGSDPLLKLIAVDWFKVDTATDKIALHPRSLVQSDAGKKLPFILVINLEVPAKPNYSLVLYYAAERPVNKNSLLGRFVDGTDMFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFRQDNFLEIDVDIGSSSVARSVIGLVLGYVTSLVVDLAILIEGKEEAELPEYVLGTVRLNRVKPESAVPLKA >KJB10230 pep chromosome:Graimondii2_0_v6:1:31488558:31491189:-1 gene:B456_001G190700 transcript:KJB10230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDQEEEETPPATPTTTASAARKDQEEETWNHQKQILILELSEKLITGDLQAKIEAARDIRKVVRKSSVKTRSKFASAGVIQPLVFMLLSPNLDAREASLLALLNLAVRNERNKVNIVTAGAVPPLVELLKLQNSGLRELATAAILTLSAAAPNKPTIAASGAAPLLVQILSLGSVQGRVDAVTALHNLSTCKENSTPILDAKAVSPLINLLKECKKYSKFAEKATALLEILSMSEEGRVAICDSDGGILTLVETVEDGSLISTQHAVGALLSLCQSCREKYRELILKEGAIPGLLRLTVEGTSIAQERARTLLDLLRDSPQEKKLASSVLEKIVYDIATRADGADKAAETAKRLLEDMVQRSMELSMNRIQHRAASCTPAKVPSA >KJB11377 pep chromosome:Graimondii2_0_v6:1:52662943:52667418:1 gene:B456_001G255000 transcript:KJB11377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLAAIAELFGGNAGIEELEAFYPIRRDCLADIPKTRFRPRVGKTLSARRWHAAFSDDGHLDIEKVLRRIQRGGIHPSIKGFVWEFLLGCFDPNSTFDDRNQLREQRRERYAMWKTECQNMVPVIGSGKYITRPIITDDGQPIEGEDCHVTSAVSDKKVAHWMLFLHQIGLDVFRTDRALVFYEDEANQAKLWDILAIYSWVDDDIGYVQGMNDICSPMVILLENEADAFWCFEHAMRRLRENFRCSTSSIGVQSQLGILSQVIKTVDPKLHQHLEDLDGGEYLFAFRMLMVLFRREFSFVDALYLWEVMWAMEYNPNIFSLYEQPDAALDSNSTQTLHAKELKRYGKFQRKNLQNGHMDKNCALSVFLVASVLETKNRQILKDAKGLDDVVTILGEITGNLDAKKACQNALKIQDKYLKKAKKS >KJB11376 pep chromosome:Graimondii2_0_v6:1:52663444:52667418:1 gene:B456_001G255000 transcript:KJB11376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLAAIAELFGGNAGIEELEAFYPIRRDCLADIPKTRFRPRVGKTLSARRWHAAFSDDGHLDIEKVLRRIQRGGIHPSIKGFVWEFLLGCFDPNSTFDDRNQLREQRRERYAMWKTECQNMVPVIGSGKYITRPIITDDGQPIEGEDCHVTSAVSDKKVAHWMLFLHQIGLDVFRTDRALVFYEDEANQAKLWDILAIYSWVDDDIGYVQGMNDICSPMVILLENEADAFWCFEHAMRRLRENFRCSTSSIGVQSQLGILSQVIKTVDPKLHQHLEDLDGGEYLFAFRMLMVLFRREFSFVDALYLWEVMWAMEYNPNIFSLYEQPDAALDSNSTQTLHAKELKRYGKFQRKNLQNGHMDKNCALSVFLVASVLETKNRQILKDAKGLDDVVTILGEITGNLDAKKACQNALKIQDKYLKKAKKS >KJB08701 pep chromosome:Graimondii2_0_v6:1:11095790:11101910:1 gene:B456_001G098700 transcript:KJB08701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MKNTKATTLSQPLTKKFHVDDYLSSFGEKYGTYGIVSAAIAAVIVPILLSSIMRKKKGKKRGVPVEVGGETGYAVRNARTTELLQCPWEGATTIAELFEQCCKKYSRNHYLGTRKVIKKDFVTASDGRKFEKLQLGDYEWQTYGEVYQRACHFASGLVNFGHDVDTRIAIFSETRAEWQIALQGCLRQNITVVTIYASLGEDALTHSLNETQVTTLICESKQLKKLAAIRPSLETISSIIYFEDNEAANISGVFGSMSSLSVSSFHEVELLGERAPVPPSLPSKDNIAVIMYTSGSTGLPKGVMITHGNIVALAAAVLTVIPGIGKNDVYLAYLPLAHVLELAAESVMLSAGCAIGYGSPLTLTDTSSKIMRGTKGDASVLRPTLMAAVPAILDRVRDGVLKKVEEKGGLARKLFDIAYKRRLQSIEGSWFGAWGLERWLWDVIVFERVHAALGGRLRLMLSGGAPLSANSQRFINICMGAPVCQGYGLTETCAGSAFTEWDDTTIGRVGPPVPCCYLKLVNWEEGGYSISDKPMPRGEVVVGGHSVTHGYFNNPEKTDEVYKVDERGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALTSSKFVDNLMVHADPFHSYCVALIVPSRDVLEKWAVEAGIKYQDFPELCGKAETVSEVQKSLSKVGKDAKLDKFEIPAKIKLMAEPWTPESGLVTAALKIKREQIKSKFKDDLQKLYQ >KJB08702 pep chromosome:Graimondii2_0_v6:1:11096079:11101910:1 gene:B456_001G098700 transcript:KJB08702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MKNTKATTLSQPLTKKFHVDDYLSSFGEKYGTYGIVSAAIAAVIVPILLSSIMRKKKGKKRGVPVEVGGETGYAVRNARTTELLQCPWEGATTIAELFEQCCKKYSRNHYLGTRKVIKKDFVTASDGRKFEKLQLGDYEWQTYGEVYQRACHFASGLVNFGHDVDTRIAIFSETRAEWQIALQGCLRQNITVVTIYASLGEDALTHSLNETQVTTLICESKQLKKLAAIRPSLETISSIIYFEDNEAANISGVFGSMSSLSVSSFHEVELLGERAPVPPSLPSKDNIAVIMYTSGSTGLPKGVMITHGNIVALAAAVLTVIPGIGKNDVYLAYLPLAHVLELAAESVMLSAGCAIGYGSPLTLTDTSSKIMRGTKGDASVLRPTLMAAVPAILDRVRDGVLKKVEEKGGLARKLFDIAYKRRLQSIEGSWFGAWGLERWLWDVIVFERVHAALGGRLRLMLSGGAPLSANSQRFINICMGAPVCQGYGLTETCAGSAFTEWDDTTIGRVGPPVPCCYLKLVNWEEGGYSISDKPMPRGEVVVGGHSVTHGYFNNPEKTDEVYKVDERGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALTSSKFVDNLMVHADPFHSYCVALIVPSRDVLEKWAVEAGIKYQDFPELCGKAETVSEVQKSLSKVGKDAKLDKFEIPAKIKLMAEPWTPESGLVTAALKIKREQIKSKFKDDLQKLYQ >KJB09102 pep chromosome:Graimondii2_0_v6:1:15379141:15382352:1 gene:B456_001G123000 transcript:KJB09102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDSGEKVASETEGSSGDPSPIGSRSSHPPPPPAPPPKPSSENLNSRRFASGSPNPVRAGSSRRAVAWPIVSTRTSPSESWPSSPRSHGENEGYNSADEQSPCFVSSYGDAERERQFEIDIRLAKGLEVKRMLEDGNCLFRAVADQVHGDFEAYHLVHQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGSNVEIQALSEMYNRPIHIYSYSIADILG >KJB09099 pep chromosome:Graimondii2_0_v6:1:15378720:15381990:1 gene:B456_001G123000 transcript:KJB09099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDSGEKVASETEGSSGDPSPIGSRSSHPPPPPAPPPKPSSENLNSRRFASGSPNPVRAGSSRRAVAWPIVSTRTSPSESWPSSPRSHGENEGYNSADEQSPCFVSSYGDAERERQFEIDIRLAKGLEVKRMLEDGNCLFRAVADQVHGDFEAYHLVHQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKV >KJB09100 pep chromosome:Graimondii2_0_v6:1:15378654:15381990:1 gene:B456_001G123000 transcript:KJB09100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDSGEKVASETEGSSGDPSPIGSRSSHPPPPPAPPPKPSSENLNSRRFASGSPNPVRAGSSRRAVAWPIVSTRTSPSESWPSSPRSHGENEGYNSADEQSPCFVSSYGDAERERQFEIDIRLAKGLEVKRMLEDGNCLFRAVADQVHGDFEAYHLVHQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKV >KJB09101 pep chromosome:Graimondii2_0_v6:1:15379141:15380794:1 gene:B456_001G123000 transcript:KJB09101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDSGEKVASETEGSSGDPSPIGSRSSHPPPPPAPPPKPSSENLNSRRFASGSPNPVRAGSSRRAVAWPIVSTRTSPSESWPSSPRSHGENEGYNSADEQSPCFVSSYGDAVSSLFWIIKSCVSYFIFLWWKII >KJB11592 pep chromosome:Graimondii2_0_v6:1:54471119:54475022:-1 gene:B456_001G267600 transcript:KJB11592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSTCLMQPFSYTAGLPNEAKEGNPIHGLGQSISFGRFMSESLAWEKWSTFSHNKYVEEAERYARPGSVAQKKAFFEAHYKTLAARKAAALLEQANAAAATNATESEAQNPNPQMAETGSIYDCKENNSEFVKVQSSSVDEPQVLLENNMKNEAFEKNGVVVDKAEITDLEVKETTQVKNNCVKVNQSRLLGDDKELELSEGTQMEKPLLKGGKTNEDEFEVTSRMKPSQSSSKVFANARTSKMPSSPAKFKAPLRPNNGNNLTPMTKKSAMDISERKRSTPKSSHKSINFTHAKEFSKFTSTIIRKIDGSRIDSNSKASKDCPTPLRTPNQVSISGKLKQSSATPWSENQSARTPVNSSASVSKTARGKWNFLHTDCSKILTACRNKSQSPGIFASFNLRTEERAARRKQARLEEKFNVIQEQKVQQQTTLKDKAGTEFKKLRQSFCFKARPLPDFYKERTPKDQIQKVPLTKPESPGIGRKSTPCKASIVESKSSVPPHRKSSIKNSCFMHVSEKKNRTSARSLASRIAMSAHENTSPNIQHKV >KJB11593 pep chromosome:Graimondii2_0_v6:1:54471119:54475095:-1 gene:B456_001G267600 transcript:KJB11593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSTCLMQPFSYTAGLPNEAKEGNPIHGLGQSISFGRFMSESLAWEKWSTFSHNKYVEEAERYARPGSVAQKKAFFEAHYKTLAARKAAALLEQANAAAATNATESEAQNPNPQMAETGSIYDCKENNSEFVKVQSSSVDEPQVLLENNMKNEAFEKNGVVVDKAEITDLEVKETTQVKNNCVKVNQSRLLGDDKELELSEGTQMEKPLLKGGKTNEDEFEVTSRMKPSQSSSKVFANARTSKMPSSPAKFKAPLRPNNGNNLTPMTKKSAMDISERKRSTPKSSHKSINFTHAKEFSKFTSTIIRKIDGSRIDSNSKASKDCPTPLRTPNQVSISGKLKQSSATPWSENQSARTPVNSSASVSKTARGKWNFLHTDCSKILTACRNKSQSPGIFASFNLRTEERAARRKQRLEEKFNVIQEQKVQQQTTLKDKAGTEFKKLRQSFCFKARPLPDFYKERTPKDQIQKVPLTKPESPGIGRKSTPCKASIVESKSSVPPHRKSSIKNSCFMHVSEKKNRTSARSLASRIAMSAHENTSPNIQHKV >KJB11591 pep chromosome:Graimondii2_0_v6:1:54471119:54475022:-1 gene:B456_001G267600 transcript:KJB11591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSTCLMQPFSYTAGLPNEAKEGNPIHGLGQSISFGRFMSESLAWEKWSTFSHNKYVEEAERYARPGSVAQKKAFFEAHYKTLAARKAAALLEQANAAAATNATESEAQNPNPQMAETGSIYDCKENNSEFVKVQSSSVDEPQVLLENNMKNEAFEKNGVVVDKAEITDLEVKETTQVKNNCVKVNQSRLLGDDKELELSEGTQMEKPLLKGGKTNEDEFEVTSRMKPSQSSSKVFANARTSKMPSSPAKFKAPLRPNNGNNLTPMTKKSAMDISERKRSTPKSSHKSINFTHAKEFSKFTSTIIRKIDGSRIDSNSKASKDCPTPLRTPNQVSISGKLKQSSATPWSENQSARTPVNSSASVSKTARGKWNFLHTENKSQSPGIFASFNLRTEERAARRKQRLEEKFNVIQEQKVQQQTTLKDKAGTEFKKLRQSFCFKARPLPDFYKERTPKDQIQKVPLTKPESPGIGRKSTPCKASIVESKSSVPPHRKSSIKNSCFMHVSEKKNRTSARSLASRIAMSAHENTSPNIQHKV >KJB06241 pep chromosome:Graimondii2_0_v6:1:47909487:47911726:1 gene:B456_001G239500 transcript:KJB06241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDCRFLDNSYFLKRVSIERVVGSYKWRSYRLATLLGITDKDHQYTHFWITFSFLVRYVENGSLANIIKPNKFGPFSESLVAVYIAQVLEGLVYLHE >KJB06240 pep chromosome:Graimondii2_0_v6:1:47909487:47911148:1 gene:B456_001G239500 transcript:KJB06240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDCRFLDNSYFLKRVSIERVVGSYKWRSYRLATLLGITDKDHQYTHFWITFSFLVRYVENGSLANIIKPNKFGPFSESLVAVYIAQVLEGLVYLHE >KJB07230 pep chromosome:Graimondii2_0_v6:1:902937:905245:-1 gene:B456_001G009400 transcript:KJB07230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASCHKHTCIMSKFQCFLYIVILVLLLNSAQTLCHTKGIKLKRKHHHKQSNVTGTHVSEQPFMQWVKFVGSLNHSVFRTAKNKLFPSRTITVDKNPKSGDFTKIQDAIDSLPFINLVRVVIKVHAGVYTEKVNIPPLKAFITIEGAGADKTIVQWGDTAQTPGARGQPLGTYGSATFAVNSPYFIAKHITFKNTAPIPAPGAIGKQAVAFRISADTATFVGCRFLGAQDTLYDHFGRHYYKDCYIEGSVDFIFGNALSLFEGCHVHAIARLTGAVTAQNRGSILDDTGFSFVKCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIILPKGWYNWGDPNREM >KJB07229 pep chromosome:Graimondii2_0_v6:1:901649:905369:-1 gene:B456_001G009400 transcript:KJB07229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFQCFLYIVILVLLLNSAQTLCHTKGIKLKRKHHHKQSNVTGTHVSEQPFMQWVKFVGSLNHSVFRTAKNKLFPSRTITVDKNPKSGDFTKIQDAIDSLPFINLVRVVIKVHAGVYTEKVNIPPLKAFITIEGAGADKTIVQWGDTAQTPGARGQPLGTYGSATFAVNSPYFIAKHITFKNTAPIPAPGAIGKQAVAFRISADTATFVGCRFLGAQDTLYDHFGRHYYKDCYIEGSVDFIFGNALSLFEGCHVHAIARLTGAVTAQNRGSILDDTGFSFVKCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIILPKGWYNWGDPNREMTVFYGQYKCTGPGASFAGRVSWSRELTDEEAKPFISLSFIDGSEWIKL >KJB09574 pep chromosome:Graimondii2_0_v6:1:20825735:20834762:-1 gene:B456_001G150300 transcript:KJB09574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHAVRYDPFDYHPRVTLQDQALKPHIFCKLQGLHTCNGFNGKDKGNEATCMNKSSQNHSTIFSRNHLCAGCIRSGSEAHIKVHLDHINLRWLSFGCITISWLRMDI >KJB11551 pep chromosome:Graimondii2_0_v6:1:54084789:54089479:1 gene:B456_001G264700 transcript:KJB11551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPKHYRPPGKKKEGNAARYVTRSQAIKILQVSLSDFRKLCIHKGVFPREPKKKVKGNHHTYYHLKDVMYILHDPLLEKFREIRAYQRKIKKAKAKKNDELAKLLLSRAPSYRLDMVIRDRYPTFIDALRDLDDPLTMVHLFAMLPAIDRLKIEVKRIHNCRRLCHEWQAYISRTHKLRKVFVAVKGIYYQAEVDGQKITWLAPHARQQVLTDDVDFNVMLTFLEFYEALLGFVNFQLYHSINVKYPPILDPRLEALAADLYALSRYFDANHRAAIQEPQVAGSSRSEQEQEESDLRLAQLQHQLPANEPGALMHLVQDASSEIEEDETTRNCKNLFQNKKIFLSREVPRESLLFVIPAFGGIVSWEGDGAPFAESDDSITHQIVDRPTQGHVYLSREYVQPQWVYDCINARIILPTEPYMVGRDPPPHLSPFVDDEAEGYVPDYAKTIRQLQAAAKSDIQPLPGTGNDELDNSQNMLAEGFINRTEAMEAAEKKQEMMFLEKQYHNELKMELQGVSSINKLNSEDTESKEQSLPDVEEQSAEDPSRLMMSRKKRGLYKAIEMGKQQKKERVEKLKERKRNIEAAQKSEKKHKKAQVS >KJB10296 pep chromosome:Graimondii2_0_v6:1:33326388:33327465:1 gene:B456_001G194800 transcript:KJB10296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSYSPNGCFANSDIGNPIKEIERMSVEVLDCATIVNFVEDEEAFNISIRERFAHLDTNHDGLLSYTELSKDLQSLRVLETHFGIDIKTDPKELAHVYESLFEQFDHDSNGTVDLEEFKLETKRMMLAMANGMGFLPVQMVLEEGSLLKIAVEHESTKLIAA >KJB11209 pep chromosome:Graimondii2_0_v6:1:49390586:49392882:-1 gene:B456_001G247300 transcript:KJB11209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAASPSAIMIKTYKGKLPLSVITVIVCAFAFLALLYTQSLTFLSSNYILKSKSCARRGAVVEANDHRTAEENVEILEIDDRFEFDPEECDIGKGKWVFNRSIKPLYTDTSCPYLDRQFSCVKNGRLDFDYHHWEWQPEDCDLPRFHPELALQKLRGKRLLFAGDSLQRNQWESFVCMVEWTIPPEKKSMKRGKVHSVFKAKEYNATIEFYWAPFLIESNTDIDVLDPKKRILKIDSVAKHSKHWEGADILAFNTYVWWMSGLRLKTLWGSFVNGDEGYAELDTAVAYQIGLKTWANWIDSTLNPNKTRVFFTTISPIHTRSEEWGKTDGLKCFNETKPVKKLWGSGSDKEMMSVVVGVLKKMKVAVTVVNITQLSEYRVDAHSSIYTETGGRLLNDEEKADPGRHADCIHWCLPGVPDTWNRIFLAHL >KJB11141 pep chromosome:Graimondii2_0_v6:1:48352484:48355383:1 gene:B456_001G242900 transcript:KJB11141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLKMTMKGGGGDHVGGCDKEKMGFEETELRLGLPGGGGGGGDGEVVRKRGFSETVDLKLNLSSKQDTSGIDPNDEKVKGLHQEKNLLLSAIDPAKPPAKAQVVGWPPVRSFRKNMLAATTQKSSSEESGEKAALVKVSMDGAPYLRKVDLRMYTSYHQLSDALAKMFSSFTIGNCGSQGIKDFMNESKLMDLLNGSDYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGTEAIGLAPKAVEKCKKRS >KJB11144 pep chromosome:Graimondii2_0_v6:1:48352833:48355383:1 gene:B456_001G242900 transcript:KJB11144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLKMTMKGGGGDHVGGCDKEKMGFEETELRLGLPGGGGGGGDGEVVRKRGFSETVDLKLNLSSKQDTSGIDPNDEKVKGLHQEKNLLLSAIDPAKPPAKAQVVGWPPVRSFRKNMLAATTQKSSSEESGEKAALVKVSMDGAPYLRKVDLRMYTSYHQLSDALAKMFSSFTIGNCGSQGIKDFMNESKLMDLLNGSDYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGTEAIGLAPKAVEKCKKRS >KJB11145 pep chromosome:Graimondii2_0_v6:1:48352833:48355383:1 gene:B456_001G242900 transcript:KJB11145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLKMTMKGGGGDHVGGCDKEKMGFEETELRLGLPGGGGGGGDGEVVRKRGFSETVDLKLNLSSKQDTSGIDPNDEKVKGLHQEKNLLLSAIDPAKPPAKAQVVGWPPVRSFRKNMLAATTQKSSSEESGEKAALVKVSMDGAPYLRKVDLRMYTSYHQLSDALAKMFSSFTIGNCGSQGIKDFMNESKLMDLLNGSDYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGTEAIGLENYMLIIAAPKAVEKCKKRS >KJB11143 pep chromosome:Graimondii2_0_v6:1:48353019:48354643:1 gene:B456_001G242900 transcript:KJB11143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLKMTMKGGGGDHVGGCDKEKMGFEETELRLGLPGGGGGGGDGEVVRKRGFSETVDLKLNLSSKQDTSGIDPNDEKVKGLHQEKNLLLSAIDPAKPPAKAQVVGWPPVRSFRKNMLAATTQKSSSEESGEKAALVKVSMDGAPYLRKVDLRMYTSYHQLSDALAKMFSSFTIGNCGSQGIKDFMNESKLMDLLNGSDYVPTYEDKDGDWMLVGDVPWEYTPYPN >KJB11142 pep chromosome:Graimondii2_0_v6:1:48353019:48354643:1 gene:B456_001G242900 transcript:KJB11142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLKMTMKGGGGDHVGGCDKEKMGFEETELRLGLPGGGGGGGDGEVVRKRGFSETVDLKLNLSSKQDTSGIDPNDEKVKGLHQEKNLLLSAIDPAKPPAKAQVVGWPPVRSFRKNMLAATTQKSSSEESGEKAALVKVSMDGAPYLRKVDLRMYTSYHQLSDALAKMFSSFTIGNCGSQGIKDFMNESKLMDLLNGSDYVPTYEDKDGDWMLVGDVPWEYTPYPN >KJB11146 pep chromosome:Graimondii2_0_v6:1:48352833:48355383:1 gene:B456_001G242900 transcript:KJB11146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLKMTMKGGGGDHVGGCDKEKMGFEETELRLGLPGGGGGGGDGEVVRKRGFSETVDLKLNLSSKQDTSGIDPNDEKVKGLHQEKNLLLSAIDPAKPPAKAQVVGWPPVRSFRKNMLAATTQKSSSEESGEKAALVKVSMDGAPYLRKVDLRMYTSYHQLSDALAKMFSSFTIGNCGSQGIKDFMNESKLMDLLNGSDYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGTEAIGLGYTKSCGEMQEEKLKEVGVVNRATCSFDLVGRLLHACTSSSSSS >KJB09854 pep chromosome:Graimondii2_0_v6:1:24513587:24518814:1 gene:B456_001G170900 transcript:KJB09854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVKEQKDGSSLSYYTCPESGQKFNTYEDLMRYVNYAKAAKLSIYSPNFCPRKPPRKPKKKASTPEVAQNVAEKDKDSDSDSDSSDSTFELPPIASLEFLDEWSSAESDKQSASGKKKLEKNHASNEGCSSGNTGKAKKQKK >KJB09855 pep chromosome:Graimondii2_0_v6:1:24515252:24518743:1 gene:B456_001G170900 transcript:KJB09855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKDSSRPIPDRWMLVVKEQKDGSSLSYYTCPESGQKFNTYEDLMRYVNYAKAAKLSIYSPNFCPRKPPRKPKKKASTPEVAQNVAEKDKDSDSDSDSSDSTFELPPIASLEFLDEWSSAESDKQSASGKKKLEKNHASNEGCSSGNTGKAKKQKK >KJB11442 pep chromosome:Graimondii2_0_v6:1:53460481:53467539:-1 gene:B456_001G259600 transcript:KJB11442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDGILGNGDSKLGKSSIPRRRQWVKRRETWLVILGVILHAVYMLSIFDIYFKTPIVHGMDLVSPRFSPPAKRLVLLVADGLRADKFFEPDLEGNFRAPFLRNVIKNQGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTISYGSPDIVPIFCGALPHSTWATYPHEFEDFATDASFLDEWSFDQFQSLLNRSNEDPKLKRLLEQDNLVVFLHLLGCDSNGHAHRPFSSIYLNNVKVVDHIAERVYNLLENYYKDNRTSYIFTADHGMSDKGSHGDGHPSNTDTPLVVWGAGVKHPRPVTAKDHSDHVLRFIDQHLHDTPTPKEWDLDGIERVDVNQADIAPLMSTLLGLPCPVNSVGNLPLGYVDMKEEEEVEAVLANTKQILNQFLRKSQIKQSHSLFFKPFKPLASYSSMLNQIEELLSARDYKAAMQLSENLRSLALKGLHYFQTYDLLMLMAMITLGYISWMVFLVLHVLQAYTLLPGDIFRKEEAVRQKSNTGKAQLCGCLFMAVVSVLLFLERSPPLYHAYFAMTIFLWTQILNEYKFIKALWRYLRGRESDYVIKLLALVVVSVIILELLVHSFTERKLYTWCFLIVGAIASIYLYKSIPWRSGIPVFVCLTCWFLSLFTLMPAEIPDNNKLVNASGVMVIVIGLTGKWLDLKAGVNRFWFGICNHEKRQPRFPMLFQLQALLVGLSSVMVFLSTSHRTVKQELHTIHQLMNWFIAGFSMILPLFSENGLLSRLNSIFLGFAPPFLLLSIGYEAVFYGALGLVLIAWILFENSLLYVRKVNKSSASGKNLGEHAFLENDTRYLQLSDMRIPLTFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAGLLIFKLFIPFLLVICAFSAITKLLEVPRIGCYFLVILCSDVMTVHFFFLVLNFFRNKVWRSRFY >KJB11445 pep chromosome:Graimondii2_0_v6:1:53460195:53466194:-1 gene:B456_001G259600 transcript:KJB11445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFHLYDFHSCSMLISIDVNADASFLDEWSFDQFQSLLNRSNEDPKLKRLLEQDNLVVFLHLLGCDSNGHAHRPFSSIYLNNVKVVDHIAERVYNLLENYYKDNRTSYIFTADHGMSDKGSHGDGHPSNTDTPLVVWGAGVKHPRPVTAKDHSDHVLRFIDQHLHDTPTPKEWDLDGIERVDVNQADIAPLMSTLLGLPCPVNSVGNLPLGYVDMKEEEEVEAVLANTKQILNQFLRKSQIKQSHSLFFKPFKPLASYSSMLNQIEELLSARDYKAAMQLSENLRSLALKGLHYFQTYDLLMLMAMITLGYISWMVFLVLHVLQAYTLLPGDIFRKEEAVRQKSNTGKAQLCGCLFMAVVSVLLFLERSPPLYHAYFAMTIFLWTQILNEYKFIKALWRYLRGRESDYVIKLLALVVVSVIILELLVHSFTERKLYTWCFLIVGAIASIYLYKSIPWRSGIPVFVCLTCWFLSLFTLMPAEIPDNNKLVNASGVMVIVIGLTGKWLDLKAGVNRFWFGICNHEKRQPRFPMLFQLQALLVGLSSVMVFLSTSHRTVKQELHTIHQLMNWFIAGFSMILPLFSENGLLSRLNSIFLGFAPPFLLLSIGYEAVFYGALGLVLIAWILFENSLLYVRKVNKSSASGKNLGEHAFLENDTRYLQLSDMRIPLTFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAGLLIFKLFIPFLLVICAFSAITKLLEVPRIGCYFLVILCSDVMTVHFFFLVKNTGSWMEIGNSISHFGIMSAQVVFVLLLFALTNIYTKDIEIRSGSRDSRKVM >KJB11444 pep chromosome:Graimondii2_0_v6:1:53459994:53467568:-1 gene:B456_001G259600 transcript:KJB11444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDGILGNGDSKLGKSSIPRRRQWVKRRETWLVILGVILHAVYMLSIFDIYFKTPIVHGMDLVSPRFSPPAKRLVLLVADGLRADKFFEPDLEGNFRAPFLRNVIKNQGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTISYGSPDIVPIFCGALPHSTWATYPHEFEDFATDASFLDEWSFDQFQSLLNRSNEDPKLKRLLEQDNLVVFLHLLGCDSNGHAHRPFSSIYLNNVKVVDHIAERVYNLLENYYKDNRTSYIFTADHGMSDKGSHGDGHPSNTDTPLVVWGAGVKHPRPVTAKDHSDHVLRFIDQHLHDTPTPKEWDLDGIERVDVNQADIAPLMSTLLGLPCPVNSVGNLPLGYVDMKEEEEVEAVLANTKQILNQFLRKSQIKQSHSLFFKPFKPLASYSSMLNQIEELLSARDYKAAMQLSENLRSLALKGLHYFQTYDLLMLMAMITLGYISWMVFLVLHVLQAYTLLPGDIFRKEEAVRQKSNTGKAQLCGCLFMAVVSVLLFLERSPPLYHAYFAMTIFLWTQILNEYKFIKALWRYLRGRESDYVIKLLALVVVSVIILELLVHSFTERKLYTWCFLIVGAIASIYLYKSIPWRSGIPVFVCLTCWFLSLFTLMPAEIPDNNKLVNASGVMVIVIGLTGKWLDLKAGVNRFWFGICNHEKRQPRFPMLFQLQALLVGLSSVMVFLSTSHRTVKQELHTIHQLMNWFIAGFSMILPLFSENGLLSRLNSIFLGFAPPFLLLSIGYEAVFYGALGLVLIAWILFENSLLYVRKVNKSSASGKNLGEHAFLENDTRYLQLSDMRIPLTFMVLFNVAFFGTGNFASIASFEISSVYRFITVFTLSDGRTPHLQVIHTIPACYMCIQCNNQTTRSSKNRMLLPRYSLFRRDDSPLLLSGEEHGELDGNW >KJB11443 pep chromosome:Graimondii2_0_v6:1:53459847:53467629:-1 gene:B456_001G259600 transcript:KJB11443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDGILGNGDSKLGKSSIPRRRQWVKRRETWLVILGVILHAVYMLSIFDIYFKTPIVHGMDLVSPRFSPPAKRLVLLVADGLRADKFFEPDLEGNFRAPFLRNVIKNQGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTISYGSPDIVPIFCGALPHSTWATYPHEFEDFATDASFLDEWSFDQFQSLLNRSNEDPKLKRLLEQDNLVVFLHLLGCDSNGHAHRPFSSIYLNNVKVVDHIAERVYNLLENYYKDNRTSYIFTADHGMSDKGSHGDGHPSNTDTPLVVWGAGVKHPRPVTAKDHSDHVLRFIDQHLHDTPTPKEWDLDGIERVDVNQADIAPLMSTLLGLPCPVNSVGNLPLGYVDMKEEEEVEAVLANTKQILNQFLRKSQIKQSHSLFFKPFKPLASYSSMLNQIEELLSARDYKAAMQLSENLRSLALKGLHYFQTYDLLMLMAMITLGYISWMVFLVLHVLQAYTLLPGDIFRKEEAVRQKSNTGKAQLCGCLFMAVVSVLLFLERSPPLYHAYFAMTIFLWTQILNEYKFIKALWRYLRGRESDYVIKLLALVVVSVIILELLVHSFTERKLYTWCFLIVGAIASIYLYKSIPWRSGIPVFVCLTCWFLSLFTLMPAEIPDNNKLVNASGVMVIVIGLTGKWLDLKAGVNRFWFGICNHEKRQPRFPMLFQLQALLVGLSSVMVFLSTSHRTVKQELHTIHQLMNWFIAGFSMILPLFSENGLLSRLNSIFLGFAPPFLLLSIGYEAVFYGALGLVLIAWILFENSLLYVRKVNKSSASGKNLGEHAFLENDTRYLQLSDMRIPLTFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAGLLIFKLFIPFLLVICAFSAITKLLEVPRIGCYFLVILCSDVMTVHFFFLVKNTGSWMEIGNSISHFGIMSAQVVFVLLLFALTNIYTKDIEIRSGSRDSRKVM >KJB11446 pep chromosome:Graimondii2_0_v6:1:53460195:53466194:-1 gene:B456_001G259600 transcript:KJB11446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFHLYDFHSCSMLISIDVNADASFLDEWSFDQFQSLLNRSNEDPKLKRLLEQDNLVVFLHLLGCDSNGHAHRPFSSIYLNNVKVVDHIAERVYNLLENYYKDNRTSYIFTADHGMSDKGSHGDGHPSNTDTPLVVWGAGVKHPRPVTAKDHSDHVLRFIDQHLHDTPTPKEWDLDGIERVDVNQADIAPLMSTLLGLPCPVNSVGNLPLGYVDMKEEEEVEAVLANTKQILNQFLRKSQIKQSHSLFFKPFKPLASYSSMLNQIEELLSARDYKAAMQLSENLRSLALKGLHYFQTYDLLMLMAMITLGYISWMVFLVLHVLQAYTLLPGDIFRKEEAVRQKSNTGKAQLCGCLFMAVVSVLLFLERSPPLYHAYFAMTIFLWTQILNEYKFIKALWRYLRGRESDYVIKLLALVVVSVIILELLVHSFTERKLYTWCFLIVGAIASIYLYKSIPWRSGIPVFVCLTCWFLSLFTLMPAEIPDNNKLVNASGVMVIVIGLTGKWLDLKAGVNRFWFGICNHEKRQPRFPMLFQLQALLVGLSSVMVFLSTSHRTVKQELHTIHQLMNWFIAGFSMILPLFSENGLLSRLNSIFLGFAPPFLLLSIGYEAVFYGALGLVLIAWILFENSLLYVRKVNKSSASGKNLGEHAFLENDTRYLQLSDMRIPLTFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAGLLIFKLFIPFLLVICAFSAITKLLEVPRIGCYFLVILCSDVMTVHFFFLVKNTGSWMEIGNSISHFGIMSAQVVFVLLLFALTNIYTKDIEIRSGSRDSRKVM >KJB09939 pep chromosome:Graimondii2_0_v6:1:26161403:26162935:1 gene:B456_001G176300 transcript:KJB09939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLFCPSLIVFFSLWLTLISPSHSIDCSSLKLPGGIRHYSNCTELPTLNSTLHFTYNATNSSLFIAFSAAPSNADGWIAWAVNPTATGMAGSQALLAFKNKGSMVVKTYNISSYRSIVEGKLSFDVWDLEAEATNDGKMVIYGSLKVGGSVGKLNQVWQVGPGVSDGHPMKHEFGKANLGSWGDLKLVEKVSSGSSSPAPSPVPHNDSGDGSRAREIYAGFWILALVSWLVSVL >KJB08803 pep chromosome:Graimondii2_0_v6:1:11740499:11742665:-1 gene:B456_001G104800 transcript:KJB08803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHRTARNSGVGVGGAGATIGGFGFTVRLLASAITVAICFFFALSFFFIYHSHSPHLQTNLGFSTDSYGIGSTRRSVLALKSDPLKPRLDQIRKQADDHRSLVLAYASYARKLKLENSKLVRVFADLSRNYSDLINKPSYRALFETDSLSIDESVLRQFEKEVKERIKATRQMISEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLAMRLMEERIAHPEKYTDEGKPTPPEFEDPKLYHYAIFSDNVLAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQKFYFENTLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPTLHRILFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKQKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLVRFRCHSLVV >KJB08802 pep chromosome:Graimondii2_0_v6:1:11739260:11742665:-1 gene:B456_001G104800 transcript:KJB08802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHRTARNSGVGVGGAGATIGGFGFTVRLLASAITVAICFFFALSFFFIYHSHSPHLQTNLGFSTDSYGIGSTRRSVLALKSDPLKPRLDQIRKQADDHRSLVLAYASYARKLKLENSKLVRVFADLSRNYSDLINKPSYRALFETDSLSIDESVLRQFEKEVKERIKATRQMISEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLAMRLMEERIAHPEKYTDEGKPTPPEFEDPKLYHYAIFSDNVLAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQKFYFENTLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPTLHRILFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKQKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLNKSWHVLGLGYNPSISMDEISNAAVVHFNGNMKPWLDIAMNQFKPLWTKYVDYDLEFVQACNFGV >KJB08801 pep chromosome:Graimondii2_0_v6:1:11739260:11742183:-1 gene:B456_001G104800 transcript:KJB08801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLAMRLMEERIAHPEKYTDEGKPTPPEFEDPKLYHYAIFSDNVLAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQKFYFENTLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPTLHRILFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKQKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLNKSWHVLGLGYNPSISMDEISNAAVVHFNGNMKPWLDIAMNQFKPLWTKYVDYDLEFVQACNFGV >KJB09109 pep chromosome:Graimondii2_0_v6:1:15450461:15451783:1 gene:B456_001G123600 transcript:KJB09109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLRSVKIREVWSDNLESEFELISRVIDDFPFVSMDTEFPGLVFRPKVDLTRPYHEQLLRPSDHYKILKSNVDALNLIQVGLTLSDSSGNLPVLGTDDTQFIWQFNFCDFDVERDAHAPDSIELLRRQGVDFEKNKENGIDSARFAELTMSSGLVCNDSVSWVTFHSAYDFGYLVKILTRRDLPEGLDEFSRILRVFFGNKVYDVKHITRFCKSLYGGLDRLAQTLDVNRAVGKSHQAGSDSLLTWHTFQKMRDVYFVNEGPEKHAGVLYGLEVL >KJB09231 pep chromosome:Graimondii2_0_v6:1:16546716:16548593:1 gene:B456_001G130700 transcript:KJB09231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPSGAKVTKSAAKKK >KJB09232 pep chromosome:Graimondii2_0_v6:1:16546126:16548593:1 gene:B456_001G130700 transcript:KJB09232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPSGAKVTKSAAKKK >KJB11447 pep chromosome:Graimondii2_0_v6:1:53621532:53625063:-1 gene:B456_001G260900 transcript:KJB11447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKWVVFTLIFLVLFNGFSSVSATPPAKIVTSVVSNVVSVLVKWLLSLKSEPKTAVSTRSMMKFESGYTVETVFDGSKLGIEPFSVEVSPNGELLVLDAENSNIYKISMPLSRYSRPKLVAGSSEGYSGHVDGKPREARMNHPKGLTVDDSGNIYIADTMNMAIRKISESGVTTIAGGGKWSRGGGHVDGPSEDAKFSNDFDVVYIGSSCSLLVVDRGNLAIREIQLNDDDCSYQYDGSFHLGIAVLVAAAFFGYMLALLQRRVQAMFSSHDDSRTPIKRGAMVAPMAPYQRPPKSVRPPLIPAEDEPEKVEEGFFGSIGRLVLNTGSTMAEIFGGLFSGFRRKPQYYQLQHQYQQPTMHSRGWPMQESFVIPDEDEPPSLDTRTPTPKRSYPFPAKDLERKHHVKQSRPHYNGWDADYPQQQQMQTHQHHRQPPPQQQQPQQQQRLQKHYSTNSQTYYEKNCETNEIVFGAVQEQDGRREAVVIKAVDYGDTTYSHHNLRPRLNYMGYSHGY >KJB11448 pep chromosome:Graimondii2_0_v6:1:53621610:53623562:-1 gene:B456_001G260900 transcript:KJB11448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPKGLTVDDSGNIYIADTMNMAIRKISESGVTTIAGGGKWSRGGGHVDGPSEDAKFSNDFDVVYIGSSCSLLVVDRGNLAIREIQLNDDDCSYQYDGSFHLGIAVLVAAAFFGYMLALLQRRVQAMFSSHDDSRTPIKRGAMVAPMAPYQRPPKSVRPPLIPAEDEPEKVEEGFFGSIGRLVLNTGSTMAEIFGGLFSGFRRKPQYYQLQHQYQQPTMHSRGWPMQESFVIPDEDEPPSLDTRTPTPKRSYPFPAKDLERKHHVKQSRPHYNGWDADYPQQQQMQTHQHHRQPPPQQQQPQQQQRLQKHYSTNSQTYYEKNCETNEIVFGAVQEQDGRREAVVIKAVDYGDTTYSHHNLRPRLNYMGYSHGY >KJB07601 pep chromosome:Graimondii2_0_v6:1:3005149:3005573:1 gene:B456_001G032500 transcript:KJB07601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFATIWRIWLWRNSMVYNGKIFDHIQLFETIKIRLGWWCKAQRPTVAISLNDLLLAPPKKSVDNMPTFNVNASVLGSYGSAGIHGILRNHLGSSLVIFSKAIGVVDPVLAETIAIKEALKIFYASK >KJB09042 pep chromosome:Graimondii2_0_v6:1:14730346:14733381:1 gene:B456_001G121000 transcript:KJB09042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLLTCSSIISSSPPFLLLQSHTPKLTHFPQLNHITPQNSQHLKLTHFLKPHANPKPPLFYFNLHLQLYINAGFMQEARDLFDSMPERTLISWTILMSGYAKHGPSTEALALFKEMLSTGDNHKTRLRPDSYVYAVVLRSCGEMRELGFGKGVHGQVLKKGEAFLDGFLENSLVNMYSSCGQLEDAVLIFDGIEKPGLVAWSSMLSAYVKNGFEKEGLSVFLDMVSKGIKLDAFVFSMVIKACSNLEELNLGIQVHGLMVKKGFGKGSCLFLDNSLMDFYAKCKDLKGLRKVFDQLHEKDLVSWNTLIMGYVHNFYYFEALRNFRVLMHDICYCDDFTITSILKAISSLHDMGYGRQVHGYIVRTGLASNSYVMCSLLDMYIECIEHESWEQWEKVPLKVYAGLERGEANECIIIASMLKWCSLLSNLDAGKVFHSLANKLAVDSDPYVISALIDMYSKCGVPEAALRVFERVENPGTVTWSALISGLSWNGWFVEALTCFNKMQLNGIEANEFTLTSVILACVALGDLRKGRELHCKILKTCYESNVSIVNMLINLYSELSDHQQALKLCSLVSDAEISWNLLIQASLKANDYEMIHKLLGRIQSCFGYLEPISVCDIFRSCSSPVLLNMGMQAQAYMTKRGLLSHPTSGNGLIQMYSGCGQIAEADLVFESMPEKSSPCWTSIISAKVEHGHPSEALTLFNKMRRRNKLVDSSTLKSILKACSQMGRVDEARSLLMSMEVVYGVKPSEEHYSCVIEAFTRAGMLEELENFINEVVVDKNDTKIWNTVLSSARVIGNMDMAKFALEKLLEIDPNDCFANLMLGKVLVMFGKWKDASKMKTLGPNSSCIEVQNKIFEFVSDQKPSEEVLHKLGEIEREMEELGYVEDRNHLLHDAEEEEYDGAGLGHTEMKAIAFGLLSLPHRTPVRVIKSVRMCGTCHHACKFMSTFVDRELVVKDNCTFHHFRDGKCSCQDSW >KJB07755 pep chromosome:Graimondii2_0_v6:1:4147526:4148226:-1 gene:B456_001G043900 transcript:KJB07755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLGLSTPIFPFKMLDLNSYGYELREGLGPFGINVNNNCDSCSSSCSSSSSGGADDGGGGTKKRRLLDEENRIVPKTLPLLFWTNQRPNDEDEPKDVDDHSSSAIFKNDGEGLVGWPPVKAWRKKVRRRVANVRAAVENSCGGRASSSTYVKVKMEGNAIARKIDISLHHSFESLTTTLMRMFDICKYIYNKTNPIDLASIQS >KJB07756 pep chromosome:Graimondii2_0_v6:1:4147150:4148358:-1 gene:B456_001G043900 transcript:KJB07756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLGLSTPIFPFKMLDLNSYGYELREGLGPFGINVNNNCDSCSSSCSSSSSGGADDGGGGTKKRRLLDEENRIVPKTLPLLFWTNQRPNDEDEPKDVDDHSSSAIFKNDGEGLVGWPPVKAWRKKVRRRVANVRAAVENSCGGRASSSTYVKVKMEGNAIARKIDISLHHSFESLTTTLMRMFDICKYIYNKTNPIDLASIQS >KJB07754 pep chromosome:Graimondii2_0_v6:1:4146877:4148434:-1 gene:B456_001G043900 transcript:KJB07754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLGLSTPIFPFKMLDLNSYGYELREGLGPFGINVNNNCDSCSSSCSSSSSGGADDGGGGTKKRRLLDEENRIVPKTLPLLFWTNQRPNDEDEPKDVDDHSSSAIFKNDGEGLVGWPPVKAWRKKVRRRVANVRAAVENSCGGRASSSTYVKVKMEGNAIARKIDISLHHSFESLTTTLMRMFDISDENGQKSFKLTYLDREGDWLLAEDVPWRTFIGSLKYIKLIRSRC >KJB07757 pep chromosome:Graimondii2_0_v6:1:4147150:4148358:-1 gene:B456_001G043900 transcript:KJB07757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLGLSTPIFPFKMLDLNSYGYELREGLGPFGINVNNNCDSCSSSCSSSSSGGADDGGGGTKKRRLLDEENRIVPKTLPLLFWTNQRPNDEDEPKDVDDHSSSAIFKNDGEGLVGWPPVKAWRKKVRRRVANVRAAVENSCGGRASSSTYVKVKMEGNAIARKIDISLHHSFESLTTTLMRMFDISDENGQKSFKLTYLDREGDWLLAEDVPWR >KJB06324 pep chromosome:Graimondii2_0_v6:1:6987:7491:-1 gene:B456_001G000200 transcript:KJB06324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKLWKVSMLASFKFQSGDDSMNRSNNCKSCLSHFSLRVVAEHILICSCQTTILKLSSLVFLMTAEGMKLYISDNKVILTEGFDGVVSVKCFEKIESWPDRKPIPFSNV >KJB06434 pep chromosome:Graimondii2_0_v6:1:22814318:22817636:1 gene:B456_001G1588001 transcript:KJB06434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AALNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPQRENFNDVYIVYELMDTDLWQIIRSDQQLTDDRCWYFLYQILRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEMMTRQPLFPGRDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQYPRQNFSVRFPNTSPGAVDLLERMLIFDPHRRITGASF >KJB06432 pep chromosome:Graimondii2_0_v6:1:22814317:22818320:1 gene:B456_001G1588001 transcript:KJB06432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AALNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPQRENFNDVYIVYELMDTDLWQIIRSDQQLTDDRCWYFLYQILRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEMMTRQPLFPGRDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQYPRQNFSVRFPNTSPGAVDLLERMLIFDPHRRITGASF >KJB06429 pep chromosome:Graimondii2_0_v6:1:22814317:22817432:1 gene:B456_001G1588001 transcript:KJB06429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AALNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPQRENFNDVYIVYELMDTDLWQIIRSDQQLTDDRCWYFLYQILRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEMMTRQPLFPGRDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQYPRQNFSVRFPNTSPGAVDLLERMLIFDPHRRITVDEALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEEDIKELIYRESVKFNPDSIH >KJB06428 pep chromosome:Graimondii2_0_v6:1:22814317:22817445:1 gene:B456_001G1588001 transcript:KJB06428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AALNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPQRENFNDVYIVYELMDTDLWQIIRSDQQLTDDRCWYFLYQILRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEMMTRQPLFPGRDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQYPRQNFSVRFPNTSPGAVDLLERMLIFDPHRRITVDEALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEEDIKELIYRESVKFNPDSIH >KJB06431 pep chromosome:Graimondii2_0_v6:1:22814317:22817486:1 gene:B456_001G1588001 transcript:KJB06431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AALNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPQRENFNDVYIVYELMDTDLWQIIRSDQQLTDDRCWYFLYQILRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEMMTRQPLFPGRDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQYPRQNFSVRFPNTSPGAVDLLERMLIFDPHRRITVDEALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEEDIKELIYRESVKFNPDSIH >KJB06433 pep chromosome:Graimondii2_0_v6:1:22814318:22817636:1 gene:B456_001G1588001 transcript:KJB06433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AALNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPQRENFNDVYIVYELMDTDLWQIIRSDQQLTDDRCWYFLYQILRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEMMTRQPLFPGRDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQYPRQNFSVRFPNTSPGAVDLLERMLIFDPHRRITGASF >KJB06430 pep chromosome:Graimondii2_0_v6:1:22814317:22817432:1 gene:B456_001G1588001 transcript:KJB06430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AALNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPQRENFNDVYIVYELMDTDLWQIIRSDQQLTDDRCWYFLYQILRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEMMTRQPLFPGRDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQYPRQNFSVRFPNTSPGAVDLLERMLIFDPHRRITVDEALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEEDIKELIYRESVKFNPDSIH >KJB07416 pep chromosome:Graimondii2_0_v6:1:24030814:24041949:1 gene:B456_001G168700 transcript:KJB07416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGGGGGAGPSRDLGVGPVGRATSTSSAASPSSSSSAVSTPHWGYDSVQQQQPQQQIASRQLLRKPEVNEAILAYQASGLQGMLGGSNFPSSPGSMQPPQQSRKFFDLAQQHASAQDSQNRSQGVERQTLTPFQQAYYQYAYQTAQQQKTLLAQQQAKMAILGSASVKDQDMRIGNFKILELTSMQAANQAQASSSKNPSEQLGRVEKQIEHGPQSATDQRNEPKPPTQGTVTGQLMHGNVLRAMQAQQAQQTVQNTGHDQLAMAAQLQSWALERNIDLSQPANANLMAQLIPLMQSRMAAHQKTNESNMGSQSSPVLVSKPQTTSPSVPSDSSPRGCSSSDISSQSGSAKTKPTALPSTTSSTGVVNNADNIAMLQLAIRGQDKQVPPREPLVLGSEMPSVRPSQSSPNISLSGNPSLLTKNSLGGTETAETQYRKQLNENFPLPSAPNNDGGSVKNLPAQGKAAMQMPQQRFGFTKKQLHVLKAQILAFRRLKKGEGTLPHELLRAIVPPPVELQQPQLPPLGGNNQDRNGGKIVEDPQKYSDSKEKVSQAGPSTNGHNIVKEEAYRGDDKATSSTAHMQGVSSVAKEFSSTLPAGKEEQQGSVISAKSDQEVEHSLQKTPARSDFSVDRGKAVAPQLAASDGGQVKKPVQANSAPQPKDPGSARKYHGPLFDFPFFTRKHDSNGSVMPNINNNLTLAYDVKELLFQEGVEVFSKKRSENLRKIGGLLAVNLERKRIRPDLVLRLQIEEKKLRLIDVQARLRDEVDQQQQEITAMPDRPYRKFVRLCERQRMELARQIQATQKALREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLIEQQTNIPGDAAERYEVLSSFLTQTEEYLHKLGSKITAAKSQQEVEEAANAAAVAARLQGLSEEEVRIAAACAGEEVMIRNRFMEMNAPRDGSSVSKYYNLAHAINERVIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGAKDQRSKLFSQEVLAMKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSQPFQKEGPTHNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLRCRMSAIQSAIYDWIKSTGTLRVDPEDEKRRVQKNPIYQAKVYKTLNNRCMELRKTCNHPLLNYPYFNDLSKDFLVRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPDSECFIFLLSIRAAGRGLNLQTADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSQQKEDEIRSGGTVDFEDDFAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLHQVNRMIARSEEEVELFDQMDEELDWTEEMTCHEQVPKWLRASTSEVNATVATLSKKPSKNILFSASVGAESHEMETERKRGRPKGKKHPNYKEIDNENGEYSEASSYERNGYSGTEEEGEIGEFEDDEFSGAVGDPPITKYQSEDGPLGDDGYEYAQTSEHIRNDRMLDEAGSSGSSLDSRKPQQIVSPISPQKFGSLSALDARPGSVVRRLPDELEEGEIAASGDSHVDHQQSESWIHDRDEGEYEQVVQPKIKRKRSIRVRPRHTVERAEEKSVTEVPHLERGDSSLLPFQLDQKYQSQLRIDTETKATRERNAIKHDPNDSSSKCRRNLPSIKIANTSKLHASLKSGKMHSESAPADAGKSSRESWDNKLVNTSGSSNSGAKMSDLIQRKCKNVISKLQRRIDKEGQQIVPSLTDLWKRIEKSGYMGGSGSNNLDLQKIDQRVDRLEYSGVMELVADVQLVLKSAMQFYGFSLEVRSEARKVHDLFFDLLKIAFPDTDFREARNALSFSSLVSTSTMGSSARQAAVGKRQKPIKEVESDSGLTQKSLQRRSSRAGEDTRIRVHMPQKESRVGCVSGITKELYQQDDSILTHPGELVICKKKRKDREKSMVKPRTGLAGPVSPPSIARSIKSPTTGSFSKDAARLTQQTAHQQSWHQPAHPPNGGSVGWANPVKKLRTDAGKRRPSHL >KJB07415 pep chromosome:Graimondii2_0_v6:1:24030707:24041949:1 gene:B456_001G168700 transcript:KJB07415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGGGGGAGPSRDLGVGPVGRATSTSSAASPSSSSSAVSTPHWGYDSVQQQQPQQQIASRQLLRKPEVNEAILAYQASGLQGMLGGSNFPSSPGSMQPPQQSRKFFDLAQQHASAQDSQNRSQGVERQTLTPFQQAYYQYAYQTAQQQKTLLAQQQAKMAILGSASVKDQDMRIGNFKILELTSMQAANQAQASSSKNPSEQLGRVEKQIEHGPQSATDQRNEPKPPTQGTVTGQLMHGNVLRAMQAQQAQQTVQNTGHDQLAMAAQLQSWALERNIDLSQPANANLMAQLIPLMQSRMAAHQKTNESNMGSQSSPVLVSKPQTTSPSVPSDSSPRGCSSSDISSQSGSAKTKPTALPSTTSSTGVVNNADNIAMLQLAIRGQDKQVPPREPLVLGSEMPSVRPSQSSPNISLSGNPSLLTKNSLGGTETAETQYRKQLNENFPLPSAPNNDGGSVKNLPAQGKAAMQMPQQRFGFTKKQLHVLKAQILAFRRLKKGEGTLPHELLRAIVPPPVELQQPQLPPLGGNNQDRNGGKIVEDPQKYSDSKEKVSQAGPSTNGHNIVKEEAYRGDDKATSSTAHMQGVSSVAKEFSSTLPAGKEEQQGSVISAKSDQEVEHSLQKTPARSDFSVDRGKAVAPQLAASDGGQVKKPVQANSAPQPKDPGSARKYHGPLFDFPFFTRKHDSNGSVMPNINNNLTLAYDVKELLFQEGVEVFSKKRSENLRKIGGLLAVNLERKRIRPDLVLRLQIEEKKLRLIDVQARLRDEVDQQQQEITAMPDRPYRKFVRLCERQRMELARQIQATQKALREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLIEQQTNIPGDAAERYEVLSSFLTQTEEYLHKLGSKITAAKSQQEVEEAANAAAVAARLQGLSEEEVRIAAACAGEEVMIRNRFMEMNAPRDGSSVSKYYNLAHAINERVIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGAKDQRSKLFSQEVLAMKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSQPFQKEGPTHNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLRCRMSAIQSAIYDWIKSTGTLRVDPEDEKRRVQKNPIYQAKVYKTLNNRCMELRKTCNHPLLNYPYFNDLSKDFLVRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPDSECFIFLLSIRAAGRGLNLQTADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSQQKEDEIRSGGTVDFEDDFAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLHQVNRMIARSEEEVELFDQMDEELDWTEEMTCHEQVPKWLRASTSEVNATVATLSKKPSKNILFSASVGAESHEMETERKRGRPKGKKHPNYKEIDNENGEYSEASSYERNGYSGTEEEGEIGEFEDDEFSGAVGDPPITKYQSEDGPLGDDGYEYAQTSEHIRNDRMLDEAGSSGSSLDSRKPQQIVSPISPQKFGSLSALDARPGSVVRRLPDELEEGEIAASGDSHVDHQQSESWIHDRDEGEYEQVVQPKIKRKRSIRVRPRHTVERAEEKSVTEVPHLERGDSSLLPFQLDQKYQSQLRIDTETKATRERNAIKHDPNDSSSKYAGKSSRESWDNKLVNTSGSSNSGAKMSDLIQRKCKNVISKLQRRIDKEGQQIVPSLTDLWKRIEKSGYMGGSGSNNLDLQKIDQRVDRLEYSGVMELVADVQLVLKSAMQFYGFSLEVRSEARKVHDLFFDLLKIAFPDTDFREARNALSFSSLVSTSTMGSSARQAAVGKRQKPIKEVESDSGLTQKSLQRRSSRAGEDTRIRVHMPQKESRVGCVSGITKELYQQDDSILTHPGELVICKKKRKDREKSMVKPRTGLAGPVSPPSIARSIKSPTTGSFSKDAARLTQQTAHQQSWHQPAHPPNGGSVGWANPVKKLRTDAGKRRPSHL >KJB09773 pep chromosome:Graimondii2_0_v6:1:23497185:23499116:1 gene:B456_001G164100 transcript:KJB09773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEALVSDVTEEEIHAALLKIHPNKAPGPDGMTSKVDEFFEEIAQVNQMELRSGKKLPPVPNYGKNLVQELSQSKNRSSPNPESPVQDHGLSQNQNPGGIPIDRGAVGALSSQNEVTQRGRSRMLAGQTLAANGQHVRYDILAHLKKIPALLSVYDALKMSAELRMSLVYALTNPEEFSNEVNQVKMRSSEPTYAECLALITFTDDYLQPGLIKHNRPLFISGYLNGLGITRIMIDGGSAVNLLPLRMLKRLGIAIHRLGGEQKRVKADANPFSEAKAKQGEEALITQAQAFTFLVIDQRLGANVGSAQGPTYLV >KJB07621 pep chromosome:Graimondii2_0_v6:1:3075114:3077294:1 gene:B456_001G033400 transcript:KJB07621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVYFPLSKRSRTSASGEKFEQKKPSIEVLPDECLFEIFRWLRGGRERSSCACVSKRWLILVSNICKYEIRDNNIIHALNPKDESTNKKVGIVSEVEHNDVDSDGYFTRNLEGKKATDGGLAAIAVGTASRGGLGKLFIRGSNSWNRVTTVGLRAISRGCPSLRVLSLWNLSSIGDGLCEIANGCHRLVTLDLCHCPAVTDKSLLAIAKGCPKLNDLTIESCANVGNEGLLALACYCPNLKSVSIKNCPLVGDQGVASLLSSASYSLTKLKLQALNITDEMFSVIGHYGKSVTDLSLTSLPNVSDKGFWIMGNSQGLQKLKSFTIASCLGLTDRGLEAVGKGCVNLKQFYIFKCDLLSDKGLVSFAKVAGSLESLQLEDCHRITQIGLFCSLLNCSAKLKVISLVNCLGVMDLTTGLPPLSPCESLQSLSIRNCPGFSDSSLAVMGKLCPQLQHVELRGLHGITDAGFLQLLKSRNAGLVKVNLKDCVNLSDKGVCRLTNLHRWTLETLNLDGCKISDASLFAIAENCRLLGDLDVSKCAITDSGIAALAHSDLINVQILSVSGCSMVSDKSLPSLRNLGKTLLGLNLQQCKAISRSAIDLLVQQLWRCNILF >KJB07010 pep chromosome:Graimondii2_0_v6:1:1821130:1824108:1 gene:B456_001G019600 transcript:KJB07010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGESTCIFPMESFVSNGLGTHLFSHFSSFVDGSLYYSRHLYLPGSLAFREGFSCVSKFAGSLLFWFSSMSTSNLDILANNQHGLKSGSCKSSAQVKQIASYKNNLMGFHFACESKGRSATTHVSLHFFGEAEVLRSFPLLSLSVALIPPFDNLCSKVLPVPRENTDVEMQEHKDRRACEVGHQGYGSPSFLDSNWTQHAVEPRTGIEFPTTLDNVFDRQINSSLASEVLVGTGSIAITIIKIKSLEVYAFGFYIHPFSVCQKLGPKYASIPVNEPNKHNDFYQDLLREDIGMTVRLVVNCNGMKASAVRDEFEKSLRARLVKTNPDTDYHCLSTFGSLFTQDIALPAGTVIDFQRTAEGQLVTKSKFSLVVYPFCNSNSHF >KJB07007 pep chromosome:Graimondii2_0_v6:1:1820973:1823515:1 gene:B456_001G019600 transcript:KJB07007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGESTCIFPMESFVSNGLGTHLFSHFSSFVDGSLYYSRHLYLPGSLAFREGFSCVSKFAGSLLFWFSSMSTSNLDILANNQHGLKSGSCKSSAQVKQIASYKNNLMGFHFACESKGRSATTHVSLHFFGEAEVLRSFPLLSLSVALIPPFDNLCSKVLPVPRENTDVEMQEHKDRRACEVGHQGYGSPSFLDSNWTQHAVEPRTGIEFPTTLDNVFDRQINSSLASEVLVGTGSIAITIIKIKSLEVYAFGFYIHPFSVCQKLGPKYASIPVNEPNKHNDFYQDLLREDIGMTVRLVVNCNGMKASAVRDEFEKSLRARLVKTNPDTDYHCLSTFGSLFTQDIALPAGTVIDFQRTAEGQLVTKIGGNHIGVVQSKDLCSK >KJB07009 pep chromosome:Graimondii2_0_v6:1:1820973:1824108:1 gene:B456_001G019600 transcript:KJB07009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGESTCIFPMESFVSNGLGTHLFSHFSSFVDGSLYYSRHLYLPGSLAFREGFSCVSKFAGSLLFWFSSMSTSNLDILANNQHGLKSGSCKSSAQVKQIASYKNNLMGFHFACESKGRSATTHVSLHFFGEAEVLRSFPLLSLSVALIPPFDNLCSKVLPVPRENTDVEMQEHKDRRACEVGHQGYGSPSFLDSNWTQHAVEPRTGIEFPTTLDNVFDRQINSSLASEVLVGTGSIAITIIKIKSLEVYAFGFYIHPFSVCQKLGPKYASIPVNEPNKHNDFYQDLLREDIGMTVRLVVNCNGMKASAVRECLALLGRLHFGFLINWLNCVCFSEFEKSLRARLVKTNPDTDYHCLSTFGSLFTQDIALPAGTVIDFQRTAEGQLVTKIGGNHIGVVQSKDLCRAFFGMYIGDVPVSEQAKENIGQNVANIIRRC >KJB07011 pep chromosome:Graimondii2_0_v6:1:1821130:1824108:1 gene:B456_001G019600 transcript:KJB07011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGESTCIFPMESFVSNGLGTHLFSHFSSFVDGSLYYSRHLYLPGSLAFREGFSCVSKFAGSLLFWFSSMSTSNLDILANNQHGLKSGSCKSSAQVKQIASYKNNLMGFHFACESKGRSATTHVSLHFFGEAEVLRSFPLLSLSVALIPPFDNLCSKVLPVPRENTDVEMQEHKDRRACEVGHQGYGSPSFLDSNWTQHAVEPRTGIEFPTTLDNVFDRQINSSLASEVLVGTGSIAITIIKIKSLEVYAFGFYIHPFSVCQKLGPKYASIPVNEPNKHNDFYQDLLREDIGMTVRLVVNCNGMKASAVRDEFEKSLRARLVKTNPDTDYHCLSTFGSLFTQDIALPAGTVIDFQRTAEGQLVTKIC >KJB07006 pep chromosome:Graimondii2_0_v6:1:1820414:1824108:1 gene:B456_001G019600 transcript:KJB07006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGESTCIFPMESFVSNGLGTHLFSHFSSFVDGSLYYSRHLYLPGSLAFREGFSCVSKFAGSLLFWFSSMSTSNLDILANNQHGLKSGSCKSSAQVKQIASYKNNLMGFHFACESKGRSATTHVSLHFFGEAEVLRSFPLLSLSVALIPPFDNLCSKVLPVPRENTDVEMQEHKDRRACEVGHQGYGSPSFLDSNWTQHAVEPRTGIEFPTTLDNVFDRQINSSLASEVLVGTGSIAITIIKIKSLEVYAFGFYIHPFSVCQKLGPKYASIPVNEPNKHNDFYQDLLREDIGMTVRLVVNCNGMKASAVRDEFEKSLRARLVKTNPDTDYHCLSTFGSLFTQDIALPAGTVIDFQRTAEGQLVTKIGGNHIGVVQSKDLCRAFFGMYIGDVPVSEQAKENIGQNVANIIRRC >KJB07008 pep chromosome:Graimondii2_0_v6:1:1820973:1824108:1 gene:B456_001G019600 transcript:KJB07008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGESTCIFPMESFVSNGLGTHLFSHFSSFVDGSLYYSRHLYLPGSLAFREGFSCVSKFAGSLLFWFSSMSTSNLDILANNQHGLKSGSCKSSAQVKQIASYKNNLMGFHFACESKGRSATTHVSLHFFGEAEVLRSFPLLSLSVALIPPFDNLCSKVLPVPRENTDVEMQEHKDRRACEVGHQGYGSPSFLDSNWTQHAVEPRTGIEFPTTLDNVFDRQINSSLASEVLVGTGSIAITIIKIKSLEVYAFGFYIHPFSVCQKLGPKYASIPVNEPNKHNDFYQDLLREDIGMTVRLVVNCNGMKASAVRDEFEKSLRARLVKTNPDTDYHCLSTFGSLFTQDIALPAGTVIDFQRTAEGQLVTKIGGNHIGVVQSKDLCRAFFGMYIGDVPVSEQAKENIGQNVANIIRRC >KJB08570 pep chromosome:Graimondii2_0_v6:1:9771279:9771442:1 gene:B456_001G0898001 transcript:KJB08570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATPKQIRDLMQVEGLTIDQVKSHLQVKFNLLSLINNNACIILYIVPKKIRNKK >KJB10759 pep chromosome:Graimondii2_0_v6:1:45166599:45169388:-1 gene:B456_001G222500 transcript:KJB10759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLRQLYQCCFITRKSFLSSSSALLSLIPKYLCLPTLHPVSWIFLPKGQYKSNSISSSARNMSTSTIALAIEKQMVLENGVQQVELLNGVEDSYGGIILNMEEPMESEAFVYSLRASISQWKQQGKRGVWIKLPIQLVNLVEPSVKEGFRYHHAEPDYIMLVNWISNFTNTIPENASHRVGIGAFVINDQREVLVVQENNGTFKGKGVWKFPTGVVNEGEDICMAAIREVKEETGIDTEFVEILAFRQSHKSFFTKSDLFFVCMLRPLSFDIQKQDTEIEAAQWMSINEYAAQPFIQKYDGFSSVANVCLAKLEKDYAGFSRIPTTTASGKTSYVYFNSRDLSKL >KJB10650 pep chromosome:Graimondii2_0_v6:1:42679219:42685976:-1 gene:B456_001G213800 transcript:KJB10650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAEGAVSDLFGRPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPIVVKHILRENAFSFDKGVLADILEPIMGKGLIPADLDTWKQRRRVIAPGFHALYLEAMVKVFTDCSGRTTEKFEKLLDGERSRGGDAIELDLEAEFSSLALDIIGLGVFNYDFGSVTKESPVIQAVYGTLFEAEHRSTFYIPYWKIPLARWVVPRQRKFHYDLKIINDCLDGLIRNAKDSRQEADVEKLQQRDYLNIKDASVLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWAVFLLAQNPSKIKKAQAEVDSVLGQESPTFESIKKLEYIRLIIVESLRLYPQPPLLIRRALEEVVLPGGYKGDKDGYTIPAGTDIFISVYNLHRSPYFWDQPHDFVPERFRVQKESEGIEEWAGFDPSRSPGALYPNEIISDFAFLPFGGGPRKCVGDQFALMESTVALAMLLQKFDVELRGSPESVELVTGATIHTKNGLWCKLKRRSNGY >KJB10648 pep chromosome:Graimondii2_0_v6:1:42678958:42686557:-1 gene:B456_001G213800 transcript:KJB10648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVSSLHLSSPAIHGSLRTSDFMFLGVPKPPSFLNPKLKAFAAIRCQSTSTKEPKAKRNLLDNASNLLTNFLSGGSLGSMPVAEGAVSDLFGRPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPIVVKHILRENAFSFDKGVLADILEPIMGKGLIPADLDTWKQRRRVIAPGFHALYLEAMVKVFTDCSGRTTEKFEKLLDGERSRGGDAIELDLEAEFSSLALDIIGLGVFNYDFGSVTKESPVIQAVYGTLFEAEHRSTFYIPYWKIPLARWVVPRQRKFHYDLKIINDCLDGLIRNAKDSRQEADVEKLQQRDYLNIKDASVLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWAVFLLAQNPSKIKKAQAEVDSVLGQESPTFESIKKLEYIRLIIVESLRLYPQPPLLIRRALEEVVLPGGYKGDKDGYTIPAGTDIFISVYNLHRSPYFWDQPHDFVPERFRVQKESEGIEEWAGFDPSRSPGALYPNEIISDFAFLPFGGGPRKCVGDQFALMESTVALAMLLQKFDVELRGSPESVELVTGATIHTKNGLWCKLKRRSNGY >KJB10649 pep chromosome:Graimondii2_0_v6:1:42679063:42686505:-1 gene:B456_001G213800 transcript:KJB10649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVSSLHLSSPAIHGSLRTSDFMFLGVPKPPSFLNPKLKAFAAIRCQSTSTKEPKAKRNLLDNASNLLTNFLSGGSLGSMPVAEGAVSDLFGRPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPIVVKHILRENAFSFDKGVLADILEPIMGKGLIPADLDTWKQRRRVIAPGFHALYLEAMVKVFTDCSGRTTEKFEKLLDGERSRGGDAIELDLEAEFSSLALDIIGLGVFNYDFGSVTKESPVIQAVYGTLFEAEHRSTFYIPYWKIPLARWVVPRQRKFHYDLKIINDCLDGLIRNAKDSRQEADVEKLQQRDYLNIKDASVLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWAVFLLAQNPSKIKKAQAEVDSVLGQESPTFESIKKLEWLQR >KJB10651 pep chromosome:Graimondii2_0_v6:1:42683006:42686505:-1 gene:B456_001G213800 transcript:KJB10651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVSSLHLSSPAIHGSLRTSDFMFLGVPKPPSFLNPKLKAFAAIRCQSTSTKEPKAKRNLLDNASNLLTNFLSGGSLGSMPVAEGAVSDLFGRPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPIVVKHILRENAFSFDKGVLADILEPIMGKGLIPADLDTWKQRRRVIAPGFHALYLEAMVKVFTDCSGRTTEKFEKLLDGERSRGGDAIELDLEAEFSSLALDIIGLGVFNYDFGSVTKESPVIQVRQYFLEIFNSVAFIPCGAPQHGTLFLLINGLPLAE >KJB07322 pep chromosome:Graimondii2_0_v6:1:1497079:1502003:1 gene:B456_001G016000 transcript:KJB07322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDFAKAVEDGLKLAKRIYLGKDKAVMTAPKPPSPPVQGLADYYLPSAPMVYAVISDPGFVDNPDFPSYQPHVYGRCDPPALIPLQMSGVDLEVNCYGDTAFVQISGKWRVHCVMGSHSCDCRIAVPMGNQGSILGVEVDLPKKSYSTELVGLEESKCIEKIARPQDGGFMKPHIFMLTIPQVDGGTNISIKLRWSQKLLYNDGQFTLTVPFSFPEYVTPAVRKISKKEKIQLNVNSGIVTGILCKATSHPLKETKRHAEKFSFLYESEVLTWSKTDFSFSYSVSSSNMFGGVLLQSPPLYDHDQRDMFCVYLLPGSQKSTEVFKKEVVFVIDITDSMQGRPLEATKNAISSAMSKLGPEDSFNIITFSSETSLFSTSMELASKEAIERATTWLSTKSTVEGSTCISIPLEHAYEMLSNTSGSLPMIFLITDGAVEDERNICDQMKKRLKNSGSLCPRIHTFGIGSFCNHYFLRMLAMIGRGEFDAVCDLDLIDNRIQKLFSNAFSTVLADITVDAFNDHEQIEVYSSCIPDLSFESPLTICGRYEGSFPDTLKAKGILGDLSSFVMDLKIVRAKDIPLDRVGHLLLGYFFFFPSKKGAGEMLFVYGLLKVRNFPRFYRYYQDRRSIYSRLRHGSRKINSSSKRFVFRWNHRS >KJB07329 pep chromosome:Graimondii2_0_v6:1:1498019:1502029:1 gene:B456_001G016000 transcript:KJB07329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGVLLQSPPLYDHDQRDMFCVYLLPGSQKSTEVFKKEVVFVIDITDSMQGRPLEATKNAISSAMSKLGPEDSFNIITFSSETSLFSTSMELASKEAIERATTWLSTKSTVEGSTCISIPLEHAYEMLSNTSGSLPMIFLITDGAVEDERNICDQMKKRLKNSGSLCPRIHTFGIGSFCNHYFLRMLAMIGRGEFDAVCDLDLIDNRIQKLFSNAFSTVLADITVDAFNDHEQIEVYSSCIPDLSFESPLTICGRYEGSFPDTLKAKGILGDLSSFVMDLKIVRAKDIPLDRVLSRQEIDLLTAQAWLSENKQLEQKVAQLSKVTCNISEYTRMVLLEKNKIDKAIESSGAQKVKKTDPHKIKDPLAPRKTLLQSLSVGFGDLTATAENIRPGFEQQKLPDAAEVFLKATSDCCGRMCNRCCCMCCIQCCSKMNDQCAIVLTQIFTALAFIGCIECCTLCCGQDGQ >KJB07326 pep chromosome:Graimondii2_0_v6:1:1497079:1502003:1 gene:B456_001G016000 transcript:KJB07326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDFAKAVEDGLKLAKRIYLGKDKAVMTAPKPPSPPVQGLADYYLPSAPMVYAVISDPGFVDNPDFPSYQPHVYGRCDPPALIPLQMSGVDLEVNCYGDTAFVQISGKWRVHCVMGSHSCDCRIAVPMGNQGSILGVEVDLPKKSYSTELVGLEESKCIEKIARPQDGGFMKPHIFMLTIPQVDGGTNISIKLRWSQKLLYNDGQFTLTVPFSFPEYVTPAVRKISKKEKIQLNVNSGIVTGILCKATSHPLKETKRHAEKFSFLYESEVLTWSKTDFSFSYSVSSSNMFGGVLLQSPPLYDHDQRDMFCVYLLPGSQKSTEVFKKEVVFVIDITDSMQGRPLEATKNAISSAMSKLGPEDSFNIITFSSETSLFSTSMELASKEAIERATTWLSTKSTVEGSTCISIPLEHAYEMLSNTSGSLPMIFLITDGAVEDERNICDQMKKRLKNSGSLCPRIHTFGIGSFCNHYFLRMLAMIGRGEFDAVCDLDLIDNRIQKLFSNAFSTVLADITVDAFNDHEQIEVYSSCIPDLSFESPLTICGRYEGSFPDTLKAKGILGDLSSFVMDLKIVRAKDIPLDRVLSRQEIDLLTAQAWLSENKQLEQKVCFSVES >KJB07325 pep chromosome:Graimondii2_0_v6:1:1497079:1502003:1 gene:B456_001G016000 transcript:KJB07325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHIFMLTIPQVDGGTNISIKLRWSQKLLYNDGQFTLTVPFSFPEYVTPAVRKISKKEKIQLNVNSGIVTGILCKATSHPLKETKRHAEKFSFLYESEVLTWSKTDFSFSYSVSSSNMFGGVLLQSPPLYDHDQRDMFCVYLLPGSQKSTEVFKKEVVFVIDITDSMQGRPLEATKNAISSAMSKLGPEDSFNIITFSSETSLFSTSMELASKEAIERATTWLSTKSTVEGSTCISIPLEHAYEMLSNTSGSLPMIFLITDGAVEDERNICDQMKKRLKNSGSLCPRIHTFGIGSFCNHYFLRMLAMIGRGEFDAVCDLDLIDNRIQKLFSNAFSTVLADITVDAFNDHEQIEVYSSCIPDLSFESPLTICGRYEGSFPDTLKAKGILGDLSSFVMDLKIVRAKDIPLDRVLSRQEIDLLTAQAWLSENKQLEQKVAQLSKVTCNISEYTRMVLLEKNKIDKAIESSGAQKVKKTDPHKIKDPLAPRKTLLQSLSVGFGDLTATAENIRPGFEQQKLPDAAEVFLKATSDCCGRMCNRCCCMCCIQCCSKMNDQCAIVLTQIFTALAFIGCIECCTLCCGQDGQ >KJB07327 pep chromosome:Graimondii2_0_v6:1:1497079:1502082:1 gene:B456_001G016000 transcript:KJB07327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDFAKAVEDGLKLAKRIYLGKDKAVMTAPKPPSPPVQGLADYYLPSAPMVYAVISDPGFVDNPDFPSYQPHVYGRCDPPALIPLQMSGVDLEVNCYGDTAFVQISGKWRVHCVMGSHSCDCRIAVPMGNQGSILGVEVDLPKKSYSTELVGLEESKCIEKIARPQDGGFMKPHIFMLTIPQVDGGTNISIKLRWSQKLLYNDGQFTLTVPFSFPEYVTPAVRKISKKEKIQLNVNSGIVTGILCKATSHPLKETKRHAEKFSFLYESEVLTWSKTDFSFSYSVSSSNMFGGVLLQSPPLYDHDQRDMFCVYLLPGSQKSTEVFKKEVVFVIDITDSMQGRPLEATKNAISSAMSKLGPEDSFNIITFSSETSLFSTSMELASKEAIERATTWLSTKSTVEGSTCISIPLEHAYEMLSNTSGSLPMIFLITDGAVEDERNICDQMKKRLKNSGSLCPRIHTFGIGSFCNHYFLRMLAMIGRGEFDAVCDLDLIDNRIQKLFSNAFSTVLADITVDAFNDHEQIEVYSSCIPDLSFESPLTICGRYEGSFPDTLKAKGILGDLSSFVMDLKIVRAKDIPLDRVLSRQEIDLLTAQAWLSENKQLEQKVAQLSKVTCNISEYTRMVLLEKNKIDKAIESSGAQKVKKTDPHKIKDPLAPRKTLLQSLSVGFGDLTATAENIRPGFEQQKLPDAAEVFLKATSDCCGRMCNRCCCMCCIQCCSKMNDQCAIVLTQIFTALAFIGCIECCTLCCGQDGQ >KJB07324 pep chromosome:Graimondii2_0_v6:1:1497325:1501235:1 gene:B456_001G016000 transcript:KJB07324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDFAKAVEDGLKLAKRIYLGKDKAVMTAPKPPSPPVQGLADYYLPSAPMVYAVISDPGFVDNPDFPSYQPHVYGRCDPPALIPLQMSGVDLEVNCYGDTAFVQISGKWRVHCVMGSHSCDCRIAVPMGNQGSILGVEVDLPKKSYSTELVGLEESKCIEKIARPQDGGFMKPHIFMLTIPQVDGGTNISIKLRWSQKLLYNDGQFTLTVPFSFPEYVTPAVRKISKKEKIQLNVNSGIVTGILCKATSHPLKETKRHAEKFSFLYESEVLTWSKTDFSFSYSVSSSNMFGGVLLQSPPLYDHDQRDMFCVYLLPGSQKSTEVFKKEVVFVIDITDSMQGRPLEATKNAISSAMSKLGPEDSFNIITFSSETSLFSTSMELASKEAIERATTWLSTKSTVEGSTCISIPLEHAYEMLSNTSGSLPMIFLITDGAVEDERNICDQMKKRLKNSGSLCPRIHTFGIGSFCNHYFLRMLAMIGRGEFDAVCDLDLIDNRIQKLFSNAFSTVLADITVDAFNDHEQIEVYSSCIPDLSFESPLTICGRYEGSFPDTLKAKGILGDLSSFVMDLKIVRAKDIPLDRVLSRQEIDLLTAQAWLSENKQLEQKVCFSVES >KJB07328 pep chromosome:Graimondii2_0_v6:1:1497079:1502003:1 gene:B456_001G016000 transcript:KJB07328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHIFMLTIPQVDGGTNISIKLRWSQKLLYNDGQFTLTVPFSFPEYVTPAVRKISKKEKIQLNVNSGIVTGILCKATSHPLKETKRHAEKFSFLYESEVLTWSKTDFSFSYSVSSSNMFGGVLLQSPPLYDHDQRDMFCVYLLPGSQKSTEVFKKEVVFVIDITDSMQGRPLEATKNAISSAMSKLGPEDSFNIITFSSETSLFSTSMELASKEAIERATTWLSTKSTVEGSTCISIPLEHAYEMLSNTSGSLPMIFLITDGAVEDERNICDQMKKRLKNSGSLCPRIHTFGIGSFCNHYFLRMLAMIGRGEFDAVCDLDLIDNRIQKLFSNAFSTVLADITVDAFNDHEQIEVYSSCIPDLSFESPLTICGRYEGSFPDTLKAKGILGDLSSFVMDLKIVRAKDIPLDRVLSRQEIDLLTAQAWLSENKQLEQKVAQLSKVTCNISEYTRMVLLEKNKIDKAIESSGAQKVKKTDPHKIKDPLAPRKTLLQSLSVGFGDLTATAENIRPGFEQQKLPDAAEVFLKATSDCCGRMCNRCCCMCCIQCCSKMNDQCAIVLTQIFTALAFIGCIECCTLCCGQDGQ >KJB07323 pep chromosome:Graimondii2_0_v6:1:1497079:1502003:1 gene:B456_001G016000 transcript:KJB07323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDFAKAVEDGLKLAKRIYLGKDKAVMTAPKPPSPPVQGLADYYLPSAPMVYAVISDPGFVDNPDFPSYQPHVYGRCDPPALIPLQMSGVDLEVNCYGDTAFVQISGKWRVHCVMGSHSCDCRIAVPMGNQGSILGVEVDLPKKSYSTELVGLEESKCIEKIARPQDGGFMKPHIFMLTIPQVDGGTNISIKLRWSQKLLYNDGQFTLTVPFSFPEYVTPAVRKISKKEKIQLNVNSGIVTGILCKATSHPLKETKRHAEKFSFLYESEVLTWSKTDFSFSYSVSSSNMFGGVLLQSPPLYDHDQRDMFCVYLLPGSQKSTEVFKKEVVFVIDITDSMQGRPLEATKNAISSAMSKLGPEDSFNIITFSSETSLFSTSMELASKEAIERATTWLSTKSTVEGSTCISIPLEHAYEMLSNTSGSLPMIFLITDGAVEDERNICDQMKKRLKNSGSLCPRIHTFGIGSFCNHYFLRMLAMIGRGEFDAVCDLDLIDNRIQKLFSNAFSTVLADITVDAFNDHEQIEVYSSCIPDLSFESPLTICGRYEGSFPDTLKAKGILGDLSSFVMDLKIVRAKDIPLDRVLSRQEIDLLTAQAWLSENKQLEQKVAQLSKVTCNISEYTRMVLLEKNKIDKAIESSGAQKVCLSLIRNSIITVNLNSQKLGFSIQVKKTDPHKIKDPLAPRKTLLQSLSVGFGDLTATAENIRPGFEQQKLPDAAEVFLKATSDCCGRMCNRCCCMCCIQCCSKMNDQCAIVLTQIFTALAFIGCIECCTLCCGQDGQ >KJB08323 pep chromosome:Graimondii2_0_v6:1:7971682:7975751:1 gene:B456_001G076900 transcript:KJB08323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTCFAPLLFLSFILGCAARPFYPLPSKISNQNRQPMQTSRPYNIAHRGSNGEIPEETAAAYMRAIEEGADFIETDILSSKDGVLICFHDVILDLTTDVANHKEFANRKRTYNVQGFNVTGFFTVDFTLKELKKLRVKQRYNFRDQQYNGKFSIITFEEFISIALDAPRVVGIYPEIKNPVLINQHVKWPNGKRFEDKFVETLRKYGYKGSYMSKDWLEKPIFIQSFAPTSLVYISNLTNSPKIFLIDDVTMPTQDTNQSYWEITSDPYLDYIKEYVVGIGPWKDTVVPVKNNYLQPPTDLVARAHAQDLQVHPYTYRNENMFLHLDFHQDPYVEYDYWLNKIGVDGLFTDFTGSLHNFQEWTSPLSQKDSDNASKLVDKIALLISSYRKG >KJB10046 pep chromosome:Graimondii2_0_v6:1:27818307:27821715:-1 gene:B456_001G181900 transcript:KJB10046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKFHLFLFLLLFLWGTTAKSENSNLNEEALALLSIKAGFIDPLDRLHDWRLPANVGLKDSAQCNWTGVWCNTDGSVEKLDLSHMNLSGRVSDDIQRLKSLTSLNLSCNQLSSVLPISVSNLTSLNSIDVSQNLFTGSFPAGLGRASGLTLLNASSNNFSGILPEDLGNATSLEILDLRGSFFQSSIPKSFKSLQKLKFLGLSGNNLTGYIPGELGQLSSVETIILGYNGFEGGIPMEFGNLTNLKYLDLAVGNLSGEIPVELGRLQVLETVFLYKNNLEGKIPSSIGNITSLQLLDLSDNHLSGEIPAEIAELKDLKLLNLMCNRLSGSVPAGLGGLTQLQVLELWNNSFSGPLPVDLGKNSPLQWLDISSNSFSGEIPATLCDGGNLTKLILFNNAFSGPVPVSLSDCPSLVRVRMHNNHLSGSIPVGLGKLGSLERLELANNSLTGEIPDDIASSTSLSFIDVSSNRIRSSLPSSIFSIPCLQSFIASNNSLVGEIPDQFQDCPSLSVLDLSTNHFTGSIPASVASCEKLVTVNLSNNQLTGNIPKSISMMPTLAVLDLSNNSLTGGIPDNFGTSPALEMLNISYNKLEGPVPANGVLRTINPNDLVGNPGLCGGVLPPCNRYSPMSSRQRSLRAKHIVAGWLIGISSILAAGILFIGGRLLYKNWCLNSSYFERRFGAGNGEWPWRLMAFQRLDFTASDILACIKESNVVGMGATGVVYKAEMPQVNTTVAIKKLWKSGTDIETGNSDDFIGEVNLLGKLRHRNIVRLLGFLHNDTSMMIVYEFMQNGSLGEALHGKLAGRLLVDWISRYNIALGVAQGLAYLHHDCHPPVIHRDIKSNNILLDANLEARIADFGLARMMVRKNETVSMVAGSYGYIAPEYGYTLKVDEKIDIYSFGVVLLELLTGKKPLDPEFGESVDIVEWIRRKVRDNKSLEEALDPNLGNCRYIQEETLLVARIALLCTAKLPKDRPSMRDVITMLAEAKPRRKSSSNNGGNASNKETPVFSTSPVNGHV >KJB10047 pep chromosome:Graimondii2_0_v6:1:27818307:27821743:-1 gene:B456_001G181900 transcript:KJB10047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMAVCKKNNIMQLKFHLFLFLLLFLWGTTAKSENSNLNEEALALLSIKAGFIDPLDRLHDWRLPANVGLKDSAQCNWTGVWCNTDGSVEKLDLSHMNLSGRVSDDIQRLKSLTSLNLSCNQLSSVLPISVSNLTSLNSIDVSQNLFTGSFPAGLGRASGLTLLNASSNNFSGILPEDLGNATSLEILDLRGSFFQSSIPKSFKSLQKLKFLGLSGNNLTGYIPGELGQLSSVETIILGYNGFEGGIPMEFGNLTNLKYLDLAVGNLSGEIPVELGRLQVLETVFLYKNNLEGKIPSSIGNITSLQLLDLSDNHLSGEIPAEIAELKDLKLLNLMCNRLSGSVPAGLGGLTQLQVLELWNNSFSGPLPVDLGKNSPLQWLDISSNSFSGEIPATLCDGGNLTKLILFNNAFSGPVPVSLSDCPSLVRVRMHNNHLSGSIPVGLGKLGSLERLELANNSLTGEIPDDIASSTSLSFIDVSSNRIRSSLPSSIFSIPCLQSFIASNNSLVGEIPDQFQDCPSLSVLDLSTNHFTGSIPASVASCGIPDNFGTSPALEMLNISYNKLEGPVPANGVLRTINPNDLVGNPGLCGGVLPPCNRYSPMSSRQRSLRAKHIVAGWLIGISSILAAGILFIGGRLLYKNWCLNSSYFERRFGAGNGEWPWRLMAFQRLDFTASDILACIKESNVVGMGATGVVYKAEMPQVNTTVAIKKLWKSGTDIETGNSDDFIGEVNLLGKLRHRNIVRLLGFLHNDTSMMIVYEFMQNGSLGEALHGKLAGRLLVDWISRYNIALGVAQGLAYLHHDCHPPVIHRDIKSNNILLDANLEARIADFGLARMMVRKNETVSMVAGSYGYIAPEYGYTLKVDEKIDIYSFGVVLLELLTGKKPLDPEFGESVDIVEWIRRKVRDNKSLEEALDPNLGNCRYIQEETLLVARIALLCTAKLPKDRPSMRDVITMLAEAKPRRKSSSNNGGNASNKETPVFSTSPVNGHV >KJB07238 pep chromosome:Graimondii2_0_v6:1:923874:927819:1 gene:B456_001G009800 transcript:KJB07238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTKNGDFEDEEAPENATSTMYLKKNHLGQINHENPLFPPLTARHSQRRCSFKRKPIKKGKFYTMDPCPFVRLIIESLALKLPQPTKPVAVASGGVCPTTTPCFCKLRLKNFQSQTALLPLSNGSGDSPPESSTSAAGFHLDALTLRRVSSKPVTLCVEVFTGRMGRSCGVNSGKLVGSVQVTVDLGVSQTRPAVFQDGWMKFGNDHEKPSAKLHLTVRTEPDPRFVFQFGGEPECSPVVFQIQGNISQPVFSCKFSADRSRSRSLPPNFSNKNRGWMRTLAREKERQGRERKGWMVMIYDLSGSPVAAASMITPFVPSPGTDRVSRSNPGAWLILRPHGFSVSSWKPWGRLEAWRERGPVDGLGYKFELVTDNGPSNGVPIAEATMSVKKGGLFSIDGRVSKSDSAASTSSRSPIKGFVMGSTVEGEGKVSKPMVQVGIKHVTCMADAALFIALSAAIDLSMDACRLFSRKLRKELCHDEQDPCS >KJB08988 pep chromosome:Graimondii2_0_v6:1:14012528:14014655:1 gene:B456_001G117100 transcript:KJB08988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFVTLAAKLCARKVKEAKSNILIQTPTTTLIILHYIRLLSLSPSFLISLPLLLLSLVRSLASNFLLFFLTLYTLLLAGAGVMDVQAHQEEFVGSNDHQALVIKGKRTKRQRPSSPFGVTVTCSSSSASIGGGGVAEEYNSISSPTTSGEVHESTEEEEDMANCLIMLAQSDGPRKRMDEGKFKVGSRKLSEIATTTNKAGFFVYECKTCNRSFPSFQALGGHRASHKKPKATMAEDKKPLVLAVKDDKHVDQEPQSETPLLALQVSNNNSKGCQVNKGNKIHECSICGSEFSSGQALGGHMRRHRAAAATTASNQVVVSVGNGDGIKPRNILSLDLNLPAPEDDLRDSKFQFGAPQQAIVFSTPALVDCHY >KJB07184 pep chromosome:Graimondii2_0_v6:1:562805:564763:-1 gene:B456_001G006300 transcript:KJB07184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTELKKKKKKTSSEEALKMASSWKAMSRLTARLQFSTSKLNKSSFTVLQSTSHSSATRTYRTSRLPLELSSVGSMMPLHNAIASARLISSLASESQTWSISLPL >KJB07183 pep chromosome:Graimondii2_0_v6:1:563465:564651:-1 gene:B456_001G006300 transcript:KJB07183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWKAMSRLTARLQFSTSKLNKSSFTVLQSTSHSSATRTYRTSRLPLELSSVGSMMPLHNAIASARLISSLASESQTWSLVPQGISLPL >KJB10733 pep chromosome:Graimondii2_0_v6:1:44531075:44533893:-1 gene:B456_001G219700 transcript:KJB10733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEFIALAAASKEAEWLRNLLYDVPLWPKPISPISIRCDKQDYEKEKLNERIAKLSGGVAVVQVGTQTEIELKEKKVRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDSLDNDEEKVVRCCLEHATSVAKTFLMSNCVVVEIKEPKSVPAGNPVDNSGYGY >KJB06465 pep chromosome:Graimondii2_0_v6:1:3290951:3292630:-1 gene:B456_001G035700 transcript:KJB06465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHSSTHLSQDLPLHHFTDQQQQQPNQTQQGDQLQETAAPNWLNSALLRPQQPQPPQPHPHFSHPNFLNLHTTTTASDSTAASQAPNPMLSRSSSSLLHRNHSNVIDDAAAAAAAAVAAAAVGGGVMAVESGDLKNSISETMNNNKSEGVVVESGGGGGGDGIVNWQNARYKAEILAHPFYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGGGSQGLVSDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDDDQVDSDANLFDASLDGTDSMGFGPLIPTESERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTVSAASPRSL >KJB06464 pep chromosome:Graimondii2_0_v6:1:3290951:3292630:-1 gene:B456_001G035700 transcript:KJB06464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHSSTHLSQDLPLHHFTDQQQQQPNQTQQGDQLQETAAPNWLNSALLRPQQPQPPQPHPHFSHPNFLNLHTTTTASDSTAASQAPNPMLSRSSSSLLHRNHSNVIDDAAAAAAAAVAAAAVGGGVMAVESGDLKNSISETMNNNKSEGVVVESGGGGGGDGIVNWQNARYKAEILAHPFYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGGGSQGLVSDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDDDQVDSDANLFDASLDGTDSMGFGPLIPTESERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTVSAASPRSL >KJB06467 pep chromosome:Graimondii2_0_v6:1:3290951:3292630:-1 gene:B456_001G035700 transcript:KJB06467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHSSTHLSQDLPLHHFTDQQQQQPNQTQQGDQLQETAAPNWLNSALLRPQQPQPPQPHPHFSHPNFLNLHTTTTASDSTAASQAPNPMLSRSSSSLLHRNHSNVIDDAAAAAAAAVAAAAVGGGVMAVESGDLKNSISETMNNNKSEGVVVESGGGGGGDGIVNWQNARYKAEILAHPFYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGGGSQGLVSDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDDDQVDSDANLFDASLDGTDSMGFGPLIPTESERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTVSAASPRSL >KJB06461 pep chromosome:Graimondii2_0_v6:1:3290244:3292927:-1 gene:B456_001G035700 transcript:KJB06461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHSSTHLSQDLPLHHFTDQQQQQPNQTQQGDQLQETAAPNWLNSALLRPQQPQPPQPHPHFSHPNFLNLHTTTTASDSTAASQAPNPMLSRSSSSLLHRNHSNVIDDAAAAAAAAVAAAAVGGGVMAVESGDLKNSISETMNNNKSEGVVVESGGGGGGDGIVNWQNARYKAEILAHPFYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGGGSQGLVSDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDDDQVDSDANLFDASLDGTDSMGFGPLIPTESERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVSKSKRKR >KJB06460 pep chromosome:Graimondii2_0_v6:1:3290189:3293017:-1 gene:B456_001G035700 transcript:KJB06460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHSSTHLSQDLPLHHFTDQQQQQPNQTQQGDQLQETAAPNWLNSALLRPQQPQPPQPHPHFSHPNFLNLHTTTTASDSTAASQAPNPMLSRSSSSLLHRNHSNVIDDAAAAAAAAVAAAAVGGGVMAVESGDLKNSISETMNNNKSEGVVVESGGGGGGDGIVNWQNARYKAEILAHPFYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGGGSQGLVSDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDDDQVDSDANLFDASLDGTDSMGFGPLIPTESERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVSKSKRKR >KJB06463 pep chromosome:Graimondii2_0_v6:1:3290150:3293017:-1 gene:B456_001G035700 transcript:KJB06463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHSSTHLSQDLPLHHFTDQQQQQPNQTQQGDQLQETAAPNWLNSALLRPQQPQPPQPHPHFSHPNFLNLHTTTTASDSTAASQAPNPMLSRSSSSLLHRNHSNVIDDAAAAAAAAVAAAAVGGGVMAVESGDLKNSISETMNNNKSEGVVVESGGGGGGDGIVNWQNARYKAEILAHPFYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGGGSQGLVSDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDDDQVDSDANLFDASLDGTDSMGFGPLIPTESERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVSKSKRKR >KJB06462 pep chromosome:Graimondii2_0_v6:1:3290244:3292927:-1 gene:B456_001G035700 transcript:KJB06462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHSSTHLSQDLPLHHFTDQQQQQPNQTQQGDQLQETAAPNWLNSALLRPQQPQPPQPHPHFSHPNFLNLHTTTTASDSTAASQAPNPMLSRSSSSLLHRNHSNVIDDAAAAAAAAVAAAAVGGGVMAVESGDLKNSISETMNNNKSEGVVVESGGGGGGDGIVNWQNARYKAEILAHPFYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGGGSQGLVSDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDDDQVDSDANLFDASLDGTDSMGFGPLIPTESERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVSKSKRKSNAGENIR >KJB06466 pep chromosome:Graimondii2_0_v6:1:3290774:3292630:-1 gene:B456_001G035700 transcript:KJB06466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHSSTHLSQDLPLHHFTDQQQQQPNQTQQGDQLQETAAPNWLNSALLRPQQPQPPQPHPHFSHPNFLNLHTTTTASDSTAASQAPNPMLSRSSSSLLHRNHSNVIDDAAAAAAAAVAAAAVGGGVMAVESGDLKNSISETMNNNKSEGVVVESGGGGGGDGIVNWQNARYKAEILAHPFYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGGGSQGLVSDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDDDQVDSDANLFDASLDGTDSMGFGPLIPTESERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVSKSKRKR >KJB07972 pep chromosome:Graimondii2_0_v6:1:5456539:5460929:-1 gene:B456_001G056200 transcript:KJB07972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETLDDKKPEEEEVKDKENEEGSKEVLEKQMEVEEKENEEEEEEEEEDEEEKREEEEEESEDEGTKKVKGSSRKGSSRKSGRDSAEKKEPVTPSSDRPTRERKVVERYSAPSVARSSSSKTLSIEKGRGTQLKDIPNVAFKLSKRKADDNLQMLHIILFGKKAKPHSLKRNIGQFSGYVWVENEQEKQKAKVREKIDKCVKEKLVDFCDLLNIPFMRTSVRKEEVTAKLLEFLESPHATTDILLADKEQKGKKRKATLSKNIGSAEALDTSAKKRQKTPQGGEKRKRSSKAEEEEEDDDKVESPVTRDDSHEDDADTAPKEVNDDEETKSEEEEEPKKSRKKGTSKKVATESLESKSKDKSESGKNLTPAKSSKNSSGSTSKQDASDGGGTSGSKSKGSASKKPKVEKENSKDGSTKEKLAVKKQTNKSSAKVSAKSQGKSKSGKKPKPEPSREEIHEVVVDILKKVDFNTVSVIFICLILR >KJB07970 pep chromosome:Graimondii2_0_v6:1:5455866:5461320:-1 gene:B456_001G056200 transcript:KJB07970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETLDDKKPEEEEVKDKENEEGSKEVLEKQMEVEEKENEEEEEEEEEDEEEKREEEEEESEDEGTKKVKGSSRKGSSRKSGRDSAEKKEPVTPSSDRPTRERKVVERYSAPSVARSSSSKTLSIEKGRGTQLKDIPNVAFKLSKRKADDNLQMLHIILFGKKAKPHSLKRNIGQFSGYVWVENEEKQKAKVREKIDKCVKEKLVDFCDLLNIPFMRTSVRKEEVTAKLLEFLESPHATTDILLADKEQKGKKRKATLSKNIGSAEALDTSAKKRQKTPQGGEKRKRSSKAEEEEEDDDKVESPVTRDDSHEDDADTAPKEVNDDEETKSEEEEEPKKSRKKGTSKKVATESLESKSKDKSESGKNLTPAKSSKNSSGSTSKQDASDGGGTSGSKSKGSASKKPKVEKENSKDGSTKEKLAVKKQTNKSSAKVSAKSQGKSKSGKKPKPEPSREEIHEVVVDILKKVDFNTATLSDILRQLGTHFDLDLMHRKAEVKDIITDVINNMSDEDEEGDESEENADTGGGADKDGDGDDDA >KJB07969 pep chromosome:Graimondii2_0_v6:1:5454309:5461320:-1 gene:B456_001G056200 transcript:KJB07969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETLDDKKPEEEEVKDKENEEGSKEVLEKQMEVEEKENEEEEEEEEEDEEEKREEEEEESEDEGTKKVKGSSRKGSSRKSGRDSAEKKEPVTPSSDRPTRERKVVERYSAPSVARSSSSKTLSIEKGRGTQLKDIPNVAFKLSKRKADDNLQMLHIILFGKKAKPHSLKRNIGQFSGYVWVENEQEKQKAKVREKIDKCVKEKLVDFCDLLNIPFMRTSVRKEEVTAKLLEFLESPHATTDILLADKEQKGKKRKATLSKNIGSAEALDTSAKKRQKTPQGGEKRKRSSKAEEEEEDDDKVESPVTRDDSHEDDADTAPKEVNDDEETKSEEEEEPKKSRKKGTSKKVATESLESKSKDKSESGKNLTPAKSSKNSSGSTSKQDASDGGGTSGSKSKGSASKKPKVEKENSKDGSTKEKLAVKKQTNKSSAKVSAKSQGKSKSGKKPKPEPSREEIHEVVVDILKKVDFNTATLSDILRQLGTHFDLDLMHRKAEVKDIITDVINNMSDEDEEGDESEENADTGGGADKDGDGDDDA >KJB07974 pep chromosome:Graimondii2_0_v6:1:5455876:5461320:-1 gene:B456_001G056200 transcript:KJB07974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEKENEEEEEEEEEDEEEKREEEEEESEDEGTKKVKGSSRKGSSRKSGRDSAEKKEPVTPSSDRPTRERKVVERYSAPSVARSSSSKTLSIEKGRGTQLKDIPNVAFKLSKRKADDNLQMLHIILFGKKAKPHSLKRNIGQFSGYVWVENEEKQKAKVREKIDKCVKEKLVDFCDLLNIPFMRTSVRKEEVTAKLLEFLESPHATTDILLADKEQKGKKRKATLSKNIGSAEALDTSAKKRQKTPQGGEKRKRSSKAEEEEEDDDKVESPVTRDDSHEDDADTAPKEVNDDEETKSEEEEEPKKSRKKGTSKKVATESLESKSKDKSESGKNLTPAKSSKNSSGSTSKQDASDGGGTSGSKSKGSASKKPKVEKENSKDGSTKEKLAVKKQTNKSSAKVSAKSQGKSKSGKKPKPEPSREEIHEVVVDILKKVDFNTATLSDILRQLGTHFDLDLMHRKAEVKDIITDVINNMSDEDEEGDESEENADTGGGADKDGDGDDDA >KJB07973 pep chromosome:Graimondii2_0_v6:1:5456200:5460833:-1 gene:B456_001G056200 transcript:KJB07973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEKENEEEEEEEEEDEEEKREEEEEESEDEGTKKVKGSSRKGSSRKSGRDSAEKKEPVTPSSDRPTRERKVVERYSAPSVARSSSSKTLSIEKGRGTQLKDIPNVAFKLSKRKADDNLQMLHIILFGKKAKPHSLKRNIGQFSGYVWVENEQEKQKAKVREKIDKCVKEKLVDFCDLLNIPFMRTSVRKEEVTAKLLEFLESPHATTDILLADKEQKGKKRKATLSKNIGSAEALDTSAKKRQKTPQGGEKRKRSSKAEEEEEDDDKVESPVTRDDSHEDDADTAPKEVNDDEETKSEEEEEPKKSRKKGTSKKVATESLESKSKDKSESGKNLTPAKSSKNSSGSTSKQDASDGGGTSGSKSKGSASKKPKVEKENSKDGSTKEKLAVKKQTNKSSAKVSAKSQGKSKSGKKPKPEPSREEIHEVVVDILKKVDFNTATLSDILRQLGTHFDLDLMHRKAEVKDIITDVINNMSDEDEEGDESEENADTGGGADKDGDGDDDA >KJB07968 pep chromosome:Graimondii2_0_v6:1:5456200:5460929:-1 gene:B456_001G056200 transcript:KJB07968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETLDDKKPEEEEVKDKENEEGSKEVLEKQMEVEEKENEEEEEEEEEDEEEKREEEEEESEDEGTKKVKGSSRKGSSRKSGRDSAEKKEPVTPSSDRPTRERKVVERYSAPSVARSSSSKTLSIEKGRGTQLKDIPNVAFKLSKRKADDNLQMLHIILFGKKAKPHSLKRNIGQFSGYVWVENEQEKQKAKVREKIDKCVKEKLVDFCDLLNIPFMRTSVRKEEVTAKLLEFLESPHATTDILLADKEQKGKKRKATLSKNIGSAEALDTSAKKRQKTPQGGEKRKRSSKAEEEEEDDDKVESPVTRDDSHEDDADTAPKEVNDDEETKSEEEEEPKKSRKKGTSKKVATESLESKSKDKSESGKNLTPAKSSKNSSGSTSKQDASDGGGTSGSKSKGSASKKPKVEKENSKDGSTKEKLAVKKQTNKSSAKVSAKSQGKSKSGKKPKPEPSREEIHEVVVDILKKVDFNTATLSDILRQLGTHFDLDLMHRKAEVKDIITDVINNMSDEDEEGDESEENADTGGGADKDGDGDDDA >KJB07971 pep chromosome:Graimondii2_0_v6:1:5455875:5461320:-1 gene:B456_001G056200 transcript:KJB07971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETLDDKKPEEEEVKDKENEEGSKEVLEKQMEVEEKENEEEEEEEEEDEEEKREEEEEESEDEGTKKVKGSSRKGSSRKSGRDSAEKKEPVTPSSDRPTRERKVVERYSAPSVARSSSSKTLSIEKGRGTQLKDIPNVAFKLSKRKADDNLQMLHIILFGKKAKPHSLKRNIGQFSGYVWVENEEEVTAKLLEFLESPHATTDILLADKEQKGKKRKATLSKNIGSAEALDTSAKKRQKTPQGGEKRKRSSKAEEEEEDDDKVESPVTRDDSHEDDADTAPKEVNDDEETKSEEEEEPKKSRKKGTSKKVATESLESKSKDKSESGKNLTPAKSSKNSSGSTSKQDASDGGGTSGSKSKGSASKKPKVEKENSKDGSTKEKLAVKKQTNKSSAKVSAKSQGKSKSGKKPKPEPSREEIHEVVVDILKKVDFNTATLSDILRQLGTHFDLDLMHRKAEVKDIITDVINNMSDEDEEGDESEENADTGGGADKDGDGDDDA >KJB10257 pep chromosome:Graimondii2_0_v6:1:32447206:32454124:1 gene:B456_001G192400 transcript:KJB10257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVAKSSELKDQCPVRVENTPSAHDLSFPEHDSYVVLNAVSSLPWEHHTSRKNISRRTAPGVQSKDHCENLSFCMVKGCWLLLVGLVLSCQIPGVRLKLWRSRESEPAPLQPVPQKLQLLLQQKHQQQAQDPPKGAGKWRKKLLIIFVLMGILTSIWLFWHLNQKINLRREETLTNMCDERARMLQDQFNVSMNHVHALALLVSTFHHGKHPSAIDQKTFGEYTERTAFERPLTSGVAYALKVLHSEREQFEKQHGWTIKKMETEDQTLVQDCLTENLDPAPVKDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARATGKGVLTSPFKLLKSNHVGVVLTFAVYNKDLPPDATPELRIEATMGYLGASYDVPSLVEKLLHQLASNQTIVVNVYDTTNSSASISMYGTDVTDTGLLHVSSLDFGDPLRKHEMHCRFKQKPPLPWTAINASLGVLVITLLVGHIFHAAISRIAKVENDYREMMELKARAEAADIAKSQFLATVSHEIRTPMNGVLGMLKMLMDTDLDAVQRDYAETAHASGKDLISLINEVLDQAKIESGRLELEDVPFDLRSLLDNVLSLSSDKSNDKGIELAVYVSDRVPEVVVGDPGRFRQIIINLVGNSIKFTQDKGHIFVSVHLVDEVKGACDVGDKVLQQGLNLVQDMSSKTYNTLSGFPVVDRWRSWENFKTLNSKDAVEDPEKIKLLVTVEDTGVGIHLGAQDRIFTPFVQADSSTSRHYGGTGIGLSISKHLVELMHGEIGFVSEPGIGSTFSFTGSFAKGEVSSLDSRWKQYDPVVSEFQGLRALVVDNRSIRAEVTRYHLRRLGISVDITLSMESTCTYLSNACGTSDFAHLAMILIDKDAWNQERVLQFRSLLKEHRQNGRINVSTNFPKIFLLATAMTPLERSKLKTAGFVDNVLMKPLRLSVIIACFQELLGNGRKDQVHRKKSTLGTLLREKRILVVDDNKVNRRVAEGALKKYGAIVSCVEKGQDALDKLRPPHNFDACFMDLQMPEMDGFEATRQIRSVETQVNENIASGEASIDMYGNVSYWHIPILAMTADVIQATNEECMKCGMDGYVSKPFEEEQLYSAVASFFESG >KJB10255 pep chromosome:Graimondii2_0_v6:1:32445528:32454985:1 gene:B456_001G192400 transcript:KJB10255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSGTGNFVKLSGLLGEIHRCALVKMSMNGKFPASTCRLPANSRLKKAKEIMHRSNSFKKWNRYLIFLWLLGFLSVGFIWFLTSVPSEGTEKIPPSCEDNARILLQHFNVSKNQLHALASFFYESDQVAFLECSRHSGPEKPSSDDITCALNVLCSKKPDFEKQMWVAKSSELKDQCPVRVENTPSAHDLSFPEHDSYVVLNAVSSLPWEHHTSRKNISRRTAPGVQSKDHCENLSFCMVKGCWLLLVGLVLSCQIPGVRLKLWRSRESEPAPLQPVPQKLQLLLQQKHQQQAQDPPKGAGKWRKKLLIIFVLMGILTSIWLFWHLNQKINLRREETLTNMCDERARMLQDQFNVSMNHVHALALLVSTFHHGKHPSAIDQKTFGEYTERTAFERPLTSGVAYALKVLHSEREQFEKQHGWTIKKMETEDQTLVQDCLTENLDPAPVKDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARATGKGVLTSPFKLLKSNHVGVVLTFAVYNKDLPPDATPELRIEATMGYLGASYDVPSLVEKLLHQLASNQTIVVNVYDTTNSSASISMYGTDVTDTGLLHVSSLDFGDPLRKHEMHCRFKQKPPLPWTAINASLGVLVITLLVGHIFHAAISRIAKVENDYREMMELKARAEAADIAKSQFLATVSHEIRTPMNGVLGMLKMLMDTDLDAVQRDYAETAHASGKDLISLINEVLDQAKIESGRLELEDVPFDLRSLLDNVLSLSSDKSNDKGIELAVYVSDRVPEVVVGDPGRFRQIIINLVGNSIKFTQDKGHIFVSVHLVDEVKGACDVGDKVLQQGLNLVQDMSSKTYNTLSGFPVVDRWRSWENFKTLNSKDAVEDPEKIKLLVTVEDTGVGIHLGAQDRIFTPFVQADSSTSRHYGGTGIGLSISKHLVELMHGEIGFVSEPGIGSTFSFTGSFAKGEVSSLDSRWKQYDPVVSEFQGLRALVVDNRSIRAEVTRYHLRRLGISVDITLSMESTCTYLSNACGTSDFAHLAMILIDKDAWNQERVLQFRSLLKEHRQNGRINVSTNFPKIFLLATAMTPLERSKLKTAGFVDNVLMKPLRLSVIIACFQELLGNGRKDQVHRKKSTLGTLLREKRILVVDDNKVNRRVAEGALKKYGAIVSCVEKGQDALDKLRPPHNFDACFMDLQMPEMDGFEATRQIRSVETQVNENIASGEASIDMYGNVSYWHIPILAMTADVIQATNEECMKCGMDGYVSKPFEEEQLYSAVASFFESG >KJB10258 pep chromosome:Graimondii2_0_v6:1:32447206:32454755:1 gene:B456_001G192400 transcript:KJB10258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVAKSSELKDQCPVRVENTPSAHDLSFPEHDSYVVLNAVSSLPWEHHTSRKNISRRTAPGVQSKDHCENLSFCMVKGCWLLLVGLVLSCQIPGVRLKLWRSRESEPAPLQPVPQKLQLLLQQKHQQQAQDPPKGAGKWRKKLLIIFVLMGILTSIWLFWHLNQKINLRREETLTNMCDERARMLQDQFNVSMNHVHALALLVSTFHHGKHPSAIDQKTFGEYTERTAFERPLTSGVAYALKVLHSEREQFEKQHGWTIKKMETEDQTLVQDCLTENLDPAPVKDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARATGKGVLTSPFKLLKSNHVGVVLTFAVYNKDLPPDATPELRIEATMGYLGASYDVPSLVEKLLHQLASNQTIVVNVYDTTNSSASISMYGTDVTDTGLLHVSSLDFGDPLRKHEMHCRFKQKPPLPWTAINASLGVLVITLLVGHIFHAAISRIAKVENDYREMMELKARAEAADIAKSQFLATVSHEIRTPMNGVLGMLKMLMDTDLDAVQRDYAETAHASGKDLISLINEVLDQAKIESGRLELEDVPFDLRSLLDNVLSLSSDKSNDKGIELAVYVSDRVPEVVVGDPGRFRQIIINLVGNSIKFTQDKGHIFVSVHLVDEVKGACDVGDKVLQQGLNLVQDMSSKTYNTLSGFPVVDRWRSWENFKTLNSKDAVEDPEKIKLLVTVEDTGVGIHLGAQDRIFTPFVQADSSTSRHYGGTGIGLSISKHLVELMHGEIGFVSEPGIGSTFSFTGSFAKGEVSSLDSRWKQYDPVVSEFQGLRALVVDNRSIRAEVTRYHLRRLGISVDITLSMESTCTYLSNACGTSDFAHLAMILIDKDAWNQERVLQFRSLLKEHRQNGRINVSTNFPKIFLLATAMTPLERSKLKTAGFVDNVLMKPLRLSVIIACFQELLGNGRKDQVHRKKSTLGTLLREKRILVVDDNKVNRRVAEGALKKYGAIVSCVEKGQDALDKLRPPHNFDACFMDLQMPEMDGFEATRQIRSVETQVNENIASGEASIDMYGNVSYWHIPILAMTADVIQATNEECMKCGMDGYVSKPFEEEQLYSAVASFFESG >KJB10256 pep chromosome:Graimondii2_0_v6:1:32447206:32452437:1 gene:B456_001G192400 transcript:KJB10256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVAKSSELKDQCPVRVENTPSAHDLSFPEHDSYVVLNAVSSLPWEHHTSRKNISRRTAPGVQSKDHCENLSFCMVKGCWLLLVGLVLSCQIPGVRLKLWRSRESEPAPLQPVPQKLQLLLQQKHQQQAQDPPKGAGKWRKKLLIIFVLMGILTSIWLFWHLNQKINLRREETLTNMCDERARMLQDQFNVSMNHVHALALLVSTFHHGKHPSAIDQKTFGEYTERTAFERPLTSGVAYALKVLHSEREQFEKQHGWTIKKMETEDQTLVQDCLTENLDPAPVKDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARATGKGVLTSPFKLLKSNHVGVVLTFAVYNKDLPPDATPELRIEATMGYLGASYDVPSLVEKLLHQLASNQTIVVNVYDTTNSSASISMYGTDVTDTGLLHVSSLDFGDPLRKHEMHCRFKQKPPLPWTAINASLGVLVITLLVGHIFHAAISRIAKVENDYREMMELKARAEAADIAKSQFLATVSHEIRTPMNGVLGMLKMLMDTDLDAVQRDYAETAHASGKDLISLINEVLDQAKIESGRLELEDVPFDLRSLLDNVLSLSSDKSNDKGIELAVYVSDRVPEVVVGDPGRFRQIIINLVGNSIKFTQDKGHIFVSVHLVDEVKGACDVGDKVLQQGLNLVQDMSSKTYNTLSGFPVVDRWRSWENFKTLNSKDAVEDPEKIKLLVTVEDTGVGIHLGAQDRIFTPFVQADSSTSRHYGGTGIGLSISKHLVELMHGEIGFVSEPGIGSTFSFTGSFAKGEVSSLDSRWKQYDPVVSEFQGLRALVVDNRSIRAEVTRYHLRRLGISVDITLSMESTCTYLSNACGTR >KJB10130 pep chromosome:Graimondii2_0_v6:1:29597196:29597772:1 gene:B456_001G185400 transcript:KJB10130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IEGVKEKEVARKVIYAKWKPPSGICIKLNFDAAFDKDFFKSGTGIVAKNAQGQVIASRLILHSNVGSAFVAEALACSWAVKTGLELGVTEAIIEGDSLTIIKKCNNMSQDKSEIRAHIQNIQYHSSRFLSIQFKHANQLAQRLTVESLRNGEEFYLEGAVPGFARRTMEEEWIREPD >KJB08520 pep chromosome:Graimondii2_0_v6:1:9155268:9156272:1 gene:B456_001G086100 transcript:KJB08520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYPIITSNTPVTSVFPLFFLSYHLTFSNQKQNNMGALDYISNFCTVSRRRTKRKPMQTVEIKVKMDCDGCERRVKNAVTNMKGVKSVEVNRKQSRVTVTGNVEPNRVLKRVKSTGKRAEFWPYIPQHVVYYPYASGAYDKKAPAGFVRNVVQAYPGSSSHAPEENFVSFFSDDNVHACSIM >KJB10522 pep chromosome:Graimondii2_0_v6:1:40364073:40367954:-1 gene:B456_001G206300 transcript:KJB10522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWNDPSEFKDIFDVDHFITSLRDEVRILKELPPRVQHRVDQGMFLSMQPISWSDISYYAHQILPLVQKHKVVQLNKTDARLANNNLPPEIQKLRCRVNFNALKFTSQIEELGRRVVKILREKGPFLVLHLRYEMDMLAFSGCTHGCNSDEEEELTRMRYAYPWWKEKVINSEMKRKEGLCPLTPEETALVLRALGIDRNVQIYIAAGEIYGGERRMAPLAEAFPNLVRKETLLVRSDLKFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFLGFKKTILLDRKLLVKLIDEYQSGSLSWDEFSETVKEVHSDRMGNPKKRVVIPDRPKEEDYFYSNPHECVQLLDEPLS >KJB10521 pep chromosome:Graimondii2_0_v6:1:40365364:40367332:-1 gene:B456_001G206300 transcript:KJB10521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRVEETSGKCDYRKQWEMKIKMFGEGKVEKLKNSMVSRSRMKLWMIRALTTILLWTCFAHLMTLGEIFGPKLLKGWPSCFTHSTSELPLVAPELSSIPPKLILPPKRLYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWNDPSEFKDIFDVDHFITSLRDEVRILKELPPRVQHRVDQGMFLSMQPISWSDISYYAHQILPLVQKHKVVQLNKTDARLANNNLPPEIQKLRCRVNFNALKFTSQIEELGRRVVKILREKGPFLVLHLRYEMDMLAFSGCTHGCNSDEEEELTRMRYAYPWWKEKVINSEMKRKEGLCPLTPEETALVLRALGIDRNVQIYIAAGEIYGGERRMAPLAEAFPNLVSKMYVRHLQCMLYVI >KJB10523 pep chromosome:Graimondii2_0_v6:1:40364073:40368231:-1 gene:B456_001G206300 transcript:KJB10523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRVEETSGKCDYRKQWEMKIKMFGEGKVEKLKNSMVSRSRMKLWMIRALTTILLWTCFAHLMTLGEIFGPKLLKGWPSCFTHSTSELPLVAPELSSIPPKLILPPKRLYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWNDPSEFKDIFDVDHFITSLRDEVRILKELPPRVQHRVDQGMFLSMQPISWSDISYYAHQILPLVQKHKVVQLNKTDARLANNNLPPEIQKLRCRVNFNALKFTSQIEELGRRVVKILREKGPFLVLHLRYEMDMLAFSGCTHGCNSDEEEELTRMRYAYPWWKEKVINSEMKRKEGLCPLTPEETALVLRALGIDRNVQIYIAAGEIYGGERRMAPLAEAFPNLVRKETLLVRSDLKFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFLGFKKTILLDRKLLVKLIDEYQSGSLSWDEFSETVKEVHSDRMGNPKKRVVIPDRPKEEDYFYSNPHECVQLLDEPLS >KJB11076 pep chromosome:Graimondii2_0_v6:1:48009543:48009991:-1 gene:B456_001G240100 transcript:KJB11076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTELLRSMLYRGADIIRDIEWVIFDEVHYVNDVER >KJB11077 pep chromosome:Graimondii2_0_v6:1:48009550:48010074:-1 gene:B456_001G240100 transcript:KJB11077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTELLRSMLYRGADIIRDIEWVIFDEVHYVNDVER >KJB09349 pep chromosome:Graimondii2_0_v6:1:17766894:17771382:1 gene:B456_001G136000 transcript:KJB09349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPSSSSAVKRLSNLSNHLSPTNSNPLVKVSAQVSRALSTGLPVVALESTIISHGMPYPQNLETAKEVEAIVRDNGAIPATIAILDGVPCIGLNLEQLERLARMGKSAQKTARRDIAYVVATKRNGATTVSATMFFAAMVGIPVFVTGGIGGVHRHGEHTMDISSDLTELGRTPVAVVSAGVKSILDIPRTLEYLETQGVCVAAYKTNEFPAFFTETSGCKVPCRLDSPEECAQLIDANRKLNLGNGVLIAVPIAKEYSASGSLIESAIQQALTEAREKNITGNAETPFLLARVNEITGGASLASNIALVKNNAAIGAKISVALAQLLK >KJB09347 pep chromosome:Graimondii2_0_v6:1:17766997:17770444:1 gene:B456_001G136000 transcript:KJB09347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPSSSSAVKRLSNLSNHLSPTNSNPLVKVSAQVSRALSTGLPVVALESTIISHGMPYPQNLETAKEVEAIVRDNGAIPATIAILDGVPCIGLNLEQLERLARMGKSAQKTARRDIAYVVATKRNGATTVSATMFFAAMVGIPVFVTGGIGGVHRHGEHTMDISSDLTELGRTPVAVVSAGVKSILDIPRTLEYLETQGVCVAAYKTNEFPAFFTETSGCKVPCRLDSPEECAQLIDANRKLNLGNGVLIAVPIAKEYSASGSLIESAIQQALTEAREKNITGNAETPFLLARVNEITGGASLASSILKR >KJB09348 pep chromosome:Graimondii2_0_v6:1:17766894:17771437:1 gene:B456_001G136000 transcript:KJB09348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPSSSSAVKRLSNLSNHLSPTNSNPLVKVSAQVSRALSTGLPVVALESTIISHGMPYPQNLETAKEVEAIVRDNGAIPATIAILDGVPCIGLNLEQLERLARMGKSAQKTARRDIAYVVATKRNGATTVSATMFFAAMVGIPVFVTGGIGGVHRHGEHTMDISSDLTELGRTPVAVVSAGVKSILDIPRTLEYLETQGVCVAAYKTNEFPAFFTETSGCKVPCRLDSPEECAQLIDANRKLNLGNGVLIAVPIAKEYSASGSLIESAIQQALTEAREKNITGNAETPFLLARVNEITGGASLASNIALVKNNAAIGAKISVALAQLLK >KJB07228 pep chromosome:Graimondii2_0_v6:1:893556:895584:1 gene:B456_001G009300 transcript:KJB07228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATRWLKSLFGIKNTKECPSSGDRKDKKRCSIGHSGRESSGGLCHNPTTIPPNISPAEVVWLRSFYNETEKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGTMFGGGGHGKWAAIKIQSVFRGYLAKKALRALKGLVKIQALVRGYLVRKQASATLHGMQALIRAQATIRSQKARKSMEKFDDTRSERTVSFHSRRLSASLDTLNIEESPKIVEIDTGRPKSRSRRTNTSVSDFGDDPSFQILSSSVPARLPARLSVPDSHTDWGLTGDECRFSTAQSTPRFINCCVSNAPVTPAKSVCADNFFRHYGIGNLNFPNYMANTQSFKAKLRSHSAPKQRPEPGPKKRLPLVETTESRSSLSGVRMQRSCSQAQEAISFKNVVMGKLDRYSLEFGRDSERTCLQRKR >KJB07162 pep chromosome:Graimondii2_0_v6:1:44018592:44019717:1 gene:B456_001G218400 transcript:KJB07162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIVDEEDEGGADDYIEFEDEDIDKI >KJB07159 pep chromosome:Graimondii2_0_v6:1:44018416:44019717:1 gene:B456_001G218400 transcript:KJB07159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIVDEEDEGGADDYIEFEDEDIDKI >KJB07161 pep chromosome:Graimondii2_0_v6:1:44018227:44019717:1 gene:B456_001G218400 transcript:KJB07161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIVDEEDEGGADDYIEFEDEDIDKI >KJB07160 pep chromosome:Graimondii2_0_v6:1:44018265:44019717:1 gene:B456_001G218400 transcript:KJB07160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIVDEEDEGGADDYIEFEDEDIDKI >KJB10571 pep chromosome:Graimondii2_0_v6:1:45944841:45947765:-1 gene:B456_001G225700 transcript:KJB10571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFFLPKSSIHSPFIFISCEIQKYQQSTELLIKKLPFERLVREIAQAFLRWTGKYQ >KJB10383 pep chromosome:Graimondii2_0_v6:1:35513559:35515722:-1 gene:B456_001G198400 transcript:KJB10383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSLNAPNAPLLQKTHQTHVFLKPISTIPCQTSAKRFSISCSATTQDRLSVQSQSQDRVFNFAAGPATLPENVLLKAQSELYNWHGSGMSVMEMSHRGKDFRSIIEKAETHLRSLLNIPENYAVLFLQGGATTQFAAVPLNLCAPGDSVDYLVTGSWGDKAFKEAKKYCNPKVIWSGKSENYVRVPSFDGLELNPNGKYLHICANETIYGVEFKDYPVPRNPNGVLVADMSSNFCSKPVDVTKFGLIYAGAQKNVGPSGVCIVIVRKDLLGNAQEITPVMLDYKIHADNNSLYNTPPCYGIYMCGLVFEDLLKQGGLEEVEKKNQKKAGILYNAIDESKGFYRCPVEKSVRSLMNVPFTLEKSELEAEFLKEAEKEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQAKHA >KJB10382 pep chromosome:Graimondii2_0_v6:1:35513722:35515722:-1 gene:B456_001G198400 transcript:KJB10382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSLNAPNAPLLQKTHQTHVFLKPISTIPCQTSAKRFSISCSATTQDRLSVQSQSQDRVFNFAAGPATLPENVLLKAQSELYNWHGSGMSVMEMSHRGKDFRSIIEKAETHLRSLLNIPENYAVLFLQGGATTQFAAVPLNLCAPGDSVDYLVTGSWGDKAFKEAKKYCNPKVIWSGKSENYVRVPSFDGLELNPNGKYLHICANETIYGVEFKDYPVPRNPNGVLVADMSSNFCSKPVDVTKFGLIYAGAQKNVGPSGVCIVIVRKDLLGNAQEITPVMLDYKIHADNNSLYNTPPCYGIYMCGLVFEDLLKQGGLEEVEKKNQKKAGILYNAIDESKGFYRCPVEKSVRSLMNVPFTLEKSELEAEFLKEAEKEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQAKHA >KJB10384 pep chromosome:Graimondii2_0_v6:1:35513616:35515722:-1 gene:B456_001G198400 transcript:KJB10384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSLNAPNAPLLQKTHQTHVFLKPISTIPCQTSAKRFSISCSATTQDRLSVQSQSQDRVFNFAAGPATLPENVLLKAQSELYNWHGSGMSVMEMSHRGKDFRSIIEKAETHLRSLLNIPENYAVLFLQGGATTQFAAVPLNLCAPGDSVDYLVTGSWGDKAFKEAKKYCNPKVIWSGKSENYVRVPSFDGLELNPNGKYLHICANETIYGVEFKDYPVPRNPNGVLVADMSSNFCSKPVDVTKFGLIYAGAQKNVGPSGVCIVIVRKDLLGNAQEITPVMLDYKIHADNNSLYNTPPCYGIYMCGLVFEDLLKQGGLEEVEKKNQKKAGILYNAIDESKGFYRCPVEKSVRSLMNVPFTLEKSELEAEFLKEAEKEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQAKHA >KJB06677 pep chromosome:Graimondii2_0_v6:1:12483213:12485304:1 gene:B456_001G109100 transcript:KJB06677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFSGLSIGLSLVFGCLLLALVAELYYLLWWKKRIISSQVEDDYNNYAKELVQLFCWKKSASLHASTAANNNNNQGLVKNKDSNSVEPDLELGSSRSLLVKGFGEEDVESELMRLHNLAGPPRFLFTIKEETKEDLESEDGRSRGEKSRKGSRTGSLSDLMLTVDTPLSPLASPPLKSPPLNPFDSYHRNGFNPLFESSTDAELNKLRSSPPPKFKFLRDAEEKLLERLMLEAEKRVQRNGGSIQNCGVKAANNTPILTEDIQGSFLKFIVGKNGTPLQYLPQCPSCSSQILPLDSC >KJB06676 pep chromosome:Graimondii2_0_v6:1:12483213:12485304:1 gene:B456_001G109100 transcript:KJB06676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFSGLSIGLSLVFGCLLLALVAELYYLLWWKKRIISSQVEDDYNNYAKELVQLFCWKKSASLHASTAANNNNNQGLVKNKDSNSVEPDLELGSSRSLLVKGFGEEDVESELMRLHNLAGPPRFLFTIKEETKEDLESEDGRSRGEKSRKGSRTGSLSDLMLTVDTPLSPLASPPLKSPPLNPFDSYHRNGFNPLFESSTDAELNKLRSSPPPKFKFLRDAEEKLLERLMLEAEKRVQRNGGSIQNCGVKAANNTPILTEDIQGSFLKFIVGKNGTPLQYLPQCPSCSSQILPLDSC >KJB08647 pep chromosome:Graimondii2_0_v6:1:10560441:10566726:-1 gene:B456_001G095400 transcript:KJB08647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHDDARSVFPLTSLQIGDLQAYLSDLSLYLAIESNKFYILVDNRPWLRDLDSRPAHLWWQLMVTKSRLSPFANTKGRREKKEGKEASSESNAKDSKKLERWFCSIDAAMLSEQRVLLPVKKLRTSLLLSSELHRTLYGFIVFEVTWSNVRGINYLNELQTDTSLAIEAKSMQRWEFDSIDQAANSISSWFSGTLLELCHLKEYLDSTTGEVFHDTEEDFDEDNDDGNIYEDSFSTEENFPYDHSGTSDLRVYPSTGDCETFEPQTPIGPYKRKNVTKATSTGVEVDLHCGETQRQTENSSDNSTENAVEATLYRDVLLLFRFDDRDLPFKLQQIITPDLRLLRLLEAGLPSWVLFLQSYPGFCHIYRPWMCPLARALYVLISVITVVIGFYDLYKNVPVLKATAARLCGPLFDWIETWEMVSRIKYLGTMLFLHNCQKAVRLFLTFTRATRSFFSFLCLPLAEPFMDFLDFLWPIWNLFSEVGESFFSVIWIVICALYNLVEDLIETLLMPICFIGLVLQNLATSVLCPVFWILWEIIYAPIRLFLGFARFVAFICFFVSRLVGDIWQSFRSIIQFASATESAMNSNEISMWRSLWNDIFSQVFRALRSILNGFVAFFAACNRHRLSIYNHARHFVQRPFGGATTSQTSDPRRSKSAHGNMMHPHLEIRRRVHGKSCPPALSF >KJB08645 pep chromosome:Graimondii2_0_v6:1:10562695:10566726:-1 gene:B456_001G095400 transcript:KJB08645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHDDARSVFPLTSLQIGDLQAYLSDLSLYLAIESNKFYILVDNRPWLRDLDSRPAHLWWQLMVTKSRLSPFANTKGRREKKEGKEASSESNAKDSKKLERWFCSIDAAMLSEQRVLLPVKKLRTSLLLSSELHRTLYGFIVFEVTWSNVRGINYLNELQTDTSLAIEAKSMQRWEFDSIDQAANSISSWFSGTLLELCHLKEYLDSTTGEVFHDTEEDFDEDNDDGNIYEDSFSTEENFPYDHSGTSDLRVYPSTGDCETFEPQTPIGPYKRKNVTKATSTGVEVDLHCGETQRQTENSSDNSTENAVEATLYRDVLLLFRFDDRDLPFKLQQIITPDLRLLRLLEAGLPSWVLFLQSYPGFCHIYRPWMCPLARALYVLISVITVVIGFYDLYKNVPVLKATAARLCGPLFDWIETWEMVSRIKYLGTMLFLHNCQKAVRLFLTFTRATRSFFSFLCLPLAEPFMDFLDFLWPIWNLFSEVGESFFSVIWIVICALYNLVEDLIETLLMPICFIGLVLQNLATSVLCPVFWILWEIIYAPIRLFLGFARFVAFICFFVSRLVGDIWQSFRSIIQFASATESAMNSNEISMWRSLWNDIFSQVRRH >KJB08648 pep chromosome:Graimondii2_0_v6:1:10561826:10566726:-1 gene:B456_001G095400 transcript:KJB08648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHDDARSVFPLTSLQIGDLQAYLSDLSLYLAIESNKFYILVDNRPWLRDLDSRPAHLWWQLMVTKSRLSPFANTKGRREKKEGKEASSESNAKDSKKLERWFCSIDAAMLSEQRVLLPVKKLRTSLLLSSELHRTLYGFIVFEVTWSNVRGINYLNELQTDTSLAIEAKSMQRWEFDSIDQAANSISSWFSGTLLELCHLKEYLDSTTGEVFHDTEEDFDEDNDDGNIYEDSFSTEENFPYDHSGTSDLRVYPSTGDCETFEPQTPIGPYKRKNVTKATSTGVEVDLHCGETQRQTENSSDNSTENAVEATLYRDVLLLFRFDDRDLPFKLQQIITPDLRLLRLLEAGLPSWVLFLQSYPGFCHIYRPWMCPLARALYVLISVITVVIGFYDLYKNVPVLKATAARLCGPLFDWIETWEMVSRIKYLGTMLFLHNCQKAVRLFLTFTRATRSFFSFLCLPLAEPFMDFLDFLWPIWNLFSEVGESFFSVIWIVICALYNLVEDLIETLLMPICFIGLVLQNLATSVLCPVFWILWEIIYAPIRLFLGFARFVAFICFFVSRLVGDIWQSFRSIIQFASATESAMNSNEISMWRSLWNDIFSQVFRALRSILNGFVAFFAACNRHRLRCCILIYLPLFYVILIFCRDPLF >KJB08646 pep chromosome:Graimondii2_0_v6:1:10560441:10567104:-1 gene:B456_001G095400 transcript:KJB08646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMGKHDDARSVFPLTSLQIGDLQAYLSDLSLYLAIESNKFYILVDNRPWLRDLDSRPAHLWWQLMVTKSRLSPFANTKGRREKKEGKEASSESNAKDSKKLERWFCSIDAAMLSEQRVLLPVKKLRTSLLLSSELHRTLYGFIVFEVTWSNVRGINYLNELQTDTSLAIEAKSMQRWEFDSIDQAANSISSWFSGTLLELCHLKEYLDSTTGEVFHDTEEDFDEDNDDGNIYEDSFSTEENFPYDHSGTSDLRVYPSTGDCETFEPQTPIGPYKRKNVTKATSTGVEVDLHCGETQRQTENSSDNSTENAVEATLYRDVLLLFRFDDRDLPFKLQQIITPDLRLLRLLEAGLPSWVLFLQSYPGFCHIYRPWMCPLARALYVLISVITVVIGFYDLYKNVPVLKATAARLCGPLFDWIETWEMVSRIKYLGTMLFLHNCQKAVRLFLTFTRATRSFFSFLCLPLAEPFMDFLDFLWPIWNLFSEVGESFFSVIWIVICALYNLVEDLIETLLMPICFIGLVLQNLATSVLCPVFWILWEIIYAPIRLFLGFARFVAFICFFVSRLVGDIWQSFRSIIQFASATESAMNSNEISMWRSLWNDIFSQVFRALRSILNGFVAFFAACNRHRLSIYNHARHFVQRPFGGATTSQTSDPRRSKSAHGNMMHPHLEIRRRVHGKSCPPALSF >KJB07998 pep chromosome:Graimondii2_0_v6:1:5720086:5722890:-1 gene:B456_001G057800 transcript:KJB07998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIAARISRKAAASAFSAHRHVISSRCFATESAKTISPSSDRVKWDYRGQRKIIPLGQWVPKVAVDAYVAPNVVLAGQVTVYDGASVWSGCVLRGDLNKITVGFCSNVQERCVIHAAWSSPTGLPAETSIERFVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETHSILEAGSVVPPGRRIPTGELWAGNPARFVRALTHEETLEIPKLAVAINDLSKEHFSDSFHTQQYIWKLRSSRSPWE >KJB07994 pep chromosome:Graimondii2_0_v6:1:5720086:5722890:-1 gene:B456_001G057800 transcript:KJB07994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIAARISRKAAASAFSAHRHVISSRCFATESAKTISPSSDRVKWDYRGQRKIIPLGQWVPKVAVDAYVAPNVVLAGQVTVYDGASVWSGCVLRGDLNKITVGFCSNVQERCVIHAAWSSPTGLPAETSIERFVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETHSILEAGSVVPPGRRIPTGELWAGNPARFVRALTHEETLEIPKLAVAINDLSKEHFSEFLPYSTYIWKLRSSRSPWE >KJB07993 pep chromosome:Graimondii2_0_v6:1:5720543:5722813:-1 gene:B456_001G057800 transcript:KJB07993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIAARISRKAAASAFSAHRHVISSRCFATESAKTISPSSDRVKWDYRGQRKIIPLGQWVPKVAVDAYVAPNVVLAGQVTVYDGASVWSGCVLRGDLNKITVGFCSNVQERCVIHAAWSSPTGLPAETSIERFVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETHSILEAGSVVPPGRRIPTGELWAGNPARFVRALTHEETLEIPKLAVAINDLSKEHFSEFLPYSTVYLEVEKLKKSLGINI >KJB07995 pep chromosome:Graimondii2_0_v6:1:5720086:5722890:-1 gene:B456_001G057800 transcript:KJB07995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIAARISRKAAASAFSAHRHVISSRCFATESAKTISPSSDRVKWDYRGQRKIIPLGQWVPKVAVDAYVAPNVVLAGQVTVYDGASVWSGCVLRGDLNKITVGFCSNVQERCVIHAAWSSPTGLPAETSIERFVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETHSILEAGSVVPPGRRIPTGELWAGNPARFVRALTHEETLEIPKLAVAINDLSKEHFSEFLPYSTVYLELRSSRSPWE >KJB07996 pep chromosome:Graimondii2_0_v6:1:5720003:5722969:-1 gene:B456_001G057800 transcript:KJB07996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIAARISRKAAASAFSAHRHVISSRCFATESAKTISPSSDRVKWDYRGQRKIIPLGQWVPKVAVDAYVAPNVVLAGQVTVYDGASVWSGCVLRGDLNKITVGFCSNVQERCVIHAAWSSPTGLPAETSIERFVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETHSILEAGSVVPPGRRIPTGELWAGNPARFVRALTHEETLEIPKLAVAINDLSKEHFSEFLPYSTVYLEVEKLKKSPWE >KJB07997 pep chromosome:Graimondii2_0_v6:1:5720086:5722890:-1 gene:B456_001G057800 transcript:KJB07997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIAARISRKAAASAFSAHRHVISSRCFATESAKTISPSSDRVKWDYRGQRKIIPLGQWVPKVAVDAYVAPNVVLAGQVTVYDGASVWSGCVLRGDLNKITVGFCSNVQERCVIHAAWSSPTGLPAETSIERFVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETHSILEAGSVVPPGRRIPTGELWAGNPARFVRALTHEETLEIPKLAVAINDLSKEHFSEFLPYSTVYLEVEKLKNPWE >KJB07539 pep chromosome:Graimondii2_0_v6:1:2706915:2708340:-1 gene:B456_001G028800 transcript:KJB07539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCGACGYPASRIRKYNWSMKAIRRKTTGTGRMRYLRHVPRRFKTGFREGTEAAPRKKAAVAAS >KJB07282 pep chromosome:Graimondii2_0_v6:1:1291463:1293037:1 gene:B456_001G013500 transcript:KJB07282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEVSGPCAVSLGEVIRNNSRTTARAAAGVNGCKLVAEKGSLLSENESDQTCNRGDNRVVELNRVKSDDSCISREYNQGNEEDGSISSTQFVASDISSISVEEISGLEVNSGNKSDESDKKSSESDSFLDVPQQKKVRKTETKCLFELGNIPLWGFTSICGRRPEMEDAFVAIPRFLQVPSQILKAESVTNGMNRDLTAHFYGVYDGHGGCQVANYCRERMHLALAEEIERAKSCIREGNIRHDWQELWKKAFSNCFIKVDAEIGGVCNGVNDSDNKPIAPETVGSTAVVAVVSPTHIIVANSGDSRAVLYRGKHPMPLSVDHKPDREDEHARIEAAGGKVIQWNGSRVFGVLAMSRSIGTCLQSIKVSWKPLY >KJB07280 pep chromosome:Graimondii2_0_v6:1:1290877:1293877:1 gene:B456_001G013500 transcript:KJB07280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEVSGPCAVSLGEVIRNNSRTTARAAAGVNGCKLVAEKGSLLSENESDQTCNRGDNRVVELNRVKSDDSCISREYNQGNEEDGSISSTQFVASDISSISVEEISGLEVNSGNKSDESDKKSSESDSFLDVPQQKKVRKTETKCLFELGNIPLWGFTSICGRRPEMEDAFVAIPRFLQVPSQILKAESVTNGMNRDLTAHFYGVYDGHGGCQVANYCRERMHLALAEEIERAKSCIREGNIRHDWQELWKKAFSNCFIKVDAEIGGVCNGVNDSDNKPIAPETVGSTAVVAVVSPTHIIVANSGDSRAVLYRGKHPMPLSVDHKPDREDEHARIEAAGGKVIQWNGSRVFGVLAMSRSIGDRYLKPWIIPNPEVMFVPRVKEDECLILASDGLWDVISNEEACEVARKRILLWHKKHGDKLPAERGEGIDLAAQSAADYLSKLALSKGSKDNITVIVLDLKAQRKFKKKT >KJB07281 pep chromosome:Graimondii2_0_v6:1:1291463:1292674:1 gene:B456_001G013500 transcript:KJB07281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEVSGPCAVSLGEVIRNNSRTTARAAAGVNGCKLVAEKGSLLSENESDQTCNRGDNRVVELNRVKSDDSCISREYNQGNEEDGSISSTQFVASDISSISVEEISGLEVNSGNKSDESDKKSSESDSFLDVPQQKKVRKTETKCLFELGNIPLWGFTSICGRRPEMEDAFVAIPRFLQVPSQILKAESVTNGMNRDLTAHFYGVYDGHGGCQVANYCRERMHLALAEEIERAKSCIREGNIRHDWQELWKKAFSNCFIKVDAEIGGVCNGVNDSDNKPIAPETVGSTAVVAVVSPTHIIVANSGDSRAVLYRGKHPMPLSVDHKVRHAYFKLFFRKTYNFQRHCCLY >KJB09429 pep chromosome:Graimondii2_0_v6:1:19184253:19186697:1 gene:B456_001G142000 transcript:KJB09429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYVVGIKVIATTYTVCFFNAMDGGFDGDFPAGLKVLVVDENRTCLLVLETMLRKLSYEVTTCQLARHALALLREDKNRFDIVLCDLHMPEMDGLKLLEIIGLEMDLPVVMMSSDDGKGVIMKGIIHGACDYLVKPVQMEAVRLIWQHVVRKRQRALGDFQQLRGNIHATGRTLLLKQAKNAVDQMPARERRILKRARENDDEDEDDEDDEGELSEEVTTAKKPRVIWTQELHDIFVIAVNQLRQQAVPKKILERMQAMNVTGLSRANIASHLQKYRLHLRKGGGQPLADNRDVNLNPSIGQASSFNQFNLQFQQPTATGSSCNQLPMQNLMITPQPCTINDSVVPDSTQCFPTELSTNDLSQPNLLFQNDVPTSNVEHLYRNVGVNVSELSNPISSVDDSSVNQLIYEPSVLVRQYDQGDFFHGGFPRLETLSI >KJB11128 pep chromosome:Graimondii2_0_v6:1:48240679:48243389:1 gene:B456_001G241900 transcript:KJB11128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGIMQEQNLEEQIRKQMGCMAGFLQIFDRHQILTVKCLYSTKRLRPLPASETTSEEEKAIESPVSSRKLEKWPQGRLAPSLEFNFHLKQNLQQNFQNEEISCNVVRENGAKQEHLIGNRSESLKNARTEPIKAPVGGIDQRKCFFDSADFFPEPKQTDSIYGEIEKRLKLRGIDEPAKDLEILKKILEASQLKGLLYSKKPPNQTNKRNFVYEHEQSPLPIIKQGRSPASAVRRIGNDSPPLSNRSRPGPYRNSSIDVLPAMSPRRDRLGNERNQSKHRGSISPTRSECGVRSPNRRPLSVETQRRGNANVEQRRVSPVQSPRVNVGKIGLDQTTNRSSSNRRPTAEIYQKEEKVIFIPAEDETSTVSESSISTSSQTDTERSKVEEYKDGRSLLERCDKLLHSIAKMVATTELQSSPASVLDSSFYKDESSVSPVKKRSFDFKDDTWSPAAISSDDSKSVDKSDVCDFIYIFDILRASNYLLDDSDVFLLLEKQQHLKGKDTSNVSRLQRKLIFDTINEILNRKRQLSAWKLVSSTKSGCGEQPSLRQIWSEFQKIRERDSSDDLFEVICSVLRKDLVGDAINGWGDYPIEMSESVLNIERVIFKDLIVETIWDLAAASGKSNKISRQLVF >KJB07708 pep chromosome:Graimondii2_0_v6:1:3699650:3701932:1 gene:B456_001G039800 transcript:KJB07708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNPQSSDGKHDDDSALSDFLASLMDYAPTIPDELVEHYLAKSGFQCPDVRLIRLVAVATQKFVAEVASDALQHCKARQAAVVKDKREKQQKDKRLILTMDDLSKSLREYGVNVKHQEYFADSPSTGIDPASREE >KJB11657 pep chromosome:Graimondii2_0_v6:1:54744993:54747684:-1 gene:B456_001G270400 transcript:KJB11657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CHFPAIFNFGASNSDTGGYAAAFIQLQSPNGDTFFGMPAGRFCDGRLIVDFTGGIYASLIPREETFSKALYTFDIGQNDLTQSLFLNMTIVQVIACIPDIVNHFSDIIKNLYNLGARSFWVYNTRPLGCFPKILTSFPLAEKDSVGCAKPYNLIEFPLATIVYVDLYSALYSLYTHPTKNGFEHPLVACCGYGGKYNYSEEAICGGKISFNGKNITVGSCKDPSVRVSWDGVHFTEAANKFSFDLVSSGDFSDPPIPLKLACHPR >KJB11658 pep chromosome:Graimondii2_0_v6:1:54744993:54746335:-1 gene:B456_001G270400 transcript:KJB11658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVPYGHASPFYLPLQYLQFAQFKNRSQIIRRHGGIYASLIPREETFSKALYTFDIGQNDLTQSLFLNMTIVQVIACIPDIVNHFSDIIKNLYNLGARSFWVYNTRPLGCFPKILTSFPLAEKDSVGCAKPYNLIEFPLATIVYVDLYSALYSLYTHPTKNGFEHPLVACCGYGGKYNYSEEAICGGKISFNGKNITVGSCKDPSVRVSWDGVHFTEAANKFSFDLVSSGDFSDPPIPLKLACHPR >KJB11659 pep chromosome:Graimondii2_0_v6:1:54744993:54746335:-1 gene:B456_001G270400 transcript:KJB11659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVPYGHASPFYLPLQYLQFAQFKNRSQIIRRHGGIYASLIPREETFSKALYTFDIGQNDLTQSLFLNMTIVQVIACIPDIVNHFSDIIKNLYNLGARSFWVYNTRPLGCFPKILTSFPLAEKDSVGCAKPYNLIEFPLATIVYVDLYSALYSLYTHPTKNGFEHPLVACCGYGGKYNYSEEAICGGKISFNGKNITVGSCKDPSVRVSWDGVHFTEAANKFSFDLVSSGDFSDPPIPLKLACHPR >KJB08368 pep chromosome:Graimondii2_0_v6:1:8416895:8420449:-1 gene:B456_001G080100 transcript:KJB08368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAMEQSSCSSRRYDEPDFSLREWGVKARVSRENTASRRYSASYIRSFREDSRSFRSNITIYSTASSPGYCLKDEIDPSTYSFTTALKALQARTMYSSWECLSPEGFALNSKWNEAEKYICNPLSGEVPMECLSAKTLSGRSFRNLTNRITMSAPLVYSHSSCHFQTKSSRTVSQDIDQFPERKAVSLTRDVGIQSTPPDLCSGSLSPASTPPILERTLKRCGTETGDSTDSNTKSKANEQVQVKETRENEETKKDEEIRQPAGCLSCVRKKQRNKHKSGRKSIFCFPSF >KJB08369 pep chromosome:Graimondii2_0_v6:1:8417322:8419532:-1 gene:B456_001G080100 transcript:KJB08369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSWECLSPEGFALNSKWNEAEKYICNPLSGEVPMECLSAKTLSGRSFRNLTNRITMSAPLVYSHSSCHFQTKSSRTVSQDIDQFPERKAVSLTRDVGIQSTPPDLCSGSLSPASTPPILERTLKRCGTETGDSTDSNTKSKANEQVQVKETRENEETKKDEEIRQPAGCLSCVRKKQRNKHKSGRKSIFCFPSF >KJB08370 pep chromosome:Graimondii2_0_v6:1:8416938:8420211:-1 gene:B456_001G080100 transcript:KJB08370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTLQHTPLQQLLKARTMYSSWECLSPEGFALNSKWNEAEKYICNPLSGEVPMECLSAKTLSGRSFRNLTNRITMSAPLVYSHSSCHFQTKSSRTVSQDIDQFPERKAVSLTRDVGIQSTPPDLCSGSLSPASTPPILERTLKRCGTETGDSTDSNTKSKANEQVQVKETRENEETKKDEEIRQPAGCLSCVRKKQRNKHKSGRKSIFCFPSF >KJB10603 pep chromosome:Graimondii2_0_v6:1:41990787:41992943:1 gene:B456_001G210800 transcript:KJB10603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQKRSIHAVSMWVRRQPPKVKTFLAVVSRMAALVLLRVIVNDHDNLFVAAEAVHSIGISVLIYKLIKEKTCAVLALCIHPTTSHNLLNQISWAFCAYLEAVSILPQLRVMQNTKIVEPFTAHYVFALGVARGKVLCSL >KJB11753 pep chromosome:Graimondii2_0_v6:1:55328209:55332304:1 gene:B456_001G275700 transcript:KJB11753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLRFSGLKTPPTYSFPKQTTSLQCHSASPTLLSFAAFRPSNSSILRNISLRRCCESRVFRVCCQGGNVDVIQRNEFEAASSGEAENQLTCVMKFGGSSVASAERMREVADLILSFPNERPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDAIEELNFIKDLHHRTADELGVERSIVDGHLEVLEQLLKGIAMMKELTLRTKDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLNDDWISDPAIPIVTGFLGKGWRSSAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYQHAEPVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITRNRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSTSLTLDPSKLWSRELIQQASELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFHVLRTNGVNVQMISQGASKVNISLIVHDTEAEQCVRALHSTFFESDLPELDQ >KJB11757 pep chromosome:Graimondii2_0_v6:1:55328291:55332061:1 gene:B456_001G275700 transcript:KJB11757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLRFSGLKTPPTYSFPKQTTSLQCHSASPTLLSFAAFRPSNSSILRNISLRRCCESRVFRVCCQGGNVDVIQRNEFEAASSGEAENQLTCVMKFGGSSVASAERMREVADLILSFPNERPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDAIEELNFIKDLHHRTADELGVERSIVDGHLEVLEQLLKGIAMMKELTLRTKDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLNDDWISDPAIPIVTGFLGKGWRSSAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYQHAEPVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITRNRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSTSLTLDPSKLWSRELIQQASVRFRSSCFD >KJB11754 pep chromosome:Graimondii2_0_v6:1:55328291:55332061:1 gene:B456_001G275700 transcript:KJB11754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKELTLRTKDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLNDDWISDPAIPIVTGFLGKGWRSSAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYQHAEPVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITRNRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSTSLTLDPSKLWSRELIQQASELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFHVLRTNGVNVQMISQGASKVNISLIVHDTEAEQCVRALHSTFFESDLPELDQ >KJB11752 pep chromosome:Graimondii2_0_v6:1:55328209:55332234:1 gene:B456_001G275700 transcript:KJB11752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLRFSGLKTPPTYSFPKQTTSLQCHSASPTLLSFAAFRPSNSSILRNISLRRCCESRVFRVCCQGGNVDVIQRNEFEAASSGEAENQLTCVMKFGGSSVASAERMREVADLILSFPNERPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDAIEELNFIKDLHHRTADELGVERSIVDGHLEVLEQLLKGIAMMKELTLRTKDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLNDDWISDPAIPIVTGFLGKGWRSSAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYQHAEPVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITRNRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSTSLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFHVLRTNGVNVQMISQGASKVNISLIVHDTEAEQCVRALHSTFFESDLPELDQ >KJB11755 pep chromosome:Graimondii2_0_v6:1:55328291:55332061:1 gene:B456_001G275700 transcript:KJB11755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLRFSGLKTPPTYSFPKQTTSLQCHSASPTLLSFAAFRPSNSSILRNISLRRCCESRVFRVCCQGGNVDVIQRNEFEAASSGEAENQLTCVMKFGGSSVASAERMREVADLILSFPNERPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDAIEELNFIKDLHHRTADELGVERSIVDGHLEVLEQLLKGIAMMKELTLRTKDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLNDDWISDPAIPIVTGFLGKVFSIFEDLGISVDVVATSEVSTSLTLDPSKLWSRELIQQASELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFHVLRTNGVNVQMISQGASKVNISLIVHDTEAEQCVRALHSTFFESDLPELDQ >KJB11756 pep chromosome:Graimondii2_0_v6:1:55328291:55332061:1 gene:B456_001G275700 transcript:KJB11756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLRFSGLKTPPTYSFPKQTTSLQCHSASPTLLSFAAFRPSNSSILRNISLRRCCESRVFRVCCQGGNVDVIQRNEFEAASSGEAENQLTCVMKFGGSSVASAERMREAGEKAVSCGVTNVDAIEELNFIKDLHHRTADELGVERSIVDGHLEVLEQLLKGIAMMKELTLRTKDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLNDDWISDPAIPIVTGFLGKGWRSSAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYQHAEPVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITRNRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSTSLTLDPSKLWSRELIQQASELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFHVLRTNGVNVQMISQGASKVNISLIVHDTEAEQCVRALHSTFFESDLPELDQ >KJB11326 pep chromosome:Graimondii2_0_v6:1:52503482:52506579:-1 gene:B456_001G253900 transcript:KJB11326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMKLTQWGEKLKTGGAQMSRMVSGKMKEILQGPTPESKLVDEATLETLEEPNWGMNMRICSMINSEEFNGTEIVRAIKKKISGKNAASQRLSLDLLEACTVNCEKVASEVASEKVLEEMVKMIQNPQTYHQNREKALDLIRAWGQSDDLAYLPVFHQTYMSLKERSAQLPVDDDDENSSPLYQTLESYMGEPLPPPENYPANNTGLQGNDFAYNYGSLSVEQKKELFEVTRNSLEVLSSILSTGTEPKPAKNELTESMLEKCKQSQLAIHMIIESTSDDDGILFEALNLNDELQQVISKFEGLETGSKFDTAAANPAAPVETNKESTVGAALSAHDKTKTSASMPTHNETKMSASPKADGIESSIDMKIWNEN >KJB11329 pep chromosome:Graimondii2_0_v6:1:52503541:52506375:-1 gene:B456_001G253900 transcript:KJB11329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMKLTQWGEKLKTGGAQMSRMVSGKMKEILQGPTPESKLVDEATLETLEEPNWGMNMRICSMINSEEFNGTEIVRAIKKKISGKNAASQRLSLDLLEACTVNCEKVASEVASEKVLEEMVKMIQNPQTYHQNREKALDLIRAWGQSDDLAYLPVFHQTYMSLKERSAQLPVDDDDENSSPLYQTLESYMGEPLPPPENYPANNTGLQGNDFAYNYGSLSVEQKKELFEVTRNSLEVLSSILSTGTEPKPAKNELTESMLEKCKQSQLAIHMIIESTSDDDGILFEALNLNDELQQVISKFEGLETGSKFDTAAANPAAPVETNKESTVGAALSAHDKTKTSASMPTHNETKMSASPKADGIESSIDMKIWNEN >KJB11328 pep chromosome:Graimondii2_0_v6:1:52503482:52506262:-1 gene:B456_001G253900 transcript:KJB11328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMKLTQWGEKLKTGGAQMSRMVSGKMKEILQGPTPESKLVDEATLETLEEPNWGMNMRICSMINSEEFNGTEIVRAIKKKISGKNAASQRLSLDLLEACTVNCEKVASEVASEKVLEEMVKMIQNPQTYHQNREKALDLIRAWGQSDDLAYLPVFHQTYMSLKERSAQLPVDDDDENSSPLYQTLESYMGEPLPPPENYPANNTGLQGNDFAYNYGSLSVEQKKELFEVTRNSLEVLSSILSTGTEPKPAKNELTESMLEKCKQSQLAIHMIIESTSDDDGILFEALNLNDELQQVISKFEGLETGSKFDTAAANPAAPVETNKESTVGAALSAHDKTKTSASMPTHNETKMSASPKADGIESSIDMKIWNEN >KJB11327 pep chromosome:Graimondii2_0_v6:1:52503735:52506115:-1 gene:B456_001G253900 transcript:KJB11327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMKLTQWGEKLKTGGAQMSRMVSGKMKEILQGPTPESKLVDEATLETLEEPNWGMNMRICSMINSEEFNGTEIVRAIKKKISGKNAASQRLSLDLLEACTVNCEKVASEVASEKVLEEMVKMIQNPQTYHQNREKALDLIRAWGQSDDLAYLPVFHQTYMSLKERSAQLPVDDDDENSSPLYQTLESYMGEPLPPPENYPANNTGLQGNDFAYNYGSLSVEQKKELFEVTRNSLEVLSSILSTGTEPKPAKNELTESMLEKCKQSQLAIHMIIESTSDDDGILFEALNLNDELQQVISKFEGLETGSKFDTAAANPAAPVETNKESTVGAALSAHDKTKTSASMPTHNETKMSASPKADGIESSIDMKIWNEN >KJB06638 pep chromosome:Graimondii2_0_v6:1:47132786:47135251:1 gene:B456_001G233900 transcript:KJB06638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGERKVYTLAEVSQHNHAKDCWLVIEGKQVFDVTKFLEDHPGGDDVLLSSTGKDATDDFEDVGHSSSARAMMDEFYVGDIDTSAIPSKRKYTPPKQPHYEQDKTSEFVIKLLQFLVPLLILGLAFGVRSYTKTPASS >KJB06636 pep chromosome:Graimondii2_0_v6:1:47132727:47135251:1 gene:B456_001G233900 transcript:KJB06636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGERKVYTLAEVSQHNHAKDCWLVIEGKVFDVTKFLEDHPGGDDVLLSSTGKDATDDFEDVGHSSSARAMMDEFYVGDIDTSAIPSKRKYTPPKQPHYEQDKTSEFVIKLLQFLVPLLILGLAFGVRSYTKTPASS >KJB06639 pep chromosome:Graimondii2_0_v6:1:47132786:47135251:1 gene:B456_001G233900 transcript:KJB06639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSCCLPQDATDDFEDVGHSSSARAMMDEFYVGDIDTSAIPSKRKYTPPKQPHYEQDKTSEFVIKLLQFLVPLLILGLAFGVRSYTKTPASS >KJB06637 pep chromosome:Graimondii2_0_v6:1:47132786:47135251:1 gene:B456_001G233900 transcript:KJB06637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHGSASDINLTVFDVTKFLEDHPGGDDVLLSSTGKDATDDFEDVGHSSSARAMMDEFYVGDIDTSAIPSKRKYTPPKQPHYEQDKTSEFVIKLLQFLVPLLILGLAFGVRSYTKTPASS >KJB09870 pep chromosome:Graimondii2_0_v6:1:24640094:24644009:-1 gene:B456_001G171600 transcript:KJB09870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGKYTLGYKTVLKSLRSSKGKLIIIANNCPPLRKLEIEYYAMLCKVGVHHYNGNNVDLGTACGKYFRVCCLNIIDPESPPNPTEDSANFADQPKLMSAALVKAAKQ >KJB09869 pep chromosome:Graimondii2_0_v6:1:24640378:24642715:-1 gene:B456_001G171600 transcript:KJB09869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGKYTLGYKTVLKSLRSSKGKLIIIANNCPPLRKLEIEYYAMLCKVGVHHYNGNNVDLGTACGKYFRVCCLNIIDPESPPNPTEDSANFADQPKLMSAALVKAAKQAENDVVGQELQKQLEAAVKELKQVQELLSQAADNCLNLKKPD >KJB09871 pep chromosome:Graimondii2_0_v6:1:24641380:24644009:-1 gene:B456_001G171600 transcript:KJB09871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGKYTLGYKTVLKSLRSSKGKLIIIANNCPPLRKLEIEYYAMLCKVGVHHYNGNNVDLGTACGKYFRVCCLNIIDPESPPNPTEDSANFADQPKLMSAALVKAAKQVRISGNQSVL >KJB09159 pep chromosome:Graimondii2_0_v6:1:16010195:16016122:1 gene:B456_001G127000 transcript:KJB09159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDLTSERVWYVHCNFCNTILAVSVPCNSAFNIVTVRCGHCANLLSVNMGTSLQTVPSQDAQKQQITIDEDHSNKECGSSSKCNKFSAVDSTEQEATRMPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGSKQAKLDQGYADQGSQKSNGYC >KJB08937 pep chromosome:Graimondii2_0_v6:1:13373271:13376085:-1 gene:B456_001G114300 transcript:KJB08937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPSFFGNHRSSIFDPFSLDVWDPFKDFPFSSPSSSLSTRSSETSAFVNTRIDWKETPEAHVFKADVPGLKKEEVKVEVEDDRVLQISGERNVEKEDKNDTWHRVERSSGKFMRRFRLPENAKMDQIKASMENGVLTVTIPKLEVKKPNVKSIEISS >KJB06496 pep chromosome:Graimondii2_0_v6:1:45052923:45056639:-1 gene:B456_001G222000 transcript:KJB06496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGNVSGREDGEGGSGAKKNGNEVDNEQFNSDPMLHSPPHSPNESYQPPFLFPPQIPMFPWLRSAEMIQTQTQNDMLMQNTTRYEDIRSENNLPWEYNDSGRAPDLQISEAPLLRPGQMMQIRNDPLVQKSTRYKDFHQEQKRAVMITWCFGGKRVAITGSWDNWKTMYWNLYYGVYV >KJB06613 pep chromosome:Graimondii2_0_v6:1:183676:186032:1 gene:B456_001G002100 transcript:KJB06613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVHCERKLVMEQGKGRDTLRSMATKKKESSSLGKEKRVTCPSKPDASITTHGAASQGLRRPTIASLQGQAQRRVSLPATLPTHINKSTISTCTDGSTKTAKKIGVVNSSTKSQPQRPASAAPVQKPLVSSAPRKPTTARAAASLSSKVTATAHRPTSERLAKNPIAGKLSTLSSSSSKTRTRTMTMTMTKSLKKAPTATTKRGTTTFLASKKPPLTKKDVQKENLDHQIEEVVKDEVGEVHDVEIPKAEESRQHDDQVEVLDSTHHVQRVEEEKEKDTLDDVPTVLEQHEVPQLEEMEEHDGDKENDSKEEVNDSDGDEEEVQQEETTVEAENGGVEEGYRTEEVTENGEEKGDHEGKEQELELVKEETIVEEANVVLATSQVQEEALHGEKETIEEEANVVIATNQEQEEALHGEKETIEEEANVVIATNQEQEEALHGEKETIEKEANVVIATNQEQEEALHGEKKTIEEEANVVIATNQEQEEALHGEKETIEEEANVETIEEEAKVVIATNQVQEETLHGEKEIATPIISDMVMEEKASDKKVVDGRKNSVRALIGAFETHK >KJB06612 pep chromosome:Graimondii2_0_v6:1:183676:186032:1 gene:B456_001G002100 transcript:KJB06612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGKGRDTLRSMATKKKESSSLGKEKRVTCPSKPDASITTHGAASQGLRRPTIASLQGQAQRRVSLPATLPTHINKSTISTCTDGSTKTAKKIGVVNSSTKSQPQRPASAAPVQKPLVSSAPRKPTTARAAASLSSKVTATAHRPTSERLAKNPIAGKLSTLSSSSSKTRTRTMTMTMTKSLKKAPTATTKRGTTTFLASKKPPLTKKDVQKENLDHQIEEVVKDEVGEVHDVEIPKAEESRQHDDQVEVLDSTHHVQRVEEEKEKDTLDDVPTVLEQHEVPQLEEMEEHDGDKENDSKEEVNDSDGDEEEVQQEETTVEAENGGVEEGYRTEEVTENGEEKGDHEGKEQELELVKEETIVEEANVVLATSQVQEEALHGEKETIEEEANVVIATNQEQEEALHGEKETIEEEANVVIATNQEQEEALHGEKETIEKEANVVIATNQEQEEALHGEKKTIEEEANVVIATNQEQEEALHGEKETIEEEANVETIEEEAKVVIATNQVQEETLHGEKEIATPIISDMVMEEKASDKKVVDGRKNSVRALIGAFETHK >KJB07561 pep chromosome:Graimondii2_0_v6:1:3014585:3019604:1 gene:B456_001G032600 transcript:KJB07561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMGELYFTGSLKLLQFLRRNLFFFGSMEDQVAHQWHMEHQRKSDHFGLIKLAHPFILTNIHGIWKQTFCSWNHLLELDSPTQIRAPILKTLVTTGQDALIFLTRWMSRFPAYRHREFYIAGESYAGHYVPQLAKKIHDYNKAHSNPIINLKGFMVGNAVTDNYYDSIGTVTFWWSHSMISDRTYKSIMKHCNFTAEKSSTRCDDAVSYAINHEFGDIDQYSIYTPSCLALTNKSARHIRLGNTLLHRQISGYDPCTENYAEKYYNRPDVQKAMHANTTGIPYKWTACSDVLIKNWNDSENSMLPIYKELIAAGLRIWVFSGDTDSVVPVTATRFSLSHLNLHIKTPWYPWYSGNQVGGWTEVYEGLTFATVRGAGHEVPLFQPRRAFILFRSFLRGEKLPNSR >KJB07562 pep chromosome:Graimondii2_0_v6:1:3014585:3019604:1 gene:B456_001G032600 transcript:KJB07562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPPYNSTVLALGFIFKSVVAKMVAAVQSKGFVISICYSLLLFGIVAANAVPKQQELDRISSLPGQPPVGFSQFSGYVTVNEKHGRALFYWFTEATTVPEKKPLLLWLNGGPGCSSVAYGASEEIGPFRINKTGSSLYLNKYSWNMEANILFLESPAGVGFSYTNTSSDLKDSGDDRTAQDALIFLTRWMSRFPAYRHREFYIAGESYAGHYVPQLAKKIHDYNKAHSNPIINLKGFMVGNAVTDNYYDSIGTVTFWWSHSMISDRTYKSIMKHCNFTAEKSSTRCDDAVSYAINHEFGDIDQYSIYTPSCLALTNKSARHIRLGNTLLHRQISGYDPCTENYAEKYYNRPDVQKAMHANTTGIPYKWTACSDVLIKNWNDSENSMLPIYKELIAAGLRIWVFSGDTDSVVPVTATRFSLSHLNLHIKTPWYPWYSGNQDGQRFMKG >KJB07559 pep chromosome:Graimondii2_0_v6:1:3014560:3020098:1 gene:B456_001G032600 transcript:KJB07559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPPYNSTVLALGFIFKSVVAKMVAAVQSKGFVISICYSLLLFGIVAANAVPKQQELDRISSLPGQPPVGFSQFSGYVTVNEKHGRALFYWFTEATTVPEKKPLLLWLNGGPGCSSVAYGASEEIGPFRINKTGSSLYLNKYSWNMEANILFLESPAGVGFSYTNTSSDLKDSGDDRTAQDALIFLTRWMSRFPAYRHREFYIAGESYAGHYVPQLAKKIHDYNKAHSNPIINLKGFMVGNAVTDNYYDSIGTVTFWWSHSMISDRTYKSIMKHCNFTAEKSSTRCDDAVSYAINHEFGDIDQYSIYTPSCLALTNKSARHIRLGNTLLHRQISGYDPCTENYAEKYYNRPDVQKAMHANTTGIPYKWTACSDVLIKNWNDSENSMLPIYKELIAAGLRIWVFSGDTDSVVPVTATRFSLSHLNLHIKTPWYPWYSGNQVGGWTEVYEGLTFATVRGAGHEVPLFQPRRAFILFRSFLRGEKLPNSR >KJB07564 pep chromosome:Graimondii2_0_v6:1:3014585:3019604:1 gene:B456_001G032600 transcript:KJB07564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPPYNSTVLALGFIFKSVVAKMVAAVQSKGFVISICYSLLLFGIVAANAVPKQQELDRISSLPGQPPVGFSQFSGYVTVNEKHGRALFYWFTEATTVPEKKPLLLWLNGGPGCSSVAYGASEEIGPFRINKTGSSLYLNKYSWNMEANILFLESPAGVGFSYTNTSSDLKDSGDDRTAQDALIFLTRWMSRFPAYRHREFYIAGESYAGHYVPQLAKKIHDYNKAHSNPIINLKGFMVRNAVTDNYYDSIGTVTFWWSHSMISDRTYKSIMKHCNFTAEKSSTRCDDAVSYAINHEFGDIDQYSIYTPSCLALTNKSARHIRLGNTLLHRQISGYDPCTENYAEKYYNRPDVQKAMHANTTGIPYKWTACSDVLIKNWNDSENSMLPIYKELIAAGLRIWVFSGDTDSVVPVTATRFSLSHLNLHIKTPWYPWYSGNQVGGWTEVYEGLTFATVRGAGHEVPLFQPRRAFILFRSFLRGEKLPNSR >KJB07563 pep chromosome:Graimondii2_0_v6:1:3014585:3019604:1 gene:B456_001G032600 transcript:KJB07563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPPYNSTVLALGFIFKSVVAKMVAAVQSKGFVISICYSLLLFGIVAANAVPKQQELDRISSLPGQPPVGFSQFSGYVTVNEKHGRALFYWFTEATTVPEKKPLLLWLNGGQSVFGPGCSSVAYGASEEIGPFRINKTGSSLYLNKYSWNMEANILFLESPAGVGFSYTNTSSDLKDSGDDRTAQDALIFLTRWMSRFPAYRHREFYIAGESYAGHYVPQLAKKIHDYNKAHSNPIINLKGFMVGNAVTDNYYDSIGTVTFWWSHSMISDRTYKSIMKHCNFTAEKSSTRCDDAVSYAINHEFGDIDQYSIYTPSCLALTNKSARHIRLGNTLLHRQISGYDPCTENYAEKYYNRPDVQKAMHANTTGIPYKWTACSDVLIKNWNDSENSMLPIYKELIAAGLRIWVFSGDTDSVVPVTATRFSLSHLNLHIKTPWYPWYSGNQVGGWTEVYEGLTFATVRGAGHEVPLFQPRRAFILFRSFLRGEKLPNSR >KJB07560 pep chromosome:Graimondii2_0_v6:1:3014668:3018765:1 gene:B456_001G032600 transcript:KJB07560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPPYNSTVLALGFIFKSVVAKMVAAVQSKGFVISICYSLLLFGIVAANAVPKQQELDRISSLPGQPPVGFSQFSGYVTVNEKHGRALFYWFTEATTVPEKKPLLLWLNGGPGCSSVAYGASEEIGPFRINKTGSSLYLNKYSWNMEANILFLESPAGVGFSYTNTSSDLKDSGDDRTAQDALIFLTRWMSRFPAYRHREFYIAGESYAGHYVPQLAKKIHDYNKAHSNPIINLKGFMVGNAVTDNYYDSIGTVTFWWSHSMISDRTYKSIMKHCNFTAEKSSTRCDDAVSYAINHEFGDIDQYSIYTPSCLALTNKSARHIRLGNTLLHRQISGYDPCTENYAEKYYNRPDVQKAMHANTTGIPYKWTACSDVLIKNWNDSENSMLPIYKELIAAGLRIWVFR >KJB09389 pep chromosome:Graimondii2_0_v6:1:18329562:18329744:1 gene:B456_001G1385001 transcript:KJB09389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLRDNRHEKRATELVKQDEKVTVKLENAAIERSKAVDSAVLGKYNLFCTLYNCVLICCLA >KJB11734 pep chromosome:Graimondii2_0_v6:1:55246968:55247301:1 gene:B456_001G274900 transcript:KJB11734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLSSKVIIKFLLVMQKHGYIREFEYVDDHKFGKFVVELNGRLNKCGVINSRFNVGVKEIEGWIARLLPSRQFEARRKNVGGKVLLFFY >KJB09757 pep chromosome:Graimondii2_0_v6:1:23364669:23366074:-1 gene:B456_001G162400 transcript:KJB09757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGLNFMSIPALVSGLMVARVGGLHTTEAKWFMIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGARLLSLGLIFILYTMFVWWRDVLRESTLEGHHTKVVQLGLRYGFILFIVSEIGGIWPPKGIGVLDPWEIPFLNTPILLSSGAAVTWAHHAILAGKEKRAVYALVATVFLALVFTGFQGMEYYQAPFTISDSIYGSTFFLATGFHGFHVIIGTLFLIICGIRQYLGHLTKEHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGIEEIMADHVHQEMTRNLILVYLRLFFLIVIKDVFLSLVSFMNKLKNLMDLNSG >KJB06214 pep chromosome:Graimondii2_0_v6:1:24234489:24236268:1 gene:B456_001G169400 transcript:KJB06214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKCTLLDVENALAKFTWAKEVHKKMVKLKEEGKPMPKNFAEVQKLMGSTPLDLAKFNMVKSGEMSRNAPCPCGSKKRYKR >KJB09281 pep chromosome:Graimondii2_0_v6:1:17036031:17037224:-1 gene:B456_001G132700 transcript:KJB09281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g31790 [Source:Projected from Arabidopsis thaliana (AT1G31790) UniProtKB/Swiss-Prot;Acc:Q9C6R9] MDVVSPALPNLLPSNKFSLKTERQIQLPPRFLKQCPKTPTAKPISSNPGTGTTSDILRLMDSLSIPIPPDIYASLIKECTLSRHSVRALQLHNHIRHRRIKLSLPLLNRLLLMHVSCGHLEIARQVFDQMFLRDFNSWAIMIVACLQAGDSEQAISYFVLMERCSSLFKFPAWIITCLLKSCVLTKNMELGKQVHGQLLKLGVIDDLSLSGSLINFYGNFKCLDDANVVFNQSSRRNTVTWTAKMVNSCRENQFHKVFDDFTEMGRQGIKKNSFTFSSVLKACAGMDDEGMSGRQVHAIAIKLGLECEAFVQCGLIDMYGKCGLVRDAEKAFKVAGDERNIACWNAMIMGYVHNKLCIQAIKLLYGMKEAGLEVQESLINDVRIACGNRELEHGKHS >KJB08498 pep chromosome:Graimondii2_0_v6:1:8943300:8947514:-1 gene:B456_001G084200 transcript:KJB08498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKALLQGDDRKTWGTTVFVKELKKVSYMAAPMVAVSVSQYLLQVISLMIVGHVGELALSGVAIATSFTNVTGFSLLFGMAGALETLGGQAYGAGQYQKLGTYTYCSILSLITICLPVSLFWIFMDEFLIFMGQDPQISHVASIYSIWLIPALFADAILQSLVRYFQSQSQILPLFLSSCATICFHVPLCWVLVHKTNLGYVGAALAITLALWFNVIVLGFYMRWSASCESTRTVIVGDVFASIKEFLGFALPSAVMCCLEWWSFELLVLLSGLLPDSELETSVLSICLSTTSLHYFVPYGVGAAASTRVSNELGAMNPHSARVAVNVVMILGIFEAMTVSITLFSCRYAFGYLYSNEQEVIDYVGEMIPLISLSVIIDSLLAVISGVARGTGWQHIGAYVNLGAYYLVGIPVAALLCFSLHLRGKGLWIGILTGSSLQLVLLALVTGFTNWQKQASKAQERIFEEKFSNGLLA >KJB08499 pep chromosome:Graimondii2_0_v6:1:8943331:8947277:-1 gene:B456_001G084200 transcript:KJB08499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKALLQGDDRKTWGTTVFVKELKKVSYMAAPMVAVSVSQYLLQVISLMIVGHVGELALSGVAIATSFTNVTGFSLLFGMAGALETLGGQAYGAGQYQKLGTYTYCSILSLITICLPVSLFWIFMDEFLIFMGQDPQISHVASIYSIWLIPALFADAILQSLVRYFQSQSQILPLFLSSCATICFHVPLCWVLVHKTNLGYVGAALAITLALWFNVIVLGFYMRWSASCESTRTVIVGDVFASIKEFLGFALPSAVMCCLSTTSLHYFVPYGVGAAASTRVSNELGAMNPHSARVAVNVVMILGIFEAMTVSITLFSCRYAFGYLYSNEQEVIDYVGEMIPLISLSVIIDSLLAVISGVARGTGWQHIGAYVNLGAYYLVGIPVAALLCFSLHLRGKGLWIGILTGSSLQLVLLALVTGFTNWQKQASKAQERIFEEKFSNGLLA >KJB06988 pep chromosome:Graimondii2_0_v6:1:5008437:5010835:-1 gene:B456_001G052800 transcript:KJB06988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSDKLRLLQSYWYSPRRLHDSPTRYDFPGDRFLPNRSLMDLDRAHTLLTNKTTDFKSSKCNEVYQQKLIESQSLNSEGKRIMVLRGSSKSSTKSIRFVDELRQEMAAISDNKSKQAPYRSIPKAEMIVFDAPGLMDDYYVNIMSWGKNNVLAVGLGPTLYLWNSVDQRTHKLFHVREEDNWPTSITWSEETQTLAVGYTCSNLQLWDAESSKLIRSLQGHSGRIASTAWNGHILTSGSRDKSIINHDIRARNHLASCIKKHSDEVCGLKWSNEGDRLASGGSEKQLYIWEASKMSSSKFLHRFTDHCAAVKALAWCPYQHNVLASGGGWNDRCIRIWNTQKGICIHSIETKAQISGLEWNRHHREILSSHGYSTGEDQNKLCLWKYPSMTKVGEFGNHEPRIINLCQVFNYSNF >KJB06987 pep chromosome:Graimondii2_0_v6:1:5008048:5011120:-1 gene:B456_001G052800 transcript:KJB06987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPLDTIFRSLMDLDRAHTLLTNKTTDFKSSKCNEVYQQKLIESQSLNSEGKRIMVLRGSSKSSTKSIRFVDELRQEMAAISDNKSKQAPYRSIPKAEMIVFDAPGLMDDYYVNIMSWGKNNVLAVGLGPTLYLWNSVDQRTHKLFHVREEDNWPTSITWSEETQTLAVGYTCSNLQLWDAESSKLIRSLQGHSGRIASTAWNGHILTSGSRDKSIINHDIRARNHLASCIKKHSDEVCGLKWSNEGDRLASGGSEKQLYIWEASKMSSSKFLHRFTDHCAAVKALAWCPYQHNVLASGGGWNDRCIRIWNTQKGICIHSIETKAQISGLEWNRHHREILSSHGYSTGEDQNKLCLWKYPSMTKVGEFGNHEPRIINLCQVFNYSNF >KJB11364 pep chromosome:Graimondii2_0_v6:1:52621464:52623467:1 gene:B456_001G254600 transcript:KJB11364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGGFEVVHATLDMIQPHREPIWDFASVGFPTTSVAAAAAVSSIPRQNLENRCINLERNELSDWVEQVTKKLIDDLPAQNTDTDDHHSRTLQAPADIPMLCEDSFPPSLLGDFRPRKTVTSSDFDELQWSNVNGGNDRGLSRLDEQGLSLITLLLECAVAISIDNLGEAHRMLLELTQMASPYALSCAERVVAYFAKAMSSRVINSWLGICSPLINYKTVHCAFQAFNNVSPFIKFAHFTSNQAILEAFHRRDRVHIIDLDIMQGLQWPALFHILATRMEGPPHLTMTGMGSSMELLTETGKQLSNFAKRLGMSFEFHPIAKKFGEIDITMVRLRRGETLAIHWLQHSLYDATGPDWKTMRLMEQLGPRIITLVEQDLSHGGSFLDRFVGSLHYYSTMFDSLGAYLAADDPNRHHIEHCLLYREINNILAIGGPARSGEDKMKQWRSELAARNSFVQVPMSSNSMAQAQLILNMFPPAHGYRLVQGDGTLRLGWKDTSLFTASAWTSHISR >KJB08912 pep chromosome:Graimondii2_0_v6:1:13123037:13125593:-1 gene:B456_001G1123002 transcript:KJB08912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRQGNVFNIEAGKQALRNINSLALFSNIEVNPRPDEKNEGGIVVEIKLKELDQKSAEVSTEWNIVPGRGGRPTLASFQPGGTVSFEHRNLKGLNRSILGSLTTSNYLNPQDDLAFKLEYVHPYLDGVYNPRNRTFRASCFNSRKLSPVFTGGPGVDEVPPIWVDRAGVKANITENFTRQSKFTYGLVMEEITTRDESSHISANGQRVLPSGGISADGPPTTLSGTGVDRMAFLQANITRDNTKFLNGAIVGERNVFQVDQGLGIGSKFPFFNRHQLTLTRFLQLRQVEEGAGKPPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGELGAARNILELGAEIRIPVRNTHVYAFAEHGNDLGSSKDVKGNPTEVYRRMGHGSSYGVGVKLGLVRAEYAVDHNTGTGAVFFRFGERY >KJB09815 pep chromosome:Graimondii2_0_v6:1:24086147:24089980:-1 gene:B456_001G169200 transcript:KJB09815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEDTIYVAVGGVEESELTLLWVLHNLKPRKVCILHVHQPSKMISSMYGVDGNLAEIIFDQQQIRGLEEVGKEMMNRILDEYLLFCSQAGVSAIRLHIQMDDVAKGIVELIRRHNIKKLVMGAAADEHFSEGMWLMSEKAQYVNENAPFSCQIWFICREHLVHTRFETGQSSNAPSPSGSSYLTSSTEAAGIFGSTVSEEREESECEIELYDVLHSGEEGSSNDQLFDRLEQALKEAESSNQKAFEESDRRVKAEMNATRAMRQAKMLERLYNECKRENETALAKQNESLENIKRLREELSVSREQNSILESQVANSERRMKQLEDELSSALEQLQVSLKERDELQIELENSHKVIEELLRKQAEETSSTHMDQFNLDQLSVSEIHDATLDQLEICQKEKDELQVELENTLRINKELLRKQSEDTSNSHLQQPFIEFSLSEIEEATEDFDQLYKIAEGARGSVYYKCALRHTEVVIKVLGRNSFQDSNEFLREVDDLIKLRHPNLVNLIGACPEKRALVYEYVPNGSLEDHLNSRNDMPPLSWQSRMHIATQLCSTLMFLHAGKLVHGNLKPGNILLDDNFGCKLSDFGSCRAFSLVENSSNMTESSNPNPYLDPDFRNSRRVSHSLDLYPFGIIVLQLLSGRSTQGIAESAQSELLNGGNLSSFLDSSAGNWPHQVAQLTHLAIRCCDINRSRRPDLASDVLKVLETMKPSVASIAYAPESNEDGEPPSYFICPILQAVMSDPHMAADGYTYEATALQDWLADHDTSPMTNLRLPNLNLIPNFPLRSAIQQWQENR >KJB09818 pep chromosome:Graimondii2_0_v6:1:24086383:24089045:-1 gene:B456_001G169200 transcript:KJB09818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLMSEKAQYVNENAPFSCQIWFICREHLVHTRFETGQSSNAPSPSGSSYLTSSTEAAGIFGSTVSEEREESECEIELYDVLHSGEEGSSNDQLFDRLEQALKEAESSNQKAFEESDRRVKAEMNATRAMRQAKMLERLYNECKRENETALAKQNESLENIKRLREELSVSREQNSILESQVANSERRMKQLEDELSSALEQLQVSLKERDELQIELENSHKVIEELLRKQAEETSSTHMDQFNLDQLSVSEIHDATLDQLEICQKEKDELQVELENTLRINKELLRKQSEDTSNSHLQQPFIEFSLSEIEEATEDFDQLYKIAEGARGSVYYKCALRHTEVVIKVLGRNSFQDSNEFLREVDDLIKLRHPNLVNLIGACPEKRALVYEYVPNGSLEDHLNSRNDMPPLSWQSRMHIATQLCSTLMFLHAGKLVHGNLKPGNILLDDNFGCKLSDFGSCRAFSLVENSSNMTESSNPNPYLDPDFRNSRRVSHSLDLYPFGIIVLQLLSGRSTQGIAESAQSELLNGGNLSSFLDSSAGNWPHQVAQLTHLAIRCCDINRSRRPDLASDVLKVLETMKPSVASIAYAPESNEDGEPPSYFICPILQAVMSDPHMAADGYTYEATALQDWLADHDTSPMTNLRLPNLNLIPNFPLRSAIQQWQENR >KJB09816 pep chromosome:Graimondii2_0_v6:1:24086162:24089980:-1 gene:B456_001G169200 transcript:KJB09816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEDTIYVAVGGVEESELTLLWVLHNLKPRKVCILHVHQPSKMISSMYGVDGNLAEIIFDQQQIRGLEEVGKEMMNRILDEYLLFCSQAGVSAIRLHIQMDDVAKGIVELIRRHNIKKLVMGAAADEHFSEGMWLMSEKAQYVNENAPFSCQIWFICREHLVHTRFETGQSSNAPSPSGSSYLTSSTEAAGIFGSTVSEEREESECEIELYDVLHSGEEGSSNDQLFDRLEQALKEAESSNQKAFEESDRRVKAEMNATRAMRQAKMLERLYNECKRENETALAKQNESLENIKRLREELSVSREQNSILESQVANSERRMKQLEDELSSALEQLQVSLKERDELQIELENSHKVIEELLRKQAEETSSTHMDQFNLDQLSVSEIHDATLDQLEICQKEKDELQVELENTLRINKELLRKQSEDTSNSHLQQPFIEFSLSEIEEATEDFDQLYKIAEGARGSVYYKCALRHTEVVIKVLGRNSFQDSNEFLREERHAPTVMAISDAYSYSAMFYTNVSTCW >KJB09817 pep chromosome:Graimondii2_0_v6:1:24086383:24089045:-1 gene:B456_001G169200 transcript:KJB09817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLMSEKAQYVNENAPFSCQIWFICREHLVHTRFETGQSSNAPSPSGSSYLTSSTEAAGIFGSTVSEEREESECEIELYDVLHSGEEGSSNDQLFDRLEQALKEAESSNQKAFEESDRRVKAEMNATRAMRQAKMLERLYNECKRENETALAKQNESLENIKRLREELSVSREQNSILESQVANSERRMKQLEDELSSALEQLQVSLKERDELQIELENSHKVIEELLRKQAEETSSTHMDQFNLDQLSVSEIHDATLDQLEICQKEKDELQVELENTLRINKELLRKQSEDTSNSHLQQPFIEFSLSEIEEATEDFDQLYKIAEGARGSVYYKCALRHTEVVIKVLGRNSFQDSNEFLREVDDLIKLRHPNLVNLIGACPEKRALVYEYVPNGSLEDHLNSRNDMPPLSWQSRMHIATQLCSTLMFLHAGKLVHGNLKPGNILLDDNFGCKLSDFGSCRAFSLVENSSNMTESSNPNPYLDPDFRNSRRVSHSLDLYPFGIIVLQLLSGRSTQGIAESAQSELLNGGNLSSFLDSSAGNWPHQVAQLTHLAIRCCDINRSRRPDLASDVLKVLETMKPSVASIAYAPESNEDGEPPSYFICPILQAVMSDPHMAADGYTYEATALQDWLADHDTSPMTNLRLPNLNLIPNFPLRSAIQQWQENR >KJB09819 pep chromosome:Graimondii2_0_v6:1:24086162:24089980:-1 gene:B456_001G169200 transcript:KJB09819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFFFVVKLGAIRLHIQMDDVAKGIVELIRRHNIKKLVMGAAADEHFSEGMWLMSEKAQYVNENAPFSCQIWFICREHLVHTRFETGQSSNAPSPSGSSYLTSSTEAAGIFGSTVSEEREESECEIELYDVLHSGEEGSSNDQLFDRLEQALKEAESSNQKAFEESDRRVKAEMNATRAMRQAKMLERLYNECKRENETALAKQNESLENIKRLREELSVSREQNSILESQVANSERRMKQLEDELSSALEQLQVSLKERDELQIELENSHKVIEELLRKQAEETSSTHMDQFNLDQLSVSEIHDATLDQLEICQKEKDELQVELENTLRINKELLRKQSEDTSNSHLQQPFIEFSLSEIEEATEDFDQLYKIAEGARGSVYYKCALRHTEVVIKVLGRNSFQDSNEFLREVDDLIKLRHPNLVNLIGACPEKRALVYEYVPNGSLEDHLNSRNDMPPLSWQSRMHIATQLCSTLMFLHAGKLVHGNLKPGNILLDDNFGCKLSDFGSCRAFSLVENSSNMTESSNPNPYLDPDFRNSRRVSHSLDLYPFGIIVLQLLSGRSTQGIAESAQSELLNGGNLSSFLDSSAGNWPHQVAQLTHLAIRCCDINRSRRPDLASDVLKVLETMKPSVASIAYAPESNEDGEPPSYFICPILQAVMSDPHMAADGYTYEATALQDWLADHDTSPMTNLRLPNLNLIPNFPLRSAIQQWQENR >KJB08553 pep chromosome:Graimondii2_0_v6:1:9574028:9575520:-1 gene:B456_001G088600 transcript:KJB08553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEVNKPPSLPSYPQLIMNAIEALNDKNGSNKTSISKYIESKYGDLPAGHTTLLSHHLNRMKQTGELVFLKNNYMKADPNAPPKRGRGRPPKPKVPLPPGVVLTPARPRGRPPKDPNAPITSSKPGTGKPRGRPRKMARPEGGITGNSTLISTGSGRPRGRPPKVKTSPLTEVSVGH >KJB08552 pep chromosome:Graimondii2_0_v6:1:9573998:9575520:-1 gene:B456_001G088600 transcript:KJB08552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEVNKPPSLPSYPQLIMNAIEALNDKNGSNKTSISKYIESKYGDLPAGHTTLLSHHLNRMKQTGELVFLKNNYMKADPNAPPKRGRGRPPKPKVPLPPGVVLTPARPRGRPPKDPNAPITSSKPGTGKPRGRPRKMARPEGGITGNSTLISTGSGRPRGRPPKVKTSPLTEVSVGH >KJB08551 pep chromosome:Graimondii2_0_v6:1:9573938:9575562:-1 gene:B456_001G088600 transcript:KJB08551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEVNKPPSLPSYPQLIMNAIEALNDKNGSNKTSISKYIESKYGDLPAGHTTLLSHHLNRMKQTGELVFLKNNYMKADPNAPPKRGRGRPPKPKVPLPPGVVLTPARPRGRPPKDPNAPITSSKPGTGKPRGRPRKMARPEGGITGNSTLISTGSGRPRGRPPKVKTSPLTEVSVGH >KJB08772 pep chromosome:Graimondii2_0_v6:1:11481350:11484552:-1 gene:B456_001G102800 transcript:KJB08772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFKMSPSSAAAFLRFTFWTLLISPAVSLTCSTQKFNKNQVFSTCIDLPSLSSYLHFTYDSPIATLSVAFVATPPKSGGWIAWAINPKATGMVGSQALVAYKNSTTGVPVVRTYDISSYSSIVPKDLSFAVWDKTAESRSDGSLVIFAKIKVTADLAASGKINQVWQAGPGVGDGGMLVKHEFAAANLQSKGTLDLKNGHTSGSSGGDTTIKKKNIHGILNGVSWGILFPLGAMIARYIRAFESADPAWFYLHMLCQISGYAIGVGGWGTGLKLGSESPGIMYSGHRNIGIALFVLATVQIFALFLRPKKDHKYRFYWNIYHHGVGYAVLVLGILNVFKGFDILNPDRKWKLAYMIIIIALGAISFLLEAITWVVVLKGKSGKSNKPYDGCNNGQGGRQTLAT >KJB10857 pep chromosome:Graimondii2_0_v6:1:46541192:46541956:-1 gene:B456_001G229100 transcript:KJB10857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 55 [Source:Projected from Arabidopsis thaliana (AT5G48540) UniProtKB/Swiss-Prot;Acc:Q9LV60] MNLLYMLLVSLFLSSCRADPLGNFCNENTNISSSSQISLNIDRLLAELVSKTPLTGYVVSSSGKDPDKVYGLAQCRGDVSNKDCSSCIQDAAKEVRQRCSNQADARIWYDYCFLRYSNDNFVGKVDTSFGIFYYNVENVTDPQSFNKELGALMDGIRSEAVMPKNEGLGKGKTKLSQFLTLYALVQCTRDLSQIDCAQCLAIAVGNFPNFCDSKKGCRVLYSSCYVRYELYPFFFPLDSNNGTSFGKTAKIVHH >KJB09108 pep chromosome:Graimondii2_0_v6:1:15408794:15412375:-1 gene:B456_001G123400 transcript:KJB09108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVPAPQPDGPLFAEVDMGGDASAPTVRATVVQASTIFYDTPATLDKAERLLAEAAGYGSQLVVFPEAFIGGYPRGSNFGVTIGNRTAKGKEDFRKYHASAIDVPGPEIERLAAMAGKYKVYLVMGVIERDGYTLYCTVVFFDSQGRFLGKHRKIMPTALERIIWGFGDGSTIPVFETPIGKIGAAICWENKMPLLRTAMYAKGIEIYCAPTADSRDVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYLFSGTEDELNPDSVVCAGGSVIISPSGAILAGPNYDGEALISADLDMGEIARAKFDFDVVGHYSRPEVLSLIVRDHPAKPVTFTSASEKTEDAYKLL >KJB07429 pep chromosome:Graimondii2_0_v6:1:2150987:2156878:1 gene:B456_001G022800 transcript:KJB07429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMKHLLRKLHIGGGLNEHQRLAEARPVISPSPSPTPDSNSMNVTGPATVTSSSSSTVTSSTMGRIGAVESVGADRTAGDAVDFNLLEEEFQMQLALAISASDPETAQIDAAKRISLAGTDNNVFVELLSLRYWNYNVVNYDEKIVDGFYDVYGIASTLGAQGKMPSLVDLQAVSVLDNVDYEVILVNRLLDPELQALEKRVYNIYVQSRAFGSGPVLSGMIQQIAEIVVNRMGGPVADAEEMLRTWNSRSYELRSSLNTIILPLGQLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLVRINNGSEYIIDLMGAPGTLIPAEVPSCHLLNSALDVRGFADRTEASQSSCFQLDKGIGNVAVSSAPDTSPKVGAMRSTESVSSLANEEERSHAERAVFKRFEQEFGKLLPLTPKSNEIFPGIHEKPSSAQKRKVKNVSKYVISAAKDPEFAQKLHAVLMESGASPPPDLFMDINSQDLAEQSRSEQAVKGTNVDAAVSCHSNELPINELCLVSSGMETSENTNSKLRQKQLAKYQREVGMNAIKAKVASSSDVTREEFLIGNTTNEWTQVRETSFSSANDFCQIQPENVLAMDEKLIQRTSDTDFYKESALELIESTGCDLHLISKAHSEKIYPMLREVSEWEIPWEDLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALVQFKCEVEIMLRLRHPNVVLFMGAVTHSPHFSILTEFLPRGSLYKLLHRPNQQLDEKRRMRMALDVAKGMNYLHTSHPTIVHRDLKTPNLLVDKNWVVKVCDFGLSRMKHHTFLSSKSTAGTVLLKDNPRC >KJB07426 pep chromosome:Graimondii2_0_v6:1:2150942:2158234:1 gene:B456_001G022800 transcript:KJB07426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMKHLLRKLHIGGGLNEHQRLAEARPVISPSPSPTPDSNSMNVTGPATVTSSSSSTVTSSTMGRIGAVESVGADRTAGDAVDFNLLEEEFQMQLALAISASDPETAQIDAAKRISLAGTDNNVFVELLSLRYWNYNVVNYDEKIVDGFYDVYGIASTLGAQGKMPSLVDLQAVSVLDNVDYEVILVNRLLDPELQALEKRVYNIYVQSRAFGSGPVLSGMIQQIAEIVVNRMGGPVADAEEMLRTWNSRSYELRSSLNTIILPLGQLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLVRINNGSEYIIDLMGAPGTLIPAEVPSCHLLNSALDVRGFADRTEASQSSCFQLDKGIGNVAVSSAPDTSPKVGAMRSTESVSSLANEEERSHAERAVFKRFEQEFGKLLPLTPKSNEIFPGIHEKPSSAQKRKVKNVSKYVISAAKDPEFAQKLHAVLMESGASPPPDLFMDINSQDLAEQSRSEQAVKGTNVDAAVSCHSNELPINELCLVSSGMETSENTNSKLRQKQLAKYQREVGMNAIKAKVASSSDVTREEFLIGNTTNEWTQVRETSFSSANDFCQIQPENVLAMDEKLIQRTSDTDFYKESALELIESTGCDLHLISKAHSEKIYPMLREVSEWEIPWEDLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALVQFKCEVEIMLRLRHPNVVLFMGAVTHSPHFSILTEFLPRGSLYKLLHRPNQQLDEKRRMRMALDVAKGMNYLHTSHPTIVHRDLKTPNLLVDKNWVVKVCDFGLSRMKHHTFLSSKSTAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELVTLRIPWKGLNPMQVVGAVGFQNRRLEIPEEVDPTVAQIIRECWQT >KJB07425 pep chromosome:Graimondii2_0_v6:1:2150897:2158250:1 gene:B456_001G022800 transcript:KJB07425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMKHLLRKLHIGGGLNEHQRLAEARPVISPSPSPTPDSNSMNVTGPATVTSSSSSTVTSSTMGRIGAVESVGADRTAGDAVDFNLLEEEFQMQLALAISASDPETAQIDAAKRISLAGTDNNVFVELLSLRYWNYNVVNYDEKIVDGFYDVYGIASTLGAQGKMPSLVDLQAVSVLDNVDYEVILVNRLLDPELQALEKRVYNIYVQSRAFGSGPVLSGMIQQIAEIVVNRMGGPVADAEEMLRTWNSRSYELRSSLNTIILPLGQLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLVRINNGSEYIIDLMGAPGTLIPAEVPSCHLLNSALDVRGFADRTEASQSSCFQLDKGIGNVAVSSAPDTSPKVGAMRSTESVSSLANEEERSHAERAVFKRFEQEFGKLLPLTPKSNEIFPGIHEKPSSAQKRKVKNVSKYVISAAKDPEFAQKLHAVLMESGASPPPDLFMDINSQDLAEQSRSEQAVKGTNVDAAVSCHSNELPINELCLVSSGMETSENTNSKLRQKQLAKYQREVGMNAIKAKVASSSDVTREEFLIGNTTNEWTQVRETSFSSANDFCQIQPENVLAMDEKLIQRTSDTDFYKESALELIESTGCDLHLISKAHSEKIYPMLREVSEWEIPWEDLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALVQFKCEVEIMLRLRHPNVVLFMGAVTHSPHFSILTEFLPRGSLYKLLHRPNQQLDEKRRMRMALDVAKGMNYLHTSHPTIVHRDLKTPNLLVDKNWVVKVCDFGLSRMKHHTFLSSKSTAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELVTLRIPWKGLNPMQVVGAVGFQNRRLEIPEEVDPTVAQIIRECWQTEPHLRPSFAQLMSQLRRLQRLYIERPNSKKQIIDDSVQSL >KJB07427 pep chromosome:Graimondii2_0_v6:1:2150942:2158234:1 gene:B456_001G022800 transcript:KJB07427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMKHLLRKLHIGGGLNEHQRLAEARPVISPSPSPTPDSNSMNVTGPATVTSSSSSTVTSSTMGRIGAVESVGADRTAGDAVDFNLLEEEFQMQLALAISASDPETAQIDAAKRISLAGTDNNVFVELLSLRYWNYNVVNYDEKIVDGFYDVYGIASTLGAQGKMPSLVDLQAVSVLDNVDYEVILVNRLLDPELQALEKRVYNIYVQSRAFGSGPVLSGMIQQIAEIVVNRMGGPVADAEEMLRTWNSRSYELRSSLNTIILPLGQLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLVRINNGSEYIIDLMGAPGTLIPAEVPSCHLLNSALDVRGFADRTEASQSSCFQLDKGIGNVAVSSAPDTSPKVGAMRSTESVSSLANEEERSHAERAVFKRFEQEFGKLLPLTPKSNEIFPGIHEKPSSAQKRKVKNVSKYVISAAKDPEFAQKLHAVLMESGASPPPDLFMDINSQDLAEQSRSEQAVKGTNVDAAVSCHSNELPINELCLVSSGMETSENTNSKLRQKQLAKYQREVGMNAIKAKVASSSDVTREEFLIGNTTNEWTQVRETSFSSANDFCQIQPENVLAMDEKLIQRTSDTDFYKESALELIESTGCDLHLISKAHSEKIYPMLREVSEWEIPWEDLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALVQFKCEVEIMLRLRHPNVVLFMGAVTHSPHFSILTEFLPRGSLYKLLHRPNQQLDEKRRMRMALDVAKGMNYLHTSHPTIVHRDLKTPNLLVDKNWVVCDFGLSRMKHHTFLSSKSTAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELVTLRIPWKGLNPMQVVGAVGFQNRRLEIPEEVDPTVAQIIRECWQTEPHLRPSFAQLMSQLRRLQRLYIERPNSKKQIIDDSVQSL >KJB07428 pep chromosome:Graimondii2_0_v6:1:2150987:2157003:1 gene:B456_001G022800 transcript:KJB07428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMKHLLRKLHIGGGLNEHQRLAEARPVISPSPSPTPDSNSMNVTGPATVTSSSSSTVTSSTMGRIGAVESVGADRTAGDAVDFNLLEEEFQMQLALAISASDPETAQIDAAKRISLAGTDNNVFVELLSLRYWNYNVVNYDEKIVDGFYDVYGIASTLGAQGKMPSLVDLQAVSVLDNVDYEVILVNRLLDPELQALEKRVYNIYVQSRAFGSGPVLSGMIQQIAEIVVNRMGGPVADAEEMLRTWNSRSYELRSSLNTIILPLGQLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLVRINNGSEYIIDLMGAPGTLIPAEVPSCHLLNSALDVRGFADRTEASQSSCFQLDKGIGNVAVSSAPDTSPKVGAMRSTESVSSLANEEERSHAERAVFKRFEQEFGKLLPLTPKSNEIFPGIHEKPSSAQKRKVKNVSKYVISAAKDPEFAQKLHAVLMESGASPPPDLFMDINSQDLAEQSRSEQAVKGTNVDAAVSCHSNELPINELCLVSSGMETSENTNSKLRQKQLAKYQREVGMNAIKAKVASSSDVTREEFLIGNTTNEWTQVRETSFSSANDFCQIQPENVLAMDEKLIQRTSDTDFYKESALELIESTGCDLHLISKAHSEKIYPMLREVSEWEIPWEDLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALVQFKCEVEIMLRLRHPNVVLFMGAVTHSPHFSILTEFLPRGSLYKLLHRPNQQLDEKRRMRMALDVAKGMNYLHTSHPTIVHRDLKTPNLLVDKNWVVKVCDFGLSRMKHHTFLSSKSTAGTPEWMAPEVLRNEPANEK >KJB08763 pep chromosome:Graimondii2_0_v6:1:11456286:11457606:-1 gene:B456_001G102500 transcript:KJB08763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVNKISLNLNPAFSVLLKMTGVQQEGDDQSVSLHLLKQKMADFAKERNWDQFHSPRNLLLAMVGEVGELSEIFQWKGEVPKGLPDWKEDEKVHLGEELSDVLLYLVRLSDICGIDLGKAALRKVELNAIKYRASKNYGTNDDSTAEMCG >KJB10138 pep chromosome:Graimondii2_0_v6:1:29642567:29643245:-1 gene:B456_001G185800 transcript:KJB10138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYNFPVTLDHPSSLSYLFSKLFAYSNLPKSSKDYIENTIPLQSLSTSSLTYLGNLFLKQHRSPFFRPSIASKYLIYQHILSVIF >KJB11410 pep chromosome:Graimondii2_0_v6:1:53075855:53078067:1 gene:B456_001G257200 transcript:KJB11410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDREKHNLSTELEFLLGELPEKIVDFLKEQSSSDGQMGEDEIEIDIDALSHETLYKLRKLLDDYLLAKQKNQAKAESCEMELLNELGFSNSSMQPCKGNDQVDEVVDIVGSSYPPVAIEKGLTHRNSRCSSSSGSSGESSSSSSVMQVKLGTI >KJB08727 pep chromosome:Graimondii2_0_v6:1:11185568:11186020:-1 gene:B456_001G099600 transcript:KJB08727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSLPSPSEGVLCILLVNTALSISIVKGIIRSILHVVGVHLPPPSSDYTENLSESFDFHLNTPESYIEEFRSRTPTIHFGAVLCSCKRPQHDCQVCLTQFEPKSEINHLSCGHLFHKVCLEKWLDYWNITCPLCRTPLLPEEEASCFW >KJB08728 pep chromosome:Graimondii2_0_v6:1:11185203:11186644:-1 gene:B456_001G099600 transcript:KJB08728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSLPSPSEGVLCILLVNTALSISIVKGIIRSILHVVGVHLPPPSSDYTENLSESFDFHLNTPESYIEEFRSRTPTIHFGAVLCSCKRPQHDCQVCLTQFEPKSEINHLSCGHLFHKVCLEKWLDYWNITCPLCRTPLLPEEEASCFW >KJB08729 pep chromosome:Graimondii2_0_v6:1:11185076:11186940:-1 gene:B456_001G099600 transcript:KJB08729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSLPSPSEGVLCILLVNTALSISIVKGIIRSILHVVGVHLPPPSSDYTENLSESFDFHLNTPESYIEEFRSRTPTIHFGAVLCSCKRPQHDCQVCLTQFEPKSEINHLSCGHLFHKVCLEKWLDYWNITCPLCRTPLLPEEEASCFW >KJB06799 pep chromosome:Graimondii2_0_v6:1:23172693:23174038:1 gene:B456_001G160100 transcript:KJB06799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVYQEISEAEDPVREFIMALIVIVE >KJB11070 pep chromosome:Graimondii2_0_v6:1:47951574:47953240:-1 gene:B456_001G239700 transcript:KJB11070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLGFLVVLLLSSIINFVHGFPRHNHGGHHGYLGPWINAHATFYGGGDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACYEIRCVNDPQWCLPGSIVVTATNFCPPGGWCDPPNHHFDLSQPIFLHIAQYKAGIVPVVYRRVWCKRRGRIRFTINGHSYFNLVLVTNVGGAGDVRSVAIKGSRTKWQPMSRNWGQNWQSNTYLNGQSLSFVVTTSDGRAVVSYDVAPSSWSFGQTYIGRQFRS >KJB08636 pep chromosome:Graimondii2_0_v6:1:10523209:10524127:-1 gene:B456_001G094900 transcript:KJB08636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVMKIKVLGRGTSGVVHLVKIVAPIYNQIYAVKSFVGCPNIIQCYCGFTSAEQGRGEVYNLFLEYAPGGSLLDMMNKYGGKIPERDVNCYTQMILEGLLDVYEKGFIHSDRKLGNILAFPPQHGTHLPTLKIVDFRLAKQQGVKDTRFGFQGTMYYMSPESIVEKVSGRSPWDTHDRDDLRDKLLMGESPNILEDMSKLGNSFLRECFTINPNKRWNASKLLCHPYLLLSEHMLPKDNQQSLPYFQQQKVLESQNIPPLLGFNIPNKI >KJB09057 pep chromosome:Graimondii2_0_v6:1:15105522:15111039:1 gene:B456_001G121900 transcript:KJB09057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPIAVALTVGLLGWTYRALKPPPPKICGSPDGPPVTSPRIKLSDGRHLAYREAGVPREEAKYKIVVIHGFGSSKDLNLPASEELVEELRIYFLFFDRSGYGDSDPNPSRSVKSEAYDVQELADKLQIGSKFYVIGISMGAYPVYSCLKYIPHRLAGASLVAPFVNYWWPCLPANLSNDAFSRLLAQDQWSFRVAHYTPWLFYWWMSQKWFPSLSILAGNMAIFSQSDLEILKKWSEAPSVGQEKIQQQGVYESLHRDIMVSYGKWEFDPMDLINPFPNNEGSVYIWQGYEDRIIPFQVNRYLSEKLPWINYHEVPDAGHLLIFESKNCEAVLRELVQSMGQQEA >KJB09059 pep chromosome:Graimondii2_0_v6:1:15106330:15108875:1 gene:B456_001G121900 transcript:KJB09059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSPFAAMIAPIAVALTVGLLGWTYRALKPPPPKICGSPDGPPVTSPRIKLSDGRHLAYREAGVPREEAKYKIVVIHGFGSSKDLNLPASEELVEELRIYFLFFDRSGYGDSDPNPSRSVKSEAYDVQELADKLQIGSKFYVIGISMGAYPVYSCLKYIPHRLAGASLVAPFVNYWWPCLPANLSNDAFSRLLAQDQWSFRVAHYTPWLFYWWMSQKWFPSLSILAGNMAIFSQSDLEILKKWSEAPSVGQEKIQQQGVYESLHRDIMVSYGKWEFDPMDLINPFPNNEGSVYIWQGYEDRIIPFQVNRYLSEKLPWINYHEVPDAGHLLIFESKNCEAVLRELVQR >KJB09058 pep chromosome:Graimondii2_0_v6:1:15105244:15108875:1 gene:B456_001G121900 transcript:KJB09058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPIAVALTVGLLGWTYRALKPPPPKICGSPDGPPVTSPRIKLSDGRHLAYREAGVPREEAKYKIVVIHGFGSSKDLNLPASEELVEELRIYFLFFDRSGYGDSDPNPSRSVKSEAYDVQELADKLQIGSKFYVIGISMGAYPVYSCLKYIPHRLAGASLVAPFVNYWWPCLPANLSNDAFSRLLAQDQWSFRVAHYTPWLFYWWMSQKWFPSLSILAGNMAIFSQSDLEILKKWSEAPSVGQEKIQQQGVYESLHRDIMVSYGKWEFDPMDLINPFPNNEGSVYIWQGYEDRIIPFQVNRYLSEKLPWINYHEVPDAGHLLIFESKNCEAVLRELVQR >KJB09055 pep chromosome:Graimondii2_0_v6:1:15105551:15108875:1 gene:B456_001G121900 transcript:KJB09055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPIAVALTVGLLGWTYRALKPPPPKICGSPDGPPVTSPRIKLSDGRHLAYREAGVPREEAKYKIVVIHGFGSSKDLNLPASEELVEELRIYFLFFDRSGYGDSDPNPSRSVKSEAYDVQELADKLQIGSKFYVIGISMGAYPVYSCLKYIPHRLAGASLVAPFVNYWWPCLPANLSNDAFSRLLAQDQWSFRVAHYTPWLFYWWMSQKWFPSLSILAGNMAIFSQSDLEILKKWSEAPSVGQEKIQQQGVYESLHRDIMVSYGKWEFDPMDLINPFPNNEGSVYIWQGYEDRIIPFQVNRYLSEKLPWINYHEVPDAGHLLIFESKNCEAVLRELVQR >KJB09056 pep chromosome:Graimondii2_0_v6:1:15105522:15108875:1 gene:B456_001G121900 transcript:KJB09056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSPFAAMIAPIAVALTVGLLGWTYRALKPPPPKICGSPDGPPVTSPRIKLSDGRHLAYREAGVPREEAKYKIVVIHGFGSSKDLNLPASEELVEELRIYFLFFDRSGYGDSDPNPSRSVKSEAYDVQELADKLQIGSKFYVIGISMGAYPVYSCLKYIPHRLAGASLVAPFVNYWWPCLPANLSNDAFSRLLAQDQWSFRVAHYTPWLFYWWMSQKWFPSLSILAGNMAIFSQSDLEILKKWSEAPSVGQEKIQQQGVYESLHRDIMVSYGKWEFDPMDLINPFPNNEGSVYIWQGYEDRIIPFQVNRYLSEKLPWINYHEVPDAGHLLIFESKNCEAVLRELVQR >KJB07514 pep chromosome:Graimondii2_0_v6:1:2615660:2620681:-1 gene:B456_001G027900 transcript:KJB07514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSMVERATSDLLIGPDWARNIEICDMLNHDPGQAKDVVKGIKKKLGSKNPKVQLLALTLLETIIKNCGDIVHMHVAERDVLHEMVRIVKKKPDFNVKEKILTLIDTWQEAFGGARARYPQYYVAYQELLRLGAVFPPRSERSAPVLTPPQTQPLSSYPPNIRNSDRQDTAESSAESEFPTLSLTEIQNARGIMDVLSEMLNAIDPGNKEGLRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRVLTRHEAIASGTPSQANKPKPEPAKELVNVDSPLIDTGDSSKQSEGRSTSSTVTSSPFNQLLLPAPPATNGSTPPPAANPKMDLLSGDDFNSPKADNSLALVSLGEPQQAPTASQQNALVLFDMFSDGSNTSNSVNTQSSGLAGQTNPLTPQIQQQHQQQHNFHANGTAPNMGAPPQYEQPYAQGTVPAWNGQLVQQQQPPSPVNGSILITIDF >KJB07512 pep chromosome:Graimondii2_0_v6:1:2614439:2621005:-1 gene:B456_001G027900 transcript:KJB07512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSMVERATSDLLIGPDWARNIEICDMLNHDPGQAKDVVKGIKKKLGSKNPKVQLLALTLLETIIKNCGDIVHMHVAERDVLHEMVRIVKKKPDFNVKEKILTLIDTWQEAFGGARARYPQYYVAYQELLRLGAVFPPRSERSAPVLTPPQTQPLSSYPPNIRNSDRQDTAESSAESEFPTLSLTEIQNARGIMDVLSEMLNAIDPGNKEGLRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRVLTRHEAIASGTPSQANKPKPEPAKELVNVDSPLIDTGDSSKQSEGRSTSSTVTSSPFNQLLLPAPPATNGSTPPPAANPKMDLLSGDDFNSPKADNSLALVSLGEPQQAPTASQQNALVLFDMFSDGSNTSNSVNTQSSGLAGQTNPLTPQIQQQHQQQHNFHANGTAPNMGAPPQYEQPYAQGTVPAWNGQLVQQQQPPSPVNGAESSGSLPPPPWEAQAADSSPVAGAQHPQYVGSDQMAGVYIQPTTTGHLPTTNNHVALGNQFAGYHPQPIQGAPQYTGMLPQQMPVGQMSSMYPQQMPTGQMGSMYPQQVPGAQMGSMYPQQMPAGQMGSMYPQQMYGNQMGAYGYGQQQYLNQQMYGLSIRDDNALRNSSYQVSTSSYVPPSKPSKPEDKLFGDLVDMAKIKSTKTTPGRAGSM >KJB07516 pep chromosome:Graimondii2_0_v6:1:2614787:2620681:-1 gene:B456_001G027900 transcript:KJB07516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSMVERATSDLLIGPDWARNIEICDMLNHDPGQAKDVVKGIKKKLGSKNPKVQLLALTLLETIIKNCGDIVHMHVAERDVLHEMVRIVKKKPDFNVKEKILTLIDTWQEAFGGARARYPQYYVAYQELLRLGAVFPPRSERSAPVLTPPQTQPLSSYPPNIRNSDRQDTAESSAESEFPTLSLTEIQNARGIMDVLSEMLNAIDPGNKEGLRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRVLTRHEAIASGTPSQANKPKPEPAKELVNVDSPLIDTGDSSKQSEGRSTSSTVTSSPFNQLLLPAPPATNGSTPPPAANPKMDLLSGDDFNSPKADNSLALVSLGEPQQAPTASQQNALVLFDMFSDGSNTSNSVNTQSSGLAGQTNPLTPQIQQQHQQQHNFHANGTAPNMGAPPQYEQPYAQGTVPAWNGQLVQQQQPPSPVNEGAESSGSLPPPPWEAQAADSSPVAGAQHPQYVGSDQMAGVYIQPTTTGHLPTTNNHVALGNQFAGYHPQPIQGAPQYTGMLPQQMPVGQMSSMYPQQMPTGQMGSMYPQQVPGAQMGSMYPQQMPAGQMGSMYPQQMYGNQMGAYGYGQQQYLNQQMYGLSIRDDNALRNSSYQVSTSSYVPPSKPSKPEDKLFGDLVDMAKIKSTKTTPGRAGSM >KJB07513 pep chromosome:Graimondii2_0_v6:1:2614463:2618539:-1 gene:B456_001G027900 transcript:KJB07513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSIILLMAKLHCYTYCFLTEVSFISLTEIQNARGIMDVLSEMLNAIDPGNKEGLRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRVLTRHEAIASGTPSQANKPKPEPAKELVNVDSPLIDTGDSSKQSEGRSTSSTVTSSPFNQLLLPAPPATNGSTPPPAANPKMDLLSGDDFNSPKADNSLALVSLGEPQQAPTASQQNALVLFDMFSDGSNTSNSVNTQSSGLAGQTNPLTPQIQQQHQQQHNFHANGTAPNMGAPPQYEQPYAQGTVPAWNGQLVQQQQPPSPVNGAESSGSLPPPPWEAQAADSSPVAGAQHPQYVGSDQMAGVYIQPTTTGHLPTTNNHVALGNQFAGYHPQPIQGAPQYTGMLPQQMPVGQMSSMYPQQMPTGQMGSMYPQQVPGAQMGSMYPQQMPAGQMGSMYPQQMYGNQMGAYGYGQQQYLNQQMYGLSIRDDNALRNSSYQVSTSSYVPPSKPSKPEDKLFGDLVDMAKIKSTKTTPGRAGSM >KJB07515 pep chromosome:Graimondii2_0_v6:1:2614463:2620948:-1 gene:B456_001G027900 transcript:KJB07515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSMVERATSDLLIGPDWARNIEICDMLNHDPGQAKDVVKGIKKKLGSKNPKVQLLALTPDFNVKEKILTLIDTWQEAFGGARARYPQYYVAYQELLRLGAVFPPRSERSAPVLTPPQTQPLSSYPPNIRNSDRQDTAESSAESEFPTLSLTEIQNARGIMDVLSEMLNAIDPGNKEGLRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRVLTRHEAIASGTPSQANKPKPEPAKELVNVDSPLIDTGDSSKQSEGRSTSSTVTSSPFNQLLLPAPPATNGSTPPPAANPKMDLLSGDDFNSPKADNSLALVSLGEPQQAPTASQQNALVLFDMFSDGSNTSNSVNTQSSGLAGQTNPLTPQIQQQHQQQHNFHANGTAPNMGAPPQYEQPYAQGTVPAWNGQLVQQQQPPSPVNGAESSGSLPPPPWEAQAADSSPVAGAQHPQYVGSDQMAGVYIQPTTTGHLPTTNNHVALGNQFAGYHPQPIQGAPQYTGMLPQQMPVGQMSSMYPQQMPTGQMGSMYPQQVPGAQMGSMYPQQMPAGQMGSMYPQQMYGNQMGAYGYGQQQYLNQQMYGLSIRDDNALRNSSYQVSTSSYVPPSKPSKPEDKLFGDLVDMAKIKSTKTTPGRAGSM >KJB06137 pep chromosome:Graimondii2_0_v6:1:39928481:39935666:1 gene:B456_001G2051002 transcript:KJB06137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSLCLPEGEFDELLEHVPLSTRRKLLLSPTVPFENQVETSSCLMDQHLAKDSPNYKVTSGAIEVATNKDPLDSAAFSQDSQLASSLHDVGLPINVNSERHQADELTGAEANADASGLQINAGVFLPPNPVPSNVPGVIKVDCADKMLPSLSKEDANSSATTGVIASCQDVDLPINGNSQGSESDHLKGNQADASEQEKINFDVPLSSDVSTIEKVDCADNMLLSLSNEDTNNSASTGVTGVNMMDKKLSDFTYGELDHIVLKERRKLLLKRKFMELEKPAVKGIPVGLREDTIANSTRSIKQELQPIDGECLTSQNQFNDIPIRNAANLSGFSANDSSSLEDSAWRNKEKLQYVDGKSWLSGIEYNDIPNRSASNFQRTSSTGTESDRSGKRMGDSNIVCPSQRTSMEFTLRDGDDSVPASTNICSSALNTSVKLKVEPLDYSNLQNPERSTFGNMVSVKCEEDISDGIDHMLFRDRMKLLTPFEGFKLNFSNNFECLGQSEPAAFGFSLFVSEPAKPIMISRPRSRKKTATDSVVTALEEDAPELLKVLRDQGVSVDEIKLYGESENYDALDESFNEDSFSELEAVMTKLFSQRSHFLKFSSIRCAKGSKPSYCLACLFSLVEQTRYLQFRRWPVEWGWCRDLQSFIFVFKRHHRIVLERPEYGYATYFFELLDSLPVGWQVMRLVTAMKLTRCGRITLIENKPLS >KJB06134 pep chromosome:Graimondii2_0_v6:1:39928405:39933700:1 gene:B456_001G2051002 transcript:KJB06134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSLCLPEGEFDELLEHVPLSTRRKLLLSPTVPFENQVETSSCLMDQHLAKDSPNYKVTSGLPINVNSERHQADELTGAEANADASGLQINAGVFLPPNPVPSNVPGVIKVDCADKMLPSLSKEDANSSATTGVIASCQDVDLPINGNSQGSESDHLKGNQADASEQEKINFDVPLSSDVSTIEKVDCADNMLLSLSNEDTNNSASTGVTGVNMMDKKLSDFTYGELDHIVLKERRKLLLKRKFMELEKPAVKGIPVGLREDTIANSTRSIKQELQPIDGECLTSQNQFNDIPIRNAANLSGFSANDSSSLEDSAWRNKEKLQYVDGKSWLSGIEYNDIPNRSASNFQRTSSTGTESDRSGKRMGDSNIVCPSQRTSMEFTLRDGDDSVPASTNICSSALNTSVKLKVEPLDYSNLQNPERSTFGNMVSVKCEEDISDGIDHMLFRDRMKLLTPFEGFKLNFSNNFECLGQSEPAAFGFSLFVSEPAKPIMISRPRSRKKTATDSVVTALEEDAPELLKVLRDQGVSVDEIKLYGESENYDALDESFNEDSFSELEAVMTKLSFSDIYR >KJB06136 pep chromosome:Graimondii2_0_v6:1:39928405:39935666:1 gene:B456_001G2051002 transcript:KJB06136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSLCLPEGEFDELLEHVPLSTRRKLLLSPTVPFENQVETSSCLGPLSLPPCSARDQMDQHLAKDSPNYKVTSGAIEVATNKDPLDSAAFSQDSQLASSLHDVGLPINVNSERHQADELTGAEANADASGLQINAGVFLPPNPVPSNVPGVIKVDCADKMLPSLSKEDANSSATTGVIASCQDVDLPINGNSQGSESDHLKGNQADASEQEKINFDVPLSSDVSTIEKVDCADNMLLSLSNEDTNNSASTGVTGVNMMDKKLSDFTYGELDHIVLKERRKLLLKRKFMELEKPAVKGIPVGLREDTIANSTRSIKQELQPIDGECLTSQNQFNDIPIRNAANLSGFSANDSSSLEDSAWRNKEKLQYVDGKSWLSGIEYNDIPNRSASNFQRTSSTGTESDRSGKRMGDSNIVCPSQRTSMEFTLRDGDDSVPASTNICSSALNTSVKLKVEPLDYSNLQNPERSTFGNMVSVKCEEDISDGIDHMLFRDRMKLLTPFEGFKLNFSNNFECLGQSEPAAFGFSLFVSEPAKPIMISRPRSRKKTATDSVVTALEEDAPELLKVLRDQGVSVDEIKLYGESENYDALDESFNEDSFSELEAVMTKLFSQRSHFLKFSSIRCAKGSKPSYCLACLFSLVEQTRYLQFRRWPVEWGWCRDLQSFIFVFKRHHRIVLERPEYGYATYFFELLDSLPVGWQVMRLVTAMKLTRCGRITLIENKPLS >KJB06135 pep chromosome:Graimondii2_0_v6:1:39928405:39935666:1 gene:B456_001G2051002 transcript:KJB06135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSLCLPEGEFDELLEHVPLSTRRKLLLSPTVPFENQVETSSCLMDQHLAKDSPNYKVTSGLPINVNSERHQADELTGAEANADASGLQINAGVFLPPNPVPSNVPGVIKVDCADKMLPSLSKEDANSSATTGVIASCQDVDLPINGNSQGSESDHLKGNQADASEQEKINFDVPLSSDVSTIEKVDCADNMLLSLSNEDTNNSASTGVTGVNMMDKKLSDFTYGELDHIVLKERRKLLLKRKFMELEKPAVKGIPVGLREDTIANSTRSIKQELQPIDGECLTSQNQFNDIPIRNAANLSGFSANDSSSLEDSAWRNKEKLQYVDGKSWLSGIEYNDIPNRSASNFQRTSSTGTESDRSGKRMGDSNIVCPSQRTSMEFTLRDGDDSVPASTNICSSALNTSVKLKVEPLDYSNLQNPERSTFGNMVSVKCEEDISDGIDHMLFRDRMKLLTPFEGFKLNFSNNFECLGQSEPAAFGFSLFVSEPAKPIMISRPRSRKKTATDSVVTALEEDAPELLKVLRDQGVSVDEIKLYGESENYDALDESFNEDSFSELEAVMTKLFSQRSHFLKFSSIRCAKGSKPSYCLACLFSLVEQTRYLQFRRWPVEWGWCRDLQSFIFVFKRHHRIVLERPEYGYATYFFELLDSLPVGWQVMRLVTAMKLTRCGRITLIENKPLS >KJB08305 pep chromosome:Graimondii2_0_v6:1:7765892:7767423:-1 gene:B456_001G075600 transcript:KJB08305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSHSTSPTMSLGRSVARVRVNSPSLRRKSASNFIENDQEVEFLGNKSEATNFMINIEASGTDYDGGDGGGNKVMVVVESTLEAKGALDWALSHTIQAEDTIVLLHVAKPRKRESSKRKRNPRVDVLLHSMKNMCQMKKPGVQVEVAKVEGKGKGAIIVEAAKGHQVSLLVLGQRKKSIIWQLMRRLVAKRGGANGGGVVDYCIQNASCMTIAVRRKSNQLGGYLITTKRHKNFWLLA >KJB07231 pep chromosome:Graimondii2_0_v6:1:910302:910968:-1 gene:B456_001G009500 transcript:KJB07231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTGKSSKGTGKSSDVRKDRRSGTGMIGSPKKGGHGGKFTWAGDGFSPAEIGVEKQVFDVKDPNFEDPDEIVNDN >KJB10120 pep chromosome:Graimondii2_0_v6:1:29502764:29506599:1 gene:B456_001G184900 transcript:KJB10120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAVLFANSEGNILVEQSVYIVYTVLGDVNVYVVGKDGYDELALAEVIFVITSAVKDVCGKLPTERLFLDKYRRICLTLDEIIWKGYLENTDKDRIRRLVRLKPPTEF >KJB09946 pep chromosome:Graimondii2_0_v6:1:26332641:26335735:-1 gene:B456_001G176900 transcript:KJB09946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAISEASVYIKMKKPSAALEIRKDVKGSLFVVKRNTQPRFQFIVMNRRNTDNLVENLLGDFEYEVQDKYLLYHNASQEKMVFGSMMHMNSRRLRIFLAGFLLHTQRCPRSQRQHQQKVTILITNAIEELEAVSTMAIIDGPLEPSSSTAPSAAEIPDDPAFVNFFSVCIFLFNFCPLITCNTFQYI >KJB09945 pep chromosome:Graimondii2_0_v6:1:26332641:26334406:-1 gene:B456_001G176900 transcript:KJB09945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYWFIQAIYEFNIDLSQWIRKDVKGSLFVVKRNTQPRFQFIVMNRRNTDNLVENLLGDFEYEVQDKYLLYHNASQEKMVFGSMMHMNSRRLRIFLAGFLLHTQRCPRSQRQHQQKVTILITNAIEELEAVSTMAIIDGPLEPSSSTAPSAAEIPDDPAFVNFFSVCIFLFNFCPLITCNTFQYI >KJB09915 pep chromosome:Graimondii2_0_v6:1:25506508:25511061:1 gene:B456_001G174700 transcript:KJB09915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPNLDLQESGWEELRREARKIEGDLDVKLSSYAKLGARFTQGDTGSPTVGSSRSWKSMEMEIQSLLEKLLDINDAMSRCAASAASTTSVTQKLARHRDILHEFTQEFRRIKGNINSMREHAELLSSVRDDINEYKASGSMSPRMQLLRERAAIHGSIAHIDDVINQAQTTRAVLGSQRALFGDVQGKVKVLSDKFPVIRGLLGSIRRRRSRDTLILSAVIAACTLFLIIYWLSK >KJB09913 pep chromosome:Graimondii2_0_v6:1:25506621:25511061:1 gene:B456_001G174700 transcript:KJB09913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPNLDLQESGWEELRREARKIEGDLDVKLSSYAKLGARFTQGDTGSPTVGSSRSWKSMEMEIQSLLEKLLDINDAMSRCAASAASTTSVTQKLARHRDILHEFTQEFRRIKGNINSMREHAELLSSVRDDINEYKASGSMSPRMQLLRERAAIHGSIAHIDDVINQAQTTRAVLGSQRALFGDVQGKVKVLSDKFPVIRGLLGSIRRRRSRDTLILSAVIAACTLFLIIYWLSK >KJB09914 pep chromosome:Graimondii2_0_v6:1:25506613:25510778:1 gene:B456_001G174700 transcript:KJB09914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPNLDLQESGWEELRREARKIEGDLDVKLSSYAKLGARFTQGDTGSPTVGSSRSWKSMEMEIQSLLEKLLDINDAMSRCAASAASTTSVTQKLARHRDILHEFTQEFRRIKGNINSMREHAELLSSVRDDINEYKASGSMSPRMQLLRERAAIHGSIAHIDDVINQAQTTRAVLGSQRALFGDVQGKVKVLSDKFPVIRGLLEGGVQGTLLFCQQ >KJB08720 pep chromosome:Graimondii2_0_v6:1:14310186:14314688:1 gene:B456_001G118600 transcript:KJB08720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLACHEVPLKHIVSSNGLIAVIVDQLFLDDTQCLCEAFRLLSSGLQGGECIKWEEALQFEHILSRILWVMENTLNPQLIEKSVGLLLSMLESQKEVEHILLSPLMKLGLASVLVNLLTFEMSKLTNDRIPERYPVLDVILRALEALCVIDVCSQEICSNKEIFQLVCDLIKFPDKVEVSTSCVTAGLLIANILSDVPDLASSISQDLPFLQGLFDIFPFTSDDSEARCALWNVIARFLVRVREDEMSASNLRQYVFILLSKSDVIEDDLFDHQFDEKKENESLATSGRKSDARTLALRRITSILNKWNALKDSCEKDMMEDYATNEKICRLLDICHGHTM >KJB08722 pep chromosome:Graimondii2_0_v6:1:14309003:14316160:1 gene:B456_001G118600 transcript:KJB08722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASESTPTREEEEGEQLEEDRFVSSHHPSAPPDELFDISTTVDPSYVISLIRKLLPVEPKNVDNTEIRGSNCNNEVVNSSNDSCKSMDIVDDPTESEFRGEGDEDSHKEEIARLSAGEEVWEECGCVLWDLAANQTHAELMVQNFVLEVLLANLMVTQSVRVTEICLGIMGNLACHEVPLKHIVSSNGLIAVIVDQLFLDDTQCLCEAFRLLSSGLQGGECIKWEEALQFEHILSRILWVMENTLNPQLIEKSVGLLLSMLESQKEVEHILLSPLMKLGLASVLVNLLTFEMSKLTNDRIPERYPVLDVILRALEALCVIDVCSQEICSNKEIFQLVCDLIKFPDKVEVSTSCVTAGLLIANILSDVPDLASSISQDLPFLQGLFDIFPFTSDDSEARCALWNVIARFLVRVREDEMSASNLRQYVFILLSKSDVIEDDLFDHQFDEKKENESLATSGRKSDARTLALRRITSILNKWNALKDSCEKDMMEDYATNEKICRLLDICHGHTIFGHNPSSN >KJB08721 pep chromosome:Graimondii2_0_v6:1:14309003:14316160:1 gene:B456_001G118600 transcript:KJB08721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASESTPTREEEEGEQLEEDRFVSSHHPSAPPDELFDISTTVDPSYVISLIRKLLPVEPKNVDNTEIRGSNCNNEVVNSSNDSCKSMDIVDDPTESEFRGEGDEDSHKEEIARLSAGEEVWEECGCVLWDLAANQTHAELMVQNFVLEVLLANLMVTQSVRVTEICLGIMGNLACHEVPLKHIVSSNGLIAVIVDQLFLDDTQCLCEAFRLLSSGLQGGECIKWEEALQFEHILSRILWVMENTLNPQLIEKSVGLLLSMLESQKEVEHILLSPLMKLGLASVLVNLLTFEMSKLTNDRIPERYPVLDVILRALEALCVIDVCSQEICSNKEIFQLVCDLIKFPDKVEVSTSCVTAGLLIANILSDVPDLASSISQDLPFLQGLFDIFPFTSDDSEARCALWNVIARFLVRVREDEMSASNLRQYVFILLSKSDVIEDDLFDHQFDEKKENESLATSGRKSDARTLALRRITSILNKWNALKDSCEKDMMEDYATNEKICRLLDICHGHTISGTSE >KJB08724 pep chromosome:Graimondii2_0_v6:1:14309003:14316160:1 gene:B456_001G118600 transcript:KJB08724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVKAWILLMILLNLSFEGKVMKIRIRRKLLVYQLGKRSGRSVVVFCGILLQIKLMLSSWLLSSGLQGGECIKWEEALQFEHILSRILWVMENTLNPQLIEKSVGLLLSMLESQKEVEHILLSPLMKLGLASVLVNLLTFEMSKLTNDRIPERYPVLDVILRALEALCVIDVCSQEICSNKEIFQLVCDLIKFPDKVEVSTSCVTAGLLIANILSDVPDLASSISQDLPFLQGLFDIFPFTSDDSEARCALWNVIARFLVRVREDEMSASNLRQYVFILLSKSDVIEDDLFDHQFDEKKENESLATSGRKSDARTLALRRITSILNKWNALKDSCEKDMMEDYATNEKICRLLDICHGHTM >KJB08718 pep chromosome:Graimondii2_0_v6:1:14309003:14313820:1 gene:B456_001G118600 transcript:KJB08718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASESTPTREEEEGEQLEEDRFVSSHHPSAPPDELFDISTTVDPSYVISLIRKLLPVEPKNVDNTEIRGSNCNNEVVNSSNDSCKSMDIVDDPTESEFRGEGDEDSHKEEIARLSAGEEVWEECGCVLWDLAANQTHAELMVQNFVLEVLLANLMVTQSVRVTEICLGIMGNLACHEVPLKHIVSSNGLIAVIVDQLFLDDTQCLCEAFRLLSSGLQGGECIKWEEALQFEHILSRILWVMENTLNPQLIEKSVGLLLSMLESQKEVEHILLSPLMKLGLASVLVNLLTFEMSKLTNDRIPERYPVLDVILRALEALCVIDVCSQEICSNKEIFQLVCDLIKFPDKVEVICSSFVTVKTDICYRL >KJB08723 pep chromosome:Graimondii2_0_v6:1:14309047:14314688:1 gene:B456_001G118600 transcript:KJB08723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASESTPTREEEEGEQLEEDRFVSSHHPSAPPDELFDISTTVDPSYVISLIRKLLPVEPKNVDNTEIRGSNCNNEVVNSSNDSCKSMDIVDDPTESEFRGEGDEDSHKEEIARLSAGEEVWEECGCVLWDLAANQTHAELMVQNFVLEVLLANLMVTQSVRVTEICLGIMGNLACHEVPLKHIVSSNGLIAVIVDQLFLDDTQCLCEAFRLLSSGLQGGECIKWEEALQFEHILSRILWVMENTLNPQLIEKSVGLLLSMLESQKEVEHILLSPLMKLGLASVLVNLLTFEMSKLTNDRIPERYPVLDVILRALEALCVIDVCSQEICSNKEIFQLVCDLIKFPDKVEVSTSCVTAGLLIANILSDVPDLASSISQDLPFLQGLFDIFPFTSDDSEARCALWNVIARFLVRVREDEMSASNLRQYVFILLSKSDVIEDDLFDHQFDEKKENESLATSGRKSDARTLALRRITSILNKWNALKDSCEKDMMEDYATNEKICRLLDICHGHTM >KJB08719 pep chromosome:Graimondii2_0_v6:1:14309003:14316160:1 gene:B456_001G118600 transcript:KJB08719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASESTPTREEEEGEQLEEDRFVSSHHPSAPPDELFDISTTVDPSYVISLIRKLLPVEPKNVDNTEIRGSNCNNEVVNSSNDSCKSMDIVDDPTESEFRGEGDEDSHKEEIARLSAGEEVWEECGCVLWDLAANQTHAELMVQNFVLEVLLANLMVTQSVRVTEICLGIMGNLACHEVPLKHIVSSNGLIAVIVDQLFLDDTQCLCEAFRLLSSGLQGGECIKWEEALQFEHILSRILWVMENTLNPQLIEKSVGLLLSMLESQKEVEHILLSPLMKLGLASVLVNLLTFEMSKLTNDRIPERYPVLDVILRALEALCVIDVCSQEICSNKEIFQLVCDLIKFPDKVEVSTSCVTAGLLIANILSDVPDLASSISQDLPFLQGLFDIFPFTSDDSEARCALWNVIARFLVRVREDEMSASNLRQYVFILLSKSDVIEDDLFDHQFDEKKENESLATSGRKSDARTLALRRITSILNKWNALKDSCEKDMMEDYATNEKICRLLDICHGHTISGTSE >KJB11235 pep chromosome:Graimondii2_0_v6:1:50317324:50319539:-1 gene:B456_001G248800 transcript:KJB11235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILRNAIKLHVSVRTVKPTHVLPSFLREPPKRFSTEPDQQQQQPLPDASVNQFLDSASKGFVYGKLFGITKYTMKSDIISLLEGCNLTPDDIKVSYSRSLFPVAMMLRFPSPSAFSNAGRTIRRFGRLYRLDRVVLQGLPRSAALEDVERFLSGCEYDSSSIQTVTFTRPGFTNLVRLTTVQFPSHIQAMNACISKNRKICLNNQISVRVLY >KJB11234 pep chromosome:Graimondii2_0_v6:1:50317246:50319539:-1 gene:B456_001G248800 transcript:KJB11234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILRNAIKLHVSVRTVKPTHVLPSFLREPPKRFSTEPDQQQQQPLPDASVNQFLDSASKGFVYGKLFGITKYTMKSDIISLLEGCNLTPDDIKVSYSRSLFPVAMMLRFPSPSAFSNAGRTIRRFGRLYRLDRVDTSDWDIVMPYNGKTVVLQGLPRSAALEDVERFLSGCEYDSSSIQTVTFTRPGFTNLVRLTTVQFPSHIQAMNACISKNRKICLNNQISVRVLY >KJB06687 pep chromosome:Graimondii2_0_v6:1:950270:951891:1 gene:B456_001G009900 transcript:KJB06687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGETHRPNPTVHVPPWPDLDDDQTDVVYSPIHYNATDNNLNSNGNPFYLHEALSALQRYLPSNGPDVELDSEFPGLDSPDSPVDAYSCDHFRMYEFKIRRCARGRSHDWTECPYAHPGEKARRRDPRKYHYSGTACPDFRKGNCRKGDSCEFAHGVFECWLHPARYRTQPCKDGSGCRRRVCFFAHTPDQLRLVSSTDTYDGSPCGKTLTFWSSPGSGSPPVSPRAESCSSPPVSPMAQSLSRSLGSASINEMVTSLRNLQLGKGKSWKTQVGCCSPSSPSSFGSPRAAMIRPGFCSLPSTPTRNLTRPGISYPDSWDKACEEEPVMERVESGRDLRAKMFEKLSKENSLERVNPDQSSGGPDLNWVSDLGKQAMGIIVMVDIVLFIKYF >KJB09916 pep chromosome:Graimondii2_0_v6:1:25604586:25607592:1 gene:B456_001G174800 transcript:KJB09916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSAAFSPDHVSPSDQLCYVHCNFCDTVLAVSVPCTSLFKTVTVRCGHCTNLLSVNMLGLLLPSTNQHHVGHSFFTPHNLLEEIRSSATTNMVINQPNPNNSLMPPIHGGVEDIPKPLVVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQPVKKTNVHQQEGEEMVMKDGFFAPNNVGVTPYQTSPNYC >KJB07449 pep chromosome:Graimondii2_0_v6:1:2328153:2331691:-1 gene:B456_001G024500 transcript:KJB07449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATC MGSRALLMLTNFNFKTTVSSFGSASNPFFLIKNNLRTRNFSTKTRSSLQPPDLPGLAETARISLAPNEVEEFAPKIGQVIDWFGQLQAVDLDNVEPAIRADTEGDNLREDAPQTFENKEALIASVPSYEEPYIKVPKVLNKE >KJB07452 pep chromosome:Graimondii2_0_v6:1:2330770:2331691:-1 gene:B456_001G024500 transcript:KJB07452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATC MGSRALLMLTNFNFKTTVSSFGSASNPFFLIKNNLRTRNFSTKTRSSLQPPDLPGLAETARISLAPNEVEEFAPKIGQVIDWFGQLQAVDLDNVEPAIRAGT >KJB07448 pep chromosome:Graimondii2_0_v6:1:2329114:2331691:-1 gene:B456_001G024500 transcript:KJB07448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATC MGSRALLMLTNFNFKTTVSSFGSASNPFFLIKNNLRTRNFSTKTRSSLQPPDLPGLAETARISLAPNEVEEFAPKIGQVIDWFGQLQAVDLDNVEPAIRADTEGDNLREDAPQTFENKEALIASVPSYEEPYIKVPKVLNKE >KJB07450 pep chromosome:Graimondii2_0_v6:1:2329122:2331691:-1 gene:B456_001G024500 transcript:KJB07450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATC MGSRALLMLTNFNFKTTVSSFGSASNPFFLIKNNLRTRNFSTKTRSSLQPPDLPGLAETARISLAPNEVEEFAPKIGQVIDWFGQLQAVDLDNVEPAIRADTEGDNLREDAPQTFENK >KJB07451 pep chromosome:Graimondii2_0_v6:1:2329568:2331691:-1 gene:B456_001G024500 transcript:KJB07451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATC MGSRALLMLTNFNFKTTVSSFGSASNPFFLIKNNLRTRNFSTKTRSSLQPPDLPGLAETARISLAPNEVEEFAPKIGQVIDWFGQLQAVDLDNVEPAIRADTEGDNLREDAPQTFENK >KJB08706 pep chromosome:Graimondii2_0_v6:1:11158012:11161404:1 gene:B456_001G099100 transcript:KJB08706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEQAPEPLDFFIWTVEDVGMWLEEINLGSYRLIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSLVFLKVAKRNRQSRVVSLKLEP >KJB08709 pep chromosome:Graimondii2_0_v6:1:11157857:11161413:1 gene:B456_001G099100 transcript:KJB08709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEQAPEPLDFFIWTVEDVGMWLEEINLGSYRLIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSLVFLKVAKRNRQSRVVSLKLEP >KJB08707 pep chromosome:Graimondii2_0_v6:1:11158300:11160689:1 gene:B456_001G099100 transcript:KJB08707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEQAPEPLDFFIWTVEDVGMWLEEINLGSYRLIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKGIYIAFLAARSSCLASGHFIVHHMYL >KJB08708 pep chromosome:Graimondii2_0_v6:1:11157868:11161404:1 gene:B456_001G099100 transcript:KJB08708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEQAPEPLDFFIWTVEDVGMWLEEINLGSYRLIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSLVFLKVAKRNRQSRVVSLKLEP >KJB06795 pep chromosome:Graimondii2_0_v6:1:1216864:1220233:-1 gene:B456_001G012800 transcript:KJB06795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFERAKEEIEAVIHVGKIHPFGKETHGKRDDINENTPLDDVKAPNVFERVKEEFEALVETVHHKKESQTHVDERDHSDKGQAKHDKPENGVKAPNLIERAKEEIGAILHHDKSSNHHHKETHGKSNDIDETTPLNEVKAPNVFERAKEEIEAIVGTIHPKIGSNDSGSELKEGGFRHCLGMGLEKVCHPWGSKRD >KJB06796 pep chromosome:Graimondii2_0_v6:1:1216864:1220233:-1 gene:B456_001G012800 transcript:KJB06796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSNSSNSSSADEDVKAPNMFERAKEEIEAVIHVGKIHPFGKETHGKRDDINENTPLDDVKAPNVFERVKEEFEALVETVHHKKESQTHVDERDHSDKGQAKHDKPENGVKAPNLIERAKEEIGAILHHDKSSNHHHKETHGKSNDIDETTPLNEVKAPNVFERAKEEIEAIVGTIHPKIGSNDSGSELKEGGFRHCLGMGLEKVCHPWGSKRD >KJB06793 pep chromosome:Graimondii2_0_v6:1:1216288:1220389:-1 gene:B456_001G012800 transcript:KJB06793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSNSSNSSSDEDVKAPNMFERAKEEIEAVIHVGKIHPFGKETHGKRDDINENTPLDDVKAPNVFERVKEEFEALVETVHHKKESQTHVDERDHSDKGQAKHDKPENGVKAPNLIERAKEEIGAILHHDKSSNHHHKETHGKSNDIDETTPLNEVKAPNVFERAKEEIEAIVGTIHPKIGSNDSGSELKEGGFRHCLGMGLEKVCHPWGSKRD >KJB06794 pep chromosome:Graimondii2_0_v6:1:1216288:1220368:-1 gene:B456_001G012800 transcript:KJB06794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSNSSNSSSDEDVKAPNMFERAKEEIEAVIHVGKIHPFGKETHGKRDDINENTPLDDVKAPNVFERVKEEFEALVETVHHKKESQTHVDERDHSDKGQAKHDKPENGVKAPNLIERAKEEIGAILHHDKSSNHHHKETHGKSNDIDETTPLNEVKAPNVFERAKEEIEAIVGTIHPKIGSNDSGSELKEGGFRHCLGMGLEKVCHPWGSKRD >KJB07084 pep chromosome:Graimondii2_0_v6:1:2038350:2040198:-1 gene:B456_001G021600 transcript:KJB07084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMNSNDGDVDSDNGQLLRDIEEISNALYLHKPSSQALIPVSNFRSKSVGRTRVSESEKDKKTSSFWDWKKPLRALTHIRHHQFHICFFLHVHSIEGLPAYLNGFSLCVHWKRKDELLSTRAVRVVDGIAEFEEILMHKCCVYGSKSGSHNSAKYEVKLSLIYASVVETPGHDIGEHWIDLTRSLPLSLEDLEGEKGSSRWTTSFKLSGKAKGATLNVSFSFLNLTENGSSATGDSRVHRILQHDGIVLSNVNQRSLSPLSVDVKFGTEMLPNVGLEFSKSISILYQKLNEESLHGSSGLDKLSEHVEPLKPDSESAKYIDEDKNKFFAIEQGEKWCLKDPTSIEQSAIQTIDGSVIETIDVDEILKDCDTDIDEVVDPVLNTSCSSCMLKVVVDDCVREKSNKCSNPMTVRELESVFHDKLVAESSVSEPPSALNEFIENEKFMEGKSHFEASELTNKSPSLDDIADTVANDFLKMLEIEHDLSSFNSDSALESPRERLLREFENEALASGDFILDIGTAGEEEEFDSTIPGLCCGDSSEDFTFLSVVLPSKEQKMESLSLKDRRTVEMVEDLETEALMHEWSLDETLFQSSHVQSDGFGSPIVLSPE >KJB11130 pep chromosome:Graimondii2_0_v6:1:48276389:48281776:1 gene:B456_001G242200 transcript:KJB11130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPMKIQPIDFSPSEEVVPPLLETVKPVVKSRFKRLFERQFPSILRNSAAEKVDADELPFSKECTTAELEPSSFCLAKMVQNFIEDNNEKQQSGAVRCSRNRSNCFNRNCNDSSDDDMDGFGFSDSNLSSSAEASEILKSLVPCGSVNERNLLAHTARIMEKNKISKRKDDICRKIVIDGLLAFGYDASICKSRWEKSPSYPAGEYEYIDVIIDGERLLIDIDFRSEFEIARSTKTYKSILQILPFIFVGKADRLQRIIAIVSEAAKQSLKKKGMHIPPWRKAEYVSAKWLSPYNRATPSPPPLTPTPTRTPTTGTLRESEIDSKAKEKDQQPFTELNSEDKYSVEDAELGESIFALSESSEEEGKEKVEKGEWKPPEIKPKSSQIGITFVTGLASVIEDEPRKF >KJB06556 pep chromosome:Graimondii2_0_v6:1:9815939:9820740:-1 gene:B456_001G090000 transcript:KJB06556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLRRIENNISRQVTFSKRRSGLLKKAHEISVLCDADVALIVFSNKGKLFEFSSDPSMERILERYERQIYAPTGSESQANWSLESSKLMSTIEVLQRSLRNFRGEELEPLSLRDLQLLEQQIGNSLKRIRTRKNKLMNESISVLQKREKTLQDQNNMLAKKLKEKQQTPTEHAQHEVQQKFVQNSPPSTSVQPPTPPPAAIQFPCLTIGIETHAITLIPQSFDMKNHAEGVTKP >KJB06553 pep chromosome:Graimondii2_0_v6:1:9815475:9820934:-1 gene:B456_001G090000 transcript:KJB06553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLRRIENNISRQVTFSKRRSGLLKKAHEISVLCDADVALIVFSNKGKLFEFSSDPSMERILERYERQIYAPTGSESQANWSLESSKLMSTIEVLQRSLRNFRGEELEPLSLRDLQLLEQQIGNSLKRIRTRKNKLMNESISVLQKREKTLQDQNNMLAKKRELRSHERDKQGS >KJB06554 pep chromosome:Graimondii2_0_v6:1:9815939:9820740:-1 gene:B456_001G090000 transcript:KJB06554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLRRIENNISRQVTFSKRRSGLLKKAHEISVLCDADVALIVFSNKGKLFEFSSDPSMERILERYERQIYAPTGSESQANWSLESSKLMSTIEVLQRSLRNFRGEELEPLSLRDLQLLEQQIGNSLKRIRTRKNKLMNESISVLQKREKTLQDQNNMLAKKTPTEHAQHEVQQKFVQNSPPSTSVQPPTPPPAAIQFPCLTIGIETHAITLIPQSFDMKNHAEGVTKP >KJB06555 pep chromosome:Graimondii2_0_v6:1:9815475:9820934:-1 gene:B456_001G090000 transcript:KJB06555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLRRIENNISRQVTFSKRRSGLLKKAHEISVLCDADVALIVFSNKGKLFEFSSDPSMERILERYERQIYAPTGSESQANWSLESSKLMSTIEVLQRSLRNFRGEELEPLSLRDLQLLEQQIGNSLKRIRTRKNKLMNESISVLQKREKTLQDQNNMLAKKVKNYYSFL >KJB06557 pep chromosome:Graimondii2_0_v6:1:9815475:9820965:-1 gene:B456_001G090000 transcript:KJB06557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLRRIENNISRQVTFSKRRSGLLKKAHEISVLCDADVALIVFSNKGKLFEFSSDPSMERILERYERQIYAPTGSESQANWSLESSKLMSTIEVLQRSLRNFRGEELEPLSLRDLQLLEQQIGNSLKRIRTRKNKLMNESISVLQKREKTLQDQNNMLAKKLKEKQQTPTEHAQHEVQQKFVQNSPPSTSVQPPTPPPAAIQFPCLTIGGSYEAMKGTNKEAELNLNLVPNQ >KJB07442 pep chromosome:Graimondii2_0_v6:1:2284179:2285997:-1 gene:B456_001G024300 transcript:KJB07442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKRGPWSPEEDATLKNYVQSHGTGGNWIALPLKAGLKRCGKSCRLRWLNYLRPDIKHGGFTEEEDNIICSLYSQMGSRWSLIASQLPGRTDNDVKNYWNTKLKKKLLAGKSSFNVENNGGLLNANNNNIPTQPLPYNLDYSTTSLPILSDVSYGFSVSNCSTSQNMGLDPVMQFSTPDTITNLSQSGPNLDNSHNNIVVVSSSQEGSALSDSTGPSFEFSHGDIKTSQGLNQSVATNPY >KJB07444 pep chromosome:Graimondii2_0_v6:1:2284267:2285465:-1 gene:B456_001G024300 transcript:KJB07444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRWSLIASQLPGRTDNDVKNYWNTKLKKKLLAGKSSFNVENNGGLLNANNNNIPTQPLPYNLDYSTTSLPILSDVSYGFSVSNCSTSQNMGLDPVMQFSTPDTITNLSQSGPNLDNSHNNIVVVSSSQEGSALSDSTGNGYGEDTGSIILMDDQQFSYEFPYEFVNGVLAGPSFEFSHGDIKTSQGLNQSVATNPY >KJB07445 pep chromosome:Graimondii2_0_v6:1:2284267:2285851:-1 gene:B456_001G024300 transcript:KJB07445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRAMAQVVTGSLCPLKLALRDVARVADYDGLIISDQTSNMEVLPKRKTTLYALFIVKWEAASQLPGRTDNDVKNYWNTKLKKKLLAGKSSFNVENNGGLLNANNNNIPTQPLPYNLDYSTTSLPILSDVSYGFSVSNCSTSQNMGLDPVMQFSTPDTITNLSQSGPNLDNSHNNIVVVSSSQEGSALSDSTGNGYGEDTGSIILMDDQQFSYEFPYEFVNGVLAGPSFEFSHGDIKTSQGLNQSVATNPY >KJB07443 pep chromosome:Graimondii2_0_v6:1:2284209:2286009:-1 gene:B456_001G024300 transcript:KJB07443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKRGPWSPEEDATLKNYVQSHGTGGNWIALPLKAGLKRCGKSCRLRWLNYLRPDIKHGGFTEEEDNIICSLYSQMGSRWSLIASQLPGRTDNDVKNYWNTKLKKKLLAGKSSFNVENNGGLLNANNNNIPTQPLPYNLDYSTTSLPILSDVSYGFSVSNCSTSQNMGLDPVMQFSTPDTITNLSQSGPNLDNSHNNIVVVSSSQEGSALSDSTGNGYGEDTGSIILMDDQQFSYEFPYEFVNGVLAGPSFEFSHGDIKTSQGLNQSVATNPY >KJB07667 pep chromosome:Graimondii2_0_v6:1:3346412:3349410:1 gene:B456_001G036400 transcript:KJB07667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKLVLHLLLFLVCALENIVLAVQGPASSPISTPISASMAAFSPAGIQLGGEEHKKMDPTKKMLLALILACSSLGAIISSLFCLWIYYRKNSSKSSKNGAKSSDGEKGNGLAPYLGKFKSMRTVSKEGYASFMDYKILEKATNKFHHGNILGEGGFGCVYKAEFNDGSYAAVKKLDCASQDAEKEYENEVGLLCRFKHSNIISLLGYSSDNDTRFIVYELMENGSLETQLHGPSHGSSLTWHRRMKIALDTARGLEYLHEHCNPPVIHRDLKSSNILLDLDFNAKLSDFGLAVTDAAPNKNNLKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLGRKPVEKLAPAQCQSIVTWAMPQLTDRSKLPNIVDPVIRNTMDLKHLYQVCKLFK >KJB07666 pep chromosome:Graimondii2_0_v6:1:3346332:3349934:1 gene:B456_001G036400 transcript:KJB07666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKLVLHLLLFLVCALENIVLAVQGPASSPISTPISASMAAFSPAGIQLGGEEHKKMDPTKKMLLALILACSSLGAIISSLFCLWIYYRKNSSKSSKNGAKSSDGEKGNGLAPYLGKFKSMRTVSKEGYASFMDYKILEKATNKFHHGNILGEGGFGCVYKAEFNDGSYAAVKKLDCASQDAEKEYENEVGLLCRFKHSNIISLLGYSSDNDTRFIVYELMENGSLETQLHGPSHGSSLTWHRRMKIALDTARGLEYLHEHCNPPVIHRDLKSSNILLDLDFNAKLSDFGLAVTDAAPNKNNLKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLGRKPVEKLAPAQCQSIVTWAMPQLTDRSKLPNIVDPVIRNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPMELGGTLRVTQPTAP >KJB08679 pep chromosome:Graimondii2_0_v6:1:10881721:10886333:-1 gene:B456_001G097300 transcript:KJB08679 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNase Z TRZ2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04530) UniProtKB/Swiss-Prot;Acc:Q8L633] MQFPFNSISNKQEMQVSLPIVPSKIPSIFPFHHPIYPPHPPHKSLSFQTQASPFKSLKTSGYLSTISRAIDEEEEYRKARAAVTRKGIEVEGYFIEGLSIGGHETCVIVPELKSAFDIGRCPARAIHQNFVFITHAHLDHIGGLPMYVASRGLYNLKPPTVFVPPCIKEDVEKLLDIHRTMGQVELNLDLVALDVGETYELRNDIVVRPFRTHHVIPSQGYVVYSVRKKLKKQYIHLKGKQIEKLKKSGVEITDIVLSPEVAFTGDTTAEYMLDPRNADALRAKILITEATFLDDGYSIDHARQHGHTHLSEIIENVQWIRNKAVLLTHFSSRYSIEDIRQAVSKLQSKLSAKVVPLTEGFKSMHT >KJB08680 pep chromosome:Graimondii2_0_v6:1:10881730:10886183:-1 gene:B456_001G097300 transcript:KJB08680 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNase Z TRZ2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04530) UniProtKB/Swiss-Prot;Acc:Q8L633] MQFPFNSISNKQEMQVSLPIVPSKIPSIFPFHHPIYPPHPPHKSLSFQTQASPFKSLKTSGYLSTISRAIDEEEEYRKARAAVTRKGIEVEGYFIEGLSIGGHETCVIVPELKSAFDIGRCPARAIHQNFVFITHAHLDHIGGLCNVCCSSHGLYNLKHGLFENHGGLPMYVASRGLYNLKPPTVFVPPCIKEDVEKLLDIHRTMGQVELNLDLVALDVGETYELRNDIVVRPFRTHHVIPSQGYVVYSVRKKLKKQYIHLKGKQIEKLKKSGVEITDIVLSPEVAFTGDTTAEYMLDPRNADALRAKILITEATFLDDGYSIDHARQHGHTHLSEIIENVQWIRNKAVLLTHFSSRYSIEDIRQAVSKLQSKLSAKVVPLTEGFKSMHT >KJB07243 pep chromosome:Graimondii2_0_v6:1:1003311:1006201:-1 gene:B456_001G010600 transcript:KJB07243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLQQLQSQACQASKFVVKHGTAYYKQLLEQNKQYIQDPPTVEKCNELAKQLFYTRLASIPGRTESFWKELDYVKQLWKNRKELKVEDAGIAALFGMECFAWYCAEFNAPRRLITVQITHLH >KJB07244 pep chromosome:Graimondii2_0_v6:1:1003749:1005326:-1 gene:B456_001G010600 transcript:KJB07244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLQQLQSQACQASKFVVKHGTAYYKQLLEQNKQYIQDPPTVEKCNELAKQLFYTRLASIPGRTESFWKELDYVKQLWKNRKELKVEDAGIAALFGMECFAWYCAGEIVGRGFTFTGYYV >KJB10332 pep chromosome:Graimondii2_0_v6:1:34230857:34239319:-1 gene:B456_001G196300 transcript:KJB10332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVATVLHRPVVPVPLFFTSHLSPKVLLKPKTFRFGFLLPSLKLKASATKKQLQTQEPDNEDLHNQEKSDDQIFDLGWLPTFPHVLVASMSNFLFGYHIGVMNGPIVSIARELGFEGDPILEGLVVSIFIAGAFIGSLSSGSLVDKLGCRRTFQIDTIPLILGAIVSAQAHSLNEILLGRFLVGLGIGVNTVLVPIYISEVAPTKYRGSLGTLSHIGTCLGIIFSLCLGIPAEHDPHWWRTMFYIASMPGFLLALGMQFTVESPRWLCRVGDMKDAQTIIRNLWGDSEVDKAIEEFQSNISNDGTDVNSRWMQLLEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQDVGIASGALASLFVGLTNFAGALCASYLMDTQGRRRLLIGSYLGMAVSMFLIVFAINYPLEEDFSNNLSILGTLMYIFTFAIGAGPVTGLIIPELSSSNTRGKIMSFSFSVHWVCNFLVGLLFLDLVEIFGVASVYAGFGSVSVLSAIFAYYFLVETKGRSLEEIEMSLNSNMRGGGR >KJB10330 pep chromosome:Graimondii2_0_v6:1:34230857:34239266:-1 gene:B456_001G196300 transcript:KJB10330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVATVLHRPVVPVPLFFTSHLSPKVLLKPKTFRFGFLLPSLKLKASATKKQLQTQEPDNEDLHNQEKSDDQIFDLGWLPTFPHVLVASMSNFLFGYHIGVMNGPIVSIARELGFEGDPILEGLVVSIFIAGAFIGSLSSGSLVDKLGCRRTFQIDTIPLILGAIVSAQAHSLNEILLGRFLVGLGIGVNTVLVPIYISEVAPTKYRGSLGTLSHIGTCLGIIFSLCLGIPAEHDPHWWRTMFYIASMPGFLLALGMQFTVESPRWLCRVGDMKDAQTIIRNLWGDSEVDKAIEEFQSNISNDGTDVNSRWMQLLEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQDVGIASGALASLFVGLTNFAGALCASYLMDTQGRRRLLIGSYLGMVHIHLCNWSRPSNWSHNSRTQ >KJB10331 pep chromosome:Graimondii2_0_v6:1:34231598:34238803:-1 gene:B456_001G196300 transcript:KJB10331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFLFGYHIGVMNGPIVSIARELGFEGDPILEGLVVSIFIAGAFIGSLSSGSLVDKLGCRRTFQIDTIPLILGAIVSAQAHSLNEILLGRFLVGLGIGVNTVLVPIYISEVAPTKYRGSLGTLSHIGTCLGIIFSLCLGIPAEHDPHWWRTMFYIASMPGFLLALGMQFTVESPRWLCRVGDMKDAQTIIRNLWGDSEVDKAIEEFQSNISNDGTDVNSRWMQLLEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQDVGIASGALASLFVGLTNFAGALCASYLMDTQGRRRLLIGSYLGMVHIHLCNWSRPSNWSHNSRTQ >KJB10329 pep chromosome:Graimondii2_0_v6:1:34231263:34238803:-1 gene:B456_001G196300 transcript:KJB10329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFLFGYHIGVMNGPIVSIARELGFEGDPILEGLVVSIFIAGAFIGSLSSGSLVDKLGCRRTFQIDTIPLILGAIVSAQAHSLNEILLGRFLVGLGIGVNTVLVPIYISEVAPTKYRGSLGTLSHIGTCLGIIFSLCLGIPAEHDPHWWRTMFYIASMPGFLLALGMQFTVESPRWLCRVGDMKDAQTIIRNLWGDSEVDKAIEEFQSNISNDGTDVNSRWMQLLEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFQDVGIASGALASLFVGLTNFAGALCASYLMDTQGRRRLLIGSYLGMAVSMFLIVFAINYPLEEDFSNNLSILGTLMYIFTFAIGAGPVTGLIIPELSSSNTRGKIMSFSFSVHWVCNFLVGLLFLDLVEIFGVASVYAGFGSVSVLSAIFAYYFLVETKGRSLEEIEMSLNSNMRGGGR >KJB06407 pep chromosome:Graimondii2_0_v6:1:47510935:47511162:-1 gene:B456_001G237000 transcript:KJB06407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRRTDHVASKPEMAKKHLKVREKAKRLKAEMVGKVRDDQLCLKEEQIKSITKFGEIERQCHELKQEVQMIAK >KJB06650 pep chromosome:Graimondii2_0_v6:1:363282:365310:-1 gene:B456_001G003900 transcript:KJB06650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTTGRSKSEMESGSTSNNNERRIAFKEGSWFGQFKNGSNPWMARYVYGLIFLASNLLAWAVRDYGRNAFPEMERLKNCQGGRGCLGAEGVLRVSLGCFAFYFVMFLSTAGTSSLYNCRDTWHSGWWSFKIGLWIALTATAFLVPTFIIQIYGEIAHFGAGVFLLVQLVSVISFITWLNDCCQSDKTEDKCHIHVMLLATAAYIICIVGIIMMYVWYAPEPSCLLNIFFITWTLVLIQLMTSVSLHPKVNAGILTPGLMGLYIVFICWCAIRSEPAGENCIRKAEASNRTDWLTIISFIVALLAMVIATFSTGIDSQCFQVKKEAPAEDAVPYGYGFFHFVFATGAMYFAMLLIGWNTHHIIKKWTIDVGWTSTWVRIVNEWLAVSVYLWMLVAPVILLRCRQTNESA >KJB06649 pep chromosome:Graimondii2_0_v6:1:363072:366943:-1 gene:B456_001G003900 transcript:KJB06649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTTGRSKSEMESGSTSNNNERRIAFKEGSWFGQFKNGSNPWMARYVYGLIFLASNLLAWAVRDYGRNAFPEMERLKNCQGGRGCLGAEGVLRVSLGCFAFYFVMFLSTAGTSSLYNCRDTWHSGWWSFKIGLWIALTATAFLVPTFIIQIYGEIAHFGAGVFLLVQLVSVISFITWLNDCCQSDKTEDKCHIHVMLLATAAYIICIVGIIMMYVWYAPEPSCLLNIFFITWTLVLIQLMTSVSLHPKVNAGILTPGLMGLYIVFICWCAIRSEPAGENCIRKAEASNRTDWLTIIVKKEAPAEDAVPYGYGFFHFVFATGAMYFAMLLIGWNTHHIIKKWTIDVGWTSTWVRIVNEWLAVSVYLWMLVAPVILLRCRQTNESA >KJB09777 pep chromosome:Graimondii2_0_v6:1:23508416:23514770:1 gene:B456_001G164500 transcript:KJB09777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLDKLTYFSQFFWLCLFLFIFYIPICNDGDGVLGISRILKLRNQLLSHRGNNIRRKDPKSLEDILRKGFSTGVSYMDSTLFEVSQWCKAVDLLGKKRKITLISCFGEISGSRGIEEHILFDLEVLL >KJB07740 pep chromosome:Graimondii2_0_v6:1:3896972:3914677:1 gene:B456_001G042000 transcript:KJB07740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLNTYRMAGDFVHDSSMQGEQAAEGVPLPFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLNMLSTLASSQEGASKVYELLQGKAFRSIGWSTLFNCLSIYDEKYKQSLQTAGAMLPEFQEGDAKALVAYLNVLQKVVQNGNPIERKNWFPDIEPLFKLLSYENVPTYLKGALRNTIATFVRVSPVLKDTIWTFLEQYDLPVVVGSQVGISGQPMAAQVYDMQFELNEIEARREQYPSTISFLNLLNALIAEEKDVSDRGRRAYADPSEKWQLVVACLQHFHMILRMYDIQEQDLDSVIDRSQLSAVTQPSSLQMQLPILELLKDFMSGKTVFRNIMSILMPGVNTIIAERNSQVYGPLLEKAVQLSLEIVILVLEKDILLADFWRPLYQPLDVVLSQDHNQIVALLEYVRYEFLPQIQQSSIKIMSILSSRMVGLVQLLLKSNVATSLVEDYASCLEFRSQECQVIENSRDDPGILIMQLLIDNVSRPAPNITHLLLKFDLDTSIERTLLQPKFHFSCLKVILEILENLSKPDVNAWLHEFGFQLLYELCLDPLTCGPTLDLLSNKKYHFFVKHLDSVGVAPLPKRNSNQALRISSLHQRAWLLKLLAVELHAAYMSSPHHREACQSILAHLFGQDVVETGTDVITQSLILQNNKEHTATRTISKTKVSELLEVVQFRSPDTTMNLSQIISNMKYNLLVEDILRNPSTSGKGGIYYYSERGDRLIDLASLRDKLWQKFNSVYPQLSNFGNEAELNEVRETIQQLLRWGWRYNKNLEEQAAQLHMLTGWSHIVEVSVSRRISSLENRSEILYQILDACLGASASPDCSLKMAFILSQVALTCMAKLRDDRFLFPGGFSSDNITCLDIIMVKQLSNGACHSLLFKLIMTILRNESSEALRRRQYALLLSYFQYCQHMLVPNVPTSVLQQLLLDEQDGEELDLQKIDKEQAELARANFSVLRKEAQAILDLVIKDATHGSEPGKTISLYVLDAVVCIDHQRYFLSQLQSRGFLRSCLMSISSFSSADGGHSLDSMQRACTLEAELALLLRICHKYGKSGAQVLFSMGALEHIASCRAVNLQGSLRVETKLRRDVAVDVDKQRMIVTPVLRVVFSLTSLVDTSEFFEVKNKIVREVIDFVKGHHLVFDHILREDVSGADDLMMEQINLVVGILSKVWPYEESGEYGFVQGLFSMMHILFSCDSDRPFLSISTRSPENQRKSELSVFQLCFSLSSYLYFLVTKKSLRLQVSDDSPEYHSPVSLQQPTLNLLCSLLNGVINSLERAADEKSLLLNKIRDINELSRQEVDEVINMCIRQDLVSASDDIQKRRYIAMVEMCQVAGNRDQLISLLLPLVEHVLNVIIIHFQDSSGVFNTNGSMKTITYGAEPDSGQEISLLCGKLIPLLERLELLSEEKVGHNLKVFRRSVASLKEMAIQKFAG >KJB07741 pep chromosome:Graimondii2_0_v6:1:3900910:3914677:1 gene:B456_001G042000 transcript:KJB07741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFFQTKLFPTTTVMLTKPKILRMYDIQEQDLDSVIDRSQLSAVTQPSSLQMQLPILELLKDFMSGKTVFRNIMSILMPGVNTIIAERNSQVYGPLLEKAVQLSLEIVILVLEKDILLADFWRPLYQPLDVVLSQDHNQIVALLEYVRYEFLPQIQQSSIKIMSILSSRMVGLVQLLLKSNVATSLVEDYASCLEFRSQECQVIENSRDDPGILIMQLLIDNVSRPAPNITHLLLKFDLDTSIERTLLQPKFHFSCLKVILEILENLSKPDVNAWLHEFGFQLLYELCLDPLTCGPTLDLLSNKKYHFFVKHLDSVGVAPLPKRNSNQALRISSLHQRAWLLKLLAVELHAAYMSSPHHREACQSILAHLFGQDVVETGTDVITQSLILQNNKEHTATRTISKTKVSELLEVVQFRSPDTTMNLSQIISNMKYNLLVEDILRNPSTSGKGGIYYYSERGDRLIDLASLRDKLWQKFNSVYPQLSNFGNEAELNEVRETIQQLLRWGWRYNKNLEEQAAQLHMLTGWSHIVEVSVSRRISSLENRSEILYQILDACLGASASPDCSLKMAFILSQVALTCMAKLRDDRFLFPGGFSSDNITCLDIIMVKQLSNGACHSLLFKLIMTILRNESSEALRRRQYALLLSYFQYCQHMLVPNVPTSVLQQLLLDEQDGEELDLQKIDKEQAELARANFSVLRKEAQAILDLVIKDATHGSEPGKTISLYVLDAVVCIDHQRYFLSQLQSRGFLRSCLMSISSFSSADGGHSLDSMQRACTLEAELALLLRICHKYGKSGAQVLFSMGALEHIASCRAVNLQGSLRVETKLRRDVAVDVDKQRMIVTPVLRVVFSLTSLVDTSEFFEVKNKIVREVIDFVKGHHLVFDHILREDVSGADDLMMEQINLVVGILSKVWPYEESGEYGFVQGLFSMMHILFSCDSDRPFLSISTRSPENQRKSELSVFQLCFSLSSYLYFLVTKKSLRLQVSDDSPEYHSPVSLQQPTLNLLCSLLNGVINSLERAADEKSLLLNKIRDINELSRQEVDEVINMCIRQDLVSASDDIQKRRYIAMVEMCQVAGNRDQLISLLLPLVEHVLNVIIIHFQDSSGVFNTNGSMKTITYGAEPDSGQEISLLCGKLIPLLERLELLSEEKVGHNLKVFRRSVASLKEMAIQKFAG >KJB07739 pep chromosome:Graimondii2_0_v6:1:3890774:3914389:1 gene:B456_001G042000 transcript:KJB07739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPKQLLSIIESSVVNPFPPSPAQRIELLHAIRTLLPSLKSLLSYPPPRPSDRAQVRSKELRLPDSPPISLDDQDVQIALKLSDELYLNEIDCVQLLVSANQEWGLVGRGPLEILRLAAGLWYSERRDIVMALYTLLRAAVIDPGLEAGLVADIQKYLEDLINAGLRQRLISLIKELNREEPAGLGGPLSEHYLLDSRGALVERRAVVCRERLLLGHCLVLSVLVVRTGPKDVKDVFSVLKDSAAELSESSDTLKHQACHFLYNFLWKRFSFYITHSLLFFLVIAFVSDALSALSDKSSILSHDASFRKEFQDTVMAVVNDPNSEGFVGGVRLAWVVHLMLIHDEIGLGEAVSTVSSNEFGYINFCLESVFAKNVFHFLLEKVLRSAAYQNDDEDMVYMYNAYLHKLITCFLSHPVARDKVKESKEKAMITLNTYRMAGDFVHDSSMQGEQAAEGVPLPFVSLLEFKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLNMLSTLASSQEGASKVYELLQGKAFRSIGWSTLFNCLSIYDEKYKQSLQTAGAMLPEFQEGDAKALVAYLNVLQKVVQNGNPIERKNWFPDIEPLFKLLSYENVPTYLKGALRNTIATFVRVSPVLKDTIWTFLEQYDLPVVVGSQVGISGQPMAAQVYDMQFELNEIEARREQYPSTISFLNLLNALIAEEKDVSDRGRRAYADPSEKWQLVVACLQHFHMILRMYDIQEQDLDSVIDRSQLSAVTQPSSLQMQLPILELLKDFMSGKTVFRNIMSILMPGVNTIIAERNSQVYGPLLEKAVQLSLEIVILVLEKDILLADFWRPLYQPLDVVLSQDHNQIVALLEYVRYEFLPQIQQSSIKIMSILSSRMVGLVQLLLKSNVATSLVEDYASCLEFRSQECQVIENSRDDPGILIMQLLIDNVSRPAPNITHLLLKFDLDTSIERTLLQPKFHFSCLKVILEILENLSKPDVNAWLHEFGFQLLYELCLDPLTCGPTLDLLSNKKYHFFVKHLDSVGVAPLPKRNSNQALRISSLHQRAWLLKLLAVELHAAYMSSPHHREACQSILAHLFGQDVVETGTDVITQSLILQNNKEHTATRTISKTKVSELLEVVQFRSPDTTMNLSQIISNMKYNLLVEDILRNPSTSGKGGIYYYSERGDRLIDLASLRDKLWQKFNSVYPQLSNFGNEAELNEVRETIQQLLRWGWRYNKNLEEQAAQLHMLTGWSHIVEVSVSRRISSLENRSEILYQILDACLGASASPDCSLKMAFILSQVALTCMAKLRDDRFLFPGGFSSDNITCLDIIMVKQLSNGACHSLLFKLIMTILRNESSEALRRRQYALLLSYFQYCQHMLVPNVPTSVLQQLLLDEQDGEELDLQKIDKEQAELARANFSVLRKEAQAILDLVIKDATHGSEPGKTISLYVLDAVVCIDHQRYFLSQLQSRGFLRSCLMSISSFSSADGGHSLDSMQRACTLEAELALLLRICHKYGKSGAQVLFSMGALEHIASCRAVNLQGSLRVETKLRRDVAVDVDKQRMIVTPVLRVVFSLTSLVDTSEFFEVKNKIVREVIDFVKGHHLVFDHILREDVSGADDLMMEQINLVVGILSKVWPYEESGEYGFVQGLFSMMHILFSCDSDRPFLSISTRSPENQRKSELSVFQLCFSLSSYLYFLVTKKSLRLQVSDDSPEYHSPVSLQQPTLNLLCSLLNGVINSLERAADEKSLLLNKIRDINELSRQEVDEVINMCIRQDLVSASDDIQKRRYIAMVEMCQVAGNRDQLISLLLPLVEHVLNVIIIHFQDSSGVFNTNGSMKTITYGAEPDSGQEISLLCGKLIPLLERLELLSEEKVGHNLKVFRRSVASLKEMAIQKFAG >KJB06897 pep chromosome:Graimondii2_0_v6:1:381757:383896:-1 gene:B456_001G004000 transcript:KJB06897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTNIIDLHCCSSFCYLLFLLVSVFVSLCSCDEHYASSHGGLTDKEVSYIKQRQLLYYRDEFGDRGENVTVDPSLVFENPRLRNAYIALQAWKKAILSDPFNLTADWVGSEVCDYTGVYCAPALDNKRIKTVAGIDLNHGDIAGYLPEELGLLTDLALFHINSNRFCGTVPHKFIKLKLMFELDLSNNRFAGKFPEVILKLPLLKFLDLRFNEFEGTVPKELFDKDLDAIFINHNRFRFNLPDNFGNSPVSVIVLANNKFHGCVPASLGNMTSLEEIILMNNGFRSCLPEQIGGLRNMTVFDVSFNELMGTLPEQIGGMVSLEQLNVAHNMLSGKIPASICRLPKLENFTFSYNFFTGEPPVCLGLRAFDDRRNCLPARPLQRSAAQCRSFLSRPVDCNSFRCAPFVPSLPSPPPPSPPPVVVLSPPPPSPVFIPQSPPPPPPPPVYSPPPPSPPPPPPPPVYSPPPPPPSPPPPVYSPPPPPPSPPPPSPPPPVYSPPPPPPSPPPPSPPPPTYPSPPPPSPPPPSPVYCVRSPPPPPPNSPPPPPPLFSPPPPVPYYYNSPATATSNRSIIRFTSTATFLLILSRISL >KJB06894 pep chromosome:Graimondii2_0_v6:1:381054:384067:-1 gene:B456_001G004000 transcript:KJB06894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTNIIDLHCCSSFCYLLFLLVSVFVSLCSCDEHYASSHGGLTDKEVSYIKQRQLLYYRDEFGDRGENVTVDPSLVFENPRLRNAYIALQAWKKAILSDPFNLTADWVGSEVCDYTGVYCAPALDNKRIKTVAGIDLNHGDIAGYLPEELGLLTDLALFHINSNRFCGTVPHKFIKLKLMFELDLSNNRFAGKFPEVILKLPLLKFLDLRFNEFEGTVPKELFDKDLDAIFINHNRFRFNLPDNFGNSPVSVIVLANNKFHGCVPASLGNMTSLEEIILMNNGFRSCLPEQIGGLRNMTVFDVSFNELMGTLPEQIGGMVSLEQLNVAHNMLSGKIPASICRLPKLENFTFSYNFFTGEPPVCLGLRAFDDRRNCLPARPLQRSAAQCRSFLSRPVDCNSFRCAPFVPSLPSPPPPPPNSPPPPPPLFSPPPPVPYYYNSPPPPHHSPPPPVHSPPPPPHSPPPPIYPYLSPPPPPPPVYSPPPPVHSPPPPSPPPCIEPPPPPPPPPPCVEYTPSPPPPSPSPPPPVHYKPPPSPSPHPHPDQSIIIHLHHHHLHRLPYTKGHCHQ >KJB06895 pep chromosome:Graimondii2_0_v6:1:381054:384067:-1 gene:B456_001G004000 transcript:KJB06895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTNIIDLHCCSSFCYLLFLLVSVFVSLCSCDEHYASSHGGLTDKEVSYIKQRQLLYYRDEFGDRGENVTVDPSLVFENPRLRNAYIALQAWKKAILSDPFNLTADWVGSEVCDYTGVYCAPALDNKRIKTVAGIDLNHGDIAGYLPEELGLLTDLALFHINSNRFCGTVPHKFIKLKLMFELDLSNNRFAGKFPEVILKLPLLKFLDLRFNEFEGTVPKELFDKDLDAIFINHNRFRFNLPDNFGNSPVSVIVLANNKFHGCVPASLGNMTSLEEIILMNNGFRSCLPEQIGGLRNMTVFDVSFNELMGTLPEQIGGMVSLEQLNVAHNMLSGKIPASICRLPKLENFTFSYNFFTGEPPVCLGLRAFDDRRNCLPARPLQRSAAQCRSFLSRPVDCNSFRCAPFVPSLPSPPPPSPPPVVVLSPPPPSPVFIPQSPPPPPPPPVYSPPPPSPPPPPPPPVYSPPPPPPSATATSNRSIIRFTSTATFLLILSRISL >KJB06896 pep chromosome:Graimondii2_0_v6:1:381824:383896:-1 gene:B456_001G004000 transcript:KJB06896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTNIIDLHCCSSFCYLLFLLVSVFVSLCSCDEHYASSHGGLTDKEVSYIKQRQLLYYRDEFGDRGENVTVDPSLVFENPRLRNAYIALQAWKKAILSDPFNLTADWVGSEVCDYTGVYCAPALDNKRIKTVAGIDLNHGDIAGYLPEELGLLTDLALFHINSNRFCGTVPHKFIKLKLMFELDLSNNRFAGKFPEVILKLPLLKFLDLRFNEFEGTVPKELFDKDLDAIFINHNRFRFNLPDNFGNSPVSVIVLANNKFHGCVPASLGNMTSLEEIILMNNGFRSCLPEQIGGLRNMTVFDVSFNELMGTLPEQIGGMVSLEQLNVAHNMLSGKIPASICRLPKLENFTFSYNFFTGEPPVCLGLRAFDDRRNCLPARPLQRSAAQCRSFLSRPVDCNSFRCAPFVPSLPSPPPPSPPPVVVLSPPPPSPVFIPQSPPPPPPPPVYSPPPPSPPPPPPPPVYSPPPPPPSPPPPVYSPPPPPPSPPPPSPPPPVYSPPPPPPSPPPPSPPPPTYPSPPPPSPPPPSPVYCVRSPPPPPPNSPPPPPPLFSPPPPVPYYYNSPPPPHHSPPPPVHSPPPPPHSPPPPIYPYLSPPPPPPPVYSPPPPVHSPPPPSPPPCIEPPPPPPPPPPCVEYTPSPPPPSPSPPPPVHYKPPPSPSPHPHPDQSIIIHLHHHHLHRLPYTKGHCHQ >KJB08463 pep chromosome:Graimondii2_0_v6:1:8769699:8770854:-1 gene:B456_001G082600 transcript:KJB08463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKDLEFVKAAAWAWYQRGSSGSPMAIPEFDVIRTRRAPGPGPSRYKLEAMRNNKKNSMGSPTTTTHNSLLDPWEVQSISKRLDHLIQLSGIQFYEELLRIDADFVVDDHHHPKKKSSRCNKLKRFLLRRRVCGTNHDVVETAYRRPIPKRPTFGEFH >KJB08885 pep chromosome:Graimondii2_0_v6:1:12791560:12794018:-1 gene:B456_001G110800 transcript:KJB08885 gene_biotype:protein_coding transcript_biotype:protein_coding description:CXIP4 [Source:Projected from Arabidopsis thaliana (AT2G28910) UniProtKB/TrEMBL;Acc:A0A178VV16] MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDSKNSSSQMTAAAEPDGENAYASFQGLLALARITGSNADEARGACKKCGRVGHLTFQCKNFVSLKESKEKDPEAIQAAVLNGLDKLKGGKLNGKKEMESEEEEDEESESSDSDVDSDIERIIAERSGKKVSRKGKKSSKKKKMSDEDDSSESDYGERKKKRGRSKKRSSRKRGISDSDDEDEGRRKRRKEKRKKRDDSSDEDDDHHRRHRKRKSRKEKRRRRSHRHSDDSESSDVSDDPGKRHRRKSQRHESLSGSDVSGSDDSRVGRGAKRSEKRSRKRHHEDDE >KJB08882 pep chromosome:Graimondii2_0_v6:1:12792634:12793620:-1 gene:B456_001G110800 transcript:KJB08882 gene_biotype:protein_coding transcript_biotype:protein_coding description:CXIP4 [Source:Projected from Arabidopsis thaliana (AT2G28910) UniProtKB/TrEMBL;Acc:A0A178VV16] MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDSKNSSSQMTAAAEPDGENAYASFQGLLALARITGSNADEARGACKKCGRVGHLTFQCKNFVSLKESKEKDPEAIQAAVLNGLDKLKGGKLNGKKEMESEEEEDEESESSDSDVDSDIERIIAERSGKKVSRKGKKSSKKKKMSDEDDSSESDYGERKKKRGRSKKRSSRKRGISDSDDEDEGRRKRRKEKRKKRDDSSDEDDDHHRRHRKRKSRKEKRRRRSHRHSDDSESSDVSDDPGKRHRRKSQRHESLSGSDVSGSDDSRVGRGAKRSEKRSRKRHHEDDE >KJB08883 pep chromosome:Graimondii2_0_v6:1:12791470:12794018:-1 gene:B456_001G110800 transcript:KJB08883 gene_biotype:protein_coding transcript_biotype:protein_coding description:CXIP4 [Source:Projected from Arabidopsis thaliana (AT2G28910) UniProtKB/TrEMBL;Acc:A0A178VV16] MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDSKNSSSQMTAAAEPDGENAYASFQGLLALARITGSNADEARGACKKCGRVGHLTFQCKNFVSLKESKEKDPEAIQAAVLNGLDKLKGGKLNGKKEMESEEEEDEESESSDSDVDSDIERIIAERSGKKVSRKGKKSSKKKKMSDEDDSSESDYGERKKKRGRSKKRSSRKRGISDSDDEDEGRRKRRKEKRKKRDDSSDEDDDHHRRHRKRKSRKEKRRRRSHRHSDDSESSDVSDDPGKRHRRKSQRHESLSGSDVSGSDDSRVGRGAKRSEKRSRKRHHEDDE >KJB08884 pep chromosome:Graimondii2_0_v6:1:12791560:12794018:-1 gene:B456_001G110800 transcript:KJB08884 gene_biotype:protein_coding transcript_biotype:protein_coding description:CXIP4 [Source:Projected from Arabidopsis thaliana (AT2G28910) UniProtKB/TrEMBL;Acc:A0A178VV16] MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDSKNSSSQMTAAAEPDGENAYASFQGLLALARITGSNADEARGACKKCGRVGHLTFQCKNFVSLKESKEKDPEAIQAAVLNGLDKLKGGKLNGKKEMESEEEEDEESESSDSDVDSDIERIIAERSGKKVSRKGKKSSKKKKMSDEDDSSESDYGERKKKRGRSKKRSSRKRGISDSDDEDEGRRKRRKEKRKKRDDSSDEDDDHHRRHRKRKSRKEKRRRRSHRHSDDSESSDVSDDPGKRHRRKSQRHESLSGSDVSGSDDSRVGRGAKRSEKRSRKRHHEDDE >KJB08886 pep chromosome:Graimondii2_0_v6:1:12792634:12793620:-1 gene:B456_001G110800 transcript:KJB08886 gene_biotype:protein_coding transcript_biotype:protein_coding description:CXIP4 [Source:Projected from Arabidopsis thaliana (AT2G28910) UniProtKB/TrEMBL;Acc:A0A178VV16] MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDSKNSSSQMTAAAEPDGENAYASFQGLLALARITGSNADEARGACKKCGRVGHLTFQCKNFVSLKESKEKDPEAIQAAVLNGLDKLKGGKLNGKKEMESEEEEDEESESSDSDVDSDIERIIAERSGKKVSRKGKKSSKKKKMSDEDDSSESDYGERKKKRGRSKKRSSRKRGISDSDDEDEGRRKRRKEKRKKRDDSSDEDDDHHRRHRKRKSRKEKRRRRSHRHSDDSESSDVSDDPGKRHRRKSQRHESLSGSDVSGSDDSRVGRGAKRSEKRSRKRHHEDDE >KJB09453 pep chromosome:Graimondii2_0_v6:1:19382882:19385359:1 gene:B456_001G143200 transcript:KJB09453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKVGLKKGRWTAEEDVLLTKYIQANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNFTSQEEEVIINLHATLGNRWSLIASYLPGRTDNEIKNYWNSHLSRKIHSFRRPLTQSMPVIMDLTKTAVIAKRKGGRNSKGSMKENKSRSTQKDTGSCSNKPTENVCVNEVVPFPSTPLLEKETLSTTAIEDRMVLDQHGEDKERTTHVVPSPCHDTVVEGMLGSSEERESLVSEEGTIENSMQCPSGNAEKGTGILAPHESIDSSEIEWFNDILDSELLQPSGDLTFTELGEDSGNVKTHTTAANNEEIVSRNCSADSGGDLSSCTSTTFYFVDDWEWENVVPRSELWDEKEYMCSWLWEPSDYHGKGERHKVDDNGFEGHNPMIAANASLFS >KJB11029 pep chromosome:Graimondii2_0_v6:1:47526610:47527483:1 gene:B456_001G237300 transcript:KJB11029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKISSRTKHRLICASKEAKPIEINCDILFDPTIPKQTNPKKTPTMSGTDGTPEIGLGSFNTINIEGTNAGATMIIRHGTSGEHEGCCCINIYTNSNVQGCNSSVLVGSNIKMKNPGVHIYLGDLKFGGGGCSKPRSFSRRRTGGGATVDFSSVFLFVFVPVILSLLLSHVLL >KJB09798 pep chromosome:Graimondii2_0_v6:1:23743432:23743806:-1 gene:B456_001G167300 transcript:KJB09798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAFLAETEQAGWALIRRLLDLFRLFWLTPFQSIRQDLKGKVLIPGMTRKMKRGQALQSSAMGSSFPYGRAVWLACDEEELR >KJB11497 pep chromosome:Graimondii2_0_v6:1:53793580:53796274:-1 gene:B456_001G262200 transcript:KJB11497 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14760) UniProtKB/Swiss-Prot;Acc:Q94AY1] MAASIAYVGGHLQYGVNFCMGQSYKQAIWAPSVTFNGCLQRELSWSCGVSKFLQIRKCNLFQSRTNENWKSFGTVITSAYLRDGSTKYFDFAVIGSGVAGLRYALEVAKHGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVKVVCTEGPDRIRELIAMGASFDHGDDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVVNDPNISVFKHHFAIDLLTSQDGSDTVCHGIDALNTETQEVVRFISKVTLLASGGAGHIYPSTTNPLVATGDGMAMAHRAQAVISNMEFVQFHPTALADEGLPIKPKKTRENSFLITEAVRGDGGILYNLSMERFMPLYDERAELAPRDVVARSIDDQLKKRNEKYVLLDISHKPREKILSHFPNIANECLQHGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVHGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSINHMKSSSLDLSASSLWTCPLVPKSLGSDVMHKILRITKEVRKELQSIMWKYVGIVRSTSRLQEAEQKIGKLEAKWETYLFEHGWQQTMVALEACEMRNLFCCAKLVVSSALARHESRGLHYMTDFPHLEESKRLPTVIFPSSHTTGTWSSRQLHQQPIVNSMV >KJB11496 pep chromosome:Graimondii2_0_v6:1:53793580:53795677:-1 gene:B456_001G262200 transcript:KJB11496 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14760) UniProtKB/Swiss-Prot;Acc:Q94AY1] MQDTIVAGAYLCDEETVKVVCTEGPDRIRELIAMGASFDHGDDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVVNDPNISVFKHHFAIDLLTSQDGSDTVCHGIDALNTETQEVVRFISKVTLLASGGAGHIYPSTTNPLVATGDGMAMAHRAQAVISNMEFVQFHPTALADEGLPIKPKKTRENSFLITEAVRGDGGILYNLSMERFMPLYDERAELAPRDVVARSIDDQLKKRNEKYVLLDISHKPREKILSHFPNIANECLQHGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVHGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSINHMKSSSLDLSASSLWTCPLVPKSLGSDVMHKILRITKEVRKELQSIMWKYVGIVRSTSRLQEAEQKIGKLEAKWETYLFEHGWQQTMVALEACEMRNLFCCAKLVVSSALARHESRGLHYMTDFPHLEESKRLPTVIFPSSHTTGTWSSRQLHQQPIVNSMV >KJB11495 pep chromosome:Graimondii2_0_v6:1:53793361:53797121:-1 gene:B456_001G262200 transcript:KJB11495 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14760) UniProtKB/Swiss-Prot;Acc:Q94AY1] MAASIAYVGGHLQYGVNFCMGQSYKQAIWAPSVTFNGCLQRELSWSCGVSKFLQIRKCNLFQSRTNENWKSFGTVITSAYLRDGSTKYFDFAVIGSGVAGLRYALEVAKHGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVKVVCTEGPDRIRELIAMGASFDHGDDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVVNDPNISVFKHHFAIDLLTSQDGSDTVCHGIDALNTETQEVVRFISKVTLLASGGAGHIYPSTTNPLVATGDGMAMAHRAQAVISNMEFVQFHPTALADEGLPIKPKKTRENSFLITEAVRGDGGILYNLSMERFMPLYDERAELAPRDVVARSIDDQLKKRNEKYVLLDISHKPREKILSHFPNIANECLQHGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVHGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSINHMKSSSLDLSASSLWTCPLVPKSLGSDVMHKILRITKEVRKELQSIMWKYVGIVRSTSRLQEAEQKIGKLEAKWETYLFEHGWQQTMVALEACEMRNLFCCAKLVVSSALARHESRGLHYMTDFPHLEESKRLPTVIFPSSHTTGTWSSRQLHQQPIVNSMV >KJB11494 pep chromosome:Graimondii2_0_v6:1:53793361:53797096:-1 gene:B456_001G262200 transcript:KJB11494 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14760) UniProtKB/Swiss-Prot;Acc:Q94AY1] MAASIAYVGGHLQYGVNFCMGQSYKQAIWAPSVTFNGCLQRELSWSCGVSKFLQIRKCNLFQSRTNENWKSFGTVITSAYLRDGSTKYFDFAVIGSGVAGLRYALEVAKHGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVKVVCTEGPDRIRELIAMGASFDHGDDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVVNDPNISVFKHHFAIDLLTSQDGSDTVCHGIDALNTETQEVVRFISKVTLLASGGAGHIYPSTTNPLVATGDGMAMAHRAQAVISNMEFVQFHPTALADEGLPIKPKKTRENSFLITEAVRGDGGILYNLSMERFMPLYDERAELAPRDVVARSIDDQLKKRNEKYVLLDISHKPREKILSHFPNIANECLQHGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVHGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSINHMKSSSLDLSASSLWTCPLVPKSLGSDVMHKILRITKEVRKELQSIMWKYVGIVRSTSRLQEAEQKIGKLEAKWETYLFEHGWQQTMVALEACEMRNLFCCAKLVVSSALARHESRGLHYMTDFPHLEESKRLPTVIFPSSHTTGTWSSRQLHQQPIVNSMV >KJB11554 pep chromosome:Graimondii2_0_v6:1:54147073:54147528:-1 gene:B456_001G265100 transcript:KJB11554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLLPHPLSPHGSPLHLLLPPVFDHPLYSDMIIEAIGALKEKNGSSKRVILAHKLLPSNHDELLTQHLKLLKSSGQLVMVRKSYKFAPSATSNILDGSSAPKRGRGRPPKVKPTISATNFESQPVNVSAAGEVKKSIGRPRKNAPIGIVIMS >KJB07575 pep chromosome:Graimondii2_0_v6:1:2865319:2870179:1 gene:B456_001G030600 transcript:KJB07575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSNREDEALDRFPVGMRVLAVDDDPICLKVLGTLLLKCQYQVTTTNQAISALKMLRENRNRYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDTKLVMKGITHGACDYLLKPVRIEELKNIWQHVVRRKKPDSKDRVNALNQDKASGGIGEAVQTSTSSSDQKFNKKRKDQNEDEEDDGEDNEHENDDPSTQKKPRVVWSVELHRKFVAAVNHLGLDKAVPKKILDLMNVEGLTRENVASHLQKYRLYLKRLSSVATQQANMVAALGGKDPSYLRMGSLDGFGDFRTLTGSGRLSSATLSSYQPSGMFGRLNSSAALNLRGISSGVIQQGHPQTLSNSINGLGKIQPVGLPANQNQNGTLFQGIPTSIELNQLLQNKSANHFGELNPVNNPNVFGVATNFPDARMTVGSSSSSLSTPSGSPLLLQANTQQAQRSGAFGNQSSLDVASLNQESFDMGVHGFSNFVDNGRCHENWQNTVQLSSFPSNSLSTCEAFSHEQLHTNNLQESISWRSSHLNDNPIDLSASMANAGLEDSRGDMQCQISPSNNVIHNIDYAAKQQWGENSSFAGVDSLVAGAPYVQHLEAGKLAFNTKLRSNEDILFEQRKPQNEFSQNNFETLDVISSPMIKPEQYNETGMMEGELGYDAFPLGSCI >KJB07576 pep chromosome:Graimondii2_0_v6:1:2867005:2870179:1 gene:B456_001G030600 transcript:KJB07576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEEKMGGSNREDEALDRFPVGMRVLAVDDDPICLKVLGTLLLKCQYQVTTTNQAISALKMLRENRNRYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDTKLVMKGITHGACDYLLKPVRIEELKNIWQHVVRRKKPDSKDRVNALNQDKASGGIGEAVQTSTSSSDQKFNKKRKDQNEDEEDDGEDNEHENDDPSTQKKPRVVWSVELHRKFVAAVNHLGLDKAVPKKILDLMNVEGLTRENVASHLQKYRLYLKRLSSVATQQANMVAALGGKDPSYLRMGSLDGFGDFRTLTGSGRLSSATLSSYQPSGMFGRLNSSAALNLRGISSGVIQQGHPQTLSNSINGLGKIQPVGLPANQNQNGTLFQGIPTSIELNQLLQNKSANHFGELNPVNNPNVFGVATNFPDARMTVGSSSSSLSTPSGSPLLLQANTQQAQRSGAFGNQSSLDVASLNQESFDMGVHGFSNFVDNGRCHENWQNTVQLSSFPSNSLSTCEAFSHEQLHTNNLQESISWRSSHLNDNPIDLSASMANAGLEDSRGDMQCQISPSNNVIHNIDYAAKQQWGENSSFAGVDSLVAGAPYVQHLEAGKLAFNTKLRSNEDILFEQRKPQNEFSQNNFETLDVISSPMIKPEQYNETGMMEGELGYDAFPLGSCI >KJB10272 pep chromosome:Graimondii2_0_v6:1:32709265:32715654:1 gene:B456_001G193100 transcript:KJB10272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENGKLFIGGISWDTNEQRLKEYFDSYGEVVEAVIMKDRTTGRARGFGFVVFADPAVSDRVIKEKHNIDGRMVEAKKAVPRDEQNIMSRSTSSIHGSLGPGRARKIFVGGLASTVTESDFKKYFDQFGNITDVVVMYDHNTQRPRGFGFISYDSEEAVDQVLLKKFHELNGKMVEVKRAVPKELSPVSSRSSLGGFNYGMNSVNSFLNGYAQGFTPSNVGGYGLGMDGRFSPIASGRSGFPPFGSGYGMGMNFEPGLNPNFGNSANFSSNMSYGRGLSPYYIGNTSRFASPIGYDGRSGGNTSFFSSMTRNLLGNGGINYNTNVVSSSACMGSGSGSIGGSVFGNRINWGSSGSPSHGGGIDVSSNSLNFGYGSGYNRFGLETAGYGRNSGTNLVATSSYAASNGGYDGAFVDLYSGASVYGDTAWRSSMFERDGFHSFGYGLGSATSDVLGKSSPTYVGGYSVSKRQENRGVAT >KJB10273 pep chromosome:Graimondii2_0_v6:1:32709352:32715654:1 gene:B456_001G193100 transcript:KJB10273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENGKLFIGGISWDTNEQRLKEYFDSYGEVVEAVIMKDRTTGRARGFGFVVFADPAVSDRVIKEKHNIDGRMVEAKKAVPRDEQNIMSRSTSSIHGSLGPGRARKIFVGGLASTVTESDFKKYFDQFGNITDVVVMYDHNTQRPRGFGFISYDSEEAVDQVLLKKFHELNGKMVEVKRAVPKELSPVSSRSSLGGFNYGMNSVNSFLNGYAQGFTPSNVGGYGLGMDGRFSPIASGRSGFPPFGSGYGMGMNFEPGLNPNFGNSANFSSNMSYGRGLSPYYIGNTSRFASPIGYDGRSGGNTSFFSSMTRNLLGNGGINYNTNVVSSSACMGSGSGSIGGSVFGNRINWGSSGSPSHGGGIDVSSNSLNFGYGSGYNRFGLETAGYGRNSGTNLVATSSYAASNGGYDGAFVDLYSGASVYGDTAWRSSMFERDGFHSFGYGLGSATSDVLGKSSPTYVGGYSVSKRQENRGVAT >KJB08278 pep chromosome:Graimondii2_0_v6:1:7606082:7611464:-1 gene:B456_001G074400 transcript:KJB08278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKPAELLEIESVLDDQVPVIRRFTGGGTVIVDHGTVFVTFICNKEAVPNLQPYPRPIMSWSSSLYSKVFQGIGDFHLRENDYVFGNHKFGGNAQSITKNRWIHHTSFLWDFNVQNMSYLKHPKRAPAYRSARSHLDFICRMKDYMPRSTFMDKTVEATETQFSLRPIQLEAIRTCTEAEFCPSSRFLTNEELEAAAVALQ >KJB08279 pep chromosome:Graimondii2_0_v6:1:7606476:7608481:-1 gene:B456_001G074400 transcript:KJB08279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSSSLYSKVFQGIGDFHLRENDYVFGNHKFGGNAQSITKNRWIHHTSFLWDFNVQNMSYLKHPKRAPAYRSARSHLDFICRMKDYMPRSTFMDKTVEATETQFSLRPIQLEAIRTCTEAEFCPSSRFLTNEELEAAAVALQ >KJB08276 pep chromosome:Graimondii2_0_v6:1:7606082:7609736:-1 gene:B456_001G074400 transcript:KJB08276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSSSLYSKVFQGIGDFHLRENDYVFGNHKFGGNAQSITKNRWIHHTSFLWDFNVQNMSYLKHPKRAPAYRSARSHLDFICRMKDYMPRSTFMDKTVEATETQFSLRPIQLEAIRTCTEAEFCPSSRFLTNEELEAAAVALQ >KJB08277 pep chromosome:Graimondii2_0_v6:1:7606082:7611601:-1 gene:B456_001G074400 transcript:KJB08277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSSSLYSKVFQGIGDFHLRENDYVFGNHKFGGNAQSITKNRWIHHTSFLWDFNVQNMSYLKHPKRAPAYRSARSHLDFICRMKDYMPRSTFMDKTVEATETQFSLRPIQLEAIRTCTEAEFCPSSRFLTNEELEAAAVALQ >KJB11428 pep chromosome:Graimondii2_0_v6:1:53274097:53279975:1 gene:B456_001G258400 transcript:KJB11428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDQREQLKTGAVKKVNGPIRSIFMHADAVDLWLMTLGFIGAVGDGFSTPLVLLVTGKLMNNFGDASAVTSDTFIQNINKNSVALLYLACGSWFACFLEGFCWSRTGERQATRMRARYLKAVLRQDVGYFDLHVSSTAEVITSVSNDSLVIQDVLSEKVPNFLMNVAIFVGCYMVAFIMLWRLAIVGFPFAVLLVIPGLMYGRGLIGIARKIREEYNKAGTIAEQAISSIRTVYAFVGETKTIAEFSAALQGSVKLGLKQGLAKGLAIGSNGVVFATWSFMAYYGSRMVMYQGAKGGTVFIVGAAIAMGGLALGASLSNLKYFSEACSAGERIMEVIRRVPKIDSDNLEGEIMEKFSGSVEFKHVEFAYPSRPETMILKDFSLTIPAGKTVALVGGSGSGKSTVIALLQRFYDPLGGEILLGGVAIDKLQVKWLRSQMGLVSQEPALFATTIKENILFGKEDATMEEIIEAAKASNAHNFICQLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKAPRILLLDEATSALDSESERVVQEAIDQASIGRTTIVIAHRLSTIRNADLIAVFQNGQVIEIGSHNELIENQNGHYTSLVHLQQTEKEKNPEEANSTLPTCASSSITNMDINNTSSRRLSLVSGSSSANSFSQNRAPLAGVSVVEDQEKLPVPSFRRLLALNLPEWKQAMMGCSCAILFGAVQPVYAFSLGSMISVYFLTNHDEIKEKTKIYALCFLGLSVFSFLINVGQHYNFAYMGEYLTKRIRERMLSKILTFEVGWLDQDENSSGAICSRLAKDANVVRSLVGDRMALVVQTISAVTIAFTMGLVIAWRLALVMIAVQPIIIVCFYARRVLLKSMSQKAIKAQEESSKLAAEAVSNLRTITAFSSQDRILKMLDKAQEGPRRESIRQSWFAGIGLGTSTSLTTCTWALDFWYGGKLMSHGYITAKALFETFMILVSTGRVIADAGSMTSDLAKGSDAVGSVFAILDRYTRIEPEDPDGYKPEKMTGHVELRDIDFAYPARPDIIIFKGFSLKIEAGKSTALVGQSGSGKSTIIGLIERFYDPLEGAVRIDGRDIRSYHLRSLRKHIALVSQEPTLFAGTIRENIAYGASDETDEAEIIEAARAANAHDFISGLKDGYHTWCGERGVQLSGGQKQRIAIARAILRNPAILLLDEATSALDSQSEKAVQDALERVMVGRTSVIVAHRLSTIQNCDQIAVLDKGKVIEKGTHQSLLAKGPTGAYFSLVNLQRRPHNNTAHSIN >KJB09082 pep chromosome:Graimondii2_0_v6:1:15285869:15287129:1 gene:B456_001G122300 transcript:KJB09082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMAASSLLLLLFFSGSPIQILGLGVGINYGQIANNLPSPSRVAYLLKSLNISRMKLYDADPNVLVTFSHTNVDFIIGLGNEYLPNMTDPIKARIWLQQRVQPHLPQTRITCITMGNEVFKTYDHQLWSNLPAMQTVYNALVDLGLDKQVTVTSAHSLDILSISFPPSAGSFRQDLSEYLHGILNFHAEVKSPFLINAYPYFAYKDNPNEVPLDYVLFQPNQGTVDPITNLKYDNMLYAQVDAVYSAIKAMGHTDIKVQISETGWPSRGDDDEAGATPENAGLYNGNLLKRIEEKQSTPAKPNVPVNVYVFALFNENLKPGPASERNYGLYYPNGTPVYNIGVQGYLPGMVYTSPSTKIVSKT >KJB09083 pep chromosome:Graimondii2_0_v6:1:15285869:15288791:1 gene:B456_001G122300 transcript:KJB09083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMAASSLLLLLFFSGSPIQILGLGVGINYGQIANNLPSPSRVAYLLKSLNISRMKLYDADPNVLVTFSHTNVDFIIGLGNEYLPNMTDPIKARIWLQQRVQPHLPQTRITCITMGNEVFKTYDHQLWSNLPAMQTVYNALVDLGLDKQVTVTSAHSLDILSISFPPSAGSFRQDLSEYLHGILNFHAEVKSPFLINAYPYFAYKDNPNEVPLDYVLFQPNQGTVDPITNLKYDNMLYAQVDAVYSAIKAMGHTDIKVQISETGWPSRGDDDEAGATPENAGLYNGNLLKRIEEKQSTPAKPNVPVNVYVFALFNENLKPGPASERNYGLYYPNGTPVYNIGVQGYLPGMVYTSPSTKIALSVVSNVLFIVIAYLISAGKLVDLKMEGSSD >KJB09081 pep chromosome:Graimondii2_0_v6:1:15285802:15288965:1 gene:B456_001G122300 transcript:KJB09081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMAASSLLLLLFFSGSPIQILGLGVGINYGQIANNLPSPSRVAYLLKSLNISRMKLYDADPNVLVTFSHTNVDFIIGLGNEYLPNMTDPIKARIWLQQRVQPHLPQTRITCITMGNEVFKTYDHQLWSNLPAMQTVYNALVDLGLDKQVTVTSAHSLDILSISFPPSAGSFRQDLSEYLHGILNFHAEPNQGTVDPITNLKYDNMLYAQVDAVYSAIKAMGHTDIKVQISETGWPSRGDDDEAGATPENAGLYNGNLLKRIEEKQSTPAKPNVPVNVYVFALFNENLKPGPASERNYGLYYPNGTPVYNIGVQGYLPGMVYTSPSTKIALSVVSNVLFIVIAYLISAGKLVDLKMEGSSD >KJB09084 pep chromosome:Graimondii2_0_v6:1:15285869:15288021:1 gene:B456_001G122300 transcript:KJB09084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMAASSLLLLLFFSGSPIQILGLGVGINYGQIANNLPSPSRVAYLLKSLNISRMKLYDADPNVLVTFSHTNVDFIIGLGNEYLPNMTDPIKARIWLQQRVQPHLPQTRITCITMGNEVFKTYDHQLWSNLPAMQTVYNALVDLGLDKQVTVTSAHSLDILSISFPPSAGSFRQDLSEYLHGILNFHAEVKSPFLINAYPYFAYKDNPNEVPLDYVLFQPNQGTVDPITNLKYDNMLYAQVDAVYSAIKAMGHTDIKVQISETGWPSRGDDDEAGATPENAGLYNGNLLKRIEEKQSTPAKPNVPVNVYVFALFNENLKPGPASERNYGLYYPNGTPVYNIGVQGYLPGMVYTSPSTKIALSVVSNVLFIVIAYLISAGKLVDLKMEGSSD >KJB07375 pep chromosome:Graimondii2_0_v6:1:2904106:2907492:-1 gene:B456_001G031300 transcript:KJB07375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTTSWLRTLGIQLSLCFALYIVLNLGQPQKLVYNDNGSPFDLYFISVRGGFRSLQEQTHLLKLMGNVAKAYDLKFVVNISELGEDDPLMQNVTRLSPLLNVPWYTTGGSKHDGFGCFLHQVKLPRGRMLDILSLNTASLQDTVVVGSPSGKRGNLSNWLTRTLKATTSDWRVVVGFHPLVACKENEEEFTAKLINEPLHHIFVKFGVNVYLSQQGCFSYALQDNVAYIGNPGLIKENSRLGYGNGRYRIRTEMTDGFLLHRLGSLEMVTYFVTSAGEIVNKIVVQQRGRQVM >KJB07377 pep chromosome:Graimondii2_0_v6:1:2904106:2907492:-1 gene:B456_001G031300 transcript:KJB07377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTTSWLRTLGIQLSLCFALYIVLNLGQPQKLVYNDNGSPFDLYFISVRGGFRSLQEQTHLLKLMGNVAKAYDLKFVVNISELGEDDPLMQNVTRLSPLLNVPWYTTGGSKHDGFGCFLHQVKLPRGRMLDILSLNTASLQDTVVVGSPSGKRGNLSNWLTRTLKATTSDWRVVVGFHPLVACKENEEEFTAKLINEPLHHIFVKFGVNVYLSQQGCFSYALQDNVAYIGNPGLIKENSRLGYGNGRYRIRTEMTDGFLLHRLGSLEMVTYFVTSAGEIVNKIVVQQRGRQVM >KJB07376 pep chromosome:Graimondii2_0_v6:1:2904952:2907369:-1 gene:B456_001G031300 transcript:KJB07376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTTSWLRTLGIQLSLCFALYIVLNLGQPQKLVYNDNGSPFDLYFISVRGGFRSLQEQTHLLKLMGNVAKAYDLKFVVNISELGEDDPLMQNVTRLSPLLNVPWYTTGGSKHDGFGCFLHQVKLPRGRMLDILSLNTASLQDTVVVGSPSGKRGNLSNWLTRTLKATTSDWRVVVGFHPLVACKENEEEFTAKLINEPLHHIFVKFGVNVYLSQQGCFSYALQDNVAYIGNPGLIKENSRLGYGNGRYRIRT >KJB07374 pep chromosome:Graimondii2_0_v6:1:2904789:2907369:-1 gene:B456_001G031300 transcript:KJB07374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTTSWLRTLGIQLSLCFALYIVLNLGQPQKLVYNDNGSPFDLYFISVRGGFRSLQEQTHLLKLMGNVAKAYDLKFVVNISELGEDDPLMQNVTRLSPLLNVPWYTTGGSKHDGFGCFLHQVKLPRGRMLDILSLNTASLQDTVVVGSPSGKRGNLSNWLTRTLKATTSDWRVVVGFHPLVACKENEEEFTAKLINEPLHHIFVKFGVNVYLSQQGCFSYALQDNVAYIGNPGLIKENSRLGYGNGRYRIRTEMTDGFLLHRLGSLEMVSTLFIFN >KJB07378 pep chromosome:Graimondii2_0_v6:1:2904584:2907369:-1 gene:B456_001G031300 transcript:KJB07378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTTSWLRTLGIQLSLCFALYIVLNLGQPQKLVYNDNGSPFDLYFISVRGGFRSLQEQTHLLKLMGNVAKAYDLKFVVNISELGEDDPLMQNVTRLSPLLNVPWYTTGGSKHDGFGCFLHQVKLPRGRMLDILSLNTASLQDTVVVGSPSGKRGNLSNWLTRTLKATTSDWRVVVGFHPLVACKENEEEFTAKLINEPLHHIFVKFGVNVYLSQQGCFSYALQDNVAYIGNPGLIKENSRLGYGNGRYRIRTEMTDGFLLHRLGSLEMVTYFVTSAGEIVNKIVVQQRGRQVM >KJB07381 pep chromosome:Graimondii2_0_v6:1:2905632:2907492:-1 gene:B456_001G031300 transcript:KJB07381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTTSWLRTLGIQLSLCFALYIVLNLGQPQKLVYNDNGSPFDLYFISVRGGFRSLQEQTHLLKLMGNVAKAYDLKFVVNISELGEDDPLMQNVTRLSPLLNVPWYTTGGSKHDGFGCFLHQVKLPRGRMLDILSLNTASLQDTVVVGSPSGKRGNLSNWLTRTLKATTSDW >KJB07379 pep chromosome:Graimondii2_0_v6:1:2904106:2906726:-1 gene:B456_001G031300 transcript:KJB07379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVAKAYDLKFVVNISELGEDDPLMQNVTRLSPLLNVPWYTTGGSKHDGFGCFLHQVKLPRGRMLDILSLNTASLQDTVVVGSPSGKRGNLSNWLTRTLKATTSDWRVVVGFHPLVACKENEEEFTAKLINEPLHHIFVKFGVNVYLSQQGCFSYALQDNVAYIGNPGLIKENSRLGYGNGRYRIRTEMTDGFLLHRLGSLEMVTYFVTSAGEIVNKIVVQQRGRQVM >KJB07373 pep chromosome:Graimondii2_0_v6:1:2904584:2906614:-1 gene:B456_001G031300 transcript:KJB07373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVAKAYDLKFVVNISELGEDDPLMQNVTRLSPLLNVPWYTTGGSKHDGFGCFLHQVKLPRGRMLDILSLNTASLQDTVVVGSPSGKRGNLSNWLTRTLKATTSDWRVVVGFHPLVACKENEEEFTAKLINEPLHHIFVKFGVNVYLSQQGCFSYALQDNVAYIGNPGLIKENSRLGYGNGRYRIRTEMTDGFLLHRLGSLEMVTYFVTSAGEIVNKIVVQQRGRQVM >KJB07380 pep chromosome:Graimondii2_0_v6:1:2904106:2907492:-1 gene:B456_001G031300 transcript:KJB07380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTTSWLRTLGIQLSLCFALYIVLNLGQPQKLVYNDNGSPFDLYFISVRGGFRSLQEQTHLLKLMGNVAKAYDLKFVVNISELGEDDPLMQNVTRLSPLLNVPWYTTGGSKHDGFGCFLHQVKLPRGRMLDILSLNTASLQVDTVVVGSPSGKRGNLSNWLTRTLKATTSDWRVVVGFHPLVACKENEEEFTAKLINEPLHHIFVKFGVNVYLSQQGCFSYALQDNVAYIGNPGLIKENSRLGYGNGRYRIRTEMTDGFLLHRLGSLEMVTYFVTSAGEIVNKIVVQQRGRQVM >KJB08451 pep chromosome:Graimondii2_0_v6:1:9543234:9543536:-1 gene:B456_001G087900 transcript:KJB08451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GLUTAMINE DUMPER 6 [Source:Projected from Arabidopsis thaliana (AT3G30725) UniProtKB/Swiss-Prot;Acc:Q3EAV6] MGATTTTPSIKLTTSSPLPYLFGSLALVLILIAMALVLLACSYRKQSSSSPTDEARVNKEREMDRVLDSEPKIVVIMAGDDKPTFLAKPVSSSTCCCDQV >KJB11571 pep chromosome:Graimondii2_0_v6:1:54341769:54344556:1 gene:B456_001G266400 transcript:KJB11571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSDQKRRRMKSDSDISRLSPSMRSHIEACLSLKDEQVAARVTSDAEKDEWFVVKVINFDEKTKEFEVLDEEPGDDEEGGVQKKYKLPASCIIPFPKRHDPSGTQEFPAGRNVLAVYPGTTALYKATVISTPRKRKSDEYLLEFDDDEEDGALPQRTVPFHKVVPLPDGHRQ >KJB11570 pep chromosome:Graimondii2_0_v6:1:54341220:54344556:1 gene:B456_001G266400 transcript:KJB11570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPDIASIMDNSRELDRLRKDQEEVLVEINKLHKKLQATPEVVEKPGDSSLSRLKSLYIQAKDLSEREVVISNSLVSKLDTFLPSGAPGQQRRKMDGSDQKRRRMKSDSDISRLSPSMRSHIEACLSLKDEQVAARVTSDAEKDEWFVVKVINFDEKTKEFEVLDEEPGDDEEGGVQKKYKLPASCIIPFPKRHDPSGTQEFPAGRNVLAVYPGTTALYKATVISTPRKRKSDEYLLEFDDDEEDGALPQRTVPFHKVVPLPDGHRQ >KJB06111 pep chromosome:Graimondii2_0_v6:1:5941090:5943148:1 gene:B456_001G0600002 transcript:KJB06111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINKLSHLATVTAAAVAAGPPLYRLLKLTVSRFHGAAQPQPDTDYWYYYHESPSQQESRRDELFRSTPVADANGSVPLRGVQWAFIGSPRAKKRVYAVMLSKLLEVPHITMASLVRQELSPNSNLYKQIANSVDHGEPVNEDIILGLLSKRLEDGHYRGETGFILDGIPRSRIQAEILDQLAEIDLVVNFKCTEDLMMNNQGEASWTERLQDYIKQVCNPHISLHWSYGFGCMFAYIYIGLIAFEISYHLGLFISLVIDIT >KJB06114 pep chromosome:Graimondii2_0_v6:1:5941186:5942399:1 gene:B456_001G0600002 transcript:KJB06114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINKLSHLATVTAAAVAAGPPLYRLLKLTVSRFHGAAQPQPDTDYWYYYHESPSQQESRRDELFRSTPVADANGSVPLRGVQWAFIGSPRAKKRVYAVMLSKLLEVPHITMASLVRQELSPNSNLYKQIANSVDHGEPVNEDIILGLLSKRLEDGHYRGETGFILDGIPRSRIQAEILDQLAEIDLVVNFKCTEDLMMNNQGEASWTERLQDYIKQ >KJB06113 pep chromosome:Graimondii2_0_v6:1:5941090:5942399:1 gene:B456_001G0600002 transcript:KJB06113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINKLSHLATVTAAAVAAGPPLYRLLKLTVSRFHGAAQPQPDTDYWYYYHESPSQQESRRDELFRSTPVADANGSVPLRGVQWAFIGSPRAKKRVYAVMLSKLLEVPHITMASLVRQELSPNSNLYKQIANSVDHGEPVNEDIILGLLSKRLEDGHYRGETGFILDGIPRSRIQAEILDQLAEIDLVVNFKCTEDLMMNNQGEASWTERLQDYIKQ >KJB06110 pep chromosome:Graimondii2_0_v6:1:5941283:5942399:1 gene:B456_001G0600002 transcript:KJB06110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINKLSHLATVTAAAVAAGPPLYRLLKLTVSRFHGAAQPQPDTDYWYYYHESPSQQESRRDELFRSTPVADANGSVPLRGVQWAFIGSPRAKKRVYAVMLSKLLEVPHITMASLVRQELSPNSNLYKQIANSVDHGEPVNEDIILGLLSKRLEDGHYRGETGFILDGIPRSRIQAEILDQLAEIDLVVNFKCTEDLMMNNQGEASWTERLQDYIKQ >KJB06112 pep chromosome:Graimondii2_0_v6:1:5941186:5942122:1 gene:B456_001G0600002 transcript:KJB06112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINKLSHLATVTAAAVAAGPPLYRLLKLTVSRFHGAAQPQPDTDYWYYYHESPSQQESRRDELFRSTPVADANGSVPLRGVQWAFIGSPRAKKRVYAVMLSKLLEVPHITMASLVRQELSPNSNLYKQIANSVDHGEPVNEDIILGLLSKRLEDGHYRGETGFILDGIPRSRIQAVSTKYC >KJB07034 pep chromosome:Graimondii2_0_v6:1:2001044:2002201:-1 gene:B456_001G021000 transcript:KJB07034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRVEKTLMREERYRHKRDNPSFSSSLLDQICRSIDDNDGISDTKSQGLKFNSKKQEIWDPQRDCLIEKWMENKVSEKKIAGKKQGLKDFKRKSHHHHVSLFSSSSSSSDSSSGGFFSSSDTESLYGSKTKAPCFVPPPSLPKPKPVRTSVSAPPEKLDERSKSRAMKIYGNLKKVKQPISPGARLASFINSLFTNSKKTKNPDDDDGDGDDEYDERKLKSSQVCSSASSSRSCLSKNTREKSRNGVKRTVRFCPVSVIVDEDSRPCGQKGVYEQQESRLLPVSVPTAWKIGKSSSEVKFQVMEKSKRVEEMAREIIREYHQNQKKNSHYDDDDDDDDAGSESSSDLFELDHLVLMGNDRYKEELPVYETTHVETNRAIANGFKM >KJB08375 pep chromosome:Graimondii2_0_v6:1:8227262:8235760:1 gene:B456_001G079100 transcript:KJB08375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGETASSSGIILEGIDDVEDYVWANEGVGFWDRYRHVYDHVENGNQAFRENRFEEAINNYTRASNMKPGDPIILGNRSAAYMRISLFLKHRSPTASEYRPLSGLDMTTLAELALKDAEKLMSLQNDAVRSYILKANALILLERYEMARDIILSGLQVDPSSVTLNNIIQRIFPQEYAERRSEQDSLINFGNDLIPLFVMDVVIPCQKFPLHIFEPRYRLMVRRIMEGNHRMGMVIRDPATDAIADFACEVEITECEPLPDGRFVLEIESRRRFRILRSRDQDGYRMAEVEWVQDIPPRDATDREDLQELTNNAAAHARSWLSTVKASTRDRRKLEAIYNVEAMMPNPQDPERFSFWLATLSNRRPSERLELLRIRDTAERIRRGLIYLGAESPGCRVQ >KJB08372 pep chromosome:Graimondii2_0_v6:1:8227202:8235821:1 gene:B456_001G079100 transcript:KJB08372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGETASSSGIILEGIDDVEDYVWANEGVGFWDRYRHVYDHVENGNQAFRENRFEEAINNYTRASNMKPGDPIILGNRSAAYMRISLFLKHRSPTASEYRPLSGLDMTTLAELALKDAEKLMSLQNDAVRSYILKANALILLERYEMARDIILSGLQVDPSSNILQASLRNLERMPSSLIRTRGHDRLERTDDFDCTLCLKLLYEPITTPCGHSFCRSCLFQTMDRSNKCPLCRTVLFISPRTCAISVTLNNIIQRIFPQEYAERRSEQDSLINFGNDLIPLFVMDVVIPCQKFPLHIFEPRYRLMVRRIMEGNHRMGMVIRDPATDAIADFACEVEITECEPLPDGRFVLEIESRRRFRILRSRDQDGYRMAEVEWVQDIPPRDATDREDLQELTNNAAAHARSWLSTVKASTRDRRKLEAIYNVEAMMPNPQDPERFSFWLATLSNRRPSERLELLRIRDTAERIRRGLIYLGAESPGCRVQ >KJB08373 pep chromosome:Graimondii2_0_v6:1:8227262:8231170:1 gene:B456_001G079100 transcript:KJB08373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGETASSSGIILEGIDDVEDYVWANEGVGFWDRYRHVYDHVENGNQAFRENRFEEAINNYTRASNMKPGDPIILGNRSAAYMRISLFLKHRSPTASEYRPLSGLDMTTLAELALKDAEKLMSLQNDAVRSYILKANALILLERYEMARDIILSGLQVDPSSNILQASLRNLERMPSSLIRTRGHDRLERTDDFDCTLCLKLLYEPITTPCGHSFCRSCLFQTMDRSNKCPLCRTVLFISPRTCAIR >KJB08374 pep chromosome:Graimondii2_0_v6:1:8227262:8231713:1 gene:B456_001G079100 transcript:KJB08374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGETASSSGIILEGIDDVEDYVWANEGVGFWDRYRHVYDHVENGNQAFRENRFEEAINNYTRASNMKPGDPIILGNRSAAYMRISLFLKHRSPTASEYRPLSGLDMTTLAELALKDAEKLMSLQNDAVRSYILKANALILLERYEMARDIILSGLQVDPSSNILQASLRNLERMPSSLIRTRGHDRLERTDDFDCTLCLKLLYEPITTPCGHSFCRSCLFQTMDRSNKCPLCRTVLFISPRTCAISVTLNNIIQRIFPQEYAERRSEQDSLINFGNDLIPLFVMDVVIPCQKFPLHIFEPRYRLMVSFIDFLILTVYYNFKKNGHVTMLVVQNALMHMSHLAELPLF >KJB06393 pep chromosome:Graimondii2_0_v6:1:47367877:47372043:-1 gene:B456_001G236100 transcript:KJB06393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSHMPNLSYVPLDRPASFSHLPCNEFLRIQSNRASTSTSFSLGINVSRKQCKPMLVRSMGSSFGSRLEESVKKTVASNPVVVYSKSWCSYSSEVKSLFKKLGVEPLVIELDEMGAQGPQVQKLLERLTGQHTVPNVFIGGKHIGGCTDTVKLYRKGELEPLLSEATAKSKEN >KJB06394 pep chromosome:Graimondii2_0_v6:1:47369117:47371907:-1 gene:B456_001G236100 transcript:KJB06394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSHMPNLSYVPLDRPASFSHLPCNEFLRIQSNRASTSTSFSLGINVSRKQCKPMLVRSMGSSFGSRLEESVKKTVASNPVVVYSKSWCSYSSEVKSLFKKLGVEPLVIELDEMGAQGPQVQKLLERLTGQHTVPNVFIGGKHIGGCTADTVKLYRKGELEPLLSEATAKSKEN >KJB06395 pep chromosome:Graimondii2_0_v6:1:47367908:47371986:-1 gene:B456_001G236100 transcript:KJB06395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSHMPNLSYVPLDRPASFSHLPCNEFLRIQSNRASTSTSFSLGINVSRKQCKPMLVRSMGSSFGSRLEESVKKTVASNPVVVYSKSWCSYSSEVKSLFKKLGVEPLVIELDEMGAQGPQVQKLLERLTGQHTVPNVFIDTVKLYRKGELEPLLSEATAKSKEN >KJB11509 pep chromosome:Graimondii2_0_v6:1:53834215:53839888:-1 gene:B456_001G262700 transcript:KJB11509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVRKRNIHLSKLCSFSCCRRPSQPSSTDEYDRIGQKGYSRVVYCNEPDCEEQIRLKYRRNYVSTTKYTAANFIPKSLFEQFRRVANIYFLVVACVSFSPLAPYSAPSVLVPLIVVIGATMAKEGIEDYRRKQQDVEANNRTVEVYDGNSSFNETKWKNLRVGDIVKVHKDEYFPADLLLLSSDYEDGVCYVETMNLDGETNLKSKHPLEVTSLIRDVETVKGFRAVIKCEDPNEHLYKFVGTLYYECQQYALSPQQILLRGTKLKGPDYINGVVIFTGHDTKVMQNAMDPPSKRTRIERRMDKIIYVLFSALILVSFIGSLLFGIETKKDGGDYGRWYLRPDITTVFFDPRRPSVAAFLHFLTGLMLYGYLIPISLYVSIEICKVLQSIFINQDQAMYDEETKRSAHARTSNLNEELGQVFTILSDKTGTLTCNKMEFVKCSIAGTAYGCGMTEVEIALARKRGETLDEQRDIDTVESREPVKGFNFRDGRIMNRKWVHEPYRDYIEKFFRVLALCHTAVPEVLDPGKIFYEAESPDEAAFVIAAREVGFQFVKRNQTSIQLRELDRSSGEIVDRVYELLHVLEFSSTRRRMSVIVRNPERQLLLLAKGADSVIFERLSEQGRMFEAETKEHIERYSEAGLRTLAVAYRELDDDEYKRWEQEYVKAKTSVSANRDDLLDVMAELIERDLILLGATAIEDKLQKGVPDCIDKLAQAGINIWVLTGDKKGTAINIGYACSLLRHGMKEILVILENPGIAAVERDTEDFAKASEIVEKQIDEGISQVTGGSSTQFGLIIDGQSLIFALDKKLIMRFMELAMKCATVICCRSSPKQKAIVTRWVKSVTGRTTLAIGDGANDVGMIQEADIGVGITGVEGMQAAMSSDFSIAQFRFLERLLLVHGHWCYRRITMMICYFFYKNITFGFTLFWFEAYSSFSAQPAYNDWYMSSYNVLFTSLPVIALGVFDQDFSARHCLEYPSLYKEGIDDVLFRWTHILGWMFNGVLSSVIIFFLTANSITGQAFRKDGQVADYSVLGLTMYTCVVLAVNCQMALCINYFTWIQHLFIWGSITLWFKFLLVYGSIPPTLSTTAYKVFIEACAPSIQYWLTIILVVIATLLPWFSYRAFQTRFRSMVRDCIQT >KJB11073 pep chromosome:Graimondii2_0_v6:1:47978315:47979864:-1 gene:B456_001G239900 transcript:KJB11073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSSPKASTPTHQPYGSSFSRPPWKFQMAKRGIQPDGFTFPFVLKACTKLCWSKMGFGIQGKALKMGFMENSFLRNTLIYFHANCGDLSVASELFDESAKKDVVPWSALTSGYAKRGELDVARRYFDDMPVKDLVSWNVMITGYAKRGEMESARKLFNEVLKKDVVTWNAMIAGYVLSGECKKALEMFEEMKTVGERPDEVTMLSLLNACADLGDLQVGMKIHWSLSEMVSSSGFNVLLGNALIDMYAKCGSIGRALKVFQDMREKDVSTWNSVIGGLAIHGHAEESINLFTEMRRSKVRPNEITFVGVLVACSHAGRVNEGRQYFKLMRDGYNIEPNIRHYGCMVDMLGRAGLLDEAFKFIDSMVIEPNAIIWRTLLGACRIHGNVELGSRANARLLKIRRDESGDYVLLSNIYASKGEWDRVEKVRKMMDDTGVRKDPGYSLIEDEEKALIDFLFNSKSKVSLRPKNLVQ >KJB11072 pep chromosome:Graimondii2_0_v6:1:47977952:47980010:-1 gene:B456_001G239900 transcript:KJB11072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPPILKANPCFSHHQRLQLQLISLTGAHFQGRHGNFSELFDESAKKDVVPWSALTSGYAKRGELDVARRYFDDMPVKDLVSWNVMITGYAKRGEMESARKLFNEVLKKDVVTWNAMIAGYVLSGECKKALEMFEEMKTVGERPDEVTMLSLLNACADLGDLQVGMKIHWSLSEMVSSSGFNVLLGNALIDMYAKCGSIGRALKVFQDMREKDVSTWNSVIGGLAIHGHAEESINLFTEMRRSKVRPNEITFVGVLVACSHAGRVNEGRQYFKLMRDGYNIEPNIRHYGCMVDMLGRAGLLDEAFKFIDSMVIEPNAIIWRTLLGACRIHGNVELGSRANARLLKIRRDESGDYVLLSNIYASKGEWDRVEKVRKMMDDTGVRKDPGYSLIEDEEKALIDFLFNSKSKVSLRPKNLVQ >KJB08953 pep chromosome:Graimondii2_0_v6:1:13690987:13693343:1 gene:B456_001G115500 transcript:KJB08953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate synthase alpha subunit 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29690) UniProtKB/Swiss-Prot;Acc:P32069] MAAIETLAFTPRLLPSNPLRFSSTVSVNLNPRFSGFRSKSISLIGSTSRVRTLRCTSLSSSPSIVDQSVKFREASKNGNLVPLYRCIFSDHLTPVIAYRCLVKEDDRDAPSFLFESVEPGLLASSVGRYSVVGAQPSIEIVAKENMVTIMNHEEGSKIEEIVDDPMTVPRRIMERWEPQRIDELPEVFCGGWVGYFSYDTVRYVEKKKLPFSSAPLDDRNLPDAHLGLYDDVIVFDHVEKKAFLIHWVRLDQYSSVDEAYNDGMKRLETLVSRVHDIDPPKLPAGSIKLYTRLFGPKLEISSMTSDAYTEAVLRAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMTYLQVLPPYPCWKCFG >KJB08952 pep chromosome:Graimondii2_0_v6:1:13690951:13694969:1 gene:B456_001G115500 transcript:KJB08952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate synthase alpha subunit 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29690) UniProtKB/Swiss-Prot;Acc:P32069] MAAIETLAFTPRLLPSNPLRFSSTVSVNLNPRFSGFRSKSISLIGSTSRVRTLRCTSLSSSPSIVDQSVKFREASKNGNLVPLYRCIFSDHLTPVIAYRCLVKEDDRDAPSFLFESVEPGLLASSVGRYSVVGAQPSIEIVAKENMVTIMNHEEGSKIEEIVDDPMTVPRRIMERWEPQRIDELPEVFCGGWVGYFSYDTVRYVEKKKLPFSSAPLDDRNLPDAHLGLYDDVIVFDHVEKKAFLIHWVRLDQYSSVDEAYNDGMKRLETLVSRVHDIDPPKLPAGSIKLYTRLFGPKLEISSMTSDAYTEAVLRAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMTYLQARGCILVASSPEILTRVKKGKITNRPLAGTIRRGKTPKEDLMLEKELLADEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIEQYSHVMHISSTVTGELLDDLTSWDALRAALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGFGGISFSGDMDIALALRTIVFPTATRYDTMYSYKDANKRREWVAHLQAGAGIVADSVPADEQRECENKAAALARAIDLAESSFVDK >KJB08954 pep chromosome:Graimondii2_0_v6:1:13690987:13694969:1 gene:B456_001G115500 transcript:KJB08954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate synthase alpha subunit 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29690) UniProtKB/Swiss-Prot;Acc:P32069] MAAIETLAFTPRLLPSNPLRFSSTVSVNLNPRFSGFRSKSISLIGSTSRVRTLRCTSLSSSPSIVDQSVKFREASKNGNLVPLYRCIFSDHLTPVIAYRCLVKEDDRDAPSFLFESVEPGLLASSVGRYSVVGAQPSIEIVAKENMVTIMNHEEGSKIEEIVDDPMTVPRRIMERWEPQRIDELPEVFCGGWVGYFSYDTVRYVEKKKLPFSSAPLDDRNLPDAHLGLYDDVIVFDHVEKKAFLIHWVRLDQYSSVDEAYNDGMKRLETLVSRVHDIDPPKLPAGSIKLYTRLFGPKLEISSMTSDAYTEAVLRAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMTYLQARGCILVASSPEILTRVKKGKITNRPLAGTIRRGKTPKEDLMLEKELLADEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIEQYSHVMHISSTVTGELLDDLTSWDALRAALPVGTVSGAPKVFLLTDIFLFLVVVISFASFWFTIFLH >KJB06233 pep chromosome:Graimondii2_0_v6:1:9924697:9925032:-1 gene:B456_001G090600 transcript:KJB06233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFPLFILASLLLINNSVTKSAALAFPESKPNATKYDETIGEFIEDEEQVVLFGESEVKQRSLAAATPISYRGLQPQPVCNANIYGNCIKPAGPDYRPCTTYNRCKRGVK >KJB06252 pep chromosome:Graimondii2_0_v6:1:41621120:41623066:1 gene:B456_001G209700 transcript:KJB06252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFAPITPIGCNSHSPHSAPIRDFIHVSYFLFHFLPSSTSSSQTFLFHIASSLTGRYITLLAARTLPLFILQVQIKPSGQTDSCMVTRSNFKNLYWTVTQQVAHHTINGCNLRPGDLLRTGTISGSVCLVHYLSLLYPFCFVCMYLPIHTQTCTSNECVYVLEISQLNQLLLASGVLSMH >KJB06256 pep chromosome:Graimondii2_0_v6:1:41621120:41624392:1 gene:B456_001G209700 transcript:KJB06256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFAPITPIGCNSHSPHSAPIRDFIHVSYFLFHFLPSSTSSSQTFLFHIASLTGRYITLLAARTLPLFILQILDSDSTSSTPHNQWLQPEARRSP >KJB06257 pep chromosome:Graimondii2_0_v6:1:41621120:41624392:1 gene:B456_001G209700 transcript:KJB06257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFAPITPIGCNSHSPHSAPIRDFIHVSYFLFHFLPSSTSSSQTFLFHIASLTGRYITLLAARTLPLFILQVQIKPSGQTDSCMVTRSNFKNLYWTVTQQVAHHTINGCNLRPGDLLRTGTISGSEFESFGCLLELTWNGQKQFPLNGTTQKFLEDGDEVIFSSCCKGDGYNVGFGTCAGKIVPPRD >KJB06254 pep chromosome:Graimondii2_0_v6:1:41621120:41624392:1 gene:B456_001G209700 transcript:KJB06254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFAPITPIGCNSHSPHSAPIRDFIHVSYFLFHFLPSSTSSSQTFLFHIASSLTGRYITLLAARTLPLFILQILDSDSTSSTPHNQWLQPEARRSP >KJB06253 pep chromosome:Graimondii2_0_v6:1:41621120:41624392:1 gene:B456_001G209700 transcript:KJB06253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFAPITPIGCNSHSPHSAPIRDFIHVSYFLFHFLPSSTSSSQTFLFHIARSLHHSFSSSHSSPVYFTDIGQ >KJB06255 pep chromosome:Graimondii2_0_v6:1:41621120:41624392:1 gene:B456_001G209700 transcript:KJB06255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFAPITPIGCNSHSPHSAPIRDFIHVSYFLFHFLPSSTSSSQTFLFHIASSLTGRYITLLAARTLPLFILQVQIKPSGQTDSCMVTRSNFKNLYWTVTQQVAHHTINGCNLRPGDLLRTGTISGSEFESFGCLLELTWNGQKQFPLNGTTQKFLEDGDEVIFSSCCKGDGYNVGFGTCAGKIVPPRD >KJB10232 pep chromosome:Graimondii2_0_v6:1:31667729:31672356:1 gene:B456_001G190900 transcript:KJB10232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNETRRLRTVKTAHIMITVENDQSPIRASRLGFSSFATFPSLSDKVIPSQFPAMAEASRIFHSTLIPTLSLQLSKPCYNSHVYPSLSLKKAPRPVQCSVSTSETKSTSSNATQEVPWGCDIDSLENAEALQKWLSNSGLPPQKMAIDKVAVGERGLVALKNIRKGEKLLFVPPSLFITADSEWSSPEAGLVLKQYSVPDWPLLATYLISEASAQKSSRWCNYISALPRQPYSLLYWTRAELDRYLEASQIRQRAIERVTDVIGTYNDLRLRIFSKYPDIFPEEVFNMETFRWSFGILFSRLVRLPSMDGKVALVPWADMLNHSCEVETFLDYDKSSQGVVFTTDRAYQPGEQVFISYGKKSNGELLLSYGFVPKEGANPSDSVELPLSLKKSDKCYKEKLEALRKHGLSTSQCYPIQITGWPLELMAYAYLAVSPPSMSKQFDEIAAAASNKSTIKKDLRYPDIEEKALQFILDSCESSISKYSKFLQVSGSMDLDVTTPKQLNRRVFLKQLAVDLCTSEQRILFRAQYILRRRLRDMRSGELRALRIFDGLRNIFK >KJB10233 pep chromosome:Graimondii2_0_v6:1:31667737:31672326:1 gene:B456_001G190900 transcript:KJB10233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNETRRLRTVKTAHIMITVENDQSPIRASRLGFSSFATFPSLSDKVIPSQFPAMAEASRIFHSTLIPTLSLQLSKPCYNSHVYPSLSLKKAPRPVQCSVSTSETKSTSSNATQEVPWGCDIDSLENAEALQKWLSNSGLPPQKMAIDKVAVGERGLVALKNIRKGEKLLFVPPSLFITADSEWSSPEAGLVLKQYSVPDWPLLATYLISEASAQKSSRWCNYISALPRQPYSLLYWTRAELDRYLEASQIRQRAIERVTDVIGTYNDLRLRIFSKYPDIFPEEVFNMETFRWSFGILFSRLVRLPSMDGKVALVPWADMLNHSCEVETFLDYDKSSQGVVFTTDRAYQPGEQVFISYGKKSNGELLLSYGFVPKEGANPSDSVELPLSLKKSDKCYKEKLEALRKHGLSTSQCYPIQITGWPLELMAYAYLAVSPPSMSKQFDEIAAAASNKSTIKKDLRYPDIEEKALQFILDSCESSISK >KJB11062 pep chromosome:Graimondii2_0_v6:1:47723058:47725674:-1 gene:B456_001G238900 transcript:KJB11062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSQNHVSIPKTCLVHCNRYTITPRLRAYYITHLFRRLVRCFPIPRRIGITPPQRRLPIPRLSLFSLPFSALILNAPILPRFLAQGEVLRPLSEIVDLSSTFSKFNTTINGPRGSGIVGDRGSREDSSVAEWPHGEEVPYWLGQQALETESVPRDKH >KJB09647 pep chromosome:Graimondii2_0_v6:1:21752600:21756144:1 gene:B456_001G155000 transcript:KJB09647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTNSFRLRKQALKPIETARSSASQRDEEEVSKNNGQRTCEEEPLSPSSRLYHEPNFNVYVIAIMGCKTRIYPDVVKANLGHTLLRHPRFSSLQVENNGEMRWVPTEVDLERHVIVPELDQNMDSPDKFLEDYVYNLSKTTIDKSQPLWDLHLLNLRTSQSEAVGIFRIHHSLGDGTSLLSLLLACTRQMNDSKALPTIPIRKKKDKKSDRIGIWRILLRFWFVLQVFWNTVVDVFMFIATALFLTDTQNPLKGLPGSESTPRRIVYRTVSLDDIKFVKNAMNTTINDVAFGMTQAGLSRYINRICENKKDGGATETNNLPKSIRLRSNLLVNIRPAPGIQALADMMEKDAEVKWGNWIGYVLLPFTIAVREDPLDYVRNAKAIIDRKKRSLEAFCTFYIADWALNLFGIKAASALSHKVISRTTMCFSNMVGPVEEIGFCGHPMAFLAPSSYGQPYALMINFQSYIDKMTIVLSVDEGTIPNPHQLCDDIVESLQIIKDTVMTRGLA >KJB09650 pep chromosome:Graimondii2_0_v6:1:21752600:21756219:1 gene:B456_001G155000 transcript:KJB09650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTNSFRLRKQALKPIETARSSASQRDEEEVSKNNGQRTCEEEPLSPSSRLYHEPNFNVYVIAIMGCKTRIYPDVVKANLGHTLLRHPRFSSLQVENNGEMRWVPTEVDLERHVIVPELDQNMDSPDKFLEDYVYNLSKTTIDKSQPLWDLHLLNLRTSQSEAVGIFRIHHSLGDGTSLLSLLLACTRQMNDSKALPTIPIRKKKDKKSDRIGIWRILLRFWFVLQVFWNTVVDVFMFIATALFLTDTQNPLKGLPGSESTPRRIVYRTVSLDDIKFVKNAMNTTINDVAFGMTQAGLSRYINRICSENKKDGGATETNNLPKSIRLRSNLLVNIRPAPGIQALADMMEKDAEVKWGNWIGYVLLPFTIAVREDPLDYVRNAKAIIDRKKRSLEAFCTFYIADWALNLFGIKAASALSHKVISRTTMCFSNMVGPVEEIGFCGHPMAFLAPSSYGQPYALMINFQSYIDKMTIVLSVDEGTIPNPHQLCDDIVESLQIIKDTVMTRGLA >KJB09649 pep chromosome:Graimondii2_0_v6:1:21752600:21756144:1 gene:B456_001G155000 transcript:KJB09649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTNSFRLRKQALKPIETARSSASQRDEEEVSKNNGQRTCEEEPLSPSSRLYHEPNFNVYVIAIMGCKTRIYPDVVKANLGHTLLRHPRFSSLQVENNGEMRWVPTEVDLERHVIVPELDQNMDSPDKFLEDYVYNLSKTTIDKSQPLWDLHLLNLRTSQSEAVGIFRIHHSLGDGTSLLSLLLACTRQMNDSKALPTIPIRKKKDKKSDRIGIWRILLRFWFVLQVFWNTVVDVFMFIATALFLTDTQNPLKGLPGSESTPRRIVYRTVSLDDIKFVKNAMNTTINDVAFGMTQAGENKKDGGATETNNLPKSIRLRSNLLVNIRPAPGIQALADMMEKDAEVKWGNWIGYVLLPFTIAVREDPLDYVRNAKAIIDRKKRSLEAFCTFYIADWALNLFGIKAASALSHKVISRTTMCFSNMVGPVEEIGFCGHPMAFLAPSSYGQPYALMINFQSYIDKMTIVLSVDEGTIPNPHQLCDDIVESLQIIKDTVMTRGLA >KJB09646 pep chromosome:Graimondii2_0_v6:1:21752600:21756141:1 gene:B456_001G155000 transcript:KJB09646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTNSFRLRKQALKPIETARSSASQRDEEEVSKNNGQRTCEEEPLSPSSRLYHEPNFNVYVIAIMGCKTRIYPDVVKANLGHTLLRHPRFSSLQVENNGEMRWVPTEVDLERHVIVPELDQNMDSPDKFLEDYVYNLSKTTIDKSQPLWDLHLLNLRTSQSEAVGIFRIHHSLGDGTSLLSLLLACTRQMNDSKALPTIPIRKKKDKKSDRIGIWRILLRFWFVLQVFWNTVVDVFMFIATALFLTDTQNPLKGLPGSESTPRRIVYRTVSLDDIKFVKNAMNTTINDVAFGMTQAGLSRYINRICSENKKDGGATETNNLPKSIRLRSNLLVNIRPAPGIQALADMMEKDAEVKWGNWIGYVLLPFTIAVREDPLDYVRNAKAIIDRKKRSLEAFCTFYIADWALNLFGIKAASALSHKVISRTTMCFSNMVGPVEEIGFCGHPMAFLAPSSYGQPYSYIDKMTIVLSVDEGTIPNPHQLCDDIVESLQIIKDTVMTRGLA >KJB09645 pep chromosome:Graimondii2_0_v6:1:21752600:21754974:1 gene:B456_001G155000 transcript:KJB09645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTNSFRLRKQALKPIETARSSASQRDEEEVSKNNGQRTCEEEPLSPSSRLYHEPNFNVYVIAIMGCKTRIYPDVVKANLGHTLLRHPRFSSLQVENNGEMRWVPTEVDLERHVIVPELDQNMDSPDKFLEDYVYNLSKTTIDKSQPLWDLHLLNLRTSQSEAVGIFRIHHSLGDGTSLLSLLLACTRQMNDSKALPTIPIRKKKDKKSDRIGIWRILLRFWFVLQVFWNTVVDVFMFIATALFLTDTQNPLKGLPGSESTPRRIVYRTVSLDDIKFVKNAMNTTINDVAFGMTQAGLSRYINRICSEISISIPFTNM >KJB09648 pep chromosome:Graimondii2_0_v6:1:21752708:21755465:1 gene:B456_001G155000 transcript:KJB09648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTNSFRLRKQALKPIETARSSASQRDEEEVSKNNGQRTCEEEPLSPSSRLYHEPNFNVYVIAIMGCKTRIYPDVVKANLGHTLLRHPRFSSLQVENNGEMRWVPTEVDLERHVIVPELDQNMDSPDKFLEDYVYNLSKTTIDKSQPLWDLHLLNLRTSQSEAVGIFRIHHSLGDGTSLLSLLLACTRQMNDSKALPTIPIRKKKDKKSDRIGIWRILLRFWFVLQVFWNTVVDVFMFIATALFLTDTQNPLKGLPGSESTPRRIVYRTVSLDDIKFVKNAMNTTINDVAFGMTQAGLSRYINRICSENKKDGGATETNNLPKSIRLRSNLLVNIRPAPGIQALADMMEKDAEVKWGNWIGYVLLPFTIAVREDPLDYVRNAKAIIDRKKRSLEAFCTFYIADWALNLFGIKV >KJB09178 pep chromosome:Graimondii2_0_v6:1:16305759:16307936:-1 gene:B456_001G128900 transcript:KJB09178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSIHSIYVWEFIHRVVTGYLPSVILILFLYAVPPTMMLFSAMEGNISRSQRKRSACIKVLYFTIWNVFFVNVLSGSIIRQLTVFSIFKDAPKQLARAVPT >KJB09555 pep chromosome:Graimondii2_0_v6:1:20518025:20520675:-1 gene:B456_001G149600 transcript:KJB09555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGRTPISSFSSPLLKNPSIQLGNILLIASLTKTLLESGTRNLDPNSIPLSEPLVLQILRKNSLEPSKKLDFFNWCRSFKPNFKHSAVTYSHIFRTLCRSGFVEEVPNLLFLMKEDGVLVDSSTFKLLLDAFIRSGKFDTALEILDYMEESGACLNASVYDSVLVALARKGQVGLALSMFCKLLEACNGNDNGNSVVSSLPGSVAINELLVALKKADMRAEFKQIFDKLREKKDFELDTCGYNICIHTFGCWGDLGASLSLFKEMKQKEKSSSSCSFGPDLCTYNSLIHILCSVGKVKDALIVWEELKVSGHEPDVFTYRILTQGCSKSYKINDAMKIFSEMQYNGFAPDTVVYNSLLNGLFKARKLMEACQLFEKMVQDGVRASCWTYNIIIDGLFRNGRAEAAYTLFCDLKKKGQFVDGVTYSIVVLQLCREGQLEEALQLVEEMEDRGFLVDLVTITSLLVGFYKQGRWDWTERLMKHIRGGNLVPNVLKWKANMEALMKNPPKNRKDYTPLFPSRGDFIEIRSFAGQAMGNNVDSEDCDEKDQEMPFIETDQWSSSPYMDQLANQVKSSEHSSRLFSLRRGQRVKEKGIGSFDVDMVNTFLSIFLAKGKLSLACKLFEVFTDMGVDPVSYTYNSIMSSFVKKGYINEAWGVLNEMDEKVCPTDVATYNLIIQGLGKVGRADIASSILEKLMKQGGYLDIVMYNTLINALGKAGYINEASKLFEQMRSSGINPDVITYNTLIEVHTKAGQLKDAYRYLKMMLDAGCSPNHVTDTILDNLGKEIDKLRLQKASIVCTNNNNGDDS >KJB10511 pep chromosome:Graimondii2_0_v6:1:39802303:39804958:-1 gene:B456_001G204800 transcript:KJB10511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSCGVECVLLLGCSRWAWRRCTYVGSNDSETWPLATPDEFEPVPRVCRLILAVYEPDLRNPQFPPDGGYRLNPDWVLKRVTYEDALGRSPPYLIYADHDRKEIVMAIRGLNLAKESDYKLLLDNRLGMQMFDGGYVHHGLLKSAVWLLNVESGTLKRVWEETGREYQMIFVGHSLGSGVAALMTVLVVNHRDRLGGIPRSKLRCYALAPARCMSLNLAVKYADVIHSIVLQDDFLPRTATPLEDIFKSIFCLPCLLFLICLRDTFIPEGRKLKDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVDGRFEHIVLSCNATSDHGIIWIEKEAEKALEASVLCLGLTFKLLSCIMDYQQWLTCWFLQIMRENKSETITVPPKIQRLERLQTIEDEHKDALERAVSLNIPHAVSTVEELTENKEMEARAAKANNGDSPKAEPELSSRGTNWDELVEKLFKRSESGKLMLKKESNPTQIQ >KJB10510 pep chromosome:Graimondii2_0_v6:1:39802204:39805026:-1 gene:B456_001G204800 transcript:KJB10510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSCGVECVLLLGCSRWAWRRCTYVGSNDSETWPLATPDEFEPVPRVCRLILAVYEPDLRNPQFPPDGGYRLNPDWVLKRVTYEDALGRSPPYLIYADHDRKEIVMAIRGLNLAKESDYKLLLDNRLGMQMFDGGYVHHGLLKSAVWLLNVESGTLKRVWEETGREYQMIFVGHSLGSGVAALMTVLVVNHRDRLGGIPRSKLRCYALAPARCMSLNLAVKYADVIHSIVLQDDFLPRTATPLEDIFKSIFCLPCLLFLICLRDTFIPEGRKLKDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVDGRFEHIVLSCNATSDHGIIWIEKEAEKALEIMRENKSETITVPPKIQRLERLQTIEDEHKDALERAVSLNIPHAVSTVEELTENKEMEARAAKANNGDSPKAEPELSSRGTNWDELVEKLFKRSESGKLMLKKESNPTQIQ >KJB07619 pep chromosome:Graimondii2_0_v6:1:3069480:3073101:1 gene:B456_001G0333002 transcript:KJB07619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHLLHGTLNVTINEIDRLKISGGLLSKDVGLLDKGKILLAQLKRLVLCQSITDSKIYATVDLDKARAARTRMVKNEGQASPWNESFRIYCGHLISHIIFTVKYVNPIGATLIGRAYVPVQDIINGNIVDRWVNILDQNHVPIHGDSKIHVHLQFFNVTQDAHWSRGIKNRDFNGVPYTYFAQRQGCKVTLYKDAHTEDDSQPVFLAGGERYQVHRCWEDIFDAIDNAKHFIYITGWSVYTKITLIRDPRRPKPGGDLTLGELLKEKAKQGVTVLMLVWDDRTSVKELKKDGLMATHDEETANVFKGTNVHCVLCPRKPDHDESIVQESDINTMFTHHQKTVVVDGELPSERSGKRTVVSFVGGIDLCDGRYDTQEHPLFSTLGTVHHDDFHQPNFAGSSIKKGGPREPWHDIHCKLEGPVAWDVLYNFEQRWQKQVGTDILIPRTKLDEMVIQPSPLTSWNDPETWNVQIFRSIDGGAAAGFPEEPNEAAKIGLVSGKNVTIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFAWKSQGIKVDDIGALHLIPKELSLKIVSKIEAGERFTVYIVIPMWPEGIPESESVQAILDWQRRTMEMMYTDITEALKKKGLNANPRDYLTFFCLGNKETTKSREYVPPEKPDPNSDYARAQQSRRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGGRDTEIAMGAFQPFHIATDRQRAKGQIYGFRMALWWEHLGLPRPHTIFEHPESLQCVQTVNSLAEELWNEYSSDAVVQDLPGHLLRYPIEISDTGAITTLPNMEFFPDTKARIL >KJB07860 pep chromosome:Graimondii2_0_v6:1:4598996:4602787:-1 gene:B456_001G048300 transcript:KJB07860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLLIHIALFSTLCFAADPSVFLDFKLSYITLSPLGVPQRVIAVNGAFPGPVVNVTTNYNVNINVHNQLDENLLMTWPGIQMRRNSWQDGVLGTNCPIHPKKNFTYQFQVKDQIGSFFYFPSLNFQRASGGFGPIIINNRNIIAIPFAHPDADIVLLVGDWYTKNHTALRTTLESGEELGMPDGVLINGKGPYRYNSTLVPDGIEYEIINVDPGKTYRFRVHNVGTSTSLNFRIEGHNLLLVETEGFYTTQQNFTSFDIHVGQSYSFLVTMDQNATKDYYIVASARFVNETVWERVTGVAILHYSNSKGPASGPLPVAPSDIYNQWSAMNQPRAIRQNTTASGARPNPQGSFHYGSINVTDTYVIQSLPPVRIDGKFRATLNGISFVNPDTPIRLADLHKVKGAYKLDFPNKPHDRTPHMDRSVINATYKGFIEIILQNNDTKMQSFHMDGYAFFVVGMDFGVWSENSRNNYNKWDAISRSTTEVYPGGWTAVLVSLDNVGVWNLRVENLDRWYLGQETYMRIINPEENGKTEMVPPDNVIYCGALQSLQKESQSSSAMALHCGNFKLFLTLAITILALYFHF >KJB06124 pep chromosome:Graimondii2_0_v6:1:16481273:16484559:-1 gene:B456_001G1299002 transcript:KJB06124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRSSVLAKGSSLRYFSSSSSSGVLKIGDVLRQTRTFSNDDVGQYSKLSHDVNPLHFDSESARAAGFEDRLVHGLLVASLFPWIISSHFPGAIYVSQSLHFRSPVYVGDEIVGEVKAISIKQSKKRYIAKLSTKCLKNGELLVLDGEAMAILPTLALEHDSRRS >KJB06128 pep chromosome:Graimondii2_0_v6:1:16482139:16484667:-1 gene:B456_001G1299002 transcript:KJB06128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRSSVLAKGSSLRYFSSSSSSGVLKIGDVLRQTRTFSNDDVGQYSKLSHDVNPLHFDSESARAAGFEDRLVHGLLVASLFPWIISSHFNEKTVGKGTIVLDDQVRLLAKYLLKRIHGF >KJB06125 pep chromosome:Graimondii2_0_v6:1:16481273:16484489:-1 gene:B456_001G1299002 transcript:KJB06125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRSSVLAKGSSLRYFSSSSSSGVLKIGDVLRQTRTFSNDDVGQYSKLSHDVNPLHFDSESARAAGFEDRLVHGLLVASLFPWIISSHFPGAIYVSQSLHFRSPVYVGDEIVGEVKAISIKQSKKRYIAKLSTKCLKNGELLVLDGEAMAILPTLALEHDSRRS >KJB06127 pep chromosome:Graimondii2_0_v6:1:16474734:16484710:-1 gene:B456_001G1299002 transcript:KJB06127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRSSVLAKGSSLRYFSSSSSSGVLKIGDVLRQTRTFSNDDVGQYSKLSHDVNPLHFDSESARAAGFEDRLVHGLLVASLFPWIISSHFPGAIYVSQSLHFRSPVYVGDEIVGEVKAISIKQSKKRYIAKLSTKCLKNGELLVLDGEAMAILPTLALEHDSRRS >KJB06126 pep chromosome:Graimondii2_0_v6:1:16479380:16484532:-1 gene:B456_001G1299002 transcript:KJB06126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRSSVLAKGSSLRYFSSSSSSGVLKIGDVLRQTRTFSNDDVGQYSKLSHDVNPLHFDSESARAAGFEDRLVHGLLVASLFPWIISSHFPGAIYVSQSLHFRSPVYVGDEIVGEVKAISIKQSKKRYIAKLSTKCLKNGELLVLDGEAMAILPTLALEHDSRRS >KJB07354 pep chromosome:Graimondii2_0_v6:1:1665671:1670190:-1 gene:B456_001G018000 transcript:KJB07354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSAQGSSRNHCSLLAVLCGGKVSDNKQKQPVSDYKPRYPFPELSSSGRLEVQLLNNPSIDEFRRVLESFEPNIVYLQGEQIVDGEEIGSLVLGDVDLSTPEALCGVFGSTFPTTVYLEIPNGVKLAEGLHSKGVPYVIYWKNTFSRYAACHFRQALLSVIQSSCSHTWDAFQFARASFRLYCVRNNNIFSSNSQKQSIKPGPHLLGEPPKIDVSQPEVDMQEEEGSPENLPAVKIYDDDVTMRFLVCGSPCTLDAVLLGSLEDGLNALLSIEIRGSKLHNRASAPPPPLQAGTFSRGVVTMRCDFSTCSSAHISLLVSGSAQTCFNDQLLENHIKNELIEKSQLVHAQSSSEESKLPSFEPRRSTSIACGASVFEVCMKVPTWASQVLRQLAPDVSYRSLVMLGIASIQGLSVASFEKDDAERLLFFCKKLSKDPLLGSSLIARTPSWLVPPAPSRKRPEPYKDTKSLNCTIMEGVNGLTRPKINVAAMRPIPHTHRHKMLPFSGFSEAERYDGDQGKVNLPVAPVKQPAPVTHRKALSSSFQAQQIISLNPLPLKKHGCGRAPIQVCSEEEFLRDVMQFLIFRGHTRLVPQGGLAEFPDAILNAKRLDLFNLYREVSYCLC >KJB07353 pep chromosome:Graimondii2_0_v6:1:1665394:1670190:-1 gene:B456_001G018000 transcript:KJB07353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSAQGSSRNHCSLLAVLCGGKVSDNKQKQPVSDYKPRYPFPELSSSGRLEVQLLNNPSIDEFRRVLESFEPNIVYLQGEQIVDGEEIGSLVLGDVDLSTPEALCGVFGSTFPTTVYLEIPNGVKLAEGLHSKGVPYVIYWKNTFSRYAACHFRQALLSVIQSSCSHTWDAFQFARASFRLYCVRNNNIFSSNSQKQSIKPGPHLLGEPPKIDVSQPEVDMQEEEGSPENLPAVKIYDDDVTMRFLVCGSPCTLDAVLLGSLEDGLNALLSIEIRGSKLHNRASAPPPPLQAGTFSRGVVTMRCDFSTCSSAHISLLVSGSAQTCFNDQLLENHIKNELIEKSQLVHAQSSSEESKLPSFEPRRSTSIACGASVFEVCMKVPTWASQVLRQLAPDVSYRSLVMLGIASIQGLSVASFEKDDAERLLFFCKKLSKDPLLGSSLIARTPSWLVPPAPSRKRPEPYKDTKSLNCTIMEGVNGLTRPKINVAAMRPIPHTHRHKMLPFSGFSEAERYDGDQGKVNLPVAPVKQPAPVTHRKALSSSFQAQQIISLNPLPLKKHGCGRAPIQVCSEEEFLRDVMQFLIFRGHTRLVPQGGLAEFPDAILNAKRLDLFNLYREVVSRGGFNVGNGINWKGQVFSKMRNHTLTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHRSLSLLFAHT >KJB07352 pep chromosome:Graimondii2_0_v6:1:1664655:1670238:-1 gene:B456_001G018000 transcript:KJB07352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSAQGSSRNHCSLLAVLCGGKVSDNKQKQPVSDYKPRYPFPELSSSGRLEVQLLNNPSIDEFRRVLESFEPNIVYLQGEQIVDGEEIGSLVLGDVDLSTPEALCGVFGSTFPTTVYLEIPNGVKLAEGLHSKGVPYVIYWKNTFSRYAACHFRQALLSVIQSSCSHTWDAFQFARASFRLYCVRNNNIFSSNSQKQSIKPGPHLLGEPPKIDVSQPEVDMQEEEGSPENLPAVKIYDDDVTMRFLVCGSPCTLDAVLLGSLEDGLNALLSIEIRGSKLHNRASAPPPPLQAGTFSRGVVTMRCDFSTCSSAHISLLVSGSAQTCFNDQLLENHIKNELIEKSQLVHAQSSSEESKLPSFEPRRSTSIACGASVFEVCMKVPTWASQVLRQLAPDVSYRSLVMLGIASIQGLSVASFEKDDAERLLFFCKKLSKDPLLGSSLIARTPSWLVPPAPSRKRPEPYKDTKSLNCTIMEGVNGLTRPKINVAAMRPIPHTHRHKMLPFSGFSEAERYDGDQGKVNLPVAPVKQPAPVTHRKALSSSFQAQQIISLNPLPLKKHGCGRAPIQVCSEEEFLRDVMQFLIFRGHTRLVPQGGLAEFPDAILNAKRLDLFNLYREVVSRGGFNVGNGINWKGQVFSKMRNHTLTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGVCDEWAHFGCDRRQGLGAFKDYAKTDGLEYVCPHCSISSFKKKAAKTMNGY >KJB11761 pep chromosome:Graimondii2_0_v6:1:55382079:55384192:1 gene:B456_001G276100 transcript:KJB11761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSFNARVGKVFGSLTASSSSSSSSNSKSLPLSSLWSLTDDEIEKREWNRDKNSPQQQDEDEGFLHNFSQNKKKAINFGAELEKDLDDLDDEDAEEEEASASSSKPSKPEDYNDEEWEIKSSIGRDCTLDYEVEEDAYDKVAVGRDKNGDRLYMKDVNDYDIDADSCNTLPTTFKDFSRDPRANHIAAKLRLMEDEEATKRRLEEDAEAAKKIDSLHVSDSDAAVGVEAHISTFEDGNPKSILKRKDAESNSKSQKRVRFDSECCKNDCSEGPERTKDVQGEVCLTEEEDAMVSKEVSDYPSGIPDYMQNPSKYTRYTFDTSEVDDESNRLAYMDFLRLVRRSDGTEPQADDGPGDLTKPVTFIPRKKIGDVIMGESGIESKQTGEGADKKPMHRRGLQIGIAAGDTDDGVCAMDEDEPQTSIDNRNISQRSGRQYRMKSCSE >KJB11760 pep chromosome:Graimondii2_0_v6:1:55381960:55384287:1 gene:B456_001G276100 transcript:KJB11760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSFNARVGKVFGSLTASSSSSSSSNSKSLPLSSLWSLTDDEIEKREWNRDKNSPQQQDEDEGFLHNFSQNKKKAINFGAELEKDLDDLDDEDAEEEEASASSSKPSKPEDYNDEEWEIKSSIGRDCTLDYEEEEDAYDKVAVGRDKNGDRLYMKDVNDYDIDADSCNTLPTTFKDFSRDPRANHIAAKLRLMEDEEATKRRLEEDAEAAKKIDSLHVSDSDAAVGVEAHISTFEDGNPKSILKRKDAESNSKSQKRVRFDSECCKNDCSEGPERTKDVQGEVCLTEEEDAMVSKEVSDYPSGIPDYMQNPSKYTRYTFDTSEVDDESNRLAYMDFLRLVRRSDGTEPQADDGPGDLTKPVTFIPRKKIGDVIMGESGIESKQTGEGADKKPMHRRGLQIGIAAGDTDDGVCAMDEDEPQTSIDNRNISQRSGRQYRMKSCSE >KJB10866 pep chromosome:Graimondii2_0_v6:1:46596916:46599731:1 gene:B456_001G2296001 transcript:KJB10866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHIVLEGVDKFSNLIGSVYYHEGETGKDLVLELVENRLLAGTALLWLMTSPYGSSLAERRVNLSRIRCPKIGNPRRDEKPAAYAREAREFLRTHLIGKQIVNTPRRGVQSSNDRFEVFYLNYGNQELVPYSQLRSIDASLSATPGLAQLYSLAFLKVPSLDDEFGTEAAQFSSERTLGSLLQFKAVKEERDTSGGKDSLTRLEKRKKWESKERKLMLNKLEQCQEEAKTGRRGMWQYGDVESDDEDLLPLVAAKKIRGRC >KJB08472 pep chromosome:Graimondii2_0_v6:1:8815870:8819388:1 gene:B456_001G083100 transcript:KJB08472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIRAFLVAVAVVTICGIPQVRSTASDHRYKAGDEVPLYANKVGPYHNPSETYRYFDFPFCSSAPVKEKKEALGEVLNGDRLVSAPYKLEFLTEKEAEIACKRKLTKEEVVKFRKAVSKDYYFQMYYDDLPIWGFLGKVEKEGKTDPADYKYYLFKHLVFEIHHNKDRVIEITVRSDPNALVDLTEDVPVDVDFMYTVKWKETNTPFEKRMDKYSLSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYAHDEESADDQEESGWKYIHGDVFRYPKHKSLFAAALGSGTQLFTLTSFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYCQLEGTNWVRNLLLTGSLFCGPLFVTFCFLNTVAIAYKATAALPFGTIVVIFLIWALVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRKTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRYVLPLWWVYRAVHIHLLFVLLQCTVRHVRLHANFVLLWVHGLHLLWLLPHARGYRLPCISALCSTHLPFNQV >KJB08470 pep chromosome:Graimondii2_0_v6:1:8815864:8819388:1 gene:B456_001G083100 transcript:KJB08470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIRAFLVAVAVVTICGIPQVRSTASDHRYKAGDEVPLYANKVGPYHNPSETYRYFDFPFCSSAPVKEKKEALGEVLNGDRLVSAPYKLEFLTEKEAEIACKRKLTKEEVVKFRKAVSKDYYFQMYYDDLPIWGFLGKVEKEGKTDPADYKYYLFKHLVFEIHHNKDRVIEITVRSDPNALVDLTEDVPVDVDFMYTVKWKETNTPFEKRMDKYSLSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYAHDEESADDQEESGWKYIHGDVFRYPKHKSLFAAALGSGTQLFTLTSFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYCQLEGTNWVRNLLLTGSLFCGPLFVTFCFLNTVAIAYKATAALPFGTIVVIFLIWALVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRKTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYTYCLYYYNARSDMSGFMQTSFFFGYMACICYGFFLMLGAIGFRASLLFVRHIYRSIKCE >KJB08471 pep chromosome:Graimondii2_0_v6:1:8815870:8818445:1 gene:B456_001G083100 transcript:KJB08471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIRAFLVAVAVVTICGIPQVRSTASDHRYKAGDEVPLYANKVGPYHNPSETYRYFDFPFCSSAPVKEKKEALGEVLNGDRLVSAPYKLEFLTEKEAEIACKRKLTKEEVVKFRKAVSKDYYFQMYYDDLPIWGFLGKVEKEGKTDPADYKYYLFKHLVFEIHHNKDRVIEITVRSDPNALVDLTEDVPVDVDFMYTVKWKETNTPFEKRMDKYSLSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYAHDEESADDQEESGWKYIHGDVFRYPKHKSLFAAALGSGTQLFTLTSFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYCQLEGTNWVRNLLLTGSLFCGPLFVTFCFLNTVAIAYKATAALPFGTIVVIFLIWALVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRKTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRYVVFSFLICIY >KJB07709 pep chromosome:Graimondii2_0_v6:1:3708012:3713814:1 gene:B456_001G039900 transcript:KJB07709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSPTSRINFNSFITICLFLNVCLSEGVVAQSQNTTGDATIPVKVGVVLDMDSLVGKIGMSCMNMALSDFYATHPHYKTRLVFNTKDSMGDVVAAASAAVELIRNVEVQAIIGPRSSMQANFVISLGNKSQVPIISFSAASPSLTSLKSPYFFRAAQSGSFQVNAIRDIVEEFGWREVVPIYIDNLFGETLIPYLTAALQEINIRVPYLSVIPESADDDLITKELYKLMNNQTRVFIVHATIPLGSRILVKAEEIGMMSEGYVWIMTDSMTTLWRSINSSAINALQGILGVKSYVPKSKELENFTVRWKRKFQSDNPNVNAEMNIIGLWAYDATFAVARAVENAGTANLRFNRTNISGSGATDLETLGVSQNGPRLIKELSKINFTGLSGDFHFVNGQLESSVFQIVNVNGNGERRVGFWTPQSGLVKDWKSTNTSAKSSSKPKLGPIIWPGDTNSVPKGWKIPVEGKKLKIGVPVKDGFTEFVKVTWDSNSKKAKSIGGYCIDVFDAVMLKMPYPVPYEYVPFATPEGNAAGSYNDLVDQVFYGIYDGVVGDITIVANRSLYVDYTLPYTESGVSMLVPVRDNNKKNAWVFLQPLTSDLWVTSGCFFVFIGFVVWVLEHRINEDFRGPPAHQVGTSFWFSFSTMVFAHREKVVSNLSRFVVIIWCFVVLVLTQSYTASLTSLLTVEQLQPTVTDINQLLKRRESVGFLSASFVKDMLLEMKFDESHIKKYGTLEELHDLFTEGSAKGGISAALDEIPYIKLFLSKYCGKYTTVKPTFKADGFGFVFPKGSPLAADVSRAILNVTQGDEMQKIHNKWFNNATCPDFDPTVSSNSLGLESFWGLFLIAGVASISALIIFAAMFLYEQKHVLFQFYPENSVWRKVRTMFRIFDQKDLSSHTFKKTEPRDASCNCSVHSIGAYGSSTNTNCPPSPSVGSNQIDPSDLVFLVEQGSVTDSPDIPPSPERSSIELADYSHGQ >KJB08357 pep chromosome:Graimondii2_0_v6:1:8127115:8130476:1 gene:B456_001G078500 transcript:KJB08357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGFSGFLPTAPTKPTCRSNDTLESIVHQATYHNQDETPANTSSIAASSGGNRSESSSRLPPVSVAARLTKKRAQPTSDSDQCRKHNISCGIQKDKADRSECGCDTFYKIDNDATMVTWGSHDESLQSLKTKTTDGDSGCHDGSESRDETGRSHPTRRSRAAATHNLSERKRRDRINQKMKALQKLVPNASKTDKASMLDEVIEYLKQLQAQVQVMSMRSIPPMMMMPLGLQHHQHLQMSLLGRIMAGMGVNHALGMGMGLVDINAATPPNASQSLPPLLHLPPPFLATALPPMIPSRATATAAAQSNPNASSSDSIPLPDPSCAFLTQSMNMELYSKMAALYQAQMNRTTETASSPSRSNNIKQD >KJB08356 pep chromosome:Graimondii2_0_v6:1:8127115:8130476:1 gene:B456_001G078500 transcript:KJB08356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHCIVPNWNLKNQRRRRQQVEDEEETKRSSFHMFNPCNNINQQLVPVSNHQVAELTWQYGQQLAMHGFSGFLPTAPTKPTCRSNDTLESIVHQATYHNQDETPANTSSIAASSGGNRSESSSRLPPVSVAARLTKKRAQPTSDSDQCRKHNISCGIQKDKADRSECGCDTFYKIDNDATMVTWGSHDESLQSLKTKTTDGDSGCHDGSESRDETGRSHPTRRSRAAATHNLSERKRRDRINQKMKALQKLVPNASKTDKASMLDEVIEYLKQLQAQVQVMSMRSIPPMMMMPLGLQHHQHLQMSLLGRIMAGMGVNHALGMGMGLVDINAATPPNASQSLPPLLHLPPPFLATALPPMIPSRATATAAAQSNPNASSSDSIPLPDPSCAFLTQSMNMELYSKMAALYQAQMNRTTETASSPSRSNNIKQD >KJB06438 pep chromosome:Graimondii2_0_v6:1:22958957:22959982:1 gene:B456_001G159300 transcript:KJB06438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVGYMEVFLPKLFVYTLSFLGFIRNLIVSLSDCLGLSDFLDTDAVWPENPTRATTDNLPVSALLIREILPVIKFKELVVIGDPPESCAVCLYEFEGGEDIRWLRNCRHVFHRACLDRWMDHDQKTCPLCRTSFVPDELQDEFNQRLWAASGVNDFYSDYSSVPGL >KJB11067 pep chromosome:Graimondii2_0_v6:1:47819407:47822584:-1 gene:B456_001G239300 transcript:KJB11067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGTAPPRGSAAAAASMRRRRTTSGAASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAVLHVMGKLYFVRREA >KJB06546 pep chromosome:Graimondii2_0_v6:1:9053969:9054277:-1 gene:B456_001G085200 transcript:KJB06546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILVIKSKSVDAITCEEALTRLMPGQPFLTSEAFLPISPCCLAVANINAGSTTTSICRSLCRRFQQAASGLGVSPDKAKELSQLCGVSTTVAIDPTINCDS >KJB07733 pep chromosome:Graimondii2_0_v6:1:3840029:3842988:-1 gene:B456_001G041700 transcript:KJB07733 gene_biotype:protein_coding transcript_biotype:protein_coding description:FLX4 [Source:Projected from Arabidopsis thaliana (AT5G61920) UniProtKB/TrEMBL;Acc:A0A178USJ6] MDSRKIRSAYEGRSIQAPSAIRHGSLAGSGPPAARGALEPLLRPELLENKIASQAAEIEQLARDNHRLTASHVTLREDVVAARHEAQKLKEHIRSIQNESDIQIRVLQEKIAKMEPDIRVGESVKKELQQALIEAQNLVKVRQELIAQIQQASQELVKTRSDVKCLPELHAELEDLRKEHHRLRVTFQHEKESNIEQVEQMQAMEKNLIRMAKEVEKLRAEVLSAEKKMHGMVPYAGGYMNPDPSYAPPFQGGTTYSDGYSRPVMQTGLGPVEGLIPYGNSTNVPAAIAATGSQTVPSSVWGAPYDPSLAQR >KJB07734 pep chromosome:Graimondii2_0_v6:1:3840061:3842835:-1 gene:B456_001G041700 transcript:KJB07734 gene_biotype:protein_coding transcript_biotype:protein_coding description:FLX4 [Source:Projected from Arabidopsis thaliana (AT5G61920) UniProtKB/TrEMBL;Acc:A0A178USJ6] MDSRKIRSAYEGRSIQAPSAIRHGSLAGSGPPAARGALEPLLRPELLENKIASQAAEIEQLARDNHRLTASHVTLREDVVAARHEAQKLKEHIRSIQNESDIQIRVLQEKIAKMEPDIRVGESVKKELQQALIEAQNLVKVRQELIAQIQQASQELVKTRSDVKCLPELHAELEDLRKEHHRLRVTFQHEKESNIEQVEQMQAMEKNLIRMAKEVEKLRAEVLSAEKKMHGNFLFLYKWYLAPNLVASFLFLPPFPSANTCTDIVFFNTNRNGTICWWLHES >KJB08691 pep chromosome:Graimondii2_0_v6:1:10997452:10999450:-1 gene:B456_001G097900 transcript:KJB08691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSRVSMISCFALLLCSSLAYGASSPPAPMAMSPSPTPTPAPAPAPEYVNLTYLLSVAGPFHTFLNYLESTKVLDTFQNQANNTDQGITIFVPKDSAFKALKKPSLSNLTNDQLKSLILFHAMPKFYSLADFNKLSTKGPVSTLAGSQYSLNFTDNSGTVHLDSGWSKTKVSSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPMPPAPAPAPDISPAADAPSAETKGKGSSSKAEPSTSSSHRIMNFGTWNQLLLALFGGWVMFF >KJB08690 pep chromosome:Graimondii2_0_v6:1:10997431:11000003:-1 gene:B456_001G097900 transcript:KJB08690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSRVSMISCFALLLCSSLAYGASSPPAPMAMSPSPTPTPAPAPAPEYVNLTYLLSVAGPFHTFLNYLESTKVLDTFQNQANNTDQGITIFVPKDSAFKALKKPSLSNLTNDQLKSLILFHAMPKFYSLADFNKLSTKGPVSTLAGSQYSLNFTDNSGTVHLDSGWSKTKVSSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPMPPAPAPAPDISPAADAPSAETKGKGSSSKAEPSTSSSHRIMNFGTWNQLLLALFGGWVMFF >KJB08223 pep chromosome:Graimondii2_0_v6:1:7252170:7255971:-1 gene:B456_001G071600 transcript:KJB08223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLKEKSYHLQPDILVKLALSLSRAQMPIPSSTILRLMLEKGMLPPINVLQLLFLHMVKTEVGACIASNLLIQICDNYVQFCSGKSPCANLLKPDTVIFNLVLDACVRFGSPLKGQQIIELMSQTGVVADGHSIIIIAQIHEINGQRDELKKFKDHVAPLPVAFVSHYRQFYECLLSLHFKFDDIDAAAELLLDMNRSRGSHPMDDPGKDSQKPRFVPIGSQNLRNGLKIQIMPELIHKDSALKEEGKSDLVLFRDKKLLPSNRALSKLINGYKRHGKMDELSKFLLGLKKELYSSGESSVICDVIDACISLGWVEIAHDILDDMESSGDSLDSSAYMALLTAYYKRNMSREANVLLKQVRKAGLVINLANNIVLSKNVPSNVGRSPLSIKEASSIYQPSLSKCLVEEVSDAEKAVSHIIYELNSSIYFFSKAKMMGDALNIYRRMQEMKIQPTEHTFMYLVCGYSSLEMYRDITILWGDMKRIMETGSLTLSSDLYEFFLLNFLRGGYFERVMEAIGYMNKCNMYVDKWMYKSEYLKIHKNLYRSLKASKARTEAQGKRLEHVKAFKKWAGIN >KJB08221 pep chromosome:Graimondii2_0_v6:1:7253049:7255175:-1 gene:B456_001G071600 transcript:KJB08221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKLTRKVFVNPCFLSYNCSRFLVRLSSDHDTRFFLSKIFGGLQESGVVCRHLSFSPATSLERLSWEGSSHTVLLTKLENALKDLKLDEAWETFNDFIRLYGFPNHLLVSRFITQLSYSSSPCSLQKAYDLVMMVLKEKSYHLQPDILVKLALSLSRAQMPIPSSTILRLMLEKGMLPPINVLQLLFLHMVKTEVGACIASNLLIQICDNYVQFCSGKSPCANLLKPDTVIFNLVLDACVRFGSPLKGQQIIELMSQTGVVADGHSIIIIAQIHEINGQRDELKKFKDHVAPLPVAFVSHYRQFYECLLSLHFKFDDIDAAAELLLDMNRSRGSHPMDDPGKDSQKPRFVPIGSQNLRNGLKIQIMPELIHKDSALKEEGKSDLVLFRDKKLLPSNRALSKLINGYKRHGKMDELSKFLLGLKKELYSSGESSVICDVIDACISLGWVEIAHDILDDMESSGDSLDSSAYMALLTAYYKRNMSREANVLLKQVRKAGLVINLANNIVLSKNVPSNVGRSPLSIKEASSIYQPSLSKCLVEEVSDAEKAVSHIIYELNSSIYFFSKAKMMGDALNIYRRMQEMKIQPTEHTFMYLVCGYSSLEMYRDITILWGDMKRIMETGSLTLSSDLYEFFLLNFLRGGYFERVMEAIGYMNKCNMYVDKWMYKSEYLKIHKNLYRSLKASKARTEAQGKRLEHVKAFKKWAGIN >KJB08222 pep chromosome:Graimondii2_0_v6:1:7251769:7255175:-1 gene:B456_001G071600 transcript:KJB08222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKLTRKVFVNPCFLSYNCSRFLVRLSSDHDTRFFLSKIFGGLQESGVVCRHLSFSPATSLERLSWEGSSHTVLLTKLENALKDLKLDEAWETFNDFIRLYGFPNHLLVSRFITQLSYSSSPCSLQKAYDLVMMVLKEKSYHLQPDILVKLALSLSRAQMPIPSSTILRLMLEKGMLPPINVLQLLFLHMVKTEVGACIASNLLIQICDNYVQFCSGKSPCANLLKPDTVIFNLVLDACVRFGSPLKGQQIIELMSQTGVVADGHSIIIIAQIHEINGQRDELKKFKDHVAPLPVAFVSHYRQFYECLLSLHFKFDDIDAAAELLLDMNRSRGSHPMDDPGKDSQKPRFVPIGSQNLRNGLKIQIMPELIHKDSALKEEGKSDLVLFRDKKLLPSNRALSKLINGYKRHGKMDELSKFLLGLKKELYSSGESSVICDVIDACISLGWVEIAHDILDDMESSGDSLDSSAYMALLTAYYKRNMSREANVLLKQVRKAGLVINLANNIVLSKNVPSNVGRSPLSIKEASSIYQPSLSKCLVEEVSDAEKAVSHIIYELNSSIYFFSKAKMMGDALNIYRRMQEMKIQPTEHTFMYLVCGYSSLEMYRDITILWGDMKRIMETGSLTLSSDLYEFFLLNFLRGGYFERVMEAIGYMNKCNMYVDKWMYKSEYLKIHKNLYRSLKASKARTEAQGKRLEHVKAFKKWAGIN >KJB11308 pep chromosome:Graimondii2_0_v6:1:52400062:52400469:-1 gene:B456_001G252700 transcript:KJB11308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASQRGKRKMKNEMETGGVRYRGIRRRPWGKFAAEIRDPTRNGTRLWLGTFETVEEAARAYDRAAFAFRGQSAILNFPNEFQCQNPSFPASSSCNSVGRVNPQRGGGSEVIEFEYLDNKLLEDLLEAQQNMHGL >KJB07489 pep chromosome:Graimondii2_0_v6:1:2482829:2489646:-1 gene:B456_001G026800 transcript:KJB07489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVTSSCKEGNKIAMDNGKYVRYTPEQVEALERLYYECPKPSSMRRQQLIREYPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVCEKSYFRQQSQQQAGLATTDTSCDSVVTSGHQHHLTPQHPPRDASPAGLLSIADETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLDPTRVAEILKDRPSWFRDCRAVDVINVLSTGNGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLNNTQNGPNMPPAANFVRAEMLPSGYLIRPCEGGGSIIHVVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQISQEISQPNVTGWGRRPAALRALSQKLSKGFNEAVNGFTDEGWSMLESDGADDITLLVNSSPGKMMGINLSYSNGFPSVGTAVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYSAAAIKAGPCSLPMSRGGGFGGQVILPLAHTIEHEEFMEVIKLENMGHYRDDMIMPGDIFLLQLCSGVDENAVGSCAELIFAPIDASFSDDALIIPSGFRIIPLDSGTDASSPSRTLDLASTLEVGTAGNQTTGDRSGRCGNSKSVMTIAFQFVYEIHLQENIAAMARQYVRSIIASVQRVALALSPSNFGSHAGFQSPPGSPEAQTLARWICQSYRCFLRMELLKHEGTESILKSLWHHTDAILCCSMKAPPVFMFGNQAGLDMLETTLVALQNVSLEKIFDENGRKALFAEFPQVMQQGFMCLQGGICLSSMGRAVSYERAVAWKVVNDEENAHCVCFMFINWSFV >KJB08058 pep chromosome:Graimondii2_0_v6:1:6090824:6097894:-1 gene:B456_001G061200 transcript:KJB08058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALASGFDFKEIQDKVSLHLRPWHRSFQFWVRAADIYTGYKVFQLRVSFVKDPQKQQAMWDKQHELAADKIYAMCYDLGGFFLKIAQIIGKPDLAPAAWVKRLVTLCDQAPATPFDAVQLVLEKEFGRSIGEIFENFDVNPLGSASIAQVHRARLRGDKNDVVVKVQHPGIQDLMMTDIRNLQAFALYIQKTDIKFDLFSVTKEMEKQIGYEFDFLREVNAMERIRRFLYENNKKTPVLVPRVLQGLATRRVLVMDYIDGVPILTLGDEMAKRGINPGGKMATSAKQNILKSLTLAYGQMILKTGFFHADPHPGNILICKGSEVALLDYGQVKDLPDQLRLGFADLVLAMADSNPVKATESYRELGIDTVSNCKNEQQELLRLAQTMFDTKLPPGVVMLQPFSEDASIKKIGVQSFPEELFSVLRTVHLLRGLSVGLGINYSCAEQWRPIAEEALYNAGRLKGANRTKVSNLGRFFRRY >KJB08059 pep chromosome:Graimondii2_0_v6:1:6089012:6097994:-1 gene:B456_001G061200 transcript:KJB08059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALASGFDFKEIQDKVSLHLRPWHRSFQFWVRAADIYTGYKVFQLRVSFVKDPQKQQAMWDKQHELAADKIYAMCYDLGGFFLKIAQIIGKPDLAPAAWVKRLVTLCDQAPATPFDAVQLVLEKEFGRSIGEIFENFDVNPLGSASIAQVHRARLRGDKNDVVVKVQHPGIQDLMMTDIRNLQAFALYIQKTDIKFDLFSVTKEMEKQIGYEFDFLREVNAMERIRRFLYENNKKTPVLVPRVLQGLATRRVLVMDYIDGVPILTLGDEMAKRGINPGGKMATSAKQNILKSLTLAYGQMILKTGFFHADPHPGNILICKGSEVALLDYGQVKDLPDQLRLGFADLVLAMADSNPVKATESYRELGIDTVSNCKNEQQELLRLAQTMFDTKLPPGVVMLQPFSEDASIKKIGVQSFPEELFSVLRTVHLLRGLSVGLGINYSCAEQWRPIAEEALYNAGRLKGANRTKVSNLGRFFRRY >KJB08061 pep chromosome:Graimondii2_0_v6:1:6090824:6097894:-1 gene:B456_001G061200 transcript:KJB08061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALASGFDFKEIQDKVSLHLRPWHRSFQFWVRAADIYTGYKVFQLRVSFVKDPQKQQAMWDKQHELAADKIYAMCYDLGGFFLKIAQIIGKPDLAPAAWVKRLVTLCDQAPATPFDAVQLVLEKEFGRSIGEIFENFDVNPLGSASIAQVHRARLRGDKNDVVVKVQHPGIQDLMMTDIRNLQAFALYIQKTDIKFDLFSVTKEMEKQIGYEFDFLREVNAMERIRRFLYENNKKTPVLVPRVLQGLATRRVLVMDYIDGVPILTLGDEMAKRGINPGGKMATSAKQNILKSLTLAYGQMILKTGFFHADPHPGNILICKGSEVALLDYGQVKDLPDQLRLGFADLVLAMADSNPVKATESYRELGIDTVSNCKNEQQELLRLAQTMFDTKLPPGVVMLQPFSEDASIKKIGVQSFPEELFSVLRTVHLLRGLSVGLGINYSCAEQWRPIAEEALYNAGRLKGANRTKVSNLGRFFRRY >KJB08060 pep chromosome:Graimondii2_0_v6:1:6090324:6097994:-1 gene:B456_001G061200 transcript:KJB08060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALASGFDFKEIQDKVSLHLRPWHRSFQFWVRAADIYTGYKVFQLRVSFVKDPQKQQAMWDKQHELAADKIYAMCYDLGGFFLKIIGKPDLAPAAWVKRLVTLCDQAPATPFDAVQLVLEKEFGRSIGEIFENFDVNPLGSASIAQVHRARLRGDKNDVVVKVQHPGIQDLMMTDIRNLQAFALYIQKTDIKFDLFSVTKEMEKQIGYEFDFLREVNAMERIRRFLYENNKKTPVLVPRVLQGLATRRVLVMDYIDGVPILTLGDEMAKRGINPGGKMATSAKQNILKSLTLAYGQMILKTGFFHADPHPGNILICKGSEVALLDYGQVKDLPDQLRLGFADLVLAMADSNPVKATESYRELGIDTVSNCKNEQQELLRLAQTMFDTKLPPGVVMLQPFSEDASIKKIGVQSFPEELFSVLRTVHLLRGLSVGLGINYSCAEQWRPIAEEALYNAGRLKGANRTKVSNLGRFFRRY >KJB08057 pep chromosome:Graimondii2_0_v6:1:6090300:6097994:-1 gene:B456_001G061200 transcript:KJB08057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALASGFDFKEIQDKVSLHLRPWHRSFQFWVRAADIYTGYKVFQLRVSFVKDPQKQQAMWDKQHELAADKIYAMCYDLGGFFLKIAQIIGKPDLAPAAWVKRLVTLCDQAPATPFDAVQLVLEKEFGRSIGEIFENFDVNPLGSASIAQVHRARLRGDKNDVVVKVQHPGIQDLMMTDIRNLQAFALYIQKTDIKFDLFSVTKEMEKQIGYEFDFLREVNAMERIRRFLYENNKKTPVLVPRVLQGLATRRVLVMDYIDGVPILTLGDEMAKRGINPGGKMATSAKQNILKSLTLAYGQMILKTGFFHADPHPGNILICKGSEVALLDYGQVKDLPDQLRLGFADLVLAMADSNPVKATESYRELGIDTVSNCKNEQQELLRLAQTMFDTKLPPGVVMLQPFSEDASIKKIGVQSFPEELFSVLRTVHLLRGLSVGLGINYSCAEQWRPIAEEALYNAGRLKGANRTKVSNLGRFFRRY >KJB10001 pep chromosome:Graimondii2_0_v6:1:27452652:27459320:1 gene:B456_001G179600 transcript:KJB10001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSIKMDIDAVEDVTCLDPELLQLPDVSPFALKASPQLVEDLFSQWLSLPGTGHLVKSLIDDAKSGTIVNASTNFSTLNAVGSHSLPSMFPSSNAPPLSPRSSSGSPRTSRQKSSPSALGSPLKLVSEPMQEIIPQFYFQNGCPPTKELKEQCLSQINHLFNNPLNGLQIDEFKAVTKEVCKLPSFLSSALFRKIDVDWTGIVTRDAFVKYWVDGNMLTMDIATQIFEILKRPGCKYLTQVDFKPVLRELLTTHPGLEFLRNTPEFQDRYAETVIYRIFYHINRSGNGRLTLRELKRGSLVAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDRENLIRYGNHALTYRIVDRIFSQAPRKFTSEVEGKMGYEDFVYFMLSEEDKSSQPSLEYWFKCIDLDGNGVLTPNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIAPEREYCITLQDLKRCKLSGNVFNILFNLNKFVAFESRDPFLIRQEREEPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >KJB10000 pep chromosome:Graimondii2_0_v6:1:27452759:27459298:1 gene:B456_001G179600 transcript:KJB10000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSIKMDIDAVEDVTCLDPELLQLPDVSPFALKASPQLVEDLFSQWLSLPGTGHLVKSLIDDAKSGTIVNASTNFSTLNAVGSHSLPSMFPSSNAPPLSPRSSSGSPRTSRQKSSPSALGSPLKLVSEPMQEIIPQFYFQNGCPPTKELKEQCLSQINHLFNNPLNGLQIDEFKAVTKEVCKLPSFLSSALFRKIDVDWTGIVTRDAFVKYWVDGNMLTMDIATQIFEILKRPGCKYLTQVDFKPVLRELLTTHPGLEFLRNTPEFQDRYAETVIYRIFYHINRSGNGRLTLRELKRGSLVAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDRENLIRYGNHALTYRIVDRIFSQAPRKFTSEVEGKMGYEDFVYFMLSEEDKSSQPSLEYWFKCIDLDGNGVLTPNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIAPEREYCITLQDLKRCKLSGNVFNILFNLNKFVAFESRDPFLIRQEREEPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >KJB09998 pep chromosome:Graimondii2_0_v6:1:27452759:27459298:1 gene:B456_001G179600 transcript:KJB09998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSIKMDIDAVEDVTCLDPELLQLPDVSPFALKASPQLVEDLFSQWLSLPGTGHLVKSLIDDAKSGTIVNASTNFSTLNAVGSHSLPSMFPSSNAPPLSPRSSSGSPRTSRQKSSPSALGSPLKLVSEPMQEIIPQFYFQNGCPPTKELKEQCLSQINHLFNNPLNGLQIDEFKAVTKEVCKLPSFLSSALFRKIDVDWTGIVTRDAFVKYWVDGNMLTMDIATQIFEILKRPGCKYLTQVDFKPVLRELLTTHPGLEFLRNTPEFQDRYAETVIYRIFYHINRSGNGRLTLRELKRGSLVAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDRENLIRYGNHALTYRIVDRIFSQAPRKFTSEVEGKMGYEDFVYFMLSEEDKSSQPSLEYWFKCIDLDGNGVLTPNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIAPEREYCITLQDLKRCKLSGNVFNILFNLNKFVAFESRDPFLIRQEREEPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >KJB09999 pep chromosome:Graimondii2_0_v6:1:27452657:27459320:1 gene:B456_001G179600 transcript:KJB09999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSIKMDIDAVEDVTCLDPELLQLPDVSPFALKASPQLVEDLFSQWLSLPGTGHLVKSLIDDAKSGTIVNASTNFSTLNAVGSHSLPSMFPSSNAPPLSPRSSSGSPRTSRQKSSPSALGSPLKLVSEPMQEIIPQFYFQNGCPPTKELKEQCLSQINHLFNNPLNGLQIDEFKAVTKEVCKLPSFLSSALFRKIDVDWTGIVTRDAFVKYWVDGNMLTMDIATQIFEILKRPGCKYLTQVDFKPVLRELLTTHPGLEFLRNTPEFQDRYAETVIYRIFYHINRSGNGRLTLRELKRGSLVAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDRENLIRYGNHALTYRIVDRIFSQAPRKFTSEVEGKMGYEDFVYFMLSEEDKSSQPSLEYWFKCIDLDGNGVLTPNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIAPEREYCITLQDLKRCKLSGNVFNILFNLNKFVAFESRDPFLIRQEREEPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >KJB10002 pep chromosome:Graimondii2_0_v6:1:27452759:27459298:1 gene:B456_001G179600 transcript:KJB10002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSIKMDIDAVEDVTCLDPELLQLPDVSPFALKASPQLVEDLFSQWLSLPGTGHLVKSLIDDAKSGTIVNASTNFSTLNAVGSHSLPSMFPSSNAPPLSPRSSSGSPRTSRQKSSPSALGSPLKLVSEPMQEIIPQFYFQNGCPPTKELKEQCLSQINHLFNNPLNGLQIDEFKAVTKEVCKLPSFLSSALFRKIDVDWTGIVTRDAFVKYWVDGNMLTMDIATQIFEILKRPGCKYLTQVDFKPVLRELLTTHPGLEFLRNTPEFQDRYAETVIYRIFYHINRSGNGRLTLRELKRGSLVAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDRENLIRYGNHALTYRIVDRIFSQAPRKFTSEVEGKMGYEDFVYFMLSEEDKSSQPSLEYWFKCIDLDGNGVLTPNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIAPEVKATFFLLTVISFYLLVSYDFDLTHDNLQREYCITLQDLKRCKLSGNVFNILFNLNKFVAFESRDPFLIRQVSDCTVRYWVLNGCYSSAMTIVCWHFPPFCLSRNARNQL >KJB06164 pep chromosome:Graimondii2_0_v6:1:12104607:12105007:-1 gene:B456_001G107100 transcript:KJB06164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IETLQIKPEDWHSIVVILYVYGYNYLRSQSAYDVALGRLLASVYHLTRIEYGVDQPEEVCIKVFAPRNFQERKSYDMLGISNENHPRLKRILMPESWIRWPLRKDYISPNFYKIQDAH >KJB10431 pep chromosome:Graimondii2_0_v6:1:42907708:42909431:1 gene:B456_001G214500 transcript:KJB10431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRPHCLSFLYAVLSISASILFTHSDARLMVHIWKKHQGSNLHEKLKTTDRIGFDGDPYTLDSPFYLPHSDSLSPLLPQPDHSPPFTPQSPSNPLPPPASYGLPTPPPPSNIIHSPPTPPPPSNIIHSPPANPPEAVSPDHGFNPPSIFSSPPQHQPNPPKNVSTPPKQVPGQPSPEPPVLNPPPRSTPHKGSRSGTWCVAKPTVPDSLIQAAMDYACGSGADCKSIQPNQACFQPNTMISHASYAFNSYWQNKKGSGGTCDFGGTAMLVTVDPSFGKCQFRYN >KJB08109 pep chromosome:Graimondii2_0_v6:1:6527356:6530351:-1 gene:B456_001G065400 transcript:KJB08109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLQKQFYPLCIIFQMTMNTTSPKVQLLYDLCKTTFSNSGLSSSASSQSIQILCSLLDTFTPADVGLKEENPIDDEGHGRVARCTQPITYLHIHECDGFTYLPFQAFYASFDRVHKMCIFCFPTSSVIPLHDHPEMTVFSKVLYGSLHVKSYDWIEPTCIRESTEPGCPQVRLARLVMDKVFTAPCRTSVLYPKSGGNLHCFTAVSPCAVLDVLAPPYREDLGRKCTYYIDYPFSTSGNGAQISNGKEEDYAWLAETETPDDLYMQPGVYVGPPIEV >KJB08110 pep chromosome:Graimondii2_0_v6:1:6527106:6530460:-1 gene:B456_001G065400 transcript:KJB08110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLQKQFYPLCIIFQMTMNTTSPKVQLLYDLCKTTFSNSGLSSSASSQSIQILCSLLDTFTPADVGLKEENPIDDEGHGRVARCTQPITYLHIHECDGFTMCIFCFPTSSVIPLHDHPEMTVFSKVLYGSLHVKSYDWIEPTCIRESTEPGCPQVRLARLVMDKVFTAPCRTSVLYPKSGGNLHCFTAVSPCAVLDVLAPPYREDLGRKCTYYIDYPFSTSGNGAQISNGKEEDYAWLAETETPDDLYMQPGVYVGPPIEV >KJB06657 pep chromosome:Graimondii2_0_v6:1:719327:722037:1 gene:B456_001G007900 transcript:KJB06657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTPNAGSSFRSTSLQSDHTVSKSNSLMVVKQGKKKMRCEMPPKTVTTIDEMNHILLRHRRSSRAMRPRRSSIRDQEIFAAKSRIENGPVITNDQDLYAPVFRNVSLFKRSYELMERILKVYVYKDGKKPIFHLPILKGLYASEGWFMKLMQGNKHFVVKDPRRAHLFYMPFSSRMLEYTLYVRNSHNRTNLRQFLKDYTETISAKYPYFNRTDGADHFLVACHDWAPYETRHHMEHCIKALCNADVTAGFKIGRDVSLPETYVRSARNPLRDLGGKPASQRHILAFYAGSMHGYLRPILLKHWKDKDADMRILGPMPPGVASKMNYIQHMKSTKYCICPKGYEVNSPRVVESIFYECVPVIISDNFVPPFFEVLDWGAFSIILAEKDIPNLKDILTSIPQERYLALQLGVQKVQRHFLWHAKPERYDLFHMTLHSIWYNRVYQIKLR >KJB06656 pep chromosome:Graimondii2_0_v6:1:719327:722037:1 gene:B456_001G007900 transcript:KJB06656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLNGFKNPFRSEYKRWVFLVGLVAISHLLFQSFLLPYGNALRSLLLPGIDASSEGNYGNVVSRVRSGSKSVVVRNTLTINGSDFSTRDVILFNGGNGGGDVGISSRKIGDWVEKGVSKVIFDGNVDDDYASENGEDLNENAVLEEVIRDQDNYVNATSRIEQVAKHGREVSTGELRDVNTTSQYPALSSMANPTPNAGSSFRSTSLQSDHTVSKSNSLMVVKQGKKKMRCEMPPKTVTTIDEMNHILLRHRRSSRAMRPRRSSIRDQEIFAAKSRIENGPVITNDQDLYAPVFRNVSLFKRSYELMERILKVYVYKDGKKPIFHLPILKGLYASEGWFMKLMQGNKHFVVKDPRRAHLFYMPFSSRMLEYTLYVRNSHNRTNLRQFLKDYTETISAKYPYFNRTDGADHFLVACHDWAPYETRHHMEHCIKALCNADVTAGFKIGRDVSLPETYVRSARNPLRDLGGKPASQRHILAFYAGSMHGYLRPILLKHWKDKDADMRILGPMPPGVASKMNYIQHMKSTKYCICPKGYEVNSPRVVESIFYECVPVIISDNFVPPFFEVLDWGAFSIILAEKDIPNLKDILTSIPQERYLALQLGVQKVQRHFLWHAKPERYDLFHMTLHSIWYNRVYQIKLR >KJB11057 pep chromosome:Graimondii2_0_v6:1:47687157:47689703:-1 gene:B456_001G238700 transcript:KJB11057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELRLVGEYGLRCKRELWRVQYALSRIRNAARDLLTLDEKNPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQRAAAKKAAGRDGDEEEDE >KJB11058 pep chromosome:Graimondii2_0_v6:1:47687497:47689676:-1 gene:B456_001G238700 transcript:KJB11058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELRLVGEYGLRCKRELWRVQYALSRIRNAARDLLTLDEKNPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQRAAAKKAAGRDGDEEEDE >KJB11702 pep chromosome:Graimondii2_0_v6:1:54985119:54987480:1 gene:B456_001G273000 transcript:KJB11702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNFILTVAGVSAVVLLLRSDVKQSAAIFRRNVKHIRNWLEEESSAASKAAEKAKPKELESKVPPKKD >KJB10095 pep chromosome:Graimondii2_0_v6:1:28632369:28637133:1 gene:B456_001G183400 transcript:KJB10095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVPDFEMEDDDSIPSTLTRSKKPSMPEDEIMELLWQNGQVVMQSQNQRSIKKSPPFKFQGADPSATKEIWSSSSHHHHQQQQQQQQQSLTDHHLFMQEDEMASWLHYPLSDASFDHDFCADLLYPSSAAPCLTSTTATTSAPPPLGKVTQVSASAVASASRPPIPPSRRNELESTRIQNFVHFSRHKAVRVEQFKQSNSKSVVRELTVVDSSDTPAMAPESGASQAMPCNTEAASGENDNINCANMSVAAGANTQSAGVSGSACKDNLAAYEVTVTSSPGGSGASAEPTAQKAAAAEDRKRKGRELEDTEYHSEDVESESADTKKQTRGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGVQQYMPTMGMGIGMGMGMDIGRPTMPFTNVLAGSPLPTPAAAAHLGPRFPMPPFHMPPPALAPAPDPSRIQPNNQSDTMLNRLGVQNPNQPCVPNFADPYQQYNIGLHPMQLSPPLVVFSMSFSKLWLHIYHVKY >KJB10091 pep chromosome:Graimondii2_0_v6:1:28631859:28633648:1 gene:B456_001G183400 transcript:KJB10091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVPDFEMEDDDSIPSTLTRSKKPSMPEDEIMELLWQNGQVVMQSQNQRSIKKSPPFKFQGADPSATKEIWSSSSHHHHQQQQQQQQQSLTDHHLFMQEDEMASWLHYPLSDASFDHDFCADLLYPSSAAPCLTSTTATTSAPPPLGKVTQVSASAVASASRPPIPPSRRNELESTRIQNFVHFSRHKAVRVEQFKQSNSKSVVRELTVVDSSDTPAMAPESGASQAMPCNTEAASGENDNINCANMSVAAGANTQSAGVSGSACKDNLAAYEVTVTSSPGGSGASAEPTAQKAAAAEDRKRKGRELEDTEYHSEVSSFELKVFCSVSANVRQGLTSFNMSTLLFVAGALEHTVFFKAEHCIKLSIQR >KJB10092 pep chromosome:Graimondii2_0_v6:1:28631959:28637174:1 gene:B456_001G183400 transcript:KJB10092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVPDFEMEDDDSIPSTLTRSKKPSMPEDEIMELLWQNGQVVMQSQNQRSIKKSPPFKFQGADPSATKEIWSSSSHHHHQQQQQQQQQSLTDHHLFMQEDEMASWLHYPLSDASFDHDFCADLLYPSSAAPCLTSTTATTSAPPPLGKVTQVSASAVASASRPPIPPSRRNELESTRIQNFVHFSRHKAVRVEQFKQSNSKSVVRELTVVDSSDTPAMAPESGASQAMPCNTEAASGENDNINCANMSVAAGANTQSAGVSGSACKDNLAAYEVTVTSSPGGSGASAEPTAQKAAAAEDRKRKGRELEDTEYHSEDVESESADTKKQTRGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGVQQYMPTMGMGIGMGMGMDIGRPTMPFTNVLAGSPLPTPAAAAHLGPRFPMPPFHMPPPALAPAPDPSRIQPNNQSDTMLNRLGVQNPNQPCVPNFADPYQQYNIGLHPMQLSPPLNQAMAQPSSSKPSTSKGADNLENHPSGDMTR >KJB10096 pep chromosome:Graimondii2_0_v6:1:28632369:28636947:1 gene:B456_001G183400 transcript:KJB10096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVPDFEMEDDDSIPSTLTRSKKPSMPEDEIMELLWQNGQVVMQSQNQRSIKKSPPFKFQGADPSATKEIWSSSSHHHHQQQQQQQQQSLTDHHLFMQEDEMASWLHYPLSDASFDHDFCADLLYPSSAAPCLTSTTATTSAPPPLGKVTQVSASAVASASRPPIPPSRRNELESTRIQNFVHFSRHKAVRVEQFKQSNSKSVVRELTVVDSSDTPAMAPESGASQAMPCNTEAASGENDNINCANMSVAAGANTQSAGVSGSACKDNLAAYEVTVTSSPGGSGASAEPTAQKAAAAEDRKRKGRELEDTEYHSEDVESESADTKKQTRGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGVQQYMPTMGMGIGMGMGMDIGRPTMPFTNVLAGSPLPTPAAAAHLGPRFPMPPFHMPPPALAPAPDPSRIQPNNQSDTMLNRLGVQNPNQPCVPNFADPYQQYNIGLHPMQLSPPLNQAMAQPSSSKPSTSKGADNLENHPSGRSIVVCFTINRRWLLTKVYT >KJB10093 pep chromosome:Graimondii2_0_v6:1:28631970:28637162:1 gene:B456_001G183400 transcript:KJB10093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLWQNGQVVMQSQNQRSIKKSPPFKFQGADPSATKEIWSSSSHHHHQQQQQQQQQSLTDHHLFMQEDEMASWLHYPLSDASFDHDFCADLLYPSSAAPCLTSTTATTSAPPPLGKVTQVSASAVASASRPPIPPSRRNELESTRIQNFVHFSRHKAVRVEQFKQSNSKSVVRELTVVDSSDTPAMAPESGASQAMPCNTEAASGENDNINCANMSVAAGANTQSAGVSGSACKDNLAAYEVTVTSSPGGSGASAEPTAQKAAAAEDRKRKGRELEDTEYHSEDVESESADTKKQTRGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGVQQYMPTMGMGIGMGMGMDIGRPTMPFTNVLAGSPLPTPAAAAHLGPRFPMPPFHMPPPALAPAPDPSRIQPNNQSDTMLNRLGVQNPNQPCVPNFADPYQQYNIGLHPMQLSPPLNQAMAQPSSSKPSTSKGADNLENHPSGDMTR >KJB10097 pep chromosome:Graimondii2_0_v6:1:28632369:28634903:1 gene:B456_001G183400 transcript:KJB10097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVPDFEMEDDDSIPSTLTRSKKPSMPEDEIMELLWQNGQVVMQSQNQRSIKKSPPFKFQGADPSATKEIWSSSSHHHHQQQQQQQQQSLTDHHLFMQEDEMASWLHYPLSDASFDHDFCADLLYPSSAAPCLTSTTATTSAPPPLGKVTQVSASAVASASRPPIPPSRRNELESTRIQNFVHFSRHKAVRVEQFKQSNSKSVVRELTVVDSSDTPAMAPESGASQAMPCNTEAASGENDNINCANMSVAAGANTQSAGVSGSACKDNLAAYEVTVTSSPGGSGASAEPTAQKAAAAEDRKRKGRELEDTEYHSEDVESESADTKKQTRGSTSTKRSRAAEVHNLSERVSVV >KJB10094 pep chromosome:Graimondii2_0_v6:1:28632369:28635245:1 gene:B456_001G183400 transcript:KJB10094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVPDFEMEDDDSIPSTLTRSKKPSMPEDEIMELLWQNGQVVMQSQNQRSIKKSPPFKFQGADPSATKEIWSSSSHHHHQQQQQQQQQSLTDHHLFMQEDEMASWLHYPLSDASFDHDFCADLLYPSSAAPCLTSTTATTSAPPPLGKVTQVSASAVASASRPPIPPSRRNELESTRIQNFVHFSRHKAVRVEQFKQSNSKSVVRELTVVDSSDTPAMAPESGASQAMPCNTEAASGENDNINCANMSVAAGANTQSAGVSGSACKDNLAAYEVTVTSSPGGSGASAEPTAQKAAAAEDRKRKGRELEDTEYHSEDVESESADTKKQTRGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQVCLCLYLMRYM >KJB08800 pep chromosome:Graimondii2_0_v6:1:11727431:11728741:-1 gene:B456_001G104700 transcript:KJB08800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSSDRVQLKIWNRPCPSPVFLPWWISLSFNKSLKSWITNWWDTRQSKIFLNDIQEKSILEQFIEVGEFFLLDEMIKEYSKTHLQKLRIGIQKETIQLIKLYNEDHIHTILHFSTNLICFIILSGYSILENKHLLILNSWVQEFLYNLIDTN >KJB08856 pep chromosome:Graimondii2_0_v6:1:12405706:12406546:1 gene:B456_001G108400 transcript:KJB08856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWPQLLRALTWTVVLTLMVSIASFVPEMAFVSAVSPSSSFSRSCNSEGFVRIPLDFPREKLCLPAHTVKRSKIDFFVPTIFAALVVAASACVVRSLGLWETETG >KJB09408 pep chromosome:Graimondii2_0_v6:1:18663039:18663359:1 gene:B456_001G139800 transcript:KJB09408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRLAWWNGQRRVILELENIDIVNMLTSSSKVGEHNLGQEVCTYMKKVWEVIIQHVYREGNKLANGLALMAWSQSLQCFVFYFPPNAVFDLLYADAHGSVTLRIVFA >KJB06443 pep chromosome:Graimondii2_0_v6:1:19897431:19898190:-1 gene:B456_001G146300 transcript:KJB06443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSAISFISSPQSLSLTHVFPTKTNPIFNCRVPPLKPPSFIRIPYGSFKKPSFFTSSKPFSPLMKWQDCTVKMEIDVPASVAYQLYSDREAIPNWMPFVSSVKVLEDKPDLSRWFVKYKAFGRNIEYSWLAKNMEPIPNQKIHWRSLEGLGNRQAQKNPVTQFVIHSIL >KJB06444 pep chromosome:Graimondii2_0_v6:1:19897247:19898190:-1 gene:B456_001G146300 transcript:KJB06444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSAISFISSPQSLSLTHVFPTKTNPIFNCRVPPLKPPSFIRIPYGSFKKPSFFTSSKPFSPLMKWQDCTVKMEIDVPASVAYQLYSDREAIPNWMPFVSSVKVLEDKPDLSRWFVKYKAFGRNIEYSWLAKNMEPIPNQKIHWRSLEGLGNRGAVRFYPKGPSSCLVDVRQLYTIFSSHYEHI >KJB06445 pep chromosome:Graimondii2_0_v6:1:19896930:19898190:-1 gene:B456_001G146300 transcript:KJB06445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSAISFISSPQSLSLTHVFPTKTNPIFNCRVPPLKPPSFIRIPYGSFKKPSFFTSSKPFSPLMKWQDCTVKMEIDVPASVAYQLYSDREAIPNWMPFVSSVKVLEDKPDLSRWFVKYKAFGRNIEYSWLAKNMEPIPNQKIHWRSLEGLGNRGAVRFYPKGPSSCLVDLRISYEVPQLLIPVASVLQPFMENLLERGMDRFAKFAKSSSSAR >KJB06442 pep chromosome:Graimondii2_0_v6:1:19896654:19898421:-1 gene:B456_001G146300 transcript:KJB06442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSAISFISSPQSLSLTHVFPTKTNPIFNCRVPPLKPPSFIRIPYGSFKKPSFFTSSKPFSPLMKWQDCTVKMEIDVPASVAYQLYSDREAIPNWMPFVSSVKVLEDKPDLSRWFVKYKAFGRNIEYSWLAKNMEPIPNQKIHWRSLEGLGNS >KJB10908 pep chromosome:Graimondii2_0_v6:1:46875860:46878430:1 gene:B456_001G231600 transcript:KJB10908 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPMS3 [Source:Projected from Arabidopsis thaliana (AT1G48140) UniProtKB/TrEMBL;Acc:A0A178WDH1] MKHVLKLFSLLVVISALWIGLLQASVIPQSHTWLLPIYFIVSLGCYGLLMVGVGLMRFPTCPQEALLLQKDIAEAKDFLKQKGVDVGSD >KJB10907 pep chromosome:Graimondii2_0_v6:1:46875829:46878430:1 gene:B456_001G231600 transcript:KJB10907 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPMS3 [Source:Projected from Arabidopsis thaliana (AT1G48140) UniProtKB/TrEMBL;Acc:A0A178WDH1] MKHVLKLFSLLVVISALWIGLLQASVIPQSHTWLLPIYFIVSLGCYGLLMVGVGLMRFPTCPQEALLLQKDIAEAKDFLKQKGVDVGSD >KJB10909 pep chromosome:Graimondii2_0_v6:1:46875662:46878430:1 gene:B456_001G231600 transcript:KJB10909 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPMS3 [Source:Projected from Arabidopsis thaliana (AT1G48140) UniProtKB/TrEMBL;Acc:A0A178WDH1] MKHVLKLFSLLVVISALWIGLLQASVIPQSHTWLLPIYFIVSLGCYGLLMVGVGLMRFPTCPQEALLLQKDIAEAKDFLKQKGVDVGSD >KJB10910 pep chromosome:Graimondii2_0_v6:1:46876541:46878513:1 gene:B456_001G231600 transcript:KJB10910 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPMS3 [Source:Projected from Arabidopsis thaliana (AT1G48140) UniProtKB/TrEMBL;Acc:A0A178WDH1] MKHVLKLFSLLVVISALWIGLLQASVIPQSHTWLLPIYFIVSLGCYGLLMVGVGLMRFPTCPQEALLLQKDIAEAKDFLKQKGVDVGSD >KJB09325 pep chromosome:Graimondii2_0_v6:1:17584886:17593891:1 gene:B456_001G134800 transcript:KJB09325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHLKTQILLCDNGGFFFKVESKDKTLKKSKGFPDQNINIYMSETMGDSGQVQIEEDKVSLDVNKKRAVKTPAQVIVLENFYKEERFPSDEMKAKLAVQLGLTQKQISSWFCHRRLKDKRRDDYYANGQHDYSSGVIQDHVSGLRQDSCGSIKQGDYRYIDLREVESRIIHGQGFPAADLTYEHRSHQYPYGVQMEDPSSESSLSLHDQLFLKSGNPYDMHISANPTQNGAIVQTNLSSKSVGYKPSGYLKVKGQSENPVITAVKRQMGRHYREDGPVLGIQFDPLPPGAFEFPSSHPVNEPINISDSRQPHCLDISGVMKQSKPKIINEVHNTMLSFQDSYMEGANFNTVHGSKRQDWRCHHQPKYKPSFSCSNPFPDKDFPLDIYKGYADKPAISDCKRSWMSSKLVVERMVPDSCSNHPGPYGRKITNEQKIPGLHDAHHIHMDPKAKNLPKTSSVVRACSESLGNERGPFARMEKVENVGGEWKLRKDYPVRVKIDATNELRVAKRVDLEFPQEDFVANASHARLRLLTNPSKGPSMDVPSSFSGDETAETSLSSRIEDAYG >KJB09323 pep chromosome:Graimondii2_0_v6:1:17584886:17593891:1 gene:B456_001G134800 transcript:KJB09323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHLKTQILLCDNGGFFFKVESKDKTLKKSKGFPDQNINIYMSETMGDSGQVQIEEDKVSLDVNKKRAVKTPAQVIVLENFYKEERFPSDEMKAKLAVQLGLTQKQISSWFCHRRLKDKRRDDYYANGQHDYSSGVIQDHVSGLRQDSCGSIKQGDYRYIDLREVESRIIHGQGFPAADLTYEHRSHQYPYGVQMEDPSSESSLSLHDQLFLKSGNPYDMHISANPTQNGAIVQTNLSSKSVGYKPSGYLKVKGQSENPVITAVKRQMGRHYREDGPVLGIQFDPLPPGAFEFPSSHPVNEPINISDSRQPHCLDISGVMKQSKPKIDSYMEGANFNTVHGSKRQDWRCHHQPKYKPSFSCSNPFPDKDFPLDIYKGYADKPAISDCKRSWMSSKLVVERMVPDSCSNHPGPYGRKITNEQKIPGLHDAHHIHMDPKAKNLPKTSSVVRACSESLGNERGPFARMEKVENVGGEWKLRKDYPVRVKIDATNELRVSLSFSWLLLKYAYHMLSFFL >KJB09326 pep chromosome:Graimondii2_0_v6:1:17584886:17592312:1 gene:B456_001G134800 transcript:KJB09326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHLKTQILLCDNGGFFFKVESKDKTLKKSKGFPDQNINIYMSETMGDSGQVQIEEDKVSLDVNKKRAVKTPAQVIVLENFYKEERFPSDEMKAKLAVQLGLTQKQISSWFCHRRLKDKRRDDYYANGQHDYSSGVIQDHVSGLRQDSCGSIKQGDYRYIDLREVESRIIHGQGFPAADLTYEHRSHQYPYGVQMEDPSSESSLSLHDQLFLKSGNPYDMHISANPTQNGAIVQTNLSSKSVGYKPSGYLKVKGQSENPVITAVKRQMGRHYREDGPVLGIQFDPLPPGAFEFPSSHPVNEPINISDSRQPHCLDISGVMKQSKPKIINEVHNTMLSFQDSYMEGANFNTVHGSKRQDWRCHHQPKYKPSFSCSNPFPDKDFPLDIYKGYADKPAISDCKRSWMSSKLVVERMVPDSCSNHPGPYGRKITNEQKIPGLHDAHHIHMDPKAKNLPKTSSVVRACSESLGNERGPFARMEKVWRTLVENGS >KJB09324 pep chromosome:Graimondii2_0_v6:1:17584886:17593891:1 gene:B456_001G134800 transcript:KJB09324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHLKTQILLCDNGGFFFKVESKDKTLKKSKGFPDQNINIYMSETMGDSGQVQIEEDKVSLDVNKKRAVKTPAQVIVLENFYKEERFPSDEMKAKLAVQLGLTQKQISSWFCHRRLKDKRRDDYYANGQHDYSSGVIQDHVSGLRQDSCGSIKQGDYRYIDLREVESRIIHGQGFPAADLTYEHRSHQYPYGVQMEDPSSESSLSLHDQLFLKSGNPYDMHISANPTQNGAIVQTNLSSKSVGYKPSGYLKVKGQSENPVITAVKRQMGRHYREDGPVLGIQFDPLPPGAFEFPSSHPVNEPINISDSRQPHCLDISGVMKQSKPKIDSYMEGANFNTVHGSKRQDWRCHHQPKYKPSFSCSNPFPDKDFPLDIYKGYADKPAISDCKRSWMSSKLVVERMVPDSCSNHPGPYGRKITNEQKIPGLHDAHHIHMDPKAKNLPKTSSVVRACSESLGNERGPFARMEKVENVGGEWKLRKDYPVRVKIDATNELRVAKRVDLEFPQEDFVANASHARLRLLTNPSKGPSMDVPSSFSGDETAETSLSSRIEDAYG >KJB09327 pep chromosome:Graimondii2_0_v6:1:17584886:17593891:1 gene:B456_001G134800 transcript:KJB09327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETMGDSGQVQIEEDKVSLDVNKKRAVKTPAQVIVLENFYKEERFPSDEMKAKLAVQLGLTQKQISSWFCHRRLKDKRRDDYYANGQHDYSSGVIQDHVSGLRQDSCGSIKQGDYRYIDLREVESRIIHGQGFPAADLTYEHRSHQYPYGVQMEDPSSESSLSLHDQLFLKSGNPYDMHISANPTQNGAIVQTNLSSKSVGYKPSGYLKVKGQSENPVITAVKRQMGRHYREDGPVLGIQFDPLPPGAFEFPSSHPVNEPINISDSRQPHCLDISGVMKQSKPKIDSYMEGANFNTVHGSKRQDWRCHHQPKYKPSFSCSNPFPDKDFPLDIYKGYADKPAISDCKRSWMSSKLVVERMVPDSCSNHPGPYGRKITNEQKIPGLHDAHHIHMDPKAKNLPKTSSVVRACSESLGNERGPFARMEKVENVGGEWKLRKDYPVRVKIDATNELRVAKRVDLEFPQEDFVANASHARLRLLTNPSKGPSMDVPSSFSGDETAETSLSSRIEDAYG >KJB07977 pep chromosome:Graimondii2_0_v6:1:5481120:5484564:-1 gene:B456_001G056500 transcript:KJB07977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEFAKLIRRINPPRVIVDNGACGHATFIQVDSVNKHEILLEIVQVLSDLNLNVTKAYISSDAGWFMDVFYVTDNEGKKITDEETLGYIQKTLETEIYILNSMKSSASLIPSKDHTSTTIELTGNDRPGLLSELSAVLADMGCNVINAEIWTHNARAATVIHITDRSTGHAIEDPDRLSTIKELLFNVMKGDSDFKTPSARMFVSTSRETHTDRRLHQMLLADRDFERHNDKFSMEPHVTVLDCSDRDYTVVTIRCLDRPKLLFDTVCCLTDMEYVVFHGTVITGRLEAYQEYYIRHVDGFPISSEAEQQRVMECLEAAIERRTTQGVELEVITEDRFGVLSEITRIIRENGLSIKRAEIRRNGGKAKDRFIVSDAMGNGVVDPKTMEMVQ >KJB08040 pep chromosome:Graimondii2_0_v6:1:6000694:6013602:1 gene:B456_001G060700 transcript:KJB08040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETDPKRLMDPKTGFSHQTGTYSSLRPPLPLPPINQPLSVAEFCLSLLYRTSTDGSTAFVVNEIAGESLSYSQFVSQVRSLAYSLQQRYSLSQNDVAFVVSPPSIHIPVVYFALLSLGIIVSPSNPLSSNSEIAHQIQLSKSVIAFATSKTFHKIPSLKHGNILLDSPEFLSMLTQSNVDNIMKSVKVNQSDMAAILYSSGTTGRVKGVMVTHRNLIGIMAIIHRYNMNQGKDNDKPPRRPVTFFTLPLFHVFGFFMLLGMVLSASTVVLVERFDFEEMLRAVEKYKVTGMPVSPPVVVAFVKSDLTKKYNLSSLQRLGCGGASLGEEMAQRFKKKFPNVLLTQGYGLTETSGGATSVIGPEEAAQYGSVGRLAENMEGKIVDPETGEALPPGRRGELWLRGPTVMKGYVGDEKATAETLDSEGWLKTGDICYFDSEGFLYVVDRLKELIKYKAYQVPPAELEHLLLSHPEIVDAAVIPYPNEEAGQIPMAYVVRNPGSNITEAQVMDFIAKQVAPHKKIRRVVFINSIPKTPAGKILRRELVNHSLSNGLSKL >KJB08041 pep chromosome:Graimondii2_0_v6:1:6006521:6013602:1 gene:B456_001G060700 transcript:KJB08041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERYPNRLMDPKTGFCRQTGIYSCLRPSVPLPPISQPLSAAEFCFSIFNSTFTDGATTFSVNTTTGKTLSYSQFVSQVRSLTYSLQQRYSLSQNDVAFILSPPSIHIPVVYFALLSLGIVVSPANPLSSNSEIAHQIQLSKPVVAFVTSETSHKIPSLKHGTVLLDSPEFLSLLTQSNIVNGITKSVKVNQSDTAAILYSSGTTGRVKGVMMTHRNLIAMMTVIHHLNTNQGQGNEKPPRSVTFFTVPLFHVFGFFMLLAVVLLGNTVVLVERFDFEEMLRAVEKYKVTGMPVSPPLVVAFVKSDLTRKYDLSSLQRLGCGGAPLGKDIAMRFNEKFPGVLLVQGYGLTETSGGATSVIGPEEAAQYGSVGRLAENMEGKIVDPETGEALPPGRRGELWLRGPTVMKGYVGDEKATAETLDSEGWLKTGDICYFDSEGFLYVVDRLKELIKYKAYQVPPAELEHLLLSHPEIVDAAVIPYPNEEAGQIPMAYVVRNPGSNITEAQVMDFIAKQVAPHKKIRRVVFINSIPKTPAGKILRRELVNHSLSNGLSKL >KJB08039 pep chromosome:Graimondii2_0_v6:1:6000694:6013298:1 gene:B456_001G060700 transcript:KJB08039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETDPKRLMDPKTGFSHQTGTYSSLRPPLPLPPINQPLSVAEFCLSLLYRTSTDGSTAFVVNEIAGESLSYSQFVSQVRSLAYSLQQRYSLSQNDVAFVVSPPSIHIPVVYFALLSLGIIVSPSNPLSSNSEIAHQIQLSKSVIAFATSKTFHKIPSLKHGNILLDSPEFLSMLTQSNVDNIMKSVKVNQSDMAAILYSSGTTGRVKGVMVTHRNLIGIMAIIHRYNMNQGKDNDKPPRRPVTFFTLPLFHVFGFFMLLGMVLSASTVVLVERFDFEEMLRAVEKYKVTGMPVSPPVVVAFVKSDLTKKYNLSSLQRLGCGGASLGEEMAQRFKKKFPNVLLTQGYGLTETSGGATSVIGPEEAAQYGSVGRLAENMEGKIVDPETGEALPPGRRGELWLRGPTVMKGYVGDEKATAETLDSEGWLKTGDICYFDSEGFLYVVDRLKELIKYKAYQVPPAELEHLLLSHPEIVDAAVIPYPNEEAGQIPMAYVVRNPGSNITEAQVMDFIAKQACF >KJB07822 pep chromosome:Graimondii2_0_v6:1:4412924:4418680:-1 gene:B456_001G046300 transcript:KJB07822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKKSRAKRRVARSNEEDTAGVDDEPGASKDAVEAAEEAGIQSEKDVNQEIDCFKEEFEEVENVVIAVMEMDSIIQHNGGDVVQIESNFTDGSIRKSELCSEVNGVSESEKSDNLDVSVECSKFEEIIEDGTVRGQNLSSNIQVMDVDSGNGIVNGEEAKDHENSEQKSLEEEPSSARIAVMTLDGQINDGYNNKDGNDREKEEESRGGREQNEDIAVSDVNEASQVVDHDSPTKQKKEKQLEIHVGGLHKEIVERDLFEIFGKFGEVQSARIVRHRTTKKSKGFAFIQYATTEQAKKALSDLKDGIEVKGKLAKLSISHDRDVLYLGRICRTWTKEDVLGKLKGYGIENIDEIQVPNDPKDDRKIKGFAFLRFNTFSDAKAALHRLRKPDIAFGNARGAKIAFARTPMHPRERVRLQVKTIYVEGIPKSWDVHKLKEICEQYAETKKVKISRNLSNKGKDFGFISFTTRGGAVACVEGMNKLRYGGNVKVKAYIARPLVQVRLQKSSCLGLKFSKRHRNSDWLKTKGQARSKGVKKESDVRAATVIYKSKIWGTKEKPAAVVYKNNQDPLNSKHTIEGKRNEQQSIAPETHDAEDGLSTKPKKTDFKRNNRKRQRNSMHSKRSSNKPEGSVLMRERNHMHSKRSSNKPEGSVVKRERNHLHSKRSSNKREGTSQGRHIRSSRSSKSRSYVRKGHGRGADSVAYRIPVKEAYAPSTSGYPGSAHGAFSGSKRPSSYMESHAGFAQPVKHNDQYLTECIAPAFHHQRQAYAGYLKLDHYERQPPAKYFKPAIGNDALPHAGFLESSFRKQSFDGARRIAKYSGPDNQGPVHGSGSAYSRPYVPNNLSYAGYEGNSNGGGYHRFRRAYTARQAHY >KJB07821 pep chromosome:Graimondii2_0_v6:1:4412464:4418882:-1 gene:B456_001G046300 transcript:KJB07821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKKSRAKRRVARSNEEDTAGVDDEPGASKDAVEAAEEAGIQSEKDVNQEIDCFKEEFEEVENVVIAVMEMDSIIQHNGGDVVQIESNFTDGSIRKSELCSEVNGVSESEKSDNLDVSVECSKFEEIIEDGTVRGQNLSSNIQVMDVDSGNGIVNGEEAKDHENSEQKSLEEEPSSARIAVMTLDGQINDGYNNKDGNDREKEEESRGGREQNEDIAVSDVNEASQVVDHDSPTKQKKEKQLEIHVGGLHKEIVERDLFEIFGKFGEVQSARIVRHRTTKKSKGFAFIQYATTEQAKKALSDLKDGIEVKGKLAKLSISHDRDVLYLGRICRTWTKEDVLGKLKGYGIENIDEIQVPNDPKDDRKIKGFAFLRFNTFSDAKAALHRLRKPDIAFGNARGAKIAFARTPMHPRERVRLQVKTIYVEGIPKSWDVHKLKEICEQYAETKKVKISRNLSNKGKDFGFISFTTRGGAVACVEGMNKLRYGGNVKVKAYIARPLVQVRLQKSSCLGLKFSKRHRNSDWLKTKGQARSKGVKKESDVRAATVIYKSKIWGTKEKPAAVVYKNNQDPLNSKHTIEGKRNEQQSIAPETHDAEDGLSTKPKKTDFKRNNRKRQRNSMHSKRSSNKPEGSVLMRERNHMHSKRSSNKPEGSVVKRERNHLHSKRSSNKREGTSQGRHIRSSRSSKSRSYVRKGHGRGADSVAYRIPVKEAYAPSTSGYPGSAHGAFSGSKRPSSYMESHAGFAQPVKHNDQYLTECIAPAFHHQRQAYAGYLKLDHYERQPPAKYFKPAIGNDALPHAGFLESSFRKQSFDGARRIAKYSGPDNQGPVHGSGK >KJB07823 pep chromosome:Graimondii2_0_v6:1:4413888:4418882:-1 gene:B456_001G046300 transcript:KJB07823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKKSRAKRRVARSNEEDTAGVDDEPGASKDAVEAAEEAGIQSEKDVNQEIDCFKEEFEEVENVVIAVMEMDSIIQHNGGDVVQIESNFTDGSIRKSELCSEVNGVSESEKSDNLDVSVECSKFEEIIEDGTVRGQNLSSNIQVMDVDSGNGIVNGEEAKDHENSEQKSLEEEPSSARIAVMTLDGQINDGYNNKDGNDREKEEESRGGREQNEDIAVSDVNEASQVVDHDSPTKQKKEKQLEIHVGGLHKEIVERDLFEIFGKFGEVQSARIVRHRTTKKSKGFAFIQYATTEQAKKALSDLKDGIEVKGKLAKLSISHDRDVLYLGRICRTWTKEDVLGKLKGYGIENIDEIQVPNDPKDDRKIKGFAFLRFNTFSDAKAALHRLRKPDIAFGNARGAKIAFARTPMHPRERVRLQVKTIYVEGIPKSWDVHKLKEICEQYAETKKVKISRNLSNKGKDFGFISFTTRGGAVACVEGMNKLRYGGNVKVKAYIARPLVQVRLQKSSCLGLKFSKRHRNSDWLKTKGQARSKGVKKESDVRAATVIYKSKIWGTKEKPAAVVYKNNQDPLNSKHTIEGKRNEQQSIAPETHDAEDGLSTKPKKTDFKRNNRKRQRNSMHSKRSSNKPEGSVLMRERNHMHSKRSSNKPEGSVVKRERNHLHSKRSSNKREGTSQGRHIRSSRSSKSRSYVRKGHGRGADSVAYRIPVKEAYAPSTSGYPGSAHGAFSGSKRPSSYMESHAGFAQPVKHNDQYLTECIAPAFHHQRQAYAGYLKLDHYERQPPAKYFKPAIGNDALPHAGFLESSFRKQSFDGARRIAKYSGPDNQGPVHGSGSITNLDIFII >KJB07752 pep chromosome:Graimondii2_0_v6:1:4135852:4139035:-1 gene:B456_001G043800 transcript:KJB07752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLRRLTTRRSPPKLLFFALLMLAPVCVIGIYNYGQKISYFFRPLWDKPPLPFRRLPHYYAENVSVEHLCRLHNWSIRSQPRRIFDNIIFSNELDLLEIRWHELNPYVSKFVIMESNTTFTGIRKPLFFASNRARFAFAEEKIVYGVFPGRIASPGSLKDPFVLESLQRGAMNGLLHSAGISNGDLVIMSDTDEVPSPHTLKLLQWCDGVPPILHLELKHYMYSFEFPVDYSSWRATVQIYTPQTRYRHSRQSDVIFSDAGWHCSFCFRSLEEFTLKMTGYSHADRVKRKAFLNYSRIQRIICRGDDLFDMLPEEYSFKEMIKKMGSIARSDSAVHLPSYLIQNADRFRFLLPGGCKRNMVQLK >KJB07753 pep chromosome:Graimondii2_0_v6:1:4135852:4138418:-1 gene:B456_001G043800 transcript:KJB07753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLRRLTTRRSPPKLLFFALLMLAPVCVIGIYNYGQKISYFFRPLWDKPPLPFRRLPHYYAENVSVEHLCRLHNWSIRSQPRRIFDNIIFSNELDLLEIRWHELNPYVSKFVIMESNTTFTGIRKPLFFASNRARFAFAEEKIVYGVFPGRIASPGSLKDPFVLESLQRGAMNGLLHSAGISNGDLVIMSDTDEVPSPHTLKLLQWCDGVPPILHLELKHYMYSFEFPVDYSSWRATVQIYTPQTRYRHSRQSDVIFSDAGWHCSFCFRSLEEFTLKMTGYSHADRVKRKAFLNYSRIQRIICRGDDLFDMLPEEYSFKEMIKKMGSIARSDSAVHLPSYLIQNADRFRFLLPGGCKRNMVQLK >KJB07094 pep chromosome:Graimondii2_0_v6:1:5203286:5209815:1 gene:B456_001G054500 transcript:KJB07094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVNEAAAMEIDDPNANISDQINPKFSINVLQLLKSAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFTHGRGKYTRKSISESTVTEVRFLHVVLYMAERAWSHAMEKRQLPDGPNARQRIYLIGRLRKAVKWADLFSHLCSVKGDSRTSLEAEAYASYMKGNLLFEQDRNWDTALRNFKSARSVYEELGKYGDVENQVLCRERVEELEPSIRYCLHKIGGSNLQASELLQIGEMEGPASDLFKSKLEAVMAEARSQQAASLTEFHWLGNRFPITNAKTRVAILKAQELEKDLHGPSADSVSAEKRLVTFDKVFTAYHEARSCIRSDLASAGSAENVKDDLNGLDKAVSAVLGQRTIERNQLLVSIAKSKLTRRRDDKNEKVTKPEELVRLYDLLLQNTADLSDLVSSGRDRKPEEVTFAEECELKSLAFRAERCFYLARSYSLAGKRSEAYALYCRARSLAENALQKFQAHSKTDQVMIKELKTLYDECRSYSCIEHATGIIEEVKAPENLSKKISTISLTGADKKVEKYLLEKLDLYEPAISESNVKAVPRIEPFPPAFQSIPRNPIVLDLAYNAIDFPSIENRMKKDKKGFISRLWG >KJB07097 pep chromosome:Graimondii2_0_v6:1:5203419:5209773:1 gene:B456_001G054500 transcript:KJB07097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVNEAAAMEIDDPNANISDQINPKFSINVLQLLKSAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFTHGRGKYTRKSISESTVTEVRFLHVVLYMAERAWSHAMEKRQLPDGPNARQRIYLIGRLRKAVKWADLFSHLCSVKGDSRTSLEAEAYASYMKGNLLFEQDRNWDTALRNFKSARSVYEELGKYGDVENQVLCRERVEELEPSIRYCLHKIGGSNLQASELLQIGEMEGPASDLFKSKLEAVMAEARSQQAASLTEFHWLGNRFPITNAKTRVAILKAQELEKDLHGPSADSVSAEKRLVTFDKVFTAYHEARSCIRSDLASAGSAENVKDDLNGLDKAVSAVLGQRTIERNQLLVSIAKSKLTRRRDDKNEKVTKPEELVRLYDLLLQNTADLSDLVSSGRDRKPEEVTFAEECELKSLAFRAERCFYLARSYSLAGKRSEAYALYCRARSLAENALQKFQAHSKTDQVSIFNRGDDQRVEDFI >KJB07095 pep chromosome:Graimondii2_0_v6:1:5203286:5209869:1 gene:B456_001G054500 transcript:KJB07095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVNEAAAMEIDDPNANISDQINPKFSINVLQLLKSAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFTHGRGKYTRKSISESTVTEVRFLHVVLYMAERAWSHAMEKRQLPDGPNARQRIYLIGRLRKAVKWADLFSHLCSVKGDSRTSLEAEAYASYMKGNLLFEQDRNWDTALRNFKSARSVYEELGKYGDVENQVLCRERVEELEPSIRYCLHKIGGSNLQASELLQIGEMEGPASDLFKSKLEAVMAEARSQQAASLTEFHWLGNRFPITNAKTRVAILKAQELEKDLHGPSADSVSAEKRLVTFDKVFTAYHEARSCIRSDLASAGSAENVKDDLNGLDKAVSAVLGQRTIERNQLLVSIAKSKLTRRRDDKNEKVTKPEELVRLYDLLLQNTADLSDLVSSGRDRKPEEVTFAEECELKSLAFRAERCFYLARSYSLAGKRSEAYALYCRARSLAENALQKFQAHSKTDQVMIKELKTLYDECRSYSCIEHATGIIEEVKAPENLSKKISTISLTGADKKVEKYLLEKLDLYEPAISESNVKAVPRIEPFPPAFQSIPRNPIVLDLAYNAIDFPSIENRMKKDKKGFISRLWG >KJB07096 pep chromosome:Graimondii2_0_v6:1:5203419:5209773:1 gene:B456_001G054500 transcript:KJB07096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVNEAAAMEIDDPNANISDQINPKFSINVLQLLKSAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFTHGRGKYTRKSISESTVTEVRFLHVVLYMAERAWSHAMEKRQLPDGPNARQRIYLIGRLRKAVKWADLFSHLCSVKGDSRTSLEAEAYASYMKGNLLFEQDRNWDTALRNFKSARSVYEELGKYGDVENQVLCRERVEELEPSIRYCLHKIGGSNLQASELLQIGEMEGPASDLFKSKLEAVMAEARSQQAASLTEFHWLGNRFPITNAKTRVAILKAQELEKDLHGPSADSVSAEKRLVTFDKVFTAYHEARSCIRSDLASAGSAENVKDDLNGLDKAVSAVLGQRTIERNQLLVSIAKSKLTRRRDDKNEKVTKPEELVRLYDLLLQNTADLSDLVSSGRDRKPEEVTFAEECELKSLAFRAERCFYLARSYSLAGKRSEAYALYCRARSLAENALQKFQAHSKTDQVSIFNRGLPSPLFLVMIKELKTLYDECRSYSCIEHATGIIEEVKAPENLSKKISTISLTGADKKVEKYLLEKLDLYEPAISESNVKAVPRIEPFPPAFQSIPRNPIVLDLAYNAIDFPSIENRMKKDKKGFISRLWG >KJB08602 pep chromosome:Graimondii2_0_v6:1:10336928:10340826:1 gene:B456_001G093000 transcript:KJB08602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNLVPISITRQKQDPAWNHCEVFKNGERLQIKCMYCGKLFKGGGIHRFKEHLAGRKGQGPICEQVPQGVRSVMQESLNGILVKQDKKQKLIPKLLACGSSSSNPNIGGEVENLGSHDDMNFGIKPISVLNTLEGDSNVVSKVGRGRKRGRGRGRDWNLIESNYPCVKTDLALVPNGGENPIHMAIGRFLYDIGVNLDAVNSVCFQPMIDGIASGGSGVVPPSCNDLRGWILKNVIEEVKDDIDRNKAMWGKTGCSIIVEQCRTKNGRVLLSFLVYCPQATVFMKSVDASHAVYSADYLFELLKQVIEEVGSEKVVQVITNCEEPYLFTGKRLMESFPSLYWAPCLAHCVDLMLQDFSNLEWINETIEQAKSLTRFIYNQSSVLNMIRKFTSGNDVVEPALTCFATNFSTLKRMADLKLNLQAMVNSQDWLECPYAKKPGGQAMSDIVNNSSFWNSCMLIARITYPLLRVLEIVGSKKRSAMGYVYAGIYRAKETIKKELVKQDDYMVYWNIIDNRWEQQRHLPLYAAGFFLNPKLFYNTEEHIHNDILSSVFDSIERLVPDTNIQDQVVREINLYKNATGDLGRPMAVRARDNLLPGEWWSIYGGGCPNLQRLAIRILSQTCSSIGYKPSKISIEEIHNTRNFLERQRLSDLVFVQYNLYLRQMVLQKQEKDSLDPLAFNNKDILEDWIADTEVSPDNLESSDWKSLDPPVGNRTTLTPPSDEAEDFLSTRFTDLDIFNGLKGVKEEI >KJB06326 pep chromosome:Graimondii2_0_v6:1:7492:8317:-1 gene:B456_001G0003002 transcript:KJB06326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KDNKQRFSLLEENGELLIRANQGHTVMTVESERLLKQILSADEVQFCVHGTYKRNLESILESGLKHMKRLHVHFSSGLLTDGEVISGMG >KJB06325 pep chromosome:Graimondii2_0_v6:1:7505:8316:-1 gene:B456_001G0003002 transcript:KJB06325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KDNKQRFSLLEENGELLIRANQGHTVMTVESERLLKQILSADEVQFCVHGTYKRNLESILESGLKHMKRLHVHFSSGLLTDGEVISGMG >KJB06251 pep chromosome:Graimondii2_0_v6:1:4066294:4066835:1 gene:B456_001G042800 transcript:KJB06251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLGAWVVRFNLGNCNIAFAEFWGIFYGCSVVWSIGIKAVMIESDSETTVRMIPQGAGDCLPFFCIIETIRELLNRDGSWRLKYAPRGEIFVADWLGKESLSLDRGLIVYNEPPPGVVNLLIADTAGVACCLSYICSLMFCFGYVPLVITKKVVLFIKFYI >KJB08587 pep chromosome:Graimondii2_0_v6:1:10281381:10284599:1 gene:B456_001G092400 transcript:KJB08587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGVEEVVVVALEEKGGAMAVVVEEKEQKEQKENEKEEEEAHPYAFHVSGPRNVAKPNWRDLINSSWKDTNYKRSVIACFIQAVYLLELDRQENRTVETALAPKWWMTFKYKLTQTLIDERDGSIFGAVLEWDQSAALADFVLIRPSGAPKAVLVLRGTLLKGPTIRRDIEDDLRFLAWESLKGSVRFKGALEALRTVAERYGSSNVCIAGHSLGAGFALQVGKALAKEGIYVDAHLFNPPSISIAMSLRNIGEKAGFAWKRLKSMLPSSSEPQADCDEEIKDNSLKSWLGNIYGDKASMGLKQWVPHLYVNNSDYICCHYTDPEGKTEENEANKENTGPTNGQVAAKLFVMSKGKQKFLEAHGLEQWWSDDLDLQLAINKSKLISRQLKSLYSLPASQVTKRPR >KJB08586 pep chromosome:Graimondii2_0_v6:1:10281296:10284614:1 gene:B456_001G092400 transcript:KJB08586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGVEEVVVVALEEKGGAMAVVVEEKEQKEQKENEKEEEEAHPYAFHVSGPRNVAKPNWRDLINSSWKDTNYKRSVIACFIQAVYLLELDRQENRTVETALAPKWWMTFKYKLTQTLIDERDGSIFGAVLEWDQSAALADFVLIRPSGAPKAVLVLRGTLLKGPTIRRDIEDDLRFLAWESLKGSVRFKGALEALRTVAERYGSSNVCIAGHSLGAGFALQVGKALAKEGIYVDAHLFNPPSISIAMSLRNIGEKAGFAWKRLKSMLPSSSEPQADCDEEIKDNSLKSWLGNIYGDKASMGLKQWVPHLYVNNSDYICCHYTDPEGKTEENEANKENTGPTNGQVAAKLFVMSKGKQKFLEAHGLEQWWSDDLDLQLAINKSKLISRQLKSLYSLPASQVTKRPR >KJB08588 pep chromosome:Graimondii2_0_v6:1:10282417:10283989:1 gene:B456_001G092400 transcript:KJB08588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMKDTNYKRSVIACFIQAVYLLELDRQENRTVETALAPKWWMTFKYKLTQTLIDERDGSIFGAVLEWDQSAALADFVLIRPSGAPKAVLVLRGTLLKGPTIRRDIEDDLRFLAWESLKGSVRFKGALEALRTVAERYGSSNVCIAGHSLGAGFALQVGKALAKEGIYVDAHLFNPPSISIAMSLRNIGEKAGFAWKRLKSMLPSSSEPQADCDEEIKDNSLKSWLGNIYGDKASMGLKQWVPHLYVNNSDYICCHYTDPEGKTEENEANKENTGPTNGQVAAKLFVMSKGKQKFLEAHGLEQWWSDDLDLQLAINKSKLISRQLKSLYSLPASQVTKRPR >KJB06545 pep chromosome:Graimondii2_0_v6:1:9045851:9052008:-1 gene:B456_001G085100 transcript:KJB06545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKFMGFSWSLVRVLVFIMILVAKPKSVDAITCQETLMSLIPCQPFLTGGCCSAVANINAAATTPAIRRDLCRCFKKVRHGASVVSDKAKQLPRLCDVRVTVPIDPTVNCGS >KJB07746 pep chromosome:Graimondii2_0_v6:1:4104329:4118482:1 gene:B456_001G043400 transcript:KJB07746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPTHRPLPNESWDCTLPGPPSRNNFGSADLNPSGLLAFASGSSVSVVDSRSLQLVATIPLPPSSSSLSPFVTSVRWTPLPLGRDLLSTEPSSSHLILAAADRHGRIALLDFRLRSLILSIDPPDPSSKSGIQDLCWVQARSDSFHLASISGPSYFSLYNTSSSRCIFKYDASPEYLSCIRRDPFDSRHLCIVGLKGFLLSIKVLGEKDDDVALKELQIRTDCTELLKLEKDAAAAAGGTSSSPASAVFPLYAVRLAFSPLWKNVIYVTFPRELVVFDLKYETTLFSAPLPRGCAKFLDVLPDPNQELVYCAHLDGKISIWRRKEGEQVHVMCTMEELMPSLGSPVPSPSVLAVLVSQSESTLHNISKLYSDSSNGASDVDSDNPFEFCDDTLLVAKTRLFSISDDGKLWSWILTAEGNGVMQKDAGISGNIANVSLDSTNTTTIVSTKDGLAAEGSRQLDNINGSRTQLPNSTFGFADVTFKISLVGQLQVLSSTVTMLAVPSPSLTATMSRGGDNPAITVPLVALGSQSGTIDVIDVSTNAVASSFSVHNSMVRGLRWLGNSRLVSFSYTQVNEKTGGYINRLVVTCLRSGLNRTFRVLQKPERAPIRALRTSSSGRYLLILFRDAPVEVWAMTKNPIMLRSLALPFTVMEWTLPTVPRPVQKGPSRESSLSHKDNKAVAPEVATSSTIASSSDSKAGNSDNLQDEISESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFVSSDGLITAMAYRLPHVVMGDRSGNIRWWDVTTGQSSSFNTYREGVRRIKFSPVVAGDRSRGRVAVLFNDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLHLRTNKNDPLVLCMAGADSSFRLIEVNINDKKMVPGALPRNIKERFRPMPLCCPVLLPTPHALALRMILQLGVKPSWFNTTGTTIDKRPHLVPGMASSSEDLRSYLIELPSVGDSVVPELLLKVLEPYRKEGCILDDERARLYATIVNKGCAARFAFAAATFGEVSEALFWLQLPRAINHLMNKLINKSPQKAPISVPNSDLDDRSLLSRITSKEKSTSETGQRDALTQGQLRLMAFEQEDLWESANERIPWHEKLEGEEAIQNHVHELITIGNLEGAVSLLLSTSPESPYFYPNALRAVALSSAVSRSLLELAVKVVAANMVRTDRSLSGTHLLCAVGRYQEACSQLQDAGYWTDAATLAAAHLKGSDYARVLQRWAEHVLHAEHNIWRALILFVAAGAIQEALAALREAQQPDTAAMFILACREIHKQFITNLGGSEDETNDSVVDLPGLSPGNDDVIAVGEYFGEYQRKLVHLCMDAQPFSD >KJB07545 pep chromosome:Graimondii2_0_v6:1:2748882:2756643:1 gene:B456_001G029400 transcript:KJB07545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGSSTAPLLFRRRSSSEMKNKSASVSSSLLPAFGTVVDDGDLHLKRNVIAPYDRRYRWWQTFLVGLVIYSAWASILELTFDKAANGVLLFIDLVVDFFFAIDIILTFFVAYFDTSNFVLVDDHLKIAFRYVTRFWLFMDVASTIPFSLINKILIGEWHTGQVFGLLNLLRLWRLHRVGELFKRLEKDIRFSYFWTRLLKLICVTLFAVHSAGCFYYWLAARHKPSEHTWIGRLIGDFKQKGVWHGYTCSIYWSIVTLTSVGYGEFYSMNEGEKIFNSIYMLFNMGLIAYIIGNITNLVVQSVVKTFAMRDSINEVMRYAIKNQLPEGMREQMLAHMQLKFNTAELQQEEVLKDLPKAIRSSIAQHLFWKTVEKTYLFQGVSDDFLSQLVSEMKAEYFPPRIEIILQNEIPTDFYILVSGAVDMVTYKNGTEQFLSNLESADMAGEIGVIFNIPQPFTVRTKRLSQVIRISHHQFKQMVQSESGDGKIIIANFMEYLRGLEKDMLQELPFLTELLADQNVQPTSQNEEKQNRETMDSTYGNPTGTSNTSDPSLSAGTIRVIIYGYHPSKKTMSGDRFGKLIYLPNSIADLFNLAEKKLGKRGSTILMADGSEVEDLSALRENDHLFIV >KJB07544 pep chromosome:Graimondii2_0_v6:1:2748882:2756643:1 gene:B456_001G029400 transcript:KJB07544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGSSTAPLLFRRRSSSEMKNKSASVSSSLLPAFGTVVDDGDLHLKRNVIAPYDRRYRWWQTFLVGLVIYSAWASILELTFDKAANGVLLFIDLVVDFFFAIDIILTFFVAYFDTSNFVLVDDHLKIAFRYVTRFWLFMDVASTIPFSLINKILIGEWHTGQVFGLLNLLRLWRLHRVGELFKRLEKDIRFSYFWTRLLKLICVTLFAVHSAGCFYYWLAARHKPSEHTWIGRLIGDFKQKGVWHGYTCSIYWSIVTLTSVGYGEFYSMNEGEKIFNSIYMLFNMGLIAYIIGNITNLVVQSVVKTFAMRDSINEVMRYAIKNQLPEGMREQMLAHMQLKFNTAELQQEEVLKDLPKAIRSSIAQHLFWKTVEKTYLFQGVSDDFLSQLVSEMKAEYFPPRIEIILQNEIPTDFYILVSGAVDMVTYKNGTEQYLRGLEKDMLQELPFLTELLADQNVQPTSQNEEKQNRETMDSTYGNPTGTSNTSDPSLSAGTIRVIIYGYHPSKKTMSGDRFGKLIYLPNSIADLFNLAEKKLGKRGSTILMADGSEVEDLSALRENDHLFIV >KJB10873 pep chromosome:Graimondii2_0_v6:1:46637672:46639682:1 gene:B456_001G230000 transcript:KJB10873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQFSLNAPPFHLEPSLSASYYLSSAMDIHATELNCSQHCEQPTDYYGLHFHLPLSSMPSQVGGFQGNNNNISPLQGRSKSVTSQNESLLNPNADLEASKYWNSRKRTKTVETSASPTIAAKGSERNQESNEKRSNTNTNTKPLEPPKDYIHVRARRGEATDSHSLAERVRREKISERMKLLQDLVPGCNKVIGKAVMLDEIIKYVQSLQRQVEFLSMKLASVNSRLDFKLDSVMSEDIFQSNNNFAHPISTIDSWASAIFGQQQQNLALHSNVSNGTMTQCSVQPMDTAIWPQLQHPFTSNQLPLS >KJB07597 pep chromosome:Graimondii2_0_v6:1:24043287:24045431:-1 gene:B456_001G168800 transcript:KJB07597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVIYHAMSFVDLQFPNGQLTYVSYEGLTTSAFLPLCGGLLQAQGQYPGEMRYSFSCKNKWGTRITPMVLWPDKSFALGLSQALAWKRSGLMMKLSIQFRARAF >KJB09680 pep chromosome:Graimondii2_0_v6:1:22096601:22097315:-1 gene:B456_001G156100 transcript:KJB09680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDLSTSLILTGAFLEALKLVNNLLTMLKKQQQKQQRLLVIQSLKGIEKEVTKFLDVLGLQPPCSYNEVLLQLKEKALTRAGLVEDDVICLINERVEVRRNKDFFKSDQMRAHLQVKGIALMDVGMETIWRPCVPVQQESEVVPSEGQKVPPKPETA >KJB07409 pep chromosome:Graimondii2_0_v6:1:1985862:1986311:1 gene:B456_001G020800 transcript:KJB07409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSGYPVKKYIDSAVRHVLLRHGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHPPKDEEESKEKKEYEAVVPPTKFILPFMFFNTNIH >KJB06623 pep chromosome:Graimondii2_0_v6:1:213165:216188:1 gene:B456_001G002400 transcript:KJB06623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAKVSNSSSEDQNVSNYNETDVIVNVYDLTPLNNYSYWVGFGIFHSGIEVHGKEYGFGAHDFPVSGVFEVEPKSCPGFIYRSSILLGRINMPYSEFREFIENVASEYHGDTYHLISKNCNHFTDDMAQRLIGRHIPGWVNRMARLGSLCSCLLPESLQVTKVKELPEYHEIDGTESLSTATPGDSTEIDDTDQEKHLLSPKDGNSDIAFIKEAQS >KJB06624 pep chromosome:Graimondii2_0_v6:1:213117:216188:1 gene:B456_001G002400 transcript:KJB06624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAKVSNSSSEDQNVSNYNETDVIVNVYDLTPLNNYSYWVGFGIFHSGIEVHGKEYGFGAHDFPVSGVFEVEPKSCPGFIYRSSILLGRINMPYSEFREFIENVASEYHGDTYHLISKNCNHFTDDMAQRLIGRHIPGWVNRMARLGSLCSCLLPESLQVTKVKELPEYHEIEDGTESLSTATPGDSTEIDDTDQEKHLLSPKDGNSDIAFIKEAQSP >KJB06622 pep chromosome:Graimondii2_0_v6:1:213498:215806:1 gene:B456_001G002400 transcript:KJB06622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAKVSNSSSEDQNVSNYNETDVIVNVYDLTPLNNYSYWVGFGIFHSGIEVHGKEYGFGAHDFPVSGVFEVEPKSCPGFIYRSSILLGRINMPYSEFREFIENVASEYHGDTYHLISKNCNHFTDDMAQRLIGRHIPGWVNRMARLGSLCSCLLPESLQVTKVKELPEYHEIEDGTESLSTATPGDSTEIDDTDQEKHLLSPKDGNSDIAFIKEAQS >KJB06625 pep chromosome:Graimondii2_0_v6:1:213165:216188:1 gene:B456_001G002400 transcript:KJB06625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAKVSNSSSEDQNVSNYNETDVIVNVYDLTPLNNYSYWVGFGIFHSGIEVHGKEYGFGAHDFPVSGVFEVEPKSCPGFIYRSSILLGRINMPYSEFREFIENVASEYHGDTYHLISKNCNHFTDDMAQRLIGRHIPGWVNRMARLGSLCSCLLPESLQVTKVKELPEYHEIDGTESLSTATPGDSTEIDDTDQEKHLLSPKDGNSDIAFIKEAQSP >KJB10072 pep chromosome:Graimondii2_0_v6:1:28017934:28021608:-1 gene:B456_001G182700 transcript:KJB10072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGEVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRVKILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECKECKFCKSGKTNLCGKVRMATGAGIMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKVDPQAPLDKVCLLGCGVPTGLGAVWNTAKVEPGAIVAIFGLGTVGLAFDIAKNFGVTEFVNPKDYDKPIQQVLVDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIIGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVDKYMKKEIKIDEYITHNLTLGEINKAFDLMHEGGCLRCVLKMHE >KJB07309 pep chromosome:Graimondii2_0_v6:1:5821936:5824512:-1 gene:B456_001G059000 transcript:KJB07309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYMGLNMQIGWFSNDEVNVIIWCSEDAVSGHIQLLIPGETVCFTCAPPLVVTSGVDERTLKREGVCAASLPTTMRVVAGVLVPNTLKFLLKFGYVSPYLVKSFINSPCMLPSLD >KJB06237 pep chromosome:Graimondii2_0_v6:1:18080621:18081322:-1 gene:B456_001G137400 transcript:KJB06237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFIQEKSFLSQFIFMMQESHHNPHTCASCSISLFRLTAQSGISSSNAPDILGVRSKHATVRTFRRNQFLS >KJB09623 pep chromosome:Graimondii2_0_v6:1:21544440:21549612:1 gene:B456_001G153400 transcript:KJB09623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSLSEEEDQFFDTREDITSVSDLGSDCPDNSDSELVASTNSMPANFGFEVWIKNLSSIRERRSKFLKWMDLNVDQTARESPSNMCCDEIEVETDRIMEESGAVLGSSTFDDDFSSSQSSMSCWSNDVRELLDGAFDDNFVCRIKNLDDGTEFIVDELSQDGMLKGLREVGSNNLLTIDEFERKLGLSPLIQQAMRREVKELSDLGPQRKQGKRGWLRRLGAVACIVDRQVEAARMISSDCCPNAEARIQMVRVRSYKKRSKEFSALYMRQDIQAHKGAISTMKFSADGQYLASAGGDGVVRVWQIMESERSGNSDIHDANPSYVYFQVNSFSELVPLHANKEKKERLTSLKKNSDSICVIFPQKVFQLLDKPIHEFRGHCGEVLDLSWSKNKHILSSSVDKTVRLWQVGYEECLKVFSHNNYVTCVQFNPVDDDYFISGSLDGKVRIWAIPGRQVVDWTDLTDIVTAVCYRPNGKGAVVGSMNGDCHFYDASDNCLQLNVRICIQSKKKPPCSRITGLQFSPGDPDILMVSSADSQVRILNGIDMVCKFRGLHNAGSQISAVFTSDGMRIVSASEDSNVYVWNYINQDGPVSHSKKNRSCERFFSNNASVAIPWCGITCRNSIFPNMSGTSPSPKDLSSRWCNENTGVLQSELGDSSQHKLPFSSSERFSLGHGFFSESLLKGTATWPEEKLPHPDSFVVSSAMCKSQYKLLKTSCQSALGSSHAWGLVIVTAFLVRIWVKTDWCLVDSPFADDWIGVTSTVISGSGVEHCI >KJB09624 pep chromosome:Graimondii2_0_v6:1:21544305:21549749:1 gene:B456_001G153400 transcript:KJB09624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSLSEEEDQFFDTREDITSVSDLGSDCPDNSDSELVASTNSMPANFGFEVWIKNLSSIRERRSKFLKWMDLNVDQTARESPSNMCCDEIEVETDRIMEESGAVLGSSTFDDDFSSSQSSMSCWSNDVRELLDGAFDDNFVCRIKNLDDGTEFIVDELSQDGMLKGLREVGSNNLLTIDEFERKLGLSPLIQQAMRREVKELSDLGPQRKQGKRGWLRRLGAVACIVDRQVEAARMISSDCCPNAEARIQMVRVRSYKKRSKEFSALYMRQDIQAHKGAISTMKFSADGQYLASAGGDGVVRVWQIMESERSGNSDIHDANPSYVYFQVNSFSELVPLHANKEKKERLTSLKKNSDSICVIFPQKVFQLLDKPIHEFRGHCGEVLDLSWSKNKHILSSSVDKTVRLWQVGYEECLKVFSHNNYVTCVQFNPVDDDYFISGSLDGKVRIWAIPGRQVVDWTDLTDIVTAVCYRPNGKGAVVGSMNGDCHFYDASDNCLQLNVRICIQSKKKPPCSRITGLQFSPGDPDILMVSSADSQVRILNGIDMVCKFRGLHNAGSQISAVFTSDGMRIVSASEDSNVYVWNYINQDGPVSHSKKNRSCERFFSNNASVAIPWCGITCRNSIFPNMSGTSPSPKDLSSRWCNENTGVLQSELGDSSQHKLPFSSSERFSLGHGFFSESLLKGTATWPEEKLPHPDSFVVSSAMCKSQYKLLKTSCQSALGSSHAWGLVIVTAFLVRIWVKTDWCLVDSPFADDWIGVTSTVISGSGVEHCI >KJB09625 pep chromosome:Graimondii2_0_v6:1:21544922:21549612:1 gene:B456_001G153400 transcript:KJB09625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSLSEEEDQFFDTREDITSVSDLGSDCPDNSDSELVASTNSMPANFGFEVWIKNLSSIRERRSKFLKWMDLNVDQTARESPSNMCCDEIEVETDRIMEESGAVLGSSTFDDDFSSSQSSMSCWSNDVRELLDGAFDDNFVCRIKNLDDGTEFIVDELSQDGMLKGLREVGSNNLLTIDEFERKLGLSPLIQQAMRREVKELSDLGPQRKQGKRGWLRRLGAVACIVDRQVEAARMISSDCCPNAEARIQMVRVRSYKKRSKEFSALYMRQDIQAHKGAISTMKFSADGQYLASAGGDGVVRVWQIMESERSGNSDIHDANPSYVYFQVNSFSELVPLHANKEKKERLTSLKKNSDSICVIFPQKVFQLLDKPIHEFRGHCGEVLDLSWSKNKHILSSSVDKTVRLWQVGYEECLKVFSHNNYVTCVQFNPVDDDYFISGSLDGKVRIWAIPGRQVVDWTDLTDIVTAVCYRPNGKGAVVGSMNGDCHFYDASDNCLQLNVRICIQSKKKPPCSRITGLQFSPGDPDILMVSSADSQVRILNGIDMVCKFRGLHNAGSQISAVFTSDGMRIVSASEDSNVYVWNYINQDGPVSHSKKNRSCERFFSNNASVAIPWCGITCRNSIFPNMSGTSPSPKDLSSRWCNENTGVLQSELGDSSQHKLPFSSSERFSLGHGFFSESLLKGTATWPEEKLPHPDSFVVSSAMCKSQYKLLKTSCQSALGSSHAWGLVIVTGGYDGRIRSFQNYGLPVQR >KJB09622 pep chromosome:Graimondii2_0_v6:1:21545041:21548829:1 gene:B456_001G153400 transcript:KJB09622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSLSEEEDQFFDTREDITSVSDLGSDCPDNSDSELVASTNSMPANFGFEVWIKNLSSIRERRSKFLKWMDLNVDQTARESPSNMCCDEIEVETDRIMEESGAVLGSSTFDDDFSSSQSSMSCWSNDVRELLDGAFDDNFVCRIKNLDDGTEFIVDELSQDGMLKGLREVGSNNLLTIDEFERKLGLSPLIQQAMRREVKELSDLGPQRKQGKRGWLRRLGAVACIVDRQVEAARMISSDCCPNAEARIQMVRVRSYKKRSKEFSALYMRQDIQAHKGAISTMKFSADGQYLASAGGDGVVRVWQIMESERSGNSDIHDANPSYVYFQVNSFSELVPLHANKEKKERLTSLKKNSDSICVIFPQKVFQLLDKPIHEFRGHCGEVLDLSWSKNKHILSSSVDKTVRLWQVGYEECLKVFSHNNYVTCVQFNPVDDDYFISGSLDGKVRIWAIPGRQVVDWTDLTDIVTAVCYRPNGKGAVVGSMNGDCHFYDASDNCLQLNVRICIQSKKKPPCSRITGLQFSPGDPDILMVSSADSQVRILNGIDMVCKFRGLHNAGSQISAVFTSDGMRIVSASEDSNVYVWNYINQDGPVSHSKKNRSCERFFSNNASVAIPWCGITCRNSIFPNMSGTSPSPKDLSSRWCNENTGVLQSELGDSSQHKLPFSSSERFSLGHGFFSESLLKGTATWPEEKLPHPDSFVVSSAMCKSQYKLLKTSCQSALGSSHAWGLVIVTGGYDGRIRSFQNYGLPVQR >KJB09755 pep chromosome:Graimondii2_0_v6:1:23333891:23336273:-1 gene:B456_001G162200 transcript:KJB09755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYRKNLPIALLAAACNLCENTDFIEGCTTVFFKRRKKETQLLFLVAPDAERPLRKDSPIPLGSSRKLFGQFCRILAYGDVISSQTCQVEFQSYFPFFSPEYLLPQSLHWTFDLSNLVANCQQSCCLELVGRHKGAAPKEMLQTWYQSLDSASRGCGKRCPLDTCQLFDKRPVKRFLKKCHRVSARLRLKELLAKPSIFFASPSLYRIDKSYPFSYFIFSAGFLNTFPRSKGAPGEHGLAIDAGLGYRYLTNPVSQLSVLFPFTEHFIDRGRPEVWNPEPKAFNGARSSKEGLRPTCSMSNSFSEWLIYRKQIKLALLPCILWVMKKLWWKPRVEDSSRQPITDWPEMKQELRKMFLPCNVQWLVQNALRREKVNNLASAITVAESLMDFKGSSDGADKNKNSKGKKKFSGKNDHEASTSKTNVDNKGKGKAEKLNALIAEEDEAPVEETTSRVNPLQLWNSIRQESRSDTRLMFVNVKVNGQVVREMVDTGATHNFLSDRIVARLGLRVDKGNSKMKAVNYEAKPIVRVAKIVPLQIGEWSGKFYLMLVPLDDFHFILGLELLWKTRVYVSPRRGGILKCDKSPCFVRGS >KJB06211 pep chromosome:Graimondii2_0_v6:1:20523960:20528116:1 gene:B456_001G149700 transcript:KJB06211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKKRVNPISCSPSDLFRTKERQKSIDIESMCQLLDLVLGSQFRAQVDYFIEYLKIQSDYKVINLDQWMGFFRFCNEVRREKISERMKYL >KJB08604 pep chromosome:Graimondii2_0_v6:1:10340987:10346247:-1 gene:B456_001G093100 transcript:KJB08604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDNLVSSEIPVTKAVEDTEIIADAVKASNGDLPLVEKEETTLDGEFIKVEKEAVEMKDGSNPANPASNQDNESTIERSLSNPGRELLEAQEKTKELELELERVVGALKLSESENRKLKDEVVLAKEKLDEVGKKYEELDLNHKKLQEQIIEAEQRYSLQLSNLQEALQAQETKQKELTEVKEAFDGLNIEIENSRKRMQELEQDLQSSVEEARKFEELHKQSGSHAESETQRALELEKLLETVKLSAKEMEDQMASLREEVKGLYEKVAENQKVEAALQSTTAELSAAQEELALSKSLVSDLEQRLSSKEALINELTEELEQKKASESKAMEDISILEITFAATKEDFQAKVSELEDIKLKLEEEVKARELVEATLKDQEVNVLIAQEELSKVLNEKEALETAIADLNSNAALSKELCNELEEKLKLSDENFSKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILQASNEAAEDAKSKLRELEARFIAAEQRNVELEQQLNLVELKGFESEKELKESSEKISELTNKLGEVMEEKNQLNNQMQEYQEKINQLESALNQSTTQNLELAEELKVALERSAHHEDRANMSHQRSLELEDLFQTSHSKLEGTDKKVNELELLLEAEKYRIQELEEQISNLEKKCGDAEGESVMYSDKVSKLASELEAFQARTSKLEIALQMANEKEKELTECLNLATDEKKKLEETSQSSNEKLVEAENLVEILRSDLNLTQQKLESIENDLTAVGLRESEVMEKLKSAEEQLEEHVRVLEEAKARNSELQSLHETLTRDSELKLQEVTENFNSKDSETKSLFEKLKTFEDQIKVYEEQVAQAAGQSASSKEELDQSLLKLASLESTNEQLKSKISEFENKALQSSSENELLVQTNIQLKGRIDELQELLNSALSEKESTDQEIASHMSTIKELSDQHTKASELRAEAESRIVEAEAQLHEAIEKYSKKESESNDLIEKLNALEVQIKTYKEQAHEASTIAVSRQVEVEETLSKLKQLESFVEELQTKSAHFEKESGGLAEANFKLTQELAEYESKLGDLEGKLTAALTEKDETAEQLHISKKAIEDLTQKITSEGQSLQSQISSLMEENNLLNETHQSTKKELQSVISQLEEQLKNEKENEESLKSEINNLKAEIAESSLLQTHVKELEEQLVTVEAQLKEEVESVKTAASVREAELTSKLEDHAQKISDRDVINEQVVQLQRDLQLAETTITQQKDADSQKEMDREAALKHSIEELEAKNKEALHLKKQVKELEDKLQEAEAKMKVASSAAEAKDSVEVNSRDIDGLTFSTPTKRKSKKKSEAASVQVASSSSSATHTEASPLTNLKFVFGVALVSAIIGVILGKRY >KJB08607 pep chromosome:Graimondii2_0_v6:1:10341347:10345953:-1 gene:B456_001G093100 transcript:KJB08607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDNLVSSEIPVTKAVEDTEIIADAVKASNGDLPLVEKEETTLDGEFIKVEKEAVEMKDGSNPANPASNQDNESTIERSLSNPGRELLEAQEKTKELELELERVVGALKLSESENRKLKDEVVLAKEKLDEVGKKYEELDLNHKKLQEQIIEAEQRYSLQLSNLQEALQAQETKQKELTEVKEAFDGLNIEIENSRKRMQELEQDLQSSVEEARKFEELHKQSGSHAESETQRALELEKLLETVKLSAKEMEDQMASLREEVKGLYEKVAENQKVEAALQSTTAELSAAQEELALSKSLVSDLEQRLSSKEALINELTEELEQKKASESKAMEDISILEITFAATKEDFQAKVSELEDIKLKLEEEVKARELVEATLKDQEVNVLIAQEELSKVLNEKEALETAIADLNSNAALSKELCNELEEKLKLSDENFSKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILQASNEAAEDAKSKLRELEARFIAAEQRNVELEQQLNLVELKGFESEKELKESSEKISELTNKLGEVMEEKNQLNNQMQEYQEKINQLESALNQSTTQNLELAEELKVALERSAHHEDRANMSHQRSLELEDLFQTSHSKLEGTDKKVNELELLLEAEKYRIQELEEQISNLEKKCGDAEGESVMYSDKVSKLASELEAFQARTSKLEIALQMANEKEKELTECLNLATDEKKKLEETSQSSNEKLVEAENLVEILRSDLNLTQQKLESIENDLTAVGLRESEVMEKLKSAEEQLEEHVRVLEEAKARNSELQSLHETLTRDSELKLQEVTENFNSKDSETKSLFEKLKTFEDQIKVYEEQVAQAAGQSASSKEELDQSLLKLASLESTNEQLKSKISEFENKALQSSSENELLVQTNIQLKGRIDELQELLNSALSEKESTDQEIASHMSTIKELSDQHTKASELRAEAESRIVEAEAQLHEAIEKYSKKESESNDLIEKLNALEVQIKTYKEQAHEASTIAVSRQVEVEETLSKLKQLESFVEELQTKSAHFEKESGGLAEANFKLTQELAEYESKLGDLEGKLTAALTEKDETAEQLHISKKAIEDLTQKITSEGQSLQSQISSLMEENNLLNETHQSTKKELQSVISQLEEQLKNEKENEESLKSEINNLKAEIAESSLLQTHVKELEEQLVTVEAQLKEEVESVKTAASVREAELTSKLEDHAQKISDRDVINEQVVQLQRDLQLAETTITQQKDADSQKEMDREAALKHSIEELEAKNKEALHLKKQVKELEDKLQEAEAKMKVASSAAEAKDSVEVNSRDIDGLTFSTPTKRKSKKKSEAASVQVASSSSSATHTEASPLTNLKFVFGVALVSAIIGVILGKRY >KJB08608 pep chromosome:Graimondii2_0_v6:1:10341044:10348845:-1 gene:B456_001G093100 transcript:KJB08608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDNLVSSEIPVTKAVEDTEIIADAVKASNGDLPLVEKEETTLDGEFIKVEKEAVEMKDGSNPANPASNQDNESTIERSLSNPGRELLEAQEKTKELELELERVVGALKLSESENRKLKDEVVLAKEKLDEVGKKYEELDLNHKKLQEQIIEAEQRYSLQLSNLQEALQAQETKQKELTEVKEAFDGLNIEIENSRKRMQELEQDLQSSVEEARKFEELHKQSGSHAESETQRALELEKLLETVKLSAKEMEDQMASLREEVKGLYEKVAENQKVEAALQSTTAELSAAQEELALSKSLVSDLEQRLSSKEALINELTEELEQKKASESKAMEDISILEITFAATKEDFQAKVSELEDIKLKLEEEVKARELVEATLKDQEVNVLIAQEELSKVLNEKEALETAIADLNSNAALSKELCNELEEKLKLSDENFSKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILQASNEAAEDAKSKLRELEARFIAAEQRNVELEQQLNLVELKGFESEKELKESSEKISELTNKLGEVMEEKNQLNNQMQEYQEKINQLESALNQSTTQNLELAEELKVALERSAHHEDRANMSHQRSLELEDLFQTSHSKLEGTDKKVNELELLLEAEKYRIQELEEQISNLEKKCGDAEGESVMYSDKVSKLASELEAFQARTSKLEIALQMANEKEKELTECLNLATDEKKKLEETSQSSNEKLVEAENLVEILRSDLNLTQQKLESIENDLTAVGLRESEVMEKLKSAEEQLEEHVRVLEEAKARNSELQSLHETLTRDSELKLQEVTENFNSKDSETKSLFEKLKTFEDQIKVYEEQVAQAAGQSASSKEELDQSLLKLASLESTNEQLKSKISEFENKALQSSSENELLVQTNIQLKGRIDELQELLNSALSEKESTDQEIASHMSTIKELSDQHTKASELRAEAESRIVEAEAQLHEAIEKYSKKESESNDLIEKLNALEVQIKTYKEQAHEASTIAVSRQVEVEETLSKLKQLESFVEELQTKSAHFEKESGGLAEANFKLTQELAEYESKLGDLEGKLTAALTEKDETAEQLHISKKAIEDLTQKITSEGQSLQSQISSLMEENNLLNETHQSTKKELQSVISQLEEQLKNEKENEESLKSEINNLKAEIAESSLLQTHVKELEEQLVTVEAQLKEEVESVKTAASVREAELTSKLEDHAQKISDRDVINEQVVQLQRDLQLAETTITQQKDADSQKEMDREAALKHSIEELEAKNKEALHLKKQVKELEDKLQEAEAKMKCSRSKG >KJB08609 pep chromosome:Graimondii2_0_v6:1:10341044:10348845:-1 gene:B456_001G093100 transcript:KJB08609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDNLVSSEIPVTKAVEDTEIIADAVKASNGDLPLVEKEETTLDGEFIKVEKEAVEMKDGSNPANPASNQDNESTIERSLSNPGRELLEAQEKTKELELELERVVGALKLSESENRKLKDEVVLAKEKLDEVGKKYEELDLNHKKLQEQIIEAEQRYSLQLSNLQEALQAQETKQKELTEVKEAFDGLNIEIENSRKRMQELEQDLQSSVEEARKFEELHKQSGSHAESETQRALELEKLLETVKLSAKEMEDQMASLREEVKGLYEKVAENQKVEAALQSTTAELSAAQEELALSKSLVSDLEQRLSSKEALINELTEELEQKKASESKAMEDISILEITFAATKEDFQAKVSELEDIKLKLEEEVKARELVEATLKDQEVNVLIAQEELSKVLNEKEALETAIADLNSNAALSKELCNELEEKLKLSDENFSKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILQASNEAAEDAKSKLRELEARFIAAEQRNVELEQQLNLVELKGFESEKELKESSEKISELTNKLGEVMEEKNQLNNQMQEYQEKINQLESALNQSTTQNLELAEELKVALERSAHHEDRANMSHQRSLELEDLFQTSHSKLEGTDKKVNELELLLEAEKYRIQELEEQISNLEKKCGDAEGESVMYSDKVSKLASELEAFQARTSKLEIALQMANEKEKELTECLNLATDEKKKLEETSQSSNEKLVEAENLVEILRSDLNLTQQKLESIENDLTAVGLRESEVMEKLKSAEEQLEEHVRVLEEAKARNSELQSLHETLTRDSELKLQEVTENFNSKDSETKSLFEKLKTFEDQIKVYEEQVAQAAGQSASSKEELDQSLLKLASLESTNEQLKSKISEFENKALQSSSENELLVQTNIQLKGRIDELQELLNSALSEKESTDQEIASHMSTIKELSDQHTKASELRAEAESRIVEAEAQLHEAIEKYSKKESESNDLIEKLNALEVQIKTYKEQAHEASTIAVSRQVEVEETLSKLKQLESFVEELQTKSAHFEKESGGLAEANFKLTQELAEYESKLGDLEGKLTAALTEKDETAEQLHISKKAIEDLTQKITSEGQSLQSQISSLMEENNLLNETHQSTKKELQSVISQLEEQLKNEKENEESLKSEINNLKAEIAESSLLQTHVKELEEQLVTVEAQLKEEVESVKTAASVREAELTSKLEDHAQKISDRDVINEQVVQLQRDLQLAETTITQQDADSQKEMDREAALKHSIEELEAKNKEALHLKKQVKELEDKLQEAEAKMKVASSAAEAKDSVEVNSRDIDGLTFSTPTKRKSKKKSEAASVQVASSSSSATHTEASPLTNLKFVFGVALVSAIIGVILGKRY >KJB08605 pep chromosome:Graimondii2_0_v6:1:10340987:10348861:-1 gene:B456_001G093100 transcript:KJB08605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDNLVSSEIPVTKAVEDTEIIADAVKASNGDLPLVEKEETTLDGEFIKVEKEAVEMKDGSNPANPASNQDNESTIERSLSNPGRELLEAQEKTKELELELERVVGALKLSESENRKLKDEVVLAKEKLDEVGKKYEELDLNHKKLQEQIIEAEQRYSLQLSNLQEALQAQETKQKELTEVKEAFDGLNIEIENSRKRMQELEQDLQSSVEEARKFEELHKQSGSHAESETQRALELEKLLETVKLSAKEMEDQMASLREEVKGLYEKVAENQKVEAALQSTTAELSAAQEELALSKSLVSDLEQRLSSKEALINELTEELEQKKASESKAMEDISILEITFAATKEDFQAKVSELEDIKLKLEEEVKARELVEATLKDQEVNVLIAQEELSKVLNEKEALETAIADLNSNAALSKELCNELEEKLKLSDENFSKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILQASNEAAEDAKSKLRELEARFIAAEQRNVELEQQLNLVELKGFESEKELKESSEKISELTNKLGEVMEEKNQLNNQMQEYQEKINQLESALNQSTTQNLELAEELKVALERSAHHEDRANMSHQRSLELEDLFQTSHSKLEGTDKKVNELELLLEAEKYRIQELEEQISNLEKKCGDAEGESVMYSDKVSKLASELEAFQARTSKLEIALQMANEKEKELTECLNLATDEKKKLEETSQSSNEKLVEAENLVEILRSDLNLTQQKLESIENDLTAVGLRESEVMEKLKSAEEQLEEHVRVLEEAKARNSELQSLHETLTRDSELKLQEVTENFNSKDSETKSLFEKLKTFEDQIKVYEEQVAQAAGQSASSKEELDQSLLKLASLESTNEQLKSKISEFENKALQSSSENELLVQTNIQLKGRIDELQELLNSALSEKESTDQEIASHMSTIKELSDQHTKASELRAEAESRIVEAEAQLHEAIEKYSKKESESNDLIEKLNALEVQIKTYKEQAHEASTIAVSRQVEVEETLSKLKQLESFVEELQTKSAHFEKESGGLAEANFKLTQELAEYESKLGDLEGKLTAALTEKDETAEQLHISKKAIEDLTQKITSEGQSLQSQISSLMEENNLLNETHQSTKKELQSVISQLEEQLKNEKENEESLKSEINNLKAEIAESSLLQTHVKELEEQLVTVEAQLKEEVESVKTAASVREAELTSKLEDHAQKISDRDVINEQVVQLQRDLQLAETTITQQKDADSQKEMDREAALKHSIEELEAKNKEALHLKKQVKELEDKLQEAEAKMKVASSAAEAKDSVEVNSRDIDGLTFSTPTKRKSKKKSEAASVQVASSSSSATHTEASPLTNLKFVFGVALVSAIIGVILGKRY >KJB08606 pep chromosome:Graimondii2_0_v6:1:10342124:10345953:-1 gene:B456_001G093100 transcript:KJB08606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDNLVSSEIPVTKAVEDTEIIADAVKASNGDLPLVEKEETTLDGEFIKVEKEAVEMKDGSNPANPASNQDNESTIERSLSNPGRELLEAQEKTKELELELERVVGALKLSESENRKLKDEVVLAKEKLDEVGKKYEELDLNHKKLQEQIIEAEQRYSLQLSNLQEALQAQETKQKELTEVKEAFDGLNIEIENSRKRMQELEQDLQSSVEEARKFEELHKQSGSHAESETQRALELEKLLETVKLSAKEMEDQMASLREEVKGLYEKVAENQKVEAALQSTTAELSAAQEELALSKSLVSDLEQRLSSKEALINELTEELEQKKASESKAMEDISILEITFAATKEDFQAKVSELEDIKLKLEEEVKARELVEATLKDQEVNVLIAQEELSKVLNEKEALETAIADLNSNAALSKELCNELEEKLKLSDENFSKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILQASNEAAEDAKSKLRELEARFIAAEQRNVELEQQLNLVELKGFESEKELKESSEKISELTNKLGEVMEEKNQLNNQMQEYQEKINQLESALNQSTTQNLELAEELKVALERSAHHEDRANMSHQRSLELEDLFQTSHSKLEGTDKKVNELELLLEAEKYRIQELEEQISNLEKKCGDAEGESVMYSDKVSKLASELEAFQARTSKLEIALQMANEKEKELTECLNLATDEKKKLEETSQSSNEKLVEAENLVEILRSDLNLTQQKLESIENDLTAVGLRESEVMEKLKSAEEQLEEHVRVLEEAKARNSELQSLHETLTRDSELKLQEVTENFNSKDSETKSLFEKLKTFEDQIKVYEEQVAQAAGQSASSKEELDQSLLKLASLESTNEQLKSKISEFENKALQSSSENELLVQTNIQLKGRIDELQELLNSALSEKESTDQEIASHMSTIKELSDQHTKASELRAEAESRIVEAEAQLHEAIEKYSKKESESNDLIEKLNALEVQIKTYKEQAHEASTIAVSRQVEVEETLSKLKQLESFVEELQTKSAHFEKESGGLAEANFKLTQELAEYESKLGDLEGKLTAALTEKDETAEQLHISKKAIEDLTQKITSEGQSLQSQISSLMEENNLLNETHQSTKKELQSVISQLEEQLKNEKENEESLKSEINNLKAEIAESSLLQTHVKELEEQLVTVEAQLKEEVHYSLLIKKDTDL >KJB08603 pep chromosome:Graimondii2_0_v6:1:10341044:10348622:-1 gene:B456_001G093100 transcript:KJB08603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDNLVSSEIPVTKAVEDTEIIADAVKASNGDLPLVEKEETTLDGEFIKVEKEAVEMKDGSNPANPASNQDNESTIERSLSNPGRELLEAQEKTKELELELERVVGALKLSESENRKLKDEVVLAKEKLDEVGKKYEELDLNHKKLQEQIIEAEQRYSLQLSNLQEALQAQETKQKELTEVKEAFDGLNIEIENSRKRMQELEQDLQSSVEEARKFEELHKQSGSHAESETQRALELEKLLETVKLSAKEMEDQMASLREEVKGLYEKVAENQKVEAALQSTTAELSAAQEELALSKSLVSDLEQRLSSKEALINELTEELEQKKASESKAMEDISILEITFAATKEDFQAKVSELEDIKLKLEEEVKARELVEATLKDQEVNVLIAQEELSKVLNEKEALETAIADLNSNAALSKELCNELEEKLKLSDENFSKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILQASNEAAEDAKSKLRELEARFIAAEQRNVELEQQLNLVELKGFESEKELKESSEKISELTNKLGEVMEEKNQLNNQMQEYQEKINQLESALNQSTTQNLELAEELKVALERSAHHEDRANMSHQRSLELEDLFQTSHSKLEGTDKKVNELELLLEAEKYRIQELEEQISNLEKKCGDAEGESVMYSDKVSKLASELEAFQARTSKLEIALQMANEKEKELTECLNLATDEKKKLEETSQSSNEKLVEAENLVEILRSDLNLTQQKLESIENDLTAVGLRESEVMEKLKSAEEQLEEHVRVLEEAKARNSELQSLHETLTRDSELKLQEVTENFNSKDSETKSLFEKLKTFEDQIKVYEEQVAQAAGQSASSKEELDQSLLKLASLESTNEQLKSKISEFENKALQSSSENELLVQTNIQLKGRIDELQELLNSALSEKESTDQEIASHMSTIKELSDQHTKASELRAEAESRIVEAEAQLHEAIEKYSKKESESNDLIEKLNALEVQIKTYKEQAHEASTIAVSRQVEVEETLSKLKQLESFVEELQTKSAHFEKESGGLAEANFKLTQELAEYESKLGDLEGKLTAALTEKDETAEQLHISKKAIEDLTQKITSEGQSLQSQISSLMEENNLLNETHQSTKKELQSVISQLEEQLKNEKENEESLKSEINNLKAEIAESSLLQTHVKELEEQLVTVEAQLKEEVESVKTAASVREAELTSKLEDHAQKISDRDVINEQVVQLQRDLQLAETTITQQKDADSQKEMDREAALKHSIEELEAKNKEALHLKKQVKELEDKLQEAEAKMKVASSAAEAKDSVEVNSRDIDGLTFSTPTKRKSKKKSEAASVQVASSSSSATHTEASPLTNLKFVFGVALVSAIIGVILGKRY >KJB10631 pep chromosome:Graimondii2_0_v6:1:42380139:42381864:1 gene:B456_001G212500 transcript:KJB10631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTQSIPTIDLSDFPAQYEKLRRSSEEWGCFRVVNHNIPFELMQEMKQVVRSLLDLPIQIKQNNVDVIAGSGYWAPSPKNPLYEALGLYDMASSQAVHTFCSQLDASPSQRDTVAKYAKAIHEVIMDIGGKIAESMGLKGDYCKEWPCQFRINKYHFTPQSVGSAGVQLHTDSGFLTILQDDENVGGLEVMDKSGEFVAVDPLPGSLLVNLGDMATVWSNGRLHTVKHRVQCKEAKTRVSIATFLLGPKEEAVDPPPELVDSDHPRLYNSFTYEEYRKLRLSTELQAGEALALVRT >KJB10630 pep chromosome:Graimondii2_0_v6:1:42380419:42381218:1 gene:B456_001G212500 transcript:KJB10630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTQSIPTIDLSDFPAQYEKLRRSSEEWGCFRVVNHNIPFELMQEMKQVVRSLLDLPIQIKQNNVDVIAGSGYWAPSPKNPLYEALGLYDMASSQAVHTFCSQLDASPSQRDTVAKYAKAIHEVIMDIGGKIAESMGLKGDYCKEWPCQFRINKYHFTPQSVGSAGVQLHTDSGFLTILQDDENVGGLEVMDKSGEFVAVDPLPGSLLVNLGDMATVS >KJB09252 pep chromosome:Graimondii2_0_v6:1:16822712:16823971:-1 gene:B456_001G131600 transcript:KJB09252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLGVKPNVVTFSTLIRGLCNRSKIFEAVSLFDEMIEKGYQPDLIVYTTVLDGVCKTGNTDGAIRYLRMMEERGFEPDVVAYSTVLDCLCKKGLLKEAHDLFFEMIKQGIKPNVVTYSILIDALCKQGMISKAEDIVGIMTKQGIKPNVVTYGIFIDTLCKMGEVSKAEVVVDVMRKQGIKPNVVMYSTLIDSLCKNGMVSEAEFIVGTMRKQGAEPNVITYNILMDSLCKNSMVSEAEDIFGRMRKQGIEPDVVTYNTLMQGMFQLRRVSNAYELWRKMLASGQVPNLVTYLILLDGLCKNGKLEMALKVFQDMRNSGLQLDTIFYTILIDGLCKAGHIEVAKELFCQLSVSGLEPSVYTYCIMINGVCKEGLPDEAYRLFKSMGDNDCLPNSCCYNVMIRGFFRNDYTTTSYGNGR >KJB10507 pep chromosome:Graimondii2_0_v6:1:39784659:39787956:1 gene:B456_001G204700 transcript:KJB10507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGHETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGIDDTLKALEMGAVETLIVWENLEINRYVLKHSATGEIIIKHLNKEQEADQSNFRDPETSAELEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDGEVYEDSD >KJB10114 pep chromosome:Graimondii2_0_v6:1:29462427:29474353:-1 gene:B456_001G184700 transcript:KJB10114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPEHRDDSSSFSSVEIPVKKELEICVDAKQIDSGGDSRAAASGDNSRAGVDFALNNGAAEPYSIVHRSFSEVGALRVGTSNIEKLDSSQPKLVKSKTEAPRHRSILAEDAAQIFDNKMSAQKKLQLLNRIATVKDDGTVEFEVPVDVDPNVLACGLRDEHIEVAEEESPEADIQCIPPLQVVILIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSNPSEIPIQRNQMKEIIYSLLPACKEPDPDSGIPFKADAIIANPPAYGHTHVAEALKVPVHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSMIWLGIRDMINDVRKKKLKLRPVTYLSGSQGSDSDVPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPESLVKWLEAGPEPIYIGFGSLGRDSLH >KJB10110 pep chromosome:Graimondii2_0_v6:1:29460007:29474772:-1 gene:B456_001G184700 transcript:KJB10110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPEHRDDSSSFSSVEIPVKKELEICVDAKQIDSGGDSRAAASGDNSRAGVDFALNNGAAEPYSIVHRSFSEVGALRVGTSNIEKLDSSQPKLVKSKTEAPRHRSILAEDAAQIFDNKMSAQKKLQLLNRIATVKDDGTVEFEVPVDVDPNVLACGLRDEHIEVAEEESPEADIQCIPPLQVVILIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSNPSEIPIQRNQMKEIIYSLLPACKEPDPDSGIPFKADAIIANPPAYGHTHVAEALKVPVHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSMIWLGIRDMINDVRKKKLKLRPVTYLSGSQGSDSDVPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPESLVKWLEAGPEPIYIGFGSLPVQEPERMTQIIVDALEQTGQRGIINKGWGGLGNLAEPKDFVYLLDNVPHDWLFLRCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPPPIPVDEFSLPKLVGAIKFMLDPKVKERANELAKAMENEDGVTGAVKAFLKHLHHKKPEREPSPVQSSLFSISRCFRCS >KJB10118 pep chromosome:Graimondii2_0_v6:1:29460080:29474524:-1 gene:B456_001G184700 transcript:KJB10118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPEHRDDSSSFSSVEIPVKKELEICVDAKQIDSGGDSRAAASGDNSRAGVDFALNNGAAEPYSIVHRSFSEVGALRVGTSNIEKLDSSQPKLVKSKTEAPRHRSILAEDAAQIFDNKMSAQKKLQLLNRIATVKDDGTVEFEVPVDVDPNVLACGLRDEHIEVAEEESPEADIQCIPPLQVVILIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSNPSEIPIQRNQMKEIIYSLLPACKEPDPDSGIPFKADAIIANPPAYGHTHVAEALKVPVHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSMIWLGIRDMINDVRKKKLKLRPVTYLSGSQGSDSDVPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPESLVKWLEAGPEPIYIGFGSLPVQEPERMTQIIVDALEQTGQRGIINKGWGGLGNLAEPKDFVYLLDNVPHDWLFLRCKAVVHHGGAGTTAAGLKAARVHARGVGPPPIPVDEFSLPKLVGAIKFMLDPKVKERANELAKAMENEDGVTGAVKAFLKHLHHKKPEREPSPVQSSLFSISRCFRCS >KJB10115 pep chromosome:Graimondii2_0_v6:1:29461023:29474353:-1 gene:B456_001G184700 transcript:KJB10115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPEHRDDSSSFSSVEIPVKKELEICVDAKQIDSGGDSRAAASGDNSRAGVDFALNNGAAEPYSIVHRSFSEVGALRVGTSNIEKLDSSQPKLVKSKTEAPRHRSILAEDAAQIFDNKMSAQKKLQLLNRIATVKDDGTVEFEVPVDVDPNVLACGLRDEHIEVAEEESPEADIQCIPPLQVVILIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSNPSEIPIQRNQMKEIIYSLLPACKEPDPDSGIPFKADAIIANPPAYGHTHVAEALKVPVHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSMIWLGIRDMINDVRKKKLKLRPVTYLSGSQGSDSDVPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPESLVKWLEAGPEPIYIGFGSLPVQEPERMTQIIVDALEQTGQRGIINKGWGGLGNLAEPKDFVYLLDNVPHDWLFLRCKAVVHHGGAGTTAAGLKAAVRTNILILVVIYVLVVL >KJB10113 pep chromosome:Graimondii2_0_v6:1:29461999:29474353:-1 gene:B456_001G184700 transcript:KJB10113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPEHRDDSSSFSSVEIPVKKELEICVDAKQIDSGGDSRAAASGDNSRAGVDFALNNGAAEPYSIVHRSFSEVGALRVGTSNIEKLDSSQPKLVKSKTEAPRHRSILAEDAAQIFDNKMSAQKKLQLLNRIATVKDDGTVEFEVPVDVDPNVLACGLRDEHIEVAEEESPEADIQCIPPLQVVILIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSNPSEIPIQRNQMKEIIYSLLPACKEPDPDSGIPFKADAIIANPPAYGHTHVAEALKVPVHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSMIWLGIRDMINDVRKKKLKLRPVTYLSGSQGSDSDVPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPESLVKWLEAGPEPIYIGFGSLPVQEPERMTQIIVDALEQTGQRGIINKGWGGLGNLAEPKDFVYLLDNVPHDWLFLRCKAVVSR >KJB10109 pep chromosome:Graimondii2_0_v6:1:29460007:29474549:-1 gene:B456_001G184700 transcript:KJB10109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHRFLTIRCLPKRRIATVKDDGTVEFEVPVDVDPNVLACGLRDEHIEVAEEESPEADIQCIPPLQVVILIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSNPSEIPIQRNQMKEIIYSLLPACKEPDPDSGIPFKADAIIANPPAYGHTHVAEALKVPVHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSMIWLGIRDMINDVRKKKLKLRPVTYLSGSQGSDSDVPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPESLVKWLEAGPEPIYIGFGSLPVQEPERMTQIIVDALEQTGQRGIINKGWGGLGNLAEPKDFVYLLDNVPHDWLFLRCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPPPIPVDEFSLPKLVGAIKFMLDPKVKERANELAKAMENEDGVTGAVKAFLKHLHHKKPEREPSPVQSSLFSISRCFRCS >KJB10112 pep chromosome:Graimondii2_0_v6:1:29462121:29474353:-1 gene:B456_001G184700 transcript:KJB10112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPEHRDDSSSFSSVEIPVKKELEICVDAKQIDSGGDSRAAASGDNSRAGVDFALNNGAAEPYSIVHRSFSEVGALRVGTSNIEKLDSSQPKLVKSKTEAPRHRSILAEDAAQIFDNKMSAQKKLQLLNRIATVKDDGTVEFEVPVDVDPNVLACGLRDEHIEVAEEESPEADIQCIPPLQVVILIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSNPSEIPIQRNQMKEIIYSLLPACKEPDPDSGIPFKADAIIANPPAYGHTHVAEALKVPVHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSMIWLGIRDMINDVRKKKLKLRPVTYLSGSQGSDSDVPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPESLVKWLEAGPEPIYIGFGSLPVQEPERMTQIIVDALEQTGQRGIINKGWGGLGNCKFLFFYFWIFKCFLST >KJB10116 pep chromosome:Graimondii2_0_v6:1:29461999:29474353:-1 gene:B456_001G184700 transcript:KJB10116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPEHRDDSSSFSSVEIPVKKELEICVDAKQIDSGGDSRAAASGDNSRAGVDFALNNGAAEPYSIVHRSFSEVGALRVGTSNIEKLDSSQPKLVKSKTEAPRHRSILAEDAAQIFDNKMSAQKKLQLLNRIATVKDDGTVEFEVPVDVDPNVLACGLRDEHIEVAEEESPEADIQCIPPLQVVILIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSNPSEIPIQRNQMKEIIYSLLPACKEPDPDSGIPFKADAIIANPPAYGHTHVAEALKVPVHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSMIWLGIRDMINDVRKKKLKLRPVTYLSGSQGSDSDVPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPESLVKWLEAGPEPIYIGFGSLPVQEPERMTQIIVDALEQTGQRGIINKGWGGLGNLAEPKDFVYLLDNVPHDWLFLRCKAVVSR >KJB10111 pep chromosome:Graimondii2_0_v6:1:29460080:29474524:-1 gene:B456_001G184700 transcript:KJB10111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQKKLQLLNRIATVKDDGTVEFEVPVDVDPNVLACGLRDEHIEVAEEESPEADIQCIPPLQVVILIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSNPSEIPIQRNQMKEIIYSLLPACKEPDPDSGIPFKADAIIANPPAYGHTHVAEALKVPVHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSMIWLGIRDMINDVRKKKLKLRPVTYLSGSQGSDSDVPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPESLVKWLEAGPEPIYIGFGSLPVQEPERMTQIIVDALEQTGQRGIINKGWGGLGNLAEPKDFVYLLDNVPHDWLFLRCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPPPIPVDEFSLPKLVGAIKFMLDPKVKERANELAKAMENEDGVTGAVKAFLKHLHHKKPEREPSPVQSSLFSISRCFRCS >KJB10117 pep chromosome:Graimondii2_0_v6:1:29460080:29474524:-1 gene:B456_001G184700 transcript:KJB10117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPEHRDDSSSFSSVEIPVKKELEICVDAKQIDSGGDSRAAASGDNSRAGVDFALNNGAAEPYSIVHRSFSEVGALRVGTSNIEKLDSSQPKLVKSKTEAPRHRSILAEDAAQIFDNKMSAQKKLQLLNRIATVKDDGTVEFEVPVDVDPNVLACGLRDEHIEVAEEESPEADIQCIPPLQVVILIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSNPSEIPIQRNQMKEIIYSLLPACKEPDPDSGIPFKADAIIANPPAYGHTHVAEALKVPVHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSMIWLGIRDMINDVRKKKLKLRPVTYLSGSQGSDSDVPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPESLVKWLEAGPEPIYIGFGSLPVQEPERMTQIIVDALEQTGQRGIINKGWGGLGNLAEPKDFVYLLDNVPHDWLFLRCKAVVHHGGAGTTAAGLKAAVKERANELAKAMENEDGVTGAVKAFLKHLHHKKPEREPSPVQSSLFSISRCFRCS >KJB11748 pep chromosome:Graimondii2_0_v6:1:55318738:55319783:1 gene:B456_001G2756001 transcript:KJB11748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGLLLSTMRALDNLGLDIHQAVISCFNGFALDVFRAEQCREGQDVLPEQIKAVLLDSAGFHGIM >KJB11749 pep chromosome:Graimondii2_0_v6:1:55318737:55319783:1 gene:B456_001G2756001 transcript:KJB11749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGLLLSTMRALDNLGLDIHQAVISCFNGFALDVFRAEQCREGQDVLPEQIKAVLLDSAGFHGIM >KJB08933 pep chromosome:Graimondii2_0_v6:1:13280081:13281845:1 gene:B456_001G113900 transcript:KJB08933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQQKSSHVVLVMVTFQGHLTPMLQLASLLHSKGFSITIVHPEFNSPNPSNHPEFTFISIPDKLTESHFSDKDAASPVWNLNKNCAAPLQQCLEKILHSLHHIAAVIYDTLMYSAQPITEDLGLLGIVLRTGSATTMLFYPAFSQLDEERIDFVYEIKSPELQALQLKRLRALLSQNATKAMTEVRVAFANVLKRSSAIIVNSMEFLEPEALSKVKQYSPAPIITIGPLHKFAPAICSSLLTEDDKCISWLNKQAPKSVIYVSFGSIVNFDKEELIEIAWGLSNSKQPFLWVVRPGMVRGSEWIESLPNGFEESVGERGCIVKWAPQKEVLAHAAVGGFWTHSGWNSTIESICEGVPMLCRPFFGDQHLNTSSICNVWKIGLELQNLERGNIERTIKRLLVDMEGNGIRKRAIDLKEKAAFYLMEEGSTSCSLNKLIKHILSV >KJB10986 pep chromosome:Graimondii2_0_v6:1:47404028:47408359:-1 gene:B456_001G236300 transcript:KJB10986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSLPPLPQNLIVLGCGQVAVDFLATVASFPNPDDKIRSTSLKVQGGGNAGNASTCAARLGLNPRLISKVSNDSHGKGILEELEADGVDTSFFIVSEKGNSSFTYVIVDSQTKTRTCIHTPGYPPLIPEELSQSSLLSALDGVNMVYFDGRHHETALVVAKEAARKNIPILVEAEREREGLDDLLDFATYAICSAKFPQAWTEAPSFSSALISMLLRLPKLKFVIGTLGEEGCIMLERSVNGGSDAEEMDVQCLLESLKLIKDDSKTIPTCISSVETKLSANGIGTITGRLFVGTAEKIPPSELVDTTGAGDAFIGAVLYGTFQPTMYFYLLN >KJB10984 pep chromosome:Graimondii2_0_v6:1:47403330:47408644:-1 gene:B456_001G236300 transcript:KJB10984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSLPPLPQNLIVLGCGQVAVDFLATVASFPNPDDKIRSTSLKVQGGGNAGNASTCAARLGLNPRLISKVSNDSHGKGILEELEADGVDTSFFIVSEKGNSSFTYVIVDSQTKTRTCIHTPGYPPLIPEELSQSSLLSALDGVNMVYFDGRHHETALVVAKEAARKNIPILVEAEREREGLDDLLDFATYAICSAKFPQAWTEAPSFSSALISMLLRLPKLKFVIGTLGEEGCIMLERSVNGGSDAEEMDVQCLLESLKLIKDDSKTIPTCISSVETKLSANGIGTITGRLFVGTAEKIPPSELVDTTGAGDAFIGAVLYGMPPEKMLPFAAQVAATGCRALGARTGLPLRTDPRLVSFL >KJB10988 pep chromosome:Graimondii2_0_v6:1:47403382:47408644:-1 gene:B456_001G236300 transcript:KJB10988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSLPPLPQNLIVLGCGQVAVDFLATVASFPNPDDKIRSTSLKVQGGGNAGNASTCAARLGLNPRLISKVSNDSHGKGILEELEADGVDTSFFIVSEKGNSSFTYVIVDSQTKTRTCIHTPGYPPLIPEELSQSSLLSALDGVNMVYFDGRHHETALVVAKEAARKNIPILVEAEREREGLDDLLDFATYAICSAKFPQAWTEAPSFSSALISMLLRLPKLKFVIGTLGEEGCIMLERSVNGGSDAEEMDVQCLLESLKLIKDDSKTIPTCISSVETKLSANGIGTITGRLFVGTAEKIPPSELVDTTGAGDAFIGAVLYGARICVSIKDPLFPKDPNYQ >KJB10987 pep chromosome:Graimondii2_0_v6:1:47403330:47408416:-1 gene:B456_001G236300 transcript:KJB10987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSLPPLPQNLIVLGCGQVAVDFLATVASFPNPDDKIRSTSLKVQGGGNAGNASTCAARLGLNPRLISKVSNDSHGKGILEELEADGVDTSFFIVSEKGNSSFTYVIVDSQTKTRTCIHTPGYPPLIPEELSQSSLLSALDGVNMVYFDGRHHETALVVAKEAARKNIPILVEAEREREGLDDLLDFATYAICSAKFPQAWTEAPSFSSALISMLLRLPKLKFVIGTLGEEGCIMLERSVNGGSDAEEMDVQCLLESLKLIKDDSKTIPTCISSVETKLSANGIGTITGRLFVGTAEKIPPSELVDTTGAGDAFIGAVLYALCAGMPPEKMLPFAAQVAATGCRALGARTGLPLRTDPRLVSFL >KJB10985 pep chromosome:Graimondii2_0_v6:1:47403382:47408656:-1 gene:B456_001G236300 transcript:KJB10985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSLPPLPQNLIVLGCGQVAVDFLATVASFPNPDDKIRSTSLKVQGGGNAGNASTCAARLGLNPRLISKVSNDSHGKGILEELEADGVDTSFFIVSEKGNSSFTYVIVDSQTKTRTCIHTPGYPPLIPEELSQSSLLSALDGVNMVYFDGRHHETALVVAKEAARKNIPILVEAEREREGLDDLLDFATYAICSAKFPQAWTEAPSFSSALISMLLRLPKLKFVIGTLGEEGCIMLERSVNGGSDAEEMDVQCLLESLKLIKDDSKTIPTCISSVETKLSANGIGTITGRLFVGTAEKIPPSELVDTTGAGDAFIGAVLYALCAGMPPEKMLPFAAQVAATGCRALGARTGLPLRTDPRLVSFL >KJB10983 pep chromosome:Graimondii2_0_v6:1:47403330:47408656:-1 gene:B456_001G236300 transcript:KJB10983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSLPPLPQNLIVLGCGQVAVDFLATVASFPNPDDKIRSTSLKVQGGGNAGNASTCAARLGLNPRLISKVSNDSHGKGILEELEADGVDTSFFIVSEKGNSSFTYVIVDSQTKTRTCIHTPGYPPLIPEELSQSSLLSALDGVNMVYFDGRHHETALVVAKEAARKNIPILVEAEREREGLDDLLDFATYAICSAKFPQAWTEAPSFSSALISMLLRLPKLKFVIGTLGEEGCIMLERSVNGGSDAEEMDVQCLLESLKLIKDDSKTIPTCISSVETKLSANGIGTITGRLFVGTAEKIPPSELVDTTGAGDAFIGAVLYALCAGMPPEKMLPFAAQVAATGCRALGARTGLPLRTDPRLVSFL >KJB08652 pep chromosome:Graimondii2_0_v6:1:10669541:10674411:1 gene:B456_001G095700 transcript:KJB08652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQSKLSLFHCCLILFSVLTISSTTAFDYGDALMKSLLYFESQRSGRLPYNQRVTWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSVIEYQDQIADAGELEHALEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKIDEKNPGSDLAGETAAAMAAASIVFKKTNPHYSHLLLHHAQELFEFGDKYRGKYDGSIGVVKSYYASVSGFMDELLWAALWLHEATDKEDYYLKYVINKAHCFGGIGWAISEFSWDVKYAGVQVLASMLLEKAKHEHEGHVLKQYRSKAEYYLCSCLNKNNATSDNVERTPGGLLYIRQWNNMQYVSTATFLLTVYSDFLRNSKQHLRCPTGTIDTEEILSFAKSQVDYILGSNPMNMSYLVGYGSKYPIRVHHRGASIVSYRENKGFIGCTQGYDNWYSRVEPNPNVLVGALVGGPDCRDNFMDQRDNYMQTEACTYNTAPLVGVFARLLQLEENLEVELVASY >KJB08774 pep chromosome:Graimondii2_0_v6:1:11492099:11494117:1 gene:B456_001G103000 transcript:KJB08774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQELELLMMHNRTYCAEIAHDVSTKKRKEIVERAAQLDVVVTNKLARLRSQEDE >KJB08775 pep chromosome:Graimondii2_0_v6:1:11491869:11494149:1 gene:B456_001G103000 transcript:KJB08775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQELELLMMHNRTYCAEIAHDVSTKKRKEIVERAAQLDVVVTNKLARLRSQEDE >KJB08797 pep chromosome:Graimondii2_0_v6:1:13409932:13410702:-1 gene:B456_001G114500 transcript:KJB08797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALANRAAQNQTTGLLRLSFNFFRNLSTATSTESPGASSTASKKPKRKKKKNLFEVAQFLPNWGLGYHMAKTHWTDVSYQITKINLYKDGRHGKAWGVVHKDG >KJB08796 pep chromosome:Graimondii2_0_v6:1:13408415:13410679:-1 gene:B456_001G114500 transcript:KJB08796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALANRAAQNQTTGLLRLSFNFFRNLSTATSTESPGASSTASKKPKRKKKKNLFEVAQFLPNWGLGYHMAKTHWTDVSYQITKINLYKDGRHGKAWGVVHKDDAPKKISGVHKRCWRYIPHLSKPRDTAPTLMKPTENAPKAESELA >KJB08795 pep chromosome:Graimondii2_0_v6:1:13408292:13410710:-1 gene:B456_001G114500 transcript:KJB08795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALANRAAQNQTTGLLRLSFNFFRNLSTATSTESPGASSTASKKPKRKKKKNLFEVAQFLPNWGLGYHMAKTHWTDVSYQITKINLYKDGRHGKAWGVVHKDGAAAADAPKKISGVHKRCWRYIPHLSKPRDTAPTLMKPTENAPKAESELA >KJB09710 pep chromosome:Graimondii2_0_v6:1:22728289:22730169:1 gene:B456_001G158300 transcript:KJB09710 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01310) UniProtKB/Swiss-Prot;Acc:O04603] MASPSLLQSTSSSFHGPSPFLAPPFSARLPYANPRNGYAGVVSVRATGEIVLVDKSEAEKPYRLKTTYLDKIIPLLKEEFKYTNIHQVPKLEKIVVNCGIGDAAQNAKGLEAAMNEMALITGQRPVKTRARNSIATFKIREGQPLGIAVTLRGNVMYSFLDRLINLGLPRTRDFQGLNPNSFDGHGNYSVGIREQSVFPEIRFDALGKPRGMDICITTTAKSDKEGQKLLALMGMPFREGGGGGGPAAQQRKKKLRAHHFDRKGGKGAKGGRR >KJB09725 pep chromosome:Graimondii2_0_v6:1:22931666:22940873:-1 gene:B456_001G159200 transcript:KJB09725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMAYGYPQVIPLEQGQYPSSQKIIYLKLINRLLLIVSPLHFELWSSSQHRVRLGRYKRDGDSLQREGENLQAVWSPDTKLIAILTSSFYLHIFKVQFTERKVQIGGKQPSGLFLATITRVLNEQVPFDGNDLAVSNIVCDNKHMLLGLSDGSLYSISWKGEFYGAFGLDSSQHNDSEVTSLSHSLANSIASGEAERAFASNYRVSKKSAIAQLEFCVSMRLLLVLYSDGQLVSCSVSKKGLKPVESIKAEKSLGNGDAVCTSIAGDQLILAVGTRRGVVELFDLADSGSLIRTVSLYDWGYTMEDTGSVSCISWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTIRQIGLSSASSPVVKPNQECKYEPLMGGTSLMQWDDYGYRLYAIEEGSLERILAFSFGKCCLSRGVSGMTYVRQVIYGEDRLLVVQSEDTDELKMLHLNLPVSYISQNWPVQHVAASKDGMYLAVAGLHGLILYDIRQKKWRVFGDISQEQKIQCKGLLWLGKIVVVCNYIDSSNMYELLFYPRYHLDQSSLLCRKPLLAKPMVMDVYEDYILVTYRPFDVHIFHVKLFGELSPTSTPDLQLSTVRELSIMTAKSHPAAMRFIPDQIPRDSALDNHISSSSDLLAREPARCLILRANGELSLLDLDDGRERELTNSVELFWVTCGQSEEKTNLIEDVSWLDYGYRGMQVWYPSPGVDSFKQEDFLQLDPDLEFDREVYPLGLLPNAGVVVGVSQRMSFSACTEFPCFEPTPQAQTILHCLLRHLLQRNKSEEALRLAQISAEKPHFSHCLEWLLFTVFDAEISRQNVNKNQVSVQKQNVSLLEKTCDLIRNFPEYLDVVVSVARKTDGRHWADLFNAAGRSTELFEDCFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQVRFLLRSGRDYEQASADSDRLSPRFLGYFLFRSSYRRPSLDKSTSFKDQSAHIAPVKNILENHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERYGSARLENFASGLELIGQKLQMGTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRSEVLFDLFRHDMRLWKAYNMTLQSHPSFAEYHDLLDDLEEKLSSTANAEEK >KJB09724 pep chromosome:Graimondii2_0_v6:1:22931652:22940967:-1 gene:B456_001G159200 transcript:KJB09724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMAYGYPQVIPLEQGQYPSSQKIIYLKLINRLLLIVSPLHFELWSSSQHRVRLGRYKRDGDSLQREGENLQAVWSPDTKLIAILTSSFYLHIFKVQFTERKVQIGGKQPSGLFLATITRVLNEQVPFDGNDLAVSNIVCDNKHMLLGLSDGSLYSISWKGEFYGAFGLDSSQHNDSEVTSLSHSLANSIASGEAERAFASNYRVSKKSAIAQLEFCVSMRLLLVLYSDGQLVSCSVSKKGLKPVESIKAEKSLGNGDAVCTSIAGDQLILAVGTRRGVVELFDLADSGSLIRTVSLYDWGYTMEDTGSVSCISWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTIRQIGLSSASSPVVKPNQECKYEPLMGGTSLMQWDDYGYRLYAIEEGSLERILAFSFGKCCLSRGVSGMTYVRQVIYGEDRLLVVQSEDTDELKMLHLNLPVSYISQNWPVQHVAASKDGMYLAVAGLHGLILYDIRQKKWRVFGDISQEQKIQCKGLLWLGKIVVVCNYIDSSNMYELLFYPRYHLDQSSLLCRKPLLAKPMVMDVYEDYILVTYRPFDVHIFHVKLFGELSPTSTPDLQLSTVRELSIMTAKSHPAAMRFIPDQIPRDSALDNHISSSSDLLAREPARCLILRANGELSLLDLDDGRERELTNSVELFWVTCGQSEEKTNLIEDVSWLDYGYRGMQVWYPSPGVDSFKQEDFLQLDPDLEFDREVYPLGLLPNAGVVVGVSQRMSFSACTEFPCFEPTPQAQTILHCLLRHLLQRNKSEEALRLAQISAEKPHFSHCLEWLLFTVFDAEISRQNVNKNQVSVQKQNVSLLEKTCDLIRNFPEYLDVVVSVARKTDGRHWADLFNAAGRSTELFEDCFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDYEQASADSDRLSPRFLGYFLFRSSYRRPSLDKSTSFKDQSAHIAPVKNILENHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERYGSARLENFASGLELIGQKLQMGTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRSEVLFDLFRHDMRLWKAYNMTLQSHPSFAEYHDLLDDLEEKLSSTANAEEK >KJB07986 pep chromosome:Graimondii2_0_v6:1:5531535:5533127:-1 gene:B456_001G057000 transcript:KJB07986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFWTLLSNLHALAGPVVMLLYPLYASVIAIETPGKEDDEQWLAYWILYSLLTLTEMVLQSVLEWIPIWYTVKLVFMAWMVLPQFRGAAFIYERFVRDQIKKQGFLRENNNHKSHHHRSGNANSPNGKGKKKFVHFIVPKKGEQEAY >KJB07987 pep chromosome:Graimondii2_0_v6:1:5531535:5534781:-1 gene:B456_001G057000 transcript:KJB07987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYPLYASVIAIETPGKEDDEQWLAYWILYSLLTLTEMVLQSVLEWIPIWYTVKLVFMAWMVLPQFRGAAFIYERFVRDQIKKQGFLRENNNHKSHHHRSGNANSPNGKGKKKFVHFIVPKKGEQEAY >KJB09947 pep chromosome:Graimondii2_0_v6:1:26343930:26345955:-1 gene:B456_001G177000 transcript:KJB09947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGFSRPNATSGMGVADQTKDTFLELKRKKVYRYVIYRIDEKKREVVVEKTGAPAETYDDFAASLPETDCRYAVYDFDFVTSENCQKSKIFFIAWCPSSSRIRAKMLYATSKDRLRRELDGIHYEIQATDPTEMDLEVLRDRAH >KJB09948 pep chromosome:Graimondii2_0_v6:1:26343968:26345451:-1 gene:B456_001G177000 transcript:KJB09948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVADQTKDTFLELKRKKVYRYVIYRIDEKKREVVVEKTGAPAETYDDFAASLPETDCRYAVYDFDFVTSENCQKSKIFFIAWCPSSSRIRAKMLYATSKDRLRRELDGIHYEIQATDPTEMDLEVLRDRAH >KJB07315 pep chromosome:Graimondii2_0_v6:1:1478434:1485321:-1 gene:B456_001G015800 transcript:KJB07315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRGAPAIAIAAALSLAVEVANFKDFNGTSEEAASFIELKLEYLVSSRPTAVNLSDAAKKLIEITSNAASTTIMPESVFQAYIEAAEIMLDDDVASNKAIGSYGSRFLQDQRKIVPRFSVLTHCNTGSLATAGYGTALGVIRALHAEGVLERAYCTETRPFNQGSWLTAFELVHDKIPATLIADSAAAALMKESRLSAVIVGADPVAANGDTANKIRTYSLAVCAMHHHIPFYIAASLSSIDLSLSSGEEIVIEERSSKELLHSRGGLGEQVAASGILVWNPAFDVTPAYLITGCHY >KJB07314 pep chromosome:Graimondii2_0_v6:1:1481787:1485321:-1 gene:B456_001G015800 transcript:KJB07314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRGAPAIAIAAALSLAVEVANFKDFNGTSEEAASFIELKLEYLVSSRPTAVNLSDAAKKLIEITSNAASTTIMPESVFQAYIEAAEIMLDDDVASNKAIGSYGSRFLQDQRKIVPRFSVLTHCNTGSLATAGYGTALGVIRALHAEGVLERAYCTETRPFNQGSWLTAFELVHDKIPATLIADSAAAALMKESRLSAVIVGADPVAANGDTANKIRTYSLAVCAMHHHIPFYIAASLSSIDLSLSSGEEIVIEERSSKELLHSRGGLGEQVAASGILVWNPAFDVTPAYLITGIVTEKGVITKNNDAFNIKDFVEKAAGKSTA >KJB07316 pep chromosome:Graimondii2_0_v6:1:1482360:1484879:-1 gene:B456_001G015800 transcript:KJB07316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRGAPAIAIAAALSLAVEVANFKDFNGTSEEAASFIELKLEYLVSSRPTAVNLSDAAKKLIEITSNAASTTIMPESVFQAYIEAAEIMLDDDVASNKAIGSYGSRFLQDQRKIVPRFSVLTHCNTGSLATAGYGTALGVIRALHAEGVLERAYCTETRPFNQGSWLTAFELVHDKIPATLIADSAAAALMKESRLSAVIVGADPVAANGDTANKIRTYSLAVCAMHHHIPFYIAASLSSIDLSLSSGEEIVIEERSSKELLHSRGGLGEQVAASGILVWNPAFDVTPAYLITGIVTEKGVITKNNDAFNIKDFVEKAAGKSTA >KJB07703 pep chromosome:Graimondii2_0_v6:1:3658585:3661080:1 gene:B456_001G039300 transcript:KJB07703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPLNSLIQSSAYAFYKVLTTHCHALKLGTLADVYTANKILNAYTRWKELHIARKLFDEIPHRDTVSWNTMIAGFVNCGNLETACKILKNMRICDFDFDGYSFGSLLKGVASAYRLEVGQQLHSIVIKMGYEENVYAGSALLDMYAKCEKVEDAYTVFEYLPEPNSVSWNALIAGFSKVGDRSTAFWLLHCMEKEGVRAEDGTFAPLLTLLDDIEFYKLTIQIHGKIVKHGLAFDNTVCNAMITAYSECGSIRDGRKVFDGAVGMRDLVTWNSMLAAYLVHEEEELGFQLFLDMQRLGFEPDIYTYTSILSGCFEKAHKSHGQSLHAVVIKRGLEYLVPISNALIAMYLKSNNTSMGEALKLFESMELKDRVSWNSILTGFSQIGLNEDALKLFGQMRSLMVEIDHYAFSAVLRSCADLATLQLGRQVHVLAIKSGFETNDFVASALIFLYSKCGIIEDARKSFEETPNDSSIAWNSLIFGYAQNGQGSIALDLFFLMRDRKVRLDHITFVAVLTACSHIGLVEEGLNFLKSMESDYGIPPRMEHYACAVDLLGRARRLGEARTLIESMPFKPDAMVWKTLLGACRVCGDIELATQVASHLLELEPEEHCTYVLLSHLYGHLRRWDEKANLTRLMRERGVKKVPGWSWIEIKNQVHAFNAEDQSHPLCKEIYQMLGELMEEITWLDTDTGLDILISDFDEPCESCDAKLLSAGNF >KJB07702 pep chromosome:Graimondii2_0_v6:1:3658427:3661080:1 gene:B456_001G039300 transcript:KJB07702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPLNSLIQSSAYAFYKVLTTHCHALKLGTLADVYTANKILNAYTRWKELHIARKLFDEIPHRDTVSWNTMIAGFVNCGNLETACKILKNMRICDFDFDGYSFGSLLKGVASAYRLEVGQQLHSIVIKMGYEENVYAGSALLDMYAKCEKVEDAYTVFEYLPEPNSVSWNALIAGFSKVGDRSTAFWLLHCMEKEGVRAEDGTFAPLLTLLDDIEFYKLTIQIHGKIVKHGLAFDNTVCNAMITAYSECGSIRDGRKVFDGAVGMRDLVTWNSMLAAYLVHEEEELGFQLFLDMQRLGFEPDIYTYTSILSGCFEKAHKSHGQSLHAVVIKRGLEYLVPISNALIAMYLKSNNTSMGEALKLFESMELKDRVSWNSILTGFSQIGLNEDALKLFGQMRSLMVEIDHYAFSAVLRSCADLATLQLGRQVHVLAIKSGFETNDFVASALIFLYSKCGIIEDARKSFEETPNDSSIAWNSLIFGYAQNGQGSIALDLFFLMRDRKVRLDHITFVAVLTACSHIGLVEEGLNFLKSMESDYGIPPRMEHYACAVDLLGRARRLGEARTLIESMPFKPDAMVWKTLLGACRVCGDIELATQVASHLLELEPEEHCTYVLLSHLYGHLRRWDEKANLTRLMRERGVKKVPGWSWIEIKNQVHAFNAEDQSHPLCKEIYQMLGELMEEITWLDTDTGLDILISDFDEPCESCDAKLLSAGNF >KJB08488 pep chromosome:Graimondii2_0_v6:1:9069322:9078654:-1 gene:B456_001G085300 transcript:KJB08488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSESDHHSILSDNPFVEVELDCCSFLQEKMELWDKNMKTTEVVFEAVLPVLKLLSMALIGLLLSHPKIQMIPKPTLRLLSKLVFFLFWPCLIFTHLGPVISVKKFIQWWFVPINVVISTAVGSVLGFFVALICRPPPEFFRLTVIMTAFGNTGNIPLAVVSSVCHNEDNPFGGTCYDGIAYAGFSQWVSVVLVYTLIYHMMEPPLEYYEVVEEGTEIEELTDLPTENENDLSTPLLIEAEWTGIEDQRTEHCQTPLVATIFNSISDVSQSNIPDIESIREMPSSPRSYGCLAEPKVVRKIRIVVERTPINQILQPPLTATVLAIVIGIIPQIKATVFGSDAPLDFITDSLAMISDGMVPAVMLVLGGMLWEGPNESKLGLRTTIGIVVARLLILPLAGIGIIYLADKWNCLIADDAMYRFVLLMQYATPSAILLGAIASLRGYAVGEASALLFWQHVFGLVSISVYIFIYFKLLVTLF >KJB08487 pep chromosome:Graimondii2_0_v6:1:9072831:9078654:-1 gene:B456_001G085300 transcript:KJB08487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSESDHHSILSDNPFVEVELDCCSFLQEKMELWDKNMKTTEVVFEAVLPVLKLLSMALIGLLLSHPKIQMIPKPTLRLLSKLVFFLFWPCLIFTHLGPVISVKKFIQWWFVPINVVISTAVGSVLGFFVALICRPPPEFFRLTVIMTAFGNTGNIPLAVVSSVCHNEDNPFGGTCYDGIAYAGFSQWVSVVLVYTLIYHMMEPPLEYYEVVEEGTEIEELTDLPTENENDLSTPLLIEAEWTGIEDQRTEHCQTPLVATIFNSISDVSQSNIPDIESIREMPSSPRSYGCLAEPKVVRKIRIVVERTPINQILQPPLTATVLAIVIGIIPQIKATVFGSDAPLDFITDSLAMISDGMVPAVMLVLGGMLWEGPNESKLGLRTTIGIVVARLLILPLAGIGIIYLADKWNCLIADDAMYRFVLLMQYATPSAILLGAIASLRGYAVGEASALLFWQHVFGLVSISVYIFIYFKLLVTLF >KJB08489 pep chromosome:Graimondii2_0_v6:1:9072831:9078586:-1 gene:B456_001G085300 transcript:KJB08489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSESDHHSILSDNPFVEVELDCCSFLQEKMELWDKNMKTTEVVFEAVLPVLKLLSMALIGLLLSHPKIQMIPKPTLRLLSKLVFFLFWPCLIFTHLGPVISVKKFIQWWFVPINVVISTAVGSVLGFFVALICRPPPEFFRLTVIMTAFGNTGNIPLAVVSSVCHNEDNPFGGTCYDGIAYAGFSQWVSVVLVYTLIYHMMEPPLEYYEVVEEGTEIEELTDLPTENENDLSTPLLIEAEWTGIEDQRTEHCQTPLVATIFNSISDVSQSNIPDIESIREMPSSPRSYGCLAEPKVVRKIRIVVERTPINQILQPPLTATVLAIVIGIIPQIKATVFGSDAPLDFITDSLAMISDGMVPAVMLVLGGMLWEGPNESKLGLRTTIGIVVARLLILPLAGIGIIYLADKWNCLIADDAMYRFVLLMQYATPSAILLGAIASLRGYAVGEASALLFWQHVFGLVSISVYIFIYFKLLVTLF >KJB08433 pep chromosome:Graimondii2_0_v6:1:8622657:8624057:-1 gene:B456_001G081300 transcript:KJB08433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIEKHAVQPFSKPFTIHNLVYYAISFVFGLSIGILISLQLKSSSPRPLIVFQAAPNFVSSTSPPVPPPSPRNGTFNSSTGISLKEEYQSVMHNMSDQELLLRASSRVPRLQESRGHPKIAFMFLTGGPLPLAPLWENFFEGHQGFYTIYVHSHPHYNQTVPQTSVFYGRRIPSQPVYWGTATMIDAERRLLANALLDPSNQRFVLLSDSCIPLFNFTTIYDYLITSTLSFISVYDDPGKDGRGRYNPQMSPVINATDWLKGSQWFEMHRDMALHIVSDETYYSIFKQYCRPPCYNDEHYIATMVNMLYGKLNSKRSITWVDWSREGAHPRKYGDADINHELLSQIRYGSECIYNGNTTSICFLFARKFSPSTLKPMMRLLHFQY >KJB08526 pep chromosome:Graimondii2_0_v6:1:9244195:9246619:-1 gene:B456_001G086700 transcript:KJB08526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAADNQSPSSSDVDVTPRGASSAVLRALQLIQYDDSDSKLQAAKEIRRLTKTSQRCRRLLAEAVIPLVSMLRVDSPQSHHESALLALLNLAVKDERNKMSIVEAGALDHVIRFLQSENINLQESATAALLTLSASISNKPIIGASGAIPLLVNILKHGTTQAKVDAVMALSNLSTYTDNLTVIIETDPVPSVVTLLKSSKRSSKTAEKCCSVIESMVGFDEGRTALAAEDGGVLAVVEVLENGTRQAREHAVGALLTICESDRCKYRELILKEGVIPGLLELTVQGTPKSQTKAQTLLRLLRETPYPRSEFQPDTLENIVCNIISQIDSDEQSSKAKKMLAEMVQVSMEQSLRHLQQRALVCTPTDL >KJB06375 pep chromosome:Graimondii2_0_v6:1:44391215:44394044:-1 gene:B456_001G219400 transcript:KJB06375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNKGNYVVKVDGVDISPNPMISGKPATFTVSSSTGQAITGGKAVIEVYFFGFHIHQETHDLCEETSCPITVGNFVLSHNKVLPGFTPPGSYKLKMTLSGAGIKQLTCISFDFKISFGASESSVSDS >KJB08985 pep chromosome:Graimondii2_0_v6:1:13960571:13962122:1 gene:B456_001G116800 transcript:KJB08985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEELIKIASLSCWEFLSVVGRLQVEQQKQVQDFQEEVLERAKRAKEQAAREELEAQRLIPKSTSLSTSMGSGATANNGAAAKASPSTANNGVSTTPSSYNPPNPAAANTDPGPDTKKE >KJB07403 pep chromosome:Graimondii2_0_v6:1:1894893:1897190:1 gene:B456_001G020300 transcript:KJB07403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPIKYGAHHHHHHHHHHLQQQQFMEDDDASSSDSIFFISNPHNHQQQPVFPYPLHSQQHNKQHENPVTHQLFHHQFQPFQHPEPVHHHNQQQPFLAVNFKLGLNENSGKKEAALPLNPQQNGATFLHGNEQQQQHSLFLPRCLPPQEDSPIKEPFWKPLNRLDNRQCSADGAKMVEGTKYNKVLQQPGQSTSERSRNLDSKYGLFGELEAIYGLGKRGEAAQAGSGSALTGENSPANVGPSMNLTKFQEHDVVGANGGGGNVVATGVDHGSEASIGKEASLRKVQKKKRKMKMKEQLSSMVVAFESLVKQVTDHQECLHKRFLEFIERMDKERSVKEESWRQQEAEKRNREAVARAHEQALASSREALIVSYLEKITGESINLPGKTPLLQQPGNAMEPFNGVKVDNSSRWPIAEVEALIQVRCDLESKFREPGLKGPVWEQVSSLMSSFGYQRSAKKCKEKWENINKYFRKSKENGKKRSQQSKTCSYFDQLGQLYSRIPITCPTSPTPLINSDIEVQKQGDSDFLEAYIPERDLVTAQVNISGNLKDSGMNIPKLDFDGIVGENVAQGSKVKYNESHESELDGDDNDSDKGE >KJB07402 pep chromosome:Graimondii2_0_v6:1:1894762:1897190:1 gene:B456_001G020300 transcript:KJB07402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPIKYGAHHHHHHHHHHLQQQQFMEDDDASSSDSIFFISNPHNHQQQPVFPYPLHSQQHNKQHENPVTHQLFHHQFQPFQHPEPVHHHNQQQPFLAVNFKLGLNENSGKKEAALPLNPQQNGATFLHGNEQQQQHSLFLPRCLPPQEDSPIKEPFWKPLNRLDNRQCSADGAKMVEGTKYNKVLQQPGQSTSERSRNLDSKYGLFGELEAIYGLGKRGEAAQAGSGSALTGENSPANVGPSMNLTKFQEHDVVGANGGGGNVVATGVDHGSEASIGKEASLRKVQKKKRKMKMKEQLSSMVVAFESLVKQVTDHQECLHKRFLEFIERMDKERSVKEESWRQQEAEKRNREAVARAHEQALASSREALIVSYLEKITGESINLPGKTPLLQQPGNAMEPFNGVKVDNSSRWPIAEVEALIQVRCDLESKFREPGLKGPVWEQVSSLMSSFGYQRSAKKCKEKWENINKYFRKSKENVT >KJB09025 pep chromosome:Graimondii2_0_v6:1:14555085:14562618:-1 gene:B456_001G119800 transcript:KJB09025 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleobase-ascorbate transporter 12 [Source:Projected from Arabidopsis thaliana (AT2G27810) TAIR;Acc:AT2G27810] MSSSDPKTRPKPVTRPPAPVPPSSWAKRTGFKPKFSGETNASDSGQIALPPRSRENENQPDLEAGRARPVPPVVNGEQPVSEKGPAEKDQSVKKRREADGTNKGSSAAAANGHTVNNGAAPQPQQPTRRPSRNEDVVDVLPQNVDDEGFVGRHSHMKYELRDTPGLVPIGLYGFQHYLSMLGSLILIPLVIVPAMGGTYEDTANVVSTVLFVSGVTTLLHSFFGSRLPLIQGSSFVFLAPALAIINSPEFRGLNGNNFKHIMKELQGAIIIASAFQALLGYSGLMSLFLRLINPVVVAPTVAAVGLSFYSYGFPQVGNCLEIGAVQILLVIIFSLYLRKISVLGHRIFLIYAVPLGLVITWAAAFLLTEAGAYSYKGCDTNIPSSNIVSEHCRKHVSRMKHCRVDTSHAFKSSPWFRFPYPLQWGTPVFHWKMAIVMCVVSIIASVDSVGSYHASSLLVSSRPPTPGVVSRGIGLEGLSSILAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVELGACVLIVLSLVGKVGGFIASIPEVMVAALLCFMWAMLAALAYLQQYGISPNSNLSVPSYFQPYIVTSHGPFRTKYEGVNYIMNTLLSLHMVIAFIVAVILDNTVPGSRQERGVYVWSESEAARREPAVVKDYELPFRVGRIFRWVKWVGL >KJB09024 pep chromosome:Graimondii2_0_v6:1:14555036:14562813:-1 gene:B456_001G119800 transcript:KJB09024 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleobase-ascorbate transporter 12 [Source:Projected from Arabidopsis thaliana (AT2G27810) TAIR;Acc:AT2G27810] MSSSDPKTRPKPVTRPPAPVPPSSWAKRTGFKPKFSGETNASDSGQIALPPRSRENENQPDLEAGRARPVPPVVNGEQPVSEKGPAEKDQSVKKRREADGTNKGSSAAAANGHTVNNGAAPQPQQPTRRPSRNEDVVDVLPQNVDDEGFVGRHSHMKYELRDTPGLVPIGLYGFQHYLSMLGSLILIPLVIVPAMGGTYEDTANVVSTVLFVSGVTTLLHSFFGSRLPLIQGSSFVFLAPALAIINSPEFRGLNGNNFKHIMKELQGAIIIASAFQALLGYSGLMSLFLRLINPVVVAPTVAAVGLSFYSYGFPQVGNCLEIGAVQILLVIIFSLYLRKISVLGHRIFLIYAVPLGLVITWAAAFLLTEAGAYSYKGCDTNIPSSNIVSEHCRKHVSRMKHCRVDTSHAFKSSPWFRFPYPLQWGTPVFHWKMAIVMCVVSIIASVDSVGSYHASSLLVSSRPPTPGVVSRGIGLEGLSSILAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVELGACVLIVLSLVGKVGGFIASIPEVMVAALLCFMWAMLAALGLSNLRYSEAGSSRNIIIVGLSLFFSLSIPAYLQQYGISPNSNLSVPSYFQPYIVTSHGPFRTKYEGVNYIMNTLLSLHMVIAFIVAVILDNTVPGSRQERGVYVWSESEAARREPAVVKDYELPFRVGRIFRWVKWVGL >KJB11717 pep chromosome:Graimondii2_0_v6:1:55139322:55141496:-1 gene:B456_001G273900 transcript:KJB11717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVIGNPLIKALIILFLLSATTAAGDAPFIISHKKASLTRLKSGAERVSVSIDIYNQGFATAYDVSLVDDSWPKDLFDIISGNTSNSWERLDAGGILSHSFEIDAKRQGMFHGAPAVITYRVPTKVSLQEAYSTPILPLDILAERPTENKLDWVKAAF >KJB11715 pep chromosome:Graimondii2_0_v6:1:55139222:55141567:-1 gene:B456_001G273900 transcript:KJB11715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVIGNPLIKALIILFLLSATTAAGDAPFIISHKKASLTRLKSGAERVSVSIDIYNQGFATAYDVSLVDDSWPKDLFDIISGNTSNSWERLDAGGILSHSFEIDAKRQGMFHGAPAVITYRVPTKVSLQEAYSTPILPLDILAERPTENKLDWAKRLLSKYGSQLSVVSIVLLFIYLVATPSKASAAKASKKKR >KJB11718 pep chromosome:Graimondii2_0_v6:1:55139336:55141496:-1 gene:B456_001G273900 transcript:KJB11718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVIGNPLIKALIILFLLSATTAAGDAPFIISHKKASLTRLKSGAERVSVSIDIYNQGFATAYDVSLVDDSWPKDLFDIISGNTSNSWERLDAGGILSHSFEIDAKRQGMFHGAPAVITYRVPTKVSLQEAYSTPILPLDILAERPTENKLDWLQRLLSKYGSQLSVVSIVLLFIYLVATPSKASAAKASKKKR >KJB11719 pep chromosome:Graimondii2_0_v6:1:55139811:55141496:-1 gene:B456_001G273900 transcript:KJB11719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVIGNPLIKALIILFLLSATTAAGDAPFIISHKKASLTRLKSGAERVSVSIDIYNQGFATAYDVSLVDDSWPKDLFDIISGNTSNSWERLDAGGILSHSFEIDAKRQGMFHGAPAVITYRVPTKVSLQEAYSTPILPLDILAERPTENKLDWAKVMNGSFYIC >KJB11716 pep chromosome:Graimondii2_0_v6:1:55139240:55141496:-1 gene:B456_001G273900 transcript:KJB11716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVIGNPLIKALIILFLLSATTAAGDAPFIISHKKASLTRLKSGAERVSVSIDIYNQGFATAYDVSLVDDSWPKDLFDIISGNTSNSWERLDAGGILSHSFEIDAKRQGMFHGAPAVITYRVPTKVSLQEAYSTPILPLDILAERPTENKLDWLQRLLSKYGSQLSVVSIVLLFIYLVATPSKASAAKASKKKR >KJB08521 pep chromosome:Graimondii2_0_v6:1:9195247:9204523:-1 gene:B456_001G086200 transcript:KJB08521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMLLCFAFAVIIGIGNVAVMDTGGLSRESFPMGFVFGTSTSAYQVEGMANKGGRGPSIWDVYVKQPGHIANNDTADVADDQYHHYKEDVDLLAKFNFDAYRFSISWSRIFPEGVGKVNWAGVAYYNRMINYLVKKGITPYGNLYHSDLPLALQEKYNGFLNHQFVEDYVDYAEFCFKTFGDRVKNWFTFNEPRIVAALGFDNGVIPPLRCSKEVGNCNTGNSAIEPYIVGHNLILSHAKAVKKYREKYQAKQKGRIGILLDFNWYEPLTRSKADNYAAQRARDFHVGWFLHPIRYDEYPKTMQEIIGERLPKFTEEEVKMVNGSFDYVGINQYTTRYISSPKTPSNVTSYQTDWNATFASKFWVALYCTLGYVQSHDLCKRAVREPEYYYLRKWASKNQVEKVD >KJB07432 pep chromosome:Graimondii2_0_v6:1:2163662:2166789:1 gene:B456_001G022900 transcript:KJB07432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGRPPIMDLKTAYDVELSGSRIQHELWPLDEIDPRKAKFPCCLVWTPLPVVSWLAPFIGHVGICREAGAILDFSGSYFVNVEDFAFGAAARYVQLDREKCCFPPNLAGHKCKHGYQHEEFGTALTWDDALQLSMRHFEHKSYNLFTCNSYSFVANCLNRLCYEGSMDWNMITVAALILFKGQWVNSMSVIRSFLPFTVVLCLGLLLVGWPFLVGLFSFSLLLFGWFLLGTYCVKTLLES >KJB07431 pep chromosome:Graimondii2_0_v6:1:2163662:2166789:1 gene:B456_001G022900 transcript:KJB07431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGRPPIMDLKTAYDVELSGSRIQHELWPLDEIDPRKAKFPCCLVWTPLPVVSWLAPFIGHVGICREAGAILDFSGSYFVNVEDFAFGAAARYVQLDREKCCFPPNLAGHKCKHGYQHEEFGTALTWDDALQLSMRHFEHKSYNLFTCNSYSFVANCLNRLCYEGSMDWNMITVAALILFKGQWVNSMSVIRSFLPFTVVLCLGLLLVGWPFLVGLFSFSLLLFGWFLLGWCVLSKP >KJB07936 pep chromosome:Graimondii2_0_v6:1:5839150:5844560:-1 gene:B456_001G059200 transcript:KJB07936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLQLERETSIGMQVEDLWDVQESKLNPTQKLNACFENIPVSAFPHTPQGIEIKSDASLAEAVQILAQNKILSAPVVNVDAPEDASWIDRYLGIVEFAGIAVWILQQSEPPSPTNHSPTGAEPAVASANGMPSSAELRTLGSEDASITAGDFFEALTSSEFYKKTKVRDISGSFRWAPFLALQQSNSFLTMLLLLSKYKMKSIPIVDLGAGNINNIITQSAVIHMLAECTGLQWFESWGTKKLSEIGLPTISRNQLVTVHEDEPVLQAFRLMRKKRVGGVPVVTGDGKKAIGNISLRDVQFLLTAPEIYHDYRLITAKNFLLAVKNYLEKHDTRSPMLSGMITCKTNETIKELIQMLDSLKIQRVYVVDDDGNLEGTITLRDIISRLVYEPPGYFGDFFDGVLPLPENCRV >KJB10101 pep chromosome:Graimondii2_0_v6:1:28836973:28837684:-1 gene:B456_001G183700 transcript:KJB10101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYLNVVLVLALVVVQATARNVPSDAAGLNDQKNLLTYGGIGGYSGMGSNGMPMGGVGSVGGMTGLGGTGGMGAMVGVGGNEGGVGIDNAPGVVHFP >KJB08530 pep chromosome:Graimondii2_0_v6:1:9290488:9292664:-1 gene:B456_001G087000 transcript:KJB08530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALYSTSSCFCGSLGSYPVHKSVKLGHGKVRDSGFYFPHRFQCATPKLHVQAKALSVDGLPEIMDSSLVVCFGEMLIDFVPTISGLSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLADILKENNVNNEGMRFDPGARTALAFVTLRSDGEREFMFYRNPSADMLLQENELDFDLITKATIFHYGSISLITEPCKSAHIAAAKVAKDAGVVLSYDPNLRLPLWPSAESARKGILSIWDTADIIKVECNA >KJB08529 pep chromosome:Graimondii2_0_v6:1:9288885:9292971:-1 gene:B456_001G087000 transcript:KJB08529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALYSTSSCFCGSLGSYPVHKSVKLGHGKVRDSGFYFPHRFQCATPKLHVQAKALSVDGLPEIMDSSLVVCFGEMLIDFVPTISGLSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLADILKENNVNNEGMRFDPGARTALAFVTLRSDGEREFMFYRNPSADMLLQENELDFDLITKATIFHYGSISLITEPCKSAHIAAAKVAKDAGVVLSYDPNLRLPLWPSAESARKGILSIWDTADIIKVSEEEISFLTQGEDPYDDAVVRKLFHPNLKLLLVTEGADGCRYYTQEFSGKVKGLKVEAVDTTGAGDAFVAGTLSQLASDLSLIQDEDRLRNALKFSNVCGALTVTERGAIPALPTREAVVNALLKTVA >KJB08531 pep chromosome:Graimondii2_0_v6:1:9288963:9292758:-1 gene:B456_001G087000 transcript:KJB08531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALYSTSSCFCGSLGSYPVHKSVKLGHGKVRDSGFYFPHRFQCATPKLHVQAKALSVDGLPEIMDSSLVVCFGEMLIDFVPTISGLSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLADILKENNVNNEGMRFDPGARTALAFVTLRSDGEREFMFYRNPSADMLLQENELDFDLITKATIFHYGSISLITEPCKSAHIAAAKVAKDAGVVLSYDPNLRLPLWPSAESARKGILSIWDTADIIKEFSGKVKGLKVEAVDTTGAGDAFVAGTLSQLASDLSLIQDEDRLRNALKFSNVCGALTVTERGAIPALPTREAVVNALLKTVA >KJB09739 pep chromosome:Graimondii2_0_v6:1:23249689:23251791:1 gene:B456_001G161500 transcript:KJB09739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPIKQERNGSSHSGVTIDSIYYYGKSVYQDLNLRSYFGSIRPPTRLTFGFRLGSDDTEEERNEVRGRGAGKRVGSTRLDDREKQNEIRIWPKKKQRYGYHDRSPSIKKNLSKSLRVSGAFKHPKYARVVNDITFLIKNDDSFRKTKLFKFLFPKKSRSDGPTSHLLKRTLPAVRPSLNYSVMQYLLNRKNQIHFDPVVVLNHFVAPGVAEPSTMGRANAQGKSLDKRIRSRIAFFVESSTSEKKCLAEKKKRLTHFIRLANDLRFAGTTKTTISLFPFFGATFFFLRDGVGVFKNLFFEDAREREKLLGQLRIKCWNLMGKDKVMELIEKFINLGGIEELIKGIEMIIEIIILRKTIIPYGTNTNTLIESVKIKSVYQSASPIAQDISLQLRKKTRSFRSIFRKIVKEIPLVMKKGVSGIRICCSGRLKGAEIARTECGKKSIMLLRKYLLVTEYQVSKCGFLIVKKGGTKYRKGRCSRGCKPDGTKLGFGRYGTKSCKAGRLSYRAIEAARRAIIGQFHRAMSGQFRRNGKIWVRVLADLPITGKPTEVRMGRGKGNPTGWIARVSTGQIPFEMEGVSLSNARQAATLAAHKPCSSTKFVQWS >KJB10870 pep chromosome:Graimondii2_0_v6:1:46621253:46623533:-1 gene:B456_001G229800 transcript:KJB10870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTGVLSSDPTVRAKAVELKKELQRLVRTIVDDDDYSIHAIDRAKDALCALRGLIMFNKRSSPATFKLREAVPCPEEFKCPLSNQLMRDPVILASGQTYDRPFIQKWLNAGNRTCPRTQQVLSHTILTPNHLIREMISQWCKSQGIELPNPVQCGREEGITEAECDRFFSLLDKLSAAVPEQKEAAKELRLLTKKVPSFRALFGESIDAITQLLTPLTRCNSQSGVHPDLQEDVITTLLNLSIHDSNKKLVAETPMVVPLLMEALRSGTIETRSNAAATLFTLSALDSNKVLIGKSGVLKPLIDLLDEGHPLTMKDVASAIFNLCIIHENKARAVRDGAVRVILKKIVDGVHVDELLAILAMLSTHQRAIEEMGELGAVPCLLRIVRESNCERNKENCIAILHTVCLNDRTKWKALKEEEISYGTISKLAQDGTSRSKRKANGILERLRRAINITHTA >KJB10871 pep chromosome:Graimondii2_0_v6:1:46620388:46623677:-1 gene:B456_001G229800 transcript:KJB10871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTGVLSSDPTVRAKAVELKKELQRLVRTIVDDDDYSIHAIDRAKDALCALRGLIMFNKRSSPATFKLREAVPCPEEFKCPLSNQLMRDPVILASGQTYDRPFIQKWLNAGNRTCPRTQQVLSHTILTPNHLIREMISQWCKSQGIELPNPVQCGREEGITEAECDRFFSLLDKLSAAVPEQKEAAKELRLLTKKVPSFRALFGESIDAITQLLTPLTRCNSQSGVHPDLQEDVITTLLNLSIHDSNKKLVAETPMVVPLLMEALRSGTIETRSNAAATLFTLSALDSNKVLIGKSGVLKPLIDLLDEGHPLTMKDVASAIFNLCIIHENKARAVRDGAVRVILKKIVDGVHVDELLAILAMLSTHQRAIEEMGELGAVPCLLRIVRESNCERNKENCIAILHTVCLNDRTKWKALKEEEISYGTISKLAQDGTSRSKRKANGILERLRRAINITHTA >KJB10168 pep chromosome:Graimondii2_0_v6:1:29940058:29951221:-1 gene:B456_001G187100 transcript:KJB10168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCNSGPALLVIAEIGSMGRVVDGGVGIDVKTSPCRAAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSISFTDQQAELLTCEAKGSFAPTISPHGDSIESSGRPRVPAICEPNSADNLLLFDGENELPGCERKSMHPYKRNNVVPSEKSSQINGTRNAKESEDSAIFRPYARRNRSKKNRDGVRSCSTDMVQGQGGHGSLSAHIASKDLKASTCEKNDQKDKNIPYADTPKSAISNLDLASRMISPDNLSNMECGLAVEETTDQSKCYLSESKIDVTASKSFIDDLPNEPAQLVANGSTVKMASEEPDLVGRKEQVVPTGLESSPGTGAAAANADNETSSNQLNEFIDPNRDMKNIPNEGKNYDAAIETKGLDSESSCTQNSLNTYVNNDNDVCINPENIDSNGKPVEQTSRKEESLNSSIGELAKETNEIKAVDNAAVVPGTITSVSQKHTLSFSIVKVVEEIRSELQNELTCPSNNEVQRSSHAVSEADRKISTVPADNSNSNKETFPSSKPLGSMDNAICEVPEVTLSERTSTPIAETQSFLDNHVKVVDKAHEDSILEEAQIIQAKQKRIAELSVGTSPWKNHQKSHWDFVLEEMAWMANDFAQERLWKMMAAAQISRHVAFTSRLKFEEQHQYSKLKKVALSLANAVMDFWHSAEVLLNRKDASLGPKKSGHDLVHLPANEVPKNMTAKLDMDMNEDQQHFGKNSKLAIQAYALRFLKYSSSSVSSPQAEAPATPDRISDSGIIDISWDENLTEESLFYAVPLGAMETYRRSIESYLIQTEKTGSSKQEEVEISVYDAGAEFGYDDFVCDEEEGETSTYYLPGAFEDSKSSKLNQKKWKKIKSYLARPYEMGADFPHRHCAQQSMLIRKRPASSLNVNPIPMKRVRTGSRQRVLSPFSSAPAAGGLQGPTKTDASSGDTNSFQDDQNTLNEGFQIQKSMEVESIMDLERQLPYDHVETPVKPTKKKKAKNLGSAYNQSWQLESTVHNEKRDYSKKRLESHHFDSNGTSDLYGQHNAKKLKIMKQQPDNAFDFPPSGTIPFPAGSQKTNLSNPSKIIRLIHGCDKGRKAKTHKMSVDLPGSGGSWSLFEDQALVVLVHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKILMDRNGDGADSADDSGSLSYTLPGIPKGSARQLFQHLQGPMEEDILKSHFEKIMLIGKKQQYRRSQDPKQIVPVHNSHVIALSKICPNKLNGGVLMPLELCDVIASSQDVVPVGYQASHAGGLAISNQGVVGSMPPASGANSSLQGSSGVVHGSNISSASAPLNGSMRNMQQSNLSLPGAVSGSDRGVRMVPNRNGVGMTCGISRSMSMSRPGLRGMASSTMLNSSCMLSNLVGMPSPVNMHSGPGSGHGNSMLRPHDTMHMMREQGNSQGIPAFNGLSSAYTNQSTAPPVFSYPVQPQQQQQMPPQQSHALNNSHHAHHQGNNHISGSQQQAYAMCLTKERQVQQQQQLMHQQQPQQQFAVSSALMPNVQPQTQLPISSLQNGSQIQSQASTQPGSLSPLTPSSPTTPMSLQQQHKHQLAPHGLGRNPQPGATGLNKQIGKQRQRQPQQQQQFQQSGRHHPQQRQQTQSQQQAKLSKGVGRGNMLVHQNPSVDPAHLNSLGVVPGNQAAKNREQMMHLIQGKGLCSRSGLSPVQQSKALVSSQSSNCSEPQQKIFSGAAAPSTKKLQQVAPHSDNISQMVPSDHMPSAVHQSVLPAAMGPNHQHSLLQSQTHQKQVNLNRPAVHRMLQRSQQVNFEPPNKSQVELAHADKQTLNSAPQMGTTTTMEMPQAAIDSANNAVSVVSPAGLQWKSPEPEYGPAMPTVATEVGSIGSPLTDSMGNDPVPSVSQGLGQRQLSGCLPPDKNNVGAQWTQQPQTQRSHIPPPTQQHFQSQEQSQQD >KJB10539 pep chromosome:Graimondii2_0_v6:1:40473052:40493322:-1 gene:B456_001G206500 transcript:KJB10539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein BIG [Source:Projected from Arabidopsis thaliana (AT3G02260) UniProtKB/Swiss-Prot;Acc:Q9SRU2] MADHLTRLCQFLAEEKLSSSSSSLDLLLKLRSDESIKLGLQQLYLILQTGLHPIEPGSHPLFKSWSDNQILSLASLGSCITSVFRSLSVEQLEPIIVAVARKLVEFTVSFLGKSDFGGDDLSLQSNMIQLLEIILGGGTDKIVDSLQPASVNSLVDLLPIVSCNLGSIELDNDIKCGLQGMKCSRAEKQVDRLLSALASEWVQPERHTSGFEAPSFHQDLNSLVFLSQHWAVAHAECIRCLILLCKELVELPDIFDERIAGANFRKRLSFSLRILKSLGCLLKDVPYVEYDSSVLEAIASCADVLPNLFRPSFEFVNNIAVTEGNFESLVLSLLEEFIHLVRVMFCNSVVFQNVQACIVASILEHLGPSIWRYNKAASNIKPPLAYFPRSVIYTLKLIQDLRIQLKEVVDLKELDTELGGSVDLSTDSPSCHLHAQKVPLLQRFTIDELSKMIFPSSSNWMDNLMHLTSFLHSEGVKLRPKMERSTSCGRSNCSSELETAVCHDDEALFGNLFSEGSRTLGSADVCDQTSAVSSSSSNCNMPMQAAMELLSFLKGCIFSHDWLPSVYEDGCRMLSADHIDILLYILSCQGGPFEDNFAASHEDRKSGHIQELSFQLLHNLLTHHALSDSLEDYLVERILNVEDATFVYNDQTLALLAHALFSKVGFAGSQLRTKIYRGFVSFIVEKAKSICSDCPTLKELLVTLPSVFHIEILLMAFHLSPDEEKVTLANLVFSALQTVHVPSTGSYGTQLSCWALVVSRLILLLRHMILHPCTCPPSMLLAFRSKLRDIQSFVSNVPTNSIDSFSSLASIAAKTLTGALVDEEPSCSSLIHQLIDVTYIQSPIYMADVAVGSLHLSWDDMCSHFSYILGFWNGKKAAAIEDLIIERYIFLLCWDIPTMKSPFSHQLSLWSNLQTPEISSTEQFFCFSHLLLGQCDVIGKGADFQKLVVGLLRHLQAAHLQDNFENLGWDFLRNGMWLSLVLSFFNVGIGRYCVKNNIPGGGPFWTENRPSDNDYINSAEGFISGLIADNRTSELLRMFASFLEGYLQFYEKAFLATLGDSKHDDYMFSPVLLLKLSMFDKSLLDELLKKCGVDSFQLESVLDILLKVDGAVEKRASGILAKVFWECMLHGFPSHLQASSAILLSCILNIRRIIFTLDGLLKLSNMKGNIFLETDVLHQILDSLTSVKLDRIFERLRGKCEDVCLNLNAGLELSDYTELFLLKRMEGFLRYIHSREMGDTSILEWVITKTIDTMDALRKDPKKSTLFKFYLGAGDMSESLKELHGSQRGDILVLIDSVCNCHTELVNIKVLSFFIDLLSGEICPNLKLKIQNKYLSMDLLLLSKWLEKRLLGCTAEAMDGVKSVKANSVSLRESIMSFILCLVSSPSELQSELYNHLFEAVLISLETAFLQFDIHTAKSYFHFVVQLARGESSMKLLLKRTVMLTQKLAGEERLLPGLKFIFGFLGCFLSDCGSSSNTTEKCSGKSLSISSVAVGPVASRPVGSRKNSDVLVLSANRDGATAILECDATSVEEDEDDGTSDGEEASIDKDEEEDTNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGSDSALNCGTNSFQSFLPLTEDADQLPESDSDMDEDVGADMENSLRLSIPKDLQDGISMLLEELDVERQVLELCSTLLPSITGRRESNLSKDKKIILGKDKVLSYGIDLLQLKKAYKSGSLDLKIKTDYPNGKELKLHLASGSLVKSLLSVSIRGRLAVGEGDKVTIFDFGQLIGQATIAPVTADKANLKALSKNLVRFEIVHLSFNLVVENYLAVAGYEDCQVLTLNPRGEVTDRLAIELALQGAYIRRIGWVPGSQVQLMAVANRFVKIYDLSQDNISPMHYFTLADDTIVDATLIVASQGRMFLVVLSERGSLFRLGLSLEGHVGATPLKEIIRIQDREIHAKGSSLYFSCTYKLLFLSYQDGTTLIGRLSPDASSLTEISCVYEEQDGKLRPAGLHRWKELLVGSGLFCGFSSVKSNSAIAVSFGADELFAQNLRHAVSSSSPLVGITAYKPLSKDKVHCLVLHDDGSLQIYSHVPMGVDAAASATAEKVKKLGSNILNNKAYAGTKPEFPLDFFEKTVCITADVKLSGDAIRNGDSEGAKQSLASEDGFLESPSPAGFKMSVSNSNPDIVMVGFRVYVGNQSANHIPSEITIFQRVIKLDEGMRSWYDIPFTVAESLLADEEFIISVGPTFSGSALPRIDSLEVYGRAKDEFGWKEKMDAVLDIEARVLGANSVLAGSGKKSRSMQSAPIQEQVVADGLKLLSRIYCLCRSQEEELKVDLSKLKSKQLLEAIFESDREPLMQAAACRVLQAVFPKKETYYQIKDTMRLLGVVKSTSVLSSRLGIGGATGQWLIEEFTAQMRAVSKIALHRRSNLANFLEMNGSEVVDGLMQVLWGILDLELPDTQTMNNIVISAVELIYSYAECLALHGKDTGRRSVAPAVILFKKLLFFPNEAVQTSSSFNGTHFCFFFSLAISSRLLQVPFPKQTMLGTDDVVESVVTSSMPADTSGGNTQVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYEVLDADRLPAPHSRDHPMTAIPIEVESLGGDGSEIRFSTDDLSDSNLVTNVTDVGMQTSAPSIHVLEPSESMEFSSSMADPVSISASKRAVNSLLLSELLEQLKGWMETTSGIRAIPVMQLFYRLSSAVGGPFIDSSKSETLDLEKLIKWFLDEINLNKPFVARTRSSFGEVAILVFMFFTLMLRNWHQPGSDGTASKGTGNTDTPDKSGSQVSSSVASPSSLVDHDKIDFASQLLRACNSLRNQAFVNYIMDILLQLVHVFKSPAAGLENAHGSNVASGCGALLTIRRDLPAGNFSPFFSDSYAKAHRADTFMDYHRLLLENAFRLVYTLVRPEKHDKNGEKEKVPKTSSGKDLKLDGYQEVLCSYINNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQFSTEVKKLYKHVNKSGGFQNPVPYERSVKIIKCLSTIAEVAAARPRNWQKYCLRHSDVLPSLMNGIFYFGEESVIQTLKLLNLAFYLGKDMILSSQKAESGDSGITSNKSGTQSLDSKKKKKGDDGVDTGLEKSFVDMEMVVEIFTDKGGDVLRQFIDCFLLEWNSSSVRAEAKCVLYGVWHHGKHSFKETVLTALLQKIKCLPMYGQNIVEYTELVTWLLGEFPDKSSKQQTEIVDHCLTPDVIRSIFETLHSQNELIANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQSELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGTCSNCHENAYQCRQCRNINYDNLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDEDMKKGLAAIEAESENAHRRYQQLLGFKKPLLKIVSSVGENEMDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMNYLHQKHSDNSGAASRFVISRSPNNCYGCAMTFVTQCLEILQVLSKHQNSKKQLVASGILSELFENNIHQGPKTARFQARAALCAFSEGDINAVSELNSLIQKKVMYCLEHHRSMDIAVASREELLLLSEVCSLADEFWESRLRVVFHLLFSSIKLGAKHPAISEHIILPCLRIISLACTPPKPDNAEKEQGVVKSTSVIQQKDENNSTMFGSHGGGISSSKLLPEPMEKNWVASHKTQDIQLLSYSEWEKGASYLDFVRRQYKVSQSVKGVSQRSRPHRTDFLALKYGLRWKRSACKASKGDLSVFELGSWVTELVLSACSQSIRSEMCMLISLLCAQSSSRRFRLLSLLMGLLPATLAAGESAAEYFELLFKMIESEDARLFLTVRGCLDTICKLITKEVGNIESLERSLHIDISQGFILHKLIELLGKFLEVPNIRSRFMQDNLLTEVLEALIVIRGLIVQKTKLISDCNRLLKDLLDSLLLESSENKRQFIRACIHGLQIHGEEKKGRTCLFILEQLCNLICPSKPEAVYLLVLNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLVAGNIISLDLSIAQVYEQVWKKSNSQSSNSMANSSLLSSGAVTSTRECSPMIVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVREYDGLEILLCMIQRLRDDFKSNQEQLVAVLNLLMHCCKIRENRRALLRLGALGLLLETARRAFAVDAMEPAEGILLIVESLTLEANESDNISISQSVLTVTSEETGTGDQAKKIVLMFLERLCHPSGQKKSNKQQRNTEMVARILPYLTYGEPAAMEALIQHFNPYLQDWGEFDRLQKQHQDNPKDESIAKQAAKQRFTVENFVLVSESLKTSSCGERLKDIILEKGITGVAVRHLGESFAIAGQAGFKSSSEWALALKLPSVPHVLSMLRGLSMGHFATQRCIDEGGILPLLHALEGVSGENEIGAKAENLLDTLSDKEGKGDGFLGEKVCRLRHATRDAMRQRALRKREELLQGLGMRQELASDGGERIVVARPLLEGLEDVGRW >KJB10541 pep chromosome:Graimondii2_0_v6:1:40476078:40493322:-1 gene:B456_001G206500 transcript:KJB10541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein BIG [Source:Projected from Arabidopsis thaliana (AT3G02260) UniProtKB/Swiss-Prot;Acc:Q9SRU2] MADHLTRLCQFLAEEKLSSSSSSLDLLLKLRSDESIKLGLQQLYLILQTGLHPIEPGSHPLFKSWSDNQILSLASLGSCITSVFRSLSVEQLEPIIVAVARKLVEFTVSFLGKSDFGGDDLSLQSNMIQLLEIILGGGTDKIVDSLQPASVNSLVDLLPIVSCNLGSIELDNDIKCGLQGMKCSRAEKQVDRLLSALASEWVQPERHTSGFEAPSFHQDLNSLVFLSQHWAVAHAECIRCLILLCKELVELPDIFDERIAGANFRKRLSFSLRILKSLGCLLKDVPYVEYDSSVLEAIASCADVLPNLFRPSFEFVNNIAVTEGNFESLVLSLLEEFIHLVRVMFCNSVVFQNVQACIVASILEHLGPSIWRYNKAASNIKPPLAYFPRSVIYTLKLIQDLRIQLKEVVDLKELDTELGGSVDLSTDSPSCHLHAQKVPLLQRFTIDELSKMIFPSSSNWMDNLMHLTSFLHSEGVKLRPKMERSTSCGRSNCSSELETAVCHDDEALFGNLFSEGSRTLGSADVCDQTSAVSSSSSNCNMPMQAAMELLSFLKGCIFSHDWLPSVYEDGCRMLSADHIDILLYILSCQGGPFEDNFAASHEDRKSGHIQELSFQLLHNLLTHHALSDSLEDYLVERILNVEDATFVYNDQTLALLAHALFSKVGFAGSQLRTKIYRGFVSFIVEKAKSICSDCPTLKELLVTLPSVFHIEILLMAFHLSPDEEKVTLANLVFSALQTVHVPSTGSYGTQLSCWALVVSRLILLLRHMILHPCTCPPSMLLAFRSKLRDIQSFVSNVPTNSIDSFSSLASIAAKTLTGALVDEEPSCSSLIHQLIDVTYIQSPIYMADVAVGSLHLSWDDMCSHFSYILGFWNGKKAAAIEDLIIERYIFLLCWDIPTMKSPFSHQLSLWSNLQTPEISSTEQFFCFSHLLLGQCDVIGKGADFQKLVVGLLRHLQAAHLQDNFENLGWDFLRNGMWLSLVLSFFNVGIGRYCVKNNIPGGGPFWTENRPSDNDYINSAEGFISGLIADNRTSELLRMFASFLEGYLQFYEKAFLATLGDSKHDDYMFSPVLLLKLSMFDKSLLDELLKKCGVDSFQLESVLDILLKVDGAVEKRASGILAKVFWECMLHGFPSHLQASSAILLSCILNIRRIIFTLDGLLKLSNMKGNIFLETDVLHQILDSLTSVKLDRIFERLRGKCEDVCLNLNAGLELSDYTELFLLKRMEGFLRYIHSREMGDTSILEWVITKTIDTMDALRKDPKKSTLFKFYLGAGDMSESLKELHGSQRGDILVLIDSVCNCHTELVNIKVLSFFIDLLSGEICPNLKLKIQNKYLSMDLLLLSKWLEKRLLGCTAEAMDGVKSVKANSVSLRESIMSFILCLVSSPSELQSELYNHLFEAVLISLETAFLQFDIHTAKSYFHFVVQLARGESSMKLLLKRTVMLTQKLAGEERLLPGLKFIFGFLGCFLSDCGSSSNTTEKCSGKSLSISSVAVGPVASRPVGSRKNSDVLVLSANRDGATAILECDATSVEEDEDDGTSDGEEASIDKDEEEDTNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGSDSALNCGTNSFQSFLPLTEDADQLPESDSDMDEDVGADMENSLRLSIPKDLQDGISMLLEELDVERQVLELCSTLLPSITGRRESNLSKDKKIILGKDKVLSYGIDLLQLKKAYKSGSLDLKIKTDYPNGKELKLHLASGSLVKSLLSVSIRGRLAVGEGDKVTIFDFGQLIGQATIAPVTADKANLKALSKNLVRFEIVHLSFNLVVENYLAVAGYEDCQVLTLNPRGEVTDRLAIELALQGAYIRRIGWVPGSQVQLMAVANRFVKIYDLSQDNISPMHYFTLADDTIVDATLIVASQGRMFLVVLSERGSLFRLGLSLEGHVGATPLKEIIRIQDREIHAKGSSLYFSCTYKLLFLSYQDGTTLIGRLSPDASSLTEISCVYEEQDGKLRPAGLHRWKELLVGSGLFCGFSSVKSNSAIAVSFGADELFAQNLRHAVSSSSPLVGITAYKPLSKDKVHCLVLHDDGSLQIYSHVPMGVDAAASATAEKVKKLGSNILNNKAYAGTKPEFPLDFFEKTVCITADVKLSGDAIRNGDSEGAKQSLASEDGFLESPSPAGFKMSVSNSNPDIVMVGFRVYVGNQSANHIPSEITIFQRVIKLDEGMRSWYDIPFTVAESLLADEEFIISVGPTFSGSALPRIDSLEVYGRAKDEFGWKEKMDAVLDIEARVLGANSVLAGSGKKSRSMQSAPIQEQVVADGLKLLSRIYCLCRSQEEELKVDLSKLKSKQLLEAIFESDREPLMQAAACRVLQAVFPKKETYYQIKDTMRLLGVVKSTSVLSSRLGIGGATGQWLIEEFTAQMRAVSKIALHRRSNLANFLEMNGSEVVDGLMQVLWGILDLELPDTQTMNNIVISAVELIYSYAECLALHGKDTGRRSVAPAVILFKKLLFFPNEAVQTSSSFNGTHFCFFFSLAISSRLLQVPFPKQTMLGTDDVVESVVTSSMPADTSGGNTQVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYEVLDADRLPAPHSRDHPMTAIPIEVESLGGDGSEIRFSTDDLSDSNLVTNVTDVGMQTSAPSIHVLEPSESMEFSSSMADPVSISASKRAVNSLLLSELLEQLKGWMETTSGIRAIPVMQLFYRLSSAVGGPFIDSSKSETLDLEKLIKWFLDEINLNKPFVARTRSSFGEVAILVFMFFTLMLRNWHQPGSDGTASKGTGNTDTPDKSGSQVSSSVASPSSLVDHDKIDFASQLLRACNSLRNQAFVNYIMDILLQLVHVFKSPAAGLENAHGSNVASGCGALLTIRRDLPAGNFSPFFSDSYAKAHRADTFMDYHRLLLENAFRLVYTLVRPEKHDKNGEKEKVPKTSSGKDLKLDGYQEVLCSYINNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQFSTEVKKLYKHVNKSGGFQNPVPYERSVKIIKCLSTIAEVAAARPRNWQKYCLRHSDVLPSLMNGIFYFGEESVIQTLKLLNLAFYLGKDMILSSQKAESGDSGITSNKSGTQSLDSKKKKKGDDGVDTGLEKSFVDMEMVVEIFTDKGGDVLRQFIDCFLLEWNSSSVRAEAKCVLYGVWHHGKHSFKETVLTALLQKIKCLPMYGQNIVEYTELVTWLLGEFPDKSSKQQTEIVDHCLTPDVIRSIFETLHSQNELIANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQSELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGTCSNCHENAYQCRQCRNINYDNLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDEDMKKGLAAIEAESENAHRRYQQLLGFKKPLLKIVSSVGENEMDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMNYLHQKHSDNSGAASRFVISRSPNNCYGCAMTFVTQCLEILQVLSKHQNSKKQLVASGILSELFENNIHQGPKTARFQARAALCAFSEGDINAVSELNSLIQKKVMYCLEHHRSMDIAVASREELLLLSEVCSLADEFWESRLRVVFHLLFSSIKLGAKHPAISEHIILPCLRIISLACTPPKPDNAEKEQGVVKSTSVIQQKDENNSTMFGSHGGGISSSKLLPEPMEKNWVASHKTQDIQLLSYSEWEKGASYLDFVRRQYKVSQSVKGVSQRSRPHRTDFLALKYGLRWKRSACKASKGDLSVFELGSWVTELVLSACSQSIRSEMCMLISLLCAQSSSRRFRLLSLLMGLLPATLAAGESAAEYFELLFKMIESEDARLFLTVRGCLDTICKLITKEVGNIESLERSLHIDISQGFILHKLIELLGKFLEVPNIRSRFMQDNLLTEVLEALIVIRGLIVQKTKLISDCNRLLKDLLDSLLLESSENKRQFIRACIHGLQIHGEEKKGRTCLFILEQLCNLICPSKPEAVYLLVLNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLVAGNIISLDLSIAQVYEQVWKKSNSQSSNSMANSSLLSSGAVTSTRECSPMIVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVREYDGLEILLCMIQVRGFISLL >KJB10538 pep chromosome:Graimondii2_0_v6:1:40473052:40493322:-1 gene:B456_001G206500 transcript:KJB10538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein BIG [Source:Projected from Arabidopsis thaliana (AT3G02260) UniProtKB/Swiss-Prot;Acc:Q9SRU2] MADHLTRLCQFLAEEKLSSSSSSLDLLLKLRSDESIKLGLQQLYLILQTGLHPIEPGSHPLFKSWSDNQILSLASLGSCITSVFRSLSVEQLEPIIVAVARKLVEFTVSFLGKSDFGGDDLSLQSNMIQLLEIILGGGTDKIVDSLQPASVNSLVDLLPIVSCNLGSIELDNDIKCGLQGMKCSRAEKQVDRLLSALASEWVQPERHTSGFEAPSFHQDLNSLVFLSQHWAVAHAECIRCLILLCKELVELPDIFDERIAGANFRKRLSFSLRILKSLGCLLKDVPYVEYDSSVLEAIASCADVLPNLFRPSFEFVNNIAVTEGNFESLVLSLLEEFIHLVRVMFCNSVVFQNVQACIVASILEHLGPSIWRYNKAASNIKPPLAYFPRSVIYTLKLIQDLRIQLKEVVDLKELDTELGGSVDLSTDSPSCHLHAQKVPLLQRFTIDELSKMIFPSSSNWMDNLMHLTSFLHSEGVKLRPKMERSTSCGRSNCSSELETAVCHDDEALFGNLFSEGSRTLGSADVCDQTSAVSSSSSNCNMPMQAAMELLSFLKGCIFSHDWLPSVYEDGCRMLSADHIDILLYILSCQGGPFEDNFAASHEDRKSGHIQELSFQLLHNLLTHHALSDSLEDYLVERILNVEDATFVYNDQTLALLAHALFSKVGFAGSQLRTKIYRGFVSFIVEKAKSICSDCPTLKELLVTLPSVFHIEILLMAFHLSPDEEKVTLANLVFSALQTVHVPSTGSYGTQLSCWALVVSRLILLLRHMILHPCTCPPSMLLAFRSKLRDIQSFVSNVPTNSIDSFSSLASIAAKTLTGALVDEEPSCSSLIHQLIDVTYIQSPIYMADVAVGSLHLSWDDMCSHFSYILGFWNGKKAAAIEDLIIERYIFLLCWDIPTMKSPFSHQLSLWSNLQTPEISSTEQFFCFSHLLLGQCDVIGKGADFQKLVVGLLRHLQAAHLQDNFENLGWDFLRNGMWLSLVLSFFNVGIGRYCVKNNIPGGGPFWTENRPSDNDYINSAEGFISGLIADNRTSELLRMFASFLEGYLQFYEKAFLATLGDSKHDDYMFSPVLLLKLSMFDKSLLDELLKKCGVDSFQLESVLDILLKVDGAVEKRASGILAKVFWECMLHGFPSHLQASSAILLSCILNIRRIIFTLDGLLKLSNMKGNIFLETDVLHQILDSLTSVKLDRIFERLRGKCEDVCLNLNAGLELSDYTELFLLKRMEGFLRYIHSREMGDTSILEWVITKTIDTMDALRKDPKKSTLFKFYLGAGDMSESLKELHGSQRGDILVLIDSVCNCHTELVNIKVLSFFIDLLSGEICPNLKLKIQNKYLSMDLLLLSKWLEKRLLGCTAEAMDGVKSVKANSVSLRESIMSFILCLVSSPSELQSELYNHLFEAVLISLETAFLQFDIHTAKSYFHFVVQLARGESSMKLLLKRTVMLTQKLAGEERLLPGLKFIFGFLGCFLSDCGSSSNTTEKCSGKSLSISSVAVGPVASRPVGSRKNSDVLVLSANRDGATAILECDATSVEEDEDDGTSDGEEASIDKDEEEDTNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGSDSALNCGTNSFQSFLPLTEDADQLPESDSDMDEDVGADMENSLRLSIPKDLQDGISMLLEELDVERQVLELCSTLLPSITGRRESNLSKDKKIILGKDKVLSYGIDLLQLKKAYKSGSLDLKIKTDYPNGKELKLHLASGSLVKSLLSVSIRGRLAVGEGDKVTIFDFGQLIGQATIAPVTADKANLKALSKNLVRFEIVHLSFNLVVENYLAVAGYEDCQVLTLNPRGEVTDRLAIELALQGAYIRRIGWVPGSQVQLMAVANRFVKIYDLSQDNISPMHYFTLADDTIVDATLIVASQGRMFLVVLSERGSLFRLGLSLEGHVGATPLKEIIRIQDREIHAKGSSLYFSCTYKLLFLSYQDGTTLIGRLSPDASSLTEISCVYEEQDGKLRPAGLHRWKELLVGSGLFCGFSSVKSNSAIAVSFGADELFAQNLRHAVSSSSPLVGITAYKPLSKDKVHCLVLHDDGSLQIYSHVPMGVDAAASATAEKVKKLGSNILNNKAYAGTKPEFPLDFFEKTVCITADVKLSGDAIRNGDSEGAKQSLASEDGFLESPSPAGFKMSVSNSNPDIVMVGFRVYVGNQSANHIPSEITIFQRVIKLDEGMRSWYDIPFTVAESLLADEEFIISVGPTFSGSALPRIDSLEVYGRAKDEFGWKEKMDAVLDIEARVLGANSVLAGSGKKSRSMQSAPIQEQVVADGLKLLSRIYCLCRSQEEELKVDLSKLKSKQLLEAIFESDREPLMQAAACRVLQAVFPKKETYYQIKDTMRLLGVVKSTSVLSSRLGIGGATGQWLIEEFTAQMRAVSKIALHRRSNLANFLEMNGSEVVDGLMQVLWGILDLELPDTQTMNNIVISAVELIYSYAECLALHGKDTGRRSVAPAVILFKKLLFFPNEAVQTSSSLAISSRLLQVPFPKQTMLGTDDVVESVVTSSMPADTSGGNTQVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYEVLDADRLPAPHSRDHPMTAIPIEVESLGGDGSEIRFSTDDLSDSNLVTNVTDVGMQTSAPSIHVLEPSESMEFSSSMADPVSISASKRAVNSLLLSELLEQLKGWMETTSGIRAIPVMQLFYRLSSAVGGPFIDSSKSETLDLEKLIKWFLDEINLNKPFVARTRSSFGEVAILVFMFFTLMLRNWHQPGSDGTASKGTGNTDTPDKSGSQVSSSVASPSSLVDHDKIDFASQLLRACNSLRNQAFVNYIMDILLQLVHVFKSPAAGLENAHGSNVASGCGALLTIRRDLPAGNFSPFFSDSYAKAHRADTFMDYHRLLLENAFRLVYTLVRPEKHDKNGEKEKVPKTSSGKDLKLDGYQEVLCSYINNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQFSTEVKKLYKHVNKSGGFQNPVPYERSVKIIKCLSTIAEVAAARPRNWQKYCLRHSDVLPSLMNGIFYFGEESVIQTLKLLNLAFYLGKDMILSSQKAESGDSGITSNKSGTQSLDSKKKKKGDDGVDTGLEKSFVDMEMVVEIFTDKGGDVLRQFIDCFLLEWNSSSVRAEAKCVLYGVWHHGKHSFKETVLTALLQKIKCLPMYGQNIVEYTELVTWLLGEFPDKSSKQQTEIVDHCLTPDVIRSIFETLHSQNELIANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQSELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGTCSNCHENAYQCRQCRNINYDNLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDEDMKKGLAAIEAESENAHRRYQQLLGFKKPLLKIVSSVGENEMDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMNYLHQKHSDNSGAASRFVISRSPNNCYGCAMTFVTQCLEILQVLSKHQNSKKQLVASGILSELFENNIHQGPKTARFQARAALCAFSEGDINAVSELNSLIQKKVMYCLEHHRSMDIAVASREELLLLSEVCSLADEFWESRLRVVFHLLFSSIKLGAKHPAISEHIILPCLRIISLACTPPKPDNAEKEQGVVKSTSVIQQKDENNSTMFGSHGGGISSSKLLPEPMEKNWVASHKTQDIQLLSYSEWEKGASYLDFVRRQYKVSQSVKGVSQRSRPHRTDFLALKYGLRWKRSACKASKGDLSVFELGSWVTELVLSACSQSIRSEMCMLISLLCAQSSSRRFRLLSLLMGLLPATLAAGESAAEYFELLFKMIESEDARLFLTVRGCLDTICKLITKEVGNIESLERSLHIDISQGFILHKLIELLGKFLEVPNIRSRFMQDNLLTEVLEALIVIRGLIVQKTKLISDCNRLLKDLLDSLLLESSENKRQFIRACIHGLQIHGEEKKGRTCLFILEQLCNLICPSKPEAVYLLVLNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLVAGNIISLDLSIAQVYEQVWKKSNSQSSNSMANSSLLSSGAVTSTRECSPMIVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVREYDGLEILLCMIQRLRDDFKSNQEQLVAVLNLLMHCCKIRENRRALLRLGALGLLLETARRAFAVDAMEPAEGILLIVESLTLEANESDNISISQSVLTVTSEETGTGDQAKKIVLMFLERLCHPSGQKKSNKQQRNTEMVARILPYLTYGEPAAMEALIQHFNPYLQDWGEFDRLQKQHQDNPKDESIAKQAAKQRFTVENFVLVSESLKTSSCGERLKDIILEKGITGVAVRHLGESFAIAGQAGFKSSSEWALALKLPSVPHVLSMLRGLSMGHFATQRCIDEGGILPLLHALEGVSGENEIGAKAENLLDTLSDKEGKGDGFLGEKVCRLRHATRDAMRQRALRKREELLQGLGMRQELASDGGERIVVARPLLEGLEDVEEEEDGLACMVCREGYSLRPTDLLGVYSYSKRVNLGVGTSGSARGECVYTTVSYFNIIHFQCHQEAKRADAALKNPKKEWEGATLRNNESLCNSLFPVRGPSVPLAQYVRYVDQYWDNLNALGRADGSRLRLLTYDIVLMLARFATGASFSAECRGGGRESNSRFLPFMIQMARHLLEQGGPSQRRNMAKTVATYISSSTLDSKSATGGTQPLGTEETVQFMMVNSLLSESYESWLQHRRDFLQRGIYHAYMQHTHGRSTAKIESASSSKSPTSTSETGGDELLSIVRPMLVYTGLIEQLQQIFKVKKSSSLAATKGKSEGTSTGTEGEGLEGWEVVMKERLLNVKEMIGFSKELLSWLDDMTSASDLQEAFDIIGALGDVLSGGFSRCEDFVQAAIAAGKM >KJB10542 pep chromosome:Graimondii2_0_v6:1:40476362:40493322:-1 gene:B456_001G206500 transcript:KJB10542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein BIG [Source:Projected from Arabidopsis thaliana (AT3G02260) UniProtKB/Swiss-Prot;Acc:Q9SRU2] MADHLTRLCQFLAEEKLSSSSSSLDLLLKLRSDESIKLGLQQLYLILQTGLHPIEPGSHPLFKSWSDNQILSLASLGSCITSVFRSLSVEQLEPIIVAVARKLVEFTVSFLGKSDFGGDDLSLQSNMIQLLEIILGGGTDKIVDSLQPASVNSLVDLLPIVSCNLGSIELDNDIKCGLQGMKCSRAEKQVDRLLSALASEWVQPERHTSGFEAPSFHQDLNSLVFLSQHWAVAHAECIRCLILLCKELVELPDIFDERIAGANFRKRLSFSLRILKSLGCLLKDVPYVEYDSSVLEAIASCADVLPNLFRPSFEFVNNIAVTEGNFESLVLSLLEEFIHLVRVMFCNSVVFQNVQACIVASILEHLGPSIWRYNKAASNIKPPLAYFPRSVIYTLKLIQDLRIQLKEVVDLKELDTELGGSVDLSTDSPSCHLHAQKVPLLQRFTIDELSKMIFPSSSNWMDNLMHLTSFLHSEGVKLRPKMERSTSCGRSNCSSELETAVCHDDEALFGNLFSEGSRTLGSADVCDQTSAVSSSSSNCNMPMQAAMELLSFLKGCIFSHDWLPSVYEDGCRMLSADHIDILLYILSCQGGPFEDNFAASHEDRKSGHIQELSFQLLHNLLTHHALSDSLEDYLVERILNVEDATFVYNDQTLALLAHALFSKVGFAGSQLRTKIYRGFVSFIVEKAKSICSDCPTLKELLVTLPSVFHIEILLMAFHLSPDEEKVTLANLVFSALQTVHVPSTGSYGTQLSCWALVVSRLILLLRHMILHPCTCPPSMLLAFRSKLRDIQSFVSNVPTNSIDSFSSLASIAAKTLTGALVDEEPSCSSLIHQLIDVTYIQSPIYMADVAVGSLHLSWDDMCSHFSYILGFWNGKKAAAIEDLIIERYIFLLCWDIPTMKSPFSHQLSLWSNLQTPEISSTEQFFCFSHLLLGQCDVIGKGADFQKLVVGLLRHLQAAHLQDNFENLGWDFLRNGMWLSLVLSFFNVGIGRYCVKNNIPGGGPFWTENRPSDNDYINSAEGFISGLIADNRTSELLRMFASFLEGYLQFYEKAFLATLGDSKHDDYMFSPVLLLKLSMFDKSLLDELLKKCGVDSFQLESVLDILLKVDGAVEKRASGILAKVFWECMLHGFPSHLQASSAILLSCILNIRRIIFTLDGLLKLSNMKGNIFLETDVLHQILDSLTSVKLDRIFERLRGKCEDVCLNLNAGLELSDYTELFLLKRMEGFLRYIHSREMGDTSILEWVITKTIDTMDALRKDPKKSTLFKFYLGAGDMSESLKELHGSQRGDILVLIDSVCNCHTELVNIKVLSFFIDLLSGEICPNLKLKIQNKYLSMDLLLLSKWLEKRLLGCTAEAMDGVKSVKANSVSLRESIMSFILCLVSSPSELQSELYNHLFEAVLISLETAFLQFDIHTAKSYFHFVVQLARGESSMKLLLKRTVMLTQKLAGEERLLPGLKFIFGFLGCFLSDCGSSSNTTEKCSGKSLSISSVAVGPVASRPVGSRKNSDVLVLSANRDGATAILECDATSVEEDEDDGTSDGEEASIDKDEEEDTNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGSDSALNCGTNSFQSFLPLTEDADQLPESDSDMDEDVGADMENSLRLSIPKDLQDGISMLLEELDVERQVLELCSTLLPSITGRRESNLSKDKKIILGKDKVLSYGIDLLQLKKAYKSGSLDLKIKTDYPNGKELKLHLASGSLVKSLLSVSIRGRLAVGEGDKVTIFDFGQLIGQATIAPVTADKANLKALSKNLVRFEIVHLSFNLVVENYLAVAGYEDCQVLTLNPRGEVTDRLAIELALQGAYIRRIGWVPGSQVQLMAVANRFVKIYDLSQDNISPMHYFTLADDTIVDATLIVASQGRMFLVVLSERGSLFRLGLSLEGHVGATPLKEIIRIQDREIHAKGSSLYFSCTYKLLFLSYQDGTTLIGRLSPDASSLTEISCVYEEQDGKLRPAGLHRWKELLVGSGLFCGFSSVKSNSAIAVSFGADELFAQNLRHAVSSSSPLVGITAYKPLSKDKVHCLVLHDDGSLQIYSHVPMGVDAAASATAEKVKKLGSNILNNKAYAGTKPEFPLDFFEKTVCITADVKLSGDAIRNGDSEGAKQSLASEDGFLESPSPAGFKMSVSNSNPDIVMVGFRVYVGNQSANHIPSEITIFQRVIKLDEGMRSWYDIPFTVAESLLADEEFIISVGPTFSGSALPRIDSLEVYGRAKDEFGWKEKMDAVLDIEARVLGANSVLAGSGKKSRSMQSAPIQEQVVADGLKLLSRIYCLCRSQEEELKVDLSKLKSKQLLEAIFESDREPLMQAAACRVLQAVFPKKETYYQIKDTMRLLGVVKSTSVLSSRLGIGGATGQWLIEEFTAQMRAVSKIALHRRSNLANFLEMNGSEVVDGLMQVLWGILDLELPDTQTMNNIVISAVELIYSYAECLALHGKDTGRRSVAPAVILFKKLLFFPNEAVQTSSSFNGTHFCFFFSLAISSRLLQVPFPKQTMLGTDDVVESVVTSSMPADTSGGNTQVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYEVLDADRLPAPHSRDHPMTAIPIEVESLGGDGSEIRFSTDDLSDSNLVTNVTDVGMQTSAPSIHVLEPSESMEFSSSMADPVSISASKRAVNSLLLSELLEQLKGWMETTSGIRAIPVMQLFYRLSSAVGGPFIDSSKSETLDLEKLIKWFLDEINLNKPFVARTRSSFGEVAILVFMFFTLMLRNWHQPGSDGTASKGTGNTDTPDKSGSQVSSSVASPSSLVDHDKIDFASQLLRACNSLRNQAFVNYIMDILLQLVHVFKSPAAGLENAHGSNVASGCGALLTIRRDLPAGNFSPFFSDSYAKAHRADTFMDYHRLLLENAFRLVYTLVRPEKHDKNGEKEKVPKTSSGKDLKLDGYQEVLCSYINNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQFSTEVKKLYKHVNKSGGFQNPVPYERSVKIIKCLSTIAEVAAARPRNWQKYCLRHSDVLPSLMNGIFYFGEESVIQTLKLLNLAFYLGKDMILSSQKAESGDSGITSNKSGTQSLDSKKKKKGDDGVDTGLEKSFVDMEMVVEIFTDKGGDVLRQFIDCFLLEWNSSSVRAEAKCVLYGVWHHGKHSFKETVLTALLQKIKCLPMYGQNIVEYTELVTWLLGEFPDKSSKQQTEIVDHCLTPDVIRSIFETLHSQNELIANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQSELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGTCSNCHENAYQCRQCRNINYDNLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDEDMKKGLAAIEAESENAHRRYQQLLGFKKPLLKIVSSVGENEMDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMNYLHQKHSDNSGAASRFVISRSPNNCYGCAMTFVTQCLEILQVLSKHQNSKKQLVASGILSELFENNIHQGPKTARFQARAALCAFSEGDINAVSELNSLIQKKVMYCLEHHRSMDIAVASREELLLLSEVCSLADEFWESRLRVVFHLLFSSIKLGAKHPAISEHIILPCLRIISLACTPPKPDNAEKEQGVVKSTSVIQQKDENNSTMFGSHGGGISSSKLLPEPMEKNWVASHKTQDIQLLSYSEWEKGASYLDFVRRQYKVSQSVKGVSQRSRPHRTDFLALKYGLRWKRSACKASKGDLSVFELGSWVTELVLSACSQSIRSEMCMLISLLCAQSSSRRFRLLSLLMGLLPATLAAGESAAEYFELLFKMIESEDARLFLTVRGCLDTICKLITKEVGNIESLERSLHIDISQGFILHKLIELLGKFLEVPNIRSRFMQDNLLTEVLEALIVIRGLIVQKTKLISDCNRLLKDLLDSLLLESSENKRQFIRACIHGLQIHGEEKKGRTCLFILEQLCNLICPSKPEAVYLLVLNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLVAGNIISLDLSIAQVYEQVWKKSNSQSSNSMANSSLLSSGAVTSTRECSPMIVTYRLQVCYCFF >KJB10540 pep chromosome:Graimondii2_0_v6:1:40473052:40493363:-1 gene:B456_001G206500 transcript:KJB10540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein BIG [Source:Projected from Arabidopsis thaliana (AT3G02260) UniProtKB/Swiss-Prot;Acc:Q9SRU2] MADHLTRLCQFLAEEKLSSSSSSLDLLLKLRSDESIKLGLQQLYLILQTGLHPIEPGSHPLFKSWSDNQILSLASLGSCITSVFRSLSVEQLEPIIVAVARKLVEFTVSFLGKSDFGGDDLSLQSNMIQLLEIILGGGTDKIVDSLQPASVNSLVDLLPIVSCNLGSIELDNDIKCGLQGMKCSRAEKQVDRLLSALASEWVQPERHTSGFEAPSFHQDLNSLVFLSQHWAVAHAECIRCLILLCKELVELPDIFDERIAGANFRKRLSFSLRILKSLGCLLKDVPYVEYDSSVLEAIASCADVLPNLFRPSFEFVNNIAVTEGNFESLVLSLLEEFIHLVRVMFCNSVVFQNVQACIVASILEHLGPSIWRYNKAASNIKPPLAYFPRSVIYTLKLIQDLRIQLKEVVDLKELDTELGGSVDLSTDSPSCHLHAQKVPLLQRFTIDELSKMIFPSSSNWMDNLMHLTSFLHSEGVKLRPKMERSTSCGRSNCSSELETAVCHDDEALFGNLFSEGSRTLGSADVCDQTSAVSSSSSNCNMPMQAAMELLSFLKGCIFSHDWLPSVYEDGCRMLSADHIDILLYILSCQGGPFEDNFAASHEDRKSGHIQELSFQLLHNLLTHHALSDSLEDYLVERILNVEDATFVYNDQTLALLAHALFSKVGFAGSQLRTKIYRGFVSFIVEKAKSICSDCPTLKELLVTLPSVFHIEILLMAFHLSPDEEKVTLANLVFSALQTVHVPSTGSYGTQLSCWALVVSRLILLLRHMILHPCTCPPSMLLAFRSKLRDIQSFVSNVPTNSIDSFSSLASIAAKTLTGALVDEEPSCSSLIHQLIDVTYIQSPIYMADVAVGSLHLSWDDMCSHFSYILGFWNGKKAAAIEDLIIERYIFLLCWDIPTMKSPFSHQLSLWSNLQTPEISSTEQFFCFSHLLLGQCDVIGKGADFQKLVVGLLRHLQAAHLQDNFENLGWDFLRNGMWLSLVLSFFNVGIGRYCVKNNIPGGGPFWTENRPSDNDYINSAEGFISGLIADNRTSELLRMFASFLEGYLQFYEKAFLATLGDSKHDDYMFSPVLLLKLSMFDKSLLDELLKKCGVDSFQLESVLDILLKVDGAVEKRASGILAKVFWECMLHGFPSHLQASSAILLSCILNIRRIIFTLDGLLKLSNMKGNIFLETDVLHQILDSLTSVKLDRIFERLRGKCEDVCLNLNAGLELSDYTELFLLKRMEGFLRYIHSREMGDTSILEWVITKTIDTMDALRKDPKKSTLFKFYLGAGDMSESLKELHGSQRGDILVLIDSVCNCHTELVNIKVLSFFIDLLSGEICPNLKLKIQNKYLSMDLLLLSKWLEKRLLGCTAEAMDGVKSVKANSVSLRESIMSFILCLVSSPSELQSELYNHLFEAVLISLETAFLQFDIHTAKSYFHFVVQLARGESSMKLLLKRTVMLTQKLAGEERLLPGLKFIFGFLGCFLSDCGSSSNTTEKCSGKSLSISSVAVGPVASRPVGSRKNSDVLVLSANRDGATAILECDATSVEEDEDDGTSDGEEASIDKDEEEDTNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGSDSALNCGTNSFQSFLPLTEDADQLPESDSDMDEDVGADMENSLRLSIPKDLQDGISMLLEELDVERQVLELCSTLLPSITGRRESNLSKDKKIILGKDKVLSYGIDLLQLKKAYKSGSLDLKIKTDYPNGKELKLHLASGSLVKSLLSVSIRGRLAVGEGDKVTIFDFGQLIGQATIAPVTADKANLKALSKNLVRFEIVHLSFNLVVENYLAVAGYEDCQVLTLNPRGEVTDRLAIELALQGAYIRRIGWVPGSQVQLMAVANRFVKIYDLSQDNISPMHYFTLADDTIVDATLIVASQGRMFLVVLSERGSLFRLGLSLEGHVGATPLKEIIRIQDREIHAKGSSLYFSCTYKLLFLSYQDGTTLIGRLSPDASSLTEISCVYEEQDGKLRPAGLHRWKELLVGSGLFCGFSSVKSNSAIAVSFGADELFAQNLRHAVSSSSPLVGITAYKPLSKDKVHCLVLHDDGSLQIYSHVPMGVDAAASATAEKVKKLGSNILNNKAYAGTKPEFPLDFFEKTVCITADVKLSGDAIRNGDSEGAKQSLASEDGFLESPSPAGFKMSVSNSNPDIVMVGFRVYVGNQSANHIPSEITIFQRVIKLDEGMRSWYDIPFTVAESLLADEEFIISVGPTFSGSALPRIDSLEVYGRAKDEFGWKEKMDAVLDIEARVLGANSVLAGSGKKSRSMQSAPIQEQVVADGLKLLSRIYCLCRSQEEELKVDLSKLKSKQLLEAIFESDREPLMQAAACRVLQAVFPKKETYYQIKDTMRLLGVVKSTSVLSSRLGIGGATGQWLIEEFTAQMRAVSKIALHRRSNLANFLEMNGSEVVDGLMQVLWGILDLELPDTQTMNNIVISAVELIYSYAECLALHGKDTGRRSVAPAVILFKKLLFFPNEAVQTSSSFNGTHFCFFFSLAISSRLLQVPFPKQTMLGTDDVVESVVTSSMPADTSGGNTQVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYEVLDADRLPAPHSRDHPMTAIPIEVESLGGDGSEIRFSTDDLSDSNLVTNVTDVGMQTSAPSIHVLEPSESMEFSSSMADPVSISASKRAVNSLLLSELLEQLKGWMETTSGIRAIPVMQLFYRLSSAVGGPFIDSSKSETLDLEKLIKWFLDEINLNKPFVARTRSSFGEVAILVFMFFTLMLRNWHQPGSDGTASKGTGNTDTPDKSGSQVSSSVASPSSLVDHDKIDFASQLLRACNSLRNQAFVNYIMDILLQLVHVFKSPAAGLENAHGSNVASGCGALLTIRRDLPAGNFSPFFSDSYAKAHRADTFMDYHRLLLENAFRLVYTLVRPEKHDKNGEKEKVPKTSSGKDLKLDGYQEVLCSYINNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQFSTEVKKLYKHVNKSGGFQNPVPYERSVKIIKCLSTIAEVAAARPRNWQKYCLRHSDVLPSLMNGIFYFGEESVIQTLKLLNLAFYLGKDMILSSQKAESGDSGITSNKSGTQSLDSKKKKKGDDGVDTGLEKSFVDMEMVVEIFTDKGGDVLRQFIDCFLLEWNSSSVRAEAKCVLYGVWHHGKHSFKETVLTALLQKIKCLPMYGQNIVEYTELVTWLLGEFPDKSSKQQTEIVDHCLTPDVIRSIFETLHSQNELIANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQSELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGTCSNCHENAYQCRQCRNINYDNLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDEDMKKGLAAIEAESENAHRRYQQLLGFKKPLLKIVSSVGENEMDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMNYLHQKHSDNSGAASRFVISRSPNNCYGCAMTFVTQCLEILQVLSKHQNSKKQLVASGILSELFENNIHQGPKTARFQARAALCAFSEGDINAVSELNSLIQKKVMYCLEHHRSMDIAVASREELLLLSEVCSLADEFWESRLRVVFHLLFSSIKLGAKHPAISEHIILPCLRIISLACTPPKPDNAEKEQGVVKSTSVIQQKDENNSTMFGSHGGGISSSKLLPEPMEKNWVASHKTQDIQLLSYSEWEKGASYLDFVRRQYKVSQSVKGVSQRSRPHRTDFLALKYGLRWKRSACKASKGDLSVFELGSWVTELVLSACSQSIRSEMCMLISLLCAQSSSRRFRLLSLLMGLLPATLAAGESAAEYFELLFKMIESEDARLFLTVRGCLDTICKLITKEVGNIESLERSLHIDISQGFILHKLIELLGKFLEVPNIRSRFMQDNLLTEVLEALIVIRGLIVQKTKLISDCNRLLKDLLDSLLLESSENKRQFIRACIHGLQIHGEEKKGRTCLFILEQLCNLICPSKPEAVYLLVLNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLVAGNIISLDLSIAQVYEQVWKKSNSQSSNSMANSSLLSSGAVTSTRECSPMIVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVREYDGLEILLCMIQRLRDDFKSNQEQLVAVLNLLMHCCKIRENRRALLRLGALGLLLETARRAFAVDAMEPAEGILLIVESLTLEANESDNISISQSVLTVTSEETGTGDQAKKIVLMFLERLCHPSGQKKSNKQQRNTEMVARILPYLTYGEPAAMEALIQHFNPYLQDWGEFDRLQKQHQDNPKDESIAKQAAKQRFTVENFVLVSESLKTSSCGERLKDIILEKGITGVAVRHLGESFAIAGQAGFKSSSEWALALKLPSVPHVLSMLRGLSMGHFATQRCIDEGGILPLLHALEGVSGENEIGAKAENLLDTLSDKEGKGDGFLGEKVCRLRHATRDAMRQRALRKREELLQGLGMRQELASDGGERIVVARPLLEGLEDVEEEEDGLACMLLSIVRPMLVYTGLIEQLQQIFKVKKSSSLAATKGKSEGTSTGTEGEGLEGWEVVMKERLLNVKEMIGFSKELLSWLDDMTSASDLQEAFDIIGALGDVLSGGFSRCEDFVQAAIAAGKM >KJB11210 pep chromosome:Graimondii2_0_v6:1:49466822:49470692:-1 gene:B456_001G247400 transcript:KJB11210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPSKFQDETEKSDKPSPPLDVSVAFPQASPASVFPPSVSDYYRFDDLLSPEEKTLRMKVREFMEKEVAPIMAEYWEKAEFPFQILPKLADLGIAGFNTEGYGSPGLSITTSAIANAEIARVDASCSTFLLVHSVGMLTIASCGSEEQKQKYLPSLAQLKTIACWALTEPEYGSDASAVNTTARKVLAVSRVMVAWQPIGISMGVYDMCLRYLKERKQFGAPLAAFQLNQQKLSLMLGDIQAMTLVGWRLCKLYDKGKMTPGHASLGKSWITLRARETVVLGRELLGGNGILADFHVAKAFCDMEPIYTYEGTYDINSLVTGREITGFASFKAPEMSKHSRL >KJB11211 pep chromosome:Graimondii2_0_v6:1:49466822:49470804:-1 gene:B456_001G247400 transcript:KJB11211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPSKFQDETEKSDKPSPPLDVSVAFPQASPASVFPPSVSDYYRFDDLLSPEEKTLRMKVREFMEKEVAPIMAEYWEKAEFPFQILPKLADLGIAGFNTEGYGSPGLSITTSAIANAEIARVDASCSTFLLVHSVGMLTIASCGSEEQKQKYLPSLAQLKTIACWALTEPEYGSDASAVNTTARKVEGGWIIDGQKRWIGNSTFADVLVIFARNITTNQINGYIVKKNSPGLKATKIANKIGVRIVQNGDILLKNVFVPDEDRLPGLNSFLDTNKVLAVSRVMVAWQPIGISMGVYDMCLRYLKERKQFGAPLAAFQLNQQKLSLMLGDIQAMTLVGWRLCKLYDKGKMTPGHASLGKSWITLRARETVVLGRELLGGNGILADFHVAKAFCDMEPIYTYEGTYDINSLVTGREITGFASFKAPEMSKHSRL >KJB11637 pep chromosome:Graimondii2_0_v6:1:54584883:54587612:-1 gene:B456_001G269100 transcript:KJB11637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCSTIQNLLYLFLIRDISITVNVAGDSPPPFTPTESITIDCGSPNDNIGLDGRVWTGDSMPKLSLVESKNNKSVSSKAFQQPPSSLGQVPFATARASNSEFTYVIPLTSGQKFIRLYFFPTSYPSFDASKALFSVKAGDITLLKNFSATLHAQGEETLIKEFCVNLERGQGLNLTFIPSPDISDSYAFVNGIEIVSMPNNLYYRPANDEGVKFIGQSQGSLYTVGNNTALELMNRINVGGKQISPVDDTGMYRFWSADDDYLTEAATAVLPVNLSINLNYSDDKPSFSAPDVVYTTARTMGTNATLNEHYNLTWEFPVDSGFNYFVRLHFCEFQIEITQQGDRVFEIFLANITAETDMDVISRSGGRGVPTYKDYVVGMGLKGNEKKQNLSIALHPAPRWKTRFSDAILNGVEIFKLSNNGNLGGLNPDPEPTTPITVPQSSQHGKHEKKKTTIIGGGVGISGFVLLSLLCFFIIKRRMRVKDVTSSYGGSASEGQFSNSNKSIKSNNSSYLPSDICRLFPIDEIKAATNNFDSIFIIGVGGFGRVYKGFINGGATPVAIKRLDPESRQGALEFRTEIEMLSQLRYLHLVPLIGYCNDDNEMILVYDFMAHGTLRDHLYNTENPHLAWKQRLEICIGAARGLQYLHSGANHIIIHRDVKTTNILLDEKWVAKVSDFGLSKVGPTNMSKAYVSTLVKGSFGYLDPEYYRRQQLTEKSDVYSFGVVLCEVLCAKPPINRLAEKKQVNLAMWAQECYRNGTLYQIIDPFLKGKIAPECLKKYAEVAISCLHDEGIKRPSMIDVVWGLEFALQLQKSAEEEIKFGGDGIEIDAGDGDDAPRFHVFECADVSGEGFSRFSVAPTDEHISATKDSEVLISGAVFSELKNPQGR >KJB07037 pep chromosome:Graimondii2_0_v6:1:7199275:7202987:-1 gene:B456_001G071100 transcript:KJB07037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASFPLPLRVEPKPKSGIRQQDLLKKVVEVKPKRPKISSPSSSEPEGNQSNLQSQGQESNLEKKIGHCVSESNTAEKQNKVEKSTKSLLGLAYASSDDDDDDDDDD >KJB07039 pep chromosome:Graimondii2_0_v6:1:7199511:7200813:-1 gene:B456_001G071100 transcript:KJB07039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASFPLPLRVEPKPKSGIRQQDLLKKVVEVKPKRPKISSPSSSEPEGNQSNLQSQGQESNLEKKIGHCVSESNTAEKQNKVEKSTKSLLGLAYASSDDDDDDDDDD >KJB07038 pep chromosome:Graimondii2_0_v6:1:7199274:7203050:-1 gene:B456_001G071100 transcript:KJB07038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREVREYTNLSDPKDKRWGKGKDKLDDEDIAFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASFPLPLRVEPKPKSGIRQQDLLKKVVEVKPKRPKISSPSSSEPEGNQSNLQSQGQESNLEKKIGHCVSESNTAEKQNKVEKSTKSLLGLAYASSDDDDDDDDDD >KJB10412 pep chromosome:Graimondii2_0_v6:1:35853251:35857503:1 gene:B456_001G199800 transcript:KJB10412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALECWSSRATTADDDMVEQVLMRSNDRSEDTSSSSSASAKLEAPSAKNKRFQRLSRNVSEAIASLKNSLNLDTSSPRDQLPPPVPSVPSSSSKADVCRKVVWGTVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFDMKEVFLHIKLIEQASGDDHPAIFIQQTSDDEVQGCVFKLTFACNSSFSWSAMSGALDSASICCKKIQIFEKKGFTLGIILFLVQSAHDKFFKSHVESALKSALRKPKTTTVKLPFGLCGCQEESTKGRDFGEIEEEPSEQTHRKGIENPNTRIQLPMPLPSSSFVVLVDEWQTIQSGADEIGKWLLNSDSLEFIDQIAPNSFKGIYKGKRVGIEKLKGCDKGNSYEFELRKDLLELMTCGHRNILQFYGVCVDENNGLCVVTKLTEGGSVHDLMLKNKKLQIKEIMRIAADVAEGIKFMNDHGVAYKDLNTQRILLDKHGNACLADMGIVTACKSVSEAMEYETDGYRWLAPEIIAGDPENVMETWMSNSYSFGMVIWEMVTGEAAYSACSPVQAAVGIAACGLRPDIPKDCPQILKSLMTKCWNGCPSKRPQFSEILSLLLRTSYR >KJB07837 pep chromosome:Graimondii2_0_v6:1:4465715:4469093:1 gene:B456_001G047100 transcript:KJB07837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYCRRAKLMFNTLRSSFISRPIFSSPIRDSSSIISRNLGSSVSSSTRAKFSGLSSYSSISRRLGISSNNYTYDPFLNGAKRFYYVDWYGVQHFRRRGQRRWIHWNPRKAMIIVLVGSGVLVTVYFGNLETVPYTKRKHLVLLSEETEKQMGESQFEELKAAFKGKILPAIHPESVRVTLIAKHIIESLQRGLSHDQIWSDLEYASPESSLEHESVHDTMAALSEKEGNLGINWSREDEILDDKWVQRSRKSSQVKGSQPTTSHLEGLNWEVLVVNEPVVNAICLPGGKIVVFRGLLEHLRTDAEIAAIIGHEVGHTVARHIAETITKDLWLDILQLILYQFIMPDLADKMSALLLRLPFSRRMELEADYIGLLLLACAGYDPRVAPKVFEKLGKVAGDSPMQDYLSTHPSGRKRAQLLAQTQVMEEALVIYQEVSAGRGVEGFL >KJB11250 pep chromosome:Graimondii2_0_v6:1:50972402:50984351:-1 gene:B456_001G249500 transcript:KJB11250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPADSSPIRPRDRVVRRLAALGIPMEYLEKRYEGIIHFVNGNGLLLPEIVSSLLPTDEDVAESIQDASLRSKKWMCLSMKNQFRESMVWLQWLMFQGEPTNTLKNLEDSSVEQRGVCGAVWGSNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYTIIYTGGGCCDCGDETAWKQEGFCTKHIGSEQIRPLDDDLANSVGPVLDALFICWKNKLFAAECIFQENMRATDCGAEQRKAANELTYVVVEMLIEFCKCSDSLLSFIARRVISLDGLLDILVRAERFFSDGVVKKLHELLLKLLAEPIFKNEFSKAFLGYYPTVIHEAIKEGSDSIFNKKYPLISTFSVQIFTVPTLTPRLVKEMNLLDMLLKCLENIFLSCAREDGRLLAAKWGSLYDTTNRVIEDIRVVMSHDVVSRYAIHEQKDFLRAWLKLLTFVQGMNPIKRETGLHIEEENEAMHLLFVLSHSIANIHSLLVDGAFSVATNEGASCLPYAYKQDVDDGDSIRHAKVGRLSQESSVCSVTGRSVSKATEIGSDSIYHSIPSTVIWLIQECLRALETWLEVDGGTPAALQSLSSPNSSGISDSNFLAIKKTLYNIKKGKYFGKLTGSSENHSSQCSSPVYSGNRASDEMEIAKNIGYDSTSSSAEIDPVACDYMGLDVSAMETDNGIGVATLRVLSLSEWLDIIYDVSSQEISVHVPLYRLFSLLLLKALRMSYGESIMPNITNACLASSLSAHVDFFCNILKGCNPLGFSASVMEHPLRIRVFCAQVIAGMWRKNGDAALVSCEWYRSVRWTEQGLELDLFLMQCCAALAPPDLYVKRIVERFGLLNYISLTLERSNEYEPVLVQEMLTLIMQILLERRFCGRNTADSLKRELIYKLAIGDATHSQLVKSLPRELSKSDQLQEILDRVAVYSNPSGFNQGMYSLRWAYWKELDLYHPRWNSRDLQVAEERYLRFCGVSAMTTQLPKWTKIYPPLEGVARIATCRETLKIIRAVLFYSVFTDKFTESRAPDGILMTALHLLSLALDIYLQHNGSGGVEHHIGDSNSMLDFASEAITESLNYTAGNQSLLSLLVALMRMHRQDNENNYMESSKSSFSPLIESLLKKFAEVDSQCMTKLQQLAPEVVSHLSKSTSNTERRTSGSASDSEMRKAKARERQAAILAKMKAEQSKFLSSISSTADDSKSEAEVSDSDLEHEAEGAVQQSCSLCHDPTSKDPVSFLILLQKSRLLSFVDRGPPSWDQCSEEQGYIPANRSSNQSGSNASAHSSGLPSSSVQLTENPVIESNNNRQGQDREVNVILEFFKSRFPSVRSTQAPLTSTDVGDSSIYNIEKLEEDMYKSIRNEMCNNLLRSSFKTDVVSSATECSQGNHRDAEIDILGKYIVALSSETIGNPLGTENTDVGRELTESTSQPLVYDGFGPLDCDGIYLSSCGHAVHQSCLERYLSSLKERFARRSFFEGAHIVDPNQGEFLCPVCRRLANSVLPVVNGTSRKAGRQPMTSTVDQVPSLGSSSAANKETCSLLLQQGLCLLKTAANVVGRPDFFEGLSFQRKESLSQNLEPISRVLSKMYFSKMQDRFLGSPRLSHPIILWDTLKYSLMSTEIAARSGKTYMAANYTLTSLYKEFKSSSEFTFSLLQRVVQNLSTTNSLHALQRFRGLQLFAESICSGLVFDNHSTTHNKEDKLLRILKHDDKEALYPDIQLWNSASHPVLARDPFSSLIWVLFCLPCPFISCEESLSSLVHVFYVVSVIQALIVCCQRHGCKTDGLDSHNRLVTDICGILGETGCAHWYFVSNSVDHSCDIKDMIRRLSFPYLRRCALLWKLLKSSSTAPFCERDDVWEPSHATTDMMDTVESASVELNEIEELENMFKIPPIDVVLEDEVVLSFALKWFHHFNKVYEACSFRNVFYCNPAVPFKLMSLPHVYQDLLQRYIKQCCPECKAALVEPALCLLCGRLCSPSWKSCCRESGCMVHAMNCGAGIGVFLLIRRTTILLQRCARQAPWPSPYLDAFGEEDIEMHRGKPLYLNEERYAALTYMVASHGLDRSSKVLGQTTIGTFFMV >KJB11247 pep chromosome:Graimondii2_0_v6:1:50972390:50984369:-1 gene:B456_001G249500 transcript:KJB11247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPADSSPIRPRDRVVRRLAALGIPMEYLEKRYEGIIHFVNGNGLLLPEIVSSLLPTDEDVAESIQDASLRSKKWMCLSMKNQFRESMVWLQWLMFQGEPTNTLKNLEDSSVEQRGVCGAVWGSNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYTIIYTGGGCCDCGDETAWKQEGFCTKHIGSEQIRPLDDDLANSVGPVLDALFICWKNKLFAAECIFQENMRATDCGAEQRKAANELTYVVVEMLIEFCKCSDSLLSFIARRVISLDGLLDILVRAERFFSDGVVKKLHELLLKLLAEPIFKNEFSKAFLGYYPTVIHEAIKEGSDSIFNKKYPLISTFSVQIFTVPTLTPRLVKEMNLLDMLLKCLENIFLSCAREDGRLLAAKWGSLYDTTNRVIEDIRVVMSHDVVSRYAIHEQKDFLRAWLKLLTFVQGMNPIKRETGLHIEEENEAMHLLFVLSHSIANIHSLLVDGAFSVATNEGASCLPYAYKQDVDDGDSIRHAKVGRLSQESSVCSVTGRSVSKATEIGSDSIYHSIPSTVIWLIQECLRALETWLEVDGGTPAALQSLSSPNSSGISDSNFLAIKKTLYNIKKGKYFGKLTGSSENHSSQCSSPVYSGNRASDEMEIAKNIGYDSTSSSAEIDPVACDYMGLDVSAMETDNGIGVATLRVLSLSEWLDIIYDVSSQEISVHVPLYRLFSLLLLKALRMSYGESIMPNITNACLASSLSAHVDFFCNILKGCNPLGFSASVMEHPLRIRVFCAQVIAGMWRKNGDAALVSCEWYRSVRWTEQGLELDLFLMQCCAALAPPDLYVKRIVERFGLLNYISLTLERSNEYEPVLVQEMLTLIMQILLERRFCGRNTADSLKRELIYKLAIGDATHSQLVKSLPRELSKSDQLQEILDRVAVYSNPSGFNQGMYSLRWAYWKELDLYHPRWNSRDLQVAEERYLRFCGVSAMTTQLPKWTKIYPPLEGVARIATCRETLKIIRAVLFYSVFTDKFTESRAPDGILMTALHLLSLALDIYLQHNGSGGVEHHIGDSNSMLDFASEAITESLNYTAGNQSLLSLLVALMRMHRQDNENNYMESSKSSFSPLIESLLKKFAEVDSQCMTKLQQLAPEVVSHLSKSTSNTERRTSGSASDSEMRKAKARERQAAILAKMKAEQSKFLSSISSTADDSKSEAEVSDSDLEHEAEGAVQQSCSLCHDPTSKDPVSFLILLQKSRLLSFVDRGPPSWDQCSEEQGYIPANRSSNQSGSNASAHSSGLPSSSVQLTENPVIESNNNRQGQDREVNVILEFFKSRFPSVRSTQAPLTSTDVGDSSIYNIEKLEEDMYKSIRNEMCNNLLRSSFKTDVVSSATECSQGNHRDAEIDILGKYIVALSSETIGNPLGTENTDVGRELTESTSQPLVYDGFGPLDCDGIYLSSCGHAVHQSCLERYLSSLKERFARRSFFEGAHIVDPNQGEFLCPVCRRLANSVLPVVNGTSRKAGRQPMTSTVDQVPSLGSSSAANKETCSLLLQQGLCLLKTAANVVGRPDFFEGLSFQRKESLSQNLEPISRVLSKMYFSKMQDRFLGSPRLSHPIILWDTLKYSLMSTEIAARSGKTYMAANYTLTSLYKEFKSSSEFTFSLLQRVVQNLSTTNSLHALQRFRGLQLFAESICSGLVFDNHSTTHNKEDKLLRILKHDDKEALYPDIQLWNSASHPVLARDPFSSLIWVLFCLPCPFISCEESLSSLVHVFYVVSVIQALIVCCQRHGCKTDGLDSHNRLVTDICGILGETGCAHWYFVSNSVDHSCDIKDMIRRLSFPYLRRCALLWKLLKSSSTAPFCERDDVWEPSHATTDMMDTVESASVELNEIEELENMFKIPPIDVVLEDEVVLSFALKWFHHFNKVYEACSFRNVFYCNPAVPFKLMSLPHVYQDLLQRYIKQCCPECKAALVEPALCLLCGRLCSPSWKSCCRESGCMVHAMNCGAGIGVFLLIRRTTILLQRCARQAPWPSPYLDAFGEEDIEMHRGKPLYLNEERYAALTYMVASHGLDRSSKVLGQTTIGTFFMV >KJB11249 pep chromosome:Graimondii2_0_v6:1:50972402:50984369:-1 gene:B456_001G249500 transcript:KJB11249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPADSSPIRPRDRVVRRLAALGIPMEYLEKRYEGIIHFVNGNGLLLPEIVSSLLPTDEDVAESIQDASLRSKKWMCLSMKNQFRESMVWLQWLMFQGEPTNTLKNLEDSSVEQRGVCGAVWGSNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYTIIYTGGGCCDCGDETAWKQEGFCTKHIGSEQIRPLDDDLANSVGPVLDALFICWKNKLFAAECIFQENMRATDCGAEQRKAANELTYVVVEMLIEFCKCSDSLLSFIARRVISLDGLLDILVRAERFFSDGVVKKLHELLLKLLAEPIFKNEFSKAFLGYYPTVIHEAIKEGSDSIFNKKYPLISTFSVQIFTVPTLTPRLVKEMNLLDMLLKCLENIFLSCAREDGRLLAAKWGSLYDTTNRVIEDIRVVMSHDVVSRYAIHEQKDFLRAWLKLLTFVQGMNPIKRETGLHIEEENEAMHLLFVLSHSIANIHSLLVDGAFSVATNEGASCLPYAYKQDVDDGDSIRHAKVGRLSQESSVCSVTGRSVSKATEIGSDSIYHSIPSTVIWLIQECLRALETWLEVDGGTPAALQSLSSPNSSGISDSNFLAIKKTLYNIKKGKYFGKLTGSSENHSSQCSSPVYSGNRASDEMEIAKNIGYDSTSSSAEIDPVACDYMGLDVSAMETDNGIGVATLRVLSLSEWLDIIYDVSSQEISVHVPLYRLFSLLLLKALRMSYGESIMPNITNACLASSLSAHVDFFCNILKGCNPLGFSASVMEHPLRIRVFCAQVIAGMWRKNGDAALVSCEWYRSVRWTEQGLELDLFLMQCCAALAPPDLYVKRIVERFGLLNYISLTLERSNEYEPVLVQEMLTLIMQILLERRFCGRNTADSLKRELIYKLAIGDATHSQLVKSLPRELSKSDQLQEILDRVAVYSNPSGFNQGMYSLRWAYWKELDLYHPRWNSRDLQVAEERYLRFCGVSAMTTQLPKWTKIYPPLEGVARIATCRETLKIIRAVLFYSVFTDKFTESRAPDGILMTALHLLSLALDIYLQHNGSGGVEHHIGDSNSMLDFASEAITESLNYTAGNQSLLSLLVALMRMHRQDNENNYMESSKSSFSPLIESLLKKFAEVDSQCMTKLQQLAPEVVSHLSKSTSNTERRTSGSASDSEMRKAKARERQAAILAKMKAEQSKFLSSISSTADDSKSEAEVSDSDLEHEAEGAVQQSCSLCHDPTSKDPVSFLILLQKSRLLSFVDRGPPSWDQCSEEQGYIPANRSSNQSGSNASAHSSGLPSSSVQLTENPVIESNNNRQGQDREVNVILEFFKSRFPSVRSTQAPLTSTDVGDSSIYNIEKLEEDMYKSIRNEMCNNLLRSSFKTDVVSSATECSQGNHRDAEIDILGKYIVALSSETIGNPLGTENTDVGRELTESTSQPLVYDGFGPLDCDGIYLSSCGHAVHQSCLERYLSSLKERFARRSFFEGAHIVDPNQGEFLCPVCRRLANSVLPVVNGTSRKAGRQPMTSTVDQVPSLGSSSAANKETCSLLLQQGLCLLKTAANVVGRPDFFEGLSFQRKESLSQNLEPISRVLSKMYFSKMQDRFLGSPRLSHPIILWDTLKYSLMSTEIAARSGKTYMAANYTLTSLYKEFKSSSEFTFSLLQRVVQNLSTTNSLHALQRFRGLQLFAESICSGLVFDNHSTTHNKEDKLLRILKHDDKEALYPDIQLWNSASHPVLARDPFSSLIWVLFCLPCPFISCEESLSSLVHVFYVVSVIQALIVCCQRHGCKTDGLDSHNRLVTDICGILGETGCAHWYFVSNSVDHSCDIKDMIRRLSFPYLRRCALLWKLLKSSSTAPFCERDDVWEPSHATTDMMDTVESASVELNEIEELENMFKIPPIDVVLEDEVVLSFALKWFHHFNKVYEACSFRNVFYCNPAVPFKLMSLPHVYQDLLQRYIKQCCPECKAALVEPALCLLCGRLCSPSWKSCCRESGCMVHAMNCGAGIGVFLLIRRTTILLQRCARQAPWPSPYLDAFGEEDIEMHRGKPLYLNEERYAALTYMVASHGLDRSSKVLGQTTIGTFFMV >KJB11252 pep chromosome:Graimondii2_0_v6:1:50972402:50984369:-1 gene:B456_001G249500 transcript:KJB11252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPADSSPIRPRDRVVRRLAALGIPMEYLEKRYEGIIHFVNGNGLLLPEIVSSLLPTDEDVAESIQDASLRSKKWMCLSMKNQFRESMVWLQWLMFQGEPTNTLKNLEDSSVEQRGVCGAVWGSNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYTIIYTGGGCCDCGDETAWKQEGFCTKHIGSEQIRPLDDDLANSVGPVLDALFICWKNKLFAAECIFQENMRATDCGAEQRKAANELTYVVVEMLIEFCKCSDSLLSFIARRVISLDGLLDILVRAERFFSDGVVKKLHELLLKLLAEPIFKNEFSKAFLGYYPTVIHEAIKEGSDSIFNKKYPLISTFSVQIFTVPTLTPRLVKEMNLLDMLLKCLENIFLSCAREDGRLLAAKWGSLYDTTNRVIEDIRVVMSHDVVSRYAIHEQKDFLRAWLKLLTFVQGMNPIKRETGLHIEEENEAMHLLFVLSHSIANIHSLLVDGAFSVATNEGASCLPYAYKQDVDDGDSIRHAKVGRLSQESSVCSVTGRSVSKATEIGSDSIYHSIPSTVIWLIQECLRALETWLEVDGGTPAALQSLSSPNSSGISDSNFLAIKKTLYNIKKGKYFGKLTGSSENHSSQCSSPVYSGNRASDEMEIAKNIGYDSTSSSAEIDPVACDYMGLDVSAMETDNGIGVATLRVLSLSEWLDIIYDVSSQEISVHVPLYRLFSLLLLKALRMSYGESIMPNITNACLASSLSAHVDFFCNILKGCNPLGFSASVMEHPLRIRVFCAQVIAGMWRKNGDAALVSCEWYRSVRWTEQGLELDLFLMQCCAALAPPDLYVKRIVERFGLLNYISLTLERSNEYEPVLVQEMLTLIMQILLERRFCGRNTADSLKRELIYKLAIGDATHSQLVKSLPRELSKSDQLQEILDRVAVYSNPSGFNQGMYSLRWAYWKELDLYHPRWNSRDLQVAEERYLRFCGVSAMTTQLPKWTKIYPPLEGVARIATCRETLKIIRAVLFYSVFTDKFTESRAPDGILMTALHLLSLALDIYLQHNGSGGVEHHIGDSNSMLDFASEAITESLNYTAGNQSLLSLLVALMRMHRQDNENNYMESSKSSFSPLIESLLKKFAEVDSQCMTKLQQLAPEVVSHLSKSTSNTERRTSGSASDSEMRKAKARERQAAILAKMKAEQSKFLSSISSTADDSKSEAEVSDSDLEHEAEGAVQQSCSLCHDPTSKDPVSFLILLQKSRLLSFVDRGPPSWDQCSEEQGYIPANRSSNQSGSNASAHSSGLPSSSVQLTENPVIESNNNRQGQDREVNVILEFFKSRFPSVRSTQAPLTSTDVGDSSIYNIEKLEEDMYKSIRNEMCNNLLRSSFKTDVVSSATECSQGNHRDAEIDILGKYIVALSSETIGNPLGTENTDVGRELTESTSQPLVYDGFGPLDCDGIYLSSCGHAVHQSCLERYLSSLKERFARRSFFEGAHIVDPNQGEFLCPVCRRLANSVLPVVNGTSRKAGRQPMTSTVDQVPSLGSSSAANKETCSLLLQQGLCLLKTAANVVGRPDFFEGLSFQRKESLSQNLEPISRVLSKMYFSKMQDRFLGSPRLSHPIILWDTLKYSLMSTEIAARSGKTYMAANYTLTSLYKEFKSSSEFTFSLLQRVVQNLSTTNSLHALQRFRGLQLFAESICSGLVFDNHSTTHNKEGTLLRILKHDDKEALYPDIQLWNSASHPVLARDPFSSLIWVLFCLPCPFISCEESLSSLVHVFYVVSVIQALIVCCQRHGCKTDGLDSHNRLVTDICGILGETGCAHWYFVSNSVDHSCDIKDMIRRLSFPYLRRCALLWKLLKSSSTAPFCERDDVWEPSHATTDMMDTVESASVELNEIEELENMFKIPPIDVVLEDEVVLSFALKWFHHFNKVYEACSFRNVFYCNPAVPFKLMSLPHVYQDLLQRYIKQCCPECKAALVEPALCLLCGRLCSPSWKSCCRESGCMVHAMNCGAGIGVFLLIRRTTILLQRCARQAPWPSPYLDAFGEEDIEMHRGKPLYLNEERYAALTYMVASHGLDRSSKVLGQTTIGTFFMV >KJB11248 pep chromosome:Graimondii2_0_v6:1:50972402:50983963:-1 gene:B456_001G249500 transcript:KJB11248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPADSSPIRPRDRVVRRLAALGIPMEYLEKRYEGIIHFVNGNGLLLPEIVSSLLPTDEDVAESIQDASLRSKKWMCLSMKNQFRESMVWLQWLMFQGEPTNTLKNLEDSSVEQRGVCGAVWGSNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYTIIYTGGGCCDCGDETAWKQEGFCTKHIGSEQIRPLDDDLANSVGPVLDALFICWKNKLFAAECIFQENMRATDCGAEQRKAANELTYVVVEMLIEFCKCSDSLLSFIARRVISLDGLLDILVRAERFFSDGVVKKLHELLLKLLAEPIFKNEFSKAFLGYYPTVIHEAIKEGSDSIFNKKYPLISTFSVQIFTVPTLTPRLVKEMNLLDMLLKCLENIFLSCAREDGRLLAAKWGSLYDTTNRVIEDIRVVMSHDVVSRYAIHEQKDFLRAWLKLLTFVQGMNPIKRETGLHIEEENEAMHLLFVLSHSIANIHSLLVDGAFSVATNEGASCLPYAYKQDVDDGDSIRHAKVGRLSQESSVCSVTGRSVSKATEIGSDSIYHSIPSTVIWLIQECLRALETWLEVDGGTPAALQSLSSPNSSGISDSNFLAIKKTLYNIKKGKYFGKLTGSSENHSSQCSSPVYSGNRASDEMEIAKNIGYDSTSSSAEIDPVACDYMGLDVSAMETDNGIGVATLRVLSLSEWLDIIYDVSSQEISVHVPLYRLFSLLLLKALRMSYGESIMPNITNACLASSLSAHVDFFCNILKGCNPLGFSASVMEHPLRIRVFCAQVIAGMWRKNGDAALVSCEWYRSVRWTEQGLELDLFLMQCCAALAPPDLYVKRIVERFGLLNYISLTLERSNEYEPVLVQEMLTLIMQILLERRFCGRNTADSLKRELIYKLAIGDATHSQLVKSLPRELSKSDQLQEILDRVAVYSNPSGFNQGMYSLRWAYWKELDLYHPRWNSRDLQVAEERYLRFCGVSAMTTQLPKWTKIYPPLEGVARIATCRETLKIIRAVLFYSVFTDKFTESRAPDGILMTALHLLSLALDIYLQHNGSGGVEHHIGDSNSMLDFASEAITESLNYTAGNQSLLSLLVALMRMHRQDNENNYMESSKSSFSPLIESLLKKFAEVDSQCMTKLQQLAPEVVSHLSKSTSNTERRTSGSASDSEMRKAKARERQAAILAKMKAEQSKFLSSISSTADDSKSEAEVSDSDLEHEAEGAVQQSCSLCHDPTSKDPVSFLILLQKSRLLSFVDRGPPSWDQCSEEQGYIPANRSSNQSGSNASAHSSGLPSSSVQLTENPVIESNNNRQGQDREVNVILEFFKSRFPSVRSTQAPLTSTDVGDSSIYNIEKLEEDMYKSIRNEMCNNLLRSSFKTDVVSSATECSQGNHRDAEIDILGKYIVALSSETIGNPLGTENTDVGRELTESTSQPLVYDGFGPLDCDGIYLSSCGHAVHQSCLERYLSSLKERFARRSFFEGAHIVDPNQGEFLCPVCRRLANSVLPVVNGTSRKAGRQPMTSTVDQVPSLGSSSAANKETCSLLLQQGLCLLKTAANVVGRPDFFEGLSFQRKESLSQNLEPISRVLSKMYFSKMQDRFLGSPRLSHPIILWDTLKYSLMSTEIAARSGKTYMAANYTLTSLYKEFKSSSEFTFSLLQRVVQNLSTTNSLHALQRFRGLQLFAESICSGLVFDNHSTTHNKEDKLLRILKHDDKEALYPDIQLWNSASHPVLARDPFSSLIWVLFCLPCPFISCEESLSSLVHVFYVVSVIQALIVCCQRHGCKTDGLDSHNRLVTDICGILGETGCAHWYFVSNSVDHSCDIKDMIRRLSFPYLRRCALLWKLLKSSSTAPFCERDDVWEPSHATTDMMDTVESASVELNEIEELENMFKIPPIDVVLEDEVVLSFALKWFHHFNKVYEACSFRNVFYCNPAVPFKLMSLPHVYQDLLQSRYIKQCCPECKAALVEPALCLLCGRLCSPSWKSCCRESGCMVHAMNCGAGIGVFLLIRRTTILLQRCARQAPWPSPYLDAFGEEDIEMHRGKPLYLNEERYAALTYMVASHGLDRSSKVLGQTTIGTFFMV >KJB11251 pep chromosome:Graimondii2_0_v6:1:50972886:50983717:-1 gene:B456_001G249500 transcript:KJB11251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLEKRYEGIIHFVNGNGLLLPEIVSSLLPTDEDVAESIQDASLRSKKWMCLSMKNQFRESMVWLQWLMFQGEPTNTLKNLEDSSVEQRGVCGAVWGSNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYTIIYTGGGCCDCGDETAWKQEGFCTKHIGSEQIRPLDDDLANSVGPVLDALFICWKNKLFAAECIFQENMRATDCGAEQRKAANELTYVVVEMLIEFCKCSDSLLSFIARRVISLDGLLDILVRAERFFSDGVVKKLHELLLKLLAEPIFKNEFSKAFLGYYPTVIHEAIKEGSDSIFNKKYPLISTFSVQIFTVPTLTPRLVKEMNLLDMLLKCLENIFLSCAREDGRLLAAKWGSLYDTTNRVIEDIRVVMSHDVVSRYAIHEQKDFLRAWLKLLTFVQGMNPIKRETGLHIEEENEAMHLLFVLSHSIANIHSLLVDGAFSVATNEGASCLPYAYKQDVDDGDSIRHAKVGRLSQESSVCSVTGRSVSKATEIGSDSIYHSIPSTVIWLIQECLRALETWLEVDGGTPAALQSLSSPNSSGISDSNFLAIKKTLYNIKKGKYFGKLTGSSENHSSQCSSPVYSGNRASDEMEIAKNIGYDSTSSSAEIDPVACDYMGLDVSAMETDNGIGVATLRVLSLSEWLDIIYDVSSQEISVHVPLYRLFSLLLLKALRMSYGESIMPNITNACLASSLSAHVDFFCNILKGCNPLGFSASVMEHPLRIRVFCAQVIAGMWRKNGDAALVSCEWYRSVRWTEQGLELDLFLMQCCAALAPPDLYVKRIVERFGLLNYISLTLERSNEYEPVLVQEMLTLIMQILLERRFCGRNTADSLKRELIYKLAIGDATHSQLVKSLPRELSKSDQLQEILDRVAVYSNPSGFNQGMYSLRWAYWKELDLYHPRWNSRDLQVAEERYLRFCGVSAMTTQLPKWTKIYPPLEGVARIATCRETLKIIRAVLFYSVFTDKFTESRAPDGILMTALHLLSLALDIYLQHNGSGGVEHHIGDSNSMLDFASEAITESLNYTAGNQSLLSLLVALMRMHRQDNENNYMESSKSSFSPLIESLLKKFAEVDSQCMTKLQQLAPEVVSHLSKSTSNTERRTSGSASDSEMRKAKARERQAAILAKMKAEQSKFLSSISSTADDSKSEAEVSDSDLEHEAEGAVQQSCSLCHDPTSKDPVSFLILLQKSRLLSFVDRGPPSWDQCSEEQGYIPANRSSNQSGSNASAHSSGLPSSSVQLTENPVIESNNNRQGQDREVNVILEFFKSRFPSVRSTQAPLTSTDVGDSSIYNIEKLEEDMYKSIRNEMCNNLLRSSFKTDVVSSATECSQGNHRDAEIDILGKYIVALSSETIGNPLGTENTDVGRELTESTSQPLVYDGFGPLDCDGIYLSSCGHAVHQSCLERYLSSLKERFARRSFFEGAHIVDPNQGEFLCPVCRRLANSVLPVVNGTSRKAGRQPMTSTVDQVPSLGSSSAANKETCSLLLQQGLCLLKTAANVVGRPDFFEGLSFQRKESLSQNLEPISRVLSKMYFSKMQDRFLGSPRLSHPIILWDTLKYSLMSTEIAARSGKTYMAANYTLTSLYKEFKSSSEFTFSLLQRVVQNLSTTNSLHALQRFRGLQLFAESICSGLVFDNHSTTHNKEDKLLRILKHDDKEALYPDIQLWNSASHPVLARDPFSSLIWVLFCLPCPFISCEESLSSLVHVFYVVSVIQALIVCCQRHGCKTDGLDSHNRLVTDICGILGETGCAHWYFVSNSVDHSCDIKDMIRRLSFPYLRRCALLWKLLKSSSTAPFCERDDVWEPSHATTDMMDTVESASVELNEIEELENMFKIPPIDVVLEDEVVLSFALKWFHHFNKVYEACSFRNVFYCNPAVPFKLMSLPHVYQDLLQRYIKQCCPECKAALVEPALCLLCGRLCSPSWKSCCRESGCMVHAMNCGAGIGVFLLIRRTTILLQRCARQAPWPSPYLDAFGEEDIEMHRGKPLYLNEERYAALTYMVASHGLDRSSKVLGQTTIGTFFMV >KJB06138 pep chromosome:Graimondii2_0_v6:1:41220127:41221557:1 gene:B456_001G2086002 transcript:KJB06138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSLSCFCFCPVSESKLKKKPSKGTAISSLPQHLCRRFSLDEIRVATNNFDSHLVLGKGGSAVVYKGFFDDGASVFAVKYLQLGSSRHTILDDFRNEVQLLCQLRHQHIVSLIGFCYEEDKMIIVYNYMSQGMLFDHLHGTGHHDPLPWKQRLEICIGIARGLHYLHAGAKRAVIHRDIKTRNILLDDQKVSKIADFTLSKIGPFSLSNAPIRIELPPLDEIELETSRTRLFGTLGYVAPELFIDATIVTEKSDVYSFGVVLLEVLCGRKVLKFDAGDHNHRHIISWVNEHLKNGRIYQMTDPYLEGKIAPSCLQKFLDIALSCVHVEEHKRPALGEVEVTLELALELQNKADFEVKGLNPHGEAMYEEVAFSASAFSFSDYQTDVLWPHGSARVEDTFSWKSEDMLSDIEELCR >KJB08255 pep chromosome:Graimondii2_0_v6:1:7563500:7567387:-1 gene:B456_001G074000 transcript:KJB08255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLLSCLFSFIFASTLWSIGSSALTLDGLTLLEIKSTLNDSKNFLGNWQATDENPCNWTGISCYPNDHRVRSINLPYMQLGGIISPSIGKLKGLQRLALHQNNLHGQIPNELTNCTELRALYLRNNYLQGGIPSNFGNLTFLTILDLSSNLLKGAIPSSIGHLTRLHYLNLSTNFFSGEIPDIGVLSTFRNNSFIGNLDLCGRQVNKTCRTSLGFPAVLPHAESDGVAVPTKRSSHYIKGVMIGAMLTMALVLVMLLAFLWIYLLSKKERAVKRYTEVKKQVNHDTSTKLITFHGDLPYPSCEIVEKLESLDEEDVVGSGGFGTVYRMVMNDCQTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNMRGYCRFPASKLLIYDYLAMGSLDNFLHECKEEGRLLNWSARLKIALGSARGLAYLHHDCCPKIVHRDIKSSNILLDENLEPHVSDFGLAQLLVDEDAHVTTVVAGTFGYLAPEYLQSGIATEKTDVYSFGVLLLELVTGKRPTDPTFAKRGLNVVGWTNTLLRENRLEDIVDKGCTDAIMETVEAILEIAAKCTDANPDERPTMCQVLQLLEQEVMSPYPSDYESHSDYC >KJB08256 pep chromosome:Graimondii2_0_v6:1:7564085:7567185:-1 gene:B456_001G074000 transcript:KJB08256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLLSCLFSFIFASTLWSIGSSALTLDGLTLLEIKSTLNDSKNFLGNWQATDENPCNWTGISCYPNDHRVRSINLPYMQLGGIISPSIGKLKGLQRLALHQNNLHGQIPNELTNCTELRALYLRNNYLQGGIPSNFGNLTFLTILDLSSNLLKGAIPSSIGHLTRLHYLNLSTNFFSGEIPDIGVLSTFRNNSFIGNLDLCGRQVNKTCRTSLGFPAVLPHAESDGVAVPTKRSSHYIKGVMIGAMLTMALVLVMLLAFLWIYLLSKKERAVKRYTEVKKQVNHDTSTKLITFHGDLPYPSCEIVEKLESLDEEDVVGSGGFGTVYRMVMNDCQTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNMRGYCRFPASKLLIYDYLAMGSLDNFLHECKEEGRLLNWSARLKIALGSARGLAYLHHDCCPKIVHRDIKSSNILLDENLEPHVSDFGLAQLLVDEDAHVTTVVAGTFGYLAPEYLQSGIATEKTDVYSFGVLLLELVTGKRPTDPTFAKRGLNVVGWART >KJB09770 pep chromosome:Graimondii2_0_v6:1:23474501:23475950:1 gene:B456_001G163700 transcript:KJB09770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVPILSSLPSPLGSNEESIPPFTVHEYVELSMSGSTGERSFADIFTSIRDWVIHSKPIPSLFTQRIKGVSYSFGSPRPNEYSKKSRQGIPLIAGRFAPLAQLDSF >KJB11488 pep chromosome:Graimondii2_0_v6:1:53741522:53742975:1 gene:B456_001G261900 transcript:KJB11488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVPCTMKAWIYGQHGKPEDVLKLKSDVVVPELKEDQVLVKVMASGLNPVDNKRMLGIFVQAECPFPTVPGYDVAGVVVKVGSQVKNLKVGDEVYGNIHEKALDHPKQYGTLAEYTAVEERLLAPKPKNLSFTEAASLPVAIGTAYEGLQRCEFTAGQSILVLGGAGGVGSMVIQLAKHVFGASRVVATASTGKLELLRNLGADLAVDYTKENFEDLPEKFDVVYDCVGQCERAVKAMKEGGKVVIVIGAVTVPAFVFIVTSNGADLEKLNPYLESGKVKAVIDPNGIYPFSQTLEGLAYVDTGRVAGKVVIYPIQQDN >KJB08998 pep chromosome:Graimondii2_0_v6:1:14193894:14194414:-1 gene:B456_001G117700 transcript:KJB08998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDRHESILQESPQELKQQQRKQDEEDEEKEKGIGKDDDDEEEVTVTSRKLDDDEDEGEECKTPSSRDHKTPAIQSCPLTPRKKPMMRMKRKVSELQFFETTRSEEVESFFGSNSYPFSTNNTAAHPPFKKRRCKSA >KJB10260 pep chromosome:Graimondii2_0_v6:1:32459827:32462603:-1 gene:B456_001G192600 transcript:KJB10260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISQMDAFQWHKIAAVSGIAALGLGTYGFHIFKPENPVYKEVWQIASTYHLFHTAALLSAPITKRPHIFGGLLTAGILSFSGSCYTAAYLEDRKYSALAPFGGLAFVAGWASLLF >KJB08810 pep chromosome:Graimondii2_0_v6:1:11811492:11813425:1 gene:B456_001G105200 transcript:KJB08810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNQQGDSPSPSSSTSVDWRRRILIPTILAGVAGGGVGLVSKHRKVYGLANISATYATNFAIVTGCYCGAREFVTATRKTGPDDLLNSAIAGFGTGAILGRLQAVDFAALKLRPKLHDFSESMFDKSSSLKLPEWSPIQVLDEEALAAKRAREEKLYGQRKALNKEES >KJB08809 pep chromosome:Graimondii2_0_v6:1:11811485:11813461:1 gene:B456_001G105200 transcript:KJB08809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNQQGDSPSPSSSTSVDWRRRILIPTILAGVAGGGVGLVSKHRKVYGLANISATYATNFAIVTGCYCGAREFVTATRKTGPDDLLNSAIAGFGTGAILGRLQGGQFGAYRYSLIFAVVGTAVDFAALKLRPKLHDFSESMFDKSSSLKLPEWSPIQVLDEEALAAKRAREEKLYGQRKALNKEES >KJB09418 pep chromosome:Graimondii2_0_v6:1:18972877:18980120:1 gene:B456_001G140400 transcript:KJB09418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMDRTLQSESMRSPTVLSIECIKGSSKADEWTADMLQTGDIVEEIRIGSGSALSYKAPFKGGKSGVQKILHTSYRNKETSILVRIRRASDEFAQLQACIVPNETGGKKQYMLRSIADPNYTVGFSDRSESECFELQVSRNTRIVSELSRTRLQDGYVWYPWQRRMQEVLSVPNSCCFLSILLLPKASDRVASRYNDLEDTLARADAWLLASQASGVPILFINIQTESLLTKISGETASATVNAGSLSDLANLGNATLYGFEDYHGVDIGVVRAVRLWYTPLAREIAVEIKLKEDDTKLGFAISRTEEGFIYISSVIDDEDLPSTRSGLSTLYKESMCASRLLVVSRLSNQKVLPWMVSSTGAVRCFDTVSLSQKLSLHRHAKVPILMHVFSWDPSLVSRGFGSARLRVPSTSVLPLTSEVRLAHHPNSNQILPLPPDEPSESVVTREQQQQPDRRLQRDTAGETSFRFHDFSLPNNWV >KJB10380 pep chromosome:Graimondii2_0_v6:1:35275003:35278773:1 gene:B456_001G198200 transcript:KJB10380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYVIFPVPSLLLASTFMLMQEKYEKAIAALAEMEKRAVMAESMEEGTLHYHSGQNKAQLSLSPRCVVDFPLSNLLCLGEKHFIMDDKTKPDQLVQLILQRTW >KJB08919 pep chromosome:Graimondii2_0_v6:1:13168416:13172281:-1 gene:B456_001G112800 transcript:KJB08919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASIDPVLLDDIICRLLEFKQARPGKQVQLMEGEIRQLCTVAREIFLQQPNLLELEAPIKICGDIHGQYADLLRLFEYGGFPPKANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALIDDKILCMHDPGRDIKGWGMNDRGVSFTYGPDRVSDFLMKNDMDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADKRSRFI >KJB08918 pep chromosome:Graimondii2_0_v6:1:13169294:13172281:-1 gene:B456_001G112800 transcript:KJB08918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASIDPVLLDDIICRLLEFKQARPGKQVQLMEGEIRQLCTVAREIFLQQPNLLELEAPIKICGDIHGQYADLLRLFEYGGFPPKANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRSITRPTDVPDSGLLCDLLWSDPGRDIKGWGMNDRGVSFTYGPDRVSDFLMKNDMDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADKRSRFI >KJB08920 pep chromosome:Graimondii2_0_v6:1:13168350:13172466:-1 gene:B456_001G112800 transcript:KJB08920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASIDPVLLDDIICRLLEFKQARPGKQVQLMEGEIRQLCTVAREIFLQQPNLLELEAPIKICGDIHGQYADLLRLFEYGGFPPKANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRSITRPTDVPDSGLLCDLLWSDPGRDIKGWGMNDRGVSFTYGPDRVSDFLMKNDMDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADKRSRFI >KJB10073 pep chromosome:Graimondii2_0_v6:1:28036541:28044153:-1 gene:B456_001G182800 transcript:KJB10073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGHPWPHDRASFRYQPSSNRRRSKNILHLLGKREVSPRTKYVPKKLWGEAPKRNVYSSPWTEPIRDARRGILSWAEEESLQHLSGKYCPLVPPPRSTIAAAFSSDGRTLASTHGDHTVKIIDYQKGKCLKVLSGHRRTPWVVRFHPVHPEILASGSLDHEVRLWDAKTAECLGSRDFYRPIASLAFHAEGELLAVACGHKLYIWDYNKNGEGSSPAIILKTRRSLRAVHFHPHAAPFLLTAEVNDLDSSDSSMTQATSQGYFHHPPPAVFVTCGQSSDHVGLAANPSLMSLPCMIVPSFSIDDSRMDLQYISRLVGSSGTQAGHSPSMQFQTDTTMGEQYNSTASPMEAVPPIPSHLYSGSENLVNTSVAFRMETGAAEPSFDAMDTDEIQPIRESEEPNSTNRSSYSSAQRAVPRHVSDRQDATEFRPLLQFVPLGAICWELPFLQGWVMGQQTTFPSMRPHSGTGHENLTQYTASSSILQPLVGNVEAAACSLGISNSISQSGVFGRIGLQNISQSRLVSETGEVPVPSNSLHDGTDAERIISRLQSELATSMAVAAAAELPCTVKLKVWSYDIKNPCALLNVGKCCLTIPHAVLCSEMGAHFSPCGKFLAACVACVLPHSEADPRLQALVHQDGGAGTSPTRHPISAHQVVYELRIYSLEKATFGSVLVSRAIRAAHSLTSIQFSPTSEHILLAYGRRHGSLLKSIVIDGKTTSPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFPGGGLAYGTKEGRLRVLQYDGARDTNHNTSNYFSVENTAGVE >KJB10074 pep chromosome:Graimondii2_0_v6:1:28036963:28043841:-1 gene:B456_001G182800 transcript:KJB10074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGHPWPHDRASFRYQPSSNRRRSSKNILHLLGKREVSPRTKYVPKKLWGEAPKRNVYSSPWTEPIRDARRGILSWAEEESLQHLSGKYCPLVPPPRSTIAAAFSSDGRTLASTHGDHTVKIIDYQKGKCLKVLSGHRRTPWVVRFHPVHPEILASGSLDHEVRLWDAKTAECLGSRDFYRPIASLAFHAEGELLAVACGHKLYIWDYNKNGEGSSPAIILKTRRSLRAVHFHPHAAPFLLTAEVNDLDSSDSSMTQATSQGYFHHPPPAVFVTCGQSSDHVGLAANPSLMSLPCMIVPSFSIDDSRMDLQYISRLVGSSGTQAGHSPSMQFQTDTTMGEQYNSTASPMEAVPPIPSHLYSGSENLVNTSVAFRMETGAAEPSFDAMDTDEIQPIRESEEPNSTNRSSYSSAQRAVPRHVSDRQDATEFRPLLQFVPLGAICWELPFLQGWVMGQQTTFPSMRPHSGTGHENLTQYTASSSILQPLVGNVEAAACSLGISNSISQSGVFGRIGLQNISQSRLVSETGEVPVPSNSLHDGTDAERIISRLQSELATSMAVAAAAELPCTVKLKVWSYDIKNPCALLNVGKCCLTIPHAVLCSEMGAHFSPCGKFLAACVACVLPHSEADPRLQALVHQDGGAGTSPTRHPISAHQVVYELRIYSLEKATFGSVLVSRAIRAAHSLTSIQFSPTSEHILLAYGRRHGSLLKSIVIDGKTTSPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFPGGGLAYGTKEGRLRVLQYDGARDTNHNTSNYFSVENTAGVE >KJB07420 pep chromosome:Graimondii2_0_v6:1:2108095:2111624:-1 gene:B456_001G022500 transcript:KJB07420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESICLTLAATAGNNIGKVLQKKGTGILPPLSFKFKVIRAYAVNKSWLVGFLIDISGALLMLRALSLAPVSVIQPVSGCGLAILSIFSHFYLQEVMNVIDWLGITLAGIGTIGVGAGGEEQVASSVSILQLPWLAFFVVILFVLLNGWLRICKRQRREQELMEYEVVEEIIYGLESGILFGMASVISKIGFVFVQQGFSKMLIPLCVSISICCSGTGFYYQTRGLKHGRAIVISTCAAVASIVTGVLAGMLALGERLPSAPVARLSLLLGWLFIMMGVILLVSSTRLMRHLPWPLQNLIPSGADRNFSLRKTGSHRVKDPSPAAVIPAATLHHLIPTPAKEKA >KJB07422 pep chromosome:Graimondii2_0_v6:1:2108133:2111522:-1 gene:B456_001G022500 transcript:KJB07422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESICLTLAATAGNNIGKVLQKKGTGILPPLSFKFKVIRAYAVNKSWLVGFLIDISGALLMLRALSLAPVSVIQPVSGCGLAILSIFSHFYLQEVMNVIDWLGITLAGIGTIGVGAGGEEQVASSVSILQLPWLAFFVVILFVLLNGWLRICKRQRREQELMEYEVVEEIIYGLESGILFGMASVISKIGFVFVQQGFSKMLIPLCVSISICCSGTGFYYQVFPLLKVVHQVIQAC >KJB07421 pep chromosome:Graimondii2_0_v6:1:2108133:2110922:-1 gene:B456_001G022500 transcript:KJB07421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIDSVKCLVYSFIFVNDKSVVQVSVIQPVSGCGLAILSIFSHFYLQEVMNVIDWLGITLAGIGTIGVGAGGEEQVASSVSILQLPWLAFFVVILFVLLNGWLRICKRQRREQELMEYEVVEEIIYGLESGILFGMASVISKIGFVFVQQGFSKMLIPLCVSISICCSGTGFYYQTRGLKHGRAIVISTCAAVASIVTGVLAGMLALGERLPSAPVARLSLLLGWLFIMMGVILLVSSTRLMRHLPWPLQNLIPSGADRNFSLRKTGSHRVKDPSPAAVIPAATLHHLIPTPAKEKA >KJB08317 pep chromosome:Graimondii2_0_v6:1:7871733:7875069:1 gene:B456_001G076500 transcript:KJB08317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKNVSESSVSDAKLSRSERKKMKKQRMERDIETRNGESLDKAACLGTEDVDLGAEQSSRSRKKRKRKENSFVESSGLKEEPNSLMNDSVSGRDSNVQTPGNNVSESPLKGQSADSERKRKRKKKKSKMATSVEVDNSLVDEKNESDSHLEPGLEGAQLNEQTVQAESKRKKKKKGKGKKTTLNVMEDEDISSKSNGKDSNEVENERCTEPQIINEKNIRKEDPISISETVESKNTNKISLITYKQSDFSGIQNPHVDGDIVMPETEVIEKSKKVKTHHKKKKKSSNLLGDGLEHVQENGPIQISVEHREKEPTIGCSENGSGEVPVGHAMPAQASGEALGNNIGIESDLKGRKRKKRKKSKDVEKETKMEEVNPSPLNLAVAKDDVTLAANVCPSNLSVTKDDVIRTANVSPSNLADTKDNVTLAANASPSNLAVVKDNATLTTNVKENNFSQTLYSSFQRKSVWRPRKKLLVLDLNGILVDVVQQPKRKPNTRVDGKGVFFRPFCVEFLEFCFKTFNVGIWSSRVNRNMTKMIGLLLRKQWKRELFFCWDRKLCTITKFKTLENEEKPLVLKELRKLWDRCLPELPWRRGDYDESNTLLLDDSPYKALRNPANTGIFPYPYQYTDADDHSLGET >KJB08318 pep chromosome:Graimondii2_0_v6:1:7871733:7876221:1 gene:B456_001G076500 transcript:KJB08318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLFKRKVKGRRRRRGKERRQRLMSWRMKILAQRAMARTVMKLKMKGIQNPHVDGDIVMPETEVIEKSKKVKTHHKKKKKSSNLLGDGLEHVQENGPIQISVEHREKEPTIGCSENGSGEVPVGHAMPAQASGEALGNNIGIESDLKGRKRKKRKKSKDVEKETKMEEVNPSPLNLAVAKDDVTLAANVCPSNLSVTKDDVIRTANVSPSNLADTKDNVTLAANASPSNLAVVKDNATLTTNVKENNFSQTLYSSFQRKSVWRPRKKLLVLDLNGILVDVVQQPKRKPNTRVDGKGVFFRPFCVEFLEFCFKTFNVGIWSSRVNRNMTKMIGLLLRKQWKRELFFCWDRKLCTITKFKTLENEEKPLVLKELRKLWDRCLPELPWRRGDYDESNTLLLDDSPYKALRNPANTGIFPYPYQYTDADDHSLAPGGDIRDYLERIAVAENVQKFVEQNPFGQQAITEADPHWEFYSQVIKDLRLHAG >KJB08319 pep chromosome:Graimondii2_0_v6:1:7871684:7876233:1 gene:B456_001G076500 transcript:KJB08319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKNVSESSVSDAKLSRSERKKMKKQRMERDIETRNGESLDKAACLGTEDVDLGAEQSSRSRKKRKRKENSFVESSGLKEEPNSLMNDSVSGRDSNVQTPGNNVSESPLKGQSADSERKRKRKKKKSKMATSVEVDNSLVDEKNESDSHLEPGLEGAQLNEQTVQAESKRKKKKKGKGKKTTLNVMEDEDISSKSNGKDSNEVENERCTEPQIINEKNIRKEDPISISETVESKNTNKISLITYKQSDFSGIQNPHVDGDIVMPETEVIEKSKKVKTHHKKKKKSSNLLGDGLEHVQENGPIQISVEHREKEPTIGCSENGSGEVPVGHAMPAQASGEALGNNIGIESDLKGRKRKKRKKSKDVEKETKMEEVNPSPLNLAVAKDDVTLAANVCPSNLSVTKDDVIRTANVSPSNLADTKDNVTLAANASPSNLAVVKDNATLTTNVKENNFSQTLYSSFQRKSVWRPRKKLLVLDLNGILVDVVQQPKRKPNTRVDGKGVFFRPFCVEFLEFCFKTFNVGIWSSRVNRNMTKMIGLLLRKQWKRELFFCWDRKLCTITKFKTLENEEKPLVLKELRKLWDRCLPELPWRRGDYDESNTLLLDDSPYKALRNPANTGIFPYPYQYTDADDHSLAPGGDIRDYLERIAVAENVQKFVEQNPFGQQAITEADPHWEFYSQVIKDLRLHAG >KJB08316 pep chromosome:Graimondii2_0_v6:1:7871876:7876161:1 gene:B456_001G076500 transcript:KJB08316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKNVSESSVSDAKLSRSERKKMKKQRMERDIETRNGESLDKAACLGTEDVDLGAEQSSRSRKKRKRKENSFVESSGLKEEPNSLMNDSVSGRDSNVQTPGNNVSESPLKGQSADSERKRKRKKKKSKMATSVEVDNSLVDEKNESDSHLEPGLEGAQLNEQTVQAESKRKKKKKGKGKKTTLNVMEDEDISSKSNGKDSNEVENERCTEPQIINEKNIRKEDPISISETVESKNTNKISLITYKQSDFSGIQNPHVDGDIVMPETEVIEKSKKVKTHHKKKKKSSNLLGDGLEHVQENGPIQISVEHREKEPTIGCSENGSGEVPVGHAMPAQASGEALGNNIGIESDLKGRKRKKRKKSKDVEKETKMEEVNPSPLNLAVAKDDVTLAANVCPSNLSVTKDDVIRTANVSPSNLADTKDNVTLAANASPSNLAVVKDNATLTTNVKENNFSQTLYSSFQRKSVWRPRKKLLVLDLNGILVDVVQQPKRKPNTRVDGKGVFFRPFCVEFLEFCFKTFNVGIWSSRVNRNMTKMIGLLLRKQWKRELFFCWDRKLCTITKFKTLENEEKPLVLKELRKLWDRCLPELPWRRGDYDESNTLLLDDSPYKALRNPANTGIFPYPYQYTDADDHSLAPGGDIRDYLERIAVAENVQKFVEQNPFGQQAITEADPHWEFYSQVIKDLRLHAG >KJB11538 pep chromosome:Graimondii2_0_v6:1:54047120:54049683:-1 gene:B456_001G264200 transcript:KJB11538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sirohydrochlorin ferrochelatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50170) UniProtKB/Swiss-Prot;Acc:Q84JH7] MMSIESLFIPQTLPLKSSSVDKTARKSTWASLKSPKFQTGPSRIRNFSAKSSLGFENGGFKQFPNGVGEKDGVIIVDHGSRRKESNLMLNEFVAMFREKSGYPIVEPAHMLAEPSIKDAFGLCVQRGANRVIVSPFFLFPGRHWYQDIPLLTADAARDHPGASYIITAPLGLHELLVDVMNDRIKHCLSHVAGDADECAACAGTSKCKLYGLVDVIKT >KJB11537 pep chromosome:Graimondii2_0_v6:1:54047076:54049778:-1 gene:B456_001G264200 transcript:KJB11537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sirohydrochlorin ferrochelatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50170) UniProtKB/Swiss-Prot;Acc:Q84JH7] MMSIESLFIPQTLPLKSSSVDKTARKSTWASLKSPKFQTGPSRIRNFSAKSSLGFENGGFKQFPNGVGEKDGVIIVDHGSRRKESNLMLNEFVAMFREKSGYPIVEPAHMELAEPSIKDAFGLCVQRGANRVIVSPFFLFPGRHWYQDIPLLTADAARDHPGASYIITAPLGLHELLVDVMNDRIKHCLSHVAGDADECAACAGTSKCKLYGLVDVIKT >KJB11279 pep chromosome:Graimondii2_0_v6:1:52165985:52167063:-1 gene:B456_001G251000 transcript:KJB11279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVEFRGLHYLAPENPILIPANLGMMQNSIPSFHFNTFESSLPNSHIVPSAQEFAALSSSISNTSTSDEAEEQQVNVIDERKQRRMISNRESARRSRMRKQKHLDELWSQVIRLRNENQSLLDKLNHVSESHDRVLQENARLKEEASDLRQMLTDLKIGSPYSLAFRDLEEVPCNTAHLKAESTNQSIANSVDLLH >KJB09381 pep chromosome:Graimondii2_0_v6:1:18218228:18223550:-1 gene:B456_001G137900 transcript:KJB09381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSFGSATSTVIPNDPKIRMQSFTGLKSLHSIALARNHRVFPLPFSTPSVITAVSTPVKPETSTTEPKRSKVEIFKEQSNFIRYPLNEEILTDTPNINEAATQLIKFHGSYQQYNRDERGTRSYSFMLRTKNPGGKVPNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKNLKTVMSTIIKNMGSTLGACGDLNRNVLAPAAPLMTKEYLFAQETADNIAALLTPQSGFYYDVWVDGERFMTSEPPEVVKARNDNSHKTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVSDDNGEPQGFNIYVGGGMGRTHRMEATFPRLGEPLGYVPKEDILYAIKAIVATQRDHGRRDDRRYSRMKYLISSWGIEKFKTVVEQYYGKKFGPFRELPEWEFKSYLGWHEQGDGALFCGLHVDNGRVGGKMKKTLREVIEKYNLNVRITPNQNIILCDIRSAWRRPITTVLAQAGLLHPKYVDPLNLTAMACPAFPLCPLAITEAERGIPDILKRVRSVFEKVGLKYNDSVVIRITGCPNGCARPYMAELGLVGDGPNSYQIWLGGTPNQTQLARSFMDKVKVQDLENVFEPLFYYWKQKRQPKESFGDFTARIGFEKLKELVDKWEGVVQTPPARYNLKLFADKETYEVMDELAKLQNKSAHQLAIEVIRNFVASQQNGKSE >KJB09380 pep chromosome:Graimondii2_0_v6:1:18218228:18223529:-1 gene:B456_001G137900 transcript:KJB09380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSFGSATSTVIPNDPKIRMQSFTGLKSLHSIALARNHRVFPLPFSTPSVITAVSTPVKPETSTTEPKRSKVEIFKEQSNFIRYPLNEEILTDTPNINEAATQLIKFHGSYQQYNRDERGTRSYSFMLRTKNPGGKVPNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKNLKTVMSTIIKNMGSTLGACGDLNRNVLAPAAPLMTKEYLFAQETADNIAALLTPQSGFYYDVWVDGERFMTSEPPEVVKARNDNSHKTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVSDDNGEPQGFNIYVGGGMGRTHRMEATFPRLGEPLGYVPKEDILYAIKAIVATQRDHGRRDDRRYSRMKYLISSWGIEKFKTVVEQYYGKKFGPFRELPEWEFKSYLGWHEQGDGALFCGLHVDNGRVGGKMKKTLREVIEKYNLNVRITPNQNIILCDIRSAWRRPITTVLAQAGLLHPKYVDPLNLTAMACPAFPLCPLAITEAERGIPDILKRVRSVFEKVGLKYNDSVVIRITGCPNGCARPYMAELGLVGDGPNSYQIWLGGTPNQTQLARSFMDKVKVQDLENVFEPLFYYWKQKRQPKESFGDFTARIVSTQNNYHVSIIILFRHTSRKVFYFLCQFLPIFLCESFMIQ >KJB09383 pep chromosome:Graimondii2_0_v6:1:18221189:18223356:-1 gene:B456_001G137900 transcript:KJB09383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSFGSATSTVIPNDPKIRMQSFTGLKSLHSIALARNHRVFPLPFSTPSVITAVSTPVKPETSTTEPKRSKVEIFKEQSNFIRYPLNEEILTDTPNINEAATQLIKFHGSYQQYNRDERGTRSYSFMLRTKNPGGKVPNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKNLKTVMSTIIKNMGSTLGACGDLNRNVLAPAAPLMTKEYLFAQETADNIAALLTPQSGFYYDVWVDGERFMTSEPPEVVKARNDNSHKTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVSDDNGEPQGFNIYVGGGMGRTHRMEATFPRLGEPLGYVPKEDILYAIKAIVATQRDHGRRDDRRYSRMKYLISSWGIEKFKTVVEQYYGKKFGPFRELPEWEFKSYLGWHEQGDGALFCGLHVDNGRVGGKMKKTLREVIEKYNLNVRITPNQNIILCDIRSAWRRPITTVLAQAGLLVRCLLLAIIAYSFLNL >KJB09382 pep chromosome:Graimondii2_0_v6:1:18220297:18223529:-1 gene:B456_001G137900 transcript:KJB09382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSFGSATSTVIPNDPKIRMQSFTGLKSLHSIALARNHRVFPLPFSTPSVITAVSTPVKPETSTTEPKRSKVEIFKEQSNFIRYPLNEEILTDTPNINEAATQLIKFHGSYQQYNRDERGTRSYSFMLRTKNPGGKVPNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKNLKTVMSTIIKNMGSTLGACGDLNRNVLAPAAPLMTKEYLFAQETADNIAALLTPQSGFYYDVWVDGERFMTSEPPEVVKARNDNSHKTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVSDDNGEPQGFNIYVGGGMGRTHRMEATFPRLGEPLGYVPKEDILYAIKAIVATQRDHGRRDDRRYSRMKYLISSWGIEKFKTVVEQYYGKKFGPFRELPEWEFKSYLGWHEQGDGALFCGLHVDNGRVGGKMKKTLREVIEKYNLNVRITPNQNIILCDIRSAWRRPITTVLAQAGLLMRNLKVA >KJB09436 pep chromosome:Graimondii2_0_v6:1:19213177:19215100:-1 gene:B456_001G142200 transcript:KJB09436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQVAFTDEDDCESCRLAYCWWRTATKFDECAKLKLDFPDVSILTPRLRLLRELERLALIAPDGLNELRHKLLGYRSGDFWVPIGGINKEDMDIPPVNTILLVGFSGSGKSSLINLMYSILGRSGLIPFAQTSSGSCSNYTTMYMEEHNVLRSMRSGFCVYDSRGFDYGRTREALEELSSWMSEGVHHNQLCLRYRDSKMMTDDMENDDMGSSSKFVLRRVNCVIVVANMAEIYQALKAGDLKPLEAIRQLFCCAALRNSNENPLVILTHGDLLSTEERIDGRLKICECLDISETNGVYDIVCLTEYGFLEEECDPVSAYALTEVVYRALLISDRGQLPKKRFHHRALLILLCLVRFIGVCFAFLADVCSRLGEKEKLRI >KJB10940 pep chromosome:Graimondii2_0_v6:1:47218521:47223876:-1 gene:B456_001G234900 transcript:KJB10940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVPDFEMEDDYSIPSSSILNRAKKPSMPEDGIMELLWQNGQVVMQSQNQRSFKKPSPFKYLDAGQSALREIRSSSHHQQQQQQQQQQQQSVTDHLFMQEDEMASWLHNPLSDANFDHDFCADLLYPSSSTAVAPCVTSTATTSAPPPPPLGRVPQVSASAIVPASRPPIPPARRNELESTRIQNFGSLSKHKTAAREEQPGPSNSKSVAREVTVVDSSDTPAAAPESGASQAMPWNTEAASGEDNNDACANMSFAAVAYTQSAGVSVGASKDNIATCEATVTSSPGGSSASASAEPTAQKTGPAEDRKRKGREPDDAECHSEDAEFESPETKKQSRGSSSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMLPMMFPQHYMPTMGMGIGMGMVMDMGLSRPMMPFHNVMAGSGLPTPAASAHLDPRFPVPAFHMSPQVPVPDPSRIQPNNQSDAMLNPLGMQNPNQPRIPSFADPYQQYMGLHQMQLHPPQCQATAQPSSSKPSTSKGAENLENHPSGDMAR >KJB10942 pep chromosome:Graimondii2_0_v6:1:47218496:47224002:-1 gene:B456_001G234900 transcript:KJB10942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVPDFEMEDDYSIPSSSILNRAKKPSMPEDGIMELLWQNGQVVMQSQNQRSFKKPSPFKYLDAGQSALREIRSSSHHQQQQQQQQQQQQSVTDHLFMQEDEMASWLHNPLSDANFDHDFCADLLYPSSSTAVAPCVTSTATTSAPPPPPLGRVPQVSASAIVPASRPPIPPARRNELESTRIQNFGSLSKHKTAAREEQPGPSNSKSVAREVTVVDSSDTPAAAPESGASQAMPWNTEAASGEDNNDACANMSFAAVAYTQSAGVSVGASKDNIATCEATVTSSPGGSSASASAEPTAQKTGPAEDRKRKGREPDDAECHSEDAEFESPETKKQSRGSSSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMLPMMFPQHYMPTMGMGIGMGMVMDMGLSRPMMPFHNVMAGSGLPTPAASAHLDPRFPVPAFHMSPQVPVPDPSRIQPNNQSDAMLNPLGMQNPNQPRIPSFADPYQQYMGLHQMQLHPPQCQATAQPSSSKPSTSKGAENLENHPSGDMAR >KJB10941 pep chromosome:Graimondii2_0_v6:1:47218521:47223837:-1 gene:B456_001G234900 transcript:KJB10941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVPDFEMEDDYSIPSSSILNRAKKPSMPEDGIMELLWQNGQVVMQSQNQRSFKKPSPFKYLDAGQSALREIRSSSHHQQQQQQQQQQQQSVTDHLFMQEDEMASWLHNPLSDANFDHDFCADLLYPSSSTAVAPCVTSTATTSAPPPPPLGRVPQVSASAIVPASRPPIPPARRNELESTRIQNFGSLSKHKTAAREEQPGPSNSKSVAREVTVVDSSDTPAAAPESGASQAMPWNTEAASGEDNNDACANMSFAAVAYTQSAGVSVGASKDNIATCEATVTSSPGGSSASASAEPTAQKTGPAEDRKRKGREPDDAECHSEDAEFESPETKKQSRGSSSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMLPMMFPQHYMPTMGMGIGMGMVMDMGLSRPMMPFHNVMAGSGLPTPAASAHLDPRFPVPAFHMSPQVPVPDPSRIQPNNQSDAMLNPLGMQNPNQPRIPSFADPYQQYMGLHQMQLHPPQCQATAQPSSSKPSTSKGAENLENHPSGDMAR >KJB10939 pep chromosome:Graimondii2_0_v6:1:47218496:47223963:-1 gene:B456_001G234900 transcript:KJB10939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVPDFEMEDDYSIPSSSILNRAKKPSMPEDGIMELLWQNGQVVMQSQNQRSFKKPSPFKYLDAGQSALREIRSSSHHQQQQQQQQQQQQSVTDHLFMQEDEMASWLHNPLSDANFDHDFCADLLYPSSSTAVAPCVTSTATTSAPPPPPLGRVPQVSASAIVPASRPPIPPARRNELESTRIQNFGSLSKHKTAAREEQPGPSNSKSVAREVTVVDSSDTPAAAPESGASQAMPWNTEAASGEDNNDACANMSFAAVAYTQSAGVSVGASKDNIATCEATVTSSPGGSSASASAEPTAQKTGPAEDRKRKGREPDDAECHSEDAEFESPETKKQSRGSSSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMLPMMFPQHYMPTMGMGIGMGMVMDMGLSRPMMPFHNVMAGSGLPTPAASAHLDPRFPVPAFHMSPQVPVPDPSRIQPNNQSDAMLNPLGMQNPNQPRIPSFADPYQQYMGLHQMQLHPPQCQATAQPSSSKPSTSKGAENLENHPSGDMAR >KJB10943 pep chromosome:Graimondii2_0_v6:1:47218509:47224002:-1 gene:B456_001G234900 transcript:KJB10943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVPDFEMEDDYSIPSSSILNRAKKPSMPEDGIMELLWQNGQVVMQSQNQRSFKKPSPFKYLDAGQSALREIRSSSHHQQQQQQQQQQQQSVTDHLFMQEDEMASWLHNPLSDANFDHDFCADLLYPSSSTAVAPCVTSTATTSAPPPPPLGRVPQVSASAIVPASRPPIPPARRNELESTRIQNFGSLSKHKTAAREEQPGPSNSKSVAREVTVVDSSDTPAAAPESGASQAMPWNTEAASGEDNNDACANMSFAAVAYTQSAGVSVGASKDNIATCEATVTSSPGGSSASASAEPTAQKTGPAEDRKRKGREPDDAECHSEDAEFESPETKKQSRGSSSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMLPMMFPQHYMPTMGMGIGMGMVMDMGLSRPMMPFHNVMAGSGLPTPAASAHLDPRFPVPAFHMSPQVPVPDPSRIQPNNQSDAMLNPLGMQNPNQPRIPSFADPYQQYMGLHQMQLHPPQCQATAQPSSSKPSTSKGAENLENHPSGDMAR >KJB09304 pep chromosome:Graimondii2_0_v6:1:17209768:17214286:1 gene:B456_001G133800 transcript:KJB09304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKPANTAIFFFFVLFNSFSCHGGPIKTIVVLVMENRSFDHMLGWMKKINPQINGVDGTEWNPLSTTDPNSKKLFFQNQAQFVDPDPGHSFQAIREQIFGSNDTSANPPPMNGFAQQAYSMDPSTTMSQNVMNGFDPEMVAVYKSLVSEFAVFDRWFASVPSSTQPNRLYVHSATSAGATSNIPALLVKGYPQRTIFENLDDAGISWGIYYQNIPATLFYKNLRKLKYLFRFRPYGVTFKKHAQEGKLPGYVVVEQRYMDTKLEPANDDHPSHDVYQGQMFVKEVYETLRASPQWNQTLLIITYDEHGGFYDHVATPVTGVPSPDGIVGPEPFFFHFDRLGVRVPTIMVSPWIDKGTVVHGANGRPFPTSEFEHSSIPATVKLLFNLTSPFLTKRDEWAATFESILRTRSDPRTDCPETLPTPARIRRGEAIEEAKLSEFQQELVQLAAVLKGDHILTSYPERIGKDMSVKEGKEYMEDAVKRFFEAGHYAKKMGVDGEHIVQMKPSLTTRSSKPSSQHP >KJB09305 pep chromosome:Graimondii2_0_v6:1:17209936:17212088:1 gene:B456_001G133800 transcript:KJB09305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKPANTAIFFFFVLFNSFSCHGGPIKTIVVLVMENRSFDHMLGWMKKINPQINGVDGTEWNPLSTTDPNSKKLFFQNQAQFVDPDPGHSFQAIREQIFGSNDTSANPPPMNGFAQQAYSMDPSTTMSQNVMNGFDPEMVAVYKSLVSEFAVFDRWFASVPSSTQPNRLYVHSATSAGATSNIPALLVKGYPQRTIFENLDDAGISWGIYYQNIPATLFYKNLRKLKYLFRFRPYGVTFKKHAQEGKLPGYVVVEQRYMDTKLEPANDDHPSHDVYQGQMFVKEVYETLRASPQWNQTLLIITYDEHGGFYDHVATPVTGVPSPDGIVGPEPFFFHFDRLGVRVPTIMVSPWIDKGTGMKKESCLPPIFHTDF >KJB09306 pep chromosome:Graimondii2_0_v6:1:17209936:17214250:1 gene:B456_001G133800 transcript:KJB09306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNLVLFTRWFASVPSSTQPNRLYVHSATSAGATSNIPALLVKGYPQRTIFENLDDAGISWGIYYQNIPATLFYKNLRKLKYLFRFRPYGVTFKKHAQEGKLPGYVVVEQRYMDTKLEPANDDHPSHDVYQGQMFVKEVYETLRASPQWNQTLLIITYDEHGGFYDHVATPVTGVPSPDGIVGPEPFFFHFDRLGVRVPTIMVSPWIDKGTVVHGANGRPFPTSEFEHSSIPATVKLLFNLTSPFLTKRDEWAATFESILRTRSDPRTDCPETLPTPARIRRGEAIEEAKLSEFQQELVQLAAVLKGDHILTSYPERIGKDMSVKEGKEYMEDAVKRFFEAGHYAKKMGVDGEHIVQMKPSLTTRSSKPSSQHP >KJB07476 pep chromosome:Graimondii2_0_v6:1:2434668:2439716:-1 gene:B456_001G026200 transcript:KJB07476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDPRHNKGLAFTERERDAHYLRGLLPPIVLSQELQEKRIMHMLRQYKVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPERSVQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEKLLNNEFYIGLRQRRATGQEYAELLHEFMSAVKQNYGEKVLIQFEDFANHNAFELLARYRSSHLVFNDDIQGTASVVLAGLLAALKLLGGTLADHRFLFLGAGEAGTGIAELIALEMSKQTGNPIEENRKKIWLVDSKGLIVDSRKHSLQHFKKPWAHEHEPVSKLVDAVKAIKPTVLIGTSGVGKQFTREVVEAMASINEKPLIMALSNPTSQAECTAEEAYTWSEGRAIFASGSPFDPFEYDGKVFVPGQANNAYIFPGFGLGVIMSGAIRVHDDMLLTASEALAAQVTEEHFKKGLIYPPFSDIRKISANIAAKVAAKAYELGLASHLPQPEDLVKYAESCMYSPVYRSYR >KJB07475 pep chromosome:Graimondii2_0_v6:1:2434655:2440586:-1 gene:B456_001G026200 transcript:KJB07475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGGNLVDNDSAVAGGVEDMYGEDIATTGQPVTPWTVSVASGYTLMRDPRHNKGLAFTERERDAHYLRGLLPPIVLSQELQEKRIMHMLRQYKVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPERSVQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEKLLNNEFYIGLRQRRATGQEYAELLHEFMSAVKQNYGEKVLIQVIIDFANHNAFELLARYRSSHLVFNDDIQGTASVVLAGLLAALKLLGGTLADHRFLFLGAGEAGTGIAELIALEMSKQTGNPIEENRKKIWLVDSKGLIVDSRKHSLQHFKKPWAHEHEPVSKLVDAVKAIKPTVLIGTSGVGKQFTREVVEAMASINEKPLIMALSNPTSQAECTAEEAYTWSEGRAIFASGSPFDPFEYDGKVFVPGQANNAYIFPGFGLGVIMSGAIRVHDDMLLTASEALAAQVTEEHFKKGLIYPPFSDIRKISANIAAKVAAKAYELGLASHLPQPEDLVKYAESCMYSPVYRSYR >KJB07474 pep chromosome:Graimondii2_0_v6:1:2434655:2440586:-1 gene:B456_001G026200 transcript:KJB07474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGGNLVDNDSAVAGGVEDMYGEDIATTGQPVTPWTVSVASGYTLMRDPRHNKGLAFTERERDAHYLRGLLPPIVLSQELQEKRIMHMLRQYKVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPERSVQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEKLLNNEFYIGLRQRRATGQEYAELLHEFMSAVKQNYGEKVLIQFEDFANHNAFELLARYRSSHLVFNDDIQGTASVVLAGLLAALKLLGGTLADHRFLFLGAGEAGTGIAELIALEMSKQTGNPIEENRKKIWLVDSKGLIVDSRKHSLQHFKKPWAHEHEPVSKLVDAVKAIKPTVLIGTSGVGKQFTREVVEAMASINEKPLIMALSNPTSQAECTAEEAYTWSEGRAIFASGSPFDPFEYDGKVFVPGQANNAYIFPGFGLGVIMSGAIRVHDDMLLTASEALAAQVTEEHFKKGLIYPPFSDIRKISANIAAKVAAKAYELGLASHLPQPEDLVKYAESCMYSPVYRSYR >KJB07473 pep chromosome:Graimondii2_0_v6:1:2434653:2440806:-1 gene:B456_001G026200 transcript:KJB07473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGGNLVDNDSAVAGGVEDMYGEDIATTGQPVTPWTVSVASGYTLMRDPRHNKGLAFTERERDAHYLRGLLPPIVLSQELQEKRIMHMLRQYKVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPERSVQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEKLLNNEFYIGLRQRRATGQEYAELLHEFMSAVKQNYGEKVLIQFEDFANHNAFELLARYRSSHLVFNDDIQGTASVVLAGLLAALKLLGGTLADHRFLFLGAGEAGTGIAELIALEMSKQTGNPIEENRKKIWLVDSKGLIVDSRKHSLQHFKKPWAHEHEPVSKLVDAVKAIKPTVLIGTSGVGKQFTREVVEAMASINEKPLIMALSNPTSQAECTAEEAYTWSEGRAIFASGSPFDPFEYDGKVFVPGQANNAYIFPGFGLGVIMSGAIRVHDDMLLTASEALAAQVTEEHFKKGLIYPPFSDIRKISANIAAKVAAKAYELGLASHLPQPEDLVKYAESCMYSPVYRSYR >KJB11549 pep chromosome:Graimondii2_0_v6:1:54074856:54079940:-1 gene:B456_001G264600 transcript:KJB11549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRLLPWPSLFARKLSSAASHQENAEKARISDWVAHENAIFDVCWIKEDSHILTASGDQTIKVWDALEKKCIGVLMLHTGSVKCLSSHPTNSDLVVSGSRDGSFAIWDLRCKINSRSRYDGVCLTATSMVKGAHASSQARRGRHGKAAAASITSLVYLKDEVSIATAGAADSVVKFWDTRNLKSHVTRACSKPESSSKKGISSLSQDSKGVFLTASCMDNRVYLYNVLQLDKGPMQTFSGCQIESFYVKAAISPDADHILSGSSNGNAHIWKVNKPQAEPIILRNHHGEVTAVDWCPSEIGKIATTADDFTVRIWNIENKYCSTSRSSSIRRRVNAIPSSESRKVLMNDNEESGSSDSSNEPLHQIRVSSPSHPVTTTFLLSTPEGHKKKLLASISDTNETSSFEQTPEPAMKSPSSVLNPPSSLKRKTIKDYFVAAP >KJB11550 pep chromosome:Graimondii2_0_v6:1:54075093:54079889:-1 gene:B456_001G264600 transcript:KJB11550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSKSKSCFHGISSRELSSFRVRKRSFIDELASDFTEIGAVAIEHDADTTPPLAISFCKASKNSHIFAVSDEAGYVSLFDSRRKLSSAASHQENAEKARISDWVAHENAIFDVCWIKEDSHILTASGDQTIKVWDALEKKCIGVLMLHTGSVKCLSSHPTNSDLVVSGSRDGSFAIWDLRCKINSRSRYDGVCLTATSMVKGAHASSQARRGRHGKAAAASITSLVYLKDEVSIATAGAADSVVKFWDTRNLKSHVTRACSKPESSSKKGISSLSQDSKGVFLTASCMDNRVYLYNVLQLDKGPMQTFSGCQIESFYVKAAISPDADHILSGSSNGNAHIWKVNKPQAEPIILRNHHGEVTAVDWCPSEIGKIATTADDFTVRIWNIENKYCSTSRSSSIRRRVNAIPSSESRKVLMNDNEESGSSDSSNEPLHQIRVSSPSHPVTTTFLLSTPEGHKKKLLASISDTNETSSFEQTPEPAMKSPSSVLNPPSSLKRKTIKDYFVAAP >KJB08590 pep chromosome:Graimondii2_0_v6:1:10292446:10298692:1 gene:B456_001G092500 transcript:KJB08590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKCYPFNLLFVNIALICLDVVLAFIAFFQLCRIHLRNQRVGWTRQKVLHLMIGSSNCGYFIYFLCMVVATCKRWLCWSNVCGFVLMALPKILFLAAFLLLLSFWADLCHQANDEEDGDEENSSRKPLLETSKTKVGLSNIDIRRKCCSFQGIRVGSRQKFVIVVIVLNVLLIIAFAAIIRIEQKNPSNSLVFARVYIDFLATLVLLMGVAFGCYGFLLFSKLRRVRSEKASSEMRKLFHHWNPEKINGVKAPILLILHHVLGLSVPFAFGLWFMRELPAPSTSNRQVQPRAITFISYGSAGRHHHQYYPTATSSEKQVSRTSPN >KJB08589 pep chromosome:Graimondii2_0_v6:1:10292446:10298537:1 gene:B456_001G092500 transcript:KJB08589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKCYPFNLLFVNIALICLDVVLAFIAFFQLCRIHLRNQRVGWTRQKVLHLMIGSSNCGYFIYFLCMVVATCKRWLCWSNVCGFVLMALPKILFLAAFLLLLSFWADLCHQANDEEDGDEENSSRKPLLETSKTKVIVLNVLLIIAFAAIIRIEQKNPSNSLVFARVYIDFLATLVLLMGVAFGCYGFLLFSKLRRVRSEKASSEMRKVVGLAVVSVLCFTSSSLIALFTDVLLFHHWNPEKINGVKAPILLILHHVLGLSVPFAFGLWFMRELPAPSTSNRQVQPRAITFISYGSAGRHHHQYYPTATSSEKQVSRTSPN >KJB08591 pep chromosome:Graimondii2_0_v6:1:10292446:10298692:1 gene:B456_001G092500 transcript:KJB08591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKCYPFNLLFVNIALICLDVVLAFIAFFQLCRIHLRNQRVGWTRQKVLHLMIGSSNCGYFIYFLCMVVATCKRWLCWSNVCGFVLMALPKILFLAAFLLLLSFWADLCHQANDEEDGDEENSSRKPLLETSKTKVGLSNIDIRRKCCSFQGIRVGSRQKFVIVVIVLNVLLIIAFAAIIRIEQKNPSNSLVFARVYIDFLATLVLLMGVAFGCYGFLLFSKLRRVRSEKASSEMRKVVGLAVVSVLCFTSSSLIALFTDVLLFHHWNPEKINGVKAPILLILHHVLGLSVPFAFGLWFMRELPAPSTSNRQVQPRAITFISYGSAGRHHHQYYPTATSSEKQVSRTSPN >KJB08478 pep chromosome:Graimondii2_0_v6:1:8827380:8831395:-1 gene:B456_001G083400 transcript:KJB08478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSTGKLSDSIADNIRNALKQSQSYMKRCFSKYMEKGKRVLKAHELRDEFEKVMDDKNETLGTMFSSAQEAVVTPPYVTFAVRPTPGCWEFVKVNSVDLSDVKQISSAEYLKLKETIADENWSKDENALEVDFEAFDFSMPKLTLASSIGKGLNFASKYITSKLSGSVDNAQPLVDYLLSLEYQGEKLMINETLNTAAKLQLALIVAEVSLSDLPRDTPYQSIELRFKEWGFERGWGDTVERVHETIRSLSEVLQAPDPQNLEKLFSKLPTIFKVVIFSPHGYFGQSDVLGLPDTGGQVVYILDQMRAMEEELVLKIKSQGLNIKPQILVVTRLIPDARGTKCNQERESIIGTKYSQILRVPFRTETGILRRWVSRFDIYPYLETFAQDVTSKILDAMEGKPDLIIGNYTDGNLVSSLVASKLGITQATIAHALEKTKYEDSDIKWKELDPKYHFSCQFIADTISMNAADFIIASTYQEIAGSKERPGQYESHAAFTLPGLCRVVSGINVYDPKFNIAAPGADQSVYFPYTETGKRFTSFHPAIEELLYSKVDNDEHIGYLADRKKPIIFSMARLDTVKNLTGLTEWYGKNKRLRSLVNLVIVGAFFNPSKSKDREEMAEIKKMHALIEKYQLKGQIRWIAAQTDRNRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPTNGDESSNKIADFFEKCKTNPAYWNQFSADGLKRINECYTWKIYANKVLNMGCMYGFWKQLNKDQKQAKQRYIQAFYNLMFRNLVKNVPLASDETQQPDSKPADKPQPTPSTKRSQSRLQRLFGA >KJB08481 pep chromosome:Graimondii2_0_v6:1:8827875:8831348:-1 gene:B456_001G083400 transcript:KJB08481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSTGKLSDSIADNIRNALKQSQSYMKRCFSKYMEKGKRVLKAHELRDEFEKVMDDKNETLGTMFSSAQEAVVTPPYVTFAVRPTPGCWEFVKVNSVDLSDVKQISSAEYLKLKETIADENWSKDENALEVDFEAFDFSMPKLTLASSIGKGLNFASKYITSKLSGSVDNAQPLVDYLLSLEYQGEKLMINETLNTAAKLQLALIVAEVSLSDLPRDTPYQSIELRFKEWGFERGWGDTVERVHETIRSLSEVLQAPDPQNLEKLFSKLPTIFKVVIFSPHGYFGQSDVLGLPDTGGQVVYILDQMRAMEEELVLKIKSQGLNIKPQILVVTRLIPDARGTKCNQERESIIGTKYSQILRVPFRTETGILRRWVSRFDIYPYLETFAQDVTSKILDAMEGKPDLIIGNYTDGNLVSSLVASKLGITQATIAHALEKTKYEDSDIKWKELDPKYHFSCQFIADTISMNAADFIIASTYQEIAGSKERPGQYESHAAFTLPGLCRVVSGINVYDPKFNIAAPGADQSVYFPYTETGKRFTSFHPAIEELLYSKVDNDEHIGYLADRKKPIIFSMARLDTVKNLTGLTEWYGKNKRLRSLVNLVIVGAFFNPSKSKDREEMAEIKKMHALIEKYQLKGQIRWIAAQTDRNRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPTNGDESSNKIADFFEKCKTNPAYWNQFSADGLKRINECYTWKIYANKVLNMGCMYGFWKQLNKDQKQAKQRYIQAFYNLMFRNLVKNVPLASDETQQPDSKPADKPQPTPRHV >KJB08479 pep chromosome:Graimondii2_0_v6:1:8827369:8831426:-1 gene:B456_001G083400 transcript:KJB08479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSTGKLSDSIADNIRNALKQSQSYMKRCFSKYMEKGKRVLKAHELRDEFEKVMDDKNETLGTMFSSAQEAVVTPPYVTFAVRPTPGCWEFVKVNSVDLSDVKQISSAEYLKLKETIADENWSKDENALEVDFEAFDFSMPKLTLASSIGKGLNFASKYITSKLSGSVDNAQPLVDYLLSLEYQGEKLMINETLNTAAKLQLALIVAEVSLSDLPRDTPYQSIELRFKEWGFERGWGDTVERVHETIRSLSEVLQAPDPQNLEKLFSKLPTIFKVVIFSPHGYFGQSDVLGLPDTGGQVVYILDQMRAMEEELVLKIKSQGLNIKPQILVVTRLIPDARGTKCNQERESIIGTKYSQILRVPFRTETGILRRWVSRFDIYPYLETFAQDVTSKILDAMEGKPDLIIGNYTDGNLVSSLVASKLGITQATIAHALEKTKYEDSDIKWKELDPKYHFSCQFIADTISMNAADFIIASTYQEIAGSKERPGQYESHAAFTLPGLCRVVSGINVYDPKFNIAAPGADQSVYFPYTETGKRFTSFHPAIEELLYSKVDNDEHIGYLADRKKPIIFSMARLDTVKNLTGLTEWYGKNKRLRSLVNLVIVGAFFNPSKSKDREEMAEIKKMHALIEKYQLKGQIRWIAAQTDRNRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPTNGDESSNKIADFFEKCKTNPAYWNQFSADGLKRINEW >KJB08480 pep chromosome:Graimondii2_0_v6:1:8827741:8831348:-1 gene:B456_001G083400 transcript:KJB08480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSTGKLSDSIADNIRNALKQSQSYMKRCFSKYMEKGKRVLKAHELRDEFEKVMDDKNETLGTMFSSAQEAVVTPPYVTFAVRPTPGCWEFVKVNSVDLSDVKQISSAEYLKLKETIADENWSKDENALEVDFEAFDFSMPKLTLASSIGKGLNFASKYITSKLSGSVDNAQPLVDYLLSLEYQGEKLMINETLNTAAKLQLALIVAEVSLSDLPRDTPYQSIELRFKEWGFERGWGDTVERVHETIRSLSEVLQAPDPQNLEKLFSKLPTIFKVVIFSPHGYFGQSDVLGLPDTGGQVVYILDQMRAMEEELVLKIKSQGLNIKPQILVVTRLIPDARGTKCNQERESIIGTKYSQILRVPFRTETGILRRWVSRFDIYPYLETFAQDVTSKILDAMEGKPDLIIGNYTDGNLVSSLVASKLGITQATIAHALEKTKYEDSDIKWKELDPKYHFSCQFIADTISMNAADFIIASTYQEIAGSKERPGQYESHAAFTLPGLCRVVSGINVYDPKFNIAAPGADQSVYFPYTETGKRFTSFHPAIEELLYSKVDNDEHIGYLADRKKPIIFSMARLDTVKNLTGLTEWYGKNKRLRSLVNLVIVGAFFNPSKSKDREEMAEIKKMHALIEKYQLKGQIRWIAAQTDRNRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPTNGDESSNKIADFFEKCKTNPAYWNQFSADGLKRINECYTWKIYANKVLNMGCMYGFWKQLNKDQKQAKQRYIQAFYNLMFRNLVKNVPLASDETQQPDSKPADKPQPTPSTKRSQSRLQR >KJB07254 pep chromosome:Graimondii2_0_v6:1:1094745:1099309:1 gene:B456_001G011600 transcript:KJB07254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAKHNMLSSTTTRANMLFMLWLLFSSWVFSLVSATVSYDSKAIIINGRRRILLSGSIHYPRSTPEMWPDLIAKAKEGGLDVIQTYVFWNGHEPSPGKYYFEDRYDLVRFVKLVQQAGLYVNLRIGPYVCAEWNFGGFPVWLKYVPGIAFRTDNGPFKAAMQKFTAKIVNMMKAEKLFQTQGGPIIMAQIENEFGPVEWEIGAPGKSYTKWAAQMAVGLRTGVPWIMCKQDDAPDPVINTCNGFYCENFTPNAKYKPKMWTENWTGWFTEFGGAVPTRPAEDIAFSVARFIQNGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLTREPKWGHLRDLHKAIKSSEAALVSAYPTVTSLGSKQEAHVFKSKSGACAAFLANYDTRYSVKVKFGNGQYDLPRWSISILPDCKTAVFNTAKIGAHSSKKAMVPVNSAFSWQSYTEQSPTADDREATVHNGLWEQIYLTRDASDYLWYMTDVKIDPNEGFLKGRQGPILTVWSAGHALHVFTNGQLSGTVYGGLDNPKLTFSNNVKLRAGINRISLLSVAVGLPNFGTHFETWNAGVLGPVTLKGLNEGTRDISKQNWSYKIGLKGEALNLHTVTGSSSVEWVQGSQLVKKQPMTWYKTTFNAPRGNEPLALDMSSMGKGQVWINGQSIGRHWPGYIARGSCGACDYAGTYTDKKCRTNCGEPSQRW >KJB07253 pep chromosome:Graimondii2_0_v6:1:1094745:1098601:1 gene:B456_001G011600 transcript:KJB07253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAKHNMLSSTTTRANMLFMLWLLFSSWVFSLVSATVSYDSKAIIINGRRRILLSGSIHYPRSTPEMWPDLIAKAKEGGLDVIQTYVFWNGHEPSPGKYYFEDRYDLVRFVKLVQQAGLYVNLRIGPYVCAEWNFGGFPVWLKYVPGIAFRTDNGPFKAAMQKFTAKIVNMMKAEKLFQTQGGPIIMAQIENEFGPVEWEIGAPGKSYTKWAAQMAVGLRTGVPWIMCKQDDAPDPVINTCNGFYCENFTPNAKYKPKMWTENWTGWFTEFGGAVPTRPAEDIAFSVARFIQNGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLTREPKWGHLRDLHKAIKSSEAALVSAYPTVTSLGSKQEAHVFKSKSGACAAFLANYDTRYSVKVKFGNGQYDLPRWSISILPDCKTAVFNTAKIGAHSSKKAMVPVNSAFSWQSYTEQSPTADDREATVHNGLWEQIYLTRDASDYLWYMTDVKIDPNEGFLKGRQGPILTVWSAGHALHVFTNGQLSGTVYGGLDNPKLTFSNNVKLRAGINRISLLSVAVGLPNFGTHFETWNAGVLGPVTLKGLNEGTRDISKQNWSYKVCSDYCSTRLELFECLLKHFKRSFELLQLQACRYTNRQCRHDVFRLV >KJB07252 pep chromosome:Graimondii2_0_v6:1:1094704:1099309:1 gene:B456_001G011600 transcript:KJB07252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAKHNMLSSTTTRANMLFMLWLLFSSWVFSLVSATVSYDSKAIIINGRRRILLSGSIHYPRSTPEMWPDLIAKAKEGGLDVIQTYVFWNGHEPSPGKYYFEDRYDLVRFVKLVQQAGLYVNLRIGPYVCAEWNFGGFPVWLKYVPGIAFRTDNGPFKAAMQKFTAKIVNMMKAEKLFQTQGGPIIMAQIENEFGPVEWEIGAPGKSYTKWAAQMAVGLRTGVPWIMCKQDDAPDPVINTCNGFYCENFTPNAKYKPKMWTENWTGWFTEFGGAVPTRPAEDIAFSVARFIQNGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLTREPKWGHLRDLHKAIKSSEAALVSAYPTVTSLGSKQEAHVFKSKSGACAAFLANYDTRYSVKVKFGNGQYDLPRWSISILPDCKTAVFNTAKIGAHSSKKAMVPVNSAFSWQSYTEQSPTADDREATVHNGLWEQIYLTRDASDYLWYMTDVKIDPNEGFLKGRQGPILTVWSAGHALHVFTNGQLSGTVYGGLDNPKLTFSNNVKLRAGINRISLLSVAVGLPNFGTHFETWNAGVLGPVTLKGLNEGTRDISKQNWSYKIGLKGEALNLHTVTGSSSVEWVQGSQLVKKQPMTWYKTTFNAPRGNEPLALDMSSMGKGQVWINGQSIGRHWPGYIARGSCGACDYAGTYTDKKCRTNCGEPSQRWYHVPRSWVKPSGNLLVVFEEWGGDPSRIALVKRTATA >KJB06877 pep chromosome:Graimondii2_0_v6:1:6534943:6536414:-1 gene:B456_001G065600 transcript:KJB06877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYINTLLIMFFVFCFPSMVLSESFRSIQLPPNVTGPESIAFELATGRFYVGVTDGRILQYNGPTVGFVEFGSTGRNRTKTMCDGITDPDLGPMCGRPFGLGFHYATNQLYVCDAYLGLMVLGSGRRLATPLSTGVEGVPYRFCNGLDVHQLSGNVFFTDSTTNYDLRNASKGLESNDSTGRLLMYNPSNNRVTVLLKNLPGPAGVAVSQDGLYALVSNYNANNTIRFWLRGPRADTYEIINFQARPNNIQRTLVGDFWEAAAMVKQSTQTLVPIGQRISGLGLVLQTVNFERWYGNKLISEVQEFRDALYVASPDVDFIGIYSF >KJB06878 pep chromosome:Graimondii2_0_v6:1:6534951:6536462:-1 gene:B456_001G065600 transcript:KJB06878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDGITDPDLGPMCGRPFGLGFHYATNQLYVCDAYLGLMVLGSGRRLATPLSTGVEGVPYRFCNGLDVHQLSGNVFFTDSTTNYDLRNASKGLESNDSTGRLLMYNPSNNRVTVLLKNLPGPAGVAVSQDGLYALVSNYNANNTIRFWLRGPRADTYEIINFQARPNNIQRTLVGDFWEAAAMVKQSTQTLVPIGQRISGLGLVLQTVNFERWYGNKLISEVQEFRDALYVASPDVDFIGIYSF >KJB09020 pep chromosome:Graimondii2_0_v6:1:14492112:14498318:-1 gene:B456_001G119500 transcript:KJB09020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEEEQQLTESQDPEENDEEEEQAQQLTESQQQYPDSDSDSDYSSSSSDDYIEESDSETLTYTRPGEAPPETVNTPETNIRRYTRVLESKRIKRIQEEEDEDYVYIEDLWDFPPDPENWREEDLKEYWVDAPLEMTKPGWDPVWADEEDWEIVRDEIKEGRDPGIAPFYVPYRKPYPAIPDNHYDISNPKAVIEELDRIEEFLNWVSYIFPDGSSYEGTVWDDLAHGKGVYVAEQGLVRYEGEWLQNNMEGHGVVEVDIPDIEPVPGSKLEAKMRAEGKIISRDFMTPEDREWLEMDVEDSIRLADGQYEIPFYESDIWIKHFGRKPEKGRYRYAGQWKHGRMHGCGVYEVNERTIYGRFYFGELLEDLDGCDENISAMHAGIAEVAAAKARMFVNKPDGMVREERGPYGDPQHPYFYEEEDVWMAPGFINQFYEVPDYWKSYVHEVDQEREMWLNSFYKAPLRLPMPAELEYWWSKDETPEFVLINKEPEPDPEDPSKLIYTEDPLILHTPTGQLINYIEDEEHGVRLFWQPPVKEGEEIDPKKAKFLPLGFDEFYGREVIQKRDNIWKRLITTIENALKPGFDKLEKWTEEKKKAGELKMKLIEKELDLIEAELCLEEAIEDMDEELKKKEKEEEKKMEMGLLEDEDTSVVANLEDKAIPKDDFDKVVDEEEDGEEEEEEEDDDDDVTPSSFGSVAGNQKEKKPREPPFSSSSLLFASCSLVSVVPSTLRESILALKQRRLPLKSHPPSSVESASDPLKIIDSVSFPLVLGHKGRLRAFKQDHQKFQPQNQSRGKKSQLHSLCKILSCPSTTTRSHVRRPGNLNRSELHVAPKKYSDILSLHIPVCYLESYPDTKRHGASL >KJB09021 pep chromosome:Graimondii2_0_v6:1:14492974:14498277:-1 gene:B456_001G119500 transcript:KJB09021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEEEQQLTESQDPEENDEEEEQAQQLTESQQQYPDSDSDSDYSSSSSDDYIEESDSETLTYTRPGEAPPETVNTPETNIRRYTRVLESKRIKRIQEEEDEDYVYIEDLWDFPPDPENWREEDLKEYWVDAPLEMTKPGWDPVWADEEDWEIVRDEIKEGRDPGIAPFYVPYRKPYPAIPDNHYDISNPKAVIEELDRIEEFLNWVSYIFPDGSSYEGTVWDDLAHGKGVYVAEQGLVRYEGEWLQNNMEGHGVVEVDIPDIEPVPGSKLEAKMRAEGKIISRDFMTPEDREWLEMDVEDSIRLADGQYEIPFYESDIWIKHFGRKPEKGRYRYAGQWKHGRMHGCGVYEVNERTIYGRFYFGELLEDLDGCDENISAMHAGIAEVAAAKARMFVNKPDGMVREERGPYGDPQHPYFYEEEDVWMAPGFINQFYEVPDYWKSYVHEVDQEREMWLNSFYKAPLRLPMPAELEYWWSKDETPEFVLINKEPEPDPEDPSKLIYTEDPLILHTPTGQLINYIEDEEHGVRLFWQPPVKEGEEIDPKKAKFLPLGFDEFYGREVIQKRDNIWKRLITTIENALKPGFDKLEKWTEEKKKAGELKMKLIEKELDLIEAELCLEEAIEDMDEELKKKEKEEEKKMEMGLLEDEDTSVVANLEDKAIPKDDFDKVVDEEEDGEEEEEEEDDDDDVTPSSFGSVAGNQKEKKPREPPFSSSSLLFASCSLVSVVNSYTIQFYFHVLFSFLF >KJB07521 pep chromosome:Graimondii2_0_v6:1:2649611:2653321:1 gene:B456_001G028100 transcript:KJB07521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEFTSPSSSSSSSADRRLNALVRHLATASMVSQTAVAFSPTSGFHGDSVFSHVVRAPEDPILGVTVAYNKDPSPVKLNLGVGAYRTEEGKPLVLNVVRKAEQMLLNDKSRVKEYLPIVGIAEFNKLSAKLIFGADSPAIRENRVTTVQCLSGTGSLRVGAEFLARHYHQKTIYIPQPTWGNHPKVFTLAGLSVKTYRYYDPTTRGMNFQGLLEDLGSAPSGSIVLLHACAHNPTGVDPTLQQWEQIRQLMRSKALLPFFDSAYQGFASGNLDEDAQSIRMFVADGGECFVAQSYAKNMGLYGERVGALSVVCRAADVASKVESQLKLVIRPMFSNPPIHGASIVATILKDSNMFNEWTIELKAMADRIISMRKQLFDALRARGTPGDWSHIIKQIGMFTFTGLNSKQVEFMTREYHIYMTSDGRISMAGLSSKTVPHLADAIHAAVTRMS >KJB09881 pep chromosome:Graimondii2_0_v6:1:24823661:24826601:-1 gene:B456_001G172400 transcript:KJB09881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTRLSRQKPPSLFITVRFLTRHLSTSKLRDHYSFQPPPSLSLDPQKSKSNPNSHKKQKPKYRPPSSLDQIKPIYSDLPFDFRFSYTESSPTVRPIGLREPKYSPFGPGRLDREWTGVCAPAVDPKVKSLEGTEDPNLEAKRKLLRGRIQGAPLTEAERKFLVEKCQRNRNKRQINLGRDGLTHNMLNDIHNHWKFAEAVRIKCLGVPTVDMKNVCKQLEDKTFGKIIQRHGGTLILYRGRNYNRKKRPVIPLMLWKPQEPVYPRLIKTTIDGLSIEETKEMRKRGLAVPALTKLGTLCFFLLASAIMHAFM >KJB09880 pep chromosome:Graimondii2_0_v6:1:24823499:24826708:-1 gene:B456_001G172400 transcript:KJB09880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTRLSRQKPPSLFITVRFLTRHLSTSKLRDHYSFQPPPSLSLDPQKSKSNPNSHKKQKPKYRPPSSLDQIKPIYSDLPFDFRFSYTESSPTVRPIGLREPKYSPFGPGRLDREWTGVCAPAVDPKVKSLEGTEDPNLEAKRKLLRGRIQGAPLTEAERKFLVEKCQRNRNKRQINLGRDGLTHNMLNDIHNHWKFAEAVRIKCLGVPTVDMKNVCKQLEDKTFGKIIQRHGGTLILYRGRNYNRKKRPVIPLMLWKPQEPVYPRLIKTTIDGLSIEETKEMRKRGLAVPALTKLAKNGYYGSLVPMVRDAFVVSELVRIDCMGFERSDYKKIGCKLRDLVPCILVTFEKEQIVVWRGQDYKPPEDGHFFTDREFFDDPGCDMERSDE >KJB07885 pep chromosome:Graimondii2_0_v6:1:4791007:4793034:-1 gene:B456_001G050500 transcript:KJB07885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCFSFRLAILLLTLMISLAGSDDVEFIYNGFRSANLTLNGIAQLTSTGLLKLTSETERSRGQAFYPHHINFKNSTNGSVSSFSTTFVFAILSKYHGLSSNGMAFVISPTKDFSGAGGSQYLGLFNKSSNGKATNHIVAVELDTVQSTDLNDINENHVGIDINGLISEKAFPAGYYEDGNGRRQFRNLSLISGKRLQVWVEYDGVGKRMDVTLAPFKVSKPKTPLLSLHRDLSSIVNNEMYVGFSSSTATIVSSHYVLGWSFKMNGQAKDLTLSQLPKLPKPKKISRLLTIGLPFILVTVILVAVSGVVYYTRRKRKFAEVVEDWELEYGPHRFKFKDLYFATKGFKDEELLGAGGFGRVYKGTLPTSKLQVAVKRVSHESRQGMKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYNQPKLTLNWSQRFKVIKGVASGLLYLHEEWEQVVIHRDVKASNVLLDSELNGRLGDFGLARLYDHGTELQTTHVVGTIGYLAPEHTRTGKATPCTDVFAFGAFLLEVACGRRPISQSPVDEVILVDWVYSCWREGDIVEAKDPNLGSNYELEEVELVLKLGLICSHSEPEARPTMRHVVQFLERDMALPEISSFSLSSSGLAFAHREGLDEFAKSMSYTSSFSKGFSPSSSVAPSILSGGR >KJB10779 pep chromosome:Graimondii2_0_v6:1:45685643:45688609:-1 gene:B456_001G223800 transcript:KJB10779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCGNQPSTVYCQSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPAFVRCVEERVSLCQNCDWMGHGTSTLNSTHRRQTINCYSGCPSSAELSSIWSFFSQSPSAGESTCEQELRQMSITETSWCPTENTISQNDTGDAEVNRDYNVDRGSSWSGSSIPELRSGPGLLDQPAGTTDTSLSELSCPQTKCPGVCKDDLYDDFNMDEIDLNLEKYEELFGVTLNHSEELFGNGGIDSLFGTKDMSATDSYQGAVAAEGSSVGLVNAIQPACSNAASADSMMSNKTNSILCFTARQDHSSFSFSGLTGESSGGDYQDCGASSMLLMGEAPWCPPSEVPFSSATRSDAVMRYKEKKKTRKFEKRVRYASRKARADVRKRVKGRFVKAGDDYDYDPLNPTRSC >KJB10776 pep chromosome:Graimondii2_0_v6:1:45684954:45689078:-1 gene:B456_001G223800 transcript:KJB10776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCGNQPSTVYCQSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPAFVRCVEERVSLCQNCDWMGHGTSTLNSTHRRQTINCYSGCPSSAELSSIWSFFSQSPSAGESTCEQELRQMSITETSWCPTENTISQNDTGDAEVNRDYNVDRGSSWSGSSIPELRSGPGLLDQPAGTTDTSLSECPGVCKDDLYDDFNMDEIDLNLEKYEELFGVTLNHSEELFGNGGIDSLFGTKDMSATDSYQGAVAAEGSSVGLVNAIQPACSNAASADSMMSNKTNSILCFTARQDHSSFSFSGLTGESSGGDYQDCGASSMLLMGEAPWCPPSEVPFSSATRSDAVMRYKEKKKTRKFEKRVRYASRKARADVRKRVKGRFVKAGDDYDYDPLNPTRSC >KJB10778 pep chromosome:Graimondii2_0_v6:1:45684954:45689716:-1 gene:B456_001G223800 transcript:KJB10778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCGNQPSTVYCQSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPAFVRCVEERVSLCQNCDWMGHGTSTLNSTHRRQTINCYSGCPSSAELSSIWSFFSQSPSAGESTCEQELRQMSITETSWCPTENTISQNDTGDAEVNRDYNVDRGSSWSGSSIPELRSGPGLLDQPAGTTDTSLSELSCPQTKCPGVCKDDLYDDFNMDEIDLNLEKYEELFGVTLNHSEELFGNGGIDSLFGTKDMSATDSYQGAVAAEGSSVGLVNAIQPACSNAASADSMMSNKTNSILCFTARQDHSSFSFSGLTGESSGGDYQDCGASSMLLMGEAPWCPPSEVPFSSATRSDAVMRYKEKKKTRKFEKRVRYASRKARADVRKRVKGRFVKAGDDYDYDPLNPTRSC >KJB10777 pep chromosome:Graimondii2_0_v6:1:45684954:45689894:-1 gene:B456_001G223800 transcript:KJB10777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCGNQPSTVYCQSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPAFVRCVEERVSLCQNCDWMGHGTSTLNSTHRRQTINCYSGCPSSAELSSIWSFFSQSPSAGESTCEQELRQMSITETSWCPTENTISQNDTGDAEVNRDYNVDRGSSWSGSSIPELRSGPGLLDQPAGTTDTSLSELSCPQTKCPGVCKDDLYDDFNMDEIDLNLEKYEELFGVTLNHSEELFGNGGIDSLFGTKDMSATDSYQGAVAAEGSSVGLVNAIQPACSNAASADSMMSNKTNSILCFTARQDHSSFSFSGLTGESSGGDYQDCGASSMLLMGEAPWCPPSEVPFSSATRSDAVMRYKEKKKTRKFEKRVRYASRKARADVRKRVKGRFVKAGDDYDYDPLNPTRSC >KJB10775 pep chromosome:Graimondii2_0_v6:1:45686041:45688609:-1 gene:B456_001G223800 transcript:KJB10775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCGNQPSTVYCQSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPAFVRCVEERVSLCQNCDWMGHGTSTLNSTHRRQTINCYSGCPSSAELSSIWSFFSQSPSAGESTCEQELRQMSITETSWCPTENTISQNDTGDAEVNRDYNVDRGSSWSGSSIPELRSGPGLLDQPAGTTDTSLSELSCPQTKCPGVCKDDLYDDFNMDEIDLNLEKYEELFGVTLNHSEELFGNGGIDSLFGTKDMSATDSYQGAVAAEGSSVGLVNAIQPACSNAASADSMMSNKTNSILCFTARQDHSSFSFSGLTGESSGGDYQDCGASSMLLMGEAPWCPPSEVPFSSATRSDAVMRYKEKKKTRK >KJB09522 pep chromosome:Graimondii2_0_v6:1:20275276:20275980:-1 gene:B456_001G148000 transcript:KJB09522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCICVRCDCCKAKCNCKAGDANCTDLILAIVMPPIGVLRKRGPKRQFWLSVCLTMALFLPGSIYAVTVVISKENNGTK >KJB07774 pep chromosome:Graimondii2_0_v6:1:5833620:5835658:1 gene:B456_001G059100 transcript:KJB07774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENSRGISPRRSKRSTVHKIAAANEPNLFDELPDDLVVSVLCKLSSSAASSSDFINALLTCKRFKRLGFHQLVLSNVGSKVLAVKAKNWSDSVHRFLIHCVNAGNVEACYTLGMIRFYSLQNRGSGMSLMAKAAVKSYAPALYSLAVIQFNGSGGSRTDKDLQAGVALCARAAFLGHVDALRELGHCFQDGYGIRQNITAGRRLLMQANTRELASLLKSLVKQQPNHHHHHRGFNYEQFNCISGSGCSSMSNEPASEGHPVNVFLKEWFELGLGEMGEGLRLCSHKGCGRPETRAHEFRRCSACGTVHYCSRGCQALDWKLRHKLECVPFERWLE >KJB10697 pep chromosome:Graimondii2_0_v6:1:43771541:43773479:-1 gene:B456_001G217500 transcript:KJB10697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDTKTKVKESQQEGRQEMWRSIEIVGSVEKWALTVVVGDELNFIPPLNFAMVDNGVFRSGFPHSANFSFLQTLNLRSIIYLCPEPYPEANTEFLKSNEIRLFQFGIEGYKEPFVNIPDDTIREALNVVLDVRNHPVLIHCNRGKHRTGCVVGCLRKLQRWCLSSVFDEYQRFAAAKARVSDQRFMELFDVSSVPISFSCSNK >KJB10698 pep chromosome:Graimondii2_0_v6:1:43771101:43773683:-1 gene:B456_001G217500 transcript:KJB10698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDTKTKVKESQQEGRQEMWRSIEIVGSVEKWALTVVVGDELNFIPPLNFAMVDNGVFRSGFPHSANFSFLQTLNLRSIIYLCPEPYPEANTEFLKSNEIRLFQFGIEGYKEPFVNIPDDTIREALNVVLDVRNHPVLIHCNRGKHRTGCVVGCLRKLQRWCLSSVFDEYQRFAAAKARVSDQRFMELFDVSSVPISFSCSNKYNYINKSQMERFLKMSSVSCKLLSGA >KJB08705 pep chromosome:Graimondii2_0_v6:1:11150987:11154318:1 gene:B456_001G099000 transcript:KJB08705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNKEEALKAKEIAEKRFCERDFTGAKSYALKAKSLYPELEGISQMVSTFEVYVASEAKCNGETDYYSILGLKPSADKEAVKKQYKKLAVSLHPDKNKCVGADGAFKLVSEAWTLLSDRFKRNKQMHSGMVQTSTYAVAGVRSNATSQGRLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGTFIAVETGSAPVNGSFPYCPWSYVPSNGYGSHGNGITYVPTNPTFFPGNGVSGYHPGQGYEYVSNMSFQWSSFSGTSTGVVSHNGVSTISTDAVYQGNGDVRVAGSKVKPSKNFAPQSTTNLFNGYNETKTGNLEKKRKVIVDSEYRNGFEDKGLKSSEAGLANGNGNEPDPKLSNPSEPASRRCMLTPAFDTRKMLIDKARTEIRKKLEEIKLASKAAAAASVVKSGTENGQSLAPAGKALKRTNLGVFINQSASDKGVPVSITVPDSDFHDFDKDRSEECFKPKQIWALYDEDDGMPRLYCLIRQVISVKPFKIHITYLSSKTDNEFGSVNWIESGFTKSCGHFRAFSSDIIDQVNIFSHLLRGQKAGRGGCVRIFPKGGDVWAVYRNWSPDWNRSTPSDVRHQYEMVEVLEDYNEELGVWVTPLIKLTGFKTVYQKDTNKDAIRWIPRKEMFRFSHQVPAWLLEGQSNGDLPDRCWDLDPAATPDELLHAATEAKA >KJB07405 pep chromosome:Graimondii2_0_v6:1:1941630:1943118:1 gene:B456_001G020500 transcript:KJB07405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYKQRERVGEIRSMGKSSCCSKEGLKKGAWTDWEDKILASYINVHGEGKWRNLPKRAGLKRCCRSCRLRWVNYLRPDIKRGNISHDEEELIIRLHNLLGNRWSLIAGRLPGRTDNEIKNYWNSTLSKRTKAQASIKYPRSSNFTHKTIPIESKLKESSKRSAIQTKAIGGSSKVMVPTQPPTSQDIDKLRDGKLYSTNNSQEMGGDIATVEAHNGTQVLDSLNSDGGSDLLSFEINELRKASDGNFEENRMQLLPFDEAMFEDWTTYPYLNDNAATGWDSLAFLIDSNDWP >KJB09093 pep chromosome:Graimondii2_0_v6:1:15299730:15301038:1 gene:B456_001G122600 transcript:KJB09093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACGSIKHIFENPTLLESLSSSSSWKPGIKPLDQQPSFTEFFGELHFQENLSISHSSSTTSSFPTLNVSGSIGVYSHGNGDNCHKKSDSFSLNPESLQLCTEELGFESSYGVEEVNNEINQDWESKKTKAPSPTTKHSTMENQNGEMKRSRASSGGGFPPPISCIGKSGKPWFCFKSYRQDGRFVLKQVRIPTQEFLHACREDGRLKLQFVQPNDEQVLEDEDFEDDDDDDEMINGDIDEEDSEQGQVS >KJB11776 pep chromosome:Graimondii2_0_v6:1:55678337:55678711:-1 gene:B456_001G276800 transcript:KJB11776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLPAHCSSCSRGESGSPRAGRGTDWERLPRGPSPGDEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >KJB07124 pep chromosome:Graimondii2_0_v6:1:484140:485229:-1 gene:B456_001G005300 transcript:KJB07124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRNSISNTKNFFRKTLQSFKSFFSGGGNETKPYQKLPKASPYYQDDLEKFYTEFTRRWDATADKGSKAKKKNKKKKTMSLSSTQFTTQRREDIGESNVEKKQELQGYTFSKESSVAQKLKEIEMMDVSNVDHVLDVEEVLHYYSRLTCPAYVDIVDKFFMDLCGEIFGPIGSPASVGSRPKFGSVRQ >KJB10836 pep chromosome:Graimondii2_0_v6:1:46481599:46484530:1 gene:B456_001G228400 transcript:KJB10836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTVFFSISFVQGRKTIIKHDFSCRVSKDLYAPALGGIMLSIGIRLSFDDFTLAFKRPLPLSVGFIAQYLLKPALGVLVAKAFCLSPTFYAGFILTSCVAGAQLSSYASFLSKGDVAVSILLTGFTTIASVIVTPLLTGLLIGSVVPVDAVAMSKSILQVVLVPITIGLVLNTYAKPVVTILQPVMPFVAMICTSLCIGSPLALNRSQILSKEGLQLVLPVLTFHAVAFAVGYWISKVPAFRQREEVSRTVSLCTGMQSSTMAGLLATQFLGSSSQAVPPACSVVAMAIMGLSLASFWGNGFRIRDLPSQLIPHTGSAFQAQ >KJB10834 pep chromosome:Graimondii2_0_v6:1:46480755:46484530:1 gene:B456_001G228400 transcript:KJB10834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLTPYLSLPTTSAFTSKAKKLSISPKPAASPVCCSYSRYGLKLSGRKGYCEITKSTRGGLFVFACSTTPYVRRVGSRRLSFGSNAGGGATQVSKKVDLSQVLSAMLPFVVAITAVAALVQPSTFTWVSKDLYAPALGGIMLSIGIRLSFDDFTLAFKRPLPLSVGFIAQYLLKPALGVLVAKAFCLSPTFYAGFILTSCVAGAQLSSYASFLSKGDVAVSILLTGFTTIASVIVTPLLTGLLIGSVVPVDAVAMSKSILQVVLVPITIGLVLNTYAKPVVTILQPVMPFVAMICTSLCIGSPLALNRSQILSKEGLQLVLPVLTFHAVAFAVGYWISKVPAFRQREEVSRTVSLCTGMQSSTMAGLLATQFLGSSSQAVPPACSVVAMAIMGLSLASFWGNGFRIRDLPSQLIPHTGSAFQAQ >KJB10835 pep chromosome:Graimondii2_0_v6:1:46480854:46483102:1 gene:B456_001G228400 transcript:KJB10835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLTPYLSLPTTSAFTSKAKKLSISPKPAASPVCCSYSRYGLKLSGRKGYCEITKSTRGGLFVFACSTTPYVRRVGSRRLSFGSNAGGGATQVSKKVDLSQVLSAMLPFVVAITAVAALVQPSTFTWVSKDLYAPALGGIMLSIGIRLSFDDFTLAFKRPLPLSVGFIAQYLLKPALGVLVAKAFCLSPTFYAGFILTSCVAGAQLSSYASFLSKGDVAVSILLTGFTTIASVIVTPLLTGLLIGSVVPVDAVAMSKSILQVVLVPITIGLVLNTYAKPVVTILQPVMPFVAMICTSLCIGSPLALNRSQILSKEGLQLVLPVLTFHAVAFAVGYWISKVPAFR >KJB08225 pep chromosome:Graimondii2_0_v6:1:7257231:7261177:-1 gene:B456_001G071700 transcript:KJB08225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLTHQLQDSHISFPSRPLSRGKGLTLKRHVVTVHMLGRRERGISRHSVCSSIGAPHTCGSKVKNVGISTFKGSGQNNESRGRTNNAFLSKNTIKVSYVPKDREETMIESSNVHNVPLAYASETSENHLGSPAIQKLFKKWIMILLSQSPHQVMDEVMGVGPPPRDTSETQIGTQSNGKSEILKMVWSHFWDMDVTIKLPILLLILRGLCALYVLCLKQTLQIVGNLPTYYVVAYNYIAHGKLKEEVRARVWQPVVNIKNLDYKELSRRKMKDFQEWMMENYVDIVESVWPYYCRTIRFLKRANII >KJB08227 pep chromosome:Graimondii2_0_v6:1:7257231:7260766:-1 gene:B456_001G071700 transcript:KJB08227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLTHQLQDSHISFPSRPLSRGKGLTLKRHVVTVHMLGRRERGISRHSVCSSIGAPHTCGSKVKNVGISTFKGSGQNNESRGRTNNAFLSKNTIKVSYVPKDREETMIESSNVHNVPLAYASETSENHLGSPAIQKLFKKWIMILLSQSPHQVMDEVMGVGPPPRDTSETQIGTQSNGKSEILKMVWSHFWDMDVTIKLPILLFIPLYMAVSLIYGAEVSKELTPLWVFGPLIVALYIRILRGLCALYVLCLKQTLQIVGNLPTYYVVAYNYIAHGKLKEEVRARVWQPVVNIKNLDYKELSRRKMKDFQEWMMENYVDIVESVWPYYCRTIRFLKRANII >KJB08226 pep chromosome:Graimondii2_0_v6:1:7257625:7260482:-1 gene:B456_001G071700 transcript:KJB08226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLTHQLQDSHISFPSRPLSRGKGLTLKRHVVTVHMLGRRERGISRHSVCSSIGAPHTCGSKVKNVGISTFKGSGQNNESRGRTNNAFLSKNTIKVSYVPKDREETMIESSNVHNVPLAYASETSENHLGSPAIQKLFKKWIMILLSQSPHQVMDEVMGVGPPPRDTSETQIGTQSNGKSEILKMVWSHFWDMDVTIKLPILLFIPLYMAVSLIYGAEVSKELTPLWVFGPLIVALYIRILRGLCALYVLCLKQTLQIVGNLPTYYVVAYNYIAHGKLKEEVRARVWQPVVNIKNLDYKELSRRKMKDFQEWMMENYVDIVESVWPYYCRTIRFLKRANII >KJB08224 pep chromosome:Graimondii2_0_v6:1:7257231:7261302:-1 gene:B456_001G071700 transcript:KJB08224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLTHQLQDSHISFPSRPLSRGKGLTLKRHVVTVHMLGRRERGISRHSVCSSIGAPHTCGSKVKNVGISTFKGSGQNNESRGRTNNAFLSKNTIKVSYVPKDREETMIESSNVHNVPLAYASETSENHLGSPAIQKLFKKWIMILLSQSPHQVMDEVMGVGPPPRDTSETQIGTQSNGKSEILKMVWSHFWDMDVTIKLPILLFIPLYMAVSLIYGAEVSKELTPLWVFGPLIVALYIRILRGLCALYVLCLKQTLQIVGNLPTYYVVAYNYIAHGKLKEEVRARVWQPVVNIKNLDYKELSRRKMKDFQEWMMENYVDIVESVWPYYCRTIRFLKRANII >KJB09703 pep chromosome:Graimondii2_0_v6:1:22606342:22607490:1 gene:B456_001G157500 transcript:KJB09703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHSHSSPLYFTNLGLGYSIAIALAFLVLLSIVLLASYICCRPSSSFSPNPTPVSDGIILPRVVFVAEDEDDENVVVGLDQAVINSYPKFQFTKEAAAVGSTNVNTTCSICLCEYRDLEMLRMMPECRHYFHVSCLDAWLKLNGSCPICRNSPLPTPLSTPLSEIVPLSQHAADRRRMRANFVNGDDWKVEPACLPLPVTESMLTLRNVRWNASGISDARSQQLHFSAKQWLCFSNCILVSNEKLKKKKKNPTIL >KJB09138 pep chromosome:Graimondii2_0_v6:1:15720919:15724692:1 gene:B456_001G125400 transcript:KJB09138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFHGSSEFQAASVASPDGMQTLYLMNPNYIPYSDTNQPFAATNLFFLNPAGNALNPSSFPHTPPPNYHHLLGLPLPTPTASIVPANSNETHRPSSLHGIVSGVHYNSWGSSSTIDHQTSSASTYPQIVSTAVDNSAARPHDVASQLRPVVVSPRQGLSLSLSSQQVPYSSSTVEADHIQGQVPTMSPAAADEMRISGNSPSSASVVSNGIPGVQSVVLGSKYLRAAQELLDEVVNVGKVIKPEVSEGTKEKIKADKEPVGSSAGEDGAQRGPELTTAQRQELQMKKAKLVSMLDEVEQRYRQYRQQMQIVVSSLEKAAGFGAAKSYTGLASRTISKQFRCLKDSISDQIKATSKSLGEDDCLGVKLEGSRLRYVDYQLRQQRALQQLGMIQHNNNVWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRNQVSNWFINARVRLWKPMVEEMYLEEMKEHERKGGEENINKSEQKESGSTSSKSNAQQQEMNASPTHQISNSTISTSPMEGSLMPPQTSFNLIGSSELDNGVGVAQRASKKSRNVNDELQNSPVEMKPGETWETNINMIKLGDEERLLKHSFSYLSGTTYTSMGEIGRFNEEQQLPPKFHGNSVSLTLGLPHCENLSLSRNPQNFLSNHNIQLGINTPHASHSNAAGFDNIHEMQNRQRFAAQLLPDFVA >KJB09139 pep chromosome:Graimondii2_0_v6:1:15720416:15724843:1 gene:B456_001G125400 transcript:KJB09139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFHGSSEFQAASVASPDGMQTLYLMNPNYIPYSDTNQPFAATNLFFLNPAGNALNPSSFPHTPPPNYHHLLGLPLPTPTASIVPANSNETHRPSSLHGIVSGVHYNSWGSSSTIDHQTSSASTYPQIVSTAVDNSAARPHDVASQLRPVVVSPRQGLSLSLSSQQVPYSSSTVEADHIQGQVPTMSPAAADEMRISGNSPSSASVVSNGIPGVQSVVLGSKYLRAAQELLDEVVNVGKVIKPEVSEGTKEKIKADKEPVGSSAGEDGAQRGPELTTAQRQELQMKKAKLVSMLDEVEQRYRQYRQQMQIVVSSLEKAAGFGAAKSYTGLASRTISKQFRCLKDSISDQIKATSKSLGEDDCLGVKLEGSRLRYVDYQLRQQRALQQLGMIQHNNNVWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRNQVSNWFINARVRLWKPMVEEMYLEEMKEHERKGGEENINKSEQKESGSTSSKSNAQQQEMNASPTHQISNSTISTSPMEGSLMPPQTSFNLIGSSELDNGVGVAQRASKKSRNVNDELQNSPVEMKPGETWETNINMIKLGDEERLLKHSFSYLSGTTYTSMGEIGRFNEEQQLPPKFHGNSVSLTLGLPHCENLSLSRNPQNFLSNHNIQLGINTPHASHSNAAGFDNIHEMQNRQRFAAQLLPDFVA >KJB09137 pep chromosome:Graimondii2_0_v6:1:15720649:15724692:1 gene:B456_001G125400 transcript:KJB09137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFHGSSEFQAASVASPDGMQTLYLMNPNYIPYSDTNQPFAATNLFFLNPAGNALNPSSFPHTPPPNYHHLLGLPLPTPTASIVPANSNETHRPSSLHGIVSGVHYNSWGSSSTIDHQTSSASTYPQIVSTAVDNSAARPHDVASQLRPVVVSPRQGLSLSLSSQQVPYSSSTVEADHIQGQVPTMSPAAADEMRISGNSPSSASVVSNGIPGVQSVVLGSKYLRAAQELLDEVVNVGKVIKPEVSEGTKEKIKADKEPVGSSAGEDGAQRGPELTTAQRQELQMKKAKLVSMLDEVEQRYRQYRQQMQIVVSSLEKAAGFGAAKSYTGLASRTISKQFRCLKDSISDQIKATSKSLGEDDCLGVKLEGSRLRYVDYQLRQQRALQQLGMIQHNNNVWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRNQVSNWFINARVRLWKPMVEEMYLEEMKEHERKGGEENINKSEQKESGSTSSKSNAQQQEMNASPTHQISNSTISTSPMEGSLMPPQTSFNLIGSSELDNGVGVAQRASKKSRNVNDELQNSPVEMKPGETWETNINMIKLGDEERLLKHSFSYLSGTTYTSMGEIGRFNEEQQLPPKFHGNSVSLTLGLPHCENLSLSRNPQNFLSNHNIQLGINTPHASHSNAAGFDNIHEMQNRQRFAAQLLPDFVA >KJB11626 pep chromosome:Graimondii2_0_v6:1:54562838:54564629:1 gene:B456_001G268700 transcript:KJB11626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDESPHKKLEDNNNTLTPSTVDEDILKKQKEIDDWLPITSSRNAKWWYAAFHNVTAMVGAGVLSLPSAMSHLGWGPGVVILILSWLITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLICEVGVDIVYMVTGGKSLEKIHSLVAPHKHIKTTYFIMIFAAVHFILAHLPNFNSISGVSLAAAVMSLTYSTIAWTASVHKGVQSDVEYGYKASTATGTVFNFLTALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVVIAYIVVALCYFPVALIGYYMFGNNVEENILISLEKPTWLIVAANMFVVIHVIGSYQLYAMPVFDMIETVLVKNMHFRPTRTLRFIVRNFYVAATMFVAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKKFSLSWCTNWICIILGLLLMTLSPIGGLRNIIINAKDYHFYS >KJB08187 pep chromosome:Graimondii2_0_v6:1:7051765:7052998:-1 gene:B456_001G069900 transcript:KJB08187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEKEKRNVFQLAVQRRRRLRAKMHSLIEGATRAADLESSESVMDNLMGLLRVHVKRGVNLAVRDVRSSDPYVVVKMGNQRLKTRIVKKDVNPEWNDDLTLSITDPDIPVSLTVYDHDTFSKDDKMGDSEFEVRSFIEALKTYTNLEEIPSGTVLSRLKPDTDNCLVDESAIYVNNEGKIIQDLFLRLRNVECGEVEIQLQWIHYPGSKTF >KJB09578 pep chromosome:Graimondii2_0_v6:1:20874262:20877044:1 gene:B456_001G150700 transcript:KJB09578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGSNNPGAGQYNDTTFTKIFVGGLAWETQRDTMKRYFEQFGEIIEAVVITDKNTGRSKGYGFVTFKDPDAAMRACQNPSPTIDGRRANCNLASLGAQKTHAPTPQHGAGRFRPAPGLMASPVYHGSSSTFIQQPNSQYSIPYSAYGYTGYSQDSIYPLNYYSLYGGQQFSPYYTAGASMTPGMFHNFYPFYAQYAQNSQAHGFGVQYPQMLQYPYLPQQGILSLPSSMPSATTPTAPTTTATVTTATTTTATMTAARTAVSSSETEKTTSPTTESLPPATIATTRVVGTESGPSQISGTPTEKKTSS >KJB09579 pep chromosome:Graimondii2_0_v6:1:20874262:20877044:1 gene:B456_001G150700 transcript:KJB09579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQRQFQMVGGSNNPGAGQYNDTTFTKIFVGGLAWETQRDTMKRYFEQFGEIIEAVVITDKNTGRSKGYGFVTFKDPDAAMRACQNPSPTIDGRRANCNLASLGAQKTHAPTPQHGAGRFRPAPGLMASPVYHGSSSTFIQQPNSQYSIPYSAYGYTGYSQDSIYPLNYYSLYGGQQFSPYYTAGASMTPGMFHNFYPFYAQYAQNSQAHGFGVQYPQMLQYPYLPQQGILSLPSSMPSATTPTGEYFTYPENVYIVQSLSLLAYNIYGKTTLMQHSTVSLPINFAAPTTTATVTTATTTTATMTAARTAVSSSETEKTTSPTTESLPPATIATTRVVGTESGPSQISGTPTEKKTSS >KJB09577 pep chromosome:Graimondii2_0_v6:1:20874015:20877095:1 gene:B456_001G150700 transcript:KJB09577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQRQFQMVGGSNNPGAGQYNDTTFTKIFVGGLAWETQRDTMKRYFEQFGEIIEAVVITDKNTGRSKGYGFVTFKDPDAAMRACQNPSPTIDGRRANCNLASLGAQKTHAPTPQHGAGRFRPAPGLMASPVYHGSSSTFIQQPNSQYSIPYSAYGYTGYSQDSIYPLNYYSLYGGQQFSPYYTAGASMTPGMFHNFYPFYAQYAQNSQAHGFGVQYPQMLQYPYLPQQGILSLPSSMPSATTPTAPTTTATVTTATTTTATMTAARTAVSSSETEKTTSPTTESLPPATIATTRVVGTESGPSQISGTPTEKKTSS >KJB09711 pep chromosome:Graimondii2_0_v6:1:22730552:22731417:1 gene:B456_001G158400 transcript:KJB09711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIPSFACTVPHVKSQHQCILLRQNYSRNVYTIRCNGENSKSNLPTTTQESAPENVLLKVAWYGSELLGIAASYIRSPSKVEEAAQKDLKLGLDGSGAIDRTAVIQTIKDDFERSYFVTGQLTLDAYEEDCEFADPAGSFKGLRRFKRNCTNFGSLIEKSNMKLMKWEDLENKGVGHWRFSCVMSFPWRPILSGM >KJB09712 pep chromosome:Graimondii2_0_v6:1:22730552:22732257:1 gene:B456_001G158400 transcript:KJB09712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIPSFACTVPHVKSQHQCILLRQNYSRNVYTIRCNGENSKSNLPTTTQESAPENVLLKVAWYGSELLGIAASYIRSPSKVEEAAQKDLKLGLDGSGAIDRTAVIQTIKDDFERSYFVTGQLTLDAYEEDCEFADPAGSFKGLRRFKRNCTNFGSLIEKSNMKLMKWEDLENKGVGHWRFSCVMSFPWRPILSATGYTEYFFDARSGKVCRHVEHWNVPKMALLKQLLKPTRGFWLKRKNS >KJB10311 pep chromosome:Graimondii2_0_v6:1:33599366:33605034:-1 gene:B456_001G195500 transcript:KJB10311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRSRGTSFLGSVDVPSLKRKALNSWAAVQDTYFSTKDTFERHKVVFTVGTSIASVATAWFGYSLRHYHESKVDQRLESIENTMRNSHHLEHADFKKLVDPGHSRAAAWVATAGTALIVGYGLGWRGGTWYANRKFGREQLKLLGQIKPKRWQLLGQMKPRGWQFRFLRSSPRCRGPESASKTSGTMLKSAPTSCESVESHQ >KJB10312 pep chromosome:Graimondii2_0_v6:1:33598752:33604940:-1 gene:B456_001G195500 transcript:KJB10312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRSRGTSFLGSVDVPSLKRKALNSWAAVQDTYFSTKDTFERHKVVFTVGTSIASVATAWFGYSLRHYHESKVDQRLESIENTMRNSHHLEHADFKKLVDPGHSRAAAWVATAGTALIVGYGLGWRGGTWYANRKFGREQLKLLGQIKPKRWQLLGQMKPRGWQFRFLRSSPRCRGPESASKTSGTMLKSAPTSCESVESHQ >KJB10310 pep chromosome:Graimondii2_0_v6:1:33599512:33604716:-1 gene:B456_001G195500 transcript:KJB10310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRSRGTSFLGSVDVPSLKRKALNSWAAVQDTYFSTKDTFERHKVVFTVGTSIASVATAWFGYSLRHYHESKVDQRLESIENTMRNSHHLEHADFKKLVDPGHSRAAAWVATAGTALIVGYGLGWRGGTWYANRKFGREQLKLLGQIKPKRWQLLGQMKPRGWQFRFLRSSPRCRGPESASKTSGTMLKSAPTSCESVESHQ >KJB08175 pep chromosome:Graimondii2_0_v6:1:6996461:7002389:1 gene:B456_001G069500 transcript:KJB08175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPSSVDVILDFLRRNRFTRAEAALRSELGNRPDLNGFLQKLTLEEKDSGKVLEEEKGKKTVGESHGLGSQNSGEVSKELIIKEIECGAGRNGSESKSRNAVSTGEHNKPNEAKVISDKSFTFSKNLEETVLNLQSRNLNTTNHSDLYKNGGFDNRTSFLELEKQDLSRCGTAEASETDKGNVKYGEEIMFPGEVKSSWLRNTSKANVDSKYDKFHASETKELDQHYKTTSAYLMENFADNSRWSRTEEPASASSEIWKNCSVKTVFPFPEGDVSIRYDVVNTSEKREGKQKACAPDVRAAIKEQVDEVGRALFFGKSQGAAQQKGKNGLVVPLASENPKEEFPRLPPVKLKSEEKSLNVNWEEKYERDVPGAKLTSADNAFLIGSYLDVPIGQEISSSGGKRNAGGSWLSVSQGIAEDASDLVSGFATIGDGLSESVDYANEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHASIPDPQERSQTKDEEDDQSFAEDDSYFSGEHYIQAKNVELVAASDDPIGLSGTEMNSRTRENDLIAQYDGQLMDEEELNLIRSEPVWQGFVTKRNGLIMLEDGKVLNESGRSRLDDIYIDDEQHGSVRSIGVGINSDAADIGSEVRESLVGESSEGDFEYFHDHDVVGGSRQSHHEKDRKNIDKSIRDKRKTGKNDTNKYVTGNDKGLTCQVKNLADRGFSFPPPLRDGQSVQAGSSKSQWSSNCNAAGDEHDDCLSALMGSDDMLATWRRKSSDSSAGKCSRDENNGNAVISANSSPSSLSNYCYDEQEQTKIKEGKTSGVREDPGISLEDEEVAAVQEQVRQIKVQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQVWKAVFFRRASSLCLAITSSSFKFFCAVNYHSVFGGSSVFAQPWPNTL >KJB08178 pep chromosome:Graimondii2_0_v6:1:6995630:7004410:1 gene:B456_001G069500 transcript:KJB08178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPSSVDVILDFLRRNRFTRAEAALRSELGNRPDLNGFLQKLTLEEKDSGKVLEEEKGKKTVGESHGLGSQNSGEVSKELIIKEIECGAGRNGSESKSRNAVSTGEHNKPNEAKVISDKSFTFSKNLEETVLNLQSRNLNTTNHSDLYKNGGFDNRTSFLELEKQDLSRCGTAEASETDKGNVKYGEEIMFPGEVKSSWLRNTSKANVDSKYDKFHASETKELDQHYKTTSAYLMENFADNSRWSRTEEPASASSEIWKNCSVKTVFPFPEGDVSIRYDVVNTSEKREGKQKACAPDVRAAIKEQVDEVGRALFFGKSQGAAQQKGKNGLVVPLASENPKEEFPRLPPVKLKSEEKSLNVNWEEKYERDVPGAKLTSADNAFLIGSYLDVPIGQEISSSGGKRNAGGSWLSVSQGIAEDASDLVSGFATIGDGLSESVDYANEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHASIPDPQERSQTKDEEDDQSFAEDDSYFSGEHYIQAKNVELVAASDDPIGLSGTEMNSRTRENDLIAQYDGQLMDEEELNLIRSEPVWQGFVTKRNGLIMLEDGKVLNESGRSRLDDIYIDDEQHGSVRSIGVGINSDAADIGSEVRESLVGESSEGDFEYFHDHDVVGGSRQSHHEKDRKNIDKSIRDKRKTGKNDTNKYVTGNDKGLTCQVKNLADRGFSFPPPLRDGQSVQAGSSKSQWSSNCNAAGDEHDDCLSALMGSDDMLATWRRKSSDSSAGKCSRDENNGNAVISANSSPSSLSNYCYDEQEQTKIKEGKTSGVREDPGISLEDEEVAAVQEQVRQIKVQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLQYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIEQGMLAKGRDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEVNPKKRPSASEALKHPWLSYPYEPISA >KJB08173 pep chromosome:Graimondii2_0_v6:1:6996461:7002389:1 gene:B456_001G069500 transcript:KJB08173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPSSVDVILDFLRRNRFTRAEAALRSELGNRPDLNGFLQKLTLEEKDSGKVLEEEKGKKTVGESHGLGSQNSGEVSKELIIKEIECGAGRNGSESKSRNAVSTGEHNKPNEAKVISDKSFTFSKNLEETVLNLQSRNLNTTNHSDLYKNGGFDNRTSFLELEKQDLSRCGTAEASETDKGNVKYGEEIMFPGEVKSSWLRNTSKANVDSKYDKFHASETKELDQHYKTTSAYLMENFADNSRWSRTEEPASASSEIWKNCSVKTVFPFPEGDVSIRYDVVNTSEKREGKQKACAPDVRAAIKEQVDEVGRALFFGKSQGAAQQKGKNGLVVPLASENPKEEFPRLPPVKLKSEEKSLNVNWEEKYERDVPGAKLTSADNAFLIGSYLDVPIGQEISSSGGKRNAGGSWLSVSQGIAEDASDLVSGFATIGDGLSESVDYANEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHASIPDPQERSQTKDEEDDQSFAEDDSYFSGEHYIQAKNVELVAASDDPIGLSGTEMNSRTRENDLIAQYDGQLMDEEELNLIRSEPVWQGFVTKRNGLIMLEDGKVLNESGRSRLDDIYIDDEQHGSVRSIGVGINSDAADIGSEVRESLVGESSEGDFEYFHDHDVVGGSRQSHHEKDRKNIDKSIRDKRKTGKNDTNKYVTGNDKGLTCQVKNLADRGFSFPPPLRDGQSVQAGSSKSQWSSNCNAAGDEHDDCLSALMGSDDMLATWRRKSSDSSAGKCSRDENNGNAVISANSSPSSLSNYCYDEQEQTKIKEGKTSGVREDPGISLEDEEVAAVQEQVRQIKVQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQVWKAVFFRRASSLCLAITSSSFKFFCAVNYHSVFGGSSVFAQPWPNTL >KJB08174 pep chromosome:Graimondii2_0_v6:1:6995402:7003251:1 gene:B456_001G069500 transcript:KJB08174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPSSVDVILDFLRRNRFTRAEAALRSELGNRPDLNGFLQKLTLEEKDSGKVLEEEKGKKTVGESHGLGSQNSGEVSKELIIKEIECGAGRNGSESKSRNAVSTGEHNKPNEAKVISDKSFTFSKNLEETVLNLQSRNLNTTNHSDLYKNGGFDNRTSFLELEKQDLSRCGTAEASETDKGNVKYGEEIMFPGEVKSSWLRNTSKANVDSKYDKFHASETKELDQHYKTTSAYLMENFADNSRWSRTEEPASASSEIWKNCSVKTVFPFPEGDVSIRYDVVNTSEKREGKQKACAPDVRAAIKEQVDEVGRALFFGKSQGAAQQKGKNGLVVPLASENPKEEFPRLPPVKLKSEEKSLNVNWEEKYERDVPGAKLTSADNAFLIGSYLDVPIGQEISSSGGKRNAGGSWLSVSQGIAEDASDLVSGFATIGDGLSESVDYANEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHASIPDPQERSQTKDEEDDQSFAEDDSYFSGEHYIQAKNVELVAASDDPIGLSGTEMNSRTRENDLIAQYDGQLMDEEELNLIRSEPVWQGFVTKRNGLIMLEDGKVLNESGRSRLDDIYIDDEQHGSVRSIGVGINSDAADIGSEVRESLVGESSEGDFEYFHDHDVVGGSRQSHHEKDRKNIDKSIRDKRKTGKNDTNKYVTGNDKGLTCQVKNLADRGFSFPPPLRDGQSVQAGSSKSQWSSNCNAAGDEHDDCLSALMGSDDMLATWRRKSSDSSAGKCSRDENNGNAVISANSSPSSLSNYCYDEQEQTKIKEGKTSGVREDPGISLEDEEVAAVQEQVRQIKVQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLQYDKKIDIWSLGCILAELCTGNVSIGLL >KJB08177 pep chromosome:Graimondii2_0_v6:1:6995402:7004410:1 gene:B456_001G069500 transcript:KJB08177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPSSVDVILDFLRRNRFTRAEAALRSELGNRPDLNGFLQKLTLEEKDSGKVLEEEKGKKTVGESHGLGSQNSGEVSKELIIKEIECGAGRNGSESKSRNAVSTGEHNKPNEAKVISDKSFTFSKNLEETVLNLQSRNLNTTNHSDLYKNGGFDNRTSFLELEKQDLSRCGTAEASETDKGNVKYGEEIMFPGEVKSSWLRNTSKANVDSKYDKFHASETKELDQHYKTTSAYLMENFADNSRWSRTEEPASASSEIWKNCSVKTVFPFPEGDVSIRYDVVNTSEKREGKQKACAPDVRAAIKEQVDEVGRALFFGKSQGAAQQKGKNGLVVPLASENPKEEFPRLPPVKLKSEEKSLNVNWEEKYERDVPGAKLTSADNAFLIGSYLDVPIGQEISSSGGKRNAGGSWLSVSQGIAEDASDLVSGFATIGDGLSESVDYANEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHASIPDPQERSQTKDEEDDQSFAEDDSYFSGEHYIQAKNVELVAASDDPIGLSGTEMNSRTRENDLIAQYDGQLMDEEELNLIRSEPVWQGFVTKRNGLIMLEDGKVLNESGRSRLDDIYIDDEQHGSVRSIGVGINSDAADIGSEVRESLVGESSEGDFEYFHDHDVVGGSRQSHHEKDRKNIDKSIRDKRKTGKNDTNKYVTGNDKGLTCQVKNLADRGFSFPPPLRDGQSVQAGSSKSQWSSNCNAAGDEHDDCLSALMGSDDMLATWRRKSSDSSAGKCSRDENNGNAVISANSSPSSLSNYCYDEQEQTKIKEGKTSGVREDPGISLEDEEVAAVQEQVRQIKVQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLQYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIEQGMLAKGRDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEVNPKKRPSASEALKHPWLSYPYEPISA >KJB08176 pep chromosome:Graimondii2_0_v6:1:6995402:7004410:1 gene:B456_001G069500 transcript:KJB08176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPSSVDVILDFLRRNRFTRAEAALRSELGNRPDLNGFLQKLTLEEKDSGKVLEEEKGKKTVGESHGLGSQNSGEVSKELIIKEIECGAGRNGSESKSRNAVSTGEHNKPNEAKVISDKSFTFSKNLEETVLNLQSRNLNTTNHSDLYKNGGFDNRTSFLELEKQDLSRCGTAEASETDKGNVKYGEEIMFPGEVKSSWLRNTSKANVDSKYDKFHASETKELDQHYKTTSAYLMENFADNSRWSRTEEPASASSEIWKNCSVKTVFPFPEGDVSIRYDVVNTSEKREGKQKACAPDVRAAIKEQVDEVGRALFFGKSQGAAQQKGKNGLVVPLASENPKEEFPRLPPVKLKSEEKSLNVNWEEKYERDVPGAKLTSADNAFLIGSYLDVPIGQEISSSGGKRNAGGSWLSVSQGIAEDASDLVSGFATIGDGLSESVDYANEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHASIPDPQERSQTKDEEDDQSFAEDDSYFSGEHYIQAKNVELVAASDDPIGLSGTEMNSRTRENDLIAQYDGQLMDEEELNLIRSEPVWQGFVTKRNGLIMLEDGKVLNESGRSRLDDIYIDDEQHGSVRSIGVGINSDAADIGSEVRESLVGESSEGDFEYFHDHDVVGGSRQSHHEKDRKNIDKSIRDKRKTGKNDTNKYVTGNDKGLTCQVKNLADRGFSFPPPLRDGQSVQAGSSKSQWSSNCNAAGDEHDDCLSALMGSDDMLATWRRKSSDSSAGKCSRDENNGNAVISANSSPSSLSNYCYDEQEQTKIKEGKTSGVREDPGISLEDEEVAAVQEQVRQIKVQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLQYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIEQGMLAKGRDTYKYFTKNHMLYERNQTRISDTQEDIAEASIAYGGPGLYRFCCSFA >KJB08172 pep chromosome:Graimondii2_0_v6:1:6995384:7004506:1 gene:B456_001G069500 transcript:KJB08172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPSSVDVILDFLRRNRFTRAEAALRSELGNRPDLNGFLQKLTLEEKDSGKVLEEEKGKKTVGESHGLGSQNSGEVSKELIIKEIECGAGRNGSESKSRNAVSTGEHNKPNEAKVISDKSFTFSKNLEETVLNLQSRNLNTTNHSDLYKNGGFDNRTSFLELEKQDLSRCGTAEASETDKGNVKYGEEIMFPGEVKSSWLRNTSKANVDSKYDKFHASETKELDQHYKTTSAYLMENFADNSRWSRTEEPASASSEIWKNCSVKTVFPFPEGDVSIRYDVVNTSEKREGKQKACAPDVRAAIKEQVDEVGRALFFGKSQGAAQQKGKNGLVVPLASENPKEEFPRLPPVKLKSEEKSLNVNWEEKYERDVPGAKLTSADNAFLIGSYLDVPIGQEISSSGGKRNAGGSWLSVSQGIAEDASDLVSGFATIGDGLSESVDYANEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHASIPDPQERSQTKDEEDDQSFAEDDSYFSGEHYIQAKNVELVAASDDPIGLSGTEMNSRTRENDLIAQYDGQLMDEEELNLIRSEPVWQGFVTKRNGLIMLEDGKVLNESGRSRLDDIYIDDEQHGSVRSIGVGINSDAADIGSEVRESLVGESSEGDFEYFHDHDVVGGSRQSHHEKDRKNIDKSIRDKRKTGKNDTNKYVTGNDKGLTCQVKNLADRGFSFPPPLRDGQSVQAGSSKSQWSSNCNAAGDEHDDCLSALMGSDDMLATWRRKSSDSSAGKCSRDENNGNAVISANSSPSSLSNYCYDEQEQTKIKEGKTSGVREDPGISLEDEEVAAVQEQVRQIKVQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLQYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIEQGMLAKGRDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEVNPKKRPSASEALKHPWLSYPYEPISA >KJB11406 pep chromosome:Graimondii2_0_v6:1:53056250:53058138:1 gene:B456_001G256900 transcript:KJB11406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMMVNKAQCLGSHRRRLCSVVDDEVQAVRCVKRRRRTPDSVAVGFDGNQGLVQVQPQQQIEQRPIAATTVKRSSRFRGVSRHRWTGRYEAHLWDKLSWNVTQKKKGKQGAYDDEEAAARAYDLAALKYWGTSTFTNFSISDYEKEIEIMQTVTKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYFRWLKPGTMVEPESKPSFHPSLPTDYLKSPEKQEVFKTKTPSSSSSSPTALDLLFRSSIFRELVKKNSNVSSEDGSSVTDGDDESKNQQGGNGVDADDEFSRLFYDGIGDFPFMCSSTKSSIELKHI >KJB11665 pep chromosome:Graimondii2_0_v6:1:54786482:54789227:1 gene:B456_001G270700 transcript:KJB11665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNGNNPPKNLSSSPFGNAGMVPPPMPGNPAFSQAQLSAGFQAQYQLSQAQALAQAQSKAQAQAQAHAQLQAHLQAQGLSLNQAQNAGFGTLGSSSPSMSTPGSASAKRILQRPPMRPPGVPMMNTMSPLRTMELTDAARRKKQKLPEKQLQDRVAAILPESALYTQLLEFEARVDAALARKKVDIQEALKNPPCVQKTLRIYVFNTFANQIKTIPQKPNAEPPTWTLKIIGRILEDGVDPDQPGFVQRTNPLYPKFSSFFKRVTISLDQRLYPDNHIIIWEHARSPTPNEGFEVKRKGDKEFTVNIRLEMNYVPEKFKLSSALMEVLGIEVDTRPRIIAAIWHYVKARKLQSPNDPTSFNCDAQLHKVFGEEKVKFTMVPLKISQHLSPPPPIHLEHKVKLSGNSPVGNVCYDVLVDLPFPIQRELSALLSNAEKSKEIETCDEAICAAIRKIHEHRRRRAFFLGFSQSPVEFINALIESQSLDVKLVAGEASRSSEKERRSDFFNQPWVEDAVIRYLNRKPAAGSDAPGSI >KJB11664 pep chromosome:Graimondii2_0_v6:1:54786358:54789231:1 gene:B456_001G270700 transcript:KJB11664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNGNNPPKNLSSSPFGNAGMVPPPMPGNPAFSQAQLSAGFQAQYQLSQAQALAQAQSKAQAQAQAHAQLQAHLQAQGLSLNQAQNAGFGTLGSSSPSMSTPGSASAKRILQRPPMRPPGVPMMNTMSPLRTMELTDAARRKKQKLPEKQLQDRVAAILPESALYTQLLEFEARVDAALARKKVDIQEALKNPPCVQKTLRIYVFNTFANQIKTIPQKPNAEPPTWTLKIIGRILEDGVDPDQPGFVQRTNPLYPKFSSFFKRVTISLDQRLYPDNHIIIWEHARSPTPNEGFEVKRKGDKEFTVNIRLEMNYVPEKFKLSSALMEVLGIEVDTRPRIIAAIWHYVKARKLQSPNDPTSFNCDAQLHKVFGEEKVKFTMVPLKISQHLSPPPPIHLEHKVKLSGNSPVGNVCYDVLVDLPFPIQRELSALLSNAEKSKEIETCDEAICAAIRKIHEHRRRRAFFLGFSQSPVEFINALIESQSLDVKLVAGEASRSSEKERRSDFFNQPWVEDAVIRYLNRKPAAGSDAPGSI >KJB11217 pep chromosome:Graimondii2_0_v6:1:49616628:49624605:1 gene:B456_001G247800 transcript:KJB11217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHYWLGTKLLWADIRISSRLLVKLASGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEIQNSRSGDVKKTAEDLAEFMNKVRTGAGVSNDEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRKRLQEIKNDDKMIQAESVESLSEAELRQACRERGLLGLLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEFLEMQEELIKEEEEEEEEEQAKLKESFSKQKDVALEELAVSTAKEAQELAKAKTLEKHEQLCELSRALAVLASASSVSWEREEFLRLVKKEVELYNSMVEKEGTEDEEEAKKAYKAAREHSDHTAQKAIGDKVSSALIDRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDTLGKEGIQELISNLSIDREGKILVEDIVKLGGETDDADTAEAGRS >KJB11219 pep chromosome:Graimondii2_0_v6:1:49616628:49624605:1 gene:B456_001G247800 transcript:KJB11219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHYWLGTKLLWADIRISSRLLVKLASGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEIQNSRSGDVKKTAEDLAEFMNKVRTGAGVSNDEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRKRLQEIKNDDKMIQAESVESLSEAELRQACRERGLLGLLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEFLEMQEELIKEEEEEEEEEQAKLKESFSKQKDVALEELAVSTAKEAQELAKAKTLEKHEQLCELSRALAVLASASSVSWEREEFLRLVKKEVELYNSMVEKEGTEDEEEAKKAYKAAREHSDHTAQKAIGDKVSSALIDRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDTLGKEGIQELISNLSIDREGKILVEDIVKLGGETDDADTAEAGRS >KJB11218 pep chromosome:Graimondii2_0_v6:1:49616958:49624605:1 gene:B456_001G247800 transcript:KJB11218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHYWLGTKLLWADIRISSRLLVKLASGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEIQNSRSGDVKKTAEDLAEFMNKVRTGAGVSNDEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRKRLQEIKNDDKMIQAESVESLSEAELRQACRERGLLGLLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEFLEMQEELIKEEEEEEEEEQAKLKESFSKQKDVALEELAVSTAKEAQELAKAKTLEKHEQLCELSRALAVLASASSVSWEREEFLRLVKKEVELYNSMVEKEGTEDEEEAKKAYKAAREHSDHTAQKAIGDKVSSALIDRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDTLGKEGIQELISNLSIDREGKILVEDIVKLGGETDDADTAEAGRS >KJB11216 pep chromosome:Graimondii2_0_v6:1:49616561:49624610:1 gene:B456_001G247800 transcript:KJB11216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVILRRRSYIFNSPTRLTSLIRGFSSFEHRQSFTSEDSQGSPYVASHPYPGSDFKKVVLPSVLKNELSYFLASQYSSGISNSGYLVGNLEFKFPSGVRWFSQSARSASTSTASSDGKEQQASKKVKEASPEECDQAVEGLSTVKAKAKAKQVQDSTKSGQSLIKKLWAMILGIGPALRAVASMSREDWAKKLRHWKDEFKSTMQHYWLGTKLLWADIRISSRLLVKLASGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEIQNSRSGDVKKTAEDLAEFMNKVRTGAGVSNDEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRKRLQEIKNDDKMIQAESVESLSEAELRQACRERGLLGLLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEFLEMQEELIKEEEEEEEEEQAKLKESFSKQKDVALEELAVSTAKEAQELAKAKTLEKHEQLCELSRALAVLASASSVSWEREEFLRLVKKEVELYNSMVEKEGTEDEEEAKKAYKAAREHSDHTAQKAIGDKVSSALIDRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDTLGKEGIQELISNLSIDREGKILVEDIVKLGGETDDADTAEAGRS >KJB11220 pep chromosome:Graimondii2_0_v6:1:49616628:49624605:1 gene:B456_001G247800 transcript:KJB11220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVILRRRSYIFNSPTRLTSLIRGFSSFEHRQSFTSEDSQGSPYVASHPYPGSDFKKVVLPSVLKNELSYFLASQYSSGISNSGYLVGNLEFKFPSGVRWFSQSARSASTSTASSDGKEQQASKKVKEASPEECDQAVEGLSTVKAKAKAKQVQDSTKSGQSLIKKLWAMILGIGPALRAVASMSREDWAKKLRHWKDEFKSTMQHYWLGTKLLWADIRISSRLLVKLASGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEIQNSRSGDVKKTAEDLAEFMNKVRTGAGVSNDEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRKRLQEIKNDDKMIQAESVESLSEAELRQACRERGLLGLLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEFLEMQEELIKEEEEEEEEEQAKLKESFSKQKDVALEELAVSTAKEAQELAKAKTLEKHEQLCELSRALAVLASASSVSWEREEFLRLVKKEVELYNSMVEKEGTEDEEEAKKAYKAAREHSDHTAQKAIGDKVSSALIDRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDTLGKEGIQELISNLSIDREGKILVEDIVKLGGETDDADTAEAGRS >KJB11580 pep chromosome:Graimondii2_0_v6:1:54406484:54409179:-1 gene:B456_001G267000 transcript:KJB11580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABB1b [Source:Projected from Arabidopsis thaliana (AT4G35860) UniProtKB/Swiss-Prot;Acc:Q38922] MVTVDGRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMAVTVIGNKCDLSQRRAVSKEEGEQFAKDYGLSFLETSARTSQNAEEAFIMTAAKILQNIQEGIFDPSNESCGIKIGYGRGQGTLGTSGTVGQTGGCCG >KJB11581 pep chromosome:Graimondii2_0_v6:1:54406484:54409413:-1 gene:B456_001G267000 transcript:KJB11581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABB1b [Source:Projected from Arabidopsis thaliana (AT4G35860) UniProtKB/Swiss-Prot;Acc:Q38922] MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVTVDGRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMAVTVIGNKCDLSQRRAVSKEEGEQFAKDYGLSFLETSARTSQNAEEAFIMTAAKILQNIQEGIFDPSNESCGIKIGYGRGQGTLGTSGTVGQTGGCCG >KJB10022 pep chromosome:Graimondii2_0_v6:1:27680697:27681437:-1 gene:B456_001G180600 transcript:KJB10022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDL >KJB06129 pep chromosome:Graimondii2_0_v6:1:21066601:21068546:-1 gene:B456_001G1516001 transcript:KJB06129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSAAINSFKSSNLISWKTTGKLQQTLAGCIKLSGKTLQSGKVSKVKIWPGFTGQGRYFEFHSNLIPASIDFVRESLLCTSLCKDGYKIRTVEHLLSALEAKGIDNCRVQIQSLDSEDTEVEVPIFDGSANAWVEAIEQVGRKEALDRCGNNVEKLAPYLSEPFYVSRNDSFMVAFPASKVHISCGIDFPKGK >KJB06760 pep chromosome:Graimondii2_0_v6:1:19145872:19146408:-1 gene:B456_001G141600 transcript:KJB06760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFLISSLVFFHLTLVSVRSDVIQETCDKAARGDPATIRLDFCLSAFEGNPKAKSATSVADLVEISIETSIANATSMGSLITSLLDNKSIGIFARNCLEDCSELYSLAGSNLRRGGKAFEGKDFGTANIEITAAMDAPVTCEDGFKEKGLVSPLTKENKNFFQLTAIPLVFMKMVQK >KJB07882 pep chromosome:Graimondii2_0_v6:1:4782750:4786815:1 gene:B456_001G050300 transcript:KJB07882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKEKEAENELVLPPSPTLTIGIAINGNRESKYVVKWALEKFVPEGNVMFKLLHVRAKIKLIPTPMGNLLPISQVREDVAAAYKKELEWQANEMLLPYKKMCAQRKVEADIIIIESDQVANAIAEEVSKNTITRLVIGASSRGMLTRKLKKNNLSSRISACAPSFCTLYAVSKGKLTSIRPSDSEMNGSTKDDSSETSFSSKNSSDYSFSLQTELGSVATYATFRSPSLPMQRSQALSTINQTHLHSRTSSLSRSTESTQFKSPDNNHSRCQSLDNAAGNDDMSSCPNSSESREAVSQNSSRRSLQTDQQSWLPDQISTLDAQTDNNPSECQVNINFEIEKLRTELRHIREMHAAAQSETIDASQKLNTLSKRRLEEAMKLKEVCLKEEEAKELARQEKAKCEAAIREAVHVKGRADREASLRQEAEMKASHEAKEKEKLENYLTGPLQQYQKFTWDEIVSATSSFSEDLRIGMGAYGTVYKCIFHHTAAAVKVLHSKENGRTKQFQQELEILSKIRHPHLLLLLGACPEHGCLVYEYMENGSLEDRLLRKNNTPPIPWFERYRIAWEVGSALVFLHNSKPEPIIHRDLKPANILLDHNFMSKIGDVGLSMMITTDSSSTSTVYKDTGPVGTLCYIDPEYQRTGLISPKSDIYAFGMIILQLLTAKPAIALTHVVETAIDDDNLTRILDSEAGNWPVEETKELAILGLSCAELRRKDRPGLKDRVLPILEKMKEVANKARNSISNIQSAHPNHFICPISKDVMDEPCVAADGYTYERKAIEKWIETNDISPMTNLALPNKNLLPNYSLLSAILEWKSRKQ >KJB07880 pep chromosome:Graimondii2_0_v6:1:4781899:4786815:1 gene:B456_001G050300 transcript:KJB07880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKEKEAENELVLPPSPTLTIGIAINGNRESKYVVKWALEKFVPEGNVMFKLLHVRAKIKLIPTPMGNLLPISQVREDVAAAYKKELEWQANEMLLPYKKMCAQRKVEADIIIIESDQVANAIAEEVSKNTITRLVIGASSRGMLTRKLKKNNLSSRISACAPSFCTLYAVSKGKLTSIRPSDSEMNGSTKDDSSETSFSSKNSSDYSFSLQTGSVATYATFRSPSLPMQRSQALSTINQTHLHSRTSSLSRSTESTQFKSPDNNHSRCQSLDNAAGNDDMSSCPNSSESREAVSQNSSRRSLQTDQQSWLPDQISTLDAQTDNNPSECQVNINFEIEKLRTELRHIREMHAAAQSETIDASQKLNTLSKRRLEEAMKLKEVCLKEEEAKELARQEKAKCEAAIREAVHVKGRADREASLRQEAEMKASHEAKEKEKLENYLTGPLQQYQKFTWDEIVSATSSFSEDLRIGMGAYGTVYKCIFHHTAAAVKVLHSKENGRTKQFQQELEILSKIRHPHLLLLLGACPEHGCLVYEYMENGSLEDRLLRKNNTPPIPWFERYRIAWEVGSALVFLHNSKPEPIIHRDLKPANILLDHNFMSKIGDVGLSMMITTDSSSTSTVYKDTGPVGTLCYIDPEYQRTGLISPKSDIYAFGMIILQLLTAKPAIALTHVVETAIDDDNLTRILDSEAGNWPVEETKELAILGLSCAELRRKDRPGLKDRVLPILEKMKEVANKARNSISNIQSAHPNHFICPISKDVMDEPCVAADGYTYERKAIEKWIETNDISPMTNLALPNKNLLPNYSLLSAILEWKSRKQ >KJB07881 pep chromosome:Graimondii2_0_v6:1:4781899:4786815:1 gene:B456_001G050300 transcript:KJB07881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKEKEAENELVLPPSPTLTIGIAINGNRESKYVVKWALEKFVPEGNVMFKLLHVRAKIKLIPTPMGNLLPISQVREDVAAAYKKELEWQANEMLLPYKKMCAQRKVEADIIIIESDQVANAIAEEVSKNTITRLVIGASSRGMLTRKLKKNNLSSRISACAPSFCTLYAVSKGKLTSIRPSDSEMNGSTKDDSSETSFSSKNSSDYSFSLQTGSVATYATFRSPSLPMQRSQALSTINQTHLHSRTSSLSRSTESTQFKSPDNNHSRCQSLDNAAGNDDMSSCPNSSESREAVSQNSSRRSLQTDQQSWLPDQISTLDAQTDNNPSECQVNINFEIEKLRTELRHIREMHAAAQSETIDASQKLNTLSKRRLEEAMKLKEVCLKEEEAKELARQEKAKCEAAIREAVHVKGRADREASLRQEAEMKASHEAKEKEKLENYLTGPLQQYQKFTWDEIVSATSSFSEDLRIGMGAYGTVYKCIFHHTAAAVKVLHSKENGRTKQFQQELEILSKIRHPHLLLLLGACPEHGCLVYEYMENGSLEDRLLRKNNTPPIPWFERYRIAWEVGSALVFLHNSKPEPIIHRDLKPANILLDHNFMSKIGDVGLSMMITTDSSSTSTVYKDTGPVGTLCYIDPEYQRTGLISPKSDIYAFGMIILQLLTAKPAIALTHVVETAIDDDNLTRILDSEAGNWPVEETKELAILGLSCAELRRKDRPGLKDRVLPILEKMKEVANKARNSISNIQSAHPNHFICPISKDVMDEPCVAADGYTYERKAIEKWIETNDISPMTNLALPNKNLLPNYSLLSAILEWKSRKQ >KJB07883 pep chromosome:Graimondii2_0_v6:1:4783034:4786597:1 gene:B456_001G050300 transcript:KJB07883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPYKKMCAQRKVEADIIIIESDQVANAIAEEVSKNTITRLVIGASSRGMLTRKLKKNNLSSRISACAPSFCTLYAVSKGKLTSIRPSDSEMNGSTKDDSSETSFSSKNSSDYSFSLQTELGSVATYATFRSPSLPMQRSQALSTINQTHLHSRTSSLSRSTESTQFKSPDNNHSRCQSLDNAAGNDDMSSCPNSSESREAVSQNSSRRSLQTDQQSWLPDQISTLDAQTDNNPSECQVNINFEIEKLRTELRHIREMHAAAQSETIDASQKLNTLSKRRLEEAMKLKEVCLKEEEAKELARQEKAKCEAAIREAVHVKGRADREASLRQEAEMKASHEAKEKEKLENYLTGPLQQYQKFTWDEIVSATSSFSEDLRIGMGAYGTVYKCIFHHTAAAVKVLHSKENGRTKQFQQELEILSKIRHPHLLLLLGACPEHGCLVYEYMENGSLEDRLLRKNNTPPIPWFERYRIAWEVGSALVFLHNSKPEPIIHRDLKPANILLDHNFMSKIGDVGLSMMITTDSSSTSTVYKDTGPVGTLCYIDPEYQRTGLISPKSDIYAFGMIILQLLTAKPAIALTHVVETAIDDDNLTRILDSEAGNWPVEETKELAILGLSCAELRRKDRPGLKDRVLPILEKMKEVANKARNSISNIQSAHPNHFICPISKDVMDEPCVAADGYTYERKAIEKWIETNDISPMTNLALPNKNLLPNYSLLSAILEWKSRKQ >KJB11374 pep chromosome:Graimondii2_0_v6:1:52656742:52662186:1 gene:B456_001G254900 transcript:KJB11374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSVNAPPLSPRSSSGSPRSSKQKSSPSALGSPLKLFSDPMQEVIPQFYFQNGCPPTKELKEQSLSKINHLFNNPLNGLLIDEFKTVTKEVCKLPSFLSSALFRKIDVDCMGIVTRDAFITYWVDGNMLTMDVATQIFEFLKRPGHKHLTQVDFRPILRELLATHPGLKFLQNTPEFQDRYAETVIYRIFYHMNRSGNGRLTLRELKRGELISAMQQADEEDDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDRENLIRYGNHALTYRIVDRIFSQAPRKFAGDVEGKMGYEDFVYFMLSEEDKSSEPSLEYWFKCIDLDGNGVLTPNEMQFFYEEQLHRMECLALEPVLFEDILCQIIDMIAPEREDCITLQDLKGSKLSGNVFNILFNLNKFVAFETRDPFLIRQEREDPTLTEWDRFAHREYIRLSMEEDVEDASNGSADVWDESLEAPF >KJB11375 pep chromosome:Graimondii2_0_v6:1:52656742:52662186:1 gene:B456_001G254900 transcript:KJB11375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVTRDAFITYWVDGNMLTMDVATQIFEFLKRPGHKHLTQVDFRPILRELLATHPGLKFLQNTPEFQDRYAETVIYRIFYHMNRSGNGRLTLRELKRGELISAMQQADEEDDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDRENLIRYGNHALTYRIVDRIFSQAPRKFAGDVEGKMGYEDFVYFMLSEEDKSSEPSLEYWFKCIDLDGNGVLTPNEMQFFYEEQLHRMECLALEPVLFEDILCQIIDMIAPEREDCITLQDLKGSKLSGNVFNILFNLNKFVAFETRDPFLIRQEREDPTLTEWDRFAHREYIRLSMEEDVEDASNGSADVWDESLEAPF >KJB11371 pep chromosome:Graimondii2_0_v6:1:52655481:52662186:1 gene:B456_001G254900 transcript:KJB11371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVTRDAFITYWVDGNMLTMDVATQIFEFLKRPGHKHLTQVDFRPILRELLATHPGLKFLQNTPEFQDRYAETVIYRIFYHMNRSGNGRLTLRELKRGELISAMQQADEEDDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDRENLIRYGNHALTYRIVDRIFSQAPRKFAGDVEGKMGYEDFVYFMLSEEDKSSEPSLEYWFKCIDLDGNGVLTPNEMQFFYEEQLHRMECLALEPVLFEDILCQIIDMIAPEREDCITLQDLKGSKLSGNVFNILFNLNKFVAFETRDPFLIRQEREDPTLTEWDRFAHREYIRLSMEEDVEDASNGSADVWDESLEAPF >KJB11373 pep chromosome:Graimondii2_0_v6:1:52655765:52662186:1 gene:B456_001G254900 transcript:KJB11373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLKMEIDPVEDVTCLNPELLQLPEVSPFALKTTPLLVDDLFSQWLSLPETGNLVKSLINDAKAGTAVNACANFSNVNAVGSNSLPSMFSSVNAPPLSPRSSSGSPRSSKQKSSPSALGSPLKLFSDPMQEVIPQFYFQNGCPPTKELKEQSLSKINHLFNNPLNGLLIDEFKTVTKEVCKLPSFLSSALFRKIDVDCMGIVTRDAFITYWVDGNMLTMDVATQIFEFLKRPGHKHLTQVDFRPILRELLATHPGLKFLQNTPEFQDRYAETVIYRIFYHMNRSGNGRLTLRELKRGELISAMQQADEEDDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDRENLIRYGNHALTYRIVDRIFSQAPRKFAGDVEGKMGYEDFVYFMLSEEDKSSEPSLEYWFKCIDLDGNGVLTPNEMQFFYEEQLHRMECLALEPVLFEDILCQIIDMIAPEREDCITLQDLKGSKLSGNVFNILFNLNKFVAFETRDPFLIRQVSHCTI >KJB11372 pep chromosome:Graimondii2_0_v6:1:52655481:52662268:1 gene:B456_001G254900 transcript:KJB11372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLKMEIDPVEDVTCLNPELLQLPEVSPFALKTTPLLVDDLFSQWLSLPETGNLVKSLINDAKAGTAVNACANFSNVNAVGSNSLPSMFSSVNAPPLSPRSSSGSPRSSKQKSSPSALGSPLKLFSDPMQEVIPQFYFQNGCPPTKELKEQSLSKINHLFNNPLNGLLIDEFKTVTKEVCKLPSFLSSALFRKIDVDCMGIVTRDAFITYWVDGNMLTMDVATQIFEFLKRPGHKHLTQVDFRPILRELLATHPGLKFLQNTPEFQDRYAETVIYRIFYHMNRSGNGRLTLRELKRGELISAMQQADEEDDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDRENLIRYGNHALTYRIVDRIFSQAPRKFAGDVEGKMGYEDFVYFMLSEEDKSSEPSLEYWFKCIDLDGNGVLTPNEMQFFYEEQLHRMECLALEPVLFEDILCQIIDMIAPEREDCITLQDLKGSKLSGNVFNILFNLNKFVAFETRDPFLIRQEREDPTLTEWDRFAHREYIRLSMEEDVEDASNGSADVWDESLEAPF >KJB09486 pep chromosome:Graimondii2_0_v6:1:19709470:19714415:-1 gene:B456_001G145200 transcript:KJB09486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKVFSVKVEDGREGRDGKPSVGPVYRNLLAKQGYPPPDPEMSTTWTLFRWIFCSSSVEKYPGNRMLGWRKFVDGKVGPYIWKTYKEVYDEVLHVGSALRASGVEPGCRVGIYGANCPQWIMAMEACGAHSLVCVPLYDTLGSGAVNFIIDHAEVDFVFVQDIKVKELLNPNCKSTQQLKAIVCFTSLAEEDNAKASQMGIKTYSWTEFLHMGKENPWEISPPQPFSICTIMYTSGTSGDPKGVVLTHETMATFVCGVDLFLDQFEDKMTVDDVYLSFLPLAHILDRMIEEYFFHRGASVGYYHGNLKELRDDIMELKPTFLAGVPRVYDMIHEGIQQALQELSPLRKWIFDALYKHKLSWMNKGYKQKFASPLADLLAFRKVKAKLGGRLRLLLSGGAPLSSEVEEFLRVTCCAFVVQGYGLTETCGACTIGFPDEMCFVGAVGSPAVYNDLRLEEVSDMGYDPLGNPPCGEICVKGKTIFSEYYKNPELTRESFEDGWFHTGDIGQMLPNGIFKVIDRKKNLIKLSQGEYVALEYLENVYGVTPIIDDVWVYGNSFKSMLVAVVVLHEENAKKWAELNGYTVSLSELCSLSKLQNYVLSELKSTADKNKMRGFEYIKGVILEARAFDMERDLVTATLKKKRNNLLKYYQAEIDALYHNLTTKK >KJB09483 pep chromosome:Graimondii2_0_v6:1:19708943:19714463:-1 gene:B456_001G145200 transcript:KJB09483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKVFSVKVEDGREGRDGKPSVGPVYRNLLAKQGYPPPDPEMSTTWTLFSSSVEKYPGNRMLGWRKFVDGKVGPYIWKTYKEVYDEVLHVGSALRASGVEPGCRVGIYGANCPQWIMAMEACGAHSLVCVPLYDTLGSGAVNFIIDHAEVDFVFVQDIKVKELLNPNCKSTQQLKAIVCFTSLAEEDNAKASQMGIKTYSWTEFLHMGKENPWEISPPQPFSICTIMYTSGTSGDPKGVVLTHETMATFVCGVDLFLDQFEDKMTVDDVYLSFLPLAHILDRMIEEYFFHRGASVGYYHGNLKELRDDIMELKPTFLAGVPRVYDMIHEGIQQALQELSPLRKWIFDALYKHKLSWMNKGYKQKFASPLADLLAFRKVKAKLGGRLRLLLSGGAPLSSEVEEFLRVTCCAFVVQGYGLTETCGACTIGFPDEMCFVGAVGSPAVYNDLRLEEVSDMGYDPLGNPPCGEICVKGKTIFSEYYKNPELTRESFEDGWFHTGDIGQMLPNGIFKVIDRKKNLIKLSQGEYVALEYLENVYGVTPIIDDVWVYGNSFKSMLVAVVVLHEENAKKWAELNGYTVSLSELCSLSKLQNYVLSELKSTADKNKMRGFEYIKGVILEARAFDMERDLVTATLKKKRNNLLKYYQAEIDALYHNLTTKK >KJB09490 pep chromosome:Graimondii2_0_v6:1:19709110:19714973:-1 gene:B456_001G145200 transcript:KJB09490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKVFSVKVEDGREGRDGKPSVGPVYRNLLAKQGYPPPDPEMSTTWTLFSSSVEKYPGNRMLGWRKFVDGKVGPYIWKTYKEVYDEVLHVGSALRASGVEPGCRVGIYGANCPQWIMAMEACGAHSLVCVPLYDTLGSGAVNFIIDHAEVDFVFVQDIKVKELLNPNCKSTQQLKAIVCFTSLAEEDNAKASQMGIKTYSWTEFLHMGKENPWEISPPQPFSICTIMYTSGTSGDPKGVVLTHETMATFVCGVDLFLDQFEDKMTVDDVYLSFLPLAHILDRMIEEYFFHRGASVGYYHGNLKELRDDIMELKPTFLAGVPRVYDMIHEGIQQALQELSPLRKWIFDALYKHKLSWMNKGYKQKFASPLADLLAFRKVKAKLGGRLRLLLSGGAPLSSEVEEFLRVTCCAFVVQGYGLTETCGACTIGFPDEMCFVGAVGSPAVYNDLRLEEVSDMGYDPLGNPPCGEICVKGKTIFSEYYKNPELTRESFEDGWFHTGDIGQMLPNGIFKVIDRKKNLIKLSQGEYVALEYLENVYGVTPIIDDVWVYGNSFKSMLVAVVVLHEENAKKWAELNGYTVSLSELCSLSKLQNYVLSELKSTADKNKMRGFEYIKGVILEARAFDMERDLVTATLKKKRNNLLKYYQAEIDALYHNLTTKK >KJB09485 pep chromosome:Graimondii2_0_v6:1:19709040:19715362:-1 gene:B456_001G145200 transcript:KJB09485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKVFSVKVEDGREGRDGKPSVGPVYRNLLAKQGYPPPDPEMSTTWTLFSSSVEKYPGNRMLGWRKFVDGKVGPYIWKTYKEVYDEVLHVGSALRASGVEPGCRVGIYGANCPQWIMAMEACGAHSLVCVPLYDTLGSGAVNFIIDHAEVDFVFVQDIKVKELLNPNCKSTQQLKAIVCFTSLAEEDNAKASQMGIKTYSWTEFLHMGKENPWEISPPQPFSICTIMYTSGTSGDPKGVVLTHETMATFVCGVDLFLDQFEDKMTVDDVYLSFLPLAHILDRMIEEYFFHRGASVGYYHGNLKELRDDIMELKPTFLAGVPRVYDMIHEGIQQALQELSPLRKWIFDALYKHKLSWMNKGYKQKFASPLADLLAFRKVKAKLGGRLRLLLSGGAPLSSEVEEFLRVTCCAFVVQGYGLTETCGACTIGFPDEMCFVGAVGSPAVYNDLRLEEVSDMGYDPLGNPPCGEICVKGKTIFSEYYKNPELTRESFEDGWFHTGDIGQMLPNGIFKVIDRKKNLIKLSQGEYVALEYLENVYGVTPIIDDVWVYGNSFKSMLVAVVVLHEENAKKWAELNGYTVSLSELCSLSKLQNYVLSELKSTADKNKMRGFEYIKGVILEARAFDMERDLVTATLKKKRNNLLKYYQAEIDALYHNLTTKK >KJB09484 pep chromosome:Graimondii2_0_v6:1:19709470:19714415:-1 gene:B456_001G145200 transcript:KJB09484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKVFSVKVEDGREGRDGKPSVGPVYRNLLAKQGYPPPDPEMSTTWTLFSSSVEKYPGNRMLGWRKFVDGKVGPYIWKTYKEVYDEVLHVGSALRASGVEPGCRVGIYGANCPQWIMAMEACGAHSLVCVPLYDTLGSGAVNFIIDHAEVDFVFVQDIKVKELLNPNCKSTQQLKAIVCFTSLAEEDNAKASQMGIKTYSWTEFLHMGKENPWEISPPQPFSICTIMYTSGTSGDPKGVVLTHETMATFVCGVDLFLDQFEDKMTVDDVYLSFLPLAHILDRMIEEYFFHRGASVGYYHGNLKELRDDIMELKPTFLAGVPRVYDMIHEGIQQALQELSPLRKWIFDALYKHKLSWMNKGYKQKFASPLADLLAFRKVKAKLGGRLRLLLSGGAPLSSEVEEFLRVTCCAFVVQGYGLTETCGACTIGFPDEMCFVGAVGSPAVYNDLRLEEVSDMGYDPLGNPPCGEICVKGKTIFSEYYKNPELTRESFEDGWFHTGDIGQMLPNGIFKVIDRKKNLIKLSQGEYVALEYLENVYGVTPIIDDVWVYGNSFKSMLVAVVVLHEENAKKWAELNGYTVSLSELCSLSKLQNYVLSELKSTADKNKMRGFEYIKGVILEARAFDMERDLVTATLKKKRNNLLKYYQAEIDALYHNLTTKK >KJB09491 pep chromosome:Graimondii2_0_v6:1:19710016:19715289:-1 gene:B456_001G145200 transcript:KJB09491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKVFSVKVEDGREGRDGKPSVGPVYRNLLAKQGYPPPDPEMSTTWTLFSSSVEKYPGNRMLGWRKFVDGKVGPYIWKTYKEVYDEVLHVGSALRASGVEPGCRVGIYGANCPQWIMAMEACGAHSLVCVPLYDTLGSGAVNFIIDHAEVDFVFVQDIKVKELLNPNCKSTQQLKAIVCFTSLAEEDNAKASQMGIKTYSWTEFLHMGKENPWEISPPQPFSICTIMYTSGTSGDPKGVVLTHETMATFVCGVDLFLDQFEDKMTVDDVYLSFLPLAHILDRMIEEYFFHRGASVGYYHGNLKELRDDIMELKPTFLAGVPRVYDMIHEGIQQALQELSPLRKWIFDALYKHKLSWMNKGYKQKFASPLADLLAFRKVKAKLGGRLRLLLSGGAPLSSEVEEFLRVTCCAFVVQGYGLTETCGACTIGFPDEMCFVGAVGSPAVYNDLRLEEVSDMGYDPLGNPPCGEICVKGKTIFSEYYKNPELTRESFEDGWFHTG >KJB09488 pep chromosome:Graimondii2_0_v6:1:19709587:19714415:-1 gene:B456_001G145200 transcript:KJB09488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKVFSVKVEDGREGRDGKPSVGPVYRNLLAKQGYPPPDPEMSTTWTLFSSSVEKYPGNRMLGWRKFVDGKVGPYIWKTYKEVYDEVLHVGSALRASGVEPGCRVGIYGANCPQWIMAMEACGAHSLVCVPLYDTLGSGAVNFIIDHAEVDFVFVQDIKVKELLNPNCKSTQQLKAIVCFTSLAEEDNAKASQMGIKTYSWTEFLHMGKENPWEISPPQPFSICTIMYTSGTSGDPKGVVLTHETMATFVCGVDLFLDQFEDKMTVDDVYLSFLPLAHILDRMIEEYFFHRGASVGYYHGNLKELRDDIMELKPTFLAGVPRVYDMIHEGIQQALQELSPLRKWIFDALYKHKLSWMNKGYKQKFASPLADLLAFRKVKAKLGGRLRLLLSGGAPLSSEVEEFLRVTCCAFVVQGYGLTETCGACTIGFPDEMCFVGAVGSPAVYNDLRLEEVSDMGYDPLGNPPCGEICVKGKTIFSEYYKNPELTRESFEDGWFHTGDIGQMLPNGIFKVIDRKKNLIKLSQGEYVALEYLENVYGVTPIIDDVWVYGNSFKSMLVAVVVLHEENAKKWAELNGYTVSLSELCSLSKLQNYVLSELKSTADKNKMRGFEYIKGVILEARAFDMERDLVTATLKKKRNNLLKYYQVMCA >KJB09482 pep chromosome:Graimondii2_0_v6:1:19709470:19714415:-1 gene:B456_001G145200 transcript:KJB09482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKVFSVKVEDGREGRDGKPSVGPVYRNLLAKQGYPPPDPEMSTTWTLFSSSVEKYPGNRMLGWRKFVDGKVGPYIWKTYKEVYDEVLHVGSALRASGVEPGCRVGIYGANCPQWIMAMEACGAHSLVCVPLYDTLGSGAVNFIIDHAEVDFVFVQDIKVKELLNPNCKSTQQLKAIVCFTSLAEEDNAKASQMGIKTYSWTEFLHMGKENPWEISPPQPFSICTIMYTSGTSGDPKGVVLTHETMATFVCGVDLFLDQFEDKMTVDDVYLSFLPLAHILDRMIEEYFFHRGASVGYYHGNLKELRDDIMELKPTFLAGVPRVYDMIHEGIQQALQELSPLRKWIFDALYKHKLSWMNKGYKQKFASPLADLLAFRKVKAKLGGRLRLLLSGGAPLSSEVEEFLRVTCCAFVVQGYGLTETCGACTIGFPDEMCFVGAVGSPAVYNDLRLEEVSDMGYDPLGNPPCGEICVKGKTIFSEYYKNPELTRESFEDGWFHTGDIGQMLPNGIFKVIDRKKNLIKLSQGEYVALEYLENVYGVTPIIDDVWVYGNSFKSMLVAVVVLHEENAKKWAELNGYTVSLSELCSLSKLQNYVLSELKSTADKNKMRGFEYIKGVILEARAFDMERDLVTATLKKKRNNLLKYYQAEIDALYHNLTTKK >KJB09489 pep chromosome:Graimondii2_0_v6:1:19709110:19715289:-1 gene:B456_001G145200 transcript:KJB09489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKVFSVKVEDGREGRDGKPSVGPVYRNLLAKQGYPPPDPEMSTTWTLFSSSVEKYPGNRMLGWRKFVDGKVGPYIWKTYKEVYDEVLHVGSALRASGVEPGCRVGIYGANCPQWIMAMEACGAHSLVCVPLYDTLGSGAVNFIIDHAEVDFVFVQDIKVKELLNPNCKSTQQLKAIVCFTSLAEEDNAKASQMGIKTYSWTEFLHMGKENPWEISPPQPFSICTIMYTSGTSGDPKGVVLTHETMATFVCGVDLFLDQFEDKMTVDDVYLSFLPLAHILDRMIEEYFFHRGASVGYYHGNLKELRDDIMELKPTFLAGVPRVYDMIHEGIQQALQELSPLRKWIFDALYKHKLSWMNKGYKQKFASPLADLLAFRKVKAKLGGRLRLLLSGGAPLSSEVEEFLRVTCCAFVVQGYGLTETCGACTIGFPDEMCFVGAVGSPAVYNDLRLEEVSDMGYDPLGNPPCGEICVKGKTIFSEYYKNPELTRESFEDGWFHTGDIGQMLPNGIFKVIDRKKNLIKLSQGEYVALEYLENVYGVTPIIDDVWVYGNSFKSMLVAVVVLHEENAKKWAELNGYTVSLSELCSLSKLQNYVLSELKSTADKNKMRGFEYIKGVILEARAFDMERDLVTATLKKKRNNLLKYYQAEIDALYHNLTTKK >KJB09487 pep chromosome:Graimondii2_0_v6:1:19709110:19715289:-1 gene:B456_001G145200 transcript:KJB09487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKVFSVKVEDGREGRDGKPSVGPVYRNLLAKQGYPPPDPEMSTTWTLFSSSVEKYPGNRMLGWRKFVDGKVGPYIWKTYKEVYDEVLHVGSALRASGVEPGCRVGIYGANCPQWIMAMEACGAHSLVCVPLYDTLGSGAVNFIIDHAEVDFVFVQDIKVKELLNPNCKSTQQLKAIVCFTSLAEEDNAKASQMGIKTYSWTEFLHMGKENPWEISPPQPFSICAPLSSEVEEFLRVTCCAFVVQGYGLTETCGACTIGFPDEMCFVGAVGSPAVYNDLRLEEVSDMGYDPLGNPPCGEICVKGKTIFSEYYKNPELTRESFEDGWFHTGDIGQMLPNGIFKVIDRKKNLIKLSQGEYVALEYLENVYGVTPIIDDVWVYGNSFKSMLVAVVVLHEENAKKWAELNGYTVSLSELCSLSKLQNYVLSELKSTADKNKMRGFEYIKGVILEARAFDMERDLVTATLKKKRNNLLKYYQAEIDALYHNLTTKK >KJB10468 pep chromosome:Graimondii2_0_v6:1:39143838:39152329:-1 gene:B456_001G202700 transcript:KJB10468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVERSSLCNCVVNFLLEENYLLTAFELLHELLDDGRDAQAIRLKEFFSDPSHFPPDQISRYSSLRVLDPQSLLEEKEAIEEKLALSDYELRLAQEDIVKLKTELQRKEDLTQDKSSEPSVSNHVNHAPEIHRQKRDAPFSDLGPLKANERKDLNCTVKEYLLIAGYRLTAMTFYEEVTDQNLDVWENSPASVPDALRHYYYQYLSSTSEAAEEKISMIRENDSLKEANESLNHEKLCLMKNKELAEGQINALTKSLEATQKDVKDKENLTQDLKQALENQRKELNDCRAEITSLKMHIEGSSSLQNPVAANLDSTQSEAIESYKEEIKSLQMEIERLKAEKTNISDLVDSSCGDKEAIQTEEKVVEMDDNRTQISHHIEPAEVVDSNTLVMPVQTFDNSTPKPEENLPESSMNPSNSTDGFPDGRNLSQQEEKPLSEDSGLHLNSENLGSEPVPENMGLATIQILADALPKIVPYVLINHREELLPLIMCAIERHPDSSTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQINHTYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPLMDKYFKVEELMFQLACDPSGVVVETALKELLPAIINWGNKLDHILRVLLSHILGSAQRCPPLSGVEGSVESHLRVLGEQERWNLDVSLRMLAKLLPFIHQKAIETCPFSSVSESNGTLLSNSILELYAGGHVEWPAFEWVHVDCFSGLLQLACLLPQKEDNLRNRITKFLLAVSECFGDSYLIRIMLPVFLIAIGDDADLTFFPPNIHSRIKGLRPRTAVAERLAILGVLPLLLAGVLGSPGKRQQLADYLRKLLLEGATKESRSTTHNMDIVNAVRFLCTFEEHHGMIFNILWEMVVSSNIEMKISAANILKVIVPYIDAKVASTHVLPALITLGSDQNLNVKYASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSLEATIAVVRSLVVAVPHTTERLGDYLLSKIFQLTNTPVSSTDVMRRRQRANAFCEAIRALDATGLLVLFTGDNISPILCSPLLSSLKIEG >KJB10463 pep chromosome:Graimondii2_0_v6:1:39142812:39152546:-1 gene:B456_001G202700 transcript:KJB10463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVERSSLCNCVVNFLLEENYLLTAFELLHELLDDGRDAQAIRLKEFFSDPSHFPPDQISRYSSLRVLDPQSLLEEKEAIEEKLALSDYELRLAQEDIVKLKTELQRKEDLTQDKSSEPSVSNHVNHAPEIHRQKRDAPFSDLGPLKANERKDLNCTVKEYLLIAGYRLTAMTFYEEVTDQNLDVWENSPASVPDALRHYYYQYLSSTSEAAEEKISMIRENDSLKEANESLNHEKLCLMKNKELAEGQINALTKSLEATQKDVKDKENLTQDLKQALENQRKELNDCRAEITSLKMHIEGSSSLQNPVAANLDSTQSEAIESYKEEIKSLQMEIERLKAEKTNISDLVDSSCGDKEAIQTEEKVVEMDDNRTQISHHIEPAEVVDSNTLVMPVQTFDNSTPKPEENLPESSMNPSNSTDGFPDGRNLSQQEEKPLSEDSGLHLNSENLGSEPVPENMGLATIQILADALPKIVPYVLINHREELLPLIMCAIERHPDSSTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQINHTYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPLMDKYFKVEELMFQLACDPSGVVVETALKELLPAIINWGNKLDHILRVLLSHILGSAQRCPPLSGVEGSVESHLRVLGEQERWNLDVSLRMLAKLLPFIHQKAIETCPFSSVSESNGTLLSNSILELYAGGHVEWPAFEWVHVDCFSGLLQLACLLPQKEDNLRNRITKFLLAVSECFGDSYLIRIMLPVFLIAIGDDADLTFFPPNIHSRIKGLRPRTAVAERLAILGVLPLLLAGVLGSPGKRQQLADYLRKLLLEGATKESRSTTHNMDIVNAVRFLCTFEEHHGMIFNILWEMVVSSNIEMKISAANILKVIVPYIDAKVASTHVLPALITLGSDQNLNVKYASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSLEATIAVVRSLVVAVPHTTERLGDYLLSKIFQLTNTPVSSTDVMRRRQRANAFCEAIRALDATDLSANSIRDFLLPTIQNLLKDPDALDPAHKEALEIILKERSGGTFEALSKVVGAHLGIASSVTSFFGESGLLGKKEITELPTEPVEALQSAASPAAAEDTRFMRIMRVTDMLRGKGKNPEETHQNQ >KJB10466 pep chromosome:Graimondii2_0_v6:1:39143215:39152218:-1 gene:B456_001G202700 transcript:KJB10466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVERSSLCNCVVNFLLEENYLLTAFELLHELLDDGRDAQAIRLKEFFSDPSHFPPDQISRYSSLRVLDPQSLLEEKEAIEEKLALSDYELRLAQEDIVKLKTELQRKEDLTQDKSSEPSVSNHVNHAPEIHRQKRDAPFSDLGPLKANERKDLNCTVKEYLLIAGYRLTAMTFYEEVTDQNLDVWENSPASVPDALRHYYYQYLSSTSEAAEEKISMIRENDSLKEANESLNHEKLCLMKNKELAEGQINALTKSLEATQKDVKDKENLTQDLKQALENQRKELNDCRAEITSLKMHIEGSSSLQNPVAANLDSTQSEAIESYKEEIKSLQMEIERLKAEKTNISDLVDSSCGDKEAIQTEEKVVEMDDNRTQISHHIEPAEVVDSNTLVMPVQTFDNSTPKPEENLPESSMNPSNSTDGFPDGRNLSQQEEKPLSEDSGLHLNSENLGSEPVPENMGLATIQILADALPKIVPYVLINHREELLPLIMCAIERHPDSSTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQINHTYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPLMDKYFKVEELMFQLACDPSGVVVETALKELLPAIINWGNKLDHILRVLLSHILGSAQRCPPLSGVEGSVESHLRVLGEQERWNLDVSLRMLAKLLPFIHQKAIETCPFSSVSESNGTLLSNSILELYAGGHVEWPAFEWVHVDCFSGLLQLACLLPQKEDNLRNRITKFLLAVSECFGDSYLIRIMLPVFLIAIGDDADLTFFPPNIHSRIKGLRPRTAVAERLAILGVLPLLLAGVLGSPGKRQQLADYLRKLLLEGATKESRSTTHNMDIVNAVRFLCTFEEHHGMIFNILWEMVVSSNIEMKISAANILKVIQVPYIDAKVASTHVLPALITLGSDQNLNVKYASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSLEATIAVVRSLVVAVPHTTERLGDYLLSKIFQLTNTPVSSTDVMRRRQRANAFCEAIRALDATDLSANSIRDFLLPTIQNLLKDPDALDPAHKEALEIILKERSGGTFEALSKVVGAHLGIASSVTSFFGESGLLGKKEITELPTEPVEALQSAASPAAAEDTRFMRIMRVTDMLRGKGKNPEETHQNQ >KJB10465 pep chromosome:Graimondii2_0_v6:1:39142871:39152329:-1 gene:B456_001G202700 transcript:KJB10465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVERSSLCNCVVNFLLEENYLLTAFELLHELLDDGRDAQAIRLKEFFSDPSHFPPDQISRYSSLRVLDPQSLLEEKEAIEEKLALSDYELRLAQEDIVKLKTELQRKEDLTQDKSSEPSVSNHVNHAPEIHRQKRDAPFSDLGPLKANERKDLNCTVKEYLLIAGYRLTAMTFYEEVTDQNLDVWENSPASVPDALRHYYYQYLSSTSEAAEEKISMIRENDSLKEANESLNHEKLCLMKNKELAEGQINALTKSLEATQKDVKDKENLTQDLKQALENQRKELNDCRAEITSLKMHIEGSSSLQNPVAANLDSTQSEAIESYKEEIKSLQMEIERLKAEKTNISDLVDSSCGDKEAIQTEEKVVEMDDNRTQISHHIEPAEVVDSNTLVMPVQTFDNSTPKPEENLPESSMNPSNSTDGFPDGRNLSQQEEKPLSEDSGLHLNSENLGSEPVPENMGLATIQILADALPKIVPYVLINHREELLPLIMCAIERHPDSSTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQINHTYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPLMDKYFKVEELMFQLACDPSGVVVETALKELLPAIINWGNKLDHILRVLLSHILGSAQRCPPLSGVEGSVESHLRVLGEQERWNLDVSLRMLAKLLPFIHQKAIETCPFSSVSESNGTLLSNSILELYAGGHVEWPAFEWVHVDCFSGLLQLACLLPQKEDNLRNRITKFLLAVSECFGDSYLIRIMLPVFLIAIGDDADLTFFPPNIHSRIKGLRPRTAVAERLAILGVLPLLLAGVLGSPGKRQQLADYLRKLLLEGATKESRSTTHNMDIVNAVRFLCTFEEHHGMIFNILWEMVVSSNIEMKISAANILKVIVPYIDAKVASTHVLPALITLGSDQNLNVKYASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSLEATIAVVRSLVVAVPHTTERLGDYLLSKIFQLTNTPVSSTDVMRRRQRANAFCEAIRALDATELIKRPRCT >KJB10464 pep chromosome:Graimondii2_0_v6:1:39143215:39152218:-1 gene:B456_001G202700 transcript:KJB10464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVERSSLCNCVVNFLLEENYLLTAFELLHELLDDGRDAQAIRLKEFFSDPSHFPPDQISRYSSLRVLDPQSLLEEKEAIEEKLALSDYELRLAQEDIVKLKTELQRKEDLTQDKSSEPSVSNHVNHAPEIHRQKRDAPFSDLGPLKANERKDLNCTVKEYLLIAGYRLTAMTFYEEVTDQNLDVWENSPASVPDALRHYYYQYLSSTSEAAEEKISMIRENDSLKEANESLNHEKLCLMKNKELAEGQINALTKSLEATQKDVKDKENLTQDLKQALENQRKELNDCRAEITSLKMHIEGSSSLQNPVAANLDSTQSEAIESYKEEIKSLQMEIERLKAEKTNISDLVDSSCGDKEAIQTEEKVVEMDDNRTQISHHIEPAEVVDSNTLVMPVQTFDNSTPKPEENLPESSMNPSNSTDGFPDGRNLSQQEEKPLSEDSGLHLNSENLGSEPVPENMGLATIQILADALPKIVPYVLINHREELLPLIMCAIERHPDSSTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQINHTYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPLMDKYFKVEELMFQLACDPSGVVVETALKELLPAIINWGNKLDHILRVLLSHILGSAQRCPPLSGVEGSVESHLRVLGEQERWNLDVSLRMLAKLLPFIHQKAIETCPFSSVSESNGTLLSNSILELYAGGHVEWPAFEWVHVDCFSGLLQLACLLPQKEDNLRNRITKFLLAVSECFGDSYLIRIMLPVFLIAIGDDADLTFFPPNIHSRIKGLRPRTAVAERLAILGVLPLLLAGVLGSPGKRQQLADYLRKLLLEGATKESRSTTHNMDIVNAVRFLCTFEEHHGMIFNILWEMVVSSNIEMKISAANILKVIVPYIDAKVASTHVLPALITLGSDQNLNVKYASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSLEATIAVVRSLVVAVPHTTERLGDYLLSKIFQLTNTPVSSTDVMRRRQRANAFCEAIRALDATADLSANSIRDFLLPTIQNLLKDPDALDPAHKEALEIILKERSGGTFEALSKVVGAHLGIASSVTSFFGESGLLGKKEITELPTEPVEALQSAASPAAAEDTRFMRIMRVTDMLRGKGKNPEETHQNQ >KJB10467 pep chromosome:Graimondii2_0_v6:1:39142871:39152329:-1 gene:B456_001G202700 transcript:KJB10467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVERSSLCNCVVNFLLEENYLLTAFELLHELLDDGRDAQAIRLKEFFSDPSHFPPDQISRYSSLRVLDPQSLLEEKEAIEEKLALSDYELRLAQEDIVKLKTELQRKEDLTQDKSSVKEYLLIAGYRLTAMTFYEEVTDQNLDVWENSPASVPDALRHYYYQYLSSTSEAAEEKISMIRENDSLKEANESLNHEKLCLMKNKELAEGQINALTKSLEATQKDVKDKENLTQDLKQALENQRKELNDCRAEITSLKMHIEGSSSLQNPVAANLDSTQSEAIESYKEEIKSLQMEIERLKAEKTNISDLVDSSCGDKEAIQTEEKVVEMDDNRTQISHHIEPAEVVDSNTLVMPVQTFDNSTPKPEENLPESSMNPSNSTDGFPDGRNLSQQEEKPLSEDSGLHLNSENLGSEPVPENMGLATIQILADALPKIVPYVLINHREELLPLIMCAIERHPDSSTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQINHTYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPLMDKYFKVEELMFQLACDPSGVVVETALKELLPAIINWGNKLDHILRVLLSHILGSAQRCPPLSGVEGSVESHLRVLGEQERWNLDVSLRMLAKLLPFIHQKAIETCPFSSVSESNGTLLSNSILELYAGGHVEWPAFEWVHVDCFSGLLQLACLLPQKEDNLRNRITKFLLAVSECFGDSYLIRIMLPVFLIAIGDDADLTFFPPNIHSRIKGLRPRTAVAERLAILGVLPLLLAGVLGSPGKRQQLADYLRKLLLEGATKESRSTTHNMDIVNAVRFLCTFEEHHGMIFNILWEMVVSSNIEMKISAANILKVIVPYIDAKVASTHVLPALITLGSDQNLNVKYASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSLEATIAVVRSLVVAVPHTTERLGDYLLSKIFQLTNTPVSSTDVMRRRQRANAFCEAIRALDATDLSANSIRDFLLPTIQNLLKDPDALDPAHKEALEIILKERSGGTFEALSKVVGAHLGIASSVTSFFGESGLLGKKEITELPTEPVEALQSAASPAAAEDTRFMRIMRVTDMLRGKGKNPEETHQNQ >KJB07143 pep chromosome:Graimondii2_0_v6:1:5146950:5151673:1 gene:B456_001G053800 transcript:KJB07143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRRFLRARELDVEKASSMFLKYLKWRRSFVPNGFISPSELTHEIQQNKMFLQGSDKKGRPTSVLLAARHFQHNGGLDEFKRFIVYIFDKILARMPPGQDKFIVIGDLEGWGYANCDIRAYLAALSLLQIVFVENKSLKSTLLEEIDESQLPEMYGGTLPLIPSQDS >KJB07144 pep chromosome:Graimondii2_0_v6:1:5146950:5151673:1 gene:B456_001G053800 transcript:KJB07144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSSNNMECSAKSKEEEEITKISLMRSLVETQDPSSKEVDDMTIRRFLRARELDVEKASSMFLKYLKWRRSFVPNGFISPSELTHEIQQNKMFLQGSDKKGRPTSVLLAARHFQHNGGLDEFKRFIVYIFDKILARMPPGQDKFIVIGDLEGWGYANCDIRAYLAALSLLQDYYPERLGKMFIVHAPYVFMAAWKIVHPFIDVKTRKKIVFVENKSLKSTLLEEIDESQLPEMYGGTLPLIPSQDS >KJB07145 pep chromosome:Graimondii2_0_v6:1:5146950:5151673:1 gene:B456_001G053800 transcript:KJB07145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSSNNMECSAKSKEEEEITKISLMRSLVETQDPSSKEVDDMTIRRFLRARELDVEKASSMFLKYLKWRRSFVPNGFISPSELTHEIQQNKMFLQGSDKKGRPTSVLLAARHFQHNGGLDEFKRFIVYIFDKILARMPPGQDKFIVIGDLEGWGYANCDIRAYLAALSLLQIVFVENKSLKSTLLEEIDESQLPEMYGGTLPLIPSQDS >KJB07141 pep chromosome:Graimondii2_0_v6:1:5146950:5151673:1 gene:B456_001G053800 transcript:KJB07141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRRFLRARELDVEKASSMFLKYLKWRRSFVPNGFISPSELTHEIQQNKMFLQGSDKKGRPTSVLLAARHFQHNGGLDEFKRFIVYIFDKILARMPPGQDKFIVIGDLEGWGYANCDIRAYLAALSLLQDYYPERLGKMFIVHAPYVFMAAWKIVHPFIDVKTRKKIVFVENKSLKSTLLEEIDESQLPEMYGGTLPLIPSQDS >KJB07142 pep chromosome:Graimondii2_0_v6:1:5146950:5151502:1 gene:B456_001G053800 transcript:KJB07142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRRFLRARELDVEKASSMFLKYLKWRRSFVPNGFISPSELTHEIQQNKMFLQGSDKKGRPTSVLLAARHFQHNGGLDEFKRFIVYIFDKILARMPPGQDKFIVIGDLEGWGYANCDIRAYLAALSLLQDYYPERLGKMFIVHAPYVFMAAWKIVHPFIDVKTRKKIVFVENKSLKSTLLEEIDESQLPEMYGGTLPLIPSQDS >KJB09807 pep chromosome:Graimondii2_0_v6:1:23818305:23819504:-1 gene:B456_001G168300 transcript:KJB09807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLGEEKQATFAYLPSISIGGNAIERVPNADGDEGYRLSVDAEPTSSVEQRNESKVTEAFANFDSKGRTLLLTCYIPAFLHGSARSSSPASPFPLSPFLPSKTGRMEYSQVVRHRFLVPACKGSNPFTPDYEHPIGSVKNELTTTGEAADCKREGKDRRQKAIPSNQPTRGVELNTTLTSARFFYQSPGPCLTPCSVNRSLVDLIGPRTRESPAREECVVPWRFMGRALTVPLPLI >KJB10615 pep chromosome:Graimondii2_0_v6:1:42159463:42162130:1 gene:B456_001G211400 transcript:KJB10615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKSPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATIPAKLCKRDSTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKATKPNLFM >KJB10614 pep chromosome:Graimondii2_0_v6:1:42159195:42162130:1 gene:B456_001G211400 transcript:KJB10614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFRFHQYQVVGRGLPTEADEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKSPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATIPAKLCKRDSTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKATKPNLFM >KJB10845 pep chromosome:Graimondii2_0_v6:1:46410198:46410656:-1 gene:B456_001G227900 transcript:KJB10845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVELRKVFQMFDKNGDGKITKKELSDSLEEFSLFISDKEINQIIDSIDVNGDGSVDIDEFGELYQMMVNERNEEEEMMEAFNVFDQNGDGFITFEELRSVLSSLGLKQGRTIEDCKNMITKVDADGDGRVNFKEFQQMMKGGGFAALSSS >KJB08377 pep chromosome:Graimondii2_0_v6:1:8261888:8266008:-1 gene:B456_001G079300 transcript:KJB08377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISLTKQQFVQILIIVGFLYIVLVSVEIPFVFLTGFSSLSQESLTRLPGLSREVNAQEKDSPIRPLNWVSKSSPPPVQFLHHRRQLKYQGGFISNLSFDAKTFDPTTKDRSLELHKSAKVAWELGRKLWEELESRNFVIDSTENVNNGSERCPHLVSLSASEFLDHGNVMELPCGLTLGSYVTVVGKPRGTHPRTKSKVAFSQFIMELQGLRTVDGEEPPRILHFNPRLKGDWNRKPVIELNTCFRMQWGSALLCEGWKSEAYDETVDGEVKCEKWIRDDNNFSDESKLTWWLQRLVGQKKSLTVNWPFPFAENKLFVLTLSAGMEGYHVNVDGRHITSFPYRTGYTLEDATGLTLNGDIDVHAVFASSLPTSHPSDATQKNLEMSNQWKAPPLPGQPVDLFIGILSAGNHFAERMAIRKSWMQHNLVKSSIVHVRFFVAVHPRKEVNVELKNEAEFFEDIVIVPYMDNYDLVVLKTVAICEYGARTVSAKFIMKCDDDTFVRVDAVMNEAKKGNGDRSFYIGNINYYHKPLRFGKWAVTYEEWPEEKYPPNANGPGYILSSDIALFIVFEFERHNLRLFKMEDVSMGMWVERFNRLKPVDYVHTIKFCQFGCIEDYYTAHYQSPRQMMCLWKKFQRQAKPQCCNMR >KJB08378 pep chromosome:Graimondii2_0_v6:1:8261911:8265763:-1 gene:B456_001G079300 transcript:KJB08378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISLTKQQFVQILIIVGFLYIVLVSVEIPFVFLTGFSSLSQESLTRLPGLSREVNAQEKDSPIRPLNWVSKSSPPPVQFLHHRRQLKYQGGFISNLSFDAKTFDPTTKDRSLELHKSAKVAWELGRKLWEELESRNFVIDSTENVNNGSERCPHLVSLSASEFLDHGNVMELPCGLTLGSYVTVVGKPRGTHPRTKSKVAFSQFIMELQGLRTVDGEEPPRILHFNPRLKGDWNRKPVIELNTCFRMQWGSALLCEGWKSEAYDETVDGEVKCEKWIRDDNNFSDESKLTWWLQRLVGQKKSLTVNWPFPFAENKLFVLTLSAGMEGYHVNVDGRHITSFPYRTGYTLEDATGLTLNGDIDVHAVFASSLPTSHPSDATQKNLEMSNQWKAPPLPGQPVDLFIGILSAGNHFAERMAIRKSWMQHNLVKSSIVHVRFFVAVHPRKEVNVELKNEAEFFEDIVIVPYMDNYDLVVLKTVAICEYGARTVSAKFIMKCDDDTFVRVDAVMNEAKKGNGDRSFYIGNINYYHKPLRFGKWAVTYEEWPEEKYPPNANGPGYILSSDIALFIVFEFERHNLRVIVQDGRCEHGNVGGEIQQIKTCGLCTHH >KJB11563 pep chromosome:Graimondii2_0_v6:1:54296742:54298895:1 gene:B456_001G265900 transcript:KJB11563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIINQNTPKSHSKPNKKIRHGDEHPCSAQANEDDHQEPKTGNETFSNSSPRKRKKTPRRKKSQKSPFSSNDPSIRMAMYVAMAHAGLALTLTLLFGLTKLLQNYWRPLQWAILCSMPLRELRMLIVSFWAHPLSLGLFETLIAIPIAILRATTASLLDSHAALLRLMSYRSSSSCSTPRASGRRHQKSRVIAFSKLMQWLVTFGLFVLVYERIGFFSIPVITVPCFLAYISGFGVMIKPGLASTLSRISSARKKRKATENNKSFSFFGKLGQYITSMLFNRLNTMVGIGLILFMILGSMLGFLFFSYKIAIEGKEAVISLKKHLEENNYSEIIGINKWIDDNKIPELIDSYMPKFYETLSQNIDSLAVYYNVTEIVDGFKQYFEQPSIVATMNNESIFYKKPLYETIHGLQSKVKKGEWKSIYGDFYGIYRKLKSSIANEDLLEKIKAFLLQSLDSTKRVFFSCLTVLGTGANLLFFLGILIVSGAAGLVNFIFELTVFLWLLYYLITSDSGGVMDHVLGMLPVSKSTRNRCAQVLDHAVSSVLLATAKLTLFQGCFTYLLCRFYRIHFLYMCTFLALFNALLPITPAWVSSIPAVVQLAMESRYIEAVLLTSIHIILLDYGTIAIQDEIPGHNAYLTGLSIFGGIAFFPSILEGAIMGPLVMTVIIALKNLYVEFVLAFDADNTTQH >KJB06223 pep chromosome:Graimondii2_0_v6:1:32246733:32247409:-1 gene:B456_001G192100 transcript:KJB06223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNFADRDSHSRSKKHRSNSLGSSSQNSESSDTQELDLELRLSM >KJB09994 pep chromosome:Graimondii2_0_v6:1:27359221:27361084:1 gene:B456_001G179100 transcript:KJB09994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDEEESFEHTLLVVREVTVYKIPPRSTSGGYKCGEWLQSDKIWSGRLRVVSCKDRCEIRLEDPNSAELFAACFIYPGQRESSVEPALDSSRYFVLKIEDGNGKHAFIGLGFNERNEAFDFNVALSDHDKYVSRENEKEASEKNATDSLIDIHPAVNHRLKEGETIRINIQPKPSSGTGMLSAAALSGAVLKPNTLCLAPPPAGSGKIRSPLPPPPNDPAAARMTSTSQGVGQRAPTENTRQTDPLTNLSQLEVRL >KJB09993 pep chromosome:Graimondii2_0_v6:1:27359173:27361853:1 gene:B456_001G179100 transcript:KJB09993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDEEESFEHTLLVVREVTVYKIPPRSTSGGYKCGEWLQSDKIWSGRLRVVSCKDRCEIRLEDPNSAELFAACFIYPGQRESSVEPALDSSRYFVLKIEDGNGKHAFIGLGFNERNEAFDFNVALSDHDKYVSRENEKEASEKNATDSLIDIHPAVNHRLKEGETIRINIQPKPSSGTGMLSAAALSGAVLKPNTLCLAPPPAGSGKIRSPLPPPPNDPAAARMTSTSQGVGQRAPTENTRQTDPLTNLSQLERNLPASGSGSRKTTASGWAAF >KJB09500 pep chromosome:Graimondii2_0_v6:1:19845206:19846558:1 gene:B456_001G145800 transcript:KJB09500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELVQAGVKPTVLAKPVTLPKRAYVTFLAGDGDYVKGVVGLAKGLRQVKSAYPLVVAVLPDVPEEHRRILENQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWKFVEYSKMIYLDGDIQVYENIDHLFDLPDGQFYAVMDCFCEKTWSHTPQFKIGYCQQCPDKVKWPAEMGQPPALYFNAGMFVFEPSLTTYENLLATLKSTPPTPFAEQDFLNMYFKDIYKPIPLVYNLVLAMLWRHPDNVELDKVKVVHYCAAVRSLTNNVYR >KJB09499 pep chromosome:Graimondii2_0_v6:1:19845147:19846884:1 gene:B456_001G145800 transcript:KJB09499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELVQAGVKPTVLAKPVTLPKRAYVTFLAGDGDYVKGVVGLAKGLRQVKSAYPLVVAVLPDVPEEHRRILENQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWKFVEYSKMIYLDGDIQVYENIDHLFDLPDGQFYAVMDCFCEKTWSHTPQFKIGYCQQCPDKVKWPAEMGQPPALYFNAGMFVFEPSLTTYENLLATLKSTPPTPFAEQDFLNMYFKDIYKPIPLVYNLVLAMLWRHPDNVELDKVKVVHYCAAGSKPWRYTGKEENMQREDVKMLVQKWWDIYNDESLDYEKPTIGEGQAAESVNMQPFLVALSEAGAVQYVTAPSAA >KJB10390 pep chromosome:Graimondii2_0_v6:1:35527094:35527906:1 gene:B456_001G198600 transcript:KJB10390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDDADETGIDDPKGQGSSSIKRGSTTDVAIQIGPGSGSGSNARKKREMEIPRGEPTCYVCSKNFTSWKAVFGHLKSHQRETPGALPPPTFTPTEGSPENNNDDETNPREQLAPTLLNLALETMQKMSEDSNMSVVVAGEEASSSGKGRGRGKGRGRGRGRRCLDIDLNQPKTSFLLDLNEPPPPEQDDDDEDDDDDDDDGAAADDDEDDKN >KJB10562 pep chromosome:Graimondii2_0_v6:1:40891946:40893330:1 gene:B456_001G207600 transcript:KJB10562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSSPKPEVTLSLALSPGSTLQEVVKPQERGVRLIQLLLTCAKHASSSNLHRADECLRQISLLASVSGDSMQRLSAWFASALAVRLVKRWPGLHKVLNYTQLPKQDQLGQAQPLFGRVCPYLGFSYAIISRTLIKAMTGERVIHLVDLGSGDANLWIPLLRSFSCLLDGQPHLKVTCMNANKAILEELGPRLVKEAEALGLPFQFAPLNVSLRELTLDKLGVKSGEALAFISILNLHSLLAEDDSVDAHFSHNKTNGIKDSKQMFRFLSTIRSSSPKLFFLVEKEADHNLNKLVDRFVEGLHYYSAVFDSVDATFGGNTSSRERLVLEEMFGKEIENIVACEGVEREERHERYGRWMVRFGQAGFKPVMMWHDSTEDAKQMVEACGRNGYKIVNERASLMICWHDRPLYAVSAWTC >KJB09741 pep chromosome:Graimondii2_0_v6:1:23264729:23267015:-1 gene:B456_001G161700 transcript:KJB09741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLEWLFLTIAACDAAEPWQLGFQDAATPMMQGIIDLHHDIFFFLILILVFVLRILVRALWHFHYQKNPIPQRIVHGTTIEILRTIFPSIIPMFIAIPSFALLYSMDEVVVDPAITIKAIGHQWYRTYEYSDYNSSDEQSLTFDSYTIPEDDLELGQSRLLEVDNRVVVPAKTHLRIIVTSADVPHSWAVPSSGVKCDAVPGRLNQISISVQREGVYYGQCSEICGTNHAFTRAPGNIGRLLSPLWLSRTTRGATHPRSKLLQRAAGAVGSQGSKQVLGMDETPAQGPQFSLGGRTKDLWKSGLPCPHGQRNSVLREEFRGGQSKIVLFLYKDNRRSQRLGVTLFLSYSSFFILSCRAIAKPREAKGRIEELSVKRSGKEHRMGSVPRKDYGSRVSNQLIPQTGEA >KJB10920 pep chromosome:Graimondii2_0_v6:1:46965774:46967525:-1 gene:B456_001G232600 transcript:KJB10920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLKWRKHLKPLLLACEDKKPLAKIHALMIQTGHKNSVGNLIASYARVGDILSARKVFDKLSNRRVNSWNAMILAYSRKSFPKEVLDLYRQMIMEGIKPDSTTFTMAIKACVSLMDMEMGDEIWRKAVDFGYQKDVFVASSILNLYVKCGKMDEAMVVFNGMPRKDLVCWTTMVTGLAQSGRASEAIDSYRKMRMEGMEGDSVMMLGLIQASVNLGDSKLGRTIHGYMIRKGLSMDVVVQTSLVDMYAKNGYLEYALHVFKMPTKNDISWGALISGLAQNGFARNALELLVQMQGCGFKPDSMSLVGALLACSQCGLLKLGKSTHGCITRRFDLEPVSGTAMIDMYAKCGSLSYARALFDRIASKDIISWNVMIASYGVHGHGKEALSLFLKMTKMSLKPDHATFAALLSALSHSGMVNEGRYWFNFMVNEYGIQPIEKHYTCMVDLLARAGLVEEAYKLIGSMNNKPGVAVWVALLSGCRNHGKLSIGEMAAKKVLELNPDDLGIHALVSNFFAMGNMWDEVAVVKKLMKDSGKKKVPGYSVLDVDGKLHAFLMGDKSHHEYKAIATVLDNLDREMSFIVK >KJB07580 pep chromosome:Graimondii2_0_v6:1:2877437:2878822:-1 gene:B456_001G030900 transcript:KJB07580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQNPQTSENLDSNPTSPSPANLVHKVKSNLVFQSKWAELNGAMGDLGTYIPIVLALTLAKDLNLGTTLIFTGVYNMVTGVIYGVPMPVQPMKSIAAVAISDGPDFNIPEIMAAGICTGAILLVLGATGLMQLVYKLIPLSVVRGIQLSQGLAFAMTAVKYIRKVQDFSKSKSKENRHWVGLDGLILAIVCACFIIVINGAGEERKERETGNVDDEERNMRSKRIKKTMANIPSAFIVFLSGVVLAFIRKPAVVKDIKFGPSSMEVVQFTSHAWKQGFIKGTIPQLPLSILNSVIAVCKLSSDLFPGKEFSATAVSITVGLMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGGSVAILGAAKMALGLVLGTSLARILDWFPVGILGVLLLFAGIELAMTCRDMNSKGECFVMLICTTVSLVGSSAALGFVCGMVVHVLLKLRNYSSRDQSGCTVFINGTP >KJB08144 pep chromosome:Graimondii2_0_v6:1:6847081:6852096:1 gene:B456_001G068200 transcript:KJB08144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKIDLSLSRPNRIYRPSEPLEGKILVKSASSISHYGIRMAINGSVNLQVRGGSAGVIESFYGVVKPITILSRTLEIRPSGKIVSGTTEIPFSITLRNPKEDNSERFYETFHGTNISIQYLATVDIMRGYLHKSLSATVEFIVESDKADLLNPPISPEMVIFYITQDTQRHPILPELKSGGFRITGRISTQCSMLEPLSGELTVEASVLPICSIDIHLLRVESVLLGEKIITETSLIQTTQIADGDVCRNMTLPIYVVLPRLLTCPTVLAGPFSIEFKVAIVIFFQSELSRLHPKSDPLTPRLWMAMETLPLELVRARER >KJB08147 pep chromosome:Graimondii2_0_v6:1:6847031:6852940:1 gene:B456_001G068200 transcript:KJB08147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKIDLSLSRPNRIYRPSEPLEGKILVKSASSISHYGIRMAINGSVNLQVRGGSAGVIESFYGVVKPITILSRTLEIRPSGKIVSGTTEIPFSITLRNPKEDNSERFYETFHGTNISIQYLATVDIMRGYLHKSLSATVEFIVESDKADLLNPPISPEMVIFYITQDTQRHPILPELKSGGFRITGRISTQCSMLEPLSGELTVEASVLPICSIDIHLLRVESVLLGEKIITETSLIQTTQIADGDVCRNMTLPIYVVLPRLLTCPTVLAGPFSIEFKVAIVIFFQSELSRLHPKSDPLTPRLWMAMETLPLELVRARPYASWTVES >KJB08146 pep chromosome:Graimondii2_0_v6:1:6847031:6852275:1 gene:B456_001G068200 transcript:KJB08146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKIDLSLSRPNRIYRPSEPLEGKILVKSASSISHYGIRMAINGSVNLQVRGGSAGVIESFYGVVKPITILSRTLEIRPSGKIVSGTTEIPFSITLRNPKEDNSERFYETFHGTNISIQYLATVDIMRGYLHKSLSATVEFIVESDKADLLNPPISPEMVIFYITQDTQRHPILPELKSGGFRITGRISTQCSMLEPLSGELTVEASVLPICSIDIHLLRVESVLLGEKIITETSLIQTTQIADGDVCRNMTLPIYVVLPRLLTCPTVLAGPFSIEFKVAIVIFFQSELSRLHPKSDPLTPRLWMAMETLPLELVRARER >KJB08145 pep chromosome:Graimondii2_0_v6:1:6847031:6854594:1 gene:B456_001G068200 transcript:KJB08145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKIDLSLSRPNRIYRPSEPLEGKILVKSASSISHYGIRMAINGSVNLQVRGGSAGVIESFYGVVKPITILSRTLEIRPSGKIVSGTTEIPFSITLRNPKEDNSERFYETFHGTNISIQYLATVDIMRGYLHKSLSATVEFIVESDKADLLNPPISPEMVIFYITQDTQRHPILPELKSGGFRITGRISTQCSMLEPLSGELTVEASVLPICSIDIHLLRVESVLLGEKIITETSLIQTTQIADGDVCRNMTLPIYVVLPRLLTCPTVLAGPFSIEFKVAIVIFFQSELSRLHPKSDPLTPRLWMAMETLPLELVRARPYASWTVES >KJB08143 pep chromosome:Graimondii2_0_v6:1:6847031:6851852:1 gene:B456_001G068200 transcript:KJB08143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKIDLSLSRPNRIYRPSEPLEGKILVKSASSISHYGIRMAINGSVNLQVRGGSAGVIESFYGVVKPITILSRTLEIRPSGKIVSGTTEIPFSITLRNPKEDNSERFYETFHGTNISIQYLATVDIMRGYLHKSLSATVEFIVESDKADLLNPPISPEMVIFYITQDTQRHPILPELKSGGFRITGRISTQCSMLEPLSGELTVEASVLPICSIDIHLLRVESVLLGEKIITETSLIQTTQIADGDVCRNMTLPIYVVLPRLLTCPTVLAGPFSIEFKVAIVIFFQSELSRLHPKSDPLTPRLWMAMETLPLELVRARFGV >KJB06901 pep chromosome:Graimondii2_0_v6:1:7391897:7397008:1 gene:B456_001G072700 transcript:KJB06901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASSLCSSTQINGFGGGLGVLRTHVSQPKTLSFTRRRISTVVKATSRVDKFSKSDIIVSPSILSANFAKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTLNQIKGLGAKAGVVLNPATPLTTIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISELRRMCAEKGVNPWIEVDGGVGPKNAYKVIEAGANALVAGSAVFGAKDYAEAIRGIKTSKRPEAVAV >KJB06904 pep chromosome:Graimondii2_0_v6:1:7391978:7397008:1 gene:B456_001G072700 transcript:KJB06904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASSLCSSTQINGFGGGLGVLRTHVSQPKTLSFTRRRISTVVKATSRVDKFSKSDIIVSPSILSANFAKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTLNQIKGLGAKAGVVLNPATPLTTIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISELRRMCAEKGVNPWIEVDGGVGPKNAYKVIEAGANALVAGSAVFGAKDYAEAIRGIKTSKRPEAVAV >KJB06903 pep chromosome:Graimondii2_0_v6:1:7391978:7397008:1 gene:B456_001G072700 transcript:KJB06903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASSLCSSTQINGFGGGLGVLRTHVSQPKTLSFTRRRISTVVKATSRVDKFSKSDIIVSPSILSANFAKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTLNQIKGLGAKAGVVLNPATPLTTIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISELRRMCAEKVIEAGANALVAGSAVFGAKDYAEAIRGIKTSKRPEAVAV >KJB06905 pep chromosome:Graimondii2_0_v6:1:7392319:7397008:1 gene:B456_001G072700 transcript:KJB06905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIKPSLEISVESEGHLKNIRRRISTVVKATSRVDKFSKSDIIVSPSILSANFAKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTLNQIKGLGAKAGVVLNPATPLTTIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISELRRMCAEKGVNPWIEVDGGVGPKNAYKVIEAGANALVAGSAVFGAKDYAEAIRGIKTSKRPEAVAV >KJB06902 pep chromosome:Graimondii2_0_v6:1:7391978:7395972:1 gene:B456_001G072700 transcript:KJB06902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASSLCSSTQINGFGGGLGVLRTHVSQPKTLSFTRRRISTVVKATSRVDKFSKSDIIVSPSILSANFAKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTLNQIKGLGAKAGVVLNPATPLTTIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISELRRMCAEKGVNPWIEVDGGVGPKNAYKVPH >KJB08948 pep chromosome:Graimondii2_0_v6:1:13576177:13579065:-1 gene:B456_001G115300 transcript:KJB08948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELRGRRVAFGYGNLPCNNNYKSSLCKSSPPLSAIDRFLWGQTQSLSPHSQAAHERPYSIQNNVKINKGTVLGSTADALLRGFSFPNDAIGGYLPTQTNPEESFLDGLFVDGEILALTDDKNPNMEMKASIKGDFPKGVVKRNKKVASAALIKGQWTDDEDRKLIRLVKQYGVRKWAQIAESLVGRAGKQCRERWHNHLRPDIKKDSWSEEEERILIEAHAKVGNRWAEIAKFIPGRTENAIKNHWNATKRRQNSRKKNKQNDNQNGKPQSSILQDYIRSQNLNTPTNSSTTSATPSSSTFSENLSTQFKYFLPEPSESDDSHPLVAQTYDDELMFMQNFFANNNNTIHPSLDYSQTRNPTEVFKSVHVVDHNLSKGSSTIDCTTFADTRFGFSSSIQEPNNEPRTTYLFSDLYLSRLLNGATTSSFPNGDDYYNGDVNTNLLSEQASSDGRKEMDLIEMVSSCQFYP >KJB09866 pep chromosome:Graimondii2_0_v6:1:24606898:24611202:1 gene:B456_001G171400 transcript:KJB09866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 9 [Source:Projected from Arabidopsis thaliana (AT4G00752) UniProtKB/Swiss-Prot;Acc:Q4V3D3] MTTPTRDAIESFKSITGESESVALRKLEEYGGNLNAAVSAHFLELERSITNPVSSASSQNNFVDTNNQSGLGTGGIVPLISAVRRFRPSLLLDPNYRRNLLNQIGTPNFNHYTTSPHMGEVTGVPVGFNSRNEHPLNSGVRPVITDSPGTPSYYGEGTYNNVSRDDHQHTNDIESEMMQAAIEASKRDFGQTYMNERRGSFYGSSSVGLQQQQEDEELARAISLSLKTADEEKAMRMPKDHYEQMGTYDSNDKTTETTNNSSKIGNSSLRQLPVTHESVHDTQNHLLSKDSLNSNEWVDISQKELDEAIMLETQLFSQISEGSSYHPSHEQGGPGSSINPGLEAVSCPQPSSLMDQRLLRQQQDEEYLISLLADKEKEMIALKKAESHSLKEESLRKKHEGEEVNKVMSAKSTSLPPEPAIDDENAITILVRIPDGTRHGRRFRKSDKLQLLFDFIGVGEVVKPGTYRVVRPYPRRAFGAADCSLSFNQLGLTGKQEALFLEFI >KJB09865 pep chromosome:Graimondii2_0_v6:1:24606898:24611202:1 gene:B456_001G171400 transcript:KJB09865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 9 [Source:Projected from Arabidopsis thaliana (AT4G00752) UniProtKB/Swiss-Prot;Acc:Q4V3D3] MTTPTRDAIESFKSITGESESVALRKLEEYGGNLNAAVSAHFLELERSITNPVSSASSQNNFVDTNNQSGLGTGGIVPLISAVRRFRPSLLLDPNYRRNLLNQIGTPNFNHYTTSPHMGEVTGVPVGFNSRNEHPLNSGVRPVITDSPGTPSYYGEGTYNNVSRDDHQHTNDIESEMMQAAIEASKRDFGQTYMNERRGSFYGSSSVGLQQQQEDEELARAISLSLKTADEEKAMRMPKDHYEQMGTYDSNDKTTETTNNSSKWVDISQKELDEAIMLETQLFSQISEGSSYHPSHEQGGPGSSINPGLEAVSCPQPSSLMDQRLLRQQQDEEYLISLLADKEKEMIALKKAESHSLKEESLRKKHEGEEVNKVMSAKSTSLPPEPAIDDENAITILVRIPDGTRHGRRFRKSDKLQLLFDFIGVGEVVKPGTYRVVRPYPRRAFGAADCSLSFNQLGLTGKQEALFLEFI >KJB06478 pep chromosome:Graimondii2_0_v6:1:2208004:2214729:1 gene:B456_001G023300 transcript:KJB06478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGGSETAVAPVGGSQPLDWKFSQVFGERTAGEEIQEVDIISAIEFNRNGDHLATGDRGGRVVLFERTDTQDHVGHRRDIEKMDYPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSSNGALFLLSTNDKTIKLWKVQEKKVKKVCNMNVDSTKAMGNGPIVGSSISTSSKQHIANGGCTSNDFSFPTGGFPSLHLPVVTSHETNLMARCRRIYTHAHDYHINSISNNSDGETFISADDLRINLWNLEISSQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDMRQSALCDTHSKLFEGQEAPGSRSFFTEIIASISDIKFAKDGRHILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGNGLRVATGSYSNLFRVFGCSEGSTEATTLEASKNPMRRQGQATSRPSRSLGNLSGVVRRVKGADNSGVDANGNAFDFTSKLLHLAWHPTENSIACAASNSLYMYYA >KJB06479 pep chromosome:Graimondii2_0_v6:1:2207932:2214018:1 gene:B456_001G023300 transcript:KJB06479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGGSETAVAPVGGSQPLDWKFSQVFGERTAGEEIQEVDIISAIEFNRNGDHLATGDRGGRVVLFERTDTQDHVGHRRDIEKMDYPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSSNGALFLLSTNDKTIKLWKVQEKKVKKVCNMNVDSTKAMGNGPIVGSSISTSSKQHIANGGCTSNDFSFPTGGFPSLHLPVVTSHETNLMARCRRIYTHAHDYHINSISNNSDGETFISADDLRINLWNLEISSQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDMRQSALCDTHSKLFEGQEAPGSRSFFTEIIASISDIKFAKDGRHILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGNGLRVATGSYSNLFRVFGCSEGSTEATTLEASKNPMRRQGQATSRPSRSLGNLSGVVRRVKGADNSGVDANGNAFDFTSKLLHLAWHPTENSIACAASNSLYMYYA >KJB09731 pep chromosome:Graimondii2_0_v6:1:23220395:23220985:1 gene:B456_001G160700 transcript:KJB09731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISILGIRGILLNRRNIPIMSMPIESMLLAVNSNFLVFSVSLDDMMGQSFASLVPTVAAAESAIGLAIFVITFRVRGTIAGLSPWASDSTDVIDMMAKVFPKVDPGKVAELQRVRADLAEKGNLS >KJB08740 pep chromosome:Graimondii2_0_v6:1:11295615:11298876:-1 gene:B456_001G100800 transcript:KJB08740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAQLVIGPAGSGKSTYCSSLYQHCETLGRSIHIVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGGLIYCMEHLEDNLDDWLNEELDNYLDDDYLVFDCPGQIELFSHVPVLRNFVEHLKRKNFNVCAVYLLDSQFITDVTKYVSGCMASLSAMVQLEVPHINILSKMDLVTNKRDVENYLDPEPHHLLSELNERMAPRFKKLNKSLIELVDEYSMVSFIPLDLRKESSIQYVLAQIDNCIQYGEDADVKIRDFDPDGDGDNE >KJB08741 pep chromosome:Graimondii2_0_v6:1:11295615:11298876:-1 gene:B456_001G100800 transcript:KJB08741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRELISLDDVMEELGLGPNGGLIYCMEHLEDNLDDWLNEELDNYLDDDYLVFDCPGQIELFSHVPVLRNFVEHLKRKNFNVCAVYLLDSQFITDVTKYVSGCMASLSAMVQLEVPHINILSKMDLVTNKRDVENYLDPEPHHLLSELNERMAPRFKKLNKSLIELVDEYSMVSFIPLDLRKESSIQYVLAQIDNCIQYGEDADVKIRDFDPDGDGDNE >KJB08739 pep chromosome:Graimondii2_0_v6:1:11295608:11298924:-1 gene:B456_001G100800 transcript:KJB08739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAQLVIGPAGSGKSTYCSSLYQHCETLGRSIHIVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGGLIYCMEHLEDNLDDWLNEELDNYLDDDYLVFDCPGQIELFSHVPVLRNFVEHLKRKNFNVCAVYLLDSQFITDVTKYVSGCMASLSAMVQLEVPHINILSKMDLVTNKRDVENYLDPEPHHLLSELNERMAPRFKKLNKSLIELVDEYSMVSFIPLDLRKESSIQYVLAQIDNCIQYGEDADVKIRDFDPDGDGDNE >KJB08742 pep chromosome:Graimondii2_0_v6:1:11296704:11298155:-1 gene:B456_001G100800 transcript:KJB08742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAQLVIGPAGSGKSTYCSSLYQHCETLGRSIHIVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGGLIYCMEHLEDNLDDWLNEELDNYLDDDYLVFDCPGQIELFSHVPVLRNFVEHLKRKNFNVCAVYLLDSQFITDVTKYVSGCMASLSAMVQLEVPHINILSKMDLVTNKRDVEKLLLGSRASSFTVRVE >KJB06439 pep chromosome:Graimondii2_0_v6:1:19615321:19618267:-1 gene:B456_001G144500 transcript:KJB06439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACFSTSPSSLRFSSLLFSPNSSTNVDSNKLSLPFHPLRPRKLKKFLSNQKNAVNYQPKAVYTGEFWAPPTTSRQGIWSIRDDLQVPASPYLPAYAQGQGPPPMVQERFQSVVSQLFQHRIVRCGGAVDDDMANIIVAQLLYLDAVDPQKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSGGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYHTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLAATADSDE >KJB06440 pep chromosome:Graimondii2_0_v6:1:19615347:19618222:-1 gene:B456_001G144500 transcript:KJB06440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACFSTSPSSLRFSSLLFSPNSSTNVDSNKLSLPFHPLRPRKLKKFLSNQKNAVNYQPKAVYTGEFWAPPTTSRQGIWSIRDDLQVPASPYLPAYAQGQGPPPMVQERFQSVVSQLFQHRIVRCGGAVDDDMANIIVAQLLYLDAVDPQKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSGGTKGIQCF >KJB06441 pep chromosome:Graimondii2_0_v6:1:19616444:19618222:-1 gene:B456_001G144500 transcript:KJB06441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACFSTSPSSLRFSSLLFSPNSSTNVDSNKLSLPFHPLRPRKLKKFLSNQKNAVNYQPKAVYTGEFWAPPTTSRQGIWSIRDDLQVPASPYLPAYAQGQGPPPMVQERFQSVVSQLFQHRIVRCGGAVDDDMANIIVAQLLYLDAVDPQKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAARYKFFDVTVSAYSAVIIFSNLKYGTSITIVLQAMPAFFFNLRVETQVMIFDSF >KJB10715 pep chromosome:Graimondii2_0_v6:1:43955702:43958247:1 gene:B456_001G218100 transcript:KJB10715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MNLEDSLKKKKKKLCTKLLMDHLYLMKCQVKLKETVEAALQFQPHVVVTVDSKGFSFRLLKQLRARYNQQRSDRPVLFHYVAPSFWAWKGGEARLKGLSKFVDYVFCILPNEEAGCKLNGLNATFVGHPILEDVLELNMGKETALHEWKITGNGEDFRKKYAIASGATVITLLPGSRLQEVTRMLPIFSNTMKLLKDSFPELVTVIHVAPNQLVDNYIAKAVHKWPVPAILIPGGTPQLKYDAFNASLVALCTSGTVAMELQLARLPCLVTYRAHFLTEWFVRHKAKIPYISLPNILLDSPVIPEALFQACTPAKVAFLLKELMNNTVLQQQQIAAAEKVMELLCPPNRTLNNTEQQGMKPSPPSYSPSMIAASTILSYKQR >KJB10717 pep chromosome:Graimondii2_0_v6:1:43954755:43958979:1 gene:B456_001G218100 transcript:KJB10717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MNLEDSLKKKKKKLCTKLLMDHLYLMKCQVKLKETVEAALQFQPHVVVTVDSKGFSFRLLKQLRARYNQQRSDRPVLFHYVAPSFWAWKGGEARLKGLSKFVDYVFCILPNEEAGCKLNGLNATFVGHPILEDVLELNMGKETALHEWKITGNGEDFRKKYAIASGATVITLLPGSRLQEVTRMLPIFSNTMKLLKDSFPELVTVIHVAPNQLVDNYIAKAVHKWPVPAILIPGGTPQLKYDAFNASLVALCTSGTVAMELQLARLPCLVTYRAHFLTEWFVRHKAKIPYISLPNILLDSPVIPEALFQACTPAKVAFLLKELMNNTVLQQQQIAAAEKVMELLCPPNRTLNNTEQQGMKPSPPSYSPSMIAASTILSYKQR >KJB10719 pep chromosome:Graimondii2_0_v6:1:43954755:43958979:1 gene:B456_001G218100 transcript:KJB10719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MNLEDSLKKKKKKLCTKLLMDHLYLMKCQVKLKETVEAALQFQPHVVVTVDSKGFSFRLLKQLRARYNQQRSDRPVLFHYVAPSFWAWKGGEARLKGLSKFVDYVFCILPNEEAGCKLNGLNATFVGHPILEDVLELNMGKETALHEWKITGNGEDFRKKYAIASGATVITLLPGSRLQEVTRMLPIFSNTMKLLKDSFPELVTVIHVAPNQLVDNYIAKAVHKWPVPAILIPGGTPQLKYDAFNASLVALCTSGTVAMELQLARLPCLVTYRAHFLTEWFVRHKAKIPYISLPNILLDSPVIPEALFQACTPAKVAFLLKELMNNTVLQQQQIAAAEKVMELLCPPNRTLNNTEQQGMKPSPPSYSPSMIAASTILSYKQR >KJB10714 pep chromosome:Graimondii2_0_v6:1:43954755:43958983:1 gene:B456_001G218100 transcript:KJB10714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MWLAAIRFVNIFKNSICWKTPLRRYLWVSSKSLIDRAASEGELRVFIVAGEVSGDSIASRLMASLNKISPLPIRFSGVGGSRMSNQGLKSLFPMENIAVMGIWELLPHFYNFRVKLKETVEAALQFQPHVVVTVDSKGFSFRLLKQLRARYNQQRSDRPVLFHYVAPSFWAWKGGEARLKGLSKFVDYVFCILPNEEAGCKLNGLNATFVGHPILEDVLELNMGKETALHEWKITGNGEDFRKKYAIASGATVITLLPGSRLQEVTRMLPIFSNTMKLLKDSFPELVTVIHVAPNQLVDNYIAKAVHKWPVPAILIPGGTPQLKYDAFNASLVALCTSGTVAMELQLARLPCLVTYRAHFLTEWFVRHKAKIPYISLPNILLDSPVIPEALFQACTPAKVAFLLKELMNNTVLQQQQIAAAEKVMELLCPPNRTLNNTEQQGMKPSPPSYSPSMIAASTILSYKQR >KJB10716 pep chromosome:Graimondii2_0_v6:1:43954765:43958979:1 gene:B456_001G218100 transcript:KJB10716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MNLEDSLKKKKKKLCTKLLMDHLYLMKCQVKLKETVEAALQFQPHVVVTVDSKGFSFRLLKQLRARYNQQRSDRPVLFHYVAPSFWAWKGGEARLKGLSKFVDYVFCILPNEEAGCKLNGLNATFVGHPILEDVLELNMGKETALHEWKITGNGEDFRKKYAIASGATVITLLPGSRLQEVTRMLPIFSNTMKLLKDSFPELVTVIHVAPNQLVDNYIAKAVHKWPVPAILIPGGTPQLKYDAFNASLVALCTSGTVAMELQLARLPCLVTYRAHFLTEWFVRHKAKIPYISLPNILLDSPVIPEALFQACTPAKVAFLLKELMNNTVLQQQQIAAAEKVMELLCPPNRTLNNTEQQGMKPSPPSYSPSMIAASTILSYKQR >KJB10718 pep chromosome:Graimondii2_0_v6:1:43954685:43958979:1 gene:B456_001G218100 transcript:KJB10718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MWLAAIRFVNIFKNSICWKTPLRRYLWVSSKSLIDRAASEGELRVFIVAGEVSGDSIASRLMASLNKISPLPIRFSGVGGSRMSNQGLKSLFPMENIAVMGIWELLPHFYNFRVKLKETVEAALQFQPHVVVTVDSKGFSFRLLKQLRARYNQQRSDRPVLFHYVAPSFWAWKGGEARLKGLSKFVDYVFCILPNEEAGCKLNGLNATFVGHPILEDVLELNMGKETALHEWKITGNGEDFRKKYAIASGATVITLLPGSRLQEVTRMLPIFSNTMKLLKDSFPELVTVIHVAPNQLVDNYIAKAVHKWPVPAILIPGGTPQLKYDAFNASLVALCTSGTVAMELQLARLPCLVTYRAHFLTEWFVRHKAKIPYISLPNILLDSPVIPEALFQACTPAKVAFLLKELMNNTVLQQQQIAAAEKVMELLCPPNRTLNNTEQQGMKPSPPSYSPSMIAASTILSYKQR >KJB08757 pep chromosome:Graimondii2_0_v6:1:11417024:11417800:1 gene:B456_001G101900 transcript:KJB08757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDHHIYISAPLSSYLSSQLGRFQNLRRVFGEQFASFTVKYIYIYIILPGLSRIGDLNRSYHLSLHVILLHCLMLTKLCFFYLFEWTYIYMYVYTCICCP >KJB08560 pep chromosome:Graimondii2_0_v6:1:9656350:9658876:-1 gene:B456_001G089300 transcript:KJB08560 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHAR3 [Source:Projected from Arabidopsis thaliana (AT5G16710) UniProtKB/TrEMBL;Acc:A0A178UIL9] MSTAVALSSSLKHNLALGIRFPQNPSFYRRNGPKVSTFRTFTVAMAAINTTPLEICVKASVSTPNKLGDCPFCQRVLLTMEEKHLPYEMKLVDLSNKPEWFLQISPEGKVPVVKFDEKWVPDSDVIAQSLEEKYPNPPLWLEDLLHIHRFS >KJB08559 pep chromosome:Graimondii2_0_v6:1:9656350:9658876:-1 gene:B456_001G089300 transcript:KJB08559 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHAR3 [Source:Projected from Arabidopsis thaliana (AT5G16710) UniProtKB/TrEMBL;Acc:A0A178UIL9] MSTAVALSSSLKHNLALGIRFPQNPSFYRRNGPKVSTFRTFTVAMAAINTTPLEICVKASVSTPNKLGDCPFCQRVLLTMEEKHLPYEMKLVDLSNKPEWFLQISPEGKVPVVKFDEKWVPDSDVIAQSLEEKYPNPPLVTPQEKALVGSKIFSTFIGFLKSKDPSGGTEQALLDEVLLSTG >KJB08562 pep chromosome:Graimondii2_0_v6:1:9657175:9658876:-1 gene:B456_001G089300 transcript:KJB08562 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHAR3 [Source:Projected from Arabidopsis thaliana (AT5G16710) UniProtKB/TrEMBL;Acc:A0A178UIL9] MSTAVALSSSLKHNLALGIRFPQNPSFYRRNGPKVSTFRTFTVAMAAINTTPLEICVKASVSTPNKLGDCPFCQRVLLTMEEKHLPYEMKLVDLSNKPEWFLQISPEGKVPVVKFDEKWVPDSDVIAQSLEEKYPNPPLVTPQEKALVYVLVIILMFINCF >KJB08561 pep chromosome:Graimondii2_0_v6:1:9656592:9658825:-1 gene:B456_001G089300 transcript:KJB08561 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHAR3 [Source:Projected from Arabidopsis thaliana (AT5G16710) UniProtKB/TrEMBL;Acc:A0A178UIL9] MSTAVALSSSLKHNLALGIRFPQNPSFYRRNGPKVSTFRTFTVAMAAINTTPLEICVKASVSTPNKLGDCPFCQRVLLTMEEKHLPYEMKLVDLSNKPEWFLQISPEGKVPVVKFDEKWVPDSDVIAQSLEEKYPNPPLVTPQEKALVGSKIFSTFIGFLKSKDPSGGTEQALLDDFFLEGSFYQRGEDFCGGLISSAKAIPSRDCFRALQEMVYSGHTSLHEILHEDDFLDGFIHENTC >KJB08558 pep chromosome:Graimondii2_0_v6:1:9656330:9658909:-1 gene:B456_001G089300 transcript:KJB08558 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHAR3 [Source:Projected from Arabidopsis thaliana (AT5G16710) UniProtKB/TrEMBL;Acc:A0A178UIL9] MSTAVALSSSLKHNLALGIRFPQNPSFYRRNGPKVSTFRTFTVAMAAINTTPLEICVKASVSTPNKLGDCPFCQRVLLTMEEKHLPYEMKLVDLSNKPEWFLQISPEGKVPVVKFDEKWVPDSDVIAQSLEEKYPNPPLVTPQEKALVGSKIFSTFIGFLKSKDPSGGTEQALLDELSSFNDYIKENGPFINGVKISAADLSLAPKLYHLEIALGHYKKWSIPDTLPYTKSYMKTIFSMDSFMKTRASPEDVIAGWRPKVMG >KJB09609 pep chromosome:Graimondii2_0_v6:1:21301899:21303438:-1 gene:B456_001G152400 transcript:KJB09609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQPAPTRPWFRLTSISRSPPQEPAPAPPRPATLGPAFRPPPTLATQPNAAASPPAAGGVSSVTTSPVGRASQPSSPADKKPTTSTMAPATAKTSSVSGSLVKTVSTTSPAKPTTTEISPAKNAPTTTPVTSSLTQKSASPVTTTSRMPSPKASTTTAVKPAIQNQIQSPKSELPTARPTSPLTLPLSQMKAQAEREKKIPAEADQKTVLVQKMIDKPKGWLFGDTRKPSSPLNGHKEPFKEGETKEKGRGNKYSSDSEDAGMRIITITGDNKGAFMKIFQSPHKNVFQGQKKANSSSGRGEAADGKMKAKRNLSKAMPTNAFINSNVQGINNSIVYSSSCTQHDPGVHLALNTKPTLGGFHVKEHTNGYNS >KJB09733 pep chromosome:Graimondii2_0_v6:1:23230603:23231144:1 gene:B456_001G160900 transcript:KJB09733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQTDCQARIPLAINLTLTESAIPYYPYRAGRKVHHSVVGSSWASPADFDGLDAGGVWIESRS >KJB11314 pep chromosome:Graimondii2_0_v6:1:52479017:52479675:-1 gene:B456_001G253300 transcript:KJB11314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMEKKGFNVRMMLLFLGLCCLIISSAAVPTTNEGNFLNFKFGNKELFPSSVQDLLVQDLKKSSEAEEVFGEYLGHEFNEERMLMEITDYPPTGASHKHEPFPPPPENKKP >KJB06175 pep chromosome:Graimondii2_0_v6:1:53175918:53176895:-1 gene:B456_001G257800 transcript:KJB06175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSLFKTFKHNRKIMGKSGRALRCFFAVAVLVGVVWLLFVSIVANRATITTKSTTVSSTGDLKHWEFVVDDFHFNYVSKRRVPNGPDPIHNRRVSKSRQPPGRA >KJB09783 pep chromosome:Graimondii2_0_v6:1:23623472:23624020:-1 gene:B456_001G165600 transcript:KJB09783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQAYKILVKKNWIKLSRCSRTELALYSTRSLGNHDASSATPTAAAIDQGLNKSSGEPTGCPRGSRPFSNELLRMTAKHKRFSIIYINMYEPELGVFSSRSAKERPKKDRLSVIRPE >KJB10396 pep chromosome:Graimondii2_0_v6:1:35576915:35578831:1 gene:B456_001G198900 transcript:KJB10396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGQTKSDSMQAGNKLKGKGAGAGKRAKKAAKDPNKPKRPASAFFVFMEEFRKQYKEANPDNRSVSAVGKAGGEKWKSMTDAVKSSLCTEGREAQK >KJB10395 pep chromosome:Graimondii2_0_v6:1:35576810:35579288:1 gene:B456_001G198900 transcript:KJB10395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGQTKSDSMQAGNKLKGKGAGAGKRAKKAAKDPNKPKRPASAFFVFMEEFRKQYKEANPDNRSVSAVGKAGGEKWKSMTDAEKAPYVQKAEKRKSEYNKKMQAYNLKLAGGGNDDESDKSKSEVNDEDEDEDED >KJB07447 pep chromosome:Graimondii2_0_v6:1:2311859:2313260:-1 gene:B456_001G024400 transcript:KJB07447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIRKPITRSFPTVNIPDWEPLNDSADNFPAVGFNCNATCSPCPVEYLAALRRYLPSNDLEPDTLSDDSDVHIIDAFSCDHFRMYEFKVRRCARGRSHDWTACPYAHPGEKARRRDPRKFHYSGSACPDFRKGNCKKGDSCEFAHGVFECWLHPTRYRTQPCKDGTCCKRRVCFFAHTPEQLRVLPQQSPRGNGSGSGSSDMDYFGSPIRYRLDYVSSPTSILASPPISPPSDSPPTSPSGSFNSELHAPTRLSSHSNSYTDSVRTQ >KJB07446 pep chromosome:Graimondii2_0_v6:1:2312145:2313224:-1 gene:B456_001G024400 transcript:KJB07446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIRKPITRSFPTVNIPDWEPLNDSADNFPAVGFNCNATCSPCPVEYLAALRRYLPSNDLEPDTLSDDSDVHIIDAFSCDHFRMYEFKVRRCARGRSHDWTACPYAHPGEKARRRDPRKFHYSGSACPDFRKGNCKKGDSCEFAHGVFECWLHPTRYRTQPCKDGTCCKRRVCFFAHTPEQLRVLPQQSPRGNGSGSGSSDMDYFGSPIRYRLDYVSSPTSILASPPISPPSDSPPTSPSGSFNSVSELTSSVRNLQIGKAKMGTTCSRGSCFVSPRSSMLRPGSPLTPTRTPTRSGLSKFELWESNNAFDEPAMERVESGRDLRTRMYAKLSEENSLDLLDPTGSCPDVGWVSELVGY >KJB09184 pep chromosome:Graimondii2_0_v6:1:16251944:16257451:-1 gene:B456_001G128200 transcript:KJB09184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLSLLHSLSPFSSLSRFSITPPPRFSLPSFSIRAMTSHIVGYPRIGPKRELKFALESFWEGKSSAEELKQVAADLRFSIWKQMAEVGIKYIPSNTFSYYDHVLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPDVKFSYASHKAVEEYKEAKALGLDTVPVLIGPLSYLLLSKPAKGVDKSFSLLSLVEKIIPIYKEVVAELKAAGATWIQFDEPSLVLDLEALQLQTFTNAYSELESSFSGVNILIETYFADVPIQTYKALTSLKNVAGFGFDLVRGTRTLNFLKSGFPSDKYLFAGVVDGRNIWANDLAASLCILQVLEGIVGKDKVVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALARALVGQKDQAFFASNATSHASRRSSPRVRNENVQRAVVALKDSDHRRATSVSARLAAQQKKLNLPVLPTTTIGSFPQTIGLRKVRREYKAKKITEYDYVNAMKEEISKVVKLQEELGIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPRAMTVFWSSTAQSMTRRPMKGMLTGPVTILNWSFVRNDQNRHETCYQIALAIRDEVEDLERAGINIIQIDEAALREGLPLRKSEQPFYLEWAVQSFRITNCGVKNTTQIHTHMCYSNFNDIINSIINMDADVITIENSRSDEKLLSVFHEGVKYEAGIGPGVYDIHSPRIPSTEELADRINKMLAVLDNRVLWINPDCGLKTRKYSEVEPALRNMVAAAKLIRTQLASSN >KJB09182 pep chromosome:Graimondii2_0_v6:1:16251944:16257382:-1 gene:B456_001G128200 transcript:KJB09182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLSLLHSLSPFSSLSRFSITPPPRFSLPSFSIRAMTSHIVGYPRIGPKRELKFALESFWEGKSSAEELKQVAADLRFSIWKQMAEVGIKYIPSNTFSYYDHVLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPDVKFSYASHKAVEEYKEAKALGLDTVPVLIGPLSYLLLSKPAKGVDKSFSLLSLVEKIIPIYKEVVAELKAAGATWIQFDEPSLVLDLEALQLQTFTNAYSELESSFSGVNILIETYFADVPIQTYKALTSLKNVAGFGFDLVRGTRTLNFLKSGFPSDKYLFAGVVDGRNIWANDLAASLCILQVLEGIVGKDKVVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALARALVGQKDQAFFASNATSHASRRSSPRVRNENVQRAVVALKDSDHRRATSVSARLAAQQKKLNLPVLPTTTIGSFPQTIGLRKVRREYKAKKITEYDYVNAMKEEISKVVKLQEELGIDVLVHGEPEVKMTWSSTLVSNYPVLPLL >KJB09183 pep chromosome:Graimondii2_0_v6:1:16251944:16257444:-1 gene:B456_001G128200 transcript:KJB09183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLSLLHSLSPFSSLSRFSITPPPRFSLPSFSIRAMTSHIVGYPRIGPKRELKFALESFWEGKSSAEELKQVAADLRFSIWKQMAEVGIKYIPSNTFSYYDHVLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPDVKFSYASHKAVEEYKEAKALGLDTVPVLIGPLSYLLLSKPAKGVDKSFSLLSLVEKIIPIYKEVVAELKAAGATWIQFDEPSLVLDLEALQLQTFTNAYSELESSFSGVNILIETYFADVPIQTYKALTSLKNVAGFGFDLVRGTRTLNFLKSGFPSDKYLFAGVVDGRNIWANDLAASLCILQVLEGIVGKDKVVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALARALVGQKDQAFFASNATSHASRRSSPRVRNENVQRAVVALKDSDHRRATSVSARLAAQQKKLNLPVLPTTTIGSFPQTIGLRKVRREYKAKKITEYDYVNAMKEEISKVVKLQEELGIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPRAMTVFWSSTAQSMTRRPMKGMLTGPVTILNWSFVRNDQNRFTPTCATQTSTTSLIRL >KJB11635 pep chromosome:Graimondii2_0_v6:1:54863216:54864843:-1 gene:B456_001G271600 transcript:KJB11635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFTKVALRTAVGFVVMGFVGFFVKLIFIPINNIIVGSG >KJB11557 pep chromosome:Graimondii2_0_v6:1:54237001:54238454:1 gene:B456_001G265600 transcript:KJB11557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVFHNQRNRMEILEVTQVAPTSNSPESTTEFRLPLTFCDIFWFKLPPVERLFFYQLDELTPACFNSVILPKLKRSLSLTLVHYLPLAGNLKWPPNEPKPIILYTPNDGVSLTVAHSDADFNILSSDGVYDAAELHPLKPDLVTSDVSSSAIAVQLTLFPNKGFCIGITAHHAVLDGQTTTMFIKSWAYICKQGNEENSPLPPELTPFFDRSVVKGPDGLDMLYLNQWLASSGSDSDTSKKSLKITTSAGGGAASDLVRATFEITREDFKKLRERVLPKLSDSGKEVHLSTFVLSFAYVTTCMVKARGGDGDRKVAFAFTADCRPRLNPPVSQNYFGNCNRPKFEVAKARDFLDENGFVFAVQKASGMVKALMERWVLEGMEKILSDCFDVLKEASESKLQIISVAGSPRFGVYGTDFGWGKPHKVVIVSIDKSGAISMAESRDGSGGVEIGLALHKHEMNNFSWLFPRCV >KJB10108 pep chromosome:Graimondii2_0_v6:1:29407470:29411199:-1 gene:B456_001G184600 transcript:KJB10108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISNRLLSSLALLVTFILFFVCVKASAEKTELNSRFSEENKLQGLKNSSMEEGLEDVQWINEHSVDNPEEIASMVDMSIRNSTERRKLGYFSCGTGNPVDDCWRCDRHWYLRRKNLANCGIGFGRNAIGGRDGRYYVVTDPRDDDPVNPKPGTLRHAVIQDRPLWIVFKRDMVIRLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNIIIHGIHIHDCKPTGNAMVRSSPSHYGWRTMADGDGISIFGASHIWVDHNSLSNCADGLIDAIMGSTAITISNNYFTHHNEVMLLGHSDSYVRDKQMQVTVAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPFNRFAKEVTKRVERSKSKWRHWNWRSEGDMFLNGAYFTPSGAGAAASYAKASSLAAKSSALVGTITSNAGALSCRRGFMC >KJB11307 pep chromosome:Graimondii2_0_v6:1:52397098:52397769:1 gene:B456_001G252600 transcript:KJB11307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSWLLQYSKNADSSWFGSEEAFSSSCEWDELLLNFNISQETAWMDLLGDDEEEEEEERGKVSSECSNNSIDGVKEEEICAEEPKEVKSYRGVRKRPWGKFAAEIRDSTRRGARVWLGTFDSAEAAALAYDQAAFSMRGPLATLNFPIEAVKASLKELKGPCDEDKGWSPVVDLKNRYSLRKRSKNKKIKPNDAALRQQQNLLVFEDLGADYLEQLLTSSCD >KJB08865 pep chromosome:Graimondii2_0_v6:1:12623068:12627786:-1 gene:B456_001G109500 transcript:KJB08865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKGVLVQSLIDAVNQIASISDYRCSVKKEYCNLARRLKLLTPMFEEIRESKEQIPEETVKALLSLKEALISAKELLSFGSEGSKIYLVLEREQIMHKFLEVTAQLEQALRGISYENLDISDEVKEQVELVLAQFRRAKGRVDAPDVELYEDLLSLYNKSDDAELNPDVLRRLAKKLQLVGIAELTQESLALHEMVSASVGDPGETFEKMLSLLKKIKDFIQTENPNLDAPAREKNLPPSSSGQATTNGNHKTPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIEKWLEQGHGTCPKTQQALSSPALTPNYVLRSLIAQWCEANGIEPPKRPSTRPSKTTSACSPAERTKIEILLRKLASSSPEDQRMAAGEIRLLAKRNADNRVAIAEAGAIPLLVSLLSTPDSRIQEHAVTALLNLSICEDNKGSIISSGAVPGIVQVLKKGSMEARENAAATLFSLSVVDENKVTIGASGAIPPLVVLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVPILMRLLTEPGGGMVDEALAILAILASHPEGKSAIGAAEAVPVLVGVIGNGSPRNRENGAAVLVHLCSGDQQHLAEAQELGVMGPLVDLAQNGTDRGKRKAAQLLERMNRFVEQQKLAQVQAEAEVQQPESQSQTQQRRPPSVENAIEG >KJB08500 pep chromosome:Graimondii2_0_v6:1:8965458:8966634:-1 gene:B456_001G0843001 transcript:KJB08500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYSPSCEKTRTLHLKDVFLSVKEFFHFAIPSAVMACLEWWSFEILVLMSGLLPNSKLETSVLSICTRISNELGAGNPQAAQIATLVSMLIALVETLIA >KJB08501 pep chromosome:Graimondii2_0_v6:1:8965458:8966634:-1 gene:B456_001G0843001 transcript:KJB08501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYSPSCEKTRTLHLKDVFLSVKEFFHFAIPSAVMACLEWWSFEILVLMSGLLPNSKLETSVLSICLSSDSLHYTISFGISVAASTRISNELGAGNPQAAQIATLVSMLIALVETLIA >KJB07302 pep chromosome:Graimondii2_0_v6:1:1380451:1383089:-1 gene:B456_001G014700 transcript:KJB07302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAQISKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKEDEVIKVEAPVPTEIELPPVA >KJB07304 pep chromosome:Graimondii2_0_v6:1:1380766:1382725:-1 gene:B456_001G014700 transcript:KJB07304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKEDEVIKVEAPVPTEIELPPVA >KJB07303 pep chromosome:Graimondii2_0_v6:1:1380537:1383044:-1 gene:B456_001G014700 transcript:KJB07303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLVSKSELLPCVLRSLSEPLVPKMFWLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKEDEVIKVEAPVPTEIELPPVA >KJB06916 pep chromosome:Graimondii2_0_v6:1:6700686:6704071:1 gene:B456_001G066700 transcript:KJB06916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASVNAPLWPSLSTGHRLESRIECSSRYGLVRIPTRIRPWELGLQSQPSVGKSRKQSSSIACTATALQNATCSASGQTQTVTRKAPTIT >KJB06918 pep chromosome:Graimondii2_0_v6:1:6700704:6704071:1 gene:B456_001G066700 transcript:KJB06918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASVNAPLWPSLSTGHRLESRIECSSRYGLVRIPTRIRPWELGLQSQPSVGKSRKQSSSIACTATALQNATCSASGQTQTVTLRL >KJB06922 pep chromosome:Graimondii2_0_v6:1:6700730:6704132:1 gene:B456_001G066700 transcript:KJB06922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASVNAPLWPSLSTGHRLESRIECSSRYGLVRIPTRIRPWELGLQSQPSVGKSRKQSSSIACTATALNATCSASGQTQTVTRKAPTIT >KJB06921 pep chromosome:Graimondii2_0_v6:1:6700730:6704071:1 gene:B456_001G066700 transcript:KJB06921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASVNAPLWPSLSTGHRLESRIECSSRYGLVRIPTRIRPWELGLQSQPSVGKSRKQSSSIACTATALQNATCSASGQTQTVTRKAPTIT >KJB06919 pep chromosome:Graimondii2_0_v6:1:6700704:6704071:1 gene:B456_001G066700 transcript:KJB06919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASVNAPLWPSLSTGHRLESRIECSSRYGLVRIPTRIRPWELGLQSQPSVGKSRKQSSSIACTATALQNATCSASGQTQTVTLRL >KJB06920 pep chromosome:Graimondii2_0_v6:1:6700730:6704071:1 gene:B456_001G066700 transcript:KJB06920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASVNAPLWPSLSTGHRLESRIECSSRYGLVRIPTRIRPWELGLQSQPSVGKSRKQSSSIACTATALQNATCSASGQTQTVTRKAPTIT >KJB06917 pep chromosome:Graimondii2_0_v6:1:6700686:6704071:1 gene:B456_001G066700 transcript:KJB06917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASVNAPLWPSLSTGHRLESRIECSSRYGLVRIPTRIRPWELGLQSQPSVGKSRKQSSSIACTATALQNATCSASGQTQTVTRKAPTIT >KJB11522 pep chromosome:Graimondii2_0_v6:1:53890866:53893949:-1 gene:B456_001G263400 transcript:KJB11522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRVNSTIIAFLSVLLFWFSSFEVTYSAREGKQWRKQSIAGGFRRLMAEGGNSDLVADATTTFNVLEYGAKGDGRTDDSKALEAAWADACKVEASTVMVPSGSTFLVGPVSFSGPNCQPNIVFQLDGKIIAPTSSKAWGSGLLQWIEFIKLKGITIKGKGTIDGRGSVWWNDSPTAQPQDSTTNHTISGELDGKMPSTKPTALRFYGSNGVTVSGITIQNSPQTHLKFDDCTGVQISNFVASSPSDSPNTDGIHLQNSQDVVISAATLACGDDCVSIQTGCSNVYIHDVKCGPGHGISIGGLGKDRTKACVSNITVRDVNLQNTLTGVRIKTWQGGSGSVKQVTFSDIQVSEVETPIMIDQFYCDKGHCSNQSSAVEVSEINYVNIRGTYTVQPVHFACSDSLPCSGVSLSTIELQSVKENHPLSGPFCWETYGELKTSTEPPISCLESGKPSNAGTQSSSDSC >KJB06258 pep chromosome:Graimondii2_0_v6:1:6355127:6355815:-1 gene:B456_001G063700 transcript:KJB06258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSSKFQQRVNQKRKQVETDNQITKFLNPIDFTEKELWWSTESSIRISYFQDFQWHVFNPKRILISSKTFNNHCMRCPISLSRNELKSTRRKVHRQILRIPVQIGAHAVLQSLQPSPPIELGYAL >KJB08744 pep chromosome:Graimondii2_0_v6:1:11314542:11317044:1 gene:B456_001G101000 transcript:KJB08744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEENKTRNLPHVNLPIPSPRPHNPHVPFRKTLSLQRHQNHLSHHRLHLQSFFPNPCSTTSADLETISDGFDEGGSNQAPSTKVYLQTFCSVGSNSLVTLIKKLVDTGDRPVLVYDGFLRWALDVAKQFGIPSAVFFTQSCAVNNVYYHVSKGILQLPLLPEVNVSLPGLPLLQVSELPSFISDYEPQSAWFDVIVKQFENVDGADWVVDWMSSFWNVMTIGPTIPSMYLDKRLDDDKDYGMNIFNPETDACRSWLNGKPNGSVVYVSFGSLASPEANEMQELALALKGSDCNFLWVETKEKGLVVTWCNQLEVLSHDLIYTDQITNAKLVEDVWGIGITGQRNQNDLATKETIERCLNELVNGEKGKEIKMNTIKWKNLAKKAVEEGGISDKNIDEFIAKLLEN >KJB09156 pep chromosome:Graimondii2_0_v6:1:16243397:16245554:1 gene:B456_001G128100 transcript:KJB09156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KJB06592 pep chromosome:Graimondii2_0_v6:1:172408:176594:-1 gene:B456_001G002000 transcript:KJB06592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYTSKRAADGLVVPGKGSGIILKDHVNNRERNAQFCNRIGCSGRLNSMKGTLSGCSEKSKSSRPPYRTSSSGKEIIGSSSRVYSAPINSRKSSTNPQKKLSSQLETDSSETSSVQDEPEGSELISPPGKIQRGLQPRADDADSREVRVMEVGSSCAATNTKPRRSFSQRAGLGNQDALASPSVTLASCSASLATRANASRYSLRNIKCNSISDVVPSGCSSSDSSLSRRKDTVKKRNADGEGSSSTKGKKLSGSSLEGRSNVSSHGVSISDSRRARNWSPNSDSSVASSVRTRRSSSCYGRGRLPNQDNGSILTLNEPPVVMPQVPQAAVTIDLSAPVSTETGSTCASSYGRAGRISDSLHSIIPSIPSEAGINRSSMTGDSFRRYNMDGIAEVLLALERIEQDEELTYEQLLVLETSLFLNGLNFYDQHRDMRLDIDNMSYEELLALEERMGNVSTALSEEAMSKCLKKSIYEAISMEDVAKVSCEVEKDDVKCSICQEEYVVGDEVGRLQCEHRYHVGCIRQWLQVKNWCPICKASAEAMQSSCSSQN >KJB10456 pep chromosome:Graimondii2_0_v6:1:38997294:39001305:-1 gene:B456_001G202300 transcript:KJB10456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHAISVPNTCILCPRSRCFGQRNQGSTRFCLRASLPDNNGEFKVEYTPWLVVGLGNPGNKYHGTRHNVGFEMIDQISQSEGIALNTIQSKALVGIGAIGEVPILLAKPQAYMNFSGESVGPLAAYYQVPLRHILLIYDEMSLPNGVLRLQPKGGHGHHNGVKSVMGHLDGSREFPRLCIGIGNPPGAMDMKAYLLQKFSPAERNQINEALEQGVEAVRTLVLNGFNQKITRFNLGQKYKHHKV >KJB10458 pep chromosome:Graimondii2_0_v6:1:38997275:39003610:-1 gene:B456_001G202300 transcript:KJB10458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHAISVPNTCILCPRSRCFGQRNQGSTRFCLRASLPDNNGEFKVEYTPWLVVGLGNPGNKYHGTRHNVGFEMIDQISQSEGIALNTIQSKALVGIGAIGEVPILLAKPQAYMNFSGESVGPLAAYYQVPLRHILLIYDEMSLPNGVLRLQPKGGHGHHNGVKSVMGHLDGSREFPRLCIGIGNPPGAMDMKAYLLQKFSPAERNQINEALEQGVEAVRTLVLNGFNQKITRFNLGQKYKHHKV >KJB10457 pep chromosome:Graimondii2_0_v6:1:38997892:39000577:-1 gene:B456_001G202300 transcript:KJB10457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFISLWINIFCYVCFRSMLHAISVPNTCILCPRSRCFGQRNQGSTRFCLRASLPDNNGEFKVEYTPWLVVGLGNPGNKYHGTRHNVGFEMIDQISQSEGIALNTIQSKALVGIGAIGEVPILLAKPQAYMNFSGESVGPLAAYYQVPLRHILLIYDEMSLPNGVLRLQPKGGHGHHNGVKSVMGHLDGSREFPRLCIGIGNPPGAMDMKAYLLQKFSPAERNQVFSCLLSFSLFTCTTLKFG >KJB10455 pep chromosome:Graimondii2_0_v6:1:38997186:39000975:-1 gene:B456_001G202300 transcript:KJB10455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFISLWINIFCYVCFRSMLHAISVPNTCILCPRSRCFGQRNQGSTRFCLRASLPDNNGEFKVEYTPWLVVGLGNPGNKYHGTRHNVGFEMIDQISQSEGIALNTIQSKALVGIGAIGEVPILLAKPQAYMNFSGESVGPLAAYYQVPLRHILLIYDEMSLPNGVLRLQPKGGHGHHNGVKSVMGHLDGSREFPRLCIGIGNPPGAMDMKAYLLQKFSPAERNQINEALEQGVEAVRTLVLNGFNQKITRFNLGQKYKHHKV >KJB10454 pep chromosome:Graimondii2_0_v6:1:38997294:39000975:-1 gene:B456_001G202300 transcript:KJB10454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSGESVGPLAAYYQVPLRHILLIYDEMSLPNGVLRLQPKGGHGHHNGVKSVMGHLDGSREFPRLCIGIGNPPGAMDMKAYLLQKFSPAERNQINEALEQGVEAVRTLVLNGFNQKITRFNLGQKYKHHKV >KJB11616 pep chromosome:Graimondii2_0_v6:1:54530355:54533316:1 gene:B456_001G268400 transcript:KJB11616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNWDDFENSWSSWSCSWDTEISSGNTESKGFYEAVNDDIIDRLPEDPFGMEIRSTFAAAITGWFQDFENDLWSDFCMFGMEDYAEKQIVDQQQMFKGLNWVCNGTMSLKPDESISSFYGDQSSFFKGVNWVCNNFEINETSNPDFNGFEIDNGGSFVINDEGKGLKDSKEVICNDNGGGEPSDALFFALGYLGVKDLLAVERVCRSLRDAVRSDTLLWRNIHIEHSLSRRITNDALLKLTNRAKGSLECLSLVGCVKITDHGLKCVLESNPKLTKLSVPECTGLSVEGILFNLKAFKSIGSPGIKHLKIGGCFSVTEEQFKEFKFLLGADNSIQLREQKPQFFRQGQLHFTCDDDRVIDIEICPRCDKLKLVYDCPSESCRTTHHAAQLCRACFLCIARCFRCGCCFKHCDYEETFTLDLLCFNCLKHIFGSEENSDVIGTSYELYFYG >KJB09868 pep chromosome:Graimondii2_0_v6:1:24611629:24614703:-1 gene:B456_001G171500 transcript:KJB09868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRFLSHITIFNSKNSNLYSITILAILCTSFYLIGIWQHSLDPIPNSSSSPVSGFLSSSPCYSLHTAQLDFMPHHLPHDPTPVTARVPHFPPCNISFSEYTPCEDARRSLKFDRDMLIYRQRHCPAKNELLKCRIPAPYGYKVPFRWPESREFAWFANVPHKELTVEKKAQNWVKVEGEKFRFPGGGTMFPRGAGAYIDDIDKLINLKDGSIRTAIDTGCGVASWGAYLLSRNIIAMSFAPRDTHEAQVQFALERGVPALIGVLASIRLPYPSRAFDMAHCSRCLIPWDNYDGIYLIEVDRILRPGGYWILSGPPINWENHWKGWNRTADDLKAEQSRIETVAKSLCWKKIVQKGDIAIWQKPTNHIHCKATRKVFKRPRFCQTQNPDMAWYTKLEKCLTPLPQVSEIKEIAGGQLPKWPQRLNAIPPRISSGSLTGVTGNNFVENTELWKERIAYYKNIDYQLAQTGRYRNLLDMNAYLGGFAAALVDDPVWVMNAVPVEADQLNTLGVIYERGLIGTYQNWYFPFLSLPSIKVFRTNHTIHLLIQPIQLNKSLKRHKKNS >KJB09867 pep chromosome:Graimondii2_0_v6:1:24610711:24614801:-1 gene:B456_001G171500 transcript:KJB09867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRFLSHITIFNSKNSNLYSITILAILCTSFYLIGIWQHSLDPIPNSSSSPVSGFLSSSPCYSLHTAQLDFMPHHLPHDPTPVTARVPHFPPCNISFSEYTPCEDARRSLKFDRDMLIYRQRHCPAKNELLKCRIPAPYGYKVPFRWPESREFAWFANVPHKELTVEKKAQNWVKVEGEKFRFPGGGTMFPRGAGAYIDDIDKLINLKDGSIRTAIDTGCGVASWGAYLLSRNIIAMSFAPRDTHEAQVQFALERGVPALIGVLASIRLPYPSRAFDMAHCSRCLIPWDNYDGIYLIEVDRILRPGGYWILSGPPINWENHWKGWNRTADDLKAEQSRIETVAKSLCWKKIVQKGDIAIWQKPTNHIHCKATRKVFKRPRFCQTQNPDMAWYTKLEKCLTPLPQVSEIKEIAGGQLPKWPQRLNAIPPRISSGSLTGVTGNNFVENTELWKERIAYYKNIDYQLAQTGRYRNLLDMNAYLGGFAAALVDDPVWVMNAVPVEADQLNTLGVIYERGLIGTYQNWCEAMSTYPRTYDFIHADSIFSLYKDRCDMEDILLEMDRVLRPEGSVIIRDDVDVLLKIKKIIDVMQWEGRIADHEKGPHEREKILFAVKQYWTAPPLAPKHDQ >KJB07382 pep chromosome:Graimondii2_0_v6:1:1752256:1754823:1 gene:B456_001G018800 transcript:KJB07382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAESREEVKPNVVVKETNGDIVGDNDAGKLGTIETPDFLSQKISHNCSLPILASGVNTTSLAQSQLTIFYGGKVSVFDAISAEKIQEIMLIAAAVAAADVGSVDMKNAATDYATISPALTRCPSLQSTATALASPQAQLYPFPRTSFSKLQAELPIARRHSLQRFLEKRRDRLVNKNPYPGPSTPKMVDGAKADVSATTSPESGCFKASPIRQEDIQPKAPAHVA >KJB06517 pep chromosome:Graimondii2_0_v6:1:2238386:2245243:1 gene:B456_001G023800 transcript:KJB06517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDALRINNSGIGNSINYSRDRNGGAGDGGLLRSSSDPAKQTASSSDFVLQWGNRKRLRCMKIQVKDDQSGPVNRTTVRVDRRVVRADKDSSNQPSNNNHGNGYFNLRQRPASPQAPPSQRVLRNSENSSAMRGQNNGGVRGFASPDRGAHDKRVGGNNHNNNNHHHHHHHHHHHHHHNNKSAASSDMTHDSKKGGSSSGSGEAAPPIVWPPKFVIALTNKEKEEDFMAIKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKISKKRPRGLKAMGNSNMESDSE >KJB06518 pep chromosome:Graimondii2_0_v6:1:2238386:2245243:1 gene:B456_001G023800 transcript:KJB06518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDALRINNSGIGNSINYSRDRNGGAGDGGLLRSSSDPAKQTASSSDFVLQWGNRKRLRCMKIQVKDDQSGPVNRTTVRVDRRVVRADKDSSNQPSNNNHGNGYFNLRQRPASPQAPPSQRVLRNSENSSAMRGQNNGGVRGFASPDRGAHDKRVGGNNHNNNNHHHHHHHHHHHHHHNNKSAASSDMTHDSKKGGSSSGSGEAAPPIVWPPKFVIALTNKEKEEDFMAIKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKISKKRPRGLKAMGNSNMESDSE >KJB06515 pep chromosome:Graimondii2_0_v6:1:2238885:2245243:1 gene:B456_001G023800 transcript:KJB06515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDALRINNSGIGNSINYSRDRNGGAGDGGLLRSSSDPAKQTASSSDFVLQWGNRKRLRCMKIQVKDDQSGPVNRTTVRVDRRVVRADKDSSNQPSNNNHGNGYFNLRQRPASPQAPPSQRVLRNSENSSAMRGQNNGGVRGFASPDRGAHDKRVGGNNHNNNNHHHHHHHHHHHHHHNNKSAASSDMTHDSKKGGSSSGSGEAAPPIVWPPKFVIALTNKEKEEDFMAIKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKISKKRPRGLKAMGNSNMESDSE >KJB06514 pep chromosome:Graimondii2_0_v6:1:2238386:2245243:1 gene:B456_001G023800 transcript:KJB06514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDALRINNSGIGNSINYSRDRNGGAGDGGLLRSSSDPAKQTASSSDFVLQWGNRKRLRCMKIQVKDDQSGPVNRTTVRVDRRVVRADKDSSNQPSNNNHGNGYFNLRQRPASPQAPPSQRVLRNSENSSAMRGQNNGGVRGFASPDRGAHDKRVGGNNHNNNNHHHHHHHHHHHHHHNNKSAASSDMTHDSKKGGSSSGSGEAAPPIVWPPKFVIALTNKEKEEDFMAIKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKISKKRPRGLKAMGNSNMESDSE >KJB06516 pep chromosome:Graimondii2_0_v6:1:2238344:2245294:1 gene:B456_001G023800 transcript:KJB06516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDALRINNSGIGNSINYSRDRNGGAGDGGLLRSSSDPAKQTASSSDFVLQWGNRKRLRCMKIQVKDDQSGPVNRTTVRVDRRVVRADKDSSNQPSNNNHGNGYFNLRQRPASPQAPPSQRVLRNSENSSAMRGQNNGGVRGFASPDRGAHDKRVGGNNHNNNNHHHHHHHHHHHHHHNNKSAASSDMTHDSKKGGSSSGSGEAAPPIVWPPKFVIALTNKEKEEDFMAIKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKISKKRPRGLKAMGNSNMESDSE >KJB07630 pep chromosome:Graimondii2_0_v6:1:3142250:3143189:-1 gene:B456_001G034400 transcript:KJB07630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKNWTIMMGRVKLKSKRAAFSISAAREGGAKDKVVTVKREIDLRVVEESEGICWVFKNFGVGSFGRESCGICLSLGLEKKDDEAAMEITGFEEAIIMLAMASGLRK >KJB06323 pep chromosome:Graimondii2_0_v6:1:6158:6456:-1 gene:B456_001G000100 transcript:KJB06323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISFNRCIRDGDLIIVNERHDTMKAVKVCENLVIQNRVGVFKHSNWIGKPFGSIIFSNKGGFVYLLALTPELWTLVLSHRTRIL >KJB09758 pep chromosome:Graimondii2_0_v6:1:23551192:23553042:-1 gene:B456_001G164800 transcript:KJB09758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKKKRINQNGDRGERRKKDCRACKQQATTAEKPLRASLYNLLWKIIVKSHVTWNGTGCLLTFSKTTLTRQKTASRCSLPEHIEEATFYLASVLVVEWLATFNQKRKVEQGKGERSCPLFSGTPPPHMWRVWLGNIMEMYRTANPGMTVRPRPWPRGVASCTEFELIKWHSIRGLSFPAHNKMLDRADTTKLVEEKETPATKHSHPQKWRPNTARLSRTRLKRRRAHSKESLLNNQPDIRILARPLRADGDYRTGKSGTRHLLNNTKNKAIPCPREKDVMIAMNAAFEDVLYKKVFADSYQHGAPLSRGA >KJB06925 pep chromosome:Graimondii2_0_v6:1:401330:408579:-1 gene:B456_001G004100 transcript:KJB06925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSKHTVNFATPRKFIKTFKKDCKETFFPDDPFGKKKIQYFIPIFEWLPRYNLHLFRYDVLAGITITSLAIPQGISYAKLADLPPIIGLYSSFVPPLIYTVFGSSNNLAVGTVAACSLLMHETLGAVVSSKDDPTLYLHLIYTSTFFTGIFQTALGFCRLGILVDFLSHSTITGFMGGTAIIISLQQMKGILGLKNFTTRTDVVRVIQAIFHNRNEWKWQSFVAGLVFLCFLQVARYVRQRKPNLFWVSAISPMAVVAVGCLYAYLGHGEQHGIAIEGIAIGRSFAIIKNEQIDGNKEMIAYGFMNIIGSLTSCYLTTGPFSKTDVNVNSGCKTPMANVVQGFCMMLTLLFLAPIFSYTPLVALAAIIMSAMLGLINYEEMYHLYKVDKFDFAICMAAFFGVSFGSMDIGLLLSVGLSLLRALLYVARPAACKLGRLPNTSLYRDTEQYPETMSVEGILVLQLGSPVYFANCSYIRERILRYINEEDAVTEYRTEHILLDLSGVASIDMSGIDTFIELIRVLKGKHIKLGLVNPRIEVLEKMTLAKFVDVLGKQAFYLSIEEAIQSCRFTMDTSTKEEALGSSKTEDVV >KJB10666 pep chromosome:Graimondii2_0_v6:1:43029324:43048002:1 gene:B456_001G214900 transcript:KJB10666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLRQQQQSRKRSSSSFVPPFPPPKIPKPQNDIGTAVDAVEKMVSILAEGGCTLVNPLGPPSLPSDPYKLRRHLSRLFSSTDDRSVFLSGFSSYIQSSSNLRRVLMSSNGSNFGPARSESLVRHLLLVAPIQLDLQIMLLEKLPEYFDVVPGESQTSLSLEDDVSRLIINQFRWLDFVVDPSSFTDKLLQVLSICPLHLKKEIIGSLPEIIGDQNNKTVIDSLEQMLHEDPSVIVPVLDSFSNLNLDDQLQEQVITIALSCIRTIDAEHMPCLLRFLLLSATQLNVRRIISQIREHLKFVRTPHNRTIQKNKLKGKLVVDNTEASILDALRSSLQFKSILKELNGLEKPRDHKVIDMWLLILLYTNGESMRKSVEKVFKKKIVEGCIQEVMLDQCICGNKELAQEYFLSFLSLSEYILACKEQKARDFGIYMYTLLFEEFADTYSRQEVLGALVTHVGSAVSFEVTSALQIMASLASKHARELIPLSYHINGILDYLEGLTIENLYKVYEVFSHMALLARSSADCLGSSIANELFIIVRKQVGHPDLKYKKMGLIGILKIVSCLGVESNVTSSSPFQKSNAEEAVELLETGLESCKQSCLSLIFFYDELTAILESTTLHPIIMDWIGKHLGEFESIFLSDLDGGQLPSRIPYCGLEGELWMNLDGEMSPICLNILPLASSSQNASLQFLPANFHLLSTVERLTNQGSLGGIDALLGCPMLLPSSKYFLEAEWQSLTGKQKQIICLSLYYAMNWIRELLNAFCTQVDGRFESISQTSKDDIMQKLLKRMRNLVFLESLLSHSIKMCPVTLPQLHLRVEHCGSAFINQPNHVGNKEKKNEPKMTPESASPYKRKHKKISKTSATGTDGNLHQQTLFDVLRKAGAVTSQEVPDKVSSKDMATSASVDHNSHVFNESVLIEVSPVSQALESQKFRFRPLLLECFSILTFSKDHDTCCSDPVAELPLYLYLIHDLQHKLDYFAAPSKQCSSRNIISAAVTRMTLDEFLSKIRPLFQSLKRNLDSSFCILKEGEGNETCQEHWKLQSTAAGNPDLTNVVPSNSSISTMVFKEILNCFSKMLNLSEVQRYRSVLSDLLEAFQPDKALDTGSLDVHPCPSPGTIEYLYLGACSFVECILDAACSFSFIVASEALFTLESAVTSFLKVMDKLDGDDKSVQSAFHQILPLLRGRLSSSAKKLLKHKWDNKNLENGWKNKGEMVQKILRTYLEYDESISDLLDELACTILPQVSLTTMAEDEDYGFPTLCSATFLSWYRVLFEVNLTVLGKLVKEVVRLEKVRPGFQPVNVHTLLIRLQKNVNVVVALVSMCRTHDKVTLHAMAVKYGGKFVDSFLKAFDFLQVHFQMHNEAILLLVKELQKATRTIQTLCSEAKGLKQTAITSKIPATKRSLERFLFRVKALLHSTSNGCTFWMGNLKHKDLRGQVVSSQAYVDDGIDSIDEDPEGAVDVDPQGSVPSASENSENE >KJB07617 pep chromosome:Graimondii2_0_v6:1:3055211:3056274:-1 gene:B456_001G033200 transcript:KJB07617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTKKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDQAAILMNGLNAKTNFPVPNSGGDDNGSSPLPAETLSEFLGAKLKKCCKDQSPSLTCLRLDTDNAHIGVWQKRAGSRSSSNWVMRVELGNKKTTMEDGAASSSSELSHMVEETEAVGEEDRVAMQMIEELLNWNCPMNSTSAGV >KJB07585 pep chromosome:Graimondii2_0_v6:1:2900033:2902688:-1 gene:B456_001G031200 transcript:KJB07585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITALLVLKCMPEGADPIILANACDVSHFGFFQRSSVREFIVFVSRTVAKRTPPGQRQSVQHEEYKVHSYNRNGLCVIGFMDDHYPVRSAFSLLNQVIDEYQKNFGESWRTAQADSTEPWPYLDNALTKYQDPAEADKLLKIQRELDETKIILEMTGKVVC >KJB07583 pep chromosome:Graimondii2_0_v6:1:2898879:2902688:-1 gene:B456_001G031200 transcript:KJB07583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITALLVLKCMPEGADPIILANACDVSHFGFFQRSSVREFIVFVSRTVAKRTPPGQRQSVQHEEYKVHSYNRNGLCVIGFMDDHYPVRSAFSLLNQVIDEYQKNFGESWRTAQADSTEPWPYLDNALTKYQDPAEADKLLKIQRELDETKIILHQTIDSVLARGEKLDSLVEKSSDLSAASQMFYSQAKKTNQCCSIL >KJB07586 pep chromosome:Graimondii2_0_v6:1:2900390:2902688:-1 gene:B456_001G031200 transcript:KJB07586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITALLVLKCMPEGADPIILANACDVSHFGFFQRSSVREFIVFVSRTVAKRTPPGQRQSVQHEEYKVHSYNRNGLCVIGFMDDHYPVRSAFSLLNQVIDEYQKNFGESWRTAQADSTEPWPYLDNALTKYQVSVLFLVHFCCVLM >KJB07584 pep chromosome:Graimondii2_0_v6:1:2899430:2902688:-1 gene:B456_001G031200 transcript:KJB07584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITALLVLKCMPEGADPIILANACDVSHFGFFQRSSVREFIVFVSRTVAKRTPPGQRQSVQHEEYKVHSYNRNGLCVIGFMDDHYPVRSAFSLLNQVIDEYQKNFGESWRTAQADSTEPWPYLDNALTKYQDPAEADKLLKIQRELDETKIILHQTIDSVLARGEKLDSLVEKSSDLSAASQVHVQDNIILYARLIFLIVPFY >KJB07675 pep chromosome:Graimondii2_0_v6:1:3404168:3417225:-1 gene:B456_001G036900 transcript:KJB07675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVERLRDRSDRKPIYNLDESDDDADFVSRKSGKTEEKLERIVRDDAKENTCQACGVTENLLNCATCTYAYHPKCLLPPLKAPPLPNNWRCPECVSPLNDIEKILDCEMRPTVADDNDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKSNPRLRTKVNNFHRQMASKNSSEDDFVAIRPEWTTVDRILACRGDEDEKEYLVKYKELSYDECYWEFESDISAFQPEIEKFNKIRSRSRKSARQKSSLRDAVESKKKSKEFQQYEQSPEFLTGGTLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEDNIAPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGSAQARAVIREYEFYHPKKSHKKIKKKKSGHIVSESKQDRIKFDVLLTSYEMINLDTASLKPIMWECMIVDEGHRLKNKDSKLFLSLKQYTTYHRTLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDISQEEQISRLHTMLAPHLLRRVKKDVMKKLPPKKELILRVELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPVIEDANEAHKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKNWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQMNKVMIYRLITRGSIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDAAAIDRLLDREQVGDEEASVDDEEEDGFLKAFKVANFEYKDEAETVVEEEAQKVAVEDKNTMNNSERTSYWEELLRDRYEVHKVEEFNALGKGKRSRKQMVSVEEDDLAGLEDVSSDGEDDNFEAELTDGDTTSSGTQSGRRPYRKRNRVDSTEPIPLMEGEGKSFRVLGFNQSQRAAFVQILMRFGVGDFDWKEFAPRLKQKTYEEIKDYGVLFLSHISEDITESPTFSDGVPKEGLRIQDVLVRIAVLLLVSNKVKTASEHPGTRLFTDDIIMRYPTLKGGKFWKEEHDLLLLSAVLKHGYGRWQAIVDDKELRIQEVICQELNLPFINLPVPGQAGSQVQYGVNVTNIESTGNQTRGNGSGNDVGGEVGQGATDAGNQAQIYQDPSILYHFRDMQRRQVEYVKKRVLLLEKGLNAEYQKEYYGELKANEVTSEEPDNAQKVASMPSTSSKEMPSKVFDALPSIEVIDSEEISAATCDDDADRLELPQHYNKICKILEENQGENQSSVDLKKNLLSLEETCGDISRILSLSEPLGGTAAGSESTTAVSPPNQQPADVTEIEMEDSPGESESVKPAGGSGETGKGSMGSDPVSRVVDSDAADSSQIDPKSLGTTTNTNDDVIMEETGNEECKSDGVATETETEKPEAAAGVVLLDD >KJB07676 pep chromosome:Graimondii2_0_v6:1:3405113:3420159:-1 gene:B456_001G036900 transcript:KJB07676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVERLRDRSDRKPIYNLDESDDDADFVSRKSGKTEEKLERIVRDDAKENTCQACGVTENLLNCATCTYAYHPKCLLPPLKAPPLPNNWRCPECVSPLNDIEKILDCEMRPTVADDNDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKSNPRLRTKVNNFHRQMASKNSSEDDFVAIRPEWTTVDRILACRGDEDEKEYLVKYKELSYDECYWEFESDISAFQPEIEKFNKIRSRSRKSARQKSSLRDAVESKKKSKEFQQYEQSPEFLTGGTLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEDNIAPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGSAQARAVIREYEFYHPKKSHKKIKKKKSGHIVSESKQDRIKFDVLLTSYEMINLDTASLKPIMWECMIVDEGHRLKNKDSKLFLSLKQYTTYHRTLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDISQEEQISRLHTMLAPHLLRRVKKDVMKKLPPKKELILRVELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPVIEDANEAHKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKNWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQMNKVMIYRLITRGSIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDAAAIDRLLDREQVGDEEASVDDEEEDGFLKAFKVANFEYKDEAETVVEEEAQKVAVEDKNTMNNSERTSYWEELLRDRYEVHKVEEFNALGKGKRSRKQMVSVEEDDLAGLEDVSSDGEDDNFEAELTDGDTTSSGTQSGRRPYRKRNRVDSTEPIPLMEGEGKSFRVLGFNQSQRAAFVQILMRFGVGDFDWKEFAPRLKQKTYEEIKDYGVLFLSHISEDITESPTFSDGVPKEGLRIQDVLVRIAVLLLVSNKVKTASEHPGTRLFTDDIIMRYPTLKGGKFWKEEHDLLLLSAVLKHGYGRWQAIVDDKELRIQEVICQELNLPFINLPVPGQAGSQVQYGVNVTNIESTGNQTRGNGSGNDVGGEVGQGATDAGNQAQIYQDPSILYHFRDMQRRQVEYVKKRVLLLEKGLNAEYQKEYYGELKANEVTSEEPDNAQKVASMPSTSSKEMPSKVFDALPSIEVIGRP >KJB07674 pep chromosome:Graimondii2_0_v6:1:3403877:3420237:-1 gene:B456_001G036900 transcript:KJB07674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVERLRDRSDRKPIYNLDESDDDADFVSRKSGKTEEKLERIVRDDAKENTCQACGVTENLLNCATCTYAYHPKCLLPPLKAPPLPNNWRCPECVSPLNDIEKILDCEMRPTVADDNDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKSNPRLRTKVNNFHRQMASKNSSEDDFVAIRPEWTTVDRILACRGDEDEKEYLVKYKELSYDECYWEFESDISAFQPEIEKFNKIRSRSRKSARQKSSLRDAVESKKKSKEFQQYEQSPEFLTGGTLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEDNIAPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGSAQARAVIREYEFYHPKKSHKKIKKKKSGHIVSESKQDRIKFDVLLTSYEMINLDTASLKPIMWECMIVDEGHRLKNKDSKLFLSLKQYTTYHRTLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDISQEEQISRLHTMLAPHLLRRVKKDVMKKLPPKKELILRVELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPVIEDANEAHKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKNWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQMNKVMIYRLITRGSIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDAAAIDRLLDREQVGDEEASVDDEEEDGFLKAFKVANFEYKDEAETVVEEEAQKVAVEDKNTMNNSERTSYWEELLRDRYEVHKVEEFNALGKGKRSRKQMVSVEEDDLAGLEDVSSDGEDDNFEAELTDGDTTSSGTQSGRRPYRKRNRVDSTEPIPLMEGEGKSFRVLGFNQSQRAAFVQILMRFGVGDFDWKEFAPRLKQKTYEEIKDYGVLFLSHISEDITESPTFSDGVPKEGLRIQDVLVRIAVLLLVSNKVKTASEHPGTRLFTDDIIMRYPTLKGGKFWKEEHDLLLLSAVLKHGYGRWQAIVDDKELRIQEVICQELNLPFINLPVPGQAGSQVQYGVNVTNIESTGNQTRGNGSGNDVGGEVGQGATDAGNQAQIYQDPSILYHFRDMQRRQVEYVKKRVLLLEKGLNAEYQKEYYGELKANEVTSEEPDNAQKVASMPSTSSKEMPSKVFDALPSIEVIDSEEISAATCDDDADRLELPQHYNKICKILEENQGENQSSVDLKKNLLSLEETCGDISRILSLSEPLGGTAAGSESTTAVSPPNQQPADVTEIEMEDSPGESESVKPAGGSGETGKGSMGSDPVSRVVDSDAADSSQIDPKSLGTTTNTNDDVIMEETGNEECKSDGVATETETEKPEAAAGVVLLDD >KJB07677 pep chromosome:Graimondii2_0_v6:1:3405628:3420159:-1 gene:B456_001G036900 transcript:KJB07677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVERLRDRSDRKPIYNLDESDDDADFVSRKSGKTEEKLERIVRDDAKENTCQACGVTENLLNCATCTYAYHPKCLLPPLKAPPLPNNWRCPECVSPLNDIEKILDCEMRPTVADDNDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKSNPRLRTKVNNFHRQMASKNSSEDDFVAIRPEWTTVDRILACRGDEDEKEYLVKYKELSYDECYWEFESDISAFQPEIEKFNKIRSRSRKSARQKSSLRDAVESKKKSKEFQQYEQSPEFLTGGTLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEDNIAPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGSAQARAVIREYEFYHPKKSHKKIKKKKSGHIVSESKQDRIKFDVLLTSYEMINLDTASLKPIMWECMIVDEGHRLKNKDSKLFLSLKQYTTYHRTLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDISQEEQISRLHTMLAPHLLRRVKKDVMKKLPPKKELILRVELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPVIEDANEAHKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKNWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQMNKVMIYRLITRGSIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDAAAIDRLLDREQVGDEEASVDDEEEDGFLKAFKVANFEYKDEAETVVEEEAQKVAVEDKNTMNNSERTSYWEELLRDRYEVHKVEEFNALGKGKRSRKQMVSVEEDDLAGLEDVSSDGEDDNFEAELTDGDTTSSGTQSGRRPYRKRNRVDSTEPIPLMEGEGKSFRVLGFNQSQRAAFVQILMRFGVGDFDWKEFAPRLKQKTYEEIKDYGVLFLSHISEDITESPTFSDGVPKEGLRIQDVLVRIAVLLLVSNKVKTASEHPGTRLFTDDIIMRYPTLKGGKFWKEEHDLLLLSAVLKHGYGRWQAIVDDKELRIQEVICQELNLPFINLPVPGQAGSQVQYGVNVTNIESTGNQTRGNGSGNDVGGEVGQGATDAGNQAQIYQDPSILYHFRDMQRRQVEYVKKRVLLLEKGLNAEYQKEYYVS >KJB06836 pep chromosome:Graimondii2_0_v6:1:41663118:41663253:-1 gene:B456_001G2099002 transcript:KJB06836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SWSLAAFVGKAPLKVVVFSGVCGKSAAKVSDAVISGVFCGALSL >KJB10794 pep chromosome:Graimondii2_0_v6:1:45787336:45789050:1 gene:B456_001G224800 transcript:KJB10794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKETRLLIGGKRTLNWRYLPHGVVEWLNDNNTMIHIAHKWQNSMMCITNFPYRFLLNLFVHIFL >KJB07173 pep chromosome:Graimondii2_0_v6:1:520474:523900:1 gene:B456_001G005700 transcript:KJB07173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPPGGTPAPSSPPPSTNTTSPPPSAAGAPPPSSPPSQSPPSTATPPPTTTPATPPPTAATPPPTNTPSTPPPTAAAPPPTSPPSPPSGTPSPPPATPSAPPSSNTPSPSASSPPPTSSVSPPPSEAASPPPSSTPSLTPPSTSTPSPPAPRSPGTPSPPPPPSRNSGTPSPPSPPSSSSGISTGLVIGIAIGGVAILLVLSLLFICCQKKRRRRRDDGEIYYAPPPPPPGPPKDHPHGGQQYRWPQNPPPRVDQYGAILPNPTAPVTAWRPPSPEDSPMPSMPPPPPPPPFMSSSGGSGSNNSGSENPLPPPSPGIALGFTKSKFTYEELARATDGFSDANLLGQGGFGYVHRGVLPTGTEVAVKQLKAGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGTTRMLVYEFVPNNTLEFHLHGKGRPTMDWPTRMIIALGSAKGLAYLHEDCNPKIIHRDIKAANILLDFKFEAKVADFGLAKFFSDVNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELISGHRPVGSSYAEDSLVEWARPLLSRALEDGTFNNLIDPRLQKEYNHNEMARMVACAAACVRHSARRRPRMSQIVRALEGESSLSDLNEGMRPGQSNVYSSYGGSSDYDASHYNEDMKRLRRAALGSLEYGASSEYSEQTSEYGLYPSGSTEGQTTREIETGSTKKNSQNHSGNSMS >KJB10752 pep chromosome:Graimondii2_0_v6:1:44874333:44875411:-1 gene:B456_001G221300 transcript:KJB10752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENHSREDHETGGENKEKRPKFFINDHVDILIEILERLDGRSLCVAASVCRLWCTIARNDSLWENLCFSHVSPPPSSVRSVVLALGGYKRLYTGCVRPVRSRLGRVRRASWAGDEVQLSLSLFCVDYYERLGGSNSNGRLVEKSSPSSLMFLCKPVNV >KJB11605 pep chromosome:Graimondii2_0_v6:1:54497394:54498755:-1 gene:B456_001G268000 transcript:KJB11605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKPCCDKVGLKRGPWTIEEDHKLMNFIFNNGIPCWRHVPKLAGLLRCGKSCRLRWINYLRPDVKRGCFTESEEDEIIRLHSQLGNRWSKIASHFPGRTDNEIKNHWNTRIRKKLKLLGLDPVTHKPIENGEKNKQEETKSEDVRKDDEIQTTLDGTETDDLLNNYEILCGSLDSSFSKEESNNPSMATQEEDCLKQWVDCVDSFFSWDNFTHLEEQIPFPPWL >KJB11604 pep chromosome:Graimondii2_0_v6:1:54497524:54498174:-1 gene:B456_001G268000 transcript:KJB11604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLFCMVDAGLLRCGKSCRLRWINYLRPDVKRGCFTESEEDEIIRLHSQLGNRWSKIASHFPGRTDNEIKNHWNTRIRKKLKLLGLDPVTHKPIENGEKNKQEETKSEDVRKDDEIQTTLDGTETDDLLNNYEILCGSLDSSFSKEESNNPSMATQEEDCLKQWVDCVDSFFSWDNFTHLEEQIPFPPWL >KJB11390 pep chromosome:Graimondii2_0_v6:1:52856974:52863511:1 gene:B456_001G256100 transcript:KJB11390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTETKTIPEAEKKKEQSLPFYQLFTFADRYDYMLMISGSLGAIIHGSSMPVFFLLFGEMVNGFGKNQSDLPKMTHEVAKYALYFVYLGLIVCLSSYAEIACWMYTGERQVSTLRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGESKALNSYSDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKTAGYKLMEIIKQKPSITEDHLDGKVLPEVNGNIEFKDVTFSYPSRPDVIIFRNFSIFFPAGKTMAVVGGSGSGKSTVVSMVERFYDPNEGQVLLDNVDIKTLQLKWLRSQIGLVNQEPALFATTILENILYGKPDATMDEVEAAASAANAHNFITLLPNGYSTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDASSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDSIAVIQQGQVVEIGTHEELISKAGAYASLIRFQEMVGNRDFANPSTHRSRSSRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMISNAETERKNPAPHGYFCRLLNLNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYYTNPTSMERKTKEYVFIYIGAGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLGAILRNEVGWFDEEEHNSSLLAAKLAADAADVKPAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILGTFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQNKILSLFCHELRVPQMQSLRRSQVSGLLFGLSQLALYASEALILWYGAHLVNKGASTFSKVIKVFVVLVVTANSVAETVSLAPEIIRGGEAVGSVFSILDRSTRIDPDDPEAEPVESIRGEIELRHVDFAYPSRPDVIVFKDLNLRIRAGQSQALVGASGSGKSSVIALIERFYDPTAGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAASIFDNIAYGKEGATEAEVIEAARAANVHGFVSALPDGYKTPVGERGVQLSGGQKQRIAIARAVLKDPTILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRNVDSIGVVQDGRIVEQGSHSELIAQPEGAYSRLLQLQHHHI >KJB09775 pep chromosome:Graimondii2_0_v6:1:23500972:23501825:-1 gene:B456_001G164300 transcript:KJB09775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLKSAPPFGYADTKSPLTTNQQTSSGWVLPVSTTRKKQPNGNISRSRGRSAPCPRRWVICSQKMLFQSDLAGERSQFAAENKTASERTFVRFFSTKHESLRITVGRWLPKETPIRSAPRLGERMKCIGDHPFVAACSSDLRIRRGPQRFFYVGMAFPLDFPNVELSDFVTFA >KJB10790 pep chromosome:Graimondii2_0_v6:1:45756528:45759919:1 gene:B456_001G224500 transcript:KJB10790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAALRLITTTKSSSSSNAASISPSSGIFLAPRTLSFSASALSGDKLVSKTVTSSRQMKRTPFIISPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGDQLKAMKVDTTILGLDDERAKEMPYIASMGIYVVSKNVMLDLLREKFPGANDFGSEVIPGATSIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADRRFLAAKGSVPIGIGKNSHIKRAIIDKNARIGDNVKIINSDNVQETARETDGYFIKSGIVTVIKDALIPSGTVI >KJB10791 pep chromosome:Graimondii2_0_v6:1:45756528:45759880:1 gene:B456_001G224500 transcript:KJB10791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAALRLITTTKSSSSSNAASISPSSGIFLAPRTLSFSASALSGDKLVSKTVTSSRQMKRTPFIISPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGDQLKAMKVDTTILGLDDERAKEMPYIASMGIYVVSKNVMLDLLREKFPGANDFGSEVIPGATSIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADRRFLAAKGSVPIGIGKNSHIKRAIIDKNARIGDNVKIINSDNVQETARETDGYFIKSGIVTVIKDALIPSGTVI >KJB10789 pep chromosome:Graimondii2_0_v6:1:45756685:45759253:1 gene:B456_001G224500 transcript:KJB10789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAALRLITTTKSSSSSNAASISPSSGIFLAPRTLSFSASALSGDKLVSKTVTSSRQMKRTPFIISPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGDQLKAMKVDTTILGLDDERAKEMPYIASMGIYVVSKNVMLDLLREKFPGANDFGSEVIPGATSIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADRRFLAAKGSVPIGIGKNSHIKRAIIDKNARIGDNVKVDH >KJB07103 pep chromosome:Graimondii2_0_v6:1:5773510:5778324:1 gene:B456_001G058600 transcript:KJB07103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPLLGETLSLLVTARSIDIHPFFKERMSRYGPLFKTSVAGRSIVISADPDFNSFLFQQEGKLVEMWYMDSFAKLLRQDTTAASGYVHKYLRHLVLNHFGVETLKHRLLPQLECAINQRLQEWAKQPEVQLKDQTAAMIFEFTAKHMLSYEPEKSSDTIALNLSNFLEGLMAFPLRIPGTAFYRCKKRQQKVIQVISKLVEERLNSGEGGRISKTDFLDQIVEDMRTESFLTKEFATHVLFGILLASFETVSSTIALAIKYLSDHPSLLQQLTEEHEQILNKKRRDCNPGLEDGLEWEDYKSMHFTRNVINESLRLANVAPGILRRVIKDIHVNGYIIPQGWAIMVVPAALQLNPEAFEDPLTFNPSRWKNIGSNATAKNFMAFGGGNRTCAGADFSKVLMAIFLHVLVTKYRWKKMKGGDVVRAPTLGFADGFTISVLEKQA >KJB10143 pep chromosome:Graimondii2_0_v6:1:29769427:29769974:1 gene:B456_001G186400 transcript:KJB10143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKHDFCECSAWAFLSFPWGRKGRQIRRESGWLLGQNGSSSEEEMTTTSFVLFCLKRNLIGSVNWDIAFVFLLCTKIEKVEMPKS >KJB08555 pep chromosome:Graimondii2_0_v6:1:9618655:9620814:1 gene:B456_001G089000 transcript:KJB08555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIICVKINIPEFETIVQDYHNKNGRTNNSLYIFFISFSHFVQNYCFNPKNLPPSPPSLPVFGHLHLLETLDFRFYHRLSQKHGPVFSLRLGSQLFVVVSYSVLAEECFTKNDIILANRPNRLNSIITVRKDEVQRLLVRLSRDSRRGFAKVELKSMLNDLTFNNIMRMVAGKRYYGAKYEVKKIGKKLDGLLQKLVDEHRRMKQENNNNGSVIDYLLNLQQFDPHYYTDEIIKGLMLVILVGTDTTPTSNLLSHPKVLKKAQAEIDTEIGQENLIDEPNVLKLKVPPLLIPLVPSSDCTIGRYDVSCCTIVWVNWERVDGKDIDMTEGTGGTMPKAHPLDPLLINS >KJB11475 pep chromosome:Graimondii2_0_v6:1:53592380:53594388:1 gene:B456_001G260600 transcript:KJB11475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPISKSIVMFSGYIALGLVIIGLILCRLKKKKRERVEAPSPCKVASVDDIVDTKPSFTSTDFKTDVSKSDYSIYSTESAFVPSSLVVLTSPIISDLKFEDLLSAPAELLGRGKHGTLYRVIFENGMVLAVKRIKGWMISTDEFKHRMQRLDQAKHLNVLPALAFYCSIHEKLLVYEYQPNGSLFSLLHGKQPRRKFEWASRLKIAAKIAGALAYMHRELYVDGIPHGNLKSTNILLKNNMEPCISEYGLMVMDPQDPSSSLNGLNSMQQQTKGTAPNGFKADIYGFGVILLELLTGKLVQNDGIDLTSWVHSVVREEWTVEVFDKSIILDGASEERMLNLLQVAIKCVNQAPESRPSISQVVSMINTIKEEDDKSLVYEP >KJB07874 pep chromosome:Graimondii2_0_v6:1:4736851:4737381:-1 gene:B456_001G049800 transcript:KJB07874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNASNNHEKSCKYRGVRMRSWGKWVSEIREPKKNSRIWLGTFPTAEMAARAHDAASIAIKGESAYLNFPKLAHQLPRPATCSHRDIQKAANEAAHGNGNGNGMVGEEEEERFEGEAASSTTMSSEDSDLSMWFDLPDLSLEPDGTHHRFGFNSWWQHEQAGIHTDFQFEETIME >KJB08836 pep chromosome:Graimondii2_0_v6:1:12094860:12095805:1 gene:B456_001G106900 transcript:KJB08836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTCISNCINDTRVPLPVRPTYVNLYKWPESDAEFVRSLSSDWRSNGGRAHPTVVDSISCRQIYLRSYTFHRNNQTETENTKCFGRSSVNKKEKAGKISPRRKNKSETVATKKCTALRRAKEVSCAALLAMFRRLLACTSKVDVADHHGD >KJB06561 pep chromosome:Graimondii2_0_v6:1:9841357:9842696:-1 gene:B456_001G090100 transcript:KJB06561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRGAPKQAWRKGPWTHEEDKLLAEYVTFHGEGRWSSVARSTGLNRSGKSCRLRWVNYLRPGLKRGHITPQEEGIMVELHALWGNKWSTIAKYLPGRTDNEIKNYWRTHFMKKERSAQRQQKRKALKLKQQPPQPNKEETAAVGDGGGATDHEGRMCEEAATLLDEYLMNEGLWWNQQQQANNIAMQMQQVACNCYGGGVISNGGCIF >KJB09717 pep chromosome:Graimondii2_0_v6:1:22744792:22746509:1 gene:B456_001G158500 transcript:KJB09717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYDAAFVNTELSKPTSIFGLRLWVVIGILLGSLIVLTLFLLSLCLTTRRKSRRHQHLDPTPPISKEIQEIVHHPPAPDHHHAAPPVPEIQVDLGKVEHRVVFSSGESRGPTSAAASVSETASFGSGSVGPEVSHLGWGRWYTLRELELATNGLCEENVIGEGGYGIVYSGVLTDGAKVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLEQWVHGDVGDVSPLTWEIRMNIIVGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRQWNPKVSDFGLAKLLCSENSYVTTRVMGTFGLVIPFCLLTRSLFFLFKKKKKKFEFNHYECILVL >KJB09715 pep chromosome:Graimondii2_0_v6:1:22744569:22747554:1 gene:B456_001G158500 transcript:KJB09715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYDAAFVNTELSKPTSIFGLRLWVVIGILLGSLIVLTLFLLSLCLTTRRKSRRHQHLDPTPPISKEIQEIVHHPPAPDHHHAAPPVPEIQVDLGKVEHRVVFSSGESRGPTSAAASVSETASFGSGSVGPEVSHLGWGRWYTLRELELATNGLCEENVIGEGGYGIVYSGVLTDGAKVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLEQWVHGDVGDVSPLTWEIRMNIIVGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRQWNPKVSDFGLAKLLCSENSYVTTRVMGTFGYVAPEYACTGMLNEKSDVYSFGILIMEIISGRSPVDYSRPPGEVNLVEWLKTMVGNRKSEEVVDPKLPEMPASKALKRVLLVALKCVDPDATKRPKMGHIIHMLEADDLLFRDERRIAREQSNSRSDDHLMNRNSTKVGERRFDGASASDTSEGDSGRNYHQPSRWR >KJB09713 pep chromosome:Graimondii2_0_v6:1:22744626:22747522:1 gene:B456_001G158500 transcript:KJB09713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYDAAFVNTELSKPTSIFGLRLWVVIGILLGSLIVLTLFLLSLCLTTRRKSRRHQHLDPTPPISKEIQEIVHHPPAPDHHHAAPPVPEIQVDLGKVEHRVVFSSGESRGPTSAAASVSETASFGSGSVGPEVSHLGWGRWYTLRELELATNGLCEENVIGEGGYGIVYSGVLTDGAKVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLEQWVHGDVGDVSPLTWEIRMNIIVGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRQWNPKVSDFGLAKLLCSENSYVTTRVMGTFGYVAPEYACTGMLNEKSDVYSFGILIMEIISGRSPVDYSRPPGEVNLVEWLKTMVGNRKSEEVVDPKLPEMPASKALKRVLLVALKCVDPDATKRPKMGHIIHMLEADDLLFRDERRIAREQSNSRSDDHLMNRNSTKVGERRFDGASASDTSEGDSGRNYHQPSRWR >KJB09716 pep chromosome:Graimondii2_0_v6:1:22744626:22747522:1 gene:B456_001G158500 transcript:KJB09716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYDAAFVNTELSKPTSIFGLRLWVVIGILLGSLIVLTLFLLSLCLTTRRKSRRHQHLDPTPPISKEIQEIVHHPPAPDHHHAAPPVPEIQVDLGKVEHRVVFSSGESRGPTSAAASVSETASFGSGSVGPEVSHLGWGRWYTLRELELATNGLCEENVIGEGGYGIVYSGVLTDGAKVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLEQWVHGDVGDVSPLTWEIRMNIIVGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRQWNPKVSDFGLAKLLCSENSYVTTRVMGTFGFGILIMEIISGRSPVDYSRPPGEVNLVEWLKTMVGNRKSEEVVDPKLPEMPASKALKRVLLVALKCVDPDATKRPKMGHIIHMLEADDLLFRDERRIAREQSNSRSDDHLMNRNSTKVGERRFDGASASDTSEGDSGRNYHQPSRWR >KJB09714 pep chromosome:Graimondii2_0_v6:1:22744626:22747023:1 gene:B456_001G158500 transcript:KJB09714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYDAAFVNTELSKPTSIFGLRLWVVIGILLGSLIVLTLFLLSLCLTTRRKSRRHQHLDPTPPISKEIQEIVHHPPAPDHHHAAPPVPEIQVDLGKVEHRVVFSSGESRGPTSAAASVSETASFGSGSVGPEVSHLGWGRWYTLRELELATNGLCEENVIGEGGYGIVYSGVLTDGAKVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLEQWVHGDVGDVSPLTWEIRMNIIVGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRQWNPKVSDFGLAKLLCSENSYVTTRVMGTFGYVAPEYACTGMLNEKSDVYSFGILIMEIISGRSPVDYSRPPGEVRLDLLNFLLYSLP >KJB09105 pep chromosome:Graimondii2_0_v6:1:15387290:15388203:1 gene:B456_001G123200 transcript:KJB09105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFSSPSTVVRLGSATSFSSPPNNTCLSSGFLKSSVRGRNPLRLAGACGGKFTCFERDWLRRDFNVIGFGLIGWIAPSSIPAINGKSLTGLLFESIGTELAHFPTPPPPTSQFW >KJB09104 pep chromosome:Graimondii2_0_v6:1:15387258:15388882:1 gene:B456_001G123200 transcript:KJB09104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFSSPSTVVRLGSATSFSSPPNNTCLSSGFLKSSVRGRNPLRLAGACGGKFTCFERDWLRRDFNVIGFGLIGWIAPSSIPAINGKSLTGLLFESIGTELAHFPTPPPPTSQFWLWLVLWHLGLFITLTLGQIGFKGRTEDYF >KJB09970 pep chromosome:Graimondii2_0_v6:1:27071670:27074246:1 gene:B456_001G178700 transcript:KJB09970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHWLLLNGFPALKLKIQSIHVEGRLDEKVGSDMEIDKQATVTLGEEFYLGGVVGAALIMAGLYLVILGKSEESKYLSENEPIYSVSENNDMESTFIRPLLGNKLQS >KJB09253 pep chromosome:Graimondii2_0_v6:1:16847504:16848852:-1 gene:B456_001G131700 transcript:KJB09253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRYSFLLVALALVFISMGASLAQGQGKAGNNKKAPYDAASTHYEVLKPSKKGQEKFLCLARGACNGKHLTCPSQCPERKPKKNKKNKGCFVDCSSKCEVTCKWRKPHCNGYGSLCYDPRFVGGDGVMFYFHGAKGGNFAIVSDDNLQINAHFIGTRPQGRTRDYTWVQALAVMFDRHTLVLAAKRVSQWDDSFEALIVRWDGEEVNVPTDGDAEWRATDSNEREVVIERTDETNGVKVTVAGLVELNVKVRAIGEEENKVHNYQLPANDAFAHLETQFKFTNLTDLVEGVLGKTYQPDYISPVKRGVPMPMMGGEDNYQTPSLYSPVCKACKFKGQVDFASI >KJB08671 pep chromosome:Graimondii2_0_v6:1:10838014:10842482:1 gene:B456_001G096900 transcript:KJB08671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNRQVQNNVVSNQSADNIEAILRLKINDNNQEVGVSKSVSYPDRPGEPDCSYYLRTGSCGYGSNCRFNHPAYDAQGGQYREELPERVGQPDCGYFLKTGTCKYGSTCKYHHPKDRNGAGPVTFNILGLPMRQDEKSCPYFMRTGSCKFGVACKFHHPQPASAGTGLPVNGPVGSSVLPPTGVPYAGGLSTWSLPRAPFVSGPHLQTQSYMPVVVSPSQNIIPANGWSTYMGNMSPASSAGILGSNYTYNSMNPAELGSSGQMLLSSAPASNFPERPDQPECRYYMNTGTCKYGSDCKYHHPKERIANSAMSSVGPLGLPSRPGQPVCSSYTMYGLCKYGPTCRFDHPYTGYPYNYALSFPLSMFDTSLLTYQRMTPPPNLYEAPLPSRVPDWARNTDPPSKKHQNLVTKNSDDSSEQVASPPHSLQSSSKTSHD >KJB08672 pep chromosome:Graimondii2_0_v6:1:10838045:10842541:1 gene:B456_001G096900 transcript:KJB08672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNRQVQNNVVSNQSADNIEEAILRLKINDNNQEVGVSKSVSYPDRPGEPDCSYYLRTGSCGYGSNCRFNHPAYDAQGGQYREELPERVGQPDCGYFLKTGTCKYGSTCKYHHPKDRNGAGPVTFNILGLPMRQDEKSCPYFMRTGSCKFGVACKFHHPQPASAGTGLPVNGPVGSSVLPPTGVPYAGGLSTWSLPRAPFVSGPHLQTQSYMPVVVSPSQNIIPANGWSTYMGNMSPASSAGILGSNYTYNSMNPAELGSSGQMLLSSAPASNFPERPDQPECRYYMNTGTCKYGSDCKYHHPKERIANSAMSSVGPLGLPSRPGQPVCSSYTMYGLCKYGPTCRFDHPYTGYPYNYALSFPLSMFDTSLLTYQRMTPPPNLYEAPLPSRVPDWARNTDPPSKKHQNLVTKNSDDSSEQVASPPHSLQSSSKTSHD >KJB08674 pep chromosome:Graimondii2_0_v6:1:10838192:10843009:1 gene:B456_001G096900 transcript:KJB08674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNRQVQNNVVSNQSADNIEEAILRLKINDNNQEVGVSKSVSYPDRPGEPDCSYYLRTGSCGYGSNCRFNHPAYDAQGGQYREELPERVGQPDCGYFLKTGTCKYGSTCKYHHPKDRNGAGPVTFNILGLPMRQDEKSCPYFMRTGSCKFGVACKFHHPQPASAGTGLPVNGPVGSSVLPPTGVPYAGGLSTWSLPRAPFVSGPHLQTQSYMPVVVSPSQNIIPANGWSTYMGNMSPASSAGILGSNYTYNSMNPAELGSSGQMLLSSAPASNFPERPDQPECRYYMNTGTCKYGSDCKYHHPKERIANSAMSSVGPLGLPSRPGQPVCSSYTMYGLCKYGPTCRFDHPYTGYPYNYALSFPLSMFDTSLLTYQRMTPPPNLYEAPLPSRVPDWARNTDPPSKKHQNLVTKNSDDSSEQFLDMLHWVRLPLNVKPCGGTLKGGGRAKMEI >KJB08673 pep chromosome:Graimondii2_0_v6:1:10838192:10843009:1 gene:B456_001G096900 transcript:KJB08673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNRQVQNNVVSNQSADNIEEAILRLKINDNNQEVGVSKSVSYPDRPGEPDCSYYLRTGSCGYGSNCRFNHPAYDAQGGQYREELPERVGQPDCGYFLKTGTCKYGSTCKYHHPKDRNGAGPVTFNILGLPMRQDEKSCPYFMRTGSCKFGVACKFHHPQPASAGTGLPVNGPVGSSVLPPTGVPYAGGLSTWSLPRAPFVSGPHLQTQSYMPVVVSPSQNIIPANGWSTYMGNMSPASSAGILGSNYTYNSMNPAELGSSGQMLLSSAPASNFPERPDQPECRYYMNTGTCKYGSDCKYHHPKERIANSAMSSVGPLGLPSRPGQPVCSSYTMYGLCKYGPTCRFDHPYTGYPYNYALSFPLSMFDTSLLTYQRMTPPPNLYEAPLPSRVPDWARNTDPPSKKHQNLVTKNSDDSSEQKKKLSAVFGHASLGSTATERETVRRNFERRWTGKNGNMTEVVIFTVAKTIICY >KJB10140 pep chromosome:Graimondii2_0_v6:1:29666385:29669711:-1 gene:B456_001G186000 transcript:KJB10140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYAFVARGTMVLADYTEFTGNFTGIASQCLQKLPASNNKFTYNCDGHTFNYLVDNGYTYCVVAVESVGRQVPIAFLERIKEDFTKRYGGGKAATASANSLSKEFGPKLKEHMEYCIDHPEEISKIAKVKAQVSEVKGVMMENIEKVLDRGGKIEILVDKTENLRSQAQDFRQQGTRMRRKMWLQNMKVKLIVLGILIALILIIVLSICGGFHC >KJB08829 pep chromosome:Graimondii2_0_v6:1:11992089:11995872:-1 gene:B456_001G106500 transcript:KJB08829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKGESGQERKENPKQWTLESIAIDKNPAGVEVSRKSISQEKQQADLSGKAGRNPSSSQGLNRNVSKASSFRKLMSTARKRTNGPPPTGIHRLDRTASSAAKGLESLRFLDKKVTGKDSDAWKPTEKRFNQFAVEGKLHRDKFGICVGMGDSKEFAEGLYDALARRKGLNPEEGITKEELHSFWDEITNQNLDSRLQIFFDMCDKNGDGKLSEDEVKEIIMLSASANKLGRLKDQAGTYASLIMEELDPDHLGYIELWQLDILLRGMVASNDPKPACKREKSLAKAMIPKNYRTPISRYKTITIEYLNDHWRRIWVIFLFMAVNLGLFYWKYVEFIGSPTYQITGYCVCVAKGSAEALKFNMALVLLPVCRRTLTWLRSSFLNQFFPFDDNINFHKLVALAIAIWSTVHTFMHLACNYPLIASCPKAKFMATLGPVLNYKQPTYADLVDNVVGITGILMVVIMLFSFILATHNFRRNVVKLPWPFTTLAGFNSFWYAHHLLILVYVQMILHGYILIFEKPWYQKTAVIYTGNVLAIYMTKPPGFEYRSGMYLFIKCPDVSKFEWHPFSITSAPKEDYLSVHIRALGDWTSELRNKFKKVCEPPTVGGKRGELLRMETKTVNHASGSSNYKGPQQEQQNFPEIYIKGPYGAPAQDYNKYDILFLIGLGIGATPFISIIKDLLSNIKPDGKEEENCSCLKTGRKYPERAYFYWVTREQDSFEWFKGVMDDIAEFDKNKMIEMHNYLTSVYEEGDARSALIGMVQKMQQAKNGVDIVSESRITTHFARPNWRKVFTAMANNHPASRIGVFYCGSATLTQVLKNLCHEFSLETSTRFQFHKENF >KJB07295 pep chromosome:Graimondii2_0_v6:1:1368039:1372103:1 gene:B456_001G014300 transcript:KJB07295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFGKRKTPAELLRENKRMLDKSIREIERERQGLQNQEKKLIAEIKKTAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGRMNRQMNLPSLQKIMQEFEMQNEKMEMVSEVMGDAIDDALEGDEEEEETEELVSQVLDEIGIDINQELVNAPSAAVSAPAAKGKVAQAEATGNDDGGIDSDLQARLDNLRRM >KJB07297 pep chromosome:Graimondii2_0_v6:1:1368046:1372103:1 gene:B456_001G014300 transcript:KJB07297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFGKRKTPAELLRENKRMLDKSIREIERERQGLQNQEKKLIAEIKKTAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGRMNRQMNLPSLQKIMQEFEMQNEKMEMVSEVMGDAIDDALEGDEEEEETEELVSQVLDEIGIDINQEVLFSPFLVHNINSSHFLP >KJB07296 pep chromosome:Graimondii2_0_v6:1:1368046:1372103:1 gene:B456_001G014300 transcript:KJB07296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQNQEKKLIAEIKKTAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGRMNRQMNLPSLQKIMQEFEMQNEKMEMVSEVMGDAIDDALEGDEEEEETEELVSQVLDEIGIDINQELVNAPSAAVSAPAAKGKVAQAEATGNDDGGIDSDLQARLDNLRRM >KJB09917 pep chromosome:Graimondii2_0_v6:1:25680053:25681913:1 gene:B456_001G174900 transcript:KJB09917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISSHLPRWLQVLLTEKFFNACVIHEEAKKNEKNVYCLDCCISICPHCLSPHSSHRLLQIRRYVYHDVIRLDDATKLMDCASVQSYIINSAKVIFINQRPQTRQFRGSGNFCTTCDRCLQHPYLFCSLSCKIDNLLRTEYGLSKFLYDCNYLPLPDVGLDDGMVTPEWVLEPSGSTKTSSGSDGYGEEAWCRALSCTATTEIVRKKRSSLTCPSVSGSLMNRRKKPPHRAPLY >KJB08097 pep chromosome:Graimondii2_0_v6:1:6446003:6451274:-1 gene:B456_001G064600 transcript:KJB08097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVEEKISKSPSLEDSKKKRKRKRNRANKAEHPNPDNNNDREEEKHEEDDAQAREVEINDKKNNNKKSKKLRSEEDDEQEERGNNEEEEEEQEGEKEEIKEKMNIGGSGIMSTESFESLGLSEPTIKAIKEMGFQFMTQIQARAIPPLMVGKDVLGAARTGSGKTLAFLVPAVELLYNVRFTPRNGTGVIVICPTRELAIQTHAVAKDLLKYHSQTLGLVIGGSARRGEAERIVKGVNLLVATPGRLLDHLQNTKGFIYKNLKCLMIDEADRILEANFEEEMKQIIKYLPKQNRQTALFSATQTKKVEDLARLSFQTTPIYIDVDDGRKKVTNEGLQQGYCVVHSSKRFILLYSFLKRNMSKKVMVFFSSCNSVKFHAELLRYIHVDCLDIHGKQKQQKRTTTFFDFCKAEKGILLCTDVAARGLDIPAVDWILQYDPPDEPKEYIHRVGRTARGEGAKGNALLFLIPEELQFLRYLKSAKVPVKEYEFDEKKLANVQSHLEKLVENNYYLNKSAKDAYRSYILAYNSHSMKDIFNVHRLDLQAVAASFFFSCPPKVNLNIDSNASKSRKKMRKVEGTRNNFSESNPYGRQGSEAETRQFVRY >KJB08096 pep chromosome:Graimondii2_0_v6:1:6445636:6451393:-1 gene:B456_001G064600 transcript:KJB08096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVEEKISKSPSLEDSKKKRKRKRNRANKAEHPNPDNNNDREEEKHEEDDAQAREVEINDKKNNNKKSKKLRSEEDDEQEERGNNEEEEEEQEGEKEEIKEKMNIGGSGIMSTESFESLGLSEPTIKAIKEMGFQFMTQIQARAIPPLMVGKDVLGAARTGSGKTLAFLVPAVELLYNVRFTPRNGTGVIVICPTRELAIQTHAVAKDLLKYHSQTLGLVIGGSARRGEAERIVKGVNLLVATPGRLLDHLQNTKGFIYKNLKCLMIDEADRILEANFEEEMKQIIKYLPKQNRQTALFSATQTKKVEDLARLSFQTTPIYIDVDDGRKKVTNEGLQQGYCVVHSSKRFILLYSFLKRNMSKKKQQKRTTTFFDFCKAEKGILLCTDVAARGLDIPAVDWILQYDPPDEPKEYIHRVGRTARGEGAKGNALLFLIPEELQFLRYLKSAKVPVKEYEFDEKKLANVQSHLEKLVENNYYLNKSAKDAYRSYILAYNSHSMKDIFNVHRLDLQAVAASFFFSCPPKVNLNIDSNASKSRKKMRKVEGTRNNFSESNPYGRQGSEAETRQFVRY >KJB08098 pep chromosome:Graimondii2_0_v6:1:6445762:6451333:-1 gene:B456_001G064600 transcript:KJB08098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVEEKISKSPSLEDSKKKRKRKRNRANKAEHPNPDNNNDREEEKHEEDDAQAREVEINDKKNNNKKSKKLRSEEDDEQEERGNNEEEEEEQEGEKEEIKEKMNIGGSGIMSTESFESLGLSEPTIKAIKEMGFQFMTQIQARAIPPLMVGKDVLGAARTGVIVICPTRELAIQTHAVAKDLLKYHSQTLGLVIGGSARRGEAERIVKGVNLLVATPGRLLDHLQNTKGFIYKNLKCLMIDEADRILEANFEEEMKQIIKYLPKQNRQTALFSATQTKKVEDLARLSFQTTPIYIDVDDGRKKVTNEGLQQGYCVVHSSKRFILLYSFLKRNMSKKVMVFFSSCNSVKFHAELLRYIHVDCLDIHGKQKQQKRTTTFFDFCKAEKGILLCTDVAARGLDIPAVDWILQYDPPDEPKEYIHRVGRTARGEGAKGNALLFLIPEELQFLRYLKSAKVPVKEYEFDEKKLANVQSHLEKLVENNYYLNKSAKDAYRSYILAYNSHSMKDIFNVHRLDLQAVAASFFFSCPPKVNLNIDSNASKSRKKMRKVEGTRNNFSESNPYGRQGSEAETRQFVRY >KJB09103 pep chromosome:Graimondii2_0_v6:1:15383802:15385299:1 gene:B456_001G123100 transcript:KJB09103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTTSLPLHQGGITPISALHPDIFQSHILALLDGPSLASLACVSSQLHALSTHDILWFNICSSTWPSLNHPRLQQIISTFPSGHRSFFSDSFPFPDLQPLKLDVNSCTLPTELIFAVDVYYQNQIIYSKVEELDTSSSWFLCSPFRVDLLDPKDSASTPVRYLGGSQDEAWLQHLEENLSLSWIVINPTRKKAVNVSSRRAVSVQRHWLTGDVQVRFGTVTAGDEGRGSSRELVECGVVVTCCGKEGGEMHVREVCMVMEDMEGKGLNGKDSLVILEGVIEQGRRKGGEGNEGKGKFEEFQERKRERKEENQRKERVLDLVCITVGVVGFVSFWSAILFK >KJB11285 pep chromosome:Graimondii2_0_v6:1:52281652:52282757:1 gene:B456_001G251600 transcript:KJB11285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGSTATGPGSPCGACKFLRRKCATDCIFAPYFSSEQGPARFAAIHKVFGASNVSKLLLHIPAHDRCEAVVTIAYEAQARIRDPVYGCVAHIFALQQQVECLQAQLMQVKAQLAQTGMNSNHINGTQYWQGHLSGLFPSFLSYPSSSNNPISPQSSLDSVEVEHHTADNMNMQEIQSLQDFCFHGYPNKTPCDSDLGELQAIALRMMRN >KJB07718 pep chromosome:Graimondii2_0_v6:1:3763182:3765885:1 gene:B456_001G040700 transcript:KJB07718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYPESRSSFMRTPQSTYAGSDAIGIWPEFPMNDWQFDPHSEFEQQQQQQQQSPPFKRPRHSEDNQSNIVQCTPTIPRMPSNPPTNKGTTNIFFKTRMCAKFRLGTCRNGEGCNFAHGIEDLRQPPPNWQELVGGREEERPSGNWDDDQKIIHRMKLCKKYYNGEECPYGDRCNFLHEDPAKFRDDMGRFRESSAISIGTTAPPAGHGTASEQSEGNRPLNGGSSDAFRGNTKPVYPVYWKTKLCTKWETTGHCPFGEKCHFAHGQTELQGMNGRIDGDFGNMGSGLTRIGSVSTKIHNLPANDPPPVTSSALSLDEKAQAKNCLFKWKGPRKINRIYGDWLDDMPLVHNLPSQVES >KJB07717 pep chromosome:Graimondii2_0_v6:1:3763182:3765830:1 gene:B456_001G040700 transcript:KJB07717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDWQFDPHSEFEQQQQQQQQSPPFKRPRHSEDNQSNIVQCTPTIPRMPSNPPTNKGTTNIFFKTRMCAKFRLGTCRNGEGCNFAHGIEDLRQPPPNWQELVGGREEERPSGNWDDDQKIIHRMKLCKKYYNGEECPYGDRCNFLHEDPAKFRDDMGRFRESSAISIGTTAPPAGHGTASEQSEGNRPLNGGSSDAFRGNTKPVYPVYWKTKLCTKWETTGHCPFGEKCHFAHGQTELQGMNGRIDGDFGNMGSGLTRIGSVSTKIHNLPANDPPPVTSSALSLDEKAQAKNCLFKWKGPRKINRIYGDWLDDMPLVHNLPSQVES >KJB07747 pep chromosome:Graimondii2_0_v6:1:4118546:4121626:-1 gene:B456_001G043500 transcript:KJB07747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVVVLDNGGGFIKAGQGGERNPAVVIPNCLYRPLTSKKFLHPTTTFSSSPDDLTSAAIRRPIDRGYLINPDLQRDIWSHLFTSLLHVTPSSSSLLLTEPLFSLPSIQRSTDELVFEDFGFSSLVVADSPSLVHLYETSCRPCGLVSEAQCSLVVDCGFSFTQAAPVFQNITLNYGVKRIDLGGKALTNYLKELVSYRAINVMDETLLMDDVKEKLCFVSLDVERDLQFARKHGKDNLFRCTYVLPDGVTHTKGYVKDPEAAKRHLALTDGASSSEAIETKNETDQLGVMDKTAERKRVDLTKNEFDLTNERFLVPEMIFQPADLGMNEAGLAECIVRAVNACHPYLHPVLYQSIILTGGSTLFPRFVKRLEKDLRPLVPDDYQVNITTQEDPILGVWRGGSLLASSPDYELMRVTKAEYEELGSARCRRRFFR >KJB09995 pep chromosome:Graimondii2_0_v6:1:27418104:27422069:-1 gene:B456_001G179400 transcript:KJB09995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFSKKPNPKEALRESKREMAHATRGIEKEIGTLQLEEKKLVAEIKKTAKTGNEAATKTLARQLVRLRQQIAKLQSSRAQMRGIATHTQAMHAQSSVAVGMKGATKAMSAMNKQMAPEKQAKVIREFQKQSGQMDMTTEMMSDAIDDALDDDEAEDETEDLTNQVLDEIGVDVASQLSSAPKGRIAGKNTEGVGSSGVDELEKRLAALRNP >KJB09996 pep chromosome:Graimondii2_0_v6:1:27418836:27421703:-1 gene:B456_001G179400 transcript:KJB09996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFSKKPNPKGIEKEIGTLQLEEKKLVAEIKKTAKTGNEAATKTLARQLVRLRQQIAKLQSSRAQMRGIATHTQAMHAQSSVAVGMKGATKAMSAMNKQMAPEKQAKVIREFQKQSGQMDMTTEMMSDAIDDALDDDEAEDETEDLTNQVLDEIGVDVASQLSSAPKGRIAGKNTEGVGSSGVDELEKRLAALRNP >KJB08786 pep chromosome:Graimondii2_0_v6:1:11563313:11564146:1 gene:B456_001G103600 transcript:KJB08786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLLLKFVFSLVTTLSNLVTRSIFIATAYVLVVLIQAFKVPGEALQVALEKLADAIKVCFEYFFEMIVELMGSLISTVFDSFVDAVTTSASVSGEAVGILVEKTRMSLQELLTGLPEIAEGFSEMVSTVVTDLWNNCMQALGYVTENA >KJB07824 pep chromosome:Graimondii2_0_v6:1:4390136:4390712:1 gene:B456_001G045900 transcript:KJB07824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYSLNAKEVSLPIAMAVKYTQLHLFSVILWLSLYLLFFGWCHLFDISHQVSTSHHHHHNRKVLATKFDFTPFLDHKHQSQVPVAHPQPSGNDIDPRYGVEKRLVPTGPNPLHH >KJB07266 pep chromosome:Graimondii2_0_v6:1:5791178:5794763:-1 gene:B456_001G058800 transcript:KJB07266 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MADHSVKPNTNSKLSFTSQNHNVTKREKEKRKDKKCEFEFCKVCKLNHNQGPRHKYFPNHKTALSSFLCRFQNKINDVRFFLRNPNVLRPEHADRNRFWCVFCDTDVDELDSSFACENAINHLAGGHHLKNLKHFLWQYGGKMDQLDKYRISETDLTKWEKKCESLKVEAMVAPTEGSGLKYGASNDIHYNVNIEKINNLGQNTLNSVKPSYSNVVLPLQYNTNEYQISNSRFPEVSHFGSNFHEANFSNTSLLNSNDLKANSSGQQNLLYNGICSGNAYLSDVGGCQVYQNASMVSRESSSQGLQSLTQVSSISTIDAGGNVHSGALPPWLEAADQTLLNNQVKPALSSFISSNKSQKSRKLNPKRVGAAWAEKRKMELEKEKRGEIVESDCDANWLPNFGRVWQSGSRKESRKEFDIEKHKFLKVESHSEMPVKIQPYISKRMRKDIGE >KJB08283 pep chromosome:Graimondii2_0_v6:1:7673996:7675164:1 gene:B456_001G075000 transcript:KJB08283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYTNSSKGDAEQLRRGTWSAEEDSLLIHYIGLHGEGHWNLLAKRAGLRRTGKSCRLRWLNYLKPDVKHGNLTPQEECLILQLHSKWGNRWSKIAKYLPGRTDNEIKNYWRTRVNKHARHLKIDANSTPFQHVIRCCNWMPRLLPEMESLNQTSQHDQELLTMEQVPVQEQISGSTDIMSTISQVAEYQTSPFSIISNNDDYALAKYCYHDDNIDKNCCYYNLASTSAFEDFPYLVGDCHKPDYNCVNDGFADGLWSMGEQ >KJB08284 pep chromosome:Graimondii2_0_v6:1:7673996:7675174:1 gene:B456_001G075000 transcript:KJB08284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYTNSSKGDAEQLRRGTWSAEEDSLLIHYIGLHGEGHWNLLAKRAGLRRTGKSCRLRWLNYLKPDVKHGNLTPQEECLILQLHSKWGNRWSKIAKYLPGRTDNEIKNYWRTRVNKHARHLKIDANSTPFQHVIRCCNWMPRLLPEMESLNQTSQHDQELLTMEQVPVQEQISGSTDIMSTISQVAEYQTSPFSIISNNDDYALAKWSMEHGGTMTT >KJB09514 pep chromosome:Graimondii2_0_v6:1:20161963:20164336:1 gene:B456_001G147300 transcript:KJB09514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVLQLIELDEIKDALVGTCGVSGISTEQRKRLTIAVELVSNPSIIFMDEPTTGLDARAAVAVMRVVKNIVSTKRTVVCTIHQPSIDIFEAFNEIILMKRGGQIIYSGELGQNSCNLIEYFEGIPGVSKIKENYNPATWMLEVTNPSVEAELGVDFAHLYKESHLYQRNKKLVNELRVPTQGLEELHFTTHFSQNRWEQFKTCLWKQHLSYWRNPTYNLGRLILAMVHLWQKVDKDQDLFNIMGSVYVFTISIGASNLLSILPIVTSQRTIMYRERFAGMYPSKAHSLAQVIIEIPYIFLEATLFLIISYPAVNLYESAYKVSWYFYDIFCTLLNYKYMGMAIASLSSTYQMASICGSFCITVVNLFSGFLIPQPMLPKWWVWFYWIIPTSWTLRGLITSQYGDINREIIAFGKRKTITAFLKSHYGFKHEDMLLTAILLLAYPIFFASIFTFFTAKLNFQRR >KJB10632 pep chromosome:Graimondii2_0_v6:1:42421341:42427132:1 gene:B456_001G212600 transcript:KJB10632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSDGKLPINFFQTSSDNAHFDASRYEFFGQNAMEEVELGGLEDGEVEAPVFASIEDDEYHLFDRGDVGSLGSLSDMDDLASTFAKLNRVVTGPRHPGVIGDRSGSFSRESSSATDWAVDGEHLNWMDQHMFETEDSQESKRWSSQPQLTSARFSELKPLYRTSSYPQQLPEPHFSSEPVVEPKPSIFTSFPPPGSRCQQSSPGNLKVPVLTSGSPSPFSAASLSSLSNSSLHLAGLSHGLHFGGNLSQLTSPGPSFSSMSLNHWVNQSGLLHGERAGLLHLMLQQQIPHQNGLLFPQLMSPQQQRIHHSVQPSLAHFAALQSQVYKSHPSHKMVFGLADLREHRTKSSQRNRQSMRLSQQSSDAGSQKSESGLVQFRSKYMTGEEIESILKMQHAATHGNDPYVDDYYHQACVAKRSSGSRAKHHFYPSHPKESHSRTRNSGEQHLHHHVDALGKVPLSSIRRPRPLLEVDPPLGSGDGSDQKTERPLEQEPMLAARITIEDGLYLLLEIDDIDRLIQFSQPQDGGAQLKRRRQVLLEGMAASLQLVDPLSKGGHAVSCAPKDDIVFLRLVSLAKGRKLITRLLQVLIPVSELMRIVCMTIFRYLRFLFGGLSADLEAAETTNDLAKTVSVCVNGMDLRALSACLVAVVCSSEQPPLRPLGSPAGDGASVIIKSVLERASQLLSHPSGNCSMPNYTLWRASFDEFFALLTKYCVSKYETIIQSIHNQTQPTTEVIGSEAIRREMPCELLRASLPHTNESQKKLLMDFSQRSVPMNGSNSPAGSTSRINSETVRV >KJB11606 pep chromosome:Graimondii2_0_v6:1:55147505:55149763:-1 gene:B456_001G274100 transcript:KJB11606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEVARDKASFMVQAKSLSLAVSLNTTTSLFMAQTVLRVFAAVSTLAAICVMATSSQTIVLFGFTIRAHYSYSSATRFLFATDAIVCASSLLSLIFVYHLSRSGSNLKTCFYLFLHDMVITVLAISGCAAGTAVGYISRYGEEKMGWMAVCNRVKSFCNHMTISMVLSYVAFLSYLALAVMSSNKVMYEANGEYQRNERQACP >KJB11607 pep chromosome:Graimondii2_0_v6:1:55148204:55149894:-1 gene:B456_001G274100 transcript:KJB11607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEVARDKASFMVQAKSLSLAVSLNTTTSLFMAQTVLRVFAAVSTLAAICVMATSSQTIVLFGFTIRAHYSYSSATRFLFATDAIVCASSLLSLIFVYHLSRSGSNLKTCFYLFLHDMVITVLAISGCAAGTAVGYISRYGEEKMGWMAVCNRVKSFCNHMTISMVLSYVAFLSYLALAVMSSNKVMYEANGEYQRNERQACP >KJB07626 pep chromosome:Graimondii2_0_v6:1:3128985:3133068:-1 gene:B456_001G034000 transcript:KJB07626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSFTLLILGLFQLVSAQQNTDPNEVAALRTLINYWNLGSKINLTIDPCNQNASWTSEDSNPRVACDCSSNICHITHLKIYALDIKGEIPKELFELKELMDLNLGQNVLNGSIPAEIGQLSKMQYLSLGINNLTGAVPPELGNLSQLHSLSFSSNNFFGPLPKELGNLTSLQQLYIDSSGVSGSIPEEFANLKSLQILWASDNRLTGKLPEYFGTFTEFRDMRLQGTSVEGPIPSSFSALTKLEDLRIGDLRGEASSLDFLDSQTSLSTLSLRNCQLSGQIPERIGKFTNLKYLDLSFNKLTGQIPTSFRGFSSLQFLYLGNNNLSGELPEDIITPELIALDVSFNPLSGNLPTQFAKTKSINVVGTSINANGLQDNKVSGMLNCIQGKTKCKNKGSSTSFSIKCGGTAQKSASGIEFDDDSEALGAAALYTSTDEQWAVSNAGNFISNPKGNRYVAMTDSQITETLESELYKTSRISPSSLRYYGLNLKNGKYSVELHFAEIEMEDSSSWNGLGRRLFDVYIQGEKVLEDFNIQKEAGGSKKALVKTFEANVTNAIMEIHFFWAGKGTCCIPYQSTYGPLVSAIHASQVSEAADASSSRKHVGRIAGIVIGAVAGVVILSSVFYLWWKKDPQGHMRIFTDSPRKPLK >KJB11508 pep chromosome:Graimondii2_0_v6:1:53826063:53827030:-1 gene:B456_001G262600 transcript:KJB11508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGSSELSLCPSFNIYSSDNNNLVDIADTVSRDFKNDAVSEDEEFEFVNTLSENPEMSSSSFPIFNRRDGDNVEQAIRIPLRDLFIGDRDIPFSSSSSSSEADELEGLPAATYCVWKPKQLPESSPNSCKKSTSAGSSSSSKRWRFIKDLLKRSNSTGNVSSSSSFSFLNLDKNEEKVNEKTAKATTKVKRDEKSLAAKSFYVGNKVLKEGDKRRSYLPYRQDLVGIFANIKV >KJB09401 pep chromosome:Graimondii2_0_v6:1:18553779:18556288:1 gene:B456_001G139300 transcript:KJB09401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFPSTLSQMEKPIVSCSNIFTYSHSPPKLPLSSYIDINNPFHCLESCQSPRELKQLHALTLKTRPLQTQLISSKILSFLIPFSSPESVTYARELVNHLKPPYLQLHFYNSLIQALTNSSQAIALYGEMITKCIYPDTYTIPYVLKSCAQSHALEEGQQIHAHSIKFGLSSNVYVLNTLMRLYAVCGFTHSVKKLFDGSPERDLVSWTTLIQAFVKMGFAKEAIQAYFDMCRANLRPDKRTLVVILSACSRLGDLSLGTRIHENICDIHDIYSDVFVGNALVDMYMKCGDADSARKLFDKMPVKNVVSWNSMISGLVQQGQFKEALHVFHDMQRIGLRPDSVTLVAVLNACSNLGKLELGKWVHAYIDKNQIKADGFVGNALVDMYAKCGSAEQAFGVFQGMECKDVFSYTAMIVGFAMNGEAERALDIFAEMPMVGTKPDEVTFVGVLSACSHAGMVEEGWKHFEDMSRVYNLEPQTEHYGCMVDLLGRAGLISEAEVFIANMPIEPDAFVWGALLGACSIHGKVELGEAIMKKLVAIEPVRDGAYILMSNIYSSANRWKDALKLRKAMKERKMKKTPGCSLIEINGVVHEFRKGDKSHPRNEELRKVLGEMAIHLQNHGQFPTSNFIT >KJB07211 pep chromosome:Graimondii2_0_v6:1:706850:707977:-1 gene:B456_001G007700 transcript:KJB07211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLTVLISLVLSISIVLVDAQSPDPSDDPVSNFRPSLAVVIGILCVMFALTFFLLIYAKLCHRGRPVHHGDDHHFGLLHRTRSQFSGIDRKVIESLPFFRFSSLKGSKQGLECAVCLSKFDDIEIMRLLPKCKHGFHVDCIDQWLEKHSSCPLCRQKVNAEDLTMLVYTNSMRFSRNQSELTEDSNIELYIEREQDPRPEPEIFHKLNHKIFVSDVVMKNRWSSVSSSDLMSLNAEMLNDMSSNRFSIPGTDDDNRRSTKPIGNDGIMKIKEEIEIKRLFENKVSSLNKSNDYEASTSRHTINQGDKRSMSEITALSRNRTGGGGYLSENYTKEERIRRLWLPIARRTVQWFVNKEKRRYQQQSKDAIIQHLNV >KJB10750 pep chromosome:Graimondii2_0_v6:1:44814584:44822165:-1 gene:B456_001G221100 transcript:KJB10750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGTCLSWIIYGNKIFIWSYLSFTAPKKCVTLELPSDVLGNADLGRNSYLRNNWLLSVVNWDSTLKVTNRAANHCYSAGIVLCNQKTRAVLYWSDIFADVGAAPVTICSSSDELLVTSSCIDSNATPNRHATNFTGSSSFNSLIASAIPGTQNACVALACCSSGELYQFYCSPNGIQVNKVHQNIQSLSSQGTGVGQLVGSKGYPRSMIWRLPYFSVSDCNRQFFLLTDHEIQCFNIKLFPDLEVSKLWSQEIVGNDGDLGIKKDLAGQKRIWPLDLQVDDHGKVITVLVATFCKDRVSSSSYTQYSLLTMQYMSEVNISSDLHERVLEKKAPIQVIIPKARVEDEDFLFSMRLRVGGKPAGSTIVLSGEGTATVSHYHRNSTRLYQFDLPHDAGKVLDASVLPPTDDGEDGAWVVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEGSAQEERRNLMFANNIAPRRASSDAWDAGGRQATGLTGITRRTAQDEESEALLCQFFHEFLITGKVDGSLEKLKSSGAFERGGETNVFVRTSKSIVDTLAKHWTTTRGAEIVAMGIISTQLMDKQQKHNKFLQFLALSKCHEELCSGQRHSLQIILEHGEKLSAIIQLRELQNAINQNRSTGVGSTHSSFENQVSGALWDLIQLVGERARRNTVLLMDRDNAEVFYSKVSDLEQVFYCLERHLEYIISMEQPDGFQIHRACELSNSCVTIFRAAMDYKNENHLWYPPPEGLTPWYCQPVVRNGLWSIASFMLQLLKETSEIDMSAKSELYSHLEALAEVLLEASSGAINAKVERGEEHKGLLNEYWSRRDAILDSLYQQVKGFVEAGHQDLTDNTGENKEEILKNLSSSLLSIAKRHEGYQTMWNICCDLNDSGLLKNLMHESMGPRCGFSYFVFKQLYGKKQYSKLLRLGEEFQEELSIFLNHYQDLLWLHEVFLHRFSAASETLHVVALSQDEGSISITEEEIDSDHTNPVPTLTDRRRLLNLSKIAAFAGKDADSQIKAKRIEADLKILRLQEEIMEVLPMDDTNQHVEKKLLHPEELIELCLESGSKELALQVFDVFAWTSSSFRKSHRNLLEECWKKAADQDPWSELYQASVSEGWSDEETLQQLSRTILFKASNRCYGPKAETIEDGFGEVLPLRQENVEVAGLKDARSSVEAILMQHRDFPYAGKLMLTALMLGCVQGDDVKLEESLSPMV >KJB07285 pep chromosome:Graimondii2_0_v6:1:1294570:1299586:-1 gene:B456_001G013600 transcript:KJB07285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKGKGILIPNLKLSLSPPAPDNSFAKFLTQSGTFKDGDLLINKDGIRILSQSEHELPSLIKPSEAESDNRLNLEDMDTIKVVGKGNGGIVQLVQHKWTLQFFALKVIQMNIEESARKQIAKELKINQSSQCPYVVVCYKSFFNNGAISIILEYMDGGSLADFLKKVKSIPEPYLAAICKQVLQGLIYLHHEKHIIHRDLKPSNLLINHRGEVKITDFGVSAIMTSTSGLASTFVGTYNYMSPERIIGGNYGNKSDIWSLGLVLLECATGEFPYSPPDQAEGWTNFYELMEQIVQQPPPSAPSNNFSPEFCSFISACVRKDPKERKSAPELLALPFLNMYNDLDVDLSSYFNNSGSPLAQL >KJB07284 pep chromosome:Graimondii2_0_v6:1:1294739:1299311:-1 gene:B456_001G013600 transcript:KJB07284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKGKGILIPNLKLSLSPPAPDNSFAKFLTQSGTFKDGDLLINKDGIRILSQSEHELPSLIKPSEAESDNRLNLEDMDTIKVVGKGNGGIVQLVQHKWTLQFFALKVIQMNIEESARKQIAKELKINQSSQCPYVVVCYKSFFNNGAISIILEYMDGGSLADFLKKVKSIPEPYLAAICKQVLQGLIYLHHEKHIIHRDLKPSNLLINHRGEVKITDFGVSAIMTSTSGLASTFVGTYNYMSPERIIGGNYGNKSDIWSLGLVLLECATGEFPYSPPDQAEGWTNFYELMEQIVQQPPPSAPSNNFSPEFCSFISACVRKDPKERKSAPELLALPFLNMYNDLDVDLSSYFNNSGSPLAQL >KJB07283 pep chromosome:Graimondii2_0_v6:1:1294637:1299579:-1 gene:B456_001G013600 transcript:KJB07283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKGKGILIPNLKLSLSPPAPDNSFAKFLTQSGTFKDGDLLINKDGIRILSQSEHELPSLIKPSEAESDNRLNLEDMDTIKVVGKGNGGIVQLVQHKWTLQFFALKVIQMNIEESARKQIAKELKINQSSQCPYVVVCYKSFFNNGAISIILEYMDGGSLADFLKKVKSIPEPYLAAICKQVLQGLIYLHHEKHIIHRDLKPSNLLINHRGEVKITDFGVSAIMTSTSGLASTFVGTYNYMSPERIIGGNYGNKSDIWSLGLVLLECATGEFPYSPPDQAEGWTNFYELMEQIVQQPPPSAPSNNFSPEFCSFISACVRKDPKERKSAPELLALPFLNMYNDLDVDLSSYFNNSGSPLAQL >KJB07286 pep chromosome:Graimondii2_0_v6:1:1295627:1299311:-1 gene:B456_001G013600 transcript:KJB07286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKGKGILIPNLKLSLSPPAPDNSFAKFLTQSGTFKDGDLLINKDGIRILSQSEHELPSLIKPSEAESDNRLNLEDMDTIKVVGKGNGGIVQLVQHKWTLQFFALKVIQMNIEESARKQIAKELKINQSSQCPYVVVCYKSFFNNGAISIILEYMDGGSLADFLKKVKSIPEPYLAAICKQVLQGLIYLHHEKHIIHRDLKPSNLLINHRGEVKITDFGVSAIMTSTSGLASTFVGTYNYMSPERIIGGNYGNKSDIWSLGLVLLECATGEFPYSPPDQAEGWTNFYELMEQIVQQPPPSAPSNNFSPEFCSFISACVRKDPKERKSAPELLALPFLNMYNDLDVDLSSYFNNSGSPLAQL >KJB08516 pep chromosome:Graimondii2_0_v6:1:9137259:9140424:-1 gene:B456_001G085800 transcript:KJB08516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RITYPKPVSCKTQTHTADGTKEHKTKNAIFTNMEMSLTQIAKPPPCLVNKNSLFTNKNRVKSTVKALRNSYPKRATVSSNWDVSNLSPLSSSSTTPTWFPRFEELDTTSMLLRQRIIFLGSQVDDMTADLIISQLLLLDAEDSEKDIKLFINSPGGSVTAGMGIYDAMKMCKADVSTICLGLAASMGAFLLATGTKGKRFCMPNSRVMIHQPLGTAGGKATEMSIRIREMVYHKVKLNKILSRVTGKPEEQIEVDTDRDNFMNPWEAKEYGLIDGVIDDGKPGLVAPIADAAPPPKTRVWDLWKVEGSKKAKKNLPTEHKMLQNGHTGGQEKKEAAPL >KJB08517 pep chromosome:Graimondii2_0_v6:1:9137368:9140424:-1 gene:B456_001G085800 transcript:KJB08517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RITYPKPVSCKTQTHTADGTKEHKTKNAIFTNMEMSLTQIAKPPPCLVNKNSLFTNKNRVKSTVKALRNSYPKRATVSSNWDVSNLSPLSSSSTTPTWFPRFEELDTTSMLLRQRIIFLGSQVDDMTADLIISQLLLLDAEDSEKDIKLFINSPGGSVTAGMGIYDAMKMCKADVSTICLGLAASMGAFLLATGTKGKRFCMPNSRVMIHQPLGTAGGKATEMSIRIREMVYHKVKLNKILSRVTGKPEEQVNAFCCLYHLLFFFITLGVCFLLSIMLQIEVDTDRDNFMNPWEAKEYGLIDGVIDDGKPGLVAPIADAAPPPKTRVWDLWKVEGSKKAKKNLPTEHKMLQNGHTGGQEKKEAAPL >KJB06335 pep chromosome:Graimondii2_0_v6:1:14446:25463:-1 gene:B456_001G000400 transcript:KJB06335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATRAWQRRIKDILLMSGSRQRSRLKRPVWIIVLVTFVIIFLITAFLYPPTTSAACYIFSSRDCTLFNEPSIFTARELSDEETRSHVVFREILNTPPIQSKNPKIAFLFLTPGTLYFEPLWDKFFRGHEDRFSVYVHASREKPVHTSHYFIGRDIHRETVLKRKEGKKKKKLSKDGRVVWGKFSMVDIERRLLARSLLDPDNQQFVLLSDSCVPLHNFDYVYNYLMLTNVSFIDCFVDLGPHGTGRYSERMMPEVEKNAFRKGSQWFSMKRQHAIIVMADNLYYKKFKNYCKPHMDGRNCYSDEHYLPTFFNMIDPSGVANRSVTYVDWSEGKWHPKAFRAQDITFEFLKNLTFIEESIHFTSGPQRRGISGPCLWNSMKRPCYLFARKFYPETLHRLMIHFSNYTTI >KJB06337 pep chromosome:Graimondii2_0_v6:1:14926:25136:-1 gene:B456_001G000400 transcript:KJB06337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATRAWQRRIKDILLMSGSRQRSRLKRPVWIIVLVTFVIIFLITAFLYPPTTSAACYIFSSRDCTLFNEPSIFTARELSDEETRSHVVFREILNTPPIQSKNPKIAFLFLTPGTLYFEPLWDKFFRGHEDRFSVYVHASREKPVHTSHYFIGRDIHRETVLKRKEGKKKKKLSKDGRVVWGKFSMVDIERRLLARSLLDPDNQQFVLLSDSCVPLHNFDYVYNYLMLTNVSFIDCFVDLGPHGTGRYSERMMPEVEKNAFRKGSQWFSMKRQHAIIVMADNLYYKKFKNYCKPHMDGRNCYSDEHYLPTFFNVDD >KJB06338 pep chromosome:Graimondii2_0_v6:1:20880:25926:-1 gene:B456_001G000400 transcript:KJB06338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATRAWQRRIKDILLMSGSRQRSRLKRPVWIIVLVTFVIIFLITAFLYPPTTSAACYIFSSRDCTLFNEPSIFTARELSDEETRSHVVFREILNTPPIQSKNPKIAFLFLTPGTLYFEPLWDKFFRGHEDRFSVYVHASREKPVHTSHYFIGRDIHRETVLKRKEGKKKKKLSKDGRVVWGKFSMVDIERRLLARSLLDPDNQQFVLLSDSCVPLHNFDYVYNYLMLTNVSFIDCFVDLGPHGTGRYSERMMPEVEKNAFRKGSQVY >KJB06336 pep chromosome:Graimondii2_0_v6:1:14423:25926:-1 gene:B456_001G000400 transcript:KJB06336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATRAWQRRIKDILLMSGSRQRSRLKRPVWIIVLVTFVIIFLITAFLYPPTTSAACYIFSSRDCTLFNEPSIFTARELSDEETRSHVVFREILNTPPIQSKNPKIAFLFLTPGTLYFEPLWDKFFRGHEDRFSVYVHASREKPVHTSHYFIGRDIHRETVLKRKEGKKKKKLSKDGRVVWGKFSMVDIERRLLARSLLDPDNQQFVLLSDSCVPLHNFDYVYNYLMLTNVSFIDCFVDLGPHGTGRYSERMMPEVEKNAFRKGSQWFSMKRQHAIIVMADNLYYKKFKNYCKPHMDGRNCYSDEHYLPTFFNMIDPSGVANRSVTYVDWSEGKWHPKAFRAQDITFEFLKNLTFIEESIHFTSGPQRRGISGPCLWNSMKRPCYLFARKFYPETLHRLMIHFSNYTTI >KJB10401 pep chromosome:Graimondii2_0_v6:1:48949120:48949404:-1 gene:B456_001G245100 transcript:KJB10401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVTRIIYAKWILGHSKLFENQAASNSTDVPKGYIAVYVGESQKKRFIVPITFLNRSSFQKLLSIANEEFGFNHPMGGLTITCSEVVFVDLT >KJB09922 pep chromosome:Graimondii2_0_v6:1:25711260:25712723:-1 gene:B456_001G175100 transcript:KJB09922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAYRGGRRGRRPFRGGSLGHAGHGHNGSQHIPSNGAASAIPNSALDPNGAAAAMLPSASVPGHATLPAQVPAAPLRPPPRMAWCELCRVDCNRPEILEQHKNGKRHKKNLQVREELQKRNGVITGQQSVQVPNLGSEIVQLEKVEGSEEKQHQQMVPSLAATTDNKKENEQQQDIVNKPEASTTGPAEAKRNLRNPSEARGRGLKRKMRGGRGGKYVKRNEGSRRPSEPPKPKGGIPFMCELCNVKCETQVVFNCHLAGKKHIANMKRFHGHRALYGEAGVQALYPPNISAPPPPLVPQIQQGVTDPQVVLAQLLTYVLSQAQVPGLAGPQVSLPIATLESAQAPLSSSGNQYQHEFPQGLLATSEVRNGLGVMAEAETWQQHTAAKSEALPSAGDRGANSQGSESEKNEVSQQQSFSAKTEVPTTVKMESGGLDTEIPPMDDPIATSTSKRENTTVDESESNNNLEQPEDPEEDPEENEQNEAA >KJB09923 pep chromosome:Graimondii2_0_v6:1:25710943:25715924:-1 gene:B456_001G175100 transcript:KJB09923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTAEQQQYQQQYQNQPQAYGYDPSQYHHQSAASYYAYAYGNQQHQQQQYPYYPPQDSYPQQYSQFYQEAAPIHPPGVPLDHHSQPTVYYPPQPAVDPQHQQGIPVSGSDSSAVPANYAGNVVSAQRDTRPRQMQSAYRGGRRGRRPFRGGSLGHAGHGHNGSQHIPSNGAASAIPNSALDPNGAAAAMLPSASVPGHATLPAQVPAAPLRPPPRMAWCELCRVDCNRPEILEQHKNGKRHKKNLQVREELQKRNGVITGQQSVQVPNLGSEIVQLEKVEGSEEKQHQQMVPSLAATTDNKKENEQQQDIVNKPEASTTGPAEAKRNLRNPSEARGRGLKRKMRGGRGGKYVKRNEGSRRPSEPPKPKGGIPFMCELCNVKCETQVVFNCHLAGKKHIANMKRFHGHRALYGEAGVQALYPPNISAPPPPLVPQIQQGVTDPQVVLAQLLTYVLSQAQVPGLAGPQVSLPIATLESAQAPLSSSGNQYQHEFPQGLLATSEVRNGLGVMAEAETWQQHTAAKSEALPSAGDRGANSQGSESEKNEVSQQQSFSAKTEVPTTVKMESGGLDTEIPPMDDPIATSTSKRENTTVDESESNNNLEQPEDPEEDPEENEQNEAA >KJB07433 pep chromosome:Graimondii2_0_v6:1:2173325:2174473:1 gene:B456_001G023000 transcript:KJB07433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGLTQEEFDELKPLIDTYHKFDPTPNTCTSLIKQRIDAPARTVWPFVRSFENPQKYKHFVKSCNISAGDGGVGSVREVTVVSGLPASTSTERLEILDDAKRILSFRVVGGEHRLRNYWSVTSVNEFHNEGKVYTIVLESYIVDIPEGNSGEDTKMFVDTVVKLNLQKLGIVALGSFRGHD >KJB07889 pep chromosome:Graimondii2_0_v6:1:4832464:4839210:1 gene:B456_001G051000 transcript:KJB07889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MDLSISSPFRGAFPGPTSTFILNPKSRCSHSLNFFKHRNSYSKNPLFSRQKIPIRAQVSDPAVDDGFVIDDVPHLTDFLPDLPSYPNPLQTSQAYAIVKQTFVRPEDVVAQKIVVQKDSSRGVHFRRAGPCEKVYFKSEEVRACIVTCGGLCPGINTVIREIVCGLNYMYGVDDILGIQGGYRGFYSKNTLILTPKVVNDIHKRGGTFLLTSRGGHDTQKIVDNIQDRGINQVYIIGGDGTQRGASRIYEEVEKRGLKVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEVESVENGIGIVKLMGRYSGFIAMFATLASRDVDCCLIPESPFYLEGKGGLFEFIEERLKENGHIVIVVAEGAGQEYLAQSMQGIDEKDASGNRLLLDVGLWLTQNIKDHFTKERKMAINMKYIDPTYMIRAIPSNASDNIYCTLLAQSAVHGAMAGYTGFTVGPVNSRHAYIPISHVTETQNTVKLTDRMWARLLASTNQPSFLNCDESTGGKVCKDTIDLINNMKITSI >KJB11434 pep chromosome:Graimondii2_0_v6:1:53333256:53334179:1 gene:B456_001G258900 transcript:KJB11434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKALQFFSFTLLLFFMFQAAFSHFEHKNPSPFEFLQHLQGFHKGDRVKDLHKLKKYLQNFGYLSYKNNTHVSDDDFDELLESAIRTYQLNYNLKATGRLDANTISKMMRPRCAVADIFNGTSRMRYGQKWHRRSGSKLVHTVSHYSFFPQEPRWPASKYNLTYAFLPGARVDAINPVSKAFQTWSENTHFRFSMTEDYVNADIKVSFESGDHGDGSPFDGPNGTLAHAFAPTDGRFHYDADESWSVTPDPSAYHLETLALHEIGHLLGLDHSSIEAAIMYPTFTLGESKGLHEDDIQGIKALYNV >KJB07339 pep chromosome:Graimondii2_0_v6:1:1586213:1587081:-1 gene:B456_001G017200 transcript:KJB07339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLFFLLFFTPFLYSAAAPNHNAPQDLVRSSCINASYPSLCLRTLSSYSGPTGTPRDLAQAAVKVGLARARKASTYLKTSVTGKSERERAALSDCVEQMAESVDELSKTLSELKHLRGETFEFQMSNARTWVSAALTYEDTCVDGFEGVDRKVKGDVKKKITNVAMVTSNALYMIRRLDESGGLNR >KJB07572 pep chromosome:Graimondii2_0_v6:1:2839063:2840491:-1 gene:B456_001G030400 transcript:KJB07572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLSFLFFSFHFLVFQLHFSAGQDVVRAAYWSAGSEFPVSDIDSTLFTHLFCAFADLDSQTNQVTVSSANQARFSTFTETVQLKNPYIKTLLSIGGGSSSASDFASMASQANTRKSFIDSSINIARSYGFHGLDLDWEYPSTPTEMNNLGLLLNEWRVALVNEAANTGNSRLLLSAAFFRNSDYYTLDYPIQAIQNSLDWINVMAYDFYGPGWSTVTGPPAALYNPGTQVSGDYGITSWIQSGIPSNKLVLGFPFYGYAWQLVDANNHGFFAPTSGPAITPNGDLGYGQIKDFISANSATEVYNATVVSNYCYAGTTWIGFDDTESITAKVSYCKQKGLLGYFAWHVGADDGWTLSRAASETWGS >KJB08467 pep chromosome:Graimondii2_0_v6:1:8771434:8776466:-1 gene:B456_001G082700 transcript:KJB08467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYRRFLCIKDAVACINDKVDLIAVILNFSLPQTTRGTDYVCKLKIIDESHPEIPVHVFAQEIDHLPFVAAVGDIIHLSRVVMRIHEGDVYAIFNKKFSSFALYDGKDVENFQPYQVLLRYEARKHDAMIIAGLRKWLASSHVIDEPNFSLLEEINEVGLVNLVCRVLHICKTTDDKWMAFIWDGTDAPPISIYKKPEDEEHNPLPLHFKPLPSSGHVLHTFPTVGTILRLIFDVECMPYILQLLKVCQWFKLFCVECKVHEGLWYGVFTSYSKIRDIPNVDILILERQSNYDCRSLGNLDRMPSWSCPWPSKITEVNCSAPFATLMDVLTCQKVRKKFRCVIRFVAVIPWRVEYFRSPDGVYRVKFTLEDPTARIHAYSYAEDGEKFFNGLSTGGLKRKLNELLGVPKSDDDGQEEIEGGARNPPWVQCCLKSHSIKRRRWIFDTKLVG >KJB08465 pep chromosome:Graimondii2_0_v6:1:8772996:8776209:-1 gene:B456_001G082700 transcript:KJB08465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYRRFLCIKDAVACINDKVDLIAVILNFSLPQTTRGTDYVCKLKIIDESHPEIPVHVFAQEIDHLPFVAAVGDIIHLSRVVMRIHEGDVYAIFNKKFSSFALYDGKDVENFQPYQVLLRYEARKHDAMIIAGLRKWLASSHVIDEPNFSLLEEINEVGLVNLVCRVLHICKTTDDKWMAFIWDGTDAPPISIYKKPEDEEHNPLPLHFKPLPSSGHVLHTFPTVGTILRLIFDVECMPYILQLLKVCQWFKLFCVECKVHEGLWYGVFTSYSKIRDIPNVDILILERQSNYDCRSLGNLDRMPSWSCPWPSKITGPM >KJB08466 pep chromosome:Graimondii2_0_v6:1:8771434:8776209:-1 gene:B456_001G082700 transcript:KJB08466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYRRFLCIKDAVACINDKVDLIAVILNFSLPQTTRGTDYVCKLKIIDESHPEIPVHVFAQEIDHLPFVAAVGDIIHLSRVVMRIHEGDVYAIFNKKFSSFALYDGKDVENFQPYQVLLRYEARKHDAMIIAGLRKWLASSHVIDEPNFSLLEEINEVGLVNLVCRVLHICKTTDDKWMAFIWDGTDAPPISIYKKPEDEEHNPLPLHFKPLPSSGHVLHTFPTVGTILRLIFDVECMPYILQLLKVCQWFKLFCVECKVHEGLWYGVFTSYSKIRDIPNVDILILERQSNYDCRSLGNLDRMPSWSCPWPSKITVRHLLH >KJB08464 pep chromosome:Graimondii2_0_v6:1:8772882:8776209:-1 gene:B456_001G082700 transcript:KJB08464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYRRFLCIKDAVACINDKVDLIAVILNFSLPQTTRGTDYVCKLKIIDESHPEIPVHVFAQEIDHLPFVAAVGDIIHLSRVVMRIHEGDVYAIFNKKFSSFALYDGKDVENFQPYQVLLRYEARKHDAMIIAGLRKWLASSHVIDEPNFSLLEEINEVGLVNLVCRVLHICKTTDDKWMAFIWDGTDAPPISIYKKPEDEEHNPLPLHFKPLPSSGHVLHTFPTVGTILRLIFDVECMPYILQLLKVCQWFKLFCVECKVHEGLWYGVFTSYSKIRDIPNVDILILERQSNYDCRSLGNLDRMPSWSCPWPSKITEMLYL >KJB08681 pep chromosome:Graimondii2_0_v6:1:10887955:10892767:1 gene:B456_001G097400 transcript:KJB08681 gene_biotype:protein_coding transcript_biotype:protein_coding description:TAFII59 [Source:Projected from Arabidopsis thaliana (AT1G04950) UniProtKB/TrEMBL;Acc:A0A178W741] MSIVPKETIEVIAQSIGINNLSDDAALSLAPDVEYRMREIMQEAIKCMRHSRRTILTTDDVDGALNLRNVEPVYGFTSGGPLQFKRAIGHRDLFYVDDRDVDLKDVIEAPLPKAPLDTGVVCHWLAIEGVQPAIPENAPVGAIAAPSNGTTYEQKEELPVDIKLPVKHVLSRELQLYFDKITELTLRKSDSTLFKEALVSLATDSGLHPLVPYFTYFISDEVSRGLNDYSLLFALMRVVWSLLQNPHIHIEPYLHQLMPSVVTCLVSKKLGNRIADNHWELRDFTAKLVASICKRFGHVYNTLQTRLTKTLLNALLDPKRALTQHYGAVQGLAALGPNVVRLLILPNLEPYLRLLEPELLLEKQKNEMKRYEAWRIYGVLLRAAGQSIFERLKIFPPLPSTPAHAIWKSKEKVVTAAPNKRKASTEPMEHEPALKKIATDGPTTVSANSSPSPMQVETVTTLASPGKYEAGQPSSSEKMPNRVGKDGKVLKKSAILNQIWKDDLNSGRTLVTVFELFGESILSFIPSPEMSLFI >KJB08682 pep chromosome:Graimondii2_0_v6:1:10887980:10892708:1 gene:B456_001G097400 transcript:KJB08682 gene_biotype:protein_coding transcript_biotype:protein_coding description:TAFII59 [Source:Projected from Arabidopsis thaliana (AT1G04950) UniProtKB/TrEMBL;Acc:A0A178W741] MSIVPKETIEVIAQSIGINNLSDDAALSLAPDVEYRMREIMQEAIKCMRHSRRTILTTDDVDGALNLRNVEPVYGFTSGGPLQFKRAIGHRDLFYVDDRDVDLKDVIEAPLPKAPLDTGVVCHWLAIEGVQPAIPENAPVGAIAAPSNGTTYEQKEELPVDIKLPVKHVLSRELQLYFDKITELTLRKSDSTLFKEALVSLATDSGLHPLVPYFTYFISDEVSRGLNDYSLLFALMRVVWSLLQNPHIHIEPYLHQLMPSVVTCLVSKKLGNRIADNHWELRDFTAKLVASICKRFGHVYNTLQTRLTKTLLNALLDPKRALTQHYGAVQGLAALGPNVRLLILPNLEPYLRLLEPELLLEKQKNEMKRYEAWRIYGVLLRAAGQSIFERLKIFPPLPSTPAHAIWKSKEKVVTAAPNKRKASTEPMEHEPALKKIATDGPTTVSANSSPSPMQVETVTTLASPGKYEAGQPSSSEKMPNRVGKDGKVLKKSAILNQIWKDDLNSGRTLVTVFELFGESILSFIPSPEMSLFI >KJB06207 pep chromosome:Graimondii2_0_v6:1:15584655:15586243:1 gene:B456_001G125000 transcript:KJB06207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKVKKEQSSDTHNKPVIVEHMFFSCDISWNIWQHSSAFRGIQIVNPIAFFFLSRMNACCLRTYELIWKMCFFVVF >KJB11546 pep chromosome:Graimondii2_0_v6:1:54205531:54206090:-1 gene:B456_001G265300 transcript:KJB11546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IQWQDQKLAQGCSILYVAFCSQAEEKKNFNNKLKGGSIVVKQWVDKRQILKRQCAEGFLRHCGWNSALESICYTVLILAWPMIAEQALNARMVVEEFKVGRRVESTCNGMKPGFLKWERLMKMAKELMEGVMGKQVRKRVKEVAELAKMAMADSNGSF >KJB09145 pep chromosome:Graimondii2_0_v6:1:15780474:15785700:1 gene:B456_001G125800 transcript:KJB09145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPREEFSTKGLEGAPCNDIGWHFGTQVPNAKGNIVCKLCGKVVKGGITQFKEHIAHKIGNVAPCPNVTGVIRESMMNIVKESNTKKIDKKRRKNEFLSQLIEEEDVHEEFIDEVSVISQSTRESIQSQHEWYRREEFKRSTGGWDNIYEEGRSSHGSAREYHRERTSKSIPTSSPWLYNLIQVSTEVGQGVKLPTPYEVSDVYLESEYQRVRDWVNGLKTHWKELGATLICDGWTNSLNQMHIINFLVYCSKGTIFWKSVDVSNLCLEDIGKKPSVAKVLDEAKKMTCFIYNHIWTVDLMKKYTQGKQILRPALTRFATHFIQLDEITRQKQANVVQESLSEKGKEKRRIRVGGVTVLIETLEGTRSIIERLEHSLDTQVRMVNQLHEKENLLLDGENVEVLPVDTSNDEMNVNQSQQ >KJB08730 pep chromosome:Graimondii2_0_v6:1:11189054:11193404:-1 gene:B456_001G099700 transcript:KJB08730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNCDFCGDQRPVVYCRSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPAFVRCVEERVSLCQNCDWMGHGTSTSNSRHKRQAINCYSGCPSSAELSSIWPFFQQPPSAGESSCERELGLMSINENIASTSWGPMENNISQDNTGIAITNDTCNSDKESSMGKSSARLLDQPSGSKDTSLPKLCCPQTKYPGLSEDDLYDDFNMDEVDLNLEKYEELFGMTLNHSEELLENGGIASLFGTKDMPAADSDCQVAAAAEGSSVGLVNAIQPACSNAASADSMMSNKTDSILYFTARQPHPGESSAGDYQDCGASSMLLMGEPPWCPPCTETSFTSATRTDAVMRYKEKKKTRMFEKRVRYASRKARADVRKRVKGRFVKAGDAYDYDPLSPTRSF >KJB09727 pep chromosome:Graimondii2_0_v6:1:23188332:23188847:1 gene:B456_001G160300 transcript:KJB09727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRSGALFTALYLKQCAVTLQQAYGGITPYDIHTKLSVPVSLTRSGYPRIIPSFHRQQIYKRDDKADMLVKLYLSFFSLSRAIKLAKRISPSLFASITDPMSDVQKFAEVRDWLRGLIRKLANRYLSQALSRPLYQGISWEPTWKALPTDRKRPGQSRRERGIFANFTSE >KJB07502 pep chromosome:Graimondii2_0_v6:1:2572667:2580088:-1 gene:B456_001G027600 transcript:KJB07502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLLIPFSRLAVKNRSWFKLSWSFLFISVILVTHSMETTTVVKETHHSCSSNLVAKSMESPIKIDSISIDLINANDDIDTRKCEHFSIRGYASEMRRKDWKKSWPFSLDGGQNIFKEQNCKLPPLLVPKFRWWCCQNCLRDIGAEGSINEERNVTNDSSKLKSFGSCPLVSSLGDSVVSSSGLLQAGKSNVDSRKCDAIACLNVNSSHPFVSGKSDKRVENTDVQVIGQTDILENNINKEIPKYAGIEVIASLMKQALCLDEKVASLQHHNPNLEDNEVAGVKLPESNVEHAVKDATEIRQTGKSACDQQMELVTGCGSHGIASTVHRVPDTFKIHTDGHSSLELDDCDYTSSDSDEVLPGTASGSVHRRKNRKVRLLTELLGKNKDEKTNLTSTEDSPSSTNPDASVHIDSVSASQGPVTFHGNVMSSLARRRKRKMPQDEEWMPGELMSSPNNGHKNLRTFNRDAETADGITSSDSEGTINRSSLQTPAKSNLVNFKVDRSPILGKKKNKKTQSIDQCPSLHLSRENLQKERQKKPGDATKSDATDIALYKSNDVSAGSGFNPLTLSAAMAEKKSNLLKKKSKMHLDHDRQASPVPWNNGILREGLTSREDVEIRQIGNVAVPLELTQDASPEKGVQFSLSNCFPAKRYDAKCSTSIRDGLQSLSSCQGCVLSEYDTRRKDLNMNHVGECTFPTKSQVDAFLWKGMHVDLNSNQITYGIPFLNETQKHRSPDEVGSCSTMLQMDFSVTSNNGRTMEFPDHATVAREHYDQRVEMVSEQGAADDIMEIAELMAKNQYERCLPDTEIDKQLPETSNTKIHQRVDLNKVYENEEMILFQETPDKLEAQAKNERIGKFARGDNVGSSKQKSVDYFSHIDRNQYKMSQLEQGYPPAGFRPFPLCGEKPLNGVQFSATNSIRQNSAQNCQQVRNMVGQRSSHANVQALGVCNTCQSAPPQNKEVAHLWSSMIPSSMSYVHSIPQKCADQVASLDVLSHCPSSLPKGNMSRNDDRNFLNLASNYEKHCRKFDSEALRRTHTDYSFSCKHNGAGPLDLYSNETIPAMHLLSLMDAGLQSGASVDVDGNQRFVKKTSFVPGHRPKEFSSMPSGGYRTNSMKHLSFDCYSKNHLPESFCECVSATPAVGPSTSFQHGKSFKKAPDFVGQISLKSREKEKNKCSDSQRQSKNHRSQKTSSSNSGLNTTCGSIPVHSLPKLALGTADFTMFPMTFHPKESATKQKHKAHSMSGTLFHPKSGSETGICHINRNPADFTVPEAGNKYMIGGEDLKFGREKAPSSGLVKLVGHKRERKHAIRKEHSRNRTS >KJB07504 pep chromosome:Graimondii2_0_v6:1:2572667:2580102:-1 gene:B456_001G027600 transcript:KJB07504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKDWKKSWPFSLDGGQNIFKEQNCKLPPLLVPKFRWWCCQNCLRDIGAEGSINEERNVTNDSSKLKSFGSCPLVSSLGDSVVSSSGLLQAGKSNVDSRKCDAIACLNVNSSHPFVSGKSDKRVENTDVQVIGQTDILENNINKEIPKYAGIEVIASLMKQALCLDEKVASLQHHNPNLEDNEVAGVKLPESNVEHAVKDATEIRQTGKSACDQQMELVTGCGSHGIASTVHRVPDTFKIHTDGHSSLELDDCDYTSSDSDEVLPGTASGSVHRRKNRKVRLLTELLGKNKDEKTNLTSTEDSPSSTNPDASVHIDSVSASQGPVTFHGNVMSSLARRRKRKMPQDEEWMPGELMSSPNNGHKNLRTFNRDAETADGITSSDSEGTINRSSLQTPAKSNLVNFKVDRSPILGKKKNKKTQSIDQCPSLHLSRENLQKERQKKPGDATKSDATDIALYKSNDVSAGSGFNPLTLSAAMAEKKSNLLKKKSKMHLDHDRQASPVPWNNGILREGLTSREDVEIRQIGNVAVPLELTQDASPEKGVQFSLSNCFPAKRYDAKCSTSIRDGLQSLSSCQGCVLSEYDTRRKDLNMNHVGECTFPTKSQVDAFLWKGMHVDLNSNQITYGIPFLNETQKHRSPDEVGSCSTMLQMDFSVTSNNGRTMEFPDHATVAREHYDQRVEMVSEQGAADDIMEIAELMAKNQYERCLPDTEIDKQLPETSNTKIHQRVDLNKVYENEEMILFQETPDKLEAQAKNERIGKFARGDNVGSSKQKSVDYFSHIDRNQYKMSQLEQGYPPAGFRPFPLCGEKPLNGVQFSATNSIRQNSAQNCQQVRNMVGQRSSHANVQALGVCNTCQSAPPQNKEVAHLWSSMIPSSMSYVHSIPQKCADQVASLDVLSHCPSSLPKGNMSRNDDRNFLNLASNYEKHCRKFDSEALRRTHTDYSFSCKHNGAGPLDLYSNETIPAMHLLSLMDAGLQSGASVDVDGNQRFVKKTSFVPGHRPKEFSSMPSGGYRTNSMKHLSFDCYSKNHLPESFCECVSATPAVGPSTSFQHGKSFKKAPDFVGQISLKSREKEKNKCSDSQRQSKNHRSQKTSSSNSGLNTTCGSIPVHSLPKLALGTADFTMFPMTFHPKESATKQKHKAHSMSGTLFHPKSGSETGICHINRNPADFTVPEAGNKYMIGGEDLKFGREKAPSSGLVKLVGHKRERKHAIRKEHSRNRTS >KJB07501 pep chromosome:Graimondii2_0_v6:1:2572461:2580270:-1 gene:B456_001G027600 transcript:KJB07501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTTVVKETHHSCSSNLVAKSMESPIKIDSISIDLINANDDIDTRKCEHFSIRGYASEMRRKDWKKSWPFSLDGGQNIFKEQNCKLPPLLVPKFRWWCCQNCLRDIGAEGSINEERNVTNDSSKLKSFGSCPLVSSLGDSVVSSSGLLQAGKSNVDSRKCDAIACLNVNSSHPFVSGKSDKRVENTDVQVIGQTDILENNINKEIPKYAGIEVIASLMKQALCLDEKVASLQHHNPNLEDNEVAGVKLPESNVEHAVKDATEIRQTGKSACDQQMELVTGCGSHGIASTVHRVPDTFKIHTDGHSSLELDDCDYTSSDSDEVLPGTASGSVHRRKNRKVRLLTELLGKNKDEKTNLTSTEDSPSSTNPDASVHIDSVSASQGPVTFHGNVMSSLARRRKRKMPQDEEWMPGELMSSPNNGHKNLRTFNRDAETADGITSSDSEGTINRSSLQTPAKSNLVNFKVDRSPILGKKKNKKTQSIDQCPSLHLSRENLQKERQKKPGDATKSDATDIALYKSNDVSAGSGFNPLTLSAAMAEKKSNLLKKKSKMHLDHDRQASPVPWNNGILREGLTSREDVEIRQIGNVAVPLELTQDASPEKGVQFSLSNCFPAKRYDAKCSTSIRDGLQSLSSCQGCVLSEYDTRRKDLNMNHVGECTFPTKSQVDAFLWKGMHVDLNSNQITYGIPFLNETQKHRSPDEVGSCSTMLQMDFSVTSNNGRTMEFPDHATVAREHYDQRVEMVSEQGAADDIMEIAELMAKNQYERCLPDTEIDKQLPETSNTKIHQRVDLNKVYENEEMILFQETPDKLEAQAKNERIGKFARGDNVGSSKQKSVDYFSHIDRNQYKMSQLEQGYPPAGFRPFPLCGEKPLNGVQFSATNSIRQNSAQNCQQVRNMVGQRSSHANVQALGVCNTCQSAPPQNKEVAHLWSSMIPSSMSYVHSIPQKCADQVASLDVLSHCPSSLPKGNMSRNDDRNFLNLASNYEKHCRKFDSEALRRTHTDYSFSCKHNGAGPLDLYSNETIPAMHLLSLMDAGLQSGASVDVDGNQRFVKKTSFVPGHRPKEFSSMPSGGYRTNSMKHLSFDCYSKNHLPESFCECVSATPAVGPSTSFQHGKSFKKAPDFVGQISLKSREKEKNKCSDSQRQSKNHRSQKTSSSNSGLNTTCGSIPVHSLPKLALGTADFTMFPMTFHPKESATKQKHKAHSMSGTLFHPKSGSETGICHINRNPADFTVPEAGNKYMIGGEDLKFGREKAPSSGLVKLVGHKRERKHAIRKEHSRNRTS >KJB07503 pep chromosome:Graimondii2_0_v6:1:2573320:2577283:-1 gene:B456_001G027600 transcript:KJB07503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQALCLDEKVASLQHHNPNLEDNEVAGVKLPESNVEHAVKDATEIRQTGKSACDQQMELVTGCGSHGIASTVHRVPDTFKIHTDGHSSLELDDCDYTSSDSDEVLPGTASGSVHRRKNRKVRLLTELLGKNKDEKTNLTSTEDSPSSTNPDASVHIDSVSASQGPVTFHGNVMSSLARRRKRKMPQDEEWMPGELMSSPNNGHKNLRTFNRDAETADGITSSDSEGTINRSSLQTPAKSNLVNFKVDRSPILGKKKNKKTQSIDQCPSLHLSRENLQKERQKKPGDATKSDATDIALYKSNDVSAGSGFNPLTLSAAMAEKKSNLLKKKSKMHLDHDRQASPVPWNNGILREGLTSREDVEIRQIGNVAVPLELTQDASPEKGVQFSLSNCFPAKRYDAKCSTSIRDGLQSLSSCQGCVLSEYDTRRKDLNMNHVGECTFPTKSQVDAFLWKGMHVDLNSNQITYGIPFLNETQKHRSPDEVGSCSTMLQMDFSVTSNNGRTMEFPDHATVAREHYDQRVEMVSEQGAADDIMEIAELMAKNQYERCLPDTEIDKQLPETSNTKIHQRVDLNKVYENEEMILFQETPDKLEAQAKNERIGKFARGDNVGSSKQKSVDYFSHIDRNQYKMSQLEQGYPPAGFRPFPLCGEKPLNGVQFSATNSIRQNSAQNCQQVRNMVGQRSSHANVQALGVCNTCQSAPPQNKEVAHLWSSMIPSSMSYVHSIPQKCADQVASLDVLSHCPSSLPKGNMSRNDDRNFLNLASNYEKHCRKFDSEALRRTHTDYSFSCKHNGAGPLDLYSNETIPAMHLLSLMDAGLQSGASVDVDGNQRFVKKTSFVPGHRPKEFSSMPSGGYRTNSMKHLSFDCYSKNHLPESFCECVSATPAVGPSTSFQHGKSFKKAPDFVGQISLKSREKEKNKCSDSQRQSKNHRSQKTSSSNSGLNTTCGSIPVHSLPKLALGTADFTMFPMTFHPKESATKQKHKAHSMSGTLFHPKSGSETGICHINRNPADFTVPEAGNKYMIGGEDLKFGREKAPSSGLVKLVGHKRERKHAIRKEHSRNRTS >KJB10066 pep chromosome:Graimondii2_0_v6:1:28358445:28359206:-1 gene:B456_001G183100 transcript:KJB10066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQWMLVIRGRSSDRGCWWNERRGVANAFIRLAARKKSGNDSFGSRFSAFNQVGDLGSDLRVANGDFSQGKVRERGAVGDEDLKGRFNMGVKKKSGPYFEAGRGSASGRGDLLGPAKSFSLDSLGANDLGQNTKLAWINFWEKGLWNWRITVRAMRAQFSNQMLIFLFLVHVQVVSRIILKLLDWARKVITKIL >KJB10194 pep chromosome:Graimondii2_0_v6:1:30342971:30344759:1 gene:B456_001G188400 transcript:KJB10194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFSLACKKKNDEDHEVSSLLVPDFILFCSSIFSHPLYFSYFIFFSPYFVKLLSFLSPLFFTTTLLVLTLFILDQSDASICHLESKLLTSLLTAYQTLVETLRCEVEDESDGFGCLEPLEAYKIVFETSNPLQVCPDMESKEAPSDKGLDSKPAPETNQVKAVVKIFEDFLQEKERVENCWWKKRDRQVESKAMWHKAAVSGGGNPQRVKAENTGGYYYDYNSEAKEWKKTLAWKLLEERRSDKVEGDEGMDLLWETYETESNLKSNSKKGKKGGNEGYDMDRYEYEYGYGYEEESVPQLCCLHALKFSAGKMNLGMARSNIVKISKALKGFGWLHHLGNKHGKKGTL >KJB08342 pep chromosome:Graimondii2_0_v6:1:8078404:8082365:-1 gene:B456_001G078100 transcript:KJB08342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLKPTRTTSSKLTLNPPNFRTNPFDDDEEKVNSSSSSSYWQTSALQNKYKNDFRDCGGIENQPVQELESYAVYKAEETTKTVNNCVKIAEEMREGATKTLITLHQQGEQITRTHDAAAGIDHDLSMGEKLLGSLGGMFSKTWKPKKTRAIIGPIVTRDDSQRRGAHLDQREKLGLTPVPHGGSKSRTPPPEPTNTSQKVEVEKSKQDIALSDLSDLLGELKDMAIDMGSEIERQNKSLNGLQDDVDELNFRVRGANQRARRLLGK >KJB08340 pep chromosome:Graimondii2_0_v6:1:8078432:8082248:-1 gene:B456_001G078100 transcript:KJB08340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLKRSPLKTVKLNSVDPGRVAAQTNPFDSDDELDNMQTLKPTRTTSSKLTLNPPNFRTNPFDDDEEKVNSSSSSSYWQTSALQNKYKNDFRDCGGIENQPVQELESYAVYKAEETTKTVNNCVKIAEEMREGATKTLITLHQQGEQITRTHDAAAGIDHDLSMGEKLLGSLGGMFSKTWKPKKTRAIIGPIVTRDDSQRRGAHLDQREKLGLTPVPHGGSKSRTPPPEPTNTSQKVEVEKSKQDIALSDLSDLLGELKDMAIDMGSEIERQNKSLNGLQDDVDELNFRVRGANQRARRLLGK >KJB08344 pep chromosome:Graimondii2_0_v6:1:8078342:8081474:-1 gene:B456_001G078100 transcript:KJB08344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLKRSPLKTVKLNSVDPGRVAAQTNPFDSDDELDNMQTLKPTRTTSSKLTLNPPNFRTNPFDDDEEKVNSSSSSSYWQTSALQNKYKNDFRDCGGIENQPVQELESYAVYKAEETTKTVNNCVKIAEEMREGATKTLITLHQQGEQITRTHDAAAGIDHDLSMGEKLLGSLGGMFSKTWKPKKTRAIIGPIVTRDDSQRRGAHLDQREKLGLTPVPHGGSKSRTPPPEPTNTSQKVEVEKSKQDIALSDLSDLLGELKDMAIDMGSEIERQNKSLNGLQDDVDELNFRVRGANQRARRLLGK >KJB08341 pep chromosome:Graimondii2_0_v6:1:8078649:8080954:-1 gene:B456_001G078100 transcript:KJB08341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLKRSPLKTVKLNSVDPGRVAAQTNPFDSDDELDNMQTLKPTRTTSSKLTLNPPNFRTNPFDDDEEKVNSSSSSSYWQTSALQNKYKNDFRDCGGIENQPVQELESYAVYKAEETTKTVNNCVKIAEEMREGATKTLITLHQQGEQITRTHDAAAGIDHDLSMGEKLLGSLGGMFSKTWKPKKTRAIIGPIVTRDDSQRRGAHLDQREKLGLTPVPHGGSKSRTPPPEPTNTSQKVEVEKSKQDIALSDLSDLLGELKDMAIDMGSEIERQNKSLNGLQDDVDELNFRVRGANQRARRLLGK >KJB08343 pep chromosome:Graimondii2_0_v6:1:8078649:8080954:-1 gene:B456_001G078100 transcript:KJB08343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLKRSPLKTVKLNSVDPGRVAAQTNPFDSDDELDNMQTLKPTRTTSSKLTLNPPNFRTNPFDDDEEKVNSSSSSSYWQTSALQNKYKNDFRDCGGIENQPVQELESYAVYKAEETTKTVNNCVKIAEEMREGATKTLITLHQQGEQITRTHDAAAGIDHDLSMGEKLLGSLGGMFSKTWKPKKTRAIIGPIVTRDDSQRRGAHLDQREKLGLTPVPHGGSKSRTPPPEPTNTSQKVEVEKSKQDIALSDLSDLLGELKDMAIDMGSEIERQNKSLNGLQDDVDELNFRVRGANQRARRLLGK >KJB09451 pep chromosome:Graimondii2_0_v6:1:19342244:19344633:-1 gene:B456_001G143100 transcript:KJB09451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRVYATSMMFKATQPFSGFLKALWNLKRTNVKIATLPSSVVVLNADNFNEIVLDETKDVLIYEKVATAFKLEEDVVISNLDVDKYTDVAEKYGVSGYPTLKLFPKGNKAGEDYRGERELDDFVSFINEKCGTSRDTKGQLTSKAGILPSLDTLVKEFVSASNDDKKTVLSKLEQEVEKLKGSSARYGKIYLKAAKSCLEKGTDYPKEEIERLQRILDKSISQAKTDEFTLKRNILSTFT >KJB09452 pep chromosome:Graimondii2_0_v6:1:19342621:19344627:-1 gene:B456_001G143100 transcript:KJB09452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLLAFGTLGLLFASSALADDVLVLTQQNFDKEVGHDRGALVEFYAPWCGHCKKLAPEFEKLGANFKRAKSVLIGKVDCDEHKSVCNKYDVQGYPTIQWFPKGSLEPKKYVGPRTAESLSEFVNSEGGTNVKIATLPSSVVVLNADNFNEIVLDETKDVLIYEKVATAFKLEEDVVISNLDVDKYTDVAEKYGVSGYPTLKLFPKGNKAGEDYRGERELDDFVSFINEKCGTSRDTKGQLTSKAGILPSLDTLVKEFVSASNDDKKTVLSKLEQEVEKLKGSSARYGKIYLKAAKSCLEKGTDYPKEEIERLQRILDKSISQAKTDEFTLKRNILSTFT >KJB09450 pep chromosome:Graimondii2_0_v6:1:19342192:19344707:-1 gene:B456_001G143100 transcript:KJB09450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLLAFGTLGLLFASSALADDVLVLTQQNFDKEVGHDRGALVEFYAPWCGHCKKLAPEFEKLGANFKRAKSVLIGKVDCDEHKSVCNKYDVQGYPTIQWFPKGSLEPKKYVGPRTAESLSEFVNSEGGTNVKIATLPSSVVVLNADNFNEIVLDETKDVLVEFYAPWCGHCKSLAPIYEKVATAFKLEEDVVISNLDVDKYTDVAEKYGVSGYPTLKLFPKGNKAGEDYRGERELDDFVSFINEKCGTSRDTKGQLTSKAGILPSLDTLVKEFVSASNDDKKTVLSKLEQEVEKLKGSSARYGKIYLKAAKSCLEKGTDYPKEEIERLQRILDKSISQAKTDEFTLKRNILSTFT >KJB09472 pep chromosome:Graimondii2_0_v6:1:19643334:19648132:-1 gene:B456_001G144800 transcript:KJB09472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLSLLTLLVLLGSGLTRRVTSHEESGEWSCESNSEIQVLADFAPGVITLDGHADDWKDIDGFDFSLLPALDPHEDHEYKDGKMTVKAVHDGNDVFFLLQVDGDYAYTKGDNVKCPSVALMFQIGDDATYHDMGGCKEPRDSCTNKTCKGHEVDIMHFSIGNAIPGRLYGGNPIDNRDGNGGDRFGHLVDVYAWNPHCRYLDGMGPSGNDSSAQNDWKGAWWHSSFTVHSGFVEDDSPFSTGGQKGTYYFEFSRPLRTMDRLQQDVQFTIGGSSKMSVALWYPVDGNPWSGSGHYTINCDWVSFDIASGGSKLTKSVKSSSSWDAASAFSLLFSVAALCVAIFVAYQVSRPKNIPFTPMENL >KJB11321 pep chromosome:Graimondii2_0_v6:1:52484016:52487310:-1 gene:B456_001G253500 transcript:KJB11321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKFIDKKKSATFQLLARDSSDPNYSDSPGSDRVFVRVDNNPVSFDTVFDEDNNCYNDCQYDNEDSIFADAPNDNGDSGDDYDRVLGSSSRMVVNDKGALPENVRKEILELGFPDDGYNYLIHLREIRNTGGGSAFYHNPKFKPDQLPHDVKAYDASRVQISNSKGNYNERSIYSVASNTLNARVNKVFDPEVAALLDDSDLSRFGSDIEDLEEDFIVRANTGEEGEGDESGKKLNFVEDFEFIDASVKNADTKSGNQEIANEVRNYQGEEKPRSRRLLDEQFDILELKEYGSDDDDDDCEGYMAEEEEFLADKLKNVLNENDMDDLEHDDVYKAPADLLHGSKSPKSKELTADIMRRCAEYAENYENESEDEKVMVVQESSDESEQFDCESIISTYSTLDNHPGKIEAPGVTRKKKLAETVSGALSAKSQVISLRGKEKLPLDFLPNSRKATAEKVKIAGSIIPEQHKRKQHGQETKEEKKERKLAVKKERSEARKMKKAMKELYRSETQQAQKVAAISGPSAIRLM >KJB11319 pep chromosome:Graimondii2_0_v6:1:52483547:52487196:-1 gene:B456_001G253500 transcript:KJB11319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKFIDKKKSATFQLLARDSSDPNYSDSPGSDRVFVRVDNNPVSFDTVFDEDNNCYNDCQYDNEDSIFADAPNDNGDSGDDYDRVLGSSSRMVVNDKGALPENVRKEILELGFPDDGYNYLIHLREIRNTGGGSAFYHNPKFKPDQLPHDVKAYDASRVQISNSKGNYNERSIYSVASNTLNARVNKVFDPEVAALLDDSDLSRFGSDIEDLEEDFIVRANTGEEGEGDESGKKLNFVEDFEFIDASVKNADTKSGNQEIANEVRNYQGEEKPRSRRLLDEQFDILELKEYGSDDDDDDCEGYMAEEEEFLADKLKNVLNENDMDDLEHDDVYKAPADLLHGSKSPKSKELTADIMRRCAEYAENYENESEDEKVMVVQESSDESEQFDCESIISTYSTLDNHPGKIEAPGVTRKKKLAETVSGALSAKSQVISLRGKEKLPLDFLPNSRKATAEKVKIAGSIIPEQHKRKQHGQETKEEKKERKLAVKKERSEARKMKKAMKELYRSETQQAQKVAAISGPSAIRLM >KJB11320 pep chromosome:Graimondii2_0_v6:1:52483619:52487152:-1 gene:B456_001G253500 transcript:KJB11320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKFIDKKKSATFQLLARDSSDPNYSDSPGSDRVFVRVDNNPVSFDTVFDEDNNCYNDCQYDNEDSIFADAPNDNGDSGDDYDRVLGSSSRMVVNDKGALPENVRKEILELGFPDDGYNYLIHLREIRNTGGGSAFYHNPKFKPDQLPHDVKAYDASRVQISNSKGNYNERSIYSVASNTLNARVNKVFDPEVAALLDDSDLSRFGSDIEDLEEDFIVRANTGEEGEGDESGKKLNFVEDFEFIDASVKNADTKSGNQEIANEVRNYQGEEKPRSRRLLDEQFDILELKEYGSDDDDDDCEGYMAEEEEFLADKLKNVLNENDMDDLEHDDVYKAPADLLHGSKSPKSKELTADIMRRCAEYAENYENESEDEKVMVVQESSDESEQFDCESIISTYSTLDNHPGKIEAPGVTRKKKLAETVSGALSAKSQVISLRGKEKLPLDFLPNSRKATAEKVKIAGSIIPEQHKRKQHGQETKEEKKERKLAVKKERSEARKMKKAMKELYRSETQQAQKVAAISGPSAIRLM >KJB11672 pep chromosome:Graimondii2_0_v6:1:54872888:54875012:-1 gene:B456_001G271700 transcript:KJB11672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVVDYKHDSSFPYWTSVRRRFAPDSPFFSSGNIERELLAKQVALDFTEDERNQLEKMIDTDARGVFCPIVGCGARLTLLEDFEDHYNTRHTASCSVCSRVYPTSRLLSIHVSEAHDSFFQAKVARGYAMYECLVEGCDLKFKNYKGRQQHLVDKHKFPTSFEFFKKAHPSKKQRLKNQRKRAVNKKDEEVPSKMEVENEMMEGLVSAVSKLSTSDSSPSTVSFGRRNARGLTFVPRSIQPPLQKK >KJB09465 pep chromosome:Graimondii2_0_v6:1:19619020:19622666:-1 gene:B456_001G144600 transcript:KJB09465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGSRFNGANNAVRKKRSSRRPRPDSLSYTESQNHSPLSSTPPSDDVSKVSSDENAGVDASSKRKEFNLNQHVSRISPDIGFEGDKSHKRKKQDGGFNVFYDNEPGRSGSNSKRSSKGVLAPANWKSSTKEKEWSELESRDAEIQSSTQEAVIDNNESKVKKVKLKVGGVTRTIHANSTVNGLPGTGSSARKNSLQGSSNDGHSPPGRSGLQGVPWKDFSQGGFRLGKEGSLMGKTSGKNASGKQGDHAGTVRKNKRAPKRRLLDGEFSEDDNDDEIRYLEKLKTSKISPAYKEDDDEPGKKQKKLSRVSIIENFGTSSSAKDEKRKHRSDRVSEDTDYEEEDEPVTDSELEGKKKRKQRKESVEPSMESKREITLTTRQRALQSSKDASSAPASSLIEFPNGLPPAPSRKQKEKLSEVEQQLKKAEAAQRRRMQVEKANRESEAEAIRKILGQDSSRKKREEKIKKRQEEMAQEKAVKAEMLASNTIRLVMGPAGTTVTFPRDLGFPSIFNSKPSSYPAPRENCAGPSCGNPYKYRDSKSKLPLCSLQCYKAIQKQQMAETTC >KJB09466 pep chromosome:Graimondii2_0_v6:1:19619537:19621619:-1 gene:B456_001G144600 transcript:KJB09466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGSRFNGANNAVRKKRSSRRPRPDSLSYTESQNHSPLSSTPPSDDVSKVSSDENAGVDASSKRKEFNLNQHVSRISPDIGFEGDKSHKRKKQDGGFNVFYDNEPGRSGSNSKRSSKGVLAPANWKSSTKEKEWSELESRDAEIQSSTQEAVIDNNESKVKKVKLKVGGVTRTIHANSTVNGLPGTGSSARKNSLQGSSNDGHSPPGRSGLQGVPWKDFSQGGFRLGKEGSLMGKTSGKNASGKQGDHAGTVRKNKRAPKRRLLDGEFSEDDNDDEIRYLEKLKTSKISPAYKEDDDEPGKKQKKLSRVSIIENFGTSSSAKDEKRKHRSDRVSEDTDYEEEDEPVTDSELEGKKKRKQRKESVEPSMESKREITLTTRQRALQSSKDASSAPASSLIEFPNGLPPAPSRKQKEKLSEVEQQLKKAEAAQRRRMQVEKANRESEAEAIRKILGQDSSRKKREEKIKKRQEEMAQEKAVKAEMLASNTIRLVMGPAGTTVTFPRDLGFPSIFNSKPSRYFPHSNALS >KJB09467 pep chromosome:Graimondii2_0_v6:1:19619055:19622397:-1 gene:B456_001G144600 transcript:KJB09467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGSRFNGANNAVRKKRSSRRPRPDSLSYTESQNHSPLSSTPPSDDVSKVSSDENAGVDASSKRKEFNLNQHVSRISPDIGFEGDKSHKRKKQDGGFNVFYDNEPGRSGSNSKRSSKGVLAPANWKSSTKEKEWSELESRDAEIQSSTQEAVIDNNESKVKKVKLKGSSNDGHSPPGRSGLQGVPWKDFSQGGFRLGKEGSLMGKTSGKNASGKQGDHAGTVRKNKRAPKRRLLDGEFSEDDNDDEIRYLEKLKTSKISPAYKEDDDEPGKKQKKLSRVSIIENFGTSSSAKDEKRKHRSDRVSEDTDYEEEDEPVTDSELEGKKKRKQRKESVEPSMESKREITLTTRQRALQSSKDASSAPASSLIEFPNGLPPAPSRKQKEKLSEVEQQLKKAEAAQRRRMQVEKANRESEAEAIRKILGQDSSRKKREEKIKKRQEEMAQEKAVKAEMLASNTIRLVMGPAGTTVTFPRDLGFPSIFNSKPSSYPAPRENCAGPSCGNPYKYRDSKSKLPLCSLQCYKAIQKQQMAETTC >KJB09464 pep chromosome:Graimondii2_0_v6:1:19619333:19621619:-1 gene:B456_001G144600 transcript:KJB09464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGSRFNGANNAVRKKRSSRRPRPDSLSYTESQNHSPLSSTPPSDDVSKVSSDENAGVDASSKRKEFNLNQHVSRISPDIGFEGDKSHKRKKQDGGFNVFYDNEPGRSGSNSKRSSKGVLAPANWKSSTKEKEWSELESRDAEIQSSTQEAVIDNNESKVKKVKLKVGGVTRTIHANSTVNGLPGTGSSARKNSLQVGSSNDGHSPPGRSGLQGVPWKDFSQGGFRLGKEGSLMGKTSGKNASGKQGDHAGTVRKNKRAPKRRLLDGEFSEDDNDDEIRYLEKLKTSKISPAYKEDDDEPGKKQKKLSRVSIIENFGTSSSAKDEKRKHRSDRVSEDTDYEEEDEPVTDSELEGKKKRKQRKESVEPSMESKREITLTTRQRALQSSKDASSAPASSLIEFPNGLPPAPSRKQKEKLSEVEQQLKKAEAAQRRRMQVEKANRESEAEAIRKILGQDSSRKKREEKIKKRQEEMAQEKAVKAEMLASNTIRLVMGPAGTTVTFPRDLGFPSIFNSKPSSYPAPRENCAGPSCGNPYKYRDSKSKLPLCSLQCYKAIQKQQMAETTC >KJB08915 pep chromosome:Graimondii2_0_v6:1:13140160:13143858:-1 gene:B456_001G112500 transcript:KJB08915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNIPTGGSDYPTPVNDNGSNADIKGGRPSPYMQSPSPWSNQRTPLSVDVNVAYVEGREEVDRGTSNQPLTQDFFMMSSGKRKREDFAQYQNGGYIPQQDGAGDATSEVAKAEGSRGGNFLDRRHSTTTAKSKFLAELARSSSKIPQLDGPIPDSYEDMLSTPNIYNYQGVVNEDYNVVNTPAPNDLQASTPAPAPQNDIVDDDDDEPLNEDDDDEDDLDDVDQGEELNTQHLVLAQFDKVTRTKSRWKCTLKDGIMHINNKDILFNKATGEFDF >KJB08914 pep chromosome:Graimondii2_0_v6:1:13140156:13144428:-1 gene:B456_001G112500 transcript:KJB08914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTTSSVYINVIEDVINKVREEFINNGGPGEAVLNELQGIWEAKMMQAGVILGPIERSSQKQPTPGSAITPVHDLNVPYEGTEEYETPTADMLFPPTPLQTPVPTPLPGSADGSMYNIPTGGSDYPTPVNDNGSNADIKGGRPSPYMQSPSPWSNQRTPLSVDVNVAYVEGREEVDRGTSNQPLTQDFFMMSSGKRKREDFAQYQNGGYIPQQDGAGDATSEVAKAEGSRGGNFLDRRHSTTTAKSKFLAELARSSSKIPQLDGPIPDSYEDMLSTPNIYNYQGVVNEDYNVVNTPAPNDLQASTPAPAPQNDIVDDDDDEPLNEDDDDEDDLDDVDQGEELNTQHLVLAQFDKVTRTKSRWKCTLKDGIMHINNKDILFNKATGEFDF >KJB07838 pep chromosome:Graimondii2_0_v6:1:4469772:4472279:1 gene:B456_001G047200 transcript:KJB07838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSCCTSLMQNSDTHLKNISEKLSKEIYKDRPQFTMGSLLLSSAVILLLISLFVSTPFVLLLLGALTSVLVIFVALFLFLHVPELKSKAKRPPIAGSMLHQLLYFNTLSDYQTSLAKKHRTYRLIMPLHSEIYTTDPANVEYILKTNFPNYGRGAHIEIMRDLFGDGIFAVDGEKWRHQRKLASYEFSTRVLREYSTAVFRDNAAKLVAKVSTIAVANGAMDLQDLFMKSALDSIFKVGFGVELNALSGSDEFANRFTKAFDDSNFIVFRRYVDMFWKVKRFFNIGLEAALKRNVKIIDDFIFDLIRCKREQMENEKLVREKEDILSRFLMESKRDPENMNDQYLRDIILNFMIAGKDTSAGTLTWFFYMLHKHPLVQDKVIHEIRDATQAKDNICAKELSRLMTDDVLDRMHYIHAAITETLRLYPAVPTDGKISVEDDVLPDGVEVKKGEGISYMAYAMGRMTYIWGEDAVEYRPERWLDEDGIFRPESPFQFTAFQAGPRICLGKEFAYRQMKIMAAVLLYLFKFRLVDERKEATYRTMFTLHMADGLHVYAFPRT >KJB07839 pep chromosome:Graimondii2_0_v6:1:4469778:4472273:1 gene:B456_001G047200 transcript:KJB07839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSCCTSLMQNSDTHLKNISEKLSKEIYKDRPQFTMGSLLLSSAVILLLISLFVSTPFVLLLLGALTSVLGAHIEIMRDLFGDGIFAVDGEKWRHQRKLASYEFSTRVLREYSTAVFRDNAAKLVAKVSTIAVANGAMDLQDLFMKSALDSIFKVGFGVELNALSGSDEFANRFTKAFDDSNFIVFRRYVDMFWKVKRFFNIGLEAALKRNVKIIDDFIFDLIRCKREQMENEKLVREKEDILSRFLMESKRDPENMNDQYLRDIILNFMIAGKDTSAGTLTWFFYMLHKHPLVQDKVIHEIRDATQAKDNICAKELSRLMTDDVLDRMHYIHAAITETLRLYPAVPTDGKISVEDDVLPDGVEVKKGEGISYMAYAMGRMTYIWGEDAVEYRPERWLDEDGIFRPESPFQFTAFQAGPRICLGKEFAYRQMKIMAAVLLYLFKFRLVDERKEATYRTMFTLHMADGLHVYAFPRT >KJB07842 pep chromosome:Graimondii2_0_v6:1:4469778:4472273:1 gene:B456_001G047200 transcript:KJB07842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSCCTSLMQNSDTHLKNISEKLSKEIYKDRPQFTMGSLLLSSAVILLLISLFVSTPFVLLLLGALTSVLVIFVALFLFLHVPELKSKAKRPPIAGSMLHQLLYFNTLSDYQTSLAKKHRTYRLIMPLHSEIYTTDPANVEYILKTNFPNYGRGAHIEIMRDLFGDGIFAVDGEKWRHQRKLASYEFSTRVLREYSTAVFRDNAAKLVAKVSTIAVANGAMDLQDLFMKSALDSIFKVGFGVELNALSGSDEFANRFTKAFDDSNFIVFRRYVDMFWKVKRFFNIGLEAALKRNVKIIDDFIFDLIRCKREQMENEKLVREKEDILSRFLMESKRDPENMNDQYLRDIILNFMIAGKDTSAGTLTWFFYMLHKHPLVQDKVIHEIRDATQAKDNICAKELSRLMTDDVLDRMHYIHAAITETLRLYPAVPTVIFSVKAHLVHGEGISVIHIHSFVVILMQDGKISVEDDVLPDGVEVKKGEGISYMAYAMGRMTYIWGEDAVEYRPERWLDEDGIFRPESPFQFTAFQAGPRICLGKEFAYRQMKIMAAVLLYLFKFRLVDERKEATYRTMFTLHMADGLHVYAFPRT >KJB07841 pep chromosome:Graimondii2_0_v6:1:4469778:4472273:1 gene:B456_001G047200 transcript:KJB07841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSCCTSLMQNSDTHLKNISEKLSKEIYKDRPQFTMGSLLLSSAVILLLISLFVSTPFVLLLLGALTSVLVIFVALFLFLHVPELKSKAKRPPIAGSMLHQLLYFNTLSDYQTSLAKKHRTYRLIMPLHSEIYTTDPANVEYILKTNFPNYGRGAHIEIMRDLFGDGIFAVDGEKWRHQRKLASYEFSTRVLREYSTAVFRDNAAKLVAKVSTIAVANGAMDLQDLFMKSALDSIFKVGFGVELNALSGSDEFANRFTKAFDDSNFIVFRRYVDMFWKVKRFFNIGLEAALKRNVKIIDDFIFDLIRCKREQMENEKLVREKEDILSRFLMESKRDPENMNDQYLRDIILNFMIAGKDTSAGTLTWFFYMLHKHPLVQDKVIHEIRDATQAKDNICAKELSRLMTDDVLDRMHYIHAAITETLRLYPAVPTDGKISVEDDVLPDGVEVKKGEGISYMAYAMGRMTYIWGEDAVEYRPERWLDEDGWASHLPREGICIQANENHGCCAPLLVQIPTCG >KJB07843 pep chromosome:Graimondii2_0_v6:1:4469778:4471890:1 gene:B456_001G047200 transcript:KJB07843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSCCTSLMQNSDTHLKNISEKLSKEIYKDRPQFTMGSLLLSSAVILLLISLFVSTPFVLLLLGALTSVLVIFVALFLFLHVPELKSKAKRPPIAGSMLHQLLYFNTLSDYQTSLAKKHRTYRLIMPLHSEIYTTDPANVEYILKTNFPNYGRGAHIEIMRDLFGDGIFAVDGEKWRHQRKLASYEFSTRVLREYSTAVFRDNAAKLVAKVSTIAVANGAMDLQDLFMKSALDSIFKVGFGVELNALSGSDEFANRFTKAFDDSNFIVFRRYVDMFWKVKRFFNIGLEAALKRNVKIIDDFIFDLIRCKREQMENEKLVREKEDILSRFLMESKRDPENMNDQYLRDIILNFMIAGKDTSAGTLTWFFYMLHKHPLVQDKVIHEIRDATQAKDNICAKELSRLMTDDVLDRMHYIHAAITETLRLYPAVPTDGKISVEDDVLPDGVEVKKGEGISYMAYAMGRMTYIWGEDAVEYRPERWLDEDGIFRPESPFQFTAFQVRGYLELILSNRL >KJB07840 pep chromosome:Graimondii2_0_v6:1:4469778:4472273:1 gene:B456_001G047200 transcript:KJB07840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSCCTSLMQNSDTHLKNISEKLSKEIYKDRPQFTMGSLLLSSAVILLLISLFVSTPFVLLLLGALTSVLVIFVALFLFLHVPELKSKAKRPPIAGSMLHQLLYFNTLSDYQTSLAKKHRTYRLIMPLHSEIYTTDPANVEYILKTNFPNYGRGAHIEIMRDLFGDGIFAVDGEKWRHQRKLASYEFSTRVLREYSTAVFRDNAAKLVAKVSTIAVANGAMDLQDLFMKSALDSIFKVGFGVELNALSGSDEFANRFTKAFDDSNFIVFRRYVDMFWKVKRFFNIGLEAALKRNVKIIDDFIFDLIRCKREQMENEKLVREKEDILSRFLMESKRDPENMNDQYLRDIILNFMIAGKDTSAGTLTWFFYMLHKHPLVQDKVIHEIRDATQAKDNICAKELSRLMTDDVLDRMHYIHAAITETLRLYPAVPTDGKISVEDDVLPDGVEVKKGEGISYMAYAMGRMTYIWGEDAVEYRPERWLDEDGIFRPESPFQFTAFQGRNLHTGK >KJB09513 pep chromosome:Graimondii2_0_v6:1:20134737:20139203:1 gene:B456_001G147200 transcript:KJB09513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTSFQDLRKRSDDYDDDEDDDVGHDEVELQWAAIERLPTFKRIRTSLFDQKLLNAGKDEVLGKKVINVTRLGALERRVFIDHLITIIDKDNLKLLKKLKERMARVGLELPTTDVRFKNLNVEAECKVVHGKPHIPTLWNTITNIFSAITNVNRCMSQPNKIKILNDVSGIVKPSRMTLLLGLPGCGKTTFLQTLAGKHDPSLKVSGEISYNGYKLSEFVPQKTSAYINQYDLHISDMTVRETIDFSARCQGIGSRADILKELSRREKFLGIIPEPDLDTYMKAISVEGLKRTLQTDYILKILGLDICGDTIVGDAMSRGISGGEKKRLTIGEMMVGPTIAFFMDEISTGLDSSTTFQIVTCLQQLAHVIGATILISLLQPTPETVDLFDDIILMDEGKIVYNGPKSDVQEFFEYCGFKCPPRKGLADFLLEVLSQKDQAQYWFHRDQPHSYVSTDKFIAAFKEFLAGQRLNEELYAPFKITEDHKNALSFNIYSFEKWELFKACLTREWLLTKRNSFLYMFRSAQLVSLSLIVVTLFIRTQMKIDEFHARKYMACLFFGLLRILTSGVPELALTSSRLGIFYKQRDLYFYPAWAYSIPSAILRIPFSFLDTFIWTSLIYFGVGYSPEPERFFRQLFIQFLLHQVGTSIFRLIAVVIQTPPVAAIFCQFTVMATLLSSGFIVPLPSMPSWIKWCFWISPLSHTDIGISVNEFLSPRWQK >KJB10543 pep chromosome:Graimondii2_0_v6:1:40555298:40558198:1 gene:B456_001G206600 transcript:KJB10543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSNSLGLKLSLKPTYVPKTITDLMKDLLNIENETDKLAVLSDYISQHEEELNSIAALRGQLPQCMLLLMEALGTLRQEFLKIKNGMVAGKEGDNIDMVLKENSGMKRKRCEKMLEAEQVPNLKCDFKGKGVMMNSSPPHHPSNHKHPKAFRDFVQAPNQNPNSNGGGGLNLRADNRTLLNYKHKPLTQPIWKNNRRSWSPQLHARFVETLHILGGMYEATPKQIREMMNVDGLTNDQVKSHLQKYRLHSRKQQEGCAQQVAKGEDPWDPDRDGGEPMAPAN >KJB11480 pep chromosome:Graimondii2_0_v6:1:53667663:53671062:-1 gene:B456_001G261300 transcript:KJB11480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQKSESGGGYVRADQIDLKSLDEQLQRHLSRAWTMEKNKNRKEEGEDGGGGGGGEQLRSSSNTVRRQEWEIDPSKLIIKSVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSAAEIASLRAAFTQEVAVWHKLDHPNVTKFIGATMGSSDLNIQTENGQIGMPSNMCCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPNDMTGETGTLGYMAPEASF >KJB11479 pep chromosome:Graimondii2_0_v6:1:53667645:53671250:-1 gene:B456_001G261300 transcript:KJB11479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQKSESGGGYVRADQIDLKSLDEQLQRHLSRAWTMEKNKNRKEEGEDGGGGGGGEQLRSSSNTVRRQEWEIDPSKLIIKSVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSAAEIASLRAAFTQEVAVWHKLDHPNVTKFIGATMGSSDLNIQTENGQIGMPSNMCCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMMEAIDTSKGGGMIPHDQAQGCLCFRRYRGP >KJB09681 pep chromosome:Graimondii2_0_v6:1:22111617:22113306:1 gene:B456_001G156200 transcript:KJB09681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYRKWSLLTGPVSILGGIVGATVVAHLIFLDDPYLKPKKKTIDREERS >KJB11622 pep chromosome:Graimondii2_0_v6:1:54678298:54683027:1 gene:B456_001G270100 transcript:KJB11622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEASISIEYAMEKASGPHFSGFRTPTATSVSSTTFSDANVPREPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLDSFYRGLNSEELKRVHEYNFDHPDAFDTEQLLECIKKLKIGHSVQVPVYDFKLHRRSSDSFRQVNASDVIILEGILVFHDQRVRNLMNMKIFVDADADVRLARRIRRDTVERGRDVNSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIRDRDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTATVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHREGDDGKQLIYEKLPTDISERHVLLLDPVLATGNSANQAIELLIQKGVPQSHIIFLNLISAPEGIHCVCKRYPSLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >KJB11621 pep chromosome:Graimondii2_0_v6:1:54678445:54681611:1 gene:B456_001G270100 transcript:KJB11621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEASISIEYAMEKASGPHFSGFRTPTATSVSSTTFSDANVPREPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLNSEELKRVHEYNFDHPDAFDTEQLLECIKKLKIGHSVQVPVYDFKLHRRSSDSFRQVNASDVIILEGILVFHDQRVRNLMNMKIFVDADADVRLARRIRRDTVERGRDVNSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIRDRDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTATVYTGVDFCKKLCGVSIVRR >KJB11623 pep chromosome:Graimondii2_0_v6:1:54678298:54683062:1 gene:B456_001G270100 transcript:KJB11623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEASISIEYAMEKASGPHFSGFRTPTATSVSSTTFSDANVPREPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLNSEELKRVHEYNFDHPDAFDTEQLLECIKKLKIGHSVQVPVYDFKLHRRSSDSFRQVNASDVIILEGILVFHDQRVRNLMNMKIFVDADADVRLARRIRRDTVERGRDVNSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIRDRDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTATVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHREGDDGKQLIYEKLPTDISERHVLLLDPVLATGNSANQAIELLIQKGVPQSHIIFLNLISAPEGIHCVCKRYPSLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >KJB10548 pep chromosome:Graimondii2_0_v6:1:40644014:40651810:-1 gene:B456_001G207000 transcript:KJB10548 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G38880) UniProtKB/Swiss-Prot;Acc:Q9FMB4] MQSSNVQPEVILEWLQKEMGYRPLGPYNSSSNKSNLPSIDSLRKICRGNMLPLWHFLLTRVKSEKTVQSIRKNITVHGGGGNIENAGNLGKEEGRSKGGGRRKEKVGGGGGGEGSGAAEIREAAIREREAAAKEAERLRNIVRRQRKDLKARMLEVSREEAERKRMLDEKANYRHKQVVLEAYDQQCDEAAKIFAEYHKRLHQYVNQARDAQRSSVDSSIEVVSNYSGNSEKEAVYSTVKGTKAADDVILIETTRERNVRKACESLADRMIEKVRNSFPAYEGNGIHLSPQSEAAKLGFDFDGEIPDEVRIVIVNCLKNPPQLLQAISTYTSRLKTLISREIEKVDVRADAEALRYKYENNRVMDVSSPDVSSPLNQLYGNEKIGMDVPSRGMQNQLLERQKAHVQQFLATEDALNKAAEARDLCQKLIKRLQGGSDLVPSRSLVGGATQNVGSLRQFELEVWAKEREAAGLKASLNTLMSEIQRLNKLCAERKEAEDSLRKKWKKIEEFDSRRSELESIYTALLKANMDAAAFWNQQPLAAREYASSTIIPVCNIVADISNSAKEFIVKEVSAFYRSPDNSLYMLPSSPQALLESMGANGSTGPEAVAAAEKNAALLTARAGARDPSAIPSICRVSAALQYPAGEVSNKAN >KJB10547 pep chromosome:Graimondii2_0_v6:1:40643944:40652065:-1 gene:B456_001G207000 transcript:KJB10547 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G38880) UniProtKB/Swiss-Prot;Acc:Q9FMB4] MQSSNVQPEVILEWLQKEMGYRPLGPYNSSSNKSNLPSIDSLRKICRGNMLPLWHFLLTRVKSEKTVQSIRKNITVHGGGGNIENAGNLGKEEGRSKGGGRRKEKVGGGGGGEGSGAAEIREAAIREREAAAKEAERLRNIVRRQRKDLKARMLEVSREEAERKRMLDEKANYRHKQVVLEAYDQQCDEAAKIFAEYHKRLHQYVNQARDAQRSSVDSSIEVVSNYSGNSEKEAVYSTVKGTKAADDVILIETTRERNVRKACESLADRMIEKVRNSFPAYEGNGIHLSPQSEAAKLGFDFDGEIPDEVRIVIVNCLKNPPQLLQAISTYTSRLKTLISREIEKVDVRADAEALRYKYENNRVMDVSSPDVSSPLNQLYGNEKIGMDVPSRGMQNQLLERQKAHVQQFLATEDALNKAAEARDLCQKLIKRLQGGSDLVPSRSLVGGATQNVGSLRQFELEVWAKEREAAGLKASLNTLMSEIQRLNKLCAERKEAEDSLRKKWKKIEEFDSRRSELESIYTALLKANMDAAAFWNQQPLAAREYASSTIIPVCNIVADISNSAKEFIVKEVSAFYRSPDNSLYMLPSSPQALLESMGANGSTGPEAVAAAEKNAALLTARAGARDPSAIPSICRVSAALQYPAGLEGSDAGLASVLESLEFCLKLRGSEASVLEELAKAINLVHIRQDLVESGHALLNHAYRAQQEYARTTNYCLNLAAEQDKIVIEKWLPELKTAILNAQKCLEDCKYVRGLLDEWWEQPASTVVDWVTVDRQNVGAWHSHVKQLLAFYDKEDL >KJB10549 pep chromosome:Graimondii2_0_v6:1:40644014:40651810:-1 gene:B456_001G207000 transcript:KJB10549 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G38880) UniProtKB/Swiss-Prot;Acc:Q9FMB4] MQSSNVQPEVILEWLQKEMGYRPLGPYNSSSNKSNLPSIDSLRKICRGNMLPLWHFLLTRVKSEKTVQSIRKNITVHGGGGNIENAGNLGKEEGRSKGGGRRKEKVGGGGGGEGSGAAEIREAAIREREAAAKEAERLRNIVRRQRKDLKARMLEVSREEAERKRMLDEKANYRHKQVVLEAYDQQCDEAAKIFAEYHKRLHQYVNQARDAQRSSVDSSIEVVSNYSGNSEKEAVYSTVKGTKAADDVILIETTRERNVRKACESLADRMIEKVRNSFPAYEGNGIHLSPQSEAAKLGFDFDGEIPDEVRIVIVNCLKNPPQLLQAISTYTSRLKTLISREIEKVDVRADAEALRYTFIRYKYENNRVMDVSSPDVSSPLNQLYGNEKIGMDVPSRGMQNQLLERQKAHVQQFLATEDALNKAAEARDLCQKLIKRLQGGSDLVPSRSLVGGATQNVGSLRQFELEVWAKEREAAGLKASLNTLMSEIQRLNKLCAERKEAEDSLRKKWKKIEEFDSRRSELESIYTALLKANMDAAAFWNQQPLAAREYASSTIIPVCNIVADISNSAKEFIVKEVSAFYRSPDNSLYMLPSSPQALLESMGANGSTGPEAVAAAEKNAALLTARAGARDPSAIPSICRVSAALQYPAGLEGSDAGLASVLESLEFCLKLRGSEASVLEELAKAINLVHIRQDLVESGHALLNHAYRAQQEYARTTNYCLNLAAEQDKIVIEKWLPELKTAILNAQKCLEDCKYVRGLLDEWWEQPASTVVDWVTVDRQNVGAWHSHVKQLLAFYDKEDL >KJB09521 pep chromosome:Graimondii2_0_v6:1:20252418:20255849:-1 gene:B456_001G147900 transcript:KJB09521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQHSRELTFLHSGDSLRQNSGVADRTLDDSRDHVEPHIKEMDFFSSQYQSHDHHHHHHHQEGNTNNNGSSSFIDSSVNIGLNLLSSSPGVSRATNEEKPKAQESALKMELEKLNEENRRLRSMLDQITKNYNELQGQLLMALQKQAHRNQQDQKDAVNGMASTIMSVQQFMDPRPSAALDVNEPSGSDDKTQELSASPENTIEIVSKEYDHRMVQNMPGKQVSIEDGTDQTSQSWGSLKSPKIDQSKNEDQVSEGPFRKARVSVRARSEAPLISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCADDKSILITTYEGNHNHPLPPAATAMANTTSAAAAMLLSGSTKSKDGLPTSAYYPSLPYASSMATLSASAPFPTITLDLTQGPNAVQLLRPPPSAASFPLPLHGYPQLLGNPMFGPPKLSTASPAMQLGQRPASMVETVTAAIASDPNFTAALAAAISTIMGPPRNQGNSSNNNDENNNSSNGVGAPALPGSPQIQQSCTTFSSKACTNKPG >KJB09520 pep chromosome:Graimondii2_0_v6:1:20253115:20255096:-1 gene:B456_001G147900 transcript:KJB09520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEKLNEENRRLRSMLDQITKNYNELQGQLLMALQKQAHRNQQDQKDAVNGMASTIMSVQQFMDPRPSAALDVNEPSGSDDKTQELSASPENTIEIVSKEYDHRMVQNMPGKQVSIEDGTDQTSQSWGSLKSPKIDQSKNEDQVSEGPFRKARVSVRARSEAPLISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCADDKSILITTYEGNHNHPLPPAATAMANTTSAAAAMLLSGSTKSKDGLPTSAYYPSLPYASSMATLSASAPFPTITLDLTQGPNAVQLLRPPPSAASFPLPLHGYPQLLGNPMFGPPKLSTASPAMQLGQRPASMVETVTAAIASDPNFTAALAAAISTIMGPPRNQGNSSNNNDENNNSSNGVGAPALPGSPQIQQSCTTFSSKACTNKPG >KJB06153 pep chromosome:Graimondii2_0_v6:1:3990341:3991303:1 gene:B456_001G042500 transcript:KJB06153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLSSQGMVLATAMAVSGTVILLAFRYQKSFPLPHPSQQVLRSCISSGKKREKKKKKKVHFAEDVMEPRGDGLQVKNHVRIFSNNSSSKLKKFGGDGGKQGGEMPANRVALYNGILRDRGVQRLAYSY >KJB06154 pep chromosome:Graimondii2_0_v6:1:3990341:3991303:1 gene:B456_001G042500 transcript:KJB06154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLSSQGMVLATAMAVSGTVILLAFRYQKSFPLPHPSQQVLRSCISSEGKKREKKKKKKVHFAEDVMEPRGDGLQVKNHVRIFSNNSSSKLKKFGGDGGKQGGEMPANRVALYNGILRDRGVQRLAYSY >KJB09585 pep chromosome:Graimondii2_0_v6:1:20986284:20987739:1 gene:B456_001G151100 transcript:KJB09585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFLFSLPLSFFLLHLPKPPPTCTTVVGAPVNPLLTPKQSSPHHFFILWFRFSEKMTKTLENLNKNLKLKTLIRNRLLLDHLELGTCYSPQPSLHSHRLDLTADAPSP >KJB11648 pep chromosome:Graimondii2_0_v6:1:54620843:54621262:1 gene:B456_001G269500 transcript:KJB11648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYERVFNDIDKNGDGKISAAELHQCVKAIGWEVPLVEVEMAVVEGLDRDGDGVLGLEDLISLVEDVEDDDKMNDLKEAFKMYEMEGCGIITPLSLKKMLARLGESSWSLQDCKLMIAHFDLNGDGVLDFDEFRLMML >KJB06838 pep chromosome:Graimondii2_0_v6:1:19910846:19912279:1 gene:B456_001G146400 transcript:KJB06838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSRLEGKVALITGAASGIGEETVRLFVEHGAFVIIADVQDDLGNKVAASIGLDKVSYCHCDVRDEKQVEATVSYAVEKYGRLDILFSNAGVYGPLPGILELDVNGFDNTMATNVRGVAATIKHAARAMVAKNIRGSIICTASVASCVGGIATIGYTTSKHAVVGLVRGACSELGGYGIRVNCVSPFGVATPLACKIFDMEPSEVEANTCSRSNLKGIVLKARHISEAALFLASDESVYISGQNLAVDGGFSVVNYSFPEVHN >KJB06840 pep chromosome:Graimondii2_0_v6:1:19911161:19912227:1 gene:B456_001G146400 transcript:KJB06840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSRYVALITGAASGIGEETVRLFVEHGAFVIIADVQDDLGNKVAASIGLDKVSYCHCDVRDEKQVEATVSYAVEKYGRLDILFSNAGVYGPLPGILELDVNGFDNTMATNVRGVAATIKHAARAMVAKNIRGSIICTASVASCVGGIATIGYTTSKHAVVGLVRGACSELGGYGIRVNCVSPFGVATPLACKIFDMEPSEVEANTCSRSNLKGIVLKARHISEAALFLASDESVYISGQNLAVDGGFSVVNYSFPEVHN >KJB06839 pep chromosome:Graimondii2_0_v6:1:19901624:19912279:1 gene:B456_001G146400 transcript:KJB06839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSRLEGKVALITGAASGIGEETVRLFVEHGAFVIIADVQDDLGNKVAASIGLDKVSYCHCDVRDEKQVEATVSYAVEKYGRLDILFSNAGVYGPLPGILELDVNGFDNTMATNVRGVAATIKHAARAMVAKNIRGSIICTASVASCVGGIATIGYTTSKHAVVGLVRGACSELGGYGIRVNCVSPFGVATPLACKIFDMEPSEVEANTCSRSNLKGIVLKARHISEAALFLASDESVYISGQNLAVDGGFSVVNYSFPEVHN >KJB11223 pep chromosome:Graimondii2_0_v6:1:49884769:49887735:-1 gene:B456_001G248000 transcript:KJB11223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILSDDYIQNKLVTSLDFHPFWLLISRQFPLKQTLKTPDLTQLSQTKSHLKVKFDPNFSKNPDRNPTMTYTALKAVSLTHVRYQRGDQLGHVLAWVSLVPVFISLGGFVSHFIFRRELQSMFFALGLVISQFINEFIKKSVQQARPETCALLEMCDSHGWPSSHSQYMFFFAVYFTLLTCKGIGGIWNIRTKWAALFLPWSLAVLTMYSRVYLGYHTVAQVLAGASLGILLGGLWFWVVNSMLFCYFPLIEESSFGRFFYVKDTSHISDVLKFEYDNARAARNTMAARKAMASKSS >KJB07163 pep chromosome:Graimondii2_0_v6:1:236810:241494:1 gene:B456_001G002700 transcript:KJB07163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGSVLCGQEEIEHLSRESSHYSLTTGIIPSLGARSIRRVKLRSYIISPHDRRYRVWETFLVLLVIYTAWVSPFEFGFLGKPDTPLAVTDNVVNGFFALDIILTFFVAYLDKAAYLLIDDPKKIAWKYGSSWLAFDVISTIPSELARRISPKPLRSYGLFNMLRLWRLRRVSALFSRLEKDKNFNYFWVRCAKLICVTVFAVHCAGCFYYRIAARYRDPGRTWIGASMGDNFHEQSLSIRYVTSMYWSITTLTTVGYGDLHPVNTPEMIFDIFYMFFNLGLTAYLIGNMTNLVVHGTSRTRQFRDTIQAASSFAQRNQLPPRLLDQMVAHLSLKYRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLVDNVYLFHGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLLVRKNGVEQVVGEASAGDLCGEIGVLCYRPQLFTVRTKRLCQLLRLNRTTFLNIIQANVGDGTIIMNNLLQHLKDMDDPIMEGVLIETQNMLARGSMDLPLNLCFAAVKGDDSLLNKLLEKGHNANESDDNGRTPLHIAASKGSENCVLILLDHNADPNIKDSGGSVPLWEAILGGHDNIAKLLKDNGANINVGDVGHFACIAAEQNDLNLLKEIVRYGGNVTCPRYNGYTALHVAVCEGNFEIVKYLLEQGSDIDKRDIHGWTPRDLAAQQGHEDIEMIFKSLTEKKKTQPIMTIPEKHEARFLGRFTSEPVISTATSGDGLDGSSRGKSRRPRRKSSNFNNSLFGIMSQNVEKDLLLSVHQPKGMKDPLVKSSRVIISCPEKGDTIGKLVLVPGSMEELVEIGANKFGIFGGKVMNKGGGEIDDIEVIRDGDHLVFVSDGYMQQEINDTQNPQ >KJB08900 pep chromosome:Graimondii2_0_v6:1:13043565:13045611:-1 gene:B456_001G111900 transcript:KJB08900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSSYWCYSCSLLFRISASDNATNEAIVCPYCDGGFIEEIESSDDHTPRFPPSYIIPSNRRDSDRNRNPVSRRNRWIPIDHLNINPIIVLRGTTGDESTTPTDGNNNNSSEFDFFYDDGSGSGLRPIPTSMSESLMGLGFNRLLTHLSQIEITGLGQHQNPPASKTAIESMPTIQIEATYVCSETYCAVCKEPFELGTEAREMPCKHIYHQDCIIPWLSLRNSCPICRHEVPSDRSESNDDVLERVGFSIWRLPGGLFGVTRVRSEREVPVVYPEMDGGFGEWGSDSGHGDVTTVSWGGVRRRENGFRRVVRNVTSFLRGLRSHGGSEESGGLTRIGSMSTLGRFTRSRSRISSSGFGG >KJB06523 pep chromosome:Graimondii2_0_v6:1:49068911:49069132:-1 gene:B456_001G246200 transcript:KJB06523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTVLPKGHFVVYVGEADEKKRFIALISFLNHHFIQNLLSEAKEEYGFNHPMGALTIRYSEEAFHDPIGCLQSS >KJB07566 pep chromosome:Graimondii2_0_v6:1:2805795:2807641:-1 gene:B456_001G030000 transcript:KJB07566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGGSITYFQPLAVLILSLTIPISTRVVMASPPAVKAAYWPSWTTFPPSAIDTILFTHIYYAFLMPTDTYKFEISTSTALELSNFTTTLRRKNPPVKTLYSIGGGGTDSHLFARMVSNEKSRQTFINSAIETARGLGFDGMDLDWEFPENPTDMKNLGVLFMDWRRAINYEARKTHRAPLLLTAAVYFSVDFFLDEVYRKFPVWSINQNLDWINAMCYDYHGSWDTSATGAHAALYDPNSNISTSYGLRSWIKAGLFRSKLVMGLPLYGKTWVLKDPNSNEIGSAAISVGPGDVGVLTNVEIEEFNKKNGAKIEHDMETVSTYSYAGSVWIGYDDSVSATLKVGFAQALGIRGYFFWAIGYDSEWKISRYASRAWVVGE >KJB11384 pep chromosome:Graimondii2_0_v6:1:52808033:52810804:-1 gene:B456_001G255800 transcript:KJB11384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCKPCDSEGEPLPGTELNKAWKLADAPKNDKFQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEQGDLSKAGFEKSREATFFKVSLNGSLFFCNPW >KJB11383 pep chromosome:Graimondii2_0_v6:1:52807803:52811311:-1 gene:B456_001G255800 transcript:KJB11383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCKPCDSEGEPLPGTELNKAWKLADAPKNDKFQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEQGDLSKAGFEKSSLK >KJB11386 pep chromosome:Graimondii2_0_v6:1:52807910:52811311:-1 gene:B456_001G255800 transcript:KJB11386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCKPCDSEGEPLPGTELNKAWKLADAPKNDKFQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEQGDLSKAGFEKKRQLSSK >KJB11385 pep chromosome:Graimondii2_0_v6:1:52808033:52810804:-1 gene:B456_001G255800 transcript:KJB11385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCKPCDSEGEPLPGTELNKAWKLADAPKNDKFQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEQGDLSKAGFEKSREATFFKVSLNGSLFFCNPW >KJB11515 pep chromosome:Graimondii2_0_v6:1:53860855:53863620:1 gene:B456_001G263000 transcript:KJB11515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTEPEANVTRIMLAVNESSIKGYPHASISSRGAFEWTIQKIVRSNTSGFKLLFLHVQAPHEDGFEDMDSIYAFPEDFKTMKHRDQTRGLHLLEYFVTRCHEIGVACEAWVKEGDPKEVICRQAKRMRPDLLVVGNRGLGPFQRVIAGTVSEFCVKHAECPVVSIKRSPGETPRDPVDD >KJB10800 pep chromosome:Graimondii2_0_v6:1:45885341:45887250:1 gene:B456_001G225400 transcript:KJB10800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLELSESYSNEANIDDVLTDQVALEDMQNTMTEPSEISSGDSKLGGNDDGNGLEHQMLESDFDSNKAVNHDTVVPVDLETESFKRGRESSGANPEDCDTDVDDFTTNKQAAERHLPNAVLPFLRYYQYESSESSCSFQGSPCDDRNLRSDVDDTETEEASISGQEDSSDHLDILEWAKANNHGSLQILCEYYRLPCPERGSKLRFHPLEHLHPLEYQRPDEKVLHIAGSTIDLRSCSFRFSS >KJB10801 pep chromosome:Graimondii2_0_v6:1:45885378:45887250:1 gene:B456_001G225400 transcript:KJB10801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRRCYCILSRLPFFELHFGVLNSIFDEERLERLTKSIGDMDLELSESYSNEANIDDVLTDQVALEDMQNTMTEPSEISSGDSKLGVDLETESFKRGRESSGANPEDCDTDVDDFTTNKQAAERHLPNAVLPFLRYYQYESSESSCSFQGSPCDDRNLRSDVDDTETEEASISGQEDSSDHLDILEWAKANNHGSLQILCEYYRLPCPERGSKLRFHPLEHLHPLEYQRPDEKVLHIAGSTIDLRSCSFRFSS >KJB10802 pep chromosome:Graimondii2_0_v6:1:45885378:45887250:1 gene:B456_001G225400 transcript:KJB10802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRRCYCILSRLPFFELHFGVLNSIFDEERLERLTKSIGDMDLELSESYSNEANIDDVLTDQVALEDMQNTMTEPSEISSGDSKLGGNDDGNGLEHQMLESDFDSNKAVNHDTVVPVDLETESFKRGRESSGANPEDCDTDVDDFTTNKQAAERHLPNAVLPFLRYYQYESSESSCSFQGSPCDDRNLRSDVDDTETEEASISGQEDSSDHLDILEWAKANNHGSLQILCEYYRLPCPERGSKLRFHPLEHLHPLEYQRPDEKVLHIAGSTIDLRSCSFRFSS >KJB09375 pep chromosome:Graimondii2_0_v6:1:18111157:18115398:-1 gene:B456_001G137600 transcript:KJB09375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAACLLTSSPLSSPNSLTITPKFPTTFPLHLHLRLSSSATCLRHSRSSPFLTFCAGGDGGDINSNNNNSGGGGGNDENGKHRGGDDENAGDKNRKEAMIVLAEAGRSTESLPKDLAAAIQAGRIPGSVIERFLGLEKSGLMRWLMQFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFFKELEIVFADVVMAIIADFMLVYLPAPTVSLRPPLAVSAGAISKFFYSCPDNAFQVALPGTSYSLLQRLGAVMRNGAKLFAVGTTSSLAVDKTSAAEVENVPILSTSVAYGVYMAVSSNLRYQVLAGVIEQRILEPLLHQHKLMLSAICFAVRTGNTFLGSLLWVDYARLVGIQKAHEEDTAA >KJB09373 pep chromosome:Graimondii2_0_v6:1:18111122:18115405:-1 gene:B456_001G137600 transcript:KJB09373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAACLLTSSPLSSPNSLTITPKFPTTFPLHLHLRLSSSATCLRHSRSSPFLTFCAGGDGGDINSNNNNSGGGGGNDENGKHRGGDDENAGDKNRKEAMIVLAEAGRSTESLPKDLAAAIQAGRIPGSVIERFLGLEKSGLMRWLMQFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFFKELEIVFADVVMAIIADFMLVYLPAPTVSLRPPLAVSAGAISKFFYSCPDNAFQVALPGTSYSLLQRLGAVMRNGAKLFAVGTTSSLVGTAITNALINARKAVDKTSAAEVENVPILSTSVAYGVYMAVSSNLRYQVLAGVIEQRILEPLLHQHKLMLSAICFAVRTGNTFLGSLLWVDYARLVGIQKAHEEDTAA >KJB09376 pep chromosome:Graimondii2_0_v6:1:18111373:18115156:-1 gene:B456_001G137600 transcript:KJB09376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAACLLTSSPLSSPNSLTITPKFPTTFPLHLHLRLSSSATCLRHSRSSPFLTFCAGGDGGDINSNNNNSGGGGGNDENGKHRGGDDENAGDKNRKEAMIVLAEAGRSTESLPKDLAAAIQAGRIPGSVIERFLGLEKSGLMRWLMQFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFFKELEIVFADVVMAIIADFMLVYLPAPTVSLRPPLAVSAGAISKFFYSCPDNAFQVALPGTSYSLLQRLGAVMQRNGAKLFAVGTTSSLVGTAITNALINARKAVDKTSAAEVENVPILSTSVAYGVYMAVSSNLRYQVLAGVIEQRILEPLLHQHKLMLSAICFAVRTGNTFLGSLLWVDYARLVGIQKAHEEDTAA >KJB09374 pep chromosome:Graimondii2_0_v6:1:18112002:18115156:-1 gene:B456_001G137600 transcript:KJB09374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAACLLTSSPLSSPNSLTITPKFPTTFPLHLHLRLSSSATCLRHSRSSPFLTFCAGGDGGDINSNNNNSGGGGGNDENGKHRGGDDENAGDKNRKEAMIVLAEAGRSTESLPKDLAAAIQAGRIPGSVIERFLGLEKSGLMRWLMQFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFFKELEIVFADVVMAIIADFMLVYLPAPTVSLRPPLAVSAGAISKFFYSCPDNAFQVALPGTSYSLLQRLGAVMRNGAKLFAVGTTSSLPSQML >KJB09616 pep chromosome:Graimondii2_0_v6:1:21773355:21774280:1 gene:B456_001G155200 transcript:KJB09616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSFNFLPLLLLLTLSALTVQEISAAPSCTEVAIELLPCLSFLSGNGAADVPSRACCLGAQTIAYEAQTKDDRRAICECLVNALANIGTSDSFTARIPLIATQCGVDVSLPPITGDKDYCSKLFP >KJB06345 pep chromosome:Graimondii2_0_v6:1:8814087:8814566:-1 gene:B456_001G083000 transcript:KJB06345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSRKYINLGIETSYPIPSNLEGMKQHNCMNLKRKKKKKYSNHCACVYANWHTKNHSHGLANVAKPYGKRESEREKPINEHTHGDDRKCRVKI >KJB09396 pep chromosome:Graimondii2_0_v6:1:18489511:18498750:-1 gene:B456_001G139100 transcript:KJB09396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITATQQHHHPASLEEVRTLWIGDLQFWVDESYLNSCFAHTGELVSIKIIRNKITGQPEGYGFVEFVSHQAAERILQTYNGTTMPGTDQMFRLNWASFGIGERRTDPGLEHSIFVGDLAPDVTDYLLQETFRAHYQSVRGAKVVTDPNTGRSKGYGFVKFADEMERNRAMTEMNGVYCSTRAMRISAATPKKTTAGFQQQYAVAKAVYPVPAYTTPVEVLPPDNDNTNTTIFVGNLDPNVTEEELKQFFSPLGEIVYVKIPAAKGCGFVQFATRTSAEDAIQRMQGQMIGQQVVRISWGRSPTAKQDLPGAWGTQVDPNQWSAYYGYGQGYDAYAYGATQDPSLYAYGAYAGYAQYSQQIEGSQEMAAMTAAVPGVEQREESYDPLATPDVDKLNATYLSVHGSAMVGRPLWLRTSFLPQA >KJB09397 pep chromosome:Graimondii2_0_v6:1:18490011:18498334:-1 gene:B456_001G139100 transcript:KJB09397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITATQQHHHPASLEEVRTLWIGDLQFWVDESYLNSCFAHTGELVSIKIIRNKITGQPEGYGFVEFVSHQAAERILQTYNGTTMPGTDQMFRLNWASFGIGERRTDPGLEHSIFVGDLAPDVTDYLLQETFRAHYQSVRGAKVVTDPNTGRSKGYGFVKFADEMERNRAMTEMNGVYCSTRAMRISAATPKKTTAGFQQQYAVAKAVYPVPAYTTPVEVLPPDNDNTNTTIFVGNLDPNVTEEELKQFFSPLGEIVYVKIPAAKGCGFVQFATRTSAEDAIQRMQGQMIGQQVVRISWGRSPTAKQDLPGAWGTQVDPNQWSAYYGYGQGYDAYAYGATQDPSLYAYGAYAGYAQYSQQIEGSQEMAAMTAAVPGVEQREESYDPLATPDVDK >KJB09398 pep chromosome:Graimondii2_0_v6:1:18490343:18498334:-1 gene:B456_001G139100 transcript:KJB09398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITATQQHHHPASLEEVRTLWIGDLQFWVDESYLNSCFAHTGELVSIKIIRNKITGQPEGYGFVEFVSHQAAERILQTYNGTTMPGTDQMFRLNWASFGIGERRTDPGLEHSIFVGDLAPDVTDYLLQETFRAHYQSVRGAKVVTDPNTGRSKGYGFVKFADEMERNRAMTEMNGVYCSTRAMRISAATPKKTTAGFQQQYAVAKAVYPVPAYTTPVEVLPPDNDNTNTTIFVGNLDPNVTEEELKQFFSPLGEIVYVKIPAAKGCGFVQFATRTSAEDAIQRMQGQMIGQQVVRISWGRSPTAKQDLPGAWGTQVDPNQWSAYYGYGQGYDAYAYGATQDPSLYAYGAYAGYAQYSQQARYFDALVHCI >KJB08190 pep chromosome:Graimondii2_0_v6:1:7071042:7071771:-1 gene:B456_001G070100 transcript:KJB08190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLKDDGDYTEVLFERPWIVFGQHLTMYPWSSSFSMLQSFSLKKSLLNAIGSTIGEVVKVDDNTKNGMSGRSTHMEAIVDLSKPLILRVCIENALQRLYPQYTFSFRRYDHFNEVCSLEKSNVENFGQHILEKVNTKKDSSAIGKDAFGPSRIVTKHRKRRPIWKDITNGKNFENLGRKKFGSRIDSIADLGDENEECELVDGGNPWTACNALKILCHKYY >KJB08194 pep chromosome:Graimondii2_0_v6:1:7245284:7247582:-1 gene:B456_001G071500 transcript:KJB08194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLFMLTVCLSFLALLATPSFATNQANSCRSYCGNITIDYPFSLDYGCGHPGFRDLLFCMNDVLMFHISSGSYRVLDIDYAYQALTLHDPHMSTCHTIILGGRGNGFTVEQWRAPYFNPTPDNVFMLIGCSAESPLFQGFPGKHLPCRNVSGMGCEEYYDCPAWSLIGHKKVGSVYGSGPPECCAVAFEAIKAINLSKLQCEGYSSAYSLAPLRVDGAGGWSYGIRVKYSVQGNDEFCRACEATGGACGFGTDGVKQLCMCGSFNSTTNCDSESSTSSKMTLSLAVALAGSFIYMTTWIMGSQS >KJB08325 pep chromosome:Graimondii2_0_v6:1:7977562:7980895:1 gene:B456_001G077000 transcript:KJB08325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLLRNKYKRLSLCLTCIPYNSRVETMAFLSKIGNMLRQTSTTQINAQLSASSPSLFQVFRCMSNAPSSKLFVGGISYQTDDQGLREAFSKYGEVIEARIIVDRETGRSRGFGFVTYTSSEDASSALQALDGQTLHGRQVRVNYATERAPRNFGGGGYGGGGYGGGGYGGGGYGRNAAPTSGNYGGNVGYGGSVNYGGQGSHGGFGQSDATNYESGSFSVAGGGGGIDGVGTGGSAGFGSPNMGIDSGDQFSSTEDGFKEEEAFDSNEPMNENFRDEDDENGSDFAKRA >KJB08324 pep chromosome:Graimondii2_0_v6:1:7978479:7980663:1 gene:B456_001G077000 transcript:KJB08324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSKIGNMLRQTSTTQINAQLSASSPSLFQVFRCMSNAPSSKLFVGGISYQTDDQGLREAFSKYGEVIEARIIVDRETGRSRGFGFVTYTSSEDASSALQALDGQTLHGRQVRVNYATERAPRNFGGGGYGGGGYGGGGYGGGGYGGGGYGGGGYGGGGYGRNAAPTSGNYGGNVGYGGSVNYGGQGSHGGFGQSDATNYESGSFSVAGGGGGIDGVGTGGSAGFGSPNMGIDSGDQFSSTEDGFKEEEAFDSNEPMNENFRDEDDENGSDFAKRA >KJB08326 pep chromosome:Graimondii2_0_v6:1:7977438:7980904:1 gene:B456_001G077000 transcript:KJB08326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLLRNKYKRLSLCLTCIPYNSRVETMAFLSKIGNMLRQTSTTQINAQLSASSPSLFQVFRCMSNAPSSKLFVGGISYQTDDQGLREAFSKYGEVIEARIIVDRETGRSRGFGFVTYTSSEDASSALQALDGQTLHGRQVRVNYATERAPRNFGGGGYGGGGYGGGGYGGGGYGGGGYGRNAAPTSGNYGGNVGYGGSVNYGGQGSHGGFGQSDATNYESGSFSVAGGGGGIDGVGTGGSAGFGSPNMGIDSGDQFSSTEDGFKEEEAFDSNEPMNENFRDEDDENGSDFAKRA >KJB08536 pep chromosome:Graimondii2_0_v6:1:9443193:9448317:-1 gene:B456_001G087400 transcript:KJB08536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSLRVRKGAWTEEEDLLLKKCIEKYGEGKWHQVPARAGLNRCRKSCRLRWLNYLKPNIKRGHFAADEVDLIIRLHNLLGNRWSLIAGRLPGRTANDVKNYWNTHLLKKNIDTFTKTSNPKSYQLKSNTKVIKPRPQILSKRNFLVNLDEYNNNNNNNNNNRAETSNNVVLANCGDNHGGYCFPDHDEIMWWENMMMNEKKVDGHQLQCSANDIDESVLDQIMNEDNFGNTMDELFLDEEPWNVFNP >KJB11176 pep chromosome:Graimondii2_0_v6:1:48583255:48586923:1 gene:B456_001G243800 transcript:KJB11176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGEEGNTDVMQRIQSSFGTSSSSIPKQPLSMNHLEIPQLNPNQIRGAARHFSHFGQNFNGGAAAGTGDGATNKRVGIPPSHPNQIPPISPYSQIPMSRPSNQQMGSQSFNSGPTHSRSLSQPSSFFSLDSLPPLSPSPFRDSSSMAVSDQVSTDVSMDERDAAASHSLLPPSPFSKANSPRLGESLPPRKSHRRSSSDIPFGFNTIMQFSPPLIPLRGSSKPAQLVKKETSDGNGEGMGERKSEGEVVDDLFSAYMNLDNMDALNPCDGKNNNGNENHEDLDSRASGTKTNGVDSSDNEAESSVNESGNSSRGGMNSTEKREGNKRAAGAGIAPSGRHYRSVSMDSFIGKLNFGDESPKLPPSPGNRLGQLSPRNSIDGNSAAFSLEFGNGEFTEAELKKIMANEKLAEIAMTDPKRAKRYPIVQVYCLITKAFHLNIFCLAFEGFWPIVSLLLVPKNGRCGTFQSWNTRFRLCRLKLPHCLLS >KJB11175 pep chromosome:Graimondii2_0_v6:1:48583255:48586923:1 gene:B456_001G243800 transcript:KJB11175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGEEGNTDVMQRIQSSFGTSSSSIPKQPLSMNHLEIPQLNPNQIRGAARHFSHFGQNFNGGAAAGTGDGATNKRVGIPPSHPNQIPPISPYSQIPMSRPSNQQMGSQSFNSGPTHSRSLSQPSSFFSLDSLPPLSPSPFRDSSSMAVSDQVSTDVSMDERDAAASHSLLPPSPFSKANSPRLGESLPPRKSHRRSSSDIPFGFNTIMQFSPPLIPLRGSSKPAQLVKKETSDGNGEGMGERKSEGEVVDDLFSAYMNLDNMDALNPCDGKNNNGNENHEDLDSRASGTKTNGVDSSDNEAESSVNESGNSSRGGMNSTEKREGNKRAAGAGIAPSGRHYRSVSMDSFIGKLNFGDESPKLPPSPGNRLGQLSPRNSIDGNSAAFSLEFGNGEFTEAELKKIMANEKLAEIAMTDPKRAKRYPIVQVYCLITKAFHLNIFCLAFEGFWPIVSLLLVPKNGRCGTFQSWNTRFRLCRLKLPHCLLS >KJB11174 pep chromosome:Graimondii2_0_v6:1:48583162:48586990:1 gene:B456_001G243800 transcript:KJB11174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGEEGNTDVMQRIQSSFGTSSSSIPKQPLSMNHLEIPQLNPNQIRGAARHFSHFGQNFNGGAAAGTGDGATNKRVGIPPSHPNQIPPISPYSQIPMSRPSNQQMGSQSFNSGPTHSRSLSQPSSFFSLDSLPPLSPSPFRDSSSMAVSDQVSTDVSMDERDAAASHSLLPPSPFSKANSPRLGESLPPRKSHRRSSSDIPFGFNTIMQFSPPLIPLRGSSKPAQLVKKETSDGNGEGMGERKSEGEVVDDLFSAYMNLDNMDALNPCDGKNNNGNENHEDLDSRASGTKTNGVDSSDNEAESSVNESGNSSRGGMNSTEKREGNKRAAGAGIAPSGRHYRSVSMDSFIGKLNFGDESPKLPPSPGNRLGQLSPRNSIDGNSAAFSLEFGNGEFTEAELKKIMANEKLAEIAMTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNNELKFRLQAMEQQAQLRDALNEALTAEVQRLKLATQQLGGDSDPSKGMVSEPLSVNQQMFQLNISHQMQQQSPPQPQQQNGNTTAKTELNQ >KJB06379 pep chromosome:Graimondii2_0_v6:1:117111:119367:1 gene:B456_001G001000 transcript:KJB06379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATANANAKHMMLMMLSASISLPVSSRCASLSAKRLLCNQYPTRSQTLVRSACTGITTDTSKKKKKEKGLAKEKRRTRSLRDGDIHILKEEDDDSSSSSTVMMQQSHIPVMLGEVLDVFSSNLKPLSSFVDCTLGAAGHASAIIQSHPELKLFIGMDVDPLALRMARSRINAAISHSHPHPNFQALTFVKNFRHIRSLLTQVDHISSGVDGILMDLGMSSMQVNNPARGFSVLANGPLDMRMDPQASLKAEDILNSWPDSEVGRILRDYGEERNWRLLQNKIVQARLQGGLHSTGELVDVIRSATLGTKGRQGWIKTATRVFQALRIAVNDELKTLEDSLHACFDCLAPGGRLAVISFHSLEDRIVKQAFLKIIGEEVRNSIRNVEGGEDEKELWIRQTIQGSNGTILTKRPITPSEKEEGFNRRSRSAKLRVIQKL >KJB06380 pep chromosome:Graimondii2_0_v6:1:117239:119367:1 gene:B456_001G001000 transcript:KJB06380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATANANAKHMMLMMLSASISLPVSSRCASLSAKRLLCNQYPTRSQTLVRSACTGITTDTSKKKKKEKGLAKEKRRTRSLRDGDIHILKEEDDDSSSSSTVMMQQSHIPVMLGEVLDVFSSNLKPLSSFVDCTLGAAGHASAIIQSHPELKLFIGMDVDPLALRMARSRINAAISHSHPHPNFQALTFVKNFRHIRSLLTQVDHISSGVDGILMDLGMSSMQVNNPARGFSVLANGPLDMRMDPQIVKWVESSGIMEKKGIGDCYKTRLFKPVFRVDCIPLVNWLMLFGVPLLGQKEVGKVG >KJB06376 pep chromosome:Graimondii2_0_v6:1:117441:118374:1 gene:B456_001G001000 transcript:KJB06376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATANANAKHMMLMMLSASISLPVSSRCASLSAKRLLCNQYPTRSQTLVRSACTGITTDTSKKKKKEKGLAKEKRRTRSLRDGDIHILKEEDDDSSSSSTVMMQQSHIPVMLGEVLDVFSSNLKPLSSFVDCTLGAAGHASAIIQSHPELKLFIGMDVDPLALRMARSRINAAISHSHPHPNFQALTFVKNFRHIRSLLTQVDHISSGVDGILMDLGMSSMQVNNPARGFSVLANGPLDMRMDPQVFPIFFAFFPHGVDDYSFLTITGR >KJB06381 pep chromosome:Graimondii2_0_v6:1:117910:119043:1 gene:B456_001G001000 transcript:KJB06381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMIFYTTVEVSCITENGCACGCCCSQIIQSHPELKLFIGMDVDPLALRMARSRINAAISHSHPHPNFQALTFVKNFRHIRSLLTQVDHISSGVDGILMDLGMSSMQVNNPARGFSVLANGPLDMRMDPQASLKAEDILNSWPDSEVGRILRDYGEERNWRLLQNKIVQARLQGGLHSTGELVDVIRSATLGTKGGRQGWIKTATRVFQALRIAVNDELKTLEDSLHACFDCLAPGGRLAVISFHSLEDRIVKQAFLKIIGEEVRNSIRNVEGGEDEKELWIRQTIQGSNGTILTKRPITPSEKEEGFNRRSRSAKLRVIQKL >KJB06378 pep chromosome:Graimondii2_0_v6:1:117239:119367:1 gene:B456_001G001000 transcript:KJB06378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATANANAKHMMLMMLSASISLPVSSRCASLSAKRLLCNQYPTRSQTLVRSACTGITTDTSKKKKKEKGLAKEKRRTRSLRDGDIHILKEEDDDSSSSSTVMMQQSHIPVMLGEVLDVFSSNLKPLSSFVDCTLGAAGHASAIIQSHPELKLFIGMDVDPLALRMARSRINAAISHSHPHPNFQALTFVKNFRHIRSLLTQVDHISSGVDGILMDLGMSSMQVNNPARGFSVLANGPLDMRMDPQADDRLV >KJB06377 pep chromosome:Graimondii2_0_v6:1:117111:119367:1 gene:B456_001G001000 transcript:KJB06377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATANANAKHMMLMMLSASISLPVSSRCASLSAKRLLCNQYPTRSQTLVRSACTGITTDTSKKKKKEKGLAKEKRRTRSLRDGDIHILKEEDDDSSSSSTVMMQQSHIPVMLGEVLDVFSSNLKPLSSFVDCTLGAAGHASAIIQSHPELKLFIGMDVDPLALRMARSRINAAISHSHPHPNFQALTFVKNFRHIRSLLTQVDHISSGVDGILMDLGMSSMQVNNPARGFSVLANGPLDMRMDPQASLKAEDILNSWPDSEVGRILRDYGEERNWRLLQNKIVQARLQGGLHSTGELVDVIRSATLGTKGGRQGWIKTATRVFQALRIAVNDELKTLEDSLHACFDCLAPGGRLAVISFHSLEDRIVKQAFLKIIGEEVRNSIRNVEGGEDEKELWIRQTIQGSNGTILTKRPITPSEKEEGFNRRSRSAKLRVIQKL >KJB06382 pep chromosome:Graimondii2_0_v6:1:117239:119367:1 gene:B456_001G001000 transcript:KJB06382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATANANAKHMMLMMLSASISLPVSSRCASLSAKRLLCNQYPTRSQTLVRSACTGITTDTSKKKKKEKGLAKEKRRTRSLRDGDIHILKEEDDDSSSSSTVMMQQSHIPVMLGEVLDVFSSNLKPLSSFVDCTLGAAGHASAIIQSHPELKLFIGMDVDPLALRMARSRINAAISHSHPHPNFQALTFVKNFRHIRSLLTQVDHISSGVDGILMDLGMSSMQVNNPARGFSVLANGPLDMRMDPQASLKAEDILNSWPDSEVGRILRDYGEERNWRLLQNKIVQARLQGGLHSTGELVDVIRSATLGTKGR >KJB07957 pep chromosome:Graimondii2_0_v6:1:5354329:5358534:1 gene:B456_001G055300 transcript:KJB07957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGKQSRPPSSDRRRRSKTVASPRHPRTRRRRSRGEPSTEISVVNAVDPVVDVEEKERPKQPKQKARHTGDFSVSIPAPERRRTPSDLNQQGWPSWLMAVAGEAIRDWTPRRANTFEKLDKIGQGTYSNVYKARDLLTGKIVALKKVRFDNLEPESVKFMAREILVLRKLNHPNVIKLEGLVTSRMSSSLYLIFEYMEHDLAGLAACHGIKFTEPQVKCYMKQLLSGLEHCHNQGVLHRDIKGSNLLIDNEGILKIADFGLATFYDPEQKKPLTSRVVTLWYRPPELLLGATYYGVGVDLWSAGCILAELISGKPIMPGRTEVEQLHKIFKLCGSPSEQYWKKSKLPNATLFKPQQPYKRCIAETFKDFPSSSLPLIETLLSIDPEERSTATAALNSEFFTTEPYACEPSSLPKYPPSKEMDVKLRDEQARRQRGLASKVNAVDGARKVKVSERANRAVPAPEANAEIQANLDVSETLFSSYSFTNAFTILCSFHLILRISHERSF >KJB07955 pep chromosome:Graimondii2_0_v6:1:5354228:5358534:1 gene:B456_001G055300 transcript:KJB07955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGKQSRPPSSDRRRRSKTVASPRHPRTRRRRSRGEPSTEISVVNAVDPVVDVEEKERPKQPKQKARHTGDFSVSIPAPERRRTPSDLNQQGWPSWLMAVAGEAIRDWTPRRANTFEKLDKIGQGTYSNVYKARDLLTGKIVALKKVRFDNLEPESVKFMAREILVLRKLNHPNVIKLEGLVTSRMSSSLYLIFEYMEHDLAGLAACHGIKFTEPQVKCYMKQLLSGLEHCHNQGVLHRDIKGSNLLIDNEGILKIADFGLATFYDPEQKKPLTSRVVTLWYRPPELLLGATYYGVGVDLWSAGCILAELISGKPIMPGRTEVEQLHKIFKLCGSPSEQYWKKSKLPNATLFKPQQPYKRCIAETFKDFPSSSLPLIETLLSIDPEERSTATAALNSEFFTTEPYACEPSSLPKYPPSKEMDVKLRDEQARRQRGLASKVNAVDGARKVKVSERANRAVPAPEANAEIQANLDKWRVMTQTNAKSKSEKFPPPHQDGAVGHPLDALHKGPLSFSATDTTFGSSIFNSKSSESAKNPGPVVGSSRRKKTNKDPQRAPSRKFIRGFKPSLIGLSMDFLFRGKSEVIRS >KJB07956 pep chromosome:Graimondii2_0_v6:1:5354329:5357196:1 gene:B456_001G055300 transcript:KJB07956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGKQSRPPSSDRRRRSKTVASPRHPRTRRRRSRGEPSTEISVVNAVDPVVDVEEKERPKQPKQKARHTGDFSVSIPAPERRRTPSDLNQQGWPSWLMAVAGEAIRDWTPRRANTFEKLDKIGQGTYSNVYKARDLLTGKIVALKKVRFDNLEPESVKFMAREILVLRKLNHPNVIKLEGLVTSRMSSSLYLIFEYMEHDLAGLAACHGIKFTEPQVKCYMKQLLSGLEHCHNQGVLHRDIKGSNLLIDNEGILKIADFGLATFYDPEQKKPLTSRVVTLWYRPPELLLGATYYGVGVDLWSAGCILAELISGKPIMPGRTEVEQLHKIFKLCGSPSEQYWKKSKLPNATLFKPQQPYKRCIAETFKDFPSSSLPLIETLLSIDPEERSTATAALNSEVSCISFVNASLGKNQYSCFTYDPYLYIFQNSFIDLC >KJB08808 pep chromosome:Graimondii2_0_v6:1:11805907:11810105:1 gene:B456_001G105100 transcript:KJB08808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGKSSFLSPLSRFFCNGNPPESSSQNAKSISVHPMPSDSVNHIHGKSIVKVESGSPNVSGSSKEPHYQPFSGQTIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELPEEEKRELSRFKWDEFLAYTRRSITNKKYKQRLGVEPQKRSEPVIENSDQDSKRGADSSSASEEVGPDT >KJB08806 pep chromosome:Graimondii2_0_v6:1:11805955:11810105:1 gene:B456_001G105100 transcript:KJB08806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGKSSFLSPLSRFFCNGNPPESSSQNAKSISVHPMPSDSVNHIHGKSIVKVESGSPNVSGSSKEPHYQPFSGQTIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELPEEEKRELSRFKWDEFLAYTRRSITNKKYKQRLGVEPQKRSEPVIENSDQDSKRGADSSSASEEVGPDT >KJB08807 pep chromosome:Graimondii2_0_v6:1:11806676:11810105:1 gene:B456_001G105100 transcript:KJB08807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGKSSFLSPLSRFFCNGNPPESSSQNAKSISVHPMPSDSVNHIHGKSIVKVESGSPNVSGSSKEPHYQPFSGQTIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELPEEEKRELSRFKWDEFLAYTRRSITNKKYKQRLGVEPQKRSEPVIENSDQDSKRGADSSSASEEVGPDT >KJB11291 pep chromosome:Graimondii2_0_v6:1:52325105:52332142:-1 gene:B456_001G251900 transcript:KJB11291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTTSSGLYQILRSLCLNTEWKYAVFWKLKHRARMVLTLEDAYYDNHDQRGPSENNCFRDTLQNLHTGCYSHDPLGLAVANMSYHVYSLGEGIVGQMAVSGKHQWIFADEHVNSSCSSFEFRDGWQSQFEAGIRTIVVVAVVPQGVVQLGSLNKVVEDVKLVSHIRDVFFALQDSSVGHIANPIECSMKSSVFEPELHTKLLESEIISLDKAVDKEGPDFLLPEFSHHQKCSDSSFVFPLSSNPQKGLELSAAGRDESAKLLTPRSNVSNLEHLNQLGRNLINNVVCKGETSGWKSARMGPENVYASHPVVGSTTCGSNFLQPAVSDTVKLGGLNSYQNEVLDIPESSDVKFLKDLKFGNLNDLSDLDSINTSSKFSAGYELYEALGPAFLRKSIYTDWQVEKTEAGTEMLEGMSSSQLTFESGSENLLEAVVANVCHSGSDIKCERSFCRSAPSLVTTVNTPDLSSQTNFTISSAGYSINQSSLVENNTQQFLNSSKLCGAMSSNGFSSAGPSNCSEQLERSSEPAKNNKKRARPGENPRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMVFLQGITKHADKLSKCAESKMHHKGAGMLGSSNYEQGSSWAVEVGSHLKVCSIVVENINKNGQMLVELLCEECSHFLEIAEAIRSLGLTILKGVTEAHGEKTWICFVVEGQNRVMHRMDILWSLVQILQPKATS >KJB11293 pep chromosome:Graimondii2_0_v6:1:52325181:52332056:-1 gene:B456_001G251900 transcript:KJB11293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTTSSGLYQILRSLCLNTEWKYAVFWKLKHRARMVLTLEDAYYDNHDQRGPSENNCFRDTLQNLHTGCYSHDPLGLAVANMSYHVYSLGEGIVGQMAVSGKHQWIFADEHVNSSCSSFEFRDGWQSQFEAGIRTIVVVAVVPQGVVQLGSLNKVVEDVKLVSHIRDVFFALQDSSVGHIANPIECSMKSSVFEPELHTKLLESEIISLDKAVDKEGPDFLLPEFSHHQKCSDSSFVFPLSSNPQKGLELSAAGRDESAKLLTPRSNVSNLEHLNQLGRNLINNVVCKGETSGWKSARMGPENVYASHPVVGSTTCGSNFLQPAVSDTVKLGGLNSYQNEVLDIPESSDVKFLKDLKFGNLNDLSDLDSINTSSKFSAGYELYEALGPAFLRKSIYTDWQVEKTEAGTEMLEGMSSSQLTFESGSENLLEAVVANVCHSGSDIKCERSFCRSAPSLVTTVNTPDLSSQTNFTISSAGYSINQSSLVENNTQQFLNSSKLCGAMSSNGFSSAGPSNCSEQLERSSEPAKNNKKRARPGENPRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMVFLQGITKHADKLSKCAESKMHHKGAGMLGSSNYEQGSSWAVEVGSHLKVCSIVVENINKNGQMLVELLCEECSHFLEIAEAIRSLGLTILKGVTEAHGEKTWICFVVEGQNRVMHRMDILWSLVQILQPKATS >KJB11292 pep chromosome:Graimondii2_0_v6:1:52325181:52332056:-1 gene:B456_001G251900 transcript:KJB11292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTTSSGLYQILRSLCLNTEWKYAVFWKLKHRARMVLTLEDAYYDNHDQRGPSENNCFRDTLQNLHTGCYSHDPLGLAVANMSYHVYSLGEGIVGQMAVSGKHQWIFADEHVNSSCSSFEFRDGWQSQFEAGIRTIVVVAVVPQGVVQLGSLNKVVEDVKLVSHIRDVFFALQDSSVGHIANPIECSMKSSVFEPELHTKLLESEIISLDKAVDKEGPDFLLPEFSHHQKCSDSSFVFPLSSNPQKGLELSAAGRDESAKLLTPRSNVSNLEHLNQLGRNLINNVVCKGETSGWKSARMGPENVYASHPVVGSTTCGSNFLQPAVSDTVKLGGLNSYQNEVLDIPESSDVKFLKDLKFGNLNDLSDLDSINTSSKFSAGYELYEALGPAFLRKSIYTDWQVEKTEAGTEMLEGMSSSQLTFESGSENLLEAVVANVCHSGSDIKCERSFCRSAPSLVTTVNTPDLSSQTNFTISSAGYSINQSSLVENNTQQFLNSSKLCGAMSSNGFSSAGPSNCSEQLERSSEPAKNNKKRARPGENPRPRPRDRQLIQDRIKELRELVPNGAKASQSMLTSSVNVLNQRCITRELACLDHPIMNKVQAGQWKWEAI >KJB07921 pep chromosome:Graimondii2_0_v6:1:5017785:5023988:-1 gene:B456_001G053000 transcript:KJB07921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLCSSSLAIHLHSRSIFPSSPPRFPFRFHRTFYYNNSIYSSSSSSCFGLSISRCNNFVHHVKHPHSFKRRKAIQISAVFERFTERAIKAVILSQREAKSLGKDMVFTQHLLLGLIGEDRDPNGFLGSGLKIENARDAVRSIWQSSNHGEDLGNKQQGSIVSSTDVPFSISTKRVFEAAVEYSRTMGYNFIAPEHIAIGLFTVDDGSASRVLKRLGANINHLAAEAVTRLQGELAKDGREPSLSSKKMSEKSSSGNAAVLRSPDKTKGKSALAQFCIDLTARASEGLIDPVIGRETEVQRIVQILCRRTKNNPILLGESGVGKTAIAEGLAISIAQAEIPAFLLNKKIMSLDIGLLMAGAKERGELEARVTALLSETKKSGNIILFIDEVHTLIGSGTVGRGNKGSGLDIANLLKPALGRGELQCIASTTIGEYRTQFEKDKALARRFQPVWINEPSQEDAVGILLGLREKYESHHHCRYTLEAINAAVYLSARYIPDRYLPDKAIDLIDEAGSRARIEAFRRKREQETDILSKAPNDYWEEIRTVQAMHEVVIASRLKNDAGASGVDDSSELLESESPLPSTSENDEPIMVGPEEIAAVASIWSGIPVQQLTADERMLLIGLDEMLKKRVIGQDEAVAAISRAVKRSRVGLKDLDRPIAAMIFCGPTGVGKTELTKALAACYFGSVRMPCFDWT >KJB07924 pep chromosome:Graimondii2_0_v6:1:5017785:5023988:-1 gene:B456_001G053000 transcript:KJB07924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLCSSSLAIHLHSRSIFPSSPPRFPFRFHRTFYYNNSIYSSSSSSCFGLSISRCNNFVHHVKHPHSFKRRKAIQISAVFERFTERAIKAVILSQREAKSLGKDMVFTQHLLLGLIGEDRDPNGFLGSGLKIENARDAVRSIWQSSNHGEDLGNKQQGSIVSSTDVPFSISTKRVFEAAVEYSRTMGYNFIAPEHIAIGLFTVDDGSASRVLKRLGANINHLAAEAVTRLQGELAKDGREPSLSSKKMSEKSSSGNAAVLRSPDKTKGKSALAQFCIDLTARASEGLIDPVIGRETEVQRIVQILCRRTKNNPILLGESGVGKTAIAEGLAISIAQAEIPAFLLNKKIMSLDIGLLMAGAKERGELEARVTALLSETKKSGNIILFIDEVHTLIGSGTVGRGNKGSGLDIANLLKPALGRGELQCIASTTIGEYRTQFEKDKALARRFQPVWINEPSQEDAVGILLGLREKYESHHHCRYTLEAINAAVYLSARYIPDRYLPDKAIDLIDEAGSRARIEAFRRKREQETDILSKAPNDYWEEIRTVQAMHEVVIASRLKNDAGASGVDDSSELLESESPLPSTSENDEPIMVGPEEIAAVASIWSGIPVQQLTADERMLLIGLDEMLKKRVIGQDEAVAAISRAVKRSRVGLKDLDRPIAAMIFCGPTGVGKTELTKALAACYFGSEDAMLRLDMSEYMERHTVSKLIGSPPGYVGYEEGGMLTEAIRRRPFMLLLLDEIEKAHPDIFNILLQLFEDGHLTDSQGRRVSFKNALVVMTSNVGSSAIAKGRRGSIGFLLENDESSSYAGMKALVMEELKAYFRPELLNRIDEVVVFRSLEKLQMLEIVNLMLQEVNARLVSLGIGLEVSESIKDLICQQGYDQTYGARPLRRAVTAIVEDPLSEALLAGNYSPGETAVIDLDALGNPIVTSRSDRNISLSDTASIF >KJB07923 pep chromosome:Graimondii2_0_v6:1:5017785:5023995:-1 gene:B456_001G053000 transcript:KJB07923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLCSSSLAIHLHSRSIFPSSPPRFPFRFHRTFYYNNSIYSSSSSSCFGLSISRCNNFVHHVKHPHSFKRRKAIQISAVFERFTERAIKAVILSQREAKSLGKDMVFTQHLLLGLIGEDRDPNGFLGSGLKIENARDAVRSIWQSSNHGEDLGNKQQGSIVSSTDVPFSISTKRVFEAAVEYSRTMGYNFIAPEHIAIGLFTVDDGSASRVLKRLGANINHLAAEAVTRLQGELAKDGREPSLSSKKMSEKSSSGNAAVLRSPDKTKGKSALAQFCIDLTARASEGLIDPVIGRETEVQRIVQILCRRTKNNPILLGESGVGKTAIAEGLAISIAQAEIPAFLLNKKIMSLDIGLLMAGAKERGELEARVTALLSETKKSGNIILFIDEVHTLIGSGTVGRGNKGSGLDIANLLKPALGRGELQCIASTTIGEYRTQFEKDKALARRFQPVWINEPSQEDAVGILLGLREKYESHHHCRYTLEAINAAVYLSARYIPDRYLPDKAIDLIDEAGSRARIEAFRRKREQETDILSKAPNDYWEEIRTVQAMHEVVIASRLKNDAGASGVDDSSELLESESPLPSTSENDEPIMVGPEEIAAVASIWSGIPVQQLTADERMLLIGLDEMLKKRVIGQDEAVAAISRAVKRSRVGLKDLDRPIAAMIFCGPTGVGKTELTKALAACYFGRMPCFDWT >KJB07922 pep chromosome:Graimondii2_0_v6:1:5019148:5023794:-1 gene:B456_001G053000 transcript:KJB07922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLCSSSLAIHLHSRSIFPSSPPRFPFRFHRTFYYNNSIYSSSSSSCFGLSISRCNNFVHHVKHPHSFKRRKAIQISAVFERFTERAIKAVILSQREAKSLGKDMVFTQHLLLGLIGEDRDPNGFLGSGLKIENARDAVRSIWQSSNHGEDLGNKQQGSIVSSTDVPFSISTKRVFEAAVEYSRTMGYNFIAPEHIAIGLFTVDDGSASRVLKRLGANINHLAAEAVTRLQGELAKDGREPSLSSKKMSEKSSSGNAAVLRSPDKTKGKSALAQFCIDLTARASEGLIDPVIGRETEVQRIVQILCRRTKNNPILLGESGVGKTAIAEGLAISIAQAEIPAFLLNKKIMSLDIGLLMAGAKERGELEARVTALLSETKKSGNIILFIDEVHTLIGSGTVGRGNKGSGLDIANLLKPALGRGELQCIASTTIGEYRTQFEKDKALARRFQPVWINEPSQEDAVGILLGLREKYESHHHCRYTLEAINAAVYLSARYIPDRYLPDKAIDLIDEAGSRARIEAFRRKREQETDILSKAPNDYWEEIRTVQAMHEVVIASRLKNDAGASGVDDSSELLESESPLPSTSENDEPIMVGPEEIAAVASIWSGIPVQQLTADERMLLIGLDEMLKKRVIGQDEAVAAISRAVKRSRVGLKDLDRPIAAMIFCGPTGVGKTELTKALAACYFGSEDAMLRLDMSEYMERHTVSKLIGSPPGYVGYEEGGMLTEAIRRRPFMLLLLDEIEKAHPDIFNILLQLFEDGHLTDSQVRSL >KJB08107 pep chromosome:Graimondii2_0_v6:1:6505276:6506771:-1 gene:B456_001G065200 transcript:KJB08107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSNSIVVFVNTMLLLLGLISLFLGIYFVIVNNGSNSSSHCRKVLTNPLLILGGFLATLFHMFVYLGVMLLCLIGFTVFVFLITNNDAGKVFSEKEFFVKERKTMDFSHWLQNHFVNDKNRNRIKSCLIDARVCTGGRNANGVNYKALVFFKKTFPLPAIQGGCCNPPSSCGFKPKNTTFWEVPKSGAATSDPDCKTWSNNPRELCCDCNSCNSGILANLRKEWRSLAFINIILVVFLLFVYSIGCCARRGNHKTNNKYIRGFALLLLLLLLLLLLYSE >KJB09446 pep chromosome:Graimondii2_0_v6:1:19296138:19297801:1 gene:B456_001G142800 transcript:KJB09446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPFNCLLFDLDDTLYPSSTGIAQGIKKNIDDFLIEKCGMSATKASNLRVELFKTYGSTLAGLRALGYDIDADDYHGFVHGRLSYDVIKPDPQLRSLLRSITQRKIIFTNSDRVHAVKVMKRLGIEDCFDQIICFQTLNPNLSESKCVVLKPSTDAIKIALHVARVDPPRTLFLDDNVQNISAGKTLGLWTCLVVSNFLNKKNYSFKMHESNIIVWSKVGKSGEAKDADYAIEEVKNLGDVIPEIWVNKQDGGDRSRGESELDQFNISGDLIEKDVGLALTAPLCT >KJB09444 pep chromosome:Graimondii2_0_v6:1:19296104:19297770:1 gene:B456_001G142800 transcript:KJB09444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPFNCLLFDLDDTLYPSSTGIAQGIKKNIDDFLIEKCGMSATKASNLRVELFKTYGSTLAGLRALGYDIDADDYHGFVHGRLSYDVIKPDPQLRSLLRSITQRKIIFTNSDRVHAVKNQSASFSSRRRMPSKLLFTSHVLIPHAPYF >KJB09443 pep chromosome:Graimondii2_0_v6:1:19296057:19297785:1 gene:B456_001G142800 transcript:KJB09443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPFNCLLFDLDDTLYPSSTGIAQGIKKNIDDFLIEKCGMSATKASNLRVELFKTYGSTLAGLRALGYDIDADDYHGFVHGRLSYDVIKPDPQLRSLLRSITQRKIIFTNSDRVHAVKVMKRLGIEDCFDQIICFQTLNPNLSESKCVVLKPSTDAIKIALHVARVDPPRTLFLDDNVQNISAGKTLGLWTCLVGKSGEAKDADYAIEEVKNLGDVIPEIWVNKQDGGDRSRGESELDQFNISGDLIEKDVGLALTAPLCT >KJB09445 pep chromosome:Graimondii2_0_v6:1:19296121:19297770:1 gene:B456_001G142800 transcript:KJB09445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPFNCLLFDLDDTLYPSSTGIAQGIKKNIDDFLIEKCGMSATKASNLRVELFKTYGSTLAGLRQALGYDIDADDYHGFVHGRLSYDVIKPDPQLRSLLRSITQRKIIFTNSDRVHAVKVMKRLGIEDCFDQIICFQTLNPNLSESKCVVLKPSTDAIKIALHVARVDPPRTLFLDDNVQNISAGKTLGLWTCLVGKSGEAKDADYAIEEVKNLGDVIPEIWVNKQDGGDRSRGESELDQFNISGDLIEKDVGLALTAPLCT >KJB09447 pep chromosome:Graimondii2_0_v6:1:19296266:19297770:1 gene:B456_001G142800 transcript:KJB09447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATKASNLRVELFKTYGSTLAGLRALGYDIDADDYHGFVHGRLSYDVIKPDPQLRSLLRSITQRKIIFTNSDRVHAVKVMKRLGIEDCFDQIICFQTLNPNLSESKCVVLKPSTDAIKIALHVARVDPPRTLFLDDNVQNISAGKTLGLWTCLVGKSGEAKDADYAIEEVKNLGDVIPEIWVNKQDGGDRSRGESELDQFNISGDLIEKDVGLALTAPLCT >KJB06668 pep chromosome:Graimondii2_0_v6:1:723571:727130:1 gene:B456_001G008000 transcript:KJB06668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLHNNGGFAENQLSVHHGSLLDFLLFSSPMDDIFTCILSWTSYLRRRFIDFVGGRISRKYRLRNRKYQSLYATKTSGSFHLSRLLLPGCRLAFPFLALRVAWSSILVPWRFAFCYVKRTQAQVYSIISRMERTLRGSSDDIGWLQRTPGMAPVEDGTARFLELLEAIRNGEHTLPNSFVYLFVPGLFSNHGPLYFVATKKFFSKMGLTCHIAKIHSEASVEHNAWELKQYIEELYWGSGKRVMLLGHSKGGVDSAAALSIYWSELEHKVAGLALVQSPYGGSPIASDTLREGQIADKETRRIFELLICKTIKGDMRALEDLTYEKRKEFIMKHKLPEGVPLISFSSEAKVAPGVLATMTQIAHAELPRLPFLKFRNSEFDDVSQLGHQVPIVIPVSAAMALCALHLLLRYGEKSDGLVTCRDAEVPGSVIVRPEQKLDHAWMVYSSKKKNPNEPDATEMCEAILTLLVELGKRKQEEIEKS >KJB06666 pep chromosome:Graimondii2_0_v6:1:723287:727130:1 gene:B456_001G008000 transcript:KJB06666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQKVDNAIIFIQLTKPYIHFISSFFTKRKEKNFSVNRTLLQCSLLENWAVVEKNLMGDLHNNGGFAENQLSVHHGSLLDFLLFSSPMDDIFTCILSWTSYLRRRFIDFVENLVVQDVYRSKGSVSVSSTSYTEYFDSGTCQTSLGQGEEFLANTDSGIVNTNRSMQLRQAVPFIFQGLAFPFLALRVAWSSILVPWRFAFCYVKRTQAQVYSIISRMERTLRGSSDDIGWLQRTPGMAPVEDGTARFLELLEAIRNGEHTLPNSFVYLFVPGLFSNHGPLYFVATKKFFSKMGLTCHIAKIHSEASVEHNAWELKQYIEELYWGSGKRVMLLGHSKGGVDSAAALSIYWSELEHKVAGLALVQSPYGGSPIASDTLREGQIADKETRRIFELLICKTIKGDMRALEDLTYEKRKEFIMKHKLPEGVPLISFSSEAKVAPGVLATMTQIAHAELPRLPFLKFRNSEFDDVSQLGHQVPIVIPVSAAMALCALHLLLRYGEKSDGLVTCRDAEVPGSVIVRPEQKLDHAWMVYSSKKKNPNEPDATEMCEAILTLLVELGKRKQEEIEKS >KJB06665 pep chromosome:Graimondii2_0_v6:1:723287:727130:1 gene:B456_001G008000 transcript:KJB06665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLHNNGGFAENQLSVHHGSLLDFLLFSSPMDDIFTCILSWTSYLRRRFIDFVENLVVQDVYRSKGSVSVSSTSYTEYFDSGTCQTSLGQGEEFLANTDSGIVNTNRSMQLRQAVPFIFQGLAFPFLALRVAWSSILVPWRFAFCYVKRTQAQVYSIISRMERTLRGSSDDIGWLQRTPGMAPVEDGTARFLELLEAIRNGEHTLPNSFVYLFVPGLFSNHGPLYFVATKKFFSKMGLTCHIAKIHSEASVEHNAWELKQYIEELYWGSGKRVMLLGHSKGGVDSAAALSIYWSELEHKVAGLALVQSPYGGSPIASDTLREGQIADKETRRIFELLICKTIKGDMRALEDLTYEKRKEFIMKHKLPEGVPLISFSSEAKVAPGVLATMTQIAHAELPRLPFLKFRNSEFDDVSQLGHQVPIVIPVSAAMALCALHLLLRYGEKSDGLVTCRDAEVPGSVIVRPEQKLDHAWMVYSSKKKNPNEPDATEMCEAILTLLVELGKRKQEEIEKS >KJB06667 pep chromosome:Graimondii2_0_v6:1:723471:727130:1 gene:B456_001G008000 transcript:KJB06667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLHNNGGFAENQLSVHHGSLLDFLLFSSPMDDIFTCILSWTSYLRRRFIDFVENLVVQDVYRSKGSVSVSSTSYTEYFDSGTCQTSLGQGEEFLANTDSGIVNTNRSMQLRQAVPFIFQGLAFPFLALRVAWSSILVPWRFAFCYVKRTQAQVYSIISRMERTLRGSSDDIGWLQRTPGMAPVEDGTARFLELLEAIRNGEHTLPNSFVYLFVPGLFSNHGPLYFVATKKFFSKMGLTCHIAKIHSEASVEHNAWELKQYIEELYWGSGKRVMLLGHSKGGVDSAAALSIYWSELEHKVAGLALVQSPYGGSPIASDTLREGQIADKETRRIFELLICKTIKGDMRALEDLTYEKRKEFIMKHKLPEGVPLISFSSEAKVAPGVLATMTQIAHAELPRLPFLKFRNSEFDDVSQLGHQVPIVIPVSAAMALCALHLLLRYGEKSDGLVTCRDAEVPGSVIVRPEQKLDHAWMVYSSKKKNPNEPDATEMCEAILTLLVELGKRKQEEIEKS >KJB08710 pep chromosome:Graimondii2_0_v6:1:11164249:11165029:1 gene:B456_001G099200 transcript:KJB08710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQGGGDSIMVSSSIALLQQRFKQLQKDREKREEKELMKLLSESESASTRYQPNGLLYRQQQTRQESSLLSLGLSLYGRRTMAIPTSSSTTTTRANDTSRPSKTFEIYDHVDTSLHL >KJB11009 pep chromosome:Graimondii2_0_v6:1:47468239:47471435:1 gene:B456_001G236500 transcript:KJB11009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRIKILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRTATGAGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPQAPLDKVCLLGCGVPTGLGAVWNTAKVEPGAIVAIFGLGTVGLAVAEGAKSAGASRIIGVDIDSKKFDVGKILELQSL >KJB11007 pep chromosome:Graimondii2_0_v6:1:47468239:47471435:1 gene:B456_001G236500 transcript:KJB11007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRIKILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRTATGAGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPQAPLDKVCLLGCGVPTGLGAVWNTAKVEPGAIVAIFGLGTVGLAVAEGAKSAGASRIIGVDIDSKKFDVGKILELQSL >KJB11008 pep chromosome:Graimondii2_0_v6:1:47468239:47471435:1 gene:B456_001G236500 transcript:KJB11008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRIKILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRTATGAGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPQAPLDKVCLLGCGVPTGLGAVWNTAKVEPGAIVAIFGLGTVGLAVAEGAKSAGASRIIGVDIDSKKFDVAKNFGVTEFVNPKDYDKPIQQVLVDLTDGGVDYSFECIGNVSVMRSALECCHKVCVA >KJB11011 pep chromosome:Graimondii2_0_v6:1:47468239:47471435:1 gene:B456_001G236500 transcript:KJB11011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRIKILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRTATGAGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPQAPLDKVCLLGCGVPTGLGAVWNTAKVEPGAIVAIFGLGTVGLAVAEGAKSAGASRIIGVDIDSKKFDVAKNFGVTEFVNPKDYDKPIQQVLVDLTDGGVDYSFECIGNVSVMRSALECCHKGWGTSVIIGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVDKYMKKEIKIDEYITHNLTLGDINKAFDLMHEGGCLRCVLKMNE >KJB11014 pep chromosome:Graimondii2_0_v6:1:47468239:47471435:1 gene:B456_001G236500 transcript:KJB11014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRIKILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRTATGAGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPQAPLDKVCLLGCGVPTGLGAVWNTAKVEPGAIVAIFGLGTVGLAVAEGAKSAGASRIIGVDIDSKKFDVGKILELQSL >KJB11006 pep chromosome:Graimondii2_0_v6:1:47468239:47471435:1 gene:B456_001G236500 transcript:KJB11006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRIKILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRTATGAGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPQAPLDKVCLLGCGVPTGLGAVWNTAKVEPGAIVAIFGLGTVGLAVAEGAKSAGASRIIGVDIDSKKFDVGKILELQSL >KJB11010 pep chromosome:Graimondii2_0_v6:1:47468239:47471435:1 gene:B456_001G236500 transcript:KJB11010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRIKILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRTATGAGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPQAPLDKVCLLGCGVPTGLGAVWNTAKVEPGAIVAIFGLGTVGLAVAEGAKSAGASRIIGVDIDSKKFDVGKILELQSL >KJB11012 pep chromosome:Graimondii2_0_v6:1:47468602:47470467:1 gene:B456_001G236500 transcript:KJB11012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTSHWSLRMSRWLRHKPVRFGSRFSSLLSATLMPTLGAERILRVYSRAFLDMKLLGIIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRTATGAGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPQAPLDKVCLLGCGVPTGLGAVWNTAKVEPGAIVAIFGLGTVGLAVAEGAKSAGASRIIGVDIDSKKFDVGKILELQSL >KJB11013 pep chromosome:Graimondii2_0_v6:1:47468474:47470483:1 gene:B456_001G236500 transcript:KJB11013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRIKILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRTATGAGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPQAPLDKVCLLGCGVPTGLGAVWNTAKVEPGAIVAIFGLGTVGLAVAEGAKSAGASRIIGVDIDSKKFDVGNKEFWSYRVCESKGL >KJB09456 pep chromosome:Graimondii2_0_v6:1:19456347:19457204:1 gene:B456_001G143400 transcript:KJB09456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMDRCATWVCLKGHMISFSISSFNFCLPPQQKNNSSCNLVHPHPHPPSSPCHPMLLSTCHPSLYMCPHITWLPCHPNLKATNFCTN >KJB10577 pep chromosome:Graimondii2_0_v6:1:41014659:41017220:-1 gene:B456_001G208200 transcript:KJB10577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLMVIVGMFVMVLCMCTAVLRWNEMRYRKKGLPPGTMGWPVFGETTEFLKQGPNFMKNQRARYGSFFKSHILGCPTVVSMDPELNRYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKQMRGALLALISPTMIRQQLLPKIDEFMRAFLSNWDNQVINIQDRTKEMAFLSSLKQIASAESSSVAQQFMPEFFKLVLGTLSLPIDLPGTNYRRGFQARQNILKILGQLIEKRRDSEESHKDMLGYLMRNDDNNRHKLSDDEIIDQIITILYSGYETVSTTSMMAVKYLHDHPTVLEELRKEHRGIRERKRADEPIECNDLKSMRFTRAVIFETSRLATIVNGVLRKTTQDMELNGYVIPKGWRIYVYTREINYDPFLYPDPLAFNPWRWMDKGLESQNYFLIFGGGTRQCPGKELGIAEISTFLHYFVTRYR >KJB10572 pep chromosome:Graimondii2_0_v6:1:41014295:41017284:-1 gene:B456_001G208200 transcript:KJB10572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLMVIVGMFVMVLCMCTAVLRWNEMRYRKKGLPPGTMGWPVFGETTEFLKQGPNFMKNQRARYGSFFKSHILGCPTVVSMDPELNRYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKQMRGALLALISPTMIRQQLLPKIDEFMRAFLSNWDNQVINIQDRTKEMAFLSSLKQIASAESSSVAQQFMPEFFKLVLGTLSLPIDLPGTNYRRGFQARQNILKILGQLIEKRRDSEESHKDMLGYLMRNDDNNRHKLSDDEIIDQIITILYSGYETVSTTSMMAVKYLHDHPTVLEELRKEHRGIRERKRADEPIECNDLKSMRFTRAVIFETSRLATIVNGVLRKTTQDMELNGYVIPKGWRIYVYTREINYDPFLYPDPLAFNPWRWMDKGLESQNYFLIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGEKLMKFPRVEAPNGLHIRVSSY >KJB10574 pep chromosome:Graimondii2_0_v6:1:41014387:41017220:-1 gene:B456_001G208200 transcript:KJB10574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLMVIVGMFVMVLCMCTAVLRWNEMRYRKKGLPPGTMGWPVFGETTEFLKQGPNFMKNQRARYGSFFKSHILGCPTVVSMDPELNRYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKQMRGALLALISPTMIRQQLLPKIDEFMRAFLSNWDNQVINIQDRTKEVFFLYLYMLISHSKLFMLSSVSNLLSLQMAFLSSLKQIASAESSSVAQQFMPEFFKLVLGTLSLPIDLPGTNYRRGFQARQNILKILGQLIEKRRDSEESHKDMLGYLMRNDDNNRHKLSDDEIIDQIITILYSGYETVSTTSMMAVKYLHDHPTVLEELRKEHRGIRERKRADEPIECNDLKSMRFTRAVIFETSRLATIVNGVLRKTTQDMELNGYVIPKGWRIYVYTREINYDPFLYPDPLAFNPWRWMDKGLESQNYFLIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGEKLMKFPRVEAPNGLHIRVSSY >KJB10575 pep chromosome:Graimondii2_0_v6:1:41014555:41016633:-1 gene:B456_001G208200 transcript:KJB10575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPELNRYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKQMRGALLALISPTMIRQQLLPKIDEFMRAFLSNWDNQVINIQDRTKEVFFLYLYMLISHSKLFMLSSVSNLLSLQMAFLSSLKQIASAESSSVAQQFMPEFFKLVLGTLSLPIDLPGTNYRRGFQARQNILKILGQLIEKRRDSEESHKDMLGYLMRNDDNNRHKLSDDEIIDQIITILYSGYETVSTTSMMAVKYLHDHPTVLEELRKEHRGIRERKRADEPIECNDLKSMRFTRAVIFETSRLATIVNGVLRKTTQDMELNGYVIPKGWRIYVYTREINYDPFLYPDPLAFNPWRWMDKGLESQNYFLIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGEKLMKFPRVEAPNGLHIRVSSY >KJB10576 pep chromosome:Graimondii2_0_v6:1:41014387:41017220:-1 gene:B456_001G208200 transcript:KJB10576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPELNRYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKQMRGALLALISPTMIRQQLLPKIDEFMRAFLSNWDNQVINIQDRTKEMAFLSSLKQIASAESSSVAQQFMPEFFKLVLGTLSLPIDLPGTNYRRGFQARQNILKILGQLIEKRRDSEESHKDMLGYLMRNDDNNRHKLSDDEIIDQIITILYSGYETVSTTSMMAVKYLHDHPTVLEELRKEHRGIRERKRADEPIECNDLKSMRFTRAVIFETSRLATIVNGVLRKTTQDMELNGYVIPKGWRIYVYTREINYDPFLYPDPLAFNPWRWMDKGLESQNYFLIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGEKLMKFPRVEAPNGLHIRVSSY >KJB10573 pep chromosome:Graimondii2_0_v6:1:41015021:41017153:-1 gene:B456_001G208200 transcript:KJB10573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLMVIVGMFVMVLCMCTAVLRWNEMRYRKKGLPPGTMGWPVFGETTEFLKQGPNFMKNQRARYGSFFKSHILGCPTVVSMDPELNRYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKQMRGALLALISPTMIRQQLLPKIDEFMRAFLSNWDNQVINIQDRTKEMAFLSSLKQIASAESSSVAQQFMPEFFKLVLGTLSLPIDLPGTNYRRGFQARQNILKILGQLIEKRRDSEESHKDMLGYLMRNDDNNRHKLSDDEIIDQIITILYSGYETVSTTSMMAVKYLHDHPTVLEELRKEHRGIRERKRADEPIECNDLKSMRFTRAVIFETSRLATIVNGVLRKTTQDMELNGELNIKIFKKWICDS >KJB08275 pep chromosome:Graimondii2_0_v6:1:7603565:7604940:-1 gene:B456_001G074300 transcript:KJB08275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTTQASAAVFRPCASKTRFLTGSSGKLNRDVSFKPVASTSTSSFKVEAKKGEWLPGLPSPAYLNGSLPGDNGFDPLGLAEDPENLRWYVQAELVNGRWAMLGVAGMLLPEVFTKIGIINAPQWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKQYSLPPHECGYPGSIFNPLNFAPTLEAKEKELANGRLAMLAFLGFVVQHNVTGKGPFENLLQHLSDPWHNTIINTIRGY >KJB08274 pep chromosome:Graimondii2_0_v6:1:7603811:7604552:-1 gene:B456_001G074300 transcript:KJB08274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVKNFCSLPGDNGFDPLGLAEDPENLRWYVQAELVNGRWAMLGVAGMLLPEVFTKIGIINAPQWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKQYSLPPHECGYPGSIFNPLNFAPTLEAKEKELANGRLAMLAFLGFVVQHNVTGKGPFENLLQHLSDPWHNTIINTIRGY >KJB08272 pep chromosome:Graimondii2_0_v6:1:7603565:7604904:-1 gene:B456_001G074300 transcript:KJB08272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDNGFDPLGLAEDPENLRWYVQAELVNGRWAMLGVAGMLLPEVFTKIGIINAPQWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKQYSLPPHECGYPGSIFNPLNFAPTLEAKEKELANGRLAMLAFLGFVVQHNVTGKGPFENLLQHLSDPWHNTIINTIRGY >KJB08270 pep chromosome:Graimondii2_0_v6:1:7603565:7604904:-1 gene:B456_001G074300 transcript:KJB08270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTTQASAAVFRPCASKTRFLTGSSGKLNRDVSFKPVASTSTSSFKVEAKKGEWLPGLPSPAYLNGSLPGDNGFDPLGLAEDPENLRWYVQAELVNGRWAMLGVAGMLLPEVFTKIGIINAPQWYDAGKAEYFASSSTLFVIEFILFHYGDWQCWHSWGL >KJB08271 pep chromosome:Graimondii2_0_v6:1:7603996:7604751:-1 gene:B456_001G074300 transcript:KJB08271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTTQASAAVFRPCASKTRFLTGSSGKLNRDVSFKPVASTSTSSFKVEAKKGEWLPGLPSPAYLNGSLPGDNGFDPLGLAEDPENLRWYVQAELVNGRWAMLGVAGMLLPEVFTKIGIINAPQWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKQYSLPPHECGYPGSIFNPLNFAPTLEAKEKELANGMHTHTHIHTHTHV >KJB08273 pep chromosome:Graimondii2_0_v6:1:7603811:7604355:-1 gene:B456_001G074300 transcript:KJB08273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVAGMLLPEVFTKIGIINAPQWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKQYSLPPHECGYPGSIFNPLNFAPTLEAKEKELANGRLAMLAFLGFVVQHNVTGKGPFENLLQHLSDPWHNTIINTIRGY >KJB09307 pep chromosome:Graimondii2_0_v6:1:17241956:17243676:1 gene:B456_001G133900 transcript:KJB09307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYGVAISLSQAHTSDGDSSEHSPRSVPRLSASGGGGSKSKTPSNKIVTLDYHHRTPSSSDNTGRKPRGRPPGSKNKPKPPIVITRDSNSTMKPVILEISAGSDIIDAIISFARTHSVGVSIISATGSVSNVTLCHPVSHAPALSLHGPFSLLSLSGSFIASSTLSSNKTSQSSSLSTSPSPSLSSSGSFGVTLAGAQGQVFGGKVGGKVMAATLVIVAAATFVNPEFHMLPGEGDNKDHNQESKPSTHGCVAGGATESCTSTGLSMPVYGVASPTPLNCQIPPDVMPWGPSSRPY >KJB09279 pep chromosome:Graimondii2_0_v6:1:17006027:17008258:1 gene:B456_001G132500 transcript:KJB09279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYTGNSSLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRSLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEDELEYEDEDEEAVHEM >KJB09278 pep chromosome:Graimondii2_0_v6:1:17005954:17008282:1 gene:B456_001G132500 transcript:KJB09278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYTGNSSLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRSLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEDELEYEDEDEEAVHEM >KJB06767 pep chromosome:Graimondii2_0_v6:1:1253372:1254195:1 gene:B456_001G013200 transcript:KJB06767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIRSKSCREGKAQQIESKNGINGMQDVRCYSASNGGSTMNNGRTQIPNNVDKFKRSKSSNGSCSKSWNLNDPELRRKKRVASYRVYSVEGKVIGSLKKSFRWLKERYVRVVYGWW >KJB07610 pep chromosome:Graimondii2_0_v6:1:3025919:3027916:1 gene:B456_001G032800 transcript:KJB07610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKGVAASMGYSPYPMYEDQRTRFKHQSLMQDFEDLHKETEAMRMKLQMMKEQKLTLLAEVRFLKRRHKFLMQNRSSNTLAGRNFVQPQSTVVKRSKSNVKEKKSTGKEHSLRHLAIGFDLNQKGKTYSEKETTFAHPSLIFDLNQKQHKNINGEEVILRSSSPILDLNQRERLYSGKEATAPTMTPIFDLNQISREEEELQAMDNSMRIEEFKKSSIRMASDEQHNDIKISACRNTGNGPNRVGKRKISWQDQVALRV >KJB07611 pep chromosome:Graimondii2_0_v6:1:3026035:3027354:1 gene:B456_001G032800 transcript:KJB07611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKGVAASMGYSPYPMYEDQRTRFKHQSLMQDFEDLHKETEAMRMKLQMMKEQKLTLLAEVRFLKRRHKFLMQNRSSNTLAGRNFVQPQSTVVKRSKSNVKEKKSTGKEHSLRHLAIGFDLNQKGKTYSEKETTFAHPSLIFDLNQKQHKNINGEEVILRSSSPILDLNQRERLYSGKEATAPTMTPIFDLNQISVVCL >KJB08475 pep chromosome:Graimondii2_0_v6:1:8860830:8864109:1 gene:B456_001G083800 transcript:KJB08475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAMSEKRVVLLCGDYAEDYEVMVPFQALLAYGISVDAVCPGKKAGETCRTAIHQLSGHQTYTEIRGHNFTLNASFEDIDHTKYDGLVIAGGRAPEYLAMDAFVLNMVRNFVNSGKPVASICHGQLILAAAGAVGGLKCTGFPAVGPTLIAAGALWIEPDTLAACVVDGNIITAATYIGHPEFIRLFVKALGGTISKSNKRILFLCGDYMEDYEVTVPFQSLQALGCHVDAVCPKKKAGDLCPTAVHDFEGDQTYSEKPGHDFTLTANFEDIDASSYDALVIPGGRAPEYLALDGKVIDIAKHFMESEKPVASICHGQQILAAAGVLKGKKCTAYPAVKLNVVLAGATWLEPEPIDRCFSHGNLVTGAAWPGHPEFISQLMDLLGIKVSF >KJB08476 pep chromosome:Graimondii2_0_v6:1:8860830:8864109:1 gene:B456_001G083800 transcript:KJB08476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAMSEKRVVLLCGDYAEDYEVMVPFQALLAYGISVDAVCPGKKAGETCRTAIHQLSGHQTYTEIRGHNFTLNASFEDIDHTKYDGLVIAGGRAPEYLAMDAFVLNMVRNFVNSGKPVASICHGQLILAAAGAVGGLKCTGFPAVGPTLIAAGALWIEPDTLAACVVDGNIITAATYIGHPEFIRLFVKALGGTISKSNKRILFLCGDYMEDYEVTVPFQSLQALGCHVDAVCPKKKAGDLCPTAVHDFEGDQTYSEKPGHDFTLTANFEDIDASSYDALVIPGGRAPEYLALDGKVIDIAKHFMESEKPVASICHGQQILAAAGVLKVGKKCTAYPAVKLNVVLAGATWLEPEPIDRCFSHGNLVTGAAWPGHPEFISQLMDLLGIKVSF >KJB08477 pep chromosome:Graimondii2_0_v6:1:8860830:8864109:1 gene:B456_001G083800 transcript:KJB08477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAMSEKRVVLLCGDYAEDYEVMVPFQALLAYGISVDAVCPGKKAGETCRTAIHQLSGHQTYTEIRGHNFTLNASFEDIDHTKYDGLVIAGGRAPEYLAMDAFVLNMVRNFVNSGKPVASICHGQLILAAAGAVGGLKCTGFPAVGPTLIAAGALWIEPDTLAACVVDGNIITAATYIGHPEFIRLFVKALGGTISKSNKRILFLCGDYMEDYEVTVPFQSLQALGCHVDAVCPKKKAGDLCPTAVHDFEGDQTYSEKPGHDFTLTANFEDIDASSYDALVIPGGRAPEYLALDGKVIDIAKHFMESEKPVASICHGQQILAAAGVLKVKCTAYPAVKLNVVLAGATWLEPEPIDRCFSHGNLVTGAAWPGHPEFISQLMDLLGIKVSF >KJB08050 pep chromosome:Graimondii2_0_v6:1:6272754:6277240:-1 gene:B456_001G062700 transcript:KJB08050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMAAKFAFFPPNPPSYKLVKDEATGISVLDPFPHRENVDVLRIPTRRGNEIVAVYVRNPMATSTLLYSHGNAADIGQMYDYSGYGQSSGKPSEHNTYADIEAAYKCLEESYGAKQENIILYGQSVGSGPTVDLASRLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKISMVKSPVLIIHGTNDDVVNCSHGKQLWELCQEKYEPLWVKGGNHCDLELYPEYIRHVKKFISTVEKTPSRRNGSRRSTDGIEQLRQSTDHYEPPRRSMDQRDKPRRSTDTREKPRKSTDTREKPRKSTDRPPRLKFHEYTKFNSIDKLEKLKVSLDRMERMERSRRSVEYHDKSSRSNDQQLEKGRKSVDWLDRIRAD >KJB08048 pep chromosome:Graimondii2_0_v6:1:6272754:6277217:-1 gene:B456_001G062700 transcript:KJB08048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMAAKFAFFPPNPPSYKLVKDEATGISVLDPFPHRENVDVLRIPTRRGNEIVAVYVRNPMATSTLLYSHGNAADIGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEHNTYADIEAAYKCLEESYGAKQENIILYGQSVGSGPTVDLASRLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKISMVKSPVLIIHGTNDDVVNCSHGKQLWELCQEKYEPLWVKGGNHCDLELYPEYIRHVKKFISTVEKTPSRRNGSRRSTDGIEQLRQSTDHYEPPRRSMDQRDKPRRSTDTREKPRKSTDTREKPRKSTDRPPRLKFHEYTKFNSIDKLEKLKVSLDRMERMERSRRSVEYHDKSSRSNDQQLEKGRKSVDWLDRIRAD >KJB08049 pep chromosome:Graimondii2_0_v6:1:6272746:6277271:-1 gene:B456_001G062700 transcript:KJB08049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMAAKFAFFPPNPPSYKLVKDEATGISVLDPFPHRENVDVLRIPTRRGNEIVAVYVRNPMATSTLLYSHGNAADIGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEHNTYADIEAAYKCLEESYGAKQENIILYGQSVGSGPTVDLASRLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKISMVKSPVLIIHGTNDDVVNCSHGKQLWELCQEKYEPLWVKGGNHCDLELYPEYIRHVKKFISTVEKTPSRRNGSRRSTDGIEQLRQSTDHYEPPRRSMDQRDKPRRSTDTREKPRKSTDTREKPRKSTDRPPRLKFHEYTKFNSIDKLEKLKVSLDRMERMERSRRSVEYHDKSSRSNDQQLEKGRKSVDWLDRIRAD >KJB07290 pep chromosome:Graimondii2_0_v6:1:1318435:1320944:1 gene:B456_001G013800 transcript:KJB07290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSVAVEESGSLRNSLEVTKSLSDKHLDLLRPSARYYSVFKGQAPDAVGKGKYTLIKDEEDFQTGIFDKPLPCFGCGIGWFSIYVSINVVLCYVSLFRKSLP >KJB07289 pep chromosome:Graimondii2_0_v6:1:1318386:1320970:1 gene:B456_001G013800 transcript:KJB07289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSVAVEESGSLRNSLEVTKSLSDKHLDLLRPSARYYSVFKGQAPDAVGKGKYTLIKDEEDFQTGIFDKPLPCFGCGIGWFSFLVGFMCPLMWYYATFLYFGNHYRKDPRERAGLAASAIAAMACSVVVLIVIIFVLFST >KJB06732 pep chromosome:Graimondii2_0_v6:1:18663934:18664549:1 gene:B456_001G139900 transcript:KJB06732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQKCYFLPPISYFFIVVFSLLFVTAFGYGSPGLISAAFGENEFFCAIDAGGEQQIICWDKTNKISLVSTFDFVPSMASLSGGEGFLCGIIANNSQAFCWDLLDFGINLVPKTFKYNSYSQIDVGKFHVCAIKGSYFLSSNDFGNVDCWELD >KJB07697 pep chromosome:Graimondii2_0_v6:1:3545542:3549308:-1 gene:B456_001G038000 transcript:KJB07697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVKALIKVRHSFQTLRFLSHQRCFSAQPNYAPYNDFQDQVLVEGRAKSRAAILNRPFALNSLTTSMASRLKKLYESWEENPDIGFVIMKGNGRAFCSGVDAVALYHLLNEGKVEDCKRFFETLYKFVYLQGTYLKPHVAILDGITMGCGGGISLPGMFHLVTDKSVFAHPEAQLGFHPDSGASFYLSRLPGYLGECLALTGEKLNGVEMIACGLATHYCLNARLSWVEECLGNMMNDDPTVIESSLAQYGDLVYPDRSSILHRIETIDKCFCHDTIEEIIDSLENEAAGAYDDWCRTVLRKMKEASPLSLKVTLRSIREGRFQSLDQCLAREYRMSLAAISKQVSNDFSEVWSFLLHRKHFQNNSSCEFKSSCFNRVSGPG >KJB07695 pep chromosome:Graimondii2_0_v6:1:3545049:3549359:-1 gene:B456_001G038000 transcript:KJB07695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVKALIKVRHSFQTLRFLSHQRCFSAQPNYAPYNDFQDQVLVEGRAKSRAAILNRPFALNSLTTSMASRLKKLYESWEENPDIGFVIMKGNGRAFCSGVDAVALYHLLNEGKVEDCKRFFETLYKFVYLQGTYLKPHVAILDGITMGCGGGISLPGMFHLVTDKSVFAHPEAQLGFHPDSGASFYLSRLPGYLGECLALTGEKLNGVEMIACGLATHYCLNARLSWVEECLGNMMNDDPTVIESSLAQYGDLVYPDRSSILHRIETIDKCFCHDTIEEIIDSLENEAAGAYDDWCRTVLRKMKEASPLSLKVTLRSIREGRFQSLDQCLAREYRMSLAAISKQVSNDFSEGVRARLVDKDFAPKWDPPRVEEVSKDMVEYYFTPLGELEPELLLPTALREPYI >KJB07696 pep chromosome:Graimondii2_0_v6:1:3545091:3549308:-1 gene:B456_001G038000 transcript:KJB07696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVKALIKVRHSFQTLRFLSHQRCFSAQPNYAPYNDFQDQVLVEGRAKSRAAILNRPFALNSLTTSMASRLKKLYESWEENPDIGFVIMKGNGRAFCSGVDAVALYHLLNEGKVEDCKRFFETLYKFVYLQGTYLKPHVAILDGITMGCGGGISLPGMFHLVTDKSVFAHPEAQLGFHPDSGASFYLSRLPGYLGECLALTGEKLNGVEMIACGLATHYCLNARLSWVEECLGNMMNDDPTVIESSLAQYGDLVYPDRSSILHRIETIDKCFCHDTIEEIIDSLENEAAGAYDDWCRTVLRKMKEASPLSLKVTLRSIREGRFQSLDQCLAREYRMSLAAISKQVSNDFSELLQ >KJB06534 pep chromosome:Graimondii2_0_v6:1:54622035:54624405:-1 gene:B456_001G269600 transcript:KJB06534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLYRHFVPNLTIGAPVIESLRKHTKAYLDCHLMVTNPIDYVEPLGKAGASGFTFHVEVSKENWQELIQKIKLKGMRPGVALKPGTPIEEVYPLINSENPVEMVLVMTVEPGFGGQKFMPEMMEKVRMLRNKYPSLDIEVDGGLGPSTIDVAASAGANCIVAGSSVFGAPEPAAVISLMRKSVLENQEKC >KJB06535 pep chromosome:Graimondii2_0_v6:1:54622035:54623926:-1 gene:B456_001G269600 transcript:KJB06535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGVALKPGTPIEEVYPLINSENPVEMVLVMTVEPGFGGQKFMPEMMEKVRMLRNKYPSLDIEVDGGLGPSTIDVAASAGANCIVAGSSVFGAPEPAAVISLMRKSVLENQEKC >KJB06537 pep chromosome:Graimondii2_0_v6:1:54622035:54624995:-1 gene:B456_001G269600 transcript:KJB06537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTPKIAPSMLSSDFANLASEAKRMLDFGADWLHMDIMDGHFVPNLTIGAPVIESLRKHTKAYLDCHLMVTNPIDYVEPLGKAGASGFTFHVEVSKENWQELIQKIKLKGMRPGVALKPGTPIEEVYPLINSENPVEMVLVMTVEPGFGGQKFMPEMMEKVRMLRNKYPSLDIEVDGGLGPSTIDVAASAGANCIVAGSSVFGAPEPAAVISLMRKSVLENQEKC >KJB06536 pep chromosome:Graimondii2_0_v6:1:54622035:54624853:-1 gene:B456_001G269600 transcript:KJB06536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNPIDYVEPLGKAGASGFTFHVEVSKENWQELIQKIKLKGMRPGVALKPGTPIEEVYPLINSENPVEMVLVMTVEPGFGGQKFMPEMMEKVRMLRNKYPSLDIEVDGGLGPSTIDVAASAGANCIVAGSSVFGAPEPAAVISLMRKSVLENQEKC >KJB08571 pep chromosome:Graimondii2_0_v6:1:9768181:9769012:1 gene:B456_001G0898002 transcript:KJB08571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNSVELSLSLKPLYVPKSLSSLFLDLSKIDSQYYKLSVLSDYIGKLEEELARVQPLKHLLPQCTLLLMEAIETLKVEFTNIKNNLKNNEKEGERETQKIISTCSATEEHYGNSQPCNEKGNNMEGLMMAYKENSTWNCVGASSSSGKEKEVAAIDEYSWRNDETFYCNYDHHHHHHHHLKPLTQPIWKNNRRCWSSELHSRFVEALNMLGGNE >KJB07705 pep chromosome:Graimondii2_0_v6:1:3676945:3678125:-1 gene:B456_001G039500 transcript:KJB07705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein CONSTANS-LIKE 4 [Source:Projected from Arabidopsis thaliana (AT5G24930) UniProtKB/Swiss-Prot;Acc:Q940T9] MASKLCDSCKLATSDLFCCPHSAFLCCNCDSTTHAANHLASPHPRVCLCQVCEQAPAHVTCKGDAASLCVACDQHIHSANPLARQHVRLPIIPFYDSDNSVPAVKSNCAVNNFLDEPYFSAVDGDTYVNQEETEEAFWLLPNTNHKAVESPDVYTGQFLFSEIDLYLDLDYVPLNLKIGTPEQTCSGTDAMVPTQSKSVEDPPVNHRCVNSDFTRSKPFTNYGYNPHSHSLSASSSLEVGVVPDGSAMMREISKQQGRRTESMHELASAEREARVLRYKEKRKKRKFEKTIRYASRKSYAEMRPRIKGRFAKRTDFEPDRTNIYGFGVVPSF >KJB10854 pep chromosome:Graimondii2_0_v6:1:46534242:46535300:-1 gene:B456_001G228900 transcript:KJB10854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIKLSYLPLLLFTFFLVAQGSRTQLILPFQQGAYQSPPLHMQATQGSNEAKMDRNSRRLMIGSTAPTCTFNECRGCKYKCRAEQVPVEGNDPINSAYHYKCVCHRS >KJB10855 pep chromosome:Graimondii2_0_v6:1:46534274:46535101:-1 gene:B456_001G228900 transcript:KJB10855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDGSNFAGSRTQLILPFQQGAYQSPPLHMQATQGSNEAKMDRNSRRLMIGSTAPTCTFNECRGCKYKCRAEQVPVEGNDPINSAYHYKCVCHRS >KJB09885 pep chromosome:Graimondii2_0_v6:1:24883403:24885623:-1 gene:B456_001G172600 transcript:KJB09885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 16 [Source:Projected from Arabidopsis thaliana (AT2G45690) UniProtKB/Swiss-Prot;Acc:Q8S8S1] MCESMEAYKTWVRRNKDYVHSLESLANGLTWLLPERFSTSEIGPEAVTAILGIVTAINEHIIDTTPSERHPGSADPLSFPYGLCISALKDLETLVEVIAEQYYGDKKWNFIAVTEAIKVIVRLALFRNSGYKMLLHGGETPNVEISSDDTGSQHRIGGFPRPGGHHHGAGLLQNNHGSNPWTIERRALSALSRFGESARMVSDPVWFQRIQQQHAIMAPPTSVIKRPTLSMILSEKGVNGALFVLGEILFITRPLIYVLFIRRYGIRSWIPWFLSLGVDFIGYGFLSHVTKSRMVEREQWFHLSASEKDEVKSLCFIMNILTHSN >KJB09884 pep chromosome:Graimondii2_0_v6:1:24882625:24886090:-1 gene:B456_001G172600 transcript:KJB09884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 16 [Source:Projected from Arabidopsis thaliana (AT2G45690) UniProtKB/Swiss-Prot;Acc:Q8S8S1] MLLHGGETPNVEISSDDTGSQHRIGGFPRPGGHHHGAGLLQNNHGSNPWTIERRALSALSRFGESARMVSDPVWFQRIQQQHAIMAPPTSVIKRPTLSMILSEKGVNGALFVLGEILFITRPLIYVLFIRRYGIRSWIPWFLSLGVDFIGYGFLSHVTKSRMVEREQWFHLSASEKDEVKRRKLLWALYLMREPFFSKYTRQKLASTESLLEPVPLIGTLTSKLVELIIGAQTRYTYMSGS >KJB09883 pep chromosome:Graimondii2_0_v6:1:24882597:24886175:-1 gene:B456_001G172600 transcript:KJB09883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 16 [Source:Projected from Arabidopsis thaliana (AT2G45690) UniProtKB/Swiss-Prot;Acc:Q8S8S1] MCESMEAYKTWVRRNKDYVHSLESLANGLTWLLPERFSTSEIGPEAVTAILGIVTAINEHIIDTTPSERHPGSADPLSFPYGLCISALKDLETLVEVIAEQYYGDKKWNFIAVTEAIKVIVRLALFRNSGYKMLLHGGETPNVEISSDDTGSQHRIGGFPRPGGHHHGAGLLQNNHGSNPWTIERRALSALSRFGESARMVSDPVWFQRIQQQHAIMAPPTSVIKRPTLSMILSEKGVNGALFVLGEILFITRPLIYVLFIRRYGIRSWIPWFLSLGVDFIGYGFLSHVTKSRMVEREQWFHLSASEKDEVKRRKLLWALYLMREPFFSKYTRQKLASTESLLEPVPLIGTLTSKLVELIIGAQTRYTYMSGS >KJB11481 pep chromosome:Graimondii2_0_v6:1:53698746:53701930:-1 gene:B456_001G261400 transcript:KJB11481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKQVANSAIKAIANSGSSSLLTRQLHASPGSKKIVGVFYKANEYFTKNPNFVGCVEGALGLRQWLESQGHQYIVTDDKEGPDCELEKHIPDLHVLISTPFHPAYVTAERIKKAKNLQLLLTAGIGSDHVDLKAAAEAGLTVAEVTGSNVVSVAEDELMRILILVRNFVPGYHQVITGDWNVAGIAYRAYDLEGKTVGTIGAGRIGKLLLQRLKPFNCNLLYHDRVKIDPELEKQTGAKFEEDLDAMLPKCDIIVINMPLTEKTRGMFDKDRIAKMNKGVLIVNNARGAIMDTQAVADACSSGHIAGYSGDVWYPQPAPKDHPWRYMPNQAMTPHISGTTIDAQLRYAAGVKDMLERYFKGEDFPEQNYIVKAGELAPQYR >KJB11482 pep chromosome:Graimondii2_0_v6:1:53698747:53701865:-1 gene:B456_001G261400 transcript:KJB11482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKQVANSAIKAIANSGSSSLLTRQLHASPGSKKIVGVFYKANEYFTKNPNFVGCVEGALGLRQWLESQGHQYIVTDDKEGPDCELEKHIPDLHVLISTPFHPAYVTAERIKKAKNLQLLLTAGIGSDHVDLKAAAEAGLTVAEVTGSNVVSVAEDELMRILILVRNFVPGYHQVITGDWNVAGIAYRAYDLEGKTVGTIGAGRIGKLLLQRLKPFNCNLLYHDRVKIDPELEKQTGAKFEEDLDAMLPKCDIIVINMPLTEKTRSGFIT >KJB08188 pep chromosome:Graimondii2_0_v6:1:7060077:7065256:-1 gene:B456_001G070000 transcript:KJB08188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADHPWETLDIDDCGLPSLLRPCNHKPLKSTPPFPLISQSLSPTSNSSLPSSPNLIPGPAGAVQAAMLRKLHYNNNSSCIGEDPVPTQEYIRRAVVDPAAAAAADDDFSRDPWLFALEFIRREGLVDNGGTIGTPLSWIKTEPKLGNRKVAQVVAIIKSCTANGLGDLMVILKDPTGTIDASIHGKVLVDGHFAKDITVGTVLILQKVSVFSPMCSARYLNITLNNVVKAIPKDTEPLSELNHTSRVISTANGIGNTKETWNQQKVSSLSPDRNANVMDNLGQTGYMRRRVLNDNGNEADATLGSRCCVNGRNRSQNGSAGKEPSTSQVITNGMEKAALLAGINGLEENVVVKKQPGSQNVVGRDNHLKSKQSSGDPNLFGIANERESVTIDADKERRDIPISRGSLPQWTDEQLDELCSFD >KJB08189 pep chromosome:Graimondii2_0_v6:1:7060121:7064788:-1 gene:B456_001G070000 transcript:KJB08189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRFYGWFSFMKGLVDNGGTIGTPLSWIKTEPKLGNRKVAQVVAIIKSCTANGLGDLMVILKDPTGTIDASIHGKVLVDGHFAKDITVGTVLILQKVSVFSPMCSARYLNITLNNVVKAIPKDTEPLSELNHTSRVISTANGIGNTKETWNQQKVSSLSPDRNANVMDNLGQTGYMRRRVLNDNGNEADATLGSRCCVNGRNRSQNGSAGKEPSTSQVITNGMEKAALLAGINGLEENVVVKKQPGSQNVVGRDNHLKSKQSSGDPNLFGIANERESVTIDADKERRDIPISRGSLPQWTDEQLDELCSFD >KJB08746 pep chromosome:Graimondii2_0_v6:1:11318008:11322642:1 gene:B456_001G101100 transcript:KJB08746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSQSVATLIGSTASKIQQLQKAFAELESQRALTLNLRWKELEEHFSGLEKSLKRRFHELEDQEKEFETKTRKAREMLQKREAAVVAKEQSSLVRLQEKRDAAVFAITNALEKHRELSSGQPADNGDNGELSVEEKQPEDIKSSFENGNLEVKSYPQLVKLCEEMDSEGLQKFISDNRKNLAALKEEIPWALKAAASPARLVLESLEGFYPSEVDGKKDANLLGLRRTCIMLMECLSVLLSNLDMVSVLALISEDIKEQAKLIAEEWKPKLDALDMDASNGNSLEAHAFLQLIDTFGIASGFNEEELSRLIPMVSRRRQAADLCRSLGLSDKMPVLVKNGRQIDAVNLAFGFELTEQFSPVPLLKYYLKDARKASSPVKPGNASPTAQTEVSERELTALKAVIKCIEEHNLEEQYPVDPLQKRVLLLEKAKADKKRATEVAKPQPKRPRANGAGYGPRVTNVAADKTFYPRVTDRYMQYVYDRPYVYPGPADNHSHSLLASATYNFTPSHGNYFGNGYQYQTPYLH >KJB08747 pep chromosome:Graimondii2_0_v6:1:11318008:11322642:1 gene:B456_001G101100 transcript:KJB08747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSQSVATLIGSTASKIQQLQKAFAELESQRALTLNLRWKELEEHFSGLEKSLKRRFHELEDQEKEFETKTRKAREMLQKREAAVVAKEQSSLVRLQEKRDAAVFAITNALEKHRELSSGQPADNGDNGELSVEEKQPEDIKSSFENGNLEVKSYPQLVKLCEEMDSEGLQKFISDNRKNLAALKEEIPWALKAAASPARLVLESLEGFYPSEVDGKKDANLLGLRRTCIMLMECLSVLLSNLDMVSVLALISEDIKEQAKLIAEEWKPKLDALDMDASNGNSLEAHAFLQLIDTFGIASGFNEEELSRLIPMVSRRRQAADLCRSLGLSDKMPDRCS >KJB08745 pep chromosome:Graimondii2_0_v6:1:11317854:11322720:1 gene:B456_001G101100 transcript:KJB08745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSQSVATLIGSTASKIQQLQKAFAELESQRALTLNLRWKELEEHFSGLEKSLKRRFHELEDQEKEFETKTRKAREMLQKREAAVVAKEQSSLVRLQEKRDAAVFAITNALEKHRELSSGQPADNGDNGELSVEEKQPEDIKSSFENGNLEVKSYPQLVKLCEEMDSEGLQKFISDNRKNLAALKEEIPWALKAAASPARLVLESLEGFYPSEVDGKKDANLLGLRRTCIMLMECLSVLLSNLDMVSVLALISEDIKEQAKLIAEEWKPKLDALDMDASNGNSLEAHAFLQLIDTFGIASGFNEEELSRLIPMVSRRRQAADLCRSLGLSDKMPGVIEVLVKNGRQIDAVNLAFGFELTEQFSPVPLLKYYLKDARKASSPVKPGNASPTAQTEVSERELTALKAVIKCIEEHNLEEQYPVDPLQKRVLLLEKAKADKKRATEVAKPQPKRPRANGAGYGPRVTNVAADKTFYPRVTDRYMQYVYDRPYVYPGPADNHSHSLLASATYNFTPSHGNYFGNGYQYQTPYLH >KJB08748 pep chromosome:Graimondii2_0_v6:1:11319980:11322053:1 gene:B456_001G101100 transcript:KJB08748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSQSVATLIGSTASKIQQLQKAFAELESQRALTLNLRWKELEEHFSGLEKSLKRRFHELEDQEKEFETKTRKAREMLQKREAAVVAKEQSSLVRLQEKRDAAVFAITNALEKHRELSSGQPADNGDNGELSVEEKQPEDIKSSFENGNLEVKSYPQLVKLCEEMDSEGLQKFISDNRKNLAALKEEIPWALKAAASPARLVLESLEGFYPSEVDGKKDANLLGLRRTCIMLMECLSVLLSNLDMVSVLALISEDIKEQAKLIAEEWKPKLDALDMDASNGNSLEAHAFLQLIDTFGIASGFNEEELSRLIPMVSRRRQAADLCRSLGLSDKMPGVIEVLVKNGRQIDAVNLAFGFELTEQFSPVPLLKYYLKDARKASSPVKPGNASPTAQVLKLVNGN >KJB08993 pep chromosome:Graimondii2_0_v6:1:14101409:14108372:-1 gene:B456_001G117500 transcript:KJB08993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGTEAGDFVVLSRVRTGLKREFEFALKVQAEICGSLGRTRSGKAQNGGEAWSPGNRSNKKLKKEVKDEKEKSDLEQSVRVVEESVDLMSEEEAKSDVEDPKREVFGCEEEESKKVDLEKDEEFKDGIIEPMCEDEIVKEVKEKSKPEKAVMGSLEEKQEEEKMDADIREKESQLERATENVEEVKEKGKEGLVMESEPYKGDIGVPVLVSCEGDTKIEQGVKEEKPVRRFTRSLLKATVETTKETAATDAIVVNVSEAKCDGGDITVGSVDSPMTQEASVSTKLVRNFPTGLQDLLDSGILKGANVRYARSSKVTRAAGSNGLQGIIKGSGILCFCKACKGSNVISPTLYEIHARSSNKPAENYIYMENGNTLRDVMNACRESSSSMLENTLQMVIGSSMKKSRFCLNCRESITRAGSGKAMVLCNSCLGVKESQDGSTEVADGTKGADASDSSPKPNVVPESPISASKCSFSQTKSQGRVTRKDLRKHKLVFEEDGLPDGTELAYFVRGEKLLVGYKRGFGILCTCCNSEISPSQFEAHAGWASRRKPFQNIYTSNGVSLHELSISLSKNRKFSTYENDDLCSICLDGGNLLCCDTCPRAFHIECISLPRIPTGTWHCRYCQNTFQNEKFVQHNANALAAGRVAGIDPIEQITKRCIRIIRTPEAEVPSVCVLCRGHDFSKSGFGPRTVILCDQCEREYHVGCLRDHNIDDLKELPKGKWFCCTDCNRIHSALQKLVIRGEEQLPDSSLDVVKKKHVESSLGSKAKLDIRWRVLSGKMTSLDDTRVTLSKAVAIFHERFDPISDSGSSRGDLIPSMVYGRTVKGQDFGGMYCAILTVNQVVVSAGIFRVFGQEMAEIPLVATSTESQGLGYFQCLFNCIEKLLGFLKVKTLVLPAADEAESIWTKKFRFSKITQEELNEYRRDYQMMIFQGTSILQKPVPSVRLIG >KJB06958 pep chromosome:Graimondii2_0_v6:1:470218:471401:-1 gene:B456_001G005100 transcript:KJB06958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTSKVIMGATLVMAASLAIVLGIILVFLAELYCFLCLRRRQLKSSATPPTNTTTTASSSSSLSSVYAQGVLHAPTNFLFPHQEVLEIQAQEPNIISPHQIGILYPTSPPFTSFVNSPPCNASLGNNGVEDLVYISNPIYDNDAGTGMPETPFMG >KJB08444 pep chromosome:Graimondii2_0_v6:1:8708003:8710725:1 gene:B456_001G082200 transcript:KJB08444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKLGRKVNTLITKPFKKPKKPPPKPSSPPPPPLPSPPMSPPMPQIPPKNYPFLFPKVQSSVLSDPSRFFSPNLLSSPLPTNSFFQNFTLKNGDQPEYIHPYLIKSADSSLSISYPSFFHNPSFIYQIFVPDLTIFATDKTTSTSDKSHIISSYSDLSLTLDIPSSNLRFFLVRGSPFLTCSVTGNTPISISTVHAILSFSSNSSLTKHTIKLNNGQTWIIYASSSISLNHSVSLITSGGFSGILRIAVLSDSDPTCESILDQFCSCYPTSGDAVLTKPFCVEYKWEKKGWGDLLMLAHPLHLDILCKDYCDVKVLENFRYKSIDGDLVGIVGDSWVLKPHQVSVTWHSIKGVNEESYAEIVTALAKDVDALDSSAITTKSSYFYGKLIARAARLALIAEEVCFLDVIPAIRKFLKDTIEPWLDGTFNGNGFLYESKWGGIVTKQGSTDSGADFGFGVYNDHHYHIGYFLYGIAVLTKIDPAWGRRYKPQAYSLVADFMNLGRRSNSNYPRVRCFDFYKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALMGLAYGDTHLVATGSTLTALEIQAAQTWWHVKEGDNLYGEEFTRENRVVGVLWANKRDSGLWFAPPDWRECRLGIQLLPILPISEVLFSAIEFTRQLVNWTLPALGREGVGEGWKGFVYALEAIYDKESALEKIRNLSGHDDGNSLTNLLWWVHSRGDELEIQHGAEGKYCWFTHYCH >KJB10432 pep chromosome:Graimondii2_0_v6:1:37701519:37702713:-1 gene:B456_001G200700 transcript:KJB10432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTGLGHGNFETYVLNVNINCDGCKQRVKKLLRKIEGVFSVHIDEELQVVTVTGKVDPTKLIKKLIKSGKHAEFRSPYENLNFIESDKNKNQMQYLRINGVNNSQIGYEVEDDFGNYVKHNIGNNSMTGTTDWNFIEETSMHRMEGDGDIFANNRHMVSMLDPAGFGRNVAGFVGLPPHEFGMFHDVPSSSSLATYDYNHLNLPSMTETSLQGYLLNNPSPNRNTCIQHRNKNSQL >KJB10223 pep chromosome:Graimondii2_0_v6:1:31160705:31165693:1 gene:B456_001G190000 transcript:KJB10223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCGDQLSMVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPAFVRCAEERVSLCQNCDWIGHGASTSNSTHKRQTINCYSGCPSSSELSSMWSFFPQSPPAGESSCEQELGLMCITENIERTSWGAIENTINQNSAGVAEVNHDSNTDKGIGWGGTSSIPDLRSMPRVLDQPPGPTGASLPKCPGICEDALYDDFNMDEVDLNLENYEELFGVTLNHSEELLGNGGIDSLFGTKDMAAADSNCQGALAAEGSSVGLINAIQPDCSNAASADSMMSSKTDSILCFTARQAHSSVSFSGLTGESSAGDYQDCGSSSMLLMGEPSWCPPCAESSFQSATRSNAVMRYKEKKKTRKFDKQVRYASRKATADVRKRVKGRFVKAGDAYDYDPLNHTRSC >KJB10222 pep chromosome:Graimondii2_0_v6:1:31160705:31164750:1 gene:B456_001G190000 transcript:KJB10222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCGDQLSMVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPAFVRCAEERVSLCQNCDWIGHGASTSNSTHKRQTINCYSGCPSSSELSSMWSFFPQSPPAGESSCEQELGLMCITENIERTSWGAIENTINQNSAGVAEVNHDSNTDKGIGWGGTSSIPDLRSMPRVLDQPPGPTGASLPKCPGICEDALYDDFNMDEVDLNLENYEELFGVTLNHSEELLGNGGIDSLFGTKDMAAADSNCQGALAAEGSSVGLINAIQPDCSNAASADSMMSSKTDSILCFTARQAHSSVSFSGLTGESSAGDYQDCGSSSMLLMGEPSWCPPCAESSFQSATRSNAVMRYKEKKKTRNSVS >KJB10220 pep chromosome:Graimondii2_0_v6:1:31161336:31165693:1 gene:B456_001G190000 transcript:KJB10220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCGDQLSMVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPAFVRCAEERVSLCQNCDWIGHGASTSNSTHKRQTINCYSGCPSSSELSSMWSFFPQSPPAGESSCEQELGLMCITENIERTSWGAIENTINQNSAGVAEVNHDSNTDKGIGWGGTSSIPDLRSMPRVLDQPPGPTGASLPKCPGICEDALYDDFNMDEVDLNLENYEELFGVTLNHSEELLGNGGIDSLFGTKDMAAADSNCQGALAAEGSSVGLINAIQPDCSNAASADSMMSSKTDSILCFTARQAHSSVSFSGLTGESSAGDYQDCGSSSMLLMGEPSWCPPCAESSFQSATRSNAVMRYKEKKKTRKFDKQVRYASRKATADVRKRVKGRFVKAGDAYDYDPLNHTRSC >KJB10221 pep chromosome:Graimondii2_0_v6:1:31160705:31164564:1 gene:B456_001G190000 transcript:KJB10221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCGDQLSMVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPAFVRCAEERVSLCQNCDWIGHGASTSNSTHKRQTINCYSGCPSSSELSSMWSFFPQSPPAGESSCEQELGLMCITENIERTSWGAIENTINQNSAGVAEVNHDSNTDKGIGWGGTSSIPDLRSMPRVLDQPPGPTGASLPKCPGICEDALYDDFNMDEVDLNLENYEELFGVTLNHSEELLGNGGIDSLFGTKDMAAADSNCQGALAAEGSSVGLINAIQPDCSNAASADSMMSSKTDSILCFTARQAHSSVSFSGLTGESSAGDYQDCGSSSMLLMGEPSWCPPCAESSFQSATRSNAVMRYKEKKKTRK >KJB10224 pep chromosome:Graimondii2_0_v6:1:31160664:31165694:1 gene:B456_001G190000 transcript:KJB10224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCGDQLSMVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPAFVRCAEERVSLCQNCDWIGHGASTSNSTHKRQTINCYSGCPSSSELSSMWSFFPQSPPAGESSCEQELGLMCITENIERTSWGAIENTINQNSAGVAEVNHDSNTDKGIGWGGTSSIPDLRSMPRVLDQPPGPTGASLPKCPGICEDALYDDFNMDEVDLNLENYEELFGVTLNHSEELLGNGGIDSLFGTKDMAAADSNCQGALAAEGSSVGLINAIQPDCSNAASADSMMSSKTDSILCFTARQAHSSVSFSGLTGESSAGDYQDCGSSSMLLMGEPSWCPPCAESSFQSATRSNAVMRYKEKKKTRKFDKQVRYASRKATADVRKRVKGRFVKAGDAYDYDPLNHTRSC >KJB08262 pep chromosome:Graimondii2_0_v6:1:7642115:7643219:-1 gene:B456_001G074700 transcript:KJB08262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDVEYRCFIGNLSWSTSDRGLKDAFEKFGNLIEAKVAVDKISGRSRGFGFISFDEKAAMEEAIEAMNGMDLDGRNITVDRAKPQQGSGRDYDGDRNRGRDRDHDRNRGYDGGRGSNGGECFKCGKPGHFARECPSDGARGGKYGGRGDRHGGGGGRYGPDRNGDRFGGRSRDAGSRGGSGSDRYNRDRSGPYDRRGTGGPRSG >KJB08261 pep chromosome:Graimondii2_0_v6:1:7640275:7644639:-1 gene:B456_001G074700 transcript:KJB08261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDVEYRCFIGNLSWSTSDRGLKDAFEKFGNLIEAKVAVDKISGRSRGFGFISFDEKAAMEEAIEAMNGMDLDGRNITVDRAKPQQGSGRDYDGDRNRGRDRDHDRNRGYDGGRGSNGGECFKCGKPGHFARECPSDGARGGKYGGRGDRHGGGGGRYGPDRNGDRFGGRSRDAGSRGGSGSDRYNRDRSGPYDRRGTGGPRSG >KJB08264 pep chromosome:Graimondii2_0_v6:1:7640275:7644697:-1 gene:B456_001G074700 transcript:KJB08264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDVEYRCFIGNLSWSTSDRGLKDAFEKFGNLIEAKVAVDKISGRSRGFGFISFDEKAAMEEAIEAMNGMDLDGRNITVDRAKPQQGSGRDYDGDRNRGRDRDHDRNRGYDGGRGSNGGECFKCGKPGHFARECPSDGARGGKYGGRGDRHGGGGGRYGPDRNGDRFGGRSRDAGSRGGSGSDRYNRDRSGPYDRRGTGGPRSG >KJB08263 pep chromosome:Graimondii2_0_v6:1:7640609:7644500:-1 gene:B456_001G074700 transcript:KJB08263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDVEYRCFIGNLSWSTSDRGLKDAFEKFGNLIEAKVAVDKISGRSRGFGFISFDEKAAMEEAIEAMNGMDLDGRNITVDRAKPQQGSGRDYDGDRNRGRDRDHDRNRGYDGGRGSNGGECFKCGKPGHFARECPSDGARGGKYGGRGDRHGGGGGRYGPDRNGDRFGGRSRDAGSRGGSGSDRYNRDRSGPYDRRGTGGPRSG >KJB08260 pep chromosome:Graimondii2_0_v6:1:7640275:7644569:-1 gene:B456_001G074700 transcript:KJB08260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDVEYRCFIGNLSWSTSDRGLKDAFEKFGNLIEAKVAVDKISGRSRGFGFISFDEKAAMEEAIEAMNGMDLDGRNITVDRAKPQQGSGRDYDGDRNRGRDRDHDRNRGYDGGRGSNGGECFKCGKPGHFARECPSDGARGGKYGGRGDRHGGGGGRYGPDRNGDRFGGRSRDAGSRGGSGSDRYNRDRSGPYDRRGTGGPRSG >KJB07407 pep chromosome:Graimondii2_0_v6:1:1968707:1969898:1 gene:B456_001G020600 transcript:KJB07407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCSKEGLNKGAWTALEDKILTSYIHVHGEGKWRNLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNISHDEEELIIRLHNLLGNRWSLIAGRLPGRTDNEIKNYWNTTLAIRCSSKVMVPLQPPATHQHGQHHYTNNNEEMGGGISTIEAHNGIQMLESLYSDGGSNLLSFEINELLKSHDGGEFEENPMQQHFPLGEAMLKDWSTCPCLDDNGATDLESLAFLLDTDEWP >KJB07406 pep chromosome:Graimondii2_0_v6:1:1968499:1969954:1 gene:B456_001G020600 transcript:KJB07406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCSKEGLNKGAWTALEDKILTSYIHVHGEGKWRNLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNISHDEEELIIRLHNLLGNRWSLIAGRLPGRTDNEIKNYWNTTLGKRAKAQASIEAKTIPTESRLNKPSKSSTKIEVIRTKAIRCSSKVMVPLQPPATHQHGQHHYTNNNEEMGGGISTIEAHNGIQMLESLYSDGGSNLLSFEINELLKSHDGGEFEENPMQQHFPLGEAMLKDWSTCPCLDDNGATDLESLAFLLDTDEWP >KJB10867 pep chromosome:Graimondii2_0_v6:1:49022365:49022661:-1 gene:B456_001G245400 transcript:KJB10867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHVPRIMHAKQILSHSKLFANPSASNSMDSQKKRFIVPISFLNQSSFKKLLSIAEEEFGFNHPMGGLTIPCREEVFVDLTSSLH >KJB11731 pep chromosome:Graimondii2_0_v6:1:55343591:55346479:-1 gene:B456_001G276000 transcript:KJB11731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTPKKLPKSKHNSYSKNSSLNSILEPPQSLFPSKGEFLPLSCNYFLTFFTSTSKPFCDSNLDPIDSFSDSCEPCPSNGECYEGNLECIYGYRRHGKLCIEDRDIDEIAKKLYESVEAGLCEAYAQVLCYGKLCILETVRENDIWNDLDRHNLMQNVGSDHTTHVCMKRKAMETIAKLLETRTNLHGLQEFKCPDALAEHYKPLTCRFRELVSKHSLIIMPICAGLIGCAVLFLKVRQRMYISARPEELYNQVHKFFDVQL >KJB11730 pep chromosome:Graimondii2_0_v6:1:55343567:55346479:-1 gene:B456_001G276000 transcript:KJB11730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTPKKLPKSKHNSYSKNSSLNSILEPPQSLFPSKGEFLPLSCNYFLTFFTSTSKPFCDSNLDPIDSFSDSCEPCPSNGECYEGNLECIYGYRRHGKLCIEDRDIDEIAKKLYESVEAGLCEAYAQVLCYGKLCILETVRENDIWNDLDRHNLMQNVGSDHTTHVCMKRKAMETIAKLLETRTNLHGLQEFKCPDALAEHYKPLTCRFRELVSKHSLIIMPICAGGVQYCS >KJB11775 pep chromosome:Graimondii2_0_v6:1:55667882:55670722:-1 gene:B456_001G276700 transcript:KJB11775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLPAHCSSCSRGESGSPRAGRGTDWERLPRGPSPGDEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >KJB09793 pep chromosome:Graimondii2_0_v6:1:23690627:23694577:1 gene:B456_001G166700 transcript:KJB09793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLGRDLKALQRVAEPSAFVVGVGAGRSGSEAQVVMQMRGERSSPLGHAPCRTKRRATKRTCVKQPSITLDRSRMDNLQLKVSSSDRYLVRRNGDLGLDPVVRRIRDLTMFACEFEEDKVDSMEVQQALPPVPEASEPLPLAQEPVADLIIDLRKSEVPSPNKIQQIVEMLEEERIWSGKAAHNQKAQPTIWQKDWETFPKKWVKKMERSEHGNRSDTNTDYLFQLLCFLKLHTYTRVQVSIDICGVDHPSRKRRFEVVYNLLSTRYNSRIRVQTSADEVTRISPVVSIFPSAGRWEREVWDMFGVSSINHPDLRRISTDYGFEGHPLRKDLPLSGYVEVRYDDPEKREVRIRSVRILIGLGLTWFTRYWFPEELISSLAKPFLTLPLDSYFVCTQLTEAFPTYVATSSIACSYFVFPLISYQIWCFLIPSCYGEQRTKYNRFLHLSGSRFSLFLFLTPPRLQPKIYDHIMLTVRISFIPSVCSQVPVIVIRLPEPRGLSVETFTNNRRFLMVFSLFTAALSTPPDIWCQIVARFLISLIIELAIFVASIVQVREEGWTSGMRESGSIDKKDYLREARRAKPLMSWRRLYLRKSAKLRFLYHFEHASLRRVARPNIIPEANRLTIAQSKSSPSSA >KJB07811 pep chromosome:Graimondii2_0_v6:1:4361329:4365009:1 gene:B456_001G045700 transcript:KJB07811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQFLSKLPRKSGKSDSPELTRSTSCTTAGSTPQPHRSNSLNLGSGRPCAPKRTSSAVFPASVVAGIEPLLPFKDVPNSEKMNLFVSKVSLCCVTFDFTDPTKNLIEKDVKRQTLLELLDFVSGSVRFSEPAILAMCRMCAVNLFRVFPPNYRSAVSNGGENDDDEPMFDPAWPHLQIVYDLLVKFITSSCLDAKVAKKYIDHSFILRLLDLFDSEDPRERDCLKTILHRVYGKFMVHRPFIRKAISNIFYRFVFEIERHNGIAELLEIFGSIISGFALPLKEEHKIFLWRVLIPLHKPKSLGVYFQQLSYCVSQFIEKEPKLCSTVIRGLLKYWPITNSQKELMFLAELEEILEAINMVEFQKVMVPLFWRIGCCINSFHFQVAERALFFWNNDQIVNLIAHNRHVILPIILPALEKNAQNHWNHAVLNLTINVRKMFMEMDDQLFISCHIHFKEEEAKVSILSEKRKEAWKQLENAASLKPIAGNTAVLVTPLATPIAY >KJB10211 pep chromosome:Graimondii2_0_v6:1:30979547:30979939:-1 gene:B456_001G189400 transcript:KJB10211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNSSFLQAERTKIELDRFPKCFFGYSSMSGLFTEHEKRMDDHLLPEEIEEFLRNLTRSIRSLFSDRWSELHLGVNLTERSTRDQKLLKKEQDVCLNIKEIFKPSILNSFKI >KJB09618 pep chromosome:Graimondii2_0_v6:1:21436702:21439109:1 gene:B456_001G153000 transcript:KJB09618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLTNRVERSEINPGDHIYTYRAVFTYSHHGIFVGGSKVVHFRPERNLDSSTEPSSDLYDPISPRSTCPTFPDCGFRQPNSGVVLSCLDCFLRNGSLYCFEYGVTPSVFLAKVRGGTCTTAMSDPPETVIHRAMYLLQNGFGNYHIFQNNCEDFALYCKTGLLIMEKQGVGRSGQASSVIGAPLAALLSSPLKLLMPSPVGVATVTAGMYCMSRYATDIGVRSDVIKVAVEDLAVSLGWAEHHDEAAQENEALSRQLVTL >KJB11304 pep chromosome:Graimondii2_0_v6:1:55433125:55438995:1 gene:B456_001G276300 transcript:KJB11304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGAQHVGECSSSTSWSSHQDTEDDQMIAVVLSEEFSKLDGAVARRLSGLAPVPHVPHINSYIPSLHDASLDHQRLLERLHVYGLYEVKVSGDGNCQFRALSDQMYRSPEYHKHVRKDIVKQLKDNRNLYEGYVPMKYKRYCKKMAKSGEWGDHVTLQAPKKKHWLF >KJB11306 pep chromosome:Graimondii2_0_v6:1:55433932:55438764:1 gene:B456_001G276300 transcript:KJB11306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGAQHVGECSSSTSWSSHQDTEDDQMIAVVLSEEFSKLDGAVARRLSGLAPVPHVPHINSYIPSLHDASLDHQRLLERLHVYGLYEVKVSGDGNCQFRALSDQMYRSPEYHKHVRKDIVKQLKDNRNLYEGYVPMKYKRYCKKMAKSGEWGDHVTLQAASDKFAAKICLLTSFRDTCFIEIMPQSQPPKHELWLSFWSEVHYNSLYEIQGAPIQKPKKKHWLF >KJB11305 pep chromosome:Graimondii2_0_v6:1:55433095:55439130:1 gene:B456_001G276300 transcript:KJB11305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGAQHVGECSSSTSWSSHQDTEDDQMIAVVLSEEFSKLDGAVARRLSGLAPVPHVPHINSYIPSLHDASLDHQRLLERLHVYGLYEVKVSGDGNCQFRALSDQMYRSPEYHKHVRKDIVKQLKDNRNLYEGYVPMKYKRYCKKMAKSGEWGDHVTLQAASDKFAAKICLLTSFRDTCFIEIMPQSQPPKHELWLSFWSEVHYNSLYEIQEAEEETLVVLISRMLGRL >KJB11303 pep chromosome:Graimondii2_0_v6:1:55433125:55439059:1 gene:B456_001G276300 transcript:KJB11303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGAQHVGECSSSTSWSSHQDTEDDQMIAVVLSEEFSKLDGAVARRLSGLAPVPHVPHINSYIPSLHDASLDHQRLLERLHVYGLYEVKVSGDGNCQFRALSDQMYRSPEYHKHVRKDIVKQLKDNRNLYEGYVPMKYKRYCKKMAKSGEWGDHVTLQAASDKFAAKICLLTSFRDTCFIEIMPQSQPPKHELWLSFWSEVHYNSLYEIQGAPIQKPKKKHWLF >KJB07189 pep chromosome:Graimondii2_0_v6:1:581544:590216:-1 gene:B456_001G006500 transcript:KJB07189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEGGGEIPPKMVQSDTADFPSKKLARQLDFTAGFGGVSSGSVNLPEHPQSTQRIGVASPSAAVTVQQQQIKPPTVVAAAVPVVAAQHPPLTTASTRVVKPESPKAKPRPNELKDGTPKKQKQCNCKHSRCLKLYCECFASGTYCDGCNCVNCYNNVDNEAARRDAIEATLERNPNAFRPKIASSPHGARDSREEAGEVLMLAKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHANNMAYIQQAANAAITGAIGSSGYASTPVSKKRKVQDLFFGSTAKDPSVHRLGNFPQPHHIRASAPASSLSSVPASRAGTTAAVGPSKFTYRSLLADIIQKQDLKELCSVLVVLSGEVAKTLSDQRILTDKRAEDHAETSIASSTQDRLQNQKDSDAEKTTADDCSSANQADKAGPEESSSDGADMPKGRPMSPGTLALMCDEQDTMFMASASPNRIMGHGCSTSSQLPYEQGMTEIYAEQERIVLTKFRDCLNRLITFGEIKETQCSSLARTEIGSQRVHPSNGTETVRTETRNQQGSTTNGVAKNASPPTVKTSPMTASVITASNNDLPRGPSHPENGDAKSKSEKTDVKTINAADNRPKLQRHQSEHRQSHGAYRGLLLYYLLASKPRL >KJB07190 pep chromosome:Graimondii2_0_v6:1:583096:590216:-1 gene:B456_001G006500 transcript:KJB07190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEGGGEIPPKMVQSDTADFPSKKLARQLDFTAGFGGVSSGSVNLPEHPQSTQRIGVASPSAAVTVQQQQIKPPTVVAAAVPVVAAQHPPLTTASTRVVKPESPKAKPRPNELKDGTPKKQKQCNCKHSRCLKLYCECFASGTYCDGCNCVNCYNNVDNEAARRDAIEATLERNPNAFRPKIASSPHGARDSREEAGEVLMLAKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHANNMAYIQQAANAAITGAIGSSGYASTPVSKKRKVQDLFFGSTAKDPSVHRLGNFPQPHHIRASAPASSLSSVPASRAGTTAAVGPSKFTYRSLLADIIQKQDLKELCSVLVVLSGEVAKTLSDQRILTDKRAEDHAETSIASSTQDRLQNQKDSDAEKTTADDCSSANQADKAGPEESSSDGADMPKGRPMSPGTLALMCDEQDTMFMASASPNRIMGHGCSTSSQLPYEQGMTEIYAEQERIVLTKFRDCLNRLITFGEIKETQCSSLARTEIGSQRVHPSNGTETVRTETRNQQGSTTNGVAKNASPPTVKTSPMTASVITASNNDLPRGPSHPENGDAKSKSEKTDVKTINAADNRPKV >KJB11281 pep chromosome:Graimondii2_0_v6:1:52256452:52258608:-1 gene:B456_001G251200 transcript:KJB11281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHTELQKLTTKFETLRMHESETLGEFYAKLCTLSNHTFALGNEYSCLKVVRKVLKYLLECFSIKATAIEEANDIDTIKNYELIGSLQKLEMNLDESR >KJB08917 pep chromosome:Graimondii2_0_v6:1:13157260:13157805:-1 gene:B456_001G112700 transcript:KJB08917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDQPAPENPDKASSDEQGASPARSYDCTFCKRGFSNAQALGGHMNIHRRDKAKLKQPSPTHETTATTQQSNFDISKIIPSYSHTPSSHGKWRWVLQDDGADARTDKTSDHIVGSQIRQLPLFDEKPSKSDQNPRSQVQEGLEKGFSSTQPPLGSELDLELRLGPEPHDSSPPKTTKKFF >KJB09836 pep chromosome:Graimondii2_0_v6:1:24299842:24300069:-1 gene:B456_001G169900 transcript:KJB09836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYNILSLLQQMTMVSNVYKTQNQNGLISDHAIANLLVAGFTSQLKGWWDHALTKTQQEEILKVIKKDDQDRIF >KJB10024 pep chromosome:Graimondii2_0_v6:1:27684456:27684870:-1 gene:B456_001G180800 transcript:KJB10024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDRIFHYSSMIQSIISYLIPLNSIFEVAIGSILFNESIQSISYVPIGAILDLNQISDQSILIDCLHYVVASKYHYFWFWIFQIIPAGDPDPFFSDPSIKRFIFFIKNRR >KJB06661 pep chromosome:Graimondii2_0_v6:1:10407576:10410665:1 gene:B456_001G093500 transcript:KJB06661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIIIFKQAQFLQFLCFFLILFPSLVQGDSNCTCEPETNDSNKNSLATRYKIVAIFSILVAGAIGVCVPLLGKTIDALRPEKDVFFVIKAFAAGVILSTGFIHVLPDATDKLTCHCLDEHPWGKFPFAGLVAMASAIATLVVDVYATSHYTKSHFKNQPRQVDAGSGDDEKKTEERDDDQSYVHVHNHGTSHGSVSMVEPSASSELVRRRVISQVLELGIVVHSVIIGISLGASKSPKTIKPLVTAFSFHQFFEGMGLGGCISQAQFKLGSVAIMALFFSLTTPFGIGIGIIISKGYDDSNPEALIVEGVFNAASAGILIYTALVDLLAADFMSPKLQSNSILQAGAIVSLLLGAAFMSVMAYWA >KJB08413 pep chromosome:Graimondii2_0_v6:1:8533596:8535077:-1 gene:B456_001G080700 transcript:KJB08413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRATENSFLPLDIGSFREESKAVIDFIADYYKNIEKYPVQSKVEPGYLSSSLPDSAPYSPDSLEDVLNDVNDSILPGLTHWQSPSFFAYYQASSSTAGFLGEMLCSAFNVVGFNWISSPAATELESIVLDWMAKMLKLPSSFLFSGTGGGVIHGTTCEAVVCTLAAARDKALKQLGGSWDNITKLVVYASDQTHFTFQKAAKLVGIPPSNFRFIQTSFATEFAMPPQLLRVAIENDIQSGLVPLYICATIGTTACGAVDPIAELGKVANEYNLWLHIDAAHAGSACICPEFRHFLDGVELASSLSMNPHKWFLTNMDCCCLWLKEPRFLIDSLSSNPEYLENNATKSNVVVDYKDWQVTLSRRFRALKLWLVIRRHGVENLMRHIRSDVELAKQFEALVAKDERFEIVVPRRIVLVFFRLKPKHGVDGRELNRKLLDAINSSGRAFMTQGVVAGIFAIRCVVGATLTQEHHLKDLWSLIQEKARLVLLQCTQ >KJB09768 pep chromosome:Graimondii2_0_v6:1:23454154:23454893:-1 gene:B456_001G163500 transcript:KJB09768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTRSKHSAVDGQTLPKRRPQTKDGQAPVPRVIVFPILTTFAFPAEIPSLQVGPLGHPRPWLFRESRSCVVELVARQSTGWVCLSFQFRVSSWIVLAAHRREMQWPFSPWSIGWSVQGQKLEHIDSARSRPALQGLSLGVVSTPYCRAAKGPDPHKRGGSRLNISDIGGNFARIRSPILVHPG >KJB11000 pep chromosome:Graimondii2_0_v6:1:47356714:47360580:-1 gene:B456_001G235700 transcript:KJB11000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRYQDIDPEDPSPIPISSTAAPPKVRSPVTLPDSRSNRADGPKTSEFAFFNKLKKDAGQRFDSHSMQRIKNQPHKWNSGEFYRAVERTRTVRNISKSSEGTKITINCPSPAGKASSNELGSRLFVEKVNSKDSRSPLPVNNVTLINFDSFPSPVDRAVNNSGSHADEAELFSRKREKLLQWAHNSFPEIEELGSKGCDVISVLLSRLFPWSNEKNGYRSAESAPLESNTKAELFACPKSDIPPKKIYRLPERKIMEIQDTPSYLENATPSYWSDISRETAISDIDSTTYNYHSALQKNLELPTCKLREKNLTSCIDNDSTFGFPFVRHGFFLPFISSNEPDDLHDPNGSLPAKPHLALLQWHPDVNERSLSATCPDTNWTLIPAVQSSWNHQQSLNNWRESFGTLGLCSSPVLGNYPQYFYTLVSPTSTSYEKHEFGRSILETDEEIIADLQHLPLTLSHSSNCFNLISDCNHFEVASQGSESSGFLPSPENHLGFMSNALVEENNTTDFGNHLSFALNVQWKSYNQVV >KJB10999 pep chromosome:Graimondii2_0_v6:1:47356708:47360580:-1 gene:B456_001G235700 transcript:KJB10999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRYQDIDPEDPSPIPISSTAAPPKVRSPVTLPDSRSNRADGPKTSEFAFFNKLKKDAGQRFDSHSMQRIKNQPHKWNSGEFYRAVERTRTVRNISKSSEGTKITINCPSPAGKASSNELGSRLFVEKVNSKDSRSPLPVNNVTLINFDSFPSPVDRAVNNSGSHADEAELFSRKREKLLQWAHNSFPEIEELGSKGCDVISVLLSRLFPWSNEKNGYRSAESAPLESNTKAELFACPKSDIPPKKIYRLPERKIMEIQDTPSYLENATPSYWSDISRETAISDIDSTTYNYHSALQKNLELPTCKLREKNLTSCIDNDSTFGFPFVRHGFFLPFISSNEPDDLHDPNGSLPAKPHLALLQWHPDVNERSLSATCPDTNWTLIPAVQSSWNHQQSLNNWRESFGTLGLCSSPVLGNYPQYFYTLVSPTSTSYEKHEFGRSILETDEEIIADLQHLPLTLSHSSNCFNLISDCNHFEVASQGSESSGFLPSPENHLGFMSNALVEENNTTDFGNHLSFALNVQWKSYNQVV >KJB08186 pep chromosome:Graimondii2_0_v6:1:7046522:7048536:1 gene:B456_001G069800 transcript:KJB08186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSEGLILRGTMRAHTDMVTAIATPIDNSDMIVTSSRDKSIILWHLTKDEKTYGVPRRRLTGHSHFVQDVVLSSDSQFALSGSWDGELRLWDLSAGTSARRFVGHTKDVLSVAFSIDNRQIVSASRDRTIRLWNTLGECKYTIQEGDAHTDWVSCVRFSPNTVQPTIVSASWDKTVKVWNLTNCKIRNTLAGHSGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLDAGSVIHSLCFSPNRYWLCAATEQGIKIWDLESKSIVEDLKVDLKAEAEKSDVTDIGNKKKVIYCTSLNWSADGSTLFSGYTDGVIRVWGIGRY >KJB08245 pep chromosome:Graimondii2_0_v6:1:7500157:7501555:1 gene:B456_001G073600 transcript:KJB08245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEDVHGGNRSFFRSFDEEENGDEDLDEYFHQPEKKRRLTVDQVQFLEKSFEVENKLEPERKTQLAKELGLQPRQVAIWFQNRRARWKTKQLEKDYDTLQASFNTLKDDYGNLLKEKDKLKQEVLQLTDKLVMKEKNNSELSDVNTVCQEPPQKPVDSDSPHSSYPFEPDQSDTSQDEEDNLSKALFQPSSYIFPKLEDNDYSDPPASSCSYGFHVEDHAFWSSAY >KJB08244 pep chromosome:Graimondii2_0_v6:1:7500077:7501695:1 gene:B456_001G073600 transcript:KJB08244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRVFSCNNTNSVGGSNNLSVLLQSQRVPSSSEPMDPLFIPRPGSSPYSFFVSGTRSMVSFEDVHGGNRSFFRSFDEEENGDEDLDEYFHQPEKKRRLTVDQVQFLEKSFEVENKLEPERKTQLAKELGLQPRQVAIWFQNRRARWKTKQLEKDYDTLQASFNTLKDDYGNLLKEKDKLKQEVLQLTDKLVMKEKNNSELSDVNTVCQEPPQKPVDSDSPHSSYPFEPDQSDTSQDEEDNLSKALFQPSSYIFPKLEDNDYSDPPASSCSYGFHVEDHAFWSSAY >KJB08247 pep chromosome:Graimondii2_0_v6:1:7500134:7501670:1 gene:B456_001G073600 transcript:KJB08247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRVFSCNNTNSVGGSNNLSVLLQSQRVPSSSEPMDPLFIPRPGSSPYSFFGTRSMVSFEDVHGGNRSFFRSFDEEENGDEDLDEYFHQPEKKRRLTVDQVQFLEKSFEVENKLEPERKTQLAKELGLQPRQVAIWFQNRRARWKTKQLEKDYDTLQASFNTLKDDYGNLLKEKDKLKQEVLQLTDKLVMKEKNNSELSDVNTVCQEPPQKPVDSDSPHSSYPFEPDQSDTSQDEEDNLSKALFQPSSYIFPKLEDNDYSDPPASSCSYGFHVEDHAFWSSAY >KJB08246 pep chromosome:Graimondii2_0_v6:1:7500134:7501670:1 gene:B456_001G073600 transcript:KJB08246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRVFSCNNTNSVGGSNNLSVLLQSQRVPSSSEPMDPLFIPRPGSSPYSFFVSGTRSMVSFEDVHGGNRSFFRSFDEEENGDEDLDEYFHQPEKKRRLTVDQVQFLEKSFEVENKLEPERKTQLAKELGLQPRQVAIWFQNRRARWKTKQLEKDYDTLQASFNTLKDDYGNLLKEKDKLKQEVLTFESLIEFKPLYGFGLILILVYQVLQLTDKLVMKEKNNSELSDVNTVCQEPPQKPVDSDSPHSSYPFEPDQSDTSQDEEDNLSKALFQPSSYIFPKLEDNDYSDPPASSCSYGFHVEDHAFWSSAY >KJB11309 pep chromosome:Graimondii2_0_v6:1:52409386:52410000:1 gene:B456_001G252800 transcript:KJB11309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIYQRLDRRRRFPAAVSGMSSFSSSISPKKRLGWTYWVMKKKKRVKHRQSVATTPSMALRRKRFAQEVKSYRGVRKRPWGKFAAEIRDSTRRGSRVWLAAFSMRGPLATLNFPIEAVKASLKELKYRCDEDKGWSPVVDLKNRYSLRKRSKNKKIKPKDAALRKQQNLLVFEDLGADYLEQLSISSCE >KJB11312 pep chromosome:Graimondii2_0_v6:1:52434410:52435370:1 gene:B456_001G253100 transcript:KJB11312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESWLFQYSNNPELSRFGSAEQFSSGCEWDELLLNFNNPDETVWSDLLGDEEERRKASSESNNTSIDGGVKEEEVCSEEPKKVKSYRGVRKRPWGKFAAEIRDSTRNGARVWLGTFDSAEAAALAYDQAAFSMRGPLATLNFPIETVKESLQELKHRCDEDKGCSPLVALKNRYSLRKRSKNKKIKPNAAALRQQQNLLVFEDLGPDFLEQLLSSCE >KJB07356 pep chromosome:Graimondii2_0_v6:1:10025729:10026294:1 gene:B456_001G091200 transcript:KJB07356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISNQVGSLCDKIGSKESMGKGLSLQILLTSFMILLNTIPSEPKSVSELKRYFHSLSNVTVIADHGEFEFLHSSYVERMLANAADSFVTPNTLIASKAAVDKCGRGNPYRSCLPPSNANPPKSETCSTYKRGKLCP >KJB11325 pep chromosome:Graimondii2_0_v6:1:52492655:52503231:-1 gene:B456_001G253800 transcript:KJB11325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 1 [Source:Projected from Arabidopsis thaliana (AT3G54670) UniProtKB/Swiss-Prot;Acc:Q6Q1P4] MPSLTSPGKILRLELENFKSYKGLQTIGPFSDFTAIIGPNGAGKSNLMDAISFVLGVRTGQLRGGQLRDLIYAFDDREKEQRGRRAFVRLVYQLAGGSELCFTRSITSTGGSEYRIDSSVVNVEEYNGKLRSLGILVKARNFLVFQGDVESIASKNPKELTGLLEQISGSESVKNKYEELEELKARAEEKSALIYQRKRTIVMERKQKKEQKEEAEKHFRLQDELKSLKKEHYLWQLHNIEKDIDKITDELDSEKKNREDVMHELEHFEAEAAKKKKEQAKYLKEIAQCEKRISERSIRVDKSQPELLKLNEEMARINSKIKSNRKELERKKEERRKHGDDIKELQKGIQDLTAKLEALNEKSRDGTGKLPLLDSQLTEYFQIKEDAGMKTAKLRDEKELLDRQQHTDIEAQKNLEENLQQLRNREQELEAQEDQMRTRLKKILDTSAKQKDELAELKKELREMQDRHQKSRSKHENLKSKIAEIENQLRELKADRHENERDARLSQAVETLKRLFQGVHGRMTDLCRPTQKKYNLAVTVAMGRFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIIERLRTLGGTAKLIFDVIQYPFLKLENEQPYAYAFCALNIHKFDPALEKAVLFAVGNTLVCDDLEEAKVLSWTGERFKVVTVDGILLSKSGTMTGGTSGGMEARSNKWDDKKIEGLKKKKEQFESELEELGSIREMQLKESETSGRISGLEKKIQYADIEKKSIEDKLKNLKQEKKNIKDRIGHITPEIQKLKDVSDKRSKDIMKLEKRINEIVDRLFKSFSQSVGVANIREYEENQLKAAQNMAEERLSLSNQLAKLKYQLEYERKRDVDSRIKKLESSISSLENDLKLVHKKEAEVKLATEKASEDINRWKEEVKEWKSKSEDCEKEIQEWKKQASAATTSISKLNRQINSKETQINQLDEWKGEIIEKCDLEHIELPLIADPMETESSNGKEFDFSQLNRSLLQDRRPSDREKLEAEFKQKIDALVSEIERTAPNLKALDQYKTLQEKERDVTEEFELARKEEKQVADEYNSVKQKRYELFMDAFNHISSNIDRIYKQLTKSGTHPLGGTAYLNLENEDDPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSYKPSPFFILDEVDAALDNLNVAKVAGFIRSKSCDGARTTQDSEIGSGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYRES >KJB10652 pep chromosome:Graimondii2_0_v6:1:42776983:42778430:1 gene:B456_001G214000 transcript:KJB10652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAELVFLPAPGIGHLVSAVEVAKLLVDLNANLSISVLTIKQPLNYKATAYIDYLNAATITTTTTRIKFIDLHQSDADMDRLKFVGSLVQTHGPLVKEAVAKIVEHSNSVPGSPRLAGFVFDIFFTSFRDLANDFGVPSYLFCTSGAGFLGFLFFAQALHDEQNFELVELTDSDTEFTIPSHVNPVSTKFFPTVTFKPEGFGFLLSLAKGVREMKGIMVNTVSELESHAVDSLSNGSSGVHQNYDSIMQWLARQPRSSVVFLCFGSMGSFGADEVKEIACALEQSGHRFLWSLRRPPEKVNGIMGHPTDYENVAEVLPEGFLDRTAEIGKVIGWAPQVAILGHPATGGFVSHCGWNSTLESVWFGVPMAAWPLYAEQQMNAFTLVKELGLAVEIKMDYRKDGGGEVEIVKAETIERVIRRLMENDSDVRKRMKEMSDRSRKALMDGGSSHSSLCRFIDNVMDNMP >KJB08327 pep chromosome:Graimondii2_0_v6:1:7985306:7987011:1 gene:B456_001G077100 transcript:KJB08327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNQRPKSLLDSLGEEIIRILTPVSICMLLVVLLVSILNSSSSLSVTSSIATIAYSETGSDSSWDKFIGALLNSLVFVVVVTVATFILVLFFYLRCTKFLKIYMGFSSFVVLGFMGGEIALFLIEEFSVPVDCITFLVLLFNFAVIGVLAVFMSKMPIIVTQGYLVLIGMLVAYWFTLLPEWTTWVLLVAMALYDLAAVLLPVGPLRLLVELAMSRDEDIPALVYEARPVTHHDSASRPVQRRIWRERQNVRLDSEDSSSLNSDLNSTVSIVQSSHNGARIARVEEGQVSERDAELSAPLIDRRMDAQDGMSTESLMLEGMGLGSSGAIKLGLGDFIFYSVLVGRAAMYDFMTVYACYLAIVAGLGITLVLLALYQKALPALPVSIALGVLFYFLTRFLLEVFIVQCSLNLLMF >KJB06548 pep chromosome:Graimondii2_0_v6:1:134802:141246:1 gene:B456_001G001200 transcript:KJB06548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGPKFTGLMGGTNNNDNNYYDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVENSSVGSNDSLTHILSHPGLKPVKRSNYSVSVGQSVFRPGKVGHALNDDALAQALMDGRYQTEVLQNYDEWAIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENSPEKAQVMEQQFQQELMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSEIMIRCWDTNPEVRPPFTEIVKMLENAETEILTTVRKARFRCCMTQPMTID >KJB06551 pep chromosome:Graimondii2_0_v6:1:134961:141246:1 gene:B456_001G001200 transcript:KJB06551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGPKFTGLMGGTNNNDNNYYDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVENSSVGSNDSLTHILSHPGLKPVKRSNYSVSVGQSVFRPGKVGHALNDDALAQALMDGRYQTEVLQNYDEWAIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENSPEKAQVMEQQFQQELMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPRDDTT >KJB06550 pep chromosome:Graimondii2_0_v6:1:134961:141246:1 gene:B456_001G001200 transcript:KJB06550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGPKFTGLMGGTNNNDNNYYDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVENSSVGSNDSLTHILSHPGLKPVKRSNYSVSVGQSVFRPGKVGHALNDDALAQALMDGRYQTEVLQNYDEWAIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENSPEKAQVMEQQFQQELMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPT >KJB06547 pep chromosome:Graimondii2_0_v6:1:134959:141246:1 gene:B456_001G001200 transcript:KJB06547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGPKFTGLMGGTNNNDNNYYDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVENSSVGSNDSLTHILSHPGLKPVKRSNYSVSVGQSVFRPGKVGHALNDDALAQALMDGRYQTEVLQNYDEWAIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENSPEKAQVMEQQFQQELMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSEIMIRCWDTNPEVRPPFTEIVKMLENAETEILTTVRKARFRCCMTQPMTID >KJB06549 pep chromosome:Graimondii2_0_v6:1:134961:137172:1 gene:B456_001G001200 transcript:KJB06549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGPKFTGLMGGTNNNDNNYYDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVENSSVGSNDSLTHILSHPGLKPVKRSNYSVSVGQSVFRPGKVGHALNDDALAQALMDGRYQTEVLQNYDEWAIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENSPEKAQVMEQQFQQELMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAP >KJB10667 pep chromosome:Graimondii2_0_v6:1:43051568:43054628:1 gene:B456_001G215000 transcript:KJB10667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREAASPPSFPPIRNPCINLLQIYRLVRQLRSLEIGEQCLDLLSKNRHSCNLLAFFLWSSPGSMRLLLLVITSAYRPLVSNRLSERVVRRTCNAIGLFQTLASHPDTKMSFIRASMPEYLYAFLKTRSRERNYERLRFASLVVIGSLVEVDNPEVVDYLLATEMFPCCLCCMEIGTTLSKTVATFIIYRILLNEKGLNYLLSMPERYLVVTHCLENMVEILDVEDEEYLPHLLKNIIGCYLRISENERLANST >KJB08794 pep chromosome:Graimondii2_0_v6:1:11604137:11605207:1 gene:B456_001G104200 transcript:KJB08794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSPGCPVSHQHRPMILYPHHHHTILCPAHHNHHHTKSCPLHHHHHYTISCPLHHHHHHHHHHLITHHSHVIPTLAPLVSTTAPNETHHSGNPALQEQEYEQLQQVEEEDDEEDEPIFVLTDEWREFFAKSEAKRKLGNNFFSSFFI >KJB08793 pep chromosome:Graimondii2_0_v6:1:11604074:11605207:1 gene:B456_001G104200 transcript:KJB08793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSPGCPVSHQHRPMILYPHHHHTILCPAHHNHHHTKSCPLHHHHHYTISCPLHHHHHHHHHHLITHHSHVIPTLAPLVSTTAPNETHHSGNPALQEQEYEQLQQVEEEDDEEDEPIFVLTDEWREFFAKSEAKRKLEKKQAKKKQKN >KJB09766 pep chromosome:Graimondii2_0_v6:1:23436620:23437729:1 gene:B456_001G163300 transcript:KJB09766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNDFGVWEIFLPNNQKGSLRITHVSRVKIRKDTPSPLGSKTRFQLGLSSLYRLLAKFHIREYTTIHQKRFCILFKHPTRLKSP >KJB10833 pep chromosome:Graimondii2_0_v6:1:46364627:46364881:1 gene:B456_001G2275001 transcript:KJB10833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPKGSPLVQDISSAIMRLREEEKLQLMENKWFRSTKSIFTDQDTRSNPSRLNLHSFGGLFLVTGISSTSALLLCLFQKTRAIII >KJB11430 pep chromosome:Graimondii2_0_v6:1:53301205:53306932:1 gene:B456_001G258600 transcript:KJB11430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSYLGSNTRRGGGWAQSLLPSSSPTVKSTLKVHPTRKSRKRTVLINFLLTNFFTIALSLSFIFFILTLFFFGIPKPISSHLQPPSSTRRLTTRKPVTRKQPWLNPNQTGAAVDITTKDLYDKIEFLDKPGGAWTQGWKVSYKGDEWDSEKLKIFVVPHSHNDPGWKFTVEEYYQRQSRLILDTVVHTLSKDRRRKFIWEEMSYLERWWRDASEDKRETFTNLVKNGQLEIVGGGWVMNDEANSHYFAIIEQITEGNMWLNDTIGFVPKNSWAIDPFGYSPTMAYLFRRMGFENMLIQRTHYELKKELAWNKNLEYVWRQSWDANETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARSHGFSYELCPWGQYPVETNPENVQERALKLLDQYRKKSTLYRTNTLLVPLGDDFRYVTAEEAEAQFRNYQMIFDYINSTPSLNAEAKFGTLDDYFQTLREEADRINYSVPREIGSGQISGFPSLSGDFFTYADRQKDYWSGYYVSRPFFKAVDRVLEQTLRASEMLMAFLLGHCQRPQCEKLPMRYAYKLTAARRNLALFQHHDGVTGTAKDHVVLDYGTRMHTSLQDLQIFMSKAIEVLLGIRQEKYDQTPALFDPEQVRSKYDALPMHRAISARKGTVQSVVLFNPLEQTREEVVMVVVSRPDVSVLDSNWTCVQSQVSPELQHDESKIFTGRHRIHWKASIPAMGLQTYYIANGFAGCEKAKPAKLKFSSKLSSGPCLAPYACSKVEGDTVEIVNRHQVLTFDVKHGLLQKVIHKNGLQNVVVEEIALYSSSGGAYLFLPDGDAQPIIKSGGNLVISEGPLMQEVYSYPKTSWEKTPVSHSTRIYNGGNTVQDFLIEKEYHVELLGKDFNDRELIVRYKTDTNNKRIFYSDLNGFQMSRRETYDKIPLQGNYYPMPSLAFMQGSNGQRFSVHSRQALGAASLKEGWLEIMLDRRLVRDDGRGLGQGVMDNHVMNVVFHILMESNISSTSDPVSNPLPLSPSLLSHRVNAQLNYPLHAFIAKKPQEISAPTHSRSFSPLAAPLPCDLHIVSFKVPRPSKYSQQQQQLVDPRLVLMLHRRNWDSSYCKKARSQCTAVADESVNLFNMFKDLTVLNARATSLNLLHDDTEMLGYTEQFGDVARDGRVIIPPMEIQAYKFELRPRQ >KJB11431 pep chromosome:Graimondii2_0_v6:1:53302385:53306892:1 gene:B456_001G258600 transcript:KJB11431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRKFIWEEMSYLERWWRDASEDKRETFTNLVKNGQLEIVGGGWVMNDEANSHYFAIIEQITEGNMWLNDTIGFVPKNSWAIDPFGYSPTMAYLFRRMGFENMLIQRTHYELKKELAWNKNLEYVWRQSWDANETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARSHGFSYELCPWGQYPVETNPENVQERALKLLDQYRKKSTLYRTNTLLVPLGDDFRYVTAEEAEAQFRNYQMIFDYINSTPSLNAEAKFGTLDDYFQTLREEADRINYSVPREIGSGQISGFPSLSGDFFTYADRQKDYWSGYYVSRPFFKAVDRVLEQTLRASEMLMAFLLGHCQRPQCEKLPMRYAYKLTAARRNLALFQHHDGVTGTAKDHVVLDYGTRMHTSLQDLQIFMSKAIEVLLGIRQEKYDQTPALFDPEQVRSKYDALPMHRAISARKGTVQSVVLFNPLEQTREEVVMVVVSRPDVSVLDSNWTCVQSQVSPELQHDESKIFTGRHRIHWKASIPAMGLQTYYIANGFAGCEKAKPAKLKFSSKLSSGPCLAPYACSKVEGDTVEIVNRHQVLTFDVKHGLLQKVIHKNGLQNVVVEEIALYSSSGGAYLFLPDGDAQPIIKSGGNLVISEGPLMQEVYSYPKTSWEKTPVSHSTRIYNGGNTVQDFLIEKEYHVELLGKDFNDRELIVRYKTDTNNKRIFYSDLNGFQMSRRETYDKIPLQGNYYPMPSLAFMQGSNGQRFSVHSRQALGAASLKEGWLEIMLDRRLVRDDGRGLGQGVMDNHVMNVVFHILMESNISSTSDPVSNPLPLSPSLLSHRVNAQLNYPLHAFIAKKPQEISAPTHSRSFSPLAAPLPCDLHIVSFKVPRPSKYSQQQQQLVDPRLVLMLHRRNWDSSYCKKARSQCTAVADESVNLFNMFKDLTVLNARATSLNLLHDDTEMLGYTEQFGDVARDGRVIIPPMEIQAYKFELRPRQ >KJB07809 pep chromosome:Graimondii2_0_v6:1:4433993:4435637:-1 gene:B456_001G046600 transcript:KJB07809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRSNEVENVMEDDSDVMDDVSEEEFESESDSEEEDVKLAEPSKNSIYNRDGLIEKLEDISWPENVEWMHKLSLDIDQEKEVDVNDDLARELAFYTQALEGTRLAFEKFESMKLPFLRPPDYYAEMVKTDAHMQKVKGRLLSQKRQIEEAEERRKAREAKKIAKEVQAEKMKERAKQKKHEIEAVKKWRKQRQQSGFPAGGKDSELDLGFEDGKAFERSSKKRPGVSPGDRSGGKAKQHGGGKGKNMKNREIRNSKFGFGGRKGLKKQNTAETTNDSRGFNKGNAAGNKKRKR >KJB06674 pep chromosome:Graimondii2_0_v6:1:2379991:2381563:1 gene:B456_001G025600 transcript:KJB06674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKYIKKVMALAGSLPNQITPLLSLFFKFSLIIILPHLVESNLYFKKMGIPSEMRDVWVQRRAKFFIIPSPAEDQKKLRAQQSSQEGIRAGLKAAAITGVFTAVPTFVRLLGQRQTSTILLKHLS >KJB06673 pep chromosome:Graimondii2_0_v6:1:2379946:2381642:1 gene:B456_001G025600 transcript:KJB06673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKYIKKVMALAGSLPNQITPLLSLFFKFSLIIILPHLVESNLYFKKMGIPSEMRDVWVQRRAKFFIIPSPAEDQKKLRAQQSSQEGIRAGLKAAAITGVFTAVPTLIAVRKVAWAKANLNHTAQALIISGASIAAYFITVDKTVLESARKNSRAQFDNKIA >KJB06675 pep chromosome:Graimondii2_0_v6:1:2379991:2381563:1 gene:B456_001G025600 transcript:KJB06675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKYIKKVMALAGSLPNQITPLLSLFFKFSLIIILPHLVESNLYFKKMGIPSEMRDVWVQRRAKFFIIPSPAEDQKKLRAQQSSQEGIRAGLKAAAITGVFTAVPTLIAVRKVAWAKANLNHTAQALIISGGIF >KJB09612 pep chromosome:Graimondii2_0_v6:1:21346302:21348592:-1 gene:B456_001G152600 transcript:KJB09612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEMNGGGVGNEEEYAPEKIDYVFKVVVIGDSAVGKSQILSRFAKNEFCLDSKSTIGVEFQTRTVTIKTKVIKAQIWDTAGQERYRAVTSAYYRGALGSMLVYDISKRQSFDSLARWVEELRANADNSIVIMLVGNKADLVDQRAVPTEDAVEFAKEQGLFFAETSALSGDNVDKAFFKLLEEIYGAMCKKSLESANGAEHLTALKGSKIDVIAGSDFETSEMKKLSTCSC >KJB09611 pep chromosome:Graimondii2_0_v6:1:21346594:21348471:-1 gene:B456_001G152600 transcript:KJB09611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEMNGGGVGNEEEYAPEKIDYVFKVVVIGDSAVGKSQILSRFAKNEFCLDSKSTIGVEFQTRTVTIKTKVIKAQIWDTAGQERYRAVTSAYYRGALGSMLVYDISKRQSFDSLARWVEELRANADNSIVIMLVGNKADLVDQRAVPTEDAVEFAKEQGLFFAETSALSGDNVDKAFFKLLEEIYGAMCKKSLESANGAEHLTALKGSKIDVIAGSDFETSEMKKLSTCSC >KJB06527 pep chromosome:Graimondii2_0_v6:1:49089129:49089840:1 gene:B456_001G246400 transcript:KJB06527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQLMGLAHAKQKLQRTLSGKIGNAALATSNVPKGHIAVYVGEGNRKRFVIPVSYLNQPLFQDLLNRAEEEFGFNHPMGGLTIPC >KJB06590 pep chromosome:Graimondii2_0_v6:1:32241748:32243111:-1 gene:B456_001G192000 transcript:KJB06590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAIQQSAFVGQTALKQSNELLRKVGAFDGGRVTMRRTVKSAPTSIWYGPDRPKYLGPFSDQIPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWACQVVLMGFVEGYRVGGGPLGEGLDPIYPGGAFDPLGLADDPDAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPIENLLDHLADPVANNAWAYATNFVPGK >KJB06589 pep chromosome:Graimondii2_0_v6:1:32241063:32243168:-1 gene:B456_001G192000 transcript:KJB06589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAIQQSAFVGQTALKQSNELLRKVGAFDGGRVTMRRTVKSAPTSIWYGPDRPKYLGPFSDQIPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWACQVVLMGFVEGYRVGGGPLGEGLDPIYPGGAFDPLGLADDPDAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPIENLLDHLADPVANNAWAYATNFVPGK >KJB10739 pep chromosome:Graimondii2_0_v6:1:44639227:44643641:1 gene:B456_001G220100 transcript:KJB10739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENGKLFIGGISWDTNEERLKEYFSSFGEVVEAVIMKDRTTGRARGFGFIVFSDPAVAEKVIKEKHNIDGRMVEAKKAVPRDDQNIMSRSTSSIHSSPGPGRTRKIFVGGLASTVTESDFKKYFDQFGKITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLLKNFHELNGKMVEVKRAVPKELSPGPTRSPLGVYNYGLNRSNSFLNGYTQGYTPSNLGGYGLRMDGRFSPVAAGRTGFPPFGSGYGMGMNFEPGLNPGFGNSTNFNNYGRGLSPYYIGNTNRFGSPIGYDGSNGGGGNTSFFSSVTRNLWGNGGLNYNANAASSSAYAGSGSGSIGTSAFGNSGINWSSSQISSQGGRNNVSGNNVNFSYGSGDNSFGLGTAGHERNTGTNVVLTSSYAASNGSYDGAFADFYGGASFYRDTTWPSSTSEHDGSGSFGYGLGSATSDVPGKSSPGYVGSYSVNKGQSNRGIAT >KJB10738 pep chromosome:Graimondii2_0_v6:1:44639110:44643716:1 gene:B456_001G220100 transcript:KJB10738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENGKLFIGGISWDTNEERLKEYFSSFGEVVEAVIMKDRTTGRARGFGFIVFSDPAVAEKVIKEKHNIDGRMVEAKKAVPRDDQNIMSRSTSSIHSSPGPGRTRKIFVGGLASTVTESDFKKYFDQFGKITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLLKNFHELNGKMVEVKRAVPKELSPGPTRSPLGVYNYGLNRSNSFLNGYTQGYTPSNLGGYGLRMDGRFSPVAAGRTGFPPFGSGYGMGMNFEPGLNPGFGNSTNFNNYGRGLSPYYIGNTNRFGSPIGYDGSNGGGGNTSFFSSVTRNLWGNGGLNYNANAASSSAYAGSGSGSIGTSAFGNSGINWSSSQISSQGGRNNVSGNNVNFSYGSGDNSFGLGTAGHERNTGTNVVLTSSYAASNGSYDGAFADFYGGASFYRDTTWPSSTSEHDGSGSFGYGLGSATSDVPGKSSPGYVGSYSVNKGQSNRGIAT >KJB07568 pep chromosome:Graimondii2_0_v6:1:2822707:2825777:-1 gene:B456_001G030200 transcript:KJB07568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVPKFIILLYIFLSLGLNPSRAQTWIQAGYWYSGTEFPIADINSSLFTHLICAFANINASSYQLSVSSSDDQYFSTFTNTVKQKNPSVTTLLSIGGGSANRSVIVSMVSNSSHRKSFIDSSIKTARLYGFQGLDFSWVSANTSSDMSNMAALFQEWRAAIDSETGQSKLILTAAVPYSQYSQSSTYPIDSLRTNLNWLHVNAFDFYMPTWENLTRAHAALYDPTSNFNADFGIESWITGGLPANKLVLGLPLYGYAWTLVNPRDNTIGAPASGPAISKTGEMTYKEIRNYIHSHGANSVYNATFVVNYCTVGTTWIGFDDVEVVKVKVSFAKERKLLGYFVWQVPNDDNWLLSQTAVDVNGENGTEKKGRLSLIIILVPVTGVLILIGALTYYIRRTKNKKKDAEYKAKNSKSKANLMTEAGDFNSNAPNLMVYRFSDIEVATNRFSFENKLGEGGYGPVYKGVLSDGREIAVKKLSKTSTQGFEEFKNEVMLTAKLQHVNLVRVLGFCIEREEHMLVYEFMPNKSLDYYLYDPIKRYMLDWEKRAEIIEGVTQGLLYLQEYSRLKIIHRDLKASNILLDEEMKPKISDFGMARIFSKDEVEANTHRIVGTYGYIPPEYVKKGLYSIKSDVYSFGVLLLQIISGRKTACLYGLHENLSLLEFAYGLWTQEKGMEFMDPTLDDTNSSCTLLKCMQIALLCVQENANDRPTMLEVSSMLRNETTPMANPKRPAFSERTNENDELKRSNLKPEICSIDDSPISEVVGR >KJB07569 pep chromosome:Graimondii2_0_v6:1:2822707:2825777:-1 gene:B456_001G030200 transcript:KJB07569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVPKFIILLYIFLSLGLNPSRAQTWIQAGYWYSGTEFPIADINSSLFTHLICAFANINASSYQLSVSSSDDQYFSTFTNTVKQKNPSVTTLLSIGGGSANRSVIVSMVSNSSHRKSFIDSSIKTARLYGFQGLDFSWVSANTSSDMSNMAALFQEWRAAIDSETGQSKLILTAAVPYSQYSQSSTYPIDSLRTNLNWLHVNAFDFYMPTWENLTRAHAALYDPTSNFNADFGIESWITGGLPANKLVLGLPLYGYAWTLVNPRDNTIGAPASGPAISKTGEMTYKEIRNYIHSHGANSVYNATFVVNYCTVGTTWIGFDDVEVVKVKVSFAKERKLLGYFVWQVPNDDNWLLSQTAVDVNGENGTEKKGRLSLIIILVPVTGVLILIGALTYYIRRTKNKKKDAEYKAKNSKSKANLMTEAGDFNSNAPNLMVYRFSDIEVATNRFSFENKLGEGGYGPVYKGVLSDGREIAVKKLSKTSTQGFEEFKNEVMLTAKLQHVNLVRVLGFCIEREEHMLVYEFMPNKSLDYYLYDPIKRYMLDWEKRAEIIEGVTQGLLYLQEYSRLKIIHRDLKASNILLDEEMKPKISDFGMARIFSKDEVEANTHRIVGT >KJB09764 pep chromosome:Graimondii2_0_v6:1:23420093:23420335:-1 gene:B456_001G163000 transcript:KJB09764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QFGSYLPLVLKGELRGANPSTRGLGWANLWCTGCYANSSAGQLSWYGRTAAPREILLYTSSQTRFLNRTSIGERCKHREV >KJB07934 pep chromosome:Graimondii2_0_v6:1:5162627:5165770:1 gene:B456_001G054000 transcript:KJB07934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRRRLQDSASESTSSIGAKLSRLASRQEEVKIAYHQLKSQIKIGLAEAEDVFSSLAIPLMKLVGLKTEEMAEEGRFTAIIVDADFSPGQEFHRNGLSLTPESPTAAGGEWNDQIYRKEENCAAKAIIAGKEFYEKQETQLLQLVHLLRQVENRVNSHQDDILQSLATQRGSLQNLFRKAVYYISAFHSQNHDIFLITQKLLQLIFYKTDAVLSSVEDNVQGLMQDLAERMCNPMVEYVKGLRADLKIGTCARLLDTVDEMERCMRNGRIELEEARKKVRVAEEGRIKALCKLKETEEKVRKMKQYHEFIAAIQNQHIEQLASSKFLGVEEANANDHNLVWELERKMRKFRTPGSPMGLKELVDYERKKKHHQSTRARSSLHHRPVIQNNVQALGPETPCVDARIPLGLSPSSAVQQVVSRKRINPYPFNP >KJB06358 pep chromosome:Graimondii2_0_v6:1:74056:76819:-1 gene:B456_001G000800 transcript:KJB06358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWVFGYGSLVWNPGFEYDEKVIGFIKDYKRGFDLACIDHRGTPENPARTCTLEHIEGAVCWGAAYCVRGSPERERAAMEYLERRECEYDQKNLVEFYKEADPLQPFLTGVIVFTSTPDKVSNKYYLGPAPLEEMAMQIATAVGPCGNNRDYLFLLEKALFDIGHEEDMVIELANEVRKVLATLGNGVSKEKQLVGSPLKMPLKSQTQSYIPTSQLLLLPKAVAMDS >KJB06360 pep chromosome:Graimondii2_0_v6:1:74056:77102:-1 gene:B456_001G000800 transcript:KJB06360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWVFGYGSLVWNPGFEYDEKVIGFIKDYKRGFDLACIDHRGTPENPARTCTLEHIEGAVCWGAAYCVRGSPERERAAMEYLERRECEYDQKNLVEFYKEADPLQPFLTGVIVFTSTPDKVSNKYYLGPAPLEEMAMQIATAVGPCGNNRDYLFLLEKALFDIGHEEDMVIELANEVRKVLATLGNGVSKEKQLVGSPLKMPLKSQTQSYIPTSQLLLLPKAVAMDS >KJB06359 pep chromosome:Graimondii2_0_v6:1:74056:76848:-1 gene:B456_001G000800 transcript:KJB06359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFTTMVLWVFGYGSLVWNPGFEYDEKVIGFIKDYKRGFDLACIDHRGTPENPARTCTLEHIEGAVCWGAAYCVRGSPERERAAMEYLERRECEYDQKNLVEFYKEADPLQPFLTGVIVFTSTPDKVSNKYYLGPAPLEEMAMQIATAVGPCGNNRDYLFLLEKALFDIGHEEDMVIELANEVRKVLATLGNGVSKEKQLVGSPLKMPLKSQTQSYIPTSQLLLLPKAVAMDS >KJB07980 pep chromosome:Graimondii2_0_v6:1:5757347:5761211:-1 gene:B456_001G058300 transcript:KJB07980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MEENPTVSPTTTPTNSGNKTTQELASEGLKHLEETIEAAFQILSSMNDELCNPALWSTNPTTNNTTTNTAGPNGSSLSNGVVLGNGDSSSDGGHHLEMGGIGGSGNGALDEARLRYKNSVAALRTVLTAIPNSQKAKAFETGSTATSPADEADIEKLEEEASNLRKELANKNLYIKRLIDQLRELITDVSTWQSPCSM >KJB07979 pep chromosome:Graimondii2_0_v6:1:5757329:5761296:-1 gene:B456_001G058300 transcript:KJB07979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MEENPTVSPTTTPTNSGNKTTQELASEGLKHLEETIEAAFQILSSMNDELCNPALWSTNPTTNNTTTNTAGPNGSSLSNGVVLGNGDSSSDGGHHLEMGGIGGSGNGALDEARLRYKNSVAALRTVLTAIPNSQKAKAFETGSTATSPADEADIEKLEEEASNLRKELANKNLYIKRLIDQLRELITDVSTWQSPCSM >KJB07982 pep chromosome:Graimondii2_0_v6:1:5757800:5761296:-1 gene:B456_001G058300 transcript:KJB07982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MEENPTVSPTTTPTNSGNKTTQELASEGLKHLEETIEAAFQILSSMNDELCNPALWSTNPTTNNTTTNTAGPNGSSLSNGVVLGNGDSSSDGGHHLEMGGIGGSGNGALDEARLRYKNSVAALRTVLTAIPNSQKAKAFETGSTATSPADEADIEKLEEEASNLRKELANKNLYIKRLIDQLRELITDVSTWQSPCSM >KJB07981 pep chromosome:Graimondii2_0_v6:1:5757800:5761211:-1 gene:B456_001G058300 transcript:KJB07981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MEENPTVSPTTTPTNSGNKTTQELASEGLKHLEETIEAAFQILSSMNDELCNPALWSTNPTTNNTTTNTAGPNGSSLSNGVVLGNGDSSSDGGHHLEMGGIGGSGNGALDEARLRYKNSVAALRTVLTAIPNSQKAKAFETGSTATSPADEADIEKLEEEASNLRKELANKNLYIKRLIDQLRELITDVSTWQSPCSM >KJB09862 pep chromosome:Graimondii2_0_v6:1:24530230:24530628:1 gene:B456_001G171100 transcript:KJB09862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKLVTSELQRTKTQIYRITCTLKTNLSDTFIFEQDQLNYYKKKRGWRRQKDKENPNVSNSLFTKK >KJB09951 pep chromosome:Graimondii2_0_v6:1:26483370:26484087:-1 gene:B456_001G177300 transcript:KJB09951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLVISPRIVGEEHYETAERVKQTLQRYKELQDIIAILGLDELSEEDRLTVSRARKIERFLSQPFFVAEVFTGSPGKYVELDGLPEQAFYLVGNIDEATAKATNLEMEKVKEIILSTNSGQIGVLPNHAPIATAVDIGILRIRLNDQWLTMALMGGFARIGNNEITILVNDAEKGSDIDPQEAQQALEIAETNLRKAKGKRQI >KJB06356 pep chromosome:Graimondii2_0_v6:1:53087:58470:-1 gene:B456_001G000700 transcript:KJB06356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSASELALEFMRKTMSTSTFSLSFFSDAAKALPLPDITIVDPTYSNSFHSKDHHHHYQDGRIHE >KJB06357 pep chromosome:Graimondii2_0_v6:1:56837:58470:-1 gene:B456_001G000700 transcript:KJB06357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSASELALEFMRKTMSTSTFSLSFFSDAAKALPLPDITIVDPTYSNSFHSKDHHHHYQDGRIHE >KJB09372 pep chromosome:Graimondii2_0_v6:1:18085958:18090550:-1 gene:B456_001G137500 transcript:KJB09372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLITKLPGQPHVNLRQFAGYIDVDENVVGRSLFYYFVEAEKDPMTQPLTIWLTGGPGCSSVGDGFGSVGPFIVTKDAHALQTIFFLGTKLLFSTIKCYSTSMSNLLFIDSPIGSGWSYSNTSSDYNNGDDSTNKILLSFMQKWYDKYPVFKSKDLYLAGSSFAGHFVLNLANALLDNNKQSKQSKFNLKGLVLGNPMLRKKLDDLARIDFFFSWEMINSSLYNEIKKECNVIDENNYFSNIKTTWRAKCKNLMYEANLAAFKTDAHNYSPQKLFDVFRAPSVENEQDLNLGKQVPKVSTEVDMCIPLRVQFYFNLPEVQKAFHGNRTNLSYRWKGCFTASFKYNEADKDLDMLPALQNLLQQSIPITIFSGDQDGIIPTMGTLQHLEKLAEELNINLTKEETWSFSNKYEFGDLLKFLTVKGGNHHVTSSRPSQAFSISKIFTINWMH >KJB07277 pep chromosome:Graimondii2_0_v6:1:1202233:1204475:-1 gene:B456_001G012500 transcript:KJB07277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISVLILIQVTLIEYKVLCSFCTLTLLFLSSQLRLLYFKILVFFVLTMWLKKRAFIDWGSFLFLQQGQHEELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGVAEAEGTTNDAAMDQKEDNAAEEKGVPDFWLTAMKNNDVLSDEITERDEGALKYLKDIKWHRIEEPKGFKLEFYFDPNPYFKNTLLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKILKKKPKKGSKNAKPITKTEDCESFFNFFNPPEVPDDDEDIDEDTAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELEIVDEDEDDDVDEDEDEDEDDDDDDEDDEESKTKKSSFAQKKSGRAQGDGQQGERPPDCKQQ >KJB07276 pep chromosome:Graimondii2_0_v6:1:1202057:1205144:-1 gene:B456_001G012500 transcript:KJB07276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEAINFNMSDLGDALNEEARAGLVNALKNKLQNLAREHTDMLESLSPNVRKRVEVLREIQGQHEELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGVAEAEGTTNDAAMDQKEDNAAEEKGVPDFWLTAMKNNDVLSDEITERDEGALKYLKDIKWHRIEEPKGFKLEFYFDPNPYFKNTLLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKILKKKPKKGSKNAKPITKTEDCESFFNFFNPPEVPDDDEDIDEDTAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELEIVDEDEDDDVDEDEDEDEDDDDDDEDDEESKTKKSSFAQKKSGRAQGDGQQGERPPDCKQQ >KJB10182 pep chromosome:Graimondii2_0_v6:1:30126162:30131261:1 gene:B456_001G188000 transcript:KJB10182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRRPLLLLLLIVTHQFLQFRIAFGSVNEEGQKQEEFYEELLLKPLPDRKLLTHFHFQSSAPPSTSNGRHHHLFPKAISQLVLKFQVKEMELSFTQGRWNYESWGGFDPIPSSNAKPPGVELWAVFDAPQHLIDALWKNLTHTLSGLFCASINFLESSTAYSAPEWSFPPASGKLRYGTLPREAVCTENLTPWLKLLPCRDKAGISMLLDRPSIYRGFYHSQRLHLTSTGSGSEGMDPGIILEQTLTVVLQPDSQRASLAHASEKHIQPSWSLSSIFGKQISGRCVLAETSSVYFLLDKGLVAELEHIHKENEKSEENVLISEKFWNNPSFELSAKPDRIFIEESSLLSKNASVLYKFEIEKYGESEPFDLGLFWKTPVVWLCQQAPLHANRFLMGSGNERGAIAISLKSTQSSEGFMGSNSNDERCELRVDVFQVVPWYVKVYFHSLQVFVDQQPKAVSDIIEKIHVSPSKDKVSPGVMELVLTLPCSVSSAVLTIEFDKGFLHIDEYPPDANQGFDIPSAIEKSPVMSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNALRRRVAEEERFLKDKAAKKMDRIPLLLSKLSAKLRGRHLEGPQAPPASSSFINSKSVLKVILIAGLAVYWQYYFS >KJB10181 pep chromosome:Graimondii2_0_v6:1:30126153:30131341:1 gene:B456_001G188000 transcript:KJB10181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRRPLLLLLLIVTHQFLQFRIAFGSVNEEGQKQEEFYEELLLKPLPDRKLLTHFHFQSSAPPSTSNGRHHHLFPKAISQLVLKFQVKEMELSFTQGRWNYESWGGFDPIPSSNAKPPGVELWAVFDAPQHLIDALWKNLTHTLSGLFCASINFLESSTAYSAPEWSFPPASGKLRYGTLPREAVCTENLTPWLKLLPCRDKAGISMLLDRPSIYRGFYHSQRLHLTSTGSGSEGMDPGIILEQTLTVVLQPDSQRASLAHASEKHIQPSWSLSSIFGKQISGRCVLAETSSVYFLLDKGLVAELEHIHKENEKSEENVLISEKFWNNPSFELSAKPDRIFIEESSLLSKNASVLYKFEIEKYGESEPFDLGLFWKTPVVWLCQQAPLHANRFLMGSGNERGAIAISLKSTQSSEGFMGSNSNDERCELRVDVFQVVPWYVKVYFHSLQVFVDQQPKAVSDIIEKIHVSPSKDKVSPGVMELVLTLPCSVSSAVLTIEFDKGFLHIDEYPPDANQGFDIPSAIVSFPNFHARMVFLENDSLNKSPLLSKFQEKSPVMSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNALRRRVAEEERFLKDKAAKKMDRIPLLLSKLSAKLRGRHLEGPQAPPASSSFINSKSVLKVILIAGLAVYWQYYFS >KJB10180 pep chromosome:Graimondii2_0_v6:1:30126162:30131226:1 gene:B456_001G188000 transcript:KJB10180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRRPLLLLLLIVTHQFLQFRIAFGSVNEEGQKQEEFYEELLLKPLPDRKLLTHFHFQSSAPPSTSNGRHHHLFPKAISQLVLKFQVKEMELSFTQGRWNYESWGGFDPIPSSNAKPPGVELWAVFDAPQHLIDALWKNLTHTLSGLFCASINFLESSTAYSAPEWSFPPASGKLRYGTLPREAVCTENLTPWLKLLPCRDKAGISMLLDRPSIYRGFYHSQRLHLTSTGSGSEGMDPGIILEQTLTVVLQPDSQRASLAHASEKHIQPSWSLSSIFGKQISGRCVLAETSSVYFLLDKGLVAELEHIHKENEKSEENVLISEKFWNNPSFELSAKPDRIFIEESSLLSKNASVLYKFEIEKYGESEPFDLGLFWKTPVVWLCQQAPLHANRFLMGSGNERGAIAISLKSTQSSEGFMGSNSNDERCELRVDVFQVVPWYVKVYFHSLQVFVDQQPKAVSDIIEKIHVSPSKDKVSPGVMELVLTLPCSVSSAVLTIEFDKGFLHIDEYPPDANQGFDIPSAIVSFPNFHARMVFLENDSLNKSPLLSKFQEKSPVMSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNALRRRVAEEERFLKDKAAKKMDRIPLLLSKLSAKLRGRHLEGPQAPPASSSFINSKSVLKVILIAGLAVYWQYYFS >KJB07052 pep chromosome:Graimondii2_0_v6:1:7234415:7239977:1 gene:B456_001G071400 transcript:KJB07052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAAFSLPSPSSHPYLKPRNFASNPTFYPIRGVSKGRDFSDSTNAISIPSFPKRSWYLSSSSPLPLRAWTSAPSLSKPSPLQLRATAAENAGEAGKSGSLLKTLELGLLFGLWYIFNIYFNIYNKQVLKAFHYPITVTAIQFAVGTILVTIMWTFNLYKRPKINGAQLAAILPLAVVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGELPTLWVVASLVPIVGGVALASVTEASFNWAGFWTAMASNLTNQSRNVLSKKVMVNKEESMDNITLFSIITIMSLILLAPVAIFMEGVKFTPAYLQSAGLNVKEVVVRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVLFFKTPVSPINSLGTGIALAGVFLYSRVKRIKPKTKAA >KJB07054 pep chromosome:Graimondii2_0_v6:1:7234459:7238654:1 gene:B456_001G071400 transcript:KJB07054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAAFSLPSPSSHPYLKPRNFASNPTFYPIRGVSKGRDFSDSTNAISIPSFPKRSWYLSSSSPLPLRAWTSAPSLSKPSPLQLRATAAENAGEAGKSGSLLKTLELGLLFGLWYIFNIYFNIYNKQVLKAFHYPITVTAIQFAVGTILVTIMWTFNLYKRPKINGAQLAAILPLAVVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGELPTLWVVASLVPIVGGVALASVTEASFNWAGFWTAMASNLTNQSRNVLSKKVMESMDNITLFSIITIMSLILLAPVAIFMEGVKFTPAYLQSAGLNVKEVVVRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVLFFKTPVSPINSLGTGIALAGVFLYSRVKRIKPKTKAA >KJB07053 pep chromosome:Graimondii2_0_v6:1:7234333:7238668:1 gene:B456_001G071400 transcript:KJB07053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAAFSLPSPSSHPYLKPRNFASNPTFYPIRGVSKGRDFSDSTNAISIPSFPKRSWYLSSSSPLPLRAWTSAPSLSKPSPLQLRATAAENAGEAGKSGSLLKTLELGLLFGLWYIFNIYFNIYNKQVLKAFHYPITVTAIQFAVGTILVTIMWTFNLYKRPKINGAQLAAILPLAVVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGELPTLWVVASLVPIVGGVALASVTEASFNWAGFWTAMASNLTNQSRNVLSKKVMVNKEESMDNITLFSIITIMSLILLAPVAIFMEGVKFTPAYLQSAGLNVKEVVVRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVLFFKTPVSPINSLGTGIALAGVFLYSRVKRIKPKTKAA >KJB10012 pep chromosome:Graimondii2_0_v6:1:27661584:27665222:1 gene:B456_001G180300 transcript:KJB10012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQLVFFATFSRFLVLLQSQQSLQWTFITCDGATRAVSQIDLSYGNLSGSIARFNFTSFSNLTLFNLNSNNIDGSIPVAIGTLLKLVVLDLSNNSFQRNMPGEIGNLTELQYLSLFNNSLNGTIPFQVSNLQKLRLSGSIPESLYTNLSHLKYLSLYGNSFEGPLSSNISKLSKLIVLQLKTNRFNGSIPNSLGLSDNFFFSEISPSLISNWTNLISLQLQSNFFTRKIPPKIGLLIKLRILFLYDNKLLGSIPSEIGNLNSLNTLDLSRNQLSGPIPQTIWSLSNLEALQLFYNNLSGTIPLEVGNMKSLKSLDINTNIFHGELPNTISNLTNLNAFSVFTNRFSGKIPQNFVWGQCRNLTKLQIERDKISSGIPAELGKLAQLDVLNLGANELTGDIPLELGGLSLLFNLNLSQNHLKGRIPQTVGNLVMLEYLDLSRNKFIGRISEKVENCKKLLRLNLSQNKLSSEIPRELGSIPSSGVFHNATWNAFFGNLGLYEDIEGLTPCNSSAKKRKSNSKKVFNAIIFPIYGILILAAIVVRVDGKFTFGDIEKSTKGFADKYCIGKRGFGCVYRAVLASGQVVAVKKLNLSSFDDIQETNQRSFENEIHMLTEARHQNIIKLYGYCSRGGRIYLVYECVERGSLGRWGTRLKIVQGLAHAVTYLHHDCSPPIIHRDKSLNNILLEGEYELRLSYFGTARLLNPNSSHWTTVVGSYGYIALELALTMRVTTKCDVFSFGVLLNSLSSITLLSNNTELLLKDLLDQRLPPPTDQIAEEVVSVVTIGLSCIRFLSTRTLACLDQPLGTITIDKLISFQK >KJB09844 pep chromosome:Graimondii2_0_v6:1:24430398:24431904:-1 gene:B456_001G170400 transcript:KJB09844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMFIIRSFLLQFISAIIGIKNSVIVSICFTLATQLHLDHLNMTDTMQRYAVVTGANKGIGLEICKQLARNGTIVILTARDEKRGVEALQSLKHSGLSDRLAFHQLDVTKPKSIASLADFVKEQFGKLDILVNNAGISGVTFSVASGTEIQEYSSIWSKATETYELAEECLKTNYYGAKRTTEALIPLLQISESPRIVNISSSTVMLKDMVGEQLKGVLTGFTTEEKLSDLISEYLKDFKQGLLESKGWPTCLSAYTVSKVAMNAYTRILAKKHPNFCINCVCPGFVKTDINYNTGHSTPEEGAAIPVKLALWPNGGAPSGLFFVQGEPIPFE >KJB09845 pep chromosome:Graimondii2_0_v6:1:24430424:24431904:-1 gene:B456_001G170400 transcript:KJB09845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMFIIRSFLLQFISAIIGIKNSVIVSICFTLATQLHLDHLNMTDTMQRYAVVTGANKGIGLEICKQLARNGTIVILTARDEKRGVEALQSLKHSGLSDRLAFHQLDVTKPKSIASLADFVKEQFGKLDILVNNAGISGVTFSVASGTEEYSSIWSKATETYELAEECLKTNYYGAKRTTEALIPLLQISESPRIVNISSSTVMLKDMVGEQLKGVLTGFTTEEKLSDLISEYLKDFKQGLLESKGWPTCLSAYTVSKVAMNAYTRILAKKHPNFCINCVCPGFVKTDINYNTGHSTPEEGAAIPVKLALWPNGGAPSGLFFVQGEPIPFE >KJB06215 pep chromosome:Graimondii2_0_v6:1:26757575:26759373:1 gene:B456_001G178100 transcript:KJB06215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIRETDSSNSENVSLINVSNNGGTSLPSSSSSPTSSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPVPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEVNPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPAQQIPTLPLPPPPPTGAS >KJB08030 pep chromosome:Graimondii2_0_v6:1:5934999:5939410:1 gene:B456_001G059900 transcript:KJB08030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVNYGCEVVCFTADVGQGIKELDGLEAKAKASGACQLVVKDLKEEFVKDYIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAREVGADAVSHGCTGKGNDQVRFELTFFALNPELNVVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVDPKDAPDKPQYVKIGIESGIPVSLDGKTYSPAELLATLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTILFNAVRELESLTLDRETIQVKDSLALKYAELVYAGRWFDPLRESMDAFMEKITETTTGSVTLKLYKGSVSVTGRTSAYSLYREDISSFESGDIYNQADAAGFIRLYGLPMRVRAMLNKGI >KJB08028 pep chromosome:Graimondii2_0_v6:1:5934743:5939425:1 gene:B456_001G059900 transcript:KJB08028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFKAISLSSSINLACYGPKRNTLLHSDNLICSRKLSTFHELSGKSSSLHGDAIVSNNVCMTLTPKNQGIQAVLSGNSGTDVSTVTKGGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGIKELDGLEAKAKASGACQLVVKDLKEEFVKDYIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAREVGADAVSHGCTGKGNDQVRFELTFFALNPELNVVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVDPKDAPDKPQYVKIGIESGIPVSLDGKTYSPAELLATLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTILFNAVRELESLTLDRETIQVKDSLALKYAELVYAGRWFDPLRESMDAFMEKITETTTGSVTLKLYKGSVSVTGRTSAYSLYREDISSFESGDIYNQADAAGFIRLYGLPMRVRAMLNKGI >KJB08029 pep chromosome:Graimondii2_0_v6:1:5934784:5939410:1 gene:B456_001G059900 transcript:KJB08029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFKAISLSSSINLACYGPKRSNSSLHFQFSDYRFIFHTLLHSDNLICSRKLSTFHELSGKSSSLHGDAIVSNNVCMTLTPKNQGIQAVLSGNSGTDVSTVTKGGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGIKELDGLEAKAKASGACQLVVKDLKEEFVKDYIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAREVGADAVSHGCTGKGNDQVRFELTFFALNPELNVVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVDPKDAPDKPQYVKIGIESGIPVSLDGKTYSPAELLATLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTILFNAVRELESLTLDRETIQVKDSLALKYAELVYAGRWFDPLRESMDAFMEKITETTTGSVTLKLYKGSVSVTGRTSAYSLYREDISSFESGDIYNQADAAGFIRLYGLPMRVRAMLNKGI >KJB08899 pep chromosome:Graimondii2_0_v6:1:13034924:13035469:-1 gene:B456_001G111800 transcript:KJB08899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTLANLWRPHGGVMISNLGDKLLLFRFYYELDFDRLQNGHFLVEGSPWTFNGHLLVFHRLPKGEDSMEVLLVFTDFWV >KJB08199 pep chromosome:Graimondii2_0_v6:1:7181384:7184143:-1 gene:B456_001G070900 transcript:KJB08199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVCLTNSCWSALGTWKKPLSFSVNFNSNFSKRRVIKAFFFDPNQVPILNQAVKEPVAFMGGMFAGILRLDLNEEPLKEWVARTVEASNISEDDISEGLQEEEDTPQQIEID >KJB08200 pep chromosome:Graimondii2_0_v6:1:7181219:7184262:-1 gene:B456_001G070900 transcript:KJB08200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DKEKEIINQKKKKKKLFGPKGNMGVVCLTNSCWSALKPLSFSVNFNSNFSKRRVIKAFFFDPNQVPILNQAVKEPVAFMGGMFAGILRLDLNEEPLKEWVARTVEASNISEDDISEGLQEEEDTPQQIEID >KJB08549 pep chromosome:Graimondii2_0_v6:1:9568894:9572853:1 gene:B456_001G088500 transcript:KJB08549 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MRNRDKSKIQTQSSGTLARKSQEGVNKFLRRSSRLSSSGKKEQDGFSLENDLKTNDQEVVHTMDVCNASTVEGCHRDAIENSQAKEQEVVHSMDVCNASTVEDCHKDAIENSQAKEQEVVHSMDAHNASPGDDCHKDTLLDDSEEMNDSDWEDGPILKSDPVGRSPNERMKGLTIEFDEPSGSTGGRKPVRRASAEDKELAELVHKVHLLCLLARGRLIDNACDDSLIQASLLSLLPTHLLKISEVSNITANALTPLVTWFHDNFHVRNLARAERSFRTALATALETHEGTPEEIAALSVALFRALKFTARFVSILDVTSLKPKADTYEPSNQVAERVSGGIFSTSTLMVDNLKRASIAPSPVQTSPCNEKDDHGISSRKSKGGCSTSNDAQSRDSTSVKESTDGKSTCQVQPDTSRQCVPKNSQGLKRKGDLEFEMQLAMAISATSVETHENIHDSSDGNNSLEASIPMKRWKRIERVESASCFQGFSTALGSRKVGSPLFWAEVYCDGENLTGKWVHVDAVNAIIDGEQKVEDAAAACKTSLRYVVAFAGHGAKDVTRRYCMKWYKIAPKRVNSTWWDSILAPLRQLESGGTGGTIKVSEHPGENSSLDHVILPEKSGQEASKEYGSKIEVESSVKDSFVATRNSLEDMELETRALTEPLPTNQQAYKNHALYALERWLTKYQILHPKGPILGFCSGYPVYPRSCVQTLKTRERWLREGLQIKGTETPVKVLEQSTKLKKARVSKDVCDEIDSKETIELYGKWQLEPLLLPRAVNGIVPKVIANCYISVIGFLGCLDM >KJB08550 pep chromosome:Graimondii2_0_v6:1:9568871:9573775:1 gene:B456_001G088500 transcript:KJB08550 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MRNRDKSKIQTQSSGTLARKSQEGVNKFLRRSSRLSSSGKKEQDGFSLENDLKTNDQEVVHTMDVCNASTVEGCHRDAIENSQAKEQEVVHSMDVCNASTVEDCHKDAIENSQAKEQEVVHSMDAHNASPGDDCHKDTLLDDSEEMNDSDWEDGPILKSDPVGRSPNERMKGLTIEFDEPSGSTGGRKPVRRASAEDKELAELVHKVHLLCLLARGRLIDNACDDSLIQASLLSLLPTHLLKISEVSNITANALTPLVTWFHDNFHVRNLARAERSFRTALATALETHEGTPEEIAALSVALFRALKFTARFVSILDVTSLKPKADTYEPSNQVAERVSGGIFSTSTLMVDNLKRASIAPSPVQTSPCNEKDDHGISSRKSKGGCSTSNDAQSRDSTSVKESTDGKSTCQVQPDTSRQCVPKNSQGLKRKGDLEFEMQLAMAISATSVETHENIHDSSDGNNSLEASIPMKRWKRIERVESASCFQGFSTALGSRKVGSPLFWAEVYCDGENLTGKWVHVDAVNAIIDGEQKVEDAAAACKTSLRYVVAFAGHGAKDVTRRYCMKWYKIAPKRVNSTWWDSILAPLRQLESGGTGGTIKVSEHPGENSSLDHVILPEKSGQEASKEYGSKIEVESSVKDSFVATRNSLEDMELETRALTEPLPTNQQAYKNHALYALERWLTKYQILHPKGPILGFCSGYPVYPRSCVQTLKTRERWLREGLQIKGTETPVKVLEQSTKLKKARVSKDVCDEIDSKETIELYGKWQLEPLLLPRAVNGIVPKNERGQVDVWSEKCLPPGTVHIRLPRVFVVAKRLEIDYAPAMVGFEFRNGRAVPVYDGIVVCTEFKDAILEVTTSNLLNSKLDPQLKVLLAPFFS >KJB08548 pep chromosome:Graimondii2_0_v6:1:9568870:9573829:1 gene:B456_001G088500 transcript:KJB08548 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MRNRDKSKIQTQSSGTLARKSQEGVNKFLRRSSRLSSSGKKEQDGFSLENDLKTNDQEVVHTMDVCNASTVEGCHRDAIENSQAKEQEVVHSMDVCNASTVEDCHKDAIENSQAKEQEVVHSMDAHNASPGDDCHKDTLLDDSEEMNDSDWEDGPILKSDPVGRSPNERMKGLTIEFDEPSGSTGGRKPVRRASAEDKELAELVHKVHLLCLLARGRLIDNACDDSLIQASLLSLLPTHLLKISEVSNITANALTPLVTWFHDNFHVRNLARAERSFRTALATALETHEGTPEEIAALSVALFRALKFTARFVSILDVTSLKPKADTYEPSNQVAERVSGGIFSTSTLMVDNLKRASIAPSPVQTSPCNEKDDHGISSRKSKGGCSTSNDAQSRDSTSVKESTDGKSTCQVQPDTSRQCVPKNSQGLKRKGDLEFEMQLAMAISATSVETHENIHDSSDGNNSLEASIPMKRWKRIERVESASCFQGFSTALGSRKVGSPLFWAEVYCDGENLTGKWVHVDAVNAIIDGEQKVEDAAAACKTSLRYVVAFAGHGAKDVTRRYCMKWYKIAPKRVNSTWWDSILAPLRQLESGGTGGTIKVSEHPGENSSLDHVILPEKSGQEASKEYGSKIEVESSVKDSFVATRNSLEDMELETRALTEPLPTNQQAYKNHALYALERWLTKYQILHPKGPILGFCSGYPVYPRSCVQTLKTRERWLREGLQIKGTETPVKVLEQSTKLKKARVSKDVCDEIDSKETIELYGKWQLEPLLLPRAVNGIVPKNERGQVDVWSEKCLPPGTVHIRLPRVFVVAKRLEIDYAPAMVGFEFRNGRAVPVYDGIVVCTEFKDAILEAYAEEEERRAAEEKKRTEAQAISRWYQLLSSVITRQKLNSYYRDSSSSQPSRNIQDKNIETKTPVQSSNDNKQPTAHRKANNQDTTHTTSLVALEVGHEHVFLTKNESFDAENSIRTKRCECGFSIQVEEL >KJB09949 pep chromosome:Graimondii2_0_v6:1:26444283:26445987:1 gene:B456_001G177100 transcript:KJB09949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKMGLKKGPWTPEEDQILINYINLYGHGNWRALPKQAGLLRCGKSCRLRWTNYLRPDIKRGNFSREEEDTIINLHEMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLKPNHGSIENKRQPKSNVDSSKDIKKEQQEPMNFLSPAVNAADNRPASPPESSSEVSTVTTSENNSNMCMNKIETQEYVSEIDENFWSEVLSADNSSIASDLQVAGSDQQLHPQYLPSSPLPKLETINDYGSNLYDTDTNMDFWYNLFARVGDLSELPEI >KJB07393 pep chromosome:Graimondii2_0_v6:1:1836603:1843911:-1 gene:B456_001G019800 transcript:KJB07393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSVFHLEFVFLVSLFCLGFAFVDSEDGTTLLEIKKSFKDVDNVLYDWTDTPSSDYCVWRGVTCDNVTFNVVALNLSGLNLDGEISTAIGKLKDLVTIDLRGNRLSGQIPDEIGDCSSLKSLDLSFNELYGDIPFSISKLRQLEFLVLKNNQLIGPIPSTLSQIPNLKVLDLSQNKLSGEIPRLIYWNEVLQYFGLRGNNLVGTLSPDMCQLTGLWYFDVRNNSLTGNIPQNIGNCTAFQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNRLSGKIPSVIGLMQALAVLDLSCNKLSGPIPPILGNLTYTEKLYLHGNQLSGSIPPELGNMTKLHYLELNDNYLTGLIPPELGKLTELFDLNVANNHLEGPIPENLSSCTNLNSLNVHGNKLNGTIPPAFERLESMTYLNLSSNDIKGFIAIELSRIGNLDTLDISNNKISGSIPSSLGDLEHLLKLNLSRNNLTGVIPAEFGNLRSVLEIDLSNNHLSGIIPRELAQLQDLYSLRIENNNLSGDLMSLINCISLTNLNVSHNNLAGDIPTSNNFSRFSPDSFIGNPGLCGYWLSSTCHGSHPAERVSISKAAILGIALGALVILLMILIAACSPHNPTPFPDGSLDKPVTYSRPKLVILHMNMALHVYEDIMRVTENLSEKYIIGSGASSTVYKCVLKNCRPVAIKRLYSHYPQCLKEFETELETIGSIKHRNLVSLQGYSLSPSRNLLFYDYMENGSLWDLLHVSTKRKVLGWDIRLKIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDLEAHLTDFGIAKSLCISKSHTSTYVMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKPVDNESSLHHLILSKAANDAVMETTDPEITATCKDLGAVKKVFQLALLCTKRHPLDRPTMHEVTRVLGSLRPLEPPAKQPTTIPPAPLPSTKVTSYMDEYANLKTPHLVNCPSMSTSDAQLFINFGEVISRNSE >KJB07391 pep chromosome:Graimondii2_0_v6:1:1836580:1843580:-1 gene:B456_001G019800 transcript:KJB07391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSVFHLEFVFLVSLFCLGFAFVDSEDGTTLLEIKKSFKDVDNVLYDWTDTPSSDYCVWRGVTCDNVTFNVVALNLSGLNLDGEISTAIGKLKDLVTIDLRGNRLSGQIPDEIGDCSSLKSLDLSFNELYGDIPFSISKLRQLEFLVLKNNQLIGPIPSTLSQIPNLKVLDLSQNKLSGEIPRLIYWNEVLQYFGLRGNNLVGTLSPDMCQLTGLWYFDVRNNSLTGNIPQNIGNCTAFQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNRLSGKIPSVIGLMQALAVLDLSCNKLSGPIPPILGNLTYTEKLYLHGNQLSGSIPPELGNMTKLHYLELNDNYLTGLIPPELGKLTELFDLNVANNHLEGPIPENLSSCTNLNSLNVHGNKLNGTIPPAFERLESMTYLNLSSNDIKGFIAIELSRIGNLDTLDISNNKISGSIPSSLGDLEHLLKLNLSRNNLTGVIPAEFGNLRSVLEIDLSNNHLSGIIPRELAQLQDLYSLRIENNNLSGDLMSLINCISLTNLNVSHNNLAGDIPTSNNFSRFSPDSFIGNPGLCGYWLSSTCHGSHPAERVSISKAAILGIALGALVILLMILIAACSPHNPTPFPDGSLDKPVTYSRPKLVILHMNMALHVYEDIMRVTENLSEKYIIGSGASSTVYKCVLKNCRPVAIKRLYSHYPQCLKEFETELETIGSIKHRNLVSLQGYSLSPSRNLLFYDYMENGSLWDLLHVSTKRKVLGWDIRLKIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDLEAHLTDFGIAKSLCISKSHTSTYVMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKPVDNESSLHHLILSKAANDAVMETTDPEITATCKDLGAVKKVFQLALLCTKRHPLDRPTMHEVTRVLGSLRPLEPPAKQPTTIPPAPLPSTKVTSYMDEYANLKTPHLVNCPSMSTSDAQLFINFGEVISRNSE >KJB07392 pep chromosome:Graimondii2_0_v6:1:1836341:1843979:-1 gene:B456_001G019800 transcript:KJB07392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSVFHLEFVFLVSLFCLGFAFVDSEDGTTLLEIKKSFKDVDNVLYDWTDTPSSDYCVWRGVTCDNVTFNVVALNLSGLNLDGEISTAIGKLKDLVTIDLRGNRLSGQIPDEIGDCSSLKSLDLSFNELYGDIPFSISKLRQLEFLVLKNNQLIGPIPSTLSQIPNLKVLDLSQNKLSGEIPRLIYWNEVLQYFGLRGNNLVGTLSPDMCQLTGLWYFDVRNNSLTGNIPQNIGNCTAFQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNRLSGKIPSVIGLMQALAVLDLSCNKLSGPIPPILGNLTYTEKLYLHGNQLSGSIPPELGNMTKLHYLELNDNYLTGLIPPELGKLTELFDLNVANNHLEGPIPENLSSCTNLNSLNVHGNKLNGTIPPAFERLESMTYLNLSSNDIKGFIAIELSRIGNLDTLDISNNKISGSIPSSLGDLEHLLKLNLSRNNLTGVIPAEFGNLRSVLEIDLSNNHLSGIIPRELAQLQDLYSLRIENNNLSGDLMSLINCISLTNLNVSHNNLAGDIPTSNNFSRFSPDSFIGNPGLCGYWLSSTCHGSHPAERVSISKAAILGIALGALVILLMILIAACSPHNPTPFPDGSLDKPVTYSRPKLVILHMNMALHVYEDIMRVTENLSEKYIIGSGASSTVYKCVLKNCRPVAIKRLYSHYPQCLKEFETELETIGSIKHRNLVSLQGYSLSPSRNLLFYDYMENGSLWDLLHVSTKRKVLGWDIRLKIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDLEAHLTDFGIAKSLCISKSHTSTYVMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKPVDNESSLHHLILSKAANDAVMETTDPEITATCKDLGAVKKVFQLALLCTKRHPLDRPTMHEVTRVLGSLRPLEPPAKQPTTIPPAPLPSTKVTSYMDEYANLKTPHLVNCPSMSTSDAQLFINFGEVISRNSE >KJB07736 pep chromosome:Graimondii2_0_v6:1:3856138:3859761:1 gene:B456_001G041900 transcript:KJB07736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKMSWTVADAVDYKGFPADRSKTGGWVPAALILGIEICERLSTMGIAVNLVTYLVGVMHLPSSTSANVVTDFMGTSFLLCLLGGFLADSFLGRYKTIAIFAAIQTLGTGALAIATKLPQLRPPPCHASASHTCKPANGFQMGILYVALYLIALGTGGLKSSVSGFGTDQFDDKDEKEKAQMTYFFNRFFFFISTGTLTAVTVLVYLQDEVGRSWSYGICSVSMFVAILIFLSGTKRYRYKKSIGSPIVQIFQVIVAAINKRKMELPYSVELLYEDTPEAQRIHHTDQFQFLDKAAIVAEGDFERNVVSAPNPWKLCSVTKVEEVKMMVGLLPVWATTIIFWTTYAQMITFSVEQASTMERSIGGFLIPAGSLTVFFVAAILITLAVYDRLIMPLWKKLKGKRGFTNLQRIAIGLVLSTLGMAVAALAEKKRLAVARTVGATTTTLPISVFMLIPQFFLVGAGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFVSSFLVSVVKKVTGSNDGQGWLADNINHGRLDCFYGLLAVLGVINFVLYLVFAVWYKPKNPKPALQMETIVNGSSAENKC >KJB08625 pep chromosome:Graimondii2_0_v6:1:10462353:10465764:-1 gene:B456_001G094400 transcript:KJB08625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIPKDLITQLQISLRKQANVPSYDPTDPSLPGLPLFHSTSDSPRRRCIHCKSRLLRGSDSILCIFCGKRPTETPPPPIKFQSTSGYRWFLHSLNLDGSENVGEPLDGDGKDKGSGEEFALSDILGLEIKWNDVEFKGFESGLRKSNTLNLAGFDVEDDFLVERKEGSASIPSEGTSAVKKGTGFTGSNVLESRGAVSGWQAEFQSDDHGAISTVSFDPVASSSKDISSDIDDAVVGQGTNLFDGKDKRNQTSSESKTTDWFQGDLKSNFTSGDQAQSSISNTLGERTINDDDDWNDFTGSTNAQGSSDQDADGLKSMNEKGGDSFSGLEAGSESTIFETHHEVSKSFDHFAGSSADLSTHMDSVFGTGDSFQGKPVDNTTSSHSSNWFQDDLWSNSTSKTVHHTEQFNKNVGNKDGEILANINSVTNDEDLFGAWNDFKSSSILNSSISSSKEHGIHTSSTEEKNSDPFSGWDTDFQSANSKNNRVGPIASDPFVGSSLGLSDHIDTVFASGNDLFDMKAKDSSNASNANSWFQDDLWSNSSSKLIHQAENLGATGDIMDSGKANSVHNSSSIDVNWFPDDQLLTGNKKAPDEKSIEETDNLFSDWSDFKSSTTKQDPLSDSSKQAVTIDDNNNNLSAWNDFTSSTDAKDPSSNSSKQTDLFSGAFSNQNCSTDIDIIWPEAPISARMADEDFSNATTGGSKAEEIEVLMSQMHDLSFMLETNLSVPTKVDECS >KJB08626 pep chromosome:Graimondii2_0_v6:1:10462118:10465784:-1 gene:B456_001G094400 transcript:KJB08626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIPKDLITQLQISLRKQANVPSYDPTDPSLPGLPLFHSTSDSPRRRCIHCKSRLLRGSDSILCIFCGKRPTETPPPPIKFQSTSGYRWFLHSLNLDGSENVGEPLDGDGKDKGSGEEFALSDILGLEIKWNDVEFKGFESGLRKSNTLNLAGFDVEDDFLVERKEGSASIPSEGTSAVKKGTGFTGSNVLESRGAVSGWQAEFQSDDHGAISTVSFDPVASSSKDISSDIDDAVVGQGTNLFDGKDKRNQTSSESKTTDWFQGDLKSNFTSGDQAQSSISNTLGERTINDDDDWNDFTGSTNAQGSSDQDADGLKSMNEKGSSADLSTHMDSVFGTGDSFQGKPVDNTTSSHSSNWFQDDLWSNSTSKTVHHTEQFNKNDDLWSNSSSKLIHQAENLGATGDIMDSGKANSVHNSSSIDVNWFPDDQLLTGNKKAPDEKSIEETDNLFSDWSDFKSSTTKQDPLSDSSKQAVTIDDNNNNLSAWNDFTSSTDAKDPSSNSSKQTDLFSGAFSNQNCSTDIDIIWPEAPISARMADEDFSNATTGGSKAEEIEVLMSQMHDLSFMLETNLSVPTKVDECS >KJB08624 pep chromosome:Graimondii2_0_v6:1:10462071:10465878:-1 gene:B456_001G094400 transcript:KJB08624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIPKDLITQLQISLRKQANVPSYDPTDPSLPGLPLFHSTSDSPRRRCIHCKSRLLRGSDSILCIFCGKRPTETPPPPIKFQSTSGYRWFLHSLNLDGSENVGEPLDGDGKDKGSGEEFALSDILGLEIKWNDVEFKGFESGLRKSNTLNLAGFDVEDDFLVERKEGSASIPSEGTSAVKKGTGFTGSNVLESRGAVSGWQAEFQSDDHGAISTVSFDPVASSSKDISSDIDDAVVGQGTNLFDGKDKRNQTSSESKTTDWFQGDLKSNFTSGDQAQSSISNTLGERTINDDDDWNDFTGSTNAQGSSDQDADGLKSMNEKGSSADLSTHMDSVFGTGDSFQGKPVDNTTSSHSSNWFQDDLWSNSTSKTVHHTEQFNKNVGNKDGEILANINSVTNDEDLFGAWNDFKSSSILNSSISSSKEHGIHTSSTEEKNSDPFSGWDTDFQSANSKNNRVGPIASDPFVGSSLGLSDHIDTVFASGNDLFDMKAKDSSNASNANSWFQDDLWSNSSSKLIHQAENLGATGDIMDSGKANSVHNSSSIDVNWFPDDQLLTGNKKAPDEKSIEETDNLFSDWSDFKSSTTKQDPLSDSSKQAVTIDDNNNNLSAWNDFTSSTDAKDPSSNSSKQTDLFSGAFSNQNCSTDIDIIWPEAPISARMADEDFSNATTGGSKAEEIEVLMSQMHDLSFMLETNLSVPTKVDECS >KJB06587 pep chromosome:Graimondii2_0_v6:1:166392:168271:-1 gene:B456_001G001800 transcript:KJB06587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQTPSLFCLLLFLLLTLSPSHAQLGVGYYHNSCPDVESIVKSAVKQKLEQTFVTAPATLRLFFHDCFVRGCDASVMLASSWNKSAEKDNPDNLSLAGDGFDTVMKAKAAVDSVPQCRNKVSCADILALATRDVIALTGGPSYAVELGRLDGRISTRASVRHHLPHPDFKLGKLKAMFASHGLTLTDLVALSGAHTIGFSHCSRFSKRIYEFKSKSRIDPTLNLPYARQLQQMCPENVDPRMAIEMDPSTPRIFDNMYYINLQQGKGLFTSDQSLFTNARSRNIVNLFASNNTAFEEAFVAAITKLGRIGVKTGKQGEIRNDCFVLNKIS >KJB08054 pep chromosome:Graimondii2_0_v6:1:6033532:6038654:1 gene:B456_001G060900 transcript:KJB08054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLGSYGYTAPSSKKINAYDVPQGVDIRGRFDEEFAKILTKDALQFVADLQREFRNHIKYAMECRKEAKRRYNEGALPGFDPATRYIREGKWTCAPFPPAVADRRVEITGPVERKMIINALNSGAKVFMADFEDALSPSWENLMRGQINLKDAVEGTITFNDKARNRVYKLNNEIAKLFVRPRGWHLPEAHIFIDGEPATGCLVDFGLYFYHNYATFRNTQGQGFGPFFYLPKMENSREAKIWNSVFEKAEKMAGIEKGSIRATVLIETLPAVFQMDEILYELRDHSVGLNCGRWDYIFSYVKTFQGHPDRLLPDRGQVGMTQHFMRSYSDLLIRTCHRRGVHAMGGMAAQIPIRDDPTANEAAFELVRKDKQREVKAGHDGTWAAHPGLIKTCMEVFTNNMGNTPNQIETVKRDDASNLTEDDLLQRPRGVRTMEGLRLNTRVGIQYLAAWLTGSGSVPLYNLMEDAATAEISRVQIWQWLKYGVELDGDGLGVRVNHVFGRVVEEEMARTEREVGKEKFKKGMYKEACKIFTRQCTASTLDDFLTLDAYNYIVIHHPKDVSSKL >KJB06771 pep chromosome:Graimondii2_0_v6:1:1070123:1074431:-1 gene:B456_001G011400 transcript:KJB06771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTEYQTCDKGEFLFPLTTLHDNLIITLQGADGNEITHAVVETKLVVEKGVWDNIFSLEGGWHVHMKLQFVLSEEEHQRIRVMREAALKKKHEELCKSGHGSPERASIGYSEVSGPVESLSRSGLLADEDTKFDRSYPIQKQKNTDTVPLELENVNTSKKQGPAVKAHSNIKKMISAFEGSLNQDVKPSAKPPPTISRTRKIGIANSHLNDVETEKMVPPKVDVDAATATEINEDGNNSEKLRPRSENSEDPQDSIGPFGQVIKVIIMVGFATLVLLTRKRTYR >KJB06772 pep chromosome:Graimondii2_0_v6:1:1069876:1074832:-1 gene:B456_001G011400 transcript:KJB06772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTIQVSVLDFKGLQSTSPSSQSVKVSMGKTEYQTCDKGEFLFPLTTLHDNLIITLQGADGNEITHAVVETKLVVEKGVWDNIFSLEGGWHVHMKLQFVLSEEEHQRIRVMREAALKKKHEELCKSGHGSPERASIGYSEVSVESLSRSGLLADEDTKFDRSYPIQKQKNTDTVPLELENVNTSKKQGPAVKAHSNIKKMISAFEGSLNQDVKPSAKPPPTISRTRKIGIANSHLNDVETEKMVPPKVDVDAATATEINEDGNNSEKLRPRSENSEDPQDSIGPFGQVIKVIIMVGFATLVLLTRKRTYR >KJB06774 pep chromosome:Graimondii2_0_v6:1:1072410:1074827:-1 gene:B456_001G011400 transcript:KJB06774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTIQVSVLDFKGLQSTSPSSQSVKVSMGKTEYQTCDKGEFLFPLTTLHDNLIITLQGADGNEITHAVVETKLVVEKGVWDNIFSLEGGWHVHMKLQFVLSEEEHQRIRVMREAALKKKHEELCKSGHGSPERASIGYSEVSGPVESLSRSGLLADEDTKFDRSYPIQKQKNTVKDCLSLQ >KJB06770 pep chromosome:Graimondii2_0_v6:1:1069876:1074832:-1 gene:B456_001G011400 transcript:KJB06770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTEYQTCDKGEFLFPLTTLHDNLIITLQGADGNEITHAVVETKLVVEKGVWDNIFSLEGGWHVHMKLQFVLSEEEHQRIRVMREAALKKKHEELCKSGHGSPERASIGYSEVSVESLSRSGLLADEDTKFDRSYPIQKQKNTDTVPLELENVNTSKKQGPAVKAHSNIKKMISAFEGSLNQDVKPSAKPPPTISRTRKIGIANSHLNDVETEKMVPPKVDVDAATATEINEDGNNSEKLRPRSENSEDPQDSIGPFGQVIKVIIMVGFATLVLLTRKRTYR >KJB06773 pep chromosome:Graimondii2_0_v6:1:1070123:1074710:-1 gene:B456_001G011400 transcript:KJB06773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTIQVSVLDFKGLQSTSPSSQSVKVSMGKTEYQTCDKGEFLFPLTTLHDNLIITLQGADGNEITHAVVETKLVVEKGVWDNIFSLEGGWHVHMKLQFVLSEEEHQRIRVMREAALKKKHEELCKSGHGSPERASIGYSEVSGPVESLSRSGLLADEDTKFDRSYPIQKQKNTDTVPLELENVNTSKKQGPAVKAHSNIKKMISAFEGSLNQDVKPSAKPPPTISRTRKIGIANSHLNDVETEKMVPPKVDVDAATATEINEDGNNSEKLRPRSENSEDPQDSIGPFGQVIKVIIMVGFATLVLLTRKRTYR >KJB10757 pep chromosome:Graimondii2_0_v6:1:45050696:45051546:1 gene:B456_001G221800 transcript:KJB10757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLALSSSLTTQIPLLPNSSSSASAFPCALTSHNTRAHKFRIHANLGEGEGELKPKGKKKFITREEEPEYWQTAGEREGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPVR >KJB10756 pep chromosome:Graimondii2_0_v6:1:45050666:45051546:1 gene:B456_001G221800 transcript:KJB10756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLALSSSLTTQIPLLPNSSSSASAFPCALTSHNTRAHKFRIHANLGEGEGELKPKGKKKFITREEEPEQYWQTAGEREGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPVR >KJB06761 pep chromosome:Graimondii2_0_v6:1:19148380:19149247:-1 gene:B456_001G141700 transcript:KJB06761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSHISCLLFFYLLLVSATSDLIQKSCYEASKGNPANIKLDFCVSAFEGNPKAKAAYGVADLVLVSTETAIANATAIGSKISKLLDNKRVGMFARNCLKDCSELYSLAGSSLEAGLDAFQAVDYGTANAEISAALDAPVTCEDQFKEKKGLVSPLTKENNNFRQLTAIPLAFMKMVQQ >KJB10594 pep chromosome:Graimondii2_0_v6:1:41612042:41613054:-1 gene:B456_001G209600 transcript:KJB10594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAVEVNGEKKGNRPGTHFTKDGWLKIMINVEKETCMAYSQRQLKNRWDALKKEWKAWKKIKGRDTSDKARAPSSGTLRSDFFEDVDNEIPEKNKEENLRNDVHILNDVHIDGNKKKRKTPEISTSHFKTGRKKSSKQIGEATRLSRQREKLCNAADNMSQATSSLTPIMDPYEVPEASPLFFFSLKLLLNKDKRIMFSSINPKIRALWLKTEMEDS >KJB10428 pep chromosome:Graimondii2_0_v6:1:37692209:37698906:1 gene:B456_001G200600 transcript:KJB10428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLLIEKKEWISKHEELNQELMEAKDALKREQAAHSIAINDVEKREENLMKALGVEKQCVLDLDKALRNMRAENAEIKFTADSKLSEANALIASVEEKSLEVETKLRAADAKLAEVSRKSSQIERKSQELESRENVLRRERLSFISEQEAHEITMSKQREDLWEWEKRLQDAEERLAKSQRYVNQREERANENDRLLKQKEKDLEEAQKKIDAANQTLKEKEDDINSRLTNLTLKEKEWGVVKEKLEMKEKELLVFEEKLNTREKAEIQKLMDDHNAILDEKKRKFDLEIDEKRKSLDADWKSKVIEVEKKEAEVKHMQEKVSKQEQALDNKLEKLKEKEKELELKVKTQKEREKTIKSQDKDLEIEKLQMVADKEELLSLKAEVEKIRTANEEKLQKIHEETDRLRVTEEERSEYLRLQLELKEEIEKCRLREELLLKEAEDLKQQKDNFEREWEELDEKRIKIEKELNSISQQKEKFEKQNLAEEERLKKEKQVTDDYIKRELEALEVAKETFAATMEHERSVIAAKAESERSQMLHDLELLKRKLEIDMQNRLEEMEKELGERKKSFEEEKERELDSINYLREVARREMEELKQERLKIEKERQEVNASKMHLEGQQIELRKDIDDLVELSKKLKDQREQLIKERNRFISFVEKLKSCKNCGEITSEFVLSDLRCLQEIENEEVFPLPTSADEYISGNVFGNLAASERQKDEMSAPVGSGSPVSGGTMSWLRKCTSKIFKFSPSKDSGPHAIAKLNMEALLSGQKDNLEGTSKTEHEPELSFAAATTSLDIHGVQSDSSRRNVDVGHDLSVDNQSNMESKEQEVHGDSQSSDLNRGKQVHKRGKPRAKRTRSVKAVVKDAEAIIGKTLESNELEHPDESRGESGLADGRATRNARKRNRAQTSQTQTADTEQDGDDSEGRSDSVVGGQRRKKHQKVVLAMPIPGEKRYNLRRLKIGVTVAKDTADHVNNSEAPVPAGENGDASENGGADFLQQSETALDAKDDDAGTTKKLDPHTALSEEVSGTPKGVGEYGDGNDYKSESRSEGLKGDGEDDGDEDEEDEVEHPGEVSIGKKLWNFFTT >KJB10426 pep chromosome:Graimondii2_0_v6:1:37690258:37698906:1 gene:B456_001G200600 transcript:KJB10426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPQRKVWSGRSLTPWKKVDGSVSDPNSNGVVVGKGKAAAFVESVTPDGNDLGSEDQEGVPEKVLRLENELFEYQYNMGLLLIEKKEWISKHEELNQELMEAKDALKREQAAHSIAINDVEKREENLMKALGVEKQCVLDLDKALRNMRAENAEIKFTADSKLSEANALIASVEEKSLEVETKLRAADAKLAEVSRKSSQIERKSQELESRENVLRRERLSFISEQEAHEITMSKQREDLWEWEKRLQDAEERLAKSQRYVNQREERANENDRLLKQKEKDLEEAQKKIDAANQTLKEKEDDINSRLTNLTLKEKEWGVVKEKLEMKEKELLVFEEKLNTREKAEIQKLMDDHNAILDEKKRKFDLEIDEKRKSLDADWKSKVIEVEKKEAEVKHMQEKVSKQEQALDNKLEKLKEKEKELELKVKTQKEREKTIKSQDKDLEIEKLQMVADKEELLSLKAEVEKIRTANEEKLQKIHEETDRLRVTEEERSEYLRLQLELKEEIEKCRLREELLLKEAEDLKQQKDNFEREWEELDEKRIKIEKELNSISQQKEKFEKQNLAEEERLKKEKQVTDDYIKRELEALEVAKETFAATMEHERSVIAAKAESERSQMLHDLELLKRKLEIDMQNRLEEMEKELGERKKSFEEEKERELDSINYLREVARREMEELKQERLKIEKERQEVNASKMHLEGQQIELRKDIDDLVELSKKLKDQREQLIKERNRFISFVEKLKSCKNCGEITSEFVLSDLRCLQEIENEEVFPLPTSADEYISGNVFGNLAASERQKDEMSAPVGSGSPVSGGTMSWLRKCTSKIFKFSPSKDSGPHAIAKLNMEALLSGQKDNLEGTSKTEHEPELSFAAATTSLDIHGVQSDSSRRNVDVGHDLSVDNQSNMESKEQEVHGDSQSSDLNRGKQVHKRGKPRAKRTRSVKAVVKDAEAIIGKTLESNELEHPDESRGESGLADGRATRNARKRNRAQTSQTQTADTEQDGDDSEGRSDSVVGGQRRKKHQKVVLAMPIPGEKRYNLRRLKIGVTVAKDTADHVNNSEAPVPAGENGDASENGGADFLQQSETALDAKDDDAGTTKKLDPHTALSEEVSGTPKGVGEYGDGNDYKSESRSEGLKGDGEDDGDEDEEDEVEHPGEVSIGKKLWNFFTT >KJB10429 pep chromosome:Graimondii2_0_v6:1:37692549:37698906:1 gene:B456_001G200600 transcript:KJB10429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAENAEIKFTADSKLSEANALIASVEEKSLEVETKLRAADAKLAEVSRKSSQIERKSQELESRENVLRRERLSFISEQEAHEITMSKQREDLWEWEKRLQDAEERLAKSQRYVNQREERANENDRLLKQKEKDLEEAQKKIDAANQTLKEKEDDINSRLTNLTLKEKEWGVVKEKLEMKEKELLVFEEKLNTREKAEIQKLMDDHNAILDEKKRKFDLEIDEKRKSLDADWKSKVIEVEKKEAEVKHMQEKVSKQEQALDNKLEKLKEKEKELELKVKTQKEREKTIKSQDKDLEIEKLQMVADKEELLSLKAEVEKIRTANEEKLQKIHEETDRLRVTEEERSEYLRLQLELKEEIEKCRLREELLLKEAEDLKQQKDNFEREWEELDEKRIKIEKELNSISQQKEKFEKQNLAEEERLKKEKQVTDDYIKRELEALEVAKETFAATMEHERSVIAAKAESERSQMLHDLELLKRKLEIDMQNRLEEMEKELGERKKSFEEEKERELDSINYLREVARREMEELKQERLKIEKERQEVNASKMHLEGQQIELRKDIDDLVELSKKLKDQREQLIKERNRFISFVEKLKSCKNCGEITSEFVLSDLRCLQEIENEEVFPLPTSADEYISGNVFGNLAASERQKDEMSAPVGSGSPVSGGTMSWLRKCTSKIFKFSPSKDSGPHAIAKLNMEALLSGQKDNLEGTSKTEHEPELSFAAATTSLDIHGVQSDSSRRNVDVGHDLSVDNQSNMESKEQEVHGDSQSSDLNRGKQVHKRGKPRAKRTRSVKAVVKDAEAIIGKTLESNELEHPDESRGESGLADGRATRNARKRNRAQTSQTQTADTEQDGDDSEGRSDSVVGGQRRKKHQKVVLAMPIPGEKRYNLRRLKIGVTVAKDTADHVNNSEAPVPAGENGDASENGGADFLQQSETALDAKDDDAGTTKKLDPHTALSEEVSGTPKGVGEYGDGNDYKSESRSEGLKGDGEDDGDEDEEDEVEHPGEVSIGKKLWNFFTT >KJB10427 pep chromosome:Graimondii2_0_v6:1:37690300:37698906:1 gene:B456_001G200600 transcript:KJB10427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPQRKVWSGRSLTPWKKVDGSVSDPNSNGVVVGKGKAAAFVESVTPDGNDLGSEDQEGVPEKVLRLENELFEYQYNMGLLLIEKKEWISKHEELNQELMEQCVLDLDKALRNMRAENAEIKFTADSKLSEANALIASVEEKSLEVETKLRAADAKLAEVSRKSSQIERKSQELESRENVLRRERLSFISEQEAHEITMSKQREDLWEWEKRLQDAEERLAKSQRYVNQREERANENDRLLKQKEKDLEEAQKKIDAANQTLKEKEDDINSRLTNLTLKEKEWGVVKEKLEMKEKELLVFEEKLNTREKAEIQKLMDDHNAILDEKKRKFDLEIDEKRKSLDADWKSKVIEVEKKEAEVKHMQEKVSKQEQALDNKLEKLKEKEKELELKVKTQKEREKTIKSQDKDLEIEKLQMVADKEELLSLKAEVEKIRTANEEKLQKIHEETDRLRVTEEERSEYLRLQLELKEEIEKCRLREELLLKEAEDLKQQKDNFEREWEELDEKRIKIEKELNSISQQKEKFEKQNLAEEERLKKEKQVTDDYIKRELEALEVAKETFAATMEHERSVIAAKAESERSQMLHDLELLKRKLEIDMQNRLEEMEKELGERKKSFEEEKERELDSINYLREVARREMEELKQERLKIEKERQEVNASKMHLEGQQIELRKDIDDLVELSKKLKDQREQLIKERNRFISFVEKLKSCKNCGEITSEFVLSDLRCLQEIENEEVFPLPTSADEYISGNVFGNLAASERQKDEMSAPVGSGSPVSGGTMSWLRKCTSKIFKFSPSKDSGPHAIAKLNMEALLSGQKDNLEGTSKTEHEPELSFAAATTSLDIHGVQSDSSRRNVDVGHDLSVDNQSNMESKEQEVHGDSQSSDLNRGKQVHKRGKPRAKRTRSVKAVVKDAEAIIGKTLESNELEHPDESRGESGLADGRATRNARKRNRAQTSQTQTADTEQDGDDSEGRSDSVVGGQRRKKHQKVVLAMPIPGEKRYNLRRLKIGVTVAKDTADHVNNSEAPVPAGENGDASENGGADFLQQSETALDAKDDDAGTTKKLDPHTALSEEVSGTPKGVGEYGDGNDYKSESRSEGLKGDGEDDGDEDEEDEVEHPGEVSIGKKLWNFFTT >KJB10430 pep chromosome:Graimondii2_0_v6:1:37693037:37698906:1 gene:B456_001G200600 transcript:KJB10430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSFKVLFFCLPKVYVTSHLKFIIIIIIRQEAHEITMSKQREDLWEWEKRLQDAEERLAKSQRYVNQREERANENDRLLKQKEKDLEEAQKKIDAANQTLKEKEDDINSRLTNLTLKEKEWGVVKEKLEMKEKELLVFEEKLNTREKAEIQKLMDDHNAILDEKKRKFDLEIDEKRKSLDADWKSKVIEVEKKEAEVKHMQEKVSKQEQALDNKLEKLKEKEKELELKVKTQKEREKTIKSQDKDLEIEKLQMVADKEELLSLKAEVEKIRTANEEKLQKIHEETDRLRVTEEERSEYLRLQLELKEEIEKCRLREELLLKEAEDLKQQKDNFEREWEELDEKRIKIEKELNSISQQKEKFEKQNLAEEERLKKEKQVTDDYIKRELEALEVAKETFAATMEHERSVIAAKAESERSQMLHDLELLKRKLEIDMQNRLEEMEKELGERKKSFEEEKERELDSINYLREVARREMEELKQERLKIEKERQEVNASKMHLEGQQIELRKDIDDLVELSKKLKDQREQLIKERNRFISFVEKLKSCKNCGEITSEFVLSDLRCLQEIENEEVFPLPTSADEYISGNVFGNLAASERQKDEMSAPVGSGSPVSGGTMSWLRKCTSKIFKFSPSKDSGPHAIAKLNMEALLSGQKDNLEGTSKTEHEPELSFAAATTSLDIHGVQSDSSRRNVDVGHDLSVDNQSNMESKEQEVHGDSQSSDLNRGKQVHKRGKPRAKRTRSVKAVVKDAEAIIGKTLESNELEHPDESRGESGLADGRATRNARKRNRAQTSQTQTADTEQDGDDSEGRSDSVVGGQRRKKHQKVVLAMPIPGEKRYNLRRLKIGVTVAKDTADHVNNSEAPVPAGENGDASENGGADFLQQSETALDAKDDDAGTTKKLDPHTALSEEVSGTPKGVGEYGDGNDYKSESRSEGLKGDGEDDGDEDEEDEVEHPGEVSIGKKLWNFFTT >KJB11758 pep chromosome:Graimondii2_0_v6:1:55333393:55334252:1 gene:B456_001G275800 transcript:KJB11758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAMACTIDFRRLDEGFGGKTYKRKRQESQIVADDDEAAPAAASMDVDDSCPPPAKRSAVPSSDDPNKPTFGQPTYDGVIAGKVSGRNWKQPRKQRASAKHVSIRRTSLEERERQKEIKRAYRERINELKEEIRQNKVEKRKKREEREKKKKENILRSGTKFQKITNPNTLKKIAKSKHKKLLQVVPDEFLHKNK >KJB06373 pep chromosome:Graimondii2_0_v6:1:14462031:14463538:-1 gene:B456_001G119300 transcript:KJB06373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSKNNRKEQEKNRKGKLSEKASSFHGKFPTVAPSDLRRPKTLPDLFSERTTSVLPDARPKLTKLLLNVTIQGSLGAVQVVLSPENTVGDLITAAVRQYSKEGRRPILPSTDASLLDLHYSQFSLESLERGEKLMALGSRNFFLCTKKAASKDGDNPTSSCSKEAEKVTKSVIIPWLKFMNF >KJB06372 pep chromosome:Graimondii2_0_v6:1:14462088:14463601:-1 gene:B456_001G119300 transcript:KJB06372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSKNNRKEQEKNRKGKLSEKASSFHGKFPTVAPSDLRRPKTLPDLFSERTTSVLPDARPKLTKLLLNVTIQGSLGAVQVVLSPENTVGDLITAAVRQYSKEGRRPILPSTDASLLDLHYSQFSLESLERGEKLMALGSRNFFLCTKKAASKDGDNPTSSCSKEAEKVTKSVIIPWLKFMNF >KJB06277 pep chromosome:Graimondii2_0_v6:1:19611278:19612028:-1 gene:B456_001G144300 transcript:KJB06277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLFTLNFVTPRATASLRDSTKGSPIKVPYLNQPWKRVLSPLESRRMQVRAAPDSLSEKVEQSIKEAQEACSDDPASGECVAAWDEVEELSAAASHARDRLKDNDPLENYCKDNPETDECKTYDN >KJB07191 pep chromosome:Graimondii2_0_v6:1:593026:596558:-1 gene:B456_001G006600 transcript:KJB07191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLPKSWSIHTRPEIIAKYQILERVGSGAYSDVYRARRLSDDLIVALKEVHDYQSAFREIEALQMLRNCPNVVVLHEYFWREDEDAVLVLEFLRTDLSAVIRDAKKKEGGVRVGEVKRWMLQILCGVDACHRNMIVHRDLKPGNLLVSDDGVLKLADFGQARILMESGFDGDNNQQVPVEQNIGYQEYVPPPLVTVPDAHSLPNQGCNNQAEEVTNREEYLRQLVELKAKRHAMDEADKETNTNDGYSSCLATGTISDIDDDPLKSSYSYEAEEGGDDRTGALTSCVGTRWFRAPELLYGSINYGLEVDLWSLGCIFSELLTLEPLFPGVSDIDQLGRIINVLGNLTEEVWPSCSKLPDYRTISFAKIENPVSLEACLPNRSPDEISIVKRLVCYNPANRATAMELLNDKYFNEEPLPLPVSELHVPLTKNDHDEDSPGGWNDYDGMGSDSDFDDFGPFNVKTTSSGFSIQFS >KJB06565 pep chromosome:Graimondii2_0_v6:1:55089661:55090619:1 gene:B456_001G273600 transcript:KJB06565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKNPHEPALTSKRKPDLTSHDHDQQTLPHKSPKLQTPDETIQTNEQKHALDPSADNDSSVPESRPQDEDPGIHSEEEDEDDDYEDDDDDDDDDAEQEENGSTTVDRKGKGILIEENDSDDEDDDEDDSSDGENESGGESDLSDDPLAEVDLDNILPSRTRRRSVHPGLHIAKDVRNKGEADDGDNSDS >KJB07079 pep chromosome:Graimondii2_0_v6:1:12748077:12750899:1 gene:B456_001G110100 transcript:KJB07079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLEKSVNPDVVNRHKQTPLMLAAMHGKTSCVKRLIEARANILMFDSLHGRTCLHYAAYYGHSDCLQAILSAAQSSPVAVSWGYARFVNIRDGRGATPLHLAARRKQPECVHILLYNGALVCASTGRYGFPGSTPLHLAARGGSLDCIRMLLAWGADRLQRDASGRISFVVALKHKHGACAALLNPSSAEPLVWPATLKFITELNEEAKSLLEQALMEANREREKNILKGTAYSLPSPSHSDSGLDDSVSEASDTEVCCICFEQLCTIEVQDCGHQMCAQCTVALCCHNKPNPVTASLTTLVCPFCRCTIVRLVVAKMKCYDNTNRFTGEDSTSKPRKSRKPRNFSEGSSSFKSLSAIGSFSKIGGRSSGRIAAENEWIDKT >KJB07081 pep chromosome:Graimondii2_0_v6:1:12748077:12750899:1 gene:B456_001G110100 transcript:KJB07081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCVASQENELFTAVQVGDFETVETLLEREPNLSHHTTVYDRHSALHIAAANGQIEILAMFLEKSVNPDVVNRHKQTPLMLAAMHGKTSCVKRLIEARANILMFDSLHGRTCLHYAAYYGHSDCLQAILSAAQSSPVAVSWWGYARFVNIRDGRGATPLHLAARRKQPECVHILLYNGALVCASTGRYGFPGSTPLHLAARGGSLDCIRMLLAWGADRLQRDASGRISFVVALKHKHGACAALLNPSSAEPLVWPATLKFITELNEEAKSLLEQALMEANREREKNILKGTAYSLPSPSHSDSGLDDSVSEASDTEVCCICFEQLCTIEVQDCGHQMCAQCTVALCCHNKPNPVTASLTTLVCPFCRCTIVRLVVAKMKCYDNTNRFTGEDSTSKPRKSRKPRNFSEGSSSFKSLSAIGSFSKIGGRSSGRIAAENEWIDKT >KJB07080 pep chromosome:Graimondii2_0_v6:1:12748077:12750899:1 gene:B456_001G110100 transcript:KJB07080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLEKSVNPDVVNRHKQTPLMLAAMHGKTSCVKRLIEARANILMFDSLHGRTCLHYAAYYGHSDCLQAILSAAQSSPVAVSWGYARFVNIRDGRGATPLHLAARRKQPECVHILLYNGALVCASTGRYGFPGSTPLHLAARGGSLDCIRMLLAWGADRLQRDASGRISFVVALKHKHGACAALLNPSSAEPLVWPATLKFITELNEEAKSLLEQALMEANREREKNILKGTAYSLPSPSHSDSGLDDSVSEASDTEVCCICFEQLCTIEVQDCGHQMCAQCTVALCCHNKPNPVTASLTTLVCPFCRCTIVRLVVAKMKCYDNTNRFTGEDSTSKPRKSRKPRNFSEGSSSFKSLSAIGSFSKIGGRSSGRIAAENEWIDKT >KJB07078 pep chromosome:Graimondii2_0_v6:1:12747718:12750909:1 gene:B456_001G110100 transcript:KJB07078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCVASQENELFTAVQVGDFETVETLLEREPNLSHHTTVYDRHSALHIAAANGQIEILAMFLEKSVNPDVVNRHKQTPLMLAAMHGKTSCVKRLIEARANILMFDSLHGRTCLHYAAYYGHSDCLQAILSAAQSSPVAVSWGYARFVNIRDGRGATPLHLAARRKQPECVHILLYNGALVCASTGRYGFPGSTPLHLAARGGSLDCIRMLLAWGADRLQRDASGRISFVVALKHKHGACAALLNPSSAEPLVWPATLKFITELNEEAKSLLEQALMEANREREKNILKGTAYSLPSPSHSDSGLDDSVSEASDTEVCCICFEQLCTIEVQDCGHQMCAQCTVALCCHNKPNPVTASLTTLVCPFCRCTIVRLVVAKMKCYDNTNRFTGEDSTSKPRKSRKPRNFSEGSSSFKSLSAIGSFSKIGGRSSGRIAAENEWIDKT >KJB11054 pep chromosome:Graimondii2_0_v6:1:48044611:48047289:1 gene:B456_001G240400 transcript:KJB11054 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain gene 4 [Source:Projected from Arabidopsis thaliana (AT5G15330) TAIR;Acc:AT5G15330] MKFGKEFKTHLEETLPEWRDKFLCYKPLKKLLKNIPSTPSLDPHHQRPVFPDATNTAVTPVGHDHQHPPLDLQDWFVRILNEELEKFNDFYVDKEEEFVIRFQELKERIEQIKESSGKGGVFASESEFSEEMMDIRKDLVTIHGEMVLLKNYSALNFAGIVKILKKYDKRTGGLLRLQFTQLVLHQPFFTTESLTRLVHECEANLELLFPLEAEVIESTPQGEPNPSSNNTAKRSQEASSSNLGEETLDIYRSTLAAMKAIRGLQKASSTCNPLSFSAFLKNQDDDSGAVTAENSASNSSPTLQKGKESDKEDAQSAQQR >KJB11053 pep chromosome:Graimondii2_0_v6:1:48044611:48047120:1 gene:B456_001G240400 transcript:KJB11053 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain gene 4 [Source:Projected from Arabidopsis thaliana (AT5G15330) TAIR;Acc:AT5G15330] MKFGKEFKTHLEETLPEWRDKFLCYKPLKKLLKNIPSTPSLDPHHQRPVFPDATNTAVTPVGHDHQHPPLDLQDWFVRILNEELEKFNDFYVDKEEEFVIRFQELKERIEQIKESSGKGGVFASESEFSEEMMDIRKDLVTIHGEMVLLKNYSALNFAGIVKILKKYDKRTGGLLRLQFTQLVLHQPFFTTESLTRLVHECEANLELLFPLEAEVIESTPQGEPNPSSNNTAKRSQEASSSNLGEETLDIYRSTLAAMKAIRGLQKLKTLLQILHPLCKKVRSLIKRMHNLRNNDRFSIFPDTFYL >KJB08435 pep chromosome:Graimondii2_0_v6:1:9519119:9520012:1 gene:B456_001G087700 transcript:KJB08435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEFSEKPPLVLAKELFNGWHFKPLKSQKPQQYYENILVQTGLVLFKHYTDPKYPNFITHSTAQILKILQPRDWSENPNSIKKFPAKFTTKIDHRQYFTYWDYQMAWYNAFLMNNQHMRHSCLIYFKYGTQFKFPNWTIYFFSKLCISWIVSWNYSYEQDQYTGIPLLFNDEKYDLKYLDNFFNKNPRLCKSVAPDQTTTKFFQAKSTASAMLAQAKTKKEYKKLMAEMLSSLDFEFEDEKSS >KJB09312 pep chromosome:Graimondii2_0_v6:1:17446205:17446648:1 gene:B456_001G134200 transcript:KJB09312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDKRKIRNNNNEILEYNQKKKKGEKKRRRMVVAVLRQQNGGDKWWWCKREGKGGGTAGKEENRWYKVEIWTAQRKKWWCTVVRWWCKQKK >KJB11236 pep chromosome:Graimondii2_0_v6:1:50540064:50542322:1 gene:B456_001G249000 transcript:KJB11236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEELPCEEHHQILDIHIAGSSYPPFVLSFKNLTYDVKVSTKSGFPFRWKKPSVSSGNTTKSLLRGISGEAKEGETMAILGASGSGKSTLIDALANRISKQSLKGSITLNGEVLDSDVLKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSVSKSKKKARVQGLIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPILLFLDEPTSGLDSTSALMVVKVLQRIAEGGSIVIMSIHQPSSRILGLIDRLIILSQGRTLYSGSPSNLPQFFSDFGHPIPNNENPSEFALDLIRELEGTSSGTQILFEFNKTWKASSMDDNGGDDTISNRRCTLPLKDAIAKSISRGKLVSGGNGANHSSSVPSFANPFYIELMVLSKRLLTNSRRMPAKFAVRLGTITVTGIVLATMFWQLDDSPAGVQERLGCISISIATIFFNCITEVPTFIQERYIFMRETAYNAYRRSSYVLARSLTHIPLLFNLSVTLSLITFWTVGLAGGVPGFLFFFVTILASFWAGSSFAAFISGVIPDVFLAFVSGIAIVSYYLFLCGFLVARDRLPKYWLWFHYISLVKYPYEAILQNEFLDPTKCFVLGVQLFDQSALATLPAPVKSELLESLGRVVGRNITRSTCLTTGKDILKQQGIVELSKWKCVWVTVAWGFFFRILFYLTLLFGSKNKRK >KJB09135 pep chromosome:Graimondii2_0_v6:1:15643268:15646333:-1 gene:B456_001G125200 transcript:KJB09135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFLVGEGTTFAMECNHQRFYLGVFLGLFTVVYGATDPNDLKILNDFKKGLENPELLKWPEAGDDPCGPPPWPHVFCSGDRVTQIQVQNLGLKGPLPQTLNHLPKLFNLGLQKNHFNGKLPSFSGLSELEFAYLDNNEFDTIPADFFDGLSSVRVLALDYNPFNKSSGWSMPKELANSVQLTNLSLVSCNVVGALPDFLGKLPSLAALKLSYNRLSGEIPASFGESLMEILWLNDQDGEGITGTIDVIANMVSLKQLWLHGNQFTGTIPENIGNLTSLKDLNLNRNQLVGMIPESLVNMELDNLDLNNNHLMGPVPKLKAGNFSYASNSFCQSKPGISCAPQVTALLDFLSGMNYPINLVSQWSGNEPCAGPWMGLSCNSNSQVSIINLPRHNLSGTLSPSLAKLASLMEIRLGGNSIHGTVPDNFTQLESLRTLDLSGNNLEPPLPKFRDGVKIIIEGNPLLIGNHTREPLSPTISPPSASESPPSHQSGGKVSPALSPNKDKKTDSSTATAQQGEPQSNGFHRFKLVIVVGSATIAIMVLVVVLFSIFFCKKRKRESEAPNSIVVHSKDPSDPEHIVKIAVSNNTSGSLFSKTATSSRSSHSSATQKSHVTEAGNLIISVQVLRKGTNDFAEENELGRGGFGTVYKGVLGDGTELAVKRMEAGVISNKALDEFQSEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEFMSQGALSKHLFHWKSLKLEPLSWRRRLCIALDVARGMEYLHNLARQTFIHRDLKSSNILLDDDFRAKVSDFGLVKLAPDGEKSVATRLAGTFGYLAPEYAVMGKITTKVDVFSYGVVLMELVTGLTALDEGRSEESRYLAEWFWQIKSNKEKLMAAIDPALEVNDETYESIATIAELAGHCTTREPYHRPDMGHVVNVLSPLVEKWKPVDDESECYSGIDYTQPLPQMLKVWQAAESQGVSYTSLADSKGSIPAKPAGFADSFTSVDGR >KJB09134 pep chromosome:Graimondii2_0_v6:1:15642725:15646785:-1 gene:B456_001G125200 transcript:KJB09134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFLVGEGTTFAMECNHQRFYLGVFLGLFTVVYGATDPNDLKILNDFKKGLENPELLKWPEAGDDPCGPPPWPHVFCSGDRVTQIQVQNLGLKGPLPQTLNHLPKLFNLGLQKNHFNGKLPSFSGLSELEFAYLDNNEFDTIPADFFDGLSSVRVLALDYNPFNKSSGWSMPKELANSVQLTNLSLVSCNVVGALPDFLGKLPSLAALKLSYNRLSGEIPASFGESLMEILWLNDQDGEGITGTIDVIANMVSLKQLWLHGNQFTGTIPENIGNLTSLKDLNLNRNQLVGMIPESLVNMELDNLDLNNNHLMGPVPKLKAGNFSYASNSFCQSKPGISCAPQVTALLDFLSGMNYPINLVSQWSGNEPCAGPWMGLSCNSNSQVSIINLPRHNLSGTLSPSLAKLASLMEIRLGGNSIHGTVPDNFTQLESLRTLDLSGNNLEPPLPKFRDGVKIIIEGNPLLIGNHTREPLSPTISPPSASESPPSHQSGGKVSPALSPNKDKKTDSSTATAQQGEPQSNGFHRFKLVIVVGSATIAIMVLVVVLFSIFFCKKRKRESEAPNSIVVHSKDPSDPEHIVKIAVSNNTSGSLFSKTATSSRSSHSSATQKSHVTEAGNLIISVQVLRKGTNDFAEENELGRGGFGTVYKGVLGDGTELAVKRMEAGVISNKALDEFQSEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEFMSQGALSKHLFHWKSLKLEPLSWRRRLCIALDVARGMEYLHNLARQTFIHRDLKSSNILLDDDFRAKVSDFGLVKLAPDGEKSVATRLAGTFGYLAPEYAVMGKITTKVDVFSYGVVLMELVTGLTALDEGRSEESRYLAEWFWQIKSNKEKLMAAIDPALEVNDETYESIATIAELAGHCTTREPYHRPDMGHVVNVLSPLVEKWKPVDDESECYSGIDYTQPLPQMLKVWQAAESQGVSYTSLADSKGSIPAKPAGFADSFTSVDGR >KJB08554 pep chromosome:Graimondii2_0_v6:1:9602033:9604342:1 gene:B456_001G088900 transcript:KJB08554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETTIVYVSLSLIFLLLCSKLLFQSKKHPQNLPPSPPSLPIIGHLHLLKTPIYRFYHRLSQKYGPVFSLRLGSRLFVVVSSSAVAEECFTVNDIVLANRPKLIAGKYLGYNYTTVSTSSYGDHWRNLRRIGAIEIFSSSRLNAFAAVRKDEARRLLVSLSRDSRREFVKVKLKSMLNDMTFNNIMRMVAGKRYFGEEVTNEKEAREFREVIEGTFRNGGTGNRADFLPVLNWFGGYEKKVKKIGKKLDGLLQKLVDEHRWMKQENNGNGSMVDHLLNLQQSDPDYYTDEIIKGLMLVLLLAGSDTTSVTLEWTITNLLNHPEVLKKAQAEIDTEIGQENLIDEIDVSKLKYLQSIILEAQRLYPAIPLLLPHVASIDCTIGGYNVPRGTTVLMNAWSIHRDPQLWDDPTSFKPERFENDDSQSHKIMPFGLGRRACPGSGLALRVIGLTLGSLIQCFDWEMVDGKQIDMTEGIGGTMPKAHPLEALCKARPIVDKALKLL >KJB10063 pep chromosome:Graimondii2_0_v6:1:27898531:27907981:-1 gene:B456_001G182400 transcript:KJB10063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTHLLNSIATHSSSSPASAGQQPHFSLVGTTQGLPILELSSICIDLTLLLVFLFTISARKILVCVGRTRFLKDDSVGNSSPIRRSISGDGEVGDVVVGTGFKFSVCCCFYVLLVQVVVLGFDGFGLIREAVDGKVVVWSVIALAAAQGLAWFVLSFLALHCKFKVLEKFPLLLRVWWFISFVICICTLYVDGKSLLVYGSNHLTSHVVANFVVTPALAFLCFVAIRGATGIELYRNSNLQEPLLEEEAGCLKVTPYTDAGLFSLAILSWLNPLLSIGAKRPLELKDIPLLAPKDRSKTNYKVLNSNWEKMKAENLSKQPSLAWAILRSFWKEAAGNAVFALLNTLVSYVGPYMISYFVDYLGGKETFPHEGYVLAGIFFVSKLLETLTTRQWYLGVDILGMHVRSALTAMVYRKGLKLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASVATLVATIISIIVTVPLAKVQEDYQDKLMAAKDERMRKTSECLRNMRILKLQAWEERYRVKLEEMRDVEFKWLRKALYSQAFITFIFWSSPIFVAAVTFATSILLGAELTAGSVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRLSGFLQEEELQEDATIVLPRGMSKVAIEIKDGVFCWDPSSSRPTLSGIQMKVESGLRVAVCGMVGSGKSSFLSCILGEIPKISGDVRVCGTAAYVSQSAWIQSGNIEENILFGSPMDKAKYKKVVHACSLKKDFELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYIMTALANKTVVFVTHQVEFLPTADLILVLKEGHIIQAGKYDELLQAGTDFNALVSAHHEAIEAMDIPSFSSEESDENLLLDGPAILNKKCDSAGNNIDSLAKEVEDGASASDQKAIKEKKKAKRRKKQLVQEEERVKGRVSMKVYLSYMAAAYKGLLIPLIVLAQTLFQFLQIASNWWMAWANPQTEGDKAKVSPMVLLLVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLNMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTEVTWQVLLLVIPMAAACLWMQKYYMASSRELVRIVSIQKSPVIHLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSIAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPSIIENLRPPSSWPENGTIELVDLKVRYGENLPVVLHGVSCAFPGGMKIGIVGRTGSGKSTLIQALFRLIEPAGGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDHDIWEALEKSQLGDIVRDKDLKLDTPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDTATDNLIQKIIRTEFKNCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFDTPQRLLEDKSSMFLKLVTEYSSRSSGIPEF >KJB10062 pep chromosome:Graimondii2_0_v6:1:27899857:27907150:-1 gene:B456_001G182400 transcript:KJB10062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTHLLNSIATHSSSSPASAGQQPHFSLVGTTQGLPILELSSICIDLTLLLVFLFTISARKILVCVGRTRFLKDDSVGNSSPIRRSISGDGEVGDVVVGTGFKFSVCCCFYVLLVQVVVLGFDGFGLIREAVDGKVVVWSVIALAAAQGLAWFVLSFLALHCKFKVLEKFPLLLRVWWFISFVICICTLYVDGKSLLVYGSNHLTSHVVANFVVTPALAFLCFVAIRGATGIELYRNSNLQEPLLEEEAGCLKVTPYTDAGLFSLAILSWLNPLLSIGAKRPLELKDIPLLAPKDRSKTNYKVLNSNWEKMKAENLSKQPSLAWAILRSFWKEAAGNAVFALLNTLVSYVGPYMISYFVDYLGGKETFPHEGYVLAGIFFVSKLLETLTTRQWYLGVDILGMHVRSALTAMVYRKGLKLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASVATLVATIISIIVTVPLAKVQEDYQDKLMAAKDERMRKTSECLRNMRILKLQAWEERYRVKLEEMRDVEFKWLRKALYSQAFITFIFWSSPIFVAAVTFATSILLGAELTAGSVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRLSGFLQEEELQEDATIVLPRGMSKVAIEIKDGVFCWDPSSSRPTLSGIQMKVESGLRVAVCGMVGSGKSSFLSCILGEIPKISGDVRVCGTAAYVSQSAWIQSGNIEENILFGSPMDKAKYKKVVHACSLKKDFELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYIMTALANKTVVFVTHQVEFLPTADLILVLKEGHIIQAGKYDELLQAGTDFNALVSAHHEAIEAMDIPSFSSEESDENLLLDGPAILNKKCDSAGNNIDSLAKEVEDGASASDQKAIKEKKKAKRRKKQLVQEEERVKGRVSMKVYLSYMAAAYKGLLIPLIVLAQTLFQFLQIASNWWMAWANPQTEGDKAKVSPMVLLLVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLNMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTEVTWQVLLLVIPMAAACLWMQKYYMASSRELVRIVSIQKSPVIHLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSIAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPSIIENLRPPSSWPENGTIELVDLKVRYGENLPVVLHGVSCAFPGGMKIGIVGRTGSGKSTLIQALFRLIEPAGGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDHDIWEVQVSLAHLRNLNLETLFVIKT >KJB10064 pep chromosome:Graimondii2_0_v6:1:27898621:27907919:-1 gene:B456_001G182400 transcript:KJB10064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTHLLNSIATHSSSSPASAGQQPHFSLVGTTQGLPILELSSICIDLTLLLVFLFTISARKILVCVGRTRFLKDDSVGNSSPIRRSISGDGEVGDVVVGTGFKFSVCCCFYVLLVQVVVLGFDGFGLIREAVDGKVVVWSVIALAAAQGLAWFVLSFLALHCKFKVLEKFPLLLRVWWFISFVICICTLYVDGKSLLVYGSNHLTSHVVANFVVTPALAFLCFVAIRGATGIELYRNSNLQEPLLEEEAGCLKVTPYTDAGLFSLAILSWLNPLLSIGAKRPLELKDIPLLAPKDRSKTNYKVLNSNWEKMKAENLSKQPSLAWAILRSFWKEAAGNAVFALLNTLVSYVGPYMISYFVDYLGGKETFPHEGYVLAGIFFVSKLLETLTTRQWYLGVDILGMHVRSALTAMVYRKGLKLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASVATLVATIISIIVTVPLAKVQEDYQDKLMAAKDERMRKTSECLRNMRILKLQAWEERYRVKLEEMRDVEFKWLRKALYSQAFITFIFWSSPIFVAAVTFATSILLGAELTAGSVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRLSGFLQEEELQEDATIVLPRGMSKVAIEIKDGVFCWDPSSSRPTLSGIQMKVESGLRVAVCGMVGSGKSSFLSCILGEIPKISGDVRVCGTAAYVSQSAWIQSGNIEENILFGSPMDKAKYKKVVHACSLKKDFELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYIMTALANKTVVFVTHQVEFLPTADLILVLKEGHIIQAGKYDELLQAGTDFNALVSAHHEAIEAMDIPSFSSEESDENLLLDGPAILNKKCDSAGNNIDSLAKEVEDGASASDQKAIKEKKKAKRRKKQLVQEEERVKGRVSMKVYLSYMAAAYKGLLIPLIVLAQTLFQFLQIASNWWMAWANPQTEGDKAKVSPMVLLLVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLNMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTEVTWQVLLLVIPMAAACLWMQKYYMASSRELVRIVSIQKSPVIHLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSIAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPSIIENLRPPSSWPENGTIELVDLKVRYGENLPVVLHGVSCAFPGGMKIGIVGRTGSGKSTLIQALFRLIEPAGGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDHDIWEALEKSQLGDIVRDKDLKLDTPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDTATDNLIQKIIRTEFKNCTVCTIAHRIPTVIDSDLVLVLSDGMYIF >KJB10061 pep chromosome:Graimondii2_0_v6:1:27898390:27907919:-1 gene:B456_001G182400 transcript:KJB10061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTHLLNSIATHSSSSPASAGQQPHFSLVGTTQGLPILELSSICIDLTLLLVFLFTISARKILVCVGRTRFLKDDSVGNSSPIRRSISGDGEVGDVVVGTGFKFSVCCCFYVLLVQVVVLGFDGFGLIREAVDGKVVVWSVIALAAAQGLAWFVLSFLALHCKFKVLEKFPLLLRVWWFISFVICICTLYVDGKSLLVYGSNHLTSHVVANFVVTPALAFLCFVAIRGATGIELYRNSNLQEPLLEEEAGCLKVTPYTDAGLFSLAILSWLNPLLSIGAKRPLELKDIPLLAPKDRSKTNYKVLNSNWEKMKAENLSKQPSLAWAILRSFWKEAAGNAVFALLNTLVSYVGPYMISYFVDYLGGKETFPHEGYVLAGIFFVSKLLETLTTRQWYLGVDILGMHVRSALTAMVYRKGLKLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASVATLVATIISIIVTVPLAKVQEDYQDKLMAAKDERMRKTSECLRNMRILKLQAWEERYRVKLEEMRDVEFKWLRKALYSQAFITFIFWSSPIFVAAVTFATSILLGAELTAGSVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRLSGFLQEEELQEDATIVLPRGMSKVAIEIKDGVFCWDPSSSRPTLSGIQMKVESGLRVAVCGMVGSGKSSFLSCILGEIPKISGDVRVCGTAAYVSQSAWIQSGNIEENILFGSPMDKAKYKKVVHACSLKKDFELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYIMTALANKTVVFVTHQVEFLPTADLILVLKEGHIIQAGKYDELLQAGTDFNALVSAHHEAIEAMDIPSFSSEESDENLLLDGPAILNKKCDSAGNNIDSLAKEVEDGASASDQKAIKEKKKAKRRKKQLVQEEERVKGRVSMKVYLSYMAAAYKGLLIPLIVLAQTLFQFLQIASNWWMAWANPQTEGDKAKVSPMVLLLVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLNMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTEVTWQVLLLVIPMAAACLWMQKYYMASSRELVRIVSIQKSPVIHLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSIAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPSIIENLRPPSSWPENGTIELVDLKVRYGENLPVVLHGVSCAFPGGMKIGIVGRTGSGKSTLIQALFRLIEPAGGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDHDIWEALEKSQLGDIVRDKDLKLDTPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDTATDNLIQKIIRTEFKNCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFDTPQRLLEDKSSMFLKLVTEYSSRSSGIPEF >KJB07615 pep chromosome:Graimondii2_0_v6:1:3031874:3037069:-1 gene:B456_001G033000 transcript:KJB07615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIFSISSLLNKGSIQRRVSNKYLINAIRPYSEDLSSPKSPGPLLQYRKLVEQGRLQHDPYQERVALALENLLGRLEQYEKEMEDYHIKLAEWEKNRETERRKLLMEEAELNQRGDLWTSVNKRKSELLERWSFRRKSEDIEPGVGKWVSYLNREKKLDSLVGRHPTAPPAPKGLYIYGNVGSGKTMLMDMFYSATEGIVKHRQRFHFHEAMLKINEAMHKLWKNQVEEKSIQSSLSSWIMNLPFDMKAKEWLAAEERYKQEFQMKNILPAVADKFLVDRQAGERGASILCFDEIQAVDVFAIVALSGIVSRLLSTGTVLVSTSNRAPKDLNQDGMQREIFLKFVNKLEKHCEIILVGSEVDYRRQIAQRSVDKVHYFWPLDGTALKEFEKMWCQVINRAGGEVTSNTVPVMFGRTLEVPQSCNGVARFTFDYLCGRPVGAADYIAVAKSHHTVFISDIPVMSMRIRDKARRFITLIDELYNHHCCLFCSAATSIDDLFQGTEEGTLFDLESFQFETETEGAKLRRDVLAEGSVSSGGAPAGITSMLSGQEEMFAFRRAVSRLIEMQTPLYLEGVRSLHPYFQRKHQSFKNCSYSASTVHHQVSH >KJB07613 pep chromosome:Graimondii2_0_v6:1:3031881:3037274:-1 gene:B456_001G033000 transcript:KJB07613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIFSISSLLNKGSIQRRVSNKYLINAIRPYSEDLSSPKSPGPLLQYRKLVEQGRLQHDPYQERVALALENLLGRLEQYEKEMEDYHIKLAEWEKNRETERRKLLMEEAELNQRGDLWTSVNKRKSELLERWSFRRKSEDIEPGVGKWVSYLNREKKLDSLVGRHPTAPPAPKGLYIYGNVGSGKTMLMDMFYSATEGIVKHRQRFHFHEAMLKINEAMHKLWKNQVEEKSIQSSLSSWIMNLPFDMKAKEWLAAEERYKQEFQMKNILPAVADKFLVDRQAGERGASILCFDEIQAVDVFAIVALSGIVSRLLSTGTVLVSTSNRAPKDLNQDGMQREIFLKFVNKLEKHCEIILVGSEVDYRRQIAQRSVDKVHYFWPLDGTALKEFEKMWCQVINRAGGEVTSNTVPVMFGRTLEVPQSCNGVARFTFDYLCGRPVGAADYIAVAKSHHTVFISDIPVMSMRIRDKARRFITLIDELYNHHCCLFCSAATSIDDLFQGTEEGTLFDLESFQFETETEGAKLRRDVLAEGSVSSGGAPAGITSMLSGQEEMFAFRRAVSRLIEMQTPLYLEGVRSLHPYFQRKHQSFKNCSYSASTVHHQVSH >KJB07614 pep chromosome:Graimondii2_0_v6:1:3031911:3037274:-1 gene:B456_001G033000 transcript:KJB07614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIFSISSLLNKGSIQRRVSNKYLINAIRPYSEDLSSPKSPGPLLQYRKLVEQGRLQHDPYQERVALALENLLGRLEQYEKEMEDYHIKLAEWEKNRETERRKLLMEEAELNQRGDLWTSVNKRKSELLERWSFRRKSEDIEPGVGKWVSYLNREKKLDSLVGRHPTAPPAPKGLYIYGNVGSGKTMLMDMFYSATEGIVKHRQRFHFHEAMLKINEAMHKLWKNQVEEKSIQSSLSSWIMNLPFDMKAKEWLAAEERYKQEFQMKNILPAVADKFLVDRQAGERGASILCFDEIQAVDVFAIVALSGIVSRLLSTGTVLVSTSNRAPKDLNQDGMQREIFLKFVNKLEKHCEIILVGSEVDYRRQIAQRSVDKVHYFWPLDGTALKEFEKMWCQVINRAGGEVTSNTVPVMFGRTLEVPQSCNGVARFTFDYLCGRPVGAADYIAVAKSHHTVFISDIPVMSMRIRDKARRFITLIDELYNHHCCLFCSAATSIDDLFQGTEEGTLFDLESFQFETETEGAKLRRDVLAEGSVSSGGAPAGITSMLSGQEEMFAFRRAVSRLIEMQTPLYLEGVRSLHPYFQRKHQSFKNCSYSASTVHHQVSH >KJB08734 pep chromosome:Graimondii2_0_v6:1:11215368:11219292:-1 gene:B456_001G100000 transcript:KJB08734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGRKVGVVKSGVRNSIPSSNPSTVSLPLRCQHEGDEDRHVDGKNHGAYEDTGSGQDKDNNSSGDANADADGMGNCNVNGDALVNVDDKVNDRDEEKGDDDVARCVRGKHADCIVVDWLNGEYCFECNSGSGQVLVCSENGCPVALHEACMTWRPIFDDMGKFYCPYCLYKKEVARFKDLTTEAMLARKELSNFICLRRDSRNKEREGETVSMKGASVSTMAREVGCGDCRNGLNDDGKETRHRSQDETRGVDVIRKEQSNEQNISRAHGFENVGNGEMMEEVEEDSSDSGGDDIGEGRQQKQPSSSSGVGTVEETQGVDVIRKEQSNEQNISRGHGFENVGNREMMEEDIEISSDSGNAEIGDDRRELRPSSSKVPVIESFEFVSRNLDAETLVTHQKRDKQRANKAQPLKVVSPEKSSLQPSTSAKNMNVNQERKTVAVKISEERAKSTKRSLLPVLGTEKRRRLHWTAEEEDMLKELVHKFSSQVNKNIPWRKILEHGRPVFHSTRIPVDLKDKWKNIVAKEISKG >KJB08735 pep chromosome:Graimondii2_0_v6:1:11215892:11218497:-1 gene:B456_001G100000 transcript:KJB08735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDTSALLPSSSRHTMKSNKHEGDEDRHVDGKNHGAYEDTGSGQDKDNNSSGDANADADGMGNCNVNGDALVNVDDKVNDRDEEKGDDDVARCVRGKHADCIVVDWLNGEYCFECNSGSGQVLVCSENGCPVALHEACMTWRPIFDDMGKFYCPYCLYKKEVARFKDLTTEAMLARKELSNFICLRRDSRNKEREGETVSMKGASVSTMAREVGCGDCRNGLNDDGKETRHRSQDETRGVDVIRKEQSNEQNISRAHGFENVGNGEMMEEVEEDSSDSGGDDIGEGRQQKQPSSSSGVGTVEETQGVDVIRKEQSNEQNISRGHGFENVGNREMMEEDIEISSDSGNAEIGDDRRELRPSSSKVPVIESFEFVSRNLDAETLVTHQKRDKQRANKAQPLKVVSPEKSSLQPSTSAKNMNVNQERKTVAVKISEERAKSTKRSLLPVLGTEKRRRLHWTAEEEDMLKELVHKFSSQVNKNIPWRKILEHGRPVFHSTRIPVDLKDKWKNIVAKEISKG >KJB08733 pep chromosome:Graimondii2_0_v6:1:11215368:11219292:-1 gene:B456_001G100000 transcript:KJB08733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNVNGDALVNVDDKVNDRDEEKGDDDVARCVRGKHADCIVVDWLNGEYCFECNSGSGQVLVCSENGCPVALHEACMTWRPIFDDMGKFYCPYCLYKKEVARFKDLTTEAMLARKELSNFICLRRDSRNKEREGETVSMKGASVSTMAREVGCGDCRNGLNDDGKETRHRSQDETRGVDVIRKEQSNEQNISRAHGFENVGNGEMMEEVEEDSSDSGGDDIGEGRQQKQPSSSSGVGTVEETQGVDVIRKEQSNEQNISRGHGFENVGNREMMEEDIEISSDSGNAEIGDDRRELRPSSSKVPVIESFEFVSRNLDAETLVTHQKRDKQRANKAQPLKVVSPEKSSLQPSTSAKNMNVNQERKTVAVKISEERAKSTKRSLLPVLGTEKRRRLHWTAEEEDMLKELVHKFSSQVNKNIPWRKILEHGRPVFHSTRIPVDLKDKWKNIVAKEISKG >KJB09832 pep chromosome:Graimondii2_0_v6:1:24274925:24277071:-1 gene:B456_001G169700 transcript:KJB09832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPCCERKGLKKGPWGPEEDEILINYINKHGHGSWRSLPKLSGLRRCGKSCRLRWTNYLRPDIKRGPFTLDEEKLVIQLHAILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLLCMGVDPLTHEPFTSGGPTTRPRSSPATRHMAQWESARLEAEARLSKESLFFNSPPTSVKPDPDFFLRLWNSEVGESFRKLNGEAKADCRSPISQASSSTKCGSVSGVTIDVGPIAAGSSTPKSSRTEDPIMFDASFSSSSNESEDSSDTALQLLLDFPINNDMSFLDDVDTYATPPQC >KJB09833 pep chromosome:Graimondii2_0_v6:1:24275261:24276432:-1 gene:B456_001G169700 transcript:KJB09833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPCCERKGLKKGPWGPEEDEILINYINKHGHGSWRSLPKLSALWKFLFCRVSPLQPIKGLISTLTGLRRCGKSCRLRWTNYLRPDIKRGPFTLDEEKLVIQLHAILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLLCMGVDPLTHEPFTSGGPTTRPRSSPATRHMAQWESARLEAEARLSKESLFFNSPPTSVKPDPDFFLRLWNSEVGESFRKLNGEAKADCRSPISQASSSTKCGSVSGVTIDVGPIAAGSSTPKSSRTEDPIMFDASFSSSSNESEDSSDTALQLLLDFPINNDMSFLDDVDTYATPPQC >KJB08405 pep chromosome:Graimondii2_0_v6:1:8396297:8399686:1 gene:B456_001G079900 transcript:KJB08405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTESSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVAQLKEYRGKIEAELSKICDGILSLLESHLIPLASSAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDEAGDEIKEASKHESGEGQQ >KJB08406 pep chromosome:Graimondii2_0_v6:1:8397114:8399654:1 gene:B456_001G079900 transcript:KJB08406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTESSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVAQLKEYRGKIEAELSKICDGILSLLESHLIPLASSAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDEAGDEIKEASKHESGEGQQ >KJB08239 pep chromosome:Graimondii2_0_v6:1:7382887:7385953:-1 gene:B456_001G072600 transcript:KJB08239 gene_biotype:protein_coding transcript_biotype:protein_coding description:fucosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT1G74420) TAIR;Acc:AT1G74420] MKRLRRNPDESDPETNPDPDGEGSFSKDQHERKCGLSSMKMMGFFVVALMVVSVVFSVSVVLQDPPSDGVLESGKNVRFLDVMESSKEEQVLEVQPQKVVGPVDDDALSIEVRKDKLLGGLLAAGFDETSCVSRYQSVLYRKESQHKPSPYLISRLRSYEALHKRCGPYTESYNKTLEKLKTGHHLESTDCNYLVWISFSGLGNRILTLASAFLYALLTNRVLLVDPGTDMVDLFCEPFPEVSWFLPLDFPLKNQFSSFDQKSPHCYGRMLKNNSFANSSKSILAPFVYLHLVHNYDDQDKLFFCDEDQTSLQEVPWLIMKTDNYFVPSLFLIPTFEQQLSNLFPRKEAVFHLLGRYIFHPTNPVWGLITRYYQAYLAKADERIGIQIRVFDTGTGPFQHVLDQIIACTLKENLLPDISTEKPIINQSQKSKAVLVTSLSGGYFERLRDMYWEHPTVTGEVIGFYQPSHEEYQQTEKQFHNRKAWAEMYLLSLTDVLITSSWSTFGYVAQSLGGLKPWILYKPENQTAPDPPCGRVMSMEPCFHAPPFYDCKAKRGIDTGAVVPHVRHCEDMSWGLKLVDNESEL >KJB08240 pep chromosome:Graimondii2_0_v6:1:7382673:7386379:-1 gene:B456_001G072600 transcript:KJB08240 gene_biotype:protein_coding transcript_biotype:protein_coding description:fucosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT1G74420) TAIR;Acc:AT1G74420] MKRLRRNPDESDPETNPDPDGEGSFSKDQHERKCGLSSMKMMGFFVVALMVVSVVFSVSVVLQDPPSDGVLESGKNVRFLDVMESSKEEQVLEVQPQKGPVDDDALSIEVRKDKLLGGLLAAGFDETSCVSRYQSVLYRKESQHKPSPYLISRLRSYEALHKRCGPYTESYNKTLEKLKTGHHLESTDCNYLVWISFSGLGNRILTLASAFLYALLTNRVLLVDPGTDMVDLFCEPFPEVSWFLPLDFPLKNQFSSFDQKSPHCYGRMLKNNSFANSSKSILAPFVYLHLVHNYDDQDKLFFCDEDQTSLQEVPWLIMKTDNYFVPSLFLIPTFEQQLSNLFPRKEAVFHLLGRYIFHPTNPVWGLITRYYQAYLAKADERIGIQIRVFDTGTGPFQHVLDQIIACTLKENLLPDISTEKPIINQSQKSKAVLVTSLSGGYFERLRDMYWEHPTVTGEVIGFYQPSHEEYQQTEKQFHNRKAWAEMYLLSLTDVLITSSWSTFGYVAQSLGGLKPWILYKPENQTAPDPPCGRVMSMEPCFHAPPFYDCKAKRGIDTGAVVPHVRHCEDMSWGLKLVDNESEL >KJB11171 pep chromosome:Graimondii2_0_v6:1:48541502:48542246:1 gene:B456_001G243700 transcript:KJB11171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWNMMPQHHVKEQSNALVMNKKIMSILAERDAAIQERNIAISERKEALAARDEALQQRDKALAERDSALIERDNALAVLQCRESAKNFPFGSGIQRGRTCMHPSYHSSDTDETLNHEMHVTNALPVSTIPSAEGKSCPVKRTKVNRAVSSKSPRKIKKVAEDLNRQVDTEFAPAQEFPDIATSGEMVDGSHLVALPAYHHTHCHRCQTSGTPEWVGVR >KJB11168 pep chromosome:Graimondii2_0_v6:1:48539421:48542834:1 gene:B456_001G243700 transcript:KJB11168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAGQLENGRYKLDRYKGAHPPWNMMPQHHVKEQSNALVMNKKIMSILAERDAAIQERNIAISERKEALAARDEALQQRDKALAERDSALIERDNALAVLQCRESAKNFPFGSGIQRGRTCMHPSYHSSDTDETLNHEMHVTNALPVSTIPSAEGKSCPVKRTKVNRAVSSKSPRKIKKVAEDLNRQVDTEFAPAQEFPDIATSGEMVDGSHLVALPAYHHTHCHRCQTSGTPEWVGVR >KJB11172 pep chromosome:Graimondii2_0_v6:1:48539530:48542815:1 gene:B456_001G243700 transcript:KJB11172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAGQLENGRYKLDRYKGAHPPWNMMPQHHVKEQSNALVMNKKIMSILAERDAAIQERNIAISERKEALAARDEALQQRDKALAERDSALIERDNALAVLQCRESAKNFPFGSGIQRGRTCMHPSYHSSDTDETLNHEMHVTNALPVSTIPSAEGKSCPVKRTKVNRAVSSKSPRKIKKVAEDLNRQVDTEVRKCKSEWNSEHIGLSLINFDETKISVPVCSCTGVPRHCYKWGNGGWQSSCCTTSISSYPLPQMPNKRHARVGGRKMSGSVFTKLLSRLAAEGYDVSKPLDLKTYWARHGTNRYITIK >KJB11170 pep chromosome:Graimondii2_0_v6:1:48539841:48542815:1 gene:B456_001G243700 transcript:KJB11170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAGQLENGRYKLDRYKGAHPPWNMMPQHHVKEQSNALVMNKKIMSILAERDAAIQERNIAISERKEALAARDEALQQRDKALAERDSALIERDNALAVLQCRESAKNFPFGSGIQRGRTCMHPSYHSSDTDETLNHEMHVTNALPVSTIPSAEGKSCPVKRTKVNRAVSSKSPRKIKKVAEDLNRQVDTEVRKCKSEWNSEHIGLSLINFDETKISVPVCSCTGVPRHCYKWGNGGWQSSCCTTSISSYPLPQMPNKRHARVGGRKMSGSVFTKLLSRLAAEGYDVSKPLDLKTYWARHGTNRYITIK >KJB11169 pep chromosome:Graimondii2_0_v6:1:48539530:48542815:1 gene:B456_001G243700 transcript:KJB11169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAGQLENGRYKLDRYKGAHPPWNMMPQHHVKEQSNALVMNKKIMSILAERDAAIQERNIAISERKEALAARDEALQQRDKALAERDSALIERDNALAVLQCRESAKNFPFGSGIQRGRTCMHPSYHSSDTDETLNHEMHVTNALPVSTIPSAEGKSCPVKRTKVNRAVSSKSPRKIKKVAEDLNRQVDTEFAPAQEFPDIATSGEMVDGSHLVALPAYHHTHCHRCQTSGTPEWVGVR >KJB11173 pep chromosome:Graimondii2_0_v6:1:48539452:48542815:1 gene:B456_001G243700 transcript:KJB11173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAGQLENGRYKLDRYKGAHPPWNMMPQHHVKEQSNALVMNKKIMSILAERDAAIQERNIAISERKEALAARDEALQQRDKALAERDSALIERDNALAVLQCRESAKNFPFGSGIQRGRTCMHPSYHSSDTDETLNHEMHVTNALPVSTIPSAEGKSCPVKRTKVNRAVSSKSPRKIKKVAEDLNRQVDTEFAPAQEFPDIATSGEMVDGSHLVALPAYHHTHCHRCQTSGTPEWVGVR >KJB11412 pep chromosome:Graimondii2_0_v6:1:53557139:53561139:-1 gene:B456_001G260200 transcript:KJB11412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQEQFFKERIKFIHEARDEKEESFEKLQQQEREKVKQSNPNPSNAEEYRRRFPKKLQYGDIPEDIEPEEIRPMGNHAMSITWPDGFSQIAPYDQLQMIERLVDVPQPTAVQS >KJB11413 pep chromosome:Graimondii2_0_v6:1:53559431:53560942:-1 gene:B456_001G260200 transcript:KJB11413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPYDEQAMVQQLPLFLFLDPTGPSMWSYAASFLTRFLDPFGCNWISMDFQEQFFKERIKFIHEARDEKEESFEKLQQQEREKVKQSNPNPSNAEEYRRRYIILILL >KJB11036 pep chromosome:Graimondii2_0_v6:1:47556184:47556501:-1 gene:B456_001G237600 transcript:KJB11036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPRRGGISLPERSSASKPHSNILHRITSSPIVSRGKQAASDAAFVSKKLLRSTGKAAWIAGTTFLILFVPLIIEMDREQQFNELELQQASLLGAPPTAPARS >KJB06231 pep chromosome:Graimondii2_0_v6:1:22813223:22813622:1 gene:B456_001G1588002 transcript:KJB06231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESSYGIPTHGGRYVLYNVYGNHFEVSRKYAPPIRPVGRGAYGIV >KJB06225 pep chromosome:Graimondii2_0_v6:1:22813060:22813622:1 gene:B456_001G1588002 transcript:KJB06225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESSYGSGDHSIKGIPTHGGRYVLYNVYGNHFEVSRKYAPPIRPVGRGAYGIV >KJB06229 pep chromosome:Graimondii2_0_v6:1:22813456:22813622:1 gene:B456_001G1588002 transcript:KJB06229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESSYGIPTHGGRYVLYNVYGNHFEVSRKYAPPIRPVGRGAYGIV >KJB06228 pep chromosome:Graimondii2_0_v6:1:22813123:22813622:1 gene:B456_001G1588002 transcript:KJB06228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESSYGSGDHSIKGIPTHGGRYVLYNVYGNHFEVSRKYAPPIRPVGRGAYGIV >KJB06227 pep chromosome:Graimondii2_0_v6:1:22813117:22813622:1 gene:B456_001G1588002 transcript:KJB06227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESSYGIPTHGGRYVLYNVYGNHFEVSRKYAPPIRPVGRGAYGIV >KJB06226 pep chromosome:Graimondii2_0_v6:1:22813060:22813622:1 gene:B456_001G1588002 transcript:KJB06226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESSYGSGDHSIKGIPTHGGRYVLYNVYGNHFEVSRKYAPPIRPVGRGAYGIV >KJB06230 pep chromosome:Graimondii2_0_v6:1:22813456:22813622:1 gene:B456_001G1588002 transcript:KJB06230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESSYGIPTHGGRYVLYNVYGNHFEVSRKYAPPIRPVGRGAYGIV >KJB11569 pep chromosome:Graimondii2_0_v6:1:54329491:54335052:-1 gene:B456_001G266300 transcript:KJB11569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPLKSGNVRIPNETMRLIITTFGGVIFGFFLGIISPKLSSTKINLTYIESKYPGLSTQALFDALNPLKANKVGSNKAKGAKIWTPTNPKGAETLPPGILSSRSDIYPRRLWGHPDEDLTIKPKYLVAFTVGYNQKHNIDAAVKKFSNNFTIVLFHYDGVTSEWDEFEWSKRAIHVSAPKQSKWWFAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYIKIVRKHGLEISQPGLDPDSIGLTWAMTMKRNDTEIHTRTQERTGWCTDLHLPPCAAFVEIMATVFSRNAWRCVWHMIQNDLVHGWGLDFYLRRCVETPHEKIGVVDVQWIVHQGIPSLRNQTFSMGQEDIGLAPWKGVRERCKKEWSMFQNRMTDAEKAYYESMGISTTDITYGMDPSDFRR >KJB11568 pep chromosome:Graimondii2_0_v6:1:54329612:54334419:-1 gene:B456_001G266300 transcript:KJB11568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPLKSGNVRIPNETMRLIITTFGGVIFGFFLGIISPKLSSTKINLTYIESKYPGLSTQALFDALNPLKANKVGSNKAKGAKIWTPTNPKGAETLPPGILSSRSDIYPRRLWGHPDEDLTIKPKYLVAFTVGYNQKHNIDAAVKKFSNNFTIVLFHYDGVTSEWDEFEWSKRAIHVSAPKQSKWWFAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYIKIVRKHGLEISQPGLDPDSIGLTWAMTMKRNDTEIHTRTQERTGWCTDLHLPPCAAFVEIMATVFSRNAWRCVWHMIQNDLVHGWGLDFYLRRCVENEGVLLIMCEIKQTPHEKIGVVDVQWIVHQGIPSLRNQTFSMGQEDIGLAPWKGVRERCKKEWSMFQNRMTDAEKAYYESMGISTTDITYGMDPSDFRR >KJB09694 pep chromosome:Graimondii2_0_v6:1:48257877:48259886:-1 gene:B456_001G242100 transcript:KJB09694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLLAAKTTAANKDKDKDKDKKRPVSRSSRAGIQFPVGRIHRHLKSRASANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >KJB10487 pep chromosome:Graimondii2_0_v6:1:40045597:40046774:1 gene:B456_001G205500 transcript:KJB10487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPASNSTAAATGAVFRHWNSPIPYLFGGIAAMLGLIVIALVILACSFRESSSSSNDEAEEKPAKQPVTAMQLEMEPKIVVIMAGDENPTYIANPTRHHDLQV >KJB09096 pep chromosome:Graimondii2_0_v6:1:15369540:15373450:1 gene:B456_001G122900 transcript:KJB09096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission protein ELM1 [Source:Projected from Arabidopsis thaliana (AT5G22350) UniProtKB/Swiss-Prot;Acc:Q93YN4] MRPIRLPEPPSPTIGVPEIFEGGAYSVIRRAVIIGNGYPGSENQSIGLVRALGLYDKHVLYRVTRPRGGINEWLLWLPVSLHQKLDYLIQLIQIYSRGRNYVPLPLENGGGSVGLASVLEADVKQIVTMARQTFEKDGPLLVVASGRDTISVASSIRRLASENVFVIQIQHPRSQLNRFDLVITPRHDFYPLTPQAQEQVPQFLHRWITPREPPDRHVILTLGALHQIDSATLRSTAAAWHDEFAPLPKPLLVVNIGWPTSHCRYGADLAKQLTAYLLNILSSCGTVRISFSSRTPEKVSKIIVKEFADNSKVYIWDGQEPNPHMGHLAWADAFVVTADSVSLISEACSTGKPVYVMGPERCKWKLSDFHKSLRERGVVRPFTGSEDIAESWSYPPLNDTAEAASQVREALAERGWRVRP >KJB09097 pep chromosome:Graimondii2_0_v6:1:15369540:15373525:1 gene:B456_001G122900 transcript:KJB09097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission protein ELM1 [Source:Projected from Arabidopsis thaliana (AT5G22350) UniProtKB/Swiss-Prot;Acc:Q93YN4] MRPIRLPEPPSPTIGVPEIFEGGAYSVIRRAVIIGNGYPGSENQSIGLVRALGLYDKHVLYRVTRPRGGINEWLLWLPVSLHQKLDYLIQLIQIYSRGRNYVPLPLENGGGSVGLASVLEADVKQIVTMARQTFEKDGPLLVVASGRDTISVASSIRRLASENVFVIQIQHPRSQLNRFDLVITPRHDFYPLTPQAQEQVPQFLHRWITPREPPDRHVILTLGALHQIDSATLRSTAAAWHDEFAPLPKPLLVVNIGWPTSHCRYGADLAKQLTAYLLNILSSCGTVRISFSSRTPEKVSKIIVKEFADNSKVYIWDGQEPNPHMGHLAWADAFVVTADSVSLISEACSTGKPVYVMGPERCKWKLSDFHKSLRERGVVRPFTGSEDIAESWSYPPLNDTAEAASQVREALAERGWRVRP >KJB09098 pep chromosome:Graimondii2_0_v6:1:15369540:15373525:1 gene:B456_001G122900 transcript:KJB09098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission protein ELM1 [Source:Projected from Arabidopsis thaliana (AT5G22350) UniProtKB/Swiss-Prot;Acc:Q93YN4] MRPIRLPEPPSPTIGVPEIFEGGAYSVIRRAVIIGNGYPGSENQSIGLVRALGLYDKHVLYRVTRPRGGINEWLLWLPVSLHQKLDYLIQLIQIYSRGRNYVPLPLENGGGSVGLASVLEADVKQIVTMARQTFEKDGPLLVVASGRDTISVASSIRRLASENVFVIQIQHPRSQLNRFDLVITPRHDFYPLTPQAQEQVPQFLHRWITPREPPDRHVILTLGALHQIDSATLRSTAAAWHDEFAPLPKPLLVVNIGWPTSHCRYGADLAKQLTAYLLNILSSCGTVRISFSSRTPEKVSKIIVKEFADNSKVYIWDGQEPNPHMGHLAWADAFVVTADSVSLISEACSTGKPVYVMGPERCKWKLSDFHKSLRERGVVRPFTGSEDKAGAILPSTTRQKQQVRCVKHLLSVDGGFGHN >KJB10337 pep chromosome:Graimondii2_0_v6:1:34372730:34374737:-1 gene:B456_001G196500 transcript:KJB10337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLDTLVAKDPSGKAINALEQHIKNLLSPSTPFFFNTLYDPFREGADFVRGYPFSLREGVPTAASHGLWLNIPDYDAPTQLVKPLERNTRYVDAVLTIPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGVKTGLPYIFHSKASNPFVNLRKEYKGIYWQEEIIPFFQKAVLPKDCTTVQKCYIELAKQVKEKLSKVDPYFDNLADAMVTWIEAWDELNPTGPVPNGKAA >KJB10336 pep chromosome:Graimondii2_0_v6:1:34372660:34375456:-1 gene:B456_001G196500 transcript:KJB10336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPATATQAAAPVVALLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKAINALEQHIKNLLSPSTPFFFNTLYDPFREGADFVRGYPFSLREGVPTAASHGLWLNIPDYDAPTQLVKPLERNTRYVDAVLTIPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGVKTGLPYIFHSKASNPFVNLRKEYKGIYWQEEIIPFFQKAVLPKDCTTVQKCYIELAKQVKEKLSKVDPYFDNLADAMVTWIEAWDELNPTGPVPNGKAA >KJB08979 pep chromosome:Graimondii2_0_v6:1:13968108:13970174:-1 gene:B456_001G117000 transcript:KJB08979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLHQHGDCYLAHQRLAIVDPASGDQPLFNEDKSVAVTVNGEIYNHEELRKKLVNHKFRTGSDCDVIAHLYEEYGEDFVDMLDGIFSFVLLDTRDNSYIVARDAIGVASLYIGWGLDGSVWISSEMKGLNDDCEHFECFPPGHLYSSKTGGFRRWYNPPWFSEAIPSVPYDPLVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKTAKHWGSQLHSFCVGLENSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKDEFHHETCRKVMDPSYMALDYYQGSLNVRRY >KJB08975 pep chromosome:Graimondii2_0_v6:1:13966942:13970337:-1 gene:B456_001G117000 transcript:KJB08975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGIFSFVLLDTRDNSYIVARDAIGVASLYIGWGLDGSVWISSEMKGLNDDCEHFECFPPGHLYSSKTGGFRRWYNPPWFSEAIPSVPYDPLVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKTAKHWGSQLHSFCVGLENSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKDEFHHETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKQFINVAMSIDPESKMIKRDEGRIEKWILRRAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHADQHVTNKMMRNASNIFPHNTPTTKEAYYYRMIFERFFPQNSARLTVPGGATVACSTAKAVEWDAAWKNNLDPSGRAALGVHLSAYNAETPLSNMPSQVIDNIPRMIEFPGVAIQS >KJB08978 pep chromosome:Graimondii2_0_v6:1:13968108:13970174:-1 gene:B456_001G117000 transcript:KJB08978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLHQHGDCYLAHQRLAIVDPASGDQPLFNEDKSVAVTVNGEIYNHEELRKKLVNHKFRTGSDCDVIAHLYEEYGEDFVDMLDGIFSFVLLDTRDNSYIVARDAIGVASLYIGWGLDGSVWISSEMKGLNDDCEHFECFPPGHLYSSKTGGFRRWYNPPWFSEAIPSVPYDPLVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKTAKHWGSQLHSFCVGLENSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKDEFHHETCRKVMDPSYMALDYYQGSLNVRRY >KJB08976 pep chromosome:Graimondii2_0_v6:1:13966942:13970337:-1 gene:B456_001G117000 transcript:KJB08976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLHQHGDCYLAHQRLAIVDPASGDQPLFNEDKSVAVTVNGEIYNHEELRKKLVNHKFRTGSDCDVIAHLYEEYGEDFVDMLDGIFSFVLLDTRDNSYIVARDAIGVASLYIGWGLDGSVWISSEMKGLNDDCEHFECFPPGHLYSSKTGGFRRWYNPPWFSEAIPSVPYDPLVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKTAKHWGSQLHSFCVGLENSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKDEFHHETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKQFINVAMSIDPESKMVSNQFIFVLELLGPLYMLLYFMNQIKRDEGRIEKWILRRAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHADQHVTNKMMRNASNIFPHNTPTTKEAYYYRMIFERFFPQNSARLTVPGGATVACSTAKAVEWDAAWKNNLDPSGRAALGVHLSAYNAETPLSNMPSQVIDNIPRMIEFPGVAIQS >KJB08974 pep chromosome:Graimondii2_0_v6:1:13966942:13969736:-1 gene:B456_001G117000 transcript:KJB08974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLNDDCEHFECFPPGHLYSSKTGGFRRWYNPPWFSEAIPSVPYDPLVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKTAKHWGSQLHSFCVGLENSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKDEFHHETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKQFINVAMSIDPESKMIKRDEGRIEKWILRRAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHADQHVTNKMMRNASNIFPHNTPTTKEAYYYRMIFERFFPQNSARLTVPGGATVACSTAKAVEWDAAWKNNLDPSGRAALGVHLSAYNAETPLSNMPSQVIDNIPRMIEFPGVAIQS >KJB08980 pep chromosome:Graimondii2_0_v6:1:13967379:13970174:-1 gene:B456_001G117000 transcript:KJB08980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLHQHGDCYLAHQRLAIVDPASGDQPLFNEDKSVAVTVNGEIYNHEELRKKLVNHKFRTGSDCDVIAHLYEEYGEDFVDMLDGIFSFVLLDTRDNSYIVARDAIGVASLYIGWGLDGSVWISSEMKGLNDDCEHFECFPPGHLYSSKTGGFRRWYNPPWFSEAIPSVPYDPLVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKTAKHWGSQLHSFCVGLENSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKDEFHHETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKQFINVAMSIDPESKMIKRDEGRIEKWILRRAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHADQHVTNKMMRNASNIFPHNTPTTKEAYYYRMIFERFFPQVISQNSTFRIYL >KJB08977 pep chromosome:Graimondii2_0_v6:1:13966942:13970337:-1 gene:B456_001G117000 transcript:KJB08977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGIFSFVLLDTRDNSYIVARDAIGVASLYIGWGLDGSVWISSEMKGLNDDCEHFECFPPGHLYSSKTGGFRRWYNPPWFSEAIPSVPYDPLVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKTAKHWGSQLHSFCVGLENSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKDEFHHETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKQFINVAMSIDPESKMIKRDEGRIEKWILRRAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHADQHVTNKMMRNASNIFPHNTPTTKEAYYYRMIFERFFPQNSARLTVPGGATVACSTAKAVEWDAAWKNNLDPSGRAALGVHLSAYNAETPLSNMPSQVIDNIPRMIEFPGVAIQS >KJB08981 pep chromosome:Graimondii2_0_v6:1:13966942:13970417:-1 gene:B456_001G117000 transcript:KJB08981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLHQHGDCYLAHQRLAIVDPASGDQPLFNEDKSVAVTVNGEIYNHEELRKKLVNHKFRTGSDCDVIAHLYEEYGEDFVDMLDGIFSFVLLDTRDNSYIVARDAIGVASLYIGWGLDGSVWISSEMKGLNDDCEHFECFPPGHLYSSKTGGFRRWYNPPWFSEAIPSVPYDPLVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKTAKHWGSQLHSFCVGLENSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKDEFHHETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKQFINVAMSIDPESKMIKRDEGRIEKWILRRAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHADQHVTNKMMRNASNIFPHNTPTTKEAYYYRMIFERFFPQNSARLTVPGGATVACSTAKAVEWDAAWKNNLDPSGRAALGVHLSAYNAETPLSNMPSQVIDNIPRMIEFPGVAIQS >KJB06906 pep chromosome:Graimondii2_0_v6:1:2993271:2996976:1 gene:B456_001G0323001 transcript:KJB06906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISFAAKEIDVAEWKGDILAVGVTEKDMSKDENYKFQNSILKKLDGLLGGMLAEASSEEDFTGKAGKSMVLRLPSCGSKRVGLIGLGKTASSPASFQRLGEAVAAAAKTAQANSVAIVLASSEGLSNESKLSTASAIASGTVLGIYEDSRYKSEPRKPKLNSVDIIGLGTGPELEKMLKYAENVSSAIIFGRELVNSPANVLTPAALAAEASNIASLYSDVLSANILSAEQCKELKMGSYLGVAAASANPPHFIHLCYKPSSGPIKTKLALVGKGLTFDSGGYNIKTGAGCSIDIMKTDMGGSAAVLGAAKALGQIKPPGVEVHFIVASCENMISGTGMRPGDIITASNGKTIEVNNTDAEGRLTLADALVYACKQGVDKIVDLATLTGACIVALGPSIAGVFTPSDDLAKEVFEASEVSGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGAITAALFLKQFVDEKVQWMHIDLAGPVWNDKKRVATGFGIATLVEWVLKNSS >KJB06907 pep chromosome:Graimondii2_0_v6:1:2993271:2996869:1 gene:B456_001G0323001 transcript:KJB06907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISFAAKEIDVAEWKGDILAVGVTEKDMSKDENYKFQNSILKKLDGLLGGMLAEASSEEDFTGKAGKSMVLRLPSCGSKRVGLIGLGKTASSPASFQRLGEAVAAAAKTAQANSVAIVLASSEGLSNESKLSTASAIASGTVLGIYEDSRYKSEPRKPKLNSVDIIGLGTGPELEKMLKYAENVSSAIIFGRELVNSPANVLTPAALAAEASNIASLYSDVLSANILSAEQCKELKMGSYLGVAAASANPPHFIHLCYKPSSGPIKTKLALVGKGLTFDSGGYNIKTGAGCSIDIMKTDMGGSAAVLGAAKALGQIKPPGVEVHFIVASCENMISGTGMRPGDIITASNGKTIEVNNTDAEGRLTLADALVYACKQGVDKIVDLATLTGACIVALGPSIAGVFTPSDDLAKEVFEASEVSGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGAITAALFLKQFVDEKVQWMHIDLAGPVWNDKKRVATGFGIATLVEWVLKNSS >KJB09402 pep chromosome:Graimondii2_0_v6:1:18573906:18576352:-1 gene:B456_001G139400 transcript:KJB09402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPRLLYALALCLAITTVVATDSNYKYTSPPPPLKHSPPPPSKSLSPPYMYKSPPPPVHSSSPPYVYKSPPPPVHSPPPPYKYKSPPPPVHSPPPPYVHKSPPPPVHSPPPPYKYKSPPPPVYSPPPPYVHKSPPPQVHSPPPPYVHKSPPPPVHSPPPPYVHKSPPPPVHSPPPPYVYKSPPPPVHSPPPPYKYKSPPPPVYSPPPPYVHKSPPPPVHSPPPPYKYKSPPPPVHSLPPPYVHKSPPIPVHSPPPPYVYKSPPPPVHSPPPPYKYKSPPPPVHSPPPPYVHKSPPPPVHSPPPPYVYKSPPPPVHSPPPPYVHKSPPPPVHSPLPPYVHKSPPPPVHSPPPPYVHKSPPPPVHSPPPPYVHKSPPPPVHSPPPPYKYKSPPPPVHSPPPPYVHKSPPPPVHSPPPPYKYKSPPPPVHSLPPPYVHKSPPIPVHSPPPPYVYKSPPPPVHSPPPPYKYKSPPPPVHSPPSSTKYQSPPPPVHSPPPPYIHKSPPPPVHSPPPPYVYKSLPPPVHSPPPPYVYKSPPPPVHSPPPPYVYKSPPPPVHSPPPPYIYKSPPPPVHSPPPPYIYKSPPPPVHYPPPPYVYKSPPPPVHSPPPPYVHKSPPSPVHSPPPPYIHKSPPPPVHSPPPPYIYKSPPPPIHSPPPPYIYKSPPPPVHSPPPPYIYKSPPPPVHSPPPPYVYKSPPPPVQSPPPPYIYKSPPPPVHSPPPPYIHKSPPPPIHSPPPPYVHKSPPPPVPYVYKSPPPPSHPKPHPHPHPYPKPHPPHPNTPRPKPKYLYKSPPPPKAF >KJB08798 pep chromosome:Graimondii2_0_v6:1:11664349:11666682:1 gene:B456_001G104500 transcript:KJB08798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYTYLQSIAILLLLLYTFSRRAPKNSKKNCIPEPSGSLPLIGHLHLLGGKEPICKKLATIADKHGPLYSLKLGTHRLLVVSSWEIAKDCFKDNDRTLATRASIAAGRHMGYNNAIMALAPYGEYWRNIRKIATVELLSSHRLEKLKHIRFSEMDSFIKELYGLSRNGAKVTINEALERLTFNINLRLLVGKRFSGCDYEEVNSEPWRYEKAIKRALHLSGIFVLADAVPYLEWLDIQGHVRSMKRTAKELDSVLSVWLEEHLKKKKANQGTSENDFMDVMLNLLPEDTVISGHNRDTIVKATTLILSLTGGESTSVTITWVLSLLLNHPKILMAAQQELDHHVGKQRWVEESDIKNLKYLQAIVKETLRLYPPGPITGIREATEDCRIAGYDVSKGTRLIVNLWKLQRDPRVWENADEFRPERFMTTHVDFDVRGQHFEYMPFSSGRRSCPGITFGLQVVHLMVAKLIQGFDIKTAEGTAVDMEEGFGLALPKLNPIDVVLRPRLRTEFYECLEHMNKY >KJB06564 pep chromosome:Graimondii2_0_v6:1:149473:151398:1 gene:B456_001G001400 transcript:KJB06564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFHERGHQRKTSSYMEDVQLNTNWDDVICPICLDFPHNGVLLQCSSYDKGCRPFVCDTDHMHSNCLDRFKNAHGMSSLLTSDTSSIANIQLEVSEDNCRPTCPLCRGQVTGWVIVDKARLLLDEKKRCCEEEQCTFAGTYLELHKHAQIEHPHARPSRIDPARQLDWENFQQSSEMVDVLSTIHSEVPRGVVLGDYVIEYGEDDSGDELEDFPGDEGNWWTSCILYQMFDNFRNSRNRRRSRGSDTRRRIRRSSYASSTSDEGSVHSVEFAEYRVDETDDEFVSTSGSSRGSTSYQSRIPRK >KJB06563 pep chromosome:Graimondii2_0_v6:1:149473:151398:1 gene:B456_001G001400 transcript:KJB06563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFHERGHQRKTSSYMEDVQLNTNWDDVICPICLDFPHNGVLLQCSSYDKGCRPFVCDTDHMHSNCLDRFKNAHGMSSLLTSDTSSIANIQLEVSEDNCRPTCPLCRGQVTGWVIVDKARLLLDEKKRCCEEEQCTFAGTYLELHKHAQIEHPHARPSRIDPARQLDWENFQQSSEMVDVLSTIHSEVPRGVVLGDYVIEYGEDDSGDELEDFPGDEGNWWTSCILYQMFDNFRNSRNRRRSRGSDTRRRIRRSSYASSTSDEGSVHSVEFAEYRVDETDDEFVSTSGSSRGSTSYQSSQRRRSRFYDN >KJB06562 pep chromosome:Graimondii2_0_v6:1:149473:151341:1 gene:B456_001G001400 transcript:KJB06562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFHERGHQRKTSSYMEDVQLNTNWDDVICPICLDFPHNGVLLQCSSYDKGCRPFVCDTDHMHSNCLDRFKNAHGMSSLLTSDTSSIANIQLEVSEDNCRPTCPLCRGQVTGWVIVDKARLLLDEKKRCCEEEQCTFAGTYLELHKHAQIEHPHARPSRIDPARQLDWENFQQSSEMVDVLSTIHSEVPRGVVLGDYVIEYGEDDSGDELEDFPGDEGNWWTSCILYQMFDNFRNSRNRRRSRGSDTRRRIRRSSYASSTSDEGSVHSVEFAEYRVDETDDEFVSTSGSSRGSTSYQRSATFLSASLSLS >KJB07224 pep chromosome:Graimondii2_0_v6:1:818232:826725:1 gene:B456_001G008800 transcript:KJB07224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVHTLPSMAKYMARFSLILSKTMKLEVDFSNLKFNVIKDIPCTDKDKKPVYKDGKLCIHSDGTGYISEDLALKCPKDVFKGRIMNGANVEIGPIGALMGESPDTMQADSYCRVPPLLIQIRFFYEGYAVKGTLLVNKKLPSRTIQVRRSMVKVEPDSNLSNICTRNSLEVVTTSNQPKRASLSKYLIMLLSYGGVPDNFFMDILKNALEESQGAFSNKRTALRVALNRGGLDELLAAKMILSGIPLDESYLQYRMSIMLNEERKGLLSGKLPITDSYHLMGTVDPSGVLESDEVSIILDNGQISGKVLVYRHPGIHFGDVHILTARYVKELDEFVGDAKYAIFFPCNGPRSLADEMAGGDFDGDMFFVSKNPQLLDYFKVSEPWTENCTTPEGPSRRPSEFSDEELESELFESFLKTRFQPSYAMGVAADNWSAIMDRFLTVEDSNSSEKTLMKENLKKLIDLYYEALDASKTGKKVKVPEELRVALFPHYMERENSFKSTSILGKIYDHVKAYQEEVSRKEVRKLPFFNVEVSEECRSKWTALYEQYRKDMTYVLSSGNKEKNDAAADALYDKYKKELYGGAELVVRQRPMNQISEEAFAIYNICYDYAIKINDVGKCGFAWKVAGSALLNLHVLGLDEKTLSCAPSVLKELFS >KJB07222 pep chromosome:Graimondii2_0_v6:1:813745:826725:1 gene:B456_001G008800 transcript:KJB07222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCNGKKGKIGIFTFLIFFIVKLSLLICLFIKLVLLHGVIFVPFIFMKFYFFDSFHSQMFLLKKIENPIRKFETFEATRTVKSPPLNIFFPFCISSYVYFGLTMANNSHEDVVLLPQAVEALISRICNEQEQPRLGYSTRQALAEVGEEASLRILEKIQRTEIRTTFDRFVMYMIRNDSNGNGSPQKRAKSAQLNSSPFSSPAKTCPLMMNSQGGDNVNVTTASVTPIQSSSVNANREGFSPQSVATPLLSSLTNVGREEVFSPQLAALGELEFRKAFLILSYIGQNKLEQVITADHIRSLKNLGMDEFEQEIWDSLGQCYARADRVKLLEGEGKTNEYHCHVQENGTYRFKGPYFERTRSHLQRVLGDDNVLSVKFETEGKSPLDSGCVGFKKVAKEGILVGLRRYRFFVFKSGGKEAKKKDRSTSPVKCYFVRFESNAAIDDGKEYVLSGKTVQEARSVFMHVHTLPSMAKYMARFSLILSKTMKLEVDFSNLKFNVIKDIPCTDKDKKPVYKDGKLCIHSDGTGYISEDLALKCPKDVFKGRIMNGANVEIGPIGALMGESPDTMQADSYCRVPPLLIQIRFFYEGYAVKGTLLVNKKLPSRTIQVRRSMVKVEPDSNLSNICTRNSLEVVTTSNQPKRASLSKYLIMLLSYGGVPDNFFMDILKNALEESQGAFSNKRTALRVALNRGGLDELLAAKMILSGIPLDESYLQYRMSIMLNEERKGLLSGKLPITDSYHLMGTVDPSGVLESDEVSIILDNGQISGKVLVYRHPGIHFGDVHILTARYVKELDEFVGDAKYAIFFPCNGPRSLADEMAGGDFDGDMFFVSKNPQLLDYFKVSEPWTENCTTPEGPSRRPSEFSDEELESELFESFLKTRFQPSYAMGVAADNWSAIMDRFLTVEDSNSSEKTLMKENLKKLIDLYYEALDASKTGKKVKVPEELRVALFPHYMERENSFKSTSILGKIYDHVKAYQEEVSRKEVRKLPFFNVEVSEECRSKWTALYEQYRKDMTYVLSSGNKEKNDAAADALYDKYKKELYGGAELVVRQRPMNQISEEAFAIYNICYDYAIKINDVGKCGFAWKVAGSALLNLHVLGLDEKTLSCAPSVLKELFS >KJB07223 pep chromosome:Graimondii2_0_v6:1:817315:826725:1 gene:B456_001G008800 transcript:KJB07223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELVVCCAIILPDGFTILILVKLFKSGGKEAKKKDRSTSPVKCYFVRFESNAAIDDGKEYVLSGKTVQEARSVFMHVHTLPSMAKYMARFSLILSKTMKLEVDFSNLKFNVIKDIPCTDKDKKPVYKDGKLCIHSDGTGYISEDLALKCPKDVFKGRIMNGANVEIGPIGALMGESPDTMQADSYCRVPPLLIQIRFFYEGYAVKGTLLVNKKLPSRTIQVRRSMVKVEPDSNLSNICTRNSLEVVTTSNQPKRASLSKYLIMLLSYGGVPDNFFMDILKNALEESQGAFSNKRTALRVALNRGGLDELLAAKMILSGIPLDESYLQYRMSIMLNEERKGLLSGKLPITDSYHLMGTVDPSGVLESDEVSIILDNGQISGKVLVYRHPGIHFGDVHILTARYVKELDEFVGDAKYAIFFPCNGPRSLADEMAGGDFDGDMFFVSKNPQLLDYFKVSEPWTENCTTPEGPSRRPSEFSDEELESELFESFLKTRFQPSYAMGVAADNWSAIMDRFLTVEDSNSSEKTLMKENLKKLIDLYYEALDASKTGKKVKVPEELRVALFPHYMERENSFKSTSILGKIYDHVKAYQEEVSRKEVRKLPFFNVEVSEECRSKWTALYEQYRKDMTYVLSSGNKEKNDAAADALYDKYKKELYGGAELVVRQRPMNQISEEAFAIYNICYDYAIKINDVGKCGFAWKVAGSALLNLHVLGLDEKTLSCAPSVLKELFS >KJB07221 pep chromosome:Graimondii2_0_v6:1:813745:826703:1 gene:B456_001G008800 transcript:KJB07221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCNGKKGKIGIFTFLIFFIVKLSLLICLFIKLVLLHGVIFVPFIFMKFYFFDSFHSQMFLLKKIENPIRKFETFEATRTVKSPPLNIFFPFCISSYVYFGLTMANNSHEDVVLLPQAVEALISRICNEQEQPRLGYSTRQALAEVGEEASLRILEKIQRTEIRTTFDRFVMYMIRNDSNGNGSPQKRAKSAQLNSSPFSSPAKTCPLMMNSQASVTPIQSSSVNANREGFSPQSVATPLLSSLTNVGREEVFSPQLAALGELEFRKAFLILSYIGQNKLEQVITADHIRSLKNLGMDEFEQEIWDSLGQCYARADRVKLLEGEGKTNEYHCHVQENGTYRFKGPYFERTRSHLQRVLGDDNVLSVKFETEGKSPLDSGCVGFKKVAKEGILVGLRRYRFFVFKSGGKEAKKKDRSTSPVKCYFVRFESNAAIDDGKEYVLSGKTVQEARSVFMHVHTLPSMAKYMARFSLILSKTMKLEVDFSNLKFNVIKDIPCTDKDKKPVYKDGKLCIHSDGTGYISEDLALKCPKDVFKGRIMNGANVEIGPIGALMGESPDTMQADSYCRVPPLLIQIRFFYEGYAVKGTLLVNKKLPSRTIQVRRSMVKVEPDSNLSNICTRNSLEVVTTSNQPKRASLSKYLIMLLSYGGVPDNFFMDILKNALEESQGAFSNKRTALRVALNRGGLDELLAAKMILSGIPLDESYLQYRMSIMLNEERKGLLSGKLPITDSYHLMGTVDPSGVLESDEVSIILDNGQISGKVLVYRHPGIHFGDVHILTARYVKELDEFVGDAKYAIFFPCNGPRSLADEMAGGDFDGDMFFVSKNPQLLDYFKVSEPWTENCTTPEGPSRRPSEFSDEELESELFESFLKTRFQPSYAMGVAADNWSAIMDRFLTVEDSNSSEKTLMKENLKKLIDLYYEALDASKTGKKELYGGAELVVRQRPMNQISEEAFAIYNICYDYAIKINDVGKCGFAWKVAGSALLNLHVLGLDEKTLSCAPSVLKELFS >KJB08094 pep chromosome:Graimondii2_0_v6:1:6436527:6440281:1 gene:B456_001G064400 transcript:KJB08094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPETTSDQERDDSDVEFVEVDPTGRYGRYKEVLGRGAFKKVYKAFDELEGIEVAWNQVKVTDLLRNSEDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKNENINFITEIFTSGTLRQYRKKHKHVDLRALKKWSKQILEGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRQARSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTFEYPYVECTNAAQIFKKVTSGIKPASLAKVTDPGVKLFIEKCIAKASERLSAKELLGDPFLQPDEENDSVGRSLRPKAQSSSDSTSDPTNFRGNTKDLQSETSVDVKVQGQRKDVNTIFLKLRITDSTGQIRNIHFPFDIEADTATAVASEMVEELDLTDQDVPTISEMIESEIRSHIPNWVPNETPTDCFGEIANSGNCISENKGDGTSSPGRLSLERLPSGRRYWSDPPKAASGNSPASFGVSHIASQVDFVADNLVGLDEQSHDSYKSEGKINSSTSLERPDNEFTHHNGRDDSDDSNGTQSSSKENCKPLKDTESVVRVTTETLESMLVKQQMEIDELKKQHRLTISDFLKELAPETREKVLATCKIKIPD >KJB06710 pep chromosome:Graimondii2_0_v6:1:7309330:7311843:1 gene:B456_001G072200 transcript:KJB06710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGSDDHQLHHHHQHRPTFPFQLLEKKQDDNQPCSSSSSPPFPSLLPPSSSSSPSADQPNTSRSISSLQISPEPCKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVVAATGTGTIPANFTSLNISLRSSGSTMSVPSQLRSSGFNSNFSMQQRRSLFPGIGLETTPTFLNFQSSSNLNSMFQAKQELRDHNSSSLEISANEENSLGRKRRPEQDLSSQHQMGSYLLQSSTGVIPAATHGQIPANFWMVTNSNNQVISGDPIWTFPSVNSSALYRGTMSSGLHFMNFPAPMALLPGQQLGSSGVTSGAAGGGGGGGSTGISAEGHLNILAGLNPYRQVSESQASGPHSNNDGGGGGGAGGGGSAGGGDNRHDATSHQS >KJB11693 pep chromosome:Graimondii2_0_v6:1:54915620:54917742:1 gene:B456_001G272200 transcript:KJB11693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RWVLLPNAANPPSSENKILHFKISLSLTSNAADDPSPQAPLPMLKSTITGAASTSLSAHKKTKGRGFCEGDADLHSRLASRDFESLGTDGGPGPQRCTSKAEPYKIGERTTDIMLEILNLDKKEVVSINGISNQEFTEMGVREYDQRENIRYGDSQPSSGFLGTQHFAQPNMTRTSKPTRFTLNEQETSQQLIGIEQSKFRIK >KJB08898 pep chromosome:Graimondii2_0_v6:1:12999713:13002224:-1 gene:B456_001G111700 transcript:KJB08898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQLFFPFPSPPPLPQTPTLIITSTLFSSFFFFFLVVLVLVLFSSHQRRQPKGKILPPGSMGWPYIGETLKFYTQNPDSFFANRRRRYGDTFKTHILGCPCVMISSPEAARIVLVTKAHLFKPTYPPSKEKMIGPEALFFHQGAYHSRLKKLVLAAFLPSVIRGSVSEIEQIVLKFLPTWENTTINTLQEMKRYAFDVAMISAFGHKRDSEMKGIKQLYQCLEKGYNSMPLDLPGTPFHKAMKARKQLNETLRRLIQERRGNEKAGGGGLLGNLLGAKNHKVDQLSDSQIADNVIGVIFAAHDTTASVLTWVLKYLHDNRDLLEAVTREQDGIQRKIIEENRRLTWDDTRHMPLTTRVIQETLRRSSILSFTFREAVEDVEFEGYYIPKGWKVLPLFRTIHHCADFFPQPEKFDPSRFEVPLRPNTFIPFGNGVHSCPGSELAKLEMLVLLHHLTTSYRSTHS >KJB08897 pep chromosome:Graimondii2_0_v6:1:12997815:13002224:-1 gene:B456_001G111700 transcript:KJB08897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQLFFPFPSPPPLPQTPTLIITSTLFSSFFFFFLVVLVLVLFSSHQRRQPKGKILPPGSMGWPYIGETLKFYTQNPDSFFANRRRRYGDTFKTHILGCPCVMISSPEAARIVLVTKAHLFKPTYPPSKEKMIGPEALFFHQGAYHSRLKKLVLAAFLPSVIRGSVSEIEQIVLKFLPTWENTTINTLQEMKRYAFDVAMISAFGHKRDSEMKGIKQLYQCLEKGYNSMPLDLPGTPFHKAMKARKQLNETLRRLIQERRGNEKAGGGGLLGNLLGAKNHKVDQLSDSQIADNVIGVIFAAHDTTASVLTWVLKYLHDNRDLLEAVTREQDGIQRKIIEENRRLTWDDTRHMPLTTRVIQETLRRSSILSFTFREAVEDVEFEGYYIPKGWKVLPLFRTIHHCADFFPQPEKFDPSRFEVPLRPNTFIPFGNGVHSCPGSELAKLEMLVLLHHLTTSYRWQVVGDEDGIQYGPFPVPKRGLPVKVTPLQK >KJB06234 pep chromosome:Graimondii2_0_v6:1:11236567:11237401:-1 gene:B456_001G100600 transcript:KJB06234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTLSNEAFLSIQQPTSTIRKLCILTNKKNACFVDKLDRTLEFIIYLTLYMYRYVDIHTYKQPYKYSHINILRLTSLRLALFLCLGHYKGSST >KJB09794 pep chromosome:Graimondii2_0_v6:1:23696179:23696825:1 gene:B456_001G166800 transcript:KJB09794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICRRDCPSLMSLPKNGLKVALISRTWNSVIRLSGLTLRSRVNLPLAALFEREYALIDADDGVRRDSFKPRVWTVEKERAPSLEEFDHQRGFFNYSLKGGALPAKDLELEGRRMLR >KJB06449 pep chromosome:Graimondii2_0_v6:1:711843:717555:1 gene:B456_001G007800 transcript:KJB06449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFTDDGNLKRAHLYEYNITSYFIFACLVAAMGGSLFGYDLGVSGGVTSMDDFLKEFFPKIYRRKQDSLTETDYCKYDNQLLTLFTSSLYFAGLISTFGASYVTRIYGRKGSIIVGGISFFFGGVINAASVNILMLIIGRILLGAGIGFGNQAVPLYLSEISPAKHRGAINQLFQLTTCLGILIANAINYATEQLHPWGWRLSLGLATFPATLMFLGGLILPETPNSLVEQGKLEEAKKVLIKVRGTPNIDAEFADLVEASDAARAIKHPFRNLLLKKNRPQLVIGALAIPAFQQLTGMNSILFYAPVFFQTLGFGSSAALFSSLITSGALVIAASISMGLVDKFGRRAFFLEAGTEMFICMIAVAITLALKFGEGEPLPVGVGWFLVCVLFLFVLAYGRSWGPLGWLVPSEIFPLETRSAGQSMVVCTNLLFTALIAQCFLVSLCHLKYGIFLLFAGLIFIMTAFIYFLLPETKQVPIEEVYLLWKDHWFWKRYVEDHDRNGSDRP >KJB10945 pep chromosome:Graimondii2_0_v6:1:49029187:49029450:-1 gene:B456_001G245500 transcript:KJB10945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKSQITKVTGLHLQRIVNAKKSLKRTLSSSEITTVPKGHFAVYVGETEKKRFVVPLSFLKHPSFQNLLSQAEEEYGFNHALGRRSIH >KJB08527 pep chromosome:Graimondii2_0_v6:1:9281988:9284287:-1 gene:B456_001G086800 transcript:KJB08527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAKDPICSLQTSSFNNGNFDEGGDDHLKKLGGGVNNFQMTSSSSCYSPGSVDSDSFVFRPPHEEVHSLINFKGSSMYDNFIHQYHHGTNYGSLLSFEQNEKAPQSGTYLKRASQKDNYSMWDPRLVEDFSCFETASNFSSKENNGDWLYSESAMDADSIPELRSPGVAGVKRLNTGDSTQALKKQCSNEAKKAKSKSGPSKDPQSIAAKNRRERISERLKILQELVPNGSKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPVQGGKAPDISQVREAIDAILSSSQKDMNSS >KJB10537 pep chromosome:Graimondii2_0_v6:1:40451764:40453215:-1 gene:B456_001G2064002 transcript:KJB10537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRDLAREGNEIIREASKWSPELAAACEVWKAIKFEFDDWCILFCPIYHGFGSWIEPSITTSSTHPRQESSMEKSWFNLILSKGEFEYRCGLRKLMDSHLGPVESTTVNEVPTRNDTDKTFMIVVTSLVITESYHNSSYMNNESKNDEPHYHFNLYDNDTNYGWNNHINSCIDFYLCSQICIDSSILSGSDNFNDNYIYNYICGECRK >KJB09631 pep chromosome:Graimondii2_0_v6:1:21558895:21562337:1 gene:B456_001G153600 transcript:KJB09631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLFSVKTPSNATPLRVLIAATENGAGGTRRPPSPSPSVSRTKPTKKTPDKSGIDESSLENPDLGPFLLKLARDTIAFGDNPNKALDYAIRASKSFERCSGPGLELAMSFHVLAAIYSSLGRFEEAVPVLERSIDVTDDALAKFSGCMQLGDTYSMMGRLDRSIRCYESGLRIQIEALGDSDPRVAETCRYLAEAHVQAMQLDEAENLCKRTLEIHKEHSAPASPEEAADRRLMALVCEAKGDYESALEHLVLSSMSMIANGQENEVAAIDVSIGNIYLSLGRFDEAIFAYQKALTVFKSTKGESHPSVALVFIRLADLYHKTGKLRESKSYCENALRIYAKPVPGTTSEEIASGLTEVSAIYESLDEIEEALRLLEKAVKLLEDTPGNRSTIAGIEAQMGVMFYMVGRYGDARISFENAVAKLRASGESKSAFFGIVLNQMGLASVQLYRIDEAIELFEEARKILEQECGPCHLETLGVYSNLAATYDAIGRVEDAIEILEYILKMREEKLGTANPDVDDEKKRLAELLKEAGRTRNRKGKSLEKLLDARSAKKESAKRWSTFGFRT >KJB08077 pep chromosome:Graimondii2_0_v6:1:6212429:6218011:-1 gene:B456_001G062200 transcript:KJB08077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSSLFDNVKWDTNSSNGISLQFVNGCTEAGSELNEFDERREEQGYDLGLHPVPENSNSKLIECENVEQLRNIASLVRTEKASESDNRNGDFTDHGLNKEEVQSNSPLGERYNGNFKDDGMSLICMAPSCNYMEDLASCNEPDHCDSKLKCQSRNQVQSFGVNGDVASPSLDVISNCSTSLYVDENVDAGDRNHRGCGISYCWLKTPRLRESNPSSDFEDFPLLSSDTGETTLCGQSFWKCINGEINPYSDTPRSLGQKFRPKSFDELVGQGVVVRSLLSAISKGRVASLYLFHGPRGTGKTCASRIFAAALNCLSLEEDKPCGRCRECITFFSGRSRDVKEVDSLRINRSDRLRSLVKNAVSPPVSSRFKIFIIDECQLLHSETWATVLNSLEKLSHHIVFVVSTPELDMLPRSAVSRSQKYHFPKLKDSDISNRLEKLCVEEGLDYDQAALGFIAVKSNGSLRDAEMMLEQLSLLGKKITMSLTYELIGTVSDDELLDLLDLALSCDTSNTVIRARELMRSKIDPMQLISQLANLIMDILAGKCEEGSSEARRKFFGKHTSESSLQKLSHALRTLSETEKHLRVSKNQTTWLTVALLQLSSMESNFPETNDSKLCLANAQHKDGDSNSTSSTGERSNHPFTCMCNGISSSKLAKRDDSERSLESIWNRATELCQSGSLKKFLRKHGKLSSLRFNQGLAIAELEFRSPNHVSRAEKSWKHIASSLQLTIGSNVEIRINLSVTDPSLKFAKARKPSFSLFSCSRRLHLRSSSSTKSGSDSEVSQYASEKPMISDRHRPVLTYYSDHGYEMPHSCSHGSEVVRAFRNSEGNILSTGATPSCGSLRDDTSRTPAYLVDSSKGEGRDCKCQIRSVEEPDYQPNCFPRSLRPQKKAHLSNTTKMEENKLTLSIPGVCSNDPCSFYSSDNEDRLKENSEVLCWRTPTFPLKKAWQLTYNQRSRRPHIVDWVLPCSIAK >KJB08075 pep chromosome:Graimondii2_0_v6:1:6212427:6217338:-1 gene:B456_001G062200 transcript:KJB08075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSSLFDNVKWDTNSSNGISLQFVNGCTEAGSELNEFDERREEQGYDLGLHPVPENSNSKLIECENVEQLRNIASLVRTEKASESDNRNGDFTDHGLNKEEVQSNSPLGERYNGNFKDDGMSLICMAPSCNYMEDLASCNEPDHCDSKLKCQSRNQVQSFGVNGDVASPSLDVISNCSTSLYVDENVDAGDRNHRGCGISYCWLKTPRLRESNPSSDFEDFPLLSSDTGETTLCGQSFWKCINGEINPYSDTPRSLGQKFRPKSFDELVGQGVVVRSLLSAISKGRVASLYLFHGPRGTGKTCASRIFAAALNCLSLEEDKPCGRCRECITFFSGRSRDVKEVDSLRINRSDRLRSLVKNAVSPPVSSRFKIFIIDECQLLHSETWATVLNSLEKLSHHIVFVVSTPELDMLPRSAVSRSQKYHFPKLKDSDISNRLEKLCVEEGLDYDQAALGFIAVKSNGSLRDAEMMLEQLSLLGKKITMSLTYELIGTVSDDELLDLLDLALSCDTSNTVIRARELMRSKIDPMQLISQLANLIMDILAGKCEEGSSEARRKFFGKHTSESSLQKLSHALRTLSETEKHLRVSKNQTTWLTVALLQLSSMESNFPETNDSKLCLANAQHKDGDSNSTSSTGERSNHPFTCMCNGISSSKLAKRDDSERSLESIWNRATELCQSGSLKKFLRKHGKLSSLRFNQGLAIAELEFRSPNHVSRAEKSWKHIASSLQLTIGSNVEIRINLSVTDPSLKFAKARKPSFSLFSCSRRLHLRSSSSTKSGSDSEVSQYASEKPMISDRHRPVLTYYSDHGYEMPHSCSHGSEVVRAFRNSEGNILSTGATPSCGSLRDDTSRTPAYLVDSSKGEGRDCKCQIRSVEEPDYQPNCFPRSLRPQKKAHLSNTTKMEENKLTLSIPGVCSNDPCSFYSSDNEDRLKENSEVLCWRTPTFPLKKAWQLTYNQRSRRPHIVDWVLPCSIAK >KJB08076 pep chromosome:Graimondii2_0_v6:1:6212429:6217192:-1 gene:B456_001G062200 transcript:KJB08076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSSLFDNVKWDTNSSNGISLQFVNGCTEAGSELNEFDERREEQGYDLGLHPVPENSNSKLIECENVEQLRNIASLVRTEKASESDNRNGDFTDHGLNKEEVQSNSPLGERYNGNFKDDGMSLICMAPSCNYMEDLASCNEPDHCDSKLKCQSRNQVQSFGVNGDVASPSLDVISNCSTSLYVDENVDAGDRNHRGCGISYCWLKTPRLRESNPSSDFEDFPLLSSDTGETTLCGQSFWKCINGEINPYSDTPRSLGQKFRPKSFDELVGQGVVVRSLLSAISKGRVASLYLFHGPRGTGKTCASRIFAAALNCLSLEEDKPCGRCRECITFFSGRSRDVKEVDSLRINRSDRLRSLVKNAVSPPVSSRFKIFIIDECQLLHSETWATVLNSLEKLSHHIVFVVSTPELDMLPRSAVSRSQKYHFPKLKDSDISNRLEKLCVEEGLDYDQAALGFIAVKSNGSLRDAEMMLEQLSLLGKKITMSLTYELIGTVSDDELLDLLDLALSCDTSNTVIRARELMRSKIDPMQLISQLANLIMDILAGKCEEGSSEARRKFFGKHTSESSLQKLSHALRTLSETEKHLRVSKNQTTWLTVALLQLSSMESNFPETNDSKLCLANAQHKDGDSNSTSSTGERSNHPFTCMCNGISSSKLAKRDDSERSLESIWNRATELCQSGSLKKFLRKHGKLSSLRFNQGT >KJB11338 pep chromosome:Graimondii2_0_v6:1:52521889:52525508:1 gene:B456_001G254100 transcript:KJB11338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAQVNNVKGKLDQINQIVDLISHIRDYMLKIEHFEPTSGILIPPHFVCPLSFELMMDPVIVASGQTYDRASIQKWFDSGLTICPKTRQTLKHTNLTPNSTVKAMLANWCDKNNLQLSNHTGHAKLVSISSPSNHKSSQDLTHTDSFRCFANSSSSTSRSSIEVGTGLEKLKIDISSRYSGDCNRCQSGEIDKYDQSSDHSYIHSRTESAASEVSSIDYMPPALNDLSRRLKKPEMNEVAEISTKGLGTFPIRKDSGFSSWTSGKQLQVSGTKVEEAVNGNHDYNRAYSITFSGSGCDDLTTTSHVMELVDNLKSLSNEVQTKAALELRLLAKNNMENRIIIGRCGAIAPLLCLLYSEVKLIQEHAVTALLNLSISEDNKAIIAKSGAIEPLIHVLKSGNDGAKENSAAALFSLSALEDYKARIGRSGAVKALVNLLGSGTFRGKKDAATALFNLSIFHENKARMVQAGAVKYLVQLMDPDSGMVDKAVALLSNLSTVAEGRVAIVREGGVPLLVEILESGSQRGKENAASVLLQLCLNSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRNQREGATGKSK >KJB11341 pep chromosome:Graimondii2_0_v6:1:52520941:52525508:1 gene:B456_001G254100 transcript:KJB11341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSSLQICHILYKSLQSSPSTSSITSVQHCIREIKCLNQERLSENIGDALRSLKDGAIPCTDHLVKVIKSLNLTSNQELLKETVAVEKERMNAQVNNVKGKLDQINQIVDLISHIRDYMLKIEHFEPTSGILIPPHFVCPLSFELMMDPVIVASGQTYDRASIQKWFDSGLTICPKTRQTLKHTNLTPNSTVKAMLANWCDKNNLQLSNHTGHAKLVSISSPSNHKSSQDLTHTDSFRCFANSSSSTSRSSIEVGTGLEKLKIDISSRYSGDCNRCQSGEIDKYDQSSDHSYIHSRTESAASEVSSIDYMPPALNDLSRRLKKPEMNEVAEISTKGLGTFPIRKDSGFSSWTSGKQLQVSGTKVEEAVNGNHDYNRAYSITFSGSGCDDLTTTSHVMELVDNLKSLSNEVQTKAALELRLLAKNNMENRIIIGRCGAIAPLLCLLYSEVKLIQEHAVTALLNLSISEDNKAIIAKSGAIEPLIHVLKSGNDGAKENSAAALFSLSALEDYKARIGRSGAVKALVNLLGSGTFRGKKDAATALFNLSIFHENKARMVQAGAVKYLVQLMDPDSGMVDKAVALLSNLSTVAEGRVAIVREGGVPLLVEILESGSQRGKENAASVLLQLCLNSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRNQREGATGKSK >KJB11340 pep chromosome:Graimondii2_0_v6:1:52519471:52525508:1 gene:B456_001G254100 transcript:KJB11340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTSVRCLINSIARYVHLVSCQTRKVVPIEKDYRNMVVVLKLLKPLLDDVVDCEISSDEILCKECEELDMLVNEAREFMENWCPKMSKIHMVLQSEPFLIKMQSSSLQICHILYKSLQSSPSTSSITSVQHCIREIKCLNQERLSENIGDALRSLKDGAIPCTDHLVKVIKSLNLTSNQELLKETVAVEKERMNAQVNNVKGKLDQINQIVDLISHIRDYMLKIEHFEPTSGILIPPHFVCPLSFELMMDPVIVASGQTYDRASIQKWFDSGLTICPKTRQTLKHTNLTPNSTVKAMLANWCDKNNLQLSNHTGHAKLVSISSPSNHKSSQDLTHTDSFRCFANSSSSTSRSSIEVGTGLEKLKIDISSRYSGDCNRCQSGEIDKYDQSSDHSYIHSRTESAASEVSSIDYMPPALNDLSRRLKKPEMNEVAEISTKGLGTFPIRKDSGFSSWTSGKQLQVSGTKVEEAVNGNHDYNRAYSITFSGSGCDDLTTTSHVMELVDNLKSLSNEVQTKAALELRLLAKNNMENRIIIGRCGAIAPLLCLLYSEVKLIQEHAVTALLNLSISEDNKAIIAKSGAIEPLIHVLKSGNDGAKENSAAALFSLSALEDYKARIGRSGAVKALVNLLGSGTFRGKKDAATALFNLSIFHENKARMVQAGAVKYLVQLMDPDSGMVDKAVALLSNLSTVAEGRVAIVREGGVPLLVEILESGSQRGKENAASVLLQLCLNSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRNQREGATGKSK >KJB11337 pep chromosome:Graimondii2_0_v6:1:52520134:52525508:1 gene:B456_001G254100 transcript:KJB11337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTSVRCLINSIARYVHLVSCQTRKVVPIEKDYRNMVVVLKLLKPLLDDVVDCEISSDEILCKECEELDMLVNEAREFMENWCPKMSKIHMVLQSEPFLIKMQSSSLQICHILYKSLQSSPSTSSITSVQHCIREIKCLNQERLSENIGDALRSLKDGAIPCTDHLVKVIKSLNLTSNQELLKETVAVEKERMNAQVNNVKGKLDQINQIVDLISHIRDYMLKIEHFEPTSGILIPPHFVCPLSFELMMDPVIVASGQTYDRASIQKWFDSGLTICPKTRQTLKHTNLTPNSTVKAMLANWCDKNNLQLSNHTGHAKLVSISSPSNHKSSQDLTHTDSFRCFANSSSSTSRSSIEVGTGLEKLKIDISSRYSGDCNRCQSGEIDKYDQSSDHSYIHSRTESAASEVSSIDYMPPALNDLSRRLKKPEMNEVAEISTKGLGTFPIRKDSGFSSWTSGKQLQVSGTKVEEAVNGNHDYNRAYSITFSGSGCDDLTTTSHVMELVDNLKSLSNEVQTKAALELRLLAKNNMENRIIIGRCGAIAPLLCLLYSEVKLIQEHAVTALLNLSISEDNKAIIAKSGAIEPLIHVLKSGNDGAKENSAAALFSLSALEDYKARIGRSGAVKALVNLLGSGTFRGKKDAATALFNLSIFHENKARMVQAGAVKYLVQLMDPDSGMVDKAVALLSNLSTVAEGRVAIVREGGVPLLVEILESGSQRGKENAASVLLQLCLNSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRNQREGATGKSK >KJB11339 pep chromosome:Graimondii2_0_v6:1:52519535:52525508:1 gene:B456_001G254100 transcript:KJB11339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTSVRCLINSIARYVHLVSCQTRKVVPIEKDYRNMVVVLKLLKPLLDDVVDCEISSDEILCKECEELDMLVNEAREFMENWCPKMSKIHMVLQSEPFLIKMQSSSLQICHILYKSLQSSPSTSSITSVQHCIREIKCLNQERLSENIGDALRSLKDGAIPCTDHLVKVIKSLNLTSNQELLKETVAVEKERMNAQVNNVKGKLDQINQIVDLISHIRDYMLKIEHFEPTSGILIPPHFVCPLSFELMMDPVIVASGQTYDRASIQKWFDSGLTICPKTRQTLKHTNLTPNSTVKAMLANWCDKNNLQLSNHTGHAKLVSISSPSNHKSSQDLTHTDSFRCFANSSSSTSRSSIEVGTGLEKLKIDISSRYSGDCNRCQSGEIDKYDQSSDHSYIHSRTESAASEVSSIDYMPPALNDLSRRLKKPEMNEVAEISTKGLGTFPIRKDSGFSSWTSGKQLQVSGTKVEEAVNGNHDYNRAYSITFSGSGCDDLTTTSHVMELVDNLKSLSNEVQTKAALELRLLAKNNMENRIIIGRCGAIAPLLCLLYSEVKLIQEHAVTALLNLSISEDNKAIIAKSGAIEPLIHVLKSGNDGAKENSAAALFSLSALEDYKARIGRSGAVKALVNLLGSGTFRGKKDAATALFNLSIFHENKARMVQAGAVKYLVQLMDPDSGMVDKAVALLSNLSTVAEGRVAIVREGGVPLLVEILESGSQRGKENAASVLLQLCLNSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRNQREGATGKSK >KJB09313 pep chromosome:Graimondii2_0_v6:1:17509267:17510145:-1 gene:B456_001G134300 transcript:KJB09313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSISPCFHPNPRSSVKLIFWEGNTRILTGKHIAGEVMFEFPDKMVCHADSFFIGHPIPVLGIDDDLMPGQTYFVLPLDRFPCMNVLSASSLAALKSSPKPAPINFGDCPFEYVKGSNGRVLIKVLPEFITSLIHGSKEEGSENSFLCSTPELKKHYDMLVGSKEQVWSPKLETISEYKIRFSPCRFIGLEWKQKENQI >KJB11242 pep chromosome:Graimondii2_0_v6:1:50736273:50743667:1 gene:B456_001G249200 transcript:KJB11242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWIRTAVSRAVEVGGNNNLRRTVWSVADSVVQHAGSAVFGGARMIHNRIAARNMQNLRITVKRLEEVSVSCKGVERIQLLRRWLVALKEIDRLLNDQNNNNDDNKNISITINNSDTTNDNDKNNVDQFSFEDIKDSPQKPSLVYYYDPEVGEPMNFREVFLYSQALEGMTLSMILEVPNEEEVSLFMEILRICIAGGKEVHETVMSNIMNLAVAFSNYVEEVLVKREELLQYAQAAIKGLKINVGLARIDAEACSLKEKLGEMKALQNSSTQVHEDFFQKQTTAMIEALKEALGLVRLYSRLEALLLKKKTLSNGDTPQLHAEKVDKLKVLSESLSNSTSKAEKRILEQRVQKEEAVSFRIAKANEVSQQEKELEAAIQELEKQKDELEAELKKVNASLIAARVRLRNAREEREHFDDASNQILLQLTSKEEEISRSIASCRVEADVVNAWIHFLEDTWFLQTTFHEQKEKQVNGELERYGEYFVNLVTHLLSAYKEQLRPSLSRIWQLVESLSSSERSGETAKDESQKAPNQRRNLEEEYLNLESKFVSTFSVVGSMKTQIYSPNEGTYRKKDEKLKELFDDLESIREEFESMERPTLELENPILNSHSPSTPKSQKSPWSRLKSSLNKKDQKKLKFELEQDEDEESLDYETDEIVEWEYDAFEKDLKPTN >KJB11243 pep chromosome:Graimondii2_0_v6:1:50738235:50743667:1 gene:B456_001G249200 transcript:KJB11243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQILEVPNEEEVSLFMEILRICIAGGKEVHETVMSNIMNLAVAFSNYVEEVLVKREELLQYAQAAIKGLKINVGLARIDAEACSLKEKLGEMKALQNSSTQVHEDFFQKQTTAMIEALKEALGLVRLYSRLEALLLKKKTLSNGDTPQLHAEKVDKLKVLSESLSNSTSKAEKRILEQRVQKEEAVSFRIAKANEVSQQEKELEAAIQELEKQKDELEAELKKVNASLIAARVRLRNAREEREHFDDASNQILLQLTSKEEEISRSIASCRVEADVVNAWIHFLEDTWFLQTTFHEQKEKQVNGELERYGEYFVNLVTHLLSAYKEQLRPSLSRIWQLVESLSSSERSGETAKDESQKAPNQRRNLEEEYLNLESKFVSTFSVVGSMKTQIYSPNEGTYRKKDEKLKELFDDLESIREEFESMERPTLELENPILNSHSPSTPKSQKSPWSRLKSSLNKKDQKKLKFELEQDEDEESLDYETDEIVEWEYDAFEKDLKPTN >KJB11241 pep chromosome:Graimondii2_0_v6:1:50736273:50743667:1 gene:B456_001G249200 transcript:KJB11241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWIRTAVSRAVEVGGNNNLRRTVWSVADSVVQHAGSAVFGGARMIHNRIAARNMQNLRITVKRLEEVSVSCKGVERIQLLRRWLVALKEIDRLLNDQNNNNDDNKNISITINNSDTTNDNDKNNVDQFSFEDIKDSPQKPSLVYYYDPEVGEPMNFREVFLYSQALEGMTLSMILEVPNEEEVSLFMEILRICIAGGKEVHETVMSNIMNLAVAFSNYVEEVLVKREELLQYAQAAIKGLKINVGLARIDAEACSLKEKLGEMKALQNSSTQVHEDFFQKQTTAMIEALKEALGLVRLYSRLEALLLKKKTLSNGDTPQLHAEKVDKLKVLSESLSNSTSKAEKRILEQRVQKEEAVSFRIAKANEVSQQEKELEAAIQELEKQKDELEAELKKVNASLIAARVRLRNAREEREHFDDASNQILLQLTSKEEEISRSIASCRVEADVVNAWIHFLEDTWFLQTTFHEQKEKQVNGELERYGEYFVNLVTHLLSAYKEQLRPSLSRIWQLVESLSSSERSGETAKDESQKAPNQRRNLEEEYLNLESKEKR >KJB08162 pep chromosome:Graimondii2_0_v6:1:6895369:6899434:1 gene:B456_001G068600 transcript:KJB08162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMNNIVSGANIHISDQRKIVSVSNGSNCETLTSGGDENMVDNIKEAGGWFAEHCPIWPGQAHYIKVEKVLFEGKSKYQRMMVFLSSGYGKVFVLDGALQLTEKDECSYQEMMTHLPLCSIPAPNKVLLIGGGDGGILREISRHNSVHHIDICEIDTVLIDVYKEFFPDIAIGYDDPRVTLNVQDGTAFLKSVPNGTYDAIIVDAFDPIRPEHELLDSPFFKLAAKALRPGGVMCIQAESLWYQPFDIKQLISSFRHIFKGSISYAWTIVPTYPSGVIGFLLCSTEGPYVDFKVPVNPIDPDQISGVAKQPLKFYNSEVHSAAFCLPTFAKKTMDLNV >KJB06875 pep chromosome:Graimondii2_0_v6:1:6458564:6461440:-1 gene:B456_001G064700 transcript:KJB06875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNEVCNREDKRTIAPEHVLKALEQDSLKGGKWSNGAEMTEEEAVAEQQRMFAEARARMNGGAVAPKQPDPDPSLES >KJB06872 pep chromosome:Graimondii2_0_v6:1:6458195:6462037:-1 gene:B456_001G064700 transcript:KJB06872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNEVCNREDKRTIAPEHVLKALEVLGFGKYIEEVYAAYEQHKIETLQDSLKGGKWSNGAEMTEEEAVAEQQRMFAEARARMNGGAVAPKQPDPDPSLES >KJB06873 pep chromosome:Graimondii2_0_v6:1:6458218:6461638:-1 gene:B456_001G064700 transcript:KJB06873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNEVCNREDKRTIAPEHVLKALEVLGFGKYIEEVYAAYEQHKIETLDSLKGGKWSNGAEMTEEEAVAEQQRMFAEARARMNGGAVAPKQPDPDPSLES >KJB06876 pep chromosome:Graimondii2_0_v6:1:6459363:6461638:-1 gene:B456_001G064700 transcript:KJB06876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNEVCNREDKRTIAPEHVLKALEVTPSPTYFHLSIWSFMCISSVLCFIKFEKVMP >KJB06874 pep chromosome:Graimondii2_0_v6:1:6458219:6461638:-1 gene:B456_001G064700 transcript:KJB06874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNEVCNREDKRTIAPEHVLKALEDSLKGGKWSNGAEMTEEEAVAEQQRMFAEARARMNGGAVAPKQPDPDPSLES >KJB08628 pep chromosome:Graimondii2_0_v6:1:10468319:10468747:1 gene:B456_001G094500 transcript:KJB08628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCELQSKRFILGDIGTFRFFYMVSELKVEFKYSTDCAKHDPEVTEDTEKNSAELLMEELKLREAEALESQRRADMALLEAKKIASQYQKEADKCNSGMETCEEAREKAEAALVAQKKLTAMWETRARQKGWREGVAKSHTDS >KJB08629 pep chromosome:Graimondii2_0_v6:1:10466995:10469230:1 gene:B456_001G094500 transcript:KJB08629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNHAAAVKVGLGLLGLCLFGYIVGPPLYWHLMEGLAAVSRTSNTCPPCLCDCSSQPLLTLPEALESQRRADMALLEAKKIASQYQKEADKCNSGMETCEEAREKAEAALVAQKKLTAMWETRARQKGWREGVAKSHTDS >KJB08627 pep chromosome:Graimondii2_0_v6:1:10466944:10469230:1 gene:B456_001G094500 transcript:KJB08627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNHAAAVKVGLGLLGLCLFGYIVGPPLYWHLMEGLAAVSRTSNTCPPCLCDCSSQPLLTLPEGLSNASFADCAKHDPEVTEDTEKNSAELLMEELKLREAEALESQRRADMALLEAKKIASQYQKEADKCNSGMETCEEAREKAEAALVAQKKLTAMWETRARQKGWREGVAKSHTDS >KJB10277 pep chromosome:Graimondii2_0_v6:1:32816859:32818594:1 gene:B456_001G193600 transcript:KJB10277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTRKIVLFACLLLVSFLASSSAQTCLNHSFSNRQYANCSDLPALNCFLHWTYDEAAGTVEVAFRHTGTTSSRWSAWGINPSGPSMLNTQALVAYVNSSGIPHAFTTSIDSMSPSMQQSALSFQVPSLSAEFENNEMRIFAVMRISESLLATNQVWQEGPVSNDQLMIHPTSGDNMRSTASVNFLTGQSGGTSSGSSRTRRRNVHGVLNTVSWGILMPLGAITARYMKVFKSADPAWFYLHVVCQTSAYAVGVAGWATGIRLGSDSAGVTHNPHRNIGITLFCLGTLQVFALLLRPNKDHKYRLYWNIYHHSVGYAVIILSIINIFDGFDILHPDDHWERIYIGILIFLGVVATLLEGITWYIVLRRKNRGSDTDKRSQSINGANGVNGFGARGQDV >KJB10278 pep chromosome:Graimondii2_0_v6:1:32816999:32818570:1 gene:B456_001G193600 transcript:KJB10278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTRKIVLFACLLLVSFLASSSAQTCLNHSFSNRQYANCSDLPALNCFLHWTYDEAAGTVEVAFRHTGTTSSRWSAWGINPSGPSMLNTQALVAYVNSSGIPHAFTTSIDSMSPSMQQSALSFQVPSLSAEFENNEMRIFAVMRISESLLATNQVWQEGPVSNDQLMIHPTSGDNMRSTASVNFLTGQSGGTSSGSSRTRRRNVHGVLNTVSWGILMPLGAITARYMKVFKSADPAWFYLHVVCQTSAYAVGVAGWATGIRLGSDSAGVTHNPHRNIGITLFCLGTLQVTFASRVFMFLKSYKQTFMVEICGACRCLLCF >KJB06847 pep chromosome:Graimondii2_0_v6:1:45128697:45129488:1 gene:B456_001G222200 transcript:KJB06847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGHDQMGTCLFLLKNPVSWVVVLAPLITSASFFIFGSLSIFFTSTVLILSTVVFILSKRKLKLVVESVEEDIHKSVEERSPQIQPEGELNKQNSKDQEGASYDHQIHDCIAKSPDVLSENECVNDDQLSTTEDSEVDWSFRDNRDGTPDWSDDEDSLIEISLPGGQYQPNNKYGSMQQKLPEFMPESIFQQRSLMELLAELNDMNEEENLIEIDISMGSIKYSRFEIEA >KJB07242 pep chromosome:Graimondii2_0_v6:1:996606:1000762:-1 gene:B456_001G010500 transcript:KJB07242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMLHRSFKAAKCKTALKLAIPRIKLMKNKREAQVKQIKRELAQLLESDQDQTARIRVEHVVREEKTVEAYNLLEIYCELIVARMPMIESQKNCPIDLKEAISSVVFASARCEEIPELKDATKHFTAKYGKEFTSAALELRPNCGVARMLTEKLSANAPDGPTKLNILTAIAKEHNINWDPASFGAKESKIYDDKLNGPNTFTEAVKVSAYSPNIQVSPSHNEQRPPSVQVPNYDKGPPGVQDTKYMEKNDVPTSFSEYSSRSSPNPKNFGYSMSSGTGNQGFMHSYSGNESAYSSPRQHWNMEFKDATTAAQAAAESAELASMAARAAAELSSRGNFSPRYSMSPSHRMRDEEPWKYTGLASQHEHVGRDPVNVSLHGRNSRNYERVDSNEQYARAGNGDKSTNSSFKSTASSYNEETSPKNQTADAYSRRDSFEGRQMEHFADTKRNSGENGDPHNVRVREQSRFSSSRSQSNSFTDDHDVVSDSNWLKSENYKRNSGASRMPFVNELHDTKNSDFSDYQEVRIRKQSSHSSSSTFSDDNDVGPNLNRHDDSFIRHDKGSLQRSTKKTTDSYDNVSAVFDNYGSDNNEDHFNLEEEHEVHEFVSPVQRSPTRPLRTKTFPESHVFSEQRASPIFFERSMSPSVPSYEDDLPATFDDNGPSSEGDEEKDKSKVVRSTNPSIGSNDSSLEESMGLNFGKLTGGLRNKGYRRPPFPLGSAISSVEVADDASTRINQSSPRAAVEASEPYGKKGSDEVNRKLSMTASGTHDDSSDDDNEEERPILSFSSTRDQYNKRSSLRVSVPYFGSRNSDSDEDLPKTSLKAHSNTGFSRRTKASPSNSQRNSNLQSTVSSEPKVVSDYSSRSSNANESLPKTQPQKTNSGHSVSFQNPELASQATSRPVSETKRSSSDITLKSSASVPKIISSASAKSLKSQTSTGEGQSKQNASHVHPKLPDIDILTAHFNSLRQNRQ >KJB08664 pep chromosome:Graimondii2_0_v6:1:10804610:10805557:-1 gene:B456_001G0968002 transcript:KJB08664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GENVSYICSTCSIQVHKDCISLPCFIRFTQHRHHLSRNFFLSIRCHNSRIWDCRIYYKKVDMEHGSYCCSRPDCDFVIHVKCATSEASKFWYNVTEFENPNEFTELDEFENLIIPVLQEIKVGDNVYCSCCDFFLHKLCVKSLRKTLLWFSQNHFMLLTDGIFKCWLCEYDCSGFSYEEEYSDGLVVCLQCATTPHSFTYQTKESHYLFYDVENRSDCSACGRRRYNESSNICKDGDFVLNSGCVTLPKTSRHSCDEHPLKLFYGDSNDYPLPSLV >KJB09943 pep chromosome:Graimondii2_0_v6:1:26296394:26301175:-1 gene:B456_001G176700 transcript:KJB09943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASQEGKNRGNNNGEVRYRGIRRRPWGKFAAEIRDPTRNGARLWLGTFETAEEAARAYDRAAFAFRGHTAILNFPNEYQYQNPSFPPSSVSSSTYSAGRGNPQRGGANEVIEFEYLDDKLLEDLLQTQDDRHRL >KJB09720 pep chromosome:Graimondii2_0_v6:1:22848222:22853975:1 gene:B456_001G159000 transcript:KJB09720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESSYGPGAHTIKGIPTHGGRYVLYNVYGNHFEVSRKYAPPIRPVGRGAYGIVCAALNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPQRENFNDVYIVYELMDTDLHQIIRSDQQLTDDHCRYFVYQILRGLKYAHSANVLHRDLKPANLLLNANGNLKIVEFGLASTTSETDFMTECIGTCWYLAPELLQNCSEYTAAVDIWSVGCILGEMMTRQPLFPGRDHVHQLRLITELIGSPNDSSCEFLRCDKSRRYVRQLPQYPRQNFSVRFPNMSPGAVDLLEKMLIFDPHRRITVDEALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEENIKELIYRESVKYNPDPIH >KJB09723 pep chromosome:Graimondii2_0_v6:1:22848222:22853975:1 gene:B456_001G159000 transcript:KJB09723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESSYGPGAHTIKGIPTHGGRYVLYNVYGNHFEVSRKYAPPIRPVGRGAYGIVCAALNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPQRENFNDVYIVYELMDTDLHQIIRSDQQLTDDHCRYFVYQILRGLKYAHSANVLHRDLKPANLLLNANGNLKIVEFGLASTTSETDFMTECIGTCWYLAPELLQNCSEYTAAVDIWSVGCILGEMMTRQPLFPGRDHVHQLRLITELIGSPNDSSCEFLRCDKSRRYVRQLPQYPRQNFSVRFPNMSPGAVDLLEKMLIFDPHRRITVDEALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEENIKELIYRESVKYNPDPIH >KJB09719 pep chromosome:Graimondii2_0_v6:1:22849937:22854011:1 gene:B456_001G159000 transcript:KJB09719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESSYGPGAHTIKGIPTHGGRYVLYNVYGNHFEVSRKYAPPIRPVGRGAYGIVCAALNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPQRENFNDVYIVYELMDTDLHQIIRSDQQLTDDHCRYFVYQILRGLKYAHSANVLHRDLKPANLLLNANGNLKIVEFGLASTTSETDFMTECIGTCWYLAPELLQNCSEYTAAVDIWSVGCILGEMMTRQPLFPGRDHVHQLRLITELIGSPNDSSCEFLRCDKSRRYVRQLPQYPRQNFSVRFPNMSPGAVDLLEKMLIFDPHRRITVDEALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEENIKELIYRESVKYNPDPIH >KJB09721 pep chromosome:Graimondii2_0_v6:1:22848216:22854020:1 gene:B456_001G159000 transcript:KJB09721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESSYGPGAHTIKGIPTHGGRYVLYNVYGNHFEVSRKYAPPIRPVGRGAYGIVCAALNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPQRENFNDVYIVYELMDTDLHQIIRSDQQLTDDHCRYFVYQILRGLKYAHSANVLHRDLKPANLLLNANGNLKIVEFGLASTTSETDFMTECIGTCWYLAPELLQNCSEYTAAVDIWSVGCILGEMMTRQPLFPGRDHVHQLRLITELIGSPNDSSCEFLRCDKSRRYVRQLPQYPRQNFSVRFPNMSPGAVDLLEKMLIFDPHRRITVDEALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEENIKELIYRESVKYNPDPIH >KJB09722 pep chromosome:Graimondii2_0_v6:1:22848216:22854020:1 gene:B456_001G159000 transcript:KJB09722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESSYGPGAHTIKGIPTHGGRYVLYNVYGNHFEVSRKYAPPIRPVGRGAYGIVCAALNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPQRENFNDVYIVYELMDTDLHQIIRSDQQLTDDHCRYFVYQILRGLKYAHSANVLHRDLKPANLLLNANGNLKIVEFGLASTTSETDFMTECIGTCWYLAPELLQNCSEYTAAVDIWSVGCILGEMMTRQPLFPGRDHVHQLRLITELIGSPNDSSCEFLRCDKSRRYVRQLPQYPRQNFSVRFPNMSPGAVDLLEKMLIFDPHRRITVDEALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEENIKELIYRESVKYNPDPIH >KJB08236 pep chromosome:Graimondii2_0_v6:1:7463727:7467885:-1 gene:B456_001G073400 transcript:KJB08236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGHQKNSKVVSPIKPEERKSSSLIEVPALLEELKEKTDNFGSNALIGEGSYGRVYYANLNDGKTVAVKKLDVST >KJB09935 pep chromosome:Graimondii2_0_v6:1:26113632:26114369:-1 gene:B456_001G176000 transcript:KJB09935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding-like protein 8 [Source:Projected from Arabidopsis thaliana (AT1G02065) UniProtKB/Swiss-Prot;Acc:Q8GXL3] MLEYEWGNPSSIMLSGEETAQEPDPNRQLFHHYATTTTTTTTHHQPYNETLLSHHNPTVFSHQNLFHNPNQAQPPQHPATLHSLYDPHSYSATSAYSTVHASLLSLDPVSAVGGSGGGYFLVPKTEEVSRPVDFTARIGLNLGGRTYFSSAEDDFVNRLYRRSRPGDLSSTNSPRCQAEGCNADLTQAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRLKSFKLKLKTKKRRRKKTFPILQ >KJB09936 pep chromosome:Graimondii2_0_v6:1:26112561:26115100:-1 gene:B456_001G176000 transcript:KJB09936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding-like protein 8 [Source:Projected from Arabidopsis thaliana (AT1G02065) UniProtKB/Swiss-Prot;Acc:Q8GXL3] MLEYEWGNPSSIMLSGEETAQEPDPNRQLFHHYATTTTTTTTHHQPYNETLLSHHNPTVFSHQNLFHNPNQAQPPQHPATLHSLYDPHSYSATSAYSTVHASLLSLDPVSAVGGSGGGYFLVPKTEEVSRPVDFTARIGLNLGGRTYFSSAEDDFVNRLYRRSRPGDLSSTNSPRCQAEGCNADLTQAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKSQQQQPNTTQENQKHLPLESGGNPSSDNPQGHRRILGSSHLLQ >KJB09932 pep chromosome:Graimondii2_0_v6:1:26112550:26115314:-1 gene:B456_001G176000 transcript:KJB09932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding-like protein 8 [Source:Projected from Arabidopsis thaliana (AT1G02065) UniProtKB/Swiss-Prot;Acc:Q8GXL3] MLEYEWGNPSSIMLSGEETAQEPDPNRQLFHHYATTTTTTTTHHQPYNETLLSHHNPTVFSHQNLFHNPNQAQPPQHPATLHSLYDPHSYSATSAYSTVHASLLSLDPVSAVGGSGGGYFLVPKTEEVSRPVDFTARIGLNLGGRTYFSSAEDDFVNRLYRRSRPGDLSSTNSPRCQAEGCNADLTQAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKSQQQQPNTTQENQKHLPLESGGNPSSDNPQGHRRILGSSHLLQ >KJB09934 pep chromosome:Graimondii2_0_v6:1:26113632:26114369:-1 gene:B456_001G176000 transcript:KJB09934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding-like protein 8 [Source:Projected from Arabidopsis thaliana (AT1G02065) UniProtKB/Swiss-Prot;Acc:Q8GXL3] MLEYEWGNPSSIMLSGEETAQEPDPNRQLFHHYATTTTTTTTHHQPYNETLLSHHNPTVFSHQNLFHNPNQAQPPQHPATLHSLYDPHSYSATSAYSTVHASLLSLDPVSAVGGSGGGYFLVPKTEEVSRPVDFTARIGLNLGGRTYFSSAEDDFVNRLYRRSRPGDLSSTNSPRCQAEGCNADLTQAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRLKSFKLKLKTKKRRRKKTFPILQ >KJB09933 pep chromosome:Graimondii2_0_v6:1:26112555:26115314:-1 gene:B456_001G176000 transcript:KJB09933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding-like protein 8 [Source:Projected from Arabidopsis thaliana (AT1G02065) UniProtKB/Swiss-Prot;Acc:Q8GXL3] MLEYEWGNPSSIMLSGEETAQEPDPNRQLFHHYATTTTTTTTHHQPYNETLLSHHNPTVFSHQNLFHNPNQAQPPQHPATLHSLYDPHSYSATSAYSTVHASLLSLDPVSAVGGSGGGYFLVPKTEEVSRPVDFTARIGLNLGGRTYFSSAEDDFVNRLYRRSRPGDLSSTNSPRCQAEGCNADLTQAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKSQQQQPNTTQENQKHLPLESGGNPSSDNPQGHRRILGSSHLLQ >KJB06140 pep chromosome:Graimondii2_0_v6:1:45844151:45845066:1 gene:B456_001G2252001 transcript:KJB06140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVAKKGRSFLASSTLEVEALAMHEGLLLADNKRRQQSCRLGCISIQEEDVPFQLGGFIPSSLVPILS >KJB07287 pep chromosome:Graimondii2_0_v6:1:1311317:1312632:-1 gene:B456_001G0137001 transcript:KJB07287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDDEHNSSSTDEGRKEMDIYPLSCYYFGSKEAIVFKDETVSDRITRMKSNYAAYGLRTSVEAVLLVELFKHPHLLLLQLNNSIFKLPGGRLRPGET >KJB08758 pep chromosome:Graimondii2_0_v6:1:11429828:11430847:1 gene:B456_001G102000 transcript:KJB08758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEESNQQHHQRVHRNDGNPAVQSRRLTSTASSSSSSSKTSVHVTALDGLVNVNSLFTVAVFVGLSLTTPGQHSLENRAPCDAGVDVAKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDEAFRAHINLKVLRFGMMGSAVGSVMGCLFLMLSMVNVIEIRLGLLSCGSKSSVHAAAALVVLVSLALLVYISTAVYAFLH >KJB06132 pep chromosome:Graimondii2_0_v6:1:40004718:40005540:-1 gene:B456_001G2054001 transcript:KJB06132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSEDESQLSAIARAILNILSLENVKILTYFNHKMSKECLEKVTQTLSFLAQPRESHLLLLTGEVQRDRAAELLGLRACNFQPRHSNKLGNEFRVFTNYDPGERLGGWEQEQ >KJB06131 pep chromosome:Graimondii2_0_v6:1:40004718:40007874:-1 gene:B456_001G2054001 transcript:KJB06131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSEDESQLSAIARAILNILSLENVKILTYFNHKMSKECLEKVTQTLSFLAQPRESHLLLLTGEVQRDRAAELLGLRACNFQPRHSNKLGNEFRVFTNYDPGERLGGWEQEQ >KJB06133 pep chromosome:Graimondii2_0_v6:1:40004718:40006775:-1 gene:B456_001G2054001 transcript:KJB06133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSEDESQLSAIARAILNILSLENVKILTYFNHKMSKECLEKVTQTLSFLAQPRESHLLLLTGEVQRDRAAELLGLRACNFQPRHSNKLGNEFRVFTNYDPGERLGGWEQEQ >KJB11030 pep chromosome:Graimondii2_0_v6:1:47683180:47684015:-1 gene:B456_001G238600 transcript:KJB11030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKEVPVPVMELESEESSTEEAEVESPRSVVVEMKKKVERVHSQVLRIREEESHLGEDLVGRDKENENDVVVVVRGGFHEKRRRGVNVVLACSPLSGKNNVKTPCGKAT >KJB10284 pep chromosome:Graimondii2_0_v6:1:32910728:32913172:1 gene:B456_001G193900 transcript:KJB10284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLCILARNGLTYPTHDEEAAAKAAAINSDSGAPTIFDKIIAKEIPSTIVYEDDKVLAFKDISLQAPVHVLVIPKFRDGLTQLGKAEQRHGEILGQLLLFDVPLLKLSYASSLASSQPAQPSLL >KJB08818 pep chromosome:Graimondii2_0_v6:1:11922802:11924516:-1 gene:B456_001G105800 transcript:KJB08818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPLQRMPKIRFRGLIIYFIITMTLLYISYSNLLFSKKDRKSPDITIVPNKTEAPPFNHSSNTTSSHVQNSADQKRPNLVEPPPRRYLRYDTNLKHIAFGIASSSNLWEIRKEYIKTWWRPKETRGVVWLDKKVVAKKGERLPEIRVSEDTSQFKYLNKVGSRSALRITRVVSETLKLGMKDIRWFVMGDDDTIFVVENLVRVLSKYDHTQYYYIGSASESHIQNILFSYSMAYGGGGFAISYPLAKELSKMQDKCIHRYPALYGSDDRIQACMAELGVPLTRELGFHQYDVVGDILGLLGAHPVTPLVSLHHLDVVNPIYPGMKRAKALAHMLEAANEDSASLMQQSICYDSTRYWSITVSWGYAVQILRGVMSPRELEMPSRTFFSWHKRVDYTAYAFNTRPVERHPCQRPFVFYMYKTKTEPETNQTVGLYYRHRTRSRYCRWKMASPEKLDFVVVIKPRDEDRWLKAPRRDCCRAFPKIKNNTMILYVGNCKDGEISEFQSKKLL >KJB09692 pep chromosome:Graimondii2_0_v6:1:22287724:22289742:-1 gene:B456_001G156800 transcript:KJB09692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNNKKLPSLIERAWALHDRLNHEIDDSISFCRYCSDHGRYCDIGQTPFLERKRLIAIRDSLNQVENTLLHLQKLQSWQLKDRQLALSRLEQTRSCLIKQVTQYEGRPLDVVKELSACFGNDHENRTSIDRDVEESVKKNEGESSRRRISGFLICCIRVLFRPWKWQNAVGIAVNLILISASLSSTIKFYHSKQQPYSNSQRKTIVSATYSKEKAAEKLDSLLTISKMPLDVFCGRG >KJB11624 pep chromosome:Graimondii2_0_v6:1:54558324:54561546:1 gene:B456_001G268600 transcript:KJB11624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQQPPPPDYNGENMNNNNTLDEKSAKQKAIDDWLPINSSRSRKWWFSAFHNVTAMVGAGVLSLPYALSELGWGPGVFILVFSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLIVEVSLCIVYMVTGGQSLKKFHDTVCSSCKSIKLTYFIMIFATAEFVLSHLPNFDSISGVSLAAAVMSISYSTIAWSASLAKGVQEDVQYGYKATTTPGTVFGFLSGLGDVAFAYSGHNVVLEIQATIQSTPERPSKGPMWKGVVVAYIIIALCYFPVALIGYWMFGNSVKDNILISLEKPAWLIAMANMFVVVHVIGSYQVYAMPVFDMMETLLVKKLEFNPTRTLRFIVRNAYVAFTMFIGITFPFFGGLLGFFGGLAYAPTTYYLPCVIWLIVMKPRRYSLSWWINWFCIVIGVLLMVLAPIGGMRQIIIQAKDYKFYS >KJB11625 pep chromosome:Graimondii2_0_v6:1:54559698:54561546:1 gene:B456_001G268600 transcript:KJB11625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLIVEVSLCIVYMVTGGQSLKKFHDTVCSSCKSIKLTYFIMIFATAEFVLSHLPNFDSISGVSLAAAVMSISYSTIAWSASLAKGVQEDVQYGYKATTTPGTVFGFLSGLGDVAFAYSGHNVVLEIQATIQSTPERPSKGPMWKGVVVAYIIIALCYFPVALIGYWMFGNSVKDNILISLEKPAWLIAMANMFVVVHVIGSYQVYAMPVFDMMETLLVKKLEFNPTRTLRFIVRNAYVAFTMFIGITFPFFGGLLGFFGGLAYAPTTYYLPCVIWLIVMKPRRYSLSWWINWFCIVIGVLLMVLAPIGGMRQIIIQAKDYKFYS >KJB07305 pep chromosome:Graimondii2_0_v6:1:1387971:1389563:-1 gene:B456_001G014800 transcript:KJB07305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDETYSGLGNGGEIDTKVVQTFQKNFVQVQNILDQNRLLINEINQNHETKIPDNLTRNVGLIRELNNNIRRVVDLYADLSTSYNKSMDASSSDGDSNGAMKSGGNKRNRPA >KJB07306 pep chromosome:Graimondii2_0_v6:1:1388103:1389390:-1 gene:B456_001G014800 transcript:KJB07306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDETYSGLGNGGEIDTKVVQTFQKNFVQVQNILDQNRLLINEINQNHETKIPDNLTRNVGLIRELNNNIRRVVDLYADLSTSYNKSMDASSSDGDSNGAMKSGGNKRNRPA >KJB07060 pep chromosome:Graimondii2_0_v6:1:42658940:42659680:-1 gene:B456_001G213500 transcript:KJB07060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNDLLLGTIEASVCTSPIHFNCYPNFMVSLTDKNILQSLTLQIHTHNYKMLPETDTTRSHTTIPRIIQWHEINLPDKWKLEGATDPVAPTPIRNTSLSKISQHQDGTVKLKFNRPQIMPSRYSFEIRSTSTTFRRLNIEEESNPETQIVDFRTARASVSSIPTTFRTNLQGIDNSSNIAQPIYARQEESPQNSPNMSPTYSSITNNARQ >KJB10600 pep chromosome:Graimondii2_0_v6:1:41853225:41853625:1 gene:B456_001G210500 transcript:KJB10600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFSRGRKSGISLENQTEINVHVYRFDLLVESDSMYAISLVNKKDDRPWKLWRFFNELDLLVNHIERVEFVHIFREANSTADFFDKKGVAAAHVFVAWI >KJB06879 pep chromosome:Graimondii2_0_v6:1:1721570:1724822:-1 gene:B456_001G018400 transcript:KJB06879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSARNDPFSMQLHAFPGDQRRCFQSIGKLDALGNYNAGVGAGGNCKEPAAGLNLSIKSCFEDSRRTWDKKARAFAPRLARDLEIISYNEPQKVAEPTTDTRGAYRRGNPLDFSDYPLRNKITVAVDVDEVLGNFVSALNKFIADRYSLKRSVSEYHVYEFFKIWNCSRQEADIRVHEFFKSSYFKKGIHPIPGAQRALHRLSRFCDLSVVTSRQNVIKDHTIEWLEKYYPGLFQEMHFGNHFALHGKSRPKSEICRLLGAKILIDDNPRYAVECAQVGIRVLLFDYKNSYPWCKTESIDKHPLVTRVNNWEEAEQQIAAWIFSSTIP >KJB08450 pep chromosome:Graimondii2_0_v6:1:9536276:9536844:1 gene:B456_001G087800 transcript:KJB08450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHNGIEYGDMQLIAEAYDLLKSVGKLLNEELQSVFSEWNKGELLDNRGDGYLVDKVFDKTGMKGTS >KJB09525 pep chromosome:Graimondii2_0_v6:1:20319280:20325840:1 gene:B456_001G148200 transcript:KJB09525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDCRSLGSNFHPSSQSRKISIGVILDSLVERKLGDIKEDECKQSNTERIKPDNGIYAEGKNKGEAATTSKGKQTEHAEQVKSPWITPGKSLAARTASSNLGQKKHKKARDVPVTYSVQFFSNKTFNAQNVRSKQNSFDSFIDDLTYKRKGRNDGNSQKVEFNLADAGKVLESDKLVLEGKANKTQNKPTETLKMKLQELLGNVSSPESQLSRSQDQEANANNLKPQISADHMGHTVVKPRQNSDTIETDSENPDQIIKRPVTNSLTRKRAPAEVQTNKTKVGLSSKQKHRERIVSFREGRSTKLDGAVNTGSKLSRKKKIQKKSSKIDSRKICFAEEGNEDEIKQTSYRSETPVPAGKTSVLGNKMENSPSFFSEKRRENFERVQENHFFSSPETNKNQPVNFENPTSPEKRDKQEDFGNISLRNVVHTQDNFPSPTFGFRTPILNTSPSPTPKTMEREQVACSPVPSERGFTTGNIRCFRNFQVSRPVCNKSNAQAHSPVSLTILQDSDMGIEHIKRYAHSKPSSEERLSESFEDCSPIIKRYNCHTENQISLDTDVFEKPNFSRCPIKWLRNHEDITLSECTPTTASQKGARIGESVWFHEPLEQDQEDELTRAVTLFTSALETFKRKMDSTTSKKSSEILVSISEEINSLLLNAQSEIESDVGKLASLNKTKKKRLETRLQEQQEQLKLILQNFKEDIHHYLLDCNSILEGMEAHQIELKGIMKKQKVSHQKLLMHVKEAAEIQLNNAERRITSVHESAREKMLQLKHVIAECLKDSKY >KJB09524 pep chromosome:Graimondii2_0_v6:1:20319280:20325902:1 gene:B456_001G148200 transcript:KJB09524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDCRSLGSNFHPSSQSRKISIGVILDSLVERKLGDIKEDECKQSNTERIKPDNGIYAEGKNKGEAATTSKGKQTEHAEQVKSPWITPGKSLAARTASSNLGQKKHKKARDVPVTYSVQFFSNKTFNAQNVRSKQNSFDSFIDDLTYKRKGRNDGNSQKVEFNLADAGKVLESDKLVLEGKANKTQNKPTETLKMKLQELLGNVSSPESQLSRSQDQEANANNLKPQISADHMGHTVVKPRQNSDTIETDSENPDQIIKRPVTNSLTRKRAPAEVQTNKTKVGLSSKQKHRERIVSFREGRSTKLDGAVNTGSKLSRKKKIQKKSSKIDSRKICFAEEGNEDEIKQTSYRSETPVPAGKTSVLGNKMENSPSFFSEKRRENFERVQENHFFSSPETNKNQPVNFENPTSPEKRDKQEDFGNISLRNVVHTQDNFPSPTFGFRTPILNTSPSPTPKTMEREQVACSPVPSERGFTTGNIRCFRNFQVSRPVCNKSNAQAHSPVSLTILQDSDMGIEHIKRYAHSKPSSEERLSESFEDCSPIIKRYNCHTENQISLDTDVFEKPNFSRCPIKWLRNHEDITLSECTPTTASQKGARIGESVWFHEPLEQDQEDELTRAVTLFTSALETFKRKMDSTTSKKSSEILVSISEEINSLLLNAQSEIESDVGKLASLNKTKKKRLETRLQEQQEQLKLILQNFKEDIHHYLLDCNSILEGMEAHQIELKGIMKKQKVSHQKLLMHVKEAAEIQLNNAERRITSVHESAREKMLQLKHVIAECLKDSKY >KJB09526 pep chromosome:Graimondii2_0_v6:1:20319280:20326014:1 gene:B456_001G148200 transcript:KJB09526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDCRSLGSNFHPSSQSRKISIGVILDSLVERKLGDIKEDECKQSNTERIKPDNGIYAEGKNKGEAATTSKGKQTEHAEQVKSPWITPGKSLAARTASSNLGQKKHKKARDVPVTYSVQFFSNKTFNAQNVRSKQNSFDSFIDDLTYKRKGRNDGNSQKVEFNLADAGKVLESDKLVLEGKANKTQNKPTETLKMKLQELLGNVSSPESQLSRSQDQEANANNLKPQISADHMGHTVVKPRQNSDTIETDSENPDQIIKRPVTNSLTRKRAPAEVQTNKTKVGLSSKQKHRERIVSFREGRSTKLDGAVNTGSKLSRKKKIQKKSSKIDSRKICFAEEGNEDEIKQTSYRSETPVPAGKTSVLGNKMENSPSFFSEKRRENFERVQENHFFSSPETNKNQPVNFENPTSPEKRDKQEDFGNISLRNVVHTQDNFPSPTFGFRTPILNTSPSPTPKTMEREQVACSPVPSERGFTTGNIRCFRNFQVSRPVCNKSNAQAHSPVSLTILQDSDMGIEHIKRYAHSKPSSEERLSESFEDCSPIIKRYNCHTENQISLDTDVFEKPNFSRCPIKWLRNHEDITLSECTPTTASQKGARIGESVWFHEPLEQDQEDELTRAVTLFTSALETFKRKMDSTTSKKSSEILVSISEEINSLLLNAQSEIESDVGKLASLNKTKKKRLETRLQEQQEQLKLILQNFKEDIHHYLLDCNSILEGMEAHQIELKGIMKKQKVSHQKLLMHVKEAAEIQLNNAERRITSVHESAREKMLQLKHVIAECLKDSKY >KJB10914 pep chromosome:Graimondii2_0_v6:1:46908509:46910187:-1 gene:B456_001G232100 transcript:KJB10914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREERVESFCARLRASSLSPLLIFPSTSDVDSLCALKIIFHILESDSVRYSCYPVSSFQEIREYAASELSSSSEEPVSMLLINWGHRPIHLHNLSDQNDQVVVLYTNDDERLADLAYDFEVMELANASYCLQNSELDGEEDEGSESDDEDEEVEGRARDGFWKRRRLSSEGEEEPPPRHFKKLKREYYRMGTFHGKPSGCLMKNTNELLWLACVSLTDQFVHERLTDVKYEAGVMEPQQQFRESGCVTSVTLKDGTKVRAPDSSRIAYEEEPRLMLLREWNLFDSMLCSSHIAPKLKTWSDNGMKKLKLLLARMGFALVDCQQKFEYMNHEVTQKMKNQFEQILPEYGLNDFYYKSYLRLHGYTSRVSAADMVYGVTALHESFVQSDGSCAVKQCGVAYDALSLSNLDKLKSGMQQAIKIQRAILRQGSAAITKSGWVKLEDSVDTKLLGHPKARTKFCYFLMDALMEKGAGLNPLLCACMLQEPSKVLIVGVCGKPRLGALQGNAFGLAFRKAAEETGGSILP >KJB08111 pep chromosome:Graimondii2_0_v6:1:6531472:6532134:-1 gene:B456_001G065500 transcript:KJB08111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDSGSWYSNFGTWYYFDIGMTELTQHQISQDYEEYVDSAGRCGRFRYCHALLDSHDNPDSTKLCHLLCKSLFEQCSKFTPWEHEIHEGFKGFRESEIFNYLESICRDERNKNINKFLIVVKFGVFTRSNNALDYDDYDYYDIDEEELGFVPASESSIEALEKVSDLSLRFRCAICLEEEKEEVKRMPCRHVFHSQCIQQWLANSHLCPLCRHAMPSVS >KJB07983 pep chromosome:Graimondii2_0_v6:1:5494499:5499505:1 gene:B456_001G056700 transcript:KJB07983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G63540) TAIR;Acc:AT5G63540] MARRRLRLHRSSDEEDEEPPPPQQQLENEPPHESSAVTNQSVTVSPPNPNPDQPLQISDDDFVDVDESFTPPSPPPLAEEMAAPVTSVQSSGSPIGDFLLRMGLKLRREWLDSCVQGLESSVPRFSTLDASAKSKLCFQQFLFSDMNYSGGGILPSNVDSMHLVDLKGPFVLQVDEIVNISCPLKGRYQETPSGIKRCLKLSMTDGVQRVFGMEYRPIKDLQVLAPAGLKVAICNVHIRHGLLVLVPESLQILGGVVEDLEAARQRLVTEVNKPPRGKRTKSGVVPPLATRATLAAWPPNGVNAVEPTNNLISQNSAPLRADERGATLVSSSTVTLRTTENPTAHMGGANAVPNSSSDVALDVERMHIDSVPISRENATSNSNSSIVPDDELVHIVDVVEHPLILSGDREVPFTYLASLSAKWAAIKDKATQVQGKIKCFLTGVKGFRYKQRTTYELLCYVDDGSLISEILIDHNVVQKGIGHSPQEVTSALSSSDKQKVSGMKEIMRQFQAFLAHFEGMMLVEINKTSSLPIAKEMTQGCSASDARLLLRRLKPPPRTPEHRSSDPIEISP >KJB08916 pep chromosome:Graimondii2_0_v6:1:13145134:13147740:1 gene:B456_001G112600 transcript:KJB08916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLTSNSFLLTTTPRSRVNLKYQRLAVFAKGSGPFSPFQFGKAKDNPEDGQAEDTGNSSPFRFDFGKLPDVKTLVPVVSNPSSGLSFGNVRRKDPSTVFVAGATGQAGIRIAQTLLRQGFTVRAGVTELAAAQELARLAAQYKIISNEESKRLNAVESTFQDAESIAKAIGNASKVVVTIGPGENGPTSAVSASDAVQVIQAAQLAGVGHVAIVYDGNPGNGSTYNVLDGITSFFSNLFSQSQPLSLSEFLQKIIETDVSYTFLKTTLTDDYSEESSYNVVVSAEGSIGTNDYKVAKSQIASLVADVFSNTAVAENKVVEVFTSPSAPSKSVDELFSAIPEDGRRKAYAEATARAKAEEEAVLAAEKAREAAKAAKKLEEEVKKLSEQEAKAANLAEEAQEKAQVAGASMEDLLSKAKDFRSGLSWEKFSSQIATAVQKAPNEEKPKVQIATVRGEAKARNLPSQKANVKQAPISFSPLPKQKEASKPKPKPKAKEAEKTEVRKVFGGLFQQETIYVDDD >KJB09830 pep chromosome:Graimondii2_0_v6:1:24238573:24240027:1 gene:B456_001G169500 transcript:KJB09830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKEVNAASGGVNVAEKKRLSQANSEAMEKCLEENKGDNTKCKDKVEAFRSSSSTSSPTKPLRRFIVRSGSLSDV >KJB06615 pep chromosome:Graimondii2_0_v6:1:11226619:11228843:1 gene:B456_001G100300 transcript:KJB06615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGFLGLGIMGKAMSMNLLKNGFKVTVWNRTLSKCNELVAHGASIGKTPAEVINKCTITIAMLSDPAAALSVVLDKDGVLEQICGGKGYIDMSTVDPETSCKINEAITSKGGRFLEAPVSGSKQPAETGQLVILAAGDKALYEAAIPAFDILGKKSFFLGQVGNGAKMKLVVNMIMGSMMNAFSEGLTLADRSGLNPHDLLDVLDLGAIANPMFKGKGPAMLQNNYSPAFPLKHQQKDMRLALALGDENSVPMPVAAASNEVFLFFQSLLAVFTRPLLDKDTCPQLECLILFL >KJB06617 pep chromosome:Graimondii2_0_v6:1:11226619:11229638:1 gene:B456_001G100300 transcript:KJB06617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGFLGLGIMGKAMSMNLLKNGFKVTVWNRTLSKCNELVAHGASIGKTPAEVINKCTITIAMLSDPAAALSVVLDKDGVLEQICGGKGYIDMSTVDPETSCKINEAITSKGGRFLEAPVSGSKQPAETGQLVILAAGDKALYEAAIPAFDILGKKSFFLGQVGNGAKMKLVVNMIMGSMMNAFSEGLTLADRSGLNPHDLLDVLVRSQLIEYSVKLWQIGMTAYFVTGLGCHC >KJB06618 pep chromosome:Graimondii2_0_v6:1:11226877:11229638:1 gene:B456_001G100300 transcript:KJB06618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDPAAALSVVLDKDGVLEQICGGKGYIDMSTVDPETSCKINEAITSKGGRFLEAPVSGSKQPAETGQLVILAAGDKALYEAAIPAFDILGKKSFFLGQVGNGAKMKLVVNMIMGSMMNAFSEGLTLADRSGLNPHDLLDVLDLGAIANPMFKGKGPAMLQNNYSPAFPLKHQQKDMRLALALGDENSVPMPVAAASNEAFKKARSMGLGDMDFSAVFETLKVLKHSS >KJB06614 pep chromosome:Graimondii2_0_v6:1:11226496:11229638:1 gene:B456_001G100300 transcript:KJB06614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGFLGLGIMGKAMSMNLLKNGFKVTVWNRTLSKCNELVAHGASIGKTPAEVINKCTITIAMLSDPAAALSVVLDKDGVLEQICGGKGYIDMSTVDPETSCKINEAITSKGGRFLEAPVSGSKQPAETGQLVILAAGDKALYEAAIPAFDILGKKSFFLGQVGNGAKMKLVVNMIMGSMMNAFSEGLTLADRSGLNPHDLLDVLDLGAIANPMFKGKGPAMLQNNYSPAFPLKHQQKDMRLALALGDENSVPMPVAAASNEAFKKARSMGLGDMDFSAVFETLKVLKHSS >KJB06616 pep chromosome:Graimondii2_0_v6:1:11226619:11229638:1 gene:B456_001G100300 transcript:KJB06616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLHRKVDDSLRPLFPVVNSLQKPALYEAAIPAFDILGKKSFFLGQVGNGAKMKLVVNMIMGSMMNAFSEGLTLADRSGLNPHDLLDVLDLGAIANPMFKGKGPAMLQNNYSPAFPLKHQQKDMRLALALGDENSVPMPVAAASNEAFKKARSMGLGDMDFSAVFETLKVLKHSS >KJB10200 pep chromosome:Graimondii2_0_v6:1:30394865:30398560:1 gene:B456_001G188500 transcript:KJB10200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHQRTSRIGVGGGGPMFGGFGFTVRLLASAITVALCFFFLLSFFFTSHSHSPHLQTNFGFSTGIGSTRRSVLALKSDPLKPRLDQIRKQADDHRSLVLAYASYARKLKLENSKIVRVFADLSRNYSDLISKPSYQALFETDSLSIDEPLLRQFEKEVKERIKATRQMISEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLAMRLMEERISHPDKYTDEGKPMPPEFEDPKLYHYAIFSDNVLAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQKFYFENTLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVHKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKQKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMDEIRNAAVVHFNGNMKPWLDIAMNQFKPLWSKYVDFDLEFVQACNFGDHQLQKSLFNKKFNLTLSKLLLFCVEGN >KJB10201 pep chromosome:Graimondii2_0_v6:1:30394865:30398560:1 gene:B456_001G188500 transcript:KJB10201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHQRTSRIGVGGGGPMFGGFGFTVRLLASAITVALCFFFLLSFFFTSHSHSPHLQTNFGFSTGIGSTRRSVLALKSDPLKPRLDQIRKQADDHRSLVLAYASYARKLKLENSKIVRVFADLSRNYSDLISKPSYQALFETDSLSIDEPLLRQFEKEVKERIKATRQMISEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLAMRLMEERISHPDKYTDEGKPMPPEFEDPKLYHYAIFSDNVLAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQKFYFENTLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVHKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKQKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMDEIRNAAVVHFNGNMKPWLDIAMNQFKPLWNTLQKEGVD >KJB10199 pep chromosome:Graimondii2_0_v6:1:30394865:30398560:1 gene:B456_001G188500 transcript:KJB10199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHQRTSRIGVGGGGPMFGGFGFTVRLLASAITVALCFFFLLSFFFTSHSHSPHLQTNFGFSTGIGSTRRSVLALKSDPLKPRLDQIRKQADDHRSLVLAYASYARKLKLENSKIVRVFADLSRNYSDLISKPSYQALFETDSLSIDEPLLRQFEKEVKERIKATRQMISEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLAMRLMEERISHPDKYTDEGKPMPPEFEDPKLYHYAIFSDNVLAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQKFYFENTLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVHKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKQKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMDEIRNAAVVHFNGNMKPWLDIAMNQFKPLWSKYVDFDLEFVQACNFGSPATKELV >KJB10196 pep chromosome:Graimondii2_0_v6:1:30395980:30397508:1 gene:B456_001G188500 transcript:KJB10196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLAMRLMEERISHPDKYTDEGKPMPPEFEDPKLYHYAIFSDNVLAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQKFYFENTLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVHKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKQKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMDEIRNAAVVHFNGNMKPWLDIAMNQFKPLWSKYVDFDLEFVQACNFGV >KJB10195 pep chromosome:Graimondii2_0_v6:1:30394865:30397027:1 gene:B456_001G188500 transcript:KJB10195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHQRTSRIGVGGGGPMFGGFGFTVRLLASAITVALCFFFLLSFFFTSHSHSPHLQTNFGFSTGIGSTRRSVLALKSDPLKPRLDQIRKQADDHRSLVLAYASYARKLKLENSKIVRVFADLSRNYSDLISKPSYQALFETDSLSIDEPLLRQFEKEVKERIKATRQMISEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLAMRLMEERISHPDKYTDEGKPMPPEFEDPKLYHYAIFSDNVLAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQKFYFENTLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVHKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKQKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLVSFERHSFVFLIALLCLVASLMLLLVLDLCY >KJB10198 pep chromosome:Graimondii2_0_v6:1:30394865:30398560:1 gene:B456_001G188500 transcript:KJB10198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHQRTSRIGVGGGGPMFGGFGFTVRLLASAITVALCFFFLLSFFFTSHSHSPHLQTNFGFSTGIGSTRRSVLALKSDPLKPRLDQIRKQADDHRSLVLAYASYARKLKLENSKIVRVFADLSRNYSDLISKPSYQALFETDSLSIDEPLLRQFEKEVKERIKATRQMISEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLAMRLMEERISHPDKYTDEGKPMPPEFEDPKLYHYAIFSDNVLAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQKFYFENTLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVHKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKQKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMDEIRNAAVVHFNGNMKPWLDIAMNQFKPLWSKYVDFDLEFVQACNFGV >KJB10197 pep chromosome:Graimondii2_0_v6:1:30395980:30397508:1 gene:B456_001G188500 transcript:KJB10197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLAMRLMEERISHPDKYTDEGKPMPPEFEDPKLYHYAIFSDNVLAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQKFYFENTLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVHKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKQKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMDEIRNAAVVHFNGNMKPWLDIAMNQFKPLWSKYVDFDLEFVQACNFGV >KJB06976 pep chromosome:Graimondii2_0_v6:1:6713053:6715937:1 gene:B456_001G066900 transcript:KJB06976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLSMNSKRQRRPNVRLGEIGDASAAFACGFSQKTKENLVHKRWKPDFLNSQVNEPVTVDEFSKGKSPDFLNLDPGFLPADLQQNRENKNPNSSKLGFDLVTADEIDMMKSSINFGTITRKSRVMKRRGRIREGNNSAFLCSAWTQSPKFSPEFSGEDRKEHDDEEKEFMGIESNACIDSQYLSDHDADEPDSWQQGNADDCYEDNALLRSGDEWDQTRYACNDVTGVRTWLEDLGFGRYAGIFEMHEVDQETLPLLTLDDLKEMGVFAVGHRRKLYTAIQQLRGGNASS >KJB06974 pep chromosome:Graimondii2_0_v6:1:6713535:6715937:1 gene:B456_001G066900 transcript:KJB06974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLSMNSKRQRRPNVRLGEIGDASAAFACGFSQKTKENLVHKRWKPDFLNSQVNEPVTVDEFSKGKSPDFLNLDPGFLPADLQQNRENKNPNSSKLGFDLVTADEIDMMKSSINFGTITRKSRVMKRRGRIREGNNSAFLCSAWTQSPKFSPEFSGEDRKEHDDEEKEFMGIESNACIDSQYLSDHDADEPDSWQQGNADDCYEDNALLRSGDEWDQTRYACNDVTGVRTWLEDLGFGRYAGIFEMHEVDQETLPLLTLDDLKEMGVFAVGHRRKLYTAIQQLRGGNASS >KJB06975 pep chromosome:Graimondii2_0_v6:1:6713053:6715575:1 gene:B456_001G066900 transcript:KJB06975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLSMNSKRQRRPNVRLGEIGDASAAFACGFSQKTKENLVHKRWKPDFLNSQVNEPVTVDEFSKGKSPDFLNLDPGFLPADLQQNRENKNPNSSKLGFDLVTADEIDMMKSSINFGTITRKSRVMKRRGRIREGNNSAFLCSAWTQSPKFSPEFSGEDRKEHDDEEKEFMGIESNACIDSQYLSDHDADEPDSWQQGNADDCYEDNALLRSGDEWDQTRYACNDVTGVRTWLEDLGFGRYAGIFEMHEVDQETLPLLTLDDLKEMGVFAVGHRRKLYTAIQQLRGGNASS >KJB08852 pep chromosome:Graimondii2_0_v6:1:12385723:12387247:-1 gene:B456_001G108200 transcript:KJB08852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFKLNFQLPALETYILFNSFHSSQTTRRRGRHFWFQQLGFGRMVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KJB08853 pep chromosome:Graimondii2_0_v6:1:12385867:12387170:-1 gene:B456_001G108200 transcript:KJB08853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KJB08854 pep chromosome:Graimondii2_0_v6:1:12386187:12387170:-1 gene:B456_001G108200 transcript:KJB08854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFKLNFQLPALETYILFNSFHSSQTTRRRGRHFWFQQLGFGRMVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQVIWVYCAYHICWHHGPRRS >KJB06543 pep chromosome:Graimondii2_0_v6:1:119736:128655:-1 gene:B456_001G001100 transcript:KJB06543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGERGGWDKSESRYCGVETEFEDDMPRLLSYNLSSSGFDFVVAPLMDPTYRPSLLEAENGSSHVLPFAGSDLVLSPSQWSSHVVGKISTWIDLDSEDEIFRMDSETTLKQEIAWASHLSLQACLLPSPKRASCANYARCVNQILQGLSNMQLWLRIPLLKTDEDSVDVDSDDLRDSWELWNSFRLICEHHSQLSLALDILSTLPSVNSIGRWFGEPVRVAIVHTDSFLTNARGYPCLSKSHQKLITGFFNHSVQMVLSGKPLHNIPAESTGSAANHIDKNVNNVERHPLRSYLDYVGYLYQRMEPLSEQERIELGYRDFLQAPLQPLMDNLEAQTYETFEKDSVKYIQYQRAICKALLDRVPDGKESAITTVLMVVGAGRGPLVRAALQAAEETGRKLKVYAVEKNPNAVVTLHSLIKLEGWENVVTIVSCDMRLWNAPEKADILVSELLGSFGDNELSPECLDGAQRFLKQDGISIPSSYTSFIQPITASKLYNDVKSHKDLIHFETAYVVKLHSVAKLAPSQAVFTFNHPDYSTKKSNQRYKKLHFVIPCDTGSAIVHGFAGYFDATLYKDVHLGIEPSMSTPNMFSWFAIFFPLRQPICVQPGSPLEVHFWRCCGSTKVWYEWCVTSPRPSPIHNSNGRSYWVGL >KJB06544 pep chromosome:Graimondii2_0_v6:1:119776:128587:-1 gene:B456_001G001100 transcript:KJB06544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSISAGACLLPSPKRASCANYARCVNQILQGLSNMQLWLRIPLLKTDEDSVDVDSDDLRDSWELWNSFRLICEHHSQLSLALDILSTLPSVNSIGRWFGEPVRVAIVHTDSFLTNARGYPCLSKSHQKLITGFFNHSVQMVLSGKPLHNIPAESTGSAANHIDKNVNNVERHPLRSYLDYVGYLYQRMEPLSEQERIELGYRDFLQAPLQPLMDNLEAQTYETFEKDSVKYIQYQRAICKALLDRVPDGKESAITTVLMVVGAGRGPLVRAALQAAEETGRKLKVYAVEKNPNAVVTLHSLIKLEGWENVVTIVSCDMRLWNAPEKADILVSELLGSFGDNELSPECLDGAQRFLKQDGISIPSSYTSFIQPITASKLYNDVKSHKDLIHFETAYVVKLHSVAKLAPSQAVFTFNHPDYSTKKSNQRYKKLHFVIPCDTGSAIVHGFAGYFDATLYKDVHLGIEPSMSTPNMFSWFAIFFPLRQPICVQPGSPLEVHFWRCCGSTKVWYEWCVTSPRPSPIHNSNGRSYWVGL >KJB09366 pep chromosome:Graimondii2_0_v6:1:17899781:17900237:-1 gene:B456_001G136600 transcript:KJB09366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQRLNLNIPQNNTFLLSRDILAAADRLIGMKFGMGTLDDMNHLKNKRILSVADLLQDQFGLALVRLENVVRGTICGAIRHKLILTPQNLVTSTSLTTIYESFFGLHPLSQVLDQTNPLTQIVHGRKLPNLISIFIIFYL >KJB07340 pep chromosome:Graimondii2_0_v6:1:1593058:1593862:-1 gene:B456_001G017300 transcript:KJB07340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRPDIDFPLQSTTKNRTEPRKMEQLLDSGFEALAFNCISFGIFTIVNNVWTWVAAITAAITFWRIRAAGVASSSRSFTKPNLKPWSTSIIDRAGDDPKPTLFPSALVSAPAVVTGTISGSPSVCDDIRVSTTKGEKFKLSVYCDGESNDVDGEMTVRGRSCGGEWWESWERVFRVRKGERGWYRYQDLTAINGNVVRLWDDKLIMKNLRQ >KJB11111 pep chromosome:Graimondii2_0_v6:1:48083698:48087020:1 gene:B456_001G241100 transcript:KJB11111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSTSDKRITTQKRNRPWSKKCRGKLSGSVSDGSKKRKSNGCVTDIAVSEYVRMDFEKGATTTCRRSEVSNSTFHLTQLQWHLSQMDAKVSCHEDTWFDSVSIMESESDEEFISVFGDGFPTMGTAIGNISSAQVLQYGASSCFVEGKCKYEQYHESYLKIDGGRLSKEETRESNARFSTMSSHGHELSRFGKEADDWKKKKLLDCSHGSFKSVKDERRSGFCKMLPSINFNEKILATNMASQSQRRKSAVYRLSVKRTSCDAEEYSSKQFLYRPRAGYAIPCSKDEKANRDCWSQIPSSKFQLRGETYFKDKRKCPASDFSPYTPIGVDLFICPRKINHIAQHVELPYFKPNGKIPPLLIVNIQLPTYPAAMFLGDGDGEGMSLVLYFKVSEDFDNNISPQCLETIKKFVDDEVEKVKGFTKDSNVLFRERLKIMAGLVNPDDLNLNSTEKKLVNAYNEKPVLSRPQHNFFKGSNYFEIDLDIHRFSFISRKGLESFRDRLKNGILDLGLTIQAQKQEELPEQVLCCLRLNKMDFSDNGQIPTLMTMDE >KJB11110 pep chromosome:Graimondii2_0_v6:1:48083282:48087020:1 gene:B456_001G241100 transcript:KJB11110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSTSDKRITTQKRNRPWSKKCRGKLSGSVSDGSKKRKSNGCVTDIAVSEYVRMDFEKGATTTCRRSEVSNSTFHLTQLQWHLSQMDAKVSCHEDTWFDSVSIMESESDEEFISVFGDGFPTMGTAIGNISSAQVLQYGASSCFVEGKCKYEQYHESYLKIDGGRLSKEETRESNARFSTMSSHGHELSRFGKEADDWKKKKLLDCSHGSFKSVKDERRSGFCKMLPSINFNEKILATNMASQSQRRKSAVYRLSVKRTSCDAEEYSSKQFLYRPRAGYAIPCSKDEKANRDCWSQIPSSKFQLRGETYFKDKRKCPASDFSPYTPIGVDLFICPRKINHIAQHVELPYFKPNGKIPPLLIVNIQLPTYPAAMFLGDGDGEGMSLVLYFKVSEDFDNNISPQCLETIKKFVDDEVEKVKGFTKDSNVLFRERLKIMAGLVNPDDLNLNSTEKKLVNAYNEKPVLSRPQHNFFKGSNYFEIDLDIHRFSFISRKGLESFRDRLKNGILDLGLTIQAQKQEELPEQVLCCLRLNKMDFSDNGQIPTLMTMDE >KJB07670 pep chromosome:Graimondii2_0_v6:1:3392134:3394871:-1 gene:B456_001G036700 transcript:KJB07670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVRKEPSPSESTSCVISAPDAPSISDEQLKKPKPRLQLDLKLSTSDSDVPAFNFNQELNLIGSLNTPETPQPADSEQRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRAQRIGVHAAFGGHPYFHHNHCSSLASFPLQGPHNRSLGIEVHSSMIHEPSRMISSSAGFGNVYGHPSWSRLPINQQPAIGKLSTENSHAISRPGSTSRASLGRFDNVMRSRMMGLGSSADDGQVIGNSWWSNGGGGGGSLNRKTELQEG >KJB07672 pep chromosome:Graimondii2_0_v6:1:3392445:3394871:-1 gene:B456_001G036700 transcript:KJB07672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVRKEPSPSESTSCVISAPDAPSISDEQLKKPKPRLQLDLKLSTSDSDVPAFNFNQELNLIGSLNTPETPQPADSEQRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRAQRIGVHAAFGGHPYFHHNHCSSLASFPLQGPHNRSLGIEVHSSMIHEPSRMISSSAGFGNVYGHPSWSRLPINQQPAIGKLSTENSHAISRPGSTSRASLGRFDNVMRSRMMGLGSSADDGQVIGNSWWSNGGGGGGSLNRKTELQERPSDIKFQILE >KJB07671 pep chromosome:Graimondii2_0_v6:1:3392394:3394722:-1 gene:B456_001G036700 transcript:KJB07671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVRKEPSPSESTSCVISAPDAPSISDEQLKKPKPRLQLDLKLSTSDSDVPAFNFNQELNLIGSLNTPETPQPADSEQRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRAQRIGVHAAFGGHPYFHHNHCSSLASFPLQGPHNRSLGIEVHSSMIHEPSRMISSSAGFGNVYGHPSWSRLPINQQPAIGKLSTENSHAISRPGSTSRASLGRFDNVMRSRMMGLGSSADDGQVIGNSWWSNGGGGGGSLNRKTELQEVHKG >KJB08523 pep chromosome:Graimondii2_0_v6:1:9216344:9219596:1 gene:B456_001G086500 transcript:KJB08523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNICIIFFIFFFIFYFLCTFSFSSCGFSIMKVQVLRLIGFLILHSMATITAKTQTLKTISYQFGPFDSSYYNTFAVMKPATISNDALQVTPDSIGNYSLNHRSGRIFFNQTFKLWDGDMKVASFNTSFLINVFRVNNSVPGEGVAFLIAPDTAIPPNSSGQYLGLTNSSTDGLPSNHLVAIELDTLKQDFDPDDNHIGLNINSIRSNKTVSLSKFNIQIAPNGTKFYVVWIEYYNRSLQVYMAEQAQPSSPTPSKPVVPVLNTDIDLSTFVKQYSYFGFSGSTGDHVQLNCVLKWNLTVEILPGQNKRNWFKIGLAIGVPLLLIFLLGIAGLSYYSYKKWKARSDPNILGALKSLPGTPREFKFRDLKRATNNFDDKHKLGQGGFGVVFKGLLQKENLEIAVKKFSRDIKGKDDFLAELTIINRLRHKHLVPLLGWCHKNGMLLLVYDYMPNGSLDAHIFCGPEKTTLNWNLRYKIISGVASALHYLHNEYDQKVVHRDLKASNIMLDSNFNARLGDFGLARAIENEKTSYAELEGVPGTMGYIAPECFHTAKATRESDVYGFGAVLLEVVCGQRPWTKIGEFQLLVDWVWWLHRERRILEAVDERLGNDYIVEEAERLLLLGLACSHPNASERPKTQAILQILSGSMAVPHVPPFKPAFIWASMPGPDSFSISSSLTNTADITPVSSGWTPHYINRDVHGGEFSDASSLM >KJB11254 pep chromosome:Graimondii2_0_v6:1:51099879:51100320:-1 gene:B456_001G249700 transcript:KJB11254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFNNKMPGLTIGDTVPNLEVETTHETFKLHDYFKNCWTIISSHPSDFTPMCTTNLGKMVAYLPEFEKRGVKLLGFSCDDV >KJB07631 pep chromosome:Graimondii2_0_v6:1:3147559:3151457:-1 gene:B456_001G034500 transcript:KJB07631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAAALRPNLPATFPLRFRQLSTQRHSWKQKTLPFVAVTRGKGHSLLIVESVLNNSKSSINDNGAAESAKVLLERLFAQTQKLEQGISRDGEPLKDFHLALDLQTLESDLLAALTALKQKEDDLQDAEKMVVLEQSELSRAKDELEQREKEIAAASSKHEKLEEKLTQANLAFASQASQIEDLKLQLKEQDHKVAAAQSTLSAKEDEMDKMRHELVKKTEEAEKIRSELTSKSQLLNEANEVMKKQEIELQELREAIWEREEELETSLTQRKLEEEKLKVAEAKLQQQTMEWLLAQEELKKLAEQASRHMGEANEAFKDFTRVKQLLSDVRSELVSSQKSLASSRQQMEQQEQLLKMQLEELEEQRKSVASYMESLKNAQIEVESERVKLRVVEARNKDLERDLSVERELIKELQEELKKEKYSLQLAIQDASFLRKQLGKKHTEFVEMNNVLQNKEVDLVEAKLEIQHLKSERASLQLILEEKDQELSDAKKNLEQLNQEIAELKMLMSSKENQLIQATALLKEKDEYALKVQDELNDTKMKFSEAETVIERIAELTNRLVISVKDEDNNVLRPVDDVSSELMHQLVDRPSSDFGLQKKQLETELRFTKESLKDKEMEVLAAQRALAIKDEELKMVLGRLEAREKELQRLKEEMIEDANDMKKLYALAQERIGEKSIGDLAIEKLQLEAAQLEVEAATSALQKLAEMSHELLIKASTSIESDSDTSIFLQSGSDPMISMMKNDESFTEVKTGVAKLSALTEQLVKDAGIVGAHLQS >KJB07632 pep chromosome:Graimondii2_0_v6:1:3146606:3151462:-1 gene:B456_001G034500 transcript:KJB07632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAAALRPNLPATFPLRFRQLSTQRHSWKQKTLPFVAVTRGKGHSLLIVESVLNNSKSSINDNGAAESAKVLLERLFAQTQKLEQGISRDGEPLKDFHLALDLQTLESDLLAALTALKQKEDDLQDAEKMVVLEQSELSRAKDELEQREKEIAAASSKHEKLEEKLTQANLAFASQASQIEDLKLQLKEQDHKVAAAQSTLSAKEDEMDKMRHELVKKTEEAEKIRSELTSKSQLLNEANEVMKKQEIELQELREAIWEREEELETSLTQRKLEEEKLKVAEAKLQQQTMEWLLAQEELKKLAEQASRHMGEANEAFKDFTRVKQLLSDVRSELVSSQKSLASSRQQMEQQEQLLKMQLEELEEQRKSVASYMESLKNAQIEVESERVKLRVVEARNKDLERDLSVERELIKELQEELKKEKYSLQLAIQDASFLRKQLGKKHTEFVEMNNVLQNKEVDLVEAKLEIQHLKSERASLQLILEEKDQELSDAKKNLEQLNQEIAELKMLMSSKENQLIQATALLKEKDEYALKVQDELNDTKMKFSEAETVIERIAELTNRLVISVKDEDNNVLRPVDDVSSELMHQLVDRPSSDFGLQKKQLETELRFTKESLKDKEMEVLAAQRALAIKDEELKMVLGRLEAREKELQRLKEEMIEDANDMKKLYALAQERIGEKSIGDLAIEKLQLEAAQLEVEAATSALQKLAEMSHELLIKASTSIESDSDTSIFLQSGSDPMISMMKNDESFTEVKTGVAKLSALTEQLVKDAGIVGAHLQS >KJB07233 pep chromosome:Graimondii2_0_v6:1:914923:916438:-1 gene:B456_001G009700 transcript:KJB07233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] MKNKKPPKPLSLFPPNPMPEPNFLTVRWTLHELDDELLIRDPAPFISTEKDSPPFDIKNLDLEFLLEDLETVKVIGNGRGGPVQLARHRWDGKLYALKVIKMNLQEEEILKQFMQYLKINKASKCSNVVSCNHSFYHRGDVFLVLEYMDRGSLIEVLRQVKTIPEPFLAVVCDQVLQGLVYLHHENHVIHGHIKPSNLLVNRNGEVKIADFGLSTLVASSMDQKDTSVGTDNNYMSRELVGALMIIAAIFGV >KJB07237 pep chromosome:Graimondii2_0_v6:1:914217:916448:-1 gene:B456_001G009700 transcript:KJB07237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] MKNKKPPKPLSLFPPNPMPEPNFLTVRWTLHELDDELLIRDPAPFISTEKDSPPFDIKNLDLEFLLEDLETVKVIGNGRGGPVQLARHRWDGKLYALKVIKMNLQEEEILKQFMQYLKINKASKCSNVVSCNHSFYHRGDVFLVLEYMDRGSLIEVLRQVKTIPEPFLAVVCDQVLQGLVYLHHENHVIHGHIKPSNLLVNRNGEVKIADFGLSTLVASSMDQKDTSVGTDNNYMSPERISGSTYDYSSDIWSLGMVALECAIGRYPYKQSEDPQTWPSIDELLKTNAPPNEFSSEFCSFVSACLQTSPEKRASSLDLLVGWNLH >KJB07234 pep chromosome:Graimondii2_0_v6:1:914353:916438:-1 gene:B456_001G009700 transcript:KJB07234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] MKNKKPPKPLSLFPPNPMPEPNFFRTVRWTLHELDDELLIRDPAPFISTEKDSPPFDIKNLDLEFLLEDLETVKVIGNGRGGPVQLARHRWDGKLYALKVIKMNLQEEEILKQFMQYLKINKASKCSNVVSCNHSFYHRGDVFLVLEYMDRGSLIEVLRQVKTIPEPFLAVVCDQVLQGLVYLHHENHVIHGHIKPSNLLVNRNGEVKIADFGLSTLVASSMDQKDTSVGTDNNYMSPERISGSTYDYSSDIWSLGMVALECAIGRYPYKQSEDPQTWPSIDELLKTNAPPNEFSSEFCSFVSACLQTSPEKRASSLDLLVGWNLH >KJB07236 pep chromosome:Graimondii2_0_v6:1:914217:916448:-1 gene:B456_001G009700 transcript:KJB07236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] MKNKKPPKPLSLFPPNPMPEPNFLTVRWTLHELDDELLIRDPAPFISTEKDSPPFDIKNLDLEFLLEDLETVKVIGNGRGGPVQLARHRWDGKLYALKVIKMNLQEEEILKQFMQYLKINKASKCSNVVSCNHSFYHRGDVFLVLEYMDRGSLIEVLRQVKTIPEPFLAVVCDQVLQGLVYLHHENHVIHGHIKPSNLLVNRNGEVKIADFGLSTLVASSMDQKDTSVGTDNNYMSRELVGALMIIAAIFGV >KJB07235 pep chromosome:Graimondii2_0_v6:1:914333:916438:-1 gene:B456_001G009700 transcript:KJB07235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] MKNKKPPKPLSLFPPNPMPEPNFLTVRWTLHELDDELLIRDPAPFISTEKDSPPFDIKNLDLEFLLEDLETVKVIGNGRGGPVQLARHRWDGKLYALKVIKMNLQEEEILKQFMQYLKINKASKCSNVVSCNHSFYHRGDVFLVLEYMDRGSLIEVLRQVKTIPEPFLAVVCDQVLQGLVYLHHENHVIHGHIKPSNLLVNRNGEVKIADFGLSTLVASSMDQKDTSVGTDNNYMSPERISGSTYDYSSDIWSLGMVALECAIGRYPYKQSEDPQTWPSIDELLKTNAPPNEFSSEFCSFVSACLQTSPEKRASSLDLLSHPFIRKSQHLNLVYFVGWLESPLNYPRRR >KJB08789 pep chromosome:Graimondii2_0_v6:1:11587775:11591460:1 gene:B456_001G103900 transcript:KJB08789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLMHALQYDSYGGGAAALKHVEVPIPTPNKDEMLLKLDAISLNPVDWKIQEGVLRPFLPRKFPCIPASDVAGEVVKVGPGVTNFKAGDKVVAALHHFTGGGLAEYAVAKENLTVARSPEVSAAEGAGLPVAGLTAHQALTQAAGVKLDGSGQQVNLLITAASGGVGHYAVQLAKLGNTHVTATCGARNLDFVKSLGADEVLDYKTPDGAALKSPSGRKYDAVIHCAAGIPWSTFEPNLSSNGKVIDITPGPSAFLTFAMKKLTFSKKKLEPLFVSLKKENLNYLVNLVKDGKLKTVIDSKYPLSKAEEAWAKSIDGHATGKIIVEP >KJB10978 pep chromosome:Graimondii2_0_v6:1:47231988:47234506:-1 gene:B456_001G235000 transcript:KJB10978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEAKDPLKGVDWKAIGSELQKDPSASNKPVIKKRLPKKIRQIPDCYFLPRMSTPSAIAFYGACIAGGIGAGMLLEVWINNKIKEDGGVIWELDK >KJB06963 pep chromosome:Graimondii2_0_v6:1:4866631:4867676:1 gene:B456_001G051300 transcript:KJB06963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVNLSHQYPRTSSLTCLNSCLTEHWGDLPLKVDDSEDMIIYNSLHEALNFGWSPTTTGSTAATTIKPEPKDESAEIMENAKPLGLTQKVVKGRHYRGVRQRPWGKFAAEIRDSARNGARVWLGTYETAEEAALAYDRAAYKMRGSRALLNFPHRIGDNEPEPVRVKAKRGATNESVSSNGSFPKRTRSLEANKAELTTVFQLGLLQLGEQLLVN >KJB11564 pep chromosome:Graimondii2_0_v6:1:54312826:54314812:-1 gene:B456_001G266000 transcript:KJB11564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSENTIAKATQEVTNDEKETNGTEEVAVEPKTGVSFPVKLDDGKQLNCVGLRKKSMFGLGIKVYSYGMYALLKSKIEKALEKPTKELYQMVINSDVEIMVRLVIVYSSLTISMVRKNFGEGLGASIKKLNGGKKNDELANKVMGQASDDIKLTLGSLIEISRLPGFVLETKVMGEVISKVESELLCRAYINMYIGDDPLDKDAKDKFGISLISLF >KJB07865 pep chromosome:Graimondii2_0_v6:1:4658745:4659688:1 gene:B456_001G049000 transcript:KJB07865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aquaporin TIP5-1 [Source:Projected from Arabidopsis thaliana (AT3G47440) UniProtKB/Swiss-Prot;Acc:Q9STX9] MALASFTARFKQFVTPDALRSYLAEFISTFFYVFVVVGSAMASRKLMSDLATDPSSLVLVAIANTFALSSSVYIASNVSGGHVNPAVTFGLAVGGHVSVSTALFYWVSQMLASVMACLLLKVATVSQDVPIYTIASEMTGFGASMVEGVLTFGLVYAVYAGGDTRSGPLRSIGPLVIGLMAGSMVLAAGPFSGGSMNPALAFGSAVVAGRFKNQAVYWVGPLIGAAVAGLLYDNIVFPGHVSGRVNSDGTTGV >KJB10496 pep chromosome:Graimondii2_0_v6:1:41697936:41700997:-1 gene:B456_001G210000 transcript:KJB10496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVDGLTNDEVKSHLQKYRLHTRRLLPTTTTTTLANQSGLVLGGGHAWMCQDQFGESSKGSSSQSGSPQGPLQLATNTRGTSTTGCESIEDDEDAKSECYSWKSHVQKPRKNNA >KJB11556 pep chromosome:Graimondii2_0_v6:1:54210417:54211963:-1 gene:B456_001G265500 transcript:KJB11556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLVIENLFNGNRELQIQAATQLSKLGSKQRHKLAETGIISPLISMLQSQDFEAIEASLLALLGLAFGSERNKIRIVKSGIIPVLLELLQCQNEELIELSMAAMLILSSCKANKLIIASSGTIQLLVQILNLVNSDPNNVINTLFTNQAKIDAIATLQNLSTCHQIIPLISSSGIIYTLLQLIHTSEKSSDLTEKAMSLLGNIVSWSENSISETTEIPGSIRIIVEAMEEGSPQCKEHAVGILLHICQSCRDKYRGLILMEGVMPGLLQLSVDGTWGAKNMARDLLFLLRDCSDYASTSKQSKHELMEQIMQAIDADGEKVNGTTLALVQEMINKLNI >KJB11526 pep chromosome:Graimondii2_0_v6:1:53921223:53926399:1 gene:B456_001G263500 transcript:KJB11526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTATASSAGPRYAAPDPTLPKPWKGLVDGKTGYLYFWNPVTNVTQYERPTSADSVPKPSVAPISSSVQVQQSSEGRCGYSPGKENDRDGRGSEAKLEPVSRTDQNARSGPVHSHNTPNVTSSSVSGVSSARGHGSVTGGSNLSGDAYRRQHEITVTGDEVPPPFSSFESTGFPSELLREVHNAGFSAPTPIQAQSWPIALQGRDIVAIAKTGSGKTLGYLVPGFMHIKRCGNDSQMGPTVLVLSPTRELATQIQDEAQKFGGSSRITCTCLYGGAPKGPQLREIERGVDIVVATPGRLNDILEMRRVSLQQVSYLVLDEADRMLDMGFEPQIRKIVKEVPTRRQTLMYTATWPREVRKIAADLLVNPVQVNIGNIDELVANKSITQNVEVLAPMVKHRRLEQILRSQEPGSKIIVFCSTKKMCDQLARNLSQFGAASIHGDKSQADRDYVLGQFRSGRSPVLVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGLAFTFFGDQDSKHASDLIKVLEGANQQVPAELREMASRGGGMGRPRRWAPSSGGYDGERGGRTDSGYGGRDGGRGGRGISTSSSWHEKSGGRGYGHESSDRYVGGLVSLYCF >KJB11524 pep chromosome:Graimondii2_0_v6:1:53921223:53926399:1 gene:B456_001G263500 transcript:KJB11524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTATASSAGPRYAAPDPTLPKPWKGLVDGKTGYLYFWNPVTNVTQYERPTSADSVPKPSVAPISSSVQVQQSSEGRCGYSPGKENDRDGRGSEAKLEPVSRTDQNARSGPVHSHNTPNVTSSSVSGVSSARGHGSVTGGSNLSGDAYRRQHEITVTGDEVPPPFSSFESTGFPSELLREVHNAGFSAPTPIQAQSWPIALQGRDIVAIAKTGSGKTLGYLVPGFMHIKRCGNDSQMGPTVLVLSPTRELATQIQDEAQKFGGSSRITCTCLYGGAPKGPQLREIERGVDIVVATPGRLNDILEMRRVSLQQVSYLVLDEADRMLDMGFEPQIRKIVKEVPTRRQTLMYTATWPREVRKIAADLLVNPVQVNIGNIDELVANKSITQNVEVLAPMVKHRRLEQILRSQEPGSKIIVFCSTKKMCDQLARNLSQFGAASIHGDKSQADRDYVLGQFRSGRSPVLVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGLAFTFFGDQDSKHASDLIKVLEGANQQVPAELREMASRGGGMGRPRRWAPSSGGYDGERGGRTDSGYGGRDGGRGGRGISTSSSWHEKSGGRGYGHESSDRNDCGFHDSYDTGRSRSRSPDRFNSASGRDHSPVLSFHELMMKRNQQ >KJB11523 pep chromosome:Graimondii2_0_v6:1:53921218:53926399:1 gene:B456_001G263500 transcript:KJB11523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTATASSAGPRYAAPDPTLPKPWKGLVDGKTGYLYFWNPVTNVTQYERPTSADSVPKPSVAPISSSVQVQQSSEGRCGYSPGKENDRDGRGSEAKLEPVSRTDQNARSGPVHSHNTPNVTSSSVSGVSSARGHGSVTGGSNLSGDAYRRQHEITVTGDEVPPPFSSFESTGFPSELLREVHNAGFSAPTPIQAQSWPIALQGRDIVAIAKTGSGKTLGYLVPGFMHIKRCGNDSQMGPTVLVLSPTRELATQIQDEAQKFGGSSRITCTCLYGGAPKGPQLREIERGVDIVVATPGRLNDILEMRRVSLQQVSYLVLDEADRMLDMGFEPQIRKIVKEVPTRRQTLMYTATWPREVRKIAADLLVNPVQVNIGNIDELVANKSITQNVEVLAPMVKHRRLEQILRSQEPGSKIIVFCSTKKMCDQLARNLSQFGAASIHGDKSQADRDYVLGQFRSGRSPVLVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGLAFTFFGDQDSKHASDLIKVLEGANQQVPAELREMASRGGGMGRPRRWAPSSGGYDGERGGRTDSGYGGRDGGRGGRGISTSSSWHEKSGGRGYGHESSDRNDCGFHDSYDTGRSRSRSPDRFNSASGRDHSPVLSFHELMMKRNQQ >KJB11525 pep chromosome:Graimondii2_0_v6:1:53922152:53924999:1 gene:B456_001G263500 transcript:KJB11525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTATASSAGPRYAAPDPTLPKPWKGLVDGKTGYLYFWNPVTNVTQYERPTSADSVPKPSVAPISSSVQVQQSSEGRCGYSPGKENDRDGRGSEAKLEPVSRTDQNARSGPVHSHNTPNVTSSSVSGVSSARGHGSVTGGSNLSGDAYRRQHEITVTGDEVPPPFSSFESTGFPSELLREVHNAGFSAPTPIQAQSWPIALQGRDIVAIAKTGSGKTLGYLVPGFMHIKRCGNDSQMGPTVLVLSPTRELATQIQDEAQKFGGSSRITCTCLYGGAPKGPQLREIERGVDIVVATPGRLNDILEMRRVSLQQVSYLVLDEADRMLDMGFEPQIRKIVKEVPTRRQTLMYTATWPREVRKIAADLLVNPVQVNIGNIDELVANKSITQNVEVLAPMVKHRRLEQILRSQEPGSKIIVFCSTKKMCDQLARNLSQFGAASIHGDKSQADRDYVLGQFRSGRSPVLVATDVAARGLDIKDIR >KJB11527 pep chromosome:Graimondii2_0_v6:1:53921207:53926399:1 gene:B456_001G263500 transcript:KJB11527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTATASSAGPRYAAPDPTLPKPWKGLVDGKTGYLYFWNPVTNVTQYERPTSADSVPKPSVAPISSSVQVQQSSEGRCGYSPGKENDRDGRGSEAKLEPVSRTDQNARSGPVHSHNTPNVTSSSVSGVSSARGHGSVTGGSNLSGDAYRRQHEITVTGDEVPPPFSSFESTGFPSELLREVHNAGFSAPTPIQAQSWPIALQGRDIVAIAKTGSGKTLGYLVPGFMHIKRCGNDSQMGPTVLVLSPTRELATQIQDEAQKFGGSSRITCTCLYGGAPKGPQLREIERGVDIVVATPGRLNDILEMRRVSLQQVSYLVLDEADRMLDMGFEPQIRKIVKEVPTRRQTLMYTATWPREVRKIAADLLVNPVQVNIGNIDELVANKSITQNVEVLAPMVKHRRLEQILRSQEPGSKIIVFCSTKKMCDQLARNLSQFGAASIHGDKSQADRDYVLGQFRSGRSPVLVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGLAFTFFGDQDSKHASDLIKVLEGANQQVPAELREMASRGGGMGRPRRWAPSSGGYDGERGGRTDSGYGGRDGGRGGRGISTSSSWHEKSGGRGYGHESSDRNDCGFHDSYDTGRSRSRSPDRFNSASGRDHSPVLSFHELMMKRNQQ >KJB08863 pep chromosome:Graimondii2_0_v6:1:12434938:12438225:-1 gene:B456_001G108600 transcript:KJB08863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAQQQSNRARALWLTCLASSFRTALACTIVGIITLYGPSSVQRQVAFPAFSYVTVILVVTDATLGDTLHSCWLALYASVQSLGPAMLSLWLIRPTKLTSGTTALAVALGGLIVVLPEATHMVAKRIALGQIVIVYVIGFINGGQTEPIMHPVHVAASTAVGVLACVLALMFPYPRLACCEAKKSCKQLAENSSERLKLFVKAFCAQDKAAASAFISQAKLLNAAANKLVQSIKRFQGSMKWEKLPFKFLRPYYMNSGENVQEMEMALRGMEIALESIPSFPGSLMVDDGELKDGLLRLEDHISCTIRQSKCLVPGDSSTVPESNAEDVAKFFQSLQTMPQSHQDLPTFFFLFCMKLLHSKSLPEPRTKKPVLENGKSKQNGFSFKEVWSSCGLDSRRVKPALKFSLSLGCAVLFGLKYSKPNGFWSGLPVAISFAAAREATFKVANIKAQGTVLGTVYGVIGCFLFERFLPIRFLSLLPWFIFTSFLRQSKMYGQAGGISAVIGALLILGRKNFGPPSEFAIARIIETFIGLSCSIGVELLFQPKRASTLAKIELSKSLGTLHECIDNLSLQPNHVESHKKLKFHVNQLGKFIGEAEVEPNFWFLPFHSACYGKLFGSLSKMSDLLLFGTHAIRFLQQESQKLETSWKETVNKLDGDLKLFKGSVGSLIKCLGNITSIPMLDKGLQKDGISYDIEMGKPPCPNFFRVPDSEEDEDELNKVLSSFLQHSKEAVDMIHGIEGEKEIKSQTVLSLSAMGYCIKVLIAETRMIEEGIRELVQWENPSTPVNLHEISCKIRAQYS >KJB06834 pep chromosome:Graimondii2_0_v6:1:41646666:41648029:1 gene:B456_001G2098002 transcript:KJB06834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAGEEKKKFSIWDLPDVPMGQLPPHLELQRSRVSCNKDAPIHTESIQYSGANPKNESQFDMIGIDAAIANSFRRILIAELPTMAIEKVLIANNTSIIQDEVLAHRLGLVPIRVDPRLFDYLSKNDQPNEKNTIVFKLHVQCKRGSPRITVKSDALKWLPNGSELVKETRNAASDSSSKPETYTYFGCSQETIPEFVKNPIIPKYPDIIIAKLGPGQ >KJB08649 pep chromosome:Graimondii2_0_v6:1:10650139:10655186:-1 gene:B456_001G095500 transcript:KJB08649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEITHPPMDQLQDLEYCIDSNPSWAETILLAFQNYILMLGTSVMIPTLLVPAMGGTDRDKALVIQTLLFVAGINTVLQALFGTRLPAVIGGSYAYVIPVAYIINDPSLQRISDRHDRFIQTMRAIQGALVIASSIQIILGYSQVWGLFSRFFSPLGMSPVVALVGLGLFQRGFPLLGNCVEIGLPMLLLVIGVSQYLKHVRPLRDIPIFERFPILICGTIIWIYSLILTAGGAYHNKPIATQISCRTDRANLISTAPWFKFPYPLQWGPPTFSAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGIMLDGLFGTVTGSTVSVENVGLLGLTRVGSRRVVQISAGFMMFFSIFGKFGAVFASIPFPIFAALYCVLFGLVGSVGLSFLQFTNMNCMRNLIITGLSLFLGISIPQFFNQYWNPSHRGLAHTNAAWFNAFVNTVFSSPAMVGLTVAVILDNTIEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >KJB09545 pep chromosome:Graimondii2_0_v6:1:20467968:20470736:1 gene:B456_001G148800 transcript:KJB09545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASPISPSLTAVSTVVFPPFPATTRFLLHPPPSYKATASLFLSIPCPRFLFSKSRPCPFCSNSDATTNEEDDFYFDVDDDGIVSSDDETQPLSEDGVFIEIKKLGGNSRRIRSKIGIEANLDTVWNILTNYEKLADVIPGLAVSEVVEKKHKFARLYQFNKRKYEGEEASLGEEFQTTLWYLVDLKPKIWLPVRLVENRLSIEIKTNLLSIREEAERLISALNSA >KJB09543 pep chromosome:Graimondii2_0_v6:1:20467885:20470736:1 gene:B456_001G148800 transcript:KJB09543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASPISPSLTAVSTVVFPPFPATTRFLLHPPPSYKATASLFLSIPCPRFLFSKSRPCPFCSNSDATTNEEDDFYFDVDDDGIVSSDDETQPLSEDGVFIEIKKLGGNSRRIRSKIGIEANLDTVWNILTNYEKLADVIPGLAVSEVVEKKHKFARLYQIGQQSLPLGLKFNAKGVIDCYERDLEILPSGKKREIQFKMVEGDFTMFEGTWLIEQGISTRKEALISC >KJB09544 pep chromosome:Graimondii2_0_v6:1:20467885:20470797:1 gene:B456_001G148800 transcript:KJB09544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASPISPSLTAVSTVVFPPFPATTRFLLHPPPSYKATASLFLSIPCPRFLFSKSRPCPFCSNSDATTNEEDDFYFDVDDDGIVSSDDETQPLSEDGVFIEIKKLGGNSRRIRSKIGIEANLDTVWNILTNYEKLADVIPGLAVSEVVEKKHKFARLYQIGQQSLPLGLKFNAKGVIDCYERDLEILPSGKKREIQFKMVEGDFTMFEGTWLIEQFNKRKYEGEEASLGEEFQTTLWYLVDLKPKIWLPVRLVENRLSIEIKTNLLSIREEAERLISALNSA >KJB08819 pep chromosome:Graimondii2_0_v6:1:11831797:11835937:-1 gene:B456_001G105400 transcript:KJB08819 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MANKLISEIPLPKSIANVFSARNISTAKDALSLTEFELMELLDVGLTEVRSALSQISEIVAPPYQTALSLMEQRFQNEHLGGHFPTGLKGLDAALCGGIPFGVLTELVGPAGIGKTQFCLKLSLLASLPTNYGGLDGRVIYIDVESKFSSRRLLEIGLRSFPEIFQMKEMAQEMAGRILVLRPTSLAEFTQSLEQIKVLLLQNQVKMLVIDSMAALVSGEHEQGARRQQTLSWHISFIKSLAEFSRIPVVVTNQVRSRNHDDASRYSFQVQSRDGAIETPMQYDSHLVAALGIQWAHAVTTRLVLEAKSGERFIKVAKSPISPPLAFPFHITSSGISLLSDDGKEVMGPEINAIHCQGHNEIINFGMEGLH >KJB09060 pep chromosome:Graimondii2_0_v6:1:15139466:15147296:-1 gene:B456_001G122000 transcript:KJB09060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYECLKAISEFFEFGYIYTYKGIDILVELQKGIRKEWWSNMASKPGILSDWPWKSMGNFKYALVVPGAIYSTYSFIMCKDENERNWFMFLVLPFLVFRLIHYQLWISYSRYRTAKGNNRILDKSIDFDQVDRERSWDDQIILNGILFYGAGIVLKDQFNMPFFKADGTLIILLLHWGPAEFLYYWLHRALHHHYLYARYHSHHHSSIVTEPNTSFVHPMAEVLAYYGLLLIPTLLSVYIGKANIVGVFTYVTVIDFLNNMGHCNFEFIPQWFYTIFPPLKYIVYNPSFHSLHHTQFRTNYTLFCPLYDYVYGTVDKSTDSVYENALKREAESPDVVHLSHLTTPDSIYHLPLGFPSLSSKPQVSKWYLLFMWPVTVWSMLLTWVLGHAFISERSAFKKLKLQAWVVPKYNMQYSSKWQRETISKLIEQAIRDADKKGAKGLSLGLLNQHEVFSRNVELYMKRNPQLKIKVVDGSSLAAAIVLNSIPKETTQVLLRGRVSKDVYVLVQALCQKGIKVLTVQEDEYKKLLKFDNKLQSNLFLSERYDTKVWLVGDGLTDKEQFKAPKGTIFIPFSIFPPKKVRKDCYYHTTPAMVAPASVENLHSCEDWLPRRAMSASRVAGIIHASEGFDVNECGGTIFSVDKVWEASLENGFRPLPIST >KJB06196 pep chromosome:Graimondii2_0_v6:1:4813028:4828899:-1 gene:B456_001G050900 transcript:KJB06196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIASQLQAIKTFIQVENEPQKRPLTRPSILFNPKEAADIDIDTILDIALSGLEILVGVDERFRNCKNDLFNLKSKELDRELMGVDENNQINASISSYLRLLSGHLQLPASLKTLEYLIRRYKIHVYNVEDLVLCVLPYHDTHAFVRIVQLINTGNSKWKFLDGVKASGAPPPRSVIVQQCIRDMGVLEALCNYASPTKKFQASRPVVSFCTAVIVEVLGCVATIDSDIVKRIHPFVASGLQFGVKGGSDHKAGALMIVGLLANKVALAPKLVNSLIRTVAEVAREDVKESTDLQWFRLALMALINLVQSQSVDVFPKKALEALRDIKDIGAVLLELSKEFNIDRFLAILLEALVDQSSSDDSYHLALISVIDSVPLRNLVDPIVSKILLTCMKLSERDGKLVSSESVTWAKNVLATIKKNYPSQFHGAVHKFLEDAKVQSKKEDTVCEFLSKILDGNLDLSIAFSESKIWFASHHPKPEVRRATFSGLNRSAIVKMKSLDAQRLVAVKDAVLRQLHDDDLTVVQAALSVDGLTEVVSPLDLLEALRDVLKKCLSFLTLGSSVNSTLSCDVAVSFLKIAMLSFHDQIDYLKEVASMIFSLLLILPETHRLSLKVLDLAKTIKWPFFQTLAAASGEEVKLLSGSSVDVEAVGRFEKKMQKRGTVSTVNMEIVRFLSEEFLMQPTEYMPWLTRSCDNFKSSKTLLFLVLMQSFSMSIDDGKFLVLFDACFPVLKSQWEAFGSTLGNSLHEFNEEMLDWDCKKFLDQLFVADVDTVNKNILICLFWRLLDSAVNAEFFLDDNENGITRVQDFFIFVAGSSLKDAFKKHLQELVEKHLHDFLTKCKVSPVRFLSRFYTAEEVPAAVQVESLHCFSFLCSQLNDRLPFELLAEFPSLLLPLTSDSQATRIAAMDCFEKLYKLWCQVDFSSKKNGNTAIWSHFLDELLGLMVQQKRLILSDKNFLPSFLTCLLGSSCESILVSPNIEQRFTKSTKEKILAFILSSALNLSESGKLKVLSLLKGLGNAILHVKEIEALLSLLLRKRSQCYFDLENSSLKLSEAEIMILCLLLEMCILPSSLGGQFSEHVFKALQLDSKSPEDPAIKEPCITVLQKLNSQFYSGLTNETQGQMFRQLVLLFHNSNSDIHSATRDALLRLTIASSTVGEMLDLVFKEDPVAIVSADGKKKKKSAANPKPGYDLVFKGEQTLYFLSSLLDVLLLKKDISNRQFLVGPLFKLIRKAFSDEWVHRVLAQDGSWIQTSGVPQSKSTVIVYVQKTLLLILDDIFASFMDGSSPLKDGIMDKIDVKLLVDCARLTTDGVTRNHVFTLLSTISKLVPNRILEHILDILMVIGESAVSQIDSHSQHVFEDLISAVVPCWLSKTNNTENLLRVFVNILPEIADHRRLSIVAFLLRILGEIDSLASLFVLLFRSLVSRKGLSCLTDTFASDSFLYSAHQDWEYAFAIQICGQYSCRVWLPSLLKVLQVMRPNDLTQEVFMQFFFAMHFVLYKLQDPEFALKLESRENSDSIQRKLGELVEQVVFLSQVVDARRKQIGIPVGSWKEFKACVHAILKTITMSMMPSTCFECITKLLGNADNTVRKKALEILCETLKDHVSVKSKRKEKRDLDPNSNSYELHLDDTALEYFQKMCAEIVQIVDDSIDESNVSLKLAALSTLDILAQRFSSNHSVFGMCLASVMKGISSDNMAVSSSCLKTTGTLVNVLGPKALAELPCMMENVIRKSRGISVSSNLESRSDESTSILLSILITLEAVVEKLGGFLNPYLGDIIELMVLHPAYVSASDLKLKTRADLVRKLLTDKIPVRLTFQPLLKIYLGAVKSGDSSLVIAFQMLADLVSKMDRTSVSGFYGKIFDQCMVALDLRRQHPVTVQTIDAVEKSVINAIVSLTMKLTENMFKPLFAKSIEWAETEFQDVAGSGTMNIDRAISFYSLVNKLVENHRSLFVPYFKYLVKSCVQLLSDSADKASDLVRKKKKAKVQEDGNIVNGGVSLKSWHLRALILSSLHKCFLHDTGRQKFLDSSNFQVLLKPIVSQLVIEPPTSVEEQIDVPSLKEVDDLLVVCIGQMAVTAGTDLLWKPLNHEVLMQTRSEKMRARVLGLRIVREFLEKLKEEYLVLLPETIPFLGELLEDVELPVKSLAQDILKEMETMSGENLREYL >KJB06197 pep chromosome:Graimondii2_0_v6:1:4813028:4829036:-1 gene:B456_001G050900 transcript:KJB06197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIASQLQAIKTFIQVENEPQKRPLTRPSILFNPKEAADIDIDTILDIALSGLEILVGVDERFRNCKNDLFNLKSKELDRELMGVDENNQINASISSYLRLLSGHLQLPASLKTLEYLIRRYKIHVYNVEDLVLCVLPYHDTHAFVRIVQLINTGNSKWKFLDGVKASGAPPPRSVIVQQCIRDMGVLEALCNYASPTKKFQASRPVVSFCTAVIVEVLGCVATIDSDIVKRIHPFVASGLQFGVKGGSDHKAGALMIVGLLANKVALAPKLVNSLIRTVAEVAREDVKESTDLQWFRLALMALINLVQSQSVDVFPKKALEALRDIKDIGAVLLELSKEFNIDRFLAILLEALVDQSSSDDSYHLALISVIDSVPLRNLVDPIVSKILLTCMKLSERDGKLVSSESVTWAKNVLATIKKNYPSQFHGAVHKFLEDAKVQSKKEDTVCEFLSKILDGNLDLSIAFSESKIWFASHHPKPEVRRATFSGLNRSAIVKMKSLDAQRLVAVKDAVLRQLHDDDLTVVQAALSVDGLTEVVSPLDLLEALRDVLKKCLSFLTLGSSVNSTLSCDVAVSFLKIAMLSFHDQIDYLKEVASMIFSLLLILPETHRLSLKVLDLAKTIKWPFFQTLAAASGEEVLQKLLSGSSVDVEAVGRFEKKMQKRGTVSTVNMEIVRFLSEEFLMQPTEYMPWLTRSCDNFKSSKTLLFLVLMQSFSMSIDDGKFLVLFDACFPVLKSQWEAFGSTLGNSLHEFNEEMLDWDCKKFLDQLFVADVDTVNKNILICLFWRLLDSAVNAEFFLDDNENGITRVQDFFIFVAGSSLKDAFKKHLQELVEKHLHDFLTKCKVSPVRFLSRFYTAEEVPAAVQVESLHCFSFLCSQLNDRLPFELLAEFPSLLLPLTSDSQATRIAAMDCFEKLYKLWCQVDFSSKKNGNTAIWSHFLDELLGLMVQQKRLILSDKNFLPSFLTCLLGSSCESILVSPNIEQRFTKSTKEKILAFILSSALNLSESGKLKVLSLLKGLGNAILHVKEIEALLSLLLRKRSQCYFDLENSSLKLSEAEIMILCLLLEMCILPSSLGGQFSEHVFKALQLDSKSPEDPAIKEPCITVLQKLNSQFYSGLTNETQGQMFRQLVLLFHNSNSDIHSATRDALLRLTIASSTVGEMLDLVFKEDPVAIVSADGKKKKKSAANPKPGYDLVFKGEQTLYFLSSLLDVLLLKKDISNRQFLVGPLFKLIRKAFSDEWVHRVLAQDGSWIQTSGVPQSKSTVIVYVQKTLLLILDDIFASFMDGSSPLKDGIMDKIDVKLLVDCARLTTDGVTRNHVFTLLSTISKLVPNRILEHILDILMVIGESAVSQIDSHSQHVFEDLISAVVPCWLSKTNNTENLLRVFVNILPEIADHRRLSIVAFLLRILGEIDSLASLFVLLFRSLVSRKGLSCLTDTFASDSFLYSAHQDWEYAFAIQICGQYSCRVWLPSLLKVLQVMRPNDLTQEVFMQFFFAMHFVLYKLQDPEFALKLESRENSDSIQRKLGELVEQVVFLSQVVDARRKQIGIPVGSWKEFKACVHAILKTITMSMMPSTCFECITKLLGNADNTVRKKALEILCETLKDHVSVKSKRKEKRDLDPNSNSYELHLDDTALEYFQKMCAEIVQIVDDSIDESNVSLKLAALSTLDILAQRFSSNHSVFGMCLASVMKGISSDNMAVSSSCLKTTGTLVNVLGPKALAELPCMMENVIRKSRGISVSSNLESRSDESTSILLSILITLEAVVEKLGGFLNPYLGDIIELMVLHPAYVSASDLKLKTRADLVRKLLTDKIPVRLTFQPLLKIYLGAVKSGDSSLVIAFQMLADLVSKMDRTSVSGFYGKIFDQCMVALDLRRQHPVTVQTIDAVEKSVINAIVSLTMKLTENMFKPLFAKSIEWAETEFQDVAGSGTMNIDRAISFYSLVNKLVENHRSLFVPYFKYLVKSCVQLLSDSADKASDLVRKKKKAKVQEDGNIVNGGVSLKSWHLRALILSSLHKCFLHDTGRQKFLDSSNFQVLLKPIVSQLVIEPPTSVEEQIDVPSLKEVDDLLVVCIGQMAVTAGTDLLWKPLNHEVLMQTRSEKMRARVLGLRIVREFLEKLKEEYLVLLPETIPFLGELLEDVELPVKSLAQDILKEMETMSGENLREYL >KJB08160 pep chromosome:Graimondii2_0_v6:1:6882762:6884517:-1 gene:B456_001G068500 transcript:KJB08160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELPKPATEDVKFDLFEDDDEFEEFEIDEEWELKEEGKEITQQWEDDWDDDDVTDDFSLQLRRELENNTENNN >KJB08161 pep chromosome:Graimondii2_0_v6:1:6882689:6884812:-1 gene:B456_001G068500 transcript:KJB08161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELPKPATEDVKFDLFEDDDEFEEFEIDEEWELKEEGKEITQQWEDDWDDDDVTDDFSLQLRRELENNTENNN >KJB11392 pep chromosome:Graimondii2_0_v6:1:52930629:52933224:1 gene:B456_001G256200 transcript:KJB11392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSSSRQSTGGVSRISDDQIIALVSKLRHLLPEIRDNRSDKASASKVLQETCNYIRSLHKEVEDLSDRLFQLLATIDADGAEATIIRSLLM >KJB11391 pep chromosome:Graimondii2_0_v6:1:52930629:52933224:1 gene:B456_001G256200 transcript:KJB11391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSSSRQSTGGVSRISDDQIIALVSKLRHLLPEIRDNRSDKASASKVLQETCNYIRSLHKEVEDLSDRLFQLLATIDADGAEATIIRSLLM >KJB07487 pep chromosome:Graimondii2_0_v6:1:2460866:2462338:-1 gene:B456_001G026600 transcript:KJB07487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRMAFPLFIVSHFLFVGFILAQTFSAVASEVDPLIRQVTDVHDDGTEPQQLLTAAEHHFSLFKARFNKSYGSKAEHDYRFKVFRSNLRRAARHQKLDPSATHGVTQFSDLTPGEFRKRFLGLNRRLRLPKDANQAPILPTDNLPEDFDWREKGAVTAVKNQGTCGSCWSFSTTGALEGANFLATGKLVSLSEQQLVDCDHECDPELAGSCDSGCNGGLMNTAFEYTLKAGGLMREEDYPYTATDRGRCKFDKSKIIAKVANFSVVSLDEDQIAANLVKNGPLAVGINSVFMQTYIGGVSCPFICSKHLDHGVLLVGYGSAGYSPIHLKDKPYWIIKNSWGENWGENGYYKICRGSNVCGVDSLVSTVVALNTKSQ >KJB11136 pep chromosome:Graimondii2_0_v6:1:48325142:48328116:1 gene:B456_001G242500 transcript:KJB11136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPNEAPADGFLDQILGLPQFAHTDTGLAGSDGGLAGAPMFLHLNSADGAGHLGRIGGGGGGAFHGQVFPLGLSLEQGEGGFLKQEEASGRGKRFREGVVDGRTASVKNVFHGQPMAGAVAAAAAHPPAMRPRVRARRGQATDPHSIAERLRRERIAERIRGLQELVPSVNKTDRAAMLDEIVDYVKFLRLQVKVLTMSRLGGAAAVAPFVIDIPLSSIEDERGESGRSQPAWEKWSNDGTERHVAKLMEENVGAAMQFLQSKALCIMPVSLAKAIYHTQPPDTSSSIVKPETDPTS >KJB07685 pep chromosome:Graimondii2_0_v6:1:3461371:3466761:-1 gene:B456_001G037500 transcript:KJB07685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G25150) UniProtKB/Swiss-Prot;Acc:Q6S7B0] MENMQAVNSFVTAYLKKKGFKEAEQLLEDLQKKESAPIDFHNDPDLAKLIHHFSQSEDDVARYQDGYSKLRSWTYSSLDLYKHELLRVLYPVFIHSFMDLVAKGHLQEARTFFNAFHEDHELMHSRDLQKLEGVLSQSHLEEMEFARSLRQNKVNIKICQYSYGLLLQYLHKTQSTAMLGVINEHINFQVTSGQPGSISDDTEVVTLIGSCQDAASLINQKEIHWGLLEDSLEERLEKEGGLLSDSEKAEAENKEGDVDDSKKRSVEGGKQGASTKKLKKDKAVNATAKSARPEAKPTPAAPRVKPELTLPVMSTEVEQSILEDLRNRVQLSSIALPSVSFYTFLNTHNGLNCSSVSQDGSLVAGGFSDSSLKVWDMAKLGQQAGSSTLQGENDSTSSEHVAGPNGVKRSYTLFQGHSGPVYSATFSPLGDFILSSSADTTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASSSHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDRTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVTPLMGHTSCVWSLAFRWESLLLYIQKSYTEIHLFLDVDTC >KJB07684 pep chromosome:Graimondii2_0_v6:1:3460906:3466761:-1 gene:B456_001G037500 transcript:KJB07684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G25150) UniProtKB/Swiss-Prot;Acc:Q6S7B0] MENMQAVNSFVTAYLKKKGFKEAEQLLEDLQKKESAPIDFHNDPDLAKLIHHFSQSEDDVARYQDGYSKLRSWTYSSLDLYKHELLRVLYPVFIHSFMDLVAKGHLQEARTFFNAFHEDHELMHSRDLQKLEGVLSQSHLEEMEFARSLRQNKVNIKICQYSYGLLLQYLHKTQSTAMLGVINEHINFQVTSGQPGSISDDTEVVTLIGSCQDAASLINQKEIHWGLLEDSLEERLEKEGGLLSDSEKAEAENKEGDVDDSKKRSVEGGKQGASTKKLKKDKAVNATAKSARPEAKPTPAAPRVKPELTLPVMSTEVEQSILEDLRNRVQLSSIALPSVSFYTFLNTHNGLNCSSVSQDGSLVAGGFSDSSLKVWDMAKLGQQAGSSTLQGENDSTSSEHVAGPNGVKRSYTLFQGHSGPVYSATFSPLGDFILSSSADTTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASSSHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSTDCTVKLWDVTTSTKAPKNEEKSGNPNRLRSLKTLATKSSPVYSLRFSRRNLLFAAGVLSKKY >KJB07683 pep chromosome:Graimondii2_0_v6:1:3460750:3466787:-1 gene:B456_001G037500 transcript:KJB07683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G25150) UniProtKB/Swiss-Prot;Acc:Q6S7B0] MENMQAVNSFVTAYLKKKGFKEAEQLLEDLQKKESAPIDFHNDPDLAKLIHHFSQSEDDVARYQDGYSKLRSWTYSSLDLYKHELLRVLYPVFIHSFMDLVAKGHLQEARTFFNAFHEDHELMHSRDLQKLEGVLSQSHLEEMEFARSLRQNKVNIKICQYSYGLLLQYLHKTQSTAMLGVINEHINFQVTSGQPGSISDDTEVVTLIGSCQDAASLINQKEIHWGLLEDSLEERLEKEGGLLSDSEKAEAENKEGDVDDSKKRSVEGGKQGASTKKLKKDKAVNATAKSARPEAKPTPAAPRVKPELTLPVMSTEVEQSILEDLRNRVQLSSIALPSVSFYTFLNTHNGLNCSSVSQDGSLVAGGFSDSSLKVWDMAKLGQQAGSSTLQGENDSTSSEHVAGPNGVKRSYTLFQGHSGPVYSATFSPLGDFILSSSADTTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASSSHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDRTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVTPLMGHTSCVWSLAFSCEGTVLASGSADCTVKLWDVTTSTKAPKNEEKSGNPNRLRSLKTLATKSSPVYSLRFSRRNLLFAAGVLSKKY >KJB08524 pep chromosome:Graimondii2_0_v6:1:9235782:9238893:1 gene:B456_001G086600 transcript:KJB08524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSIGGRNKAKVMKIDGETIKLKTPIRVWDVLKDYPTGHVLLDSQTVKHYGIRAKPLEPQESLKPKKIYFLVELPKLADDEVHKAPRRVRSGGIHMSAKDRLECLMLSRRTVSDLSMVRPSSTSMVPDGVRLGGGGTSGGGMMTVKMRLPKSQMAKLMEESKDGVEVAEKILDLYTGNGGSGGDDAGNRIHREAPWKPGLGTVGENFKPKRERVSFIPQEEGEIHLANQL >KJB08525 pep chromosome:Graimondii2_0_v6:1:9235782:9238893:1 gene:B456_001G086600 transcript:KJB08525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSIGGRNKAKVMKIDGETIKLKTPIRVWDVLKDYPTGHVLLDSQTVKHYGIRAKPLEPQESLKPKKIYFLVELPKLADDEVHKAPRRVRSGGIHMSAKDRLECLMLSRRTVSDLSMVRPSSTSMVPDGVRLGGGGTSGGGMMTVKMRLPKSQMAKLMEESKDGVEVAEKILDLYTGNGGSGGDDAGNRIHREAPWKPGLGTVGENFKPKREKRVSFIPQEEGEIHLANQL >KJB10386 pep chromosome:Graimondii2_0_v6:1:35516218:35521390:-1 gene:B456_001G198500 transcript:KJB10386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPNPALRPIDTSKWKKIQASKVGISLSMISFPSWIVLKILHKGGFEAYLVGGCVRDLLLNRTPKDFDVITTANLKQIRKKFHRAEIIGKRFPICRVHIKGSIIEVSSFETVARHDADKEKALSSLIPKICDEKDLIRWRNSRNRDFTINSLFFDPFTCNIYDYNGGMSDLKSLKLRTLIPAHASFQEDCARILRGLRIAARLCLSFSKDTERAMYDLSASIGGLDKFRLMLEVNYMLSYGAAVQSICLLQRFNLLNILLPFQAAYISQHRATKNSMMLMKLFFNLDKLVSCDHPADSSLWVGLLMFHLALVNNPQDALVIWTFASVLYHGNWKEGVEFAREYTKLQVKFVPEISEFSETKSDEDLAKEVAQFASLVQDSVGVLTETSNLFESMSRYPFSACSGLVFVPKITAKNTAKLFDLMVEDIRSFINGRGRMSPEINFHLLGIGDPCETRFVLGKIILETMKAGPRGDATEIGNDEKDLQPKATEEILSNNEIPGKKVKKYAPSAFIPDGNRGMLKKQKLVDDDTATKNQEMVTKDELNDLAEKHQEFGKTCKLSENKTNSMQGKISEKEEKSKKDKEKKSRKHTKVVEKSKHHIALHTVSKKQQRVVRELNLREEDEMDNLEKVLWKEEVKERTEEHKGRAGKERNGVSSLSSLFR >KJB10385 pep chromosome:Graimondii2_0_v6:1:35516205:35521483:-1 gene:B456_001G198500 transcript:KJB10385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFVRAKSFLSSSLRSLTRSQRCNHTLGERGLQAELYSGMAPAPNPALRPIDTSKWKKIQASKVGISLSMISFPSWIVLKILHKGGFEAYLVGGCVRDLLLNRTPKDFDVITTANLKQIRKKFHRAEIIGKRFPICRVHIKGSIIEVSSFETVARHDADKEKALSSLIPKICDEKDLIRWRNSRNRDFTINSLFFDPFTCNIYDYNGGMSDLKSLKLRTLIPAHASFQEDCARILRGLRIAARLCLSFSKDTERAMYDLSASIGGLDKFRLMLEVNYMLSYGAAVQSICLLQRFNLLNILLPFQAAYISQHRATKNSMMLMKLFFNLDKLVSCDHPADSSLWVGLLMFHLALVNNPQDALVIWTFASVLYHGNWKEGVEFAREYTKLQVKFVPEISEFSETKSDEDLAKEVAQFASLVQDSVGVLTETSNLFESMSRYPFSACSGLVFVPKITAKNTAKLFDLMVEDIRSFINGRGRMSPEINFHLLGIGDPCETRFVLGKIILETMKAGPRGDATEIGNDEKDLQPKATEEILSNNEIPGKKVKKYAPSAFIPDGNRGMLKKQKLVDDDTATKNQEMVTKDELNDLAEKHQEFGKTCKLSENKTNSMQGKISEKEEKSKKDKEKKSRKHTKVVEKSKHHIALHTVSKKQQRVVRELNLREEDEMDNLEKVLWKEEVKERTEEHKGRAGKERNGVSSLSSLFR >KJB07108 pep chromosome:Graimondii2_0_v6:1:3629232:3631775:1 gene:B456_001G038900 transcript:KJB07108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNNRNNEEKSHHQAADNLVNLFTKANHDLLVVQYRLEKEFQQIYPDNANPMKLVSRIKKIQEELSSLTEQCRELLSAKQDLIDKARTILVGNRNLLHRMQASTGTSLTSDSDDLALTNFNQIIDEWTDQVRSRIGDQMQESEPEDINKLLFSAIVQSN >KJB07109 pep chromosome:Graimondii2_0_v6:1:3629344:3631082:1 gene:B456_001G038900 transcript:KJB07109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNNRNNEEKSHHQAADNLVNLFTKANHDLLVVQYRLEKEFQQIYPDNANPMKLVSRIKKIQEELSSLTEQCRELLSAKQDLIDKARTILVGNRNLLHRMQASTGTSLTSDSDDLALTNFNQIIDEWTDQVRSRIGMFVALLYPIMADTIGNVLSLGKKAGYIKQYTNISLVQFVGALKLS >KJB10951 pep chromosome:Graimondii2_0_v6:1:47086771:47090298:1 gene:B456_001G233600 transcript:KJB10951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCTIPSWTLIGLVRSFLDLTLAYFLLCGSGLGFFAWKFFHVFGIHLPCPCSGFFGYQNTNLCWHKLLIQWPRTMIYSVQHQALDRFPFNSVSFNDSNAKPINTDGKFGIGIIELEGEACSTSLSGLRLQTIVDKDSGYDAKGKRTINQKPKSGTRRRRRAASGNGKSSAVSLSGNFPTSAVAGVSCSSYISGCETRREIKDNLGPVSEIEDSFPDDKNTRTGTDMVEATRHGFELSSGEEKGLTFIKKLGFVGEEANRIGMLERALEEEKAKRAAIYLELEKERAAAASAADEAMAMILRLQEDKAAIEMEARQYQRMIEEKFAYDEEEMSILKEMLVRREKENHLLEKELEAYRQMNNLGDEQEECGFSYMSSKGGQLPSIPFGLDEDQPLMVKQAGNGGLTTKKEVERGPGWGSENEILLAGERSHTADVNLTGKAEGLDDGDIAGQAIATKTVQGDERTYLTGEELKRNVEFCEAVDCNPRDPTVDMEPAIYDIHVVDDKVDIPKEENTKESKLPSGSAINHKTLLYDSRRGFSAVGTEMLEIGAEIKCLRGRLQIVRGEKVKLSFSSDQRKRIDTHLKLIEELVNQLREFQQLKEPVRQTSLPPLPSPFKVNSNRRRCRSVSDGIEDSA >KJB10952 pep chromosome:Graimondii2_0_v6:1:47086875:47089449:1 gene:B456_001G233600 transcript:KJB10952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCTIPSWTLIGLVRSFLDLTLAYFLLCGSGLGFFAWKFFHVFGIHLPCPCSGFFGYQNTNLCWHKLLIQWPRTMIYSVQHQALDRFPFNSVSFNDSNAKPINTDGKFGIGIIELEGEACSTSLSGLRLQTIVDKDSGYDAKGKRTINQKPKSGTRRRRRAASGNGKSSAVSLSGNFPTSAVAGVSCSSYISGCETRREIKDNLGPVSEIEDSFPDDKNTRTGTDMVEATRHGFELSSGEEKGLTFIKKLGFVGEEANRIGMLERALEEEKAKRAAIYLELEKERAAAASAADEAMAMILRLQEDKAAIEMEARQYQRMIEEKFAYDEEEMSILKEMLVRREKENHLLEKELEAYRQMNNLGDEQEECGFSYMSSKGGQLPSIPFGLDEDQPLMVKQAGNGGLTTKKEVERGPGWGSENEILLAGERSHTADVNLTGKAEGLDDGDIAGQAIATKTVQGDERTYLTGEELKRNVEFCEAVDCNPRDPTVDMEPAIYDIHVVDDKVDIPKEENTKESKLPSGSAINHKTLLYDSRRGFSAVGTEMLEIGAEIKCLRGRLQIVRGEKVKLSFSSDQRKRIDTHLKLIEELVNQLREFQQLKEPVRQTSLPPLPSPFKVCH >KJB10364 pep chromosome:Graimondii2_0_v6:1:34795835:34798528:-1 gene:B456_001G197300 transcript:KJB10364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDECCSVQLIDGDGSFNGTGIESFIKEVKLHECGLSYTVVSIMGPQSSVATPKQIRELMQVDGLTNDEVKSHSFMSRCLVSVHYFGLKLLVVGICQWKQPCFCSCIPFFH >KJB08306 pep chromosome:Graimondii2_0_v6:1:7784750:7785699:-1 gene:B456_001G075700 transcript:KJB08306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLGFRFAFAQNPFDASFRPRYGRSFRGISVLVMPEASQPQSLKPKMVSIPVHFVGSERGRPDSAIKIQKVFRGFLVRKNVKKIMAIREQVNDIERSVSKTETRLKVNENLMSLLFKLDSVKGVDSCVRDFRKSVIKKTIALQEMVDAIISGNQSVDSSNNAEVIDQNQGIIDSSDKSNQILEWEAAEDAECVANLSESEGSFTVAVGGNEEKILESSGNESQSNWLGDEEGENRRGQSDNCSLLFSDNRRGQSQSNCSLLFQKIKNESLYTHPRISCLISNIIFGITYPMS >KJB07126 pep chromosome:Graimondii2_0_v6:1:20473843:20474617:-1 gene:B456_001G149000 transcript:KJB07126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESERNEALRTRMKPNVSELLGSRETMFKELSNEFEQWLILIHREQMKKWQEEIKELRLVDASNEEASALLNNARFLLQNPIFDS >KJB10831 pep chromosome:Graimondii2_0_v6:1:46332071:46333534:1 gene:B456_001G227300 transcript:KJB10831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVYGIWSYDMVWAVATAAERVMTRHPHILHQETRLNMNFTTIRSSESGLVFMDEILQSRFKGISGGFQLTNGRLIPKEIEIVNVFKGDRIIGYWNPENGIASIMKEENHTETNSTSSSKLEGVIWPGGTMNIPKGWSLRGKRLRIGVPVTNQFRELISVVHDPQTNDIIVTGFCVDVFKEAVQSLDYEVHYDFIPFEDANGRMAGSYDDLILQVYHKNYDAVVGDITIISRRFAYVDFTLPFTDLDIGVVVPKINNDIWVFLKPLCGDLWITVAAFFIFTGVVIWFIERPINEEFQGSPSEQIGMIFWYSFSTLVFSHSKYSMFNLNNLSVSFIQNHILN >KJB11336 pep chromosome:Graimondii2_0_v6:1:52512807:52517045:1 gene:B456_001G254000 transcript:KJB11336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTIGEQASTLLVRKIPIADPTRVFLGDVIVLKDPDNSENYLVRRLAATEGYEMVSKDEKDEPFVLEKDQCWVLADNDKLKPKEAKDSRLFGPVSMTDIVGRVIYSLRTAVDHGPVLNSHYSMRKDSSLLEIELDVSDMMKNHKA >KJB11333 pep chromosome:Graimondii2_0_v6:1:52512663:52515425:1 gene:B456_001G254000 transcript:KJB11333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSTWCRYIRHKLEYSFSLSWKSYKRGLISDRELYDTVWKNVFQGKLTYLHWNKGEAMAPTIGEQASTLLVRKIPIADPTRVFLGDVIVLKDPDNSENYLVRRLAATEGYEMVSKDEKDEPFVLEKDQCWVLADNDKLKPKV >KJB11331 pep chromosome:Graimondii2_0_v6:1:52512591:52517045:1 gene:B456_001G254000 transcript:KJB11331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSTWCRYIRHKLEYSFSLSWKSYKRGLISDRELYDTVWKNVFQGKLTYLHWNKGEAMAPTIGEQASTLLVRKIPIADPTRVFLGDVIVLKDPDNSENYLVRRLAATEGYEMVSKDEKDEPFVLEKDQCWVLADNDKLKPKEAKDSRLFGPVSMTDIVGRVIYSLRTAVDHGPVLNSHYSMRKDSSLLEIELDVSDMMKNHKA >KJB11334 pep chromosome:Graimondii2_0_v6:1:52512634:52516945:1 gene:B456_001G254000 transcript:KJB11334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSTWCRYIRHKLEYSFSLSWKSYKRGLISDRELYDTVWKNVFQGKLTYLHWNKGEAMAPTIGEQASTLLVRKIPIADPTRVFLGDVIVLKDPDNSENYLVRRLAATEGYEMVSKDEKDEPFVLEKDQCWVLADNDKLKPKV >KJB11335 pep chromosome:Graimondii2_0_v6:1:52512634:52516945:1 gene:B456_001G254000 transcript:KJB11335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSTWCRYIRHKLEYSFSLSWKSYKRGLISDRELYDTVWKNVFQGKLTYLHWNKGEAMAPTIGEQASTLLVRKIPIADPTRVFLGDVIVLKDPDNSENYLVRRLAATEGYEMVSKDEKDEPFVLEKDQCWVLADNDKLKPKV >KJB11330 pep chromosome:Graimondii2_0_v6:1:52512634:52516945:1 gene:B456_001G254000 transcript:KJB11330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSTWCRYIRHKLEYSFSLSWKSYKRGLISDRELYDTVWKNVFQGKLTYLHWNKGEAMAPTIGEQASTLLVRKIPIADPTRVFLGDVIVLKDPDNSENYLVRRLAATEGYEMVSKDEKDEPFVLEKDQCWVLADNDKLKPKMIGS >KJB11332 pep chromosome:Graimondii2_0_v6:1:52512591:52517045:1 gene:B456_001G254000 transcript:KJB11332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSTWCRYIRHKLEYSFSLSWKSYKRGLISDRELYDTVWKNVFQGKLTYLHWNKGEAMAPTIGEQASTLLVRKIPIADPTRVFLGDVIVLKDPDNSENYLVRRLAATEGYEMVSKDEKDEPFVLEKDQCWVLADNDKLKPKSLQHAEGFFAVGNRAGCQ >KJB10683 pep chromosome:Graimondii2_0_v6:1:43296766:43298148:1 gene:B456_001G216300 transcript:KJB10683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMISTASLGYLSFKRPNRFNNGVGATSGSGVKAMRAEKPLEELYSVRVERKVPKERLTELGVSRWSVWKTGKCKLAWDWQVDQLVYIEEGEVRVVPEGSDRFMQFVAGDLVRYPKWFEADLYFNGSYQERYSFRAYGDDQ >KJB09785 pep chromosome:Graimondii2_0_v6:1:23650915:23651898:1 gene:B456_001G165800 transcript:KJB09785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLSAAGVNHPSERVESENTNAAENDRMNRNPLILRQTTEKERFHVLQVVDLSVLAAASFRIVITLTYLTQRLEYRFHTARVIGSNPIVGKTGRNPCFCQHDSKHGLAARSQLNDQSIGCFHLWPSSTVRTREAGNPNGKPFTAPHDSFAKRSLRRFHSCPRKQRGFKIPGDQVKVNSPEKIF >KJB09903 pep chromosome:Graimondii2_0_v6:1:25336115:25336781:1 gene:B456_001G173800 transcript:KJB09903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVVRRQNSAMSRWLRAADGSPCVTENLVSFNHDILINEGKDLGRNFRGIVGNQNSNPNLIPLGSVQYHSNNKQIKGRDGGNNALVADGLVYGPMDLVLHKEDDPIALLKGKKETEICGGPTCSFGCCCWIRLNGCIS >KJB09023 pep chromosome:Graimondii2_0_v6:1:14537465:14539428:1 gene:B456_001G119700 transcript:KJB09023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPSAFPLLLLCIVFLMCGKGNAGRDLEGKYATAYFHKTSDSANHFDGGDVKNLEDKYSTAYFHKAFDAENLDREKEVTSLEDKYATAYFHKTSDSENHFNGGDVKNLEDKYSTAYFHKAFAAENLDKEKEVKGLEDKYATAYFHKTSNSESHGEGKEVKGLEDKYTTAYFHKTSGSESHDEGKEVKGLEDKYATAYFHKTSDSESEVKSLGDKYATAYFHQTSNSESHGERKAMKSLEDKYSTAYFHKAFDLETKSLQQSSEMENHNMEHHHNHHNHVGSAEIGLFTIDELRGFNVGKKLPIFFPIKNHSLYPPFLPKEVADTIPFSSSQLFYILQFFSVSPNSPKGKAVQDTLIKCELEAARGETKICATSLESLLDFLSNAFGPEVDFEFISTRHPTITTPIFQNYTVLESPREIESPKKVACHPMPYLYAVHFCHFDATETKAFRLQLVGDISGDKVDALVVCHMDTSGWSTDHAAFRMLGIKQGNAVCHVFSQGNLVWIQPPVVTVSAM >KJB10745 pep chromosome:Graimondii2_0_v6:1:44749309:44754987:-1 gene:B456_001G220600 transcript:KJB10745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 90-6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G07770) UniProtKB/Swiss-Prot;Acc:F4JFN3] MMHRLSRRSVSAALRAPATRYRIAAAAPISFSTPIPVSAVESDNSTRWYSAITGGKCITTRHSSQLNLKNDLFLGSRYESTAAASDSGNPPPPPAEKYEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRYLSVTDPQLSKDAVDLDIRIQTDKDNGIITITDSGIGMTRQELVDCLGTIAQSGTAKFLKAVKESKDAGTDNNLIGQFGVGFYSAFLVSDKVVVSTKSPKSDKQYVWEGEANASSYTIREETNPENLIPRGTRLTLYLKRDDKGFAHPERIQKLVKNYSQFVSFPIYTWQEKGITKEVEVDEDPAEAKEADQDEKIEKKKKTKKVVERYWDWELTNETQPIWLRNPKEVTTEEYNEFYKKTFNEYSDPLASSHFTTEGEVEFRSVLYVPATSPMGRDDITNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMILGISMSENKGDYETFWENFGKHLKLGCIEDRENHKRLAPLLRFFSSQSEDEMISLDEYVENMKAEQKDIYYLAADSVSSARNTPFLERLLEKDLEVLYLVDPIDEVAIQSLKSYKEKNFVDISKEDLDLGDKNEEKEKVTKEEFSRTCDWIKKRLGEKVANVQISNRLSSSPCVLVSGKFGWSANMERLMKAQTVGDTSTLEFMKGRRVFEINPEHPIIRELNAAFKSNPDDEDALRAIDLLYDAALVSSGYTPDNPAQLSGKIYEMMGMAISGKWSTFDSQQSASQPPQTETLEAEVVEPVEAGSQK >KJB10747 pep chromosome:Graimondii2_0_v6:1:44749319:44754862:-1 gene:B456_001G220600 transcript:KJB10747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 90-6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G07770) UniProtKB/Swiss-Prot;Acc:F4JFN3] MMHRLSRRSVSAALRAPATRYRIAAAAPISFSTPIPVSAVESDNSTRWYSAITGGKCITTRHSSQLNLKNDLFLGSRYESTAAASDSGNPPPPPAEKYEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRYLSVTDPQLSKDAVDLDIRIQTDKDNGIITITDSGIGMTRQELVDCLGTIAQSGTAKFLKAVKESKDAGTDNNLIGQFGVGFYSAFLVSDKEKGITKEVEVDEDPAEAKEADQDEKIEKKKKTKKVVERYWDWELTNETQPIWLRNPKEVTTEEYNEFYKKTFNEYSDPLASSHFTTEGEVEFRSVLYVPATSPMGRDDITNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMILGISMSENKGDYETFWENFGKHLKLGCIEDRENHKRLAPLLRFFSSQSEDEMISLDEYVENMKAEQKDIYYLAADSVSSARNTPFLERLLEKDLEVLYLVDPIDEVAIQSLKSYKEKNFVDISKEDLDLGDKNEEKEKVTKEEFSRTCDWIKKRLGEKVANVQISNRLSSSPCVLVSGKFGWSANMERLMKAQTVGDTSTLEFMKGRRVFEINPEHPIIRELNAAFKSNPDDEDALRAIDLLYDAALVSSGYTPDNPAQLSGKIYEMMGMAISGKWSTFDSQQSASQPPQTETLEAEVVEPVEAGSQK >KJB10746 pep chromosome:Graimondii2_0_v6:1:44749319:44754862:-1 gene:B456_001G220600 transcript:KJB10746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 90-6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G07770) UniProtKB/Swiss-Prot;Acc:F4JFN3] MMHRLSRRSVSAALRAPATRYRIAAAAPISFSTPIPVSAVESDNSTRWYSAITGGKCITTRHSSQLNLKNDLFLGSRYESTAAASDSGNPPPPPAEKYEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRYLSVTDPQLSKDAVDLDIRIQTDKDNGIITITDSGIGMTRQELVDCLGTIAQSGTAKFLKAVKESKDAGTDNNLIGQFGVGFYSAFLVSDKVVVSTKSPKSDKQYVWEGEANASSYTIREETNPENLIPRGTRLTLYLKRDDKGFAHPERIQKLVKNYSQFVSFPIYTWQEKGITKEVEVDEDPAEAKEADQDEKIEKKKTKKVVERYWDWELTNETQPIWLRNPKEVTTEEYNEFYKKTFNEYSDPLASSHFTTEGEVEFRSVLYVPATSPMGRDDITNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMILGISMSENKGDYETFWENFGKHLKLGCIEDRENHKRLAPLLRFFSSQSEDEMISLDEYVENMKAEQKDIYYLAADSVSSARNTPFLERLLEKDLEVLYLVDPIDEVAIQSLKSYKEKNFVDISKEDLDLGDKNEEKEKVTKEEFSRTCDWIKKRLGEKVANVQISNRLSSSPCVLVSGKFGWSANMERLMKAQTVGDTSTLEFMKGRRVFEINPEHPIIRELNAAFKSNPDDEDALRAIDLLYDAALVSSGYTPDNPAQLSGKIYEMMGMAISGKWSTFDSQQSASQPPQTETLEAEVVEPVEAGSQK >KJB06763 pep chromosome:Graimondii2_0_v6:1:1059315:1062450:1 gene:B456_001G011200 transcript:KJB06763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNNITAVLNIVALLCSIPIIAAGIWLDQKPDNACVHLIRWPVILLGFLILLVSLAGFVGAYRYKETLLAFYLCCMAILIALLLILLVFAFVVTRPDGSYDVPGKGYKEYRLDGYSAWLRDHVVDNKSWRKIKACLADTGVCPKLTQKFITADQFFAAHISPLQSGCCKPPTICGYTFVNPIQWTNPTNPTGDPDCYLWSNDQTQLCYNCNSCRAGLLGNLRTEWRKANIILIVAVVLLILVYVIACSAFRNVQSEELFSRRKH >KJB06764 pep chromosome:Graimondii2_0_v6:1:1059315:1062452:1 gene:B456_001G011200 transcript:KJB06764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNNITAVLNIVALLCSIPIIAAGIWLDQKPDNACVHLIRWPVILLGFLILLVSLAGFVGAYRYKETLLAFYLCCMAILIALLLILLVFAFVVTRPDGSYDVPGKGYKEYRLDGYSAWLRDHVVDNKSWRKIKACLADTGVCPKLTQKFITADQFFAAHISPLQARCCKPPTICGYTFVNPIQWTNPTNPTGDPDCYLWSNDQTQLCYNCNSCRAGLLGNLRTEWRKANIILIVAVVLLILVYVIACSAFRNVQSEELFSRRKH >KJB08644 pep chromosome:Graimondii2_0_v6:1:10558279:10560440:1 gene:B456_001G095300 transcript:KJB08644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQSERQSEEQRQPTLTPSVETKLKGACFKCKKQGHWAKYCPSNDNPTAKPSSPPLDTHLIPVLCCHCGVACTIQVSRSDANYGKPYYSRNCTCDNATRGRNFYKWCDDVKAPMCSCGAGACTVNNRRDENGKETKYFTCRIRKGHGACGFLQFESPTSSRPRSLEIDERPSTMRLRCGGLSDIITHEMSVTGNLPNGCRYRWLRLFFFFSSSSTCFMMLSSTGFFEFFIYREGSWRIEFSPAQILKEELRQLRDVSFASSLLSSSMMPSVIADAEVDKVSQNALGSGTQPLCDPLGTSSLETSFIASEKVRKNLQKDYLSHLEPIEPPDHNSMLQAVNDTFGASVSPLIECRPFVEHMVESFRGISIVAGMGSALKDYCNQSVPSIPKNIGKSLYDISGNNAETFATVTDTGNYHFWSVHEAASHIKDTLCQIEKLETYLLKTAKDVGQLKRCMQATYQELTKALKLTQEKEEQMHFNMDNIK >KJB08643 pep chromosome:Graimondii2_0_v6:1:10558250:10560440:1 gene:B456_001G095300 transcript:KJB08643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQSERQSEEQRQPTLTPSVETKLKGACFKCKKQGHWAKYCPSNDNPTAKPSSPPLDTHLIPVLCCHCGVACTIQVSRSDANYGKPYYSRNCTCDNATRGRNFYKWCDDVKAPMCSCGAGACTVNNRRDENGKETKYFTCRIRKGHGACGFLQFESPTSSRPRSLEIDERPSTMRLRCGGLSDIITHEMSVTGNLPNGYAEVDKVSQNALGSGTQPLCDPLGTSSLETSFIASEKVRKNLQKDYLSHLEPIEPPDHNSMLQAVNDTFGASVSPLIECRPFVEHMVESFRGISIVAGMGSALKDYCNQSVPSIPKNIGKSLYDISGNNAETFATVTDTGNYHFWSVHEAASHIKDTLCQIEKLETYLLKTAKDVGQLKRCMQATYQELTKALKLTQEKEEQMHFNMDNIK >KJB08642 pep chromosome:Graimondii2_0_v6:1:10558250:10560440:1 gene:B456_001G095300 transcript:KJB08642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQSERQSEEQRQPTLTPSVETKLKGACFKCKKQGHWAKYCPSNDNPTAKPSSPPLDTHLIPVLCCHCGVACTIQVSRSDANYGKPYYSRNCTCDNATRGRNFYKWCDDVKAPMCSCGAGACTVNNRRDENGKETKYFTCRIRKGHGACGFLQFESPTSSRPRSLEIDERPSTMRLRCGGLSDIITHEMSVTGNLPNGYAEVDKVSQNALGSGTQPLCDPLGTSSLETSFIASEKVRKNLQKDYLSHLEPIEPPDHNSMLQAVNDTFGASVSPLIECRPFVEHMVESFRGISIVAGMGSALKDYCNQSVPSIPKNIGKSLYDISGNNAETFATVTDTGNYHFWSVHEAASHIKDTLCQIEKLETYLLKTAKDVGQLKRCMQATYQELTKALKLTQEKEEQMHFNMDNIK >KJB10084 pep chromosome:Graimondii2_0_v6:1:29120314:29120716:1 gene:B456_001G184200 transcript:KJB10084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPLNCYFLGLLCLVFFINIEKGSAGGKVWEAVMGTCSQFKDCNKYCITNGFPLGGFCKTLNPTAPSFCLCKYT >KJB06798 pep chromosome:Graimondii2_0_v6:1:23170681:23171718:-1 gene:B456_001G160000 transcript:KJB06798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLIMKECQIRMTARRILCVLNFFFRPKRVVMQLRRSPWRCKGMTEMGWRFFFIGPSRNAKAYFAPLAPKQGNGPESSVPH >KJB10760 pep chromosome:Graimondii2_0_v6:1:45219934:45220915:1 gene:B456_001G222600 transcript:KJB10760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPITDVFPNRSRLRAAVLRHIRLPVQTLTPTASRAPSQWSLLRLFLSHDDHLTKEEVIDRVLDIVKSFPKVDPSKMPHP >KJB11004 pep chromosome:Graimondii2_0_v6:1:47672100:47673924:-1 gene:B456_001G238500 transcript:KJB11004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSTKQNSSRAMNPCVLHLQKLGLELKCPLCLNLFKRPLLLPCDHLFCDSCVARTEFGSECPICKVQCANRDLRPLTFMENIVGIYRSLDSAFSANLSHSIEDGVGKTEKFDKCSMQRRATDVGYETPNKDGVDLLRSVSHKQIGASQESRNRQIIMSQADQASLSPPSYGDTKVSDNDSEHSLANFPAKGAGKRNSEVGQGNSSGIRPCEPGEVLNNWTVEEIPVIFKLNLK >KJB09298 pep chromosome:Graimondii2_0_v6:1:17154244:17155799:1 gene:B456_001G133600 transcript:KJB09298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGFLSFPWFGVDPKRDSDLTFASTSASIEPKQKASFEIRLWGWTLVSVPPKAVNGNDRIRTPTTINKGLKRRAQENSVFEPPTPIRFRPYVCKVPWHTGARAFLSQLFPRYGHYCGPNWSSGKDGGSLIWDRRPIDWLDFCCYCHDIGYDTHDQEKLLKADLAFLECLERPHMSTKGDPYIAQLYKTMCTTGLKNILIPYRRHLVKLQYGQPLIDFRWINNMKRRSWNFQKT >KJB09300 pep chromosome:Graimondii2_0_v6:1:17152535:17155968:1 gene:B456_001G133600 transcript:KJB09300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGFLSFPWFGVDPKRDSDLTFASTSASIEPKQKASFEIRLWGWTLVSVPPKAVNGNDRIRTPTTINKGLKRRAQENSVFEPPTPIRFRPYVCKVPWHTGARAFLSQLFPRYGHYCGPNWSSGKDGGSLIWDRRPIDWLDFCCYCHDIGYDTHDQEKLLKADLAFLECLERPHMSTKGDPYIAQLYKTMCTTGLKNILIPYRRHLVKLQYGQPLIDFRWINNMKRRSWNFQKT >KJB09299 pep chromosome:Graimondii2_0_v6:1:17152698:17155799:1 gene:B456_001G133600 transcript:KJB09299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGFLSFPWFGVDPKRDSDLTFASTSASIEPKQKASFEIRLWGWTLVSVPPKAVNGNDRIRTPTTINKGLKRRAQENSVFEPPTPIRFRPYVCKVPWHTGARAFLSQLFPRYGHYCGPNWSSGKDGGSLIWDRRPIDWLDFCCYCHDIGYDTHDQEKLLKADLAFLECLERPHMSTKGDPYIAQLYKTMCTTEGTLLSYNTGNL >KJB09297 pep chromosome:Graimondii2_0_v6:1:17152697:17155799:1 gene:B456_001G133600 transcript:KJB09297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGFLSFPWFGVDPKRDSDLTFASTSASIEPKQKASFEIRLWGWTLVSVPPKAVNGNDRIRTPTTINKGLKRRAQENSVFEPPTPIRFRPYVCKVPWHTGARAFLSQLFPRYGHYCGPNWSSGKDGGSLIWDRRPIDWLDFCCYCHDIGYDTHDQEKLLKADLAFLECLERPHMSTKGDPYIAQLYKTMCTTGLKNILIPYRRHLVKLQYGQPLIDFRWINNMKRRSWNFQKT >KJB08248 pep chromosome:Graimondii2_0_v6:1:7515656:7516383:-1 gene:B456_001G073700 transcript:KJB08248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVQENEALSNRSLTKHLSSSEWRTLENHVVRINFDAAFSQQLFRSASGPVARNERGEVIVSKSVLANRIASSFAVEAFACSQAVRLGMGMGMRMEVRRFTWNAQCRLMRCIRWDRDRNQIEETV >KJB09013 pep chromosome:Graimondii2_0_v6:1:14416891:14420803:1 gene:B456_001G119000 transcript:KJB09013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKVSGFYKHGNDWFFNAGLPSDLTIIVDGVNFHLHKFPLMSKCGKIASIFEELKSTHDKTFTTNLEEFPGGPDAFLFAAKFCYGIRVEFTARNIIMVYCAADFLEMTDEYGEDNLLLKSENFLHKNVLRNWKDCILALQSCESCMPRAEKLYILKKCLNAVSMMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSAESDWWFEDISYFSVGLFERLIKTMEGRGIRCELLAGAIMYYARKFLPGLGRWQSVQGGKARTVASFSLTPVAVDQKVLLESIEMLLPKKKGKSFCRFLLGLLRVASILGVNQTCQDSLERRIGMQLELASLDGLLIPSYSDSDTLYNTDCVERIVHHFVSLESGLTLFSPPSLDLESSPSSEPLRKVARLMDSYIAEVASDVNLKPGKLRILAEVLPESSRPLHDGLYRALDIYFKAHPWLSDGEKEKLCNIIDYQKLSVDACAHASQNERLPLRVILQVLFFEQMHLRMALAGCLNVLEAESAPTGQGEIAGNATAGQIVQRDGWVTVVRENRVLKVDMEKMRSRVGELEEEFSKIKQEMKRVTKSHSSLSSPRVVARKFGCKLLAKSSDAQTDVAGSTGPTPRPSVEKACSSRNSRHRKSFSLF >KJB09015 pep chromosome:Graimondii2_0_v6:1:14418234:14420802:1 gene:B456_001G119000 transcript:KJB09015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKCGKIASIFEELKSTHDKTFTTNLEEFPGGPDAFLFAAKFCYGIRVEFTARNIIMVYCAADFLEMTDEYGEDNLLLKSENFLHKNVLRNWKDCILALQSCESCMPRAEKLYILKKCLNAVSMMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSAESDWWFEDISYFSVGLFERLIKTMEGRGIRCELLAGAIMYYARKFLPGLGRWQSVQGGKARTVASFSLTPVAVDQKVLLESIEMLLPKKKGKSFCRFLLGLLRVASILGVNQTCQDSLERRIGMQLELASLDGLLIPSYSDSDTLYNTDCVERIVHHFVSLESGLTLFSPPSLDLESSPSSEPLRKVARLMDSYIAEVASDVNLKPGKLRILAEVLPESSRPLHDGLYRALDIYFKAHPWLSDGEKEKLCNIIDYQKLSVDACAHASQNERLPLRVILQVLFFEQMHLRMALAGCLNVLEAESAPTGQGEIAGNATAGQIVQRDGWVTVVRENRVLKVDMEKMRSRVGELEEEFSKIKQEMKRVTKSHSSLSSPRVVARKFGCKLLAKSSDAQTDVAGSTGPTPRPSVEKACSSRNSRHRKSFSLF >KJB09014 pep chromosome:Graimondii2_0_v6:1:14417354:14420802:1 gene:B456_001G119000 transcript:KJB09014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKVSGFYKHGNDWFFNAGLPSDLTIIVDGVNFHLHKFPLMSKCGKIASIFEELKSTHDKTFTTNLEEFPGGPDAFLFAAKFCYGIRVEFTARNIIMVYCAADFLEMTDEYGEDNLLLKSENFLHKNVLRNWKDCILALQSCESCMPRAEKLYILKKCLNAVSMMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSAESDWWFEDISYFSVGLFERLIKTMEGRGIRCELLAGAIMYYARKFLPGLGRWQSVQGGKARTVASFSLTPVAVDQKVLLESIEMLLPKKKGKSFCRFLLGLLRVASILGVNQTCQDSLERRIGMQLELASLDGLLIPSYSDSDTLYNTDCVERIVHHFVSLESGLTLFSPPSLDLESSPSSEPLRKVARLMDSYIAEVASDVNLKPGKLRILAEVLPESSRPLHDGLYRALDIYFKV >KJB07991 pep chromosome:Graimondii2_0_v6:1:5682018:5684119:1 gene:B456_001G057600 transcript:KJB07991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYSIVFSDGSSASPSSLTMANHHHQQRSYLQSNNGVNTCKNMDASIDKNEGEVAGKKGDKKEVMKKHKYAFQTRSHVDILDDGYRWRKYGQKTVKNSKFPRSYYRCTHKECNVKKQVQRSSKDDEIVVTTYEGIHTHPVEKLFENFEHILKQIQTYNPL >KJB07900 pep chromosome:Graimondii2_0_v6:1:4912412:4915265:-1 gene:B456_001G051800 transcript:KJB07900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKILGIYDYKMCRFCIIEGPETVQDFAKMELQEIEDNIRSRRNKIFLQMEEVRRLRIQQRIKSAELGIFKEEQESELPNFPSFIPFLPPLTSANLKVYYVTCYSLIAGIIIFGGLLAPTLELKLGLGGTSYADFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGSLVLTEPVSTLNGRDRPLSTPKTERCSNCLGSGKVMCPTCLCTGMAMASEHDPRIDPFD >KJB07899 pep chromosome:Graimondii2_0_v6:1:4912114:4916593:-1 gene:B456_001G051800 transcript:KJB07899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLSRVLTISCTVKPSPPYKPPSLSSRFVHTKCELKSRWRSMATEPDSSSSAQSVESDSSADKTAAGFCIIEGPETVQDFAKMELQEIEDNIRSRRNKIFLQMEEVRRLRIQQRIKSAELGIFKEEQESELPNFPSFIPFLPPLTSANLKVYYVTCYSLIAGIIIFGGLLAPTLELKLGLGGTSYADFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGSLVLTEPVSTLNGRDRPLSTPKTERCSNCLGSGKVMCPTCLCTGMAMASEHDPRIDPFD >KJB07898 pep chromosome:Graimondii2_0_v6:1:4912086:4916679:-1 gene:B456_001G051800 transcript:KJB07898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLSRVLTISCTVKPSPPYKPPSLSSRFVHTKCELKSRWRSMATEPDSSSSAQSVESDSSADKTAAGFCIIEGPETVQDFAKMELQEIEDNIRSRRNKIFLQMEEVRRLRIQQRIKSAELGIFKEEQESELPNFPSFIPFLPPLTSANLKVYYVTCYSLIAGIIIFGGLLAPTLELKLGLGGTSYADFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGSLVLTEPVSTLNGRDRPLSTPKTERCSNCLGSGKVMCPTCLCTGMAMASEHDPRIDPFD >KJB07901 pep chromosome:Graimondii2_0_v6:1:4914007:4916593:-1 gene:B456_001G051800 transcript:KJB07901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLSRVLTISCTVKPSPPYKPPSLSSRFVHTKCELKSRWRSMATEPDSSSSAQSVESDSSADKTAAGFCIIEGPETVQDFAKMELQEIEDNIRSRRNKIFLQMEEVRRLRIQQRIKSAELGIFKEEQESELPNFPSFIPFLPPLTSANLKVYYVTCYSLIAGIIIFGGLLAPTLELKLGLGGTSYADFIRSVHLPMQLRYD >KJB08055 pep chromosome:Graimondii2_0_v6:1:6067071:6067910:-1 gene:B456_001G061000 transcript:KJB08055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGGCSVARYEAYGVYDMSKMDRIMLRFRPIAPKPATGGGSGETGGGTEVCCKSGRRKRRHTKGSITRRKRRVTTLSLISETPDCKDCISKETKTMVAPPSLWLSSGESDAAAAADDAVYRKESFGFVGGGGESLDRAVRMVVGSSSSSCVTVESVTETWVSGGGWLECTDEEMKVNLGKDLCPGFISDVFGRVTWTNGAYKEMVGGGGRDMTTVRLLMKQKLPPAKIFPAFTCRVRLQYSCGKDRKSLTLPCDVWRMNSGGIAWRLDINAALCLGR >KJB08693 pep chromosome:Graimondii2_0_v6:1:11021038:11022611:1 gene:B456_001G098100 transcript:KJB08693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQFNFVSSMLILLLLTISSAKAQKAGGGGGGGGGGGGVIDVVAKFGAKADEKTDLSKPLLDAWKEACASTSPAKIVVPKGIYFLSTATLDGPCKAPIELQVEGTVKAPADPGALKEPKWIAFNKIENFKLSGAGVFDGQGTTAYKRKGCEKHNFCGSLPINLRFDFLTNAMIQGVTTKDSKQFHVNVLGCKNITFEHFTVLAPGESPNTDGIHIGRSDGVNVLNTEIKTGDDCVSIGDGTKNLVINGVTCGPGHGISIGSLGLFKNEEPVDGVTVKNCTLTNTSNGVRIKSWPGAEPGTCSNIHFEDITVTNVSSPIIIDQKYCPWNKCKINEESKVKLSNISFKNIHGTSALPEAVKIICSATLPCENVELADIEIMHSGPTGPAVSQCSNVKPKVSGKQNPVACSAPVPAKPTPTS >KJB10172 pep chromosome:Graimondii2_0_v6:1:29995266:29996242:1 gene:B456_001G187300 transcript:KJB10172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQVGNGVEGAEEVTFLYRLTPGACPKSYGVNVARIAGLPDSVLRTAASKSREFEAVYGKHRSKGSEDKLPMQSSLDEMVVFIRELISLTRSKTCEEGTCIRSLTQLQQRARMLLHQH >KJB09788 pep chromosome:Graimondii2_0_v6:1:23669740:23674306:1 gene:B456_001G166100 transcript:KJB09788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPWNSSTKGSGPDLVSGDPARGKKMLFGVGLAPLVPGPSEADLPLCCVLLSMPGIRDSLKAERRMLEIRRRDISRRGRSCSGTSRTSYQLSFPRCSSKSRWMPDIQRRPGPFFSAANVGLCSV >KJB09911 pep chromosome:Graimondii2_0_v6:1:25470431:25472478:1 gene:B456_001G174500 transcript:KJB09911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRCTLPKLALFNFEASPVLDLRVIPTKEDCIIELFSCKFKGSDVVERQNDHFSATMINHITWDTNMSEPFLEVDVKLNLCLENIHLYMFFPSKRQAQVVSYLCKQKADVGAVAMDGMGAIHFAAQKGHLEVV >KJB06531 pep chromosome:Graimondii2_0_v6:1:9030704:9031809:1 gene:B456_001G084800 transcript:KJB06531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLNIGAGNSDDAFYRYKMPKMITKIEGRGNGIKTNIVNMVDVAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGSHDTAKLARLLENFINKYVQCYGCGNPETEIFITKNQMIQLNCVACGFVSDVDMMDKLTSFILKNRAEPKKRSDKKAMKRAEKERPKKREAAAAATDDVQWQTDTSMEAARQRIKEQLTVATADMVMLSTEKKPKVTTKASNKGQMIALLEALFEGIEKGFAKEVIKKKNYLALAAKDKESQLLLLKAIESFCGKANSNALKEVALVLKTLYDVDVLEEEYVLQWHQQGLKGKNKGSQIWKNVKPFIDWLQSAESESEEE >KJB11354 pep chromosome:Graimondii2_0_v6:1:52609113:52610895:1 gene:B456_001G254400 transcript:KJB11354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDEEDSIEHTLLVVREVSVFKIPPRSTSGGYKCGEWLQSDKIWSGRLRVVSCKDRCEIRLEDPNSGELFAACFIHPGQRESSVEPALDSSRYFVLKIEDGNGKHAFIGLGFNERNEAFDFNVALSDHEKHVRRENEKETGETSDSDSHIDIHPAVNHRLKEGETIRINVKHKPSSGTSMLSAAGLSGSGKPKTLSLAPPPSGAGKIRSPLPPPPNDPVAARLASTSQGVGQRAPKENMKQTNPSTYLSQLEVCL >KJB11353 pep chromosome:Graimondii2_0_v6:1:52609108:52611522:1 gene:B456_001G254400 transcript:KJB11353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDEEDSIEHTLLVVREVSVFKIPPRSTSGGYKCGEWLQSDKIWSGRLRVVSCKDRCEIRLEDPNSGELFAACFIHPGQRESSVEPALDSSRYFVLKIEDGNGKHAFIGLGFNERNEAFDFNVALSDHEKHVRRENEKETGETSDSDSHIDIHPAVNHRLKEGETIRINVKHKPSSGTSMLSAAGLSGSGKPKTLSLAPPPSGAGKIRSPLPPPPNDPVAARLASTSQGVGQRAPKENMKQTNPSTYLSQLERNHLPATGSGSTKTTASGWAAF >KJB06635 pep chromosome:Graimondii2_0_v6:1:335360:339094:-1 gene:B456_001G003600 transcript:KJB06635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGILLKLLQSMNSSARVAGDHRSGLLQVIGIVPALAGSDELWANHGFYVQLSDSLNSTYVSLSDRDSELILSNRLQLGQFVYVDRFHFESPVPRVSGIRPIAGRHAFVGSPDPLIARISSSKRDFVVQPVSEFSSDPVAVYLSNKKLEQPTQSGNKDSKIENPRTKQPLVPRDNVEVNENSERFSSPVASKRSVSTVKKTSAAVVERDPSPAGKGKRSASPLPSKCMVPSLMAGKEDNKKIGRESVIMVPSRYRQPSPNGRKQASPGARRPSLSPAKRLAGGLKVSPAVGDSKKKMATIVAGISKASEALVGSAKSSRKSWDEQPEKGSGELKEKAYVKTKPDLQAILRTQTAISRRLTDVHSQKSNDGNSSSNEKRKASSPKDGSAAEKPARGSGALGITVHEKKWTDGSVSWDTLSADLAKLGKEAMQRRVLASKTAAEALEEAITAESLVRNLSMFSELSSKSKAGNPLPTIDRFLSIYDDVVKYTGIAETVAAGCKSGIEEHSKSSSLWVEAAVTTDLEIVSLLTPQNNESLSALQINLSQHASPKNQLKTSSIPQLQQNNNAAMWTRGEGMKETMHFAMNLKVEMQMWFIGFIEESLKALVLDCGSIAAVLSQLKRVNDWLDRAVLKGDEVLVHMVEKLKRKIYGFVIQHVGSTFDNSSHLSLY >KJB08169 pep chromosome:Graimondii2_0_v6:1:6957948:6959820:-1 gene:B456_001G069100 transcript:KJB08169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGKEMMKKLLKKVGEKNLAHGVKEQLQKSIPDSKVVMNRAKRGLYAGRHIQFGNRISEDGGNKSRRSWKPNVQEKRLFSYILDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYHKMDTEMGLFWKAKIEKMYEELGQMEVVFFSPEDEAKFEQGFKELKLAERAARRDARRQMYGWSGKLEEIENRRSHDGTGNAGEDSSDGDVLVANS >KJB10799 pep chromosome:Graimondii2_0_v6:1:45869535:45878607:-1 gene:B456_001G225300 transcript:KJB10799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDESAINWINQGKETDQEPRIRSPLTEKLAPHDSFPKSPLIYNTATLLSPHVLPPPLKFRSGLLGPHSVIAPALHEDDDDSNEDESVASVSDDISGGDAGNGIFSDEEEMFERTKCSSKLTRGFSKQDLKVELPDTNRRFTDGDLGIKDFAKKDLTSAATGGGSFGLRERVQIHNAHGTIRGYVNNTFKDVEDLGTPSAPPILDIGREGSDEEEIEQIQDGTYKPVQADCFDVSAEGLPVLKSESLSCPELAEERVNETANTNKEEKMPYWQNNMSDDLHHYNASGQYAWQTLIAYDACIRLCLYAWARGCPEAPEFLRDECLLLRSAFGLHKFLLQPRGVQPVEVSTTKSVEQVSLKAKKIVGKIRVEVKKLRIIPRRKLRSMYSQRSAMYMQVGAEYVRHVSSLVKTGMNSLKIGSFPVASEEPLSCLFQLKSATEDTKVEPSSAICLHPGGGDYHVFFPETEGDALLVEVQDKKKLVQGRATIPVSSLSDNPNERIRWWPIYHEDEECVGKIQLSIGSTITSDETSQIKSGPVVETLAYDLLLEASMRAQCFHSRNLRLQGPWQWLLTEFADYYGVSDSYTKLRYLLHVMNVATPTKDCLELVNELLVPILKARNEKSLTRQEKGILLGCETQIESLLANAFENYKSLDEKSPTGLADLFGPTQETAAPALAPAVQVYTLLHDILSPDAQTTLRNYLQTAARKRCRKHMIETDEFVSNNSEGFLLDSITISTAYLKMKNLCTNISKEIQADIKIHNQHILPSSIDLSNITAEVYSTELCKRLTSFLAAWPPSCPASHVNELLIAIADFERDLESWNISPVQGGVDSRSLFHNYIMVWVEDMQLKLLDLCKAEKVPWSGVTTNHSTSPFAEEMYEKIKDSLIGYEVVINRWPQYSLVLENAVANVERAIIKALEKQYNDILTPLKDSIPKRLNMHVQKLTRRQSTALYSVPSQLGIFLNTVKRILDALHCRVEDVLKSWAACLPITGDKKSLFGEQMNGITVMLRTKYKNYLQATVEKLVNNTQANRNTRLKRILEEIKEEDGEAEIRERMQMLSSQLIDSISNINEVFKSRIFVATCRGFWDRMGQIVLKFLEGRKENRVWYNGSYYALGILDDTFASQMQRLQGNQLQEKDVEPPRSVIEARSILCREPANATDSSTYFYV >KJB10798 pep chromosome:Graimondii2_0_v6:1:45869535:45877537:-1 gene:B456_001G225300 transcript:KJB10798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYWQNNMSDDLHHYNASGQYAWQTLIAYDACIRLCLYAWARGCPEAPEFLRDECLLLRSAFGLHKFLLQPRGVQPVEVSTTKSVEQVSLKAKKIVGKIRVEVKKLRIIPRRKLRSMYSQRSAMYMQVGAEYVRHVSSLVKTGMNSLKIGSFPVASEEPLSCLFQLKSATEDTKVEPSSAICLHPGGGDYHVFFPETEGDALLVEVQDKKKLVQGRATIPVSSLSDNPNERIRWWPIYHEDEECVGKIQLSIGSTITSDETSQIKSGPVVETLAYDLLLEASMRAQCFHSRNLRLQGPWQWLLTEFADYYGVSDSYTKLRYLLHVMNVATPTKDCLELVNELLVPILKARNEKSLTRQEKGILLGCETQIESLLANAFENYKSLDEKSPTGLADLFGPTQETAAPALAPAVQVYTLLHDILSPDAQTTLRNYLQTAARKRCRKHMIETDEFVSNNSEGFLLDSITISTAYLKMKNLCTNISKEIQADIKIHNQHILPSSIDLSNITAEVYSTELCKRLTSFLAAWPPSCPASHVNELLIAIADFERDLESWNISPVQGGVDSRSLFHNYIMVWVEDMQLKLLDLCKAEKVPWSGVTTNHSTSPFAEEMYEKIKDSLIGYEVVINRWPQYSLVLENAVANVERAIIKALEKQYNDILTPLKDSIPKRLNMHVQKLTRRQSTALYSVPSQLGIFLNTVKRILDALHCRVEDVLKSWAACLPITGDKKSLFGEQMNGITVMLRTKYKNYLQATVEKLVNNTQANRNTRLKRILEEIKEEDGEAEIRERMQMLSSQLIDSISNINEVFKSRIFVATCRGFWDRMGQIVLKFLEGRKENRVWYNGSYYALGILDDTFASQMQRLQGNQLQEKDVEPPRSVIEARSILCREPANATDSSTYFYV >KJB11590 pep chromosome:Graimondii2_0_v6:1:54469013:54471955:1 gene:B456_001G267500 transcript:KJB11590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNLIRRAMNMTSRFPSSTVAPASRLVLDRPYATETEAQKVEPKASSGSGGTSMKTFQIYRWNPDNPTKPQLQDFKIDLKECGPMVLDALIKIKNEMDPSLTFRRSCREGICGSCAMNINGCNGLACLTKIESGPSETTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKNPPPTAGKEIPQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTKERLDAINDEFKLYRCHTILNCARACPKGLNPGKQITNIKHLQLTGGA >KJB10702 pep chromosome:Graimondii2_0_v6:1:43384354:43386536:1 gene:B456_001G216900 transcript:KJB10702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPIFASFSLLLISALFIVADCGSIGVNYGRIANNLPSATKVVELLKSQALNRVKVYDTDPAVLHALSGSGIKVTVDLPNEQLFAAAKSTSFANSWVQRNVAAYYPHTEIEAIAVGNEVFVDPRNTTKFLVPAMKNIHQALVKSNLHSDIKISSPIALSALQNSYPSSAGSFRPELIEPVFKPMLNFLRQTGSFLMVNAYPFFAYESNTDVISLDYALFRENPGVVDAGNGLRYFSLFDAQVDAVFAAMSALKYDDIRLVVTETGWPSKGDENEIGASAENAAAYNGNLVRRILTGGGTPLRPKADLTVYLFALFNENNKVGPTSERNYGLFYPTEEKVYDIPFTVEGLKNYHDRRSPVAGNQPVNGGKGGVSKSTTGNTWCVANGEAGKEKLQAALDFACGEGGADCHSIQPGATCYDPNTLEAHASFAFNSYYQKNGRHMGTCYFGGAAYVVTQPPSAYSILLSLFNSAHIKLFSA >KJB10704 pep chromosome:Graimondii2_0_v6:1:43384407:43386536:1 gene:B456_001G216900 transcript:KJB10704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIHQALVKSNLHSDIKISSPIALSALQNSYPSSAGSFRPELIEPVFKPMLNFLRQTGSFLMVNAYPFFAYESNTDVISLDYALFRENPGVVDAGNGLRYFSLFDAQVDAVFAAMSALKYDDIRLVVTETGWPSKGDENEIGASAENAAAYNGNLVRRILTGGGTPLRPKADLTVYLFALFNENNKVGPTSERNYGLFYPTEEKVYDIPFTVEGLKNYHDRRSPVAGNQPVNGGKGGVSKSTTGNTWCVANGEAGKEKLQAALDFACGEGGADCHSIQPGATCYDPNTLEAHASFAFNSYYQKNGRHMGTCYFGGAAYVVTQPPKYGDCEFPTGY >KJB10701 pep chromosome:Graimondii2_0_v6:1:43384407:43386536:1 gene:B456_001G216900 transcript:KJB10701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPIFASFSLLLISALFIVADCGSIGVNYGRIANNLPSATKVVELLKSQALNRVKVYDTDPAVLHALSGSGIKVTVDLPNEQLFAAAKSTSFANSWVQRNVAAYYPHTEIEAIAVGNEVFVDPRNTTKFLVPAMKNIHQALVKSNLHSDIKISSPIALSALQNSYPSSAGSFRPELIEPVFKPMLNFLRQTGSFLMVNAYPFFAYESNTDVISLDYALFRENPGVVDAGNGLRYFSLFDAQVDAVFAAMSALKYDDIRLVVTETGWPSKGDENEIGASAENAAAYNGNLVRRILTGGGTPLRPKADLTVYLFALFNENNKVGPTSERNYGLFYPTEEKVYDIPFTVEGLKNYHDRRSPVAGNQPVNGGKGGVSKSTTGNTWCVANGEAGKEKLQAALDFACGEGGADCHSIQPGATCYDPNTLEAHASFAFNSYYQKNGRHMGTCYFGGAAYVVTQPPKYGDCEFPTGY >KJB10705 pep chromosome:Graimondii2_0_v6:1:43383926:43386567:1 gene:B456_001G216900 transcript:KJB10705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPIFASFSLLLISALFIVADCGSIGVNYGRIANNLPSATKVVELLKSQALNRVKVYDTDPAVLHALSGSGIKVTVDLPNEQLFAAAKSTSFANSWVQRNVAAYYPHTEIEAIAVGNEVFVDPRNTTKFLVPAMKNIHQALVKSNLHSDIKISSPIALSALQNSYPSSAGSFRPELIEPVFKPMLNFLRQTGSFLMVNAYPFFAYESNTDVISLDYALFRENPGVVDAGNGLRYFSLFDAQVDAVFAAMSALKYDDIRLVVTETGWPSKGDENEIGASAENAAAYNGNLVRRILTGGGTPLRPKADLTVYLFALFNENNKVGPTSERNYGLFYPTEEKVYDIPFTVEGLKNYHDRRSPVAGNQPVNGGKGGVSKSTTGNTWCVANGEAGKEKLQAALDFACGEGGADCHSIQPGATCYDPNTLEAHASFAFNSYYQKNGRHMGTCYFGGAAYVVTQPPKYGDCEFPTGY >KJB10703 pep chromosome:Graimondii2_0_v6:1:43384398:43386536:1 gene:B456_001G216900 transcript:KJB10703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPIFASFSLLLISALFIVADCGSIGVNYGRIANNLPSATKVVELLKSQALNRVKVYDTDPAVLHALSGSGIKVTVDLPNEQLFAAAKSTSFANSWVQRNVAAYYPHTEIEAIAVGNEVFVDPRNTTKFLVPAMKNIHQALVKSNLHSDIKISSPIALSALQNSYPSSAGSFRPELIEPVFKPMLNFLRQTGSFLMVNAYPFFAYESNTDVISLDYALFRENPGVVDAGNGLRYFSLFDAQVDAVFAAMSALKYDDIRLVVTETGWPSKGDENEIGASAENAAAYNGNLVRRILTGGGTPLRPKADLTVYLFALFNENNKVGPTSERNYGLFYPTEEKVYDIPFTVEGLKNYHDRRSPVAGNQPVNGGKGGVSKSTTGNTWCVANGEAGKEKLQAALDFACGEGGADCHSIQPGATCYDPNTLEAHASFAFNSYYQKNGRHMGTCYFGGAAYVVTQPPKYGDCEFPTGY >KJB06699 pep chromosome:Graimondii2_0_v6:1:38920516:38921915:-1 gene:B456_001G201900 transcript:KJB06699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHYNLQQNPIAVSAYEEMGGFISSISEHHRAGPVVCPKPRRIGVLTNNPIKPFRLHMSHQADVSESKASAELLDIILNKGDLWAEQSAAQVASSPPFFCGSPPSRATNPVVQDARFGDERVAALSASQSPSPSPSAHKGGFVRMSFGLKPAAIRVEGFDCLNRDSQNSRIPAMA >KJB06701 pep chromosome:Graimondii2_0_v6:1:38920516:38922613:-1 gene:B456_001G201900 transcript:KJB06701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHYNLQQNPIAVSAYEEMGGFISSISEHHRAGPVVCPKPRRIGVLTNNPIKPFRLHMSHQADVSESKASAELLDIILNKGDLWAEQSAAQVASSPPFFCGSPPSRATNPVVQDARFGDERVAALSASQSPSPSPSAHKGGFVRMSFGLKPAAIRVEGFDCLNRDSQNSRIPAMA >KJB06700 pep chromosome:Graimondii2_0_v6:1:38920503:38922613:-1 gene:B456_001G201900 transcript:KJB06700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHYNLQQNPIAVSAYEEMGGFISSISEHHRAGPVVCPKPRRIGVLTNNPIKPFRLHMSHQADVSESKASAELLDIILNKGDLWAEQSAAQVASSPPFFCGSPPSRATNPVVQDARFGDERVAALSASQSPSPSPSAHKGGFVRMSFGLKPAAIRVEGFDCLNRDSQNSRIPAMA >KJB06631 pep chromosome:Graimondii2_0_v6:1:3421867:3423368:-1 gene:B456_001G037000 transcript:KJB06631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLPKGVVLRPVGTFKNVSDYNYQTLKGNVDMLKLIQLGLTFSDANGNLPTCGTDNFCIWQFNFREFNISEDIFVSDSIELLLQCGIDFKKNNEKGIDVKRFGELLMSSGIVLNDDVHWVTFHSGYDFGYLLKLLTCRSLPDSQTGFFKLIKLYFPMVYDIKHMMKFCNGLHGGLNKLAELLEVERVGVCHQAGSDSLLTSCTFRKLRDNFFKSVQKYAGVLYGLEVEGGQNSD >KJB06632 pep chromosome:Graimondii2_0_v6:1:3421867:3423368:-1 gene:B456_001G037000 transcript:KJB06632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLPKGDSVQIRKVWNDNLEEEFVLIREIVDTYNYVAMDTEFPGVVLRPVGTFKNVSDYNYQTLKGNVDMLKLIQLGLTFSDANGNLPTCGTDNFCIWQFNFREFNISEDIFVSDSIELLLQCGIDFKKNNEKGIDVKRFGELLMSSGIVLNDDVHWVTFHSGYDFGYLLKLLTCRSLPDSQTGFFKLIKLYFPMVYDIKHMMKFCNGLHGGLNKLAELLEVERVGVCHQAGSDSLLTSCTFRKLRDNFFKSVQKYAGVLYGLEVEGGQNSD >KJB06630 pep chromosome:Graimondii2_0_v6:1:3421916:3423360:-1 gene:B456_001G037000 transcript:KJB06630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLPKGDSVQIRKVWNDNLEEEFVLIREIVDTYNYVAMDTEFPGVVLRPVGTFKNVSDYNYQTLKGNVDMLKLIQLGLTFSDANGNLPTCGTDNFCIWQFNFREFNISEDIFVSDSIELLLQCGIDFKKNNEKGIDVKRFGELLMSSGIVLNDDVHWVTFHSGYDFGYLLKLLTCRSLPDSQTGFFKLIKLYFPMVYDIKHMMKFCNGLHGGLNKLAELLEVERVGVCHQAGSDSLLTSCTFRKLRDNFFKSVQKYAGVLYGLEVEGGQNSD >KJB06633 pep chromosome:Graimondii2_0_v6:1:3421928:3423263:-1 gene:B456_001G037000 transcript:KJB06633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLWILSSQLGLTFSDANGNLPTCGTDNFCIWQFNFREFNISEDIFVSDSIELLLQCGIDFKKNNEKGIDVKRFGELLMSSGIVLNDDVHWVTFHSGYDFGYLLKLLTCRSLPDSQTGFFKLIKLYFPMVYDIKHMMKFCNGLHGGLNKLAELLEVERVGVCHQAGSDSLLTSCTFRKLRDNFFKSVQKYAGVLYGLEVEGGQNSD >KJB06280 pep chromosome:Graimondii2_0_v6:1:31388825:31391038:1 gene:B456_001G190300 transcript:KJB06280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSLPAPSEGVLCVLLVNTALSISMVKGIIRSILHVVGIHLSSSSSSSSSSSSSSPPSSDLIEIPAVSFDISIGNADSYIKEFRSRMPSTRYDAVCSGSQPEHDCSVCLTRFEPESEINRLSCGHLFHKVCLEKWLNYWNVTCPLCRNALLPEDDPAYFW >KJB06279 pep chromosome:Graimondii2_0_v6:1:31388914:31390737:1 gene:B456_001G190300 transcript:KJB06279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSLPAPSEGVLCVLLVNTALSISMVKGIIRSILHVVGIHLSSSSSSSSSSSSSSPPSSDLIEIPAVSFDISIGNADSYIKEFRSRMPSTRYDAVCSGSQPEHDCSVCLTRFEPESEINRLSCGHLFHKVCLEKWLNYWNVTCPLCRNALLPEDDPAYFW >KJB07486 pep chromosome:Graimondii2_0_v6:1:2456793:2459802:-1 gene:B456_001G026500 transcript:KJB07486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVVEMEQLTSGASNRIIPVLKILRIPLIFIRSMILCLLVTLFPRRRHSQRVVAAVENSFAASPSAAKTARRRSVWKSEEEDTLRRRALAEALDMGFETGDGEIQCRWSTSLFFGVRRNALFCRSWFPVTDELKGILIIIHGLNEHSGRYVQFAKQLTSCKFGVYAMDWTGHGGSDGLHGYVPSLDHVVADTGAFLEKIKSENPGVPLFLFGHSTGGAVVLKAASYPYIEEMLEGIVMTAPALHVKPAHPVIAVLAPLFSLVVPKFQFKGANKRGIPVSRDPAAMLAKYSDPLVYTGPIRVRTGHEILCISSYLMRNFKFVTVPFFVLHGTADKVTDPLASQDLYNEAASKVKDIKLYEGFLHDLLFEPEREEIGQDIINWMEKRLDSIAESGDRSRRIDTGVLSFSRS >KJB10644 pep chromosome:Graimondii2_0_v6:1:42543292:42544176:1 gene:B456_001G213000 transcript:KJB10644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IDGIREPVSGSLLYKNNIISGAIIPTSTAIACYMGCEWELSFRPGMHPWIAVAYSAHIAAATAVFLIYPFGQGSISDDVAGVFGNSLFSAMHGSLVTSSLIKETTENESANEGYRFSQEEETYNIVVAHGYFGRLIFQYASFNNSRSLHFFLADWHVVVDSQGRVIKTWADIINRANLGMEVMHEYNAYNFPLDLAAIEAPSTNG >KJB09422 pep chromosome:Graimondii2_0_v6:1:19066826:19067336:-1 gene:B456_001G140900 transcript:KJB09422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRLSIAVQGLVLCKTGSKYYPIQGASATITCKAVDEVGAERTVSICSKATDAKGYFFATLSDQGRDKLKLKECKAYLKSSPLESCNVPTNVNKAIEGALLSAFRVLKEKKAKLYSVGPFFYTSQSKLAASPQYGY >KJB08907 pep chromosome:Graimondii2_0_v6:1:13065201:13066311:-1 gene:B456_001G112100 transcript:KJB08907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISSMPISLPNLPSLPSISKSTSVNTQSSPLLFPSLSLSTKPILFHPNSHQKKKGSKLWITLATPEEVLPSDSTPLDNSQQIVSSTGDEGVATVIQALLFVAFVALSILTIGVIYIAVQDFLGKREREKFEKEEAARNKSGKKKKKKNVGARAGPRGFGQKLDEDDVDDI >KJB09111 pep chromosome:Graimondii2_0_v6:1:15472692:15480453:-1 gene:B456_001G123900 transcript:KJB09111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSQTPEQLKQPMQQQQQQNRQPLKRQLPFSTKPPFMASGGDYHRFASTEPRRVADHEAEAIVVKSPLKRKSDVADREVESSEWALPPGCTELVSSPLQTPVSGKGGKAQKTSRLTKNSKSGPQTPASNLGSPGNNLTPAGPCRYDSSLGLLTKKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGEVDDNVATLQAEVENLSIEERRLDEKIREMQERLRDLSEDENNQKWLFVTEDDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYSQRRYRIVLRSSMGPIDVYLVSQFEEKFEEIQGGDPPPNFPSTSGLNENSATAMVTEESRGKEIEMQGHDANRMCSDLSASQDFVSGIMKIVPSEVDSDADYWLLSDPGVSITDMWRTEPGVDWNEFDTLHEDFGMATVSAPGPQTPPPSNATEVPSNSTGR >KJB09112 pep chromosome:Graimondii2_0_v6:1:15472692:15480770:-1 gene:B456_001G123900 transcript:KJB09112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSQTPEQLKQPMQQQQQQNRQPLKRQLPFSTKPPFMASGGDYHRFASTEPRRVADHEAEAIVVKSPLKRKSDVADREVESSEWALPPGCTELVSSPLQTPVSGKGGKAQKTSRLTKNSKSGPQTPASNLGSPGNNLTPAGPCRYDSSLGLLTKKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGEVDDNVATLQAEVENLSIEERRLDEKIREMQERLRDLSEDENNQKWLFVTEDDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYSQRRYRIVLRSSMGPIDVYLVSQFEEKFEEIQGGDPPPNFPSTSGLNENSATAMVTEESRGKEIEMQGHDANRMCSDLSASQDFVSGIMKIVPSEVDSDADYWLLSDPGVSITDMWRTEPGVDWNEFDTLHEDFGMATVSAPGPQTPPPSNATEVPSNSTGRLP >KJB10279 pep chromosome:Graimondii2_0_v6:1:32827860:32831213:1 gene:B456_001G193700 transcript:KJB10279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFHFLQSSARFLSKPTSLPLPNPSLSLHFPLKRLVSFPKRPELKRLHEAAVATGSAQPAVDLQNVPVQDTQKQEQSGKLVLPTNDSSDELLRIRHTCAHVMAMAVQKLYPDAKVTIGPWIDNGFYYDFDMEPLSDNDLKRIKKEMDRIIKRNLPLVREEVSRDEAQKRIMAVNEPYKMEILDSIKEDPITIYHIGNEWWDLCAGPHVETTGKINNKAFQLESVAGAYWRGDEKKPMLQRIYGTAWENEEQLKAYLHFKEEAKRRDHRRIGQDLDLFSIQEEAGGGLVFWHPKGAIVRHIIEDSWRKTHIEHGYDLLFTPHVARADLWKISGHLDFYKENMYDQMEIEDELYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEEILLQFGFSKYEVNLSTRPEKAVGDDDIWEKATVALKDALDDKGWSYQIDEGGGAFYGPKIDLKIEDSLGRKWQCSTIQVDFNLPNRFDITYVDSNSEKRRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLAPIQARVLPVTDTQLGYCNEVVGKLKSNGIRAEVCHGERLPKLIRNAEKQKIPLMAVVGLKEVETHSVTVRSRFGGELGTMKIDEFISSIQQAIKNRTL >KJB10281 pep chromosome:Graimondii2_0_v6:1:32828000:32831190:1 gene:B456_001G193700 transcript:KJB10281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNEPYKMEILDSIKEDPITIYHIGNEWWDLCAGPHVETTGKINNKAFQLESVAGAYWRGDEKKPMLQRIYGTAWENEEQLKAYLHFKEEAKRRDHRRIGQDLDLFSIQEEAGGGLVFWHPKGAIVRHIIEDSWRKTHIEHGYDLLFTPHVARADLWKISGHLDFYKENMYDQMEIEDELYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEEILLQFGFSKYEVNLSTRPEKAVGDDDIWEKATVALKDALDDKGWSYQIDEGGGAFYGPKIDLKIEDSLGRKWQCSTIQVDFNLPNRFDITYVDSNSEKRRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLAPIQARVLPVTDTQLGYCNEVVGKLKSNGIRAEVCHGERLPKLIRNAEKQKIPLMAVVGLKEVETHSVTVRSRFGGELGTMKIDEFISSIQQAIKNRTL >KJB10280 pep chromosome:Graimondii2_0_v6:1:32828000:32831190:1 gene:B456_001G193700 transcript:KJB10280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNEPYKMEILDSIKEDPITIYHIGNEWWDLCAGPHVETTGKINNKAFQLESVAGAYWRGDEKKPMLQRIYGTAWENEEQLKAYLHFKEEAKRRDHRRIGQDLDLFSIQEEAGGGLVFWHPKGAIVRHIIEDSWRKTHIEHGYDLLFTPHVARADLWKISGHLDFYKENMYDQMEIEDELYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEEILLQFGFSKYEVNLSTRPEKAVGDDDIWEKATVALKDALDDKGWSYQIDEGGGAFYGPKIDLKIEDSLGRKWQCSTIQVDFNLPNRFDITYVDSNSEKRRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLAPIQARVLPVTDTQLGYCNEVVGKLKSNGIRAEVCHGERLPKLIRNAEKQKIPLMAVVGLKEVETHSVTVRSRFGGELGTMKIDEFISSIQQAIKNRTL >KJB10282 pep chromosome:Graimondii2_0_v6:1:32829197:32831190:1 gene:B456_001G193700 transcript:KJB10282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRIYGTAWENEEQLKAYLHFKEEAKRRDHRRIGQDLDLFSIQEEAGGGLVFWHPKGAIVRHIIEDSWRKTHIEHGYDLLFTPHVARADLWKISGHLDFYKENMYDQMEIEDELYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEEILLQFGFSKYEVNLSTRPEKAVGDDDIWEKATVALKDALDDKGWSYQIDEGGGAFYGPKIDLKIEDSLGRKWQCSTIQVDFNLPNRFDITYVDSNSEKRRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLAPIQARVLPVTDTQLGYCNEVVGKLKSNGIRAEVCHGERLPKLIRNAEKQKIPLMAVVGLKEVETHSVTVRSRFGGELGTMKIDEFISSIQQAIKNRTL >KJB11215 pep chromosome:Graimondii2_0_v6:1:49593348:49593659:-1 gene:B456_001G247700 transcript:KJB11215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLEAHIPPGGRLGWGHKGLYDTINKLIHFQLGLALTSLGVITSLVAQQMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMAGAFVHGAIFFIRDYNPEQNVIV >KJB09799 pep chromosome:Graimondii2_0_v6:1:23746454:23747038:-1 gene:B456_001G167400 transcript:KJB09799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atp4 MKLFSTARNRKILFAAILSICALSSKNILIYNEEMIVARCFIGFIIFSRKSLGKTFKVTLDGRIQAIQEESQQFPNPNEVVPPESNEQQRLLRISLRICGTVVESLPMARCAPKCEKTVQALLCRNLNVKSATLPNATSSRRIRLQDDLVTGFHFSVSERFVPGCTLKASIVELIREGLVVLRMVREGGCSKIK >KJB09619 pep chromosome:Graimondii2_0_v6:1:21450657:21453030:-1 gene:B456_001G153100 transcript:KJB09619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKASSRLEWRINVPDGTSKVLEPESGAVGKIWVGLKGCMGIIVLKVWRVLENAWHIGVADPRKVIHCIKVGLALSIVSLFYYMRPLYDDFGGNAMWAVMTVVVVFEYTVGATFYKCINRVIATFLAGALGVGIHLVAEQSGDQLKPIILGISVFLFASAATFSRFIPSVKARFDYGAMIFILTFSLVSVSGYRVVELFELAHQRLSTIAIGTSLCILVTMLFCPVWAGCELHHLIHQNMEKLADSLDGCVTEYFKENGSEKDLNKKMQGYKCVLNSKAAEESMANFARWEPTHGRFNFRHPWKQYLKIGASLRNCAYCIETLNSCISSEIQAPPCLRKHFSNKCMKASSYSINVLKELVITIVKMKKSSNIDVKVAEMNFAVEELVGALKSLPTTHFIATTTGEESTKAKAEGVEGSEHPSPIMKVLPLVKVVSMMVEIATRIGGVVNAVEELARLAEFKAAKDGKPKQNQPTDKLVADH >KJB11295 pep chromosome:Graimondii2_0_v6:1:52355728:52359202:-1 gene:B456_001G252100 transcript:KJB11295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSDSLQSTPLKSKKSLNLTSNSPVSKTPAKRASQLPSRARNRSSALSIKEVRQAAQIQPQTQTNQIKSARKQILSWTAESPPPKTSRDSSDKLPEKYEILCGFFDSLDSAIRLLKLKGSMPTFTNICPKVECLTDRRFSYGHLAQLKHILPEAIEIKRLLIFDERTTCMKPDLHVCIIANAIDCGDNSKSETKNPNMRRVFRARLVDYLEAHPEVDEIPEDDLPEPFNRSKQNSQWSSSTEIVTEQQSLTSQGEVLKEEAQPQPSKHTNSNSKLDVETLPDAVNDQLPVVASHVSRSFRKRFSRKATSKAQEVVEKCSKVSIQSSQVAEKFACKSVKGIETNSAPIQSPTKFLSKPTICEPSSKLCLPATPVKEINSLETEDKSPTKSGCLQSTPAKLVSTPARLMTATPTLQPQKRCYMSPDEVSSNLSSKLVRRPPRTRSLKFDTPVKEEKVVDEVCKMESNPVDNDDDVLSILPESLLHSIRETERKAMEDLDPAISQAKRRQQMIACLPKLFSMIHYLFQSLNRSVITKEELTHKIIAGHCDIADRGEVEEQLNLLLELVPEWISEKTASAGDLLVCINKLSSPESIRMRLQEAK >KJB06955 pep chromosome:Graimondii2_0_v6:1:466560:469360:1 gene:B456_001G0050001 transcript:KJB06955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVGHMGTGKTSLVLRFVKGQFFDFQESTIGAAFFTQVLSLNEATIKFDIWDTAGQERYHSLAPMYYRGAAAAVVVYDVTSSETFERAKKWVREVQRQGNQNLIMFLVANKVDLEDKKKVGNEEGEQYAKENGLTFLETSAKTAHNVNELFYEIAKRLAKAAPSRPTGIKLHNRPRESERRFFCCSS >KJB06954 pep chromosome:Graimondii2_0_v6:1:466560:468727:1 gene:B456_001G0050001 transcript:KJB06954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVGHMGTGKTSLVLRFVKGQFFDFQESTIGAAFFTQVLSLNEATIKFDIWDTAGQERYHSLAPMYYRGAAAAVVVYDVTSSETFERAKKWVREVQRQGNQNLIMFLVANKVDLEDKKKVGNEEGEQYAKENGLTFLETSAKTAHNVNELFYEIGNSMF >KJB07607 pep chromosome:Graimondii2_0_v6:1:3019176:3022159:-1 gene:B456_001G0327002 transcript:KJB07607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLNLNKNLAGKQFLSLSAPQKSGLNYKSLRVNGLFGGKKENGEKGDDAPSKAGILGNMQNLYETVKKAQMVVQVEAVRVQKELAAAEFDGYCEGNFIWKSATCTHRDH >KJB07608 pep chromosome:Graimondii2_0_v6:1:3020511:3022157:-1 gene:B456_001G0327002 transcript:KJB07608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLNLNKNLAGKQFLSLSAPQKSGLNYKSLRVNGLFGGKKENGEKGDDAPSKAGILGNMQNLYETVKKAQMVVQVEAVRVQKELAAAEFDGYCEGELIKVRNFIWKSATCTHRDH >KJB07609 pep chromosome:Graimondii2_0_v6:1:3020407:3022159:-1 gene:B456_001G0327002 transcript:KJB07609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLNLNKNLAGKQFLSLSAPQKSGLNYKSLRVNGLFGGKKENGEKGDDAPSKAGILGNMQNLYETVKKAQMVVQVEAVRVQKELAAAEFDGYCEGNFIWKSATCTHRDH >KJB07606 pep chromosome:Graimondii2_0_v6:1:3019999:3022157:-1 gene:B456_001G0327002 transcript:KJB07606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLNLNKNLAGKQFLSLSAPQKSGLNYKSLRVNGLFGGKKENGEKGDDAPSKAGILGNMQNLYETVKKAQMVVQVEAVRVQKELAAAEFDGYCEGELIKATLSGNQQPVRIEITEAAMELGAEKLSLLVNEAYKDAHQKSVQAMKERMSDLAQSLGMPQGLSEGLKQQ >KJB10923 pep chromosome:Graimondii2_0_v6:1:46967785:46971804:1 gene:B456_001G232700 transcript:KJB10923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISEAPDSDSSKLFGSDSKAPQRPDSEPEFDPKLMRKTKPGLKRLFLTFSVLFSFLLGFPFLWKSVEIYRSPLPFREIDSLSTQLRSDTLLFPLHFHALFVGFDSDPDRLRWSILSEITKLTSQNPKCSDNYTLSVTVDLGSRCLRGRTSSPHSSSYQCGSVTGGDFVQNDDVAVDERLASVFGGKNNEYSMAVVKGVSERAVVGKYRHAWMVVGDGSDVEMAVVKVAEVLIKVFINGGREEGLIRNEFMPVGADGKIVLSFNLLNADPHDWVYDWDFEKIDDTLLTPMIEALGPVANISVESQVLYHTPKASFSYWNQKLESYVFSTKDLPFFVNSNEWHLDTSIAAGGRSKILQFAVYVPSAKECPLLLQLPNGEISKTNGFISPDLENMFEVFIGQFRQLFGFKSLNVYTGASGDWNLLPSERGFTEWELDVLSRQHTCFNLHSCATTLGSLSRLVQSLPRMIIKDEIGKQVKLSLEAAKLALSNASSGVYESSAASSSQARSLAEDAFFHPSIMSVSYYSFEHCFAVYSPFFLPVSMHVVLAALRELRRYKQEKAKYLAWKAKANTAT >KJB10921 pep chromosome:Graimondii2_0_v6:1:46967785:46970617:1 gene:B456_001G232700 transcript:KJB10921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISEAPDSDSSKLFGSDSKAPQRPDSEPEFDPKLMRKTKPGLKRLFLTFSVLFSFLLGFPFLWKSVEIYRSPLPFREIDSLSTQLRSDTLLFPLHFHALFVGFDSDPDRLRWSILSEITKLTSQNPKCSDNYTLSVTVDLGSRCLRGRTSSPHSSSYQCGSVTGGDFVQNDDVAVDERLASVFGGKNNEYSMAVVKGVSERAVVGKYRHAWMVVGDGSDVEMAVVKVAEVLIKVFINGGREEGLIRNEFMPVGADGKIVLSFNLLNADPHDWVYDWDFEKIDDTLLTPMIEALGPVANISVESQVLYHTPKASFSYWNQKLESYVFSTKDLPFFVNSNEWHLDTSIAAGGRSKILQFAVYVPSAKECPLLLQLPNGEISKTNGFISPMWGGVIVWNPQGCLRDSEFKPSAKNIIPIQDLENMFEVFIGQFRQLFGFKSLNVYTGASGDWNLLPSERGFTEW >KJB10924 pep chromosome:Graimondii2_0_v6:1:46967785:46971804:1 gene:B456_001G232700 transcript:KJB10924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISEAPDSDSSKLFGSDSKAPQRPDSEPEFDPKLMRKTKPGLKRLFLTFSVLFSFLLGFPFLWKSVEIYRSPLPFREIDSLSTQLRSDTLLFPLHFHALFVGFDSDPDRLRWSILSEITKLTSQNPKCSDNYTLSVTVDLGSRCLRGRTSSPHSSSYQCGSVTGGDFVQNDDVAVDERLASVFGGKNNEYSMAVVKGVSERAVVGKYRHAWMVVGDGSDVEMAVVKVAEVLIKVFINGGREEGLIRNEFMPVGADGKIVLSFNLLNADPHDWVYDWDFEKIDDTLLTPMIEALGPVANISVESQVLYHTPKASFSYWNQKLESYVFSTKDLPFFVNSNEWHLDTSIAAGGRSKILQFAVYVPSAKECPLLLQLPNGEISKTNGFISPMWGGVIVWNPQGCLRDSEFKPSAKNIIPIQDLENMFEVFIGQFRQLFGFKSLNVYTGASGDWNLLPSERGFTEWELDVLSRQHTCFNLHSCATTLGSLSRLVQSLPRMIIKDEIGKQVKLSLEAAKLALSNASSGVYESSAASSSQARSLAEDAFFHPSIMSVSYYSFEHCFAVYSPFFLPVSMHVVLAALRELRRYKQEKAKYLAWKAKANTAT >KJB10922 pep chromosome:Graimondii2_0_v6:1:46967785:46971774:1 gene:B456_001G232700 transcript:KJB10922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISEAPDSDSSKLFGSDSKAPQRPDSEPEFDPKLMRKTKPGLKRLFLTFSVLFSFLLGFPFLWKSVEIYRSPLPFREIDSLSTQLRSDTLLFPLHFHALFVGFDSDPDRLRWSILSEITKLTSQNPKCSDNYTLSVTVDLGSRCLRGRTSSPHSSSYQCGSVTGGDFVQNDDVAVDERLASVFGGKNNEYSMAVVKGVSERAVVGKYRHAWMVVGDGSDVEMAVVKVAEVLIKVFINGGREEGLIRNEFMPVGADGKIVLSFNLLNADPHDWVYDWDFEKIDDTLLTPMIEALGPVANISVESQVLYHTPKASFSYWNQKLESYVFSTKDLPFFVNSNEWHLDTSIAAGGRSKILQFAVYVPSAKECPLLLQLPNGEISKTNGFISPMWGGVIVWNPQGCLRDSEFKPSAKNIIPIQQDLENMFEVFIGQFRQLFGFKSLNVYTGASGDWNLLPSERGFTEWELDVLSRQHTCFNLHSCATTLGSLSRLVQSLPRMIIKDEIGKQVKLSLEAAKLALSNASSGVYESSAASSSQARSLAEDAFFHPSIMSVSYYSFEHCFAVYSPFFLPVSMHVVLAALRELRRYKQEKAKYLAWKAKANTAT >KJB10861 pep chromosome:Graimondii2_0_v6:1:46552861:46554720:-1 gene:B456_001G229300 transcript:KJB10861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSSPPPLPSAVFICISFLALVISPSAALTCSSQKFTENQVYSNCLDLPYLSSYLHFSYNSSNTTLSIAFIATPSKSGGWIAWAINPKATGMAGSQSLVGYKNSTTGSAQVHTFDVSSYSSIVAKDLSFEVWDKTAESRSDGSLAIFAKIKVPADLAASGKINQVWQVGPSVGTDGRLVPHVYAGNNLQSKGTLDLKSGQSSTSSGGDDRLKKKNIHGILNAVSWGILFPLGAMIARYIRTFESADPAWFYLHAFCQVSAYAIGVAGWGTGLKLGSESPGITYSTHRNIGIALFVLATVQVFLQLLNLSCPFNILGHS >KJB10860 pep chromosome:Graimondii2_0_v6:1:46552787:46554782:-1 gene:B456_001G229300 transcript:KJB10860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSSPPPLPSAVFICISFLALVISPSAALTCSSQKFTENQVYSNCLDLPYLSSYLHFSYNSSNTTLSIAFIATPSKSGGWIAWAINPKATGMAGSQSLVGYKNSTTGSAQVHTFDVSSYSSIVAKDLSFEVWDKTAESRSDGSLAIFAKIKVPADLAASGKINQVWQVGPSVGTDGRLVPHVYAGNNLQSKGTLDLKSGQSSTSSGGDDRLKKKNIHGILNAVSWGILFPLGAMIARYIRTFESADPAWFYLHAFCQVSAYAIGVAGWGTGLKLGSESPGITYSTHRNIGIALFVLATVQIFALFIRPKKDHKYRFYWNVYHHSFGYAILILGIFNVFKGINILKPEDKWKTAYMIVIIALGGISLLLEAITWVVVLKRKSRKSTKPYDGYNNGQS >KJB06342 pep chromosome:Graimondii2_0_v6:1:9933011:9933542:-1 gene:B456_001G090800 transcript:KJB06342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDFTRGFLSVSFAIFAIVFPIYAFETSIFLDQNNAITTTLCNSTVTEDCGRNVNRDSHSSKCLLQGGPTYNRRRHIYYGAIQKPGVCNGNIYGNCIVPIGPSYRPCILYTQCKRGVR >KJB08184 pep chromosome:Graimondii2_0_v6:1:7032774:7036084:1 gene:B456_001G069700 transcript:KJB08184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQDQIEDKTSPNAFKSGICPQSKTLNQLQELYTFNHDHTPKARKPYTITKQREKWTEEEHERFLEALRLYGRGWRQIEEHVGTKSAVQIRSHAQKFFSKVVRESNGGFDGSIKPIVIPPPRPKRKPVHPYPRKSVDLVKGKSPSSQPERSPSPNQFFREQDNKSPTSVLSDAMGSSALEQQKGCSSPTSCTTNLQSINTSPVEKETDYATSNLAAEEEKRSLSSVKIFGQSDAENILSMNLNADFKDFVFGEGNAAPVIPSTSIKLFGKTVQVKDSPKPSISAENFESQTSKTSRDDVDAVNKMLVQAFPSTHLDTSLSHGTVIDNWNAMPSRANLSTSDAPLPWWAFYQGVPFCYITSFNQNRSDSSVGERMKEKEILNEGSCSGSNTGSVSHVSRRVSDCIDSESQHPCLEGKMSPQRCTKGFVPYKRCLAERDMTSSVVSEGQETHRARVCS >KJB08185 pep chromosome:Graimondii2_0_v6:1:7033114:7036084:1 gene:B456_001G069700 transcript:KJB08185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQDQIEDKTSPNAFKSGICPQSKTLNQLQELYTFNHDHTPKARKPYTITKQREKWTEEEHERFLEALRLYGRGWRQIEEHVGTKSAVQIRSHAQKFFSKVVRESNGGFDGSIKPIVIPPPRPKRKPVHPYPRKSVDLVKGKSPSSQPERSPSPNQFFREQDNKSPTSVLSDAMGSSALEQQKGCSSPTSCTTNLQSINTSPVEKETDYATSNLAAEEEKRSLSSVKIFGQSDAENILSMNLNADFKDFVFGEGNAAPVIPSTSIKLFGKTVQVKDSPKPSISAENFESQTSKTSRDDVDAVNKMLVQAFPSTHLDTSLSHGTVIDNWNAMPSRANLSTSDAPLPWWAFYQGVPFCYITSFNQNRSDSSVGERMKEKEILNEGSCSGSNTGSVSHVSRRVSDCIDSESQHPCLEGKMSPQRCTKGFVPYKRCLAERDMTSSVVSEGQETHRARVCS >KJB10476 pep chromosome:Graimondii2_0_v6:1:39347279:39347745:-1 gene:B456_001G203100 transcript:KJB10476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLPFSATIRPTFKHYNRPQASQIKAQSCRDEGTSNNNVDANLGVLRERIEQIKMKKKLERCYECKLYGWSYGSRYKYKVKREMEISQLFEVVSSGASTLAFTFLTATLCVSLVSLFVHLIYGF >KJB06837 pep chromosome:Graimondii2_0_v6:1:1321280:1325705:-1 gene:B456_001G013900 transcript:KJB06837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFQCEDCGENLKKPKLPNHFRICSASKLSCIDCGVIFGQQNVQSHTQCMTEMEKYGPKGQGKTPNGSISKPNKQTREKPDIDINVGLSQRPPWFCSLCNTKATSQQALLLHADGKKHRAKARAFHAKQQPKQMEESAPDSKVSAENNENGELPENKSVGDAKSQDLPEDGQPQINSEATKGDVPSNKKRKLDASVTDGTEKTSVGDSSVEMGNGEVDDAKGKAKKSKHNVVKEDKVEAASTKEDKKKKIKWKKLIKDTLKSSPDGVLKMRKLEKRVLKALQESGVDEDKSQLSEILQHKITSNDRFSFDGKYVRLAAKD >KJB08931 pep chromosome:Graimondii2_0_v6:1:13254928:13255170:1 gene:B456_001G113700 transcript:KJB08931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTMIVKLLGRQISYLNMVNILQSIWRTNQPLQIIDLENDHFSVKFQNEEEYLTVLSGKPWAIYGHYLTIRPWTLDLTSN >KJB10286 pep chromosome:Graimondii2_0_v6:1:32992033:32992511:1 gene:B456_001G194100 transcript:KJB10286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTHRISSTKVSSLSTTSSQMLISSKTSKTILMTLISTEKTKKDRFKYSSIFFFPFYFRFLGSEIS >KJB10124 pep chromosome:Graimondii2_0_v6:1:29558250:29565550:1 gene:B456_001G185100 transcript:KJB10124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRETSGPSDQLVQQEYTYAVPNVGKNVNNNKTISVQTGEEFSMEFVQECVGTRAIARPDAALTHEKRVWFNQNREMGYQDLARIQLKRMDSKCASDISDFASLNGSSRGSEHGSCVEKIGRYQKKDGEIGQVTGKAYGELNCDRSHPNGFGPPTTAIYACDSSSSNNFSGQGATDGSQSGKMKLLCSFGGKILPRPSDGKLRYVGGETHIISIQDCLSWEELLRRTSNFCNQPHSIKYQLPGEDLDALISVSSDEDLKNMIEEYHGLEKLKGSKKLRIFLIPYDESGNASSLEAMTMHQSYPDYQYVAAVNGTVDPSPRKISGELCLPNEGCQLGPNLNPNPSFPKWCPTSVISLDTMGGFNALHPSQVFLDIQNTTRSPSTPISPLPFQHEECNVCAQSMSNNSSSEYNYSFNTAHLNPEIRSTINPNYKDALQVPPALMNQSHPCIRVAANHTCQAYGGQLLSPDPSKDSVFFVVFNKSNGDYNGISHERFMHKERSFLSEKPISNAGNPLSLLSGSVDSLDSHPGISHAFSDSKLQDCGGRSAYCSQEGMSPSSPLNFAKSPSPSLVFSNSMQERLMQQHDKIDLMTSSADNYLLDTESTSKSKLDMQNCFPNPESSGVNEPIHKGTSDSNEKCQTAKIDLSKSSFVRLDNYEEYTASLDARNMSYISDPFLHQGGKLYEGKSPDSSMGYNNKLSNADCNQTSGFAVGTQEKDSQVSQKMVPSSLSINSNIKHLQTLGKTTSDIAESCGFNGKVIGQGDITSCARNTEATCLFPKSINDTRLDSKLGDLISESLNGPMLHEPPQSQFIASQNDISKEDMLMGSTKLHSPTIHVDSVLCSSLLNEDLHAMSQIPDNNAARKEVPLIDDELNYSNPNAEKVVLLDPLHKNSIVEDITFSLTEPSRKNQYQIQPEPIVMSKDVTTSVPSGMLVSSAVVPHVDVISTDIISPTGTELEDAIADSESKDSSADVQDKDESFSDAVIAEKEANIYGLQIIKNADLEELRELGSGTYGSVYHGKWRGTDVAIKRIKKSCFSGKSSDQDKLTKDFWREAQILSNLLHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRNVLIKKDGSLDCCKKLIIARDAAFGMEYLHSKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKHSTLVSGGVRGTLPWMAPELLNGNSNRVSEKVDVFSFGISMWEILTEEEPYADMHCGAIIGGIVKNTLRPPIPEHCDPDWRELMEQCWSADPESRPSFTEITNRLRSMSRLLQLKGRNDQARHTKA >KJB10122 pep chromosome:Graimondii2_0_v6:1:29557800:29565530:1 gene:B456_001G185100 transcript:KJB10122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNSRQRQLLHARNCNLLQPSGAQTTDFTKEVDYQTGEEFSMEFVQECVGTRAIARPDAALTHEKRVWFNQNREMGYQDLARIQLKRMDSKCASDISDFASLNGSSRGSEHGSCVEKIGRYQKKDGEIGQVTGKAYGELNCDRSHPNGFGPPTTAIYACDSSSSNNFSGQGATDGSQSGKMKLLCSFGGKILPRPSDGKLRYVGGETHIISIQDCLSWEELLRRTSNFCNQPHSIKYQLPGEDLDALISVSSDEDLKNMIEEYHGLEKLKGSKKLRIFLIPYDESGNASSLEAMTMHQSYPDYQYVAAVNGTVDPSPRKISGELCLPNEGCQLGPNLNPNPSFPKWCPTSVISLDTMGGFNALHPSQVFLDIQNTTRSPSTPISPLPFQHEECNVCAQSMSNNSSSEYNYSFNTAHLNPEIRSTINPNYKDALQVPPALMNQSHPCIRVAANHTCQAYGGQLLSPDPSKDSVFFVVFNKSNGDYNGISHERFMHKERSFLSEKPISNAGNPLSLLSGSVDSLDSHPGISHAFSDSKLQDCGGRSAYCSQEGMSPSSPLNFAKSPSPSLVFSNSMQERLMQQHDKIDLMTSSADNYLLDTESTSKSKLDMQNCFPNPESSGVNEPIHKGTSDSNEKCQTAKIDLSKSSFVRLDNYEEYTASLDARNMSYISDPFLHQGGKLYEGKSPDSSMGYNNKLSNADCNQTSGFAVGTQEKDSQVSQKMVPSSLSINSNIKHLQTLGKTTSDIAESCGFNGKVIGQGDITSCARNTEATCLFPKSINDTRLDSKLGDLISESLNGPMLHEPPQSQFIASQNDISKEDMLMGSTKLHSPTIHVDSVLCSSLLNEDLHAMSQIPDNNAARKEVPLIDDELNYSNPNAEKVVLLDPLHKNSIVEDITFSLTEPSRKNQYQIQPEPIVMSKDVTTSVPSGMLVSSAVVPHVDVISTDIISPTGTELEDAIADSESKDSSADVQDKDESFSDAVIAEKEANIYGLQIIKNADLEELRELGSGTYGSVYHGKWRGTDVAIKRIKKSCFSGKSSDQDKLTKDFWREAQILSNLLHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRNVLIKKDGSLDCCKKLIIARDAAFGMEYLHSKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKHSTLVSGGVRGTLPWMAPELLNGNSNRVSEKVDVFSFGISMWEILTEEEPYADMHCGAIIGGIVKNTLRPPIPEHCDPDWRELMEQCWSADPESRPSFTEITNRLRSMSRLLQLKGRNDQARHTKA >KJB10123 pep chromosome:Graimondii2_0_v6:1:29557896:29565405:1 gene:B456_001G185100 transcript:KJB10123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNSRQRQLLHARNCNLLQPSGAQTTDFTKEVDYQTGEEFSMEFVQECVGTRAIARPDAALTHEKRVWFNQNREMGYQDLARIQLKRMDSKCASDISDFASLNGSSRGSEHGSCVEKIGRYQKKDGEIGQVTGKAYGELNCDRSHPNGFGPPTTAIYACDSSSSNNFSGQGATDGSQSGKMKLLCSFGGKILPRPSDGKLRYVGGETHIISIQDCLSWEELLRRTSNFCNQPHSIKYQLPGEDLDALISVSSDEDLKNMIEEYHGLEKLKGSKKLRIFLIPYDESGNASSLEAMTMHQSYPDYQYVAAVNGTVDPSPRKISGELCLPNEGCQLGPNLNPNPSFPKWCPTSVISLDTMGGFNALHPSQVFLDIQNTTRSPSTPISPLPFQHEECNVCAQSMSNNSSSEYNYSFNTAHLNPEIRSTINPNYKDALQVPPALMNQSHPCIRVAANHTCQAYGGQLLSPDPSKDSVFFVVFNKSNGDYNGISHERFMHKERSFLSEKPISNAGNPLSLLSGSVDSLDSHPGISHAFSDSKLQDCGGRSAYCSQEGMSPSSPLNFAKSPSPSLVFSNSMQERLMQQHDKIDLMTSSADNYLLDTESTSKSKLDMQNCFPNPESSGVNEPIHKGTSDSNEKCQTAKIDLSKSSFVRLDNYEEYTASLDARNMSYISDPFLHQGGKLYEGKSPDSSMGYNNKLSNADCNQTSGFAVGTQEKDSQVSQKMVPSSLSINSNIKHLQTLGKTTSDIAESCGFNGKVIGQGDITSCARNTEATCLFPKSINDTRLDSKLGDLISESLNGPMLHEPPQSQFIASQNDISKEDMLMGSTKLHSPTIHVDSVLCSSLLNEDLHAMSQIPDNNAARKEVPLIDDELNYSNPNAEKVVLLDPLHKNSIVEDITFSLTEPSRKNQYQIQPEPIVMSKDVTTSVPSGMLVSSAVVPHVDVISTDIISPTGTELEDAIADSESKDSSADVQDKDESFSDAVIAEKEANIYGLQIIKNADLEELRELGSGTYGSVYHGKWRGTDVAIKRIKKSCFSGKSSDQDKLLLARWWYELYTAFQKQGKSFKILLLLCHGSKFMGTKDFWREAQILSNLLHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRNVLIKKDGSLDCCKKLIIARDAAFGMEYLHSKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKHSTLVSGGVRGTLPWMAPELLNGNSNRVSEKVDVFSFGISMWEILTEEEPYADMHCGAIIGGIVKNTLRPPIPEHCDPDWRELMEQCWSADPESRPSFTEITNRLRSMSRLLQLKGRNDQARHTKA >KJB08307 pep chromosome:Graimondii2_0_v6:1:46415895:46416588:-1 gene:B456_001G228000 transcript:KJB08307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTIRKLTSQIHRLPPVSCHILTRSSATLSSSKKVVDRIVKLFAIDPEGQKREVVGLAGHSLLRALTNSGLIDPASHRLEEIDACSAECEVNIAQEWLEKLPPRTYDEEYVLVRNSRGRILNKHSRLGCQVVLTPQLQGMVVAVPEPKPWDIP >KJB10980 pep chromosome:Graimondii2_0_v6:1:47249775:47250334:-1 gene:B456_001G235200 transcript:KJB10980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLPSGDQASDQDNWDNGRLARKRQLLTEIVLRNRLTALVVDGDTTCRVLEQLLLRSYGVQTQGVDNGRDAVALIASGVKFNLIIIDMILPVLNGLEATRQIRDMGVHCKMLGVTACSGESERQAFLAAGVDVFIEKPLDPEHLVPILRELDGQ >KJB10981 pep chromosome:Graimondii2_0_v6:1:47249665:47250545:-1 gene:B456_001G235200 transcript:KJB10981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLPSGDQASDQDNWDNGRLARKRQLLTEIVLRNRLTALVVDGDTTCRVLEQLLLRSYGVQTQGVDNGRDAVALIASGVKFNLIIIDMILPVLNGLEATRQIRDMGVHCKMLGVTACSGESERQAFLAAGVDVFIEKPLDPEHLVPILRELDGQ >KJB07537 pep chromosome:Graimondii2_0_v6:1:2694304:2698177:-1 gene:B456_001G028700 transcript:KJB07537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFGIKRDVARSIMLNFINFGLAFILVSAERSLKNGESSEESKTNDNYFVKAIHFLWKPDQSGYHHVWPEMEFNWQSVLGTCIGFCGAAFGSVGGVGGGGIFVPMLSLIVGFDPKSATAISKCMIMGAAASTVYYNLKLRHPTLDMPIIDYDLALLIQPMLMLGISIGVAFNVIFADWMVTVLLIILFVGTSTKAFFKGVETWKKETILKQEAARRLESNGTSSENVDYRPLPSGPNTVPPKDSTDQKVTVLDNVCWKELGLLCFVWFAFLVLQISKNHTTTCSVGYWVLNLLQIPVSVAVSAYEAVSLYKGNRAIASKGEQGSNFQAHQLVSYCFFGVLAGIVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYALYFTAVATFAAFVGQHVVRRLIIVFGRASLIIFILAFTIFVSAVSLGGVGISNMIDKIHHNEYMGFENLCKYES >KJB07538 pep chromosome:Graimondii2_0_v6:1:2694887:2698135:-1 gene:B456_001G028700 transcript:KJB07538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFGIKRDVARSIMLNFINFGLAFILVSAERSLKNGESSEESKTNDNYFVKAIHFLWKPDQSGYHHVWPEMEFNWQSVLGTCIGFCGAAFGSVGGVGGGGIFVPMLSLIVGFDPKSATAISKCMIMGAAASTVYYNLKLRHPTLDMPIIDYDLALLIQPMLMLGISIGVAFNVIFADWMVTVLLIILFVGTSTKAFFKGVETWKKETILKQEAARRLESNGTSSENVDYRPLPSGPNTVPPKDSTDQKVTVLDNVCWKELGLLCFVWFAFLVLQISKNHTTTCSVGYWVLNLLQIPVSVAVSAYEAVSLYKGNRAIASKGEQGSNFQAHQLVSYCFFGVLAGIVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYGKLSTSLLWLLLLPLLDNMS >KJB08170 pep chromosome:Graimondii2_0_v6:1:6960788:6964495:-1 gene:B456_001G069200 transcript:KJB08170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G21640) UniProtKB/TrEMBL;Acc:A0A178V6X3] MEIVEENEIVTENTAFVHGEPPQDAAGPPKVESDMEILHEEVKKQIIKEGHGQKPSKYSTCFLHYRAWTETTKHKFDDTWHEQQPLELVLGKEKKEMTGLAIGVSSMKSGERALLHVGWELGYGKEGSFSFPNVPPMADILYEVELIGFDETKEGKARADMTVEERIGAADRRKMDGNSLFKEDKLEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRYEEAVGHCSIVLSEDENNVKAMFRRGKARAELGQTDAAREDFLKARKYAPEDKAIARELRMLAEQDKAIYQKQKEIYKGIFGPRPEPKSKRGNWIIRLWHWLLSLFYYMFRRERVKAD >KJB08082 pep chromosome:Graimondii2_0_v6:1:6259900:6260605:-1 gene:B456_001G062500 transcript:KJB08082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGMNFSCTHINTHFKLCTYSYLALILNPGAYGCTMGILISSKHGEVVLVLSLRVFKVSEMWCSILLTFLLYSISTWI >KJB10753 pep chromosome:Graimondii2_0_v6:1:44948661:44952922:-1 gene:B456_001G221400 transcript:KJB10753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLSSSSSSSEDANGNSGRRGVGDFGAPSLTRRRANNEIWPGPFVEDLVVQVAIDASCSFGRLAVAAALANVFQVCSTWQAVSRSDPLWHRLTTVIWGRTHRMHASWREEYVYRHQTAQNFRAGRSFYHTLHFDPASVDNPDGLTCRCLTLSDTHLACGFADGTVRLFDLSTRQLVGTFHPHHRDRFGRFSRAVSGIVISDPRIIFATLDGDIHVAIIDGEPLARTAHLGNVVNDGALVDFTGCGRWWVGLYAGVPGRALHIWDGNTEELAFVNTNLTDPEAVMGWHTLTELTETIGRVRVTGQESAVACTSLRYMVVDLRNPEFPLHDREWRRRLTVTSLDTNGEAFIMVDNRGLAIVRRVDTLEEVCRFNTRNVNVMGCMNLGYALMCAAGVVRVWEIEHGQHLYSLNQNVEEVNAMVADDRHVAAAGSDTGIHLWDFGAHEW >KJB10816 pep chromosome:Graimondii2_0_v6:1:46239154:46242142:1 gene:B456_001G226600 transcript:KJB10816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDENNPKKPHVLIFPYPAQGHINPILQFAKRLLSKGVKAALVTTVFLSHSSFSDPSSTSIDIHTISDGFDQGGYEQAGGSDAYLPTFWSVGPNSLAALIKKLGETGHPVDALVYDGFLPWALDVAKQFGIPSAVFFTQSCAVNSVYYHVNKGLLQLPLPVPHVSLPGLPPLQVSELPSFVARYGSYPAWFDVVVNQFSNVDGADWVFFNIFYDLEKEVVNWMSRFWNVMTVGPTIPSMYLDKRIENDKDYGMNLFKPNVDACMSWLGRKPKDSVLYVSFGSFASLGIEQTTELACALKSSNVYFLWVVRETEMAKLPYNFIEETSEKGLVVAWCPQLEVLSNEAVGCFLTHCGFNSTLEALSLGVPMLAMPQWTDQPTNAKHVEDVWRIGIRAHPNEKGVVRRETIEWCIKELLTEVGEKGKEIRKNSIKWKNLAKKGIDEGGNSDKNIDEFIAKLL >KJB09882 pep chromosome:Graimondii2_0_v6:1:24827843:24829255:-1 gene:B456_001G172500 transcript:KJB09882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSPDQLWPHTKNINSELVQKFYQRAEEILKLLKPILSTVIDSEITSDKVLGKALEGLSLSIEELREQFESWQPLLSKVYFDFIMQVFSAFGFVHKITTFEKAAGFQSGIYKLNGD >KJB07404 pep chromosome:Graimondii2_0_v6:1:1922948:1924536:1 gene:B456_001G020400 transcript:KJB07404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPSCPKEGLNKGAWTALEDKLLASYIKAHGEGKWSDLSRRAGLKRCGKSCRLRWLNYLRPDIKRGNISRDEEELIIRLHNLLGNRWSLIAGRLPGRTDNEIKNYWNTVLRKRAKGQASIGTPPSKSTHKMLSTESGVCEPLRSPQATKAKIKVILTKATRSSSKVRIPTQLPAAQHTDKLRDGQLNSTNNNQEMSGDIATVEAHSGTQVLDSLYSDGGGSDLLNEQLKERDVEFEENPMQPLAFDEAMFKNWTVNHCLNGNDAMDFDSLAFLLETDEYSFCRNINFQT >KJB07920 pep chromosome:Graimondii2_0_v6:1:5011679:5016165:-1 gene:B456_001G052900 transcript:KJB07920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSLPSIKLNYTAIKQDPLDSPPPSLPPRLPIPPPLAVVKTEPEQQQPQQEQLQLQLQEQEQEERQPHLLKSIDDLTSFSTAIQAFKYRFDELTKHLDFVNQAIGSKFNEQSTQIEPQPSPKSTQKVPKTVSPSVSSRYGIGNLCERMRSKRVRKYIITHLSDIPKLREEVPAALKLAPGPAQLVLDCIGRFFLLGKKAQIKVPYIPLARQASVLVLEFFLLMMRGEGGFLIAANVNVEARKRAVEWRKRLIGEGGLDKANETDAKGLLLFVACFGIPEVFSNEDLGVLFKLANLSGISDAVKCSTFLPGKIPDIIESMVKNGMPFEAVEVASIFGLEDKFSSKTMLTSFLQESTKAFERAKREANKSHVALKKANEKQLDALKSIVHYSENRSIDATELLGSWQIKEKIVTLEEGIVELKKRIEEKKMKKRKRNEKGSSSTVKSQEVKRSRLATEGSPLPKSEANQLCEQPTTILSEGDALVPISSNANNSPVTSAAPHGSTCCFPENEIAQMGKANEKQLDALESIVQYPENRSNDVTELLGSIQFEKKIVKLEERVAELHKRLEDKKIKPNRKLDEMGAVKSKEMKQTRFATKGSPLPKSHVNQSHEQPSAILAEGMKLYDGLVPNSCHADDYPVASAAPHGSTNSFPKTEMDQIGGTTNVRSGGISGNGLPYRWQQGYVAQSTSKWFADLFGSSPSIEGFVGLPDRTIRTLADLYRFADSLG >KJB08165 pep chromosome:Graimondii2_0_v6:1:6939067:6943574:-1 gene:B456_001G068900 transcript:KJB08165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLKSCRAGLADYKLGKEEFQFPKFKHQFIETVLLRIERASKQSKAMSTLSVPPVLTSPRDDAIQLYRAFKGLGCDTAAVVNILSHRDVTQRSFIQHEYKTMYSEDLLKRLKSELSGKLETAVLLWMLDPAERDATVIKQAILSGVTNLFAATEVICSRTPSQIQLIKQNYHSKFGVLLEQDIAVLTSGDHKELLLAYLSTHRHEGPEVDREMALKDAKTLFKAGEKKLGTDEKKFIRIFSERSRAQLAAISSAYHDMYGGSLKKAVKSETSGEFEHGLLTILKCSQNPAKYFAKVLHQAMKGLGTNDTTVIRVIVTRTEIDMHYIKAEYLRKYKKSLNDAVQSETSGHYRTFLLSLLGPSH >KJB08166 pep chromosome:Graimondii2_0_v6:1:6939108:6943551:-1 gene:B456_001G068900 transcript:KJB08166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLKSCRAGLADYKLGKEEFQFPKFKHQFIETVLLRIERASKQSKAMSTLSVPPVLTSPRDDAIQLYRAFKGLGCDTAAVVNILSHRDVTQRSFIQHEYKTMYSEDLLKRLKSELSGKLETAVLLWMLDPAERDATVIKQAILSGVTNLFAATEVICSRTPSQIQLIKQNYHSKFGVLLEQDIAVLTSGDHKELLLAYLSTHRHEGPEVDREMALKDAKTLFKAGEKKLGTDEKKFIRIFSERSRAQLAAISSAYHDMYGGSLKK >KJB08167 pep chromosome:Graimondii2_0_v6:1:6939108:6943551:-1 gene:B456_001G068900 transcript:KJB08167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLKSCRAGLADYKLGKEEFQFPKFKHQFIETVLLRIERASKQSKAMSTLSVPPVLTSPRDDAIQLYRAFKGLGCDTAAVVNILSHRDVTQRSFIQHEYKTMYSEDLLKRLKSELSGKLELLLAYLSTHRHEGPEVDREMALKDAKTLFKAGEKKLGTDEKKFIRIFSERSRAQLAAISSAYHDMYGGSLKKAVKSETSGEFEHGLLTILKCSQNPAKYFAKVLHQAMKGLGTNDTTVIRVIVTRTEIDMHYIKAEYLRKYKKSLNDAVQSETSGHYRTFLLSLLGPSH >KJB10048 pep chromosome:Graimondii2_0_v6:1:27851031:27853421:-1 gene:B456_001G182000 transcript:KJB10048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFAFINKEIQALLDPNDSTHIYKKWIDHYCSENFEAYAFRIEELLDTLSISLTGEELDVIEKLYHQSMRLEVDFFSSQPIIQEAVVPLSRTLDPAVGGELSIFCDFDLTCTAFDSSAILAEIAIITRPKADPDGSETQLSRMSSADLRSTWDALSAQYTEEFEQCVESITTTKTAETFSYEGLCEALEQFAHFEKAANSRVVQSGVLKGLNQEDIKRAGQRLILQDGCKGFIQKIMKNENLTAAIHVLSYCWCGDLIRSALSSGDLKALNVHSNELSCEDSTTTGEIIKKLESPMEKLQAFNNILNNRDKDGQHLTVYIGGSVGDLLCLLEADIGIVMGSSPTLRRLGEQFGISFVPLFSGLVAKQREVVEVGSSNWKRLSGTLYTVSSWDEIHAFILGSSS >KJB10050 pep chromosome:Graimondii2_0_v6:1:27852420:27854478:-1 gene:B456_001G182000 transcript:KJB10050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMDVGGGIAGKLWNKFRSESVFVNYTPFFVCLAAGNLSADSFHHYISQHVHLLNAFAHAYELAEECADDDEDKKAIRNLRKYVQAKLKSHDSLVREWGFELPEERSLTNATVKYTDFLSATASGRIEGERVPGKLATPFEKTKVAAYTLGAIAPCMRLFAFINKEIQALLDPNDSTHIYKKWIDHYCSENFEAYAFRIEELLDTLSISLTGEELDVIEKLYHQSMRLEVDFFSSQPIIQEAVVPLSRTLDPAVGGELSIFCDFDLTCTAFDSSAILAEIAIITRPKADPDGSETQLSRMSSADLRSTWDALSAQYTEEFEQCVESITTTKTGLINAWQSS >KJB10054 pep chromosome:Graimondii2_0_v6:1:27851031:27854906:-1 gene:B456_001G182000 transcript:KJB10054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMDVGGGIAGKLWNKFRSESVFVNYTPFFVCLAAGNLSADSFHHYISQHVHLLNAFAHAYELAEECADDDEDKKAIRNLRKYVQAKLKSHDSLVREWGFELPEERSLTNATVKYTDFLSATASGRIEGERVPGKLATPFEKTKVAAYTLGAIAPCMRLFAFINKEIQALLDPNDSTHIYKKWIDHYCSENFEAYAFRIEELLDTLSISLTGEELDVIEKLYHQSMRLEVDFFSSQPIIQEAVVPLSRTLDPAVGGELSIFCDFDLTCTAFDSSAILAEIAIITRPKADPDGSETQLSRMSSADLRSTWDALSAQYTEEFEQCVESITTTKTAETFSYEGLCEALEQFAHFEKAANSRVVQSGVLKGLNQEDIKRAGQRLILQDGCKGFIQKIMKNENLTAAIHVLSYCWCGDLIRSALSSGDLKALNVHSNELSCEDSTTTGEIIKKLESPMEKLQAFNNILNNRDKDGQHLTVYIGGSVGDLLCLLEADIGIVMGSSPTLRRLGEQFGISFVPLFSGLVAKQREVVEVGSSNWKRLSGTLYTVSSWDEIHAFILGSSS >KJB10049 pep chromosome:Graimondii2_0_v6:1:27851400:27853071:-1 gene:B456_001G182000 transcript:KJB10049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFAFINKEIQALLDPNDSTHIYKKWIDHYCSENFEAYAFRIEELLDTLSISLTGEELDVIEKLYHQSMRLEVDFFSSQPIIQEAVVPLSRTLDPAVGGELSIFCDFDLTCTAFDSSAILAEIAIITRPKADPDGSETQLSRMSSADLRSTWDALSAQYTEEFEQCVESITTTKTAETFSYEGLCEALEQFAHFEKAANSRVVQSGVLKGLNQEDIKRAGQRLILQDGCKGFIQKIMKNENLTAAIHVLSYCWCGDLIRSALSSGDLKALNVHSNELSCEDSTTTGEIIKKLESPMEKLQAFNNILNNRDKDGQHLTVYIGGSVGDLLCLLEADIGIVMGSSPTLRRLGEQFGISFVPLFSGLVAKQREVVEVGSSNWKRLSGTLYTVSSWDEIHAFILGSSS >KJB10052 pep chromosome:Graimondii2_0_v6:1:27851031:27854822:-1 gene:B456_001G182000 transcript:KJB10052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMDVGGGIAGKLWNKFRSESVFVNYTPFFVCLAAGNLSADSFHHYISQHVHLLNAFAHAYELAEECADDDEDKKAIRNLRKYVQAKLKSHDSLVRAYAFRIEELLDTLSISLTGEELDVIEKLYHQSMRLEVDFFSSQPIIQEAVVPLSRTLDPAVGGELSIFCDFDLTCTAFDSSAILAEIAIITRPKADPDGSETQLSRMSSADLRSTWDALSAQYTEEFEQCVESITTTKTAETFSYEGLCEALEQFAHFEKAANSRVVQSGVLKGLNQEDIKRAGQRLILQDGCKGFIQKIMKNENLTAAIHVLSYCWCGDLIRSALSSGDLKALNVHSNELSCEDSTTTGEIIKKLESPMEKLQAFNNILNNRDKDGQHLTVYIGGSVGDLLCLLEADIGIVMGSSPTLRRLGEQFGISFVPLFSGLVAKQREVVEVGSSNWKRLSGTLYTVSSWDEIHAFILGSSS >KJB10051 pep chromosome:Graimondii2_0_v6:1:27851031:27854822:-1 gene:B456_001G182000 transcript:KJB10051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMDVGGGIAGKLWNKFRSESVFVNYTPFFVCLAAGNLSADSFHHYISQHVHLLNAFAHAYELAEECADDDEDKKAIRNLRKYVQAKLKSHDSLEWGFELPEERSLTNATVKYTDFLSATASGRIEGERVPGKLATPFEKTKVAAYTLGAIAPCMRLFAFINKEIQALLDPNDSTHIYKKWIDHYCSENFEAYAFRIEELLDTLSISLTGEELDVIEKLYHQSMRLEVDFFSSQPIIQEAVVPLSRTLDPAVGGELSIFCDFDLTCTAFDSSAILAEIAIITRPKADPDGSETQLSRMSSADLRSTWDALSAQYTEEFEQCVESITTTKTAETFSYEGLCEALEQFAHFEKAANSRVVQSGVLKGLNQEDIKRAGQRLILQDGCKGFIQKIMKNENLTAAIHVLSYCWCGDLIRSALSSGDLKALNVHSNELSCEDSTTTGEIIKKLESPMEKLQAFNNILNNRDKDGQHLTVYIGGSVGDLLCLLEADIGIVMGSSPTLRRLGEQFGISFVPLFSGLVAKQREVVEVGSSNWKRLSGTLYTVSSWDEIHAFILGSSS >KJB10053 pep chromosome:Graimondii2_0_v6:1:27851031:27854848:-1 gene:B456_001G182000 transcript:KJB10053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMDVGGGIAGKLWNKFRSESVFVNYTPFFVCLAAGNLSADSFHHYISQHVHLLNAFAHAYELAEECADDDEDKKAIRNLRKYVQAKLKSHDSLVREWGFELPEERSLTNATVKYTDFLSATASGRIEGERVPGKLATPFEKTKVAAYTLGAIAPCMRLFAFINKEIQALLDPNDSTHIYKKWIDHYCSENFEAYAFRIEELLDTLSISLTGEELDVIEKLYHQSMRLEVDFFSSQPIIQEAVVPLSRTLDPAVGGELSIFCDFDLTCTAFDSSAILAEIAIITRPKADPDGSETQLSRMSSADLRSTWDALSAQYTEEFEQCVESITTTKTAETFSYEGLCEALEQFAHFEKAANSRVVQSGVLKGLNQEDIKRAGQRLILQDGCKGFIQKIMKNENLTAAIHVLSYCWCGDLIRSALSSAGDLKALNVHSNELSCEDSTTTGEIIKKLESPMEKLQAFNNILNNRDKDGQHLTVYIGGSVGDLLCLLEADIGIVMGSSPTLRRLGEQFGISFVPLFSGLVAKQREVVEVGSSNWKRLSGTLYTVSSWDEIHAFILGSSS >KJB07832 pep chromosome:Graimondii2_0_v6:1:4421363:4423942:1 gene:B456_001G046400 transcript:KJB07832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYDNKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVTDYPIVSIEDPFDQDDWEHYAKMTSEIGEQVQIVGDDLLVTNPKRVEKAITEKTCNALLLKVNQIGSVTESIEAVKMSKSAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY >KJB07831 pep chromosome:Graimondii2_0_v6:1:4420317:4424023:1 gene:B456_001G046400 transcript:KJB07831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIKCIKARQIFDSRGNPTVEVDVSLSDGAVARAAVPSGASTGIYEALELRDGGSDYLGKGVLKAVENVNTIIGPALVGKDPTEQAKIDNFMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGAMLKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYDNKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVTDYPIVSIEDPFDQDDWEHYAKMTSEIGEQVQIVGDDLLVTNPKRVEKAITEKTCNALLLKVNQIGSVTESIEAVKMSKSAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY >KJB07830 pep chromosome:Graimondii2_0_v6:1:4420317:4423942:1 gene:B456_001G046400 transcript:KJB07830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIKCIKARQIFDSRGNPTVEVDVSLSDGAVARAAVPSGASTGIYEALELRDGGSDYLGKGVLKAVENVNTIIGPALVGKDPTEQAKIDNFMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGAMLKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYDNKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVTDYPIVSIEDPFDQDDWEHYAKMTSEIGEQVQIVGDDLLVTNPKRVEKAITEKTCNALLLKLLAHYLDELNFLMLSVLCNRQVNQIGSVTESIEAVKMSKSAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY >KJB06997 pep chromosome:Graimondii2_0_v6:1:7413647:7414440:-1 gene:B456_001G073000 transcript:KJB06997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHNMLDATFSSKKALVSFRSGANYPFNQAGSLTERNTDPAINMNLVDPLLSSQQHLNSMLDAAFSGKRALVSFHSGAKYLLTILNQADSLTKQYIDPATKMNLVDP >KJB06454 pep chromosome:Graimondii2_0_v6:1:41648480:41649128:1 gene:B456_001G2098001 transcript:KJB06454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVLLEDIEDDLAEELKSKCPVNVFDIEDLGKHVKLGDIVLGTFPNEEFGCQAGRRRATVALRRVKDHFIFTIESTGALPPEVLFTEAVKILEDECERVITELS >KJB06880 pep chromosome:Graimondii2_0_v6:1:1729006:1730174:1 gene:B456_001G018500 transcript:KJB06880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQSGGQLGSPGSRGGISEMGGQGLSNGLIQGGKTGMVDLGGPVSIAAGSPANHFSLDGIGKSSADTSSVSPVPYVFKEFRGVGNALLWRRLPRGSKGE >KJB08535 pep chromosome:Graimondii2_0_v6:1:9407837:9409210:-1 gene:B456_001G087300 transcript:KJB08535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRYSFEIGSTSTTFRRLNLEEESNLETQTVDFKTARAIVSSIPTTFRTNLQGIDNSSNIAQPIYTIQKESPQNSPNIKHFYSNKNKQKREDYFKNYNDKKESILQEYYEFINIHKIHIKFFEWFEEYYSESVNTIKHNTKWQTNKGEVESRHPPLMEVQYLHKNTGIKANPLRMGAPDAGEQISLKDIKMIVEQNNYTNINLHTIGKQLDYIENLVESQPIKKEPNKYNATTIYEWNIDGVFEYNVLSLLQQMTMVSNVYKTQNQNGLISDHAMANLLVAGFTSQLKGWWDHALTKTQQEEILKLIKKDNQ >KJB10293 pep chromosome:Graimondii2_0_v6:1:33154395:33158433:-1 gene:B456_001G194500 transcript:KJB10293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGPQSKMDIAFEWQAQFHILRPSIHARRANIIVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWALEASKGANWYLQPQISISQGIGLKSSLKLSGFVNAITLKKLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYNDLLKAVEGMDTPATRQIDHDLPRTFPGHPWLDTPEGHAALRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTTNLSGCHVEQRVFKDLLAKKCPRIAAHLEALEFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFYEGAKVLFHVALAIFKMKEHELLLTHQVGDIINILQRTTHHLFDPDELLTVAFDKIGFMTTNTISKQRKKQETEVMKELDLRLRRLNSIRTDEK >KJB08840 pep chromosome:Graimondii2_0_v6:1:12124486:12126731:-1 gene:B456_001G107200 transcript:KJB08840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPTTEQPSVPARRKKMTKQLTGKRDDTALHSAARAGNLAAVKEILTGIEEDALKEQLAKQNQSGETALYVAAEYGYVDLVKEMINYYDLVNAGIKARNGFDALHIAAKQGDLGMFPTPELSVFGFLEVKIRFSRVTLVADILKVLLAAHPELAMTVDLSNTTALHTAATQGHLENVKFLLEVGSSLAIIARSNGKTPLHSAARNGHLDVVKALLACEPGIAPRIDKKGQTALHMAVKGQSLAVVEELIRVNPSLMINMVDTKGNTSLHIATRKGRAQIVKLLLGYKETDIKAVNRCGETAFDIAEKTGNLEIASMLQQHGVQSARAIKPAATNPARELKQTVSDIKHEVHYQLEHTLQTRKQVQGIAKRINKMHSEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPNDIPPGDSLGEANIAPKPAFIIFFIFDSIALFISLAVVVVQTSVVVIDSKGKKQMMAIINKLMWLACVLVSVAFLALSFIVVGKDEKWLAIGVTIIGTSIMATTLGTMCYWVIRHRIEASNMRSIRRSSQASRSRSWTMSVMSDSEILNNEFKKMYAI >KJB08839 pep chromosome:Graimondii2_0_v6:1:12124309:12127034:-1 gene:B456_001G107200 transcript:KJB08839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPTTEQPSVPARRKKMTKQLTGKRDDTALHSAARAGNLAAVKEILTGIEEDALKEQLAKQNQSGETALYVAAEYGYVDLVKEMINYYDLVNAGIKARNGFDALHIAAKQGDLDILKVLLAAHPELAMTVDLSNTTALHTAATQGHLENVKFLLEVGSSLAIIARSNGKTPLHSAARNGHLDVVKALLACEPGIAPRIDKKGQTALHMAVKGQSLAVVEELIRVNPSLMINMVDTKGNTSLHIATRKGRAQIVKLLLGYKETDIKAVNRCGETAFDIAEKTGNLEIASMLQQHGVQSARAIKPAATNPARELKQTVSDIKHEVHYQLEHTLQTRKQVQGIAKRINKMHSEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPNDIPPGDSLGEANIAPKPAFIIFFIFDSIALFISLAVVVVQTSVVVIDSKGKKQMMAIINKLMWLACVLVSVAFLALSFIVVGKDEKWLAIGVTIIGTSIMATTLGTMCYWVIRHRIEASNMRSIRRSSQASRSRSWTMSVMSDSEILNNEFKKMYAI >KJB10711 pep chromosome:Graimondii2_0_v6:1:43846297:43848533:1 gene:B456_001G217700 transcript:KJB10711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVADSVTCIILLILFCGSAAGNRKALYSFKAQENAIAMAPSSDNGICKSMVETHGYDCEEHTVTTQDGYILSMQRIPVGRSGGTPGNRPPVLLQHGILMDGITWLLLPPEQSLAFVLSDNGYDVWIANSRGTKYSKGHKSLSPNDPAYWDWSWDELVAYDLPATFQYVYDQTGQKLHYVGHSQGTLVALAALSKDQLLNMLRSAALLCPIAYMGQMTSPLAKNAADNFIAEAVYWLGLSEFDPRGDAVVNLLKDICSKPGIDCTNLLTSFTGQNCCLNSSIVNIFLDHEPQPSATKNMVHLAQMIRQGTIKMYDYIDEVENMKHYGQATPPAYNMTSIPNDFPVFLSYGGEDALSDVNDVKLLLGSLKDHDGDKLVVQYRYDYAHADYVMAENAKQDVYDPLIAFFRLQ >KJB08540 pep chromosome:Graimondii2_0_v6:1:9513795:9515542:1 gene:B456_001G087600 transcript:KJB08540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRYNITFRLMLGAFLKDPVPFSMSPMPNFVKRPSLFFCIENKRSQNHSPRLSFMMAITFLPVLVLEASLSTERSQTSSIGFYRPRHCSGQPIIQVSHSLSLQSFLCFPDLGTFLKRQSSKLLLTSFMSKMYALSTDLSSSLF >KJB08538 pep chromosome:Graimondii2_0_v6:1:9513795:9515396:1 gene:B456_001G087600 transcript:KJB08538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRYNITFRLMLGAFLKDPVPFSMSPMPNFVKRPSLFFCIENKRSQNHSPRLSFMMAITFLPVLVLEASLSTERSQTSSIGFYRPRHCSGQPIIQASASSVKGVATQLFISN >KJB08541 pep chromosome:Graimondii2_0_v6:1:9513795:9515627:1 gene:B456_001G087600 transcript:KJB08541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRYNITFRLMLGAFLKDPVPFSMSPMPNFVKRPSLFFCIENKRSQNHSPRLSFMMAITFLPVLVLEASLSTERSQTSSIGFYRPRHCSGQPIIQVSHSLSLQSFLCFPDLGTFLKRQSSKLLLTSFMSKMYALSTDLSSSLF >KJB08539 pep chromosome:Graimondii2_0_v6:1:9513795:9515542:1 gene:B456_001G087600 transcript:KJB08539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRYNITFRLMLGAFLKDPVPFSMSPMPNFVKRPSLFFCIENKRSQNHSPRLSFMMAITFLPVLVLEASLSTERSQTSSIGFYRPRHCSGQPIIQASASSVKGVATQLFISN >KJB08542 pep chromosome:Graimondii2_0_v6:1:9513795:9515811:1 gene:B456_001G087600 transcript:KJB08542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRYNITFRLMLGAFLKDPVPFSMSPMPNFVKRPSLFFCIENKRSQNHSPRLSFMMAITFLPVLVLEASLSTERSQTSSIGFYRPRHCSGQPIIQVSHSLSLQSFLCFPDLGTFLKRQSSKLLLTSFMSKMYALSTDLSSSLF >KJB09412 pep chromosome:Graimondii2_0_v6:1:18842541:18844526:1 gene:B456_001G140200 transcript:KJB09412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPPELDLEKLKAIKILGKGAMGTVFLVHDISTDPTARSPFALKVVQRSKHDADRRARWEIGVLKTLSPPDPALQHPFLPRLLGRLETPDLLAWAVPFCPGSDLNVLRYHQNDHVFSPSVIRFYLSEILCALQYLHSLGIVYRDLKPENILIQHSGHATLTDFDLSRNLKKKPPSEILADDKKVPNSLPQFPALRKHRLNFFRWIPVVPDNKCNYALKKAKSARVSPVSRRKLSFSNEERSNSFVGTEEYVSPEVVRGDGHEFAVDWWAFGILTYEMLYGRTPFKGKNRKETFRNVLTKEPKFMGQPNALTDLIGRLLQKDPEKRLGYHGGACEIKQHAFFRGVRWDFLTEVLRPPFIPPRDDGDLTTEVSPGNFDIREYFQSSKAPNPKSMPPSPLSDHRRNVSFTEY >KJB08881 pep chromosome:Graimondii2_0_v6:1:12788142:12789588:-1 gene:B456_001G110700 transcript:KJB08881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTRFLGSLSTPRVDITIDTGNLFFNHALEGFLKIGSVAATRSIAEDSFKAINGGKLSKHCLERSLKKMCKEGAYWGSVAGVYVGMEYGVGRIRGTWDWKNAMIGGALTGALVSAATNNNKDQIVSDALTGGAVATASVLLNYLT >KJB08880 pep chromosome:Graimondii2_0_v6:1:12788418:12789379:-1 gene:B456_001G110700 transcript:KJB08880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTRFLGSLSTPRVDITIDTGNLFFNHALEGFLKIGSVAATRSIAEDSFKAINGGKLSKHCLERSLKKMCKEGAYWGSVAGVYVGMEYGVGRIRGTWDWVCSPRYKECHDRRCPNRSSCLCGDQQ >KJB08879 pep chromosome:Graimondii2_0_v6:1:12788142:12789499:-1 gene:B456_001G110700 transcript:KJB08879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTRFLGSLSTPRVAATRSIAEDSFKAINGGKLSKHCLERSLKKMCKEGAYWGSVAGVYVGMEYGVGRIRGTWDWKNAMIGGALTGALVSAATNNNKDQIVSDALTGGAVATASVLLNYLT >KJB08064 pep chromosome:Graimondii2_0_v6:1:6193205:6196235:-1 gene:B456_001G062000 transcript:KJB08064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEGFLTDEQREVLKIASQNAETPLPSPRLSSSPKSPTSLLSDHHLKDPAGGKAPTCGVGVRHVRRSHSGKFVRVKKDGAGGKGTWGKLLDTDRESHIDRNDPNYDSGEEPYQLVGSTISDPLDEYKKAVVSIIEEYFSTSDVELAASDLKDLGSSEFHPYFIKRLVSIAMDRHDKEKEMASVLLSSLYADVISPNQIRDGFVMLLDAADDLAVDILDAVNILALFVARAVVDEILPPAFLTRAKKTLPESSKGYQVLQTAEKSYLSAPHHAELLERRWGGSIHVTVEEMKKKIADLLREYVESGDTFEACRCIRELGVSFFHHEVVKRALVLAMEIQAAELLMLKLLKEAAEEGLISSSQMVKGFARLAESLDDLALDIPSAKTLFQSIVPKAISEGWLDASFTKSSCEDGEGQSEEKRLRRYKEEVVTIIHEYFLSDDIPELIRSLEDLGLPEFNPIFLKKLVTLALDRKNREKEMASVLLSALHIEIFSTEDIVNGFVMLLESAEDTALDILDASTELALFLARAVIDDVLAPLNLDEIASKLSPNCSGSETIRMARSLITARHAGERLLRCWGGGTGWAVEDAKDKIMKLLEEYESGGVVAEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLNLLQVCFDEGLITINQMSKGFTRVKDGLDDLALDIPNAKDKFSFYMEYAQKKGWLLTSFGSSAMEAPPIATAS >KJB08066 pep chromosome:Graimondii2_0_v6:1:6193205:6196235:-1 gene:B456_001G062000 transcript:KJB08066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEGFLTDEQREVLKIASQNAETPLPSPRLSSSPKSPTSLLSDHHLKDPAGGKAPTCGVGVRHVRRSHSGKFVRVKKDGAGGKGTWGKLLDTDRESHIDRNDPNYDSGEEPYQLVGSTISDPLDEYKKAVVSIIEEYFSTSDVELAASDLKDLGSSEFHPYFIKRLVSIAMDRHDKEKEMASVLLSSLYADVISPNQIRDGFVMLLDAADDLAVDILDAVNILALFVARAVVDEILPPAFLTRAKKTLPESSKGYQVLQTAEKSYLSAPHHAELLERRWGGSIHVTVEEMKKKIADLLREYVESGDTFEACRCIRELGVSFFHHEVVKRALVLAMEIQAAELLMLKLLKEAAEEGLISSSQMVKGFARLAESLDDLALDIPSAKTLFQSIVPKAISEGWLDASFTKSSCEDGEGQSEEKRLRRYKEEVVTIIHEYFLSDDIPELIRSLEDLGLPEFNPIFLKKLVTLALDRKNREKEMASVLLSALHIEIFSTEDIVNGFVMLLESAEDTALDILDASTELALFLARAVIDDVLAPLNLDEIASKLSPNCSGSETIRMARSLITARHAGERLLRCWGGGTGWAVEDAKDKIMKLLEEYESGGVVAEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLNLLQVCFDEGLITINQMSKGFTRVKDGLDDLALDIPNAKDKFSFYMEYAQKKGWLLTSFGSSAMEAPPIATAS >KJB08067 pep chromosome:Graimondii2_0_v6:1:6192119:6197626:-1 gene:B456_001G062000 transcript:KJB08067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEGFLTDEQREVLKIASQNAETPLPSPRLSSSPKSPTSLLSDHHLKDPAGGKAPTCGVGVRHVRRSHSGKFVRVKKDGAGGKGTWGKLLDTDRESHIDRNDPNYDSGEEPYQLVGSTISDPLDEYKKAVVSIIEEYFSTSDVELAASDLKDLGSSEFHPYFIKRLVSIAMDRHDKEKEMASVLLSSLYADVISPNQIRDGFVMLLDAADDLAVDILDAVNILALFVARAVVDEILPPAFLTRAKKTLPESSKGYQVLQTAEKSYLSAPHHAELLERRWGGSIHVTVEEMKKKIADLLREYVESGDTFEACRCIRELGVSFFHHEVVKRALVLAMEIQAAELLMLKLLKEAAEEGLISSSQMVKGFARLAESLDDLALDIPSAKTLFQSIVPKAISEGWLDASFTKSSCEDGEGQSEEKRLRRYKEEVVTIIHEYFLSDDIPELIRSLEDLGLPEFNPIFLKKLVTLALDRKNREKEMASVLLSALHIEIFSTEDIVNGFVMLLESAEDTALDILDASTELALFLARAVIDDVLAPLNLDEIASKLSPNCSGSETIRMARSLITARHAGERLLRCWGGGTGWAVEDAKDKIMKLLEEYESGGVVAEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLNLLQWSSDGRFTHPS >KJB08065 pep chromosome:Graimondii2_0_v6:1:6192651:6197689:-1 gene:B456_001G062000 transcript:KJB08065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEGFLTDEQREVLKIASQNAETPLPSPRLSSSPKSPTSLLSDHHLKDPAGGKAPTCGVGVRHVRRSHSGKFVRVKKDGAGGKGTWGKLLDTDRESHIDRNDPNYDSGEEPYQLVGSTISDPLDEYKKAVVSIIEEYFSTSDVELAASDLKDLGSSEFHPYFIKRLVSIAMDRHDKEKEMASVLLSSLYADVISPNQIRDGFVMLLDAADDLAVDILDAVNILALFVARAVVDEILPPAFLTRAKKTLPESSKGYQVLQTAEKSYLSAPHHAELLERRWGGSIHVTVEEMKKKIADLLREYVESGDTFEACRCIRELGVSFFHHEVVKRALVLAMEIQAAELLMLKLLKEAAEEGLISSSQMVKGFARLAESLDDLALDIPSAKTLFQSIVPKAISEGWLDASFTKSSCEDGEGQSEEKRLRRYKEEVVTIIHEYFLSDDIPELIRSLEDLGLPEFNPIFLKKLVTLALDRKNREKEMASVLLSALHIEIFSTEDIVNGFVMLLESAEDTALDILDASTELALFLARAVIDDVLAPLNLDEIASKLSPNCSGSETIRMARSLITARHAGERLLRCWGGGTGWAVEDAKDKIMKLLEEYESGGVVAEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLNLLQWSSDGRFTHPS >KJB06845 pep chromosome:Graimondii2_0_v6:1:23572515:23577781:-1 gene:B456_001G164900 transcript:KJB06845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWIELSLQPFSIRSSGSLKGCIPKSNLSAPVADFFLAFSSPAFACFRARKSAKVFTSNCASPDSFSLSLESSPLPKHRFQPLVVRLIQLKNKGQLASSSQPVGVLFLHPANLSAFERAPNCSSL >KJB08617 pep chromosome:Graimondii2_0_v6:1:10366818:10370951:1 gene:B456_001G093200 transcript:KJB08617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRGDKEDAEKYTGLLKLVQVLSFLVVFVAGIIIGLATSAHINTYFSSQAQLFSTSTVTSFQVSSNKANCNQTQAPCPEIDYLSMDAFIHPMNLTHKLSDDELFWRASMMPYKKEYPFPRVPKVAFMFLTRGPLPFMPLWERFFKDHEIFFSIYLHTPPDYYLNVSTSSPFYGRQIPSQRVEWGSFLLADAERRLLANALLDFSNERFILLSESCIPVYNFPTVYKYLIGSTYSFVESYDDPTRYGRGRYNRKMLPHIKLYQWRKGSQWFEMQRSVATYIVSDTKYYNLFKKYCKPACYPDEHYIPTYLNMFHGSLNANRTITWVDWSMGGPHPAKYEGVNVTEGFIQSIRNNGTLCSYNDELTSVCYLFARKFAPSALEPLLNLSSTVMNF >KJB10633 pep chromosome:Graimondii2_0_v6:1:42427532:42428086:1 gene:B456_001G212700 transcript:KJB10633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSILNSCFIIGGSMAVPPAAAEIDVETPLNLVGILSVVASFPMTVFIIYIIRTCYEKARRQLRHPTQDIEAGRQSMRQPAGTVVIYKKNNIISTQETTAKEEESGSKDCAICLEELKEGDRCRMLSKCRHVYHLSCIDRWLLKHSHCPLCRASIYAS >KJB06748 pep chromosome:Graimondii2_0_v6:1:989770:993476:-1 gene:B456_001G010300 transcript:KJB06748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRLFGKPKQETSALTTLDKLNETLEMLEKKEKVLLKKAAAEVEKAKEFAKARNKRAAIQCLKRKRLYEQQIEQLGNFQLRVHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPIHVPAGRQPARPIPQKRPAEEDELAALQAEMAL >KJB06749 pep chromosome:Graimondii2_0_v6:1:989770:993393:-1 gene:B456_001G010300 transcript:KJB06749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRLFGKPKQETSALTTLDKLNETLEMLEKKEKVLLKKAAAEVEKAKEFAKARNKRAAIQCLKRKRLYEQQIEQLGNFQLRVHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPIHVPAGRQPARPIPQKRPAEEDELAALQAEMAL >KJB06750 pep chromosome:Graimondii2_0_v6:1:989770:993547:-1 gene:B456_001G010300 transcript:KJB06750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRLFGKPKQETSALTTLDKLNETLEMLEKKEKVLLKKAAAEVEKAKEFAKARNKRAAIQCLKRKRLYEQQIEQLGNFQLRVHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPIHVPAGRQPARPIPQKRPAEEDELAALQAEMAL >KJB06120 pep chromosome:Graimondii2_0_v6:1:11052258:11060816:-1 gene:B456_001G0984002 transcript:KJB06120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGFRVSSIPNSVRKTIQNIKEITGNHSEDEIYAMLKECSMDPNETAQRLLLQDPFREVKRKRDRKKEVLNNKESAESRWRPGSQGRGSRGGWGNFPRYAAHEAGGSKSSGPGRDNGMNQVAEKGSGQSLSSLQESKTKESTLVASPVPAMANGPSGVVAETFSAPPRKAANQPQENSSVGSSEFESAPSPVNTISKPRIAFGSGDMSGEPAASSSDCSMSTAPAPAPASSSAICFSSSDPVLVPSTDSLLLNPLDTIKLESNRASTEPNAVIPTENKLASEAAEINSSFLQGKMPNKSSGVVKNPPGESAHPSSTVTHGSSASRPSSNYSSRSQQIIGPQKVGSNKEWKPKPVSCNVGLGSGVATASEVPTVSLEINARSLPVSSVLDSEVATSKLQMKLEELHLPQRQHVIIPNHIHVPESERTKLSFGSFDASFGVALNYVGGQESDKSSTPLSEASQDADETAEEHGSSNQNALATAEEGDYADRPQSPAHAPENLSGDIASSIPESDENKQENTLLSGGNQHSAVTSPNYSFGVIPPMLASFGNAESQAREVSRLSSFVVPQPFDPATYYAQFYRSCVDNDGRVSPFASSGVAAKYNGNVSVLPPQTSQSQEGGNSLVLTTTSSSPVVTQAAGMMQSSLAVTQQPVPVYRSAAGVHLPHYPPNYIQYAPFYSPFYVPSPAIHQFINNGVFPQQPQAGTVYPSVPAAPTTGVKYSLPQFKPGSNTPSSIHIGMPSGYGPYGSSPAGYNPSSAVATGNSTTNEDLGGAQFKESNVYVTGQQSEGSAVWIAPPGRDISSLPASSFYNLAPQGQNVTFAPTQVVPGSFAGIYHPQAATAAAVHPLLQQAQTMAGAVNMGGSAAGVYRQPQHAQMNWPSNY >KJB06119 pep chromosome:Graimondii2_0_v6:1:11052952:11060786:-1 gene:B456_001G0984002 transcript:KJB06119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGFRVSSIPNSVRKTIQNIKEITGNHSEDEIYAMLKECSMDPNETAQRLLLQDPFREVKRKRDRKKEVLNNKESAESRWRPGSQGRGSRGGWGNFPRYAAHEAGGSKSSGPGRDNGMNQVAEKGSGQSLSSLQESKTKESTLVASPVPAMANGPSGVVAETFSAPPRKAANQPQENSSVGSSEFESAPSPVNTISKPRIAFGSGDMSGEPAASSSDCSMSTAPAPAPASSSAICFSSSDPVLVPSTDSLLLNPLDTIKLESNRASTEPNAVIPTENKLASEAAEINSSFLQGKMPNKSSGVVKNPPGESAHPSSTVTHGSSASRPSSNYSSRSQQIIGPQKVGSNKEWKPKPVSCNVGLGSGVATASEVPTVSLEINARSLPVSSVLDSEVATSKLQMKLEELHLPQRQHVIIPNHIHVPESERTKLSFGSFDASFGVALNYVGGQESDKSSTPLSEASQDADETAEEHGSSNQNALATAEEGDYADRPQSPAHAPENLSGDIASSIPESDENKQENTLLSGGNQHSAVTSPNYSFGVIPPMLASFGNAESQAREVSRLSSFVVPQPFDPATYYAQFYRSCVDNDGRVSPFASSGVAAKYNGNVSVLPPQTSQSQEGGNSLVLTTTSSSPVVTQAAGMMQSSLAVTQQPVPVYRSAAGVHLPHYPPNYIQYAPFYSPFYVPSPAIHQFINNGVFPQQPQAGTVYPSVPAAPTTGVKYSLPQFKPGSNTPSSIHIGMPSGYGPYGSSPAGYNPSSAVATGNSTTNEDLGGAQFKESNVYVTGQQSEGSAVWIAPPGRDISSLPASSFYNLAPQGQNVTFAPTQVVPGSFAGIYHPQAATAAAVHPLLQQAQTMAGAVNMGGSAAGVYRQPQHAQMNWPSNY >KJB06118 pep chromosome:Graimondii2_0_v6:1:11052258:11060808:-1 gene:B456_001G0984002 transcript:KJB06118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGFRVSSIPNSVRKTIQNIKEITGNHSEDEIYAMLKECSMDPNETAQRLLLQDPFREVKRKRDRKKEVLNNKESAESRWRPGSQGRGSRGGWGNFPRYAAHEAGGSKSSGPGRDNGMNQVAEKGSGQSLSSLQESKTKESTLVASPVPAMANGPSGVVAETFSAPPRKAANQPQENSSVGSSEFESAPSPVNTISKPRIAFGSGDMSGEPAASSSDCSMSTAPAPAPASSSAICFSSSDPVLVPSTDSLLLNPLDTIKLESNRASTEPNAVIPTENKLASEAAEINSSFLQGKMPNKSSGVVKNPPGESAHPSSTVTHGSSASRPSSNYSSRSQQIIGPQKVGSNKEWKPKPVSCNVGLGSGVATASEVPTVSLEINARSLPVSSVLDSEVATSKLQMKLEELHLPQRQHVIIPNHIHVPESERTKLSFGSFDASFGVALNYVGGQESDKSSTPLSEASQDADETAEEHGSSNQNALATAEEGDYADRPQSPAHAPENLSGDIASSIPESDENKQENTLLSGGNQHSAVTSPNYSFGVIPPMLASFGNAESQAREVSRLSSFVPFDPATYYAQFYRSCVDNDGRVSPFASSGVAAKYNGNVSVLPPQTSQSQEGGNSLVLTTTSSSPVVTQAAGMMQSSLAVTQQPVPVYRSAAGVHLPHYPPNYIQYAPFYSPFYVPSPAIHQFINNGVFPQQPQAGTVYPSVPAAPTTGVKYSLPQFKPGSNTPSSIHIGMPSGYGPYGSSPAGYNPSSAVATGNSTTNEDLGGAQFKESNVYVTGQQSEGSAVWIAPPGRDISSLPASSFYNLAPQGQNVTFAPTQVVPGSFAGIYHPQAATAAAVHPLLQQAQTMAGAVNMGGSAAGVYRQPQHAQMNWPSNY >KJB09087 pep chromosome:Graimondii2_0_v6:1:15289446:15293068:-1 gene:B456_001G122400 transcript:KJB09087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSWSRISSRISLLNHSKNLRILSHESSQRHYASHASHASTERKSKKTLLYLTALVFAMVGSSYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHEKDGTVATREIVVQFNADVADGMPWKFVPTQREVRVKPGESALAFYTAENRSSKPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDARMDGINNLILSYTFFKVSEE >KJB09090 pep chromosome:Graimondii2_0_v6:1:15290426:15292298:-1 gene:B456_001G122400 transcript:KJB09090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSWSRISSRISLLNHSKNLRILSHESRFLPDSLYSSYKFFKASSGCGNNGLMPRCEFSTKPLTRSFDFHPYGSRCLVRLSSFSSQRHYASHASHASTERKSKKTLLYLTALVFAMVGSSYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHEKDGTVATR >KJB09085 pep chromosome:Graimondii2_0_v6:1:15289428:15293080:-1 gene:B456_001G122400 transcript:KJB09085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSWSRISSRISLLNHSKNLRILSHESRFLPDSLYSSYKFFKASSGCGNNGLMPRCEFSTKPLTRSFDFHPYGSRCLVRLSSFSSQRHYASHASHASTERKSKKTLLYLTALVFAMVGSSYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHEKDGTVATREIVVQFNADVADGMPWKFVPTQREVRVKPGESALAFYTAENRSSKPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDARMDGINNLILSYTFFKVSEE >KJB09089 pep chromosome:Graimondii2_0_v6:1:15289462:15293068:-1 gene:B456_001G122400 transcript:KJB09089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSWSRISSRISLLNHSKNLRILSHESRFLPDSLYSSYKFFKASSGCGNNGLMPRCEFSTKPLTRSFDFHPYGSRCLVRLSSFSSQRHYASHASHASTERKSKKTLLYLTALVFAMVGSSYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHEKDGTVATREIVVQFNADVADGMPWKFVPTQREVRVKPGESALAFYTAENRSSKPITGVSTYNVTPMKVFFYIDPEFETDARMDGINNLILSYTFFKVSEE >KJB09086 pep chromosome:Graimondii2_0_v6:1:15290426:15292298:-1 gene:B456_001G122400 transcript:KJB09086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSWSRISSRISLLNHSKNLRILSHESRFLPDSLYSSYKFFKASSGCGNNGLMPRCEFSTKPLTRSFDFHPYGSRCLVRLSSFSSQRHYASHASHASTERKSKKTLLYLTALVFAMVGSSYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHEKDGTVATREIVVQFNADVADGMPWKFVPTQREVLNFSVVFGHCRVLLLSIISLFFYFSAR >KJB09088 pep chromosome:Graimondii2_0_v6:1:15289858:15292298:-1 gene:B456_001G122400 transcript:KJB09088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSWSRISSRISLLNHSKNLRILSHESRFLPDSLYSSYKFFKASSGCGNNGLMPRCEFSTKPLTRSFDFHPYGSRCLVRLSSFSSQRHYASHASHASTERKSKKTLLYLTALVFAMVGSSYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHEKDGTVATREIVVQFNADVADGMPWKFVPTQREVRVKPGESALAFYTAENRSSKPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVSLFTVEKAKLVGRIVN >KJB09091 pep chromosome:Graimondii2_0_v6:1:15289462:15293068:-1 gene:B456_001G122400 transcript:KJB09091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSWSRISSRISLLNHSKNLRILSHESRFLPDSLYSSYKFFKASSGCGNNGLMPRCEFSTKPLTRSFDFHPYGSRCLVRLSSFSSQRHYASHASHASTERKSKKTLLYLTALVFAMVGSSYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHEKDGTVATR >KJB08659 pep chromosome:Graimondii2_0_v6:1:10730549:10732036:1 gene:B456_001G096300 transcript:KJB08659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFPVINLEKLNGERAATMDLIKDACENWGFFEVLNHGIPYEFMDRVESLTKEHYKKCMEQRFKELVASKALEGLQAEVTDMDWESTFYLRHLPESNMAEIPDLTDEYRKVMKEFALKLEKLAEELLDLFCENLGLEKGYLKKAFYGAKGPTFGTKVSNYPPCPTPDKIKGLRAHTDAGGIILLFQDPQVGGLQLLKDGEWVDVPPLRHSIVINLGDQLEVITNGKYKSVEHRVIAQTDGARMSIASFYNPGSDAVIYPAPALVEKEEEEEEEKKGLYPKFVFEDYMKLYGVLKFQAKEPRFEAMKAREATA >KJB08359 pep chromosome:Graimondii2_0_v6:1:8152159:8153346:-1 gene:B456_001G078700 transcript:KJB08359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQRGEMENSYDSIGSKSKDVSLKDLSIRLAEFAQVRGWDEYHSPRNLLLALVGEVGELSEIFQWKGEVGKGLPNWSADEKEHLEDELSDVLLYLVRLADVCGLDLGQAALTKIIKNARKYPVVVMNQKSKSTYN >KJB08415 pep chromosome:Graimondii2_0_v6:1:8573068:8574107:1 gene:B456_001G080900 transcript:KJB08415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLKGRPNQLPDFLWYTRPINLEKFSKGLLIFSSQNDVTSFYVYLYVLTSIVGFMSSMGRGPFIFLID >KJB07401 pep chromosome:Graimondii2_0_v6:1:1870218:1876988:-1 gene:B456_001G020200 transcript:KJB07401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIWCCLWFIDDEEEGNRPGGNMKEGFLANVDGFEGNIVNGDDDDEREEREEGEEEEAPVSAAAAAQFSLTLNMRRQGEERSLLFEEMVSTMRCDGSWDDATWRPLNWCSRVSEGETSASASTAVEDCDNHDSYHKRAKVYSGFHENTSCPSTGRDYNISQGSSNSSNNGLFDHNFILNDGSDGHPFDGNGGIDEKHEGGLRAEDFEIRMDLTDDLLHMVFSFLDHCNLCRAAMVCRQWRAASAHEDFWRCLNFENRNISLEQFEDMCQRYPNATEVNLSGTPNMHLLVMRAVSSLRNIEALTLGRGPLGDVFFHALVECSMLRSLDVNDAILGNGVQEIPINHDRLCDLKVTKCRVMRISIRCPQLKSLSLKRSNMAQVALNCPLLNLLDISACHKLTDAAIRSAVTSCPQLESLDMSNCSCVSDETLREIAHSCANLHVLNSSYCPNISLESVRLPMLTVLKLDNCEGITSASMAAIAHSYMLEELELDNCHMLTSVSLDLPRLQKIRLVHCRKFADLNVKCSMLSSVMVSNCTALHRISISSNSLQKLALQKQENLTMLALQCQCLQEVDLTDCASLTNSICNVFSDGGGCPMLKSLVLDNCESLTEVQLSSTSLVSLSLVGCRAITTLDLACPCLEKICLDGCDHLGSASFCPAALRSLNLGICPKLNTLRIDAPCMVSLELKGCGVLSEASINCPLLTSLDASFCSQLKDDCLSATTASCPLIESLILMSCPSIGSDGLFSLRWLPNLTTLDLSYTFLTNLQPVFESCLQLKVLKLQACKYLADSSLEPLYKEGALQELRDLDLSYGTLCQSAIEELLAYCTHLTHVSLNGCINMHDLNWGSTGGGFESLNGSSMFPFENVNESIEQPNRLLQNLNCVGCPNIRKVLIPPAARCFHLSSLNLSLSVNLKEVDLACYSLSFLNLSNCCSLEILKLGCPRLTSLFLQSCNIEEETVETAISQCSMLETLDVRFCPKICSMNMGRLRAVCPSLKRIFSSLSSV >KJB07400 pep chromosome:Graimondii2_0_v6:1:1869374:1876498:-1 gene:B456_001G020200 transcript:KJB07400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIWCCLWFIDDEEEGNRPGGNMKEGFLANVDGFEGNIVNGDDDDEREEREEGEEEEAPVSAAAAAQFSLTLNMRRQGEERSLLFEEMVSTMRCDGSWDDATWRPLNWCSRVSEGETSASASTAVEDCDNHDSYHKRAKVYSGFHENTSCPSTGRDYNISQGSSNSSNNGLFDHNFILNDGSDGHPFDGNGGIDEKHEGGLRAEDFEIRMDLTDDLLHMVFSFLDHCNLCRAAMVCRQWRAASAHEDFWRCLNFENRNISLEQFEDMCQRYPNATEVNLSGTPNMHLLVMRAVSSLRNIEALTLGRGPLGDVFFHALVECSMLRSLDVNDAILGNGVQEIPINHDRLCDLKVTKCRVMRISIRCPQLKSLSLKRSNMAQVALNCPLLNLLDISACHKLTDAAIRSAVTSCPQLESLDMSNCSCVSDETLREIAHSCANLHVLNSSYCPNISLESVRLPMLTVLKLDNCEGITSASMAAIAHSYMLEELELDNCHMLTSVSLDLPRLQKIRLVHCRKFADLNVKCSMLSSVMVSNCTALHRISISSNSLQKLALQKQENLTMLALQCQCLQEVDLTDCASLTNSICNVFSDGGGCPMLKSLVLDNCESLTEVQLSSTSLVSLSLVGCRAITTLDLACPCLEKICLDGCDHLGSASFCPAALRSLNLGICPKLNTLRIDAPCMVSLELKGCGVLSEASINCPLLTSLDASFCSQLKDDCLSATTASCPLIESLILMSCPSIGSDGLFSLRWLPNLTTLDLSYTFLTNLQPVFESCLQLKVLKLQACKYLADSSLEPLYKEGALQELRDLDLSYGTLCQSAIEELLAYCTHLTHVSLNGCINMHDLNWGSTGGGFESLNGSSMFPFENVNESIEQPNRLLQNLNCVGCPNIRKVLIPPAARCFHLSSLNLSLSVNLKEVDLACYSLSFLNLSNCCSLEILKLGCPRLTSLFLQSCNIEEETVETAISQCSMLETLDVRFCPKICSMNMGRLRAVCPSLKRIFSSLSSV >KJB09584 pep chromosome:Graimondii2_0_v6:1:21768527:21769466:1 gene:B456_001G155100 transcript:KJB09584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSAASATKAYLETLQLWKTREPRSNEFISALAARMKSKLIVEVKPRVSPSTLALATAAKHTGAKFVCILPEAALPEVKRESKDLGLTDVIDFSLVDCKNGDYPKLPNTIKVNPKRAVVVATNLSDDKQGLGAHIVGKKNKVTVRSMKHPIGKGMEITTIGKTNTSERHDQGGGGHFGTKWIVKVDEESGEEHIFRVPGYS >KJB08544 pep chromosome:Graimondii2_0_v6:1:9552826:9556410:1 gene:B456_001G088100 transcript:KJB08544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLKPRSILLISAIFRVGLILFGEWQDTHMEVRYTDVDYLVFSDAASLMASGQSPYKRTTYRYSPLLAFLLIPNSFTSRCWGKFLFSASDLFVGLFIRIILKQRKVPDDLCTYSMLIWLFNPFAFTIGTRGNCEPIVCAMILWIIICLNNGNVVQAAFWYGLIVHFRIYPIIYALPIIIFLDPRFFRSGTKPLLSDWTSDQGKAHQINSELTDKCVVCDALKSTFTRSRILFGLISGTVFLLCTGFFFRLYGWEFLHEALLYHLTRTDPRHNFSIYFYHIYLNYERELSVLEKLISFLPQFIVQLVLILCFAKDLVFCFFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWSNMKLKWKGLCCILLWMGAQTHWLLWGYLLEFKGKNVFLQLWMASLLFLAANTFILIVLIRHHNYGPVFRQHTDLKTTKTT >KJB08946 pep chromosome:Graimondii2_0_v6:1:13512518:13514281:1 gene:B456_001G115100 transcript:KJB08946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELENPPFGFTLAAAATFAYAIWFYLLAKRLSGPRVWPLVGSLPFLFMNRRRMHDWIASNLRATGGSATYQTCTIAVPFLARKQGFYTVTCHPKNIEHILRTRFDNYPKGPHWQAAFHDLLGQGIFNSDGESWLIQRKTAALEFTTRTLRQAMGRWVNRTIKNRLWCILDKASNEKKAVDLQDLLLRLTFDNICGLTFGKDPQTLSHELPDNPFATAFDTATEATLNRLLYPGLLWRLKKILGIGAEKRLKSSLRIVENYMNEAIEARKEAPLDDLLSRFMKKKDAGGNLFTSTVLQGIALNFVLAGRDTSSVALSWFFWLVMNHPEIEQKIIDEISRVLRNTRGPDTKKWMEEPLMFDEADKLIYLKAALAETLRLYPSVPQDFKYVVEDDVLPDGTLVPAGSTVTYSIYSVGRMKSIWGEDCMEFKPERWLSAEGDKFEAPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVASAVLLRYRVSLVPGHRVEQKMSLTLFMKKGLRVYLQPRLLA >KJB10561 pep chromosome:Graimondii2_0_v6:1:40874823:40875813:-1 gene:B456_001G207500 transcript:KJB10561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGWEAPKIGLRKGPWTPQEDKLLTEYVNMHGEGRWSSVARSSGLNRSGKSCRLRWVNYLRPGLKRGQITPQEEGIIIELHALWGNKWSTIARYLPGRTDNEIKNYWRTHYKKKEKSTLKQQKRKAEILKLKQQQQQEKPDKDEGEDGKVNSEAVEITNHQSEGKQQMVFMYPSSEDQCLAMMSQEPANAASWIDQYLVDEGLWSGLWNLDDDHHQPGNCCNNIAMQSQADTDYNNSFGGMQATCTMEGTFSREP >KJB06458 pep chromosome:Graimondii2_0_v6:1:4095371:4096230:-1 gene:B456_001G043200 transcript:KJB06458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQRVMVIQDASKQVCSSAIKWALHTLILKPGDLLLLLGVLHQVNHPTPVVSLKGTRKLVGYRKKMGFSSKFAANHTIVDRETRKEEFENNAEILEISKLCKAQKVEFRIEVTSGASPAVVAIQSAQNVKATWVILDRKMKKNKKIFLEKLPCGISRMKKNNGIKLLRGPRTKFCLTYDDMIPGNPEEDDLFSIEVFPTCKTISDKPV >KJB06459 pep chromosome:Graimondii2_0_v6:1:4095341:4096240:-1 gene:B456_001G043200 transcript:KJB06459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQRVMVIQDASKQVCSSAIKWALHTLILKPGDLLLLLGVLHQVNHPTPVVSLKGTRKLVGYRKKMGFSSKFAANHTIVDRETRKEEFENNAEILEISKLCKAQKEDEEKQENLSGKASMWDIKDEEKQWHQVIERTKNKILFNL >KJB11745 pep chromosome:Graimondii2_0_v6:1:55285085:55289382:-1 gene:B456_001G275400 transcript:KJB11745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTQSVQAVEEEHEESPDDDEDDDEDEEEDSNQGGPNMRQLENNHLVKKVLEQEPEMLPCYASATPLSPQLSSLGTPRMGPSIKVWDPYNVLAPPPPLPPPPVFSPSFSFHHDRLLLEVYFICHGESDLNLRPDIVGGRCDGAALTSNGKRQARALAVFLNSQGVTFNAVYCSPLDRARSMALSVCQEMNFAVSEIQSSDALMDLNMGHWEGCPRSEIYTPEVLSLMERYQPDFSAPSGESLRQLEFRMVQFLNGTVLGLPEKFRSEFSLHQNESQGFTHHNNPALANSIHDRDVASLQLPHWDRQRHVLSRKKSGKSRLQFVSNIGEHDADDEMSPREASHQPDLHDLNVRSSSSPSTSLASTSVGVFTHSLPIKCLITGLLECSPVMSHKVCIEDSSVTVLQHSWKTGWQIKRLNDTAHLRLL >KJB11746 pep chromosome:Graimondii2_0_v6:1:55285831:55289339:-1 gene:B456_001G275400 transcript:KJB11746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTQSVQAVEEEHEESPDDDEDDDEDEEEDSNQGGPNMRQLENNHLVKKVLEQEPEMLPCYASATPLSPQLSSLGTPRMGPSIKVWDPYNVLAPPPPLPPPPVFSPSFSFHHDRLLLEVYFICHGESDLNLRPDIVGGRCDGAALTSNGKRQARALAVFLNSQGVTFNAVYCSPLDRARSMALSVCQMNFAVSEIQSSDALMDLNMGHWEGCPRSEIYTPEVLSLMERYQPDFSAPSGESLRQLEFRMVQFLNGTVLGLPEKFRSEFSLHQNESQGFTHHNNPALANSIHDRDVASLQLPHWDRQRHVLSRKKSGKSRLQFVSNIGEHDADDEMSPREASHQPDLHDLNVRSSSSPSTSLASTSVGVFTHSLPIKCLITGLLECSPVMSHKVCIEDSSVTVLQHSWKTGWQIKRLNDTAHLRLL >KJB10862 pep chromosome:Graimondii2_0_v6:1:46562301:46563586:-1 gene:B456_001G229400 transcript:KJB10862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLFTPLLLPLFCLCLTLISPTHSTDCSSLKLSGGKKQYSNCTHLPTLNATLHFTYNATNSSLSIAFSAPPAKSGGWIAWAVNPTGTGMAGSQALLAFKNNGSMVVKTYNISSYSSIVEGKLSFDVWDLEAEAGSDGKMVLYGSLKVEESAEKLNQVWQVGPGIADGHPMKHEFDKANLGSVGELQLVEKLTPSSPSPSPQAFRVT >KJB08121 pep chromosome:Graimondii2_0_v6:1:6742008:6745670:1 gene:B456_001G067400 transcript:KJB08121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPLSPLIPTPLTTHNCCGSAMASQTYISLDFHTCVFKKEKVSLAGHHEYIVRGGRDLFTLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLADAKSNIIVKVGLRKGSPSFAEARAAGFSEENGTLGDMWETISGSDLVLLLISDAAQADNHEKIFSHMKPNSILGLSHGFLLGHLQSMGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFGVHQDFDGRATDVALGWSVALGSPFTFVTTLEQEYKSDIFGERGILLGAVHGIVECLFRRYTENGMSEDLAYKNTVECITGIISKTISTKGILAVYNSLSEEGKREFEIAYSASYHPCMDILYECYEDVASGSEIRSVVLAAQRFYEKDGLPAFPMGKIDQTRMWKVGERVRKARPSGDLGPLYPFTAGVYVALMMAQV >KJB08120 pep chromosome:Graimondii2_0_v6:1:6741809:6745807:1 gene:B456_001G067400 transcript:KJB08120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQTYISLDFHTCVFKKEKVSLAGHHEYIVRGGRDLFTLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLADAKSNIIVKVGLRKGSPSFAEARAAGFSEENGTLGDMWETISGSDLVLLLISDAAQADNHEKIFSHMKPNSILGLSHGFLLGHLQSMGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFGVHQDFDGRATDVALGWSVALGSPFTFVTTLEQEYKSDIFGERGILLGAVHGIVECLFRRYTENGMSEDLAYKNTVECITGIISKTISTKGILAVYNSLSEEGKREFEIAYSASYHPCMDILYECYEDVASGSEIRSVVLAAQRFYEKDGLPAFPMGKIDQTRMWKVGERVRKARPSGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVTVDNGTPINQDLLSNFLSDPVHGAIEVCAQMRPTVDISVHPDADFVRPELRQSGN >KJB08122 pep chromosome:Graimondii2_0_v6:1:6742185:6745670:1 gene:B456_001G067400 transcript:KJB08122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWETISGSDLVLLLISDAAQADNHEKIFSHMKPNSILGLSHGFLLGHLQSMGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFGVHQDFDGRATDVALGWSVALGSPFTFVTTLEQEYKSDIFGERGILLGAVHGIVECLFRRYTENGMSEDLAYKNTVECITGIISKTISTKGILAVYNSLSEEGKREFEIAYSASYHPCMDILYECYEDVASGSEIRSVVLAAQRFYEKDGLPAFPMGKIDQTRMWKVGERVRKARPSGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVTVDNGTPINQDLLSNFLSDPVHGAIEVCAQMRPTVDISVHPDADFVRPELRQSGN >KJB07051 pep chromosome:Graimondii2_0_v6:1:42244138:42246441:-1 gene:B456_001G211800 transcript:KJB07051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNLIRMAKEVEKLRAEVLRAEKKMHGTVPYAGGYMNPDPSYAPPFQGGTTYSDGYSRPVMQTGLEPVEGLIPFGNSTNVPAAIAATGSRTVPSSVWRAPYNPSLAQR >KJB11147 pep chromosome:Graimondii2_0_v6:1:48370495:48374961:-1 gene:B456_001G243000 transcript:KJB11147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHPQPHISSSTSTSFPAAAAFDFKPAKRRGSYNCGRCGLPKKGHVCHLYSSTNPTSISTPTSSPSAVTVENSSSASRPPHAPPIRQSYTHLRRALSFDDIETRADSPERDLDGSNSPYPVTDLDPDNEMISGGLPAGCLWEVLRRLPPAGLLAAASVCKGWRETTKRLWRAAEELRLMVPPRGQLRFIGSVLKKCPSLVRMSLKMESDVDATMLACIAFSCPNLESMEISTSNTAVNRITGDELGRFVADKRCLTSLKMEGCSNLGGFVLSSSSLSTLWLSDLYSLSKMVFNCPNLKEISLEFSRQENDTTDLTTMADGMGRSCPRLKNIHIASVRLSHAVVLSLTAANLRGLRMLSLVLGSEITDASVAAIASSYSKLELLDLSGSSISDSGIGMICNVFPNTLSRLLLALCPNITSSGIQFATAQLPLLELMDCGMTVCDPDSQNSPCDESGDNELPNALNNKLYLMYQKLIIKHSRLKKLSLWGCSGLDALCLNCPELNDLNLISCKNLHPERLLLQCPSLQNVHASGCQESLIGAIKGQVSDSLAYLENQFQCKRLADGSKRVRAPDCLSQEAIDDKKRRKVVEQQCKVLVD >KJB07912 pep chromosome:Graimondii2_0_v6:1:4966174:4967405:-1 gene:B456_001G052300 transcript:KJB07912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAMSASLQRACSSHHVTKKQHAQTKPAYSLGTKQAIDAVTIDVEGQKGFKIDEKDKPSPQIKNSEGLEDKSANKFEIESSARKFSDERWKNGTWDLNMFVRNGRMDWDSVIVAEAKRRKYLEMYPETCSNQEPVQFRSSIIPWWAWFMRTHLPEAELLNGRAAMIGFFSAYVVDGLTGMDLIGQTGNFICKTALFMTVIGIVLLRKTRDFDNLRKLADEVTYYDKQWQASWKDETASSSDKTGNS >KJB07911 pep chromosome:Graimondii2_0_v6:1:4965995:4967559:-1 gene:B456_001G052300 transcript:KJB07911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAMSASLQRACSSHHVTKKQHAQTKPAYSLGTKQAIDAVTIDVEGQKGFKIDEKDKPSPQIKNSEGLEDKSANKFEIESSARKFSDERWKNGTWDLNMFVRNGRMDWDSVIVAAKRRKYLEMYPETCSNQEPVQFRSSIIPWWAWFMRTHLPEAELLNGRAAMIGFFSAYVVDGLTGMDLIGQTGNFICKTALFMTVIGIVLLRKTRDFDNLRKLADEVTYYDKQWQASWKDETASSSDKTGNS >KJB11689 pep chromosome:Graimondii2_0_v6:1:54888276:54889866:-1 gene:B456_001G272000 transcript:KJB11689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKLKERIQAFVNNRWLVFVAAIWIQSCAGIGYVFGSLSPVIKRSLNYNQRQLSKLGVAKDLGDSVGFLAGSLSEILPLWGALLVGALQNLIGYGWVWLIVTGRAPVLPLWAMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMINFPDQAALIFMVAVGPAMVVVALMFIIRPVGGHRQVRPSDGFSFTFIYSVCLLLAAYLMGVMLLEDLVDVSHTLIIIFTVILFLLLVAPVVIPVKLSFSDEPKDLAVEEVLLPKPEQQEAGKSEQDEVIFSEVEDEKPKEVDLLPATERHKRIAQLQAKLCQAAAEGAVRVKRRRGPHRGEDFTLMQALIKADLWLIFFSLLLASGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRVGGGYFSEIIVRYLPILLD >KJB11691 pep chromosome:Graimondii2_0_v6:1:54887265:54889933:-1 gene:B456_001G272000 transcript:KJB11691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKLKERIQAFVNNRWLVFVAAIWIQSCAGIGYVFGSLSPVIKRSLNYNQRQLSKLGVAKDLGDSVGFLAGSLSEILPLWGALLVGALQNLIGYGWVWLIVTGRAPVLPLWAMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMINFPDQAALIFMVAVGPAMVVVALMFIIRPVGGHRQVRPSDGFSFTFIYSVCLLLAAYLMGVMLLEDLVDVSHTLIIIFTVILFLLLVAPVVIPVKLSFSDEPKDLAVEEVLLPKPEQQEAGKSEQDEVIFSEVEDEKPKEVDLLPATERHKRIAQLQAKLCQAAAEGAVRVKRRRGPHRGEDFTLMQALIKADLWLIFFSLLLASGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRVGGGYFSEIIVRVTHLLRCNC >KJB11690 pep chromosome:Graimondii2_0_v6:1:54887265:54889933:-1 gene:B456_001G272000 transcript:KJB11690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMINFPDQAALIFMVAVGPAMVVVALMFIIRPVGGHRQVRPSDGFSFTFIYSVCLLLAAYLMGVMLLEDLVDVSHTLIIIFTVILFLLLVAPVVIPVKLSFSDEPKDLAVEEVLLPKPEQQEAGKSEQDEVIFSEVEDEKPKEVDLLPATERHKRIAQLQAKLCQAAAEGAVRVKRRRGPHRGEDFTLMQALIKADLWLIFFSLLLASGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRVGGGYFSEIIVRDYAYPRPIAMAVSQLVMAVGHVFFAMGWPGAMYIGTLLIGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLIFSGVIASSIYDREAEKQAHQHHIPPQISGSIFSGMFAVDEPLKCEGSICFFLTSMIMSGFCIIAGVLSLILVYRTKTVYANIYGKSRT >KJB11688 pep chromosome:Graimondii2_0_v6:1:54887262:54890083:-1 gene:B456_001G272000 transcript:KJB11688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKLKERIQAFVNNRWLVFVAAIWIQSCAGIGYVFGSLSPVIKRSLNYNQRQLSKLGVAKDLGDSVGFLAGSLSEILPLWGALLVGALQNLIGYGWVWLIVTGRAPVLPLWAMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMINFPDQAALIFMVAVGPAMVVVALMFIIRPVGGHRQVRPSDGFSFTFIYSVCLLLAAYLMGVMLLEDLVDVSHTLIIIFTVILFLLLVAPVVIPVKLSFSDEPKDLAVEEVLLPKPEQQEAGKSEQDEVIFSEVEDEKPKEVDLLPATERHKRIAQLQAKLCQAAAEGAVRVKRRRGPHRGEDFTLMQALIKADLWLIFFSLLLASGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRVGGGYFSEIIVRDYAYPRPIAMAVSQLVMAVGHVFFAMGWPGAMYIGTLLIGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLIFSGVIASSIYDREAEKQAHQHHIPPQISGSIFSGMFAVDEPLKCEGSICFFLTSMIMSGFCIIAGVLSLILVYRTKTVYANIYGKSRT >KJB07192 pep chromosome:Graimondii2_0_v6:1:597704:602251:-1 gene:B456_001G006700 transcript:KJB07192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLSLKMVLFVHVLVVLGFGINGVYGLLSSSTLRNIDSINMEGPYLGIIVPNSFEMNPLLQTGSFLEDHKMPYLDFAGRRFRIGRLENERVIIVMTGLSMLNAGIATQLLITLFKVKGILHYGIAGNANPQLQIGDVTIPQFWAHTGLWNWQRYGDGPEDELALESNGDYTREIGYLRFSDYYNGTNCNNSSDNLLNNVWYQPEEIFPRNGVPEQRQHAFWVPVNKHYFAIAERVQGLRLGGCVNGTCLPRPPRVVRVQRGISSNIFVDNKAYREFLNSKFNATAIDMETAAVALVCHQQNMPFIAFRSLSDLAGGGSALSNEAASFATLAAQNAVDVLLRFISLLSS >KJB07332 pep chromosome:Graimondii2_0_v6:1:1505357:1507023:1 gene:B456_001G016100 transcript:KJB07332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MLYLPGFSANVVLEGACERVIVGNLYCDIPLGLYVIRGENVVLIGELDLEKEELPSHMTAVSAAEIKRAQKAEREATDLKGSMRKRMEFLDFD >KJB07331 pep chromosome:Graimondii2_0_v6:1:1504123:1507025:1 gene:B456_001G016100 transcript:KJB07331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MSWAGPDDIFLSTSLATYLDKKLLVLLRDGRKLLGLLRSFDQFANVVLEGACERVIVGNLYCDIPLGLYVIRGENVVLIGELDLEKEELPSHMTAVSAAEIKRAQKAEREATDLKGSMRKRMEFLDFD >KJB06852 pep chromosome:Graimondii2_0_v6:1:1517856:1520450:-1 gene:B456_001G016400 transcript:KJB06852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSMASTSLSSFSIIRIQPDKLFSRKVTTLLRGETRTKATKGGVSSVCEPLPPDRPLWFPGSTPPEWLDGSLPGDFGFDPLGLGSDPETLKWFAQAELMHARWAMLAVAGILIPELLESLGFIDNFSWYDAGSKQYFADPTTLFVVQMALMGWVEGRRWADIIKPGSVDIEPNLPNKKKPTPDVGYPGGLWFDPLMWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFCFQAIYTGEGPIENLMAHIADPGHCNVFSAFTTH >KJB08000 pep chromosome:Graimondii2_0_v6:1:5729361:5729935:1 gene:B456_001G058000 transcript:KJB08000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRPNLVISGGEPYAEDGWRNLRIGNTYFSVTCVEEKAVLSQEAYILLYAKQGIPCFSIVIEVQKPCADPGNSDSSPKS >KJB08760 pep chromosome:Graimondii2_0_v6:1:11445289:11446367:1 gene:B456_001G102200 transcript:KJB08760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQQAQVKFTAVKPQLMVKTPKAVDAVNFYKSAFGAVEAGRSACCPPPTAEQNLPNILCAQLELAGCSFVVYNDGSAPQKIEGIGNQLCLETDDVEAAISKAVSAGAVVEGEVTEGGGACCGGRVGKVKDPYGYVWLICSPSNKCAPVEA >KJB09662 pep chromosome:Graimondii2_0_v6:1:21811949:21819092:-1 gene:B456_001G155400 transcript:KJB09662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEYEGWAAQQPPIGLLPNGLLPNEAASVIRVLDSERWMKAEERTADLIACIQPDSPSESRRNAVADYVQRLIARCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQILKDTWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDLLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVYNKSFSGPLEVLYRFLEFFSKFDWENFCVSLWGPVPIRSLPDISAEPPRKDGGELLLSKYFLDTCSSRYAVCQENQGQPFTSKHFNVIDPLRLNNNLGRSVSKGNFFRIRSAFAFGAKKLARLLDCPKEDLEGHLDDNRGNDVFFDERTTSSRSMTASHTSSLRSKTSSESSWEGSSAKVCKPAWEKRGRKLSSSAVPSATCGKGKSISDYSSQAEDNSRDWNPPSIVSSDMAERTIEPQPVCSLPVPRHQISGFETALTSGSDPLIPITPFLLGPGSGQRTMDNSGVPPLAFTITGPPVPFVLCPVYNIPAETGAPDASTSHFGWDEGLDNNDSCQNFESSEGLDQSEVLSTSSSMRNASSLEPVERKSDILNGDIASHWQNLQYGRFCQNSQYPPPLIYPSPVVVPPVYLQGHFPWDGPGRPPPSNVNLFSQLMNYGPRIISAPHQSVSNRPASVYQQHADEMPRYCSGTGTYMPNPKVSIRERHSANTRRGKYNYDRNDHHGDRDGNWIANSKSQAAGYSHSCNQNEKSRFTCDQLGAVADDSRAERPWGSHRHDSFSSYQSHNGPVCANSSQGSSASMQYDMYPLPAMKTSGASSNGPTIPSVVMLYPYDHNSGYGSSDEQLEFGSLGPVDFSGMNEEASQASDGSNPGGVYDKQRFHGTSAQQSSPDQPSSPHLQRGL >KJB09663 pep chromosome:Graimondii2_0_v6:1:21812429:21818523:-1 gene:B456_001G155400 transcript:KJB09663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEYEGWAAQQPPIGLLPNGLLPNEAASVIRVLDSERWMKAEERTADLIACIQPDSPSESRRNAVADYVQRLIARCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQILKDTWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDLLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVYNKSFSGPLEVLYRFLEFFSKFDWENFCVSLWGPVPIRSLPDISAEPPRKDGGELLLSKYFLDTCSSRYAVCQENQGQPFTSKHFNVIDPLRLNNNLGRSVSKGNFFRIRSAFAFGAKKLARLLDCPKEDLYYEVNQFFMNTWERHGSGQRPDAPRNDLRCLRLSNLKHTHGSKNIRNNSSSKGNDMSSQHETQAERVQGSFGVSSQHVNYPLESTSKISDVSAVSRTQSQRSHGSKSNSKTSDQVRWDSNSNQNVHNGEVISNQRQNRMPESGKTQIASMRSNNDGGKNMESGLAERHNIKSSSDDPSSIRQASSHQSIDAAADPNSLPNSFQDDFGLGTMSVQGMHQEEQDLLNMMAFSTAHGFNGQVPVPLNLATGHLPFPFQSLPMGYNQRNLGGILPSNIQMFPQGLVSSPLAHYFSSIGLTSNTEDPIEASSENFGSPEMNSGEVEHDSWQEQDRGSSGGFVDLDNGSIEMLQSDDKQLSTSAGYNFVQSSRVSSSSSSKTQQKFAKETRGSSREGHLDDNRGNDVFFDERTTSSRSMTASHTSSLRSKTSSESSWEGSSAKVCKPAWEKRGRKLSSSAVPSATCGKGKSISDYSSQAEDNSRDWNPPSIVSSDMAERTIEPQPVCSLPVPRHQISGFETALTSGSDPLIPITPFLLGPGSGQRTMDNSGVPPLAFTITGPPVPFVLCPVYNIPAETGAPDASTSHFGWDEGLDNNDSCQNFESSEGLDQSEVLSTSSSMRNASSLEPVERKSDILNGDIASHWQNLQYGRFCQNSQYPPPLIYPSPVVVPPVYLQGHFPWDGPGRPPPSNVNLFSQLMNYGPRIISAPHQSVSNRPASVYQQHADEMPRYCSGTGTYMPNPKVSIRERHSANTRRGKYNYDRNDHHGDRDGNWIANSKSQAAGYSHSCNQNEKSRFTCDQLGAVADDSRAERPWGSHRHDSFSSYQSHNGPVCANSSQGSSASMQYDMYPLPAMKTSGASSNGPTIPSVVMLYPYDHNSGYGSSDEQLEFGSLGPVDFSGMNEEASQASDGSNPGGVYDKQRFHGTSAQQSSPDQPSSPHLQR >KJB09661 pep chromosome:Graimondii2_0_v6:1:21811642:21815544:-1 gene:B456_001G155400 transcript:KJB09661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQEEQDLLNMMAFSTAHGFNGQVPVPLNLATGHLPFPFQSLPMGYNQRNLGGILPSNIQMFPQGLVSSPLAHYFSSIGLTSNTEDPIEASSENFGSPEMNSGEVEHDSWQEQDRGSSGGFVDLDNGSIEMLQSDDKQLSTSAGYNFVQSSRVSSSSSSKTQQKFAKETRGSSREGHLDDNRGNDVFFDERTTSSRSMTASHTSSLRSKTSSESSWEGSSAKVCKPAWEKRGRKLSSSAVPSATCGKGKSISDYSSQAEDNSRDWNPPSIVSSDMAERTIEPQPVCSLPVPRHQISGFETALTSGSDPLIPITPFLLGPGSGQRTMDNSGVPPLAFTITGPPVPFVLCPVYNIPAETGAPDASTSHFGWDEGLDNNDSCQNFESSEGLDQSEVLSTSSSMRNASSLEPVERKSDILNGDIASHWQNLQYGRFCQNSQYPPPLIYPSPVVVPPVYLQGHFPWDGPGRPPPSNVNLFSQLMNYGPRIISAPHQSVSNRPASVYQQHADEMPRYCSGTGTYMPNPKVSIRERHSANTRRGKYNYDRNDHHGDRDGNWIANSKSQAAGYSHSCNQNEKSRFTCDQLGAVADDSRAERPWGSHRHDSFSSYQSHNGPVCANSSQGSSASMQYDMYPLPAMKTSGASSNGPTIPSVVMLYPYDHNSGYGSSDEQLEFGSLGPVDFSGMNEEASQASDGSNPGGVYDKQRFHGTSAQQSSPDQPSSPHLQRGL >KJB10743 pep chromosome:Graimondii2_0_v6:1:44739786:44744339:-1 gene:B456_001G220500 transcript:KJB10743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSGSNINHQHSSKMLPPRQQPRPGSLQTSLSLVSSEHRLSPDAQEPRSNSDNVHESPTESASSQETWPTADAVTAKKMENGKIENDCPEQSVIRRVSSADKITLRDIARERVDVISEKMHRLPDEFLDELKNQLKAILEGNGGSQNREEFLILQKLVQSRSDLTAKTLIRAQRAQLEILVAINTGIQAFLHPNISLSQTSLIEVFVYKRCRNIACQNQLPADDCTCELCANRNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQICMGPSVKSGSGPTEMLFRCRACNRTSELFGWVKDVFQHCAPAWDREALMRELDFVSRIFRGSDDPRGKKLFWKCEDLLEKMRGGQAESTACRSILIFFQELDMDSPTSLENGEGGRLIAPQEACNRIADVVQEAIRKMEMVADEKMRMFKKARLALDACDRELEDKAKEVAELKLERQKKKLQVEELERIVRLKQAEADMFQLKANEAKREAERLQRIALAKSDKSEEEYASSYLKLRLSEAEAEKQYLFEKLKLQESSRASQSSSGGDPSLMYSKIRDMLHGYNIIPAKTESQPNERHGFRANP >KJB07112 pep chromosome:Graimondii2_0_v6:1:5786786:5787567:1 gene:B456_001G058700 transcript:KJB07112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAVRWSKRKKMPPEESQSRALPVSPSVQFSSTVASASLFFSKTGYKISLNKADSNGTRVYKVKYQVPAKKAYKLLKHARENPVCAPSTSLLQQSSCKLALRNLPQEVYQLKWDVVVVDGPIGDAPEAPGRMSTIYTASILARSGKTTDVVVHDVHRTIEKWFSWEFLCEENLVSAKGRFWNFRISNQSNDTRFCSSETVRIE >KJB08409 pep chromosome:Graimondii2_0_v6:1:8426847:8430042:1 gene:B456_001G080200 transcript:KJB08409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYMQEARENHVKKKVEEALRSKMKQKALKECDEYTSKYAQCAAGKTLSIVWQCRKQAKELNDCLHQFTNDAVLEEMKKEYMLQENHRGSARV >KJB09847 pep chromosome:Graimondii2_0_v6:1:24436104:24439073:-1 gene:B456_001G170600 transcript:KJB09847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETCDFLATKRYAVVSGGNKGIGLEICKQLASKGVTVVLTARDEKRGLQALDKLKESGLSHNLVFHQLDVTNPLSVASLAAFINTQFGKLDILVNNAAIAGANLNYEILTTAVEKASDWPVGEEVWNEIITSETYDMVQDCLKTNYYGMKAMVEALLPLLNLSDSARIVNVSSFLGLLEIMTCKWAKEVLSDVEKLSEERVELVLNKYLEDFRDGALKTNGWPTYIGPSTYIVSKAAMNAYTRILAKNYPTFCINCVHPGFVKTDITGSTGFYTPAQGAENVVRVALLPIGASSGLFYNCQQVSSF >KJB10627 pep chromosome:Graimondii2_0_v6:1:42340366:42342035:-1 gene:B456_001G212200 transcript:KJB10627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVIHQLTSKNVDKQLHSVIKGLKPKVYITDGSSFKHLVQELTGYRTLTGPIPDDVAKVTEIEKRGEMFPDFTTTLSTDSSLGCSFDLYDDQTFHLDDINQLTGLLQTDDRDMFDEMSNLSTNHQQMDWLEYRNLESRLLDDQEGSLFDYELLSGPPSDLSARKKKDEEQMKHREEKEKKRKEKKKGRKKEKKNKKLQIAIHIAAHDWSTLPSQQKVDGVRLGYQFS >KJB06949 pep chromosome:Graimondii2_0_v6:1:440694:441247:-1 gene:B456_001G004600 transcript:KJB06949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRNWCSSGCKTMCLSQDYHEVEPVNSPPSSSSSTTAGTSNKLKLLWVKFKKEKMKIFESPIRVPCYDPHTYSQNFDHGFTWDEPENLSRSFSVRFADPSSIFLRKTVVPV >KJB11599 pep chromosome:Graimondii2_0_v6:1:54483800:54487187:-1 gene:B456_001G267800 transcript:KJB11599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQLAKGEEFVKKAEKKLNGWGLFSSKYEDAADLFDKAANCFKLAKSWDKAGSTYVKLANCHLKSDSKHETAQAYVDAAHCYKKTATKEAISCLQQAVNLFCDIGRLSMAARYYKEIAELYESEQNIEQAMDYFEKAADFFQNEDVSSSANQCKQKVAQFAAQIEQYQKAIEIYEEIARQSLTNNLLKYGVKGHLLNAGICQLCKGDVVAITNALERYQVEIWIQLFLERERINYWLTLLLLLTRKMSQSLRKLSRNLIA >KJB11597 pep chromosome:Graimondii2_0_v6:1:54483800:54487187:-1 gene:B456_001G267800 transcript:KJB11597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLKLMLMLLIVIRKQLQRVSAISCLQQAVNLFCDIGRLSMAARYYKEIAELYESEQNIEQAMDYFEKAADFFQNEDVSSSANQCKQKVAQFAAQIEQYQKAIEIYEEIARQSLTNNLLKYGVKGHLLNAGICQLCKGDVVAITNALERYQDLDPTFSGTRENKLLADIASAIDEEDVSKFTEVVKEFDSMTPLDSWKTTLLLRVKEKLKAKELEEDDLT >KJB11596 pep chromosome:Graimondii2_0_v6:1:54484340:54487108:-1 gene:B456_001G267800 transcript:KJB11596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQLAKGEEFVKKAEKKLNGWGLFSSKYEDAADLFDKAANCFKLAKSWDKAGSTYVKLANCHLKSDSKHETAQAYVDAAHCYKKTATKEAISCLQQAVNLFCDIGRLSMAARYYKEIAELYESEQNIEQAMDYFEKAADFFQNEDVSSSANQCKQKVAQFAAQIEQYQKAIEIYEEIARQSLTNNLLKYGVKGHLLNAGICQLCKGDVVAITNALERYQDLDPTFSGTRENKLLAVRHCFCY >KJB11598 pep chromosome:Graimondii2_0_v6:1:54483800:54487187:-1 gene:B456_001G267800 transcript:KJB11598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLKLMLMLLIVIRKQLQRAISCLQQAVNLFCDIGRLSMAARYYKEIAELYESEQNIEQAMDYFEKAADFFQNEDVSSSANQCKQKVAQFAAQIEQYQKAIEIYEEIARQSLTNNLLKYGVKGHLLNAGICQLCKGDVVAITNALERYQDLDPTFSGTRENKLLADIASAIDEEDVSKFTEVVKEFDSMTPLDSWKTTLLLRVKEKLKAKELEEDDLT >KJB11595 pep chromosome:Graimondii2_0_v6:1:54483788:54487200:-1 gene:B456_001G267800 transcript:KJB11595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQLAKGEEFVKKAEKKLNGWGLFSSKYEDAADLFDKAANCFKLAKSWDKAGSTYVKLANCHLKSDSKHETAQAYVDAAHCYKKTATKEAISCLQQAVNLFCDIGRLSMAARYYKEIAELYESEQNIEQAMDYFEKAADFFQNEDVSSSANQCKQKVAQFAAQIEQYQKAIEIYEEIARQSLTNNLLKYGVKGHLLNAGICQLCKGDVVAITNALERYQDLDPTFSGTRENKLLADIASAIDEEDVSKFTEVVKEFDSMTPLDSWKTTLLLRVKEKLKAKELEEDDLT >KJB08982 pep chromosome:Graimondii2_0_v6:1:13919505:13926746:1 gene:B456_001G116700 transcript:KJB08982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKNVHVQSNGGTGVRLEKPTNPLFCGKLKRNKMSGRKKLDVNEKVEVRSEEEGFQGSWHQGTVIALDKRGFHIKYDHIVVDDGSDSLVDIVGVSPGINGIDCPCENHCHYRGLMRQLPPKLVFSKWSLCYGICVDVWYMDAWWEGVIFDHEDGSETRRVFFPDLGDEMVAGIADLRITQDWDDFEEEWHQRGTWLFLELIKQYEHEWYISVSVKQLWYDLREKNSFQNVREWTISCDSLWKKLVLEVIKDNHEITVNHFVRVLGLPGSSQPESESQLEPSMPDADLDETASLLPFEHKVNSNLLSPNSSIVQPIQEKSSIGPLMCISNDDTHVLTECNGSCLDKALSVLPEALLVSPSVVDGISCICSLTRNERFSKTDNDMAQRRARLKRHNAKVTWITAGPNLVPEAESCPDAIRKYALADKKHLNALRTDVRKHLLYQGWRIESKREKHLVRMRYISPTGVCYYSLYKLCSHLMNNTRELICSDTKDAHHVIESNSKVQHVVEPEYCPQAVLNWSKADINAICKRRLRKSDMIPKAKKHLSWLGWVFHYVVSNGRRYLCYTSPRGRTCYSLRGACKICIKEGGLSQDAASPSGSASPRPVETINVNEEVDSQLASEKLCSALSDTDILRSLVPSNAKSNNCTRKSFSKLETRNVSEQSIVLGQRTQKPKRKKDSLSNLVADLVKKQTDSPVKNTSISRLKGGKSPAALIKLRENLNGNQHNHLLRSTKRVQQVVTPSLLHQNPRTVLSWLIDNNVVLPRSKVHYWRKEKRLKVEGRITRNGVKCNCCDKIYTLGGFVAHGGSSNHRAAAKIFLEDGRSLLDCQREMMRTNKMKSQRKLSCRLIRNSQIDKNDDICYVCHYGGELILCDQCPSSFHKSCLDLESIPDGDWFCPSCCCGICGQSKLKEDVANIEDDRVLTCAQCEHKYHVQCICRRGADSLEICAKENWFCCKKCEEIFLVLHELLGRPIPVGTDNLTWTLIKSMPSNTHDEASDNEAMVENYSKLSIALDVMHECFKPIKELRTGRDLVADIIFSRSSEHNGLNFQGFYTILLERQDELITVANVRVHGEKVAEIPLIGTRFQYRQLGMCRILMDELEKKLIELGVQRLMLPAVPDVLPTWTGSFGFLKMTPSERLQFVDYTLLGFQGAIMCQKLLPKSPLVESNLSLGSQFELHSDENANADGSSSVSEALQAIEENRCMDQGPMEYV >KJB08984 pep chromosome:Graimondii2_0_v6:1:13919657:13926710:1 gene:B456_001G116700 transcript:KJB08984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKKLDVNEKVEVRSEEEGFQGSWHQGTVIALDKRGFHIKYDHIVVDDGSDSLVDIVGVSPGINGIDCPCENHCHYRGLMRQLPPKLVFSKWSLCYGICVDVWYMDAWWEGVIFDHEDGSETRRVFFPDLGDEMVAGIADLRITQDWDDFEEEWHQRGTWLFLELIKQYEHEWYISVSVKQLWYDLREKNSFQNVREWTISCDSLWKKLVLEVIKDNHEITVNHFVRVLGLPGSSQPESESQLEPSMPDADLDETASLLPFEHKVNSNLLSPNSSIVQPIQEKSSIGPLMCISNDDTHVLTECNGSCLDKALSVLPEALLVSPSVVDGISCICSLTRNERFSKTDNDMAQRRARLKRHNAKVTWITAGPNLVPEAESCPDAIRKYALADKKHLNALRTDVRKHLLYQGWRIESKREKHLVRMRYISPTGVCYYSLYKLCSHLMNNTRELICSDTKDAHHVIESNSKVQHVVEPEYCPQAVLNWSKADINAICKRRLRKSDMIPKAKKHLSWLGWVFHYVVSNGRRYLCYTSPRGRTCYSLRGACKICIKEGGLSQDAASPSGSASPRPVETINVNEEVDSQLASEKLCSALSDTDILRSLVPSNAKSNNCTRKSFSKLETRNVSEQSIVLGQRTQKPKRKKDSLSNLVADLVKKQTDSPVKNTSISRLKGGKSPAALIKLRENLNGNQHNHLLRSTKRVQQVVTPSLLHQNPRTVLSWLIDNNVVLPRSKVHYWRKEKRLKVEGRITRNGVKCNCCDKIYTLGGFVAHGGSSNHRAAAKIFLEDGRSLLDCQREMMRTNKMKSQRKLSCRLIRNSQIDKNDDICYVCHYGGELILCDQCPSSFHKSCLDLESIPDGDWFCPSCCCGICGQSKLKEDVANIEDDRVLTCAQCEHKYHVQCICRRGADSLEICAKENWFCCKKCEEIFLVLHELLGRPIPVGTDNLTWTLIKSMPSNTHDEASDNEAMVENYSKLSIALDVMHECFKPIKELRTGRDLVADIIFSRSSEHNGLNFQGFYTILLERQDELITVANVRVHGEKVAEIPLIGTRFQYRQLGMCRILMDELEKKLIELGVQRLMLPAVPDVLPTWTGSFGFLKMTPSERLQFVDYTLLGFQGAIMCQKLLPKSPLVESNLSLGSQFELHSDENANADGSSSVSEALQAIEENRCMDQGPMEIDTGGWITKNVDIVVTLQANQPTQIDHARCDNEVNPNCSVQAAYCEETKGGGNHFVKCCYKKRRRIAVNRR >KJB08983 pep chromosome:Graimondii2_0_v6:1:13919657:13926656:1 gene:B456_001G116700 transcript:KJB08983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKKLDVNEKVEVRSEEEGFQGSWHQGTVIALDKRGFHIKYDHIVVDDGSDSLVDIVGVSPGINGIDCPCENHCHYRGLMRQLPPKLVFSKWSLCYGICVDVWYMDAWWEGVIFDHEDGSETRRVFFPDLGDEMVAGIADLRITQDWDDFEEEWHQRGTWLFLELIKQYEHEWYISVSVKQLWYDLREKNSFQNVREWTISCDSLWKKLVLEVIKDNHEITVNHFVRVLGLPGSSQPESESQLEPSMPDADLDETASLLPFEHKVNSNLLSPNSSIVQPIQEKSSIGPLMCISNDDTHVLTECNGSCLDKALSVLPEALLVSPSVVDGISCICSLTRNERFSKTDNDMAQRRARLKRHNAKVTWITAGPNLVPEAESCPDAIRKYALADKKHLNALRTDVRKHLLYQGWRIESKREKHLVRMRYISPTGVCYYSLYKLCSHLMNNTRELICSDTKDAHHVIESNSKVQHVVEPEYCPQAVLNWSKADINAICKRRLRKSDMIPKAKKHLSWLGWVFHYVVSNGRRYLCYTSPRGRTCYSLRGACKICIKEGGLSQDAASPSGSASPRPVETINVNEEVDSQLASEKLCSALSDTDILRSLVPSNAKSNNCTRKSFSKLETRNVSEQSIVLGQRTQKPKRKKDSLSNLVADLVKKQTDSPVKNTSISRLKGGKSPAALIKLRENLNGNQHNHLLRSTKRVQQVVTPSLLHQNPRTVLSWLIDNNVVLPRSKVHYWRKEKRLKVEGRITRNGVKCNCCDKIYTLGGFVAHGGSSNHRAAAKIFLEDGRSLLDCQREMMRTNKMKSQRKLSCRLIRNSQIDKNDDICYVCHYGGELILCDQCPSSFHKSCLDLESIPDGDWFCPSCCCGICGQSKLKEDVANIEDDRVLTCAQCEHKYHVQCICRRGADSLEICAKENWFCCKKCEEIFLVLHELLGRPIPVGTDNLTWTLIKSMPSNTHDEASDNEAMVENYSKLSIALDVMHECFKPIKELRTGRDLVADIIFSRSSEHNGLNFQGFYTILLERQDELITVANVRVHGEKVAEIPLIGTRFQYRQLGMCRILMDELEKKLIELGVQRLMLPAVPDVLPTWTGSFGFLKMTPSERLQFVDYTLLGFQGAIMCQKLLPKSPLVESNLSLGSQFELHSDENANADGSSSVSEALQAIEENRCMDQGPMEIDTGGWITKNVDIVVTANQPTQIDHARCDNEVNPNCSVQAAYCEETKGGGNHFVKCCYKKRRRIAVNRR >KJB09092 pep chromosome:Graimondii2_0_v6:1:15295395:15297879:1 gene:B456_001G122500 transcript:KJB09092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVLHFSSPPSAENSSSSSSSSSSTTSDDDDDDEGGDPRVEEEGKLKKRSKQEGDQLSVLAFLVTLFRKSWTSGTGRELCGMDIGIPTNVRHVSHVTFDRFRGFLGLPVEFEPEVPTRVPSASANVFGVSTESMQLSYDSRGNSVPAILLLMQRHLFALGGLQAEGIFRITGDNSQVEYVREQLNRGVVPEEIDAHCLAGLIKAWFRELPSGVLDSLDPQQVMQCETEEQCTQLARLLPPTEYALLDWAINLMADVVQQEHLNKMNARNIAVVFAPNMTQMADPLTALVYSVQVMNFLKTLISKTLREREYSMVEPTEASYLEPFDDDGDQRPSISCITYTQKDNEEKETAIIAQEPLRASFRNYSQNNETADGEEHSPIPSVYKQISAEDTPTPARNNDIGESSNSSPGNKINPYLLLTKPKEYAT >KJB08864 pep chromosome:Graimondii2_0_v6:1:12453570:12454938:1 gene:B456_001G108700 transcript:KJB08864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNLKPVFGYTVVYVKNVAKSVDFYAKASGYNVHRLYESHRWGELESGQSTIAFTPKHQLETDKLTGAVPRSDRERPPMGLCFVYSHVDTAYKRAVSVSQPENKKWVQRVGYVLDIHGITVRMEAMFTHQNKLDLKPNKLLLLLKLIS >KJB09222 pep chromosome:Graimondii2_0_v6:1:16476599:16478203:1 gene:B456_001G130100 transcript:KJB09222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGEGFRTASAAAGVAPDKAASFNDVVREFMKGLLEMSVEFGRGCRDVVRQSLVTEDSFLVRNFGNDSYIGRKIKVPKDNVLRRLRLFNEYLVPEDKDPLHAWSVILSVFLLALAVLSLSIEYDTAIPVAKNVYIHPPSADRIILPDGRYMAYREQGVPADRARFSIIIPHSFLSSRLSGIPGLKASLLEEFGVRLLTYDLPGFGESDPYPYRNLESSASDMLFLANALGVSDKFWVVGYSTGSVHAWAALRYIPDRLAGAAMFAPMVNPYDSLMNRGERYGIWEKWTRKRKFMYFLARRFPKFLSYFYRQSFLSGKHGQIDQWLALTLGRRVSDFLHYELSKVPESS >KJB09223 pep chromosome:Graimondii2_0_v6:1:16476599:16480095:1 gene:B456_001G130100 transcript:KJB09223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGEGFRTASAAAGVAPDKAASFNDVVREFMKGLLEMSVEFGRGCRDVVRQSLVTEDSFLVRNFGNDSYIGRKIKVPKDNVLRRLRLFNEYLVPEDKDPLHAWSVILSVFLLALAVLSLSIEYDTAIPVAKNVYIHPPSADRIILPDGRYMAYREQGVPADRARFSIIIPHSFLSSRLSGIPGLKASLLEEFGVRLLTYDLPGFGYSTGSVHAWAALRYIPDRLAGAAMFAPMVNPYDSLMNRGERYGIWEKWTRKRKFMYFLARRFPKFLSYFYRQSFLSGKHGQIDQWLALTLGRRDRALIEDPIYEEFWQRDVEESIRQGNAKAFVEEAVLQVSNWGFSLADLKLQKKQTGKGILNLIKFFLSGSEEEYTGFLGPIHIWQGMDDKVVPPSMTDFVHRVLPSAAVHKLPYEGHFTYLYFCDECHRQIFTTLFGTPQGPLPVNNTIEVEQTPLDDIQVQEDASTQDDFRTD >KJB09221 pep chromosome:Graimondii2_0_v6:1:16476524:16480095:1 gene:B456_001G130100 transcript:KJB09221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGEGFRTASAAAGVAPDKAASFNDVVREFMKGLLEMSVEFGRGCRDVVRQSLVTEDSFLVRNFGNDSYIGRKIKVPKDNVLRRLRLFNEYLVPEDKDPLHAWSVILSVFLLALAVLSLSIEYDTAIPVAKNVYIHPPSADRIILPDGRYMAYREQGVPADRARFSIIIPHSFLSSRLSGIPGLKASLLEEFGVRLLTYDLPGFGESDPYPYRNLESSASDMLFLANALGVSDKFWVVGYSTGSVHAWAALRYIPDRLAGAAMFAPMVNPYDSLMNRGERYGIWEKWTRKRKFMYFLARRFPKFLSYFYRQSFLSGKHGQIDQWLALTLGRRDRALIEDPIYEEFWQRDVEESIRQGNAKAFVEEAVLQVSNWGFSLADLKLQKKQTGKGILNLIKFFLSGSEEEYTGFLGPIHIWQGMDDKVVPPSMTDFVHRVLPSAAVHKLPYEGHFTYLYFCDECHRQIFTTLFGTPQGPLPVNNTIEVEQTPLDDIQVQEDASTQDDFRTD >KJB09224 pep chromosome:Graimondii2_0_v6:1:16476701:16478290:1 gene:B456_001G130100 transcript:KJB09224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGEGFRTASAAAGVAPDKAASFNDVVREFMKGLLEMSVEFGRGCRDVVRQSLVTEDSFLVRNFGNDSYIGRKIKVPKDNVLRRLRLFNEYLVPEDKDPLHAWSVILSVFLLALAVLSLSIEYDTAIPVAKNVYIHPPSADRIILPDGRYMAYREQGVPADRARFSIIIPHSFLSSRLSGIPGLKASLLEEFGVRLLTYDLPGFGESDPYPYRNLESSASDMLFLANALGVSDKFWVVGYSTGSVHAWAALRYIPDRLAGAAMFAPMVNPYDSLMNRGERYGIWEKWTRKRKFMYFLARRFPKFLSYFYRQSFLSGKHGQIDQWLALTLGRRVR >KJB09256 pep chromosome:Graimondii2_0_v6:1:16891094:16897508:1 gene:B456_001G131900 transcript:KJB09256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVDVRYINSCGLGGEIPLTFANLKELRIVWASDNAFTGKIPDFVGTNWTKLTSLKFEGNSFEGPIPSSFANLTSLTSLRIEGIYNGSSSLNFVRNLKNLTDLVLRNVLLNGIFPSYITELQSLQKLDLSFNNLTGKIPNALFNMNSLIYLFLGNNRLSGSIPSQKSETLRTIDLSYNFLSGNLPSWINSRLQLNFVANNFTLNSSNIRLLPGLECLQRSFPCFRNAPRYANFSIKCGGPAMISDGILFEADNSTLGAANFNITSTRNWAVSNVGMFADRQNQQYVENNGGQVRSTNTPMMYQTSRLSPGSLRYYGLGLENGPYTVRLFFAETGFHDRSSGTWTSLARRVFDIYIQGTQGLKDFDISKEAGGVQRAITRNFTANVTENHLEIHLFWAGKGTTGTPEEGYYGPSISAISVVPNFIPTVSGIPPGNPKEKNHTTLIVVVSVPIVALALILVFVILYVKRTREDEEEEVLLGISPRPNTFSYSELKAATEDFNPSKKLGEGGFGAVYKGTLSDGRVVAVKQLLVASNQGKDQFAAEIATISAVQHRNLVKLYGCCIGGNRRLLVYEYLVNKSLDQALWGQNDLHLDWPTRFNVCLSTARGIAYLHEESRPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHITTRAAGTIGYLAPEYAMRGHLTEKVDVFGFGVVALEIISGRPNSYNALENDRTYLLEWVWTLHENNQLLSLLDPTLVEFDENEALRVIRVALLCTQTSPSMRPPMSRVVGMLAGDIEVSNVTTKPSYITDWDFKDITSTFVDEEAQISIAFDLNSSDIKSKNMSVLEADDQPILSQVNITEFKESIREGR >KJB11001 pep chromosome:Graimondii2_0_v6:1:47362509:47363947:1 gene:B456_001G235800 transcript:KJB11001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCCNMASAASGFLLTPNVSAGTSKTNNNNNMVFFPSKTNNTSRLVVRAADEASPPPPPPSPTPATATAPPEGGEAPKPKPPPIGPKRGTKVKILRRESYWYNSYGSVVTVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIEEVK >KJB11002 pep chromosome:Graimondii2_0_v6:1:47362630:47363947:1 gene:B456_001G235800 transcript:KJB11002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCCNMASAASGFLLTPNVSAGTSKTNNNNNMVFFPSKTNNTSRLVVRAADEASPPPPPPSPTPATATAPPEGGEAPKPKPPPIGPKRGTKVKILRRESYWYNSYGSVVTVDQVTLSLFLLPAPCACMHV >KJB09908 pep chromosome:Graimondii2_0_v6:1:25442236:25444250:-1 gene:B456_001G174300 transcript:KJB09908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQMSHLDNIPSTPGKFKMDKSPYIHNRLRWHSSLAKLTFWSFMFLGLILIFFFRSPSSNPLPQDPSRRSLRTYNWGGPAWEKRVRSSSRIRSRNGISVLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDPSLKRARQALLERSGVFIVEGDINDSALLRKLFEVVAFTHVMHLAAQAGVRYAMENPGSYVHSNIAGFVNLLEVCKSANPQPAIVWASSSSVYGLNTKVPFSERDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSISIFEAANHGTVARDFTYIDDIVKGCLAALDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVSDLVSILERLLKVNAKRNIMKLPRNGDVQFTHANISLAQRELGYKPSTDLQTGLKKFVRWYLSYYSGGKKAAG >KJB09218 pep chromosome:Graimondii2_0_v6:1:19502271:19504083:1 gene:B456_001G143500 transcript:KJB09218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSQCYCSFRVVPFLKWMYKWSSQVKSMKRISKEMESLIETWVDEHKLKKLKTGSNNNNQDFIDVMLSTIKDDHSMYGYTLEDCCVSGYHILKGTRLFVNAWKLNRDPQVWSNPEEFEPERRAFRSERREKRAKIERIKLFSRATQPGHFHTGWTHARMSHTG >KJB09132 pep chromosome:Graimondii2_0_v6:1:15570970:15571419:1 gene:B456_001G124900 transcript:KJB09132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALKPISFRDAVMNTNPTNNSLESNWEEEEDIKLREGDVKKEVVDGVPSIVFPNRVYSLIEESMSKTVVVKLLCGKLTIMLWNKVCALWKPSMRFQIMDIENDYYQAKFESVHDYKKVLVDGHWVIYGHYLMVQPWSKFFFDFTAISS >KJB09997 pep chromosome:Graimondii2_0_v6:1:27422146:27422991:1 gene:B456_001G179500 transcript:KJB09997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPMSPLMSGVAATTVVATVLVSATTVSACLLFFSFFAFPLSLQWKNNERDENGASVFRVLKHPKR >KJB07742 pep chromosome:Graimondii2_0_v6:1:3919593:3922446:-1 gene:B456_001G042100 transcript:KJB07742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKSLSSQIEETLPEWRDKFLSYKELKKKLKLIEPNSGERPNKRVKLDGNSGDFAGAGDKVGVFDGDGMSTEETDFIKLLENELEKFNTFFVEKEEEYIIRLKELQDSVAKAKDCSEEMIRIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQRVLQQPFFTTDLLYKLVKECEAMLDHLFPKKEKPSSTEAENKDDGGDCEDDGCDPVTSSTSRSEDRLRMSKELAEIEYMESLYMKSSISALRALKEIRSGSSTVSVFSLPPLQISGVDETWKKIPVLEQAAK >KJB07713 pep chromosome:Graimondii2_0_v6:1:3734228:3736489:-1 gene:B456_001G040300 transcript:KJB07713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNALFSPTIPHFFQPLLPGFHLSIPLSFFKDYLKGQINCESAVLRSYGRTWSVKIRDRRFEDGWQDFARDHDLHVGDFLVFRYGGNMVFDVVVFDTSACQRQYPLLATQTQQPAKEIGKQHEKRTSTSVTLESPHFVSNLTLESMKSFRLNIPRKFARSNDLDRSCETVLVDEQGRSWMASIRLKDSDGQVYIGRGWRNICIGNSLGLKDCVKLELIGNGITPIFKLYSCKRLRCQKVA >KJB07714 pep chromosome:Graimondii2_0_v6:1:3735301:3736411:-1 gene:B456_001G040300 transcript:KJB07714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNALFSPTIPHFFQPLLPGFHLSIPLSFFKDYLKGQINCESAVLRSYGRTWSVKIRDRRFEDGWQDFARDHDLHVGDFLVFRYGGNMVFDVVVFDTSACQRQYPLLATQTQQPAKEIGKQHEKRTSTSVTLESPHFVSNLTLESMKSFRLNIPRKFARSNDLDRSCETVLVDEQGRSWMASIRLKDSDGQVYIGRGWRNICIGNSLGLKDCVKLELIGNGITPIFKLYKVASDSDAKKLPNCSGSNAVVQEDQPEF >KJB08237 pep chromosome:Graimondii2_0_v6:1:7379133:7382303:1 gene:B456_001G072500 transcript:KJB08237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDSKSKEAKGKGKQAGGGSDESASKGKGKAGKGDGLGTCTYVKARHILCEKQGKINEAYKKLQDGWLSNGDKVPPAEFAKVAQEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFNTVIGATSAPFKSTHGYHIILCEGRKN >KJB08238 pep chromosome:Graimondii2_0_v6:1:7379158:7382267:1 gene:B456_001G072500 transcript:KJB08238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDSKSKEAKGKGKQAGGGSDESASKGKGKAGKGDGLGTCTYVKARHILCEKQGKINEAYKKLQDGWLSNGDKVPPAEFAKVNIYGFRNFRRYLGSSRIF >KJB11310 pep chromosome:Graimondii2_0_v6:1:52412175:52412579:-1 gene:B456_001G252900 transcript:KJB11310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASQRGKRKMKNEMETGGVRYRGIRRRPWGKFAAEIRDPTRNGTRLWLGTFETVEEAARAYDRAAFAFRGQSAILNFPNEFQCQNPSFPASSSCNSVGRVNPQRGGSEVIEFEYLDNKLLEDLLETQQNMHGL >KJB06435 pep chromosome:Graimondii2_0_v6:1:668673:673606:1 gene:B456_001G007400 transcript:KJB06435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFVGGKFKLGRKIGSGSFGEIYLATHIDTFEIVAVKIENNKTKHPQLFYEAKLYNILQGGSGIPSIKWSGVDGEDNALVLDLLGPSLEDLFVYCGRNFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAGTKKQKYDKICEKKVSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYIFDWTIIKYQQAQKSRSELRFSPVPGGSSSHPFPVDVNNHQERTRSNNVSGPVEKNIFYPHTPSSSFAHASTARRKQKSTFPNETTNSGPGHGNKIGPSSSWISSLQRISSAK >KJB06437 pep chromosome:Graimondii2_0_v6:1:668675:673251:1 gene:B456_001G007400 transcript:KJB06437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFVGGKFKLGRKIGSGSFGEIYLATHIDTFEIVAVKIENNKTKHPQLFYEAKLYNILQGGSGIPSIKWSGVDGEDNALVLDLLGPSLEDLFVYCGRNFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAGTKKQKYDKICEKKVSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYIFDWTIIKYQQAQKSRSELRFSPVPGGSSSHPFPVDVNNHQGSSNPSYLTDITERTRSNNVSGPVEKNYRKKKAEINISQ >KJB06436 pep chromosome:Graimondii2_0_v6:1:668675:673251:1 gene:B456_001G007400 transcript:KJB06436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFVGGKFKLGRKIGSGSFGEIYLATHIDTFEIVAVKIENNKTKHPQLFYEAKLYNILQGGSGIPSIKWSGVDGEDNALVLDLLGPSLEDLFVYCGRNFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAGTKKQKYDKICEKKVSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYIFDWTIIKYQQAQKSRSELRFSPVPGGSSSHPFPVDVNNHQERTRSNNVSGPVEKNIFYPHTPSSSFAHASTARRKQKSTFPNETTNSGPGHGNKIGPSSSWISSLQRISSAK >KJB06853 pep chromosome:Graimondii2_0_v6:1:23748950:23749270:1 gene:B456_001G167500 transcript:KJB06853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVGKIHLLRKLGGSHRSDFSLAVTCATYSSAPRAVVLPEALNSYLGRTRKDFHSLYSIKKGNKANQSKGLTLNPQTKWNDGNITIPRLS >KJB09909 pep chromosome:Graimondii2_0_v6:1:25456508:25460464:-1 gene:B456_001G174400 transcript:KJB09909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIRKIYDGTPRTCVLANVSKPQNPKSVYSVPFRSNLKGSFSCSCGLVLKSNGKLGTVKVGSFKVSASMATAEKPSRASEIVLQPINEISGTVKLPGSKSLSNRILLLAALSEGTTVVDNLLNSDDVHHMLVALGKLGLHVEHDSEKKRAIVQGCGGHFPVGKGEGQEIELSLGNAGTAMRPLTAAVTAAGGNSSYILDGVPRMRERPIGDLVTGLKQLGADVECPLGTNCPPVHINGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISIPYVEMTIKLMERFGVTVEHTDSWDRFLIKGGQKYKSPGNAYVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQVLFIVKSMNVNAFRTKFNHSSSICGCICF >KJB09910 pep chromosome:Graimondii2_0_v6:1:25456508:25460501:-1 gene:B456_001G174400 transcript:KJB09910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIRKIYDGTPRTCVLANVSKPQNPKSVYSVPFRSNLKGSFSCSCGLVLKSNGKLGTVKVGSFKVSASMATAEKPSRASEIVLQPINEISGTVKLPGSKSLSNRILLLAALSEGTTVVDNLLNSDDVHHMLVALGKLGLHVEHDSEKKRAIVQGCGGHFPVGKGEGQEIELSLGNAGTAMRPLTAAVTAAGGNSSYILDGVPRMRERPIGDLVTGLKQLGADVECPLGTNCPPVHINGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISIPYVEMTIKLMERFGVTVEHTDSWDRFLIKGGQKYKSPGNAYVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQGDVKFAEVLEKMGAKVTWTENSVTVTGPPRNPSGRKHLCAIDVNMNKMPDVAMTLAVVALYADGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGLDYCVITPPEKLNVTAVDTYDDHRMAMAFSLAACGEVPVTIKDPGCTRKTFPDYFEVLERVTKH >KJB10445 pep chromosome:Graimondii2_0_v6:1:38362887:38363453:-1 gene:B456_001G201500 transcript:KJB10445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKEPKRLYYPKITAPDLNIEEKPVFQNKYNTNTIYEWNIDGMSEYNILSLLQQMTMVSNVYKTQNQNGLINNHAIANLLVAGYTGQLKGWWDHALTKTQQEEILKAIKKDDQDRIILDEQGREIQDAVATLIFSISKHFIGDPFHLKDRNSELLSNLKCKKLTDFKWYKDVFMTRVMQRSDNQQPF >KJB06962 pep chromosome:Graimondii2_0_v6:1:4847942:4849309:-1 gene:B456_001G051200 transcript:KJB06962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGETPSDLELIKQHLFNDFPSMETFLSPPPTPQFHKQSTLSQRRPSINVTIPPSKVNITSAATKAIEEHDEKRHYRGVRRRPWGKFAAEIRDPNRKGSRIWLGTFETAIEAAKAYDRAAFKLRGSKAILNFPLEIGNSNSTEPQPSNKRKREEEEEERRKVVKKEDVTENVTTTGVCLTPSNWKGFWDSEDMKGIFSIPPLSPLSPFGYSISGLAVM >KJB09551 pep chromosome:Graimondii2_0_v6:1:20487991:20492963:-1 gene:B456_001G149300 transcript:KJB09551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic large subunit of ADP-glucose pyrophosphorylase (AGP), Starch synthesis in rice polle [Source: Projected from Oryza sativa (Os07g0243200)] MLGSCCVPSSKLPPSHHDKILSSSFFSNAVGIGQCNSAISGIRFGKFDISRRKCSATKRCSTSAVLADVTKDFMAFRANIYPKHEADPKTVASIILGGGAGTRLFPLTGTRAKPAVPIGGCYRLIDVPMSNCINSGINKIYILTQFNSQSLNRHISRTYNSGNGVTFGDGFVEVLAATQTSGESGKKWFQGTADAVRQFIWLFEDAKHRYIENILILSGDHLYRMDYMDFLQKHINSGADISVSCLPVDESRASDFGLLKIDENGQIRQFLEKPKGESLRSMQVDTTLLGLTAQDAKKTPYIASMGIYLFKTDVLLKLLRWHYPEANDFGSEIIPMAMKDYNVKAYLFDGYWEDIGTIKSFFDANLALTDKPPKFHFYDPLKPIFTSPRYLPPSRIEQCRVVDSIISHGCFLRECSVKHSIVGIRSRLEYGVELKDTLMMGADHYQTEAEIASCISEGNIPLGIGRNTKIMNCIIDTNTRIGKNVVIANKDNVEEADRPSEGFYIRSGITVVVKNAVIKDGTII >KJB09550 pep chromosome:Graimondii2_0_v6:1:20487991:20492432:-1 gene:B456_001G149300 transcript:KJB09550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic large subunit of ADP-glucose pyrophosphorylase (AGP), Starch synthesis in rice polle [Source: Projected from Oryza sativa (Os07g0243200)] MLGSCCVPSSKLPPSHHDKILSSSFFSNAVGIGQCNSAISGIRFGKFDISRRKCSATKRCSTSAVLADVTKDFMAFRANIYPKHEADPKTVASIILGGGAGTRLFPLTGTRAKPAVPIGGCYRLIDVPMSNCINSGINKIYILTQFNSQSLNRHISRTYNSGNGVTFGDGFVEVLAATQTSGESGKKWFQGTADAVRQFIWLFEDAKHRYIENILILSGDHLYRMDYMDFLQKHINSGADISVSCLPVDESRASDFGLLKIDENGQIRQFLEKPKGESLRSMQVDTTLLGLTAQDAKKTPYIASMGIYLFKTDVLLKLLRWHYPEANDFGSEIIPMAMKDYNVKAYLFDGYWEDIGTIKSFFDANLALTDKPPKFHFYDPLKPIFTSPRYLPPSRIEQCRVVDSIISHGCFLRECSVKHSIVGIRSRLEYGVELKDTLMMGADHYQTEAEIASCISEGNIPLGIGRNTKIMNCIIDTNTRIGKNVVIANKDNVEEADRPSEGFYIRSGITVVVKNAVIKDGTII >KJB07600 pep chromosome:Graimondii2_0_v6:1:2999031:3002298:-1 gene:B456_001G032400 transcript:KJB07600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQLLIFTRGGLILWTCKELGNALKGSPIDTLIRSCLLEERSGAASYNYDAPGASYTLKWTFHNELGLVFVAVYQRILHLLYVDDLLALVRREFSEIYDPKRTVYNDFDETFRQLRMEAEARAEELKKSKQMVKPVNNSKKQGQAQKAGFDKGNKKREGSSAAGGGDDNDEGKGRKLENGHSNGNIFEIDEPRMTAAVNGKENTSSNFSAFDVSKLQKRTKGGKKTDTVVNKGSKVDPKKKVTKKNRVWDDAPPETKLDFTDPVDGNGNEKIEVVPASQGESMMDVEEIISSDSEGEEDDNVQKDSKPEATKKGWFSSMFQSIAGKANLEKGDLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRVSSTVQAAMEEALVRILTPRRSIDILRDVHAAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHNVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQVCFHIKSFVNFI >KJB07598 pep chromosome:Graimondii2_0_v6:1:2998306:3002298:-1 gene:B456_001G032400 transcript:KJB07598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQLLIFTRGGLILWTCKELGNALKGSPIDTLIRSCLLEERSGAASYNYDAPGASYTLKWTFHNELGLVFVAVYQRILHLLYVDDLLALVRREFSEIYDPKRTVYNDFDETFRQLRMEAEARAEELKKSKQMVKPVNNSKKQGQAQKAGFDKGNKKREGSSAAGGGDDNDEGKGRKLENGHSNGNIFEIDEPRMTAAVNGKENTSSNFSAFDVSKLQKRTKGGKKTDTVVNKGSKVDPKKKVTKKNRVWDDAPPETKLDFTDPVDGNGNEKIEVVPASQGESMMDVEEIISSDSEGEEDDNVQKDSKPEATKKGWFSSMFQSIAGKANLEKGDLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRVSSTVQAAMEEALVRILTPRRSIDILRDVHAAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHNVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSSTPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >KJB07599 pep chromosome:Graimondii2_0_v6:1:2998262:3002588:-1 gene:B456_001G032400 transcript:KJB07599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQLLIFTRGGLILWTCKELGNALKGSPIDTLIRSCLLEERSGAASYNYDAPGASYTLKWTFHNELGLVFVAVYQRILHLLYVDDLLALVRREFSEIYDPKRTVYNDFDETFRQLRMEAEARAEELKKSKQMVKPVNNSKKQGQAQKAGFDKGNKKREGSSAAGGGDDNDEGKGRKLENGHSNGNIFEIDEPRMTAAVNGKENTSSNFSAFDVSKLQKRTKGGKKTDTVVNKGSKVDPKKKVTKKNRVWDDAPPETKLDFTDPVDGNGNEKIEVVPASQGESMMDVEEIISSDSEGEEDDNVQKDSKPEATKKGWFSSMFQSIAGKANLEKGDLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRVSSTVQAAMEEALVRILTPRRSIDILRDVHAAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHNVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSSTPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >KJB06593 pep chromosome:Graimondii2_0_v6:1:9935560:9936434:-1 gene:B456_001G090900 transcript:KJB06593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESSSKIPNVTTKDPPCISKIKAHDKEEQQIEAQQTSHKEITRYFEEEEPDQIEARSVDIVTTRPDLSDRVTMNTMISPLKGENISYGSLPRQPVCKADKYGDCIKPVGEDNRPCTVYNRCKRDNH >KJB11485 pep chromosome:Graimondii2_0_v6:1:53718336:53720110:-1 gene:B456_001G261600 transcript:KJB11485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVPCTMKAWIYGQHGKPEDVLNLKSDVVVPELKEDQVLVKVMASGLNPVDNKRMLGIFVQAECPFPTVPGYDVAGVVVKVGSQVKNLKVGDEVYGNIHEKALDHPKQYGTLAEHTAVEERLLAPKPKNLSFTEAASLPVAIGTAYEGLQRCEFTAGQSILVLGGAGGVGSMVIQLAKHVLGASRVVATASTGKLELLRNLGADLAVDYTKENFEDLPEKFDVVYDCVGQCERAVKAMKEGGKVVTVSGAVTVPAFKFIVTSNGADLEKLNPYLESGKVKAIIDPKGIYPFSQTLEGLAYVDTGRVAGKVVIHPIQQDN >KJB07919 pep chromosome:Graimondii2_0_v6:1:4999177:5003149:1 gene:B456_001G052600 transcript:KJB07919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pterin-4-alpha-carbinolamine dehydratase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51110) UniProtKB/Swiss-Prot;Acc:Q9LU63] MASAAAHLSFSLPFPPFSSPGHRPVTAFPYPTTQSQNQSRVSLRTRALGPDFLGDFGARDPFPAEIASGFAEKVLGNVDTEHKILIPNASALSLAKQDCSPISPLQPPLSVDEAKALMKKVVGWRLLDEEGGLKLQCLWKLRDFKSGVELINRIYKVTEATDHFPSLHLEGPNQVRAELWTASIGGLSMNDFIVAAKIDEIKTSDLAPRKRIWA >KJB10570 pep chromosome:Graimondii2_0_v6:1:41008200:41008663:-1 gene:B456_001G208100 transcript:KJB10570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFASASGDYGYGSKPQLFHTPMFHVKEKPLPIAIEGFILCKSGPKTIPIKGGVARITCLAVDENGYQTAPFSILSKLADSKGYYFATLYPNELNHNKLKLAECKAFLEKSVGSPAPLRRSRKI >KJB10646 pep chromosome:Graimondii2_0_v6:1:42606463:42607170:1 gene:B456_001G213200 transcript:KJB10646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSYKAHKNGTKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKSGESATKEE >KJB11759 pep chromosome:Graimondii2_0_v6:1:55334976:55337432:-1 gene:B456_001G275900 transcript:KJB11759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYRPAFALKNFSSLAPSKPPISVQNVETFIDARSIKTGFDPNTCRSNFMVENLLRKGYLSTARQVFDQMPSRNTVSTNMMISGYVKSGDLLKARHMFDTMLDRTAVTWTIIVGGYVQKSQFHEAFKLFAEMRRHDTQPDPVTFATLLSGCNDAEADNELVQVHGLVLKLGYHSSIIICNSLVDSYCKTDHLDFASRVFEDMPERDSVSFNALINGFAKDGLTEDAITLFLQMQNLGFKPSDFTFAGVLSAAIRLNDLAFGQQIHGFLVKTGFVGNVFVGNALLDFYSKYDCLVEAGKLFDEMPDLDGISYNVIITCYAWSGQHEEAISLFRELQFTGFKRRQFPFATMLSIAANVLDLQMGQQIHTLAIVTTADSELVVGNSLVDMYAKCGRFEEAEKIFRSLAKRSTVPWTAIISGYVQRGFHEEGLNLFNEMHKAGVRADQATFASILKASANLASLSLGKQIHSFVIRSGYMSNVFSGSALLDMYAKCGSIKDSIQLFQDMSERNIVSWNALISAHAQNGDAKTTLDSFEKMVQSGFQPDSVSFLSVLSACSHCGLVQEGLRYFRTMTRIHNLVPKKEHYASMVDMLCRSGQFNEAEKLMDEMPFDPDEIMWSSVLNSCRIHKNQELARKAADQLFSMEVLRDAAAYVSMSNIYAAAGQWDNVGKVKKAMRERGIRKVPAYSWVEIRHKLHVFSANDMLHPQMEEIWKKIEMLSKQMEKEGYKPDTSCALHDVDENIKIESLKYHSERLAIAFALISTPEGSPILVIKNLRACTDCHAAIKVISKIIRREITVRDSSRFHHFKDGICSCGDYW >KJB09414 pep chromosome:Graimondii2_0_v6:1:18960975:18968357:1 gene:B456_001G140300 transcript:KJB09414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSTLFQVLAILSVIGSVLSKECTNTPTQLSSHTFRYQLLKSQNETWKQEMFAHYHLIPTDDSAWSDLLPRKILRQEADELSWSMMYKKMKNPGSFKVGGDFLKEISLHDVRLDSDSIQGRAQETNLKYLLMLDVDNLVWSFRKTANLPTPGKPYGGWEAPDVELRGHFVGHYLSATAQMWASTHNDTLKEKMSAVVSALSSCQKKMGTGYLSAFPSELFDRFEAIKPVWAPYYTIHKILAGLLDQYTFANDAQALDMTTWMVEYFYNRVQNVIRTHTVERHWLSLNEETGGMNDVLYRLFAITGNPKHLLLAHLFDKPCFLGLLAVQADDISGFHANTHIPIVIGSQMRYEVTGDPLYKTISTFFMDIVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFRWTKEVAYADYYERALTNGVLGIQRGTEPGVMIYMLPQGRGVSKAVSYHKWGTPFNSFWCCYGTGIESFSKLGDSIYFEEEGSIPSLYIIQYVSSTLDWKSGKIVLNQKVIPVVSSDPYLRVTLTASSKEGSEQLSTLNLRIPIWTSSKGAKATLNAQNLDLPAPGSFLQVKWSGGDKLTLNLPISIRTEQIKGIFIR >KJB09417 pep chromosome:Graimondii2_0_v6:1:18962570:18968357:1 gene:B456_001G140300 transcript:KJB09417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASTHNDTLKEKMSAVVSALSSCQKKMGTGYLSAFPSELFDRFEAIKPVWAPYYTIHKILAGLLDQYTFANDAQALDMTTWMVEYFYNRVQNVIRTHTVERHWLSLNEETGGMNDVLYRLFAITGNPKHLLLAHLFDKPCFLGLLAVQADDISGFHANTHIPIVIGSQMRYEVTGDPLYKTISTFFMDIVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFRWTKEVAYADYYERALTNGVLGIQRGTEPGVMIYMLPQGRGVSKAVSYHKWGTPFNSFWCCYGTGIESFSKLGDSIYFEEEGSIPSLYIIQYVSSTLDWKSGKIVLNQKVIPVVSSDPYLRVTLTASSKEGSEQLSTLNLRIPIWTSSKGAKATLNAQNLDLPAPGSFLQVKWSGGDKLTLNLPISIRTEQIKDDRPEYASVRAILYGPYLLSGYSNGDWNIKTGSTGSDADWISPVPAAYNNHLVTFSQESGESTFVLTNMNQTIRMEKFPKAGTDAAIRATFRLIFDDTLEKISSIEEAIGKTVMLESFDYPGMVLVQQGTENNLVVTDPPKDTATSSFGIVHGLDGKDNSVSLESVTQKGCYIYSGVNYSSSVGMKLSCNSSSSSSEAGFSQATSFTMNNGLSAYHPISFVAKGGSRNYLMVPLQSVRDESYSVYYNMQP >KJB09415 pep chromosome:Graimondii2_0_v6:1:18960975:18968385:1 gene:B456_001G140300 transcript:KJB09415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSTLFQVLAILSVIGSVLSKECTNTPTQLSSHTFRYQLLKSQNETWKQEMFAHYHLIPTDDSAWSDLLPRKILRQEADELSWSMMYKKMKNPGSFKVGGDFLKEISLHDVRLDSDSIQGRAQETNLKYLLMLDVDNLVWSFRKTANLPTPGKPYGGWEAPDVELRGHFVGHYLSATAQMWASTHNDTLKEKMSAVVSALSSCQKKMGTGYLSAFPSELFDRFEAIKPVWAPYYTIHKILAGLLDQYTFANDAQALDMTTWMVEYFYNRVQNVIRTHTVERHWLSLNEETGGMNDVLYRLFAITGNPKHLLLAHLFDKPCFLGLLAVQADDISGFHANTHIPIVIGSQMRYEVTGDPLYKTISTFFMDIVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFRWTKEVAYADYYERALTNGVLGIQRGTEPGVMIYMLPQGRGVSKAVSYHKWGTPFNSFWCCYGTGIESFSKLGDSIYFEEEGSIPSLYIIQYVSSTLDWKSGKIVLNQKVIPVVSSDPYLRVTLTASSKEGSEQLSTLNLRIPIWTSSKGAKATLNAQNLDLPAPGSFLQVKWSGGDKLTLNLPISIRTEQIKDDRPEYASVRAILYGPYLLSGYSNGDWNIKTGSTGSDADWISPVPAAYNNHLVTFSQESGESTFVLTNMNQTIRMEKFPKAGTDAAIRATFRLIFDDTLEKISSIEEAIGKTVMLESFDYPGMVLVQQGTENNLVVTDPPKDTATSSFGIVHGLDGKDNSVSLESVTQKGCYIYSGVNYSSSVGMKLSCNSSSSSSEAGFSQATSFTMNNGLSAYHPISFVAKGGSRNYLMVPLQSVRDESYSVYYNMQP >KJB09413 pep chromosome:Graimondii2_0_v6:1:18963328:18968357:1 gene:B456_001G140300 transcript:KJB09413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYEVTGDPLYKTISTFFMDIVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFRWTKEVAYADYYERALTNGVLGIQRGTEPGVMIYMLPQGRGVSKAVSYHKWGTPFNSFWCCYGTGIESFSKLGDSIYFEEEGSIPSLYIIQYVSSTLDWKSGKIVLNQKVIPVVSSDPYLRVTLTASSKEGSEQLSTLNLRIPIWTSSKGAKATLNAQNLDLPAPGSFLQVKWSGGDKLTLNLPISIRTEQIKDDRPEYASVRAILYGPYLLSGYSNGDWNIKTGSTGSDADWISPVPAAYNNHLVTFSQESGESTFVLTNMNQTIRMEKFPKAGTDAAIRATFRLIFDDTLEKISSIEEAIGKTVMLESFDYPGMVLVQQGTENNLVVTDPPKDTATSSFGIVHGLDGKDNSVSLESVTQKGCYIYSGVNYSSSVGMKLSCNSSSSSSEAGFSQATSFTMNNGLSAYHPISFVAKGGSRNYLMVPLQSVRDESYSVYYNMQP >KJB09416 pep chromosome:Graimondii2_0_v6:1:18960975:18968357:1 gene:B456_001G140300 transcript:KJB09416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASTHNDTLKEKMSAVVSALSSCQKKMGTGYLSAFPSELFDRFEAIKPVWAPYYTIHKILAGLLDQYTFANDAQALDMTTWMVEYFYNRVQNVIRTHTVERHWLSLNEETGGMNDVLYRLFAITGNPKHLLLAHLFDKPCFLGLLAVQADDISGFHANTHIPIVIGSQMRYEVTGDPLYKTISTFFMDIVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFRWTKEVAYADYYERALTNGVLGIQRGTEPGVMIYMLPQGRGVSKAVSYHKWGTPFNSFWCCYGTGIESFSKLGDSIYFEEEGSIPSLYIIQYVSSTLDWKSGKIVLNQKVIPVVSSDPYLRVTLTASSKEGSEQLSTLNLRIPIWTSSKGAKATLNAQNLDLPAPGSFLQVKWSGGDKLTLNLPISIRTEQIKDDRPEYASVRAILYGPYLLSGYSNGDWNIKTGSTGSDADWISPVPAAYNNHLVTFSQESGESTFVLTNMNQTIRMEKFPKAGTDAAIRATFRLIFDDTLEKISSIEEAIGKTVMLESFDYPGMVLVQQGTENNLVVTDPPKDTATSSFGIVHGLDGKDNSVSLESVTQKGCYIYSGVNYSSSVGMKLSCNSSSSSSEAGFSQATSFTMNNGLSAYHPISFVAKGGSRNYLMVPLQSVRDESYSVYYNMQP >KJB07307 pep chromosome:Graimondii2_0_v6:1:1397605:1399298:1 gene:B456_001G014900 transcript:KJB07307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVEDFQEYANKAKTLPPSTKDADKLILYGLYKQAMVGNVNTDRPGMLSPTDRAKWDAWKAVEGKTKEQAMTEYIAKVKEFLGQSAK >KJB11022 pep chromosome:Graimondii2_0_v6:1:47514378:47521523:1 gene:B456_001G237100 transcript:KJB11022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEEAEGNEDYPPSPSWELNHLFEVEEEEDVKGDIGVAQNPEPSGSSNYSRLPPLGHRRTQSDVLNAAHRRNNSFQRLKTSMQKALRWGGNSRDDRFRSSFNPEVLANQKRQWYQLHSKTMDKFRYEQPTSIFEHFIIAGVHPDTDLGTVEEAFAKRKKWETDMKRSGMIDVKMLQNHGPPLPIFEPQILFRYPPGKRLAMRLKDLATFCFPGGVKARLLERTPSFSELNELLYGQEHLSRDDFAFIFSLKVAGNATVYGVCLHVPELVQRQPGNLGGSSRLSSIAGSSRQYMVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLNRITEVVSETNPSLDDLAPSSSKQDDQINDNTVTSTPNSEYVNEWMASALPVNSTVVLSSDTAANDEVSSASLKISSPLSSGSVAASDASDWGHVREIEKDVRKGVLYIDDNASEASEIRCSVLERINGTHENGQISPDIGSVFSLRSRTSSQSLFSPARSLTSEDDEEEDDLFWNNEKEYGDDLIMEWAKENKNDLLQIVCSYHALALPQRGSEIVFQPLEHLQAIEYVRPPISALAMDESFFYSMEPSEINAKFAAAEEALALSVWTTATICRVLSLDGILAVIAGVLLEKQVVVVCPNLGVLSAVVLSLIPIIRPFQWQSLLLPVLPMRMLDFLDAPVPFLVGVQDKPADLKMKSTSNLVQVNLPKKQVKTCYVPQLPQRKELVSELGPIHSTLAFEGSIAKKHPTYRCNEVQAEAAIQFLAIMRDYLESICANLRSHTITSVQSDQDRVSLLLKDSFIDSFPIKDRPFIKLFVDTQLFTVLSDSRLSRYENEH >KJB11025 pep chromosome:Graimondii2_0_v6:1:47515477:47523408:1 gene:B456_001G237100 transcript:KJB11025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEEAEGNEDYPPSPSWELNHLFEVEEEEDVKGDIGVAQNPEPSGSSNYSRLPPLGHRRTQSDVLNAAHRRNNSFQRLKTSMQKALRWGGNSRDDRFRSSFNPEVLANQKRQWYQLHSKTMDKFRYEQPTSIFEHFIIAGVHPDTDLGTVEEAFAKRKKWETDMKRSGMIDVKMLQNHGPPLPIFEPQARLLERTPSFSELNELLYGQEHLSRDDFAFIFSLKVAGNATVYGVCLHVPELVQRQPGNLGGSSRLSSIAGSSRQYMVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLNRITEVVSETNPSLDDLAPSSSKQDDQINDNTVTSTPNSEYVNEWMASALPVNSTVVLSSDTAANDEVSSASLKISSPLSSGSVAASDASDWGHVREIEKDVRKGVLYIDDNASEASEIRCSVLERINGTHENGQISPDIGSVFSLRSRTSSQSLFSPARSLTSEDDEEEDDLFWNNEKEYGDDLIMEWAKENKNDLLQIVCSYHALALPQRGSEIVFQPLEHLQAIEYVRPPISALAMDESFFYSMEPSEINAKFAAAEEALALSVWTTATICRVLSLDGILAVIAGVLLEKQVVVVCPNLGVLSAVVLSLIPIIRPFQWQSLLLPVLPMRMLDFLDAPVPFLVGVQDKPADLKMKSTSNLVQVNLPKKQVKTCYVPQLPQRKELVSELGPIHSTLAFEGSIAKKHPTYRCNEVQAEAAIQFLAIMRDYLESICANLRSHTITSVQSDQDRVSLLLKDSFIDSFPIKDRPFIKLFVDTQLFTVLSDSRLSRYENEH >KJB11023 pep chromosome:Graimondii2_0_v6:1:47514208:47521523:1 gene:B456_001G237100 transcript:KJB11023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEEAEGNEDYPPSPSWELNHLFEVEEEEDVKGDIGVAQNPEPSGSSNYSRLPPLGHRRTQSDVLNAAHRRNNSFQRLKTSMQKALRWGGNSRDDRFRSSFNPEVLANQKRQWYQLHSKTMDKFRYEQPTSIFEHFIIAGVHPDTDLGTVEEAFAKRKKWETDMKRSGMIDVKMLQNHGPPLPIFEPQILFRYPPGKRLAMRLKDLATFCFPGGVKARLLERTPSFSELNELLYGQEHLSRDDFAFIFSLKVAGNATVYGVCLHVPELVQRQPGNLGGSSRLSSIAGSSRQYMVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLNRITEVVSETNPSLDDLAPSSSKQDDQINDNTVTSTPNSEYVNEWMASALPVNSTVVLSSDTAANDEVSSASLKISSPLSSGSVAASDASDWGHVREIEKDVRKGVLYIDDNASEASEIRCSVLERINGTHENGQISPDIGSVFSLRSRTSSQSLFSPARSLTSEDDEEEDDLFWNNEKEYGDDLIMEWAKENKNDLLQIVCSYHALALPQRGSEIVFQPLEHLQAIEYVRPPISALAMDESFFYSMEPSEINAKFAAAEEALALSVWTTATICRVLSLDGILAVIAGVLLEKQVVVVCPNLGVLSAVVLSLIPIIRPFQWQSLLLPVLPMRMLDFLDAPVPFLVGVQDKPADLKMKSTSNLVQVNLPKKQVKTCYVPQLPQRKELVSELGPIHSTLAFEGSIAKKHPTYRCNEVQAEAAIQFLAIMRDYLESICANLRSHTITSVQSDQDRVSLLLKDSFIDSFPIKDRPFIKLFVDTQLFTVLSDSRLSRYENEH >KJB11024 pep chromosome:Graimondii2_0_v6:1:47515477:47521298:1 gene:B456_001G237100 transcript:KJB11024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEEAEGNEDYPPSPSWELNHLFEVEEEEDVKGDIGVAQNPEPSGSSNYSRLPPLGHRRTQSDVLNAAHRRNNSFQRLKTSMQKALRWGGNSRDDRFRSSFNPEVLANQKRQWYQLHSKTMDKFRYEQPTSIFEHFIIAGVHPDTDLGTVEEAFAKRKKWETDMKRSGMIDVKMLQNHGPPLPIFEPQARLLERTPSFSELNELLYGQEHLSRDDFAFIFSLKVAGNATVYGVCLHVPELVQRQPGNLGGSSRLSSIAGSSRQYMVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLNRITEVVSETNPSLDDLAPSSSKQDDQINDNTVTSTPNSEYVNEWMASALPVNSTVVLSSDTAANDEVSSASLKISSPLSSGSVAASDASDWGHVREIEKDVRKGVLYIDDNASEASEIRCSVLERINGTHENGQISPDIGSVFSLRSRTSSQSLFSPARSLTSEDDEEEDDLFWNNEKEYGDDLIMEWAKENKNDLLQIVCSYHALALPQRGSEIVFQPLEHLQAIEYVRPPISALAMDESFFYSMEPSEINAKFAAAEEALALSVWTTATICRVLSLDGILAVIAGVLLEKQVVVVCPNLGVLSAVVLSLIPIIRPFQWQSLLLPVLPMRMLDFLDAPVPFLVGVQDKPADLKMKSTSNLVQVNLPKKQVKTCYVPQLPQRKELVSELGPIHSTLAFEGSIAKKHPTYRCNEVQAEAAIQFLAIMRDYLESICANLRSHTITSVQSDQDRVSLLLKDSFIDSFPIKDRPFIKLFVDTQLFTVLSDSRLSRYENEH >KJB11026 pep chromosome:Graimondii2_0_v6:1:47518282:47520940:1 gene:B456_001G237100 transcript:KJB11026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALPVNSTVVLSSDTAANDEVSSASLKISSPLSSGSVAASDASDWGHVREIEKDVRKGVLYIDDNASEASEIRCSVLERINGTHENGQISPDIGSVFSLRSRTSSQSLFSPARSLTSEDDEEEDDLFWNNEKEYGDDLIMEWAKENKNDLLQIVCSYHALALPQRGSEIVFQPLEHLQAIEYVRPPISALAMDESFFYSMEPSEINAKFAAAEEALALSVWTTATICRVLSLDGILAVIAGVLLEKQVVVVCPNLGVLSAVVLSLIPIIRPFQWQSLLLPVLPMRMLDFLDAPVPFLVGVQDKPADLKMKSTSNLVQVNLPKKQVKTCYVPQLPQRKELVSELGPIHSTLAFEGSIAKKHPTYRCNEVQAEAAIQFLAIMRDYLESICANLRSHTITSVQSDQDRVGHFRCF >KJB09781 pep chromosome:Graimondii2_0_v6:1:23601637:23602457:1 gene:B456_001G165400 transcript:KJB09781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIATGIMHMDGLLLSNWFHSFFLRSHLCFLLSSLLSFCGLKVFFMLYVATLLVQSFNRNDERVTKPKRPIAFSLASVFKIPLYENEIKPQLFSPFRLFTPSF >KJB11433 pep chromosome:Graimondii2_0_v6:1:53312826:53315893:-1 gene:B456_001G258800 transcript:KJB11433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHHHHHHRPNRLSVPPRATAFTTPTQTTPRSYPSYSYPPSITTPTATPSKHRLSLQSSSLSASSQKKSSISFLLLLLLSLRSLYSLLPFIRSSPPSFSVFPFSFLLSFFSFLLSLSFTLFSKRNARNHPIFPINSLSTPQLKLLLSKSFLLSIILLLRFQALRYCGTAAMILAELSGNLAGRLFSKENNNPKKREGCVRIWPMLLPFLSGFLGCYEKVSMNWGSIRQLGRKRVRLISSFFTTVMLFVPAAVSFFVYESQGGGNISIDNLGWPLVNIVVFGVILSENFDDDDKLMSSKDFQREFMVTFVCTIVLELFYFKELSLLGLLLCGLLLYFAVRELDPVYTSYIELGIESSESFSMSIMKPIQHILSERKSRKIALFLLINTAYMVVEFAAGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANSQFNYGRGRFEILSGYTNAVFLVLVGALIVLESFERILDPQEISTNSLLTVSIGGLVVNVVGLIFFHEEHHHAHGGACSHSHSHSDSHSHDHHHQHSHDHESHVEHHEFVNVSDGCQDSCSGHEHHHGSRHHSNNYHAEDHKKHEFRVHHGHDHNHAPHDCAHHHDHHGYGHQHDHAHDHHDCAHHHDHNDHHHDLGVHHGPLQNQLLSEGKLPQKHQHGHIDHNMEGIFLHVLADTMGSVGVVMSTLLIKYKGWLVADPACSIFISVLIISSVIPLLRNSSEILLQRVPRAQELVLKKAISDITNMKGVCRVQNLHVWSFTNTDIVGTVHLHISAETDKAAMKSQVSHILHDAGIKDLTLQVECVEL >KJB10992 pep chromosome:Graimondii2_0_v6:1:47308582:47310213:-1 gene:B456_001G235500 transcript:KJB10992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPWFFVTLLTLIAIVSPTPLAEARAFFVFGDSLVDNGNNNYLATTARADSPPYGIDYPTHRPTGRFSNGLNLPDIISEQIGSEPTLPYLSPDLRGRKLLIGANFASAGVGILNDTGVQFVNIIRIFRQFEYFQEYQRRVTKIVGQQQAQRLVNQALVLITLGGNDFVNNYFLVPFSARSRQFSLPDFCRYLISEYRKILLRLHELGGRRVLVTTTGPLGCVPAELAMRSRNGECVPDVQRAAAIFNPLLVQLIQQLNSQLGSTVFISANAFSMNMDFITNPKRFGFVTSKVACCGQGPYNGLGLCTRLSNLCPNRDVYAFWDNFHPSERANRLIVQQFMIGSTQYMNPMNLSTIIAMDSRT >KJB07311 pep chromosome:Graimondii2_0_v6:1:1444599:1454927:1 gene:B456_001G015300 transcript:KJB07311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVYIPVQNSEEEVRVALDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKVEQFRQILEEGSSPEIDEYYADVRYERIAILNALGAYYSYLGKIETRQREKEEHFILATQYYNKASRIDMHEPSTWVGKGQLLLAKGEVEQAFSAFKIVLEGDRDNVPALLGQACVEFNRGRYADSLELYKRALQVYPNCPGAVRLGIGLCRYKLGQFQKARQAFQRVLELDLENVEALVALAIMDLQANDAAGIQKGMDKMRRAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSFYNLARSYHSKGDFEKAGFYYMASIKEINKPQEFVFPYYGLGQVKLKSGDFRTALSNFEKVLEVYPDNCETLKALGHIYVQLGQVDKAQEFMRKATKIDPRDAQAFLDLAELLISSDTGAALDAFKTARNLLEKGGQAVPVEVLNNIGVLHFEREELELALESFNKALGDGVWLALTGNKPKPYVIEASASILDYKDMQVFHRLEEDGLAVELPWNKVTVVFNLARLHEQLHNTGIANILYRLILFKYPDYIDAYLRLAAIAKARNNLQLSIELVNEALKVNDKCPNALSMLGDLELKNDDWVKAKETLRSASDATDGKDSYAILSLGNWNYFAAIRNEKRNPKLEATHLEKAKELYTRVLVQHTDNLYAANGAAVVLAEKGQFDVSKDIFTQVQEGASGSVFVQMPDVWINLAHIFFAQGNFALAVKMYQNCLRKFYYNTDSQILLYLARTHYEAEQWQECKKTLLRAIHLAPSNYTLRFDAGVAMQKFSTSTLQKEKRTADEVRSTVAELENAVRIFSQLSAASNLHLHGFDEKKINTHVEYCKHLLEAAKVHREAAEREEQQNRQKQEAARQLALAEEARRKAEEQRKYLVSMCKLDLSFCTSMIIMM >KJB07310 pep chromosome:Graimondii2_0_v6:1:1444555:1454943:1 gene:B456_001G015300 transcript:KJB07310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVYIPVQNSEEEVRVALDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKVEQFRQILEEGSSPEIDEYYADVRYERIAILNALGAYYSYLGKIETRQREKEEHFILATQYYNKASRIDMHEPSTWVGKGQLLLAKGEVEQAFSAFKIVLEGDRDNVPALLGQACVEFNRGRYADSLELYKRALQVYPNCPGAVRLGIGLCRYKLGQFQKARQAFQRVLELDLENVEALVALAIMDLQANDAAGIQKGMDKMRRAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSFYNLARSYHSKGDFEKAGFYYMASIKEINKPQEFVFPYYGLGQVKLKSGDFRTALSNFEKVLEVYPDNCETLKALGHIYVQLGQVDKAQEFMRKATKIDPRDAQAFLDLAELLISSDTGAALDAFKTARNLLEKGGQAVPVEVLNNIGVLHFEREELELALESFNKALGDGVWLALTGNKPKPYVIEASASILDYKDMQVFHRLEEDGLAVELPWNKVTVVFNLARLHEQLHNTGIANILYRLILFKYPDYIDAYLRLAAIAKARNNLQLSIELVNEALKVNDKCPNALSMLGDLELKNDDWVKAKETLRSASDATDGKDSYAILSLGNWNYFAAIRNEKRNPKLEATHLEKAKELYTRVLVQHTDNLYAANGAAVVLAEKGQFDVSKDIFTQVQEGASGSVFVQMPDVWINLAHIFFAQGNFALAVKMYQNCLRKFYYNTDSQILLYLARTHYEAEQWQECKKTLLRAIHLAPSNYTLRFDAGVAMQKFSTSTLQKEKRTADEVRSTVAELENAVRIFSQLSAASNLHLHGFDEKKINTHVEYCKHLLEAAKVHREAAEREEQQNRQKQEAARQLALAEEARRKAEEQRKYLLERRKQEDEQKRLQQAEEHFKRVQEQWKSSNPGSRRRERSEIDDEEGGHSEKRRRKGGKRRKKDKNKSRYERDDEEPDMMDDREEMEDEDANRNYRESTTRMNDQDDDNGENAQDLLAAAGLEDSDMEDETAAAPSSGAGRRRRAWSESDDDEPVQRRPESSPVRGNSAELQESDGEIREDNRKANGGDALDDDED >KJB07522 pep chromosome:Graimondii2_0_v6:1:2653326:2659234:-1 gene:B456_001G028200 transcript:KJB07522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDRTTSTPSVVPSISDGAQRMRAFHGRTSGPTRRSTKGQWTPEEDEILRKAVQQFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDELIIELVNKFGPKNWSTIAQHLPGRIGKQCRERWHNHLNPAINKEAWTQEEELALIRAHQVYGNKWAELSKFLPGRTDNAIKNHWNSSVKKKVDSYVASGLLEQFQFPLLTNQSQSMPSSSSRIQRNVDDSGAKSRTEADDISECSQEPTMAGCSQTTSDLANAAVHTREHSHLTEISGVGKEKNSCPAPCSEEYYPSLEDVSFSIPEIPGEAGYSTCGDYQFGLTNLPNPSSLELGPESSGFKNHCIDTSRCHEVMNVALQTSVGLNAPTSFINTVTTSDKQEHMLITDDECCRVLFSETVTDGCFVSEDLTQGYNMVESSSQASDIQKSETGALQSNCPSRSEVLPTSCCQPFVPPLISVEDGTTLIYGRELGQLTGQPFETQEQELTMNVRDGFICTSDDHTYGTDMQERSYLDKDSPKLVPVNTFGSESNAMQTCPIVDDKPNLPAEQDEGGLCYEPPRFPSLDIPFFSCDLISSGSDKQQEYSPLGIRQLMMSSMNCISPFRLSDSPLWDDSPDAKLKSAAKTFTGTPSILKKRHHDLLSPLSERKCGKKLETDMTSNLSKEFSCLDVMLDASGTGNTSQESPSECKTKSGVFIEEKENLCQAVDQEQYNGGDHTEPLDDEGQKKDSNGINSQGDIEKEACVTDAKDKTYANASDKIVQRPPEVLVEHNLNDLLLFSPDHVGLKADRPLLSSSTLTPRNQGLASECFSGNACIIVSSPTPQIKNSESQSISSATLENLADNAGNGAAIENYNMFSETPLKRSIESSSAWKSPWFINSFVPGPRIDTEITIEDIGYLMSPPDRSYDAIGLMKQLSEHTAATYADALEVLGNETPESIVKGRRSNNSKMDKENNQMETQSHLASDILVERRILDFSECGTPGKGTENRKSSTAVSFSSPTSSYLLKGCR >KJB07523 pep chromosome:Graimondii2_0_v6:1:2653394:2657151:-1 gene:B456_001G028200 transcript:KJB07523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSRIQRNVDDSGAKSRTEADDISECSQEPTMAGCSQTTSDLANAAVHTREHSHLTEISGVGKEKNSCPAPCSEEYYPSLEDVSFSIPEIPGEAGYSTCGDYQFGLTNLPNPSSLELGPESSGFKNHCIDTSRCHEVMNVALQTSVGLNAPTSFINTVTTSDKQEHMLITDDECCRVLFSETVTDGCFVSEDLTQGYNMVESSSQASDIQKSETGALQSNCPSRSEVLPTSCCQPFVPPLISVEDGTTLIYGRELGQLTGQPFETQEQELTMNVRDGFICTSDDHTYGTDMQERSYLDKDSPKLVPVNTFGSESNAMQTCPIVDDKPNLPAEQDEGGLCYEPPRFPSLDIPFFSCDLISSGSDKQQEYSPLGIRQLMMSSMNCISPFRLSDSPLWDDSPDAKLKSAAKTFTGTPSILKKRHHDLLSPLSERKCGKKLETDMTSNLSKEFSCLDVMLDASGTGNTSQESPSECKTKSGVFIEEKENLCQAVDQEQYNGGDHTEPLDDEGQKKDSNGINSQGDIEKEACVTDAKDKTYANASDKIVQRPPEVLVEHNLNDLLLFSPDHVGLKADRPLLSSSTLTPRNQGLASECFSGNACIIVSSPTPQIKNSESQSISSATLENLADNAGNGAAIENYNMFSETPLKRSIESSSAWKSPWFINSFVPGPRIDTEITIEDIGYLMSPPDRSYDAIGLMKQLSEHTAATYADALEVLGNETPESIVKGRRSNNSKMDKENNQMETQSHLASDILVERRILDFSECGTPGKGTENRKSSTAVSFSSPTSSYLLKGCR >KJB07524 pep chromosome:Graimondii2_0_v6:1:2653394:2659106:-1 gene:B456_001G028200 transcript:KJB07524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDRTTSTPSVVPSISDGAQRMRAFHGRTSGPTRRSTKGQWTPEEDEILRKAVQQFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDELIIELVNKFGPKNWSTIAQHLPGRIGKQCRERWHNHLNPAINKEAWTQEEELALIRAHQVYGNKWAELSKFLPGRTDNAIKNHWNSSVKKKVDSYVASGLLEQFQFPLLTNQSQSMPSSSSRIQRNVDDSGAKSRTEADDISECSQEPTMAGCSQTTSDLANAAVHTREHSHLTEISGVGKEKNSCPAPCSEEYYPSLEDVSFSIPEIPGEAGYSTCGDYQFGLTNLPNPSSLELGPESSGFKNHCIDTSRCHEVMNVALQTSVGLNAPTSFINTVTTSDKQEHMLITDDECCRVLFSETVTDGCFVSEDLTQGYNMVESSSQASDIQKSETGALQSNCPSRSEVLPTSCCQPFVPPLISVEDGTTLIYGRELGQLTGQPFETQEQELTMNVRDGFICTSDDHTYGTDMQERSYLDKDSPKLVPVNTFGSESNAMQTCPIVDDKPNLPAEQDEGGLCYEPPRFPSLDIPFFSCDLISSGSDKQQEYSPLGIRQLMMSSMNCISPFRLSDSPLWDDSPDAKLKSAAKTFTGTPSILKKRHHDLLSPLSERKCGKKLETDMTSNLSKEFSCLDVMLDASGTGNTSQESPSECKTKSGVFIEEKENLCQAVDQEQYNGGDHTEPLDDEGQKKDSNGINSQGDIEKEACVTDAKDKTYANASDKIVQRPPEVLVEHNLNDLLLFSPDHVGLKADRPLLSSSTLTPRNQGLASECFSGNACIIVSSPTPQIKNSESQSISSATLENLADNAGNGAAIENYNMFSETPLKRSIESSSAWKSPWFINSFVPGPRIDTEITIEDIGYLMSPPDRSYDAIGLMKQLSEHTAATYADALEVLGNETPESIVKGRRSNNSKMDKENNQMETQSHLASDILVERRILDFSECGTPGKGTENRKSSTAVSFSSPTSSYLLKGCR >KJB08163 pep chromosome:Graimondii2_0_v6:1:10138774:10139562:1 gene:B456_001G091700 transcript:KJB08163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKMKKLCLSLAIFGLVFFNSMDPSKAISLETNSTTISIIANNNELEFLLDSHFSRILQSSGSVSGNTANAGQPAADCGRGQSYDSCLPNPNRPATPQNCGTYNRACGR >KJB07387 pep chromosome:Graimondii2_0_v6:1:1792386:1793391:1 gene:B456_001G019300 transcript:KJB07387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVMKEGKKTEPVVIVKLAECDCCGLTEECTQAYIASVREKFEGRWLCGLCSEAVNDETVRSEEDITTNEAMDRHMKFCGQFKSSSPPANPAEDLISAMRHLFRRSLESPRKNKSSPSTSFSRSENCFSTLSNEEVRT >KJB08522 pep chromosome:Graimondii2_0_v6:1:9205229:9208247:-1 gene:B456_001G086300 transcript:KJB08522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLLLCFAFAVIVGIGNAAVMDTGGLSRDSFPKGFVFGTATSAYQVEGMANKGGRGPCIWDVYVKQPGHIANNDTADVAVDQYHHYKEDVDLLANFNFDAYRFSISWSRIFPEGVGRVNWEGVDYYNRLINYLVKKGITPYGNLYHYDLPQALQEKYNGFLNRQIVEDYADYAEFCFKTFGDRVKNWFTFNEPRIVAALGFDNGINPPSRCSKEVGNCTDGNSAIEPYIVGHNLILSHAEAVKRYREKYQAKQKGSIGILLDFNWYEPLTRSKADNYAAQRARDFHVGWFLHPIRYGEYPRTMQEIVGKRLPKFTEEEVKMVNGSFDYVGINQYTTSYISNPTTPSNVTSYQSDWNAAFANAKYGVQIGPRANSWWLYIVPWGMYKTVTYIKERYGDMNVIISENGMDDPGNATLPNGLKDTTRIKFFKDYMTQLKKAIDEGANVTGYFAWSLLDNFEWLLGYTSRFGIVYVDYNNLKRYPKMSAYWFKQLLEKKQ >KJB11081 pep chromosome:Graimondii2_0_v6:1:48049517:48050641:1 gene:B456_001G240500 transcript:KJB11081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYFSLKLSGHHHYHPKPSHHGSPPFTSPTITFFLTLSWTLDNHHQPKLLSTVRNIPPLTITPQKKKHQLDPKSKLSRHHLHHFSSPITPNRPSPSPTSTNLHYQPQNQLPVKSIKAINSFFKKSKGLFKQ >KJB09786 pep chromosome:Graimondii2_0_v6:1:23660997:23661894:-1 gene:B456_001G165900 transcript:KJB09786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLREQGVPWTSGAKTKQGEFLVGGNPLILGQNDHMSVSLLQPFFLMSKTRSYAKILIGSRLFLTAMAIYLSLRVAPLDLQQGGNSRIPYVHVPAARMSILVYIATAINTFLFLLTKHPLFLRSSGTSIEIGAFFTLFTLVTGGFRGRPMWGTFWVWDARLTSVFISFLIYLGALRFQKLPVEPASISICVGPIDIPIIKSSVNWWNTSHQPGSISRSGTSIHVPMPIPILSNFANFPFSTCILFVLETRLPIPSFLESPLTEEIEAREGIPKPSSLAESFCIHG >KJB11701 pep chromosome:Graimondii2_0_v6:1:54960098:54960698:-1 gene:B456_001G272900 transcript:KJB11701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNRKGRGFIKGKLAPLYRAVKPTAAVQCTNKAKPNQGSSTTASVGFRVHRDYMISQPKKISFVVPADKNRENLNQIDNFFGVVGDESVDIKAATYISSVRERFKLEKQLCTN >KJB09812 pep chromosome:Graimondii2_0_v6:1:24071855:24072208:-1 gene:B456_001G169000 transcript:KJB09812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QILTPLPIGFAVFLVHLATIPITGTGINPARSLGATIVYNRNHAWDDHWIFWVRPFIGAALYHQIIIRAIPFKTKA >KJB07573 pep chromosome:Graimondii2_0_v6:1:2851644:2853670:1 gene:B456_001G030500 transcript:KJB07573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYAVSYLKREKGEKRSWEFLGCEMGNVTSNVAARFAFFPPDPPTYDVHKDESGKLVLQGVTADKNIDVHMLDTKGGNKIIATFWRHPVARLTLLYSHGNAADLGQMHELFNELRAHLRVNIMSYDYSGYGASTGKPTEFNTYYDIEAVYNCLKKEYGVKQEDLIVYGQSVGSGPTLHLASRVKKLGGVVLHSAILSGIRVLYPVKMTFWFDIFKNIDKIRRVQCPVLVIHGTDDEIVDWSHGKRLWELSKEKYDPLWVKGGGHCNLETFPEYIKHLRKFISTIEKISISKPAKQLTSTPSITEHKHCKCLRLKAKKEKEES >KJB07574 pep chromosome:Graimondii2_0_v6:1:2851644:2853670:1 gene:B456_001G030500 transcript:KJB07574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYAVSYLKREKGEKRSWEFLGCEMGNVTSNVAARFAFFPPDPPTYDVHKDESGKLVLQGVTADKNIDVHMLDTKGGNKIIATFWRHPVARLTLLYSHGNAADLGQMHELFNELRAHLRVNIMSYDYSGYGASTGKPTEFNTYYDIEAVYNCLKKEYGVKQEDLIVYGQSVGSGPTLHLASRVKKLGGVVLHSAILSGIRVLYPVKMTFWFDIFKNIDKIRRVQCPVLVIHVSILISYVPYLRPNVIMVHSFCLINPLQGTDDEIVDWSHGKRLWELSKEKYDPLWVKGGGHCNLETFPEYIKHLRKFISTIEKISISKPAKQLTSTPSITEHKHCKCLRLKAKKEKEES >KJB07355 pep chromosome:Graimondii2_0_v6:1:1694582:1696986:-1 gene:B456_001G018200 transcript:KJB07355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFSDTTMLEFITMFRVMGLVLMVTQGCLAANQKHVDEQCRFTRYSTLCVDTMTGLGSENQKPDILSALINKTILETQLPTSYFTKFTTALHVDEAQHVNSITGYCEELLSMSLKRLDQSLLALKNSPNKNKHDIQTWISAALTYQQACKDSADSHNFSNGDLMGQISQKMDYLSQLGSNTLALANRIPGELTHFTKSRRLAEADRVFPEWLSAKDRKLLQVLTVKANAVVAKDGSGNYKTISEAINAASGGRFVIYVKTGVYKEKIRTNKDGITMVGDGKYSTIIVGSDSVNGGSSMPGSATFTVTGDGFMARDIGFQNTAGPQGEQALALYVASDHSVFYRCSIAGYQDTLYALSLRQFYRECDIYGTVDFIFGNAAAVFQSCTLALRRPSGYNVILASGRSDPGQNTGFSVQNCRIVPSSDFSPVKHSFESYLGRPWKQYSRAVVMESTIDNAIASRGWVEWPGEGGYSKSLYFAEYANVGPGAGTAGRVTWPGFHVIGRDEAAKFTVDRFIAGNFWLPSTGVTFISGLQ >KJB07171 pep chromosome:Graimondii2_0_v6:1:304110:304679:-1 gene:B456_001G003400 transcript:KJB07171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSLTLLLSVLLIVSCMSFQQVLQVEGWREIPVLCTHDEQCKQYCKGEYRCINGSCNCADEMQVQQHVNEAHSPTTMH >KJB10560 pep chromosome:Graimondii2_0_v6:1:40805366:40807433:-1 gene:B456_001G207400 transcript:KJB10560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHITASEVAGFGVGTLLLCATIAAPKVDAFISASQRSSLGLCKRCGDLRMIACSRCRGTGLIKANGSFSFNLIDSLYQSEEIKVKSVGCTKCQARGHFSCPDCSNTSTS >KJB10010 pep chromosome:Graimondii2_0_v6:1:27596030:27600010:-1 gene:B456_001G180000 transcript:KJB10010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLMNKLVSRSLSVAGKWQQQQLRRLNIHEYQGADLMNKYGINVPKGVAVSSIDEVKEAVKSAFPKENELVVKSQILAGGRGLGTFQNGFKGGVHIVKADQVEDIAGKMLGQILVTKQTGPQGKVVSKVYLCEKLSLVNEMYFAITLDRKTAGPLIIACSKGGTSIEDLAVKYPDMIIKVPVDVFKGITDEDAAMVVDGLAPKVADRNDSIEQVKKLYKLFCERDCTLLEINPLAETSSNQLVAADAKLNFDDNAAFRQKEIFALRDPSQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVVEAFKILTSDDKVKTILVNIFGGIMKCDVIASGIVNAAKQVALKVPVVVRLEGTNVDQGKRILKESGMTLIIAEDLDDAAEKAVKAASK >KJB06485 pep chromosome:Graimondii2_0_v6:1:26487516:26488753:-1 gene:B456_001G177500 transcript:KJB06485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAISLVLSRLILFLTLTCFAAVSSSTTTTTTLKRHSGFLYSRTRGKCTPQFWSSRREAWPRMVPQGSTVSNVFGSRASERYRSDMTLLESRAVNEEGNVFNELLKQASAALLNSYARKGFPYSAWEVKTLMIQGLVSEYAAARLTRRFSVANDACI >KJB08495 pep chromosome:Graimondii2_0_v6:1:8882470:8884422:1 gene:B456_001G084100 transcript:KJB08495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPTSPSSNKPTTTTIANYVSPPLSPPLEDLTTDFSTMYDFIFSSTLPESLSITPSTCSSSSDDLKQIVPGDVSTEDRLNQARLILEYQQLCDHFDLCVSRLQAIKGEVEKLRRENNDLRVANIELIKLLSQSSQAAMINRNLHCEKVADLNEKRWETGLKKSLPKSLSFNSCNYVQRVVNPPPPRVHVAPGTRREEKGIEMEVYNQGMVKTELCNKWQQTGTCPYADHCQFAHGITELRPVTRHPRYKTQVCRMILAGEACPYGHRCHFRHSLTEQEELLISP >KJB08930 pep chromosome:Graimondii2_0_v6:1:13251113:13253772:-1 gene:B456_001G113600 transcript:KJB08930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTNDQRQQERTGQYGTSRQQYLQELVNQFQNTSDEETKEKIAANLANFAYDPYNYSFLRQLNVLELFLDCITEPNEKLMEFGIGGICNSCVDPANAAIITQCGGIPLVIKCLSSPVRNTVNYALGALYYLCNKSNREEILKPEVIDVIERYAAAQTVNASFSNLAKAFLDKHVS >KJB07926 pep chromosome:Graimondii2_0_v6:1:5054285:5055107:-1 gene:B456_001G053200 transcript:KJB07926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQYNFFPTDFFYPRPPQPAPVLDSTPPSTVPIQKRVVVVDGDNDGKQRQPGTPVVHRRNNKTSVSMRRRQGEKVGSTSIYVQNPGDQRVKLPENSFSSLILVPEQDDSDSN >KJB11351 pep chromosome:Graimondii2_0_v6:1:52539208:52540327:1 gene:B456_001G254200 transcript:KJB11351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIGEMDIFSNSQNGGTLTNVSHNSGTSSSSTSSRYENQKRRDWNTFGQYLKNHRPPLALSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPPQQIPSLQLQVPPPPPGAS >KJB10699 pep chromosome:Graimondii2_0_v6:1:43360685:43362976:-1 gene:B456_001G216800 transcript:KJB10699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPQEEDKKPGDQSAAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGTSA >KJB10700 pep chromosome:Graimondii2_0_v6:1:43360698:43362847:-1 gene:B456_001G216800 transcript:KJB10700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPQEEDKKPGDQSAAHINLKVKGQAYVRMDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGTSA >KJB08083 pep chromosome:Graimondii2_0_v6:1:6260898:6262159:-1 gene:B456_001G062600 transcript:KJB08083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVDTNNPAFDADEDCPINPSHELAQFGAGCFWGVELAFQRVEGVIETTVGYSQGHVHDPNYKLVCTGSTNHVEVVRVQFDPQICPYVNLLSLFWSRHDPTTLNRQGGDVGAQYRSGIYYYNENQARLARESMEAKQLELKDKKIVTEILPAKRFYKAEEYHQQYLEKGGGLGDKQSAEKGCTDPIRCYG >KJB10613 pep chromosome:Graimondii2_0_v6:1:42101699:42103130:-1 gene:B456_001G211300 transcript:KJB10613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIIIPWIFYIQILAISSKAIAAVPAIIVFGDSSIDSGNNNYLPTLAKCNFEPYGRDFPGGTPTGRFCNGRLPPDFVSEGFGLKPIIPAYLDPTFSISDFATGVCFGSSGSGYDNSTAAILKVFPLWKQVEFFKDYQTKLRAYLGDEKANEVISEALYIISVGTNDFLINYYNFPQRRAQFTIPKYEDFLIGNAEDFIRKIYSLGARKLSLTGLPPMGCLPLQRTLNLKIPHSCSEERNIVALKFNEKLKVSVVKLTIELPGLEVLYTDVYELLSQLITQPSQYGFEVAETACCGTGLFETGILCNRLTPYTCLDADKYVFWDAFHPSERANKIMSDEMLPSLKLAFL >KJB07439 pep chromosome:Graimondii2_0_v6:1:2257435:2262601:1 gene:B456_001G024100 transcript:KJB07439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKDDEKNMEPMFPRLHVNDTEKGGPRAPPRNKMALYEQLSIPSQRFNPGLLPLNTSNSSSLVPPTSSSQGSCLQNRLFPSRVSNSASTNQAEKIHTRQHGGASVNAPMARLEPRKKVGDEDDFLVPVFVNSETGLQHCKNKEGFDGEKVCNVRDNDTNRCSSSGVGSRKEVRNQSEGSFQVCSSRERSIKPAANSSTRETIDGYAKEDNVSPDQYCEEIPASRLSGSREIDACLVEKLRADRQPVDNGCADDASLMKENGEGTLSRKRSLSYSEGNRSVPDETNNDSECHEDKTCGSLQWANGDKSDDVSETSAVDIVSGLDISADDVVGIIGQKRFWKARRAIVNQQRVFAVQVFELHRLIKVQRLIAGSPHILLEDAAYLSKPSFKDSPVKKLPQELIVKPVPQNNRKDDAEKISCKMECSAENAVGRTSLSSVKNGSQPSNNGPFLGNSPLSPANGDNKMNPWCFNQMLGHQWLVPVMSPSEGLIYKPYPGPGFMESACGGCGPFGQNPMTGNFMTSAYGVPTPHQGLGALPGTPLGGHSYFPPYGMPVMNPAFSGSAMEQMTQFAGVGSHAQSVQLSGSGANFNMQQQSSSNLPNEKNGAIPPVTKYQASKDAEQQRCNASSPSERTEKDGTRSTSEGKNTPSLLPTAPANLEGALKPRETDQRTRVIRVVPHNPRSATESAARIFQSIQKERKQRD >KJB07440 pep chromosome:Graimondii2_0_v6:1:2258226:2262572:1 gene:B456_001G024100 transcript:KJB07440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLEPRKKVGDEDDFLVPVFVNSETGLQHCKNKEGFDGEKVCNVRDNDTNRCSSSGVGSRKEVRNQSEGSFQVCSSRERSIKPAANSSTRETIDGYAKEDNVSPDQYCEEIPASRLSGSREIDACLVEKLRADRQPVDNGCADDASLMKENGEGTLSRKRSLSYSEGNRSVPDETNNDSECHEDKTCGSLQWANGDKSDDVSETSAVDIVSGLDISADDVVGIIGQKRFWKARRAIVNQQRVFAVQVFELHRLIKVQRLIAGSPHILLEDAAYLSKPSFKDSPVKKLPQELIVKPVPQNNRKDDAEKISCKMECSAENAVGRTSLSSVKNGSQPSNNGPFLGNSPLSPANGDNKMNPWCFNQMLGHQWLVPVMSPSEGLIYKPYPGPGFMESACGGCGPFGQNPMTGNFMTSAYGVPTPHQGLGALPGTPLGGHSYFPPYGMPVMNPAFSGSAMEQMTQFAGVGSHAQSVQLSGSGANFNMQQQSSSNLPNEKNGAIPPVTKYQASKDAEQQRCNASSPSERTEKDGTRSTSEGKNTPSLLPTAPANLEGALKPRETDQRTRVIRVVPHNPRSATESAARIFQSIQKERKQRD >KJB08939 pep chromosome:Graimondii2_0_v6:1:13426312:13430939:1 gene:B456_001G114600 transcript:KJB08939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 2 [Source:Projected from Arabidopsis thaliana (AT5G58970) UniProtKB/Swiss-Prot;Acc:Q9ZWG1] MADLKFSFAETFLCSAFAACFAEFCTIPLDTAKVRLQLQKKAVGDEVNLPKYRGLLGTMATIAKEERLSALWKGIVAGLHRQCIYGGLRIGLYEPVKTLLVGADYVGDIPLYQKIIAALLTGALAITVANPTDLVKVRLQAEGKFPAGIARRYYGTLDAYYTIVREEGLAALWTGLGPNIARNAIVNAAELASYDQVKQTILKIPGFSDNVLTHLLAGLGAGFFAVCIGSPIDVVKSRMMGDSGYKNTLDCFIRTLRNEGFLAFYKGFVPNFTRLGSWNVVMFLTLEQVKKAFRGELYYD >KJB11046 pep chromosome:Graimondii2_0_v6:1:47623145:47627926:1 gene:B456_001G238100 transcript:KJB11046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFGSLAVDENRPPTRQSSGKVCKVCGDKIGRDEDGELFVACHVCAFPVCRPCYEYERSEGTQCCPQCNTRYKRQKGSARVAGDDEDNYDQDDFDDEFQTKNRKDDLDQHENGDYNNQQWHPNGQAFSVAGSTAGKDFEGDKEIYGSAEWKERVEKWKVRQEKRGLVGNYGDAGHDQAEQEEEEDYLMAEARQPLWRKVPISSSLINPYRIVIVLRLFVLIFFLRFRILTPAYDAFPLWLISVICEVWFAFSWILDQFPKWFPITRETYLDRLSLRFEREGEPNQLGSVDVFVSTVDPLKEPPIITANTVLSILSVDYPVEKVSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKHNVEPRAPEFYFSEKIDYLKNKVHPSFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSAGALDVDGKELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPFILNLDCDHYINNSKAIREAMCFLMDPQFGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMLGLDGLQGPVYVGTGCVFNRQALYGYDPPVSKKRPKMTCDCWPSWCCCCCGGSRKKSKKTGEKKSFLGRLLHSKKKKMMGKNYVRKGSVPVFNLEEIEEGLEGYDELEKSSLMSQKNFEKRFGQSPVLITSTLMENGGLPEGTNTNSLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCVPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYAGKLKWLERLAYINTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLSNLTSVWFLALFLSIIATSVLELRWSGVSIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTAKAAEDAEFGELYLFKWTTLLIPPTTLIILNMVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQTGPVLKQCGVEC >KJB11047 pep chromosome:Graimondii2_0_v6:1:47623145:47627926:1 gene:B456_001G238100 transcript:KJB11047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFGSLAVDENRPPTRQSSGKVCKVCGDKIGRDEDGELFVACHVCAFPVCRPCYEYERSEGTQCCPQCNTRYKRQKGDDEDNYDQDDFDDEFQTKNRKDDLDQHENGDYNNQQWHPNGQAFSVAGSTAGKDFEGDKEIYGSAEWKERVEKWKVRQEKRGLVGNYGDAGHDQAEQEEEEDYLMAEARQPLWRKVPISSSLINPYRIVIVLRLFVLIFFLRFRILTPAYDAFPLWLISVICEVWFAFSWILDQFPKWFPITRETYLDRLSLRFEREGEPNQLGSVDVFVSTVDPLKEPPIITANTVLSILSVDYPVEKVSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKHNVEPRAPEFYFSEKIDYLKNKVHPSFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSAGALDVDGKELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPFILNLDCDHYINNSKAIREAMCFLMDPQFGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMLGLDGLQGPVYVGTGCVFNRQALYGYDPPVSKKRPKMTCDCWPSWCCCCCGGSRKKSKKTGEKKSFLGRLLHSKKKKMMGKNYVRKGSVPVFNLEEIEEGLEGYDELEKSSLMSQKNFEKRFGQSPVLITSTLMENGGLPEGTNTNSLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCVPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYAGKLKWLERLAYINTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLSNLTSVWFLALFLSIIATSVLELRWSGVSIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTAKAAEDAEFGELYLFKWTTLLIPPTTLIILNMVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQTGPVLKQCGVEC >KJB07928 pep chromosome:Graimondii2_0_v6:1:5095171:5098240:-1 gene:B456_001G053500 transcript:KJB07928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKPKPECCNPVKKRGPVSMEHVLLALGETKEERDLRIRSLFSFFDAADVGFLDYTQIEKGLSALQIPAEYKYANDLLKVCDANRDGCVDYYEFKRYMDDKELELYRIFQAIDVEHSGCILPEELWDALVKAGIQINDEKLAQFVEHVDKDNNGIITFEEWRDFLLLYPHEANVENIYHHWERVCHVDIGEHAVIPQGINKHVPRINSFIAGGIAGAFSRTATAPFDRLKVVLQVQTTRTSILPAVTKILKEEGFLGFFRGNGLNIVKVTPESAIKFYTFEMLKDVIGEHMGDNKGDIGAGGKLVVGGVAGAVAQSTIYPMDLVKTRLQTCASEGGKAPHLGKLTRDIWVQEGPRAFYKGLVPSIFGIIPYASIDLTVYETLRDFSRTHILQDSEPGPLVNICCGAISGALGATCIYPLQVIRTRMQAQRTTSNTVYNGISDVFWRTYRKEGYRGFYKGLIPTLLKVVPAASSSYLVYEAMKKRLNFD >KJB09805 pep chromosome:Graimondii2_0_v6:1:23807061:23808392:-1 gene:B456_001G168100 transcript:KJB09805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTCFFHSLRLSDGTWLFPKAFPVRPLASFNQKAFLAPGLGSSPSMANSVLSHRCAFRLAFTPPTPTDSMSLGIGSFQNSFPLELGSFPPKSMILGYLIRHFHLSN >KJB11501 pep chromosome:Graimondii2_0_v6:1:53811575:53816880:-1 gene:B456_001G262400 transcript:KJB11501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASVSGFCLSSNTTSPSNSLRQPTLRRPSIVANLNSSTSPPTLIRNQPVFAAPTPLLTPSNWKETMGDPSYEEAIEALKKLLNEKGELKSAATAKVEQVTAELKTAASSDESVDRLKQGFIYFKTEKYEKNPALYGELAKGQSPTYMIVACSDSRVCPSHVLNIQPGEAFVVRNVANMVPPYDQNKYSGTGSAIEYAVLHLKVKEIVVIGHSACGGIKGLMSFPYDGTTSTDFIEDWVKIGMPARKKVHAENRGEPLGLQCTFCEKEAVNVSLGNLLSYPFVRNGLVNNTLTLRGGYYDFIKGNFQLWTIDFQLSSSLAL >KJB11500 pep chromosome:Graimondii2_0_v6:1:53811966:53815015:-1 gene:B456_001G262400 transcript:KJB11500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPSYEEAIEALKKLLNEKGELKSAATAKVEQVTAELKTAASSDESVDRLKQGFIYFKTEKYEKNPALYGELAKGQSPTYMIVACSDSRVCPSHVLNIQPGEAFVVRNVANMVPPYDQNKYSGTGSAIEYAVLHLKVKEIVVIGHSACGGIKGLMSFPYDGTTSTDFIEDWVKIGMPARKKVHAENRGEPLGLQCTFCEKEAVNVSLGNLLSYPFVRNGLVNNTLTLRGGYYDFIKGNFQLWTIDFQLSSSLAL >KJB11503 pep chromosome:Graimondii2_0_v6:1:53811575:53818979:-1 gene:B456_001G262400 transcript:KJB11503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEREQKLISNVETSSTKKETMGDPSYEEAIEALKKLLNEKGELKSAATAKVEQVTAELKTAASSDESVDRLKQGFIYFKTEKYEKNPALYGELAKGQSPTYMIVACSDSRVCPSHVLNIQPGEAFVVRNVANMVPPYDQNKYSGTGSAIEYAVLHLKVKEIVVIGHSACGGIKGLMSFPYDGTTSTDFIEDWVKIGMPARKKVHAENRGEPLGLQCTFCEKEAVNVSLGNLLSYPFVRNGLVNNTLTLRGGYYDFIKGNFQLWTIDFQLSSSLAL >KJB11502 pep chromosome:Graimondii2_0_v6:1:53811575:53816731:-1 gene:B456_001G262400 transcript:KJB11502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASVSGFCLSSNTTSPSNSLRQPTLRRPSIVANLNSSTSPPTLIRNQPVFAAPTPLLTPSNWKETMGDPSYEEAIEALKKLLNEKGELKSAATAKVEQVTAELKTAASSDESVDRLKQGFIYFKTEKYEKNPALYGELAKGQSPTYMIVACSDSRVCPSHVLNIQPGEAFVVRNVANMVPPYDQNKYSGTGSAIEYAVLHLKVKEIVVIGHSACGGIKGLMSFPYDGTTSTDFIEDWVKIGMPARKKVHAENRGEPLGLQCTFCEKEAVNVSLGNLLSYPFVRNGLVNNTLTLRGGYYDFIKGNFQLWTIDFQLSSSLAL >KJB06739 pep chromosome:Graimondii2_0_v6:1:967284:969596:1 gene:B456_001G010100 transcript:KJB06739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTMYSNLTKNQAFPIVIRFSSQTSKTQLLPVRYSPKPSQNPSLMYSTHKGLHFHHKCLKPESVLSEFRIRSAIQCCSLVRARSFSSLNSKRVGEDRVFSKAGKALPWLASGEVNRGKGSHKVAIAKTDSRSSWEESLDRLEKENNSSSSWEESNESFFGKIGGKDVKESRHRKVDMIRDRRNGLNGSHSKRDESNEEEEVREEDELVNDPRWDKIKGRFRGMVDREFGSEKPEFRRWNKQESWGRKTWKEATESTLPKMVGEGVYGVGPVLAALSAGRREFYALYVQEGLDLSSNNRKKKDKKGFEKVFKLAEKLGLNVKEVSKHDLNMIADNRPHQGLVLDASPLEMVKIKELDPVPDEEKVSLWVALDEVTDPQNLGAIIRSAYFFGASGVVLCAKNSAPLSGVVSKASAGSLELMELRYCKNMMQFLVSSAQNGWRVLGGSVSPRAVPLNKVLPGVPTILVLGSEGTGLRPLVERSCTQLVRIPGNIPTDITTGDVDDMETKEVNSGCSIEEFRSFLAVESLNVSVAAGVLLHHLIGSSHGISSPIDNKLTEMHQ >KJB07495 pep chromosome:Graimondii2_0_v6:1:2534222:2539419:-1 gene:B456_001G027200 transcript:KJB07495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIQRGISKLLGKHELALGNTFVANKASRPPGKEVPPQEFNRKVLEGFQVSPLWNQGFICDDGRTYYAKTVNTARWEYSTRPIYGWGDVGSKQKSTAGWAAALPIFEPHWQICMASGLSTGWIEWDGERFEFQDAPSYSEKNWGGGFPRKWFWAQCNVFEGARGKISLTTAGGLRQLPGLTETFENTALIGVHYDGIFYEFVPWNGVVTWEIAQWGYWNIAAENKTHMVELEATTTDSGTTLRAPTVEAGLTPACLDTCLGDLTLKIWEKNVGGSKGKLILDVKSDMAALEVGGGPWFNTWKGKTTTPEVIKSALQVPIDVEGIFGLAPFLKPPGL >KJB07497 pep chromosome:Graimondii2_0_v6:1:2534368:2539209:-1 gene:B456_001G027200 transcript:KJB07497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNIYSLNQLHQFSSCFIGLHSLNSKSTLKFSQSSTFNASPRELGPLRLGFRSNSPVVACSSVSEIESETSSPAAKRSVSVSPVYVPTPVNRETRTPHSGYHFDGTTRQFFEGWYFKVSIPARKQSFCFMYSVENPAFKRTLTPLEMLQHGPRFTGVGAQVLGANGKYICQYSEESQNFWGSRHELALGNTFVANKASRPPGKEVPPQEFNRKVLEGFQVSPLWNQGFICDDGRTYYAKTVNTARWEYSTRPIYGWGDVGSKQKSTAGWAAALPIFEPHWQICMASGLSTGWIEWDGERFEFQDAPSYSEKNWGGGFPRKWFWAQCNVFEGARGKISLTTAGGLRQLPGLTETFENTALIGVHYDGIFYEFVPWNGVVTWEIAQWGYWNIAAENKTHMVELEATTTDSGTTLRAPTVEAGLTPACLDTCLGDLTLKIWEKNVGGSKGKLILDVKSDMAALEVGGGPWFNTWKGKTTTPEVIKSALQVPIDVEGIFGLAPFLKPPGL >KJB07496 pep chromosome:Graimondii2_0_v6:1:2534368:2538004:-1 gene:B456_001G027200 transcript:KJB07496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSVENPAFKRTLTPLEMLQHGPRFTGVGAQVLGANGKYICQYSEESQNFWGSRHELALGNTFVANKASRPPGKEVPPQEFNRKVLEGFQVSPLWNQGFICDDGRTYYAKTVNTARWEYSTRPIYGWGDVGSKQKSTAGWAAALPIFEPHWQICMASGLSTGWIEWDGERFEFQDAPSYSEKNWGGGFPRKWFWAQCNVFEGARGKISLTTAGGLRQLPGLTETFENTALIGVHYDGIFYEFVPWNGVVTWEIAQWGYWNIAAENKTHMVELEATTTDSGTTLRAPTVEAGLTPACLDTCLGDLTLKIWEKNVGGSKGKLILDVKSDMAALEVGGGPWFNTWKGKTTTPEVIKSALQVPIDVEGIFGLAPFLKPPGL >KJB10208 pep chromosome:Graimondii2_0_v6:1:30908085:30910824:1 gene:B456_001G189200 transcript:KJB10208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNMKTWLIWLALIGTMVTEEVIGIDAAMTIHHPEVSVLFPTIAFSKKLLILGIVAAQDSLGVEVNVYFNNM >KJB06953 pep chromosome:Graimondii2_0_v6:1:461842:462535:-1 gene:B456_001G004900 transcript:KJB06953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHENITVITSPKGSNSEAAASAAANSPKGGQCLCSPTTHQGSFRCRFHRSSSSSWMMKRSKSMPTNNTSMVSLSPKSVETT >KJB06708 pep chromosome:Graimondii2_0_v6:1:17934048:17937805:1 gene:B456_001G136900 transcript:KJB06708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLLHFKTAAAVAVASAKAAENDNVSRVQLPDKTRNSRVLVLGGTGRVGGSTATALSKLCPDLRIVVGGRNREKGAAMVATLGKNSEFAEVNINNKDSLEAALSDVDLVVHAAGPFQQSQKCTVLEAAIETQTAYLDVCDDTNYAFRAKSFKDRAVDANISAITTGGIYPGVSNVMAAELVHAARSESKTEPERLRFSYYTAGSGGAGPTILATSFLLLGEEVVAYNKGQKIKLKPFTGMLNVDFGKGIGKRDVYLLNLPEVRSAHEILEVPTVSARFGTAPFFWNWGMEAMTNLLPAVCSYII >KJB06707 pep chromosome:Graimondii2_0_v6:1:17934048:17937805:1 gene:B456_001G136900 transcript:KJB06707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLLHFKTAAAVAVASAKAAENDNVSRVQLPDKTRNSRVLVLGGTGRVGGSTATALSKLCPDLRIVVGGRNREKGAAMVATLGKNSEFAEVNINNKDSLEAALSDVDLVVHAAGPFQQSQKCTVLEAAIETQTAYLDVCDDTNYAFRAKSFKDRAVDANISAITTGGIYPGVSNVMAAELVHAARSESKTEPERLRFSYYTAGSGGAGPTILATSFLLLGEEVVAYNKGQKIKLKPFTGMLNVDFGKGIGKRDVYLLNLPEVRSAHEILEVPTVSARFGTAPFFWNWGMEAMTNLLPAVCSYII >KJB06706 pep chromosome:Graimondii2_0_v6:1:17934004:17938014:1 gene:B456_001G136900 transcript:KJB06706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLLHFKTAAAVAVASAKAAENDNVSRVQLPDKTRNSRVLVLGGTGRVGGSTATALSKLCPDLRIVVGGRNREKGAAMVATLGKNSEFAEVNINNKDSLEAALSDVDLVVHAAGPFQQSQKCTVLEAAIETQTAYLDVCDDTNYAFRAKSFKDRAVDANISAITTGGIYPGVSNVMAAELVHAARSESKTEPERLRFSYYTAGSGGAGPTILATSFLLLGEEVVAYNKGQKIKLKPFTGMLNVDFGKGIGKRDVYLLNLPEVRSAHEILEVPTVSARFGTAPFFWNWGMEAMTNLLPAEFLRDRSKVQQLVEWFDPLVRAVDGIAGERVSMRVDLECTNGRSTLALFSHRRLSVAVGNATAAFAVAILEGSTQPGVWFPEEPEGIAVEAREELLKRAAEGAIAFVMNKPPWMVETDPKELGLGIYV >KJB06709 pep chromosome:Graimondii2_0_v6:1:17934276:17938014:1 gene:B456_001G136900 transcript:KJB06709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATLGKNSEFAEVNINNKDSLEAALSDVDLVVHAAGPFQQSQKCTVLEAAIETQTAYLDVCDDTNYAFRAKSFKDRAVDANISAITTGGIYPGVSNVMAAELVHAARSESKTEPERLRFSYYTAGSGGAGPTILATSFLLLGEEVVAYNKGQKIKLKPFTGMLNVDFGKGIGKRDVYLLNLPEVRSAHEILEVPTVSARFGTAPFFWNWGMEAMTNLLPAEFLRDRSKVQQLVEWFDPLVRAVDGIAGERVSMRVDLECTNGRSTLALFSHRRLSVAVGNATAAFAVAILEGSTQPGVWFPEEPEGIAVEAREELLKRAAEGAIAFVMNKPPWMVETDPKELGLGIYV >KJB08889 pep chromosome:Graimondii2_0_v6:1:12884062:12885851:-1 gene:B456_001G111100 transcript:KJB08889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASVVACILLFLSSTMWMGEARIPGVYSGGAWQNAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGFSCGACFEIKCASDPQWCHSGSPSIFITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKRGGIRFTINGFRYFNLVLISNVAGSGNIVKASVKGSRTGWMSMGRNWGQNWQSNVVLVGQSLSFRVTGSDRRTSTSWNIVPAHWQFGQTFTGKNFRV >KJB08890 pep chromosome:Graimondii2_0_v6:1:12884424:12885751:-1 gene:B456_001G111100 transcript:KJB08890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASVVACILLFLSSTMWMGEARIPGVYSGGAWQNAHATFYGGSDASGTMGMYFSLILLISHFRLNKTLKSTNTDFAGGACGYGNLYSQGYGVNTAALSTALFNNGFSCGACFEIKCASDPQWCHSGSPSIFITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKRGGIRFTINGFRYFNLVLISNVAGSGNIVKASVKGSRTGWMSMGRNWGQNWQSNVVLVGQSLSFRVTGSDRRTSTSWNIVPAHWQFGQTFTGKNFRV >KJB06470 pep chromosome:Graimondii2_0_v6:1:7302321:7305896:1 gene:B456_001G072100 transcript:KJB06470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPLIPTVDLSPFTKNDEDGKKKAMEVITKACSEYGFFQIVNHGVPINLLQRALELSKVFFEYPSDEKLKSSPASNAPLPAGYNTQPQQSPDKNEYLLMFPPGSTFNVFPQNPPDFKRVLEDVFSKLRETGLLVETIVNQCLGLPPNFLKEYNHDRSWDFMVALRYFPATESENNGLTEHEDSNCISLVFQDEAGGLEVRKDGEWIPVIPAKGTLVVNISDVIQVLSNNKFKSATHRVVRPKGRSRYSFAFFYNLQGDKWVEPLPHFTKDIGESPKYRGFQYSEYLQLRLRNKTHPPTTPEDEIRITHYNITS >KJB06471 pep chromosome:Graimondii2_0_v6:1:7302370:7303666:1 gene:B456_001G072100 transcript:KJB06471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPLIPTVDLSPFTKNDEDGKKKAMEVITKACSEYGFFQIVNHGVPINLLQRALELSKVFFEYPSDEKLKSSPASNAPLPAGYNTQPQQSPDKNEYLLMFPPGSTFNVFPQNPPDFKRVLEDVFSKLRETGLLVETIVNQCLGLPPNFLKEYNHDRSWDFMVALRYFPATESENNGLTEHEDSNCISLVFQDEAGGLEVRKDGEWIPVIPAKGTLVVNISDVIQVIQHHLFFFVIKVT >KJB06850 pep chromosome:Graimondii2_0_v6:1:23579571:23580544:1 gene:B456_001G165000 transcript:KJB06850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRLTSTKAISINLRSLMRRRHREERKRHYPFFELKKPKGRTSAAVTSRKKDEQSIPFTGPYRSRGRGEIDRRPILQQLAEEPLNASTWPLKKAKAGRETRPTYFLLPWKSITERSGDLVLLAGRKEASGPPFPAR >KJB10595 pep chromosome:Graimondii2_0_v6:1:41723953:41726686:-1 gene:B456_001G210100 transcript:KJB10595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYSVIFLITILQSAIFVASEQPAYVPTDNITLNCGAPTDLLANDGRSWAADKTSNFGPFESSHNKSQAYEADTQDGSETVPYMTARVSRSEFKYTFPVTSGQKFVRLYFHPASYEGNDRSKAFFSVKAGSFTLLKNFSASLVADSKGRNWFFREFCLNVEDNEVLDLVFTPSRSASNDTYAIINGIEIVSMPTNLYYTPPDSRYVRFVGQSSPFPVENDTALEMAYRLNVGGQTISPNDDTGLFRLWSDDIVYSTENSYFTMNNSVPINYTMILRYTAPAIVYQTARVMGPSPAYNEKHNLSWRLPVGSGFKYMVRLHFCEPQDPVNSPGDRTFKVFINSQTAEENADVIMWTRHGRVPIFKDYVVLVSKEYITIDLHPIPSKLHDVILNGIEVFKLSNSDGNLGEPNPELLVAPPPPSVSSNSAGAKSKKRSSLIACVGCAAGLIAIISLLVCMVVRRQRKGTSWLCWWVKQNEGKSTRTLLLPDELCRHFSLDEIKAATNNFHDDLVVGKGGFGKVYKGIMDEGEKIVAIKRLNRESSQGIREFLTEIEMLSQLRHVHLVSLIGYCNEKREMILVYDFMRNGTLSDHLYGTSFAYDPLTWKQRLEICKGAATGLNYLHTEVRHTVIHRDVKTSNILLDDKFIAKVSDFGLSKEDPKDKVIITGIKGTRGYMDPEYARGHKLTEKSDVYAFGVVLFEVLYARKAVNTKLPEAQMSLAHWAKQCIADGTLYKVIDPYLIGKIAPECFKVFVEIAESCIAEVGTGRPSMNDVMERLGFAIELQQAADAEMSKTDSASECRYPDIVFPVARDVDFDDESEVDSELDSNVCRVGLLDSDTNGLSHPTIDSSTSVDTFSSTTNNIKSIGN >KJB09228 pep chromosome:Graimondii2_0_v6:1:16511305:16515986:1 gene:B456_001G130300 transcript:KJB09228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDCRFALLLLFLTSVTLHTKAEVITLTPDTFSDKVKEKDTAWFVKFCVPWCKHCKNLGTLWDELGKAVEGEDEIEIGEVDCSVSKPVCTKVDIHSYPTFKLFYDGEEVAKYQGKRDVESLKAFALEEAEKAAEKAQLDTDKEL >KJB09229 pep chromosome:Graimondii2_0_v6:1:16511387:16515986:1 gene:B456_001G130300 transcript:KJB09229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDCRFALLLLFLTSVTLHTKAEVITLTPDTFSDKVKEKDTAWFVKFCVPWCKHCKNLGTLWDELGKAVEGEDEIEIGEVDCSVTFALEEAEKAAEKAQLDTDKEL >KJB09227 pep chromosome:Graimondii2_0_v6:1:16511387:16514155:1 gene:B456_001G130300 transcript:KJB09227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDCRFALLLLFLTSVTLHTKAEVITLTPDTFSDKVKEKDTAWFVKFCVPWCKHCKNLGTLWDELGKAVEGEDEIEIGEVDCSVSKPVCTKVDIHSYPTFKLFYDGEEVAKYQGKRDVESLKAFALEEAEKAAEKAQLDTDKEL >KJB09547 pep chromosome:Graimondii2_0_v6:1:20470993:20472095:-1 gene:B456_001G148900 transcript:KJB09547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSYQFTTAILLVGLYFSTRFNIAETAPVWFPAHATFYGGVDASGTMGGACGYGNLFTDGYGTSSAAVSTVLFNGGKSCGGCYRIVCDAKNAPQWCVKGKSITITATNFCPPNYALPSDTGGWCNPPRPHFDMSQPAFETIAKYKAGIVPILYRKVTCRRSGGIRFTINGRNYFQLVLISNVGGAGEINKVWVKGSRSNKWEAMSRNWGAKWQSLSYLSGQSLSFKIQAGNGRTKAALNVVPSGWQFGQSFKSNVQF >KJB09546 pep chromosome:Graimondii2_0_v6:1:20471006:20471757:-1 gene:B456_001G148900 transcript:KJB09546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFPGGACGYGNLFTDGYGTSSAAVSTVLFNGGKSCGGCYRIVCDAKNAPQWCVKGKSITITATNFCPPNYALPSDTGGWCNPPRPHFDMSQPAFETIAKYKAGIVPILYRKVTCRRSGGIRFTINGRNYFQLVLISNVGGAGEINKVWVKGSRSNKWEAMSRNWGAKWQSLSYLSGQSLSFKIQAGNGRTKAALNVVPSGWQFGQSFKSNVQF >KJB11264 pep chromosome:Graimondii2_0_v6:1:51830660:51831816:1 gene:B456_001G250600 transcript:KJB11264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTSIPVSLDPPKGQQHSNHQAGPGNSAQHFRPPPLLPPHGGGEGAGSIRPGSMADRARLVNIPVPEVALKCPRCESTNTKFCYFNNYSLTQPRHLCKTCKRYWTRGGALRNVPVGGGCRRNKRSKGSVPKSPVRGDRQTASGSSNTTISSNIGPQVPPLRFMAPLHHHTEFGGRTDIGLNNGSVPASSMTSLDQWRLQQPPQFPFLGASYQFESQGVEPSGCGVRPRISSSMATLMASLKMEDNDQQKVRFSRPFLDVPGKDQYWGGTPWTDLSCFTSSSTKNPL >KJB06620 pep chromosome:Graimondii2_0_v6:1:191145:192104:-1 gene:B456_001G002200 transcript:KJB06620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLMSFPKMDDQMAIQEAATQGMKSMEHLISLLSHQSNRLDCAEVADLTVSKFKKVISLINRTGHARFRRAPIQSSSFSSPSSSAASLTVTDSHKALTRTPAPVETPPLTVNPAPVEAPSTDPASFVPLQPQSLTLDFTKPCLFSSNTKSTELEFTKESFSVSSNSSFMSSAITGDGSVSNGKQGSSLFLTPAAAVSSGKPPLSSAPFKKRCREHEHSENVSGNGKCHCSKRRKNRVKKVIRVPAISSKIADIPADEYSWRKYGQKPIKGSPYPRYLP >KJB06621 pep chromosome:Graimondii2_0_v6:1:190590:192301:-1 gene:B456_001G002200 transcript:KJB06621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLMSFPKMDDQMAIQEAATQGMKSMEHLISLLSHQSNRLDCAEVADLTVSKFKKVISLINRTGHARFRRAPIQSSSFSSPSSSAASLTVTDSHKALTRTPAPVETPPLTVNPAPVEAPSTDPASFVPLQPQSLTLDFTKPCLFSSNTKSTELEFTKESFSVSSNSSFMSSAITGDGSVSNGKQGSSLFLTPAAAVSSGKPPLSSAPFKKRCREHEHSENVSGNGKCHCSKRRKNRVKKVIRVPAISSKIADIPADEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPSMLIVTYEGEHRHSEGAAQENMAPGVGLVVFKST >KJB06619 pep chromosome:Graimondii2_0_v6:1:190590:192201:-1 gene:B456_001G002200 transcript:KJB06619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLMSFPKMDDQMAIQEAATQGMKSMEHLISLLSHQSNRLDCAEVADLTVSKFKKALTRTPAPVETPPLTVNPAPVEAPSTDPASFVPLQPQSLTLDFTKPCLFSSNTKSTELEFTKESFSVSSNSSFMSSAITGDGSVSNGKQGSSLFLTPAAAVSSGKPPLSSAPFKKRCREHEHSENVSGNGKCHCSKRRKNRVKKVIRVPAISSKIADIPADEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPSMLIVTYEGEHRHSEGAAQENMAPGVGLVVFKST >KJB06413 pep chromosome:Graimondii2_0_v6:1:53390053:53393981:1 gene:B456_001G259100 transcript:KJB06413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-thioredoxin reductase, catalytic chain [Source:Projected from Arabidopsis thaliana (AT2G04700) UniProtKB/TrEMBL;Acc:A0A178VTW5] MTLQATSCNFGAISSLLRPPKISRHRFVIRAEVEPSEKSVEIMRKFSEQYARRSGTYFCMDKGVTSVVIKGLAEHKDSLGAPLCPCRHYDDKAAEVSQGFWNCPCVPMRERKECHCMLFLTPDNDFAGQDQTITLEEIKETTANM >KJB06414 pep chromosome:Graimondii2_0_v6:1:53390308:53392184:1 gene:B456_001G259100 transcript:KJB06414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-thioredoxin reductase, catalytic chain [Source:Projected from Arabidopsis thaliana (AT2G04700) UniProtKB/TrEMBL;Acc:A0A178VTW5] MTLQATSCNFGAISSLLRPPKISRHRFVIRAEVEPSEKSVEIMRKFSEQYARRSGTYFCMDKGVTSVVIKGLAEHKDSLGAPLCPCRHYDDKAAEVSQGFWNCPCVPMRER >KJB06415 pep chromosome:Graimondii2_0_v6:1:53390075:53393134:1 gene:B456_001G259100 transcript:KJB06415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-thioredoxin reductase, catalytic chain [Source:Projected from Arabidopsis thaliana (AT2G04700) UniProtKB/TrEMBL;Acc:A0A178VTW5] MTLQATSCNFGAISSLLRPPKISRHRFVIRAEVEPSEKSVEIMRKFSEQYARRSGTYFCMDKGVTSVVIKGLAEHKDSLGAPLCPCRHYDDKAAEVSQGFWNCPCVPMRERKECHCMLFLTPDNDFAGQDQVYIWATKSFLSVLTSRT >KJB10930 pep chromosome:Graimondii2_0_v6:1:46985642:46989447:-1 gene:B456_001G233000 transcript:KJB10930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADRVEEGAGKRSVKERLNGNSAGNFIPRRQIAGKRQRQDDKWEHDLYQEDGPNVSNRKVDARDLRLKLRRKSLQNVSQSGRGTLSGVRDLREKLSGTMNAQPINADPRKQKVKAAQPARKSVAVETPELDPRRAANTPARKKAKQSADTSVEGFLQSLGLEKYAITFQAEEVDMTALVHMTDGDLKALGIPMGPRKKIILELESRA >KJB09181 pep chromosome:Graimondii2_0_v6:1:16213008:16214998:-1 gene:B456_001G127900 transcript:KJB09181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRRWALPRYTSMKDGPDHNPFFKASVFVNSKLFNSSVPCKSCKEAQSDAAKSAFLYFGSSSYSLAAAAGNPNPVEEVDLGFYKNLLQELTQREEWSLPEYKTEKCGVPHRPIFFSSVEVGGDIFYGKGGKFKKEAEINAAKVAYTNLTERLQVSSSELCSPQHLTSEHLKVMSTSVLVTSMENEENETGGKELPKSTTSNENSASCSRFVAVSPKQGIQGEPLKSLSSADLTTAVEIEEDAEEYVQEANTQGKEGLTCSESTRVDISGLSISHSNMEKDTGFTSYLLNNRFRVYRRFPDIAFSQGITVLPISEDKWVAVSLEFPNERDD >KJB09180 pep chromosome:Graimondii2_0_v6:1:16212988:16215111:-1 gene:B456_001G127900 transcript:KJB09180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRRWALPRYTSMKDGPDHNPFFKASVFVNSKLFNSSVPCKSCKEAQSDAAKSAFLYFGSSSYSLAAAAGNPNPVEEVDLGFYKNLLQELTQREEWSLPEYKTEKCGVPHRPIFFSSVEVGGDIFYGKGGKFKKEAEINAAKVAYTNLTERLQVSSSELCSPQHLTSEHLKVMSTSVLVTSMENEENETGGKELPKSTTSNENSASCSRFVAVSPKQGIQGEPLKSLSSADLTTAVEIEEDAEEYVQEANTQGKEGLTCSESTRVDISGSEFIDASPTLHFHRASLYCLSVRINGWL >KJB06821 pep chromosome:Graimondii2_0_v6:1:1246003:1251379:1 gene:B456_001G013100 transcript:KJB06821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol O-acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G19450) UniProtKB/Swiss-Prot;Acc:Q9SLD2] MAMFESPEISGSSTATVIGTSRSESDLNHFAPRRRAVNNAVDAGTRVVERNNSGNGETVDARDRMESANFSRENVNENPTNSDTRFTYRPSVPAHWRIKESPLSSDNIFQQSHAGLFNLCVVVLVAVNSRLIIENLMKYGWLIRSGFWFSSRSLRDWPLFMCCLSLPIFPIAAFVVEKLLQQNQISERTLILLHILISTLAVLYPVVVILRCDSAFLSGIALMLFACIVWLKLVSYAHTNSDMRSVAKSTEKGSEGCMYNVSFRSLAYFMAAPTLCYQTSYPRTASIRKNWVVRQFIKLIIFTGLMGFIIEQYINPIVQNSQHPLKANFLYAIERILKLSVPNTYVWLCMFYSFFHLWLNILAELLRFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMVRHIYLPCLRNGIPKGVAVLIAFLVSAIFHELCIAVPCHLFKLWAFFGIMFQAPLVLITSYLQNKFQSSMVCAYSFLS >KJB06820 pep chromosome:Graimondii2_0_v6:1:1245643:1252253:1 gene:B456_001G013100 transcript:KJB06820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol O-acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G19450) UniProtKB/Swiss-Prot;Acc:Q9SLD2] MAMFESPEISGSSTATVIGTSRSESDLNHFAPRRRAVNNAVDAGTRVVERNNSGNGETVDARDRMESANFSRENVNENPTNSDTRFTYRPSVPAHWRIKESPLSSDNIFQQSHAGLFNLCVVVLVAVNSRLIIENLMKYGWLIRSGFWFSSRSLRDWPLFMCCLSLPIFPIAAFVVEKLLQQNQISERTLILLHILISTLAVLYPVVVILRCDSAFLSGIALMLFACIVWLKLVSYAHTNSDMRSVAKSTEKGSEGCMYNVSFRSLAYFMAAPTLCYQTSYPRTASIRKNWVVRQFIKLIIFTGLMGFIIEQYINPIVQNSQHPLKANFLYAIERILKLSVPNTYVWLCMFYSFFHLWLNILAELLRFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMVRHIYLPCLRNGIPKGVAVLIAFLVSAIFHELCIAVPCHLFKLWAFFGIMFQAPLVLITSYLQNKFQSSMVGNMIFWFIFCILGQPTCVLLYYHDLMNRKGSAD >KJB06822 pep chromosome:Graimondii2_0_v6:1:1246003:1251763:1 gene:B456_001G013100 transcript:KJB06822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol O-acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G19450) UniProtKB/Swiss-Prot;Acc:Q9SLD2] MAMFESPEISGSSTATVIGTSRSESDLNHFAPRRRAVNNAVDAGTRVVERNNSGNGETVDARDRMESANFSRENVNENPTNSDTRFTYRPSVPAHWRIKESPLSSDNIFQQSHAGLFNLCVVVLVAVNSRLIIENLMKYGWLIRSGFWFSSRSLRDWPLFMCCLSLPIFPIAAFVVEKLLQQNQISERTLILLHILISTLAVLYPVVVILRCDSAFLSGIALMLFACIVWLKLVSYAHTNSDMRSVAKSTEKGSEGCMYNVSFRSLAYFMAAPTLCYQTSYPRTASIRKNWVVRQFIKLIIFTGLMGFIIEQYINPIVQNSQHPLKANFLYAIERILKLSVPNTYVWLCMFYSFFHLWLNILAELLRFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMVRHIYLPCLRNGIPKGVAVLIAFLVSAIFHEAPLVLITSYLQNKFQSSMVGNMIFWFIFCILGQPTCVLLYYHDLMNRKGSAD >KJB11393 pep chromosome:Graimondii2_0_v6:1:52989429:52990864:1 gene:B456_001G256300 transcript:KJB11393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPPHAFMFQTLHEDQNHFPSPYSLLPCPPQLFHGGVGKSHQEVHGHGDDDEFSDDDGSHGGEKKKRLNMDQVKALEKSFELGNKLEPGRKLQLAKDLGLKPRQIAIWFQNRRARWKNKQLEKDYDALKKLYQTAKADNDALQAQNKKLTGQLLSLKTKDSNETRIKNENEGSWCSNGSENNYDMNLAIRPAATMTQLLHGSSKPSLHCLKLDQVVQQDQSLACHMFNQDGYEQQGFWPWADQSIKFPLN >KJB06198 pep chromosome:Graimondii2_0_v6:1:8431964:8463200:1 gene:B456_001G080300 transcript:KJB06198 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MTRMNYVRENVPLSRFGVLVAQLESIVASASQKSPDPLLCFDLLSDLLTALDDEPKESILLWQRKCEDALYSLLILGAKRPVRHLASVAMARIISKGDSISIYSRASSLQGFLSDGKRSEPQRIAGAAQCLGKLYQHFGRRITSGLLETTIIATKLMKYHEEFVRQEALLMLQNALEGCGGSAAASAYTEAFRLITRFGIGDKAFVVRIAAARCLKAIANIGGPGLGVAEFDSLATYCVKAGALEDSVTSVRDAFAEALGSLVALGMNPEAQVQPRGKGPFPPPKKLEGGLQRHLALPFTKASGSRSKEIRVGLTLSWVFFLQAIHLKYLHLDIELQSYALNIMDMLRMDTYFDAHAVACVLYILRVGVTDQMTEPCQRSFTVFLGEQLQSPEASPSMKIAALRTLSYTLKTLGEVPLEFKEAFDNTVVAAVSHSYQLVRVEAALTLRTLAEVDPTCVGSLISYGVTILNALRESVSFEKGSNLQVDLNSLHGQATVLAALVSISRKLPLGYPARLPKAVLEVSKKLLTESSSDAVTAKVEQEAGWLLLSSLLSSMLKEELEDQVFDILSLWAGLFNGNPEDVIGENGDLQPRIRVWSAAIDALTSFIRCFVSSNLAVSGILLQPVMLYLNRALSYISLLAAKELLDIKPEVDIFIIRTLMGYQSLPDPMAYKSDHPQIIQLCTIPYRNASGCEESSCLMFLLDKRDACLGPWIPGRDWFEDELRAFQGGKDGLMPCVWDNELSSFPQPETINKMLVNQMLLCFGIIFAAQNSGDMLSLLGMMEQCLKAGKKQPWHAASMTNICVGLLAGLKALLALRPQSLELEILNLAQAIFKGILIEGDICASQRRASSEGLGLLARLGNDIFTARMTRSLLGELNGITDSHYAGSIALSLGCIHRSAGGMALSTLVPTTVSSITLLAKSSIPALQIWSLHGLLLTIEAAGLSFVSHVQATLGLALEILLSEENGRVDLQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVVAEISSSEETATLLESVRFTQQLVLFAPHAVSVHSHVQTLLLTLSSRQPALRHLAVSTLRHLIEKDPVSVIDEQIEDNLFCMLDEETDSEIGNLIRGTIMRLLYVSCPSRPSRWISICRNMVLAMSTRATAEISSGNDSTSGPDGDSRLNFGDDDENMVSDSKHIPVQGHAFETSIVGRNRDKHLRYRTRVFAAECLSYLPEAVGTNPAHFDLSLASRKVANEQVSGDWLVLQVQELISVAYQISTIQFENMRPIGVRLLSSVVDKFETVPDPELPGHFLLEQYQAQLISAVRTALDTSSGPILLEAGLLLATKIMTSGIISGDQAAVKRIFSLLSRPLDDFKDLYYPSFAEWVSCKIKIRLLAAHASLKCYTYAFLRRHQAVVPDEYLALLPLFSRSSSILGKYWISLLKDYSCVCLHLNLKRNWNSFLDAIQSPLVSCKLQPCLEEAWPVILQALALDAVPVNVDRNGNSEAAAENMSANSLVSGYSMVELESEEYQFLWGFALLVIFQGQHPALCKQVIPLASAKAKHDGDTPAEDTTSPGLKFYEIVLPVFQFLVTQKFFSAGFLTVNICEELLLVFSYSIYMDNSWNSLAISVLSQIVHNCPEDFLEAENFACLVVELCLGCLFRVFHCASAFSPVQACWEDLLFPLFVAAKTIMRRFQPKMPKHLHSVALAFLLIGYKFIRQASTELSLSKVTDIVKCVNSSLKKLIDDAPNLGDDAIVHLRNILCTSLDELADLTKDCIEGIHLLHNKRSDLRKLLLLKLAFSIEQIVMLPKIMHEIQCLEGNKDSDPIYFSVLKFCTDCMLTILTDSNLQVQAIGLQVLKSVVLKSNNMEDNSSIVFFIGELVGGILTIIKNMLMKSMTKESVVIVGECLQVLMLLQTVSKESDCQRGFMSLFLEAIVMIFSASEDNCSQEVNDIRNTAIRLVSHLAQIPSSAGHLKDVLLLMSETHRQQLQGVIRASVTLDHSVGETKSVAPPLEIKLPVPLEMRREDNALPSATQVKLKQQSEERYSSPLATPIGTNNDDMEEDEEDEDDWDAFMSFPATKNAAETDFVVESTVKESDHGESISSALEISTDNSQQYSSSENHNSINNANAEHSEVATEILSDCSGDGGNREKILSDLAVEEVKELSAKIEEHVQRRASTETGHNEDAEGSINVAGDDEQQKESSDNKVDTDLVSDTLPHVGLSDTETEEEAEHNMDQEQH >KJB06200 pep chromosome:Graimondii2_0_v6:1:8431964:8463200:1 gene:B456_001G080300 transcript:KJB06200 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MTRMNYVRENVPLSRFGVLVAQLESIVASASQKSPDPLLCFDLLSDLLTALDDEPKESILLWQRKCEDALYSLLILGAKRPVRHLASVAMARIISKGDSISIYSRASSLQGFLSDGKRSEPQRIAGAAQCLGKLYQHFGRRITSGLLETTIIATKLMKYHEEFVRQEALLMLQNALEGCGGSAAASAYTEAFRLITRFGIGDKAFVVRIAAARCLKAIANIGGPGLGVAEFDSLATYCVKAGALEDSVTSVRDAFAEALGSLVALGMNPEAQVQPRGKGPFPPPKKLEGGLQRHLALPFTKASGSRSKEIRVGLTLSWVFFLQAIHLKYLHLDIELQSYALNIMDMLRMDTYFDAHAVACVLYILRVGVTDQMTEPCQRSFTVFLGEQLQSPEASPSMKIAALRTLSYTLKTLGEVPLEFKEAFDNTVVAAVSHSYQLVRVEAALTLRTLAEVDPTCVGSLISYGVTILNALRESVSFEKGSNLQVDLNSLHGQATVLAALVSISRKLPLGYPARLPKAVLEVSKKLLTESSSDAVTAKVEQEAGWLLLSSLLSSMLKEELEDQVFDILSLWAGLFNGNPEDVIGENGDLQPRIRVWSAAIDALTSFIRCFVSSNLAVSGILLQPVMLYLNRALSYISLLAAKELLDIKPEVDIFIIRTLMGYQSLPDPMAYKSDHPQIIQLCTIPYRNASGCEESSCLMFLLDKRDACLGPWIPGRDWFEDELRAFQGGKDGLMPCVWDNELSSFPQPETINKMLVNQMLLCFGIIFAAQNSGDMLSLLGMMEQCLKAGKKQPWHAASMTNICVGLLAGLKALLALRPQSLELEILNLAQAIFKGILIEGDICASQRRASSEGLGLLARLGNDIFTARMTRSLLGELNGITDSHYAGSIALSLGCIHRSAGGMALSTLVPTTVSSITLLAKSSIPALQIWSLHGLLLTIEAAGLSFVSHVQATLGLALEILLSEENGRVDLQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVVAEISSSEETATLLESVRFTQQLVLFAPHAVSVHSHVQTLLLTLSSRQPALRHLAVSTLRHLIEKDPVSVIDEQIEDNLFCMLDEETDSEIGNLIRGTIMRLLYVSCPSRPSRWISICRNMVLAMSTRATAEISSGNDSTSGPDGDSRLNFGDDDENMVSDSKHIPVQGHAFETSIVGRNRDKHLRYRTRVFAAECLSYLPEAVGTNPAHFDLSLASRKVANEQVSGDWLVLQVQELISVAYQISTIQFENMRPIGVRLLSSVVDKFETVPDPELPGHFLLEQYQAQLISAVRTALDTSSGPILLEAGLLLATKIMTSGIISGDQAAVKRIFSLLSRPLDDFKDLYYPSFAEWVSCKIKIRLLAAHASLKCYTYAFLRRHQAVVPDEYLALLPLFSRSSSILGKYWISLLKDYSCVCLHLNLKRNWNSFLDAIQSPLVSCKLQPCLEEAWPVILQALALDAVPVNVDRNGNSEAAAENMSANSLVSGYSMVELESEEYQFLWGFALLVIFQGQHPALCKQVIPLASAKAKHDGDTPAEDTTSPGLKFYEIVLPVFQFLVTQKFFSAGFLTVNICEELLLVFSYSIYMDNSWNSLAISVLSQIVHNCPEDFLEAENFACLVVELCLGCLFRVFHCASAFSPVQACWEDLLFPLFVAAKTIMRRFQPKMPKHLHSVALAFLLIGYKFIRQASTELSLSKVTDIVKCVNSSLKKLIDDAPNLGDDAIVHLRNILCTSLDELADLTKDCIEGIHLLHNKRSDLRKLLLLKLAFSIEQIVMLPKIMHEIQCLEGNKDSDPIYFSVLKFCTDCMLTILTDSNLQVQAIGLQVLKSVVLKSNNMEDNSSIVFFIGELVGGILTIIKNMLMKSMTKESVVIVGECLQVLMLLQTVSKESDCQRGFMSLFLEAIVMIFSASEDNCSQEVNDIRNTAIRLVSHLAQIPSSAGHLKDVLLLMSETHRQQLQGVIRASVTLDHSVGETKSVAPPLEIKLPVPLEMRREDNALPSATQVKLKQQSEERYSSPLATPIGTNNDDMEEDEEDEDDWDAFMSFPATKNAAETDFVVESTVKESDHGESISSALEISTDNSQQYSSSENHNSINNANAEHSEVATEILSDCSGDGGNREKILSDLAVEEVKELSAKIEEHVQRRASTETGHNEDAEGSINVAGDDEQQKESSDNKVDTDLVSDTLPHVGLSDTETEEEAEHNMDQEQH >KJB06199 pep chromosome:Graimondii2_0_v6:1:8431964:8463200:1 gene:B456_001G080300 transcript:KJB06199 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MTRMNYVRENVPLSRFGVLVAQLESIVASASQKSPDPLLCFDLLSDLLTALDDEPKESILLWQRKCEDALYSLLILGAKRPVRHLASVAMARIISKGDSISIYSRASSLQGFLSDGKRSEPQRIAGAAQCLGKLYQHFGRRITSGLLETTIIATKLMKYHEEFVRQEALLMLQNALEGCGGSAAASAYTEAFRLITRFGIGDKAFVVRIAAARCLKAIANIGGPGLGVAEFDSLATYCVKAGALEDSVTSVRDAFAEALGSLVALGMNPEAQVQPRGKGPFPPPKKLEGGLQRHLALPFTKASGSRSKEIRVGLTLSWVFFLQAIHLKYLHLDIELQSYALNIMDMLRMDTYFDAHAVACVLYILRVGVTDQMTEPCQRSFTVFLGEQLQSPEASPSMKIAALRTLSYTLKTLGEVPLEFKEAFDNTVVAAVSHSYQLVRVEAALTLRTLAEVDPTCVGSLISYGVTILNALRESVSFEKGSNLQVDLNSLHGQATVLAALVSISRKLPLGYPARLPKAVLEVSKKLLTESSSDAVTAKVEQEAGWLLLSSLLSSMLKEELEDQVFDILSLWAGLFNGNPEDVIGENGDLQPRIRVWSAAIDALTSFIRCFVSSNLAVSGILLQPVMLYLNRALSYISLLAAKELLDIKPEVDIFIIRTLMGYQSLPDPMAYKSDHPQIIQLCTIPYRNASGCEESSCLMFLLDKRDACLGPWIPGRDWFEDELRAFQGGKDGLMPCVWDNELSSFPQPETINKMLVNQMLLCFGIIFAAQNSGDMLSLLGMMEQCLKAGKKQPWHAASMTNICVGLLAGLKALLALRPQSLELEILNLAQAIFKGILIEGDICASQRRASSEGLGLLARLGNDIFTARMTRSLLGELNGITDSHYAGSIALSLGCIHRSAGGMALSTLVPTTVSSITLLAKSSIPALQIWSLHGLLLTIEAAGLSFVSHVQATLGLALEILLSEENGRVDLQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVVAEISSSEETATLLESVRFTQQLVLFAPHAVSVHSHVQTLLLTLSSRQPALRHLAVSTLRHLIEKDPVSVIDEQIEDNLFCMLDEETDSEIGNLIRGTIMRLLYVSCPSRPSRWISICRNMVLAMSTRATAEISSGNDSTSGPDGDSRLNFGDDDENMVSDSKHIPVQGHAFETSIVGRNRDKHLRYRTRVFAAECLSYLPEAVGTNPAHFDLSLASRKVANEQVSGDWLVLQVQELISVAYQISTIQFENMRPIGVRLLSSVVDKFETVPDPELPGHFLLEQYQAQLISAVRTALDTSSGPILLEAGLLLATKIMTSGIISGDQAAVKRIFSLLSRPLDDFKDLYYPSFAEWVSCKIKIRLLAAHASLKCYTYAFLRRHQAVVPDEYLALLPLFSRSSSILGKYWISLLKDYSCVCLHLNLKRNPCLEEAWPVILQALALDAVPVNVDRNGNSEAAAENMSANSLVSGYSMVELESEEYQFLWGFALLVIFQGQHPALCKQVIPLASAKAKHDGDTPAEDTTSPGLKFYEIVLPVFQFLVTQKFFSAGFLTVNICEELLLVFSYSIYMDNSWNSLAISVLSQIVHNCPEDFLEAENFACLVVELCLGCLFRVFHCASAFSPVQACWEDLLFPLFVAAKTIMRRFQPKMPKHLHSVALAFLLIGYKFIRQASTELSLSKVTDIVKCVNSSLKKLIDDAPNLGDDAIVHLRNILCTSLDELADLTKDCIEGIHLLHNKRSDLRKLLLLKLAFSIEQIVMLPKIMHEIQCLEGNKDSDPIYFSVLKFCTDCMLTILTDSNLQVQAIGLQVLKSVVLKSNNMEDNSSIVFFIGELVGGILTIIKNMLMKSMTKESVVIVGECLQVLMLLQTVSKESDCQRGFMSLFLEAIVMIFSASEDNCSQEVNDIRNTAIRLVSHLAQIPSSAGHLKDVLLLMSETHRQQLQGVIRASVTLDHSVGETKSVAPPLEIKLPVPLEMRREDNALPSATQVKLKQQSEERYSSPLATPIGTNNDDMEEDEEDEDDWDAFMSFPATKNAAETDFVVESTVKESDHGESISSALEISTDNSQQYSSSENHNSINNANAEHSEVATEILSDCSGDGGNREKILSDLAVEEVKELSAKIEEHVQRRASTETGHNEDAEGSINVAGDDEQQKESSDNKVDTDLVSDTLPHVGLSDTETEEEAEHNMDQEQH >KJB06202 pep chromosome:Graimondii2_0_v6:1:8431964:8463267:1 gene:B456_001G080300 transcript:KJB06202 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MTRMNYVRENVPLSRFGVLVAQLESIVASASQKSPDPLLCFDLLSDLLTALDDEPKESILLWQRKCEDALYSLLILGAKRPVRHLASVAMARIISKGDSISIYSRASSLQGFLSDGKRSEPQRIAGAAQCLGKLYQHFGRRITSGLLETTIIATKLMKYHEEFVRQEALLMLQNALEGCGGSAAASAYTEAFRLITRFGIGDKAFVVRIAAARCLKAIANIGGPGLGVAEFDSLATYCVKAGALEDSVTSVRDAFAEALGSLVALGMNPEAQVQPRGKGPFPPPKKLEGGLQRHLALPFTKASGSRSKEIRVGLTLSWVFFLQAIHLKYLHLDIELQSYALNIMDMLRMDTYFDAHAVACVLYILRVGVTDQMTEPCQRSFTVFLGEQLQSPEASPSMKIAALRTLSYTLKTLGEVPLEFKEAFDNTVVAAVSHSYQLVRVEAALTLRTLAEVDPTCVGSLISYGVTILNALRESVSFEKGSNLQVDLNSLHGQATVLAALVSISRKLPLGYPARLPKAVLEVSKKLLTESSSDAVTAKVEQEAGWLLLSSLLSSMLKEELEDQVFDILSLWAGLFNGNPEDVIGENGDLQPRIRVWSAAIDALTSFIRCFVSSNLAVSGILLQPVMLYLNRALSYISLLAAKELLDIKPEVDIFIIRTLMGYQSLPDPMAYKSDHPQIIQLCTIPYRNASGCEESSCLMFLLDKRDACLGPWIPGRDWFEDELRAFQGGKDGLMPCVWDNELSSFPQPETINKMLVNQMLLCFGIIFAAQNSGDMLSLLGMMEQCLKAGKKQPWHAASMTNICVGLLAGLKALLALRPQSLELEILNLAQAIFKGILIEGDICASQRRASSEGLGLLARLGNDIFTARMTRSLLGELNGITDSHYAGSIALSLGCIHRSAGGMALSTLVPTTVSSITLLAKSSIPALQIWSLHGLLLTIEAAGLSFVSHVQATLGLALEILLSEENGRVDLQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVVAEISSSEETATLLESVRFTQQLVLFAPHAVSVHSHVQTLLLTLSSRQPALRHLAVSTLRHLIEKDPVSVIDEQIEDNLFCMLDEETDSEIGNLIRGTIMRLLYVSCPSRPSRWISICRNMVLAMSTRATAEISSGNDSTSGPDGDSRLNFGDDDENMVSDSKHIPVQGHAFETSIVGRNRDKHLRYRTRVFAAECLSYLPEAVGTNPAHFDLSLASRKVANEQVSGDWLVLQVQELISVAYQISTIQFENMRPIGVRLLSSVVDKFETVPDPELPGHFLLEQYQAQLISAVRTALDTSSGPILLEAGLLLATKIMTSGIISGDQAAVKRIFSLLSRPLDDFKDLYYPSFAEWVSCKIKIRLLAAHASLKCYTYAFLRRHQAVVPDEYLALLPLFSRSSSILGKYWISLLKDYSCVCLHLNLKRNWNSFLDAIQSPLVSCKLQPCLEEAWPVILQALALDAVPVNVDRNGNSEAAAENMSANSLVSGYSMVELESEEYQFLWGFALLVIFQGQHPALCKQVIPLASAKAKHDGDTPAEDTTSPGLKFYEIVLPVFQFLVTQKFFSAGFLTVNICEELLLVFSYSIYMDNSWNSLAISVLSQIVHNCPEDFLEAENFACLVVELCLGCLFRVFHCASAFSPVQACWEDLLFPLFVAAKTIMRRFQPKMPKHLHSVALAFLLIGYKFIRQASTELSLSKVTDIVKCVNSSLKKLIDDAPNLGDDAIVHLRNILCTSLDELADLTKDCIEGIHLLHNKRSDLRKLLLLKLAFSIEQIVMLPKIMHEIQCLEGNKDSDPIYFSVLKFCTDCMLTILTDSNLQVQAIGLQVLKSVVLKSNNMEDNSSIVFFIGELVGGILTIIKNMLMKSMTKESVVIVGECLQVLMLLQTVSKESDCQRGFMSLFLEAIVMIFSASEDNCSQEVNDIRNTAIRLVSHLAQIPSSAGHLKDVLLLMSETHRQQLQGVIRASVTLDHSVGETKSVAPPLEIKLPVPLEMRREDNALPSATQVKLKQQSEERYSSPLATPIGTNNDDMEEDEEDEDDWDAFMSFPATKNAAETDFVVESTVKESDHVEEVKELSAKIEEHVQRRASTETGHNEDAEGSINVAGDDEQQKESSDNKVDTDLVSDTLPHVGLSDTETEEEAEHNMDQEQH >KJB06201 pep chromosome:Graimondii2_0_v6:1:8431964:8461405:1 gene:B456_001G080300 transcript:KJB06201 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MTRMNYVRENVPLSRFGVLVAQLESIVASASQKSPDPLLCFDLLSDLLTALDDEPKESILLWQRKCEDALYSLLILGAKRPVRHLASVAMARIISKGDSISIYSRASSLQGFLSDGKRSEPQRIAGAAQCLGKLYQHFGRRITSGLLETTIIATKLMKYHEEFVRQEALLMLQNALEGCGGSAAASAYTEAFRLITRFGIGDKAFVVRIAAARCLKAIANIGGPGLGVAEFDSLATYCVKAGALEDSVTSVRDAFAEALGSLVALGMNPEAQVQPRGKGPFPPPKKLEGGLQRHLALPFTKASGSRSKEIRVGLTLSWVFFLQAIHLKYLHLDIELQSYALNIMDMLRMDTYFDAHAVACVLYILRVGVTDQMTEPCQRSFTVFLGEQLQSPEASPSMKIAALRTLSYTLKTLGEVPLEFKEAFDNTVVAAVSHSYQLVRVEAALTLRTLAEVDPTCVGSLISYGVTILNALRESVSFEKGSNLQVDLNSLHGQATVLAALVSISRKLPLGYPARLPKAVLEVSKKLLTESSSDAVTAKVEQEAGWLLLSSLLSSMLKEELEDQVFDILSLWAGLFNGNPEDVIGENGDLQPRIRVWSAAIDALTSFIRCFVSSNLAVSGILLQPVMLYLNRALSYISLLAAKELLDIKPEVDIFIIRTLMGYQSLPDPMAYKSDHPQIIQLCTIPYRNASGCEESSCLMFLLDKRDACLGPWIPGRDWFEDELRAFQGGKDGLMPCVWDNELSSFPQPETINKMLVNQMLLCFGIIFAAQNSGDMLSLLGMMEQCLKAGKKQPWHAASMTNICVGLLAGLKALLALRPQSLELEILNLAQAIFKGILIEGDICASQRRASSEGLGLLARLGNDIFTARMTRSLLGELNGITDSHYAGSIALSLGCIHRSAGGMALSTLVPTTVSSITLLAKSSIPALQIWSLHGLLLTIEAAGLSFVSHVQATLGLALEILLSEENGRVDLQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVVAEISSSEETATLLESVRFTQQLVLFAPHAVSVHSHVQTLLLTLSSRQPALRHLAVSTLRHLIEKDPVSVIDEQIEDNLFCMLDEETDSEIGNLIRGTIMRLLYVSCPSRPSRWISICRNMVLAMSTRATAEISSGNDSTSGPDGDSRLNFGDDDENMVSDSKHIPVQGHAFETSIVGRNRDKHLRYRTRVFAAECLSYLPEAVGTNPAHFDLSLASRKVANEQVSGDWLVLQVQELISVAYQISTIQFENMRPIGVRLLSSVVDKFETVPDPELPGHFLLEQYQAQLISAVRTALDTSSGPILLEAGLLLATKIMTSGIISGDQAAVKRIFSLLSRPLDDFKDLYYPSFAEWVSCKIKIRLLAAHASLKCYTYAFLRRHQAVVPDEYLALLPLFSRSSSILGKYWISLLKDYSCVCLHLNLKRNWNSFLDAIQSPLVSCKLQPCLEEAWPVILQALALDAVPVNVDRNGNSEAAAENMSANSLVSGYSMVELESEEYQFLWGFALLVIFQGQHPALCKQVIPLASAKAKHDGDTPAEDTTSPGLKFYEIVLPVFQFLVTQKFFSAGFLTVNICEELLLVFSYSIYMDNSWNSLAISVLSQIVHNCPEDFLEAENFACLVVELCLGCLFRVFHCASAFSPVQACWEDLLFPLFVAAKTIMRRFQPKMPKHLHSVALAFLLIGYKFIRQASTELSLSKVTDIVKCVNSSLKKLIDDAPNLGDDAIVHLRNILCTSLDELADLTKDCIEGIHLLHNKRSDLRKLLLLKLAFSIEQIVMLPKIMHEIQCLEGNKDSDPIYFSVLKFCTDCMLTILTDSNLQVQAIGLQVLKSVVLKSNNMEDNSSIVFFIGELVGGILTIIKNMLMVHLLFVSTNLNHVAPSK >KJB08440 pep chromosome:Graimondii2_0_v6:1:8693549:8696034:1 gene:B456_001G081900 transcript:KJB08440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMMSFSSTLLWITTLLVTVSSDLASDKAALVALRTAVGGRLLLWNLSSSPCNWTGVYCSGNRVVELRLPGMGLSGKLPIAIGNLTQLQSLSLRFNALFGPIPFDFPKLTSLRNLYLQGNGFSGKIPVFLFTLQNLVRLNLADNNFTGSIPESVNNLTRLGTLYLENNQLSGSLPDIDLPSLVQFNVSLNQLNGSIPKGLSNKPKTAFQGNALCGKPLELSCNGTDSSDSELSGGAIAGIIIGSVIAFILILVLLICLCRRKSGKKMEAQARDVAPSKQAEVEIPGDKVVSMENDHNHHHNNNTSNGLSGVVKKDAKSSGKGKKSLSFFRTGAEVFDLDNLLRASAEVLGKGTFGTTYKATLEMGLVAAVKRIKDVAVPEKELEAKMAAVGAMDHHNLVPLRAYYFSGDEKLLVYDYMPMGSLSALLHGNKGAGRTPLNWDTRSSIALGAARGITYLHSKGPLISHGNIKSSNILLTTSYEARVSDFGLAQFAGPTSNPNRVDGYRAPEVTDTRKVSQKTDVYSFGILLLELLTGKAPTHALLNEDGVDLPRWVQSVVREEWTAEVFDLELLRDPNVEEDMVQLLQLAIDCTAQYPDKRPSMANVTSQIEQLCRSTSEKESHQIHNEAPPSSLMD >KJB10970 pep chromosome:Graimondii2_0_v6:1:47169093:47170542:1 gene:B456_001G234200 transcript:KJB10970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNSAKSPMIVSFGEMLIDFVPDVAGVSLAESYAFIKAPGGAPANVACAIAKLGGNAAFIGKVGDDEFGHMLANLLKKSGVNSDGICFDKDARTALAFVTLKADGQREFMFYRSPSADMLLKESELKLDLIKQAKIFHYGSISLISEPCKSAHMAAMKAAKQAGVLLSYDPNVRLPLWPSPEAARDGIKSIWDQADFVKVSDDEVEFLTKGDPKKDDVVMSLWNDNFKLLIVTDGPEGCRYFTKKFKGKVNGYKVKTIDTTGAGDAFVGAFLQAVAKDPNLFNDENKLKEALVFANACGAISTTQKGAIPSLPDKAQAENLIKEAK >KJB08685 pep chromosome:Graimondii2_0_v6:1:10980283:10983190:1 gene:B456_001G097600 transcript:KJB08685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGAQSKRDLAMELQAQLPILRPSIHTRRANLTVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWALEASKGANWYLQPQVSSLSEGIVLKSSLKISALANAMTLKKLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYNDLTKAVEGKVTPATRQIDHDLPRTFPGHPWLDTPQGHAALRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTNNLSGCHVEQRVFKDLLSKKCPRIAAHLEALEFDVSLVTTEWFLCLFAKSLPSETTLRVWDVLFYEGAKVLFHAALAIFKVPFQFPFGSSFLLIIFLPDILKICSVVKTILPELI >KJB08684 pep chromosome:Graimondii2_0_v6:1:10980149:10983791:1 gene:B456_001G097600 transcript:KJB08684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGAQSKRDLAMELQAQLPILRPSIHTRRANLTVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWALEASKGANWYLQPQVSSLSEGIVLKSSLKISALANAMTLKKLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYNDLTKAVEGKVTPATRQIDHDLPRTFPGHPWLDTPQGHAALRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTNNLSGCHVEQRVFKDLLSKKCPRIAAHLEALEFDVSLVTTEWFLCLFAKSLPSETTLRVWDVLFYEGAKVLFHAALAIFKMKEEDVLLAHQVGDVINIIQRTTHHLFDPDELLTVAFNKIGFMTTNTISKQRKKQEPEVMKELDERLRRLNSLREDDR >KJB06643 pep chromosome:Graimondii2_0_v6:1:356125:358380:-1 gene:B456_001G003800 transcript:KJB06643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSSCSCFCMNNALDDEFERKPLMVSEKTQLRLKDVVSGKQTLAFQLKPKMVVLRVSMHCHGCARKVEKHISKLDGVTSYKVDLESKRVVVIGDIIPFEVLESVSKVKNAELWTS >KJB06644 pep chromosome:Graimondii2_0_v6:1:356405:357876:-1 gene:B456_001G003800 transcript:KJB06644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSFGKMLDCLYLSSISGSSCSCFCMNNALDDEFERKPLMVSEKTQLRLKDVVSGKQTLAFQLKPKMVVLRVSMHCHGCARKVEKHISKLDGVTSYKVDLESKRVVVIGDIIPFEVLESVSKVKNAELWTS >KJB07861 pep chromosome:Graimondii2_0_v6:1:4640058:4643363:-1 gene:B456_001G048600 transcript:KJB07861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQHELPADRSYSKPLGIRFFEYIKKAKLSYESHQAIVLIVTFFAYASYHATRKTTSIVKSALDPQSSDVGLKFPWRITYLSAPAESKGLSWVLGDGWAPFNGSDGTALLGQLDVAFLSVYALGMYFSGHMGDRMNLRIFLTVGMVGTGLFTSLFGAGYWAKIHSFYYYLIVQMIAGLFQSTGWPSVVAVVGKWFGKKKRGLIMGIWNAHTSVGNITGSLIASALLSYGWGWSFVVPGLLIAFMGLVVFFFLPVSPESVGAYREEDEVDSLRKSEEEEGVTEPLLGSNTELKEKAVGFIEAWKIPGVAPFAFCLFFAKLVAYTFLYWLPYYISHTAIEGKYLSNEAAGNLSTFFDIGGVVGGILAGHISDRLDARAITAATFMYCAIPALYFYRSYGHVSVVMNVALMFICGMFVNGPYALITTAVSADLGTHSSLKGSSKALATVTAIIDGTGSVGAAIGPLLTGYISAESWSAVFTMLMGAALVAGLLLTRLVVAEVAARISESRSQGGSQTGSEAPELDV >KJB08443 pep chromosome:Graimondii2_0_v6:1:8703249:8703973:-1 gene:B456_001G082100 transcript:KJB08443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGRMVVSLKSKLRSLKMTKKKPTTTGEHYCYYDKMEKTESMRVEIRSRKARKLIQDTLKIADSPHTRTFHF >KJB11615 pep chromosome:Graimondii2_0_v6:1:54525162:54529731:1 gene:B456_001G268300 transcript:KJB11615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDERQRILISCPYQSDSNKGYKMGSGKWCLQKRDSFRSDSLSGNENVPETGCLSIIVLGASGDLAKKKTFPALFNLYCQGFLPPDEVHIFGYARTKISDDDLRNRVCGYLVNDRSASPSEDVSKFLQLIQYVSGSYDGADGFQLLDKEITKHEISKSSQEGSSRRLFYLALPPSVYPSVCRMIRKYCMNKSDLGGWTRIVVEKPFGKDLDSAEQLSSQIGELFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDEEVVLGQYEGYRDDPTVPNHSNTPTFATVILRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMSTVQSELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDHGEMKPIPYRTGSRGPAEADELSAKAGYVQTHGYIWIPPTL >KJB11613 pep chromosome:Graimondii2_0_v6:1:54524753:54529766:1 gene:B456_001G268300 transcript:KJB11613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGKWCLQKRDSFRSDSLSGNENVPETGCLSIIVLGASGDLAKKKTFPALFNLYCQGFLPPDEVHIFGYARTKISDDDLRNRVCGYLVNDRSASPSEDVSKFLQLIQYVSGSYDGADGFQLLDKEITKHEISKSSQEGSSRRLFYLALPPSVYPSVCRMIRKYCMNKSDLGGWTRIVVEKPFGKDLDSAEQLSSQIGELFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDEEVVLGQYEGYRDDPTVPNHSNTPTFATVILRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMSTVQSELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDHGEMKPIPYRTGSRGPAEADELSAKAGYVQTHGYIWIPPTL >KJB11614 pep chromosome:Graimondii2_0_v6:1:54524811:54529731:1 gene:B456_001G268300 transcript:KJB11614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGKWCLQKRDSFRSDSLSGNENVPETGCLSIIVLGASGDLAKKKTFPALFNLYCQGFLPPDEVHIFGYARTKISDDDLRNRVCGYLVNDRSASPSEDVSKFLQLIQYVSGSYDGADGFQLLDKEITKHEISKSSQEGSSRRLFYLALPPSVYPSVCRMIRKYCMNKSDLGGWTRIVVEKPFGKDLDSAEQLSSQIGELFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDEEVVLGQYEGYRDDPTVPNHSNTPTFATVILRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMSTVQSELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDHGEMKPIPYRTGSRGPAEADELSAKAGYVQTHGYIWIPPTL >KJB06419 pep chromosome:Graimondii2_0_v6:1:17783817:17785152:-1 gene:B456_001G136200 transcript:KJB06419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLVIVMLCFLLVLALLGEIQASSPSQKQRQGNHGNGMYGATQGSLRPQECGPRCTQRCSATAYKKPCMFFCQKCCAKCLCVPPGTYGNKQSCPCYNNWKTKRGGPKCP >KJB09652 pep chromosome:Graimondii2_0_v6:1:21629601:21630371:1 gene:B456_001G154200 transcript:KJB09652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVQFLVAFLLLALASKLVSASDPSPLQDFCVAINDTKDGVFVNGKFCKDPKLAKAEDFHLSGLNIPGNTSNQVGSMVTPANVQQIPGLNTLGISLVRIDYAPYVVVEGTLYVGFVTSNMDNRLFTKVLHPGDVFVFPEGLIHFQFNIGHTNAVAFAALSSQNPGVITIANAVFGSDPAINPDVLAKAFQLDKNIVNQLQSQFWWDNN >KJB07834 pep chromosome:Graimondii2_0_v6:1:4437738:4440762:-1 gene:B456_001G046700 transcript:KJB07834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLASSKTSLKMAAFPRGVSFIAASMALLAMFLIFGSWLLVSYPITSTVSEYISGVNRKIVLPAKDVSGSSLEQLTMTSNSSGVDNSEDAQVSIRSSVDKDSLQSESNKQLPTTKDSVDLRRNEIPEEPVQLPESSFGATEKKVSTSSSASSNASESNSVESGSLDSRKNGVLEKPGQLSESLSRPTEKKVDTSSSASSNTSENNSVDSGCDLYDGKWFYDPQGPSYTNSSCPIITQMQNCQGNGRPDKDYENWRWKPSQCALPRFDAKKFLELMRGKTLAFIGDSVARNQMESMVCLLWQVEVPKNRGNRRMHRWYFKSTSVMIVRVWSSWLVRQTNEKFDFAPEGVTKLHLDAPDDNLMELIPKFDVIVLSSGHWFAKQSVYVLNNEIVGGQLWWPDRSRPMKVNNVDAFGISVETILSALVTHPNYTGLTIVRSFSPDHYEGGAWNTGGSCTGKVKPLATDELVENGFTSIMHKKQVTGFELAVKKATNKSKLRMMDITKVFGYRHDGHPGPYRSPDPNKITKVGPNGKPPPQDCLHWCMPGPVDTWNELVLEIIRRDFEGHQNFP >KJB07835 pep chromosome:Graimondii2_0_v6:1:4437377:4441508:-1 gene:B456_001G046700 transcript:KJB07835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLASSKTSLKMAAFPRGVSFIAASMALLAMFLIFGSWLLVSYPITSTVSEYISGVNRKIVLPAKDVSGSSLEQLTMTSNSSGVDNSEDAQVSIRSSVDKDSLQSESNKQLPTTKDSVDLRRNEIPEEPVQLPESSFGATEKKVSTSSSASSNASESNSVESGSLDSRKNGVLEKPGQLSESLSRPTEKKVDTSSSASSNTSENNSVDSGCDLYDGKWFYDPQGPSYTNSSCPIITQMQNCQGNGRPDKDYENWRWKPSQCALPRFDAKKFLELMRGKTLAFIGDSVARNQMESMVCLLWQVEVPKNRGNRRMHRWYFKSTSVMIVRVWSSWLVRQTNEKFDFAPEGVTKLHLDAPDDNLMELIPKFDVIVLSSGHWFAKQSVYVLNNEIVGGQLWWPDRSRPMKVNNVDAFGISVETILSALVTHPNYTGLTIVRSFSPDHYEGGAWNTGGSCTGKVKPLATDELVENGFTSIMHKKQVTGFELAVKKATNKSKLRMMDITKVFGYRHDGHPGPYRSPDPNKITKVGPNGKPPPQDCLHWCMPGPVDTWNELVLEIIRRDFEGHQNFP >KJB07833 pep chromosome:Graimondii2_0_v6:1:4437377:4441438:-1 gene:B456_001G046700 transcript:KJB07833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLASSKTSLKMAAFPRGVSFIAASMALLAMFLIFGSWLLVSYPITSTVSEYISGVNRKIVLPAKDVSGSSLEQLTMTSNSSGVDNSEDAQVSIRSSVDKDSLQSESNKQLPTTKDSVDLRRNEIPEEPVQLPESSFGATEKKVSTSSSASSNASESNSVESGSLDSRKNGVLEKPGQLSESLSRPTEKKVDTSSSASSNTSENNSVDSGCDLYDGKWFYDPQGPSYTNSSCPIITQMQNCQGNGRPDKDYENWRWKPSQCALPRFDAKKFLELMRGKTLAFIGDSVARNQMESMVCLLWQVEVPKNRGNRRMHRWYFKSTSVMIVRVWSSWLVRQTNEKFDFAPEGVTKLHLDAPDDNLMELIPKFDVIVLSSGHWFAKQSVYVLNNEIVGGQLWWPDRSRPMKVELGIQVDHVPER >KJB06999 pep chromosome:Graimondii2_0_v6:1:6736709:6739002:1 gene:B456_001G067300 transcript:KJB06999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLCRIIKGILTNKVTDMKGRNGAQSIPMRKKKDKVDKNGSNNQDGEDCSIFSSEALATEKENEELISFREQVEDLQKKLLEKDELLKSAELSKNQINDVRAELGKLKRDAAVKDSLIKSIQLQLSDAKIKLADKQAALEKTQWEAMTSKQKVEELQNNIDSMQGEFSSFMLLLNGLTKNNPTTHADDYDLEPYPLDPLPCMDDVNDEELQKMEEARQAYVAAVAATKEKQDEESLAAAASARLYLQSFLFRSESME >KJB06998 pep chromosome:Graimondii2_0_v6:1:6735826:6739002:1 gene:B456_001G067300 transcript:KJB06998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEPQRFVNLQENSNFGDPKSWLSGDNRNIMNGNSSPTHLPTHSSLTYSNANLDRVLYNDLVEMIPLVQSLIERKPSSSFTRRGSMIYTKTPSRESLSGNVTDMKGRNGAQSIPMRKKKDKVDKNGSNNQDGEDCSIFSSEALATEKENEELISFREQVEDLQKKLLEKDELLKSAELSKNQINDVRAELGKLKRDAAVKDSLIKSIQLQLSDAKIKLADKQAALEKTQWEAMTSKQKVEELQNNIDSMQGEFSSFMLLLNGLTKNNPTTHADDYDLEPYPLDPLPCMDDVNDEELQKMEEARQAYVAAVAATKEKQDEESLAAAASARLYLQSFLFRSESME >KJB09225 pep chromosome:Graimondii2_0_v6:1:16506772:16508912:1 gene:B456_001G130200 transcript:KJB09225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGVSFLLLALVLCYGVTVAMGYRGEKQWRKERERREEEMEPEEEEESESRKSWFLMPKSRPVMTTDAGEMRLVRSPGGRIVDKPLHMGFITMEPQSLFIPQYLDSSLILFVRTGEARVGCIYKDEMVERRLKIGDVYHIPAGSTFYILNPGEGQRLHIICSIDPSESLNLDTFQSFFIGGGTHPTSVLAGFGPETLSTAFNVSMSKLEEIMRGQQEGPIVHVTKFHAPSIWTKLSQLQEQDRLKQVKRMIQGEADEEEKEWSWWKLFGMFSGNERRVFGDKAPDSYNIYKRKADFKNDYGWSVAVDGSVYKPFKHSGTGVFLVNLTAGSMMAPHVNPRATEYGIVLRGTGRIQIVYPNGTLAMDARVREGDMFWVPRYFAFCQIASRSSPFEFFGFTTTSDKNRPQFLVGANSLLHTFNTPELAAAFGVTEERMRRFINAQKEAVILPSASAAPPDEDLKEREEKSEDGGPKVIRNFGDQMIMGFD >KJB09226 pep chromosome:Graimondii2_0_v6:1:16506801:16508890:1 gene:B456_001G130200 transcript:KJB09226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGVSFLLLALVLCYGVTVAMGYRGEKQWRKERERREEEMEPEEEEESESRKSWFLMPKSRPVMTTDAGEMRLVRSPGGRIVDKPLHMGFITMEPQSLFIPQYLDSSLILFVRTGEARVGCIYKDEMVERRLKIGDVYHIPAGSTFYILNPGEGQRLHIICSIDPSESLNLDTFQSFFIGGGTHPTSVLAGFGPETLSTAFNVSKVSMSKLEEIMRGQQEGPIVHVTKFHAPSIWTKLSQLQEQDRLKQVKRMIQGEADEEEKEWSWWKLFGMFSGNERRVFGDKAPDSYNIYKRKADFKNDYGWSVAVDGSVYKPFKHSGTGVFLVNLTAGSMMAPHVNPRATEYGIVLRGTGRIQIVYPNGTLAMDARVREGDMFWVPRYFAFCQIASRSSPFEFFGFTTTSDKNRPQFLVGANSLLHTFNTPELAAAFGVTEERMRRFINAQKEAVILPSASAAPPDEDLKEREEKSEDGGPKVIRNFGDQMIMGFD >KJB11780 pep chromosome:Graimondii2_0_v6:1:54668355:54671007:1 gene:B456_001G269900 transcript:KJB11780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCASVHKSSQESAMKVGLSLGSKTDNIIIPPSPVKDKSDAVNGDFTHNSHSFSTFKDLGSKDETFFDSRAYLDSDCDDDFLSVSGDFTPSRGNTPVHHNSISIGVSKIHKAIDEGSPGSCSEASPGKKKKLVELFRDSVKEDQDVNELNTSINQDTANGKLECKPTVQDILPPKSANGTPYVSQANSRCSSERTANGDNLMFKEKSVRSAQRCLPSLVLCSSSSERRKKMSPATDVNYKP >KJB11779 pep chromosome:Graimondii2_0_v6:1:54668317:54671034:1 gene:B456_001G269900 transcript:KJB11779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCASVHKSSQESAMKVGLSLGSKTDNIIIPPSPVKDKSDAVNGSKDETFFDSRAYLDSDCDDDFLSVSGDFTPSRGNTPVHHNSISIGVSKIHKAIDEGSPGSCSEASPGKKKKLVELFRDSVKEDQDVNELNTSINQDTANGKLECKPTVQDILPPKSANGTPYVSQANSRCSSERTANGDNLMFKEKSVRSAQRCLPSLVLCSSSSERRKKMSPATDVNYKP >KJB11612 pep chromosome:Graimondii2_0_v6:1:54513149:54516718:-1 gene:B456_001G268200 transcript:KJB11612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEFVKMVSLNLFRPLTSPPRENKVLEAFDVEDEEPSVDPAWSHLQVVYELFLRFVVSPETDAKLAKRYIDHTFILKLLDLFDSEDPRERDSLKTLLHRIYGKFMVHRPFIRKAINNIFYRFIFETGKHNGIAELLEILGSIINGFALPLKEEHKLFLVRVLIPLHKPKCIPAYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQPVEFQRCMVPLFRQIGRCLSSSHFQVAERALFLWNNHHIETLIKQNRNVILPIIFPSLERNARSHWNPAVQSLTLNVQKIFSDNDPELFEECLHMFQEEEARDNEAKSKREATWKRLEEIAAMKAASNQPVLVSPKVTTRK >KJB11610 pep chromosome:Graimondii2_0_v6:1:54512999:54517099:-1 gene:B456_001G268200 transcript:KJB11610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKQIFNRLPRKQSKSSDNREGGGTSASSSNAYTSSRNNANSGGPASSGVSSTPNLGLNQGNKVSQVVNTKLNGDVFASSFVTLPSFKDIPNSEKQNLFIRKLNLCCVVFDFSDPTKNLKEKDIKRQTLLELVDYVSSANGKFSEIVMQEFVKMVSLNLFRPLTSPPRENKVLEAFDVEDEEPSVDPAWSHLQVVYELFLRFVVSPETDAKLAKRYIDHTFILKLLDLFDSEDPRERDSLKTLLHRIYGKFMVHRPFIRKAINNIFYRFIFETGKHNGIAELLEILGSIINGFALPLKEEHKLFLVRVLIPLHKPKCIPAYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQPVEFQRCMVPLFRQIGRCLSSSHFQVAERALFLWNNHHIETLIKQNRNVILPIIFPSLERNARSHWNPAVQSLTLNVQKIFSDNDPELFEECLHMFQEEEARDNEAKSKREATWKRLEEIAAMKAASNQPVLVSPKVTTRK >KJB11609 pep chromosome:Graimondii2_0_v6:1:54512999:54517450:-1 gene:B456_001G268200 transcript:KJB11609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKQIFNRLPRKQSKSSDNREGGGTSASSSNAYTSSRNNANSGGPASSGVSSTPNLGLNQGNKVSQVVNTKLNGDVFASSFVTLPSFKDIPNSEKQNLFIRKLNLCCVVFDFSDPTKNLKEKDIKRQTLLELVDYVSSANGKFSEIVMQEFVKMVSLNLFRPLTSPPRENKVLEAFDVEDEEPSVDPAWSHLQVVYELFLRFVVSPETDAKLAKRYIDHTFILKLLDLFDSEDPRERDSLKTLLHRIYGKFMVHRPFIRKAINNIFYRFIFETGKHNGIAELLEILGSIINGFALPLKEEHKLFLVRVLIPLHKPKCIPAYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQPVEFQRCMVPLFRQIGRCLSSSHFQVAERALFLWNNHHIETLIKQNRNVILPIIFPSLERNARSHWNPAVQSLTLNVQKIFSDNDPELFEECLHMFQEEEARDNEAKSKREATWKRLEEIAAMKAASNQPVLVSPKVTTRK >KJB11611 pep chromosome:Graimondii2_0_v6:1:54513149:54515514:-1 gene:B456_001G268200 transcript:KJB11611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKQIFNRLPRKQSKSSDNREGGGTSASSSNAYTSSRNNANSGGPASSGVSSTPNLGLNQGNKVSQVVNTKLNGDVFASSFVTLPSFKDIPNSEKQNLFIRKLNLCCVVFDFSDPTKNLKEKDIKRQTLLELVDYVSSANGKFSEIVMQEFVKMVSLNLFRPLTSPPRENKVLEAFDVEDEEPSVDPAWSHLQVVYELFLRFVVSPETDAKLAKRYIDHTFILKLLDLFDSEDPRERDSLKTLLHRIYGKFMVHRPFIRKAINNIFYRFIFETGKHNGIAELLEILGSIINGFALPLKEEHKLFLVRVLIPLHKPKCIPAYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQPVEFQRCMVPLFRQIGRCLSSSHFQLDYSVGGREGIVLMEQSSY >KJB09924 pep chromosome:Graimondii2_0_v6:1:25727559:25730904:-1 gene:B456_001G175200 transcript:KJB09924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRRQFNGQGGYYTNLAPTKLVGKLLTFFDNTAHRVVGGQPPPLSSTLHSSVHQNEFAHQLHGSDTSNSQPTMVTRSLISSPSMESMAMPSLMPSASMEPISQWTGQTDLSAMPSRSISEPVFGQSDRKVNSSNEANSSGTQEKAAVSSGSSRFGRFGSQLFQKTVGLVLRSRPHHQAKLGEKNKFYYDEKLKRWVEEGAEPPAEESALPPPPTNAAFQNGVNDLSVKDTPKIEGFHKSSENKSSISSERSSGIPPIPPSSNQYSARARMGIRSRYVDTFNKGGGSPVSLFQSPVRSAKPVAGSSPKFFIPSTVTPAEEIVQNTGESTQEAVMTNESPATSYQQVLSPLSSTSTLALPPPPPPSSSSSSMQRFPSMDTIVHKSTVAASDGNSRRIASWSGSLSNASSLSTTRKEIKPLEGTLSIPYTDPDSGEDLHEIKL >KJB08660 pep chromosome:Graimondii2_0_v6:1:10752877:10754336:1 gene:B456_001G096400 transcript:KJB08660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFPVINLEKLNGERAATMDLIKDACENWGFFEVLNHGIPYEFMDRVESLTKEHYKKCMEQRFKELVASKALEGLQAEVTDMDWESTFYLRHLPESNMAEIPDLTDEYRKVMKEFALKLEKLAEELLDLFCENLGLEKGYLKKAFYGAKGPTFGTKVSNYPPCPTPDKIKGLRAHTDAGGIILLFQDPQVGGLQLLKDGEWVDVPPLRHSIVINLGDQLEVITNGKYKSVEHRVIAQTDGARMSIASFYNPGSDAVIYPAPALVEKEEEEEEEKKGLYPKFVFEDYMKLYGVLKFQAKEPRFEAMKAREATA >KJB07719 pep chromosome:Graimondii2_0_v6:1:3769185:3771651:-1 gene:B456_001G040900 transcript:KJB07719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGGMTMCFHTVNCPQILGNISKNRSRSRTKLNPCFAVKVCSILLQSDESGNLSESKKISSSYSAQVKPQAQNSLLSQANTVGIIGGVSVLSTLIFLEKLVWWSSRNGEESVPFVVCSDPSIDGALASQASIHSSFDETAQNEANHEAIVRNLRHKRVFLEQSGASCIVMPCHISNAWHEQISEGCSLPFFHIGECVAKELKEAKLKPHGTGSNVRIGVLPATETIAAASYQDQLENQGFEVVLPDKATMEHILIPAIESLNKRDTEGARNLLRIAIQLLLIRAVNVVILASDELQNILPREDPLLKKCMDPMDALARSTIKWAKTGKQGMQKNTC >KJB10580 pep chromosome:Graimondii2_0_v6:1:41174426:41177219:-1 gene:B456_001G208500 transcript:KJB10580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGPPKHQNSYAWKPNAGVKINEKEVGGKLRPYSEITGVCPRCKEQIDWKRRYGKYKPLTEPAKCQLCSKRNVRQAYHNLCSGCAKEQKVCAKCRCRVNQIVGRDSAEVEEEQKMLEEMDKNSSKSSSKTTTNKENGRVGEIFPSTSLEQYAKLGRKDDGNHGDPFDSGNDDINDDSDDENDGQEDENEDREDDEDDKEPSA >KJB10582 pep chromosome:Graimondii2_0_v6:1:41176324:41177090:-1 gene:B456_001G208500 transcript:KJB10582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGPPKHQNSYAWKPNAGVKINEKEVGGKLRPYSEITGVCPRCKEQIDWKRRYGKYKPLTEPAKCQLCSKRNVRQAYHNLCSGCAKEQKVCAKCRCRVNQIVGRDSAEVEEEQKMLEEVGLE >KJB10581 pep chromosome:Graimondii2_0_v6:1:41174516:41177192:-1 gene:B456_001G208500 transcript:KJB10581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGPPKHQNSYAWKPNAGVKINEKEVGGKLRPYSEITGVCPRCKEQIDWKRRYGKYKPLTEPAKCQLCSKRNVRQAYHNLCSGCAKEQKVCAKCRCRVNQIVGRDSAEVEEEQKMLEEYAKLGRKDDGNHGDPFDSGNDDINDDSDDENDGQEDENEDREDDEDDKEPSA >KJB10583 pep chromosome:Graimondii2_0_v6:1:41175867:41177192:-1 gene:B456_001G208500 transcript:KJB10583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGPPKHQNSYAWKPNAGVKINEKEVGGKLRPYSEITGVCPRCKEQIDWKRRYGKYKPLTEPAKCQLCSKRNVRQAYHNLCSGCAKEQKVCAKCRCRVNQIVGRDSAEVEEEQKMLEEAIKNARERDRRTLLRAVSVK >KJB10579 pep chromosome:Graimondii2_0_v6:1:41174410:41177236:-1 gene:B456_001G208500 transcript:KJB10579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGPPKHQNSYAWKPNAGVKINEKEVGGKLRPYSEITGVCPRCKEQIDWKRRYGKYKPLTEPAKCQLCSKRNVRQAYHNLCSGCAKEQKVCAKCRCRVNQIVGRDSAEVEEEQKMLEEAIKNARERDRRTLLRAMDKNSSKSSSKTTTNKENGRVGEIFPSTSLEQYAKLGRKDDGNHGDPFDSGNDDINDDSDDENDGQEDENEDREDDEDDKEPSA >KJB10452 pep chromosome:Graimondii2_0_v6:1:44768307:44769175:-1 gene:B456_001G220800 transcript:KJB10452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQSGDPTMASSSIALLQERFRQLQKVRQKREAKELLKLFSEPDRAGSTKSYEPEVHLPYRHPQQDSLTLGLNSLSRQTDFRAMGIPASTSLWTNSAPTSSSTSKYLENSDVDTSLHL >KJB08893 pep chromosome:Graimondii2_0_v6:1:12972396:12975707:1 gene:B456_001G111500 transcript:KJB08893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEVNSINGFKFHNGFSMPYSNGYPKSEISNGIISNDPSLDLSSVGAPFLPSLGLNNSSTYASFFSTDKEGDSSSPSDDGDFSDTVLKYISQVLLEEDMEEKPCLFHDSLALQAAEKSLYEVLGESYPPRNRAPLCSGHSVESSPDDCSFRTSGDHSTYAGSSSNTSKSIDSRWNGDLGENNDKPSLFEASVPDNFVFQSSVNSFSQSSARFQKVTASNGKGLVGSNSNELAIPNYFSESELALHFKKGVEEASKFLPKGNQLTFDFKSNAWTAELNQKAPVTVVEMESDWKEYSPHRLTGKKNHDREDEDFEEGRNNKQSAVSGDESELSDMFDKVLICAGRNEKSPACGADETPRNGPSKLQPKEQTNGSGKARGKKQGKKKEVVDLRTLLILCAQAVSGDDGATAKELIKQIRQHSSPTGDGSQRLAQCFVDALEARLAGTGTHIYSSLAVKRTSAADMLKAYQVYLSACPFMKMAIFFANNTIFKVAEKATTLHVIDFGIFYGFQWPALIHCLANRPGGPPKLRITGIEFPRPGFRPAEAVQETGHRLARYCERYNVPFEFNAVAQKWETIQTEDLKINSNDVIAVNCLFRFKNLLDETVVLNSPRDIVLNLIRKINPDIFVHSIVNGSYNAPFFVTRFREALFHFSALFDMSETNISQEDNLRSMLEQKFYGQEIMNIVACEGTERVERPEAYKQWQVRSVRAGFTQLPLDPELMKKVRGKVKECYHSDFMVDVDGRWMLQGWKGRIIYASSAWVPASYPV >KJB08892 pep chromosome:Graimondii2_0_v6:1:12972396:12975707:1 gene:B456_001G111500 transcript:KJB08892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKPCLFHDSLALQAAEKSLYEVLGESYPPRNRAPLCSGHSVESSPDDCSFRTSGDHSTYAGSSSNTSKSIDSRWNGDLGENNDKPSLFEASVPDNFVFQSSVNSFSQSSARFQKVTASNGKGLVGSNSNELAIPNYFSESELALHFKKGVEEASKFLPKGNQLTFDFKSNAWTAELNQKAPVTVVEMESDWKEYSPHRLTGKKNHDREDEDFEEGRNNKQSAVSGDESELSDMFDKVLICAGRNEKSPACGADETPRNGPSKLQPKEQTNGSGKARGKKQGKKKEVVDLRTLLILCAQAVSGDDGATAKELIKQIRQHSSPTGDGSQRLAQCFVDALEARLAGTGTHIYSSLAVKRTSAADMLKAYQVYLSACPFMKMAIFFANNTIFKVAEKATTLHVIDFGIFYGFQWPALIHCLANRPGGPPKLRITGIEFPRPGFRPAEAVQETGHRLARYCERYNVPFEFNAVAQKWETIQTEDLKINSNDVIAVNCLFRFKNLLDETVVLNSPRDIVLNLIRKINPDIFVHSIVNGSYNAPFFVTRFREALFHFSALFDMSETNISQEDNLRSMLEQKFYGQEIMNIVACEGTERVERPEAYKQWQVRSVRAGFTQLPLDPELMKKVRGKVKECYHSDFMVDVDGRWMLQGWKGRIIYASSAWVPASYPV >KJB11289 pep chromosome:Graimondii2_0_v6:1:52323625:52325123:1 gene:B456_001G251800 transcript:KJB11289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVISEEDSSYDRAKEVKDFDETKAGVKGLVDSGAVKIPKFFIHPPESLLNSTTSSSEVCFQVPVIDLEGYEGGQRRKEILDGIFAASETWGFFQVVNHGVPVGIMDNMVEAVRRFHEQPKDVKMKWYSRDCKQQPVRYFCNGDLLVNQGAANWRDSIAFDFHDGQLDPQLFPPICREAVSEYIKHVIELRTTLSELLSETLELHSDYLASIGCMETESLVCHYYPACPEPELTLGATKHSDPSFLTILLQDDNRGLQVLHQSYWIDVPPLPGAFVINIGDFIQLITNDKFKSVEHRVLAGQAPRVSVACFFYPSMANKHKPYGPIRELLTESNPPIYREIHVTEYMAYFRAKGLDGNSSLPHFNLQCNYTT >KJB11287 pep chromosome:Graimondii2_0_v6:1:52318609:52325166:1 gene:B456_001G251800 transcript:KJB11287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYADISLVLFVDMELKVISEEDSSYDRAKEVKDFDETKAGVKGLVDSGAVKIPKFFIHPPESLLNSTTSSSEVCFQVPVIDLEGYEGGQRRKEILDGIFAASETWGFFQVVNHGVPVGIMDNMVEAVRRFHEQPKDVKMKWYSRDCKQQPVRYFCNGDLLVNQGAANWRDSIAFDFHDGQLDPQLFPPICREAVSEYIKHVIELRTTLSELLSETLELHSDYLASIGCMETESLVCHYYPACPEPELTLGATKHSDPSFLTILLQDDNRGLQVLHQSYWIDVPPLPGAFVINIGDFIQLITNDKFKSVEHRVLAGQAPRVSVACFFYPSMANKHKPYGPIRELLTESNPPIYREIHVTEYMAYFRAKGLDGNSSLPHFNLQCNYTT >KJB11290 pep chromosome:Graimondii2_0_v6:1:52323201:52325166:1 gene:B456_001G251800 transcript:KJB11290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVISEEDSSYDRAKEVKDFDETKAGVKGLVDSGAVKIPKFFIHPPESLLNSTTSSSEVCFQVPVIDLEGYEGGQRRKEILDGIFAASETWGFFQVVNHGVPVGIMDNMVEAVRRFHEQPKDVKMKWYSRDCKQQPVRYFCNGDLLVNQGAANWRDSIAFDFHDGQLDPQLFPPICREAVSEYIKHVIELRTTLSELLSETLELHSDYLASIGCMETESLVCHYYPACPEPELTLGATKHSDPSFLTILLQDDNRGLQVLHQSYWIDVPPLPGAFVINIGDFIQLITNDKFKSVEHRVLAGQAPRVSVACFFYPSMANKHKPYGPIRELLTESNPPIYREIHVTEYMAYFRAKGLDGNSSLPHFNLQCNYTT >KJB11288 pep chromosome:Graimondii2_0_v6:1:52318609:52325166:1 gene:B456_001G251800 transcript:KJB11288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVISEEDSSYDRAKEVKDFDETKAGVKGLVDSGAVKIPKFFIHPPESLLNSTTSSSEVCFQVPVIDLEGYEGGQRRKEILDGIFAASETWGFFQVVNHGVPVGIMDNMVEAVRRFHEQPKDVKMKWYSRDCKQQPVRYFCNGDLLVNQGAANWRDSIAFDFHDGQLDPQLFPPICREAVSEYIKHVIELRTTLSELLSETLELHSDYLASIGCMETESLVCHYYPACPEPELTLGATKHSDPSFLTILLQDDNRGLQVLHQSYWIDVPPLPGAFVINIGDFIQLITNDKFKSVEHRVLAGQAPRVSVACFFYPSMANKHKPYGPIRELLTESNPPIYREIHVTEYMAYFRAKGLDGNSSLPHFNLQCNYTT >KJB07454 pep chromosome:Graimondii2_0_v6:1:2332542:2342437:-1 gene:B456_001G024600 transcript:KJB07454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIAAINDTDSRGQWEPLAPTKEAQEFHLTQAYHDGLLKLQAKDYEKARELLESVLKDPLISNAEVDNNTTDGHLLQLKFLVLKNLATVFLQQGSGHYESALRCYLQAVEIDNKDSVVWNQLGTLSCSMGSLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVTCLSVAELILRHWPSHSRALHVKNTIEETESAPFAPRGIDKLEPKHVRLKFHDKRKAPDENLDEGTALKKLNQNIELQIAEASWAALIDGLLGILLPLNGCGSEVGTGKLYRSGDVRLSILFPPCAEIVMEPVEKKEPTLAPSGESMPPSDNDSQRASSSKEKESNLLEEQPQERRSTRLESLRSRKPGKEELDFTAGKDLAKIVLQLLEPFVISKPDSKGSEVIGNCSVSCADQANSLDMESRDVANFVRETSKNYGAYHIGHLLLEHAASKSLVCLDAHVKFLELEKLLRNWGQDRTPECSLFLAELYYDIVSSPSNSNNLSEFLSEASYHLCKIIESVALDHPFHSTFGNKNFSSLKSFQGTDAISPDSSICESSHLDSSLLSNKSPFWARYFWLSGKLSVRDGNKAKAYEEFCISMSLLAKNENADNSCMVQLPHCKISKELTVERILHEINLLKVDFLLEKTLGEMIEKEVFVECVTLLAPLLFSANSLSPSLASDPKGDGVTPVELSALDILIKACQKIKPMDMEVYLTSHTRKLQILMALAGMGECVAFGKAFHQKSVPKMLSGPEMVSSDGTSKHWNDLVTEEVKEILQCVSQVKNFIDQSGESLWLQNGIGLVSIFSDLQSLLLAMMYNIANNVFCKKSSMQVNADHLEQKQSNCFIDAAIAFCKLQHLDSSVTVKSQVELIVATHDLLAEYGLCCAGEGGEGEEATFLKFAIKHLLALDMKLKSSFNSSSREISPDDRQPSNDNDFKTSENEISSDKKGERMCGTHNSESITAMKDDIEGITSKGTPSFSGQEKDNAIALQKQCTNVDKINLGEKCGHQLDEGDDELSEDEKEELELIIDNALDQCFFCLYGLNLRSDSSYDDELAVHKNTSRGDYQTKEQCADVFQYILPSAKASSRTGLVKLRRVLRTIRKHFPQPPEEILAGNIIDKFFDADLCEEELSEMAGSEGYLETVTKMLFPDGGNLKQYKASLLRSSEPYLDVYSNLYYFLAQSEEMNATDKWPGFVLTKEGEEFVQQNANLFKYDLLYNPLRFESWQRLANIYDEEVDLLLNDGSKHINVSGWRKNITLPQRVETSRRRSRRCLLISLALAKTSEQQCEIHELLALVYYDSLQNVVPFYDQRSLVPSRDAVWRIYCENSMRHFKKALMHKQDWSHAFYIGKLCEKLGYSYETSLSYYDKAIALNPSAVDPFYRMHASRLKLLWNCGKQNVEVLKVLSTYFFSQSLKDAAMNIISKITPETSLLEEDKLEKTEKREEVWNMLYNDCLSALEICVGGDLKHFHKARFMLAQGLYKKGGRGDLQKAKDELSFCFRSSRSCFTINMWEIDGMVKKGKRKAPGLAGNKKALEVNLPESSRKFITCIRKYLLFYLKLLEETGDICTLDRAYVSLRSDKRFSLCIEDLVPVALGRHIKALVLSINQVETAATDPASSFEHQLEKIFGLFMEQGTLWPEICSLPEIRSPEISESSLYGYLHQYIVSLERNGKLETLEAINERIRKRFKNPKLSNSNCAKVCRHASSAWYRSIIIGLASITPLQSGFSNEVQTLSQPTDGVVESSQQLCVDLQTHEIWNSSFEDSTHLESLQAKWNPTLAKINNIVIKKASDGDLETANTLLRSSYNFYRESSCVMLPSSLNLSLVPSRLVKEKQFPLSIEGVEPLDLSIPRKLLLWAYALLNGRYASISVAVKYCEENAKLKMKRGAATSSAPQNTNTSIAASSHTAAGSGKEAASHGGGSEVETTVVTSGPPVVVSESDSRHSANPLPSSSEGQRSLLMAPQLHPCNNNEGERGRSSVGHEGDDTNKG >KJB07453 pep chromosome:Graimondii2_0_v6:1:2332514:2342437:-1 gene:B456_001G024600 transcript:KJB07453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIAAINDTDSRGQWEPLAPTKEAQEFHLTQAYHDGLLKLQAKDYEKARELLESVLKDPLISNAEVDNNTTDGHLLQLKFLVLKNLATVFLQQGSGHYESALRCYLQAVEIDNKDSVVWNQLGTLSCSMGSLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVTCLSVAELILRHWPSHSRALHVKNTIEETESAPFAPRGIDKLEPKHVRLKFHDKRKAPDENLDEGTALKKLNQNIELQIAEASWAALIDGLLGILLPLNGCGSEVGTGKLYRSGDVRLSILFPPCAEIVMEPVEKKEPTLAPSGESMPPSDNDSQRASSSKEKESNLLEEQPQERRSTRLESLRSRKPGKEELDFTAGKDLAKIVLQLLEPFVISKPDSKGSEVIGNCSVSCADQANSLDMESRDVANFVRETSKNYGAYHIGHLLLEHAASKSLVCLDAHVKFLELEKLLRNWGQDRTPECSLFLAELYYDIVSSPSNSNNLSEFLSEASYHLCKIIESVALDHPFHSTFGNKNFSSLKSFQGTDAISPDSSICESSHLDSSLLSNKSPFWARYFWLSGKLSVRDGNKAKAYEEFCISMSLLAKNENADNSCMVQLPHCKISKELTVERILHEINLLKVDFLLEKTLGEMIEKEVFVECVTLLAPLLFSANSLSPSLASDPKGDGVTPVELSALDILIKACQKIKPMDMEVYLTSHTRKLQILMALAGMGECVAFGKAFHQKSVPKMLSGPEMVSSDGTSKHWNDLVTEEVKEILQCVSQVKNFIDQSGESNGIGLVSIFSDLQSLLLAMMYNIANNVFCKKSSMQVNADHLEQKQSNCFIDAAIAFCKLQHLDSSVTVKSQVELIVATHDLLAEYGLCCAGEGGEGEEATFLKFAIKHLLALDMKLKSSFNSSSREISPDDRQPSNDNDFKTSENEISSDKKGERMCGTHNSESITAMKDDIEGITSKGTPSFSGQEKDNAIALQKQCTNVDKINLGEKCGHQLDEGDDELSEDEKEELELIIDNALDQCFFCLYGLNLRSDSSYDDELAVHKNTSRGDYQTKEQCADVFQYILPSAKASSRTGLVKLRRVLRTIRKHFPQPPEEILAGNIIDKFFDADLCEEELSEMAGSEGYLETVTKMLFPDGGNLKQYKASLLRSSEPYLDVYSNLYYFLAQSEEMNATDKWPGFVLTKEGEEFVQQNANLFKYDLLYNPLRFESWQRLANIYDEEVDLLLNDGSKHINVSGWRKNITLPQRVETSRRRSRRCLLISLALAKTSEQQCEIHELLALVYYDSLQNVVPFYDQRSLVPSRDAVWRIYCENSMRHFKKALMHKQDWSHAFYIGKLCEKLGYSYETSLSYYDKAIALNPSAVDPFYRMHASRLKLLWNCGKQNVEVLKVLSTYFFSQSLKDAAMNIISKITPETSLLEEDKLEKTEKREEVWNMLYNDCLSALEICVGGDLKHFHKARFMLAQGLYKKGGRGDLQKAKDELSFCFRSSRSCFTINMWEIDGMVKKGKRKAPGLAGNKKALEVNLPESSRKFITCIRKYLLFYLKLLEETGDICTLDRAYVSLRSDKRFSLCIEDLVPVALGRHIKALVLSINQVETAATDPASSFEHQLEKIFGLFMEQGTLWPEICSLPEIRSPEISESSLYGYLHQYIVSLERNGKLETLEAINERIRKRFKNPKLSNSNCAKVCRHASSAWYRSIIIGLASITPLQSGFSNEVQTLSQPTDGVVESSQQLCVDLQTHEIWNSSFEDSTHLESLQAKWNPTLAKINNIVIKKASDGDLETANTLLRSSYNFYRESSCVMLPSSLNLSLVPSRLVKEKQFPLSIEGVEPLDLSIPRKLLLWAYALLNGRYASISVAVKYCEENAKLKMKRGAATSSAPQNTNTSIAASSHTAAGSGKEAASHGGGSEVETTVVTSGPPVVVSESDSRHSANPLPSSSEGQRSLLMAPQLHPCNNNEGERGRSSVGHEGDDTNKG >KJB06669 pep chromosome:Graimondii2_0_v6:1:2361307:2361444:-1 gene:B456_001G025200 transcript:KJB06669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPPQKINLISCGPLAHVSDIKLIRTDTTLDLSQKAEKGMLVSV >KJB07698 pep chromosome:Graimondii2_0_v6:1:3551324:3554039:-1 gene:B456_001G038100 transcript:KJB07698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRYSIIVSFLLFLVVNLTHPRFTESLPRCKAWLVQSIPTDMPYLPPVPGVLSTGDVFKWLAYNSTDKLDIIAQYWQLKAHPEDSRSGDYGYSKADMHRFGAHQGFSVYTALENAADRDVDIRLVQHSGVYPDYTKEPSSLAARRPNVKSVTLLLDKWWGSGIVHAKVWISDNRDVYIGSANNDWKSLTQVKEVGIYLVGCPKVARKVGVYFQNLWRLAHLDDSAYTTTILDQQWQIQRKVPCWSHFIESDMRCTPQLPRFEEIPYVAGYPTLSDPKILKLIIDAPGYDYTSSVPQSSYLSFSPPELSFGRFQPDEQGWLDTIKSVSDGGTVRISTMDWLGQSQYMDRTVYWSSLSTAISEVVFSKHAKVKILVAYWAHFINNTDLYLKSLLYSNVLCTSSKNNECSGKVDIKYYKVPGYNMTGPAIMNGKKTGNLYPAFTRVNHGKYAASDVRGHISTSNLIWDYFYTTAGVSFGTYNPAIVAQLQEIFDADWNSPYAVPVEGLSDGHACSS >KJB08835 pep chromosome:Graimondii2_0_v6:1:12053276:12059490:-1 gene:B456_001G106800 transcript:KJB08835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGEEDHGKREKISAASSKVENFPAWAKDVKQCEENFQTNRELGLSSAEVEKRREIYGWNELEKHEGTSIFQLILEQFNDTLVRILLLAAIISFVLAWLDGDEGGEKEITAFVEPLVIFLILIVNAIVGIWQESNAEKALEALKEIQSEQANVVRDGKKVSNLHAKELVPGDIVELRVGDKVPADMRVLTLISSTVRVEQGSLTGESEAVSKTAKVVPENTDIQGKKCMVFAGTTVVNGNCICMVTQIGMNTEIGKVHSQIHEASQSDDDTPLKKKLNEFGEVLTMIIGVICALVWLINVKYFLSWEYVDGWPSNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLIAMGSRPGTLRAFDVEGTTYNPFDGKIRGWAAGEMDANLQMIAKICAVCNDAGVEQSGSHYVATGMPTEAALKVLVEKMGLPEENGSSSGHGDHQRCCQAWNKLEQRIATLEFDRDRKSMGVIVNSSTGQKALLVKGAVENLLERSSFMQLRDGSIIELDQYSKDLILQSLHEMSTDALRCLGFAYKEEPFEFTTYNGDEDHPAHQLLLNPSNYSSIESKLIFAGLVGLRDPPRKEVRQAIEDCKAAGIRVMVITGDNKNTAEAICREIGVFGSSEDISSRSLTGKNFMDHPNQKNHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGIVGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYLVIGLYVGIATVGVFIIWYTHHSFLGIDLSGDGHSLVTYSQLANWGKCDSWEGFSVSPFTAGSQVFKFDSDPCDYFHSGKIKASTLSLSVLVAIEMFNSLNALSEDGSLLTMPPWVNPWLLLAMSVSFGLHFLILYVPFLARVFGIVPLSTNEWLLVIAVAFPVILIDELLKFIGRRTTKLRYPAVPKSSKQKAE >KJB08833 pep chromosome:Graimondii2_0_v6:1:12053291:12059338:-1 gene:B456_001G106800 transcript:KJB08833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGEEDHGKREKISAASSKVENFPAWAKDVKQCEENFQTNRELGLSSAEVEKRREIYGWNELEKHEGTSIFQLILEQFNDTLVRILLLAAIISFVLAWLDGDEGGEKEITAFVEPLVIFLILIVNAIVGIWQESNAEKALEALKEIQSEQANVVRDGKKVSNLHAKELVPGDIVELRVGDKVPADMRVLTLISSTVRVEQGSLTGESEAVSKTAKVVPENTDIQGKKCMVFAGTTVVNGNCICMVTQIGMNTEIGKVHSQIHEASQSDDDTPLKKKLNEFGEVLTMIIGVICALVWLINVKYFLSWEYVDGWPSNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLIAMGSRPGTLRAFDVEGTTYNPFDGKIRGWAAGEMDANLQMIAKICAVCNDAGVEQSGSHYVATGMPTEAALKVLVEKMGLPEENGSSSGHGDHQRCCQAWNKLEQRIATLEFDRDRKSMGVIVNSSTGQKALLVKGAVENLLERSSFMQLRDGSIIELDQYSKDLILQSLHEMSTDALRCLGFAYKEEPFEFTTYNGDEDHPAHQLLLNPSNYSSIESKLIFAGLVGLRDPPRKEVRQAIEDCKAAGIRVMVITGDNKNTAEAICREIGVFGSSEDISSRSLTGKNFMDHPNQKNHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGIVGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYLVIGLYVGIATVGVFIIWYTHHSFLGIDLSGDGHSLVTYSQLANWGKCDSWEGFSVSPFTAGSQVFKFDSDPCDYFHSGKIKASTLSLSVLVAIEMFNSLNALSEDGSLLTMPPWVNPWLLLAMSVSFGLHFLILYVPFLARVFGIVPLSTNEWLLVIAVAFPVILIDELLKFIGRRTTKLRYPAVPKSSKQKAE >KJB08834 pep chromosome:Graimondii2_0_v6:1:12054920:12058021:-1 gene:B456_001G106800 transcript:KJB08834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGEEDHGKREKISAASSKVENFPAWAKDVKQCEENFQTNRELGLSSAEVEKRREIYGWNELEKHEGTSIFQLILEQFNDTLVRILLLAAIISFVLAWLDGDEGGEKEITAFVEPLVIFLILIVNAIVGIWQESNAEKALEALKEIQSEQANVVRDGKKVSNLHAKELVPGDIVELRVGDKVPADMRVLTLISSTVRVEQGSLTGESEAVSKTAKVVPENTDIQGKKCMVFAGTTVVNGNCICMVTQIGMNTEIGKVHSQIHEASQSDDDTPLKKKLNEFGEVLTMIIGVICALVWLINVKYFLSWEYVDGWPSNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLIAMGSRPGTLRAFDVEGTTYNPFDGKIRGWAAGEMDANLQMIAKICAVCNDAGVEQSGSHYVATGMPTEAALKVLVEKMGLPEENGSSSGHGDHQRCCQAWNKLEQRIATLEFDRDRKSMGVIVNSSTGQKALLVKGAVENLLERSSFMQLRDGSIIELDQYSKDLILQSLHEMSTDALRCLGFAYKEEPFEFTTYNGDEDHPAHQLLLNPSNYSSIESKLIFAGLVGLRDPPRKEVRQAIEDCKAAGIRVMVITGDNKNTAEAICREIGVFGSSEDISSRSLTGKNFMDHPNQKNHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGIVGTEVSTTLSYYIHDVHMVTC >KJB07866 pep chromosome:Graimondii2_0_v6:1:4660751:4668712:1 gene:B456_001G049100 transcript:KJB07866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKEICLEGFKSYASRTLVPGFDPFFNSITGLNGCGKSNILDSICFVLGITNLQQVRAANLQELVYKQGQAGVTKATVSIIFDNSDRSRSPLGYEDHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPYFVIMQGRITKVLNMKPPEILSMLEEASGTRMYETKKEFVLKTLDKKQSKVDEINKLLDEEILPALEKLRKERMQYVQWSNGNVELDRLKRFCVAYDYVEAKRIRDSAVGEVQRLKAKVTEIDNDTERVKVEIQDMETNISKLTAEKEANLGGKVKALSDEVDSLSKKLVQEVSVLNSKEDTLKGEKENAEKIIHYIEVLKQSIEEKALAVQKSKEGLADLKKRVGDLSKSFKEHEKEYQAIVAGKSSDAKVAVGAAKTELKQLRTKISHCGKELEEKRHQLMSKRDEALEVENELNSRRKDVEKVKIELESLPHKEDQMETLQKDCAVELELIQKLKDTVQDLSAQLANVQFTYCDPVKKFDRSKVKGVVVKLIKVKDSSAMAALEVTAGGKLFNVVVDPENTGKQLLQNGDLRRRVTIIPLNKIQPSTVHPRVHQAAMKLVGKENAKLALSLVGYDEELESAMEYVFGATFVCKTTDAAKEVAFNREIRTPSVTLEGDIFQPSGLLTGGSRRGGGDLLRQLHDLAECESKLAGHQKRLSEIKMKLAEMIKNIEQELVVANSAVKEKQTLYEKHVSAILQLEKSIRDHENNREGRLKTLKRKIKATKSQLLLASKDLKEHENEIEMLVMEREAVKQEQSSLESQLASLRTQIYNLNLEVEAKVDTLNKNHDQLQSELGSIRLKSKECDSQISSFIKEQQKLQLKLSDIKLERKRLDNEVKQTEREQKDCSMKVDKLIEKHAWVATERQFFGKGGTDYDFASCDPLKTREELDKLQAEQSSLGKRMNKKVVAMFEKAEDEYNNLMSKKNTVENDKSKIKKTLEELDEKKKETLKVTLVKVNKDFGSIFSTLLPGAMAKLEPPEGCSFLDGLEARVAFGGVWKQSLSELSGGQKSLLALSLILALLLFNPAPFYILDEVDAALDLRHTQNIGRMIKAHFPQSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVASKPSR >KJB06989 pep chromosome:Graimondii2_0_v6:1:7398457:7400983:-1 gene:B456_001G072800 transcript:KJB06989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLAPAVQPASRNVAATAAIPHGSASAVKTRLCNKFSSPEGCKFGDKCHFAHGEWELGTPIAPSLDDPRSMAPLPGRMGSRMEPPPPSGPAATFGASATAKISVDASLAGAIIGKGGVHSKQICRQTGAKLSIREHESDPSLRNIELEGSFEQIKEASAMVRELISSLGPVPGPAKTAGAHGGQGHPGSNYKTKLCDNFAKGSCTFGERCHFAHGAAELRKSVV >KJB06990 pep chromosome:Graimondii2_0_v6:1:7398845:7400667:-1 gene:B456_001G072800 transcript:KJB06990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRKRGRREAGFNANGGIKKSKPELESLSTGVGSKSKPCTKFFSTAGCQFGESCHFLHYVPGGYNAVSQMMNLAPAVQPASRNVAATAAIPHGSASAVKTRLCNKFSSPEGCKFGDKCHFAHGEWELGTPIAPSLDDPRSMAPLPGRMGSRMEPPPPSGPAATFGASATAKISVDASLAGAIIGKGGVHSKQICRQTGAKLSIREHESDPSLRNIELEGSFEQIKEASAMVRELISSLGPVPGPAKTAGAHGGQGHPGSNYKTKLCDNFAKGSCTFGERCHFAHGAAELRKSVV >KJB11102 pep chromosome:Graimondii2_0_v6:1:48061898:48064480:1 gene:B456_001G240700 transcript:KJB11102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVIVSSFHAFRAENFSNGKGQVKLKKPEFQCHANIKSKAHKYLIRNELAATYSARISTDIPLYEIPGALFDEYLEDKPRIFKAMFPDQDRSQQLNQDEWRIRMLPLQLLVLNVWPVVDLRLRCKSGGRDYPPEVPHDITKVLELDITRWELQGLDNVVDPSHFTLVVKGTLYPDRRRHRSRLKGHLEMHVKFILPPALALVPENIRDGLGKGVMTKLVESMKQKVDGSLLADYSKFKRERSAKSSANWKETQ >KJB10673 pep chromosome:Graimondii2_0_v6:1:43119627:43126784:-1 gene:B456_001G215500 transcript:KJB10673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSDIGVAAAINILSAFAFFLAFAILRIQPVNDRVYFPKWYLKGLRCSPLANGAFVSKFVNLDFRSYARFLNWMPAALQMPEPELIDHAGLDSAVYLRIYLLGLKIFVPIAFLAFTIMVPVNWTNNTLEHSGLTFSDIDKLSISNIPNGSLRFWTHLVMAYAFTFWTCYVLKREYEIVASMRLHFLASEQRHPDQFTVLVKNVPPDPDESVSELVEHFFLVNHPEHYLSHQVVYNANKLSELVNEKKKFQNWLDYYQNKYERNPSKRPLLKTGFLGLWGESVDAVDFYTAKNERLSRDISLEREKITSNPKSIMAAAFVSFKTRWGAAVCAQTQQCRNPTIWLTEWAPEPRDVYWENLSIPFVFLTIRRLIVAVAFFFLTFFFVIPIAIVQSLANIESIEKALPFLKPIIEVKVIKSFIQGFLPGIALKIFLLFLPTILMIMSKFEGFISLSALERRSASRYYFFQFINVFLGSIITGTAFQQLNNFIHQSTNEIPKTIGASIPMKATFFITYIMVDGWAGVAAEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGTIGFNTGEPQIQLYFLLGLVYAIVTPILLPFVIVFFALAYVVFRHQIINVYNQEYESAAAYWPDVHLRIIVALIVSQLLLMGLLSTKEAASSTPLLITLPILTIWFHRFCKGRYEPAFVRNPLQEAMMKDTLERAREPNLNLKGFLQNAYIHPVFKSADGDKIDVAMEEWEEEPSLIATKRTSKRSTPLSSKHSVSSFSSPEDNKERSKP >KJB10672 pep chromosome:Graimondii2_0_v6:1:43119627:43124091:-1 gene:B456_001G215500 transcript:KJB10672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAFTFWTCYVLKREYEIVASMRLHFLASEQRHPDQFTVLVKNVPPDPDESVSELVEHFFLVNHPEHYLSHQVVYNANKLSELVNEKKKFQNWLDYYQNKYERNPSKRPLLKTGFLGLWGESVDAVDFYTAKNERLSRDISLEREKITSNPKSIMAAAFVSFKTRWGAAVCAQTQQCRNPTIWLTEWAPEPRDVYWENLSIPFVFLTIRRLIVAVAFFFLTFFFVIPIAIVQSLANIESIEKALPFLKPIIEVKVIKSFIQGFLPGIALKIFLLFLPTILMIMSKFEGFISLSALERRSASRYYFFQFINVFLGSIITGTAFQQLNNFIHQSTNEIPKTIGASIPMKATFFITYIMVDGWAGVAAEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGTIGFNTGEPQIQLYFLLGLVYAIVTPILLPFVIVFFALAYVVFRHQIINVYNQEYESAAAYWPDVHLRIIVALIVSQLLLMGLLSTKEAASSTPLLITLPILTIWFHRFCKGRYEPAFVRNPLQEAMMKDTLERAREPNLNLKGFLQNAYIHPVFKSADGDKIDVAMEEWEEEPSLIATKRTSKRSTPLSSKHSVSSFSSPEDNKERSKP >KJB10674 pep chromosome:Graimondii2_0_v6:1:43119974:43125709:-1 gene:B456_001G215500 transcript:KJB10674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQRFKVQSFGQWCICKQVREFGLQVVCQISQLDACCTPNAGARVDRPCRIGFRCLLEDLLVGCRLKIFVPIAFLAFTIMVPVNWTNNTLEHSGLTFSDIDKLSISNIPNGSLRFWTHLVMAYAFTFWTCYVLKREYEIVASMRLHFLASEQRHPDQFTVLVKNVPPDPDESVSELVEHFFLVNHPEHYLSHQVVYNANKLSELVNEKKKFQNWLDYYQNKYERNPSKRPLLKTGFLGLWGESVDAVDFYTAKNERLSRDISLEREKITSNPKSIMAAAFVSFKTRWGAAVCAQTQQCRNPTIWLTEWAPEPRDVYWENLSIPFVFLTIRRLIVAVAFFFLTFFFVIPIAIVQSLANIESIEKALPFLKPIIEVKVIKSFIQGFLPGIALKIFLLFLPTILMIMSKFEGFISLSALERRSASRYYFFQFINVFLGSIITGTAFQQLNNFIHQSTNEIPKTIGASIPMKATFFITYIMVDGWAGVAAEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGTIGFNTGEPQIQLYFLLGLVYAIVTPILLPFVIVFFALAYVVFRHQIINVYNQEYESAAAYWPDVHLRIIVALIVSQLLLMGLLSTKEAASSTPLLITLPILTIWFHRFCKGRYEPAFVRNPLQEAMMKDTLERAREPNLNLKGFLQNAYIHPVFKSADGDKIDVAMEEWEEEPSLIATKRTSKRSTPLSSKHSVSSFSSPEDNKERSKP >KJB10524 pep chromosome:Graimondii2_0_v6:1:40111757:40112592:-1 gene:B456_001G205800 transcript:KJB10524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSEVSGIQYLVPSNPSPYSPHFSMNQSNKPALDSNQFLNPLYNFYIPPQIHDISPHSSCISSNSTSDEADEQQLSLIIERKQRRMISNRESARRSRMRKQRHLDELWAQVVWLRNENHQLIDKLNHVSESHDRVLQENTQLKEEASELRQMLFGMRLGHPNSTSVNLDDVALEHGLS >KJB09876 pep chromosome:Graimondii2_0_v6:1:24738767:24739750:1 gene:B456_001G172100 transcript:KJB09876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHESFTDNSAATFSLTDEDHTIANAVRFTLNQDPRVTFCGYSIPHPSEARVNIRVQTTGKLNITLFFKAHFSKVNLSIMQEVQYLMHHNRHFLETMSSRKLNWGG >KJB10377 pep chromosome:Graimondii2_0_v6:1:35161886:35163131:-1 gene:B456_001G197900 transcript:KJB10377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPAELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >KJB10803 pep chromosome:Graimondii2_0_v6:1:45896284:45901765:1 gene:B456_001G225500 transcript:KJB10803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEILSELNSVSVENNVVSQNSDEFKVSVFGEQVLAGQGDHVNGHNQHDQQLHQGGGNNNTLLALQEIPGNLPPFTVSNGDPVFGNEVVERVREKPKLIVTNDIKPRLRWTSELHSYFVEVCNRLGGPQRATPKAVLDLMDIDGLNLFHVKSHLQKYRLGKYADKDSTEGGQTGTFGSSSSAIPLPSCTNQYNRRAKRNLKNTHGELYLKLQMSIQDMGAKKLEAEMHVQRCLEEQRRYLHTALESACKTLANQYLGDAAAVENAILYGQASAGLGNFATIPVGPSGFGTSATTVPPFYSEQQNAYPTYSNTLTTPANLGLQEQPVGCQLQTSFSPAPQGNISTSNGYFGSSPHQETLAAAAAYTDWLVDEDLIQTSFSPAPQGNTSTSNGYSGSSPHQETSAAAAAVTDWLVDEDLIQTSFSPAPQGNTTSNGYSGSSPHQETLAAAAADMDWPVDEDLIQTSFSPASQGNISTSNGYSGSSPHQETSAAAAADTDWPVDEDLIQTSFSPSPKGNISTSNGYSGSSPHQETSAAAAADMDWPVDEDLIQTPFSPAPQGNISTSNGYSGSSPHQETSAAAAADTDWPVDEDLIQTSFSPAPKGNISTSNGYSASSPHQETSAAAAANIDWPVDEDLIQAFFNWDDNEPMNLDASL >KJB11224 pep chromosome:Graimondii2_0_v6:1:49970639:49974777:-1 gene:B456_001G248100 transcript:KJB11224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-9 [Source:Projected from Arabidopsis thaliana (AT5G03070) UniProtKB/Swiss-Prot;Acc:F4KF65] MADDSPASHRRDPIKSSVGNVAAQRRRQNAVTVGKERRESLVRAKRLCRVGPSGDGDVPGEENDMVTDEEGFLLDAQTSSAVEDLKSAIAYQGKGAMQKRVNALRELRRLLSKSEFPPIEAALKAGAIPLLVQCLSFGSPDEQLLEAAWCLTNIAAGKPEETKALLPALPLLIAHLGEKSSLPVAEQCAWALGNVAGEGEELRNVLLSQGALPPLARMMLPNKGSTVRTAAWALSNLIKGPDPKAATELIKVDGLLDAILRHLRKSNEELATEVAWVVVYLSALSNFATGVLVKSDAILLLVERLATSNSLQLLIPVLRSLGNLVAGDSHTTSTVLIPGHEITDGVIKALVKCLKSDHRVLKKEASWVLSNIAAGSIEHKQLIYSSEAVPLLLRLLSTAPFDIRKEVAYVLGNLCVTPTGGDGKPNLIEEHLVSLVQRGCLSGFIDLVRSADIEAARLGLQFMELVLRGMPNGDGPKLVEQEDGIDAMERYQFHENEDLRNMANGLVDKYFGENYGIDE >KJB06801 pep chromosome:Graimondii2_0_v6:1:32356906:32359202:-1 gene:B456_001G192300 transcript:KJB06801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAIQQSAFVGQTALKQSNELLRKVGAFDGGRVTMRRTVKSAPTSIWYGPDRPKYLGPFSDQIPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWACQVVLMGFVEGYRVGGGPLGEGLDPIYPGGAFDPLGLADDPDAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHLADPVANNAWAYATNFVPGK >KJB06216 pep chromosome:Graimondii2_0_v6:1:27371418:27374032:1 gene:B456_001G179200 transcript:KJB06216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDWSLTSNFLGLFKLWKALQFYAGFSIFMLYVYQLPIEFSSMLQWIADFVGLFKICSTSEWTEICSSVSLVLFYIMVCTSFITIGLILFKDFS >KJB06217 pep chromosome:Graimondii2_0_v6:1:27371470:27373989:1 gene:B456_001G179200 transcript:KJB06217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDWSLTSNFLGLFKLWKALQFYAGFSIFMLYVYQLPIEFSSMLQWIADFVGLFKICSTSEWTEICSSVSLVLFYIMVCTSFITIGLILFKDFS >KJB11231 pep chromosome:Graimondii2_0_v6:1:50153587:50155954:-1 gene:B456_001G248400 transcript:KJB11231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAARPLVSVQTIDSDMATDSTQTVPLADVMKASIRPDIVTFVHDNISKNRRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVASAIAASAVTSLVMARGHRIEAVPEMPLVISNAVESVEKTSAAIKVLKQVGAYPDVEKAKDSVGIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEVANVERLNLLKLAPGGHLGRFIIWTKSAYEKLDSIYGSFEKPSEKKKRYILPRSKMVNADLGRIINSDEVQSVVKPIKKEIKRAPLKKNPLKNLNAMLKLNPYAKTARRMALLAEAQRVKAKKEKLDKKRKPVSKEATAIKSAGKAWYKTMISDSDYTEFENFSKWLGVSQ >KJB11232 pep chromosome:Graimondii2_0_v6:1:50153587:50156070:-1 gene:B456_001G248400 transcript:KJB11232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAARPLVSVQTIDSDMATDSTQTVPLADVMKASIRPDIVTFVHDNISKNRRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVASAIAASAVTSLVMARGHRIEAVPEMPLVISNAVESVEKTSAAIKVLKQVGAYPDVEKAKDSVGIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEVANVERLNLLKLAPGGHLGRFIIWTKSAYEKLDSIYGSFEKPSEKKKRYILPRSKMVNADLGRIINSDEVQSVVKPIKKEIKRAPLKKNPLKNLNAMLKLNPYAKTARRMALLAEAQRVKAKKEKLDKKRKPVSKEEATAIKSAGKAWYKTMISDSDYTEFENFSKWLGVSQ >KJB08935 pep chromosome:Graimondii2_0_v6:1:13354472:13355606:-1 gene:B456_001G114100 transcript:KJB08935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPSFFGNRRSSIFDPFSLDVWDPFKDFPFSSPSPSLSTRSSETSAFVNTRIDWKETPEAHVFKADVPGLKKEEVKVEVEDDRVLQISGERNAEKEDKNDSWHRVERSSGKFMRRFRLPENAKIDHIKASMENGVLTVTIPKLEVKKPDVKSIEISG >KJB10817 pep chromosome:Graimondii2_0_v6:1:46242143:46245077:-1 gene:B456_001G226700 transcript:KJB10817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKGVFPRDIIKCKSMTGLDLSSNKLYGKIPSDIAKLIPYVTSLDLSSNNFSGEIPKNLANCSFLNILNLDHNKLTGPIPPELTLLSRMKTFTVAYNLLTGPIPVFRTMYSVDSFTNNPALCGKPLDQCRETTKGAKTGVIAGAAVGGVTFAAIGTAIVLFFYYRKVSVMRKKDDDPDGNKWTKSLNGAKGIKVSMFEKVVSRMRLNDLMKATNSFHKNNIIGSGRTGTMYIGVLEDGTSLLIKRLQNSQHSDKEFSSEMATLGNVKHRNLVPLLGFCVAKTERLLVYRYMANGTLNDNLHPVDDAKQAMEWSLRLKISIGAARGFAWLHHNCNPRILHRNISSKCILLDADFEPKISDFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGERPTHITKAPETFKGSLVEWISQLSDDGKLHDAIDASLVGNGVDNELFQFLKVACNCVLPTPKERPAMFEVYQLLRAIGERYNFTTEDEISMPTDTSDVGYLEELIVAR >KJB10819 pep chromosome:Graimondii2_0_v6:1:46242329:46244258:-1 gene:B456_001G226700 transcript:KJB10819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMALHSRVFVLLVSVMVLLLLGCTLSFATKDDIECLKSIKASFQDSFGYLNSSWNFNNDTEGFICRFTGIDCWHPDENRVLNIRLSDMGLKGVFPRDIIKCKSMTGLDLSSNKLYGKIPSDIAKLIPYVTSLDLSSNNFSGEIPKNLANCSFLNILNLDHNKLTGPIPPELTLLSRMKTFTVAYNLLTGPIPVFRTMYSVDSFTNNPALCGKPLDQCRETTKGAKTGVIAGAAVGGVTFAAIGTAIVLFFYYRKVSVMRKKDDDPDGNKWTKSLNGAKGIKVSMFEKVVSRMRLNDLMKATNSFHKNNIIGSGRTGTMYIGVLEDGTSLLIKRLQNSQHSDKEFSSEMATLGNVKHRNLVPLLGFCVAKTERLLVYRYMANGTLNDNLHPVDDAKQAMEWSLRLKISIGAARGFAWLHHNCNPRILHRNISSKCILLDADFEPKISDFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGERPTHITKAPETFKGSLVEWISQLSDDGKLHDAIDASLVGNGVDNELFQFLKVACNCVLPTPKERPAMFEVYQLLRAIGERYNFTTEDEISMPTDTSDVGYLEELIVAR >KJB10818 pep chromosome:Graimondii2_0_v6:1:46242329:46244258:-1 gene:B456_001G226700 transcript:KJB10818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMALHSRVFVLLVSVMVLLLLGCTLSFATKDDIECLKSIKASFQDSFGYLNSSWNFNNDTEGFICRFTGIDCWHPDENRVLNIRLSDMGLKGVFPRDIIKCKSMTGLDLSSNKLYGKIPSDIAKLIPYVTSLDLSSNNFSGEIPKNLANCSFLNILNLDHNKLTGPIPPELTLLSRMKTFTVAYNLLTGPIPVFRTMYSVDSFTNNPALCGKPLDQCRETTKGAKTGVIAGAAVGGVTFAAIGTAIVLFFYYRKVSVMRKKDDDPDGNKWTKSLNGAKGIKVSMFEKVVSRMRLNDLMKATNSFHKNNIIGSGRTGTMYIGVLEDGTSLLIKRLQNSQHSDKEFSSEMATLGNVKHRNLVPLLGFCVAKTERLLVYRYMANGTLNDNLHPVDDAKQAMEWSLRLKISIGAARGFAWLHHNCNPRILHRNISSKCILLDADFEPKISDFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGERPTHITKAPETFKGSLVEWISQLSDDGKLHDAIDASLVGNGVDNELFQFLKVACNCVLPTPKERPAMFEVYQLLRAIGERYNFTTEDEISMPTDTSDVGYLEELIVAR >KJB07836 pep chromosome:Graimondii2_0_v6:1:4455718:4462880:-1 gene:B456_001G047000 transcript:KJB07836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICSSRRGVLGSVYCLVVVLSYARICLSARSDNEIRERFYGNLVNSSATGTGEGSIAKMFDRVLEKEFSENDQTEGTDASNFNTSVADQQAVLETVAKITHEKGKRNDTQETNGTRAFQIQDVFSLENEDSDETTTLIDKKDNVFVMSNRKSKYPVLQVDLRLISDLVVVIVSAAIGGIIFSCLGQPVIVGYLLAGSLIGPGGLKFISEMVQVETVAQFGVVFLLFALGLEFSLAKLKVVGPVAVFGGLLQIVIFMCLCGIIAVLCGANLSEGVFVGSFLSMSSTAIVVKFLVERSSTNSLHGQVTIGTLIFQDCAVGLLFALLPVLGGSSGLLHGMVSMGKLILVLSIYLTVASLLSWSFVPRFLKLMMQISSQTNELYQLAAVAFCLLSAWCSDKMGLSLELGSFVAGVMISTTDFAQHTLDQVEPIRNLFAALFLSGIGMLIHVHFLWNHVDILLASVILVIVVKTAVVCVVAKAFGYSVRTSFHVGVLLAQIGEFAFVLLSRASNLHLVEGKMYLLLLGTTALSLVTTPLMFKLIPYVMNLGVLLQWFPSESSSTNEEKVSIIEAHNRLH >KJB08411 pep chromosome:Graimondii2_0_v6:1:8503070:8503669:-1 gene:B456_001G080500 transcript:KJB08411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAKINSVYGARGRKRCKERVEESGNSSKSTIMTEKGRTNGVRATKALSTIPNNRTIVTRSMAMKTMEQEDEETLYEVEIFVIREEEKNYDNKPMELVEEQEQQQQQEQEQKQEQEPQPQPPANEVAVVDNKGEGVEELTLDDWPITFRFDEEWPWSKVRYDSGWYSCWETMNGDFWTPVRDHSQESVWSGHLWEFKP >KJB08507 pep chromosome:Graimondii2_0_v6:1:9115866:9116495:-1 gene:B456_001G085400 transcript:KJB08507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSFSSYNLLNFNQRPLRHGPKYRRKSGTFIVASTGGINNKSNGPDYVGKRVDENMIMLRMRIKDTKISEGFELPSEWMEWEKQYYLHYNEDVCEAMGVLQNLLVNVRPSFGIAMVVLVLLSFLISTGVTLFHVLRLGQWFISGFNPN >KJB09270 pep chromosome:Graimondii2_0_v6:1:16988878:16993777:-1 gene:B456_001G132400 transcript:KJB09270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVEADPEGALVGFSEVVSMEPEKAEWGFKALKQTVKLYYRLGKYKEMMDSYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFDLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGTDDQKKGSQLLEVYAIEIQMYTETKNNKKLKQLYQKALAIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEIIEFEKILKSNRRTIMDDPFIRNYIEDLLKNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIEGHIDQVNRLLERGDRSKGMKKYTAIDKWNTQLRSLYQAVSNRVS >KJB09271 pep chromosome:Graimondii2_0_v6:1:16989219:16993711:-1 gene:B456_001G132400 transcript:KJB09271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVEADPEGALVGFSEVVSMEPEKAEWGFKALKQTVKLYYRLGKYKEMMDSYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFDLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGTDDQKKGSQLLEVYAIEIQMYTETKNNKKLKQLYQKALAIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEIIEFEKILKSNRRTIMDDPFIRNYIEDLLKNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIEGHIDQVNRLLERGDRSALFLNNYYIVGVVEVEPM >KJB06348 pep chromosome:Graimondii2_0_v6:1:44376251:44378473:1 gene:B456_001G219300 transcript:KJB06348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALLFTKSTLTRSKDEVYVAAVALRATKGPAQLLMSTAYSLSVWDLQHFMVIIKPSSPLLSQVIVFDFQPEDPENIYTALAALSGRAVPGVVLTRKLRKLPRSRCWFVGYAEGNAVDKAYDFNNTWEVDLRIGLHDCRDYTNGLVEQLTGEKLVLEHLRRRNGGQS >KJB06346 pep chromosome:Graimondii2_0_v6:1:44376251:44378473:1 gene:B456_001G219300 transcript:KJB06346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALLFTKSTLTRSKDEVYVAAVALRATKGPAQLLMSTAYSLSVWDLQHFMVIIKPSSPLLSQVIVFDFQPEDPENIYTALAALSGRAVPGVVLTRKLRKLPRSRCWFVGYAEGNAVDKAYDFNNTWEVDLRIGLHDCRDYTNVPLKCKDWLNS >KJB06347 pep chromosome:Graimondii2_0_v6:1:44377142:44378018:1 gene:B456_001G219300 transcript:KJB06347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALLFTKSTLTRSKDEVYVAAVALRATKGPAQLLMSTAYSLSVWDLQHFMVIIKPSSPLLSQVIVFDFQPEDPENIYTALAALSGRAVPGVVLTRKLRKLPRSRCWFVGYAEGNAVDKAYDFNNTWEVDLRIGLHDCRDYTNGTNLIILLLIFLSRIQIY >KJB07334 pep chromosome:Graimondii2_0_v6:1:1554682:1560942:-1 gene:B456_001G017000 transcript:KJB07334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPPNGFLANPAEGERKSINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDFVPSYPNLPSKLICMLHNVTLHADLETDEVYAQMTLQPVNKYDREALLASDMGLKQSRQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELAARDLHENTWTFRHIYRGQPKRHLLTTGWSVFVSNKRLFAGDSVLFIRDEKSQFLLGIRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPSEFVVPLAKYNKAMYTQVSLGMRFRMMFETEECGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTAGERPTRVSIWDIEPVVTPFYICPPPFFRPRFPKQPGMPDNDNDVENAFKRAMPWLGDDFGMKDSPNSIFPGLSLVQWMNMQQNNQLAAAQSGLFPSMVSSNPLHNSLGTDDPSKLLNFQAPVLPASNMQFNKANPNQVNQLSHAPMTWPQQQQLQQLLQTPLNQNPLQQQSQQQLQQRQSQLQPQSHLQQQPQPPLQQQQQQQQQQRQQAQPQPQQQQPPLQQLQQQQRQQAQPQLLQQQFLPAQVNNGIIASNQMPNRNLHQPGVYSQVQQQQQQQQILTSNGQSTQTTLPANKASYPLTSLAQDTQIQQQVEQQPNLVQSQQQQTQLQQNLSQRSQQQPQIQQLAQQGLPEQQFQLLQKLQQQQQQQQSSQQLLSPTGSLSQSPVVQQQPMHQQNQPMQQVPLSQSQQTLGSNGFSTSMLMQPQQLVVSQSQNQNKPLMAMRTHSGLTDGDAPSCSTSPSTNNCQVSPSSFLSRSQQVPSMVVTDPVVEPASTLVQELQSKPDIRIKHELLASKGPDQSKYKSTVTDQLEASSSGTSYCLDAGTIQHNFSLPTFLEGDVQSHPRNNLPFSANIDGLAPDTLLSRGYDSQKDLQNLLSNYGSNPRDIDTELSTAAISPQSFGVPNIPFKTGCSNDVAINDAGVLNGGLWANQTQRMRTYTKVQKRGSVGRSIDVTRYKGYDELRHDLARMFGIEGQMEDPQSSDWKLVYVDHENDILLVGDDPWE >KJB07335 pep chromosome:Graimondii2_0_v6:1:1554682:1561165:-1 gene:B456_001G017000 transcript:KJB07335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPPNGFLANPAEGERKSINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDFVPSYPNLPSKLICMLHNVTLHADLETDEVYAQMTLQPVNKYDREALLASDMGLKQSRQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELAARDLHENTWTFRHIYRGQPKRHLLTTGWSVFVSNKRLFAGDSVLFIRDEKSQFLLGIRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPSEFVVPLAKYNKAMYTQVSLGMRFRMMFETEECGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTAGERPTRVSIWDIEPVVTPFYICPPPFFRPRFPKQPGMPDNDNDVENAFKRAMPWLGDDFGMKDSPNSIFPGLSLVQWMNMQQNNQLAAAQSGLFPSMVSSNPLHNSLGTDDPSKLLNFQAPVLPASNMQFNKANPNQVNQLSHAPMTWPQQQQLQQLLQTPLNQNPLQQQSQQQLQQRQSQLQPQSHLQQQPQPPLQQQQQQQQQQRQQAQPQPQQQQPPLQQLQQQQRQQAQPQLLQQQFLPAQVNNGIIASNQMPNRNLHQPGVYSQVQQQQQQQQILTSNGQSTQTTLPANKASYPLTSLAQDTQIQQQVEQQPNLVQSQQQQTQLQQNLSQRSQQQPQIQQLAQQGLPEQQFQLLQKLQQQQQQQQSSQQLLSPTGSLSQSPVVQQQPMHQQNQPMQQVPLSQSQQTLGSNGFSTSMLMQPQQLVVSQSQNQNKPLMAMRTHSGLTDGDAPSCSTSPSTNNCQVSPSSFLSRSQQVPSMVVTDPVVEPASTLVQELQSKPDIRIKHELLASKGPDQSKYKSTVTDQLEASSSGTSYCLDAGTIQHNFSLPTFLEGDVQSHPRNNLPFSANIDGLAPDTLLSRGYDSQKDLQNLLSNYGSNPRDIDTELSTAAISPQSFGVPNIPFKTGCSNDVAINDAGVLNGGLWANQTQRMRTYTKVQKRGSVGRSIDVTRYKGYDELRHDLARMFGIEGQMEDPQSSDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSLEVQQMSLDGDLGNVPVPNQACSEIDSGNAWRGHYDDTSAASFNR >KJB07195 pep chromosome:Graimondii2_0_v6:1:609979:616085:-1 gene:B456_001G007000 transcript:KJB07195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGVLQSSPVQQLMAGNPDWWSINNAMRPPSTHHHHHHQQTASPFFPFPPPQPPPPPAAAAAAATFFPQLIPTSTSSSSSWHDNNNQEVPEPWSQLLLGGLVGEEQATALQHQASTGNNNIMKQENYVYGHANEDYHHHHHHHYQGSKATTNWSMATASSSPKSCVTTSFNNNMLDFSSNIKVDTATRQHPPLMDPSPECNSSTASGGALKKTRVQPSATQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGSDGSSGNMRQQQQSVQGERNCIFPEDPGQLLNEKCMKKRKGGPADLQDSNEEPKKNLKSRGLCLVPISCTLQVGSDNGADYWAPPSLGFLGKAIAKHTLKEKKKQQKK >KJB07197 pep chromosome:Graimondii2_0_v6:1:610987:615795:-1 gene:B456_001G007000 transcript:KJB07197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGVLQSSPVQQLMAGNPDWWSINNAMRPPSTHHHHHHQQTASPFFPFPPPQPPPPPAAAAAAATFFPQLIPTSTSSSSSWHDNNNQEVPEPWSQLLLGGLVGEEQATALQHQASTGNNNIMKQENYVYGHANEDYHHHHHHHYQGSKATTNWSMATASSSPKSCVTTSFNNNMLDFSSNIKVDTATRQHPPLMDPSPECNSSTASGGALKKTRVQPSATQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGSDGSSGNMRQQQQSVQGERNCIFPEDPGQLLNEKCMKKRKGGPADLQDSNEEPKKNLKSRGLCLVPISCTLQVGSDNGADYWAPPSLGGGFR >KJB07196 pep chromosome:Graimondii2_0_v6:1:609979:616006:-1 gene:B456_001G007000 transcript:KJB07196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIITKRGGLVGEEQATALQHQASTGNNNIMKQENYVYGHANEDYHHHHHHHYQGSKATTNWSMATASSSPKSCVTTSFNNNMLDFSSNIKVDTATRQHPPLMDPSPECNSSTASGGALKKTRVQPSATQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGSDGSSGNMRQQQQSVQGERNCIFPEDPGQLLNEKCMKKRKGGPADLQDSNEEPKKNLKSRGLCLVPISCTLQVGSDNGADYWAPPSLGGGFR >KJB07927 pep chromosome:Graimondii2_0_v6:1:5075477:5082571:1 gene:B456_001G053300 transcript:KJB07927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEDLGTDKVPHSGPLSGPLNKRVPRKSARFNVPDSSSSKDDGYVEITLDVCDDSVAVHSLKAANGDDLEQDPELALLAKGLEKRTTLGSLMARNASAKIRQVSHELKRLTSFAKKPARFDRTKSAAAHALTGLKFISKTDGGHGWAAVEKRFDEITGSNNGYLPRSQFGECIGMESKEFAGLLFDALARRQNVHGDSIDKVRLKYFWDQISDQSFDARLQTFFDMVDKDADGRITEEEVKEIISRSASANKLSNIQKQAEEYAALIMEELDPDNLGYIMINNLEMLLLQAPNQSVRGESRILSQMISQNLKPTHDHNPLRRFYRGTKYFLVDNWQRVWVMALWIAVMCGLFTYKYIEYRRRKDVFDVMRHCVCFAKGAAETLKLNMALILLPVCRNTITWLRNKTKLGVVVPFDDNLNFHKVIAVGITIGVGIHGIAHLACDFPRLLLATPDQYEPMIKYFGKQPTSYWHFVRHVEGITGIVMVVLMAIAFTLATPWFRRGKMKNLPKPLKKLTGFNAFWYSHHLFVIVYTLLIVHGIKLFLTTKFCKKTTWMYLAIPVFLYLCERLTRLLRSSIKAVAILKVAVYPGKVLALHMSKPHGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTGQLRTVFSEVCQQPTDGKSGLLRADCLQGTNNPKFPRVLIDGPYGAPAQDYKKYEIVLLIGLGIGATPMISIVKDIVNNIKAKEEQEGEEEEDNMNALENGNGAAINKTSLSNSKRRLENFKTRRAYFYWVTREQESFDWFKGIMNEVAEMDRNHVIELHNYCTSVYEEGDACSALITMLQALNHAKNGVDVVSGTIVKSHFAKPDWRRVYKQIAVKHNNARVGVFYCGIPALIEELRQLASDFSRNTSTKFDFHKENF >KJB08116 pep chromosome:Graimondii2_0_v6:1:6658945:6662717:1 gene:B456_001G066400 transcript:KJB08116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELEEPTPESIKKMAGDSTTEFPSPSPNKRLKTSSKSIIEDEPGNHHQPEQQRQQQAADDDDSDPEICGICLSDGGRRAVRGKINSCDHFFCFVCIMEWAKVESRCPMCKRRFTVIHRPPKEGVFASQRLVNIPQRDQVYHLSGNATSGLSDPYSEVKCSMCHGMTDESLLLLCDLCDSAAHTYCVGLGATVPDGDWFCHDCALSRSEHEKTDVDTETDNHMIAANYNVQLPSEEDVSIFDIVRDSNITPLEGHNASVSSCSNHSPPSIIPGCESSGADEVSRPSRNTVGKSTESGARTLRRCRNVQSRIQVLRENWRALQNRSLSFSSRMAESGGGSCGKGKVAAVCNPGSSESQPSISTSQQSTSRDSSIQPKEDLYDIDKAWKMMNIAKSMQKNCKTTNSLNRNSTKLPCLGSASKEAIRSSSHHILKIQQNETRNEERMGKQKQYGYHCHKREKEKCKSPELEKQKRVVINTQPSERVPSSHSLHFFQPSSSANVQIENSCSRVNDLGPFVKIAQSRCRESSSTANTEGGSCSTSLFGSVLRGSMDFLDSNLEAGVNKLDIPEARTRLKKSCSKSKDRKDDNAKSEIQALVKLNLKLLSQDKRLGVVIFKEIARLATHTILAAYGFQHSKSSIHSFPSPVCSHSNDINLQHKSTLMPNSCRECFYVFVKNVVSSIMVEKGLARSS >KJB08115 pep chromosome:Graimondii2_0_v6:1:6658929:6662805:1 gene:B456_001G066400 transcript:KJB08115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELEEPTPESIKKMAGDSTTEFPSPSPNKRLKTSSKSIIEDEPGNHHQPEQQRQQQAADDDDSDPEICGICLSDGGRRAVRGKINSCDHFFCFVCIMEWAKVESRCPMCKRRFTVIHRPPKEGVFASQRLVNIPQRDQVYHLSGNATSGLSDPYSEVKCSMCHGMTDESLLLLCDLCDSAAHTYCVGLGATVPDGDWFCHDCALSRSEHEKTDVDTETDNHMIAANYNVQLPSEEDVSIFDIVRDSNITPLEGHNASVSSCSNHSPPSIIPGCESSGADEVSRPSRNTVGKSTESGARTLRRCRNVQSRIQVLRENWRALQNRSLSFSSRMAESGGGSCGKGKVAAVCNPGSSESQPSISTSQQSTSRDSSIQPKEDLYDIDKAWKMMNIAKSMQKNCKTTNSLNRNSTKLPCLGSASKEAIRSSSHHILKIQQNETRNEERMGKQKQYGYHCHKREKEKCKSPELEKQKRVVINTQPSERVPSSHSLHFFQPSSSANVQIENSCSRVNDLGPFVKIAQSRCRESSSTANTEGGSCSTSLFGSVLRGSMDFLDSNLEAGVNKLDILEGRTRLEKSCSKSKDRKDDNIKSEIQALAKLNPGRSLDSNLEAGVNKLDIPEARTRLKKSCSKSKDRKDDNAKSEIQALVKLNLKLLSQDKRLGVVIFKEIARLATHTILAAYGFQHSKSSIHSFPSPVCSHSNDINLQHKSTLMPNSCRECFYVFVKNVVSSIMVEKGLARSS >KJB08117 pep chromosome:Graimondii2_0_v6:1:6659586:6662805:1 gene:B456_001G066400 transcript:KJB08117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHGMTDESLLLLCDLCDSAAHTYCVGLGATVPDGDWFCHDCALSRSEHEKTDVDTETDNHMIAANYNVQLPSEEDVSIFDIVRDSNITPLEGHNASVSSCSNHSPPSIIPGCESSGADEVSRPSRNTVGKSTESGARTLRRCRNVQSRIQVLRENWRALQNRSLSFSSRMAESGGGSCGKGKVAAVCNPGSSESQPSISTSQQSTSRDSSIQPKEDLYDIDKAWKMMNIAKSMQKNCKTTNSLNRNSTKLPCLGSASKEAIRSSSHHILKIQQNETRNEERMGKQKQYGYHCHKREKEKCKSPELEKQKRVVINTQPSERVPSSHSLHFFQPSSSANVQIENSCSRVNDLGPFVKIAQSRCRESSSTANTEGGSCSTSLFGSVLRGSMDFLDSNLEAGVNKLDILEGRTRLEKSCSKSKDRKDDNIKSEIQALAKLNPGRSLDSNLEAGVNKLDIPEARTRLKKSCSKSKDRKDDNAKSEIQALVKLNLKLLSQDKRLGVVIFKEIARLATHTILAAYGFQHSKSSIHSFPSPVCSHSNDINLQHKSTLMPNSCRECFYVFVKNVVSSIMVEKGLARSS >KJB08114 pep chromosome:Graimondii2_0_v6:1:6659985:6662717:1 gene:B456_001G066400 transcript:KJB08114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAANYNVQLPSEEDVSIFDIVRDSNITPLEGHNASVSSCSNHSPPSIIPGCESSGADEVSRPSRNTVGKSTESGARTLRRCRNVQSRIQVLRENWRALQNRSLSFSSRMAESGGGSCGKGKVAAVCNPGSSESQPSISTSQQSTSRDSSIQPKEDLYDIDKAWKMMNIAKSMQKNCKTTNSLNRNSTKLPCLGSASKEAIRSSSHHILKIQQNETRNEERMGKQKQYGYHCHKREKEKCKSPELEKQKRVVINTQPSERVPSSHSLHFFQPSSSANVQIENSCSRVNDLGPFVKIAQSRCRESSSTANTEGGSCSTSLFGSVLRGSMDFLDSNLEAGVNKLDILEGRTRLEKSCSKSKDRKDDNIKSEIQALAKLNPGRSLDSNLEAGVNKLDIPEARTRLKKSCSKSKDRKDDNAKSEIQALVKLNLKLLSQDKRLGVVIFKEIARLATHTILAAYGFQHSKSSIHSFPSPVCSHSNDINLQHKSTLMPNSCRECFYVFVKNVVSSIMVEKGLARSS >KJB08118 pep chromosome:Graimondii2_0_v6:1:6658908:6662725:1 gene:B456_001G066400 transcript:KJB08118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAANYNVQLPSEEDVSIFDIVRDSNITPLEGHNASVSSCSNHSPPSIIPGCESSGADEVSRPSRNTVGKSTESGARTLRRCRNVQSRIQVLRENWRALQNRSLSFSSRMAESGGGSCGKGKVAAVCNPGSSESQPSISTSQQSTSRDSSIQPKEDLYDIDKAWKMMNIAKSMQKNCKTTNSLNRNSTKLPCLGSASKEAIRSSSHHILKIQQNETRNEERMGKQKQYGYHCHKREKEKCKSPELEKQKRVVINTQPSERVPSSHSLHFFQPSSSANVQIENSCSRVNDLGPFVKIAQSRCRESSSTANTEGGSCSTSLFGSVLRGSMDFLDSNLEAGVNKLDILEGRTRLEKSCSKSKDRKDDNIKSEIQALAKLNPGRSLDSNLEAGVNKLDIPEARTRLKKSCSKSKDRKDDNAKSEIQALVKLNLKLLSQDKRLGVVIFKEIARLATHTILAAYGFQHSKSSIHSFPSPVCSHSNDINLQHKSTLMPNSCRECFYVFVKNVVSSIMVEKGLARSS >KJB07147 pep chromosome:Graimondii2_0_v6:1:5194559:5196308:-1 gene:B456_001G054300 transcript:KJB07147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF1 homolog [Source:Projected from Arabidopsis thaliana (AT5G50930) UniProtKB/Swiss-Prot;Acc:Q9FI55] MEVDEDNRSDFEKEEEEEDDSVSDLLRDRFRLSAISIAESEAKRSGMEISPPIVACIADLAFKYIGQLAKDLELFAHHAGRKSVTMTDVIVSAHRNEHLAGSLRAVLYW >KJB07146 pep chromosome:Graimondii2_0_v6:1:5192785:5196308:-1 gene:B456_001G054300 transcript:KJB07146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF1 homolog [Source:Projected from Arabidopsis thaliana (AT5G50930) UniProtKB/Swiss-Prot;Acc:Q9FI55] MEVDEDNRSDFEKEEEEEDDSVSDLLRDRFRLSAISIAESEAKRSGMEISPPIVACIADLAFKYIGQLAKDLELFAHHAGRKSVTMTDVIVSAHRNEHLAASLRSYSDELKAKEPQSERKRKKVPRKEDKGIDGMVNIPDL >KJB06278 pep chromosome:Graimondii2_0_v6:1:19613060:19614851:-1 gene:B456_001G144400 transcript:KJB06278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRIAHATLKGPSVVKEIVIGITLGLCAGGLWKMHHWNEQRKVRAFYDMLEKDEISVVAEE >KJB07333 pep chromosome:Graimondii2_0_v6:1:1507232:1508164:-1 gene:B456_001G016200 transcript:KJB07333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIVIDARVVMDSGEGVTHVVPIYEGYALHHAIHQLDLAEKDLTAYLTKILAQEGYIFTTLAEQEIFRDIKEQLSYVAMDIKKEPDVSRETSELDRQYKLPDGQNPSRAGMESGGLHEILVRSIRGDMDVRREMFGNVVLSGGTTSMPVLANRARVKVIASPDRKYSVWIGGSILDSLSTFEQMWITKEEYMESGSSIVHMKCF >KJB11486 pep chromosome:Graimondii2_0_v6:1:53726659:53729281:1 gene:B456_001G261700 transcript:KJB11486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETIVLYPSPGLGHVFSMVELGKLILHHYNNRYSITILLTTGFWDTPTIISYINSVSQAFPSISFFRFPSISIDLSQNCSGAAIAFHFIRLHAPNALHSLQQISKSQKISAFIIDLFCTSALSMGKDLNIPTFYFFTSGASTLAAFLQIPKLDKQTFGRSFKDLPNTVFHFEGVPSLRAVHMPDPLLDRDDPAYHDFMYFMSSLQQSDGIIVNTFEDLEPISIKAIANGLCLPDAPRTPPTFYIGPLIAPSKHEAENDYCLSWLEKQPSQSVVFLCFGSHGSTFYPPQVKEIAKGLENSGQRFLWVVKDPPNHEKTKQAEDNPDVDLDSLLPEGFMERTRDRGLVVKSFAPQVAVLNKDSIGGFVTHCGWNSVLEAVVAGVPMIAWPLYAEQHLNRNILVEDMKMAIPVEQMEGDGFVSGTELEKRVRELMESEKGEELREKSRKLKEKALAAMGPSGSSTKALTKLVELWK >KJB09301 pep chromosome:Graimondii2_0_v6:1:17198470:17204928:1 gene:B456_001G133700 transcript:KJB09301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVQMDKMSSPSSRERTQRLYEKNIELENNRRRSAQARVSSDPNAWQQMRENYEAIILEDHAFSEQHSIEYALWQLHYKRIEELRAHYSAVLASAGSNAPQGVKVSQRPDRLTKIRLQFKTFLSEATGFYHDLILKIRAKYGLPFGYFSDDSERRTVMDKNGKKSADIKKGLVSCHRCLIYLGDLARYKGLYGDGDSKSREFVAASSYYLHAASIWPSSGNPHHQLAILASYSGDELLAVYRYFRSLAVDNPFLTARDNLIVAFEKNRLNFSQLPGDVKTPLVKEHGLRLSGKGRVKVEAKLASKDSNMELSTAKEKVSRVQDAFKLFSIRFVRLNGILFTRTSLETFADVLTLVSHDLCELLSSGPEEEPNFGTDAAENSLLFVRLVSILIFTVHNLKRENEGQTYAEIVQRAALLQNAFTAVFELMGHVVERCSQLQDVSSSYTLPAILVFVEWLACCPDVAAASSAVDEKQSITRSHFWKHCISFLNKILSIRPMCIDDDEDETCFFNMSRYEGETENRLALWEDFELRGFLPLVPAHTILDFSRKHSFVSDASKEKKARIRRILAAGKALANVIRVDQKTVCFDSKAKKFFIGVEPSKDVTFSPSTPLATNGIGHETPSEKTVNVGNVLPITQPITVGEEDDDDGDEVIVFQPVVSEKRTEVISPKWPHPKSLKLNQSSSAGDLKFYGSTVSSPLDSLNQHNIFGASAMPVSAGNILPQYLQPVQMDASRWSVEETMSRVNGLNGLTMLEDGHLKKLDMQENVGLCAATRSIAIQQPISSGGMYYCQTKVPETVMPSRIDAVVSSRVTGDALSVKTTSASQIGMRKNPISRPVRHLGPPPGFSPVPLKPPSESVSASDLENTLMDDYRWLDGYQLSSSHADTLYVNNSSNDVTGTVSFPFPGKQVPMVQFQMEKQKGWQDYDTLEHLKIQHEQKLQQQQIMNGNQQFTSLPEQHKGPSVWTSRYFV >KJB09302 pep chromosome:Graimondii2_0_v6:1:17198412:17204955:1 gene:B456_001G133700 transcript:KJB09302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVQMDKMSSPSSRERTQRLYEKNIELENNRRRSAQARVSSDPNAWQQMRENYEAIILEDHAFSEQHSIEYALWQLHYKRIEELRAHYSAVLASAGSNAPQGVKVSQRPDRLTKIRLQFKTFLSEATGFYHDLILKIRAKYGLPFGYFSDDSERRTVMDKNGKKSADIKKGLVSCHRCLIYLGDLARYKGLYGDGDSKSREFVAASSYYLHAASIWPSSGNPHHQLAILASYSGDELLAVYRYFRSLAVDNPFLTARDNLIVAFEKNRLNFSQLPGDVKTPLVKEHGLRLSGKGRVKVEAKLASKDSNMELSTAKEKVSRVQDAFKLFSIRFVRLNGILFTRTSLETFADVLTLVSHDLCELLSSGPEEEPNFGTDAAENSLLFVRLVSILIFTVHNLKRENEGQTYAEIVQRAALLQNAFTAVFELMGHVVERCSQLQDVSSSYTLPAILVFVEWLACCPDVAAASSAVDEKQSITRSHFWKHCISFLNKILSIRPMCIDDDEDETCFFNMSRYEGETENRLALWEDFELRGFLPLVPAHTILDFSRKHSFVSDASKEKKARIRRILAAGKALANVIRVDQKTVCFDSKAKKFFIGVEPSKDVTFSPSTPLATNGIGHETPSEKTVNVGNVLPITQPITVGEEDDDDGDEVIVFQPVVSEKRTEVISPKWPHPKSLKLNQSSSAGDLKFYGSTVSSPLDSLNQHNIFGASAMPVSAGNILPQYLQPVQMDASRWSVEETMSRVNGLNGLTMLEDGHLKKLDMQENVGLCAATRSIAIQQPISSGGMYYCQTKVPETVMPSRIDAVVSSRVTGDALSVKTTSASQIGMRKNPISRPVRHLGPPPGFSPVPLKPPSESVSASDLENTLMDDYRWLDGYQLSSSHADTLYVNNSSNDVTGTVSFPFPGKQVPMVQFQMEKQKGWQDYDTLEHLKIQHEQKLQQQQIMNGNQQFTSLPEQHKGPSVWTSRYFV >KJB09303 pep chromosome:Graimondii2_0_v6:1:17198470:17203863:1 gene:B456_001G133700 transcript:KJB09303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVQMDKMSSPSSRERTQRLYEKNIELENNRRRSAQARVSSDPNAWQQMRENYEAIILEDHAFSEQHSIEYALWQLHYKRIEELRAHYSAVLASAGSNAPQGVKVSQRPDRLTKIRLQFKTFLSEATGFYHDLILKIRAKYGLPFGYFSDDSERRTVMDKNGKKSADIKKGLVSCHRCLIYLGDLARYKGLYGDGDSKSREFVAASSYYLHAASIWPSSGNPHHQLAILASYSGDELLAVYRYFRSLAVDNPFLTARDNLIVAFEKNRLNFSQLPGDVKTPLVKEHGLRLSGKGRVKVEAKLASKDSNMELSTAKEKVSRVQDAFKLFSIRFVRLNGILFTRTSLETFADVLTLVSHDLCELLSSGPEEEPNFGTDAAENSLLFVRLVSILIFTVHNLKRENEGQTYAEIVQRAALLQNAFTAVFELMGHVVERCSQLQDVSSSYTLPAILVFVEWLACCPDVAAASSAVDEKQSITRSHFWKHCISFLNKILSIRPMCIDDDEDETCFFNMSRYEGETENRLALWEDFELRGFLPLVPAHTILDFSRKHSFVSDASKEKKARIRRILAAGKALANVIRVDQKTVCFDSKAKKFFIGVEPSKDVTFSPSTPLATNGIGHETPSEKTVNVGNVLPITQPITVGEEDDDDGDEVIVFQPVVSEKRTEVISPKWPHPKSLKLNQSSSAGDLKFYGSTVSSPLDSLNQHNIFGASAMPVSAGNILPQYLQPVQMDASRWSVEETMSRVNGLNGLTMLEDGHLKKLDMQENVGLCAATRSIAIQQPISSGGMYYCQTKVPETVMPSRIDAVVSSRVTGDALSVKTTSASQIGMRKNPISRPVRHLGPPPGFSPVPLKPPSESVSASDLENTLMDDYRWLDGYQLSSSHADTLYVNNSSNDVTGTVSFPFPGKQVPMVQFQMEKQKGWQDYDTLEHLKIQHEQKLQQQQIMNGNQQFTSLPEQHKGPSVWTSRYFV >KJB09527 pep chromosome:Graimondii2_0_v6:1:20437809:20440026:1 gene:B456_001G148700 transcript:KJB09527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMDTVGRAAGAKYECLLFDMDDTLYPFSTGFNLACRKNIEEFMLKYLNIEESEVPRMCLELYREYGTTMAGLKVLGYEFDNDEFHAYAHGRLPYETLRPDPVLRNLLLAMPQRKIIFTNADKAHALQVLKRLALEDCFEGIICFEALNHPPLQPPALKSPILCKPSLEAFEAAIRVANLDPKKTIFFDDSARNIASGKAAGLHTVIVGNSALVPGADHALRSIHNIKEAIPEIWDGDEEQMEQVIQAAAVETVVLA >KJB09530 pep chromosome:Graimondii2_0_v6:1:20437921:20439954:1 gene:B456_001G148700 transcript:KJB09530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTLYPFSTGFNLACRKNIEEFMLKYLNIEESEVPRMCLELYREYGTTMAGLKVLGYEFDNDEFHAYAHGRLPYETLRPDPVLRNLLLAMPQRKIIFTNADKAHALQVLKRLALEDCFEGIICFEALNHPPLQPPALKSPILCKPSLEAFEAAIRVANLDPKKTIFFDDSARNIASGKAAGLHTVIVGNSALVPGADHALRSIHNIKEAIPEIWDGDEEQMEQVIQAAAVETVVLA >KJB09529 pep chromosome:Graimondii2_0_v6:1:20437905:20439954:1 gene:B456_001G148700 transcript:KJB09529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMDTVGRAAGAKYECLLFDMDDTLYPFSTGFNLACRKNIEEFMLKYLNIEESEVPRMCLELYREYGTTMAGLKVLGYEFDNDEFHAYAHGRLPYETLRPDPVLRNLLLAMPQRKIIFTNADKAHALQVLKRLALEDCFEGIICFEALNHPPLQPPALKSPILCKPSLEAFEAAIRIFFDDSARNIASGKAAGLHTVIVGNSALVPGADHALRSIHNIKEAIPEIWDGDEEQMEQVIQAAAVETVVLA >KJB09533 pep chromosome:Graimondii2_0_v6:1:20438006:20440015:1 gene:B456_001G148700 transcript:KJB09533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGTVMKMDTVGRAAGAKYECLLFDMDDTLYPFSTGFNLACRKNIEEFMLKYLNIEESEVPRMCLELYREYGTTMAGLKVLGYEFDNDEFHAYAHGRLPYETLRPDPVLRNLLLAMPQRKIIFTNADKAHALQVLKRLALEDCFEGIICFEALNHPPLQPPALKSPILCKPSLEAFEAAIRVANLDPKKTIFFDDSARNIASGKAAGLHTVIVGNSALVPGADHALRSIHNIKEAIPEIWDGDEEQMEQVIQAAAVETVVLA >KJB09532 pep chromosome:Graimondii2_0_v6:1:20438006:20439954:1 gene:B456_001G148700 transcript:KJB09532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGTSFLFLTGTVMKMDTVGRAAGAKYECLLFDMDDTLYPFSTGFNLACRKNIEEFMLKYLNIEESEVPRMCLELYREYGTTMAGLKVLGYEFDNDEFHAYAHGRLPYETLRPDPVLRNLLLAMPQRKIIFTNADKAHALQVLKRLALEDCFEGIICFEALNHPPLQPPALKSPILCKPSLEAFEAAIRVANLDPKKTIFFDDSARNIASGKAAGLHTVIVGNSALVPGADHALRSIHNIKEAIPEIWDGDEEQMEQVIQAAAVETVVLA >KJB09531 pep chromosome:Graimondii2_0_v6:1:20438863:20439688:1 gene:B456_001G148700 transcript:KJB09531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKFQVLGYEFDNDEFHAYAHGRLPYETLRPDPVLRNLLLAMPQRKIIFTNADKAHALQVLKRLALEDCFEGIICFEALNHPPLQPPALKSPILCKPSLEAFEAAIRVANLDPKKTIFFDDSARNIASGKAAGLHTVIVGNSALVPGADHALRSIHNIKEAIPEIWDGDEEQMEQVIQAAAVETVVLA >KJB09528 pep chromosome:Graimondii2_0_v6:1:20438288:20439366:1 gene:B456_001G148700 transcript:KJB09528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMDTVGRAAGAKYECLLFDMDDTLYPFSTGFNLACRKNIEEFMLKYLNIEESEVPRMCLELYREYGTTMAGLKVLGYEFDNDEFHAYAHGRLPYETLRPDPVLRNLLLAMPQRKIIFTNADKAHALQVLKRLALEDCFEGIICFEALNHPPLQPPALKSPILCKPSLEAFEAAIRVANLDPKKTVQTPSLIRTQTIVFFFV >KJB11458 pep chromosome:Graimondii2_0_v6:1:53548004:53548465:1 gene:B456_001G260100 transcript:KJB11458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLMVSMMMSMLVNEVRAIENLDVERSEEEGIGSCAKECALKCLPQINPPRIIICTALCIIACKLNPPPAIFDCTTGCANSIIKTYNPTDARKIDNIVDSCYETCKNNN >KJB07167 pep chromosome:Graimondii2_0_v6:1:264426:271839:1 gene:B456_001G003100 transcript:KJB07167 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 38 [Source:Projected from Arabidopsis thaliana (AT3G30842) UniProtKB/Swiss-Prot;Acc:Q7PC85] MSMEIGHYRTCSTMSGSRVQEEDDEEALKWAALERLPTYDRARKGVLHGVLGDLKEIDLRKLGFQESKELLNRFIKNADKHEEFLKNIKQRIDRLTLLLGPPGSGKTTLLQALTGKLDPLVKFSGKVTYNGHEMHEFVPQRTSAYISQYDIHLPQLTVRETLAFSAKCQGVGTGYDMLTELLRREKELNIRPDPYIDALMKASVLKGHKEEIVTDYALKILGLEVCADTIVGDAMMRGVSGGQKKRVTTGEMLVGPVGALFMDNISTGLDSSTTYQIVNCIKQSIHIFNKTAIISLLQPPPETFELFDDIILLSEGRIVYQGPRDHVLKFFESMGFKCPERKGVADYLQEVTSRKDQGQYWFRHETPYRFVSVNEFAEAFESFHIGLDIKNQLAVPFDRSKNHPAALTKTRYGANKMELMKACLSREVILMKRNVFLHGFKIFQLELGAVIVATVFVEARKHHSTIADGTVYLGALFFVLNTINFTGFFELPLTIDKLPVFYKQRDRLFYPSWAFSLPTAVLGIFISMFEVAVWIVITYYVIGFDPNITRMLKQYLVLVMSGQMSYALFRCLAALTRDHVVANTAGCLSVMWLLIFGGFILSRENMPNWLIWGYWTSPLMYVQTAISVNEFLGHAWNKALNGTKETVGVAVLKARGVFTNPNWYWISIVALIGFIFLFNAISALAFAYLDEYGKSQAVFLSEESTKEDTTMASEGDGTLLNKSNSKTKRASRNAVAVHNYQERGMLLPFTPLSVTFENITYSVDMPKGMRSQGVLDDRLVLLNQVSGAFRPGVLTALMGVSGAGKTTLLDVLAGRKNSGYTEGNITVSGYQKKQDTFARVTGYCEQNDIHSPLVTVYESLLYSAWLRLPRDIDPESRELFVEEVMELIELKPLRDALVGFPNVNGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELFLLTRGGEEIYFGPLGRMSSHLIKYFEDINGVSKIKEGYNPATWVLEVTTRPQEEILGVKFADQYKRSDLYRRNKALISELSTPPPGSHDLHFPTKYAQPYLTQFIACLWKQHRSYWRNTSYNAVRLYFSTAMSIIFGVLFWNLGSKRGTKQDLFNAMGAMYTAITFMGTQSAASVRPVIIAERTVFYRERAAGFYSALPYAFAQVAIEIPYTIAQVAIYGVIVYAMMGFQWTASKFFLNTFIMFITVLLYIYYGIMVVSASPNQPTAAVLSGIFFTMWSLFSGFIIPRPRIVVWWRWYAWVCPVSWSMWGMTTSQYGDLQNKLETGETVAQFVEDYFGFRHDWLWIVCLVLIGFTLLFASVFAFSMKFFNFQKR >KJB07748 pep chromosome:Graimondii2_0_v6:1:4121956:4124689:-1 gene:B456_001G043600 transcript:KJB07748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTIRKAIGAVKDQTSISIAKVAGNIAPELEVLVVKATSHDEDPADEKYFREIISLTSYARGYISACIATVSKRLRKTHDWIVALKSLMLVHRLLVDGNPCFEEEIVYATRRGMRVLNMSDFRDEAHSNSWDHAGFVRFYAMYLDEKVEFSVFEKKKRDGEDKFAERDERNRREYGEFRDDYDRETDRRSRSYGDLNDSLRKDGRKEGTPMREMRPERVVGRLHQLLRILDRVLGCRPAGMAKDSRLVLVAFYQILKESFGLYVEICEALGILLDRFTEMEYVDCVKGFDAYVSAAKMIDELVGFYGWCKDVGIARSSEYPEVQRITDKLLGTLDGFLKEMANGQKSLESLREEKPPVKEEPETNMNEIKALPAPENYNPPPPPPEPEQPKTVPPQVTEDLVNLRDDAVSADEQGNKLALALFSGPPTSNANGSWEAFPSDGEPEVTSAWQTPAAEPGKADWELVLVESASNLSKQKAGLAGGFDPLLLNGMYDQGAVRQHVSSRQLSGGSASSVAMPGPGKAATQVLALPAPDGTVQPVGQQDPFAASLTVPPPSYVQIADMEQKQQLLVQEQQLWNQYGRDGMQGQSSLAKITASSVYYGAAAQPVMMPYGMPQVNGMGQAGGYYYPPY >KJB07749 pep chromosome:Graimondii2_0_v6:1:4121934:4124689:-1 gene:B456_001G043600 transcript:KJB07749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTIRKAIGAVKDQTSISIAKVAGNIAPELEVLVVKATSHDEDPADEKYFREIISLTSYARGYISACIATVSKRLRKTHDWIVALKSLMLVHRLLVDGNPCFEEEIVYATRRGMRVLNMSDFRDEAHSNSWDHAGFVRFYAMYLDEKVEFSVFEKKKRDGEDKFAERDERNRREYGEFRDDYDRETDRRSRSYGDLNDSLRKDGRKEGTPMREMRPERVVGRLHQLLRILDRVLGCRPAGMAKDSRLVLVAFYQILKESFGLYVEICEALGILLDRFTEMEYVDCVKGFDAYVSAAKMIDELVGFYGWCKDVGIARSSEYPEVQRITDKLLGTLDGFLKEMANGQKSLESLREEKPPVKEEPETNMNEIKALPAPENYNPPPPPPEPEQPKTVPPQVTEDLVNLRDDAVSADEQGNKLALALFSGPPTSNANGSWEAFPSDGEPEVTSAWQTPAAEPGKADWELVLVESASNLSKQKAGLAGGFDPLLLNGMYDQGAVRQHVSSRQLSGGSASSVAMPGPGKAATQVLALPAPDGTVQPVGQQDPFAASLTVPPPSYVQIADMEQKQQLLVQEQQLWNQYGRDGMQGQSSLAKITASSVYYGAAAQPVMMPYGMPQVNGMGQAGGYYYPPY >KJB10254 pep chromosome:Graimondii2_0_v6:1:32239586:32241634:1 gene:B456_001G191900 transcript:KJB10254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLTLSNMLHQHFPDALHFSLLKSSKTHQETQQLHAFSLKTAVFSHPSLSSRLLSLYADPNINNLRYARSVFDRIQHPSLVLWNIILKCYIQNQQSHEAISLFVLLLGHFPPDEFTFPCVIKACAKLTALKEGKQIHGLVLKLGFGLDKFVQASLVNLYSKCGEIGSGYTVFDQMDDKDLVSWNSLLDGYARCGEVKAAMKVFDEMPEKDCFSWTVLIDGLAKCGEVETARKIFENMPNRNLVSWNTMINGYMKAGDTNSACKLFDQMPTRNLITWNSMIGGFKLNLRFLEALEMFERMLEEEFRPTHATLVSVISAVSGLASLGTGRLIHSYIVKNGIELDGVVGTVLIEMYSKCGGIDSAVTVFRTISHKKLGHWTAIIVGLSIHGMADHALKLFLEMRRIGVKPNAITFVGVLNACSHVGLINDGHKYFNMMINEYGIKPAIEHYGCLVDILCRAGCLEEAKDIIEEMPMRPNKVIWMTLLSGARNHRNTKIGEYAAQHLIELDPETTGGYVVLSNMYAVAGEWEKVSEVREMMKKRGLRKDPGCSSIEHKGVVHNFIVGDKSHPQTKEIYSKLAEMREKLKLAGHVPDTSQVLLYIEEEEEKEAELENHSERLAIAFGLNNTEAGCPIRIMKNLRVCSDCHSITKLLSKIYSREIIVRDNSRFHHFKDGLCSCKDFW >KJB06671 pep chromosome:Graimondii2_0_v6:1:2366482:2366739:1 gene:B456_001G025400 transcript:KJB06671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QATVVDPPPQKINLISCEPMAHVSDIKLIRTDTTLDLSQKAEKGMLCFVEARFFYRLLLPSSVCIPMMWDIQQLVCKQAASHQFC >KJB09796 pep chromosome:Graimondii2_0_v6:1:23710789:23711526:1 gene:B456_001G167000 transcript:KJB09796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQGSRAKGQSVMEESSKETRASRVTFEKPPEEMTKHLRPLYIRAHIDGKPVSRVLVDNVSAVNILPLRMVRRLSKSEQDLIPSEVSVTSFDGGVSQTKGLISVDLTMGKTTKVSAFLVLLAYKCRAKEVAIRSGVGLKYLASEMAAAEKLIYLKKKDSAKYKANKNAQGKGGREKEK >KJB11684 pep chromosome:Graimondii2_0_v6:1:54827742:54830754:-1 gene:B456_001G271400 transcript:KJB11684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSSLACNIPKLRFGRNNKSLYMGVKDPKCSSVSYTTGNRKANPNTVHDILCGRMVQEEGLVFQQELVVRSFDIGTDSKMSIVAWANYYRKHYLTILRR >KJB10677 pep chromosome:Graimondii2_0_v6:1:43256874:43257518:1 gene:B456_001G215800 transcript:KJB10677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFQLWPLCMILILFFIPRIQASRRNVVAFHTSSAKGSRQADPPFFHPPRFTGQASQFEEKRRVPTGSNPLHNKR >KJB09873 pep chromosome:Graimondii2_0_v6:1:24643805:24644679:-1 gene:B456_001G171800 transcript:KJB09873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTCWLDKNPSFLFMQVKFSGYSPTKICFAIVSKIHCTLFTFEPYNQRIKFKIYKREPFEQLISLNYIQDKSNYDTVIKLKSDKAREAIPVKQTEFYTGSITFCLLQPIFRVL >KJB08288 pep chromosome:Graimondii2_0_v6:1:7695877:7701631:1 gene:B456_001G075200 transcript:KJB08288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRTPGTPARKIDRTPVTTPGGPKSKEEKIVVTVRLRPLSKREQLAKDQVAWDCKDDYTIVSKHPSQERTSQPPPFIFDKVFGPSCLNETVYEGVKTVALSALGGINATIFAYGQTSSGKTYTMRGITEKAVNDIYHHIMNTPERDFTIKISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTVVEKLVEETVSNDQHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIQSTLRENSDCVRSFVASLNFVDLAGSERASQTHADGARLKEGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHFEQSRNTLFFATRAKEVTNNAQVNMVVSDKQLVKHLQKEVARLEAELRTPDLSREKDLKIQQMEMEIEELKRQRDLAQSEVDELRRKLQDDQQTSNPIELPRPSMQKCLSYSDVLSPKLDRKEIDHNDKTRKTLLRQSMRQSSTAPFTLMHEIRKLEHLQEQLGEEANRALEVLQKEVAYHRLGNQDAAETIAKLQAEIREMCAVRSIKKEVDVGTTIAPNRSVSANLKEEITKLHSQGSTIANLEEQLENVQKSIDKLVMSLPSSNQESNGEAASKTKNQSIKNSLLPLTSSNVANRQNFIKSPCSSLSTSQQIMEPDNEENRPPEDDDIVSKETLPESEKGTPARSEQVGDVSSKESTPYRRQSSVNMKKMRKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYNLEVDESAIEPEEPQVPWHVTFKEQRQQIMELWDVCYVSIIHRTQFYLLFRGDPADQIYMEVELRRLNWMQHHFAELGNASPAIVGDEPTVSLSSSIRALKHEREFLAKRLTSRLSVEERDALYIKWDVPLEGKQRKMQFINKLWTDPHDVKNIEESAQIVAKLVGFSEGGNMSREMFELNFALPADKSPWTVGWNQISNLLNL >KJB08290 pep chromosome:Graimondii2_0_v6:1:7695940:7701594:1 gene:B456_001G075200 transcript:KJB08290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRTPGTPARKIDRTPVTTPGGPKSKEEKIVVTVRLRPLSKREQLAKDQVAWDCKDDYTIVSKHPSQERTSQPPPFIFDKVFGPSCLNETVYEGVKTVALSALGGINATIFAYGQTSSGKTYTMRGITEKAVNDIYHHIMNTPERDFTIKISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTVVEKLVEETVSNDQHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIQSTLRENSDCVRSFVASLNFVDLAGSERASQTHADGARLKEGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHFEQSRNTLFFATRAKEVTNNAQVNMVVSDKQLVKHLQKEVARLEAELRTPDLSREKDLKIQQMEMEIEELKRQRDLAQSEVDELRRKLQDDQQTSNPIELPRPSMQKCLSYSDVLSPKLDRKEIDHNDKTRKTLLRQSMRQSSTAPFTLMHEIRKLEHLQEQLGEEANRALEVLQKEVAYHRLGNQDAAETIAKLQAEIREMCAVRSIKKEVDVGTTIAPNRSVSANLKEEITKLHSQGSTIANLEEQLENVQKSIDKLVMSLPSSNQESNGEAASKTKNQSIKNSLLPLTSSNVANRQNFIKSPCSSLSTSQQIMEPDNEENRPPEDDDIVSKETLPESEKGTPARSEQVGDVSSKESTPYRRQSSVNMKKMRKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYNLEVDESAIEPEEPQVPWHVTFKEQRQQIMELWDVCYVSIIHRTQFYLLFRGDPADQIYMEVELRRLNWMQHHFAELGNASPAIVGDEPTVSLSSRFSLSTTHLLLLSVDCVSLSLLGICLFSCSIRALKHEREFLAKRLTSRLSVEERDALYIKWDVPLEGKQRKMQFINKLWTDPHDVKNIEESAQIVAKLVGFSEGGNMSREMFELNFALPADKSPWTVGWNQISNLLNL >KJB08289 pep chromosome:Graimondii2_0_v6:1:7695940:7701594:1 gene:B456_001G075200 transcript:KJB08289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSCVLFSTISFGKPVHKPFIPFIRQFNYVISGTATIFAYGQTSSGKTYTMRGITEKAVNDIYHHIMNTPERDFTIKISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTVVEKLVEETVSNDQHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIQSTLRENSDCVRSFVASLNFVDLAGSERASQTHADGARLKEGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHFEQSRNTLFFATRAKEVTNNAQVNMVVSDKQLVKHLQKEVARLEAELRTPDLSREKDLKIQQMEMEIEELKRQRDLAQSEVDELRRKLQDDQQTSNPIELPRPSMQKCLSYSDVLSPKLDRKEIDHNDKTRKTLLRQSMRQSSTAPFTLMHEIRKLEHLQEQLGEEANRALEVLQKEVAYHRLGNQDAAETIAKLQAEIREMCAVRSIKKEVDVGTTIAPNRSVSANLKEEITKLHSQGSTIANLEEQLENVQKSIDKLVMSLPSSNQESNGEAASKTKNQSIKNSLLPLTSSNVANRQNFIKSPCSSLSTSQQIMEPDNEENRPPEDDDIVSKETLPESEKGTPARSEQVGDVSSKESTPYRRQSSVNMKKMRKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYNLEVDESAIEPEEPQVPWHVTFKEQRQQIMELWDVCYVSIIHRTQFYLLFRGDPADQIYMEVELRRLNWMQHHFAELGNASPAIVGDEPTVSLSSSIRALKHEREFLAKRLTSRLSVEERDALYIKWDVPLEGKQRKMQFINKLWTDPHDVKNIEESAQIVAKLVGFSEGGNMSREMFELNFALPADKSPWTVGWNQISNLLNL >KJB08436 pep chromosome:Graimondii2_0_v6:1:8637194:8638445:-1 gene:B456_001G081500 transcript:KJB08436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIDKHVQPFSRPFTKRDLVSHALTFLIGLSLGILISLQLKSSFPRPLVVFQAASNLVSSTSPPVPPPSPRNGTFNSSTSISLKEEYQSVMHNMSDQDLLLGASSRVPRLQESSGHPKIAFMFLTGGPLPLAPLWEKFFEGHQGFYTIYVHSHPHYNQTVPQTSVFCGRRIPSQPVYWGTATMIDAERRLLASALLDPSNQRFVLLSDSCIPLFNFTTIYDYLITSNLSFLSLYDDPRKVGRGRYNPQMSPMINITNWRKGSQWFEMHREMALHVVSDQTYYSVFKQYCQPPCYNDEHYIPTLVNMFYVELNSNRSITWVDWSRGGPHPRKHGPADINHELLNQMRYGSECIYNGNTTSMCFLFARKFSPSTLKPLMSLLHFHS >KJB08687 pep chromosome:Graimondii2_0_v6:1:10984986:10989186:1 gene:B456_001G097700 transcript:KJB08687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASPHSSELDDIDLDASDFTASVPLKKVPNGDIFEASRAGDVDRLRYLLESGVNVNARDNWDSVALYYACLAGHLDAARMLLENGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLGPLQGALRDTFLSCEANRGFLDQANESGLHFQVSGFASNGASSSYYFPPDVVFFVQGRPIEAHRVILSSRSPFFRKKFESDWKGRNEVRFSREKLSYPALYSLIHFFYSDRLEVAVDDMEDLVRICKVCKCESLQKFLEEELIHQKYAEYKALRDVDNSQKRYILQGVSLPEEDRLPAALHRILQISLAKSSKEHSLDIGIDRLLPRVGAMQYTDGLTDIDPDQADEMFDAASRYLLFPLKRAVADVLLPHLEMVSPAELCHWLVLSDMYGVLKIREYCLDTIACNFETFADTSEFRAMLLTLPPPSGDSSLRTTVPSAPGAVINTDQANLLDDLREKWLEAEGAELDKRDESALLFDKRLEMLMVVAEQEKSLPSAADGHDHVI >KJB08686 pep chromosome:Graimondii2_0_v6:1:10984933:10989265:1 gene:B456_001G097700 transcript:KJB08686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASPHSSELDDIDLDASDFTASVPLKKVPNGDIFEASRAGDVDRLRYLLESGVNVNARDNWDSVALYYACLAGHLDAARMLLENGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLGPLQGALRDTFLSCEANRGFLDQANESGLHFQVSGFASNGASSSYYFPPDVVFFVQGRPIEAHRVILSSRSPFFRKKFESDWKGRNEVRFSREKLSYPALYSLIHFFYSDRLEVAVDDMEDLVRICKVCKCESLQKFLEEELIHQKYAEYKALRDVDNSQKRYILQGVSLPEEDRLPAALHRILQISLAKSSKEHSLDIGIDRLLPRVGAMQLSDSLDDLADICVRVDKRIFRCHQVVLASRSEYFKTRLSRMKEFHEQTDAFSSDTLPYLEEHDLSAEAFEKMIEYMYTDGLTDIDPDQADEMFDAASRYLLFPLKRAVADVLLPHLEMVSPAELCHWLVLSDMYGVLKIREYCLDTIACNFETFADTSEFRAMLLTLPPPSGDSSLRTTVPSAPGAVINTDQANLLDDLREKWLEAEGAELDKRDESALLFDKRLEMLMVVAEQEKSLPSAADGHDHVI >KJB08532 pep chromosome:Graimondii2_0_v6:1:9301813:9304950:1 gene:B456_001G087100 transcript:KJB08532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLGSVEEMKKVFNKFDKNGDGKISRDELKSVLTALGSAPSSGEVDRIMSEMDKDGNGYVDLDEFVAFQQTNINDDDGEAQCGNKELKDAFDMYDLDKNGLISANELHAVLKRLGEKCSLSDCRRMISQVDKDGDGNVNFDEFKKMMTNASN >KJB08533 pep chromosome:Graimondii2_0_v6:1:9301813:9305285:1 gene:B456_001G087100 transcript:KJB08533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLGSVEEMKKVFNKFDKNGDGKISRDELKSVLTALGSAPSSGEVDRIMSEMDKDGNGYVDLDEFVAFQQTNINDDDGEAQCGNKELKDAFDMYDLDKNGLISANELHAVLKRLGEKCSLSDCRRMISQVDKDGDGNVNFDEFKKMMTNASN >KJB06964 pep chromosome:Graimondii2_0_v6:1:4878502:4879775:1 gene:B456_001G051400 transcript:KJB06964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHHLQNTQPMTHQMVKAATAATAGGSLLILSGLILAGTVIALTIATPLFVIFSPVLVPALIATALLATGFVASGGFGVAAVTVLSWIYRYVTGRHPPAADQLDSAGMKLASKAREMKDRAAQLTGAAAS >KJB07349 pep chromosome:Graimondii2_0_v6:1:1633649:1638690:-1 gene:B456_001G017700 transcript:KJB07349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERFDETLTPHRNEILPFLLRIEGKGKGILQHHQIALLIEDYRKKLADGAFYEILRAIQEAIVLPPWVALAIRPRPGVWQYSKVNVHTFVVEDLTVSKYLHFKEQLVDGSANGNFVLELDFEPFNASFPRPTLSNSIGNGAEFLNRHFSATLFHDDNENMHPLLEFLKLHCLPRHRMPNLNMMMLNDKIQNLNALRHALRKAEEYLDTLPSETLYAEFEHEFREIGLEPGWGDTAEHVLEMIRILSDLLEAPNAYNLEKFLGRVPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMIQRIKQQGLDITPRILIITRLLPDAVGTTCSERVEKVHGTEYSDILRVPFRTENGIVRQWISRFEVWPYLETYTEDVANEITKEMRCKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPNSDLYWKELEDKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDSVGQYESHAAFTLPGLYRVVHGINVFDPKFNIVSPGADMSTFFPYTDEKRRLKHFHPEIEDLLYGKVENEEYICVLNDRNKPVLFTMARLDRVKNLTGLVEWYGKNPKLRELVNLVVVAGDRRKESKDLEEKAEMKKMFELIEKYKLNGQFRWISSQMNRIRNGELYRYVCDTKGAFVQPALYEAFGLTVIEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYQGDKAAKIIVSFFEKCKKDPSHWNEISDGGLKRIQEKYTWKIYSERLLTLTGVYRFWKHLSKLDRHKSRRYLEMFYALEYRKLVESVPLTGEE >KJB10953 pep chromosome:Graimondii2_0_v6:1:47097528:47099170:1 gene:B456_001G233700 transcript:KJB10953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDPSPAAKKPQSLPWTHPETLNLIQAYQEKWYSLQRGQLKANQWEEVAVTVATRCGLFDDSAAKTALQCRHKMEKLRRRYRSERQNLGSASPWPYYDAMEALEQGPLPISARPLTSIVPNGHEAGNYYHNDDENNPIEEEEEDDEEHEGGNRFSKSRSINYILRRPSVVNRFSGLMKKRVRTEEEGDGDAAITGKGEEDKGVELAMEIRRFADRFMRMERKKMEIMHETERLRKEMENKRIEMILNSEKKIVDAISTSLGSQTKE >KJB07669 pep chromosome:Graimondii2_0_v6:1:3388049:3389325:1 gene:B456_001G036600 transcript:KJB07669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQRQHHSSSFPSCFRPSPTVDNTHKALPSPPPLPPPPPQTSGKTNLATSFYHTNLGLFSLTWSCTFLGHSLLLHLYPCSHFSPSSPTSLSFSTLLFHLHIKPFIFWKKYGNKKLSCDTTSNVHVFWDLSRAKFGSGPEPESGFYVALVVDGEMVLLVGDSIKEAYARTRVQKPGKPQALVSRREHLYGNKLYTTKARFGSKRKEISIECKAMKEGAELCFSVDNQRVLQIKRLKWKFRGNERIEVDGVSVQVSWDVYNWLFDQELNNGHAVFMFKFENDEAVSPLKEKEGIFLCQQSSPSIGEKMRKSMWMTARSSSSSSISTSSASSGGSSSVLEWASVEESELSAPTGFSLLVYAWKK >KJB07418 pep chromosome:Graimondii2_0_v6:1:2093006:2094750:-1 gene:B456_001G022300 transcript:KJB07418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNICLPLVCTLVCLCSPCLFQIHLVMLFSYLPAILLQARRALRALKGIVRIQAFVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSIEGQAVQKILDEHRSKAELLKQAEEGWCDSKGTLDDVKIKLQLRQEGAFKRERALAYSLAQKQWRLNMDSNTRTNSSVSVPYLKNQVFDKNSWGWSWLERWMAARPWETRLMEQSQADPSEPTPPSKTCSESRKTTRPTEPCSVKVRKNNVTTRISAKPPHIGQGTRSSSSPSSEFRFEESSASSSICTSTTPVSWNTMPTSERTEKTGNSRPNYMNLTESTKAKQRAANHALRRIQMQSMDEFQLKKTAGLYDGDSKSSVGSDPTVHMSRPLYPPTRLG >KJB07417 pep chromosome:Graimondii2_0_v6:1:2092949:2095570:-1 gene:B456_001G022300 transcript:KJB07417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASAKWVKSLIGLKKTVKDDQEKMSGKSKKWKLWRSSSGDGIGSSWKGFKGKFKADYEGSDSSPRSEAFSAAMAAVVRAPPKDFRVVRQEWAAIRIQTAFRGFLARRALRALKGIVRIQAFVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSIEGQAVQKILDEHRSKAELLKQAEEGWCDSKGTLDDVKIKLQLRQEGAFKRERALAYSLAQKQWRLNMDSNTRTNSSVSVPYLKNQVFDKNSWGWSWLERWMAARPWETRLMEQSQADPSEPTPPSKTCSESRKTTRPTEPCSVKVRKNNVTTRISAKPPHIGQGTRSSSSPSSEFRFEESSASSSICTSTTPVSWNTMPTSERTEKTGNSRPNYMNLTESTKAKQRAANHALRRIQMQSMDEFQLKKTAGLYDGDSKSSVGSDPTVHMSRPLYPPTRLG >KJB09175 pep chromosome:Graimondii2_0_v6:1:16147018:16148542:1 gene:B456_001G127500 transcript:KJB09175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKACCVMDPEAARTARESLDLAFHMSNILDTGLDRHTLSVLVALCDLGLNPEALAAVVKELQREAPPSTPFSSSSSQVP >KJB10407 pep chromosome:Graimondii2_0_v6:1:35842342:35843196:1 gene:B456_001G199500 transcript:KJB10407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRICGADTTFHPPFLMHVKKLLTDPICLHLIEYLYTTIKHATLTDYYYYIVTVSIWEAALLHFHLSYSIITLLKQTPPLISTFFTRPQLNDTSIAENFYRA >KJB11148 pep chromosome:Graimondii2_0_v6:1:48395626:48400055:-1 gene:B456_001G243100 transcript:KJB11148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHPQPHISTPASASFAAAAAFDFKPAKRRGSYNCGRCGLPKKGHVCHLNSSTNPTSISTPTSSPSAVTVENSSSASRPPHAPPVRQSYTHLRRALSFDDIETRADSPEHDLDDSDSPYPGTDLDPDNEMVSGGLPAGCLWEVLRRLPPAGLLAAASVCKGWRETTKRLWRAAEELRLMVPPRGQLRFIGSVLKKCPSLVRLSLKMESDVDATMLACIAFSCPNLESMEISTSNTAVNRITGDELGRFVADKRCLTSLKMEGCSNLGGFVLSSSSLSTLWLSDLYSLSKMVFNCPNLKEVSLEFSRQENDTTDLTTMVDAMGRSCPRLQNIHIASVRLSHAVVLSLTAANLRGLRMLSLVLGSEITDASVAAIASSYSKLELLDLSGSSISDSGIGMICNVLPNTLSRLLLALCPNITSSGIQFATAQLPLLELMDCGMTVCDPDSQNSPCDESGDNELPNALNNKLHLMYQKLIIKHSRLKKLSLWGCSGLDALCLNCPELNDLNLNSCKNLHPERLLLQCPSLQNVHASGCQESLIGAIKSQVSDSMASLENQFQCKRLADGSKRVRAPDCLSQEANDDKKRRKVVEQQCKVLVD >KJB07273 pep chromosome:Graimondii2_0_v6:1:1181014:1184707:1 gene:B456_001G012300 transcript:KJB07273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFPIPSYFTAIFIISRLMSIIGISKHWNNKLLPPLDNITDKLSLDPSAIESASQDFGHIVKSIPKAVLQPSSIVDIASLINFSYNSSIPFTIAAKGHGHSVRGQAMASDGVVVNMTSMKKYRNGTGIWVSNDGVYADVGGEQLWIDVLNATLKHGVAPVSWTDYLYLTVGGTLSNGGISGQSFRYGPQISNVYEMDVITGKAEIVTCSPNKNSELFYAALGGLGQFGIITRARIPLEPAPKRVKWIRMLYNDFTAFTRDQELLISINGRHDSHALDYLEGSLLMDHGSPDNWRSSFFPPKHHPKITSSITNHRIIYCLEVVKHYDDQTQNTVDKELEQLLKGLSYMPGFMFEKDVLYAEFLNRVLRGELKARSEGLWDVPHPWLNLFIPKSQIEGFNDGVFKGIVLERNITTGPVLVYPMNRKKWDDRMSAVIPDEEIFYTVGFLHSSGFDDWEAFDDQNKEILKFCEDAGIGVKQYLPHFTSKDEWVHHFGSKWETFQQRKFQFDPKMILSPGQRIFNNN >KJB10209 pep chromosome:Graimondii2_0_v6:1:30972450:30972961:-1 gene:B456_001G189300 transcript:KJB10209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKMVVKVTMSDEKSRSKALKVVVGFSGVESASLKGDDKSQIEVTGDGVDAVQLTSRLRKSVGHAELVSVSAVDGEKKEENKPPAAYVWPYNQPLYVYQELPYAHQQDPCSIM >KJB10210 pep chromosome:Graimondii2_0_v6:1:30972065:30973379:-1 gene:B456_001G189300 transcript:KJB10210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVVKVTMSDEKSRSKALKVVVGFSGVESASLKGDDKSQIEVTGDGVDAVQLTSRLRKSVGHAELVSVSAVDGEKKEENKPPAAYVWPYNQPLYVYQELPYAHQQDPCSIM >KJB08482 pep chromosome:Graimondii2_0_v6:1:8832702:8833037:-1 gene:B456_001G083500 transcript:KJB08482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLLKKKMVPKKLWKNLVLLFQPKVKIKRLTKSQNRNKRPFIDHTAYVQETEFFNKKDEIWEDPSDEEGIPLSKEVDVKAEEFINRCKEIWRLERQKSEEEFRERLARSA >KJB08197 pep chromosome:Graimondii2_0_v6:1:7166553:7171230:1 gene:B456_001G070700 transcript:KJB08197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLGLPPGFRFHPTDEELVNYYLKRKINRQEIELDIIPEVDLYKCEPWELAEKSFFPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVSCQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDNECEGTCGIQDSYALCRVFKKNGITGSETEEQGQSSLTVMDQYCSQGVIMNDSETMYGEVPIGASSSSCMEEEEDKDDSWMQFITDDPWCSSNCTAAMAGDELSNTGFYNLN >KJB08844 pep chromosome:Graimondii2_0_v6:1:12282490:12283470:1 gene:B456_001G107600 transcript:KJB08844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKVEKKSDPKAQALKAAKAVKSGATFKKKAKKIRIKVTFHRPRTLKKDRTPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPEYDALDVANKIGII >KJB08845 pep chromosome:Graimondii2_0_v6:1:12282171:12284662:1 gene:B456_001G107600 transcript:KJB08845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKVEKKSDPKAQALKAAKAVKSGATFKKKAKKIRIKVTFHRPRTLKKDRTPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPEYDALDVANKIGPPVESNLWFSPKRSIYKVTKIANV >KJB07288 pep chromosome:Graimondii2_0_v6:1:1308073:1310799:-1 gene:B456_001G0137002 transcript:KJB07288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKVGECLGMWWRHDFETLLCPYLPPTVKKPKECTKLFLVRLPESRKFIVPENLKLLAVPLSQVHENHKTYGTVISGVPQLLSKYSINIIDI >KJB08151 pep chromosome:Graimondii2_0_v6:1:7159874:7164728:1 gene:B456_001G0706001 transcript:KJB08151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVASDQLLQVDKEKTDILMYCTGGIRCDVYSTILRQQGFQNLYTLEGGVSHYLKTEGPVKWIGNLFTFDSRLSLPPSAYNHETMIEASMTQQAFDSDKFAKCYVCNSQVSELRHRNCANLDCNFLFLCCENCVMDLGGCCSYNCMTAPRRRPVLPGFQRYKKWHVYRDQKVEA >KJB08149 pep chromosome:Graimondii2_0_v6:1:7156296:7164728:1 gene:B456_001G0706001 transcript:KJB08149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEGGISHLPLLDPSMRATAIAPSEWRKRLEAVKNNDTASNTNPRTNYILLDVRNGYEWDIGHFHGAQRPDVDCFRSTSFGISPTEEVYVVMYIQQS >KJB08150 pep chromosome:Graimondii2_0_v6:1:7156296:7164728:1 gene:B456_001G0706001 transcript:KJB08150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEGGISHLPLLDPSMRATAIAPSEWRKRLEAVKNNDTASNTNPRTNYILLDVMNGILVIFMVLNDQMWIALEALHLEYLQLRRYTL >KJB08148 pep chromosome:Graimondii2_0_v6:1:7156296:7164728:1 gene:B456_001G0706001 transcript:KJB08148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEGGISHLPLLDPSMRATAIAPSEWRKRLEAVKNNDTASNTNPRTNYILLDVRNGYEWDIGHFHGAQRPDVDCFRSTSFGISPTEGVASDQLLQVDKEKTDILMYCTGGIRCDVYSTILRQQGFQNLYTLEGGVSHYLKTEGPVKWIGNLFTFDSRLSLPPSAYNHETMIEASMTQQAFDSDKFAKCYVCNSQVSELRHRNCANLDCNFLFLCCENCVMDLGGCCSYNCMTAPRRRPVLPGFQRYKKWHVYRDQKVEA >KJB08171 pep chromosome:Graimondii2_0_v6:1:6963101:6963547:-1 gene:B456_001G069300 transcript:KJB08171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPVEGRSNSLQLLRWGSTPPREVFEVLSLETPTWSFNNERYIVLQGRVYGTNLAKEKFLKCCLLGSQWILQQKVLYQCTGLTEWKRLDWWRYNLQQLRGEALLLCTIIIMKNTRAGI >KJB11682 pep chromosome:Graimondii2_0_v6:1:54821278:54823804:-1 gene:B456_001G271300 transcript:KJB11682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTNNFWQLGDDLRGLSKVAEDHKWLMAASKLAEQTRTKGERMNNLDLSKGQAEMRTRDKFGFQEDNKLENLNFNVLNLDSKVGDNVSKSSFQNGMYNMNAVYQKNNSISLGNPTGNKYMSNNQSNNDVNNNSSTKNNNNGNENSNANNAVDKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKALEEDAFRPVLHHYDGPKFRLELSIPETLDLLDLCEQAGSP >KJB11681 pep chromosome:Graimondii2_0_v6:1:54821251:54824026:-1 gene:B456_001G271300 transcript:KJB11681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTNNFWQLGDDLRGLSKVAEDHKWLMAASKLAEQTRTKGERMNNLDLSKGQAEMRTRDKFGFQEDNKLENLNFNVLNLDSKVGDNVSKSSFQNGMYNMNAVYQKNNSISLGNPTGNKYMSNNQSNNDVNNNSSTKNNNNGNENSNANNAVDKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKALEEDAFRPVLHHYDGPKFRLELSIPETLDLLDLCEQAGSP >KJB11683 pep chromosome:Graimondii2_0_v6:1:54821278:54823915:-1 gene:B456_001G271300 transcript:KJB11683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTNNFWQLGDDLRGLSKVAEDHKWLMAASKLAEQTRTKGERMNNLDLSKGQAEMRTRDKFGFQEDNKLENLNFNVLNLDSKVGDNSNNDVNNNSSTKNNNNGNENSNANNAVDKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKALEEDAFRPVLHHYDGPKFRLELSIPETLDLLDLCEQAGSP >KJB06194 pep chromosome:Graimondii2_0_v6:1:201977:212788:1 gene:B456_001G002300 transcript:KJB06194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKNRTDLLTAGRKKLQQFRQKKDGKGSSSKGKSSKKSKKPEQHGSDSDSTNLVAKQTALLQVSEGETTAGDSTVSQSAEKSSLPSGLDTAAFVSPVESIVSEETVPNGEQSTQTVDSMTSTEIRSSSTDIPVLKGEIKHYNVLHPSASVDTKEGTVVNKEMGQNSLLSADDLPDNYLSQARGDRITDVGAMQETDGLGMNQLDRGGETKFEFDGRLTLSEHGECDEPLEGATPGITSMEGASNETEEAISRDASIVSTGASSSSWADGSLAASSQLTNEQAPDVIPYSPVKEEQEMCPSFSDYGEGKSLEGNQQYLPEVSFVSEDLGHERSLQMTGLISSNLILSLARGGTPVKLSQLVEAIRSLDEDEYSLLLNSHESVSLEIIGTDNLSPSYHPDIFEKLKEELYLTSFSKDIFYLKLSELSDLHMESERRCHQLLDEISLLHSSINKVHETNACLGEELAQCRSELQISGSGREELQNELNTALLQIEEFSSRANELQSSLVRSQEDLTSLFSELSEYKNQVATLQADNVNLNRTVDSLTDERSTLAQEKESSLLENEKLLLELARYKDLVITSQVESEQLNMNLASLTEERKVLVDEKMLSLQENEKLRTELADCKSLISTLQVEHANIINLAIMSEERIKLEEEELLAQGKEKAALDLEECKDLLASLQLEKSKLNGELAFVTEERKKLEEDKDYFIHENESLASELLVLQEQLTRQNGEQMQLEAELKVLTVRLEKLMEENSFLNASLDVHKAKLVETDSRGNQNIEGGSQVKGLGVSREVLENAANYEPSCLIPLKQDPDESTVVLEKPGPNDVGGSSLVLLEQEVFDDSSGFLVLKGHLKEAERILQNLEMTIEQMHSHSVSLQHSISKSAAPGVSNLTKASERQVHHDEPEVERRDLPEYQLLGDLFNSTKDVTENLRAVLKLLGQDADDASFLCRGERDCRKSASFTFQEHRVLHETLKEYSDILHASNIELGVLYEASKQHAYGIEAKYNELKVLHEALKQQESSLSSENAELGKKLSEYLLKLTEMQSQFSDLKQRSDDTTSALNQQFKNSQKEAAERALMPELESRSMVTQIVETVRRLDLSVGQVSNFSFSDNSSDISDLNSQLATSVDSAINNIRELQEQLEIAHAGHDAILNSYKEVDEKYNDLHRNNEFMVGMLHELYNDLKKLVIDSCVLVGEPEMNTQVEKLADPLDYSKYKIFIEQLENVLGERLQLQSVNDQLNLEMMNRTRDVVEMSRECLHSNAIQKLIEQVENVVKLDDSETDSDRTPGSHLELLVCLLVKKYKDIDQQVSNRGEDLGSKMIGLTEVEEKIHQLDALRLQQEFEILTLKESLRQKEEALQTAHSELLKKVSEIEQSEQRVSSVREKLSIAVAKGKGLVVQRDGLKQSLAETSAELERLSQELQVKDAQLQELEIKLKTYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPEHFHSRDIIEKVDWLARSTTDNSLPAPDWEQKSSVVGSYSDAGFVTVDTWKEDAQPTLSSGDDWRRKYEDLESKFYGLAEQNEMLEQSLMERNHLVQRWEELLGRIDMPSQMRSMEPEEKIEWLGGALSEANHDKNSLQKKIDDLQNYFGSVAADLEESEKRISNLESDLQSVALEREHLSERLDALTSDNHNLAAKATQFEVENEKLQIKVSGLKEELDKRIEEEEENLLKMEGEIRRLQYLVCDVLEDPEAKDLGSGGSSTASLEGLLKKLIENYTNLKSVNPEPVDIEINQTKLCDPTLDQAESRDALTGQEDVASLKKELEEVQHDLMQVKEERDEYFGKHQSLLHEVQALERKGEELQGLLNQEEQKSASVREKLNVAVRKGKSLVQQRDGLKKTIEEMNAELGRLKSELSNQENALADYELKMRDFSTYPKRVEALEADNLFLRNHLTETERMLEEKRHTLNGILNAIADIDAGVEIDTFDPVEKLGQIGKVCHDLHASVSSSKQESQKSKRAAELLLAELNEVQERNDGLQEDLAKISVELTEVMKDREVAEAAKLEVLSRLEELSTVHSEGKRKQYSELMMLQSCVNEVTKGFNDIQNLLCSTFMKDLEFLQNLEVNIKSCLEGDDAQDVAGLPYSISSDLEDKVNFQSTDTSSIANIQEPVDDNAIVEVCSSIWHHLQDLTTEITALKEKFIGCSKSLHEQGYSLWNLVGILHGERNSQKESFEAMRRNIMHLESIGKEKDMEMVVLRRNVGLLYEACANLVLEIENGKAELLGNSSTTADLELAGALALGGQNRVLSEEQIKTMADKLLSTMKDFLSMQYQIAEGSQREMKITVENLRKELQEKDIQKDQICAELVGQTKLAEAAAMNYSRDLQLSRTLVHDLEKELEVVKEENKSLQQRVKELQDVQANSVELHDRVKSLTDVLSSKDQEIEALMQALDEEEVQMEELTKKNEELEKVLQQKNIDLENLEASQGKVVKKLSITVSKFDELRDLSQSLLTKIEQLQSELQDRDAEISFLRQEVTRCTDDLLAASQMSSKRESNEIHEFLTWFEGIVSCVGLPHLHFDMKDIQVPEYKEIIQKKLSSITSELEDLRVAAQSRDELLQAERTKVEELTRMEETLKKTLQEKESLLNLLEGAGDVDHAASANSEIVQVEPVINKWAVPGTSTASQVRSLRKVNNDQVAIHIDSDDVSNTRLEDEDEDKVHGFKSLTTSRIVPRFTRPVSDMIDGLW >KJB06195 pep chromosome:Graimondii2_0_v6:1:201977:212824:1 gene:B456_001G002300 transcript:KJB06195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKNRTDLLTAGRKKLQQFRQKKDGKGSSSKGKSSKKSKKPEQHGSDSDSTNLVAKQTALLQVSEGETTAGDSTVSQSAEKSSLPSGLDTAAFVSPVESIVSEETGNIETLAAHNDGLPAEVVTLVDFSVPNGEQSTQTVDSMTSTEIRSSSTDIPVLKGEIKHYNVLHPSASVDTKEGTVVNKEMGQNSLLSADDLPDNYLSQARGDRITDVGAMQETDGLGMNQLDRGGETKFEFDGRLTLSEHGECDEPLEGATPGITSMEGASNETEEAISRDASIVSTGASSSSWADGSLAASSQLTNEQAPDVIPYSPVKEEQEMCPSFSDYGEGKSLEGNQQYLPEVSFVSEDLGHERSLQMTGLISSNLILSLARGGTPVKLSQLVEAIRSLDEDEYSLLLNSHESVSLEIIGTDNLSPSYHPDIFEKLKEELYLTSFSKDIFYLKLSELSDLHMESERRCHQLLDEISLLHSSINKVHETNACLGEELAQCRSELQISGSGREELQNELNTALLQIEEFSSRANELQSSLVRSQEDLTSLFSELSEYKNQVATLQADNVNLNRTVDSLTDERSTLAQEKESSLLENEKLLLELARYKDLVITSQVESEQLNMNLASLTEERKVLVDEKMLSLQENEKLRTELADCKSLISTLQVEHANIINLAIMSEERIKLEEEELLAQGKEKAALDLEECKDLLASLQLEKSKLNGELAFVTEERKKLEEDKDYFIHENESLASELLVLQEQLTRQNGEQMQLEAELKVLTVRLEKLMEENSFLNASLDVHKAKLVETDSRGNQNIEGGSQVKGLGVSREVLENAANYEPSCLIPLKQDPDESTVVLEKPGPNDVGGSSLVLLEQEVFDDSSGFLVLKGHLKEAERILQNLEMTIEQMHSHSVSLQHSISKSAAPGVSNLTKASERQVHHDEPEVERRDLPEYQLLGDLFNSTKDVTENLRAVLKLLGQDADDASFLCRGERDCRKSASFTFQEHRVLHETLKEYSDILHASNIELGVLYEASKQHAYGIEAKYNELKVLHEALKQQESSLSSENAELGKKLSEYLLKLTEMQSQFSDLKQRSDDTTSALNQQFKNSQKEAAERALMPELESRSMVTQIVETVRRLDLSVGQVSNFSFSDNSSDISDLNSQLATSVDSAINNIRELQEQLEIAHAGHDAILNSYKEVDEKYNDLHRNNEFMVGMLHELYNDLKKLVIDSCVLVGEPEMNTQVEKLADPLDYSKYKIFIEQLENVLGERLQLQSVNDQLNLEMMNRTRDVVEMSRECLHSNAIQKLIEQVENVVKLDDSETDSDRTPGSHLELLVCLLVKKYKDIDQQVSNRGEDLGSKMIGLTEVEEKIHQLDALRLQQEFEILTLKESLRQKEEALQTAHSELLKKVSEIEQSEQRVSSVREKLSIAVAKGKGLVVQRDGLKQSLAETSAELERLSQELQVKDAQLQELEIKLKTYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPEHFHSRDIIEKVDWLARSTTDNSLPAPDWEQKSSVVGSYSDAGFVTVDTWKEDAQPTLSSGDDWRRKYEDLESKFYGLAEQNEMLEQSLMERNHLVQRWEELLGRIDMPSQMRSMEPEEKIEWLGGALSEANHDKNSLQKKIDDLQNYFGSVAADLEESEKRISNLESDLQSVALEREHLSERLDALTSDNHNLAAKATQFEVENEKLQIKVSGLKEELDKRIEEEEENLLKMEGEIRRLQYLVCDVLEDPEAKDLGSGGSSTASLEGLLKKLIENYTNLKSVNPEPVDIEINQTKLCDPTLDQAESRDALTGQEDVASLKKELEEVQHDLMQVKEERDEYFGKHQSLLHEVQALERKGEELQGLLNQEEQKSASVREKLNVAVRKGKSLVQQRDGLKKTIEEMNAELGRLKSELSNQENALADYELKMRDFSTYPKRVEALEADNLFLRNHLTETERMLEEKRHTLNGILNAIADIDAGVEIDTFDPVEKLGQIGKVCHDLHASVSSSKQESQKSKRAAELLLAELNEVQERNDGLQEDLAKISVELTEVMKDREVAEAAKLEVLSRLEELSTVHSEGKRKQYSELMMLQSCVNEVTKGFNDIQNLLCSTFMKDLEFLQNLEVNIKSCLEGDDAQDVAGLPYSISSDLEDKVNFQSTDTSSIANIQEPVDDNAIVEVCSSIWHHLQDLTTEITALKEKFIGCSKSLHEQGYSLWNLVGILHGERNSQKESFEAMRRNIMHLESIGKEKDMEMVVLRRNVGLLYEACANLVLEIENGKAELLGNSSTTADLELAGALALGGQNRVLSEEQIKTMADKLLSTMKDFLSMQYQIAEGSQREMKITVENLRKELQEKDIQKDQICAELVGQTKLAEAAAMNYSRDLQLSRTLVHDLEKELEVVKEENKSLQQRVKELQDVQANSVELHDRVKSLTDVLSSKDQEIEALMQALDEEEVQMEELTKKNEELEKVLQQKNIDLENLEASQGKVVKKLSITVSKFDELRDLSQSLLTKIEQLQSELQDRDAEISFLRQEVTRCTDDLLAASQMSSKRESNEIHEFLTWFEGIVSCVGLPHLHFDMKDIQVPEYKEIIQKKLSSITSELEDLRVAAQSRDELLQAERTKVEELTRMEETLKKTLQEKESLLNLLEGAGDVDHAASANSEIVQVEPVINKWAVPGTSTASQVRSLRKVNNDQVAIHIDSDDVSNTRLEDEDEDKVHGFKSLTTSRIVPRFTRPVSDMIDGLWVSCDRALMRQPALRLAIMIYWAILHSLLAAFVF >KJB06522 pep chromosome:Graimondii2_0_v6:1:9592197:9594141:-1 gene:B456_001G088800 transcript:KJB06522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 5 [Source:Projected from Arabidopsis thaliana (AT3G02885) UniProtKB/Swiss-Prot;Acc:Q84J95] MADSSRSSLILLFSMLLLITLSHMTEASGSAKLRPSDCKPRCSYRCSATSHKKPCMFFCLKCCSKCLCVPPGTYGNKQVCPCYNNWKTKDGGPKCP >KJB11680 pep chromosome:Graimondii2_0_v6:1:54818671:54821265:1 gene:B456_001G271200 transcript:KJB11680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSVNRPPTPDAAEDQGKEPTLQEIINIKLIESGEKERLMELLRERLIDCGWKDEMKALCRAHVKKKGRNNVTVDDLVHLITPKGRASVPDSVKAELLQRIRTFLMSAAL >KJB07938 pep chromosome:Graimondii2_0_v6:1:5178510:5186863:-1 gene:B456_001G054200 transcript:KJB07938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFEVMDQSNASALASASSRFLEDILLPVERQVGFWKPNTMSDNQDKIVGPSPMEKLSTDYMEQPPSNLARDQEEKLSIGWKEITNLSEHSWNSVNHHPKSMSNLYTKPAEKLNRNRTYGNVIQHESSLFSSSFSEVFNRKLRLLGNDLSCQHASSAAPHVEEEPFKSMEEVEAQTIGNLLPDEDDLLSGVIDDLGLNTLDSKGDELEDFDLFSSGGGMELEGDDRVSMVPRNSDLVRVFNIQGGSNGLIVGEHPYGEHPSRTLFVRNINSNVEDSELKTLFEQYGDIRTLYTACKHRGFVMISYYDIRAAQNAMRALQNKSLRRRKLDIHYSIPKENQSEKDVNHGALVVFNLDSSVSSDKLQQIFGAFGEIKEIHETPQKHNPKFIEFYDVRAAEAAFQALNSSDIAGKQIKIEPSHLWGIGCFTQQSESEQDEPNLCKSPFDELSSGKCVSPGLTASGCMDNGSTQVVAPVSTFAETHRSSSVPINLASPARVSPIGKPLSFREPDHSLDEMKFANQGVPSFHPHSLPEYHDSLANGIPFNSSSTIIDMASSSSPMMAEGLDNRHIRGARSNGHLMQPNAGVFGSSGNGSLSRNGNHYMWNNSNSHQQDLPTAMVWPNSPSFVNGIHANRLPHIPAFPRAPSVMLNVGSPVHHHIGSAPPVNSAFWDKRHPYIGESPETSGFPLGSLGSVGFPSSSLSHPVEYASHNIFSHVGGNCMDLTKTGGVHSPQQMCHLFPCRNPMMPASLDSPNDRVRNFSYRRNESNSSNADKKQYELDIDRIIRGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQHIVPFYKAFNGNKWEKFNSEKVASIAFARIQGKAALITHFQNSSLMNEDKRCRPILFHTNGPNAGDQEPFPMGTNIRPRPRRPRTTSNEESHRQGSSSTLANTEEFPNGVDSLLVSSKDSD >KJB07940 pep chromosome:Graimondii2_0_v6:1:5178533:5185977:-1 gene:B456_001G054200 transcript:KJB07940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFEVMDQSNASALASASSRFLEDILLPVERQVGFWKPNTMSDNQDKIVGPSPMEKLSTDYMEQPPSNLARDQEEKLSIGWKEITNLSEHSWNSVNHHPKSMSNLYTKPAEKLNRNRTYGNVIQHESSLFSSSFSEVFNRKLRLLGNDLSCQHASSAAPHVEEEPFKSMEEVEAQTIGNLLPDEDDLLSGVIDDLGLNTLDSKGDELEDFDLFSSGGGMELEGDDRVSMVPRNSDLVRVFNIQGGSNGLIVGEHPYGEHPSRTLFVRNINSNVEDSELKTLFEQYGDIRTLYTACKHRGFVMISYYDIRAAQNAMRALQNKSLRRRKLDIHYSIPKENQSEKDVNHGALVVFNLDSSVSSDKLQQIFGAFGEIKEIHETPQKHNPKFIEFYDVRAAEAAFQALNSSDIAGKQIKIEPSHLWGIGCFTQQSESEQDEPNLCKSPFDELSSGKCGLTASGCMDNGSTQVVAPVSTFAETHRSSSVPINLASPARVSPIGKPLSFREPDHSLDEMKFANQGVPSFHPHSLPEYHDSLANGIPFNSSSTIIDMASSSSPMMAEGLDNRHIRGARSNGHLMQPNAGVFGSSGNGSLSRNGNHYMWNNSNSHQQDLPTAMVWPNSPSFVNGIHANRLPHIPAFPRAPSVMLNVGSPVHHHIGSAPPVNSAFWDKRHPYIGESPETSGFPLGSLGSVGFPSSSLSHPVEYASHNIFSHVGGNCMDLTKTGGVHSPQQMCHLFPCRNPMMPASLDSPNDRVRNFSYRRNESNSSNADKKQYELDIDRIIRGEDSRTTLMIKNIPNKCFWQPLMSTVEELMILFICQLTSRINAM >KJB07937 pep chromosome:Graimondii2_0_v6:1:5179157:5185520:-1 gene:B456_001G054200 transcript:KJB07937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFEVMDQSNASALASASSRFLEDILLPVERQVGFWKPNTMSDNQDKIVGPSPMEKLSTDYMEQPPSNLARDQEEKLSIGWKEITNLSEHSWNSVNHHPKSMSNLYTKPAEKLNRNRTYGNVIQHESSLFSSSFSEVFNRKLRLLGNDLSCQHASSAAPHVEEEPFKSMEEVEAQTIGNLLPDEDDLLSGVIDDLGLNTLDSKGDELEDFDLFSSGGGMELEGDDRVSMVPRNSDLVRVFNIQGGSNGLIVGEHPYGEHPSRTLFVRNINSNVEDSELKTLFEQYGDIRTLYTACKHRGFVMISYYDIRAAQNAMRALQNKSLRRRKLDIHYSIPKENQSEKDVNHGALVVFNLDSSVSSDKLQQIFGAFGEIKEIHETPQKHNPKFIEFYDVRAAEAAFQALNSSDIAGKQIKIEPSHLWGIGCFTQQSESEQDEPNLCKSPFDELSSGKCGLTASGCMDNGSTQVVAPVSTFAETHRSSSVPINLASPARVSPIGKPLSFREPDHSLDEMKFANQGVPSFHPHSLPEYHDSLANGIPFNSSSTIIDMASSSSPMMAEGLDNRHIRGARSNGHLMQPNAGVFGSSGNGSLSRNGNHYMWNNSNSHQQDLPTAMVWPNSPSFVNGIHANRLPHIPAFPRAPSVMLNVGSPVHHHIGSAPPVNSAFWDKRHPYIGESPETSGFPLGSLGSVGFPSSSLSHPVEYASHNIFSHVGGNCMDLTKTGGVHSPQQMCHLFPCRNPMMPASLDSPNDRVRNFSYRRNESNSSNADKKQYELDIDRIIRGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQHIVPFYKAFNGNKWEKFNSEKVASIAFARIQGKAALITHFQNSSLMNEDKRCRPILFHTNGPNAGDQEPFPMGTNIRPRPRRPRTTSNEESHRQGSSSTLANTEEFPNGVDSLLVSSKDSD >KJB07939 pep chromosome:Graimondii2_0_v6:1:5178397:5186863:-1 gene:B456_001G054200 transcript:KJB07939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFEVMDQSNASALASASSRFLEDILLPVERQVGFWKPNTMSDNQDKIVGPSPMEKLSTDYMEQPPSNLARDQEEKLSIGWKEITNLSEHSWNSVNHHPKSMSNLYTKPAEKLNRNRTYGNVIQHESSLFSSSFSEVFNRKLRLLGNDLSCQHASSAAPHVEEEPFKSMEEVEAQTIGNLLPDEDDLLSGVIDDLGLNTLDSKGDELEDFDLFSSGGGMELEGDDRVSMVPRNSDLVRVFNIQGGSNGLIVGEHPYGEHPSRTLFVRNINSNVEDSELKTLFEQYGDIRTLYTACKHRGFVMISYYDIRAAQNAMRALQNKSLRRRKLDIHYSIPKENQSEKDVNHGALVVFNLDSSVSSDKLQQIFGAFGEIKEIHETPQKHNPKFIEFYDVRAAEAAFQALNSSDIAGKQIKIEPSHLWGIGCFTQQSESEQDEPNLCKSPFDELSSGKCGLTASGCMDNGSTQVVAPVSTFAETHRSSSVPINLASPARVSPIGKPLSFREPDHSLDEMKFANQGVPSFHPHSLPEYHDSLANGIPFNSSSTIIDMASSSSPMMAEGLDNRHIRGARSNGHLMQPNAGVFGSSGNGSLSRNGNHYMWNNSNSHQQDLPTAMVWPNSPSFVNGIHANRLPHIPAFPRAPSVMLNVGSPVHHHIGSAPPVNSAFWDKRHPYIGESPETSGFPLGSLGSVGFPSSSLSHPVEYASHNIFSHVGGNCMDLTKTGGVHSPQQMCHLFPCRNPMMPASLDSPNDRVRNFSYRRNESNSSNADKKQYELDIDRIIRGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQHIVPFYKAFNGNKWEKFNSEKVASIAFARIQGKAALITHFQNSSLMNEDKRCRPILFHTNGPNAGDQEPFPMGTNIRPRPRRPRTTSNEESHRQGSSSTLANTEEFPNGVDSLLVSSKDSD >KJB07941 pep chromosome:Graimondii2_0_v6:1:5178533:5186807:-1 gene:B456_001G054200 transcript:KJB07941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFEVMDQSNASALASASSRFLEDILLPVERQVGFWKPNTMSDNQDKIVGPSPMEKLSTDYMEQPPSNLARDQEEKLSIGWKEITNLSEHSWNSVNHHPKSMSNLYTKPAEKLNRNRTYGNVIQHESSLFSSSFSEVFNRKLRLLGNDLSCQHASSAAPHVEEEPFKSMEEVEAQTIGNLLPDEDDLLSGVIDDLGLNTLDSKGDELEDFDLFSSGGGMELEGDDRVSMVPRNSDLVRVFNIQGGSNGLIVGEHPYGEHPSRTLFVRNINSNVEDSELKTLFEQYGDIRTLYTACKHRGFVMISYYDIRAAQNAMRALQNKSLRRRKLDIHYSIPKENQSEKDVNHGALVVFNLDSSVSSDKLQQIFGAFGEIKEIHETPQKHNPKFIEFYDVRAAEAAFQALNSSDIAGKQIKIEPSHLWGIGCFTQQSESEQDEPNLCKSPFDELSSGKCGLTASGCMDNGSTQVVAPVSTFAETHRSSSVPINLASPARVSPIGKPLSFREPDHSLDEMKFANQGVPSFHPHSLPEYHDSLANGIPFNSSSTIIDMASSSSPMMAEGLDNRHIRGARSNGHLMQPNAGVFGSSGNGSLSRNGNHYMWNNSNSHQQDLPTAMVWPNSPSFVNGIHANRLPHIPAFPRAPSVMLNVGSPVHHHIGSAPPVNSAFWDKRHPYIGESPETSGFPLGSLGSVGFPSSSLSHPVEYASHNIFSHVGGNCMDLTKTGGVHSPQQMCHLFPCRNPMMPASLDSPNDRVRNFSYRRNESNSSNADKKQYELDIDRIIRGEDSRTTLMIKNIPNKCFWQPLMSTVEELMILFICQLTSRINAM >KJB08518 pep chromosome:Graimondii2_0_v6:1:9141633:9145575:1 gene:B456_001G085900 transcript:KJB08518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTSMLRRFFFFNAAASSSSITPSSYTTTITSKKKSLVFLGSPQVSATVLDALFNASAAPSSSFEVTAIVTQPPSRRDRGRKVMPSPVAQYALDRGFPSDLIFTPERAGEETFLSNLRALQPELCITAAYGNILPTKFLNIPPLGTVNIHPSLLPLYRGAAPVQRALQDGVKETGVSLAFTVRALDAGPVIARERVEVDDQIKAPDLLALLFSEGSKLLICKLPSIFDGSAEVNAEPQDDSKVTLAPKISPEESWLSFDEEALILHNKVRAFAGWPGTRAKVLVIDDESSNNHNILELKIITTRVGSNDKIRDNDVHDIAFIKDALVFPCGGRTDLEVLEVQLPGKKVTSAAAFWNGLRGQKLKTL >KJB08841 pep chromosome:Graimondii2_0_v6:1:12213120:12215104:-1 gene:B456_001G107300 transcript:KJB08841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS3 MELFSFLLLLPVLLVLLKIWKWVNDKRDQECYILDYQCYKPADDRMVGTEFSGEVIKRNKNLGLNEYKFLLKAIVSSGIGEQTYAPRIMFSGREETPTLADGILEMEEFFQDSIGKLLSRAGVSPHEIDLLVVNVSMITAPPCLSSRIINHYKMRQDIKCFNLTGMGCSASLISLDIVRNVFKSYKNKYALLVTSESLSPNWYAGNDRSMILANCLFRSGGCAILLTNNKSLKHRAMFKLKCLVRTHHGARDESYNCCIQREDEIGKVGFYLGKNLPKAATRSFVDNLRVITPKILPVTELVRFMVVSLVKKWNRHGSTKGTTTQGPIKAGVNFKSGVDHFCIHTGGKAVIDGIGFSLDLTEYDLEPARMTLHRFGNTSASSLWYVLAYMEAKKRLKKGDKVLMISFGAGFKCNSCLWEIVRDLEDGNVWKDEIQNYPPKTLVNPYMEKYGWIKDEDPSTFKIPED >KJB10397 pep chromosome:Graimondii2_0_v6:1:35616018:35621614:1 gene:B456_001G199100 transcript:KJB10397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIFHLFDFHQDSMARKILAKTKNVDGLEAPRNSMELKLDTSQSYYSAGDLPGSYQVEEDWAARNFYQTEASMKNLICEEMSKQSNTGQNAPSIVAKLMGIDPLPVDTKSVVQPVVKKSDDQRVKFSGREKCVKGSNLNYSKQREVDSIYGKRVRDAERWNTDHRYGKPRPREHPQEEELQKFKKEFEAWQVARLRECSKLVDVGSIFTHKLAQEKLNMEKMALYDDSVQAMHEKPLESKRFAIKESGLHHRRHKSELFTAEKNESRRRSMNKDFRLPSMIDHNGKLDAIPTRIVILKPGPDSISDHEESCTSSSGTFQERTSMEDFLEEVRERLKLELQGKCLNIKKSSVIRGSGTETPFSEKPSSDPKQIAKHIANQVRENVSRDIGRNLFRSESTRSYRSEVQFNGPGSPEFISKDTRRLLSERLRNVHKQETPFDVPIVVSTTPAFDNGRDRLGRMQYMSKSGIEQSYRESVKHGQEIQTSSFRQYMSKSGNEQSYRESVKHGQEMQTSSFRHGDDIDVPIVVSTTSTFDNGRDRLGRMQYMSKSGNEQSYQEIVKHGQEMQTSSFRHGDDVGLLNRELSPRNLIRSLSAPVSGTSFRKLLLEDCHILTGAQIRRKHEGNENASVDIRRRKKEKFNLKEKVSNIKYSLTLRRRLFGKKFQSVVGSYNANSDLAKDVFSGPTVIMNIGERHENSTEVPPSPASFCSSTHEEFWREVDYLSPISTPDVTLGEDNVVPQVFNETSSNLNGHQSEQGRQLNELESDGADHITVEQEPIESEMVNLEDHTRGYIRDLLVASGLYDGSYDKSLSRWDPLAKPIGNSIFEQVEEYHSKLIKENDKKVDHKVLLDLSNEALSTILGPPVTMCRFRRKLLGSSILTPPHGRKLINSVWEIVHINLHPPNDRSNYSVDHMVARDLGSTPWAGLMDDETNVLGREVEWHIVGDLVEEIVKDMHS >KJB10398 pep chromosome:Graimondii2_0_v6:1:35616697:35621593:1 gene:B456_001G199100 transcript:KJB10398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIFHLFDFHQDSMARKILAKTKNVDGLEAPRNSMELKLDTSQSYYSAGDLPGSYQVEEDWAARNFYQTEASMKNLICEEMSKQSNTGQNAPSIVAKLMGIDPLPVDTKSVVQPVVKKSDDQRVKFSGREKCVKGSNLNYSKQREVDSIYGKRVRDAERWNTDHRYGKPRPREHPQEEELQKFKKEFEAWQVARLRECSKLVDVGSIFTHKLAQEKLNMEKMALYDDSVQAMHEKPLESKRFAIKESGLHHRRHKSELFTAEKNESRRRSMNKDFRLPSMIDHNGKLDAIPTRIVILKPGPDSISDHEESCTSSSGTFQERTSMEDFLEEVRERLKLELQGKCLNIKKSSVIRGSGTETPFSEKPSSDPKQIAKHIANQVRENVSRDIGRNLFRSESTRSYRSEVQFNGPGSPEFISKDTRRLLSERLRNVHKQETPFDVPIVVSTTPAFDNGRDRLGRMQYMSKSGIEQSYRESVKHGQEIQTSSFRQYMSKSGNEQSYRESVKHGQEMQTSSFRHGDDIDVPIVVSTTSTFDNGRDRLGRMQYMSKSGNEQSYQEIVKHGQEMQTSSFRHGDDVGLLNRELSPRNLIRSLSAPVSGTSFRKLLLEDCHILTGAQIRRKHEGNENASVDIRRRKKEKFNLKEKVSNIKYSLTLRRRLFGKKFQSVVGSYNANSDLAKDVFSGPTVIMNIGERHENSTEVPPSPASFCSSTHEEFWREVDYLSPISTPDVTLGEDNVVPQVFNETSSNLNGHQSEQGRQLNELESDGADHITVEQEPIESEMVNLEDHTRGYIRDLLVASGLYDGSYDKSLSRWDPLAKPIGNSIFEQVEEYHSKLIKENDKKVDHKVLLDLSNEALSTILGPPVTMCRFRRKLLGSSILTPPHGRKLINSVWEIVHINLHPPNDRSNYSVDHMVARDLGSTPWAGLMDDETNVLGREVEWHIVGDLVEEIVKDMHS >KJB07350 pep chromosome:Graimondii2_0_v6:1:1641103:1648424:-1 gene:B456_001G017800 transcript:KJB07350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVAEYYNNVNNNGSSSSQSQTSTCMQKFRLYETQSNFYMIGRNKNRIYWRVLKIDRLDPFELNIREDSTTYTEFECSELLRRIHEGNKSTGGLKFVTACYGIVGFIKFLGPYYMLLITKRRRIGAIFGHNVYAISKSEMIPLPNSSVNPSIADFRKENRYKKLLSSVDLTKDFFFSYSYNVMCSLQKNLYNNEPGEVLYETMFVWNEFLTRGIRNHLKNTLWTVALVYGFFKQASFSVSGRSFKLMLIARRSRHYAGTRYLKRGVNEKGSVANDVETEQIVFEDVSDGFPTQITSIVQNRGSIPLFWSQETSRLNLKPDIILSKKDQSYEATRLHFENLVERYGNPIIILNLIKTQEKKPRESILRQEFANAIDFINKDLSEENRLRFLHWDLHKHSRSKSTNVLLLLGKVANYALTLTGFFYCRVTSALRPEECMTWPSSENIDDGDMLPLKYSNDTEDIYRSERNYSGENNVANGNHSVKPPTFQRGVLRTNCIDCLDRTNVAQYAYGLAALGSQLHALGIKDSPKIDLDDPLADELMSLYERMGDTLAHQYGGSAAHNKIFSQRRGQWRAATQSQEFFRTLQRYYSNAYIDAEKQNAINIFLGHFQPQPGKPALWELGSYQHYRGRNGETIMDEDGRSLFKRSFSDGNILWQSESPVMAKNGKQEKSLNSTLPGLSESSPEISTCESDITYSRYTPSMPRRQLFGDMQRDRCLETGQIFFFERGDGFNYSNFVDLDCISSSGNSCEDEPFDRSLVLTSSSIAGLSLENVVNGVIGEATPSSSECGSSMKGRQQTGTELSFGNSQQSNVLEEFSDSFVQWVNSGEMLCY >KJB09735 pep chromosome:Graimondii2_0_v6:1:23233410:23235914:-1 gene:B456_001G161100 transcript:KJB09735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSDRGSLLQAPPNLYKVLLAFSQPLVAYVVVGIPTCSSPPYLRIQRSPLDAWLILSEKKVGFGGSLISTPPVLVRSGPWSSVSGLPADKISEIDQPAGLVWLFLSIEKESAVCASHLLLGSAGRHGEGLTFLTHIQSYRHGPTDLFSIGASSSATRFYLTKPRARPRREFPATNSASASGRSNGARLVSARQEMRNITNTGNKGIPDHICFCAMTISLELRGPTHISTAHNKNKTNRDFIRDHLSCRS >KJB07947 pep chromosome:Graimondii2_0_v6:1:5256991:5262236:1 gene:B456_001G054800 transcript:KJB07947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISDNSRQSLLPTFLYSTPSLTKRLFNLEPSLMKAEHRSPFISLGNVGGRASRVVVPSPKEGKIELFSPAFYAACTVGGMLCCGITHTAVTPLDIVKCNMQIDPTKYKNITSGFGVLVKEQGAKGLFKGWAPTLVGYSAQGAFKYGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAELIADVALCPMEAVKVRVQTQPGFARGLSDGLPKILKAEGVAGLYKGLVPLWGRQIPYTMMKFASFETIVELIYKYSIPTPKQECSTALQLGVSFGGGYIAGVLCAVVSHPADNLVSFLNNAKGATVGDAVKKLGVMGLLTRGLPLRIFMIGTLTGTQWGIYDSFKVFVGLPTTGGATPTPTPATSKA >KJB08838 pep chromosome:Graimondii2_0_v6:1:12098443:12100794:-1 gene:B456_001G107000 transcript:KJB08838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLASKLLSTPQRVVGNTSKSIISSAVSRLYQTARIFTGVSMYTFPVSQRGRLWIRLYFNPFVYATYNMSSAKFDVSTENHVLLSSFSVGAHLVKEFSVNITTDSLAIIFTPSENSFAFINALEVVSVPDQLIPDHVRTVKSSVGFQGLMWQALETVARVNVGGPMVSFKNDTLHRTWVPDQSFLIEKNLASTVSNTRAVKYVDGGSTPEIAPVSVYGSCTKMNSLGDPNSNFNVTWEFDVDPGFQHLVRFHFCDIVSTSLNQLYFNVFIDSSMVVRDLDLSAYLGNVLAAAYYMDFVTDSATMNKLRVSIGPSNLRGVYPDAILNGLEIMKLNNSDGSLTGLGTANTSGSSSKKKVGAIVGASVGVAFELLLAGLLFMFCRKRRCVAHQRQSKIWIPFSTNGGTSHTIGSKYSKGTTASVNSNTGYHIPFLAVQEATNNFDESLVIGIGGFGKVYKGELNDGTKVAVKRGNPRSQQGLAEFQTEIEMLSQFRHRHLVSLIGYCDEKNEMILIYEYMENGTLKSHLYGSGHPSLSWKQRLEICIGAARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWAMKWQKRGQLVQIIDSNLKGKIRSDSLRKFGETAEKCLADSGVDRPSMGDVLWNLEYALQLQEAVQGDPEENSTNMIGELSPQINNFSQLDPTVSSAQFVLSSVDDLSGASMSKVFSQLVKSEGR >KJB08837 pep chromosome:Graimondii2_0_v6:1:12097929:12101600:-1 gene:B456_001G107000 transcript:KJB08837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLASKLLSTPQRVVGNTSKSIISSAVSRLYQTARIFTGVSMYTFPVSQRGRLWIRLYFNPFVYATYNMSSAKFDVSTENHVLLSSFSVGAHLVKEFSVNITTDSLAIIFTPSENSFAFINALEVVSVPDQLIPDHVRTVKSSVGFQGLMWQALETVARVNVGGPMVSFKNDTLHRTWVPDQSFLIEKNLASTVSNTRAVKYVDGGSTPEIAPVSVYGSCTKMNSLGDPNSNFNVTWEFDVDPGFQHLVRFHFCDIVSTSLNQLYFNVFIDSSMVVRDLDLSAYLGNVLAAAYYMDFVTDSATMNKLRVSIGPSNLRGVYPDAILNGLEIMKLNNSDGSLTGLGTANTSGSSSKKKVGAIVGASVGVAFELLLAGLLFMFCRKRRCVAHQRQSKIWIPFSTNGGTSHTIGSKYSKGTTASVNSNTGYHIPFLAVQEATNNFDESLVIGIGGFGKVYKGELNDGTKVAVKRGNPRSQQGLAEFQTEIEMLSQFRHRHLVSLIGYCDEKNEMILIYEYMENGTLKSHLYGSGHPSLSWKQRLEICIGAARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWAMKWQKRGQLVQIIDSNLKGKIRSDSLRKFGETAEKCLADSGVDRPSMGDVLWNLEYALQLQEAVQGDPEENSTNMIGELSPQINNFSQLDPTVSSAQFVLSSVDDLSGASMSKVFSQLVKSEGR >KJB11201 pep chromosome:Graimondii2_0_v6:1:49292910:49298399:1 gene:B456_001G247200 transcript:KJB11201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQATGRGRVVGNYLVGRKIGSGSFSIVWHARHRVHGTEVAIKEIVTGRLNKKLQDSLMLEIFILKRINHPNIIRLHDIVEVPGKIHLVLEYCKGGDLSMYITHHGCVPEATAKHFMQQLAVGLQVLRDNNLIHRDLKPQNLLLSTNECNSVLKIADFGFARSLQPWGLAETLCGSPLYMAPEIMQLQCCRFLIACVILGLLVFCTYLKLLPTNFDFNTQADLWSVGAILFQLVTGKTPFTGNNQIQLLQNIVKSTELLFPVENNYLTADCKDLCLKLLRRNPAERLTFQEFFNHPFLSQGQPNEPLRSQGILGNGYPFFESSPGSADESFQEDCLPFFLDDDSSGPEGSPSFEKKRSSMKSGYGFSPNAKDTGEQTSNPLNKVNFTSKYSGTRHKLDDPSFRHESSKVSGETLHEPHKSMDQRSTSTHSRVGDTLELNDQDYVLVSGPPMDVSFSASTSKPNHVPYKLESPPLIPFTSNTTSTAPVPIVAATNINVYHVGSLESQSSAPGTSPGSIDVGDALEQPSSHCIPRIKSLQQCASAITELVHEKVSP >KJB11202 pep chromosome:Graimondii2_0_v6:1:49292910:49300059:1 gene:B456_001G247200 transcript:KJB11202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQATGRGRVVGNYLVGRKIGSGSFSIVWHARHRVHGTEVAIKEIVTGRLNKKLQDSLMLEIFILKRINHPNIIRLHDIVEVPGKIHLVLEYCKGGDLSMYITHHGCVPEATAKHFMQQLAVGLQVLRDNNLIHRDLKPQNLLLSTNECNSVLKIADFGFARSLQPWGLAETLCGSPLYMAPEIMQLQCCRFLIACVILGLLVFCTYLKLLPTNFDFNTQADLWSVGAILFQLVTGKTPFTGNNQIQLLQNIVKSTELLFPVENNYLTADCKDLCLKLLRRNPAERLTFQEFFNHPFLSQGQPNEPLRSQGILGNGYPFFESSPGSADESFQEDCLPFFLDDDSSGPEGSPSFEKKRSSMKSGYGFSPNAKDTGEQTSNPLNKVNFTSKYSGTRHKLDDPSFRHESSKVSGETLHEPHKSMDQRSTSTHSRVGDTLELNDQDYVLVSGPPMDVSFSASTSKPNHVPYKLESPPLIPFTSNTTSTAPVPIVAATNINVYHVGSLESQSSAPGTSPGSIDVGDALEQPSSHCIPRIKSLQQCASAITELVHEKTEAGRHLEAFSIQLVILAIWKQALHICHTQAASAMEGSPSQETSRLRSSTSKKRGTSDTEEYPEDISSEIEREFLQEVEHAEELSNVIEPGSTEMPDAMETIFQAALALGRRGGVDELMGEMESAANLYSNAVCLLIFLLVEAPSLILNPPFSLTNSDRYRLRTYIDFLRNRQGYSRSQRMALLSSKDQA >KJB11203 pep chromosome:Graimondii2_0_v6:1:49294136:49300017:1 gene:B456_001G247200 transcript:KJB11203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGKIHLVLEYCKGGDLSMYITHHGCVPEATAKHFMQQLAVGLQVLRDNNLIHRDLKPQNLLLSTNECNSVLKIADFGFARSLQPWGLAETLCGSPLYMAPEIMQLQCCRFLIACVILGLLVFCTYLKLLPTNFDFNTQADLWSVGAILFQLVTGKTPFTGNNQIQLLQNIVKSTELLFPVENNYLTADCKDLCLKLLRRNPAERLTFQEFFNHPFLSQGQPNEPLRSQGILGNGYPFFESSPGSADESFQEDCLPFFLDDDSSGPEGSPSFEKKRSSMKSGYGFSPNAKDTGEQTSNPLNKVNFTSKYSGTRHKLDDPSFRHESSKVSGETLHEPHKSMDQRSTSTHSRVGDTLELNDQDYVLVSGPPMDVSFSASTSKPNHVPYKLESPPLIPFTSNTTSTAPVPIVAATNINVYHVGSLESQSSAPGTSPGSIDVGDALEQPSSHCIPRIKSLQQCASAITELVHEKTEAGRHLEAFSIQLVILAIWKQALHICHTQAASAMEGSPSQETSRLRSSTSKKRGTSDTEEYPEDISSEIEREFLQEVEHAEELSNVIEPGSTEMPDAMETIFQAALALGRRGGVDELMGEMESAANLYSNAVCLLIFLLVEAPSLILNPPFSLTNSDRYRLRTYIDFLRNRQGYSRSQRMALLSSKDQA >KJB07041 pep chromosome:Graimondii2_0_v6:1:2025617:2027988:1 gene:B456_001G021200 transcript:KJB07041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSTRAIANSAQALDLIPIVNSITSLLQTLNPRNPIPINLTSSPLSRFSPFLDPNLVTLVIHKQTNPFHALFFFNWASNPNPNPNNYSHNHTCYEAITDLLLRHSLFHRTVKLLEKSQKLSDFFVGKIIKAYGDRGNIKAAIFWFNKAKSFEKDKYFYSFNSILGVLVKANLIDLVETLFDNVVKEGVVQPDVSSYTILMRGLCKKGMVESARKVLDEMPCKPNLIAYNTLINGYCKNGDLESASLVFDTILTEADSLPDVVTYTTLIDGYCRKGEFVEAKRCLNMMMKAGCSPNVVTYNALIYALCLKGEVDEAKKMITEMRLNGVKDNTATHLNILKGLAVAGRSLEALEYFKWMAGCNMNLDAKAYIVVVKEYCKLRKIDEAIFLLKGMCERGFSHNMPQMGCMPNSVSYRTVICGLCGTEGRMREVGYLVDDMLRYGIPVDATMYGCMLEGYSRAGNEDMAMQVFNEMIGKSYIISSESFSVFVKMLCAEGMIEKAENFFEDICRTFPVVERDGYRKILDKHLQITQDSSKENSREENS >KJB07040 pep chromosome:Graimondii2_0_v6:1:2025553:2028198:1 gene:B456_001G021200 transcript:KJB07040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSTRAIANSAQALDLIPIVNSITSLLQTLNPRNPIPINLTSSPLSRFSPFLDPNLVTLVIHKQTNPFHALFFFNWASNPNPNPNNYSHNHTCYEAITDLLLRHSLFHRTVKLLEKSQKLSDFFVGKIIKAYGDRGNIKAAIFWFNKAKSFEKDKYFYSFNSILGVLVKANLIDLVETLFDNVVKEGVVQPDVSSYTILMRGLCKKGMVESARKVLDEMPCKPNLIAYNTLINGYCKNGDLESASLVFDTILTEADSLPDVVTYTTLIDGYCRKGEFVEAKRCLNMMMKAGCSPNVVTYNALIYALCLKGEVDEAKKMITEMRLNGVKDNTATHLNILKGLAVAGRSLEALEYFKWMAGCNMNLDAKAYIVVVKEYCKLRKIDEAIFLLKGMCERGFSHNVSCFNSMFRALVELNALDRAVLLLKQMPQMGCMPNSVSYRTVICGLCGTEGRMREVGYLVDDMLRYGIPVDATMYGCMLEGYSRAGNEDMAMQVFNEMIGKSYIISSESFSVFVKMLCAEGMIEKAENFFEDICRTFPVVERDGYRKILDKHLQITQDSSKENSREENS >KJB06218 pep chromosome:Graimondii2_0_v6:1:27600769:27603619:-1 gene:B456_001G180100 transcript:KJB06218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEREQLSVREGGYLCLASPTHDEEAAAKAAAINYDSGAPTIFDKIIAKEIPSTIVYEDDKVLAFKDISPQAPVHVLVIPKFRDGLTRLGKAEQRHGEILGQLLLLDAPLLKLSYPSSLASSQPAQPSLL >KJB10796 pep chromosome:Graimondii2_0_v6:1:45800697:45801938:-1 gene:B456_001G225000 transcript:KJB10796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVWKNHKVVFSLLLVTILLSMATSGSLLAEAKIPNFLAIQESLKRGIQRRNLKPLPPGGGTPPAGYK >KJB09907 pep chromosome:Graimondii2_0_v6:1:25429181:25430733:1 gene:B456_001G174200 transcript:KJB09907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEPASQPANQAVELGTPQPWVVCTAEGCKGISASALPYKRTPPSWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >KJB09906 pep chromosome:Graimondii2_0_v6:1:25429099:25430733:1 gene:B456_001G174200 transcript:KJB09906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >KJB10488 pep chromosome:Graimondii2_0_v6:1:39675827:39678742:-1 gene:B456_001G203900 transcript:KJB10488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIVFSLFLWATLVVSVSSDLASDRAALLALRAAVGGRIRLWNLSSSPCSWTGVNCVQNRVVELRLPGMGLSGQLPIAIGNLTQLQTLSLRFNALSGSIPSDFAKLTTLRNLYLQGNGFSGQIPGFLFTLQNLIRLNLANNNFSGTIPESVNNSTRLGTLFLENNHLSGSIPDIELPSLVQLNVSFNQLNGSIPKGLSGKPKSAFQGNSLCGKPLVSCDGTESSGSKLSGGAIAGIVIGCVLGVLLVLILLICLCRREGGKKTKTKDIAPAKLAEIEIPADKAAGESDNKNGGALSGVVKNDAKSSGNKKLVFFGNAPRVFDLEDLLRASAEVLGKGTFGTAYKATLDMGVVVAVKRLKDVVVSEKEFKEKMEVVGAMDHQNLVPLRAYYFSADEKLLVYDYMSTGSLSALLHGNKGAGRTPLNWDTRSSIALGAAKGIAYLHSKGPGISHGNIKSSNILLTTSYEARVSDFGLAQLSGPTSTPNRVDGYRAPEVTDARKVSQKADVYSFGVLLLELLTGKAPTHALTNEEGVDLPRWVQSVVREEWTAEVFDLELLRYQNVEEDMVQLLQLAINCTAQYPDKRPAMDEVTSQIEELCRSSDQRT >KJB11045 pep chromosome:Graimondii2_0_v6:1:47616336:47618806:1 gene:B456_001G238000 transcript:KJB11045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKPFPGPSCIRLYAYEDDEDFVDEGFAEKKGKDKGKGITECKYKGTSSAELKTFGGQQMLSAEQTIPRRDLSVAFKTYKRQLSNYQRLQLANNYCKAAYLEVMSLAKEAGTWVRAKPRLGNLDDLVNEFRTPPLPGRKMEVSVATAVIPDVLASEMAEMMTLSMYAELQLPTTLVPTRYFEFLRYGKEIMDGIYIIVDVSSRYSDPFAKRNSERRPSGVIIREHGPEDCEIIWIENVEVDETRENLYSTIIGSNLAYGAHRWVTTLLWNLKRDKSSFSDLKIDVHPGAGSFLLALTQAMKRFFMECVSQHPDEAALTVITSGEDPIRILHNKKLTEYISFVGVNSFRVQAKPLSVFQFLMKKDLQLEGIVYGLQEASMDEYCSFILSKTLTEDTVNAHIVCGNKSIYEDSKSRMANITPSGFAIMPDGPGGLHCDASLVTFLVQLYYDPLGNPVDVEFVRKDFLSDLNNIIRELNEKVVGEKMTGIEIIHLTQGKASYI >KJB09516 pep chromosome:Graimondii2_0_v6:1:20233246:20234589:-1 gene:B456_001G147500 transcript:KJB09516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKFISMIVTGSGRGATYSHVVRTGVPKAHGGRRNRGLIQIPFLLIVLGTVGLGGLNATRAYRKQKEAYPSHNPFLP >KJB10203 pep chromosome:Graimondii2_0_v6:1:30430840:30433911:-1 gene:B456_001G188700 transcript:KJB10203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRKSIAKLPCTYPALNTASFISSYNQTTPQDIAHRASFLINQPNWKTNETLKSFVSHMNPTLAAQVILLQNHHFSLALRFFQWVCQHSTYCYDITSRIHLLKLLVSSNSFRIAHKVVIELIKTCSSNENDLLKLMEALDEMRETSFRLNYPCYSILLMSIAKFSMGFLAFLVYRRMIAEGFALSAIDYGMIINALSKVGFVCQAEMFMSQSLKLGFGLGTHICTSLVLGYCRKKDLSEAFRVLDVMSKSGGCEANSVTYSILIHGLCEIGKVEEAFALKEGMKEKGCQPSIRTYTVLIKALEGKIKEANGMFRQMEKGGVYPGIVTYNALINGYCKEGKIVSAFELLSLMEKRNCKPNIRTYNELIEGLCRVNRPYKAMILLGRVVNNGLLPNCLSYNILINGFCREGHFDMAFKIFELMNSFGVDTDAYSFTAMIDGLCKQGRLKLANGLLGKMIKKGIEQDEVTFTALMDGFCKSGNTGGASKLLKMMIENGCLKTCHAFNSILHVLSKECELVKQYALFGKILKHGLAPSVVTYTILVGALFQADKVDQSLSVIELMKQVGCPPGVYTYNVMVNGLCQFGRVEVAERILDSMSDLGVSPNHVTYTILVKAHVYAGRLDRALEIVSVMVKNGFQPNSRVYSALLAGFISSNKTTEVAHSRSISPLYVWSPSTAENYDECVSSNILKEMDLEHAFKLRVEIEKFGGSVLDFYNFLIVGLCEVGRIVDAEHLTKDILRQGSYPEKACFSVIDWHSRNSNCNECLKFLDLILSNGFIPSFASYSSVIHCMHTKGNIKEAQRLLSDLMKYNNIGDAKAALPHIEFLVNTDEPEKCIDLLKLIEQMVNRERPVI >KJB07215 pep chromosome:Graimondii2_0_v6:1:780731:782666:1 gene:B456_001G008300 transcript:KJB07215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYLLFVSPTLYLYLMVQNMEPRCSIPLPQAGFIKDIVWRRAAKYNFLVLTKDGKLFHGKFPDPSLTELMDGIDAVESSDIGDIVVAKQNKITVFSSDLNENIRVVAWGKILTLGSPLIIIGIAR >KJB07216 pep chromosome:Graimondii2_0_v6:1:780977:782632:1 gene:B456_001G008300 transcript:KJB07216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYLLFVSPTLYLYLMVQNMEPRCSIPLPQAGFIKDIVWRRAAKYNFLVLTKDGKLFHGKFPDPSLTELMDGIDAVESSDIGDIVVAKQNKITVFSSDLNENIRVVAWGKILTLGSPLIIIGIAR >KJB11429 pep chromosome:Graimondii2_0_v6:1:53282544:53287141:-1 gene:B456_001G258500 transcript:KJB11429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKRKANMADKKMSSKDIGSIVKKNKNGSISSIFMHADGVDMCLMAVGFIGAVADGTVAPLIIYLTGRMFNSVGGANEASSVGILVHNVRQVALYVVLSACGGWAGSFLEGFCWTRTGERQATRMRTRYLKAVLRQDVGYFDLNVTSTAEVVTSVSNDSLIIQEVISEKVPNLIARGSTFIGTYIAAFLILWRLALVIFPSVLLLVVPSLIYGKILLGLARKIRVEYNKASTIVEQAISSIRTVYAFVGENKTTTEFSEALQGSLKLGLRQGLAKGLAIGSNGITFAIWAFITYYGSRMVMYHGAQGGTIFIVGTCIATGGQQLGTSLSNLKPLSEARSAAERINEVIRRTPKIDADNMEGEILDNILGEIEFKQVEFAYPSRPESIIFKNFCLKIPAGKTVALVGSSGSGKSTVISLLERFYDPLEGEILVDGVSINKLQLKWLRSQMGLVSQEPTLFATTIKENILFGKEDAGMEEVIEAAKASNAHNFISQLPQGYDTQVGERGVQMSGGQKQQIAIARAMIKAPKILLLDEATSALDSESERIVQQALNEASVGRTTIIVAHRLSTIRHADLIVVVKDGQVMEMGSHDELMVNENGFYPMLVQLQQTEQVKVQNKGNKDLSTNNSAYITNTEINITSSRRLSLPSRTSSANSVAPDHASLVGEMNVEDKKTSAPSFRRLLALTLPEWKQATLGCLSAILFGAVQPLSAFTMASMISIFFLTDHGEIKEKIKVYALSFLGLSLFSLIINIIQHYNFSYMGEHLTKRIREKMLSKVLTFEVGWFDQDENSSGTVCARLEKDATVVRSLFGDGVSLLVQTISGVTIACAMGLFIAWRLAIVMIVVQPLIILSMYTRMVILKKMSVKARKAQEESSKLAAEAVSNHRTITAFSSQDQIMKMLQAAQEVPRKENVRQSWFAGLGLGSAQFLTACIMAFDFWYGGKLISQGYITAKALIETFLILVSTGLVIAQAASMTSDMAKSAEVVRSLFAILDRCTRIEPDESSGYLAQEITGCVEICDVEFAYPARPNVSILKDFSISIEAGKSTALVGQSGSGKSTVISLIERFYDPVKGVVKIDGRDIKSYNLRSLRKHIALVSQEPTLFSGTIRENILYGAPDKIDESEIIEAAKAANAHDFIAGLVDGYDTRCGDRGVQLSGGQKQRIAIARAILRNPAMLLLDEATSALDSKSEKVVQEALERVMVGRTSVVVAHRLSTIKNCDLIVVLDKGKVVEKGNHSSLLAKGPTGAYYSLINLQSRAHNST >KJB09966 pep chromosome:Graimondii2_0_v6:1:26960353:26963724:1 gene:B456_001G178500 transcript:KJB09966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPPSLDLPSPPPKNPQHERVSETTLFNLFKAQQGHLNYFFQNLDLSQALSFTETLLNSRGTIFFSGVGKSGFVANKISQTLVSLGIRSAFLSPLDALHGDIGALSAADILVLFSKSGSTEELLRLIPCARAKGVYLISVTSVPKNALANACDMNVHLPLERELCPFDLAPVTSTAIQMVFGDTVAIALMGARNLTKDQYAANHPAGRIGKSLIFKVKDVMKKQDELPVCKEGDLIMDQLVELTRKGCGCLLVIDEEYHLLGTFTDGDLRRTLKASGEAIFKLTVGEMCNRKPRTIGPDAMAVEAMQKMESPPSAVQFLPVIDRQNTLIGIVTLHGLVSAGL >KJB09965 pep chromosome:Graimondii2_0_v6:1:26960353:26963724:1 gene:B456_001G178500 transcript:KJB09965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPPSLDLPSPPPKNPQHERVSETTLFNLFKAQQGHLNYFFQNLDLSQALSFTETLLNSRGTIFFSGVGKSGFVANKISQTLVSLGIRSAFLSPLDALHGDIGALSAADILVLFSKSGSTEELLRLIPCARAKGVYLISVTSVPKNALANACDMNVHLPLERELCPFDLAPVTSTAIQMVFGDTVAIALMGARNLTKDQYAANHPAGRIGKSLIFKVKDVMKKQDELPVCKEGDLIMDQLVELTRKGCGCLLVIDEEYHLLGTFTDGDLRRTLKASGEAIFKLTVGEMCNRKPRTIGPDAMAVEAMQKMESPPSAVQFLPVIDRQNTLIGIVTLHGLVSAGL >KJB07268 pep chromosome:Graimondii2_0_v6:1:1151966:1153731:1 gene:B456_001G012100 transcript:KJB07268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFIASWLTPTSLFLFLNVVIGTIFLISNLFPPKPPPHDRGEYYSAPPLQRSPSLLDREDNNLEPHPLERAPSILERVKSINLSLYKYPPQNPDPVYVEPSLTRAPSLLQRVTSFCRSDSGKLNTGTVHNPETDEPGSTKPEHNNNNNNVKQMKKSASEKTRERAEEDEEEVEKRRPVTTRIEKTTSFGDGDDQGVDAKADDFINRFKQQLKLQRLDSLLRYRDMLKSRSSN >KJB07267 pep chromosome:Graimondii2_0_v6:1:1151606:1153762:1 gene:B456_001G012100 transcript:KJB07267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFIASWLTPTSLFLFLNVVIGTIFLISNLFPPKPPPHDRGEYYSAPPLQRSPSLLDRVKSVNFSTFKFSLPNPDFADDYHLRQEDNNLEPHPLERAPSILERVKSINLSLYKYPPQNPDPVYVEPSLTRAPSLLQRVTSFCRSDSGKLNTGTVHNPETDEPGSTKPEHNNNNNNVKQMKKSASEKTRERAEEDEEEVEKRRPVTTRIEKTTSFGDGDDQGVDAKADDFINRFKQQLKLQRLDSLLRYRDMLKSRSSN >KJB06654 pep chromosome:Graimondii2_0_v6:1:3603027:3607420:-1 gene:B456_001G038400 transcript:KJB06654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACYYGRCCKVVAKFCAKYLHQQVLKHEAYAAGDIGTSLQEAFFRMDEMMRGQRGWRELAILGDKINKFTGMIEGLIWSPRGGDSNDQVDNWAFEEGPHSDFPGPTSGSTACVAVIRNNQLIVANAGDSRCVISRKGQAYNLSRDHKPDLEVEKERILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLSAEKQIVTANPDINTIELCDDDEFMVLACDGIWDCMSSQTLVDFIHDQLKSESKLSVVCERVLDKCLAPSTATGEGCDNMTMILVQFKKPIKSTSSAEEQSSNSESTETEPKLEGNAEK >KJB06653 pep chromosome:Graimondii2_0_v6:1:3603027:3606037:-1 gene:B456_001G038400 transcript:KJB06653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMMRGQRGWRELAILGDKINKFTGMIEGLIWSPRGGDSNDQVDNWAFEEGPHSDFPGPTSGSTACVAVIRNNQLIVANAGDSRCVISRKGQAYNLSRDHKPDLEVEKERILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLSAEKQIVTANPDINTIELCDDDEFMVLACDGIWDCMSSQTLVDFIHDQLKSESKLSVVCERVLDKCLAPSTATGEGCDNMTMILVQFKKPIKSTSSAEEQSSNSESTETEPKLEGNAEK >KJB06651 pep chromosome:Graimondii2_0_v6:1:3602999:3607604:-1 gene:B456_001G038400 transcript:KJB06651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLSTPKTEKFSEDGENDRVRYGLSSMQGWRATMEDAHAAYPDLDASTSFFGVYDGHGGKVVAKFCAKYLHQQVLKHEAYAAGDIGTSLQEAFFRMDEMMRGQRGWRELAILGDKINKFTGMIEGLIWSPRGGDSNDQVDNWAFEEGPHSDFPGPTSGSTACVAVIRNNQLIVANAGDSRCVISRKGQAYNLSRDHKPDLEVEKERILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLSAEKQIVTANPDINTIELCDDDEFMVLACDGIWDCMSSQTLVDFIHDQLKSESKLSVVCERVLDKCLAPSTATGEGCDNMTMILVQFKKPIKSTSSAEEQSSNSESTETEPKLEGNAEK >KJB06652 pep chromosome:Graimondii2_0_v6:1:3603027:3605864:-1 gene:B456_001G038400 transcript:KJB06652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMMRGQRGWRELAILGDKINKFTGMIEGLIWSPRGGDSNDQVDNWAFEEGPHSDFPGPTSGSTACVAVIRNNQLIVANAGDSRCVISRKGQAYNLSRDHKPDLEVEKERILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLSAEKQIVTANPDINTIELCDDDEFMVLACDGIWDCMSSQTLVDFIHDQLKSESKLSVVCERVLDKCLAPSTATGEGCDNMTMILVQFKKPIKSTSSAEEQSSNSESTETEPKLEGNAEK >KJB09874 pep chromosome:Graimondii2_0_v6:1:24654787:24662035:-1 gene:B456_001G172000 transcript:KJB09874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTEEAKLEHFLQWLQVNGAQFRGCNIKYCDSTKGFGIYSTNGSPEDGVLLVVPLDLAITPMRVLQDPLIGAECRAMFEEGEVDDRFLMILFLIVERLRKNSSWKPYLDMLPTTFGNPVWFTDDELLELRGTTLYRATELRKKDLMSVYEDKVKELVKKLLVLDGDSESEVCFEDFLWANSIFWSRALNLPLPHSYVFPQIQDDVGTTCPVDKNSEGSTSHSYSEEPINEIDGKRFEAHGNDSKVNGVTSTSKQEETVWVEGLLPGIDFCNHDLKAVATWEVDGTGSITQIPLSMYLISALQSPLPVDKEVSISYGNKGNEELLYLYGFVVDNNPDDYLMIHYPGEAIQNISFSDFKGQLLVAQKAAMRCLLPKNLLDHGFFPTGSSNCKANNTSEADDRICNFSWSGHRKTPSYLSKLVFPEDFMTALRTIAMKDEEVSKVSAMLEELVGSEGERQPSETEIRTAVWEACGDSGALQLLVDLLQKKMMDLEDSSGTEDSDSELLENACIIGNAEQHTSKEANNLVQQKLMSRNRWCSIVYRRGQKELTRLFLKEAEHALQLSLSEGN >KJB09875 pep chromosome:Graimondii2_0_v6:1:24655056:24661945:-1 gene:B456_001G172000 transcript:KJB09875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTEEAKLEHFLQWLQVNGAQFRGCNIKYCDSTKGFGIYSTNGSPEDGVLLVVPLDLAITPMRVLQDPLIGAECRAMFEEGEVDDRFLMILFLIVERLRKNSSWKPYLDMLPTTFGNPVWFTDDELLELRGTTLYRATELRKKDLMSVYEDKVKELVKKLLVLDGDSESEVCFEDFLWANSIFWSRALNLPLPHSYVFPQIQDDVGTTCPVDKNSEGSTSHSYSEEPINEIDGKRFEAHGNDSKVNGVTSTSKQEETVWVEGLLPGIDFCNHDLKAVATWEVDGTGSITQIPLSMYLISALQSPLPVDKEVSISYGNKGNEELLYLYGFVVDNNPDDYLMIHYPGEAIQNISFSDFKGQLLVAQKAAMRCLLPKNLLDHGFFPTGSSNCKANNTSEADDRICNFSWSGHRKTPSYLSKLVFPEDFMTALRTIAMKDEEVSKVSAMLEELVGSEGERQPSETEIRTAVWEACGDSGALQLLVDLLQKKMMDLEDSSGTEDSDSELLENACIIGNAEQHTSKEANNLVQQKLMSRNRWCSIVYRRGQKELTRLFLKEAEHALQLSLSEGN >KJB07590 pep chromosome:Graimondii2_0_v6:1:2921413:2923314:-1 gene:B456_001G031500 transcript:KJB07590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPKSESIHIREVWDENLNCEFELIREIVDDYPFIAMDTEFPGIVLRPIGNFKSSFDYNYQTLKANVDLLKLIQLGLTFSDAKGNLPTCGTDKYCVWQFNFREFDPNEDVYANDSIELLSQSGIDFQKNKEKGISAHDFSELLMSSGIVLNDNVHWVTFHSGYDFGYLLKLLTCKNLPETQTGFFSLIKIYFPTLYDIKHLMKFCNSLHGGLNKLAELLEVERIGICHQAGSDSLLTCCTFMKLKENFFSGSAEKYAGVLYGLGVENGQNTL >KJB08133 pep chromosome:Graimondii2_0_v6:1:6798461:6800853:-1 gene:B456_001G067800 transcript:KJB08133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRYFIFVPSPNVAEGHQHKNAFLMADVAGSRVITEDELDSTTLGLAICEILGDERLLAEMSQRALNAAKPDASAEIAKHILSLVKENS >KJB08134 pep chromosome:Graimondii2_0_v6:1:6798608:6806840:-1 gene:B456_001G067800 transcript:KJB08134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVPSPNVAEGHQHKNAFLMADVAGSRVITEDELDSTTLGLAICEILGDERLLAEMSQRALNAAKPDASAEIAKHILSLVKENS >KJB09462 pep chromosome:Graimondii2_0_v6:1:19577395:19579534:-1 gene:B456_001G144000 transcript:KJB09462 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47420) UniProtKB/TrEMBL;Acc:A0A178VWJ8] MAGGKMKKDKQKGPRAPSNHYQGGVTFHKSKGQHILKNPLLVDAIVQKAGIKPTDTILEIGPGTGNLTKKLLEAGKMVIAVELDPRMVLELQRRFQGTPFSNRLKVIQGDVLKTDLPYFDICVANIPYQISSPLTFKLLFHQPAFRCAIIMFQREFAMRLVAQPGDNLYCRLSVNTQFYARVSHLLKVGKNNFRPPPKVDSSVVRIEPRKPRPEVNHKEWDGFIRICFIRKNKTLGSIFKQKNVLSLLEKNYKTLQALQGPQNVSLSGNDDMEIARLGDESMEMDDGMDDDMDMECNEAEGEGEVSEFKNKVISVLKEGKFEEQRASKLSQESFLTLLSMFNMAGIHFS >KJB09761 pep chromosome:Graimondii2_0_v6:1:23375194:23375615:-1 gene:B456_001G162700 transcript:KJB09761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVWFGLLLYSSWPIFTLTHHLLVMYCAERVHPGQKFYKYAILGDDVVICGIEIAKIYKQTLAELGVDISMSKSLILHSGYAEFAKRFWCKGLTVDLSPVIALGWEEGK >KJB07872 pep chromosome:Graimondii2_0_v6:1:4716611:4720405:-1 gene:B456_001G049700 transcript:KJB07872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDGPSSGRRKVRFAPKAPQSSRKPKLAVAKSETSDEDEEAAQAQYLLGRFNENLTRQKPKVEKKSSVQIAFGPGAPSSTSLRSYGNKRAGRILDDSSSTSKEDCTDICSSDAIEAPAPRVKSEYREPWDYNRTYYPTTLPLRRPYSGDPELLDEAEFVETAKKEYDETTINPASDLGLLEEGDKQKMLFFQFPGNLPRIKQPVSKKGKEKAEHSVSSDRFGALKKGCRLEELPEGYVGKMLVYKSGAVKLKIGETLFDASTGEDCVFAQDVAAFNTASKQCCVIGEVGQRVVVTPDFSFL >KJB07873 pep chromosome:Graimondii2_0_v6:1:4716662:4720386:-1 gene:B456_001G049700 transcript:KJB07873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDGPSSGRRKVRFAPKAPQSSRKPKLAVAKSETSDEDEEAAQAQYLLGRFNENLTRQKPKVEKKSSVQIAFGPGAPSSTSLRSYGNKRAGRILDDSSSTSKEDCTDICSSDAIEAPAPRVKSEYREPWDYNRTYYPTTLPLRRPYSGDPELLDEAEFVETAKKEYDETTINPASDLGLLEGDKQKMLFFQFPGNLPRIKQPVSKKGKEKAEHSVSSDRFGALKKGCRLEELPEGYVGKMLVYKSGAVKLKIGETLFDASTGEDCVFAQDVAAFNTASKQCCVIGEVGQRVVVTPDFSFL >KJB11140 pep chromosome:Graimondii2_0_v6:1:48336804:48338741:1 gene:B456_001G242700 transcript:KJB11140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGQTLVSSRNMLFTVVSQNPKPNTKTLAFFPSPTPLNSSSVLAKRQRLPFRTLKCSVDYRDQTHNLQVSYPKPSEIPWSKDLCNTVHLIGNVGSPVEIKHLPSGKVLAWTRLAVKKSPTDTTWINLTFWDELANTAYQHVEKGQQIYVCGRLVSDTVESDDGKQQTYYKIVVQQINFVERNSPSMASNDRGFSGMSSRKLAIMVRIMWGLLWNYGKLFSPILLNGGIIGKTRGTQDIQILSTRILEKLYGLKVGILPIG >KJB11139 pep chromosome:Graimondii2_0_v6:1:48336754:48338783:1 gene:B456_001G242700 transcript:KJB11139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGQTLVSSRNMLFTVVSQNPKPNTKTLAFFPSPTPLNSSSVLAKRQRLPFRTLKCSVDYRDQTHNLQVSYPKPSEIPWSKDLCNTVHLIGNVGSPVEIKHLPSGKVLAWTRLAVKKSPTDTTWINLTFWDELANTAYQHVEKGQQIYVCGRLVSDTVESDDGKQQTYYKIVVQQINFVERNSPSMASNDRGFSGMSSNRKVGYNGENYVGSAMELWQAFFANPTEWWDNRKNKRNPRYPDFKHKDTGEALWIEGRNTPHWVRSQLEILDSRMSSLQDEDERMFSSMASDNLLPF >KJB10287 pep chromosome:Graimondii2_0_v6:1:33068543:33070268:1 gene:B456_001G194200 transcript:KJB10287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVLNLLLTMDLDDERVSKRRKTMLEDDRGVGQQTTTEMETLPHEIIVDILSRLPITSLVQFKFVCKGWRALAQDPLLADMQLSWKAPTTNPCLILHCDFPIRNQLYFVDLSVHNHNKDKVKRLYVPFQTSMPEFDVVGTCNGLLCLSDSLYNDALYVYNPFTMDFMELPKSRQYSDQEVVFGFGFHPKTKQYKVVKIVYYRNTSSSSYSRARRVVYPQSDVQVFTLGTSAWRSLGKVAYQLIRRPSEALVNGRLHWVSRPRRYYPARRLMSFDLEDEQFREVPKPDCGGLNRCNFHLCVLRGFLAAAVYGNYGKLEIWVMKDYNVKESWIKEFSIGAYMPKCLKQNLVRDRPLKIWKNPSNGKVVRLLCLLDNGEILLEYKNRVIVSYDPKKGKFTDLVFQGIPHWFQTVVHAGSFNWINSPS >KJB10662 pep chromosome:Graimondii2_0_v6:1:42975207:42978080:-1 gene:B456_001G214800 transcript:KJB10662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDASTPNRSPPPPTIQFPVNLNCSNDEIPRRVIGEMDFFAEKNHKLVDGHVDDSDHANATDINDSIDRTALELNVNTGLNLLTTNTSSDQSSVDDGISSNMEDKRAKNELAVLQVELERMNTENQQLREMLSEVTSNYNTVQKHLVTLMQQQQDGKPEKNDEQKKSNGGLIVPRQFMDLGLAAAAAAEVDTDEPSLSSTEGRSHDWSGSPNVEVASKEFRSRKRGSSEEGRGIGREDSPDGNKVPRFNSSKTVDQTEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSSAARMLLSGSMSSADGLMNSNFLTRTLLPCSSSMATISASAPFPTVTLDLTQTPNPLQFPRPEGQFQVPFPNPPPHNLPNSQLSPLPLLPQIFGQALYNQSKFSGLQMSHDIEQPQMSHQHQQGQQKSLADTVNAATAAIAANPNFTAALAAAITSIIGSSHSNNVANNNGANLTSATNSNGNVTTTTTTTSNSNSNGNNKISNSSNFAAN >KJB11738 pep chromosome:Graimondii2_0_v6:1:55253534:55260236:-1 gene:B456_001G275100 transcript:KJB11738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGAIECSAIMEKSNEEVSQLSVTQGDPPLVCPAEETEKGFYFLSNIDQNIAVVVRTICCFMSNENENENADKMIKDAISKVPVHYYPLVWRLTISSEGNLIVDCTGEGATLGKLVYDIPGAKNILEMPPLVAQVTKFQCGGFVLGLCMNHCMFDGIGAMKFVNSWGEVARENAMEDGVLEKCTTFEALSAFMWRARTKALNMLSDQAKPSLSPTLFITTWSRLSFHTIILDGEKPVLSGPVTLPEKELILFLSHEKERKSINFLLGLPVSAMKVFQEEMQV >KJB07274 pep chromosome:Graimondii2_0_v6:1:1191747:1195694:1 gene:B456_001G012400 transcript:KJB07274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVFNLDEASRAEFLHLLMQSTGCSYICLWSYSFLQQANGLVPGFAFKNNQPYIELRELELQNRAFHETQRQFYRVAGIKVCFQANTAAFMACRSGEIELGSSSVVQLNMEMEMRSFFSEDQLPQSTDQNRPSSSSSSLRSLSTSSPDSSLIFTVPTTHEPAPSSLQQATITPSSINDPRRAMQAFSQTGSSIPLPTLESENAAMTRAILAVLTSPSSSSSSSSTPFYRNQSLPYNNYRLNPKASAFKGYATGLGAGPATTPARASLRAQAMMKRAILFYRKFNLARREQLLRSRPGPTSNQLHHMMSERKRREKLNESFVALRSLLPSGTKRDKASVLTTAREYLVSLKAQIMELNRQKQLLEAPILPPKEGAAAIEVNDPSNERVNVRVIPVPESTSEERLADLRVTVRGERPIVDILIHLLEFLKLDRNVSLMSIEANTGVSEFGSVNLVSLRLRIQGDGWDESTFQEAVRRLITHLAQ >KJB07275 pep chromosome:Graimondii2_0_v6:1:1191747:1195694:1 gene:B456_001G012400 transcript:KJB07275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVFNLDEASRAEFLHLLMQSTGCSYICLWSYSFLQQANCLIGLDGFCNEENSQALGLFLEYKQLILRLENDNGLVPGFAFKNNQPYIELRELELQNRAFHETQRQFYRVAGIKVCFQANTAAFMACRSGEIELGSSSVVQLNMEMEMRSFFSEDQLPQSTDQNRPSSSSSSLRSLSTSSPDSSLIFTVPTTHEPAPSSLQQATITPSSINDPRRAMQAFSQTGSSIPLPTLESENAAMTRAILAVLTSPSSSSSSSSTPFYRNQSLPYNNYRLNPKASAFKGYATGLGAGPATTPARASLRAQAMMKRAILFYRKFNLARREQLLRSRPGPTSNQLHHMMSERKRREKLNESFVALRSLLPSGTKRDKASVLTTAREYLVSLKAQIMELNRQKQLLEAPILPPKEGAAAIEVNDPSNERVNVRVIPVPESTSEERLADLRVTVRGERPIVDILIHLLEFLKLDRNVSLMSIEANTGVSEFGSVNLVSLRLRIQGDGWDESTFQEAVRRLITHLAQ >KJB07731 pep chromosome:Graimondii2_0_v6:1:3819284:3821645:-1 gene:B456_001G041500 transcript:KJB07731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSFPLLQHGNKDVVFSDSGVKIVECSFSLKRVSLKANGFFASVNQAGMGFAISPNPQIPLQSTAKFHFASLVSISEPGYLVLDDELEIAERLVEKKKKKKSGFKFRIKIGNASLRKLMSGAVAGAVSRTAVAPLETIKTHLMVGSCGNSMAEVFDNITMSEGCKGLFRGNLVNVIRVAPSKAIELFAYETVKKQLTPEHGEHPKLPLPASFIAGAVAGVSSTLLTYPLELLKTRLTVNEDYKNLFDAVAKIVQAEGPGGLYKGLTPSVMGVVPYAASNYFAYDTLRNAYKKAFKKEEIGNVMTLLIGSAAGAISSTATYPLEVARKQMQAGAINGRQCHNMIQALTSIVEKEGMAGLYRGLGPSCMKLVPAAGISFMCYEACKRILAESEEKTV >KJB07730 pep chromosome:Graimondii2_0_v6:1:3819284:3821688:-1 gene:B456_001G041500 transcript:KJB07730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSFPLLQHGNKDVVFSDSGVKIVECSFSLKRVSLKANGFFASVNQAGMGFAISPNPQIPLQSTAKFHFASLVSISEPGYLVLDDELEIAERLVEKKKKKKSGFKFRIKIGNASLRKLMSGAVAGAVSRTAVAPLETIKTHLMVGSCGNSMAEVFDNITMSEGCKGLFRGNLVNVIRVAPSKAIELFAYETVKKQLTPEHGEHPKLPLPASFIAGAVAGVSSTLLTYPLELLKTRLTVNEDYKNLFDAVAKIVQAEGPGGLYKGLTPSVMGVVPYAASNYFAYDTLRNAYKKAFKKEEIGNVMTLLIGSAAGAISSTATYPLEVARKQMQAGAINGRQCHNMIQALTSIVEKEGMAGLYRGLGPSCMKLVPAAGISFMCYEACKRILAESEEKTV >KJB10178 pep chromosome:Graimondii2_0_v6:1:30044771:30046054:1 gene:B456_001G187700 transcript:KJB10178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSSEKVKAIWDKRLTEIFCDICIKEILKGNRPSTHFTKDGWLKIMTNFEKETGKGFSQRQLKNRWDALKKEWKAWKKLKGEDTDDWWESRLQVVPEAQKFRTSDIDSEFEEKLEQMFMGIVATGDKAWTPFSSTLRSEFFEDVNNKIPEENEEENMRNDVHILNDVHISNDVQIDGNGQKRKNPEISSSHFKTGRNKSSKQIGGAVRLSSQIEKLCNAVDNMSQAISSLTPVMDPYGIPQAIKVLDSMSEEVLEASPLYFFALKLLLNKDKRIMFLLINPKIRALWLKTEMEYN >KJB09166 pep chromosome:Graimondii2_0_v6:1:16298820:16305069:-1 gene:B456_001G128800 transcript:KJB09166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLRVTIVVATIFVLTFCRVATGQEPAAVGLGLGPSAEAPTADCFTNLLNLSDCLTFVEAGSNLTKPVKACCPELAGLVESSPQCLCNLLGKNATAVVGMDIDMKRALNLPTVCNVSTPPVTLCSVINRAPVAGPPQSEESVSPGGSPSNGNRNGAVIGLAFATAILHTLFGIY >KJB10591 pep chromosome:Graimondii2_0_v6:1:41520603:41520986:1 gene:B456_001G209300 transcript:KJB10591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNTIGWVTFYWHWKHITVWQGNFSHFNESSTYLMGWLRDYLWLNSSQLINGYNQFGMNSLSVWAWIFLFRHLVWATGFMFLISWRTYWQELIEILAWAHERIPLANLIRWRDKLVALSIVQARLVG >KJB10346 pep chromosome:Graimondii2_0_v6:1:34607186:34609210:-1 gene:B456_001G196900 transcript:KJB10346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSIIFIFLSLSLFSNNCNFFFQVHASKYNHGKVKFKLIHRHSPELGKMSGTTLGPPSSSRERIKQLIHSDTARLHAISHRLVPRRKNFQVETLRSSNLVELPMRSAADIGTGQYFVSFRIGSPPRKFIMIADTGSTVTWMKCKYKCKTCFDDRIHHHERIFNPKTSRTFIPIPCLSSMCKQDLARSFSLQKCHRSTSPCAYDFRYSDGTKVLGIFGNDTVIVRLTNGKKIKVPDVMIGCSETIFGNFHDIDGVMGLGFDQHSFAVKAAEKFGNKFSYCLVDHLSPSDLVNFLVFGEVDDSTLPKMQYTELLLGIVNPYYAVNVSGISIDGEMLAIPSYAWDLKSGGGFIVDSGSSLTHLVEPVFNQVIAAFQAPISKFKKLSLSVGPSEPEYCFGDVGYKESLMPKLEVHFADGAKLTPPVKSYVIDAAEGVKCLGFVPTRWPGPSVIGNILQQNHLWEFDLLNGKLGFASSSCTFDD >KJB11692 pep chromosome:Graimondii2_0_v6:1:54897185:54898394:-1 gene:B456_001G272100 transcript:KJB11692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRSHTMWEWLTWVFKRGNNDQCFSFCYALWWIWFSRNQLIHERNIIPGRALVLNIQRYESYRVQELTPTARIHFDAAYDSNTSSSASGLVGWDSRGVLIALKIVIHRNVSSPLAAEAHTCLEGVKLGISLRIHSMKLMGDSKTVIKKCQETSTNKSVIGAIIRDIQQKKSDFQDLIFQYIHRSENLDAHRIAKIAFEKGETIYLRGEELDSQNLASVGYRSRNPD >KJB09554 pep chromosome:Graimondii2_0_v6:1:20516863:20518024:1 gene:B456_001G149500 transcript:KJB09554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCFTATPPHIFTVFLLFFCIWVPITQSVPDDLGFLRLPSDGFINVDESEGVCARFTKPASCPVNCFRTEPVCGVNGVTYWCGCADAFCAGTRVAKLGFCEVGSGGSTSFSGQVLLLVHIVWLILLGFSVLCGLF >KJB10915 pep chromosome:Graimondii2_0_v6:1:46930651:46931247:1 gene:B456_001G232200 transcript:KJB10915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVLTINVGYCSTFTPTHPFFSQRTLCFPLTHLQHRGMLRQVLASTLAELGINPSSTACQRVLQEIMERGLRFVNGTLSRGYHCKALHLQSVILVGPRTTNQESLMTAAALAISESEFESDNYGMVAATESSVKEMLRRFKVEDGEQQDCMVCLEELGVGFEASRMPCSHIFHGDCIGKWLQQSHYCPICRFEMPTH >KJB10055 pep chromosome:Graimondii2_0_v6:1:27865015:27866569:1 gene:B456_001G182100 transcript:KJB10055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II protein Psb28, class 1 domain containing protein [Source: Projected from Oryza sativa (Os01g0938100)] MATLQSLALASPVSHSFLNQPRSLSGIPSWVAHRSANSQFNGQSLQVAQSRISPTRWNSKRCSPIVMMVKPKIQFIQGTDEQTTPDVRLTKSRDGTNGMAIFRFDQPSVFDSSSEVGDITGFYMIDEEGVLQSVDVNAKFVNGKPAGIEAKYIMRTPREWDRFMRFMERYSNENGLQFIKK >KJB08869 pep chromosome:Graimondii2_0_v6:1:12715914:12716636:1 gene:B456_001G109700 transcript:KJB08869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNLVIFAVILMFTTHTEMVAEARGPIISFRCHRSEDCGSVCAKCPTCTCLFHLCTCPTDPPWPLS >KJB08441 pep chromosome:Graimondii2_0_v6:1:8697271:8701709:1 gene:B456_001G082000 transcript:KJB08441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKGRIPPPHLRRPLPGPGMVHSDPFGPGIPPRPGPFAHFDMLPPPEIIEQKLAAQHVEMQRLGTENQRIAATHGTLRQELAAAQHELQILHAQIGAVTSEREQQMRSLTDKIARMEAELQAAEPVKVELQQAHSEAQNLVLAREELLSKVHQLNQDLQRAHVDVQQIPALMAELESLRQEYQHCRATFDYEKKLYNDHLESLQVMEKNYMTMTREVEKLRAELMNASNVDRRTVGQYGGATGNNENDASGHPVGQNAYEDSYGIHQRHGALPPAATGANAGAASVYGGTQSGSGPASMRSTYEMSRGPAYDLSRVSGYESQRVPAYNAQKGPSYDGQRTHVYDAQRGAGYDTQRGPVNDPSRGATYDAATRSLGMPHGQAAPLNNGPYGSATPPGRSGNAYEPPTRGGNPVRSLLL >KJB08442 pep chromosome:Graimondii2_0_v6:1:8697452:8701537:1 gene:B456_001G082000 transcript:KJB08442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKGRIPPPHLRRPLPGPGMVHSDPFGPGIPPRPGPFAHFDMLPPPEIIEQKLAAQHVEMQRLGTENQRIAATHGTLRQELAAAQHELQILHAQIGAVTSEREQQMRSLTDKIARMEAELQAAEPVKVELQQAHSEAQNLVLAREELLSKVHQLNQDLQRAHVDVQQIPALMAELESLRQEYQHCRATFDYEKKLYNDHLESLQVMEKNYMTMTREVEKLRAELMNASNVDRRTVGQYGGATGNNENDASGHPVGQNAYEDSYGIHQRHGALPPAATGANAGAASVYGGTQSGSGPASMRSTYEMSRGPAYDLSRVSGYESQRVPAYNAQKGPSYDGQRTHVYDAQRGAGYDTQRGPVNDPSRGATYDAATRSLGMPHGQAAPLNNGPYGSATPPGRSGNAYEPPTRGGNPVRR >KJB09738 pep chromosome:Graimondii2_0_v6:1:23242341:23244282:1 gene:B456_001G161400 transcript:KJB09738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AQLLSLIRAQCLRQIVVSVSVSSLVLWVKEEERNKNKLSMGKNDKDLLTLQREEESSLKKKDLMDKFVKIVDFLWETKSISKELTPEGKLKEVRKALLNSTFQFSSMYRSWIPKPNKPGQLRPITQPDKADLIVMDALPQKENIVFEDLFLTQSHGFRKGRGPRRKDNSELQIVFDPYNGLIERANNLT >KJB07033 pep chromosome:Graimondii2_0_v6:1:1986493:1989375:-1 gene:B456_001G020900 transcript:KJB07033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKKEEVLINVSNEETPKVSKESEVLTPKHTANKPPKVPNAGNESHLTRRRSFTKPKSRFGEQSYLIESDQMEETNLATQEQLGSPYRHSFNKASPNIRTDSSVSKTFSMTSNGEKEYEEIIKKVKLHKEKLKGVKPKVVFEVVVFLILLGCLIASLALDKLQKTQIWGLHIWKWCVLVMVIFCGMLVTNWFMHLVVFLIEINFLLRKKVLYFVHGLKKSVQVFIWLSLVLLTWTLLFLGIERSKTATKILDKVTWTLVSVLIGSFLWLLKTLLLKLLASNFHMNKFFDRIQESVFHHYILKTLSGTPFMEIDGFQRSPTHLTVSKNKKGKEAKAKNVIDMGKVHQLKREKVSSWHMKVLVDAITNSGLSTISNTLDESVYKESGEQADKEITNEEEAQYVAHQIFSNVVCHESNQNCHYIDEDDLLRFMIKEEVELVFPLFEGSSTGKIDRKSFTNWVIKVYQDRKTLAHALSDTKTAVKQLNKLVTVVLIIVTVVIWLLLMEIATTKVLLLLSSQLVVAAFMFGNTCKTIFEAIIFVFVMHPFDVGDRCVVDGVQVIV >KJB07031 pep chromosome:Graimondii2_0_v6:1:1988087:1989349:-1 gene:B456_001G020900 transcript:KJB07031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKKEEVLINVSNEETPKVSKESEVLTPKHTANKPPKVPNAGNESHLTRRRSFTKPKSRFGEQSYLIESDQMEETNLATQEQLGSPYRHSFNKASPNIRTDSSVSKTFSMTSNGEKEYEEIIKKVKLHKEKLKGVKPKVVFEVVVFLILLGCLIASLALDKLQKTQIWGLHIWKWCVLVMVIFCGMLVTNWFMHLVVFLIEINFLLRKKVLYFVHGLKKSVQVFIWLSLVLLTWTLLFLGIERSKTATKILDKVTWTLVSVLIGSFLWLLKTLLLKLLASNFHMNKFFDRIQESVFHHYILKTLSGTPFMEIDGFQRSPTHLTVSKNKKGKEAKAKNVIDMGKVHQLKREKVSSWHMKVLVDAITNSGLSTISNTLDESVYKESGEQADKEITNEEEAQYVAHQIFSNVVCHESNQNCQ >KJB07032 pep chromosome:Graimondii2_0_v6:1:1986493:1989375:-1 gene:B456_001G020900 transcript:KJB07032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKKEEVLINVSNEETPKVSKESEVLTPKHTANKPPKVPNAGNESHLTRRRSFTKPKSRFGEQSYLIESDQMEETNLATQEQLGSPYRHSFNKASPNIRTDSSVSKTFSMTSNGEKEYEEIIKKVKLHKEKLKGVKPKVVFEVVVFLILLGCLIASLALDKLQKTQIWGLHIWKWCVLVMVIFCGMLVTNWFMHLVVFLIEINFLLRKKVLYFVHGLKKSVQVFIWLSLVLLTWTLLFLGIERSKTATKILDKVTWTLVSVLIGSFLWLLKTLLLKLLASNFHMNKFFDRIQESVFHHYILKTLSGTPFMEIDGFQRSPTHLTVSKNKKGKEAKAKNVIDMGKVHQLKREKVSSWHMKVLVDAITNSGLSTISNTLDESVYKESGEQADKEITNEEEAQYVAHQIFSNVVCHESNQNCHYIDEDDLLRFMIKEEVELVFPLFEGSSTGKIDRKSFTNWVVRALI >KJB07030 pep chromosome:Graimondii2_0_v6:1:1986491:1990012:-1 gene:B456_001G020900 transcript:KJB07030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKKEEVLINVSNEETPKVSKESEVLTPKHTANKPPKVPNAGNESHLTRRRSFTKPKSRFGEQSYLIESDQMEETNLATQEQLGSPYRHSFNKASPNIRTDSSVSKTFSMTSNGEKEYEEIIKKVKLHKEKLKGVKPKVVFEVVVFLILLGCLIASLALDKLQKTQIWGLHIWKWCVLVMVIFCGMLVTNWFMHLVVFLIEINFLLRKKVLYFVHGLKKSVQVFIWLSLVLLTWTLLFLGIERSKTATKILDKVTWTLVSVLIGSFLWLLKTLLLKLLASNFHMNKFFDRIQESVFHHYILKTLSGTPFMEIDGFQRSPTHLTVSKNKKGKEAKAKNVIDMGKVHQLKREKVSSWHMKVLVDAITNSGLSTISNTLDESVYKESGEQADKEITNEEEAQYVAHQIFSNVVCHESNQNCHYIDEDDLLRFMIKEEVELVFPLFEGSSTGKIDRKSFTNWVIKVYQDRKTLAHALSDTKTAVKQLNKLVTVVLIIVTVVIWLLLMEIATTKVLLLLSSQLVVAAFMFGNTCKTIFEAIIFVFVMHPFDVGDRCVVDGVQLLVEEMNILTTVFLKLDNEKVYYPNSVLATKPISNYYRSPDMGDTIEFSIDFMTPAKTIGRLKEEIKKHLEANTLWHPGHLVVVKEIENVNKLKMALFCTHTMNFQDFREKNRRRTELVLALKRIFEELGIRYNLLPQHVNFNQVNQDRPGTTYAIN >KJB09603 pep chromosome:Graimondii2_0_v6:1:21202042:21208783:1 gene:B456_001G152000 transcript:KJB09603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKVSQTQVNETATSHATTTVTEVVPADPGGQTPLQSSNGAVNYVQSVKNTPARSSSQSPWPSPYPYGVTASPLPPGVSPSPARASRGSTPRRFFRRPFPPPSPAKHIKASLLKRLGGKPKEGTIPEDPGTEPEQALDKSFGYGKNFGAKYELGKEIGRGHFGHTCSARGKKGDLKDQPLAVKIISKAKMTTAISIEDVRREVKILKALSGHKHLVKFYDACEDANNVYIVMELCEGGELLDRILARGGRYTEEDAKAILVQILSVVSFCHLQGVVHRDLKPENFLFTSGGENADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRSDPNFDDMPWPSVSPEAKDFVKRLLNKDYRKRMTSVQALAHPWLRDDSRPIPLDILIYRLLKSYLHASPFKRAALKALSKALTEDELVYLRAQFRLLEPNRDGSLSLENFKMALAQNATEAMGESRVPDILNAMGLLAYRKMYFEEFCAAAVSTHQLEAVEGWEQIASAAFEHFEQEGNRVISIEELARVSECRPVCLLIPQRLDQNL >KJB09600 pep chromosome:Graimondii2_0_v6:1:21201815:21208823:1 gene:B456_001G152000 transcript:KJB09600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKVSQTQVNETATSHATTTVTEVVPADPGGQTPLQSSNGAVNYVQSVKNTPARSSSQSPWPSPYPYGVTASPLPPGVSPSPARASRGSTPRRFFRRPFPPPSPAKHIKASLLKRLGGKPKEGTIPEDPGTEPEQALDKSFGYGKNFGAKYELGKEIGRGHFGHTCSARGKKGDLKDQPLAVKIISKAKMTTAISIEDVRREVKILKALSGHKHLVKFYDACEDANNVYIVMELCEGGELLDRILARGGRYTEEDAKAILVQILSVVSFCHLQGVVHRDLKPENFLFTSGGENADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRSDPNFDDMPWPSVSPEAKDFVKRLLNKDYRKRMTSVQALAHPWLRDDSRPIPLDILIYRLLKSYLHASPFKRAALKALSKALTEDELVYLRAQFRLLEPNRDGSLSLENFKMALAQNATEAMGESRVPDILNAMGLLAYRKMYFEEFCAAAVSTHQLEAVEGWEQIASAAFEHFEQEGNRVISIEELARELNVGPSAYSFLKDWIRISDGKLNLLGYKKILHGVTFRSSNTRHH >KJB09598 pep chromosome:Graimondii2_0_v6:1:21201676:21208823:1 gene:B456_001G152000 transcript:KJB09598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKVSQTQVNETATSHATTTVTEVVPADPGGQTPLQSSNGAVNYVQSVKNTPARSSSQSPWPSPYPYGVTASPLPPGVSPSPARASRGSTPRRFFRRPFPPPSPAKHIKASLLKRLGGKPKEGTIPEDPGTEPEQALDKSFGYGKNFGAKYELGKEIGRGHFGHTCSARGKKGDLKDQPLAVKIISKAKMTTAISIEDVRREVKILKALSGHKHLVKFYDACEDANNVYIVMELCEGGELLDRILARGGRYTEEDAKAILVQILSVVSFCHLQGVVHRDLKPENFLFTSGGENADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRSDPNFDDMPWPSVSPEAKDFVKRLLNKDYRKRMTSVQALAHPWLRDDSRPIPLDILIYRLLKSYLHASPFKRAALKALSKALTEDELVYLRAQFRLLEPNRDGSLSLENFKMALAQNATEAMGESRVPDILNAMGLLAYRKMYFEEFCAAAVSTHQLEAVEGWEQIASAAFEHFEQEGNRVISIEELARELNVGPSAYSFLKDWIRISDGKLNLLGYKKILHGVTFRSSNTRHH >KJB09599 pep chromosome:Graimondii2_0_v6:1:21201619:21208823:1 gene:B456_001G152000 transcript:KJB09599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKVSQTQVNETATSHATTTVTEVVPADPGGQTPLQSSNGAVNYVQSVKNTPARSSSQSPWPSPYPYGVTASPLPPGVSPSPARASRGSTPRRFFRRPFPPPSPAKHIKASLLKRLGGKPKEGTIPEDPGTEPEQALDKSFGYGKNFGAKYELGKEIGRGHFGHTCSARGKKGDLKDQPLAVKIISKAKMTTAISIEDVRREVKILKALSGHKHLVKFYDACEDANNVYIVMELCEGGELLDRILARGGRYTEEDAKAILVQILSVVSFCHLQGVVHRDLKPENFLFTSGGENADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRSDPNFDDMPWPSVSPEAKDFVKRLLNKDYRKRMTSVQALAHPWLRDDSRPIPLDILIYRLLKSYLHASPFKRAALKALSKALTEDELVYLRAQFRLLEPNRDGSLSLENFKMALAQNATEAMGESRVPDILNAMGLLAYRKMYFEEFCAAAVSTHQLEAVEGWEQIASAAFEHFEQEGNRVISIEELARELNVGPSAYSFLKDWIRISDGKLNLLGYKKILHGVTFRSSNTRHH >KJB09602 pep chromosome:Graimondii2_0_v6:1:21202042:21207934:1 gene:B456_001G152000 transcript:KJB09602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKVSQTQVNETATSHATTTVTEVVPADPGGQTPLQSSNGAVNYVQSVKNTPARSSSQSPWPSPYPYGVTASPLPPGVSPSPARASRGSTPRRFFRRPFPPPSPAKHIKASLLKRLGGKPKEGTIPEDPGTEPEQALDKSFGYGKNFGAKYELGKEIGRGHFGHTCSARGKKGDLKDQPLAVKIISKAKMTTAISIEDVRREVKILKALSGHKHLVKFYDACEDANNVYIVMELCEGGELLDRILARGGRYTEEDAKAILVQILSVVSFCHLQGVVHRDLKPENFLFTSGGENADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRSDPNFDDMPWPSVSPEAKDFVKRLLNKDYRKRMTSVQALAHPWLRDDSRPIPLDILIYRLLKSYLHASPFKRAALKALSKALTEDELVYLRAQFRLLEPNRDGSLSLENFKMALAQNATEAMGESRVPDILNAHS >KJB09601 pep chromosome:Graimondii2_0_v6:1:21202042:21207563:1 gene:B456_001G152000 transcript:KJB09601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKVSQTQVNETATSHATTTVTEVVPADPGGQTPLQSSNGAVNYVQSVKNTPARSSSQSPWPSPYPYGVTASPLPPGVSPSPARASRGSTPRRFFRRPFPPPSPAKHIKASLLKRLGGKPKEGTIPEDPGTEPEQALDKSFGYGKNFGAKYELGKEIGRGHFGHTCSARGKKGDLKDQPLAVKIISKAKMTTAISIEDVRREVKILKALSGHKHLVKFYDACEDANNVYIVMELCEGGELLDRILARGGRYTEEDAKAILVQILSVVSFCHLQGVVHRDLKPENFLFTSGGENADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRSDPNFDDMPWPSVSPEAKDFVKRLLNKDYRKRMTSVQALAHPWLRDDSRPIPLDILIYRLLKSYLHASPFKRAALKALSKALTEDELVYLRAQFRLLEPNRDGSLSLENFKMVIDYLFNPIYSSVKTNI >KJB09604 pep chromosome:Graimondii2_0_v6:1:21203203:21208823:1 gene:B456_001G152000 transcript:KJB09604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVILEDWKKTKMTTAISIEDVRREVKILKALSGHKHLVKFYDACEDANNVYIVMELCEGGELLDRILARGGRYTEEDAKAILVQILSVVSFCHLQGVVHRDLKPENFLFTSGGENADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRSDPNFDDMPWPSVSPEAKDFVKRLLNKDYRKRMTSVQALAHPWLRDDSRPIPLDILIYRLLKSYLHASPFKRAALKALSKALTEDELVYLRAQFRLLEPNRDGSLSLENFKMALAQNATEAMGESRVPDILNAMGLLAYRKMYFEEFCAAAVSTHQLEAVEGWEQIASAAFEHFEQEGNRVISIEELARELNVGPSAYSFLKDWIRISDGKLNLLGYKKILHGVTFRSSNTRHH >KJB10590 pep chromosome:Graimondii2_0_v6:1:41513651:41516380:-1 gene:B456_001G209200 transcript:KJB10590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPTKTPTFSLQNSFPCLFFFFFSSQYIVVPVTADFNVTPYHPIENIAIDCGSPSTNASSLDSRSWVGDGNGKFSPIEPQNNKYKSSATKAVSPPPSPVDNVPYSTARLSYSQFTYSIPLTSGPKFIRLHFYPTSYPDFDDPSKKAFFSVEGGGFTLLRNFSASLHVVPEVPLIIKEFCINVDEGQRLNLTFTPSLDITDSYAFINAIEVVSMPINLYYTPKIDDHGVPFVGQAPGSLYTLKNNTALEMMYRINVGGREISPEDETQMFRSWLKDDAYLTIAKPSAFPVNESINLTFSSDTASYSAPREVYVTAKTMGTNKTQDENYQLTWEFPVDFGFNYFIRLHFCEFQREITKNGDRVFEILLANLTAETAADVIYWSGGNGIPVYRDYVVSIGKKGNQKQRNLTIALHPSPAWKTIYSDAILNGLEIFKLSNHFVLASPDPVPINQKENSLPTSTKPNNKKAAFRIVVSVISGFIVLSLLCFFIYRQKMRVKDTSSSKGVSQWSQFSGKGVPVKDSTKCGGSSLPSDLCRYFSLSEIKRATSNFDNVFIIGVGGFGNVYKGFIDGGETQVAIKRLNPESQQGAHEFRTEIEMLSQLRHLHLVSLIGYCNDDGEMILVYDYMANGTLRDHLYNTKNPPLPWKQRLEICVGAAQGLHYLHSGAKHTIIHRDVKTTNILLDENWVAKVSDFGLSRVGPTNMSQTHVSTVVKGSFGYLDPEYYRRGQLTEKSDVYSFGVVLCEILCARPPISRSAEKNKVSLASWAQECYKNGTLYNIIDQFLKGKIAPECLKKFTEVAMSCLHDDGIERPSLDAVVWGLQFALQLQESSEEEPLKPNTSGGIEEDMDEFKTYEMEDESGEVFSSIGDHVMNSKSTTSFSLTSDEQSFCIKDSNKYSSKGVFSEIRNP >KJB09693 pep chromosome:Graimondii2_0_v6:1:22328667:22330150:-1 gene:B456_001G156900 transcript:KJB09693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPGRVKYTERRTVTNKLLKASSKWFPMESKPKAPRIVRISVTDGDATDSSSDESEQERHHTVKRHVSEIRIGDCSTFNSNKPSNKQNRPTNNKTNLVNIRSKKQQPQQQQQQCLSNGVKYRGVRQRPWGRWAAEIRDPTSRTRVWLGTYDTAQEAALVYDRAAIRIKGPDALTNFIKPPARPSPPEIELEMTSGYDSGQESHSLCSPTSVLRFQSNEETELQTESKDDSTTQTGWSPVKELSQYPSNLLDEFLLTDPVVLYDYLDSDNPTPIFFDELSLPEASSNLEHDYGDISIQLDVDFGSCSWDVDNYY >KJB08070 pep chromosome:Graimondii2_0_v6:1:6155999:6160875:-1 gene:B456_001G061800 transcript:KJB08070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSEETTHTDSALLSLGLNSTDPTPIPLDESPSDLNHSVKETDHHENNLEQQLNNLDLKEEDEEKDEVETQNDVEEEEDIIENENDDDVKKDEERRTIYPVRPEAEDCAYYMKTGLCKFGFNCKFNHPVRRKNQAVKEKDESTEKTNQQECKYYLRTGGCKFGKACRYNHSKQNSSVSPILELNFLGLPIRKGEKECPYYMRNGSCKYGANCRFNHPDPKLAGACEPPSGYGNGGSVSLQAASQVNMVSWSAPMTLNDSAAYLPIMFSPTQGVPPPNPEWNGYQTTLYPPLERGLHPTPAYVMSNPSTETTAYTHHQLQMVVDDFPERPGQPECSYFLKTGDCKFKSNCKYHHPKNRVPKPTPCALSDKGLPLRPDQSICSYYSRYGICKFGPACKFDHSIQATPPTVSGLDQPRPFSHSTATEQAGIARSNRADTAVQQSV >KJB08071 pep chromosome:Graimondii2_0_v6:1:6157634:6160851:-1 gene:B456_001G061800 transcript:KJB08071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSEETTHTDSALLSLGLNSTDPTPIPLDESPSDLNHSVKETDHHENNLEQQLNNLDLKEEDEEKDEVETQNDVEEEEDIIENENDDDVKKDEERRTIYPVRPEAEDCAYYMKTGLCKFGFNCKFNHPVRRKNQAVKEKDESTEKTNQQECKYYLRTGGCKFGKACRYNHSKQNSSVSPILELNFLGLPIRKGEKECPYYMRNGSCKYGANCRFNHPDPKLAGACEPPSGYGNGGSVSLQAASQVNMVSWSAPMTLNDSAAYLPIMFSPTQGVPPPNPEWNGYQFGHFVISRSEMLANVSRVM >KJB10901 pep chromosome:Graimondii2_0_v6:1:46778553:46781477:-1 gene:B456_001G231000 transcript:KJB10901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDEGHLCVEIENGMKLDVSEKEPETIAIEDAVKVLLQGLGEDVNRDGLKKTPLRVAKALREGTRGYKQKVKDIVQGALFPEVGLHDGIGHAGGVGGLVIVRDLDLFSYCESCLLPFQLKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQAPQRLADEICSALHHGIKPAGVAVILECLHIHFPNVESVLLDSKHQGWVKVLVSSCSGVFGNENADTWSDFLGLLKFRGVTVDRTLTRDSVNQSWCPSNSSSMAKISSELVAANPEMVAAVASILRSLGEDPLRKELVETPSHFVRWLINFENTKLEMKLNGFACGISDLLKPNGEISSRNNEQMHSELNLSFWSQCEHHLLPFYGVVHIGYFCPKGINHLGKSLLQSIVHFYGFKLQVQERLTRQIAETVSSILGGDVMVVVEANHTCMISRGIEKFGSNTATIAVLGRFSTDPAARAMFLRSIPDDTTSRIL >KJB08823 pep chromosome:Graimondii2_0_v6:1:11942176:11942438:-1 gene:B456_001G106100 transcript:KJB08823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSLVLLFSFLFLVTIPYTTINTIGREIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPAPSHQWTLDVYKGVRFVR >KJB07055 pep chromosome:Graimondii2_0_v6:1:8005519:8012215:-1 gene:B456_001G077400 transcript:KJB07055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAALRREGRRFAPMISPRPITALRSSPLAPSLEDECPIGVRYISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAIQTKAENSRGLWQPFTALLGDLPSANVKKNVVVTISSDKGLCGGINSTSVKISKGLHKLNSGPEKETKYVILGEKAKAQLVRDSKKDIELIITELQKNPLNYTQVSVLADEILKNVEYDALRIVFNKFHSVVSFVPTVSTVLSPEIVEREAESGGKLGDLDSYEVEGGETKGEILQNLAEFQFSCVMFNAVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEG >KJB09776 pep chromosome:Graimondii2_0_v6:1:23503992:23506561:-1 gene:B456_001G164400 transcript:KJB09776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQVAFYGTIRKDLSSFMFYGDCSSSVLLYTLYVAASKLVLFEFRSRAGEEQPVEWDCSRGLFFSCSRIPFRFSEGSDTDSLVWWFGHREKAYPWDLNFLRPRQRKGIGPVRSVPVLPARADPFPYAGAKERASEQVSKPASISGLTAFAERMPVLSVSCSGTLFLNAAALDPTSLLKMWNRLIDALGKVTTIPPNPEIRPDEDVSEDALRRKDPLTWVLRLGRDRGNSLWLNHPNPMLPLRQLSLYHSLILECRGNLNRRLELHLPFPFVNDILSEVNPSDLNKDREMSQYGKSLYLVGR >KJB07694 pep chromosome:Graimondii2_0_v6:1:3766876:3767871:1 gene:B456_001G040800 transcript:KJB07694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAICWELVFHRLKLETWNDFAVQDHNHYRLIRIRSFFSRNNVLQSILWLSDKECAVLGGCRCISKYEIDCFRDGAIQEELAFAENVKHVLVTIYRTVVEHSTQPNLLPLSQLAVGLPQPKSQY >KJB10706 pep chromosome:Graimondii2_0_v6:1:43406635:43409891:-1 gene:B456_001G217000 transcript:KJB10706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSEQPQTPTSEPSGPQPPQTLLNLITTVLSLLLLSSLTVRSLFGRWQVLRSKLCTLQSSLSSISESPHWDDNSLLHTLFPSLLSTLQRLKPLSDQCTLSSFTGGKLLMQSDLDIASSSLSTHLHDLDLLLRSGVLHQSNSIILSHPGPGSDKDDLGFFIRDVFTRLQIGGIEFKKKALESLLQLLNKDEKSTAVVAKEGNIGYLISLLEVNSQPLIREQAVLAVSMLASSSQHSRKIIFEEGGLGPLLRILETGSISLKEKAAIAVEAITADPENAWAISAYGGVSALIEACRSGSQPIQTHAVGALRNVASVEDIRLALGEEGAVPVLVQLLVSGNTAAQEKVAHCLSILASSGEYCGALIIQEKGLPRLMHMIQDLSNSDTIEHVLRTICSLSVTDSNLQILSSSTTTIIQLGEFIKHGNMILQQISASLLSKLSISEGNKRAISSCMGSLVKLTESPKPVELQDAAGQAIVSLLTVRSNRKELARDEKSVMRLVQMLDPKNETVSKKYPLMVVTALLAGGSGDCRKILVAAGANKHLQILTEMEVADLQGLHSRAFSAGLGGNNQKRY >KJB06448 pep chromosome:Graimondii2_0_v6:1:673884:677713:-1 gene:B456_001G007500 transcript:KJB06448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNEKKRKRIENTQKQQNKKQDGEVKKEERDGNSEEMAKKGQEIQAKSNSNKKFKKVFPYGNYKSYYGYRIGKGPEREEDPRIRVLKKEWFEGKDCLDIGCNSGVVTIQIAKKYNCKSILGLDIDSALIEEAFWYLRKFVKMEFAEKKNTNNTNVKAVQDVNESEQCTNKSSNEGADNGSSHQSSCERNLSDIVSFRQENFVRSRPHDKQYDTILCLSVTKWIHLNWGDDGLITAFGKIWRLLRPGGVFVLEPQPWSSYERNRRVSEVYSFYINENNYG >KJB06447 pep chromosome:Graimondii2_0_v6:1:673884:676309:-1 gene:B456_001G007500 transcript:KJB06447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLICSSLVVFVNIGIFMGFFFHTYAAKKYNCKSILGLDIDSALIEEAFWYLRKFVKMEFAEKKNTNNTNVKAVQDVNESEQCTNKSSNEGADNGSSHQSSCERNLSDIVSFRQENFVRSRPHDKQYDTILCLSVTKWIHLNWGDDGLITAFGKIWRLLRPGGVFVLEPQPWSSYERNRRVSETTRSNYRDIKYRPDFFREMLLDKIGFRRVEVVTSDLSGTRTGFNRPIFAYYK >KJB06446 pep chromosome:Graimondii2_0_v6:1:673872:677735:-1 gene:B456_001G007500 transcript:KJB06446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNEKKRKRIENTQKQQNKKQDGEVKKEERDGNSEEMAKKGQEIQAKSNSNKKFKKVFPYGNYKSYYGYRIGKGPEREEDPRIRVLKKEWFEGKDCLDIGCNSGVVTIQIAKKYNCKSILGLDIDSALIEEAFWYLRKFVKMEFAEKKNTNNTNVKAVQDVNESEQCTNKSSNEGADNGSSHQSSCERNLSDIVSFRQENFVRSRPHDKQYDTILCLSVTKWIHLNWGDDGLITAFGKIWRLLRPGGVFVLEPQPWSSYERNRRVSETTRSNYRDIKYRPDFFREMLLDKIGFRRVEVVTSDLSGTRTGFNRPIFAYYK >KJB06855 pep chromosome:Graimondii2_0_v6:1:1521988:1526333:1 gene:B456_001G016500 transcript:KJB06855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMETLPVGRFQFKRLVAAFLSSYRTLFILLWIVAFGSVFIWQRKIIGAGISVSVKTGLSRPMPKMRPFAFNLTDFGGVGDGKTLNTAAFERAVLAISKLGKRGGGGQLNVPPGKWLTAPFNLTSHMTLFLAEDAEILGIQDEKQWPLMPPLPSYGYGREHHGPRYGSLIHGQNLKDVVITGDNGTINGQGQSWWKKFRQKLLNHTRGPLMQIMWSSDIVIANITLRDSPFWTFHPYDCKNVTVRNVTILAPVREAPNTDGIDPDSCEDVVIEDCYISVGDDAIAIKSGWDQYGIAYARPSRNILIRNLIVRSMVSAGISIGSEMSGGVSDVTIENLLVWSSRRAVRIKTATGRGGYVRHITYRNITFDNVRVGIVIKTDYNEHPDDDFDPKALPIVKDISFTGIHGQGVRVPVRIHGSEDIPIRNVTFRDMSVGLTYKKKHIFQCAFIQGRVIGTIFPAPCENLDRYNEEGQLVKRSTAQNITDIDYDI >KJB09394 pep chromosome:Graimondii2_0_v6:1:18462865:18463503:-1 gene:B456_001G138900 transcript:KJB09394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATTSKTLIIFCLVVTSIAAVSLGSVEETQSLGGCLMSFISVEGCVEAINEAVSHKKFDELEPKCCKAITLLGDNCWPILFPDQPYVPVLLEYACKLLGYVPKVENVAAAP >KJB09797 pep chromosome:Graimondii2_0_v6:1:23727227:23728455:1 gene:B456_001G167200 transcript:KJB09797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPEFHPAPPDIPVLDQPLLPTSQREHELYRRFLVNSLGQDPSLERISETVRVQGLIERHIEAALVHSGFSPENIIRNRHLIRGFVFYDHGRALSLRTYRAYLNEIARLGTRDTRPYQRILNAIRNFDIFL >KJB06481 pep chromosome:Graimondii2_0_v6:1:2218751:2221164:1 gene:B456_001G023500 transcript:KJB06481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALITRTTANRLPHLLSLQRALAIHTTVPSLSSSATPTTYARPSPPSTSGTPSSLSKPAEYVISKVDDLLNWARRGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGEALLYGVLQLQKKINRRKDFLHWWSK >KJB06482 pep chromosome:Graimondii2_0_v6:1:2218751:2221164:1 gene:B456_001G023500 transcript:KJB06482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALITRTTANRLPHLLSLQRALAIHTTVPSLSSSATPTTYARPSPPSTSGTPSSLSKPAEYVISKVDDLLNWARRGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWSK >KJB10597 pep chromosome:Graimondii2_0_v6:1:41775714:41778749:-1 gene:B456_001G210300 transcript:KJB10597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYSVIFLITILQSAFFVASEQPAYIPTDDITLNCGATTDLVANDGRSWAEDNNSKFGPFESSHNKSQAYEADTQDGSETVPYMTARVSRSEFKYTFPVTPGQKFVRLYFHPASYKEYDRSKAFFSVKAGSFTLLKNFSASLVADSKRRYSFSREFCLNVEDNEVLDLVFTPSRSASNDTYAFINGIEIVSMPTNLYYTPPDSTYVPFVGQNYQFLVENDTALEMAYRLNVGGQYISPNNDTGLFRLWSDDFDYMTKNSYVTVNTSVPINYTMIPRYTAPEMVYRTARTMGPSLAYNEKHNLSWRLPVGSGFRYMVRLHFCEPQDPVNSPGDRKFKVFINSQTAEQNADVIMWTVQGRVPIFKDYVLLVSKEYITIDLHPIRAKYYDVILNGIEVFKLSNSDGNLGEPNPELRVAPPPPSDSSNSAGAKSKKRSLLIAGVGCAAGLIAIISLLVCMAVRLQRKGTSLLCWWINQNGGKSTRTSLLPDELCRYFSLDEIKAATNNFHDDLVVGKGGFGKVYKGFMDEGEKIVAIKRLNPESSQGIREFLTEIEMLSQLRHVHLVSLIGYCNEKREMTLVYDFMSNGTLSDHLYGTSFAYDPLTWMQRLEICKGAATGLNYLHTEVRHTVIHRDVKTSNILLDDKFTAKVSDFGLSKEDPKDEMLITGIKGTRGYMDPEYARGHKLTEKSDVYAFGVVLFEVLCARKAVNTKLPEAQMSLAHWAKQCIADGTLYKVIDPYLIGKIAPECFKVYVEIAESCIADVGTDRPSMTDVMERLGFAIELQKAADAEMSKMDPASECSYPDIVFPVARDMDFDDESVVDSELDSNVCRGVGLFDSDTTGLTYPTIDSSTSMNTFSSTSNNTKSIGN >KJB07661 pep chromosome:Graimondii2_0_v6:1:3318281:3320456:1 gene:B456_001G036000 transcript:KJB07661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEKTPIDGSSSANTLLQLHQLLTSCSKSISGGNFSQSQTSVSKLINFLDSVSDASISELEPGAKENAFKILSGIYEFLCSPSLNQENIDALSFELPKSASKFAGVSPQCLEISDNIIHRFIEKCSPRDMLPILCEALDSPNKTVQAATYVCPLISGLSDVFISLQRRHFEQIKVAVPVVVKVVKAISTESDYEDTELETLFERIVVNALSIQTVCRKLEDGENEKLRALLGLYVLQILALVSVSRNYLHFALRLASILPYSGISGLGLITGYSVDTMSHIVIGEDEEDCSSFSSHIYLGASLSVVWAQKHDEFAQAAKFDFGAIKTELQNNPTKRWQAVGMLKHVFASIDLPWEFKRYTVDFLLYITSGDISNKLGHNDCSLYMTSLFSSLQALTMIIIYASDTVLRKNAFEALKRVRFLYIIVP >KJB07660 pep chromosome:Graimondii2_0_v6:1:3317957:3321576:1 gene:B456_001G036000 transcript:KJB07660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEKTPIDGSSSANTLLQLHQLLTSCSKSISGGNFSQSQTSVSKLINFLDSVSDASISELEPGAKENAFKILSGIYEFLCSPSLNQENIDALSFELPKSASKFAGVSPQCLEISDNIIHRFIEKCSPRDMLPILCEALDSPNKTVQAATYVCPLISGLSDVFISLQRRHFEQIKVAVPVVVKVVKAISTESDYEDTELETLFERIVVNALSIQTVCRKLEDGENEKLRALLGLYVLQILALVSVSRNYLHFALRLASILPYSGISGLGLITGYSVDTMSHIVIGEDEEDCSSFSSHIYLGASLSVVWAQKHDEFAQAAKFDFGAIKTELQNNPTKRWQAVGMLKHVFASIDLPWEFKRYTVDFLLYITSGDISNKLGHNDCSLYMTSLFSSLQALTMIIIYASDTVLRKNAFEALKRVLGDIPNSQRFDILKALIKNSDSSSMVAILLDLVRGEMHRERILRTSLQKNEALEADSKTCQSTLFWSTSILELVESVLRPDTGGPPILPDNSDAVLSALNLYRFVLMTEAAGKA >KJB07662 pep chromosome:Graimondii2_0_v6:1:3317975:3321208:1 gene:B456_001G036000 transcript:KJB07662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEKTPIDGSSSANTLLQLHQLLTSCSKSISGGNFSQSQTSVSKLINFLDSVSDASISELEPGAKENAFKILSGIYEFLCSPSLNQENIDALSFELPKSASKFAGVSPQCLEISDNIIHRFIEKCSPRDMLPILCEALDSPNKTVQAATYVCPLISGLSDVFISLQRRHFEQIKVAVPVVVKVVKAISTESDYEDTELETLFERIVVNALSIQTVCRKLEDGENEKLRALLGLYVLQILALVSVSRNYLHFALRLASILPYSGISGLGLITGYSVDTMSHIVIGVVWAQKHDEFAQAAKFDFGAIKTELQNNPTKRWQAVGMLKHVFASIDLPWEFKRYTVDFLLYITSGDISNKLGHNDCSLYMTSLFSSLQALTMIIIYASDTVLRKNAFEALKRVLGDIPNSQRFDILKALIKNSDSSSMVAILLDLVRGEMHRERILRTSLQKNEALEADSKTCQSTLFWSTSILELVESVLRPDTGGPPILPDNSDAVLSALNLYRFVLMTEAAGKA >KJB08737 pep chromosome:Graimondii2_0_v6:1:11222156:11224903:-1 gene:B456_001G100200 transcript:KJB08737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT4G12740) UniProtKB/Swiss-Prot;Acc:F4JRF4] MAKTNKTKRPQLIKQEEQIGDIEDLFSEEDTHKIRASLLEWYDKNQRDLPWRTSTKKSENGENVQEEEEEEKRAYGVWVSEVMLQQTRVQTVIDYYNRWMLKWPTLQHLSQASLEEVNEMWAGLGYYRRARFLLEGAKMIVAEGSEFPNTVFALRKVPGIGDYTAGAIASIAFKQVVPVVDGNVVRVLARLKAISANPKDKTTVKNFWKLAAQLVDPSRPGDFNQSLMELGATLCTPLNPNCTSCPVSSQCRALHNSRNDESVMVMDYPMKVVKTKQRNDFSTVSVVEISRSQDRLQQTKSNSRVLLVKRPDEGLLAGLWEFPCVTLDEEADLSMRRKLIDQLLKKSFKLNPPKNCNVISRELVGEFVHVFSHIRRKIYVELLVLHLKGGKHVLFEEDDINATDWKLLDCEAVSRMGLTSSVRKVYSMVQKFKQDGTSNNSVPLRKRPKCS >KJB06238 pep chromosome:Graimondii2_0_v6:1:18291020:18291744:-1 gene:B456_001G138100 transcript:KJB06238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTSKATRKASSTKKKKKKHVKGKISNQKTAAALMEDTEGGDGDDIVTAETPFSTPKAQRFRIPEMETSPPAPKKQRLLLSDCSLQTTPIAFFAPPDLELFFFAL >KJB07175 pep chromosome:Graimondii2_0_v6:1:527475:532854:-1 gene:B456_001G005800 transcript:KJB07175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPKLLLLRLLLLRIMPGVSVILFPIAITFYITWWFIHFVDGFFSPIYAQLGIDIFGLGFVTSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIIRHPRIGEYAFAFITSSVTLQSDAGEEELCCVFVPTNHLYLGDIFLINTKDVIRLNLSVREGIEIVISGGMSMPQILSTLDTQLERSRPERS >KJB07174 pep chromosome:Graimondii2_0_v6:1:527413:532865:-1 gene:B456_001G005800 transcript:KJB07174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDKSAAMTASRDRELLIPVAESVHDDSSKASSSSSSASSHHAGRETFYKIVQSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGIDIFGLGFVTSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIIRHPRIGEYAFAFITSSVTLQSDAGEEELCCVFVPTNHLYLGDIFLINTKDVIRLNLSVREGIEIVISGGMSMPQILSTLDTQLERSRPERS >KJB07176 pep chromosome:Graimondii2_0_v6:1:527475:532869:-1 gene:B456_001G005800 transcript:KJB07176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDKSAAMTASRDRELLIPVAESVHDDSSKASSSSSSASSHHAGRETFYKIVQSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGIDIFGLGFVTSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIIRHPRIGEYAFAFITSSVTLQSDAGEEELCCVFVPTNHLYLGDIFLINTKDVIRLNLSVREGIEIVISGGMSMPQILSTLDTQLERSRPERS >KJB07655 pep chromosome:Graimondii2_0_v6:1:3259205:3267954:1 gene:B456_001G035300 transcript:KJB07655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTWKVEGPLHDGGNSTLRSLGVPEDQSGWDWPEGLLPTTGLVKSSSTVPKISITSHVANPIGSSQALSSLLDVADGRRMECAFSKSSTMSTFGGRDSSNGCQSVSTWIDSILKTRNQSLAHSSLQNLRTLGRNYDATAAKITGDIVISDRDAASSNVELKLGQPYQPSQPIGNSALPVVAPKHFKTVVDPPQSYHSEKMVDRAMFFGKEETRQYCLQEADSSNTMARSQQSLLNFSKHAFAASSFVDATKPESRLDATKNLVVPAHSLLPLEGSACSKGTNNMVSEFSMPKIFHCGSSTTKCDPLNAPLKIGNSLGRQLNMPELGFCRLTEKGKGAGLGCLPVGFSDAIDPALRIHKQEESSRIAIGMMPGFSSVHNMNSCQSSNVSSGRFDERSCLNLPGNSSFVGNNAHTDQAFLTMSSSYLGSRHISQPSAATTGFLLATSTFVPGSTSTTSKQEGPCLLDDSMRVLALSQISELSKRHAISSVGMSHELGRLDRTSNPNIQHSLLESSKSREGRCGSILPSKQDVFEGASSSFPSAAEKSIPMTGLSSCCDFSQLTQGLPLHSRIDMPCQFSNDRFCDHSTLRLIRGESITQSSGHAKCCQRVPCTYSRGDCICSVHAKCLEGYTKCRFEGSCVVSKEQVGVCCEAHNPVASEFVKEHIYPNDRTNLLDQGGKVNGQLPMRIACHASQWRDVPSKQKEACKMTQINLSAELLDASGCAGDQFGASAGVHGIGSAINEADSLKWQGMSNISSGCSGAAVTQASTEVNNVDSSTIDAGDNEYRNDLVVDEGSGIDKCSSNDELESERSAEFIGVSCRNKIRNNRSSKIPNGQSSLSLLDELKLLDSLTWKKSQNQSYMSLSSIERINHVKKIRKGLKGGKRKRATKFRMLDMSFAPKLSLQHCPKGNGSHHMSSRSSKDWQTLIPSVLESYEPTHLIRPGELASAKIVCRKRDLSDVYRDQDGEDYQVKLKDDDRSDNILEVSGRKRLKQNLAYNSFEKLGSPKPLRTVEKTSNSDSVYCTNAFSCFETVCDKKVRPIVCGEYGEICSCKSAAAEFKPPKIVPLSRVIKSLDQRNLRKSCKPKITSRMSNKKRTATTTGYFDLSSDLKKEEENGAHHVSFFDEVSGCLVEEGKKTCLGEIKQFHNMSFILEEGNADGSEKSCVPDAITCNWSNARCKESRKRSLSELTGKGKESRSDSYPLVEISKCMPRMKARKGLNKADDVECHGHRACDINPEKAIDELRCSSSADSDAFCCVCGSSNKDEFNCLLECSQCSIRVHQACYGVSKVPKGQWYCRPCRTNSKDIVCVLCGYGGGAMTRALQSHTFVKGLLKAWTIESECRPKDTVSSAETMVDDQSLVVGKELCNLQCKDLGLSRTAVWKMDMQNSLNNIQNSPCSVSKLNVYNSVIAGVLDPSVKQWVHMVCGLWTPGTRCPNVNTMSAFDVSGVSRGRENVVCSICNRSGGSCIQCRVVDCSVRFHPWCAHQKGLLQSEVEGLDNESVGFYGRCMLHALHPICESDSDPTNGKLSFPRKGESTCARTEGFKGCKQDGFWHNPYGQSRRKNGCFVPQEQLNAWIHINGQKPYMQRLPKLSKSDIENDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGEMVCSSHLSSKPNSLHNCKT >KJB07653 pep chromosome:Graimondii2_0_v6:1:3257118:3269066:1 gene:B456_001G035300 transcript:KJB07653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTWKVEGPLHDGGNSTLRSLGVPEDQSGWDWPEGLLPTTGLVKSSSTVPKISITSHVANPIGSSQALSSLLDVADGRRMECAFSKSSTMSTFGGRDSSNGCQSVSTWIDSILKTRNQSLAHSSLQNLRTLGRNYDATAAKITGDIVISDRDAASSNVELKLGQPYQPSQPIGNSALPVVAPKHFKTVVDPPQSYHSEKMVDRAMFFGKEETRQYCLQEADSSNTMARSQQSLLNFSKHAFAASSFVDATKPESRLDATKNLVVPAHSLLPLEGSACSKGTNNMVSEFSMPKIFHCGSSTTKCDPLNAPLKIGNSLGRQLNMPELGFCRLTEKGKGAGLGCLPVGFSDAIDPALRIHKQEESSRIAIGMMPGFSSVHNMNSCQSSNVSSGRFDERSCLNLPGNSSFVGNNAHTDQAFLTMSSSYLGSRHISQPSAATTGFLLATSTFVPGSTSTTSKQEGPCLLDDSMRVLALSQISELSKRHAISSVGMSHELGRLDRTSNPNIQHSLLESSKSREGRCGSILPSKQDVFEGASSSFPSAAEKSIPMTGLSSCCDFSQLTQGLPLHSRIDMPCQFSNDRFCDHSTLRLIRGESITQSSGHAKCCQRVPCTYSRGDCICSVHAKCLEGYTKCRFEGSCVVSKEQVGVCCEAHNPVASEFVKEHIYPNDRTNLLDQGGKVNGQLPMRIACHASQWRDVPSKQKEACKMTQINLSAELLDASGCAGDQFGASAGVHGIGSAINEADSLKWQGMSNISSGCSGAAVTQASTEVNNVDSSTIDAGDNEYRNDLVVDEGSGIDKCSSNDELESERSAEFIGVSCRNKIRNNRSSKIPNGQSSLSLLDELKLLDSLTWKKSQNQSYMSLSSIERINHVKKIRKGLKGGKRKRATKFRMLDMSFAPKLSLQHCPKGNGSHHMSSRSSKDWQTLIPSVLESYEPTHLIRPGELASAKIVCRKRDLSDVYRDQDGEDYQVKLKDDDRSDNILEVSGRKRLKQNLAYNSFEKLGSPKPLRTVEKTSNSDSVYCTNAFSCFETVCDKKVRPIVCGEYGEICSCKSAAAEFKPPKIVPLSRVIKSLDQRNLRKSCKPKITSRMSNKKRTATTTGYFDLSSDLKKEEENGAHHVSFFDEVSGCLVEEGKKTCLGEIKQFHNMSFILEEGNADGSEKSCVPDAITCNWSNARCKESRKRSLSELTGKGKESRSDSYPLVEISKCMPRMKARKGLNKADDVECHGHRACDINPEKAIDELRCSSSADSDAFCCVCGSSNKDEFNCLLECSQCSIRVHQACYGVSKVPKGQWYCRPCRTNSKDIVCVLCGYGGGAMTRALQSHTFVKGLLKAWTIESECRPKDTVSSAETMVDDQSLVVGKELCNLQCKDLGLSRTAVWKMDMQNSLNNIQNSPCSVSKLNVYNSVIAGVLDPSVKQWVHMVCGLWTPGTRCPNVNTMSAFDVSGVSRGRENVVCSICNRSGGSCIQCRVVDCSVRFHPWCAHQKGLLQSEVEGLDNESVGFYGRCMLHALHPICESDSDPTNGKLSFPRKGESTCARTEGFKGCKQDGFWHNPYGQSRRKNGCFVPQEQLNAWIHINGQKPYMQRLPKLSKSDIENDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGEMVVEYVGEIVGQHVADKRELEYLSGRKVQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKVISVKNEKKVVFFAERDIYPGEEITYDYHFNHEDEGKKIPCFCNSKNCKRFLN >KJB07654 pep chromosome:Graimondii2_0_v6:1:3259205:3267802:1 gene:B456_001G035300 transcript:KJB07654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTWKVEGPLHDGGNSTLRSLGVPEDQSGWDWPEGLLPTTGLVKSSSTVPKISITSHVANPIGSSQALSSLLDVADGRRMECAFSKSSTMSTFGGRDSSNGCQSVSTWIDSILKTRNQSLAHSSLQNLRTLGRNYDATAAKITGDIVISDRDAASSNVELKLGQPYQPSQPIGNSALPVVAPKHFKTVVDPPQSYHSEKMVDRAMFFGKEETRQYCLQEADSSNTMARSQQSLLNFSKHAFAASSFVDATKPESRLDATKNLVVPAHSLLPLEGSACSKGTNNMVSEFSMPKIFHCGSSTTKCDPLNAPLKIGNSLGRQLNMPELGFCRLTEKGKGAGLGCLPVGFSDAIDPALRIHKQEESSRIAIGMMPGFSSVHNMNSCQSSNVSSGRFDERSCLNLPGNSSFVGNNAHTDQAFLTMSSSYLGSRHISQPSAATTGFLLATSTFVPGSTSTTSKQEGPCLLDDSMRVLALSQISELSKRHAISSVGMSHELGRLDRTSNPNIQHSLLESSKSREGRCGSILPSKQDVFEGASSSFPSAAEKSIPMTGLSSCCDFSQLTQGLPLHSRIDMPCQFSNDRFCDHSTLRLIRGESITQSSGHAKCCQRVPCTYSRGDCICSVHAKCLEGYTKCRFEGSCVVSKEQVGVCCEAHNPVASEFVKEHIYPNDRTNLLDQGGKVNGQLPMRIACHASQWRDVPSKQKEACKMTQINLSAELLDASGCAGDQFGASAGVHGIGSAINEADSLKWQGMSNISSGCSGAAVTQASTEVNNVDSSTIDAGDNEYRNDLVVDEGSGIDKCSSNDELESERSAEFIGVSCRNKIRNNRSSKIPNGQSSLSLLDELKLLDSLTWKKSQNQSYMSLSSIERINHVKKIRKGLKGGKRKRATKFRMLDMSFAPKLSLQHCPKGNGSHHMSSRSSKDWQTLIPSVLESYEPTHLIRPGELASAKIVCRKRDLSDVYRDQDGEDYQVKLKDDDRSDNILEVSGRKRLKQNLAYNSFEKLGSPKPLRTVEKTSNSDSVYCTNAFSCFETVCDKKVRPIVCGEYGEICSCKSAAAEFKPPKIVPLSRVIKSLDQRNLRKSCKPKITSRMSNKKRTATTTGYFDLSSDLKKEEENGAHHVSFFDEVSGCLVEEGKKTCLGEIKQFHNMSFILEEGNADGSEKSCVPDAITCNWSNARCKESRKRSLSELTGKGKESRSDSYPLVEISKCMPRMKARKGLNKADDVECHGHRACDINPEKAIDELRCSSSADSDAFCCVCGSSNKDEFNCLLECSQCSIRVHQACYGVSKVPKGQWYCRPCRTNSKDIVCVLCGYGGGAMTRALQSHTFVKGLLKAWTIESECRPKDTVSSAETMVDDQSLVVGKELCNLQCKDLGLSRTAVWKMDMQNSLNNIQNSPCSVSKLNVYNSVIAGVLDPSVKQWVHMVCGLWTPGTRCPNVNTMSAFDVSGVSRGRENVVCSICNRSGGSCIQCRVVDCSVRFHPWCAHQKGLLQSEVEGLDNESVGFYGRCMLHALHPICESDSDPTNGKLSFPRKGESTCARTEGFKGCKQDGFWHNPYGQSRRKNGCFVPQEQLNAWIHINGQKPYMQRLPKLSKSDIENDCRVH >KJB07656 pep chromosome:Graimondii2_0_v6:1:3259540:3269066:1 gene:B456_001G035300 transcript:KJB07656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAFSKSSTMSTFGGRDSSNGCQSVSTWIDSILKTRNQSLAHSSLQNLRTLGRNYDATAAKITGDIVISDRDAASSNVELKLGQPYQPSQPIGNSALPVVAPKHFKTVVDPPQSYHSEKMVDRAMFFGKEETRQYCLQEADSSNTMARSQQSLLNFSKHAFAASSFVDATKPESRLDATKNLVVPAHSLLPLEGSACSKGTNNMVSEFSMPKIFHCGSSTTKCDPLNAPLKIGNSLGRQLNMPELGFCRLTEKGKGAGLGCLPVGFSDAIDPALRIHKQEESSRIAIGMMPGFSSVHNMNSCQSSNVSSGRFDERSCLNLPGNSSFVGNNAHTDQAFLTMSSSYLGSRHISQPSAATTGFLLATSTFVPGSTSTTSKQEGPCLLDDSMRVLALSQISELSKRHAISSVGMSHELGRLDRTSNPNIQHSLLESSKSREGRCGSILPSKQDVFEGASSSFPSAAEKSIPMTGLSSCCDFSQLTQGLPLHSRIDMPCQFSNDRFCDHSTLRLIRGESITQSSGHAKCCQRVPCTYSRGDCICSVHAKCLEGYTKCRFEGSCVVSKEQVGVCCEAHNPVASEFVKEHIYPNDRTNLLDQGGKVNGQLPMRIACHASQWRDVPSKQKEACKMTQINLSAELLDASGCAGDQFGASAGVHGIGSAINEADSLKWQGMSNISSGCSGAAVTQASTEVNNVDSSTIDAGDNEYRNDLVVDEGSGIDKCSSNDELESERSAEFIGVSCRNKIRNNRSSKIPNGQSSLSLLDELKLLDSLTWKKSQNQSYMSLSSIERINHVKKIRKGLKGGKRKRATKFRMLDMSFAPKLSLQHCPKGNGSHHMSSRSSKDWQTLIPSVLESYEPTHLIRPGELASAKIVCRKRDLSDVYRDQDGEDYQVKLKDDDRSDNILEVSGRKRLKQNLAYNSFEKLGSPKPLRTVEKTSNSDSVYCTNAFSCFETVCDKKVRPIVCGEYGEICSCKSAAAEFKPPKIVPLSRVIKSLDQRNLRKSCKPKITSRMSNKKRTATTTGYFDLSSDLKKEEENGAHHVSFFDEVSGCLVEEGKKTCLGEIKQFHNMSFILEEGNADGSEKSCVPDAITCNWSNARCKESRKRSLSELTGKGKESRSDSYPLVEISKCMPRMKARKGLNKADDVECHGHRACDINPEKAIDELRCSSSADSDAFCCVCGSSNKDEFNCLLECSQCSIRVHQACYGVSKVPKGQWYCRPCRTNSKDIVCVLCGYGGGAMTRALQSHTFVKGLLKAWTIESECRPKDTVSSAETMVDDQSLVVGKELCNLQCKDLGLSRTAVWKMDMQNSLNNIQNSPCSVSKLNVYNSVIAGVLDPSVKQWVHMVCGLWTPGTRCPNVNTMSAFDVSGVSRGRENVVCSICNRSGGSCIQCRVVDCSVRFHPWCAHQKGLLQSEVEGLDNESVGFYGRCMLHALHPICESDSDPTNGKLSFPRKGESTCARTEGFKGCKQDGFWHNPYGQSRRKNGCFVPQEQLNAWIHINGQKPYMQRLPKLSKSDIENDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGEMVVEYVGEIVGQHVADKRELEYLSGRKVQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKVISVKNEKKVVFFAERDIYPGEEITYDYHFNHEDEGKKIPCFCNSKNCKRFLN >KJB10236 pep chromosome:Graimondii2_0_v6:1:31757539:31763277:1 gene:B456_001G191100 transcript:KJB10236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKTIDSFFEKKSIETTQLPSKASQIEVPPSSFAPLNSDARPSKIDRVEDEALDLSNLEREPVLRKQIYEYPVNMRDEIRRSYIKVGAYQPILSEYPASNSKRHPRYFQPLWFKQFSWLEYSPSKDAVFCLPCFLFNSNLSSHFGSTNFTHSGFNNWKKIMPLNFSAFMWANAAIDMTFSGTSHKNAAKEHDL >KJB06491 pep chromosome:Graimondii2_0_v6:1:9012852:9013615:-1 gene:B456_001G084700 transcript:KJB06491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLPSSFTIVSPSFKHHNNRHQPFQVRAQSCRDGGGRSSNGVDANLRVLKERIEVVKMKEKLGRCCRYEYGWNYAKGYNYNKVKRNGEISELFELLGLVGATIGSTCFTGTLFLCLFSIFVHLQS >KJB08069 pep chromosome:Graimondii2_0_v6:1:6154119:6155729:1 gene:B456_001G061700 transcript:KJB08069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGASLKKLSNSWKKIEMSVNDAISKSKVGKFFKLEARKTCFTREIRAGTATFLTMVYIITVNATIIADSGGTCLMADCTVPANQTAASPDCMLKPNLGYDNCVSKTKSDLVVATILSAMIGSFAMGVLANLPLGLAPGMGPNAYLAYNLVGFHGSGSISYQTALAMVLIEGCAFLAISTLGVRAKIARLMPKPVRLACGAGIGLFIAFVGLQIHQGVGLIGPDPTTLVTISACAKIDPISNTCVGGKMRSPTFWLAMVGFLMTCYGLMKEIKGSMIYGISFITLVSWIRGTSFTIFPKTPLGDTNYNYFKKVVDFHKIESTFGVISFSHFNRPEVWIALVTLLYVDVLATTGTLYTMAEIGGFLDDKGSFEGEYLAYIVDASSTIVGSALGVSPVATYVESSAGIKEGGRTGLTAVVIAFYFFLSLFFTPLLTSVPPWAIGPSLVIVGVMMMKVVKDINWENIKEAVPAFVTMSIMPLTYSIANGIIGGIGVYIALSLYDLMLGFIKWVNKMRKMVIKEQNQVSNGVESMVEII >KJB10773 pep chromosome:Graimondii2_0_v6:1:45663558:45665234:-1 gene:B456_001G223700 transcript:KJB10773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSLPSPSEGMLCILLVNTALSISVIKGILRSILHVVGIHLSSLSPSPDSIENESFDFQFSTSEFYIEEFRSRTPAIQFDTLCSCKRAENDCPVCLSEFEPKSEINRLSCGHLFHKVCLEKWLNYLKVTCPLCRTPLLPEEESCCLG >KJB10774 pep chromosome:Graimondii2_0_v6:1:45663558:45665097:-1 gene:B456_001G223700 transcript:KJB10774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSLPSPSEGMLCILLVNTALSISVIKGILRSILHVVGIHLSSLSPSPDSIENESFDFQFSTSEFYIEEFRSRTPAIQFDTLCSCKRAENDCPVCLSEFEPKSEINRLSCGHLFHKVCLEKWLNYLKVTCPLCRTPLLPEEESCCLG >KJB10772 pep chromosome:Graimondii2_0_v6:1:45663558:45665175:-1 gene:B456_001G223700 transcript:KJB10772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSLPSPSEGMLCILLVNTALSISVIKGILRSILHVVGIHLSSLSPSPDSIENESFDFQFSTSEFYIEEFRSRTPAIQFDTLCSCKRAENDCPVCLSEFEPKSEINRLSCGHLFHKVCLEKWLNYLKVTCPLCRTPLLPEEESCCLG >KJB07743 pep chromosome:Graimondii2_0_v6:1:3932627:3933423:1 gene:B456_001G042200 transcript:KJB07743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 15 [Source:Projected from Arabidopsis thaliana (AT4G38700) UniProtKB/Swiss-Prot;Acc:F4JUF8] MEEQLIFAWAMIFCIAIAPVYGEYYSKTVTPSSKVEKVTNLHFFLHDTLSGEHPSAVLVAHANLTRKDHSPVPFGSLFAIDDRLRVGPEPTSKIIGNAQGLYLSSSQDASKFTIVLYADFAFTTGKFKGSSFSIFSRNPVTEAKREVAIVGGRGRFRMARGFAHIKTSYFNATTGDAILDYKVTLYHY >KJB08662 pep chromosome:Graimondii2_0_v6:1:10801938:10803973:1 gene:B456_001G096700 transcript:KJB08662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVERIINETNDEFPIGIRVLAVDDDPTCLLLLETLLRRCKYNVTTTNQAITALKLLRQNKFDLVICDVHMPDMDGFKLLELVGLEMDLPVIMLSANGDTNVVMKGITHGACDYLLKPVRIEELNNIWQHVVRRKRFERKDRYNSDSQDKLRADSGEAVGMGSIGNNGKLKKKRKDQDEDEERDENEDPSIRKKPRIVWSAELHSKFVAAVNQLGMKNATPKKILELMNVEKLTTQNVGSHLQKFRLYLKRISCAANQQVNIAAAVRMRSPNGLGNFHTLAGSNQLHNAAFRSFPPRGVLGRLNTHAGLLIRSLPSPGTIRSGHGQSAVNSGNDQSKLQSFVSGIHNANILQGLPMSLELDQVQTNNGVSHIGELPIADSTTVFPVSSSLIDATITGFSGNPLLGVTSHSLMLEASSQQAGNSRDIVPAIGFRNGNTLSDFAPLAPASNRESNADLQCEPIPINCNAGELITSAPQEWNSPYQSNVTPCSMNSSIPVSGTMVQFGLCLDQNNSMDSDSIGPSSFIDNSAMEQSIIDKEGYLMLEPWEQGSHIPYNIGLLEDLWELQ >KJB08987 pep chromosome:Graimondii2_0_v6:1:13964228:13966941:1 gene:B456_001G116900 transcript:KJB08987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVSSHLFKPCLTFVFLALFQSHTTFSALILSLRNHRSYPQHPRPMFQTNRTTCALFAGTWVRDDTYPLYQYSNCPVIDAEFNCQMSGRPDSGYLKYRWQPLNCQLRRFDGLVFLSKMRGKTVMFVGDSLGRNQFESLICMILAANPQTQTQMNRAMPLSTFKFLEYGVSISYFRAPFLVDVDVMQGKRIVKLDDIAGNGNAWRMADVLVFNTGHWWGHEGSLRGWDLIQSGGKYYQDMDRLVAMEKGLRTWANWVDSNVDITRTRVFFQSASPDHYNPNEWSAAATATTAKNCYGETEPMKGTTYTSTYADQMGVVNEVIREMHVPTYLMDITMLSELRKDGHPSIYRGDFSPSQRANPQKSADCSHWCLPGLPDTWNELFYTVLFY >KJB08986 pep chromosome:Graimondii2_0_v6:1:13964091:13966941:1 gene:B456_001G116900 transcript:KJB08986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVSSHLFKPCLTFVFLALFQSHTTFSALILSLRNHRSYPQHPRPMFQTNRTTCALFAGTWVRDDTYPLYQYSNCPVIDAEFNCQMSGRPDSGYLKYRWQPLNCQLRRFDGLVFLSKMRGKTVMFVGDSLGRNQFESLICMILAANPQTQTQMNRAMPLSTFKFLEYGVSISYFRAPFLVDVDVMQGKRIVKLDDIAGNGNAWRMADVLVFNTGHWWGHEGSLRGWDLIQSGGKYYQDMDRLVAMEKGLRTWANWVDSNVDITRTRVFFQSASPDHYNPNEWSAAATATTAKNCYGETEPMKGTTYTSTYADQMGVVNEVIREMHVPTYLMDITMLSELRKDGHPSIYRGDFSPSQRANPQKSADCSHWCLPGLPDTWNELFYTVLFY >KJB07225 pep chromosome:Graimondii2_0_v6:1:835418:838264:-1 gene:B456_001G009000 transcript:KJB07225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYNSSSYTTGMDRTARSEEVCLKFPPKVISPGLAALLVQKDKLGKLMDYSGPRLHFQMVVIFVLTQIIHSLLKLLGLPLFISQLLAGILMSPLIFSDEHSLVTISEDSVAVLGSVGALGFMFFMFLSGVKMDLRLTWKSGKLAIAIGLFPVLVSLIFWLMTVKTLHPGGNVFSNKIFHLAVTYSGTSFPVIHSLLSELKILNSELGRLGLSAALIGDMLTLVLTMFSIWVNTGIQKGSKDVLFDVGMAMLYVCILVFVLRPGMKWMVKRTPEAGQIKDTCFYIIILAFMISPRFTALFRVYFLYGPFIFGLAVPEGPPLGSALVEKLDPVVSGLFMPIFATTCGMRFDLSYFKYSTKYAYHQAVGAVVTLIIKFGVSLLLTLLCKMPTRDSFALAFIMISKGIVEIGSYSIMNDSRIISEDIFAHLTIVIIIVASVVPIAVKKLYDPSKKYLCFQKRTIMNSRFNQELRMIGCVHVPGNVNSIINLLNASCPTRECPIALDVLHLVKLSGQATPLFIAHHNQQKASSNNSYSDNVVVAFKQFERDNLGAVSVNFFTAVSPSNLMYEDTCNLAMDRLTSFIILPFHRRWYSDGSIESEDQTLRSLNFDILERAPCSVGILVEGRRNIKGSNSKDTLSPSNSSSYAIAVIFLGGEDDREALALAKRFSQDESVSLTVIHLKAVGSLEFFLAEDERMLDKEMLKDIKESVPLTYIKEHVKDGPETSTFLRSIVKDYQLIIVGRRYRSEDAITLGLEEWCEFREIGIIGDLLSSSDFFGNFSLLIVQQQRKRNR >KJB08414 pep chromosome:Graimondii2_0_v6:1:8557179:8558126:1 gene:B456_001G080800 transcript:KJB08414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRFLDKVEDNAAVRMWAETIWKEKGDSLAEGYVSELWDFTRISVIQNDLREMKELWDQWDDETKQMFYCDYGDLPYLLSVKVDKHLFRALAQFWNPAYSCFTFGKVDLVPTVEEYTTLLRCPKIQVDKDYSRAASVPTLLKKLMNITGMSEQWVASRIQQKDLVLVHPDVKKRVDVFALGIYGLVIFPRALGHIDEAVSDLFDRLSKGVTPIPTILAETFRSLSACRRVGEGRFIGCAQLLLVWFHSHFWKVENVSYQVFSENYSPLKEQVATPRRDDITEEKWMTILQNLQEDDVEWKAP >KJB11313 pep chromosome:Graimondii2_0_v6:1:52470617:52473913:1 gene:B456_001G253200 transcript:KJB11313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEQLQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGMEKPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKLGRKKVYGMTLMLMVICSVASGLSFGHTPKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIFAIILSSSFNAMFEAPSYEVDALRSTVPQADYVWRIILMVGALPAALTYYWRMKMPETARYTALVAKNAKRAASDMSKVLQMDIEAEPQKIEQIKEQKSGFGLFTKEFAKRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPSAKSMNAIDEVYRIARAQTLIALCSTVPGYWFTVAFIDKMGRFAIQLMGFFFMTVFMFALAIPYDHWTHKDNRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKLGAIVGAFGFLYLAQNQDKAKADAGYPAGIGVKNSLIVLGVVNALGFLFTFLVPESKGKSLEEMSGENEDNEPQGEAEPPSSSGRRTAPVA >KJB07226 pep chromosome:Graimondii2_0_v6:1:843584:846130:-1 gene:B456_001G009100 transcript:KJB07226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTATAPYHSNQKLVIHLIRHAHGLHNLESERHRDPLKRIQYADPELSPLGWQQVREQRKDLSASGLLERIELVVTSPLTRTLQTSVGIFGSPLKDENTVGNGYQNGIKTPIFNHPPIIASELCRERLSKGRSRGTISQCRSRFPQVDFSLIEREDDILWEADERESNESVAAKGIKFIKWLLARKEKEIAVVSHGVFLQQTLIALKNEFDSSIEKEFLTPFGNCEIRSLKFFRESVVRLGQDYKKN >KJB09210 pep chromosome:Graimondii2_0_v6:1:16349008:16355588:-1 gene:B456_001G129300 transcript:KJB09210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDLLEISEEDNDSLLLQHQQIQTDVVSSFDSSFFSCSPLHFPISNPTDVKARCPTFSSNINKENISNTETKSELPKLSLEPHHMKRKKKVGGYNLRKSLAWDRAFFTEEGVLNSTELSLISGNFSKLSGEKLLTIEEEPGELLSGDSSDLQALESNLFKELPLNNSNAKEEKKIGISSLRQKSSGSASRFMVKQNVLSDHDVNRSGSKRSGCPRPVMSSAPKRPANVSTTKTAVKEPKVSKIPAPKSESSTARSSPNLKKPKRNQSAPAAVSAHRSIGLAVSNKSTKTTQNDAKSSLSSRSQITKSSIIQPKRNMKSSGLSSHSSALSQNPLENKVDNGLKVNKDPVVASGHGSIDKEAGFNKIASLPESVCHIGGNTQYAKQTVKPSGLRMPSPSLGFFTQSKINASHNIQSSSQQRNNPKSNIPNLQKLGVLNSTFEISTPSMKVHVVANDVAAIANARMSSIEPSVPSSSSSLCEDIRPDSRTSKIPRMAVRVPCNSYNHELTNNQQQLHATDSDLKQQSEKVEFQCTDDKLLLQSESSEEVKLDCKGEDLVIPRSLYHIGSEFKDPHFFSYHGLQVKSALKADNAGNQLSGNEQNNSLTKDFDLLPQLHSCDVNSSNIHGSPILNSDRISIHNRHEHSSKQAEHAKPCTFEDDQASNENERPHINNDGALVKEGEPLEEFLCSDSVQNKGICPNVRDCNASELERNDGLSRYGGRIQGNDGSVGANDLNEQSHVADAQKDSFEFDLSLEGSSGILNASEADNQHTHVNDVTKKTVQQLPLPDPCIVVETFFQDNYESHSTDCLLHGEIFSSEELLAESNLRHAKGVAGQRGLANSSSPCGIPPALQNCVHEMAECLHVEKEPSISTDSQCNHDIELLCEATSSKGLERNKEDQVTGAITSCDVDVSNDYQGSGDLGIREMDDSHSISPLGLIDDIIDLKNVEGNPYYLSANCNSTILEPGEKITCNFDAPAMLTNSSLDKQNDCETIAQDEVIQSSCEDGNNFQENQILEACNCLFSVEGQLLEESRHFDGSDSCADIGPRDKIVIRAVEGPDPSQVQTYEPYDDCADGGNETANGSFWKDVCLQSFDGGLSFDSCKTNLFTSAETSNSAVIENLNKPPELQSDVTVMPEQGKFGLWTTEEISSHIKITLSDDNCDACNDMTRPYNEQSGQVTTLNVELSSLSEDQISGAGNSCKLQAFCSLDEEPTSSINTSHISSNGSLLQEEVNRLENDVPEESNSSAIPVEAKGTTLVDTKQVAPVVRPPLNAVPFSDEWLAAFESAGEEVLTMKSGAVQNSPKDKSLPEPSPWSPVRKKNNQKMGPFDCTKFTNTNIPPGFE >KJB08923 pep chromosome:Graimondii2_0_v6:1:13245182:13246985:1 gene:B456_001G113400 transcript:KJB08923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTETVLRYSLPYTLIFTCTAVSPFSFLMFFLWEFTSFVYREGKEMESKKQNGSSSSFTAHLFGSKESSPSSKGIFSSIFPPPSMVGGRNSSSSQVLESCPKQPLEGSDWRHGMQESATYTKANKDSNSVFQEERMEPFHLSSSLYYGGRDIYRHSSSTQTSTSYPIFKKDGEHGPNGSNSQDASTGNWWQGSVYY >KJB08925 pep chromosome:Graimondii2_0_v6:1:13245337:13246793:1 gene:B456_001G113400 transcript:KJB08925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLWEFTSFVYREGKEMESKKQNGSSSSFTAHLFGSKESSPSSKGIFSSIFPPPSMVGGRNSSSSQVLESCPKQPLEGSDWRHGMQESATYTKANKDSNSVFQEERMEPFHLSSSLYYGGRDIYRHSSSTQTSTSYPIFKKDGEHGPNGSNSQDASTGNWWQGTHCSNATINSYLSMSQNLTLVSAGSVYY >KJB08928 pep chromosome:Graimondii2_0_v6:1:13245337:13246793:1 gene:B456_001G113400 transcript:KJB08928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLWEFTSFVYREGKEMESKKQNGSSSSFTAHLFGSKESSPSSKGIFSSIFPPPSMVGGRNSSSSQVLESCPKQPLEGSDWRHGMQESATYTKANKDSNSVFQEERMEPFHLSSSLYYGGRDIYRHSSSTQTSTSYPIFKKDGEHGPNGSNSQDASTGNWWQGSVYY >KJB08926 pep chromosome:Graimondii2_0_v6:1:13245337:13246793:1 gene:B456_001G113400 transcript:KJB08926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLWEFTSFVYREGKEMESKKQNGSSSSFTAHLFGSKESSPSSKGIFSSIFPPPSMVGGRNSSSSQVLESCPKQPLEGSDWRHGMQAPLAESATYTKANKDSNSVFQEERMEPFHLSSSLYYGGRDIYRHSSSTQTSTSYPIFKKDGEHGPNGSNSQDASTGNWWQGTHCSNATINSYLSMSQNLTLVSAGSVYY >KJB08924 pep chromosome:Graimondii2_0_v6:1:13245337:13246793:1 gene:B456_001G113400 transcript:KJB08924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLWEFTSFVYREGKEMESKKQNGSSSSFTAHLFGSKESSPSSKGIFSSIFPPPSMVGGRNSSSSQVLESCPKQPLEGSDWRHGMQAPLAESATYTKANKDSNSVFQEERMEPFHLSSSLYYGGRDIYRHSSSTQTSTSYPIFKKDGEHGPNGSNSQDASTGNWWQGSVYY >KJB08927 pep chromosome:Graimondii2_0_v6:1:13245337:13246793:1 gene:B456_001G113400 transcript:KJB08927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLWEFTSFVYREGKEMESKKQNGSSSSFTAHLFGSKESSPSSKGIFSSIFPPPSMVGGRNSSSSQVLESCPKQPLEGSDWRHGMQAPLAESATYTKANKDSNSVFQEERMEPFHLSSSLYYGGRDIYRHSSSTQTSTSYPIFKKDGEHGPNGSNSQDASTGNWWQGSVYY >KJB10655 pep chromosome:Graimondii2_0_v6:1:42802947:42806397:1 gene:B456_001G214200 transcript:KJB10655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLHLMELIPLFQTPCARSLLKILQNTLTDRGLLLFSLWTLTVLLMMLTILAGFSIVGIHPPPAFGSSPNLETLALEANKSKDQDGDSSLLDSSHLSRPMHEVTFSTEDKPKLLSQV >KJB10656 pep chromosome:Graimondii2_0_v6:1:42802700:42806397:1 gene:B456_001G214200 transcript:KJB10656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLHLMELIPLFQTPCARSLLKILQNTLTDRGLLLFSLWTLTVLLMMLTILAGFSIVGIHPPPAFGSSPNLETLALEANKSKDQDGDSSLLDSSHLSRNTCPMPYFRLRTAKEILKLKVD >KJB10654 pep chromosome:Graimondii2_0_v6:1:42802700:42805100:1 gene:B456_001G214200 transcript:KJB10654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLHLMELIPLFQTPCARSLLKILQNTLTDRGLLLFSLWTLTVLLMMLTILAGFSIVGIHPPPAFGSSPNLETLALEANKSKDQDGDSSLLDSSHLSRYLFMP >KJB10657 pep chromosome:Graimondii2_0_v6:1:42804321:42805777:1 gene:B456_001G214200 transcript:KJB10657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLHLMELIPLFQTPCARSLLKILQNTLTDRGLLLFSLWTLTVLLMMLTILAGFSIVGIHPPPAFGSSPNLETLALEANKSKDQDGDSSLLDSSHLSRPMHEVTFSTEDKPKLLSQV >KJB11636 pep chromosome:Graimondii2_0_v6:1:54582709:54584775:1 gene:B456_001G269000 transcript:KJB11636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQNLSFSKTINPSFLLCPSPKPCLFASFPHQNRPFSCQYTPILSVHHRDIRAFAGRSKKKPGDQSSGRLEGNSEIRRVARQNARRKQKKRAESLFYRLKNPGKSIHADNFTEEELEAIGLGYDRMVRFMEKDDPNLKHPFDWYKYGEFGPYSWRGVVVGEPIRGRFSDERVTMIGEVKNHEEWEKIEQFEMASEFGKRLEMMDRNVGFRYFWVFVRHPKWRISELPWEQWTLVSEVVLEAKKTERLDKWSLMGRLGNKARSLITQCAAWMRPDIIYVKKPVYQCRFEGQDEFFNALIPFLDPKTERDFLFEVKKEDRSVEMCSYFEGLCKIVKVNQKAFVDDVVKGFEKLSEEGKSRCLGFLLGNHPLPLLHPYTKEWKAKLEEMELGCDAPDDDEDVGRHRESGEMQFTDWIEDDYGGRDDDDDDDDEAEDQDDVVLDIEDKGDEELGTKEEESDEEEDEKYWEEEFQKALSSSDRMEKLVKRSVEVTTELYNKQLAMLADRKERIMEDGDETELRGKRAKVKPEEWKRAGIGRWNKRIKKSKIPPELFLRAAVRPFTYRNLVKEIVLTRHAILEGEIGRKD >KJB09386 pep chromosome:Graimondii2_0_v6:1:18309284:18311311:1 gene:B456_001G138200 transcript:KJB09386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAIRPDTHFTKVGWLKITVNFETKTGKTYSQRQLKNRWDALKKEWKTWKKLKGEDTNLGWNLIKEPLIHQMIGERVVPEAKKFRTSSIDPKFERKLDQMFMRIVITGDKAWTPSSVKWDVTLMKTIKKRKTPEAGTSHFKIAMKKSSKKIGEAVRLSTTSSLTHVMDPYGILQAIKVLDNLSEEVPKASLLYFFSLKLLINKDKRTVFLSINPKIRAW >KJB06532 pep chromosome:Graimondii2_0_v6:1:49115279:49116348:1 gene:B456_001G246800 transcript:KJB06532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPPIVVNAKQVLKAQQAQSSVPKGHIAIYVGEVERKRYIIPVTFVNHPSFVDLLNQAEEEFGFNHPMGGLTIPCKEDTFIDLASQLHALT >KJB09504 pep chromosome:Graimondii2_0_v6:1:52390959:52391381:1 gene:B456_001G252400 transcript:KJB09504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKSKKAGEGDSSIRYRGVRRRPWGKFAAEIRDSTRLGGPRLWLGTFDTAEDAARAYDRAAFAMRGASAILNFPDEHMASPTTNPPPSSSMASSSSSSSAIENAERKREHDTQVLELEYLDDELLEQLLDFDNKDTKD >KJB08304 pep chromosome:Graimondii2_0_v6:1:7724639:7725341:-1 gene:B456_001G0755002 transcript:KJB08304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HKRLTASASKGGDLLYETELLEQFADTDAAKEFFECLDMQLNKVNQFYKTKEKDELKTIIKQQQRRKGEEEDASISCSISCEEDSVKDRTEQEQQQQDSFMDELEKNEVPFSD >KJB10474 pep chromosome:Graimondii2_0_v6:1:39292694:39293092:1 gene:B456_001G202900 transcript:KJB10474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTLVACSRLTSWVPSAFAAEALAVIHGLRFALDLDFQSVILEGGSRSVIQKIVDNGKDLSEIRSLTWEAKELAKSFRFCRFHFVDRSGNKAAHAMTRDGLRRDEDRFWVEEVPDLATVTVDDDYRSVDPP >KJB08032 pep chromosome:Graimondii2_0_v6:1:5965087:5969181:1 gene:B456_001G060200 transcript:KJB08032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYFLYESSSGYALFQAQGLDEIGQNTEAVRNSVADLNRFGKVVQLTAFQPFESAIDGLNQCNSVSEGLMTNELRSFLELNLPKVKEGKKSKFSLGVAEPKLGSHISETTKITCQSGEFVLELLRGVRLHFDKFIKDLKPGDLEKAQLGLAHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYLYARVAKLIEDKSKLSEEHIPALTEILGDEDKAKETVEAGKASMGQDLTPVDLINVQLFAQRVMDLAEYRKNLYDYLVTKMNDIAPNLASLIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASAKNKGRMARYLANKCSIASRIDCFAERGTTVFGEKLREQVEERLEFYDKGVAPRKNIDVMKSAIETTQNKDVEMEEAQPVEASAKKSKKKKSKPEDAPAKAANGDALEDAKSEKKKDKRKKEQGHGAEEMDGINGDVAEQDGTAKKKKKKSKDEVAAASKTIKKRKSKE >KJB07102 pep chromosome:Graimondii2_0_v6:1:3620811:3623692:1 gene:B456_001G038700 transcript:KJB07102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCARNSIYTNPKPCKHLADYKLRHGFNTYDSIRNCLKTTPNRRTRVAKHTTKIPRCSFCDRYQGRLYFCLMCSSLWCPTHILLHTQSEKGHEVAIDMDRSELYCCLCCDQVYDPDFDKIVLCNQVKDLPGGSKSKTNRFEASGERSSKRKRLDSGIGLDLKKSKLLVSMRDRRAKSCYPLGLRGLNNLGSTCFMNSVLQALLHAPPLRNYFLNDRHNSVQCRKRSGEKLCLLCDIDAISSAMFSGDRTPYTPAHFLYSWWQHSSNLASYEEQDAHEFFISVLDGIHEKESKIRNSGKDDGNCQCIAHRAFSGLLRSDVTCISCGFTSTTYDPCVDISLNMDTSNLSSSNANKPIKPDEKTKLRDSSKQLSIRRLPLVLCLHIKRVEHSLVRKISRKINQYMQFPFSLDMTPYLSSSIVRSKFGNRIFTFEYDNSNSSAAYEIFAVIAHSGMLESGHYVTYLRLKNQWYKCDDAWISEVDEGIVRASQCYMLFYVQKLLYNKANEDSSFVRISPSRDPFESTAGCS >KJB07101 pep chromosome:Graimondii2_0_v6:1:3620689:3623787:1 gene:B456_001G038700 transcript:KJB07101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCARNSIYTNPKPCKHLADYKLRHGFNTYDSIRNCLKTTPNRRTRVAKHTTKIPRCSFCDRYQGRLYFCLMCSSLWCPTHILLHTQSEKGHEVAIDMDRSELYCCLCCDQVYDPDFDKIVLCNQVKDLPGGSKSKTNRFEASGERSSKRKRLDSGIGLDLKKSKLLVSMRDRRAKSCYPLGLRGLNNLGSTCFMNSVLQALLHAPPLRNYFLNDRHNSVQCRKRSGEKLCLLCDIDAISSAMFSGDRTPYTPAHFLYSWWQHSSNLASYEEQDAHEFFISVLDGIHEKESKIRNSGKDDGNCQCIAHRAFSGLLRSDVTCISCGFTSTTYDPCVDISLNMDTSNLSSSNANKPIKPDEKTSASTLSGCLNLFTRAERLGSDQKLHCQNCQELRDSSKQLSIRRLPLVLCLHIKRVEHSLVRKISRKINQYMQFPFSLDMTPYLSSSIVRSKFGNRIFTFEYDNSNSSAAYEIFAVIAHSGMLESGHYVTYLRLKNQWYKCDDAWISEVDEGIVRASQCYMLFYVQKLLYNKANEDSSFVRISPSRDPFESTAGCS >KJB08894 pep chromosome:Graimondii2_0_v6:1:13179819:13181199:1 gene:B456_001G112900 transcript:KJB08894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGEVSIQRLKEIEMPMVSDGNTVISMTPELKCCSGCVFSGRPNTALESKERHKILHENDEVNGALMFAVAAFRFVINLVMVLWLGHDHTHHACRGTVHHRHHDDHHSDLATEEETSLVPSGPKTNKIMNINLQGAYLHVVADLIQTVGVMITGAVIWIKPKWLIVDLLCTLIFSTVALSTTLPMLRDIFSILMERTAGEINIDMLESGIKGIDGVQNIHDLHVWAITVGY >KJB08895 pep chromosome:Graimondii2_0_v6:1:13180175:13182991:1 gene:B456_001G112900 transcript:KJB08895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGEVSIQRLKEIEMPMVSDGNTVISMTPELKCCSGCVFSGRPNTALESKERHKSVTKLSGLILFYLIVISVEIIGGVKANSLAVMSDAAPLLTDVAGFCISLFTVWASAWKATSYQSFGFNRLEVLGALLSMQLIWLISALLIYEALDRILHENDEVNGALMFAVAAFRFVINLVMVLWLGHDHTHHACRGTVHHRHHDDHHSDLATEEETSLVPSGPKTNKIMNINLQGAYLHVVADLIQTVGVMITGAVIWIKPKWLIVDLLCTLIFSTVALSTTLPMLRDIFSILMERTAGEINIDMLESGIKGIDGVQNIHDLHVWAITVGFLSLFYIGKLVLSCHVKADPGTSSNEILSEISDYCVKTYNIHHKLSNLLVVTSA >KJB07691 pep chromosome:Graimondii2_0_v6:1:3527619:3529351:1 gene:B456_001G037800 transcript:KJB07691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPSSVKDKVEGVEAELEQLQKENAALRLMLQVMSNKYKMLSEAYFRESNSQVPTICRGLTRFDLCEDQHSHKNILIPQLQLAANSSQVFVETDPRDESHIVKDGFQWRKYGQKVTKHNPFPRAYFRCSMAPGCPVKKKVQRCMEDKNFLMATYEGRHNHEVNPSIGQSLCSPTSSATTSISGFPNSVLDNPLRSAITLDLNLSSENSSGNGNGNDDDYNNKRSMEDHVAASLAKDPSFTLALAAAVARSITEHPKPPTN >KJB08241 pep chromosome:Graimondii2_0_v6:1:7424431:7429049:1 gene:B456_001G073200 transcript:KJB08241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein QUIRKY [Source:Projected from Arabidopsis thaliana (AT1G74720) UniProtKB/Swiss-Prot;Acc:B8XCH5] MTTSSQQLPPQLPNTVRKVIVEVVDARDLLPKDGQGSSSPYVIVDFDGQKKKTSTKYRDLNPVWNEALEFTVSDPDNMDVEELEIEVCNDKRFGNGSGRKNHFLGRVKLYGSQFAKRGEEGLVYFPLEKKSVFSWIRGEIGLRIYYYDEIVEDQPPPEDPPPQQQQQPPQTEDTKPTPGLVVVEEGRIFEVPPAHMEYPHGVNGYTHGAAPCYPSSPVVVVEESSPDVVHVHEELPTPAKPTAMPMQPHMAPGISVSEEHFPVSEVRKMQSSRGERVRVLKRPNGDYSPREIVCNKTQGDNATVGGVGGAEKIHPYGLVEPMHYLFVKIVKARRLAPNEAPYVKIRMSNDYVKTKPMIYRPGEPTDSPEWGKVFYFGYNKQESANATLEISVWDSPTENFLGGVCFDLSDVPVRESPDSPLAPQWYRLESGAVDQNSHSVSGDIQLAVWIGTQNDDAFSEAMSSDAPYVVHTRSKVYQSPKLWYLRLTIIEAQDLQIAPNLPPLTVPEIRVKAQLGFQSVRSRRGNMNNHSMSVHWNEDVIFVAGEPLEDSLILLVEDRTNKDVSLLGHVMIPLISIEQRIDERRVASKWIGLEGGAGGGGGGGGPYCGRIHLRLCLEGGYHVLDEAAHVCSDFRPTAKQLWKPPIGILELGILGACGLLPMKNKGGGKGSTDAYCVAKYGKKWVRTRTVMDSFDPRWNEQYTWQVYDPCTVLTVGVFDNWRMFADVSEDKPDSRIGKIRIRISMLESNRVYTNSYPLLILTRMGLKKMGEIELAVRFACPSLLPDTCSAYGQPLLPRMHYLRPLGVAQQEALRGAATKMVAQWLARSEPPLGQEVVKYMLDADSHTWSMRKSKANWFRIVAVLAWAVGLAKWLDNIRRWKNPVTTVLVHVLYLVLVWYPDLVMPTGSLYVVLIGVWYYRFRPKIPAGMDIRLSQAETVDPDEIDEEFDTIPSSKPPEIIRARYDRLRVLAGRVQTVLGDFATQGERVQALVSWRDPRATKLFIGVCLAITFILYVVPPKMVAVALGFYYLRHPMFRDPMPPASLNFFRRLPSLSDRLM >KJB10288 pep chromosome:Graimondii2_0_v6:1:33073985:33074185:1 gene:B456_001G194300 transcript:KJB10288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKDVQVTIILECTSCVQNGYITKKNQHNTPIRSKLKKFCPYCYKHTIHREIKK >KJB08330 pep chromosome:Graimondii2_0_v6:1:8031170:8033235:-1 gene:B456_001G077600 transcript:KJB08330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDKFTHKTNEALAASHELAMSNGHAQFTPLHLAVSLISDPTGIFPQSISNAGGENAAQSAERIFNQALKKLPSQSPPPDEIPASTSLIKVLRRAQAAQKARGDTHLAVDQLILGLLEDSQIADLIKEAGVAPAKVKSEVEKLRGKEGRKVESASGDTTFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVIRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLADVRVIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSIADTISILRGLKEKYEGHHGVRIQDRALVVASQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLVEVRKELDDLRDKLQPLMMKYRKEKQRVDEIRRLKQKREELMFALQEAERRYDLARAADLRYGAIQEVESAIAQLEGTTDENIMLTETVGPEHIAEVVSRWTGIPVTRLGQNEKERLIGLAERLHQRVVGQNQAVEAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENQLIRIDMSEYMEQHSVARLIGAPPGYVCWT >KJB08332 pep chromosome:Graimondii2_0_v6:1:8029968:8033931:-1 gene:B456_001G077600 transcript:KJB08332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDKFTHKTNEALAASHELAMSNGHAQFTPLHLAVSLISDPTGIFPQSISNAGGENAAQSAERIFNQALKKLPSQSPPPDEIPASTSLIKVLRRAQAAQKARGDTHLAVDQLILGLLEDSQIADLIKEAGVAPAKVKSEVEKLRGKEGRKVESASGDTTFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVIRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLADVRVIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSIADTISILRGLKEKYEGHHGVRIQDRALVVASQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLVEVRKELDDLRDKLQPLMMKYRKEKQRVDEIRRLKQKREELMFALQEAERRYDLARAADLRYGAIQEVESAIAQLEGTTDENIMLTETVGPEHIAEVVSRWTGIPVTRLGQNEKERLIGLAERLHQRVVGQNQAVEAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENQLIRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHISVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLSGLSGKSSMQVARDRVLQEVRRHFRPELLNRLDEIVVFDPLSHDQLRKVARLQMKDVAVRLAERGIALAVTDAALDYILAESYDPVYGARPIRRWLEKRVVTELSRMLVKEEIDENSTVYVDASPKRNELVYRVEKNGGLVNAATGQKSEVLIQIPNGQPRSDAAQAVKKMKVEEMDEDEDEEMDM >KJB08331 pep chromosome:Graimondii2_0_v6:1:8029968:8033713:-1 gene:B456_001G077600 transcript:KJB08331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDKFTHKTNEALAASHELAMSNGHAQFTPLHLAVSLISDPTGIFPQSISNAGGENAAQSAERIFNQALKKLPSQSPPPDEIPASTSLIKVLRRAQAAQKARGDTHLAVDQLILGLLEDSQIADLIKEAGVAPAKVKSEVEKLRGKEGRKVESASGDTTFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVIRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLADVRVIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSIADTISILRGLKEKYEGHHGVRIQDRALVVASQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLVEVRKELDDLRDKLQPLMMKYRKEKQRVDEIRRLKQKREELMFALQEAERRYDLARAADLRYGAIQEVESAIAQLEGTTDENIMLTETVGPEHIAEVVSRWTGIPVTRLGQNEKERLIGLAERLHQRVVGQNQAVEAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENQLIRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHISVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLSGLSGKSSMQVARDRVLQEVRRHFRPELLNRLDEIVVFDPLSHDQLRKVARLQMKDVAVRLAERGIALAVTDAALDYILAESYDPVYGARPIRRWLEKRVVTELSRMLVKEEIDENSTVYVDASPKRNELVYRVEKNGGLVNAATGQKSEVLIQIPNGQPRSDAAQAVKKMKVEEMDEDEDEEMDM >KJB11705 pep chromosome:Graimondii2_0_v6:1:55023208:55025654:1 gene:B456_001G273300 transcript:KJB11705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKQSPKKVANFLSLPQQQQQPQNMGENKVGEVQDSQIVIADKEGKKQQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGSGTIPASALAAAGGSVSQQGASLSAGLHQRLEDLGGSSSSIGSGSGRTSWGIEGNLGRPHHVGTGLWSPVSGYGFQSLSGPSTTNLGTESSNYLQKLGFPGFDLPPTNMGQVSLTSILGAANEQQLPGLELGLSQEGHIGGLNPQALSQFYQQMGQARVHQQEQYQHQHQHQHQPPPKDDSQGSGQ >KJB11706 pep chromosome:Graimondii2_0_v6:1:55023721:55025665:1 gene:B456_001G273300 transcript:KJB11706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIFLPKTVKTRESLGHFSGRLLQSKRSPPPFYLLMDSKQSPKKVANFLSLPQQQQQPQNMGENKVGEVQDSQIVIADKEGKKQQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGSGTIPASALAAAGGSVSQQGASLSAGLHQRLEDLGGSSSSIGSGSGRTSWGIEGNLGRPHHVGTGLWSPVSGYGFQSLSGPSTTNLGTESSNYLQKLGFPGFDLPPTNMGQVSLTSILGAANEQQLPGLELGLSQEGHIGGLNPQALSQFYQQMGQARVHQQEQYQHQHQHQHQPPPKDDSQGSGQ >KJB07931 pep chromosome:Graimondii2_0_v6:1:5132181:5134466:1 gene:B456_001G053700 transcript:KJB07931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRIALRNVHRIVNTYAVAGRTTTVFRPQYGWVSTYFSTNISNTQPLNIDLSNEESKRRLYNRLLYRSKQRGFLELDLVLGKWVEEHIHSMDENGIKALVNVLDLENPDLWKWLTGQEQPPEAVTINPKVLKNLNNHSSPETRATRGRPWVRGWDDIKKGRDSPITGNQ >KJB07930 pep chromosome:Graimondii2_0_v6:1:5132117:5134466:1 gene:B456_001G053700 transcript:KJB07930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRIALRNVHRIVNTYAVAGRTTTVFRPQYGWVSTYFSTNISNTQPLNIDLSNEESKRRLYNRLLYRSKQRGFLELDLVLGKWVEEHIHSMDENGIKALVNVLDLENPDLWKWLTGQEQPPEAVTINPVFSAVQQKVLKNLNNHSSPETRATRGRPWVRGWDDIKKGRDSPITGNQ >KJB09494 pep chromosome:Graimondii2_0_v6:1:19770369:19771211:1 gene:B456_001G145500 transcript:KJB09494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNILIVMIMGVYLGQMMITILMLVEEEVGSPLIIQTQQVYTSIGMLFKDGEQFKSAIRKYSLCCRRELKIIKNEPTRVRVKCIASKKCEWGIFTSYSNMSRSMQVKSFHDEHNFCISFRNKMVKMKVIVENFEATSRDHPKMKLRETQRRLALEMNVNVNMTRCRRAKKMVKDKLAEIFVEEFSMLWDYADELRLQDPGSTIKMVVNRGTPKSPPHFKRFYVCFEALKRDWKEGCRLILSLDGCFLKGPFKGEMLFAVGRDGNNQMYLVTWAINEGEC >KJB09369 pep chromosome:Graimondii2_0_v6:1:17926311:17927747:1 gene:B456_001G136800 transcript:KJB09369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMMGGDSGISAFPEEDNIFCWKGTITGSKDTVFEGTEYKLSLSFSNDYPFKPPKVKFETACFHPNVDVFGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNTHAAQLWSNQEEYRKMVEKLYKPPNA >KJB09368 pep chromosome:Graimondii2_0_v6:1:17926276:17927880:1 gene:B456_001G136800 transcript:KJB09368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVNGYQGNTPVATSTGSKHPAPTAKTADAQSVLKRLQSELMALMMGGDSGISAFPEEDNIFCWKGTITGSKDTVFEGTEYKLSLSFSNDYPFKPPKVKFETACFHPNVDVFGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNTHAAQLWSNQEEYRKMVEKLYKPPNA >KJB09114 pep chromosome:Graimondii2_0_v6:1:15491142:15494063:1 gene:B456_001G124100 transcript:KJB09114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYGLQLRVPPSQQKKPVTRPPLPPPRGFQEDDDDDVEKEISRQASKNKALKEVEEQHKKALEEDPSVFDYDGVYDAMKEEVVRPRAQDHEERKPKYILNLMKKAEQRKWEQEIVYERKLVKERSKEDHLYADKDKFVTSAYKRKLAEQAKWMEEERLRQLREEKEDVTKKSDLSDFYFNLGKNVAFGANEAKPRKPELREPEKEKEKEVLNRVNPLPDSVSPESSAVIDRTRDESSFREIFDSRDSKPITEDTVPDTAVQETTSEKQPSVDQPKSDHHKRGADAVAAARERFLARKRAKEQ >KJB09115 pep chromosome:Graimondii2_0_v6:1:15491195:15494005:1 gene:B456_001G124100 transcript:KJB09115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYGLQLRVPPSQQKKPVTRPPLPPPRGFQEDDDDDVEKEISRQASKNKALKEVEEQHKKALEEDPSVFDYDGVYDAMKEEVVRPRAQDHEERKQPKYILNLMKKAEQRKWEQEIVYERKLVKERSKEDHLYADKDKFVTSAYKRKLAEQAKWMEEERLRQLREEKEDVTKKSDLSDFYFNLGKNVAFGANEAKPRKPELREPEKEKEKEVLNRVNPLPDSVSPESSAVIDRTRDESSFREIFDSRDSKPITEDTVPDTAVQETTSEKQPSVDQPKSDHHKRGADAVAAARERFLARKRAKEQ >KJB09582 pep chromosome:Graimondii2_0_v6:1:20973604:20977691:-1 gene:B456_001G151000 transcript:KJB09582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKKTSQGHLSRTRIGKYNLGRTLGEGSFAKVKFAENVETGQCVAIKILDRDQVLRHRMVEQIKNEISTMKLIKHPNVIKIFEVMASKTKIYIVIEFVNGGELFDKIARHGRLKEDEARSYFHQLINAVDYCHSRGVYHRDLKPENLLLDSYGVLKISDFGLSAFSQQVREDGLLHTACGTPNYVAPEVLKDKGYDGKSSDIWSCGVILFVLMAGYLPFDEPNLITLYNKIGKADFTCPSWLSPGAKKFIKRILDPNPLTRITIHDILNDEWFKKGYKPPKFEEEEDVNLDDVDAAFNDSTEHFVTERKEKPESLNAFEFISRSQSFSLDKLFEKPTGHVKRETRFASHCPPNEIISKIEEAAKPLGFNVHKQNYKMKLKGDKNGRKGQLSVATEVFEVAPCLHMVELRKTGGDTLEFHKFYKQFSSGLKDVVWKAEDIAEEP >KJB09583 pep chromosome:Graimondii2_0_v6:1:20973635:20977684:-1 gene:B456_001G151000 transcript:KJB09583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKKTSQGHLSRTRIGKYNLGRTLGEGSFAKVKFAENVETGQCVAIKILDRDQVLRHRMVEQIKNEISTMKLIKHPNVIKIFEVMASKTKIYIVIEFVNGGELFDKIARHGRLKEDEARSYFHQLINAVDYCHSRGVYHRDLKPENLLLDSYGVLKISDFGLSAFSQQVREDGLLHTACGTPNYVAPEVLKDKGYDGKSSDIWSCGVILFVLMAGYLPFDEPNLITLYNKIGKADFTCPSWLSPGAKKFIKRILDPNPLTRITIHDILNDEWFKKGYKPPKFEEEEDVNLDDVDAAFNDSTEHFVTERKEKPESLNAFEFISRSQSFSLDKLFEKPTGHVKRETRFASHCPPNEIISKIEEAAKPLGFNVHKQNYKMKLKGDKNGRKGQLSVATEVFEVAPCLHMVELRKTGGDTLEFHKFYKQFSSGLKDVVWKAEDIAEE >KJB11055 pep chromosome:Graimondii2_0_v6:1:47652319:47656515:1 gene:B456_001G238300 transcript:KJB11055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELAEEKGSANGRDDDYTEDGSVGLKGRPVLRSITGRWKACSFIVGYEVFERMAYYGIASNLVVYLTRELHEGTVKSSNNVNNWVGTVWITPVLGAYIADAHLGRYWTFLIASAIYLSGMCLLTLVVSVPALRPPSCGHGYKAINCNKRASGFQKGIFYCALYIIAVGTGGTKPNISTMGADQFDDFEPKERVQKLSFFNWWMFSIFFGTLFSNTFLIYIQDNVGWSLGYGIPTIGLLVSVLVFLVGTPFYRHKLPLGSPFTRIFQVLVAAVRKWNMPVPTDPKELHELSLEEYSKSKQFRIDYTPSLRFLDKAAVKTGPNSAWKLCPVTQVEETKQMVKMVPILVATFIPSTLVAQMGTLFIKQGTTLDRSMGPHFQIPPACLTAFVTIFMLISIVLYDRYFVPIVRRYTNNPRGITLLQRMGIGIILQIIVMIIACFAERKRLSVAREHQKLGAYDSIPLSIFILLPQFALVGVADAFVEVAKLEFFYDQAPEGMKSLGTSYFTSSIGIGHFLGSFILTTVSDMTKRHGHRGWILDNLNTSHLDYYFAFLAALGFLNFLIFLAVAKLFVYNADVKESDTGLQEATDASQNKASSKVEALRAGTSY >KJB10665 pep chromosome:Graimondii2_0_v6:1:43280292:43282589:-1 gene:B456_001G216100 transcript:KJB10665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARQFRYLTNHRSTFLKNLHSSKYVHHLLDEIPHSNPTPFYPVNLPFDAFRRFKLLLKSSQFNAIDEVTLALALKGSCGDSKQGVQIHKFAVTSGLMSFVTVPNSLMNMYSKSGQFDKALCVFEGLNDPDVVSWNTLLSGFQKSEQALNFVLRMNLNGVAFDAVTCTTALSFCLDLEGFLLGLQLHALVMKSGLDNEVFVGNALITMYARWKRLEEARRIFDEMPNKDLVSWNAILSGYSQDDGYGLEAICTFIEMVKQRMKLDNVSFTGAVSACSHQRNLDVGRQIHGLCIKRGYGTHVSVCNVLMSMYAKCEVVEDAKLVFRRMNERNVISWTTMISVDEKDALHHFNEMRSDSVYPNDVTYVGLIHAITTGKLVEEGRMVHACCVKGNFLLESNVCNSLITMYAKFELIQDSIKVFEELESKEIVSWNALISGYVQNGMLTEALRTFLSAARECKPNQYTFGSVLNAIGSCEHVSLKHGQWCHLHLIKVGLNTDPIISNGLLDMYAKRGSISEAQKVFSEIPQKSQFAWTSLISAHARHGNYGSVMASFKEMKREGVKPDSITFLSILTACGRNGMVDIGGQLFDSMLREYQIEPSSEHYSCMVDMLGRAGRLEEAEKLMGCTPGGPGLSMMQSFLGACTIHGNLEMGERVADTLMEMEPNESGPYVLMSNLYAEKGKWEKVAKVRKMMRQRGVRKEVGFSWVDVGDIKSSMSLHGFSSGDRSHPRSEEICNMAECLGLQMKLLREKNRQRIYGNANDIIT >KJB10731 pep chromosome:Graimondii2_0_v6:1:44432526:44433776:-1 gene:B456_001G219500 transcript:KJB10731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDDKRAKRRKTQTEEDDDDDHHHQPQPTAMETLPNEIIVDILSRLPITSLVQFKFVCKRWRALTQDPVLADMHLSWKADGNDSCLILHCDFPIRNQLYFLDLSAHNQDKDKVKRLYVPFQATMPEFDVVGSCNGLLCLSDSLYNDALYVHNPFTMDCIELPKSRQYSDQELVFGFGFHPKTKEYKVVKIVYYRNTSSYSRARRTVYPQSDVQIYTLGSSSWRSLGKVSYQFVRRPSEALVNGRLHWVSRPRRYHPARRLISFDLADEQFREVPKPDCGGLNRCNFHLSVLGGCLAAAVYGNYGKLEIWVMKCYNVNESWVKQFTIGAYMPKCLKLNLDRHRPLKIWKTGSNGKVVRVLCLLNSGEVLLEYKNRVLVSYNPKKGKFRDLVFHGLPNWFQTVVHTGSLNWLNTVH >KJB10852 pep chromosome:Graimondii2_0_v6:1:46522356:46523198:1 gene:B456_001G228700 transcript:KJB10852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPVSEGDESMKAKKNVMESKKSCICSPTSHAGSFRCHLHRATAAPTLSQNSPSCCVGTLANAAFKKLNSKPQGGQEEPILSRLGRASSSLKLKPISETTLPP >KJB09449 pep chromosome:Graimondii2_0_v6:1:19340201:19341148:1 gene:B456_001G143000 transcript:KJB09449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKGDVAQRAWSLLRLALLWARKGGVFRRRLMMELRLVPKFLKGLAHHQTHRHHQLMSHYKERQLSFDETPIFHVKMHRPASMRFLLPCISTEEVDFDYDFGPDDYDGVYGYDDGGRKSDSTASDTDEEDGEVEECGYEGCDEKENSPYYAVEEEGIDSKAEKFIASFYEQMRLQRQVSYLEYGEKLSTGSKS >KJB07594 pep chromosome:Graimondii2_0_v6:1:2952432:2955787:1 gene:B456_001G031900 transcript:KJB07594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLTITKSAFSNFFLYISWLKPGFAVVFLLDSNHKCYFCGLSLCIGMKRIPVVLAYLLIIYTFVGFKSFANCNFQSLLSTRYTRFKSLKHDLYGRAYEIYSFFKNLL >KJB08136 pep chromosome:Graimondii2_0_v6:1:6810550:6813433:-1 gene:B456_001G067900 transcript:KJB08136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTQFLISLPPKPPYLLSYSSPSKPRSINITCCLSIQQARNDQTTSSGSNALNGLRVAFAAGGTGGHIYPAVAIADELKLVNPTSEILFLGRPNSMESTAIPSAHFEFKSIPAFKLVRPFFSLRNLLLPYFLIKSITKCFTLLSKFEPHLVVGTGGYVSFPVCLAALLKGIKVVIQEQNSVPGIANRFLSLFADLVFVAFNSTVQSFPRKEKCVVCGNPVRLSLKNSVSKAVSRLHFFPWLEKMEGSSEEIKVILVLGGSLGANAVNIALLNVYSQLLLEHENWFIIWQTGVESFNEMESLVRSHPRLLLAPS >KJB08137 pep chromosome:Graimondii2_0_v6:1:6810550:6813475:-1 gene:B456_001G067900 transcript:KJB08137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTQFLISLPPKPPYLLSYSSPSKPRSINITCCLSIQQARNDQTTSSGSNALNGLRVAFAAGGTGGHIYPAVAIADELKLVNPTSEILFLGRPNSMESTAIPSAHFEFKSIPAFKLVRPFFSLRNLLLPYFLIKSITKCFTLLSKFEPHLVVGTGGYVSFPVCLAALLKGIKVVIQEQNSVPGIANRFLSLFADLVFVAFNSTVQSFPRKEKCVVCGNPVRLSLKNSVSKAVSRLHFFPWLEKMEGSSEEIKVILVLGGSLGANAVNIALLNVYSQLLLEHENWFIIWQTGVESFNEMESLVRSHPRLLLAPFLHSMNMAYAAADLVVSRAGAMTCSEILATGKPSILVD >KJB08135 pep chromosome:Graimondii2_0_v6:1:6810550:6813155:-1 gene:B456_001G067900 transcript:KJB08135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTAIPSAHFEFKSIPAFKLVRPFFSLRNLLLPYFLIKSITKCFTLLSKFEPHLVVGTGGYVSFPVCLAALLKGIKVVIQEQNSVPGIANRFLSLFADLVFVAFNSTVQSFPRKEKCVVCGNPVRLSLKNSVSKAVSRLHFFPWLEKMEGSSEEIKVILVLGGSLGANAVNIALLNVYSQLLLEHENWFIIWQTGVESFNEMESLVRSHPRLLLAPFLHSMNMAYAAADLVVSRAGAMTCSEILATGKPSILVD >KJB06898 pep chromosome:Graimondii2_0_v6:1:7352239:7357648:1 gene:B456_001G072300 transcript:KJB06898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIYFLLLAILLPCFFEILSLSCSTVMKDTLRYLAGIAGPSGYGSKTTAQQVADNSSSPLHRLTAIITGATSGIGAETARVLAKRGVRVVIPARDIKKAAELKEGIENENPNVEIMLFEIDLSSLASVKRFCTQFLALGLPLNILINNAGIFSQNLEFSEDKIEMTFATNYLGHYLMTEMLIEKMVETAEETGIQGRIINLSSVIHSWVKRECFSFTQMLNPNNYNATRAYAQSKLANILHAKEVARQLKARSAKVTINAVHPGIVKTGIIRAHKGFITDSLFFIASKLLKSTSQGASTSCYVALSPQAQGLSGKYFADCNECNCSALANDELEAQRLWRQTRALIHRRLQYQFPTPS >KJB06797 pep chromosome:Graimondii2_0_v6:1:23162848:23163297:1 gene:B456_001G159900 transcript:KJB06797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHCEITLPLYKWRLDKCLHFIKFKSKKLSTTMDAANRLSAIAAEMGQLQNEIQEHRRVLNFLLRSVRTMDPARKEARIRATRERIEGLEERQQALRAEQQALIVHGALGRLGD >KJB06769 pep chromosome:Graimondii2_0_v6:1:19174493:19175032:-1 gene:B456_001G141900 transcript:KJB06769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSHISCLLFFYLLLVSATSDLIQKSCYEASKGNPANVKLDFCVSGFQGNPKAKAAYGVADLVLVSIETAIANATAIGSKISKLLDNKSVGMFARNCLKDCSELYSLAGSSLETGLDAFQAVDYGTANAEISAALDAPVTCEDQFKEKNGLVSPLTKENNNFRQLTAIPLAFMKMVQQ >KJB07299 pep chromosome:Graimondii2_0_v6:1:1376528:1377315:1 gene:B456_001G014500 transcript:KJB07299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSAVSMALPLSPTTQTKVSIPNPFLNPPPLKHSSMAATPTTRPNATKLEIKASSSSSSSNKEKAVTMLTAAAMTTSMMVPEIAQAADGVTPSLKNFLLSIAAGGVVLVAIIGAVIGVSNFDPVKRS >KJB07902 pep chromosome:Graimondii2_0_v6:1:4935654:4936547:1 gene:B456_001G051900 transcript:KJB07902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTHIKSKIPSQQVAFKCQNPTPHYKYHPPTYTPPINNSLHIYHPTKMEKKLQLKSFHFTLFFLFFLLFAFNIVGAFGTRKTLSMEGSNAPNGGSNGATGSAHGPNWDYSWGWGSSPGSGWGYGSGSGRSPNGFGRGYGFGFGSGTGSGSGSGYGYGSGGAHGGGYGAGSGTGGGGGSGGGSGGGGSTENGNGNRWPSRNPNPNHHG >KJB06817 pep chromosome:Graimondii2_0_v6:1:1232542:1237262:1 gene:B456_001G013000 transcript:KJB06817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKQKWTAEEEEALRAGVAKYGKGNWKLIQRDPELSPFLFARSNIDLKDKWRNLSGGPGGHGSREKSRASKPKPSSDGSQGAVVVKQTALPKPLVDDSSKSFAPNRYNEMIIEAISALKEPNGSDNRAIVGYIEQRQEVPQSFKKQLCSRLKRLVAIEKLEKVQNRYKIRKDETFGMKTASPKQKGTKLKQSQNPGHKTCDAVEEASMTAAYLIAEAENKSFVAAEAVKEAERVSKMAEDADSLLQLAKEIFETCSQGEIVLVA >KJB06818 pep chromosome:Graimondii2_0_v6:1:1232584:1236254:1 gene:B456_001G013000 transcript:KJB06818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKQKWTAEEEEALRAGVAKYGKGNWKLIQRDPELSPFLFARSNIDLKDKWRNLSGGPGGHGSREKSRASKPKPSSDGSQGAVVVKQTALPKPLVDDSSKSFAPNRYNEMIIEAISALKEPNGSDNRAIVGYIEQRQEVPQSFKKQLCSRLKRLVAIEKLEKVQNRYKIRKDETFGMKTASPKQKGTKLKQSQNPGHKTCDAVEEASMTAAYLIAEAENKSFVAAEAVKEAERVSKMAEDADSLLQLAKEIFETCNVSATLHFFSSSRV >KJB06819 pep chromosome:Graimondii2_0_v6:1:1232584:1237251:1 gene:B456_001G013000 transcript:KJB06819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKQKWTAEEEEALRAGVAKYGKGNWKLIQRDPELSPFLFARSNIDLKDKWRNLSGGPGGHGSREKSRASKPKPSSDGSQGAVVVKQTALPKPLVDDSSKSFAPNRYNEMIIEAISALKEPNGSDNRAIVGYIEVQNRYKIRKDETFGMKTASPKQKGTKLKQSQNPGHKTCDAVEEASMTAAYLIAEAENKSFVAAEAVKEAERVSKMAEDADSLLQLAKEIFETCSQGEIVLVA >KJB10328 pep chromosome:Graimondii2_0_v6:1:44140093:44141968:1 gene:B456_001G218600 transcript:KJB10328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKRKRRGRGGSSKKRGRSDFIDDLAEEDDEEDEEDDDEVYGGGGGGGGGQGGKRHKAPRDGSQFFDLEAQVDSDEEEEEDEGEDGFIVDSGADMPDEDVGRSMRRLLPLREDEQEDVEALERSIQARPHLTG >KJB11660 pep chromosome:Graimondii2_0_v6:1:54756674:54759295:-1 gene:B456_001G270500 transcript:KJB11660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTTTTFILLSLLLYGSTTGFSRVFSSESCPFPAIFNFGASNSDTGGFAAAFFQPKPPYGDTFFGMPSGRACDGRLIVDFTAESLDLPFLSSYLNSLATNFSHGANFATVSSTIRLPTADVIPQGQASPFYLSLQCSQFAQFKNRSQIIRRQGGIYASLMPREETFAKALYTFDIGQNDLTQSLFLNMTIVQVIAAIPDIVNHFLDNIKNLYSLGARLFWVYNTRPIGCFPQILTSFPSAKKDSVGCAKPYNRLAQRFNAELKNALARLRIEFPLATIVYVDLYSALYSLYTHPTKNGFERPLVACCGYGGKYNYGRDAACGETINVNGKNIMVGSCKDPSVRVSWDGVHFTEAANKFAFDLVSSGNFSNPPIPLKLACHHR >KJB11661 pep chromosome:Graimondii2_0_v6:1:54756860:54759195:-1 gene:B456_001G270500 transcript:KJB11661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTTTTFILLSLLLYGSTTGFSRVFSSESCPFPAIFNFGASNSDTGGFAAAFFQPKPPYGDTFFGMPSGRACDGRLIVDFTAESLDLPFLSSYLNSLATNFSHGANFATVSSTIRLPTADVIPQGQASPFYLSLQCSQFAQFKNRSQIIRRQGGIYASLMPREETFAKALYTFDIGQNDLTQSLFLNMTIVQVIAAIPDIVNHFLDNIKNLYSLGARLFWVYNTRPIGCFPQILTSFPSAKKDSVGCAKPYNRLAQRFNAELKNALARLRIEFPLATIVYVDLYSALYSLYTHPTKNGNKIPKDAILLLFYFNLKFLCANQYDRHKFFFF >KJB08092 pep chromosome:Graimondii2_0_v6:1:6420918:6422048:-1 gene:B456_001G064200 transcript:KJB08092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPVGIPACYPTTITRSGQSVFMSVFRTKIADQCHLITITWCKNLLLNGLSVSISGPEGETTQQYNCKVEFKPWYFGRKQGSKHFIVDGNKAVNVFWDLKAAKFNGETEPSSEYYVAVVYNEEVILLVGDLKKDAYRKTGCRPALIDPILVSRKEHVFGKRKFCTRIKFDEKSKFHEISIEYKNKDEPEMEIRIDEHLVLHVKHLQWKFRGNESLHVDKASVEVYWDVHDWLFCPGLRHALFIFKPIPPLLTQKGSCGSLERVNPG >KJB08091 pep chromosome:Graimondii2_0_v6:1:6420553:6422132:-1 gene:B456_001G064200 transcript:KJB08091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPVGIPACYPTTITRSGQSVFMSVFRTKIADQCHLITITWCKNLLLNGLSVSISGPEGETTQQYNCKVEFKPWYFGRKQGSKHFIVDGNKAVNVFWDLKAAKFNGETEPSSEYYVAVVYNEEVILLVGDLKKDAYRKTGCRPALIDPILVSRKEHVFGKRKFCTRIKFDEKSKFHEISIEYKNKDEPEMEIRIDEHLVLHVKHLQWKFRGNESLHVDKASVEVYWDVHDWLFCPGLRHALFIFKPIPPLLTQKGSCGSLERVNPGNMYIDMKGSIIVIVTKWGFQSSCYTWASMEW >KJB09774 pep chromosome:Graimondii2_0_v6:1:23499728:23500435:1 gene:B456_001G164200 transcript:KJB09774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRRAKIPDPEHVIDSTTKLLNEAVPAEILGIILPLLLGVAFLVLAERKVMAFVQRRKGPDVVGSFGLLQPLADGLKLILKEPISPSSANFSLFRMAPVATFMLSLVAWAVVPFDYGMVLSDSNIGLLYLFAISSLGVYGIITAGRSSN >KJB07845 pep chromosome:Graimondii2_0_v6:1:4501364:4504885:1 gene:B456_001G047400 transcript:KJB07845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNKGDATKKQTPQPTQQLSSSPKEPLEESSESRQKHLQQPSAVVVTATGPPFISAPLYVPTGATSPFEQQFESVNPKRSRYNSGQWKLLPSPSSLQSQAQMAIITSESSPSPTNPINPQTQAQTTAASSSDAASSPPHSPLPSTTSGQETNKPEDQQFHHQFRKGKYVSPVWKPNEMLWLARAWRIQYQGGSDAPGSSSRSGHQEIGPLTGSDVAVQSTRGKTRAGKDREVAEFLNKHGINRDAKTAGTKWDNMLGEFRKVYEWERGGEREQVGKSYFRLSPYERKLHRLPASFDEEVFEELSQFMGPRMRTSQSRGASGIASSDDGRSVLSGPRPLPPPPPFKVDELPLSGFTERAQLPFAESSIRSMPPLEFQDPTDYYIGCLRVPPTILPGLFELSWHLQEPLPEEYRFPLRKDVYRDLPAGKEVLFTTTSELLDCRAMVYDVLSSIIRTNPSLSAATATSRDSFIGLWDDCINRVISKFCSVEMVIIRKPSSSSTEPLQDQWPNVTGFVRNFCLWRGEETDQVREGHPDPSSSLVEKLLWTYMDLPYVLGYYAVGYMVTFCALSRSQDRILRTDLYSVDLSSPSERLKALVPCCRIAGLLPLLADRCFNTISNVGSYKQFPFSDFERINLRNGSIMEMTPNTVTRSFSNKKKWAAVKEIYDILDHRIPHSELICRACEKDLVLVFKPRGCKFKPINCEQLVEAVKYVTKALVALHDLCFMHRDLGWDKVLRRSDTENEWFVCGFDEAVGAPQIYPHPVAGADTDARGRHAPEMGRGLHGVKVDVWGVGYLIKTCGLTNVPKMLRELETRCLDQNPEQRPTAADCYHHLLQVQSASSGAPY >KJB08736 pep chromosome:Graimondii2_0_v6:1:11219406:11222143:-1 gene:B456_001G100100 transcript:KJB08736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMPGICWGFQLLILFVHHCYGFYLPGSYMHTYSTKDAIYAKINSLTSIETELPFSYYSLPYCKPMGGIKKSAENLGELLMGDQIDNSPYRFRMNVNESLYLCTTSPLNEREVKLLKQRTRDLYQVNMILDNLPVMRITKQNGVNIQWTGFPIGYTPPNSNDDYIINHLKFKVLVHEYEGSGVQIIGTGEEGMGVISEADKTKASGFEIVGFEVIPCSVKYDPEVMTKLHMYDNISSVNCPLELDKSQIIREQERISFTYEVEFVKSDIRWPSRWDAYLKMEGSRVHWFSILNSLMVITFLAGIVFVILLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPNHSKLLCVMIGDGVQIMGMALVTIVFAALGFMSPASRGMLLTGMIILYLFLGIAAGYVAVCLWRTLKGTAEGWRSLSWSVACFFPGIVFVILTALNFILWGSKSTGAIPISLYFILLSLWFCISVPLTLLGGYFGTRAEPIQYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLIIVCAEVSVVLTYMHLCVEDWRWWWNAFFASGSVSLYVFLYSINYLVFDLQSLSGPVSAVLYLGYSMIMAVAIMLATGTIGFITSFYFVHYLFSSVKID >KJB07848 pep chromosome:Graimondii2_0_v6:1:4548062:4551437:1 gene:B456_001G047700 transcript:KJB07848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRWLRPEVYPLFAAVGVAVGICGFQLVRNICINPEVRVTKENRAAGVLDNFAEGEKYSEHFLRKYVRNKTPEIMPSINSFFTDPK >KJB09675 pep chromosome:Graimondii2_0_v6:1:21908670:21909939:1 gene:B456_001G155700 transcript:KJB09675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRRKQPKTSGCCSEEVSSIEWEFINMSEQEEDLIYRMYKLVGDKWALIAGRIPGRKAEEIERFWIMRHGEGFANRRRELS >KJB10737 pep chromosome:Graimondii2_0_v6:1:44629263:44632876:1 gene:B456_001G220000 transcript:KJB10737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIGTGSLKYYIGSCKKNPSNVVDNSQGQLVLPRKGVEGGKACRKGLAQMIVIDELPFKFVESEGFKKFMFVACPRFHIPSRTTMTRDVYQLYLDERIKIKQLLRSSCSRVCLTTDTWTSLQRVNYLCITAHFIDNDWKLNKKILNFCPISSHKGESIGMVIEKCLLNWGIDKLFTVTIDNASSNDVAIGYLRKKFNPRIQNGKYFHMRCMAHIVNLIVVEGLKEMNKSVERVRGAVRYFKECVVVEKIECKKMLCLDVCTRWNSTYLMLDTAQNFERAFERFEEQDTNFRVELERREGWPSVDDWVNVRNLRDFLEHFYKVTLRISGTSYVTSNNFFDELSEIDILLRDAQLKSNVDFSVMVIKMKEKYDKYWGDIDKMNLLMYVACILNPRQKLKYLEFALSEMFSSEKACEMMQKLKESLYEFFDEKMQALYKKRELEICGEDKTSELDKYLAEANEEFIEDFEILLWWKVSSPRFPTLSKMARDVLAILVSTVASESTFSTGGRVLDQYRSSLTPKIVQALVCTQDWIRKSSSQEDIKKIEEQIQDLDKIENGLMQMEIFWREEIDINGEY >KJB06330 pep chromosome:Graimondii2_0_v6:1:5905825:5911775:-1 gene:B456_001G059700 transcript:KJB06330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNFPSSSSLWLAANPSKRWGELFFLCYTPFWLTLCLGIVVPFKLYENFTELEYLLIGLVSAIPSFLIPMLVVGKADSSLHWKDRYWVKASVWIVIFSYVGNYFWTHYFFTVLGASYTFPSWKMNDVPHTTFLLTHVVFLFYHVTSNMTLRRLRHSIASLPENIQLATEVAWILALSYFIAYLETLAISNFPYYDFVDRASMYKVGSLFYAIYFIVSFPMFLRIDEKPGDLWDLPRVAVDSLGAAMLVTIILDLWRIFLGPIVPLADAKQCIHPGLPWFAGSANVASQNQCA >KJB09215 pep chromosome:Graimondii2_0_v6:1:16406740:16407109:-1 gene:B456_001G129600 transcript:KJB09215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLRLSHTQSPCECLITTLECTLALQQRTKKRLLYDPWPWKLRFPPVGSIPPPPIQILHAKVISPIKVNLKERFMREFPLIIISRSDTVLLSCTRRF >KJB09216 pep chromosome:Graimondii2_0_v6:1:16406234:16407376:-1 gene:B456_001G129600 transcript:KJB09216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLRLSHTQSPCECLITTLECTLALQQRTKKRLLYDPWPWKLRFPPVGSIPPPPIQILHAKVISPIKVNLKERFMREFPLIIISRSDTVLLSCTRRF >KJB09214 pep chromosome:Graimondii2_0_v6:1:16406740:16407465:-1 gene:B456_001G129600 transcript:KJB09214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLPDTQENSSFQNEKSKPLSSILCFQKTSPSPNSNRGPLSSPLLCPEHEIQDSTTQRTKKRLLYDPWPWKLRFPPVGSIPPPPIQILHAKVISPIKVNLKERFMREFPLIIISRSDTVLLSCTRRF >KJB09213 pep chromosome:Graimondii2_0_v6:1:16406133:16407477:-1 gene:B456_001G129600 transcript:KJB09213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLPDTQENSSFQNEKSKPLSSILCFQKTSPSPNSNRGPLSSPLLCPEHEIQDSTTQRTKKRLLYDPWPWKLRFPPVGSIPPPPIQILHAKVISPIKVNLKERFMREFPLIIISRSDTVLLSCTRRF >KJB08056 pep chromosome:Graimondii2_0_v6:1:6080084:6088444:-1 gene:B456_001G061100 transcript:KJB08056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G48500) TAIR;Acc:AT3G48500] MQILQTPIFFSFSKPLNPSSPKPSSFNLPNPRSFPFFFKTHFPKLPFTPKSFSSDEFPVDETFLENFGPKDKETEDEARRRNWIERGWAPWEEILTPEADFARKSLNEGEEVPLQTPEAIEAFKMLKPSYRLKKMKEMGISEDEWYRKQFEIKGDIPDPLETLWTGPLALRLVPPRDWPPRGWEVDREELEFIREAHKLEAVRVDLEKVEKEVITGEADMELDRYKVFLKQYKEWVDANKDRLEEESYKYDQDYYPGRRKRGKDYKEEMLELPFYYPGQICEGKVTTLHLYQGAFVDIGGVHEGWVPIKGNDWYWIRHHIKVGMHVIVEILAKRDPYRFRFPIEMRFVHPNIDHLIFNRFDFPPIFHRDEDANPDELRRDCGRPPLPRKDPGTKPEVEPLLSNHPYVDKLWQIHVAEQMILDDLEANPEKYKGKKLSELTDDDDYDEENNVQYTKVRYKKGLLPKMIVKTSVKELDLEAALAEREHHNKLRREAKERGEKYKISQFRRNIEMDEYDYIHWRRSFEEREALIRDISCRQALGLPLEEPGRYKDASFFGKDQYDPSNPLYRYDYWGEPKNSEKSKQERMTDAHNKSIVGKGTVWYEMSYEDAIKQKMQRETNSKGTMQMEADEDEKIDQVSDSDDDFDFSILSDSSFEFPNQPLVNGTESSSISDEGMFEN >KJB11409 pep chromosome:Graimondii2_0_v6:1:53065919:53069005:1 gene:B456_001G257100 transcript:KJB11409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVWFLSLLFFFLCSKVRSELIPSLPGQHGHLSFRQYSGYIVTDAEHGRALFYYFVECSSNEDVLHPLTLWLNGGPGCSSLGFGAFMENGPFQPGENGTLIKNPYSWNIESHMLYLESPIGVGFSYSNTSKDYIDWNDTQTAEDNYRFILKWLEEFPQFKDCDFFLAGESYAGHYIPQLAALLVEHNKNPNVEPVNLKAIALGNPLLDLDISVLAGDYLWSHGAISDETLFLEKTVCNDSKYMREYVGKREKKGDPCLTGRIFTYLNKPEVQKALHANTTHLPFHWDFCLGPLVYQEENIDMNLIPLVSSLIKKGIPVLLYSGDQDAKIPLTQTRIIANNIAKELNLSPFSNYGTWYDQKQVGGWTQSFGGADANGKNVTYLTFATVRGAAHEVPFTSPSQALTLFHSFVNGIPLPRPSS >KJB10678 pep chromosome:Graimondii2_0_v6:1:43258260:43258786:1 gene:B456_001G215900 transcript:KJB10678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQLCVFHSLLSPSLLISKTICLGVPLCFKDLSFCGFFGVPHPLYVLLVSYMVLDSNNEESMKDIVVGDLEYELVTLDLQTYLYNIISKKAMLDKEVRTSPMVHTRKA >KJB08164 pep chromosome:Graimondii2_0_v6:1:6931809:6937005:1 gene:B456_001G068800 transcript:KJB08164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTFHYSWRSFRSFTNFIRLPILLFRGVWLPNSRNPDRLRPEPPSSQAGNHFEGSRLSEEKMKRGPNIEHHNPSLEFSLGRADWQCKDLTD >KJB08616 pep chromosome:Graimondii2_0_v6:1:10451369:10453540:-1 gene:B456_001G094300 transcript:KJB08616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSGLSNFDFDPNYFLIHNPPVLNSFPEYTPKDTNKNNNNNNNKTMFSGTTTTPANMSLPSTVDRFPVKFDCFSDQTRPSFLPSDDDKRRVVGELDFFAEKNDKLVDVADSMHTSDAGLELNVNIGLNLLTANTSTDQSTMEDKKANNEVAVLRAKLVQMNAENERLKEMLIQATENYNTMKIHLCSLMMKNGGKAKEEDEENKKRNGGIIVPRQFIDLGLAVAADANESSPSSPEGKSQREDSSGGDNKGPGFDVDQSEAAMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPSAMSMASTTSSAARMLVSGSTSSPDGLMNSNLLTTTLLPCSSTMATISASTPFPSVTLDLTQSSFSSPPPPYNVANSVAVTAALVPQVFGQALDNQSKFSRIQMSKALDRLQQGEQNSIADTVNAATAAIATDPSFAAALAAAITSIIESSESHPNNGHNSNGNVTNTSNAM >KJB11284 pep chromosome:Graimondii2_0_v6:1:52277424:52280139:1 gene:B456_001G251500 transcript:KJB11284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLVDGVSASDMEKFLCDRLLDPTQPISERFRALFSLRNLKGPASRNALIQATRDSSNLLAHEAAFALGQMQDAEAIPALEVVLNDLSLHPIVRHEAAEALGAIGLESNIPLLKNSLVLDPAPEVRETCELALQRIEELKFDGSDDKSSMTEKSPFLSVDPAAPASSHSSVDKLREVLLDEARGMYERYSALFALRNHGGEEAVSAIIDSLGAKSALLKHEVAYVLGQLQNKAASAALSNILRNETEHPMVRHEAAEALGSIADDQSVALLEEFARDPEPIVSQSCEVALSMLEFERAGKSFEFLFMQTPVVH >KJB07935 pep chromosome:Graimondii2_0_v6:1:5171588:5177850:-1 gene:B456_001G054100 transcript:KJB07935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGSMISKRVKQEEAYEEDKNDLVEQEEALVALVEHRAKEVELLRQRVSYYKSQLVEAEERFQDSQSKLARLRGRRFLASSNASLLATKRVRVEQRIVSPEHINIGSENLLQPKTELIIPNVSPKISQPIKPEGLVAKTIIDLEETAASNRAVRKRAKKSNTSSTTDVEVVEIKDGGTKRKFEKKEHKDLIPLVESSSSPTLIRSYSSNLIPSQHKRKLRSLAVCPVNDQLFVTSALDGLVNLWQIQGRGSSASLLSTTSCVSSGERRWPEDMAWHPHRNSLFSVYTADAGDSQISVLNLKKTEGRSNVTYLEDKPHVKGVINNITFMPWEDTCFVTGGSDHAVVLWGEQDENVWKPKTLHRTLHSSAVMGVAGMQQKQIVLSAGADKRIVGFDVLAGCVDFKYLIESKCMSVLPNPCDFNLFMIQTGVHERQLRLYDIRSMYAELHSFGWKQESSESHSALINQAWSPDGLYLSSGSADPDIHIFDIRYNACKPSQSIRAHHRRVFKAVWDHSLPLLISISSDLQIGLHKM >KJB06519 pep chromosome:Graimondii2_0_v6:1:49039305:49040136:1 gene:B456_001G245800 transcript:KJB06519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPRIVNAKPSLKRSLSSSETTVVPKGHFAVYVGEVDEKKRFVVPISLLKHPSFQNLLSQAEEEFGFNHPKGVLTIPCSEEAFIDLTCNLQSS >KJB09439 pep chromosome:Graimondii2_0_v6:1:19239105:19239893:1 gene:B456_001G142500 transcript:KJB09439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSVPTSLSSADMTPDKQSSLKLFGFSLTDHQEEVSDKSDDFGESRKFECPFCHRVFGNSQALGGHQNAHKRERQKARQAQFHSRQRFIAAATPVLSSHVVRSMAPRFPRGFSTNGAAKFLPQLAGDCPSCPQLLPTTPPYPTRIFMGKPLHFRTAAPGSTEVSTKLPKAEIGIDLHLKLSHSGC >KJB08825 pep chromosome:Graimondii2_0_v6:1:11962902:11963915:1 gene:B456_001G106300 transcript:KJB08825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTDSAKGTREELDTLKFLFGPQNILLKFFPRRLSFTFLPLLLSLWSEDDLTTEATPFPAFEMVGLREPFSLKIIALCFPASSSPSLTPTSNSIVPPKVELK >KJB06825 pep chromosome:Graimondii2_0_v6:1:33989239:33990790:1 gene:B456_001G196000 transcript:KJB06825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAVGYQPTISTRMGFLQEIITSTKEGSITSIQAVYVLADDLIDLAPATTFVHLDATTVLSRGLATKGIYPEVNPLDSMSTMLQHYKRCFCQDDGVMPNDVRNSSYELNSALGTSSRNYAKTRLIVSTSVCDLRNQDDAKINLRKG >KJB07301 pep chromosome:Graimondii2_0_v6:1:1377581:1378741:-1 gene:B456_001G014600 transcript:KJB07301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYDFSNDVLFEIFSRADLKTMKKCRVLSKECKDLTYESTFMRLHSQRISTMVGYLLQCSKNFGYGWRHRLSSNFVSIANSGLEPKLTPKFLSFSPEPVQIVATVNEGLVLCSTRLHGENRFYICKPSTQQWEFIPAPNPRFYRSKISMLVLGSNPLRFKIVGLSDSIDDKHSESDSESDLDSEYSDKEVNHRVVSDENLWHCEIFDSKSWEWKQSEDLKLTYRDFFRKRQGVSACGGLHWLIFNREQDKDIVLSLDGNKEEWTMASLPNSLRRKEYWDQIALVSCEGNLGLVNIDFKTKMVDVWVLNYEHIWIRKHTMNLIKERYLTRFHSFYGADTLVMKDMWSVYFYNSKTQKFDRVTADNQDIDAAYFIQTDFESVQLKPE >KJB08656 pep chromosome:Graimondii2_0_v6:1:10711389:10712964:1 gene:B456_001G096100 transcript:KJB08656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPAELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >KJB08657 pep chromosome:Graimondii2_0_v6:1:10711538:10712957:1 gene:B456_001G096100 transcript:KJB08657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSISRYFKFPAANTRIRFVIFFFVFLTDVIFQVLQNDIDLLNPPAELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >KJB06155 pep chromosome:Graimondii2_0_v6:1:4629141:4629582:-1 gene:B456_001G048400 transcript:KJB06155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLFFFIFVCLAMHACNARHLGVVMDDNDVSNKKHLFSTKVMGSVKRLNKEQPTETSVKSIVFHERKGREERSKTAVGVSASGKELDERSGFFSDYSRPRTRPPSHN >KJB09399 pep chromosome:Graimondii2_0_v6:1:18535300:18541625:-1 gene:B456_001G139200 transcript:KJB09399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHVHIITSNFSPSPPPHLLIPRRLPSFTRLLTLSLHPHDNPHDARRRSSAVSRRAVLVTPFLAPAGSLLLQQSQSLAEEITPPSPPVTSPPPKVVVEDALVSARIYDATAIGEPLAVGKDKSKVWEKLMNARIVYLGEAEQVPIRDDKELELEIVKNLENRCVENQRPLALALEAFPSDLQNQLDQFMAKRIDGEGLKSFVSHWSAQRWQEYEPILNYCRDNEVRLVACGTPLKILRTVQAEGIRGLSKAERKAYAPPAGSGFISGFSSISRRSTMENHFPTQSIPFGPSSYLSAQARVVDEYNMSQIILKEVSDGGATSLLVVVTGANHVQFGSRGTGVPARISKKIQKKNQVAILLNPERQYIRREGDVPVADFLWYSAARPCSRNCFDRAEIARVMNAAGRQREALPQDLQKGLDLGLVSPEVLQNFFDLEQYPLISELTHRFQGFRERLLADPKFLHRLAIEEAISITTTLLAQYERRKENFFEELDYVITDTARGIVVDFFTVWLPAPTISFLSNADGADNMDAIKGLLGSIPDNAFQKNLAGKDWNISHRLASVLVGGLKLASVGFISSIGAVASSNILYAVRKIFNPELVSNQQVKRSPILKTALVYGGFLGTSANLRYQIIAGIVEHRISDEFSSQIFLVNMLSFFVRTINSYWGTQQWVDLARFSGLQTRKNEQPSYLTSDSPNPAALECGAAEEANIDEFKNQ >KJB09400 pep chromosome:Graimondii2_0_v6:1:18537150:18541495:-1 gene:B456_001G139200 transcript:KJB09400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHVHIITSNFSPSPPPHLLIPRRLPSFTRLLTLSLHPHDNPHDARRRSSAVSRRAVLVTPFLAPAGSLLLQQSQSLAEEITPPSPPVTSPPPKVVVEDALVSARIYDATAIGEPLAVGKDKSKVWEKLMNARIVYLGEAEQVPIRDDKELELEIVKNLENRCVENQRPLALALEAFPSDLQNQLDQFMAKRIDGEGLKSFVSHWSAQRWQEYEPILNYCRDNEVRLVACGTPLKILRTVQAEGIRGLSKAERKAYAPPAGSGFISGFSSISRRSTMENHFPTQSIPFGPSSYLSAQARVVDEYNMSQIILKEVSDGGATSLLVVVTGANHVQFGSRGTGVPARISKKIQKKNQVAILLNPERQYIRREGDVPVADFLWYSAARPCSRNCFDRAEIARVMNAAGRQREALPQDLQKGLDLGLVSPEVLQNFFDLEQYPLISELTHRFQGFRERLLADPKFLHRLAIEEAISITTTLLAQYERRKENFFEELDYVITDTARGIVVDFFTVWLPAPTISFLSNADGADNMDAIKGLLGSIPDNAFQKNLAGKDWNISHRLASVLVGGLKLASVGFISSIGAVASSNILYAVRKIFNPELVSNQQVKRSPILKTALVYGGFLGTSANLRYQVRMLVT >KJB11461 pep chromosome:Graimondii2_0_v6:1:53652401:53656495:1 gene:B456_001G261100 transcript:KJB11461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKISSLLSSSATSLGRFSSWGRRINSFSTAAAAIDEPIHPSIQIDHTQLLINGQFIDAASGRTFPTIDPRTGDVIARVAEGDLEDINRAVAAARKAFDEGPWPKMTAYERSRIMLRFADLLEKHTGEVAKLETWDNGKPYEQAAKIEIPMVVRMFRYYAGWADKIHGFTVPADGQHHVQTLHEPYGVCGLIIPWNFPLLLYSWKVGPALACGNTVVLKTAEQTPLSAIYVSKLFHEAGLPPGVLNVVSGFGPTAGAALSSHMDVNKLSFTGSTATGKIVLALAAKSNLKPVTLELGGKSPFIVCKDADVDKAVELAHSALFFNQGQCCCAGSRTLVHESVYDEFVEKAKARALKRVVGDPFKTGIEQGPQIDNEQFKKILKYIRSGIESGATLESGGEQFGSKGYYIQPTVFSNVQDDMLIAKDEIFGPVQSISKFKDLEEVVQRANASSYGLAAGVFTQNIETANTLTRALRVGTVWINCYDIFDAAVPFGGFKMSGQGREKGIYGLSSYLQVKAVVTPLKNPAWL >KJB11464 pep chromosome:Graimondii2_0_v6:1:53653603:53656446:1 gene:B456_001G261100 transcript:KJB11464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFADLLEKHTGEVAKLETWDNGKPYEQAAKIEIPMVVRMFRYYAGWADKIHGFTVPADGQHHVQTLHEPYGVCGLIIPWNFPLLLYSWKVGPALACGNTVVLKTAEQTPLSAIYVSKLFHEAGLPPGVLNVVSGFGPTAGAALSSHMDVNKLSFTGSTATGKIVLALAAKSNLKPVTLELGGKSPFIVCKDADVDKAVELAHSALFFNQGQCCCAGSRTLVHESVYDEFVEKAKARALKRVVGDPFKTGIEQGPQIDNEQFKKILKYIRSGIESGATLESGGEQFGSKGYYIQPTVFSNVQDDMLIAKDEIFGPVQSISKFKDLEEVVQRANASSYGLAAGVFTQNIETANTLTRALRVGTVWINCYDIFDAAVPFGGFKMSGQGREKGIYGLSSYLQVKAVVTPLKNPAWL >KJB11462 pep chromosome:Graimondii2_0_v6:1:53652524:53656446:1 gene:B456_001G261100 transcript:KJB11462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKISSLLSSSATSLGRFSSWGRRINSFSTAAAAIDEPIHPSIQIDHTQLLINGQFIDAASGRTFPTIDPRTGDVIARVAEGDLEDINRAVAAARKAFDEGPWPKMTAYERSRIMLRFADLLEKHTGEVAKLETWDNGKPYEQAAKIEIPMVVRMFRYYAGWADKIHGFTVPADGQHHVQTLHEPYGVCGLIIPWNFPLLLYSWKVGPALACGNTVVLKTAEQTPLSAIYVSKLFHEAGLPPGVLNVVSGFGPTAGAALSSHMDVNKLSFTGSTATGKIVLALAAKSNLKPVTLELGGKSPFIVCKDADVDKAVELAHSALFFNQGQCCCAGSRTLVHESVYDEFVEKAKARALKRVVGDPFKTGIEQGPQV >KJB11463 pep chromosome:Graimondii2_0_v6:1:53652573:53656446:1 gene:B456_001G261100 transcript:KJB11463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAYERSRIMLRFADLLEKHTGEVAKLETWDNGKPYEQAAKIEIPMVVRMFRYYAGWADKIHGFTVPADGQHHVQTLHEPYGVCGLIIPWNFPLLLYSWKVGPALACGNTVVLKTAEQTPLSAIYVSKLFHEAGLPPGVLNVVSGFGPTAGAALSSHMDVNKLSFTGSTATGKIVLALAAKSNLKPVTLELGGKSPFIVCKDADVDKAVELAHSALFFNQGQCCCAGSRTLVHESVYDEFVEKAKARALKRVVGDPFKTGIEQGPQIDNEQFKKILKYIRSGIESGATLESGGEQFGSKGYYIQPTVFSNVQDDMLIAKDEIFGPVQSISKFKDLEEVVQRANASSYGLAAGVFTQNIETANTLTRALRVGTVWINCYDIFDAAVPFGGFKMSGQGREKGIYGLSSYLQVKAVVTPLKNPAWL >KJB06521 pep chromosome:Graimondii2_0_v6:1:49053519:49053711:1 gene:B456_001G246100 transcript:KJB06521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIHTQGARNISATHWDRAVKIQNQVTHKS >KJB06411 pep chromosome:Graimondii2_0_v6:1:508019:511664:-1 gene:B456_001G005600 transcript:KJB06411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMQNGMTPLHLAVWHSIRSDNHATVKTLLEYNADCSAEDDEGMTPIKHISKGPGREKLQELLHRHLEEQRKRRALEACGEAKAKMDELEKELSNIVGLHDLKVQLRKWAKGMLLDERRRSLGLKVGARRPPHMAFLGNPGTGKTMVARVLGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQEAEGGILFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYSEPMKRVIGSNEGFSRRVTKFFQFSDFNPEELAEILHIKMNNQTEQSLLYGFKLHSSCSLDAIARLIEKETTEKQRKEMNGGLVDPLLVNAREYLDLRLSFDCIDADELQTITLEDLEAGIRLFS >KJB06412 pep chromosome:Graimondii2_0_v6:1:508019:511854:-1 gene:B456_001G005600 transcript:KJB06412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRQDQRSRSVKPITVHGLAQSGDLVGLQKLLNDKPFLLNERNPVMAQTPLHVSSGNDRAEIVKFLLDWQGSEKVELEAKNMYGETPLHMAAKNGCSEAARLLLAHGAFIEAKANNGMTPLHLAVWHSIRSDNHATVKTLLEYNADCSAEDDEGMTPIKHISKGPGREKLQELLHRHLEEQRKRRALEACGEAKAKMDELEKELSNIVGLHDLKVQLRKWAKGMLLDERRRSLGLKVGARRPPHMAFLGNPGTGKTMVARVLGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQEAEGGILFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYSEPMKRVIGSNEGFSRRVTKFFQFSDFNPEELAEILHIKMNNQTEQSLLYGFKLHSSCSLDAIARLIEKETTEKQRKEMNGGLVDPLLVNAREYLDLRLSFDCIDADELQTITLEDLEAGIRLFS >KJB07035 pep chromosome:Graimondii2_0_v6:1:2012874:2016800:-1 gene:B456_001G021100 transcript:KJB07035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAVSSLVRSNNSLISRTLLYHSSKPISQSPFFLSNIPKNLFNQRYQKLQFSPNSTSIMASLSKSEQAREPPALPLPSPPLTKFKIGLCQLSVTPDKERNIKHARKAIEEAAQKGAQLVLLPEIWNSPYSNDSFPVYAEDINAGGDASPSTAMLSEVASRLKITIVGGSIPERCGDKLYNTCCVFGTDGKLKAKHRKIHLFDIDIPGKITFMESKTLTAGETPTVVDTDVGRIGIGICYDIRFTELAMIYAARGAHLICYPGAFNMTTGPLHWELSQRARAMDNQLYVATCSPARDPGAGYVAWGHSTLVGPFGEVLASTEHEEDIIIAEIDYSILEQRRASLPFTKQRRGDLYKLVDIQRLNSH >KJB07036 pep chromosome:Graimondii2_0_v6:1:2012906:2016747:-1 gene:B456_001G021100 transcript:KJB07036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAVSSLVRSNNSLISRTLLYHSSKPISQSPFFLSNIPKNLFNQRYQKLQFSPNSTSIMASLSKSEQAREPPALPLPSPPLTKIGLCQLSVTPDKERNIKHARKAIEEAAQKGAQLVLLPEIWNSPYSNDSFPVYAEDINAGGDASPSTAMLSEVASRLKITIVGGSIPERCGDKLYNTCCVFGTDGKLKAKHRKIHLFDIDIPGKITFMESKTLTAGETPTVVDTDVGRIGIGICYDIRFTELAMIYAARGAHLICYPGAFNMTTGPLHWELSQRARAMDNQLYVATCSPARDPGAGYVAWGHSTLVGPFGEVLASTEHEEDIIIAEIDYSILEQRRASLPFTKQRRGDLYKLVDIQRLNSH >KJB11381 pep chromosome:Graimondii2_0_v6:1:52774136:52783981:-1 gene:B456_001G255700 transcript:KJB11381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVIGGKFKLGRKIGSGSFGELYLGVNVQTKEEVAVKLESAKTKHPQLHYESKLYMLLQGGTGIPHLKWFGIEADYNVMVIDLLGPSLEDLFNYCNRKLSLKTLLMLAIS >KJB11382 pep chromosome:Graimondii2_0_v6:1:52780800:52782717:-1 gene:B456_001G255700 transcript:KJB11382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVIGGKFKLGRKIGSGSFGELYLGVNVQTKEEVAVKLESAKTKHPQLHYESKLYMLLQGGTGIPHLKWFGIEADYNVMVIDLLGPSLEDLFNYCNRKLSLKTLLMLAIIYIIDYGLAKKYSDLQTHKHISYR >KJB06948 pep chromosome:Graimondii2_0_v6:1:435472:439303:-1 gene:B456_001G004500 transcript:KJB06948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAPPISADRVQKFIEDLEAQKKIVSKCTELFTTLTNHFTSLQNSLSQKSQSLDAKFLSLSSKFSQSLDSLSQRESCLPDRESAAAAHIETLKEAAFAEFKDPKGSAQLSDTLKSLARRMDSAGLVKFIVSKRKESVPLRAEISVALSEAVDPHRLVLEAFEDFVSQKSGKTLGLTDKRWACGMLVHALFPESSWKEKKGKGPEFSRNIGERAAEVVDRWKGQLDGEKEGLTPGEAVMFLHMVVGFELKERFDEGFLRKLVLDFSSRRDMAKLAAALGFDDKMGDIIDELVKNGKEIEAVYFARAAGLTERFPPVSLLKSYQQNSKKNATTILKNGNFSAAATEESKNVELNSIKAIIKCVEDHKLESEFSTDLLRKRATQLEKVKSDRKKSSAAAGKPQNKRSHSAGSARGSGPPAFRPTKAAKFSNVYPPFNRRNPAPSAQQSPAARFSAQYNYVGQNVFEAPTAPYASAYGVTHSQSPAAVTQQHYVHPVENLGVSSFPTSGAYGGQTSYVAYDYGSAAPSVFQPSSSTQ >KJB08688 pep chromosome:Graimondii2_0_v6:1:10989287:10993973:-1 gene:B456_001G097800 transcript:KJB08688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYQGVIVSDPWLQSQFTQVELRTLKSKFINVRTQHGRVTLGDLPPVFSKLKAFTEMFNEDEIKTVLGESNSGMGEEIDFEGFLRAYLNLQTHAIEKSGGTKSSFLKATTTTFHHAINESEKASYVAHINNYLAEDKFLKDFLPIDPATDALFDLAKNGVLLCKLINVAVPGTIDERAINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLILGLISQIIKIQVLADLNLKKTPQLVELVDDSQDVEELLSLAPEKVLLKWMNFHLKKVGYEKQVTNFSTDLKDGEAYAYLLNALAPEHSTPSTLDTSDPTERANMVLQQAEKLECKRFLTPKDIVEGSPNLNLAFVAQIFHNRNGLSTESKNMSYAEMMTDDVETSREERCFRLWINSLGISTYVNNLFEDVRNGWVMLEVLDKLFPGTVNWKQANKPPIKMPFKKVENCNQVINIGKDLNFSLVNVAGNDVVAGNKKLIVAFLWQLMRFSMLQLLKNLRSFSHGKEITDADILNWANNKVKKSGRTTQMESFKDKSLSNGVFFLELLTAVEPRVVDWNLVTKGETDEDKKSNATYIISVARKIGCSIFLLPEDVIEVNQKMILTLTASIMYFCLQQQGEGPDDSDLASEVSRLSVDGSVSDAAPSPQAED >KJB08689 pep chromosome:Graimondii2_0_v6:1:10989287:10994001:-1 gene:B456_001G097800 transcript:KJB08689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYQGVIVSDPWLQSQFTQVELRTLKSKFINVRTQHGRVTLGDLPPVFSKLKAFTEMFNEDEIKTVLGESNSGMGEEIDFEGFLRAYLNLQTHAIEKSGGTKSSFLKATTTTFHHAINESEKASYVAHINNYLAEDKFLKDFLPIDPATDALFDLAKNGVLLCKLINVAVPGTIDERAINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLILGLISQIIKIQVLADLNLKKTPQLVELVDDSQDVEELLSLAPEKVLLKWMNFHLKKVGYEKQVTNFSTDLKDGEAYAYLLNALAPEHSTPSTLDTSDPTERANMVLQQAEKLECKRFLTPKDIVEGSPNLNLAFVAQIFHNRNGLSTESKNMSYAEMMTDDVETSREERCFRLWINSLGISTYVNNLFEDVRNGWVMLEVLDKLFPGTVNWKQANKPPIKMPFKKVENCNQVINIGKDLNFSLVNVAGNDVVAGNKKLIVAFLWQLMRFSMLQLLKNLRSFSHGKEITDADILNWANNKVKKSGRTTQMESFKDKSLSNGVFFLELLTAVEPRVVDWNLVTKGETDEDKKSNATYIISVARKIGCSIFLLPEDVIEVNQKMILTLTASIMYFCLQQQGEGPDDSDLASEVSRLSVDGSVSDAAPSPQAED >KJB11699 pep chromosome:Graimondii2_0_v6:1:54949024:54951261:1 gene:B456_001G272700 transcript:KJB11699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWAPVLVGVVLFVLLSPGLLFSFPGNGKQLEFGSMKTNGKAIAIHTLLFFAIYAVLIMAVHLHIYTG >KJB09502 pep chromosome:Graimondii2_0_v6:1:19867458:19868896:-1 gene:B456_001G146000 transcript:KJB09502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMYSSSLEALQLPFENLHLFDNSSLSLNASSTGVFPDPQRNHGLGFSRVGSFRSCLDYFTGESMNLGSSMFDDVNSNVSPGFQPIFSLGSEYSYGYSNWVIDMAKTEEGSKDLQKLLSNSTNNNMIFSWVFDFIVELMIDQSGRYLFQKLIESADETQLQMILEKLLVPHNYIYYASLLKYGSCSIKKLITVLEKSPLITCVIKALSDKFEKLMMDPIGHYVIFECLDVLDSQTNDPLNIQAMKHCLELVRHEQGSVSMSGFVTRIKGPRRDQLLKLISRNSAFLAQDPTGNYVVQCVIRLQNPAMNDQIFRNLEGYYVKLSTTKTRSYVVEHCLLSSGLDRVINELLRSDRFVSVAKDRYGNYVVQTALKESKKREISLHGSLVVKLEQHLKCLQHGYGRNVVSVLRCLQ >KJB11473 pep chromosome:Graimondii2_0_v6:1:53618775:53619009:1 gene:B456_001G260800 transcript:KJB11473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKPKALHFFLLLIIMFLSFMLMPTTPEARRFALNVVKANPSRREGGGFDLEKVFQVLDLLKMKDSSGQSGKGEGH >KJB09001 pep chromosome:Graimondii2_0_v6:1:14218720:14220491:-1 gene:B456_001G117900 transcript:KJB09001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGEMMVPPWLESLLSTAFFTICPRHRDTPRNECNMYCLDCMDDAFCFYCRSSRHKDHHVIQIRRSSYHDVVRVAEIQKVLDISGVQTYVINSARVLFLNERPQPKISSSSSSSKGVSYLCEICSRSLLDPFRFCSLGCKLVGIRKKGDTSFVVGSNKKNEEEAMKQRREGITATRLASKQEGDDEELRERSPQEMYTSSASTPPHFNSRRRKGIPHRAPFAS >KJB07241 pep chromosome:Graimondii2_0_v6:1:21590709:21591440:-1 gene:B456_001G153800 transcript:KJB07241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEAENESSYTPKLPLFSAPQAHMQSPERSGTSTPPLHVSASVPFRWEDEPGKPKPCTTLTLFSNPNHLAQKCLELPPRLLQDAKSTTVLEPARLQSSSSFRMGSECYGSFRVGSVSPERVQLGTMVLSKRGTYKDKGFLGSWKRKTFKAKREVGGAGKSYVFPSSGDKDSECSREEEEEEEEESNSSSVKTTRIKRVGSFHNLFNSKSHFWVRFISQFLYKFIVFEGCCYATFMVFITNTE >KJB07240 pep chromosome:Graimondii2_0_v6:1:21589623:21592011:-1 gene:B456_001G153800 transcript:KJB07240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEAENESSYTPKLPLFSAPQAHMQSPERSGTSTPPLHVSASVPFRWEDEPGKPKPCTTLTLFSNPNHLAQKCLELPPRLLQDAKSTTVLEPARLQSSSSFRMGSECYGSFRVGSVSPERVQLGTMVLSKRGTYKDKGFLGSWKRKTFKAKREVGGAGKSYVFPSSGDKDSECSREEEEEEEEESNSSSVKTTRIKRVGSFHNLFNSKSHFWATIYQGLKLAVPWNKKKKDGFMG >KJB09377 pep chromosome:Graimondii2_0_v6:1:18207807:18209519:1 gene:B456_001G137700 transcript:KJB09377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CVTGASGYIASWLVKQLLLRGYTVKASVRDPNDPRKTRHLLGLEGAESRLMLFKVDLLEQGSFNFRVEGWVGVFHIASPVYHHVLDPQVELLDPIVKGTLNVLSSCAKTPCVKQVALTSSIAAIAYNGKPRTPDVVVDENWFSDPDYCKSLKISSFRYLSLSTRYGTNFARLHNRYGT >KJB10356 pep chromosome:Graimondii2_0_v6:1:34701234:34706751:1 gene:B456_001G197100 transcript:KJB10356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLLASRTLKSSRILHSQHSRFRPIVTKPELQSPDASSAAAAAPQPETTPSPPKKPVGGARIHFTNPDDAIEVFVDGFPVKIPKGMTVLQACEIAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPLAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGMLGRGSGEEVGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRIDSRGPEVMRILPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGADGRFKAVSWRDALAVVAEVALHVKPEEIVGIAGQLSDAESMMALKDLLNRMGSNNVWCEGTGTNPSADLRYRYLMNSSIAGLEKADVFLLIGTQPRYEAAMINARIRKTVRASNAKVGYIGPPADFNYDYQHLGVGPKTLLEIAEGRHSFCSAIFNAKNPAIIVGSGLFEREDKEAIFYAAETIAKNGNVIRPDWNGFNVLLLSGAQAAALDLGLVPESSNSIESAKFLYLMGADDVNLDKVPADAFVVYQGHHGDRSVYRANVILPSAAYSEKEGTYENTEGRTQQTLPAVPTVGDARDDWKIIRALSEVSRICLPYDTLGSLRSRMRTVAPNLLHVDEIEPATFGPSLSIDCTQEINLTPFKAAIENFYMTNSVTRASKIMAQCSAMLLKE >KJB07526 pep chromosome:Graimondii2_0_v6:1:2660341:2660637:1 gene:B456_001G028300 transcript:KJB07526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEITLTIDGRRPLLLGFMGKRYSFNSFTSKLNQLWKPSESIQVIDLGNDYSLVKLLCLEDYDVAVKGRTWFINGNFLTVRKWLPNFRAFKAIFNSVVV >KJB07525 pep chromosome:Graimondii2_0_v6:1:2736081:2738812:1 gene:B456_001G029000 transcript:KJB07525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVENIEFKWGKKRGIGARNRDVQFYESFTYDGLCYTLYDNVYLHKEGHSLTFLGKLIKIWENPDKSKKVKILWFFRPSEISKYLKVELNHPNEVFLASGDGVGLFNINPLEAIVGKCNVVCISKDSRNPQPSDQELQKADFVFCRTFNVKRCIIVDEMDEKFAGIDVKFIFNRMGSLKPPSSHNTDVDDKHTSETAMAVNKKVILSNKLNSFETQNFEDRQGEQKPVVEETFAADDRQENVFNYKSASSLKAEENKELKVDEKLKSIEDLGELDERPYKKAKLDSFGKVSDCKNEIDVLVPNIIASEDEPRCAANTNGTSNSSTKLELGDDELTKPTCKLPNESSSWPSNDIIKTDDKALEVISRPDFEIGVLELSPSFLSFCLSLATWSSNVH >KJB10365 pep chromosome:Graimondii2_0_v6:1:44759976:44760401:1 gene:B456_001G220700 transcript:KJB10365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLNTFHFLLFSKEKIFFTEHLRIQSHDLIIRTRDLSQSIPLPFILRESERSLSSLNLFIWNLGSSTSFLFTYLLF >KJB11474 pep chromosome:Graimondii2_0_v6:1:53585352:53589471:-1 gene:B456_001G260500 transcript:KJB11474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFLRKPEIRRITRDVPPAHYIFSIESFSLLVDTGVEKYESHAFDVQNYKWRLSLYPNGNKKSNGEGFISLYLQIEDTQYFPRTWEVNVNFRFFILDQIRDKYLTIEESDGVIKRYYQMKTEWGIAQLLSLDHFNETSNGYLVDDCCSFGVEVFVIKQTGKLERLSMMKQPPNNIITFQLRQYSAPFYERYTSDVQTIGDSKWELIVYPRGNGTAKNNALSVFLGLVEAQKLPPKGKVYAKYKFRVRDSFNSINTREFTDSAWFTASCIGFGSRHFISLRDLQDRSKGYIVNDTLIVEADIIIVSNVKLFL >KJB10671 pep chromosome:Graimondii2_0_v6:1:43102392:43106468:1 gene:B456_001G215400 transcript:KJB10671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGAAAPLTFNPPARVRYLIRGLASEETREQSLDVLCKNRLAYDNLAVLLWHSFGIMGVLLKIITSAYRPLLSDGLTENAVTQVCNAIALFQCVASHPDTRIPFIRATMPVYLYPFLNTMSNERSYECLRITSLGVIGSLAKVEDPEVIEYLLSTQIFPSCLRCMEVGKTLSRTVSTFIIYRILLSEKGLKYCFVLAERYLSVSQCLGKLVENLSEDDAENLPHLLKNIIGCYLRLSENERTRPQLSSYIPWKLLDGKYANIVRSDPMALADLRQLVCNLRTSKSCTTHCTDEPPASSDSPGTSIP >KJB07910 pep chromosome:Graimondii2_0_v6:1:4953082:4960521:-1 gene:B456_001G052200 transcript:KJB07910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSDNSTSSARLSAENAEAERRLREAEERLREAMEELHRRQRKAACGDSPPCDHADDSCVANAIGNLCQSFLLSYGVRVGIGILLRGFKLARGKSYSSLLDLKQLVSEKDLIVREEACRIGLLFGGFTGSYHALRCLLRKRRKKETPLNAILAGCIAGLSILALDDPNRRRTLSLYLLARVAQCAYNSAKSKNKFHLWGSHWRHGDSLLFSLACAQVMYAFVMRPESLPKSYKDFIQKTGPVAAPVYKAVRESCRGGPVDVESISAYLNSRGKSDTVKVEEFPSIIPCSIIHPDTNSCLGHNAKAASATFRKTFPLYFSLTFVPFVVLHLQKFMDTPGRACWLAVKGAVQSTSFLSAFVGIFQAVICLHRKIALQDHKLVYWVAGAMSGLSVLLEKKARRGELALYVLPRAGESWWYILVNRHLLPDVKNAEVALFCACMGGIMYYLEYEPDTMAPFLRGLIRRFLTSRISNPGPSVSRTASYACLQNFEAMNKPKVQDNQQVIELLLENSSSGLLGANAVNETDLSALNMLLMFPSEGGHGEIMDILSGARALRARDMSLSATPLEELNQNNSTRSVISKMHLAGWSIAGTSNGVSFSLFALFNLVGFGISLYTIKLARKFPLHFKLEMLGLTVRMINCFNYTHQEPNIVYSNENPLHIASVQGHVDFIREVLRLKPEYAKEVKRWFNPLHMAAANGHIEVVKELMNVDPELWSGVEGKGNKTPFHFAIMKGRINVINEMLSRYEGCIQCATVQRESALQLAEINAVNKTGFTALDVHQMFPSEAEPDHPRTRQRCQRLTDNLVEYFKFKKGRDSPSKAHGSLLIIAVLVTTATFQVGLSPSGGTCQDSYFPNPNNGTRGGEAHIAGTSIMGTNSEVTFALFMVFNSMDFSMSLSMINILTSKFPLQFELQICMVALFFTYNTAMTCIAPSSVRDFTIIMKSVLLSIILTLTSAEKYFGNYPQSSAWPGPNKVT >KJB09610 pep chromosome:Graimondii2_0_v6:1:21339215:21341191:1 gene:B456_001G152500 transcript:KJB09610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILYNPFFLLFITFVFLFLFRVVLIKTGLIFTVKKKWRLFEDCFHVYQLFKVPKFNESMQRNQLYHKVFVYLNSLTSVEDSDFTNLFTGKKPNEILLRLDPNQIIEDDFLGAKILWINEDNNLVLKIRKADKRRVLRPYLQHIHSISDDLDEKKRDLKLYVNVVGHGDDHKGRWRCVPFTHPSTFETIAMESDLKNKVKSDLESFLKAKQYYHRLGRVWKRSYLLYGPSVSDDSDLKLLLLQSTAKSVIVIEDLDRYLSEKSTAVSLSGILNFMDGMLTSSCSEERVMVFTMNGKDHVDQAVLRPGRIDVHIHFPLCDFTAFKTLANSYLGLKDHKLFPQVEEIFQNGSSLSPAEIGELMIANRNSPSRALKSVIHALQTDGDGRGGLNIGRRSTENGPRKLSGEMGEGSGVFGKEGANAVKEFKKLYGLLRVKSSRKSQSSDLNGDQKEGG >KJB10157 pep chromosome:Graimondii2_0_v6:1:29835398:29839441:1 gene:B456_001G186900 transcript:KJB10157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPTLTWWTLIGLIRAFVGLALAFVLLCGSTLGFFAWKLYHVFGLYLPCPCSGFLGYQNSNLCWHNLLIQFPVSNIHSALKLPFNRFPFNLLWFNDQDWDLDAKSIELLGEACPTSPSGLRLQTIVNKKKSSSDAKGKKSIYLKHKSKVQRGRIAAFGYRKSANFSVADASSVDGGETMIENWGLVSGIEDCFPDDKNTQSGNDLSEATWHGFELSSVEGKGNTNEKFEVTGDEANRFKILEQAIKEEKAACAALHLELEKERAASASAADEAMAMILRLQEDKASIEMEATQYQRMIEEKIAYDEEEMNLLKEILVGREKENHLLEKEVEAYRQMDTRRDEPEECDFFRHDANKGGQIPSVSLGLGEDPLLMGNSGSTRKNEVGKGSSWPSEFDTPSSEKLSHTVVVNLTGKGKGQDDDDTIVCQAITRKTSPSFGGTSLSVDELERNSDFGEPLGRNLHNSTFDMEPTVYDVHVIDDNKESPKEENSKKSKLPIGSASDHKTFLYDLERSSAVSNERLEIDAEIEHLTERLQIVGGEKEKLTSFADQRERIDILLKLIKEQVKQLREFQRLKEPVQLTSLPPLSPSSKLH >KJB10156 pep chromosome:Graimondii2_0_v6:1:29835398:29839441:1 gene:B456_001G186900 transcript:KJB10156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPTLTWWTLIGLIRAFVGLALAFVLLCGSTLGFFAWKLYHVFGLYLPCPCSGFLGYQNSNLCWHNLLIQFPVSNIHSALKLPFNRFPFNLLWFNDQDWDLDAKSIELLGEACPTSPSGLRLQTIVNKKKSSSDAKGKKSIYLKHKSKVQRGRIAAFGYRKSANFSVADASSVDGGETMIENWGLVSGIEDCFPDDKNTQSGNDLSEATWHGFELSSVEGKGNTNEKFEVTGDEANRFKILEQAIKEEKAACAALHLELEKERAASASAADEAMAMILRLQEDKASIEMEATQYQRMIEEKIAYDEEEMNLLKEILVGREKENHLLEKEVEAYRQMDTRRDEPEECDFFRHDANKGGQIPSVSLGLGEDPLLMGNSGSTRKNEVGKGSSWPSEFDTPSSEKLSHTVVVNLTGKGKGQDDDDTIVCQAITRKTSPSFGGTSLSVDELERNSDFGEPLGRNLHNSTFDMEPTVYDVHVIDDNKESPKEENSKKSKLPIGSASDHKTFLYDLERSSAVSNERLEIDAEIEHLTERLQIVGGEKEKLTSFADQRERIDILLKLIKEQVKQLREFQRLKEPVQLTSLPPLSPSSKLSSTKRRS >KJB10155 pep chromosome:Graimondii2_0_v6:1:29835398:29837845:1 gene:B456_001G186900 transcript:KJB10155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPTLTWWTLIGLIRAFVGLALAFVLLCGSTLGFFAWKLYHVFGLYLPCPCSGFLGYQNSNLCWHNLLIQFPVSNIHSALKLPFNRFPFNLLWFNDQDWDLDAKSIELLGEACPTSPSGLRLQTIVNKKKSSSDAKGKKSIYLKHKSKVQRGRIAAFGYRKSANFSVADASSVDGGETMIENWGLVSGIEDCFPDDKNTQSGNDLSEATWHGFELSSVEGKGNTNEKFEVTGDEANRFKILEQAIKEEKAACAALHLELEKERAASASAADEAMAMILRLQEDKASIEMEATQYQRMIEEKIAYDEEEMNLLKEILVGREKENHLLEKEVEAYRQMDTRRDEPEECDFFRHDANKGGQIPSVSLGLGEDPLLMGNSGSTRKNEVGKGSSWPSEFDTPSSEKLSHTVVVNLTGKGKGQDDDDTIVCQAITRKTSPSFGGTSLSVDELERNSDFGEPLGRNLHNSTFDMEPTVYDVHVIDDNKESPKEENSKKSKLPIGSASDHKTFLYDLERSSAVSNERLEIDAEIEHLTERLQIVGGEKEKLTSFADQRERIDILLKLIKEQVKQLREFQRLKEPVQLTSLPPLSPSSKVVLHFNLTVYHFINQGQLLFACLLAICCYACIKFPFLSSWQGEIKNPVFLLYNQQKHDSLCRYRIFVKSLCHICMKSGQLKWVNVN >KJB09388 pep chromosome:Graimondii2_0_v6:1:18322394:18324961:-1 gene:B456_001G138400 transcript:KJB09388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISLQTLHFTPKNETNKAWNSIIKHQTKLKNDHAILSTFTHMQSLGLTPDKASLPLVLKACRKLNAIETGKRIHSSIRDTNLIEDVRVGTALIDFYSKCGFLEDARKVFDEMSERDLVSWNAMISGYAGCEEFEEVVFLVMTMQREGFRPNSRTLVAMILVCDKVAEVRLGKAIHGYCLRNGLFDLDAHVGTALISFYLSFFDVRASHLVFDLMAIRNTVCWNAMIMGYFDVGESSKALRLFEQMLMDGVEFDSVTVLALIQASAEFGSLELGDQIHQMAIKCSYSNDLFIVNALINMYAEIGCLKSACKLFDGIPTRDVALWNSMISAYIDYSYHGEAISLFIKMRTEGNKEDERTTVLMLSLCAESADALRKGRSLHAHACKTGMGMDINIGNAILNMYAEQNCMDSVRKVFGQMSNVDVISYNTLILVLARNNLGIEAWETFGIMRESDVKPNSYTIISILAACKDETCLNIGRSLHGFVIKQGIEVNAPLKTALTDMYINCGDETTAMKLFESSHGRDLISWNALISTYVKNNQAHEAFLVFSRMVSEVEPNSVTIINILSSCTHLAHLPQGRCLHSYMIQRESSLGRNLSLQNAFITMYARCGSMRNAEKIFETLTRRNIISWNAIITGYGMHGRGYDAILAYSQMLEDGFQPNEVTFISILSACSHSGMIEEGLQLFDSMVHDFNITPQLAHYGCVVDLLGRAGRLDKAREFIESMPIKPDASIWRSLLSAYRDHCYTKDAKAIFEKVVELDPMNPGNHVLLCNVYAAAGLWPEVSEMRRHLRAKGLRKPPGISWIVVRSQIHSFAAGDRSHPMADKIYANLNSLLQSIKEIGYVPDLHWILHDDEYI >KJB10840 pep chromosome:Graimondii2_0_v6:1:46372102:46375410:-1 gene:B456_001G227600 transcript:KJB10840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFARKAKWTFVLVTILFSLVQLSSANHTSINDTSIEMIHVGLILDAQSWVGKIVDSCISMAISDFYSQNCHYQTKLVVHTRDSGGDPLLLLSQALVLLENFKLDAIIVAENSAGVKVLAELGSRVKIPIISLFAAGLSLSSFEYPHLIQIGEDESSRAKAVAAIVEAFSWRSAILIYEDNDSARPILSSVTGFRLDQHVALLTSSTDEEIVEQLMKLMSLQMTVYVVHMTPILASRLFLNAKQLGMLTQGYAWITTDMITNFMNSMDPSVFESMQGVVGFKPHIPASKELRRFAIRWRSKNLNENKNLEEMEMNVYGIWSYDMVWAVATAAERVMTRHPHILHQETRLNMNFTTIRSSESGLVFMDEILQSRFKGISGGFQLTNGRLIPKEIEIVNVFKGDRIIGYWNPENGIASIMKEENHTETNSTSSSKLEGVIWPGGTMNIPKGWSLRGKRLRIGVPVTNEFRELISVVHDPQTNDIIVTGFCVDVFKEAVQSLDYEVHYDFIPFEDANGRMAGSYDDLILQVCHKNYDAVVGDITIISRRFAYVDFTLPFTDLGIGVVVPKINNDIWVFLKPLCGDLWITVAAFFIFTGVVIWFIERPINEEFQGSPSEQIGMIFWYSFSTLVFSHSKYSMFNLNNLSVSFIQNHILN >KJB10838 pep chromosome:Graimondii2_0_v6:1:46367122:46375410:-1 gene:B456_001G227600 transcript:KJB10838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFARKAKWTFVLVTILFSLVQLSSANHTSINDTSIEMIHVGLILDAQSWVGKIVDSCISMAISDFYSQNCHYQTKLVVHTRDSGGDPLLLLSQALVLLENFKLDAIIVAENSAGVKVLAELGSRVKIPIISLFAAGLSLSSFEYPHLIQIGEDESSRAKAVAAIVEAFSWRSAILIYEDNDSARPILSSVTGFRLDQHVALLTSSTDEEIVEQLMKLMSLQMTVYVVHMTPILASRLFLNAKQLGMLTQGYAWITTDMITNFMNSMDPSVFESMQGVVGFKPHIPASKELRRFAIRWRSKNLNENKNLEEMEMNVYGIWSYDMVWAVATAAERVMTRHPHILHQETRLNMNFTTIRSSESGLVFMDEILQSRFKGISGGFQLTNGRLIPKEIEIVNVFKGDRIIGYWNPENGIASIMKEENHTETNSTSSSKLEGVIWPGGTMNIPKGWSLRGKRLRIGVPVTNEFRELISVVHDPQTNDIIVTGFCVDVFKEAVQSLDYEVHYDFIPFEDANGRMAGSYDDLILQVCHKNYDAVVGDITIISRRFAYVDFTLPFTDLGIGVVVPKINNDIWVFLKPLCGDLWITVAAFFIFTGVVIWFIERPINEEFQGSPSEQIGMIFWYSFSTLVFSHSKYSMFNLNNLSVSFIQNHILN >KJB10839 pep chromosome:Graimondii2_0_v6:1:46367252:46375410:-1 gene:B456_001G227600 transcript:KJB10839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFARKAKWTFVLVTILFSLVQLSSANHTSINDTSIEMIHVGLILDAQSWVGKIVDSCISMAISDFYSQNCHYQTKLVVHTRDSGGDPLLLLSQALVLLENFKLDAIIVAENSAGVKVLAELGSRVKIPIISLFAAGLSLSSFEYPHLIQIGEDESSRAKAVAAIVEAFSWRSAILIYEDNDSARPILSSVTGFRLDQHVALLTSSTDEEIVEQLMKLMSLQMTVYVVHMTPILASRLFLNAKQLGMLTQGYAWITTDMITNFMNSMDPSVFESMQGVVGFKPHIPASKELRRFAIRWRSKNLNENKNLEEMEMNVYGIWSYDMVWAVATAAERVMTRHPHILHQETRLNMNFTTIRSSESGLVFMDEILQSRFKGISGGFQLTNGRLIPKEIEIVNVFKGDRIIGYWNPENGIASIMKEENHTETNSTSSSKLEGVIWPGGTMNIPKGWSLRGKRLRIGVPVTNEFRELISVVHDPQTNDIIVTGFCVDVFKEAVQSLDYEVHYDFIPFEDANGRMAGSYDDLILQNYDAVVGDITIISRRFAYVDFTLPFTDLGIGVVVPKINNDIWVFLKPLCGDLWITVAAFFIFTGVVIWFIERPINEEFQGSPSEQIGMIFWYSFSTLVFSHKKPLSNLSKFVVIIWVFVVLIITSSYTATLASMLTVKQIQLSSRDNDAWIQLSSRDNDAWVSSDISNFTFETRRRRANHSPEEYADALRRGSKNGGASSIIDEIPNLKVFLAKYPSDYTMIKSKAITGGFGFVFPKRSPLVQDISSAIMRLREEKRLEMMENKWFNSESSFTTLDPESNPNRLNIHSFGGLFLVTGISSISALGVCLFQKRQSIKIHLNSSLDSLKGYFNVKIIGRQKERS >KJB08698 pep chromosome:Graimondii2_0_v6:1:11045443:11048660:-1 gene:B456_001G098300 transcript:KJB08698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFENGKLFIGGISWDTNEGHLKEYFNTFGEVIEAVIMKDRTTGRARGFGFVVFADPIVAERVIKEKHSIDGRMVEAKKAVPRDDQNVMSRSTSSIHGSPGPGNTRKIFVGGLASTVTESDFKKYFDQFGNITDVVVMYDQNTHRPRGFGFITYDSEEAVDKVLLKNFHELNGKMVEVKRAVPKELSPSPSHSPVSGYNYDLNRVNNFLNGYTQGYSPSNVGGYGLRVDARFSPVTNSRNRVPPFGSGYAMGMNIEPKLNPSFGNSAGFSSNMSYRRGLSPYYVGNTNRFGSPIGYDGNSGGNTSFFSSVTRTLWGNGGLDYNTNASSSSLYVGSGSGTIGGNAFGNGGINWGSSAISSQGEGNNVSRNSVEFGYGSGDNSFGLGATGYGRNSGTNLTPTSAYAASNGGYDGAFADLYNGASVYGDATRGSSMSERDGSGSFGYGLDSATSGVLRKSSPGYIGGYSVNRRQANSGIAT >KJB08697 pep chromosome:Graimondii2_0_v6:1:11045483:11048787:-1 gene:B456_001G098300 transcript:KJB08697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFENGKLFIGGISWDTNEGHLKEYFNTFGEVIEAVIMKDRTTGRARGFGFVVFADPIVAERVIKEKHSIDGRMVEAKKAVPRDDQNVMSRSTSSIHGSPGPGNTRKIFVGGLASTVTESDFKKYFDQFGNITDVVVMYDQNTHRPRGFGFITYDSEEAVDKVLLKNFHELNGKMVEVKRAVPKELSPSPSHSPVSGYNYDLNRVNNFLNGYTQGYSPSNVGGYGLRVDARFSPVTNSRNRVPPFGSGYAMGMNIEPKLNPSFGNSAGFSSNMSYRRGLSPYYVGNTNRFGSPIGYDGNSGGNTSFFSSVTRTLWGNGGLDYNTNASSSSLYVGSGSGTIGGNAFGNGGINWGSSAISSQGEGNNVSRNSVEFGYGSGDNSFGLGATGYGRNSGTNLTPTSAYAASNGGYDGAFADLYNGASVYGDATRGSSMSERDGSGSFGYGLDSATSGVLRKSSPGYIGGYSVNRRQANSGIAT >KJB11109 pep chromosome:Graimondii2_0_v6:1:48071536:48076462:-1 gene:B456_001G241000 transcript:KJB11109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHITVILSIPKGKFGKPSPPRINKTSLPRKKKEKKEELIILTAKSNQLEIPLLFVLFRSSPFGFYNKRNPSLGVSDQIRGVMDGSGGRGGSGVDNVLPNYKLGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETATDIFVVMEYVKSGELFDYIVEKGRLQEEEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIRQHPWFQAHLPRYLAVPPPDSMQQAKKIDEEILQEVIRMGFDRNHLVESLRNRIQNEGTVAYYLLLDNLFRVSSGYLGAEFQETMESGFNHIHPNEPTVPAVGHRLTGYADYQTMGLRGLERKWALGLQSRAHPREIMTEVLKAFQELNVYWKKIGHYNMKCRWLASIPGLNEGLVNNPVHNNHYFGDELTIIENDGVSRAPNVVKFEVQLYKTREEKYLLDLQRVEGPQFLFLDLCAAFLAQLRVL >KJB07759 pep chromosome:Graimondii2_0_v6:1:4182125:4182615:1 gene:B456_001G0441002 transcript:KJB07759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAARFAVIVEIPFSLRLISYSQGAEAAKSHNLQSIHSIFPFLEDKFSHLNYVLEALIPHPIHLEILVQALRYWVKDASSLHLLQFSLYEYCNLKSFITPKKSILIFNPRLFLFLYNSHTCEYESIFLFL >KJB08313 pep chromosome:Graimondii2_0_v6:1:7819465:7819998:1 gene:B456_001G076100 transcript:KJB08313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHHRLLRYARPSGLPSSGRPEPKGKSKPENTIFKAVSPCRPFRTPFMEAWWPGPAIRLTAKKEIVKIDCSSSITTSSSPAQSFMSQAKYVLFKTYLCPYSTTAILGMLFLLAYPHREDHIQKTIPTFMGSSYGSLVASRGVTAPSQAQILASSSSSLTRN >KJB07620 pep chromosome:Graimondii2_0_v6:1:10095720:10096333:1 gene:B456_001G091500 transcript:KJB07620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKICLFLAILVLVSSKPIETNSTVSVIADFDDLEFLMDSHFGRVLQSSGSVSRKSLNAGQAAANCGRGRSYDSCLPNPNRPITPQNCGTYSRACGR >KJB08851 pep chromosome:Graimondii2_0_v6:1:12362914:12364164:1 gene:B456_001G108100 transcript:KJB08851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGEFNGFIAFASSKQHNELQKVQTTKIINELAPRTGKNQIDTLQCPVTSLLTMYNATSTVLENLKNTAPNYSQRGDAHNAYNKLRSFEFIFILHLMKEVLGIIDNLCQALQRRSQDILNAMSLILTIKDSIQNRNKKEDVIVKHHYRIDLSYIDSSKFNCIYKTCFFAMKIVKTRLRSKMEDDFLKNSLVVYIKTKKLHKNFM >KJB09617 pep chromosome:Graimondii2_0_v6:1:21391055:21397434:-1 gene:B456_001G152900 transcript:KJB09617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRPFPAWSWSVEQCLKEYNAKLDKGLSSYQVEKQREKYGWNELAKEKGKPLLRLVLEQFDDMLVKILLVAAFISFILAYMHGSDSEESGFEAYVEPFVIVLILVLNAIVGVWQETNAEKALEALKEMQCESGKVLRDGYIVPDLPARELVPGDIVELQVGDKVPADMRIAALKTSTLRLEQSALTGEAMPVLKGTSPIFPEECELQAKENMVFAGTTVVNGSCVCIVVCTGMNTEIGKIQKQIHEASLEESDTPLKKKLDEFGSRLTTAIGLVCLIVWLINYKNFLSWDMVDGWPANLRFSFEKCTYYFKIAVALAVAAIPEGLPAVITTSLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAEFFTLGGKTTTSRMFHVEGTTYDPKDGGIVDWTCYNMDANLQVMAEICAVCNDAGIFCDGRLFRATGLPTEAALKVLVEKMGVPDAKMRNKIHDSQLAANYLIDRSTIKLGCCEWWTKRSKRLATLELDTVRKSMSVIVREPTGHNRLLVKGAVESLVERSTHVQLADGSLVPMDESCSQLLLSRNSEMSSKGLRCLGLAYKDDLGEFSDYYSENHPAHKKLLDPASYSSIESDLVFVGVVGLRDPPRDEVDKAIEDCKAAGIRVMVITGDNKSTAEAICHEIKLFSDGEDVRGKSFTGKEFMALSPSQQIETLSKPGGKVFSRAEPRHKQEIVRMLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRSIYNNMKAFIRYMISSNVGEVISIFLTAALGLPECMIPVQLLWVNLVTDGPPATALGFNPPDVGIMWKPPRKSDDALIDSWVLFRYLTIGSYVGVATVGIFILWYTQASFMGINLVSDGHTLIELSQLRNWGECSTWSNFSVAPYTVGDGHLITFSNPCDYFTIGKVKAMTLSLSVLVAIEMFNSLNALSEDSSLLTLPPWRNTWLLVAMSVSFGLHCLILYVPFLANMFGVVPLSLNEWFLVILVSIPVVLIDETLKFFGRSRRHRVKEKTA >KJB10423 pep chromosome:Graimondii2_0_v6:1:36397937:36403983:1 gene:B456_001G200300 transcript:KJB10423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 7 [Source:Projected from Arabidopsis thaliana (AT5G63870) UniProtKB/Swiss-Prot;Acc:Q9FN02] MSSDDSNNISPISRDADAVACSPSSTAVTYSDNPSPSSLPTVAPDPLSWPPDGKLCLEWIQHLMSVFEWSSRNLAPSAFPSVFPVPVFDALVLTASKILHKEPNCLQINIPDSDSTVVVVGDLHGQLHDLLFLLQDAGFPAQNRIFVFNGDYVDRGAWGLETFLLLLAWKVFMPHRVFLLRGNHESKYCTSTYGFEKEVLAKYGDKGKHVYRKCLGCFEGLPLASIIAERVYTAHGGLFRSISVTPSRRSKGKKNRRINLIPEANALCLGSLEELSKARRSVLDPPWEGLNLIPGDVLWSDPSMKPGLSPNKERGIGLLWGPDCTEEFLKKFKLKLIIRSHEGPDAREKRPGLGGMDEGYTIDHDVESGKLITVFSAPDYPQFQATEERYNNKGAYVVLKPPRFDNPEFHSFEAITPRPKVNPYYDFEEVIDSDEDLDLASMVTTDL >KJB08992 pep chromosome:Graimondii2_0_v6:1:14078299:14079291:-1 gene:B456_001G117400 transcript:KJB08992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKSRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQQAMLAK >KJB08991 pep chromosome:Graimondii2_0_v6:1:14078010:14079666:-1 gene:B456_001G117400 transcript:KJB08991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKSRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQQAMLAK >KJB10886 pep chromosome:Graimondii2_0_v6:1:46740312:46740533:-1 gene:B456_001G230700 transcript:KJB10886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMLTSRFESLRMQEPKTTGEFYVKLCDLSTQAFVLEKEYSNTKPVRKVLRSFLESFFYLFIKVTNVEEAKDL >KJB11123 pep chromosome:Graimondii2_0_v6:1:48169284:48176021:1 gene:B456_001G241600 transcript:KJB11123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGICSRSGVRDGGVGNAYGGGDFNNNYQTKAVPENSLMNPPQVTEIMEKRIEEPTDDFYDGIPRLTRGMSQKSRSVRSTQAAVAKVSEVGSRLGKAGSVGLGKAVEVLDTLGSSMTSLNPSAGFASGVATKGNELSILAFEVANTIVKGSNLMQSLSRRNVRHLKEVVLASNGVQNLISKDMDELLRIVAVDKREELKIFSGEVVRFGNRSKDRQWHSLERYFEKISRELSPQKQLKEEAELLVEQLMISVQYTAELYQELQILDKFEQDYLRKRQEEDNSAGTQKGDSLAILKADLKSQRKQVRNLKKKSLWSRSLEEVMEKLVDIVHYLILEIHCAFGSNEYQKSPEGSESGHQRLGPAGLALHYANIIMQVDTLVARSSSIPANTREALYQNLPPSIKSALRPKVRSFHVKAELTVTEVKDEMEKTLQWLVPLAANTTKAHHGFGWVGEWANTGPELNKKPSTGPADVIRIETLHHADKEKTETYILEQLLWLHYLVNKSKSSVALGLNTSTKTQVTPREKNKPPKPESPTAQTPEEEKLLQDLTMKVGIQGISRSLDFDCERRRLRKHDCLSKSTGHSPPRVSKEKGLTKRLPSGVPIIAYGMYKEKALDVIDRVDSLS >KJB07570 pep chromosome:Graimondii2_0_v6:1:2835332:2837983:-1 gene:B456_001G030300 transcript:KJB07570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLSLLLFCSHSLLQLNFSAGQNVVRAAYWSADSGFPVPDIDSTLFTHLFCAFADLDSQTNQVTVSSANQARFSTFTETVQLKNPSIKTLLAIGGGSSSASDFASMASQANTRKSFIDSSIKLARSNSFHGLDLDWENLSTLPQKTNLGLLLQEWRAAVDKESTTTGNSKLLLSAALPFRNSDYPIQAIQNSLDWINVMAYDFNAPGRSTVTGPPAALYNPGTQLSGDYGIRSWTQSGSGIPPNKLVLGLPFYGYAWRLVDANNHGFSAPASGPAVSPKGELGYGQIKNFFSANRGTKVYDAAVGSNYCYAGTTWIGYDDTESIRAKVSYVKQNGLLGYFAWHVGADDDGRTLSKAATGS >KJB07571 pep chromosome:Graimondii2_0_v6:1:2835448:2837933:-1 gene:B456_001G030300 transcript:KJB07571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLSLLLFCSHSLLQLNFSAGQNVVRAAYWSADSGFPVPDIDSTLFTHLFCAFADLDSQTNQVTVSSANQARFSTFTETVQLKNPSIKTLLAIGGGSSSASDFASMASQANTRKSFIDSSIKLARSNSFHGLDLDWENLSTLPQKTNLGLLLQEWRAAVDKESTTTGNSKLLLSAALPFRNSDYPIQAIQNSLDWINVMAYDFNAPGRSTVTGPPAALYNPGTQLSGDYGIRSWTQSGSGIPPNKLVLGLPFYGYAWRLVDANNHGFSAPASGPAVSPKGELGYGQIKNFFSANRGTKVYDAAVGSNYCYAGTTWIGYDDTESIRAKVSYVKQNGLLGYFAWHVGADDDGRTLSKAAATGS >KJB10246 pep chromosome:Graimondii2_0_v6:1:32015257:32018656:-1 gene:B456_001G191500 transcript:KJB10246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQPAFLLYLGSVIVLVVLLILYFAPRCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLVYLETWFFMFIVATCVIIQMNYLNKALDMFNTAVVSPIYFVMFTSLTIIASVILFKVSMHLYPLHCLPDSSVVTVKL >KJB10247 pep chromosome:Graimondii2_0_v6:1:32015310:32018000:-1 gene:B456_001G191500 transcript:KJB10247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYELKYNSGLSSSIKKLEEYIKQESPIISVQEIWAMATQPAFLLYLGSVIVLVVLLILYFAPRCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLVYLETWFFMFIVATCVIIQMNYLNKALDMFNTAVVSPIYFVMFTSLTIIASVILFKVSMHLYPLHCLPDSSVVTVKL >KJB07187 pep chromosome:Graimondii2_0_v6:1:570417:581879:1 gene:B456_001G006400 transcript:KJB07187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIRVTMEVGDDGVAVITIFNPPLNTMAVPIIAGLMEKFDEVSRRNDVKAAVLTGSGGKFCGGLDLNLIQKVHLTGDVSLVPHASVDLALTAIEDCKKPIVAAIKGLALGGGLELAMGCHARIATPKAQLALPELSLGLIPGLGGTQRLPRLVGLSKAIEMLMSSKPILSEEGKKLCLIDTIAPSEELLKVSRKCALDIAERRKPWVKSLQRTDKIGSLSEAQEVLRFARHQAKRTAPNSSLHQACLDVIEEGILHGGYKGLLKEDKVFREIVLSDISKGLVHLFFAQRATSKVPKVTDVGLKPRQIKKVGVIGGGLMGCGIATALIVSNICVLLKELNSDYLLKGIKRIQANVGGLVTRGKLTKDKAEKALSILKGVLDYSEFKDVDMVIEAAIENVGLKQKIFSEIEKACPPHCILATNTSTIDLNLIADDRVIGAHFFSPAHVMPLLEIVRTQKTSPQVILDLMTIGKVINKVPVVVGNCTGFAVNRTFFPYTQGAHLLVNLGVDLFRIEGVITNFGLPMGPFQLQDVSGYGVFLAVWKEFAKAFPDRVFMSPLIELFMKNGRNGKINGKGYYIYEKGSKPKPDPSILPIIEECRRQTKLMPAGKPITISDKEILEMVLFPVVNEACRVLDEEVVVRASDLDTTSVLGMSFPSHRGGIVFWADTVGANHVYKSLKEWSEAYGSFYKPSKYLEERAIKGIPLQQLHLHHQSRGFEANK >KJB07188 pep chromosome:Graimondii2_0_v6:1:570600:581606:1 gene:B456_001G006400 transcript:KJB07188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIRVTMEVGDDGVAVITIFNPPLNTMAVPIIAGLMEKFDEVSRRNDVKAAVLTGSGGKFCGGLDLNLIQKVHLTGDVSLVPHASVDLALTAIEDCKKPIVAAIKGLALGGGLELAMGCHARIATPKAQLALPELSLGLIPGLGGTQRLPRLVGLSKAIEMLMSSKPILSEEGKKLCLIDTIAPSEELLKVSRKCALDIAERRKPWVKSLQRTDKIGSLSEAQEVLRFARHQAKRTAPNSSLHQACLDVIEEGILHGGYKGLLKEDKVFREIVLSDISKGLVHLFFAQRATSKVPKVTDVGLKPRQIKKVGVIGGGLMGCGIATALIVSNICVLLKELNSDYLLKGIKRIQANVGGLVTRGKLTKDKAEKALSILKGVLDYSEFKDVDMVIEAAIENVGLKQKIFSEIEKACPPHCILATNTSTIDLNLIADDRVIGAHFFSPAHVMPLLEIVRTQKTSPQVILDLMTIGKVINKVPVVVGNCTGFAVNRTFFPYTQGAHLLVNLGVDLFRIEGVITNFGLPMGPFQLQDVSGYGVFLAVWKEFAKAFPDRVFMSPLIELFMKNGRNGKINGKGYYIYEKGSKPKPDPSILPIIEECRRQTKLMPAGKPITISDKEILEMVLFPVVNEACRVLDEEVVVRASDLDTTSVLGMSFPSHRGGIVFWADTVGANHVYKSLKEWSEAYGSFYKPSKYLEERAIKGIPLSAAATSSPSSKSRL >KJB11182 pep chromosome:Graimondii2_0_v6:1:48604655:48607128:-1 gene:B456_001G244000 transcript:KJB11182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPLSSSSALSKPISKHHSFSLNTPQKVTFPLLPKTLQKPSSFPCNHVLHPQKSEFTTSSKNPISDFFSANKNEDGLVPPFSDDDDDKPREECGVVGIFGDPEASRLCYLALHALQHRGQEGAGIVAVNNNVLQSVTGVGLVSEVFNESKLDQLPGEMAIGHVRYSTAGSSMLKNVQPFVAGYRFGSIGVAHNGNLVNYRALRAMLEDNGSIFNTSSDTEVVLHLIAISKARPFFLRIVEACEKLEGAYSMVFVTEDKLVAVRDPHGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVYPGEVLVVDKKDGVQSVCLMPHPEPKQCIFEHIYFALPNSVVFGRSVYESRHAFGEVLATEAPVDCDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRGVLEGKRVVVVDDSIVRGTTSSKIVRLIKEAGAKEVHMRIASPPIIGSCYYGVDTPSSEELISNRMSVEEIREFIGCDSLAFLPFESLKKMLGSESPNFCYACFSGKYPVMPREVKVKRVGDFLDDGLNGPMDSIDGGWIQGPRDLNVEKEIDPLYQKSNI >KJB11345 pep chromosome:Graimondii2_0_v6:1:53382550:53384411:1 gene:B456_001G259000 transcript:KJB11345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSYLPVCHRSQLYSRFPVFCNKHTVFESTCMNMSRAFNICCRNVVSFSDGVPGKGTGVPYFYLTTLDPTARNALKDHRSSLAISEYPLGTCSNADPESPVCAKITLTGRLVLLEANSKEAEFARTALFTKHPEMKGWPKGHDFQVFKLEIEDIFMINWFGGPKPLTVDQYLKYKMNIFALDK >KJB11342 pep chromosome:Graimondii2_0_v6:1:53381701:53384664:1 gene:B456_001G259000 transcript:KJB11342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKGTIVRSQKIVVIACACLQRIKTPIAHLQSLPLPILLFKVQSLGYVDARLLVESKPDRDDTAAYARWLVSQNSWGILTTLSIELEGSPFGNVVSFSDGVPGKGTGVPYFYLTTLDPTARNALKDHRSSLAISEYPLGTCSNADPESPVCAKITLTGRLVLLEANSKEAEFARTALFTKHPEMKGWPKGHDFQVFKLEIEDIFMINWFGGPKPLTVDQYLKYKMNIFALDK >KJB11347 pep chromosome:Graimondii2_0_v6:1:53381859:53383739:1 gene:B456_001G259000 transcript:KJB11347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKVFYCCLVLFFLGFQGYVDARLLVESKPDRDDTAAYARWLVSQNSWGILTTLSIELEGSPFGNVVSFSDGVPGKGTGVPYFYLTTLDPTARNALKDHRSSLAISEYPLGTCSNADPESPVCAKITLTGRVCC >KJB11348 pep chromosome:Graimondii2_0_v6:1:53381859:53384411:1 gene:B456_001G259000 transcript:KJB11348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKVFYCCLVLFFLGFQGYVDARLLVESKPDRDDTAAYARWLVSQNSWGILTNVVSFSDGVPGKGTGVPYFYLTTLDPTARNALKDHRSSLAISEYPLGTCSNADPESPVCAKITLTGRLVLLEANSKEAEFARTALFTKHPEMKGWPKGHDFQVFKLEIEDIFMINWFGGPKPLTVDQYLKYKMNIFALDK >KJB11343 pep chromosome:Graimondii2_0_v6:1:53381701:53384411:1 gene:B456_001G259000 transcript:KJB11343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKVFYCCLVLFFLGFQGYVDARLLVESKPDRDDTAAYARWLVSQNSWGILTTLSIELEGSPFGNVVSFSDGVPGKGTGVPYFYLTTLDPTARNALKDHRSSLAISEYPLGTCSNADPESPVCAKITLTGRLVLLEANSKEAEFARTALFTKHPEMKGWPKGHDFQVFKLEIEDIFMINWFGGPKPLTVDQYLKYKM >KJB11346 pep chromosome:Graimondii2_0_v6:1:53381941:53383411:1 gene:B456_001G259000 transcript:KJB11346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKVFYCCLVLFFLGFQGYVDARLLVESKPDRDDTAAYARWLVSQNSWGILTTLSIELEGSPFGNVVSFSDGVPGKGTGVPYFYLTTLDPTARNALKDHRSSLAISEYPLGTCSNADPESPVCAKITLTGRVCC >KJB11344 pep chromosome:Graimondii2_0_v6:1:53381701:53384664:1 gene:B456_001G259000 transcript:KJB11344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKVFYCCLVLFFLGFQGYVDARLLVESKPDRDDTAAYARWLVSQNSWGILTTLSIELEGSPFGNVVSFSDGVPGKGTGVPYFYLTTLDPTARNALKDHRSSLAISEYPLGTCSNADPESPVCAKITLTGRLVLLEANSKEAEFARTALFTKHPEMKGWPKGHDFQVFKLEIEDIFMINWFGGPKPLTVDQYLKYKMNIFALDK >KJB11349 pep chromosome:Graimondii2_0_v6:1:53383070:53384062:1 gene:B456_001G259000 transcript:KJB11349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSYLPVCHRSQLYSRFPVFCNKHTVFESTCMNMSRAFNICCRNVVSFSDGVPGKGTGVPYFYLTTLDPTARNALKDHRSSLAISEYPLGTCSNADPESPVCAKITLTGRLVLLEANSKEAEFARTALFTKHPEMKGWPKGHDFQVFKLEIEDIFMINWFGGPKPLTVDQYLKYKMNIFALDK >KJB09956 pep chromosome:Graimondii2_0_v6:1:26580368:26580607:-1 gene:B456_001G177800 transcript:KJB09956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEGLTGNEWEDRKIGRRKDFLVRLMELAKHFIRTNIEPEWMVLCLLPVLPPELRPFAEERWPVFNPWLLLDIWDLIRWL >KJB06524 pep chromosome:Graimondii2_0_v6:1:9813393:9815658:1 gene:B456_001G089900 transcript:KJB06524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFCCCLRADDSEDYTNSNNNVRRRCLCLSCFVQNFLHMYATLFQRRDSVPSSILGTAYVNSPASLYSSLTDVYESTIRSLPYDGETRHCRLQREGLVSRREKGSSHSQEDSKPLRGADDADSETFSTGEKWNSFEQGSTERQSKSSQNHSSAKSQVGVGYTYWSAEEEDVCPTCLEEYTPENPKIVAKCSHHFHLSCIYEWMERSEKCPVCGKVMVFDETT >KJB11785 pep chromosome:Graimondii2_0_v6:1:15742699:15743149:-1 gene:B456_001G1255001 transcript:KJB11785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLPWTICISKWVLASTITTLLLLLLLALPLLPQIVMGLRLILLLDSLVQIMICPSLMLRPITITFSTMSLVP >KJB11784 pep chromosome:Graimondii2_0_v6:1:15742699:15743149:-1 gene:B456_001G1255001 transcript:KJB11784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLPWTICISKWVLASTITTLLLLLLLALPLLPQIVMVLKQGLRLILLLDSLVQIMICPSLMLRPITITFSTMSLVP >KJB10446 pep chromosome:Graimondii2_0_v6:1:38551837:38554568:1 gene:B456_001G201600 transcript:KJB10446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRCIFLANWKQNVNKCFFKLYSTHLPQTISTNIYMNKLFKSGRLDIARNLFDEMPTRTVVSWNTVISGYTKWGEFNEALALLSSMHRSDIKFNEFTFSTALSLCGRLLSLTPGKQIHCVVIKSGSESFELVGSSLLYFYANCSGIEEAKRVFHDLHDKNELLWNLMIVGYVECGLMKEALDMFIKMPKRDVVAWTTLISGYMKSEEGCDKALELFWRMRGSSEVVPNEFTLDSVIRACSRLANLREGRLVHGILIKYGFEFDQLIGGALIEFYSDCEAIADAKRVYDGVTNLCLNASNSLIRGLISMGRIDDAELIFNTLVEANSVSYNLMIKGYAACGRVEDSKRLFEEMSQRTIVSTNTMISVYSRSGEIGKALKLFEETQGERNPVTWNSMMSGYIRNEQYKEALMLYLNMCRLQIAHTRSTFSVLFHACSCLRSLQQGQLLHAHLIKTPFHSNVYVGTSLIDMYSKCGSISDAHKSFSSISSPNVAAWTAIINGHAHHGLGSQAILLFEHMLQKGVVPNGATFVGILCACAHAGLVNEGMKLFRLMENCYGVVPTEEHYACVVDLLGRAGLLEEAEEFIKKMPVEADEVVWGALLHSCWYWMDMDVGGRAAQKLFSLNPKTISVYVILSNIYAILGKWGEKLEVRQRLRDLEVKKDPGCSWIELENRLFVFSIEDRNHPYCNMIYATLEHLTMNLNSFHVAPF >KJB11255 pep chromosome:Graimondii2_0_v6:1:51149351:51150738:-1 gene:B456_001G249800 transcript:KJB11255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLSAAVDKAGGPFSPNKSTLQILAFEAAKTMSRLVALYKSLSDDEMFKLRKGPMKSLGVAFLNSMDESYLLGLACKEKLEDLGHAVVVVSRLSKQCIGDELNRFEIAYHNKKQGIIDVGNVDFNSRNVGKAIEKMEKYANATSALHASLVALNELEVSEKKMQKPKINIDYFNEEINFQRKQVLHFRQISLWSQTFDTSVGLMARIVFVIYARICTIFGPFVPSLTCIYIPKRKALHKKVYPETSYCLLVDKEKYTEHASKSGPIMKASRTEPKNKSLIQSAPGNTVGAAGLALHYANIIIKAESCFYSTTSISNESRENMYEMLPISLKHALRRKLKGHLSKDVEESDEGQGLAQGWKEALGEIIGWLAPVAHDTLRWQQESDSQQQKLDSEPTALLMQTLHFSDLEKTEAAIVEVLVGLSCIYRYEGRRERHDG >KJB10913 pep chromosome:Graimondii2_0_v6:1:46907494:46908090:1 gene:B456_001G232000 transcript:KJB10913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVLTISVGYCSNFTPTHPFFSQRTLCFRLTHLQHRGMLRQVLAPTLAELGINPSSTACQRVLQEIMERGLRFVNGTLSRGYHCKALHLQSVILVGPRTTNQESLMTATALAISESEFESNNYGMVAATESSVKEMLKRFKVEDGEQQDCMVCLEELGVGFEASRMPCSHIFHGDCIGKWLQQSHYCPICRFEMPTH >KJB08584 pep chromosome:Graimondii2_0_v6:1:10242992:10244942:1 gene:B456_001G092100 transcript:KJB08584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRVSLGLVVLFLSISYAAFEATARIHYDRIKTGNPNSNSNISSLAGIEFPDHMSFNAVSSSTSTNGCSCLNDDDDGSKKIVKLHLNQRQIDSKTEPKSSVLDSTMRDSIRIQALHTRVIEKKNQNAISRLNKQSSLKPAIEKAAAPESYTDGFSGQLVATLESGVSLGSGEYFIDVFIGTPPKHFSLILDTGSDLNWIQCVPCYDCFEQTGPYYDPNESSSYRNISCQDPRCNLVSPPDPPLPCKTENQTCPYYYWYGDSSNTTGDFAVETFTVNLTSNGNSEFKPIENVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSLYGHSFSYCLVDRNSDTNVSSKLILGEDKALLNHPNLNFTSLLTGKENSVDTFYYVQIKSILVGGDILPIPEETWRLSTDGTGGTIVDSGTTLSYFADPTYKIIKEAFVNKTKGYPLLKDFPILNPCYNVSGIENVELPDFGIEFLDGAVWNFPVENYFIWLEDDVVCLAILGTPRSALSIIGNYQQQNFHILYDTKKSRLGYAPMKCADV >KJB07082 pep chromosome:Graimondii2_0_v6:1:12751446:12753191:-1 gene:B456_001G110200 transcript:KJB07082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQQEEGWPLGLQPLNVRVGLPGRNHDYSGSISFNTILTGSPTSSTASSSDLDTESTGSFFHDKSITLGSLIGVSSIWELSKRSIRGRKAEATREKRNNRSKVWLFSLCSRDNTDAENVNNANNAPSLGHFLAVERRAASGYRRNHGPTVNGPDELALQAQPNIESNSLFINGCIAPPRTSSCHVADAETQKKGRLDNDGNSNGVPILLSCMCGQP >KJB07083 pep chromosome:Graimondii2_0_v6:1:12751033:12753551:-1 gene:B456_001G110200 transcript:KJB07083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQEEGWPLGLQPLNVRVGLPGRNHDYSGSISFNTILTGSPTSSTASSSDLDTESTGSFFHDKSITLGSLIGVSSIWELSKRSIRGRKAEATREKRNNRSKVWLFSLCSRDNTDAENVNNANNAPSLGHFLAVERRAASGYRRNHGPTVNGPDELALQAQPNIESNSLFINGCIAPPRTSSCHVADAETQKKGRLDNDGNSNGVPILLSCMCGQP >KJB06169 pep chromosome:Graimondii2_0_v6:1:19097596:19098850:1 gene:B456_001G1412002 transcript:KJB06169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEVVKDLRAGNFGVARLLRHKGTKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFKEVVLMPTNLVIVIEYAGGW >KJB06170 pep chromosome:Graimondii2_0_v6:1:19097614:19098802:1 gene:B456_001G1412002 transcript:KJB06170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEVVKDLRAGNFGVARLLRHKGTKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFKEVVLMPTNLVIVIEYAGGW >KJB08613 pep chromosome:Graimondii2_0_v6:1:10434484:10436172:1 gene:B456_001G093800 transcript:KJB08613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKARRRIARQKKAVRIFPRPTAGPLRPIVHGQTLKYNMKLRAGKGFTLEELKAAGISKKLAPTIGIAVDHRRKNKSLEGLQANVQRLKTYKAKLVVFPRRARKFKAGDSPAEELATATQVQGPYMPISREKPSVELVKVTDDMKSFKAYNKLRVERTNERHIGARLKKAAEAEKEDKK >KJB08614 pep chromosome:Graimondii2_0_v6:1:10434475:10436198:1 gene:B456_001G093800 transcript:KJB08614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKARRRIARQKKAVRIFPRPTAGPLRPIVHGQTLKYNMKLRAGKGFTLEELKAAGISKKLAPTIGIAVDHRRKNKSLEGLQANVQRLKTYKAKLVVFPRRARKFKAGDSPAEELATATQVQGPYMPISREKPSVELVKVTDDMKSFKAYNKLRVERTNERHIGARLKKAAEAEKEDKK >KJB08615 pep chromosome:Graimondii2_0_v6:1:10434484:10436172:1 gene:B456_001G093800 transcript:KJB08615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKARRRIARQKKAVRIFPRPTAGPLRPIVHGQTLKYNMKLRAGKGFTLEELKAAGISKKLAPTIGIAVDHRRKNKSLEGLQANVQRLKTYKAKLVVFPRRARKFKAGDSPAEELATATQVQGPYMPISREKPSVELVKVTDDMKSFKAYNKLRVERTNERHIGARLKKAAEAEKEDKK >KJB09419 pep chromosome:Graimondii2_0_v6:1:19012205:19016606:1 gene:B456_001G140500 transcript:KJB09419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNPRVSFRAFLLLFPISAFVLVLLSSAVILKKSPKTTQIGHFHVHNHLQRASSACDGTLYPELCVSTISVLPDLASKSLPELISATLNQTMHEVRLSSANITNIEKKLKSYNTLEEAAINDCLELFDNTMAELKVVRSGLGPKGSSVSENYHDLQTFLSAAMTNQQTCLDGFARSSGNVRSIIKKGLHNISHHVSNSLVMLNKVPGVNKSKPEVFPGYGRVKNGFPTWLSRRDRKLLQASLNETKFDLIVAKDGTGNFTNITDAVSAAPNNSDTRFVIYIKAGAYFENVEVDRKKTMLMFVGDGIGKTVVKASRNVVDGFTTFRSATVAVVGNGFIAKGITIENSAGPSKHQAVALRSGSDLSAFYKCSFVAYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAAVFQACNLYARKPNPNQKNIFTAQGREDPNQNTGISILNSKIAAAADLIPLKSSFKTYLGRPWKEFSRTVIMRSYIDDSVDPAGWLEWNGTFALSTLYYGEYLNRGAGSNTSARVTWPGYRVINSSTEADQFTAGAFIQGNDWLNSTDIPFSLGLIL >KJB09697 pep chromosome:Graimondii2_0_v6:1:22410430:22410833:1 gene:B456_001G157100 transcript:KJB09697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLGNRNRTDMKRRDEENTIRFTNLLEDAIESDLRELIAPFGQVSRVHVGIDRKTELCRGFGFLNFVKKEDAERAVLKLNGYGYDSLILRVE >KJB10366 pep chromosome:Graimondii2_0_v6:1:35108851:35112858:1 gene:B456_001G197600 transcript:KJB10366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAARGITSSSSSRIFSRNILSKPPSFFTISRALAPSIPRFSVRSMADSAFKKVQIQRDDTAFDAYVVGKEDAPGIVVLQEWWGVDFEIKNHALKISQLGPGFKALIPDLYRGKVGLDVAEAQHLMDGLDWQGAVKDICASVDWLKANGSKKVGVTGFCMGGALSIASSVLVPQVDAVAAFYGVPSPELADPAQAKAPVQAHFGELDNFVGFSDVTAAKALEEKLKESKTPYEVHIYPGNAHAFMNRSPDGIKRRKGMGMADEDEAAVRLAWSRFESWMARFLS >KJB10367 pep chromosome:Graimondii2_0_v6:1:35108873:35112839:1 gene:B456_001G197600 transcript:KJB10367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAARGITSSSSSRIFSRNILSKPPSFFTISRALAPSIPRFSVRSMADSAFKKVQIQRDDTAFDAYVVGKEDAPGIVVLQEWWGVDFEIKNHALKISQLGPGFKALIPDLYRGKVGLDVAEAQHLMDGLDWQGAVKDICASVDWLKANGSKKVGVTGFCMGGALSIAIDAVAAFYGVPSPELADPAQAKAPVQAHFGELDNFVGFSDVTAAKALEEKLKESKTPYEVHIYPGNAHAFMNRSPDGIKRRKGMGMADEDEAAVRLAWSRFESWMARFLS >KJB11498 pep chromosome:Graimondii2_0_v6:1:53805488:53812808:1 gene:B456_001G262300 transcript:KJB11498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYFNKEPPPPVVLVPPLFDFPPLAARTRMLESSYNMLFGKLGLKCLFEDYFEEARHFNTIFMLKPIDDPNVDMMATLSGPFNHKPEERIAGNASFRWQNDVDDPHTFMDLFVSNSDPVLRMRSCTYFPRYGFGVFGILPLLLKKRVTAEDYGVMGLRYGSGWLSAGVTVMPFAIKDQLPRSAWLVSKLGRLTFGVQYEPQYGSEDEMKYKNKLNWSCAIGYGVGSGCPLSPSFTFGLELAKSSQFIASFYQHAVVQRRVKNPFEESEVVGITNYIDFGFELQTRMDDAKISNNIPDSTIQLAASWQANKNFLLKGKLGPLSSSLAVAVKSWWKPSFTFSISANRDRISGTTAYGLGLRIENLREASYQRADPNFVMLTPNKEHLAEGTVWKTGNRPMLEADVNAGNFDRLPKELRPQGRIL >KJB07862 pep chromosome:Graimondii2_0_v6:1:4648328:4649014:1 gene:B456_001G048700 transcript:KJB07862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11B [Source:Projected from Arabidopsis thaliana (AT3G47430) UniProtKB/Swiss-Prot;Acc:Q9STY0] MNDTVDKLVIFLAKRDGIDKLVKTFQYVSKLVNWQVETTHPDVANRFKQWEVSSGLSRKAFRTGRFLTGFNGLRRNPGATPTFKFLAVLANAGEMVYFFFDHFLWLSRIGTLDAKLAKRMSFVSAFGESFGYIFFIVSDLIIMKQGVEAERKLIALQEEEENSKDAKEKIRKIRGDRVMRLMAVAANVADLIIAAAEIEPNPFCNHPLSLGISGLVSAWAGWYRNWPS >KJB10754 pep chromosome:Graimondii2_0_v6:1:45019824:45021101:1 gene:B456_001G221500 transcript:KJB10754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFVYASENFSCLTCPLPHTLFRREEGVVSRNLVPYSSYIKPLPHLPLPNVMNLLSKSFLSVLFKSLFHSPTENITSMEIPNKKQRIQLITTSHLVKLLTKILLPFSLLSVILSYPFMCNFQALAYGLQLFSFSVGKNYMFLLCNGLLVFIATSSGLIGSSCVETGVKAEKAVKISEGGSQTELEVESSEPKEKVVTEYDQKEEEVALVVELEVEGEEGRSDEEDEDELGWMSNEELNKKCEDFIRKMRKESDFEARLLITN >KJB06928 pep chromosome:Graimondii2_0_v6:1:416136:422107:-1 gene:B456_001G004300 transcript:KJB06928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHCNGSSHSSESFANIPPIKFTKLFINGNFVDSVSGKTFEAIDPRTGEAITLVSKGDKEDVDLAVKAARFAFDNGPWPRLSGSERGRILMKLADLIEENIEEIASLDAINGGKLFSLCKLIDIPAASMALRYYAGAADKIHGTVLKLSKGLQGYTLREPIGVVGAIIPWNFPTIMFFMKVAPALAAGCTIVVKPAEQTPLSALYYAHLAKLAGVPDGVLNVVNGYGETAGAAISSHMDIDKVSFTGSTEVGRKIMVAAATSNLKPVSLELGGKSPLLIFDDADVDEAANIAFNGMFFNKGEICVASSRVYVQEGIYDKIVDKLVEKAKALVVGDPFDPQVNQGPQTDKKQFEKILSYIEHGKREGATLLTGGKHIGQKGYYIQPTIFADVKNYGRGD >KJB06930 pep chromosome:Graimondii2_0_v6:1:416322:422053:-1 gene:B456_001G004300 transcript:KJB06930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHCNGSSHSSESFANIPPIKFTKLFINGNFVDSVSGKTFEAIDPRTGEAITLVSKGDKEDVDLAVKAARFAFDNGPWPRLSGSERGRILMKLADLIEENIEEIASLDAINGGKLFSLCKLIDIPAASMALRYYAGAADKIHGTVLKLSKGLQGYTLREPIGVVGAIIPWNFPTIMFFMKVAPALAAGCTIVVKPAEQTPLSALYYAHLAKLAGVPDGVLNVVNGYGETAGAAISSHMDIDKVSFTGSTEVGRKIMVAAATSNLKPVSLELGGKSPLLIFDDADVDEAANIAFNGMFFNKGEICVASSRVYVQEGIYDKIVDKLVEKAKALVVGDPFDPQVNQGPQTDKKQFEKILSYIEHGKREGATLLTGGKHIGQKGYYIQPTIFADVKDDMIVAKNEIFGPVMSLMKFKTMEEGIKRANNTTYGLAAGVITKDLNVANTVSRSVRAGVVWINCYTVFDMDCPYGGYKMSGFGRDYGLDALNQYLQIKSVVTPIHDSPWH >KJB06929 pep chromosome:Graimondii2_0_v6:1:416136:422107:-1 gene:B456_001G004300 transcript:KJB06929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHCNGSSHSSESFANIPPIKFTKLFINGNFVDSVSGKTFEAIDPRTGEAITLVSKGDKEDVDLAVKAARFAFDNGPWPRLSGSERGRILMKLADLIEENIEEIASLDAINGGKLFSLCKLIDIPAASMALRYYAGAADKIHGTVLKLSKGLQGYTLREPIGVVGAIIPWNFPTIMFFMKVAPALAAGCTIVVKPAEQTPLSALYYAHLAKLAGVPDGVLNVVNGYGETAGAAISSHMDIDKTDKKQFEKILSYIEHGKREGATLLTGGKHIGQKGYYIQPTIFADVKDDMIVAKNEIFGPVMSLMKFKTMEEGIKRANNTTYGLAAGVITKDLNVANTVSRSVRAGVVWINCYTVFDMDCPYGGYKMSGFGRDYGLDALNQYLQIKSVVTPIHDSPWH >KJB08911 pep chromosome:Graimondii2_0_v6:1:13126550:13127847:-1 gene:B456_001G1123001 transcript:KJB08911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLAAPSHLLPSSTRRHLPPSPRSTTIKCHHPSPNPKPQSPIPFLPSLSKPLVLASASAAALLISFTPISILLPGGNGGGFSGGGGNGGGGGGSNGGDGNFWEKLFSPSPAIADDSNQDQEWDSHGLPANIVVQLNKLSGFKKYKLSDILFFDRRRWTTVGTEDSFFEMVSLRPGGFYTKTQLQKELETLATCGMFEKVDMEGKTNPDGTLALTISFTESTWQSADRFRCINVGLMAQSKPIEMDPDMTDKEKLEYYKNQEKDYKRRIERARPCLLPMQVHREVLQMLREQGKVSARLLQKIRDRVQKWYHDEGYACAQVVNFGNLNTKEVVCEVVEGDITQLVIQFQDKLGNVVEGNTQLPVVRRELPRQ >KJB08483 pep chromosome:Graimondii2_0_v6:1:8834222:8836505:1 gene:B456_001G083600 transcript:KJB08483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVYGPIKAACPQRVLACLLEKEVEFQIVDVDLEAGDHKKPDFLLRQPFGQVPAIEDGDFKLFESRAIIRYYAAKYEKQGTNLLGNSLEERAMVDQWLEVEAHNFNDLVYTLVFQLLILPRMGKQGDTALVLSCQQKLEKVLDIYEQRLSTTAYLAGDSFTLADLSHLPALRYLVDDVGMWHMVSQRKHVNAWWETISNRAAWKKLMNLANY >KJB09581 pep chromosome:Graimondii2_0_v6:1:20966244:20967839:-1 gene:B456_001G150900 transcript:KJB09581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDSIEMDKERLTAEMAFKDSSSAVIKIRRRLPDFLQSVKLKYVKLGYGYSCNPATILMVALVLPLLYTILVHFIDLKMDRISELWTNQSLRLESIDAATTLAASLFMFFLFALYYAKRSRPVYLVDFACFKPEDDRKMSVDSFLKMTEDSGAFAEDTLQFQRRISTRSGLGDETYFPRGITSTPPNLCMEEARSEAEAVMFGALDSLFEKTGVNPKDIGILVVNCSLFNPTPSLSAMIVKHYKLRTDIKSYNLGGMGCSAGLISVELAKNLLQANPNTYAVVVSTENITLNWYFGNDRSMLLCNCIFRMGGAAVLLSNKAGDKSRSKYQLVHLVRTHKGADDKHYNCVYQREDEKGTVGVSLARELMAVAGDALKTNITTLGPLVLPWTEQFMFFVTLVRKKIFKAKVKPYIPDFKLAFEHFCIHAGGRAVLDELQKNLQLTDWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRVSSGDRVWQIAFGSGFKCNSAVWRALRRSPMNELRGNPWKDEIDKQPVKVPIA >KJB10269 pep chromosome:Graimondii2_0_v6:1:34996521:34999015:1 gene:B456_001G197500 transcript:KJB10269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFIGETVKVEGKGSEQKANSNRSKHSETEQRRRSKINERFQTLRDIIPQNDQKRDKASFLLEVIEYIQFLQEKLQIYEGSYQGWSQEATKLIPWRNHRGLAESFIDHSQIMNNGSNCENDGVIPSMVANAQNSIDSDLGDAAVFKTPDHPPVSATSTVSMQTQSNTIATHGRGSITFHESASDSENMVHQPQFQSWQSRDCLSESAVVNNSAIAREDLTIRDESVDLSSAYSQGIRNSLTQVLQSSGVDISQASISVKIDVGKRVAAGMTSTPSSSKEKDIQYTSNQEMAQTGVRSYTEESDQAYKRHRTGKS >KJB10268 pep chromosome:Graimondii2_0_v6:1:34996204:34999744:1 gene:B456_001G197500 transcript:KJB10268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSVASAQLHQEEEDDDGETVKVEGKGSEQKANSNRSKHSETEQRRRSKINERFQTLRDIIPQNDQKRDKASFLLEVIEYIQFLQEKLQIYEGSYQGWSQEATKLIPWRNHRGLAESFIDHSQIMNNGSNCENDGVIPSMVANAQNSIDSDLGDAAVFKTPDHPPVSATSTVSMQTQSNTIATHGRGSITFHESASDSENMVHQPQFQSWQSRDCLSESAVVNNSAIAREDLTIRDESVDLSSAYSQGIRNSLTQVLQSSGVDISQASISVKIDVGKRVAAGMTSTPSSSKEKDIQYTSNQEMAQTGVRSYTEESDQAYKRHRTGKS >KJB10270 pep chromosome:Graimondii2_0_v6:1:34996204:34999744:1 gene:B456_001G197500 transcript:KJB10270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSVASAQLHQEEEDDDGETVKVEGKGSEQKANSNRSKHSETEQRRRSKINERFQTLRDIIPQNDQKRDKASFLLEEKLQIYEGSYQGWSQEATKLIPWRNHRGLAESFIDHSQIMNNGSNCENDGVIPSMVANAQNSIDSDLGDAAVFKTPDHPPVSATSTVSMQTQSNTIATHGRGSITFHESASDSENMVHQPQFQSWQSRDCLSESAVVNNSAIAREDLTIRDESVDLSSAYSQGIRNSLTQVLQSSGVDISQASISVKIDVGKRVAAGMTSTPSSSKEKDIQYTSNQEMAQTGVRSYTEESDQAYKRHRTGKS >KJB10271 pep chromosome:Graimondii2_0_v6:1:34996169:34999744:1 gene:B456_001G197500 transcript:KJB10271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSVASAQLHQEEEDDDGETVKVEGKGSEQKANSNRSKHSETEQRRRSKINERFQTLRDIIPQNDQKRDKASFLLEVIEYIQFLQEKLQIYEGSYQGWSQEATKLIPWRNHRGLAESFIDHSQIMNNGSNCENDGVIPSMVANAQNSIDSDLGDAAVFKTPDHPPVSATSTVSMQTQSNTIATHGRGSITFHESASDSENMVHQPQFQSWQSRDCLSESAVVNNSAIAREDLTIRDESVDLSSAYSQGIRNSLTQVLQSSGVDISQASISVKIDVGKRVAAGMTSTPSSSKEKDIQYTSNQEMAQTGVRSYTEESDQAYKRHRTGKS >KJB09596 pep chromosome:Graimondii2_0_v6:1:21137101:21137940:1 gene:B456_001G151800 transcript:KJB09596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVMTIGTNKWHPKQKKMENIIKGFKERDDKMTFIMKRIIDFSGKGPTNEEIQHENLQKEAAIKDQFESVDLPSYTYSNPYTRGIDRPMYKLPKNFNQQSMKFSFDGKGNPHQHIAHFVETYNSVGISGDLVSPYPRPGH >KJB10848 pep chromosome:Graimondii2_0_v6:1:46438327:46440208:1 gene:B456_001G228300 transcript:KJB10848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKMDMGAFGRRFQGFVTLCRSWSLDGGVFLPKILNWQSCSEEKKVDFTFSPLLFFLALGGLIKTCTISQLLGIYLMCGKAICLFSGLAMK >KJB09950 pep chromosome:Graimondii2_0_v6:1:26480346:26480793:-1 gene:B456_001G1772001 transcript:KJB09950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCGRDKKMQGRLSVWLVKHGLVHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYGVDQPEEVCIKVFAPRSNPRIPSVFWVWKSSDFQERESYDMLGISYENHPRLKRILMPESWIGRPL >KJB10578 pep chromosome:Graimondii2_0_v6:1:41172643:41174061:-1 gene:B456_001G208400 transcript:KJB10578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPSGLKSRKCLPAGLPVMLCLCVLGSILTAAILSSNIFYSELLFRSTTSVASVSRGQLVLPHSLLNQIADLQSQVGVLLEQLHGASAESKALTTFADQLLHIATSLDKFAEALSDFYDSNSSGTNEVSTVDEDFSDPDESEINQGSDTHSFNSGELHNYTALKPNRLIGKKNFLGVEAISPSVGLLCANMASNVDRFMGYKIYRMCPDDWDIAQKLMISGCDPLPRRRCFSKTPPRYSEPLPINSSLWSQPADTNIMWSHYKCKDYSCLVSNGTIGERGFFKCSNCFDLSKRGWEIPTNESTSAEFTIDEVLRLKPGEIRIGLDFSPTTGTFAALMKERNVTIASATLNLGAPFNEVIALRGLLPLYLSIGSRLPFFDNTLDIVHSTLFLDGWMGLDLLQFVLHDWDRVLRPKGLLWVDRFFCKRDELKMYLDEFSRLHYKKLLWRVVPKTDKLEDESFFSAVLEKPLRS >KJB10059 pep chromosome:Graimondii2_0_v6:1:27866544:27873965:-1 gene:B456_001G182200 transcript:KJB10059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRPVTLGPLEAMEKGSPKNQQTRLCFLASLSAFFWILLLYFHFVVLGSSPTVEESITLQPVKLESPLVNVESVPSPVTDARVEKEKPAPVKPVQDTAGEKVVPYPFMRALRTVENKSDPCGGRYIYVHKLPPRFNEDMLKECRSLSLWTNMCKFTSNKGLGPPLENVEGVFENTGWYATNQFAVDRPEWGIMGGKDHFLVAGRITWDFRRLSDEESDWGNKLLFLPAARNMSMLVVESSPWNANDFGIPYPTYFHPAKDEEVFIWQDRMRKLERKWLFSFAGAPRPGNPKSIRGQIINQCRNSKVCKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNFTTYSVFIPEDDIRKRNVSIEERLSQISPEQVKIMRETVINLIPRLIYADPRSKLETLRDAFDVAVQAVIDKVTRLRRNIIQGRTEYDNFVEENSWKYDLLDEGQREPCLNSLLPLQTTPPPTSSSPSNYLCLYFSMEPKLHLFFSALCFYLVSAYGNTLRTYIVQLHPHGVTSSSFPTKFLWHLSFLDQTLSSEEDSSSRLLYSYGSAMEGFAALLTETEIELLRGLPDVVAVRPDQLLQLQTTYSYKFLGLSSTTDGAWVKSGFGRGTIIGVLDTGVWPESPSFADQGMPPVPKRWRGMCQEGQNFKATSCNRKLIGARFFIKGHHVSSVPPSENMVDEYISPRDSSGHGTHTSSTAGGVSVPMASVLVCWFNGCYSSDILAAIDVAIVDGVDVLSLSLGGFPLPLFDDSIAIGSFRAVEHGISVICAAGNNGPIQSSVANIAPWIATIGASTLDRKFPAIVRMGNGEYVYGESLFPGKSLPIAEKELELVYVTGGNSGSEFCFRGSLPKEKVKGKMVVCDRGVNGRAEKGVAVKEAGGAAMILANTEINLEEDSVDAHVLPATEIGYAEAVRLKTYMDTTSHPRARIVFGGTVIGTSRAPEVAQFSARGPNLYDSTILKPDVIAPGVNIIAAWPQNLGPTGLPEDTRRVNFTVMSGTSMACPHVSGIAALIHSAHPGWTPAAIKSAIMTSADASDHRGKPIMDGSKPAAVFAIGAGHVNPNRAIDPGLIYDIKPDEYVIHLCTLGYTRSEIFTITHRNVSCSEKLKMNRGFSLNYPSISVAFKHGVKSKMITRRLTNVGNPNSVYSVQVKPPEGVKVRVKPRRLIFKHINQSLSYRIWVISRKKTQTKKISYAEGQLTWMNAHNSFHRVRSPISVTWK >KJB07869 pep chromosome:Graimondii2_0_v6:1:4683757:4686526:1 gene:B456_001G049400 transcript:KJB07869 gene_biotype:protein_coding transcript_biotype:protein_coding description:QWRF7 [Source:Projected from Arabidopsis thaliana (AT4G25190) UniProtKB/TrEMBL;Acc:A0A178V0Y0] METSLTTRRHQSEARSVSPHLLRSRSGTSALNRAPPVMNSTQSSAHRSKSTTRSSRTLRNGENINPTTTNMQKKPNHQEGRDGVVRFMQQRGSGGAIKGSKSVTSSPSAWALSPGRSSPLMVGMEPQGSCGSTTEVKAKYSKGVSGFLKYFRQKKVSPIREEEYHQFRVFYNRMLQWRFVNARAEVATNAVNTDAQDKLFGVWLRILKIRNSTLEKRIQLEKLIHEIKLHQIVGPQLCVLNECAKLEAKNYEAVSRVIRKLSAVLVRVPLNQDAKVDVESLYEAVSAAIAAMDGIEATIINIFSQPVEKMLYMVTELISMVEQEKQCLEEMEKVITLVPPKNILVSEQSLRVHLIQAAKESRKGQTPH >KJB07711 pep chromosome:Graimondii2_0_v6:1:3726514:3727488:1 gene:B456_001G040100 transcript:KJB07711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFPGNESNFNGNNQDYVSQDLNDKNHDEDKIKKDMNQESLQPFIGMKFQSLEEALGVFYPSTKSNPNSSRLVELIAIEGLI >KJB07070 pep chromosome:Graimondii2_0_v6:1:2033350:2033922:1 gene:B456_001G021400 transcript:KJB07070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSISKYNTNSHPKNTAKKKERKDPKTPFLPKTMDFRIMGFDSPLFHTLHHMMDLHEDGDNKNNPNAASRTYVRDAKAMAATPADIKEDSKSYVFVVDMPGLKPGDIKVQVEEENVLLISGERMREEEKEGAKYIRMERRVGKLMRKFVLPENANRDCITAVCKDGVLTVTVEKLPPPEPKKPKTIEVEIC >KJB10806 pep chromosome:Graimondii2_0_v6:1:45950907:45957511:-1 gene:B456_001G225900 transcript:KJB10806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFPGLLAKDFGVKPQGKSAPMAPPRNPSSGSNYGFGSDFTRSSYGNSKSSSNSIFDDDVYRGQPKYSSESRATSAQTPSFDYDSFFKDPKPPVFDKPVYDDDLFDGLPGIKSSSTAAKYDDVFAVSGSSPKHKSMSSSPLDALLGNLGRKETEMKSKSERVKAEKDAPLFDDLLAGFGQSNSAASARSTSESSRSQKLASNSSKTGSNLMENPFVVLEAKLDPADLSTGLFADPPGVTSKLNGFGKSGVESSSGSGGVFDDIDPLHGLGKSVPLGSSEINKRGKDRSPLSTDSGQQAPVTKEQNHRDYENHTKKRMPSTDNFLDSHQPVFDMPSMSTDFHSSVGRATSPPPYMNVDSNETSSQVHSTPRSEENFDASDDVWLTVSEIPLFTQPTSAPPPSRPPPPRPPRVSKTTTGSFSSTNAKMKVDDFSSFQNSTQCSQRSSQSTRAAANSSVTSQIDELEEFAMGRAWNNVEQAEGFPIDDFETSSVAAASAAAMKEAMDRAEAKFRHAKEMRERENFKAARTKEADQMDKDERDTQDAFDREKQERLERERQQREREEEEREQRRHELEREREEKEREQRRLEKERERVREMERERERARQAVERATREARERAAAEARERAAASASASQQNNDNDLESFFSMGSRPSNAPRPRANISDPVFDGQNRGGPEVAKRTSVGSSSSMKKASSTTNIVDDLSSIFGAAASSSGEFQEVEGETEERRRARLERHQRTQERAAKALAEKNQRDLQVQREQAERHRISETLDVEIKRWAAGKEGNLRALLSTMQYVLWPECGWQPVSLTDLITAAAVKKAYRKATLCIHPDKVQQKGANLQQKYISEKVFDLLKEAWNKFNSEELF >KJB10807 pep chromosome:Graimondii2_0_v6:1:45951788:45957244:-1 gene:B456_001G225900 transcript:KJB10807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFPGLLAKDFGVKPQGKSAPMAPPRNPSSGSNYGFGSDFTRSSYGNSKSSSNSIFDDDVYRGQPKYSSESRATSAQTPSFDYDSFFKDPKPPVFDKPVYDDDLFDGLPGIKSSSTAAKYDDVFAVSGSSPKHKSMSSSPLDALLGNLGRKETEMKSKSERVKAEKDAPLFDDLLAGFGQSNSAASARSTSESSRSQKLASNSSKTGSNLMENPFVVLEAKLDPADLSTGLFADPPGVTSKLNGFGKSGVESSSGSGGVFDDIDPLHGLGKSVPLGSSEINKRGKDRSPLSTDSGQQAPVTKEQNHRDYENHTKKRMPSTDNFLDSHQPVFDMPSMSTDFHSSVGRATSPPPYMNVDSNETSSQVHSTPRSEENFDASDDVWLTVSEIPLFTQPTSAPPPSRPPPPRPPRVSKTTTGSFSSTNAKMKVDDFSSFQNSTQCSQRSSQSTRAAANSSVTSQIDELEEFAMGRAWNNVEQAEGFPIDDFETSSVAAASAAAMKEAMDRAEAKFRHAKEMRERENFKAARTKEADQMDKDERDTQDAFDREKQERLERERQQREREEEEREQRRHELEREREEKEREQRRLEKERERVREMERERERARQAVERATREARERAAAEARARAEKVAVEKAAAEARERAERAAVQRVQTEARERAAAEARERAERAAAEAREREARERETQERAASAKAEAEARHRAERAAVERAAAEARERAAASASASQQNNDNDLESFFSMGSRPSNAPRPRANISDPVFDGQNRGGPEVAKRTSVGSSSSMKKASSTTNIVDDLSSIFGAAASSSGEFQEVEGETEERRRARLERHQRTQERAAKALAEKNQRDLQVQREQAERHRISETLDVEIKRWAAGKEGNLRALLSTMQYVCSLA >KJB10805 pep chromosome:Graimondii2_0_v6:1:45950879:45957704:-1 gene:B456_001G225900 transcript:KJB10805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFPGLLAKDFGVKPQGKSAPMAPPRNPSSGSNYGFGSDFTRSSYGNSKSSSNSIFDDDVYRGQPKYSSESRATSAQTPSFDYDSFFKDPKPPVFDKPVYDDDLFDGLPGIKSSSTAAKYDDVFAVSGSSPKHKSMSSSPLDALLGNLGRKETEMKSKSERVKAEKDAPLFDDLLAGFGQSNSAASARSTSESSRSQKLASNSSKTGSNLMENPFVVLEAKLDPADLSTGLFADPPGVTSKLNGFGKSGVESSSGSGGVFDDIDPLHGLGKSVPLGSSEINKRGKDRSPLSTDSGQQAPVTKEQNHRDYENHTKKRMPSTDNFLDSHQPVFDMPSMSTDFHSSVGRATSPPPYMNVDSNETSSQVHSTPRSEENFDASDDVWLTVSEIPLFTQPTSAPPPSRPPPPRPPRVSKTTTGSFSSTNAKMKVDDFSSFQNSTQCSQRSSQSTRAAANSSVTSQIDELEEFAMGRAWNNVEQAEGFPIDDFETSSVAAASAAAMKEAMDRAEAKFRHAKEMRERENFKAARTKEADQMDKDERDTQDAFDREKQERLERERQQREREEEEREQRRHELEREREEKEREQRRLEKERERVREMERERERARQAVERATREARERAAAEARARAEKVAVEKAAAEARERAERAAVQRVQTEARERAAAEARERAERAAAEAREREARERETQERAASAKAEAEARHRAERAAVERAAAEARERAAASASASQQNNDNDLESFFSMGSRPSNAPRPRANISDPVFDGQNRGGPEVAKRTSVGSSSSMKKASSTTNIVDDLSSIFGAAASSSGEFQEVEGETEERRRARLERHQRTQERAAKALAEKNQRDLQVQREQAERHRISETLDVEIKRWAAGKEGNLRALLSTMQYVLWPECGWQPVSLTDLITAAAVKKAYRKATLCIHPDKVQQKGANLQQKYISEKVFDLLKEAWNKFNSEELF >KJB10808 pep chromosome:Graimondii2_0_v6:1:45950907:45957511:-1 gene:B456_001G225900 transcript:KJB10808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFPGLLAKDFGVKPQGKSAPMAPPRNPSSGSNYGFGSDFTRSSYGNSKSSSNSIFDDDVYRGQPKYSSESRATSAQTPSFDYDSFFKDPKPPVFDKPVYDDDLFDGLPGIKSSSTAAKYDDVFAVSGSSPKHKSMSSSPLDALLGNLGRKETEMKSKSERVKAEKDAPLFDDLLAGFGQSNSAASARSTSESSRSQKLASNSSKTGSNLMENPFVVLEAKLDPADLSTGLFADPPGVTSKLNGFGKSGVESSSGSGGVFDDIDPLHGLGKSVPLGSSEINKRGKDRSPLSTDSGQQAPVTKEQNHRDYENHTKKRMPSTDNFLDSHQPVFDMPSMSTDFHSSVGRATSPPPYMNVDSNETSSQVHSTPRSEENFDASDDVWLTVSEIPLFTQPTSAPPPSRPPPPRPPRVSKTTTGSFSSTNAKMKVDDFSSFQNSTQCSQRSSQSTRAAANSSVTSQIDELEEFAMGRAWNNVEQAEGFPIDDFETSSVAAASAAAMKEAMDRAEAKFRHAKEMRERENFKAARTKEADQMDKDERDTQDAFDREKQERLERERQQREREEEEREQRRHELEREREEKEREQRRLEKERERVREMERERERARQAVERATREARERAAAEARERAERAAVQRVQTEARERAAAEARERAERAAAEAREREARERETQERAASAKAEAEARHRAERAAVERAAAEARERAAASASASQQNNDNDLESFFSMGSRPSNAPRPRANISDPVFDGQNRGGPEVAKRTSVGSSSSMKKASSTTNIVDDLSSIFGAAASSSGEFQEVEGETEERRRARLERHQRTQERAAKALAEKNQRDLQVQREQAERHRISETLDVEIKRWAAGKEGNLRALLSTMQYVLWPECGWQPVSLTDLITAAAVKKAYRKATLCIHPDKVQQKGANLQQKYISEKVFDLLKEAWNKFNSEELF >KJB07965 pep chromosome:Graimondii2_0_v6:1:5399200:5410694:-1 gene:B456_001G055900 transcript:KJB07965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLVKKEIIETINARKRKLNDVARKHLESVIQLSSSSSDSDSNDDSDGPDENINANTTIAAVDRPEGRIRKKRKVNDVDFQLPMGFLSTLPPDDPIPAQLDSVTAVAGGAETAGAEYDGVSSTDCDLPSGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVCNGATYVNMDMLKCKKDGNQMLLIEDNGGGMDPDKMRQCMSLGYSAKSKVANAIGQYGNGFKTSTMRLGADVIVFSRCRGKDGKHPTQSIGLLSYTFLTSTGKEDIVVPMLDYEWRQEEWSKIIRSTDGDWYRNLDTIVEWSPFSSVQKSSISFANFRFVLLSWDLHYASHSCIFNLMKDQGTQIIIYNLWEDDQGLLELDFLGDPHDIQLRGVNCDETNIQMAKQFPNSRHFLTYKHSLRSYASILYLRLPPNFRIILRGKDVEHHDIVNDMMLTDRVTYRPNPSAEGAPKDLHLSAMVIIGFVKDAKHHIDVQGFNVYHKNRLVKPFWRVWNSSGSDGRGVLGVLEANFVEPAHDKQGFERTTVLARLEARLVQMQKAYWSINCHRIGYAPRRNKKNINQSLAKDTSPGRDPKISTRLNKNGTSSTSSSNRLSSDSDKSNSPSSWRKRGKESLGFRETVDRGYGNGHLFNKGDYNKKLLIDKGRKDMPKSGKGSSPSRLSFHLAEDATIDVYEVLPERQANVSSQKFVIDRGLNDGEPSLSEANLHILEQLTQENCELKKRLEKYEGAHQSELLNDLQKERSQCKSLEIELTAAQEKIDNLSIEHESLIHIFSEERDRRNKEEENLRKKLKEASNTIQELLDKIKLLEKMKSPVLR >KJB08599 pep chromosome:Graimondii2_0_v6:1:10311993:10318336:1 gene:B456_001G092700 transcript:KJB08599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSDGKLPSSFSQASSDNALFDASQYEFFGQNAVAEVDLGGLEDGEEDSPVFASTEDDEYHLFDRGELVGLGSLSDMDDLASTFAKLNRVVTGPRNPGVIGDRSGSFSRESSSAADWSQDGDYLNWMDQHMFDVEDVQEGKGWSSQPLLSTAPPVLEPKPLYRTSTYPQQQPQPHHFSSEPIVGSKSTFTSFPPPGNRCEQSLPTHLKIPALTSGSQSPFSPLSNSSLRLAGLSHGLHYGGNMSQLTSPGLSFSSRSQNHWVNNSGLLHGDHAGLLHHMLQHQIPHQNGLISPQLMSPQQHRLHHSIQPSLAHLTALQSQLYNPHPSSHKMMFGTADHREQRTKSSRNRQSMRFSQQSSDTSSQKNESGLVQFRSKHMTAEEIESILKMQHAATHSNDPYVDDYYHQACLAKRSSGSRAKHLFCPSHLKESHSRSRNSSGEQHLHVHVDALGKVPVPTIRKPRPLLEIDPPLVDGGSEQKTEKPLEQEPMLAARITVEDSLGLLFDVDDIDRLLLSSQPQDGGAQLRRRRQILLESLAQSLQLVDPLSKGRHAVTCTPKDDIVFLRIVSLPKGRKLITRYLKLLIPGSELIRIVCMAIFRHLRFLFGGLSSDPEAAETTTNLAKTVSLSINNMDIRSLSACLVAVVCSSEQPPFRPLGSPAGDGASVILKSVLERATQVLTHPSGNFPMPHYTFWRASFDEFFTLLTKYCVTKYESIIQSIHNQSLPTTEVIGSEAIRREMPCELLRASLPHTNEAQRKLLMDFSQRSVPDNGSNSPAGSNSQINSESVRG >KJB08598 pep chromosome:Graimondii2_0_v6:1:10311828:10318336:1 gene:B456_001G092700 transcript:KJB08598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSDGKLPSSFSQASSDNALFDASQYEFFGQNAVAEVDLGGLEDGEEDSPVFASTEDDEYHLFDRGELVGLGSLSDMDDLASTFAKLNRVVTGPRNPGVIGDRSGSFSRESSSAADWSQDGDYLNWMDQHMFDVEDVQEGKGWSSQPLLSTAPPVLEPKPLYRTSTYPQQQPQPHHFSSEPIVGSKSTFTSFPPPGNRCEQSLPTHLKIPALTSGSQSPFSPLSNSSLRLAGLSHGLHYGGNMSQLTSPGLSFSSRSQNHWVNNSGLLHGDHAGLLHHMLQHQIPHQNGLISPQLMSPQQHRLHHSIQPSLAHLTALQSQLYNPHPSSHKMMFGTADHREQRTKSSRNRQSMRFSQQSSDTSSQKNESGLVQFRSKHMTAEEIESILKMQHAATHSNDPYVDDYYHQACLAKRSSGSRAKHLFCPSHLKESHSRSRNSSGEQHLHVHVDALGKVPVPTIRKPRPLLEIDPPLVDGGSEQKTEKPLEQEPMLAARITVEDSLGLLFDVDDIDRLLLSSQPQDGGAQLRRRRQILLESLAQSLQLVDPLSKGRHAVTCTPKDDIVFLRIVSLPKGRKLITRYLKLLIPGSELIRIVCMAIFRHLRFLFGGLSSDPEAAETTTNLAKTVSLSINNMDIRSLSACLVAVVCSSEQPPFRPLGSPAGDGASVILKSVLERATQVLTHPSGNFPMPHYTFWRASFDEFFTLLTKYCVTKYESIIQSIHNQSLPTTEVIGSEAIRREMPCELLRASLPHTNEAQRKLLMDFSQRSVPDNGSNSPAGSNSQINSESEFQGGSIQEWQWQRGELVVSE >KJB08726 pep chromosome:Graimondii2_0_v6:1:11235619:11237929:1 gene:B456_001G100500 transcript:KJB08726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSRLLWFTLGFSVTAASISQFIYRDLWTDRYALKSDMKEKFDALEARVSNLETLPTENPNPAQVDG >KJB09315 pep chromosome:Graimondii2_0_v6:1:17523736:17526670:-1 gene:B456_001G134400 transcript:KJB09315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLLFRNLPLQTSPTRKFLRNLQTLQTPPNGEPDSFMVEKILFSLKQGNANSLRNYRFRINPLIVVEVLLHCRENLQLGKRFVDFIVLNCSNFKHSSMSLSAMIHVLVTCGRLSDAQALVLRMVRKSGVSRVETVESLVSTCGNFGSNGSVFDLLIRSYVQARKLREGSEAFMILRSKGFCVSINACNSLLGGLVKIGWVDLAWQVYNEVVRTGVELNVYTLNIMVNALCKDGKISCVKSLLSEMKEKGIFSDIVTYNTMINAYCREGHLEEAFGLMKSMSNKGLKPGLFTYNSIVYGLCKRGNFERAKEVLNEMLWIGLSPDTTTYNTLLVESCRKNNISEAEDIFNEMLHRGVVPDLVSFSSLIAVFCRNRHLDQALDYFNNMKRAGLVPDNVIYTILIDGYCRNRAMSEALKIRDEMIEKGCNMDVVTYNTILNGLCKEKMLTEADNLLHEMTERGVFPDFYTFTTLIHGHCRDGNMNKALNLFDAMTQRNIKPDIVTYNTLIDGFCKVGEIEKAKQLWAGMISRKIIPNHISYGTLINGVCSIGHVPEAFRLWDEMVGKGIKPTLVICNSIIKGYCRSGDTSRADKFLSKMTAEGIVPDSITYNTFINGFVKEENMDKAFLWINKMENQGLSPDAITYNVILSGFCREGRMQEAEMVLRKMIEKGIDPDRSTYTSLINGHVTQDNLKEAFRFHDEMVRMGFVPDDKF >KJB09316 pep chromosome:Graimondii2_0_v6:1:17524212:17526350:-1 gene:B456_001G134400 transcript:KJB09316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLLFRNLPLQTSPTRKFLRNLQTLQTPPNGEPDSFMVEKILFSLKQGNANSLRNYRFRINPLIVVEVLLHCRENLQLGKRFVDFIVLNCSNFKHSSMSLSAMIHVLVTCGRLSDAQALVLRMVRKSGVSRVETVESLVSTCGNFGSNGSVFDLLIRSYVQARKLREGSEAFMILRSKGFCVSINACNSLLGGLVKIGWVDLAWQVYNEVVRTGVELNVYTLNIMVNALCKDGKISCVKSLLSEMKEKGIFSDIVTYNTMINAYCREGHLEEAFGLMKSMSNKGLKPGLFTYNSIVYGLCKRGNFERAKEVLNEMLWIGLSPDTTTYNTLLVESCRKNNISEAEDIFNEMLHRGVVPDLVSFSSLIAVFCRNRHLDQALDYFNNMKRAGLVPDNVIYTILIDGYCRNRAMSEALKIRDEMIEKGCNMDVVTYNTILNGLCKEKMLTEADNLLHEMTERGVFPDFYTFTTLIHGHCRDGNMNKALNLFDAMTQRNIKPDIVTYNTLIDGFCKVGEIEKAKQLWAGMISRKIIPNHISYGTLINGVCSIGHVPEAFRLWDEMVGKGIKPTLVICNSIIKGYCRSGDTSRADKFLSKMTAEGIVPDSITYNTFINGFVKEENMDKAFLWINKMENQGLSPDAITYNVILSGFCREGRMQEAEMVLRKMIEKGIDPDRSTYTSLINGHVTQDNLKEAFRFHDEMVRMGFVPDDKF >KJB09314 pep chromosome:Graimondii2_0_v6:1:17523568:17526760:-1 gene:B456_001G134400 transcript:KJB09314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLLFRNLPLQTSPTRKFLRNLQTLQTPPNGEPDSFMVEKILFSLKQGNANSLRNYRFRINPLIVVEVLLHCRENLQLGKRFVDFIVLNCSNFKHSSMSLSAMIHVLVTCGRLSDAQALVLRMVRKSGVSRVETVESLVSTCGNFGSNGSVFDLLIRSYVQARKLREGSEAFMILRSKGFCVSINACNSLLGGLVKIGWVDLAWQVYNEVVRTGVELNVYTLNIMVNALCKDGKISCVKSLLSEMKEKGIFSDIVTYNTMINAYCREGHLEEAFGLMKSMSNKGLKPGLFTYNSIVYGLCKRGNFERAKEVLNEMLWIGLSPDTTTYNTLLVESCRKNNISEAEDIFNEMLHRGVVPDLVSFSSLIAVFCRNRHLDQALDYFNNMKRAGLVPDNVIYTILIDGYCRNRAMSEALKIRDEMIEKGCNMDVVTYNTILNGLCKEKMLTEADNLLHEMTERGVFPDFYTFTTLIHGHCRDGNMNKALNLFDAMTQRNIKPDIVTYNTLIDGFCKVGEIEKAKQLWAGMISRKIIPNHISYGTLINGVCSIGHVPEAFRLWDEMVGKGIKPTLVICNSIIKGYCRSGDTSRADKFLSKMTAEGIVPDSITYNTFINGFVKEENMDKAFLWINKMENQGLSPDAITYNVILSGFCREGRMQEAEMVLRKMIEKGIDPDRSTYTSLINGHVTQDNLKEAFRFHDEMVRMGFVPDDKF >KJB09062 pep chromosome:Graimondii2_0_v6:1:15224519:15229809:-1 gene:B456_001G122100 transcript:KJB09062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA9 [Source:Projected from Arabidopsis thaliana (AT1G08320) UniProtKB/Swiss-Prot;Acc:Q93XM6] MFPSWPMRYRQTPGSSKSGGESTDSGSALNTISSKTENQFEPDSPISKKASSSYHQAFDQQNLQQQQQQQEMASDPSRTGTSLNQSAPIVKPLQEKKRASTSEKQLDAKTLRRLAQNREAAKKSRLRKKAYVQQLESSRIKLTQLEQELQRARSQGLLLGSSGGGVGNISSGAVICDMEYARWLEDDERHMSELRTGLHSNLSDTDLRLMVNTYLSHYDEIFRLKGMAAKFDVFHLLTGMWTSPAERCFLWMGGFRPSELIKILISQLDPLTEQQLMGICSLQHSSQQAEEALSQGLEQLQQSLIDTVAGGPAIDGMQQMAIALSKLANLEGFVRQADNLRQQTLHQLPRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRGRLMSDEQPCQTTTELQMVQPSQSHFAHF >KJB09063 pep chromosome:Graimondii2_0_v6:1:15224867:15229674:-1 gene:B456_001G122100 transcript:KJB09063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA9 [Source:Projected from Arabidopsis thaliana (AT1G08320) UniProtKB/Swiss-Prot;Acc:Q93XM6] MANHLIPYALLHGMNVPTSFINQEGSAFDFGELEEAIVLQGAKANLLTGRTAATLEMFPSWPMRYRQTPGSSKSGGESTDSGSALNTISSKTENQFEPDSPISKKASSSYHQAFDQQNLQQQQQQQEMASDPSRTGTSLNQSAPIVKPLQEKKRASTSEKQLDAKTLRRLAQNREAAKKSRLRKKAYVQQLESSRIKLTQLEQELQRARSQGLLLGSSGGGVGNISSGAVICDMEYARWLEDDERHMSELRTGLHSNLSDTDLRLMVNTYLSHYDEIFRLKGMAAKFDVFHLLTGMWTSPAERCFLWMGGFRPSELIKILISQLDPLTEQQLMGICSLQHSSQQAEEALSQGLEQLQQSLIDTVAGGPAIDGMQQMAIALSKLANLEGFVRQADNLRQQTLHQLPRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRGRLMSDEQPCQTTTELQMVQPSQSHFAHF >KJB09061 pep chromosome:Graimondii2_0_v6:1:15224519:15229360:-1 gene:B456_001G122100 transcript:KJB09061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA9 [Source:Projected from Arabidopsis thaliana (AT1G08320) UniProtKB/Swiss-Prot;Acc:Q93XM6] MASDPSRTGTSLNQSAPIVKPLQEKKRASTSEKQLDAKTLRRLAQNREAAKKSRLRKKAYVQQLESSRIKLTQLEQELQRARSQGLLLGSSGGGVGNISSGAVICDMEYARWLEDDERHMSELRTGLHSNLSDTDLRLMVNTYLSHYDEIFRLKGMAAKFDVFHLLTGMWTSPAERCFLWMGGFRPSELIKILISQLDPLTEQQLMGICSLQHSSQQAEEALSQGLEQLQQSLIDTVAGGPAIDGMQQMAIALSKLANLEGFVRQADNLRQQTLHQLPRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRGRLMSDEQPCQTTTELQMVQPSQSHFAHF >KJB10309 pep chromosome:Graimondii2_0_v6:1:33579537:33581799:-1 gene:B456_001G195400 transcript:KJB10309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVNTIILTYTILLILSTPIFLSLKAQENGTGMATMPVQSSEGGFCKSMVETNGYECEDHNVTTKDGYILNVVRIPMGRCRDCRTRGNKSPVLLQHGVFVDGRSWLLLPPKQSLAFNLADNGYDVWLVNSRGTEYSEGHTSLNFDDPAYWNWSLDEMVAYDLPATFQYVYDQTGQKLHFVGHSLGTLMIMAAMSRDRLVNMLESVALLSPVAYMGHTTSLLSRVIADNFIAETLDSLGFYKFDMRNVIIIEILKVICRIPSVDCTTLLFTPYTGQNCCMKPSIMDIFLDHEPQPAAMKIVIHMCQLIRGGNTTMFDYNDSGTNIKHYGQPTPPAYNMIGIPKDLPIFLSHGGADALSDVDDVKLLLDSLQGHDPDKIVVQFIERFAHADYLMSGNAKEHVYDPFIAFLSRLH >KJB09779 pep chromosome:Graimondii2_0_v6:1:23547737:23550071:1 gene:B456_001G164700 transcript:KJB09779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRTTYFCFALDSIRIKQRKRQNGERKGTRAVLLGAKAAGSGRFFFWAAVHRWISPIQPPIAGGVLILCDNTTERVALLGGQLCDNTTEKAALSFNMLWSQCPYEVVMSFTRSKPGPRAVRKIGRNLIGTTHPTLPPIGGRPSYSRPKRNCSAIKWGSFLRLSYVSAWLRPEPRRHSGGRVRSTTNKWERQDSNLRRKTSTDLQSVAFDHSATLPFPGRGPPQWVLRRRAAP >KJB11736 pep chromosome:Graimondii2_0_v6:1:55248405:55253234:1 gene:B456_001G275000 transcript:KJB11736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIVMGLVYCDVCTTNSFSRHSYFLPGAEVQIDCNFRAYVPKTKEQVSFSVNRTTDKHGVYMVEIPSVDGIECAEADIASTCQASLVGSSSASCNIPGYGSTTDEMAIKSRHPNLCIYSLAAMNFRPSKRNARLCGK >KJB11735 pep chromosome:Graimondii2_0_v6:1:55248842:55253234:1 gene:B456_001G275000 transcript:KJB11735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIVMGLVYCDVCTTNSFSRHSYFLPGAEVQIDCNFRAYVPKTKEQVSFSVNRTTDKHGVYMVEIPSVDGIECAEADIASTCQASLVGSSSASCNIPGYGSTTDEMAIKSRHPNLCIYSLAAMNFRPSKRNARLCGK >KJB11737 pep chromosome:Graimondii2_0_v6:1:55251704:55253181:1 gene:B456_001G275000 transcript:KJB11737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIILFLFFSLFIMTLSLQTEPATNNAQIIVMGLVYCDVCTTNSFSRHSYFLPGAEVQIDCNFRAYVPKTKEQVSFSVNRTTDKHGVYMVEIPSVDGIECAEADIASTCQASLVGSSSASCNIPGYGSTTDEMAIKSRHPNLCIYSLAAMNFRPSKRNARLCGK >KJB07346 pep chromosome:Graimondii2_0_v6:1:1596440:1603268:-1 gene:B456_001G017400 transcript:KJB07346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPASFHSFGGSSSKLSNYSRSFELPEDFDDLAGDEYGVGGAMLPIFLSGLQRNNQQDLVEVTLELENDSIVFCSVTPSTTPQQVGAEEKEGGSVNGSSGILARSLSATSRIRRKFAWLKSGSSSRASSSAAEVDQIQDRRITARDERRIKAKLQRTKSSAERALKGLRFISKNTGENDAVEMWKRVESRFESLAKDGLLAREDFGECIGMVDSKEFAVGIFDALARRRRQRIEKITKEELHDFWLQISDQSFDARLQIFFDMADSNEDGRVTREEVQELIMLSASANKLSKLKEQAEEYASLIMEELDPENFGYIELWQLETLLLQRDTYMNYSRPLSTASVGWSQNLSSVRPKSMFRRMCFKLRCLLLENWRRGWVLLLWIMAMATLFVWKFIQYKNMAAFQVMGYCLCTAKGSAETLKLNMALILLPVCRNTLTWLRSTKARSFVPFDDSINFHKTIACAIAIGVLVHGGSHLACDFIRLTNAPPEKFALIASDFSHGKRPTYLELLIGILGITGIAMVVFMAIAFILATSHFRRNILRFPAPFNRLTGFNAFWYSHHLLGLVYILLVIHGTFLYLSHQWYQRSTWMYIAVPLLLYMGERTVRACRAEHYSVKIFKVSVLPGDVFSMVMSKPQGFKYKSGQYIFLQCPSISPFEWHPFSITSAPGDEYLSVHIRTVGDWTKELKRVFTEVNDSPFVIGRARFGGPGYIDNNCQPKLLVDGPYGAPAQDYRNYDVLLLVGLGIGATPFISILRDLLNNSRPEDQMDLATEMSRSDDSWNSLASSNYTANSSLTIGGKKKSPPRTRNAYFYWVTRESGSFEWFKGVMDEVAEMDHKGQIELHNYLTSVYEEGDARSTLITMVQALNHAKHGVDILSGTRVRTHFARPNWKEVFRKIASKHPHATVGVFYCGMPVLAKELKKLSVELSHLTSTRFEFHKEYF >KJB11189 pep chromosome:Graimondii2_0_v6:1:48649940:48650457:-1 gene:B456_001G244400 transcript:KJB11189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWQHLRRTSNVENRPEPDRDTARRISSNNDSSHGMVNGPTLLKPYSSSVCTRSFLNMGWLRRNIRRRMSVIVVVGRYPGIPSPLLQHLSDPNYLTNFLAFSHSVIHSFPKKVTENEQGTLKGKVNTFRECERKRKGEVEEGSFRWREKK >KJB06941 pep chromosome:Graimondii2_0_v6:1:425568:431301:-1 gene:B456_001G004400 transcript:KJB06941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKINTERNLKMADHCNGSSQSSESFVNIPPIKFTKLFIDGNFVDSVSGKTFEAIDPRSGEAITRVSEGDKEDVDLAVKAARFAFDNGPWPRLSGSERGRILMKFADLIEQNIEELATLEAINAGKLFSLCKFMEVPGAAMTLRYYAGAADKIYGTVLKLSKGLQGYTLREPIGVVGAILPWNFPTTMFFIKAAPALAAGCTMVVKPAEQTPLSALYYAHLAKLAGIPDGVLNVVNGFGETAGAAISSHMDIDKVSFTGSTEVGRKIMMAAAASNLKPVSLELGGKSPLLIFDDANVDEAAKFAFDGIFTSKGEICVASSRIYVQEGIYDKIVNKLIEKANAWVVGDPFDPQVNQGPQTDKNQFEKILSYIEHGKREGATLLTGGNRIGQKGYYIQPTIFADVKEDMIIAKEEIFGPVMSLMKFKTMEEAIKRANDTTYGLAAGVITKDLNVANTVSRSIRAGVVWMNCYLLLDVGCPYGGYKMSGFGRENGMDSFNEYLQTKSVVTPIHDSPWH >KJB10624 pep chromosome:Graimondii2_0_v6:1:42257155:42259708:1 gene:B456_001G211900 transcript:KJB10624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPSKTATFSLQNLLFLLLPCLLFFFSQYLVVPVTADFNVNPYYPTENYAIDCGSSVDGESFNNRYWIGNGNGKFSPIEQENKSSVIKAASEQINQVPYSTARLSYSQFTYSIPLSPGPKFIRLHFYPISYTGFDDPSKKAIFSVQAGTFTLLRNFSALFHARGEVTVVKEFCVNVDQGPRFNLTFTPTPEITDSYAFINGIEVVSMPTNLYYKPESDEEVPFLGQAQGRLYTLGNNAALEKMYRINVGGREIPGDDDEMFRSWLSDVAYLTIAKPSAIPVYVRTDLNFSSYTASYSAPREVYLIAMTTGTNKTQNENYQLTWEFPVDSGFNYFVRLHFCELQIEITKEGDRVFEILLANSIAETAADVISWSSGNGIPVYRDYVVLRTRYSDAILNGLEIFKLSNGFSLSEPSFDLTSGPNIHPRKSPVVQITKKRAIIVAVVAPISGFITVSFLLFLIYRIHSNKFANSRSSTPSSDICPRISLREIKTATNNFDKSFIIGRGGFGNVYKGFNNASSTPVAIKRLNPSSQQGVLEFRTEIEMLSKLRHQNLVSLIGYCEDKMEMILVYDYMVHGTLRDHLYNTNNPPLQWEQRLKMCIGAAQGLHYLHTGPNHTIIHRDVKTTNILINEKWIAKVSDFGLSKMNDLSNTHISTAVKGSIGYLDPEYYRLQKITEKSDVYSFGVVLCEVLCARAPIDRTAEDHMQISLAEWVQHCYINGTLDQVIDTHLQGKIKLASLLKFGEVAISCLASEGIKRPTMSEVVYGLELALKLQGSEMNGNDENYANDSSTIDYHVLFSSGESMKVGR >KJB10588 pep chromosome:Graimondii2_0_v6:1:41405350:41406002:1 gene:B456_001G209000 transcript:KJB10588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVETSIRFTESWLSFVIDLRLERQIMEAKMMKAYLSLAIIVLVLYNPMNPCRAMSMQINTSRTSSLIAEDEELEFLMGSHSGRILAGTDGHVTNGTYNPFKPIVNCGRGKPYMSCLPEPNGVKVQDTRGIYKRQGG >KJB07963 pep chromosome:Graimondii2_0_v6:1:5395174:5398287:-1 gene:B456_001G055800 transcript:KJB07963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASISGIGIGLGLSCSSNLSKSLHSSQSSGFRVKMTVSVEDKKKNYTLQKSEEAFNAAKNLMPGGVNSPVRAFKSVGGQPIVIDSVKGSHMWDIDGNEYIDYVGSWGPAIIGHADDEVLAALAETMKKGTSFGAPCLLENVLAEMVISAVPSIEMVRFVNSGTEACMGVLRLARAFTGREKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPRAATFETLTAPYNDILAVENLFNSNKGELAAVILEPVVGNSGFIPPKPDFLEALQRLTKENGTLLIFDEVMTGFRLSYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRREIMEMVAPAGPMYQAGTLSGNPLAMTAGIQTLKRLKEPGTYEYLDKITGQLVQGILDAGKKTGHALCGGYINGMFGFFFTEGPVYNFDDAKKSDTAKFARFYRGMLEEGVYLAPSQFEAGFTSLAHTQEDIQKTIAAAEKVLSKI >KJB07964 pep chromosome:Graimondii2_0_v6:1:5395265:5398244:-1 gene:B456_001G055800 transcript:KJB07964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASISGIGIGLGLSCSSNLSKSLHSSQSSGFRVKMTVSVEDKKKNYTLQKSEEAFNAAKNLMPGGVNSPVRAFKSVGGQPIVIDSVKGSHMWDIDGNEYIDYVGSWGPAIIGHADDEVLAALAETMKKGTSFGAPCLLENVLAEMVISAVPSIEMVRFVNSGTEACMGVLRLARAFTGREKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPRAATFETLTAPYNDILAVENLFNSNKGELAAVILEPVVGNSGFIPPKPDFLEALQRLTKENGTLLIFDEVMTGFRLSYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRREIMEMVAPAGPMYQAGTLSGNPLAMTAGIQTLKRLKEPGTYEYLDKITGQLVQGILDAGKKTGHALCGGYINGMFGFFFTEGPVYNFDDAKKSDTAKFARFYRGMLEEGVYLAPSQFEAGFTSLAHTQEDIQKTIAAAEKVLSKI >KJB08663 pep chromosome:Graimondii2_0_v6:1:10808652:10808759:-1 gene:B456_001G0968001 transcript:KJB08663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQSKETFRCFGCKRKVKGPSLSCSDCEFFLYKEC >KJB11064 pep chromosome:Graimondii2_0_v6:1:47741619:47743217:1 gene:B456_001G239000 transcript:KJB11064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGVKKGPWTPEEDLILVSYIQQHGPGNWRAVPTKTGLLRCSKSCRLRWANYLRPGIRRGNFTENEEKMIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLQGNESSSRYGFPSSSSSYQICRGQWERKLQTDIHMAKKDLSDALSPEKSSDLVEMKPFNNHTSSPKPSGYASSTENIAKLLKGWMRNNPWKMADSADYSEEGTVPMKEDKNSKEMAEAFQSHLGFESLDSSLSDISPSMSPETSLSQYESKPHLNAQSQLSLLEKWLFDEGKDYQLCDITLDQNLYFF >KJB11063 pep chromosome:Graimondii2_0_v6:1:47742340:47743017:1 gene:B456_001G239000 transcript:KJB11063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIYYCYRWAAIASYLPERTDNDIKNYWNTHLKKKLQGNESSSRYGFPSSSSSYQICRGQWERKLQTDIHMAKKDLSDALSPEKSSDLVEMKPFNNHTSSPKPSGYASSTENIAKLLKGWMRNNPWKMADSADYSEEGTVPMKEDKNSKEMAEAFQSHLGFESLDSSLSDISPSMSPETSLSQYESKPHLNAQSQLSLLEKWLFDEGKDYQLCDITLDQNLYFF >KJB11065 pep chromosome:Graimondii2_0_v6:1:47741619:47743210:1 gene:B456_001G239000 transcript:KJB11065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLQGNESSSRYGFPSSSSSYQICRGQWERKLQTDIHMAKKDLSDALSPEKSSDLVEMKPFNNHTSSPKPSGYASSTENIAKLLKGWMRNNPWKMADSADYSEEGTVPMKEDKNSKEMAEAFQSHLGFESLDSSLSDISPSMSPETSLSQYESKPHLNAQSQLSLLEKWLFDEGKDYQLCDITLDQNLYFF >KJB11157 pep chromosome:Graimondii2_0_v6:1:48405468:48406144:-1 gene:B456_001G243300 transcript:KJB11157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISATTTPAALVHKTLPRIASPVPIGLPTMGKKGKVMMMCSMEKKSENGSNMGMSASLLAAACAATMSSPAMALVDERMSTEGTGLPFGLSNNLLGWILFGVFGLIWALYFVYTSSLEEDEESGLSL >KJB11078 pep chromosome:Graimondii2_0_v6:1:48010421:48011697:-1 gene:B456_001G240200 transcript:KJB11078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIIFWLIFYHSYDEKFVPVSIIHFMVQSSNGESISQTTQGVFKTIVIECEKPMKQGVLTPGRVRLLLHRGLWTLKYFSKGTLVGTWTHQITSLQVCF >KJB11594 pep chromosome:Graimondii2_0_v6:1:54478469:54481823:-1 gene:B456_001G267700 transcript:KJB11594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMDDLRVLASLWLSKTEEVHEDRAHWETNITGDIYGEGWISEMYGYAFGVAEPILLHYSLPFSVGNRSFSKLEHHEDGIVYDCRQLFPKPPYPREVKAMEPDPNKRRGLFLSIECINTMNEGLLLQHARHGCPMPKWSKYLSFLKSKTFVELTHPKLLTPRTVQTELAEEGKEISEPTKQYKKIHTIFYGICTDEDLKQYKGHDLPPARYVPSMSHHPLDYIVILDADMILRGPITPWEFKAARDRRYLIGCDNELAKIHTRHPDACDKVGGVIVMHIDDLWAFVLLWLLKTEGLKLRRRISSEILIYPGYVPQPDVQYRVFHYDLLSIEYAKTLNKALLLHHKRSNCADPTALPNPKPNTTTNVSSSRRFGRLGENYDMKSDSMFGNHSRESSRTKVTDGLFSTLMLWIVRLWVFFGIGFMSVMLVVFSGYTSKGSLKGKSNKNRRRSYIGFLKMNDRDGQTRKSEAS >KJB10919 pep chromosome:Graimondii2_0_v6:1:46962526:46965990:1 gene:B456_001G232500 transcript:KJB10919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFLVLFAEGAMAFLLLVKIGPLRELVIKSIDQLKMGKGPATVKTIAGTMSVILLSSLMSIVKIQNKGAKLGTMSPMDQVLWRTHLLEASLIGFTLFLGFIIDRMHHYIKKLIGLRSRVGSSKDEAERIEKERIQLKEKDDKASKEIKLLKEEISTLSENLKKLKSESEEKDKKIEIAEAHVASLQKQSADLLLEYDRLLEDNQNLQNQALGYKS >KJB09152 pep chromosome:Graimondii2_0_v6:1:15900225:15903321:1 gene:B456_001G126400 transcript:KJB09152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVATQLKLALLAISLLFLPFLQATDFKYCDNGGNYVVKVNGIDISPNPVVSGKPATFSISASTGQAISGGKAVIDVSYFGFHIHQETHPLCEETSCPIAVGNFVLSHNQVLPGFTPPGSYTLKMTLTGDGIPQLTCISFDFKIGFGASGFSISDA >KJB09594 pep chromosome:Graimondii2_0_v6:1:21055467:21056231:1 gene:B456_001G151500 transcript:KJB09594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILVFLVFQNSKTLPLPTLSLAFTFSSFFCTLTPESFTKMAQNDGGSSGGSNPVDNLASRPKRKRITIHIQSQDENRLAFKIMPNLKLSKMFHEYCQRKQYDLRTVRFFHEGRRLLGKYTAAKVPFFASFPCSPICYKDFFCH >KJB11685 pep chromosome:Graimondii2_0_v6:1:54862117:54863104:1 gene:B456_001G271500 transcript:KJB11685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIQEEPLLASNPDRFCMFPIQYPQIWEMYKKAEASFWTAEEVDLSSDLPHWQNLNADERHFISHVLAFFAASDGIVLENLAVRFMKEVQIAEARAFYGFQIAIENIHSEMYSLLLETYIKDSTEKNRLFHATETVPCVAKKADWALKWIDGGEAFAERLIAFACVEGIFFSGSFYEGLHCDFACLLYSLLQSKLSEERVKGIVRDAVDVEREFVCDALPCALVGMNGALGYGKMYNAANPFDWMELISLQGKTNFFEKRVGEYQKAAVMSSLNGNGKTHEFKMDEDF >KJB10887 pep chromosome:Graimondii2_0_v6:1:46761486:46764226:1 gene:B456_001G230800 transcript:KJB10887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIKSMMRAVQYSKYGGGVADLKHVEVPVPSPKKDEVLIKVETASINPIDWKLQDGVARPFLPRKFPHIPGTDVAGEVVQVGSEVQSFKVGENVVAVLGNGGALAEYAVANEGSTVSRPPEVSAREAAALPIAGLAAHQSLTQLAGIKLDGTGPEVNVLVTAASGGVGQYAVQLLKLANAHITATCGARNIDLVRSLGADEVLDYKTPDGVALKSPSGRKYDVIIHCAHNIPWSTFEANLTSKGKVVDTTPGFGTLMSVAFKKITFAKRQLIPLFTSPKKENLEFLVKLVKEGKLKPVIDSVHPLSKAEEAWAKSIDGHATGKILVEP >KJB10888 pep chromosome:Graimondii2_0_v6:1:46761513:46764211:1 gene:B456_001G230800 transcript:KJB10888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDTLDSLLLQAIQHSGHRFFFTLLFISLFYVLSSIIYVLVLANILLFDYILQLQNGGALAEYAVANEGSTVSRPPEVSAREAAALPIAGLAAHQSLTQLAGIKLDGTGPEVNVLVTAASGGVGQYAVQLLKLANAHITATCGARNIDLVRSLGADEVLDYKTPDGVALKSPSGRKYDVIIHCAHNIPWSTFEANLTSKGKVVDTTPGFGTLMSVAFKKITFAKRQLIPLFTSPKKENLEFLVKLVKEGKLKPVIDSVHPLSKAEEAWAKSIDGHATGKILVEP >KJB09740 pep chromosome:Graimondii2_0_v6:1:23263494:23264578:1 gene:B456_001G161600 transcript:KJB09740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDILAKALYISKKGDFNGSDGSLTGVPENVIDKWAFETRFVATRGIVSAFSSRDHLSIPLFKSISTMSGFPSRLSCPVQSVVLLSEAKANLLYCIRSSWLLGWYIKHIGILLVRCGTHIFQCQSSNRKNSPENVKNKNFMSLPFAIAIRAARLYTAWLCYRSYVVVGHARSFASSKQDEVRRQGSFRT >KJB09926 pep chromosome:Graimondii2_0_v6:1:25794924:25796738:-1 gene:B456_001G175400 transcript:KJB09926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHKCKLCFKSFANGRALGGHMRSHMLNLPIPPKLAEQQRLGLPRPTNQLSEESESESASASYSSSSSEEEEGEEKGQFYGLRENPKRSVRLVDPEFIDAGSVVLQDRESETESSKNPTRRRSKQTRRILENHQQQRQEQQEERKKLKVNNSSSNNNNNQVSKTEPWAEPEPVSSISDATTEEDVAFCLMMLSRDQWKSKVLHLDDEEGTEIEKSTEQSYELQEYFKLSKVNRAIRGKYKCETCNKVFKSYQALGGHRASHKKMKAYSPPATHDTELEPENVGTRPSSMTDKKIHECPVCFRVFSSGQALGGHKRSHVVATTEIPVKSSKKLGDSFIDLNLPAPMDDDDASQIELSAVSDAEFVNHIK >KJB10568 pep chromosome:Graimondii2_0_v6:1:40968102:40970486:1 gene:B456_001G207900 transcript:KJB10568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLITIVFLILSISPSITSSVPYIPTDYILLNCGASSNTTSYDGRNWVADDDHLYANTSSFSSTASYQDPSVTQVPYMTARFFRGEFTYKFPLSPGPKFLRLYFYPNQYPGLDITSSFFSVAANNYTLLSNFSAYLVSATSPPQAFIVKEFVITVGKEQMMDVTFAPSPNSFAFINGIEVVSMPANLYTGQTYGSLRLIDTNYFFELENSTALDTAYRLNVGGRDVLDVHDSGMFRTWNQDIDYIFGAASGVTYYDQSEATIKYTEATPVYTAPEAVYKSYRSMGPNPYINFNYYLTWLFTVDSGFYYLVRLHFCENDLQKVNQRVFDIFINNHAAEIAMDVIAVSEGNGIPIYIDYVVWVAQSENELWVALHPNAESKPEYYDAVLNGLEIFKLNNSDGSLAAPNPRPETKPMLKQKHSKEGRFLKPGTVVIGATLSSIVSILLILSLVFWQRKLFLCRTESINRRKVSPNQCRHFSVADILTATNNFDDALIIGRGGFGNVYRGHIPGIQYEVAIKRLNSKSHQGENEFWAEIEMLSQLRYINLVSLIGYCNEKQEMILVYDYMVNGTLRDHLYKNDNIPLKWKQRLQICIGAARGLEYLHSGAVQRIIHRDVKTTNILLDETWVAKVSDFGLSKMGPVLMENVPITTKVKGTFGYMDPEYFRRLHLTEKSDVYSFGVVLFEVLCARPAVDTSLEDDEIGLAGWALKCVENETIEQIIDPYLHGKIAAECLKVFVEIAKSCLHDVGVERPKMRDVVGMLEFSLELQEAAGAKQAVEAGDTINHARTMDELV >KJB10755 pep chromosome:Graimondii2_0_v6:1:45024163:45025197:1 gene:B456_001G221600 transcript:KJB10755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPNKKQRIQLITTSHLVKLLTKILLPFSLLSVILSYPFMCNFQALAYGLQLFSSGVGKNYMFLLCNGLLIFIATSSGLIGSSCVETDVKAEKAVKISKLEVEASEPKGSNLKPNVVAEFDQREEADVPLVVENEGEEDRSMEEDEDEELGWMSDEELNKKCEDFIRKMKEGIKFEARQVIMAQ >KJB11183 pep chromosome:Graimondii2_0_v6:1:48626077:48627664:1 gene:B456_001G244100 transcript:KJB11183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPGPYSGTSTLALVARASAFSFGLVYGSIKLKYLKAKARSQRKAEAKAHH >KJB07762 pep chromosome:Graimondii2_0_v6:1:4257454:4262363:-1 gene:B456_001G044700 transcript:KJB07762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLVAGSHNRNELVVIHGHEEPKPLKNLDGQVCEICGDEIGVTVDGDLFVACNECGFPVCRPCYEYERREGTQQCPQCKTRYKRLKGSPRVEGDEDEEDVDDIEHEFNIDDEQNKHRNVVESILHGKMSYGRGPEDDETPQIPPVITGVRSRPVSGEFPIAGALAYGEHMPNASLHKRVHPYPMSETEGAERWDDKKEGGWKERMDDWKMQQGNLGPEADDAYDDMSMLDEARQPLSRKVPIASSKINPYRMVIVARLLILAFFLRYRILNPVHDAIGLWLTSVICEIWFAFSWILDQFPKWFPIDRETYLDRLSLRYEREGEPNMLAPVDIFVSTVDPMKEPPLVTANTVLSILAMDYPVDKISCYISDDGASMLTFESLSETAEFARKWVPFCKKFAIEPRAPEMYFTLKVDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKVPPEGWIMQDGTPWPGNNTKDHPGMIQVFLGQSGGHDTEGNELPRLVYVSREKRPGFLHHKKAGAMNALVRVSGVLTNAPFMLNLDCDHYINNSKAAREAMCFLMDPQIGRKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYEPPKGPKRPKMVSCGCCPCFGRRKKDKKYPKNGGNENGPSLEAVEDDKELLMSQMNFEKKFGQSAIFVTSTLMDQGGVPPSSSPAALLKEAIHVISCGYEDKTEWGSELGWIYGSITEDILTGFKMHCRGWRSIYCMPKLPAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHCPAWYGLKGAKLRWLERFAYVNTTIYPFTSLPLLAYCTLPAICLLTDKFIMPPISTFASLFFIALFLSIFATGILELRWSGVSIEEWWRNEQFWVIGGISAHLFAVVQGLLKVLAGIDTNFTVTSKTTDDEEFGELYTFKWTTLLIPPTTVLIINLVGVVAGISDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVLKTKGPDTTQCGINC >KJB07760 pep chromosome:Graimondii2_0_v6:1:4258837:4262363:-1 gene:B456_001G044700 transcript:KJB07760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLVAGSHNRNELVVIHGHEEPKPLKNLDGQVCEICGDEIGVTVDGDLFVACNECGFPVCRPCYEYERREGTQQCPQCKTRYKRLKGSPRVEGDEDEEDVDDIEHEFNIDDEQNKHRNVVESILHGKMSYGRGPEDDETPQIPPVITGVRSRPVSGEFPIAGALAYGEHMPNASLHKRVHPYPMSETEGAERWDDKKEGGWKERMDDWKMQQGNLGPEADDAYDDMSMLDEARQPLSRKVPIASSKINPYRMVIVARLLILAFFLRYRILNPVHDAIGLWLTSVICEIWFAFSWILDQFPKWFPIDRETYLDRLSLRYEREGEPNMLAPVDIFVSTVDPMKEPPLVTANTVLSILAMDYPVDKISCYISDDGASMLTFESLSETAEFARKWVPFCKKFAIEPRAPEMYFTLKVDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKVPPEGWIMQDGTPWPGNNTKDHPGMIQVFLGQSGGHDTEGNELPRLVYVSREKRPGFLHHKKAGAMNALVRVSGVLTNAPFMLNLDCDHYINNSKAAREAMCFLMDPQIGRKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYEPPKGPKRPKMVSCGCCPCFGRRKKDKKYPKNGGNENGPSLEAVEDDKELLMSQMNFEKKFGQSAIFVTSTLMDQGGVPPSSSPAALLKEAIHVISCGYEDKTEWGSEVHPTNLKFHFLIWLELAFFFFQV >KJB07761 pep chromosome:Graimondii2_0_v6:1:4257454:4262871:-1 gene:B456_001G044700 transcript:KJB07761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLVAGSHNRNELVVIHGHEEPKPLKNLDGQVCEICGDEIGVTVDGDLFVACNECGFPVCRPCYEYERREGTQQCPQCKTRYKRLKGSPRVEGDEDEEDVDDIEHEFNIDDEQNKHRNVVESILHGKMSYGRGPEDDETPQIPPVITGVRSRPVSGEFPIAGALAYGEHMPNASLHKRVHPYPMSETEGAERWDDKKEGGWKERMDDWKMQQGNLGPEADDAYDDMSMLDEARQPLSRKVPIASSKINPYRMVIVARLLILAFFLRYRILNPVHDAIGLWLTSVICEIWFAFSWILDQFPKWFPIDRETYLDRLSLRYEREGEPNMLAPVDIFVSTVDPMKEPPLVTANTVLSILAMDYPVDKISCYISDDGASMLTFESLSETAEFARKWVPFCKKFAIEPRAPEMYFTLKVDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKVPPEGWIMQDGTPWPGNNTKDHPGMIQVFLGQSGGHDTEGNELPRLVYVSREKRPGFLHHKKAGAMNALVRVSGVLTNAPFMLNLDCDHYINNSKAAREAMCFLMDPQIGRKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYEPPKGPKRPKMVSCGCCPCFGRRKKDKKYPKNGGNENGPSLEVEDDKELLMSQMNFEKKFGQSAIFVTSTLMDQGGVPPSSSPAALLKEAIHVISCGYEDKTEWGSELGWIYGSITEDILTGFKMHCRGWRSIYCMPKLPAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHCPAWYGLKGAKLRWLERFAYVNTTIYPFTSLPLLAYCTLPAICLLTDKFIMPPISTFASLFFIALFLSIFATGILELRWSGVSIEEWWRNEQFWVIGGISAHLFAVVQGLLKVLAGIDTNFTVTSKTTDDEEFGELYTFKWTTLLIPPTTVLIINLVGVVAGISDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVLKTKGPDTTQCGINC >KJB06908 pep chromosome:Graimondii2_0_v6:1:6595648:6599071:1 gene:B456_001G066000 transcript:KJB06908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSFSGLNALYDAVNGGGDVWINDNRFRIVRQLGEGGFAYVYLVKEVISDASSASSVGLAKKVKDPSHLSDDGTYAMKKVLIQNNEQLELVREEIRVSSLFSHPNLLPLLDHAIIAIKPTQEGSWNHEAYLLFPVHLDGTLLDNSNAMKAKKEFFPTSDVLQIFRQLCAGLKHMHGLLPPYAHNDVKPGNVLLTRRKGQAPLAILMDFGSARPARKQIRSRSQALQLQEWASEHCSAPFRAPELWDCPSDADIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIINAQIKWPAGPKPPYPEALHQFVTWMLQPQAAVRPQIDDIIIHVDKLISKFSQ >KJB11674 pep chromosome:Graimondii2_0_v6:1:54813801:54815026:1 gene:B456_001G271000 transcript:KJB11674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHPLLFSIFFLFFFLGFASADYLQNSDFESPPKNLTGNSSVPFVLLNENNMIPGWTFQGTVQYVTAGPTMALPDNGHAIQLGQDAKINQTFQANGDYMEYILTFTLAPDGQNCSANANIIVSGPDNQGIFSFKQHYGKQAWQSYGQYLGLAGQDETVNLVFESQAVESDDNSTCWPVIDSLLVKAVEKLHSTQGILLDSALSPVQSPLRQWAVVGTIKYISSKHFFVPHGNAAVEIVSGISAGIHTEVTLAEGSAYNLEFTLGDANNACEGDFIVEVQAGSVAQNFTIRSNGTGSAEKSLIKFKAGSRATPIIFSSFTRSQTKM >KJB09048 pep chromosome:Graimondii2_0_v6:1:14744848:14750644:-1 gene:B456_001G121200 transcript:KJB09048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSALQFLDNKSILVTGGTGFLAKIFVEKILRVQPNVKKLYLLVRAADHKSAIHRLHHEIVGKDLFRNLKEKCGVNFSSFISQKITVIPGDLTHQDLGLHDSDLLQLLCKELDVLLNLAATTNFDERYDVALDLNTLGAKYVVDFAKKCAKLQVLVHVSTAYVSGERSGLIVENSYRMGETLNGVTGLDVNIEKQVVEDQLHLLRLQGASDKDITIAMKDLGIQRARLYGWPNTYVFTKAMGEMMVGELKDIIPAVIIRPTIITSTYKEPFPGWVEGIRTIDSLAVGYAKGKLTFFLGDLEAIVDVIPADMVVNAIIVAMIAEARHQQPQTIYQVGSSIRNPLRYSNLQDYGFRYFTKNPWINKDGKPVIVSKVTVMNSMDSFQRYMAFRYLLLLKGLELANAAFCHFFQGVYSNLNRKINWVMRLVDIYRPYLFFNATFDDLNTEKLRMTARTSLVENDMFYFDPKSIDWEDYFMNIHIPGIVKYIFK >KJB09046 pep chromosome:Graimondii2_0_v6:1:14744848:14750615:-1 gene:B456_001G121200 transcript:KJB09046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSALQFLDNKSILVTGGTGFLAKIFVEKILRVQPNVKKLYLLVRAADHKSAIHRLHHEIVGKDLFRNLKEKCGVNFSSFISQKITVIPGDLTHQDLGLHDSDLLQLLCKELDVLLNLAATTNFDERYDVALDLNTLGAKYVVDFAKKCAKLQVLVHVSTAYVSGERSGLIVENSYRMGETLNGVTGLDVNIEKQVVEDQLHLLRLQGASDKDITIAMKDLGIQRARLYGWPNTYVFTKAMGEMMVGELKDIIPAVIIRPTIITSTYKEPFPGWVEGIRTIDSLAVGYAKGKLTFFLGDLEAIVDVIPADMVVNAIIVAMIAEARHQQPQTIYQVGSSIRNPLRYSNLQDYGFRYFTKNPWINKDGKPVIVSKVTVMNSMDSFQRYMAFRYLLLLKVFTAI >KJB09047 pep chromosome:Graimondii2_0_v6:1:14744848:14750615:-1 gene:B456_001G121200 transcript:KJB09047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSALQFLDNKSILVTGGTGFLAKIFVEKILRVQPNVKKLYLLVRAADHKSAIHRLHHEIVGKDLFRNLKEKCGVNFSSFISQKITVIPGDLTHQDLGLHDSDLLQLLCKELDVLLNLAATTNFDERYDVALDLNTLGAKYVVDFAKKCAKLQVLVHVSTGERSGLIVENSYRMGETLNGVTGLDVNIEKQVVEDQLHLLRLQGASDKDITIAMKDLGIQRARLYGWPNTYVFTKAMGEMMVGELKDIIPAVIIRPTIITSTYKEPFPGWVEGIRTIDSLAVGYAKGKLTFFLGDLEAIVDVIPADMVVNAIIVAMIAEARHQQPQTIYQVGSSIRNPLRYSNLQDYGFRYFTKNPWINKDGKPVIVSKVTVMNSMDSFQRYMAFRYLLLLKGLELANAAFCHFFQGVYSNLNRKINWVMRLVDIYRPYLFFNATFDDLNTEKLRMTARTSLVENDMFYFDPKSIDWEDYFMNIHIPGIVKYIFK >KJB09969 pep chromosome:Graimondii2_0_v6:1:26962850:26966699:-1 gene:B456_001G178600 transcript:KJB09969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive lysine-specific demethylase JMJ19 [Source:Projected from Arabidopsis thaliana (AT2G38950) UniProtKB/Swiss-Prot;Acc:Q8L7T6] MGAEHRKTPNETDSTARVSAPPGFAYLSSFFLKKVEHQEENMSFKTAEDFSTQNNVQVETSSNVFDVEKLKKSIGNRPWILNDKTDQITKEYQSAQPFKTTSRQCLGDTKGDVLEEAPVFRPSEEEFCDTLKYIESIRLKAEPYGLCRIIPPPSWQPPCLIKEKSIWEYSKFVAEIQQFDRSPAQSEMGKEPGLEFTLKRFKKHADDFIQHYFFSKDVGHVNCKREELSVESIEGEYRKIVENPSGKLEVLYGDLDTATFGSGFPMASNTGESCNKYIRSSWNLNNAPKLPGSLLSFESDKNSSVSVPHLRIGMCFSSLYWKIEEHHLYSISYLHVGSPKIWYGVPERYSFKFETLMKKYFPDLLGKPSKLHGVITRLSPFVFKSKGVPVYRIIQYPREFVLVFPGAYHSTFDCGFNVAEAVTFAPLDWLPHGQNAVALYQSHGRKTSISFDKLLIGAAREAVKAQWELILLKKNTIDNLRWKGYCGKNGILTETLKSRVKQEGMRREYLCSTFQTKRKDKNFNSTSKRECSICYFDLHLSAVHCSCSSDRYLCLNHAKRLCSCTWTEKIFLYKYEINELNMLVEAVEGTLSAVHAWAREDLNLGLRHYTPKEKSFREDEGKKAHKLQDAVTSYGNGLSAVSSIKAEIKARIQQLQCLHERKSKEEMVSTPSLPRMTQDDTSLLLREVMPEDLSSTSSESDDTTDLDLDDGGKGCVLSTLSSRPSPSQPASEREVTLSQRRRPPKRKVTLSELLKDNSSEHGTAKHFKSTSEGLPMSHPASKKRKKK >KJB09968 pep chromosome:Graimondii2_0_v6:1:26962512:26967227:-1 gene:B456_001G178600 transcript:KJB09968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive lysine-specific demethylase JMJ19 [Source:Projected from Arabidopsis thaliana (AT2G38950) UniProtKB/Swiss-Prot;Acc:Q8L7T6] MGAEHRKTPNETDSTARVSAPPGFAYLSSFFLKKVEHQEENMSFKTAEDFSTQNNVQVETSSNVFDVEKLKKSIGNRPWILNDKTDQITKEYQSAQPFKTTSRQCLGDTKGDVLEEAPVFRPSEEEFCDTLKYIESIRLKAEPYGLCRIIPPPSWQPPCLIKEKSIWEYSKFVAEIQQFDRSPAQSEMGKEPGLEFTLKRFKKHADDFIQHYFFSKDVGHVNCKREELSVESIEGEYRKIVENPSGKLEVLYGDLDTATFGSGFPMASNTGESCNKYIRSSWNLNNAPKLPGSLLSFESDKNSSVSVPHLRIGMCFSSLYWKIEEHHLYSISYLHVGSPKIWYGVPERYSFKFETLMKKYFPDLLGKPSKLHGVITRLSPFVFKSKGVPVYRIIQYPREFVLVFPGAYHSTFDCGFNVAEAVTFAPLDWLPHGQNAVALYQSHGRKTSISFDKLLIGAAREAVKAQWELILLKKNTIDNLRWKGYCGKNGILTETLKSRVKQEGMRREYLCSTFQTKRKDKNFNSTSKRECSICYFDLHLSAVHCSCSSDRYLCLNHAKRLCSCTWTEKIFLYKYEINELNMLVEAVEGTLSAVHAWAREDLNLGLRHYTPKEKSFREDEGKKAHKLQDAVTSYGNGLSAVSSIKAEIKARIQQLQCLHERKSKEEMVSTPSLPRMTQDDTSLLLREVMPEDLSSTSSESDDTTDLDLDDGGKGCVLSTLSSRPSPSQPASEREVTLSQRRRPPKRKVTLSELLKDNSSEHGTAKHFKSTSEGLPMSHPASKKRKKK >KJB09967 pep chromosome:Graimondii2_0_v6:1:26962850:26966292:-1 gene:B456_001G178600 transcript:KJB09967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive lysine-specific demethylase JMJ19 [Source:Projected from Arabidopsis thaliana (AT2G38950) UniProtKB/Swiss-Prot;Acc:Q8L7T6] MTQTTSRQCLGDTKGDVLEEAPVFRPSEEEFCDTLKYIESIRLKAEPYGLCRIIPPPSWQPPCLIKEKSIWEYSKFVAEIQQFDRSPAQSEMGKEPGLEFTLKRFKKHADDFIQHYFFSKDVGHVNCKREELSVESIEGEYRKIVENPSGKLEVLYGDLDTATFGSGFPMASNTGESCNKYIRSSWNLNNAPKLPGSLLSFESDKNSSVSVPHLRIGMCFSSLYWKIEEHHLYSISYLHVGSPKIWYGVPERYSFKFETLMKKYFPDLLGKPSKLHGVITRLSPFVFKSKGVPVYRIIQYPREFVLVFPGAYHSTFDCGFNVAEAVTFAPLDWLPHGQNAVALYQSHGRKTSISFDKLLIGAAREAVKAQWELILLKKNTIDNLRWKGYCGKNGILTETLKSRVKQEGMRREYLCSTFQTKRKDKNFNSTSKRECSICYFDLHLSAVHCSCSSDRYLCLNHAKRLCSCTWTEKIFLYKYEINELNMLVEAVEGTLSAVHAWAREDLNLGLRHYTPKEKSFREDEGKKAHKLQDAVTSYGNGLSAVSSIKAEIKARIQQLQCLHERKSKEEMVSTPSLPRMTQDDTSLLLREVMPEDLSSTSSESDDTTDLDLDDGGKGCVLSTLSSRPSPSQPASEREVTLSQRRRPPKRKVTLSELLKDNSSEHGTAKHFKSTSEGLPMSHPASKKRKKK >KJB09246 pep chromosome:Graimondii2_0_v6:1:16806052:16808454:-1 gene:B456_001G131300 transcript:KJB09246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGVEIFRNLRERERESAMASSSKKPKEENKKKKNKEKSNRTADKDTKKSIKKPEKKASASKTPTKSKPNPESKPDKSKNKSSNGIPVKSQVIADPSSSSESEPQENRNNSHKNVKPNSKRKRKEEVDEEEEEAKVCRFPMNRIKRIIKTEDSHMAVSQDVVFLVNKAAELFLEKFCEDGYKCSIKDRKKSLSYKHLFVHEQKRYDFLSDYVPQKKKAEDALKVMNLAETGEG >KJB09247 pep chromosome:Graimondii2_0_v6:1:16807302:16808454:-1 gene:B456_001G131300 transcript:KJB09247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGVEIFRNLRERERESAMASSSKKPKEENKKKKNKEKSNRTADKDTKKSIKKPEKKASASKTPTKSKPNPESKPDKSKNKSSNGIPVKSQVIADPSSSSESEPQENRNNSHKNVKPNSKRKRKEEVDEEEEEAKVCRFPMNRIKRIIKTEDSHMAVSQDVVFLVNKAAELFLEKFCEDGYKCSIKDRKKSLSYKHLCTFLFESSLYMLFPLPVLSDITVEY >KJB09245 pep chromosome:Graimondii2_0_v6:1:16805871:16808497:-1 gene:B456_001G131300 transcript:KJB09245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDIRSMEFGVEIFRNLRERERESAMASSSKKPKEENKKKKNKEKSNRTADKDTKKSIKKPEKKASASKTPTKSKPNPESKPDKSKNKSSNGIPVKSQVIADPSSSSESEPQENRNNSHKNVKPNSKRKRKEEVDEEEEEAKVCRFPMNRIKRIIKTEDSHMAVSQDVVFLVNKAAELFLEKFCEDGYKCSIKDRKKSLSYKHLSTVVHEQKRYDFLSDYVPQKKKAEDALKVMNLAETGEG >KJB07351 pep chromosome:Graimondii2_0_v6:1:1662009:1664336:1 gene:B456_001G017900 transcript:KJB07351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYLDQYFASLSWSDVNFKERSPWVLSEPDQPNALLPSSPISMIGSNHGMECLATQSTPLGVGPQTNGESLGLQFNAGVHSSGSLKGLQVVGDMTTLSQSFNEGGNVLCNGGESSEFQRSLTGLETLCSIPQLWHPQPYDGLISSLPMGQTQMQSSSLQGDNGNVDDGNINRFVEIDKFLQLENLSASINAKEKQDMQNSCYSSFPADRPMTDMMIDLPSLLQSSSPAPNKGCNGTGKPQVRARRGQATDPHSIAERLRREKIAERMKNLQELVPNSNKTDKASMLDSIIEYVKFLQLQVKVLSMSRLGAAGAVVPLITDGQAEGSNGLTLTPLAMGSDGVDCSPSPEQVVFEQEVVKLMESNVTIAMQYLQSKGLCIMPIALAAAISNGKASSSSSSGPVSEERKKFGFTNSVISNDDRVHNTTTCSSSSDSGVRNSNSSNSSGTGNLSGVGIHQSTSDGNFMIEKINGCNGTFKQEVNTLCTAK >KJB09872 pep chromosome:Graimondii2_0_v6:1:24643601:24648434:1 gene:B456_001G171700 transcript:KJB09872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGDDFLNFLPCDLSIKILTALEGPSDLVRITAVSRNWRHFVIRHGLCKHLSLQMFPQLSRVDRVNELGGSTKGHAGAGSSNFMEWEALEREHRAYAFLARCCLSTTAGDCISEAIIASSTDNYPEESICNTLEPRDRVARTASYWSSKGQKNPAVPETLTYRLIADLCVVTEIKIRPFQAYFQFGYPIYSAKSVRFCMGHIKDADESCQDSGTDRFAWTYTSQEFEMAQENRLQTFKLPEPILCIGGILQIELLSRVQRQEIDGLFYICVSHVQVVGRPLSPAFSIQILEPPEKFVLEVQSYSLPTLPEQMSSITSLQMRVRDLEQILNLLQGNGGEVEYGYEWEVESDEDEEEFDME >KJB08940 pep chromosome:Graimondii2_0_v6:1:13433321:13436124:1 gene:B456_001G114700 transcript:KJB08940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFKNRYMVMEVLLDPNKEISGDDPIVVTQFNISKAIKDSILVNFGECGLASSLGSFQVKYVNPITKLCVIRVSRDEYQKIWTSISMVRRIGNCPVLFNLLDLSGNIKACKTAALKCDELKFEQYKLMVGARLLADVTQHMQNCLEKIRILEH >KJB06688 pep chromosome:Graimondii2_0_v6:1:22962849:22966951:-1 gene:B456_001G159400 transcript:KJB06688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLAVEVSDEKVKAMWDKRLTEIFCGICIKEILKDNRPGIHFTRDGWLKIMTNFEKETGKCFSQRQLKNRRDALKKNGKLGRNLKAKILVVPEAKKFRTSGIDPEFEGKLDQMFMGIVATGDKAWAPSSGTLPSDFFEDVNNEIPEENEKENMRNDVHILNDVHISNDVHISNGVQIDGNSQKRKNPEMSSSHFKTGRKKSSKQIGGATRLSSQIEKLCNAADSMSQATSIKMLDSMSEEVLEASPLYFFALRLLLNKDKRIMFLSINPKIRVLWLKTEMEGS >KJB10104 pep chromosome:Graimondii2_0_v6:1:29138339:29142309:1 gene:B456_001G184300 transcript:KJB10104 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor ABI3 [Source:Projected from Arabidopsis thaliana (AT3G24650) UniProtKB/Swiss-Prot;Acc:Q01593] MRGVQQQQQQQKMGGEDVHAVGGNEGNPSGFDDVVVEVERVMGSEEREMWLDRQQDDELLDVNDASIFYGDFPPLPDFPCMSSSSSSSSTPAPVKAVACSSSASTASSSSSAASWAVLKSDADEDMERKSNGHHIHLHHHHHQNYIQNSHQQEHHGKVDGTPAALSSTASMEIPQPPDQNVMDGVDCMDVMENFGYMDLIDNNDLFDPSSIFHHDDTGLEEFQQDQQNQQQQEHDSTQQQLGKQVGAMMYSKNEDQTQEEKASDDLAMVFLEWLKTNKETVSAEDLRRVKIKKATIECAARRLGGGKEAMKQLLKLILEWVQTNHLQRRRIKESASNNHPDHPCQYSNPNNLNPSSNPNLNCNPILPSEPNSFFSQPAWVPQPAYTTDPGAAPPPLPGFTPVVGYMGDPFANGAPNVTTHHHPYRPPTDYQVLDTAQTWPPSQFALAASQYNSFADNNLHPAPLQQQPSAFPGYVNQYPYQYVPGHNNNDQRLLRLGSSATKEARKKRMARQRRFASHHRNHGHHHNNQQSQLQNQSIDQHERIVNGNCVAAAQANPGNWVYWPSVAGALASNPPSVLPGDVTMVHPVDQTTMQGQTYQRQVATDRRQGWKPEKNLRFLLQKVLKQSDVGNLGRIVLPKKEAETHLPELEARDGISIAMEDIGTSRVWNMRYRFWPNNKSRMYLLENTGDFVRTNGLQEGDFIVIYSDVKCGKYLIRGVKVRQSGTKSETKRPGKSQKNHHTNSPSAAVSGSLPTPITQTVK >KJB10105 pep chromosome:Graimondii2_0_v6:1:29139013:29142185:1 gene:B456_001G184300 transcript:KJB10105 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor ABI3 [Source:Projected from Arabidopsis thaliana (AT3G24650) UniProtKB/Swiss-Prot;Acc:Q01593] MRGVQQQQQQQKMGGEDVHAVGGNEGNPSGFDDVVVEVERVMGSEEREMWLDRQQDDELLDVNDASIFYGDFPPLPDFPCMSSSSSSSSTPAPVKAVACSSSASTASSSSSAASWAVLKSDADEDMERKSNGHHIHLHHHHHQNYIQNSHQQEHHGKVDGTPAALSSTASMEIPQPPDQNVMDGVDCMDVMENFGYMDLIDNNDLFDPSSIFHHDDTGLEEFQQDQQNQQQQEHDSTQQQLGKQVGAMMYSKNEDQTQEEKASDDLAMVFLEWLKTNKETVSAEDLRRVKIKKATIECAARRLGGGKEAMKQLLKLILEWVQTNHLQRRRIKESASNNHPDHPCQYSNPNNLNPSSNPNLNCNPILPSEPNSFFSQPAWVPQPAYTTDPGAAPPPLPGFTPVVGYMGDPFANGAPNVTTHHHPYRPPTDYQVLDTAQTWPPSQFALAASQYNSFADNNLHPAPLQQQPSAFPGYVNQYPYQYVPGHNNNDQRLLRLGSSATKEARKKRMARQRRFASHHRNHGHHHNNQQSQLQNQSIDQHERIVNGNCVAAAQANPGNWVYWPSVAGALASNPPSVLPGDVTMVHPVDQTTMQGQTYQRQVATDRRQGWKPEKNLRFLLQKVLKQSDVGNLGRIVLPKKEAETHLPELEARDGISIAMEDIGTSRVWNMRYSFRFWPNNKSRMYLLENTGDFVRTNGLQEGDFIVIYSDVKCGKYLIRGVKVRQSGTKSETKRPGKSQKNHHTNSPSAAVSGSLPTPITQTVK >KJB06282 pep chromosome:Graimondii2_0_v6:1:4078191:4079451:1 gene:B456_001G042900 transcript:KJB06282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FIPKKGVSPTKHEIIFIAPTGEEISNKRQLEKYLKAHPGGPAVSEFYWGTGETPRRSARISEKAAASKDNKESETASGGIEETKDIQMEEAEKSEKMNVEGENKDETEDANSKTEPTSQEVQHAEDANISTDIKERKENVKAVSEKSQDGAQAGASGFSPMELLIEGKVKQPVAEAEKELQSGEQNKKNEVGGEEKAKQERSTSEPEGVIKEKQSSNCNEGQNYSVGNEISKKTEAAIQNGSNADSQTGLLIKQDPGQLTSMIASQICGAFEIEKSY >KJB09620 pep chromosome:Graimondii2_0_v6:1:21458652:21462066:1 gene:B456_001G153200 transcript:KJB09620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLYVKAVPPADLNRNTEWFMYPGVWTTYILILFFSWLLVLSIFGCSPGMAWTVVNLAHFLVTYHFFHWKKGTPFAEDQGIYNGLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLFFNTLAVLVLVIAKFPNMHKVRIFGINADK >KJB09621 pep chromosome:Graimondii2_0_v6:1:21458730:21460268:1 gene:B456_001G153200 transcript:KJB09621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLYVKAVPPADLNRNTEWFMYPGVWTTYILILFFSWLLVLSIFGCSPGMAWTVVNLAHFLVTYHFFHWKKGTPFAEDQGIYNGLTWWEQIDNGKQLTRNRKFLTVVPVVL >KJB09515 pep chromosome:Graimondii2_0_v6:1:52393839:52394226:-1 gene:B456_001G252500 transcript:KJB09515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASQRGKRKMKNEMENGGVQYRGIRRRPWGKFAAETVEEAARAYDRAAFAFRGHSAILNFPNEFQCQNPSFPASSSCTSVGRVNPQRGGASEVIELEYLDLYVYFVWISD >KJB10643 pep chromosome:Graimondii2_0_v6:1:42509113:42511468:-1 gene:B456_001G212900 transcript:KJB10643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQCITKKPKILLVPYPAQGHVNPMLKLGLALLTHGLQPIIVTPEFIHRRIVANVDLNDYQVRFMSIPDGFSGEGPYDFFAIEKSMENIMPNHLETLLHELDEDDNGTVVCMVIDLLASWAIQVATRCGIPAAGFWPAMQATYRLITSIPEMLQADLISETGCPRHDGTVSSLPGQPLLSTEDLPWLIGTQASRKARLKFWIRILDRLTSLRWLLLNSFPQEFIIHDDEYQEDDYIAPPHNNPIIFPVGPLTEPSLTTPKNPSFWKEDTSCLDWLDHQKPNSVIYISFGSWVSPIGEAKIKTLALTLQSLNRPFIWVLAKSWRHGLPNGYSDTVSKQGKLVLWAPQFQVLQHKAVGLYLTHCGWNSTMEAIQCRKRLLCYPMAGDQFVNCKYIVKVWKLGVKVKGLGQKDVEEAVKKVMKDEEMEERLRKIYNRTMGEETSSRVAGNLKAFVLGLDQLQQNSLH >KJB09654 pep chromosome:Graimondii2_0_v6:1:21648934:21652621:-1 gene:B456_001G154500 transcript:KJB09654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGLAVVPVRNEPLIPPDHMNPELGLCENESIWIYLALSESMIPMRVLESDSIESLKLRIQTFKGFVVKNQKLVCGGRELARSNSLVRDYGVNDGNVLHLVLRLSDLQVIHVKTTAGKEFTFHVERGRDVGYVKEQIAKREKGFSDFDDHEVVCDGERLEDQRLIDDLCTHNSAVLHLLVRKSAKVRVKPVAKNFELSIVAPELKRKLEYDLGDEENSCRRYEVDREVEPRKPPDRDFVLKPVIVNPKFELPSVIVDMINSTFDGLYNGNFPIRSVEGTGGVYFMQDSLGQKFVSVFKPIDEEPLAVNNPRKLPVSPDGEGLKKGTRVGEGAFREVAAYILDHPKGCEEKGFAGVLPTALVKCLHTGFNNPDDLAIVKIGSLQMFVENNGSCEDMGPGSFPVEQVHKISVLDIRLANADRHAGNILLSKDEDGQTVLVPIDHGYCLPESFEDCTFDWLYWPQARQSYSPETLEYIKSLDAEEDISLLKFLGWDMPPECARTLRISTMLLKKGAERGFTPHAIGSIMCRENLKEESPIEQMVQEAQDSVLPGTSEAAFLETLSQIMDRHLDEITGL >KJB09653 pep chromosome:Graimondii2_0_v6:1:21648095:21652621:-1 gene:B456_001G154500 transcript:KJB09653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGLAVVPVRNEPLIPPDHMNPELGLCENESIWIYLALSESMIPMRVLESDSIESLKLRIQTFKGFVVKNQKLVCGGRELARSNSLVRDYGVNDGNVLHLVLRLSDLQVIHVKTTAGKEFTFHVERGRDVGYVKEQIAKREKGFSDFDDHEVVCDGERLEDQRLIDDLCTHNSAVLHLLVRKSAKVRVKPVAKNFELSIVAPELKRKLEYDLGDEENSCRRYEVDREVEPRKPPDRDFVLKPVIVNPKFELPSVIVDMINSTFDGLYNGNFPIRSVEGTGGVYFMQDSLGQKFVSVFKPIDEEPLAVNNPRKLPVSPDGEGLKKGTRVGEGAFREVAAYILDHPKGCEEKGFAGVLPTALVKCLHTGFNNPDDLAIVKIGSLQMFVENNGSCEDMGPGSFPVEQVHKISVLDIRLANADRHAGNILLSKDEDGQTVLVPIDHGYCLPESFEDCTFDWLYWPQARQSYSPETLEYIKSLDAEEDISLLKFLGWDMPPECARTLRISTMLLKKGAERGFTPHAIGSIMCRENLKEESPIEQMVQEAQDSVLPGTSEAAFLETLSQIMDRHLDEITGL >KJB11257 pep chromosome:Graimondii2_0_v6:1:51389694:51390361:1 gene:B456_001G250000 transcript:KJB11257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPVAEKAPASVEKKISKEGGDKKKKKIKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KJB08972 pep chromosome:Graimondii2_0_v6:1:13836246:13837152:-1 gene:B456_001G116300 transcript:KJB08972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIEMRVHMDCAGCESKVKSSLQKLKGVDEVDIDMGMQKVTVTGHADQKKVLKTVRRTGRRAELWQLPYNPEHHSFGNHYYNQHQCNGPMTYYAPQPSSSYNYYKHGYDSNDPSYYRLPVHSTIFGHQTGSAFSDENPHACSIM >KJB06695 pep chromosome:Graimondii2_0_v6:1:14812202:14817263:-1 gene:B456_001G121400 transcript:KJB06695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVQEDNAGNYSKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRTVLDEGGPGPATNGGAAVATRPKSKPKDGSGGGEGGDGEDPDQAKLRAGLDSAIIREKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTENDFESLAHRTDGFSGSDISVC >KJB06692 pep chromosome:Graimondii2_0_v6:1:14812123:14817289:-1 gene:B456_001G121400 transcript:KJB06692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVQEDNAGNYSKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRTVLDEGGPGPATNGGAAVATRPKSKPKDGSGGGEGGDGEDPDQAKLRAGLDSAIIREKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTENDFESLAHRTDGFSGSDISVCVKDVLFEPVRKTQDAMFFFKTPDDMWMPCGPKQPGAVQITMQELAAKGLAAQILPPPISRSDFDKVLARQRPTVSKADLEVHERFTNEFGEEG >KJB06697 pep chromosome:Graimondii2_0_v6:1:14812615:14817263:-1 gene:B456_001G121400 transcript:KJB06697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVQEDNAGNYSKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRTVLDEGGPGPATNGGAAVATRPKSKPKDGSGGGEGGDGEDPDQAKLRAGLDSAIIREKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTENDFESLAHRTDGFSGSDISVCVSATTI >KJB06693 pep chromosome:Graimondii2_0_v6:1:14813406:14817015:-1 gene:B456_001G121400 transcript:KJB06693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVQEDNAGNYSKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRTVLDEGGPGPATNGGAAVATRPKSKPKDGSGGGEGGDGEDPDQAKLRAGLDSAIIREKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQVKGNRMVLMSILRGHSY >KJB06694 pep chromosome:Graimondii2_0_v6:1:14812202:14817263:-1 gene:B456_001G121400 transcript:KJB06694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVQEDNAGNYSKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRTVLDEGGPGPATNGGAAVATRPKSKPKDGSGGGEGGDGEDPDQAKLRAGLDSAIIREKPNIKWNDVAGLESAKQAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTENDFESLAHRTDGFSGSDISVCVKDVLFEPVRKTQDAMFFFKTPDDMWMPCGPKQPGAVQITMQELAAKGLAAQILPPPISRSDFDKVLARQRPTVSKADLEVHERFTNEFGEEG >KJB06696 pep chromosome:Graimondii2_0_v6:1:14812971:14817015:-1 gene:B456_001G121400 transcript:KJB06696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVQEDNAGNYSKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRTVLDEGGPGPATNGGAAVATRPKSKPKDGSGGGEGGDGEDPDQAKLRAGLDSAIIREKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTENDFESLAHRTDGFSGSDISVCVSATTI >KJB06472 pep chromosome:Graimondii2_0_v6:1:4194075:4197861:-1 gene:B456_001G044200 transcript:KJB06472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNNCGCWAVLKRGVCKSSASRDSANTIPRTSLVYDTATETRYLNASNRELYPPNEAHLSSDNPDPPPSDNKSPCQLLQFTFQELKSATGNFRPDSILGEGGFGYVFKGWIEENGTAPAKPGSGITVAVKSLKPDGLQGHREWVAEVDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTIPLPWSNRIKIALGAAKGLAFLHGGPEPVIYRDFKTSNILLDSEYNSKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVTWARPYLADKRKLYQLVDPRLELNYSLKGVQKVSQLAHNCLHRDPKSRPTMDEVVKVLTPLQDLNDLAILSYHSRLSQQGRRKKKPEGSQQLSNTQSKSIRGSPLNTGKQRCR >KJB06473 pep chromosome:Graimondii2_0_v6:1:4194100:4197760:-1 gene:B456_001G044200 transcript:KJB06473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNNCGCWAVLKRGVCKSSASRDSANTIPPTETRYLNASNRELYPPNEAHLSSDNPDPPPSDNKSPCQLLQFTFQELKSATGNFRPDSILGEGGFGYVFKGWIEENGTAPAKPGSGITVAVKSLKPDGLQGHREWVAEVDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTIPLPWSNRIKIALGAAKGLAFLHGGPEPVIYRDFKTSNILLDSEYNSKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVTWARPYLADKRKLYQLVDPRLELNYSLKGVQKVSQLAHNCLHRDPKSRPTMDEVVKVLTPLQDLNDLAILSYHSRLSQQGRRKKKPEGSQQLSNTQSKSIRGSPLNTGKQRCR >KJB10971 pep chromosome:Graimondii2_0_v6:1:47203032:47203948:1 gene:B456_001G234500 transcript:KJB10971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDHGEKVSHQPNVDDGTIRVFPCLFCSRKFYSSQALGGHQNAHKRERTAKRKAKRSSDYGPTTNFSSSPTLPIVYAPAAASHHHLSFLHSPMYITAHAANFHYLPNPHHRFGSNGAARFDNNAVFYGEGNNVCHHHHHQIDHKDQQSYNFNWQRSIRCSGDFTQQLTMVEDNNQGIGSADHTDRDQKLDLSLHL >KJB10788 pep chromosome:Graimondii2_0_v6:1:45747397:45748892:1 gene:B456_001G224400 transcript:KJB10788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAILCVTTCYFSTSMFIFVSAGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARLIGIDNNPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLTSWLEDARQHANANMTVMLIGNKCDLAHRRAVSTEEGEQFAKEHGLVFMEASAKTAQNVEEAFISTAAKIYKKIQDGVIDISNESCGIKLGHQTGAGLSGGRDGSASQAGSCCG >KJB10787 pep chromosome:Graimondii2_0_v6:1:45747042:45748939:1 gene:B456_001G224400 transcript:KJB10787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARLIGIDNNPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLTSWLEDARQHANANMTVMLIGNKCDLAHRRAVSTEEGEQFAKEHGLVFMEASAKTAQNVEEAFISTAAKIYKKIQDGVIDISNESCGIKLGHQTGAGLSGGRDGSASQAGSCCG >KJB08543 pep chromosome:Graimondii2_0_v6:1:9729735:9732203:1 gene:B456_001G089600 transcript:KJB08543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHIRFIDIILWVFLVLYTLKNSSMHAHQGDESKEYVVSVRKEFDEGFRGRKMGGHEVGVDSKNSGGNNDAEIMSTQKFHDQASNQVEGHSKAKPSLKNLSSRRDNDEPTVMGNTIESKRLSEADAKEVINLMNKDYKGFDQPRQKPPINNHVPKH >KJB10060 pep chromosome:Graimondii2_0_v6:1:27876034:27877605:-1 gene:B456_001G182300 transcript:KJB10060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTRSGTSPGISLGSMNRINLDGSNVGATCFIRRGILSDPPERCCCINMYSNSNVQGSNSSVLLGSNIRIKNSGVHLYFGDLKLGEEPRSTSRRAAEFEFICMKMSKYLKFV >KJB11698 pep chromosome:Graimondii2_0_v6:1:54945922:54946808:1 gene:B456_001G272600 transcript:KJB11698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDWGPVFVAVVLFILLTPGLLIQVPGKSKAIEFGNFQTSGVSILVHSIIYFALICILLLAIGIHMYVGS >KJB11244 pep chromosome:Graimondii2_0_v6:1:52307868:52308628:-1 gene:B456_001G251700 transcript:KJB11244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGSPCGACKFLRRKCVRGCVFAPYFSHEQGATHFAAIHKVFGASNVSKLLAHLPVTDRCEAAVTISYEAQARLQDPVYGCVSHIFALQQQVAKLEAQLASLTEQASQSIANGSVTSNPNDGKLPCSQLQDVQSWFHPDNSSMAPNFNPSSYGEHGFSNPNSSGIYYENSIVSSGEDHISFTTFVEAPHSMSSSLDMQTNNRQWSFQDVDDLQSMAFRYAQNSR >KJB09282 pep chromosome:Graimondii2_0_v6:1:17049324:17051362:1 gene:B456_001G132900 transcript:KJB09282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYSPFDAADHKLQIFMSESCNSRHFSWLMKSCFPNPNHKSLIITPQHLNPTLTLSSLPDDLLLECLSRVPSSSLPSLSLVCRRWFYLILSPSFILLRRQLRLSHPSLFAFSPSLSGVFSATLSFPSPRPAATWDLSLCLPINTASLHSLPRLVSIGPRIYIIGRNSMLRYNAWTHHVTAKSPMLFPRKKFAAAVVSNKIYVAGGGGSRAASAVEEYDPETDTWRVVGYSQRMRYGCIGAAVDGVFYVIGGLKIGGASGNGAGGIEAHVYASSMDLYDVEARVWLRSRAVPGGGCVVAACAVAGYVYVLTSHVVELSFWRFDARRKCGGGGDDSSEGFGEWCKMKSPPMPTQIRLDGTVRFCCVGVGDNKVILVQVVGCIDDLLRRSGRSQRGFKDGLVLVYDSGGGEWSRGPDLPEVIRRAACVSVEC >KJB11589 pep chromosome:Graimondii2_0_v6:1:54454729:54455994:-1 gene:B456_001G267400 transcript:KJB11589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLKSKKFCRGSFKFGNGGGNNGNVKSDEKGVRNCNNISEIKWERRPGGMLVQKRETGSSVGEGMIIVRVSTVSQCHDISIGATSTFGELKMILSLVTSLEPKEQRLLFKGKEREDDEYLHMVGVKDKDKVLLLQDPAIKEMKKLHRLASTTQHIPTTYHTISV >KJB09896 pep chromosome:Graimondii2_0_v6:1:25214912:25220164:-1 gene:B456_001G173400 transcript:KJB09896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIKDIIDSLSSIISTDSTPYESTQKPSSSTCQNMEGIAGNERTAYKLKGYFDLAKGEIDKAVRAEEWGLVDDALIHYKNAQRILIEASSTPTPSYISSSEQEKVKSYRQKISKWQGQVSERLQVLSRRSGSTSANKNTLTHAQTAAVSPRASNSRRDVFQKSPRNPVVRNQVDKVGSSKSAQESANGYESKLVEMINTAIVDRSPAVKWDDVAGLEKAKQALMEMVILPTRRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESQATFFNVSASSLTSKWVGEGEKLVRTLFMVAISKQPSVIFMDEIDSVMSTRLANENDASRRLKSEFLIQFDGVTSNPNDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDENVRRLLLKHKLKGQEFSLPGRDLEQLVRETEGYSGSDLQALCEEAAMMPIRELGSNILTVKANQVRPLRYEDFQKAMSVIRPSLNKSKWEELEQWNQEFGSN >KJB10653 pep chromosome:Graimondii2_0_v6:1:42797021:42798617:1 gene:B456_001G214100 transcript:KJB10653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLLFPFVVLCRTALSHSTWATYPHEFEDFATEIKQSHSLFFKPLASYSSMLNQIEELLSARDYKAAMQLSENLRSLALKGLHYFQTFEDSLRLSLLFLLL >KJB08063 pep chromosome:Graimondii2_0_v6:1:6106626:6107940:-1 gene:B456_001G061300 transcript:KJB08063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLTSLSKSLSSLLPSQHSLPNLPQNPPNFAPPVSQSDPKSTSIRLSSCLTTPAEETNAITCPSFAYANTLFFKSGHYNVQVVVADNEPEDKLLGRFRRAVFKAGVIQECKRRMFFESSQEKKKRKVREASKRNRRRAYFKVEC >KJB08062 pep chromosome:Graimondii2_0_v6:1:6104827:6107940:-1 gene:B456_001G061300 transcript:KJB08062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLTSLSKSLSSLLPSQHSLPNLPQNPPNFAPPVSQSDPKSTSIRLSSCLTTPAEETNAITCPSFAYANTLFFKSGHYNVQVVVADNEPEDKLLGRFRRAVFKAGVIQECKRRMFFESSQEKKKRKVREASKRNRRRRPKPKDSAQSKEETSKKDDDEEAGDNWELPEGDLPY >KJB08557 pep chromosome:Graimondii2_0_v6:1:9635445:9638499:-1 gene:B456_001G089200 transcript:KJB08557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENSAMIKQILREFENEDGKNDDFNEWQTVSYSKQNWKPSKPFPPGGFFDLYNGGVSSDIFSSIEKHSEDHRRRAAKAAAAAEVEAAVSSAVSNGVNVDDKDDSDTDGVEENGAVEIKKVKPKKPKKPNVTVAEAASKIEAGDLSAFLIDITRSYETQQDIQLMRFADYFGRAFPSVSAAQFPWLKLFKESTVSKLVDIPLSNVPEDVYKTSVDWLNRRSLDALVSFVLWSLDNIQADLASHQVATKGSKKVPPASTIKVAIFVVLSMALRRKPDVLISLAPKLREDPKYQGQDKLPITVWMIAQVAIDNLKTLIFLPAQIILLGDLAVGLYMWVHILLPMLSGKSSCNPQSRDLILQLVERIISCPKARPILVNGAVRKGDRLVPPSALDILMKLTFPAPSARATERFEAIYPTLKKVALVGSPGSKAMKQVAQQILSYAVKAAGEDPPELSKEASDVFIWCLTQNPECYKQHDVLYLDNLDASVAVLRKLANEWKEHSAKHSTVDPLREILKSFRQKNEKAEIDVDASLKEADKYCRLLLGHFSKGHGCLKGALFASIALVAGVAVMSQNVQFLSLDKLSAMFNLS >KJB10344 pep chromosome:Graimondii2_0_v6:1:44147839:44148186:1 gene:B456_001G218700 transcript:KJB10344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMCITFLMLLAAAAVLMSWQVGARGLAETTTKNNRGWYGPNYSGPGPGGYGEAPNYPGCRRRGC >KJB11283 pep chromosome:Graimondii2_0_v6:1:52267728:52269855:-1 gene:B456_001G251400 transcript:KJB11283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRRDMRNSRVALFDGIEEGGIRASSSYSHEIDEHENERAMEGLQDRVNLLKRLSGNIHEEVDSHNRMLDRMGNDMDASRGILSGTMDKFKMVFETKSSRRMFTLVASFVVIFLFIYYLTR >KJB11558 pep chromosome:Graimondii2_0_v6:1:54270163:54271771:1 gene:B456_001G265700 transcript:KJB11558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAFHNQRDRMEILEVTQVAPTSNSPESTTEFRLPLTFSDILWFTSPPVERLFFYQLDELTPACFNSVILPKLKRSLSLTLVHYLPLAGNLKWPPNEPKPIILYTPNDGVSLTVAHSDADFNILSSDGVYDAAELHPLKPDLVTSDVSASAIAVQVTLFPNKGFCIGITAHHAVLDGQTTTMFIKSWAHICKQGNDENSPLPPELTPFFDRSVIKGPDGLDMLYLNQWLASSGLDSDTSKKSLKITSAGGGAASDLVRATFEITREDFKKLRERVLPKLPDSGKEMHLSTFVLSFAYVTTCMVKARGGDGDRKVAFAFTADCRPRLNPPVSQNYFGNCNRPKFEVAKARDFLDENGFVFAVQKASGMVKDLMERWVLEGMEKILSYSLDVLKESSESNLQIITVAGSPRFGVYGTDFGWGKPHKVVIVSIDKSGAISMAESRDGSGGVEIGLALNKHEMNNISWLFPRYV >KJB09688 pep chromosome:Graimondii2_0_v6:1:22187716:22189397:-1 gene:B456_001G156500 transcript:KJB09688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSEDESQLSAIARQGSSSACRSLFGGFVKWIMGKEDDGSDSLAVQLVDEKHWEDLFIIIVLERCRGIELQSFWVCVHAIFGHDTQANLEMSFGCSQTMTLGRD >KJB08127 pep chromosome:Graimondii2_0_v6:1:6770257:6770698:-1 gene:B456_001G067600 transcript:KJB08127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFGCRLNLILPKQPSSFPLAALTRSQIRFYSTNGDDNDDVSNKELKRRIQKFLDGDEDAIPSIFEGILKRKLSGKHEESDDELMKEIRGEWKQPLDDADDLEFDSDLTDSSGTDGD >KJB08128 pep chromosome:Graimondii2_0_v6:1:6770099:6770825:-1 gene:B456_001G067600 transcript:KJB08128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFGCRLNLILPKQPSSFPLAALTRSQIRFYSTNGDDNDDVSNKELKRRIQKFLDGDEDAIPSIFEGILKRKLSGKHEESDDELMKEIRGEWKQPLDDADDLEFDSDLTDSSGTDGD >KJB08129 pep chromosome:Graimondii2_0_v6:1:6768938:6770825:-1 gene:B456_001G067600 transcript:KJB08129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFGCRLNLILPKQPSSFPLAALTRSQIRFYSTNGDDNDDVSNKELKRRIQKFLDGDEDAIPSIFEGILKRKLSGKHEESDDELMKEIRGEWKQPLDDADDLEFDSDLTDSSGTDGD >KJB10100 pep chromosome:Graimondii2_0_v6:1:28783396:28784132:1 gene:B456_001G183600 transcript:KJB10100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWSFMLVIALVVVHASARNVPTTTTVPTSTTRSSVPSGATGVGDQKNFLTYGGVGGYSGIGSNGLPFGGVGGLGGITPLGGAGGLGGGVGGAVGGGAGGGVGTGVLPFP >KJB07300 pep chromosome:Graimondii2_0_v6:1:9941860:9945101:-1 gene:B456_001G091000 transcript:KJB07300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGTSSTQNRSSMLFIPSVAIACATVLPPLAMKLKQQAKKLRDNAFTSQDPPCISTIKAHDKEEQQIEAQQTSHKEVTRYFEEEELDQIEVRSVDIVTIRPDLADRVTMNTMIGPLKGENTSYGSVPRQPVCKADKYGDCIKPVGEDHNHPCTVYNRFKRDNH >KJB07992 pep chromosome:Graimondii2_0_v6:1:5711521:5713806:1 gene:B456_001G057700 transcript:KJB07992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPFALNTFQRDKQLDWNLSNSNSCMDWNLGGATTWNLPTIVEEPSFDTINGSSNGDFSVDLKLGPLNKWKKPPLPMASSSSSSSKRAGASNNNGSRQQVWCLVDGCNSELSKCKDYHRRNKVCQLHSKAAQVFINGKKQRFCQQCSRFHSLEEFDERKRSCRKRLEGHNRRRRKPQSMYPLSLMRPGTYFSDYQGTESFPFASLNIPTWPGVNTQEPIVKKDTLQNRTPPTCQSFRTAPEGNGGSSSHNVSCDRLVPWFRDADYALSLLSSVRTQPTSGIGSSNLVQSHSFALVHPSRLSVANPVVEPMGSLAVANDRDDRVHCPQMVHMDCGECSKTQDPHTLPFHRKY >KJB09795 pep chromosome:Graimondii2_0_v6:1:23709497:23709823:-1 gene:B456_001G166900 transcript:KJB09795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LARPKDPILGSLFVDKESRFANYYSFTFNQGLSRGRGKQAKLAPDRRGKSVVTEVDYRTGVGENIAKGKTENSIAGEALVVAGGGGKA >KJB11005 pep chromosome:Graimondii2_0_v6:1:47429127:47430053:-1 gene:B456_001G236400 transcript:KJB11005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNLRIVVEKNPSESKLSELNIKCWPKWGCSPGKYQLKFDAEETCYLLKGKVRVYPKGSTEFVEFGAGDLVTIPKGLSCTWDVSVAVDKHYKFESSSPPPSSSRQ >KJB08556 pep chromosome:Graimondii2_0_v6:1:9633456:9635234:1 gene:B456_001G089100 transcript:KJB08556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINDLTLNNIMRMVAGKRYYGDEVKDENEAREFREVITETFRNGSTANRAEFLPVLNWFGGYETKVKKIGKKLDGLLQTLVNEHRRMKQENNDNGSMVDHLLNLQQSDPNYYTDEIIKGLMLVLILAGTDTTSVTIEWAISNLLNHPEVLKKAQAEIDTEIGQENLIDEPDVSKLKYLQSIILEAQRLHPALPLLLPHVPSTDCTIGGYDVPGGAIVLVNAWSIHRDPQSWDDPTSFKPERFVNDDSQSHKIMPFGLGRRACPGSGLAQRVMGLTLGSLIQCFEWERVDGKEIDMTEGMGSTMPKAHPLEALCKARPIVNRDFFNN >KJB06942 pep chromosome:Graimondii2_0_v6:1:4593008:4596657:1 gene:B456_001G048200 transcript:KJB06942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFSQVPPGFRFHPTDEELVAYYLRKKITSRRIDLDVIKDVDLYKIEPWDLQELCRIGTEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQEEGWVVCRVFKKRIPAINKVSEYESPCWYDDQVTFNPDLASPMQNMAYHHLPYSCKKELDLQYQAPNEHYLQLPLLDSPKLLHPPVEAFGLDINNASTLQETHEQHLQTLYANTNNEHAADQVTDWRILDKFVASQLSREEVAKQNNYSSASAKNVFHSSQHANLLIRHLNKQEMVPENASTSNRHTDLWK >KJB06552 pep chromosome:Graimondii2_0_v6:1:9926625:9927413:-1 gene:B456_001G090700 transcript:KJB06552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDFTRGILSVSLAIFAIVFPIYAFETSFFLDQNNAVTTTLCNSTVTEDCGRNVNGDSHSSKRLLQGGPTYNRRRHICYGAIQKPGVCNGNIYGNCIVPIGPSYRPCTVYTRCKRGVR >KJB06291 pep chromosome:Graimondii2_0_v6:1:45051547:45052786:-1 gene:B456_001G221900 transcript:KJB06291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QFIVDELRRYAPNLPCEFDESGNAYNILDLQEFVPEAPESLSEFESPPSPISSYDSQPLNDGDFSKPPPELPSQLRTKILDEQSLFVRNPRSSRKPSHTLLNHLYKKDGCDGQSVALCSTHRFLQKYVTVVLYKSVHR >KJB09296 pep chromosome:Graimondii2_0_v6:1:17131792:17136040:-1 gene:B456_001G133500 transcript:KJB09296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKQEESGAAAKVKGGSKDVKKEKLSVSAMLASMDQKPEKPKKATSTKPKAKGPKVSSYTDGIDLPPSDEEDEFPSGEEQTQSNRQERQSLKPLDTSISEKELKKREKKEMLATQAAELAKQEALKDDHDAFTVVIGSRASVLDGEDDADANVKDITIDNFSVSARGKELLKNASVKISHGKRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDRTALQAVVSANEELIRLREEVAALQNSSASNGEDENDLNGDDAGERLAELYEKLQILGSDAAEAQASKILAGLGFTKAMQGRPTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLYRWKKTLVVVSHDRDFLNTVCTEIIHLHDMKLQFYRGNFDDFESGYEQRRKEMNKKFEIYEKQVKAAKRSGNRVQQEKVKDRAKFAAAKEAAKNKGKGKIDEDDRPAEAPKKWRDYSVEFHFPEPTELTPPLLQIMNVSFSYPNREDFRLSDVDLGIDMGTRVAIVGPNGAGKSTLLNLIAGDLVPTEGEVRRSQKLRIGRYSQHFVDLLTMEETPVQYLLRLHPDQEGLSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEEKSQIWVVDNGTVNTFPGTFEDYKDELQREIRAEVDE >KJB11514 pep chromosome:Graimondii2_0_v6:1:53859245:53859756:-1 gene:B456_001G262900 transcript:KJB11514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFHGAHFSNYEAWLSDPTHIGPSTQVVWPIVGQEILNGDIWRSFRITSEVQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQYVESMLNHHLAGLLRLGSLSRAGHQVHVSLPINQFLNAGVDPKEISLLYEFILNSWKILKFKLKINLD >KJB07850 pep chromosome:Graimondii2_0_v6:1:4565121:4567611:-1 gene:B456_001G047800 transcript:KJB07850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLIMDDNKEEADMNEVNGTSSHIKENVVLNKSPKSARPQSLRGAESASHLNNGMNQADTSIEQLYEDVCDMQSSDQSPSRQSFGSYGEESRIDSELCHLVGGEMREVEIMQEDIDKPEDDSNSNSSSKKGGSSSGKKSGLLEKSQSASAKSISPDHVKKSSQSQTVSEVSTKLSLKGKSPPEKPPINKRNNKILKKSNTGIVSMKKEKGSKLQNGTEDASESWLGNPDLGPFLLKQARDLVSAGDNPQKALELALRAAKSYELCANEIPNLELVVCLHVTAAIYCSLGQYNEAIPLLEQSIEIPLIEESQEHALAKFAGHMQLGDTYAMLGELENSITCYSTGLEVQKHVLGETDPRVGEICRYLAEAHVQALQFDEAQRLCQMALDIHRENGSSAVLEEAADRRLMGLICETRGDHEAALEHLVLASMSMVANGQEAEVASIDCSIGDAYLSLSRYDEAVFAYQKALTAFKTTKGENHPAIGSVFVRLADLYNRTGKLRESKSYCENALRIYEKPTLGIPPEEIASGLTDVSAIYESMNDLDQAIKLLQKALKIYNDCPGQQSTIAGIEAQIGVMYYMLGNYSESYNSFEGAISKLRACGQRKSAFFGVALNQMGLACVQCYAIHEAVQLFEEAKSILEQECGPYHLDTLGVYSNLAGTYDASGRFFNLLTVIVVIMVSTGWMMPLKYWSMLFK >KJB07849 pep chromosome:Graimondii2_0_v6:1:4564917:4568776:-1 gene:B456_001G047800 transcript:KJB07849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLIMDDNKEEADMNEVNGTSSHIKENVVLNKSPKSARPQSLRGAESASHLNNGMNQADTSIEQLYEDVCDMQSSDQSPSRQSFGSYGEESRIDSELCHLVGGEMREVEIMQEDIDKPEDDSNSNSSSKKGGSSSGKKSGLLEKSQSASAKSISPDHVKKSSQSQTVSEVSTKLSLKGKSPPEKPPINKRNNKILKKSNTGIVSMKKEKGSKLQNGTEDASESWLGNPDLGPFLLKQARDLVSAGDNPQKALELALRAAKSYELCANEIPNLELVVCLHVTAAIYCSLGQYNEAIPLLEQSIEIPLIEESQEHALAKFAGHMQLGDTYAMLGELENSITCYSTGLEVQKHVLGETDPRVGEICRYLAEAHVQALQFDEAQRLCQMALDIHRENGSSAVLEEAADRRLMGLICETRGDHEAALEHLVLASMSMVANGQEAEVASIDCSIGDAYLSLSRYDEAVFAYQKALTAFKTTKGENHPAIGSVFVRLADLYNRTGKLRESKSYCENALRIYEKPTLGIPPEEIASGLTDVSAIYESMNDLDQAIKLLQKALKIYNDCPGQQSTIAGIEAQIGVMYYMLGNYSESYNSFEGAISKLRACGQRKSAFFGVALNQMGLACVQCYAIHEAVQLFEEAKSILEQECGPYHLDTLGVYSNLAGTYDASGRLDDAIEILEHVVQMREEKLGTATPEVYDEKKRLSELLKEAGRVRSRKARSLETLLDANPHSLNGEGIKV >KJB10308 pep chromosome:Graimondii2_0_v6:1:33565300:33567650:-1 gene:B456_001G195200 transcript:KJB10308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLNTISLNYTILLIFCAPNLFCLKAPANGTGEAALAAQSSDRGGTCKSMVESNGYDCEEHWVITKDGYVLNVLRIPLGRFVGCRKARNRPPVLLQHGMLLDARSWMLLPPKRSLPFNLADNGYDVWLVNSRGTEYSDGHTSLNNYDPAYWNWSWDELVAYDLPATFQYVYNQTGQKLHFVGHSQGTLMVMAAMSRDQLLNMLRSAALLCPIAYLRHTTSLLSRFITDNFIAEALHSLGLYKFDLNDLITMRTLKIICRIPSVDCSNMFTPYTGQNCCIKRSKTEIFLDHQPQPTAMKNVIHMCQMVRRGTLTMYDYNDCDENIKHYGQPTPPAYNMTCIPNDLPIFLSYGGADALSDVNDVKLLLDCLKHHDPGKIVVQCIESYAHADYLMAEKAKEDVYDPLIAFLNKLP >KJB10031 pep chromosome:Graimondii2_0_v6:1:27767191:27767888:-1 gene:B456_001G181300 transcript:KJB10031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPKRGGVHLPARRSASNSDPSILTKITSSAIVSRGKQAACDAAFVSNKLLRSTGKAAWIAGTTFLILFVPLIIEMDREQQFNELEIQQASLLGAPPTGPPHK >KJB11703 pep chromosome:Graimondii2_0_v6:1:54991732:54993814:-1 gene:B456_001G273100 transcript:KJB11703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYQMFFPISAPSTAAQSLPLNMAPNSQAFNSFHGNSVDGFLGLKSTEDLIQKPEAKDFMKSSQKMEKKIRKPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHEGCKVKKQVQRLTKDESVVVTTYEGMHTHPIQKPTDNFEHILSQMQIYTPF >KJB07961 pep chromosome:Graimondii2_0_v6:1:5371229:5373040:1 gene:B456_001G055600 transcript:KJB07961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLSWVFVFGILGNVVSFMVSLSPLPTFYQIYKKKTSEGFQSLPYVVSLFSAMLWIYYALLKKDAMLLITINTFCCFIQSFYIVTYFYYGRKKEKLETVKLMLLFNVFGFGLVFFSTYFLHNPMTRLHILGYICMGFSLSVFAAPLAIVRKVIKTKSVEFMPFTLSVFLTLGAVMWFFYGLLLKDMNIAVPNVLGFIFGILQMILYAIYKNHPKKMVVEDPKLQLPDQHIVDVIKLESVVSSDVNTTAPQPYENRGGARGGVEAQNTKEKASDASQKV >KJB11499 pep chromosome:Graimondii2_0_v6:1:53863919:53864724:-1 gene:B456_001G263100 transcript:KJB11499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLSTLSLRSPSYPSPTTTTAHFFKTPSLQFPLRSTATTTLTHRTTFLRPLNATAAPEKIENLGTEISNLTLEEARTLVDYLQDKLGVSAAAFAPAAVAVAAPDAGGAAAPVVEEKTEFDVVIEEVPSNARIAVIKAVRGLTSLALKEAKELIEGLPKKFKEGVSKEEADDAKKQLEEAGAKVSIA >KJB08081 pep chromosome:Graimondii2_0_v6:1:6257997:6260011:-1 gene:B456_001G062400 transcript:KJB08081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSLSLLFLFTSLPLFSSSPVKDPELVVQDLHRAINVSRRNLKYLSCATGNPIDDCWRCDPNWEKNRRRLADCAIGFGKNAIGGRDGKLYVVTDSSDDDPVNPKPGTLRHAVIQDEPLWIVFARDMTIQLKEELIMNSFKTIDGRGASVHITGGPCITIQFVTNIIIHGLHIHDCKQGGNAMVRDSPRHYGWRTTSDGDGVSIFGGSHIWVDHNSLSNCKDGLIDAIYGSTAITISNNHMTHHDKVMLLGHSDSYMKDKNMQVTIAFNHFGKGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFTAPNDIFSKEVTKHEDAPESEWKNWNWRSEGDLMVNGAFFTRSGAGASSSYAKASSLGARPSSHVATITTNAGALNCKKGSRC >KJB11074 pep chromosome:Graimondii2_0_v6:1:47990332:47993196:1 gene:B456_001G240000 transcript:KJB11074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSCSFTLSPRAFNPYSSFECRPDPDFSSAPIGDKPRLASSRRVTASSLYAGGISSRGLSSLIFRFPPNFVRQLSIKARRNCSNIGVAQIVAASWSNGPAPDSPLAAAASAQAAAAAVTATVTNDGAAVEGCIDNGSVQIGGSDNSTTTFLSSDGSITVHAGERLGRGIVTDAITTPVVNTSAYFFKKTQELIDFKEKRHQSFEYGRYGNPTTVVAEEKISALEGAESTLTMASGMCASTVMLMTLVPAGGHMVTTTDCYRKTRMFIENFLPKMGISVTVIDPADVDGLEAALNKNKVSLFFTESPTNPFLRCVDIERVSKLCHSKGALVCIDGTFATPLNQKALALGADLVLHSATKFIGGHNDVLAGCISGPEKLITEIRTLHHILGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALRMAEVLEAHPRV >KJB11075 pep chromosome:Graimondii2_0_v6:1:47990205:47994648:1 gene:B456_001G240000 transcript:KJB11075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSCSFTLSPRAFNPYSSFECRPDPDFSSAPIGDKPRLASSRRVTASSLYAGGISSRGLSSLIFRFPPNFVRQLSIKARRNCSNIGVAQIVAASWSNGPAPDSPLAAAASAQAAAAAVTATVTNDGAAVEGCIDNGSVQIGGSDNSTTTFLSSDGSITVHAGERLGRGIVTDAITTPVVNTSAYFFKKTQELIDFKEKRHQSFEYGRYGNPTTVVAEEKISALEGAESTLTMASGMCASTVMLMTLVPAGGHMVTTTDCYRKTRMFIENFLPKMGISVTVIDPADVDGLEAALNKNKVSLFFTESPTNPFLRCVDIERVSKLCHSKGALVCIDGTFATPLNQKALALGADLVLHSATKFIGGHNDVLAGCISGPEKLITEIRTLHHILGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALRMAEVLEAHPRVRRVYYPGLPSHPEHEIAKQQMTGFGGVVSFEVDGDLMTTKKFVDALKIPYIAPSFGGCESIVDQPAIMSYWDLSQAERRKYGIEDNLVRFSFGVEDFEDLKADVLQALETI >KJB08508 pep chromosome:Graimondii2_0_v6:1:9128645:9132291:-1 gene:B456_001G085500 transcript:KJB08508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTISPFSLPYIHKNPSNFHHKLKNPCLSSIKTHQIQSKSGLFPIFCTSKPSTKNLKTCKNCKTQFDPLLNHPRACHFHTAHFGGETKRKFESVYAGGTMDTPESGKVFQYWHCCGSEDPFDPGCTAAPHASYDD >KJB08509 pep chromosome:Graimondii2_0_v6:1:9130307:9132291:-1 gene:B456_001G085500 transcript:KJB08509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTISPFSLPYIHKNPSNFHHKLKNPCLSSIKTHQIQSKSGLFPIFCTSKPSTKNLKTCKNCKTQFDPLLNHPRACHFHTAHFGGETKRKFESVYAGGTMDTPESGKVFQYWHCCGSEDPFDPGCTAAPHASYDD >KJB10406 pep chromosome:Graimondii2_0_v6:1:35832785:35842158:1 gene:B456_001G199400 transcript:KJB10406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQNQTDLFDAYFRKADLDGDGQISGAEAVAFFQGSNLPKHVLAQVWMYADQKKLGYLGRQEFYNALKLVTVAQSKRELTPDIVKAALYGHASAKIPAPQINIAATPAAQPKVATVPSQASGNASVPSQNFGLKGAPGLGNVGVNQQYVQPLQSQVARPSQTMPPSTASQTQVALPAEGMLRGSNMVAPSRLPTSNSSTNWQSGSGFPTGVSNQVHNRSGSPSTSQGFGLTASALTSSMQPRPQATPGQISSTAPNPKDSSIPSSQSGADNPKALVVSGNGFASDSLFGDVFSATSLQSKQISLATTSSATSSTVSTSVPASGSHPPAKSSPAEPLQGTLSQQSVGGQYHPGHPTGRQYQQVAVQSNAAPGSSGFPTGPGNLASGQSTQTQPPWPKMTQSDIQKYTKVFVQVDADRDGKITGDQARNLFLSWRLPREVLKQVWDLSDRDNDSMLSLREFCTALYLMERYREGRPLPSVLPSTIISDETLLSTSGHPPASYGNVAWRPSPGFQQPQASSAPRPPLPSARGRPPRPGPGPQTDAQPLPTQQKSKVPVLEKNLVDQLSQEERDALNSKFKEATEANKKVEELEKEIQDSRAKTEFFRAKMQELILYKSRCDNRLNEITERASADKREVEGLSKKYEEKYRLSGDVASRLTIEESTFRDIQEKKMELYQAIVKLEQGDNKDGALQDRANHIQSSLEELVKSVNERCKQYGLRAKPTSLVELPFGWQPGIQEGTADWDEDWDKFEDEGFTFVKELTLDVQNVIAPPKPKSSLVQKETAADADSDKVPDTSERIPEKDFAVDHSEDGVAKSSSGNPAVTTDTQSQEFQDSHVTSSGGEGSPHAQKASGPYESPRAKKTGDNDSSALAKESRSDQGGAESLFSEDKGFDEPSWGKFDSQHTDAIWGFDSERGTEMDPERHDDNSIFGLGGFNIKPIKTQSQSENLFPGKGPLFADSVPSTPAYAGDMFQGKSSSVFGESVPSTPAYADNMFQGKGSSVFADSVPSTPAYADSMFKGKSSSLFADSVPSTPAYADNLFKGQGSSIFGDSVPSTPAYADNLFKGKGSSIFADSVPSTPAYADNMFKGKSSSIFADSVPSTPAYHYGSSQQGFGEGSDGYSFDSFSRSDSFNMQDGGLFQSRTFDRFDSMRSSTDFDQGYGFPPLRFDSFNASDQPESGLNKSPRHSLTRFDSMRSTTGFDHGHEFSSFDDADPFGSTGPFRTSLESQTPRRDANKWSAF >KJB11487 pep chromosome:Graimondii2_0_v6:1:53735153:53736802:1 gene:B456_001G261800 transcript:KJB11487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETIVLYPSPGLGHMVSMVELGKLILHHYNNRYCITILLTTGLWDTPTIISYINSVSQAFPSISFFRFPSISIDLSQNCSGAAIFFHFIRLHAPNVLHSLQQISKSHKISAFIIDLFCTSALSLGKDLNIPTFYFFTSGASTLAAFLQIPKLDKQTFGRSFKDLPNTVFHFEGVPSLRAVHMPEPLLDRDDPAYHDFMYFMSSLQQSDGIIVNTFEDLEPISIKAIANGLCLPDAPSTPPTFYIGPLIAPSKHEAENDYCLSWLEKQPSQSVVFLCFGSRGTFYPPQVKEIAKGLENSAQRFLWVVKDPPNHGKTKQAEDNPDVDLDSLLPEGFMERTRDRGLVVKSFAPQVAVLNKDSIGGFVTHCGWNSVLEAVVAGVPMIAWPLYAEQHLNRNILVEDMKMAIPVEQMEGDGFVSGTELEKRVRELMESEKGEELREKSRKMKEKALAAMGPSGSSTKALTKLVELWK >KJB07984 pep chromosome:Graimondii2_0_v6:1:5499195:5502334:-1 gene:B456_001G056800 transcript:KJB07984 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-beta-hydroxysteroid dehydrogenase-like 6 [Source:Projected from Arabidopsis thaliana (AT5G50770) UniProtKB/Swiss-Prot;Acc:Q9LUE4] MDLADKLMNVIVITISLLALFFLHQYRFLNSLISTARTLFKENLTGKVVLITGASSGIGEHLAYEYARRGARLALVARRKRRLQEVADVCEIIGSPESVYILGDVSNIDDCKRFIDATVNHFGQLDHLVANAGVAPVCLFEDYDDITKASPAIDINFWGSVYSSYFAQQHLKKSKGKIIVISSCAGWVFAPRTAFYNASKAALISFYETLRIEFGTRIGITIVTPGFIDTEMTEGKFLSKEGRLEVDREMRDVQVSLMPVESVEKCAKAIVESARRGDRYLTVPSWMETTILWKVFCPEIMDGWNRFMILGPGSSYRDSPSKKILHVVNEIKQLLLP >KJB07871 pep chromosome:Graimondii2_0_v6:1:4713101:4715364:-1 gene:B456_001G049600 transcript:KJB07871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWINEDSTYKYFEVILVDPAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHLHHKARPSRRATWKRNNTLSLRRYR >KJB06203 pep chromosome:Graimondii2_0_v6:1:11896706:11897339:1 gene:B456_001G105600 transcript:KJB06203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNVCSSSAKKQSQSQSLPPRRGQVKMRIFKTILKSVTSMASPKESVPHLSSTSTTAAPTPTGYLSD >KJB10518 pep chromosome:Graimondii2_0_v6:1:40056650:40059803:-1 gene:B456_001G205600 transcript:KJB10518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKQEGDLPLFGFHDPESFVQSIQKPRVIIMLVKAGAPVDQTIKTLSAYMEKGDCIIDGGNEWYENTERREKEMSGLGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVSDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELQSVFSEWNRGELLSFLIEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAADLSIAAPTIAASLDSRFLSGLKEERVEAAKVFKSGGFGDVLTDQTVDKAKLIDDVRQALYASKICSYAQGMNLIHAKSIEKGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNPDLANLLVDPEFAKEIIDRQFAWRRVVCLAINSGISTPGMSSSLAYFDTYRRERVPANLVQAQRDYFGAHTYERVDMEGSFHTEWFKIAKQLKN >KJB10517 pep chromosome:Graimondii2_0_v6:1:40056650:40059485:-1 gene:B456_001G205600 transcript:KJB10517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDLRNFSLFSSSILLGRTMAPPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKQEGDLPLFGFHDPESFVQSIQKPRVIIMLVKAGAPVDQTIKTLSAYMEKGDCIIDGGNEWYENTERREKEMSGLGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVSDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELQSVFSEWNRGELLSFLIEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAADLSIAAPTIAASLDSRFLSGLKEERVEAAKVFKSGGFGDVLTDQTVDKAKLIDDVRQALYASKICSYAQGMNLIHAKSIEKGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNPDLANLLVDPEFAKEIIDRQFAWRRVVCLAINSGISTPGMSSSLAYFDTYRRERVPANLVQAQRDYFGAHTYERVDMEGSFHTEWFKIAKQLKN >KJB06151 pep chromosome:Graimondii2_0_v6:1:2990924:2992627:1 gene:B456_001G0323002 transcript:KJB06151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDALLAKQAWKLITKPQGFTQSILLAKDCTEQNFLQPFAPFYVYFSLNPIWSWGNFNINTCTIATLRNDRHHCRSFTYFSFRVLVPPGLCFHQLKSAPLCSPRAKFMAHTLGFTQPANIQHPK >KJB06152 pep chromosome:Graimondii2_0_v6:1:2992266:2992627:1 gene:B456_001G0323002 transcript:KJB06152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTLGFTQPANIQHPK >KJB07712 pep chromosome:Graimondii2_0_v6:1:3729111:3735387:1 gene:B456_001G040200 transcript:KJB07712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIPIDNDVSTCAVCSNPASKKCSRCKSVRYCSLTCQKVHWKDGHKTKCKVNSAQTANTKSFSGVALVPARGTSTISKKPTEVLFPYNEFVELFNWEKPGFPPRGLLNCGNSCFANVVLQCLASTRPLVAYLLEKGHRKECRRNDWCFLCELQIHIERSSQSLHPFSPTNILSRLPNIGGNLGYGRQEDAHEFMRFAIDTMQSVCLDEFGGEKAVDRSSQETTLIQHIFGGHLQSQVICTNCNKISNQCENMMDLTVEINGDASSLEECLDQFTVKEWLHGENMYKCDGCNDYVKAWKRLTILWAPNILTIALKRFESGRFGKLNKRVSFPETLDLTSYMSGDGDDTNVYKLYAVVVHLDMLNASFFGHYICYTQDFNGNWYRIDDCKVMRVELAEVLSQVAYMLLYSRVSVRPSCLKTSGTQGNDEQKSMKALVEHCPKEQIQCLPENESITSSLSPSLSLNGSLHSEIPGLKVESSTGRNTDAQHQDGDVVRLKSNSSLSEEVFFYENNSCLQIDSEVIQINGDDMDKVNSIAVTGNPENMDRISTLPCSTDMKEICRSDKDLFDATNSEDI >KJB10322 pep chromosome:Graimondii2_0_v6:1:33769089:33770083:1 gene:B456_001G195800 transcript:KJB10322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDPPHLSTWGPSHFSISLRISPFQLIHCINNWCGQHGSNPEPEEFAVISMLRFPSSSSPTLVNSLGSSTLVQKQ >KJB08957 pep chromosome:Graimondii2_0_v6:1:13703544:13737700:1 gene:B456_001G115700 transcript:KJB08957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSNASDYFEFDTEGGNAESFHRPSNAEVVLQDEEDLMWEAISRLPSMKQGRFAILKRTSSEIEHDTEGERESGTAETIDVTRLDRSRRELVVKKALATDDQDNYKLLSAIKDRLDRVGLEVPKVEVRFQNLNIEANAQIGSRALPNLLNVARDFFERILIGLRILRPNKCRLHILKDISGIVKPGRMTLLLGPPGSGKSTLLLALAGKLDYKSLKVSGDITYNGTNLNEFYVRRTSAYISQTDNHLPELTVRETFDFAARCQGASEGMAGCMKELTKLETENNIRPTPEIDAFMKASSVGGKKHSISTDYILKVLGLDVCSETVVGSDMQRGVSGGQRKRVTTGEMIVGPRKTLFMDEISTGLDSSTTFQIVKCVKNFVHLMEGTVLMGLLQPAPETYELFDNIMFISEGYMVYQGPREDVLEFFESLGFKLPPRKGVADFLQEVTSKKDQAQYWVDPSKPYEFIPVSKMADAFKNSRFGRSLESTLNVPYDKSRIHPSALSKTKYAAPKWELLKSCFAREKLLITRHRFLYIFRTCQVAFVAFVTSTLFFRTRLHPRDENDGNLYLSCLYFGVVHLMFNGFTELPLMIFRLPVFYKQRDNLFHPPWVWSIVSWIIRVPYSVFEAVVWSCVSYFTVGFAPSAGRFFRFLLLKFVVHQMSIGLFRTLAALARDIVVANTFGSAALLIVMLMGGFLMPKDQIKPWWVWATWLSPLQYAQRAISVNEFTATRWKKISATGNNTVGYNVLHSHALPSAGYWYWLGVGVLIGYALLFNIIVTLALAYLNPLGKAPAIVPDDTENSGAENVELESASPSAQGSSKKGMILPFEPLAMTFHNLNYFVDMPAEMSAQGIPETRLQLLSNVSGVFTPGVLTALVGSSGAGKTTLMDVLSGRKTGGYIEGDIKISGYPKVQETFARISGYVEQNDIHSPQVTVEESLWFSSSLRLPKEISKDQKIEFVEEVMRLVELDTLRNAIVGLPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGKLGVRSQILIDYFQSIDGIPSIPDGYNPATWMLEVTNPAVEQRTDRDFADIYQNSEQYREVEGSITRLSVPPPDSQPLKFSSIYSQDQLSQFLICLKKQSLVYWRSPRYNLVRLVFTMVCALIYGSVFWDVGNQRHTTKGLFMVMGALYSSCIFLGVNNASSVQPIVSIERTVFYRERAAGFYAPISYAAAQGLVELPYILVQTILFGVITYFMINFERTATYGISPLFCLLLFMESPLWFSCPKAKNSRMVDLVLLHLSCCMELKGYCQLSAWRCRNHDC >KJB08956 pep chromosome:Graimondii2_0_v6:1:13703544:13737700:1 gene:B456_001G115700 transcript:KJB08956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSNASDYFEFDTEGGNAESFHRPSNAEVVLQDEEDLMWEAISRLPSMKQGRFAILKRTSSEIEHDTEGERESGTAETIDVTRLDRSRRELVVKKALATDDQDNYKLLSAIKDRLDRVGLEVPKVEVRFQNLNIEANAQIGSRALPNLLNVARDFFERILIGLRILRPNKCRLHILKDISGIVKPGRMTLLLGPPGSGKSTLLLALAGKLDYKSLKVSGDITYNGTNLNEFYVRRTSAYISQTDNHLPELTVRETFDFAARCQGASEGMAGCMKELTKLETENNIRPTPEIDAFMKASSVGGKKHSISTDYILKVLGLDVCSETVVGSDMQRGVSGGQRKRVTTGEMIVGPRKTLFMDEISTGLDSSTTFQIVKCVKNFVHLMEGTVLMGLLQPAPETYELFDNIMFISEGYMVYQGPREDVLEFFESLGFKLPPRKGVADFLQEVTSKKDQAQYWVDPSKPYEFIPVSKMADAFKNSRFGRSLESTLNVPYDKSRIHPSALSKTKYAAPKWELLKSCFAREKLLITRHRFLYIFRTCQVAFVAFVTSTLFFRTRLHPRDENDGNLYLSCLYFGVVHLMFNGFTELPLMIFRLPVFYKQRDNLFHPPWVWSIVSWIIRVPYSVFEAVVWSCVSYFTVGFAPSAGRFFRFLLLKFVVHQMSIGLFRTLAALARDIVVANTFGSAALLIVMLMGGFLMPKDQIKPWWVWATWLSPLQYAQRAISVNEFTATRWKKISATGNNTVGYNVLHSHALPSAGYWYWLGVGVLIGYALLFNIIVTLALAYLNPLGKAPAIVPDDTENSGAENVELESASPSAQGSSKKGMILPFEPLAMTFHNLNYFVDMPAEMSAQGIPETRLQLLSNVSGVFTPGVLTALVGSSGAGKTTLMDVLSGRKTGGYIEGDIKISGYPKVQETFARISGYVEQNDIHSPQVTVEESLWFSSSLRLPKEISKDQKIEFVEEVMRLVELDTLRNAIVGLPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGKLGVRSQILIDYFQSIDGIPSIPDGYNPATWMLEVTNPAVEQRTDRDFADIYQNSEQYREVEGSITRLSVPPPDSQPLKFSSIYSQDQLSQFLICLKKQSLVYWRSPRYNLVRLVFTMVCALIYGSVFWDVGNQRHTTKGLFMVMGALYSSCIFLGVNNASSVQPIVSIERTVFYRERAAGFYAPISYAAAQGLVELPYILVQTILFGVITYFMINFERTARKFFLYLLFMFLTFTYFTFYGLMAVGLTPSQHTASVLSSAFYSLWNLHSGFLVPKPRIPGWWIWFYYICPVAWSLKGIVSSQLGDVETMIVEPTFKGTVKEYVSTSFGIDVGMMGTTVAVLLGFCILFFSVFALSIKFLNFQKR >KJB08699 pep chromosome:Graimondii2_0_v6:1:11059629:11060170:-1 gene:B456_001G098500 transcript:KJB08699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDHLILLHFLRAITLCYKIRKKEGFHYQYFHCLVFLKIFLFLSTLLQPVAFTIITLMGFLLNSETAYLLSEAFSQAFNATSNVLRKFNDSDMHLLKLWIINSEKFYWFRVSPFFIVCFIPTF >KJB10451 pep chromosome:Graimondii2_0_v6:1:38955306:38957098:-1 gene:B456_001G202000 transcript:KJB10451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINFPFSTSRQNPSLMLPTPPTFTKMASMAFPVVQSPRNSTPIFSSNKEQTQNWNALKFVRGKKLLKDLSTWGIGGPCNYFVQVFHQTHLLSAIRYCREFSIPYVVIGKGSNCLFDDLGFDGCVILNQIDFLERMEPGVYRAGSGFRFNHLGSLSCNEGFTGLEFAAGIPGTVGGATYMNAGANGQETANVIESVDIVTTEGNFRTLNRIDLTFGYRSSSFQDMDNLAAIVAATFRLERSGSAKKRQQELLKRRRATQPVNERSAGSVFRNPVNLGVSAAELIDKAGLKGCSIGGAMVSNIHANFLVNNGASTSQDMLNLIALVKDKVDSKFGVELEEEVLYFHPYWNYANWER >KJB11548 pep chromosome:Graimondii2_0_v6:1:54073061:54073583:-1 gene:B456_001G264500 transcript:KJB11548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVRDRNLPHQVQVHPQYRFDNTTGGGYGAKNYHSGPSTSQVLAVLTLLPIGGTLLALAGLTLAGTVIGLMLATPLFIIFSPVLVPAAIAIAMAVTGFLSSGAFGLTGLSSLSYVLNRLRYATGTEQLDLDHAKRRVQDMAEYVGQKTKEVGQKIENKAHEGQVGRT >KJB06099 pep chromosome:Graimondii2_0_v6:1:9654:9809:-1 gene:B456_001G0003003 transcript:KJB06099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEVMVAGSIDDSF >KJB06098 pep chromosome:Graimondii2_0_v6:1:9654:9797:-1 gene:B456_001G0003003 transcript:KJB06098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEVMVAGSIDDSF >KJB10912 pep chromosome:Graimondii2_0_v6:1:46904944:46905631:1 gene:B456_001G231900 transcript:KJB10912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAALAISESEFESNNYGMVAATESSVREMLKRFKVEDGEQQDCLVCLEEQGVGFEASRMPCFHIFHGDCIGKWLQQSHYFPICRFEMPTH >KJB08363 pep chromosome:Graimondii2_0_v6:1:8159799:8162131:1 gene:B456_001G078800 transcript:KJB08363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDDHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDSGDTKDDLRLPTDENLLKQVN >KJB08362 pep chromosome:Graimondii2_0_v6:1:8159799:8162131:1 gene:B456_001G078800 transcript:KJB08362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDDHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVPHVNRTDYQLIDISEDGFVSLLTDSGDTKDDLRLPTDENLLKQIKDGFGEGKDLVVSVMSAMGEEQICALKDIGPK >KJB08360 pep chromosome:Graimondii2_0_v6:1:8159707:8162131:1 gene:B456_001G078800 transcript:KJB08360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDDHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDSGDTKDDLRLPTDENLLKQIKDGFGEGKDLVVSVMSAMGEEQICALKDIGPK >KJB08361 pep chromosome:Graimondii2_0_v6:1:8160030:8160958:1 gene:B456_001G078800 transcript:KJB08361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDDHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSELAD >KJB08074 pep chromosome:Graimondii2_0_v6:1:6336791:6340120:-1 gene:B456_001G063600 transcript:KJB08074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSPSFKFHSFSCQTPKPIVKKSYSMDFQFILTLSFIAFTLMVFKYKARTRRLPPGPWKLPIIGNLHQLGDSSHKSIQRLSQQYGPMMFLQLGAVPTLVISSADAAMAIFKGPGGGYDLAFSGRPTNLYVAKKLSYEYNGITFAPYGELWREMRKIAVAELLSSKRVQSFRTIREEEVAAMLNHIDIASSSSAPVNLKKLSLLLANHVVCRVTFGKKYGGGGDGGTNRFDRVLHEVQHLVGEFVVSDYFPWMWWVNKLNGMETRVEKNFEELDKLYDEVIADHVAPTRTKANHEDIVDVLLRLQKDARQLITLNNQQIKGVLTDMFIAGTDTTASSLVWTFTELIRNPPSMEKVKYEVRKVGNGRDKIEESDIPKLHCLHSVIKETLRLHPPAPLLVPRETTEDCVVGDYEIPAKTRVIINAKSIGTDPKYWENPHDFQPDRFMKSSVDFKGQHLEFLPFGVGRRGCPGMSFAIMLLQLMVANFLYRFDWELPEGMSVEDVDMEEELGITVFKKTPLCLVPIRVV >KJB09328 pep chromosome:Graimondii2_0_v6:1:17605306:17607373:1 gene:B456_001G134900 transcript:KJB09328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFDTILLRDLVAAACLFFITRYFIRRLLSNPKRTLPPGPKGWPIVGALPLLGSMPHVELAKLAKKYGPVMYLKMGTCNMVVASTPDAARAFLKTLDLNFSNRPSNAGATHIAYNSQDMVFAEYGPRWKLLRKLSNLHMLGGKALEDWSQVRAVELGHMLRAMCESSRKGEPVVVPEMLTYAMANMIGQVILSRRVFVTKGSESNEFKDMVVELMTSAGLFNIGDFIPSIAWMDLQGIEGEMKKLHNRWDVLLTKMMKEHEETAYERKGKPDFLDIIMDNRENSAGERLSLTNVKALLLNLFTAGTDTSSSIIEWALAEILKNPKILNKAHEEMDKVIGRNRRLEESDIPKLPYLQAICKETFRKHPSTPLNLPRVSTQACEINGYYIPKNTRLSVNIWAIGRDPDVWGNPLDFTPERFLSGRFAKIDPRGNDFELIPFGAGRRICAGTRMGIVLVEYILGTLLHSFDWMLPPGNGELNMDEAFGLALQKAVPLSAMVRPRLAPTAYVS >KJB09572 pep chromosome:Graimondii2_0_v6:1:20623412:20625949:-1 gene:B456_001G150200 transcript:KJB09572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLSLGSCNNIEESNTGSSRCIEKEYMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSSNEKGLLLNFEDRNGKSWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGVGESGKHRLYIDWRRRPNAPNPTSFAHFQMQNQFNFPQSVRWGGGIYSLPQSYEPLHRLNYSIYPYNHHHQRQQHQQLQQQQHQAITYGNVAQSQYYLRSSSSGSYHHHHHHQPHQTGAMQEGRVEPMVIESVPVVQGNKTAAKRLRLFGVNMECPTPTTDESSSSSPHATIVSDHDSPYFPSSSLQSRLPNNNTPFPLSRMQAEYSKKGKSSLSFDLDL >KJB09573 pep chromosome:Graimondii2_0_v6:1:20620058:20625949:-1 gene:B456_001G150200 transcript:KJB09573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLSLGSCNNIEESNTGSSRCIEKEYMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSSNEKGLLLNFEDRNGKSWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGVGESGKHRLYIDWRRRPNAPNPTSFAHFQMQNQFNFPQSVRWGGGIYSLPQSYEPLHRLNYSIYPYNHHHQRQQHQQLQQQQHQAITYGNVAQSQYYLRSSSSGSYHHHHHHQPHQTGAMQEGRVEPMVIESVPVVQGNKTAAKRLRLFGVNMECPTPTTDESSSSSPHATIVSDHDSPYFPSSSLQSRLPNNNTPFPLSRMQAEYSKKGKSSLSFDLDL >KJB10826 pep chromosome:Graimondii2_0_v6:1:47364657:47366562:-1 gene:B456_001G235900 transcript:KJB10826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAQFCYIIGPSNLRQKYSSFRFCFQNPVYRKNAPSNIRTRFHAKPSSVSVSSSIVCSAANKPSSSSQVSSAAKIRSEVLSPFRSVRMFFYLTFIASGSLGGLIATTQLISSLTNPARSSEVPDILTGLGIDIGAVSIFAFLYFRENTAKNAQLARLSREESLSNLKLRVNQNKIISVSSLRGIARLVICSGPASFILESFKLSEPFTESLLERGVLVVPFATDGNSPSLDFDESEDMKEITEKRKRLWQLAPVYVSEWTEWLDEQKKLAGISPESPVYLSLRLDGRVRGSGVGFPPWNALVAQLPPVKGLWSGLLDGMDGRVL >KJB10828 pep chromosome:Graimondii2_0_v6:1:47364217:47366656:-1 gene:B456_001G235900 transcript:KJB10828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAQFCYIIGPSNLRQKYSSFRFCFQNPVYRKNAPSNIRTRFHAKPSSVSVSSSIVCSAANKPSSSSQVSSAAKIRSEVLSPFRSVRMFFYLTFIASGSLGGLIATTQLISSLTNPARSSEVPDILTGLGIDIGAVSIFAFLYFRENTAKNAQLARLSREESLSNLKLRVNQNKIISVSSLRGIARLVICSGPASFILESFKLSEPFTESLLERGVLVVPFATDGNSPSLDFDESEDMKEITEKRKRLWQLAPVYVSEWTEWLDEQKKLAGISPESPVYLSLRLDGRVRGSGVGFPPWNALVAQLPPVKGLWSGLLDGMDGRVL >KJB10827 pep chromosome:Graimondii2_0_v6:1:47364217:47366628:-1 gene:B456_001G235900 transcript:KJB10827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAQFCYIIGPSNLRQKYSSFRFCFQNPVYRKNAPSNIRTRFHAKPSSVSVSSSIVCSAANKPSSSSQVSSAAKIRSEVLSPFRSVRMFFYLTFIASGSLGGLIATTQLISSLTNPARSSEVPDILTGLGIDIGAVSIFAFLYFRENTAKNAQLARLSREESLSNLKLRVNQNKIISVSSLRGIARLVICSGPASFILESFKLSEPFTESLLERGVLVVPFATDGNSPSLDFDESEDMKEITEKRKRLWQLAPVYVSEWTEWLDEQKKLAGISPESPVYLSLRLDGRVRGSGVGFPPWNALVAQLPPVKGLWSGLLDGMDGRVL >KJB11103 pep chromosome:Graimondii2_0_v6:1:48065158:48067044:-1 gene:B456_001G240800 transcript:KJB11103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWPILRAFPSFSPRYLRPFIRICAQRSALATGKKLHAMVLATGLSTTENSFLLNTLLHLYASCGDISSAQKLFDEIPHSSKDAADWTSLMSSFSRQNRPHDSLLLFAQMLEKGAEIDDVAMVCLFSACAWLRDVGVGSQAHGRVVKMGFERRVKVCNALMDMYGKSGMVEEMRRVFSEMKEKNVVSWTVVLDGVLKWEGVSNGRQVFDDMPHCNEVAWTIMIVGYVGNGFSKEGFLLLSQIVFHLGIKLNYITLCSLLSACAQSGDVAMGRWVHVYGLKMMGMEMDIMGETALLDMYAKCGRIDTAIKVFECMPRRNVVSWNALLSGLAMHGRGQLVINMFPRMIKEVMPDDLTFIAVLSACSHSGMIDQGSYYFDSLQSVYGITPKIDHYACMVDLLGRAGRLEEAETLINKMPIPPNEVVLGSLLGSCNSHGKLQLAERLLQKLILMNPRNTEYHILLSNMYALAGKPDKASALRKVLKTKGISKVPGMSSIHVDGQLHQFSAGDKSHPKTREIYIMLEDMIQRLQSAGYVPNLSAQVFPGDDAGVELEKEQALFSHSEKLAVCFGLLSTEPGKPLYIFKNIRICRDCHAAIKIVSKIYDREIIVRDRNRFHRFKQGSCSCSDFW >KJB06232 pep chromosome:Graimondii2_0_v6:1:2741645:2742076:1 gene:B456_001G029100 transcript:KJB06232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKMWHRQSSGRETITLGRSYTQRGIKDDRSKPKWTNFWRKLRKERKKLFSSGGTFQASYEPDAYSQNFDQGTGWAEPDNLSRSFSARFADPSRISRAKL >KJB08822 pep chromosome:Graimondii2_0_v6:1:11937695:11938621:-1 gene:B456_001G106000 transcript:KJB08822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCMLVVSLILEIIFIALFSSHPVVAANSKLFREYIGAEDKGVTFLDVPINEDVDFHFILSFAIDYTTSSSSPPSPTNGDFRVYWDTQNLNPSHVSSLKTHHRNVKVAMSLGGDTIANNEKVYFSPKTINSWVRNAIHSITDISRQYHLDGIDIDYEHFHADADTFAECIGRLLFFLKQNGVVSFASIAPYNDDSVQPHYLALWRKYGHLIDYVNFQFYAYEKGTNISQFLKYFDEQSSNYRGGKVLLSFGTDGSGGLSPESGFFMACRRLKHQGKLHGIFIWSADDSMKDGFRYEKRSQTLLAKSI >KJB08725 pep chromosome:Graimondii2_0_v6:1:11232922:11233446:1 gene:B456_001G100400 transcript:KJB08725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQYPDNGYPNGWSTHNSDYGGGSSSTTAVWIAAGVLIAILVLLVVYYFARKGKLWCFSCKIEFGSGHTHHGSSKC >KJB11294 pep chromosome:Graimondii2_0_v6:1:52354498:52354872:-1 gene:B456_001G252000 transcript:KJB11294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRELLEFRTPQHPSLGTNNWGGGSPLLARDIPKESLEQRYLRLNSMRNRDEIFPANADHFNCNTPTQAGKHGQAPTPSRRGRGFLSKLMFPWKETATLGANSSRRRWFPRWDPKNRWPQGWC >KJB08329 pep chromosome:Graimondii2_0_v6:1:7987137:7991444:-1 gene:B456_001G077200 transcript:KJB08329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWFVAVAAAAAAGYFAKYWQNLTTDRDGFPKVSSVDSGIGKVVTGKDLVHKFAMIRKLREDVSDRKISDVYGLNVASAAEIDSASGFNSEKIGSSGSLSPGLLTNESLRENQCGKELIADCGSDSAKASIARIDSFNEPMHKRSSLKAKYSHGRSLKPLSSLDSCLMAQLHMQHVKMEEYVLSCLPSPTTPILRPLLITDGSRIINRTSGDFSTGSNGTWDSKLHNLATFEKNRYVYADPPLPKIDSSDLPEKLKFKSGNECDGRPSIPCKINTEKQFHAQWGRHDRAVLFCLGISIGIICSYIGNKREVEKLRGLLKQTENLVQDLQEELEMKDSLTVKELANENYESQEACDNSCPDRAMNSSPLEQNTDNLTRFDGKESHHQKVEESPESMSKIEAELEAELERLGLNMNVLNLEQRLPDLGEIDPDFVADFAEGELRSDMVSGQAHGQSMSNENRSGTSTTHSGNYAVSPRELTLRLHEVIRSRLEDRVQELETALENSQRKVKLMESEHKNPNNKWKYLTTESPLVNEDLHWTSKPQVPKLSWETPDHAYNEAFEEAKEEGTPLYIYREKLAWGDQYDANKSDETFYDQVRISEDQSSRVQELLDVDVREDECSDNDDEMEKLLIQQIVEKTKKGSPVLLNAQRLLFSMDEI >KJB08328 pep chromosome:Graimondii2_0_v6:1:7987137:7991994:-1 gene:B456_001G077200 transcript:KJB08328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWFVAVAAAAAAGYFAKYWQNLTTDRDGFPKVSSVDSGIGKVVTGKDLVHKFAMIRKLREDVSDRKISDVYGLNVASAAEIDSASGFNSEKIGSSGSLSPGLLTNESLRENQCGKELIADCGSDSAKASIARIDSFNEPMHKRSSLKAKYSHGRSLKPLSSLDSCLMAQLHMQHVKMEEYVLSCLPSPTTPILRPLLITDGSRIINRTSGDFSTGSNGTWDSKLHNLATFEKNRYVYADPPLPKIDSSDLPEKLKFKSGNECDGRPSIPCKINTEKQFHAQWGRHDRAVLFCLGISIGIICSYIGNKREVEKLRGLLKQTENLVQDLQEELEMKDSLTVKELANENYESQEACDNSCPDRAMNSSPLEQNTDNLTRFDGKESHHQKVEESPESMSKIEAELEAELERLGLNMNVLNLEQRLPDLGEIDPDFVADFAEGELRSDMVSGQAHGQSMSNENRSGTSTTHSGNYAVSPRELTLRLHEVIRSRLEDRVQELETALENSQRKVKLMESEHKNPNNKWKYLTTESPLVNEDLHWTSKPQVPKLSWETPDHAYNEAFEEAKEEGTPLYIYREKLAWGDQYDANKSDETFYDQVRISEDQSSRVQELLDVDVREDECSDNDDEMEKLLIQQIVEKTKKGSPVLLNAQRLLFSMDEI >KJB11079 pep chromosome:Graimondii2_0_v6:1:48037495:48041723:1 gene:B456_001G240300 transcript:KJB11079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSCSFTLSPRIFNPYSSFECRPDPDFSTAPIGDKPRLASSRRVTASSLYAGGISSRGLSSLIFCFPPNFVRQLSIKARRNCSNIGVAQIVAASRSNGPAPDSPFLAAAASAQAAAAAVNATATNDGAALEGCIDNGSVQIGGSDNSTTTFLSSDGSITVHAGERLGRGIVTDAITTPVVNTATYFFKNTQELIDFKEKRRQSFKYGRYGNPTTVVAEEKISALEGAESTLIMASGMCASIVMLMTLVPAGGHMVSTTDCYRKTRMFIENFLPKMGISVTVIDPADVDGLEAALNKNKICLFIFTLEMTIHPLECVDIERVSKLCHSKGALVCIDGTFATPLNQKALALGADLVLHSATKFIGGQNDVLAGCISGPEKLITEIRTLHHILGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALRMAEVLEAHPRVRRVYYPGLPSHPEHEIAKQQMTGFGGVVSFEVDGDLMTTIKFVDALKIPYIAPSFGGCESIVDQPAIMSYWDLSQAERRKYGIEDNLVRFSFGVEDFEDLKADVLQALETI >KJB11080 pep chromosome:Graimondii2_0_v6:1:48037495:48040361:1 gene:B456_001G240300 transcript:KJB11080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSCSFTLSPRIFNPYSSFECRPDPDFSTAPIGDKPRLASSRRVTASSLYAGGISSRGLSSLIFCFPPNFVRQLSIKARRNCSNIGVAQIVAASRSNGPAPDSPFLAAAASAQAAAAAVNATATNDGAALEGCIDNGSVQIGGSDNSTTTFLSSDGSITVHAGERLGRGIVTDAITTPVVNTATYFFKNTQELIDFKEKRRQSFKYGRYGNPTTVVAEEKISALEGAESTLIMASGMCASIVMLMTLVPAGGHMVSTTDCYRKTRMFIENFLPKMGISVTVIDPADVDGLEAALNKNKICLFIFTLEMTIHPLECVDIERVSKLCHSKGALVCIDGTFATPLNQKALALGADLVLHSATKFIGGQNDVLAGCISGPEKLITEIRTLHHILGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALRMAEVLEAHPRV >KJB11427 pep chromosome:Graimondii2_0_v6:1:53210609:53214264:1 gene:B456_001G258200 transcript:KJB11427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMSPKSSSGRFRKWFKRKHKNKQKHKQPDPYNYLDGLSDGEDEGDYIMEDVYISSFEMDPCTSTNELRIFVGTWNVAGRSPVGSLAVDLEEWLKPQDAADIYVLGFQEIVPLKTRTVIGAEDPTEATNWNLLIGKTLNENFGCPWLTPMLNPVSSDNYQYVKVPDCERRASFSGVIDSTLMRGRWITRYHQQLVLGGSKYKLMASKKMVGVFISVWMKKELLKKYCVSNVKVSSVACGIMGYLGNKGSVSVSMSIEGTSFCFIAAHLASGEKNGDEGRRNRQVSEIFKRTSFPRSAKDDDNRHPLTIFGHDQIFWFGDLNYRLDLEDNLARDLIKKQDWKALQGFDQLRKEQEDGGVFEGWREGNIKFAPTYKYSSSNCNRYSGGLPNRSGEKQRTPAWCDRILWYGKGVKLLSYFRSESKFSDHRPVSAQFSTQIEVMKSTNSRFLDKETNFHNIMPPEQIGPSINNEEGKPTLLSLIVKDTESSSTHMQVDTNY >KJB09726 pep chromosome:Graimondii2_0_v6:1:23179137:23181291:-1 gene:B456_001G160200 transcript:KJB09726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIQAEDLFEVKVEIIQQMADLDPTGDWMGRGARALENPHTATGEDAYRGAEPAPTPQVRVGPAIGSNPHEMPIYELFHYSLFPGLFVAFTYNKKQPPAFGAAPAFWCILLSFLGLSFRHIPNNLSNYNVLTANAPFFYQISGTWSNHEGSILSWCRIPNFYGFLLCYRGRPQRHNVSKRGGHRETIFSFFVSNFVKNSILSLPRYEQKSGAAQKLYTPFVLRTFVDSELCSRRNRTFDGPALFYAPLYPERKMSFSPLGARRSRGSREGKRTHPLLHLARDDKERASSIDEQRIDGALGIALFFSPFLSVSSDPFVRNFFVRTEPLAESNPVPQDPISAIHPPCIYAGDVASAMGFGLCRSKMMNGIVALHSPPMRKDAAEKNGTLLCSAGCVGSRITSELFTLKFKHVGAKCYPALLLRSNRSPLMLLRRRFFAFSSLCWTGALVDTWREQAKRVVRNGKKDTTTSPLCWTAAANTVVSDQDQEPIRIWILTCWWFLTVGILPGSWWAYHELGRGGWWFRDPVENASFMPRVLATARIHSVILPLLHSWTSFLNIVTFPCCVLGTFSIRSGLLAPVHSFATDDTRGIFLWRFFLLMTGISMILFSQMKQQASVRITYKKEMVVARSTLVHLRHSARAQPRPVMLWKN >KJB07347 pep chromosome:Graimondii2_0_v6:1:1615768:1617374:1 gene:B456_001G017500 transcript:KJB07347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDHGGSKLTKIRQIVKLKEILHKWQTVTLGSRPNALHPEENRLVINRRLTNVMACDSDEESCPSPEPPPDVPKGYLAVYVGPELRRFIIPTTYLTHPVFKVLLEKAKDEFGYDHNGGLTLPCEIEIFKYLLQCIENHPKGYPIVDNSISEEVEIH >KJB08502 pep chromosome:Graimondii2_0_v6:1:8990774:8991753:-1 gene:B456_001G084400 transcript:KJB08502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYVSCTLSTPFNKSSKTTKVILPSGEIKQYEELIKAAELMLETPNFFVVNSRSLKVGTRFSPLSADEDLEFTNVYVMFPMKRVNSTVTTADMGALFMAVNSVTKKGFGGKVRILPEDQDNLSDVSLENEDKRTMTNLNLDDIEEFSTPEFMHRLSMSRSKKPLLETIVEEPIRAR >KJB07298 pep chromosome:Graimondii2_0_v6:1:1372525:1373067:-1 gene:B456_001G014400 transcript:KJB07298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLNTEGPSSSSLPLSSRSNLPMLYYGLVVVGTAAVVLAVYNLIVIRWCTQRPDYSRQRQTRLAEMAAGRSYESQSRIILSSSFKYKKGSIDTGSEEAGEHECAVCLSVFEDGDEVRQLPRCKHSFHAPCIDMWLYSHFDCPLCRTLVDPEPSLIRRRQTEEAPPQNPREDILGVPDLV >KJB06525 pep chromosome:Graimondii2_0_v6:1:49083746:49084276:-1 gene:B456_001G246300 transcript:KJB06525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVPRIMHAKQILRHSKLFANQAASDSTVVPKGYIAVYVGESQKKRFIVPISFLNQPSFQKLLSIAEEEF >KJB10668 pep chromosome:Graimondii2_0_v6:1:43060918:43064313:1 gene:B456_001G215100 transcript:KJB10668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGAVAPITSNPLVRVRYLIRGVASEETQEQSLDVLCKNGLAYDNLAVLLWNSFGTMSVLLKIITSAYRPLLSDGLTERAVTQVCNAIALFQCVASHPDTRIPFIRATMPVYLYPFLNTMSNERSYECLRITSLGVIGSLAKKPLVDDVPRT >KJB10815 pep chromosome:Graimondii2_0_v6:1:46178191:46181029:1 gene:B456_001G226500 transcript:KJB10815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKAKKPHVLIFPFPGQGHINPMLQFAKRLHSKGVKVTMVPTVFLSKSSFFDSSASIDLCIISDGFDEGGFEQADTPDAYLSTFWNVGPKSLAALIKKLGHTAHPVDALVYDTMLPWALDVAKQFGISSVAFFTQSCAVNSVYYHVYKGHLQLPLRGSHVSLPAMPPLHVSELPSFVAIYGLYRAWFDVLVDQFSNIDEADWVFFNHFYELEPQVVDWMSKFWNVITVGPTIPSIYLDKRLENDKDYGMNMFKPNVTACMSWLSGKPKDSVVYVSFGSVASLGIEQMEEIAWALKDRNGYFLWVVRETEKPKLPHNYVKETSHKGLVVTWCPQLEVLSHESVGCFLTHCGFNSTLEALSLGVPMLALPQWTDQCTNAKYIEDVWRIGIRARPDEKGIVRKETIIRCIMELLMEVGKKGEEIKKNSIKWKNLAKKAVDEGGKSDKNVDEFIAKLI >KJB10762 pep chromosome:Graimondii2_0_v6:1:45431226:45432987:1 gene:B456_001G222800 transcript:KJB10762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFSTLLAFITFALSHLFKLQWQRNAQKPKQPSLPPGPKPWPIVGNLPELIINKKKTSVSYWIHSFMKEMNTEIACIRLGNVHVIPVTCPEISLQFMRKQDAIFASRPLNMATDVLSKGHLTTIFSPLGDQWRKMKRVMVSEMLSHERHRWLHEKRVEEADNLVRCVLNQCKNGDEGGLVDLRLVARQYCCNVIKKLIFNRGYFGEGKADGGPGFEEEEYVDAILALVIHLYSFCISDYWPFLRGLDLEGHEKIVEDATRVLEKYNNPIIEDRIQQWRDGKKHEPQDLLDVLVSLTDDNGTPLLSADEIKAQVNEIMIAAVDNPSNNLEWALAEMLIQESDVPQLNFTKACAREAFRLHPVAAFSPPHVSVTDTTVGDYFIPKGSHVIVSRVGLGRNPKVWDEPCEFKPERHLQNCNKGEEVVLEEPDLRLFTFGRGRRGCPGVVLGSLMTTMLLARLLQGFDWSIPTNQGTIDLCPGRGVPFLAKPLLAVAKPRLLPHVYCFSTESEIQ >KJB11436 pep chromosome:Graimondii2_0_v6:1:53421180:53423546:-1 gene:B456_001G259400 transcript:KJB11436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSLPQTPPSAVVIVLFLLFSTALLCESRLSLDYYSKTCPSFTKIMQDTITNKQITSPTTAAGALRLFFHDCLPNGCDGSILISSTAFNKAERDADINLSLPGDPFDLIVRAKTALELACPNTVSCSDILAVATRDLVTMLGGPYYNVYLGRKDSRVSSASSLEGKLPKPTMSMSQLINLFSSSGFTVQEMVALSGAHTIGFSHCKEFSSNISNDTHYNPRFAQALKQACSGYPNNPTLSVFNDIMTPNKFDNLYYQNLPKGLGLLESDHGLYGDPRTKPFVELYARDQNKFFQDFAKAMQKLSVYGIKTGRRGEIRHRIQLPMKETIFQEINLILKLF >KJB10625 pep chromosome:Graimondii2_0_v6:1:42278196:42279596:1 gene:B456_001G212000 transcript:KJB10625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLEIIKLQALVSIGKDDGIKGYWKGNLPQVVPYSAVQLFAYETYKKLFTAKDGELSVLERLAAGSCAGMTSTFVSDSIINIKFACSSVCLGVNQTHETLGQWCVVFMSFGVKEMDLGEDVDAVDGGL >KJB06483 pep chromosome:Graimondii2_0_v6:1:26048857:26049252:1 gene:B456_001G175900 transcript:KJB06483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPPLSCQLSITRKSALSFNNTIVTVTDVWGRVVSWSSASTCGFKGTRKGTPLAAQTAVGNAIRAVVDQCMQRAEVMIKGPGLERDAALRAIRRSGILLSFVRDVTPMPHNGYRHPKKT >KJB09549 pep chromosome:Graimondii2_0_v6:1:20485190:20486443:1 gene:B456_001G149200 transcript:KJB09549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFAADYSTRNKVTDAFQKASETSLNAARIWAFDDSDHRPLQSSPGPCNEEVFKGLDFVIAKARKLGIYMILSLMNNWKDYGGKDKYVEWANQHYHNLNRNIRNLIEDDFFIDSLTKEYYKNHVKTVLTRNNTITRVAYKDDPTILAWELKNEPCCPSDPTGSNLESIDNKHLLEIGLEGFYWESRQQYNPNSNLDGTDFIANNQIPDIEFATIHLYPESWLPSINKTEAEKLAFVEKWIGSHVLDSNTVLEKPIVISEFGKSYKLEGYSLDKRNKYFQKIYDDVYNSARLGGPFVGGLFWQLMT >KJB06386 pep chromosome:Graimondii2_0_v6:1:3240447:3243173:-1 gene:B456_001G035200 transcript:KJB06386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPLPNGVMLDSSVGFALQAMVVETAIVVTNSVAWLLMMMGTMPNGIDVLIKEPEAYAGFLLALLAVRKPGLENKDAGDTEDDDNDDEEDEAAGDQDEDAGEEEDGSGEDGEDEGDPEDEPDANGDGASGEEDEDDDDDDDDDDDDDDGEEGEEEEEEEEEDEEEEELQPPAKKRK >KJB06385 pep chromosome:Graimondii2_0_v6:1:3240856:3243143:-1 gene:B456_001G035200 transcript:KJB06385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPLPNGVMLDSSVGFALQAMVVETAIVVTNSVAWLLMMMGTMPNGIDVLIKEPEAYAGFLLALLAVRKPGLENKDAGDTEDDDNDDEEDEAAGDQDEDAGEEEDGSGEDGEDEGDPEDEPDANGDGASGEEDEDDDDDDDDDDDDDDGEEGEEEEEEEEEDEEEEELQPPAKKRK >KJB09769 pep chromosome:Graimondii2_0_v6:1:23460479:23461407:-1 gene:B456_001G163600 transcript:KJB09769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILILLPISLMKRIRLEIGIRIRYLGLMRMSYFGLLRVRNPLFRDKLDMLVRPRLLRMMPVQCMIHRILFILFSVRCSSANERTADSKVPSSDLGAFERAASANDSTDTTQKFPTWKEMGFLRKGVGNHRRTSNLTVLSNLEPTTLHIPYCYRRHEYQLDYGTRTPEIGELLTAP >KJB08755 pep chromosome:Graimondii2_0_v6:1:11381203:11386755:1 gene:B456_001G101700 transcript:KJB08755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTHRKLNRITYQEQMLLFFAFYFSLLGELSGQVGGLRLAGGGEVGVRLIVDMGSREGKLIHSRVSMAISDFNSFRRDNQMRIVLRTIDSKGDPLHALSIAYNLLEKAKVKVILISAQKSALDTKVLAEFGKQTKIPVISVSSFTIDNDLNTILWPGGTSTIPKGRMMQTRTNKTLKIAVPKSNGFPQLLKVDPDLQTNTTIFSGFCIAVFEAAIAGLKYQPSYEFVPFEYNNPNIGEAYNDLIYQVYLQVWFVTILSGSFMPLAFTDCAMDKQKQKYDGAVGDITINANRSLYVDFTLPFTDIGVGMVTRLTPKDNQNLWIFLKPLTRGLWLTIVGAYVLATFVIWLIERPALAEQQTQQSNGQIGRMISFSFSILVFAQWEKLTSNLSRSVVVLWVFEIFILGSNYTATLTSMLTVQHIEFISKVDKIGHRLGPVTQEVVGILNIQNSSSTSKWLTSPAEFEKALSEGSKNGGFSAIIDEMPYINVFLEKYSAHYSMVGPVNRTTNGFGFVFSEGSTLTRDISREIAKLREDGRLEMLENAWFKSSITNFDSRDTLNSVNPLTISDFRGLFYFSGTFSALVVFLFFASLLRKNFHVLKKWGRPKIVKQYVCMKFSKNRRDANVIHPEFDNL >KJB11528 pep chromosome:Graimondii2_0_v6:1:53953852:53957268:1 gene:B456_001G263600 transcript:KJB11528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDTSFKEDFPFLSSLFSENNSSSSFKPDFNGCFPLPDGSSPSSSSSPSSSSSKALVHNFILNQDQDTTPTGNNNTGSLLNNHPHHFHQFPIDGSSKNPFFEDSTTCTDPFIDPYTNDLNAYIPSLSFTVPDHGTSLNNGLTFQAFSTESPCWDFSQNKASAPSETGEHHRSYQQQQLEPMDFQDQPPTPPPPPPPPVATKVAEDASCITNQNGRNNNNQDRDDDDDDDDDDEKNNNNRRFLKAKRVNQATKKTSIIKGQWTPQEDRVLMQLVTRHGTKKWSQIAKMLNGRVGKQCRERWHNHLRPDIKKDSWSEEEDMILIAAHKEIGNKWAEIAKRLPGRTENTIKNHWNATKRRQFTRRSKAKDGNSNPPKGSLLQNYIKSVSSPTELTPAAAASSSSQHDNEFEMTDASPHMVQPETSGLNSTGWSIGALNDHVQRQRQQQQEMNYCFDANVYNDMHRNQSFGSMLEGDGNGNGSGMGNFELPLEMDSLKKELDLLEMISQGNL >KJB09791 pep chromosome:Graimondii2_0_v6:1:23682862:23682969:-1 gene:B456_001G166400 transcript:KJB09791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGREGNASKRRTTTTQLHFGFSLHEMSPVHWTDG >KJB10141 pep chromosome:Graimondii2_0_v6:1:29733252:29735771:1 gene:B456_001G186100 transcript:KJB10141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGLASSSIPTSTFPSFQQSKVQTKPCINPCNSLVLPPTKLCSSDLCLTSFSFGGPRLIHRSSVISRSSTGPGGAPGSGDNESRNVLDAFFLGKAVAEALNERIESTIGEFLSVVGRLQAEQQKQVQDFQEEVLERAKRAKEQAAREALEAQRLIPKSTSLSTSMGSGATANNGAAAKASPSTANNGVSTTPSSYNPANPAAANTDPGPDAKKE >KJB08099 pep chromosome:Graimondii2_0_v6:1:6470861:6477215:1 gene:B456_001G064800 transcript:KJB08099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGRRRKQHFSRIHAFSCGKASFRGDHSLIGGPGFSRVVYCNDPECFEASLRNYAGNYVRSTKYTLATFFPKSLFEQFRRVANFYFLICAILSFTPLSPYSAVSNVLPLVVVIGATMGKEAVEDWRRKKQDTEVNNRKVKMHQSDGIFEPTKWIDLKVGDIVKVEKDEFFPADLILLSSSYEEAICYVETMNLDGETNLKLKGASDVTSSLHDDASFQDFKATIRCEDPNANLYSFVGSLELGDEQYPLSPQQLLLRDSKLRNTDYIFGVVIFTGRDTKVIQNSTDPPSKRSKIEKRMDNIVYFLFAVLVGLSIIGSIFFGIETREDLENGKMRRWYLRPDDTTIYYNPKRAAVAAILQFLTALMLYSYLIPISLYVSIEIVKVLQSIFINQDLHMYHEETDKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGTSYGHGITEVERALVWRKGSPLAREVPEINGQVEEFKKEKPLVKGFNFVDERIMNSNWLNEPHADVIQKFLRLLAICHTAIPEVDEETGRISYEAESPDEAAFVVAARELGFEFYERTQTSISLYEFDLSGKKVKRSYKLLNILEFSSSRKRMSVILQNEEGKLLLLCKGADSVMFERLAKNGIEFAEQTKEHIEEYADAGLRTLVLAYREINEEEYVEFNEKFMEAKNIVSADREEMIEEVAESIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIINSGTPENKALEKSGDKTAAAAAYKASVLQQIAEGRQLLTSSNENSEALALIVDGKSLTYALEDDVKDAFLELAIGCASVICCRSSPKQKALVTRLVKTKTGSTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIVFGFTLFFYEIYASFTGQAVYNDWFLSFYNVFFTSLPVVALGVFDQDVSSRLCLKFPLLYQEGIQNVLFSWLRIVAWAFNGVLSATVIFFFCIRATQHQAFRKGGEVVGLEILGTTMYTCVVWVVNCQMTLSISYFTYIQHLFIWGSIILWYIFLMAYGAMAPSISTTAYKVFIESCAPAGMYWLLTLLVLISSLLPYFIYSAIQVRFFPSYHQMIQWIRSDGQSDDPEYCHMVRQRSLRPTTVGYTARLEAKSRSSRKGGEDHQ >KJB08100 pep chromosome:Graimondii2_0_v6:1:6470861:6477215:1 gene:B456_001G064800 transcript:KJB08100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGRRRKQHFSRIHAFSCGKASFRGDHSLIGGPGFSRVVYCNDPECFEASLRNYAGNYVRSTKYTLATFFPKSLFEQFRRVANFYFLICAILSFTPLSPYSAVSNVLPLVVVIGATMGKEAVEDWRRKKQDTEVNNRKVKMHQSDGIFEPTKWIDLKVGDIVKVEKDEFFPADLILLSSSYEEAICYVETMNLDGETNLKLKGASDVTSSLHDDASFQDFKATIRCEDPNANLYSFVGSLELGDEQYPLSPQQLLLRDSKLRNTDYIFGVVIFTGRDTKVIQNSTDPPSKRSKIEKRMDNIVYFLFAVLVGLSIIGSIFFGIETREDLENGKMRRWYLRPDDTTIYYNPKRAAVAAILQFLTALMLYSYLIPISLYVSIEIVKVLQSIFINQDLHMYHEETDKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGTSYGHGITEVERALVWRKGSPLAREVPEINGQVEEFKKEKPLVKGFNFVDERIMNSNWLNEPHADVIQKFLRLLAICHTAIPEVDEETGRISYEAESPDEAAFVVAARELGFEFYERTQTSISLYEFDLSGKKVKRSYKLLNILEFSSSRKRMSVILQNEEGKLLLLCKGADSVMFERLAKNGIEFAEQTKEHIEEYADAGLRTLVLAYREINEEEYVEFNEKFMEAKNIVSADREEMIEEVAESIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIINSGTPENKALEKSGDKTAAAAAYKASVLQQIAEGRQLLTSSNENSEALALIVDGKSLTYALEDDVKDAFLELAIGCASVICCRSSPKQKALVTRLVKTKTGSTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIVFGFTLFFYEIYASFTGQAVYNDWFLSFYNVFFTSLPVVALGVFDQDVSSRLCLKFPLLYQEGIQNVLFSWLRIVAWAFNGVLSATVIFFFCIRATQHQAFRKGGEVVGLEILGTTMYTCVVWVVNCQMTLSISYFTYIQHLFIWGSIILWYIFLMAYGAMAPSISTTAYKVFIESCAPAGMYWLLTLLVLISSLLPYFIYSAIQVRFFPSYHQMIQWIRSDGQSDDPEYCHMVRQRSLRPTTVGYTARLEAKSRSSRKGGEDHQ >KJB10274 pep chromosome:Graimondii2_0_v6:1:32794400:32796000:-1 gene:B456_001G193300 transcript:KJB10274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHNYIRRHASSNDEDFREFENIPDMPVFPSQFDRDSKVRVRYACMAVYVNLGKPLISKVLINGNLQRVEYESLLVMCFFCGRYGHNNESCPYTSLPSRLSKVMDPMTGINQKMDFGPWMLEERRSRRTLREYDKIRNLEAKIIEESIEKESTPILKRHGKGILTENFENPSTRQFHVVVGESKEYTKQRVRKPKYKNQLKRQKNSRCIVGLDHLVNLLAKLVTRKGYDIGPFSVSSIKDLIGMNSSNPTREDCMSLDNVAKAHQPSISGGMDPTEEDCVSLDNVAKA >KJB07293 pep chromosome:Graimondii2_0_v6:1:1353635:1356494:-1 gene:B456_001G014200 transcript:KJB07293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVRILPKENLLISCPPWWNSNEQQFVEIVPQNVSLKKAENHSQLYHNAKRFDLQLLDHEPTLAEAGVTGVNNSQCNSSESGQVESCRKDIEGQTKPVYLLNNPNTLLSPSHPNYNRSMACAQYPYTDAYFTGLFTPYGQQTIMTGTAPTRIPLPLDLAEDEPIYVNPKQYHGILRRRRHRAKLETRNKLVKSRKPYLHESRHLHAMNRIRGSGGRFLSKKKPQRSDPTSIYISDIGCLDQKDNNRSELESRCSHTAEYGGSCTSCSHISSITNNGGDISRRAVSSCNGIQNCASLVR >KJB07294 pep chromosome:Graimondii2_0_v6:1:1353637:1357574:-1 gene:B456_001G014200 transcript:KJB07294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVRILPKENLLISCPPWWNSNEQQFVEIVPQNVSLKKAENHSQLYHNAKRFDLQLLDHEPTLAEAGVTGVNNSQCNSSESGQVESCRKDIEGQTKPVYLLNNPNTLLSPSHPNYNRSMACAQYPYTDAYFTGLFTPYGQQTIMTGTAPTRIPLPLDLAEDEPIYVNPKQYHGILRRRRHRAKLETRNKLVKSRKPYLHESRHLHAMNRIRGSGGRFLSKKKPQRSDPTSIYISDIGCLDQKDNNRSELESRCSHTAEYGGSCTSCSHISSITNNGGDISRRAVSSCNGIQNCASLVR >KJB07942 pep chromosome:Graimondii2_0_v6:1:5198523:5200331:-1 gene:B456_001G054400 transcript:KJB07942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSVSLSSPIHFHTNSRFFSTFPPKLPNKLKTTVTTTTVAAIPPLSTATSVTDLSVLDSTTVALIGGGSVAALAAVLSFTDPERRRRLQAEEVGGGDKEVVRNYFNNSGFQRWKKIYGETDDVNKVQLDIRLGHSKTVENVMKMLTDDGSLNGVTVCDAGCGTGCLSIPLAKEGAVVSASDISAAMVAEAEKQAKEQLTAGNGDIAPVMPKFEVKDLESLDGKYDTVVCLDVLIHYPQNKADGMIAHLASLAENRLILSFAPKTFYYDLLKRIGELFPGPSKATRAYLHAEADVERALNKVGWKIRKRGLITTQFYFARLVEAVPA >KJB07517 pep chromosome:Graimondii2_0_v6:1:10079172:10079595:1 gene:B456_001G091400 transcript:KJB07517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKMKKKCFSLVILMLIFFNSINLCRAISMETNSTTTSFIANDEQLEFLMDSHFSRILQGSGSVANNALKPGQAAAGCGRNPYDSCLSNPNRPITTQIVAITPVPAAGEKP >KJB10025 pep chromosome:Graimondii2_0_v6:1:27685870:27687522:-1 gene:B456_001G180900 transcript:KJB10025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KJB10026 pep chromosome:Graimondii2_0_v6:1:27686398:27687522:-1 gene:B456_001G180900 transcript:KJB10026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKELRSSEYSWNFAIGNTIILKRTRLWLF >KJB11253 pep chromosome:Graimondii2_0_v6:1:51059312:51061784:-1 gene:B456_001G249600 transcript:KJB11253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNNETNNPSQIKSFLEKSINIVDLNKKRKLEAEQLGLPLSKHQCWKQSSSLKPPTFGSITQVEGFSPCTFQGKTWAVCDVLETGSAKDSNSFADDSDTAISVHVDAKYLLYNRASSSSSPNWGSSSQHSHSDGTTVASSSVEKEVVSSPGDEPEPADAKLAENLDESLVEYGSDIDYIYSQYGNYTREQHQDKEIEEILNCDGANPNVYILSSGRWSVNQEAQQTKRKPTIDQEFEQYFSMLML >KJB07913 pep chromosome:Graimondii2_0_v6:1:4967649:4971655:-1 gene:B456_001G052400 transcript:KJB07913 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOH1 family protein [Source:Projected from Arabidopsis thaliana (AT5G19910) TAIR;Acc:AT5G19910] MASTKESDNASDTPSSPKNVYKDPDDGRQRFLLELEFLQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEYIKFIMYPHCLYFLELLQNANFRNAMAHPANKEVAHRQQFFFWKNYRNNRLKFILPKPPPEEVPTPAPLPPASAPPQQSLPASNIAMTTAPPAPASTHSPMPYGLPSGSALAKNDMRNSGIDRRKRKHERSLN >KJB09287 pep chromosome:Graimondii2_0_v6:1:17086433:17087380:-1 gene:B456_001G133100 transcript:KJB09287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIETVSSESSDLTPSRPNKFPKLKGPKSCNQHICSVCSKGFTSGKALGGHIRIHMKGNNLDLHSRASKEKKRISKNIDDDAVDVQAEDKVSCCVCNKDFKSMKSLFGHMRNHPNRTWRGIRPPPLEKTSCCSTVSEEDEALENDQVSFARDENFVSSLPKRSNTAKRCWNSDEEEIFEAAYSLMKLSRDSFGVGYQTKSSPTTIHETPSWGLPNKASLEGKGKLLAKELNCDESAEIIENNSCFDQFHKFPVTISSSQNFGFYLKNPSGRPAVVEGKHLYSASEAGEGSYQVCSRKLLDVDLNEPYVGVDYGLT >KJB10481 pep chromosome:Graimondii2_0_v6:1:39550032:39553170:-1 gene:B456_001G203400 transcript:KJB10481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAFHLILLALLLLFSLVCSVKPLCHPDERSALLHFKQSFIIKHSASSSPDAYPKTEYWNVEDPSVDCCSWNGVECDNITGHVVVLELSSSYLYGSINSNTTLFRLRHLQRLSLADNVFINSEIPSGINNLSNLTYLDLSFSNFSGQVPLEILELSKLELLSLSGNSLKLWKPGLRSLLHNLTNLRQLYLADVTLSSSVPNMLANFYSLTALILSNCDLRGKFPTAVFELPNLECISLESNQELSGSLPNVRENHSLLILRLANTRFSGQLPESIGNFKSLEYLDISHCHFFGKLPYSLGGLTQLKYLDFSYNNFSQPIPSSIGHLNQLHTLDLSDNKFSGQIPSSLSNLTQLFYLSLATNSFVQGNLSWIGTQTNLTYLDLSKANLTGQIPPSLQNLTQITWLYLYDNGLDGQIPPWIGSLTKLTRIKFQDNDLGGPIPESIFNLQNLELLYLHTNHLNGTLKLQSFLELKALTRLQLSGNYLSLLTNVSINVAPPKLKLLGLASCNLSEFPSFLRSQDELEVLELAENKIHGQIPNWFWGVGKQNLQYLNLGFNSLTGYIFQKLPAVLPWSNLKDFNLESNMLQGSLPHPPPSIRSYKVSNNMLSGEIEPMFCNLPSLVVLDLSNNNMTGTLPPCLANLTNSLEVLSLQSNHFISAIPPTYTKNCRLRMMDLSQNQLQGKIPRSLAHCTQLEELILGNNLINDSFPHWLGGLPKLKVLTLKSNRLHGVIGKPQTKSDFSKLQVIDLSNNHLRGKLPSDYFNIWNAMKVHSTNLLSPYMLANTSFQNREYVWYDYYNYAVTLAMKGRNLKYENVPDSISAIDLSSNELEGEIPEAIGELKLIRMLNLSNNKLSGRIPLSLGELSNLESLDLSRNKLWGKIPPQLSKLNFLVVFNVSYNKLEGAVPQGAQFNTFNNDSYEGNSGLCGYPLTETCGNPEVPASTHLGWDEAEDEGMWSVIKFGWKIVLTGYGGGLILGMSLGWNFNAWKYGWLRRVLGTWVVSNSWNGSNWYGFSWISVWKKVPWNY >KJB08866 pep chromosome:Graimondii2_0_v6:1:12656146:12660840:-1 gene:B456_001G109600 transcript:KJB08866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEVVKDLGAGNFGVAQLLRHKDTKELVAMKYIERGHKIDENVAREIINHKSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICSAGRFSENEVRHRLRKDHGIEGGIPVVLSLEKPKAKLLPFSGQSGEEDNPSDYQVRMLASYFCSFKVLCLLT >KJB08868 pep chromosome:Graimondii2_0_v6:1:12656449:12658403:-1 gene:B456_001G109600 transcript:KJB08868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEVVKDLGAGNFGVAQLLRHKDTKELVAMKYIERGHKIDENVAREIINHKSLRHPNIIRFKEVRHRLRKDHGIEGGIPVVLSLEKPKAKLLPFSGQSGEEDNPSDYQVRMLASYFCSFKVLCLLT >KJB08867 pep chromosome:Graimondii2_0_v6:1:12656161:12660840:-1 gene:B456_001G109600 transcript:KJB08867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEVVKDLGAGNFGVAQLLRHKDTKELVAMKYIERGHKIDENVAREIINHKSLRHPNIIRFKEVRHRLRKDHGIEGGIPVVLSLEKPKAKLLPFSGQSGEEDNPSDYQVRMLASYFCSFKVLCLLT >KJB06387 pep chromosome:Graimondii2_0_v6:1:10436964:10439553:1 gene:B456_001G093900 transcript:KJB06387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMTHCSNLFNSVLFISILATIFSHCDARFMFHMWNQKGSNHHESPINKQKLTSHFVSNVDPYTINSPLYLPPFDSLSPLPQPGGSPFTPCPPPPPSSHSHGSSANPPSIFFSSPPQHQPTPPEHGLTPRPIYGPPKLNPPSSLVPPPKSSRSGGGVWCVAKPTVPDSIIQAAMDYACGSGGNCKAIQPNEPCFQPNTLISHASFAFNSYWLNTKGNGGTCDFGGTAMLVTVDPSFNKCKFGHA >KJB06658 pep chromosome:Graimondii2_0_v6:1:3614324:3616574:1 gene:B456_001G038600 transcript:KJB06658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSFSATIPSPLLDFSQTRKNPVFSSSWPSMSFSIPSIKSSLGSSKSAFFQNGFSLPSLTASGFVFNSRSSGIYARAATDKTLYDYTVKDIDGKDTPLGKFKGKVLLIVNVASRCGLTTSNYSELSHIYDKYKNQGFEILAFPCNQFGGQEPGSNPDIKKFACTRFKAEFPIFDKVDVNGPNTAPVYQFLKSSAGGFFGDLIKWNFEKFLVDKNGKVVERYPPTTSPFQIEKDIQKLLAT >KJB06660 pep chromosome:Graimondii2_0_v6:1:3614336:3616542:1 gene:B456_001G038600 transcript:KJB06660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSFSATIPSPLLDFSQTRKNPVFSSSWPSMSFSIPSIKSSLGSSKSAFFQNGFSLPSLTASGFVFNSRSSGIYARAATDKTLYDYTVKDIDGKDTPLGKFKGKVLLIVNVASRCGLTTSNYSELSHIYDKYKNQGFEILAFPCNQFGGQEPGSNPDIKKFACTRFKAEFPIFDKVDVNGPNTAPVYQFLKSSAGGFFGDLIKWNFEKFLVDKNGKVVERYPPTTSPFQIEDIQKLLAT >KJB06659 pep chromosome:Graimondii2_0_v6:1:3614336:3616542:1 gene:B456_001G038600 transcript:KJB06659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSFSATIPSPLLDFSQTRKNPVFSSSWPSMSFSIPSIKSSLGSSKSAFFQNGFSLPSLTASGFVFNSRSSGIYARAATDKTLYDYTVKDIDGKDTPLGKFKGKVLLIVNVASRCGLTTSNYSELSHIYDKYKNQAFPCNQFGGQEPGSNPDIKKFACTRFKAEFPIFDKVDVNGPNTAPVYQFLKSSAGGFFGDLIKWNFEKFLVDKNGKVVERYPPTTSPFQIEKDIQKLLAT >KJB11286 pep chromosome:Graimondii2_0_v6:1:55152523:55152999:-1 gene:B456_001G274200 transcript:KJB11286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSMYNFFNQPEPPSTSEPIKKHPQTASPSAPPRLFPCLYCPRKFYTSQALAASRRKFPGGGHDQIRGAQQYNLQQQLNPFPSFKIEPPMDYPGAPYLDHCLQPHYFSSTGFIPQGFTSVSSSETLSLTTDDVDEPANVNLTLCL >KJB06235 pep chromosome:Graimondii2_0_v6:1:17727648:17728590:-1 gene:B456_001G135800 transcript:KJB06235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVDRLECSGSRSALFSATDPQVPEYCELLKADEWPVCAFISQDCRPTNPSEEAHSVETSFEVWEKTLEMIGLPSDAVERLIEGKEVKCRYGTQND >KJB06249 pep chromosome:Graimondii2_0_v6:1:3653692:3655335:1 gene:B456_001G039200 transcript:KJB06249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFMLTIRASMEMKITVSCPTPNRCTLLILVLFSFAASPLHASLSINFYASSCPTAELIVSNMVRSASSSDPTIPGKLLRLLFHDCFVEGCDASVLLQGNGTERSDPANTSLGGFSVIDSAKSVLEIFCPETVSCADIVALAARDAVVTAGGPAFEIPTGRRDGRISDAANVRSNIVDTSFTMIEMIRLFNSKGLSLDDLVTLSGAHTIGVAHCNAFSDRFQMDSKGNLTLIDTSLDNTYAKELMKKCPSGSSASKTRMKEPVQE >KJB06250 pep chromosome:Graimondii2_0_v6:1:3653739:3655335:1 gene:B456_001G039200 transcript:KJB06250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFMLTIRASMEMKITVSCPTPNRCTLLILVLFSFAASPLHASLSINFYASSCPTAELIVSNMVRSASSSDPTIPGKLLRLLFHDCFVEGCDASVLLQGNGTERSDPANTSLGGFSVIDSAKSVLEIFCPETVSCADIVALAARDAVVTAGGPAFEIPTGRRDGRISDAANVRSNIVDTSFTMIEMIRLFNSKGLSLDDLVTLSGAHTIGVAHCNAFSDRFQMDSKGNLTLIDTSLDNTYAKELMKKCPSGSSASKTVNNDPETSFAFDNQYYINLLGHKGLFQSDSVLVEDERTSARVEAFANDQETFFQSWRDSFLKLTTIGVKTDDEGEIRQSCSFAN >KJB09029 pep chromosome:Graimondii2_0_v6:1:14665095:14669449:1 gene:B456_001G120400 transcript:KJB09029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRSGSRYPYPRSRHRDPLSGSKRLEPEQSSLSKKQLQYARSNHEDDNESELCMKKLSEFNESLRRQHQSTSTKFQWNRLLSDCPGKEAAAASKPKPTMPNDETSSPYSMSPMGIDLTEENRFVGSRPLHLKEVKSGFINNGEGFGYQDIGKEGMIGGTVGFDGFGEKLGFDSSSVIFQKAMSRIRRTEEVTESAYRKMESVRARASAIDSIVDKIDGSGKSGAMDMEPRISLPQQYMTEKKREVLHGEMLPRREDCSNYALTQHYVGFSGEGERVVGLEMNHFCSPKGALFHGERRRFPQLSPDVKEKAHRNLEREIEVVGSCFNGGKSQNGEVFRSETQQLQRYAHFSRESHDEMKQYNENFGSRMKCHPSPKRVPLHAEGHSLAQTFVRESHVTEQTNDVFSSRTTRPKGQKMALSEVERPELSADHTLALPQRYLDFIVEPDDKQQANELLGSRMTHYPKLNVTPSHGEELQIQDDFSHSLPRHHFGLNGESPVMEQETEVLGSRMCYPQDDKEAYFRGEMQQLQQDCAMEIYPFPNDDDVSTNEGSTPHISASEEVCINSQSSMEHPKKRIIDLRKIIESRISTLTQTSDASDDEILDIGYQGQRYSDEDSSLPRNSPHMLEFTQLTNRKSIKQRLGGPCRVNYPYPPHRKSIKQRLGPSCQVHHNRTTVIQRLGPSYQVHSSISMPRIERHKPSKLAKEKVIEFCKRVQARGVVSHPVKRGRTVPPEDSDEFEQLIHRAYFKFVKVLNENPAQRRKYTNKGEVETIKCCVCGSKSEDFVNTLSLAMHAFASQKVGCRVEHMGLHKALCLLMGWDPMAVSKGIWSPKTLPDAEALAMKEDLVVWPPVVILHNGSIGTTNSGDRIVVSIEELEAFLREAGFGRGISKVCRGKPVNQSIMVVIFHGTFSGLQEAERLHKLYAENKHGRDEFQRVNCNSGETQHKLEDVLYGYLGIAGDLDKLDIKTKSHSIVKSKKGIYAIADAHLDTE >KJB08505 pep chromosome:Graimondii2_0_v6:1:47062151:47063639:1 gene:B456_001G233500 transcript:KJB08505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGNQRDRDRERAQSRAGGKGSKTKDDGLTPEQRRERDAKALQEKAAKKAAQAAAGGNNAGGGSKTKK >KJB08506 pep chromosome:Graimondii2_0_v6:1:47062229:47063639:1 gene:B456_001G233500 transcript:KJB08506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHILLRDRDRERAQSRAGGKGSKTKDDGLTPEQRRERDAKALQEKAAKKAAQAAAGGNNAGGGSKTKK >KJB07567 pep chromosome:Graimondii2_0_v6:1:2810936:2814029:-1 gene:B456_001G030100 transcript:KJB07567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVPKFIILLYIFLSLGLNPSRAQTWIQAGYWYSGTDFPIADINSALFTHLICAFANINASSYQLSVSSSDDQYFSTFTNTVKQKNPSVTTLLSIGGGSANHSVIVSMVNNSSHRKSFIDSSIKTARLYGFQGLDFSWASANTSSDMSNMAALFQEWRAAIDSETGQSKLILTAAVPTSKYSESSTYPIDSLRTNLNWLHVSAFNIYMPTRDNFTRAHAALYDPTSNFSADFGIESWITGGLPANKLVLGLPFYGYAWTLVNPRDNTIGAPASGPAISKTGEMAYKDIRNYIQSYGANSVYNDTFVVNYCTVGTTWIGFDDVEVVKVKVSFAKERKLLGYFVWQVPNDANWLLSQTAVDVDGENGTEKKGRSSLIIILVPVTGVLILIGALTYYIRRTKNKKKDTEYKAKNSKSKANLMTEAGDFNNNAPNLMVYRFSDIEEATNRFSFENKLGEGGYGPVYKGVLSDGREIAVKKLSKTSTQGFEEFKNEVMLTAKLQHVNLVRVLGFCIEREEHMLVYEFMPNKSLDYYLYDPIKRYMLDWEKRVEIIEGVTQGLLYLQEYSRLKIIHRDLKASNILLDEEMKPKISDFGMARIFSKDEVEANTHRIVGTYGYVPPEYVKKGLYSIKSDVYSFGVLLLQIISGRKTACLYGLHENLSLLEFAYGLWIQEKGMEFMDPTLDDTNSSCTLLRCMQIALLCVQENANDRPTMLEVSSMLRNETTPMANPKRPAFSERTNENDELKRLNLKPEICSIDDAPISEVVGR >KJB10227 pep chromosome:Graimondii2_0_v6:1:31391648:31394378:-1 gene:B456_001G190400 transcript:KJB10227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRPGLSRTGSFRPENLGQNALHMIGNLCFTIFVIGVLVFTIIAATYEPEDPLFHPSTKLTTFLTSTSNATFQSDNTVVKTGEDFMAANQTAFATFINVTDVVEIKEARTDQTSSSECEGDPRKPLNCRDPEVFHLMMKEAIERFKDIHFYRFGKPAPGPEENTCDMAWRFRPKEGKTAAFYKDYRRFVINRSENCTLSVLSIGDYHSGVNARKRKNKNQKPGFEKTSGRQEQVVAALPVVGETVNDLLPVVESENAFSRGKYLIYVGGGDRCKSMNHYLWSFLCALGEAQYLNRTLVMDLKLCLSSIYTSSNQDEEGKDFRFYFDFEHLKEAASVLDHEQFWQDWNKWQKKDGLSLHLVEDFRVTPMKLSEVKDSLIMRKFGLVEPDNYWYRVCEGETESVVQRPWHLVWKSRRLMDIVSAIASKLNWDYDSVHIVRGEKARNRDLWPNLAQDTSPDALISTLQNKIEDGRNVYIATNEPDTSFFDPLKDKYSTHFLDEYKDLWDENSEWYSETKNLNNGVPVEFDGYMRVSVDTEVLLRGKKQIETFNELTNDCKDGINTCNTASS >KJB06775 pep chromosome:Graimondii2_0_v6:1:1075014:1076612:-1 gene:B456_001G011500 transcript:KJB06775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSQSQNETLPISSKESRVNRFKPIWRFFLISNLALGAYIFAQARRKNSSIADNKPAKKEEEHSKSETEADVSSDAVTDALVHEEPPILPIVAKPPKVLDPIPEDQQRELFKWMLEEKRKAKPSDPEEKKRINKEKAVLKQFIRTESLPRI >KJB09879 pep chromosome:Graimondii2_0_v6:1:24777069:24777414:-1 gene:B456_001G172300 transcript:KJB09879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRVLPNCFRLLLKLRVFRADETPLEVPPREVIKLGAQAVVEFMADLVAKRDTKASPPKKEKGFWFRICSICWSFRTANTDDNM >KJB09801 pep chromosome:Graimondii2_0_v6:1:23757542:23760353:1 gene:B456_001G167700 transcript:KJB09801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLFLAVSPEIFIINATSILLIHGVVFSTSKKYDYPPRDNFTYFCQILLLLSTAGTISMCFDSFEQERFDAFEFIVLIPLPTRSMLFMISAHDSIAMYLAIEPQSLCFYATKKGPDTSTRGATSWFTKPRPSVTRSPGPKGSSAQLPTPPGIALSEPSQEWPLVDTHHFSPGPERLGHRNLDHLRAGKRKPPRPDQRKQQCRIRPPLALKEFTRGHQLSQNKGGSAAYCEKWCWLKKGGRGELWDTLTSAFLFRLSRLSIALFSGEE >KJB10837 pep chromosome:Graimondii2_0_v6:1:46361853:46362335:1 gene:B456_001G2275002 transcript:KJB10837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFARKAKWTFFVVTTLFSLVQPLSANHTSINDTAIEMIHVGLILDAQSWVGKIVDSCISMAISDFYSRNCHYQTKLVVHTRDSGGDPLLLLSQALVLLENFKLDAIIVAENSAGVKILAELGSGVKIPIISLFAA >KJB11555 pep chromosome:Graimondii2_0_v6:1:54161411:54163031:-1 gene:B456_001G265200 transcript:KJB11555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNSSKFSIHHVVLFPFMSKGHIIPILNLARLLLRRGMAVTMFTTTGNRPFISESLADTSVCIIDIPFPQNAPEIPPGVESTDLLPSMSLFFSFCKATKQMQPMVEEKLQGLVQVQPVSFMVSDGFLWWTLESATKFGLPRLVFSGMNQFISCVSRAVFEDRLLDGAESDDELITVTRFPWIKVTRNDFNTVMPNPNGPTMEVFIDQVKSMSNSLGFIVNSFYELEKVYIDNWNSEKIPKVWCVGPLCLAEPELEPQKKPFWIQWLDQKLAQGCSVLYVAFGSQAEVSSEQLQQIAMGLQESKANFLWVLRKKESESILDEGFEEKVKGRGIVVKQWVDQRQILKYQCIEGFLSHCGWNSALESICYGVPILAWPMIAEQALNARMVVEEIKVGWLRWLWQTVMDRLGRR >KJB09548 pep chromosome:Graimondii2_0_v6:1:20475177:20478924:1 gene:B456_001G149100 transcript:KJB09548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAPKFQKHRLSLITLLFSVLASLLVSKAVSLAAVLSDKDALLQLKSAVVEDPLGFTSSWNPNDKDPCLWHGVSCDPLEGRVITLNLSSNLNSTCSVLQLSASKTAAVKGDQVRGNFTLLYPCLHVGVDGNISFVGLRGRLSPAIGHLTKLRVLSLGFNEFFGELPLEMGKLNLLEVLDLGFNAFHGPIPAALKKCTSLRVINLSGNQLNGTIPAIFGPITSFQVVDFSFNKLSGEIPNELGENCGSLMHLHLASNGLSGSIPSNLGNCGELKSLILSSNILQNDIPSSLGKLENLEALDLSRNFLSGLVPPTLGDCKQLKLLVLKNKNGPLFSRKGSSFIFHQEEYGEGDYNFFEGELPESIVKLYGIHVLWLPNVNLEGIFPQTWGSCSNLKMLNVAQNFLTGQIPASFGNCKNLFFLDLSSNNLSGSLPAAIPVPCMVVFNISQNSLSGNISRFSHGECSNGSLNLSMSYMDLVGLYSSFFYRNALINVGSGPSPFSLSEFVVLHDFSRNQFTGSVPPFIISLYTLSAKLNYGFWLNGNNFEGNLSVYSFDPCLRLDGLIFDASNNKIVGELPLNMGHTCKCLKILSLASNEFVGSIPTSFTDMVSLLKLNLSENRLRGPIPLYIGEMKEIRYLSLSNNNFSGTMPWDLVQLSSLEVLELSSNSLSGEILPDLAELKHLSVLRLDHNKLTGRIPFGFSNMTALSVFNVSFNNLTGSIPLNSISLNCESVKENPNLQPCRTDQSSSELERHHFGNISQGGRSPRENIQTNRSEFNQIEIASITSASVIFPVLIALIFFLVCMKKFACNAVSDHVSGRKEVVTCNSISIQLTYENVVRATGCFNLQNCIGSGGFGATYKAEIVPGVVVAVKRLSLGRFQGVQQFAAEIKTLGRVQHPNLVTLIGYHVSEAEMFLIYNYLPGGNLENFIQERSRRTVEWRMLHKIALDIACALMYLHDECVPRVLHRDIKPSNILLDKHFNAYLSDFGLARLLGTSETHATTDVAGTFGYVAPEYAMTCRVSDKADVYSYGVVVLELLSDKKALDPSFSSFGNGFNIVTWASMLLAQGRGCEFFMARLWDTGPQHDLIEVLHLAVMCTGESLSSRPSMRQVAQRLKAIQPPTS >KJB07472 pep chromosome:Graimondii2_0_v6:1:2429597:2434143:1 gene:B456_001G026100 transcript:KJB07472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEVERRQIQQILELDDEELQIEEVESLLESSDDDRDATGVVSPDHFTFNTHLTSLHSYLGEVDDTRHRSAFWDGGAVLNLPLFYLEGVVLFPEATLPLRVIEPNFVAAVNRAMTQADAPYTMGVVRGYRDSNDGPLRLAKVGTTAEIRQYRPVEDGSINVVTRGQQRFCLKRCWIDAEGAPFGEIQIIEEDIPFRTPRDAYAKLVPLNNLQGQQILSLNALSHGGRNDENDSEANSEESFENELTQTERRIHQSAIGACYDSDRTDESTSSADDNKLSESDSQSGSPCDTDSTFLGSSPSGHKKQVRNSDLGIGTKSMARKVAEPRSHLSSTLSRAFAPVWVYRMYDSFCLAQKAADMWKQIVGTPSMDGFVKKPDLLSFYIASKIPISDPTRQELLEIDGVSYRLRREIELLERLDRVRCKICKTVIARRSDMLVMSTDGPMGAYVNSYGYVHEVMTFRKAKGLVTSGRPHEEYSWFPGYAWTIINCASCDVQMGWLFTATNEKLKPKSFWGIRSSQVKDEMR >KJB06417 pep chromosome:Graimondii2_0_v6:1:17673086:17676434:-1 gene:B456_001G135400 transcript:KJB06417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNHEEEEEDIVWLDGSFFINDNYQLTSFTFGSHVLQLYCLHSASTDFDLTGQLVWPGAMLLNDYLSKNAEMLQGCSMIELGSGVGITGMLCSRFCRQILLTDHNEEVLKILRRNIELNVSSENPSCCAALEAEKLEWGNSDQINRILHKYPGGFELILGADIYILITASQFFFSSNL >KJB11246 pep chromosome:Graimondii2_0_v6:1:50799491:50803775:1 gene:B456_001G249400 transcript:KJB11246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELRLEERCLYKKQLTTASSSSVSEGGGNAVVKSPGVSSPAPTSPNHRRTTGPIRRAKGGWTPEEDETLRNAVAAFKGKSWKKIAEFFPDRSEVQCLHRWQKVLNPDLVKGPWTQKEDDKIIELVSKYGPTKWSVIAKSLPGRIGKQCRERWHNHLNPDIKKDAWTMEEELALMNAHRTYGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGKLPPVSKNGLQNGTKDIRTPTTQNFPVFLKKESGSTAQTSSGTTDTCKLEEDGKDQLESSAPVQDMTASTNVIPEEPTDTESTECKLQSVDINPCYCTSESGGKFGSHRISSQVVEILKEQVGLDTPTYCSLCCDESPRSQHEWTSTPITSPISFFTPPRVKGSGLSTHSPEYILRIAAKSFLNTPSIFRKRKMGAQVLTLPNKKGKLNEEIGKDGIQLSGEQQRTENSSEQAQFCDGDPCESPACQGNSPTLPNSTVFNASPPYRLRSKRTAVLMSVERKLELTFDKEA >KJB11708 pep chromosome:Graimondii2_0_v6:1:55071173:55074601:-1 gene:B456_001G273500 transcript:KJB11708 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor FUS3 [Source:Projected from Arabidopsis thaliana (AT3G26790) UniProtKB/Swiss-Prot;Acc:Q9LW31] MMAAATAAGAATAATPSVHEKPEAFALVAGVEGVTVEGDNIHQHPSGPSGLTRDLVAAVPSFGFNRKKRMARQRRSSSTIKLLSFTNNSSSSSSSSSHVPSPPLPTRVIDPKRLRFLFQKELKNSDVSSLRRMILPKRAAEAHLPVLESKEGILISMDDLDGLHVWSFKYRFWPNNNSRMYVLENTGEFVSTHGLQLGDFIMVYQDSLNQNYVIQAKKASDEDVYSDIARNGVNDLFLHDYEATKSSNYYYPMMEDNGMSFIYDTTLSFSNDCPLDFLGGSMTNYSRMGSLESFGSVENLSLDEFYQL >KJB11710 pep chromosome:Graimondii2_0_v6:1:55071179:55073847:-1 gene:B456_001G273500 transcript:KJB11710 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor FUS3 [Source:Projected from Arabidopsis thaliana (AT3G26790) UniProtKB/Swiss-Prot;Acc:Q9LW31] MMAAATAAGAATAATPSVHEKPEAFALVAGVEGVTVEGDNIHQHPSGPSGLTRDLVAAVPSFGFNRKKRMARQRRSSSTIKLLSFTNNSSSSSSSSSHVPSPPLPTRVIDPKRLRFLFQKELKNSDVSSLRRMILPKRAAEAHLPVLESKEGILISMDDLDGLHVWSFKYRFWPNNNSRMYVLENTGEFVSTHGLQLGDFIMVYQDSLNQNYVIQAKKASDEDVYSDIARNGVNDLFLHDYEATKSSNYYYPMMEDNGMSFIYDTTLSFSNDCPLDFLGGSMTNYSRMGSLESFGSVENLSLDEFYQL >KJB11709 pep chromosome:Graimondii2_0_v6:1:55071179:55072708:-1 gene:B456_001G273500 transcript:KJB11709 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor FUS3 [Source:Projected from Arabidopsis thaliana (AT3G26790) UniProtKB/Swiss-Prot;Acc:Q9LW31] MSKDPLEVCPGEFISRLYFPRFPTQVIDPKRLRFLFQKELKNSDVSSLRRMILPKRAAEAHLPVLESKEGILISMDDLDGLHVWSFKYRFWPNNNSRMYVLENTGEFVSTHGLQLGDFIMVYQDSLNQNYVIQAKKASDEDVYSDIARNGVNDLFLHDYEATKSSNYYYPMMEDNGMSFIYDTTLSFSNDCPLDFLGGSMTNYSRMGSLESFGSVENLSLDEFYQL >KJB08676 pep chromosome:Graimondii2_0_v6:1:10843950:10849558:1 gene:B456_001G097000 transcript:KJB08676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCGICGHYHKFEEGEVCGVCGHRAPVSSDKTSLQVSAFPSVILPDFLYLGSYDNASRSELLKTQGITRVLNTVPACQNLYKNSFTYHCLQDDKILQFDDAVQFLEQCERDKARVLVHCMSGKNKSPAIVIAYLMKSKGWRLQPSYQWVKERRSSVELSQVLVDTFCGSALTTALRRCRLLATTRSSLVMRSQGVACGLW >KJB08675 pep chromosome:Graimondii2_0_v6:1:10843950:10849077:1 gene:B456_001G097000 transcript:KJB08675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCGICGHYHKFEEGEVCGVCGHRAPVSSDKTSLQVSAFPSVILPDFLYLGSYDNASRSELLKTQGITRVLNTVPACQNLYKNSFTYHCLQDDKILQFDDAVQFLEQCERDKARVLVHCMSGKNKSPAIVIAYLMKSKGWRLQPSYQWVKERRSSVELSQDAYQQLQEYEQKLFGSCDNSNLAGAPSFNFGFSNPSDPLPLPVPIPAFNNLGATSIFARPPPFEVPPHGFTFGADRTQRSMSENLSNPSGSDIPMDSS >KJB09209 pep chromosome:Graimondii2_0_v6:1:16347278:16348081:-1 gene:B456_001G129200 transcript:KJB09209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVEELTLKQLQQFHNIDRMAYSRLVLTLRFDPFPSMKIVAFWNFLERIGFKHFLHNLLHFSDPMIFSLAKETLVCLECLFCSPQEIFPWVHLDFPEMNKLVGQEILLGFLFKNRETVKGMIEDFVKDVCQVAFMDIVEGNLGCKPSSNPDSELDSPTSDDGGDNGELSTDDGKARVDSEDRSLFMTFSRGHPVSNQELHGFIVGKYGKCVEAIYMDKNPKRLFACVVLRSHSDLSRILGGQKLVKFFINGKQVRVRRFVPKCRK >KJB10663 pep chromosome:Graimondii2_0_v6:1:43269266:43274407:-1 gene:B456_001G216000 transcript:KJB10663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVSLSSAAISPNLNAEKREIERGETKKKERRGSSKSTCCSVRFLQKFLSIYAINLILIVWKNSYKGGGGQWRPCVNKSYEGYSKRTRKRSTLWPRCE >KJB10664 pep chromosome:Graimondii2_0_v6:1:43269994:43274375:-1 gene:B456_001G216000 transcript:KJB10664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVSLSSAAISPNLNSNAEKREIERGETKKKERRGSSKSTCCSVRFLQKFLSIYAINLILIVWKNSYKGGGGQWRPCVNKSYEGYSKRTRKRSTLWPRCE >KJB09708 pep chromosome:Graimondii2_0_v6:1:22687857:22690088:1 gene:B456_001G158100 transcript:KJB09708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKRYISAEELKEHNKSGDLWISIQGKVYDVSEWAKEHPGGEIPLLNLAGQDVTDAFLAYHPGTAWQYLDKLFTGYHLKNFEVSEVSKDYRRLVSEFAKAGMFEKKGHVALFSLTAVALLFLVVLYGVLRCDSVWAHLGSAILLGLLWMQSAYVGHDSGHYQVMTSRGYNKLAQILSGNCLTGISIAWWKWTHNAHHIACNSLDHDPDLQHIPVFAVSSHFFTSLTSSFYGRTLNFDPLARFLVSYQHWTYYPVMIVARVNLFVQTFLLLFSNRSVPDRGFNIMGILVFWTWFPLLVSCLPNWPERIMFVLASFAVTSIQHVQFTLNHFSANVYVGLPTGNDWFEKQTSGTIDISCPSMMDWFFGGLQFQLEHHLFPRLPRCHLRKVSPLVRELCKKHKLPYRSLSFWEANKSTIRTLRTAALQARDLTNPAPKNLLWEAFNTHG >KJB08929 pep chromosome:Graimondii2_0_v6:1:13248758:13250022:-1 gene:B456_001G113500 transcript:KJB08929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYFPDNCKYLSVAGNPRQQKNKSQKFHSLFLQFLFQSLEATINSKTNAMFWPKYMFSPPPSSAPSPSVRLGHKISITTIHVMALDGIVNVNSLFTFAIFLGLAWYPIPTLIDPSSPASCAAGSNIAENLISCHVYSFSSFLFSSLIALAIKQAIKISKDSNDLAAHGVGASLVDVNLMALRVGMLVSSFGSVLGCGFLMMALVALVQIKLGTLGCGSIYTFAAIGPLCTDLRSFQILLLFIE >KJB10410 pep chromosome:Graimondii2_0_v6:1:35844934:35853073:1 gene:B456_001G199700 transcript:KJB10410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II family protein [Source:Projected from Arabidopsis thaliana (AT2G17510) UniProtKB/TrEMBL;Acc:F4INI6] MLKSNTFSRKTKAGRIKKEVREIYLRDDIYCGAAACKTCDTSTSRAPLDVASPLLILDTNVLLHQIDLLENSAIDNAVLLSVVLDEVKNKNMAVYNRIRALSTNPLRKFYVFSNQFHKDTFVKRMDGETPNDYNDRAIRVATRWYQTHLGTAATVLLITNDRDNKRTAGEEGISADTIQSYVKSLGQPELLDLLVQPASESEDTVMVEVEDLRPSKRQVVYQEHKPMSEITLGLHRGIYHQGKLRVNRYNPFQAYVGSESIGDEIIIYGRQNMNRAFDGDIVAVELLPQDQWHEEKNLSIADEEDEEEDDVHLAPSSADDAPRTTNLVSDSATDMNSTPSRPSGRVVGIIKRNWHSYCGSLEPMSMPAGTGSFTSALFVSKDHRIPKIRIQTRQLENLLDKRIIVAVDSWDRQSRYPSGHYVRVIGEIGDRDTESEVVLIENDINSRPFSSQVLACLPPLPWSVSSEDVANSIRQDLRSLRVFSVDPPGCKDIDDALHCTALPNGNYEVGVHIADVTNFVHPGTPLDGEASQRGTSVYLVERRIDMLPKPLTEDICSLRADVERLAFSVIWEMTPDAEIISTRFTKSVIKSCAALSYVEAQARMDDSRLMDPLTTDLRNLNALAKIMRQRRIDRGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAKQIRDCFPSCSLLRRHPTPTREMLEPLLCTAAAIGLDLDVSSSKALADSLDHAVRDDPYFNKLIRILATRCMTQAVYFCSGDLSPPEYHHYGLAAPLYTHFTSPIRRYADVIVHRLLAASLGIDKLPPVFQDRSQLTSIADNLNYRHRNAQMASRASVELHTLIYFRKRPTDTEARIVKIRSNGFIVFVPKYGIEGPVYLTGRGEKGSGEWHVDEQQQKIVKMDNSNSNSLSYSVLQSVRIHMEVVEPQPNRPKLQLTLIS >KJB10411 pep chromosome:Graimondii2_0_v6:1:35845039:35853065:1 gene:B456_001G199700 transcript:KJB10411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II family protein [Source:Projected from Arabidopsis thaliana (AT2G17510) UniProtKB/TrEMBL;Acc:F4INI6] MLKSNTFSRKTKAGRIKKEVREIYLRDDIYCGAAACKTCDTSTSRAPLDVASPLLILDTNVLLHQIDLLENSAIDNAVLLSVVLDEVKNKNMAVYNRIRALSTNPLRKFYVFSNQFHKDTFVKRMDGETPNDYNDRAIRVATRWYQTHLGTAATVLLITNDRDNKRTAGEEGISADTIQSYVKSLGQPELLDLLVQPASESEDTVMVEVEDLRPSKRQVVYQEHKPMSEITLGLHRGIYHQGKLRVNRYNPFQAYVGSESIGDEIIIYGRQNMNRAFDGDIVAVELLPQDQWHEEKNLSIADEEDEEEDDVHLAPSSADDAPRTTNLVSDSATDMNSTPSRPSGRVVGIIKRNWHSYCGSLEPMSMPAGTGSFTSALFVSKDHRIPKIRIQTRQLENLLDKRIIVAVDSWDRQSRYPSGHYVRVIGEIGDRDTESEVVLIENDINSRPFSSQVLACLPPLPWSVSSEDVANSIRQDLRSLRVFSVDPPGCKDIDDALHCTALPNGNYEVGVHIADVTNFVHPGTPLDGEASQRGTSVYLVERRIDMLPKPLTEDICSLRADVERLAFSVIWEMTPDAEIISTRFTKSVIKSCAALSYVEAQARMDDSRLMDPLTTDLRNLNALAKIMRQRRIDRGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAKQIRDCFPSCSLLREMLEPLLCTAAAIGLDLDVSSSKALADSLDHAVRDDPYFNKLIRILATRCMTQAVYFCSGDLSPPEYHHYGLAAPLYTHFTSPIRRYADVIVHRLLAASLGIDKLPPVFQDRSQLTSIADNLNYRHRNAQMASRASVELHTLIYFRKRPTDTEARIVKIRSNGFIVFVPKYGIEGPVYLTGRGEKGSGEWHVDEQQQKIVKMDNSNSNSLSYSVLQSVRIHMEVVEPQPNRPKLQLTLIS >KJB08281 pep chromosome:Graimondii2_0_v6:1:7643530:7644180:1 gene:B456_001G074800 transcript:KJB08281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGTKFFVIKRKFPLSLSSILSSSQFVSSQSNRKLVSSIVVYIQIQNLPMSLWLFCKTLETVRIQPNITPEFT >KJB06848 pep chromosome:Graimondii2_0_v6:1:45134367:45136131:1 gene:B456_001G222300 transcript:KJB06848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDDESGEQNHNGGNAHSEASGREQDRFLPIANVSRIMKKALPPNAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMMTLGFEEYVEPLKIYLLKYREMEGEKSSMGRGEKDGASGGSSGGASGGGGGGGSVGGGGVGSGGGEFNGGGGMYGGMMMGHHQGHMYSSGGFIIK >KJB08519 pep chromosome:Graimondii2_0_v6:1:9149284:9150619:1 gene:B456_001G086000 transcript:KJB08519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFDYISSFCSVSSTKTSKRKPMQTVEIKVKMDCDGCERRVRNSVINMRGVKTVDINRKQSRLTVTGNVDPNRVLKRVKNTGKRAEFWPYIPQHVVYYPYASGAYDKRAPAGHVRNVAQAYPTASSNAPEEKFASLFSDDNVHACSIM >KJB07863 pep chromosome:Graimondii2_0_v6:1:4649495:4650689:1 gene:B456_001G048800 transcript:KJB07863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQRSYNGRRNIDERPKNLSQCRLQRSSSLPPVARAPPPPPPPNQLKLAAMAIDLNVRLRSADMPLAMQERAIRKARALVDANNPGITKPTQVAMCLKKEFDALYGPAWHCIVGKSFGSFVTHAGGGFLYFSVDKLCFLLFKTEVRPLVKPPSLHRLKINNA >KJB06664 pep chromosome:Graimondii2_0_v6:1:2360372:2360641:-1 gene:B456_001G025100 transcript:KJB06664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QATAMDPPPQKINLISCEPMAHVSDIKLIRTDTTLDLSQKAEKGMLEDVQARFFYRLLLPSSVCIPMMWDIHQYIQQLVCKQAASHKYS >KJB08084 pep chromosome:Graimondii2_0_v6:1:6296570:6299509:1 gene:B456_001G063000 transcript:KJB08084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLSTMIAYGYRHCTPPIKQLLNYTTFLHLHLHHHHHHHHLQGRPLSFNSLNLPNLNLLLTGNCLFFRMQRFWIGNGINKNKQMVEHLQRYGVISSMKVAEVMETIDRALFVPDGASAYADSPMAIGYNATISAPHMHATCLQLLEQNLQPGMHALDVGSGTGYLTACFAIMVGPQGRAVGVEHIPELVASSIKNIEKSAAAPLLKEGSLSVHAGDGRQGWPECAPYDAIHVGAAAPEIPQALLDQLKPGGRMVIPVGNMFQDLKVVDKNMDGSISIRSETSVRYVPLTSRDAQLRGY >KJB10011 pep chromosome:Graimondii2_0_v6:1:27641656:27644280:-1 gene:B456_001G180200 transcript:KJB10011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDLLLDPKALSPPLAFTMTKVEEEKGAADGRDEYTEDGSVDLKGRPVLRSNTGRWKACSFIVGYEVFERMAYYGIASNLVLYLSRKLHEGTVKASNNVNIWVGTVWMTPIVGAYIADAFLGRYWTFVIASAIYLTGMLLVTLAVSVPALRPPSCGHGIKEEACNKRASDLQKGVFYCALYIIAIGTGGTKPNISTMGADQFDDFEPKERLSFLGRFLDKAAVKSGSNSPWMLCPVTQVEETKQMVKMIPVLSATFIPSTLLAQVGTLFIKQGTTLDRGMGPHFEIPAACLTAFVTIFMLISIPVYDRIFVPTVRRYTKNPRGITLLQRMGIGLVLQIIIMVVACFAERKRLSVAREHQIVGKDDTVPLTIFILLPQFALMGVADSLVEVAKLEFFYDQAPDGMKSLGTSYFTSSLGIGHFLGSSILTTVSNITMTNGHTGWILDNLNISHLDYYYAFLAAMGSLNFIFFLIVAKYFVYNVDVTERDLKEAIGASLDKASLKGEALTTGPI >KJB09972 pep chromosome:Graimondii2_0_v6:1:27312626:27315124:1 gene:B456_001G178900 transcript:KJB09972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGRADVNPKKRLITWIIVLVFCCGCFYAYTRSRGSSALEYGSKSLRKFGSSYWRGDEDTTDTSTKHEEDADDGFIPKSFPVCDDRHSEIIPCLDRNLIYQTRLKLDLSVMEHYERHCPLPEKRYNCLIPPPPGYKVPIKWPKSRDQIWKANIPHTHLATEKSDQNWMVVKGDKVVFPGGGTHFHYGADKYLASMANMLNFPKNSMNNEGNVRTVFDAGCGVASFGGYLLAYDILTMSLAPNDVHENQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRNGILLLELDRILRPGGYFAYSSPEAYAQDEEDLRIFRAMSAFVERMCWKIAVKRDQTVIWVKPLTNDCFMQREPGTQPPMCRSDDDPDLVWGVPMEACITPYSNQVHRSKGSALAPWPARLTTPPPRLADLGYSDDMFIKDTELWQRRVEHYWTVFGQNLESDTFRNVMDMKANLGSFAAALTNKEVWVMNVVPEDGPNTLKIVYDRGLLGSVHNWCESYSTYPRTYDLIHAWTVFSDIERRGCSIVDLLLEMDRILRPKGYIIYNDKRSIAEVIKKYLGALHWESVAISDSQLVEQEEDDVVFIIQKKMWLTSESLKDSE >KJB10722 pep chromosome:Graimondii2_0_v6:1:43999729:44002595:1 gene:B456_001G218200 transcript:KJB10722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMAPVGYVGVSPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVFSEHDSNFHALDKQLNEEAASLDWTKSRGHALSGIRHSENYEEYYVEDGRNSQKVGGNMSTSLENARASSVAAAFRRLINTPANVDASETHDEPEPDDSELVMHDGDENLDIQSQIRAQGKHAYELDPDGLADNQNKFEPDPDDLLHAETLQLKHHSESTENSSQSQMALGKSTAMSSNEEPDPDDSGASSSSGNVVEPVVMPVLDTKIQTSETIDEPDPDDVEAQSSISGCVNRANYDPRHHITTETLEDQAHFNQGYKEPDPDAKANEIVQAEPDPDDKLLPQLGISNMKIDEPDLDDQELQRIQDSVSGICSRLQKAIEMLRAEVNPTEATVVLQTLFKIIRNVIEHPDEMKFRRLRKANPIIQRNIANYKAAMEILLLIGFSEDVILDEIGKAETYLVLKRNDPGLLWLAKSSLETCIAY >KJB10721 pep chromosome:Graimondii2_0_v6:1:43997862:44002646:1 gene:B456_001G218200 transcript:KJB10721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYFCPFMFIAPQEEYSLPCKRKYPSIPQCNTEEGENMVNVTVIWRGNKYMVEMDSGANLKELGDELQKLTDVKPDTMRLIVPQLSGKGSRMLHPFSDEHSCLSLQEASVFMGKSVRMMGVPEDEVDRVIQNSKVDMRIIGFSEEERRLRQRMSDGPSNVPIRLPQGPYIFCDFRTLHIPGVELHPPASEALKRMHMLAADPGIIAIMSKHRWRVGVMTEMAPVGYVGVSPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVFSEHDSNFHALDKQLNEEAASLDWTKSRGHALSGIRHSENYEEYYVEDGRNSQKVGGNMSTSLENARASSVAAAFRRLINTPANVDASETHDEPEPDDSELVMHDGDENLDIQSQIRAQGKHAYELDPDGLADNQNKFEPDPDDLLHAETLQLKHHSESTENSSQSQMALGKSTAMSSNEEPDPDDSGASSSSGNVVEPVVMPVLDTKIQTSETIDEPDPDDVEAQSSISGCVNRANYDPRHHITTETLEDQAHFNQGYKEPDPDAKANEIVQAEPDPDDKLLPQLGISNMKIDEPDLDDQELQRIQDSVSGICSRLQKAIEMLRAEVNPTEATVVLQTLFKIIRNVIEHPDEMKFRRLRKANPIIQRNIANYKAAMEILLLIGFSEDVILDEIGKAETYLVLKRNDPGLLWLAKSSLETCIAY >KJB07493 pep chromosome:Graimondii2_0_v6:1:2526495:2528914:-1 gene:B456_001G027100 transcript:KJB07493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLSMINAGITSQLLLTLFKIEGVVHYGIAGNANPSLHIGFVTIPQYWSHSALWSWQRYGYGPSDELPLEPNGDYTRNIGYIKFADYAENVTACNSHDNLLNNVWFQPEEVFPVDGTPEQRQHAFWIPVDPLYFNISKSLEDMELENCVNATTCLDETPRVVQVHRGTSAGIYVDNAAYRSFIYKKFNVSPVDMESAAVALICMQQRVPFIIIRALSDLAGGGSAESNEIDTFISLASNNSVNVVVEFIKRLVSDH >KJB07494 pep chromosome:Graimondii2_0_v6:1:2526660:2528422:-1 gene:B456_001G027100 transcript:KJB07494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLGRRFRFGKIVEKNVILVMTGLSMRYGYGPSDELPLEPNGDYTRNIGYIKFADYAENVTACNSHDNLLNNVWFQPEEVFPVDGTPEQRQHAFWIPVDPLYFNISKSLEDMELENCVNATTCLDETPRVVQVHRGTSAGIYVDNAAYRSFIYKKFNVSPVDMESAAVALICMQQRVPFIIIRALSDLAGGGSAESNEIDTFISLASNNSVNVVVEFIKRLVSDH >KJB09148 pep chromosome:Graimondii2_0_v6:1:15849509:15850575:-1 gene:B456_001G126100 transcript:KJB09148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASSQTPNALFGPPPPDVSLPPPSSTILPIITSLLSLVLHLSLFLQLHLPVPFHLQQLRSCKELLDNLLLKSVRSFQF >KJB09686 pep chromosome:Graimondii2_0_v6:1:22636528:22636973:-1 gene:B456_001G157800 transcript:KJB09686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTVEVGGEKVKAMLDKRLIEIFCDICIKKILKGNRLDTHFTKDGWLKIMTNFEKETGKGFSQRQLKNRWDALKKEWKAWKKLKGEDTSLGWNPIKRTVYASDDWWESRLQVVPEA >KJB09420 pep chromosome:Graimondii2_0_v6:1:19018956:19021245:-1 gene:B456_001G140600 transcript:KJB09420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKQNGSCRQGRKKAWLISMSSGGGGIERVVALGGALAVASLIAAFSIKKSSCSKKDISTNKEETANVEATDSCKEEDAGEGLRFILQDSSSTLHHNSCCANHGTSKIGVAEIETSKLVFTQSLITLEENTIECERKESVSGQEETLIHDAEQESNAMTGGYGDIEELSLSVGDTENNPMNGVENESNASLEMETIEITIEDEAVDHVDAKQPMAEESSTLQSSLSSKEDDKSSISAGEEDYEEYPLMESLYPAEENEEYPLMESLHPAEENEDEEEYSPLRSSFSVEDNRIDEEEEEEEDDDDDGEYSTKESSFSTEEEKDSLLQSPLSTEDENGENVAGMEEESSEGAWSSSPEPNTEVIWPAEMMGVLSPESKENEMNVSYLEYQMKSKEEPKTAKIETYHYLAKTKFDEKKKIATEELVVMDVRKQHSAAKRQIWFWLGLVVLLLALLVNSLLQSNYVSNSVSFVFPMK >KJB09217 pep chromosome:Graimondii2_0_v6:1:16436625:16439137:1 gene:B456_001G129700 transcript:KJB09217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRPRQQVALTWTRCPVTYPDIALTWTRFCGKWPIPYKKGGTPPHHHHHYHHYHIHSISLKLRNKESISVSLVLYPNPPPMLKMEYTSEVAAQSPSPKSSNSSSSPPPPPSTSVVISPCAACKILRRRCAEKCVLAPYFPPTEPTKFTIAHRVFGASNIIKFLQELPESQRADAVSSMVYEASARIRDPVYGCTGAICQLQKQVNELQAQLAKAQAEVVNMQLQQANLVALLCMEVAQSPQQNPHQPINDFLSNSPQSYHSNPSFLDDNNLGSSWEPLWT >KJB10491 pep chromosome:Graimondii2_0_v6:1:39708280:39710217:1 gene:B456_001G204200 transcript:KJB10491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISYYGCLWLVSLLNLVWCFVQAWECTPGKEMAWNILSLFTTSGMLFLEISLLAFLLQGNHASGLQALTRTFVISGLIVALDLLLKAIYLFGFGVPLFIDNSEHPRQIKWGLWVVHRLVLTAIYGSILFMYHYKWRESKTCILQICCLHVHLECTRTVWCTNWKWS >KJB10492 pep chromosome:Graimondii2_0_v6:1:39708083:39711042:1 gene:B456_001G204200 transcript:KJB10492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISYYGCLWLVSLLNLVWCFVQAWECTPGKEMAWNILSLFTTSGMLFLEISLLAFLLQGNHASGLQALTRTFVISGLIVALDLLLKAIYLFGFGVPLFIDNSEHPRQIKWGLWVVHRLVLTAIYGSILFMYHYKWRERPAFYKYVAFMFILNALELFGALTGNGASFGFWLYSATIVCYHTFYLPLLYITFLANFFEEEDMHLENVYYSEMKDAGFFDADCE >KJB08762 pep chromosome:Graimondii2_0_v6:1:11449968:11450216:-1 gene:B456_001G102400 transcript:KJB08762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGWCRGRRQLRRRRGSTVRLGNKRRGFNMGLRPVIRLGMMMVAPLRLLKRMIMEIRPKGNLIEAYYMYLPFLRLQLFPLC >KJB07875 pep chromosome:Graimondii2_0_v6:1:4743459:4746120:-1 gene:B456_001G049900 transcript:KJB07875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DYAD [Source:Projected from Arabidopsis thaliana (AT5G51330) UniProtKB/Swiss-Prot;Acc:Q9FGN8] AVAHIRVGSYYEIDHSKLPTKTPEHLKLVRVIMVSKKSKCSVSLRYPSMYSLRAHFSERNRRKVDAKMLPTLDEQYSMESEIAGDVLYRRIPPHVIAVQRNRWSFWVMGVSSPKEQEIGKNPSPSPAVITSYVNMVIKKGLCWSELISAGMLRWGRRRQVRFLGRYVEERGSIGFKGEEDEKENEEDNEEDNKEEEEETASDDEIMETEVKSCKRKVHGENYKTQTAKKPKQENDKQITLYKPSKRKEVKNSIERWSVQRYNLAEKNMLKIMKEKGAVFGNPILRPALRAEARKLIGDTGLLDHLLKHMAWKVAPGGEERFMRRHNADGAMEYWLESADLMDIRKEAGVQDPYWTPPAGWKLGDNPTQDPVCARELQELREEIAKLRRDMLEAKKTDENLALVITPNVSVASQNMVHDATTMLLPLKEKYMDLMKWKANLEGQLGEISKSLCGVEEEMGKLRSKVEAANALVIMGSTTPPLNTDSDKVMVVVSDEEKDGYPEERILSVTRKRRNPVATTENKAAKIRRLKSGFRICKPQGTFLWPNMALSRQVVAPIDDLLVVPTPPSVSSSTTTAPRRSPQPTSTVEPLAERRQTVAIKYLPTSPPLPPEATTETTLINLNEVPGNLHDHGYCGSHSSPCPLTYQRRHHHWTTSTSLPRMIHTKKENETSQWEEQERGYSSHSPCSPRTWLALSAPRSSMNKNPRRV >KJB09095 pep chromosome:Graimondii2_0_v6:1:15349632:15351801:1 gene:B456_001G122800 transcript:KJB09095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPAGFRFFPTEEELVSFYLRNQLDGRRQEMHSVIPVLNIYDVEPWDLPQLAGERCRRDTEQWFYFTPRQEREARGGRANRTTASGYWKATGSPSYVYSSDDRVIGMKKTMVFYKGKAPSGKKTKWKMNEYRAIEAVPNPTAVTTTPMLRHEFSLCRIYVVSGSFRAFDRRPLEPVSKATQLHENRTATFSERASMMEVTSSSSTSHTGGDHSDQPEANEDDIWEMVNDLEEPPLGWEQMNWTGV >KJB08945 pep chromosome:Graimondii2_0_v6:1:13469835:13470412:1 gene:B456_001G115000 transcript:KJB08945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKVSQKTGNKATGSTIIHKSNTSAAGNKGVPRPRSYGFMRCKTSKISPVRFLKHLGGKLARGLHVVSMKIRQSPKVSSSSGRSKPFVTPVDSHRTAAVEDCIEFINSSASLPRSNSVSANPH >KJB09461 pep chromosome:Graimondii2_0_v6:1:19570053:19575986:-1 gene:B456_001G143900 transcript:KJB09461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRQSNGRSPLVNQQSQITSFFSKKNSSSPSPSPPPPLAKHTSKLNPIPGPKPNPSRSPIPTTPSPGEPKLKKPLLVIGQSPAPTPSSPLNITFGDEVVDKRLRVYWPLDKAWYEGVVKSFDKVSGKHLIQYDDSEEEELDLGKEKIEWVEETTGRFKRLRRGGSLGFKKVVIDDEDDDVADSANEKSDDDDSSDEDWGKNAEKEVSEDADEEDMDLEDEKEEEEELEEEEVGMKISKRKGGGKTESKKRKANGVAKPESGKKSKTSANVSTKEEFKVPSVEPVKKIETDKASTADKALVVDELERFGKREAEKLHFLGLEVRRDANRKRPGDANYNPKTLYLPPGFLKSLSGCQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHIGAKELNLQYMKGEQPHCGFPEKNFSMNVEKLARKGYRVLVVEQTETPEQLELRRKEKGAKDKVVKREICAVVTRGTLTDGEMLSSNPDPSYLMAVTESCQSSTNPNEKRVFGMCAVDVATSRIIIGQFEDDSECSALCCLLAELRPVEIIKPTNLLSLETERAMLRHTRTPLVNELVPTAEFWDADKTVHEVKTIYKCINDQSAAGSVDVGTGAANTYEDDELGFLPAILSSLLRAGVNGSLALSALGGTLYYLKQAFLDVTLLRFAKFELLPSSGFSSIAQTPYMLLDAAALENLEIFENSGNGDSSGTLYAQVNHCVTAFGKRLLRTWLARPLYHTDLIKERQDAVAGLKGESLSYALEFRKALSRLPDMERLLARIFASSEANGRNAHTVVLYEDAAKKQLQQFISALRGCELMVQACSSLSVILKNVESTQLHHLLTTGKGLPNINSILKHFKDAFDWVDANNSGRIIPHKGVDLEYDSACGRVKEIESSLTKHLKEQQKLLGDSSITYVTIGKDSYLLEVPESLRGSVPRDYELCSSKKGFFRYWTPSIKKFLGELSLAESEKETAFKNILLRLIGRFCEDHNKWRQLVSTTAGMFAHFYAICTEAWFIYLSFFCQFNPVVSCFRAG >KJB09460 pep chromosome:Graimondii2_0_v6:1:19567567:19576259:-1 gene:B456_001G143900 transcript:KJB09460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRQSNGRSPLVNQQSQITSFFSKKNSSSPSPSPPPPLAKHTSKLNPIPGPKPNPSRSPIPTTPSPGEPKLKKPLLVIGQSPAPTPSSPLNITFGDEVVDKRLRVYWPLDKAWYEGVVKSFDKVSGKHLIQYDDSEEEELDLGKEKIEWVEETTGRFKRLRRGGSLGFKKVVIDDEDDDVADSANEKSDDDDSSDEDWGKNAEKEVSEDADEEDMDLEDEKEEEEELEEEEVGMKISKRKGGGKTESKKRKANGVAKPESGKKSKTSANVSTKEEFKVPSVEPVKKIETDKASTADKALVVDELERFGKREAEKLHFLGLEVRRDANRKRPGDANYNPKTLYLPPGFLKSLSGCQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHIGAKELNLQYMKGEQPHCGFPEKNFSMNVEKLARKGYRVLVVEQTETPEQLELRRKEKGAKDKVVKREICAVVTRGTLTDGEMLSSNPDPSYLMAVTESCQSSTNPNEKRVFGMCAVDVATSRIIIGQFEDDSECSALCCLLAELRPVEIIKPTNLLSLETERAMLRHTRTPLVNELVPTAEFWDADKTVHEVKTIYKCINDQSAAGSVDVGTGAANTYEDDELGFLPAILSSLLRAGVNGSLALSALGGTLYYLKQAFLDVTLLRFAKFELLPSSGFSSIAQTPYMLLDAAALENLEIFENSGNGDSSGTLYAQVNHCVTAFGKRLLRTWLARPLYHTDLIKERQDAVAGLKGESLSYALEFRKALSRLPDMERLLARIFASSEANGRNAHTVVLYEDAAKKQLQQFISALRGCELMVQACSSLSVILKNVESTQLHHLLTTGKGLPNINSILKHFKDAFDWVDANNSGRIIPHKGVDLEYDSACGRVKEIESSLTKHLKEQQKLLGDSSITYVTIGKDSYLLEVPESLRGSVPRDYELCSSKKGFFRYWTPSIKKFLGELSLAESEKETAFKNILLRLIGRFCEDHNKWRQLVSTTAELDVLISLAIASDFYEGPTCRPCVLGSSCSNEVPCFSAKGLGHPILRSDSLGKGAFVPNDISIAGSGHASFILLTGPNMGGKSTLLRQVCLAVILAQVGADVPAEQFELSPVDRIFVRMGSKDHIMAGQSTFLTELSETALMLSSATQHSLVALDELGRGTSTSDGQAIAESVLEHFVHKVQCRGMFSTHYHRLAVDYRNNSKVSLCHMACQVGNGVAGAEEVTFLYRLTPGACPKSYGVNVARIAGLPDSVLRTAASKSREFEAVYGKHRSKGSEDKLPMQSSLDEMVVFIRELISLTRLKTCEEGTCIRSLTQLQQRARMLLHQH >KJB11455 pep chromosome:Graimondii2_0_v6:1:53507051:53509571:-1 gene:B456_001G259800 transcript:KJB11455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISAFSTPLHLISKTHFKSPKNLSFYPNSIHFQTKVSTFSSNNLTLSFKNSKTLYGTWKLKSAEEEETAVVEQEREETTVAEQESVSVPVSPSDTLRMYFQADGTLNEAEIPKVTKALEGAEGVSDLKVQVLEGIGTVELTKQTTVQATGVASNFVELIQGAGFKLQTLNLSFDDEEDILV >KJB07185 pep chromosome:Graimondii2_0_v6:1:1544943:1546127:1 gene:B456_001G016800 transcript:KJB07185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHLSEKSAVETSDSRFKGVRKRKWGKWVSEIRLPNCRERIWLGSYDTAEKAARAFDAALFCLRGRSAKFNFPDNPPEIAGGTSLSPHEIQAAAARFANSEPSTIHSEQTDSAFQMESPSPSVSDATVQFDGSAMDLLTMGSGNGVSDYGIFPGFDDFSSDVLGSSMLNIGGEDENFDGILIPGSFLWNF >KJB08333 pep chromosome:Graimondii2_0_v6:1:8035082:8035846:1 gene:B456_001G077700 transcript:KJB08333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFPNKATRFKRSRKQSNSLLFRHDWTLITFFFFFLVRTLNHLSLEKGREMAMISSFFGNRRNSIFGNPFSFDVLDPFRGFPLSSSSLATTRIPETAAFANTIIDWKETPEAHVFKADLPGLKKEDVKVEIEDDRMLQISGERKIEKEDKNDAWHRIERSSGKFMRRFRLPENVKMEQVKASMENGVLTVTVPKQEVKKPDLKSIEVS >KJB09679 pep chromosome:Graimondii2_0_v6:1:22065177:22066592:-1 gene:B456_001G156000 transcript:KJB09679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLQTPHIAILPSPGMGHLIPLVQFARSLVHQHNFIVTFVIPTNDSPSKAQKSVLDSLPTSITHIFLHPADLSDLPLDSKIETVISLTLARSLSFLRDAFKSMVDKTNLVALVVDLFGTDAFDVAREFNVSRYIFFPATAMTLSLFLYLPKLDQMAPCEYRDRPELVRIPGCMPIHGKELLDPTQDRKNDAYKWLLHHTKRYGLAEGIMVNSFVDLEAGAIKALQEKEPGKPPVYPVGPLVNIDPSKVDDGSDCLKWLDDQPHGSVLYVSFGSGGTLSYNQIHELALGLEMSEQRFLWVVRSPNDAVANATYFSVESEKDPFDFLPKGFLQRIKGRGLVVASWAPQAQVLSHGSTGGFLTHCGWNSTLESVVNGVPLIAWPLYAEQKMNALMLIEDIKVALRPKPNENGLVCKDEIAKAVKVLMEGEEGKGVRNRMKHLKEAASKLLGENGCSTKALSQVASKWRNQTAI >KJB11732 pep chromosome:Graimondii2_0_v6:1:55198154:55201163:-1 gene:B456_001G274700 transcript:KJB11732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGISRRKRSSVRWFLILCAAFTFISWLLLNLRSVDAPHLTTAADGAMLDLPAQLALRELRDAFFSSSAQPPTKSPAKNCATVEEMGKVFEGKILKETRRVRSIIRRHFSMNGAPRIRELPPEQFCKHGFVIGKASEAGFGNEMYKILTAAALSIMLNRSLIIGQTRGKYPFGDYILYSNITFTLREVKHLWRQHGCVENYGRHLVMRIDDFEKPAKTNALCGNWRTWPQPIIWYQGTTDAVGAQFFLKNIHPDMRDAATELFGRPESLQWRPNVFGELMSILISPSRDVEEAVNWVLGGGREPDITLHMRMLMNRSIRAAQAALYCLKQATSNLQLGSRPRVVVVSDTPSFVKSIVPNISGFSEVLIFDYELFGGNVSYNTDASKNLDFRVKDWGPAPRWVAFVDFFLASRAKHAVISGAHKRVGTTYAQLIAALAAANSIGENSTSSGFSFLSSFQSNLLAEGLNLQVGWGHVWNRFAGPLSCRGQSNQCAFTPLLPPAWWEGVWQSPIPRDISRLEQYGVQLSENGRIDENQLRSYCSARKNTVKIVTYV >KJB06172 pep chromosome:Graimondii2_0_v6:1:21632164:21632901:-1 gene:B456_001G154300 transcript:KJB06172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLLGKTCSARQMVMFSATWPAAVHRLAQEYMDPNLVKVVIGSEDLAANHDVMQIVED >KJB10883 pep chromosome:Graimondii2_0_v6:1:46732063:46734201:-1 gene:B456_001G230400 transcript:KJB10883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNLMRAVQYSKYNGGAADLKHAEVPIPSPKKDEVLIKVEAASINPIDWKIQEGVARPFLPRKFPHIPGTDVAGEIVQVGSGVQSFKVGEKVVAVLGNGGALAEYAVAKEGSTVPRPPEVSAPEAAALPIAGLAAHQSLTQLAGLKLDGTGPQVNVLVTAASGGVGQYAVQLLKLANAHITATCGARNMDLVRSLGADEVLDYKTPDGVALKSPSGRKYDVIIHCAQNIPWSTFSANLTPKGKVVDTTPGFGTLMSVAAKKIKCSKKQLIPLFTSPKKENLDFLVKLVQAGKLRPIIDSKHPLSKAENAWAKSIEGHATGKILVEP >KJB07884 pep chromosome:Graimondii2_0_v6:1:4788039:4789870:1 gene:B456_001G050400 transcript:KJB07884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPTNKASSFINISLIQFSLSLFESSIDCPDFFFFFFFFFSLQLTICIRYFIMLFLKLFLLFSLCSFTFSHDADFNPHLLPRPLIIQPEDIETQYEKFDDELNLHCTSWRFSVEANNLSPWKTIPEECLGYVKEYMIGRGYKLDLERVSSEAGVYAKNLELSGDGKDVWIFDIDETLLSNLPYYIEHGYGLEIFDPIEFDKWVQKGMAPAIEPSLKLYEKILDLGFKVFLLTGRNEKLKSITIENLTKAGFRRWDKLILRDSEQHGKLAVVFKSEKRGEMVEEGYRIVGNSGDQWSDLLGADPSRRSFKLPNPMYYIP >KJB11131 pep chromosome:Graimondii2_0_v6:1:48286974:48288014:1 gene:B456_001G242300 transcript:KJB11131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSPKEFIEAALVAATTPYALSYTDSKQKWVILRHLLSLLQEFPGFKPSTGRFMHNDGTEVNLLRATGCVHVANSTTPTIPLVIWLHENYPQKAPLVFVSLHPMTPVHRHHPFVDNTTGATSPPYILTWKYPPCNLSELLRNLVQLFSIDHPFSYTLTTPACLTHPWLVSPKEALDRLVGMLHYDMVALRASTSDEIEKLSLLQEELKRRDRFITSMVAELGEERMRLEERVKNWAEETDRVENWLRVNDGRSIMDLNAGDVEIEDAFEMDETSRARLESSVADLAIEEVMYKLDKALEHEAVSFDSYIKQVRSLARQQFFHRCNEMASTSSPTSV >KJB07413 pep chromosome:Graimondii2_0_v6:1:2348653:2349018:-1 gene:B456_001G024800 transcript:KJB07413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDRNKRETITRRLNNSAPSPRQTAKFLTATTLGAMFLFLSGLTFTGTVIALVMATPLMVLFSPVVVPAGLAILLVTTRFLFSGGCGVAVITALSWVHNYVQGKHNTPPGAADDLEYSRI >KJB07637 pep chromosome:Graimondii2_0_v6:1:3646648:3650464:1 gene:B456_001G039100 transcript:KJB07637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPSTVTQESGPAAFDLVTQLGHLAFNKSFRSSNTDGFCSFKPNHVYFSGFRDSISQKKRRVAAAASLSLGARNSVSSSVRRILNDFNRAIKFHCDRIPIGFASIRVGSEDNNGVRDGGGGVLEVEGLPLNGVETETPKKVLILMSDTGGGHRASAEAIKAAFNEEFGDEYQVFVTDLWSDHTPWPFNQLPKSYNFLVKHGSLWRLTYYGTAPRVIYQSNFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVPLRILKSKGLLKKIVFTTVVTDLSTCHPTWFHKLVTRCYCPSAEVAKRALKAGLQPSQIKVYGLPIRPSFVKPVRPKIELRRELGMDEDLPAVLLMGGGEGMGPIEATARALEHALYDENLGEPLGQILVICGHNKRLASKLLSIDWKIPVQVKGFVTKMEECMGSCDCIITKAGPGTIAEAMIRGLPIVLNDFIAGQEVGNVPFVVENGCGKFSKSPKEIANIISQWFGPKADELKSMSENALRLARPEAVFKIVHDLHQLVRQRNFVPQFSCTT >KJB11194 pep chromosome:Graimondii2_0_v6:1:48856333:48857133:1 gene:B456_001G244900 transcript:KJB11194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIETILSNSIAAVFFVAFVVPETMWYGSATTPIKLFGPTRYQWDQGYFQQEIYQRVSVGLAENQSLSEAWSKIPEKLAFYDYIGNNPAKGGWNSCWVCEQVGVTAEFYGGELNGVSYSDPATLKKYARRAQLGENFELD >KJB10276 pep chromosome:Graimondii2_0_v6:1:32798273:32799752:1 gene:B456_001G193500 transcript:KJB10276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLAQHQPTQYPTLEELSIGMIKFKAFDLGCHQIARRVWKDYYAKVRREKISERMKYLQDLVPGCNKITDKAGMLNEIINYVQSLQRQVEVKK >KJB11707 pep chromosome:Graimondii2_0_v6:1:55025696:55026967:-1 gene:B456_001G273400 transcript:KJB11707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTSASSSSSSLAATAIPQQSQWLQTSLSYLEEKMKAMMTLLQESDNSKSSCQMAHISHNWKQQLVQMLGELNRAYCSLAHKYDQLRSKSKRVLEASNDPIREAFDTCHEPVMDDSDYEHHISDFEYLNKLADDLVLTRQCNMSFMKKPEEQTNREFSDEEDVVLKVNDEFQRFKPKAAEFEKESTWFQFTKLMEENLRQQAELLRRNNEKRETINRLRLKLEQVKSENKILQLQKCLYCSKVGVKRNHSQNSRSTGLFLGKFFNGGCS >KJB09678 pep chromosome:Graimondii2_0_v6:1:22024410:22026023:-1 gene:B456_001G155900 transcript:KJB09678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGKCRVCVTGAAGFIGSSLVKKLLEKGYTVHATLRNLGDASKVQLLKSFPHADTELVLFEADINRPDEFEQAIHGCMCVFHVATPLQPSDASQFKNTSEAAVSAIKSIAECCTKSGSVKRLIYTASVCAASPLKDDGSGYKESIDETCWTPLNLSLASSNGFFKDYTVSKTLAENEVLGYGSSEKDGGMTVVTLACGIVGGDTVLSYTPGSVAAFISQVTHNAHSYRSVKYAEELLGKLPIVHINDVCEAHIFCMEKPSISGRFLIASAFVSAAQLAGCYQLYYPEFNVKAEKLDGAKGDAKWGSTKLIEKGFEYKSDLKMIIDDSIRCARRTGDLQH >KJB09188 pep chromosome:Graimondii2_0_v6:1:16280960:16281485:1 gene:B456_001G128500 transcript:KJB09188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCCSGDVDSVVPITSTRYAINKLKLPVKTAWRPWSINDEVGGYVEEYEGLTLVTVRDAGHFVPSYQPARALTMISSFLQGVLPPP >KJB08770 pep chromosome:Graimondii2_0_v6:1:13183134:13185987:-1 gene:B456_001G113000 transcript:KJB08770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELREHADSNIVVMMAGNKSDLNHLRAVSEVDGHGLAEKEGLSFLETSALEATNIEKAFQTILTEIYHIMCKKALAAQEAAATTKLPGQGTTINVADASGNTKKGCCST >KJB08771 pep chromosome:Graimondii2_0_v6:1:13183165:13186013:-1 gene:B456_001G113000 transcript:KJB08771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELREHADSNIVVMMAGNKSDLNHLRAVSEVDGHGLAEKEGLSFLETSALEATNIEKAFQTILTEIYHIMCKKALAAQEAAATTKLPGQGTTINVADASGNTKKGCCST >KJB10645 pep chromosome:Graimondii2_0_v6:1:42544382:42545605:-1 gene:B456_001G213100 transcript:KJB10645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTKLFQAPTKPEAPLVSKRGGRLIHISFDEDHNMSGSTDLDSYISNHVISSSTSRNSYALEEACTIWEGVLIIDQKEESTSTNMPLGTTIHSIEITLGMGGQLPRAVGADVKLIAKERKSTTLKLPSGEVHLISKNYSTIVGQVGNVRVNQKGLGRVKFKGWLGKRLVVRGVVMNPVDNPHGGGERRAPIGRKKPATLWGYPALGRRSRKRNKYSDNLILRRQKNSNLYLLFLP >KJB09455 pep chromosome:Graimondii2_0_v6:1:19453609:19456023:-1 gene:B456_001G143300 transcript:KJB09455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAMVLLQTGKRLASAAFRRESICWSDDSFSLPTAIALSDISSAATTPPSTPPHNPTSFSESENISQLLSHIKLLIRRRTAAIAALDAGLYSEAIRHFSKIVDGRRPAPQGFLAECYLHRAYAYKASGRIAESISDCNKTLALDPTSIQALDTRASLLETIRCLPDCLHDFEHLKLLYNSILRDRKLPGPAWKRHNVRYREIPGKLCALTTKIQQLKQRVASGETGNVDYHALIGLRRGCSRSELERAHLLLCLRHKPEKATNFVDRCEFADERDLDSVKDRTKMSALLLYRLLQKGYASVMSTIMDEESAERQRKKAAAALQAAQAAIHVQQTQYCNSKLEPETSPTSSTNPSGCNNRGNRSESKTNAVSSNTNVFQGVFCRDLAAVGNLLSQVGFNRPLQVKYEALSC >KJB09454 pep chromosome:Graimondii2_0_v6:1:19453533:19456329:-1 gene:B456_001G143300 transcript:KJB09454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPCLSGNDQKKHWWLTNRKLVDKYIKDARCLIATQEHNEVASALNLLDAALALSPRLEVALELKARSLLYLRRFRDVVDMLQDYIPSLKISTDDSGSVSSDNSSHQLSRERVKLLPSNDSSSDSSSRDPSFKCFSVSDLKKKVMAGLCKSCDKEGKWRYLVLGQACCHLGLMEDAMVLLQTGKRLASAAFRRESICWSDDSFSLPTAIALSDISSAATTPPSTPPHNPTSFSESENISQLLSHIKLLIRRRTAAIAALDAGLYSEAIRHFSKIVDGRRPAPQGFLAECYLHRAYAYKASGRIAESISDCNKTLALDPTSIQALDTRASLLETIRCLPDCLHDFEHLKLLYNSILRDRKLPGPAWKRHNVRYREIPGKLCALTTKIQQLKQRVASGETGNVDYHALIGLRRGCSRSELERAHLLLCLRHKPEKATNFVDRCEFADERDLDSVKDRTKMSALLLYRLLQKGYASVMSTIMDEESAERQRKKAAAALQAAQAAIHVQQTQYCNSKLEPETSPTSSTNPSGCNNRGNRSESKTNAVSSNTNVFQGVFCRDLAAVGNLLSQVGFNRPLQVKYEALSC >KJB10765 pep chromosome:Graimondii2_0_v6:1:45557342:45561373:1 gene:B456_001G223100 transcript:KJB10765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASINLICCSFSYVPQNSSKFKTLIRARNLSQQSPVLSKRTSNSSVFLKPNNRIALVSPFQQKGVLKICQSSLNTQNSEEEAGQDKGLSVDNGKEGRDWTTSILLFVLWGALMYYVFNLSPNQTPSRDMYFLKKLLNLKGDDGFRMNEVLVSQWYIMGLWPLVYSMLLLPTGRSSKNNIPAWPFLVLSFFGGVYALLPYFVLWSPPPPPVDENELEKWPLNFLESKLTAGMALAAGIGLIIYAGLANADIWREFFQYFRESKFIHIMSLDFTLLSAFAPFWVYNDMTARKWYDKGFWLLPLSLVPILGPALYLVLRPSLSDLPVTVSKTTSE >KJB08780 pep chromosome:Graimondii2_0_v6:1:13197332:13198199:1 gene:B456_001G113100 transcript:KJB08780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIFFSNRRSYVFDPFSLDFRDPFKDFPFPSSLTTRTSNTFAFVNARIDWKETLEAHVFKADLPK >KJB11653 pep chromosome:Graimondii2_0_v6:1:54683071:54686739:-1 gene:B456_001G270200 transcript:KJB11653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDSNHFSKPETPNTMKAEAEAEERDPESNSSLSEPLLKRNRTLSSNPLALVGATVSHIESLDYEINENDLFKHDWRSRSKVQVLQYIFLKWTLAFLVGLLTGLIATLINLAIENIAGYKFLAIVGFIKEERYLSGLVFFTGVNFVLTLVAAVLCVCFAPTAAGPGIPEIKAYLNGVDTPDMFGATTLLVKIVGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNYRLQWRWLRYFNNDRDRRDIITAGCSSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFIQICNSGNCGLFGTGGLIIFDVSDVKVSYQAMDIIPVIIIGIIGGLLGSLYNHLLHKILRLYNLINQKGKLHKLLLALSVSLFTSVCQYCLPFLAQCKACDPSFPEVCPTNDRSGNFKQFNCPDGYYNDLATLLLTTNDDAVRNIFSSNTPSEFQYTSILIFFTLYCILGLFTFGIAVPSGLFLPIILMGSGYGRLLSMLLGSYTNLDQGLYAVLGAASLMAGSMRMTVSVCVIFLELTNNLLLLPMTMIVLLIAKTVGDSFNPSIYEIILELKGLPFLDANPEPWMRNLTVGELANAKSPAVTLSGVEKVSRIVDVLKNTTHNAFPIVDQDVLVPGTVVTGATELHGLILRAHLVQALKKKWFLAEKRRTEDWEVQEKFNWVELSERELKIEQVAVTHDEMEMYMDLHPLTNTTPYTVVESMSVAKAMVLFRQVGLRHMLIVPKYQGAGVPAVVGILTRQDLRAYNISTVFPHLATHKEQQKHK >KJB06340 pep chromosome:Graimondii2_0_v6:1:6332988:6333288:1 gene:B456_001G063300 transcript:KJB06340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFASSFRGAHSRLTRTITQQRIRALVSAHRDRDRKKKGFSSYSRLIHNLYRKQLLLNRKILAQIAISNRNCLYIISNEIRK >KJB06173 pep chromosome:Graimondii2_0_v6:1:26952627:26954033:1 gene:B456_001G178400 transcript:KJB06173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNYTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKSGESATKEE >KJB10338 pep chromosome:Graimondii2_0_v6:1:34383305:34383610:1 gene:B456_001G196600 transcript:KJB10338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSKRLFLKSKRSFRRHLPPIQSGDRIDYRNMSLISRFISEQGKILSRRVNRFTLKQQRLITIAITQACILFSLPFLNNEKQFERSEFTTRSTAFRTRNK >KJB07414 pep chromosome:Graimondii2_0_v6:1:24020582:24022123:1 gene:B456_001G168600 transcript:KJB07414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTSCSSDPMIGAVECRVGALRWLGTRENQTTNRLGKGLSGPLLAQPVKGRCAETVQYNNINFQVWDLGMMITTS >KJB10244 pep chromosome:Graimondii2_0_v6:1:32355523:32357659:1 gene:B456_001G192200 transcript:KJB10244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLTLSNILHQHFPDALHFSLLKSSKTHQETQQLHAFSLKTGVFSHPSLSSRLLSLYADPNINNLRYARSVFDRIQHPSLVLWNIILKCYIQNQQSHEAISLFVLLLGHFPPDEFTFPCVIKACAKLTALKEGKQIHGLVLNLGFGLDKFVQASLVNLYSKCGKIGSAYAVFDQMDEKDLVSWNSLLDGYARCGEVKAAMKVFDEMPEKDCFSWTVLIYGLAKCGEVETARKIFENMPNRNLVSWNTMINGYIKAGDTNSACKLFDQMPTRNLITWNSIIGGFKLNLRFLEALEMFERMLEEEFRPTHATLVSVISAVSGLASLGTGRLIHSYIVKNGIELDGVVGTVLIEMYSKCGGIDSAVTVFRTISHKKLGHWTAIIVGLSIHGMADHALKLFLEMRRIGVKPNAITFVGVLNACSHVGLINDDVGWYYCHLSTGLKGKIRIITLRSS >KJB09176 pep chromosome:Graimondii2_0_v6:1:16150537:16157256:-1 gene:B456_001G127600 transcript:KJB09176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKVAACAKHFWGDGGTTKGINENNTVISLNGLLSIHMPAYLNSIRKGMHANRDLVIGFLKNKLKFRGFVISDWLGINKITSPPHANYSYSVEAEVGAGIDMIMVSNFTEFIDFLTYQVKHNIIPMSRIDDAVKRILRVEFEHRELAREVMRKTLVLLKNGESTDKPLLPLPKKATKILVSGTHADNLGYQCGGWTITWQGLGGNDLTSGTTTLQAIKNTVDLTTRVVYSENPDSDFVKSIKFSFAIVVVGEPLYAETFGDSLNLTLSEPGPRTIYNVCGAVKCVVVVISGWPVVMQPYLSSVNALVVAWLPETEGKGVADVLFGDYGFTGKLVRTWFKTVDQLPMNIGDPHYYPLFPFGFGLTTKPTEG >KJB11112 pep chromosome:Graimondii2_0_v6:1:48091763:48094329:1 gene:B456_001G241200 transcript:KJB11112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGTSSKLSAPTRPTIALPPTPAMDSLFSDGSGLSPGPMTLVSAFFSNQDSTENRPFSQLLAGAMASPGYRLPYNSMDGSFMDVCFKDGGEKRSEPLNFGVPNSALFTVPPRLSPSGLLNSPAFYCLSPQSPFGISHQQALAQVTAQAAIAQSLNHEETSSQMAPSASGLQSSTMEYAEASQFDGKNQPSVAVDKPAEDGYNWRKYGQKPIKGCEYSRSYYKCTHLKCPVKKKVERSTDGLITEILYKGAHNHEKPQPNKQGTGSSDGNANSQAPELGSLGLTGNLNKLNAVVPVCSIPGKNHESTEAAELPGSSENEEHCDEEGRDERDNNDEPNPKRRNAATEAAIAFSHKTVTEPKIVVQTRSEVELLDDGYRWRKYGQKVVKGNPHPRSYYKCTNEGCNVRKHVERASMDPRAVITTYEGKHNHDVPTSRNSVHTQLTTICRSQNHTR >KJB06586 pep chromosome:Graimondii2_0_v6:1:161378:162266:1 gene:B456_001G001700 transcript:KJB06586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGEQYPHSETPHLSSGTIYDETALAKFQQGKPSANDHHYFKRVKIFALALLKMVVYAQSGGTIEVMGLMQGKTDSDSIIVMDAFTLHVVGTKIRVNAQAGAYEYMNVVGWYHSRLGYGCWLSGIDVSTQMLNQQFQEPFVAVVINLIRIVSTGKVEIEDFVGLAENYQKHRKKKRMNGMI >KJB07348 pep chromosome:Graimondii2_0_v6:1:1629855:1630662:-1 gene:B456_001G017600 transcript:KJB07348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGNKLTKLKSVLKKLNSFNNNKHQSRPTTSSSAVAASDMDEFSSANLHTVYVGKSRRRYLISSDIVENPLFRELAERSGDQNDAVINVPCEVVLFEHLLWMLENADPQPESLEELVEFYAC >KJB10009 pep chromosome:Graimondii2_0_v6:1:27567784:27571724:-1 gene:B456_001G179900 transcript:KJB10009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLMNKLVSRSLSVAGKWQQQQLRRLNIHEYQGADLMNKYGINVPKGVAVSSIDEVKEAVKSAFPNENELVVKSQILAGGRGLGTFQNGFKGGVHIVKADQIEDIAGKMLGQILVTKQTGPQGKVVSKVYLCEKLSLVNEMYFAITLDRKTAGPLIIACSKGGTSIEDLAEKYPDMIIKVPVDVFKGITDEDAARVVDGLAPKVADRNDSIEQVKKLYKLFCERDCTLLEINPLAETASNQLVAADAKLNFDDNAAFRQKEIFALRDPSQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVVEAFKILTSDDKVKAILVNIFGGIMKCDVIASGIVNAAKHVALKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKAASK >KJB11536 pep chromosome:Graimondii2_0_v6:1:54043969:54046290:1 gene:B456_001G264100 transcript:KJB11536 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-affinity nitrate transporter, Nitrate transport, Auxin signalin [Source: Projected from Oryza sativa (Os01g0547600)] MEHSSPRKPHLSSLPLDSENKATEFHPFSTSPPHMLAFHLAWLSLFSCFFSTFSIPPLIPIMRQNLNLSAADVGAAGTAAFVGSIFSRLAMGPVCDLVGPRIASAALSLITAPIVLATAFISSPTSFILVRFLIGFCLANFVANQFWMSSLFSTSVVGLANGFAAGWANMGAGVAQLTMPLLYSFVKSFNVPENTAWRVIFVVPATFQAITAIMVLIYGQELPCKDSIKVSNKPKESFLRLLFNGLMNYRGWILGLIYGCCFGVEMTMDNIVAQYFYYRFGVNLQVAGTIAACFGLTNWFARPMGGVVSDQMAKLFGVRGRLWGLWAVLTVAGLLCVLMGRANSVGGSIGVMCMFSVFVQAASGLTFGIVPFVSKRSLGVISGMTGSGGTVGAVVTQMLLFSGDKFSTQTSISVMGLMMVICTLPISLIYFPQWGGMFCTPNETSIDEEDYSLLV >KJB07864 pep chromosome:Graimondii2_0_v6:1:4651717:4652655:1 gene:B456_001G048900 transcript:KJB07864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGIPNYDDGGSFYDHTGGGGDSGGQYFTQSSSLSTSSSSDSLYHSSINRGTIGDVFFGTDDIRDTRDLLYKEDVLESYQKETAQKYLRQRSKKRSISNFGCVEFLKLLLLYCCRCII >KJB10771 pep chromosome:Graimondii2_0_v6:1:45646501:45648713:1 gene:B456_001G223600 transcript:KJB10771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRLVQHQPTQYPTLEELSIGMIKFKAFDLGCHQIARRVWKDYYAKVRREKISERMKYLQDLVPGCNKITDKAGMLNEIINYVQSLQRQVEVKK >KJB07800 pep chromosome:Graimondii2_0_v6:1:4300702:4301179:1 gene:B456_001G045100 transcript:KJB07800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGDSKQENMGKGQYKNHSQAHQSSLTNIAKQTGPFSLYMRHFFHSFNCFKILVKVFQNMERIKIDFLIHSIYRD >KJB10911 pep chromosome:Graimondii2_0_v6:1:46883635:46887811:1 gene:B456_001G231700 transcript:KJB10911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLRESWCFCKGVSKTERMKGTIFSSKAPAMARITGAAADGISVTGFLIHRNLLLTTHVNLPSVAAAESSEIRLQNGVAATLVPQRFFITSSVLDLTIVGLDAVDGESNTQGHQPHYLKTCSKPNLYLGSAVYLLGYTEKQEVTVGEGKVVIATDNLIKLSTDGITWNPGSAGFDSHGNLAFMICDPMKLATSPNTKSSGTSSSSSSSWKKDTPMQFGIPIPVICDWLNQHWEGSLDEVTKPKLPIIRLMSSGQKSEHSSASFTMRQVFKSTGDDNDITPSSSNVITKTRDQPGPSSSAAVNTIEEETPCSDPHAAHVQGIPTPEIYESPKLTSVPLRKKETTPVQLLNINFPPRVVKTAIVLEPAKQQLLNSDENALKELPPESPLIEEDQIKNRVQISPSEDADVASMASVNGAQSEVQSSSSPVEQRLEMYHGYSSEGETMYSAETAESRNYTSPREGKLQQVGRSQSCVGYTRWGAVQRNPVARRALLEKQRSFIHGKKTYSQGATSQRSNDYFSPTVSSIMKKRNNPEQPPSKPRQSIVHSSPRWH >KJB08537 pep chromosome:Graimondii2_0_v6:1:9480980:9481093:1 gene:B456_001G087500 transcript:KJB08537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVFLFGIFLGLISITLAELFVTVYLYYRRGDQLDL >KJB09028 pep chromosome:Graimondii2_0_v6:1:14640568:14641744:1 gene:B456_001G120100 transcript:KJB09028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQQQNPSILMLPWLAQGHISPYLQLAKKLSARNFIIYFCSTPINLDSIRKSGNVQVESSSIQLIDLHLPSPPQLSPHHQNTLKPNLVIYDFLQPWAAAAANEQDVESVMFLTIGASGISSAVRYFKNLDMEHSIQEAELNKYLLQWFGGTENGVRNKDRFLECLERSSNMVLINTSRMIEARELFISKEDMEEIVMGLELSKIYFIWAVRFQGGDNTALLGGFSKRFKERGLMAQRWVPQAKILGHSSIGGFVSHCGWNSTLEGIINGVPIIAMLMKNDQPFNAKVVTELGVGIKVPRENGKLKSEEIARIINE >KJB07686 pep chromosome:Graimondii2_0_v6:1:3486163:3488409:1 gene:B456_001G037600 transcript:KJB07686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK1 MEERHVLFGKYEMGRLLGKGTFAKVYYGKELATGESVAVKVISKDQVKKKGMMEQIKREISVMRLVRHPNIVELKEVMATKKKIFFVMEHVRGGELFAKVYKGKLKEHVARKYFQQLISAVDFCHSRGVSHRDLKPENLLLDENEDLKISDFGLSALPEQLLNDGLLHTQCGTPAYVAPEVLRKKGYDGSRADIWSCGVVLYVLLAGFLPFQDENMMKMYRKVFKAEFEFPTWFSTESKRLISKLLVADPEKRITIPAIMRDPWFRKEFTRPLAFSIQEPIDDDESAPSKSTKPSSPKFFNAFEFISSMSSGFDLSGMFEDKRKSGTMFTSRCSASAIMSKVEAVAKGFNFRVGKAKDFKMRLQGSSEGRKGRLLVTAEVFEVAPEVAVVEFSKSAGDTLEYAKFCEEDVRPALKDIVWTWQGDSFNEAEEREKQVQQDTAEPNTLKK >KJB10742 pep chromosome:Graimondii2_0_v6:1:44725706:44728016:-1 gene:B456_001G220400 transcript:KJB10742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSKDSSVASSPFSSPNVSALCQETGLPLSIRVRVGERTFSLHKHPLFSKSGYFQKRLTESNELELPQDFPGGPETFEMIILFIYGSTTLVDPFNVAALRCAAEFLEMTEEYCTGNLCERFDLYLNQVVLQSWDDTLIVLQKCQMLLPWSEELLIVSRCIESLAFMACMEILDPERRRDKPVVILEALTGEAWNSETVKAIASKDLWIKDLIALPFGFFKRIIGSLRRQGMKEKYVTPIIVFYANKWVLSKKTHQYWENSGEKIADSDSDNKVSAILQRILDLLPIGEKASRAIPVGFYFAMLSRSLEFGLTSDRQVKLQDQIATMLHFAQVEDFLLPQIGTESISSSKELATMKTIFSTFASFNMETNPSPSASNSIVAELWDTYLSHMASDPQMEPNKFMELLELLPISYRQTHDQLYRAMNSYLQAHRDITQEEKGSVCKYLNCQKLSQEACIEAVQNELMPLRLIVQALFVQQLSTHQAFKECSDSLRFTGQFSGSLSSSRCPNSRPLDPGESPYKDAVESPNSKPLSLFLQDDLPAMERNYESTSFRLQNLEQELMSLKKSLQWHEMSKKTNSNPNKSQCIKPYALERRSGSKRKNTLGEVTSCIGSVNFSSQRKYASRLIKIFRRLSLFGIRKSKRKTGASGLWAKSI >KJB08938 pep chromosome:Graimondii2_0_v6:1:13404777:13408188:1 gene:B456_001G114400 transcript:KJB08938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNETLSKHLFHWENQHMKWAMRLRVALYLAQALDHCSSRGRALYHDLNAYRILFDQEGNPRLSSYGLMKNSRDGKSYSTNLAFTPPEYLRTGRVIPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGHFSNDDGTELVRLASRCLQYEPRERPNVKSLVTALTPIQKETEVPSHVLMGIPHGTLSSQQKILTPLGEACSRMDLTAIHEMLEKNGYKDDEGVANELSFQMWTDQIQETLNSKKRGDTAFRAKEFETAIECYTHFIGGMMVSPTVFARRCLCYMLFDMPQEAPGDAMQAQVISPEWPAAFYLQAAALFSLGMDKDALETLKDGTDLEARKHS >KJB10126 pep chromosome:Graimondii2_0_v6:1:29735772:29739656:-1 gene:B456_001G186200 transcript:KJB10126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRSKKSKSKRVSLKQKYKVIRKVKEHHKKKAKEAKKLGLNRKKKVEKDPGIPNDWPFKEQELKALEARRARALEELEQKKAARKERAKKRKMGLLEDDDKERIEEGKGINDSIGTTKARDSSDRAFYKELVKVIEASDVILEVLDARDPLSTRCIDMEKMVMKSGPDKHLVLLLNKIDLVPRQAVEKWLKYLREELPAVAFKCSTQEQRSNLGWKSSSKAAKPSNLLQTSDCLGAETLIKLLKNYSRSHEIKKSITVGVIGLPNVGKSSLINSLKRCHVVNVGATPGLTRSMQEVQLDKNVKLLDCPGVVMLRSEGNDASIALRNCKRIEKLDDPVGPVKDILKRCPERLLVTIYKIPGFQSVDEFLQNIATVRGKLKKGGIVDVEAAARIILHDWNEGKIPYYTMPPVRNQEPSEARIVTELGKEFNVDEVYNTESSFIGSLKSTDDFHSVEVPASHPLKFDEAMLEDNAQPLQSIEGDEKQEDTFGNGGNDEQMASEEEDAEKAKSKSATSRQNEKLYAVEGMLNTKMKRAENKRRKKAKNKLTSGDDAMDDDDDNYDFGVDYVKGKEQN >KJB10125 pep chromosome:Graimondii2_0_v6:1:29735772:29739576:-1 gene:B456_001G186200 transcript:KJB10125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRSKKSKSKRVSLKQKYKVIRKVKEHHKKKAKEAKKLGLNRKKKVEKDPGIPNDWPFKEQELKALEARRARALEELEQKKAARKERAKKRKMGLLEDDDKERIEEGKGINDSIGTTKARGNSDRAFYKELVKVIEASDVILEVLDARDPLSTRCIDMEKMVMKSGPDKHLVLLLNKIDLVPRQAVEKWLKYLREELPAVAFKCSTQEQRSNLGWKSSSKAAKPSNLLQTSDCLGAETLIKLLKNYSRSHEIKKSITVGVIGLPNVGKSSLINSLKRCHVVNVGATPGLTRSMQEVQLDKNVKLLDCPGVVMLRSEGNDASIALRNCKRIEKLDDPVGPVKDILKRCPERLLVTIYKIPGFQSVDEFLQNIATVRGKLKKGGIVDVEAAARIILHDWNEGKIPYYTMPPVRNQEPSEARIVTELGKEFNVDEVYNTESSFIGSLKSTDDFHSVEVPASHPLKFDEAMLEDNAQPLQSIEGDEKQEDTFGNGGNDEQMASEEEDAEKAKSKSATSRQNEKLYAVEGMLNTKMKRAENKRRKKAKNKLTSGDDAMDDDDDNYDFGVDYVKGKEQN >KJB08618 pep chromosome:Graimondii2_0_v6:1:10371021:10378349:-1 gene:B456_001G093300 transcript:KJB08618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKHGWQLPAHTFQVVAITVFCLLVVAFYAFFAPFVGGRIWEYVLVGTYSLVALLVFILYVRCTAINPADPGIMSKFIGGSNKFEKHGLSVKDLPRKYDEFGSGLHSSPSTVSRSSRAAPNSSMKGSVEDPGTIDAPTEYTSRKSCCIGGIFCALFVHEDCRKQEAAAEQGSEDALYCTLCKAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYVTFISLMAVSIVWLVMEAGVGIAVLVRCFVNKKGMETEIIDRLGNGFSRAPFATVVAVCTAVSVLACVPLGELFFFHIILIRKGITTYEYVVAMRVMSEAPAGASVDEELPNVVYSPSGSATTGMSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGFAERENKGPKRPVRISAWKLAKLDSTDAMRAAARARASSSVLRPVDNRRMGDPEHSSSGNMSIRSSVSTDTGLNKEVKHDQRLSPLGNSFAPSQGSRDEYETGTQSVSSFSSPSHIHESVSLSPLPQTQGGRFNIATSAPAIPDRTFMSNPSFPVINNPIRPGPSVPDDRVMHRGSTVDPLLLSAPAASLLRDVKRTSVVWDQEAGRYVSVPVSATDARNRSSIQTGLPNPSGETSMQGRRIGFPQQESSLQARGPAQQAEKLLYTGDSIFFGGPLSSVPVRDSLRNDKGSGLREAQERVGLNLPRESRFKRDSVSNQLPVFVPGGFENNSAASVSGFK >KJB09037 pep chromosome:Graimondii2_0_v6:1:14700353:14704610:1 gene:B456_001G120600 transcript:KJB09037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFLDDLADDLQSLSFTSTSTATINRSTSSGSASNSGSSSLAPSAHGSFSSKTIRSGSLSLADLRFSIRLGSGDIGSVYLAELKSQPPPPDTNAGDANNNNNKGSKSDVVFAAKVMDKKELASRSKEGRARTEMEILELLDHPFLPSLYAVIDSPKWFCLLTEFCPGGDLHVLRQRQPLKRFPDSAVRFYASEVVVALEYLHMMGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDESTSTPHIVSDQKPPVPGTHEYLAPEIVSGEGHGSPVDWWTLGIFMYELFYGVTPFKGVDHELTLANIVARALEFPKEPMVPAAAKDLISQLLVKDPPRRLGSTMGASAIKHHPFFQGVNWALLRCTTPPYVPPPFSKEVVSDESCPETPVEYY >KJB09036 pep chromosome:Graimondii2_0_v6:1:14700291:14704610:1 gene:B456_001G120600 transcript:KJB09036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNTKPDPSIIPFKYQIHFLFHPSLSFFSSSMEPFLDDLADDLQSLSFTSTSTATINRSTSSGSASNSGSSSLAPSAHGSFSSKTIRSGSLSLADLRFSIRLGSGDIGSVYLAELKSQPPPPDTNAGDANNNNNKGSKSDVVFAAKVMDKKELASRSKEGRARTEMEILELLDHPFLPSLYAVIDSPKWFCLLTEFCPGGDLHVLRQRQPLKRFPDSAVRFYASEVVVALEYLHMMGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDESTSTPHIVSDQKPPVPGLRTDYPKDHPPFTSSSCIIPNCIVPAVSCFHPKRKRKKKSAHRGGPEFVAEPIDVRSMSFVGTHEYLAPEIVSGEGHGSPVDWWTLGIFMYELFYGVTPFKGVDHELTLANIVARALEFPKEPMVPAAAKDLISQLLVKDPPRRLGSTMGASAIKHHPFFQGVNWALLRCTTPPYVPPPFSKEVVSDESCPETPVEYY >KJB08515 pep chromosome:Graimondii2_0_v6:1:9135425:9137258:1 gene:B456_001G085700 transcript:KJB08515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNCSQEEPSTAPKPNRWYNISLGSSFKDQHQPNSKFCTLRYEFKPASIDKNQPGLLHKSKDYRVKVEFENNQHGKPKVTFDGVCEDYKDNDAVLFFDGEAFRLERLHRAVKRLRHVRQPGESMAAATGTTAMAPSVGPAAESYSPPLGKGPKPESSNKSPVPPLLEHIDTGDFNSGGEHASSIPNKPKVSPDPKSYESEEQVDIVNDDDDNDGLGTDKQDDNASEKISTGVGIDINLPVDIDEEVDLSEDEEHKAGRSAAEALRAQVNAEEKEEQSSSSSSSSGSESSESGSGSESSGSGSGSGSESSDGNSEVSI >KJB09000 pep chromosome:Graimondii2_0_v6:1:14200248:14200811:1 gene:B456_001G117800 transcript:KJB09000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDGYSVAICLPCSDFLPWLVLYSSSLFYFMEMERFMQNSLKHFIHYSSSKMMSVYPFAVSEFSGSFFSIRI >KJB08999 pep chromosome:Graimondii2_0_v6:1:14200248:14201248:1 gene:B456_001G117800 transcript:KJB08999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDGYSVAICLPCSDFLPWLVLYSSSLFYFMEMERFMQNSLKHFIHYSSSKMMSVYPFAVSEFSGSFFSIRI >KJB07999 pep chromosome:Graimondii2_0_v6:1:5724458:5725120:-1 gene:B456_001G057900 transcript:KJB07999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVIVATVLFVLLSPGLLFQVPAKNKVVEFGNMQTNGASIFVHSIIYLGLITIFLIALDLHIYVYD >KJB09403 pep chromosome:Graimondii2_0_v6:1:18579199:18579929:-1 gene:B456_001G139500 transcript:KJB09403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IQISSISKASYKSMLLGAPSTPVQNVAMVEDFAFMDGDEYIGHRMARTIVVKLLDRRIGFNALLNRVSLLWNPMCPIQMMDLENDFFFLVRFQDEDDFNRVLVGAIGQTIGPVVKLDVHIVSARRGRFVWLAVCVDFRKPLVSKVKINGRMLHVEYKSLQNVCFKCGHYGHGLNI >KJB06996 pep chromosome:Graimondii2_0_v6:1:6733812:6734232:1 gene:B456_001G067200 transcript:KJB06996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVELEHKLKEHVPLLWPSLLHTEGFQDHHPNPRQVMANNCNHIGTWKAHILFLIRCQSAMSLCVQSKNGRWWLWSYGVKKEEETLQEREQKR >KJB07810 pep chromosome:Graimondii2_0_v6:1:4348556:4356696:1 gene:B456_001G045600 transcript:KJB07810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVGDFVFPVWIEGLLMENRIGNSHGAEIPKKSRSLDLKSLYKSGDSKESSENRSLKRKESSQEGDGEKRSNNNNKRKKSRKSLPLSSFRTVHDSDSSKSLTEVYNGGFSSKLHDPKSLKKLGLSQKSNNGCTADGISVSLGNNGTKIPRRKRRFVGRKKFEDGQALKLAGRSNCKEVVNEEVKLVSEDSGIQNESLKVKQDKIDDFKENRNSESISIQHLKEEDGVAGYSAVNDGDSLLRKPQRKPRKRKDSVKSDKSVANMAESLVETCDAFQEDDEENLEENAARMLSSRFDPCCTGFSSNSKVSVAPSDNGLSFLLSSGQNASSGSKNLSGSESASVDASGRILRPRKSHKEKVNSRKRRHFYEIFSGDLDADWVLNRRIKVFWPLDKNWYYGLVYDYDKERKLHHVKYDDRDEEWIDLRNERFKLLLFPSELPCKSQRKRTRRDRGSDDRIRNVKLNKENGKKNFMTEDDSSNGSYMDSEPIISWLARSTHRVKSCPLRSMKRQKTSASSLSSPGQPLSCDEAVDENGCLYEGSLKGSKVKLFNSTALPGKTVGSRRVQDSSLGSTSYPNRKHPIVYFRRRFRRTDNVLCQASKGNFIASSASESISSFVCVDEFQDLGVVDACLGRLDPERDLLFSDNAGQLQLNISLIHSKQFRLGLSFPVPSVSNNLFGTKCLWLVRTFLLLQCGTVMTVWPMVHMEILFVDNEVGVRFFLFEGSLKQAIAFVFQVLMVFYRPTEQGKYTDMQLPVTSIRFKFSCSQDFRRQIVFAFYNFHDVKHSKWMSLDSKLKKHSLLNRQLPLSDCTYDNLKALQNGTNQLLGSPACKVSSSVEGLSRRKYRQGISLMGVSRESSFLKLGQFSCNSEKLRNLPRFALSFGAAPTFFLSLHLKLLMERSLARISFGDHDSIEQPGSSGNLLLDDSSSREDSMNNNSESSVEKNLKASSKEVASDAELTSDLSVCGNGCLKKSSREYKNNDQIVDGTFAGSHESEVGAIAFVPLQKQQCDNSETQQFVLSSKSPFDADKETASSGSILSGIRVEIPPFDQYGKHVDSELPSTRQSTDLTLNMNGGIIPSPNPTAPRSTWHRNRSSSSIGFHARGWSDGKADFFHSNFGNGPKKPRTQVSYSMPLGSLDYSSKSKGLQQRVLPHKRIRRANEKRSSDVSRGSQRNLDLLSCDANVLITIGDRGWRECGVQAVLELFDHNEWKLAVKVSGSTRYSYKAHQFLQPGSTNRFTHAMMWKGGKDWILEFTDRSQWALFKEMHEECYNRNVRAASVKNIPIPGVSLIEEYDENAVEVAFVRSSSKYLRQVETDVEMALDPSRVLYDMDSDDEQWISIIQKSSGSDIGNSLELSDEMFEKIMDMFEKAAYTQQCNEFTSEEIQEVTAGVGSMKVITAIYGHWKQKRQRVGMPLIRHLQPPLWERYQQQVREWELAMSKANSKSIEKPPMFAFCMKPRGLELPNKGSKHRSQRKISVSGQSQHALGDHEGCHSFGRRSNGFLFGDEKVLYPAHNYESLEDSPLSQASPRSRDAGNMAYFPMGSDRFDKNHIKKLQRSKSKKYGSFLPSNGPQMMDSYNHRLIGKRNGIHQWNRGICEWSSQRHYFPDSLQRHGPEQWDNSDIDEFTLRDASSAAQHALKMAKFKRERAQRLLFRADLAIHKAMVALATAEAMKESSEDLNGDG >KJB09387 pep chromosome:Graimondii2_0_v6:1:18318147:18320323:1 gene:B456_001G138300 transcript:KJB09387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGNLDTPSSSEETKTMDCLTGAVGNNCSFSVLLEFAADNDVEGFQRYMIASHVSLVGEVGLWYGYRKSSKQMVVEQRTPLMIAARYGSVDVVKLILSLSEVDVNLSCGPDKRTALHCAASGGSIRAVEVVKLLLLAAADPCVSDAYGYRPSDVIVAPWNMPQIKVSLEELLNNRGLVCLEKLHDSGSSSLVSDVKITAVTCKTTDNIVSSAQEKEYPVDPSFPDINSSIYSTDEFRMFSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCAPCPDFRKGSCKQGDLCEYAHGVFESWLHPAQYRTRICKDGTTCKRRVCFFAHRPEELRPLYLSSGLGIQSPQSAASSVITMDKMGVLNLFPGSPSALTAISVSPFSPAMSPSANVISQAPTGWPQHVPTLHLSGSNLQGSRLRSSLSAKDMSVMELNMSLDFEVHQQRYLSNLSCLSQPHLAHKSSNLDGLFSTEVCSTRCPNQVTAMPILSPVLSDKLEQQQRVLSPIETNIFSPKNDHPLLQSAFDASLSERISTWNVEPLSPLNSLLSASTNLEKLQQSLHNLSTQELGYKLSNDLESKGVTGSLLNSWPKRETPNCKVDWPVQEDEFSQFHKSCSILHRGMEPTVSLVQSMVKESPSVPTTLATSSRTLPVEGSNSNFRGKLGDHEIFLALCKQLQLDKIMA >KJB08677 pep chromosome:Graimondii2_0_v6:1:10849636:10854203:-1 gene:B456_001G097100 transcript:KJB08677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNRQIQNNVVSNQSADSIEEAILRLKINDNNQEVGVSKSVSYPDRPGEPDCSYYLRTGSCGYGSNCRFNHPAYDAQAGQYREELPERVGQPDCGYFLKTGTCKYGSTCKYHHPKDRNGAGPVTFNTLGLPMRQDEKSCPYFMRTGSCKFGVACKFHHPQPASAGTGLPVNGPAGSSVLPPTGVPYAGGLPAWSLPRAPFVSGPRLQTQSYMPVVVSPSQNIIPGNGWNTYMGNLSPASSAGILGSNYAYNSMNPAESGSSGQMLLSSAPASNFPERPDQPECRYYMNTGTCKYGSDCKYHHPKERISNSAMSSVGPLGLPSRPGQPVCSSYTMYGLCKHGPTCRFDHPYMGYPYNYALSYPLSIFDTSLLTYQRMTPPPNLSEAPLPSRVPDWARNTDPASKKHQNLETKSSDDSSEQVVSPPHSLQSSSKTSHDQN >KJB09737 pep chromosome:Graimondii2_0_v6:1:23241288:23242060:-1 gene:B456_001G161300 transcript:KJB09737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAYGHFKLVPSHLAFRFYRLMIHRLGQRNPTVDDSEIERIISLKSDILTRMYELDQNPFWTAHRNRLIRDYILPPRGGEYRIHVLQSKLDSLFGENPTNSFIYHQLNRVRDSFLRDGRFQGPLGN >KJB10814 pep chromosome:Graimondii2_0_v6:1:46174287:46174646:-1 gene:B456_001G2264002 transcript:KJB10814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YIRHLKKFISTVEKSPSQRYSSRRSTDQFEQSRKSTDAFEVSRKSTDRREKPRKSTDRPEKLKNQSNNLDKLEKLRISFDQLEKSRRSVDCHEKSRKNVDHQLERAHKSVDLLDRIRTG >KJB06530 pep chromosome:Graimondii2_0_v6:1:49113411:49114457:1 gene:B456_001G246700 transcript:KJB06530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPSVILHAKQVLKLQSRNQTDVPKGHIAVYVGEIQKTRFVVPISYLNHPSFVDLLNLAEEEFGFNHPMGGLTIPCDEDAFLHLTSQLHGC >KJB10402 pep chromosome:Graimondii2_0_v6:1:35662103:35664910:1 gene:B456_001G199200 transcript:KJB10402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEWEDQENQNQREILNVNVNNGGVMYVKVMTDEQLETLREQIAVYAAICEQLVEMHKNLTAQQDLAGGRLGSLYCDPLMTSAGHKITARQRWTPTPVQLQILERIFDQGTGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQLGSSSNNAESELETEVESPNEKKTKPENLLSGQNPAPRAEDLCFQNPEITSELHFLGVMSNPTTFNGDEHLSGKMGMPGSYNIYDQAGDYGMAG >KJB10404 pep chromosome:Graimondii2_0_v6:1:35663412:35664809:1 gene:B456_001G199200 transcript:KJB10404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAGHKITARQRWTPTPVQLQILERIFDQGTGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQLGSSSNNAESELETEVESPNEKKTKPENLLSGQNPAPRAEDLCFQNPEITSELHFLGVMSNPTTFNGDEHLSGKMGMPGSYNIYDQAGDYGMAG >KJB10403 pep chromosome:Graimondii2_0_v6:1:35662213:35664303:1 gene:B456_001G199200 transcript:KJB10403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEWEDQENQNQREILNVNVNNGGVMYVKVMTDEQLETLREQIAVYAAICEQLVEMHKNLTAQQDLAGGRLGSLYCDPLMTSAGHKITARQRWTPTPVQLQILERIFDQGTGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQLGSSSNNAESELETEVESPNEKKTKPENLLSGQNPAPRAEDLCFQNPEITSELHFLGVMSNPTTFNG >KJB09243 pep chromosome:Graimondii2_0_v6:1:16714878:16717441:1 gene:B456_001G131100 transcript:KJB09243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGAVGTLVSCTIISSGISNDLMEAIYSSANVLKRSTSIHWNKKQQSGFKSMKKKIQGATNEIRNVARIGHYTIPKEMNEVCNWLTARLGLEGYR >KJB06626 pep chromosome:Graimondii2_0_v6:1:12476121:12477332:-1 gene:B456_001G108900 transcript:KJB06626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFCFPLYIVKSRRTYKISETSNKPKKIKTMEGGNMDTSGDGGTTGDSRWNPTKEQISILESLYLQGLRTPSADQIQQITSRLKAYGSIEGKNVFYWFQNHKARQRQKQKQQNLAYINRYLYWTTQPVYPPPPPHGINVACGPHFLPQVELGIYPQTQCPKVLLPGGDERRERPAKMGKPLQRDYNTMLPHAENFEGLLNISNHETLELFPLHPTGLLETKETLMSPLGSTNSAGISIITPSISCETTAGIDEEGSSTGEQQFFDFFTSQGSSARD >KJB09784 pep chromosome:Graimondii2_0_v6:1:23645808:23646338:-1 gene:B456_001G165700 transcript:KJB09784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPFFMRIHIHSQTQRCTIRPKSWLANANREGRTNGLGVDWFRADSWSCCLDYRRIRGVPPLRGEGVTCLVLRCTHSRYENEMTPQLDSRPRLLTTRTNSGAERHLPTRARSSIGGQECGPAEKQKSSVSSNT >KJB10391 pep chromosome:Graimondii2_0_v6:1:35540038:35542381:1 gene:B456_001G198700 transcript:KJB10391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKHGPSSAFNSPFWTTNSGAPVWNNNSSLTVGARERIPERVVHARGASAKGFFETPLIVRFSTVIHERGSPETLRDPRGFAVKFYTQRGNIGPYSSILTLLGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIRENWRILDFFSHHPESLHMFTFLFDDIGIPQDYRHMDGSGVHTYTLIDKAGKAHYVKFHWKPTCGVKNLLEDEAITVGGANHSHAIQDLYDSIAAGNYPEWKVFIQVMDPADEDRFDFDPLDVTKTWPEDILPLQPVGRMVLSKNIDNFFAENEQLAFCPSIIVPGIYYSDYKLLQTRIFSYSDTQKHRLGPNYLQLPANAPKCVHHNNHHEGFMNFMHRDEEVNYFPSRFDPARHAEKYPIPTAICSGKRDKCIINIMPFWLRLRQERFVKRWIEALSDPRVKYEIRSIWISYWS >KJB07887 pep chromosome:Graimondii2_0_v6:1:4808521:4809798:1 gene:B456_001G050700 transcript:KJB07887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRKRPRMRIVRKHSQNAETIANNYDLLIQILVRLPVKSLLRFKSVSKTWHSIISDPEFSCRLFPDISGLVMRKLGSPANKPEYGFIPLGNKSSADDAPFKYLDFINHPSDDPDSNFVSQQIEIYSSQTRSWRLSGKPFLAHVNTEFGGGVFCNGAIHWLGAWNNTSFYFNVQDEELRDLPMPPIPDDWEDLRRCRYFGESQNHLHLIEIYQPPTTRFFAYPGMVRTYSVPSDLNYYVFSVLCIVREADDEESYMVLHIPEKAIHYNLKDGSFKKICDLDADENGYKGSPVLISLTYFWAHHFIQTLSPV >KJB08320 pep chromosome:Graimondii2_0_v6:1:7885388:7891466:1 gene:B456_001G076600 transcript:KJB08320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLHSLADENPDLQKQIGCMVGVFQIFDNHHLLTTKRLSHRNLPSGNSHLNNGIHEGDSNNVFHRQTATEMNINRSGNEKQRISMESPRASFSSSRSSSFSSLDYNKTAQQGVPFPENPDRDTAMNRPSTSPHLGPQCVDLRDVVKDSMYRDARGLLVKTATREEVLGNTVKHRDSPRPFHVPKSVDGSYGVRVNGKKNVPDDLKESLRVLAKLREAPWYFNNEARELQRSSQEANGSWKSISRDTHAPRFSYDGREGKHSSFESRDTFKSAPKLKELPRLSLDSCERSMRSSSYLTESFRNSGNLDSGVANPPQPPGAPKRPPNVIAKLMGLESLPSSSSADDGQLGVIKTCLTEDNNPFSWPLRGNDPNKPTGTSSTRSSSKEPTSPRWKNPDLIMKPISSSRFPIEPAPWRHVDGTRGSRKQPLKHVKFPAKSPNTFPSVYGEIENRLKDLEFKQSGKDLRALKQIIEAMQAKGLLDTRKEQAANLVNQRGNEPKCASLDQNPRGQQSLPNTRINTSTARGLDSNRTYESPIVIMKPAKLVEKGGIKASKVIPIDDFSSLPKIQSGGSVDNKKGLTNTRVARDRTARNSHSGSASSSTDKKASSRSIRSIQPSMKGPKESTATTVKNSGSMSPRLQQKKLELDRRSRPPTPPSDPSKPRKHSNQHSSEFGSPGGKHRPKSPEMQQCDDQLSQISTESRASSHQGDDISLQSDSSIILPSKLDVEVTSHEQTVGIIDSQSPSMTAVQCSISDLMPKKSTSRMVEDESMEEPAVVAPEHPSPVSVLDTSVYRDDEPSPVKQILNASGGNGAEGLNEDHKEEQWNPADKCLANNVGSGLTSEINRKKLQNIEHLVQKLRRLNSGHDEASTDYIASLCGNTNPDHRYVSEVLLASGLLLKDLGSGLTTFQLHPSGHPINPELFFVLEQTKASSLLSKEENNTVNAGYISHSKLNHQKFHRKLIFDSVNEILVGKLALFGAFPEPRINSGKLAKKTLTAQQLLKELCLEIEQLQAKKPNCDPEEGEDGLKNILWEDVMSRSESWTDFNSEISGMVLDVERLVFKDLVNEIVIGEEGSLRAKQSRRRRQLFSK >KJB06854 pep chromosome:Graimondii2_0_v6:1:23581139:23584196:-1 gene:B456_001G165200 transcript:KJB06854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKASRLLAEKCFLGLSCVSVTNGPFIDGRTTGIEPARGGFTIHCLDPLGYIRPYPHTGLSLYLRSDPF >KJB08635 pep chromosome:Graimondii2_0_v6:1:10513557:10515260:-1 gene:B456_001G094800 transcript:KJB08635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYGGKIPERDVNCYTQMILEGLLDVHEKGFIHSDLKPSNILVFTPQHGTYLPILKIADFGLAKQQGAKDTRSGFRGTKYYMSPESIVGEVSGALDIWSLGCIVVEMITRRLPWDTHDQDDLRDKLLRGESPNIPEDMSKLGKSFLRECFTIDPNKREIGGLDDKKVTTHIPKVGSE >KJB08198 pep chromosome:Graimondii2_0_v6:1:7175294:7179850:-1 gene:B456_001G070800 transcript:KJB08198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSITKNALDAIGLADDHVFMSITLKGTNFLANGQPILSNVPQNIVATPSPFSPLDKSKGAVGCFVGFDTEEPESQHVVSIGKLSGIRFMSIFRFKVWWTTHWVGNSGKDLEHETQMMMLDKNESGRPYVLLLPLLEGPFRASLQPGIDDNVDICMESGSTRVSRSTFRSCLYMHVGDDPYKLVKEAMKVARHHLGTFKLLEEKTPPGVVDKFGWCTWDAFYLNVHPKGVWEGVKGLAEGGCPPGMVLIDDGWQSICHDDDPISDKEGMNRTSAGEQMPCRLIKMEENYKFREYESIKLGNKKGMGAFIRDLKEEYKTIEHVYVWHALCGYWGGIRPNVQGMPPAKVVTPKLSQGLKMTMEDLAVDKIVNNGVGLVRPEVVHEMYEGLHSHLQSVGIDGVKVDVIHLLEMLAEEFGGRVDLAKAYYKALTASIRKHFKGNGIIASMEHCNDFFFLGTEAISLGRVGDDFWCTDPSGDPHGTYWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSTHQCAEFHAASRAISGGPIYISDIVGQHNFKLLKSLALPDGSILRCQHYALPTRDCLFEDPLHDGKTMLKIWNLNKYTGVLGLFNCQGGGWSRESRRNESASQFSAMVGCFASPKDVEWSNGKNPVLVDGVSIFAVYMYQKRELMLMKPSDKVEVSLEPFNYELLTVSPVTILPRKNIHFAPIGLVNMLNTGGAIQSTMLGDGENLVRIGVKGSGEMRVYASKKPMACKIDETLTEFNYEEQMITVHVPWPLSSSSLSIVEYLF >KJB08761 pep chromosome:Graimondii2_0_v6:1:11448723:11449391:-1 gene:B456_001G102300 transcript:KJB08761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSQTLLLRASINLPPRNVNAPIFTSKPSSPPFSLPIKPSNPNTKTPKFTPLASITVPCNNNPQTQTQSPLNDSTRTLKTLFSLTLAATILLTKTIQNLAVATIHRTPTALSTTGTLFFASLKDRPSGYLNTPLTVVAAGLSKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPIFDTLDVSPLLAFAVLGTLGSILNNSRGMY >KJB11069 pep chromosome:Graimondii2_0_v6:1:47912580:47914397:1 gene:B456_001G239600 transcript:KJB11069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLLFPSLLILLPSFFFLSVIVKIVKKIKANKKLPPGPWRLPFIGNLHQLVGSLPHRILRDLANQHGPLIHLQLGEISTIVVSSPEIAKEVLVTHGTIFVDRPYMIAADVITYGYRDIVMAPYGNYWRQLRKFCTVELLTAKRVQSFESIRQEEVSGLVKYISSNQGSPINLTKKIFSLTYDITSRAAFGNTCKDRDSYIIVVDEIIKLSSGFGLADLYPSFGLLELISGVRQKAEALLEKSDGILQGIINENRASLKRGRIGEGEAKEDLVTVLLKAQQHGDLEFSLTDMEIKAIIWDIFGGGGETSSTTVDWAMAEMLRNPKVLKRAQNEVRQVCHGKRDVDEASLKELKYLALVIKETMRLHPPFPLLVPRESRENCEINGYQVPTKTRVIINAWAMGRDPKYWSEVETFYPERFLNSSCDFKGTNLEYIPFGAGRRMCPGISFALPNIELPLAKLLYHFDWELPSGLPHENLDMSETFGMTARRKDDLILIPTTHSHLSAY >KJB10139 pep chromosome:Graimondii2_0_v6:1:29663268:29666269:1 gene:B456_001G185900 transcript:KJB10139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Pantoate--beta-alanine ligase (EC 6.3.2.1) (Pantothenate synthetase) (Pantoate activating enzyme) [Source: Projected from Oryza sativa (Os03g0851800)] MAAKEPLVITAKDEMRKWSRKMRSQGKSIGLVPTMGYLHQGHLSLIQEAHKHSHLIVVSIYVNPGQFSPSEDLSTYPSDLHGDMLKLKSVPGGVDVVFCPKNLYDYNNDSDEKQKNKNGNENLGAGGGDGNGVVSCVEEKGSGHETWVRVERLEKGLCGKSRPVFFRGVATIVTKLFHIVEPDVAVFGKKDYQQWRIIQRMVRDLDFAIEIVGSEITRDSDGLALSSRNVHLSPEERQKALSISRSLSKAKAAAKEGQVKCRELKDSAILTITQAGGRIDYAEIVDQENLKSVEEIKGPVVFCIAAWFGKVRLIDNMEIDI >KJB09792 pep chromosome:Graimondii2_0_v6:1:23683671:23683856:1 gene:B456_001G166500 transcript:KJB09792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPADFTLSTPGANPLSVLPPRRRTRARVEPFISGVTAVERNKILRSRNIRAGGTVNSAKI >KJB07716 pep chromosome:Graimondii2_0_v6:1:3759984:3762516:-1 gene:B456_001G040600 transcript:KJB07716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSTSVSVSTFPLHLLPASDPPYKLLQSHPSLSLLSKCKSIQTIKQVHSHIIKTGLHHTQFALSKLIEFCAVSPFGDLPYALLLFHSIDEPNQVIWNTMIRGLSLSSTPELAMEFYVKMIWSGILPNSYTFPFVLKSCTKMDSTQVGEQVHGQVLKLGLDSDAFVHTSLINMYAQTSQLGKARLVFDKSPLRDAVSYTALITGYISIGYMESARELFDEIPVRDVVSWNAMIAGYVQAGQYEDALAFFKEMIEANVVPNESTLVTVLSGCAQSGSLEMGKWVRSWIDDHGFGFNIHIVNALIDMYSKCGDLDTALDLFEGLEQRDVISWNVMIGGYTHMSCHKEALGLFQRMLQSNIEPTDVTFLSILPACASLGALDLGKWIHAYIDKNIQYSDNISIWTSLIDMYAKCGSIEAAQQVFNSMKHRSLASWNAMISGLAMHGLAEKALELFSRMTNEGYKPDNITFVGVLSACSHAGLLDLGRQYFSSMAQDYAISPDLQHYGCMVNLLGRAGLFDEAEALIQNMEMKPDGAIWGSLLGACRVHKRVELGAGRWDDVARIRTLLNNKGMKKAPGCSSIEVDRVVHEFLVSDKVHPRCKEIYDMLNEVDTLLEKAGFVPDTSEVLQDMDEEWKEGALSHHSEKLAIAFGLISTKPGTTIRIVKNLRVCGNCHSATKLISKIFNREIIARDRNRFHHFKDGSCSCNDFW >KJB09580 pep chromosome:Graimondii2_0_v6:1:20946950:20949127:1 gene:B456_001G150800 transcript:KJB09580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENMSISVNGQTHVPPGFRFHPTEEELLQYYLRKKVSYEKIDLDVIQDVDLNKLEPWDIQESCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVICSNCRRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLEDNIAETNMVSNTMGEGPQEEGWVVCRVFKKKNHLKTLDSHISSSLTAKARDRMFRAFNDGALEQILEYMERNCKDDSEANNCTSRRFFSPMETSTSNVYPADSFMELPSLESPNSASSQNCYQPMLTDNECSITNQVSGDPNSVGNNDSRLTNWAVLDRLVASQLNGQTETCRQLTCFDDHTTAYCSPSTTDHHDLQLPVVRSGL >KJB08888 pep chromosome:Graimondii2_0_v6:1:12844905:12845285:-1 gene:B456_001G111000 transcript:KJB08888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWIFDKNGVARLISNPTRESFEEKDPIYPGTSTAPGARPRVLVYRSTNQVIRSYSELEQRLGELGWTRYYNSDQPELLQFHKSANSSHLISLPRNFDNFRSIHMYDIVVKNRSFFEVRDPSQA >KJB06862 pep chromosome:Graimondii2_0_v6:1:19916515:19918863:-1 gene:B456_001G146500 transcript:KJB06862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIHKALLFEVISHFETLADPPPHIKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDESGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >KJB09371 pep chromosome:Graimondii2_0_v6:1:18050044:18053044:1 gene:B456_001G137200 transcript:KJB09371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIVIVLCKEFPSIELMLSIFTSYYGEQVILIFLTFLNHIRDIKDPEHPYSLEELKVITEDAIEVDNERSYVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPSRYKMDIRVAPGTHATEAAVNKQLNDKERVAAALENPNLLDMVDECLAPSYA >KJB06236 pep chromosome:Graimondii2_0_v6:1:18058152:18060820:-1 gene:B456_001G137300 transcript:KJB06236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISGDGSSRSKTTMWGWLLVGIGSLVLLGFLFTAFISHLLPPSNYTLIAVIQNDRYYCFLVPLTLPVVVVAVYFHWLSMKLFRHA >KJB09503 pep chromosome:Graimondii2_0_v6:1:19879535:19881883:-1 gene:B456_001G146100 transcript:KJB09503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSWLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRTLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSPRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB07581 pep chromosome:Graimondii2_0_v6:1:2891101:2892476:-1 gene:B456_001G031000 transcript:KJB07581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQNPQTSENLDSNPTSLSRDNLVHKVKSNLVFRSKWAELNGAMGDLGTYIPIVLALTLAKDLNLGTTLIFTGVYNMLTGAIYGIPLPVQPMKSIAAVAISDGPDFNIPEIMAAGICTGAILLVLGATGLMQLVYKLIPLSVVRGIQLSQGLAFAMTAVKYIRKVQDFSKSKSKENRHWVGLDGLILAIVCACFIIVINGAGKERNERETSNVDDEERNMRSKRIKKTMANIPSAFIVFLLGVVLAFIRKPAVVKDIKFGPSSMEVVQFTSHAWKQGFIKGTIPQLPLSILNSVIAVCKLSSDLFPGKELSATSVSITVGLMNLVGCWFGAIPCCHGAGGLAGQYKFGGRSGGCVAILGAAELVLGLVLGTSLVRILDWFPVGILGVLLLFAGIELAMTCRDTNSKGECFVMLICTAVSLVGSSAAPGFVCGMVVHLLLKLRLHLFN >KJB11722 pep chromosome:Graimondii2_0_v6:1:55158353:55161643:-1 gene:B456_001G274300 transcript:KJB11722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVPLDDSSEEGQEASVDVFLQSPPQFLGASTCGLDCMRGGSFSQTIGGSLCSSLGDFQRKTSLELSEVSQNSFKLGGKMNSHETSKVPEGVTSDVHSSPIGFRANDTESSPLLRAFSGQFNCDPLDNIYSDTQVVSSSLNDKHKVSVSQDNKKANIGSKMNITTSSWSLSSYLAHGFSERIAIDEGCRNLTKDISDSHSSLKNIEQSVDRFDTGVIFVSDEEDGLPIRRSLVGSFEESLLSGRFFSGRLSQRIVGFLAVLSITRGTFSPQSQKLTFPVTSMDGDCSLFYYASIDLSRNSSSNKCRDQKLKRGIGNDEQQASRSRLHIPMKGRIKLMDLHVGPWLTAYKDLVNPSSWFLNCIKLQTFYDFVILSIVLFLSNPEKTPLHMFFCNYDLTDMPASTKLNSSEGKGNEGLDSTQIISCNNVHCKEHTSHSGIKINENTKGTGSRRYAIHLGFLCLPPKKSSMSFQRGKSDLGPIPQKTGSDRDGDRRFYLYNDF >KJB09927 pep chromosome:Graimondii2_0_v6:1:25880454:25881974:-1 gene:B456_001G175500 transcript:KJB09927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIVDQQSNFKHFCRICNKGFGCGRALGGHMRAHGIGDESGHIDGDDPASDWEDKLGRNVPPSNKRMYALRTNPNRLKSCRVCENCGKEFFSWKSFLEHGKCSSEDAESLVSSPGSDGDDGEARRGCGWSKRKRSFRANVGNFNTMFPSSEEEDLANCLMMLSNATVYPFVTEPEESCASASKEEERRNTMNFIAPIACRVPMDKAKGVAKGLFECKACKKVFNSHQALGGHRASHKKVKGCFAARVDNNQADEDHDVITHEEFFPTKSMSTLQFHQGTSTPLASPSKKKSKVHECSICHRVFSSGQALGGHKRCHWITSNAPDTSSLAKFHRFQDQMELNQERPKFIDNSEPLNLKLDLNLPAAGGHHDALRRKHVNPSSFKVSTGIYLQPWLGGDDAKEKEHNQHRMQQLDHDNHDNNKCNSLMQNADEEADSMVKLAKLSELRDINMSGGSSPWLQVGIGSATNVGFDP >KJB08791 pep chromosome:Graimondii2_0_v6:1:11595865:11597743:1 gene:B456_001G104000 transcript:KJB08791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLQHVELQVPSPKQDEVLLKMEATSINPFDLRIQKGIVWPFLPRKFPHIPGIDAAGEVFEVGSGVKNFKAGDKVVAVLFNICGGGLAEFAVAKENLTVSRPPEVTAFQGAALPIAGLAAHECLLRTPGFNYEKTGQQLNLLITAASGGVGQYAVQLAKLGNAHVTATCGARNLDLVKSLGADEVLDYKTPDGAALKSPSGRKYDAVIHCATGFPWSTFKPNLSTNGKVFDITPTPSVFMTYALQKLTFSKKQLVPLLMTPKKEKLDFLLNFVKEGKIKAVIDSIHPLSKAEEAWAKSIDGHATGKIIVEP >KJB08790 pep chromosome:Graimondii2_0_v6:1:11594129:11597743:1 gene:B456_001G104000 transcript:KJB08790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNTMMRAVQYNTYGGGAAALKHVELQVPSPKQDEVLLKMEATSINPFDLRIQKGIVWPFLPRKFPHIPGIDAAGEVFEVGSGVKNFKAGDKVVAVLFNICGGGLAEFAVAKENLTVSRPPEVTAFQGAALPIAGLAAHECLLRTPGFNYEKTGQQLNLLITAASGGVGQYAVQLAKLGNAHVTATCGARNLDLVKSLGADEVLDYKTPDGAALKSPSGRKYDAVIHCATGFPWSTFKPNLSTNGKVFDITPTPSVFMTYALQKLTFSKKQLVPLLMTPKKEKLDFLLNFVKEGKIKAVIDSIHPLSKAEEAWAKSIDGHATGKIIVEP >KJB06529 pep chromosome:Graimondii2_0_v6:1:49103722:49104539:1 gene:B456_001G246600 transcript:KJB06529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPAVIQSSRQLLKHHSGFFRKQSDVPKGHVAVYVGEAQRKRFVVPIAYLNHPSFKELLNRAEEEFGFDHPMGGLTIPCKEDAFINLTSKLHTCPLQR >KJB11700 pep chromosome:Graimondii2_0_v6:1:54950006:54951240:-1 gene:B456_001G272800 transcript:KJB11700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADWGPVIVSVVLFILLSPGLLFQLPARTRVIEFGNMCTSGIAILVHAVIYFCIITILMVAIGIHIHIN >KJB08973 pep chromosome:Graimondii2_0_v6:1:13869877:13873299:1 gene:B456_001G116400 transcript:KJB08973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGPKSKQAQTSFTMEGESHKEEGLPQKFSILLELSASNDLIGFRRAIEEEGYDIDESSLWYGRRMGSKKLGFEERTPLLVASMFGSKDVINYIIKSGCVDVNRACGSDGATALHCAAAGGSFESAEVVQILLGASADTTSVDANENRPGDLIAPAFNSAFSFKKKTLGSLLKGKESVGQIEGLHAQVGNEMEGQEQQQNSTAQALKEGAEKKEYPVDLTLPDIKNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDNCEYAHGIFECWLHPAQYRTRLCKDETNCTRRVCFFAHKPDELRPLYASTGSAVPSPRSYSAKGSSLDVGSMSPLALGSPSIMIPPTSTPPLTPTGATSPMGGAMWPNQSNILPPTLQLPGSRLKAALSARDMDLDMELFGLESHHLHQHKQLIDEISGISSPTSWNNPLSTASAFSASGDRNGELNRFGGVKPTSLEDIFGSLDPINLPQLQGNSLDAAAPQLQSPTGLRMRQNIDQQLRASYPTNLASSPVRASPSFGIDPFGPTAATLLSSRSAAFANRSQSFIERTGVNCHSGIFSPASSANAVPSNLSDWGSPDGRLDWGIQGEELNKMRKSASFGFRSNFGNAVLSMPSSSDEPDVSRVQSLAKDTPSGQLSLEDEQQQCHLSSGGAEMLPAWMEQLYAEQEQMVA >KJB11778 pep chromosome:Graimondii2_0_v6:1:46362474:46364260:1 gene:B456_001G2275003 transcript:KJB11778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSWRSAILIYEDNDSARPILSSVTGFRLDQHVALLTSSTDEEIVEQLMKLRSLQMTVYVVHMSPILASRLFLNAKQLGMMTQGYAWITTDMITNFMNSMDPSVFESMQGVVGFKPHIPASKELRRFAIRWRSKNLNENKNLEEMEMNVYGIWSYDMVWAVATAAERVMTRHPYILHQETRLNMNFTTIRSSESGLVFMDEILQSRFKGISGGFQLTNGRLIPKELQIVNVFKGERIIGYWNPGNGITSLMKQENHNETNLTSSSKLESVIWPGGTMNIPKGCSLHGKRLRIGVPANNGFREIISVTRDPRTNETTVTGYCVDVFKEAVQSLGYEVHYDFIPFEDANGQMAGTYNDLILQVYYKNYDAVMGDTTILASRFPYVDFLMPLSGDLWITTAAFFILTGLVIWFIERPINEEFQGSLCEQIGMIFWYSFSTLVFANKEKLLSHLSKFVVIIWVFVVLIITSSYTATLASMLTVQQ >KJB07165 pep chromosome:Graimondii2_0_v6:1:252484:256074:-1 gene:B456_001G002900 transcript:KJB07165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQLFVVLLWIFVELPVLITGFLPPYETDPAVLDSLVVIAYNRSTDIEKHCSFLLTSASELIPDVNRGSRLKNELSFYLGEWEQKTDEAPLIQFDDNGNLESSQPPISLLKLASFEVKDVNSIQQLRNTVSLGGILSVGISRDWSFAYGGKEPRRNPGSLVVKIVFEGVYMETEENGGERLMCLVGSSTSTLPCTNTCDCDEFSELQTDHVTAYDHRRICFLQDDLVLLVLRYPKIFNLTQRAISGEMTSLNEQGGQRYFSKVHISSQLSGHSKYQFSSELVQSTTFDPPPYKDEQMEDGFHMFTGREFCRLLDHEDREIFLSIIPNYRFNSSYKNQIHGKLGPFVLEKEIQATSISRLDEVKLIFQHVKCEQDTNRTGSAKVTAVLRSVTKTSFQRLERLRTGLSGSTLAVEGIWNSSSGQLSMVGCQETVDSGPEGCDYVISMYFPRSFSIKQRSFLFGTISNVKKDTGLDNPLYFSAMQGINTRDFTEYLSYNYSVIKLVNAFERRTIPYQILSIAKKWLFKYPALKDAEEPLAQLNRLTRKLASDGSVVVPDDQLIAGPKSRVLVQIKVLSVGPLLVEGNLRNKTVITKDELASCRFLNVSMHLAFKTEKELKQTTYKDVSELSLEGVYDPSLGEMHLVGCRKALIENMGIERGQDCLISVKIQYPPLNLKLWKKPTAKITINSQRKVGDPLYFNLINIHVHLSYYLDYFEADTQQAYFEAIVGSLLLTMSIAIIWNQLLYMKVNADIFPYISTTMLAFQFLGYSLPLICNAKVVLKSMVPKDYDWPPPANGMLKFIQSFEQAFLMVMLLLIARLVHMVIKSRSKTMSEGSFKLRHAPREKRVLLTTMAIYASGVLILLDSVDKQNGLWQTWRDVMVYLVFVFQDFFLIPQVIRNTVMGMPVKCLREGYYLGLTTVRLLVLYFDYLMDPTIYTRVGRFDFSSLSSTCLTLSPVAAPVIYAIIVYIQQNNQKHRSLQRCAMFWLVQPELIEMSRCNQYGPKFTLVRKSKVAVPV >KJB10204 pep chromosome:Graimondii2_0_v6:1:30832771:30833095:1 gene:B456_001G188800 transcript:KJB10204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNMKTWLICLALIGIVVMVEVIQIDAAMTIHHSEVSGLVSYPRLLQEAINPWNRGCSRLTRCRS >KJB10593 pep chromosome:Graimondii2_0_v6:1:41580365:41581030:1 gene:B456_001G209500 transcript:KJB10593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKMMKTYLSLAVIVLVLYNPMNPCRAMSMQTNTSRTSSLIADDEELEFLMDSHSGRILAGHGGHVTDNTGNRNRPAADCGRGHPYKSCLPNSNTDGKTPENPGVYNRG >KJB11233 pep chromosome:Graimondii2_0_v6:1:50314747:50316700:1 gene:B456_001G248700 transcript:KJB11233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g37320 [Source:Projected from Arabidopsis thaliana (AT2G37320) UniProtKB/Swiss-Prot;Acc:Q9ZUT4] MNILFFKSIIRRNSWFTLKSVVFFLSQSSPFSSYKLKLNPPSNKLNRALRIKDIFISSKSTHFTSRQNHLRLIQDFLETDSDQFTAQNCFNNLGFSDSSTENLPVFFNDILEPPCTNKKNSKFNPIVLSNALSSCASKHNLCGGIQYHCLAIKTGVFSNVYVGSSLVAFYGKSGELEKAYKAFEEMPVKNVVSWTTIIAGFAQGWQIDMCLELYNMMKNLTLKPNDFTLTSLLSACTGSGALGQGRSAHCQVIQMGFDSYIYICNALISMYCKCGSVEDAIFLFEKMAGNKDIVSWNSMIAGYAQHGFAMEAVELYGKMKDEKIKLDAITFLGVLSSCRHAGLVEQGCACFDSMIIHGVKPAEDHYSCVVDLLGRAGLLQEALDFILKMPIRPNAVVWGSLLSSCRLHGNIWIGIKAAESRLLLEPECAATHVQLASLYASAKWWDEAARVRKVMKDRGLKTNPGYSWIEIKNELYRFRAEDKSNTNAKGILGVLSCLVDHMKMLGYVPEMPEEDIDDTFF >KJB07975 pep chromosome:Graimondii2_0_v6:1:5471567:5474728:1 gene:B456_001G056300 transcript:KJB07975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKKGGKVAVPAKKKQEKVVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKLLLKYRPEDKVAKKERLLKKAQAEAEGKAPESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSIVHKKTASVLCLTTVKNEDKLEFSKVLEAIKANFNDKYDEYRKKWGGGIMGSKSQARTKAKEKLLAKEAAQRMT >KJB08585 pep chromosome:Graimondii2_0_v6:1:10272886:10276356:1 gene:B456_001G092200 transcript:KJB08585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLAGKLVSFTMFILLVPSFVISIDEDNNDNIKKVISHKLMFEITLHGFLLWASMGFLMPIGILAIRMSNGEECGRKLQILFYVHAVSQILSVLLATTGAIMSIKNFNNSFNNHHQRLGVALYGIIWLQALTGVLRPWRGCKGRTVWFFAHWLLGTTVCILGVISIYTGLGAYYDKTSKSTKLWTIAFTVEITVIVLIYLFQDKWVHIQNQGVPVRPSEEHGSIGNEKGTDK >KJB06292 pep chromosome:Graimondii2_0_v6:1:3106073:3108165:-1 gene:B456_001G033800 transcript:KJB06292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYVFEKKSWHSDRHQPIRGSLVQEIFRVVNEIHSSATKKNKEWQEKLPVVVLKAEEIMYSKANSEAEYMDLKTLWDRTNDAINTIIRRNESTETGKVLQPCIEAALNLGCTARRTSRNQRNCSPRCYLNPGTQETENTTQGNPMTNPHCLGSYSGFESEKHTGWNGYFAANKFHIASENGSLPINKKCLSLQKYSVYPLYYGNDLKTEELQHGFGIIHKMISDTVEPAKMVAIHKLLSLDVESSNGMNQIDARNTCNNPHHKSACDLSLRLGPLSTPCLSVGNDRPAIDKSLPSFHRRNRNDPLNSSSKSNNQSVEGEHIHVDASMRKRKTVNDPTMDRRHCLSSEASLQPFNWKPEKCRILDSAPLDH >KJB07172 pep chromosome:Graimondii2_0_v6:1:329611:332727:-1 gene:B456_001G003500 transcript:KJB07172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGKGRKEAPLVINSTNVFAALGSLKKKKKKGGEKENLGSSSKSKGLKGLGNEAEKKEVFWAPSPLKVKSWADVDEEDDDDYYASMAPPGSAWGIPKEPEPTLEESESEEDGLDEVDDEVEEEHENEAETPVEVQPVTTKPPEASAVNKEAERQLSKKELKKKGLEELDAVLAELGYTKQQTGGSNDSHGDEQGKISESNGKIEKKEKTPAESKSAKKKKKKDKSSKEAKESSQVPGEGSVNIGNDAKEAGETNKPEETPAVDVKEKLKKVASAKKKKSSKEMDAAARAAANEAAARSARLAAAKKKEKNHYNQQPVR >KJB08089 pep chromosome:Graimondii2_0_v6:1:6395964:6401253:1 gene:B456_001G064000 transcript:KJB08089 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUFE3 [Source:Projected from Arabidopsis thaliana (AT5G50210) UniProtKB/TrEMBL;Acc:A0A178UIT6] MDFSSSMAIKPSSSSCSSLFAISRTQNSRPKPLLFNFHGIPRNHQNSFFKSLKCIQSPPPGSLPSNPTSFSCSAVTLSPTQTSYLPPRKLSTLVAEFQSLLEPLDRVKRLLHYASLLPPLPASSRTDSNRVMGCTARVWLDAQMDSEGNIRFWADSDSEITKGFCACLVSVLDGAGPEEVLQLKTEDLAALNVGLPGGERSRVNTWHNVLISMQKRTRALVAQKERKTPFEPFPSLVITAEGIQPKGTYAEAQARYLSPDKLKVKELVHVLKEKQIGVVAHFYMDPEVQGILTAAQKEWPHIHISDSLVMADSAVKMVKSGCKFITVLGVDFMSENVRAILDQAGFGEVGVYRMSNERIGCSLADAAATPDYMNYLESASNSLPSLHVVYINTSLETKAYSHELVPTITCTSSNVVPTILQAFAQVPDLNIWYGPDSYMGANIKELFQQMTLMSDEEIAEIHPKHNRDSIKSLLPRLHYYENGACIVHHLFGHEVVERINEMYCDAFLTAHFEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKQRVQEALDRNVDDHLQFVLGTESGMVTSIVAAVRSLLDSSNSTSSTKINVEIVFPVSSDSMTKTSTSASPALISVKAGDDVILPVVPGVASGEGCSIHGGCASCPYMKMNSLSSLLNVCHHLPDERNNLKAYETERFKSQTPQGKSIADVGCQPILHMRHFQAKKELSEELVYQVLGSHGNGK >KJB10294 pep chromosome:Graimondii2_0_v6:1:33268423:33269153:1 gene:B456_001G194600 transcript:KJB10294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKIGKTSLKNFVPQRLKKKTKIEIKSRNKQKEMPGFPMYAAENILDSDENFLGLLLFDIGSASRSPVFNRKYRHNKKGVQKLEGRQIKILEHDIIITIALMFNLLQAKTYCNFFLLFILLEKKKRKK >KJB10918 pep chromosome:Graimondii2_0_v6:1:46935968:46938735:-1 gene:B456_001G232400 transcript:KJB10918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRSHVPKFGNWESEGNVPYTAYFDKARQGRSGGKIVNPNDPQESPELHQDYGAPTRAPPASRPKPESDEPIGHGPARRGHERGRSREEGDVRQYADSPARNENVNRRAAGDSTPSRYGRGVSSGESQKRSTRTSIGSENSIDKSPLHPARGTGRGSMASPAWEGKTLNDSSHGTPGRSKLRPSKGDESPDKAAAVPKFGDWDENNPSSADGYTHIFNQVREERNNGGRVSGMPGQQSPYNTGRNRKPTNNSAKGCCFPFWRK >KJB09219 pep chromosome:Graimondii2_0_v6:1:16468483:16472394:1 gene:B456_001G129800 transcript:KJB09219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTARGVGDSNPDDDDELNLLLSQQHHELMAAHSLESDLDFAFQLQLQEALSASLSPHTSQPPPPPPQPPVQNDTVFSLTSQMLSKLQQESLDHKRCLLETQKLKDDLKRRVHDEKFARQVADMPEELWEDWGDFFERPFGEGCSKELCWDETDSEIDSVDGVSDNEYDFRIYFKGLISEEKVKDKASNYAGIGVAICDFRDNLILEITKPLVGVGLSKQAAELKALIEGLNAALSLELDRIEFCCDYYPIYQYITRRWPPKQRKISMLVNQVLLLQRKFTDCRPILMARNDIKYAFKLAREAIVSQVTQPVEQNESKSVKETCVICLEDTDSGSMFSVDGCMHRYCFSCMKQHVEVKLLHGTVPKCPHEGCKSELTVDSCRKFLTPKMIETLKQRTKEASIPVTERVYCPYPRCSTLMSRSEALEYSKDALVRAERSGARKCLKCHAVFCINCKVPWHSDMTCQDYKRKNPLPPVEDLKLKSLASMNLWRQCVKCNHIIELSEGCYHMTCRCGYEFCYNCGAEWKNKKATCSCPLWEEDLIWTENRDTDEEEMDEDSDYDDGWFVF >KJB09732 pep chromosome:Graimondii2_0_v6:1:23221563:23221887:-1 gene:B456_001G160800 transcript:KJB09732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGVLARCLGVEEAAKRLEEVHDKVCGTTKPVNLYRRLQRQGYYWPDMARDAKAREEACLKCTWMPDRAECAFINVVDWRQPYIEYLTEPYRMIDTKRIS >KJB07071 pep chromosome:Graimondii2_0_v6:1:2035562:2036973:1 gene:B456_001G021500 transcript:KJB07071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILLFSFIIEMFNNKFGLPNSPESGCAGRTKFEFPDAYFDGWLHEGYRGAMISGTIENPFNQANELFDEFACNGSLLIPAPDDNRESETVGEMSEFKERYAFKTKSEIEILDDGYRWRKYGKKMVKNSPNPRNYYKCLIEGCPVKKRVERDGEDPSYVITTYEGIHNHQSVS >KJB06751 pep chromosome:Graimondii2_0_v6:1:995470:995935:1 gene:B456_001G010400 transcript:KJB06751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTHLESKRSEHWSIWKSMKLACRCFAPLRSKENSAAATATASKVVSINSPRGLPSPDHSYSAMNAEERDENLKEVITYCNKSMKTVS >KJB09837 pep chromosome:Graimondii2_0_v6:1:24325128:24329312:-1 gene:B456_001G170000 transcript:KJB09837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSYVNKSTCRKEEKRLAYCYGFSSFTGMSTEPTSIGGSVTPPTSIDSENSGVGASIQTKVTTGKRKAPPQMSEVWSHFTKFINSEGANERVKIKQLLRSSCSRVCLTTDTWTSLQRVNYLCITAHFIDNDWKLNKKILNFCPISSHKGESIGMVIEKCLLNWGIDKLFTVTVDNASSNDVAIGYLRKKFNPRGGLVQNGKYLHMRCMAHIVNLIVVEGLKEMNKSVERVRGAVRYVRQSPARLQKFKECVVVEKIECKKMLCLDVCTRWNSTYLMLDIAQNFERAFERFEEQDTNFRAELERGEGWPSVDDWDNVRNLRDFLEHFYEVTLRISGTSYVTSNNFFDELSEIDILLRDAQLNSNIDFNVMAIKMKEKYDKYWGDIDKMNLLMFVACVLDPRQKLKYLEFALSEMSSSEKDCEMMQKLKESLYELFDEYKPPLHGTCSQSSVSTHVSIGEPQQKMKRRMQALYKKRELEICGEDKTSELDKYLAEANEEFVEDFDILLWWKVNSPRFPTLSKIARDVLAIPVSTVASESAFSTGGRVLDQYRSSLTPKIVQALVCTQDWIRRSSSQEDIKKIEEQIQELDKIENGLMQMEIFWRDEMDIFWKDEMDINGEC >KJB08754 pep chromosome:Graimondii2_0_v6:1:11354710:11360102:1 gene:B456_001G101600 transcript:KJB08754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKEGKIIQSCLSMAISDFYGLHRDYQMRIVLHTRDSKGDPSLALSAAFKLSEDNKVKVILSAQKSTLEAKFLAEFGDHTKIPLEMVNFITQMVNVMNFNNFKLTSSNFEILNVPARGRHLLSSSTIDESSPRGRMMQTSSKTLRIAVPTTNGFPQLLKVDHDPHTNTAIFSGFCIDAFKIAMAGLNYQVFYQFVPFEYNNPNIGEAYDDLIYQVYLQNYDAVVGDMTITANRSSYVDFTFPYTDMGVGMVTRLTPKDSQNLWIFLKPLTPGLWLTMVGVYVVTALVIWLIERPAFVEQQTQQSNGRIGRMFGFSFSILVFAHWEKLSSNLSRSVVVLWVFVVFILGSNYTATLTSMMTVRQIEYNSKMSNIGHRLGPVTQEVVGNLNFDNSSSTSVWLTSPEEYANALSEGAKNGGVSAIIDEMPYISIFLEKYPTRYSMVGSVMPTTNGFGFVFPKGSALARDISREIAKLREDGRLQALQNAWFKTPIIDFDSEDTTNSVNSLTVGDFRGLFLISGAFLAIACILFLASLLYKNFH >KJB10797 pep chromosome:Graimondii2_0_v6:1:45829297:45830954:-1 gene:B456_001G225100 transcript:KJB10797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSSYRNNNAVFFSVLVVSILLSIASSGSLLAEATIPNFSALQENLVSLNLARRNLKRDPPSTLRSPTQAERPGQHN >KJB08962 pep chromosome:Graimondii2_0_v6:1:13762821:13769503:-1 gene:B456_001G115800 transcript:KJB08962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTNSSVSFVSNKSQESDQTSNNTINEQEEHYENIPQRFDNWTLPRVPTNQVYKKITFENLNVFCSYVIKTKEMSLPIQKEYETIQLLDKVVINKLKEQRYKYIHFGLVQVRAKPLSVEATKNTSILVVLRDQRHIMFNDSLLGTIETSLCTDPIHFNCYPNFMVSLTDKNILQSLTLQIHTHNYKMIPGTKVLTLVYRLHFKAMYSVVNTKALLQNPKGETLLIETDTTRSHTTIPRTIQWHEINLPDKWKLEGATDLVAPIAIRNTSLSENFQHQDGTVELKFNRPQRMPPRYSFEIGKSQPIKKEPAKEIIEKSFKEPIFTPYEIPKTFQKSQNDFLTEIQNRLNALESYKSELIAHDTPIQAQYSVNTLHQSSQSDSDQSDEQQINKMAWKEPKILYYPKITAPNLNIEEKPNFQNKYNANTIYEWNIDGMSEYNILSLLQKVTMVSNVYKTQNQNGLISDHAIANILVAGFTGRNFKSNKKDDQNRIILDEQGREIQDAVATLIFSISKHFIGDPSHLKDRNSEFLSNLKCKKLTDFKWYKDIFMTRVMQKSDNQQPFWKEKFLVGLPTLLGEKLTYGELISFTQKEGLKICQDLKLQKQLKKERYQCRKELGSFCHQFNIKNEPSASKTCYPKFPVKFTTKIDHYPYFTYWDYQMAWYNAFLMNNQHMRHSWLIYFKYDTQFKFPNWFQEWWNWYGPSSFEILPEKIQNLWPKFFDKFHPKPDQKHIYRTIHFFSKLFISWIVSWNYSYEQDQHTGIPLLVRNYRTKWWDKFNDEKYDSKYLDNFFNKNPRLCKSATPDQTTAKFLQAKSTASAMLAQAKTKKE >KJB11256 pep chromosome:Graimondii2_0_v6:1:51183568:51186052:1 gene:B456_001G249900 transcript:KJB11256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRETMLDPFKTWKFLVSTITIKPIDVLVFLLKTTLAIFIVASISLFFYFAFSVQPRWLSSPICNNNQQMFIAKNSSSSKAYGRTNLSHIQFGIGGSAKTWNQRRRFCELWWRPNVCRGFVWLDEKPPNGENLPPTSPPYKISEDTSRFKYTSWYGSRSAVRMARIVKESFELGLDNVRWFVMGDDDTLFFLENLLTVLNKYDHNQMYYIGGNSESVEQDVIHSYNMAYGGGGFAISYPLAAELVKVLDGCIDRYASFYGSDQKVQGCMSEIGVPATKELGFHQLDIRGDPYGLLSAHPLAPLVSLHHLDYVQPVFPGMTRIGSLQKLITAYEKDPSRALQHSFCYDFKRNWSISLSWGYNVQLYPSLVTAKKLETALSTFQSWRTWSNGPFTFNTRPVEKDPCERPVTYFLGSAERVNGDTTLTTYVRNVEDSAKECDRPDYTRAVAVQFFNVSSARLSPAIWRMAPRRQCCEVINGGEGVDSLRVEVKIRACHRFESVTPP >KJB09425 pep chromosome:Graimondii2_0_v6:1:19085502:19088654:-1 gene:B456_001G141100 transcript:KJB09425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSGQSISPENMIYPLNLVLMSATLRVEDFISGRRLFCVPPPVIEVPTRQYPVTIHFSKRTELVDYIGQAFKKVMSIHKRLPPGGILVFVTGQREVEYLCRRLRKASKGVITNISKGDKITEAAPNSQLNSVEDINMKDISDAFETNEDSAHQKTDRFSSYDEDQYDYHEDDSDASYDSEMDSELETFDEDDNTLDKKSMENSGNLVDVLGGDGNLASLKAAFEALSGKNGLDSNPEGQEAVSINPENSLEQPSAPIEKVSEGNRGLNTGALRVLPLYAMLSAAAQLCVFEEVKDGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYNPTNDMETYEIQWISKASAAQRAGRAGRTGPGHCYRLYSSAVFSNILPDFSCAEISKIPVDGVVLLMKSMGIDKVANFPFPTSPGPTALVEAERCLKALEALDGSGRLTSLGKAMAHYPMSPRHSRMLLTVIQIMRRVKSYARANLVLGYAVAAAAVLSSTNPFVIQYEESHNQTDEPKRDDGSNPLDSEKVLNKKEKSQKRKLKELAKMSRAKFSNPSSDTLTVAYALQCFELSESQVDFCNENALHLKTMEEMSKLRKQLLQLVFNQNVHCDVGQDFLWTHGTMEDVEQSWRVAFSKYPLLLNEEELLGQAICAGWADRVAKRIRGVSRSSEGDRKVNTVRYQACLVTETVFLHRASSLSSSAPEFLVYSELLQTKRPYMHGATSVKSDWLVKYAKSYCTFSAPLTDPKPYYDPQTDEVYCWVVPTFGPHLWQLPMHNLQISSNAHRVTVFAYALLEGQVLPCLKSVKQFMSASPDIILKPESYGQSRVGNLLHKFKTWRIDSCGQLRKIWEDNSRALHSVILDWFQESFHKHFEKLWSEMLSEVLLEPQERFPKRLKRDKRKK >KJB09426 pep chromosome:Graimondii2_0_v6:1:19085310:19089683:-1 gene:B456_001G141100 transcript:KJB09426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEQEIMEAINENSTVIICGETGCGKTTQVPQFLYEAGFGSNQSTIRSGVIGVTQPRRVAVLATAKRVAFELGLHLGKEVGFQVRHDKKIGDRCSIKFMTDGILLREVQNDVLLKRYSVIVLDEAHERSLNTDILIGMLSRVIRLRQDLYEKQQQMVLSGQSISPENMIYPLNLVLMSATLRVEDFISGRRLFCVPPPVIEVPTRQYPVTIHFSKRTELVDYIGQAFKKVMSIHKRLPPGGILVFVTGQREVEYLCRRLRKASKGVITNISKGDKITEAAPNSQLNSVEDINMKDISDAFETNEDSAHQKTDRFSSYDEDQYDYHEDDSDASYDSEMDSELETFDEDDNTLDKKSMENSGNLVDVLGGDGNLASLKAAFEALSGKNGLDSNPEGQEAVSINPENSLEQPSAPIEKVSEGNRGLNTGALRVLPLYAMLSAAAQLCVFEEVKDGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYNPTNDMETYEIQWISKASAAQRAGRAGRTGPGHCYRLYSSAVFSNILPDFSCAEISKIPVDGVVLLMKSMGIDKVANFPFPTSPGPTALVEAERCLKALEALDGSGRLTSLGKAMAHYPMSPRHSRMLLTVIQIMRRVKSYARANLVLGYAVAAAAVLSSTNPFVIQYEESHNQTDEPKRDDGSNPLDSEKVLNKKEKSQKRKLKELAKMSRAKFSNPSSDTLTVAYALQCFELSESQVDFCNENALHLKTMEEMSKLRKQLLQLVFNQNVHCDVGQDFLWTHGTMEDVEQSWRVAFSKYPLLLNEEELLGQAICAGWADRVAKRIRGVSRSSEGDRKVNTVRYQACLVTETVFLHRASSLSSSAPEFLVYSELLQTKRPYMHGATSVKSDWLVKYAKSYCTFSAPLTDPKPYYDPQTDEVYCWVVPTFGPHLWQLPMHNLQISSNAHRVTVFAYALLEGQVLPCLKSVKQFMSASPDIILKPESYGQSRVGNLLHKFKTWRIDSCGQLRKIWEDNSRALHSVILDWFQESFHKHFEKLWSEMLSEVLLEPQERFPKRLKRDKRKK >KJB09424 pep chromosome:Graimondii2_0_v6:1:19085241:19093500:-1 gene:B456_001G141100 transcript:KJB09424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYLGGKMENSCPHVELKNGQDALSLESSDSNALILPTKRSKKRRGKDQELQRVKGKQNPKLSKSQIRKLKKIEEEKEKELFLAKSIEALEKYKIPEDVYSLLQSSKTIGQAETTREKRRTAIQFSKVGLEVPRSAKSSKVRDGDLSSSSEPEIELEELNSRKDISQNQIEQSIKVEKEVAKHAGDSLASSQKLAFCKDLSASCSYVDTLPTMEAFCKNNDAPLEEGMETSIPKLPVDDGRKSTMSMGPLSASTVVVHVSRADEIENKRKDLPIVMMEQEIMEAINENSTVIICGETGCGKTTQVPQFLYEAGFGSNQSTIRSGVIGVTQPRRVAVLATAKRVAFELGLHLGKEVGFQVRHDKKIGDRCSIKFMTDGILLREVQNDVLLKRYSVIVLDEAHERSLNTDILIGMLSRVIRLRQDLYEKQQQMVLSGQSISPENMIYPLNLVLMSATLRVEDFISGRRLFCVPPPVIEVPTRQYPVTIHFSKRTELVDYIGQAFKKVMSIHKRLPPGGILVFVTGQREVEYLCRRLRKASKGVITNISKGDKITEAAPNSQLNSVEDINMKDISDAFETNEDSAHQKTDRFSSYDEDQYDYHEDDSDASYDSEMDSELETFDEDDNTLDKKSMENSGNLVDVLGGDGNLASLKAAFEALSGKNGLDSNPEGQEAVSINPENSLEQPSAPIEKVSEGNRGLNTGALRVLPLYAMLSAAAQLCVFEEVKDGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYNPTNDMETYEIQWISKASAAQRAGRAGRTGPGHCYRLYSSAVFSNILPDFSCAEISKIPVDGVVLLMKSMGIDKVANFPFPTSPGPTALVEAERCLKALEALDGSGRLTSLGKAMAHYPMSPRHSRMLLTVIQIMRRVKSYARANLVLGYAVAAAAVLSSTNPFVIQYEESHNQTDEPKRDDGSNPLDSEKVLNKKEKSQKRKLKELAKMSRAKFSNPSSDTLTVAYALQCFELSESQVDFCNENALHLKTMEEMSKLRKQLLQLVFNQNVHCDVGQDFLWTHGTMEDVEQSWRVAFSKYPLLLNEEELLGQAICAGWADRVAKRIRGVSRSSEGDRKVNTVRYQACLVTETVFLHRASSLSSSAPEFLVYSELLQTKRPYMHGATSVKSDWLVKYAKSYCTFSAPLTDPKPYYDPQTDEVYCWVVPTFGPHLWQLPMHNLQISSNAHRVTVFAYALLEGQVLPCLKSVKQFMSASPDIILKPESYGQSRVGNLLHKFKTWRIDSCGQLRKIWEDNSRALHSVILDWFQESFHKHFEKLWSEMLSEVLLEPQERFPKRLKRDKRKK >KJB06567 pep chromosome:Graimondii2_0_v6:1:151547:153378:-1 gene:B456_001G001500 transcript:KJB06567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLNQVAGVPGVTEALFLARQAALAEEKDKQNETPMLYSCGLCDKGYRSSKAYSQHLKSRAHIAQASQHPEEEKAAIIKPFIRRAVNKPPQPRDTNDEESDDDWVEVDPDEDLASGDEMDIDNGEETGQEELDPCCCFMCDLKHDTIESCVVHMHKFHGFFIPDVEYLKNPEGLLIYLGLKVKRDFICLYCNERCHPFTSLEAVRKHMAAKGHCKVHYGDGDEDEEAELEEFYDYSSSYVDDWGKQLVAVGDKDSTVELGGGSELIITRKSDEGIMSKTLGSREYSRYYRQSPRPSPANNMAITAALASRYRSMGLATVQSKEQIVRMKVMKAMNRSGVETMRTKVGMKNNVIQNLPKNVPY >KJB06566 pep chromosome:Graimondii2_0_v6:1:151504:153870:-1 gene:B456_001G001500 transcript:KJB06566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTCNACNREFLDEAERKLHYKSDWHRYNLKRKVAGVPGVTEALFLARQAALAEEKDKQNETPMLYSCGLCDKGYRSSKAYSQHLKSRAHIAQASQHPEEEKAAIIKPFIRRAVNKPPQPRDTNDEESDDDWVEVDPDEDLASGDEMDIDNGEETGQEELDPCCCFMCDLKHDTIESCVVHMHKFHGFFIPDVEYLKNPEGLLIYLGLKVKRDFICLYCNERCHPFTSLEAVRKHMAAKGHCKVHYGDGDEDEEAELEEFYDYSSSYVDDWGKQLVAVGDKDSTVELGGGSELIITRKSDEGIMSKTLGSREYSRYYRQSPRPSPANNMAITAALASRYRSMGLATVQSKEQIVRMKVMKAMNRSGVETMRTKVGMKNNVIQNLPKNVPY >KJB06568 pep chromosome:Graimondii2_0_v6:1:151547:153832:-1 gene:B456_001G001500 transcript:KJB06568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTCNACNREFLDEAERKLHYKSDWHRYNLKRKVAGVPGVTEALFLARQAALAEEKDKQNETPMLYSCGLCDKGYRSSKAYSQHLKSRAHIAQASQHPEEEKAAIIKPFIRRAVNKPPQPRDTNDEESDDDWVEVDPDEDLASGDEMDIDNGEETGQEELDPCCCFMCDLKHDTIESCVVHMHKFHGFFIPDVEYLKNPEGLLIYLGLKVKRDFICLYCNERCHPFTSLEAVRKHMAAKGHCKVHYGDGDEDEEAELEEFYDYSSSYVDDWGKQLVAVGDKDSTVELGGGSELIITRKSDEGIMSKTLGSREYSRYYRQSPRPSPANNMAITAALASRSMGLATVQSKEQIVRMKVMKAMNRSGVETMRTKVGMKNNVIQNLPKNVPY >KJB09411 pep chromosome:Graimondii2_0_v6:1:18840798:18841277:1 gene:B456_001G140100 transcript:KJB09411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGISCILFNPSSFRVCLNLGVKEYDRRYPSEYEERYVEMVNHNKRVLNQFCGLTSAEVPKPGAWKK >KJB08410 pep chromosome:Graimondii2_0_v6:1:8489180:8489779:-1 gene:B456_001G080400 transcript:KJB08410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAKINSVYGARGRKRCKERVEESGNSSKSTIMTEKGRTNGVRATKALSTIPNNRTIVTRSMAMKTMEQENEETLYEVEIIEIREEEKNDDNKPMELVQEQEQEQEQKQEQEQEQEPQPQPPANEVAVVDNKGEGVEELTLDDWPITFRFDEEWPWSKVRYDSGWYSCWETMNGDFWTPVRDHSQESVWSGHLWEFKP >KJB09957 pep chromosome:Graimondii2_0_v6:1:26603741:26604414:1 gene:B456_001G177900 transcript:KJB09957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLGTSQCLCHRCIRYISIPWVSCSHYQTSAISNDNPCTRCLQLVETYIKIYSEKSFKGDRHATVSSAARSTLSSIISSNSRMYPEMKGKWTITACMSIGFKVLTTSGANYIRSNSSLI >KJB09404 pep chromosome:Graimondii2_0_v6:1:18641671:18643354:-1 gene:B456_001G139600 transcript:KJB09404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRHHQHPCVLQKVAGLSLDIEYRHGRFQFQMPTLHPRRCNATVQQHPMAQARLANYDLSWVRSSSSPVCVQAPSEKGASGFVIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIRAGRLSEPYKGIGECFRRTIKEEGMISLWRGNTANVIRYFPTQALNFAFKDYFKKLFNFRKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLVGTLQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSIHAFSEILKNEGSKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >KJB10629 pep chromosome:Graimondii2_0_v6:1:42374119:42378622:1 gene:B456_001G212400 transcript:KJB10629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKKENNDDEMDEKVKKYLRGEAANLEGLKDKKLKGQLAVREDLYGKSAKTAAKIEKWLLPSEGGYLEAEGIEKTWRIKQESIAREIDISSSKNQYDIVLPELGPYTLDFTSNGRYMAAAGRKGHLAIVDMKTLNLIKEIQVKETVRDVVYLHNELFFAVAQKKYPYIYNRDGTELHCLKEHGAVLRLQFLKNHFLLASINKFGQLHYQDVTMGEMVGNFRTGLGRTDVMQVNPFNGVVALGHSSGTVTMWKPTSSVPLVKMLCHPGPVSSLAFHPNGHLMATSGKENKIKIWDLRKFEVIQTMPGHAKTLNFSQKGLLAAGTGSFIKVLADFSGSQNYNSYMTHSMAKGYQVGKVLFRPYEDVLGIGHSMGWSSILIPGSGEPNFDSWVANPFETSKQRREKEVHSLLDKLPPETIMLDPTKVGTVRAPQKKEKPTKKEIEAEMEAAIETAKNTDFKKKTKGRNKPSKRAKKRQEMIERAKKPLLEQQIQEHETSRKKQKTNPEIEMPKSLQRFVRQKAP >KJB09709 pep chromosome:Graimondii2_0_v6:1:22691496:22694243:-1 gene:B456_001G158200 transcript:KJB09709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQINSHHNWRLFLKYSRLLHHFSASILAMAFDQVSSNSFLKALQELNNLKPQLYSAAKYSEKSLLHSELKQMVLDNLKDYAVQALVNVADHLGTVAYKLTDLLDQQTLQVSTMELKVSCLNQQLLMCKTYMDSEGLRQQKSMALTPRHHKHYILPNSVIEKVHFSRHVQTNPRQNYFQAIWLLASDTPASKTLSWHLASETKSTLKGISQTLGSNGISQPPSNASGNFQLLDNGEGRNTKSPAIAFPASNSFMSTLGITHRHRELEGSKPLRASRFRSYGNQKHEIAGAPVRSKKALATSFVKQKSKAKGWI >KJB11553 pep chromosome:Graimondii2_0_v6:1:54128632:54131413:-1 gene:B456_001G265000 transcript:KJB11553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMLCDELLQEIFKRLPSTPSAALSVSLVSKRWLNLYRSSKTSLSLRFLPHNSMLVSLSSLLSYYPSLSSLSLVLSDSITNANSSTATAFTDHLLFIVSSSCSNLHHLRFLAGPVSVSSLFSLSRSCSQLTCITVSLSKPLYLSWIVSFPCLKDLCLYICPNGVNKFRSLLNEEFDAEFGLETLFLSGIQAGDEGLGWLWRNCKRLKKLQLKNCASVGDGESFSSFILCVKGLQEVDLRKCRSIVDGVLLKLAENCGSLNSLLVYDGGSKEGLLEFINTCRCNLQKLDLRLPLDLNNTHLLAVAMNLRHLSTLKLQSCCLVTGEGLNTLGTALYNSLEELALINCDVVEREIGLLATLGQNLRMLRKLDLSYNELLVDKELTSMLISCNNLTDLKLRGCRKITGVTLFSMSKNIKCLQSVDIMNCPGIEAEAVEFLVLNCSQMRQMVVEENKVSNIARTWALHKVIE >KJB10830 pep chromosome:Graimondii2_0_v6:1:46327315:46330313:1 gene:B456_001G227200 transcript:KJB10830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLHWDGTLSAKDFRIGAFAFAQKWESCNLAFPPWSWLPCPKHPWLAPPEEEGYLSLEKICISRPNEEEDIDQISQIDTGEEETCCSRNEDILDDATLVQSNHHELHYCDFHIVYSSTFRVPVLYFRAYCSDGRPLPLDEIEKELPACASKELSKKWTFITQEEHPYLKRPWYKLHPCGTAEWMKLLFLSDTANPKFEVVLELYLLSWFSVVGQVFGLRISFKISN >KJB06574 pep chromosome:Graimondii2_0_v6:1:11148543:11149080:1 gene:B456_001G098900 transcript:KJB06574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEERYQEGALEINEKKRTKFFINDHEDIVVKILKRLDARSLGVAACVCRLWCSVARNDSLWEHLCFRHVSPPPSGSRPVVIALGGYRRLYMAVLSRLGRASTIVTTAAAAAPWTSDEFQLSLSLFCVDCYERLNDGVDGTNGGDNVVKPHRRRRRR >KJB08095 pep chromosome:Graimondii2_0_v6:1:6442751:6445108:1 gene:B456_001G064500 transcript:KJB08095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLIVMNKSSVLVFLLVGLFVLCECEDEDQFLPVSPMVKKEQEALYSAIQGFVGNSWNGSDLYPDPCGWTPIQGVYCDLLDGYWHVTVLNIGLVFDNSLQCSPNAKFTHHLFELIHLRSLSFFHCFSSPRDNPIRIPSSNWERLSNSLESLEFRSNGGLIGTIPVSISYLKKLRSLVLLENGLTGDLPRELGNLVNLKQLVLAGNKFTGQIPPSLGGLTELLIMDLSRNNLTGTLMLTFGCNLTSLLKLDLSNNKLEGKIPEGIGRLKNATLLDLGRNKFSGGLIQSFQQLVSLKEMVISNNPLGGDLTGVEWGKLQNLEILDLSNMGLTGLVPESMAGMKKLRYLGLNDNSLTGNLSPKLASLPCLNALYINDNNLTGKLEFAEGFYKKMGRKFRAWNNSNLCYQHEMILSSSSSSSSSSSHGLNGVKLC >KJB11417 pep chromosome:Graimondii2_0_v6:1:53149237:53150679:-1 gene:B456_001G257600 transcript:KJB11417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFTFFYSTATFVFIYAMFRDHFPQHLQDDIQKYGRKLVNFAYPYSQITFDEFTGEYMRRSEAFTAIENYLSDKSVVSAKRLKADAVKDGQSLVLSMDYHEEVADEFNGIKVWWSSNRTTPRSLQFSVYPAVDEKRYYKLTFHNRHKQIITESYLASVMNEGKAIEQNNRLRKLYTNNPSKDWNGFNPKWSYVAFEHPASFNTLAMDDNKKQEIINDINKFKMGRDYYQSIGKAWKRGYLLYGPPGTGKSTMIAAMANHLEYDVYDLELTAVKDNTELRRLLIDTSGKSILVIEDIDCSLDLMGERESKKRKIKEDSDMDPISKKVKKEMEETTDSKVTLSGVLNCVDGLWSSCGGERIIIFTTNYIENLDPALIRRGRMDLLIEMPFCCFEAFKVLAKNYLKINSHRLFKQIGGLLGKTNMTPADVAENLIPKFEGENVELRLNRLIEALKASKNGGACRPNQKRKRRNSNLTNKKKK >KJB07013 pep chromosome:Graimondii2_0_v6:1:7617830:7621732:1 gene:B456_001G074500 transcript:KJB07013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLFHLFLVLLGFSHIISLNAVSVTRIESLVHGPQVHHVPENPHPVIAEKSSKEGRVFMELNDYPGSGANNRHTPRPQFGRCADC >KJB07012 pep chromosome:Graimondii2_0_v6:1:7617867:7618839:1 gene:B456_001G074500 transcript:KJB07012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLFHLFLVLLGFSHIISLNAVSVTRIESLVHGPQVHHVPENPHPVIAEKSSKEGRVFMELNDYPGSGANNRHTPRPQFGRCADC >KJB11483 pep chromosome:Graimondii2_0_v6:1:53714504:53717683:1 gene:B456_001G261500 transcript:KJB11483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENQNHHQKQEEEAQHRQHQDQNHEEKDQQPQKHEAQVEEPEQKSPTVSLSPLTQKTTQSPPSYYSPIDSPLSSCNSSLSHGFSPPPTTTTAAVSHPSEPNPKPPSAVVSRAELTSRDQSTTTTTTVELEEQTQKLGSGSGKRLRPDLSILRRTKRDKMIKKALLGFRISGFVFCLVSFSVLAADKNQGWALDSFYRYKEFRFCMAVNVIGFVYSGFQAYDVAYQLTSGKQKPRGHLRFYLDFILDQILAYLLISASSSAAVRVDDWESNWGNDKFPEMARASMALSLVAFIALALSSLVSGYNLCTSKSI >KJB11484 pep chromosome:Graimondii2_0_v6:1:53714584:53717682:1 gene:B456_001G261500 transcript:KJB11484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENQNHHQKQEEEAQHRQHQDQNHEEKDQQPQKHEAQVEEPEQKSPTVSLSPLTQKTTQSPPSYYSPIDSPLSSCNSSLSHGFSPPPTTTTAAVSHPSEPNPKPPSAVVSRAELTSRDQSTTTTTTVELEEQTQKLGSGSAADKNQGWALDSFYRYKEFRFCMAVNVIGFVYSGFQAYDVAYQLTSGKQKPRGHLRFYLDFILDQILAYLLISASSSAAVRVDDWESNWGNDKFPEMARASMALSLVAFIALALSSLVSGYNLCTSKSI >KJB07219 pep chromosome:Graimondii2_0_v6:1:791962:792754:-1 gene:B456_001G008600 transcript:KJB07219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVGQQPKWEGKSCAKLAGCKAEQVWLLLQDFFGLDKWFPSLTTCLPVEGVSGQPGCVRFCAGFKTPVSGSDKGSMNWTKQKLLSIDPIKMVFSYSIVDGNVGFNAYVSTVRVLSNEHGCDIEWRYEVEPVKGWTLGDLDFFIASGLQVMAQRMEAALQVFQATMDQ >KJB08191 pep chromosome:Graimondii2_0_v6:1:7071985:7074642:-1 gene:B456_001G070200 transcript:KJB08191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLAAGNVGRDQSWGWNMSSDPCNDKWIGVSCDTLLKSVKKVVLDELNLTGVIDIGFACKASSLSVLSLHSNNVVGSISEEIGNCKHLTHLYVSGNQISGHLPESLKQLSNLKRFDISNNNFSGEVPDISQISGLITFFAQNNQLTGEVPNLDFSHLLQLNISNNNFSGPIPDVKGRFSADSFSGNPGLCGELVSKPCPPTPPSKRKSNHSSIKRFFIYSGYAVLGLIVVLFVAFKLVSKMKPKDDKEAVETNTSRRSYKTSTTTSNESKIAENKSEYSISSVESGVALSSLVVLTSPTGQGLRFEDLLRAPAELLGKGKHGSLYKVMLDNGVTTLAVKRIKDWSVDSEEFKRRMKRLDQTRHPSVLPSVAFYCSQQEKLLVYEYQPNGSLFRLLHGSQSGQAFGWESRLNVAAIVGEALAFMHEELGEDRIAHGNLKSTNILFNQNMDPCISEYGLMVFQTQDLSFDFQSSKDALDHAAPTYGSFKADIYGFGVILLELLTGKPVHTNGIDLAQWVHSVVTEEWTVEVFDKALISQGASEERLLNLLQIALKCINPNPYERPNMNQVAVMISTLKGEEDRSSSHP >KJB08192 pep chromosome:Graimondii2_0_v6:1:7081635:7086156:-1 gene:B456_001G070300 transcript:KJB08192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSKRREMDVMKLMMNDYVVETINDILNEFIVGFHGPKESLYEGGVWKIRVELPDAYPYKSPSIRFVNKILHPNVDETSGSVCLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPSHPLNRDAASLMMKDRKQYDQKVKEFCELYAKKEDIMKPTAGEETDDDEDVTDEETCSSDDEIDGRADP >KJB10763 pep chromosome:Graimondii2_0_v6:1:45508350:45508742:1 gene:B456_001G222900 transcript:KJB10763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQTTKFETLRMQDSKKIGEFYANLCDLPNQAFTLKEGYSNSRLVKKVLRSLLERFTIKVTTIEYAKILESLKIDELIGSLQTFELNLDESKKVNSNGERSIAFQVANEVSIPIASSLEELQEQIALLP >KJB06493 pep chromosome:Graimondii2_0_v6:1:4237220:4239514:1 gene:B456_001G044400 transcript:KJB06493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMFMTHGPFTSTLFPIVENFPLLLSKGVWVMSQSHLVLLFPHQLINQMRALPQEGSSFCSSVYRVLAIISHCCSPPNGKVMPLL >KJB09498 pep chromosome:Graimondii2_0_v6:1:19805476:19808463:1 gene:B456_001G145700 transcript:KJB09498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVPSPACLRAKKTRAVGIPVVDLSLDRSIVSELIVKACEEYGIFKVMNHGVHWEIISRLEGEGVQFFGKPAGDKQRAGPANPFGYGVKNIGLNGDKGELEYLLLHTNPFSIAERSESISNQPQNFTRTANDYIEAVKELASEVLDLVAEGLSIPDKHVFSRQIKDVQSDSILRFNHYPPVKNQDPSSKACKENQIGFGEHSDPQILTILRSNDVAGLEICLQDDGFWIPVPPDPTQFYVIIGDALRVLTNGRFVSVRHRALANSSRNSRISMMYFGAPPLNAIISPLPQFVSPQNPRLYRPFTWGEYKKAAYTSRLGDCRLDLFKLHITDDTLALFS >KJB06469 pep chromosome:Graimondii2_0_v6:1:22795888:22797630:-1 gene:B456_001G158700 transcript:KJB06469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVDGLTNDEVKSHLQKYRLYTGRLPATTTTTTPANQSGLVLGGGHAWMCQDQFGESSKGSNSQLGSPQGPLQLTTNTGGTSTTGCESMEDDEDAKSECYSWKIHVQKPRKNNV >KJB06986 pep chromosome:Graimondii2_0_v6:1:6720934:6721884:1 gene:B456_001G067000 transcript:KJB06986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIHSIAGGCIFSGGGGGGGGGGGGGGDKRLRSHHHHNHQALKCPRCDSLNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGILRNVPVGGGCRKAKRTKTKASSETTAVAASALPPPEQQHGDQRKANSHSSSESSSLTATNSNVAVPNQNNYSSAAGTAEAVTSHSNLINVSEPKFYGNPNNLGLEPGLLEQGSDCGIFPEIGSFTSLITSSNNETMSFGFDKVLNGQALEQGQWQQQHQKMMRMGEDEINGGLLDQTVQVELSNMHSRSENGFGALDWQGNEDQGLFDLPNDVDKTYWSQTQWPDQDHHGLYLP >KJB11125 pep chromosome:Graimondii2_0_v6:1:48199406:48201656:-1 gene:B456_001G241800 transcript:KJB11125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRKGNLVEVLRGEDDPCGSWFTANIVTADVENCVVRYKLLMDHEGKQVVEKLQRKDVRPLPPSVNWKSWAVGDVAEVFDNRCWRVGKITKVLKNNDRFVIKFFGSIQLMEFHGSSLRIRQAWDGKKWVVRGKCEGLTNNFTPKFPNRAGGLLFRTSCHLTKTPQFREKDREGMHKGRADNATLRMSLRAANKGYDHHSEECDPIFRRTLRRRKLPPFSRGCNDKTLKRTHPLFDQVDDISFPHVVGLDGEVIKQSAKRIKNTTPHCLYDSSRPVLSSKDSDQCSVASCSSNGVADYAGQIYRIPSGSTADNSDAESSFPYSCGKRDLQLSPADKVVDIHELELRAYKSTVEALYASGPLTWEQEFLLTNLRLSLNISDKEHLLQLRHLLSAQVMR >KJB11126 pep chromosome:Graimondii2_0_v6:1:48199406:48201933:-1 gene:B456_001G241800 transcript:KJB11126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRKGNLVEVLRGEDDPCGSWFTANIVTADVENCVVRYKLLMDHEGKQVVEKLQRKDVRPLPPSVNWKSWAVGDVAEVFDNRCWRVGKITKVLKNNDRFVIKFFGSIQLMEFHGSSLRIRQAWDGKKWVVRGKVAQCEGLTNNFTPKFPNRAGGLLFRTSCHLTKTPQFREKDREGMHKGRADNATLRMSLRAANKGYDHHSEECDPIFRRTLRRRKLPPFSRGCNDKTLKRTHPLFDQVDDISFPHVVGLDGEVIKQSAKRIKNTTPHCLYDSSRPVLSSKDSDQCSVASCSSNGVADYAGQIYRIPSGSTADNSDAESSFPYSCGKRDLQLSPADKVVDIHELELRAYKSTVEALYASGPLTWEQEFLLTNLRLSLNISDKEHLLQLRHLLSAQVMR >KJB11127 pep chromosome:Graimondii2_0_v6:1:48199406:48202182:-1 gene:B456_001G241800 transcript:KJB11127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRKGNLVEVLRGEDDPCGSWFTANIVTADVENCVVRYKLLMDHEGKQVVEKLQRKDVRPLPPSVNWKSWAVGDVAEVFDNRCWRVGKITKVLKNNDRFVIKFFGSIQLMEFHGSSLRIRQAWDGKKWVVRGKVAQCEGLTNNFTPKFPNRAGGLLFRTSCHLTKTPQFREKDREGMHKGRADNATLRMSLRAANKGYDHHSEECDPIFRRTLRRRKLPPFSRGCNDKTLKRTHPLFDQVDDISFPHVVGLDGEVIKQSAKRIKNTTPHCLYDSSRPVLSSKDSDQCSVASCSSNGVADYAGQIYRIPSGSTADNSDAESSFPYSCGKRDLQLSPADKVVDIHELELRAYKSTVEALYASGPLTWEQEFLLTNLRLSLNISDKEHLLQLRHLLSAQVMR >KJB06174 pep chromosome:Graimondii2_0_v6:1:43897905:43898514:-1 gene:B456_001G217900 transcript:KJB06174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGCPPSKFPWTYSSKETCYLLEGKVKVYPDGSNDFVEIGAGDLVEFPKGMSCTWEVSVAVDKHYKFE >KJB08653 pep chromosome:Graimondii2_0_v6:1:10678493:10681429:1 gene:B456_001G095800 transcript:KJB08653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 5 [Source:Projected from Arabidopsis thaliana (AT4G15410) UniProtKB/Swiss-Prot;Acc:Q7Y175] MEHETHRQGNPTDPNADQNAALINSFIEITSSSKEEALFFLESHQWDLDAAVSTFLDSNSTAALQQPPIAPPVSGAGGVNNSASPSQSDSPDYSPSQSPSRSRSPSPARPARPPYALRSRRNDKKPSGSGGNNARGVRTLADLNRTPPGGSDSDSDEGQDYFTGGEKSGMVVRDPSKHRDVDSIFNQARQAGAVEGSDDYFRPSSSNTRSFSGTARLLSGETVAPPPPPPPEVVTHNITFWRNGFTVDDGPLRQLDDPANATFLESVMGSQCPKELEPADPRTKVDLHLFRRDENYSEPKRRQSAFQGVGRTLGSSSPSPTPSESTTAAGNITTAPAPSMGLVVDTSLPTTSIQLRLSDGTRMISRFNHHHTIRDIRGFIDASQPGGATNYQLQTMGFPPKQLTDLDQTIEQAGIANSVVIQKY >KJB06691 pep chromosome:Graimondii2_0_v6:1:23007615:23008653:1 gene:B456_001G159600 transcript:KJB06691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSSFLKMLKFKQKEIPHPQLLRWSEWFSKYSFDVKHIKGKTNVLADILTKLVESFMMQHLSSSKSKGKKKLFQNPPSPFSIPCQPTSYPDYPLKYFMQNGPVTQLGAFLCAWIHRTYFLEVSLNPYDYKDLKKCLCQINKIIPSEIWPSGKTLAPWDVNIDPPTPYQDRLKKALKEYQSDIPDPKEWSQDYPIYCSQATQNTPA >KJB06480 pep chromosome:Graimondii2_0_v6:1:2214026:2214806:-1 gene:B456_001G023400 transcript:KJB06480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSKFDLKGELLPLLHHPKTNTNQRQFCGVTERIVRNLITIIIINKLKLRNVLNLYSLNSTITF >KJB08379 pep chromosome:Graimondii2_0_v6:1:8286350:8287337:-1 gene:B456_001G079400 transcript:KJB08379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTKLFQSPAEPEAPLISKKGGRVIHISFDEESTSTDMPLGTTIHNIEITLGRGGQLARVAGAVAKLIAKEGKSATLKLPSGEVCLISKNCLAIVRQVRNVGVNQKSLGRAGSKCWLGKRLVVRGVVMNPVDHPHVGGEGRAPIGREKPTTPWGYPALERRSRKRNKYSDNLILRRRIKKMAR >KJB09938 pep chromosome:Graimondii2_0_v6:1:26129114:26135542:-1 gene:B456_001G176200 transcript:KJB09938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFQRSAVPRLLYTLYSTKAAPYCSPSPTAALLLGNFEVRHFAAGNVARAKDDKEPWWKESMERLRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGKDGVGAKMDSMDLEREKGITIQSAATYCTWKDYQINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHTAAVQVPIGLEENFKGLIDLVQLKAYYFHGSSGEKVVSEGIPADMEAIVAEKRRELIEVVSEVDDKLAEAFLNDEPISPADLEEAVRRATIARKFVPVFMGSAFKNKGVQPLLDGVLSYLPCPIEVSNYALDQTKNEEKVMLPGTPDGPLVALAFKLEEGRFGQLTYLRVYEGVVRKGDFIVNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTNGSVKYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQREDPTFRVGLDAESGQTIISGMGELHLDIYVERIRREYKVDATVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYVEPLPPGSPIKFEFENMIVGQAIPSNFIPAIEKGFKEAANSGSLIGHPVENIRVVITDGASHAVDSSELAFKLASIYAFRQCYSAARPVILEPIMLVELKVPTEFQGTVAGDINKRKGVIVGNDQDGDDSVITANVPLNNMFGYSTALRSMTQGKGEFTMEYKEHSPVSQDVQMQLVNTHKANKAVE >KJB06341 pep chromosome:Graimondii2_0_v6:1:6333500:6333709:-1 gene:B456_001G063400 transcript:KJB06341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISRFISEQEKILSRQVNRLTLKQQQLITIAIKQARILSLLPFLNNEKQFERNESTTRTTALRTRNK >KJB08878 pep chromosome:Graimondii2_0_v6:1:12785742:12788141:1 gene:B456_001G110600 transcript:KJB08878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLVLLRHRRFLQAIHQTLGSASSLKFLSSTTADNLERQSSESEQQEPKCLSLRIERLPKGETVGLAFKSWMADGFPVHRGDIFHAINRLRKLKLNKRALEVMEWIIRERPYRPKELDYSYLLEFTTKLHGISRGEKLFSCVPQEFQNELLYNNLVIACLDKGLIRLSLEYMKKMRELRHPISYLVFNRLIILHSSPGRRKTIPKILNQMKADKVVRHVSTYNILMKIEANEHNIEGLVKVFNDMKKVEVDPNEVSYCILAIAHAVARLYTAAEAYVEAVEETMTGNNWSTLDVLIILYGYLGKEKELGKTWGTVLEFPHVRSKSYILAIEAYGRIGQVSQAEELWLQMKSVKGLISTEQFNSIISVYCKHGLLSKATEVFREMRMNGCKPNSITFRQLALGCLKSGSVEECLKSLDIGMNMTTSNKVRCSTPWLETTYSMIEIFAEIGDVKNAEKFFVELKRANYSRYTFVYNTLIKAYVKAKIYDSNLLKRMILGGARPDAETYSLLKLSEQFQR >KJB09806 pep chromosome:Graimondii2_0_v6:1:23810918:23811737:1 gene:B456_001G168200 transcript:KJB09806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLRKTVEVLISALEEGEAAERAKNEETREALISLSSSPYAVVEKAPCQRHWKFIFPFVLGDFQCNILFPHIYSSFRRRSIGGNK >KJB07257 pep chromosome:Graimondii2_0_v6:1:1120359:1120919:-1 gene:B456_001G011900 transcript:KJB07257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKRATEEDTGDESPATKRRREAPETVEFNGYDDVLPWLSMEGTCKTDAMSELFKLVDDSTELAPSSTCSPTSYCTKVKFSDNPYSSALIFQSSSSYVTINGNEESCGSSFSDSESSVMASVDMRGIVSTNVKFDNGLEEIRGWLEAEEGSAWGKSEGESRETWTVDWEWDEEQLARFLGEECLF >KJB10462 pep chromosome:Graimondii2_0_v6:1:39046992:39047282:-1 gene:B456_001G202600 transcript:KJB10462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFSFLSLCVVGIVVVLFSGETRTAEAVTCDLSELSPCLAAITSSKPPSSTCCSKLTEQKPCFCQYLRNPTMKQFVDTPKAKRIATTCSVEYPQC >KJB08354 pep chromosome:Graimondii2_0_v6:1:8101783:8104194:1 gene:B456_001G078300 transcript:KJB08354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLQITRFLHRKMKMVEQYERELAVGVAAAAYVVNAIEKGEAKYRIKIEGKKQDTISRVGNSDRVSRRFSSKEVTTNTAGETSSRKSRESSKGGGSSAGDQRWKGNYSQTNNALETKADAWQNAELHKLNKRYENMKASIHEWEKEKKVRAKVKMERKKKELEKKIKRSHQVYQLKISRIDDIAGGARAQVDEKRRNEELKIKEKAKQIRATGVVPFTCLCF >KJB09212 pep chromosome:Graimondii2_0_v6:1:16385371:16387191:1 gene:B456_001G129500 transcript:KJB09212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRASGLYILRSVGGDAILLLSLSFELFRPKTKTLFFTTISLYRRIRLLNFAFLFVACMDPSRGLHQEMGNPFSENMMVNSKGQQQQERKARPQPEEALKCPRCESTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGNLRNVPVGGGCRKNKRSSSKRTQDHAFTPSTNPLITPHHHPIPPLTYDMDTNDLTLAFATVQKQSTSGQQLGFDDHDGDLSIFGNPSFNGNALTSSFLGNHGNLQSYCYDNIGMGGAATGLNGETMLPYNNQEMIDSTMAMGQDSENRVLWGLPWQLIAADNNMVGLGDLDLVRDNWNGLLNTPLM >KJB09053 pep chromosome:Graimondii2_0_v6:1:14855709:14858722:-1 gene:B456_001G121700 transcript:KJB09053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMGFRSYHVAEDKTLCFQAPTFIEWLKPSSSSSPSSSPSSSSSSSTISSSYVAQHVQLINPMNIMKRPLISFQQQQQQQQYHDFHKHQVGEETIQCLPLLSRFTENKPLKEEALQKVMSVGDVKEEKIEKVTVSLHIGLPNSSAAAAAEGDDNIPVVDHKILKEEEPMKKTFHGCSFNTMSRFWIPTPAQILVGPMQFACSICSKTFNRYNNMQMHMWGHGSEYRKGPDSLKGSQPAAMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGAKPFMCRKCGKTFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGKGHSPHPSLEGFEDDKECITGSEDEFAH >KJB07847 pep chromosome:Graimondii2_0_v6:1:4541678:4542437:-1 gene:B456_001G047600 transcript:KJB07847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YSFEDIFETMETVSMKKRFVITNVVSILCMLIAVHAQGDFPSDYSSSQSEFPQNSQDMKYPRETQKSSLEEQSRSPNTSLSSESIFPQDPNEPFEDPFESEFPNETDSNVKIQSPPPSPAPLSSATPPSPTKPPYPPKHPWILDNTCKTRCSTLCIRHKVPLLNNLCNEICGKRCILYCWQLVYNCTIHCAYSMPKLCKSDKKKEAGYVSYCYHKCIKKF >KJB07232 pep chromosome:Graimondii2_0_v6:1:911417:912172:-1 gene:B456_001G009600 transcript:KJB07232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMESDESSFENSINASIASSSDLVDDATSSASSSNGPLYELSEMMAQLPIKRGLSKHYHGKSQSFTSLANVKSIEDLPKKVVRVRAKMMKSCKSYGWGLDGHHHHNKVYYSPKATISKKGSSSTRVCFMSSSLAT >KJB10422 pep chromosome:Graimondii2_0_v6:1:36174521:36180207:-1 gene:B456_001G200200 transcript:KJB10422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYPEELRSPPVALVALVGCPEQHGAITTHLLSQQHPINTLALPNFSKLSHLLHRPPSPSSSPAGFLKRDWLVKHRTKIPAVVAALFSWDHVSGDPAQWVQVCSDLDDLKAAIRPRNTKLLLLVVVGQSDDISEDRLLALRKRAEVDSKYLLLFNPDPSQLNNSLQRLSASFAELETTFYREEGRRIKARIEKKNFSSPDLQVRYCFKVAVYAEFRRDWAEALRFYEDAYHALREMVATSTRLPPIQRLFEIKIVAEHLHFKICTLLLHGGKLREAITWFRQHVVSYKSLVGDPNVIFLHWEWLSRQFLVFAELLDSSSATLPSTSSLPVGTADQPLTEWEFHPAYYYQSAAKYLKEKRSALELTVSNSETFSENDDGSAESVVPSVYIGQFARLIEQGDDSAMQSITDDEYTRYAIAEGKRFQDSFEIIALLKKSNEIYSNLKVQRMGSLCAFQIAREYFSLGDFNNAKQQFDGVANLYRQEGWVTLLWEVLGYLRECSRKQGAVKEFVEFSLEMAALPVSIVDSIQSSKCGPGGPASLEQREMIHREIFALISGEARPISINGVDDLKVTRDNTLHLEIDLVSPLRSVLLASVAFHEQIIKSGVSSLITLSLLSQLPLSIEIDQLEVQFNQSQCNFIIMNAQKHPLEAVQSEQHYHRMESAPSLALTTNKWLRLTYDIKSEQSGKLECISIIAKMGPHFTICCRAESPASMDDLPLWKFEDRVETFPTKDPALSFSGQKAAQVEEPDPQVDVTLGASGPALVGERFLLPVTIASRDHAIYAGEMKINLVDVRGGGLFSPRESEPFSLDTHHVELLGIVGPEGEDESQRASDKIMKIQQSFGLVSVPFLNIGESWSCKLEIMWHRPKPIMLFVSLGYSPNSNESNAQKVNIHKTLQIEGKNAVLISQHFMLPFRRVSLLLSKIKPVPDSNQFSSLPMHESTVLVVSAKNCSEVTLQLLSMAIEVDDGGTEKSCSIQQGDEDLGTAVLVPGEDFKKVFTVIPRLDSSKLRLGMVNLKWKRHCGIEDRSGLTVTGSEVVTKHELPDVHVELSPIVVTLECPPYAILGEPFMHHVKIRNKTELLQEVKFSLADSQSFVLSGSHSDTVFVLPKSEHVLNYKVVPLFSGLQQLPRISLTSVRYSARFLPSIAASNVFVFPSKPHCKMTGITDKRLEESTVAD >KJB09458 pep chromosome:Graimondii2_0_v6:1:19555464:19556687:-1 gene:B456_001G143700 transcript:KJB09458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYVTALACPPSDTAGKVILWDGAVQDFSWPLTAAELMLEHPQQVVVEFHEAVNQKRPVPLPADHKLDVKKVYVMLPVKRGKPTTLSSEEARRVLSSASSVLRSKSVSPSSKFLPLFARICPANDVLEMAQKLPLQKKENGGEKPEEVQCLTEFLMETVEGRPEFLNRQYSGKGWKPSLDTIKEKKVERKVKVPHWLF >KJB09395 pep chromosome:Graimondii2_0_v6:1:18483767:18489473:-1 gene:B456_001G139000 transcript:KJB09395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFQLKQTWIAKVSLYIPSCTMLKFPTHFHSMEATTSKTLLMFWLLVTCIAAVSLGSAVETQGFGGCLMSFASVKGCVEAIHEVVSHEKFDALQPKCCKAIIKLGDNCWPIVFPNQPCLPVLLKTVCKVLGMVVKVENVAAAP >KJB10905 pep chromosome:Graimondii2_0_v6:1:46861585:46863943:-1 gene:B456_001G231400 transcript:KJB10905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVKGFRFHPTDEELIEYLLLETFGVDRDSLVQVIDQVPDICQWEPWQLAESSQLQTGDRLWYFIYAPTYKYRNSKRISRTTREGYWKPTGNARKIIDPQTGEVIGTKKTLVFYKGQCNDKYKIKTCWVMHEYELVAAPNSIDIDQKTFNLCKLKKRVDISCTDAGQSSQHKDCDDVVHNQSGIKSYAGDRSNQHNIANDGVVSNLSSHDLDDAIPKENLHERSKECNEPEGNSGVQKLNSIIEKDDKSCSSVLTDGDETITVERSDQHNVVVAAEGFGIPSSFEYFDYEVLIPAEFFYNDGLWYDELLEVPEATNNSNWIQDQSINNTEDVEFLNSIIVDNDEAYLLEENRPHPSAADNEVLGAVGSSDLMEKPSKRLRLSHDDHVETTEARAGGSITYQ >KJB09605 pep chromosome:Graimondii2_0_v6:1:21238081:21239688:1 gene:B456_001G1521001 transcript:KJB09605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLSGILALKFVYFIRVEFSWFAVALFLLFFVLLLELFPIVWDQIESTSESECSASDEVLVVPYDNLAPMSCADITESKQLLDKLVVVKYNGALGKNMGFGGPEWGLENTIKGKTSDMVIPSQAVAS >KJB09763 pep chromosome:Graimondii2_0_v6:1:23380542:23383728:-1 gene:B456_001G162900 transcript:KJB09763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVIPITDGQICSETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSLKLELAQYREVAALAQFGSDLDAATQALLNRGARLTEVPKQPQYSPLPIEKQILVIYAAVNGFCDRMPLEKISQYERAIPKSIKPQLLQSLLEKGANERKIEPDTFLKESALPYL >KJB08315 pep chromosome:Graimondii2_0_v6:1:7839856:7842240:1 gene:B456_001G076300 transcript:KJB08315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPPLYKPPFPPFLPSHTRPSIPSPCFALKSPSFSPMATVSPTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIQNLLSPSTPFFFNTLYDPYRSGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAIMTIPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGWGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQSVALPKDCTTVQKCYIEISKQVKAKLGKVDDYFNKLADAMVTWIEAWDELNPSGDISAKIPNGASK >KJB10767 pep chromosome:Graimondii2_0_v6:1:45571716:45573717:1 gene:B456_001G223300 transcript:KJB10767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRPVSYRTRSSRPENLGKNSLHMIANICLTIFVIGALVVTIIAATYEPDDPLFQSSTKITTFLTSMSNSTFQSNNTVSRTGEDLMAAKQTALATFGSGTDAAETKETNSYETSSSECKVDPKEPLDCKDPEVFQLMMQKAIERFKDIHFNRFGKPTPGPEGNTCDMAWRFRPKQGKATGFNKDYRRFVINRDNCTVSVVSIGEYHSGVNARKKKKKNKNKIPGYEPASGLQDQGGFSLPAAGEMVNDSLPVVESERAFIHRKYLIYVGGADRCKSMKHYLWSFLCALGEAQYLKRTLVMDMTLCLSSVYTSSNQDEEGKDFRFYFDFEHLKQAASVLDQDQFWQLWDKWQRKDKLSLYVVEDFRVSPKKLTEVKHSLIMRKFGFVEPDNFWYRVCEGETGSVVQRPWDLIRKSRRLMDLVAAIGSKLNWDYDSVHIVRGEKARNRHLWPNLAQDTSPGALLSTLQNKIKDGRDVYIATNEAETSFFEPLKHKYTTHFLNDYKELWDQSSEWYSDTAKLNNGAPVEFDDYMRASVDGELFLRGKKQIETFNDLTNDCKDGVNTCNTAAI >KJB06213 pep chromosome:Graimondii2_0_v6:1:22926161:22926768:1 gene:B456_001G159100 transcript:KJB06213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQVWFLFDWFVMFISLVPELKTRIKKYFEGDEEALPSVLQAILRRKLAGKHEETDDELMDELEVQPRDDVDDKEFESDFDNLYSTDEEILAILAMFLMK >KJB06212 pep chromosome:Graimondii2_0_v6:1:22924170:22926768:1 gene:B456_001G159100 transcript:KJB06212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKKMDAIHTFARERELDNLLKCIESGVSVHLQELKTRIKKYFEGDEEALPSVLQAILRRKLAGKHEETDDELMDELEVQPRDDVDDKEFESDFDNLYSTDEEILAILAMFLMK >KJB09016 pep chromosome:Graimondii2_0_v6:1:14448226:14452360:1 gene:B456_001G119200 transcript:KJB09016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTKKDRVNCPFYFKISTCRQSYYPPLQYELSKYVEIESLNLWDNLADYMRIIVDFSPVTGFCEATCRQYDENTCNRGGYCNFMHLYRISRSRRRRSHSRSKSRSSHRFRTSCEEHSYGGHGHSKRYDDRDNYHEGRRKRNKEKRAKIEQSNWEREQQENAKIIDKDVANDNNENRNNGYV >KJB10592 pep chromosome:Graimondii2_0_v6:1:41539630:41540359:1 gene:B456_001G209400 transcript:KJB10592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHLPTPIFSATLITLMFLYITSTSQATSFASLTKMNATVTIDESHIESELLMDLGMGRMLFNYRYLINRSGNRRRPIVTCNRGNAYASCLPAKNQRIRSERCGIYKRRGCF >KJB07658 pep chromosome:Graimondii2_0_v6:1:3273012:3273442:1 gene:B456_001G035400 transcript:KJB07658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNMEEWSIELISKLIEDSPSTKEIDMNIEEENVELMSKLIEDSSNAKEMGKDVAESFPGPPEVAIAGYKVVETDAVGSHREEDIGFINVIDNADNARGHFHNLEINALPIFSEELAAGCEEGETEARANYTKSYKHM >KJB09682 pep chromosome:Graimondii2_0_v6:1:22111918:22116223:-1 gene:B456_001G156300 transcript:KJB09682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKKMTESTKVRLALCPKCENLLPELADYSVYKCGGCGAVLRAKTENCEPETSSEKWEEDRLGRVPTKFRIFSEKDIVDSCDAGGKSTAGSLKCDTTRNEPKLAADKCCVGKGNDISANKDEVVNLTGTENEIFDSKFGHTGGSQILGDVPDWDAGKQEEMEGFPRIPRDVTEDHPDERPSNRQLDASYGLQAQTDQDGSGRILLEEDRAMLLRKLNEIKEQLSRSCNVVDKPKDKVPLDGRVAPPESFGGVGSWFPNGSSASQNPSLPFYGPDKDGSRAGPSYFAQFPESYAYPGANAMTPHGLHPPMLDPNHVPAYGNAFGSGVLGRTSHQLPGEYQQLPPHPYFPRQYNGSDHHPFMPYPQSSVLHHASCSCFHCYEKHQRVPAPVPTSAFGNRRFPDMPNNPIYHIENPMTLGSRTAMPPPLNVHGTQAHARLPRDINSETGGCVQGCPQRMVLAGGRRRLCPMAGGAPFITCYNCFELLQMPRKLQLMVKNEQQVRCGACSTVISFIITNKRLVIRDHAKAMEISVEADDISNAVAKDCSSHFHGHANQISANFSSDDYDHSGYEYQSMDRESNALSMGKALNSVKARDMQSICSSSPSISEDENSPNREEVNSIDQPIKSILAPPPAGSPLQEHFDYSTNNRAVNRFGKGNHSNHSDQEIVVSNNGTTRQSSFKEASLPTEMEVAFNEYSNTETSQDSRDGIEEDDQPKMTRGGESFFANINFKESSKYNQIEEHGKRNVSVNGHPLPECVVKKAERTAGRIQPGQYWYDFRAGFWGVLGGPCLGIIPPQIEEFNYPMPENCAGGSSGVFVNGRELHQKDLELLANRGLPTDRDRYYIIEISGRVLDEDTGEELCKLGKLAPTVLKVKHGFGMKVGRAAV >KJB09684 pep chromosome:Graimondii2_0_v6:1:22112609:22115889:-1 gene:B456_001G156300 transcript:KJB09684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESTKVRLALCPKCENLLPELADYSVYKCGGCGAVLRAKTENCEPETSSEKWEEDRLGRVPTKFRIFSEKDIVDSCDAGGKSTAGSLKCDTTRNEPKLAADKCCVGKGNDISANKDEVVNLTGTENEIFDSKFGHTGGSQILGDVPDWDAGKQEEMEGFPRIPRDVTEDHPDERPSNRQLDASYGLQAQTDQDGSGRILLEEDRAMLLRKLNEIKEQLSRSCNVVDKPKDKVPLDGRVAPPESFGGVGSWFPNGSSASQNPSLPFYGPDKDGSRAGPSYFAQFPESYAYPGANAMTPHGLHPPMLDPNHVPAYGNAFGSGVLGRTSHQLPGEYQQLPPHPYFPRQYNGSDHHPFMPYPQSSVLHHASCSCFHCYEKHQRVPAPVPTSAFGNRRFPDMPNNPIYHIENPMTLGSRTAMPPPLNVHGTQAHARLPRDINSETGGCVQGCPQRMVLAGGRRRLCPMAGGAPFITCYNCFELLQMPRKLQLMVKNEQQVRCGACSTVISFIITNKRLVIRDHAKAMEISVEADDISNAVAKDCSSHFHGHANQISANFSSDDYDHSGYEYQSMDRESNALSMGKALNSVKARDMQSICSSSPSISEDENSPNREEVNSIDQPIKSILAPPPAGSPLQEHFDYSTNNRAVNRFGKGNHSNHSDQEIVVSNNGTTRQSSFKEASLPTEMEVAFNEYSNTETSQDSRDGIEEDDQPKMTRGGESFFANINFKESSKYNQIEEHGKRNVSVNGHPLPECVVKKAERTAGRIQPGQYWYDFRAGFWGVLGGPCLGIIPPQIEEFNYPMPENCAGGSSGVFVNGRELHQKDLELLANRGLPTDRDRYYIIEISGRVLDEDTGEELCKLGKLAPTYDLILSFLSLLSNFCFIS >KJB09683 pep chromosome:Graimondii2_0_v6:1:22112447:22115897:-1 gene:B456_001G156300 transcript:KJB09683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESTKVRLALCPKCENLLPELADYSVYKCGGCGAVLRAKTENCEPETSSEKWEEDRLGRVPTKFRIFSEKDIVDSCDAGGKSTAGSLKCDTTRNEPKLAADKCCVGKGNDISANKDEVVNLTGTENEIFDSKFGHTGGSQILGDVPDWDAGKQEEMEGFPRIPRDVTEDHPDERPSNRQLDASYGLQAQTDQDGSGRILLEEDRAMLLRKLNEIKEQLSRSCNVVDKPKDKVPLDGRVAPPESFGGVGSWFPNGSSASQNPSLPFYGPDKDGSRAGPSYFAQFPESYAYPGANAMTPHGLHPPMLDPNHVPAYGNAFGSGVLGRTSHQLPGEYQQLPPHPYFPRQYNGSDHHPFMPYPQSSVLHHASCSCFHCYEKHQRVPAPVPTSAFGNRRFPDMPNNPIYHIENPMTLGSRTAMPPPLNVHGTQAHARLPRDINSETGGCVQGCPQRMVLAGGRRRLCPMAGGAPFITCYNCFELLQMPRKLQLMVKNEQQVRCGACSTVISFIITNKRLVIRDHAKAMEISVEADDISNAVAKDCSSHFHGHANQISANFSSDDYDHSGYEYQSMDRESNALSMGKALNSVKARDMQSICSSSPSISEDENSPNREEVNSIDQPIKSILAPPPAGSPLQEHFDYSTNNRAVNRFGKGNHSNHSDQEIVVSNNGTTRQSSFKEASLPTEMEVAFNEYSNTETSQDSRDGIEEDDQPKMTRGGESFFANINFKESSKYNQIEEHGKRNVSVNGHPLPECVVKKAERTAGRIQPGQYWYDFRAGFWGVLGGPCLGIIPPQIEEFNYPMPENCAGGSSGVFVNGRELHQKDLELLANRGLPTDRDRYYIIEISGRVLDEDTGEELCKLGKLAPTVLKVKHGFGMKVGRAAV >KJB11697 pep chromosome:Graimondii2_0_v6:1:54944234:54944699:1 gene:B456_001G272500 transcript:KJB11697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDWGPVFVAVVLFILLSPGLLFQIPGKSRFVEFSNFQTSGPSILVHSILYFAFICIFLLAIRVEVYIDS >KJB09311 pep chromosome:Graimondii2_0_v6:1:17365083:17367541:-1 gene:B456_001G134100 transcript:KJB09311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVGLRDFVVVAPASFNHHHHHHHHHAQDPIIGNDQINGQNAATALGVGVGVFPLLTASPCLAPQNMEDSDLVNNSGRNKLSGMQLWQNQTSPNYLKKQSSTLDNNNSSMNLIQTSGGGGMGGGSGGSGTSSGTTCQDCGNQAKKDCSHRRCRTCCKSRGFDCPTHVRSTWVPAARRRERQLMTAAPTTACAGSSGSTSGAKKPRLVTSQTTTTLHTSTSNTTPRSLDTSSSHQDAGFKETLPGQVRAPAVFKCVRVTAVEDGEDEYAYQAVVKIGGHVFKGFLYDQGVEERDGFPNISELHLGGGSGTNGGSSSSPVLDPSQVYAATGGGLLGGSTYGNPIN >KJB06475 pep chromosome:Graimondii2_0_v6:1:26020988:26022121:1 gene:B456_001G175800 transcript:KJB06475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLQTVCCMCGDVGFPDKLFRCNKCHHRFQHSYCSNYYSELAEPIELCDWCQSEERNSRHGSSLKKSSGGNGSGIIVNRSEYSGDKIKQHDRDESSGGDHKGKSSGTPSPRPTTRRYKLLKDVMC >KJB06474 pep chromosome:Graimondii2_0_v6:1:26020954:26022099:1 gene:B456_001G175800 transcript:KJB06474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHTPTLNSETILFLYIDIYIYNSTPPSVMVDLQTVCCMCGDVGFPDKLFRCNKCHHRFQHSYCSNYYSELAEPIELCDWCQSEERNSRHGSSLKKSSGGNGSGIIVNRSEYSGDKIKQHDRDESSGGDHKGKSSGTPSPRPTTRRYKLLKDVMC >KJB07897 pep chromosome:Graimondii2_0_v6:1:4908458:4910358:-1 gene:B456_001G051700 transcript:KJB07897 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT5G07480) TAIR;Acc:AT5G07480] MSGDKNPSSFTIGNTAQEKGLDYVPECYVVSPSKSSSSGTEKARIPTIDMSRLRMNGNKRSIAIEELGEACRHRGFFQVVNHGISQSILDEALAMALGFFDLPSEEKLKFKSNDVYNPVRYGTSLKDGADKVQFWRVFLKHYAHPLDAWIDSWPHNPPQYREKMGKYCAQVRNLALELMGMIIESLRISPNRLTPKMDRGMQIMAVNCYPPCPKPEMALGLPPHSDYTCLTIILQSSTGLEILDTDDGNWKMVPELHGALQVHIGDHFEVMSNGVYKSVVHRATLNSEKTRISIASLHSLGMDDKMETANELIDEQNPKRYKESSFRDFLDFLANNDIADGKHFIDTLKI >KJB11056 pep chromosome:Graimondii2_0_v6:1:47655610:47661110:-1 gene:B456_001G238400 transcript:KJB11056 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROW1 [Source:Projected from Arabidopsis thaliana (AT1G04020) UniProtKB/TrEMBL;Acc:A0A384KH31] MSFSTKQNSSRAMNPWVLHLQKLGLELKCPLCLNLFKRPLLLPCDHLFCDSCVARTEFGSECPICKVQCANRDLRPLTFMENIVGIYRSLDSAFSANLSHSIEDGVCKTEKFDKCSMQRRATDVGYETPNKDGVDLLRSVSHKQIGASQESRNRQIIMNQADQASLSPPSYGDTKVSDNDSEHSPANFPAKGAGKRNFDDMISLKQNDSVLGIDGHLCDSKRQKRLNYGTLDGGAKTMDDCQSDLQAQNIVTSDCQLRSQNGAPLAGTGLLVTSENMNVNRAICEFCQSSKISEATGMMLHYINGKPVTGDASFGLNVIHVHSSCIEWAPQVYFVGDNVKNLKPELARGAKLKCSRCGLKGAALGCYVKSCRRSYHFPCAKEIPKCRWDYEDFLVLCPAHSSVKFPSEKSRKAHSAAKFPNEKPGNCLSADDRVPTESGQLKSNTFWGQPENKRDWVFCGSALSPEEKFLLVKFAKMIGVTVSKFWRPDVTHVIASTDENGACTRTLKVLMAISNGKWVLKLNWIKECVKAMYPLNEEPYEVSLDNHGCCDGPKTGRLRVLDNAPKLFDGFSFYFVGDFVSGYKEDLQNLVVTAGGIVLRRMEELVAHKNGEQTAQTKMVVVYNLDAPQGSELGEEVTIIWQRVSEAQDIATKLGGQVIGHTWLLESIAACKLQPFVN >KJB07193 pep chromosome:Graimondii2_0_v6:1:605828:608267:1 gene:B456_001G006800 transcript:KJB07193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRRWSVTGLGLYTNMKTGEQTFYSGLRSNTTQSGRTSTASSSVKLKSPNRKRQTGGDPISTQESVAN >KJB07657 pep chromosome:Graimondii2_0_v6:1:3331589:3332943:-1 gene:B456_001G036300 transcript:KJB07657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAVLKPEDCLKLPNPMKQPQNPWHNPNHSTNRTNRSQGNRKKRSPNTSPPSRPTVGRPKAPAKNLVMGQVKILKRGEDLKQSKPDKHVRFAKENVHVDLGSTDCLSPDPGSVPTQIRLTESENNGSKVLPVSFYAGSAFITSPPPSSVPLPAFFTKKIGVALKDDVATSALRRILRLDL >KJB07140 pep chromosome:Graimondii2_0_v6:1:4182914:4183091:1 gene:B456_001G0441001 transcript:KJB07140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEFLEEIFTETEEHVFSLIFPRGFFALQKFYRGRIWYLDIICINDLVNHS >KJB11259 pep chromosome:Graimondii2_0_v6:1:51677000:51678835:1 gene:B456_001G250200 transcript:KJB11259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLTKLHCSLQPLHLSFNHRRPSFAKPISSSVSFRPSSSSSPFKLTSIRASSSSSSSSVPLHQTPKPSLLQTLAPLLKTTCITITATAALLFMRFHQKPALAATPTVIPTVEPTSTDSNVSLEDQEKTIEEHLTQYPNDVEALQSLMEVRIKSRKLPQAIEVIDRLIQLEPEDTEWPMLRAQIHSYSGDFELAKNEFEEILAKDPVRVEAFHGLVMAYSESGQKLKELEKRIEGAMEKCKKEKKNKDFRDFKLLIAQIRVIEGDHLEALKVYEGLVKEEPRDFRPYLCMGIIYSLMKKKDEAEKHFEKVKKLVPRNHPYREYFVDNMVATKLFSGRAEREGA >KJB10734 pep chromosome:Graimondii2_0_v6:1:44574003:44574727:-1 gene:B456_001G219800 transcript:KJB10734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLLDGHGLMGHLTGATPPPPTTILTNDVNQENLTYKTLLLPLLSLPILQKQTWILWHTLHANKSHTRIYSLKDQLTDRVIKGLKGVFQYLLEIKMISDELAIVGAPISYEELTVNILSGLNSDFKKVSASIRTCDTPVEYEVLFKSFLTMNRFSNMKIKATHTLLQELWLQKLH >KJB09258 pep chromosome:Graimondii2_0_v6:1:16944867:16950150:1 gene:B456_001G132100 transcript:KJB09258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLVGAFKPPCNIFISFADGRSRKQVPLKKENGKTVMVALFQSQESIVGEVVIEPVHRKKVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDIPGELYERKTYPFEFSTVEMPYESYNGVNVRLRYILKVTISRNYVGNIVEYQEFVVRNYTPPPSINNSIKMEVGIEDCLHIEFEYSKSKHHLKDVIIGKIYFLLVRIKLKNMDLEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYQNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLETS >KJB09260 pep chromosome:Graimondii2_0_v6:1:16945275:16949676:1 gene:B456_001G132100 transcript:KJB09260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLVGAFKPPCNIFISFADGRSRKQVPLKKENGKTVMVALFQSQESIVGEVVIEPVHRKKVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDIPGELYERKTYPFEFSTVEMPYESYNGVNVRLRYILKVTISRNYVGNIVEYQEFVVRNYTPPPSINNSIKMEVGIEDCLHIEFEYSKSKHHLKDVIIGKIYFLLVRIKLKNMDLEIRRRESTGSGPNTYVETETLAKFELMDGAPVRELWSILRKMSNSSYYQCFLQANPFLSDSF >KJB09259 pep chromosome:Graimondii2_0_v6:1:16944730:16950186:1 gene:B456_001G132100 transcript:KJB09259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLVGAFKPPCNIFISFADGRSRKQVPLKKENGKTVMVALFQSQESIVGEVVIEPVHRKKVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDIPGELYERKTYPFEFSTVEMPYESYNGVNVRLRYILKVTISRNYVGNIVEYQEFVVRNYTPPPSINNSIKMEVGIEDCLHIEFEYSKSKHHLKDVIIGKIYFLLVRIKLKNMDLEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGWFKDSCNPGPAHGDSGESIPIRLFLSPYELTPTYQNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLETS >KJB09261 pep chromosome:Graimondii2_0_v6:1:16944730:16950186:1 gene:B456_001G132100 transcript:KJB09261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLVGAFKPPCNIFISFADGRSRKQVPLKKENGKTVMVALFQSQESIVGEVVIEPVHRKKVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDIPGELYERKTYPFEFSTVEMPYESYNGVNVRLRYILKVTISRNYVGNIVEYQEFVVRNYTPPPSINNSIKMEVGIEDCLHIEFEYSKSKHHLKDVIIGKIYFLLVRIKLKNMDLEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYQNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLETS >KJB11071 pep chromosome:Graimondii2_0_v6:1:47976199:47976777:-1 gene:B456_001G239800 transcript:KJB11071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNMSSLLIGIVGAAVTLSAYSQTFVSPTQCVTVGLLVLMFGLLVREGLISL >KJB08280 pep chromosome:Graimondii2_0_v6:1:7631996:7634982:1 gene:B456_001G074600 transcript:KJB08280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSARTFSIGSTVSFGSRGNPLPQSKPFVARFTSPNSLASFSGLKAATYVNCESESSFFGKESSAALRGSFVPKAQKANQKSQYGLQPVASYKVTILGAAGGIGQPLALLIKMSPLVSALNLYDIANVKGVAADLSHCNTPSQVQDFTGASELGNCLKGVNVVVIPAGVPRKPGMTRDDLFNINANIVKTLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTKPSVNFTNEEVEQLTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECSFVQSDLTDLPFFASRIKLGRKGIETLIPSDLSGLSEYEEKALEALKPELKASIEKGIAFVQKQPVTA >KJB10904 pep chromosome:Graimondii2_0_v6:1:46819717:46822175:1 gene:B456_001G231200 transcript:KJB10904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNWGIMGLREDEIELDLGLSIGGSFGKAQKLKPIKNESKPNNNTVADLGESVAFDPQTKRELQALRRQEARKKREHKQQKRGTCHRNGEFHIKDDGANATEERECKKTKVQEFPVNGNPNFSAELNSPLVCPVIPVRVPCPYPPLQFVPLGNGFAYSCVNAVPCWRGVAGNEKGAVQPVAVAVNGGFPSFETAQDLRVNGGNGYYSEQNSSRDERKRKTGSNGSPMYSSSTASDLQSSSYQGGCSSETATHTPRINCSVASNLNRQQSEQSATSHQTDSAQSIDKSRKGIENTLSSTATDSTSLNPRKEPKPQTQSDRSSKPVLTNETTTTTTTTTTSSKDTKGETGKPPKPHTPTNDDALSLRNMPCVSTTGNGPNGKTINGFLYRYTKSEVSIICVCHGSSFTPAEFVQHAGGTDVSHPLRHITMIPPAF >KJB06792 pep chromosome:Graimondii2_0_v6:1:1211045:1213200:1 gene:B456_001G012700 transcript:KJB06792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAWEHDNGGGELSYTTGFLNEPTGNGDQTESSVVKEEPDEEMRLFDDMINGVGDWNNSWPSASLPDVLPKPMEGLNDLGPPPFLRKTFEMVEDPKTDPIVSWSSGRNSFVVWDCHRFSENLLPKYFKHKNFSSFVRQLNTYGFRKIDSDRWEFANEGFQGGRKHLLKNIKRRSRYNKQQQGGGGVICIDNSTNSRVGLEADVEVLKQDHTSLQMEVSKLRQQQDDSNHRLSIVEERIHFAECKQRRMCGFLAKMIKYPNFIQQLLHKRKELDHEKKFNKRRRLLETQATTNPIEEPMDPIQDEEFLVSMDDRVVPEMSTNEEVDVNDSKIYVELEQLVNWKPCS >KJB08031 pep chromosome:Graimondii2_0_v6:1:5953626:5959459:-1 gene:B456_001G060100 transcript:KJB08031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYNLFFILLWLPWSFFILGDSQLLNSQTQALLQLKKHLEYPKQLDSWYDRRTMFCFLPPSSKVNISCEFHSVTELKIMGDKPSIEVTDFHGFPIPNQTLSLSFSMDSFVTTLTRLTSLKVLSLVSLGIWGPLPNKIHRLSSLECLDLSSNFLFGSIPPKVSTMVKIQTLVLDDNFFNDTVHVFDFTSNLTSLSLKNNKLKQGPLDFTSLTHLKVLDLSGNRLYSHLPTIPKGLIMVSLNRNSFDGEIPTQYTLLTHLQHLDISFNNLISIPPASLFSLPNISSLNLASNMLSGSLGYYNLTCGNNLEFVDISDNRLTGSLPSCLNSESGNKVVNFSGNCLSIDHHHQHPLSYCRDKVHKDQSTQNANGLGVSVSLIVGIVVIIVLLGIAFVIICRHYCHRRISEQHLLHKSVHENSATGFSSEILNNARYISETTKLGTQGLPPCRSFTLEELNEATNNFDNSAFLGEGSYGKLYKGRLEGGSQVAIRCLPTTKKYWIRNLKLRLDMLAKIRHPHLVAILGHCIEVKQDDGSVNRVFLVYEYIPNGNFRSYLSENCVGEVLNWSERLAVLIGVCKAVQFLHTGIIPGFFHNRLKTNNILLNEHRMAKLNDYGLSIISEETNNNYKVKGEDPKSWQMTRLEDDVYSFGLILLETMIGCSLAAKKEGTLRDELACLSKQEGRGRLMNPVVSATASQESISIVISITNKCIFPELWSRPSFEDILWNLQYAAQVQDQIVNKD >KJB09335 pep chromosome:Graimondii2_0_v6:1:17623836:17626200:-1 gene:B456_001G135000 transcript:KJB09335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSRGSPAAEDANDDKGDAPKQAEGSPPSGGASSNSMSDSIGNVLGRPMEDIKATYTIGKELGRGQFGVTHLCTHKTTGEQFACKTITKRKLSTKEDIEDVKRDVQIMHHLTGQPNIVELKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYSERAAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLNNDEDSPLKATDFGLSAFYKPGEEFKDIVGSAYYIAPEVLKRKYGPEADIWSVGVMLYILLSGSPPFWAESENGIFNAILRGHIDFSSDPWPRISTQAKDVVRKMLNADPKQRLTASQVLSHPWIKEDGEAPDTPLDNAVLNRLKQFKAMNQFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDNSGTITLEELKQGLAKQGTKLSEYEVKQLMEAADADGNGTIDYDEFITATMHMNRMDREDHLYHAFQHFDKDNSGYITTEELDQALREYGMHDDQDIKEIISEVDIDNDGRINYDEFVAMMRKGNPEPNPKKRRELFI >KJB11634 pep chromosome:Graimondii2_0_v6:1:54578272:54580866:-1 gene:B456_001G268900 transcript:KJB11634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLHCNLSISISICSHRVHIASFHKTASWDEGTDCCKWEGVGTNWFSPIKQFPLGSTLPAMISETLKFHLSLRCLPSSAFNLTSLTFMDFSGNFPQGTLSNNISGLSYLDLYANLLNGRVPGWLFSLPSLEYLDLSSNKFSGPIDTIPSSFFDFMNLTFLDLSSNNLSGNIKSCMLVKLRNLRSLDLSFNNLPSLTSELVGEISSKSCNLSFLLSVLDLSKNKLGGTIPDCFGTFSDQLSLIVLRTVSLSGNQLEGSIPQSLTNCYRLEVLDLGNNNINDTFPCFQICNSLRMIDRSLLFENLKSMKEIQIDEKWFKVHGRRLSSRLCNCNNERIAGNIPPSLGKLAALESLDLSSNKLQGRIPVQLTDLTFSHNNLEGHIPLANHFDTFSNDSFDGNSGLSGFPLSKKCGNDQEPESPPSTVADESETTLTWKIPAMGYGSRVVLGLSMGYVVFTTERPRWLVKMIKRNPQKRRRIH >KJB08126 pep chromosome:Graimondii2_0_v6:1:10129718:10130514:-1 gene:B456_001G091600 transcript:KJB08126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIEKRKTNLLLASMVIIFLLKISHWGTAFIVKSNTSYKCNSRLDECRTVETFNSELEWELDMVMNPNFVRILEGGGGTGTGNTGNAEKPWQRPCPDPQYASCPPLAGGKPNCRGEYDRGCGRNH >KJB10658 pep chromosome:Graimondii2_0_v6:1:42855836:42856757:1 gene:B456_001G2144001 transcript:KJB10658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDYACTLEEVQ >KJB07133 pep chromosome:Graimondii2_0_v6:1:502847:507155:-1 gene:B456_001G005500 transcript:KJB07133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSLSLISSSFPSYIDSSPNSVSFWPITFKLFKPNPRFSKSLKYPSSKLSCCSSTVEDGSSSSTTAEQFFNNNSIADFMRFKRGSQNGSGELQTAIVSYRKRFPWSILYPFLRVDLVSTIHIADTEYFETLQKELAPYDCVLYEMVASRESLENRRNPSAAKRLKSSRSRGFNILGCIQRQMARILMLNFQLDCLDYQADNWYHADLDYETFKLLQLEKGESFFTFARDMTLKSTKALVQPASIPDDLDPWRSKLLWASRVLPMPLVGFLIISGVCADGGSQASNYPELEALSRLDFGAAMKVFLAKRLTSEFTQVTADVEEKSVIIGERNRAATEALRRAVNDGHNKIAILYGGGHMPDLGRRLREEFDLVPSRVHWITAWSITKQDLQSNSLPFLKMMAEVSGWPLNRYQTLALLIFSSVLALDLWFWELFCSTAVNWISEIASEVAQYADKAQVM >KJB07929 pep chromosome:Graimondii2_0_v6:1:5103584:5106762:-1 gene:B456_001G053600 transcript:KJB07929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREMKTFNLGWLLFAAVLLISALPFSQASRTKQHKVKTGVFRSPQFVLGPGSVANKLFSNIDFPRGHIALKSFDAEVVDESGNPIPLYDTYLHHWLVLKFYVRKGLENQDISKLNNSDYISGRNSGICQDGALDQFFGLGSETRRTKTHIPDPYGIETGNPTKIPSGFVEIWGLNVHAIDTRGVEDRTGCTECRCDLYNVTKEEDGTPLSPNYDGGLSCCYDGTKCRLKDGFIGIERNLYLQYTVKWIDMNSLIVPVNIYIFDVSDIWKKSRNSTGINSEHNCQVEYQVESCRATGSANDKCIDAKSVSLEMPFGGYLVYGVAHQHAGGTGSALYGKDGRSLCSSIPIYGTGEEAGNESGYIVGMSTCYPKPGTKKISKGETLILESNYSSIKQHTGVMGLFYILVAETLA >KJB09507 pep chromosome:Graimondii2_0_v6:1:19921381:19924102:1 gene:B456_001G146600 transcript:KJB09507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKLMENRCKFWVPKKSRFCANSPLQNSLFCGNHTPRTNNQWIPCPIDPSHSVLQENLGSHLRRCPLVKQVQSLSTQPFYQKGVNAGKEDEQEEPETGIPTSGFDIVTSEMKRNAVYSLNISEFFEMIGKIESVHAQICNDIKDSYKIPEACGVWIKGEVDRNVPFQEKHVAQQASMLGNLEEFGVLERIDGKENCELVERAENSNGVPAVVEFGAGRGYLTQMLADCYGIRRVFLVERKAYKLKADRSLRQKESLILERLRIDIEDLNLNAVESLQRLPYIAIGKHLCGPATDLTLRCCLANERSAEQCGVNCYLRGLAIATCCHHLCQWKHYISNPTFCLYNIYIKIHNIFSS >KJB09505 pep chromosome:Graimondii2_0_v6:1:19921263:19924211:1 gene:B456_001G146600 transcript:KJB09505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKLMENRCKFWVPKKSRFCANSPLQNSLFCGNHTPRTNNQWIPCPIDPSHSVLQENLGSHLRRCPLVKQVQSLSTQPFYQKGVNAGKEDEQEEPETGIPTSGFDIVTSEMKRNAVYSLNISEFFEMIGKIESVHAQICNDIKDSYKIPEACGVWIKGEVDRNVPFQEKHVAQQASMLGNLEEFGVLERIDGKENCELVERAENSNGVPAVVEFGAGRGYLTQMLADCYGIRRVFLVERKAYKLKADRSLRQKESLILERLRIDIEDLNLNAVESLQRLPYIAIGKHLCGPATDLTLRCCLANERSAEQCGVNCYLRGLAIATCCHHLCQWKHYINKKYLTSLGISKEEFHAITWFTSWAVDADHGSDLSDVIDFKLRPESIEREECNGDANGVEAIAKNMKAIERAKLGFMCKQIIDMGRLMWLKEHGLQTQLVKYVPSSISPENHLLIARHVGGR >KJB09506 pep chromosome:Graimondii2_0_v6:1:19921456:19923128:1 gene:B456_001G146600 transcript:KJB09506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKLMENRCKFWVPKKSRFCANSPLQNSLFCGNHTPRTNNQWIPCPIDPSHSVLQENLGSHLRRCPLVKQVQSLSTQPFYQKGVNAGKEDEQEEPETGIPTSGFDIVTSEMKRNAVYSLNISEFFEMIGKIESVHAQICNDIKDSYKIPEACGVWIKGEVDRNVPFQEKHVAQQASMLGNLEEFGVLERIDGKENCELVERAENSNGVPAVVEFGAGRGYLTQMLADCYGIRRVFLVERKAYKLKADRSLRQKESLILERLRIDIEDLNLNAVESLQRLPYIAIGKHLCGPATGKLLYLHFHNDCYN >KJB10612 pep chromosome:Graimondii2_0_v6:1:42098064:42098414:-1 gene:B456_001G211200 transcript:KJB10612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSEEKLVVIADLSSEEDMLYHKQWKQSNRLSLVLLRMIIANNIKANIPQTKSIKEYLMLVVESFHSMDKSLGILMAQLMTMNYDRLRRMQEYIIEMNNIAARLKTLGMMVDDSFLV >KJB10179 pep chromosome:Graimondii2_0_v6:1:30060242:30062795:1 gene:B456_001G187800 transcript:KJB10179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPGILFKSQTSFSSISNLKLNFKSFLNPKFTLQFNPISSLKVVWRKDWTLDKAIENDKRYKLCARVVKEVLKEPGQAIPLRYVDKRRKRLRLNVGVKTFINNNPGLFDTYYDRIRPKSNLVPFLRPSNRLRNFLEEEKRICDENEELVVSKLCKLLMMSRYKVISVDKLVHVKREFGLPNDFLVNLVPKYSNYFRLTGSPGEGQSFLELVEWNPEFAKSVIEQRAEEESQLTGIRVRPNFNYKLPRGFSLRKEMREWVRDWLELDYISPYEDVSHLDQASREMEKRMVAVLHELLSLSLLKRVPVPILGKFTNDYRFSNAFSSAFTRHSGIFYMSLKGGIKTAVLREAYKGDELIDKDPLLGIKDKFVELLEEGWRERAEMLRVQREQVKKDMEMMAAKNGELSEQSCEEAEL >KJB08955 pep chromosome:Graimondii2_0_v6:1:13697525:13698235:1 gene:B456_001G115600 transcript:KJB08955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLWRAATRQDPNPEDYEGVDFWTNPERAGWLTKQGDYIKTWRRRWFVLKRGKLLWFKDPGSVTRTSAPRGVVSVDLCLTVKGAEDTVKKAFAFELSTRDSTMYFVADTGKDREDWINSIGRSIVQHSRSVTDSEVVDYDSKTR >KJB11016 pep chromosome:Graimondii2_0_v6:1:47478706:47479269:-1 gene:B456_001G236700 transcript:KJB11016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKHLKVRVEAKRLKAEMGKVREDQLCLREEQTKLITRFGEIERQYNELQQEAELIAKQSAMTGIKLSLMLGILKARESGDLVQAADLTRFLGEIVSLEKAKAILADAQG >KJB08750 pep chromosome:Graimondii2_0_v6:1:11332048:11332313:1 gene:B456_001G101300 transcript:KJB08750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRCIAIRNQDIGLGLVDRFRAFRTQPIYIRTPFTCRSTSWICRLCYGWSPTHGDLVELGEAVGIIAG >KJB10175 pep chromosome:Graimondii2_0_v6:1:30024093:30027109:-1 gene:B456_001G187500 transcript:KJB10175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNNDQEPWNRHGENHGVQKNYNLDPSLGAWTQVTLNEEDLSYMFDETTPVKDCGKLPYYVTHNDNITKELEEKRETSSQVKRRRMLQFDTHVVDSSLICNEMPSALLKPRERDDSIEEVLPGSAQWTAGFSEDASSSSYEGLDESCEEWLAEYFNNAEMLLSSDELYASIDLQRFLFDHDINLF >KJB10176 pep chromosome:Graimondii2_0_v6:1:30024093:30027109:-1 gene:B456_001G187500 transcript:KJB10176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNNDQEPWNRHGENHGVQKNYNLDPSLGAWTQVTLNEEDLSYMFDETTPVKDCGKLPYYVTHNDNITKELEEKRETSSQVKRRRMLQFDTHVVDSSLICNEMPSALLKPRERDDSIEEVLPGSAQWTAGFSEDASSSSYEGLDESCEEWLAEYFNNAEMLLSSDELNLTGTSDVQIGISELCNSRPESAVDAVQKQAIQTPQNIVFKGNHIHSRFPPVCYAFAFSMTF >KJB10174 pep chromosome:Graimondii2_0_v6:1:30023863:30027170:-1 gene:B456_001G187500 transcript:KJB10174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNNDQEPWNRHGENHGVQKNYNLDPSLGAWTQVTLNEEDLSYMFDETTPVKDCGKLPYYVTHNDNITKELEEKRETSSQVKRRRMLQFDTHVVDSSLICNEMPSALLKPRERDDSIEEVLPGSAQWTAGFSEDASSSSYEGLDESCEEWLAEYFNNAEMLLSSDELNLTGTSDVQIGISELCNSRPESAVDAVQKQAIQTPQNIVFKGRKSIIHAAPKLASSVAYPFAFIKPCGFHGDVTLKDINQRILTPPPSKSKQSNEDLAAAFPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >KJB06450 pep chromosome:Graimondii2_0_v6:1:3283920:3285095:1 gene:B456_001G035600 transcript:KJB06450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSICCFCSTVPHTEDVDEFEQLEEVKSSRSTPRSPYLIKEKCRNLMAWIGKGGHKHYASSDFSYDPMSYALNFEDESSRADELPFVNFSSRLRSTPDRLPAIKHLGETQVPVRREVCAYS >KJB09004 pep chromosome:Graimondii2_0_v6:1:14285825:14288163:1 gene:B456_001G118300 transcript:KJB09004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSVEWLLFLVVFLSISISSSSAHRIGIPRGAAVLKKHHLPSKRAFSGDLHTYFYTQTLDHFNYKPESYATFQQRYVINYKYWGGGAVTAPIFVCLGAEQALETDLQTIGFLDDNAARFNALIVYIEHRYYGESLPFGSREEAFRNAYRMGYLNSAQALADYAEIMADIKNNLQASHSPVIVIGASYGGMLASWFRLKYPHLALGALASSAPVLYFDNITPSDAFYSIITKSFRETSESCYQTIRRSWSVIDSYASQPWGLSVLSSKFRTCYPLNSASELKKELESIYVVASQFNQPAYLVNRVCAAIDETQTDDILFKIFAAVVAFNGNNRCYINPPTPESQTVTGWKWQTCSEMVIPIGVGENTMFQPNPFDLNTFINDCIGTYGVAPRPHWITSYYGGNDFNFILQKFGSNIIFSNGLRDPFSAGGVLEHISKSIRAVTTTYGSHCLDLFPKSDNDPEWLTKQRNIELRIIKGWLVTYYADLKAFQKG >KJB08322 pep chromosome:Graimondii2_0_v6:1:7939806:7941081:-1 gene:B456_001G076800 transcript:KJB08322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAVYSSKGSPFLFSSRITTKKSFKSSQNAATFSPFLIQSMATQKPLPSGAKTVGSRKGSNSTVFPLGEPGPRNSTVVTQPVKLLTNVEKLKLLTKAEKAGLLSAAEKFGLSLSSIEKLGVLSKAEEFGVLSAATDPGTPGALLTLSVGLLLLGPSCVYLVPEDNLGEIVLQVVVALVCVAGGSAAFAASNLVSNLQKSS >KJB08321 pep chromosome:Graimondii2_0_v6:1:7938933:7941257:-1 gene:B456_001G076800 transcript:KJB08321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAVYSSKGSPFLFSSRITTKKSFKSSQNAATFSPFLIQSMATQKPLPSGAKTVGSRKGSNSTVFPLGEPGPRNSTVVTQPVKLLTNVEKLKLLTKAEKAGLLSAAEKFGLSLSSIEKLGVLSKAEEFGVLSAATDPGTPGALLTLSVGLLLLGPSCVYLVPEDNLGEIVLQVVVALVCVAGGSAAFAASNLVSNLQKSS >KJB11449 pep chromosome:Graimondii2_0_v6:1:53629587:53630315:1 gene:B456_001G261000 transcript:KJB11449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFLFFTFLTYFSLKVRGRRTLKPPPVVGVVIRGHQSLARSPRFSPYNLDYPERCTKPLKIQANV >KJB09463 pep chromosome:Graimondii2_0_v6:1:19587939:19601462:-1 gene:B456_001G144100 transcript:KJB09463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKCSSSAAASSLCKAPLNVSTAMPEKALVETTVDIDLREIYFLIMQFLSAGPCQRTFAQFSKELLEHQLLPRRYHAWFSRSGEHSGNDDDDGISFPLSYNNLVERYPHIEQDHLVKLLKQLICTLCSKSVGDAHPPNAADVPTLLGSGSFSLLNSDKSMRNRQGKPMPAYLRWPHMQADQVRGLSIREIGGGFRKHHRAPSIRSACYAIAKPSTMVQKMQNIKKLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNVLVASASNDFVIRVWRLPDGLPVSVLRGHTAAVTAIAFNPRPAFAFQLLSSSDDGTCRIWEARYSHCSPRIYLPKPSEAATGSGNFPFNNGPSSSNVPQTHQILCCAFNVNGTVFVTGSSDTFARVWSACKPSTDDTQQPVHELDVLAGHENDVNYVQFSGCAVPSRSSMSDIIKEDNLPKFKNSWFCQDNIVTCSRDGSAIIWIPRSRRFHGKAGRWTKAYHLKVPPPPLPPQPPRGGPRQRVLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHIASSYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGIPIRIYEIGRFKLVDGKFSPDGTSIVLADEVGQIHLLNTGQGESQKDAKYDQFFLGDYRPLIWDSAGNALDQETQSTPHRRNMQDLICDASMIPYPEPYQSMYQKRRLGALGIEWHPSSTKLAIGPDISLGQDFEMPLLEDLERVMEPLPDFMDAMYWEPENEVISDDTDSEYNVAEECSTEGEQGALCSSSSRGTESSEADSEVECSHKDGLRRSRRRKCSPESELKTSSGRRVKKRYLDERDGTIPKTSRTKKSKSGRKASKRKSSKGKTLRPQRTAARNARSMMSRITGTSTDGDDEVDSEGDSSNSESLSQDSSTSNSETERHLENVKLKSMKKEQESEGIVWSHELLKSQSDTVNRKRLVLKFSLRDSRKPGASEATRLNTGNQINIPDPSSGPSGAFDENKNDRTKDPGSTTADVELSEHDRIDLEDTRQSLNTEDHLENFVGEKDNKIRWGEVKIRTSKRSRSGDLLPSDVPNGNRITAVNREEGELTTPTLQDLDGGMMEEFAPDEVHKSLLSLGDHQLNGSASASYSNISLNQGDMDQSSCDKYGNHDSLQTNQVKQSHESNEITPLKLVKLRIRTKAISGDLGSPSKQKSLTVVNGPTCNERDVRPRNTLPAEQNQSYSRQEMGEGSDRSSSLQLLHSGLKLNMYDGENPYKDTTDSEGLNVINDHDSEIMFTEAAADAVHRRQSMKMKATSLEQNPWNHNLRVRVDHALAGTSENEEKTSLKTYNGIASEQWMSSSKHRDRSRSSRTKRGSGHDNDLKFSSGRKSNPSVRKLSWLMVSEQEEGYRYIPQLGDEVVYFRQGHQECIEEGFMKGTGPWSSRGHLSAVEICRVVDLAYSHVPGSGESCCKITLRFTDNSSCAFGEVFILTLPELIGLPDFLVEKTRYDAAMSREWTRRDKCLVWWKNHDEEGGSWWDGRIITSQPKSMDFPDSPWEKYEVIYKDGCKYRHSAWELHDPNFTWEHPHIGSEIRDRLLSAFGKLERSVVRDQDFYGFQKLNEAAQKSEFLNRFPVPLYPDFIRLRLENNYYRTLEGVKHDIKIMLSNAESYFARSAHLSSKMRRLSDWFTKTLSRL >KJB07397 pep chromosome:Graimondii2_0_v6:1:1857837:1861340:-1 gene:B456_001G020000 transcript:KJB07397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVGFFEKTDSRENEGNLEESEGKNKERNEEEEEEAVVFYRQQQHQHATFLGLDLNLQPPEEIDFGNEFWGFDFGFPEKQTENFTESQSVFLGVDLERKERSPSVEIVSPSSIKTKRSPNVVFDIGFPEKETENFTNSGSVVFGVDLKGKERYPDVEIVSSSKKRKFSVQEKGKAKLDGFDIELDEKEILHDLMQIDPQKWVSILDSPVEEEKPNYIEFQGLTRDNTVNHERADLLEDFQEFLKKEKSKRQHEIAKDFAQRLAREVDSEGDLLKSSSTKDGASKSVIVDDDDKEELGTPFSIAMEVIKTRISSSTSRRKKFSSEGLGAEFKWLPKNVKRTSFMAREVPSLLDLSLCALAKNAEAIVSLNHVPDMLRHKLSRSVSNSRKMDAHFLQLLASGSPTEIRVNDCSRVTEDEFTKIFGCCDTRNLIVLQLDLCGSCIPDYVLQDTLAHSSKSLPALVTLSLNGAYRLTDQGLNALALSAPALQSINLSQCSLLTSSGINDLANCFESTLRELYLDECHNIEAMVVLPALKKLKCLEVLSMAGIQTVCDDFVIKMVEACGKNMKELVFASCVELTDVSLKFVGKNCSKLCAIDLSYLRKLTDLSMRYLANGCRSINRLKLCRNGFSDEAVAAFLEASGSSLTELSLNNIALVRYALPSYAFGPIILQ >KJB07396 pep chromosome:Graimondii2_0_v6:1:1857809:1861347:-1 gene:B456_001G020000 transcript:KJB07396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVGFFEKTDSRENEGNLEESEGKNKERNEEEEEEAVVFYRQQQHQHATFLGLDLNLQPPEEIDFGNEFWGFDFGFPEKQTENFTESQSVFLGVDLERKERSPSVEIVSPSSIKTKRSPNVVFDIGFPEKETENFTNSGSVVFGVDLKGKERYPDVEIVSSSKKRKFSVQEKGKAKLDGFDIELDEKEILHDLMQIDPQKWVSILDSPVEEEKPNYIEFQGLTRDNTVNHERADLLEDFQEFLKKEKSKRQHEIAKDFAQRLAREVDSEGDLLKSSSTKDGASKSVIVDDDDKEELGTPFSIAMEVIKTRISSSTSRRKKFSSEGLGAEFKWLPKNVKRTSFMAREVPSLLDLSLCALAKNAEAIVSLNHVPDMLRHKLSRSVSNSRKMDAHFLQLLASGSPTEIRVNDCSRVTEDEFTKIFGCCDTRNLIVLQLDLCGSCIPDYVLQDTLAHSSKSLPALVTLSLNGAYRLTDQGLNALALSAPALQSINLSQCSLLTSSGINDLANCFESTLRELYLDECHNIEAMVVLPALKKLKCLEVLSMAGIQTVCDDFVIKMVEACGKNMKELVFASCVELTDVSLKFVGKNCSKLCAIDLSYLRKLTDLSMRYLANGCRSINRLKLCRNGFSDEAVAAFLEASGSSLTELSLNNIALVGLNTAISLSKCSRKLFSLDLSWCRNLTDEALGLVVDSCSSLKLLKLFGCTQITDVFLKGHSNPQVQIIGLKMATLSEFLNVLEPREAPLWYSPVDSLH >KJB07398 pep chromosome:Graimondii2_0_v6:1:1859139:1861340:-1 gene:B456_001G020000 transcript:KJB07398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVGFFEKTDSRENEGNLEESEGKNKERNEEEEEEAVVFYRQQQHQHATFLGLDLNLQPPEEIDFGNEFWGFDFGFPEKQTENFTESQSVFLGVDLERKERSPSVEIVSPSSIKTKRSPNVVFDIGFPEKETENFTNSGSVVFGVDLKGKERYPDVEIVSSSKKRKFSVQEKGKAKLDGFDIELDEKEILHDLMQIDPQKWVSILDSPVEEEKPNYIEFQGLTRDNTVNHERADLLEDFQEFLKKEKSKRQHEIAKDFAQRLAREVDSEGDLLKSSSTKDGASKSVIVDDDDKEELGTPFSIAMEVIKTRISSSTSRRKKFSSEGLGAEFKWLPKNVKRTSFMAREVPSLLDLSLCALAKNAEAIVSLNHVPDMLRHKLSRSVSNSRKMDAHFLQLLASGSPTEIRVNDCSRVTEDEFTKIFGCCDTRNLIVLQLDLCGSCIPDYVLQDTLAHSSKSLPALVTLSLNGAYRLTDQGLNALALSAPALQSINLSQCSLLTSSGINDLANCFESTLRELYLDECHNIEAMVVLPALKKLKCLEVLSMAGIQTVCDDFVIKMVEACGKNMKELVFASCV >KJB11212 pep chromosome:Graimondii2_0_v6:1:49584336:49586164:1 gene:B456_001G247500 transcript:KJB11212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGKSAVERKKGEYEGLQGGGRKSLQAKYFSLESLLLLICLTASLLILPLILPPLPPPPFMLLLLPIAILTVLMFLAFMPSNVRDITL >KJB08779 pep chromosome:Graimondii2_0_v6:1:11533313:11537427:1 gene:B456_001G103300 transcript:KJB08779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQFFLGAQGPPLDFGQSLSSPLSTAWQSLSSPMEIQATELNSTDYGLQFESALSSMVSSPVASNSNLSNESFMIRELIGKLGSIGGNSGEISPQPLIANSTNTSCYSTPLNSPPKLSLPLNTLVKEKLPRLGKSMGMNASVTDFSADPGFAERAAKFSCFGSRSFNGRTSQLGLNDTSSPSPSLSPYRSNPLSSNRKIPRVSSSPSLNAMVIEPLQDRSELSHSQEESSITNGDPSLKPSKGTNSRKRKTVPKAKTNEISTSPSTNAPKGTESNEKRCKSTESNGNQNDSIKTEDDAKGNTKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQNLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNTRLDFNMDGLMPKEMFESNNSLAHQIFPMDSSATAIFEQQNPALHHHHANMSNGTMSQCLVGQLDTSIHPNLNTHLPQIHHFTPSMPQYPAFCEGDLQTIVEMGLGQNQSREMALQSEFQGSNQVSQMKVEL >KJB06803 pep chromosome:Graimondii2_0_v6:1:1222281:1229463:-1 gene:B456_001G012900 transcript:KJB06803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIATSVGARKFAAVAVSKSATWRSRRRKDPVSTKMAVSNNQRLISLEVSGSGAARESYVAVNEDFADEEDYVKAGGSQLLFVQMQQHKEMDEQSKLADKLPPISIGDNILDLVVIGSGPAGLALAAESAKLGLNVGLISPDLPFTNNYGLWEDEIKDLGLEKCMEHVWPNTIVYLDDDKPITIGRAYGRVDRRLLHEELLKRCVESGVMYLSSKVESIVEATDGHNLVACEYEHVVPCRLVVVASGAASGKLLQYEVGGPRVVMQSAYGIEVEVENNPYDPSMMVFMDYRDYAKEQVPCLGDQYPSFLYGMPMSSTRVFFEETCLALKEAMPMDFLKKKLMSRLLAMGIRIVKVYEEEWSYVPLGGSLPNMKQKNLAFGAAASMVHPATGYSIARSLSEAPRYASVIAKILKRDYSKGFLTFERNNWNMSIEAWNTLWPQERKRQRSFFLFGLALLLQLDTEGSRTFFRIFFRLPSWMWQGFLGSTLSSIDLVVFAFSMFVIAPMDMKMLLIRHLLKDPTATTMISTYLTI >KJB06802 pep chromosome:Graimondii2_0_v6:1:1224177:1229561:-1 gene:B456_001G012900 transcript:KJB06802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIATSVGARKFAAVAVSKSATWRSRRRKDPVSTKMAVSNNQRLISLEVSGSGAARESYVAVNEDFADEEDYVKAGGSQLLFVQMQQHKEMDEQSKLADKLPPISIGDNILDLVVIGSGPAGLALAAESAKLGLNVGLISPDLPFTNNYGLWEDEIKDLGLEKCMEHVWPNTIVYLDDDKPITIGRAYGRVDRRLLHEELLKRCVESGVMYLSSKVESIVEATDGHNLVACEYEHVVPCRLVVVASGAASGKLLQYEVGGPRVVMQSAYGIEVEVENNPYDPSMMVFMDYRDYAKEQVPCLGDQYPSFLYGMPMSSTRVFFEETCLALKEAMPMDFLKKKLMSRLLAMGIRIVKVYEEEWSYVPLGGSLPNMKQKNLAFGAAASMVHPATGYSIARSLSEAPRYASVIAKILKRDYSKGFLTFERNNWNMSIEAWNTLWPQERKRQRSFFLFGLALLLQLDTEGSRTFFRIFFRLPSWMWQGFLGSTLSSIDLVVFAFSMFVIAPMDMKMLLIRHLLKDPTATTMISTYLTI >KJB09189 pep chromosome:Graimondii2_0_v6:1:16286535:16290228:1 gene:B456_001G128600 transcript:KJB09189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVACTWMLLSMCLLGYLIIYVEANEAKVLGNFLKSRLSKKSSSAVHSWAWLNEKTGDSPNYIQPQDGSMEADKIGALPGQPNGVDFNHYSGYVTVDSKAGRALFYYFAESPDKSSTNPLVLWLNGGPGCSSLIGAMMELGPFRINNDGKTLFRNDYAWNNVANVIFLESPAGVGFSYSNTSSDYHHIGDKSTAKDAYTFFVNWLERFPQYKTRDFYITGESYAGHYVPQLAYTILLNNKNANQTLINLKGIAVGNGWIDDRTGYLGQYDYLWTHALNSDETNKGIHAYCHQMSVNSFDPCSDYYMISYLNRPEVQTALHAGVAKWHKCSYSIFGWTDSPASVLPIFKNLMASGLRVWLYSGDVDSVVPITSTRYAINELKLPLKTAWRAWSTDNQVGGYVEEYEGLTLVTVRDAGHLVPSYQPARSLTMISSFLLGLLPPP >KJB09563 pep chromosome:Graimondii2_0_v6:1:52681989:52682429:-1 gene:B456_001G255300 transcript:KJB09563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKTMRLPPRRVPDSMPNNKRKERDGFDLKPQTSLPPSSKPPMPTAPPPLLSNHLLAGYLAHEFLTNGTLFGQPWDPTRPQQSPAESSKGIREKAEPNDRSAAGDAEPKPKKHQRYVEVASLLKTDGAHLPGIVNPTQLSRFLEM >KJB07720 pep chromosome:Graimondii2_0_v6:1:3778332:3778697:1 gene:B456_001G041000 transcript:KJB07720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSGLQRSTTSFRRQGSSGLIWDDKFLSGDLNKMKLNNQRSRSENGDGNMYRTTMKVAPPSFDPPSPKVSGCGFCGIFGKPEAAPTKRRSKKR >KJB08600 pep chromosome:Graimondii2_0_v6:1:10429112:10432789:-1 gene:B456_001G093700 transcript:KJB08600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEPFNRLVKLAARAFYDNVTTKAENQPKMGRGDNKGIAVVVLDALTRRQWVREEDLAKELKLHSKQLRRTLRLFEEEKLITREYRKETAKGAKLYNAAVAATVDGQPSGKEGEEKVKLHTHSYCCLDYAQIYDVVRYRLHRMKKKLKDELEDKNTVQEYVCPGCSKRYNALDALRLISLEDESFHCERCNGELVAESDKLAAEEVGEGDDNARRRRRENLKDMLQKMEVQLKPLMEQLNRVKDMPIPEFGTLLSWETRVGAAGHALNGAGSNDPYKNSQGYGGTPMPFLGDTKVEVAFSGLDSKEDVKTESASTSLKVLPPWMIKQGMNLTKEQRGEVKQETKAEDSSSQPDFSDDKKSTIGNDDKKNVQEDDKKNIQDEYLKAYYAALYKKQQELEEAENKKQEPADTSLETDLSDSTKRQVGMKVKREDNDEEDDDINWEEDPFSISGNTTNNYKVDDLNVEAEASAGDDEDDIDWEEG >KJB10841 pep chromosome:Graimondii2_0_v6:1:46403167:46404174:1 gene:B456_001G227700 transcript:KJB10841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGTGDPEASTPSDKEPLNNVREIHQKALDDLVNVNSLFTLAVFVGLSMARQGERSLENRTECDADVGNARRLVVNEVVSFACFLLSSLVAKALKIHVTICQEEDFKDTRNQIVRFSMLMLSVWASVFGCIFLTVSMVDVIQIKVGKLSCRSVHAWRAAGALIAIVLLALSIYVPFMMTAIFTSVKKVQRKVGKQ >KJB08416 pep chromosome:Graimondii2_0_v6:1:8591792:8593515:1 gene:B456_001G081000 transcript:KJB08416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDFLIIGTKMAVELCSDNCGISPRISFSLDLCHFDDVPVEQRPFRSKPSSLNSSVDFDFGVGETFEQEAPSADELFSDGKILPTDIKKSNPSKQTDHSSSPPPPPLPPQKAAESSKEEEKQSSKSTSFWGFKRSSSFSCGSGYGRSLCPLPLLSRSNSTGSTPNVKHPSPNNPKHIHSHKHAAANAITNPSFKFSTSSHQKPPLKKTAYKPYYGNGVHPVLNVPSGNLFGLGSIFFNGNKAKNSCKRK >KJB07198 pep chromosome:Graimondii2_0_v6:1:634210:638452:1 gene:B456_001G007100 transcript:KJB07198 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH:adrenodoxin oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32360) UniProtKB/Swiss-Prot;Acc:Q8W3L1] MAIFRARRVLWRSFSTVSSHPLRVCIVGSGPAGFYTAEKILKTHQGSQVDIIDRLPTPYGLVRSGVAPDHPETKNVINQFSRVAQNGRCSFFGNVALGSSISLVELRELYHVVVLAYGAESDRVVGIPGEDLKGVHSAREFVWWYNGHPDGRNLDPDLKNTDTAVILGQGNVALDVARILLRPTSELAITDIASHALTALEQSSIRKVYLVGRRGPVQAACTAKELREVLGIKDLYIHIKETDLKITPADEEEMKNSRIHRRIYELLSKAATAGPSRPSSGQRELHFVFFRQPDRFLESYDKKGYVSGVHLEKTGLKGIGSGKQIAIGTGQFENLSCGIVLKSIGYKSVPVDDLPFDNQKGVVPNVKGRVLSDNSGDPTSFENGLYVCGWLKRGPTGIIATNLYCAEETVASLSEDLELGVLASTTRLPKLGRDGLLQILDNRNVRVVPFSAWERIDKEEKRLGSLRNKPREKLTSWEELLKVAGSE >KJB10769 pep chromosome:Graimondii2_0_v6:1:45646628:45647043:1 gene:B456_001G2235001 transcript:KJB10769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WLFSFFFYNRKLKRVVSLSFCCLSNLVVDRFAADYLSCEEDGEIFDNMDI >KJB08008 pep chromosome:Graimondii2_0_v6:1:5763300:5767659:1 gene:B456_001G058400 transcript:KJB08008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAIRAKELAEKKLAEMDVFGAKRFALKAQNLYPELDGLSQLLATLDVYISADKKINGEVDWYRVLAVQPFADEDTIRKHYRKLALVLHPDKNKSVGADGAFKILSEAWNLLSDKVKRIAYDQKRNLRGSYTNVSHVKPSSSTTTGRNGFSQNFTNENISNTSGRNGATYSKPTPRSARIDTFWTTCNSCKMHFEYSRVYINLNLPCINCRTPFFAVETPAPAINSSSKYTSFSDFMKFRQVHNKGQARFSGSSTKISRKGQFPSSGSNINVSQLTSTTQATGVANAASETLKRGHKETKADITIKESLHMKCHPSQKTDAGLGMGSSASVSSFAGKKHRPKRRRIDETKMGNHSAMGNEGVSSFENNAGISRTNSIKQPSPLEIRNILMELAKKEINKKLNSWKLTSQSAASDKPKAFDEGFSGNNEGNETGALTMKPDAHKSVEFVDIKSSVQPKMSCSVDTDLDPATEEPDPMSMNVPDPDFHDFDQDRTEKSFGENQVWAAYDDDDGMPRYYAMIHGVISLKPFKIRMSWLNSKSNAELAPLNWIGSGFYKTSGDFWIGKYEVNKSLNSFSHKVKWSKGRKGAIQIYPRKGDVWALYRNWSADWNELTPDEVIHRYDMVEVLEDYNEQKGVAVVPLVKVPGFKTVFRKHFEQSKTRMIPREELFRFSHQVPSYLFTGQEALNTPKGCLELDPAATPLELLKVLTEAQVKEMEVMTETVQETKVESAGQAFIEENKNKKPQMLVYGRRQRRKRET >KJB08009 pep chromosome:Graimondii2_0_v6:1:5763843:5767658:1 gene:B456_001G058400 transcript:KJB08009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAIRAKELAEKKLAEMDVFGAKRFALKAQNLYPELDGLSQLLATLDVYISADKKINGEVDWYRVLAVQPFADEDTIRKHYRKLALVLHPDKNKSVGADGAFKILSEAWNLLSDKVKRIAYDQKRNLRGSYTNVSHVKPSSSTTTGRNGFSQNFTNENISNTSGRNGATYSKPTPRSARIDTFWTTCNSCKMHFEYSRVYINLNLPCINCRTPFFAVETPAPAINSSSKYTSFSDFMKFRQVHNKGQARFSGSSTKISRKGQFPSSGSNINVSQLTSTTQATGVANAASETLKRGHKETKADITIKESLHMKCHPSQKTDAGLGMGSSASVSSFAGKKHRPKRRRIDETKMGNHSAMGNEGVSSFENNAGISRTNSIKQPSPLEIRNILMELAKKEINKKLNSWKLTSQSAASDKPKAFDEGFSGNNEGNETGALTMKPDAHKSVEFVDIKSSVQPKMSCSVDTDLDPATEEPDPMSMNVPDPDFHDFDQDRTEKSFGENQVWAAYDDDDGMPRYYAMIHGVISLKPFKIRMSWLNSKSNAELAPLNWIGSGFYKTSGDFWIGKYEVNKSLNSFSHKVKWSKGRKGAIQIYPRKGDVWALYRNWSADWNELTPDEVIHRYDMVEVLEDYNEQKGVAVVPLVKVPGFKTVFRKHFEQSKTRMIPREELFRFSHQVPSYLFTGQEALNTPKGCLELDPAATPLELLKVLTEAQVKEMEVMTETVQETKVESAGQAFIEENKNKKPQMLVYGRRQRRKRET >KJB10784 pep chromosome:Graimondii2_0_v6:1:45719172:45721031:-1 gene:B456_001G224200 transcript:KJB10784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFFTDLLGFSFARSISKFLWWISLGVTHVYPIDVFQRLHKPLPCSLKAIVAAKRAGWLPQGDNYPNASHVGFVLVLGEDGKYFRTHNTEVVRLVDLLDEAKNRSKAALIEHGKGEEWTEEEIESTADAVGYGAVKKQFARSLKSQRFPHLLFLVINFDFLDAVMPFIHFCEILKL >KJB10228 pep chromosome:Graimondii2_0_v6:1:31397840:31398483:1 gene:B456_001G190500 transcript:KJB10228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHKARRIIDQIRGRSYEQTLMILELMPYRAYYPILKLFYSAAANARHNKGSNEASLIISQVAINEGTTLKILKPRARGRSYLIKRTTCHITISLKDLEFEPLDRYILGTTQSHHSLWFAQLKKYSEGLQEDKKIRDCIKNYVQNNMRLSSGVEGIAHIEIQKRLDLIQ >KJB08534 pep chromosome:Graimondii2_0_v6:1:9358315:9362986:-1 gene:B456_001G087200 transcript:KJB08534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSLRVRKGAWTEEEDLLLKKCIEKYGEGKWHQVPARAGLNRCRKSCRLRWLNYLKPNIKRGHFAADEVDLIIRLHNLLGNRWSLIAGRLPGRTANDVKNYWNTHLLKKIIDTSGKNSKPKSYQPNPNTKIIKPRPHILSKHSFLISLDEYNNNNNNNHAEASNNVALANDGNDDYGYCFPNDHDEMMWWENMMINEKDVDGYQLQCSANDFDQSVLDQPMNEDNYGSIIDEVFLDEELWNVFNP >KJB10853 pep chromosome:Graimondii2_0_v6:1:46523833:46524550:-1 gene:B456_001G228800 transcript:KJB10853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVEEVDERVSVNFLKHKMAKFAKERDWDHFHTPRNLLLALVGEVGEVSEIFQWKGEVPKGLPDWKEEERIHLGEELSDVLLYLVRLADMCGIDLGKAALRKLQLNAIKYKASNKYTQHP >KJB08963 pep chromosome:Graimondii2_0_v6:1:13763424:13763867:-1 gene:B456_001G115900 transcript:KJB08963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEETPLKQIAAEAAKVSKNKEIVLHKPKSLKNVLKETSLQDVFPKKIAVSSQAATQKSSQCFPNKYFEKILVMEEEFSEKSPHILTKQLFNGWHFKPLDSQKPQQYYENILVQTVSVLFKHYTDPKDPNFITHSTAQILKILRPRD >KJB11414 pep chromosome:Graimondii2_0_v6:1:53123247:53127623:1 gene:B456_001G257300 transcript:KJB11414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLLLCLLSLRVFTPSAYSNDWLSHGGNLLNRRFAETETKISPETVSQLRLKWKFEAGRDITATPSVFEGRVYFPSWDGYLYAVKQSDGSLIWKQNLQQLTGINSTRVISNVNVTASRTTPTIADDLLIFGISGPAFVVAVKQSNGELVWSTQLDDHPAAVITMSGTYYDGNFYVGTSSLEEEVSIEECCIFRGSFVKLNAKTGKILWQTFMLPDNFGNRDKYAGAAIWGSSPPVDVTRNLVYVATGNLYSAPQNVIDCQERQNNQTQVAPTHSDECVEPENHSDSFLALDLDTGNIKWFHQLGGYDVWFFACFNISVPACPPGGPNPDADFGEAPMMLTIYVNGTTKDIVVAVQKSGFAWALDRDDGNIIWSTEAGPGGLTGGGTWGAATDEKKVYTNIANSDGKNFTLKPSTRNTTGGGWVAMDATNGQVLWSTADPTNGTASGPVTVANGVVFGGSTYKEGPVYAMDSKSGEILWSYNTGATVFGGMSVSDGCIYVGHGYRVSFGIFNPNNTAGNTLFAFCIS >KJB08855 pep chromosome:Graimondii2_0_v6:1:12404563:12405674:1 gene:B456_001G108300 transcript:KJB08855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEKPTEEKKSTVGEKAPAEKKPKASKKLPKEGPGAGDKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KJB09702 pep chromosome:Graimondii2_0_v6:1:22589369:22590424:1 gene:B456_001G157400 transcript:KJB09702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLDKAKNFVADKVANMKKPEASITDVDLSHVGRDGIQYDAKVSVTNPYSAPIPICEISYTLKSAGRVIASGKVPDPGSLKASDSTMLDVAVKVPHSVLVSLIKDIGADWDIDYELEVGLTVDLPLFGDLTIPLSQKGEIKLPTFKDLFF >KJB08546 pep chromosome:Graimondii2_0_v6:1:9561179:9566422:1 gene:B456_001G088300 transcript:KJB08546 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIC40 [Source:Projected from Arabidopsis thaliana (AT5G16620) UniProtKB/TrEMBL;Acc:A0A178UEV6] MENMNMALVSSSSSPPKLNLLPYNNALKTPTKIFTFPSSKITPRCSRISSSAHSTPPRRLPQIVFRKSIDERFASISSSSNQQTSSVGVNPYPTVPPPSSQIGSPLFWIGVGVGLSALFTWVASSLKKYAMQQAFKTMMGQMNTQNNQFANAAFPSGSPFPFPTPPSPGPVTSPSPSSSQKTSVTVDVPATKVEAAPVIDPSTKGKSETEKAEPKKYAFVDVSPEETVQKSAFEDVAETSSSNNAQIPKDVSDNGAASKQDTSAFGGYQSTGKAGPGLSVDALEKMLEDPTVQKMVYPYLPEEMRNPETFKWMLQNPQYRQQLQDMLNNMGGSSEWDNRMMDSLKNFDLNSPEVKQQFDQIGLTPEEVISKIMANPEVAMAFQNPRVQAAIMDCSQNPLSIAKYQNDKEVMDVFNKISELFPGVTGPP >KJB10821 pep chromosome:Graimondii2_0_v6:1:46256317:46258310:1 gene:B456_001G226900 transcript:KJB10821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLATLNRIFDSLQTTYEGFQTSTVKAIYIFDLSAQEHVGAKFAVQIRSHTQKFFSKAHWFEFITRFP >KJB07492 pep chromosome:Graimondii2_0_v6:1:2506372:2509853:-1 gene:B456_001G027000 transcript:KJB07492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSLSGICRHQFSPITMLDFNQSNHRIEKAGFVRKPEKGGLTITQVASPLRRPVIVPSLDNETVDRRDRNHVAWTSVRQERWEGELVVQGEIPLWLKGTYLRNGPGLWHIEDYNFRHLFDGYATLVKLQFENGILIAGHRQIESEAYKAARKNKKVCFREFSEVPKYENFMAYVGDIAKLFSGASLTDNANTGVVKLGDGRVVCLTETQKGSLVIDPNSLETLGRLEYSDSLGGLIHSAHPIVTDAEFLTLLPDLVNPGYLVVRMEPGTNERKVIGRVNCRNGPAPGWVHSFPVTEHYVVVPEMPLRYCAQNLLRAEPTPLYKFEWRPESKAFLHVMCKASGKIVASVEVPLFVTFHFINAYEEEDEDGRVTAIIADCCEHNADATILDQLRLQNLRSFNGKDDLLPDASRVGRFTIPLDGSPYGKLESALEPDEHGRGMDMCSINPTKLGKKYRYAYACGAQRPCHFPNTLTKLDLVKKKAKNWHEAGAVPSEPFFVARPGATEEDDGVVISLISEKNGNGYALLLDGSTFEEIARAKLPYGLPYGLHGCWVPKE >KJB07491 pep chromosome:Graimondii2_0_v6:1:2506089:2509927:-1 gene:B456_001G027000 transcript:KJB07491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSLSGICRHQFSPITMLDFNQSNHRIEKAGFVRKPEKGGLTITQVASPLRRPVIVPSLDNETVDRRDRNHVAWTSVRQERWEGELVVQGEIPLWLKGTYLRNGPGLWHIEDYNFRHLFDGYATLVKLQFENGILIAGHRQIESEAYKAARKNKKVCFREFSEVPKYENFMAYVGDIAKLFSGASLTDNANTGVVKLGDGRVVCLTETQKGSLVIDPNSLETLGRLEYSDSLGGLIHSAHPIVTDAEFLTLLPDLVNPGYLVVRMEPGTNERKVIGRVNCRNGPAPGWVHSFPVTEHYVVVPEMPLRYCAQNLLRAEPTPLYKFEWRPESKAFLHVMCKASGKIVASVEVPLFVTFHFINAYEEEDEDGRVTAIIADCCEHNADATILDQLRLQNLRSFNGKDDLLPDARVGRFTIPLDGSPYGKLESALEPDEHGRGMDMCSINPTKLGKKYRYAYACGAQRPCHFPNTLTKLDLVKKKAKNWHEAGAVPSEPFFVARPGATEEDDGVVISLISEKNGNGYALLLDGSTFEEIARAKLPYGLPYGLHGCWVPKE >KJB09952 pep chromosome:Graimondii2_0_v6:1:26532910:26534931:-1 gene:B456_001G177600 transcript:KJB09952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQATFETLEEANWGMNMRICSMINSEELNGTEIVRAIKSKISGKNVASQRLSLDLLEACTINCAKVASKVVSEKVLEEMVKMIENPVTHHGDRKSALQLIRAWGQSEDLAYLPVFRQTYLNLEESSHQPVDNGNSPHLHHTLESYIGEPLAPSENYPINGTGLHGSDFACNYGRLSLEHKKELFKVTRNSLEVLSSMLSKETQPKPTKDKLTESMLEMCKQSQLVILMIIESTTDNDGILFEALNLNDELQQLISKFEELEAGSKSRTQPKGNSRTKTSTSPSINNERKMSASANDAESC >KJB08847 pep chromosome:Graimondii2_0_v6:1:12289793:12291158:-1 gene:B456_001G107800 transcript:KJB08847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVVPLWNYQEDFDELKLKLQYTSIELESVKMEAYEQIRKYRDEVNHLVNLVKLACQERDEARDQFQKLVNKLMASSSSSTILPQPQPENLLVIAAAKANSSITESNSLSETYNHHPSHGSSPVDSLFDAVTSPDFSSINNNMVDNSLIHNLAKGKTLPEKGKLLQAVMEASPLLQTLLVAGPLPRWRNPPPPLQTYKIPPVSIRACHSKLDNQKPDAYPNPSSTVVVKQLNNSSPMCPQMIRGSGQSCSVAMLDFAASASASGVSNGANSQISFLAGKRQRLQ >KJB10587 pep chromosome:Graimondii2_0_v6:1:41342582:41344498:-1 gene:B456_001G208900 transcript:KJB10587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLTKRYVSQPNKLSVLTLFLFTFLHTLTIASADASPKPYTPHDNIALNCGSTTNTTDLSGRSWISDNTIYLDQSSKVSVISTSPTEGDPIPYTTALLSRSQFTFSFTVTPGPKFIRLHFHPASYRAFNRLNAFFDVHIGGYTVLSNFSAALKADDLKLEVFYSEFCIYVDEEKLNILFNPNPNMPDSYAFINGIEIVSMPNNLYYSSDDDTGFKFVNQVNPYRILKNQALESLYRVNIGGSSISPTQDTGMYRSWSEDGEYVTNGGPSVLPVNLIISPSFSVIPNYSAPVPVYRTARTMGTNKTVNENYRLTWEFRVDSGFTYYVRLHFCEFQVEITELGDRVFQIYIDNIVAEHQADVISWAGGNGVPVYRDYAVMIGRAGNEMKRNLSIALHPAPAWRTRYSDAILNGVEIFKLSNEGNLAGPNPQTIPISSPKISVPPPTRPKYNLRTTFLTVIGVVSSFVVVSALYILIFRPKSRGEGSSSWSQLSCKDYSSMDGSGKVYSSVGNHSKDTTSVADNKC >KJB06165 pep chromosome:Graimondii2_0_v6:1:15471620:15472447:1 gene:B456_001G123800 transcript:KJB06165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNSTSFFNTQKLSCFASTQTSIKPSLYIGSMAWLMVALEKSKELLAKYGGAYLATSITLSLISSLCYALISAGIDVQALLQKVGISTDVTGGKVGTFALAHAAHKAASPMRFPPTVALTPVVAS >KJB10248 pep chromosome:Graimondii2_0_v6:1:32019473:32022705:-1 gene:B456_001G191600 transcript:KJB10248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEQLPEPLDFFIWTVEDVGMWLEDINLGSYRQIFKEHGVNGEYLEGMSMFTTEQILRFIRQCHMKWGDFITLCKELRRIKVACLKGEQKVHRPWWAPPCLSVVFVKVAKRNRQSRIVSLKLEQ >KJB10250 pep chromosome:Graimondii2_0_v6:1:32020262:32022564:-1 gene:B456_001G191600 transcript:KJB10250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEQLPEPLDFFIWTVEDVGMWLEDINLGSYRQIFKEHGVNGEYLEGMSMFTTEQILRFIRQCHMKWGDFITLCKELRRIKGLSIYMCVCVYIYIISYLNI >KJB10249 pep chromosome:Graimondii2_0_v6:1:32019623:32022564:-1 gene:B456_001G191600 transcript:KJB10249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEQLPEPLDFFIWTVEDVGMWLEDINLGSYRQIFKEHGVNGEYLEVACLKGEQKVHRPWWAPPCLSVVFVKVAKRNRQSRIVSLKLEQ >KJB08355 pep chromosome:Graimondii2_0_v6:1:8106500:8117642:1 gene:B456_001G078400 transcript:KJB08355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLAAISLLLFSLFSMVYSSPIGVQYISKLLQIQERERALPSFQVAAARGVLHRLLPSHSSAFEFRIISKEKCGGEGACFIINNHPSSYKSGAPEILISGVTGVEVLAGLHWYLKYWCGSHISWQKTGGAQLFSIPPLGSLPPVQDGGILVQRPIPWNYYQNAVTSSYTFAWWDWERWEQEIDWMALQGINLPLAFTGQETIWRKVFQKFNITNSDLDDFFGGPAFLAWSRMGNLHGWGGPLPESWFDGQLTLQKKILARMYELGMTPVLPAFSGNVPAAFKDMFPSAKITRLGNWFSVKRNPKWCCTYLLDATDPLFIEIGRTFIEEQLKGVDTFDENTPPVDDPGYISSLGAAIFSGMQSGDDNAMWLMQGWLFSYDPFWRPPQMKALLHSVPLGKLVVLDLYAEVKPIWISSEQFYGVPYIWKALLERDLDLFRVFDRNFAYFIHPLKYVSVAYLKASKMEVWNLCMLHNFAGNIEMYGILDAIASGPIEALTSENSTMVGIGMSMEGIEQNPIVYDLMSEMAFQHKKVDVKAWIELYIARRYGRSSPLIRDAWNILYHTIYNCTDGAYDKNRDVIVAFPDVNPSLISSPLEMYPHNGKPTSRRAVQREKTNAYEQPHLWYSTSEVIKALELFIASGNELSASNTYSYDLVDLTRQSLAKYANELFLKIIDAYKFKDVDKVTSLSQKFLDLVEDMDTLLACHDGFLLGPWLESAKQLAQNEEEEKQFEWNARTQITMWFDNTEEEASLLRDYGNKYWSGLLRDYYGPRAAIYFKILIESVENGEDFKLHKWRREWIKLTNNWQSSRKLFPVKSSGNAVSISRSLYNKYLRSES >KJB10781 pep chromosome:Graimondii2_0_v6:1:45695583:45696512:-1 gene:B456_001G224000 transcript:KJB10781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHFPLRTQSIGSSAYYAERITEEPHPQTSNKNAQTTVTLMYQTNIVGYCRNVKILWCKNLMNHSLSILVNSTQGNFQYSCKIDLKPWHFWSKKGYKSFEVEGSQVDVYWDLRSAKFTCGPEPVSDYYVALVADEEVVLLLGDYKKKAYKRTKARPALVQPFLIYKKENVFAKKSFATRARFDEKSKEHEIVVESSMTGIKEPEMWISMDGVVLIHVKNLQWKFRGNQTVLVDKQPVQVMWDVHDWMFSSLGTGHGMFIFKPVAADAESDKEGSSQEGDSDAGSKYYSTLSAATASEFSLFLCAWKIE >KJB07565 pep chromosome:Graimondii2_0_v6:1:2800646:2801724:-1 gene:B456_001G029900 transcript:KJB07565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFMIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >KJB09141 pep chromosome:Graimondii2_0_v6:1:15741639:15741930:-1 gene:B456_001G1255002 transcript:KJB09141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELCLILLLALPWEQQILKWRMMAILLLIPCFRVTLEMISTRLHNSS >KJB09140 pep chromosome:Graimondii2_0_v6:1:15741353:15741930:-1 gene:B456_001G1255002 transcript:KJB09140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELCLILLLALPWEQQILKWRMMAILLLIPCFRVTLEMISTRLHNSS >KJB07239 pep chromosome:Graimondii2_0_v6:1:959975:961727:1 gene:B456_001G010000 transcript:KJB07239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPCCDKSNVRKGPWSPDEDNTLVNYIHKHGTGGNWITLPRKAGLKRCGKSCRLRWLNYLRPDIKHGGFSEEEDNIICSLYSTLGSRWSVIAAQLPGRTDNDIKNHWNTKLKKKLLAAKIKGGNNEITTTISAAPFCKAVGSDGSSRTTSSSYMTDMKTYQKKYYEYPALVLDQTDQFSMPYLPFENNYGAAWCSNGVSNEGQGMMVDHFVDFEVDPQHVLSGSSFQEENINGVGDDPWFGILSATHYNIFD >KJB10459 pep chromosome:Graimondii2_0_v6:1:39003631:39006046:-1 gene:B456_001G202400 transcript:KJB10459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNSVKPDTIDVEKKALNTMKVNSQHQVKIGKPSEDVPVVPSVLHEFYANLKFFEEDKVYVRGREVEISPSIISKYYRVLFLANNEIELLETRNFKGVNVDYIILYLTEGKGEWEREVYTNLPISFNPILFTTLDKIWLHFLRMKVCPTSSSRIVNPFQDILLTAILQRKQIFIGTWIYKFMLRCVIRDDTGVFFPHLITDLCRAARVPIDPLKPFRQPTTHMITSSIYQKFKVIQQEQRT >KJB06502 pep chromosome:Graimondii2_0_v6:1:48119719:48120851:1 gene:B456_001G241300 transcript:KJB06502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 6 [Source:Projected from Arabidopsis thaliana (AT1G67030) UniProtKB/Swiss-Prot;Acc:Q39265] MADIDFHSKPLKLFGFNIGERAGKGSNKAPAAGGSPELDRKYECQYCCREFANSQALGGHQNAHKKERQLLKRAQMQAAATRNSMISPFTPPPHLLAAAVLPAAAPPPLYHSAFYMSHGGGGGGPAPSYMLQGSTHHCGTGSGHGRRLYTGEGRESMAAAMSGDIGNHAGVFSVVRRFTGDDGGPKIDNGLGLDLHLRLGSSVP >KJB10498 pep chromosome:Graimondii2_0_v6:1:39718228:39720793:1 gene:B456_001G204400 transcript:KJB10498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMIMGKEYGYVAIVVVVYCCLNMWMGFQVVKARKKYKVNFPNLYALESENKNAKIFNCVQRGHQNSLEMMPVFFILIVLGGMGHPCVSAALGLFYTVTRYFYFTGYSTGDPQNRLTIGKYGFLALFGLMICTISFGIKLLRS >KJB06627 pep chromosome:Graimondii2_0_v6:1:12480301:12481095:1 gene:B456_001G109000 transcript:KJB06627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVLSRSYVGSLLIAAHFRASSSSSTRLLLLEPKNTSSIFQLLLLKLLPMLLCLVLLLGFQLRALSKPSIFLDASSSSSFSFSFPSQ >KJB09339 pep chromosome:Graimondii2_0_v6:1:17669540:17671671:-1 gene:B456_001G135300 transcript:KJB09339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTPQTPNDPFYMSAPASPNRISLEVEGLCFFSVPTSPTRRALKVAYETSPNVDEFEFETSRHFNVDEYEPESQPEEPNQNHGGVKEPLPTMAFADELFADGKVMPLKPPPRHQYSNSSTWSSPRSPPTGVLRLPFQRRSLWNDDFDPFMVALKNVKEENQGRTHRRSRSMSPFRERGTTPKETNEYSGTSQHRINQMGLILPRKQSVPDQNRQMGRQQQVKKRELKLAEPKGVLFARRARLVKVGNGKPTKPSEVDSSSTMEGGDTHAKQTKGQKIKNFLFRSGSMRNENKPKCCANGTQSRPKLKRKFSLKAVGITQYKEEKRVPEVTLTTLIQYRPKLLLCMGYGAKYAK >KJB09230 pep chromosome:Graimondii2_0_v6:1:16517598:16518888:-1 gene:B456_001G130400 transcript:KJB09230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGERILPGSYFQYPPPGFPSVSPHRPSSIPTDLERVYIRGKGSVKDSVKEEKLKDKPGFEHLNEPLHVLVEAELPKDVINSRLDYVVAILENLLKPVDESLDNYKKQQLRELALLNGTLREESPRMSPTMSPSMSPFNGTGMKRANYFSQKPLI >KJB09765 pep chromosome:Graimondii2_0_v6:1:23427681:23429129:1 gene:B456_001G163200 transcript:KJB09765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAFSGGERGKRQSALSSFWLENCFQTSLLTDFIALEESYKLLAYSTFWSCLITSLHPIISYRLHSIDRMDHRKRRFPLIQLELKTQKNS >KJB09442 pep chromosome:Graimondii2_0_v6:1:19277021:19277727:-1 gene:B456_001G142700 transcript:KJB09442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEVRMKILEDKVDNETINWMMENFKLSVKQQIEAVLTKDELQHLAFLCKSEVDSIGRLTAGILRLLKLEKCAALITESSNSESSLVNLTNIKELKQKLDNMHSLLLQLRVQI >KJB11704 pep chromosome:Graimondii2_0_v6:1:55012321:55014144:-1 gene:B456_001G273200 transcript:KJB11704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQAKKVLLTSNGDDMSMNIALHLAKRGCRLVMMGNEWCLRSVREKIMGSINDNIVPIEVVGLDMEEEREGIFDEAVDKAWKVFGSIDAFVNCYAYEGKMQDHLQLGEEEFRKIIKINFMAVWFLLKAVGKRMRDHKSGGSIVLMTTFLGAERGLYQGAAAYGSSLAAVQQLVRLSAMEIGKYKVRVNAIARGLHIDDEFPESVGKEIAEKLVERAAPLQRWLDVKRDVASTVIYLISDGSRYMTGTTIFVDGAQSMTRPRMKSYM >KJB11694 pep chromosome:Graimondii2_0_v6:1:54926910:54928101:-1 gene:B456_001G272300 transcript:KJB11694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLLRVVPVIGVLNDRKAFKPTPNPAEVDAVFDAPLEMFIKEENRSAEEREWMGEKYLLHFFDYEIENKRYLIWGLTAGILIRAASVVYQRPPAFLEQSPKFKFPGLVDK >KJB09941 pep chromosome:Graimondii2_0_v6:1:26177010:26177723:-1 gene:B456_001G176500 transcript:KJB09941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTASTSTSSSTGFSFPGFRKNKKTSKNSVAPQESKKAGDYSEENGNGKKKRGMISEDGGSKHPTYRGVRIRSWGKWVSEIREPRKKSRIWLGTYSTAEMAARAHDVAALAIKGHKAYLNFPQLAKELPRPASTSPKDIQAAASQAASSTFLETRRSTIEDEAGPNQEEIPVSHSSFTSAASDNLQESSTSLFTHDDDTLFDLPDLMIDCSYSSAWQICAVDAGFRTEEPFVWDYY >KJB07110 pep chromosome:Graimondii2_0_v6:1:3638826:3639500:1 gene:B456_001G039000 transcript:KJB07110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHQYTIASSSSATPTMFVQADANTFRDLVQKLTGFTGSDTDKLPGRLSSTAPRRPPFKLQERRQHAMRNLEIKLGTLTTCPTNSSPTQSCSPGQVPRLDSPSPSPVTPLSFGTISLSSPVVSVWEEEKAIAEKGFYLHPSPLNMPRGSQPPELLTLFPLTSHRQDKRE >KJB10485 pep chromosome:Graimondii2_0_v6:1:39627816:39631890:1 gene:B456_001G203700 transcript:KJB10485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G02450) UniProtKB/Swiss-Prot;Acc:Q9M895] MACFSVVCNNGVFVSKENLVIDSGKPKSIWRNISFSYTSFCFPSLGIYRYNHCKTQNLFFSGHNSFRLIRNGRRNEVPSLAFQVCCKAPDGLLMRGNSGDKETQFGRRGSSNVRKRISLRLRPRLRLLAIRMKRVSVRSMLNGIAVFLRKNIRRVSLYSTISLALGMCYLFLKLTAVPSPKIVPYSEFITSLQSSSVTKVLLEEGSRCIYFNMNSKSAENTQSEEESSAGNDSIENLTGMAAREDSVVDGRQLQKQGLFKKISRPKTSTSAWMYLTRKIDHDEKYLLSLMREKGTTYSSAPQSVLMSMRSTLITIISLWIPLTPLMWLLYRQLSAANSPARKRRPSNQVVGFDDVEGVDTAKVELMEVVSCLQGSVNYQKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFSVSASEFVELFVGRGAARIRDLFNVARKSAPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESDMKVVVIGATNRPEALDPALCRPGRFSRKVLVGEPNEEGRKKILAVHLRGVPLEEDPQLISELVASLTPGFVGADLANIVNEAALLAARRGGETVTREDIMEAVERAKFGINDRQPSTIGKELGKLFPWVPSLMGKSDPRQDGLQGPLGYQTLS >KJB07673 pep chromosome:Graimondii2_0_v6:1:3398505:3400595:-1 gene:B456_001G036800 transcript:KJB07673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGIWQSFRFNLEEIETATQYFSEPNLLGRSNFAATYKGFLRDGSAVVIKSISKTSCKSDDSEFLKGSNVLASLKHENVVRSRGFCCSKARGGCFLVYDFIPNGNLLQYLDVKDGDGTVLDWSTRVSIVKGIAKGIAYLHEYKVNKPALVHQNISAEKVLVDHRFNPLLSDSGLHNILTIDIVFGSLKASAAMGYLAPEYANTGRFTEKSDVYAFGTLVLQLLSGKQKVTSSVRLGAETRKYQDFIDSNLHGRFFEA >KJB10003 pep chromosome:Graimondii2_0_v6:1:27460834:27462216:-1 gene:B456_001G179700 transcript:KJB10003 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP-interactive CRIB motif-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT4G28560) UniProtKB/TrEMBL;Acc:F4JLB7] MTVVSIFKLALFFTLTSTLVTISLQQEQPLLLNSAEQDSVYQVLSSINSATNWRTLFPDDLCSYPPHGVVCDYFTDPTTKNVTVHVTELSFGYVSDFTPNPSCSPNSTFSPLLFTSFKHLRKLFFYQCFTQTQAFVPVIPTTFGSSLEELVFINNPAFVGPLSGITGNFTSLRRLVLSGNGIHGAIPNAIGDLANIEEITLSRNKLSGKVSVNLSKLKKLKILDLSGNGFHGNVPSSVGNLTHLLKLDLSSNGFSGKIPESLSNLQALDFLDLSFNRFGNFGVPLFLAAMPRLKEVHLSGNLLGGEIPEIWGNLGGILGIGFSNMGLVGEIPASMGLHLRNLCYLGLDNNKLNGKVPEEFGFLEFVNEINLENNNLSGKLPFSANFTAKVGEKLRLKGNPELCVDEKLSHRESVGELKKCSKPDIPNPVLFIGVSHSGLLSSSSSFLVLLLFWGLWILLA >KJB10906 pep chromosome:Graimondii2_0_v6:1:46865071:46868708:-1 gene:B456_001G231500 transcript:KJB10906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTGGSDVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLASLGGGMNISRHHARIFYDFTRRRFALEVLGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKQFYFLLPVRSILGGALAPRHHVSIYQTAGAGSAAGGVPHYGHHGGAEMGRTVGSVAGSAVVAAGAKKGRGREYYEDEYGEEEDVGSGGKKVRREDWYSGTEAGSGGKVGLAGALVPVEKKGEGRSRVDRESDNQQLMQLEEKDVVSSVATVLSDLCGPGEWMAMEKLHAELVEQFSNVWHHSRVRRYLTSEDWPGPESKGKPWYGLLMLLRKYPEHFVINTRSKGRITLEFVSLVSLLS >KJB11191 pep chromosome:Graimondii2_0_v6:1:48836818:48837348:-1 gene:B456_001G244600 transcript:KJB11191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVPRIMHTKQILGRSKLFANQAASNSTDVPKGYIAVYVGESQKKRFIVPISSLNRSSFQKLLSIAEEEFGFNHPMGGLTIPCREEVFVDLTSSLH >KJB09435 pep chromosome:Graimondii2_0_v6:1:19195081:19199340:-1 gene:B456_001G142100 transcript:KJB09435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVMQFSGFFSAAMLMIVLSPSFQSFPPAEAIRSSHLHAYLRLPSYQVSSSTYSPLDRFSFRKASEFGNADKCRSTDHKITGVCDPSLVHVAITLDVEYLRGSIAAVHSILQHSLCPENVFFHFLVSDTDLETLVRSTFPQLKFKAYYFDPAIVRNLISSSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDIAKLWSTNLGSRTIGAPEYCHANFTKYFTSGFWSAERFSGTFKGRKPCYFNTGVMVIDLVKWRRVGYTKRIERWMEIQKTDRIYELGSLPPFLLVFAGRVAPIEHRWNQHGLGGDNVRGSCRGLHPGPVSLLHWSGSGKPWDRLDSRRPCPLDALWAPYDLYRHSH >KJB10202 pep chromosome:Graimondii2_0_v6:1:30397054:30400049:-1 gene:B456_001G188600 transcript:KJB10202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSYSVAAIAGILGLVLFYKLWRPGTPGDQSKHLVLAPEPSGALPLIGHMLLLRGQRTLAHTWAVMADKYGPIFTFRLGVFPALIISNHEAVKECFTTNDRVLANRPRSNAGIYLGYDHAGFGFAPYGEYWRQVRKLAMVELLSTRRLETLKHVHISEVNAFIKDLYLFCMLNQQNPNPKLVISQKLEALTLNTIMRLMAGKRYFWDTTDGEDDEEAAHVAKVIKDFMYVSGLISPSEVVPFLGWMDSMFMGQVKSMKRVAREIDSIVGEWVEEHKLKRLKIEAKPENTPDFIDIMLSAIEEDSMFGYSRETIIKANVMNLFLAGSDTTSITLTWILSNLMNNRHALKCAQEELDLKVGRDKWVQDSDIEKLVYLQAVVKETLRLYPPGPISVPHEASEDCSIGGYHVGKGTRVIVNLWKLHRDPQVWSNPDVFEPERFLTSHADVDVLGQHFELIPFGSGRRSCPGITLALQMTHLTIASILQGFELSTPFGETVDMSEGLGITMPKATPLEVILSPRLPSAMYLHST >KJB09905 pep chromosome:Graimondii2_0_v6:1:25346620:25351278:-1 gene:B456_001G173900 transcript:KJB09905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKGTVCVTGGNGYVGSWLIKLLLELSYSVHTTVRADPGNKRDLSFLTNLSGANERLKIFIADLNDPESFGTVIEGCKGVFHVAAPMDFQDNETEAVVTQRSIDGTLGILKTCLRSNTVKKVVYTSSITAVFFNKIKNVEIMDESYWSDVDYIRSEVKSNLSSYAITKTLTEKAVLEFAAQHGLDLVSIIPPMVLGPFICPKMHVPVHTALSPILGSRKNNNLLLNLAMVHMDDLARAFIFLLEHPEAKGRYNCSSDTVTAPKIVEILSTNHPEFPIVDTLEGIEGAKLPGLSSKKLLDLGFRFKYGVEDIYDGIIKSCKEKGFL >KJB09904 pep chromosome:Graimondii2_0_v6:1:25349775:25351383:-1 gene:B456_001G173900 transcript:KJB09904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKGTVCVTGGNGYVGSWLIKLLLELSYSVHTTVRADPGNKRDLSFLTNLSGANERLKIFIADLNDPESFGTVIEGCKGVFHVAAPMDFQDNETEAVVTQRSIDGTLGILKTCLRSNTVKKVVYTSSITAVFFNKIKNVEIMDESYWSDVDYIRSEVKSNLSSYAITKTLTEKAVLEFAAQHGLDLVSIIPPMVLGPFICPKMHVPVHTALSPILGSRKNNNLLLNLAMVHMDDLARAFIFLLEHPEAKGRYNCSSDTVTAPKIVEILSTNHPEFPIVDTLEGIEGAKLPGLSSKKLLDLGFRFKYGVEDIYDGIIKSCKEKGFL >KJB10405 pep chromosome:Graimondii2_0_v6:1:35742402:35744683:1 gene:B456_001G199300 transcript:KJB10405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANVCDINHLDADVLLPPRKRLLAGFKKQASTANGASDQPTAASSTSSPPSPSPSSATSSTDVNIHLNNLLSSHVNDPNLSPEEIFEASRAAAIAAAKAAEAARAAAEEKAAIAAKAIAAAKSALDLVATFSEDAGSKDRYLKRNKLKKHVPVQALYKKDQQPIENSRVDEDLVSRLNRAISSSPRISKDSPKSEWRGHQQKRPKSLPTSDNTKVSNGGIMLGGSPSSTCNGGMTAVEIDSDDLVEEHVKVEAKVANYKKSRPSMLDNGEAESGYLKEKANEDAYSSGKKRGRVKLKKLPLSICSYRDRVNLKEEMITKNMGNSTAVVKPVFFSGTLC >KJB07179 pep chromosome:Graimondii2_0_v6:1:544696:549420:1 gene:B456_001G006000 transcript:KJB07179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVQTLTRLYSTRNVGSFRLFDNPMRHHHHQQQNATAAGGNNEHVDDVDDNLQPSEDKSMGQQNIGEPVVETPQERPQTDMEQMKERFSKLLLGEDMSGGGKGVPSALALSNAVTNLAASVFGEQVKLGPMAPETKARWRKEMDWLLSVTDHIVEFVPSQQKTKNGTNVEIMVTRQRSDLLVNIPGLRKLDTMLIETLDGFGQEKEFWYVSKDDDQENENGQRDDKWWHPTVKVPVNGLSEMSRRWLQCQKESVNQVLKAAMAINAEVLSEIEIPESYIDSLPRNGRASLGDLIYKGITVDHFDPSQFLSTMDLSTEHKVLDLKNRIEASIVIWKRKMHQKDGRSSWGSGVSLEKRELFEERAETILILLKQRYPGLPQSSLDISKLQDNRDVGHAILESYSRILESLAFNVMSRIEDVLHADSLAQTSSPQKSETSPSSSDEDALRVSSSDTPTLSDFMGWGIASRRRSSTDLEIYFKGDNDKKLAKPPVSSMQKKSPNLEKLESLSGNRSPKGRY >KJB07180 pep chromosome:Graimondii2_0_v6:1:545122:549420:1 gene:B456_001G006000 transcript:KJB07180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVQTLTRLYSTRNVGSFRLFDNPMRHHHHQQQNATAAGGNNEHVDDVDDNLQPSEDKSMGQQNIGEPVVETPQERPQTDMEQMKERFSKLLLGEDMSGGGKGVPSALALSNAVTNLAASVFGEQVKLGPMAPETKARWRKEMDWLLSVTDHIVEFVPSQQKTKNGTNVEIMVTRQRSDLLVNIPGLRKLDTMLINGRASLGDLIYKGITVDHFDPSQFLSTMDLSTEHKVLDLKNRIEASIVIWKRKMHQKDGRSSWGSGVSLEKRELFEERAETILILLKQRYPGLPQSSLDISKLQDNRDVGHAILESYSRILESLAFNVMSRIEDVLHADSLAQTSSPQKSETSPSSSDEDALRVSSSDTPTLSDFMGWGIASRRRSSTDLEIYFKGDNDKKLAKPPVSSMQKKSPNLEKLESLSGNRSPKGRY >KJB08620 pep chromosome:Graimondii2_0_v6:1:10448469:10449621:1 gene:B456_001G094200 transcript:KJB08620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPDARCFEISMRSLLTTMLPSNKFSPIFSKSILRYLLRNFVPCCLELVSLHMLLGENRVLEVIPNSLKGINHDMFIY >KJB11457 pep chromosome:Graimondii2_0_v6:1:53518319:53519373:-1 gene:B456_001G260000 transcript:KJB11457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSHDLHIQHFSHPHLLELTNIQALNLNPCSACKLQSSGWMYTCRPCNFALHTSCSQLPHLITHPAHPGHSLSLLPAPAYPVGYFNCDACGQRGHGFNYHCNQCDFDIHSIGSNHWLYRCSICEFDVHMSCVNTAASIYKGTTRQGNVQFQAWDSFPGSAQSNLQYRNGGAPMNCQYQNNGAAAGNGLMGVAVQGFVEGAAQQVGQNLVQSLMGGGGDSNNGGDNNSSSSSSASMVDVGSSLLSGVFGNS >KJB10075 pep chromosome:Graimondii2_0_v6:1:28076415:28084869:1 gene:B456_001G182900 transcript:KJB10075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALTLLILLLVYLPSFLSCSCFGLQMLLSPLQPVHQLSAMETIIDHAARLLSVRVLFSRARSPQEHVNPSFGPAIAPGPSPIHQAPFASPSGHVVPRHHHGGHRRHHDVKPREAAAPPLEQGCDQICVEPLTATPFGSPCGCVFPMKVKLLLTVAPYAVFPVMNELEIEVAAGIYLQQSQVKIMGATADTQNQGRTLVEINLVPLGEKFDNTTAMLTYDRLFHKRISLNSTLFGTYEVVSISYPGIPASPPYSNFLGSGPTGSAADLPITANFVNNNQKMNIRIIAIIVLSAFVLLLVLAGAITVLVKWRKVGRPSSAVGPAFPSLINKRSGIGSILSSSMASSTSMSLMSTMATSALSIKTFALAELEKATDKFSSKRILGEGGFGRVYGGVMEDGSEVAVKLLTRDSQNRDREFIAEVEMLSRLHHRNLVKLVGICIEGRIRCLVYELVPNGSVESHLHGVDKDERPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLENDFTPKVSDFGLAREATEGSQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSFGVVLLELLTGRKPVDMSQPQGQENLVTWTRPLLTSREGVEQLVDPSLAGTYDFDDMAKVASIASMCVHPEVTQRPFMGEVVQALKLIYNDTDETGGDCCSQKESSAPQPDFKGDFAPSDSSWWNAGGVTPRLTYGQASSFITMEYSSGPLEEMENRPCSTSILVGSGTSLPIRHGNRSGPLRTVRSKPSFYRLRGSMSEHGGLLPRQNWNDAYWV >KJB09142 pep chromosome:Graimondii2_0_v6:1:15744478:15745316:-1 gene:B456_001G1256001 transcript:KJB09142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKGTKSGKTRAQKDAHNARCVQYRQKTKRRLEELEQVKTKYYEMLPIFKQMQTENQQMKMELKQNESIIQYMQNCIQQFKTTLEAQ >KJB07246 pep chromosome:Graimondii2_0_v6:1:1031419:1034487:-1 gene:B456_001G010800 transcript:KJB07246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTEQNSVLLVPIFFFLLTISLPVSVYAKKPVAIPRKEDIPYIKCQVCEKIASQLVQQVQSKQTQISPKKISEYQIIEIAENVCNLKKEEADWILKIDIVEQGDKLELVEQNAEGICNTECKTIERTCQEVMGYSDTDVAEYIYTSKPDVESLTNYLCKDLTKACKTKPPPLPKDRTPGEPFVPKPTKEAEMEKMLRSMEGMPGAPNMQMYSREELMNMKNFGGDADDDEDEDEDQFPSNLGKVLRDKESKQTDWKQKIIKGVKDAGETLKRHATKVSFRVQKWWKGFKAAQSKSGKTEL >KJB08113 pep chromosome:Graimondii2_0_v6:1:6642787:6643281:-1 gene:B456_001G066300 transcript:KJB08113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVFQTYIHTKLFSLLTKSASLPPPPPSTIIDIESATEPISSQVHDPQNYLACEKQIVAFCLGSSIEIAILFAEIGPQRFPVTFILVSVAIMLAFTCICVGKCYMNSKFHVMARKLEELGGFFMVTAFFIAIAIAIPFPLWLQCCTGTAYAMFLVSILACNFF >KJB10544 pep chromosome:Graimondii2_0_v6:1:40593045:40594730:-1 gene:B456_001G206700 transcript:KJB10544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFFFTWLLCFIIFNGYSEGSHGYQQQQSAVVVGTVYCDTCFQSDFSRPTHFISGATVAVECKDGKSSRASFRQQVKTNRHGEFKVHLPFSVSKHVKKIEGCEVKLIKSSEPYCAVASSATSSSLHLKSRKQGTHVFSAGFFTFKPFKQPTLCSQKPSVHPNQLLPPPILPPNPLLPPPILPPNPLLPPPVLPPNPFQPPPAPLVPNPLQPPPAPLVPDPLQPPPEPAAPSLPPVPGLAPPPSSPSPPPDFPFPLPPFPFLPVPPFPGVPPSKSSP >KJB10509 pep chromosome:Graimondii2_0_v6:1:43305049:43308477:-1 gene:B456_001G216500 transcript:KJB10509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKPGKKDLDSYAIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHTFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMEMTIEEAKGLDHFLCSDCTSEDDAKRSMNTFPVSASLEPKVRAFIFMV >KJB10508 pep chromosome:Graimondii2_0_v6:1:43304134:43308537:-1 gene:B456_001G216500 transcript:KJB10508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKPGKKDLDSYAIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHTFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMEMTIEEAKGLDHFLCSDCTSEDDAKRSMNTFPVSASLEPKVEPKRRKR >KJB11193 pep chromosome:Graimondii2_0_v6:1:48855240:48855530:1 gene:B456_001G244800 transcript:KJB11193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPRIVNAKPSLKRSLSFLETTMVAKGHFVVYVGEHPSFQNCLSQAGVEFGFNHPKGALTISCSEEAFIDLTCNLQSL >KJB09736 pep chromosome:Graimondii2_0_v6:1:23236935:23237333:-1 gene:B456_001G161200 transcript:KJB09736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVFLPFFSNYAPFFKQDLFGYGFLLFFSVRTVNKRSSATLRTLSELEQKSFPKPTGGGALNKNNRFLCDSTLPLLVKTYQKRIRKKARESPSIGNHTSTPQKWLFFFKYELRVKGIAPEGAFLPAFKAFF >KJB08752 pep chromosome:Graimondii2_0_v6:1:11336612:11341936:1 gene:B456_001G101400 transcript:KJB08752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSREGKVIHGRVSMAISDFNSFGRDNQMRIVLHTRDSKGDPLLALSSESKEFDMLQLMDFITQMVNFEIVNVLGTEGERRVGFWTGKFTNKLSHGFAHGRHLFSSSGFETIIWPGGTSTIPKGRRMQTSTKTLRIAVPKSNGFPQLLKVDIDLQTNITFSGFCIEVFKAAMAGLEHQVPYEFVPFEYNTTHIGEAYSDLVYQVYLQKYDAAVGDITITSSRSLYVDFTLPFTDMGIGMVSRLTPKENQNLWIFLKPLTPGLWLTIVGVYVLSALFIWLIERPASVEQQTRQSNGEIGRMFGFSFSIFVFAHWEKLSSNLSRSVVVLWVFVLFILGSNYTATLTSMMTVQQIEFNSGKSTVGRGAGPVAQEAIGNPYFPNSHSKSIRLTSLEEYVKALSEGSKNGGVSALIEEMPYINVFVEKYPTRFSKVGHVMPTTNGFGFAFRKGSPIAHDISREIAKLREDGTLQRLENEWFKNPIIDFDSRNTSDSVNPLTVRDFGGLFFINGIFLAITCFLFLTSLLYKNIH >KJB07729 pep chromosome:Graimondii2_0_v6:1:3796807:3800506:1 gene:B456_001G041300 transcript:KJB07729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMVQPPLVDTTACLCRVDAGLKTVAGAKKYVPRTKLCLQPSIKPSIHPTRNKAARSNRSCYQSPLLPGLPDDLAIACLVRVPRVEHRKLRVVCKRWYRLLSGNFFYSLRKSLGIAEEWIYIIKRDREGKISWHAFDPVYQLWQPLPPVPKEYSEALGFGCAVLSGCHLYLFGGKDLLKGSMRRVIFYSARTNKWHRAPDMLRRRHFFGSCVIKNRLYVAGGENEGVHRSLRSAEVYDPNKNRWSFISDMSTAMVPFIGVVYEDKWFLKGLGPHRQVMSEIYQPETDSWYPFYNGMVAGWRNPCASLNGQLYALDCKDGCKLRVYDEVGDSWSKHIDSKIHLGNSRALEAAALVPLNGKLCIIRNNMSISLVDVSKSNDLKGSTAQHLWETIAGKGQFKTLVTNLWSSLAGRNRLKSHIVHCQVLQA >KJB07471 pep chromosome:Graimondii2_0_v6:1:2407722:2411350:-1 gene:B456_001G026000 transcript:KJB07471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTRFTFLFFIFFFITVIYSQSVNHNERTLLLNVKQQLDNPPSISSWNSSSSPCDWPEIICTAGSVTRLHLRDKNIMTTIPPSICDLKNLTFLDLSLNFIPGEFPSTLYNCSKLQYLNISQNYFVGEIPDDIHRLSTLVSLDINGNNFSGNIPPSIGRLPLLQTLYLHQNLFNGTFPKEIGDLSNLQTLGLAYNGFSAMEIPWEFGFLKKLVYLWMTEVNLIGEIPESLNNLSSLVHLDLSRNNLEGPIPSNLFTFENLTYVYLFKNHLSGEIPKAIDALNLVQVDLSQNNLTGEIPQGFGELRYLDFFNLFSNQLTGELPESFGRLPALRDFKVFDNNLTGVLPPDFGLHSKLEAFEVSENQFSGRLPENLCAGGVLQGVVAHTNNLEGEIPKSLGNCSSLLTFQLQHNKFSGEIPSSLWNTFNLSSLMLSNNSLTGELPSDVAWNMSRVEISNNNFSGEIPAGISSWSNLVVFKASNNLFSGQIPKEITNLTRLITLLLDGNDFSGELPSEVISWESLNTLDVSNNNLSGQIPAAIGSLPDLINLDLSENHFTGEIPYGIGNLKLTSLNLSSNQLSGKIPYQLENPAYENSFLNNVDLCSDDPKLNLPSCTSMVNEPKRFSSKHVAMIVPLAILVSLVIVVLVLFIVRDYYKRKKIGQYLETWKLTSFQRLNFSEMNILSNLVDDNLIGVGGSGLVYRVEIDRSGEFVAVKKIGNCEKLDRKLEQEFLSEVEILGNIRHCNIVKLLCCISSEDSKLLVYEYMENQSLDKWLHGNKRSFPRGVLDWPTRLKIAIGAAQGLCYMHHECPTPIIHRDVKSSNILLDKEFKAKIADFGLAKMLTRHASHTMSTVAGSFGYLAPEYAYTTKVNTKVDVYSFGVVLLELVTGREANSANDSMSLVQKAWQNFSEGDKSIIEILDPEVKESCCLGAMIMVYKVGIVCTRASPSTRPSMKEVLHVLQRWCPEDGWSTKRVGSEFDVAPLLGGAGAAAGATYFSSYKNSEEGSEDRV >KJB09685 pep chromosome:Graimondii2_0_v6:1:22635954:22636349:-1 gene:B456_001G157700 transcript:KJB09685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTFQMMFKLMETIKKEKNPEISSSHFKTGRKKSSKQIGGAARLSSQIEKLCNAADNMSQATSSLTPVMDPYGILQAVKVLDSMLGEVPKASPLYFFALKLLLNKDKRIMFLSINPKIRVLWLKTEMEDS >KJB09187 pep chromosome:Graimondii2_0_v6:1:16272644:16276039:1 gene:B456_001G128400 transcript:KJB09187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVACTWMLLSMCLLGHLIIYGEANEAEVLGDFLKSRLSKKPSPTVHSWAWLNEKTGNYPNYIQPQDGSMEADKIGALPGQPNVVDFNHYSGYVTVDSKAGRALFYYFAESPNNSSTNPLVLWLNGGPGCSSLIGAMTELGPFRVNNDGETLFRNNFAWNNVANVIFLESPAGVGFSYSNTSSDYQHTGDKSTARDAYTFLINWLERFPQYKTRDFYITGESYAGHYVPQLAYTIFLNNKKANQTLINLKGIAVGNGWIDDHTGYWGQFDYLWTHALNSDETNKGIHTYCHYFNDKDPKECGDFVIKAYNEPGDIDGYNIYAPLCQQDSSSIKNSYDSVNNFDPCSTYYMSSYLNRAEVQTALHAKVSTWDLCSWIDSPATILPIIKNLMTSGLQIWLYSGDVDSVVPITSTRYAIQKLKLPVKAAWRPWSTNDEVGGYVEEYEGLTLVTVRDAGHLVPSYQPARALTMISSFLQGALPPA >KJB09728 pep chromosome:Graimondii2_0_v6:1:23189833:23190736:1 gene:B456_001G160400 transcript:KJB09728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQLLRLNAFRGEPASSGFEWHFTPNHNSSADSSTSVGSDLHLVHPSFILVMDRSPRFGSISSDNCPMKTRFRYGSGGFP >KJB09211 pep chromosome:Graimondii2_0_v6:1:16371270:16375491:1 gene:B456_001G129400 transcript:KJB09211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATSIVRSPAALLPAKVTVSDHKPTPTVQFSRFPSKRSCFTALLSSLHDNNNDDDNNNNNVISHPKPLSSSQLFNSVSNGSRLRVAYQGVRGAYSEAAAEKAYPNCEAVPCDQFDSAFQAVEKWLVDRAVLPIENSLGGSIHRNYDLLLRHSLHIVGEVKLAVQHCLLANHGVQLEDLSRVLSHPQALAQCENTLTKIGLSREAVDDTALAAKHVALHKLKDTGAVASSSAAKIYGLNILAQDIQDDCDNVTRFLILAREPIIPGIQNPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRAADDNNGSKYFDYLFYVDFEASMAEERAQNALRHLKEFATFLRVLGSYPVDTTMM >KJB10748 pep chromosome:Graimondii2_0_v6:1:44770561:44771799:-1 gene:B456_001G220900 transcript:KJB10748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAIQQSAFAGQTALKQSNELVCKVGAVGGGRVSMRRTVKSAPTSIWYGPDRPKYLGPFSDQIPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWACQVVLMGFVEGYRVGGGPLGEGLDPIYPGGAFDPLGLADDPDAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHLADPVANNAWAYATNFVPGK >KJB10546 pep chromosome:Graimondii2_0_v6:1:40642350:40643497:-1 gene:B456_001G206900 transcript:KJB10546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSWFSLVPLLLSLAINNLSEASHGHKLRSAVVSGTVYCDICFQQDFSKAHHFISGASVAVECKDGDSRPSFRQVAKTNEQGEFKVRLPFSVTKHVKKVKGCSVKLVRSSEPYCAVASSSTSSSLHPKSTKQGTKVFSAGFLSFKPLKLPNLCNKKPSVVADSKEYNTPHTLDFEQPNYPIFPPPLEDPATPRPSPLLPNLPPLPQLPPLPPLPGLPFPPIPGRN >KJB11192 pep chromosome:Graimondii2_0_v6:1:48849478:48849772:-1 gene:B456_001G244700 transcript:KJB11192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKGHFFLYVGEVDEKKRFIVPLSLLKHPSFQILLSQAEEEFGFNHAKGALTIPYSEEAFIDLTCNLHSS >KJB11530 pep chromosome:Graimondii2_0_v6:1:54008166:54009287:1 gene:B456_001G263800 transcript:KJB11530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKLALWEWSGRFDMEKAVCNHDSSRSVPVTISHPLNHPFLLIQVHHSSISSADEAVILEQIGRTLRISNKDERDVMEFQGRHSSARENGLGRIFRSPSFFGDAVKSILLCNCRSLDMARALCLLQPKIALDGNARLKRKRSKCSDDIGNFPSWKELLAWSWVHDKYLIKQYNDHIPKLEQSSDPTSFEILYQKLLKIKGSGPFVCSNIMMCVGFYQRIPSGSEAIRHLKQVHGKQNCSKETIGKDDEEIHGKYNPFQCYK >KJB10621 pep chromosome:Graimondii2_0_v6:1:42189568:42189738:-1 gene:B456_001G2116001 transcript:KJB10621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWKTIEVQFQKKTSLVYDKAKLKNKWGWMRNRWSLWKALKRKETGLGWDHEKGTIT >KJB09540 pep chromosome:Graimondii2_0_v6:1:20389327:20391486:1 gene:B456_001G148500 transcript:KJB09540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQRGIDPVTHKPLSEVENGGEDSKSQPTNSLDMATSGASTELNLNTDNPKAGPPSVTAHHFQLEMEGSPCSNKINSSNNSSKDLFMDSQPSDLVGHFPIQQLNYASNARLSSTTSNPTLWFTQTSKPFDINSEFPSTSMSALLPPLTSSFLSAPMGFKPSDTPSIPSFTHINGSRYWETAASANNSNSSSSTELQSNNSFFENNSYSWGLTDCSTSEKEAPNPIHLMETQADEIKWPEYLNNPLLMAAALQNQTPQSFCNIEIKSETDFFTNTSSNTVWSLNQQQQQQQQQQAALQNSDMCAKDIQRLTAAYGHI >KJB09131 pep chromosome:Graimondii2_0_v6:1:15561079:15567959:-1 gene:B456_001G124800 transcript:KJB09131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMDQYEIMEQIGRGAFGAAILVHHKSEKKKYVLKKIRLARQTERCRRSAHQEMALIARVQHPYIVEFKEAWVEKGCFVCIVTGYCEGGDMAGLMKKSNGVYFPEEKLCKWFAQLLLAVEYLHSNYVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSAIGPLPSCYSPSLKTFIKGMLRKNPEHRPSAMELLKHPYLQPYVDQYRPSFSSPSMNCSADEHISSGHGNRRIMDESQNSNSSCSDKDSLLSNDRNTATMVTNSNSEVIDTDTISNDDKGGTKQHLPRKEENGPATFTCKVDGKGITQCSYSEQGSNVQSKETKTIKSIGKVIENGSPMRGNSTKTVDGLTQKNNVDASPKVLKPPSRTSKANADSPTVPSAKLPLDSAKRMPGSNHLKHQLPLTESSPKTKPRHEGLPPPAPGKHFAEDGQISKPRQRTLPTVARRSTITGRMKHAGTEVSNGQSNIPKLGSTETNQERDAIPPQLHKGCLTNDSREIKLEAEIALTGATEGVQTESSNSVSSSISIQAFEICDDATTTFSSMKEQAHNHEIITHVNSLEPYPPYSSPGLKSEMPEVLSRESHRHNHKSVRCLTEESAPTQDLPHSTSVDAKVSLSVPLDLTGMISEEISVPKDHTSVSRTKISRVDAPLIQTSSRDDAPICSPSSRNDVQISRPSSSDGAPISRLSSSDDASIIRLSIGGNVPLSGPTTGEECSIIRSSAKDETVANGPSRPDMMLHSHISSASSGDDKFTVMELLSSVAETMHCVALPISSSQKNSQPDEGSNVHNQTTEKPAETCHPPAFGDVIHVIRHSSFRVGSEQPVIEKVEMGAQNMDVGKLINVVRDDLDIGNMSSPVTLKSSSCSEALNSKSNGSDHSGVKEMDVRNSISSSLKSNSPEPAKPSSSVPEEEIPAKETLDVKSFRQRAEALEGLLELSAELLQQNRLEELAVVLKPFGKDKVSPRETAIWLAKSLKGMMIEDSGRCS >KJB08891 pep chromosome:Graimondii2_0_v6:1:12919333:12921694:1 gene:B456_001G111200 transcript:KJB08891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPINGKCPCRTQSWYIVLISFLIWFSLFYLYSSSITFGKMGDSFLGNNRTGFVGSSESSLHDVVKNETGNASYVNDVKIEDNKGKAIDETENDRKPIKFYIDRDIDIDRLIALLMADDNETETFETISGKILEEEFKNVRDDLPTIKGRREAKSSKRRGRIKPVEAVAAATTIPKKVEQVVPQPRTQNVVKPQEPKPQNVVKPEPDPSPCLGRYIFVHDIPRKFNQDLLDNCQSLSSWTDICESALNLGLGPPSSFLLEVIFHNRMKQYQCLTNDPMVASAIYVPYYAGLDVGRYLWGPIGYRRDHDAMELVKWLAGRPEWKNTWGRDHFLVAGRINWDFRRDLKNVSDWGNGLLTYPESKNMTMLVIESSPWNNIDFAIPYPTYFHPSRDDDVFQWQNRMRELKRRFLFSFGGARRPNRDECIRNEIIDQCLVSRKRCRFLECDQTQRCYKPENLMKLFQSSIFCLQPPGNSYTRRSIFDSILAGCVPVFFHPGSAYVQYLWHFPKDYTKYSVLIPASAVKSGEVNIEQLDEVIKLIPNVIYADPASRLQTIGDAFNLTVKGVLDRVETIRNQMKDGKEVNSEFPEQESWKYLTFGKLGGHEWDPFFSSKLGKLSDGN >KJB08503 pep chromosome:Graimondii2_0_v6:1:8999681:9003527:1 gene:B456_001G084500 transcript:KJB08503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGVVDATKGMIIHAIANNLDMDKFCSQSSSQTFRIADFGCSIGPNTIFSMQNIIQALEQKHHENNHGNSSTILEFQLFFNDHSTNDFNTLFKSIPPSWPYFVAGVPGSFYRRLFPKSSIHIGHSSNALQWLSKVPQEVVDCKSPAYNDGNIYCRGIEKEVTKAYIAQFEKDMESFLNGRGEELVNGGLMVILMGGVPNGIPLSHTAIGKFYDLFGSCLLDLTNKGLVNEEKVKSFNLPLYFPSAKEVKELIERNGRFSIESMNEITEQLKRGQPLPSAQNCISHVRAGFEGLIKDHFGTEIVDEFFACYANKHLQSGFVFGDNGVDNTLMFMILKRI >KJB10490 pep chromosome:Graimondii2_0_v6:1:39706089:39707261:-1 gene:B456_001G204100 transcript:KJB10490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSFVSNSINIRITLSLDINGIALVYTTATLAAATTNCLLVITFFLLCYSSKLHHQWQMTRDKFSVTGESSLVDQTRMLFLDVL >KJB11577 pep chromosome:Graimondii2_0_v6:1:54391954:54394435:1 gene:B456_001G266700 transcript:KJB11577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPSTGPSSKAKVESSQPSETSFKRKRGVFQKDLQHMMYGFGDDPSPLPETVTLVEDIVMDYVTDLAHKAQDIGSKRGKLSIEDFLFLIRKDMPKLNRCTELLSMREELKQARKAFEVDEEKLATLE >KJB07164 pep chromosome:Graimondii2_0_v6:1:246436:249363:-1 gene:B456_001G002800 transcript:KJB07164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSELTLDCKPHGHFAAPSKCYADQQQIVVVNHTLKLEDYLSRLEEERLKIDAFKRELPLCMQLLTNALESSRQQLQAYRANQGPKPVLEEFMPLKTSVSENMDKFQTISDHKANWMTTAQLWSQAGHYETKPQSSSITTSSPKDTDIGLNVVSPKLGSSLDSKQRIGGAFLPFSKDRNSCSTALPDLSLASSDTENGTSCQQRDDGTSNNRTDGQTTNGSNTNQPHRKARRCWSPHLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPIPQAAGAPTPQLVVLGGIWVPPEYATAAHSGDPALYGAHHHHHPAVPHAPPHFCASPVPQEFYTTAATPALPPPHHTFHHQLHNMYNARNSPEPDVREAGDRSESIEDGKSESGSWKGESCGDQRIGLAALREEGEESNRSEITLKF >KJB08068 pep chromosome:Graimondii2_0_v6:1:6109929:6116509:-1 gene:B456_001G061400 transcript:KJB08068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MEAVAAAKSKREVESKVMEKVGEVIREIERAKHVEQVICALHSLAVLLFPIDSSLLSGSIDEHYKDQVIIAKVHAANERDDWWRAFYQGAAFPTLARVLLLDVASNWLTCFPLSAKKHIYDVFFVNGLSTEVVQVLVPHLQLTSSDVFDVDVVQSNVERLLVLCLLDNDGVFKMALDLAVSPHSEDTINERLKSVVSRVAHIVTCIPDKARLRAPPLLSSHLFFKQITIQLLIGLVERQAITDKSEMDVNLSFLGEIFSRIVRRGSSDVLLSEVTPQVLRHVRSCLSSNTDVVDTDVFESNPESQFWLKIMEAITDSYTVERIAEQLLRQLATEHASDIEAFWVLWILFHQLLKSQSSVRSMFVDKFLLWKVFPVCCLQWILQFAVLECSPIKDSWTKGHEATNGLLDIVQRLAAVWSKRDFVQSAPLEQQAYITAALGLCLEKMSKEELDKTKDAMHSILQGVSCRLESPADLVRKMASTIALVFSKVVDPKNPLYLDDSCNGETIDWEFGLTTSEKGSLSVSNAEKQIDETGTSTSATLSKDLARAADGGKGSGVKSKSKKSSEFSLVDPDEIIDPATLNYESVSDENDDDDASENSDSCDSSLQPYDLTDDDTDLKRKISQLVDVLGALRKSDDADGVERALDVAESLVRASPDELTHLAGDLVRTLVQVRCSDVAVEGEEESAEEKRQRALIALVVTRPFESLDTLNKLLYSPNVDVCQRIMILDVMTLAAEELANAKTMKPKHQKGPLISTISEPQPWFLPSNTGPPGAGSWKEVSDTGTLLNWSIRNERELPLKPGQVKRGKTRRWNLRSGNIQESQTEWSQNKFPLYAAAFMLPAMQGFDKKRHGVDLLGQDFIVLGKLIYMLGVCMKCASMHPEASALAPLLLDMLRAREVCHHKEAYVRRAILFAASCVLIAVHPSSIASSLVEGNIKISEGLEWIRTWALHVADSDPDRECYTMAVSCLQLHSEMALLASRALESTETTFKAKTISLSSNLSKGTIKVPNSNIQYY >KJB08547 pep chromosome:Graimondii2_0_v6:1:9566735:9567625:-1 gene:B456_001G088400 transcript:KJB08547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALRPVLVPYTSQTLLTSHGKPTLMMKHRNEMSEKKLVYKNRRVGILVITTMAASFSSSLNNIACGLDLRMVAPDQTIEEAKNGIQNHAKGLLQVKDLIELKAWREAQKELRKSSSLLKQDIYTIIQAKPGNERQNLRKLYFNLFNSVSKLDYAARDEDESKVLQCYDKIVLALNEILSEL >KJB09133 pep chromosome:Graimondii2_0_v6:1:15609018:15611103:1 gene:B456_001G125100 transcript:KJB09133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGETRRVVVVGGGIAGSLLAKSLQFNAAVTVIDPKEYLEITWANLRNMVEPSFAERSVINHTDYLTNGRIVTSAATNITDTHVWTADGHRIAYDYLVIATGHRDVVPNTKTDRLNQYKAENQKIQSANSILIVGGGPTGVELAGEIATDFPDKKVTLVHKGPRLLEFIGTKASNKTLRWLKSRKVEVKLEQAVDLNSSTLDGRHVYKTSSGESIKADCHFLCAGKPLASAWLNDTILKTHLDGNGRLMVDEHLRVNGRANIFAIGDITDIPELKQGFLAEKHAMVVAKNLKLLMSGGVQESKLSKYEPGSAIALVSLGRKDAIAQFPFATISGCLPGLLKSRDLFVGKTRKNMGLQA >KJB08381 pep chromosome:Graimondii2_0_v6:1:8299906:8300458:-1 gene:B456_001G079600 transcript:KJB08381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPRNCTLLMSIVLNMYMFQVRENDIWNDLDRHNLMQNVGSDHTTYVYMKRRAIETIVKLLETRTNLHGLQEFKCPDALAEHYKPLTCRFRELVSKHSLIIMPICAEGVQYCS >KJB10213 pep chromosome:Graimondii2_0_v6:1:31091994:31092929:1 gene:B456_001G189700 transcript:KJB10213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYFPRRHQSVRSSDHYSEKTTEEPQPYVNNKNAQTTVTLMYQTNLVGYLQSITILWCKNLMNHSLTIMVNSMEGTSQYSCKIDLKPWHFWSRKGYKSFHVDGKQVDVYWDLRSAKFNSSPEPTADYYVALVADQEVALLLGDYKKKAYKRTKSRPALVEPLLYYKKENVFGKKSFATKVRFGKKRKEHDIIVESATSGLKDPEMWISMDGVVLIHVKNLQWKFRGNQTVLVDKQPVQVMWDVHDWLFTSPGTGHGLFIFTPLEAEADSDIEGSGHGGDSDTSTGSRYYSMRSPANTFDFSLFLYAWKTE >KJB11416 pep chromosome:Graimondii2_0_v6:1:53145400:53146895:-1 gene:B456_001G257500 transcript:KJB11416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMKGELWSNLGSTMAAIMFVYAMFRQYFPPQLQACIFQYSKKLSNFMYPYIHITFDEFTGEKIKKSEAFSVIQNYVSDKSSANAKRLKADVVKDSQSLVLSMDYNEEITDEFNGVKLWWSANRTTTKTQQFSFYPAADEKRFYTLKFHNRHREVITGTYLSHVLKQGKAIAANNRQRKLYSNGAGQGNRSSTTWTHVAFEHPATFDTLAMDEKKKREIKKDLVTFSNGKEYYAKIGKAWKRGYLLYGPPGTGKSTMVAAMANFLNYDVYDLELTTVKNNVELRRLLIETSNKSIIVIEDIDCPLDLTGQREEKKKKKKDDKNEGGDPIGAMSKNEERKESEVTLSGLLNFIDGIWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHVEMSYCRFEAFKVLAKNYLDIDSHPLFEEIGNLLEETDMTPADVAENLMLTSDDDEVGETCLKNLIEALKAAKGEARKKAEEYHARLEAEKEEKEKTIC >KJB10144 pep chromosome:Graimondii2_0_v6:1:29805581:29808715:1 gene:B456_001G186500 transcript:KJB10144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAASQHFLFFFFTTQFTTVVKSALQAIRERGLRTFLRELKDDGFTKCFFDGNLLVILLPIEAACLIASSTHS >KJB07582 pep chromosome:Graimondii2_0_v6:1:2896919:2898014:1 gene:B456_001G031100 transcript:KJB07582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVKNLDIKRYMGKWYEIASFPSFFQPKKGENTSAFYTLNEDGTVHVLNVTFVNGKKDSIEGTAYKADPKSDEAKLKVKFYVPPFLPIIPVTGDYWVLYIDEDYQYVLVGGPTKKYLWILCRQKHMDEEIYNMLEQKAKDLGYDVSKLHKTPQSDSRPEGEDVPQDKGIWWIKSLFGK >KJB07408 pep chromosome:Graimondii2_0_v6:1:1979552:1983024:1 gene:B456_001G020700 transcript:KJB07408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGRNDSPGSGNPGPSGESDPSPSTEPRRFPLAAQPEIMRAAEKDDQYASFVYDACRDAFRHLFGTRVAVAYQNETKLLGQMLYYVLTTGSGQQTLGEEYCDITQVAGPHGLSPTPARRALFIVYQTAVPYIAERISSRIASRGITLADLQSDEFYSNSGSERSQVESSTGVEISLPSESTASVSALSRLKEKLRGMCLRAVQRWPAVLPIAREFLQWVLRANLMFFYFEGFYYHISKRAAGIRYVFIGKPSNQRPRYQILGIFLLIQLCIIAAEGLRRSNLSSITSSIHQTSLGSHQNPTGQGLPLLNEEGNLIPTEADRGSWVGESTSESTGVVSKCTLCLSNRQHPTATPCGHVFCWNCIMEWCNEKPECPLCRTPITHSSLVCLYHSDF >KJB08073 pep chromosome:Graimondii2_0_v6:1:6205117:6208616:-1 gene:B456_001G062100 transcript:KJB08073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50280, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50280) UniProtKB/Swiss-Prot;Acc:Q9FGR7] MAMSQFSSCSSFPFIYHTHFLNNHCSKSPFLLQTSKTFPSLTLFASLPPPSSPIFLPFLQEPEEQELPIENPKHPKLDEEEEEEEEEEEDNHIKDPIIRFFKSRPSAPDPPSQGKFSLQKNRRSSWHLAPDIRSLPDPEFDSEPEPDNAEEEDLFSEAKQQRGYTYEDSTELPDGIVGEIIRAARNLPENSTLGEFMGGYQGKLSEKECLEVLSLMGKQGLALGCLYFFEWMRLQEPSLVTPRACSIIFPVLGRWGMGDKLMVLFRNLPQSKGFRDVHVYNAAISGLLCSKRYNDAWEVYEAMEANNVKPDHVTCSTMITIMRKTGGTAKDSWQFLDRMNRKGVKWSVEVLGSIIKSFCDEGLKNEALIIQSEMEKKGVHSNTVVYNTLMDAYGKSNQIEEVEGLFAEMKTKGLMPTSATFNILMDAYSRRMQPEIVEKLLVEMQDMGLNPDVKSYTCLISAYGRQKKMSDRAADAFLRMKKVGLKPTSHSYTSLIHAYSISGWHEKAYTAFEDMQREGLKPSIETYTALLDAFRRAGDTQRLMKVWKLMMSEKIKGTCVTFNILLDGFAKQGQYIEARDVISEFGKIGLQPTVMTYNMLMNAYARGGQHLKLPQLLKEMMVLDLKPDSVTYSTMIYAFVRVRDFKRAFYYHKQMVKNGQVPDVKSYKKLRSILDVKAAKQNKRDKSAILGIINSKMGMVKAKRKTKKDEFWKYKKRNHRTPDVAHDGQK >KJB08896 pep chromosome:Graimondii2_0_v6:1:12984855:12988243:1 gene:B456_001G111600 transcript:KJB08896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKFTEFSDYINGFSVEDLDDTLRLNSVQNSNGTIGFEFNDPSPDLNFLNMNAAVAPSEPDPVTFVQPLTVSTDGSSISTSSGWSPEGESLSPSDDNDSTDPVLKYITQMLMEENMEEPHMFNNYLALKDTEKSLYEVLVEPYPQTSEPQPLLNQNMEAPESNLSGSTNGYWSASIGTNYGTGNYNDHQVVGEVWESPSSLSQPPLTGDYDFQPALQQPNSQVSVNSTNSLSNPGNRTMESSISELLAQNIFNDKESVLQFQRGFEEASKFLPSSNQLMIGLESNVFPTGQKGKVPNDVVKVGEDEREKLHEGLRGRKNHGRDLEDLEEERSNKQSATYTDESELSEMFDKVLLYPVGQFICCNDNESVQHTENKALRQKEQSNGSGAGKPRSKKQGKKETVDLRTLLILCAQAVSSNDHRTAGELLKQIKENSSPLGDGTQRLAHYFANGLEARMDGSGTVMQNFYMSLASKKTTAADMLKSYKGFLSACPFKKLPIFFANKMIYYMTEKASALHIVDFGILYGFQWPVLIQHLSNRPGGPPKLRITGIELPQSGFRPTERIEATGRRLANYCKRFNVPFEYKAIAAQNWENIRIEDIKINSNEVLAVNSLFRFENLLDETAEVDCPRNAVLKLIRRMNPDIFVHSIINGSYNSPFFVTRFREALFHLSSVFDMFDNTSPRDEPGRLMFESELYGQEAVNVVACEGPARVQRPETYKQWQIRMTRAGFKPLPLNQELMTKLRHKLKAWYHKDFVIDENNHWLLQGWKGRILYASSCWVPAEES >KJB10103 pep chromosome:Graimondii2_0_v6:1:29066215:29067531:-1 gene:B456_001G183900 transcript:KJB10103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEVVKSRFKRVCVFCGSRTGKRKCYTDVAVELGQEWVHPKLLPDISLNPVSFLYVSLKGFFSPLCCFWKGGKKFGPCLWWSIRLMGIVSKTVHRAGGNVIGIIPSTLMRKETHITGETVGEVRPVGNMNQRREAMANHSDCFIALPSGYGTLEELLEVITWVQLGIHDKPISNNNMVIVELLRGYLPF >KJB06281 pep chromosome:Graimondii2_0_v6:1:49043734:49045004:-1 gene:B456_001G245900 transcript:KJB06281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPRIVNAKPSLKRSLSSSETTVIPKGHFAVYVGEAENKRFVVPLSFLKHPSFQNLLSQAEKEFGFNHPMGALTIPCSEEAFIDLTCNLQNS >KJB08749 pep chromosome:Graimondii2_0_v6:1:11323721:11324882:1 gene:B456_001G101200 transcript:KJB08749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSPLAGRLKSSFTSSLTGKLVVPKGISGAPFRFSPGKTRTPCFTVKAVQSDEPTFQVIQPINGDPFIGSLETPITSSPLIAWYLSNLPAYRTAVNPLLRGIEVGLAHGFLLVGPFVKAGPLRNTAVAGQAGSLAAAGLVIILSICLTMYGVASFNEGEPSTAPSLTLTGRKKEPDQLQTAEGWAKFSGGFFFGGISGVIWAYFLLYVLDLPYYFK >KJB11260 pep chromosome:Graimondii2_0_v6:1:51728402:51730522:-1 gene:B456_001G250300 transcript:KJB11260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILYASQVTTSICLSMDEAVSSSCSSAVQLGGRAIDRHNPIIRDAKRFNTNPSPYNQLRKNGNGSSGKSKSKDHKKKSWTKKSSLKLPTDTEDSNGSKGIDFVTPPGSSRYLLGNSGFLDEISDYDPAWVLVPFQENQIKVVKRDQSVVSKPSFSDKPSKDQVVVLRVSLHCKGCEGKVRKHISRMEGVRSYSIDFAAKKVTIVGNVTPLGVLASVSKVKSAQFWTPATPALASTSLSANK >KJB11280 pep chromosome:Graimondii2_0_v6:1:52248102:52249178:1 gene:B456_001G251100 transcript:KJB11280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSDWSTSKMGKWEFNRLDLVELEGEQYSCCKSPWPPKFYTCAFCKREFRSAQALGGHMNVHRKDRARLRLFSSWVLESQNPNKPNSNPNHPNPINSSSPSDDFSVYPHHSLLSPLFPTPSSSVLTYGENPKMSLNPQLGDLSQENTMTPFPAGVENLKVHAPNYKLEVGGVTGLDLVTGFGDTKEVLDLDLRLGHL >KJB09510 pep chromosome:Graimondii2_0_v6:1:19942459:19943597:-1 gene:B456_001G146900 transcript:KJB09510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKKIVLGKDFWKKANDLIKVYEPLVKVLRIVDSDEKPTMSFIYEAVDRAKRAIQQDCRYFTEWNFMHSDLHSAGYFLNPQFQFRVEHSENVLIETLEGTRSIIERLEPSLDIQVRMVNQLLLFRDKHETFGTLQAQKAWKQMNLDEWWIIYGKCVLELQKLAIKVLSQTTSASNYERNWSTFSYIHTKARNRLKYKKLEKLVFTYYNMRLQIMHKKRMSTDNINASFNPISLNHIFEDVDPLSEWLHEKENPLLDSENADVLPVDTSDDEID >KJB10732 pep chromosome:Graimondii2_0_v6:1:44475182:44476102:1 gene:B456_001G219600 transcript:KJB10732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRMLVVLRRNLQNIKKTHRVADENMVNNNNNGGEMPIFINRRRSHGSWNGFSVICSVVRAPLSLVSCLSQPHVNGTDGVWVSSHEFAQISEMNHLMVSDSMRYAILM >KJB06846 pep chromosome:Graimondii2_0_v6:1:1427446:1429657:1 gene:B456_001G015200 transcript:KJB06846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPCCAKEGLNKGAWTANEDQILKNYITIHGEGKWRDLPQKAGLKRCGKSCRLRWLNYLRPDIKRGNISIEEEELIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTNLSKRMEGQKRNISPNTPQVSSHNVIWTKAVKCTKAVNVDTLDPKSETLVNSPSETPSSSAINEDNANNNSMDFLVDFDIDELLAFEYNPIPELYRTQNRGDKMGNNVADNGEYSHGTEFCQACEHLDLRGLESYLNLEDEWIN >KJB07186 pep chromosome:Graimondii2_0_v6:1:12925067:12927092:-1 gene:B456_001G111400 transcript:KJB07186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KAFLYKLPKESTSVKSNALQRQHENGHFPPFKVAKLLDPEASWDKDQLGDVLHWIRQVVALFCGLLWGAIPVVGGIWIFIFLAISTGIIYGYYAMILKIDEEEFGGHAALLQEGLFASITLFLLAWILVLLICAVSSIWPPCVSKSC >KJB08692 pep chromosome:Graimondii2_0_v6:1:11007118:11010255:-1 gene:B456_001G098000 transcript:KJB08692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDDNKDKQEAEDNKATRPEESDDDNEDDNAIPLGPQCSLKEQLEKDKDDESLRKWKEQLLGSVDINNIGETLDPEVKFLSVSIVSADRQDMVLPIPEDGKPHGLWFTLKEGSQYRLKFTFQVSNNIVSGLRYTNTVWKTGVKVYSTKDMIGTFSPQLEPYTHEMPEETAPSGFMARGSYTAKSKFLDDDQKCHLEINYTFDIRKDWA >KJB10758 pep chromosome:Graimondii2_0_v6:1:45164780:45166558:1 gene:B456_001G222400 transcript:KJB10758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPATTALFLLLLLLFSTSNAHNITRILAKHPEFSTFNHYLTLTHLASEINRRETITVLALNNAAMSSLLSKQLSLYTLKNVLSLHVLVDYFGSKKLHQITNGTALTSTMFQASGAAPGSSGYINITDLKGGKVGFGAENNDGNLDAVYVKSVAEIPYNISVLQISQVLNSAEAEAPTAEPSKLNLTEIMSKQGCKSFADLLISSGADATFNENIDGGLTVFCPTDPVIKDFMPKYKNLTASKKASLLLYHGVPVYQSMQMLKSNNGIMNTLATDGANKYDFTIQNDGEVVTLETKVMTAKITGTLKDEEPLIVYKINKVLLPRELYKPVEAPAESPKPSKSKSKSKHKVADAPESDAPAESDPADDQTADNDSGVAGLDGRRLVMVMLSLCIGVLLM >KJB06224 pep chromosome:Graimondii2_0_v6:1:6285175:6285709:1 gene:B456_001G062800 transcript:KJB06224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHCRLSIEGNDRWWGCWLRAELEPITIAKISHSFPHINFLKTTSEFDHSNKAILKQWSRGLESFSLGLELETTQLQIRHSN >KJB11777 pep chromosome:Graimondii2_0_v6:1:41664279:41665261:-1 gene:B456_001G2099003 transcript:KJB11777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNSFPLWGFKKVYKCLMDIFPQKCNKLAVQVYFLTPTAHVNSRILKAVAIENSKDVDTATEIVLSEILPYLSKRIVAGRSSSWNRSPPVQANEVYKSPSRHKRSHKDHSLERENRSSHTKSPKHASSRSPSPRTKRLRRPQAEKEVEKVER >KJB09393 pep chromosome:Graimondii2_0_v6:1:18374665:18377897:-1 gene:B456_001G138800 transcript:KJB09393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKPDPSVKVSSGGNTNTNTNTNTNNGTTTNKLRKGLWSPEEDDKLINYMLTNGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIVHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNLSSTPSPKVSNSSTSEPNNDAMQGLMSMQEQGILPMYMDLPSASSNSSLQSMVLNHTGNSLLMLEHDLNVFGASGYFDPASCVTQVGVNGESFYGENEMLGSVENGAERELYVPPLESIGENLKTENTTVDVWNINNNHFNIINSKNNNSKSDNIGNAAVGNFWIGEELKVGEWDLENLMKDVSSFPFLDFQS >KJB08033 pep chromosome:Graimondii2_0_v6:1:5977888:5980880:1 gene:B456_001G060400 transcript:KJB08033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSGRIIKNPFMSLTPSPRSLTQFFSVSPPLTSLFSSKKTSPSLSIFILSFLFSFTFLGISIFRFFPSSQDSIHCSIISPTTSPISSHPLPSSYSQIILTSLLSGINSDNKIQPRVGGTAMVPLPVHLVSGNLSEEETEFWKQPDSNGYRPCLDSTVGYRKRSAKISKEKNRFLVVVAAGGLNQQKNQIIDAVVIARILEAALVLPVLQVNMIWEDESEFPDIFDVEHFKKTLRADVRVVSSLPSSHLVAKQTIVTQIPYGVSPFWIRAKFFKQLNQEGVLVLKGLDSKLSKNLPSDLQKLRCKVAFHALRFSKPILQVGNQLARRMWIEGPYISLHLRLEKDVWVRTGCRTGLGPEYDQIITQIQKTQPQYLTGRVNMSYSQRRLSGLCPLNAFEMARLLKALGAPQSGRFYIAGGEPFGGSKALEPLAAVFPNLVRKEMLARDGELSPFLNSSSALSAIDYIVSFNSDIFIPSHGGNMGRALQGHRAYMGHRKSIRPNKRTMLPFFEDSSISDEEFSSIIRSLHNKSMKPEPRKKQRDNDVIAYPVAECMCRHGSGSF >KJB06762 pep chromosome:Graimondii2_0_v6:1:1052654:1054693:1 gene:B456_001G011100 transcript:KJB06762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVIDLAELHGDRRSKTMTSLHEACEKWGFFQVDNHGVDKKLMEKVKEMVNGYYDENLKEKFYESEMTKSLNKNEKISDMDWESTFFIWHRPNSNINQIPNITKQLCETMEEYIAQLIKLAETLSELICENLGLDQNHIKQTFCGTKGPSIGTKVAIYPQCPNPDLVRGLREHTDAGGIILLLQDDQVPGLEFLKDGQWFRIPPSKNNTIFVNTGDQIEVISNGKYKSVLHRVMAEKQGNRLSIATFYNPGSDAVISPAPELLYPGNYQFGDYLKLYSTTKFLEKGPRFESMKTTMVNGHHG >KJB09392 pep chromosome:Graimondii2_0_v6:1:18367131:18372463:-1 gene:B456_001G138700 transcript:KJB09392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HPRYFQQSRFKQFSWLEYSPSKDAKFCLPDFLSNSNLSSRFGSTTFTHSGFSNWKKVHDRCNYLMNQAQQIEVSLDRQTTQQIVANRLRLKTSIDVVKWLTFQGCAFKASYDEKVKDVLKSAPQNSTYTSSTIQKEILQIYASRVRNVIREEIGQGYDGASNMRGEFNALQALILNVCRYVYYVHYFAHCLQLRLVAAGREVVEIDTLQRPGETRWSSHLNSVTSLLTMYNATSMVLENLKCNRDAHNAYNRLRSFEFIFILHMMKEVLGVSDNLCQALQHDELLKNVIYFCEIWQLNFPDINSQYIIGRSRDKKEDVIVEHHYQKEHLQYKLKHYELDVCKHLDLKKISTLSELCISLVENGKSVMYPLVDRLIRIILTFPISTASSECAFTAMKIVLTRLRSKMEDDFLRSSLVVHIEK >KJB07313 pep chromosome:Graimondii2_0_v6:1:1459720:1462084:-1 gene:B456_001G015500 transcript:KJB07313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFFERNGDGNSIRRSVFVHDMQCIQDSPELKNDDQRESVLAEDREEEDDLEYCSSSSIGGNSDVSGESSSDGEDSRETEVQSKLKGPLDTMDALQEVLPTRKGISKFYNGKSKSFTSLVDAVTASSVKEFAKPDNPYNRKRKNLLTRGSKRLGNSYGSAMAFGTTMSSSDLNLMSSSPSSCLPPLHPQYKKSTMISSSSIAAQPNLNPPCRSFSLSDLQFVVAAAAATPNMAGLAVRSCNKDKKMH >KJB09887 pep chromosome:Graimondii2_0_v6:1:24959043:24963513:1 gene:B456_001G172800 transcript:KJB09887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRGLSSVGVQRSRSSGSRLRIVILFFFSIVTLLVFFVGQGLYISDEDSVQSGFGKQNVDWRERLASQSIKNLFTKEVIDVVSTSTADLGPLSLDSFRKSNLSTSWNFIGVESSVADKATSQSNQKATDLKQEMSQVKEGKLPNDDHSHDMLTNTLRRQLRDNRREKHVAGLVQKDDEATVKLENAAIERSKAVDSAVLGKYNMWRKEIDNENSDSTVRLMSDQIIVAKVYIIIASMKNKLDLRKELQIRLKESQHALGESTTDADLPHSASDKIKAMEKVLAKAREQLYDCKLMLGKLRAMLQASVEQVMSLKKDSMFLSQLAAKTVPNAIHCLSMRLTIEYYLLPPEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATINVENVDEFKWLNSSYCPVLRQLETAAMKEYYFKADQPTNSGSSNLKYRNPKYLSMLNHLRFYLPLVYPKLDKILFLDDDIVVQKDLTGLWSVDLHGKVNGAVETCGESFHRFDKYLNFSNPHISQNFDPNACGWAYGMNMFDLKVWRKKDITGIYHKWQNMNEDRALWKLGTLPPGLITFYGLTHPLQKSWHVLGLGYNPNVDKKEIESAAVIHYNGNMKPWLELAMTKYRSYWTKYIKYDHPYLHSCKFSE >KJB07612 pep chromosome:Graimondii2_0_v6:1:3028225:3030893:-1 gene:B456_001G032900 transcript:KJB07612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKYRAVDERPPKDFPPPSSTFNPLPPQGFLPHPVNLELGKAQLREAIVASDIARRRALEAQVRRELETGLPLDQRLTLHLHSHSTLPFMHHFNNYNRCRSESPFSLFPPSLPLSPILLPSPLTQVLDNEVKNTTENKKLIILEKPDPNRVVGVKRKTPPLEGTGELPLPSISSKGKQHDEWSCAICRVSTTSEKGFTEHLQGKKHKANEARLRANILEKSSNTSTKTITVQKKLGLSNQNEKDELLSKGEKAEERFTEKKYADSFEKEQTDEVEMTPELSKKKKFKFWCDICLVGVHSEVVMETHKKGKKHIAGLLKLDKI >KJB11747 pep chromosome:Graimondii2_0_v6:1:55291929:55294459:1 gene:B456_001G275500 transcript:KJB11747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSLARHFKFATNDFCSKNLRFYSAQPDGSATTRLQGKVALITGGASGLGKATAIEFVKNGAHVIIADIDPQVGQEAANALGPSARFVQCDVTMESQVAEAVQVAMEQHGKLDIMFNNAGIAGQAFPPSIAELDLEEFDRVMRINVRGMVAGIKHAARVMVPVGSGSILCTSSISGLMGGLGPHPYTIAKFTIPGIVKSVASELCQTGVRINCISPAPIPTPMVIRQIAEIYQGIPKEKVVEIINGVGELKGAKCEEIDVAKAALYLASDEAKYVTGHNLVVDGGFTSFKNLTFPSLSS >KJB10136 pep chromosome:Graimondii2_0_v6:1:29637234:29639308:1 gene:B456_001G185700 transcript:KJB10136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSKNKMMMMMSSSSRHNEKDQLFHILHKVPQGDTPYVKAKHAQLVEKDPEAAIVLFWKAINAGDRVESALKDMAVVMKQLNRTEEAIEAIKSFRGRCPKQAQESLDNVLIDLYKKCGKVDEQIELLKRKLRLIYRGEIFNGKPTKTARSHGKKFQVSVQQETSRLLGNLGWAYMQKSNYLTAEVVYRKAQMIDPDANKACSLGICLIKQGRYYDARLVLEEISQRKIPGSEEIRARNRAAELLMEINTFEPPPSDVSDILGLDDDFLNGLQLLMNEWGPARSKRLPIFEEISSFRDQLAC >KJB10137 pep chromosome:Graimondii2_0_v6:1:29637288:29639255:1 gene:B456_001G185700 transcript:KJB10137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSKNKMMMMMSSSSRHNEKDQLFHILHKVPQGDTPYVKAKHAQLVEKDPEAAIVLFWKAINAGDRVESALKDMAVVMKQLNRTEEAIEAIKSFRGRCPKQAQESLDNVLIDLYKGNLGWAYMQKSNYLTAEVVYRKAQMIDPDANKACSLGICLIKQGRYYDARLVLEEISQRKIPGSEEIRARNRAAELLMEINTFEPPPSDVSDILGLDDDFLNGLQLLMNEWGPARSKRLPIFEEISSFRDQLAC >KJB10408 pep chromosome:Graimondii2_0_v6:1:35842386:35844589:-1 gene:B456_001G199600 transcript:KJB10408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLKEILTRRPVAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPDTPMSVTITAFKDNTFEFTVKSPSVTWYLKKAAGIESGSSRPGHVVATTLSVRHIYEIAKIKQSDPYCQYMSLESICKSIIGTANTMGIKVVKDLD >KJB10409 pep chromosome:Graimondii2_0_v6:1:35843950:35844417:-1 gene:B456_001G199600 transcript:KJB10409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLKEILTRRPVAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPDTPMSVTITAFKDNTFEFTVKSPSVTWYLKKAAGIESGSSRPGHVVATTLSVRHIYEIAKIKQSDPYCQYMSLESICKSIIGTANTMGIKVVKDLD >KJB08787 pep chromosome:Graimondii2_0_v6:1:11576714:11579096:1 gene:B456_001G103700 transcript:KJB08787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLMHALQYNSYGGGAAALKHVEVPIPTPNKGEILLKLEATSLNPIDWKIQKGILRPLLPRKFPHIPASDVAGEVVKVGPGVTNYKVGDKVVSLLDHLTGGGLAEYAVAKENMTVARPPEISVTEAAGLPMAGLTAHQAVTHGAGVKLDGSGQQLNLLITAASGGVGHYAVQLAKLGNTFVTATCGARNLDFVKSLGADEVLDYKTPDGAALKSPSGRKYNVVIHCASGIPWSTFEPNLSSNGKVIDITPGLSAFLTCAVKKLTFSKKKLEPLQLSPKKENLDYLVNLVKGGKLKTVIDSKYPLSKAEEAWAKSIDGHATGKIIVEP >KJB08254 pep chromosome:Graimondii2_0_v6:1:7558718:7559891:-1 gene:B456_001G073900 transcript:KJB08254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLTKKKLAGKVAIVTGGASGIGEATARLFAEHGARMIIIADIQDQLGQQVATSIGSQNCTYIRCDVTDENQVKALVESSIQKYGQLDIMFSNAGIISKSDQSILDLDFQQFDRLMAVNLRGMSACVKHAARAMVENKVRGSIVCTASVAASRGTKRRTDYCMSKHGVLGLVRLASEQLGMYGIRVNCVSPNGMATPMTCEVHEKSVEEVEKIYEAGRALQGAVLRAEHVADAVLFLASDESELITGHDLAVDGGFQA >KJB10623 pep chromosome:Graimondii2_0_v6:1:48959152:48959467:-1 gene:B456_001G245300 transcript:KJB10623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPRIVNAKPSLKRTLSSSETIIIPKSHFPVYVGEVENKRFVVPISFLKHPSFQNLLSHAEKEFGFNHPMGALTIPCSEEAFIDLTCNLQNS >KJB08821 pep chromosome:Graimondii2_0_v6:1:11934987:11937525:1 gene:B456_001G105900 transcript:KJB08821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASREVSTMIKQGFISDPTLSLSSSPSRTTSTTTTSKLYSPSSSPPPPPQTESTRPIPNQTLFEMMSEEHLRDSKAIEESRKKTQTRIAKFLDELKTRSSNDWGLRSGDVRLTVVSRDGHRVSMDVHKRVLSEKSRFFAEKLSQRKEKGVSHTVEISECDDVEVYVETVVLMYSDDLKKRLIGENVNKVLALLQVSAAIMFDAGISSCLEYLEAVPWSEDEEEKVLSLLCQLELHGSMTEVLQRVLSEPSTSVRTDDIFLKLLSGVLQAKDDKARREMKTLISRLLREDSFDYNSRLDVSKDTLYHLCHRCLCSLVLCLSEAMCMDSSRQERGVLMAEIAREANNLQWLVDILIDKRMANDFVKLWADQKELAELHSRIPTMYRHEISRITAQLCIAIGRGNILVPKDSRFSLLSTWLDALYEDFRWMRRASRSVDKKLIEEGLSQTILTLSLRQQQVILLNWFHRFLNKGDDYPNIQRAFEVWWRRAFIRQYADVPDSSNMQITICNYPT >KJB06221 pep chromosome:Graimondii2_0_v6:1:30148259:30148519:-1 gene:B456_001G188200 transcript:KJB06221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIILTEGARDMPLKDQVYSPQGLLGLWGLPLFGLPLPFFKPPPCLGHGGPCCGGLFPFLGKPKAGTPLKNFTKADVNGDAIDPSP >KJB11529 pep chromosome:Graimondii2_0_v6:1:53987518:53990523:1 gene:B456_001G263700 transcript:KJB11529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNISQFRAADQADKSSLFQFSFHIHLLPLDAFRFNNLQVKNTQKTKISRSEEVNMNLFQFSSSKQSYWNWVFFFIFSLLISTSFSDPRISNPGLFCGKFNPLNGTNFVPTFVKEMQVGKVTKVALRNGGFGTAAVDGVFALAQCWKSVPFEGCQECLHKAEMEVRGCSPKAEGRGLYTGCYLRYSTKKFFNEMGETEHNHGVSGIAVIIAIISATSAFIMLSVFVAYATYARLSKRKKELENLGQISINFEKSGLKFKYETLEKATDYFSLSRKLGQGGTGSVFMGILPNGKIVAVKRLIYNTRQWVDEFFNEVNLISKIQHKNLVKLLGCSIEGPESLLVYEYLPNKSLDQFIFDEEKAKRLKWKHRFDIIVGTAEGLAYLHGVESQIRIIHRDIKSSNVLLDENLNPKIADFGLVRCLAPEKSHLSTGVAGTLGYMAPEYLIRGQLTEKADVYSFGVLVLEIVCGKRNATFSKDSSSLLQTVWTLYRSNRLAEAVDPCIRDEILAKGGPDYVLQIGLLCTQASVSLRPSMVEVVQMLTDTDCEIPIPNQPPFINGNVQEPERSTKSYSLDSFISNAVKKIQGSGTFSESSRTHSSDEPSKTQ >KJB09963 pep chromosome:Graimondii2_0_v6:1:26761655:26763291:-1 gene:B456_001G178200 transcript:KJB09963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRLQAKDFDLGRRSTKDLNVFLLLMWKTTSLALEKEICKVLLCHCLNVDDIPGQGCDGATTSKKVIPISQFFSYLTGIINLVASSSKDIEASHITKLIDSGELEIGKGKNQVGTLQRPGDTQWRSHLASLNSLIRMFNSVCVVLQDIIKFDNLTQMSEADGIYDAMAFVEFVFILHFMIEMFGITDDLYQALHYKSQDILNMRQLVSSTKTLLQKFIEHGWNPLFEKMKLFCKDHEIEVLNLSVSYKASRAEMNSLFNDEVVEFLVLIFTLDPRDDYKSFRVEDICKLMNDFYSNSFME >KJB09457 pep chromosome:Graimondii2_0_v6:1:19537336:19541597:-1 gene:B456_001G143600 transcript:KJB09457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYILLLFQYHYKYPHWSVSQMKTGIATTTMASLPQTIILFLLLLGAFAQARDPFACDSKDAKTSSLPFCKVSMPIPNRVTDLLGRLTMQEKVRLLVNNAAAVPRLGIKGYEWWSEALHGVSNVGPGTKFGGAFPGATSFPQVITTAASFNATLWEAIGRVVSDEARAMYNGGAAGLTYWSPNVNIFRDPRWGRGQETPGEDPVLAGTYAASYVKGLQGNNGDRLKVAACCKHFTAYDLDNWNGVDRFHFNAKVSKQDIEDTFDVPFKMCVQDGNVASVMCSYNQVNGVPTCADPNLLKRTIRGQWNLNGYIVSDCDSVGVFYNTQHYTSTPEEAAADAIKAGLDLDCGPFLAQYTENAVTRGLLKEADVNSALANTLTVQMRLGMFDGEPSTQPFGNLGPKDVCTPAHQELALEAARQGIVLLNNRGPSLPLSHLRHRTVAVIGPNSNATVTMIGNYAGVACAYTSPLQGIGNYAKTIHQMGCADVACNDDKLFSGAINAARQADATVLVMGLDQSIEAEFRDRTGLLLPGRQQELVSKVAMASKGQTILVLMSGGPIDVSFAKNDPRIGAILWAGYPGQAGGAAIADVLYGTTNPEGKLPMTWYPQEYVSNLPMTDMAMRSSANRNYPGRTYKFYKGPVVYPFGHGLSYTNFVHTIASAPKVVTVPLDGHRHSGNATISGKAIKVNHVRCNKLSVGLQVDVKNTGSKDGTHTMLVFSKPPAGHWAPQKQLVAFAKVLVPARSQRRVGINIHVCKFLSVVDRSGVRRIPTGVHNLHIGGIKHPLSLQPATLGVIKS >KJB11379 pep chromosome:Graimondii2_0_v6:1:52709687:52710827:-1 gene:B456_001G255500 transcript:KJB11379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNRSSGRSHENEDFSLKLQELLSTNMKKKTKLLDEICSHIQRLNGEVDDLSIRISELMASLDNNGSINADILRQLLQQ >KJB11567 pep chromosome:Graimondii2_0_v6:1:54328482:54328865:1 gene:B456_001G266200 transcript:KJB11567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINCLKVWEMIRGFPKMKRKKTDGTWICLVYSLYIACVAVELDGVVFVHSVSIDVFWISMLLGLGYDLRV >KJB09147 pep chromosome:Graimondii2_0_v6:1:15837403:15839439:1 gene:B456_001G126000 transcript:KJB09147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLSKSLIVCSALNITEEGMVTNKQGIGSILGSDAETPAAASLRRTLSADMSSKKWLNQHGLSPLKKIASSQEFPPPSIIDSSSEEAEEDCEAPGQTDIWTLIQQEKNKKKQLEKPAQFDIWSSIISQKAEEESSKSVPVPYVHPLVKRSASSLSEKSLEICTESLGSETGSEGFSSYTPSETSDMQEEDPKEEDQQLQHQEQERVEELRIVKHYNYDAGKKSAHHHRSFPPPIPSLSRKDGASLRMKTLRDNGRLVLEAVSVPSHDNFVAQRQDGRLVLTFSNTAPDVEEENEQGEFEGIGDEEKTNTDEGVEEEGCCEMKQAPKLSIGAMNVHRLAVMMNKPNWLANRNPTWPKNFDDIVKFGEEKEADKVDPTTISPLPQTPPLGQSLPPRPPRTAGRLIASPAAAAASFNAYEYYWRRPNQPMSKAAILSKNLMAYNNDQQELLVLIRNKGDYFVPLLKGCKEPRRSLLFWEPYCIATS >KJB10740 pep chromosome:Graimondii2_0_v6:1:44644607:44646998:-1 gene:B456_001G220200 transcript:KJB10740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHHFHCPQQNLTTILINVKTKAPLSTFKPHPVLVSTTMGFLFFIFLFISHLNTAFSGHCSTTTATKTFQKCTTLPTQQASIAWTFHPHNATLDLCFFGTFISPSGWVGWGINPTSAEMTGTRALVAFPDPNSGQLVLLPYILDPSVKLQKSPLLSRPLDIHLISSSATLYGGKMATIHNGATVQIYATVKLVPNKTKIHHVWNRGLYVQGYSPTIHPTTSNDLSSITTFDVLSGSAATQHNNVDMLKLVHGILNAISWGLLLPMGAVTARYLRHVQALGPTWFYVHSGIQLSAFFLGTVGFAIGIRMGAMSPGVTYGLHKKLGFAAFCLGALQTLALLFRPKTTHKFRKYWKSYHHFVGYSCVVLGVVNVFQGFEVMGEGRSYAKLGYCLCLSTLVGICIALEVNSWVIFCRKSKEEKMRREGLISGSDKGSGIHSGTHSGIHG >KJB07168 pep chromosome:Graimondii2_0_v6:1:273321:276669:-1 gene:B456_001G003200 transcript:KJB07168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSFSSVILVSVLILSYGVNSEPVQDKQALLTFLSMVKHENRVQWNSSTSACDWVGVECDATRSFVFTLRLPGVGLVGSIPPKTIGLLNRLRVLSLRANRLSGTVPADFSNLTLLRGLYLQENQFTGSFPSSLTRLTRLVRLDLSSNNFTGPIPVGVNNLAHLTGLFLQNNTFSGSLPAINSDGLDDFDVSNNNLTGSIPYTLSKFPASSFAGNIGLCGGPLRACNPTYRKSSGLSTGAIVAIVVGSVLVALLFLLCLILFLCKQQRRPDRKEKPVTEETRAAPPAEAGTSSSKDDVTGGSIEREKNKLVFFESGVYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTAVVVKRLKDVAASKREFEMQMEKLGKIKHEKVVPLRAFYYSKDEKLLVYEFMPNGSLSALLHGSRGSGHTPLDWDNRIKIALSTAKGLSYLHLSQVAHGNIKSSNILLGPNREACISDYGLNPLFGNTTPPSRVTGYRAPEVLETRNVTFKSDVYSFGVLLLEVLTGKSPSQASLGEEGIDLPRWVQSVVREEWTAEVFDAELMRYHNIEEEMVQLLQIAMTCVSVVPDQRPAMVDVVRMIEDLNRAEIDDGLPQSSDANTPPTESRTPPTSATP >KJB07627 pep chromosome:Graimondii2_0_v6:1:3134953:3135933:1 gene:B456_001G034100 transcript:KJB07627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKESMGLLRQIRKWLTKSWDVTVKHCYSDSMGSFTVMERNSQYTPLKRLKMQRGGNVFIEFMFLQFFIFGFVCLGFYLWPFIFFFLLSFLGKPEPTPR >KJB07699 pep chromosome:Graimondii2_0_v6:1:3561848:3565099:-1 gene:B456_001G038200 transcript:KJB07699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLVQKASEDNCDEINMVDFPGGPNAFEICAKFCYGMAVTLNAYNVVAARCAAEYLEMTEDVDRGNLIFKIEVFLISSIFHSWKDSIIVLRTAKSLLPWSENLKIVGRCIDSIASKTSVDPANITWSYTYNRKLSVQDKIVGDSMKLQEKIESVPKDWWVEDICELEIDLYKRVMTAVKSKGRMDGAVIGEALKTYAVRWLPDSVDALVSGVHSWRNKLLVETIACLLPSDNGVGCSCSFLLKLLKVAVLAGIDDSSKEDLVKRISLKLHEASVKDLLIPARSPQTTLYDVGMVQSIVNQYMTHEQRSQDVEPGKSAGFVLGHGSLLSVGKLIDGYLGEIAHDPNLSLTSFIDLSCSVSELARPVHDGLYKAIDIYLKEHPSLTKAERKKICGLMDVKKLTMEASMHAAQNDRLPLRVVVQVLFFEQVKAATRAQSRNSDARTTCVSKMSPDEEWDKTMGEDCRSLKKKMSEVETNEDGGQKNSKMGKKKSRNSKSGVQLLPSRSRRIFDKLWVVGVGKGHVENRSSETSGSSQSPSSAVVVRDNTTKSSGVPSRHRRHSVS >KJB07700 pep chromosome:Graimondii2_0_v6:1:3561848:3566805:-1 gene:B456_001G038200 transcript:KJB07700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDAFQVDGKCIRHVTSDLATDIIIKVGDLKFYLHKFPLLSKSNHMQKLVQKASEDNCDEINMVDFPGGPNAFEICAKFCYGMAVTLNAYNVVAARCAAEYLEMTEDVDRGNLIFKIEVFLISSIFHSWKDSIIVLRTAKSLLPWSENLKIVGRCIDSIASKTSVDPANITWSYTYNRKLSVQDKIVGDSMKLQEKIESVPKDWWVEDICELEIDLYKRVMTAVKSKGRMDGAVIGEALKTYAVRWLPDSVDALVSGVHSWRNKLLVETIACLLPSDNGVGCSCSFLLKLLKVAVLAGIDDSSKEDLVKRISLKLHEASVKDLLIPARSPQTTLYDVGMVQSIVNQYMTHEQRSQDVEPGKSAGFVLGHGSLLSVGKLIDGYLGEIAHDPNLSLTSFIDLSCSVSELARPVHDGLYKAIDIYLKEHPSLTKAERKKICGLMDVKKLTMEASMHAAQNDRLPLRVVVQVLFFEQVKAATRAQSRNSDARTTCVSKMSPDEEWDKTMGEDCRSLKKKMSEVETNEDGGQKNSKMGKKKSRNSKSGVQLLPSRSRRIFDKLWVVGVGKGHVENRSSETSGSSQSPSSAVVVRDNTTKSSGVPSRHRRHSVS >KJB07424 pep chromosome:Graimondii2_0_v6:1:2132154:2133044:-1 gene:B456_001G022700 transcript:KJB07424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEESMSTVPSSSLWASIFSWFTPTVFFVFLNITIGTIFFTSTFSSNNSGAAASGGVGDGPSLHEGDETNDPRLLPSPSVLQRLKSINLYSYRSQQPFTTTTAAAEIPDSGADFHISFQQQTSETETTPEPVPTHLDRSPSVLHRLKSINLYGYFSPGQKPREISSHYTPEEEEIKEPEQVEQTQGEERGFDEIYSELNRNNQVTRTKSDAEPASGEVPTKLPKKMKKSASAKSPFSHFEEDDILEARRPATMREGKGKATEEDDEVDAKADDFINKFKEQLKLQRMDSRLGTRRW >KJB08932 pep chromosome:Graimondii2_0_v6:1:13271019:13273202:1 gene:B456_001G113800 transcript:KJB08932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQAENSSRLVLVLYPFQGHINPMLQLATIFHAKGYSITIIHPEFNSPNPSNHPQFTFISVPDKLLESKVSLSPGDFMNLVLALNKNCAAPFKKCLKQMLDDEHPCERIAGVIYDGLMYFAQTVADDLGLPGISMRPTAAAMLLFAVIPQIEQESVFDRQIPELQPLELTQMVTSMSKSPTDAMTEVRAASLNAMKRSAGMIANSIEFLEHAALTKIGEHSPVPVFTIGPFHKLAPSSSSSLLQEEADCISWLDKQAPKSVIYVSFGSMASLSKQDIVEISWGLANSEQPFLWVIRPGLVLGSEGTELLPDGFLETVGDRGCIVKWAPQKEVLAHCAVGGFWTHCGWNSTLESVSEGVPMLCRPCFGDQFLNMRYICYIWKIGLELENELERGKIKGAIKTLLVNIEGKEMRNKAMEFKGKTELCLREGGSSSSSLDEFTKRILSV >KJB07925 pep chromosome:Graimondii2_0_v6:1:5043061:5050859:-1 gene:B456_001G053100 transcript:KJB07925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSFFHSTNKYKKRTDGNNHHFPRKKPKTLKKSASVEVKSFDVPLFSSKTGSPIESLRLEPDRPYTIGRANGSCNFTFGSRFVSKQHCQILYDSVDRKIFILDGTIFSNDFSFIVNEFRRRFLLYDDELEEKDKEGEEVLGFSRVRVSLNGVYVNKVKVKRGMVRELFAGDEVLLVCGNGSECSLRVRVGFVIQGIVFKEEILSKLDEVTGGTPRSLGAMVSSRHSQGTMSSGKRNKRVFALPAKEMNRGWNFSGSKSSDAIGRAKFILSQCRSILHSDDPISCIRKCDILASGQNIFLGLTLSDRMKCAIGSEEEVSSLFPLFRQEPQSSNKNDKPLQSNSFRKVATSELDQDLFGDPLPSDLPCSKGPVECSGEDVAANNRCGMSLINVPEKENDPDVDRVGTSKISLNYCSAPGKMFYLNRLAYSDSGSSNHHSVVSLRELLYPVESISKIFIATFTSDISWFLLHCEIPCHLPVTVARHNAERCWSSSPDARTSIPFPEFPNLVAVFPPFPEVIAFGNDLKKRGIACHHPKLLVVQREDSIRVVITSANLVAKQWENVTNTVWWQDFPRRREPDYLSLFSISYGEMNNSRSDFAALLAGFMASLIVDVPGQSHWIVELTNYDFTNAMGYLVASVPGMHSDRALKANQITPSSLDAKFLGLVEASVVGLSHLFRTTADRNGAQLKKLALFLGKTCENTNGMLNVVLRRNTNIPADENAVSVLVPNPDKISSGDCIQLGFLPRNVAKWVSPLWDIGFFEFHGYVCREEALTATFGGNNKKVQLILHVLQGPSFLDMSKMMQDQNIAALCSLIASVQRCTGLWRLQEVLGQYNWPESQESDFIYGASSIGSSVSAPFLAAFAASVGKKSSQIFDSEESDPEWGCWTTSQELRNPSIRIIFPTIEQVKNACSGISPSRRILCFSEKTWQRLRNVGILHDAIPSPSNRVGHPMHVKVARRRFWSKASSSSSGWVYCGSHNFSAAAWGRPISSSVGIKASGLAQTNSTSRLHVCNYELGIIFVFPPMETKCIANQNRTKLDDVALPFIVPAPKYGPKDRPATAQAMREALAELSEREAKSLAEVEITENMTEEVSDEDDEEVNEATNYVVEEKEEDKTYAEKLWSQVDSSQIS >KJB11425 pep chromosome:Graimondii2_0_v6:1:53195094:53200791:1 gene:B456_001G258000 transcript:KJB11425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEYYKIVVDAFAKGDQDQANKILEQAHQADEESNQKSFETRNTEIEEEMLLDLHNHGIKEAIQLLKYHLTSLADILCNFLML >KJB11652 pep chromosome:Graimondii2_0_v6:1:54672192:54673155:1 gene:B456_001G270000 transcript:KJB11652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMEGMCKIFFVLVIVLPLIAKQVSATQHVVGGSQGWEESVDLNSWASAQTFKVGDQLVFKYSSGLHSVVELGSETAYKNCDLGTSLDSKNTGKDVVKLNKVGTRYFACGTLGHCDQGMKMKVTTVAGNAPSTPASDSDTSAAAFFPRYFPTFLLFSPLLILYFLL >KJB08820 pep chromosome:Graimondii2_0_v6:1:11921876:11922530:1 gene:B456_001G105700 transcript:KJB08820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAGSHLPVSIPVGNAAKKERGGGASRSAMFPLAEIWIHTIPLLVLLCFFILWWLSRPVNVEIKDGRIVAIHHVEMPLPLSDSQIDVSLLASAASPVASVSQNLTVIDESSVLASD >KJB06766 pep chromosome:Graimondii2_0_v6:1:1064499:1068501:-1 gene:B456_001G011300 transcript:KJB06766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTIKNPKKAKRKNKGSKKGDGASTSSSVPTIQPRVWQPGVDELEEGEELQCDPSAYNSLHAFHIGWPCLSFDIVRDSLGSDRKDFPHTVYFVAGTQAEKASWNSIGVFKVSNISGKRRELVPKKSSEDDPDMDGESSDSDDSEDEEEGGSGAPVLQLRKVAHHGCVNRIRAMGQNPHICASWADSGHVQVWDFTSHLNALAESETEGIQGSSVFNQAPLVNFRGHKDEGYAIDWSPVVVGRLATGDCKNCIHLWEPTSDSTWNVDPTPFIGHSASVEDLQWSPTEPHAFVSCSVDGTITIWDIRIGKSPAASFKAHDADVNVISWNRQASCMLASGSDDGTFSIHDFRLLKDGNTVVAHFKYHKYPVTSIEWSPHEASTLAVSSSDNQLTIWDLSLEKDEEEEAEFKAQTKELVNAPQDLPPQLLFVHQGQKDLKELHWHAQIPGMIVSTAADGFNILMPSNIQSTLPSNGS >KJB06765 pep chromosome:Graimondii2_0_v6:1:1064499:1068468:-1 gene:B456_001G011300 transcript:KJB06765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTIKNPKKAKRKNKGSKKGDGASTSSSVPTIQPRVWQPGVDELEEGEELQCDPSAYNSLHAFHIGWPCLSFDIVRDSLGSDRKDFPHTVYFVAGTQAEKASWNSIGVFKVSNISGKRRELVPKKSSEDDPDMDGESSDSDDSEDEEEGGSGAPVLQLRKVAHHGCVNRIRAMGQNPHICASWADSGHVQVWDFTSHLNALAESETEGIQGSSVFNQAPLVNFRGHKDEGYAIDWSPVVVGRLATGDCKNCIHLWEPTSDSTWNVDPTPFIGHSASVEDLQWSPTEPHAFVSCSVDGTITIWDIRIGKSPAASFKAHDADVNVISWNRQASCMLASGSDDGTFSIHDFRLLKDGNTVVAHFKYHKYPVTSIEWSPHEASTLAVSSSDNQLT >KJB11489 pep chromosome:Graimondii2_0_v6:1:53745034:53747445:-1 gene:B456_001G262000 transcript:KJB11489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECADNPRNKCAACYRQFNRMEHLVDHMRTSFHSVHEPTCGVCKKHCRSFESLREHLIGPLPKQECRNAFNIRGCKFCLAILDSPYALRVHQDRCQLSGVNHGISAYMANLGLRDSLTIDNGYSRGPEVVALACKTVGGGSDRSLDLCARVCIIDEKENIIFHTYVKPPIPVTNYRYETTGIRPEHLRDAMPLRQVQRKVQDFLCNGEPTWKIRSPKGGKARILVGHGLDHDLDKMQVEYPPKMIRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIQNGIQDPYEDCVATMRLYVRMRRQVHRRQDYPLASDPQNRNNFASWRQNELERMSPEEMLAISRSDYYCWCLDSA >KJB08090 pep chromosome:Graimondii2_0_v6:1:6408475:6409807:-1 gene:B456_001G064100 transcript:KJB08090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRISTWLITSSIEELITPQKSSSMAARVLVLASILIFSCFAETCYGAISFSSLQRTLVVTASHRQGLLKAGEDKITVRWGLNQSFPAGTDSSYKTIKVQLCYAPISQVDRAWRKTEDHLNKDKTCQFKIVKKPYINVNETLEWTIERDVPTATFFIRAYALDSDDQEVAYGQNTDAEKKTNLFEIQAITGRHVSLDIASVCFSAFSVVSLMGFFFMEKRKARKSQQ >KJB07194 pep chromosome:Graimondii2_0_v6:1:609010:609330:1 gene:B456_001G006900 transcript:KJB07194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KYEIQTHVTSQGPERITNEISHLEAYLLRNLDKNRIVILGSWVETGDILVGKLTSQVTKESLYAPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWVQKKGV >KJB11158 pep chromosome:Graimondii2_0_v6:1:48406329:48410709:-1 gene:B456_001G243400 transcript:KJB11158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFHRHWQRIVILSFLSFSVFAQIVLVSQRLNPLAFIGRKDSVEDLASIKYMKDDLRLNAIEQEAAEGLKGPKVVVFKEMDFSSEFNHGSNQNPDFDQLGDPQDTSKFLEANGTNGKGKDDHQNQQNIIRLNSREKEQYNQETGSHDQHLRSLSHKVMDEKVKQMRDQLIRAKVYLNFAPPGRNTHLVRELRTRIKDVERTVGEASMDSELPRRASQKMRSMEVLLAKASQVFPDCSAMVRKLRAMAYNAEDQIRARKNEESYLVQLAGRTTPKGLHCLSMQLTAEYFSLQPEEREFPNQKKLNGPDLYHYAVFSNNILACAVVINSTISSAKEPEKISFHVVTDYLNLPAISMWFLLNPPGKATIHIQSVESFDWLSTKYNSTLKEQKSYDPRYSSALNHLRFYLPDIFPALNKIVLLDHDVVVQRDLTGIWSVDMKGKVNAAVETCLESEASFRTMRMFLNFSDPFLAKKFNANACTWAFGMNLFDLQQWRRKKLTMLYRNYLQLGLTRPLWKAGSLPLGWITFYNQTVALERRWHALGLGYHSGLRRADIERAAVIHYDGVMKPWLEIGIAKYKGYWSKHMQYDHPYLQRCNIHE >KJB06629 pep chromosome:Graimondii2_0_v6:1:3306970:3307518:-1 gene:B456_001G035800 transcript:KJB06629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRRLNQNNPFFFESKVLFLSEVNMNGISNALNGLYDNFGVKVGQHFYWQIAGFQVHAEVPITSWVVIAILLGSAVIAVRNPQTIPTAGQNFFEYVLEFIRDLIKTQIGEEYGELAAPTNDINTTVALALLTSVAYFYSGLSKKRTGLFL >KJB08093 pep chromosome:Graimondii2_0_v6:1:6425926:6430676:1 gene:B456_001G064300 transcript:KJB08093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFISGSIRLGQRQGFCRWNSAHFKASTRAFLPTQSSNSLIHGTNDSIKSSENNLLQLLGTRFGSQTKELMFPSSSGGCLIPSLSPLAAMMSSSSSRYMTTAASPTMDSSESVTDVPTRIKFKRLDKTIVDKEAVEEVKGQREIPEIKPGYIVQLKVEVPENKRRVSTIKGIVIARRNAGLNTTFRIRRMVAGVGVESLFPLYSPNIKEIKVLDKKKVRRAKLYYLRNKMNALR >KJB07059 pep chromosome:Graimondii2_0_v6:1:42647446:42649152:1 gene:B456_001G213400 transcript:KJB07059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPNKAVIVLQGRYAGRKAVIVRSFDDGTRDRAYGHCLVAGIKKYPSKVIRKDSAKKTAKKSRVKCFIKLVNYQHLMPTRYTLDVDLKDVVTVDALQTKDKKVAACKATKERFEERFKTGKNRWFFTKLRF >KJB10792 pep chromosome:Graimondii2_0_v6:1:45759654:45760462:-1 gene:B456_001G224600 transcript:KJB10792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTAAIVSKKTMKRCSKDNNSPLIFALLLASLLLSTGNSGCVLVEARIPHFSVIQGSLKSDIYRRRLRPFPPIGMNSPDPNGSHGQTPKS >KJB09606 pep chromosome:Graimondii2_0_v6:1:21237082:21237195:1 gene:B456_001G1521002 transcript:KJB09606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQEIWKVLSKEVVSDSGDKLLLPCLYLPTTSFHAGQ >KJB06222 pep chromosome:Graimondii2_0_v6:1:31984244:31985215:-1 gene:B456_001G191400 transcript:KJB06222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLFDLLKNRVVSWIVVAPLLAFATFILLGSLSIVFTSTALILSTFLFVFCKRKPKLVEKSAKEEIQKLVHGTSPQMEHILASKQHHEALEGGSGSYQIHGYLLRSPDVLFEKESTTEDSGEEWPFRDSTDRTPDISDDGSISDEDSLIEISLPEGHYVSRKEEEAAKYIRHQKLTDSKPECIFQQRSLMELLAELNDMNEEDNLIEIDISMGSIKCSSFEIETGI >KJB09745 pep chromosome:Graimondii2_0_v6:1:23299976:23300421:1 gene:B456_001G162100 transcript:KJB09745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPMRQNTLGCIPSLAGLRPISIEESSVSNLVLSTGSNHYVIHVPAHSYAHPIHATRTCNKPSFKTILLKGIFGYQ >KJB11559 pep chromosome:Graimondii2_0_v6:1:54283532:54285099:1 gene:B456_001G265800 transcript:KJB11559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLTGLQRNRMEILEVTEVAPISNSPESKTKFRLPLTFSDIFWFKLPPVERLFFYQLDDLTPACFNSVILPKLKRSLSLTLVHYLPLAGNLKWPPIEPKPIILYTPNDGVSLTVAHSDADFNILSSDGVYDAAELHPLKPDLVTSDVSASAIAVQVTLFPNKGFCIGITAHHAVLDGQTTTMFIKSWAHICKQGNDENSPLPPELTPFFDRSVIKGPDGLDMLYLNQWLASSGLDSDTSKKSLKITSAGGGAASDLVRATFEITREDFKKLRERVLPKLPDSGKEMHLSTFVLSFAYVTTCMVKARGGDGDRKVAFAFTADCRPRLNPPVSQNYFGNCNRPKFEVAKARDFLDENGFVFAVQKASGMVKDLMERWVLEGMEKILSYSLDVLKESSESNLQIITVAGSPRFGVYGTDFGWGKPHKVVIVSIEKNGAISMAESRDGSGGVEIGLALHKHEMNNFSWLFPRCV >KJB08108 pep chromosome:Graimondii2_0_v6:1:6511050:6513376:-1 gene:B456_001G065300 transcript:KJB08108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRISNAIFNCINFIPLVLGLIAVVVSIYFSRNDSTVCETSARNPLLIIGIISVFVAVLALIGSCTKNGSIFLTIYSVLLILIILALFCLTFFALIVSNKELAKRIAGKGVGSVRLKDYSRWLKKNYLDAQHWEKVRSCLSESNICSSLHSEKAIFKLGFIKQKFSMIQSGCCKPPMYCKYEKKNATFWEMPKSGPDVPDIDCLTWSNDKEKLCYNCKSCKGGFLVDIKKQWRFMAIVNLVILVLILIIFNIGCSVRRRKEPKSDFAPKYLPPQIPPDYIPPPQTMV >KJB10525 pep chromosome:Graimondii2_0_v6:1:40215207:40216868:-1 gene:B456_001G205900 transcript:KJB10525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDNLQVLNALDGAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHKAGSSTPGSLPSNVAAAVNGVAFCGTLTGQLFFGWLGDKLGRKRVYGITLLLMVICSLASGLSFGRHPNDVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAILVSGAFKAQYPSPPYFKNPNGSTVPEADYVWRIILMFGAVPALLTYYWRMKMPETARYTALVAKDAKQAAADMSKVLQVDLEEERQLEKPGEKRGNDFGLFTTKFLSKHGLHLLGTASTWFLLDIAFYSQNLFQKDIFSAIGWIPKAKTMNALEEVYSIARAQTLIALFSTVPGYWFTVALIDKMGRFRIQLMGFFFMTLFMFALAIPYHHWTLPNNRIGFVIMYSLTFFFSNFGPNATTFVVPAEIFPARLRSTCHGISAASGKAGAMVGAFGFLYAADAIGVQKSLIILGVISFLGMLFTFLVPESKGKSLEEMSGEVEQENGNQTQGGRQSNTEIRIA >KJB11782 pep chromosome:Graimondii2_0_v6:1:21293529:21294639:1 gene:B456_001G152200 transcript:KJB11782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFDGGDNYTQEMMSVTGISTTKTKNNNKRRFSDEQIKSLELVFESETRLEPGKKLEVAKELGLHPRQVAIWFQNKRARWKSKQLEQDYSILQANYNILASKLESLKKEKQGLVIQLQKLNHLLKKPEEEGQCCEQVTSMNSIDGESDQGEGVKSDSEGQLSLSMERSEHALGGFSDDDSGTKIDCFGLEEKLNFISMAEPADGSLTSSEEWQSFDSDALFDRYSSGYEWWDFRS >KJB10173 pep chromosome:Graimondii2_0_v6:1:30002659:30004411:1 gene:B456_001G187400 transcript:KJB10173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLNNDGKSSPRHRTLLDIIRDDRTLKDKKSWKTFRDKLRLKRAGSAWISSVRVPTSDVNVQGSRSRSPRHGSPRSNSSDTTHAKDGGECVPVSDPPVVNSKMQLVRRDSIRFGNNSSHADHNDSVDATMPSDAPRSRSSKPQMARHPSIRMSSASHHQDDDDDGDEYDVAEGSDDEEYESPEEDEGSQPPVEVLSKERSLSAREAVAAQEAAEAAAGKGAVVEPVKMSLMDLLGETGYGMGEDDDDYDDEEEDEEEDEVAVSLSGGMEYTCGVCMVRHKGSAFIPCAHTFCRLCSRELMVQRGNCPLCNGYILEILDIF >KJB06528 pep chromosome:Graimondii2_0_v6:1:49093160:49094276:1 gene:B456_001G246500 transcript:KJB06528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRLPSMISSAKQILKLQSGITRDKPDVPKGHIAVYVGETQRKRFVVPITVLNHPSFNDLLKRAEEEFGFNHPMGGLTIPCREDAFLNLTSRLQA >KJB06492 pep chromosome:Graimondii2_0_v6:1:4216940:4217731:-1 gene:B456_001G044300 transcript:KJB06492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCRPQPNPPFNSNNPSFLLLFYVHLLLLGCISVTRNMVTSLLLLALLFIAGFLNVYFYISRKKFHAWLQSFSPKTCSSAGSVVSSGPKAATEEKRDSNKVVELKRVFATFDKNGDGFITKQELRESLKNIRVLMTEKEVEDMVVKVDANGDGLIDFDEFCILCQTMDGGTDASGTILKEDDGMNGEEELKEAFDVFDKDKDGLISFEELGSVLCSLGLKEGNKMEDCKAMIRKVDIDGDGMVNFDEFKKMMRSGGGLVSVSAF >KJB09248 pep chromosome:Graimondii2_0_v6:1:16808599:16810550:-1 gene:B456_001G131400 transcript:KJB09248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVRVSFCKQRCFSSSSSPLPWISPLQLLKPNFQKPDPPPQPSLASTESQRKPKFISHETAINLIKREKDPQRALQIFNKVSRQKGFTHNSATYGTILHKLAQSKKFQAVDSLLRQMSYETCRFHEGGLCESRRLKEAIELFEEMVGKDQILPDALTYNLLINGFCREGKVDRARKIIEFMKNNGCSPNLFNYSALMNGLCKEGSWEEAKQLFVEMKSTGLKPDTIVYTTLMNCLCRASRIDEAMSLLKEMKENKCEADVVTLNVLLGGLCRESRFHEVLQMLEKLPYEGVYLNKASYRIVLNALCQKDEMEKAAKLLGLMLDRGFFPHYATSNEVLIQLCKAGMVDDAVTALFGLAETGFKPEPHCWEFLIEMNCRDRKLLPVFELLDELVIKECTHNLHVGATFA >KJB09249 pep chromosome:Graimondii2_0_v6:1:16808749:16809962:-1 gene:B456_001G131400 transcript:KJB09249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVDFMKVDLARDFLLNSKKSLRLRPNTCIFNILVKHHCNNGDLESASEVVKEMKKSKVSYPSLITYSTLIGGLCESRRLKEAIELFEEMVGKDQILPDALTYNLLINGFCREGKVDRARKIIEFMKNNGCSPNLFNYSALMNGLCKEGSWEEAKQLFVEMKSTGLKPDTIVYTTLMNCLCRASRIDEAMSLLKEMKENKCEADVVTLNVLLGGLCRESRFHEVLQMLEKLPYEGVYLNKASYRIVLNALCQKDEMEKAAKLLGLMLDRGFFPHYATSNEVLIQLCKAGMVDDAVTALFGLAETGFKPEPHCWEFLIEMNCRDRKLLPVFELLDELVIKECTHNLHVGATFA >KJB09250 pep chromosome:Graimondii2_0_v6:1:16808684:16810490:-1 gene:B456_001G131400 transcript:KJB09250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVRVSFCKQRCFSSSSSPLPWISPLQLLKPNFQKPDPPPQPSLASTESQRKPKFISHETAINLIKREKDPQRALQIFNKVSRQKGFTHNSATYGTILHKLAQSKKFQAVDSLLRQMSYETCRFHEGIFLNLMKHFSRFSLHDKVLEMFNAIQPIVREKPSLKAISTCLNLLIESNQVDLARDFLLNSKKSLRLRPNTCIFNILVKHHCNNGDLESASEVVKEMKKSKVSYPSLITYSTLIGGLCESRRLKEAIELFEEMVGKDQILPDALTYNLLINGFCREGKVDRARKIIEFMKNNGCSPNLFNYSALMNGLCKEGSWEEAKQLFVEMKSTGLKPDTIVYTTLMNCLCRASRIDEAMSLLKEMKENKCEADVVTLNVLLGGLCRESRFHEVLQMLEKLPYEGVYLNKASYRIVLNALCQKDEMEKAAKLLGLMLDRGFFPHYATSNEVLIQLCKAGMVDDAVTALFGLAETGFKPEPHCWEFLIEMNCRDRKLLPVFELLDELVIKECTHNLHVGATFA >KJB10259 pep chromosome:Graimondii2_0_v6:1:32455289:32457467:1 gene:B456_001G192500 transcript:KJB10259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLLKITLVLLFMSIIIPQKSVGEYEQWCIADEQTPDEELKVALDWACGEGGADCSKIQVNQACYFPNTMTNHASYAFNDYFQRFKHKGGSCNFKGAAMITELDPSYNSCKYEFIP >KJB07978 pep chromosome:Graimondii2_0_v6:1:5491238:5491522:-1 gene:B456_001G056600 transcript:KJB07978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKGVKFELVLIVIAMAMGVLVLSSSLVEGAFGIQLNPCTLPQCIAECKKALHEKFLSATCASGPQGKFCICLG >KJB10489 pep chromosome:Graimondii2_0_v6:1:39690577:39691331:1 gene:B456_001G204000 transcript:KJB10489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAKLVILDCWVSPFCMRVKIALNEKGLEYEAQAEDLFGGKSELLLSSNPIYKKVPVFLHDGKPLCESIIIVNYIDETWSSPPLLPPCSYGRAQARFWADFIDKKVFDACGNIWRSKGEVPVEAKKEFIEILKQLEEALGDKDYFIGTTFGFVDIILIPLTSWFFAVEKIGGFTVEAECPKFSNWMKRCLQRESVAKVVPPPEKVYEFVLMFRKMQGID >KJB10475 pep chromosome:Graimondii2_0_v6:1:39308692:39309437:-1 gene:B456_001G203000 transcript:KJB10475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLPSSFAIISPSSNHYSRQPIHVRAQSFRDGGGSSNRVDANLSVLRERIEQVKMKEKLERCCRSYDKWGWNYGAGYNYKVKRDGQLSELFELLSLVGATLGFTCLTGILFLCFFSFFLHLNQWF >KJB07706 pep chromosome:Graimondii2_0_v6:1:3680941:3681538:-1 gene:B456_001G039600 transcript:KJB07706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELATYHLTTMKNSYLLLLLVSFLVLSPGLGIKTGELAEAACMMSPIHLPNCSHEACVQSCVEKYGESINGGCIDNQTCCCRF >KJB08303 pep chromosome:Graimondii2_0_v6:1:7728488:7728871:-1 gene:B456_001G0755001 transcript:KJB08303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSRKHSLSNSYSNSPIVPLSPLALLSQDQDRNMVKFSKQFEGQLVPEWKEAFVLDWQLKKDLKKIRLLNNNTTTNTHQTTSFPHNLLSSISTFGLFGRRRDR >KJB09698 pep chromosome:Graimondii2_0_v6:1:22436181:22436306:-1 gene:B456_001G157200 transcript:KJB09698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSIMDSDSMANHCRYNGKCSGPSYYHFFFLFCIKPFNFF >KJB08376 pep chromosome:Graimondii2_0_v6:1:8259177:8261801:1 gene:B456_001G079200 transcript:KJB08376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDHNMPSFMPLSILSLSLYLLTLNHAYVLLAPAPSPVSISSPPSAYQPYDSYLINCGSSTETRLDDGRIFKSDTQAHSYLSTNQDVKASMDYIPSDAFSNSIPSSTEGLYKTARVFLHRSTYTFYISKPGKHWVRLYFYPLHHPHYDLETAVFTVHTEKFVLLHDFSVRGYSKVVFKEYLVNAIELFSLVFEPKKGSYAFVNAIEIAAVPDEFISDTASSVPQGETVNGLSNYALEVSYRLNMGGPILTPKYDTLSRTWMPDTPYNVFPQGTEAVRGSYIKYQPDKWITWLIAPYLVYETAQRMKTEAHAQTVEPKFNLTWVVNVDAGFSYLIRMHFCDIVSKSLNDLYFNVYINSLMGFSSLDLSAETGGLATAYYIDFVLNASAITNGSIVVQVGPASTGGLPNAILNGLEIMKINNVDDSLDGLYAVDGSYEGKFMKLKVLAISGIFMAFLAMFFLGIVCVRWKKRPPDWQKRNSFSSWLLPIQGSSRKNSLSSSWKSSIFGSRKSKSGHSSYYSIQGLGRFFTLNELRTATHNFDEKIVIGVGGFGNVFLGALEDGTKVAIKRGHQGSEQGINEFQTEIEMLSKLRHRHLVSLIGFCDEESEMILVYEYMANGPLRDHLYGSNDKLTLSWKQRLDICIGAARGLHYLHTGAAIGIIHRDVKTTNILLDDNFVAKVSDFGLSKAAPMEQGYVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLSARAVICPRLPRDQISLAEWAMQWHRKGMIEKIVDPQIAGSISEGSLKKFAETAEKCLAEYGVDRPSMGEVLWYLEYSLQLQEATIASSSSSSSNINDLLEEKLSKNVVSIANILSDDDSDSTVGSQLHFPNVGNMQGR >KJB07399 pep chromosome:Graimondii2_0_v6:1:1865429:1869760:1 gene:B456_001G020100 transcript:KJB07399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCRTGHNWRLPFPSLSSSKPKQFSIRSAVSPPEWRESRRSVSLSLFLSHLLLAPKRAIAGNFLDKYVKKKKLDPLEVYVPAVILTQLQIKELEKTLEVDDKPEYATCRSLLRSGPAASLRVNIRAVAQYASDAGNGENAFKDVDQCLRALEELDSLFLHASRNEPDASVKAMKAKIGTALNALDSLLQTVPSDVLNQGKEIADAYRAPDDEEMKQPEELDPDLKQLESIL >KJB09760 pep chromosome:Graimondii2_0_v6:1:23368955:23369542:-1 gene:B456_001G162600 transcript:KJB09760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTCKQGKPNGNQKTGGYRIGQKTIQKAKRKRKGATYLTNNKKGGIKRSLPVLTWISGDGIRRPEATSSRGRSLALADRLARIFGAQYEILFSCKTRSDKEKLQRLLRSKILALTFS >KJB06585 pep chromosome:Graimondii2_0_v6:1:10392223:10393758:1 gene:B456_001G093400 transcript:KJB06585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTDWSHFYYQNLSNQEQVSFDEQGHDATVVSTVTATSSGGHLSPEGRVGKPARKRSRASRRTPTTLLNTDPTNFRAMVQQFTGGPSAVRFASDSVHLGGPDFGFGVRQPNAINPGSLTVPPAGFQLQYQQQQQQQQLQLMQHQNQAYMFSRLGGNNPRLDMEHGSEPFVAEGGSSQVPPSRTGSSNENTSNTSVMF >KJB10083 pep chromosome:Graimondii2_0_v6:1:29118191:29118710:1 gene:B456_001G184100 transcript:KJB10083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGNVANLIVQQVLGILCLIMIWNLVAGPVGVDAINVPPCLGPCSAIPNCNQSCIDKGFPKGGVCIGFSFEDLACCCTQ >KJB09944 pep chromosome:Graimondii2_0_v6:1:26312385:26313371:1 gene:B456_001G176800 transcript:KJB09944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSFLQALNSDMLLQSPRSLLECFSSNNKLFLDPDFLSFDDILHPKSLSPPPQSTSLSYNDWSTESSDSASCGLYHSRSKKREEKEEPNKEKSYRGVRKRPWGKFAAEIRDSTRRGVRVWLGTFDSAEAAALAYDQAALSTQGHKAVLNFPTETVRESLRNMNYHCQEGTSPAIELKQRNYLQRKSLAKQNKKQQSLQAKNNVVVLEDLGSDYLEQLLTTSQTASSW >KJB07681 pep chromosome:Graimondii2_0_v6:1:3441184:3442617:1 gene:B456_001G037300 transcript:KJB07681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKSDLVVKIHIFSGGNAFISSSLLSQTSKEGRKEENLVFSSICRFQLFERDKGKARVVDRLRPRFVLTCFVSNHIKIIKYFSLWIY >KJB08947 pep chromosome:Graimondii2_0_v6:1:13543496:13545524:1 gene:B456_001G115200 transcript:KJB08947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPNFGSFFNKFLSLFILLLHLGCFIFTAKDHQKPPKKRKHSPLSPSSPSHKPHANKSLSSTWSYLKRIFTSSKANCRNTIPTHPRAALTPALTSARNSQQSLVSMVIPPETHLSETSLPPPHPESDISSDNPFSPLRNDIFPCTACGEVFQKPHFLEQHQATKHAVSQLLDGDSGKNIVRIIFKTGWTDKVRNPEIHRILKIHNSPKILARFEEYRELVKAKAARNGAVVGRRDERCIADGNELLRFYCSTFMCDLGLNGSSGICSQQYCSVCGIIKSGFSPKMDGISTLSTSWRAHMAVPEDVEEEFKFMNVKRAMLVCRVVAGRVGSEGDETNKEDGGFDSVIGRGGGNMAHHTKVDEEELLVFNPRAVLPCFVIVYTV >KJB07954 pep chromosome:Graimondii2_0_v6:1:5329114:5331304:1 gene:B456_001G055200 transcript:KJB07954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPENDDPISASKLLLVSLLDQIQNISNFKGKWGLIKSKLLGLQSQLVDLSDFPSSLSNPLAVDLIHSVFLTLNDAVSLSRKCQNDGLTEGKLKTQSDLDAVLAKLNRHVMDSEILIRSGVLQDGAVSSSSSKKEAVRVESRNLITRLQIGTNESKNSAMDSLLGLLQEDDKNVMIAVAQGVVPVLVRLLDSSSLEMKEKTVAAISRVSMVESSKHVLIAEGLLLLNHLLRVLESGSGFSKEKSCIALQALTFSKENARAIGSRGGISSLLEICQVGTPGSQAFAAGVLKNLASFNEIKENFIEENAIFVLIGLVSSGTVLAQENSIGCLCNLVSNDSKLKLLIVKENGIDCLKNFLDSSPNPKSLEVAIEFIRHLASFPPIAEALVADGFIARLVSALNCGGLNVRIAAAKAVYELGFSLKTRKEMGECGCIAPLIKMLDGKAVEEKEAGAMALSKLVLYVGNRKVFHKDERGIVNVVQLLDPLIQNLDKKYPVSILSELLHSKKCRKQMIAAGACVHLQKLVEVNIDGAKKLLESLGKGKIWGVFARP >KJB08102 pep chromosome:Graimondii2_0_v6:1:6497767:6498252:1 gene:B456_001G065000 transcript:KJB08102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMHVEQLNQLKDIFTRFDMDSDGSLTILELAALLRSLGLKPTGDQIHVLLANMDSNGNGAVEFEELANAIVPHMNEQVLVNQEQLMEVFHLFDRDGNGYITPAELAGCMAKMGQPLSYRELTEMIREADADGDGVISFNEFSTVMARSALDFLGVRLSM >KJB11311 pep chromosome:Graimondii2_0_v6:1:52421672:52422580:1 gene:B456_001G253000 transcript:KJB11311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESWLFQYSNNADLSAFVSEEAFSSSCEWDELLLKFNISQETAWMDLLGDEEEERGKASSECSDNSIDGVKEEEVCSEEPKETKSYRGVRKRPWGKFAAEIRDSTRHGARVWLGTFDSAEAAALAYDQAAFLMRGPSATLNFPTEAVKASLKELKCRCDEDKGWSPVVNLKNRYSSRKRSKNKKIKPKDAALRQQQNLLVFEDLGADYLEQLLISSCE >KJB07532 pep chromosome:Graimondii2_0_v6:1:2686133:2686417:-1 gene:B456_001G028500 transcript:KJB07532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RYENPREAIGCIVCVNCHLANKPVDIEDPQAIFPVIVFEAVVRIPYDLKQVLVNGKKRALNVGVVLILLKGFELTSSDHISPKMKENRLLQPSK >KJB11066 pep chromosome:Graimondii2_0_v6:1:47803685:47809178:1 gene:B456_001G239200 transcript:KJB11066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGGSSTIIIEGKSRHVFTSSTLSPTKIENLAVFSGSTSGVSLFCNRGQLWRLKPVRIAGDDPEGSLQFTIKKSKKIAERRKLVSSIKSNITDLDEDEAFHEQRDKSLPDVELTSSSSISTVEDKNGSILSSSHVTSTVKDVSEIRPSDEVDDEPEVHLTSEKASSDLGSTKLLKTTDLKAFKSDVLPSFPSSSSDAVLLTSIENENSTKASLEMVGELHDPAVESTKPPPLAGANVMNIILVAIECAPWSKTGGLGDVAGSLPKALARRGHRVMVVAPRYAEYVEPQDIGVRKKYKVDGQDMEVSYFHAYIDGVDFIFMDTPMFRHMQYNIYAGKRQDILKRMVLFCKAAVEVPWYVPCGGVCYGDGNLVFIANDWHTALLPVYLKANYRDNGLMSFTRSILVVHNIAHQGRGPMEDFCFVGLPDHYKDLFKLYDPVGGEHFNIFAAGLKTADRVVTVSHGYAWEIRTLEGGWGLHGIINECDWKLQGIVNGIDMEDWNPQHDVHLKSDGYTNYSIETLQPGKAQCKAALQKELGLPVREDVPLIGFIGRLDHQKGVDIIAEAIPWMMDQDVQLVMLGTGRQDLEELLRQFEGQHHDKVRGWVGFSVETAHRITAGADILLMPSRFEPCGLNQLYAMCYGTIPVVHAVGGLRDTVQPFNPFAESGFGWTFDSADASKLIHALGNCLLTYREYKESWDGLRRRGMMQDLSWDNAAQKYEEVLVAAKYQW >KJB07166 pep chromosome:Graimondii2_0_v6:1:259481:262423:1 gene:B456_001G003000 transcript:KJB07166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQLFVVLLLWIFFELPVLIASFMPPYDFDPTLPDSSVVIAYNRSTDIEKHCSSFLTLASELKPDVNRGSRLKNELSFYLGDWEQETDEAPLIQFDDNGNLESSQPPTSLLKLASFEVKDVNSIQQLQNTVSLGGVLSVGISKDWSFSYGGIEPNMNPGSSVMKIVFEGVYMEVEDNGEERLMCLVGSSTSTLPCTDTCDYDAFWELQTGHVPTYNHRHIRFLQDDQVLLVLRYPKIFNLTQRAIYGEMRSLNEQGGQRYFSKVHISSQLSGHSKYQFSSELVQSTTFDPPPYQDELMEDGVHMLTGKEFCRVLHHEYREIFLSIAPNYRFNSSYKNQIHGKLGPFVLEKEMQATGISSLDEVKLIFQHVKCEQDTNRTGSVKVTAVLRSVTKTSFRFLENLRTGLSGSTLAVEGIWNSSSGQLSMVGCQGTVDSGLEGCDYVISMYFPRSFSIKQRSFLFGTISNVKKDSGLDNPLYFSAMQGINTRDFTEYLSYNYSMIKLVNAFERRTIPHQILNIAKQWLFKYPALKDAEEPLSQLYQLASNLALYGSVVPDDQLIAGPESRVLIHIKVLSLGPLSGWSDPNLVKGNLSHKPVITKDELTSCRLWNVSMHLAFKTEKEREQTAYKDVSELSLEGAYDPSFGEMHLVGCRKALVKSIGIERGQDCLISVNIQYPPLNLQWWKKPTAKITINSQRKVDDPLYFNLINIHVHSSNYLDYFEADTQRAYFEAIIGSLLLTMSIAIIWNQLLYMKVNADIVPYISTTMLAFQFLGYSLPLICNAKLMLKSMGSQDYDLPNTHPSYGMLKLVRSFEKALLLVMLLLITRLVYMVIESRSKTMSEGSSKLRYVPREKRVMSTSMAIYASGFLILLVGHEWQTWMAVMVDLVYFLQDFFLVPQIISNGLIAMPVKCLKEGYYLGLTSVRLFVLYFDYIMDPKVERFDFSSLSSIYARFSPVASLVIFAITVYIQQNKKHQKHN >KJB07990 pep chromosome:Graimondii2_0_v6:1:5672209:5673276:1 gene:B456_001G057500 transcript:KJB07990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKKKGRRVHPSSPSSSPPSSYKDRNSVFKLFPMAILALASTLPPQDQEVLAYMITRSIILTHQPKNKCKKGNNKAPLFQCGCFECYTRFWHRWDSSPNRDLIHQVIEAFEDHLVQNEATPKKQCKASRKKEKVIMVNNICEPNESEGLVETESKGNDEVGEGKLEMEVAAAVAGGGGGVSHKGLARKVLPDVVGLLNSRFWSLWGTSI >KJB08906 pep chromosome:Graimondii2_0_v6:1:13891072:13892986:-1 gene:B456_001G116500 transcript:KJB08906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD3-2.1D MELKREYANGMNEQGDFDPSSPPPFRIADIRAAIPKQCWIKNPWRSMSYVFRDVSVVFALAAAAVYCNSWLFWPIYWFAQGTMFWAVFVLGHDCGHGSFSDNAILNSVVGHILHSSILVPYHGWRISHRTHHQNHGNVEKDESWVPMPENIYKKLDTSTRLLRFTVPFPLFAFPVYLWYRSPGKEGSHFNPYSNLFSPQERKLVMISTACWSTMAIILAYVSFTVGPSHMFKLYGIPYLIFVIWLDLVTYLHHHGHEQKLPWYRGKEWSYLRGGLTTVDRDYGLFNNIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVIGKFYWEPKKSGAVPFHLIKNLITSLAQDHYVSNSGDIVFYQTDLNLFQLLSLAKYE >KJB10438 pep chromosome:Graimondii2_0_v6:1:37814307:37818059:1 gene:B456_001G200900 transcript:KJB10438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGNKFARFLHKHTSKITVILVYAVLEWILIFLLLLNSFFTYLITKFANYFGLKPPCPWCSRVDHVLEPDNSNSSNSYRDLVCDKHGSEISKLSYCSSHGKLAETHLMCEECLASRPTPSDGKSIGMTRRIAFISWVSGDPKLDNGEKVVPCSCCNGTKLHPPYFLFKPSWGSSDCAEKGSLIIQAIEDDDDNDGSESDQCKDGEDMIEIKLNNQDDDNQNDQRAKSEPQVLSVVEKDVHQDDRSDAVNISEKHFSSATSGIVEPCFAGDYTLDFINLHHQKCVSDRLFPVELIDLSTSASHFSEKQDNGSETSDSSNEETPLHAIDEIAETITSPREAENLELDHQLDKNDLNLMAERPISETTLLSTQDSDLPQIQVSDSSLQCLQEDGFSTFKDQAKGVDAPESSKTQILGFTLGTHEEKSTMDENGERINASLSVHTDCNNNEAEEEKFPDTPTSSHEGMHYLHNKLLFFGKRQSGIEESLDGSVVTEMESGDPVRTIERLKTSLKAERKALSALYAELEEERSASAIAANQTMAMITRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMIKREKEKEELEKELEIYRKKVLYYEAKEKTRIMRISKDGSLGSGKSSAACSYAEDNDELSIDLNREAKDEDNSFSGNQESSSDAVGNLEEMALDCVNHLSALDESLTEFEEERLSILDQLKALEDKLLTMGDDQFMEDLKSIQNSFDGLDEKNDLRSKEGNGFSKETSCGKTMASMAKSLLPFLDAADNGTEEGTMYGKQGESDSEPVVMQTYPGPELELDPKKLAIEEEVDHVYERLQALEEDKEFLKNCMSSIKKGDKGMDLLQEILQHLRDLRAVELRTRTLSGDVQG >KJB06690 pep chromosome:Graimondii2_0_v6:1:12577646:12579927:-1 gene:B456_001G109400 transcript:KJB06690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALHDMAVNLSLSLSVPSLTLKQIKPTMATSLLSLSLFLISFPFLPIQTALSSSTDSFVFGGCTQQKYAPDSPYESNLNSLLTSLVNSATYSSYNNFTIVGSSPQDVVYGLYQCRGDLSMPDCATCVARAVTQTGSLCPTSCGGAVQLQGCFIKYDNATFLGIEDKNVVLKKCGPSVEFEKESEIVGGRDAMMAGLMGGGGLFRVGGSGEVQGLAQCVGDLSLAECQDCLSSAIQRLKSECAAAAYGDMYLGKCYARFSTANDNGHYYPKSHNEKSGNDGEKTFAIIIGLLAGIALLIIFLAFLRKVVDRNGK >KJB11400 pep chromosome:Graimondii2_0_v6:1:53019812:53020953:1 gene:B456_001G256600 transcript:KJB11400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFQKASVVRLCSHHDKYLIAENDQETVGQDRDGSSRNSRWAVEFVDSSPIHIRLKSCFGKYLTASNMPLLIGMRGKKVLQTLPRRLDSSVEWEPIREGVQVRFMTRYGRYLRANAKLPPWRGHITHDIPHRSVTQDWILWTVDVMIHKPRALLPPPPLETTTSEQCSDNEEDNNSNSDDPGSPSEISLRGPGLESGDSTKGSPAAFEGRKIRYEVVDENGDVDKKIGERTFTFKGSGVEELKKILKVETGVNEDIWICSRNPLNGKLSPLRLHLPPNNAAVHVIVVPQSSKGNFIL >KJB06655 pep chromosome:Graimondii2_0_v6:1:3609538:3613927:-1 gene:B456_001G038500 transcript:KJB06655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGIQPRAKMLLLFMMHVTNSRVNLLPLEIKDPGIQFMTGLKWFRIIVIQGCRIRSNEAKDQILSSLGWYGRGHLIMGKDFDTLCYASYGKYYYMTLNPKPIGFGVSPSFKRRSRRCLLKKMSRPHHAGCVVEASRELYVCGEFGSLRCLLSHVCMWWLSIWGHMLVFNHFGNS >KJB07758 pep chromosome:Graimondii2_0_v6:1:4166298:4167691:1 gene:B456_001G044000 transcript:KJB07758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKEKKSQPKRGLWKPEEDLILKNYVETYGEGNWATVSKRSGLMRGGKSCRLRWKNYLRPNIKRGGMSKEEEDLIIRMHKLLGNRWSLIAGRLPGRTDNEVKNYWNTHLNKKSLLGRKRKTIDSNNQHNDNMEDNHIENQDSKKPRQAHDSDHHPIFNTTDGFDLDGNKQEKDDESAAAWMERAVRFFNYEYDLDYEMVGTPLMMHPDYSNFVFDEEPFTSYTDPFVLFEAFGTADCDVGLGIQSFLP >KJB11124 pep chromosome:Graimondii2_0_v6:1:48187528:48187698:1 gene:B456_001G241700 transcript:KJB11124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAFGSSHSIILTISKNCSYYEHSMVAVRQVCPHRLVVQDTSLSRRQWGFDFPWG >KJB09804 pep chromosome:Graimondii2_0_v6:1:23798368:23799003:-1 gene:B456_001G168000 transcript:KJB09804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGRRTMILSVLSSPALVSGLMVARAKNPVHSVLFPILVFRDTSGLLLLLGLDFSAMIFPVVHIGAIAVSFLFVVMMFHIQIAEIHEEVLRYLPVSGIIGLIFWWEMFFILDNETIPLLPTQRNTTSLRYTVYAVKVRSWTNLETLGNLLYTYYSVWFLVPSLILLVAMIGAIVLTMHRTTHGAVKRQDVFRRNAISFRRTIMRRTTDPITR >KJB09762 pep chromosome:Graimondii2_0_v6:1:23376164:23376868:1 gene:B456_001G162800 transcript:KJB09762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLTEVTLLRSWTANWLQYVKWYYTQALLPSVLLKDFFDAPVFTRHWKVKSEDPELIRFGLLWRLYDEVAKKGEDKNGCSLFDRPIRYSYLLASSSISSDRTALNLLDGSGTSSKGRIPRKRKTIHGVTSLRPALDSGSHRGSCCRISRSYAKV >KJB06355 pep chromosome:Graimondii2_0_v6:1:45684:46926:-1 gene:B456_001G000600 transcript:KJB06355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLTPKRSTLDPQSSICGNNPKAKSRENEVRGATSASSPDHQSDNEETDVAQSEQSLDPSHLKRLRRMLSNRESARRSRKRKQEHLTDLEFLAEQLRGENDSLYRQLTNAHQQFRDADTSNRVLKSDVEALRVKVKLAEDVLARGSLPCGLNQLVQTQLTSPQKIASHNHSLGRVANVSPTITIHGDVSSNSGLTISGDISNVNANLNNGTGADAAVSCVSEIWR >KJB07214 pep chromosome:Graimondii2_0_v6:1:758518:763635:1 gene:B456_001G008200 transcript:KJB07214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSRLRNVTYRKVKRHQRHHKTTSAAVTAAMPSECTEPLTSPFGELATNLSDSELRETAYEILVGARLSSGGKSSTYASDSEKNSERAAATPQTLTSRAASKVKKALGLRSRRKKASEKAESERVKKVSTIGETMRVQMRVSEQMDSRVRKALSKVAADQKIESMVLPLEMLQQLKPSDFPNQEEYEACQRRNLKLLEAGLLLHPLVPLDDENTAPQQLREIIDGALEKPLETSKNNETMQALRTVVLSLACRTSNNIGSVSETSTHWADGFPMNLKIYQMLLEACFDVNDETSVIEELDEVLELIKKTWLVLGMNQMLHNLCFLWILFNRYATMDQAEGDLLSAGNNLLIKVGNDAKAMKGDENYCRMLSSTLGAILSWAEKRLLGYHRYFRSDNAESTMGCVVSMAVLSVKIMEGGEGISNEDHIEGNEMDVGQEKVDAYIKSSLRAAFVQIMETVKSSKSSSTGQQNELPFMAKLAEDVSTLAFTEKETFSPILKRWHPLAAGVAVATLHSCYGNELKQYVSSIDELTPDILEVMKGADKLEKDLVQIAVENSEDSEDGGKSIIREMLPYEADSVTSNLVKSWIKTRTDRLKEWVDRNLQQEVWDPRANRERFALSAVEVLRIVDEAFEAFFLLPITTHSALLPDLATGINSCLQHYISMAKFGCGTQSTYVPTMPPLTRCSRRSKLPSVFKKKEKVPKKSQAGTVNGNENDSFGTPQMCCRINTLNYIQNELNVLAKRDITHLSSSGTNQDIADRMEKVFEFSAAACVEGMQQLCEATANKVIFQDLSHFFWDGLYIGEVSSTRIDPFLQELDHYLEVISITVHDKVRTQVITEVMKVSFDGFLMVLLAGGPPRAFTLQDYEIIDEDFNFLTDLFWSNGDGLPAELIDQFSTKVKDILPLFNTDTDSLIEKFKQVTLESCASSSAKSKLPLPPTTGQWSPTEPNTVLRVLCHRNDETAAKFLKKTYHLPKRL >KJB11418 pep chromosome:Graimondii2_0_v6:1:53162695:53164683:1 gene:B456_001G257700 transcript:KJB11418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFPVLVLSAVTEDDMKCLEGVKNSLKDPDGKLSSWTFNNNSVGFICKFVGVSCWNERENRLLSLGLRDMKLSGQLPQSLQYCRSLQSLDLSANKLSGSIPTQICSWLPYLVTLDLSSNDLSGTIPPELSYCAYLNNLKLSNNRLSGSIPYQLSGLDRLKKFSVADNDLSGAIPSSFENFGKADFVGNNGLCGGPLRKCGGLSKKNLAIIIAAGVFGAAGSILLGFGVWWWQHLRWIKRKKKGYIGGSSDSNWAERLRAHKLIQVSLFQKPLVKLKLGDLMAATNNFNAENILVSTRMGTTYKAMLPDGSALAIKRLTTCKLNEKQFHWEMNRLGQLRHPNLTPLLGFCVVEDEKLLVYKHMSNGTLYSLLHGRGGDMDWPTRFKIGLGAARGLAWLHHGCHPPFLQQNICSNVILVDEDLDARLMDFGLAGLMTSSDVNETSFMKSDLGEFGYIAPEYSSTMVASLKGDVYGFGVVLLELVTRQKPLQVNTGEEGFKGHLVDWINHLSNSGRIEDAIDKDVIGKGHDEQISELLKIACNCVVARPKDRWSMFQVYQSLKTMGEENGFSEMDDDDFPLIFTKQDTESM >KJB07220 pep chromosome:Graimondii2_0_v6:1:807139:808187:1 gene:B456_001G008700 transcript:KJB07220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFSKMEMEASPPVVEKKLWNIVRIVFLMLKTGISKSKIMLDLHFMVKKGKYNAGKVIKNLIFHRKVHDHLSSLSCRSNDAHLSFVSPREYEFSCSNSPATAAFFYHKRGGKNHHHGYHFGKSSKYRYDDVTTVAAVQKVLEMLNNEAVEAAVSPLVLPGFGGKSPFVRQLRVTDSPFPLKDEGGGDSQVDMAAEEFINRFYKDLKLQKRMSAF >KJB11714 pep chromosome:Graimondii2_0_v6:1:55136227:55137629:1 gene:B456_001G273800 transcript:KJB11714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSKESQPNYPTISPDEYTNPFHQQPHMEPAAATAEAPASTSSSPPPPPPEQSEKWGTHIMGTPAVPTCHPDNKKAALWGAGDQAQYYHHPYLQYSPVEKSSNNPMDSMLQVFNTWSHKAETMANNIWHNLKTGPSVSGAAWGKMNVTAKAISGGGFESLYKQTFGTYSNEKLKKSFACYLSTSTGPVAGTLYLSNIHVAFCSDRPLSFTAPSGQETWSYYKVMIPLSKVGIINPVIMRENPSEKYIQIVTVDGHDFWYMGFVNYEKASKHLSESVSSFVATGIAVQPSPVAS >KJB08159 pep chromosome:Graimondii2_0_v6:1:6876560:6878108:-1 gene:B456_001G068400 transcript:KJB08159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET5 [Source:Projected from Arabidopsis thaliana (AT5G62850) UniProtKB/Swiss-Prot;Acc:Q9FM10] MLSTNAIRTIVGIIGNIISLFLFLSPVPTFIKIFKLKSVEEFKPDPYVATILNCAMWVFYGLPIVHPDSLLIITINGVGLVIEGVFVTIFFIFSNNKKRKRICFYLLIEIIFMVAVVLITLLVFQTTQKRSMFVGILAIVFNIGMYTSPLTVMRMVIKTKSVKYMPFSLSLFNFLNGVVWVIYALLKFDINVLIPNGLGTLSGLVQLILYAWFYRTTKWDEDDKAPAQQVQLSEI >KJB09257 pep chromosome:Graimondii2_0_v6:1:16926508:16931378:1 gene:B456_001G132000 transcript:KJB09257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKSFSFARDFGFCGCFGYTTKPKRSRSHCRPNSQDLFLGEEIEEDSCLYNDDFTSTSQVDEVEPISRAKRSEEILRFKLNNGMICRQFIVKETNRIIRSEDEDGNKMVNEYVREYRIGSGSYGKVVLYRNSVDGKHYAIKAFHRSHLLKLRVAPSETAMTDVLCEVLIMKMLEHPNIVNLIEVIDDPTTDQFYMVLEYVEGKWVLEGSSPPGAIGEDTARKYLRDIVSGLMYLHAHNIVHGDIKPDNLLITKTGTVKIGDFSVSQVFEDDNDELRRSPGTPVFTAPECCLGLTYRGKAADTWAVGVTLYFMVLGKYPFLGETLQDTYEKIVNNPLVLPNDLNPELRNLLEGLLCKAPKQRMTLNAVAENPWVIGEDGPIPQYLCWCKRNSCHREESNCRNGTHQSEAN >KJB09220 pep chromosome:Graimondii2_0_v6:1:16475516:16476369:1 gene:B456_001G130000 transcript:KJB09220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFIRLSLLALIALINNIFHFPFILQFRLFSFSSSFPSASLVVSSLSTLLLLPPVSIFLRPQAHGRRSSGHHELSLPRFLPFAPIYCYCLLLFCFYVLMFCFRYGSWLHPCFAMV >KJB10245 pep chromosome:Graimondii2_0_v6:1:31881524:31881763:-1 gene:B456_001G191300 transcript:KJB10245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TMIGEPADPFATPFEILPEWYFFPVFQMLRAVPNKLLGVILMVSIPAGLLTVPFLENVNKFQNPFRRPVATIVFLLVLQ >KJB08700 pep chromosome:Graimondii2_0_v6:1:11089609:11093105:1 gene:B456_001G098600 transcript:KJB08700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKGNDNDGASPGKIFIGGLAKDTTLETFTKYFEKYGEITDYVIMKDRHTGRPRGFGFITFADPSVVDTVMQEDHVINGKQVEIKRTVPKGSSQSNDFKTKKIFVGGIPTSVTEDEFKNFFSKYGKVVEHEIICDHATKRSRGFGFIVFDNEKVVDNMLANGNMIDMEGTRVEIKKAEPKKSSNPAPGPAYGSESRGRSYNDGYGGFGDYGGFGPGPYRSFGGFGSRYGDYGGYGGGAADFGGSYGGFGGGGGFSGYRGDPSFGYGSRYGSYAGGLSGTGIGPYGRGGGGYGSYSGSGPSGNYDSGPGAGFGGPGGLYGSRSGYGGSSRYHPYAR >KJB11673 pep chromosome:Graimondii2_0_v6:1:54796047:54798354:1 gene:B456_001G270900 transcript:KJB11673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSSISIQNMQHPLLFSIFFLFFFLGFASADYLQNSDFESPPKNLTGNSSVPFVLLNENNTIPGWTFQGTVQYVTAGRIMALPDNGHAIQLGQDAKINQTFQANGDYMEYILTFTLAPGGQNCSANANITVSGPDNQGIFSFKQNYGKQAWQSYGLYLGLSGQDETVNLLFESQSVESDDNSTCWPVIDSLLVKAVENLVQGKDNLLLNGGFEFGPDFLSNSTEGILLDSASSPVQSPLSQWAVVGTIKYIDSEHFFVPHGNAAVEIVSGVSAGIHTEVTLAKGSAYNLEFILGDANNACEGDFIVEVRAGSVAQNFTIRSNGTGSAEKSSIKFEVDSNATTPISFSSFTTSQTKDGIFCGPVVDDVVLLPLSSNSLRIVINPNILISLLLLIVILW >KJB07245 pep chromosome:Graimondii2_0_v6:1:1017685:1019555:-1 gene:B456_001G010700 transcript:KJB07245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIETEKPSSVRLSKVAISKTHGEDSPYFAGWKAYDENPYDEVHNQSGVIQMGLAENQVSFDLLEKYSEEHHEASSWGKGAPGFRENALFQDYHGLKSFRQAMANFMEQIRGGKVEFDPDRIVLTAGATAANELLTFILADPGDALLVPTPYYPGFDRDLRWRTGVKIVPIHCNSSNNFQITPQALEAAYQSAESMDLKVRGILITNPSNPLGSTIQSSILNDILDFAVHKNIHLVSDEIYSGSTFSPSEFVSIAEILRNRNYKEAERVHIVYSLSKDLGLPGFRVGTIYSYNDDVVTTARRMSSFTLISSQTQHLLACMLSNHEFTQNYIKTNRERLNKRYDMIIQGLKKAGIECLKGNAGLFCWMNLSPLLNEPTRQGELELWNIILNQVKLNISPGSSCHCSEPGWFRVCFANMSEQTLRVALQRIHKFMEQRKVCSVNR >KJB10381 pep chromosome:Graimondii2_0_v6:1:35395192:35398355:1 gene:B456_001G198300 transcript:KJB10381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALPLPISPPSTTPSTVSTANNNPSHSSTTIICSPPPNPTLRTPSIRSRLSRICQQGQPHVARQLFDTIPQPKTVLWNTIIIGYICNNMPHEALLFYSHMKSCSSLTKCDSYTYSSVLKACALSRNFRIGKAVHCHFIRGLTYPSRIVYNALLNFYATCLSSMDNKEMGGYIEGFDYVKHDLVCKVFNMMRKRDVVAWNTMILWYVKTERYLEAVKLFRNMMKTGIKQSAVSFVNIFPAISGLVDYNNAEVLYGMLLKSGDECVDNFFVVSSAISMFAELGCLDFARKIFDNCSLRNIEIWNTMIGGYLQNNVPVEGIKLSIQAMELGTVFDDVTFLSALIAVSQLQQLHLAEQLHAYVIKNERKLPLMVANAILVMYSRCGSIHTSFEIFDKMPVRDVISWNTMVSAFVQNGLDDEGLLLVYEMQKQGFLVDSVTVTAILSAASNLRNQEIGKQTHAYLLRHGIKFEGMDSYIIDMYAKSGLIRNSQILFEKSNSSNRDQATWNAMIAGLAQNGLIEEAIVVFRKMLQQNVVPNAVTLASVLPACSLMGNIDLGKQLHGFSVRNLLDSNVFVGTALVDMYSKSGALKLAENMFSKIPEKNSVTYTTMILAYGQHGLGEKALTLFSSMQVSGIQPDVITFVAVLSACGYAGLVDEGLHIFYSMEREFNIQPSMEHYCCVADMLGKVGRVYEAYEFVEQLGEMGNSLEIWGSLLASCRLHQKSDLGEVVAKRLLQMDTENSTTGYHVLLSNIYAGEGNWESVDRVRKEMKEKDMRKAVGCSWIEVAGCVNYFTSKDQEHPQSDKLYELLGLHKEMKRC >KJB10529 pep chromosome:Graimondii2_0_v6:1:40321477:40323566:-1 gene:B456_001G206100 transcript:KJB10529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKLHCLPLFITLFSLSLSSCLGSYKEHPLHPKPYHPYFHTFGVSNKPNYPTLNSGDLFNYNIEIRTSAPKIVNVDAFGAKANGRDDSQAFKKAWKYACSSQGATLVVPKKKVYHLKPIDFSGPCKSAISLNIQGTIKATVNHSDYERYDGRWLYFDKVQNLRVEGGGIINGNGRTWWENSCKINKALPCKEAPTAVTFNECNNLLVASLLIKNAQQMHLSFRKCVNVKAFNLLVKAPGHSPNTDGIHVTETQNININNCVIGTGDDCISIVSGSKNVRATGITCGPGHGISIGSLGARKSAAYVSNVLVNNTILSGTTNGVRIKTWQNYCDQQKPCPKQIYIYYINADQVSAVRVSNVLYKNIRGTSASRVAMKFDCSKSFPCRGIFLQDVALRPQEEEQEDIAKASCANVRLSYRGNVSPPCSS >KJB11263 pep chromosome:Graimondii2_0_v6:1:51809102:51809626:1 gene:B456_001G250500 transcript:KJB11263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFTENERELRTSSLTRKQPNTRSKETSLDEVLCRHKERKPFSYGLCNECYEEFIKVSGGLDGGSDPPTFQRAEKERLAKLSIQENAKVCSVIILSKGLRTVKG >KJB09743 pep chromosome:Graimondii2_0_v6:1:23293195:23294973:1 gene:B456_001G161900 transcript:KJB09743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTKEKEEQEELIRTESNNYEIDNETKPPWSGFCKKMRGKLSKMSEKRNIRDHKRRLLAAKYELRRKLYKAFCKDPDLPSDMRDKHRYKLSKLPRNSSFARVRNRCISTGRPRSVYEFFRISRIVFVD >KJB10283 pep chromosome:Graimondii2_0_v6:1:32833018:32834670:1 gene:B456_001G193800 transcript:KJB10283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIAITALLLLFTLIPIVECTDIDVVAKFGAVADGQTDLSLPLMNAWKEACASPEPVNIVIPEGTYLLSEATLNGPCQAPISLQLQGTLMAPEDPTVFKEPTWVSISYVDSLTMFGGGVFDGQGATAWGQNDCSKNKNCVKLPINIRFHNVKNSLIQDITTKDSKQFHVNVLGCSNITFQSFTVSAPEESLNTDGIHIGRSDGVYILDSKIETGDDCVSLGDGSNNVKVQGVTCGPGHGISIGSLGKYKNEEPISGVFVTQCTLANTMNGVRIKTWPASQPGSATDIHFEDITMDNVGNPILVDQEYCPWNQCDLSVPSRVQLSKLSFKNIRGTSKTQIAVKLICSSGLPCDEVELADIDLTYNGPEGPAISQCSNVHPALSGVQNPAACSSQPTGEAAP >KJB08101 pep chromosome:Graimondii2_0_v6:1:6480653:6481392:1 gene:B456_001G064900 transcript:KJB08101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQQDQSPNKNQTSIEPHEAQTMAENSEKRSIKKVINNGRRRYLGVRQRPSGRWVAEIKNSSQKLRLWLGTFDKPEEAALAYDNAAMVLRGKNAKTNFQYEGNLNLIGKVNINPRVYQLLQLTIMKNHARSALRSINGKRMDPVDIDGFDTIVEETIFCDDHHHHHDHDEGDNNNNNNKLCKVSLGNSKVYSSVVVAPSFCSSSIDQQGQKENNM >KJB10669 pep chromosome:Graimondii2_0_v6:1:43067464:43071102:1 gene:B456_001G215200 transcript:KJB10669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGAAAPVTSNPSARVIYLIRGLASEETREQSLDVLCKNRLTYDNLAVLLWKSFGTMSVLLQAAYRALSSDGLTEKALTQVCNAIALFQVTSLLSHQIQLLLAALIEINISFVATMPVYLYPFLNTMSNERSYECLRITSLGVIGCLRCMEVGKTLSRTVSTFIIYRILLSEKGLKYCFVLAERYLSVSQCLGKLVENLSEDDAENLPCLLRNIIGCYLRLSENERTRPQLSSYVPWKLLDGKYANIVRSDPKALANLRQLVCNLRTSKSCATHCTDEPPTSSNSPGPSIP >KJB06628 pep chromosome:Graimondii2_0_v6:1:217091:218461:-1 gene:B456_001G002500 transcript:KJB06628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKKANIIAATIIFGLIVFMVVARVSLRLSKAFFLIVGADIAVILAVFVCLVIRGRYHRRRKLLENKFVSEGRELRIEYSFLRKVAGVPIKFKHKDLEDATDNFKALLGQGASASVFKGILTDGTSVAVKRINGEEHGEKEFRAEVAAIASVQHVNLVRLMGYCCAPSGPRYLVYEFIPNGSLDYWIFPRKERGRGGCLSWDLRYRVAIDVAKALSYLHHDCRSRILHLDVKPENILLDENYRAIVADFGLSKLIGKDESGVITTLRGTRGYLAPEWLLQHGVSEKSDIYSYGMVLFEMIGGQRNVSLIENGIDRSQRKWNFFPKILRKKLKEGKLIEAIDQRLVEAGGGGGVDERQLKRLVYVALWCIQEKAKLRPNMAQVVEMLEGHVVIDEPPDTQMIVVDLLSMDDDDDGGGGDCDHRHYRPKITVMGSDVDCNPPTSTSSSFSMSVLSGR >KJB10065 pep chromosome:Graimondii2_0_v6:1:28146365:28149783:-1 gene:B456_001G183000 transcript:KJB10065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGPVATGKEKLVVEVIAAHNLMPKDGEGSSSPFVEVEFENQRHRTQVKRKDLNPIWNEKLVFHIKDVADLPYRAVEFNVFNERRSSNSRNFLGKVRVSGSSIAKEGEEGPQMYTLDKRSLFSHIRGEITLKLYVSTREEVKQVGIDNNGVMGTGSASVSTSGFSKKKKMQQQNPALVVQQQQLVQENKPRLQGQIHAKPLEPKPGELKPVVITTGPGPAVPVSSAGGGAGAGLSLFSTGSNEFSLKETSPHLGGGPLNKDKTSSTYDLVEQMQYLYVRVVKARDISFFGGGGEIMAEVKLGNYRGVTKRVSSNHADWDQVFAFSKDCIQSSMVEVVVKEGNKDDYLGRVWFDLNEVPRRVPPDSQLAPQWHRMEDKKGDKSKGEVMVSIWFGTQADEAFAEAWHSKAANVHFDGLCSIKSKVYLSPKLWYLRVSVIEAQDVLGEKGSTLLRYPELSAKVQVGNQVSRTRISGVSPNRSLSNPFWNEDFMFVVAEPFEDYLLVSVEDRVGPGRDEVVGRVLLPVMAVERRTDDKQVVSRWFNLDNHFGNPAETKLVTGFGSRIHLRVSLDGGYHVLDEATMYSSDVRPTAKQLWKAHIGVLEMGILGATGLMPVKVKEGKGGTTDAYCVAKYGQKWVRTRTVGDSLSPKWNEQYTWEVFDPCTVITIGVFDNCRTDKNIITNGVPRDSRIGKVRIRLSTLESDRVYTHSYPLLMLHPSGVKKMGELHLAVRFSCANMGNMLHMYTLPLLPKMHYVQPMSVNQLESLRYQAMNVVASRLSRAEPPLGREVVEYMLDHDSHMWSMRRSKANFFRLMSVISGLVAMNRWFESMRNWSKPVYSSLFVATFLILVALPELIIPATLLYMATMGLWRYRFRPRHPPHMDTRLSHAESVYPDELDEEFDSFPTSRSVDVVKMRYDRLRSVAGRVQTVVGDMATQGERFQALLSWRDPRATFLFVILCLFAAVGFYAVPLKVVVALWGTYALRPPRFRSKLPCRALSFFRRLPTKADSLL >KJB06731 pep chromosome:Graimondii2_0_v6:1:830140:834503:1 gene:B456_001G008900 transcript:KJB06731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTLKEMRGGESVLDLSPKATVGGGVEDVYGEDFATEEQLVTPWTYSVASGYSLLRDPHHNKGLAFTEKERDSHYLLGLLPPAVVTQQLQEKKLMDNLRKYEVPLQKYMAMMELQERNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKVLDVLKNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSAGLPVTIDVGTNNEQLLKDEFYIGLRQRRATAQEYADLLHEFMSAVKQNYGEKVLIQFEDFANHNAFTLLAKYGTTHLVFNDDIQGTASVVLAGVVAALKLIGGTLADHKFLFLGAGEAGTGIAELIALEMSKQTKIPVEETRKKIWLVDSKGLIVNSRKESLQHFKKPWAHDHEPVKDLLGAVKAIKPTVLIGSSGVGRTFTKEIIETVTSFNEKPLIMALSNPTSQSECTAEEAYTWSQGRAIFASGSPFDPFEYNGKVFVPGQANNAYIFPGFGLGLVISGAIRVHDDMLLAASEALAKQVSDENYNKGLIYPPFSNIRKISANIAANVAAKAYELGVATRLPRPANLVKYAESCMYSPVYRSYR >KJB07659 pep chromosome:Graimondii2_0_v6:1:3309009:3315736:-1 gene:B456_001G035900 transcript:KJB07659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDVSIETSCMIRIAVLPIGDVPSTLLRDYHSMLLRHCTIPLSTISSFYTEHQKSPFAHQPWETGSLRFKFVLGGAPPSPWEDFQPHRKILGVIGICHCPSSPDLDLVIDQFNAAWRGYSSVLVQRCFAFSPGDSQLEDTKKRENLVLFPPSDRSAQELHLQTMMQDISASLLMEFEKWVLQAESAGTILKTPLDSQATLSSEEVIKAKKRRLARAQKTIGDYCLLAGSPVDANAHYSTALELARLTADYFWYAGALEGSVCALLVDRMGQKDIAIEDEVRYRYNSVIVHYRKSFIQENAQRVSPLTFELEATLKLARFLCRRELAKEVAELLTSAADGAKSLIDASDKLILFVEIARLFGTLGYQRKAAFFSRQVAQLYLQQENRFAAISAMQVLAMTTKAYRVQSRTSISKQSLSDETETGHVDSGKMHHQSVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLARALTNSAERLPSGTRCADPALPFIRLYSFPLHPSQMDIVKRNPAREDWWAGSAPSGPFIYTPFSKGESNDNSKQELIWIVGEPVQVFVELANPCGFDLSVDSIYLSVQSGNFDAFPLSVDLPPNSSQVITLSGIPTSIGPVVIRGCTVHCFGVITEHRFKDVDNLLLGAAQGLVLSDPFRCCGSPRLRSVSVPSISVIPPLPLLVSHVVGGDGAIILYEGEIRDVWINLANAGTVPIEQVHISLSGKNQDSVISIAYENLKSALPLKPGAEVTIPVTLKGWRLFLGESDTAAGKNAFGSMGRTLKDGSSPSLLIHYAGPLGDAQDIETNKSSVPPGRRLIVPLQICVLQGLSFVKARLLSMEIPAHVGESPSSLANMDSKPFGEAVGYSKIDRLVKIDPFRGSWGLRFLELELSNPTGVVFDISVSVQLEKSGNEDNLSVDCAAEYGYPKTRIDRDHFARVLIPLEHFKLPFLDGSIFSKDLQSDGSTAGRNSNFSERNTKAELNASIKNLISRIKVQWQSGRNCSGELNCKDAIQAALQSSVMDVLLPDPLTFGFRLARNGSENAAKLDLPKESDTSIQHIASKNSVIAHDMTPFEVLVRNNTKETIKMNLSVTCRDVAGANSIEGAKATVIWAGVLSGITMEVPPLEETKHGFSLYFLVPGEYTLVAAAVIDDANDVLRARAKSESSDEPIFCRGPPFHVHVNGTA >KJB10297 pep chromosome:Graimondii2_0_v6:1:33391440:33392057:1 gene:B456_001G194900 transcript:KJB10297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVELLDSGTIVNFVEDEEAFHVSIRDRFAHLDADHDGLLSYSELLKELQSLRVLETHFGIDVQTDPKELAHVYKSLFVQFDHDSNGTVDLEEFKSETKRMMLAMANGMGFLPVQMVLEEGSFLKIAVERESNKLGLAA >KJB08936 pep chromosome:Graimondii2_0_v6:1:13366865:13367269:1 gene:B456_001G114200 transcript:KJB08936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQANFNNLPFLFSLFEQNTDTSAFFNAQIDWKENPKAHVFKANLPRLKKEEVKVEIEDDREDKNDTWHWVESSSDRFMMRFRLPENVKMDQVKASMEIGVITDTVPKVEVKKLDVKAIDIVG >KJB06672 pep chromosome:Graimondii2_0_v6:1:2376868:2379092:1 gene:B456_001G025500 transcript:KJB06672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKLHLLLKFRFLVFASNRHFTRSNSVFRITATYSTICPNQVESPNEEAIEHPRDSIEVFKQWGCCENDLLKIFSRQPSLRNAQATPLLSKLNLLSSLGLTGSDIVKMVNCRPRFFCSRINNCFDERIEFLVNLFGSREMLRKALVRNPSLLTYDFHNTMKPVIALYEEIGISGHDLIAMLISRPTLIPRTSFNEEKMQYIKKTGVLKGSKMYKYVVSLIGISRMETIREKVTNLEKFGCSEEEIWSFLGRSPLILTLSVDKVQRNMTFVLGTMKLSPRVVLEHPFLLFSNLETVLKPRISLARKLKEMELYPQIKGSITLRALRMTENRFLNVFIKCHPQDVANELLEFYKHAKGLKPLAESSKKILRKGFPF >KJB11435 pep chromosome:Graimondii2_0_v6:1:53408356:53410512:1 gene:B456_001G259300 transcript:KJB11435 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 40 [Source:Projected from Arabidopsis thaliana (AT5G40140) UniProtKB/Swiss-Prot;Acc:Q9FL17] MEFQEALMKLVIHKTPTHHHKDFFHSISGKDEKVVSKKQKWKISFHRSSSAKTSQSGHQVQSKSIPEEFFCPISGSLMADPVIVSSGHTFERVCVEACKNLDFAPILKDGSVPDFSTVIPNLALKSTIVNWCQTHLINPPKPFDFSKAEELVRSLSSKKPKTQIEKDVPSVKLDHAVTELIRSSSEESVSAVTTTPTQPLQLADSPSCYSSASSSSEIETLTTPNINEEEEYFLTKLKSHQVFDIEEALITLRKKTRTQESSRVVLCTPRVLSAFRSLIVSRYVNIQVNSVAVLVNLSLEKTNKVKIVRSGLVPDLIDVLKSGSPEAQEHACGALFSLALDDHNKTAIGVLGALQPLMHMLRSGSERTRHDSALALYHLSLVQSNRTKLVKIGSVPVLLSMVKSGHMTGRVFLILCNLASGSDGRAAMLDSGAVDCLVKLLKGSELDEPTREGCVAVLYGLSQAGLRFKGLAKAAGAVEELAKVERTTNGLTREKARKMWETLKRGSEEEQEEVDWEALLDSGFMTRTKFRFGGGKDGSCVNSSEF >KJB07148 pep chromosome:Graimondii2_0_v6:1:24591660:24592042:1 gene:B456_001G171300 transcript:KJB07148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NEIFQKTKEIARAAGVDDNQKLEKIVDAVKFLSNVEDLAEEARIPYLHEFKAKISNQETWFEIDKEMKRWGGVASETQKRQNGMEKGCFGSGVITSFRAKIGGKDKFSRISRARSVLVSR >KJB06166 pep chromosome:Graimondii2_0_v6:1:15542773:15543301:1 gene:B456_001G124700 transcript:KJB06166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFLMAIILLVFTLLTFTAPASARSLAIHSKIKLIYTKILLNISQHMLIKEKKVAIHCQSQRRVTGVLGFVVSVFAWDIDMNKVKLLPVYLVLQAPIILYKR >KJB09778 pep chromosome:Graimondii2_0_v6:1:23543868:23546527:-1 gene:B456_001G164600 transcript:KJB09778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGACYLKGTRFFDRGGMIAGASPRSARWPIGIAAFGLCLPFIIKNSGSARESAGNNRKEGVHVTAAPAPLLNQWGSRKTPSPSDSISLLTLYMWAPDIYEGSPTPVTAFLSIAPKISISANISRVSIYGSYGATLQQIFFFCSIASMILGALAAMAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYALMTIDAFAIVSALRQTRVKYIADLGALAKTNPISAITFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGCWAAGRLPRMGMHATKDRVESDTSTVYSISLFESTITTRDEPWFGELKLALGVIGLPVTARDRILRCSPPVVGTTRAGPANPCPVPGRAKGASILIPYPHLRPEMEEIEQIWKKNHIENVPNPTPSFVELCIRQVKLVSRMMGNYLLRFGEDSAITKRMFFDTPRTWILYEPMDRNKSLLLAMTSSFITSSFLYPSPLFSVTHQMALSSYL >KJB08183 pep chromosome:Graimondii2_0_v6:1:7017195:7018820:1 gene:B456_001G069600 transcript:KJB08183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLSLLLLHFLISSLSVSGSAATDQRNIMSCLNYYNISNYTISSNVHNHDYSILLNFSIQNLRFAEPTIPKPIAIILPENKEQLINTVVCCTKGPWEIRVRCGGHSYEGTSSVASDGAPFVIIDMMNLNRVSVDLGNETAWVEGGATLGETYHAIAESSFIHGFAAGSCPTVGTGGHIGGGGFGFLSRKYGLAADNVIDALLLNAEGELLDRQAMGEDVFWAIRGGGGGIWGIVYAWKIKLLRVPKTVTSFIVSRPGTKAHVANLVNKWQHVAPNLEGDMYLSCAVGAGLPQAKSIGISATFNGFFLGRKREAVLILRRVFAELGVAEEDCKEMSWIESVLFFSGLGDGALVSDLKNRYLHDKHYFKAKSDYVRNPISLTGIRTAIDILEKQPRGYIIMDPYGGIMNNISNDSIAFPHRYGNLYTIQYLVEWHQEEKNRSNEYREWIRDFYDAMASHVSWGPRAAYVNYMDFDLGVMELINTSVLSEDTVEMARVWGEKYFLNNYDRLVKAKTLIDPNNVFKNQQGIPPSTTIGLKARTF >KJB08832 pep chromosome:Graimondii2_0_v6:1:12046469:12047634:-1 gene:B456_001G106700 transcript:KJB08832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLPHFYGARRSPSGVFGPFSLNIWDPFKDLDFPQPSREKAAFVNARVDWKETNDAHVFKADLPGLKKEEVKVEIEDDRVLQISGEMMFEKEDKNDTSHRLERSSGKFSRTFRLPENVKMDEVKASMENGVLTVTIPKLRAKNSEIRSILISG >KJB08778 pep chromosome:Graimondii2_0_v6:1:11510627:11511532:-1 gene:B456_001G103200 transcript:KJB08778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRVKRVTDPLDDRVKAQLVGAGYFSSGSEHSGSDAVAGDDSPCLSELVDSFLEDNHYGTDETGGGNGSTTYISDADCVDSNYDNTALLEIIVRSNAVNDRDCYRNLLVDRVLEAKEMVSVFKMDKAVFKRKVMAHLREAGYDAAICKTKWSSSGGIAAGNYEFIDVMRSVSSSRQNRYFVNLDFASEFEIAMPTGEYSRLLQYCPKVFVGKSEELKKIVRAMSDAAKRSLKSKGLSLPPWRKNRYMQNKWFASYRRTTNQTPADSGSLTTMTIHSVNVVQCRYVGFDDAVNSRMFVRTR >KJB09789 pep chromosome:Graimondii2_0_v6:1:23676299:23677180:-1 gene:B456_001G166200 transcript:KJB09789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSRWRKGIAINSYRASKNKKMKSDDEFLRGPRKSKKSPFFIGFEPTTYAYFLGRLKSATLPLSCTPNFPGIVVQSVRAPPCQGGSCGFEPRQSRPRIR >KJB09295 pep chromosome:Graimondii2_0_v6:1:17125772:17126680:-1 gene:B456_001G133400 transcript:KJB09295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCCIFSCKTLFYPIQIAHMAPKRSCFCWVVILSLITCSKASVPSLRLGHNAELLTLLKHRIPNVGI >KJB09051 pep chromosome:Graimondii2_0_v6:1:14837936:14840197:1 gene:B456_001G121600 transcript:KJB09051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVMLRSPKFYRYWLDFRRNLQHWARKRMFQPDIMMDLVTLVKVPIDSHNGLMSSDNKYKSCAVVGNSGILLNTDHGKFIDGHEAVIRLNNARTERFEKNVGSKTSISFVNSNILHLCARRDGCFCHPYGGNVPMVMYICQPVHFMDYLVCNSSHKAPLLITDLRFDMLCARIVKYYSAKRFVEETGKALSEWGSTHDGSMFHYSSGMQAVMLALGICDKVSIFGFGKSTLAKHHYHTNQKAELRLHDYEAEYAFYHDLVKNPRAIPFISDKFRFPPVVFYQ >KJB09052 pep chromosome:Graimondii2_0_v6:1:14838478:14839668:1 gene:B456_001G121600 transcript:KJB09052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFRPLISILMLVALAATLSCRIAIRGGSGGGFAVSAELETTRSRVLIQPPPIQNFNSTLLKFAAVDTGEAKSKLEIEQLLEGSFAGQGRYRTFATWRRFNHHDVKARNSNGMPVMLRSPKFYRYWLDFRRNLQHWARKRMFQPDIMMDLVTLVKVPIDSHNGLMSSDNKYKSCAVVGNSGILLNTDHGKFIDGHEAVIRLNNARTERFEKNVGSKTSISFVNSNILHLCARRDGCFCHPYGGNVPMVMYICQPVHFMDYLVCNSSHKAPLLITDLRFDMLCARIVKYYSAKRFVEETGKALSEWGSTHDGSMFHYSSGMQAVMLALGICDKVSIFGFGKSTLAKHHYHTNQKAELRLHDYEAEYAFYHDLVKNPRAIPFISDKFRFPPVVFYQ >KJB10622 pep chromosome:Graimondii2_0_v6:1:42186046:42186897:-1 gene:B456_001G2116002 transcript:KJB10622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RASNRDVQEQFQHSGETLSRFFKEVLDVMDGLSRDIIRPRNPEFKEIPSQFAKIPNIYHILSLGKYYLVDKEYLKRQCYLTPYHKIRYHPSEFHGANLRGLQEIFNRIHSSLKSSIERAFGILKAQWRILAKMPIYSS >KJB09894 pep chromosome:Graimondii2_0_v6:1:25161679:25162635:1 gene:B456_001G173200 transcript:KJB09894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 9 [Source:Projected from Arabidopsis thaliana (AT2G45610) UniProtKB/Swiss-Prot;Acc:O64641] MSKFDPYQHLSIRPNPDGTITRLTNFPSTEANPDIIPGIPTVSKDVTVNEETKVWARIFRPNKLPSNDNTVVRLPIVFYFHGGGFTLFSVSNITTHQPCSTIASETPAIVVAVEHRLAPEHRLPTQYEDAIDTILWVKKQVLDPQGERWLRDYGDFTRCYLGGRGSGGNIAFHAAIKAADRDIKPLNINGIFLNQPMFGGKERLPSELKYATDQLIPLPVLDLLWELALPKATDRDHRYCNPMQDAVYKSKVSSLGRCLVISFDMDPMFDRVQAFVQMLVAEKVQVDARFDIVGFHNIDIVDTQRAQAILNIIKEFII >KJB08658 pep chromosome:Graimondii2_0_v6:1:10723986:10724472:1 gene:B456_001G096200 transcript:KJB08658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIASFYNPGSDAVIYPAPALLEKEEEEKKGLYPKFVFEDYMKLYALLKFQAKERRFEGMKAIATA >KJB08678 pep chromosome:Graimondii2_0_v6:1:10879504:10881424:1 gene:B456_001G097200 transcript:KJB08678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMYYLSRKHLNQKLFPSPHYYLSSLLFALSSKSLPPLCSTPQTQILSSHELHTIPTPHSSTPFISSSVSSPFPSTQYPFSRFLNKPDFSWFRGFSASSRTNASGKQVSEIINLIRRGSNDLESKLDAMNIRLSEVLLNTIFRILNREKIPALRFFNWIRKSHPEFRHDSDIYSLVVDNCGRSDDFDSIFNLLNDFRIHGICLNQKAFSYLPVMVSNQAAMKESICKTMEILNKIGGSCGVTGTHALIEMLCALGSFEMAEYVITKTEKRLSNYGILIRSRSRKGHFEEAKRVLDEMTGTGITPNCQIFNYVLGCLQKTDQTDKACQLLEFMVENGCPPNALTYEIFICNLCRHGKLDMAFDWLNKMESKGIEPRPTTHAALIKGYFKLQQYEQAHQYVVVCSDKYKTVSNMIYSLLASLYRKQGKPVMAQSVLSEMIEKGLSPNFTVYMHVRKHLQKIGREDLARNLESSFSCFISQPSAVNG >KJB10424 pep chromosome:Graimondii2_0_v6:1:36491376:36493627:-1 gene:B456_001G200400 transcript:KJB10424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSTSANDYNNINPFLHFPSSSYHPQALPPPPPPPLLSHESNDILLNHHHHHHDLVSASSLLPANPQLTDTLLNMALLNKDGVGFGGPPGFGFPVNKAVKKDRHSKICTAQGVRDRRVRLSIEIAREFFDLQDMLGFDKASKTVEWLLRKSNNAIRELVKMKRYGNGCPGGQRSFSLVPDDQYEMVAENGALGVDGGEFEGTAFQSNLLELEGVVSKDKKMKILHKAAVPLLAKESRAKARARARERTREKMCSGSGSSTSRHEWKICPDSSPHFLRSLSQLEPTKKSDHSYGHNSSSMASSSKVVAHQVEEPSAASRDNVIEESLVIRRMLKPSAILGFQQNLATSKDASCNSSGNNGFPNLSQKWDINGAMAHSTLCAVTTNVNLSTGVQLYGKP >KJB11774 pep chromosome:Graimondii2_0_v6:1:55554387:55554761:-1 gene:B456_001G276600 transcript:KJB11774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLPAHCSSCSRGESGSPRAGRGTDWERLPRGPSPGDEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >KJB10121 pep chromosome:Graimondii2_0_v6:1:29510387:29512104:-1 gene:B456_001G185000 transcript:KJB10121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDGIGKENGSIEEKQSFKDGSICGYSSLHHLLSANLKPQLYQKVSRLLLGLNCGTTLETIVPPESAKALSSKHEFDLQMPRNSDGSSEQCKAGPDFFSFYACQIADLLSEDKNTLSNSNASELSQGKYVVVNDKESMDCSPKDVDSLFENNIGDKLSDFKKGRLKGLLRQSVNDISMEVDEV >KJB06927 pep chromosome:Graimondii2_0_v6:1:412000:414793:1 gene:B456_001G004200 transcript:KJB06927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVEPYSFNRHPQYPRSTRTRHVYSYGPDEELDDEEEMELDNEDVDDDNDDDPDVYYHRVKESERFERYPKRQKVRTSVPSFQYAPDHRDSLKNAYDWTQQEIYVLLEVWGNRFLQLGRRSLRGEDWVDIAEKVSDALKSMKNEAQCRRMIDGLKRKFKKEKSKAERMGLNSSKWVFFRKMEMLMGLGSSSFRQQESWLACGVDSGEFVFMNPQVYLDRSNGFDEMRDSPAESEIDDDDDDGEEADGGSMKVDVNDERSLRMLADSVQRFGKIYEKIESSKREHMKELEKMRMDFQKELEVQKQQILERAQAEIAKMKEEDDDDDDDDDETDDDDDGNDNGDSTENISE >KJB06926 pep chromosome:Graimondii2_0_v6:1:411988:414793:1 gene:B456_001G004200 transcript:KJB06926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVEPYSFNRHPQYPRSTRTRHVYSYGPDEELDDEEEMELDNEDVDDDNDDDPDVYYHRVKESERFERYPKRQKEIYVLLEVWGNRFLQLGRRSLRGEDWVDIAEKVSDALKSMKNEAQCRRMIDGLKRKFKKEKSKAERMGLNSSKWVFFRKMEMLMGLGSSSFRQQESWLACGVDSGEFVFMNPQVYLDRSNGFDEMRDSPAESEIDDDDDDGEEADGGSMKVDVNDERSLRMLADSVQRFGKIYEKIESSKREHMKELEKMRMDFQKELEVQKQQILERAQAEIAKMKEEDDDDDDDDDETDDDDDGNDNGDSTENISE >KJB10323 pep chromosome:Graimondii2_0_v6:1:34094714:34097454:1 gene:B456_001G196100 transcript:KJB10323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFETFNFSGIERVVSLFEEDPKLKMFGRNGEEEGQGPSSVVTVSTPPQSLPSPTLSDRKLKDKCFRCGMKGHWAKDCPFANPTRNASSLPDVHHFPVLRCPCGVACTLRVSGSESHYGRRYYARNCNCGNGPGKNFYKWCEDVKAPLCKCGAGACTISFHKDTHGNYVKYYTCRIRTGHGSCGFLMFDSPPNSWPRSGRPISSPQHGEPPDMMSHEDECSIPCFSNTNILVHEAETSDFVVGKGNRVSRLMSWSDVHSRQIEFQNQIFAAGNLRNGCKTRQIMGLRVHGWVGRLAFPPPRILANLPLGHFFCFSQYADISIHGSSSIMPCTSSNGEVDEESQNALRNDTQLLAVPSGTSIRKRSFTAMQGVANMMMKGVGKNLQKDCSLLLSMEAAKDGLAFASHVTKSIQYISRVTGMELNCNQQEPTIQKNIEEASSDYLQWVRQVASHFEEMLHQIGELETFLVNITKDLGQSKRSMLAAYQQLTNALKLPQEEVEQRMAGTALDETCSSTSEICRVEGRKRQKIALLSESK >KJB07441 pep chromosome:Graimondii2_0_v6:1:2266980:2268273:1 gene:B456_001G024200 transcript:KJB07441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLKKMDCQIVIKTPAEKFYNTFRIKSHLIPKMSNGLMIDGKLLQGDWNTVGCVRLWSYVSEGKSEMVKEILENVDDENKTMVFKMVEGQILNYYKSWRSIFNITPMGEGSLVKWTMEFEKQNENIPDPDKYISYMMCLTKNIDAYLLDA >KJB09800 pep chromosome:Graimondii2_0_v6:1:23756201:23756635:1 gene:B456_001G167600 transcript:KJB09800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:sdh3 MVFKEKKEILLKLSSFLCNDLIRRANRSSNILRPHLPIYKPQLTSTFPISHRISGAFLATILLFFYLLCLKIGLICFTYTNFYQFFFYSSKLLLISVSIAALALSYYLYNGVRHLLTDFSVFIFLRTDFSGFILIFLRIAIYLI >KJB10768 pep chromosome:Graimondii2_0_v6:1:45615405:45618356:-1 gene:B456_001G223400 transcript:KJB10768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDSERSALLRLKDGFILNRSASYDTLAYPKVSSWKLEGQVGGDCCSWDGIECDDNTGHVIGLDLSSSFLYGSINSNNSLFQLHHLRRLNLSDNNFNRSEIPSAIGNLTRLSRLDLSWSGFTGQIPYEVLLLSNLVILDLSRNRLELRNPSLKSLSERLLNLKHLDLYMVNVSSTIPQSLANLSALTYLSLDACELHGSFPIEVFQLHHLRRLSLCDNDFNGSEIPSAIANLTRLSRLDLSSSGFTGQIPYEVLQLSNLILDLDMVNVSSTIPQSLANLSALTYLSLEGCELHGTFPTSLANLTQLTYLSLAGNEFSPATLPWLTQLTKLTALNLDSTNSYGEVLSYLKNLTKLTYLTLTRNQFSERIPSWFGNLTGLNTLALGSNEFWGSIPKSIFTLKNLVDLDLYGNHLSGTYKLESFLNLKNLKTLQLSSNQFSLLSTTVINVTVPKFTLLTLASCNLSKFPSFLSSQDKLELLDLGGNKIHGCIPKWIWGLSGQTLQVLDLSENFLTGFDEPTVVPSWTILRKLDLSYNMLQGSLPNLPASIYQYFVSNNLLKGEISSGICNLTSITVLDLSNNSFSGMLPPCLGNLSESLSVLNLQNNNFSGPIPRACEKGNTLRMIDLSQNQLNGRIPRSLVNCNMLELLNLGNNQIEDTFPSWLGRLQELKILILRHNGFHGAIGEPKSNEFPKLQILDLSFNKLTGCLPSRHFQIWKAMKVVDVGNLRYLKADVSFEGRETNWTIDFSYSMTMTKAGVELKYEKIQDFLVAIDLSSNKFDGCIPEDIQMVKALQFLNLSNNFLSGPIPSSLANLSNLQALDLSRNKLSGEIPQELVQLTFLGFFNVSHNQLTGPIPQGKQFGTFENNSFEGNLGLCGNPLSKKCYPKGLSPPPPSLSKKDDGEDSWLQLGWKAIMLGYGSGVVNGLVLGYLFNPMKHKLFVKYFGRKMQNRRRGRMN >KJB11407 pep chromosome:Graimondii2_0_v6:1:53058782:53061729:1 gene:B456_001G257000 transcript:KJB11407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFEAMTFNIHGGYLEAIVRGHRAGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDIDQVRAVMEKYPPYQSIFSKLSYGESQMLDKAFYEEEVKRLCLAFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >KJB11408 pep chromosome:Graimondii2_0_v6:1:53058803:53061632:1 gene:B456_001G257000 transcript:KJB11408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFEAMTFNIHGGYLEAIVRGHRAGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDIDQVCPCCDGKISSLPINFLQTILRREPDA >KJB11068 pep chromosome:Graimondii2_0_v6:1:47858102:47859291:1 gene:B456_001G239400 transcript:KJB11068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELLTAKRVQSFESIRQEEVSGLVKYISSNQGSPINLTKKIFSLTYDITSRAAFGNTCKDRDSYIIVVDEIIKLSSGFGLADLYPSFGLLELISGVRQKAEALLEKSDGILQGIINENRASLKRGRIGEGEAKEDLVTVLLKAQQHGDLEFSLTDMEIKAIIWDIFGGGGETSSTTVDWAMAEMLRNPKVLKRAQNEVRQVCHGKRDVDEASLKELKYLALVIKETMRLHPPFPLLVPRESRENCEINGYQVPTKTRVIINAWAMGRDPKYWSEVETFYPERFLNSSCDFKGTNLEYIPFGAGRRMCPGISFALPNIELPLAKLLYHFDWELPSGLPHENLDMSETFGMTARRKDDLILIPTTHSHLSAY >KJB07728 pep chromosome:Graimondii2_0_v6:1:3787062:3791327:1 gene:B456_001G041200 transcript:KJB07728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGTPVAGGYMRQRHSQGYASGNDDLEDDACSRLQPLPPATLRTRTWTEILENVLWVASALFIIYFGDRHSNLIYLLWHDDRIRRMPLYLGLIGIGLNVAIFFYTSMLAWSVRRFDEKWELLSIIALPFLTLLGLVSFCLLCFALWPIWGFLTLPLLFTLFMAGLVLYPHIMIETLRPQNDFFRID >KJB08308 pep chromosome:Graimondii2_0_v6:1:7809608:7814554:-1 gene:B456_001G075900 transcript:KJB08308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFGRSERAPLLDRGGRRKANGNTDDNLTDLEHGDAIPAANVSFTRVLSLAKPDAGKLIVATIALLIASTSSILIPKFGGRIIDIVSGDIGMPEQKVEALAAIRNTILDIFLIVIVGSVCTALRAWLFSSASERVVARLRKNLFSHLINQEIAFFDITRTGELLSRLSEDTQIIKNAATTNLSEALRNLSTTFIGLGFMFATSWKLTLLALVVVPAISIAVRQFGRFLRELSHKTQVAAAAAASVAEESFGAIRTVRSFAQEEYEISRYSQKVDETLNLGLKQAKVVGLFFGGLNAASTLSVIVVVIYGANLTITGLMTPGALTSFILYSLTVGSSVSGLSGLYTVAMKAAGASRRVFQLLDRVSSMPKSGNKCPLGDQDEVQLDDVWFAYSSRPNHMVLKGITLKLQPGSKVALVGPSGGGKSTIANLIERFYDPIKGKILLNGVPLLEISHEHLHRKISIVSQEPVLFNCSIEKNIAYGCEGRVNITDIENAAKMANAHEFISKFPDKYQTHVGERGVRLSGGQKQRVAIARALLMDPKILLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVQSADTVAVVSDGQIVESGNHEELLSKDGVYTALVRRQLQATKTNL >KJB07395 pep chromosome:Graimondii2_0_v6:1:1855746:1857422:1 gene:B456_001G019900 transcript:KJB07395 gene_biotype:protein_coding transcript_biotype:protein_coding description:YlmG homolog protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G21920) UniProtKB/Swiss-Prot;Acc:Q9C595] MAVTELTEQTPKAKSLNSFPTSLLLSSAFIQTPFAPPILKPSKPNLVPENLIRDLHHSIVSTADNFLNSLQQNPVFKNIISLSTEFQSFFNEVKTCRNQYVKIMNYSPVSNHNFAAVLPGDSVAGVVVANGIQNFLNIYNTLLIVRLVLTWFPNSPPAIVSPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSTAAALPVELPVAGQPLRRASSPSLFTALTSSQKKWMRRLNESRSKSSKSNATI >KJB07394 pep chromosome:Graimondii2_0_v6:1:1854866:1857422:1 gene:B456_001G019900 transcript:KJB07394 gene_biotype:protein_coding transcript_biotype:protein_coding description:YlmG homolog protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G21920) UniProtKB/Swiss-Prot;Acc:Q9C595] MGKTLSSFSSSLKAKLLSVTLQKEMAVTELTEQTPKAKSLNSFPTSLLLSSAFIQTPFAPPILKPSKPNLVPENLIRDLHHSIVSTADNFLNSLQQNPVFKNIISLSTEFQSFFNEVKTCRNQYVKIMNYSPVSNHNFAAVLPGDSVAGVVVANGIQNFLNIYNTLLIVRLVLTWFPNSPPAIVSPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSTAAALPVELPVAGQPLRRASSPSLFTALTSSQKKWMRRLNESRSKSSKSNATI >KJB06686 pep chromosome:Graimondii2_0_v6:1:737177:738968:1 gene:B456_001G008100 transcript:KJB06686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLNSETESSTVSNNSSPSTSPYGKRLGTELIPDPKREKRPRDNSKHPVYRGVRMRAWGKWVSEIREPRKKNRIWLGTFSTPEMAARAHDVAALSIKGNSAILNFPELAESLPRPASNSPRDVQAAAAKAAAMEFLSNKNNSVDDATSSSDSTSSSSNVDDMSSTPEELSEIVELPSLGTSYESAESGNEFVYVDRFDGWLFNPCGIPWYYEENCGYFGDETSMQIQDSLITNGFSPLLWDH >KJB08639 pep chromosome:Graimondii2_0_v6:1:10537966:10540038:-1 gene:B456_001G095100 transcript:KJB08639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRLKRAIGAVKDQTSISLAKIVNTNSSNLDVAVLKATTRDLEPVNERYVNDILVMISSNKLHAAICANAIAKRIGKTKNWVVALKSLMIVLRIFQNGDPFFPQEVLHARNRGAKILNLTTFNDYSQSSPYDYTAFVRSFAFYLDQRLDCLVTGKFQRRVANKTTGIGRKGSRRVNPQYVREMKPPMLLDRISYWQRLLDRAIATKPTGAAKNNRLVQVSVLAVVRESFDLYRDISDGLGLLLDSFFHLQHQSCINAFQYCVKAAQQFEELALFYDYCKDLDIGKIYEYPSVQKISEELMDTLREFLKDQASFPSYKSPRSTDDTKESQRSSKCTSLEDLMYQTDCDEAISKSPGHYSKVDEEQCLEKEDMYNVHETGSNHSLPIDHETSVTIDFVSFDDWLTGDNKLVELRTSSKANSTNGGDFWFDNRVQQDHKQEQWSKNGANGLSPFGKWIEEDHGKDGAEGDSFVDNWIQGTQQHEQVVRGVAEGHSYFDELHASRFVGNGHSLLIADDWLRENKKEPEEQQPNSSNDGYKTGWELVLAEATPQPAQGSQHYLACGIKPDMAIDLFDHKQIVPQRTYNPFLEGETDVATAATIATMAFPDKFLMAPPIFFANEMARPTFQAMSTTLFDDPNVYDPFAPWPNMKVNNNDCFNGEDEQQNLIHQQELWLQNQNMIIARHIVSSNLE >KJB11258 pep chromosome:Graimondii2_0_v6:1:51599840:51601124:-1 gene:B456_001G250100 transcript:KJB11258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSASYINLVQHLIEECLIFNMSKEECMEALSMHANIKPVITSTVWDELEKENKEFFEAYLKGRDQRATEIEKRQRIQKKLNTFSSTQ >KJB08887 pep chromosome:Graimondii2_0_v6:1:12811938:12813147:1 gene:B456_001G110900 transcript:KJB08887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPPLSPNDSISCGTLLDTPPQGSNNLSFSTESKVKQRGVASDTWLPTGWLIKDRGRSSDARPGLVDKYYVDPSSGRKFRSKKEVLYYLETGTPQPKRKKGTETPVVDRGLSRQQKEEV >KJB07498 pep chromosome:Graimondii2_0_v6:1:2545671:2546153:-1 gene:B456_001G027300 transcript:KJB07498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLQVLNQSNIFDPFQGLLWAPPMNWKETPDSHVFEIDLPGFKKEDVKLQIHQGSIVCISAERKEDADEEEKGGKWRWHCRERWGGGGNFYRQFRVPPNAKADEMKASMRDGVLVLVIPKINEEVNVKGKEERKKMEIEISETQPSKGLGRFLCCKA >KJB07750 pep chromosome:Graimondii2_0_v6:1:4130582:4133659:1 gene:B456_001G043700 transcript:KJB07750 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRIZ1 [Source:Projected from Arabidopsis thaliana (AT2G42160) UniProtKB/TrEMBL;Acc:E5KGE0] MKDVNSELYGRKENLKAQFFSLFSFSFPHNFKDQFVAYSNCVCHFLRKRVNAVTMFILRVHSVDTELPLTDAEEIEFYTTTSLSNPNPKFRERRGVVHLYRKASQSSLPNPSSRSTSLFVVAVPKYLSAADFIRFAGSHIENITYILFIWNDGVEDRYSVLIELAAQSAADAFYFSLNGKRFSPAEAELCHILFTHSVEYTELGEIASTPPVGFTELPTCPICLERLDPDTSGILSTFCDHSFQCSCTSKWTYLSCTVCRFCQQQEENPVCSICGSGENLWICLICGFMGCGRYKEGHGVRHWKDTQHCYSLELISQQIWDYVGDGYVHRLTHSKVDGKPVEINSRCTSIEGTCHSCGYGDDSGINEAIYSSKVEAVFDEYSRLLATELEKQRQNYESLLAEAKGKRDSTIAEAVEKAVTSEMQDIQSKLDKCTEEKNALAEVCFNVF >KJB07751 pep chromosome:Graimondii2_0_v6:1:4130979:4134686:1 gene:B456_001G043700 transcript:KJB07751 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRIZ1 [Source:Projected from Arabidopsis thaliana (AT2G42160) UniProtKB/TrEMBL;Acc:E5KGE0] MFILRVHSVDTELPLTDAEEIEFYTTTSLSNPNPKFRERRGVVHLYRKASQSSLPNPSSRSTSLFVVAVPKYLSAADFIRFAGSHIENITYILFIWNDGVEDRYSVLIELAAQSAADAFYFSLNGKRFSPAEAELCHILFTHSVEYTELGEIASTPPVGFTELPTCPICLERLDPDTSGILSTFCDHSFQCSCTSKWTYLSCTVCRFCQQQEENPVCSICGSGENLWICLICGFMGCGRYKEGHGVRHWKDTQHCYSLELISQQIWDYVGDGYVHRLTHSKVDGKPVEINSRCTSIEGTCHSCGYGDDSGINEAIYSSKVEAVFDEYSRLLATELEKQRQNYESLLAEAKGKRDSTIAEAVEKAVTSEMQDIQSKLDKCTEEKNALAEINRKLIKDQQVWHIKVKEIEEREASESRLMDEKILDLEEQVRDLKVYIEAQKTLTDMTDSDSIKGGTVLPVPSTQSSSTNTRRHKKAGRRRN >KJB08990 pep chromosome:Graimondii2_0_v6:1:14076413:14077884:1 gene:B456_001G117300 transcript:KJB08990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTPPSTAPNGRSRVFSESDEIQILKCLIRATKSIPPPITTVGTSTINRIIKRLDHRFTVSQITDKIRRLRDKHHNHARNRALVRNHHDRRIFKLSKRIWGKKATPRKKNSKSEDQGAIEMGGDGVEKLDKFPYLVAEFSKVLPENEVWKQKMKRVEEEKLRKMDQEWVMLKVEEAKLVAKKAELIQQQIMEVMGGNGSANGV >KJB09787 pep chromosome:Graimondii2_0_v6:1:23663045:23664566:1 gene:B456_001G166000 transcript:KJB09787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCICYSKSSHSFTSSVKWLRSSTQSVKRLPFLPEERKNRMLYLPPFPEGRTGLIELSLTCFLFPWDGMSFAKLYVNRILFPWGVLDLLAFIPSRSAPLPIGKAVLGQRPSGPFSSSRRLVQIHFFSSSFFAFNC >KJB08876 pep chromosome:Graimondii2_0_v6:1:12731999:12732921:-1 gene:B456_001G110000 transcript:KJB08876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTIPSLLGGPRTDVFDPFSVWDLSDEFFNSALANTMSSSSSVREASAIANARVDWKETPEAHVFKADLPGLKKEEVKVEVEEGRVLQISGERSKEQEEKNDKWHRVERSSGKFLRRFTLPETAKMDQVKASMENGVLTVTVPKVEDKKPEVKAIEISG >KJB08738 pep chromosome:Graimondii2_0_v6:1:11289280:11292367:-1 gene:B456_001G100700 transcript:KJB08738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRGLHFHFHLWLALLFALITASFGISVKNQSVRCIAAERRALLDFKKGLIGYDNSLNLLVSWTSKEEECCKWKGVGCDNTTGHVVMLDLRPRITYGIFGGSWTAISGVIGTSLLELKHLSHLDLSLNCFYQIPDFIGSLSDLTYLNLSSNPLTGFIPLQLGNLSRLVYLDLSTDDINQSLISDNLEWLSHLSSLQLLKISCTNFTKATNWLQVIQSHPSLSVIHFGYCDFAEVDPSSLVHFNSSNSLSVLHLIWSSSLHPSTFPLLLNISRNLVELDLHHNQLSSLIPDSFDNMPALERINFELNSLEGGIPKSLGNLCHLKELNLRDNKLSGPLTFAVKNLSGCANDSLEVLKLDFNHFNGSLPSFVPFSSLRELDVGSNQLSGHFEDNFGDFSKLNVLNLDENGFTGPLPDLLRLSSLRELYLRGNRFEGLLPVNIGKLSQLVLLDVSDNSLHDVISEAHLFNLTKLRYLSISFNALSFNLSSNWTPPFQLDFIEMSSCKLGPQFPSWLRNQTNFYHLDISHSNISDNIPYWFWNLPSRLMFLDLSFNQISGRVPNLPLKFDRISLIDLSSNLFHGPIPQFLSKSTTLDLSNNMFNGSLSFLCTNKDSGLSYLDLSNNLLSGGIPDCWIKSRRLTIINLENNNLSGVIPTSLGSVETLQSLRLRNTSLHGEIPQSLKSCTKLKLLDLGENKLTGIIPPWIGERLENLIVLRLRSNKFHGDIPSSLCHQQFLQVLDLSLNNISGTIPSCLNNLTTMAHLGSSAATIEFSYYYNDVYDIEDSTTAFFARTLNGHLLVIWKGVEQEYGKTLGLLRAIDLSCNKLSGEIPREIASLHGLITLNLSRNMLKGSIIKEIGQLKALESLDLSTNNLSGVIPESMSDISFLSVLDLSNNNLSGKIPLSTQLQSFNATCFAGNSRLCGDPLNKCLGDESPKLPNNGGTEIIAESDEELFEPLWFVTGTIAGFLVGFWGVFGSLLISRSWRHKYFQLVNKLGDWIRLTMALETVKLQRRLRLKD >KJB10723 pep chromosome:Graimondii2_0_v6:1:44002686:44007006:-1 gene:B456_001G218300 transcript:KJB10723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCGICGHYHKYEEEEVCGICGHRIPLSSDKSSLQVSAFPSLILPGFLYLGSYDNASRSELLKSQGITHVLNTVPACQNLYKNSFTYHCLQDGKILLFDDAIQFLEQCERDKARVLVHCMSGKNRSPAIVVAFLMKSKGWRLLQSYQWVKERRPSVDIGQAVYQQLQEYEQKLYGSSNSSNLALLSFQPGGAPLNFGFSEVKDPVPVPIPAFSNLGGASIFAHLPPQEFTFGAGQTQKNLSENLANPSGSDIPMDNT >KJB10813 pep chromosome:Graimondii2_0_v6:1:46176349:46176941:-1 gene:B456_001G2264001 transcript:KJB10813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSNRFSVIFCSYDYSGYGQSSGKPSEQSTYADIEAAYKCLEESYGTKQEDIILYGQSVGSGPTLDLAARLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVNCPVLIIH >KJB07134 pep chromosome:Graimondii2_0_v6:1:20872762:20874833:-1 gene:B456_001G150600 transcript:KJB07134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVGEAACSYAALILHDDGIPITAEKIATLVKAANVSVESYWPSLFAKLFEKCDIENLITNVGAAAGGAPVAAAAPVAAAGGGGAAAPAPAEEKKKEEPEEESDDDMGFSLFD >KJB07469 pep chromosome:Graimondii2_0_v6:1:2503679:2505805:1 gene:B456_001G026900 transcript:KJB07469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAGTADDSGNMPHKVVAVAAGEAHTLALSGDGYVYSWGRGMFGRLGTGSESDEIFPVRVKFQNSELKLIAVAAGAYHSLALADDGSVWSWGYNICAVKAGGMTSLAIDNLGALWMWGNYPQENNNGDGSLTFVSCFTPTPVWDFHGHTVVKVACGNEHVVALVSAGERHKGDDLLCYSWGGNGHGQLGLGDTESRARPEIVGTFSQDIQWTVYEVACGAFHTALLTRKKRPSDTLESMCWTFGLGDRGQLGHGTTRSALVPEPVKELPQPVYLVSVDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGTDSGDAISPLRIHGPKFNDPVQVSCGAAHTVLVAHDGYKLWSWGRGRSGVLGNGKTVDCFSPTIVLWPPLNEDFKQEELNQDDKIVDQKGSDGVSEMEKKLSLAMEEMKLLQSKLSTMERYASILHGSIFGKPFEVQDIPISLQNSGTFDIAREWENMLESSDRSGLVRLELFYRNMLTGVKDKILKKRIQELIKECLPSSAQGK >KJB07470 pep chromosome:Graimondii2_0_v6:1:2504329:2505937:1 gene:B456_001G026900 transcript:KJB07470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFLELGSPGQSKDELETKSKAPFKICAVKAGGMTSLAIDNLGALWMWGNYPQENNNGDGSLTFVSCFTPTPVWDFHGHTVVKVACGNEHVVALVSAGERHKGDDLLCYSWGGNGHGQLGLGDTESRARPEIVGTFSQDIQWTVYEVACGAFHTALLTRKKRPSDTLESMCWTFGLGDRGQLGHGTTRSALVPEPVKELPQPVYLVSVDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGTDSGDAISPLRIHGPKFNDPVQVSCGAAHTVLVAHDGYKLWSWGRGRSGVLGNGKTVDCFSPTIVLWPPLNEDFKQEELNQDDKIVDQKGSDGVSEMEKKLSLAMEEMKLLQSKLSTMERYASILHGSIFGKPFEVQDIPISLQNSGTFDIAREWENMLESSDRSGLVRLELFYRNMLTGVKDKILKKRIQELIKECLPSSAQGK >KJB06683 pep chromosome:Graimondii2_0_v6:1:12493196:12494314:1 gene:B456_001G109200 transcript:KJB06683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAATVIVPLGILFFISGLFVNLIQVVCFVLIRPLSKKTYRKINRVVAELLWLQLVWLVDWWAGVKVLISSFIALL >KJB09244 pep chromosome:Graimondii2_0_v6:1:16783944:16785243:-1 gene:B456_001G131200 transcript:KJB09244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITKQHLIPLFAISFLLFFQLHCSTTSAQAPAQSPAPPGPPDVTKILQKAGQYSVFVRLLKSTQVSDRLIGELKDTDDGKTIFAPTDKAFSALKSGALNSLNDEQRVQLVLFHVIPSYIPLSQFQTVSNPMRTQAGDSGDGEFPLNVTTSGNTVVLKTGLTKTSVSDTIYTDGQLAVYRVDQVLQPLQVFAARSSALAPAPGMSRKAADVDGKSKASSLAMQNLALFVVSVIAFALSL >KJB10081 pep chromosome:Graimondii2_0_v6:1:29089040:29090110:-1 gene:B456_001G184000 transcript:KJB10081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGKYYCDYCDKQFQDTPAARKRHLQGLQHLHLYQTSVPPFSKGVCNRFLNTGFFQYGDNCKYFHPNNDSRTQNPPLSTAPPGGGI >KJB10082 pep chromosome:Graimondii2_0_v6:1:29089472:29090110:-1 gene:B456_001G184000 transcript:KJB10082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGKYYCDYCDKQFQDTPAARKRHLQGLQHLHLYQTSVPPFSKGVCNRFLNTGFFQYGDNCKYFHPNNDSRTQNPPLSTAPPGGVEGNGNGIAISWGNLPPSLKPPPEDGYPPLPFVDWG >KJB08112 pep chromosome:Graimondii2_0_v6:1:6633731:6638036:1 gene:B456_001G066200 transcript:KJB08112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNILASCISEIITQAGADVTNYARTKVNLSQSMEKNYEKLKNEALKLQALRYDYEKEVQKHQMKKTTTSSYDVWLRSVNKTLENAKILEDRYQDDQKLSYRYIHLKRRSNYSGKLERICEEIQKLVEEGNFLGGFLVDKPIEPVLKVNAPQIKGFPSLQRPLEQVLELLRNDKLKGIGIWGTLGVGKTTIMKNLNNHEEIAKMFDIVIWANVCSERSEEKLQEDISWRLKLKSEDVVHPEDVARTISEELKGKKYLLLLDEVMDSIELEDIGIPDNNNGSKVVFTTEFRHVCSSLADRLIEVRPLSPNEAWMMFQQMVSDVIDLPDIEPIARLVAKECARLPLLIKTIAGAFKSKDSVSEWRKGLKDLQKWPEIEIPGLTELHSFLKFCYDQLKDDQKRKCFLYGALYPAESKIYTDYLLECWAAEGLVGGTNERRRFQDARDEGYDTLKHLTNVSLLEKGERMIYVSMNNSIRQVALYISSQDPDCKFFTGMSEDSSDFLEENDWQQSKRIAMIDKKLRDLPDSPDCSTLLSLLLQRNPNLTEIPQSFFEHMKTLLVLDLYGTGIESLPSSLAKLKCIKGLYLNNCIHLTVLPPEVGELFRLEVLDTRGCRICFIPFHIQKLVNLRCLRVSYYKSSNPNGCHDMDIDCNVIPFLAKLEELMIDVRSYDHWCKEVADIMSQVATLKNLTTLRICFPKSEVLKTFMQHSPSWKDRQQLTSFRFFVGCRNRKRPQILEYFKYKIDRYLGYCQGNHGDNSIICDLLPETDALELVEHKDITSLLDFVNVASFNRIRGCLIEKCNKMTTIADGDMVEGGIILPKLEQLHLTNLQSLQTVFRGTLSTESLSKLHTIVVSSCPMLRKLFSHGVIQRLSKLQKLVIQNCVKMEELIDEQVSTVFPTLETLILIEMPKLRTLSVDMSLTWPSLKELQVYKCPELKSLPFDKDNVAKLKSIETEKVWWEALYWPENGVKEQLQLICNLR >KJB07879 pep chromosome:Graimondii2_0_v6:1:4763865:4767463:1 gene:B456_001G050200 transcript:KJB07879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLAIASEGGQYNGRLTLLVLVSCMMAATGGIIFGYDLGISGGVTSMEPFLKKFFPKVYTKMKEDTKISNYCKFDSQLLTSFTSSLYIAGLISSFLASPVTGAFGRKPSILIGGAAFLAGSALGGAAVNVYMLILGRVLLGVGVGFANQSVPLYISEMALPRHRGAMNIGFQCGVGLGVLSANIINFGTEKIKGGWGWRISLALAALPASILTIGAILLPETPNSLIQNNSNPDKAKTVLQRIRGTTDVQAELDDLIEASSISKTTNCPFRKIIQRKYRPQLVMAIAIPFFQQVTGINVITFYAPILFRTIGQGESSSLMSAIVTGLVGTTATFISALVVDKLGRKTLFMIGGIQMLVTQITIGVIMAILLVDHGGLSKGYAYLVLALICVYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSITVAMGFLFTFIIAQSFLAMLCRFKSGIFFFFGGWVMVMTAFVYFLLPETNNVPIEQMEKVWKDHWFWKIIVGEMDDEKKAYTQQGA >KJB10307 pep chromosome:Graimondii2_0_v6:1:44089340:44090218:1 gene:B456_001G218500 transcript:KJB10307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISKSFLLLALLAAVVLLISSEVAARDLAETTTENNNGEVATETTQAELEDGRGGYNGRGHGGKGGYGGGHGGYGGGGHGGYGGGHGGYGGGGHGGYGGGGHGGYGGGGHGGHGGHGGGYKGGCAYGCCRSDHHGRGCQRCCSYAGEAVEVETHA >KJB09117 pep chromosome:Graimondii2_0_v6:1:15503867:15504205:-1 gene:B456_001G124300 transcript:KJB09117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEIEIVTCSMVEDLLSLISGPKPRSWLSVSKLLHHRRIACEAAGRNVNEFEKVDIALKSFEIRKYEIINVEMQNQLKDLELLIQDLEVGLECLFRFMIKARVSLLNILTL >KJB06684 pep chromosome:Graimondii2_0_v6:1:12536134:12538064:1 gene:B456_001G109300 transcript:KJB06684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSHVPIYLDPPNLQQHQQGNGSENPDQFSPLPPPPPHVGAGGPASIRPSSMADRARLAKIPQPEAALKCPRCESSNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRKNKKNKSSSSEPPAEKQTGNSNSKNSVVIPTEITGHLPFMASLQNFSQYGVGNIGLNFGGIQGETSGASRQADMGFQIGTNSGMSSAGILSSSSGGGGGTHQHQQFPFFDPSNGLYAFQSEGMEGSSSMGGESQLLRSMSPCSRASHLAPVKMETNHQGLNLSRPQLGVSENNQYWGGNSWTNISGLNSSGSTNHLL >KJB09179 pep chromosome:Graimondii2_0_v6:1:16186220:16192580:-1 gene:B456_001G127800 transcript:KJB09179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHSHTRTTTKRTEQSLVFAVNGERFELFQVDPSTTLLEFLRCQTSFKSLKLGCGEGGCGACVVLLSKYDPFLDKVEDFTVSSCLTLLCSVNGCSITTAEGIGNSKDGFHPIQERFSGFHASQCGYCTPGMCVSLYSALVNADKSDRPEPRPGFSKLTVPEAEKSIAGNLCRCTGYRPIVDACKTFATDVDMEDLGLNSFWRKGECDEVKLRRLPPYSHGNGTCVFPEFLKNKTKAGFNMESEACYWYSPVSLQQLQRLLQMDDENNETSRKIVVSNTGMGYYKELIRFNKYIDLRYIPELSIIKKDHTGIEIGAAVTISKAIETLKEANEPQFSQEGKLVFKKLADHMEKIASGFLRNSASIGGNLMMAQRKHFPSDIATILLSVDAMVDILTDQRHESIMLEEFLGRPPLDPKSVLLAIKIPCWRLSVDISSQGYTKLLFETYRAAPRPIGNALPYMNSAFLAEVSLSRAGIMLNHCRLTFGAYGTKHAIRARNVEEFLSAKFLNTSVLYEAIKILEITVVPEDGTSHPAYRLSLAIGFLFDFLYPLLENPSEISSFWSDGDNKALPFSDFKGKQDSNQLDQTKFSTLLSSAKQVVQLSEEYNPVGEPIKKVGATLQASGEAVYVDDIPSPSNCLHGAFIYSTEPLAWVKDIEFKPGSSLDGVIKLISSKDIPGENIGAQTMFGSEPLYADELTECAGQRIALVVADTQRNADMAANLAVIDYDKENLDPILSVEEAFERCSFFEVPSSLYPEQVGDFYKGMAEADHQIHSAEIKLGSQYYFYMETQAALAVPDEDNCMIVYSSSQCPELTHRTIAKCLGVPGHNVRVITRRVGGGFGGKAVKAMPVSTACALAAYKLQRPVRMYVNRQTDMIMAGGRHPMKITYSVGFKGNGKITALRLDILIDAGISVDISPLLPNHMFGSLRKYDWGALAFDIKVCKTNRPSRSSMRAPGEVQGSFIAEAIIENVASILSLDVDSVRSINLHKYESLELFFKNSAGEPLEYTLPSIWNKLGTSSSFYHRVEILKQFNRCNKWQKRGISRVPIVHEVTLKATPGKVSILYDGSIVVEVGGIELGQGLWTKVKQMTAYALSLIQCGGIDELLEKVRVIQTDTLSLIQGGSTSGSTTSESSCEAVRRCCDILVERLTAFKERLVEQMESIKWETLILQAYNSSVNLSAISLYVPDISSLSYLNYGAAVSEVEIDVLTGQTTILQTDIIYDCGQSLNPAVDLGQIEGAFVQGIGFFMLEEYPTNSKGLVTAEGTWTYKIPTVDTIPKQFNVEILNSGHHKHRVLSSKASGEPPLTLAVSVHCAIRAAIKEAREQLHSWGGDESSSTFKLEVPAIMPVVKELCGLDSVQRFLQWTIGS >KJB07499 pep chromosome:Graimondii2_0_v6:1:2556067:2556426:1 gene:B456_001G027400 transcript:KJB07499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFEVNSPSYDGSKWNRLVILPLPKGGDSRCSVKPASRSTLHSKNHENRSFRSVPSAQLSAWYGQVRCRPHRIQLNERNPRSSSLMLLLRSQACVTKLSKQQYGKVDI >KJB10850 pep chromosome:Graimondii2_0_v6:1:46490199:46491705:-1 gene:B456_001G228500 transcript:KJB10850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPHHLNPTSLKSRFTTRFLRALTKIRAQKPISSSSPTEIFRRYRRIKAAADKSMAYSVRSRRIWSRAMLWKLRSRSYRRQDPCSGRRSGKTNQAIMKKSSNENTTTRREDGVGFVEEADELRQLVPGGETMNLCNLLDETAHYIKCLTTQVQVMKKIADFYSF >KJB07976 pep chromosome:Graimondii2_0_v6:1:5475530:5476983:-1 gene:B456_001G056400 transcript:KJB07976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFAIQIYVVDRGGPLFVSMYLPLQTLLAALIATVTLGKEFYLGGVVGAALIIVGLYLVILGKSEESKYLSENEPIYSVSENNDMESTFIRPLLGNKLQS >KJB10071 pep chromosome:Graimondii2_0_v6:1:28011946:28014249:-1 gene:B456_001G182600 transcript:KJB10071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPEISIARLTPSHLFFVVASDGVFEFLSSQTVVNMAAAYKDPSDACAAIAGDSYKRWLELENQTDDITIIIVQIKGLSNSGVGTTDSEVHSRPCQIGGSINQSTAIVPPLMHQRPLESDVG >KJB08751 pep chromosome:Graimondii2_0_v6:1:11633700:11636650:1 gene:B456_001G104400 transcript:KJB08751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYTYIPSIASLLLFLYIFSRKTPKNSKKHCIPEPTGSLPLIGHLHLLGGKEPICKNLATMADKHGPLYSLKLGTHRLLVVSSWEIAKDCFTNNDRTLATRASIAAGRHMGYDNAIFSLAPYGEYWRNIRKMATVELLSSHRLEKLKHIRFSEIDSFIKELYGLSRNGDKVTISEALERLTFNINLRMLFGKRFSGNEYGEVDSEPWRYMEAIKRALYLFGIYVLADALPWLERFHIQGHVRSMKETAKELDSVISVWLEEHLKKKRENQGASETDFMDVMLTHLPEDTVISGHTRDTIVKATTLVLTLTGGESTSVTITWVLSLLLNHPNVLIAAQEEIDHHVGKQRWVEESDIKNLKYLQAIVKETLRLYPPGPITGIREAMQDCCIAGYDVPKGTRLIVNLWKLQRDPRVWENANEFRPERFMTTHVDFDVKGKNFEYMPFSSGRRSCPGMTFGLQVVHLTVSKLIQGFDIRVAEGTAVDMEEGLGLALPKLNPLEVVLSPRLGTEFYECL >KJB09790 pep chromosome:Graimondii2_0_v6:1:23678971:23682326:1 gene:B456_001G166300 transcript:KJB09790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQLLNPHYNQSKLSPDRYMMMLNRDRDRERGLSLCWLFEKKIRLIRLIGLCASFITFLYSPVPRIQFDPSTAKSQFVESLRWLPYENINFFLGIDGISLFFVILTTFLIPICILVGWSGMRSYGKEYITASLIREFLMIAVFRMLDLLLFYVFPESEGVLLGVCRGCVCSREWIPRQVSLGGVDTLARIRVTATREKSKGNCTRPGMDGRIDPFSIIPKKDCWLQMETFLWPPSKGNAGRVKLGRGFENRVLYRPHSIFLPNEPIYLLTWKTGVAFGSKGMVFSMSPDRAPTSPAGPYGRASPATQAALFATTRKAKDSRVQAENTCIDSGLMTRADDGSSGRSRMMRKSHLRPTTTGQFRFGATPYSTIIIGVWGSRQRKIKAAYQFFLYTLLGSVFMLLAILLILLQTGTTDLQISLTTEFSERRQIFLWIASFASFAVKVPMVPVHIWLPEAHVEAPTAGSVILAGIPLKLGTYGFLRFSIPMFPEATLCFTPFIYTLSAIAIIYTSLTTSRQIDLKKIIAYSSVAHMNLVTIGMFSRAAAVRSPILSYGHTRPKHVCRACDPSTY >KJB10345 pep chromosome:Graimondii2_0_v6:1:34552130:34556175:-1 gene:B456_001G196800 transcript:KJB10345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIIDLEVDAPETESDVSSQVASNLYTQEASVAPSNETNLEPVSLDLTLCFNIDGRDSVGLSFTSTSESSNIDPALRTTASAIPRVFSCNYCQRKFFSSQALGGHQNAHKRERTLAKRAMRMGIFSDRYASLSLATLPLHGMATSFRSLGIKAHCLAHQSFAPATRTPDTRNNAIVEHGYLGLPIFMEDDEAELLWPGSFRQIAQAAAGGSNADHPGFVLPGSSNMNFVEVKEPVDLEDSSAPDLTLKL >KJB06406 pep chromosome:Graimondii2_0_v6:1:47502181:47502852:-1 gene:B456_001G236900 transcript:KJB06406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRTDNLEMEKKHLKVRAKVKQLKAEMRKIREDQRCIREEQIKLTTRFEEIERQCHELKQEVQMIAKQSAMTRLKMGVMLGILKAREGGDLVQAATLTRFLGKQMQTWLKSKMKKMIHRHQMQWRIFSQLINSHK >KJB09492 pep chromosome:Graimondii2_0_v6:1:50417656:50420644:1 gene:B456_001G248900 transcript:KJB09492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPHLLNSRISFHFLVWWILFGCFPGLISSFEVTLDSIEIFRTHDWISKPTVYFECKGENTTLLPDVTKINVVYSFKGQESWQPLTQLDGKKCKRCGFYEKDVIKIDDVYAEWEFCATDFEPPAGKYILFKENQLNVTFHCQECPSLPGASNATPEKRNIEDDDDDDDDGKGLRIFIILVITAVVSTVTIIGLVMANKHWQKKKRQQDQARFLKLFEEGDDIEDELGLGTVI >KJB10439 pep chromosome:Graimondii2_0_v6:1:37866335:37866929:1 gene:B456_001G201000 transcript:KJB10439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFIIPCLFVFLNGACWFCFIFDNLTKLFSHLWGILYFCSFMEDQCFASVLSWRTSVLSWRTKLLRDPSDRLFGTFGSRGAKQNSKKKSLDALIKRLWDVS >KJB09782 pep chromosome:Graimondii2_0_v6:1:23613800:23618193:-1 gene:B456_001G165500 transcript:KJB09782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPHQVDRPPYVVILLIMYVGRALHSDWYIMKKESHLHQAHCAFPCPDVRLSKSSNGDPPKTGPTDPVDHITGRERVTLEVNDQRCPLITTMRLSRSTQNEHTCYLLYWTFDQAFYPCRIGTNHHCIALEQLSGRRPATSVHRYRFILRTWSNLTTLRGLVRSQSCLVRRNWTKKRECLTGTTVNKDRNYIDNCSSLSPSLRVRTPFYLKGMVLDETIQIPHYAVGWGGSCSAKLGVHRQVPVSQQYWRKRIGPSLADRSRVELALLPRLSLTRFRSFVLHSLAITRRERSNQGAYYHIETSEAQASVANHIDKPLPASARIDRAGEARRGWMSERLKESVLKTEVLIGIPGVRIPLHPTNRIDSTDMIDGMGSLCYDVDVCYDLVRTLSPFRYLPLPLYRLGKGRVDYLWGAKSKILVTKKTVDTSTSWVEGDDPNESTMKVAVSYINAKGVDTSYPHRKLTLFHTLPVDATAAITLAAGGEWLSETLDVLFGKRDVYPGAVVLPNRSAGDAFVWYSKLFSYLYRFATVWLDTMRWQPQLGRMGMKVEEAGKKRLFAIGSPLYQALAAIDSLPVILTSCMIAGFFGNPFPFATSWAFILTSVVFQLPYSLYNQGYRSSVVTFTKGQPLDFLLLRGCMARRSFATMPFLATVIADEKVAAFAKRFWSNGVRRDFSPVSAKMLRSLVDAESSARSLVFEGVDAAFEVGAGEAFEFEWLWYRTEERKRIITLK >KJB09518 pep chromosome:Graimondii2_0_v6:1:20238298:20239982:-1 gene:B456_001G147700 transcript:KJB09518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDEARLLLGFPPNSRPSPSQIKAAYRKKVWESHPDLFPVHEKHSAESKFKLIAEAYTCLQSGNSPDFLRKY >KJB10536 pep chromosome:Graimondii2_0_v6:1:40453723:40454681:-1 gene:B456_001G2064001 transcript:KJB10536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCREGLMSPQTETKASVGFKAGVKEYKLTYYTPEYEVKDTDILVAFRVTPQPGVPPEEAGVAVAAESSTGTWSTVWTDGLTSLDRYKGRCYHIEPVLGEEDQYICYVADPLDLFEEGFVTNMFTSIVGNVFGFKALRALCLEDLRIPTAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGVDFTKDDENVNSQPFMRWRDRFLFCAEAIYKSQAEIGEIKGHYLNATAGTCEEMIKRAMCARELGVPI >KJB10720 pep chromosome:Graimondii2_0_v6:1:44219723:44221670:-1 gene:B456_001G219100 transcript:KJB10720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVDDNKNKEKEASEDEGGAARGGGRQLSDDSSFYTTDQEEDNDDESALHLGPQCSLKEQLEKDKDDESLRKWKEQLLGSVDINNIGETLDPEVKFLSVAIVSPERPDMVLSIPEDGKPQGLWFTLKEGSHYRLKFSFQVSNNIVSGLRYTNTVWKSGLRVFSTKDMIGTFSPQQEPYTHEMPEETAPSGFMARGSYTAKSKFLDDDNKCHLEINYTFDIRKDWASAD >KJB06634 pep chromosome:Graimondii2_0_v6:1:221412:224591:-1 gene:B456_001G002600 transcript:KJB06634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFLQYWLKLVMICLMVLVLVLKIAVLLWWRPKKIEQHFSRQGIKGPPYHFYNGNVKEVMAMMLKACSQPIPLCHNILPRVFAFYHHWNKIYGGMFLVWFGPTVRVTVSDPDMIREILSSKSELYEKKEVHHLIKRLEGDGLLSLNGEKWGHHRETTTPIFHMENLKLLVPMVVQSVSEMVEKWRRSRSGEIEIEVCEWFQRLTEDVIMRTVFGSWYEDDDGKAIFRLQAQQMVLTAQALRIVSLPAYRYLPTKRNISCWKMDREIKRSLMKLIERRKIDTRGVLQENAAKDLVGLMMQPSSNITVDDMVEECKTFLFAGKHTTSNLLTWTTVLLAMHPQWQVQAREEVIRVCGSRDVPTQDDVVKLKTLTMILNESLRLYPPTIATIRQAKVDVKLGDYIIPRGTELLIPILALHHDQAIWGSNANEFYPPRFSGGVARAAKHPLGFIPFGLGVRTCIGKNLAILQAKLTLSIILQRFSFKLAPTYQHAPTVSMLLYPQHGAPIIFKPLSHRDESP >KJB08438 pep chromosome:Graimondii2_0_v6:1:8651825:8654357:1 gene:B456_001G081600 transcript:KJB08438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSWEQSVTYSINTVYLLFSAYLVFVMQLGFAMLCAGSVRAKNAMNIMLTNVVDAVVGSISYYLFGFAFAFVAGITSGSIAERTQFSAYLVFSFFLSGFVYPVVAHWVWSSSGWLSASSTNPLFASGAIDFAGSGVVHMVGAVAGFWGALIEGPRVGRFDAFGKPVPMRGHNATLVVLGTFLLWFGWFGFNPGSFDKILVAYPSTTNQGNWTAIGRTAVTTTLAGSTAGIVTLFGRRLLVGHWDALDVCNGVLGGFVAITSGCAVVEPWAAIVCGFFSAWVLIGLNIVVMKLNFDDPLEATQLHGGCGAWGLIFTGLFAKEEFVIQAYEAGDTSVVRPYGLFMGGGWGLLGCQVIELLVIVGWVSVTMGPIFYAQHRLGILRISVEEEIAGLDISSHGGYAYNTHQEENQPRFYADYMRMHGHS >KJB08437 pep chromosome:Graimondii2_0_v6:1:8651825:8654357:1 gene:B456_001G081600 transcript:KJB08437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSWEQSVTYSINTVYLLFSAYLVFVMQLGFAMLCAGSVRAKNAMNIMLTNVVDAVVGSISYYLFGFAFAFGDTSNPFIGTNFFALKDIPNTSFDYSFFLYQWAFAIAVAGITSGSIAERTQFSAYLVFSFFLSGFVYPVVAHWVWSSSGWLSASSTNPLFASGAIDFAGSGVVHMVGAVAGFWGALIEGPRVGRFDAFGKPVPMRGHNATLVVLGTFLLWFGWFGFNPGSFDKILVAYPSTTNQGNWTAIGRTAVTTTLAGSTAGIVTLFGRRLLVGHWDALDVCNGVLGGFVAITSGCAVVEPWAAIVCGFFSAWVLIGLNIVVMKLNFDDPLEATQLHGGCGAWGLIFTGLFAKEEFVIQAYEAGDTSVVRPYGLFMGGGWGLLGCQVIELLVIVGWVSVTMGPIFYAQHRLGILRISVEEEIAGLDISSHGGYAYNTHQEENQPRFYADYMRMHGHS >KJB07704 pep chromosome:Graimondii2_0_v6:1:3672071:3673803:-1 gene:B456_001G039400 transcript:KJB07704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATNQKHRPSAADSATKYSISASTETSTPIPDWIAESINGGSLRNVDVDNGINGWASPPGDLFSLRSVNYLTKKQKSSAGDYLLSPVGMDWLKSTSKLDNVLARSDNRVYQALKKAKSQGKSTKSFIVAVNLQIPGKDHYSAVFYFATEDPISPGSLLYQFINGDDAFRNQRFKIVNRIVKGPWIVKKAVGNYAACLLGKALTCNYHRGASYLEIDVDIASSTIANAILHLALGYATSVTIDMGFLVEAQTEDELPEKLIGAVRVCQIEISSATVVDALTPPIPTAVARGMGCSKVNHHKLSDDDDDDADDK >KJB07870 pep chromosome:Graimondii2_0_v6:1:4687692:4691869:-1 gene:B456_001G049500 transcript:KJB07870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHFLLLFLTFFFYLLIPSSPLVLSASAPPPLPLPLPLPLLSLLSLKSSLNDPFSTFKDWDPSPAFSNPGSHDHPVWCSWSGVKCNPITAQVTSLDLSFRNLSGIIPPELRFLTSLVDLNLSRNYFDGPLQPAIFELTQLRTLDISHNSFNATFPPGVSKLKFLKFFNGFSNDFIGPLPLEFIHLQFLEQLNLGGSYFEGVIPVGYGNFKRLKFLDLAGNALEGTLPPQLGFLTQVEHIEIGYNGFTGKIPVELALLSNLKYLDISNCSLSGLLPEELGNLTKLEDLYFFKNNFVGEIPMSYTKLKALKVLDLSNNLLSGTIPEELASLTELTWLSLIGNNFSGTIPQSIGELPNLNTLLLWDNNFSGILPQKLGSNGKLLTLDVSSNSLTGPLPPNLCHGNKLFKLILFNNMFTNELPRSLVNCTSLSRFRIQNNLLNGTIPYGFGLLRNLTFVDASKNKFTGEIPGDLGYAPMLQYLNISENDFNNELPSNIWSAPSLQIFSASSSKLTGEIPDFVDCNNVYNIELQGNFLNGSIPGDIDRCQKLLSLNLSSNLLTGIIPRELSMLPSINAVDLSHNLLTGTIPSTFENCSTLENFDVSYNLLSGPIPSSGPTFPNLHPSSFSGNDGLCGRIVAKPCPEALATGDMEAGNNNQQHPPRKAAGAIVWIVAAAFGIGLFVLVAGTRCFHASYGRRFNDDREIGPWKLTAFQRLNFTSDDVLECLSMTDKIIGMGSTGTVYKAEMPSGETIAVKKLWGKHKEAVRRRRGVLAEVEVLGNVRHRNIVRLLGCCSNRECTMLLYEYMPNGNLDDLLHGKNKGDNLVADWVTRYKIALGVAQGICYLHHDCDPVIVHRDLKPSNILLDGEMEARVADFGVAKLIQSDESMSVIAGSYGYIAPEYAYTLQVDERSDIYSFGVVLMEIISGKRSVESEFGDGHSIVDWVRSKIKNKDRIVEVLDKNVGATCASVREEMMQMLRIALLCTSCNPAERPSMRDVVLMLQEAKPKRKLPAEGGGGGVNGSNVVVVGIDDSIAQKAKLEC >KJB08358 pep chromosome:Graimondii2_0_v6:1:8141342:8143524:-1 gene:B456_001G078600 transcript:KJB08358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGGGERGAFRRGFGGGRSDRGPRGRRRGRKDEEEKWVPVTKLGRLVKSGKITSLEQIYLHSLPIKEYQIIDQLVGPSLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFECLLKTYGFLTPDFWKETRFTRSPFQEYTDLLGKPSKTLVLEDVERVDV >KJB06520 pep chromosome:Graimondii2_0_v6:1:49049905:49051373:1 gene:B456_001G246000 transcript:KJB06520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNAAKARNRRCKLLLVSLLLVTSYCLKFMVTNLLQRKLFIFLRIEAKLFTVELSSPALVSLFPVLYLPSIPGGVVCKMLKQAIWKVLSKRVVSNSGDKLLLPCLYLPTTSFHAGQGLYMIAFTSHP >KJB06591 pep chromosome:Graimondii2_0_v6:1:170140:172032:1 gene:B456_001G001900 transcript:KJB06591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQQGFRIDLAELKAQIVKKIGVERSKRYFYDLTRFLSQKLSKNEFDKSCYRLLGRENLPLHNQLIRSMLKNACQAKTPPPVFEAAPVKSLIQTAKTSPAREDDGHQHVGSHPNTDKWSNGVLPVSSPRKVRSGIRDRKLRDRPSPLGPNGKIVVSYQSVGKGDNSIKLGMDNGDLTPYDYQRPVQHLLAVAEQPEIQREGLVHSTGRPKVPSKDQSEGAFVEDGEEVEQINPINLLSTSSLLAPLGISFCSASVGGARKAPAVASSGGFISYYDSGGLYDTETLKKRMDQIAAVQGLGDVSAECASLLSSMLDVYLKKLIRSCVDLVGSRSTSEPRKHCAHKQQPQGKLVNGMWPSNHWHMQSTTGPTKVLHEQGQQRSISLLHFKVAMELNPQQLGEDWPWLLEKICMHSFEE >KJB09940 pep chromosome:Graimondii2_0_v6:1:26163152:26166472:-1 gene:B456_001G176400 transcript:KJB09940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSQKIHEAFKGTVERITGPRTVSAFKEKGVLSVSEFILAGDNLVSKCPTWSWESGEPSKRKSYLPPEKQYLITRNVPCLKRAASVEQEYEAAGGEVLLDNEDNDGWLATHGRPKDRNDVEEILPSMETLEIRQNETVRSIPSYFGSGENEEDIPDMADYEEADNAIETDAATLPSDYLVAQEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVLEDVSQDHAHKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSHGVEPEVDKYLFLFLKFVASVIPTIEYDYTMDFDLGSSSS >KJB10661 pep chromosome:Graimondii2_0_v6:1:42938824:42941082:1 gene:B456_001G214700 transcript:KJB10661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14850 [Source:Projected from Arabidopsis thaliana (AT4G14850) UniProtKB/Swiss-Prot;Acc:Q0WSH6] MPFLTANELGFLLESAISTRSLLFGRATHAHILRTLEIPLPSFLSNHLINMYSKLNLPNSAHLVLLQTPPHSRSVVTWTALISGHVQNGLFSSALLHFSRMRRERISPNDFTFPCAFKASASLRLPVVGKQLHALALKSAQIFDSFVGCSCFDMYMKTGLRDEATNLFEEMPERSIAMWNANISNAVLDGKPNIAVDVFIQFRRIGGEPDPVTFCVFLNACSDALYLDLGRQLHGYVIRSGFDRNLSVCNGLVDFYGKCKEVEGAVMVFDGMEKRNAVSWCSLISAYEQNYEEENACGMFLEARKEGIEPTDFMVSSVISACAGMAGLELGRSIHALAVKACVEGNVFVGSALVDMYGKCGSIDDSEQAFYEIPERNLVSWNAMIGSYAHQGLADMALSLFQDMLSWGEVVPNYVTLVCVLSACSRAGAVKMGMEIFKSMKERFRIQPGAEHYACIVDLLGRAGMVERAYEFIKEMPIHPTISIWGALLNACRVYKKPELGKIAANKLFELDPKDSGNHVLLSNLFASTGSWEEADLVRKEMKDVGIKKGAGCSWIAVKNKVHIFQAKDTSHEMNSKIQEMLAKLGNDMKAAGYVPDIDFALFDLEEEEKMSEVGYHSEKIALAFGLIVIPPGVPIRITKNLRICGDCHSAFKFISGIVGREIIVRDNNRFHRFRDRQCSCRDYW >KJB11572 pep chromosome:Graimondii2_0_v6:1:54383975:54385899:1 gene:B456_001G266500 transcript:KJB11572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRKQKRRRMKSDSDISRPSPMQSHIDACVSFKDKQVAARVTSDAKMDEWFVVKVMNFDEKTKKFEVLDEEAGDDEEGGVQKTYKLSASCIIPFPERNDPSGTQEFPAGRNVLAVYPETTALYKATVISTPRKRKSDEYLLEFDDDEEDGVLPQRTVPFHKVVPLPEWHRQ >KJB07111 pep chromosome:Graimondii2_0_v6:1:12755535:12756044:-1 gene:B456_001G110300 transcript:KJB07111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNSEMFLTEKDLSSSMEIGFLVRRRPPLVLQQGDECQITTFQDDDDKPQQQKEERLGQDQQQDKCKTLKMAPLELKLPSPKVDDEEDGNNNGFKTPTSSDHKIPVILKCPPAPRKPKSLPILPKRKAFGRRILLDFTKEMESLFPPALLADLGNKIKKVRQGSDFN >KJB08922 pep chromosome:Graimondii2_0_v6:1:13203625:13205597:-1 gene:B456_001G113300 transcript:KJB08922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEKEHRSSHPRLVLVALPFQGHINPMLQLGSILHSKGFSITVLHTAFNSPIPSNYPEFGFVSIPDNLSEQLISADDLLPFISLLNLNCGVPFQECLAKMVQKQEVENEPSIACIVYDECMYFCDAVAKYVKLPSIVLRTTSASTYLSRNAILQLKAEGVLTSQGSTSQDLVPGLHPLRFKDLPVSKIGTPEIFLQFITNIYKTRTSSAIIWNTNDVLEQPSLQEIQKQCQVGIFPVGPLHEVAPASSSLIKEDNSCITWLEKQKQNTVLYVSLGSVASVDKKELGEMAWGLANSKQPFLWVIRPGSVDDQEWKKLSTEGFVEAVGENGCIVKWAPQKEVLAHGAVGGFWTHCGWNSTLESISKGVPMICKPCFGDQRVNARHVSQVWRIGIQLENMCERGEVERAIKRLMVDKEGKEMRQRAKNLKERIQLDIREGGSSYNYLNKLIELIMSF >KJB07966 pep chromosome:Graimondii2_0_v6:1:5428416:5428802:-1 gene:B456_001G056000 transcript:KJB07966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVVDIVVDFENYFPSMMERYGAKWLLRELRNELKLLIDGEREVIMFESLKTKSVMLGRSDMEDDEIVCMLSESDLDGDCALNQKIK >KJB09523 pep chromosome:Graimondii2_0_v6:1:20302394:20303401:-1 gene:B456_001G148100 transcript:KJB09523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATSSSSSSIESSTHFGFPSNGASSSSSSQRDLSTDLRLGLSISASRPYARGQPSLLRQVVSEEENECNSATFFVKVYMEGIPIGRKLNLLAHENYYDLIRTLENMFNTNIIWAEPEAEMDGDRYEKYHVLTYEDKEGDWMMVGDVPWEMFLSSVRRLKITKC >KJB08792 pep chromosome:Graimondii2_0_v6:1:11599227:11601737:1 gene:B456_001G104100 transcript:KJB08792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVVVRSPHFEMRTTDVAGEVIKVGPRVTNYKTGDKVDAMLNHPTGGGLAEYAVAKDNLIVLRPPEVSAAEGASLPVAGLAALQSVTESARVKLDGTGRHVNLLITTASGGVGQYAVQLAKLGNTHVTATCGAHNLDLVKSLGADEVLDYKTPDGVARKYDVVIHCEMDIPGSTFEPNLSTNGKAEEAWAKISDGHATGKIIVEPSVL >KJB11389 pep chromosome:Graimondii2_0_v6:1:52815558:52816439:-1 gene:B456_001G256000 transcript:KJB11389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSIGPRSRATHWKQTVLYLEDVLTICEGETIIGSMTVAQNKKNPRDVDIMVKYSLSGRRCVVSRVQFYKMR >KJB07618 pep chromosome:Graimondii2_0_v6:1:3073458:3073656:1 gene:B456_001G0333001 transcript:KJB07618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKSKSIPGIKSDYVLTLLTT >KJB11399 pep chromosome:Graimondii2_0_v6:1:53007270:53009279:-1 gene:B456_001G256500 transcript:KJB11399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSFSSMQSEAIAASSFFSIPFLSLLKPQIHITVPLTVKTRNHFILQALSSSSPAKDVWRRPGTTRFHRPKAPQNQTFLDHSVDMDELLASISKTQNENDLFILLSPYKTRQLSIRFMVSLLSREKDWQRSLALLDWINEEARYSPSLFAYNVVIRNVVKAKEWAVAHGLFEEMREKGLTPDRFTYSTLITYFGKEGMFDLALSWLQKMENDGVSGDLVLFSNLIELSRKLRDYSKAISIFNKLKRSGIVPDLVCYNSMINVFGKAKLFREARLLVKEMREIGVMPDTVSYSTMLNMFVENSKFVEALSVFAEMNEVKCPLDLTTCNIMIDVYGQLDMAREADRLFWSMRKMGIEPNVVSYNTLLKVYGEAELFGEAIHLFRLMQRKDIEQNVVTYNTMIKIYGKSLEHEKANNLVQEMQNRGIEPNAITYSTIISIWGKAGKLDRAAMLFQKLRSSGVEIDQVLYQTMIVAYERAGLVAHAKRLLHELKQPDNLPRDIAITILARAGRIEEATWVFRQACEAGEVKDISVFGLMIDLYSRNKKHANVIEVFEKMRSAGYFPDSNVIALGLNAYGKLREFDKADAVYKEMQEEGCVYPDEVHFQMLSLYGARKDFKMIETVFEKLDSDPNVNKKELHLVVASIYERGNRLNDASEIMNRMNERGILS >KJB07596 pep chromosome:Graimondii2_0_v6:1:2976914:2979659:1 gene:B456_001G032100 transcript:KJB07596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGFFGSLLILSLFFKHFTCQLPNTDGFYVSNFLNKMGSNSSLSYNFPGSVCSWVRVNCDDTKENVIALKASGLGLSGLIPDTTIGKLAQLQSLDLSNNKITALPSDFWSLVSLKSLNLSYNQISGSLPNNIVNFGLLEVMDLSGNNFSGEIPTTISSLVSLKVLKLGGNGFEWSIPEGILNCQSLVSLDFSSNHLNGSLPDGFGAAFPKLKTLNLARNEIHGRVMDVAEMKSLTSLNISRNLFKGSVVGVFQGQLEVIDLSKNEFQGHISQVQFKWSHLIYLDLSENQLSGEIFMNLSQAQNLRHLNLACNRFASQKFPRIEMLLGLEYLNLSKTSLIGHIPSLANQNLKSLDVSHNNLSGEIPISLLEKLHFMERYNFSYNNLTLCDSGLSSEILETAFYGSLNNCPIAANPALFKRRPHTHKGFKLALALTLSVVCLLGGLLLLAFSCRKKSMTWIVKQPSYKEENISGPFSFQTDSTTWVADVKQAASVPVVIFEKPLLNLTFADLLTATSNFDRGTLLAEGKFGPVYRGFLPGGNHVAVKVLVHGSRLTNQEAARELESLGRIKHPNLVPLTGYCLAGDQRIAIYDYMENGNLQNLLYDLPLGVQATEDWSTDTWEEEGNNGVHNVGSKGLLQTWGFRYKIALGVARALAFLHHGCSPPLTHRDVKASSVYLDSNLEPRLSDFGLASVFATGAEDEIVPPEPKSDVYCFGVVLFELTTGKKPIGDDYPEEQAANLVSWVRGLVRKSQGSKAIDPKIRETGPGYLMEEALKIGYLCTADVPNKRPTMQQIVGLLKDIEPRATV >KJB06689 pep chromosome:Graimondii2_0_v6:1:22982700:22983952:-1 gene:B456_001G159500 transcript:KJB06689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSCCFSSSVQSTRYLIQDEYNIKTTKCDNCLIAFMVILAEIACICRLVACLTGNSDLEDLAELLTCISDLVYCTVCACMQTQHKLELDHRHSIPWGAPGVMMVPAVQEMSRFDQAVPPTVRQPLGLPPGQLPPVQQPLLPPGQYPPGQYPPGQYPPGQYPPGQYPPAQQQGQWHQQQPPYGYAYPPPYMPAYPANPQQSPPPGYAASGHPLPPPGYYPPAGQGPDYYPPGCPPPPQHFPSDEHPQNPPLSPPPPPAQAPTKPKDSM >KJB09942 pep chromosome:Graimondii2_0_v6:1:26295572:26296027:1 gene:B456_001G176600 transcript:KJB09942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNKMKKAGEAESSLRYRGVRRRPWGKYAAEIRDSTRQGGPRLWLGTFDTAEEAARAYDRAAFSMRGHSAILNFRDEHIISSTALNSPTTATPSSSSSSSSSSSSSASAASSSSYFFEHVKSKKDEERIVLELECLDDKLLEELLDFENK >KJB07744 pep chromosome:Graimondii2_0_v6:1:3949312:3950559:-1 gene:B456_001G042300 transcript:KJB07744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDQAAILMSGRNAKTNFPISQTPSGDPKGTENTHSRVPSNELSEILHAKLRKCSKAPSPSMTCLRLDTENSHIGVWQKRAGQTSESNWVMTVELGKGNTEVSANTVPSNNQGLTGPEVGPDMDEEERIALQMIEELLNRNCSSFDEQEGDGNLLQ >KJB06594 pep chromosome:Graimondii2_0_v6:1:10144789:10145339:-1 gene:B456_001G091800 transcript:KJB06594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIHMRNIHILLVFTVTIILLETNGCKAAFPVKSNFSYKCKGSLNECRIVEALDSELELELDMVMNSNVVRILQRGNTPVTSNTGNRNRPVQQTCPSPQYASCLATGGRANCRGTYCRG >KJB11535 pep chromosome:Graimondii2_0_v6:1:54033878:54036906:-1 gene:B456_001G264000 transcript:KJB11535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTALKALFLTNPSQNFNSYIKECASLLKNFSEKGLVTEGTVLHSHLLKKGIFSVKFIAIKMLIMYLDFRKLAEANQIVKEFNRFDLVVQNCLIKANTEWGNLVEARKLFDEMPERNEVTWTMLISGFMKYGRWEESMWYFEMNPFKNVVSWTAGISGFLKNGFSFRGLKLFLRLLESGVKPNQVTFTSVISACIETGDFELGMSVLGLIVKAGFEDNVSVCNSLITFCLRMREFDLARRVFDRMETRDVISWTAILDMYVEMGDLGEARRIFDEMPERNEVSWSAVIARYSQSGDHLEALKLFRQMVQEGLRPTVSCFSCILSTLSNVKNLQAGRNIHAHVKKIGIEGDVFISSSLVDLYCKCGDTKDGQFIFNSIKKKNVVSWNAMLGGYSMNRQMDEAKYFFDNMPTRSNVSWGIIIGGYVENKQFDKVFEVFNEMLLSGETPTKLTFSSVLSTCASVASLEKGKDIHGKILKLGFHYDVYLGTALTDMYAKSGDIESSKQVFDRMSERNEFSWTVMIQGLAESGFADDSLFLFEEMKRSSSVAPNEFMLLSVLFACSHCGLVDKGLEFFGAMESVYGLKPKERHYTCVVDMLSRSGRLHEAEELINSMPFPPEANALAALLSGCKTYKNEEIAVRTARKLGEFAEKNSAGLVLLSNIYASAGRWVDVSNVRKLMREKGFKKSGGCSWVEVRNHLYSFYSEDGTHSKLAEIYDILELLRSEMMTP >KJB10628 pep chromosome:Graimondii2_0_v6:1:42363223:42363507:1 gene:B456_001G212300 transcript:KJB10628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RQESSMEKSWFNLILSKGELEYRCGLSKLMDNRLGPVKNTIVNEDLTRNDTDKNIHDCSESSSYYSKVDHLVDVKDIRNFISDDTFLIRDSNQS >KJB11781 pep chromosome:Graimondii2_0_v6:1:22817434:22820725:-1 gene:B456_001G158900 transcript:KJB11781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLGMSPMRTKMTRIVTVLLLVGFILGVRGGEARKGKVTESFAYTGISCRRHTASITDFGGVGDGKTSNTKAFQDAVNHLSKYASDGGAQLYVPAGKWLTGSFSLTSHFTLYLQKHALLLASQDMKEWPVLKPLPSYGRGRDAAGGRFTSLIYGTNLTDVIVTGANGTIDGQGSFWWQKFHKGKLKYTRPYIIEFMYSDTIQISNLTLLNSPSWNVHPVYSSNILIKGIKILAPVKSPNTDGINPDSCTNVRIEDSYIVSGDDCIAVKSGWDEYGISFGMPTKQLVIRRLTCISPYSAAIALGSEMSGGIQDVRAEDITSVHTESGVRIKTARGRGGFVKDIFVRRMSLHTMKWVFWMTGNYKQHADNHYDPNALPVIQGINYRDIVANNVSMAARLEGIEGDPFTQICIANVTIGMAAKAKKVPWTCTDVEGITSGVSPRPCDLLPDQGQKKIAACDFPAEPLSIDRVVLKTCTYRVNHM >KJB07005 pep chromosome:Graimondii2_0_v6:1:7415784:7416405:1 gene:B456_001G073100 transcript:KJB07005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSQQLPPQPPNTVRKVIVEVVDACDLLPKDGQGSFSPFVIADFDGQKKRTSTKYRDLNPVWNGALEFTVSDPDNMDVKELEIEVCNDKRFGNGSGHKKHFLGRVKLHGSQFTKQGLEGLVYFPLGKKSVFSWIRGEIGLRIY >KJB10206 pep chromosome:Graimondii2_0_v6:1:30869974:30871788:-1 gene:B456_001G189000 transcript:KJB10206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAGRSLYKLQFRMFIIFWLIFYHSYDKKFVPVSIIHFMLQSSSKPYMQASDQAYVSTVDTSIWRLLELH >KJB06670 pep chromosome:Graimondii2_0_v6:1:2364339:2365348:1 gene:B456_001G025300 transcript:KJB06670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQQYVDEHLMCDIDGQPIHLTAAAIIGHDGNVWAQSSTFPPFTPEEISAIMNDFAEPGSLAPTGLFLGGVKYMVIQGEPGAVIRGKKGAGGATVKKTNQALIIGIYDEAVTPGQCNMIVERLGDYLIDQGV >KJB09964 pep chromosome:Graimondii2_0_v6:1:26894885:26895603:1 gene:B456_001G178300 transcript:KJB09964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCILGFARKVGDCSVNDAKLWGVYDGLLQAWQLGATWVVLELDNWEVVQLVKGGSGAAVHNALVSEIQRLLRQEWSLSGRELSSRCFSRYCLPPAIGISSTPTTPR >KJB08072 pep chromosome:Graimondii2_0_v6:1:6172938:6182542:-1 gene:B456_001G061900 transcript:KJB08072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSHHSLEQSQEAFSWLKSMPLAPEYRPTLAEFQDPIAYIFKIEKEASQYGICKIIPPVPPASKKTAIGNLNRSLLVRAEANASSDLKPTPTFTTRQQQIGFCPRKPRPVQKPVWQSGEYYTFQEFEAKAKSFERNYLKKYSKKGTLSALEVETLFWKATVDKPAMVEYANDMPGSAFVPLNPKKSSGGGREAGEGVTVGETPWNMRAVSRAKGSLLRFMKEEIPGVTSPMVYIAMLFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPRDAAVAFEEVVRLDGYGGEFNPLVTFSTLGEKTTVMSPEVFLHAGIPCCRLVQNAGEFVVTFPRAYHSGFSHGFNFGEAANIATPEWLRVARDAAIRRASINYPPMVSHFQLLYDLALELCSRIPMSISAKPKSSRLKDKRKSEGENLVKELFVQNLIQNNNLLHILGKGSSVVLLPKSSSDISLCSHLRCQSRINPRMSLSLYKDIIKSSKDVGSDETVIGGNEEIKGIKGFYSVKGNFASMYEGNRDSSFRGNDYSCRFPSQTSNTTRERDSAILDDALPDQRLFSCVTCGILCFACVAVLQPTDQAARYLMSADCSFFNDWTVGSGVTHDGFNAAHGDAITSEQNPCSRRMNKSAPNSLYDVSVQPVDSKFRMGDQSNQVLEDTEKRGESSALGLLASTYGNSSDSEEDLPEPNATIFHDETNPTNVSPERKIQYNDSGFSPSDVNTSRNPSLSRLDSEEESPFDIKNGSPETFDPDLEFKTDNLISRRSNGLEDKFRDPMTSSHVNPNYSRAAHGIEKMRFSMAVLPMENVDIPFVQRTDEDSSRMHVFCLEHAVEVEQQLRQIGGVQVFLLCHPEYPKIEAEAKLVAEELGIDYPWNDILFGDATKDDKERIQSALDSEDAIPGNGDWAVKLGINLFYSANLSRSTLYSKQMPYNWIIYSAFGRNSSDSSLKKLNVYGRRSGKPRKVVVGKWCGRVWMSNQVHPFLAQRDSEEQEQERSFHAQAISDENVERKPENVPKAETMKVVNRKRKSRAEITLNKKVKRVESEGAVSDDSLDGSSLRQQQIVFRGKKPRLVKKEEAVSCDLLEDDSLLRHWNLSRNRRAKFIERENAESEDAEEDFTHQQQRSNLRGRHHKYIEEDDEVSGDLHNESSLKQYRRTPRSLQAKFLDGENGVSDDEQEEISHKLHRRIPRGKQIKSSKRNTAVSDDSLKQYRRMRKGKQTKVFERDDAMSDYASDDDSQYQIRRIPRGKQMKCMERYDAFSDDSVEDNSQQQHRRILSSKAAKFTERRRVHRSQLTEFIETEDAVSSDSPDDNSSLRQPRRIPRSKQPEILEREDAISDDSLDGSHQSNRSLRNRKKKGPTLCQMKRETAQNVKQVKRRSTEQVISQRVKQETPQNRNTKTKQTARHCSSSSDEDEIEGGPSTRLRKRTRKPLKQPETKPKEKKQAGKKKVKNALNSKTLSGQNSAKVRDEEVEYQCDMEGCSMSFGSKQALILHKRNICPVKGCGKKFFSHKYLVQHRRVHLDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGARPYVCGEEGCGQTFRFVSDFSRHKRKTGHSGKKGR >KJB10433 pep chromosome:Graimondii2_0_v6:1:37704355:37704580:1 gene:B456_001G200800 transcript:KJB10433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVSCVRGELLSIVGLYGRISRGPERRWFTLWRMSAVRVRLSIQTFLSLGTNNNLFQIFYKVQNLF >KJB08580 pep chromosome:Graimondii2_0_v6:1:10197811:10203222:1 gene:B456_001G091900 transcript:KJB08580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSIDDNKKKRDGEEIKLPGFRFHPTDEELVGFYLRRKVEKKPISIELIKQIDIYQYDPWDLPKVSNVGEKERYFYCKRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSSSTKEPHHHHHHHHHQHDRHQHQHLCTCIGLKKSLVYYRGCAGKGTKTDWMMHEFRLPPNGNHTTKAQEAEVWTLCRIFKRTPPNNKYPPTTVASTAAWKFSATTTIKQSGTDSPTTCCSSLEDVSQSQNSYDKCKSLCDTIIQQKGNKPDMSMDQVDARNYFLYGGTLPLSTQQAPFTATNFPTFCNHPSGDVDVFSNGNWDELRPVVEVALEPYTSHAFDFT >KJB08579 pep chromosome:Graimondii2_0_v6:1:10197811:10203222:1 gene:B456_001G091900 transcript:KJB08579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSIDDNKKKRDGEEIKLPGFRFHPTDEELVGFYLRRKVEKKPISIELIKQIDIYQYDPWDLPISNVGEKERYFYCKRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSSSTKEPHHHHHHHHHQHDRHQHQHLCTCIGLKKSLVYYRGCAGKGTKTDWMMHEFRLPPNGNHTTKAQEAEVWTLCRIFKRTPPNNKYPPTTVASTAAWKFSATTTIKQSGTDSPTTCCSSLEDVSQSQNSYDKCKSLCDTIIQQKGNKPDMSMDQVDARNYFLYGGTLPLSTQQAPFTATNFPTFCNHPSGDVDVFSNGNWDELRPVVEVALEPYTSHAFDFT >KJB09174 pep chromosome:Graimondii2_0_v6:1:16123850:16124407:1 gene:B456_001G127400 transcript:KJB09174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLCSLQQAAVLLISHESVPKKGNKLLVSYNITKQMPCTIKTLYCMLKAEGSICVNVLFQDTKLKKHVLTCGLVLAIHCTGAHKRDFDHTFDSFNSHWLQLTCTCT >KJB06914 pep chromosome:Graimondii2_0_v6:1:1761192:1763778:-1 gene:B456_001G019000 transcript:KJB06914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSTIPRARGAISHRKSFTSIELNFILLSGIDSFERSIRSVILKNADWIPQQWEVLFLGKGFVSHSAKSCVRFTDLNPTKESPETKFADLGADSLYTVEIMMALEEQFGVSLEEGGAENIVTVQDATGLIEKVKVAAA >KJB09235 pep chromosome:Graimondii2_0_v6:1:16622987:16624205:1 gene:B456_001G130900 transcript:KJB09235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHGSNAATKALLIKIDPSGKGDYRKIQDAIDAVPSNNKQVVLISVKPGIYEEKIIVPANKPFITISGSNPNDTVITWNDSGNIFESPTFSVLASDFVAQYLTIQNTYGVGAKAVALRVSGDRVAFFGCRILSYQDTLLDDTGRHYYSNCYIEGAVDFICGNAASLFEKCHLHSLSEGDASITAQHRDSPSQNTGFTFLGCKITGVKTALLGRAWGPYSRVIFAFTYMSNVILPQGWDDWGDSSKQREYKCYGPGASTKKRVEWAKELTIEEANPFLTKNMIGGKSWITSTTYRFTK >KJB06162 pep chromosome:Graimondii2_0_v6:1:10320175:10321113:1 gene:B456_001G092800 transcript:KJB06162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNQQHFSQSSAAAYAPPPPSTAPGPYVTAPPAGYPMSKDEYSQQNPAAVETKSRGDGFWKGCCAALCCCCVLDACF >KJB10098 pep chromosome:Graimondii2_0_v6:1:28661811:28664733:1 gene:B456_001G183500 transcript:KJB10098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSIVSSKYLACLVGSIILNLLFIINIYVGGQWKLSWSLGAAIEAETVAAIYCSGHGRAYLDGLVVVGNKPVCDCNTCFTGPDCSQFIPHCTANADGGDPLFLEPFWMQHLASSALVVAGWHQMSYTYGGKSFFSKELAKVVRKVHASVGNAVTQNRFIIFGAGSTQVLSAAVFALSPENTSSPAKVVTSVPYYPLDKQQTQYFSSQKFKYEGDAYRWNNRSDCSSTNMIEIVTSPNNPDGQLKKAIFHGPNVKTIYDHAYYWPHFTPIPAPSDEDVMVFTLSKLTGHAGTRLGWAVIKDETVFNRMMIHMQMNSMGVSKDAQLRGFKLLNAVLEEGTGIFDFAYQTMKSRWERLVQTVSLSNRFSLQENNPQYCTFYNKVRQLSPAYAWLKCEREEDKDCYAVLEAANIIGRQGNLFGAEDGYVRLSLVRTQDDFDILIERLHKLITEGDGDKTM >KJB10099 pep chromosome:Graimondii2_0_v6:1:28663012:28664733:1 gene:B456_001G183500 transcript:KJB10099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSYSGDPLFLEPFWMQHLASSALVVAGWHQMSYTYGGKSFFSKELAKVVRKVHASVGNAVTQNRFIIFGAGSTQVLSAAVFALSPENTSSPAKVVTSVPYYPLDKQQTQYFSSQKFKYEGDAYRWNNRSDCSSTNMIEIVTSPNNPDGQLKKAIFHGPNVKTIYDHAYYWPHFTPIPAPSDEDVMVFTLSKLTGHAGTRLGWAVIKDETVFNRMMIHMQMNSMGVSKDAQLRGFKLLNAVLEEGTGIFDFAYQTMKSRWERLVQTVSLSNRFSLQENNPQYCTFYNKVRQLSPAYAWLKCEREEDKDCYAVLEAANIIGRQGNLFGAEDGYVRLSLVRTQDDFDILIERLHKLITEGDGDKTM >KJB08944 pep chromosome:Graimondii2_0_v6:1:13444430:13446667:-1 gene:B456_001G114900 transcript:KJB08944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQPHPHRRQSRRLSSCHRHPATAPVTGFCAACLRERLAGIQNDSPTRTPTSSTTSSTSQFRRSKSCSGGPDPSSSAASEPRRKSCDVRAHSTLHDLFAVDDKMKTLNVDLACSKVEVFQSFEGGEEEEEEGELKTMKEFIDLECGSKKTSGKSLWEAASVFSKKLRKWRKKQSKKEKSEGLVLEKANRRGLRDTQSEIGEYGLFGRRSCDTDPRLSVDFGRLSVDEPRFSMDEPRASWDSYLIGKQNPRVNEEPSVGEERLSVVKEEERISPGGSAQTRDYYADSLTRRRRSFDRSSSNRRISFGEAEEFKSSISNAKVSPETVGLFHGAKLLVTEKELRDSNWYSNMESASKDVELVANGGVAQKVFNMKKARGWKNVWSMWGLIYRRKQSDFGDEDRSIGRDVGNGRLTESLHKLRRVTNGDECKGVRGNVGEGTLADSLEKLTRFANGDESKAIRGNVAAGTLAESLHKLRRVADRVENGNAVREKLLRSYSVSARHSVDGSSFYGGSVIDPKGDGPKRRDNHMLHKNRSVRYSPNNLDNGLLRFYLTPLRSYRRSKSGRSRLRNSNSVGGSIL >KJB06397 pep chromosome:Graimondii2_0_v6:1:47486595:47487170:-1 gene:B456_001G236800 transcript:KJB06397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKHLKVRAEAKRLKAEMGKVREDQLCLREEQTKLITRFGEIERQYNELQQEAELIAKQSAMTGIKLSLMLGILKAREGGDLVQAADLTRFLGEIVSLEKAKAILADAQR >KJB06220 pep chromosome:Graimondii2_0_v6:1:29615591:29615956:-1 gene:B456_001G185600 transcript:KJB06220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTPTGSKELARELLIAISSFVPDTDLNAEHASKNIDATNGAAVTKTDGAEKYRSELILKLDFEELILLKLERGKFECYLTCTAQISCFRKNEDAQSSAVEDWRWRWIRGGGPVEVFELG >KJB10741 pep chromosome:Graimondii2_0_v6:1:44721077:44723129:1 gene:B456_001G220300 transcript:KJB10741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKAWNLVLFSCLLLSLLASPSSAQTCVSQTFPDNKQYINCTDLRALSSYLHWTYHQSNGTVEMAFRHTGTTSSRWSAWGINPSGPSMVGTQALVAFVNSSGVTQAFTTSIDSLFPTLQPTDLSFQVPSLSATFENDEMTIFGVLRIPENMLSTSQVWQEGPVSNDQLQPHTTDGANLQSRGSINFLTGQSAGASSDSRTRRRNVHGVLNTVSWGILMPLGAITARYMKVFKSADPAWFYLHVACQSSAYVVGVAGWATGIKLGSDSPGITHNPHRTIGIILFCFGTLQVFALLLRPNKNHKYRLYWNIYHHSIGYSVIILSIVNIFEGFDILNPEDKWERIYIGILIFLGAMATLLEAFTWYVVIRRKRTNKHPLAMNGANGHGQGV >KJB06800 pep chromosome:Graimondii2_0_v6:1:23486336:23490017:-1 gene:B456_001G164000 transcript:KJB06800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKTKSIFQSTWERKLVRNRKQTNLRTQNPKSLIPKLFLIPQRCWKNRISLVIFSSTSIPLEMVELSNSHSSVDVLYLNLSSEGLKEDLAYQTLAMKPGGRML >KJB11686 pep chromosome:Graimondii2_0_v6:1:54877951:54879434:1 gene:B456_001G271800 transcript:KJB11686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLRRWLILLSMVLMIMQRGEGQLLENFYANSCPNLESIVRQEVSTKFTQTFVTIPATLRLFFHDCFVEGCDASVLISSSSGDAEKDAKDNLSLAGDGFDTVIKAKQAVETQCPGVVSCADILALAAREVVVLAGGPTWEVELGRRDGLISQASRVTGNLPQPEFNLDQLNTLFAQNNLTQLDMIALSGAHTLGFSHCDRFLSRIYSSPIDPTLDSSYAQQLMDACPQNVDPSIAINMDPETPRTFDNVYYQNLIGGKGLFSSDQVLNTDPDSEPTVSDFATNPGNFNAAFITAMRKLGRVGVKTGNEGEIRIDCTTFNS >KJB06161 pep chromosome:Graimondii2_0_v6:1:7865849:7867197:-1 gene:B456_001G076400 transcript:KJB06161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGEASPPKPTNVTSTGTTSSEVPQKQIEVVKETVQKPTTASPSSGDAKEIPAGVPGSKTNNYFRADGQNCGNFLTVEY >KJB06160 pep chromosome:Graimondii2_0_v6:1:7864210:7867216:-1 gene:B456_001G076400 transcript:KJB06160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGEASPPKPTNVTSTGTTSSEVPQKQIEVVKETVQKPTTASPSSGDAKEIPAGVPGSKTNNYFRADGQNCGNFLTERRTTKVHAAPGGGSSLDYLFGGSEK >KJB09511 pep chromosome:Graimondii2_0_v6:1:19944713:19945198:-1 gene:B456_001G147000 transcript:KJB09511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPREEFPTKGLEGAPSNDIGWHFGTPVPNAKGNIICKLCGKVVKGGITRFKEHIAHKTGNVAPCPNVTGVIRESMMNILKESNTKKIDKKRRRGG >KJB11282 pep chromosome:Graimondii2_0_v6:1:52261474:52262556:1 gene:B456_001G251300 transcript:KJB11282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFWDSKSTLSMESSQLEDSKSSSEDTDRSEQSNDDTGTGRSYECVFCKRGFTTAQALGGHMNIHRKDRAKSSRPSSVPIVSDKFVDVDDHDNYNPRISSYPYPPIQTYHSMDPDQLPVSYKALFPDSGWGFRPPHSYHELFVHNSQHLNPFGLSLGMGPSHVYENNKAYGSSQQDDELDLELRLGHHP >KJB07715 pep chromosome:Graimondii2_0_v6:1:3754463:3758385:1 gene:B456_001G040500 transcript:KJB07715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLDFSNWWPKDARKGTPVVVKMENPNYSVVEIDGPDAAFRPVEKSRGKNAKQVTWVLLLKAHRAVGCLAWIASLFWALLGTIKKRLIFRQDVAMASEKLGKGKLLFTVIKAFLVTSLTILAFEVVAYLKGWHYFRNPSLHIPRSTDIQGLLHLVYVTWLSVRADYIAPPIQALSKFCVALFLIQSVDRMILSLGCFWIKYKKIKPRIEGDPFKSDDVEGSAYEYPMVLVQIPMCNEREVYEQSISAVCQLDWPKDRLLVQVLDDSDDESIQYLIKAEVAKWNQKGVNIIYRHRLVRTGYKAGNLKSAMSCEYVQAYEFVAIFDADFQPNPDFLKQTVPHFKDNPDLGLVQARWTFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMHLFRLCFPAIITCKIAIWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPVWVICYVPVFMSFLNILPSPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRSSESDLLASAEMESKIMNQLQIQRGASESELTELNRLKEQKEAAPVPVKRVNKIYRKELTLAFLLLTASVRSLLSAQGVHFYFLLFQGVTFLLVGLDLIGEQMS >KJB08824 pep chromosome:Graimondii2_0_v6:1:11951610:11952720:1 gene:B456_001G106200 transcript:KJB08824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIRSRRGLIIACCIFLLALIAGGENLPQNIKRNCSYTVTIQTTCTKGADTSDHVSLRFGDKKSNDMIVHHLNSKHVRQVDPLQPLVLDDIPRKPFQACLVDEFQVTGQCMESPICYLYLKLSGSDDWRPGFAQVEALEESGLSSKSFYFRRYLPRNVWHGSDLCSKEITPFGIRHKRKVFKKTPAAAETLMP >KJB07828 pep chromosome:Graimondii2_0_v6:1:4399483:4402824:1 gene:B456_001G046100 transcript:KJB07828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51545) UniProtKB/Swiss-Prot;Acc:F4KDA6] MALPIYTPSYLANTVYHRHSLFPKPKFSIKSKKPTVDADPTPDPTSSSKKAVVPGQGFGSSPSQSSGSNKKKPKGKRERASIIRRSPVEKPAFLTKEEEAKAEEQRKNESAFLLAWLGLGGIILVQGIVLAASVGDSAESRARKYSPAYDGKH >KJB07827 pep chromosome:Graimondii2_0_v6:1:4399391:4401114:1 gene:B456_001G046100 transcript:KJB07827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51545) UniProtKB/Swiss-Prot;Acc:F4KDA6] MALPIYTPSYLANTVYHRHSLFPKPKFSIKSKKPTVDADPTPDPTSSSKKAVVPGQGFGSSPSQSSGSNKKKPKGKRERASIIRRSPVEKPAFLTKEEEAKAEEQRKNESAFLLAWLGLGGIILVQGIVLAASGFLPEEWDKFFVKYLYPSFTPTVILFLAGTVAYGVLKYLENEKLKDQK >KJB11456 pep chromosome:Graimondii2_0_v6:1:53510080:53513826:-1 gene:B456_001G259900 transcript:KJB11456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein EMB506, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40160) UniProtKB/Swiss-Prot;Acc:Q9SQK3] MATFSPFSLPLHLIPNTHFNTHKSLSFCPKSTHLQTKVCTFSSKNLALFFKKRKTVCGTWKLNSAEEEAAALPVSPTSTLRMYFQSLGNSGGTFSRPFVVNSSSSTASLNTHQGIWEDPDDGSGSEYDDDDEEEVEENDGSDFQQGNPVGVVDNHTVNQYEEDLVKEVEQLLGPEEKAILQQNASPNLRKISTDKWKPLQTLALSLQIHSMDKLLEDGLNIDEVDKDGHTALHKAIIGKREAVISHLLRKGANPHVKDKDGATPLHYAVHVGALQTVKLLLKYDVDVNVADRDGWTPLHVAVQSRNRDIAKILLINGADKTRKNKDGKTALDLSLCYGKDFKSYDLAKLMKILPVDRCL >KJB09846 pep chromosome:Graimondii2_0_v6:1:24433759:24435908:-1 gene:B456_001G170500 transcript:KJB09846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLQRYAVVTGANKGIGLAICKLLASKGVMVVLTARDEKRGLEALEKLKESGLSDHLVFHRLDVADPATITCLADFVKNRFGKLDILVNNAGIGGSTMNHEALKAAKVSGTEADVEAVWSKVLIETYELAEECLKINYYGAKTTAEALIPLLQLSDSPRIVNISSSMGKLQYIPSEQRKEALRDADTGEKIDELITEFLKDFKEGSLESKGWPTFLSAYTISKVAMNAYTRILAKKHPNFCINCVCPGFVKTDINNNTGHSTPEEGAAIPVKLALWPNGGAPSGLFFVQGEPLPFE >KJB10102 pep chromosome:Graimondii2_0_v6:1:28893794:28894390:-1 gene:B456_001G183800 transcript:KJB10102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCFMILALTFAVIHCSTAARNVPAGTGLDDQKNFIAFGGVGGFAGTGLRGGGGVGGGLGGLGGGIGGLGGLGDSPGLGGLGGATGGLGGLGGGIGGLGSGIGGSGDCPEGGAGSLLHP >KJB10770 pep chromosome:Graimondii2_0_v6:1:45645672:45645721:1 gene:B456_001G2235002 transcript:KJB10770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILYYDIACGDVVPV >KJB09365 pep chromosome:Graimondii2_0_v6:1:17898992:17899378:1 gene:B456_001G136500 transcript:KJB09365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEFQGHNLVYSVVIAEALVVLHGLQFALDLSFSNVILESDSRLVVNNIQKSSEDYSESRPFIWDVKNLARKFQRCRFQFIAREGNGAAHVMAIEGMRTEGDSFWVEDAPLKALEVADSDRRSGRPP >KJB08913 pep chromosome:Graimondii2_0_v6:1:13139565:13140011:1 gene:B456_001G112400 transcript:KJB08913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCGNIFEKQVIGVSKTKVGGKPPTRLQKHAPESLKLDQMKAAEAPIPIPLLTPLASSPISFPETDGFMFPMPNNMNAPTPTTFGWKPPGRGGFNVEAPTLLALFHNKCVLVNDAK >KJB10295 pep chromosome:Graimondii2_0_v6:1:33323109:33326492:-1 gene:B456_001G194700 transcript:KJB10295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMISDVPATVAAVKNPTSKIVYDEYNHERFPPSDPSKRAFAYFVLSGGRFVLSMSASKDVLALASLEVDLSSIELGSTVTVKWRGKPVFIRRRTEEDIKTANSVDLASQG >KJB09831 pep chromosome:Graimondii2_0_v6:1:24240546:24242921:1 gene:B456_001G169600 transcript:KJB09831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSQPKTGLSVGLNKGHVVTKKELAPRPSNRKGKTSKRVHFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRSAGGGEKKK >KJB10944 pep chromosome:Graimondii2_0_v6:1:47185565:47186449:1 gene:B456_001G234400 transcript:KJB10944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLFGVLIISLNSPNGDGAGLPPEEKLKLCDDACEKELENVPMVTTESGLQYKDIKVGESPSPPVGFQVCNCSSPASLPYSPAI >KJB09517 pep chromosome:Graimondii2_0_v6:1:20235254:20235609:-1 gene:B456_001G147600 transcript:KJB09517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTGLWRQRSKQCKKMRFRRSLIMMFNNFMDMIWMNSTVKKKHIKKGQLAGLIEALGVIAATIGVTTDDDSLDFLDGGSTD >KJB11432 pep chromosome:Graimondii2_0_v6:1:53308057:53311085:-1 gene:B456_001G258700 transcript:KJB11432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHPISACKRIPNLAQIFLIGFYEEKEFTLYVSSISNELKIPVRYLKEDKPHGSAGGLYYFRNIIMEDNPSHIFLLNCDVCCSFPLTDMLEAHKRYSGMGTVLVSAESANQFGELVADPITKELLHYTEKPETFVSDLINCGVYVFTPDIFTAIQEVSTHREDQANLRHLSSFETLQSPTRALPQDFVRLDQDILSPFAGKKQLYTYETMDFWEQIKTPGMSLKCSGLYLAQFRYTSPDLLAGGDGTKSASILGDVYVHPSAKVHPTAKIGPNVSISANVRIGAGVRLIGCIILDDVEVQENAVVINSIVGWKSSIGKWSRVQADGDYNAKLGITILGEAVIVEDEVVVISSIVLPNKTLNVSVQDEIIL >KJB11744 pep chromosome:Graimondii2_0_v6:1:55282214:55284901:1 gene:B456_001G275300 transcript:KJB11744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSTMEPENVLVFGSMPKTQMIQSSHLVCSNFSKLTNASVFYSSSPLTLMLPLLLLQLSLGSAAILVTFYLLRPFGLPLILAQVLGGVLLGPSLLCRIPGLLNMIFPIRSFLLMDVVSTMGFMFYFFLVGVQTDIWLLKKITKKSFAIGFFSVAVPMILTLGMSLLWMQFNVNPNEKKKVDSLPEIAKAESLVSFQIVSYYLSELRVINSEIGRVALSSSMVSTLCSTCVVTSNILWNQSKDDLSRFFQSICYGIIFASLVCCILGPLLLWEMKHTLGGQPLKQGNLVVLFIAVLMSGFWGHSFGLNIYFGPLLFGLIIPSGPPLGSALVEKLDVITNWVLMPLFFVKFGLAVDIFALSLKTYFKVQFFALLGAFGKFLGASLCALSCQMPQRDAIVLGFFMTFQGVIELDLFRRMKRKQIIGDEAFSAMCLTALIGSGVVALVVPCFYDPSKRYEGYYGRTLAHSRHNSELRVLVCIHEEQNVPSAINVLATLKPTKQSPIAVYMLHMVELMGSATPLLMRQKRPKKLSTRTRGSAPIIDAFKIFEENHDGLVSVSPFISVSPPQTMHEDVFQIALEKGTSLVMIPFYKKFHVGGAVYLSKRSLKIANQNVLDQAPCSVAILVDRGSLKTLHAIWAGWSSSEVAVIFLGGADDQEALALAAKMTGCQNINLTLIRIIYNGDFPDKYMEGIRNDNESLGQFQTNISGNTCAKYWEEVAKDGAGTASVLRTLENQYDIIVVGRRHYDTFPLLSGLTESNENKELGVIGDMLASSDFLGNTTILVVQQHTDNSHSE >KJB09898 pep chromosome:Graimondii2_0_v6:1:25310406:25313652:1 gene:B456_001G173600 transcript:KJB09898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKEQANWSPYDNNGGSCVAVAGADYCVIAADTRMSTGYNILTRDYSKICKLADKCVMASSGFQADVKALQKHLAARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYSFNVLGGLDNEGKGCVFTYDAVGSYEKVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLAEAEAIDLVKTVFASASERDIYTGDKLEIVVINADGIRREYMDLRKD >KJB07217 pep chromosome:Graimondii2_0_v6:1:784950:787262:1 gene:B456_001G008400 transcript:KJB07217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETAADSVISKEKDYAPASTSSTSEEKVVSEIDIQNLTIDDCNKFLDEDSNIKIITSGDTPYRSAFTFEELNLSPQLLKGLYVEMKYQKPSKIQAISLPLILDPPYLDLIAQAHNGSGKTACFTLGMLSRVDPKLKAPQALCCCPTRELAIQNSEVLRKMGKYTGITFECAIGGDFTTETHIKKRSPITAQVVIGTPGTIKRRMTEKTLGLSYLMMLVFDEADHMLAKEGFKDDSLNMMKHIGRVTSHCQVLLFSATFNESVKKFAAKIVKGNHNELFVKKEELSLESVIQYKVDCPDELAKVMVIKDQILEFGERIGQTIIFVRTRNSAIILHRSLVDLGYDVTTIQGALKQEDRDKIVKEFKDGLTQVLISTDLLARGFDQQQVNLVINYNLPVKYDHSTEPDCEVYLHRIGRAGRFGRKGAVFNLLCGDMDEMIMSKIETHFDMKIAKVPDWRNEEDFKAAMRSAGLL >KJB09146 pep chromosome:Graimondii2_0_v6:1:15830834:15831034:1 gene:B456_001G125900 transcript:KJB09146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEEFLKVRFILLLKIKQPEKVDRVDNPNYCRYHNLINHPLKKCSISKEKIIQLYNEGKVNFEEET >KJB07846 pep chromosome:Graimondii2_0_v6:1:4520660:4522768:-1 gene:B456_001G047500 transcript:KJB07846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDCVSSIASMPHHLKDDSKDEQKHLVFDASVLKYQSRIPQQFIWPDDEKPCANAPELQVPLIDLERFLSGDPVAAMEASRLVSEACQRHGFFLVVNHGVDATLVADAHRYMDNFFELPLCEKQRAQRKVGEHCGYASSFTGRFSSKLPWKETLSFRYSAQRNASTMVEDYLVNKMGDEFRHFGMVYQSYCEAMSKLSLGIMELLAISLGVGRAHFREFFEENDSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDRVGGLQVFVDNEWRSISPNFEAFVVNIGDTFMALSNGRYKSCLHRAVVNSHTPRKSLAFFCAQRVIKWLPHQKSWWTHIAPEYIQILHGLCCLNSHKSTTELT >KJB11687 pep chromosome:Graimondii2_0_v6:1:54879841:54881410:1 gene:B456_001G271900 transcript:KJB11687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRTWLMILFLVFMMMQKGKGQLSETFYRTSCPKVESIVRKVVTEKINQTFVTIPATLRLFFHDCFVEGCDASVLISSPNGDAEKDAPDNLSLAGDGFDTVIKAKTEVEKSCPRVVSCADILTIATRDIIELAGGPSFKVELGRRDGLISKASRVTGNLPDPNFNLTQLNTMFAKNNLTQTDMIALSGAHTVGFSHCSRFANRLYSFSPSSPVDPDLDPTYAQQLMQACPQNVDPRIAINMDPATPQTFDNMYFQNLVTKKGLFTSDEVLFTNKASQATVIDFANNPGDFSGAFVTAIRKLGRVGVKTGNAGQIRVDCTAFNS >KJB09050 pep chromosome:Graimondii2_0_v6:1:14830583:14831995:1 gene:B456_001G121500 transcript:KJB09050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETKPSNSALELKKKKISDMAEEHRCKAPPQCANNCGFFGNPATQNLCSQCYRHLQHLKEQGSSSAAKQAFNQALLPSFSSSSSSFSVSLAVKHEPLAETKEEVVQAEVQVHVQVRPNRCMTCKKRVGLTGFKCRCGMVFCGTHRYPENHGCSFDFKGMGKQQIAKSNPVVKGEKLQKI >KJB10884 pep chromosome:Graimondii2_0_v6:1:46734758:46736757:1 gene:B456_001G230500 transcript:KJB10884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNLMRAVQYSKYGGGADDLKHVEVLIPSPKKDEVLIKLEAASINPIDWKIQEGVARPFLPRKFPHIPGTDVAGEIVQVGSGVQSFKVGDKVVAVLGNGGALAEYAVAKEGSTVPRPPEVSAPEAAALPIAGLAAHQSLTQLAGLKLDGTGPQVNVLVTAASGGVGQYAVQLAKLANAHVTATCGARNMDLVRSLGADEVLDYKTPDGVALRSPSGRKYDVIIHCAHNIPWSTFEANLTSKGKVVNTTPGICTVMSAAAKTIKCSKKQLIPLFTSPKKENLDFLVNLVKARKLKPIIDSKHPLSKAEVAWAKSIDGHATGKILVEP >KJB07732 pep chromosome:Graimondii2_0_v6:1:3824560:3827705:-1 gene:B456_001G041600 transcript:KJB07732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKENQNPFQLDVGNLFAFNPFHNFPSLPTSRDELVKECLQEGTKLVQAVADSLFNLPSTEDVDGPLVKLPPPTTKLPREKHLPKPKPPTKWEEFAKKKGIKKRKKDKVVWDEQTGAWKRRFGYDRVNDDKDIPIIEAKMTDEPGEDPFAKRQDDKKKRVEKQEKNRLQNLKQAAKLGALPSHVQLAATALPITGTQAPPKKVTKDELGNVAGMAATSTASGGKFDRKLPGEKPAKKQGKHRKFLPVVEGSGIGSREKEQTENVLNKLISKHSHEILNVDKAVTMYNVKKEKKARNRKNQEGRSSSTSNKLKPKKQLHKKGAKKGPGSSKKGKA >KJB06841 pep chromosome:Graimondii2_0_v6:1:1327969:1330781:1 gene:B456_001G014000 transcript:KJB06841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSMKLAGLKSVENAHDESVWTATWVPATGSRPPLLLTGSLDETVKVWRPDELDLVRTNTGHCLGVVSVAAHPSGVIAASAALDSFVRVFDVDTNATIATLESPPSEVWQMQFDPKGTTLAVAGGGSASIKLWDTATWRLVATLSIPRPEGSKPSEKSGSKKFVLSVAWSPDGRRLACGSMDGTISVFDVARAKFLRHLEGHYMPVRSLVFSPEHDSRKLYSASDDGHVHIHDVEGKAIIGAMSGHTGWVLSVDVSPDGEAIATGSSDKTVRLWDFKMRAAIQVMSNHTDQVWAVAFRPGGRGDRLASVSDDKSISLYHCS >KJB07577 pep chromosome:Graimondii2_0_v6:1:2870615:2872527:-1 gene:B456_001G030700 transcript:KJB07577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSGTCLRLCLVTFALISALAVCGPALYWRFKKTLKLGPSKSSCPPCICDCPPPLSLVNIAPGLTNLSIADCGSNDPDLKQEMEKQFLDLLTEELKLQETVAEEHSRHMNITFGEAKRVASQYQKEAEKCIAATETCEEARERAEASLIRERKVTTVWEQRARQMGWEGE >KJB10127 pep chromosome:Graimondii2_0_v6:1:32645047:32645559:1 gene:B456_001G192700 transcript:KJB10127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFDEMGICGDMDFFSAPLGEKDVAASQIEPEATVEDDYSDEEIDVDELERRMWRDKMRLKRLKEQNKCKEGIDIAKQRLSQEQARRKMSRAQDGILKYMLKMMEVFDVVKLYNIWIRM >KJB08119 pep chromosome:Graimondii2_0_v6:1:6664183:6667441:-1 gene:B456_001G066500 transcript:KJB08119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKLESPVQTQMAVSAFNSISNGQYHGNRILKPVRTRRVFVQTETGCVLGLELDRNDNAHSVKRRMQIALNVPTDESSLTFGDLTLNNDLSAIRNDSPLLLTRNAIHRSSSTPCLSPAWKNLQQRDGSGLVEILGCSTHSSGTTELVKDIMDAIKNGVDPIPISSGLGGAYFFRNIKGENVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTVLVKVTHSIFNVNDGVNRNKLQDKKVVSKIASLQQFIPHDFDAGDHGTSSFPVDAIHRIGILDIRIFNTDRHAGNLLVRKLGGVGGFSQFELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEDELEYIKNINPMRDSDMLRAELPMIREACLRVLVLCSIFLKEAAAFGLCLAEIGEMMSREFHALEEEPSELELVCIEAKELVMEINMPFFEADERDDEFQFDIDCKEAEVNFTPNTTRDLPAKALIRHWSETMNNLNFLAEVDEDIEASEIQVDEEPVRARNAGSLEEDWFHTASRLSLSLKRINNLGPKGFHYHGQKPERTHTMDYSSRMRSANEQLLTSTSFVKFADMNEEEWNLFIVKFKKLLCPAFANRRSRTIGERQRLGTSCQF >KJB10856 pep chromosome:Graimondii2_0_v6:1:46537337:46540498:1 gene:B456_001G229000 transcript:KJB10856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQEPKVTGSPLMYSNRVTLKTILESSDGEMVLVGETVVVGGWVKCYKEVKKHRASDTDHASPGRQGLTCLGILKSRIPRFRTIIRILCGPASSPAVREELEPLVPKPTLPSTFFLQINDGSCTSCLQVVIDSTIAPVSAGQILPTGTCILARGVLEKLSANEKQIIQLKAEKILHVGTVEQDKYPLSRERLPLDSLREYPHIRPRTTMVSCVTRIRSTLDFATHTFFQKYGFLHVQVPIITTTDTEGFSEKFHVTTLLGKTSKEKEPVGVSDADVVSLETAKAAVKEKSAVIEQLKRSDGNKESLIVAVQDLQKTNELARQIEAREKSKPVTAVKPDLLNFNDDFFGRPSYLTVSGRLHLESYACDIGNVYSFGPRFQADKTVSSKHVAEMWTVEVEMAFSELGDAMECAEDYFKFLCKWILDNCPEYMKFVSKTIDNTITHRLEYMTTSSYDKISYGEAVEILRKVPDKAFETQLQWGVPLTAEHLRHV >KJB06145 pep chromosome:Graimondii2_0_v6:1:444251:445023:1 gene:B456_001G004700 transcript:KJB06145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYTERGLGLQRRWLFLRPLMTTVGSRTGVARDTNGVGSMKQCLCSPTKHPGSFRCRHHHAEYVWGGRFVGKK >KJB07638 pep chromosome:Graimondii2_0_v6:1:3172930:3173883:-1 gene:B456_001G034700 transcript:KJB07638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYKLCALFLLFTLLFHSTFTTACNSCKPKPPPCPPPATPASCPKDTLKLGVCADVLGLVNVIVGTPPSSKCCALLQGLADLEAALCLCTAIKANVLGINLNIPVSLSLILSACQKEVPPGFKCE >KJB06588 pep chromosome:Graimondii2_0_v6:1:655379:657281:1 gene:B456_001G007300 transcript:KJB06588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNYYGEANMGNERGGGSSRKSKKDKAKQPQRGLGVAQLEKIRLNEQMGYHPSFHGPYSSNFNQEDMRMQHPTGYSSMVASSSSFSYSSSSSTSSASYGFHPSIMMGLSEYDQRANISYGDSQPSTAASWNPGSGILDAQPNMTRQLLNLHVEDLHPKKSKSLGSSSQNSESSETQELDLELRLSL >KJB08921 pep chromosome:Graimondii2_0_v6:1:13200291:13203005:1 gene:B456_001G113200 transcript:KJB08921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQITCHTRTSKETGKKMEKQQKSSHLVLVMLPFQGHMTPMLQLASILHSKGFSITIVHPELNSPNPSNHPEFTFVSIPDKLTESQLSDKNVTGLVWSLNKTCPVPLRQCLEKILHSHHHIAALIYDTQMYCAQTIADDLGLPGITLRTSAATTLLLYPVFSQLDEEDFMSEIKSPELQALHLQRLRGLLSQNPAKEMMEIRVELTNALKSSLAIIVNSMEFLELEALSKVKQYFPAPIITIGPLHKLAPAICSSLFTEEDKCISWLNKQAPKSVIYVSLGSMASIDRQELIEIAWGLSNSKQPFLWVVRPGMVRGSEWIESLPNGFEESVGERGCIVKWAPQTEVLAHAAVGGFWSHCGWNSTIESICEGVPMLCKPFFGDQHLNTSYICNVWKIGLELQNLERGNIERTIKRLMVDMEGNDIRKRAMDLKEKAAFCLVEEGSTSCSFNGLTKHISSASET >KJB09772 pep chromosome:Graimondii2_0_v6:1:23478676:23480582:-1 gene:B456_001G163900 transcript:KJB09772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLSCGVRERWLCLSHPFFGSVFGSRCDIEGVQAPCALLRFIEERDRCLESEKQAQAIERIVPRASLLQKESLYRVSGEERSPEILILFHSSGSTSNQWRKLKNPWFPGRTPFRPSCCGTGKKKRFFAQLAHSAEASDRLEFLPSWDSMDQDLLSLYGQYRSTLVDHMDVKKASDFDEFETSLFHFYLPSSYLCFVCSREEFDLFNLGIPPK >KJB08799 pep chromosome:Graimondii2_0_v6:1:11712694:11715660:1 gene:B456_001G104600 transcript:KJB08799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYTLILSIASLLLFLYIFSRNSPKNSKKNCIPEPSGSLPLIGHLHLLGGKEPLCKKLATIADKHGPFYSLKLGTHRVLVVNSWEIAKACFTDNDRTLATRANTAGGRYMGYNNAINAFAPYDEYWRNIRKMAIVELLSSHRLEKLKHIRFSEMDSFVKELYGLSRNGDKVTISEALERLTFNINLRMLFGKRFSGNDYGEVNSEPWRYKKAIKLALYLFGIFVLADALPWLERFDIQGHVRSMKETAKELDSIISVWLGEHLKKKRENQGASESDFMDVMLTHLPEDTVISGHTRDTIVKATTLVLSLTGAESTSVTITWVLSLLLNHPTTLMAAQQELDHHVGRDRWVEESDIHNLKYLQAIVKETLRLYPPGPITGIREAMQDCNIVGYDVPKGTHLVVNIWKLQRDQRVWENADEFRPERFMTTHVGLDVGGQNFEYIPFSSGRRSCPGITFGLQLVHLTVAKLIQGFDIKTAEGMAVDMKEGLGLDLPKLNPLDVVLIPRLRMELYLCLDDMNEN >KJB10212 pep chromosome:Graimondii2_0_v6:1:31060830:31063229:-1 gene:B456_001G189600 transcript:KJB10212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYTRKHHSSLILLAVISIAVASAIVVFKVSKGLSWHYLASPWTWTSTLGGPFSSRHVIDKRKEKAKEYINLERIWNDDSVKRRGKHRDGSLEMVEAVLAKARALIRDASLNPSNSSTSELPDSDYVPQGDIYRNPHAFHRSYLLMEKMFKIFVYEEGEPPLFHYGSCKDIYSMEGLFMSLMEQDTRYRTWDPNEAHVYFLPFSVVMILEHLFDPIIRDKAVMERTVVDYVGIISNKYPFWNRSIGVDHFMLSCHDWGPRATWYVKELYYNSIRVLCNANTSEYFNPKKDASFPEINLVTGEITNLTAHLPPSNRSILAFFAGNLYHGKIRALVFKHWKGKDNDIQLYEKLPVGVSYSEMLKNSRFCLCPSGHEVASPRIVEAIYAECVPVIISQNYVLPFSDVLRWESFSIQLAVSEIANLKNILMGISEERYDRMVENVKKVQKHFLVNDPPKRYDVFNMIIHSVWLRRLNVRIYS >KJB09971 pep chromosome:Graimondii2_0_v6:1:27262352:27267118:1 gene:B456_001G178800 transcript:KJB09971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRDIGATLPPGFRFYPSDEELVCHYLYKKIANEVVLKGTLVEIDLHTCEPWQLPEVAKLNANEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRMVMDSRTQEVVGMRKTLVFYMNRAPNGIKTGWIMHEFRLETPHMPPKEDWVLCRVFNKSKGGENSNALSPHMMYETSTQTSMACGGYQQISCSLSTTPTHHNYGQSLLNLLQSSQLKYNNNNNSLSNNEVSSKVVEDDYEFLWDMNMEENSLEDHHVHGHGMADSSLEDMRFEIDNSMIFL >KJB11411 pep chromosome:Graimondii2_0_v6:1:53215005:53217489:-1 gene:B456_001G258300 transcript:KJB11411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDPPKNLLSLIRDFASEKSQGERRVVGLKKQIEELRSELEAANSELEEAKRLKETTEQELKGFEVELALNEASIQALEARIALIQDEVSNVGSEIEELKNKEATSRDEFICQMLEFNTKIRKFQETIASGSENKNIIGNATEEDHKFVKEATEITSINIKDQFTHVVSQIANEEEEYLAEQNIQKQLQLELIDIERKVYLMDVITREAKALENLTRYPNQI >KJB06851 pep chromosome:Graimondii2_0_v6:1:23580727:23580992:-1 gene:B456_001G165100 transcript:KJB06851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGLRHFKRAGDERQRKGSGGFMIGEREGAWLVCYWVGGGTHRKGGRPAEFTSEIANMNTNEILNCV >KJB09322 pep chromosome:Graimondii2_0_v6:1:17553058:17553457:1 gene:B456_001G134700 transcript:KJB09322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLDHDLIYCCSSFDLWCLILETFIQFFLFFFNQWPCIIAVAKIGNTASCAVILSSAGVFLMSFVIK >KJB10647 pep chromosome:Graimondii2_0_v6:1:42672010:42676680:1 gene:B456_001G213700 transcript:KJB10647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 2 [Source:Projected from Arabidopsis thaliana (AT2G32250) UniProtKB/Swiss-Prot;Acc:Q3EBQ3] MFSMEIDLEVPSKEQEKLIPGSDENSDVLDAAEEIDEEDNNGTPASSEHAVEACEPNLIESFTGCEDNVDVTTVEANVHEGAIFEPQNGLEFESKETAYAFYREYARSVGFGITIVSSRRSKRSGKFIDVKVACSRFGSKRESNTTLNPRSCPKTGCKARMHIKRRQDEKWVIHSFVKEHNHEICPDDFYYAIRGRSKQPGAVSCQKKGLQLVLDEKDVELMLDFFMCMKDENPGSFYAIDFDNEKCARSVFWVDAKGRHNYSHFADVVFFDTFFVRNKYRIPYIPIIGVNHHFQYMLLGCALIGDNTTSAFVWLMRSWLKAMGGHAPKVILTDQDKVLNEAVADVFPDSRHCFCLWHVLSKFPEHLGCIMNQKENFIKKFNKCIYMSWTHEQFEKRWYRMVDKFELKEHEWVLSLYNDREKWVPIYMRHIFLAGISTTIRSESVASFFDKFMHKAATFGEFIEQLKSFSLELYEMEAKADFETQSKQPELRSVSAFEKQMSMIYTDTVFKKFQAEILGIVSCHLQKESEDEDNVVFRVDDFEERQNFLVAWSKREFDICCLCRSFEYKGFLCKHSLLVLQMSGVSDIPCHYILKRWTQEVKIRQTLGEKSNRLHYRVQRFNDLCKRAIKLGEEGSLSQEAYTIALQALEKALKNCVSVNNTGKGVCEPSTSSVHGLLETDAENNWNATTKLSKKKKACKKRKVHFDSEGVSMGQDSCQQMTSSRANALDGCSVSQQDMHGMDVSSRAPTLDGCYGAQQNIQGMGQLNSISPFRGGYYCNQQNLLGLGQLHSLAASDNHYGNQQNMQGHLPFRAPAMQGFDIQEGLQDEERPLDSTHFLGIASKHLHDKLLS >KJB08380 pep chromosome:Graimondii2_0_v6:1:8294661:8295278:-1 gene:B456_001G079500 transcript:KJB08380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLGYAPYSVLPIGVVTLAERTGFMPLPKLKYLTTSPVFYTPFLRHMWTWLGASPATRKNFCSLLEAGYSCIVVPGGVQETFLMQHDSELHSLSHEEDLFV >KJB10205 pep chromosome:Graimondii2_0_v6:1:30839280:30839573:1 gene:B456_001G188900 transcript:KJB10205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNMKTWLICLALIGIVVMEEVIQIDAAMTIHHPEVSGLVSYRRLLQEAVNPWNRGCSRFTRCRR >KJB08877 pep chromosome:Graimondii2_0_v6:1:12772676:12774687:-1 gene:B456_001G110500 transcript:KJB08877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISVSISHITPITLFYLLLLLLLSSTVTTTTTSALNITSILSSFQNLTSFSSLLCSTSVAPDLTRRDPVTLLAVPNSYLSTSPSSDLTHRLPPSSLGDILRYHVLLQYFSLSDLRQIPPSGVLVTTLLQTTGRVHSNFGAVNITRDPLTNSITIQSPAPFSPSNVTVLSLIKTLPYNITILAVDSLLVPYDFNLLASETRPPLGLNITKSLIDGHNFNVAASMLAASGVVDEFEADEGGAGITLFVPTDDAFGDLPGNVKLQSLPADKKAVVLKFHVLHSYYPLGSLESIVNPVQPTLATEDNGAGSFTLNISRVNGSVAIDTGIVQASVTQTVFDQNPVAIFGVSKVLLPREIFGKDSAGVTSKPGNAVVGTTVQPPETSPSPENSAGFSGPASHLSSPPGFREEITSKGGRYRMQSCIVTVCCIGLVLVEIMKEKPCRIMAVKFLP >KJB07182 pep chromosome:Graimondii2_0_v6:1:554200:560979:-1 gene:B456_001G006200 transcript:KJB07182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog B [Source:Projected from Arabidopsis thaliana (AT2G03670) UniProtKB/Swiss-Prot;Acc:Q9ZPR1] MATVSNSNSSSSSSSSSSSIVNGGISIVSDGQWKAEEAVGGNAKALQALRELIVFPLIYSREAQKLGLKWPRGLLLYGPPGTGKTSLVRAIVRESGAHLIVLSPHSVHRAHAGESERILREAFSEASSHASSEKPSVIFIDEIDALCPRRDSRREQDVRLASQLLTLMDSNKPSATSVPRVVVVASTNRVDAIDPALRRSGRFDDEVEVTTPNEAERFQILKLYTKKVPLDPSVDLQVVAASCNGYVGADLEALCREATMSAVKRSTDAGEVPSVVNLTMDDWKLAKSVVGPSITRGVTVDIPKVSWDDIGGLKDLKKKLQQAVEWPIKHSAAFARLGISPMRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSMYVGEGEALLRNTFRRARLAAPSIIFFDEADVVAAKRGGSSRNSTTVGERLLSTLLTEMDGLEQAKGILVLAATNRPHAIDPALMRPGRFDLVLYVPPPDMEARYEILRVHTRNMKIGDDVDLRRIAEDTELFTGAELEGLCREAGIVALRENISATLVSNRHFETVKLSLKPALTRDEIETYSSFMKNQGSMLSPSGATELKLSTRQKAIIQKGRSSLSQAFPIKIGILGVILLIAGTYIFMHNEQTSYESVVT >KJB07886 pep chromosome:Graimondii2_0_v6:1:4804688:4805968:1 gene:B456_001G050600 transcript:KJB07886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKKRPRIRIVRRSDKYSQNAEAIANNYDLLILILVRLPVKSLLRFKSVSKTWHSIISDPEFSRRLSLNISVNKPEYGFIPLGNKSSTDDAPFKYLDFINHSSGLKVVQSCNGLLLCSSSRYYNNFNYDYYIYNPTTKQFVTIPLPSNLQNARVVCRLSLAFDPTKSLHYRVVCVRDPDPWLNILDDPESNYVSQQIEIYSSQTRSWRLSGRPFLSHVNTGFGGGLFCNGTIHWLGAYNNTSFYFNVEDEELRDLPMPPIPDDWEDLRRVLYFGESRNHLHLIEIYRPPTSRFSVYEVESDYSGWFVKYNINLDPLIVAYPGMVRTYWDPSDLNYYAFSIFYIVREANDEESYMVLHIPEKVIRCSLKDGSFKKICDLDANEAEYRSSPALILLAYCCVGEFIQTLSPVSQQSRE >KJB07056 pep chromosome:Graimondii2_0_v6:1:42641856:42642581:1 gene:B456_001G213300 transcript:KJB07056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNQQQQINQPSVASQPPSTEAGPYVAPPPAGYPMSKGDDQPYYSQNPVAVETKSRGDGFWKGCAAALCCCCVLDACC >KJB11270 pep chromosome:Graimondii2_0_v6:1:51978419:51981790:1 gene:B456_001G250700 transcript:KJB11270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKEDAKGRRPGKGKAKWIRILLGVGYWVQGFRCFPWMAVNFFLKDSVKVDSSTLQILQNSVNLPMVGKPIYGVVSDAVYISGQHRVPYIAIGAFLQAMSWLTIVILSQSNISIVTLSLYLLLSNLGASVAEVANDAIVAEMGKSENSQSASSGELQSFVWMASSVGGVLGNLLGGAAIDRFSPQSMFIFFGLLLVLQFLITISVPERSLNLPKSPSNVGIRKQLSGLSAALQKPEIAYSIAWFAASYAIIPALTGTMFFYQTQYLKIDAAVLGISKVFGQVVTLLWGIIYNRSFKSVQPRKLIETIQATMAVFMISDVLFVKGIYRQMGVPDSIYIVVFSGVLEVLFFFKILPFSILIAQLCPRGCEGSLMAFVMSAVALAFIVSGYLGVALASYLGVTGNDFSGLPLGLLIQAVCTFLPLFSSSWIPDQKSKTRTE >KJB08655 pep chromosome:Graimondii2_0_v6:1:10704387:10708485:1 gene:B456_001G096000 transcript:KJB08655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLISILLLLISISSISVESRCSRGCDLALASYYVWQGSNLTFISQILNSSLVPYSTTNFDSILAYNPQVANKDSVEAFSRLNIPFPCDCINNDFLGHVFTYSVQPGDTYDKIAGYYSDLTTVAWLRPFNSYPETNIPDSGVVNVVVNCSCGDSAISKDYGLFVTYPLRENETLDTVLTQANLSSDLSGLVRLYNPGANFSSGSGLVYIPGRAGISGGVIAGIAIAAVVVSLLLAFGIYAKFFRKKSKTTSLLSTVSHDVSAEAGNVFGSKAAESTGTVAASPGLTGITVDKSVEFSYEELAQATDYFSMANKIGEGGFGAVYYANLRGEEAAIKKMDMQASKEFLAELKVLTRVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGSSREPLPWSTRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKKFRAKVADFGLTKLTEVGSASLPTRLVGTFGYMPPEYAQYGDVSPKIDVYAFGVVLYELISAKEAIVKANGSLAESKGLVALFDDALDEPDPKEGLCRLIDARLGDNYPLDAVFKMAQLAKACTKENPQLRPSMRSIVVALMTLSSSTEDWDVGSFYENQAVVNLMSGR >KJB09421 pep chromosome:Graimondii2_0_v6:1:19044408:19045018:-1 gene:B456_001G140800 transcript:KJB09421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTPFFFAATLLLFSSLVIVAAIDYSQGSESVGKSMPKAKEKPLPIGVEGHILCKSGTSSAVPIQGAVARITCVAVDEFGYETAPFSFSSDATDENGYFFATLTPPEINDKMQLRECKAFLENSPLEACKVPTDVNKGIRGAILNSHRVLDQRKMRLYWVGPFFYT >KJB11278 pep chromosome:Graimondii2_0_v6:1:52164277:52165857:1 gene:B456_001G250900 transcript:KJB11278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKQITRKYLGSCFWRYYISMARRISWAIPGPQQWRLDWLWGLLLATTLVPLTAVKLVKYHGSIFSVLLVILLLFIFT >KJB08753 pep chromosome:Graimondii2_0_v6:1:11345955:11351265:1 gene:B456_001G101500 transcript:KJB08753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSREGKVIHGRVSMAISDFNSFGRDNQMRIVLHTRDSKGDPLLALSSAFNLGENNKVKVILSAQKSTLSLAEFGNNTKIPVIPISAPYLIQVPLHDHAEVKGIIAFAELHKWEKVILIYEDHNDNHWSDFFEEKKFQVAYKSSVAASSKDEHIIEELHRLKAMETSVFVVHVEPVLASRIFVHAKRLGMASQGYAWIVTSKCMNHLQNLKDYSSIYENMQGFIGFRSYRMKESKEFDMLRLMNFITQMVNFEIVNVLGTEGERRVGFWTGKFTNKLSHGSGFETIIWPGGTSTIPKGRRMQTSTKTLRIAVPKSNGFPQLLKVDIDLQANISFSGFCIEVFKAAMAGLEHKVPYEFVPFEYNNPTIGEAYSDLVYQVYLQKYDGAVGDITITSNRSLYVDFTLPFTDMGIGMVSRLTPKENQNLWIFLKPLTPGLWLTIVGVYVLSALFIWLIERPASVERQTRQSNGEIGRMFGFSFSIFVFAHWEKLSSNLSRSVVVLWVFVVFILGSNYTATLTSMMTVQQIEFNSGKSIIGATGPVSQEAIGNLNFPNLQSKSIRLTSLEEYAKALSEGDAFPKGSLMAHDISREIAKLREDGMLQRLENEWFKSPITDFDSDNTLDSVSSLTVGDFRGLFLINGIYLAIACFLFLTSLLYKNFHVMKEWRRPEFVK >KJB09094 pep chromosome:Graimondii2_0_v6:1:15327605:15328180:-1 gene:B456_001G122700 transcript:KJB09094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAPQPTAKKVNWSWTSALIGAASATAAAALISAKPKDPTFHLISIKLTSFKINLSNINADLTLTVHVTNPNITPIHYSSTAMSIFYNGSFLGEAHVKAGSQPPRSCRVLELPARLDGVELAHHAGKFFADVAKREMALDAKVEISGTAKMLWWEHRFKVHVECHVTVDPVFLDVIDQENESQLELFLAS >KJB10261 pep chromosome:Graimondii2_0_v6:1:32646751:32649780:1 gene:B456_001G192800 transcript:KJB10261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASQQHRSSVMASRLFPQVKEEVEPCSFPQFPAIDRSFCYSDSSHGSHFSVKNSHEPYCTLESSSARGSYTVYNSSSNGSPMSQQESQSNMSDLHHSPDNTYSSPISGSCITDDVSDLKGKLRELETVMLGPDCDIINSTISPEMDSWRLVTDAVSRRDLKQVLVSCAKAVSDNDLLTAQWLMDELRRMVSVSGEPIQRLGAYMLEGLVARLASSGSSIYKALRCKEPASAELLSYMHILYEVCPYFKFGYMSANGAIAEAMKDEDRVHIIDFQIGQGSQWITLIQAFAARPGGPPHIRITGIDDSTSAYARGGGLNIVAKRLSKLAALFKVPFEFNAAAMSGCEVQQEHLQVRPGEALAVNFAFMLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFFPRFRETLNYYTAMFESIDVTLPREHKERINVEQHCLARDVVNIIACEGPERVERHELMGKWRSRFRMAGFTPYPLSSLVNATIKTLLENYCDRYRLEERDGGLFLGWMNRDLVASCAWK >KJB07292 pep chromosome:Graimondii2_0_v6:1:1336456:1350362:1 gene:B456_001G014100 transcript:KJB07292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVNIIVGSHVWVEDPTVAWIDGEVFKISGEEVHVQTTNGKTAVANISKVFPKDTEAPPGGVDDMTKLSYLHEPGVLHNLAMRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAAFGELNPHVFAVADVAYRAMMNEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDKSGRISGAAVRTYLLERSRVCQISNPERNYHCFYLLCAAPPEVKEKFKLGDPKSFHYLNQSDCYALDGVDDAQEYIATIRAMDVVGISEEEQEAIFGVVAAILHLGNVDFAKGSEVDSSVIKDEKSRFHLNMTAELLKCDVKSLEDALVKRVMVTPEEIIKRPLDPVAAVGSRDALAKTIYSRLFDWLVDKINSSIGQDPNSKQLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLFQTFKNNKRFIKPKLSRTSFTISHYAGEVTYLADLFLDKNKDYVVAEHQDLLTASTCSFVASLFPPPAEESSKSSKFSSIGSRFKLQLQSLMDTLNSTEPHYIRCVKPNNVLKPAIFENANIIQQLRCGGVLEAIRISCAGYPTRRTFSEFLNRFGVLAPEILEGNNDDKVACQMILDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLGHAARTIQRQIRTYIARKEFIALRKAAIMLQSHWRGISACKLYEQLRREAGALKIQKNFRRHTARESYLTVRSSTITLQTGLRAMAARNEFRFRKQTKAAIIIQATLRCHAAYSYYRSLQKAAITTQCGWRRRVARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEEEKAQEIAKLQESLQAMQLQVEEANARVKKEQEAARKAIEEAPPIIKETPVIVQDTEKVNSLTAEVESLKASLQSERKATEEARNACTDAEARNAELVKKLEDSERRVDQLQESVQRLEEKLSNSQSEIQVLRQQSLAISPTGKSLTTRQRTMIVPRTPENGNVTNGETKVLSDMTLAISNVREPESEEKPQKCLNEKQQENQDILIKCISQNLGFSGCKPIAACVIYKCLLHWRSFEVEKTTVFDRIIQTIASSIEVQDNNDVLAYWLSNSSTLLMLLQRTLKASGAASWTPQRRRASSASLFGRMSQGLRSSPQSAGLSFLNGRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLIGLCIQAPRTSRASMVKGRSHANAVVQKALIAHWQSIVKSLNRYLNIMKANHVPPFLARKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCNEATEEYAGLAWDELKHIRQAIGFLVIHQKPKKTLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIANMRVMMTEDTNNAVSSSFLLDDDSSIPFTVDDISKSLQQIDIADVDPPALIRENSGFGFLLPRSE >KJB07388 pep chromosome:Graimondii2_0_v6:1:1800723:1801626:1 gene:B456_001G019400 transcript:KJB07388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHMTFYWSRQVTLLFSSWRTDSWPSYALTLLACVLASVFYQYLEQVRYRVRRSRKPAGEPLLSQPNRAAGKWSAKKIAGGLLFGLNSGLGYLLMLAVMSFNGGVFLAIVLGLTIGFLWLRSEDEDEIAGVNSTCACA >KJB07867 pep chromosome:Graimondii2_0_v6:1:4672991:4673608:1 gene:B456_001G049200 transcript:KJB07867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNSRLCTICLRRFSNGKALGAHMKSHFGRFSSPSSTSSSNPPLASTKHELSTESTESAKYPTGKRSKTLRKFSSNMAMTGSPSDSVSSPLSVEDAALCLLMLSRDQRSNNPKLTQGYEISDAGVGSDNEKDEEDDEFFGAAKVHPKRYKCGTCNRTFRSHQALGGHRASHKLKSKKISQEEEDDDENGGDATDHKIHQQRTYL >KJB09186 pep chromosome:Graimondii2_0_v6:1:16259354:16259501:1 gene:B456_001G1283002 transcript:KJB09186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGMCWIWEFLSVVGRLQVEQQKQVQDFQ >KJB09803 pep chromosome:Graimondii2_0_v6:1:23786654:23789088:1 gene:B456_001G167900 transcript:KJB09803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCVSVTLLNGSGTSLFPMSIKVPSTHSLAFTDWLCSNAFCKDLKFGFDCQWRTTFYAIAWKILVWSGGVELFLMETLFSLLILIGGLLTMLKLLSLLSIRAYKLSFG >KJB10497 pep chromosome:Graimondii2_0_v6:1:39711998:39717699:1 gene:B456_001G204300 transcript:KJB10497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLLASRTLKTSRVLSSQYSRFRPIVTKPGLQSPDASAAAPATQPEPTPPPPKKPVGGARVHFTNPDDALEVFVDGYPVKIPKGMTVLQACEIAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPLAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRIDSRGPEVMRILPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRDANGRFKAVSWRDALAVVAEVALQVKPEEIVGIAGKLSDAESMMALKDLLNNMGSNNVWCEGTGISPNADLRYRYLMNSSIAGLEKADIFLLVGTQPRVEAAMVNARIRKTVRASNAKVAYIGPPTEFNYDCQHLGTGPQTLIEIAEGRHSFCSAISNAKNPAIIVGAGLFERDDKDAIFAAVEAIAKNGNVIRPDWNGFNVLLLNAAQAAALDLGLVPESSNSIESAKFLYLMGADDVNLDKVPADAFVVYQGHHGDQSVYRANVILPSAAFSEKEGTYENTEGCSQQTLPAVPTVGDARDDWKIIRALSEVAGIRLHYDTLGAIRSRMRTVAPNLLNTDEIEPAAFGPSLSPDCSQKISSTPFKAAVENFYMTDSITRASKIMAQCSAMLLKK >KJB06835 pep chromosome:Graimondii2_0_v6:1:41666244:41667472:-1 gene:B456_001G2099001 transcript:KJB06835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPKTIRHEIRGAQNGALRFGLHGVKSDLVGSYPLQSAYENGVGLSNRI >KJB10793 pep chromosome:Graimondii2_0_v6:1:45776159:45777435:1 gene:B456_001G224700 transcript:KJB10793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNNSNAVVFSLLLVSILLSMTSSGSLLAEATIPNFSTIRENLVRLSSARRSLRPDLPTNNQSPSQNQNCYQNTPESPSRLAQTRA >KJB09656 pep chromosome:Graimondii2_0_v6:1:21677556:21681741:-1 gene:B456_001G154700 transcript:KJB09656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVTVKPSLNTFCFIPESPKIRCHSPHFSFLKLRPSPVSFPFKSPSDPINSSPKCKIRSFMVNPLLVLVPVLRSIRGLAFSHSQKWASRLHDCITESEEILDQYNGNCLQSGGLGMALLSVTASAKVRISPFVATLAANPTFVSGLFAWFIAQSVKIFLNFFIERKWDFRILFASGGMPSSHSALCTALTTSVAICHGIADSLFPVCLGFSLIVMYDAIGVRRHAGMQAAVLNMIVEDLFQGHPISQRKLKELLGHTPSQVFAGAMLGIVVACICCQGCLTTT >KJB10950 pep chromosome:Graimondii2_0_v6:1:47051652:47052800:-1 gene:B456_001G233400 transcript:KJB10950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGNRRRLTLFEQISAVENGRDALAGLTLDAVLGNAKRPEQPPAQNRTLLEIIRDDGSNKGKKSWKALRDKLRLKRLAVSARTSSVRIPASDVIVNDNNRSQFSRQGSFRSHSADPTGVEDRGGSAPVSDPTVMNSRPQLARFGSGRFVQDHESEISRQHLTPFPSSNNARNNADSSEDEDDDSPVARDETRRLGAALEEERALSAREAAAAQEAATVAETNARPIGSTEEPVRMSLMDFLEETERQMGLTGSKCTTGDADADDEENEEKDKAEGSGGMEQTCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGNCPLCNGTIQEILDIF >KJB07988 pep chromosome:Graimondii2_0_v6:1:5592430:5599949:-1 gene:B456_001G057200 transcript:KJB07988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDIYKASTSLRRSIRSGSSSIWRNNGIEAFSRSSRDEDDEEALKWAALEKLPTVARLRKGILASSQGGANEIDVFDIGWQERKALLERLVKVAEEDNEKFLLKLKNRIQRVGIEVPTIEVRFQHLNIDAQAYVGSNALPTIINFVTNIFESLLVEMGILSSRKKKLTILKDVSGIIKPGRMTLLLGPPSSGKTTLLLALAGKLDTALKCSGTVTYNGHEMNEFVPQRTAAYISQYDLHIGEMTVRETLAFSARCQGVGDRYDMLAELSRREKQANIKPDPDIDVFMKAAATEGQEVNVITDYILKVLGLEVCADTMVGDEMLRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSLKQTVHILNGTAVISLLQPAPEAYDLFDDIILLSDGRIVYQGPREHVLSFFESMGFRCPVRKGVADFLQEVTSRKDQMQYWARRDQPYRFVTADEFAEAFQSFHVGLQLEDELRTPFEKAKSHPAALTTKKYGVGKWELLKANVLREFLLMKRNSFVYIFIFMQLTFMAIVSMTLFFRTEMNRDSIADGGIYMGAIFFGLTMVMFNGMPEISMTVAKLPVFFKQRDLLFFPAWAYALPRWILKIPITFIEVAIWVFLTYYVIGFDPNVERLFRQYFILLLVNQMSSGLFRFIAASARNMTIANTFGSFALLILFALSGFILAKDDIKSWWIWGYWISPMMYGQSALMVNEFRGHQWSHSLPGSTEPAGIEVLNSRDFFHEPKWYWIGAGGLIGFVILLNFCFTVALTYLKPFGSSRTVILEQTESNEQTNGLGGSIQLTNNESSSNRVTNLEIQEEVQRSISSKSSSVTEATVGDIAIKKRGMVLPFEPHSITFDNVVYSVDMPQEMKQEGITEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGNITVSGFQKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLSADVKAEARKMFIEEVMELVELNSLRQALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSKHLIKYFEGIQGVSKIKEGYNPATWMLEVTTTAQELALGVDFADIYKNSDLHRRNKALIEDLSKPAAGSKELYFPTQYSQSFLIQCAACLWKQHWSYWRNPPYTAVRFLFTTVIALMFGTMFWDLGSKMNKGQDLTNAMGSMYAAVLFLGIQNASSVQPVVAVERTVFYRERAAGMYSAMPYAISQVIIEIPYIFIQAAMYGLIVYAMIGFEWTAAKFFWYLFFMYFTLLYFTFYGMMAVSVTPNHHIASIVSAAFYGLWNVFSGFIIPRPSMPVWWRWYYWVCPVAWTLYGLSASQFGDVESPLEDGDFVGQTVEQYLRSRYGFRHEFLGVVAAVILGFTVLFASIFTVSIKVFNFQRR >KJB10275 pep chromosome:Graimondii2_0_v6:1:32796572:32797697:1 gene:B456_001G193400 transcript:KJB10275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEASKEWIEAYGGSLLLKTIYKAIMQVVKLSDYIFKWSFSFFFYNRKLKRVVSLSFCCLSNLVVDGFAADYLSYEEDGEIFDNMDI >KJB09595 pep chromosome:Graimondii2_0_v6:1:21104310:21105838:-1 gene:B456_001G151700 transcript:KJB09595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELIISPSSSSSLVYMTQETSPSTLQQRLQFVVQSQQIWWTYAIFWQTSNDEHGRLYLCWGDGYFQGTTKGTPPKLLSANNSCLENERRKEMKGAQTLIRDNHEVDVSMMDGTTGVSDAVWFYVMSLTRSFPAGEGIPGMALSTGSLVWLTGAHALQFYNCERAIEAQMHGIETMVCIPTSCGVLELGSVEMISENWGLVQQVKSLFGADPIDLVQKQSSTDPTLTPPSIPFLDRNISFADIGIISGVEEEEEDVGPDNETKQEHHNHPTKKYFTKLGQSSSVDSEHSDSDRPSLAMSHLEQKTPQKRGRKPGLGRETPLNHVEAERQRREKLNHRFYALRAVVPNVSRMDKASLLSDAVSYINELKAKIEELESQLQRECKKPKVEMVDVTDNHSTTTSVEQEAAKPDNSPSPATAGCVGLEFDIKIMGNDAMIRVQSENANYPVTRLMVALRDLEFPIHHASMSCVNETMLQDIVVNVPDLELRNEQGLKSALLRRLDH >KJB09586 pep chromosome:Graimondii2_0_v6:1:21008341:21009095:1 gene:B456_001G151200 transcript:KJB09586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDEEERLERKSEELKKIVDTCIACLWSFLVSLSGALMLGWWGYEYHPTNSQLWLVPFGLILFVTPLIIWFAIFVSYFCNFTGDGSSSSLHDPEKMIDHVIPTR >KJB10780 pep chromosome:Graimondii2_0_v6:1:45692655:45695132:-1 gene:B456_001G223900 transcript:KJB10780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEQNLESLSLQNSGETLSGKLRRLKVSSNVFFVYHDQDGFGNAIARALNPTPHPCSKAFELVDLELGDAKLNASVTQFMDGENLKATMVMLDHLEPPLLSLAINSVLTKIADAEHIPSTIPTIIAPFLVLASKLKLEGRLLTTNSGKLPLYSIQVGPETDVSRAIAAKTQKPPPSLQIHYEPLACFLHLVRAANLPTSIIVGQRSQSPFNQALSEDLQTLYEIGQLAASTTGTYFQREQTSTNWIPTVKPASGGGVGEPWLAFYG >KJB10602 pep chromosome:Graimondii2_0_v6:1:41896835:41899437:-1 gene:B456_001G210700 transcript:KJB10602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYSVIFLITILQATIFVASEQPAYVPTDNITLNCGAPTDLLANDGRYWAADKTSNFGPFESSHNKSQAYEADTQDGSETVPYMTARVSRSEFKYTFPVTSGQKFVRLYFHPASYEENDRSKAFFSVKAGSVTLLKNFTASLVADSRGRNWFFREFCLNVEDNEVLDLVFTPSRSASNDTYAFINGIEIVSMPTNLYYTPPDSTYVPFVGQNYPFPVENDTALEMAYRLNVGGQSISPNDDTGLFMLWSDDYLYMTENSYFTMNNSVPINYTMIPRYTAPEMVYRTARTMGPSLAYNEKHNLSWRLPVGSRFSYMVRLHFCEQQDPVNSPGDLKFKVFINSQTAEQIADVIMWTVQGRVPIFKDYVVLVSKEYITIDLHPISAKYYDVILNGIEVFKLSNSDGNLGEPNPELQVAPPPPSDSSNSAGEKSKKRSLLIAGVGCAAGLIAIISLLVCMVVQRQRKGTSWLCWWVKQNEGKSRRTSPLPDELCRHFSLDEIKAATNNFHDDLVVGKGGFGKVYKGFMDDGEKIVAIKRLNRESSQGIREFLTEIEMLSQLRHVHLVSLIGYCNEKREMILVYDFMRNGTLSDHLYGTSFAYDPLTWKQRLEICKGAATGLNYLHTETSNILLDDKFIAKVSDFGLSKEDRKDKVIITGIKGARGYMDPEYARGHKLTEKSDVYAFGVVLFEVLCARKAVNTKLPETQMSLAHWAKQCIADGTLYKVIDPYLIGKIAPECFKVFVEIAESCIAEVGTDRPSMNDVMERLGFAIELQKAADAEMSKTDPASECRYPDVVFPVARDVDFDDESKVDSELDSNVCRVGLLDSDTTGLSNPTIDPSTSVDTFSSTTNIKSIGN >KJB08088 pep chromosome:Graimondii2_0_v6:1:6366067:6368997:1 gene:B456_001G063900 transcript:KJB08088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDWGFSYLVHNLICKKLEIPPLMELINETKLYNPLFLSLILFIFSFLLWLKLSKHKLQNLPPSPPKLPIIGHLHKLVKLPHRSLRDLSLKYGSLMFLNLGQNPTLVVSSADSLKEMAKNYDVVFMNKPNTTAANILFYGCKDLGFSPYGDYWRQVRKLCVLELLSARRVQSFQFVREEEVDAIIRKLHEAAVNGDVVDLTKMLMAVSSNIVSRCVISRKAEDDNGGIHFGELTRRVMVLFTTLCFGDFWPSLKWLDYVTGFISRLKSTFWELDLFFDQVIDEHKEKEAIDETKDFLSIILQLQKDGLDLTQDNIKAILLDMFAGGTETTSTTAEWAMSELLKKPDVLKKVEQDVRKVAKNKQKIELNDLNQMKYLKCVIKETLRLHPPVVFLVRRGTSEAVKLGNYDIPPNTTVFINVWAIQRDPIWWENPEEFTPERFENSRVDFKGQDFQFIPFGCGRRDCPGMPFAIASLEYLVANLLLRFDWKLGDGETSETLDLIENYGLTVNKKVPLRVMPTIFHPST >KJB06768 pep chromosome:Graimondii2_0_v6:1:19162660:19163199:-1 gene:B456_001G141800 transcript:KJB06768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSHISCLLFFYLLLVSATSDLIQKSCYEASKGNPTNVKLDFCVSGFQGNPKAKAAYGVADLVLVSIETAIANATAIGSKISKLLDNKSVGMFARNCLKDCSELYSLAGSSLETGLDAFQAIDYGTANAEISAALDAPVTCEDQFKEENGLVSPLTKENNNFRQLTAIPLAFMKMVQQ >KJB06248 pep chromosome:Graimondii2_0_v6:1:2186492:2190152:-1 gene:B456_001G023200 transcript:KJB06248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSSDSVSIDMETISLGGKEYLVKTRLGTVSVVVFGDQDKPALVTYPDLALNHISCFQGLFFSPEASSLLLHNFCIYHISPPGHELGAAPIISDDFSPSVDDLADQIVEVLNFFGLGAVMCMGVTAGAYILTLFAMKYRQRVLGLILVSPLCRAPSWTEWLLNKVMSNFLYFYGMCGMAKELLLKRYFSKEVRGSVLVPESDIVQACRRLLDERQSINVCRFLDAMNERPDLSEGLRKLYCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPIEYFLMGYGLYRPTLSISPRSPLSPSCISPELLSPESMGLKLKPIRTRISL >KJB06171 pep chromosome:Graimondii2_0_v6:1:19759184:19759985:-1 gene:B456_001G145300 transcript:KJB06171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYPFLRGCSRYGGAGVRFLTRLDVLFNFFPSNIKRDTATLKVVGGTTLHMNSSKHGVNLFSLLGTKIILPCSQGS >KJB06533 pep chromosome:Graimondii2_0_v6:1:53394131:53395516:-1 gene:B456_001G259200 transcript:KJB06533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGTEAFPDLGKHCQFSGCYQLDFLPFKCQACHKVFCVEHRSCKSHECPEPEHNSRKVIICEICSMSIEITGKEDQEKMILEKHEKSGNCDPRKKKKPTCPVKRCKETLTFSNRTICKTCRLEVCLKHRFPADHACKQASSSTTPAAAAGGSWNEKFLVAFGLRNGKDCGKSGRPSSSTTPFLKAY >KJB09493 pep chromosome:Graimondii2_0_v6:1:19761487:19762389:-1 gene:B456_001G145400 transcript:KJB09493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAQLKKLDALNKLLHVTRVEIVRWRPSENAFIKVSFDGAFQAHSLKSKGVVLGSTTIINNHIQPRFAAEAIACLQVIQLGIDSGFQDVVIEGDSLMVIKKQQANKNDDSCISAYILFEKEI >KJB09367 pep chromosome:Graimondii2_0_v6:1:17916546:17918086:1 gene:B456_001G136700 transcript:KJB09367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNKLMNPITCPSQKLPYVALQHPISSLRLKSPSLFMASTNMKKPLRMADKKVGFQVTHSIPHQKCEVFKSMEMQGWVKNNILPLLKPIERSWQPQELVPDSASDGFEEQVKELRKRAMEIPDDYFVALVGDMITEEALPTYHSILNRLDGVGAATDASTNCWGIWTRGWTAEENRHGDVLNRYLYLSGRVNMSQIEKTVQYLISSGMYVGIENNPYLTFIYTSFQEKATFISHGNTARLALKHGDPLLARICGTVASDEKRHEIAYTKIVEKLFEMDPDGSVIAFAEMMKKKITMPAHLVYDGEDDNLYQHFSAVAQRLGVYTADDYADILEFLVDKWKVKELSELSSEGRKAQEYVCLLAPKIRRLEERAKARSKEAPRIPFSWIFGRQVEL >KJB07629 pep chromosome:Graimondii2_0_v6:1:3140206:3141843:1 gene:B456_001G034300 transcript:KJB07629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLEVEEISTSTASLERLPGKSTSSSPVVAADGSTHESFSYSKLPEETLNLSIRKLDGSSFVIEVLKSATVADLKLGVQNVFCHMPDEGPDKISWGRVWGHFCLSYGDVKLITDADLIEKYGIKDDDQLHFTRHISTKVQKVKQTVPQDHSYTPLSSSVEAELNNEEGYKDSETQKGKCEHLRSTCSKLPTLKRAGTFKYRGCRSMCAFL >KJB10207 pep chromosome:Graimondii2_0_v6:1:30904274:30904522:1 gene:B456_001G189100 transcript:KJB10207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNMKTWLICLALIGTMVMEEVIGIDAAMTIHHPEVSGLVSYRRLLQEAVNPWNRGCSRLTRCRS >KJB10982 pep chromosome:Graimondii2_0_v6:1:47276222:47276758:-1 gene:B456_001G235300 transcript:KJB10982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSADKDNGDNGRLARRQQLLTEIVLRNKLTTLVFDGDTTCRVLEQVLLRSYGVQTQGVDNGRDAIALIAFGAKFNLIIIKKILPIMNGLEETRQIH >KJB11380 pep chromosome:Graimondii2_0_v6:1:52713410:52715806:-1 gene:B456_001G255600 transcript:KJB11380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISVHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFLDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPAYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITSSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGLESGEGDEDEGDEY >KJB07543 pep chromosome:Graimondii2_0_v6:1:2746629:2748000:-1 gene:B456_001G029300 transcript:KJB07543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSARESRRRKILDRGSDRLAYITGQLQNLPTPPPHSHEQLHANPPPPQDPPTDISTQPAVSPDVDDEPNSFLVSSNDRSSPISTSGTVQGSETSARKHNFFSPKQISSAIDASEKARLLCSVIVGAVVVLLHLGFPLPGNKFLGSILNFRPLYLVLLTNVTLVMGRLLYGDHGSSQRTIREEHKATSTDDYNWAEQLSKTLDVGLVAKKVVDAVFMDCSVYAIIVICGLSFT >KJB09294 pep chromosome:Graimondii2_0_v6:1:17115476:17116148:1 gene:B456_001G133300 transcript:KJB09294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMILSLQEITLKKSLCGLKQSPCILDFKNERLRLIEILCGVEVTKSKKGIFLSRRKHVFHLLIETEKLIAKP >KJB09771 pep chromosome:Graimondii2_0_v6:1:23477816:23478202:1 gene:B456_001G163800 transcript:KJB09771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLNACCYYFSTVFPRRKIGFVLYYTKILSYRAMGFDAYARLSTRNIAFLTKLSGALALSYCSVLPSLTLR >KJB09925 pep chromosome:Graimondii2_0_v6:1:25732101:25734790:-1 gene:B456_001G175300 transcript:KJB09925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQFEVEDQLDEDFFDKLVNDDDDFDFTGSTGKHVVEAADFEEVKGFSNLTISETSPTGVESGGKDIDIGTEAEKGVEDAAISELLAANEDNVAAKESSSQLPSNVKECSVMETGEEGVLDGGTSKSDVASGIGVKEVQWSSLNSDSNVINAGGSRTFSEIFNDLGDNSEDPFAEVGNKDDLLKEFNGSTVSVPGNSFSDLASSSHPENNDVQYYGMGLEQNVDGQEFSNYQSWENLYPGWKYDPNTAQWYQVEGYVNTFMNSQESFGVTHSDNGDHFSDRKMDVPSLQKTTQSSVGTLAEDFGNSNTSNWNQISQGNAEYPAHMVFDPQYPGWYYDTIALEWKMLEPYTSAVDQSATMDNDQQYQNKNIESYEFQALGSQDLYMNQCASASNYHQQNSNSFQDYSVSKNGRDFSSETKQLGNIYSPADHVDNHAEQKNEFDASRLVAPYKQQSQTFLHGSEISRFQSFIPAQSYTQFSNQTTVQPDQQMQFIPAFIDAQKSGDHPQQPLQIGTPFSSSPNEGRSSAGRPPHALVTFGFGGKLLVMRNNSLSHTTAPYGNQGSVGGVVSVLNLVEVVMDNSNASSFGFGAQDYFQTLCQHPLPGPLVGGNVGNRELYKWIDERIANCESSSLGYRGDVLRLLLSLLKISCQYYGKLRSPFGTDQTSKESDCPELAIAKRLGSVKGKGVQSGLYSAVVHCFQNLPSEAQMQATALEVQRLLVSGRKKEALEFAQEGQLWGPALVIASQLGDQVIVITFYLYLLFYCMYMFRIYNG >KJB07989 pep chromosome:Graimondii2_0_v6:1:5646760:5654308:-1 gene:B456_001G057400 transcript:KJB07989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDIYKASTSLGRSIRSGSSSIWRNNGIEVFSRSSRDEDDEEALKWAALEKLPTVARLRKGLLASSQGGANEIDVFDIGWQERKALLERLVKVAEEDNEKFLLKLKNRIQRVGIEVPTIEVRFQHLNIDAQAYVGSNALPTIINFVTNIFESLLVEMGILSSRKKKLTILKDVSGIIKPGRMTLLLGPPSSGKTTLLLALAGKLDTALKFSGTVTYNGHEMNEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGDRYDMLAELSRREKQANIKPDPDIDVFMKAAATEGQEVNVITDYILKILGLEVCADTMVGDEMLRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSLKQTVHILNGTAVISLLQPAPEAYDLFDDIILLSDGRIVYQGPREHVLSFFESMGFRCPERKGVADFLQEVTSRKDQMQYWVRRDQPYRFVTADEFAEAFQSFHVGLQLEDELRTPFEKARSHPAALTTKKYGVGKWELLKANVLREFLLMKRNSFVYIFIFMQLTVMAIVTMTLFFRTEMNRDSIADGGIYMGAIFFGLIMIMFNGFSEISMTVAKLPVFFKQRDLLFFPAWAYALPRWILKIPISFIEVAIWVFLTYYVIGFDPNVERLFRQYFILLLVNQMSSGLFRFIAASARNMIVANTFGSFALLILFALSGFILAKDDIKSWWIWGYWISPLMYGQSALMVNEFRGHQWSHSLPGSTEPAGIDVLNSRDFFHEPKWYWIGAGGLIGFIILLNFCFTVALTYLKREYSFVYLKLIPLHKGELIFYILSNNYAAFGSSRAVISEQTESNEQTNGVGGSIQLTNNESSSNRVTNLEIQEEVQRSISSKSSSVTEATVGDIAIKKRGMVLPFEPHSITFDNVVYSVDMPQEMKQQGITEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGNITVSGFQKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLSADVEAETRKMFIEEVMELVELNSLRQALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSKHLIKYFEGIQGVSKIKEGYNPATWMLEVTTTAQELALGVDFADIYKNSDLHRRNKALIEDLSKPAAGSKELYFPTQYSQSFLIQCAACLWKQHWSYWRNPPYTAVRFLFTAVIALMFGTMFWDLGSKMNKGQDLTNAMGSMYSAVLFLGIQNSSSVQPVVAVERTVFYRERAAGMYSAMPYAISQVIIEMPYIFIQATTYGLIVYAMIGFEWTAAKFFWYLFFMYFTFLYFTFYGMMAVSVTPNHHIASIVSAAFYGLWNVFSGFIIPRPSMPVWWRWYYWVCPVAWTLYGLFVSQFGDVESALEDGDFVGQTVEQYLRSRYGFRHEFLGVVAAVILGFTVLFASIFTVSIKVFNFQRR >KJB10056 pep chromosome:Graimondii2_0_v6:1:48885401:48885678:-1 gene:B456_001G245000 transcript:KJB10056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPRIVNSKPSLKRSLLSSETTVIKKKRFVVPLSFLKHPSFQNLLSQAKNEFRFNHPMGALIIPCKEEAFINITCNL >KJB07419 pep chromosome:Graimondii2_0_v6:1:2102868:2105970:-1 gene:B456_001G022400 transcript:KJB07419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISLSLLLSTREEILEHKVFGSFDNGGDSHETKLEQSIKPNRIVIPKKPTIKLPEPVVFSSPRPVTELDSAATKLQKVYKGYRTRRNLADCAVVVEELWWKTLDSAALRRCSISFYEIEKQETVISKWSRAKTRAAKLGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDVWSASKSSQPFFYWLDIGDGKELSLKKCQRTSLQQQCINYLGPKEREAFEVIVDSGKLVYKQSGLLVNTIDDSKWIFVLSTSKSLYVGQKKKGVFQHSSFLSGGATTAAGRLVVSQGVLEAIWPYSGHYLPTEDNFKEFIIFLEEQNVDLTNVKRCAVDDDYTACKVAVDESKHGEIKDPTATVSLQSSIGNSAANVEEPAFNMANRLSCKWSSGVGPRIGCVKDYPKELQFQALEQVNLSPRIEHGRFGNCGPIPSPRPSPKIRVSPRLAYMGLPSPRVSVMAAN >KJB07312 pep chromosome:Graimondii2_0_v6:1:1457805:1459348:1 gene:B456_001G015400 transcript:KJB07312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISPNSNCNMHQNIHTFLIYIKGKRIPFKPQNIVAKMILPIFLMFSFLLSSSSCNALDFCVADLKTPQGLAGYSCKKLELVTVDDFVFSGLHAGNTSNLIKAAVTPAFTAQFPGVNGLGISIARLDLAVGGVVPMHTHPDSSEIIVVIQGIIDAGFVSSANKVYFKTLKTGDIMVFPQGLLYFQINAGTTPVLAYVSFGSPSPGVQILDFALFGNDLPSNIIEETTFLDDAQVKKLKGVLGGTG >KJB09190 pep chromosome:Graimondii2_0_v6:1:16297437:16299254:1 gene:B456_001G128700 transcript:KJB09190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQKKQRNSTWSRNPKIKRRTMEGFALFMVALVSMGITNLAMVPEKTSRNVSAMYVLGDSSVDCGSNTLFYPIIHLNLSLLPCNGSNSILLPYLLAKKMGLPYAEPFYAQNGTIDGLLNGVNYGAAHATILSPTSLSHQSLNHQLRQVFETVQLLELQLGHESSRHFIRSSLFYLAFGKDDYIDLFLRNFSGVMAEYNGPQFAEILVNQMVHAVMNLYDMNVRKVVLMGILPLGCAPSLLFQWHIDDATGCVEMINEVVLRYNVLLEKSIVRLNEELPDAHLVFCDLYQGVKKIITYPHFFGFEDAKNACCGLGLYGAEIGCVTSDIACNTVSANVWWDLYNPTPKVNSLLAESTWSGQQLLSNICRPTTIRGLV >KJB11129 pep chromosome:Graimondii2_0_v6:1:49037471:49038414:1 gene:B456_001G245700 transcript:KJB11129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLHRIVSVNKVPKGYFAVYVGENQKRIVIPVSFLNQPQFQELLGLSEEEFGYSHPTGGLTIPCNEDMFLQVTSRLN >KJB06468 pep chromosome:Graimondii2_0_v6:1:4098313:4102937:-1 gene:B456_001G043300 transcript:KJB06468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMVMVDEKFCFEEETQLTVHKTSVFYRGDGFVVYNPKGELMLRFDSYYGPHGHPLPKDELVLMDATGNCLLTLIRKKPSLHQRWEGLLGEKTKNESPIFCVHRSSIIGRSNVVVDVYGDPSQEYHIDGSYPHRCCTIYNTSLESSSKEPMAEIKRKVDPSTHVMLGKDVFLLCLRPGFDSAFAMALVLILDQMFDDADIDDDDTKEDPTHVALDSSS >KJB10684 pep chromosome:Graimondii2_0_v6:1:43298149:43303113:-1 gene:B456_001G216400 transcript:KJB10684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQIFGKLPRKPSKSSHNDSNGDGGVHDNSSLNSALGPNSLNNSKPGSASSKPSNSSRSNNGPLNSHSSTSNKSNHGKKTASLASQAGPISASGVYEALPSFRDVPSSEKQNLFLKKLSLCYVVFDFSDPSKNLREKEIKRQTLLELVDYISSVSSKFNEVAMQEVTRMVAANLFRTFPSPNHDSKILEMYDMEDEEPAMDPAWPHLQIVYELLLRFVVSTETDAKLAKRYVDHPFVLKLLDLFDSDDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCITQFVEKDFKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQTAEFQRCMVPLFRQIGRCLNSLHFQVAERALFLWNNDHIRNLITQNRTVILPIIFPALERNTRGHWNPAVQSLTLNVRKIFSDADQAIFDECLARYQEDEAKQKELQEKRELTWKRLEDVAASKVVSNEAVMVSKSISSIAIDKSTAPKATASR >KJB07868 pep chromosome:Graimondii2_0_v6:1:4676867:4677973:1 gene:B456_001G049300 transcript:KJB07868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYPKACRICDRRFSNGKALGVHMRFHFPNFSIPPSSSSSNPPLSSLTSTKHELSTDSTESPENPSGKRSKQLPKFSSDMAMNDSPSDSVSSPFSMEDAALCLLMLSRTERTDNPKLTQGNEISDHGAGFDYEKDEEDGEFFTAAKAHPKCYKCETCNITFRSHQALGGHTASHNFKNKKIAQEEEAENGGDATDHKIHQQRIFECEFCDRVFQSGQALGGHKKIHFGYSPSVTHNRISIKFKPTVQPFDLNLPPSEQDDDEVSLAQNTVPQPSIYDKAI >KJB07181 pep chromosome:Graimondii2_0_v6:1:549651:552665:-1 gene:B456_001G006100 transcript:KJB07181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTFTTMQQQPTHYYFLSITLCKYPSSASSTHFLYPSPPKHQIPQHFIHRTRHASVRHHFNAKLSTKHYEKKARKETGSGFSSSSRSSNGGTERNKLESLFSSVLEEKAEKKRYVKDKKKMGFDSSSLKSSKTMENNSVVGKKPKKDKANSPVVHLRVQLDMCSKRGDVMGAIQLYDKAIKEGITMGQYHYAVLLYLCSSAAMGIVQPAKSGSGNRPVLNNGKLVDFDNSLANGSTKPYYLEDDEIWVSEDVKKHALVKGFEIYETMCSSNVQMNEATLTSVARMAMSMGDGDMAFDMVKQMKPLGINPRLRSYGPALSVFCTTGDINKAFEVEKHMLEHGVQPEEPELEALLRVSVGAGKGDKVYYLLHKLRTSVRKVSPSTADIIVRWFESKAASRVGKRRIDQKLMKEAIENGGGGWHGQGWLGKGKWSISYTVVGDDALCKCCGDKLALIDLDPIETEKFAESVASIAIKREKNFSFQKFQKWLDYYGPFEAVVDAANVGLFSQKRFMPSKVNAVVNAIRQKLPSRRWPLIVLHNKRITGRKMDEPVNKALIEKWKNADALYSTPTGSNDDWYWLYAAIKFKCLIVTNDEMRDHTFQLLGNEFFPKWKERHQVHFSFSNTSPVFYMPPPCSVVIQESEKGHWHIPIASELDYDSERTWLCIRRANSHAVKEDSVAITEDSQSLNRNKEHTRSTTQTEVNSNFLPLNNGNYDKPQKLAEEMYKNITSISFASVSSDQHKVLSEIETAEMLGNCVIDFQI >KJB09438 pep chromosome:Graimondii2_0_v6:1:19232790:19233767:1 gene:B456_001G142400 transcript:KJB09438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLPATPLTFSFLSKTPNSSHFRKGNSIRMETGKAFADHNQSYNTEQNSTERSISSTQDSTKFHLSKALARRAVYGSSSRRGTGNRKVRGNDARALPSRLSKVSLAEDEDSEN >KJB10847 pep chromosome:Graimondii2_0_v6:1:46437216:46438245:1 gene:B456_001G228200 transcript:KJB10847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLVPAIFLHVIFIFFALVNGEQETIYDILKAHGLPTGLLPKGITRFEFDETGRFEVQLDQACNAMFECQFRYDRNVSGTLKYGQIGALSGISSQELFLWFQVKGIWVDVPSSGLIYFDVGVVSKQFSLSWFETPRDCMAIQDDSESGDSIGDGKLLAEAVAKSPRAKHRYELDHGSFGRNEI >KJB11472 pep chromosome:Graimondii2_0_v6:1:53606912:53609059:1 gene:B456_001G260700 transcript:KJB11472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKCSFMICGMLESKEKNWFLEHNNHLKMSWVRHHNHLQIKQKDGYFQYPPPLPPSSSSSSSSSPSPSSSGTKISPAVLFIIVILAVLFFISGLLHLLVRFLIKHPSSSTSSQSNRYPEISTSDALQRQLQQLFHLHDSGLDQAFIDALPVFQYKEIVGLKEPFDCAVCLCEFSEKDKLRLLPMCSHAFHINCIDTWLLSNSTCPLCRGTLFTPGFSMENPVFDFDDLREDEGCVGNGENGYTPSQKTVEMEEIVVDKGVLPVRLGKFRRINGEPDEAGGETSSSNLDARRCFSMGSYQYVLAKSDLRVTLSGGQQHHSHDIKHSSAERDAEEKKISNMSKGESFSVSKIWLWSKKGKFSTSSESQTGMTSSLNSDLPWLKKTQEQ >KJB06339 pep chromosome:Graimondii2_0_v6:1:6135741:6137508:-1 gene:B456_001G061600 transcript:KJB06339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAKAITSIILIQFILLHVTKSQQGFEESSAARTLKQESHDTHEVHCSRERSRAAWQIIDDYLMPFVEEEGYQISTDCRLHPDNDLFRDQERHKIHLDVNEWRCGYCKKSFRAERFLDQHFDNRHYNLLNVVC >KJB11190 pep chromosome:Graimondii2_0_v6:1:48767330:48768039:-1 gene:B456_001G244500 transcript:KJB11190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKPSKLPQTAVLKQILKRCSSLGRKHGYDEDGLPLDVPKGHFAVYVGENRSRYIVPISFLTHPEFQCLLRRAEEEFGFNHDMGLTIPCEEVVFRSLASMLR >KJB08731 pep chromosome:Graimondii2_0_v6:1:11194121:11194972:-1 gene:B456_001G099800 transcript:KJB08731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPWYRVHTVVLNDPSRLLSVHIMHTALVTGWADSMALYELAFFYPSDPVLDPIWRQGMFVIPFMTRLGITNSWDGWSVAGAHTVFFGLCFLAAIWHWVYWDLEFFCDERTEKPSLDLPKIFGIHLFLSRMACFGFGAFHVTRLHGLGIWVSDPYGLTGKVQPVNPAWGMKGFDPFVPGGIAYHHIVTRTLGILAGLFHLSLRLPQRLYKGLRKGNIETVISSSIAGVFFAAFVVVRTMWYGSATTPIELFGPTRYQWDQ >KJB11547 pep chromosome:Graimondii2_0_v6:1:54208372:54210342:1 gene:B456_001G265400 transcript:KJB11547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PDNFLKISLWKRHMNHMSLPTARFLKTRHLKKKKKEKKEFLYKIVKNKKSSKKFLLLFFHSKFQFQPKERLGKPRKKMAMVTVSNLVSYQKSHEFHVICRRREKGRENQSNYPYKVIEITPPPKSLGVRCFPPNLQCGESVTIEGQTYTISAVTHRYQLRKGKYEPSEKRLDVQSTGRYILNLYLESLLEQS >KJB11651 pep chromosome:Graimondii2_0_v6:1:54664157:54664399:-1 gene:B456_001G269800 transcript:KJB11651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KARRVDGSTHQVPIEIGSTQGKALAIRWLLGASRKRPDRNMAFKLSSELVDTAKGSDDAIRKKEETHRMAEANRAFAHFR >KJB10177 pep chromosome:Graimondii2_0_v6:1:30037288:30037986:-1 gene:B456_001G187600 transcript:KJB10177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDCPKVREILVAGGLNDSLLDRNYTNCIDWLEDVFRELEKKAASDFLTLLWNIWNDRNNMVFKGKMDAAEMIWERAQTLSKDFRIFNLTEPAVMSTNLVKKNWKKPRNGNIKINVDATVLNGCRGVGAIARDHDGFVIGGCYTFKEKAMDVIWAELEAFKEGLKLAEWLKVGRLIVESDSAMLVNAVKKRRMDITILGQCVRRECDAFNKFESVQVIWINRNRNYAADFFM >KJB09893 pep chromosome:Graimondii2_0_v6:1:25064204:25066287:-1 gene:B456_001G173000 transcript:KJB09893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHCLPKVSVIQDKPMLPSTAAVAKDEHRPLAFDASILGSESNIPSQFIWPDDEKPCLDAPELVIPTIDLGAFLLGDSLAVSKAAEVVNEACKKHGFFLVVNHGVDSGLIDKAHQYMDRFFSLQLSEKHKAKRKVGESYGYASSFVGRFSSKLPWKETLSFRYCPHTQNIVQHYMVNWMGEDFRDFGRLYQEYCEAMNKVSQEIMGLLGISLGLDQAYFKDFFEQNDSILRLNHYPPCQKPELTLGTGPHTDPTSLTILHQDQVGGLQVFADEKWHSVAPIPGAFVVNVGDTFMALTNGFYKSCLHRAVVNIETVRKSLAFFLCPKLERPVTPAAGLVTADNPRKYPDFTWAALLKFTQNHYRADMKTLVAFSKWVQEQESNNKLIP >KJB07438 pep chromosome:Graimondii2_0_v6:1:2249430:2254567:-1 gene:B456_001G024000 transcript:KJB07438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKDDEKNMEPMFPRLHVNDTEKGGPRAPPRNKMALYEQLSIPSQRFNPGLLPHNTSNSGSLVPPTSTSQGSSLQNMLFPSHVSHLTSTNQAEKIHTGQHGGASVNAPMARLEPRKKVRDEDDFLVPVFVNSETGLQHSKNKEGFDGGKARNVHDNDPNRCSSSGVGSRKEVRDQSEGSLQACSSRERSIKTAGGSSTRENIDGCAKEFNVSPDQGCGEIPASRLSGSHENDACLVEKLRAGRQPVDNGCTDDDVALVKVIGDGTLSRKRSLSNSERNHSVPDETSNDSECHEDRTYGSLQWANVDKSDDVSETSVVDTASGLEISPDDVVGIIGQKRFWKARRAIANQQRVFAVQVFELHRLIKVQRLIAGLPHLLLEDTAYLSKPSYKDSPGKKLPPELIVKPVPQNKLKDEAEKLSHKMECSAENAVGRTSLSSVKNGSQPSNNGPFLGNPPPSPANGDNKMNPWCFNQMLRHQWLVPVMSPSEGLIYKPYPGPGFMGSACGGCGPFGQNPMTGNFMTSAYGAQAPPHQGLGVLPGTPLVGHSYFPHHGMPVMNPAFSGSSMEQMNQFAGAGSHAQSGQLSGNGANFNMQQQSSSNLPSKKNVAIPPVVKFQASKDTEQQRCTASSPGERAEKNRTCNTAEGKNTPLILTAPANPQGALKPNETDQRTRVIRVVPHNPRSATESAARIFQSIQKERKRRD >KJB10849 pep chromosome:Graimondii2_0_v6:1:46595541:46596768:1 gene:B456_001G2296002 transcript:KJB10849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKKGEISPILCELLRLEEQAKQQSTGRWSKVSGDAEASIRDLPPSAIGDPSHLDAMGLLAANKVRDGSTVRVYLLPDFQIVQVFLLSPSMGRRAVAETVVETDMTSDDQNGDASTKPWAALTSAQKLFASAAAAAEVSSDPFGIEAKHFTEVRYLNRD >KJB10515 pep chromosome:Graimondii2_0_v6:1:39942951:39943482:1 gene:B456_001G205200 transcript:KJB10515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVGYLLKHVKDGCFNINTESSSLILSPLVKTLFHLDKGLTCKHRFDLFIVRLYKIEMIKDSMCMIQTMMGNGGLNATIFHPIMNMLTKKMEEVWLVEI >KJB10872 pep chromosome:Graimondii2_0_v6:1:46634314:46636201:1 gene:B456_001G229900 transcript:KJB10872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPGKKVVDVAFKASKNIDWEGMAKLLVSNEARKEFATLRRTFDEVNSTLQTKFSQEPEPIDWEYYRKGIGSRLVDMYKEAYESVEIPKFVDTVTPQYKPKFDALLVELKEAEEKSLKESERLEKEIAEVQELKQKISTMTADEYFEKHPELKKKFDDEIRNEYWGY >KJB10567 pep chromosome:Graimondii2_0_v6:1:40939324:40940804:1 gene:B456_001G207800 transcript:KJB10567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEWGISREGWINEKEISLPKSIDFLDDLPVSLTKNLPSRINVISKQGKSNVFVEKIEVTTLNSDCHSVIKFVEIRNPNNSKGNQPINISSRTQDERNVGSDTLKMGDTKRPRKDSHILQGKRK >KJB09655 pep chromosome:Graimondii2_0_v6:1:21675670:21676251:1 gene:B456_001G154600 transcript:KJB09655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFTRRNQQQLLVIVTIWALWLSRNKLLHEGKQQTNNDLCIFILGYVRELEALEGMRRWCGGLFQIRGLELISIIDFTEIWRR >KJB08038 pep chromosome:Graimondii2_0_v6:1:5993369:5995627:1 gene:B456_001G060600 transcript:KJB08038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICNHSLIVSFLLVLLLGINNAMPEHEEYKTYIVHIDHSLKPSSFLTHETWHRAILRSLSKSDKEMLLYSYNHVMHGFSARLTPSQASKIRKSPAHIAIHEEKFGKLFTTHSPQFMGLRHSSGLWNASSYGEGVIIGLIDTGVWPESESFNEKGMPPIPTRWKGKCQNSTTNPFPCNRKLIGAQVFMKGNQAAGKSSSPDDSPRDVFGHGTHTSSTAAGNHVPGASQFGYAKGVAQGIAPGAHVAMYKVTSDGFIAESDVLAAMDQAIVDGVDIMSLSIGFEQTPYFQDVIAIASLSATGKGIAVVCAAGNDGAPYSTHNAAPWITTVGAGTLDRSFTATVTLGNDLIFEGKSEFPERVVVIDTPLYYGKGDSDKALCSNGALKESEVFGKVVICYSNNTSNIFYQAEELARVNAIAGILVTDVDWSPFGIDGLSIPSLILPSSSGALIKKYVTEAAEEARVKFMRFVLTSFGTKPAPQVADFSSRGPDPINPNILKPDIIAPGVQVLAAFPPLIPIEGMGNYGVATDYALLSGTSMAAPHAAGVTALLKAIHPEWSPAAIRSALMTTANTIDNNRTTLTNQYTNLPGTPLDYGAGHINPNKAMDPGLIYDIDWQGYVDFLCGLGYDDAEMRAILRQSQWTCNQDGTDINYPSFVAMFSKNASAPNVKNFTRVVTNVGDDQSVYQATVEATHGMTIKVEPATLTFTNKYQKQKFVVSVQMDGKAPPVAYGYLKWVDQNSHIVASPVVVLNT >KJB09003 pep chromosome:Graimondii2_0_v6:1:14269062:14276692:-1 gene:B456_001G118200 transcript:KJB09003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPQPNLFPFGSVFGNPFLLNAGVGDLSDAGFESSRFFFLVPFLLFQGGGMDFSNVGEKFLSSVRSARSLGLLPSVSSSDRPEVPARAAAAAAIARALAGLPPHQRYSFPSASEELRSIYGSRPQSQVVEELEEDFYEEEFDPIKHILENIPSEEIDLEHFEKQATLRLAQLDIVAEQLSHRVMEHHEVMVKGMNLVRELERDLKVANIICMNGRRHLTSSMNEVSRDLVVNTDSKKKQALMDLLLVLAELLHARDMQISLESLVQENNYCKAFQVLSEYLQVLDSFSELSVIQEMSNGVEFWLGRALQKLDSLLLGVCQEFKEEGYLTVVDAYALLGDVSGLAEKMQSFFMQEVISETHSVLKSIVHEDQDAHLQNSRLTYSDLCLQIPESKYRQCLLKTLAVLFKLICSYHEIMSFQLENEVDLNPSSFAFVYVENLLLF >KJB09730 pep chromosome:Graimondii2_0_v6:1:23204907:23205342:1 gene:B456_001G160600 transcript:KJB09730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTRQGKPAREHLYNILQDLRGNSLRRPINAAHLRRDGSIEPVVDLAAPLSKKEMQEESEKRQKRKGPGLPRKRPTTPSISQAKESLNQPSRPFSCAGDSSILAVDSIAAYSS >KJB07908 pep chromosome:Graimondii2_0_v6:1:4942493:4949993:1 gene:B456_001G052000 transcript:KJB07908 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT3 [Source:Projected from Arabidopsis thaliana (AT1G69770) UniProtKB/Swiss-Prot;Acc:Q94F88] MPSTRRASNPMKDTDSTKNPTRSSQRNRKTVLEPENDEVIVVSEGENSEVSRGGKRKESSASGAGNNAKKSKPSVAKMTPLDTENGEAMVVDGTENGSKTTPKNSKKTKTDVAVNEDKEETKFLGEPVDDEEARRRWPKRYQGKGAKKVISKSSNGDSEEIIQARRHYTQAKVDGCMIFNLYDDAHVKAEDGEDCYICKIVEMFEAVDGDLYFTAQWFYRAQDTVLKTLGHLIDKKRVFFSQIQDDNPLDCLVAKLNIAKVSLNVDLEAKNKEIPSCDYYCDMLYTLEYSSFTNLPPEGKTNASEEASSTISDDSPDTVNGANSGSEDASLLDLYSGCGAMSTGLCLGANMAGLRLVTKWAVDINKYACESLQWNHPETTVRNESAEDFLALLKEWERLCASFSSSKSENLERQSFNSSVNEDNVNDEEEEEDENEDGDGEVFEVEKFLAICYGDPKEKGERGLYLKVRWKNYGPEEDTWEPLDGLGDCRECLKDFVTSGFKAKILPLPGDVDVVCGGPPCQGISGFNRFRNKDNPLQDEKNKQLQVFMEIVEYLKPKFVLMENVVDIVKFAEGYLGRYALSKLIHLNYQVRMGMMAAGAYGLPQFRMRAFFWGARPNQKLPQYPLPTHDLVLRGVIPVEFEMNTVGWEEGKKIELEKKLLLEDAISDLPSVGNYEDKDEMDYDKDPKTEFQRFIRLRREEMPGFSSLNAKPTKHLLYDHRPLQLNTDDYQRVCRVPKRKGANFRDFPGVIVNSGNKVEWDPNVERVYLESGKPLVPDYAMSFVGGSSSKPFARLWWDETVPTVVTRAEPHNQAILHPVQDRVLSIRENARLQGFPDYYKLFGPVKERYIQVGNAVAVPVSRALGYALGLAYQGVVSNDEPLTKLPPRFPNISEKASSDSSQDNS >KJB07227 pep chromosome:Graimondii2_0_v6:1:867204:868912:1 gene:B456_001G009200 transcript:KJB07227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNQTQQTHLYFHLLDPEKHYLSVSEIINETKSLLRLAFPIILTGLILYSRSIISMLFLGHLGDIELAAGSLAIAFANITGYSVLSGLALGMEPLCSQAFGAQKPKLLSLTLHRYIVFLLFISILISFLWVNMFNIFVYLHQDPNITRIGQKYLFFALPDLFTQSLFHPIRIYLRAQGITHPVTLSTLISTVLHLPINLLLVSQFGFGVAGVAASASISNFFVLVSLVVYVWTAGLHEPTWEKPSFECLTGWRPLLKLAAPSCVSVCLEWWWYEIMIVLCGLLVNPKATVASMGILIQTTSLIYVFPSSLSFAVSTRVGNELGANRPYKARLSAVVAVFVSTMTGLSASTFASSMKDKWAMMFTSDSEIIRLTSIALPILGLCELGNCPQTVGCGVLRGSARPSTAANVNLGAFYLVGMPVAVGLGFYLGFGFSGLWLGLLSAQVCCAGLMLYVVGSTDWDLQAKRAQMLTCVDTKLLDDCDESKGDEQEPLDSYHCDFRLLN >KJB10764 pep chromosome:Graimondii2_0_v6:1:45551784:45553386:-1 gene:B456_001G223000 transcript:KJB10764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRKWKRSEIAWAAVGLFLWSLKLAEGVRFVIDKEECLSHDVKYEGDTVYGSFVVIKAEAPWHFSDEGVDLVVKGPEGDLIHDFRNKISEKFDFVVHKKGVYRFCFVNKSPYHETIDFDLQVGHFTYRHQHAKEEHFGPLMEQITKLEEALYNIQFEQHWIEAQTDRQAIVNDSLGRRALHKAMFESVALIAASILQVFLLKRMFERKLGTSRV >KJB09280 pep chromosome:Graimondii2_0_v6:1:17011614:17014245:1 gene:B456_001G132600 transcript:KJB09280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKAVVPESLLKKQKRAEEWELAKKQELEVSKKKKVENRKLIYTRAKQYAKEYEAQEKELIQLKREAKLKGGFYVDPEAKLLFIIRIRGINAMHPRTRKILQLLRLRQIFNGVFLKVNKATMNMLHLVEPYVTYGYPNLKSVRELIYKRGFGKLNKQRTALTDNAIVEQALGKFGIICVEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >KJB09143 pep chromosome:Graimondii2_0_v6:1:15743252:15743405:-1 gene:B456_001G1256002 transcript:KJB09143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GQSYTSFDESIGWFLSQTNVQHGLVS >KJB10262 pep chromosome:Graimondii2_0_v6:1:32649781:32652799:-1 gene:B456_001G192900 transcript:KJB10262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLGECVTDEVGTDKVQSPDAGSHALQQTSDSKIQSSQSDEGRGTLALISEENPLPISVIHASQSQQQGAYSMTSKKQSLTPSPSPGIDGSSPIVREKASEDGYNWRKYGQKLVKGNEFVRSYYRCTHPNCQVKKQLEHSHDGKMVDTVYFGQHDHAKPLNPPVAVGFVVSVVEERLDNASPTVIKDKSLGAHIQTPRLIEPGDSSQPLPIAASEDVKSKSNGMQNIAGNDDCHLISKRRKKESDNVDVSPVHKPTSESPMVIKTLSEVDIVNDGYRWRKYGQKLVKGNPNPRSYYRCSNPGCPVKKHVERASHDAKLVITTYEGKHDHDLPPTRTVTYNIIGLNVDSAAHNSESGTKVEERETINSSSGAENKPSEQSNGESRTKLEVSGTVHVCTVDAPTLGPESGSNEQRSGKLDHNEESEVVGYTAHSKSSSQNTE >KJB10882 pep chromosome:Graimondii2_0_v6:1:46727636:46730197:1 gene:B456_001G230300 transcript:KJB10882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNMMRAVQYSKYNGGAADLKHVEVPVPSPKKDEVLIKVEAASINPIDWKIQEGVARPFLPRKFPHIPGTDVAGEIVQVGSGVQSFKVGDTVVAVLGNGGALAEYAVAKEGSTVPRPPEVSAPEAAALPIAGLAAHQSLTQLAGLKLDGTGPQVNVLVTAASGGVGQYAVQLLKLANAHITATCGARNMDLVRSLGADEVLDYKTPEGVALKSPSGRKYDVIIHCAHNIPWSTFSANLTPKGKVVNTTPGFGTLMSVAAKKISCSKKQLIPLFTSPKKENLDFLVKLVRDGKLKPIIDSKHPLSKAEDAWAKSIDGHATGKILVEP >KJB06210 pep chromosome:Graimondii2_0_v6:1:19040642:19041832:1 gene:B456_001G140700 transcript:KJB06210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICSQETLQFFVEKKKRSPNSRGSNEEAMLSFTSSVILPSSGVVKSSGKCRKKVVESFGFCFVDFLFVSHFPTDQTKVLEKLLKDHAGKYAIGDEFSMVSFSPVYTCL >KJB11588 pep chromosome:Graimondii2_0_v6:1:54442922:54444678:1 gene:B456_001G267300 transcript:KJB11588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSTFSIFHIFILPLLCPSLVSSSAVRDPESVVAEVHKSIDASRRHLGYLSCGTGNPIDDCWRCDPNWESNRQKLADCGIGFGKDAIGGKNGRIYVVTDAGDDDPVNPKPGTLRHAVIQDEPLWIIFKRDMVITLKEELVMNSYKTIDGRGASVHISGGPCITIHYVSNIIIHGINIHDCKPGGSTTIRDSPEHAGHWTPSDGDGVSIFNSKNIWVDHCTLSNCKDGLIDVIHGSTAVTISNNYMTHHDKVMLLGHSDEYTQDKDMQVTVAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFLAPDDGSKKEVTKHEDAPESEWRNWNWRSEGDLMLNGAFFRQTGGGASSTYARASSLSARPSSLVGPITATAGALSCKIGSHC >KJB10107 pep chromosome:Graimondii2_0_v6:1:29276242:29278022:1 gene:B456_001G184500 transcript:KJB10107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSASSTESEVQVGSMPLSLDKELLASKSAKVAALIQENSNADLYYLLHDIPADSETFDLVARFCHGCAVQMSTENIVPLICLSFYLGMDENHCNNNLLSKAVTFFEQTVLPSWNEIIKALRSSEKSLQQTMQIGLFDACLQAMIAKASDNPRHLGEPIVSTDKGDDRPNAKRRLFALDWQEDLTTLSLQLYEPIIYTMKQHEIPPTYISASIYRYAKKWIFHCNNGRETMSIYKRKSQRNVIETLEKLLPHGRELLPCTLLFEMLRCAINLEASSVCRNGFEIRIGKQLDQAKVKDLLILPQGYAKEVQYDIECIRRILKVFYSNYDSSDASGFITVAELMEEFLAEVACDMDLMIDSFISLAEMSMAAAIGTKRNSDGIYRVIDIYFEKHAYLTEKEKEQVCKVLDFRKMSPEACEHAAKNERLPVRAVVQVLFMAQLQMRETLARTAYDDDKSEKEEKEEDEVRMEMEKMSIKVKELEKGCREMKQEITNGCIRQRVNKGKVSLWSEMKRKFGCMTSMGDFHCPAKKKKEHPKCGIHHNS >KJB15610 pep chromosome:Graimondii2_0_v6:2:49741487:49749702:-1 gene:B456_002G186500 transcript:KJB15610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKLKILKHLALSPNKLPPLLLRPMALPLCCNAKLHPGYRSSAHYQRLFHFVNRRTLRFSRSPEVSICFKRISHRLAPLKSSSINGFSLETNLDHFEEEHSVENVELRDRIRKWIDFLRSVLPGGSWWSFSDDVEIKFMAKPVTVWRALSRMWQLIAKDRLVIFAAFSTLIVAALSEISIPHYLTATIFSAQSHEIAVFHRNVRHLIMICVTAGICSGLRGCCFGIANMILVKRMRETLYSSLLLQEISFFDGETVGDLTSRLGSDCQQVSRVIGNDLNLIMRNVLQGTGALIYLLILSWPLGVCTLVICSSLAAIMTVYGMYQKKTAKLIQEYAASANEVAQETFSLMRTVRVYGTEKQELKRYNHWLDKLAEVSLRQSAAYGVWNLTFNTLYHATQIIAVLVGGMYILAGHITAEKLTKFILYSEWLIYSTWWVGDNVSSLMQSVGASEKVFQLMDLLPSDQFISEGMALQKLQGHIEFVNVSFQYLSREMVPVLQHINLSVHPGEVVAIVGLSGSGKSTMVNLLLRLYEPTNGQILIDGVPLNELDTMWLRGRIGYVGQEPKLFRMDISSNIKYGCTRNIKQEDVEWAAKLAYAHDFITLLPNGYNTLVDDDLLSGGQKQRIAIARAILRDPTILILDEATSALDAESEHNVKGVLRSVRSDLSSRRTVIVIAHR >KJB15613 pep chromosome:Graimondii2_0_v6:2:49741487:49749702:-1 gene:B456_002G186500 transcript:KJB15613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDNLLVIILFVEIITLVSGEIFSFCFLINCLVHVLVQLSEISIPHYLTATIFSAQSHEIAVFHRNVRHLIMICVTAGICSGLRGCCFGIANMILVKRMRETLYSSLLLQEISFFDGETVGDLTSRLGSDCQQVSRVIGNDLNLIMRNVLQGTGALIYLLILSWPLGVCTLVICSSLAAIMTVYGMYQKKTAKLIQEYAASANEVAQETFSLMRTVRVYGTEKQELKRYNHWLDKLAEVSLRQSAAYGVWNLTFNTLYHATQIIAVLVGGMYILAGHITAEKLTKFILYSEWLIYSTWWVGDNVSSLMQSVGASEKVFQLMDLLPSDQFISEGMALQKLQGHIEFVNVSFQYLSREMVPVLQHINLSVHPGEVVAIVGLSGSGKSTMVNLLLRLYEPTNGQILIDGVPLNELDTMWLRGRIGYVGQEPKLFRMDISSNIKYGCTRNIKQEDVEWAAKLAYAHDFITLLPNGYNTLVDDDLLSGGQKQRIAIARAILRDPTILILDEATSALDAESEHNVKGVLRSVRSDLSSRRTVIVIAHRLSTIQAADRIVVMDGGQIAEMGSHNELLHKNGLYARLTRRQTDAVV >KJB15612 pep chromosome:Graimondii2_0_v6:2:49741487:49749702:-1 gene:B456_002G186500 transcript:KJB15612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKLKILKHLALSPNKLPPLLLRPMALPLCCNAKLHPGYRSSAHYQRLFHFVNRRTLRFSRSPEVSICFKRISHRLAPLKSSSINGFSLETNLDHFEEEHSVENVELRDRIRKWIDFLRSVLPGGSWWSFSDDVEIKFMAKPVTVWRALSRMWQLIAKDRLVIFAAFSTLIVAALSEISIPHYLTATIFSAQSHEIAVFHRNVRHLIMICVTAGICSGLRGCCFGIANMILVKRMRETLYSSLLLQEISFFDGETVGDLTSRLGSDCQQVSRVIGNDLNLIMRNVLQGTGALIYLLILSWPLGVCTLVICSSLAAIMTVYGMYQKKTAKLIQEYAASANEVAQETFSLMRTVRVYGTEKQELKRYNHWLDKLAEVSLRQSAAYGVWNLTFNTLYHATQIIAVLVGGMYILAGHITAEKLTKFILYSEWLIYSTWWVGDNVSSLMQSVGASEKVFQLMDLLPSDQFISEGMALQKLQGHIEFVNVSFQYLSREMVPVLQHINLSVHPGEVVAIVGLSGSGKSTMVNLLLRLYEPTNGQILIDGVPLNELDTMWLRGRIGYVGQEPKLFRMDISSNIKYGCTRNIKQEDVEWAAKLAYAHDFITLLPNGYNTLVDDDLLSGGQKQRIAIARAILRDPTILILDEATSALDAESEHNVKVAIVINTNIRLHSLYSFFQLGG >KJB15609 pep chromosome:Graimondii2_0_v6:2:49741432:49749702:-1 gene:B456_002G186500 transcript:KJB15609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVKRMRETLYSSLLLQEISFFDGETVGDLTSRLGSDCQQVSRVIGNDLNLIMRNVLQGTGALIYLLILSWPLGVCTLVICSSLAAIMTVYGMYQKKTAKLIQEYAASANEVAQETFSLMRTVRVYGTEKQELKRYNHWLDKLAEVSLRQSAAYGVWNLTFNTLYHATQIIAVLVGGMYILAGHITAEKLTKFILYSEWLIYSTWWVGDNVSSLMQSVGASEKVFQLMDLLPSDQFISEGMALQKLQGHIEFVNVSFQYLSREMVPVLQHINLSVHPGEVVAIVGLSGSGKSTMVNLLLRLYEPTNGQILIDGVPLNELDTMWLRGRIGYVGQEPKLFRMDISSNIKYGCTRNIKQEDVEWAAKLAYAHDFITLLPNGYNTLVDDDLLSGGQKQRIAIARAILRDPTILILDEATSALDAESEHNVKGVLRSVRSDLSSRRTVIVIAHRLSTIQAADRIVVMDGGQIAEMGSHNELLHKNGLYARLTRRQTDAVV >KJB15616 pep chromosome:Graimondii2_0_v6:2:49744174:49749702:-1 gene:B456_002G186500 transcript:KJB15616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKLKILKHLALSPNKLPPLLLRPMALPLCCNAKLHPGYRSSAHYQRLFHFVNRRTLRFSRSPEVSICFKRISHRLAPLKSSSINGFSLETNLDHFEEEHSVENVELRDRIRKWIDFLRSVLPGGSWWSFSDDVEIKFMAKPVTVWRALSRMWQLIAKDRLVIFAAFSTLIVAALSEISIPHYLTATIFSAQSHEIAVFHRNVRHLIMICVTAGICSGLRGCCFGIANMILVKRMRETLYSSLLLQEISFFDGETVGDLTSRLGSDCQQVSRVIGNDLNLIMRNVLQGTGALIYLLILSWPLGVCTLVICSSLAAIMTVYGMYQKKTAKLIQEYAASANEVAQETFSLMRTVRVYGTEKQELKRYNHWLDKLAEVSLRQSAAYGVWNLTFNTLYHATQIIAVLVGGMYILAGHITAEKLTKFILYSEWLIYSTWWVGDNVSSLMQSVGASEKVFQLMDLLPSDQFISEGMALQKLQGHIEFVNVSFQYLSREMVCRP >KJB15615 pep chromosome:Graimondii2_0_v6:2:49742313:49749688:-1 gene:B456_002G186500 transcript:KJB15615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKLKILKHLALSPNKLPPLLLRPMALPLCCNAKLHPGYRSSAHYQRLFHFVNRRTLRFSRSPEVSICFKRISHRLAPLKSSSINGFSLETNLDHFEEEHSVENVELRDRIRKWIDFLRSVLPGGSWWSFSDDVEIKFMAKPVTVWRALSRMWQLIAKDRLVIFAAFSTLIVAALSEISIPHYLTATIFSAQSHEIAVFHRNVRHLIMICVTAGICSGLRGCCFGIANMILVKRMRETLYSSLLLQEISFFDGETVGDLTSRLGSDCQQVSRVIGNDLNLIMRNVLQGTGALIYLLILSWPLGVCTLVICSSLAAIMTVYGMYQKKTAKLIQEYAASANEVAQETFSLMRTVRVYGTEKQELKRYNHWLDKLAEVSLRQSAAYGVWNLTFNTLYHATQIIAVLVGGMYILAGHITAEKLTKFILYSEWLIYSTWWVGDNVSSLMQSVGASEKVFQLMDLLPSDQFISEGMALQKLQGHIEFVNVSFQYLSREMVPVLQHINLSVHPGEVVAIVGLSGSGKSTMVNLLLRLYEPTNGQILIDGVPLNELDTMWLRGRIGYVGQEPKLFRMDISSNIKYGCTRNIKQEDVEWAAKLAYAHDFITLLPNGYNTLVDDDLLSGGQKQRIAIARAILRDPTILILDEATSALDAESEHNVKGVLRSVRSDLSSRRTVIVIAHR >KJB15617 pep chromosome:Graimondii2_0_v6:2:49744431:49749702:-1 gene:B456_002G186500 transcript:KJB15617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKLKILKHLALSPNKLPPLLLRPMALPLCCNAKLHPGYRSSAHYQRLFHFVNRRTLRFSRSPEVSICFKRISHRLAPLKSSSINGFSLETNLDHFEEEHSVENVELRDRIRKWIDFLRSVLPGGSWWSFSDDVEIKFMAKPVTVWRALSRMWQLIAKDRLVIFAAFSTLIVAALSEISIPHYLTATIFSAQSHEIAVFHRNVRHLIMICVTAGICSGLRGCCFGIANMILVKRMRETLYSSLLLQEISFFDGETVGDLTSRLGSDCQQVSRVIGNDLNLIMRNVLQGTGALIYLLILSWPLGVCTLVICSSLAAIMTVYGMYQKKTAKLIQEYAASANEVAQETFSLMRTVRVYGTEKQELKRYNHWLDKLAEVSLRQSAAYGVWNLTFNTLYHATQIIAVLVGGMYILAGHITAEKLTKFILYSEWLIYSTWWVGDNVSSLMQSVGASEKVFQLMDLLPSDQFISEGKNFINFDRQFIAYFSEMFLHSLSCDI >KJB15611 pep chromosome:Graimondii2_0_v6:2:49741432:49749904:-1 gene:B456_002G186500 transcript:KJB15611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKLKILKHLALSPNKLPPLLLRPMALPLCCNAKLHPGYRSSAHYQRLFHFVNRRTLRFSRSPEVSICFKRISHRLAPLKSSSINGFSLETNLDHFEEEHSVENVELRDRIRKWIDFLRSVLPGGSWWSFSDDVEIKFMAKPVTVWRALSRMWQLIAKDRLVIFAAFSTLIVAALSEISIPHYLTATIFSAQSHEIAVFHRNVRHLIMICVTAGICSGLRGCCFGIANMILVKRMRETLYSSLLLQEISFFDGETVGDLTSRLGSDCQQVSRVIGNDLNLIMRNVLQGTGALIYLLILSWPLGVCTLVICSSLAAIMTVYGMYQKKTAKLIQEYAASANEVAQETFSLMRTVRVYGTEKQELKRYNHWLDKLAEVSLRQSAAYGVWNLTFNTLYHATQIIAVLVGGMYILAGHITAEKLTKFILYSEWLIYSTWWVGDNVSSLMQSVGASEKVFQLMDLLPSDQFISEGMALQKLQGHIEFVNVSFQYLSREMVPVLQHINLSVHPGEVVAIVGLSGSGKSTMVNLLLRLYEPTNGQILIDGVPLNELDTMWLRGRIGYVGQEPKLFRMDISSNIKYGCTRNIKQEDVEWAAKLAYAHDFITLLPNGYNTLVDDDLLSGGQKQRIAIARAILRDPTILILDEATSALDAESEHNVKGVLRSVRSDLSSRRTVIVIAHRLSTIQAADRIVVMDGGQIAEMGSHNELLHKNGLYARLTRRQTDAVV >KJB15614 pep chromosome:Graimondii2_0_v6:2:49741429:49749687:-1 gene:B456_002G186500 transcript:KJB15614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYCRNMQVKIYGLRGCCFGIANMILVKRMRETLYSSLLLQEISFFDGETVGDLTSRLGSDCQQVSRVIGNDLNLIMRNVLQGTGALIYLLILSWPLGVCTLVICSSLAAIMTVYGMYQKKTAKLIQEYAASANEVAQETFSLMRTVRVYGTEKQELKRYNHWLDKLAEVSLRQSAAYGVWNLTFNTLYHATQIIAVLVGGMYILAGHITAEKLTKFILYSEWLIYSTWWVGDNVSSLMQSVGASEKVFQLMDLLPSDQFISEGMALQKLQGHIEFVNVSFQYLSREMVPVLQHINLSVHPGEVVAIVGLSGSGKSTMVNLLLRLYEPTNGQILIDGVPLNELDTMWLRGRIGYVGQEPKLFRMDISSNIKYGCTRNIKQEDVEWAAKLAYAHDFITLLPNGYNTLVDDDLLSGGQKQRIAIARAILRDPTILILDEATSALDAESEHNVKGVLRSVRSDLSSRRTVIVIAHRLSTIQAADRIVVMDGGQIAEMGSHNELLHKNGLYARLTRRQTDAVV >KJB16974 pep chromosome:Graimondii2_0_v6:2:61997778:61998846:1 gene:B456_002G258000 transcript:KJB16974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFHHSFALNLYIQKNKTKNKTQMSSSKLVVVKDDDEAERTSSLEFQDDKKKKKKKKKMMMMMMISLGEFKVIDDDGDDEDEDEDERNSLEFQDKKKIISLGELRANDDGDDDGFKTPTSLDHKIPVMKQCPPAPRKPKPLSSNKRKPSSPPSSGGDRNLLKIDFAKEVESLFPSDVLADTHRKVKKARKEDYNQ >KJB16139 pep chromosome:Graimondii2_0_v6:2:56282520:56284990:1 gene:B456_002G213900 transcript:KJB16139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQILKTHHVLSRTPKEPLIPLYFFSSSAFPDTTSPPADQSDPISTVTSILTHNRSKSRWSTVLTLFPSGFTPFQFSQITLQLKNNPHLALRFFLFTQHKSLCNHDLSSYSTIIHILSRARLKTRARELIRLAIRTPGIDNEPTHFKLFELLVKTYNQCGSAPFVFDLLVKSCLQMKRLDGSIEIVRMLMSRRISPQVCTCNALISEVSKCCGASKGFEVYKEVFVVGNGESESDVKRVSKVRPNVHTFNALMLCFYREGLLEKVREVWCVMESLGCVPNSYSYSVLMAAFCEEGKVREAEDLWAEMKVKGLEPDIVAFNTLIDGLCKSGEIIRAEELFTEMELNGIKATCVTYENLINGYCKAADINSAMLIYRDMCRKDFRPQSLTVELLIRGLCDEGMVLEALEIMKAMREVGVCPTGISYELLIKGLCVDGKMEEALKLQAEMVGKGFKLNLEIYDAFIDGYLRQGNEEMVTMLRKEVLETHKEQGGN >KJB16138 pep chromosome:Graimondii2_0_v6:2:56282520:56284990:1 gene:B456_002G213900 transcript:KJB16138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQILKTHHVLSRTPKEPLIPLYFFSSSAFPDTTSPPADQSDPISTVTSILTHNRSKSRWSTVLTLFPSGFTPFQFSQITLQLKNNPHLALRFFLFTQHKSLCNHDLSSYSTIIHILSRARLKTRARELIRLAIRTPGIDNEPTHFKLFELLVKTYNQCGSAPFVFDLLVKSCLQMKRLDGSIEIVRMLMSRRISPQVCTCNALISEVSKCCGASKGFEVYKEVFVVGNGESESDVKRVSKVRPNVHTFNALMLCFYREGLLEKVREVWCVMESLGCVPNSYSYSVLMAAFCEEGKVREAEDLWAEMKVKGLEPDIVAFNTLIDGLCKSGEIIRAEELFTEMELNGIKATCVTYENLINGYCKAADINSAMLIYRDMCRKDFRPQSLTVELLIRGLCDEGMVLEALEIMKAMREVGVCPTGISYELLIKGLCVDGKMEEALKLQAEMVGKGFKLNLEIYDAFIDGYLRQGNEEMVTMLRKEVLETHKEQGGN >KJB13931 pep chromosome:Graimondii2_0_v6:2:13052489:13057866:-1 gene:B456_002G102200 transcript:KJB13931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNIKEESDEFRLPTKEELEAEQQRPPDLANLQMRIKDIVRVLSNFKELRQEGNTRKDYVDQLKMDLGSYYGYNEFLIGVLVDMFPVVELMELIEAFEKPRPTCIRANTLRTRRRDLADTLSKRGVELDQLSKWSKVGLVVYNSHVPIGATPEYLAGFYYIQGASSFLPVMALAPQEKERVVDMAAAPGGKTTYVAALMKNSGLIFANEMKETRLKSLTANLHRMGVTNTIVCNYDGRELPKVLGQNSVDRVLLDAPCSGTGVITKDPSVKTSKSLDDIQKCAHLQKQLILAAIDMVDANSKTGGYIVYSTCSMMVPENEAVIDYALKKRDVKVVPCGLDFGCPGYIRFREHRFHTSLENTRRFYPHVHNMDGFFVAKLKKMSNTKKNLVSSDGSETVEQTQRPEEIHSDEDNKKEIEQQKIKKQSPKGKKKSLKAKGLSGNGKVESPPTLKNRDRSENGKVELAATSKKRKRKFPSKEEISKAREEKRKAMRGQKKQPKEDKTGI >KJB13932 pep chromosome:Graimondii2_0_v6:2:13052492:13057923:-1 gene:B456_002G102200 transcript:KJB13932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKNKGAKSKNPPLKKKQRNHLFTEKKRPTKKDEVFDDVSDGQSEEEQLEEAEDLLDGDEQVSDFDDASDISDDDDAPLADDFLQGSDDEDEDRGSGSTSGSGSDSDGTDIEEKSRAIDEQREREEEDAQAEMQLNIKEESDEFRLPTKEELEAEQQRPPDLANLQMRIKDIVRVLSNFKELRQEGNTRKDYVDQLKMDLGSYYGYNEFLIGVLVDMFPVVELMELIEAFEKPRPTCIRANTLRTRRRDLADTLSKRGVELDQLSKWSKVGLVVYNSHVPIGATPEYLAGFYYIQGASSFLPVMALAPQEKERVVDMAAAPGGKTTYVAALMKNSGLIFANEMKETRLKSLTANLHRMGVTNTIVCNYDGRELPKVLGQNSVDRVLLDAPCSGTGVITKDPSVKTSKSLDDIQKCAHLQKQLILAAIDMVDANSKTGGYIVYSTCSMMVPENEAVIDYALKKRDVKVVPCGLDFGCPGYIRFREHRFHTSLENTRRFYPHVHNMDGFFVAKLKKMSNTKKNLVSSDGSETVEQTQRPEEIHSDEDNKKEIEQQKIKKQSPKGKKKSLKAKGLSGNGKVESPPTLKNRDRSENGKVELAATSKKRKRKFPSKEEISKAREEKRKAMRGQKKQPKEDKTGI >KJB13933 pep chromosome:Graimondii2_0_v6:2:13052594:13057866:-1 gene:B456_002G102200 transcript:KJB13933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKNKGAKSKNPPLKKKQRNHLFTEKKRPTKKDEVFDDVSDGQSEEEQLEEAEDLLDGDEQVSDFDDASDISDDDDAPLADDFLQGSDDEDEDRGSGSTSGSGSDSDGTDIEEKSRAIDEQREREEEDAQAEMQLNIKEESDEFRLPTKEELEAEQQRPPDLANLQMRIKDIVRVLSNFKELRQEGNTRKDYVDQLKMDLGSYYGYNEFLIGVLVDMFPVVELMELIEAFEKPRPTCIRANTLRTRRRDLADTLSKRGVELDQLSKWSKVGLVVYNSHVPIGATPEYLAGFYYIQGASSFLPVMALAPQEKERVVDMAAAPGGKTTYVAALMKNSGLIFANEMKETRLKSLTANLHRMGVTNTIVCNYDGRELPKVLGQNSVDRVLLDAPCSGTGVITKDPSVKTSKSLDDIQKCAHLQKQLILAAIDMVDANSKTGGYIVYSTCSMMVPENEAVIDYALKKRDVKVVPCGLDFGCPGYIRFREHRFHTSLENTRRFYPHVHNMDGFFVAKLKKMSNTKKNLVSSDGSETVEQTQRPEEIHSDEDNKKEIEQQKIKKQSPKGKKKSLKAKGLSGNGKVESPPTLKNRDRSENGKVELAATSKKRKRKFPSKEEISKAREEKRKAMRGQKKQPKEDKTGI >KJB13930 pep chromosome:Graimondii2_0_v6:2:13052772:13057699:-1 gene:B456_002G102200 transcript:KJB13930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKNKGAKSKNPPLKKKQRNHLFTEKKRPTKKDEVFDDVSDGQSEEEQLEEAEDLLDGDEQVSDFDDASDISDDDDAPLADDFLQGSDDEDEDRGSGSTSGSGSDSDGTDIEEKSRAIDEQREREEEDAQAEMQLNIKEESDEFRLPTKEELEAEQQRPPDLANLQMRIKDIVRVLSNFKELRQEGNTRKDYVDQLKMDLGSYYGYNEFLIGVLVDMFPVVELMELIEAFEKPRPTCIRANTLRTRRRDLADTLSKRGVELDQLSKWSKVGLVVYNSHVPIGATPEYLAGFYYIQGASSFLPVMALAPQEKERVVDMAAAPGGKTTYVAALMKNSGLIFANEMKETRLKSLTANLHRMGVTNTIVCNYDGRELPKVLGQNSVDRVLLDAPCSGTGVITKDPSVKTSKSLDDIQKCAHLQKQLILAAIDMVDANSKTGGYIVYSTCSMMVPENEAVIDYALKKRDVKVVPCGLDFGCPGYIRFREHRFHTSLENTRRFYPHVHNMDGFFVAKLKKMSNTKKNLVSSDGSETVEQTQRPEEIHSDEDNKKEIEQQKIKKQSPKGKKKSLKAKGLSGNGKVESPPTLKNRDRSENGKVELAATSKKRKRKFPSKEEISKAREEKRKAMRGQKKQPKEDKTGI >KJB15522 pep chromosome:Graimondii2_0_v6:2:48102652:48107110:1 gene:B456_002G182700 transcript:KJB15522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISPMDWNAKTPLQWDWENLMMLNATPAEIPRKLRPEEWDIDGEGGMNSGSFYSSGAAGGSGGSGSDLGLASLSKSSKSASINSSSMGEVKMTKFTSEAFEAIPDDISNQNEAFRAELAGTSPTLEASVGSGEPLLSLKLGKQTYFEDVSGGSNTKNLSYSSTSGQSPAQAKRSKPNCQGIHVPRCQVEDCNFDLSSAKDYHRKHRICESHSKSPKVIVRGQERRFCQQCSKFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEAFHFSGTRFSSSPNDGKQQMSFIWNKVPFLHNARPNEIFTWEGTFDSKSSQMKGYTPTKVGNVNGQPNLPGNQLLNSITMRCHDSNGFLPSKGKQSVEESRVDSNMATTQDLHRALSLLSNESWVSCEPKHGSIAYPVHVNPSTSMSQPAMNAISRGFPSALSENWQMEQQTTESQVHNSDGDNHFQEFQLLKAPYYSGFYSNQ >KJB15518 pep chromosome:Graimondii2_0_v6:2:48102517:48107110:1 gene:B456_002G182700 transcript:KJB15518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISPMDWNAKTPLQWDWENLMMLNATPAEIPRKLRPEEWDIDGEGGMNSGSFYSSGAAGGSGGSGSDLGLASLSKSSKSASINSSSMGEVKMTKFTSEAFEAIPDDISNQNEAFRAELAGTSPTLEASVGSGEPLLSLKLGKQTYFEDVSGGSNTKNLSYSSTSGQSPAQAKRSKPNCQGIHVPRCQVEDCNFDLSSAKDYHRKHRICESHSKSPKVIVRGQERRFCQQCSKFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEAFHFSGTRFSSSPNDGKQQMSFIWNKVPFLHNARPNEIFTWEGTFDSKSSQMKGYTPTKVGNVNGQPNLPGNQLLNSITMRCHDSNGFLPSKGKQSIDDILNQSVEESRVDSNMATTQDLHRALSLLSNESWVSCEPKHGSIAYPVHVNPSTSMSQPAMNAISRGFPSALSENWQMEQQTTESQVHNSDGDNHFQEFQLLKAPYYSGFYSNQ >KJB15514 pep chromosome:Graimondii2_0_v6:2:48102517:48107110:1 gene:B456_002G182700 transcript:KJB15514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISPMDWNAKTPLQWDWENLMMLNATPAEIPRKLRPEEWDIDGEGGMNSGSFYSSGAAGGSGGSGSDLGLASLSKSSKSASINSSSMGEVKMTKFTSEAFEAIPDDISNQNEAFRAELAGTSPTLEASVGSGEPLLSLKLGKQTYFEDVSGGSNTKNLSYSSTSGQSPAQAKRSKPNCQGIHVPRCQVEDCNFDLSSAKDYHRKHRICESHSKSPKVIVRGQERRFCQQCSKFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEAFHFSGTRFSSSPNDGKQQMSFIWNKVPFLHNARPNEIFTWEGTFDSKSSQMKGYTPTKVGNVNGQPNLPGNQLLNSITMRCHDSNGFLPSKGKQSVEESRVDSNMATTQDLHRALSLLSNESWVSCEPKHGSIAYPVHVNPSTSMSQPAMNAISRGFPSALSENWQMEQQTTESQVHNSDGDNHFQEFQLLKAPYYSGFYSNQ >KJB15521 pep chromosome:Graimondii2_0_v6:2:48102969:48107110:1 gene:B456_002G182700 transcript:KJB15521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISPMDWNAKTPLQWDWENLMMLNATPAEIPRKLRPEEWDIDGEGGMNSGSFYSSGAAGGSGGSGSDLGLASLSKSSKSASINSSSMGEVKMTKFTSEAFEAIPDDISNQNEAFRAELAGTSPTLEASVGSGEPLLSLKLGKQTYFEDVSGGSNTKNLSYSSTSGQSPAQAKRSKPNCQGIHVPRCQVEDCNFDLSSAKDYHRKHRICESHSKSPKVIVRGQERRFCQQCSKFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEAFHFSGTRFSSSPNDGKQQMSFIWNKVPFLHNARPNEIFTWEGTFDSKSSQMKGYTPTKVGNVNGQPNLPGNQLLNSITMRCHDSNGFLPSKGKQSIDDILNQSLVCLSY >KJB15519 pep chromosome:Graimondii2_0_v6:2:48102287:48107170:1 gene:B456_002G182700 transcript:KJB15519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISPMDWNAKTPLQWDWENLMMLNATPAEIPRKLRPEEWDIDGEGGMNSGSFYSSGAAGGSGGSGSDLGLASLSKSSKSASINSSSMGEVKMTKFTSEAFEAIPDDISNQNEAFRAELAGTSPTLEASVGSGEPLLSLKLGKQTYFEDVSGGSNTKNLSYSSTSGQSPAQAKRSKPNCQGIHVPRCQVEDCNFDLSSAKDYHRKHRICESHSKSPKVIVRGQERRFCQQCSKFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEAFHFSGTRFSSSPNDGKQQMSFIWNKVPFLHNARPNEIFTWEGTFDSKSSQMKGYTPTKVGNVNGQPNLPGNQLLNSITMRCHDSNGFLPSKGKQSVEESRVDSNMATTQDLHRALSLLSNESWVSCEPKHGSIAYPVHVNPSTSMSQPAMNAISRGFPSALSENWQMEQQTTESQVHNSDGDNHFQEFQLLKAPYYSGFYSNQ >KJB15520 pep chromosome:Graimondii2_0_v6:2:48102969:48107110:1 gene:B456_002G182700 transcript:KJB15520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISPMDWNAKTPLQWDWENLMMLNATPAEIPRKLRPEEWDIDGEGGMNSGSFYSSGAAGGSGGSGSDLGLASLSKSSKSASINSSSMGEVKMTKFTSEAFEAIPDDISNQNEAFRAELAGTSPTLEASVGSGEPLLSLKLGKQTYFEDVSGGSNTKNLSYSSTSGQSPAQAKRSKPNCQGIHVPRCQVEDCNFDLSSAKDYHRKHRICESHSKSPKVIVRGQERRFCQQCSKFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEAFHFSGTRFSSSPNDGKQQMSFIWNKVPFLHNARPNEIFTWEGTFDSKSSQMKGYTPTKVGNVNGQPNLPGNQLLNSITMRCHDSNGFLPSKGKQSIDDILNQSLVCLSY >KJB15517 pep chromosome:Graimondii2_0_v6:2:48104305:48105888:1 gene:B456_002G182700 transcript:KJB15517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISPMDWNAKTPLQWDWENLMMLNATPAEIPRKLRPEEWDIDGEGGMNSGSFYSSGAAGGSGGSGSDLGLASLSKSSKSASINSSSMGEVKMTKFTSEAFEAIPDDISNQNEAFRAELAGTSPTLEASVGSGEPLLSLKLGKQTYFEDVSGGSNTKNLSYSSTSGQSPAQAKRSKPNCQGIHVPRCQVEDCNFDLSSAKDYHRKHRICESHSKSPKVIVRGQERRFCQQCSKFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEAFHFSGTRFSSSPNDGKQQMSFIWNKVPFLHNARPNEIFTWEGTFDSKSSQMKGYTPTKVGNVNGQPNLPGNQLLNSITMRCHDSNGFLPSKGKQSIDDILNQSLVCLSY >KJB15515 pep chromosome:Graimondii2_0_v6:2:48104305:48105888:1 gene:B456_002G182700 transcript:KJB15515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISPMDWNAKTPLQWDWENLMMLNATPAEIPRKLRPEEWDIDGEGGMNSGSFYSSGAAGGSGGSGSDLGLASLSKSSKSASINSSSMGEVKMTKFTSEAFEAIPDDISNQNEAFRAELAGTSPTLEASVGSGEPLLSLKLGKQTYFEDVSGGSNTKNLSYSSTSGQSPAQAKRSKPNCQGIHVPRCQVEDCNFDLSSAKDYHRKHRICESHSKSPKVIVRGQERRFCQQCSKFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEAFHFSGTRFSSSPNDGKQQMSFIWNKVPFLHNARPNEIFTWEGTFDSKSSQMKGYTPTKVGNVNGQPNLPGNQLLNSITMRCHDSNGFLPSKGKQSIDDILNQSLVCLSY >KJB15516 pep chromosome:Graimondii2_0_v6:2:48102501:48107110:1 gene:B456_002G182700 transcript:KJB15516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISPMDWNAKTPLQWDWENLMMLNATPAEIPRKLRPEEWDIDGEGGMNSGSFYSSGAAGGSGGSGSDLGLASLSKSSKSASINSSSMGEVKMTKFTSEAFEAIPDDISNQNEAFRAELAGTSPTLEASVGSGEPLLSLKLGKQTYFEDVSGGSNTKNLSYSSTSGQSPAQAKRSKPNCQGIHVPRCQVEDCNFDLSSAKDYHRKHRICESHSKSPKVIVRGQERRFCQQCSKFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEAFHFSGTRFSSSPNDGKQQMSFIWNKVPFLHNARPNEIFTWEGTFDSKSSQMKGYTPTKVGNVNGQPNLPGNQLLNSITMRCHDSNGFLPSKGKQSIDDILNQSVEESRVDSNMATTQDLHRALSLLSNESWVSCEPKHGSIAYPVHVNPSTSMSQPAMNAISRGFPSALSENWQMEQQTTESQVHNSDGDNHFQEFQLLKAPYYSGFYSNQ >KJB16122 pep chromosome:Graimondii2_0_v6:2:56361566:56363803:-1 gene:B456_002G214700 transcript:KJB16122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger transcription factor YY1 [Source:Projected from Arabidopsis thaliana (AT4G06634) UniProtKB/Swiss-Prot;Acc:Q2V3L3] MVKNTLKALNDKEKEPEAPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHWEGCGKKFLDSSKLKRHFLIHTGERDFICPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHIASHHEKNTTPEVAKYAPPLEKIVKTPKPTGGAYGSASSDRPYACPYEGCEKAYIHEYKLKLHLRREHPGHMSDENAENATANVDNEMDEASDQDAYAGKRVNGKSQKQQSRAKPNLKMPPAKVARQKGSSPSPATLPIPKKQWPIKEQVFEEEDSEETEEDRDNVDDGWRYRENNEDDDEETEYED >KJB16119 pep chromosome:Graimondii2_0_v6:2:56361309:56362444:-1 gene:B456_002G214700 transcript:KJB16119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger transcription factor YY1 [Source:Projected from Arabidopsis thaliana (AT4G06634) UniProtKB/Swiss-Prot;Acc:Q2V3L3] MKTHSQENYHICPYPDCGKRYAHEYKLKNHIASHHEKNTTPEVAKYAPPLEKIVKTPKPTGGAYGSASSDRPYACPYEGCEKAYIHEYKLKLHLRREHPGHMSDENAENATANVDNEMDEASDQDAYAGKRVNGKSQKQQSRAKPNLKMPPAKVARQKGSSPSPATLPIPKKQWPIKEQVFEEEDSEETEEDRDNVDDGWRYRENNEDDDEETEYED >KJB16123 pep chromosome:Graimondii2_0_v6:2:56361566:56364118:-1 gene:B456_002G214700 transcript:KJB16123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger transcription factor YY1 [Source:Projected from Arabidopsis thaliana (AT4G06634) UniProtKB/Swiss-Prot;Acc:Q2V3L3] MEMQYAHGLFERRPLLKSKAPVVKWFKRWVPQDVVATGGKCMVLKWVNENTLKALNDKEKEPEAPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHWEGCGKKFLDSSKLKRHFLIHTGERDFICPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHIASHHEKNTTPEVAKYAPPLEKIVKTPKPTGGAYGSASSDRPYACPYEGCEKAYIHEYKLKLHLRREHPGHMSDENAENATANVDNEMDEASDQDAYAGKRVNGKSQKQQSRAKPNLKMPPAKVARQKGSSPSPATLPIPKKQWPIKEQVFEEEDSEETEEDRDNVDDGWRYRENNEDDDEETEYED >KJB16118 pep chromosome:Graimondii2_0_v6:2:56361309:56364471:-1 gene:B456_002G214700 transcript:KJB16118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger transcription factor YY1 [Source:Projected from Arabidopsis thaliana (AT4G06634) UniProtKB/Swiss-Prot;Acc:Q2V3L3] MEMQYAHGLFERRPLLKSKAPVVKWFKRWVPQDVVATGGKCMVLKWVNENTLKALNDKEKEPEAPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHWEGCGKKFLDSSKLKRHFLIHTGERDFICPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHIASHHEKNTTPEVAKYAPPLEKIVKTPKPTGGAYGSASSDRPYACPYEGCEKAYIHEYKLKLHLRREHPGHMSDENAENATANVDNEMDEASDQDAYAGKRVNGKSQKQQSRAKPNLKMPPAKVARQKGSSPSPATLPIPKKQWPIKEQVFEEEDSEETEEDRDNVDDGWRYRENNEDDDEETEYED >KJB16121 pep chromosome:Graimondii2_0_v6:2:56361245:56364406:-1 gene:B456_002G214700 transcript:KJB16121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger transcription factor YY1 [Source:Projected from Arabidopsis thaliana (AT4G06634) UniProtKB/Swiss-Prot;Acc:Q2V3L3] MEMQYAHGLFERRPLLKSKAPVVKWFKRWVPQDVVATGGKCMVLKWVNENTLKALNDKEKEPEAPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHWEGCGKKFLDSSKLKRHFLIHTGERDFICPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHIASHHEKNTTPEVAKYAPPLEKIVKTPKPTGGAYGSASSDRPYACPYEGCEKAYIHEYKLKLHLRREHPGHMSDENAENATANVDNEMDEASDQDAYAGKRVNGKSQKQQSRAKPNLKMPPAKVARQKGSSPSPATLPIPKKQWPIKEQVFEEEDSEETEEDRDNVDDGWRYRENNEDDDEETEYED >KJB16117 pep chromosome:Graimondii2_0_v6:2:56361273:56364601:-1 gene:B456_002G214700 transcript:KJB16117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger transcription factor YY1 [Source:Projected from Arabidopsis thaliana (AT4G06634) UniProtKB/Swiss-Prot;Acc:Q2V3L3] MEMQYAHGLFERRPLLKSKAPVVKWFKRWVPQDVVATGGKCMVLKWVNENTLKALNDKEKEPEAPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHWEGCGKKFLDSSKLKRHFLIHTGERDFICPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHIASHHEKNTTPEVAKYAPPLEKIVKTPKPTGGAYGSASSDRPYACPYEGCEKAYIHEYKLKLHLRREHPGHMSDENAENATANVDNEMDEASDQDAYAGKRVNGKSQKQQSRAKPNLKMPPAKVARQKGSSPSPATLPIPKKQWPIKEQVFEEEDSEETEEDRDNVDDGWRYRENNEDDDEETEYED >KJB16120 pep chromosome:Graimondii2_0_v6:2:56361309:56364390:-1 gene:B456_002G214700 transcript:KJB16120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger transcription factor YY1 [Source:Projected from Arabidopsis thaliana (AT4G06634) UniProtKB/Swiss-Prot;Acc:Q2V3L3] MEKDNMFVTGRVVERNFWIVQNLKDTSSFTLERETSYAHMKVVAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHIASHHEKNTTPEVAKYAPPLEKIVKTPKPTGGAYGSASSDRPYACPYEGCEKAYIHEYKLKLHLRREHPGHMSDENAENATANVDNEMDEASDQDAYAGKRVNGKSQKQQSRAKPNLKMPPAKVARQKGSSPSPATLPIPKKQWPIKEQVFEEEDSEETEEDRDNVDDGWRYRENNEDDDEETEYED >KJB16116 pep chromosome:Graimondii2_0_v6:2:56361309:56364501:-1 gene:B456_002G214700 transcript:KJB16116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger transcription factor YY1 [Source:Projected from Arabidopsis thaliana (AT4G06634) UniProtKB/Swiss-Prot;Acc:Q2V3L3] MEMQYAHGLFERRPLLKSKAPVVKWFKRWVPQDVVATGGKCMVLKWVNENTLKALNDKEKEPEAPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHWEGCGKKFLDSSKLKRHFLIHTGERDFICPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHIASHHEKNTTPEVAKYAPPLEKIVKTPKPTGGAYGSASSDRPYACPYEGCEKAYIHEYKLKLHLRREHPGHMSDENAENATANVDNEMDEASDQDAYAGKRVNGKSQKQQSRAKPNLKMPPAKVARQKGSSPSPATLPIPKKQWPIKEQVFEEEDSEETEEDRDNVDDGWRYRENNEDDDEETEYED >KJB16600 pep chromosome:Graimondii2_0_v6:2:60239782:60241631:1 gene:B456_002G238900 transcript:KJB16600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKLQIKLNANRLVVGTLRGFDQFMNLVVDNTVEVNGNEKTDIGMVVIRGNSVVTVEALEPVGRMQ >KJB16599 pep chromosome:Graimondii2_0_v6:2:60239326:60241962:1 gene:B456_002G238900 transcript:KJB16599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKKLQIKLNANRLVVGTLRGFDQFMNLVVDNTVEVNGNEKTDIGMVVIRGNSVVTVEALEPVGRMQ >KJB12877 pep chromosome:Graimondii2_0_v6:2:3550216:3555074:1 gene:B456_002G043000 transcript:KJB12877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoacetylglucosamine mutase [Source:Projected from Arabidopsis thaliana (AT5G18070) UniProtKB/Swiss-Prot;Acc:P57750] MKEEQRLLLLHSSSLFFPPQGVKLSYGTAGFRADASILKSTVHRVGILAALRSLKTQSVVGLMITASHNKVTDNGVKIVDPSGGMLTQEWEPFADQLASAQTPEALLSLITEFVEKEKIPLDGVQSAEILLGRDTRPSGESLLEAAKQGISSILGAIALDLGILTTPQLHWMVRARNKGMKATEPAYFEQISSSFRCLVDLIPNETKSSKMDNTVVVDGADGVGGEKLEVLKSMLTSLVIEVRNTGKGGGLLNDGVGADYVQKEKVVPRGFCSNDVGLRCASLDGDADRLVYFSLPTSSSRKIDLVDGDKILSLFALFIKEQLSILTKEGTEKLDNSYHARLGVIQTAYANGASTDYLKQSGLEVIFTPTGVKHLHEKAAQFDIGIYFEANGHGTILFSESWLSWLEARNNELGLASEGSEQQKAALRLLSVSKLINQAVGDALSCLLLVEVILQHKGWSIHKWNELYQDLPSRQLKVKVVDRTAVATANAETVAISPPGIQEAIDAETAKYPKGRCFIRPSGTEDVIRVYAEASTQEAADSLAGSVAKIVDRFLGFSSSQQ >KJB12876 pep chromosome:Graimondii2_0_v6:2:3550550:3555074:1 gene:B456_002G043000 transcript:KJB12876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoacetylglucosamine mutase [Source:Projected from Arabidopsis thaliana (AT5G18070) UniProtKB/Swiss-Prot;Acc:P57750] MKEEQRLLLLHSSSLFFPPQGVKLSYGTAGFRADASILKSTVHRVGILAALRSLKTQSVVGLMITASHNKVTDNGVKIVDPSGGMLTQEWEPFADQLASAQTPEALLSLITEFVEKEKIPLDGVQSAEILLGRDTRPSGESLLEAAKQGISSILGAIALDLGILTTPQLHWMVRARNKGMKATEPAYFEQISSSFRCLVDLIPNETKSSKMDNTVVVDGADGVGGEKLEVLKSMLTSLVIEVRNTGKGGGLLNDGVGADYVQKEKVVPRGFCSNDVGLRCASLDGDADRLVYFSLPTSSSRKIDLVDGDKILSLFALFIKEQLSILTKEGTEKLDNSYHARLGVIQTAYANGASTDYLKQSGLEVIFTPTGVKHLHEKAAQFDIGIYFEANGHGTILFSESWLSWLEARNNELGLASEGSEQQKAALRLLSVSKLINQAVGDALSCLLLVEVILQHKGWSIHKWNELYQDLPSRQLKVKVVDRTAVATANAETVAISPPGIQEAIDAETAKYPKGRCFIRPSGTEDVIRVYAEASTQEAADSLAGSVAKIVDRFLGFSSSQQ >KJB12879 pep chromosome:Graimondii2_0_v6:2:3550471:3555074:1 gene:B456_002G043000 transcript:KJB12879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoacetylglucosamine mutase [Source:Projected from Arabidopsis thaliana (AT5G18070) UniProtKB/Swiss-Prot;Acc:P57750] MKEEQRLLLLHSSSLFFPPQGVKLSYGTAGFRADASILKSTVHRVGILAALRSLKTQSVVGLMITASHNKVTDNGVKIVDPSGGMLTQEWEPFADQLASAQTPEALLSLITEFVEKEKIPLDGVQSAEILLGRDTRPSGESLLEAAKQGISSILGAIALDLGILTTPQLHWMVRARNKGMKATEPAYFEQISSSFRCLVDLIPNETKSSKMDNTVVVDGADGVGGEKLEVLKSMLTSLVIEVRNTGKGGGLLNDGVGADYVQKEKVVPRGFCSNDVGLRCASLDGDADRLVYFSLPTSSSRKIDLVDGDKILSLFALFIKEQLSILTKEGTEKLDNSYHARLGVIQTAYANGASTDYLKQSGLEVIFTPTGVKHLHEKAAQFDIGIYFEANGHGTILFSESWLSWLEARNNELGLASEGSEQQKAALRLLSVSKLINQAVGDALSCLLLVEVILQHKGWSIHKWNELYQDLPSRQLKVKVVDRTAVATANAETVAISPPGIQEAIDAETAKYPKGRCFIRPSGTEDVIRVYAEASTQEAADSLAGSVAKIVDRFLGFSSSQQ >KJB12878 pep chromosome:Graimondii2_0_v6:2:3550413:3555074:1 gene:B456_002G043000 transcript:KJB12878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoacetylglucosamine mutase [Source:Projected from Arabidopsis thaliana (AT5G18070) UniProtKB/Swiss-Prot;Acc:P57750] MKEEQRLLLLHSSSLFFPPQGVKLSYGTAGFRADASILKSTVHRVGILAALRSLKTQSVVGLMITASHNKVTDNGVKIVDPSGGMLTQEWEPFADQLASAQTPEALLSLITEFVEKEKIPLDGVQSAEILLGRDTRPSGESLLEAAKQGISSILGAIALDLGILTTPQLHWMVRARNKGMKATEPAYFEQISSSFRCLVDLIPNETKSSKMDNTVVVDGADGVGGEKLEVLKSMLTSLVIEVRNTGKGGGLLNDGVGADYVQKEKVVPRGFCSNDVGLRCASLDGDADRLVYFSLPTSSSRKIDLVDGDKILSLFALFIKEQLSILTKEGTEKLDNSYHARLGVIQTAYANGASTDYLKQSGLEVIFTPTGVKHLHEKAAQFDIGIYFEANGHGTILFSESWLSWLEARNNELGLASEGSEQQKAALRLLSVSKLINQAVGDALSCLLLVEVILQHKGWSIHKWNELYQDLPSRQLKVKVVDRTAVATANAETVAISPPGIQEAIDAETAKYPKGRCFIRPSGTEDVIRVYAEASTQEAADSLAGSVAKIVDRFLGFSSSQQ >KJB13499 pep chromosome:Graimondii2_0_v6:2:10222139:10226089:-1 gene:B456_002G081000 transcript:KJB13499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVREALLKRLRVNATSLSLLRNPKPSLNGLFALTFNAVHRRFSDDVMGSFLDKSEVTDRVVSVVKNFQK >KJB13500 pep chromosome:Graimondii2_0_v6:2:10222436:10225904:-1 gene:B456_002G081000 transcript:KJB13500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVREALLKRLRVNATSLSLLRNPKPSLNGLFALTFNAVHRRFSDDVMGSFLDKSEVTDRVVSVVKNFQKVDPSKGFWIVISLYPCPIMLGNRYFKKKKNENEEVTPNAHFQNDLGLDSLDTVEVVMALEEEFGFEIPDNEADKISTINHAVEFIASHPQAK >KJB13501 pep chromosome:Graimondii2_0_v6:2:10222139:10226094:-1 gene:B456_002G081000 transcript:KJB13501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVREALLKRLRVNATSLSLLRNPKPSLNGLFALTFNAVHRRFSDDVMGSFLDKSEVTDRVVSVVKNFQKVDPSKVTPNAHFQNDLGLDSLDTVEVVMALEEEFGFEIPDNEADKISTINHAVEFIASHPQAK >KJB14704 pep chromosome:Graimondii2_0_v6:2:23547171:23548635:1 gene:B456_002G138500 transcript:KJB14704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQLVKHERIETTVAKEKEIRRLGDNMVQLGKEGSYFAARHAAAFVREYDVIHKLFTELAYRYKDRASGYTRLLRTRIRVGDVAPMAYIE >KJB17124 pep chromosome:Graimondii2_0_v6:2:62585673:62587964:-1 gene:B456_002G266600 transcript:KJB17124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNLSTNVNLDGVDTSAILSEATSSVAKLIGKPEAGSVPMSFGGTEQPAAYGELVSIGGLNPDVNKKLSAAIAAILETKLQVPKSRFFLKFYDTKGSNFGWNGSTF >KJB17127 pep chromosome:Graimondii2_0_v6:2:62585673:62588059:-1 gene:B456_002G266600 transcript:KJB17127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNLSTNVNLDGVDTSAILSEATSSVAKLIGKPEAYVMIVLKGSVPMSFGGTEQPAAYGELVSIGGLNPDVNKKLSAAIAAILETKLQVPKSRFFLKFYDTKGSNFGWNGSTF >KJB17126 pep chromosome:Graimondii2_0_v6:2:62585673:62587964:-1 gene:B456_002G266600 transcript:KJB17126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNLSTNVNLDGVDTSAILSEATSSVAKLIGKPEAYVMIVLKGSVPMSFGGTEQPAAYGELVSIGGLNPDVNKKLSAAIAAILETKLQVPKSRFFLKFYDTKARFQLWMERIHLLSLACSEFLETSVMSIQNNLTRTVNWCEKKFLKLGMVF >KJB17125 pep chromosome:Graimondii2_0_v6:2:62586404:62587802:-1 gene:B456_002G266600 transcript:KJB17125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNLSTNVNLDGVDTSAILSEATSSVAKLIGKPEAYVMIVLKGSVPMSFGGTEQPAAYGELVSIGGLNPDVNKKLSAAIAAILETKLQVPKSRFFLKFYDTKAHQSQEYAQCLHALHQH >KJB17123 pep chromosome:Graimondii2_0_v6:2:62585673:62586802:-1 gene:B456_002G266600 transcript:KJB17123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYVMIVLKGSVPMSFGGTEQPAAYGELVSIGGLNPDVNKKLSAAIAAILETKLQVPKSRFFLKFYDTKAHQSQEYAQCLHALHQH >KJB14915 pep chromosome:Graimondii2_0_v6:2:28489405:28492308:-1 gene:B456_002G148500 transcript:KJB14915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGAHRSFEPIWKCSGEGRSNQTVVADLNGTLLVSRNPFPYFMLIALEAGSLIRAFVLLASLPFVYLTHLFISESAANNAFIFISFVGLKIRNIELVSKSVLPKLYAKDIHPETWRVFSSFGKRYIVTATPRIMVEPFVKSILVADKVIGTELQLTKSGRATGFTLNPGILVGEHKRAAILKEFGTHFPDLGLGDRETDHDFMSLCKEGYMVPITKCNTLPRNKLLIPIIFFEEHLVQIRTLLAALSTLLWLPIGFIFSLLRLYLNIPLIGRIALYIYKLLRIKLVVKGNLPLAPKKGQITGLLFFSISHSIL >KJB12707 pep chromosome:Graimondii2_0_v6:2:2417144:2421838:1 gene:B456_002G032300 transcript:KJB12707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLYRTMSIVRKHASSAQNFFMVIFRFLETFVGSMSAGVGVGFVSALLFKYAGLDVDNLQNLECCLFVLFPYFSYMLAEGLGLSGILSILFTAIVMKHYSYSNLSENSQQFVSDFFHLISSLAETFTFIYMGFDIAMEKHSWSHLGFIFFSILFIVVARAANVFSCAYLVNLVRPVHRQIPLKHQKALWYSGLRGAMAFALALQSVHDLPEGRGQIIFTATTAIVVLSVLLIGGSTGTMLEALHVIGDSHDGHLGESFDVNNGYVAPSFKKDGTSGNGIKMKLKEFHKRTSSFTALDRNYLTPFFTSQNEDDEEEEEALLDERI >KJB12704 pep chromosome:Graimondii2_0_v6:2:2415502:2421837:1 gene:B456_002G032300 transcript:KJB12704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISPAESGSPEKEQQAAGVGILLQIMMLVLSFVLGHVLRRHKFYYLPEASASLLIGLIVGGLANISNTETSIRAWFNFHEEFFFLFLLPPIIFQSGFSLSAKPFFSNFGAIVTFAILGTFIASVVTGVLVYLGGRMYIMYGLPFVECLMFGALISATDPVTVLSIFQELGTDTNLYALVFGESVLNDAMAISLYRTMSIVRKHASSAQNFFMVIFRFLETFVGSMSAGVGVGFVSALLFKYAGLDVDNLQNLECCLFVLFPYFSYMLAEGLGLSGILSILFTAIVMKHYSYSNLSENSQQFVSDFFHLISSLAETFTFIYMGFDIAMEKHSWSHLGFIFFSILFIVVARAANVFSCAYLVNLVRPVHRQIPLKHQKALWYSGLRGAMAFALALQSVHDLPEGRGQIIFTATTAIVVLSVLLIGGSTGTMLEALHVIGDSHDGHLGESFDVNNGYVAPSFKKDGTSGNGIKMKLKEFHKRTSSFTALDRNYLTPFFTSQNEDDEEEEEALLDERI >KJB12706 pep chromosome:Graimondii2_0_v6:2:2415502:2421844:1 gene:B456_002G032300 transcript:KJB12706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISPAESGSPEKEQQAAGVGILLQIMMLVLSFVLGHVLRRHKFYYLPEASASLLIGLIVGGLANISNTETSIRAWFNFHEEFFFLFLLPPIIFQSGFSLSAKPFFSNFGAIVTFAILGTFIASVVTGVLVYLGGRMYIMYGLPFVECLMFGALISATDPVTVLSIFQELGTDTNLYALVFGESVLNDAMAISLYRTMSIVRKHASSAQNFFMVIFRFLETFVGSMSAGVGVGFVSALLFKYAGLDVDNLQNLECCLFVLFPYFSYMLAEGLGLSGILSILFTAIVMKHYSYSNLSENSQQFVSDFFHLISSLAETFTFIYMGFDIAMEKHSWSHLGFIFFSILFIVVARAANVFSCAYLVNLVRPVHRQIPLKHQKALWYSGLRGAMAFALALQSVHDLPEGRGQIIFTATTAIVVLSVLLIGGSTGTMLEALHVIGDSHDGHLGESFDVNNGYVAPSFKKDGTSGNGIKMKLKEFHKRTSSFTALDRNYLTPFFTSQNEDDEEEEALLDERI >KJB12705 pep chromosome:Graimondii2_0_v6:2:2415502:2421837:1 gene:B456_002G032300 transcript:KJB12705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISPAESGSPEKEQQAAGVGILLQIMMLVLSFVLGHVLRRHKFYYLPEASASLLIGLIVGGLANISNTETSIRAWFNFHEEFFFLFLLPPIIFQSGFSLSAKPFFSNFGAIVTFAILGTFIASVVTGVLVYLGGRMYIMYGLPFVECLMFGALISATDPVTVLSIFQELGTDTNLYALVFGESVLNDAMAISLYRTMSIVRKHASSAQNFFMVIFRFLETFVGSMSAGVGVGFVSALLFKYAGLDVDNLQNLECCLFVLFPYFSYMLAEGLGLSGILSILFTAIVMKHYSYSNLSENSQQFVSDFFHLISSLAETFTFIYMGFDIAMEKHSWSHLGFIFFSILFIVVARAANVFSCAYLVNLVRPVHRQIPLKHQKALWYSGLRGAMAFALALQSVHDLPEGRGQIIFTATTAIVVLSVLLIGGSTGTMLEALHVIGDSHDGHLGESFDVNNGYVAPSFKKDGTSGNGIKMKLKEFHKRTSSFTALDRNYLTPFFTSQNEDDEEEEEALLDERI >KJB14366 pep chromosome:Graimondii2_0_v6:2:17446786:17449291:1 gene:B456_002G121600 transcript:KJB14366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPKAETPIDENEIRITSQGRMRSYITYAMTLLQEKGSTQVVFKAMGRAINKTVTIVELIKRRIVGLHQITSIGSMDITDMWEPLEEGLLPLETTRHVSMITITLSKIELNTSSVGYQPPLPADQVKASTEVDHEGEGSPNDRGRGRGGRGRPRSRGNGFVSAEYEDGSWDRTRGYARGRGRGRGRGVRGRGRGGYNGPQFDRPQDEGYNFEAPPQGGRGRGRGRGYRGRGRGFRSNGPIHAAA >KJB14367 pep chromosome:Graimondii2_0_v6:2:17446786:17449291:1 gene:B456_002G121600 transcript:KJB14367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPKAETPIDENEIRITSQGRMRSYITYAMTLLQEKGSTQVVFKAMGRAINKTVTIVELIKRRIVGLHQITSIGSMDITDMWEPLEEGLLPLETTRHVSMITITLSKIELNTSSVGYQPPLPADQVKASTEVDHEGAEGSPNDRGRGRGGRGRPRSRGNGFVSAEYEDGSWDRTRGYARGRGRGRGRGVRGRGRGGYNGPQFDRPQDEGYNFEAPPQGGRGRGRGRGYRGRGRGFRSNGPIHAAA >KJB14365 pep chromosome:Graimondii2_0_v6:2:17446507:17449331:1 gene:B456_002G121600 transcript:KJB14365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPKAETPIDENEIRITSQGRMRSYITYAMTLLQEKGSTQVVFKAMGRAINKTVTIVELIKRRIVGLHQITSIGSMDITDMWEPLEEGLLPLETTRHVSMITITLSKIELNTSSVGYQPPLPADQVKASTEVDHEGEGSPNDRGRGRGGRGRPRSRGNGFVSAEYEDGSWDRTRGYARGRGRGRGRGVRGRGRGGYNGPQFDRPQDEGYNFEAPPQGGRGRGRGRGYRGRGRGFRSNGPIHAAA >KJB12929 pep chromosome:Graimondii2_0_v6:2:3825870:3828287:-1 gene:B456_002G046000 transcript:KJB12929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRNRGPPPIPMKGPPHAGLLPPVHEHPYARGLGPMPPHPVLLDEIREPQFVLGPRGFPPHPAIIEERLGVQLQEIQALLADNQRLAATHVALKQELEAAQHELKQMSHYADSLRAEKDVQMREMYEKSVRLEADLRELEAMRAELVKVNGDIKQLSAVKQDLTGQVQVMSQDLTRLTGELQQAPVLKAEIENLKQELQRARAAIEYEKKGYAENYEHGQVMEKKLISMARELEKLRAEVANAEKRTRAGGGTGANPAVSGYNANYGNPEAGYTGNTYHVNYGMNPVQGGVDVYRQYGPASGSWGAYDMQRAQGHR >KJB12500 pep chromosome:Graimondii2_0_v6:2:1466886:1474257:-1 gene:B456_002G021500 transcript:KJB12500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSETMDFQTFSDDILFPTGRQVGFWKSDPMLEQRAACKKPITSTMEKIMPVESQVTRYLEHTESFTKQNREANLSVDSRTVGAERVSNQSLKLLKPVSQGLGTTLSFGGENGFHSDEGNKVNTVTSLSENSLFSSSFSEFFTRKLRLSSNNALYGNSIDTVASDYEEEEPLESLEELEAQTIGNLLPDDDDLFSGVTEGLDSIVQPNGTEDAEESDVFSSIGGMDLGDDGPGVRKNYEFPEKSRPGLLNGSVAGEHPSRTLFVRNINSNVEDSELKAVFELYGEIRALDSACKHRGFVMISYFDIRAAQKAIEALQDRPLRSRKLDIHYSIPKDNPLENEENQGTLVVFNLNSTVSNDEIRCIFGAYGEIKEIYETPKKSQHKFIEFYDVRASEAALHALNKTEIAGNLVEIQLSHPESLRRCSVQQVPSAGKDECYPYEHAYSPSNDANLAFSVGANSSNNMDIGTSSGLNSAIKAPFLEPTVHHGISSGVSNSLTSMVRAGSIGNQSVIAESDHLQMKFDIQGAPAFYPYSLPEYQNGLSRAIHSSSKPLEIIDNKSFSLVSSTGHSFEYRNAGFPSAGNGSHLPGRHCSWSNSYHPEPPSMMWPNSPSMVNGIYAARPSAQLHGLPTAHTLNTGVPVINYHVGSAPTVNPSLWDRRHAYGGESPKASSFHLGSLGLQLLHSRSPLYNGRGNIFPITKSAGSPHEHARSRRNEGSINGADKKQYELDIERIIRGEDKRTTLMLKNIPNKYTSKMLLAAIDERSGGTYDFVYLPIDFKNKCNVGYAFINMIEPSQVITFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPVRVNVRTRSGKAARSSNNEENNQESPSNSENEANSSN >KJB12496 pep chromosome:Graimondii2_0_v6:2:1467277:1472776:-1 gene:B456_002G021500 transcript:KJB12496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSETMDFQTFSDDILFPTGRQVGFWKSDPMLEQRACKKPITSTMEKIMPVESQVTRYLEHTESFTKQNREANLSVDSRTVGAERVSNQSLKLLKPVSQGLGTTLSFGGENGFHSDEGNKVNTVTSLSENSLFSSSFSEFFTRKLRLSSNNALYGNSIDTVASDYEEEEPLESLEELEAQTIGNLLPDDDDLFSGVTEGLDSIVQPNGTEDAEESDVFSSIGGMDLGDDGPGVRKNYEFPEKSRPGLLNGSVAGEHPSRTLFVRNINSNVEDSELKAVFELYGEIRALDSACKHRGFVMISYFDIRAAQKAIEALQDRPLRSRKLDIHYSIPKDNPLENEENQGTLVVFNLNSTVSNDEIRCIFGAYGEIKEIYETPKKSQHKFIEFYDVRASEAALHALNKTEIAGNLVEIQLSHPESLRRCSVQQVPSAGKDECYPYEHAYSPSNDANLAFSVTAVGANSSNNMDIGTSSGLNSAIKAPFLEPTVHHGISSGVSNSLTSMVRAGSIGNQSVIAESDHLQMKFDIQGAPAFYPYSLPEYQNGLSRAIHSSSKPLEIIDNKSFSLVSSTGHSFEYRNAGFPSAGNGSHLPGRHCSWSNSYHPEPPSMMWPNSPSMVNGIYAARPSAQLHGLPTAHTLNTGVPVINYHVGSAPTVNPSLWDRRHAYGGESPKASSFHLGSLGSMRGLQLLHSRSPLYNGRGNIFPITKSAGSPHEHARSRRNEGSINGADKKQYELDIERIIRGEDKRTTLMLKNIPNKYTSKMLLAAIDERSGGTYDFVYLPIDFKNKCNVGYAFINMIEPSQVITFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPVRVNVRTRSGKAARSSNNEENNQESPSNSENEANSSN >KJB12493 pep chromosome:Graimondii2_0_v6:2:1467277:1472776:-1 gene:B456_002G021500 transcript:KJB12493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSETMDFQTFSDDILFPTGRQVGFWKSDPMLEQRAACKKPITSTMEKIMPVESQVTRYLEHTESFTKQNREANLSVDSRTVGAERVSNQSLKLLKPVSQGLGTTLSFGGENGFHSDEGNKVNTVTSLSENSLFSSSFSEFFTRKLRLSSNNALYGNSIDTVASDYEEEEPLESLEELEAQTIGNLLPDDDDLFSGVTEGLDSIVQPNGTEDAEESDVFSSIGGMDLGDDGPGVRKNYEFPEKSRPGLLNGSVAGEHPSRTLFVRNINSNVEDSELKAVFELYGEIRALDSACKHRGFVMISYFDIRAAQKAIEALQDRPLRSRKLDIHYSIPKDNPLENEENQGTLVVFNLNSTVSNDEIRCIFGAYGEIKEIYETPKKSQHKFIEFYDVRASEAALHALNKTEIAGNLVEIQLSHPESLRRCSVQQVPSAGKDECYPYEHAYSPSNDANLAFSVTAVGANSSNNMDIGTSSGLNSAIKAPFLEPTVHHGISSGVSNSLTSMVRAGSIGNQSVIAESDHLQMKFDIQGAPAFYPYSLPEYQNGLSRAIHSSSKPLEIIDNKSFSLVSSTGHSFEYRNAGFPSAGNGSHLPGRHCSWSNSYHPEPPSMMWPNSPSMVNGIYAARPSAQLHGLPTAHTLNTGVPVINYHVGSAPTVNPSLWDRRHAYGGESPKASSFHLGSLGSMRGLQLLHSRSPLYNGRGNIFPITKSAGSPHEHARSRRNEGSINGADKKQYELDIERIIRGEDKRTTLMLKNIPNKYTSKMLLAAIDERSGGTYDFVYLPIDFKNKCNVGYAFINMIEPSQVITFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPVRVNVRTRSGKAARSSNNEENNQESPSNSENEANSSN >KJB12494 pep chromosome:Graimondii2_0_v6:2:1467277:1472776:-1 gene:B456_002G021500 transcript:KJB12494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSETMDFQTFSDDILFPTGRQVGFWKSDPMLEQRAACKKPITSTMEKIMPVESQVTRYLEHTESFTKQNREANLSVDSRTVGAERVSNQSLKLLKPVSQGLGTTLSFGGENGFHSDEGNKVNTVTSLSENSLFSSSFSEFFTRKLRLSSNNALYGNSIDTVASDYEEEEPLESLEELEAQTIGNLLPDDDDLFSGVTEGLDSIVQPNGTEDAEESDVFSSIGGMDLGDDGPGVRKNYEFPEKSRPGLLNGSVAGEHPSRTLFVRNINSNVEDSELKAVFELYGEIRALDSACKHRGFVMISYFDIRAAQKAIEALQDRPLRSRKLDIHYSIPKDNPLENEENQGTLVVFNLNSTVSNDEIRCIFGAYGEIKEIYETPKKSQHKFIEFYDVRASEAALHALNKTEIAGNLVEIQLSHPESLRRCSVQQVPSAGKDECYPYEHAYSPSNDANLAFSVGANSSNNMDIGTSSGLNSAIKAPFLEPTVHHGISSGVSNSLTSMVRAGSIGNQSVIAESDHLQMKFDIQGAPAFYPYSLPEYQNGLSRAIHSSSKPLEIIDNKSFSLVSSTGHSFEYRNAGFPSAGNGSHLPGRHCSWSNSYHPEPPSMMWPNSPSMVNGIYAARPSAQLHGLPTAHTLNTGVPVINYHVGSAPTVNPSLWDRRHAYGGESPKASSFHLGSLGSMRGLQLLHSRSPLYNGRGNIFPITKSAGSPHEHARSRRNEGSINGADKKQYELDIERIIRGEDKRTTLMLKNIPNKYTSKMLLAAIDERSGGTYDFVYLPIDFKNKCNVGYAFINMIEPSQVITFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPVRVNVRTRSGKAARSSNNEENNQESPSNSENEANSSN >KJB12497 pep chromosome:Graimondii2_0_v6:2:1467277:1472776:-1 gene:B456_002G021500 transcript:KJB12497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSETMDFQTFSDDILFPTGRQVGFWKSDPMLEQRACKKPITSTMEKIMPVESQVTRYLEHTESFTKQNREANLSVDSRTVGAERVSNQSLKLLKPVSQGLGTTLSFGGENGFHSDEGNKVNTVTSLSENSLFSSSFSEFFTRKLRLSSNNALYGNSIDTVASDYEEEEPLESLEELEAQTIGNLLPDDDDLFSGVTEGLDSIVQPNGTEDAEESDVFSSIGGMDLGDDGPGVRKNYEFPEKSRPGLLNGSVAGEHPSRTLFVRNINSNVEDSELKAVFELYGEIRALDSACKHRGFVMISYFDIRAAQKAIEALQDRPLRSRKLDIHYSIPKDNPLENEENQGTLVVFNLNSTVSNDEIRCIFGAYGEIKEIYETPKKSQHKFIEFYDVRASEAALHALNKTEIAGNLVEIQLSHPESLRRCSVQQVPSAGKDECYPYEHAYSPSNDANLAFSVTAVGANSSNNMDIGTSSGLNSAIKAPFLEPTVHHGISSGVSNSLTSMVRAGSIGNQSVIAESDHLQMKFDIQGAPAFYPYSLPEYQNGLSRAIHSSSKPLEIIDNKSFSLVSSTGHSFEYRNAGFPSAGNGSHLPGRHCSWSNSYHPEPPSMMWPNSPSMVNGIYAARPSAQLHGLPTAHTLNTGVPVINYHVGSAPTVNPSLWDRRHAYGGESPKASSFHLGSLGSMRGLQLLHSRSPLYNGRGNIFPITKSAGSPHEHARSRRNEGSINGADKKQYELDIERIIRGEDKRTTLMLKNIPNKYTSKMLLAAIDERSGGTYDFVYLPIDFKNKCNVGYAFINMIEPSQVITFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPVRVNVRTRSGKAARSSNNEENNQESPSNSENEANSSN >KJB12501 pep chromosome:Graimondii2_0_v6:2:1466880:1474553:-1 gene:B456_002G021500 transcript:KJB12501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSETMDFQTFSDDILFPTGRQVGFWKSDPMLEQRAACKKPITSTMEKIMPVESQVTRYLEHTESFTKQNREANLSVDSRTVGAERVSNQSLKLLKPVSQGLGTTLSFGGENGFHSDEGNKVNTVTSLSENSLFSSSFSEFFTRKLRLSSNNALYGNSIDTVASDYEEEEPLESLEELEAQTIGNLLPDDDDLFSGVTEGLDSIVQPNGTEDAEESDVFSSIGGMDLGDDGPGVRKNYEFPEKSRPGLLNGSVAGEHPSRTLFVRNINSNVEDSELKAVFELYGEIRALDSACKHRGFVMISYFDIRAAQKAIEALQDRPLRSRKLDIHYSIPKDNPLENEENQGTLVVFNLNSTVSNDEIRCIFGAYGEIKEIYETPKKSQHKFIEFYDVRASEAALHALNKTEIAGNLVEIQLSHPESLRRCSVQQVPSAGKDECYPYEHAYSPSNDANLAFSVGANSSNNMDIGTSSGLNSAIKAPFLEPTVHHGISSGVSNSLTSMVRAGSIGNQSVIAESDHLQMKFDIQGAPAFYPYSLPEYQNGLSRAIHSSSKPLEIIDNKSFSLVSSTGHSFEYRNAGFPSAGNGSHLPGRHCSWSNSYHPEPPSMMWPNSPSMVNGIYAARPSAQLHGLPTAHTLNTGVPVINYHVGSAPTVNPSLWDRRHAYGGESPKASSFHLGSLGLQLLHSRSPLYNGRGNIFPITKSAGSPHEHARSRRNEGSINGADKKQYELDIERIIRGEDKRTTLMLKNIPNKYTSKMLLAAIDERSGGTYDFVYLPIDFKNKCNVGYAFINMIEPSQVITFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPVRVNVRTRSGKAARSSNNEENNQESPSNSENEANSSN >KJB12498 pep chromosome:Graimondii2_0_v6:2:1466879:1474578:-1 gene:B456_002G021500 transcript:KJB12498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSETMDFQTFSDDILFPTGRQVGFWKSDPMLEQRACKKPITSTMEKIMPVESQVTRYLEHTESFTKQNREANLSVDSRTVGAERVSNQSLKLLKPVSQGLGTTLSFGGENGFHSDEGNKVNTVTSLSENSLFSSSFSEFFTRKLRLSSNNALYGNSIDTVASDYEEEEPLESLEELEAQTIGNLLPDDDDLFSGVTEGLDSIVQPNGTEDAEESDVFSSIGGMDLGDDGPGVRKNYEFPEKSRPGLLNGSVAGEHPSRTLFVRNINSNVEDSELKAVFELYGEIRALDSACKHRGFVMISYFDIRAAQKAIEALQDRPLRSRKLDIHYSIPKDNPLENEENQGTLVVFNLNSTVSNDEIRCIFGAYGEIKEIYETPKKSQHKFIEFYDVRASEAALHALNKTEIAGNLVEIQLSHPESLRRCSVQQVPSAGKDECYPYEHAYSPSNDANLAFSVGANSSNNMDIGTSSGLNSAIKAPFLEPTVHHGISSGVSNSLTSMVRAGSIGNQSVIAESDHLQMKFDIQGAPAFYPYSLPEYQNGLSRAIHSSSKPLEIIDNKSFSLVSSTGHSFEYRNAGFPSAGNGSHLPGRHCSWSNSYHPEPPSMMWPNSPSMVNGIYAARPSAQLHGLPTAHTLNTGVPVINYHVGSAPTVNPSLWDRRHAYGGESPKASSFHLGSLGSMRGLQLLHSRSPLYNGRGNIFPITKSAGSPHEHARSRRNEGSINGADKKQYELDIERIIRGEDKRTTLMLKNIPNKYTSKMLLAAIDERSGGTYDFVYLPIDFKNKCNVGYAFINMIEPSQVITFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPVRVNVRTRSGKAARSSNNEENNQESPSNSENEANSSN >KJB12499 pep chromosome:Graimondii2_0_v6:2:1466879:1474578:-1 gene:B456_002G021500 transcript:KJB12499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSETMDFQTFSDDILFPTGRQVGFWKSDPMLEQRACKKPITSTMEKIMPVESQVTRYLEHTESFTKQNREANLSVDSRTVGAERVSNQSLKLLKPVSQGLGTTLSFGGENGFHSDEGNKVNTVTSLSENSLFSSSFSEFFTRKLRLSSNNALYGNSIDTVASDYEEEEPLESLEELEAQTIGNLLPDDDDLFSGVTEGLDSIVQPNGTEDAEESDVFSSIGGMDLGDDGPGVRKNYEFPEKSRPGLLNGSVAGEHPSRTLFVRNINSNVEDSELKAVFELYGEIRALDSACKHRGFVMISYFDIRAAQKAIEALQDRPLRSRKLDIHYSIPKDNPLENEENQGTLVVFNLNSTVSNDEIRCIFGAYGEIKEIYETPKKSQHKFIEFYDVRASEAALHALNKTEIAGNLVEIQLSHPESLRRCSVQQVPSAGKDECYPYEHAYSPSNDANLAFSVGANSSNNMDIGTSSGLNSAIKAPFLEPTVHHGISSGVSNSLTSMVRAGSIGNQSVIAESDHLQMKFDIQGAPAFYPYSLPEYQNGLSRAIHSSSKPLEIIDNKSFSLVSSTGHSFEYRNAGFPSAGNGSHLPGRHCSWSNSYHPEPPSMMWPNSPSMVNGIYAARPSAQLHGLPTAHTLNTGVPVINYHVGSAPTVNPSLWDRRHAYGGESPKASSFHLGSLGSMRGLQLLHSRSPLYNGRGNIFPITKSAGSPHEHARSRRNEGSINGADKKQYELDIERIIRGEDKRTTLMLKNIPNKYTSKMLLAAIDERSGGTYDFVYLPIDFKNKCNVGYAFINMIEPSQVITFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPVRVNVRTRSGKAARSSNNEENNQESPSNSENEANSSN >KJB12495 pep chromosome:Graimondii2_0_v6:2:1466879:1474569:-1 gene:B456_002G021500 transcript:KJB12495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSETMDFQTFSDDILFPTGRQVGFWKSDPMLEQRACKKPITSTMEKIMPVESQVTRYLEHTESFTKQNREANLSVDSRTVGAERVSNQSLKLLKPVSQGLGTTLSFGGENGFHSDEGNKVNTVTSLSENSLFSSSFSEFFTRKLRLSSNNALYGNSIDTVASDYEEEEPLESLEELEAQTIGNLLPDDDDLFSGVTEGLDSIVQPNGTEDAEESDVFSSIGGMDLGDDGPGVRKNYEFPEKSRPGLLNGSVAGEHPSRTLFVRNINSNVEDSELKAVFELYGEIRALDSACKHRGFVMISYFDIRAAQKAIEALQDRPLRSRKLDIHYSIPKDNPLENEENQGTLVVFNLNSTVSNDEIRCIFGAYGEIKEIYETPKKSQHKFIEFYDVRASEAALHALNKTEIAGNLVEIQLSHPESLRRCSVQQVPSAGKDECYPYEHAYSPSNDANLAFSVGANSSNNMDIGTSSGLNSAIKAPFLEPTVHHGISSGVSNSLTSMVRAGSIGNQSVIAESDHLQMKFDIQGAPAFYPYSLPEYQNGLSRAIHSSSKPLEIIDNKSFSLVSSTGHSFEYRNAGFPSAGNGSHLPGRHCSWSNSYHPEPPSMMWPNSPSMVNGIYAARPSAQLHGLPTAHTLNTGVPVINYHVGSAPTVNPSLWDRRHAYGGESPKASSFHLGSLGLQLLHSRSPLYNGRGNIFPITKSAGSPHEHARSRRNEGSINGADKKQYELDIERIIRGEDKRTTLMLKNIPNKYTSKMLLAAIDERSGGTYDFVYLPIDFKNKCNVGYAFINMIEPSQVITFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPVRVNVRTRSGKAARSSNNEENNQESPSNSENEANSSN >KJB12143 pep chromosome:Graimondii2_0_v6:2:152536:155139:1 gene:B456_002G002900 transcript:KJB12143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSEMNPEQVEEEFSVWKKNTPFLYDLVISHPLEWPSLTVHWVPSSPTPYGPDPTFNVHKLVLGTHTSGGAPDFLMIADAVLPTLASESNIAAKNDDPVIPKVEITQKMRVDGEVNRARCMPQNPVIIGAKTSGSDVFVFDYAKQAAAKEQEGDCVADLRLRGHEKEGYGLSWSPFKEGYLLSGSQDHKICLWDLSSWPQDKVLDATHVYEAHESVVEDVSWHLKNENIFGSSGDDCMLMIWDLRTNQTEQRVKAHDREINYLSFNPYNEWVLATASSDSTVGLFDVRKLTVPLHVLSSHSGEVFQVEWDPNHETVLASSGDDRRLMIWDLNR >KJB12145 pep chromosome:Graimondii2_0_v6:2:152536:155165:1 gene:B456_002G002900 transcript:KJB12145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSEMNPEQVEEEFSVWKKNTPFLYDLVISHPLEWPSLTVHWVPSSPTPYGPDPTFNVHKLVLGTHTSGGAPDFLMIADAVLPTLASESNIAAKNDDPVIPKVEITQKMRVDGEVNRARCMPQNPVIIGAKTSGSDVFVFDYAKQAAAKEQEGDCVADLRLRGHEKEGYGLSWSPFKEGYLLSGSQDHKICLWDLSSWPQDKVLDATHVYEAHESVVEDVSWHLKNENIFGSSGDDCMLMIWDLRTNQTEQRVKAHDREINYLSFNPYNEWVLATASSDSTVGLFDVRKLTVPLHVLSSHSGEVFQVEWDPNHETVLASSGDDRRLMIWDLNRIGEEQLEIELDADDGPPELLFSHGGHKAKISDFSWNKNEPWVISSVAEDNTLQVWQLAESIYRDEDDTQTAEDLP >KJB12144 pep chromosome:Graimondii2_0_v6:2:152536:155139:1 gene:B456_002G002900 transcript:KJB12144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVDGEVNRARCMPQNPVIIGAKTSGSDVFVFDYAKQAAAKEQEGDCVADLRLRGHEKEGYGLSWSPFKEGYLLSGSQDHKICLWDLSSWPQDKVLDATHVYEAHESVVEDVSWHLKNENIFGSSGDDCMLMIWDLRTNQTEQRVKAHDREINYLSFNPYNEWVLATASSDSTVGLFDVRKLTVPLHVLSSHSGEVFQVEWDPNHETVLASSGDDRRLMIWDLNRIGEEQLEIELDADDGPPELLFSHGGHKAKISDFSWNKNEPWVISSVAEDNTLQVWQLAESIYRDEDDTQTAEDLP >KJB16508 pep chromosome:Graimondii2_0_v6:2:59612547:59614346:1 gene:B456_002G235600 transcript:KJB16508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNFSNSPPNLDDGELWLPSDIFVHEPPSKFNPRLHHHHLPFTCMDELAPRFAALSLPKHHQKLPKVTNFQRLKEPVRYGSVHGAGLGQNSYGFRNGPFLAGTKPVYEFQFLKPTQAQVESYVEARVRSLQRQQQNRLFQNRGLPFEANGFNNYKLGLGGGLVRESGGTGVFHPRIVNTPFDSTKKQSKFLFWFLHER >KJB16506 pep chromosome:Graimondii2_0_v6:2:59612406:59614356:1 gene:B456_002G235600 transcript:KJB16506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNFSNSPPNLDDGELWLPSDIFVHEPPSKFNPRLHHHHLPFTCMDELAPRFAALSLPKHHQKLPKVTNFQRLKEPVRYGSVHGAGLGQNSYGFRNGPFLAGTKPVYEFQFLKPTQAQVESYVEARVRSLQRQQQNRLFQNRGLPFEANGFNNYKLGLGGGLVRESGGTGVFHPRIVNTPFDSTKKQSMRNRQPQEMMKSMKRVGVVKQEDCYYNLPPEMGFHRDRTW >KJB16507 pep chromosome:Graimondii2_0_v6:2:59612555:59614198:1 gene:B456_002G235600 transcript:KJB16507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNFSNSPPNLDDGELWLPSDIFVHEPPSKFNPRLHHHHLPFTCMDELAPRFAALSLPKHHQKLPKVTNFQRLKEPVRYGSVHGAGLGQNSYGFRNGPFLAGTKPVYEFQFLKPTQAQVESYVEARVRSLQRQQQNRLFQNRGLPFEANGFNNYKLGLGGGLVRESGGTGVFHPRIVNTPFDSTKKQSKFLFWFLHER >KJB14406 pep chromosome:Graimondii2_0_v6:2:17804172:17806211:1 gene:B456_002G123500 transcript:KJB14406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRINGSPNGNVKTLGSAGTIFEAEDSDILLRIMERPRAINVSFEERLSNELLSNHFECLSPHGRRSGFNSPRSYTSFEAHAMVGEAWENMKRSIVYYRRQPVGTVAAMDHSVEELNYDQVFVRDFVPSALAFLMNGEEEVVKNFLLKTLHLQSWEKMIDQFKLGEGVMPASFKVIHNPVRNREILIADFGESAIGRVAPVDSGFWWIILLRAYTRHTGDSSLAEMPECQRGMRLILTLCLSEAFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDQEGKEFIERIVKRLHALSFHMRNYFWLDLKQLNDIYRYKTEEYSHTAVNKFNVMPDSLADWVFDFMPTRGGYFIGNVSPARMDFRWFCLGNCVAILSSLATPEQASAIMDLIESRWDELVGEMPLKICYPALESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAENRLSKDHWPEYYDGKTGRYIGKQARKFQTWSISGYLVAKMMLEDPSHLGMISLEEDKQMKPLMKRSTSWTC >KJB14643 pep chromosome:Graimondii2_0_v6:2:22393903:22395321:-1 gene:B456_002G135600 transcript:KJB14643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFACFDGGNREQRKEQDRLASAEARAKAAEAAQRRQEQFEKSAAGRAARAQLQAAAKQSTNSNKGEPALKWQMG >KJB14647 pep chromosome:Graimondii2_0_v6:2:22390506:22395507:-1 gene:B456_002G135600 transcript:KJB14647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFACFDGGNREQRKEQDRLASAEARAKAAEAAQRRQEQFEKSAAGRAARAQLQAAAKQSTNSNKVADGLKLKLC >KJB14646 pep chromosome:Graimondii2_0_v6:2:22390506:22395574:-1 gene:B456_002G135600 transcript:KJB14646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFACFDGGNREQRKEQDRLASAEARAKAAEAAQRRQEQFEKSAAGRAARAQLQAAAKQSTNSNKVADGLKLKLC >KJB14644 pep chromosome:Graimondii2_0_v6:2:22393903:22395321:-1 gene:B456_002G135600 transcript:KJB14644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFACFDGGNREQRKEQDRLASAEARAKAAEAAQRRQEQFEKSAAGRAARAQLQAAAKQSTNSNKGEPALKWQMG >KJB14648 pep chromosome:Graimondii2_0_v6:2:22393671:22395507:-1 gene:B456_002G135600 transcript:KJB14648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFACFDGGNREQRKEQDRLASAEARAKAAEAAQRRRSSQSKIDPFIDENWQEQFEKSAAGRAARAQLQAAAKQSTNSNKVADGLKLKLC >KJB14649 pep chromosome:Graimondii2_0_v6:2:22390506:22395351:-1 gene:B456_002G135600 transcript:KJB14649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFACFDGGNREQRKEQDRLASAEARAKAAEAAQRRQEQFEKSAAGRAARAQLQAAAKQSTNSNKVADGLKLKLC >KJB14645 pep chromosome:Graimondii2_0_v6:2:22393903:22395321:-1 gene:B456_002G135600 transcript:KJB14645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFACFDGGNREQRKEQDRLASAEARAKAAEAAQRRQEQFEKSAAGRAARAQLQAAAKQSTNSNKGEPALKWQMG >KJB13575 pep chromosome:Graimondii2_0_v6:2:10374360:10379705:-1 gene:B456_002G082200 transcript:KJB13575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSGDGQGQSRTQSGGVVSRSARSSFRAISSYLRIVSSGASTVARSAVSVASSIVDREDDSGYDQVHWAGFDKLEGEGDVIRQVLLLGYLSGFQVWDVEEADNVRDLVSKCDGPVSFMQMLPKPAPAMRPDDKFADSRPLLVVSADGSLSRDAHIQDGAYNGSIPNNHDSGNGSVVPTIVRFYSLRSQSYVHLLKFRSAVYSVRCSSRIVAVGQAAQIHCFGAAKLEREYTILTNPIVSGFPGSGGIGYGPLAVGPRWLAYSGSPVPGSDCGRVSPQHLTPSASFPGFSSNGSLVAHYAKESSKQLASGIVTLGDMGYKKLSRYLPDSYNYLQSGGPGWKGNGAVNGHLVDVDNVGMVIVRDIASKVVIAQFRAHKHPISALCFDPSGTLLVTASVQGHSINVFKIMPGLQGSSSACNAPASYAHLYRLQRGITNAVIQDISFSDDSNWIMISSSRGTSHLFAINPMGGSVSSQSGDADFAHKNSSLGVMTKPQVPWLPNLGVQTPTQTSLCASGPPVTLSVVSRIKNGNNGWRGTVSGAAAAATGRTSPLSGAIASSFHNCKSNKFLFSESSSSKAKYHLLVFSPSGCLIQYALRLAAEHDSTAVVTGLNTTHESTADSDGRLVVDAIQKWNICQKHTRREREDNVDIYGENGTTDNGKVYPEEVKEGSTYLDPSYTVKKANANAEEKHNLYISEAELQMHEARMPLWAKPEIYFQSMVMDGIKMAEGNAFGGEIEIENFSAQTIEARSKNLVPVFDYVQTPKFQQTRGLSCLKMAGFHVEAPLVLLTS >KJB13576 pep chromosome:Graimondii2_0_v6:2:10374362:10379705:-1 gene:B456_002G082200 transcript:KJB13576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSGDGQGQSRTQSGGVVSRSARSSFRAISSYLRIVSSGASTVARSAVSVASSIVDREDDSGYDQVHWAGFDKLEGEGDVIRQVLLLGYLSGFQVWDVEEADNVRDLVSKCDGPVSFMQMLPKPAPAMRPDDKFADSRPLLVVSADGSLSRDAHIQDGAYNGSIPNNHDSGNGSVVPTIVRFYSLRSQSYVHLLKFRSAVYSVRCSSRIVAVGQAAQIHCFGAAKLEREYTILTNPIVSGFPGSGGIGYGPLAVGPRWLAYSGSPVPGSDCGRVSPQHLTPSASFPGFSSNGSLVAHYAKESSKQLASGIVTLGDMGYKKLSRYLPDSYNYLQSGGPGWKGNGAVNGHLVDVDNVGMVIVRDIASKVVIAQFRAHKHPISALCFDPSGTLLVTASVQGHSINVFKIMPGLQGSSSACNAPASYAHLYRLQRGITNAVIQDISFSDDSNWIMISSSRGTSHLFAINPMGGSVSSQSGDADFAHKNSSLGVMTKPQVPWLPNLGVQTPTQTSLCASGPPVTLSVVSRIKNGNNGWRGTVSGAAAAATGRTSPLSGAIASSFHNCKSNKFLFSESSSSKAKYHLLVFSPSGCLIQYALRLAAEHDSTAVVTGLNTTHESTADSDGRLVVDAIQKWNICQKHTRREREDNVDIYGENGTTDNGKVYPEEVKEGSTYLDPSYTVKKANANAEEKHNLYISEAELQMHEARMPLWAKPEIYFQSMVMDGIKMAEGNAFGGEIEIENFSAQTIEARSKNLVPVFDYVQTPKFQQTSIPTVYSNNNGCLLHQRSELPEDGRLSCRSTSGSLDFMTENGAAVAELHTGIKETGLNGTNMPL >KJB13574 pep chromosome:Graimondii2_0_v6:2:10375099:10379016:-1 gene:B456_002G082200 transcript:KJB13574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSGDGQGQSRTQSGGVVSRSARSSFRAISSYLRIVSSGASTVARSAVSVASSIVDREDDSGYDQVHWAGFDKLEGEGDVIRQVLLLGYLSGFQVWDVEEADNVRDLVSKCDGPVSFMQMLPKPAPAMRPDDKFADSRPLLVVSADGSLSRDAHIQDGAYNGSIPNNHDSGNGSVVPTIVRFYSLRSQSYVHLLKFRSAVYSVRCSSRIVAVGQAAQIHCFGAAKLEREYTILTNPIVSGFPGSGGIGYGPLAVGPRWLAYSGSPVPGSDCGRVSPQHLTPSASFPGFSSNGSLVAHYAKESSKQLASGIVTLGDMGYKKLSRYLPDSYNYLQSGGPGWKGNGAVNGHLVDVDNVGMVIVRDIASKVVIAQFRAHKHPISALCFDPSGTLLVTASVQGHSINVFKIMPGLQGSSSACNAPASYAHLYRLQRGITNAVIQDISFSDDSNWIMISSSRGTSHLFAINPMGGSVSSQSGDADFAHKNSSLGVMTKPQVPWLPNLGVQTPTQTSLCASGPPVTLSVVSRIKNGNNGWRGTVSGAAAAATGRTSPLSGAIASSFHNCKSNKFLFSESSSSKAKYHLLVFSPSGCLIQYALRLAAEHDSTAVVTGLNTTHESTADSDGRLVVDAIQKWNICQKHTRREREDNVDIYGENGTTDNGKVYPEEVKEGSTYLDPSYTVKKANANAEEKHNLYISEAELQMHEARMPLWAKPEIYFQSMVMDGIKMAEGNAFGGEIEIENFSAQTIEARSKNLVPVFDYVQTPKFQQTSNNNGCLLHQRSELPEDGRLSCRSTSGSLDFMTENGAAVAELHTGIKETGLNGTNMPL >KJB12155 pep chromosome:Graimondii2_0_v6:2:195345:196248:-1 gene:B456_002G003500 transcript:KJB12155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESRVTGKVKWFSDQRGFGFITPDGSGEELFVHQSSIRSDGFRSLADGEEVEFIIDSSEGGRTKAVDVTGPNGNPVRGTTRSGRCGGGGGSGGRGRRGGYGGGGGGCFKCGEIGHMARDCGQGCGGGGVCLVTTVEAPGILQETVQTVIVKSILCSLLSKQLRLFVFC >KJB16289 pep chromosome:Graimondii2_0_v6:2:57658867:57678832:1 gene:B456_002G221900 transcript:KJB16289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESTLQLISGIGAKLGQLARPNKDVLVKSLRQVVSALSQIEQPSVVEVAAKAQVLRKLEAATKPLRNSIVKHGLPNHTDKDVRLLGAICVSEFFRILAPQPPFADKHLRDIFKLIISIFSELADTTSAFFSRRVKILETVARCKCCVIMLDIGCDDLILEMFKTFFSVVRDHHQQSLINDVLSIMTHILNEEVSHLLMDVILGNLIQESKDATSAASQLAASVIQSCAEKLQPFVCGFLTSCSLDRDSVGSKLKEFYHEIVLKIFQCAPEMLNAIIPSLTQELMTDQVDVRIKAVNLIGKLLLRPEYRVAQRYHALYVEFLKRFADKSSEVRVTALQCAKACCLANPSGIESLELLPAIKDRLLDFDDKVRMQAVIVACDIARSNLKYTSHEFVSEFTERLRDKKISVRKKTLQKVMEVYRDYCNKCAEGHITICDRFEQIPCKVLMLCYDKDCKEFRSQNIELVIVEDLFPILLPVEERTRHWIHLFSLFSPSHVKALSAILSQKKRLQTEMRNYLVLRRKEKEINSEDMQKKLRSSFVKMSASFPDPSKAEECFDKLSQMKDNKIFSSLGQLLDEVTLTSAMAIRDKLLKVIGNKHPHYEFLQLLCSKCLFNIFDSEHVSCILNLISSGGLESYHLEAFSIELLLVIISNFPSLMRGSELELCLLFEEKYLIHDKIIQVLVKAGPHISVKFSDFYPVLKKICLEGTRPQSKYAVSAIASLIDVSEPYVFSELCEELVNSLHHGRNTATVLQSLGCIAQYSVSTFENHDKEITQYVYKKILQAKSLDDPSVIEDSSGCTTCKLKIYGLKMLVKSFLPHRGSQISRPINSLLGTLLKMLQKEDVLDDIISCAGDRDYIRLAAAKSVLQLSRRWDLHISPDIFCPTILMGKDDSSSVRLSFLDKTYKLLKERVIPIRYACAFTLATADGFKDRQHSFKYMVEFIKEYNREAQKRRTSMVQGGSIVDYPAYLVVFLIHLLAHDEGFPPEGCQDEAIYAQFCGPLLFFLHTSISSNNVDDDMDIVNVAAFYLYYIFRAIKRAKDAVDLQRTPRLHFLADVGISGVNSFYQKGISSLPRPEKILLPSSLYKITPMKNEEANWKSFTHTFVERVVHIFKSQISLPVGSVRKRGRKCHEDDSFILVSHKHEDFSTRGADQVHKKSTRQGVNLGCRGRCAVSPDALGSVGSHNKDSNEQEYGASNSSESALEKGRSFSSHSFTQKPSQMESKVSTHKVERCSTSDGNVAADKNHTAGASNNCRESGTRNEVLIGQRIKVWSTFDSCFYSGTVDDFNPENNTHKITCDSGEVEILCLDSESWETISNCSLMEREVQPSDKQNTLHLRQCVKDTVDKLRSDDRQECKTKLNMEDCKVPCREKKKKERSKNSSSVSKVMNIDEDAVARRTRSRKV >KJB16288 pep chromosome:Graimondii2_0_v6:2:57658867:57678832:1 gene:B456_002G221900 transcript:KJB16288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESTLQLISGIGAKLGQLARPNKDVLVKSLRQVVSALSQIEQPSVVEVAAKAQVLRKLEAATKPLRNSIVKHGLPNHTDKDVRLLGAICVSEFFRILAPQPPFADKHLRDIFKLIISIFSELADTTSAFFSRRVKILETVARCKCCVIMLDIGCDDLILEMFKTFFSVVRDHHQQSLINDVLSIMTHILNEEVSHLLMDVILGNLIQESKDATSAASQLAASVIQSCAEKLQPFVCGFLTSCSLDRDSVGSKLKEFYHEIVLKIFQCAPEMLNAIIPSLTQELMTDQVDVRIKAVNLIGKLLLRPEYRVAQRYHALYVEFLKRFADKSSEVRVTALQCAKACCLANPSGIESLELLPAIKDRLLDFDDKVRMQAVIVACDIARSNLKYTSHEFVSEFTERLRDKKISVRKKTLQKVMEVYRDYCNKCAEGHITICDRFEQIPCKVLMLCYDKDCKEFRSQNIELVIVEDLFPILLPVEERTRHWIHLFSLFSPSHVKALSAILSQKKRLQTEMRNYLVLRRKEKEINSEDMQKKLRSSFVKMSASFPDPSKAEECFDKLSQMKDNKIFSSLGQLLDEVTLTSAMAIRDKLLKVIGNKHPHYEFLQLLCSKCLFNIFDSEHVSCILNLISSGGLESYHLEAFSIELLLVIISNFPSLMRGSELELCLLFEEKYLIHDKIIQVLVKAGPHISVKFSDFYPVLKKICLEGTRPQSKYAVSAIASLIDVSEPYVFSELCEELVNSLHHGRNTATVLQSLGCIAQYSVSTFENHDKEITQYVYKKILQAKSLDDPSVIEDSSGCTTCKLKIYGLKMLVKSFLPHRGSQISRPINSLLGTLLKMLQKEDVLDDIISCAGDRDYIRLAAAKSVLQLSRRWDLHISPDIFCPTILMGKDDSSSVRLSFLDKTYKLLKERVIPIRYACAFTLATADGFKDRQHSFKYMVEFIKEYNREAQKRRTSMVQGGSIVDYPAYLVVFLIHLLAHDEGFPPEGCQDEAIYAQFCGPLLFFLHTSISSNNVDDDMDIVNVAAFYLYYIFRAIKRAKDAVDLQRTPRLHFLADVGISGVNSFYQKGISSLPRPEKILLPSSLYKITPMKNEEANWKSFTHTFVERVVHIFKSQISLPVGSVRKRGRKCHEDDSFILVSHKHEDFSTRGADQVHKKSTRQGVNLGCRGRCAVSPDALGSVGSHNKDSNEQEYGASNSSESALEKGRSFSSHSFTQKPSQMESKVSTHKVERCSTSDGNVAADKNHTAGASNNCRESGTRNEVLIGQRIKVWSTFDSCFYSGTVDDFNPENNTHKITCDSGEVEILCLDSESWETISNCSLMERRRKRKRDPKIHHQYQKL >KJB15674 pep chromosome:Graimondii2_0_v6:2:51287396:51290911:1 gene:B456_002G190400 transcript:KJB15674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGPTASTPPSSPSTGVSSFTTNSHKGFGHTTSSHSSASHSSGFKTPGSSTSTSSSMANGPTTSGHTPSPSESTISSTNSGSSVGIGSSTSSHSTTSNESGSKTSGFSTNTDSSENNGHKSFSSTLSPSTGSSLNTSSSTPFGSSSSPSTSIGSPESNSHMASNHSNSDYSKHTGSSTTSGHSSTGNSFGSKTSSSSTNIGSATTDGHTATGSTLSASSGSSSHKTNSHMTSSHTKSSSSTHTGSSSHTGSLTTSGSKTSGSSTNTGSATTDGSATTGSILSHSSGSSSHKTNSHMSSGHTKSGSSTHTGSSKHTGSFTTSGSKTSGSSTSTGSTTTNGHATTGSATIDGHETTSSISSHSSGSSSHKTNSHSTSAPTKSCSCTCTPTDSSNHTGSATTDGHTTTGSISSHSSGSSSHKTNSHTTSAHTKSGSSTHTGSSKHTGSFKTSGSKISGSSTNTGSATTGGHTTTGSISSHSSGSTSHKSNSHTTSTHTKSGSSTHTGSSKHTGSFTTSGHSSTSSDFGSKTSGSSKSTSSSKNNDHVTSGSTSSPSIDYSSPMTSSTTTSGHTNSESSTSNNFGSKTSGSFRGTNSYDAPGSTNLGISTSSDISMGSGSSKSSSLENQFSGTFSKVFAFGDSYTDTGNAQSLGILKDFASAFLSSFFQTIDSNLHFEGRSSNGRLVIDFLCDSLNISLLPPFEVASKNSSINEDCGVNFAVGGSTSLSGDFFTNHKITNNLLWQGTPLGFQTQIEWFNQFVTKKACNGETVEQCKEQMGNNLVWLGQMGADDFARVIGSSISLRWLTDITLGQISKILTTVLDSGARFIVVQGLPPLGCWPLAKLLTPHFAKDEMGCSAVINKAIMAHNDLLQKTLEEFRRNYPNATIAYADYFNAFKTVMGNLTEFGFSDGSGACCGVGGGLNFNLNNLCGMDGTNTCSNPNAYIHWDGIHLTEAMNKQIARLFLLEGFCQPSFVDLIKRHQSLLQPSLQ >KJB14715 pep chromosome:Graimondii2_0_v6:2:23968100:23970713:1 gene:B456_002G139300 transcript:KJB14715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRSSSLQTPFFFSQSTVLSNKSVSNPRTISPLMHRAKSRFEPLRCTTSHSSETEAKPKETKLWGGRFEDSVTDIVEKFTESISFDKQLYKHDIMGSKAHASMLAEQGLISFSDRDSILKGLDEIERRIEAGEFVWRTDREDVHMNIEAALTDLVGEPAKKLHTARSRNDQVLTDFRLWCRDAIDRIISSIRHLQVALVKLALNNEGLIIPGYTHLQRAQPVLLQHLLLAYVEQLDRDAGRLLDCRARLNFCPLGACALAGTGLPIDRFMTSEALGFTAPMRNSIDAVSDRDFVLEFLSANSIIAVHLSRIGEEWVLWASEEFGFITPSDSVSTGSSIMPQKKNPDPMELVRGKSARVIGDLVTLLTLCKGLPLAYNRDLQEDKEPTFDSVKTITGMLEVSAEFAQNITFNDKRIQKALPAGYLDATTLADYLVKKARYFVFLNFSVFFKILSP >KJB14713 pep chromosome:Graimondii2_0_v6:2:23967992:23971419:1 gene:B456_002G139300 transcript:KJB14713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRSSSLQTPFFFSQSTVLSNKSVSNPRTISPLMHRAKSRFEPLRCTTSHSSETEAKPKETKLWGGRFEDSVTDIVEKFTESISFDKQLYKHDIMGSKAHASMLAEQGLISFSDRDSILKGLDEIERRIEAGEFVWRTDREDVHMNIEAALTDLVGEPAKKLHTARSRNDQVLTDFRLWCRDAIDRIISSIRHLQVALVKLALNNEGLIIPGYTHLQRAQPVLLQHLLLAYVEQLDRDAGRLLDCRARLNFCPLGACALAGTGLPIDRFMTSEALGFTAPMRNSIDAVSDRDFVLEFLSANSIIAVHLSRIGEEWVLWASEEFGFITPSDSVSTGSSIMPQKKNPDPMELVRGKSARVIGDLVTLLTLCKGLPLAYNRDLQEDKEPTFDSVKTITGMLEVSAEFAQNITFNDKRIQKALPAGYLDATTLADYLVKKGIPFRTSHDIVGRSVALCVSKNCQLQDLSLEDMRNLSPVFDNDVYEFLGVENAVKKFSSYGSTGFACVADQLDDWAAKLEINKSTCH >KJB14714 pep chromosome:Graimondii2_0_v6:2:23967995:23971330:1 gene:B456_002G139300 transcript:KJB14714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRSSSLQTPFFFSQSTVLSNKSVSNPRTISPLMHRAKSRFEPLRCTTSHSSETEAKPKETKLWGGRFEDSVTDIVEKFTESISFDKQLYKHDIMGSKAHASMLAEQGLISFSDRDSILKGLDEIERRIEAGEFVWRTDREDVHMNIEAALTDLVGEPAKKLHTARSRNDQVLTDFRLWCRDAIDRIISSIRHLQVALVKLALNNEGLIIPGYTHLQRAQPVLLQHLLLAYVEQLDRDAGRLLDCRARLNFCPLGACALAGTGLPIDRFMTSEALGFTAPMRNSIDAVSDRDFVLEFLSANSIIAVHLSRIGEEWVLWASEEFGFITPSDSVSTGSSIMPQKKNPDPMELVRGKSARVIGDLVTLLTLCKGLPLAYNRDLQIRNPRLTASRPSQACLKYRQSLLRILPSMIKEYRRLCLQGIPFRTSHDIVGRSVALCVSKNCQLQDLSLEDMRNLSPVFDNDVYEFLGVENAVKKFSSYGSTGFACVADQLDDWAAKLEINKSTCH >KJB16287 pep chromosome:Graimondii2_0_v6:2:57656327:57657800:1 gene:B456_002G221800 transcript:KJB16287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNARNEWANGLGKEKLPNTNHSPRPNWPPPLPPQTISPWFTITFSLALSRTLNNHHQPKLLSTVRTIPPLTITSPLKKTPLRL >KJB11925 pep chromosome:Graimondii2_0_v6:2:26608343:26610392:1 gene:B456_002G145400 transcript:KJB11925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTVVLKVGMSCEGCVGAVKRVLGKMEGVESYEVDLKEQKVTVKGQVQPDAVLQTVSKTGKKTTFWDA >KJB12571 pep chromosome:Graimondii2_0_v6:2:1794973:1809354:1 gene:B456_002G024900 transcript:KJB12571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSDKKIGLHSVWGSPLYILVYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLYDGFIESDTLIIKAQVQVVREKVDRPFRCLDRQYRRELVRVYLTNVEQICFRFLDDRRNKLGSLIEDKATWSSFCAFWFGIDQSTRCRMSREKTDMILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEVQSKGNKTKSKLLDAEEMPAPIVRVEKDMFVLVDDVLVLLERAAALEPLPPKDEKGPQNRSKDGNSGEYFNKDSIERDERRLTELGRRTVEIFILSHIFSNKIEVAYQEAVAWKRQEELIREEAAWLAESEQKAKRGASEKEKKSKKKQAKQKRNNRKSKDKGREEKAFVAAKEKHQEDNRDNETDASMMVEVQLVPEKSYLPDDVSDVSEAVDCGIEALQPDSEDRCASPVGWDTDTSEVHPPTEASSSGISSLSCMQNEVADKRSPSTMDDSSSTCSTDSVPSVVTNGSYKGNLFSNNQNKKSPSRGKNQLSKTSSDDGSWITETDNQPPSPALDGGDCNDASESSKAGESESEAALSSSLDQTTWVDQDALKKEVVLLQKKPSTHDPVDLERPKGKTVAIPSSPRNLRPTAKFGSDYSIAVSVGSVLVRKASSNDLRQSDQPASSKTSIQMTSIPKSEIQKAATSKPAENPVTPQVPVMSSPSSAPQISSLRPTAPVVSMVQTTAVLSRSVSAAGCLGPNTTPATSYVPQSYRNALGNHVAPGSSHPNFSSSVAYSQPPASVPAPVYIPQSSERTDPNSVQPGFPFGMVTRDSLPNAPGWIESSQRDATTSCTTGRQTQGVFADEFPHLDIINDLLDEEHNVGRVARSATRFHSLGLLNRHVSSPSNLGMLGETVSSCGFEQAWSYWNNGFQQGYRNSLGNHCDTSREYIPQPSPLPYANGLTDGLTPTHWPMASLGMRNADAVNYPYYGREYSNLVCGVNGYSVFRPSDGL >KJB12575 pep chromosome:Graimondii2_0_v6:2:1796874:1809354:1 gene:B456_002G024900 transcript:KJB12575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREKTDMILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEVQSKGNKTKSKLLDAEEMPAPIVRVEKDMFVLVDDVLVLLERAAALEPLPPKDEKGPQNRSKDGNSGEYFNKDSIERDERRLTELGRRTVEIFILSHIFSNKIEVAYQEAVAWKRQEELIREEAAWLAESEQKAKRGASEKEKKSKKKQAKQKRNNRKSKDKGREEKAFVAAKEKHQEDNRDNETDASMMVEVQLVPEKSYLPDDVSDVSEAVDCGIEALQPDSEDRCASPVGWDTDTSEVHPPTEASSSGISSLSCMQNEVADKRSPSTMDDSSSTCSTDSVPSVVTNGSYKGNLFSNNQNKKSPSRGKNQLSKTSSDDGSWITETDNQPPSPALDGGDCNDASESSKAGESESEAALSSSLDQTTWVDQDALKKEVVLLQKKPSTHDPVDLERPKGKTVAIPSSPRNLRPTAKFGSDYSIAVSVGSVLVRKASSNDLRQSDQPASSKTSIQMTSIPKSEIQKAATSKPAENPVTPQVPVMSSPSSAPQISSLRPTAPVVSMVQTTAVLSRSVSAAGCLGPNTTPATSYVPQSYRNALGNHVAPGSSHPNFSSSVAYSQPPASVPAPVYIPQSSERTDPNSVQPGFPFGMVTRDSLPNAPGWIESSQRDATTSCTTGRQTQGVFADEFPHLDIINDLLDEEHNVGRVARSATRFHSLGLLNRHVSSPSNLGMLGETVSSCGFEQAWSYWNNGFQQGYRNSLGNHCDTSREYIPQPSPLPYANGLTDGLTPTHWPMASLGMRNADAVNYPYYGREYSNLVCGVNGYSVFRPSDGL >KJB12574 pep chromosome:Graimondii2_0_v6:2:1796238:1809354:1 gene:B456_002G024900 transcript:KJB12574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREKTDMILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEVQSKGNKTKSKLLDAEEMPAPIVRVEKDMFVLVDDVLVLLERAAALEPLPPKDEKGPQNRSKDGNSGEYFNKDSIERDERRLTELGRRTVEIFILSHIFSNKIEVAYQEAVAWKRQEELIREEAAWLAESEQKAKRGASEKEKKSKKKQAKQKRNNRKSKDKGREEKAFVAAKEKHQEDNRDNETDASMMVEVQLVPEKSYLPDDVSDVSEAVDCGIEALQPDSEDRCASPVGWDTDTSEVHPPTEASSSGISSLSCMQNEVADKRSPSTMDDSSSTCSTDSVPSVVTNGSYKGNLFSNNQNKKSPSRGKNQLSKTSSDDGSWITETDNQPPSPALDGGDCNDASESSKAGESESEAALSSSLDQTTWVDQDALKKEVVLLQKKPSTHDPVDLERPKGKTVAIPSSPRNLRPTAKFGSDYSIAVSVGSVLVRKASSNDLRQSDQPASSKTSIQMTSIPKSEIQKAATSKPAENPVTPQVPVMSSPSSAPQISSLRPTAPVVSMVQTTAVLSRSVSAAGCLGPNTTPATSYVPQSYRNALGNHVAPGSSHPNFSSSVAYSQPPASVPAPVYIPQSSERTDPNSVQPGFPFGMVTRDSLPNAPGWIESSQRDATTSCTTGRQTQGVFADEFPHLDIINDLLDEEHNVGRVARSATRFHSLGLLNRHVSSPSNLGMLGETVSSCGFEQAWSYWNNGFQQGYRNSLGNHCDTSREYIPQPSPLPYANGLTDGLTPTHWPMASLGMRNADAVNYPYYGREYSNLVCGVNGYSVFRPSDGL >KJB12576 pep chromosome:Graimondii2_0_v6:2:1801382:1809354:1 gene:B456_002G024900 transcript:KJB12576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGIVSEGSGGDRSVEGISNGQCFQSGEALAEWRSSEQVENGTPSTSPPYWDSDDDYDSGLKPSELYGKYTWKIEKFSQINKRELRSNAFEIGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLYDGFIESDTLIIKAQVQVVREKVDRPFRCLDRQYRRELVRVYLTNVEQICFRFLDDRRNKLGSLIEDKATWSSFCAFWFGIDQSTRCRMSREKTDMILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEVQSKGNKTKSKLLDAEEMPAPIVRVEKDMFVLVDDVLVLLERAAALEPLPPKDEKGPQNRSKDGNSGEYFNKDSIERDERRLTELGRRTVEIFILSHIFSNKIEVAYQEAVAWKRQEELIREEAAWLAESEQKAKRGASEKEKKSKKKQAKQKRNNRKSKDKGREEKAFVAAKEKHQEDNRDNETDASMMVEVQLVPEKSYLPDDVSDVSEAVDCGIEALQPDSEDRCASPVGWDTDTSEVHPPTEASSSGISSLSCMQNEVADKRSPSTMDDSSSTCSTDSVPSVVTNGSYKGNLFSNNQNKKSPSRGKNQLSKTSSDDGSWITETDNQPPSPALDGGDCNDASESSKAGESESEAALSSSLDQTTWVDQDALKKEVVLLQKKPSTHDPVDLERPKGKTVAIPSSPRNLRPTAKFGSDYSIAVSVGSVLVRKASSNDLRQSDQPASSKTSIQMTSIPKSEIQKAATSKPAENPVTPQVPVMSSPSSAPQISSLRPTAPVVSMVQTTAVLSRSVSAAGCLGPNTTPATSYVPQSYRNALGNHVAPGSSHPNFSSSVAYSQPPASVPAPVYIPQSSERTDPNSVQPGFPFGMVTRDSLPNAPGWIESSQRDATTSCTTGRQTQGVFADEFPHLDIINDLLDEEHNVGRVASILMRV >KJB12578 pep chromosome:Graimondii2_0_v6:2:1801483:1809354:1 gene:B456_002G024900 transcript:KJB12578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGIVSEGSGGDRSVEGISNGQCFQSGEALAEWRSSEQVENGTPSTSPPYWDSDDDYDSGLKPSELYGKYTWKIEKFSQINKRELRSNAFEIGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLYDGFIESDTLIIKAQVQVVREKVDRPFRCLDRQYRRELVRVYLTNVEQICFRFLDDRRNKLGSLIEDKATWSSFCAFWFGIDQSTRCRMSREKTDMILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEVQSKGNKTKSKLLDAEEMPAPIVRVEKDMFVLVDDVLVLLERAAALEPLPPKDEKGPQNRSKDGNSGEYFNKDSIERDERRLTELGRRTVEIFILSHIFSNKIEVAYQEAVAWKRQEELIREEAAWLAESEQKAKRGASEKEKKSKKKQAKQKRNNRKSKDKGREEKAFVAAKEKHQEDNRDNETDASMMVEVQLVPEKSYLPDDVSDVSEAVDCGIEALQPDSEDRCASPVGWDTDTSEVHPPTEASSSGISSLSCMQNEVADKRSPSTMDDSSSTCSTDSVPSVVTNGSYKGNLFSNNQNKKSPSRGKNQLSKTSSDDGSWITETDNQPPSPALDGGDCNDASESSKAGESESEAALSSSLDQTTWVDQDALKKEVVLLQKKPSTHDPVDLERPKGKTVAIPSSPRNLRPTAKFGSDYSIAVSVGSVLVRKASSNDLRQSDQPASSKTSIQMTSIPKSEIQKAATSKPAENPVTPQVPVMSSPSSAPQISSLRPTAPVVSMVQTTAVLSRSVSAAGCLGPNTTPATSYVPQSYRNALGNHVAPGSSHPNFSSSVAYSQPPASVPAPVYIPQSSERTDPNSVQPGFPFGMVTRDSLPNAPGWIESSQRDATTSCTTGRQTQGVFADEFPHLDIINDLLDEEHNVGRVARSATRFHSLGLLNRHVSSPSNLGMLGETVSSCGFEQAWSYWNNGFQQGYRNSLGNHCDTSREYIPQPSPLPYANGLTDGLTPTHWPMASLGMRNADAVNYPYYGREYSNLVCGVNGYSVFRPSDGL >KJB12581 pep chromosome:Graimondii2_0_v6:2:1801596:1809354:1 gene:B456_002G024900 transcript:KJB12581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGIVSEGSGGDRSVEGISNGQCFQSGEALAEWRSSEQVENGTPSTSPPYWDSDDDYDSGLKPSELYGKYTWKIEKFSQINKRELRSNAFEIGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLYDGFIESDTLIIKAQVQVVREKVDRPFRCLDRQYRRELVRVYLTNVEQICFRFLDDRRNKLGSLIEDKATWSSFCAFWFGIDQSTRCRMSREKTDMILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEVQSKGNKTKSKLLDAEEMPAPIVRVEKDMFVLVDDVLVLLERAAALEPLPPKDEKGPQNRSKDGNSGEYFNKDSIERDERRLTELGRRTVEIFILSHIFSNKIEVAYQEAVAWKRQEELIREEAAWLAESEQKAKRGASEKEKKSKKKQAKQKRNNRKSKDKGREEKAFVAAKEKHQEDNRDNETDASMMVEVQLVPEKSYLPDDVSDVSEAVDCGIEALQPDSEDRCASPVGWDTDTSEVHPPTEASSSGISSLSCMQNEVADKRSPSTMDDSSSTCSTDSVPSVVTNGSYKGNLFSNNQNKKSPSRGKNQLSKTSSDDGSWITETDNQPPSPALDGGDCNDASESSKAGESESEAALSSSLDQTTWVDQDALKKEVVLLQKKPSTHDPVDLERPKGKTVAIPSSPRNLRPTAKFGSDYSIAVSVGSVLVRKASSNDLRQSDQPASSKTSIQMTSIPKSEIQKAATSKPAENPVTPQVPVMSSPSSAPQISSLRPTAPVVSMVQTTAVLSRSVSAAGCLGPNTTPATSYVPQSYRNALGNHVAPGSSHPNFSSSVAYSQPPASVPAPVYIPQSSERTDPNSVQPGFPFGMVTRDSLPNAPGWIESSQRDATTSCTTGRQTQGVFADEFPHLDIINDLLDEEHNVGRVASILMRV >KJB12572 pep chromosome:Graimondii2_0_v6:2:1795837:1809354:1 gene:B456_002G024900 transcript:KJB12572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKLYDGFIESDTLIIKAQVQVVREKVDRPFRCLDRQYRRELVRVYLTNVEQICFRFLDDRRNKLGSLIEDKATWSSFCAFWFGIDQSTRCRMSREKTDMILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEVQSKGNKTKSKLLDAEEMPAPIVRVEKDMFVLVDDVLVLLERAAALEPLPPKDEKGPQNRSKDGNSGEYFNKDSIERDERRLTELGRRTVEIFILSHIFSNKIEVAYQEAVAWKRQEELIREEAAWLAESEQKAKRGASEKEKKSKKKQAKQKRNNRKSKDKGREEKAFVAAKEKHQEDNRDNETDASMMVEVQLVPEKSYLPDDVSDVSEAVDCGIEALQPDSEDRCASPVGWDTDTSEVHPPTEASSSGISSLSCMQNEVADKRSPSTMDDSSSTCSTDSVPSVVTNGSYKGNLFSNNQNKKSPSRGKNQLSKTSSDDGSWITETDNQPPSPALDGGDCNDASESSKAGESESEAALSSSLDQTTWVDQDALKKEVVLLQKKPSTHDPVDLERPKGKTVAIPSSPRNLRPTAKFGSDYSIAVSVGSVLVRKASSNDLRQSDQPASSKTSIQMTSIPKSEIQKAATSKPAENPVTPQVPVMSSPSSAPQISSLRPTAPVVSMVQTTAVLSRSVSAAGCLGPNTTPATSYVPQSYRNALGNHVAPGSSHPNFSSSVAYSQPPASVPAPVYIPQSSERTDPNSVQPGFPFGMVTRDSLPNAPGWIESSQRDATTSCTTGRQTQGVFADEFPHLDIINDLLDEEHNVGRVASILMRV >KJB12577 pep chromosome:Graimondii2_0_v6:2:1801483:1809354:1 gene:B456_002G024900 transcript:KJB12577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGIVSEGSGGDRSVEGISNGQCFQSGEALAEWRSSEQVENGTPSTSPPYWDSDDDYDSGLKPSELYGKYTWKIEKFSQINKRELRSNAFEIGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLYDGFIESDTLIIKAQVQVVREKVDRPFRCLDRQYRRELVRVYLTNVEQICFRFLDDRRNKLGSLIEDKATWSSFCAFWFGIDQSTRCRMSREKTDMILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEVQSKGNKTKSKLLDAEEMPAPIVRVEKDMFVLVDDVLVLLERAAALEPLPPKDEKGPQNRSKDGNSGEYFNKDSIERDERRLTELGRRTVEIFILSHIFSNKIEVAYQEAVAWKRQEELIREEAAWLAESEQKAKRGASEKEKKSKKKQAKQKRNNRKSKDKGREEKAFVAAKEKHQEDNRDNETDASMMVEVQLVPEKSYLPDDVSDVSEAVDCGIEALQPDSEDRCASPVGWDTDTSEVHPPTEASSSGISSLSCMQNEVADKRSPSTMDDSSSTCSTDSVPSVVTNGSYKGNLFSNNQNKKSPSRGKNQLSKTSSDDGSWITETDNQPPSPALDGGDCNDASESSKAGESESEAALSSSLDQTTWVDQDALKKEVVLLQKKPSTHDPVDLERPKGKTVAIPSSPRNLRPTAKFGSDYSIAVSVGSVLVRKASSNDLRQSDQPASSKTSIQMTSIPKSEIQKAATSKPAENPVTPQVPVMSSPSSAPQISSLRPTAPVVSMVQTTAVLSRSVSAAGCLGPNTTPATSYVPQSYRNALGNHVAPGSSHPNFSSSVAYSQPPASVPAPVYIPQSSERTDPNSVQPGFPFGMVTRDSLPNAPGWIESSQRDATTSMHSIPSSLLEIENLDLSTDFPGCTTGRQTQGVFADEFPHLDIINDLLDEEHNVGRVARSATRFHSLGLLNRHVSSPSNLGMLGETVSSCGFEQAWSYWNNGFQQGYRNSLGNHCDTSREYIPQPSPLPYANGLTDGLTPTHWPMASLGMRNADAVNYPYYGREYSNLVCGVNGYSVFRPSDGL >KJB12573 pep chromosome:Graimondii2_0_v6:2:1796238:1809354:1 gene:B456_002G024900 transcript:KJB12573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREKTDMILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEVQSKGNKTKSKLLDAEEMPAPIVRVEKDMFVLVDDVLVLLERAAALEPLPPKDEKGPQNRSKDGNSGEYFNKDSIERDERRLTELGRRTVEIFILSHIFSNKIEVAYQEAVAWKRQEELIREEAAWLAESEQKAKRGASEKEKKSKKKQAKQKRNNRKSKDKGREEKAFVAAKEKHQEDNRDNETDASMMVEVQLVPEKSYLPDDVSDVSEAVDCGIEALQPDSEDRCASPVGWDTDTSEVHPPTEASSSGISSLSCMQNEVADKRSPSTMDDSSSTCSTDSVPSVVTNGSYKGNLFSNNQNKKSPSRGKNQLSKTSSDDGSWITETDNQPPSPALDGGDCNDASESSKAGESESEAALSSSLDQTTWVDQDALKKEVVLLQKKPSTHDPVDLERPKGKTVAIPSSPRNLRPTAKFGSDYSIAVSVGSVLVRKASSNDLRQSDQPASSKTSIQMTSIPKSEIQKAATSKPAENPVTPQVPVMSSPSSAPQISSLRPTAPVVSMVQTTAVLSRSVSAAGCLGPNTTPATSYVPQSYRNALGNHVAPGSSHPNFSSSVAYSQPPASVPAPVYIPQSSERTDPNSVQPGFPFGMVTRDSLPNAPGWIESSQRDATTSCTTGRQTQGVFADEFPHLDIINDLLDEEHNVGRVASILMRV >KJB12579 pep chromosome:Graimondii2_0_v6:2:1801483:1809354:1 gene:B456_002G024900 transcript:KJB12579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGIVSEGSGGDRSVEGISNGQCFQSGEALAEWRSSEQVENGTPSTSPPYWDSDDDYDSGLKPSELYGKYTWKIEKFSQINKRELRSNAFEIGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLYDGFIESDTLIIKAQVQVVREKVDRPFRCLDRQYRRELVRVYLTNVEQICFRFLDDRRNKLGSLIEDKATWSSFCAFWFGIDQSTRCRMSREKTDMILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEVQSKGNKTKSKLLDAEEMPAPIVRVEKDMFVLVDDVLVLLERAAALEPLPPKDEKGPQNRSKDGNSGEYFNKDSIERDERRLTELGRRTVEIFILSHIFSNKIEVAYQEAVAWKRQEELIREEAAWLAESEQKAKRGASEKEKKSKKKQAKQKRNNRKSKDKGREEKAFVAAKEKHQEDNRDNETDASMMVEVQLVPEKSYLPDDVSDVSEAVDCGIEALQPDSEDRCASPVGWDTDTSEVHPPTEASSSGISSLSCMQNEVADKRSPSTMDDSSSTCSTDSVPSVVTNGSYKGNLFSNNQNKKSPSRGKNQLSKTSSDDGSWITETDNQPPSPALDGGDCNDASESSKAGESESEAALSSSLDQTTWVDQDALKKEVVLLQKKPSTHDPVDLERPKGKTVAIPSSPRNLRPTAKFGSDYSIAVSVGSVLVRKASSNDLRQSDQPASSKTSIQMTSIPKSEIQKAATSKPAENPVTPQVPVMSSPSSAPQISSLRPTAPVVSMVQTTAVLSRSVSAAGCLGPNTTPATSYVPQSYRNALGNHVAPGSSHPNFSSSVAYSQPPASVPAPVYIPQSSERTDPNSVQPGFPFGMVTRDSLPNAPGWIESSQRDATTNPRCLCR >KJB12580 pep chromosome:Graimondii2_0_v6:2:1801483:1809354:1 gene:B456_002G024900 transcript:KJB12580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGIVSEGSGGDRSVEGISNGQCFQSGEALAEWRSSEQVENGTPSTSPPYWDSDDDYDSGLKPSELYGKYTWKIEKFSQINKRELRSNAFEIGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLYDGFIESDTLIIKAQVQVVREKVDRPFRCLDRQYRRELVRVYLTNVEQICFRFLDDRRNKLGSLIEDKATWSSFCAFWFGIDQSTRCRMSREKTDMILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEVQSKGNKTKSKLLDAEEMPAPIVRVEKDMFVLVDDVLVLLERAAALEPLPPKDEKGPQNRSKDGNSGEYFNKDSIERDERRLTELGRRTVEIFILSHIFSNKIEVAYQEAVAWKRQEELIREEAAWLAESEQKAKRGASEKEKKSKKKQAKQKRNNRKSKDKGREEKAFVAAKEKHQEDNRDNETDASMMVEVQLVPEKSYLPDDVSDVSEAVDCGIEALQPDSEDRCASPVGWDTDTSEVHPPTEASSSGISSLSCMQNEVADKRSPSTMDDSSSTCSTDSVPSVVTNGSYKGNLFSNNQNKKSPSRGKNQLSKTSSDDGSWITETDNQPPSPALDGGDCNDASESSKAGESESEAALSSSLDQTTWVDQDALKKEVVLLQKKPSTHDPVDLERPKGKTVAIPSSPRNLRPTAKFGSDYSIAVSVGSVLVRKASSNDLRQSDQPASSKTSIQMTSIPKSEIQKAATSKPAENPVTPQVPVMSSPSSAPQISSLRPTAPVVSMVQTTAVLSRSVSAAGCLGPNTTPATSYVPQSYRNALGNHVAPGSSHPNFSSSVAYSQPPASVPAPVYIPQSSERTDPNSVQPGFPFGMVTRDSLPNAPGWIESSQRDATTSMHSIPSSLLEIENLDLSTDFPGCTTGRQTQGVFADEFPHLDIINDLLDEEHNVGRVASILMRV >KJB12582 pep chromosome:Graimondii2_0_v6:2:1806686:1809354:1 gene:B456_002G024900 transcript:KJB12582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQKRNNRKSKDKGREEKAFVAAKEKHQEDNRDNETDASMMVEVQLVPEKSYLPDDVSDVSEAVDCGIEALQPDSEDRCASPVGWDTDTSEVHPPTEASSSGISSLSCMQNEVADKRSPSTMDDSSSTCSTDSVPSVVTNGSYKGNLFSNNQNKKSPSRGKNQLSKTSSDDGSWITETDNQPPSPALDGGDCNDASESSKAGESESEAALSSSLDQTTWVDQDALKKEVVLLQKKPSTHDPVDLERPKGKTVAIPSSPRNLRPTAKFGSDYSIAVSVGSVLVRKASSNDLRQSDQPASSKTSIQMTSIPKSEIQKAATSKPAENPVTPQVPVMSSPSSAPQISSLRPTAPVVSMVQTTAVLSRSVSAAGCLGPNTTPATSYVPQSYRNALGNHVAPGSSHPNFSSSVAYSQPPASVPAPVYIPQSSERTDPNSVQPGFPFGMVTRDSLPNAPGWIESSQRDATTSCTTGRQTQGVFADEFPHLDIINDLLDEEHNVGRVASILMRV >KJB15854 pep chromosome:Graimondii2_0_v6:2:53957589:53960348:1 gene:B456_002G199700 transcript:KJB15854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDVIRKAYQATEEGFLSLVTRQWPVNPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRAVKATGEVLAIQLSAEHNACIESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQISKSIGDVYLKKAEFNREPLYPKFRLREPFQKPILSADPSITVHQLQPHDQFVIFASDGLWEHLSNQEAVDIVQNHSRSGIARRLVKIALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLNSNLVSKASSVKGPNLSVRGGGVTLPPRTLAPCAMPV >KJB15851 pep chromosome:Graimondii2_0_v6:2:53955803:53960348:1 gene:B456_002G199700 transcript:KJB15851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGFMNFLRACFRPRSDRYVHSSSDAGGRQDGLLWYKDTGQHFNGEFSMAVVQANNLLEDQSQLESGGLSSHECGPYGTFVGVYDGHGGPETSRYINDHLFQHLKQQQTMSVDVIRKAYQATEEGFLSLVTRQWPVNPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRAVKATGEVLAIQLSAEHNACIESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQISKSIGDVYLKKAEFNREPLYPKFRLREPFQKPILSADPSITVHQLQPHDQFVIFASDGLWEHLSNQEAVDIVQNHSRSGIARRLVKIALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLNSNLVSKASSVKGPNLSVRGGGVTLPPRTLAPCAMPV >KJB15852 pep chromosome:Graimondii2_0_v6:2:53956366:53960348:1 gene:B456_002G199700 transcript:KJB15852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGFMNFLRACFRPRSDRYVHSSSDAGGRQDGLLWYKDTGQHFNGEFSMAVVQANNLLEDQSQLESGGLSSHECGPYGTFVGVYDGHGGPETSRYINDHLFQHLKRFTSEQQTMSVDVIRKAYQATEEGFLSLVTRQWPVNPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRAVKATGEVLAIQLSAEHNACIESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQISKSIGDVYLKKAEFNREPLYPKFRLREPFQKPILSADPSITVHQLQPHDQFVIFASDGLWEHLSNQEAVDIVQNHSRSGIARRLVKIALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLNSNLVSKASSVKGPNLSVRGGGVTLPPRTLAPCAMPV >KJB15853 pep chromosome:Graimondii2_0_v6:2:53956594:53960348:1 gene:B456_002G199700 transcript:KJB15853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGFMNFLRACFRPRSDRYVHSSSDAGGRQDGLLWYKDTGQHFNGEFSMAVVQANNLLEDQSQLESGGLSSHECGPYGTFVGVYDGHGGPETSRYINDHLFQHLKRFTSEQQTMSVDVIRKAYQATEEGFLSLVTRQWPVNPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRAVKATGEVLAIQLSAEHNACIESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQISKSIGDVYLKKAEFNREPLYPKFRLREPFQKPILSADPSITVHQLQPHDQFVIFASDGLWEHLSNQEAVDIVQNHSRSGIARRLVKIALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLNSNLVSKASSVKGPNLSVRGGGVTLPPRTLAPCAMPV >KJB15850 pep chromosome:Graimondii2_0_v6:2:53955793:53960412:1 gene:B456_002G199700 transcript:KJB15850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGFMNFLRACFRPRSDRYVHSSSDAGGRQDGLLWYKDTGQHFNGEFSMAVVQANNLLEDQSQLESGGLSSHECGPYGTFVGVYDGHGGPETSRYINDHLFQHLKRFTSEQQTMSVDVIRKAYQATEEGFLSLVTRQWPVNPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRAVKATGEVLAIQLSAEHNACIESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQISKSIGDVYLKKAEFNREPLYPKFRLREPFQKPILSADPSITVHQLQPHDQFVIFASDGLWEHLSNQEAVDIVQNHSRSGIARRLVKIALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLNSNLVSKASSVKGPNLSVRGGGVTLPPRTLAPCAMPV >KJB17011 pep chromosome:Graimondii2_0_v6:2:62165862:62172137:1 gene:B456_002G260900 transcript:KJB17011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGMDLNASPLPEDDEDPYETHIEHYSAPEEHVESAVEISRREREERRKRLRSDRPDDRPVHISQPVIYDHFYQNRNSTFYDKSRIPPGWLDCPAVGKEIGCIIPSKVPLGESYNDCVPPGKRYSFKQAVHKQEVSGRKLGLVIDLTNTSRYYQTTDLKKKGIKHVKIQCRGRDAVPENASVNTFVYEVSQFLLRQKSNKYILVHCTHGHNRTGYMIIHYLMRTQPMSVTQAIKFFSEARPPGIYKPDYIDALYAFYHERRPESLVCPPTPEWKRSSDLDLNGEAAVDDDDDDDDDGAPTALPENHETDVMLTNDDVLGDEIPHDQQVQMRQFCYQQLKLNTGVRGHAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITIDGCYLIDRSFNIRRVQMRFPCRHNKGVGGMSHHLTLLDGEMIIDTLPDSRKQERRYLIYDMMVLNNEPIIENHVIPIFPL >KJB17016 pep chromosome:Graimondii2_0_v6:2:62165862:62180549:1 gene:B456_002G260900 transcript:KJB17016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGMDLNASPLPEDDEDPYETHIEHYSAPEEHVESAVEISRREREERRKRLRSDRPDDRPVHISQPVIYDHFYQNRNSTFYDKSRIPPGWLDCPAVGKEIGCIIPSKVPLGESYNDCVPPGKRYSFKQAVHKQEVSGRKLGLVIDLTNTSRYYQTTDLKKKGIKHVKIQCRGRDAVPENASVNTFVYEAIKFFSEARPPGIYKPDYIDALYAFYHERRPESLVCPPTPEWKRSSDLDLNGEAAVDDDDDDDDDGAPTALPVRGHAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITIDGCYLIDRSFNIRRVQMRFPCRHNKGVGGMSHHLTLLDGEMIIDTLPDSRKQERRYLIYDMMVLNNEPIIERPFYERWKMLEKEVIEPRNYERHNIYQGRNPYYRFDLEPFRVRRKDFWLLSTVNKVLKEFIPKLSHEADGLIFQGWDDPYVPRTHEGLLKWKYAQLNSVDFLFEIGSDDREQLFLHERGRKKLMEGNTVEFREVSDHPPSFSGKIIECSWDPDRQGWVYMRIRTDKSTPNDINTYRKVMQSINDNITEEILLNEINEIIRLPMYADRIRMDSKAHLHTNSARRR >KJB17010 pep chromosome:Graimondii2_0_v6:2:62165862:62172137:1 gene:B456_002G260900 transcript:KJB17010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGMDLNASPLPEDDEDPYETHIEHYSAPEEHVESAVEISRREREERRKRLRSDRPDDRPVHISQPVIYDHFYQNRNSTFYDKSRIPPGWLDCPAVGKEIGCIIPSKVPLGESYNDCVPPGKRYSFKQAVHKQEVSGRKLGLVIDLTNTSRYYQTTDLKKKGIKHVKIQCRGRDAVPENASVNTFVYEVSQFLLRQKSNKYILVHCTHGHNRTGYMIIHYLMRTQPMSVTQAIKFFSEARPPGIYKPDYIDALYAFYHERRPESLVCPPTPEWKRSSDLDLNGEAAVDDDDDDDDDGAPTALPVRGHAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITIDGCYLIDRSFNIRRVQMRFPCRHNKGVGGMSHHLTLLDGEMIIDTLPDSRKQERRYLIYDMMVLNNEPIIENHVIPIFPL >KJB17013 pep chromosome:Graimondii2_0_v6:2:62165748:62180610:1 gene:B456_002G260900 transcript:KJB17013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGMDLNASPLPEDDEDPYETHIEHYSAPEEHVESAVEISRREREERRKRLRSDRPDDRPVHISQPVIYDHFYQNRNSTFYDKSRIPPGWLDCPAVGKEIGCIIPSKVPLGESYNDCVPPGKRYSFKQAVHKQEVSGRKLGLVIDLTNTSRYYQTTDLKKKGIKHVKIQCRGRDAVPENASVNTFVYEVSQFLLRQKSNKYILVHCTHGHNRTGYMIIHYLMRTQPMSVTQAIKFFSEARPPGIYKPDYIDALYAFYHERRPESLVCPPTPEWKRSSDLDLNGEAAVDDDDDDDDDGAPTALPENHETDVMLTNDDVLGDEIPHDQQVQMRQFCYQQLKLNTGVRGHAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITIDGCYLIDRSFNIRRVQMRFPCRHNKGVGGMSHHLTLLDGEMIIDTLPDSRKQERRYLIYDMMVLNNEPIIERPFYERWKMLEKEVIEPRNYERHNIYQGRNPYYRFDLEPFRVRRKDFWLLSTVNKVLKEFIPKLSHEADGLIFQGWDDPYVPRTHEGLLKWKYAQLNSVDFLFEIGSDDREQLFLHERGRKKLMEGNTVEFREVSDHPPSFSGKIIECSWDPDRQGWVYMRIRTDKSTPNDINTYRKVMQSINDNITEEILLNEINEIIRLPMYADRIRMDSKAHLHTNSARRR >KJB17009 pep chromosome:Graimondii2_0_v6:2:62165862:62173959:1 gene:B456_002G260900 transcript:KJB17009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGMDLNASPLPEDDEDPYETHIEHYSAPEEHVESAVEISRREREERRKRLRSDRPDDRPVHISQPVIYDHFYQNRNSTFYDKSRIPPGWLDCPAVGKEIGCIIPSKVPLGESYNDCVPPGKRYSFKQAVHKQEVSGRKLGLVIDLTNTSRYYQTTDLKKKGIKHVKIQCRGRDAVPENASVNTFVYEVSQFLLRQKSNKYILVHCTHGHNRTGYMIIHYLMRTQPMSVTQAIKFFSEARPPGIYKPDYIDALYAFYHERRPESLVCPPTPEWKRSSDLDLNGEAAVDDDDDDDDDGAPTALPENHETDVMLTNDDVLGDEIPHDQQVQMRQFCYQQLKLNTGVRGHAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITIDGCYLIDRSFNIRRVQMRFPCRHNKGVGGMSHHLTLLDGEMIIDTLPDSRKQERRYLIYDMMVLNNEPIIERPFYERWKMLEKEVIEPRNYERHNIYQGRNPYYRFDLEPFRVRRKDFWLLSTVNKVLKEFIPKLSHEADGLIFQGWDDPYVPRTHEGLLKWKYAQLNSVDFLFEIGSDDREQLFLHERGRKKLMEGNTVEFREVSDHPPSFSGKIIECSWDPDRQGWVYMRIRTDKSTPNDINTYRKVMQSINDNITEEILLNEINEIIRLPMYADRIRMDSKAHLHTNSARRR >KJB17015 pep chromosome:Graimondii2_0_v6:2:62165862:62180549:1 gene:B456_002G260900 transcript:KJB17015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGMDLNASPLPEDDEDPYETHIEHYSAPEEHVESAVEISRREREERRKRLRSDRPDDRPVHISQPVIYDHFYQNRNSTFYDKSRIPPGWLDCPAVGKEIGCIIPSKVPLGESYNDCVPPGKRYSFKQAVHKQEVSGRKLGLVIDLTNTSRYYQTTDLKKKGIKHVKIQCRGRDAVPENASVNTFVYEVSQFLLRQKSNKYILVHCTHGHNRTGYMIIHYLMRTQPMSVTQAIKFFSEARPPGIYKPDYIDALYAFYHERRPESLVCPPTPEWKRSSDLDLNGEAAVDDDDDDDDDGAPTALPVRGHAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITIDGCYLIDRSFNIRRVQMRFPCRHNKGVGGMSHHLTLLDGEMIIDTLPDSRKQERRYLIYDMMVLNNEPIIERPFYERWKMLEKEVIEPRNYERHNIYQGRNPYYRFDLEPFRVRRKDFWLLSTVNKVLKEFIPKLSHEADGLIFQGWDDPYVPRTHEGLLKWKYAQLNSVDFLFEIGSDDREQLFLHERGRKKLMEGNTVEFREVSDHPPSFSGKIIECSWDPDRQGWVYMRIRTDKSTPNDINTYRKVMQSINDNITEEILLNEINEIIRLPMYADRIRMDSKAHLHTNSARRR >KJB17012 pep chromosome:Graimondii2_0_v6:2:62165862:62172137:1 gene:B456_002G260900 transcript:KJB17012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGMDLNASPLPEDDEDPYETHIEHYSAPEEHVESAVEISRREREERRKRLRSDRPDDRPVHISQPVIYDHFYQNRNSTFYDKSRIPPGWLDCPAVGKEIGCIIPSKVPLGESYNDCVPPGKRYSFKQAVHKQEVSGRKLGLVIDLTNTSRYYQTTDLKKKGIKHVKIQCRGRDAVPENASVNTFVYEAIKFFSEARPPGIYKPDYIDALYAFYHERRPESLVCPPTPEWKRSSDLDLNGEAAVDDDDDDDDDGAPTALPENHETDVMLTNDDVLGDEIPHDQQVQMRQFCYQQLKLNTGVRGHAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITIDGCYLIDRSFNIRRVQMRFPCRHNKGVGGMSHHLTLLDGEMIIDTLPDSRKQERRYLIYDMMVLNNEPIIENHVIPIFPL >KJB17014 pep chromosome:Graimondii2_0_v6:2:62165862:62180549:1 gene:B456_002G260900 transcript:KJB17014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGMDLNASPLPEDDEDPYETHIEHYSAPEEHVESAVEISRREREERRKRLRSDRPDDRPVHISQPVIYDHFYQNRNSTFYDKSRIPPGWLDCPAVGKEIGCIIPSKVPLGESYNDCVPPGKRYSFKQAVHKQEVSGRKLGLVIDLTNTSRYYQTTDLKKKGIKHVKIQCRGRDAVPENASVNTFVYEAIKFFSEARPPGIYKPDYIDALYAFYHERRPESLVCPPTPEWKRSSDLDLNGEAAVDDDDDDDDDGAPTALPENHETDVMLTNDDVLGDEIPHDQQVQMRQFCYQQLKLNTGVRGHAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITIDGCYLIDRSFNIRRVQMRFPCRHNKGVGGMSHHLTLLDGEMIIDTLPDSRKQERRYLIYDMMVLNNEPIIERPFYERWKMLEKEVIEPRNYERHNIYQGRNPYYRFDLEPFRVRRKDFWLLSTVNKVLKEFIPKLSHEADGLIFQGWDDPYVPRTHEGLLKWKYAQLNSVDFLFEIGSDDREQLFLHERGRKKLMEGNTVEFREVSDHPPSFSGKIIECSWDPDRQGWVYMRIRTDKSTPNDINTYRKVMQSINDNITEEILLNEINEIIRLPMYADRIRMDSKAHLHTNSARRR >KJB16306 pep chromosome:Graimondii2_0_v6:2:57733423:57737005:1 gene:B456_002G222500 transcript:KJB16306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDVEDPADCGPEVLVPSGDAQETWYSCVLKQVSVYGVAAGYCISASLLSIINKWAVMKFPYPGALTALQYLTSAAGVVLCGWFKVLEHDPLDLRTMGQFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLSQPWPSLKTWISLGTIFGGSVLYVLTDYQFTLTAYTWAAAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGELKKIKHEISDESDWHSFQVILPVGLSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTFVGTVGLLICMLGGVMYQQSTSSKPKAVSEATVQETEEQQNLLEMQNNSQAKNNEKEDTKIEK >KJB16305 pep chromosome:Graimondii2_0_v6:2:57733617:57737005:1 gene:B456_002G222500 transcript:KJB16305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDVEDPADCGPEVLVPSGDAQETWYSCVLKQVSVYGVAAGYCISASLLSIINKWAVMKFPYPGALTALQYLTSAAGVVLCGWFKVLEHDPLDLRTMGQFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLSQPWPSLKTWISLGTIFGGSVLYVLTDYQFTLTAYTWAAAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGELKKIKHEISDESDWHSFQVILPVGLSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTFVGTVGLLICMLGGVMYQQSTSSKPKAVSEATVQETEEQQNLLEMQNNSQAKNNEKEDTKIEK >KJB16994 pep chromosome:Graimondii2_0_v6:2:62081255:62082107:-1 gene:B456_002G259300 transcript:KJB16994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKFPIVLFISSLLLHSSLAEMICEDLPKDVCAFSIASSGKRCVLETAVKKDGDVEYQCRTSEVVVERMAEYIETDECVAACGVDRNSVGISSDSLLDQQFTAKLCTPACYQKCPNIVDLYFNLAAGEGMNFLPTYHY >KJB16993 pep chromosome:Graimondii2_0_v6:2:62080609:62082202:-1 gene:B456_002G259300 transcript:KJB16993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKFPIVLFISSLLLHSSLAEMICEDLPKDVCAFSIASSGKRCVLETAVKKDGDVEYQCRTSEVVVERMAEYIETDECVAACGVDRNSVGISSDSLLDQQFTAKLCTPACYQKCPNIVDLYFNLAAGEGVFLPDLCNAQRSNPRRSMVELMLSSGAAPGPFSGQATAVEAPAPSPMW >KJB16353 pep chromosome:Graimondii2_0_v6:2:58050632:58052371:-1 gene:B456_002G225300 transcript:KJB16353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHFRNLRKSAILQWVSSCFFENQLNPPVFVMGSFHLSQRQNPRFYRSKGSAVAVKREVLEVPKIPRATLKEAQAALLEYLHWTRSIPFMDAENMSKNSPHFLRNLLEKVNIVKDVRSSMARFLRYHPINEFEPFFESLGLKPWEYTPLLPRNLMFLSDDSLFFENYRVLCQFGIERNKIGRIYKKAIQVFQLESGALPLKLQAYQELGLSHSFMVKIIVCSPCVLIGDVDMKFIKVLEILRSMGFDHAWIQEHLADQDSYNWGLILRVLNIFSEMFCSNELVRLISQHPGLLFEGSGYATFSLIGFLLKFGHPIDQISSMFLQFPKIQVQQFVSNLIKCFVFFHEIEMEVDEIAKLVCSYTVLLGSCRLKKTNSLLSNLNVGKKRLCKFIQENPQELSKWVIGLRIVPLPDSGEDIESKRLKMKFLLDLGYGENPNMMNKAFKVFRGRGGELQERFDSIVNAGLDKADVSEMVTVSPQILNQSKTVIQSKIDILVNELGYPLSSLVSFPSFLSYTTQRVRLRMAMYNWLKDHKKAEPDLALSTIVACSDKIFLNQYVNHHPSGPRVWQDLKAELSMDK >KJB16766 pep chromosome:Graimondii2_0_v6:2:61049115:61054411:-1 gene:B456_002G246600 transcript:KJB16766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MAALFQFPTSISFSSHNPIFSSKPQQWISWKTHEYRPRRSLKICAFSIKRPRSGRKVKSNEELCNDIREFVAEVGLPEGHVPSFKELSQHGRNDLANIVRRRGYKLIQKLLSSSPETDGLIADTSVVQKHNATSDSEDTFEGQYLKSENATEVVPLSTEAFNTENKLVAEDIDSDDHNCMRETTVYTSGDQMEMESTVLEDVSTSTLLPFEENYSGSLSVNLDQNSDDSSFMPEESPAMSILEEKVARFVQNGDLDAIEYTVYEKLNETNDEVATQSRTGSEDRLRNADDAVSKSNGSATVAKQVAPPVAMDYLPWSYDKMEAQTLNSDDSREDLDSKTTGRDTIIEINHLQFLLHQKELELSLLKEQIEKEKIALASMQNKAETEIQKAQKLVSEKDAELLAAEESLSGLQEVQLEYTGDGEIVEVSGSFNGWHHRIKLDPKPSSTTKTPLESSKSKIWSTVLWLYPGVYEIKFVVDGQWTLDPQRESTTSSRICNNILRVDR >KJB16769 pep chromosome:Graimondii2_0_v6:2:61050549:61054391:-1 gene:B456_002G246600 transcript:KJB16769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MAALFQFPTSISFSSHNPIFSSKPQQWISWKTHEYRPRRSLKICAFSIKRPRSGRKVKSNEELCNDIREFVAEVGLPEGHVPSFKELSQHGRNDLANIVRRRGYKLIQKLLSSSPETDGLIADTSVVQKHNATSDSEDTFEGQYLKSENATEVVPLSTEAFNTENKLVAEDIDSDDHNCMRETTVYTSGDQMEMESTVLEDVSTSTLLPFEENYSGSLSVNLDQNSDDSSFMPEESPAMSILEEKVARFVQNGDLDAIEYTVYEKLNETNDEVATQSRTGSEDRLRNADDAVSKSNGSATVAKQVAPPVAMDYLPWSYDKMEAQTLNSDDSREDLDSKTTGRDTIIEINHLQFLLHQKELELSLLKEQIEKEKIALASMQNKAETEIQKAQKLVSEKDAELLAAEESLSGLQEVQLEYTGDGEIVEVSGSFNGWHHRIKLDPKPSSTTKTPLESSKSKIWSTVLWLYPGVYEVL >KJB16764 pep chromosome:Graimondii2_0_v6:2:61049023:61054736:-1 gene:B456_002G246600 transcript:KJB16764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MAALFQFPTSISFSSHNPIFSSKPQQWISWKTHEYRPRRSLKICAFSIKRPRSGRKVKSNEELCNDIREFVAEVGLPEGHVPSFKELSQHGRNDLANIVRRRGYKLIQKLLSSSPETDGLIADTSVVQKHNATSDSEDTFEGQYLKSENATEVVPLSTEAFNTENKLVAEDIDSDDHNCMRETTVYTSGDQMEMESTVLEDVSTSTLLPFEENYSGSLSVNLDQNSDDSSFMPEESPAMSILEEKVARFVQNGDLDAIEYTVYEKLNETNDEVATQSRTGSEDRLRNADDAVSKSNGSATVAKQVAPPVAMDYLPWSYDKMEAQTLNSDDSREDLDSKTTGRDTIIEINHLQFLLHQKELELSLLKEQIEKEKIALASMQNKAETEIQKAQKLVSEKDAELLAAEESLSGLQEVQLEYTGDGEIVEVSGSFNGWHHRIKLDPKPSSTTKTPLESSKSKIWSTVLWLYPGVYEIKFVVDGQWTLDPQRESTTSSRICNNILRVDR >KJB16767 pep chromosome:Graimondii2_0_v6:2:61049115:61054411:-1 gene:B456_002G246600 transcript:KJB16767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MRETTVYTSGDQMEMESTVLEDVSTSTLLPFEENYSGSLSVNLDQNSDDSSFMPEESPAMSILEEKVARFVQNGDLDAIEYTVYEKLNETNDEVATQSRTGSEDRLRNADDAVSKSNGSATVAKQVAPPVAMDYLPWSYDKMEAQTLNSDDSREDLDSKTTGRDTIIEINHLQFLLHQKELELSLLKEQIEKEKIALASMQNKAETEIQKAQKLVSEKDAELLAAEESLSGLQEVQLEYTGDGEIVEVSGSFNGWHHRIKLDPKPSSTTKTPLESSKSKIWSTVLWLYPGVYEIKFVVDGQWTLDPQRESTTSSRICNNILRVDR >KJB16768 pep chromosome:Graimondii2_0_v6:2:61049059:61054565:-1 gene:B456_002G246600 transcript:KJB16768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MAALFQFPTSISFSSHNPIFSSKPQQWISWKTHEYRPRRSLKICAFSIKRPRSGRKVKSNEELCNDIREFVAEVGLPEGHVPSFKELSQHGRNDLANIVRRRGYKLIQKLLSSSPETDGLIADTSVVQKHNATSDSEDTFEGQYLKSENATEVVPLSTEAFNTENKLVAEDIDSDDHNCMRETTVYTSGDQMEMESTVLEDVSTSTLLPFEENYSGSLSVNLDQNSDDSSFMPEESPAMSILEEKVARFVQNGDLDAIEYTVYEKLNETNDEVATQSRTGSEDRLRNADDAVSKSNGSATVAKQVAPPVAMDYLPWSYDKMEAQTLNSDDSREDLDSKTTGRDTIIEINHLQFLLHQKELELSLLKEQIEKEKIALASMQNKAETEIQKAQKLVSEKDAELLAAEESLSGLQEVQLEYTGDGEIVEVSGSFNGWHHRIKLDPKPSSTTKTPLESSKSKIWSTVLWLYPGVYEIKFVVDGQWTLDPQRESTTSSRICNNILRVDR >KJB16765 pep chromosome:Graimondii2_0_v6:2:61049023:61054697:-1 gene:B456_002G246600 transcript:KJB16765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MAALFQFPTSISFSSHNPIFSSKPQQWISWKTHEYRPRRSLKICAFSIKRPRSGRKVKSNEELCNDIREFVAEVGLPEGHVPSFKELSQHGRNDLANIVRRRGYKLIQKLLSSSPETDGLIADTSVVQKHNATSDSEDTFEGQYLKSENATEVVPLSTEAFNTENKLVAEDIDSDDHNCMRETTVYTSGDQMEMESTVLEDVSTSTLLPFEENYSGSLSVNLDQNSDDSSFMPEESPAMSILEEKVARFVQNGDLDAIEYTVYEKLNETNDEVATQSRTGSEDRLRNADDAVSKSNGSATVAKQVAPPVAMDYLPWSYDKMEAQTLNSDDSREDLDSKTTGRDTIIEINHLQFLLVYNFNHQKELELSLLKEQIEKEKIALASMQNKAETEIQKAQKLVSEKDAELLAAEESLSGLQEVQLEYTGDGEIVEVSGSFNGWHHRIKLDPKPSSTTKTPLESSKSKIWSTVLWLYPGVYEIKFVVDGQWTLDPQRESTTSSRICNNILRVDR >KJB16763 pep chromosome:Graimondii2_0_v6:2:61050193:61054391:-1 gene:B456_002G246600 transcript:KJB16763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MAALFQFPTSISFSSHNPIFSSKPQQWISWKTHEYRPRRSLKICAFSIKRPRSGRKVKSNEELCNDIREFVAEVGLPEGHVPSFKELSQHGRNDLANIVRRRGYKLIQKLLSSSPETDGLIADTSVVQKHNATSDSEDTFEGQYLKSENATEVVPLSTEAFNTENKLVAEDIDSDDHNCMRETTVYTSGDQMEMESTVLEDVSTSTLLPFEENYSGSLSVNLDQNSDDSSFMPEESPAMSILEEKVARFVQNGDLDAIEYTVYEKLNETNDEVATQSRTGSEDRLRNADDAVSKSNGSATVAKQVAPPVAMDYLPWSYDKMEAQTLNSDDSREDLDSKTTGRDTIIEINHLQFLLVYNFNHQKELELSLLKEQIEKEKIALASMQNKAETEIQKAQKLVSEKDAELLAAEESLSGLQEVQLEYTGDGEIVEVSGSFNGWHHRIKLDPKPSSTTKTPLESSKSKIWSTVLWLYPGVYEIKFVVDGQWTLDPQRESTTSSRICNNILRVDR >KJB13385 pep chromosome:Graimondii2_0_v6:2:8332000:8334851:1 gene:B456_002G071700 transcript:KJB13385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTLFTILNNKKTKTLTADWLVMTRAYCVAPNPANINSLYSRINAIGDPNCSVTPVLQQWVKGKNVKEFQLQRLIRDLRSRRRYTHALEVSKWMSSSDLEFSSSDYAVQLDLIGRVNGLTAAKNFFSSLRDQDKTVKTYGALLNCYVRVGLIDESLSLMKKMKEMGFLSSPLNYNNLMCLYTHRGQFEKVPDVLFEMKTNGVSPDKFSYKICINSYGARADYDIMEKVLQEMDSERDIQMDWCTYSLAANYYIKAGLKEKALHYLKECEKKVGNDAEGYDHLISFYARLGNKDELKRLWDLQKSKCRKQTNKNYIVMLGSLVKLGELEETEKLIDEWELSCKTYDFRVPNVLLIGYCQKDLVEKAEAKLQDIIKRRKIAIPNSWSIVATGYMNKNKMEKAFECFKEALAIQTQNRGWKPKAGLISSILSWLGENGEVEDAEAFVKLLRTKVPVNREMYHALLKAYIRNGKEVEGLLVSMKDDKIDENGDTMKILSLQDQNSEVTG >KJB13749 pep chromosome:Graimondii2_0_v6:2:11761730:11763479:1 gene:B456_002G092600 transcript:KJB13749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSFFSFLILFLSAIAVSDAGSVGINYGRVADNLPPPDKVVQLLKSQGVAKVKIFDSDSAVLTALANSGISVVVCLPNEQLASAANDQSFSDGWVQANIAKYYPATKIEAIAIGNEVIAENITDTTKFVVPAMKTIQASLVKLKLDSNIKVSSPVAISCLGNSYPASAGSFKTDQVQSTIIPMLDFLRETGSYLMVNVYPFFAYKDNSKTISLDYSLFKDNPGVVDSGNGLRYKSLFEAQVDAVYAAMSALTYDDLKLVVTETGWPSAGDAAEVGASQDNAAAYNGNLVRRVLTGNGTPLRPNDPLNVYIFALFNENQKPGPTSERNYGLFYPNEQRVYNIPLSQKGLNGNQSPPASNVNQTAPVNNWGGVSKATSGQTWCMASPNAEAEELQEGLDYACGEGGADCGPIQPNGACYNPNSLVAHASYAYNSYYQKKARVSGSCFFSGTAYVSTQPPSFGNCQFPTGY >KJB13750 pep chromosome:Graimondii2_0_v6:2:11761342:11763570:1 gene:B456_002G092600 transcript:KJB13750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSFFSFLILFLSAIAVSDAGSVGINYGRVADNLPPPDKVVQLLKSQGVAKVKIFDSDSAVLTALANSGISVVVCLPNEQLASAANDQSFSDGWVQANIAKYYPATKIEAIAIGNEVIAENITDTTKFVVPAMKTIQASLVKLKLDSNIKVSSPVAISCLGNSYPASAGSFKTDQVQSTIIPMLDFLRETGSYLMVNVYPFFAYKDNSKTISLDYSLFKDNPGVVDSGNGLRYKSLFEAQVDAVYAAMSALTYDDLKLVVTETGWPSAGDAAEVGASQDNAAAYNGNLVRRVLTGNGTPLRPNDPLNVYIFALFNENQKPGPTSERNYGLFYPNEQRVYNIPLSQKGLNGNQSPPASNVNQTAPVNNWGGVSKATSGQTWCMASPNAEAEELQEGLDYACGEGGADCGPIQPNGACYNPNSLVAHASYAYNSYYQKKARVSGSCFFSGTAYVSTQPPSFGNCQFPTGY >KJB14203 pep chromosome:Graimondii2_0_v6:2:16113723:16117096:1 gene:B456_002G114100 transcript:KJB14203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) stress sensor, Transducer of ER stress, ER stress respons [Source: Projected from Oryza sativa (Os07g0471000)] MRTDYVLQYYSPNSAEVLWNVAFSKIDAEFRCQGPEEKLSADYMHDFELQLPCQKRPVVIQVRDHKLLESLPIFGWLDGIIPLPSSNQNPRLPPADVLALPSDKPWLALPASEMENPLMLDTANTNITTRFDIAGSSIQPFISFFATLLTIIVFAFYRLKRGKGSKQDQEVKLQSVPKKKKPKRVGNSKSSGNNEKKNKPVSEENNVGNTNTLPYIERNEVKSLLNFTDLVDGRVDGRRIGKLLVSNKEIAKGSNGTIVLEGIYDGRPVAVKRLVQTHHDVALKEIQNLIASDQHPNIVRWFGVEFDQDFVYLSLERCTCSLNDLIYVCSESFQNQAIVKDEDSNFFNECNVRLHSVMENNKVIELWKPNGHPSLHLLKLMRDIVSGLAHLHELGIIHRDLKPQNVLIIMEKSLCAKLSDMGISKRLTGDMSCLTRGATGYGSSGWQAPEQLRQERQTRAVDLFSLGCVLFFCITGGKHPYGDSIERDVNIVNDRKDLFLIESIPEAMDLFSHLLDPNPELRPKAQDVLHHPLFWSSEMRLSFLREASDRVELEDRKSASEVLNELESIAAVALDGKWDEKLEPAFLNNIGHYRRYKFDSVRDLLRVIRNKQNHYREIPQDIQELLGPLPEGFDGYFSSRFPKLLIEVYKVLYKHCKEEKFFQKYMNSSII >KJB14204 pep chromosome:Graimondii2_0_v6:2:16113358:16117096:1 gene:B456_002G114100 transcript:KJB14204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) stress sensor, Transducer of ER stress, ER stress respons [Source: Projected from Oryza sativa (Os07g0471000)] MRTDYVLQYYSPNSAEVLWNVAFSKIDAEFRCQGPEEKLSADYMHDFELQLPCQKRPVVIQVRDHKLLESLPIFGWLDGIIPLPSSNQNPRLPPADVLALPSDKPWLALPASEMENPLMLDTANTNITTRFDIAGSSIQPFISFFATLLTIIVFAFYRLKRGKGSKQDQEVKLQSVPKKKKPKRVGNSKSSGNNEKKNKPVSEENNVGNTNTLPYIERNEVKSLLNFTDLVDGRVDGRRIGKLLVSNKEIAKGSNGTIVLEGIYDGRPVAVKRLVQTHHDVALKEIQNLIASDQHPNIVRWFGVEFDQDFVYLSLERCTCSLNDLIYVCSESFQNQAIVKDEDSNFFNECNVRLHSVMENNKVIELWKPNGHPSLHLLKLMRDIVSGLAHLHELGIIHRDLKPQNVLIIMEKSLCAKLSDMGISKRLTGDMSCLTRGATGYGSSGWQAPEQLRQERQTRAVDLFSLGCVLFFCITGGKHPYGDSIERDVNIVNDRKDLFLIESIPEAMDLFSHLLDPNPELRPKAQDVLHHPLFWSSEMRLSFLREASDRVELEDRKSASEVLNELESIAAVALDGKWDEKLEPAFLNNIGHYRRYKFDSVRDLLRVIRNKQNHYREIPQDIQELLGPLPEGFDGYFSSRFPKLLIEVYKVLYKHCKEEKFFQKYMNSSII >KJB14202 pep chromosome:Graimondii2_0_v6:2:16113205:16117138:1 gene:B456_002G114100 transcript:KJB14202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) stress sensor, Transducer of ER stress, ER stress respons [Source: Projected from Oryza sativa (Os07g0471000)] MRRSRIFLLLLAILFSPSIRGFFCSQISQTALSNRFLPPPPPDDGLAVVVTLDGTMHLVDRGSRKVHWSFASGRPIYSSYQAFLDQDNDKLNASGPNSDLYVDCGDDLQLYVHSRSHGKQKKLELSAEEYVRRTPYIAEDGGITLGVKKTSIYLVDANSGRIVQTYRSDDPPSTLDIQNDAGKTVRWTKGADALVEFGPFNSTTVKQFVYIMRTDYVLQYYSPNSAEVLWNVAFSKIDAEFRCQGPEEKLSADYMHDFELQLPCQKRPVVIQVRDHKLLESLPIFGWLDGIIPLPSSNQNPRLPPADVLALPSDKPWLALPASEMENPLMLDTANTNITTRFDIAGSSIQPFISFFATLLTIIVFAFYRLKRGKGSKQDQEVKLQSVPKKKKPKRVGNSKSSGNNEKKNKPVSEENNVGNTNTLPYIERNEVKSLLNFTDLVDGRVDGRRIGKLLVSNKEIAKGSNGTIVLEGIYDGRPVAVKRLVQTHHDVALKEIQNLIASDQHPNIVRWFGVEFDQDFVYLSLERCTCSLNDLIYVCSESFQNQAIVKDEDSNFFNECNVRLHSVMENNKVIELWKPNGHPSLHLLKLMRDIVSGLAHLHELGIIHRDLKPQNVLIIMEKSLCAKLSDMGISKRLTGDMSCLTRGATGYGSSGWQAPEQLRQERQTRAVDLFSLGCVLFFCITGGKHPYGDSIERDVNIVNDRKDLFLIESIPEAMDLFSHLLDPNPELRPKAQDVLHHPLFWSSEMRLSFLREASDRVELEDRKSASEVLNELESIAAVALDGKWDEKLEPAFLNNIGHYRRYKFDSVRDLLRVIRNKQNHYREIPQDIQELLGPLPEGFDGYFSSRFPKLLIEVYKVLYKHCKEEKFFQKYMNSSII >KJB14205 pep chromosome:Graimondii2_0_v6:2:16113358:16117096:1 gene:B456_002G114100 transcript:KJB14205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) stress sensor, Transducer of ER stress, ER stress respons [Source: Projected from Oryza sativa (Os07g0471000)] MRTDYVLQYYSPNSAEVLWNVAFSKIDAEFRCQGPEEKLSADYMHDFELQLPCQKRPVVIQVRDHKLLESLPIFGWLDGIIPLPSSNQNPRLPPADVLALPSDKPWLALPASEMENPLMLDTANTNITTRFDIAGSSIQPFISFFATLLTIIVFAFYRLKRGKGSKQDQEVKLQSVPKKKKPKRVGNSKSSGNNEKKNKPVSEENNVGNTNTLPYIERNEVKSLLNFTDLVDGRVDGRRIGKLLVSNKEIAKGSNGTIVLEGIYDGRPVAVKRLVQTHHDVALKEIQNLIASDQHPNIVRWFGVEFDQDFVYLSLERCTCSLNDLIYVCSESFQNQAIVKDEDSNFFNECNVRLHSVMENNKVIELWKPNGHPSLHLLKLMRDIVSGLAHLHELGIIHRDLKPQNVLIIMEKSLCAKLSDMGISKRLTGDMSCLTRGATGYGSSGWQAPEQLRQERQTRAVDLFSLGCVLFFCITGGKHPYGDSIERDVNIVNDRKDLFLIESIPEAMDLFSHLLDPNPELRPKAQDVLHHPLFWSSEMRLSFLREASDRVELEDRKSASEVLNELESIAAVALDGKWDEKLEPAFLNNIGHYRRYKFDSVRDLLRVIRNKQNHYREIPQDIQELLGPLPEGFDGYFSSRFPKLLIEVYKVLYKHCKEEKFFQKYMNSSII >KJB16580 pep chromosome:Graimondii2_0_v6:2:60091336:60098128:1 gene:B456_002G237800 transcript:KJB16580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEANLILFFWAFSIFSCLCFSVSSVNFGYRFHSSAIKAEQVKLPRKSSGTNKLYKTKYFTQILDHFNFNPKSYQKFQHRYLINDTYWGGPKKNSPIFVYTGNEGDIEWFAQNTGFMFDIAPHFQALLVFIEHRFYGKSIPFGGDKDVAYSNASTLGYLTSTQALADYATLIIDLKKNLTAVDAPVVVFGGSYGGMLASWFRLKYPHVAIGALASSAPILNFENITSPYSFNNIITQDFRSESENCYKVIKGSWKKIEDTGKQSGGLELLRKSFRICKNFIDVDVLESWLETAFAYTAMTDYPTPSNFLNPMPAYPVKQMCKAIDDPKSGNDTFAKLYGAASVYYNYSGTATCFNLAYSPDPHGLDMWSWQACTEMIMPTSGSNKESIFPENQWNYSRRAAACKAFYGVHPRPNWITTEFGGHDIYRVLKRYGSNMIFFNGLRDPWSGGGVLKNISKTIVAIVAEQGAHHVDLRFATKDDPKWLRDVRQMEINIISDWISQYYHDLAHQS >KJB16583 pep chromosome:Graimondii2_0_v6:2:60091379:60097991:1 gene:B456_002G237800 transcript:KJB16583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEANLILFFWAFSIFSCLCFSVSSVNFGYRFHSSAIKAEQVKLPRKSSGTNKLYKTKYFTQILDHFNFNPKSYQKFQHRYLINDTYWGGPKKNSPIFVYTGNEGDIEWFAQNTGFMFDIAPHFQALLVFIEHRFYGKSIPFGGDKDVAYSNASTLGYLTSTQALADYATLIIDLKKNLTAVDAPVVVFGGSYGGMLASWFRLKYPHVAIGALASSAPILNFENITSPYSFNNIITQDFRSESENCYKVIKGSWKKIEDTGKQSGGLELLRKSFRICKNFIDVDVLESWLETAFAYTAMTDYPTPSNFLNPMPAYPVKQMCKAIDDPKSGNDTFAKLYGAASVYYNYSGTATCFNLAYSPDPHGLDMWSWQACTEMIMPTSGSNKESIFPENQWNYSRRAAACKAFYGVHPRPNWITTEFGGHGVEEVWKQYDLL >KJB16582 pep chromosome:Graimondii2_0_v6:2:60091379:60097386:1 gene:B456_002G237800 transcript:KJB16582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEANLILFFWAFSIFSCLCFSVSSVNFGYRFHSSAIKAEQVKLPRKSSGTNKLYKTKYFTQILDHFNFNPKSYQKFQHRYLINDTYWGGPKKNSPIFVYTGNEGDIEWFAQNTGFMFDIAPHFQALLVFIEHRFYGKSIPFGGDKDVAYSNASTLGYLTSTQALADYATLIIDLKKNLTAVDAPVVVFGGSYGGMLASWFRLKYPHVAIGALASSAPILNFENITSPYSFNNIITQDFRSESENCYKVIKGSWKKIEDTGKQSGGLELLRKSFRICKNFIDVDVLESWLETAFAYTAMTDYPTPSNFLNPMPAYPVKQMCKAIDDPKSGNDTFAKLYGAASVYYNYSGTATCFNLAYSPDPHGLDMWSWQACTEMIMPTSGSNKESIFPENQWNYSRRAAACKAFYGVHPRPNWITTEFGGHDIYRVLKRYGSNMIFFNGLRDPWSGGG >KJB16581 pep chromosome:Graimondii2_0_v6:2:60091379:60097139:1 gene:B456_002G237800 transcript:KJB16581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEANLILFFWAFSIFSCLCFSVSSVNFGYRFHSSAIKAEQVKLPRKSSGTNKLYKTKYFTQILDHFNFNPKSYQKFQHRYLINDTYWGGPKKNSPIFVYTGNEGDIEWFAQNTGFMFDIAPHFQALLVFIEHRFYGKSIPFGGDKDVAYSNASTLGYLTSTQALADYATLIIDLKKNLTAVDAPVVVFGGSYGGMLASWFRLKYPHVAIGALASSAPILNFENITSPYSFNNIITQDFRSESENCYKVIKGSWKKIEDTGKQSGGLELLRKSFRICKNFIDVDVLESWLETAFAYTAMTDYPTPSNFLNPMPAYPVKQMCKAIDDPKSGNDTFAKLYGAASVYYNYSGTATCFNLAYSPDPHGLDMWSWQACTEMIMPTSGSNKESIFPENQWNYSRRAAACKAFYGVHPRPNWITTEFGGHVSIQFSSFHLLP >KJB16585 pep chromosome:Graimondii2_0_v6:2:60091379:60097991:1 gene:B456_002G237800 transcript:KJB16585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEANLILFFWAFSIFSCLCFSVSSVNFGYRFHSSAIKAEQVKLPRKSSGTNKLYKTKYFTQILDHFNFNPKSYQKFQHRYLINDTYWGGPKKNSPIFVYTGNEGDIEWFAQNTGFMFDIAPHFQALLVFIEHRFYGKSIPFGGDKDVAYSNASTLGYLTSTQALADYATLIIDLKKNLTAVDAPVVVFGGSYGGSMIKIQFKQTLISFLFFSCLILMFWAVLASWFRLKYPHVAIGALASSAPILNFENITSPYSFNNIITQDFRSESENCYKVIKGSWKKIEDTGKQSGGLELLRKSFRICKNFIDVDVLESWLETAFAYTAMTDYPTPSNFLNPMPAYPVKQMCKAIDDPKSGNDTFAKLYGAASVYYNYSGTATCFNLAYSPDPHGLDMWSWQACTEMIMPTSGSNKESIFPENQWNYSRRAAACKAFYGVHPRPNWITTEFGGHDIYRVLKRYGSNMIFFNGLRDPWSGGGVLKNISKTIVAIVAEQGAHHVDLRFATKDDPKWLRDVRQMEINIISDWISQYYHDLAHQS >KJB16584 pep chromosome:Graimondii2_0_v6:2:60092142:60097739:1 gene:B456_002G237800 transcript:KJB16584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASWFRLKYPHVAIGALASSAPILNFENITSPYSFNNIITQDFRSESENCYKVIKGSWKKIEDTGKQSGGLELLRKSFRICKNFIDVDVLESWLETAFAYTAMTDYPTPSNFLNPMPAYPVKQMCKAIDDPKSGNDTFAKLYGAASVYYNYSGTATCFNLAYSPDPHGLDMWSWQACTEMIMPTSGSNKESIFPENQWNYSRRAAACKAFYGVHPRPNWITTEFGGHDIYRVLKRYGSNMIFFNGLRDPWSGGGVLKNISKTIVAIVAEQGAHHVDLRFATKDDPKWLRDVRQMEINIISDWISQYYHDLAHQS >KJB12394 pep chromosome:Graimondii2_0_v6:2:1037239:1049954:1 gene:B456_002G016000 transcript:KJB12394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLAANSAFPKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSSKTKAHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWIRNCRRALQSSLRQSGTIRNIAEDAAADAESSNADNQSAGENLPVEKVDASETSSRKEVSSTEATVRSKYDHDHSADNTPVEERTNNLDDDLLSDQVPTLAIHEKPSLQSSSGRLSVKSVAVALGSSQLHDISHQDEATMNGDVGSPSRRKHTEKGQGGNGDQIDNENKSFAFGPITQNAGLQKAAKASVTSSGNELIRFSDPPGDASLDDLFHPLDKNREERGAEASTSASASNVNHDTVPDTGKNDLAKKLRDTIAKKQMEEEMGQSNGGGNLLRLMMGVLKDDVIDIDGLDFEDKLPAENLFPLQAVEFGRLVGSLRPEESEDAIFTACQKLTAIFHQRPEQKIVFVSQRGLLPLMELLDVPKTRVICSVLQLINHIIKENTDFQENACLVGFIPLIKSFAGPDRPREIRMEAAYFLQQLCQSSSLTLQMFLACHGIPVLVGFLEADYAKYRQMVHLAIDGMWQVFKLQRSTPRNDFCRIAAKNGILPRLINTLYSLNEATRLATISGGGGSHSGPLDSSHPLFAQNETPFSVTDQSDVLKARHGMTEHSFPAGAQEPSRASISLSQRSDPNLPDSRYLAVDVDRPRSSNGALDVSISRESSASKEQENLDRAEDLRRQKISNALNRTSLDRPPKSIEGISNGLTTSVTTQAEQVRPLLSLLEKEPPRQQLEYVRHLPGLERHESILPLLHANDRKTNGELDFLMAEFAEVSGRGREIGGVDSTPKISHKSKKVGLLAVNEGTASTSGIASQTASGVLSGSGVLSARPGSTTSSGLLSNMVSTMSAAVAKEYLEKVADLLLEFAQADTTVKSYMCSQSLLNRLFQMFNRIEPPILLKILKCINHLSTDPNCLENLQRADAIKYLIPNLELNYGPLVSQIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMNFIMSDSPLKQHALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDELWSVIALDSLAVCLAHDNDNRKVEQALLKKEAIQKLVTFFQCCPEQHFVHILEPFLKIITKSSRINTTLAVNGLTPLLISRLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLKNLIEERRDGQRSGGQVLVKQMATSLLKALHINTVL >KJB12591 pep chromosome:Graimondii2_0_v6:2:1880846:1889836:-1 gene:B456_002G025800 transcript:KJB12591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVIGGGIKGLVSAYVLAKAGVDAVVYEKEEQLGGYAKTVNFDALDLDLGFLFLDPARYATLLNMFDCLGVDVETSDVSFSVSHDKGNNGYEWCSQYGFSNYFAQKKKLLNPFNWQSLREIIKFGNDVESYLGSLENNPDIDRTETLGQFINSKGYSENFQNTYLAPICGSMWSSSKEDVMSFSAFSILSFCRTHHLYQLFGQPQWLTIKGHSHFVKRVREVLETKGCQFKLGCEVQSVLPVDNDTTMVCGDGFQETYNGCIMAVDAPTALKLLGNQATFEETRVLGAFQYATSDIFLHQDSTLMPQNKSAWSALNFLNGSKNNAFLTYWLNALQNIGKTSEPFFVTVNPDHTPKNTLLKWSTGHAIPSVAASKASLELGQIQGKRGIWFCGYDFNQDELKAGMDAAHGILGKHSSVLDSPKNMSPSLPKNMSPSFMETTARLFVTKFFQQYISMGCVIFLEEGGRIFTFKGNMEKCPLKTVLKVHNPQFYWRIMKEADIGLADAYIHGDFSFLDENDGLLNLFRILVANKENSAASGSNKRRTWWSPALLTASISSAKYFVKHLLRQNTITQARRNISRHYDLSNELFSLYLGKMMQYSSGVFRTGEEHLDVAQRRKISSLIEKTRIEKWHEVLDIGCGWGSLAIETVKRTGCKYTGITLSEQQLEYAQEKVKEAGLEDNIKILLCDYRQLPKEHQFDRIISVEMVEHVGEEYIEEFYRCCDQLLKEDGLFVLQFISIPEELSKEIQQTAGFLKEYIFPGGTLLSLDRNLSAMAAATRFSVEHVENIGMSYYHTLRWWRKLFLENTSKVLALGFDEKFMRTWEYYFDYCAAGFKTGTLTDYQVVFSRAGNFGTLGDPYKGFRSAYSFMDD >KJB12590 pep chromosome:Graimondii2_0_v6:2:1880692:1889822:-1 gene:B456_002G025800 transcript:KJB12590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVIGGGIKGLVSAYVLAKAGVDAVVYEKEEQLGGYAKTVNFDALDLDLGFLFLDPARYATLLNMFDCLGVDVETSDVSFSVSHDKGNNGYEWCSQYGFSNYFAQKKKLLNPFNWQSLREIIKFGNDVESYLGSLENNPDIDRTETLGQFINSKGYSENFQNTYLAPICGSMWSSSKEDVMSFSAFSILSFCRTHHLYQLFGQPQWLTIKGHSHFVKRVREVLETKGCQFKLGCEVQSVLPVDNDTTMVCGDGFQETYNGCIMAVDAPTALKLLGNQATFEETRVLGAFQYATSDIFLHQDSTLMPQNKSAWSALNFLNGSKNNAFLTYWLNALQNIGKTSEPFFVTVNPDHTPKNTLLKWSTGHAIPSVAASKASLELGQIQGKRGIWFCGYDFNQDELKAGMDAAHGILGKHSSVLDSPKNMSPSLPKNMSPSFMETTARLFVTKFFQQYISMGCVIFLEEGGRIFTFKGNMEKCPLKTVLKVHNPQFYWRIMKEADIGLADAYIHGDFSFLDENDGLLNLFRILVANKENSAASGSNKRRTWWSPALLTASISSAKYFVKHLLRQNTITQARRNISRHYDLSNELFSLYLGKMMQYSSGVFRTGEEHLDVAQRRKISSLIEKTRIEKWHEVLDIGCGWGSLAIETVKRTGCKYTGITLSEQQLEYAQEKVKEAGLEDNIKILLCDYRQLPKEHQFDRIISVEMVEHVGEEYIEEFYRCCDQLLKEDGLFVLQFISIPEELSKEIQQTAGFLKEYIFPGGTLLSLDRNLSAMAAATRFSVEHVENIGMSYYHTLRWWRKLFLENTSKVLALGFDEKFMRTWEYYFDYCAAGFKTGTLTDYQVVFSRAGNFGTLGDPYKGFRSAYSFMDD >KJB14732 pep chromosome:Graimondii2_0_v6:2:24636114:24639070:1 gene:B456_002G140900 transcript:KJB14732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSHESQSLHLKDRRVDALGNFNLLPDELICAILDYLTPRDIARLACVSSVMYIFCNEEPLWMSLCLKKVNGPLPYKGSWKKTTLHLENLPNKYIDYCRKPLQFDGFHSFFLYKRLYRCHSTLDGFSFDDGNVERQNVLSKEQFDREYDRKKPVLLTGLAECWPARTNWTIDKLLLKYGDTAFKISQRTAGKISMKFKDYVSYMNEQHDEDPLYIFDHKFGESAPGLLEDYNVPQIFQEDFFDVLDRDSRPPFRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPLGVTVHVNDEDGDVNIDTPSSLQWWLDYYPLLADEDKPIECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNPRNFEFVCLDMAPGFQHKGVCRVGLLAVDGGCLMNMEKNMSCDKDNFNNSDLTRKEKRVKILRSQESENHEETANAASRRYNLWKHGFSYDINYLTMFLDREKDHYTSPWSSGNCIGPREMREWLSRLWVGKPRMRELIWKVFHIFNKSVFIPPIQSISFTSISLPMHELPKKILIGSHMF >KJB14731 pep chromosome:Graimondii2_0_v6:2:24635705:24643892:1 gene:B456_002G140900 transcript:KJB14731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSHESQSLHLKDRRVDALGNFNLLPDELICAILDYLTPRDIARLACVSSVMYIFCNEEPLWMSLCLKKVNGPLPYKGSWKKTTLHLENLPNKYIDYCRKPLQFDGFHSFFLYKRLYRCHSTLDGFSFDDGNVERQNVLSKEQFDREYDRKKPVLLTGLAECWPARTNWTIDKLLLKYGDTAFKISQRTAGKISMKFKDYVSYMNEQHDEDPLYIFDHKFGESAPGLLEDYNVPQIFQEDFFDVLDRDSRPPFRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPLGVTVHVNDEDGDVNIDTPSSLQWWLDYYPLLADEDKPIECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNPRNFEFVCLDMAPGFQHKGVCRVGLLAVDGGCLMNMEKNMSCDKDNFNNSDLTRKEKRVKILRSQESENHEETANAASRRYNLWKHGFSYDINYLTMFLDREKDHYTSPWSSGNCIGPREMREWLSRLWVGKPRMRELIWKGACLAINADKWLECLGKICSFHNLPFPNDDEKLPVGTGSNPVYVMDEYVVKIFVEGGLEASILGLGTELEFYNTLCEVDSPLKNYIPTVLASGILHLENGSFEIDSWDGKKVPDVLAKCNLIPETGKGDVFPFGIRSKKLFEYRKAGLPESGPDSSAGSNSIWPYLITKRCKGKIYAELRDELSWEDVLNLASFLGEQLQNLHSLPYPSLGNSSLSVVEQKKEFSFANGMDINVACNELDIHIPAEWEIFVRTLSQKKKDVSSRLNKWGVPVPEKLMEKIDGYLPDDFLKLLFISEQNGMKRALKPLSWIHSDIMDDNVYMEPCCTFGSNEIAALTDNGSVNGHNNGGEVKSWRPNYIIDFSDLSIGDPLYDVIPIHLDVFRGNSSLLKQFLQCYKLPLMRKTPENRSITANDKFRRLSYQAMCYCILHDENVLGAIFSLWTELQTAETWEEVEQIVWGELNNYQGVACHVTPTPNL >KJB14734 pep chromosome:Graimondii2_0_v6:2:24636114:24641803:1 gene:B456_002G140900 transcript:KJB14734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSHESQSLHLKDRRVDALGNFNLLPDELICAILDYLTPRDIARLACVSSVMYIFCNEEPLWMSLCLKKVNGPLPYKGSWKKTTLHLENLPNKYIDYCRKPLQFDGFHSFFLYKRLYRCHSTLDGFSFDDGNVERQNVLSKEQFDREYDRKKPVLLTGLAECWPARTNWTIDKLLLKYGDTAFKISQRTAGKISMKFKDYVSYMNEQHDEDPLYIFDHKFGESAPGLLEDYNVPQIFQEDFFDVLDRDSRPPFRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPLGVTVHVNDEDGDVNIDTPSSLQWWLDYYPLLADEDKPIECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNPRNFEFVCLDMAPGFQHKGVCRVGLLAVDGGCLMNMEKNMSCDKDNFNNSDLTRKEKRVKILRSQESENHEETANAASRRYNLWKHGFSYDINYLTMFLDREKDHYTSPWSSGNCIGPREMREWLSRLWVGKPRMRELIWKGACLAINADKWLECLGKICSFHNLPFPNDDEKLPVGTGSNPVYVMDEYVVKIFVEGGLEASILGLGTELEFYNTLCEVDSPLKNYIPTVLASGILHLENGSFEIDSWDGKKVPDVLAKCNLIPETGKGDVFPFGIRSKKLFEYRKAGLPESGPDSSAGSNSIWPYLITKRCKGKIYAELRDELSWEDVLNLASFLGEQLQNLHSLPYPSLGNSSLSVVEQKKEFSFANGMDINVACNELDIHIPAEWEIFVRTLSQKKKDVSSRLNKWYTI >KJB14735 pep chromosome:Graimondii2_0_v6:2:24636114:24642838:1 gene:B456_002G140900 transcript:KJB14735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSHESQSLHLKDRRVDALGNFNLLPDELICAILDYLTPRDIARLACVSSVMYIFCNEEPLWMSLCLKKVNGPLPYKGSWKKTTLHLENLPNKYIDYCRKPLQFDGFHSFFLYKRLYRCHSTLDGFSFDDGNVERQNVLSKEQFDREYDRKKPVLLTGLAECWPARTNWTIDKLLLKYGDTAFKISQRTAGKISMKFKDYVSYMNEQHDEDPLYIFDHKFGESAPGLLEDYNVPQIFQEDFFDVLDRDSRPPFRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPLGVTVHVNDEDGDVNIDTPSSLQWWLDYYPLLADEDKPIECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNPRNFEFVCLDMAPGFQHKGVCRVGLLAVDGGCLMNMEKNMSCDKDNFNNSDLTRKEKRVKILRSQESENHEETANAASRRYNLWKHGFSYDINYLTMFLDREKDHYTSPWSSGNCIGPREMREWLSRLWVGKPRMRELIWKGACLAINADKWLECLGKICSFHNLPFPNDDEKLPVGTGSNPVYVMDEYVVKIFVEGGLEASILGLGTELEFYNTLCEVDSPLKNYIPTVLASGILHLENGSFEIDSWDGKKVPDVLAKCNLIPETGKGDVFPFGIRSKKLFEYRKAGLPESGPDSSAGSNSIWPYLITKRCKGKIYAELRDELSWEDVLNLASFLGEQLQNLHSLPYPSLGNSSLSVVEQKKEFSFANGMDINVACNELDIHIPAEWEIFVRTLSQKKKDVSSRLNKWGVPVPEKLMEKIDGYLPDDFLKLLFISEQNGMKRALKPLSWIHSDIMDDNVYMEPCCTFGSNEIAALTDNGSVNGHNNGGEVKSWRPNYIIDFSDLSIGQCIFFLISCCYK >KJB14737 pep chromosome:Graimondii2_0_v6:2:24636114:24643814:1 gene:B456_002G140900 transcript:KJB14737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSHESQSLHLKDRRVDALGNFNLLPDELICAILDYLTPRDIARLACVSSVMYIFCNEEPLWMSLCLKKVNGPLPYKGSWKKTTLHLENLPNKYIDYCRKPLQFDGFHSFFLYKRLYRCHSTLDGFSFDDGNVERQNVLSKEQFDREYDRKKPVLLTGLAECWPARTNWTIDKLLLKYGDTAFKISQRTAGKISMKFKDYVSYMNEQHDEDPLYIFDHKFGESAPGLLEDYNVPQIFQEDFFDVLDRDSRPPFRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPLGVTVHVNDEDGDVNIDTPSSLQWWLDYYPLLADEDKPIECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNPRNFEFVCLDMAPGFQHKGVCRVGLLAVDGGCLMNMEKNMSCDKDNFNNSDLTRKEKRVKILRSQESENHEETANAASRRYNLWKHGFSYDINYLTMFLDREKDHYTSPWSSGNCIGPREMREWLSRLWVGKPRMRELIWKGACLAINADKWLECLGKICSFHNLPFPNDDEKLPVGTGSNPVYVMDEYVVKIFVEGGLEASILGLGTELEFYNTLCEVDSPLKNYIPTVLASGILHLENGSFEIDSWDGKKVPDVLAKCNLIPETGKGDVFPFGIRSKKLFEYRKAGLPESGPDSSAGSNSIWPYLITKRCKGKIYAELRDELSWEDVLNLASFLGEQLQNLHSLPYPSLGNSSLSVVEQKKEFSFANGMDINVACNELDIHIPAEWEIFVRTLSQKKKDVSSRLNKWGVPVPEKLMEKIDGYLPDDFLKLLFISEVFYSPTIFVFFLFSLSAVPDAIHY >KJB14736 pep chromosome:Graimondii2_0_v6:2:24636114:24643814:1 gene:B456_002G140900 transcript:KJB14736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSHESQSLHLKDRRVDALGNFNLLPDELICAILDYLTPRDIARLACVSSVMYIFCNEEPLWMSLCLKKVNGPLPYKGSWKKTTLHLENLPNKYIDYCRKPLQFDGFHSFFLYKRLYRCHSTLDGFSFDDGNVERQNVLSKEQFDREYDRKKPVLLTGLAECWPARTNWTIDKLLLKYGDTAFKISQRTAGKISMKFKDYVSYMNEQHDEDPLYIFDHKFGESAPGLLEDYNVPQIFQEDFFDVLDRDSRPPFRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPLGVTVHVNDEDGDVNIDTPSSLQWWLDYYPLLADEDKPIECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNPRNFEFVCLDMAPGFQHKGVCRVGLLAVDGGCLMNMEKNMSCDKDNFNNSDLTRKEKRVKILRSQESENHEETANAASRRYNLWKHGFSYDINYLTMFLDREKDHYTSPWSSGNCIGPREMREWLSRLWVGKPRMRELIWKGACLAINADKWLECLGKICSFHNLPFPNDDEKLPVGTGSNPVYVMDEYVVKIFVEGGLEASILGLGTELEFYNTLCEVDSPLKNYIPTVLASGILHLENGSFEIDSWDGKKVPDVLAKCNLIPETGKGDVFPFGIRSKKLFEYRKAGLPESGPDSSAGSNSIWPYLITKRCKGKIYAELRDELSWEDVLNLASFLGEQLQNLHSLPYPSLGNSSLSVVEQKKEFSFANGMDINVACNELDIHIPAEWEIFVRTLSQKKKDVSSRLNKWGVPVPEKLMEKIDGYLPDDFLKLLFISEQNGMKRALKPLSWIHSDIMDDNVYMEPCCTFGSNEIAALTDNGSVNGHNNGGEVKSWRPNYIIDFSDLSIGDPLYDVIPIHLDVFRGNSSLLKQFLQCYKLPLMRKTPENRSITANDKFRRLSYQAM >KJB14733 pep chromosome:Graimondii2_0_v6:2:24636114:24639686:1 gene:B456_002G140900 transcript:KJB14733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSHESQSLHLKDRRVDALGNFNLLPDELICAILDYLTPRDIARLACVSSVMYIFCNEEPLWMSLCLKKVNGPLPYKGSWKKTTLHLENLPNKYIDYCRKPLQFDGFHSFFLYKRLYRCHSTLDGFSFDDGNVERQNVLSKEQFDREYDRKKPVLLTGLAECWPARTNWTIDKLLLKYGDTAFKISQRTAGKISMKFKDYVSYMNEQHDEDPLYIFDHKFGESAPGLLEDYNVPQIFQEDFFDVLDRDSRPPFRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPLGVTVHVNDEDGDVNIDTPSSLQWWLDYYPLLADEDKPIECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNPRNFEFVCLDMAPGFQHKGVCRVGLLAVDGGCLMNMEKNMSCDKDNFNNSDLTRKEKRVKILRSQESENHEETANAASRRYNLWKHGFSYDINYLTMFLDREKDHYTSPWSSGNCIGPREMREWLSRLWVGKPRMRELIWKGACLAINADKWLECLGKICSFHNLPFPNDDEKLPVGTGSNPVSFFFFLSFFVPHLTAVFF >KJB13253 pep chromosome:Graimondii2_0_v6:2:7623631:7626955:-1 gene:B456_002G065000 transcript:KJB13253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) UniProtKB/Swiss-Prot;Acc:Q9ZRA2] MVYTLYVELAAHSFAMDLLFTWLWITTECGRLQVSPGEIVVLPQGFRFVVDLPDGPSRGYVAEVFGTHFQLPDLGPIGANGLAASRDFLAPTAWFEDCPRPGFTIIQKFGGELFNARQDFSPFNVVAWHGNYVPYKYDLSKFCPYNTVLIDHGDPSINTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFLPGGASLHSCMTPHGPDTKTYEATVARGNEAGPHKITDTMAFMFESCLMPRTCPWALDSHFRDLDYYQCWIGLKSHFSHDKTDVKSNDLQNGNKSG >KJB13252 pep chromosome:Graimondii2_0_v6:2:7623631:7626955:-1 gene:B456_002G065000 transcript:KJB13252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) UniProtKB/Swiss-Prot;Acc:Q9ZRA2] MEKPATEVIKGDGWGRFPDDLEYHSGFGNHFESEAIAGSLPRGQNSPLICPFGLYAEQISGTSFTSPRKLSQRSWFYRIKPSVTHEPFWPREPSHKKLVSEFDGSNTVANPTQLRWKPVDIPDSPTDFIDGLYTICGAGSSFLRHGFAIHMYTANKSMDNCAFCNADGDFLVVPQQGRLWITTECGRLQVSPGEIVVLPQGFRFVVDLPDGPSRGYVAEVFGTHFQLPDLGPIGANGLAASRDFLAPTAWFEDCPRPGFTIIQKFGGELFNARQDFSPFNVVAWHGNYVPYKAKADGFLPGGASLHSCMTPHGPDTKTYEATVARGNEAGPHKITDTMAFMFESCLMPRTCPWALDSHFRDLDYYQCWIGLKSHFSHDKTDVKSNDLQNGNKSG >KJB13254 pep chromosome:Graimondii2_0_v6:2:7623631:7626955:-1 gene:B456_002G065000 transcript:KJB13254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) UniProtKB/Swiss-Prot;Acc:Q9ZRA2] MEKPATEVIKGDGWGRFPDDLEYHSGFGNHFESEAIAGSLPRGQNSPLICPFGLYAEQISGTSFTSPRKLSQRSWFYRIKPSVTHEPFWPREPSHKKLVSEFDGSNTVANPTQLRWKPVDIPDSPTDFIDGLYTICGAGSSFLRHGFAIHMYTANKSMDNCAFCNADGDFLVVPQQGRLWITTECGRLQVSPGEIVVLPQGFRFVVDLPDGPSRGYVAEVFGANGLAASRDFLAPTAWFEDCPRPGFTIIQKFGGELFNARQDFSPFNVVAWHGNYVPYKYDLSKFCPYNTVLIDHGDPSINTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFLPGGASLHSCMTPHGPDTKTYEATVARGNEAGPHKITDTMAFMFESCLMPRTCPWALDSHFRDLDYYQCWIGLKSHFSHDKTDVKSNDLQNGNKSG >KJB13255 pep chromosome:Graimondii2_0_v6:2:7624547:7626955:-1 gene:B456_002G065000 transcript:KJB13255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) UniProtKB/Swiss-Prot;Acc:Q9ZRA2] MEKPATEVIKGDGWGRFPDDLEYHSGFGNHFESEAIAGSLPRGQNSPLICPFGLYAEQISGTSFTSPRKLSQRSWFYRIKPSVTHEPFWPREPSHKKLVSEFDGSNTVANPTQLRWKPVDIPDSPTDFIDGLYTICGAGSSFLRHGFAIHMYTANKSMDNCAFCNADGDFLVVPQQGRLWITTECGRLQVSPGEIVVLPQGFRFVVDLPDGPSRGYVAEVFGTHFQLPDLGPIGANGLAASRDFLAPTAWFEDCPRPGFTIIQKFGGELFNARQDFSPFNVVAWHGNYVPYKYDLSKFCPYNTVLIDHGDPSINTGMLRDDLCLHIVFLLRLLGFNCTCT >KJB13251 pep chromosome:Graimondii2_0_v6:2:7624992:7626881:-1 gene:B456_002G065000 transcript:KJB13251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) UniProtKB/Swiss-Prot;Acc:Q9ZRA2] MEKPATEVIKGDGWGRFPDDLEYHSGFGNHFESEAIAGSLPRGQNSPLICPFGLYAEQISGTSFTSPRKLSQRSWFYRIKPSVTHEPFWPREPSHKKLVSEFDGSNTVANPTQLRWKPVDIPDSPTDFIDGLYTICGAGSSFLRHGFAIHMYTANKSMDNCAFCNADGDFLVVPQQGRLWITTECGRLQVSPGEIVVLPQGFRFVVDLPDGPSRGYVAEVFGTHFQLPDLGPIGANGLAASRDFLAPTAWFEDCPRPGFTIIQKFGGELFNARQDFSPFNVVAWHGNYVPYKVGAINLQTKIIKNYKILTMIHSSNLILLKMLDSV >KJB13249 pep chromosome:Graimondii2_0_v6:2:7624322:7626881:-1 gene:B456_002G065000 transcript:KJB13249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) UniProtKB/Swiss-Prot;Acc:Q9ZRA2] MEKPATEVIKGDGWGRFPDDLEYHSGFGNHFESEAIAGSLPRGQNSPLICPFGLYAEQISGTSFTSPRKLSQRSWFYRIKPSVTHEPFWPREPSHKKLVSEFDGSNTVANPTQLRWKPVDIPDSPTDFIDGLYTICGAGSSFLRHGFAIHMYTANKSMDNCAFCNADGDFLVVPQQGRLWITTECGRLQVSPGEIVVLPQGFRFVVDLPDGPSRGYVAEVFGTHFQLPDLGPIGANGLAASRDFLAPTAWFEDCPRPGFTIIQKFGGELFNARQDFSPFNVVAWHGNYVPYKYDLSKFCPYNTVLIDHGDPSINTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFLPGGASLHSCMTPHGPDTKTYEVIILSTTLGSQVNFHYAIFVLRVV >KJB13248 pep chromosome:Graimondii2_0_v6:2:7623941:7626881:-1 gene:B456_002G065000 transcript:KJB13248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) UniProtKB/Swiss-Prot;Acc:Q9ZRA2] MEKPATEVIKGDGWGRFPDDLEYHSGFGNHFESEAIAGSLPRGQNSPLICPFGLYAEQISGTSFTSPRKLSQRSWFYRIKPSVTHEPFWPREPSHKKLVSEFDGSNTVANPTQLRWKPVDIPDSPTDFIDGLYTICGAGSSFLRHGFAIHMYTANKSMDNCAFCNADGDFLVVPQQGRLWITTECGRLQVSPGEIVVLPQGFRFVVDLPDGPSRGYVAEVFGTHFQLPDLGPIGANGLAASRDFLAPTAWFEDCPRPGFTIIQKFGGELFNARQDFSPFNVVAWHGNYVPYKYDLSKFCPYNTVLIDHGDPSINTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFLPGGASLHSCMTPHGPDTKTYEATVARGNEAGPHKITDTMAFMFESCLMPRTCPWALDSHFRDLDYYQCWIGLKSHFSHDKTDVKSNDLQNGNKSG >KJB13250 pep chromosome:Graimondii2_0_v6:2:7623593:7627126:-1 gene:B456_002G065000 transcript:KJB13250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) UniProtKB/Swiss-Prot;Acc:Q9ZRA2] MEKPATEVIKGDGWGRFPDDLEYHSGFGNHFESEAIAGSLPRGQNSPLICPFGLYAEQISGTSFTSPRKLSQRSWFYRIKPSVTHEPFWPREPSHKKLVSEFDGSNTVANPTQLRWKPVDIPDSPTDFIDGLYTICGAGSSFLRHGFAIHMYTANKSMDNCAFCNADGDFLVVPQQGRLWITTECGRLQVSPGEIVVLPQGFRFVVDLPDGPSRGYVAEVFGTHFQLPDLGPIGANGLAASRDFLAPTAWFEDCPRPGFTIIQKFGGELFNARQDFSPFNVVAWHGNYVPYKYDLSKFCPYNTVLIDHGDPSINTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFLPGGASLHSCMTPHGPDTKTYEATVARGNEAGPHKITDTMAFMFESCLMPRTCPWALDSHFRDLDYYQCWIGLKSHFSHDKTDVKSNDLQNGNKSG >KJB11807 pep chromosome:Graimondii2_0_v6:2:12343251:12344731:1 gene:B456_002G0976002 transcript:KJB11807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRFAVIVPRSTRFFSSKDSLDLASTIAELNKEMESVFGEPPPDGLANSGNRSCMAQDVHHNSHVILVLCLKNLLFEVPLILQQLTNSLLEMEEEKAIQCAREKASIEAIEEKRKLYNS >KJB14786 pep chromosome:Graimondii2_0_v6:2:25741804:25745429:-1 gene:B456_002G142800 transcript:KJB14786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQGDLDRQIEHLMECKPLSEAEVKALCEQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNVRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLSLISRAHQLVMEGYNWCQV >KJB14785 pep chromosome:Graimondii2_0_v6:2:25741055:25745492:-1 gene:B456_002G142800 transcript:KJB14785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQGDLDRQIEHLMECKPLSEAEVKALCEQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNVRALDRIQEDQCVISCGLILMTAVDGVYLRVVLVIRSDKILQLSSTIPMVSV >KJB14787 pep chromosome:Graimondii2_0_v6:2:25741055:25745825:-1 gene:B456_002G142800 transcript:KJB14787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQGDLDRQIEHLMECKPLSEAEVKALCEQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNVRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLSLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQVEPDTTRKTPDYFL >KJB16864 pep chromosome:Graimondii2_0_v6:2:61531078:61537242:1 gene:B456_002G251800 transcript:KJB16864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL7 [Source:Projected from Arabidopsis thaliana (AT5G02800) UniProtKB/Swiss-Prot;Acc:Q0WRY5] MGWLPCSGKSNTKANKSKKKKKMEEMALDRLKPTSEKSKTDSSSSGETSNRGGSEHIAAQTFPFRELAMATKNFSSECLLGEGGFGRVYKGRLESINQIVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLTGYCADGDQRLLVYEYMPLGSLEDHLHDISPSKKRLDWSTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLAEGYFPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLEIITGRKAIDNSKASGEQNLVAWARPLFKDRKMFSQMADPMLQGQYPPRGLFQALAVAAMCVQEQPNMRPLIADVVTALTYLASQKFKPDTQPIQGSRLMPGTPPRTKRDRDKKLNGGNSSERDRTRRLS >KJB16865 pep chromosome:Graimondii2_0_v6:2:61530861:61535210:1 gene:B456_002G251800 transcript:KJB16865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL7 [Source:Projected from Arabidopsis thaliana (AT5G02800) UniProtKB/Swiss-Prot;Acc:Q0WRY5] MGWLPCSGKSNTKANKSKKKKKMEEMALDRLKPTSEKSKTDSSSSGETSNRGGSEHIAAQTFPFRELAMATKNFSSECLLGEGGFGRVYKGRLESINQIVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLTGYCADGDQRLLVYEYMPLGSLEDHLHDISPSKKRLDWSTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLAEGYFPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLEIITGRKAIDNSKASGEQNLVAWARPLFKDRKMFSQMADPMLQGQYPPRGLFQALAVAAMCVQEQPNMRPLIADVVTALTYLASQKFKPDTQPIQGSRLMPGTPPRTKRDRDKKLNGGNSSERDRTRRLS >KJB12052 pep chromosome:Graimondii2_0_v6:2:44613290:44614530:-1 gene:B456_002G174600 transcript:KJB12052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPIEHPQWPPAPYHYGQPAPPPFAPVADPNQQMRNQNYYMHPAGKVLWSTGLCDCCYDIPNCIITCFCPCITFGQIAEIVDNGSVSCLASGVLYSIIFWMSGLACMYSCFYRSRMRNQYMLAETPYPDWCLHLCCEVCALCQEYRELKNRGFNMNIGWHANMENMRNRAMQMSMPPVVEDGMKR >KJB12517 pep chromosome:Graimondii2_0_v6:2:1556020:1559124:1 gene:B456_002G022300 transcript:KJB12517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPVSEGKGAVPCDFCNEQIAVLYCRADSAKLCLFCDQHVHSANLLSRKHVRSQICDNCSSEPVSVLCSTDNLVLCQECDWDAHGSCSVSAAHDRTLVEGFSGCPSALELASVWGFDLEEKKTTDRNWNVCHQDLMMPAVESWLYETSLQEMMVPYESFGCYGETLKKQNTGSGKCKQVIYKQLVELMKRDLMCGGGGEGVGDGGGGGGGEENLASNVEQNGGFLTQQEVLQPLPEPGTETQTQALFTSLLMMQTQESERIVNGGNVVLNGNPNNQTSQIWDFKLGRLRGQEECSQSRLEEEVGYGGDAAFVIKNFSQCMKETSLTDNGNLMGYPPSKHDLASLNNNDSNHPGASQGPSTSESNNLPIPKPSSGSALGKPKGSTGCNDVHFSEQPFLVRPVDHTTLTATSKADRELLAQNRGNAMQRYKEKKKTRRYDKHIRYESRKARADTRKRVKGRFVKATEAPDD >KJB16568 pep chromosome:Graimondii2_0_v6:2:59818045:59819961:-1 gene:B456_002G236700 transcript:KJB16568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRNGPKLELKLNLSPPRRNPRMEPSPSGSATVSPTSPPSSCVSSEMNHDVEMMMMSSPETTTTATSMVLVGCPRCLMYVMLSEDEPKCPKCKSTVLLDFLHDTATTTKARKS >KJB16749 pep chromosome:Graimondii2_0_v6:2:60950910:60959487:1 gene:B456_002G245500 transcript:KJB16749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELDYGVDGSLGQTREAVTQSQTQASSSSSSCKRVNNIQVNGYIVYTRVKKSRINCRVSENSDSEKLEVFNKPINGVKVSLIDEDQENKTLADTSGVNNNLNEGRSGNGNVAGDKVVVENVVDESLVVRDIVKGGQLIEALVEESHTIGENAIVGNLVVEAIGIDGKPVVQSSQSMDELETCLVEKRGFDSSDADDDDLLLKTLRRPKKSLLRPKVETEESLVCEEQNVENVLVSNSGGEEAAEESGLTTPRKNLELKMSKKISLNKCPMTVKELFDTGLLDGVPVVYMGTISSKTAGLRGIITDGGILCSCSLCKGRRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRGPLHTLEATIQNIIRAVPEQKCFTCRRCKGSFPVIHVGQVGPLCNSCVELKKSQCITMSSPSVGTRSQEPVSMLQSFVSAPLSISPQNRSQRKKASKSSELDLTSSSPQCSSSSISSQNRRPWKTTRKLTKPGLFTKSLKSAPVHISSQDKGQWRTKKKAVKPVLMPKTFKGASSPIYSPNGSQWKKTTKDQQLHKLVFEEDGLPDGTEVAYYARGQRLLEGYKKGFGIICRCCNCEVSPSQFEAHAGWASRRKPYAHIYTSNGVSLHELAISLSKGRLYSAKDNDVACIICADGGNLLLCNGCPRAFHKECASLPTIPHGRWYCKYCQNMFMREKCAEHNANAAAAGRILGVDAIEQITRRCIRIVKNIEAELSGCALCRACDFSKSGFGPRTVILCDQCEKEYHIGCLRTHKMADLREIPKGKWFCCSDCGRIHSTLQKLLIHGAERLPDSLLDVLKKKYVEKGLDADINIDVRWRLLSGKFASPETRLLLSQAVGIFHECFNPIVDAATGRDLIPCMVYGRNLKGQEYGGMYCAVLTINSFVVSAGIIRVFGQEIAEIPLVATSMANHGKGYFQLLFSCIEKLLAFLNVKNIVLPAAEEAESIWTDKFGFKKLRPDQLSEYRKSCCQMVIFQGTSMLQKEVPTDQLVSSIERTELYKHMNQGRSYFLDSLLLRNSPATYKNLNSLA >KJB16745 pep chromosome:Graimondii2_0_v6:2:60950898:60959619:1 gene:B456_002G245500 transcript:KJB16745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELETCLVEKRGFDSSDADDDDLLLKTLRRPKKSLLRPKVETEESLVCEEQNVENVLVSNSGGEEAAEESGLTTPRKNLELKMSKKISLNKCPMTVKELFDTGLLDGVPVVYMGTISSKTAGLRGIITDGGILCSCSLCKGRRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRGPLHTLEATIQNIIRAVPEQKCFTCRRCKGSFPVIHVGQVGPLCNSCVELKKSQCITMSSPSVGTRSQEPVSMLQSFVSAPLSISPQNRSQRKKASKSSELDLTSSSPQCSSSSISSQNRRPWKTTRKLTKPGLFTKSLKSAPVHISSQDKGQWRTKKKAVKPVLMPKTFKGASSPIYSPNGSQWKKTTKDQQLHKLVFEEDGLPDGTEVAYYARGQRLLEGYKKGFGIICRCCNCEVSPSQFEAHAGWASRRKPYAHIYTSNGVSLHELAISLSKGRLYSAKDNDVACIICADGGNLLLCNGCPRAFHKECASLPTIPHGRWYCKYCQNMFMREKCAEHNANAAAAGRILGVDAIEQITRRCIRIVKNIEAELSGCALCRACDFSKSGFGPRTVILCDQCEKEYHIGCLRTHKMADLREIPKGKWFCCSDCGRIHSTLQKLLIHGAERLPDSLLDVLKKKYVEKGLDADINIDVRWRLLSGKFASPETRLLLSQAVGIFHECFNPIVDAATGRDLIPCMVYGRNLKGQEYGGMYCAVLTINSFVVSAGIIRVFGQEIAEIPLVATSMANHGKGYFQLLFSCIEKLLAFLNVKNIVLPAAEEAESIWTDKFGFKKLRPDQLSEYRKSCCQMVIFQGTSMLQKEVPTDQLVSSIERTELYKHMNQGRSYFLE >KJB16747 pep chromosome:Graimondii2_0_v6:2:60950960:60958106:1 gene:B456_002G245500 transcript:KJB16747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELDYGVDGSLGQTREAVTQSQTQASSSSSSCKRVNNIQVNGYIVYTRVKKSRINCRVSENSDSEKLEVFNKPINGVKVSLIDEDQENKTLADTSGVNNNLNEGRSGNGNVAGDKVVVENVVDESLVVRDIVKGGQLIEALVEESHTIGENAIVGNLVVEAIGIDGKPVVQSSQSMDELETCLVEKRGFDSSDADDDDLLLKTLRRPKKSLLRPKVETEESLVCEEQNVENVLVSNSGGEEAAEESGLTTPRKNLELKMSKKISLNKCPMTVKELFDTGLLDGVPVVYMGTISSKTAGLRGIITDGGILCSCSLCKGRRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRGPLHTLEATIQNIIRAVPEQKCFTCRRCKGSFPVIHVGQVGPLCNSCVELKKSQCITMSSPSVGTRSQEPVSMLQSFVSAPLSISPQNRSQRKKASKSSELDLTSSSPQCSSSSISSQNRRPWKTTRKLTKPGLFTKSLKSAPVHISSQDKGQWRTKKKAVKPVLMPKTFKGASSPIYSPNGSQWKKTTKDQQLHKLVFEEDGLPDGTEVAYYARGQRLLEGYKKGFGIICRCCNCEVSPSQFEAHAGWASRRKPYAHIYTSNGVSLHELAISLSKGRLYSAKDNDVACIICADGGNLLLCNGCPRAFHKECASLPTIPHGRWYCKYCQNMFMREKCAEHNANAAAAGRILGVDAIEQITRRCIRIVKNIEAELSGCALCRACDFSKSGFGPRTVILCDQCEKEYHIGCLRTHKMADLREIPKGKWFCCSDCGRIHSTLQKLLIHGAERLPDSLLDVLKKKYVEKGLDADINIDVRWRLLSGKFASPETRLLLSQAVGIFHECFNPIVDAATGRDLIPCMVYGRNLKGQEYGGMYCAVLTIK >KJB16748 pep chromosome:Graimondii2_0_v6:2:60950960:60959074:1 gene:B456_002G245500 transcript:KJB16748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELDYGVDGSLGQTREAVTQSQTQASSSSSSCKRVNNIQVNGYIVYTRVKKSRINCRVSENSDSEKLEVFNKPINGVKVSLIDEDQENKTLADTSGVNNNLNEGRSGNGNVAGDKVVVENVVDESLVVRDIVKGGQLIEALVEESHTIGENAIVGNLVVEAIGIDGKPVVQSSQSMDELETCLVEKRGFDSSDADDDDLLLKTLRRPKKSLLRPKVETEESLVCEEQNVENVLVSNSGGEEAAEESGLTTPRKNLELKMSKKISLNKCPMTVKELFDTGLLDGVPVVYMGTISSKTAGLRGIITDGGILCSCSLCKGRRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRGPLHTLEATIQNIIRAVPEQKCFTCRRCKGSFPVIHVGQVGPLCNSCVELKKSQCITMSSPSVGTRSQEPVSMLQSFVSAPLSISPQNRSQRKKASKSSELDLTSSSPQCSSSSISSQNRRPWKTTRKLTKPGLFTKSLKSAPVHISSQDKGQWRTKKKAVKPVLMPKTFKGASSPIYSPNGSQWKKTTKDQQLHKLVFEEDGLPDGTEVAYYARGQRLLEGYKKGFGIICRCCNCEVSPSQFEAHAGWASRRKPYAHIYTSNGVSLHELAISLSKGRLYSAKDNDVACIICADGGNLLLCNGCPRAFHKECASLPTIPHGRWYCKYCQNMFMREKCAEHNANAAAAGRILGVDAIEQITRRCIRIVKNIEAELSGCALCRACDFSKSGFGPRTVILCDQCEKEYHIGCLRTHKMADLREIPKGKWFCCSDCGRIHSTLQKLLIHGAERLPDSLLDVLKKKYVEKGLDADINIDVRWRLLSGKFASPETRLLLSQAVGIFHECFNPIVDAATGRDLIPCMVYGRNLKGQEYGGMYCAVLTINSFVVSAGIIRVFGQEIAEIPLVATSMANHGKGYFQLLFSCIEKLLAFLNVKNIVLPAAEEAESIWTDKFGFKKLRPDQLSEYRKSCCQMVIFQGTSMLQKEVPTDQLVSSIERTELYKHMNQGRSYFLE >KJB16746 pep chromosome:Graimondii2_0_v6:2:60950960:60959459:1 gene:B456_002G245500 transcript:KJB16746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELDYGVDGSLGQTREAVTQSQTQASSSSSSCKRVNNIQVNGYIVYTRVKKSRINCRVSENSDSEKLEVFNKPINGVKVSLIDEDQENKTLADTSGVNNNLNEGRSGNGNVAGDKVVVENVVDESLVVRDIVKGGQLIEALVEESHTIGENAIVGNLVVEAIGIDGKPVVQSSQSMDELETCLVEKRGFDSSDADDDDLLLKTLRRPKKSLLRPKVETEESLVCEEQNVENVLVSNSGGEEAAEESGLTTPRKNLELKMSKKISLNKCPMTVKELFDTGLLDGVPVVYMGTISSKTAGLRGIITDGGILCSCSLCKGRRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRGPLHTLEATIQNIIRAVPEQKCFTCRRCKGSFPVIHVGQVGPLCNSCVELKKSQCITMSSPSVGTRSQEPVSMLQSFVSAPLSISPQNRSQRKKASKSSELDLTSSSPQCSSSSISSQNRRPWKTTRKLTKPGLFTKSLKSAPVHISSQDKGQWRTKKKAVKPVLMPKTFKGASSPIYSPNGSQWKKTTKDQQLHKLVFEEDGLPDGTEVAYYARGQRLLEGYKKGFGIICRCCNCEVSPSQFEAHAGWASRRKPYAHIYTSNGVSLHELAISLSKGRLYSAKDNDVACIICADGGNLLLCNGCPRAFHKECASLPTIPHGRWYCKYCQNMFMREKCAEHNANAAAAGRILGVDAIEQITRRCIRIVKNIEAELSGCALCRACDFSKSGFGPRTVILCDQCEKEYHIGCLRTHKMADLREIPKGKWFCCSDCGRIHSTLQKLLIHGAERLPDSLLDVLKKKYVEKGLDADINIDVRWRLLSGKFASPETRLLLSQAVGIFHECFNPIVDAATGRDLIPCMVYGRNLKGQEYGGMYCAVLTINSFVVSAGIIRVFGQEIAEIPLVATSMANHGKGYFQLLFSCIEKLLAFLNVKNIVLPAAEEAESIWTDKFGFKKLRPDQLSEYRKSCCQMVIFQGTSMLQKEVPTDQLVSSIERTELYKHMNQGRSYFLE >KJB16751 pep chromosome:Graimondii2_0_v6:2:60950960:60959459:1 gene:B456_002G245500 transcript:KJB16751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELDYGVDGSLGQTREAVTQSQTQASSSSSSCKRVNNIQVNGYIVYTRVKKSRINCRVSENSDSEKLEVFNKPINGVKVSLIDEDQENKTLADTSGVNNNLNEGRSGNGNVAGDKVVVENVVDESLVVRDIVKGGQLIEALVEESHTIGENAIVGNLVVEAIGIDGKPVVQSSQSMDELETCLVEKRGFDSSDADDDDLLLKTLRRPKKSLLRPKVETEESLVCEEQNVENVLVSNSGGEEAAEESGLTTPRKNLELKMSKKISLNKCPMTVKELFDTGLLDGVPVVYMGTISSKTAGLRGIITDGGILCSCSLCKGRRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRGPLHTLEATIQNIIRAVPEQKCFTCRRCKGSFPVIHVGQVGPLCNSCVELKKSQCITMSSPSVGTRSQEPVSMLQSFVSAPLSISPQNRSQRKKASKSSELDLTSSSPQCSSSSISSQNRRPWKTTRKLTKPGLFTKSLKSAPVHISSQDKGQWRTKKKAVKPVLMPKTFKGASSPIYSPNGSQWKKTTKDQQLHKLVFEEDGLPDGTEVAYYARGQRLLEGYKKGFGIICRCCNCEVSPSQFEAHAGWASRRKPYAHIYTSNGVSLHELAISLSKGRLYSAKDNDVACIICADGGNLLLCNGCPRAFHKECASLPTIPHGRWYCKYCQNMFMREKCAEHNANAAAAGRILGVDAIEQITRRCIRIVKNIEAELSGCALCRACDFSKSGFGPRTVILCDQCEKEYHIGCLRTHKMADLREIPKGKWFCCSDCGRIHSTLQKLLIHGAERLPDSLLDVLKKKYVEKGLDADINIDVRWRLLSGKFASPETRLLLSQAVGIFHECFNPIVDAATGRDLIPCMVYGRNLKGQEYGGMYCAVLTINSFVVSAGIIRVFGQEIAEIPLVATSMANHGKGYFQLLFSCIEKLLAFLNVKNIVLPAAEEAESIWTDKFGFKKLRPDQLSEYRKSCCQMVIFQGTSMLQKEVPTDQLVSSIERTELYKHMNQGRSYFLE >KJB16752 pep chromosome:Graimondii2_0_v6:2:60951274:60958548:1 gene:B456_002G245500 transcript:KJB16752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELDYGVDGSLGQTREAVTQSQTQASSSSSSCKRVNNIQVNGYIVYTRVKKSRINCRVSENSDSEKLEVFNKPINGVKVSLIDEDQENKTLADTSGVNNNLNEGRSGNGNVAGDKVVVENVVDESLVVRDIVKGGQLIEALVEESHTIGENAIVGNLVVEAIGIDGKPVVQSSQSMDELETCLVEKRGFDSSDADDDDLLLKTLRRPKKSLLRPKVETEESLVCEEQNVENVLVSNSGGEEAAEESGLTTPRKNLELKMSKKISLNKCPMTVKELFDTGLLDGVPVVYMGTISSKTAGLRGIITDGGILCSCSLCKGRRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRGPLHTLEATIQNIIRAVPEQKCFTCRRCKGSFPVIHVGQVGPLCNSCVELKKSQCITMSSPSVGTRSQEPVSMLQSFVSAPLSISPQNRSQRKKASKSSELDLTSSSPQCSSSSISSQNRRPWKTTRKLTKPGLFTKSLKSAPVHISSQDKGQWRTKKKAVKPVLMPKTFKGASSPIYSPNGSQWKKTTKDQQLHKLVFEEDGLPDGTEVAYYARGQRLLEGYKKGFGIICRCCNCEVSPSQFEAHAGWASRRKPYAHIYTSNGVSLHELAISLSKGRLYSAKDNDVACIICADGGNLLLCNGCPRAFHKECASLPTIPHGRWYCKYCQNMFMREKCAEHNANAAAAGRILGVDAIEQITRRCIRIVKNIEAELSGCALCRACDFSKSGFGPRTVILCDQCEKEYHIGCLRTHKMADLREIPKGKWFCCSDCGRIHSTLQKLLIHGAERLPDSLLDVLKKKYVEKGLDADINIDVRWRLLSGKFASPETRLLLSQAVGIFHECFNPIVDAATGRDLIPCMVYGRNLKGQEYGGMYCAVLTINSFVVSAGIIRVFGQEIAEIPLVATSMANHGKLGNFDDCLSFSNSTKQSEDILLANTLGVAFSFTSRILPAVILLY >KJB16750 pep chromosome:Graimondii2_0_v6:2:60950960:60959459:1 gene:B456_002G245500 transcript:KJB16750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELDYGVDGSLGQTREAVTQSQTQASSSSSSCKRVNNIQVNGYIVYTRVKKSRINCRVSENSDSEKLEVFNKPINGVKVSLIDEDQENKTLADTSGVNNNLNEGRSGNGNVAGDKVVVENVVDESLVVRDIVKGGQLIEALVEESHTIGENAIVGNLVVEAIGIDGKPVVQSSQSMDELETCLVEKRGFDSSDADDDDLLLKTLRRPKKSLLRPKVETEESLVCEEQNVENVLVSNSGGEEAAEESGLTTPRKNLELKMSKKISLNKCPMTVKELFDTGLLDGVPVVYMGTISSKTAGLRGIITDGGILCSCSLCKGRRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRGPLHTLEATIQNIIRAVPEQKCFTCRRCKGSFPVIHVGQVGPLCNSCVELKKSQCITMSSPSVGTRSQEPVSMLQSFVSAPLSISPQNRSQRKKASKSSELDLTSSSPQCSSSSISSQNRRPWKTTRKLTKPGLFTKSLKSAPVHISSQDKGQWRTKKKAVKPVLMPKTFKGASSPIYSPNGSQWKKTTKDQQLHKLVFEEDGLPDGTEVAYYARGQRLLEGYKKGFGIICRCCNCEVSPSQFEAHAGWASRRKPYAHIYTSNGVSLHELAISLSKGRLYSAKDNDVACIICADGGNLLLCNGCPRAFHKECASLPTIPHGRWYCKYCQNMFMREKCAEHNANAAAAGRILGVDAIEQITRRCIRIVKNIEAELSGCALCRACDFSKSGFGPRTVILCDQCEKEYHIGCLRTHKMADLREIPKGKWFCCSDCGRIHSTLQKLLIHGAERLPDSLLDVLKKKYVEKGLDADINIDVRWRLLSGKFASPETRLLLSQAVGIFHECFNPIVDAATGRDLIPCMVYGRNLKGQEYGGMYCAVLTINSFVVSAGIIRVFGQEIAEIPLVATSMANHGKGYFQLLFSCIEKLLAFLNVKNIVLPAAEEAESIWTDKFGFKKLRPDQ >KJB16744 pep chromosome:Graimondii2_0_v6:2:60950898:60959619:1 gene:B456_002G245500 transcript:KJB16744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELDYGVDGSLGQTREAVTQSQTQASSSSSSCKRVNNIQVNGYIVYTRVKKSRINCRVSENSDSEKLEVFNKPINGVKVSLIDEDQENKTLADTSGVNNNLNEGRSGNGNVAGDKVVVENVVDESLVVRDIVKGGQLIEALVEESHTIGENAIVGNLVVEAIGIDGKPVVQSSQSMDELETCLVEKRGFDSSDADDDDLLLKTLRRPKKSLLRPKVETEESLVCEEQNVENVLVSNSGGEEAAEESGLTTPRKNLELKMSKKISLNKCPMTVKELFDTGLLDGVPVVYMGTISSKTAGLRGIITDGGILCSCSLCKGRRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRGPLHTLEATIQNIIRAVPEQKCFTCRRCKGSFPVIHVGQVGPLCNSCVELKKSQCITMSSPSVGTRSQEPVSMLQSFVSAPLSISPQNRSQRKKASKSSELDLTSSSPQCSSSSISSQNRRPWKTTRKLTKPGLFTKSLKSAPVHISSQDKGQWRTKKKAVKPVLMPKTFKGASSPIYSPNGSQWKKTTKDQQLHKLVFEEDGLPDGTEVAYYARGQVSPSQFEAHAGWASRRKPYAHIYTSNGVSLHELAISLSKGRLYSAKDNDVACIICADGGNLLLCNGCPRAFHKECASLPTIPHGRWYCKYCQNMFMREKCAEHNANAAAAGRILGVDAIEQITRRCIRIVKNIEAELSGCALCRACDFSKSGFGPRTVILCDQCEKEYHIGCLRTHKMADLREIPKGKWFCCSDCGRIHSTLQKLLIHGAERLPDSLLDVLKKKYVEKGLDADINIDVRWRLLSGKFASPETRLLLSQAVGIFHECFNPIVDAATGRDLIPCMVYGRNLKGQEYGGMYCAVLTINSFVVSAGIIRVFGQEIAEIPLVATSMANHGKGYFQLLFSCIEKLLAFLNVKNIVLPAAEEAESIWTDKFGFKKLRPDQLSEYRKSCCQMVIFQGTSMLQKEVPTDQLVSSIERTELYKHMNQGRSYFLE >KJB11873 pep chromosome:Graimondii2_0_v6:2:3624680:3625369:1 gene:B456_002G043900 transcript:KJB11873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSVLVAFLLLSFLLATEMGPMSAEAKTCEVESGKFKGMCMSSTNCASVCKSEPGFDGGHCQGFRRRCLCTKPC >KJB14555 pep chromosome:Graimondii2_0_v6:2:21095064:21096648:1 gene:B456_002G133600 transcript:KJB14555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGNRQVVVSALQFACTDDVPTNLATAESCSLETLSIMKFAQRAKFIKNNAVVNEDASGDDVAMRLQIQQLKV >KJB14556 pep chromosome:Graimondii2_0_v6:2:21095064:21097216:1 gene:B456_002G133600 transcript:KJB14556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGNRQVVVSALQFACTDDVPTNLATAESCSLETLSIMKFAQRAKFIKNNAVVNEDASGDDVAMRLQIQQLKVSSYPYYPKFCFSERSISSTSFC >KJB14554 pep chromosome:Graimondii2_0_v6:2:21095054:21097365:1 gene:B456_002G133600 transcript:KJB14554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGNRQVVVSALQFACTDDVPTNLATAESCSLETLSIMKFAQRAKFIKNNAVVNEDASGDDVAMRLQIQQLKKEVSRLRAFVNGKSENMDNEIGTCYCTA >KJB12201 pep chromosome:Graimondii2_0_v6:2:349984:351498:-1 gene:B456_002G005600 transcript:KJB12201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS MKNSSKNGVQVLQPRRLPDFLRSVKLKYVKLGYHYLISHLLKLCLVPVMAAVIIKASRLSLDDIHRMWLQLEYNLVSVVVFSAIFLFGSTVYVMTRKRFIYLVDYACYLPPQHLKTDHRYFMEYAKEAADFDEPTMEFLRKIMERSGLGDETGAPPSMHCFPPRPSMAAARQEAELVMFGALDTLFASTNVKPRDVGILVVNCSLFNPTPSLTAMIINKYKMRGNNKSFNLSGMGCSASVIAIDLAKDMLQVYRNNYAVVFSTENMTQNWYAGTEKSMLITNCLFRLGGSAILLSNKSGDRGRSKYKLIHVVRTHCGAIDKAFKCVYQEEDNVGKIGVSLSKDLMAIAGNAFKTNITTLGPLVLPISEQILFFVTLVAKKLFNAKIKPYVPDLKLAFEHFCIHAGGRGVIDELEKNMQLSPLHVEASRMTLHRFGNTSSSSIWYELAYMEAKSRMRKGDRIWQVAFGSGFKCNSAVWLALKNVKPSCGNPWEDCIHKYPVKLNP >KJB12841 pep chromosome:Graimondii2_0_v6:2:3113225:3116855:1 gene:B456_002G038800 transcript:KJB12841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRGSDMPQRQSPRGTHQVRPSSSDSDPLHHRPITDRSSPKLGDRRSPRGAPQSDPLNQKKLGTRIADLESQLGQAQEELKNLKDQLASAEAAKKEAQQELENKTKKPKAREPVEVNEKVSPKRTRDSKKSDCSIRDEVSEDNQQETDVFEVTVEKTAIEPKVETEEVDQVDEKTNAIEISSVPPAESELEKQFFQDLALKNDEINMLKSKLEEKEKELVVFTQENKDLEKQLNEANSNISSAKVKEEEMALQLGQVGEELEASKTNTAQLKEKLQSVEEQKGALEAEMKKLRVQTEQWRKAADAAAAILSGGVEMNGRISNRCSSMDKHFNGVFETPAGGFAGYVGSPGLADDMDDGFGSGKRKGSGIKMFGDLWKKKSQK >KJB12843 pep chromosome:Graimondii2_0_v6:2:3113342:3116846:1 gene:B456_002G038800 transcript:KJB12843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRTRGSDMPQRQSPRGTHQVRPSSSDSDPLHHRPITDRSSPKLGDRRSPRGAPQSDPLNQKKLGTRIADLESQLGQAQEELKNLKDQLASAEAAKKEAQQELENKTKKPKAREPVEVNEKVSPKRTRDSKKSDCSIRDEVSEDNQQETDVFEVTVEKTAIEPKVETEEVDQVDEKTNAIEISSVPPAESELEKQFFQDLALKNDEINMLKSKLEEKEKELVVFTQENKDLEKQLNEANSNISSAKVKEEEMALQLGQVGEELEASKTNTAQLKEKLQSVEEQKGALEAEMKKLRVQTEQWRKAADAAAAILSGGVEMNGRISNRCSSMDKHFNGVFETPAGGFAGYVGSPGLADDMDDGFGSGKRKGSGIKMFGDLWKKKSQK >KJB12842 pep chromosome:Graimondii2_0_v6:2:3113264:3116846:1 gene:B456_002G038800 transcript:KJB12842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRGSDMPQRQSPRGTHQVRPSSSDSDPLHHRPITDRSSPKLGDRRSPRGAPQSDPLNQKKLGTRIADLESQLGQAQEELKNLKDQLASAEAAKKEAQQELENKTKKPKAREPVEVNEKVSPKRTRDSKKSDCSIRDEVSEDNQQETDVFEVTVEKTAIEPKVETEEVDQVDEKTNAIEISSVPPAESELEKQFFQDLALKNDEINMLKSKLEEKEKELVVFTQENKDLEKQLNEANSNISSAKVKEEEMALQLGQVGEELEASKTNTAQLKEKLQSVEEQKGALEAEMKKLRVQTEQWRKAADAAAAILSGGVEMNGRISNRCSSMDKHFNGVFETPAGGFAGYVGSPGLADDMDDGFGSGKRKGSGIKMFGDLWKKKSQK >KJB13208 pep chromosome:Graimondii2_0_v6:2:7692876:7694545:1 gene:B456_002G065900 transcript:KJB13208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPSNGGPAFRFTQTEVAGMETVLQEHHNQMPAREILESLAEKFSESTERKGRTIVQFKQVWNWFQNRRYAIRAKSSKVPGKLNVTSMPRDDSNPVRNVLQPVAAPMPPPMAAPMSTAMPATTGRMDFDSVFEVLKASFLFPKFGINNWMLHSPFSYLSEIWK >KJB13209 pep chromosome:Graimondii2_0_v6:2:7693076:7694545:1 gene:B456_002G065900 transcript:KJB13209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALLSVSPKLRSYWNPGVFEISMETVLQEHHNQMPAREILESLAEKFSESTERKGRTIVQFKQVWNWFQNRRYAIRAKSSKVPGKLNVTSMPRDDSNPVRNVLQPVAAPMPPPMAAPMSTAMPATTGRMDFDSVFEVLKASFLFPKFGINNWMLHSPFSYLSEIWK >KJB12831 pep chromosome:Graimondii2_0_v6:2:3049689:3052272:1 gene:B456_002G038200 transcript:KJB12831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDGIGKENVSTEEKQSFKDESICGYNSLHHLLSANLKPQLYQEVSRLLLGLNCGKALETIVPPESAKALSSKHDFDLQAYKFSADKELLRESRVRVGLIQNSIALPTTAPFSDQKKAIVEKLRPIIDAAGASGVNILCLQEAWMMPFAFCTREKRWCEFAEPVNGESTQFLQEFALKYNMRLWNTAVIIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETVYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNASIANSYFVGSINRVGTEIFPNPFTSGDGKPQHPDFGHFYGSSHFSAPDASCTPSLSRFKDGLMISDMDLNLCRQLKDKWGFRMTARYELYADTLASYLKPDFEPQVISDPFLHKKSF >KJB16800 pep chromosome:Graimondii2_0_v6:2:61262961:61265083:-1 gene:B456_002G248500 transcript:KJB16800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNMLNYSAKALCVGEGSMSAVMALKDLGFFDVHGVYRHPFFSLKHKKFIYELDYEDKSYDFVLSRDLDKVSVPALLVLEIERVLKPGGIGAILIGPTGSDPTSLIRSATPVSSLLKASIVVYVDHLNELTLVVFEKKLENVTYFEQYRLPADCPSMTNNKAIMNHIEPLMEVKPMEFEENVAYLPEFVNVSSKQRLVYIDIGASEHMNSNVSSWFFPSYPLDQKAFDVYFVDHNISVMLSYIKRPGINFVYYPGLAENRATSSSTNRDSDPFLEDEGFDFQLWFKDTVQYADYVVLKMNAGDVELQLLSDLFESGIICFIDELFLHCSDRTESGQAVKGGDCVSLFKSLRRAGVYVHQWWGA >KJB16799 pep chromosome:Graimondii2_0_v6:2:61263304:61264584:-1 gene:B456_002G248500 transcript:KJB16799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITALSIVPLLQMISGVDLESGYSSSFIFPATFFFSKAWGSFKMVQYEGNRNSTTSVVKELMGKNMLNYSAKALCVGEGSMSAVMALKDLGFFDVHGVYRHPFFSLKHKKFIYELDYEDKSYDFVLSRDLDKVSVPALLVLEIERVLKPGGIGAILIGPTGSDPTSLIRSATPVSSLLKASIVVYVDHLNELTLVVFEKKLENVTYFEQYRLPADCPSMTNNKAIMNHIEPLMEVKPMEFEENVAYLPEFVNVSSKQRLVYIDIGASEHMNSNVSSWFFPSYPLDQKAFDVYFVDHNISVMLSYIKRPGINFVYYPGLAENRATSSSTNRDSDPFLEDEGFDFQLWFKDTVQYADYVVLKMNAGDVELQLLSDLFESGIICFIDELFLHCSDRTESGQAVKGGDCVSLFKSLRRAGVYVHQWWGA >KJB15756 pep chromosome:Graimondii2_0_v6:2:52388540:52389987:1 gene:B456_002G193900 transcript:KJB15756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLRSCSLFLFLILSSIFSLGFSTRTITNYTFSIREATIKDIKLSFERNQLTSRQLVQYYLREIARLNGLLKGIIEVNPDALLQADAADKERKCKVNGSLPNLHGIPILLKDNIATKDKLNTTAGSFALLGSVVPRDAGVVEKLRKAGAIILGKASLSEWANFRSTTATSGFSPRGGQGKNPYVLSATPCGSSSGSAISVAANLVTVSLGTETDGSILCPSSFNSVVGLKPTVGLTSRAGVIPVTPRQDTIGPICRTVSDAVYVLDAIVGFDSNDEATRHASYYIPPGGYKRFLNPYGLKGKRLGIVRNPFFKIAQGLGLGQTFDNHLHTLRRQGVIVVDNLQIANIDVILNVSASGEAVAIVAEFKLSLNA >KJB15755 pep chromosome:Graimondii2_0_v6:2:52388540:52390674:1 gene:B456_002G193900 transcript:KJB15755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLRSCSLFLFLILSSIFSLGFSTRTITNYTFSIREATIKDIKLSFERNQLTSRQLVQYYLREIARLNGLLKGIIEDNIATKDKLNTTAGSFALLGSVVPRDAGVVEKLRKAGAIILGKASLSEWANFRSTTATSGFSPRGGQGKNPYVLSATPCGSSSGSAISVAANLVTVSLGTETDGSILCPSSFNSVVGLKPTVGLTSRAGVIPVTPRQDTIGPICRTVSDAVYVLDAIVGFDSNDEATRHASYYIPPGGYKRFLNPYGLKGKRLGIVRNPFFKIAQGLGLGQTFDNHLHTLRRQGVIVVDNLQIANIDVILNVSASGEAVAILKELVASSVRSLADIIAFNLKFPDLELTDKIGQDIFLAAQATNGIGAQEKAALANLENLSKNGLEKLMRDYKLDAVVTPRADASSVYAIGGFPAIIVPAGYDSQGVPIGISFGGLKGSEGKLIEIAYAFEQATKIRKPPSFKP >KJB14328 pep chromosome:Graimondii2_0_v6:2:17036178:17037330:1 gene:B456_002G119600 transcript:KJB14328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNTVSWTSFTDPIAPPALLVTRKKVMKEEDSAVPVEKCDEAATVHHSETPEKKGFMEKIKDKLPGQHKKDEEVTTPPPAAAAPTENDHHEGETKEKKGFLEKIKEKIPGYHSKTEDEKEKETTAPH >KJB14327 pep chromosome:Graimondii2_0_v6:2:17036130:17037330:1 gene:B456_002G119600 transcript:KJB14327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHTKVGGEEAVASKERGMFDFLGKKEEEKPQPQEEVVATQFEKVKIEEEHKEDEKKHSLLDKLHRSNSTSSSSSDEEEGDGGEKKKKKKEKKGKKEEDSAVPVEKCDEAATVHHSETPEKKGFMEKIKDKLPGQHKKDEEVTTPPPAAAAPTENDHHEGETKEKKGFLEKIKEKIPGYHSKTEDEKEKETTAPH >KJB14329 pep chromosome:Graimondii2_0_v6:2:17036229:17037330:1 gene:B456_002G119600 transcript:KJB14329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHTKVGGEEAVASKERGMFDFLGKKEEEKPQPQEEVVATQFEKVKIEEEHKEDEKKHSLLDKLHRSNSTSSSGKKEEDSAVPVEKCDEAATVHHSETPEKKGFMEKIKDKLPGQHKKDEEVTTPPPAAAAPTENDHHEGETKEKKGFLEKIKEKIPGYHSKTEDEKEKETTAPH >KJB15876 pep chromosome:Graimondii2_0_v6:2:54145608:54148712:-1 gene:B456_002G201100 transcript:KJB15876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWKLKIFASANNNNPNDDNEELPILYDFDCHEEELIISAMDGDTRKSPVNPVETAESRTGKDSNLETDSFRHSNYEFWSDREEIKDGGEDNFDYKQRWQPGEESSSWKIMNDHLLKKTSNTNEIALEMGLDVDDIKRDPSVLSRDSRRVSFEAAVPSFQYQSQHQEGINDRAYELSRGMSNASALSGLLSKVSCTKSRLIDRPPEEVQMMSDLLSKSGPIMSGLLGKGSEGEEEDDPFADEDVPDEFRRANLNALTLLQWLSLVLIVAALVCSLWIPSLKTMDLWELRLWKWLVFLLVLICGRLVSGWGIRLIVFFIEMNFFLRKRMLYFVYGVRKPVQNCLWLTLVLLTWHFLFDNKVERENDFLIYVTKILVCFLVSTCLWLLKTLLVKVMASLFHVSTYFDRILHTLFNQYVIELLSGPPIIENQRMEEERERIAAEISRLQTAGAKVPSDLHEDAFPTQRTSEKLRKTFTRLKTFSSAPSPKKGGNGIALEHLHKLNHRNISAWNMKRLMKMVRHGTLTTLDEQIMQDDSVKQIRSEHEATLAAKKIFQNVAHRGSKFIYVEDLMRFMREDEAFRTMATFEGAYEMRRISKSSLKNWMLNAYRERKALALTLNDTKTAVKQLHHMVNVITIIIIVVIWLVILEIASSRVIVLFSSQLVVAAFIFGNTCKTIFESIVFLFIIHPFDVGDRCEINGVQLVVEEMNILTTVFLRFDNLKLVFPNSILSTMPIGNFYRSPDMGDAIDFLIHIGTPVEKIALMKQRIVSYIVNRKEHWCSDPMIVLKEFEQFNQIKMAVWVTHKMNYQDIGERWERRSRLAEEIVNIFKELDLQYRLLPIDINVCTLPPVHSTRFPSTWNTAETSTE >KJB15875 pep chromosome:Graimondii2_0_v6:2:54145430:54148927:-1 gene:B456_002G201100 transcript:KJB15875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWKLKIFASANNNNPNDDNEELPILYDFDCHEEELIISAMDGDTRKSPVNPVETAESRTGKDSNLETDSFRHSNYEFWSDREEIKDGGEDNFDYKQRWQPGEESSSWKIMNDHLLKKTSNTNEIALEMGLDVDDIKRDPSVLSRDSRRVSFEAAVPSFQYQSQHQEGINDRAYELSRGMSNASALSGLLSKVSCTKSRLIDRPPEEVQMMSDLLSKSGPIMSGLLGKGSEGEEEDDPFADEDVPDEFRRANLNALTLLQWLSLVLIVAALVCSLWIPSLKTMDLWELRLWKWLVFLLVLICGRLVSGWGIRLIVFFIEMNFFLRKRMLYFVYGVRKPVQNCLWLTLVLLTWHFLFDNKVERENDFLIYVTKILVCFLVSTCLWLLKTLLVKVMASLFHVSTYFDRILHTLFNQYVIELLSGPPIIENQRMEEERERIAAEISRLQTAGAKVPSDLHEDAFPTQRTSEKLRKTFTRLKTFSSAPSPKKGGNGIALEHLHKLNHRNISAWNMKRLMKMVRHGTLTTLDEQIMQDDSVKQIRSEHEATLAAKKIFQNVAHRGSKFIYVEDLMRFMREDEAFRTMATFEGAYEMRRISKSSLKNWMLNAYRERKALALTLNDTKTAVKQLHHMVNVITIIIIVVIWLVILEIASSRVIVLFSSQLVVAAFIFGNTCKTIFESIVFLFIIHPFDVGDRCEINGVQYSFNDADRQLLS >KJB15268 pep chromosome:Graimondii2_0_v6:2:41577375:41592082:-1 gene:B456_002G167700 transcript:KJB15268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) UniProtKB/Swiss-Prot;Acc:Q9LT25] MQPPPLPVPQGALSSSASFSFTPNPQLVQNAQIQPSKSDTLATGTQAMAASSPSTVSQSGPLPVHNSSEFTMNASTTPSFAPVTSRMPTTPPFPMSSGSSGTSGTPGHPGSIPSIQMITASAAVDSPSSAVPGPGAPVSLNPAVQQQPDQVTVQPTPVSVEQLAGTDWALVTTNDGKKYYYNSKTKISSWQIPNEVTELRKKQDSEVSKENAVSVPNIDVVAEKGSTPISLSAPAVNTGGRDAMPLRTSVVPGSSSALDLIKKKLQDPGVPSSSPVPVVPVTATHELNGSRAVDVKGLQSESNKDKLKDANGDGSISDSSSDSEDADSGPSKEECIMQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSARRSLFEHYVKTRAEEERKEKRAAQKAAIEGFKQLLDEASEDIDHDTNYQTFKRKWGSDPRFEALDRKDRELLLNERVLLLKRAAEEKARAIRAAAASSFKSMLKEKGDINVNSRWSRVKDSLRDDPRYKCVKHEDREVLFNEYISELKAIEEKAERKDKVKKEEEEKLKERERELRKRKEREEQEMERVRLKVRRKEAVASFQALLVETIKDPQASWTESKPKLEKDPQGRAANPDLDSSDMEKLFREHIKMLFERCVNDFRALLAEVITQDATAQETEGGKTALNSWSTAKRLLKPDPRYNKMPRKEREALWRRYAEDMLRKQKSALDQEEEKHTDVKGRSSGGDFGRYSSGTRRTHERR >KJB15267 pep chromosome:Graimondii2_0_v6:2:41577286:41592216:-1 gene:B456_002G167700 transcript:KJB15267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) UniProtKB/Swiss-Prot;Acc:Q9LT25] MQPPPLPVPQGALSSSASFSFTPNPQLVQNAQIQPSKSDTLATGTQAMAASSPSTVSQSGPLPVHNSSEFTMNASTTPSFAPVTSRMPTTPPFPMSSGSSGTSGTPGHPGSIPSIQMITASAAVDSPSSAVPGPGAPVSLNPAVQQQVYPPYTSLPSMVSSPQGYWMQHPPMGGFPRPPFVPYPTVYPGPFPSTSSGMPLPAPSSDSQPPGVRPLGMSPFAPSAAALANQSLAILTGFPPQGIDNRKLVHDVTTKVESAGNEQSDVWTAHKTDTGVVYYYNALTGESTYEKPAGFKGEPDQVTVQPTPVSVEQLAGTDWALVTTNDGKKYYYNSKTKISSWQIPNEVTELRKKQDSEVSKENAVSVPNIDVVAEKGSTPISLSAPAVNTGGRDAMPLRTSVVPGSSSALDLIKKKLQDPGVPSSSPVPVVPVTATHELNGSRAVDVKGLQSESNKDKLKDANGDGSISDSSSDSEDADSGPSKEECIMQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSARRSLFEHYVKTRAEEERKEKRAAQKAAIEGFKQLLDEASEDIDHDTNYQTFKRKWGSDPRFEALDRKDRELLLNERVLLLKRAAEEKARAIRAAAASSFKSMLKEKGDINVNSRWSRVKDSLRDDPRYKCVKHEDREVLFNEYISELKAIEEKAERKDKVKKEEEEKLKERERELRKRKEREEQEMERVRLKVRRKEAVASFQALLVETIKDPQASWTESKPKLEKDPQGRAANPDLDSSDMEKLFREHIKMLFERCVNDFRALLAEVITQDATAQETEGGKTALNSWSTAKRLLKPDPRYNKMPRKEREALWRRYAEDMLRKQKSALDQEEEKHTDVKGRSSGGDFGRYSSGTRRTHERR >KJB15270 pep chromosome:Graimondii2_0_v6:2:41577604:41591613:-1 gene:B456_002G167700 transcript:KJB15270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) UniProtKB/Swiss-Prot;Acc:Q9LT25] MQPPPLPVPQGALSSSASFSFTPNPQLVQNAQIQPSKSDTLATGTQAMAASSPSTVSQSGPLPVHNSSEFTMNASTTPSFAPVTSRMPTTPPFPMSSGSSGTSGTPGHPGSIPSIQMITASAAVDSPSSAVPGPGAPVSLNPAVQQQVYPPYTSLPSMVSSPQGYWMQHPPMGGFPRPPFVPYPTVYPGPFPSTSSGMPLPAPSSDSQPPGVRPLGMSPFAPSAAALANQSLAILTGFPPQGIDNRKLVHDVTTKVESAGNEQSDVWTAHKTDTGVVYYYNALTGESTYEKPAGFKGEPDQVTVQPTPVSVEQLAGTDWALVTTNDGKKYYYNSKTKVISSWQIPNEVTELRKKQDSEVSKENAVSVPNIDVVAEKGSTPISLSAPAVNTGGRDAMPLRTSVVPGSSSALDLIKKKLQDPGVPSSSPVPVVPVTATHELNGSRAVDVKGLQSESNKDKLKDANGDGSISDSSSDSEDADSGPSKEECIMQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSARRSLFEHYVKTRAEEERKEKRAAQKAAIEGFKQLLDEASEDIDHDTNYQTFKRKWGSDPRFEALDRKDRELLLNERVLLLKRAAEEKARAIRAAAASSFKSMLKEKGDINVNSRWSRVKDSLRDDPRYKCVKHEDREVLFNEYISELKAIEEKAERKDKVKKEEEEKLKERERELRKRKEREEQEMERVRLKVRRKEAVASFQALLVETIKDPQASWTESKPKLEKDPQGRAANPDLDSSDMEKLFREHIKMLFERCVNDFRALLAEVITQDATAQETEGGKTALNSWSTAKRLLKPDPRYNKMPRKEREALWRRYAEDMLRKQKSALDQEEEKHTDVKGRSSGGDFGRYSSGTRRTHERR >KJB15269 pep chromosome:Graimondii2_0_v6:2:41577375:41592082:-1 gene:B456_002G167700 transcript:KJB15269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) UniProtKB/Swiss-Prot;Acc:Q9LT25] MQPPPLPVPQGALSSSASFSFTPNPQLVQNAQIQPSKSDTLATGTQAMAASSPSTVSQSGPLPVHNSSEFTMNASTTPSFAPVTSRMPTTPPFPMSSGSSGTSGTPGHPGSIPSIQMITASAAVDSPSSAVPGPGAPVSLNPAVQQQVYPPYTSLPSMVSSPQGYWMQHPPMGGFPRPPFVPYPTVYPGPFPSTSSGMPLPAPSSDSQPPGVRPLGMSPFAPSAAALANQSLAILTGFPPQGIDNRKLVHDVTTKVESAGNEQSDVWTAHKTDTGVVYYYNALTGESTYEKPAGFKGEPDQVTVQPTPVSVEQLAGTDWALVTTNDGKKYYYNSKTKISSWQIPNEVTELRKKQDSEVSKENAVSVPNIDVVAEKGSTPISLSAPAVNTGGRDAMPLRTSVVPGSSSALDLIKKKLQDPGVPSSSPVPVVPVTATHELNGSRAVDVKGLQSESNKDKLKDANGDGSISDSSSDSEDADSGPSKEECIMQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSARRSLFEHYVKTRAEEERKEKRAAQKAAIEGFKQLLDEASEDIDHDTNYQTFKRKWGSDPRFEALDRKDRELLLNERVLLLKRAAEEKARAIRAAAASSFKSMLKEKGDINVNSRWSRVKDSLRDDPRYKCVKHEDREVLFNEYISELKAIEEKAERKDKVKKEEEKLKERERELRKRKEREEQEMERVRLKVRRKEAVASFQALLVETIKDPQASWTESKPKLEKDPQGRAANPDLDSSDMEKLFREHIKMLFERCVNDFRALLAEVITQDATAQETEGGKTALNSWSTAKRLLKPDPRYNKMPRKEREALWRRYAEDMLRKQKSALDQEEEKHTDVKGRSSGGDFGRYSSGTRRTHERR >KJB14773 pep chromosome:Graimondii2_0_v6:2:26316794:26318842:1 gene:B456_002G1448002 transcript:KJB14773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMEKDFDSKLRVQGTDDTNKDNSSKTGASNNVQRSKSFAFRAPQENFSIQDFELGKIYGVGSYSKVVRAKKKDTGMVYALKIMDKKFITKENKTAYVKLERIVLDQLEHPGVVRLYFTFQDTFSLYMALESCEGGELFDQITR >KJB14771 pep chromosome:Graimondii2_0_v6:2:26316978:26318842:1 gene:B456_002G1448002 transcript:KJB14771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMEKDFDSKLRVQGTDDTNKDNSSKTGASNNVQRSKSFAFRAPQENFSIQDFELGKIYGVGSYSKVVRAKKKDTGMVYALKIMDKKFITKENKTAYVKLERIVLDQLEHPGVVRLYFTFQDTFSLYMALESCEGGELFDQITR >KJB14770 pep chromosome:Graimondii2_0_v6:2:26316690:26318842:1 gene:B456_002G1448002 transcript:KJB14770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMEKDFDSKLRVQGTDDTNKDNSSKTGASNNVQRSKSFAFRAPQENFSIQDFELGKIYGVGSYSKVVRAKKKDTGMVYALKIMDKKFITKENKTAYVKLERIVLDQLEHPGVVRLYFTFQDTFSLYMALESCEGGELFDQITR >KJB14772 pep chromosome:Graimondii2_0_v6:2:26316978:26318842:1 gene:B456_002G1448002 transcript:KJB14772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMEKDFDSKLRVQGTDDTNKDNSSKTGASNNVQRSKSFAFRAPQENFSIQDFELGKIYGVGSYSKVVRAKKKDTGMVYALKIMDKKFITKENKTAYVKLERIVLDQLEHPGVVRLYFTFQDTFSLYMALESCEGGELFDQITR >KJB15718 pep chromosome:Graimondii2_0_v6:2:52044721:52048320:-1 gene:B456_002G192400 transcript:KJB15718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDTSGLESAAPVWGAPSELVDGFSAGPSFDLPNTTDFDGFQKEAIQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGMRFSVGSGSPYAYGVLDNGYRYDMSVEEAAELARRAIYHATFRDGASGGVASVYYVGPNGWKKLSGDDVGELHYKYYPVMPSTVEQEMVEVGGA >KJB15719 pep chromosome:Graimondii2_0_v6:2:52044721:52048462:-1 gene:B456_002G192400 transcript:KJB15719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDTSGLESAAPVWGAPSELVDGFSAGPSFDLPNTTDFDGFQKEAIQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGMRFSVGSGSPYAYGVLDNGYRYDMSVEEAAELARRAIYHATFRDGASGGVASVYYVGPNGWKKLSGDDVGELHYKYYPVMPSTVEQEMVEVGGA >KJB15720 pep chromosome:Graimondii2_0_v6:2:52044721:52048526:-1 gene:B456_002G192400 transcript:KJB15720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDTSGLESAAPVWGAPSELVDGFSAGPSFDLPNTTDFDGFQKEAIQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGMRFSVGSGSPYAYGVLDNGYRYDMSVEEAAELARRAIYHATFRDGASGGVASVYYVGPNGWKKLSGDDVGELHYKYYPVMPSTVEQEMVEVGGA >KJB11838 pep chromosome:Graimondii2_0_v6:2:10874425:10876759:-1 gene:B456_002G085500 transcript:KJB11838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM8 MSTGPGLESLVDQTISVITNDGRNIVGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEELDSALDLSNLRAHPLKPVIH >KJB11836 pep chromosome:Graimondii2_0_v6:2:10874275:10876858:-1 gene:B456_002G085500 transcript:KJB11836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM8 MSTGPGLESLVDQTISVITNDGRNIVGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEELDSALDLSNLRAHPLKPVIH >KJB11837 pep chromosome:Graimondii2_0_v6:2:10874425:10876783:-1 gene:B456_002G085500 transcript:KJB11837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM8 MSTGPGLESLVDQTISVITNDGRNIVGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEELDSALDLSNLRAHPLKPVIH >KJB11839 pep chromosome:Graimondii2_0_v6:2:10875041:10875847:-1 gene:B456_002G085500 transcript:KJB11839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM8 MSIFCRKTISVITNDGRNIVGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEELDSALDLSNLRAHPLKPVIH >KJB14898 pep chromosome:Graimondii2_0_v6:2:28020397:28031708:-1 gene:B456_002G148100 transcript:KJB14898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVVYSTSQFGLDERFGEGDTSQIGLLDEELVLDRVAVPGSVEVSEYDLQGSDVPQKASNLEAVPMVCSGDQDEDLATNSEFVENDQDVGTPGLMELPNMSGDHKVLADHMESEHHNLTELGNECVENASNKSNSLYGDTGPVDQSLHNDVDHDAVPPKNGCHFGDMEKEQTKPPGNSVHDALCVEYTSAVGNVRGSDGLDRVEDMQNGVMHSIDRTEGECAESPSCSNITFDLEDPSRRTCLSSICVPTSDGCLENGQASHKSENGNDAETTDNLEEPFSPAKAIASNPSCPLELPSRPTVIDGEAQASLEPNDSENPETPIVHENLSSVQVLGSDSLAAAEQNLVDLSRREEEVHASGASIEVQVEACQTQSLEPALCDDQLENSNNCAMSDLPAPEKLLSAPEGPLDKPSDLLGESTPDKEVLAENDDIDSGTKLISGKKRSIAESTLTIESMNSVESFGRPRSMRTAESVPDDDDLLSSILVGRRSSVFKMKPTPPLEVASMKRARSAPRSSTIKRKVLMDDTMVLHGDTIREQLVNTEDIRRIRKKAPCTRPEISLIQGKFLEDEIFSEPIFTGISGDLACLHSKPYDLSSVRISEGEEFHASSELAKNLECSVRPRIGEAGIEVSSVPVIHGNDEQTQSAAIPNQADTQQGEYSDLNAQQDRYAIDDVPQLLQHEPLNGITEMEIDKDNVEVATLANCSVLNELGVSSHADLDTGGTSNKTVEEVTNAIDGSMLNIATCLPPDQKMTTQPGEDASELDLRNDKGTNPTEVLEHDVESIIAAETESKAADELLEESKANTSVEVSVADCPEPIENGYDSLAAIHTGEFVNGAQNAYETGYGKIDVADEAQVEGSFLDNEHKDSIFKGSEESKLDSTYSEKVDMALKNASLIDGETPIFLEVDAVNEEMISLADNRTAYEDVAVANDTEFLNVDDNELGGDEDDEGMPCGNESRLLENSGWSSRTRAVAKYLQNLFEDEVIHGHKVLSMDSLLARKTQKEASRMFFETLVLKTRDYIHVEQGKPFDNIYIMPRAKLMKADF >KJB14897 pep chromosome:Graimondii2_0_v6:2:28015445:28032927:-1 gene:B456_002G148100 transcript:KJB14897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVVYSTSQFGLDERFGEGDTSQIGLLDEELVLDRVAVPGSVEVSEYDLQGSDVPQKASNLEAVPMVCSGDQDEDLATNSEFVENDQDVGTPGLMELPNMSGDHKVLADHMESEHHNLTELGNECVENASNKSNSLYGDTGPVDQSLHNDVDHDAVPPKNGCHFGDMEKEQTKPPGNSVHDALCVEYTSAVGNVRGSDGLDRVEDMQNGVMHSIDRTEGECAESPSCSNITFDLEDPSRRTCLSSICVPTSDGCLENGQASHKSENGNDAETTDNLEEPFSPAKAIASNPSCPLELPSRPTVIDGEAQASLEPNDSENPETPIVHENLSSVQVLGSDSLAAAEQNLVDLSRREEEVHASGASIEVQVEACQTQSLEPALCDDQLENSNNCAMSDLPAPEKLLSAPEGPLDKPSDLLGESTPDKEVLAENDDIDSGTKLISGKKRSIAESTLTIESMNSVESFGRPRSMRTAESVPDDDDLLSSILVGRRSSVFKMKPTPPLEVASMKRARSAPRSSTIKRKVLMDDTMVLHGDTIREQLVNTEDIRRIRKKAPCTRPEISLIQGKFLEDEIFSEPIFTGISGDLACLHSKPYDLSSVRISEGEEFHASSELAKNLECSVRPRIGEAGIEVSSVPVIHGNDEQTQSAAIPNQADTQQGEYSDLNAQQDRYAIDDVPQLLQHEPLNGITEMEIDKDNVEVATLANCSVLNELGVSSHADLDTGGTSNKTVEEVTNAIDGSMLNIATCLPPDQKMTTQPGEDASELDLRNDKGTNPTEVLEHDVESIIAAETESKAADELLEESKANTSVEVSVADCPEPIENGYDSLAAIHTGEFVNGAQNAYETGYGKIDVADEAQVEGSFLDNEHKDSIFKGSEESKLDSTYSEKVDMALKNASLIDGETPIFLEVDAVNEEMISLADNRTAYEDVAVANDTEFLNVDDNELGGDEDDEGMPCGNESRLLENSGWSSRTRAVAKYLQNLFEDEVIHGHKVLSMDSLLARKTQKEASRMFFETLVLKTRDYIHVEQGKPFDNIYIMPRAKLMKADF >KJB14900 pep chromosome:Graimondii2_0_v6:2:28020397:28031708:-1 gene:B456_002G148100 transcript:KJB14900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVVYSTSQFGLDERFGEGDTSQIGLLDEELVLDRVAVPGSVEVSEYDLQGSDVPQKASNLEAVPMVCSGDQDEDLATNSEFVENDQDVGTPGLMELPNMSGDHKVLADHMESEHHNLTELGNECVENASNKSNSLYGDTGPVDQSLHNDVDHDAVPPKNGCHFGDMEKEQTKPPGNSVHDALCVEYTSAVGNVRGSDGLDRVEDMQNGVMHSIDRTEGECAESPSCSNITFDLEDPSRRTCLSSICVPTSDGCLENGQASHKSENGNDAETTDNLEEPFSPAKAIASNPSCPLELPSRPTVIDGEAQASLEPNDSENPETPIVHENLSSVQVLGSDSLAAAEQNLVDLSRREEEVHASGASIEVQVEACQTQSLEPALCDDQLENSNNCAMSDLPAPEKLLSAPEGPLDKPSDLLGESTPDKEVLAENDDIDSGTKLISGKKRSIAESTLTIESMNSVESFGRPRSMRTAESVPDDDDLLSSILVGRRSSVFKMKPTPPLEVASMKRARSAPRSSTIKRKVLMDDTMVLHGDTIREQLVNTEDIRRIRKKAPCTRPEISLIQGKFLEDEIFSEPIFTGISGDLACLHSKPYDLSSVRISEGEEFHASSELAKNLECSVRPRIGEAGIEVSSVPVIHGNDEQTQSAAIPNQADTQQGEYSDLNAQQDRYAIDDVPQLLQHEPLNGITEMEIDKDNVEVATLANCSVLNELGVSSHADLDTGGTSNKTVEEVTNAIDGSMLNIATCLPPDQKMTTQPGEDASELDLRNDKGTNPTEVLEHDVESIIAAETESKAADELLEESKANTSVEVSVADCPEPIENGYDSLAAIHTGEFVNGAQNAYETGYGKIDVADEAQVEGSFLDNEHKDSIFKGSEESKLDSTYSEKVDMALKNASLIDGETPIFLEVDAVNEEMISLADNRTAYEDVAVANDTEFLNVDDNELGGDEDDEGMPCGNESRLLENSGWSSRTRAVAKYLQNLFEDEVIHGHKVLSMDSLLARKTQKEASRMFFETLVLKTRDYIHVEQGKPFDNIYIMPRAKLMKADF >KJB14899 pep chromosome:Graimondii2_0_v6:2:28020115:28034177:-1 gene:B456_002G148100 transcript:KJB14899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPGNEIFQGNYVDNHISSREQITLQDTMGDVVYSTSQFGLDERFGEGDTSQIGLLDEELVLDRVAVPGSVEVSEYDLQGSDVPQKASNLEAVPMVCSGDQDEDLATNSEFVENDQDVGTPGLMELPNMSGDHKVLADHMESEHHNLTELGNECVENASNKSNSLYGDTGPVDQSLHNDVDHDAVPPKNGCHFGDMEKEQTKPPGNSVHDALCVEYTSAVGNVRGSDGLDRVEDMQNGVMHSIDRTEGECAESPSCSNITFDLEDPSRRTCLSSICVPTSDGCLENGQASHKSENGNDAETTDNLEEPFSPAKAIASNPSCPLELPSRPTVIDGEAQASLEPNDSENPETPIVHENLSSVQVLGSDSLAAAEQNLVDLSRREEEVHASGASIEVQVEACQTQSLEPALCDDQLENSNNCAMSDLPAPEKLLSAPEGPLDKPSDLLGESTPDKEVLAENDDIDSGTKLISGKKRSIAESTLTIESMNSVESFGRPRSMRTAESVPDDDDLLSSILVGRRSSVFKMKPTPPLEVASMKRARSAPRSSTIKRKVLMDDTMVLHGDTIREQLVNTEDIRRIRKKAPCTRPEISLIQGKFLEDEIFSEPIFTGISGDLACLHSKPYDLSSVRISEGEEFHASSELAKNLECSVRPRIGEAGIEVSSVPVIHGNDEQTQSAAIPNQADTQQGEYSDLNAQQDRYAIDDVPQLLQHEPLNGITEMEIDKDNVEVATLANCSVLNELGVSSHADLDTGGTSNKTVEEVTNAIDGSMLNIATCLPPDQKMTTQPGEDASELDLRNDKGTNPTEVLEHDVESIIAAETESKAADELLEESKANTSVEVSVADCPEPIENGYDSLAAIHTGEFVNGAQNAYETGYGKIDVADEAQVEGSFLDNEHKDSIFKGSEESKLDSTYSEKVDMALKNASLIDGETPIFLEVDAVNEEMISLADNRTAYEDVAVANDTEFLNVDDNELGGDEDDEGMPCGNESRLLENSGWSSRTRAVAKYLQNLFEDEVIHGHKVLSMDSLLARKTQKEASRMFFETLVLKTRDYIHVEQGKPFDNIYIMPRAKLMKADF >KJB14896 pep chromosome:Graimondii2_0_v6:2:28015445:28034153:-1 gene:B456_002G148100 transcript:KJB14896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPGNEIFQGNYVDNHISSREQITLQDTMGDVVYSTSQFGLDERFGEGDTSQIGLLDEELVLDRVAVPGSVEVSEYDLQGSDVPQKASNLEAVPMVCSGDQDEDLATNSEFVENDQDVGTPGLMELPNMSGDHKVLADHMESEHHNLTELGNECVENASNKSNSLYGDTGPVDQSLHNDVDHDAVPPKNGCHFGDMEKEQTKPPGNSVHDALCVEYTSAVGNVRGSDGLDRVEDMQNGVMHSIDRTEGECAESPSCSNITFDLEDPSRRTCLSSICVPTSDGCLENGQASHKSENGNDAETTDNLEEPFSPAKAIASNPSCPLELPSRPTVIDGEAQASLEPNDSENPETPIVHENLSSVQVLGSDSLAAAEQNLVDLSRREEEVHASGASIEVQVEACQTQSLEPALCDDQLENSNNCAMSDLPAPEKLLSAPEGPLDKPSDLLGESTPDKEVLAENDDIDSGTKLISGKKRSIAESTLTIESMNSVESFGRPRSMRTAESVPDDDDLLSSILVGRRSSVFKMKPTPPLEVASMKRARSAPRSSTIKRKVLMDDTMVLHGDTIREQLVNTEDIRRIRKKAPCTRPEISLIQGKFLEDEIFSEPIFTGISGDLACLHSKPYDLSSVRISEGEEFHASSELAKNLECSVRPRIGEAGIEVSSVPVIHGNDEQTQSAAIPNQADTQQGEYSDLNAQQDRYAIDDVPQLLQHEPLNGITEMEIDKDNVEVATLANCSVLNELGVSSHADLDTGGTSNKTVEEVTNAIDGSMLNIATCLPPDQKMTTQPGEDASELDLRNDKGTNPTEVLEHDVESIIAAETESKAADELLEESKANTSVEVSVADCPEPIENGYDSLAAIHTGEFVNGAQNAYETGYGKIDVADEAQVEGSFLDNEHKDSIFKGSEESKLDSTYSEKVDMALKNASLIDGETPIFLEVDAVNEEMISLADNRTAYEDVAVANDTEFLNVDDNELGGDEDDEGMPCGNESRLLENSGWSSRTRAVAKYLQNLFEDEVIHGHKVLSMDSLLARKTQKEASRMFFETLVLKTRDYIHVEQGKPFDNIYIMPRAKLMKADF >KJB14902 pep chromosome:Graimondii2_0_v6:2:28012909:28034177:-1 gene:B456_002G148100 transcript:KJB14902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPGNEIFQGNYVDNHISSREQITLQDTMGDVVYSTSQFGLDERFGEGDTSQIGLLDEELVLDRVAVPGSVEVSEYDLQGSDVPQKASNLEAVPMVCSGDQDEDLATNSEFVENDQDVGTPGLMELPNMSGDHKVLADHMESEHHNLTELGNECVENASNKSNSLYGDTGPVDQSLHNDVDHDAVPPKNGCHFGDMEKEQTKPPGNSVHDALCVEYTSAVGNVRGSDGLDRVEDMQNGVMHSIDRTEGECAESPSCSNITFDLEDPSRRTCLSSICVPTSDGCLENGQASHKSENGNDAETTDNLEEPFSPAKAIASNPSCPLELPSRPTVIDGEAQASLEPNDSENPETPIVHENLSSVQVLGSDSLAAAEQNLVDLSRREEEVHASGASIEVQVEACQTQSLEPALCDDQLENSNNCAMSDLPAPEKLLSAPEGPLDKPSDLLGESTPDKEVLAENDDIDSGTKLISGKKRSIAESTLTIESMNSVESFGRPRSMRTAESVPDDDDLLSSILVGRRSSVFKMKPTPPLEVASMKRARSAPRSSTIKRKVLMDDTMVLHGDTIREQLVNTEDIRRIRKKAPCTRPEISLIQGKFLEDEIFSEPIFTGISGDLACLHSKPYDLSSVRISEGEEFHASSELAKNLECSVRPRIGEAGIEVSSVPVIHGNDEQTQSAAIPNQADTQQGEYSDLNAQQDRYAIDDVPQLLQHEPLNGITEMEIDKDNVEVATLANCSVLNELGVSSHADLDTGGTSNKTVEEVTNAIDGSMLNIATCLPPDQKMTTQPGEDASELDLRNDKGTNPTEVLEHDVESIIAAETESKAADELLEESKANTSVEVSVADCPEPIENGYDSLAAIHTGEFVNGAQNAYETGYGKIDVADEAQVEGSFLDNEHKDSIFKGSEESKLDSTYSEKVDMALKNASLIDGETPIFLEVDAVNEEMISLADNRTAYEDVAVANDTEFLNVDDNELGGDEDDEGMPCGNESRLLENSGWSSRTRAVAKYLQNLFEDEVIHGHKVLSMDSLLARKTQKEASRMFFETLVLKTRDYIHVEQGKPFDNIYIMPRAKLMKADF >KJB14901 pep chromosome:Graimondii2_0_v6:2:28020094:28034211:-1 gene:B456_002G148100 transcript:KJB14901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPGNEIFQGNYVDNHISSREQITLQDTMGDVVYSTSQFGLDERFGEGDTSQIGLLDEELVLDRVAVPGSVEVSEYDLQGSDVPQKASNLEAVPMVCSGDQDEDLATNSEFVENDQDVGTPGLMELPNMSGDHKVLADHMESEHHNLTELGNECVENASNKSNSLYGDTGPVDQSLHNDVDHDAVPPKNGCHFGDMEKEQTKPPGNSVHDALCVEYTSAVGNVRGSDGLDRVEDMQNGVMHSIDRTEGECAESPSCSNITFDLEDPSRRTCLSSICVPTSDGCLENGQASHKSENGNDAETTDNLEEPFSPAKAIASNPSCPLELPSRPTVIDGEAQASLEPNDSENPETPIVHENLSSVQVLGSDSLAAAEQNLVDLSRREEEVHASGASIEVQVEACQTQSLEPALCDDQLENSNNCAMSDLPAPEKLLSAPEGPLDKPSDLLGESTPDKEVLAENDDIDSGTKLISGKKRSIAESTLTIESMNSVESFGRPRSMRTAESVPDDDDLLSSILVGRRSSVFKMKPTPPLEVASMKRARSAPRSSTIKRKVLMDDTMVLHGDTIREQLVNTEDIRRIRKKAPCTRPEISLIQGKFLEDEIFSEPIFTGISGDLACLHSKPYDLSSVRISEGEEFHASSELAKNLECSVRPRIGEAGIEVSSVPVIHGNDEQTQSAAIPNQADTQQGEYSDLNAQQDRYAIDDVPQLLQHEPLNGITEMEIDKDNVEVATLANCSVLNELGVSSHADLDTGGTSNKTVEEVTNAIDGSMLNIATCLPPDQKMTTQPGEDASELDLRNDKGTNPTEVLEHDVESIIAAETESKAADELLEESKANTSVEVSVADCPEPIENGYDSLAAIHTGEFVNGAQNAYETGYGKIDVADEAQVEGSFLDNEHKDSIFKGSEESKLDSTYSEKVDMALKNASLIDGETPIFLEVDAVNEEMISLADNRTAYEDVAVANDTEFLNVDDNELGGDEDDEGMPCGNESRLLENSGWSSRTRAVAKYLQNLFEDEVIHGHKVLSMDSLLARKTQKEASRMFFETLVLKTRDYIHVEQGKPFDNIYIMPRAKLMKADF >KJB14903 pep chromosome:Graimondii2_0_v6:2:28012847:28034211:-1 gene:B456_002G148100 transcript:KJB14903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPGNEIFQGNYVDNHISSREQITLQDTMGDVVYSTSQFGLDERFGEGDTSQIGLLDEELVLDRVAVPGSVEVSEYDLQGSDVPQKASNLEAVPMVCSGDQDEDLATNSEFVENDQDVGTPGLMELPNMSGDHKVLADHMESEHHNLTELGNECVENASNKSNSLYGDTGPVDQSLHNDVDHDAVPPKNGCHFGDMEKEQTKPPGNSVHDALCVEYTSAVGNVRGSDGLDRVEDMQNGVMHSIDRTEGECAESPSCSNITFDLEDPSRRTCLSSICVPTSDGCLENGQASHKSENGNDAETTDNLEEPFSPAKAIASNPSCPLELPSRPTVIDGEAQASLEPNDSENPETPIVHENLSSVQVLGSDSLAAAEQNLVDLSRREEEVHASGASIEVQVEACQTQSLEPALCDDQLENSNNCAMSDLPAPEKLLSAPEGPLDKPSDLLGESTPDKEVLAENDDIDSGTKLISGKKRSIAESTLTIESMNSVESFGRPRSMRTAESVPDDDDLLSSILVGRRSSVFKMKPTPPLEVASMKRARSAPRSSTIKRKVLMDDTMVLHGDTIREQLVNTEDIRRIRKKAPCTRPEISLIQGKFLEDEIFSEPIFTGISGDLACLHSKPYDLSSVRISEGEEFHASSELAKNLECSVRPRIGEAGIEVSSVPVIHGNDEQTQSAAIPNQADTQQGEYSDLNAQQDRYAIDDVPQLLQHEPLNGITEMEIDKDNVEVATLANCSVLNELGVSSHADLDTGGTSNKTVEEVTNAIDGSMLNIATCLPPDQKMTTQPGEDASELDLRNDKGTNPTEVLEHDVESIIAAETESKAADELLEESKANTSVEVSVADCPEPIENGYDSLAAIHTGEFVNGAQNAYETGYGKIDVADEAQVEGSFLDNEHKDSIFKGSEESKLDSTYSEKVDMALKNASLIDGETPIFLEVDAVNEEMISLADNRTAYEDVAVANDTEFLNVDDNELGGDEDDEGMPCGNESRLLENSGWSSRTRAVAKYLQNLFEDEVIHGHKVLSMDSLLARKTQKEASRMFFETLVLKTRDYIHVEQGKPFDNIYIMPRAKLMKADF >KJB14895 pep chromosome:Graimondii2_0_v6:2:28015445:28034153:-1 gene:B456_002G148100 transcript:KJB14895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPGNEIFQGNYVDNHISSREQITLQDTMGDVVYSTSQFGLDERFGEGDTSQIGLLDEELVLDRVAVPGSVEVSEYDLQGSDVPQKASNLEAVPMVCSGDQDEDLATNSEFVENDQDVGTPGLMELPNMSGDHKVLADHMESEHHNLTELGNECVENASNKSNSLYGDTGPVDQSLHNDVDHDAVPPKNGCHFGDMEKEQTKPPGNSVHDALCVEYTSAVGNVRGSDGLDRVEDMQNGVMHSIDRTEGECAESPSCSNITFDLEDPSRRTCLSSICVPTSDGCLENGQASHKSENGNDAETTDNLEEPFSPAKAIASNPSCPLELPSRPTVIDGEAQASLEPNDSENPETPIVHENLSSVQVLGSDSLAAAEQNLVDLSRREEEVHASGASIEVQVEACQTQSLEPALCDDQLENSNNCAMSDLPAPEKLLSAPEGPLDKPSDLLGESTPDKEVLAENDDIDSGTKLISGKKRSIAESTLTIESMNSVESFGRPRSMRTAESVPDDDDLLSSILVGRRSSVFKMKPTPPLEVASMKRARSAPRSSTIKRKVLMDDTMVLHGDTIREQLVNTEDIRRIRKKAPCTRPEISLIQGKFLEDEIFSEPIFTGISGDLACLHSKPYDLSSVRISEGEEFHASSELAKNLECSVRPRIGEAGIEVSSVPVIHGNDEQTQSAAIPNQADTQQGEYSDLNAQQDRYAIDDVPQLLQHEPLNGITEMEIDKDNVEVATLANCSVLNELGVSSHADLDTGGTSNKTVEEVTNAIDGSMLNIATCLPPDQKMTTQPGEDASELDLRNDKGTNPTEVLEHDVESIIAAETESKAADELLEESKANTSVEVSVADCPEPIENGYDSLAAIHTGEFVNGAQNAYETGYGKIDVADEAQVEGSFLDNEHKDSIFKGSEESKLDSTYSEKVDMALKNASLIDGETPIFLEVDAVNEEMISLADNRTAYEDVAVANDTEFLNVDDNELGGDEDDEGMPCGNESRLLENSGWSSRTRAVAKYLQNLFEDEVIHGHKVLSMDSLLARKTQKEASRMFFETLVLKTRDYIHVEQGKPFDNIYIMPRAKLMKADF >KJB14904 pep chromosome:Graimondii2_0_v6:2:28031054:28034153:-1 gene:B456_002G148100 transcript:KJB14904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPGNEIFQGNYVDNHISSREQITLQDTMGDVVYSTSQFGLDERFGEGDTSQIGLLDEVMLSFLCPDNW >KJB12161 pep chromosome:Graimondii2_0_v6:2:218633:219808:1 gene:B456_002G004000 transcript:KJB12161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLGIYGLIIAVIISTGINPKAKSYHRFVGYAHLSSGLDCGIARLSAGMAIGIVGDAGVRYGALIPPMFLT >KJB14115 pep chromosome:Graimondii2_0_v6:2:15355302:15362530:-1 gene:B456_002G110800 transcript:KJB14115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGLLLKSCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDITLTRENNITTGKIYQSVIDKERKGDYLGKTVQVVPHITDEIQEWIERAAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGTGNFCLVHVSLVPVLSVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKALDDNVKEKLSQFCHVPAENIVTLYDVPNIWHIPLLLRDQKAHEAILKGLNLLGIAREPDLTEWTARTKNYDMLHDPVKIAMVGKYVGLTDSYLSVLKALLHASVHHRKKLVVEWVEASHLEDITAEEDPNTFKAAWNHLKGADGILVPGGFGDRGVQGKILAAKYARESNVPFLGICLGMQIAVIEYARSVLGLHNANSIEFDPKTSNPCVIFMPEGSKTHMGGTMRLGTRRTYLKVPDCKSAKLYGDATFVDERHRHRYEVNPDMISELEAAGMSFVGRDESGRRMEIVELPSHPFFIGVQFHPEFKSRPGKPSALFSGLIAASCGELDELLNKSSHVSKVVGSGISNGKATYNGHQNGSYFNALNGSLNGVCVNGNGVHH >KJB14116 pep chromosome:Graimondii2_0_v6:2:15355368:15362311:-1 gene:B456_002G110800 transcript:KJB14116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGLLLKSCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDITLTRENNITTGKIYQSVIDKERKGDYLGKTVQVVPHITDEIQEWIERAAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGTGNFCLVHVSLVPVLSVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKALDDNVKEKLSQFCHVPAENIVTLYDVPNIWHIPLLLRDQKAHEAILKGLNLLGIAREPDLTEWTARTKNYDMLHDPVKIAMVGKYVGLTDSYLSVLKALLHASVHHRKKLVVEWVEASHLEDITAEEDPNTFKAAWNHLKGADGILVPGGFGDRGVQGKILAAKYARESNVPFLGICLGMQIAVIEYARSVLGLHNANSIEFDPKTSNPCVIFMPEGSKTHMGGTMRLGTRRTYLKVPDCKSAKLYGDATFVDERHRHRYEVNPDMISELEAAGMSFVGRDESGRRMEFHPEFKSRPGKPSALFSGLIAASCGELDELLNKSSHVSKVVGSGISNGKATYNGHQNGSYFNALNGSLNGVCVNGNGVHH >KJB15667 pep chromosome:Graimondii2_0_v6:2:51104115:51104599:-1 gene:B456_002G189500 transcript:KJB15667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQIVVLALAIMALFALVSTAAAAPAPAPGGSPSGSPGDASSPLPQASAPSPSSGAALEVSAIAAGAAAVASYFMF >KJB17151 pep chromosome:Graimondii2_0_v6:2:62644363:62646779:1 gene:B456_002G267600 transcript:KJB17151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFSSQTESWVATRNSIYESQKEADSFELDLKRLDLIKIDQEDENNNINSQDKISTTKKNKKKNQVLLEGYVEAVDEEDELKRTKSLTGDDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKYLDDHQHHASPDTTATPEPVANWKISSPGDHPEDVKARLKFWAQAVACTVKLCN >KJB17152 pep chromosome:Graimondii2_0_v6:2:62644363:62646779:1 gene:B456_002G267600 transcript:KJB17152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFSSQTESWVATRNSIYESQKEADSFELDLKRLDLIKIDQEDENNNINSQDKISTTKKNKKKNQVLLEGYVEAVDEEDELKRTKSLTGDDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKYLDDHQHHASPDTTATPEPVANWKISSPGDHPEDVKARLKFWAQAVACTVKLCN >KJB17154 pep chromosome:Graimondii2_0_v6:2:62644353:62646814:1 gene:B456_002G267600 transcript:KJB17154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFSSQTESWVATRNSIYESQKEADSFELDLKRLDLIKIDQEDENNNINSQDKISTTKKNKKKNQVLLEGYVEAVDEEDELKRTKSLTGDDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKYLDDHQHHASPDTTATPEPVANWKISSPGDHPEDVKARLKFWAQAVACTVKLCN >KJB17156 pep chromosome:Graimondii2_0_v6:2:62644363:62646779:1 gene:B456_002G267600 transcript:KJB17156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFSSQTESWVATRNSIYESQKEADSFELDLKRLDLIKIDQEDENNNINSQDKISTTKKNKKKNQVLLEGYVEAVDEEDELKRTKSLTGDDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKYLDDHQHHASPDTTATPEPVANWKISSPGDHPEDVKARLKFWAQAVACTVKLCN >KJB17153 pep chromosome:Graimondii2_0_v6:2:62644363:62646814:1 gene:B456_002G267600 transcript:KJB17153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFSSQTESWVATRNSIYESQKEADSFELDLKRLDLIKIDQEDENNNINSQDKISTTKKNKKKNQVLLEGYVEAVDEEDELKRTKSLTGDDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKYLDDHQHHASPDTTATPEPVANWKISSPGDHPEDVKARLKFWAQAVACTVKLCN >KJB17157 pep chromosome:Graimondii2_0_v6:2:62644363:62646779:1 gene:B456_002G267600 transcript:KJB17157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFSSQTESWVATRNSIYESQKEADSFELDLKRLDLIKIDQEDENNNINSQDKISTTKKNKKKNQVLLEGYVEAVDEEDELKRTKSLTGDDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKYLDDHQHHASPDTTATPEPVANWKISSPGDHPEDVKARLKFWAQAVACTVKLCN >KJB17155 pep chromosome:Graimondii2_0_v6:2:62644363:62646779:1 gene:B456_002G267600 transcript:KJB17155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFSSQTESWVATRNSIYESQKEADSFELDLKRLDLIKIDQEDENNNINSQDKISTTKKNKKKNQVLLEGYVEAVDEEDELKRTKSLTGDDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKYLDDHQHHASPDTTATPEPVANWKISSPGDHPEDVKARLKFWAQAVACTVKLCN >KJB12735 pep chromosome:Graimondii2_0_v6:2:2552161:2554278:1 gene:B456_002G033800 transcript:KJB12735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTISCSVLLTLDTIISCFSLQFGTALDTITPSKSIKDPESIISQSGVFRLGFFCFANSSNRYVGILYHQIPVQTVVWVANRNKPVKDSSGILKISDDGNLVVLNGKAEILWSSKVKNLVPNATTAQLLDSGNLVLNNGVNSLWESFEDPSNAFLETMKISTDVKKGRKVEIKSWKSPDDPSDGNFSLSLEPFNIPEGAIWNNNQLYYRSGPWNGQKFIGVKIMHTVYLAGFYLVSDVKHQTYYVTYQYSNNSWLLYHELDSQGKLSERHWDAEKGEWISWYPLLQTDCDVYGKCGPFGMCDPTKRPICSCLKGFKPRNREEWSRGNWSSGCFRTTLLQCQRDNNNGSEAGQGDDGFLKLKMMKVPAFPDWSSVIYSECKDQCLKNCSCVAYAHDDGIGCMFWGPDLIDVQKFSTSGVDLYIRLPSSELDKGKSNKVIVITTVIAGIVVIAISALFLWCRMAKQRGRNKIWRQIEDVEENLIGAKLQQLPLFNFEELATATDNFYHTKKLGQGGFGPVYRGTLDDGKEIAVKRLSKASGQGLEEFKNEVVVISKLQHRNLVKLFGCCVEGEEKMLVYEYMPNKSLDAFLFG >KJB15306 pep chromosome:Graimondii2_0_v6:2:42675459:42677387:1 gene:B456_002G169900 transcript:KJB15306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNRCVNMIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPAKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLVEKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYRNIMENCHENAMVLKEGLEKTGRFNIVSKDEGVPLVAFSLKDNKRHDEFEISEMLRRFGWIVPAYTMPADAQHITVLRVVIREDFSRTLAERLVIDIQKVLHELDTLPAKVNAKLAMAEEENSKNGTVKKTAIETQREITSYWKKFVSERKTNKNKIC >KJB15305 pep chromosome:Graimondii2_0_v6:2:42675237:42677498:1 gene:B456_002G169900 transcript:KJB15305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKTASQSDVSVHSTFASRYVRDQLPRFRMPENSIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECNKLIMDAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPAKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLVEKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYRNIMENCHENAMVLKEGLEKTGRFNIVSKDEGVPLVAFSLKDNKRHDEFEISEMLRRFGWIVPAYTMPADAQHITVLRVVIREDFSRTLAERLVIDIQKVLHELDTLPAKVNAKLAMAEEENSKNGTVKKTAIETQREITSYWKKFVSERKTNKNKIC >KJB12366 pep chromosome:Graimondii2_0_v6:2:957026:961447:1 gene:B456_002G014800 transcript:KJB12366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGNSLPSGPDGVKRKVSYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQNMQVYKPLPARERDLCRFHADDYVNFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLFSFCQTYAGGSVGGAVKLNHNICDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHQRVLYVDIDIHHGDGVEEAFYTSDRVMTVSFHKFGDYFPGTGDIRDIGYSKGKYYSLNVPLDDGIDDESYHFLFKPIIGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGTEVDDQLPQHEYYEYFGPDYTLHVAPSNMENKNTRQLLEEIRNNLLDNLSKLQHAPSVQFQERPPDTELPEADEDQDDADERWDPDSDMEVDEKRPIPSRVKREAIEPERKDSEALKGTAEQARGFDNMATNETLSTKSVDVRPMAIDEPTVKVEQETSNKASDPIYPKS >KJB12367 pep chromosome:Graimondii2_0_v6:2:957443:960941:1 gene:B456_002G014800 transcript:KJB12367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGNSLPSGPDGVKRKVSYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQNMQVYKPLPARERDLCRFHADDYVNFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLFSFCQTYAGGSVGGAVKLNHNICDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHQRVLYVDIDIHHGDGVEEAFYTSDRVMTVSFHKFGDYFPGTGDIRDIGYSKGKYYSLNVPLDDGIDDESYHFLFKPIIGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGTEVDDQLPQHEYYEYFGPDYTLHVAPSNMENKNTRQLLEEIRNNLLDNLSKLQHAPSVQFQERPPDTELPEADEDQDDADERWDPDSDMEVDEKRYFSLFFLKTFLDLHPNLFLIAFYFHLSHSLCRPIPSRVKREAIEPERKDSEALKGTAEQARGFDNMATNETLSTKVI >KJB12368 pep chromosome:Graimondii2_0_v6:2:957094:961403:1 gene:B456_002G014800 transcript:KJB12368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGNSLPSGPDGVKRKVSYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQNMQVYKPLPARERDLCRFHADDYVNFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLFSFCQTYAGGSVGGAVKLNHNICDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHQRVLYVDIDIHHGDGVEEAFYTSDRVMTVSFHKFGDYFPGTGDIRDIGYSKGKYYSLNVPLDDGIDDESYHFLFKPIIGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGTEVDDQLPQHEYYEYFGPDYTLHVAPSNMENKNTRQLLEEIRNNLLDNLSKLQHAPSVQFQERPPDTELPEADEDQDDADERWDPDSDMEVDEKRYFSLFFLKTFLDLHPNLFLIAFYFHLSHSLCRPIPSRVKREAIEPERKDSEALKGTAEQARGFDNMATNETLSTKSVDVRPMAIDEPTVKVEQETSNKASDPIYPKS >KJB15594 pep chromosome:Graimondii2_0_v6:2:49442054:49442537:1 gene:B456_002G185800 transcript:KJB15594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYCHNYNHVIPCLHCHPHSYIRMVQHLIERCLLLYMNRQQCVKALAKYASIRPCITITVWRELEKENKDFFEAYFQALSLQAFYG >KJB15598 pep chromosome:Graimondii2_0_v6:2:49441926:49443279:1 gene:B456_002G185800 transcript:KJB15598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYCHNYNHVIPCLHCHPHSYIRMVQHLIERCLLLYMNRQQCVKALAKYASIRPCITITVWRELEKENKDFFEAYFQALSLQAFYG >KJB15596 pep chromosome:Graimondii2_0_v6:2:49441405:49444055:1 gene:B456_002G185800 transcript:KJB15596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYCHNYNHVIPCLHCHPHSYIRMVQHLIERCLLLYMNRQQCVKALAKYASIRPCITITVWRELEKENKDFFEAYFQALSLQAFYGNRVMKGRISTGSE >KJB15595 pep chromosome:Graimondii2_0_v6:2:49441405:49443758:1 gene:B456_002G185800 transcript:KJB15595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYCHNYNHVIPCLHCHPHSYIRMVQHLIERCLLLYMNRQQCVKALAKYASIRPCITITVWRELEKENKDFFEAYFQALSLQAFYGHI >KJB15597 pep chromosome:Graimondii2_0_v6:2:49442054:49442537:1 gene:B456_002G185800 transcript:KJB15597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYCHNYNHVIPCLHCHPHSYIRMVQHLIERCLLLYMNRQQCVKALAKYASIRPCITITVWRELEKENKDFFEAYFQALSLQAFYG >KJB12557 pep chromosome:Graimondii2_0_v6:2:1788613:1793062:-1 gene:B456_002G024800 transcript:KJB12557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVVPADPQVLVTVKKKAQPSRSWAVLDSNGETTLLDVDKYAIMHRVNIHARDLRILDPLLSYPSTILGRDGAIVLNLEHIKAIITAEEVLLRDPSGEYVVPVVQELQRHLPVVNAINQGPEAIGQSDVEAADECVSPFEFRALEVALESICSFLAARTLELETAAYPALDELTSLISSRNLDRVRKLKSAMTRLTARVQKVRDALEQLLDDDDDMADLYLSRKLAASSPVSGSGAANWYAVSPTIGSKISKASRGSIATIHGDENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQVCSSFHDIFERW >KJB12554 pep chromosome:Graimondii2_0_v6:2:1789284:1792969:-1 gene:B456_002G024800 transcript:KJB12554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVVPADPQVLVTVKKKAQPSRSWAVLDSNGETTLLDVDKYAIMHRVNIHARDLRILDPLLSYPSTILGRDGAIVLNLEQHIKAIITAEEVLLRDPSGEYVVPVVQELQRHLPVVNAINQGPEAIGQSDVEAADECVSPFEFRALEVALESICSFLAARTLELETAAYPALDELTSLISSRNLDRVRKLKSAMTRLTARVQKVRDALEQLLDDDDDMADLYLSRKLAASSPVSGSGAANWYAVSPTIGSKISKASRGSIATIHGDENDVEELEMLLEAYFMQIDGTLNKLTTFSLLHSNYIAAGIYRRYRGLHQYSARQSPKSVNPVRALSKFRKCVFICLFFGGCNIWDEHSIYMER >KJB12553 pep chromosome:Graimondii2_0_v6:2:1788336:1793065:-1 gene:B456_002G024800 transcript:KJB12553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVVPADPQVLVTVKKKAQPSRSWAVLDSNGETTLLDVDKYAIMHRVNIHARDLRILDPLLSYPSTILGRDGAIVLNLEQHIKAIITAEEVLLRDPSGEYVVPVVQELQRHLPVVNAINQGPEAIGQSDVEAADECVSPFEFRALEVALESICSFLAARTLELETAAYPALDELTSLISSRNLDRVRKLKSAMTRLTARVQKVRDALEQLLDDDDDMADLYLSRKLAASSPVSGSGAANWYAVSPTIGSKISKASRGSIATIHGDENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGSVCLSVYSLVAAIFGMNIPFTWNDNHGYVFKWVVIISGLLSALLFILIMSYARLKGLVGS >KJB12556 pep chromosome:Graimondii2_0_v6:2:1788474:1793070:-1 gene:B456_002G024800 transcript:KJB12556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVVPADPQVLVTVKKKAQPSRSWAVLDSNGETTLLDVDKYAIMHRVNIHARDLRILDPLLSYPSTILGRDGAIVLNLEHIKAIITAEEVLLRDPSGEYVVPVVQELQRHLPVVNAINQGPEAIGQSDVEAADECVSPFEFRALEVALESICSFLAARTLELETAAYPALDELTSLISSRNLDRVRKLKSAMTRLTARVQKVRDALEQLLDDDDDMADLYLSRKLAASSPVSGSGAANWYAVSPTIGSKISKASRGSIATIHGDENDVEELEMLLEAYFMQIDGTLNKLTTFSLLHSNYIAAGIYRRYRGLHQYSARQSPKSVNPVRALSKFRKCVFICLFFGGCNIWDEHSIYMER >KJB12555 pep chromosome:Graimondii2_0_v6:2:1788336:1793070:-1 gene:B456_002G024800 transcript:KJB12555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVVPADPQVLVTVKKKAQPSRSWAVLDSNGETTLLDVDKYAIMHRVNIHARDLRILDPLLSYPSTILGRDGAIVLNLEHIKAIITAEEVLLRDPSGEYVVPVVQELQRHLPVVNAINQGPEAIGQSDVEAADECVSPFEFRALEVALESICSFLAARTLELETAAYPALDELTSLISSRNLDRVRKLKSAMTRLTARVQKVRDALEQLLDDDDDMADLYLSRKLAASSPVSGSGAANWYAVSPTIGSKISKASRGSIATIHGDENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGSVCLSVYSLVAAIFGMNIPFTWNDNHGYVFKWVVIISGLLSALLFILIMSYARLKGLVGS >KJB13876 pep chromosome:Graimondii2_0_v6:2:12613281:12615151:1 gene:B456_002G099400 transcript:KJB13876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHFYSIISMTSNDEKALKKRSIQGCKATLVAAKCAPPEALRAGYPDLKRLGTYFGIYLGVGTVIFYALKNDIRGHKTIDFIDSLYLCVVTMTTVGYGDLVPHSYNAQLVCIFFITVGMCLFGIAVKIAAKYLVVKQQMVMVNALRMSRKIGPVEALKEIESVKIDYNKLKISLIAMAGHYVIGILVLLTIEGMDFTDAIYCAFTTMTTTGFGDETFQELFGRMFAVFWISTGTSCVGQLFLYIAEIYTDIETKKLAKQVISNNIIAKTDLEAADDIKDGKVYGAADMILYKLKEKGKIKQDDISVAMKDLNDVIKDVDVDDVTPPKSAQEK >KJB13877 pep chromosome:Graimondii2_0_v6:2:12613281:12615151:1 gene:B456_002G099400 transcript:KJB13877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHFYSIISMTSNDEKALKKRSIQGCKATLVAAKCAPPEALRAGYPDLKRLGTYFGIYLGVGTVIFYALKNDIRGHKTIDFIDSLYLCVVTMTTVGYGDLVPHSYNAQLVCIFFITVGMCLFGIAVKIAAKYLVVKQQMVMVNALRMSRKIGPVEALKEIESVKIDYNKLKISLIAMAGHYVIGILVLLTIEGMDFTDAIYCAFTTMTTTGFGDETFQELFGRMFAVFWISTGTSCVGQLFLYIAEIYTDIETKKLAKQVISNNIIAKTDLEAADDIKDGKVYGAADMILYKLKEKGKIKQDDISVAMKDLNDVIKDVDVDDVTPPKSAQEK >KJB13875 pep chromosome:Graimondii2_0_v6:2:12613264:12615151:1 gene:B456_002G099400 transcript:KJB13875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQTTSAWIFQELSSVFENQIPSTYKHFYIDLQTYFPLVVVFMLDHFYSIISMTSNDEKALKKRSIQGCKATLVAAKCAPPEALRAGYPDLKRLGTYFGIYLGVGTVIFYALKNDIRGHKTIDFIDSLYLCVVTMTTVGYGDLVPHSYNAQLVCIFFITVGMCLFGIAVKIAAKYLVVKQQMVMVNALRMSRKIGPVEALKEIESVKIDYNKLKISLIAMAGHYVIGILVLLTIEGMDFTDAIYCAFTTMTTTGFGDETFQELFGRMFAVFWISTGTSCVGQLFLYIAEIYTDIETKKLAKQVISNNIIAKTDLEAADDIKDGKVYGAADMILYKLKEKGKIKQDDISVAMKDLNDVIKDVDVDDVTPPKSAQEK >KJB13874 pep chromosome:Graimondii2_0_v6:2:12613165:12615151:1 gene:B456_002G099400 transcript:KJB13874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQTTSAWIFQELSSVFENQIPSTYKHFYIDLQTYFPLVSLFFPYFPLVVVFMLDHFYSIISMTSNDEKALKKRSIQGCKATLVAAKCAPPEALRAGYPDLKRLGTYFGIYLGVGTVIFYALKNDIRGHKTIDFIDSLYLCVVTMTTVGYGDLVPHSYNAQLVCIFFITVGMCLFGIAVKIAAKYLVVKQQMVMVNALRMSRKIGPVEALKEIESVKIDYNKLKISLIAMAGHYVIGILVLLTIEGMDFTDAIYCAFTTMTTTGFGDETFQELFGRMFAVFWISTGTSCVGQLFLYIAEIYTDIETKKLAKQVISNNIIAKTDLEAADDIKDGKVYGAADMILYKLKEKGKIKQDDISVAMKDLNDVIKDVDVDDVTPPKSAQEK >KJB13761 pep chromosome:Graimondii2_0_v6:2:11820359:11821441:1 gene:B456_002G093000 transcript:KJB13761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSLKIVSFFVLPCFIAETAYKVWWYASGASQIPFLGIVWLSDTVACVMELCSWLYRTTVFFLVCVLFRLICNLQVLRLHDFAKVFNVDSDVGSVLREHLRIRRQLRIISHRYRAFIVWCLILVTFSQFTSLLITTKSNSRLNIYKAGELALCSITLLTGLCILLRSATKITHKAQSVTSLASKWHVCATLDSFDEGETPRTPAIHDQQVLPHVGANGESEGDDPGEEDDELDNCKLIPAHAYSTISYHKRQALVTYFEKNQGGITVYGIALDRSTLHTIFGIELSFVLWILGKTIGIS >KJB13762 pep chromosome:Graimondii2_0_v6:2:11819777:11821629:1 gene:B456_002G093000 transcript:KJB13762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCSWLYRTTVFFLVCVLFRLICNLQVLRLHDFAKVFNVDSDVGSVLREHLRIRRQLRIISHRYRAFIVWCLILVTFSQFTSLLITTKSNSRLNIYKAGELALCSITLLTGLCILLRSATKITHKAQSVTSLASKWHVCATLDSFDEGETPRTPAIHDQQVLPHVGANGESEGDDPGEEDDELDNCKLIPAHAYSTISYHKRQALVTYFEKNQGGITVYGIALDRSTLHTIFGIELSFVLWILGKTIGIS >KJB13757 pep chromosome:Graimondii2_0_v6:2:11819777:11821629:1 gene:B456_002G093000 transcript:KJB13757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLVERTRLARTISHAQDELQSFRTYLRWMCVDQSNIWMGCLSWFMFIVFGLLVPLVSHFMLACSTCDATHTRPYDWVVQLSLSSVSALSFVCLTRFVKKHGLRRFLFLDKLCDESETVRKQYTVQLNRSLKIVSFFVLPCFIAETAYKVWWYASGASQIPFLGIVWLSDTVACVMELCSWLYRTTVFFLVCVLFRLICNLQVLRLHDFAKVFNVDSDVGSVLREHLRIRRQLRIISHRYRAFIVWCLILVTFSQFTSLLITTKSNSRLNIYKAGELALCSITLLTGLCILLRSATKITHKAQSVTSLASKWHVCATLDSFDEGETPRTPAIHDQQVLPHVGANGESEGDDPGEEDDELDNCKLIPAHAYSTISYHKRQALGNIFYVTFLIKKKKLNVLVLV >KJB13756 pep chromosome:Graimondii2_0_v6:2:11819777:11821629:1 gene:B456_002G093000 transcript:KJB13756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLVERTRLARTISHAQDELQSFRTYLRWMCVDQSNIWMGCLSWFMFIVFGLLVPLVSHFMLACSTCDATHTRPYDWVVQLSLSSVSALSFVCLTRFVKKHGLRRFLFLDKLCDESETVRKQYTVQLNRSLKIVSFFVLPCFIAETAYKVWWYASGASQIPFLGIVWLSDTVACVMELCSWLYRTTVFFLVCVLFRLICNLQVLRLHDFAKVFNVDSDVGSVLREHLRIRRQLRIISHRYRAFIVWCLILVTFSQFTSLLITTKSNSRLNIYKAGELAVIVLYNSSHRTLYIASKCNKDNTQSTISYIPCFKVACLCNTRFL >KJB13753 pep chromosome:Graimondii2_0_v6:2:11819648:11821674:1 gene:B456_002G093000 transcript:KJB13753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLVERTRLARTISHAQDELQSFRTYLRWMCVDQSNIWMGCLSWFMFIVFGLLVPLVSHFMLACSTCDATHTRPYDWVVQLSLSSVSALSFVCLTRFVKKHGLRRFLFLDKLCDESETVRKQYTVQLNRSLKIVSFFVLPCFIAETAYKVWWYASGASQIPFLGIVWLSDTVACVMELCSWLYRTTVFFLVCVLFRLICNLQVLRLHDFAKVFNVDSDVGSVLREHLRIRRQLRIISHRYRAFIVWCLILVTFSQFTSLLITTKSNSRLNIYKAGELALCSITLLTGLCILLRSATKITHKAQSVTSLASKWHVCATLDSFDEGETPRTPAIHDQQVLPHVGANGESEGDDPGEEDDELDNCKLIPAHAYSTISYHKRQALVTYFEKNQGGITVYGIALDRSTLHTIFGIELSFVLWILGKTIGIS >KJB13754 pep chromosome:Graimondii2_0_v6:2:11819886:11820907:1 gene:B456_002G093000 transcript:KJB13754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLVERTRLARTISHAQDELQSFRTYLRWMCVDQSNIWMGCLSWFMFIVFGLLVPLVSHFMLACSTCDATHTRPYDWVVQLSLSSVSALSFVCLTRFVKKHGLRRFLFLDKLCDESETVRKQYTVQLNRSLKIVSFFVLPCFIAETAYKVWWYASGASQIPFLGIVWLSDTVACVMELCSWLYRTTVFFLVCVLFRLICNLQVLRLHDFAKVFNVDSDVGSVLREHLRIRRQLRIISHRYRAFIVWCLILVTFSQFTSLLITTKSNSRLNIYKAGELAVSLRSKKSKHTRVRLTYMIHLFHFCCSCAL >KJB13763 pep chromosome:Graimondii2_0_v6:2:11819777:11821629:1 gene:B456_002G093000 transcript:KJB13763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLVERTRLARTISHAQDELQSFRTYLRWMCVDQSNIWMGCLSWFMFIVFGLLVPLVSHFMLACSTCDATHTRPYDWVVQLSLSSVSALSFVCLTRFVKKHGLRRFLFLDKLCDESETVRKQYTVQLNIVSFFVLPCFIAETAYKVWWYASGASQIPFLGIVWLSDTVACVMELCSWLYRTTVFFLVCVLFRLICNLQVLRLHDFAKVFNVDSDVGSVLREHLRIRRQLRIISHRYRAFIVWCLILVTFSQFTSLLITTKSNSRLNIYKAGELALCSITLLTGLCILLRSATKITHKAQSVTSLASKWHVCATLDSFDEGETPRTPAIHDQQVLPHVGANGESEGDDPGEEDDELDNCKLIPAHAYSTISYHKRQALVTYFEKNQGGITVYGIALDRSTLHTIFGIELSFVLWILGKTIGIS >KJB13760 pep chromosome:Graimondii2_0_v6:2:11819886:11820907:1 gene:B456_002G093000 transcript:KJB13760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLVERTRLARTISHAQDELQSFRTYLRWMCVDQSNIWMGCLSWFMFIVFGLLVPLVSHFMLACSTCDATHTRPYDWVVQLSLSSVSALSFVCLTRFVKKHGLRRFLFLDKLCDESETVRKQYTVQLNRSLKIVSFFVLPCFIAETAYKVWWYASGASQIPFLGIVWLSDTVACVMELCSWLYRTTVFFLVCVLFRLICNLQVLRLHDFAKVFNVDSDVGSVLREHLRIRRQLRIISHRYRAFIVWCLILVTFSQFTSLLITTKSNSRLNIYKAGELAVSLRSKKSKHTRVRLTYMIHLFHFCCSCAL >KJB13759 pep chromosome:Graimondii2_0_v6:2:11819777:11821629:1 gene:B456_002G093000 transcript:KJB13759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKIVSFFVLPCFIAETAYKVWWYASGASQIPFLGIVWLSDTVACVMELCSWLYRTTVFFLVCVLFRLICNLQVLRLHDFAKVFNVDSDVGSVLREHLRIRRQLRIISHRYRAFIVWCLILVTFSQFTSLLITTKSNSRLNIYKAGELALCSITLLTGLCILLRSATKITHKAQSVTSLASKWHVCATLDSFDEGETPRTPAIHDQQVLPHVGANGESEGDDPGEEDDELDNCKLIPAHAYSTISYHKRQALVTYFEKNQGGITVYGIALDRSTLHTIFGIELSFVLWILGKTIGIS >KJB13758 pep chromosome:Graimondii2_0_v6:2:11819777:11821629:1 gene:B456_002G093000 transcript:KJB13758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCSWLYRTTVFFLVCVLFRLICNLQVLRLHDFAKVFNVDSDVGSVLREHLRIRRQLRIISHRYRAFIVWCLILVTFSQFTSLLITTKSNSRLNIYKAGELALCSITLLTGLCILLRSATKITHKAQSVTSLASKWHVCATLDSFDEGETPRTPAIHDQQVLPHVGANGESEGDDPGEEDDELDNCKLIPAHAYSTISYHKRQALVTYFEKNQGGITVYGIALDRSTLHTIFGIELSFVLWILGKTIGIS >KJB13755 pep chromosome:Graimondii2_0_v6:2:11819777:11821629:1 gene:B456_002G093000 transcript:KJB13755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLVERTRLARTISHAQDELQSFRTYLRWMCVDQSNIWMGCLSWFMFIVFGLLVPLVSHFMLACSTCDATHTRPYDWVVQLSLSSVSALSFVCLTRFVKKHGLRRFLFLDKLCDESETVRKQYTVQLNRSLKIVSFFVLPCFIAETAYKVWWYASGASQIPFLGIVWLSDTVACVMELCSWLYRTTVFFLVCVLFRLICNLQVLRLHDFAKVFNVDSDVGSVLREHLRIRRQLRIISHRYRAFIVWCLILVTFSQFTSLLITTKSNSRLNIYKARPRGLRPSMTNKCYHTWVQMVNLKVTIPVKKTTSWITAS >KJB16511 pep chromosome:Graimondii2_0_v6:2:59325349:59331229:1 gene:B456_002G233500 transcript:KJB16511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGSHSSSTTLARTKSDQLVETLAAAFKSPRQSDQQTPPGTSESGGTLSRKSSKRVMMGASPRRSSGENNNTYIRKSRSAQMKLDLEELSSGAALSRASSASLGLSFSFTGFTVPPDEIADSKPFSDDDIPEDVEARTCKMKFQTEPTLPIYLKFTDVTYKVIIKGMTSCEERDILNGITGAVNPGEVLALMGPSGSGKTTLLNLLGGRLIQSTVSGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKVLTRQQKEKRAVDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIEFLLDLANGNLTDISVPSELEDKVQMENSETETGNGKPPPAVVHEYLVEAYESRVADTEKKKLLAPLPLDEELKSKVSSSKRQWGASWWQQYCILFCRGIKERKHDYFSWLRITQVLSTAIILGLLWWQSDSKTIRGRQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLGKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSASHFFLSMLTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFVKKVPVFISWIRYMSFNYHTYKLLLKVQYQDIMPTVNGIKTDSGLKEVGALVAMIFGYRFLAYLSLRKMQLHC >KJB16514 pep chromosome:Graimondii2_0_v6:2:59327689:59331229:1 gene:B456_002G233500 transcript:KJB16514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVTNNNAEDVEARTCKMKFQTEPTLPIYLKFTDVTYKVIIKGMTSCEERDILNGITGAVNPGEVLALMGPSGSGKTTLLNLLGGRLIQSTVSGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKVLTRQQKEKRAVDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRTIQTLQDIAEVGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAIFYFSSIGCSPLIAMNPAEFLLDLANGNLTDISVPSELEDKVQMENSETETGNGKPPPAVVHEYLVEAYESRVADTEKKKLLAPLPLDEELKSKVSSSKRQWGASWWQQYCILFCRGIKERKHDYFSWLRITQVLSTAIILGLLWWQSDSKTIRGRQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLGKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSASHFFLSMLTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFVKKVPVFISWIRYMSFNYHTYKLLLKVQYQDIMPTVNGIKTDSGLKEVGALVAMIFGYRFLAYLSLRKMQLHC >KJB16513 pep chromosome:Graimondii2_0_v6:2:59328348:59330772:1 gene:B456_002G233500 transcript:KJB16513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYYVFSSFGQMRTCKVTSTKERRFTDVTYKVIIKGMTSCEERDILNGITGAVNPGEVLALMGPSGSGKTTLLNLLGGRLIQSTVSGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKVLTRQQKEKRAVDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRTIQTLQDIAEVGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAIFYFSSIGCSPLIAMNPAEFLLDLANGNLTDISVPSELEDKVQMENSETETGNGKPPPAVVHEYLVEAYESRVADTEKKKLLAPLPLDEELKSKVSSSKRQWGASWWQQYCILFCRGIKERKHDYFSWLRITQVLSTAIILGLLWWQSDSKTIRGRQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLGKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSASHFFLSMLTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFVKKVPVFISWIRYMSFNYHTYKLLLKVQYQDIMPTVNGIKTDSGLKEVGALVAMIFGYRFLAYLSLRKMQLHC >KJB16510 pep chromosome:Graimondii2_0_v6:2:59325349:59331229:1 gene:B456_002G233500 transcript:KJB16510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGSHSSSTTLARTKSDQLVETLAAAFKSPRQSDQQTPPGTSESGGTLSRKSSKRVMMGASPRRSSGENNNTYIRKSRSAQMKLDLEELSSGAALSRASSASLGLSFSFTGFTVPPDEIADSKPFSDDDIPEDVEARTCKMKFQTEPTLPIYLKFTDVTYKVIIKGMTSCEERDILNGITGAVNPGEVLALMGPSGSGKTTLLNLLGGRLIQSTVSGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKVLTRQQKEKRAVDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRTIQTLQDIAEVGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAIFYFSSIGCSPLIAMNPAEFLLDLANGNLTDISVPSELEDKVQMENSETETGNGKPPPAVVHEYLVEAYESRVADTEKKKLLAPLPLDEELKSKVSSSKRQWGASWWQQYCILFCRGIKERKHDYFSWLRITQVLSTAIILGLLWWQSDSKTIRGRQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLGKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSASHFFLSMLT >KJB16512 pep chromosome:Graimondii2_0_v6:2:59325349:59331229:1 gene:B456_002G233500 transcript:KJB16512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGSHSSSTTLARTKSDQLVETLAAAFKSPRQSDQQTPPGTSESGGTLSRKSSKRVMMGASPRRSSGENNNTYIRKSRSAQMKLDLEELSSGAALSRASSASLGLSFSFTGFTVPPDEIADSKPFSDDDIPEDVEARTCKMKFQTEPTLPIYLKFTDVTYKVIIKGMTSCEERDILNGITGAVNPGEVLALMGPSGSGKTTLLNLLGGRLIQSTVSGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKVLTRQQKEKRAVDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRTIQTLQDIAEVGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAIFYFSSIGCSPLIAMNPAEFLLDLANGNLTDISVPSELEDKVQMENSETETGNGKPPPAVVHEYLVEAYESRVADTEKKKLLAPLPLDEELKSKVSSSKRQWGASWWQQYCILFCRGIKERKHDYFSWLRITQVLSTAIILGLLWWQSDSKTIRGRQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLGKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSASHFFLSMLTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFVKKVPVFISWIRYMSFNYHTYKLLLKVQYQDIMPTVNGIKTDSGLKEVGALVAMIFGYRFLAYLSLRKMQLHC >KJB14638 pep chromosome:Graimondii2_0_v6:2:22277556:22278364:1 gene:B456_002G135300 transcript:KJB14638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGNFWLVPDYSAMVTEVFNKLEWVPGLLGGYYSDCLLRVIGQTVGSMVKLDVHMDCARRGRFARLAAYVDLRKLLVSKVRINGCLQCVEYEALPNIYFQRGMYRHAVDVCPGIATTSPVEESGYVQLVMEKSGLEKKVEDEPYGSWMVVERWRGRSWALSEGKNDGFGGLAGRSCFTALGVNEGENSVVFNGEINGSDEVVTKERSNSEGDMWLGFPEKDVIETKRKQAKLRAKGKKVVMGSGPKSTLKVLKPNNGSL >KJB13638 pep chromosome:Graimondii2_0_v6:2:10947516:10949003:-1 gene:B456_002G086100 transcript:KJB13638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQVKMEKVDRISDLHDSILTHVLSFLSTKEAIKTSVLSTRWRYLFDLLPNIDFDLEEDLCRKNIKSYSTDVIENYMCSVDRMLLFCNTTNVSKFGFKCWIKMIGSNRFNRWISAAVDRGVKHLDLSTSVLPSSTLPIFTCKTLVSLKLGKYFVLNVPKDVHLPNLKILHLHSVGFLNDDSVKRLLSGCPHLEDMVTRKCDLGNIRNFHISHHLLKTLTIRYTYNSYQCWLWINAPNLTSLEYYDRLVAGYSMENLQSLTKAVIDISACKTLRADATKFFKGICDVPSLVLSDTSLQLLLRCEPLPVFENLIKLDLPCHLRFRCSNALQKGLETLLSSLPALEKLEFYQEVLCSLPEKVPSCLLHKLKTIKITNFTDEKDCIGKAKYILKNGGVLEKLIIVTASHISIGKKWISKTLCVSSRESKPCFILII >KJB14851 pep chromosome:Graimondii2_0_v6:2:27327317:27343885:-1 gene:B456_002G147300 transcript:KJB14851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGREDVEILKPRIDKREYRRIVLRNSLQVLLISDLDTDKCAASMNVGVGSFCDPDGLEGLAHFLEHMLFYASEKYPLEDSYSKYITEHGGSTNAFTASEMTNYYFDVNTDCFEEALDRFAQFFIKPLMSADATMREIKAVDSENQKNLLSDAWRMNQLQKHLSLESHPYHKFSTGNWDTLDVRPKAKGVDTRQELLKFYEDKYSANLMHLVVYSKESLDKIQCLVEDKFQEIQNSDRSRFQFPGQPCTSEHLEILVRAVPIKQGHKLRIVWPITPSILHYKEGPCRYLGHLIGHEGEGSLFYVLKKSGWATGLSAGEGEWTSEFSFFNVVIDLTDAGQDNMQDIVGLLFKYIQLLQQSGVCKWIFDELSAVCETGFHYQDKISPIDYVVNISSNMQIYPPKDWLVGSLLPSDFNPAIIQKILNELSPENVRIFWESKKFEGLTDKVEPWYGTAYSIEKVSSSKIQAWMSSAPNENLHLPAPNVFIPKDLSIKNAQEEVKFPVLLRKSSYSKLWYKPDTVFSTPKAYVKIDFNCPHAGNSPETEVLGDLFARLLLDYLNEYAYYAQVAGLLYGISHTDSGFEVTLVGYNHKLRILLETIIDKIVKFEVKPDRFSVIKEMEIKDYQNFKFQQPYQQAMYYCSLILKDQTRPWVERLDVLPRLNVEDLTNFAPMMLSQAFLECYIAGNIEREEAESMVQHVEDVFFKGPNPICRPLFPSQFLTNRVVKLERGMNYCYSKEGLNPSDENSALVHYIQVHQDDFILNVKLQLFALVAKQPAFHQLRSVEQLGYITVLMQRNDSGICGVQFIIQSTVKSNVNALIDMKLEKHKNLREESRFYWREITDGTLKFDRREAEVAALKKLTQQELIEFFNENVKVGATRKKTLSVRVHGNQHLAEYHSQKSEAVQPNTIQINDIFSFRRSQPLYGSFRGGIGHVKL >KJB14854 pep chromosome:Graimondii2_0_v6:2:27327661:27343693:-1 gene:B456_002G147300 transcript:KJB14854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGREDVEILKPRIDKREYRRIVLRNSLQVLLISDLDTDKCAASMNVGVGSFCDPDGLEGLAHFLGSTNAFTASEMTNYYFDVNTDCFEEALDRFAQFFIKPLMSADATMREIKAVDSENQKNLLSDAWRMNQLQKHLSLESHPYHKFSTGNWDTLDVRPKAKGVDTRQELLKFYEDKYSANLMHLVVYSKESLDKIQCLVEDKFQEIQNSDRSRFQFPGQPCTSEHLEILVRAVPIKQGHKLRIVWPITPSILHYKEGPCRYLGHLIGHEGEGSLFYVLKKSGWATGLSAGEGEWTSEFSFFNVVIDLTDAGQDNMQDIVGLLFKYIQLLQQSGVCKWIFDELSAVCETGFHYQDKISPIDYVVNISSNMQIYPPKDWLVGSLLPSDFNPAIIQKILNELSPENVRIFWESKKFEGLTDKVEPWYGTAYSIEKVSSSKIQAWMSSAPNENLHLPAPNVFIPKDLSIKNAQEEVKFPVLLRKSSYSKLWYKPDTVFSTPKAYVKIDFNCPHAGNSPETEVLGDLFARLLLDYLNEYAYYAQVAGLLYGISHTDSGFEVTLVGYNHKLRILLETIIDKIVKFEVKPDRFSVIKEMEIKDYQNFKFQQPYQQAMYYCSLILKDQTRPWVERLDVLPRLNVEDLTNFAPMMLSQAFLECYIAGNIEREEAESMVQHVEDVFFKGPNPICRPLFPSQFLTNRVVKLERGMNYCYSKEGLNPSDENSALVHYIQVHQDDFILNVKLQLFALVAKQPAFHQLRSVEQLGYITVLMQRNDSGICGVQFIIQSTVKGPAHIDSRVEAFLKMFENKLYEMTNDEFKSNVNALIDMKLEKHKNLREESRFYWREITDGTLKFDRREAEVAALKKLTQQELIEFFNENVKVGATRKKTLSVRVHGNQHLAEYHSQKSEAVQPNTIQINDIFSFRRSQPLYGSFRGGIGHVKL >KJB14852 pep chromosome:Graimondii2_0_v6:2:27327317:27343885:-1 gene:B456_002G147300 transcript:KJB14852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGREDVEILKPRIDKREYRRIVLRNSLQVLLISDLDTDKCAASMNVGVGSFCDPDGLEGLAHFLEHMLFYASEKYPLEDSYSKYITEHGGSTNAFTASEMTNYYFDVNTDCFEEALDRFAQFFIKPLMSADATMREIKAVDSENQKNLLSDAWRMNQLQKHLSLESHPYHKFSTGNWDTLDVRPKAKGVDTRQELLKFYEDKYSANLMHLVVYSKESLDKIQCLVEDKFQEIQNSDRSRFQFPGQPCTSEHLEILVRAVPIKQGHKLRIVWPITPSILHYKEGPCRYLGHLIGHEGEGSLFYVLKKSGWATGLSAGEGEWTSEFSFFNVVIDLTDAGQDNMQDIVGLLFKYIQLLQQSGVCKWIFDELSAVCETGFHYQDKISPIDYVVNISSNMQIYPPKDWLVGSLLPSDFNPAIIQKILNELSPENVRIFWESKKFEGLTDKVEPWYGTAYSIEKVSSSKIQAWMSSAPNENLHLPAPNVFIPKDLSIKNAQEEVKFPVLLRKSSYSKLWYKPDTVFSTPKAYVKIDFNCPHAGNSPETEVLGDLFARLLLDYLNEYAYYAQVAGLLYGISHTDSGFEVTLVGYNHKLRILLETIIDKIVKFEVKPDRFSVIKEMEIKDYQNFKFQQPYQQAMYYCSLILKDQTRPWVERLDVLPRLNVEDLTNFAPMMLSQAFLECYIAGNIEREEAESMVQHVEDVFFKGPNPICRPLFPSQFLTNRVVKLERGMNYCYSKEGLNPSDENSALVHYIQVHQDDFILNVKLQLFALVAKQPAFHQLRSVEQLGYITVLMQRNDSGICGVQFIIQSTVKGPAHIDSRVEAFLKMFENKLYEMTNDEFKQCKCID >KJB14850 pep chromosome:Graimondii2_0_v6:2:27327317:27343885:-1 gene:B456_002G147300 transcript:KJB14850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGREDVEILKPRIDKREYRRIVLRNSLQVLLISDLDTDKCAASMNVGVGSFCDPDGLEGLAHFLEHMLFYASEKYPLEDSYSKYITEHGGSTNAFTASEMTNYYFDVNTDCFEEALDRFAQFFIKPLMSADATMREIKAVDSENQKNLLSDAWRMNQLQKHLSLESHPYHKFSTGNWDTLDVRPKAKGVDTRQELLKFYEDKYSANLMHLVVYSKESLDKIQCLVEDKFQEIQNSDRSRFQFPGQPCTSEHLEILVRAVPIKQGHKLRIVWPITPSILHYKEGPCRYLGHLIGHEGEGSLFYVLKKSGWATGLSAGEGEWTSEFSFFNVVIDLTDAGQDNMQDIVGLLFKYIQLLQQSGVCKWIFDELSAVCETGFHYQDKISPIDYVVNISSNMQIYPPKDWLVGSLLPSDFNPAIIQKILNELSPENVRIFWESKKFEGLTDKVEPWYGTAYSIEKVSSSKIQAWMSSAPNENLHLPAPNVFIPKDLSIKNAQEEVKFPVLLRKSSYSKLWYKPDTVFSTPKAYVKIDFNCPHAGNSPETEVLGDLFARLLLDYLNEYAYYAQVAGLLYGISHTDSGFEEMEIKDYQNFKFQQPYQQAMYYCSLILKDQTRPWVERLDVLPRLNVEDLTNFAPMMLSQAFLECYIAGNIEREEAESMVQHVEDVFFKGPNPICRPLFPSQFLTNRVVKLERGMNYCYSKEGLNPSDENSALVHYIQVHQDDFILNVKLQLFALVAKQPAFHQLRSVEQLGYITVLMQRNDSGICGVQFIIQSTVKGPAHIDSRVEAFLKMFENKLYEMTNDEFKSNVNALIDMKLEKHKNLREESRFYWREITDGTLKFDRREAEVAALKKLTQQELIEFFNENVKVGATRKKTLSVRVHGNQHLAEYHSQKSEAVQPNTIQINDIFSFRRSQPLYGSFRGGIGHVKL >KJB14853 pep chromosome:Graimondii2_0_v6:2:27327324:27343896:-1 gene:B456_002G147300 transcript:KJB14853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYYFDVNTDCFEEALDRFAQFFIKPLMSADATMREIKAVDSENQKNLLSDAWRMNQLQKHLSLESHPYHKFSTGNWDTLDVRPKAKGVDTRQELLKFYEDKYSANLMHLVVYSKESLDKIQCLVEDKFQEIQNSDRSRFQFPGQPCTSEHLEILVRAVPIKQGHKLRIVWPITPSILHYKEGPCRYLGHLIGHEGEGSLFYVLKKSGWATGLSAGEGEWTSEFSFFNVVIDLTDAGQDNMQDIVGLLFKYIQLLQQSGVCKWIFDELSAVCETGFHYQDKISPIDYVVNISSNMQIYPPKDWLVGSLLPSDFNPAIIQKILNELSPENVRIFWESKKFEGLTDKVEPWYGTAYSIEKVSSSKIQAWMSSAPNENLHLPAPNVFIPKDLSIKNAQEEVKFPVLLRKSSYSKLWYKPDTVFSTPKAYVKIDFNCPHAGNSPETEVLGDLFARLLLDYLNEYAYYAQVAGLLYGISHTDSGFEVTLVGYNHKLRILLETIIDKIVKFEVKPDRFSVIKEMEIKDYQNFKFQQPYQQAMYYCSLILKDQTRPWVERLDVLPRLNVEDLTNFAPMMLSQAFLECYIAGNIEREEAESMVQHVEDVFFKGPNPICRPLFPSQFLTNRVVKLERGMNYCYSKEGLNPSDENSALVHYIQVHQDDFILNVKLQLFALVAKQPAFHQLRSVEQLGYITVLMQRNDSGICGVQFIIQSTVKGPAHIDSRVEAFLKMFENKLYEMTNDEFKSNVNALIDMKLEKHKNLREESRFYWREITDGTLKFDRREAEVAALKKLTQQELIEFFNENVKVGATRKKTLSVRVHGNQHLAEYHSQKSEAVQPNTIQINDIFSFRRSQPLYGSFRGGIGHVKL >KJB14849 pep chromosome:Graimondii2_0_v6:2:27327306:27343885:-1 gene:B456_002G147300 transcript:KJB14849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGREDVEILKPRIDKREYRRIVLRNSLQVLLISDLDTDKCAASMNVGVGSFCDPDGLEGLAHFLEHMLFYASEKYPLEDSYSKYITEHGGSTNAFTASEMTNYYFDVNTDCFEEALDRFAQFFIKPLMSADATMREIKAVDSENQKNLLSDAWRMNQLQKHLSLESHPYHKFSTGNWDTLDVRPKAKGVDTRQELLKFYEDKYSANLMHLVVYSKESLDKIQCLVEDKFQEIQNSDRSRFQFPGQPCTSEHLEILVRAVPIKQGHKLRIVWPITPSILHYKEGPCRYLGHLIGHEGEGSLFYVLKKSGWATGLSAGEGEWTSEFSFFNVVIDLTDAGQDNMQDIVGLLFKYIQLLQQSGVCKWIFDELSAVCETGFHYQDKISPIDYVVNISSNMQIYPPKDWLVGSLLPSDFNPAIIQKILNELSPENVRIFWESKKFEGLTDKVEPWYGTAYSIEKVSSSKIQAWMSSAPNENLHLPAPNVFIPKDLSIKNAQEEVKFPVLLRKSSYSKLWYKPDTVFSTPKAYVKIDFNCPHAGNSPETEVLGDLFARLLLDYLNEYAYYAQVAGLLYGISHTDSGFEVTLVGYNHKLRILLETIIDKIVKFEVKPDRFSVIKEMEIKDYQNFKFQQPYQQAMYYCSLILKDQTRPWVERLDVLPRLNVEDLTNFAPMMLSQAFLECYIAGNIEREEAESMVQHVEDVFFKGPNPICRPLFPSQFLTNRVVKLERGMNYCYSKEGLNPSDENSALVHYIQVHQDDFILNVKLQLFALVAKQPAFHQLRSVEQLGYITVLMQRNDSGICGVQFIIQSTVKGPAHIDSRVEAFLKMFENKLYEMTNDEFKSNVNALIDMKLEKHKNLREESRFYWREITDGTLKFDRREAEVAALKKLTQQELIEFFNENVKVGATRKKTLSVRVHGNQHLAEYHSQKSEAVQPNTIQINDIFSFRRSQPLYGSFRGGIGHVKL >KJB14181 pep chromosome:Graimondii2_0_v6:2:16027842:16032288:1 gene:B456_002G113400 transcript:KJB14181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAAFVAIAATIGNFLQGWDNATIAGAIVYIKNDLNLGTSVEGLVVAMSLIGATAITTCSGAISDWIGRRPMLIMSSMLYFVSGLVMLWSPNVYILCLARLLDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMDSPSWRLMLGVLSIPSLLYFAFTVFYLPESPRWLVSKGRMLEAKQVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELDESQEPGADKDKIRLYGPEEGLSWVAKPVAGQSILSIASRPGSMVNQSMPLMDPLVTLFGSVHEKLPETGSTRSMLFPNFGSMFSTAEPHARNEQWDEESLQREGEDYASDAAGGESDDNLHSPLISRQTTSLEKDMVPPASHISSLSMRRHSTLVQDVTESVGGTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHEEGIPGSRRGSLVSLPGNDMPAEGEFIQAAALVSQPALYSKELMDQHPVGPAMVHPAETASEGPVWTALLDPGVKRALLVGIGIQILQQFSGINGVLYYTPQILEEAGVEVLLSNLGLGSDSASFLISAFTTLLMLPCIGVAMKLMDISGRRRLLLTTIPVLIVSLIILVFSELVDLGTVVNAAISTACVIVYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVMLSSIGLAGIFGIYAVVCLISWVFVFLKVPETKGMPLEVITEFFAVGARQAGATKNE >KJB14185 pep chromosome:Graimondii2_0_v6:2:16028775:16032192:1 gene:B456_002G113400 transcript:KJB14185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIGATAITTCSGAISDWIGRRPMLIMSSMLYFVSGLVMLWSPNVYILCLARLLDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMDSPSWRLMLGVLSIPSLLYFAFTVFYLPESPRWLVSKGRMLEAKQVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELDESQEPGADKDKIRLYGPEEGLSWVAKPVAGQSILSIASRPGSMVNQSMPLMDPLVTLFGSVHEKLPETGSTRSMLFPNFGSMFSTAEPHARNEQWDEESLQREGEDYASDAAGGESDDNLHSPLISRQTTSLEKDMVPPASHISSLSMRRHSTLVQDVTESVGGTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHEEGIPGSRRGSLVSLPGNDMPAEGEFIQAAALVSQPALYSKELMDQHPVGPAMVHPAETASEGPVWTALLDPGVKRALLVGIGIQILQQFSGINGVLYYTPQILEEAGVEVLLSNLGLGSDSASFLISAFTTLLMLPCIGVAMKLMDISGRRRLLLTTIPVLIVSLIILVFSELVDLGTVVNAAISTACVIVYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVMLSSIGLAGIFGIYAVVCLISWVFVFLKVPETKGMPLEVITEFFAVGARQAGATKNE >KJB14180 pep chromosome:Graimondii2_0_v6:2:16028265:16032192:1 gene:B456_002G113400 transcript:KJB14180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAAFVAIAATIGNFLQGWDNATIAGAIVYIKNDLNLGTSVEGLVVAMSLIGATAITTCSGAISDWIGRRPMLIMSSMLYFVSGLVMLWSPNVYILCLARLLDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMDSPSWRLMLGVLSIPSLLYFAFTVFYLPESPRWLVSKGRMLEAKQVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELDESQEPGADKDKIRLYGPEEGLSWVAKPVAGQSILSIASRPGSMVNQSMPLMDPLVTLFGSVHEKLPETGSTRSMLFPNFGSMFSTAEPHARNEQWDEESLQREGEDYASDAAGGESDDNLHSPLISRQTTSLEKDMVPPASHISSLSMRRHSTLVQDVTESVGGTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHEEGIPGSRRGSLVSLPGNDMPAEGEFIQAAALVSQPALYSKELMDQHPVGPAMVHPAETASEGPVWTALLDPGVKRALLVGIGIQILQQFSGINGVLYYTPQILEEAGVEVLLSNLGLGSDSASFLISAFTTLLMLPCIGVAMKLMDISGRRRLLLTTIPVLIVSLIILVFSELVDLGTVVNAAISTACVIVYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVMLSSIGLAGIFGIYAVVCLISWVFVFLKVPETKGMPLEVITEFFAVGARQAGATKNE >KJB14177 pep chromosome:Graimondii2_0_v6:2:16028010:16032192:1 gene:B456_002G113400 transcript:KJB14177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAAFVAIAATIGNFLQGWDNATIAGAIVYIKNDLNLGTSVEGLVVAMSLIGATAITTCSGAISDWIGRRPMLIMSSMLYFVSGLVMLWSPNVYILCLARLLDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMDSPSWRLMLGVLSIPSLLYFAFTVFYLPESPRWLVSKGRMLEAKQVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELDESQEPGADKDKIRLYGPEEGLSWVAKPVAGQSILSIASRPGSMVNQSMPLMDPLVTLFGSVHEKLPETGSTRSMLFPNFGSMFSTAEPHARNEQWDEESLQREGEDYASDAAGGESDDNLHSPLISRQTTSLEKDMVPPASHISSLSMRRHSTLVQDVTESVGGTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHEEGIPGSRRGSLVSLPGNDMPAEGEFIQAAALVSQPALYSKELMDQHPVGPAMVHPAETASEGPVWTALLDPGVKRALLVGIGIQILQQFSGINGVLYYTPQILEEAGVEVLLSNLGLGSDSASFLISAFTTLLMLPCIGVAMKLMDISGRRRLLLTTIPVLIVSLIILVFSELVDLGTVVNAAISTACVIVYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVMLSSIGLAGIFGIYAVVCLISWVFVFLKVPETKGMPLEVITEFFAVGARQAGATKNE >KJB14179 pep chromosome:Graimondii2_0_v6:2:16027914:16032192:1 gene:B456_002G113400 transcript:KJB14179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAAFVAIAATIGNFLQGWDNATIAGAIVYIKNDLNLGTSVEGLVVAMSLIGATAITTCSGAISDWIGRRPMLIMSSMLYFVSGLVMLWSPNVYILCLARLLDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMDSPSWRLMLGVLSIPSLLYFAFTVFYLPESPRWLVSKGRMLEAKQVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELDESQEPGADKDKIRLYGPEEGLSWVAKPVAGQSILSIASRPGSMVNQSMPLMDPLVTLFGSVHEKLPETGSTRSMLFPNFGSMFSTAEPHARNEQWDEESLQREGEDYASDAAGGESDDNLHSPLISRQTTSLEKDMVPPASHISSLSMRRHSTLVQDVTESVGGTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHEEGIPGSRRGSLVSLPGNDMPAEGEFIQAAALVSQPALYSKELMDQHPVGPAMVHPAETASEGPVWTALLDPGVKRALLVGIGIQILQQFSGINGVLYYTPQILEEAGVEVLLSNLGLGSDSASFLISAFTTLLMLPCIGVAMKLMDISGRRRLLLTTIPVLIVSLIILVFSELVDLGTVVNAAISTACVIVYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVMLSSIGLAGIFGIYAVVCLISWVFVFLKVPETKGMPLEVITEFFAVGARQAGATKNE >KJB14182 pep chromosome:Graimondii2_0_v6:2:16028680:16031111:1 gene:B456_002G113400 transcript:KJB14182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAAFVAIAATIGNFLQGWDNATIAGAIVYIKNDLNLGTSVEGLVVAMSLIGATAITTCSGAISDWIGRRPMLIMSSMLYFVSGLVMLWSPNVYILCLARLLDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMDSPSWRLMLGVLSIPSLLYFAFTVFYLPESPRWLVSKGRMLEAKQVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELDESQEPGADKDKIRLYGPEEGLSWVAKPVAGQSILSIASRPGSMVNQSMPLMDPLVTLFGSVHEKLPETGSTRSMLFPNFGSMFSTAEPHARNEQWDEESLQREGEDYASDAAGGESDDNLHSPLISRQTTSLEKDMVPPASHISSLSMRRHSTLVQDVTESVGGTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHEEGIPGSRRGSLVSLPGNDMPAEGEFIQAAALVSQPALYSKELMDQHPVGPAMVHPAETASEGPVWTALLDPGVKRALLVGIGIQILQQVLSSYIFGLLHFLYKVYRF >KJB14178 pep chromosome:Graimondii2_0_v6:2:16028303:16032192:1 gene:B456_002G113400 transcript:KJB14178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAAFVAIAATIGNFLQGWDNATIAGAIVYIKNDLNLGTSVEGLVVAMSLIGATAITTCSGAISDWIGRRPMLIMSSMLYFVSGLVMLWSPNVYILCLARLLDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMDSPSWRLMLGVLSIPSLLYFAFTVFYLPESPRWLVSKGRMLEAKQVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELDESQEPGADKDKIRLYGPEEGLSWVAKPVAGQSILSIASRPGSMVNQSMPLMDPLVTLFGSVHEKLPETGSTRSMLFPNFGSMFSTAEPHARNEQWDEESLQREGEDYASDAAGGESDDNLHSPLISRQTTSLEKDMVPPASHISSLSMRRHSTLVQDVTESVGGTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHEEGIPGSRRGSLVSLPGNDMPAEGEFIQAAALVSQPALYSKELMDQHPVGPAMVHPAETASEGPVWTALLDPGVKRALLVGIGIQILQQFSGINGVLYYTPQILEEAGVEVLLSNLGLGSDSASFLISAFTTLLMLPCIGVAMKLMDISGRRRLLLTTIPVLIVSLIILVFSELVDLGTVVNAAISTACVIVYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVMLSSIGLAGIFGIYAVVCLISWVFVFLKVPETKGMPLEVITEFFAVGARQAGATKNE >KJB14183 pep chromosome:Graimondii2_0_v6:2:16028303:16032192:1 gene:B456_002G113400 transcript:KJB14183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAAFVAIAATIGNFLQGWDNATIAGAIVYIKNDLNLGTSVEGLVVAMSLIGATAITTCSGAISDWIGRRPMLIMSSMLYFVSGLVMLWSPNVYILCLARLLDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMDSPSWRLMLGVLSIPSLLYFAFTVFYLPESPRWLVSKGRMLEAKQVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELDESQEPGADKDKIRLYGPEEGLSWVAKPVAGQSILSIASRPGSMVNQSMPLMDPLVTLFGSVHEKLPETGSTRSMLFPNFGSMFSTAEPHARNEQWDEESLQREGEDYASDAAGGESDDNLHSPLISRQTTSLEKDMVPPASHISSLSMRRHSTLVQDVTESVGGTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHEEGIPGSRRGSLVSLPGNDMPAEGEFIQAAALVSQPALYSKELMDQHPVGPAMVHPAETASEGPVWTALLDPGVKRALLVGIGIQILQQRQVLKCFFQTWALVQILHHFLLVHLQPC >KJB14186 pep chromosome:Graimondii2_0_v6:2:16029838:16032192:1 gene:B456_002G113400 transcript:KJB14186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVEGLGIGGETSIEEYIIGPADELDESQEPGADKDKIRLYGPEEGLSWVAKPVAGQSILSIASRPGSMVNQSMPLMDPLVTLFGSVHEKLPETGSTRSMLFPNFGSMFSTAEPHARNEQWDEESLQREGEDYASDAAGGESDDNLHSPLISRQTTSLEKDMVPPASHISSLSMRRHSTLVQDVTESVGGTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHEEGIPGSRRGSLVSLPGNDMPAEGEFIQAAALVSQPALYSKELMDQHPVGPAMVHPAETASEGPVWTALLDPGVKRALLVGIGIQILQQFSGINGVLYYTPQILEEAGVEVLLSNLGLGSDSASFLISAFTTLLMLPCIGVAMKLMDISGRRRLLLTTIPVLIVSLIILVFSELVDLGTVVNAAISTACVIVYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVMLSSIGLAGIFGIYAVVCLISWVFVFLKVPETKGMPLEVITEFFAVGARQAGATKNE >KJB14184 pep chromosome:Graimondii2_0_v6:2:16027914:16032288:1 gene:B456_002G113400 transcript:KJB14184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAAFVAIAATIGNFLQGWDNATIAGAIVYIKNDLNLGTSVEGLVVAMSLIGATAITTCSGAISDWIGRRPMLIMSSMLYFVSGLVMLWSPNVYILCLARLLDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMDSPSWRLMLGVLSIPSLLYFAFTVFYLPESPRWLVSKGRMLEAKQVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELDESQEPGADKDKIRLYGPEEGLSWVAKPVAGQSILSIASRPGSMVNQSMPLMDPLVTLFGSVHEKLPETGSTRSMLFPNFGSMFSTAEPHARNEQWDEESLQREGEDYASDAAGGESDDNLHSPLISRQTTSLEKDMVPPASHISSLSMRRHSTLVQDVTESVGGTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHEEGIPGSRRGSLVSLPGNDMPAEGEFIQAAALVSQPALYSKELMDQHPVGPAMVHPAETASEGPVWTALLDPGVKRALLVGIGIQILQQFSGINGVLYYTPQILEEAGVEVLLSNLGLGSDSASFLISAFTTLLMLPCIGVAMKLMDISGRRRLLLTTIPVLIVSLIILVFSELVDLGTVVNAAISTACVIVYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVMLSSIGLAGIFGIYAVVCLISWVFVFLKVPETKGMPLEVITEFFAVGARQAGATKNE >KJB13685 pep chromosome:Graimondii2_0_v6:2:11267778:11273167:-1 gene:B456_002G089100 transcript:KJB13685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEVMAVHAVEEEMAASSSSKVETVVKEDHGKENEKEDSNGTNGKTVREDTDGDGDYVFVNGNEAENGDLVESDLDKNGNGIGVEDQGFESLETKGEVKSKFDLVEKDESCIGIVDQDKESVELCHVKAPVDEQKSGDLVEGGPVSATNAGMADQNGISELTEDVFVDKNIVKHTVSEAAVVDSSARQSDEISPVPGPDGNGSANDSALDFRSKENEGSEIAVADDGDCSGDGLVNDSVEAPVSEADVLDFRSKESEGSEMTVADDGDCSGDGLVNDSIEAPVSEANVLYFRSKENGGSEMAVAGDGDFNGDGFVNDSVEATVSEDTVLDFRSKDNEDSEMAVADDGDCNGDGLANDNAKATVCEASVINSRSKENEGSEITVADDGDCNGDSLANDSAKTTVSEASVIDSRSKENAGSEMAVADGGDCNANGLSNDSAEATVSEANVIDSRSEEKEGSGVAVAADGDCSGDGLANDSANATVSETNVIDSSSEEKEGSEMTVAADGDYGGDGLPNDSAKATVSGANVEESEVAVVVNGDCSGDGLANGSAEAAVSEAAVVTRNEHKGVCDDEVPIVSVPDSNGDCFPDVSENDTVSEAVLVDSRVEQNDSVPGCVSGSDTVEDTSEVFKPEQNESEADSPGPVSDGDGYGYRPEQNGFSGIAETVLPDVASGNELVKDGESLTAVDDFPVESGSDLEPNTERDLCLEADIHLEKETGNGSLSDECGEALQDVHTQDGISEAVQIDNSSDSGLNSQQGQSSEFVESINENVHVERATTNDMVTDSADVAEGSSSKVMLSNNLSIENGVSDTTDKTFPPSSVDDEKLETEGENSRVGLDPCLVENSEMDIKAESDSVDDKFKSRCVANDDDSIISKEAEVSKVLVECHSTETDEKLVAVVDVQDDSNSVAAVSNDEKAAAPIEQLSADISDNDELVYESRESDCDTNNNEQTCAVIKGGIQFGSVVTGQEPEEPEGVDEVERKSPFYFLIRVPRYDDENLKEKIRLAQIRVDEETQSRDAIRIEIQKMRAVCKKYGDNIDAAISQERAVRDLHRSKRQEIDSIQSMMNIEDIDAQIRNMEHMIQHETMPLKDEKQFIHQIKQFKQTRERISSSMSKQDEVQQGLDQKDQIKERMKPLKKEADQLKVNLLKAEAVTKAAKKEYHDETEKLNKLQSQFKAADDIRQEAYALLQSLRKQSYEKNKYFYQYRDDAKAANDLALKGDKEALQNLCVNQVEKFMELWNNNDEFRKEYIRCNTRSTLRRLRTLDGRALGPDEEAPVIPAIPKVVNERVAKDQTVSSSTLEERTQEKTAPAKAEIAKAKPAAKSMEQKNLTSKSEKPVKSVPPASGSTTASSRDKIEEAEEKPKITKEEEEMARKAEESRKEEEAAKLREQRRLEEITKAKEALERKRRNAEKAQARAALRAQKEAEQKEKVNSSCSFTL >KJB13688 pep chromosome:Graimondii2_0_v6:2:11268467:11273167:-1 gene:B456_002G089100 transcript:KJB13688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEVMAVHAVEEEMAASSSSKVETVVKEDHGKENEKEDSNGTNGKTVREDTDGDGDYVFVNGNEAENGDLVESDLDKNGNGIGVEDQGFESLETKGEVKSKFDLVEKDESCIGIVDQDKESVELCHVKAPVDEQKSGDLVEGGPVSATNAGMADQNGISELTEDVFVDKNIVKHTVSEAAVVDSSARQSDEISPVPGPDGNGSANDSALDFRSKENEGSEIAVADDGDCSGDGLVNDSVEAPVSEADVLDFRSKESEGSEMTVADDGDCSGDGLVNDSIEAPVSEANVLYFRSKENGGSEMAVAGDGDFNGDGFVNDSVEATVSEDTVLDFRSKDNEDSEMAVADDGDCNGDGLANDNAKATVCEASVINSRSKENEGSEITVADDGDCNGDSLANDSAKTTVSEASVIDSRSKENAGSEMAVADGGDCNANGLSNDSAEATVSEANVIDSRSEEKEGSGVAVAADGDCSGDGLANDSANATVSETNVIDSSSEEKEGSEMTVAADGDYGGDGLPNDSAKATVSGANVEESEVAVVVNGDCSGDGLANGSAEAAVSEAAVVTRNEHKGVCDDEVPIVSVPDSNGDCFPDVSENDTVSEAVLVDSRVEQNDSVPGCVSGSDTVEDTSEVFKPEQNESEADSPGPVSDGDGYGYRPEQNGFSGIAETVLPDVASGNELVKDGESLTAVDDFPVESGSDLEPNTERDLCLEADIHLEKETGNGSLSDECGEALQDVHTQDGISEAVQIDNSSDSGLNSQQGQSSEFVESINENVHVERATTNDMVTDSADVAEGSSSKVMLSNNLSIENGVSDTTDKTFPPSSVDDEKLETEGENSRVGLDPCLVENSEMDIKAESDSVDDKFKSRCVANDDDSIISKEAEVSKVLVECHSTETDEKLVAVVDVQDDSNSVAAVSNDEKAAAPIEQLSADISDNDELVYESRESDCDTNNNEQTCAVIKGGIQFGSVVTGQEPEEPEGVDEVERKSPFYFLIRVPRYDDENLKEKIRLAQIRVDEETQSRDAIRIEIQKMRAVCKKYGDNIDAAISQERAVRDLHRSKRQEIDSIQSMMNIEDIDAQIRNMEHMIQHETMPLKDEKQFIHQIKQFKQTRERISSSMSKQDEVQQGLDQKDQIKERMKPLKKEADQLKVNLLKAEAVTKAAKKEYHDETEKLNKLQSQFKAADDIRQEAYALLQSLRKQSYEKNKYFYQYRDDAKAANDLALKGDKEALQNLCVNQVSLCF >KJB13690 pep chromosome:Graimondii2_0_v6:2:11267008:11273462:-1 gene:B456_002G089100 transcript:KJB13690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEVMAVHAVEEEMAASSSSKVETVVKEDHGKENEKEDSNGTNGKTVREDTDGDGDYVFVNGNEAENGDLVESDLDKNGNGIGVEDQGFESLETKGEVKSKFDLVEKDESCIGIVDQDKESVELCHVKAPVDEQKSGDLVEGGPVSATNAGMADQNGISELTEDVFVDKNIVKHTVSEAAVVDSSARQSDEISPVPGPDGNGSANDSALDFRSKENEGSEIAVADDGDCSGDGLVNDSVEAPVSEADVLDFRSKESEGSEMTVADDGDCSGDGLVNDSIEAPVSEANVLYFRSKENGGSEMAVAGDGDFNGDGFVNDSVEATVSEDTVLDFRSKDNEDSEMAVADDGDCNGDGLANDNAKATVCEASVINSRSKENEGSEITVADDGDCNGDSLANDSAKTTVSEASVIDSRSKENAGSEMAVADGGDCNANGLSNDSAEATVSEANVIDSRSEEKEGSGVAVAADGDCSGDGLANDSANATVSETNVIDSSSEEKEGSEMTVAADGDYGGDGLPNDSAKATVSGANVEESEVAVVVNGDCSGDGLANGSAEAAVSEAAVVTRNEHKGVCDDEVPIVSVPDSNGDCFPDVSENDTVSEAVLVDSRVEQNDSVPGCVSGSDTVEDTSEVFKPEQNESEADSPGPVSDGDGYGYRPEQNGFSGIAETVLPDVASGNELVKDGESLTAVDDFPVESGSDLEPNTERDLCLEADIHLEKETGNGSLSDECGEALQDVHTQDGISEAVQIDNSSDSGLNSQQDKTFPPSSVDDEKLETEGENSRVGLDPCLVENSEMDIKAESDSVDDKFKSRCVANDDDSIISKEAEVSKVLVECHSTETDEKLVAVVDVQDDSNSVAAVSNDEKAAAPIEQLSADISDNDELVYESRESDCDTNNNEQTCAVIKGGIQFGSVVTGQEPEEPEGVDEVERKSPFYFLIRVPRYDDENLKEKIRLAQIRVDEETQSRDAIRIEIQKMRAVCKKYGDNIDAAISQERAVRDLHRSKRQEIDSIQSMMNIEDIDAQIRNMEHMIQHETMPLKDEKQFIHQIKQFKQTRERISSSMSKQDEVQQGLDQKDQIKERMKPLKKEADQLKVNLLKAEAVTKAAKKEYHDETEKLNKLQSQFKAADDIRQEAYALLQSLRKQSYEKNKYFYQYRDDAKAANDLALKGDKEALQNLCVNQVEKFMELWNNNDEFRKEYIRCNTRSTLRRLRTLDGRALGPDEEAPVIPAIPKVVNERVAKDQTVSSSTLEERTQEKTAPAKAEIAKAKPAAKSMEQKNLTSKSEKPVKSVPPASGSTTASSRDKIEEAEEKPKITKEEEEMARKAEESRKEEEAAKLREQRRLEEITKAKEALERKRRNAEKAQARAALRAQKEAEQKEKEREKRAKKKERRKVAAAGVGDASVTDETESALTLETPAETPKDFESTEKPVTVAKRSQKPPQFTKQSKAKSIPPPLRNRGKRRMQQWMWVLVTSLIVLALFSAGNYNFSFNFGLLQKFNF >KJB13691 pep chromosome:Graimondii2_0_v6:2:11268963:11273462:-1 gene:B456_002G089100 transcript:KJB13691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEVMAVHAVEEEMAASSSSKVETVVKEDHGKENEKEDSNGTNGKTVREDTDGDGDYVFVNGNEAENGDLVESDLDKNGNGIGVEDQGFESLETKGEVKSKFDLVEKDESCIGIVDQDKESVELCHVKAPVDEQKSGDLVEGGPVSATNAGMADQNGISELTEDVFVDKNIVKHTVSEAAVVDSSARQSDEISPVPGPDGNGSANDSALDFRSKENEGSEIAVADDGDCSGDGLVNDSVEAPVSEADVLDFRSKESEGSEMTVADDGDCSGDGLVNDSIEAPVSEANVLYFRSKENGGSEMAVAGDGDFNGDGFVNDSVEATVSEDTVLDFRSKDNEDSEMAVADDGDCNGDGLANDNAKATVCEASVINSRSKENEGSEITVADDGDCNGDSLANDSAKTTVSEASVIDSRSKENAGSEMAVADGGDCNANGLSNDSAEATVSEANVIDSRSEEKEGSGVAVAADGDCSGDGLANDSANATVSETNVIDSSSEEKEGSEMTVAADGDYGGDGLPNDSAKATVSGANVEESEVAVVVNGDCSGDGLANGSAEAAVSEAAVVTRNEHKGVCDDEVPIVSVPDSNGDCFPDVSENDTVSEAVLVDSRVEQNDSVPGCVSGSDTVEDTSEVFKPEQNESEADSPGPVSDGDGYGYRPEQNGFSGIAETVLPDVASGNELVKDGESLTAVDDFPVESGSDLEPNTERDLCLEADIHLEKETGNGSLSDECGEALQDVHTQDGISEAVQIDNSSDSGLNSQQGQSSEFVESINENVHVERATTNDMVTDSADVAEGSSSKVMLSNNLSIENGVSDTTDKTFPPSSVDDEKLETEGENSRVGLDPCLVENSEMDIKAESDSVDDKFKSRCVANDDDSIISKEAEVSKVLVECHSTETDEKLVAVVDVQDDSNSVAAVSNDEKAAAPIEQLSADISDNDELVYESRESDCDTNNNEQTCAVIKGGIQFGSVVTGQEPEEPEGVDEVERKSPFYFLIRVPRYDDENLKEKIRLAQIRVDEETQSRDAIRIEIQKMRAVCKKYGDNIDAAISQERAVRDLHRSKRQEIDSIQSMMNIEDIDAQIRNMEHMIQHETMPLKDEKQFIHQIKQFKQTRERISSSMSKQDEVQQGLDQKDQIKERMKPLKKEADQLKVNLLKAEAVTKAAKKEYHDETEKLNKLQSQFKAADDIRQEAYALLQSLRKQSYEKVLLIPASLSYYV >KJB13684 pep chromosome:Graimondii2_0_v6:2:11267008:11273462:-1 gene:B456_002G089100 transcript:KJB13684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEVMAVHAVEEEMAASSSSKVETVVKEDHGKENEKEDSNGTNGKTVREDTDGDGDYVFVNGNEAENGDLVESDLDKNGNGIGVEDQGFESLETKGEVKSKFDLVEKDESCIGIVDQDKESVELCHVKAPVDEQKSGDLVEGGPVSATNAGMADQNGISELTEDVFVDKNIVKHTVSEAAVVDSSARQSDEISPVPGPDGNGSANDSALDFRSKENEGSEIAVADDGDCSGDGLVNDSVEAPVSEADVLDFRSKESEGSEMTVADDGDCSGDGLVNDSIEAPVSEANVLYFRSKENGGSEMAVAGDGDFNGDGFVNDSVEATVSEDTVLDFRSKDNEDSEMAVADDGDCNGDGLANDNAKATVCEASVINSRSKENEGSEITVADDGDCNGDSLANDSAKTTVSEASVIDSRSKENAGSEMAVADGGDCNANGLSNDSAEATVSEANVIDSRSEEKEGSGVAVAADGDCSGDGLANDSANATVSETNVIDSSSEEKEGSEMTVAADGDYGGDGLPNDSAKATVSGANVEESEVAVVVNGDCSGDGLANGSAEAAVSEAAVVTRNEHKGVCDDEVPIVSVPDSNGDCFPDVSENDTVSEAVLVDSRVEQNDSVPGCVSGSDTVEDTSEVFKPEQNESEADSPGPVSDGDGYGYRPEQNGFSGIAETVLPDVASGNELVKDGESLTAVDDFPVESGSDLEPNTERDLCLEADIHLEKETGNGSLSDECGEALQDVHTQDGISEAVQIDNSSDSGLNSQQGQSSEFVESINENVHVERATTNDMVTDSADVAEGSSSKVMLSNNLSIENGVSDTTDKTFPPSSVDDEKLETEGENSRVGLDPCLVENSEMDIKAESDSVDDKFKSRCVANDDDSIISKEAEVSKVLVECHSTETDEKLVAVVDVQDDSNSVAAVSNDEKAAAPIEQLSADISDNDELVYESRESDCDTNNNEQTCAVIKGGIQFGSVVTGQEPEEPEGVDEVERKSPFYFLIRVPRYDDENLKEKIRLAQIRVDEETQSRDAIRIEIQKMRAVCKKYGDNIDAAISQERAVRDLHRSKRQEIDSIQSMMNIEDIDAQIRNMEHMIQHETMPLKDEKQFIHQIKQFKQTRERISSSMSKQDEVQQGLDQKDQIKERMKPLKKEADQLKVNLLKAEAVTKAAKKEYHDETEKLNKLQSQFKAADDIRQEAYALLQSLRKQSYEKNKYFYQYRDDAKAANDLALKGDKEALQNLCVNQVKIYGIVEQQ >KJB13692 pep chromosome:Graimondii2_0_v6:2:11269376:11273462:-1 gene:B456_002G089100 transcript:KJB13692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEVMAVHAVEEEMAASSSSKVETVVKEDHGKENEKEDSNGTNGKTVREDTDGDGDYVFVNGNEAENGDLVESDLDKNGNGIGVEDQGFESLETKGEVKSKFDLVEKDESCIGIVDQDKESVELCHVKAPVDEQKSGDLVEGGPVSATNAGMADQNGISELTEDVFVDKNIVKHTVSEAAVVDSSARQSDEISPVPGPDGNGSANDSALDFRSKENEGSEIAVADDGDCSGDGLVNDSVEAPVSEADVLDFRSKESEGSEMTVADDGDCSGDGLVNDSIEAPVSEANVLYFRSKENGGSEMAVAGDGDFNGDGFVNDSVEATVSEDTVLDFRSKDNEDSEMAVADDGDCNGDGLANDNAKATVCEASVINSRSKENEGSEITVADDGDCNGDSLANDSAKTTVSEASVIDSRSKENAGSEMAVADGGDCNANGLSNDSAEATVSEANVIDSRSEEKEGSGVAVAADGDCSGDGLANDSANATVSETNVIDSSSEEKEGSEMTVAADGDYGGDGLPNDSAKATVSGANVEESEVAVVVNGDCSGDGLANGSAEAAVSEAAVVTRNEHKGVCDDEVPIVSVPDSNGDCFPDVSENDTVSEAVLVDSRVEQNDSVPGCVSGSDTVEDTSEVFKPEQNESEADSPGPVSDGDGYGYRPEQNGFSGIAETVLPDVASGNELVKDGESLTAVDDFPVESGSDLEPNTERDLCLEADIHLEKETGNGSLSDECGEALQDVHTQDGISEAVQIDNSSDSGLNSQQGQSSEFVESINENVHVERATTNDMVTDSADVAEGSSSKVMLSNNLSIENGVSDTTDKTFPPSSVDDEKLETEGENSRVGLDPCLVENSEMDIKAESDSVDDKFKSRCVANDDDSIISKEAEVSKVLVECHSTETDEKLVAVVDVQDDSNSVAAVSNDEKAAAPIEQLSADISDNDELVYESRESDCDTNNNEQTCAVIKGGIQFGSVVTGQEPEEPEGVDEVERKSPFYFLIRVPRYDDENLKEKIRLAQIRVDEETQSRDAIRIEIQKMRAVCKKYGDNIDAAISQERAVRDLHRSKRQEIDSIQSMMNIEDIDAQIRNMEHMIQHETMPLKDEKQFIHQIKQFKQTRERISSSMSKQDEVQQGLDQKDQIKERMKVVISVYSCYTCLYVFFFFFLLCFMMIDSLSIVY >KJB13689 pep chromosome:Graimondii2_0_v6:2:11267331:11273167:-1 gene:B456_002G089100 transcript:KJB13689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEVMAVHAVEEEMAASSSSKVETVVKEDHGKENEKEDSNGTNGKTVREDTDGDGDYVFVNGNEAENGDLVESDLDKNGNGIGVEDQGFESLETKGEVKSKFDLVEKDESCIGIVDQDKESVELCHVKAPVDEQKSGDLVEGGPVSATNAGMADQNGISELTEDVFVDKNIVKHTVSEAAVVDSSARQSDEISPVPGPDGNGSANDSALDFRSKENEGSEIAVADDGDCSGDGLVNDSVEAPVSEADVLDFRSKESEGSEMTVADDGDCSGDGLVNDSIEAPVSEANVLYFRSKENGGSEMAVAGDGDFNGDGFVNDSVEATVSEDTVLDFRSKDNEDSEMAVADDGDCNGDGLANDNAKATVCEASVINSRSKENEGSEITVADDGDCNGDSLANDSAKTTVSEASVIDSRSKENAGSEMAVADGGDCNANGLSNDSAEATVSEANVIDSRSEEKEGSGVAVAADGDCSGDGLANDSANATVSETNVIDSSSEEKEGSEMTVAADGDYGGDGLPNDSAKATVSGANVEESEVAVVVNGDCSGDGLANGSAEAAVSEAAVVTRNEHKGVCDDEVPIVSVPDSNGDCFPDVSENDTVSEAVLVDSRVEQNDSVPGCVSGSDTVEDTSEVFKPEQNESEADSPGPVSDGDGYGYRPEQNGFSGIAETVLPDVASGNELVKDGESLTAVDDFPVESGSDLEPNTERDLCLEADIHLEKETGNGSLSDECGEALQDVHTQDGISEAVQIDNSSDSGLNSQQGQSSEFVESINENVHVERATTNDMVTDSADVAEGSSSKVMLSNNLSIENGVSDTTDKTFPPSSVDDEKLETEGENSRVGLDPCLVENSEMDIKAESDSVDDKFKSRCVANDDDSIISKEAEVSKVLVECHSTETDEKLVAVVDVQDDSNSVAAVSNDEKAAAPIEQLSADISDNDELVYESRESDCDTNNNEQTCAVIKGGIQFGSVVTGQEPEEPEGVDEVERKSPFYFLIRVPRYDDENLKEKIRLAQIRVDEETQSRDAIRIEIQKMRAVCKKYGDNIDAAISQERAVRDLHRSKRQEIDSIQSMMNIEDIDAQIRNMEHMIQHETMPLKDEKQFIHQIKQFKQTRERISSSMSKQDEVQQGLDQKDQIKERMKPLKKEADQLKVNLLKAEAVTKAAKKEYHDETEKLNKLQSQFKAADDIRQEAYALLQSLRKQSYEKNKYFYQYRDDAKAANDLALKGDKEALQNLCVNQVEKFMELWNNNDEFRKEYIRCNTRSTLRRLRTLDGRALGPDEEAPVIPAIPKVVNERVAKDQTVSSSTLEERTQEKTAPAKAEIAKAKPAAKSMEQKNLTSKSEKPVKSVPPASGSTTASSRDKIEEAEEKPKITKEEEEMARKAEESRKEEEAAKLREQRRLEEITKAKEALERKRRNAEKAQARAALRAQKEAEQKEKEREKRAKKKERRKVAAAGVGDASVTDETESALTLETPAETPKDFESTEKPVTVAKRSQKPPQFTKQSKAKSIPPPLRNRGKRRMQQWMWVLVTSLIVLALFSAGNYNFSFNFGLLQKFNF >KJB13686 pep chromosome:Graimondii2_0_v6:2:11267008:11273462:-1 gene:B456_002G089100 transcript:KJB13686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEVMAVHAVEEEMAASSSSKVETVVKEDHGKENEKEDSNGTNGKTVREDTDGDGDYVFVNGNEAENGDLVESDLDKNGNGIGVEDQGFESLETKGEVKSKFDLVEKDESCIGIVDQDKESVELCHVKAPVDEQKSGDLVEGGPVSATNAGMADQNGISELTEDVFVDKNIVKHTVSEAAVVDSSARQSDEISPVPGPDGNGSANDSALDFRSKENEGSEIAVADDGDCSGDGLVNDSVEAPVSEADVLDFRSKESEGSEMTVADDGDCSGDGLVNDSIEAPVSEANVLYFRSKENGGSEMAVAGDGDFNGDGFVNDSVEATVSEDTVLDFRSKDNEDSEMAVADDGDCNGDGLANDNAKATVCEASVINSRSKENEGSEITVADDGDCNGDSLANDSAKTTVSEASVIDSRSKENAGSEMAVADGGDCNANGLSNDSAEATVSEANVIDSRSEEKEGSGVAVAADGDCSGDGLANDSANATVSETNVIDSSSEEKEGSEMTVAADGDYGGDGLPNDSAKATVSGANVEESEVAVVVNGDCSGDGLANGSAEAAVSEAAVVTRNEHKGVCDDEVPIVSVPDSNGDCFPDVSENDTVSEAVLVDSRVEQNDSVPGCVSGSDTVEDTSEVFKPEQNESEADSPGPVSDGDGYGYRPEQNGFSGIAETVLPDVASGNELVKDGESLTAVDDFPVESGSDLEPNTERDLCLEADIHLEKETGNGSLSDECGEALQDVHTQDGISEAVQIDNSSDSGLNSQQGQSSEFVESINENVHVERATTNDMVTDSADVAEGSSSKVMLSNNLSIENGVSDTTDKTFPPSSVDDEKLETEGENSRVGLDPCLVENSEMDIKAESDSVDDKFKSRCVANDDDSIISKEAEVSKVLVECHSTETDEKLVAVVDVQDDSNSVAAVSNDEKAAAPIEQLSADISDNDELVYESRESDCDTNNNEQTCAVIKGGIQFGSVVTGQEPEEPEGVDEVERKSPFYFLIRVPRYDDENLKEKIRLAQIRVDEETQSRDAIRIEIQKMRAVCKKYGDNIDAAISQERAVRDLHRSKRQEIDSIQSMMNIEDIDAQIRNMEHMIQHETMPLKDEKQFIHQIKQFKQTRERISSSMSKQDEVQQGLDQKDQIKERMKPLKKEADQLKVNLLKAEAVTKAAKKEYHDETEKLNKLQSQFKAADDIRQEAYALLQSLRKQSYEKNKYFYQYRDDAKAANDLALKGDKEALQNLCVNQVEKFMELWNNNDEFRKEYIRCNTRSTLRRLRTLDGRALGPDEEAPVIPAIPKVVNERVAKDQTVSSSTLEERTQEKTAPAKAEIAKAKPAAKSMEQKNLTSKSEKPVKSVPPASGSTTASSRDKIEEAEESRKEEEAAKLREQRRLEEITKAKEALERKRRNAEKAQARAALRAQKEAEQKEKEREKRAKKKERRKVAAAGVGDASVTDETESALTLETPAETPKDFESTEKPVTVAKRSQKPPQFTKQSKAKSIPPPLRNRGKRRMQQWMWVLVTSLIVLALFSAGNYNFSFNFGLLQKFNF >KJB13687 pep chromosome:Graimondii2_0_v6:2:11267331:11273167:-1 gene:B456_002G089100 transcript:KJB13687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEVMAVHAVEEEMAASSSSKVETVVKEDHGKENEKEDSNGTNGKTVREDTDGDGDYVFVNGNEAENGDLVESDLDKNGNGIGVEDQGFESLETKGEVKSKFDLVEKDESCIGIVDQDKESVELCHVKAPVDEQKSGDLVEGGPVSATNAGMADQNGISELTEDVFVDKNIVKHTVSEAAVVDSSARQSDEISPVPGPDGNGSANDSALDFRSKENEGSEIAVADDGDCSGDGLVNDSVEAPVSEADVLDFRSKESEGSEMTVADDGDCSGDGLVNDSIEAPVSEANVLYFRSKENGGSEMAVAGDGDFNGDGFVNDSVEATVSEDTVLDFRSKDNEDSEMAVADDGDCNGDGLANDNAKATVCEASVINSRSKENEGSEITVADDGDCNGDSLANDSAKTTVSEASVIDSRSKENAGSEMAVADGGDCNANGLSNDSAEATVSEANVIDSRSEEKEGSGVAVAADGDCSGDGLANDSANATVSETNVIDSSSEEKEGSEMTVAADGDYGGDGLPNDSAKATVSGANVEESEVAVVVNGDCSGDGLANGSAEAAVSEAAVVTRNEHKGVCDDEVPIVSVPDSNGDCFPDVSENDTVSEAVLVDSRVEQNDSVPGCVSGSDTVEDTSEVFKPEQNESEADSPGPVSDGDGYGYRPEQNGFSGIAETVLPDVASGNELVKDGESLTAVDDFPVESGSDLEPNTERDLCLEADIHLEKETGNGSLSDECGEALQDVHTQDGISEAVQIDNSSDSGLNSQQGQSSEFVESINENVHVERATTNDMVTDSADVAEGSSSKVMLSNNLSIENGVSDTTDKTFPPSSVDDEKLETEGENSRVGLDPCLVENSEMDIKAESDSVDDKFKSRCVANDDDSIISKEAEVSKVLVECHSTETDEKLVAVVDVQDDSNSVAAVSNDEKAAAPIEQLSADISDNDELVYESRESDCDTNNNEQTCAVIKGGIQFGSVVTGQEPEEPEGVDEVERKSPFYFLIRVPRYDDENLKEKIRLAQIRVDEETQSRDAIRIEIQKMRAVCKKYGDNIDAAISQERAVRDLHRSKRQEIDSIQSMMNIEDIDAQIRNMEHMIQHETMPLKDEKQFIHQIKQFKQTRERISSSMSKQDEVQQGLDQKDQIKERMKVPLKKEADQLKVNLLKAEAVTKAAKKEYHDETEKLNKLQSQFKAADDIRQEAYALLQSLRKQSYEKNKYFYQYRDDAKAANDLALKGDKEALQNLCVNQVEKFMELWNNNDEFRKEYIRCNTRSTLRRLRTLDGRALGPDEEAPVIPAIPKVVNERVAKDQTVSSSTLEERTQEKTAPAKAEIAKAKPAAKSMEQKNLTSKSEKPVKSVPPASGSTTASSRDKIEEAEEKPKITKEEEEMARKAEESRKEEEAAKLREQRRLEEITKAKEALERKRRNAEKAQARAALRAQKEAEQKEKEREKRAKKKERRKVAAAGVGDASVTDETESALTLETPAETPKDFESTEKPVTVAKRSQKPPQFTKQSKAKSIPPPLRNRGKRRMQQWMWVLVTSLIVLALFSAGNYNFSFNFGLLQKFNF >KJB16911 pep chromosome:Graimondii2_0_v6:2:61685621:61686722:-1 gene:B456_002G254100 transcript:KJB16911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSKVKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYSQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKNEKVATKEPKSPSKATKKSPKKA >KJB13042 pep chromosome:Graimondii2_0_v6:2:4723052:4727192:1 gene:B456_002G053300 transcript:KJB13042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVERSFEAWEEVQRHGQDLADRLAQGFTGLIQSHITPPSFPWPNPPKSKLFDLEFPSQSFVNKDFGLPIDKSTMFDIGDIGNRIGQVGADFSAGLNGLVQQFFRSLPIPFRTEESAVLPVRGDMILKVQKAEVGGNDMEGLVGFSDRSKDFGFLENESGSEGLVDEELSGFNLKSAGLLGRPQGTINITSTYESRTRDLESSLVARGDLWRVEASNGSSTSGSDNSLFLLQLGPVLFVRDTTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMLCLNPLACSFVDLQFPNGQFTYVSGEGLTTSAFLPICGGLLQAQGQYPGEMRYSFSCKNKWGTRVTPMVQWPDKSFTLGLSQELAWKQSGLMMRPSVQFSLFPTFGGSNPGLRTEVIHTVKEDLNLICGCAVVAHPSAFASISFGRSKWNGNVGKSGIVVRVDTPLSSVGRPSFSVQINNVIEF >KJB13043 pep chromosome:Graimondii2_0_v6:2:4723099:4727080:1 gene:B456_002G053300 transcript:KJB13043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVERSFEAWEEVQRHGQDLADRLAQGFTGLIQSHITPPSFPWPNPPKSKLFDLEFPSQSFVNKDFGLPIDKSTMFDIGDIGNRIGQVGADFSAGLNGLVQQFFRSLPIPFRTEESAVLPVRGDMILKVQKAEVGGNDMEGLVGFSDRSKDFGFLENESGSEGLVDEELSGFNLKSAGLLGRPQQGTINITSTYESRTRDLESSLVARGDLWRVEASNGSSTSGSDNSLFLLQLGPVLFVRDTTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMLCLNPLACSFVDLQFPNGQFTYVSGEGLTTSAFLPICGGLLQAQGQYPGEMRYSFSCKNKWGTRVTPMVQWPDKSFTLGLSQELAWKQSGLMMRPSVQFSLFPTFGGSNPGLRTEVIHTVKEDLNLICGCAVVAHPSAFASISFGRSKWNGNVGKSGIVVRVDTPLSSVGRPSFSVQINNVIEF >KJB11933 pep chromosome:Graimondii2_0_v6:2:10351766:10353456:1 gene:B456_002G081800 transcript:KJB11933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQSKKPKSRVVKINSQHTWDFFITQANNQACPVMVHFTAAWCVPSVAMNPFFEELALCYQDILFLSVDVDDVKEAASKMEITAMPTFLLMRQGTQIDKLVGANPDEIKKMVDAFTPQFRSSKISI >KJB11935 pep chromosome:Graimondii2_0_v6:2:10352013:10353342:1 gene:B456_002G081800 transcript:KJB11935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLACLFGLQVMVHFTAAWCVPSVAMNPFFEELALCYQDILFLSVDVDDVKEAASKMEITAMPTFLLMRQGTQIDKLVGANPDEIKKMVDAFTPQFRSSKISI >KJB11934 pep chromosome:Graimondii2_0_v6:2:10351823:10353342:1 gene:B456_002G081800 transcript:KJB11934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQSKKPKSRVVKINSQHTWDFFITQANNQACPVMVHFTAAWCVPSVAMNPFFEELALCYQDILFLSVDVDDVKVLQHPRWKSQQCQHFC >KJB12466 pep chromosome:Graimondii2_0_v6:2:1339847:1344774:-1 gene:B456_002G019900 transcript:KJB12466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSTETATATATVIDELYEFSAPCFFDFTKGESEEEIRDAELWFETALTYAPSPFMIRIKAGNRTFRIESLCNFSEEDQMQKASGSSDATAPNSNLEDKPQAEQVPDKVKEEAKPPEAKVEIKQIVTKIANDNKEKENIGIVGAQENESALANKSHLNGLGGEEKSKSTVQVDTEACTPKPLMNSQKKLVSDKKHQTAKKIASTIRNSSMLKPKNGNAGTPNLAQENQAVKRQKLDGGRSRQILNVKPHNLPHKSKLGLTSGSSNLCSSTAQPSNKLDRKVYVREQAAPFVSMAEMMKKFQSCTRDLSRPHSSSNMPLLRLTRPKEPEFETAQRMRPVAVKSTAELEEEMMAKIPKFKARPLNKKIFEASTLPSLPRSTPKTPEFQEFHFETMARASKNAETSSVASTEVSRQNTQWKPHLTEPKTPVLQTSLRARPITVKSSIELEQEELEKAPKFKARPLNKKIFESKGELGIFCNTKKQVTIPQEFHFATHERIPPPPAVFDLFDKLSLKSESSHDPIPRNTIPNPFHLQTEERGAEKEKKFVMEIIEKQFEEERARVPKANPYPYTTDYPVIPSKPEPKQCTKPEPFQLESLVRHEEEMKREMEERQRKEKEEAQMRIFKAQPVLKEDPIPVPEKVRRPLTQVQAFDLHVNHRAVDRAEFDQKIKEKEMVYKRFREESEAARMIEEEKALKQLRRTMVPHARPLPKFDHPFCPQKSSKETTKPKPPHLRVLQRIERKKRISSFATPTSSPATLMR >KJB12467 pep chromosome:Graimondii2_0_v6:2:1339857:1344774:-1 gene:B456_002G019900 transcript:KJB12467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSTETATATATVIDELYEFSAPCFFDFTKGESEEEIRDAELWFETALTYAPSPFMIRIKAGNRTFRIESLCNFSEEDQMQKASGSSDATAPNSNLEDKPQAEQVPDKVKEEAKPPEAKVEIKQIVTKIANDNKEKENIGIVGAQENESALANKSHLNGLGGEEKSKSTVQVDTEACTPKPLMNSQKKLVSDKKHQTAKKIASTIRNSSMLKPKNGNAGTPNLAQENQAVKRQKLDGGRSRQILNVKPHNLPHKSKLGLTSGSSNLCSSTAQPSNKLDRKVYVREQAAPFVSMAEMMKKFQSCTRDLSRPHSSSNDNAATVQMPLLRLTRPKEPEFETAQRMRPVAVKSTAELEEEMMAKIPKFKARPLNKKIFEASTLPSLPRSTPKTPEFQEFHFETMARASKNAETSSVASTEVSRQNTQWKPHLTEPKTPVLQTSLRARPITVKSSIELEQEELEKAPKFKARPLNKKIFESKGELGIFCNTKKQVTIPQEFHFATHERIPPPPAVFDLFDKLSLKSESSHDPIPRNTIPNPFHLQTEERGAEKEKKFVMEIIEKQFEEERARVPKANPYPYTTDYPVIPSKPEPKQCTKPEPFQLESLVRHEEEMKREMEERQRKEKEEAQMRIFKAQPVLKEDPIPVPEKVRRPLTQVQAFDLHVNHRAVDRAEFDQKIKEKEMVYKRFREESEAARMIEEEKALKQLRRTMVPHARPLPKFDHPFCPQKSSKETTKPKPPHLRVLQRIERKKRISSFATPTSSPATLMR >KJB16423 pep chromosome:Graimondii2_0_v6:2:58908149:58912367:1 gene:B456_002G229900 transcript:KJB16423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIRLFDINSSSPQPVMSYDSHTNNVMAVGFQCDGKWMYSGSEDGTVKIWDLRAPGCQREYESRGAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGNQTMTNFEPLHKLQAHNGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITGIWL >KJB16428 pep chromosome:Graimondii2_0_v6:2:58908149:58913518:1 gene:B456_002G229900 transcript:KJB16428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIRLFDINSSSPQPVMSYDSHTNNVMAVGFQCDGKWMYSGSEDGTVKIWDLRAPGCQREYESRGAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGNQTMTNFEPLHKLQAHNGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTAKLWSMTSGEEIKTYQGHHKATVCCALHDGAEPSPS >KJB16429 pep chromosome:Graimondii2_0_v6:2:58908149:58913518:1 gene:B456_002G229900 transcript:KJB16429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIRLFDINSSSPQPVMSYDSHTNNVMAVGFQCDGKWMYSGSEDGTVKIWDLRAPGCQREYESRGAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGNQTMTNFEPLHKLQAHNGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTAKLWSMTSGEEIKTYQGHHKATVCCALHDGAEPSPS >KJB16422 pep chromosome:Graimondii2_0_v6:2:58908149:58910978:1 gene:B456_002G229900 transcript:KJB16422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIRLFDINSSSPQPVMSYDSHTNNVMAVGFQCDGKWMYSGSEDGTVKIWDLRAPGCQREYESRGAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVFFSFFYLHCTVYFFLFDLPFSMKNLYVRCLLHQHELCAYVGIYIGSSVPYLKN >KJB16425 pep chromosome:Graimondii2_0_v6:2:58908149:58913518:1 gene:B456_002G229900 transcript:KJB16425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLFDFGRQKAAAVTQVNRLEITPDKRYLAAAGNPHIRLFDINSSSPQPVMSYDSHTNNVMAVGFQCDGKWMYSGSEDGTVKIWDLRAPGCQREYESRGAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGNQTMTNFEPLHKLQAHNGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTAKLWSMTSGEEIKTYQGHHKATVCCALHDGAEPSPS >KJB16426 pep chromosome:Graimondii2_0_v6:2:58908149:58913518:1 gene:B456_002G229900 transcript:KJB16426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIRLFDINSSSPQPVMSYDSHTNNVMAVGFQCDGKWMYSGSEDGTVKIWDLRAPGCQREYESRGAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGNQTMTNFEPLHKLQAHNGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIEVFLCFICYYVSGHQRWVWDCVFSVDGAYLITASSDTTAKLWSMTSGEEIKTYQGHHKATVCCALHDGAEPSPS >KJB16424 pep chromosome:Graimondii2_0_v6:2:58908149:58912704:1 gene:B456_002G229900 transcript:KJB16424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIRLFDINSSSPQPVMSYDSHTNNVMAVGFQCDGKWMYSGSEDGTVKIWDLRAPGCQREYESRGAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGNQTMTNFEPLHKLQAHNGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITEMVKLLHPWVSKPNPWFPSKIKEKIFIYHLRLPPPPAPFCSLFLFNWSHFG >KJB16427 pep chromosome:Graimondii2_0_v6:2:58908348:58913518:1 gene:B456_002G229900 transcript:KJB16427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIRLFDINSSSPQPVMSYDSHTNNVMAVGFQCDGKWMYSGSEDGTVKIWDLRAPGCQREYESRGAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGNQTMTNFEPLHKLQAHNGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTAKLWSMTSGEEIKTYQGHHKATVCCALHDGAEPSPS >KJB13649 pep chromosome:Graimondii2_0_v6:2:11096113:11100342:1 gene:B456_002G087800 transcript:KJB13649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIMASSSSSSFFVRCFPLLFFFFFSHAQAVKSPIHPRDVLPLLPRQVSWPILNSLNSAIDLLPAFVGSVSSQNHIVSWKGACFYENTAWMEFHNKSGSEFGGGTLHIKVSKAHSWTCLDLYLFATPYRVTWDYYFLSREHTLEIDKWEDRAEYEYVKDKGISIFLMQAGMLGTLEALWEVFPLFTNTGWGESANLGFLKKHMGASFESRPQPWYTNISVDDIHSGDFLVISKIRGRWGGFETLEKWVTGSYAGHSAVFLKDSEGKLWVGESGHENEKGEDIIAVIPWDEWWDLELNKDDSNPHIAVLPLHPDVRAKFNETASWEYALSMAGKPYGYHNMLFSWIDTIDGNYPPPLDAHLVASAMTVWSKMQPEYAANLWNEALNKRLGTKGLDLSDILVEIEKLGSSFDQLLTVPEQDDWIYSDGKSTSCIAFVLEMYKEAGLFDPIADSIQVTEFTIKDAYTLRFFENNSSQLPKWCNDADNVKLPYCQILGKYRMELPGFNSMDPYPHMNERCPSKPPKYSRPPNC >KJB13650 pep chromosome:Graimondii2_0_v6:2:11096187:11099762:1 gene:B456_002G087800 transcript:KJB13650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIMASSSSSSFFVRCFPLLFFFFFSHAQAVKSPIHPRDVLPLLPRQVSWPILNSLNSAIDLLPAFVGSVSSQNHIVSWKGACFYENTAWMEFHNKSGSEFGGGTLHIKVSKAHSWTCLDLYLFATPYRVTWDYYFLSREHTLEIDKWEDRAEYEYVKDKGISIFLMQAGMLGTLEALWEVFPLFTNTGWGESANLGFLKKHMGASFESRPQPWYTNISVDDIHSGDFLVISKIRGRWGGFETLEKWVTGSYAGHSAVFLKDSEGKLWVGESGHENEKGEDIIAVIPWDEWWDLELNKDDSNPHIAVLPLHPDVRAKFNETASWEYALSGFCYDSLE >KJB13651 pep chromosome:Graimondii2_0_v6:2:11096187:11099762:1 gene:B456_002G087800 transcript:KJB13651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIMASSSSSSFFVRCFPLLFFFFFSHAQAVKSPIHPRDVLPLLPRQVSWPILNSLNSAIDLLPAFVGSVSSQNHIVSWKGACFYENTAWMEFHNKSGSEFGGGTLHIKVSKAHSWTCLDLYLFATPYRVTWDYYFLSREHTLEIDKWEDRAEYEYVKDKGISIFLMQAGMLGTLEALWEVFPLFTNTGWGESANLGFLKKHMGASFESRPQPWYTNISVDDIHSGDFLVISKIRGRWGGFETLEKWVTGSYAGHSAVFLKDSEGKLWVGESGHENEKGEDIIAVIPWDEWWDLELNKDDSNPHIAVLPLHPDVRAKFNETASWEYALSMAGKPYGYHNMLFSWIDTIDGNYPPPLDAHLVASAMTVWSKMQPEYAANLWNEALNKRLGTKGLDLSDILIKDAYTLRFFENNSSQLPKWCNDADNVKLPYCQILGKYRMELPGFNSMDPYPHMNERCPSKPPKYSRPPNC >KJB17199 pep chromosome:Graimondii2_0_v6:2:52965171:52965776:-1 gene:B456_002G1956003 transcript:KJB17199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRKRAVTIHVSDQQGNRLQGAAITINQVSKDFPFGSAIAHTILGNLPYQNWFVERFNAAVFENELKWYATEPDQGKTNYTLADQMLEFVRAHQIIARGHNIFWEDPKIQSLMSKYKEEFIHWDVSNEMLHFDFYEQRLGPDATLHFYETAHQSDPL >KJB13281 pep chromosome:Graimondii2_0_v6:2:7727025:7731600:-1 gene:B456_002G066300 transcript:KJB13281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl-phosphate synthase large chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29900) UniProtKB/Swiss-Prot;Acc:Q42601] MSCCKSFSSSRFSSFSKPFLPKSFNLPPLFFISSSNPKPNRSSFHLRSWPSQRHLSPMAAKRVSIQANSNASAEEKGPKQGKRTDLKKIMILGAGPIVIGQACEFDYSGTQACKALREEGYEVVLINSNPATIMTDPDMANRTYVTPMTPELVEQVLEKERPDALLPTMGGQTALNLAVALAESGVLEKYSVELIGAKLDAIKKAEDRDLFKQAMKTIGIKTPPSGIGNTLDECIEIANEIGEFPLIIRPAFTLGGTGGGIAYNKEEFESICKAGLAASLTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVKIIREIGVECGGSNVQFAVNPVDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSPPILTTQMKSVGESMALGRTFQESFQKAVRSLECGYSGWGCAEVKELNWDWDQLKYSLRVPSPDRIHSVYAAMKKGMKVDEIYELSFIDKWFLTQLKELIDVEQYLLSRGLSDLTKEEFYEVKKRGFSDKQIAFATKSSEEEVRNKRVSLGVIPAYKRVDTCAAEFEANTPYMYSSYDFECESAPTEKKKVLILGGGPNRIGQGIEFDYCCCHTSFALQKAGFETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNVIELERPDGIIVQFGGQTPLKLSLPIQRYLDKHRPACASGAGQVRIWGTSPDSIDAAEDRERFNAILKELKIEQPKGGIAKSEEDALAIATEIGYPVVVRPSYVLGGRAMEIVYNDDKLVTYLENAVEVDPERPVLIDKYLSDAVEIDVDALADLHGNVVIGGIMEHIEQAGVHSGDSACSIPTQTIPSSCLDTIRSWTTKLANRLNVCGLMNCQYAITASGDVFLLEANPRASRTVPFVSKAIGHPLAKYAALVMSGKSLYDLDFTKEVTPKHVSVKEAVLPFEKFQGCDVLLGPEMKSTGEVMGIDFEFAIAFAKAQIAAGQKLPLSGMVFLSLNDLTKPYLERIAKAFLGLGFHIISTSGTAHFLELKGIPVERVLKMHEGRPHAGDMIANGQIQLMVITSSGDALDQIDGRQLRRMALAYKVPIITTVDGALASAEAIRSLKSCTINMIALQDFFNTETGAGQSKNLLSTSSSL >KJB16383 pep chromosome:Graimondii2_0_v6:2:58615993:58625014:1 gene:B456_002G227500 transcript:KJB16383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSYSSSKPISSSYQALSYRLLLLLTLLPLTVAFFAFILQWQGGLIDPLLSSPDPFQFPGMDYPGSNSRPHRRSVSDCVDVLGQSRSPAFPYFKDWNFEFGSNLRPKICIVSSTAAGLEQILPWIFYHKVIGVSTFFLFVEGKAASPVVSKVLESIPGVEVIYRTKELEEQQAKSRIWNETWLAKFFYKPCNHELFVKQTLNMEMAIVMSRDAGMDWIIHLDTDELIHPSSARQYSVRQLLADLPEDVDMVVFPNYESCVERDDIIEPFTEVSMFKKNFDHLPIEAYLGNYRRAIRGNPNYFLTYGNGKAAARIQDHLRPHGAHRWHNYMKAPKEIKLDEAAVLHYTYTKFSDLTSRRDRCGCKPTKEDVKKCFMLEFDRAAFIIASTATEEEMLRWYRHHVVWTNKALNLKLLKRGILTRIYTPMAIIQGLRESGVFGSVLQSAQTLSKDKFLSSVESSNFSSVDKQIGVKESQATARRILDINDNFSGGSAIPPLSPPVPDDFHIGIS >KJB16382 pep chromosome:Graimondii2_0_v6:2:58615993:58624715:1 gene:B456_002G227500 transcript:KJB16382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSYSSSKPISSSYQALSYRLLLLLTLLPLTVAFFAFILQWQGGLIDPLLSSPDPFQFPGMDYPGSNSRPHRRSVSDCVDVLGQSRSPAFPYFKDWNFEFGSNLRPKICIVSSTAAGLEQILPWIFYHKVIGVSTFFLFVEGKAASPVVSKVLESIPGVEVIYRTKELEEQQAKSRIWNETWLAKFFYKPCNHELFVKQTLNMEMAIVMSRDAGMDWIIHLDTDELIHPSSARQYSVRQLLADLPEDVDMVVFPNYESCVERDDIIEPFTEVSMFKKNFDHLPIEAYLGNYRRAIRGNPNYFLTYGNGKAAARIQDHLRPHGAHRWHNYMKAPKEIKLDEAAVLHYTYTKFSDLTSRRDRCGCKPTKEDVKKCFMLEFDRAAFIIASTATEEEMLRWYRHHVVWTNKALNLKLLKRGILTRIYTPMVGKSHNSRT >KJB12524 pep chromosome:Graimondii2_0_v6:2:1623084:1626533:1 gene:B456_002G022900 transcript:KJB12524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METISSGGSVSESSTMILHNAVVVTMDSDCRVFQNGAVFIDKDKIKAIGQSPDILQQFSHVAHHILDLHGQILLPGFINTHVHTSQQLGRGIADDVDLMTWLHHRIWPYESNMTEEDSYISTLLCGVELIHSGVTCFAEAGGQHVTGMARAVELLGLRACLTDSVMDSGDGLPPSWGIRTTDECLQSQKKLYEKHNNTADGRIRIWLGIRTVMNSTDRLLLETRDAARELKTGIHMHVAEIPYENQVVMETRKADHGTVTFLDKIDFLQENLLAAHTVCVNDTEIGFLSRSGVKVSHCAASAMRMLGFARIREMLNAGITVSLGTDGAPSNNRMSIVDEMYLASLINKGREVHENGTTDPTAFPAETLLKMVTINGAKSVLWDNEIGSLEIGKKVRLF >KJB12523 pep chromosome:Graimondii2_0_v6:2:1622971:1628324:1 gene:B456_002G022900 transcript:KJB12523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METISSGGSVSESSTMILHNAVVVTMDSDCRVFQNGAVFIDKDKIKAIGQSPDILQQFSHVAHHILDLHGQILLPGFINTHVHTSQQLGRGIADDVDLMTWLHHRIWPYESNMTEEDSYISTLLCGVELIHSGVTCFAEAGGQHVTGMARAVELLGLRACLTDSVMDSGDGLPPSWGIRTTDECLQSQKKLYEKHNNTADGRIRIWLGIRTVMNSTDRLLLETRDAARELKTGIHMHVAEIPYENQVVMETRKADHGTVTFLDKIDFLQENLLAAHTVCVNDTEIGFLSRSGVKVSHCAASAMRMLGFARIREMLNAGITVSLGTDGAPSNNRMSIVDEMYLASLINKGREVHENGTTDPTAFPAETLLKMVTINGAKSVLWDNEIGSLEIGKKADMVVINPFSWSMVPIHDCISNLVYCMRTENIVSVMCNGRWIMRDKKILNVDEEEVISLAKEASSKLLRRAGIKLPSRMNVIH >KJB12526 pep chromosome:Graimondii2_0_v6:2:1623084:1628239:1 gene:B456_002G022900 transcript:KJB12526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METISSGGSVSESSTMILHNAVVVTMDSDCRVFQNGAVFIDKDKIKAIGQSPDILQQFSHVAHHILDLHGQILLPGFINTHVHTSQQLGRGIADDVDLMTWLHHRIWPYESNMTEEDSYISTLLCGVELIHSGVTCFAEAGGQHVTGMARAVELLGLRACLTDSVMDSGDGLPPSWGIRTTDECLQSQKKLYEKHNNTADGRIRIWLGIRTHVAEIPYENQVVMETRKADHGTVTFLDKIDFLQENLLAAHTVCVNDTEIGFLSRSGVKVSHCAASAMRMLGFARIREMLNAGITVSLGTDGAPSNNRMSIVDEMYLASLINKGREVHENGTTDPTAFPAETLLKMVTINGAKSVLWDNEIGSLEIGKKADMVVINPFSWSMVPIHDCISNLVYCMRTENIVSVMCNGRWIMRDKKILNVDEEEVISLAKEASSKLLRRAGIKLPSRMNVIH >KJB12527 pep chromosome:Graimondii2_0_v6:2:1624167:1628239:1 gene:B456_002G022900 transcript:KJB12527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAPSIWQSQKKLYEKHNNTADGRIRIWLGIRTVMNSTDRLLLETRDAARELKTGIHMHVAEIPYENQVVMETRKADHGTVTFLDKIDFLQENLLAAHTVCVNDTEIGFLSRSGVKVSHCAASAMRMLGFARIREMLNAGITVSLGTDGAPSNNRMSIVDEMYLASLINKGREVHENGTTDPTAFPAETLLKMVTINGAKSVLWDNEIGSLEIGKKADMVVINPFSWSMVPIHDCISNLVYCMRTENIVSVMCNGRWIMRDKKILNVDEEEVISLAKEASSKLLRRAGIKLPSRMNVIH >KJB12525 pep chromosome:Graimondii2_0_v6:2:1623084:1628239:1 gene:B456_002G022900 transcript:KJB12525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METISSGGSVSESSTMILHNAVVVTMDSDCRVFQNGAVFIDKDKIKAIGQSPDILQQFSHVAHHILDLHGQILLPGFINTHVHTSQQLGRGIADDVDLMTWLHHRIWPYESNMTEEDSYISTLLCGVELIHSGVTCFAEAGGQHVTGMARAVELLGLRACLTDSVMDSGDGLPPSWGIRTTDECLQSQKKLYEKHNNTADGRIRIWLGIRTIPYENQVVMETRKADHGTVTFLDKIDFLQENLLAAHTVCVNDTEIGFLSRSGVKVSHCAASAMRMLGFARIREMLNAGITVSLGTDGAPSNNRMSIVDEMYLASLINKGREVHENGTTDPTAFPAETLLKMVTINGAKSVLWDNEIGSLEIGKKADMVVINPFSWSMVPIHDCISNLVYCMRTENIVSVMCNGRWIMRDKKILNVDEEEVISLAKEASSKLLRRAGIKLPSRMNVIH >KJB15396 pep chromosome:Graimondii2_0_v6:2:44948788:44956601:-1 gene:B456_002G176200 transcript:KJB15396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKVSKVSTTPIDGQKPGTSGLRKKVKVFIQPHYLQNFVQSTFNALTPEKVRGATLVVSGDGRYFSKDAIQIIIKMSAANGVRRVWVGQNGLLSTPAVSAVIRERVGVDGSKATGAFILTASHNPGGPHEDFGIKYNMENGGPAPEAITDKIFENTKTITEYLIAEDLPNIDISTIGVANFSGPEGQFDVEVFDSASDYVKLMKSIFDFELIRKLLSSSKFTFCYDALHGVAGAYAHRIFVEELGAQESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSDSAVDPPEFGAAADGDADRNMILGKRSMPTSAAIDVVAKNLNLKFFEVPTGWKFFGNLMDAGLCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKENLNGDKLVTVEDIVRQHWAIYGRHYYTRYDYENVDAGAAKDLMAYLVKLQSSLDEINSSVKGARSDVSNVINADEFEYKDPVDGSVSKHQGIRFLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDSSKTGRDSQEALAPLVEVALKLSKMLEFTGRSAPTVIT >KJB15393 pep chromosome:Graimondii2_0_v6:2:44948420:44956387:-1 gene:B456_002G176200 transcript:KJB15393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVESKEMVFKVSKVSTTPIDGQKPGTSGLRKKVKVFIQPHYLQNFVQSTFNALTPEKVRGATLVVSGDGRYFSKDAIQIIIKMSAANGVRRVWVGQNGLLSTPAVSAVIRERVGVDGSKATGAFILTASHNPGGPHEDFGIKYNMENGGPAPEAITDKIFENTKTITEYLIAEDLPNIDISTIGVANFSGPEGQFDVEVFDSASDYVKLMKSIFDFELIRKLLSSSKFTFCYDALHGVAGAYAHRIFVEELGAQESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSDSAVDPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVNAIPYFSSGLKGVARSMPTSAAIDVVAKNLNLKFFEVPTGWKFFGNLMDAGLCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKENLNGDKLVTVEDIVRQHWAIYGRHYYTRYDYENVDAGAAKDLMAYLVKLQSSLDEINSSVKGARSDVSNVINADEFEYKDPVDGSVSKHQGIRFLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDSSKTGRDSQEALAPLVEVALKLSKMLEFTGRSAPTVIT >KJB15394 pep chromosome:Graimondii2_0_v6:2:44948788:44952209:-1 gene:B456_002G176200 transcript:KJB15394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSIFDFELIRKLLSSSKFTFCYDALHGVAGAYAHRIFVEELGAQESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSDSAVDPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVNAIPYFSSGLKGVARSMPTSAAIDVVAKNLNLKFFEVPTGWKFFGNLMDAGLCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKENLNGDKLVTVEDIVRQHWAIYGRHYYTRYDYENVDAGAAKDLMAYLVKLQSSLDEINSSVKGARSDVSNVINADEFEYKDPVDGSVSKHQGIRFLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDSSKTGRDSQEALAPLVEVALKLSKMLEFTGRSAPTVIT >KJB15395 pep chromosome:Graimondii2_0_v6:2:44948788:44956601:-1 gene:B456_002G176200 transcript:KJB15395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKVSKVSTTPIDGQKPGTSGLRKKVKVFIQPHYLQNFVQSTFNALTPEKVRGATLVVSGDGRYFSKDAIQIIIKMSAANGVRRVWVGQNGLLSTPAVSAVIRERVGVDGSKATGAFILTASHNPGGPHEDFGIKYNMENGGPAPEAITDKIFENTKTITEYLIAEDLPNIDISTIGVANFSGPEGQFDVEVFDSASDYVKLMKSIFDFELIRKLLSSSKFTFCYDALHGVAGAYAHRIFVEELGAQESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSDSAVDPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVNAIPYFSSGLKGVARSMPTSAAIDVVAKNLNLKFFEVPTGWKFFGNLMDAGLCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKENLNGDKLVTVEDIVRQHWAIYGRHYYTRYDYENVDAGAAKDLMAYLVKLQSSLDEINRYCEGGSFRCVKCYQC >KJB15397 pep chromosome:Graimondii2_0_v6:2:44948788:44956601:-1 gene:B456_002G176200 transcript:KJB15397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKVSKVSTTPIDGQKPGTSGLRKKVKVFIQPHYLQNFVQSTFNALTPEKVRGATLVVSGDGRYFSKDAIQIIIKMSAANGVRRVWVGQNGLLSTPAVSAVIRERVGVDGSKATGAFILTASHNPGGPHEDFGIKYNMENGGPAPEAITDKIFENTKTITEYLIAEDLPNIDISTIGVANFSGPEGQFDVEVFDSASDYVKLMKSIFDFELIRKLLSSSKFTFCYDALHGVAGAYAHRIFVEELGAQESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSDSAVDPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVNAIPYFSSGLKGVARSMPTSAAIDVVAKNLNLKFFEVPTGWKFFGNLMDAGLCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKENLNGDKLVTVEDIVRQHWAIYGRHYYTRYDYENVDAGAAKDLMAYLVKLQSSLDEINSVKGARSDVSNVINADEFEYKDPVDGSVSKHQGIRFLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDSSKTGRDSQEALAPLVEVALKLSKMLEFTGRSAPTVIT >KJB15398 pep chromosome:Graimondii2_0_v6:2:44949127:44956311:-1 gene:B456_002G176200 transcript:KJB15398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKVSKVSTTPIDGQKPGTSGLRKKVKVFIQPHYLQNFVQSTFNALTPEKVRGATLVVSGDGRYFSKDAIQIIIKMSAANGVRRVWVGQNGLLSTPAVSAVIRERVGVDGSKATGAFILTASHNPGGPHEDFGIKYNMENGGPAPEAITDKIFENTKTITEYLIAEDLPNIDISTIGVANFSGPEGQFDVEVFDSASDYVKLMKSIFDFELIRKLLSSSKFTFCYDALHGVAGAYAHRIFVEELGAQESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSDSAVDPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVNAIPYFSSGLKGVARSMPTSAAIDVVAKNLNLKFFEVPTGWKFFGNLMDAGLCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKENLNGDKLVTVEDIVRQHWAIYGRHYYTRYDYENVDAGAAKDLMAYLVKLQSSLDEINSSVKGARSDVSNVINADEFEYKDPVDGSVSKHQGIRFLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDSSKTGRDSQEALAPLVEVALKLSKMLEFTGRSAPTVIT >KJB15480 pep chromosome:Graimondii2_0_v6:2:47338426:47346754:1 gene:B456_002G180000 transcript:KJB15480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNGVCQKMCCSECYTKFADEFSHRYPCQSCGRWLCSKCVERYESHVVDDVYRSDNAKSNDFSKMMSVKCCKFCCDGVNARPESGGRKYSEKVHPSESPRESPEPPSPCSMNSESIRSDHLAQHLEAHDCGFPLPVVAGKSMTSVSTHPSPISTQQSASRSDEEDADGTGKQFYSPSAEYSQDVSDIDSCSISARHEFNSCKSVGSSPSVSPSRNSFTPYRDGHSVQQRQEGSPMAQCVGPFGQENMAVLRKPPETVMEQENTDDYSDDASVVGNQSSKLQKPLDFENNGLIWYPPPAEDENDEAESNFFTYDDEDDDVGDSGAMFSSSSSFSSMFPAKEKQEGNKEPIRAVIQGHFRALVSQLLLGEGIEVEDNAGGWLDIVTAVAWQAANFVKPDTSRGGSMDPGDYVKVKCIASGTPSESTLVKGVVCTKNVKHKRMTSQYKNPRLLLLGGALEFLKVPNQLASFSTLLQQENDHLKMIIAKIEALRPNVLLVEKSASSYAQEYLLTKEISLVLNVKRPLLERIARCTGALVCPSIDDLYTTRLGHCELFRLEKVSEEHEMANQFNKKPSKTLMFFEGCPRRLCCTVLLRGRCREELKKVKHVVQYAIFAAYHLSLETSFLADEGATLPKMKVKHSISMPEKIQADSTVSVVANSYPPSSFDAIVNASAQNDISPCLDPAQGGMGSLSEQCDQSHLFPSSGGSILDVYNDDWSPIACLDTYSSEDFKDSKLSSMLPDIRDFPRSELQETMTEEETRLGEIHELVKPEKIDEDENSSEYFSATDTHQSILVSFSSRCVLKGTVCERARLLRIKFYGSFDKPLGRYLHDDLFDQASCCRSCNEPAEAHVICYTHQQGNLTINVRRLSSLKLPGERDGKIWMWHRCLKCVHINGVPPATHRVVMSDAAWGLSFGKFLELSFSNHATANRVATCGHSLQRDCLRFYGFGNMVAFFRYSPIDILSVHLPPSTLEFSGNIQQEWTRKEAAELMVKMEMSYVEVSDVLDSIEQKSNSAGCQSSNASDLSDHIVELREQIQKERDDYNGLLQPVVMESSQLGPTAVDILELNRLRRSLLISLYVWDQQLHSLESHIKKGSAVKVKADHSNDGKLGVCEQNVYRSPDSQEPPKNDIQSENNNILSNLESVVPKESDLVSYNKIDEDVQSDGNITSPASALSERIDSAWTGTDLLTLKVQTTEAFQEDELPAGLIRQMNKISDLRLRNVASPRRLHSFDSALRFQERIKKGLHPSSSPLSVLRSFHASGDYRSMVRDPVSNVTGTYSHALPLEAQKLNLLLSSTPTMITSASHVAEGTRLLLSQRGHSDIVIAVYDNDPASIISYALSSKEYDEWVTGKSSEIGGGWSVSEKSKEDSAASSFSPWQSFGSLDLDYIRYGSFSSEDASSSVGSTFADTKRSPHLTVSFGDDSAAAGGKVKFSVTCYFAKQFDSLRRKCCPSEVDFLRSLSRCKKWSAQGGKSNVYFAKTFDERFIIKQVQKTELESFDEFALEYFKYLTDSVSSGSPTCLVKILGIYQVSVKHLKGGKETKMDLIVMENLFFRRSISTVYDLKGSIRSRYNPDTSGTNKVLLDMNLLETLRTEPIFLGSKAKRNLERAIWNDTSFLASVDVMDYSLLVGVDEERKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTIISPKQYKKRFRKAMTTYFLTIPDQWSS >KJB15479 pep chromosome:Graimondii2_0_v6:2:47338260:47346754:1 gene:B456_002G180000 transcript:KJB15479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNGVCQKMCCSECYTKFADEFSHRYPCQSCGRWLCSKCVERYESHVVDDVYRSDNAKSNDFSKMMSVKCCKFCCDGVNARPESGGRKYSEKVHPSESPRESPEPPSPCSMNSESIRSDHLAQHLEAHDCGFPLPVVAGKSMTSVSTHPSPISTQQSASRSDEEDADGTGKQFYSPSAEYSQDVSDIDSCSISARHEFNSCKSVGSSPSVSPSRNSFTPYRDGHSVQQRQEGSPMAQCVGPFGQENMAVLRKPPETVMEQENTDDYSDDASVVGNQSSKLQKPLDFENNGLIWYPPPAEDENDEAESNFFTYDDEDDDVGDSGAMFSSSSSFSSMFPAKEKQEGNKEPIRAVIQGHFRALVSQLLLGEGIEVEDNAGGWLDIVTAVAWQAANFVKPDTSRGGSMDPGDYVKVKCIASGTPSESTLVKGVVCTKNVKHKRMTSQYKNPRLLLLGGALEFLKVPNQLASFSTLLQQENDHLKMIIAKIEALRPNVLLVEKSASSYAQEYLLTKEISLVLNVKRPLLERIARCTGALVCPSIDDLYTTRLGHCELFRLEKVSEEHEMANQFNKKPSKTLMFFEGCPRRLCCTVLLRGRCREELKKVKHVVQYAIFAAYHLSLETSFLADEGATLPKMKVKHSISMPEKIQADSTVSVVANSYPPSSFDAIVNASAQNDISPCLDPAQGGMGSLSEQCDQSHLFPSSGGSILDVYNDDWSPIACLDTYSSEDFKDSKLSSMLPDIRDFPRSELQETMTEEETRLGEIHELVKPEKIDEDENSSEYFSATDTHQSILVSFSSRCVLKGTVCERARLLRIKFYGSFDKPLGRYLHDDLFDQASCCRSCNEPAEAHVICYTHQQGNLTINVRRLSSLKLPGERDGKIWMWHRCLKCVHINGVPPATHRVVMSDAAWGLSFGKFLELSFSNHATANRVATCGHSLQRDCLRFYGFGNMVAFFRYSPIDILSVHLPPSTLEFSGNIQQEWTRKEAAELMVKMEMSYVEVSDVLDSIEQKSNSAGCQSSNASDLSDHIVELREQIQKERDDYNGLLQPVVMESSQLGPTAVDILELNRLRRSLLISLYVWDQQLHSLESHIKKGSAVKVKADHSNDGKLGVCEQNVYRSPDSQEPPKNDIQSENNNILSNLESVVPKESDLVSYNKIDEDVQSDGNITSPASALSERIDSAWTGTDLLTLKVQTTEAFQEDELPAGLIRQMNKISDLRLRNVASPRRLHSFDSALRFQERIKKGLHPSSSPLSVLRSFHASGDYRSMVRDPVSNVTGTYSHALPLEAQKLNLLLSSTPTMITSASHVAEGTRLLLSQRGHSDIVIAVYDNDPASIISYALSSKEYDEWVTGKSSEIGGGWSVSEKSKEDSAASSFSPWQSFGSLDLDYIRYGSFSSEDASSSVGSTFADTKRSPHLTVSFGDDSAAAGGKVKFSVTCYFAKQFDSLRRKCCPSEVDFLRSLSRCKKWSAQGGKSNVYFAKTFDERFIIKQVQKTELESFDEFALEYFKYLTDSVSSGSPTCLVKILGIYQVSVKHLKGGKETKMDLIVMENLFFRRSISTVYDLKGSIRSRYNPDTSGTNKVLLDMNLLETLRTEPIFLGSKAKRNLERAIWNDTSFLASVDVMDYSLLVGVDEERKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTIISPKQYKKRFRKAMTTYFLTIPDQWSS >KJB13224 pep chromosome:Graimondii2_0_v6:2:7415354:7418593:-1 gene:B456_002G063300 transcript:KJB13224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSVARSAAGKHLLRRGYATESVPDRKVAVLGAAGGIGQPLALLMKLNPLVSRLALYDIANTPGVAADVSHVNTRSEVAGYVGEDQLKQALEGCDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCAAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDAVRAKTFYAGKAKANVADVNVPVVGGHAGITILPLFSQATPKANLPEEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVIFFKRP >KJB13223 pep chromosome:Graimondii2_0_v6:2:7415313:7418604:-1 gene:B456_002G063300 transcript:KJB13223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSVARSAAGKHLLRRGYATESVPDRKVAVLGAAGGIGQPLALLMKLNPLVSRLALYDIANTPGVAADVSHVNTRSEVAGYVGEDQLKQALEGCDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCAAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDAVRAKTFYAGKAKANVADVNVPVVGGHAGITILPLFSQATPKANLPEEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVRLGKNGVEEVMGLGPLSDYEQAGLESLKPELKASIEKGIKFANQN >KJB13752 pep chromosome:Graimondii2_0_v6:2:11811638:11813709:1 gene:B456_002G092900 transcript:KJB13752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 7 [Source:Projected from Arabidopsis thaliana (AT3G20310) UniProtKB/Swiss-Prot;Acc:Q9LDE4] MRRGRGAAAAAAAAAANAVARRPALQPSGSIKEPRYRGVRKRPWGRFAAEIRDPWKKARVWLGTFDSAEEAARAYDTAARTLRGPKAKTNFPINSSNIPAFPFETNHHHNEGFIDQRRLYPMGEFHDPEVNPQRPTRSSMSSTVESFSGPRPAQPPQKSADFAVVSTRKYYPRPPPVEPEDCHSDCDSSSSVVDDGDIALSSCRKTLPFDLNFPPLDEDEDLQCTALCL >KJB12163 pep chromosome:Graimondii2_0_v6:2:230044:234897:1 gene:B456_002G004200 transcript:KJB12163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLCDVCESAAAIIFCAADEAALCRSCDEKVHMCNKLASRHVRVGLADPSDVPRCDICENAPAFFYCEVDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKPAHLEELGLQPVDPNDFPGDKPAHLEELGLQPVDLNDVRKDQKWQPNFAGRENQQNHRLSLVPALDGNGDGDGKVGNKLIDLNTNPQRLHDQASTIQEQAMDVSSDNNHDSASVVPVGSFKREPDK >KJB12164 pep chromosome:Graimondii2_0_v6:2:230044:234897:1 gene:B456_002G004200 transcript:KJB12164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLCDVCESAAAIIFCAADEAALCRSCDEKVHMCNKLASRHVRVGLADPSDVPRCDICENAPAFFYCEVDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKPAHLEELGLQPVDLNDVRKDQKWQPNFAGRENQQNHRLSLVPALDGNGDGDGKVGNKLIDLNTNPQRLHDQASTIQEQAMDVSSDNNHDSASVVPVGSFKREPDK >KJB13783 pep chromosome:Graimondii2_0_v6:2:11867940:11870703:1 gene:B456_002G093900 transcript:KJB13783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANENEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMEKGLKIPVSERTKTTSALLVSLMKQLEKDKKSLTLGSEDNLYLEGFALNVFAKADKQDRAGRADLNTAKTFYAASIFFEIISQFGPLQPDLEQKQKYAAWKAADIRKALKEGRKPTCGPPNGDEDLSVLSSTHSGSYDHGPGELPVTSPRQDSDPSPQFHDEINNQHHANIPPSTQFHDKIDRQHSFDILASHPSFPPRSYPFHGQSFPQDPQRRFPSPPPTSKSEDPTYPHAYLHQPYSQEPQQHLPHNFPSVDIPPYSYPNFQSYPSFSESSLPSAPSHYPSYYQGAEISYTPQSAPPTTSYSSTSQYSSTSRNETVSEPAPTMSQEHQYDINYQPPPEKIAEAHKAARFVVGALAFDDVSVAIDYLKKSLELLTNPSAGQ >KJB14775 pep chromosome:Graimondii2_0_v6:2:25370584:25382561:-1 gene:B456_002G142400 transcript:KJB14775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKEDSSPAQLKMASRKEEPSPAQLKMAIKKEDPSVLQQRMASKREDREPSQGHQGDVAAAAAPGSLEYQNSRSGNTVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVIVKADKKLITVQFQDGRDGRTFTLKAETLDDLFEWKAALENALSQAPSSANAMGQNGIFRNDQSEEVDASREPANDKPPVRSTVIGRPVLLALEDVDGAPTFLEKALKFIEEHGVRVEGILRQAADVEDVKRRIQEYEQGKTEFSSEEDAHVIGDCVKYILRELPSSPVPASCCNALLEACRTQRGSRVNAMRLAVLDTFPEPNRRLLQRILMMMQVVASHKNENLMSTSAVAACMAPLLLRPLLAGDCEIETDFDVGGDGSMQLLQAAAAANHAQAIVITLLEEYDQIFGEGSISSDLYSDSEESGSESEEAAEDDSESYDEDESYEDDECDDETEGSDVSNDDDDDDDDDDDDEDGDDDDDDDGDEPSETGSESGHSVTSDVDVDKYSDSSSSSSESSETGHDVKATKRLSSSIHNPSSENDGSERSKGNQSKSTATLTKKSAELSKGVHGSTNVEDRLAHHAQIPSSQQSAAAAHRARRNAVWGRTSARKNLSMESIDYPFGEEFEIETVEDEKSDLQNRLREEIEGNTKLEASVEKRKKTLQGPLAEADIINLKKKVEDLEMQLNQQLEKKSAYMNDSCRQRQPNHHAKKEDKPKGSGAVVKGSGSKDTYVDKAEFEKGRKQESSSENKQPPPNQQVDHSENKRNRMNEAETDSKKSHASSNPKKLAKRGEEQRSQTANESPENEKGRGSSQAVASSDKGKGIEHVRTARNREKRRGPDSSQ >KJB14781 pep chromosome:Graimondii2_0_v6:2:25371133:25382899:-1 gene:B456_002G142400 transcript:KJB14781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRDEPSLIQQRIHSKREEPSQSQLKMASRKEDSSPAQLKMASRKEEPSPAQLKMAIKKEDPSVLQQRMASKREDREPSQGHQGDVAAAAAPGSLEYQNSRSGNTVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVIVKADKKLITVQFQDGRDGRTFTLKAETLDDLFEWKAALENALSQAPSSANAMGQNGIFRNDQSEEVDASREPANDKPPVRSTVIGRPVLLALEDVDGAPTFLEKALKFIEEHGVRVEGILRQAADVEDVKRRIQEYEQGKTEFSSEEDAHVIGDCVKYILRELPSSPVPASCCNALLEACRTQRGSRVNAMRLAVLDTFPEPNRRLLQRILMMMQVVASHKNENLMSTSAVAACMAPLLLRPLLAGDCEIETDFDVGGDGSMQLLQAAAAANHAQAIVITLLEEYDQIFGEGSISSDLYSDSEESGSESEEAAEDDSESYDEDESYEDDECDDETEGSDVSNDDDDDDDDDDDDEDGDDDDDDDGDEPSETGSESGHSVTSDVDVDKYSDSSSSSSESSETGHDVKATKRLSSSIHNPSSENDGSERSKGNQSKSTATLTKKSAELSKGVHGSTNVEDRLAHHAQIPSSQQSAAAAHRARRNAVWGRTSARKNLSMESIDYPFGEEFEIETVEDEKSDLQNRLREEIEGNTKLEASVEKRKKTLQGRQLCLEKEVAILKEELQRERDKRTALESVLNSSQEQPTVLPAKIDKKTKADLNDIALAEADIINLKKKVEDLEMQLNQQLEKKSAYMNDSCRQRQPNHHAKKEDKPKGSGAVVKGSGSKQDTYVDKAEFEKGRKQESSSENKQPPPNQQVDHSENKRNRMNEAETDSKKSHASSNPKKLAKRGEEQRSQTANESPENEKGRGSSQAVASSDKGKGIEHVRTARNREKRRGPDSSQ >KJB14777 pep chromosome:Graimondii2_0_v6:2:25370584:25383195:-1 gene:B456_002G142400 transcript:KJB14777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRDEPSLIQQRIHSKREEPSQSQLKMASRKEDSSPAQLKMASRKEEPSPAQLKMAIKKEDPSVLQQRMASKREDREPSQGHQGDVAAAAAPGSLEYQNSRSGNTVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVIVKADKKLITVQFQDGRDGRTFTLKAETLDDLFEWKAALENALSQAPSSANAMGQNGIFRNDQSEEVDASREPANDKPPVRSTVIGRPVLLALEDVDGAPTFLEKALKFIEEHGVRVEGILRQAADVEDVKRRIQEYEQGKTEFSSEEDAHVIGDCVKYILRELPSSPVPASCCNALLEACRTQRGSRVNAMRLAVLDTFPEPNRRLLQRILMMMQVVASHKNENLMSTSAVAACMAPLLLRPLLAGDCEIETDFDVGGDGSMQLLQAAAAANHAQAIVITLLEEYDQIFGEGSISSDLYSDSEESGSESEEAAEDDSESYDEDESYEDDECDDETEGSDVSNDDDDDDDDDDDDEDGDDDDDDDGDEPSETGSESGHSVTSDVDVDKYSDSSSSSSESSETGHDVKATKRLSSSIHNPSSENDGSERSKGNQSKSTATLTKKSAELSKGVHGSTNVEDRLAHHAQIPSSQQSAAAAHRARRNAVWGRTSARKNLSMESIDYPFGEEFEIETVEDEKSDLQNRLREEIEGNTKLEASVEKRKKTLQGRQLCLEKEVAILKEELQRERDKRTALESVLNSSQEQPTVLPAKIDKKTKADLNDIALAEADIINLKKKVEDLEMQLNQQLEKKSAYMNDSCRQRQPNHHAKKEDKPKGSGAVVKGSGSKDTYVDKAEFEKGRKQESSSENKQPPPNQQVDHSENKRNRMNEAETDSKKSHASSNPKKLAKRGEEQRSQTANESPENEKGRGSSQAVASSDKGKGIEHVRTARNREKRRGPDSSQ >KJB14774 pep chromosome:Graimondii2_0_v6:2:25370584:25382405:-1 gene:B456_002G142400 transcript:KJB14774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKEEPSPAQLKMAIKKEDPSVLQQRMASKREDREPSQGHQGDVAAAAAPGSLEYQNSRSGNTVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVIVKADKKLITVQFQDGRDGRTFTLKAETLDDLFEWKAALENALSQAPSSANAMGQNGIFRNDQSEEVDASREPANDKPPVRSTVIGRPVLLALEDVDGAPTFLEKALKFIEEHGVRVEGILRQAADVEDVKRRIQEYEQGKTEFSSEEDAHVIGDCVKYILRELPSSPVPASCCNALLEACRTQRGSRVNAMRLAVLDTFPEPNRRLLQRILMMMQVVASHKNENLMSTSAVAACMAPLLLRPLLAGDCEIETDFDVGGDGSMQLLQAAAAANHAQAIVITLLEEYDQIFGEGSISSDLYSDSEESGSESEEAAEDDSESYDEDESYEDDECDDETEGSDVSNDDDDDDDDDDDDEDGDDDDDDDGDEPSETGSESGHSVTSDVDVDKYSDSSSSSSESSETGHDVKATKRLSSSIHNPSSENDGSERSKGNQSKSTATLTKKSAELSKGVHGSTNVEDRLAHHAQIPSSQQSAAAAHRARRNAVWGRTSARKNLSMESIDYPFGEEFEIETVEDEKSDLQNRLREEIEGNTKLEASVEKRKKTLQGRQLCLEKEVAILKEELQRERDKRTALESVLNSSQEQPTVLPAKIDKKTKADLNDIALAEADIINLKKKVEDLEMQLNQQLEKKSAYMNDSCRQRQPNHHAKKEDKPKGSGAVVKGSGSKDTYVDKAEFEKGRKQESSSENKQPPPNQQVDHSENKRNRMNEAETDSKKSHASSNPKKLAKRGEEQRSQTANESPENEKGRGSSQAVASSDKGKGIEHVRTARNREKRRGPDSSQ >KJB14784 pep chromosome:Graimondii2_0_v6:2:25372037:25382561:-1 gene:B456_002G142400 transcript:KJB14784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKEDSSPAQLKMASRKEEPSPAQLKMAIKKEDPSVLQQRMASKREDREPSQGHQGDVAAAAAPGSLEYQNSRSGNTVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVIVKADKKLITVQFQDGRDGRTFTLKAETLDDLFEWKAALENALSQAPSSANAMGQNGIFRNDQSEEVDASREPANDKPPVRSTVIGRPVLLALEDVDGAPTFLEKALKFIEEHGVRVEGILRQAADVEDVKRRIQEYEQGKTEFSSEEDAHVIGDCVKYILRELPSSPVPASCCNALLEACRTQRGSRVNAMRLAVLDTFPEPNRRLLQRILMMMQVVASHKNENLMSTSAVAACMAPLLLRPLLAGDCEIETDFDVGGDGSMQLLQAAAAANHAQAIVITLLEEYDQIFGEGSISSDLYSDSEESGSESEEAAEDDSESYDEDESYEDDECDDETEGSDVSNDDDDDDDDDDDDEDGDDDDDDDGDEPSETGSESGHSVTSDVDVDKYSDSSSSSSESSETGHDVKATKRLSSSIHNPSSENDGSERSKGNQSKSTATLTKKSAELSKGVHGSTNVEDRLAHHAQIPSSQQSAAAAHRARRNAVWGRTSARKNLSMESIDYPFGEEFEIETVEDEKSDLQNRLREEIEGNTKLEASVEKRKKTLQGRQLCLEKEVAILKEELQRERDKRTALESVLNSSQEQPTVLPAKIDKKTKADLNDIALAEADIINLKKKVEDLEMQLNQQLEKKSAYMNDSCRQRQPNHHAKKEDKPKGSGAVVKGSGSKVNSHSFETACSTVVY >KJB14780 pep chromosome:Graimondii2_0_v6:2:25370584:25383195:-1 gene:B456_002G142400 transcript:KJB14780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRDEPSLIQQRIHSKREEPSQSQLKMASRKEDSSPAQLKMAIKKEDPSVLQQRMASKREDREPSQGHQGDVAAAAAPGSLEYQNSRSGNTVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVIVKADKKLITVQFQDGRDGRTFTLKAETLDDLFEWKAALENALSQAPSSANAMGQNGIFRNDQSEEVDASREPANDKPPVRSTVIGRPVLLALEDVDGAPTFLEKALKFIEEHGVRVEGILRQAADVEDVKRRIQEYEQGKTEFSSEEDAHVIGDCVKYILRELPSSPVPASCCNALLEACRTQRGSRVNAMRLAVLDTFPEPNRRLLQRILMMMQVVASHKNENLMSTSAVAACMAPLLLRPLLAGDCEIETDFDVGGDGSMQLLQAAAAANHAQAIVITLLEEYDQIFGEGSISSDLYSDSEESGSESEEAAEDDSESYDEDESYEDDECDDETEGSDVSNDDDDDDDDDDDDEDGDDDDDDDGDEPSETGSESGHSVTSDVDVDKYSDSSSSSSESSETGHDVKATKRLSSSIHNPSSENDGSERSKGNQSKSTATLTKKSAELSKGVHGSTNVEDRLAHHAQIPSSQQSAAAAHRARRNAVWGRTSARKNLSMESIDYPFGEEFEIETVEDEKSDLQNRLREEIEGNTKLEASVEKRKKTLQGRQLCLEKEVAILKEELQRERDKRTALESVLNSSQEQPTVLPAKIDKKTKADLNDIALAEADIINLKKKVEDLEMQLNQQLEKKSAYMNDSCRQRQPNHHAKKEDKPKGSGAVVKGSGSKDTYVDKAEFEKGRKQESSSENKQPPPNQQVDHSENKRNRMNEAETDSKKSHASSNPKKLAKRGEEQRSQTANESPENEKGRGSSQAVASSDKGKGIEHVRTARNREKRRGPDSSQ >KJB14783 pep chromosome:Graimondii2_0_v6:2:25372125:25382899:-1 gene:B456_002G142400 transcript:KJB14783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRDEPSLIQQRIHSKREEPSQSQLKMASRKEDSSPAQLKMASRKEEPSPAQLKMAIKKEDPSVLQQRMASKREDREPSQGHQGDVAAAAAPGSLEYQNSRSGNTVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVIVKADKKLITVQFQDGRDGRTFTLKAETLDDLFEWKAALENALSQAPSSANAMGQNGIFRNDQSEEVDASREPANDKPPVRSTVIGRPVLLALEDVDGAPTFLEKALKFIEEHGVRVEGILRQAADVEDVKRRIQEYEQGKTEFSSEEDAHVIGDCVKYILRELPSSPVPASCCNALLEACRTQRGSRVNAMRLAVLDTFPEPNRRLLQRILMMMQVVASHKNENLMSTSAVAACMAPLLLRPLLAGDCEIETDFDVGGDGSMQLLQAAAAANHAQAIVITLLEEYDQIFGEGSISSDLYSDSEESGSESEEAAEDDSESYDEDESYEDDECDDETEGSDVSNDDDDDDDDDDDDEDGDDDDDDDGDEPSETGSESGHSVTSDVDVDKYSDSSSSSSESSETGHDVKATKRLSSSIHNPSSENDGSERSKGNQSKSTATLTKKSAELSKGVHGSTNVEDRLAHHAQIPSSQQSAAAAHRARRNAVWGRTSARKNLSMESIDYPFGEEFEIETVEDEKSDLQNRLREEIEGNTKLEASVEKRKKTLQGRQLCLEKEVAILKEELQRERDKRTALESVLNSSQEQPTVLPAKIDKKTKADLNDIALAEADIINLKKKVEDLEMQLNQQLEKKSAYMNDSCRQRQPNHHAKKEDKPKGSGAVVKGSGSKVNSHSFETACSTVVY >KJB14776 pep chromosome:Graimondii2_0_v6:2:25370584:25383195:-1 gene:B456_002G142400 transcript:KJB14776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKEDSSPAQLKMASRKEEPSPAQLKMAIKKEDPSVLQQRMASKREDREPSQGHQGDVAAAAAPGSLEYQNSRSGNTVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVIVKADKKLITVQFQDGRDGRTFTLKAETLDDLFEWKAALENALSQAPSSANAMGQNGIFRNDQSEEVDASREPANDKPPVRSTVIGRPVLLALEDVDGAPTFLEKALKFIEEHGVRVEGILRQAADVEDVKRRIQEYEQGKTEFSSEEDAHVIGDCVKYILRELPSSPVPASCCNALLEACRTQRGSRVNAMRLAVLDTFPEPNRRLLQRILMMMQVVASHKNENLMSTSAVAACMAPLLLRPLLAGDCEIETDFDVGGDGSMQLLQAAAAANHAQAIVITLLEEYDQIFGEGSISSDLYSDSEESGSESEEAAEDDSESYDEDESYEDDECDDETEGSDVSNDDDDDDDDDDDDEDGDDDDDDDGDEPSETGSESGHSVTSDVDVDKYSDSSSSSSESSETGHDVKATKRLSSSIHNPSSENDGSERSKGNQSKSTATLTKKSAELSKGVHGSTNVEDRLAHHAQIPSSQQSAAAAHRARRNAVWGRTSARKNLSMESIDYPFGEEFEIETVEDEKSDLQNRLREEIEGNTKLEASVEKRKKTLQGRQLCLEKEVAILKEELQRERDKRTALESVLNSSQEQPTVLPAKIDKKTKADLNDIALAEADIINLKKKVEDLEMQLNQQLEKKSAYMNDSCRQRQPNHHAKKEDKPKGSGAVVKGSGSKDTYVDKAEFEKGRKQESSSENKQPPPNQQVDHSENKRNRMNEAETDSKKSHASSNPKKLAKRGEEQRSQTANESPENEKGRGSSQAVASSDKGKGIEHVRTARNREKRRGPDSSQ >KJB14778 pep chromosome:Graimondii2_0_v6:2:25371133:25382561:-1 gene:B456_002G142400 transcript:KJB14778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKEDSSPAQLKMASRKEEPSPAQLKMAIKKEDPSVLQQRMASKREDREPSQGHQGDVAAAAAPGSLEYQNSRSGNTVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVIVKADKKLITVQFQDGRDGRTFTLKAETLDDLFEWKAALENALSQAPSSANAMGQNGIFRNDQSEEVDASREPANDKPPVRSTVIGRPVLLALEDVDGAPTFLEKALKFIEEHGVRVEGILRQAADVEDVKRRIQEYEQGKTEFSSEEDAHVIGDCVKYILRELPSSPVPASCCNALLEACRTQRGSRVNAMRLAVLDTFPEPNRRLLQRILMMMQVVASHKNENLMSTSAVAACMAPLLLRPLLAGDCEIETDFDVGGDGSMQLLQAAAAANHAQAIVITLLEEYDQIFGEGSISSDLYSDSEESGSESEEAAEDDSESYDEDESYEDDECDDETEGSDVSNDDDDDDDDDDDDEDGDDDDDDDGDEPSETGSESGHSVTSDVDVDKYSDSSSSSSESSETGHDVKATKRLSSSIHNPSSENDGSERSKGNQSKSTATLTKKSAELSKGVHGSTNVEDRLAHHAQIPSSQQSAAAAHRARRNAVWGRTSARKNLSMESIDYPFGEEFEIETVEDEKSDLQNRLREEIEGNTKLEASVEKRKKTLQGRQLCLEKEVAILKEELQRERDKRTALESVLNSSQEQPTVLPAKIDKKTKADLNDIALAEADIINLKKKVEDLEMQLNQQLEKKSAYMNDSCRQRQPNHHAKKEDKPKGSGAVVKGSGSKDTYVDKAEFEKGRKQESSSENKQPPPNQQVDHSENKRNRMNEAETDSKKSHASSNPKKLAKRGEEQRSQTANESPENEKGRGSSQAVASSDKGKGIEHVRTARNREKRRGPDSSQ >KJB14782 pep chromosome:Graimondii2_0_v6:2:25371757:25383195:-1 gene:B456_002G142400 transcript:KJB14782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRDEPSLIQQRIHSKREEPSQSQLKMASRKEDSSPAQLKMASRKEEPSPAQLKMAIKKEDPSVLQQRMASKREDREPSQGHQGDVAAAAAPGSLEYQNSRSGNTVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVIVKADKKLITVQFQDGRDGRTFTLKAETLDDLFEWKAALENALSQAPSSANAMGQNGIFRNDQSEEVDASREPANDKPPVRSTVIGRPVLLALEDVDGAPTFLEKALKFIEEHGVRVEGILRQAADVEDVKRRIQEYEQGKTEFSSEEDAHVIGDCVKYILRELPSSPVPASCCNALLEACRTQRGSRVNAMRLAVLDTFPEPNRRLLQRILMMMQVVASHKNENLMSTSAVAACMAPLLLRPLLAGDCEIETDFDVGGDGSMQLLQAAAAANHAQAIVITLLEEYDQIFGEGSISSDLYSDSEESGSESEEAAEDDSESYDEDESYEDDECDDETEGSDVSNDDDDDDDDDDDDEDGDDDDDDDGDEPSETGSESGHSVTSDVDVDKYSDSSSSSSESSETGHDVKATKRLSSSIHNPSSENDGSERSKGNQSKSTATLTKKSAELSKGVHGSTNVEDRLAHHAQIPSSQQSAAAAHRARRNAVWGRTSARKNLSMESIDYPFGEEFEIETVEDEKSDLQNRLREEIEGNTKLEASVEKRKKTLQGRQLCLEKEVAILKEELQRERDKRTALESVLNSSQEQPTVLPAKIDKKTKADLNDIALAEADIINLKKKVEDLEMQLNQQLEKKSAYMNDSCRQRQPNHHAKKEDKPKGSGAVVKGSGSKILDALTSRELVIVKVDVQS >KJB14779 pep chromosome:Graimondii2_0_v6:2:25371133:25382899:-1 gene:B456_002G142400 transcript:KJB14779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRDEPSLIQQRIHSKREEPSQSQLKMASRKEDSSPAQLKMAIKKEDPSVLQQRMASKREDREPSQGHQGDVAAAAAPGSLEYQNSRSGNTVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVIVKADKKLITVQFQDGRDGRTFTLKAETLDDLFEWKAALENALSQAPSSANAMGQNGIFRNDQSEEVDASREPANDKPPVRSTVIGRPVLLALEDVDGAPTFLEKALKFIEEHGVRVEGILRQAADVEDVKRRIQEYEQGKTEFSSEEDAHVIGDCVKYILRELPSSPVPASCCNALLEACRTQRGSRVNAMRLAVLDTFPEPNRRLLQRILMMMQVVASHKNENLMSTSAVAACMAPLLLRPLLAGDCEIETDFDVGGDGSMQLLQAAAAANHAQAIVITLLEEYDQIFGEGSISSDLYSDSEESGSESEEAAEDDSESYDEDESYEDDECDDETEGSDVSNDDDDDDDDDDDDEDGDDDDDDDGDEPSETGSESGHSVTSDVDVDKYSDSSSSSSESSETGHDVKATKRLSSSIHNPSSENDGSERSKGNQSKSTATLTKKSAELSKGVHGSTNVEDRLAHHAQIPSSQQSAAAAHRARRNAVWGRTSARKNLSMESIDYPFGEEFEIETVEDEKSDLQNRLREEIEGNTKLEASVEKRKKTLQGRQLCLEKEVAILKEELQRERDKRTALESVLNSSQEQPTVLPAKIDKKTKADLNDIALAEADIINLKKKVEDLEMQLNQQLEKKSAYMNDSCRQRQPNHHAKKEDKPKGSGAVVKGSGSKQDTYVDKAEFEKGRKQESSSENKQPPPNQQVDHSENKRNRMNEAETDSKKSHASSNPKKLAKRGEEQRSQTANESPENEKGRGSSQAVASSDKGKGIEHVRTARNREKRRGPDSSQ >KJB15691 pep chromosome:Graimondii2_0_v6:2:51672815:51673561:1 gene:B456_002G191100 transcript:KJB15691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGRIKGLPHQAIDMHPSNGVHVANQNFNGEGSQGCTLPLTAKMRSSVYILGLPEASFNEIHKEPLYHQVIKNKASPTEKRKEPIKKGGQNQGTD >KJB13809 pep chromosome:Graimondii2_0_v6:2:12054275:12056448:1 gene:B456_002G095400 transcript:KJB13809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFALLGSLGSFVSVRDSHHWNVRFQSFTLKERFVVGFNLAKNFRAYKKFGRVSLCKSEFVDFEERTSPNEVRKEIEQCYELIHRLGRGVVYLGSSRMGPGHPHYAQTLELAREATNLLDCTTWTGAGPGLMDAAIKGAQEAGKAVGGFKIGKEAGEWTTSKFHPYLPSETYLTCRFFSARKHGLVDAAVRSSSSDKTAVVALPGGVGTLDEMFEILALIQLERIGSELPVPFIVMNYDSFYAKLLDFLDDCEDWGTVSKGEVSSLWKICNTNSDALAYLAEFYDLPFLDEQKHGTEARSARKQVP >KJB13811 pep chromosome:Graimondii2_0_v6:2:12054373:12056392:1 gene:B456_002G095400 transcript:KJB13811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFALLGSLGSFVSVRDSHHWNVRFQSFTLKERFVVGFNLAKNFRAYKKFGRVSLCKSEFVDFEERTSPNEVRKEIEQCYELIHRLGRGVVYLGSSRMGPGHPHYAQTLELAREIANLLDCTTWTGAGPGLMDAAIKGAQEAGKAVGGFKIGKEAGEWTTSKFHPYLPSETYLTCRFFSARKHGLVDAAVRSSSSDKTAVVALPGGVGTLDEMFEILALIQLERIGSELPVPFIRTWQSFMICHFWMNKSMEQKREAHVNKSLKMF >KJB13808 pep chromosome:Graimondii2_0_v6:2:12054275:12056409:1 gene:B456_002G095400 transcript:KJB13808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFALLGSLGSFVSVRDSHHWNVRFQSFTLKERFVVGFNLAKNFRAYKKFGRVSLCKSEFVDFEERTSPNEVRKEIEQCYELIHRLGRGVVYLGSSRMGPGHPHYAQTLELAREIANLLDCTTWTGAGPGLMDAAIKGAQEAGKAVGGFKIGKEAGEWTTSKFHPYLPSETYLTCRFFSARKHGLVDAAVRSSSSDKTAVVALPGGVGTLDEMFEILALIQLERIGSELPVPFIVMNYDSFYAKLLDFLDDCEDWGTVSKGEVSSLWKICNTNSDALAYLAEFYDLPFLDEQKHGTEARSARKQVP >KJB13810 pep chromosome:Graimondii2_0_v6:2:12054373:12056392:1 gene:B456_002G095400 transcript:KJB13810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEIEQCYELIHRLGRGVVYLGSSRMGPGHPHYAQTLELAREIANLLDCTTWTGAGPGLMDAAIKGAQEAGKAVGGFKIGKEAGEWTTSKFHPYLPSETYLTCRFFSARKHGLVDAAVRSSSSDKTAVVALPGGVGTLDEMFEILALIQLERIGSELPVPFIVMNYDSFYAKLLDFLDDCEDWGTVSKGEVSSLWKICNTNSDALAYLAEFYDLPFLDEQKHGTEARSARKQVP >KJB13812 pep chromosome:Graimondii2_0_v6:2:12055165:12056392:1 gene:B456_002G095400 transcript:KJB13812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVFTAWQIANLLDCTTWTGAGPGLMDAAIKGAQEAGKAVGGFKIGKEAGEWTTSKFHPYLPSETYLTCRFFSARKHGLVDAAVRSSSSDKTAVVALPGGVGTLDEMFEILALIQLERIGSELPVPFIVMNYDSFYAKLLDFLDDCEDWGTVSKGEVSSLWKICNTNSDALAYLAEFYDLPFLDEQKHGTEARSARKQVP >KJB13033 pep chromosome:Graimondii2_0_v6:2:4674889:4675242:-1 gene:B456_002G052700 transcript:KJB13033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSSFPSAFSTLFGELPKETWCCSDLYNREGFWYTSSYLPAAMAARLNFQANDSDVFLTSSMKTGTTWLKAIIPTIMNPIGRMDDDNNDLLLKCHPNELMSSIAQSPFDVPHNCFC >KJB15352 pep chromosome:Graimondii2_0_v6:2:43739378:43743675:1 gene:B456_002G172300 transcript:KJB15352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLALSGDHEKISAVIKLLAKEFTYSPQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSLSDQDSRVRYYACEALYNIAKVVRGDLIIFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSPEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRSIKSDPAETFDVGAILYIARRQLDSEWEATRIEALHWISTLLDRHRAEVRKHLFVIFIYIWMRVCFCIHFLLSSYWSYMHKYLI >KJB15358 pep chromosome:Graimondii2_0_v6:2:43739378:43748717:1 gene:B456_002G172300 transcript:KJB15358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLALSGDHEKISAVIKLLAKEFTYSPQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSLSDQDSRVRYYACEALYNIAKVVRGDLIIFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSPEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRSIKSDPAETFDVGAILYIARRQLDSEWEATRIEALHWISTLLDRHRAEVLCFLNDIFDTLLKALSDSSDEVVLLVLDIHACIARDPQHFRQLIVFLVHNFRVDHSLLERRGALIIRRLCVLLDAERVYRGLSTILEGEADLDFACIMVQALNLILLTSSELSVLRELLKQSLVNAAGKDLFVSLYASWCHSPMAILSLCLLAQAYQHASAVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYIWLLKALYGLLMLLPQQSAAFKILRTRLKTVPSHSFNGDQLKRASSGNPYSQILHYSGSQITEDGNVRQDNGNLQNGINFASRLQQFVQMQRQHRMLEKSQEQSQARSSSTLSKEGPEAEESRGPQTSDSNLPPSRSSRRGLG >KJB15359 pep chromosome:Graimondii2_0_v6:2:43741763:43748494:1 gene:B456_002G172300 transcript:KJB15359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSPEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRSIKSDPAETFDVGAILYIARRQLDSEWEATRIEALHWISTLLDRHRAEVLCFLNDIFDTLLKALSDSSDEVVLLVLDIHACIARDPQHFRQLIVFLVHNFRVDHSLLERRGALIIRRLCVLLDAERVYRGLSTILEGEADLDFACIMVQALNLILLTSSELSVLRELLKQSLVNAAGKDLFVSLYASWCHSPMAILSLCLLAQAYQHASAVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYIWLLKALYGLLMLLPQQSAAFKILRTRLKTVPSHSFNGDQLKRASSGNPYSQILHYSGSQITEDGNVRQDNGNLQNGINFASRLQQFVQMQRQHRMLEKSQEQSQARSSSTLSKEGPEAEESRGPQTSDSNLPPSRSSRRGLG >KJB15355 pep chromosome:Graimondii2_0_v6:2:43739378:43748494:1 gene:B456_002G172300 transcript:KJB15355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLALSGDHEKISAVIKLLAKEFTYSPQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSLSDQDSRVRYYACEALYNIAKVVRGDLIIFFNQIFDALCKLSADSDANVQSAAHLLDRLVKSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRSIKSDPAETFDVGAILYIARRQLDSEWEATRIEALHWISTLLDRHRAEVLCFLNDIFDTLLKALSDSSDEVVLLVLDIHACIARDPQHFRQLIVFLVHNFRVDHSLLERRGALIIRRLCVLLDAERVYRGLSTILEGEADLDFACIMVQALNLILLTSSELSVLRELLKQSLVNAAGKDLFVSLYASWCHSPMAILSLCLLAQAYQHASAVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYIWLLKALYGLLMLLPQQSAAFKILRTRLKTVPSHSFNGDQLKRASSGNPYSQILHYSGSQITEDGNVRQDNGNLQNGINFASRLQQFVQMQRQHRMLEKSQEQSQARSSSTLSKEGPEAEESRGPQTSDSNLPPSRSSRRGLG >KJB15351 pep chromosome:Graimondii2_0_v6:2:43739620:43748033:1 gene:B456_002G172300 transcript:KJB15351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLALSGDHEKISAVIKLLAKEFTYSPQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSLSDQDSRVRYYACEALYNIAKVVRGDLIIFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSPEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRSIKSDPAETFDVGAILYIARRQLDSEWEATRIEALHWISTLLDRHRAEVLCFLNDIFDTLLKALSDSSDEVVLLVLDIHACIARDPQHFRQLIVFLVHNFRVDHSLLERRGALIIRRLCVLLDAERVYRGLSTILEGEADLDFACIMVQALNLILLTSSELSVLRELLKQSLVNAAGKDLFVSLYASWCHSPMAILSLCLLAQAYQHASAVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYIWLLKALYGLLMLLPQQSAAFKILRTRLKTVPSHSFNGDQLKRASSGNPYSQILHYSGSQITEDGNVRQDNGNLQNGINFASRLQQFVQMQRQHRMLEKSQEQSQARSSSTLSKEGPEAEESRGPQTSDSNLPPSRSSRRGLG >KJB15356 pep chromosome:Graimondii2_0_v6:2:43739313:43748517:1 gene:B456_002G172300 transcript:KJB15356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLALSGDHEKISAVIKLLAKEFTYSPQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSLSDQDSRVRYYACEALYNIAKVVRGDLIIFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSPEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRSIKSDPAETFDVGAILYIARRQLDSEWEATRIEALHWISTLLDRHRAEVLCFLNDIFDTLLKALSDSSDEVVLLVLDIHACIARDPQHFRQLIVFLVHNFRVDHSLLERRGALIIRRLCVLLDAERVYRGLSTILEGEADLDFACIMVQALNLILLTSSELSVLRELLKQSLVNAAGKDLFVSLYASWCHSPMAILSLCLLAQAYQHASAVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYIWLLKALYGLLMLLPQQSAAFKILRTRLKTVPSHSFNGDQLKRASSGNPYSQILHYSGSQITEDGNVRQDNGNLQNGINFASRLQQFVQMQRQHRMLEKSQEQSQARSSSTLSKGQKQKNPGDLKHPTQTCLLQDHPEEAWGNCQIN >KJB15357 pep chromosome:Graimondii2_0_v6:2:43739378:43748494:1 gene:B456_002G172300 transcript:KJB15357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLALSGDHEKISAVIKLLAKEFTYSPQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSLSDQDSRVRYYACEALYNIAKVVRGDLIIFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSPEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRSIKSDPAETFDVGAILYIARRQLDSEWEATRIEALHWISTLLDRHRAEVLCFLNDIFDTLLKALSDSSDEVVLLVLDIHACIARDPQHFRQLIVFLVHNFRVDHSLLERRGALIIRRLCVLLDAERVYRGLSTILEGEADLDFACIMVQALNLILLTSSELSVLRELLKQSLVNAAGKDLFVSLYASWCHSPMAILSLCLLAQAYQHASAVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQEGIYGC >KJB15354 pep chromosome:Graimondii2_0_v6:2:43739378:43746740:1 gene:B456_002G172300 transcript:KJB15354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLALSGDHEKISAVIKLLAKEFTYSPQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSLSDQDSRVRYYACEALYNIAKVVRGDLIIFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSPEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRSIKSDPAETFDVGAILYIARRQLDSEWEATRIEALHWISTLLDRHRAEVLCFLNDIFDTLLKALSDSSDEVVLLVLDIHACIARDPQHFRQLIVFLVHNFRVDHSLLERRGALIIRRLCVLLDAERVYRGLSTILEGEADLDFACIMVQALNLILLTSSELSVLRELLKQSLVNAAGKDLFVSLYASWCHSPMAILSLCLLAQAYQHASAVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQVPK >KJB15353 pep chromosome:Graimondii2_0_v6:2:43739378:43746008:1 gene:B456_002G172300 transcript:KJB15353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLALSGDHEKISAVIKLLAKEFTYSPQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSLSDQDSRVRYYACEALYNIAKVVRGDLIIFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSPEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRSIKSDPAETFDVGAILYIARRQLDSEWEATRIEALHWISTLLDRHRAEVLCFLNDIFDTLLKALSDSSDEVVLLVLDIHACIARDPQHFRQLIVFLVHNFRVDHSLLERRGALIIRRLCVLLDAERVYRGLSTILEGEADLDFACIMVQVLSCV >KJB12949 pep chromosome:Graimondii2_0_v6:2:3862961:3871820:-1 gene:B456_002G046400 transcript:KJB12949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNMHYEEGDVVWCHDYHLMYLPECLKNHNNNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGIEGTPEGVEDQGRLTRVAAFPIGIDSLRFQNALLVPQVQEHIKELKERFSGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQEAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIAQALNMSAEEREKRHQHNFHHVTKHTAQEWAEMFVSELNDTVVEAQLRTSKEPPELPQNDAVERYLLSGNRLLILGFNSTLTEPVDTSGNRSDQIREKNPNLHPELKEHLTALCNDKKTTIVVLSGSKSEVLDKNFAEYDMWLAAENGMFLRHTKGDWMTTMPEHLNMEWVDSLKHVFEYFTERTPRSEFEIRTTSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRPVGVTKGAAIDRILGEIVHNTSMTTPIDYVLCVGHFLGKDEDVYTFFEPELPSDVNMAKCKPIDAPKLPTEKKAPSKLPATKSGPKSSQTKTPKPSPAPDKRTGNNHSGGSSRRASPEKVKEKEKMAWSVLDLKGDNYFSCAVGRKRTSARYLLGSSDDVVSFLSRLAKGT >KJB12953 pep chromosome:Graimondii2_0_v6:2:3862427:3872490:-1 gene:B456_002G046400 transcript:KJB12953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNKYNGNSPHIPTRTERLLRDRELREQRKSNRTSHLDEVIDIRKGAEVSENGPRFREGDNSRAAFVEKYLEDAAVARALTEGCERQDGRPFRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVTALLGVKEFETRWIGWAGVNVPDEIGQKALTKALAEKWCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNMHYEEGDVVWCHDYHLMYLPECLKNHNNNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGIEGTPEGVEDQGRLTRVAAFPIGIDSLRFQNALLVPQVQEHIKELKERFSGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQEAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIAQALNMSAEEREKRHQHNFHHVTKHTAQEWAEMFVSELNDTVVEAQLRTSKEPPELPQNDAVERYLLSGNRLLILGFNSTLTEPVDTSGNRSDQIREKNPNLHPELKEHLTALCNDKKTTIVVLSGSKSEVLDKNFAEYDMWLAAENGMFLRHTKGDWMTTMPEHLNMEWVDSLKHVFEYFTERTPRSEFEIRTTSLVWNYKC >KJB12951 pep chromosome:Graimondii2_0_v6:2:3862389:3880486:-1 gene:B456_002G046400 transcript:KJB12951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNKYNGNSPHIPTRTERLLRDRELREQRKSNRTSHLDEVIDIRKGAEVSENGPRFREGDNSRAAFVEKYLEDAAVARALTEGCERQDGRPFRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVTALLGVKEFETRWIGWAGVNVPDEIGQKALTKALAEKWCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNMHYEEGDVVWCHDYHLMYLPECLKNHNNNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGIEGTPEGVEDQGRLTRVAAFPIGIDSLRFQNALLVPQVQEHIKELKERFSGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQEAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIAQALNMSAEEREKRHQHNFHHVTKHTAQEWAEMFVSELNDTVVEAQLRTSKEPPELPQNDAVERYLLSGNRLLILGFNSTLTEPVDTSGNRSDQIREKNPNLHPELKEHLTALCNDKKTTIVVLSGSKSEVLDKNFAEYDMWLAAENGMFLRHTKGDWMTTMPEHLNMEWVDSLKHVFEYFTERTPRSEFEIRTTSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRPVGVTKGAAIDRILGEIVHNTSMTTPIDYVLCVGHFLGKDEDVYTFFEPELPSDVNMAKCKPIDAPKLPTEKKAPSKLPATKSGPKSSQTKTPKPSPAPDKRTGNNHSGGSSRRASPEKVKEKEKMAWSVLDLKGDNYFSCAVGRKRTSARYLLGSSDDVVSFLSRLAKGT >KJB12950 pep chromosome:Graimondii2_0_v6:2:3862961:3872481:-1 gene:B456_002G046400 transcript:KJB12950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNKYNGNSPHIPTRTERLLRDRELREQRKSNRTSHLDEVIDIRKGAEVSENGPRFREGDNSRAAFVEKYLEDAAVARALTEGCERQDGRPFRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVTALLGVKEFETRWIGWAGVNVPDEIGQKALTKALAEKWCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNMHYEEGDVVWCHDYHLMYLPECLKNHNNNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGIEGTPEGVEDQGRLTRVAAFPIGIDSLRFQNALLVPQVQEHIKELKERFSGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQEAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIAQALNMSAEEREKRHQHNFHHVTKHTAQEWAEMFVSELNDTVVEAQLRTSKEPPELPQNDAVERYLLSGNRLLILGFNSTLTEPVDTSGNRSDQIREKNPNLHPELKEHLTALCNDKKTTIVVLSGSKSEVLDKNFAEYDMWLAAENGMFLRHTKGDWMTTMPEHLNMEWVDSLKHVFEYFTERTPRSEFEIRTTSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRPVGVTKGAAIDRILGEIVHNTSMTTPIDYVLCVGHFLGKDEDVYTFFEPELPSDVNMAKCKPIDAPKLPTEKKAPSKLPATKSGPKSSQTKTPKPSPAPDKRTGNNHSGGSSRRASPEKVKEKEKMAWSVLDLKGDNYFSCAVGRKRTSARYLLGSSDDVVSFLSRLAKGT >KJB12952 pep chromosome:Graimondii2_0_v6:2:3862409:3872716:-1 gene:B456_002G046400 transcript:KJB12952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNKYNGNSPHIPTRTERLLRDRELREQRKSNRTSHLDEVIDIRKGAEVSENGPRFREGDNSRAAFVEKYLEDAAVARALTEGCERQDGRPFRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVTALLGVKEFETRWIGWAGVNVPDEIGQKALTKALAEKWCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNMHYEEGDVVWCHDYHLMYLPECLKNHNNNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGIEGTPEGVEDQGRLTRVAAFPIGIDSLRFQNALLVPQVQEHIKELKERFSGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQEAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIAQALNMSAEEREKRHQHNFHHVTKHTAQEWAEMFVSELNDTVVEAQLRTSKEPPELPQNDAVERYLLSGNRLLILGFNSTLTEPVDTSGNRSDQIREKNPNLHPELKEHLTALCNDKKTTIVVLSGSKSEVLDKNFAEYDMWLAAENGMFLRHTKGDWMTTMPEHLNMEWVDSLKHVFEYFTERTPRSEFEIRTTSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRPVGVTKGAAIDRILGEIVHNTSMTTPIDYVLCVGHFLGKDEDVYTFFEPELPSDVNMAKCKPIDAPKLPTEKKAPSKLPATKSGPKSSQTKTPKPSPAPDKRTGNNHSGGSSRRASPEKVKEKEKMAWSVLDLKGDNYFSCAVGRKRTSARYLLGSSDDVVSFLSRLAKGT >KJB12954 pep chromosome:Graimondii2_0_v6:2:3863851:3872481:-1 gene:B456_002G046400 transcript:KJB12954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNKYNGNSPHIPTRTERLLRDRELREQRKSNRTSHLDEVIDIRKGAEVSENGPRFREGDNSRAAFVEKYLEDAAVARALTEGCERQDGRPFRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVTALLGVKEFETRWIGWAGVNVPDEIGQKALTKALAEKWCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNMHYEEGDVVWCHDYHLMYLPECLKNHNNNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGIEGTPEGVEDQGRLTRVAAFPIGIDSLRFQNALLVPQVQEHIKELKERFSGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQEAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIAQALNMSAEEREKRHQHNFHHVTKHTAQEWAEMFVSELNDTVVEAQLRTSKEPPELPQNDAVERYLLSGNRLLILGFNSTLTEPVDTSGNRSDQIREKNPNLHPELKEHLTALCNDKKTTIVVLSGSKSEVLDKNFAEYDMWLAAENGMFLRHTKGDWMTTMPEHLNMEWVDSLKHVFEYFTERTPRSEFEIRTTSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRPVGVTKVTSCSPHIDFVCSI >KJB12948 pep chromosome:Graimondii2_0_v6:2:3862409:3877738:-1 gene:B456_002G046400 transcript:KJB12948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNKYNGNSPHIPTRTERLLRDRELREQRKSNRTSHLDEVIDIRKGAEVSENGPRFREGDNSRAAFVEKYLEDAAVARALTEGCERQDGRPFRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVTALLGVKEFETRWIGWAGVNVPDEIGQKALTKALAEKWCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNMHYEEGDVVWCHDYHLMYLPECLKNHNNNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGIEGTPEGVEDQGRLTRVAAFPIGIDSLRFQNALLVPQVQEHIKELKERFSGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQEAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIAQALNMSAEEREKRHQHNFHHVTKHTAQEWAEMFVSELNDTVVEAQLRTSKEPPELPQNDAVERYLLSGNRLLILGFNSTLTEPVDTSGNRSDQIREKNPNLHPELKEHLTALCNDKKTTIVVLSGSKSEVLDKNFAEYDMWLAAENGMFLRHTKGDWMTTMPEHLNMEWVDSLKHVFEYFTERTPRSEFEIRTTSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRPVGVTKGAAIDRILGEIVHNTSMTTPIDYVLCVGHFLGKDEDVYTFFEPELPSDVNMAKCKPIDAPKLPTEKKAPSKLPATKSGPKSSQTKTPKPSPAPDKRTGNNHSGGSSRRASPEKVKEKEKMAWSVLDLKGDNYFSCAVGRKRTSARYLLGSSDDVVSFLSRLAKGT >KJB15580 pep chromosome:Graimondii2_0_v6:2:49178689:49188042:-1 gene:B456_002G185300 transcript:KJB15580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNEFRFFLSCDINLPVTFRVDRLEGTLPSPNSPNSGTDSTTEERKGELYVECALYIDGAPFGLPTKTRLESAGPLYCWNELITLSTKYRDLTAHSQLALTVWDLSCGKDDGLIGGATILLFNNKMQLKTGKQKLRLWLGKQADGSFPTSTPGKVPRQERGELERLEKLANKYERGQIQPVDWLDRLTFKAMEKIKDRESLKNGSSHLYLVVDFCSFEHRVVFQESGANFLLPSPIASSNELVTVWDPEVGKINPSEHKQLKLARSLTRGIIDRDLKPSSNERKSIQRILKYPPTRALSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQAIELMGKWEMIDVCDALELLSPLFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERTDKSRLSQFLVQRSLRNIELASFLRWFVAVELHDPAYAKRFYSTYEFLEENMIKLTAGVNGEEDGFKMWQSLVRQTELTAQLCSIMRDVRNVRGNTQKKIEKLRQLLSGLLSELTYFDEPIRSPLAPSVLITGIVPSESSIFKSALHPLRLTFRTANGGQSKVIFKKGDDIRQDQLVVQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMLEFIPSRSLAQILSDHRSIISYLQKFHPDEHGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFHLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEACIHFFQDLINESVSALFPQMVETIHRWAQYWR >KJB15579 pep chromosome:Graimondii2_0_v6:2:49178708:49188042:-1 gene:B456_002G185300 transcript:KJB15579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNEFRFFLSCDINLPVTFRVDRLEGTLPSPNSPNSGTDSTTEERKGELYVECALYIDGAPFGLPTKTRLESAGPLYCWNELITLSTKYRDLTAHSQLALTVWDLSCGKDDGLIGGATILLFNNKMQLKTGKQKLRLWLGKQADGSFPTSTPGKVPRQERGELERLEKLANKYERGQIQPVDWLDRLTFKAMEKIKDRESLKNGSSHLYLVVDFCSFEHRVVFQESGANFLLPSPIASSNELVTVWDPEVGKINPSEHKQLKLARSLTRGIIDRDLKPSSNERKSIQRILKYPPTRALSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQAIELMGKWEMIDVCDALELLSPLFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERTDKSRLSQFLVQRSLRNIELASFLRWFVAVELHDPAYAKRFYSTYEFLEENMIKLTAGVNGEEDGFKMWQSLVRQTELTAQLCSIMRDVRNVRGNTQKKIEKLRQLLSGLLSELTYFDEPIRSPLAPSVLITGIVPSESSIFKSALHPLRLTFRTANGGQSKVIFKKGDDIRQDQLVVQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMLEFIPSRSLAQILSDHRSIISYLQKFHPDEHGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFHLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEACIHFFQDLINESVSALFPQMVETIHRWAQYWR >KJB15581 pep chromosome:Graimondii2_0_v6:2:49178866:49188042:-1 gene:B456_002G185300 transcript:KJB15581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNEFRFFLSCDINLPVTFRVDRLEGTLPSPNSPNSGTDSTTEERKGELYVECALYIDGAPFGLPTKTRLESAGPLYCWNELITLSTKYRDLTAHSQLALTVWDLSCGKDDGLIGGATILLFNNKMQLKTGKQKLRLWLGKQADGSFPTSTPGKVPRQERGELERLEKLANKYERGQIQPVDWLDRLTFKAMEKIKDRESLKNGSSHLYLVVDFCSFEHRVVFQESGANFLLPSPIASSNELVTVWDPEVGKINPSEHKQLKLARSLTRGIIDRDLKPSSNERKSIQRILKYPPTRALSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQAIELMGKWEMIDVCDALELLSPLFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERTDKSRLSQFLVQRSLRNIELASFLRWFVAVELHDPAYAKRFYSTYEFLEENMIKLTAGVNGEEDGFKMWQSLVRQTELTAQLCSIMRDVRNVRGNTQKKIEKLRQLLSGLLSELTYFDEPIRSPLAPSVLITGIVPSESSIFKSALHPLRLTFRTANGGQSKVIFKKGDDIRQDQLVVQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMLEFIPSRSLAQILSDHRSIISYLQKFHPDEHGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFHLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEACIHFFQDLINESVSALFPQMVETIHRWAQYWR >KJB14003 pep chromosome:Graimondii2_0_v6:2:13919745:13927634:1 gene:B456_002G105900 transcript:KJB14003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGWWRFGKWTVLIMFLHIIAILIFTKGFLLTRTELPHSSHCSDLSQSPCHSSPSSSSCWTKPAVDRLIIIVLDALRFDFVAPSSFFEEKQPWMDKLKVLQRMASENPSSAKIFKAIADPPTTSLQRLKGLTTGALPTFIDVGNSFGAPAIVEDNFISQLIQNGKRVVMMGDDTWTQLFPNHFNKSYPYPSFNVKDLNTVDNGCIEHLLPSLYQQDWDVLIAHFLGVDHAGHIHGVDSTLMIEKLEQYNAVLEKVIKELQNQSGPGKLHENSLLLVMGDHGQTLNGDHGGGSPEEVETSIFAMSFKDLPSLPSEFDSSSCEVDLSFPHSFNICWRLLGGIEIFFLLGCCCVPLLITVEKEKEKSLNTLTLEKTLTPSKNICISSIPQVDFAVTVSSLLGVPFPFGSIGRVNPELYALAVCTWNLDDDKTWNNQDQTKLEEWLQNYANVLCINSWQVKRYIDVYSASSVIGFSSEDLFRISDLYSKADENWSYTKNLSSYKNGSSNTSLPVLKRQIVAYSNFLSYVAELARSKWTEFNLKMMGTGIGIMLLSLYCHTLAIKKLNKSYGVSLLSSRDSGISFGLMLTFFMVLIRAGSLLSNSYILKEGKAANFLLATTGIMTLRYSFMKNKMLIEAAIFLLLTFIFRIAIEVGLFKQAATSQFMSSSSSWMLGISISQSLWTYMAEIMPILALIVLGYFLYKSISSCYWGIPKYVIMGTILCYFLVALHWISESNILDLAHFLKGFGKNCIPRTVYAITLVQLSLLAIVPMFCKEETSNCRGSLFVKMMSILSACSSTIIILQGKQGALVALGSLLAGYCMMRLQGIEQHTVSGTAGISILDPLPVLQWSLLAVCLLFASGHWCAFDGLRYGAAFVGFDEFILIPQAILLTIDTFGFSHILSVFGLPLFVAFPSSFNQTEHKSLFPIKLFWIFMVYGLITAITATATILCVTIQRRHLMVWGLFAPKFVFDVVGLILTDLLIFVASIYYFNGLEDRIGNAELRRLW >KJB14004 pep chromosome:Graimondii2_0_v6:2:13919745:13927690:1 gene:B456_002G105900 transcript:KJB14004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGWWRFGKWTVLIMFLHIIAILIFTKGFLLTRTELPHSSHCSDLSQSPCHSSPSSSSCWTKPAVDRLIIIVLDALRFDFVAPSSFFEEKQPWMDKLKVLQRMASENPSSAKIFKAIADPPTTSLQRLKGLTTGALPTFIDVGNSFGAPAIVEDNFISQLIQNGKRVVMMGDDTWTQLFPNHFNKSYPYPSFNVKDLNTVDNGCIEHLLPSLYQQDWDVLIAHFLGVDHAGHIHGVDSTLMIEKLEQYNAVLEKVIKELQNQSGPGKLHENSLLLVMGDHGQTLNGDHGGGSPEEVETSIFAMSFKDLPSLPSEFDSSSCEPSKNICISSIPQVDFAVTVSSLLGVPFPFGSIGRVNPELYALAVCTWNLDDDKTWNNQDQTKLEEWLQNYANVLCINSWQVKRYIDVYSASSVIGFSSEDLFRISDLYSKADENWSYTKNLSSYKNGSSNTSLPVLKRQIVAYSNFLSYVAELARSKWTEFNLKMMGTGIGIMLLSLYCHTLAIKKLNKSYGVSLLSSRDSGISFGLMLTFFMVLIRAGSLLSNSYILKEGKAANFLLATTGIMTLRYSFMKNKMLIEAAIFLLLTFIFRIAIEVGLFKQAATSQFMSSSSSWMLGISISQSLWTYMAEIMPILALIVLGYFLYKSISSCYWGIPKYVIMGTILCYFLVALHWISESNILDLAHFLKGFGKNCIPRTVYAITLVQLSLLAIVPMFCKEETSNCRGSLFVKMMSILSACSSTIIILQGKQGALVALGSLLAGYCMMRLQGIEQHTVSGTAGISILDPLPVLQWSLLAVCLLFASGHWCAFDGLRYGAAFVGFDEFILIPQAILLTIDTFGFSHILSVFGLPLFVAFPSSFNQTEHKSLFPIKLFWIFMVYGLITAITATATILCVTIQRRHLMVWGLFAPKFVFDVVGLILTDLLIFVASIYYFNGLEDRIGNAELRRLW >KJB14005 pep chromosome:Graimondii2_0_v6:2:13920049:13927164:1 gene:B456_002G105900 transcript:KJB14005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGWWRFGKWTVLIMFLHIIAILIFTKGFLLTRTELPHSSHCSDLSQSPCHSSPSSSSCWTKPAVDRLIIIVLDALRFDFVAPSSFFEEKQPWMDKLKVLQRMASENPSSAKIFKAIADPPTTSLQRLKGLTTGALPTFIDVGNSFGAPAIVEDNFISQLIQNGKRVVMMGDDTWTQLFPNHFNKSYPYPSFNVKDLNTVDNGCIEHLLPSLYQQDWDVLIAHFLGVDHAGHIHGVDSTLMIEKLEQYNAVLEKVIKELQNQSGPGKLHENSLLLVMGDHGQTLNGDHGGGSPEEVETSIFAMSFKDLPSLPSEFDSSSCEVDLSFPHSFNICWRLLGGIEIFFLLGCCCVPLLITVEKEKEKSLNTLTLEKTLTPSKNICISSIPQVDFAVTVSSLLGVPFPFGSIGRVNPELYALAVCTWNLDDDKTWNNQDQTKLEEWLQNYANVLCINSWQVKRYIDVYSASSVIGFSSEDLFRISDLYSKADENWSYTKNLSSYKNGSSNTSLPVLKRQIVAYSNFLSYVAELARSKWTEFNLKMMGTGIGIMLLSLYCHTLAIKKLNKSYGVSLLSSRDSGISFGLMLTFFMVLIRAGSLLSNSYILKEGKAANFLLATTGIMTLRYSFMKNKMLIEVSAAIFLLLTFIFRIAIEVGLFKQAATSQFMSSSSSWMLGISISQSLWTYMAEIMPILALIVLGYFLYKSISSCYWGIPKYVIMGTILCYFLVALHWISESNILDLAHFLKGFGKNCIPRTVYAITLVQLSLLAIVPMFCKEETSNCRGSLFVKMMSILSACSSTIIILQGKQGALVALGSLLAGYCMMRLQGIEQHTVSGTAGISILDPLPVLQWSLLAVCLLFASGHWCAFDGLRYGAAFVGFDEFILIPQAILLTIDTFGFSHILSVFGLPLFVAFPSSFNQTEHKSLFPIKLFWIFMVYGLITAITATATILCVTIQRRHLMVWGLFAPKFVFDVVGLILTDLLIFVASIYYFNGLEDRIGNAELRRLW >KJB14978 pep chromosome:Graimondii2_0_v6:2:31276800:31277140:-1 gene:B456_002G153000 transcript:KJB14978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVCFSKDIMIMFVALVLSVATIVSAQDSAMAPAPSMDTGSAFSTPVTGVAVTLSLIISLLALLKQ >KJB15662 pep chromosome:Graimondii2_0_v6:2:50984886:50985299:1 gene:B456_002G189200 transcript:KJB15662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISPLPLLSLDCNDLMSESKRRLKVKKQRVWGKTVKRHWRCRRRSRSMLMKRIPEGCTRTLNPFQKKVKTLKKLIPNKESMGLGGLFRDTAEYIMCLQKRVKVMQIMVKVLTGSNE >KJB16037 pep chromosome:Graimondii2_0_v6:2:55655302:55660796:1 gene:B456_002G209500 transcript:KJB16037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKLPVTHQKASTFGFSHLISSNKDVSKLGYFSINSASRHKLRFKFVGAHGDRWKHTNAVQESINSWLSKTQHFLTEVTLPLVKTGQIGKPDPGNEVDTQDMDDIFLGEQTITGSMPNGNLSVTAIVSIEQFSRLNGLTGQKMQNIFKALVPKPVYDDARNLVEYCCFRFLSRDASALHPCLKEPAFQRLIFITMIAWENPYSGKNDLRAHASRKASFQGKLVGEEAFTRIAPAIPGVADHPTVHNLFKALAGDEKGISLRVWLTYIHELLKVHEARKSYQIHEYPQLSEERILYTGSSRKQPVLKWENNMAWPGKLTLTDKALYFEAVGIQGPKEAIRLDLTEHGLQVKKVKVGPFNSGVFDSGVAVSSGPGSKWVLEFVDLGGELRRDVWHAFISEIIALHKFLSEYGPDDDDQSLFQVFGSHKGKDKATTGAINGIARLQALQSLRKLLDDPIKLVQFSFLQNAPRGDAVFQTLAVNYWGGHLVAELTDAGYPQAQGMSPCTEEYEISDHVFDIDGSVYLRKWMRSPSWGSSASIGFWKHSSVRQAVVLNKNLVIADETLVERAATICKQKYQAAERTQATIDAAKLQGIPSNIDLFKELILPFALIARNFEKLKRWEEPHLTLSFLAFTYTIIFRSSG >KJB16036 pep chromosome:Graimondii2_0_v6:2:55655046:55661007:1 gene:B456_002G209500 transcript:KJB16036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKLPVTHQKASTFGFSHLISSNKDVSKLGYFSINSASRHKLRFKFVGAHGDRWKHTNAVQESINSWLSKTQHFLTEVTLPLVKTGQIGKPDPGNEVDTQDMDDIFLGEQTITGSMPNGNLSVTAIVSIEQFSRLNGLTGQKMQNIFKALVPKPVYDDARNLVEYCCFRFLSRDASALHPCLKEPAFQRLIFITMIAWENPYSGKNDLRAHASRKASFQGKLVGEEAFTRIAPAIPGVADHPTVHNLFKALAGDEKGISLRVWLTYIHELLKVHEARKSYQIHEYPQLSEERILYTGSSRKQPVLKWENNMAWPGKLTLTDKALYFEAVGIQGPKEAIRLDLTEHGLQVKKVKVGPFNSGVFDSGVAVSSGPGSKWVLEFVDLGGELRRDVWHAFISEIIALHKFLSEYGPDDDDQSLFQVFGSHKGKDKATTGAINGIARLQALQSLRKLLDDPIKLVQFSFLQNAPRGDAVFQTLAVNYWGGHLVAELTDAGYPQAQGMSPCTEEYEISDHVFDIDGSVYLRKWMRSPSWGSSASIGFWKHSSVRQAVVLNKNLVIADETLVERAATICKQKYQAAERTQATIDAAKLQGIPSNIDLFKELILPFALIARNFEKLKRWEEPHLTLSFLAFTYTIIFRNLLPYVFPATLIVLAIGMLTLKGLKEQGRLGRSFGKVTVCDQPPSNTIQKIVALKDAMRDVECYLQNLNVTLLKLRTILLAGQPQVTTEVALVLLSSATILLIVPFKYVIAFLLCDLFTQELKFRREMVKKFLSFLKERWLTVPAAPVIVLPFEDRESGAANQRSQMDRKSNQKEGRSVTRLESF >KJB16909 pep chromosome:Graimondii2_0_v6:2:61676075:61677079:1 gene:B456_002G253900 transcript:KJB16909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCPYLFPHGASNLTNSKDSTSSIRVKHNQPIRNHLSSICKQSHLPLNPQVTHSPIPRVLSFLIPFSKNPRFPHSLYPMAPKVGEKKPAEKKPAEKAPAEKRPKAEKKISKEGGADKKKKKVKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KJB13032 pep chromosome:Graimondii2_0_v6:2:4660875:4663554:1 gene:B456_002G052600 transcript:KJB13032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIASQFGKLTSLTHLNISESGFKGKIPLAISYLSKLLSLDLSDNHGLIFEGHVFENIVENLTQLRHLHLSNIDMSSELPDSVGNLRSLEILDLGGGNLKGPIPASLGNLTQLEHLDLSHNNFDGPIPCSVFNFTQLQFLDLSKNKLVGSFPSKVSGLSQLSILRLHHNFLSGRVPSWFFTLPSLVELMLNSNKLNGNFELTKLSELSKLEVLSLSDNALSSFTSASNSNYSLPCLVSLQLSSCNISEFPNFVRNLEGLNFLNLSYNRIHVIEADMFLKFKGLQVLDLSHNIHLSVGNNSEVDLVLPWLSDLCMSSCNITELSNFLTTQESLMFLDLSNNNIQGRITEQENNWGSNLVNLDLSNNLLTALEYYPWKSIELLDLGSNLIEGPLLAPPPSTMAFLISNNRLNGEIPSSICNPESSYLILYLDLSYNNLSGAISKCLGLRYHSNLDLQMNKFYGNIPDFWVERNYGLQILNLNNNDFDGSLPKSLANCLGLEVLNLANNKINDTFPHWLGDLPRLKVLVLRSNYFHGQIAHSANESHFSTLQILDLSHNRFSGFLPTTYFRNFKGMMNLASVPMEYIGADRSYYIYSLALTMKGVEFMLERVLTIFAAIDMSSNRFEGTIPETVGNLISLKVLNLSHNHLTGHIPSSLGNLAQLESLDLSCNKLVGEIPSELTDLNFLEVLNLSENQLVGLIPQGKQFNTFLNDSYVGNTELCGFPVSKSCGHSDPPSAIFEEEEFDSAFGLDWKFVMMGYGCGLVFGFSAGYIMMTIRKPKWLVWMIQQAGNRVLRRFKKNR >KJB13264 pep chromosome:Graimondii2_0_v6:2:7777057:7785611:-1 gene:B456_002G066800 transcript:KJB13264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSPTSVSSSKRSDFRRKSGSRLRQKHKRLDAICEEEYNRNHGEGNKRDDVDGSGSADFELRRSSRVRKAPLILDVSPPPPRRRQKIRKNERFVRGGRNLGSVKQEEEEETITEALTLGSWRSRLRTRRKNVNAKVKVEERVLSRRKLFEDIGGNEDEDEEEEEEEEELGESDGGEMVALKSRRLGSIEASSGSQSEEKVEICGMEEETELEKEEIKGDGVVEAAPVLESKTNPGNNRGDEVVEGPVVLESEMSHGNGKIIDGNADEVDKDDREVSNCMQSEECIGHEILEVTGAIERVELTEEQVQQLECLSEGANEEDAVEVDNGPEEVEDVGLHDAKEDGLVNGDKKHSEFKNDMKVEKSNQAAAYMIGKSRIKQGRRCGLCGGGTDGKPPKKLVHDAGDSENEAYSTSASEEPDYDIWDGFGDEPGWLGRLLGPINDRYGIAGIWVHQQCAVWSPEVYFAGLGCLKKVRAALCRGRALKCSRCGRPGATIGCRVDRCPKTYHLPCARANGCIFDHRKFLIACTDHRYLFQPHGIKYLAQIKKMKAKKMKLEMRKLSNDAWRKDIEAEEKWLEHCGEDEEFLKREGKRLHRDLLRLAPVYIGGSESENGKSFEGWESVAGLHDVIQCMKEVVILPLLYPEFFDNLGLTPPRGVLLHGYPGTGKTLVVRALIGSCARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPRRTRQQDQTHSSVVSTLLALLDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSMEDRAAILELHTKRWPKPVTGSLLKWVARKTVGFAGADLQALCTQAAVISLKRNFPLQEILSTAEEKTPSAKRVPLPTVTVEERDWLEALSCSPPPCSRREAGMATQDLVASPLPTHLIPCLLEPLSTLLVSLHLDERLWLPPLLSKGGAVIESVVVSVLQDKRLPKDHWWFHVHDLLQDSEVSGEIERRLSHAGMLIGEFSFTDYDMGDVSDNGGTFEPSIVRNSGTCSSLSRNTYFTSTRKTGFRILIAGSPRSGQKHLAACLLHCFIGNIEIQKFDISTIAQEGNGDLIYGVTQILMKCASMGSSVLFMPRIDLWVVETTNQVSEESSSPSTFHQTPMEEDPQLVEKENGSSLQYELAGTAQATASVQSVSHAWSSFVEQVESICVSTSLIIVATSEVPYLELPERIRQFFQSGQPNCSHRTTREHTVPRFTVHVGNNFNRDMVIKLSAAQLSRDILQPFVHLIHQRSHVHKDSRTKNSVQTSGTAENDNASQGLACEKGVASEMCGELSVTVPAAPTNSRNLKGKSSLMLAISSFGFQILRYPHFAELCWVTSKLKEGPSADVAGPWKGWPFNSCIIRPTNLLDKAAVACGPSSSKSKGKFGLVRGLVAVGLSAYRGVYTSLREVSSEVRKVLELLVGWINEKVNTGKDRYQYVRILSQVAYLEDMVNSWAYSLQSLDQDVQIKAASLEPYDLGSPDNHFTCANDTDQVEECRPRSCPETEVANNEEFTMQNTNSIDLNKKDDHCASDHEGKLDLFEDAAQGIGISGNTTSEEHHNSSVANQLIVHVDKQNGATPGPCGSESTRNPTFEGELTMRNMDWIDLNKMDANGAPSQKGKIVAVDKAVDHISLGGNTISVEHHNYFAANDPVFLVDKQNGSNPGPSGSESPRNPVVEGDPESSKQSNGFAPNGPVLSENGFCSSDELDGAKLPASAKACDTETTITSEDGKPTGHERKEVPNFSSSETALPTESVVTCFYHCCSDCLHSLPCLMQKVLLKEWKSNGSDLTVDDVHDTVALLSVDLLSTVRKLFAARYSSNKFDENLRKENPRKLSHRPEWSICQCESSENSLVIPKECSCHTVGNTSPNIQFGFDPKFVYRDGVLVPIDSNKEVSFHCKFGTLCLCSLIESILMTKQPFD >KJB13263 pep chromosome:Graimondii2_0_v6:2:7778797:7785207:-1 gene:B456_002G066800 transcript:KJB13263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSPTSVSSSKRSDFRRKSGSRLRQKHKRLDAICEEEYNRNHGEGNKRDDVDGSGSADFELRRSSRVRKAPLILDVSPPPPRRRQKIRKNERFVRGGRNLGSVKQEEEEETITEALTLGSWRSRLRTRRKNVNAKVKVEERVLSRRKLFEDIGGNEDEDEEEEEEEEELGESDGGEMVALKSRRLGSIEASSGSQSEEKVEICGMEEETELEKEEIKGDGVVEAAPVLESKTNPGNNRGDEVVEGPVVLESEMSHGNGKIIDGNADEVDKDDREVSNCMQSEECIGHEILEVTGAIERVELTEEQVQQLECLSEGANEEDAVEVDNGPEEVEDVGLHDAKEDGLVNGDKKHSEFKNDMKVEKSNQAAAYMIGKSRIKQGRRCGLCGGGTDGKPPKKLVHDAGDSENEAYSTSASEEPDYDIWDGFGDEPGWLGRLLGPINDRYGIAGIWVHQQCAVWSPEVYFAGLGCLKKVRAALCRGRALKCSRCGRPGATIGCRVDRCPKTYHLPCARANGCIFDHRKFLIACTDHRYLFQPHGIKYLAQIKKMKAKKMKLEMRKLSNDAWRKDIEAEEKWLEHCGEDEEFLKREGKRLHRDLLRLAPVYIGGSESENGKSFEGWESVAGLHDVIQCMKEVVILPLLYPEFFDNLGLTPPRGVLLHGYPGTGKTLVVRALIGSCARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPRRTRQQDQTHSSVVSTLLALLDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSMEDRAAILELHTKRWPKPVTGSLLKWVARKTVGFAGADLQALCTQAAVISLKRNFPLQEILSTAEEKTPSAKRVPLPTVTVEERDWLEALSCSPPPCSRREAGMATQDLVASPLPTHLIPCLLEPLSTLLVSLHLDERLWLPPLLSKGGAVIESVVVSVLQDKRLPKDHWWFHVHDLLQDSEVSGEIERRLSHAGMLIGEFSFTDYDMGDVSDNGGTFEPSIVRNSGTCSSLSRNTYFTSTRKTGFRILIAGSPRSGQKHLAACLLHCFIGNIEIQKFDISTIAQEGNGDLIYGVTQILMKCASMGSSVLFMPRIDLWVVETTNQVSEESSSPSTFHQTPMEEDPQLVEKENGSSLQYELAGTAQATASVQSVSHAWSSFVEQVESICVSTSLIIVATSEVPYLELPERIRQFFQSGQPNCSHRTTREHTVPRFTVHVGNNFNRDMVIKLSAAQLSRDILQPFVHLIHQRSHVHKDSRTKNSVQTSGTAENDNASQGLACEKGVASEMCGELSVTVPAAPTNSRNLKGKSSLMLAISSFGFQILRYPHFAELCWVTSKLKEGPSADVAGPWKGWPFNSCIIRPTNLLDKAAVACGPSSSKSKGKFGLVRGLVAVGLSAYRGVYTSLREVSSEVRKVLELLVGWINEKVNTGKDRYQYVRILSQVAYLEDMVNSWAYSLQR >KJB13262 pep chromosome:Graimondii2_0_v6:2:7777037:7785650:-1 gene:B456_002G066800 transcript:KJB13262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSPTSVSSSKRSDFRRKSGSRLRQKHKRLDAICEEEYNRNHGEGNKRDDVDGSGSADFELRRSSRVRKAPLILDVSPPPPRRRQKIRKNERFVRGGRNLGSVKQEEEEETITEALTLGSWRSRLRTRRKNVNAKVKVEERVLSRRKLFEDIGGNEDEDEEEEEEEEELGESDGGEMVALKSRRLGSIEASSGSQSEEKVEICGMEEETELEKEEIKGDGVVEAAPVLESKTNPGNNRGDEVVEGPVVLESEMSHGNGKIIDGNADEVDKDDREVSNCMQSEECIGHEILEVTGAIERVELTEEQVQQLECLSEGANEEDAVEVDNGPEEVEDVGLHDAKEDGLVNGDKKHSEFKNDMKVEKSNQAAAYMIGKSRIKQGRRCGLCGGGTDGKPPKKLVHDAGDSENEAYSTSASEEPDYDIWDGFGDEPGWLGRLLGPINDRYGIAGIWVHQQCAVWSPEVYFAGLGCLKKVRAALCRGRALKCSRCGRPGATIGCRVDRCPKTYHLPCARANGCIFDHRKFLIACTDHRYLFQPHGIKYLAQIKKMKAKKMKLEMRKLSNDAWRKDIEAEEKWLEHCGEDEEFLKREGKRLHRDLLRLAPVYIGGSESENGKSFEGWESVAGLHDVIQCMKEVVILPLLYPEFFDNLGLTPPRGVLLHGYPGTGKTLVVRALIGSCARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPRRTRQQDQTHSSVVSTLLALLDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSMEDRAAILELHTKRWPKPVTGSLLKWVARKTVGFAGADLQALCTQAAVISLKRNFPLQEILSTAEEKTPSAKRVPLPTVTVEERDWLEALSCSPPPCSRREAGMATQDLVASPLPTHLIPCLLEPLSTLLVSLHLDERLWLPPLLSKGGAVIESVVVSVLQDKRLPKDHWWFHVHDLLQDSEVSGEIERRLSHAGMLIGEFSFTDYDMGDVSDNGGTFEPSIVRNSGTCSSLSRNTYFTSTRKTGFRILIAGSPRSGQKHLAACLLHCFIGNIEIQKFDISTIAQEGNGDLIYGVTQILMKCASMGSSVLFMPRIDLWVVETTNQVSEESSSPSTFHQTPMEEDPQLVEKENGSSLQYELAGTAQATASVQSVSHAWSSFVEQVESICVSTSLIIVATSEVPYLELPERIRQFFQSGQPNCSHRTTREHTVPRFTVHVGNNFNRDMVIKLSAAQLSRDILQPFVHLIHQRSHVHKDSRTKNSVQTSGTAENDNASQGLACEKGVASEMCGELSVTVPAAPTNSRNLKGKSSLMLAISSFGFQILRYPHFAELCWVTSKLKEGPSADVAGPWKGWPFNSCIIRPTNLLDKAAVACGPSSSKSKGKFGLVRGLVAVGLSAYRGVYTSLREVSSEVRKVLELLVGWINEKVNTGKDRYQYVRILSQVAYLEDMVNSWAYSLQSLDQDVQIKAASLEPYDLGSPDNHFTCANDTDQVEECRPRSCPETEVANNEEFTMQNTNSIDLNKKDDHCASDHEGKLDLFEDAAQGIGISGNTTSEEHHNSSVANQLIVHVDKQNGATPGPCGSESTRNPTFEGELTMRNMDWIDLNKMDANGAPSQKGKIVAVDKAVDHISLGGNTISVEHHNYFAANDPVFLVDKQNGSNPGPSGSESPRNPVVEGDPESSKQSNGFAPNGPVLSENGFCSSDELDGAKLPASAKACDTETTITSEDGKPTGHERKEVPNFSSSETALPTESVVTCFYHCCSDCLHSLPCLMQKVLLKEWKSNGSDLTVDDVHDTVALLSVDLLSTVRKLFAARYSSNKFDENLRKENPRKLSHRPEWSICQCESSENSLVIPKECSCHTVGNTSPNIQFGFDPKFVYRDGVLVPIDSNKEVSFHCKFGTLCLCSLIESILMTKQPFD >KJB15207 pep chromosome:Graimondii2_0_v6:2:39901757:39908411:-1 gene:B456_002G164700 transcript:KJB15207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETGVYQNFAKKESWRTVLILAYQSLGVVYGDLSTSPLYVYKSTFEEDIQHSETNEEIYGVLSFVFWTLTLVPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVNSLPNCQLADEELIEYKKDSIGLAPQSSFGSRLKSSLEKHRVLQRFLLVLALIGTCMVIGDGILTPAISVFSAVSGLELSMSKEHHKYVEVPVACIILIGLFALQHYGTHRVGFLFAPVVLVWLLCISAIGLYNIIHWNPHVYQALSPYYMYKFFRKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTFLVYPSLILAYMGQAAYLSQHHIIESDYRIGFYVSVPEKLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSSLGCFPRVKIIHTSSKIHGQIYIPEINWLLMVLCLAVTVGFRDTRRMGNASGLAVITVMLVTTCLMSLVIVLCWQKSVFLAIGFVFFFGTIEALYFTASLTKFLEGAWVPIALAFIFLIIMCVWHYGTLKKYEFDVQNKVSINWLLSLGPSLGIVRVRGIGLVHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVKPEERFLVGHIGPREYRLYRCIVRYGYRDVHKDDMEFEKDLVCSIAEFIRSESVAPGSVNEDLVKDDDKMTVVGTCSSHTEGVQMREDDADNIEAAGPSELKEIWSPPPVIKLRKRVRFIVPKSPEIDTGAREELQELMEAREAGIAYILGHSYVKAKQGSSLIKKLVINYGYEFLRRNSRLSTYALSVPHASTLEVGMIYHV >KJB16956 pep chromosome:Graimondii2_0_v6:2:61860042:61860657:1 gene:B456_002G256500 transcript:KJB16956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKHPKLEKPDQIVWSLEEEDVSIHSKSYTCSFCKKGFSNAQALGGHMNIHRKDRAKLRESFEENVLISSDITLGLVQVQDRYLISETILESSGEKKVPRDDDNGSGASPKGKDGVGENSRSSHGSSSQVELDLELRLGPDSRAS >KJB14099 pep chromosome:Graimondii2_0_v6:2:15169981:15172511:1 gene:B456_002G110200 transcript:KJB14099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQREKTQQQQQQQQQPVMGVVPGAGQMGYSTAYQTASMVASGTTGVAVPIQTQPPATFSSSPHQLAYQQAQHFHHQQQQLQMFWANQMHEIEQTADFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAITRTDVFDFLVDIIPRDELKEEGLGVTKATIPLVGSPADIPYYYVPQHPVGSPGMIMGKPIDQAALYPGQQPRPPMAFMPWPQGQPQQQQSEQQQSDS >KJB14097 pep chromosome:Graimondii2_0_v6:2:15170307:15172511:1 gene:B456_002G110200 transcript:KJB14097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQREKTQQQQQQQQQPVMGVVPGAGQMGYSTAYQTASMVASGTTGVAVPIQTQPPATFSSSPHQLAYQQAQHFHHQQQQLQMFWANQMHEIEQTADFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAITRTDVFDFLVDIIPRDELKEEGLGVTKATIPLVGSPADIPYYYVPQHPVGSPGMIMGKPIDQAALYPGQQPRPPMAFMPWPQGQPQQQQSEQQQSDS >KJB14098 pep chromosome:Graimondii2_0_v6:2:15170122:15172532:1 gene:B456_002G110200 transcript:KJB14098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQREKTQQQQQQQQQPVMGVVPGAGQMGYSTAYQTASMVASGTTGVAVPIQTQPPATFSSSPHQLAYQQAQHFHHQQQQLQMFWANQMHEIEQTADFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAITRTDVFDFLVDIIPRDELKEEGLGVTKATIPLVGSPADIPYYYVPQHPVGSPGMIMGKPIDQAALYPGQQPRPPMAFMPWPQGQPQQQQSEQQQSDS >KJB12131 pep chromosome:Graimondii2_0_v6:2:104076:106138:-1 gene:B456_002G002000 transcript:KJB12131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKGEVLKSRFKRVCVFCGSSTGKRKCYRDAAVELAQELVARKLDLVYGGGSTGLMGLVSQAVHHGGGNVLGIIPRTLMSKEISGETIGEVRAVADMHQRKAEMANHSDCFIALPGGYGTLGELLEVITWAQLGIHDKPVGLLNVDGYYNYLLTFIDKAVDDGFIKPSQRHIFVSAPNSKELVQKLEEYVPVHGVIAKASWEAEQLAFTATTTTLQTEITL >KJB12130 pep chromosome:Graimondii2_0_v6:2:104076:106091:-1 gene:B456_002G002000 transcript:KJB12130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKGEVLKSRFKRVCVFCGSSTGKRKCYRDAAVELAQELVARKLDLVYGGGSTGLMGLVSQAVHHGGGNVLGIIPRTLMSKEISGETIGEVRAVADMHQRKAEMANHSDCFIALPGGYGTLGELLEVITWAQLGIHDKPVGLLNVDGYYNYLLTFIDKAVDDGFIKPSQRHIFVSAPNSKELVQKLEEYVPVHGVIAKASWEAEQLAFTATTTTLQTEITL >KJB15129 pep chromosome:Graimondii2_0_v6:2:37632229:37637119:-1 gene:B456_002G162200 transcript:KJB15129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMENETQQSKFRRICVFCGSSQGKKSSYQDAAIELGRELVSRNIDLVYGGGSIGLMGLVSQAVRDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFMALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARQIIVSAPTAKKLVKKLEV >KJB13106 pep chromosome:Graimondii2_0_v6:2:6243707:6247791:1 gene:B456_002G058000 transcript:KJB13106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTTLTPCQATSHFNIRISHYYNQKQNQNQNVYINQTKSIHKAKSSLLSFAFSSLSAKSTSVIPATHFSTSGSSSSWLQDNTMNLDASSSGSGLREGPIYSVFPAKPAEVSSVQDLYEFICSGPLMDKVGLTAEKVAESIDKWLFYGSKLCRLFQLNELYLTVPEKARFYHYYIPVFLWCEDQISEHRSKFKDGEEIPPLVIGFSAPQGCGKTTLVFALDYLFRITNRKSATLSIDDFYLTAEGQAKLREENPGNALLELRGNAGSHDLPFSVETLTALTKLTKEGMRMKLPRYDKSAYRGKGDRADPSVWPEVEGQLTVILYEGWMLGFKPLPAEVVKAFDPQLETVNKNLEAYYDAWDKFIKAWIVIKIQDPSCVYRWRLQAEVAMREAGKPGMSDEEVPLHICYVIYYILSTSLLVKYSCLRDMGIEI >KJB13107 pep chromosome:Graimondii2_0_v6:2:6243707:6248692:1 gene:B456_002G058000 transcript:KJB13107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTTLTPCQATSHFNIRISHYYNQKQNQNQNVYINQTKSIHKAKSSLLSFAFSSLSAKSTSVIPATHFSTSGSSSSWLQDNTMNLDASSSGSGLREGPIYSVFPAKPAEVSSVQDLYEFICSGPLMDKVGLTAEKVAESIDKWLFYGSKLCRLFQLNELYLTVPEKARFYHYYIPVFLWCEDQISEHRSKFKDGEEIPPLVIGFSAPQGCGKTTLVFALDYLFRITNRKSATLSIDDFYLTAEGQAKLREENPGNALLELRGNAGSHDLPFSVETLTALTKLTKEGMRMKLPRYDKSAYRGKGDRADPSVWPEVEGQLTVILYEGWMLGFKPLPAEVVKAFDPQLETVNKNLEAYYDAWDKFIKAWIVIKIQDPSCVYRWRLQVWTLILKVITLRQ >KJB13105 pep chromosome:Graimondii2_0_v6:2:6243640:6248706:1 gene:B456_002G058000 transcript:KJB13105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAQPNRFAFGSFSSSSSSSTERETLKNMAALTTLTPCQATSHFNIRISHYYNQKQNQNQNVYINQTKSIHKAKSSLLSFAFSSLSAKSTSVIPATHFSTSGSSSSWLQDNTMNLDASSSGSGLREGPIYSVFPAKPAEVSSVQDLYEFICSGPLMDKVGLTAEKVAESIDKWLFYGSKLCRLFQLNELYLTVPEKARFYHYYIPVFLWCEDQISEHRSKFKDGEEIPPLVIGFSAPQGCGKTTLVFALDYLFRITNRKSATLSIDDFYLTAEGQAKLREENPGNALLELRGNAGSHDLPFSVETLTALTKLTKEGMRMKLPRYDKSAYRGKGDRADPSVWPEVEGQLTVILYEGWMLGFKPLPAEVVKAFDPQLETVNKNLEAYYDAWDKFIKAWIVIKIQDPSCVYRWRLQAEVAMREAGKPGMSDEEVEDFVSRYLPAYKAYLPTLYSEGPNGSDPNHLLVIEIDEGRNPILFE >KJB11885 pep chromosome:Graimondii2_0_v6:2:61949739:61960609:1 gene:B456_002G257600 transcript:KJB11885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTKFSSIEPHDRVIQRLAALGIPVEYLDRHYEGIVDFVSDNGLSLEIVFAILPTDEEMVESIQDARLKSKKWLCVSVENWFRESLVWLQWLMFRGEPVNAFRSLAKLSVDQRGVCAAVWGSNDIAYRCRTCEVDPTCAICVPCFQNGNHKGHDFSIFKTGGGCCDCGDETAWKHEGFCSKHKGAEQIQQLPETIADSVGLVLDVLFNFWRNKLFLAEGIFEGTTRESDSGNEQGKAANELTYVVVEMLLDFCNKSESLLSFVSKRMISLDGLLGILIRAERFIGDGVVKKLHELLLKLLAEPIFKNEFSKVFLSYYPTVINEAIKDGSGSILKSKYPLISTFSAQIFTVPTLTARLVKEMDLLGVLLKCLGNIFISCSQKDGHLKVTKLGSLYYTTFLVVEHIRFVMSHDVVSKYATHEQQDIVRTWLKLLAFVQGINLIKRETNLHIEEENDSIHLPFVLGSFIANIHSPLVDGAFSVAVSEGTNVLPYTFKQDMDDGDGVRHAKVGRLSQESSVCSLTGRSMSKVTEVESDSVSRLSVPSSAIWLIQECLRVLETWLEVDDGISAALQSIYSPNSNGISDRNLLAKKRIQYEIRGGKYFGKLTGSSENHCSESSSPVYNGHLASDDMEMETGTGTGLAVLPVLSLSEWPDIIYDVSSQEISVHIPLHRLLSLLLQNALKSCYGESVMPNITNSSCSPSLLSPTYPDFFGHILGGCHPFGFSASVMEHPLRIRVFCSQFLSGMWLKNGDAGLVSYKWSEEGLELDLFLLQCCAALAAPDLYVTRILERFGLLNYLSLNLERSNEYEPILVKEMLTLIMQILQERRFSGHSIADSLKREFIYKLAIGDATHSQLLKSLPCELSKFDQLQEILDKVAAYSNPSDLNQGMYSLHGVYWKELDLYHPRWSSRDLQVAEERYFRFCGVSAMTTQLPRWTKIYPPLEGVARIATCRVTLKIIRAVLFYFVFTDKCTESRASDGILMRALHLLSLALDIYLQQNGATDVERHIEDSYSMPVFAVEEIGESLNSAASKQSLLSLLVALMRMHQQVNRNSYLDSSNSSFSPLVESLLKKFAEVDSHCFAKLQLLAPEVVSCLSKSIPTTDSTSNMHKAKARERQAAIMAKMKVEQSKFLSSITSDADYDSNSEAEMPNSAPEHETEGAVQQSCSLCHDPTSKNPVSFLILLQKSRILSFVDRRCPSGDRWADKKQGSIPTNRVTDQSGSNGSSSSSGLSSPPFQLTENSVVESGDYEQAQCGEVNVILEFIKSWFPSLRSTQAPFSSSYMRDSSEYNLETLEEDMCIRIRKEMCDTSLSSSLKKDDVSFASGGSLGSSRDADCHGPGKYIASLSSKTSENSLGFENCNGDRELTESASKPFAYDGLGLLDCDGIHLSSCGHAVHQDCLDSYLSSLKERYARRSFFEGALILDLDKGEFLCPVCRQLANAILPAVNGRTGRQAMSVTVDPLPALGSPSASNEEICPLMLQQGLCLLKTAAKVVGRPDFIKALSRQRTESTSQDLEPISRALYKMNFSKNQEMLFGSSRLSHTIIIWDILKYSLMSTEVAARGLKTSMATNYTLTSLYKEFKSSSEFIFSLLLRVVQNLSNSNSLHALQRFRALQLFAQSICNGILSDYHSTRHKVEENLLRILKPDDKEALNPGIQFWNRAADSVLAHDPFSSLMWVLFSLPFPFLSCEESVLSLVHVFYVVSVIQAIITCRIQGYNVNELSSQHCLITDICNILGESDFARWYFISNEVELSCDIKDIIRRLSFPYLRRCALLWKMLKSSVRSPFCDSDNLWKLSHMMDATKTTSMELNEAQKLEKMFKIPPVDVILDNEVARLFALKWFRHFHNVYRTSSVQNVFYCNPVVPFKLLSLPHVYQDLFLRYISQCCPDCKTVVHEPALCLLCGRLCSSIWKPCCRESGCKSHAKSCGAGIGVFLLIKRTTILLQRCGRQAPWPSPYLDAFGEEDNEMHRGKPLYLNEERYAALTNMVASHGLDQSSKVLGQTTIGTFFAV >KJB11886 pep chromosome:Graimondii2_0_v6:2:61949739:61960575:1 gene:B456_002G257600 transcript:KJB11886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTKFSSIEPHDRVIQRLAALGIPVEYLDRHYEGIVDFVSDNGLSLEIVFAILPTDEEMVESIQDARLKSKKWLCVSVENWFRESLVWLQWLMFRGEPVNAFRSLAKLSVDQRGVCAAVWGSNDIAYRCRTCEVDPTCAICVPCFQNGNHKGHDFSIFKTGGGCCDCGDETAWKHEGFCSKHKGAEQIQQLPETIADSVGLVLDVLFNFWRNKLFLAEGIFEGTTRESDSGNEQGKAANELTYVVVEMLLDFCNKSESLLSFVSKRMISLDGLLGILIRAERFIGDGVVKKLHELLLKLLAEPIFKNEFSKVFLSYYPTVINEAIKDGSGSILKSKYPLISTFSAQIFTVPTLTARLVKEMDLLGVLLKCLGNIFISCSQKDGHLKVTKLGSLYYTTFLVVEHIRFVMSHDVVSKYATHEQQDIVRTWLKLLAFVQGINLIKRETNLHIEEENDSIHLPFVLGSFIANIHSPLVDGAFSVAVSEGTNVLPYTFKQDMDDGDGVRHAKVGRLSQESSVCSLTGRSMSKVTEVESDSVSRLSVPSSAIWLIQECLRVLETWLEVDDGISAALQSIYSPNSNGISDRNLLAKKRIQYEIRGGKYFGKLTGSSENHCSESSSPVYNGHLASDDMEMETGTGTGLAVLPVLSLSEWPDIIYDVSSQEISVHIPLHRLLSLLLQNALKSCYGESVMPNITNSSCSPSLLSPTYPDFFGHILGGCHPFGFSASVMEHPLRIRVFCSQFLSGMWLKNGDAGLVSYKWSEEGLELDLFLLQCCAALAAPDLYVTRILERFGLLNYLSLNLERSNEYEPILVKEMLTLIMQILQERRFSGHSIADSLKREFIYKLAIGDATHSQLLKSLPCELSKFDQLQEILDKVAAYSNPSDLNQGMYSLHGVYWKELDLYHPRWSSRDLQVAEERYFRFCGVSAMTTQLPRWTKIYPPLEGVARIATCRVTLKIIRAVLFYFVFTDKCTESRASDGILMRALHLLSLALDIYLQQNGATDVERHIEDSYSMPVFAVEEIGESLNSAASKQSLLSLLVALMRMHQQVNRNSYLDSSNSSFSPLVESLLKKFAEVDSHCFAKLQLLAPEVVSCLSKSIPTTDSTSNMHKAKARERQAAIMAKMKVEQSKFLSSITSDADYDSNSEAEMPNSAPEHETEGAVQQSCSLCHDPTSKNPVSFLILLQKSRILSFVDRRCPSGDRWADKKQGSIPTNRVTDQSGSNGSSSSSGLSSPPFQLTENSVVESGDYEQAQCGEVNVILEFIKSWFPSLRSTQAPFSSSYMRDSSEYNLETLEEDMCIRIRKEMCDTSLSSSLKKDDVSFASGGSLGSSRDADCHGPGKYIASLSSKTSENSLGFENCNGDRELTESASKPFAYDGLGLLDCDGIHLSSCGHAVHQDCLDSYLSSLKERYARRSFFEGALILDLDKGEFLCPVCRQLANAILPAVNGRTGRQAMSVTVDPLPALGSPSASNEEICPLMLQQGLCLLKTAAKVVGRPDFIKALSRQRTESTSQDLEPISRALYKMNFSKNQEMLFGSSRLSHTIIIWDILKYSLMSTEVAARGLKTSMATNYTLTSLYKEFKSSSEFIFSLLLRVVQNLSNSNSLHALQRFRALQLFAQSICNGILSDYHSTRHKVEENLLRILKPDDKEALNPGIQFWNRAADSVLAHDPFSSLMWVLFSLPFPFLSCEESVLSLVHVFYVVSVIQAIITCRIQGYNVNELSSQHCLITDICNILGESDFARWYFISNEVELSCDIKDIIRRLSFPYLRRCALLWKMLKSSVRSPFCDSDNLWKLSHMMDATKTTSMELNEAQKLEKMFKIPPVDVILDNEVARLFALKWFRHFHNVYRTSSVQNVFYCNPVVPFKLLSLPHVYQDLFLRYISQCCPDCKTVVHEPALCLLCGRLCSSIWKPCCRESGCKSHAKSCGAGIGVFLLIKRTTILLQRCGRQAPWPSPYLDAFGEEDNEMHRGKPLYLNEERYAALTNMVASHGLDQSSKVLGQTTIGTFFAV >KJB11884 pep chromosome:Graimondii2_0_v6:2:61949739:61960575:1 gene:B456_002G257600 transcript:KJB11884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTKFSSIEPHDRVIQRLAALGIPVEYLDRHYEGIVDFVSDNGLSLEIVFAILPTDEEMVESIQDARLKSKKWLCVSVENWFRESLVWLQWLMFRGEPVNAFRSLAKLSVDQRGVCAAVWGSNDIAYRCRTCEVDPTCAICVPCFQNGNHKGHDFSIFKTGGGCCDCGDETAWKHEGFCSKHKGAEQIQQLPETIADSVGLVLDVLFNFWRNKLFLAEGIFEGTTRESDSGNEQGKAANELTYVVVEMLLDFCNKSESLLSFVSKRMISLDGLLGILIRAERFIGDGVVKKLHELLLKLLAEPIFKNEFSKVFLSYYPTVINEAIKDGSGSILKSKYPLISTFSAQIFTVPTLTARLVKEMDLLGVLLKCLGNIFISCSQKDGHLKVTKLGSLYYTTFLVVEHIRFVMSHDVVSKYATHEQQDIVRTWLKLLAFVQGINLIKRETNLHIEEENDSIHLPFVLGSFIANIHSPLVDGAFSVAVSEGTNVLPYTFKQDMDDGDGVRHAKVGRLSQESSVCSLTGRSMSKVTEVESDSVSRLSVPSSAIWLIQECLRVLETWLEVDDGISAALQSIYSPNSNGISDRNLLAKKRIQYEIRGGKYFGKLTGSSENHCSESSSPVYNGHLASDDMEMETGTGTGLAVLPVLSLSEWPDIIYDVSSQEISVHIPLHRLLSLLLQNALKSCYGESVMPNITNSSCSPSLLSPTYPDFFGHILGGCHPFGFSASVMEHPLRIRVFCSQFLSGMWLKNGDAGLVSYKWSEEGLELDLFLLQCCAALAAPDLYVTRILERFGLLNYLSLNLERSNEYEPILVKEMLTLIMQILQERRFSGHSIADSLKREFIYKLAIGDATHSQLLKSLPCELSKFDQLQEILDKVAAYSNPSDLNQGMYSLHGVYWKELDLYHPRWSSRDLQVAEERYFRFCGVSAMTTQLPRWTKIYPPLEGVARIATCRVTLKIIRAVLFYFVFTDKCTESRASDGILMRALHLLSLALDIYLQQNGATDVERHIEDSYSMPVFAVEEIGESLNSAASKQSLLSLLVALMRMHQQVNRNSYLDSSNSSFSPLVESLLKKFAEVDSHCFAKLQLLAPEVVSCLSKSIPTTDSTSNMHKAKARERQAAIMAKMKVEQSKFLSSITSDADYDSNSEAEMPNSAPEHETEGAVQQSCSLCHDPTSKNPVSFLILLQKSRILSFVDRRCPSGDRWADKKQGSIPTNRVTDQSGSNGSSSSSGLSSPPFQLTENSVVESGDYEQAQCGEVNVILEFIKSWFPSLRSTQAPFSSSYMRDSSEYNLETLEEDMCIRIRKEMCDTSLSSSLKKDDVSFASGGSLGSSRDADCHGPGKYIASLSSKTSENSLGFENCNGDRELTESASKPFAYDGLGLLDCDGIHLSSCGHAVHQDCLDSYLSSLKERYARRSFFEGALILDLDKGEFLCPVCRQLANAILPAVNGRTGRQAMSVTVDPLPALGSPSASNEEICPLMLQQGLCLLKTAAKVVGRPDFIKALSRQRTESTSQDLEPISRALYKMNFSKNQEMLFGSSRLSHTIIIWDILKYSLMSTEVAARGLKTSMATNYTLTSLYKEFKSSSEFIFSLLLRVVQNLSNSNSLHALQRFRALQLFAQSICNGILSDYHSTRHKVEENLLRILKPDDKEALNPGIQFWNRAADSVLAHDPFSSLMWVLFSLPFPFLSCEESVLSLVHVFYVVSVIQAIITCRIQGYNVNELSSQHCLITDICNILGESDFARWYFISNEVELSCDIKDIIRRLSFPYLRRCALLWKMLKSSVRSPFCDSDNLWKLSHMMDATKTTSMELNEAQKLEKMFKIPPVDVILDNEVARLFALKWFRHFHNVYRTSSVQNVFYCNPVVPFKLLSLPHVYQDLFLRYISQCCPDCKTVVHEPALCLLCGRLCSSIWKPCCRESGCKSHAKSCGAGIGVFLLIKRTTILLQRCGRQAPWPSPYLDAFGEEDNEMHRGKPLYLNEERYAALTNMVASHGLDQSSKVLGQTTIGTFFAV >KJB11881 pep chromosome:Graimondii2_0_v6:2:61949861:61959535:1 gene:B456_002G257600 transcript:KJB11881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTKFSSIEPHDRVIQRLAALGIPVEYLDRHYEGIVDFVSDNGLSLEIVFAILPTDEEMVESIQDARLKSKKWLCVSVENWFRESLVWLQWLMFRGEPVNAFRSLAKLSVDQRGVCAAVWGSNDIAYRCRTCEVDPTCAICVPCFQNGNHKGHDFSIFKTGGGCCDCGDETAWKHEGFCSKHKGAEQIQQLPETIADSVGLVLDVLFNFWRNKLFLAEGIFEGTTRESDSGNEQGKAANELTYVVVEMLLDFCNKSESLLSFVSKRMISLDGLLGILIRAERFIGDGVVKKLHELLLKLLAEPIFKNEFSKVFLSYYPTVINEAIKDGSGSILKSKYPLISTFSAQIFTVPTLTARLVKEMDLLGVLLKCLGNIFISCSQKDGHLKVTKLGSLYYTTFLVVEHIRFVMSHDVVSKYATHEQQDIVRTWLKLLAFVQGINLIKRETNLHIEEENDSIHLPFVLGSFIANIHSPLVDGAFSVAVSEGTNVLPYTFKQDMDDGDGVRHAKVGRLSQESSVCSLTGRSMSKVTEVESDSVSRLSVPSSAIWLIQECLRVLETWLEVDDGISAALQSIYSPNSNGISDRNLLAKKRIQYEIRGGKYFGKLTGSSENHCSESSSPVYNGHLASDDMEMETGTGTGLAVLPVLSLSEWPDIIYDVSSQEISVHIPLHRLLSLLLQNALKSCYGESVMPNITNSSCSPSLLSPTYPDFFGHILGGCHPFGFSASVMEHPLRIRVFCSQFLSGMWLKNGDAGLVSYKWSEEGLELDLFLLQCCAALAAPDLYVTRILERFGLLNYLSLNLERSNEYEPILVKEMLTLIMQILQERRFSGHSIADSLKREFIYKLAIGDATHSQLLKSLPCELSKFDQLQEILDKVAAYSNPSDLNQGMYSLHGVYWKELDLYHPRWSSRDLQVAEERYFRFCGVSAMTTQLPRWTKIYPPLEGVARIATCRVTLKIIRAVLFYFVFTDKCTESRASDGILMRALHLLSLALDIYLQQNGATDVERHIEDSYSMPVFAVEEIGESLNSAASKQSLLSLLVALMRMHQQVNRNSYLDSSNSSFSPLVESLLKKFAEVDSHCFAKLQLLAPEVVSCLSKSIPTTDSTSNMHKAKARERQAAIMAKMKVEQSKFLSSITSDADYDSNSEAEMPNSAPEHETEGAVQQSCSLCHDPTSKNPVSFLILLQKSRILSFVDRRCPSGDRWADKKQGSIPTNRVTDQSGSNGSSSSSGLSSPPFQLTENSVVESGDYEQAQCGEVNVILEFIKSWFPSLRSTQAPFSSSYMRDSSEYNLETLEEDMCIRIRKEMCDTSLSSSLKKDDVSFASGGSLGSSRDADCHGPGKYIASLSSKTSENSLGFENCNGDRELTESASKPFAYDGLGLLDCDGIHLSSCGHAVHQDCLDSYLSSLKERYARRSFFEGALILDLDKGEFLCPVCRQLANAILPAVNGRTGRQAMSVTVDPLPALGSPSASNEEICPLMLQQGLCLLKTAAKVVGRPDFIKALSRQRTESTSQDLEPISRALYKMNFSKNQEMLFGSSRLSHTIIIWDILKYSLMSTEVAARGLKTSMATNYTLTSLYKEFKSSSEFIFSLLLRVVQNLSNSNSLHALQRFRALQLFAQSICNGILSDYHSTRHKVEENLLRILKPDDKEALNPGIQFWNRAADSVLAHDPFSSLMWVLFSLPFPFLSCEESVLSLVHVFYVVSVIQAIITCRIQGYNVNELSSQHCLITDICNILGESDFARWYFISNEVELSCDIKDIIRRLSFPYLRRCALLWKMLKSSVRSPFCDSDNLWKLSHMMDATKTTSMELNEAQKLEKMFKIPPVDVILDNEVARLFALKWFRHFHNVYRTSSVQNVFYCNPVVPFKLLSLPHVYQDLFLRYISQCCPDCKTVVHEPALCLLCGRLCSSIWKPCCRESGCKSHAKSCGAGIGVFLLIKRTTILLQRCGRQAPWPSPYLDAFGEEVRYFPFINKVRSR >KJB11883 pep chromosome:Graimondii2_0_v6:2:61949739:61960575:1 gene:B456_002G257600 transcript:KJB11883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTKFSSIEPHDRVIQRLAALGIPVEYLDRHYEGIVDFVSDNGLSLEIVFAILPTDEEMVESIQDARLKSKKWLCVSVENWFRESLVWLQWLMFRGEPVNAFRSLAKLSVDQRGVCAAVWGSNDIAYRCRTCEVDPTCAICVPCFQNGNHKGHDFSIFKTGGGCCDCGDETAWKHEGFCSKHKGAEQIQQLPETIADSVGLVLDVLFNFWRNKLFLAEGIFEGTTRESDSGNEQGKAANELTYVVVEMLLDFCNKSESLLSFVSKRMISLDGLLGILIRAERFIGDGVVKKLHELLLKLLAEPIFKNEFSKVFLSYYPTVINEAIKDGSGSILKSKYPLISTFSAQIFTVPTLTARLVKEMDLLGVLLKCLGNIFISCSQKDGHLKVTKLGSLYYTTFLVVEHIRFVMSHDVVSKYATHEQQDIVRTWLKLLAFVQGINLIKRETNLHIEEENDSIHLPFVLGSFIANIHSPLVDGAFSVAVSEGTNVLPYTFKQDMDDGDGVRHAKVGRLSQESSVCSLTGRSMSKVTEVESDSVSRLSVPSSAIWLIQECLRVLETWLEVDDGISAALQSIYSPNSNGISDRNLLAKKRIQYEIRGGKYFGKLTGSSENHCSESSSPVYNGHLASDDMEMETGTGTGLAVLPVLSLSEWPDIIYDVSSQEISVHIPLHRLLSLLLQNALKSCYGESVMPNITNSSCSPSLLSPTYPDFFGHILGGCHPFGFSASVMEHPLRIRVFCSQFLSGMWLKNGDAGLVSYKWSEEGLELDLFLLQCCAALAAPDLYVTRILERFGLLNYLSLNLERSNEYEPILVKEMLTLIMQILQERRFSGHSIADSLKREFIYKLAIGDATHSQLLKSLPCELSKFDQLQEILDKVAAYSNPSDLNQGMYSLHGVYWKELDLYHPRWSSRDLQVAEERYFRFCGVSAMTTQLPRWTKIYPPLEGVARIATCRVTLKIIRAVLFYFVFTDKCTESRASDGILMRALHLLSLALDIYLQQNGATDVERHIEDSYSMPVFAVEEIGESLNSAASKQSLLSLLVALMRMHQQVNRNSYLDSSNSSFSPLVESLLKKFAEVDSHCFAKLQLLAPEVVSCLSKSIPTTDSTSNMHKAKARERQAAIMAKMKVEQSKFLSSITSDADYDSNSEAEMPNSAPEHETEGAVQQSCSLCHDPTSKNPVSFLILLQKSRILSFVDRRCPSGDRWADKKQGSIPTNRVTDQSGSNGSSSSSGLSSPPFQLTENSVVESGDYEQAQCGEVNVILEFIKSWFPSLRSTQAPFSSSYMRDSSEYNLETLEEDMCIRIRKEMCDTSLSSSLKKDDVSFASGGSLGSSRDADCHGPGKYIASLSSKTSENSLGFENCNGDRELTESASKPFAYDGLGLLDCDGIHLSSCGHAVHQDCLDSYLSSLKERYARRSFFEGALILDLDKGEFLCPVCRQLANAILPAVNGRTGRQAMSVTVDPLPALGSPSASNEEICPLMLQQGLCLLKTAAKVVGRPDFIKALSRQRTESTSQDLEPISRALYKMNFSKNQEMLFGSSRLSHTIIIWDILKYSLMSTEVAARGLKTSMATNYTLTSLYKEFKSSSEFIFSLLLRVVQNLSNSNSLHALQRFRALQLFAQSICNGILSDYHSTRHKVEENLLRILKPDDKEALNPGIQFWNRAADSVLAHDPFSSLMWVLFSLPFPFLSCEESVLSLVHVFYVVSVIQAIITCRIQGYNVNELSSQHCLITDICNILGESDFARWYFISNEVELSCDIKDIIRRLSFPYLRRCALLWKMLKSSVRSPFCDSDNLWKLSHMMDATKTTSMELNEAQKLEKMFKIPPVDVILDNEVARLFALKWFRHFHNVYRTSSVQNVFYCNPVVPFKLLSLPHVYQDLFLRYISQCCPDCKTVVHEPALCLLCGRLCSSIWKPCCRESGCKSHAKSCGAGIGVFLLIKDNEMHRGKPLYLNEERYAALTNMVASHGLDQSSKVLGQTTIGTFFAV >KJB11880 pep chromosome:Graimondii2_0_v6:2:61949861:61959535:1 gene:B456_002G257600 transcript:KJB11880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTKFSSIEPHDRVIQRLAALGIPVEYLDRHYEGIVDFVSDNGLSLEIVFAILPTDEEMVESIQDARLKSKKWLCVSVENWFRESLVWLQWLMFRGEPVNAFRSLAKLSVDQRGVCAAVWGSNDIAYRCRTCEVDPTCAICVPCFQNGNHKGHDFSIFKTGGGCCDCGDETAWKHEGFCSKHKGAEQIQQLPETIADSVGLVLDVLFNFWRNKLFLAEGIFEGTTRESDSGNEQGKAANELTYVVVEMLLDFCNKSESLLSFVSKRMISLDGLLGILIRAERFIGDGVVKKLHELLLKLLAEPIFKNEFSKVFLSYYPTVINEAIKDGSGSILKSKYPLISTFSAQIFTVPTLTARLVKEMDLLGVLLKCLGNIFISCSQKDGHLKVTKLGSLYYTTFLVVEHIRFVMSHDVVSKYATHEQQDIVRTWLKLLAFVQGINLIKRETNLHIEEENDSIHLPFVLGSFIANIHSPLVDGAFSVAVSEGTNVLPYTFKQDMDDGDGVRHAKVGRLSQESSVCSLTGRSMSKVTEVESDSVSRLSVPSSAIWLIQECLRVLETWLEVDDGISAALQSIYSPNSNGISDRNLLAKKRIQYEIRGGKYFGKLTGSSENHCSESSSPVYNGHLASDDMEMETGTGTGLAVLPVLSLSEWPDIIYDVSSQEISVHIPLHRLLSLLLQNALKSCYGESVMPNITNSSCSPSLLSPTYPDFFGHILGGCHPFGFSASVMEHPLRIRVFCSQFLSGMWLKNGDAGLVSYKWSEEGLELDLFLLQCCAALAAPDLYVTRILERFGLLNYLSLNLERSNEYEPILVKEMLTLIMQILQERRFSGHSIADSLKREFIYKLAIGDATHSQLLKSLPCELSKFDQLQEILDKVAAYSNPSDLNQGMYSLHGVYWKELDLYHPRWSSRDLQVAEERYFRFCGVSAMTTQLPRWTKIYPPLEGVARIATCRVTLKIIRAVLFYFVFTDKCTESRASDGILMRALHLLSLALDIYLQQNGATDVERHIEDSYSMPVFAVEEIGESLNSAASKQSLLSLLVALMRMHQQVNRNSYLDSSNSSFSPLVESLLKKFAEVDSHCFAKLQLLAPEVVSCLSKSIPTTDSTSNMHKAKARERQAAIMAKMKVEQSKFLSSITSDADYDSNSEAEMPNSAPEHETEGAVQQSCSLCHDPTSKNPVSFLILLQKSRILSFVDRRCPSGDRWADKKQGSIPTNRVTDQSGSNGSSSSSGLSSPPFQLTENSVVESGDYEQAQCGEVNVILEFIKSWFPSLRSTQAPFSSSYMRDSSEYNLETLEEDMCIRIRKEMCDTSLSSSLKKDDVSFASGGSLGSSRDADCHGPGKYIASLSSKTSENSLGFENCNGDRELTESASKPFAYDGLGLLDCDGIHLSSCGHAVHQDCLDSYLSSLKERYARRSFFEGALILDLDKGEFLCPVCRQLANAILPAVNGRTGRQAMSVTVDPLPALGSPSASNEEICPLMLQQGLCLLKTAAKVVGRPDFIKALSRQRTESTSQDLEPISRALYKMNFSKNQEMLFGSSRLSHTIIIWDILKYSLMSTEVAARGLKTSMATNYTLTSLYKEFKSSSEFIFSLLLRVVQNLSNSNSLHALQRFRALQLFAQSICNGILSDYHSTRHKVEENLLRILKPDDKEALNPGIQFWNRAADSVLAHDPFSSLMWVLFSLPFPFLSCEESVLSLVHVFYVVSVIQAIITCRIQGYNVNELSSQHCLITDICNILGESDFARWYFISNEVELSCDIKDIIRRLSFPYLRRCALLWKMLKSSVRSPFCDSDNLWKLSHMMDATKTTSMELNEAQKLEKMFKIPPVDVILDNEVARLFALKWFRHFHNVYRTSSVQNVFYCNPVVPFKLLSLPHVYQDLFLRYISQCCPDCKTVVHEPALCLLCGRLCSSIWKPCCRESGCKSHAKSCGAGIGVFLLIKRTTILLQRCGRQAPWPSPYLDAFGEEVRYFPFINKVRSR >KJB11887 pep chromosome:Graimondii2_0_v6:2:61949739:61960575:1 gene:B456_002G257600 transcript:KJB11887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTKFSSIEPHDRVIQRLAALGIPVEYLDRHYEGIVDFVSDNGLSLEIVFAILPTDEEMVESIQDARLKSKKWLCVSVENWFRESLVWLQWLMFRGEPVNAFRSLAKLSVDQRGVCAAVWGSNDIAYRCRTCEVDPTCAICVPCFQNGNHKGHDFSIFKTGGGCCDCGDETAWKHEGFCSKHKGAEQIQQLPETIADSVGLVLDVLFNFWRNKLFLAEGIFEGTTRESDSGNEQGKAANELTYVVVEMLLDFCNKSESLLSFVSKRMISLDGLLGILIRAERFIGDGVVKKLHELLLKLLAEPIFKNEFSKVFLSYYPTVINEAIKDGSGSILKSKYPLISTFSAQIFTVPTLTARLVKEMDLLGVLLKCLGNIFISCSQKDGHLKVTKLGSLYYTTFLVVEHIRFVMSHDVVSKYATHEQQDIVRTWLKLLAFVQGINLIKRETNLHIEEENDSIHLPFVLGSFIANIHSPLVDGAFSVAVSEGTNVLPYTFKQDMDDGDGVRHAKVGRLSQESSVCSLTGRSMSKVTEVESDSVSRLSVPSSAIWLIQECLRVLETWLEVDDGISAALQSIYSPNSNGISDRNLLAKKRIQYEIRGGKYFGKLTGSSENHCSESSSPVYNGHLASDDMEMETGTGTGLAVLPVLSLSEWPDIIYDVSSQEISVHIPLHRLLSLLLQNALKSCYGESVMPNITNSSCSPSLLSPTYPDFFGHILGGCHPFGFSASVMEHPLRIRVFCSQFLSGMWLKNGDAGLVSYKWSEEGLELDLFLLQCCAALAAPDLYVTRILERFGLLNYLSLNLERSNEYEPILVKEMLTLIMQILQERRFSGHSIADSLKREFIYKLAIGDATHSQLLKSLPCELSKFDQLQEILDKVAAYSNPSDLNQGMYSLHGVYWKELDLYHPRWSSRDLQVAEERYFRFCGVSAMTTQLPRWTKIYPPLEGVARIATCRVTLKIIRAVLFYFVFTDKCTESRASDGILMRALHLLSLALDIYLQQNGATDVERHIEDSYSMPVFAVEEIGESLNSAASKQSLLSLLVALMRMHQQVNRNSYLDSSNSSFSPLVESLLKKFAEVDSHCFAKLQLLAPEVVSCLSKSIPTTDSTSNMHKAKARERQAAIMAKMKVEQSKFLSSITSDADYDSNSEAEMPNSAPEHETEGAVQQSCSLCHDPTSKNPVSFLILLQKSRILSFVDRRCPSGDRWADKKQGSIPTNRVTDQSGSNGSSSSSGLSSPPFQLTENSVVESGDYEQAQCGEVNVILEFIKSWFPSLRSTQAPFSSSYMRDSSEYNLETLEEDMCIRIRKEMCDTSLSSSLKKDDVSFASGGSLGSSRDADCHGPGKYIASLSSKTSENSLGFENCNGDRELTESASKPFAYDGLGLLDCDGIHLSSCGHAVHQDCLDSYLSSLKERYARRSFFEGALILDLDKGEFLCPVCRQLANAILPAVNGRTGRQAMSVTVDPLPALGSPSASNEEICPLMLQQGLCLLKTAAKVVGRPDFIKALSRQRTESTSQDLEPISRALYKMNFSKNQEMLFGSSRLSHTIIIWDILKYSLMSTEVAARGLKTSMATNYTLTSLYKEFKSSSEFIFSLLLRVVQNLSNSNSLHALQRFRALQLFAQSICNGILSDYHSTRHKVEENLLRILKPDDKEALNPGIQFWNRAADSVLAHDPFSSLMWVLFSLPFPFLSCEESVLSLVHVFYVVSVIQAIITCRIQGYNVNELSSQHCLITDICNILGESDFARWYFISNEVELSCDIKDIIRRLSFPYLRRCALLWKMLKSSVRSPFCDSDNLWKLSHMMDATKTTSMELNEAQKLEKMFKIPPVDVILDNEVARLFALKWFRHFHNVYRTSSVQNVFYCNPVVPFKLLSLPHVYQDLFLRYISQCCPDCKTVVHEPALCLLCGRLCSSIWKPCCRESGCKSHAKSCGAGIGVFLLIKRTTILLQRCGRQAPWPSPYLDAFGEEDNEMHRGKPLYLNEERYAALTNMVASHGLDQSSKVLGQTTIGTFFAV >KJB11882 pep chromosome:Graimondii2_0_v6:2:61949861:61959535:1 gene:B456_002G257600 transcript:KJB11882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTKFSSIEPHDRVIQRLAALGIPVEYLDRHYEGIVDFVSDNGLSLEIVFAILPTDEEMVESIQDARLKSKKWLCVSVENWFRESLVWLQWLMFRGEPVNAFRSLAKLSVDQRGVCAAVWGSNDIAYRCRTCEVDPTCAICVPCFQNGNHKGHDFSIFKTGGGCCDCGDETAWKHEGFCSKHKGAEQIQQLPETIADSVGLVLDVLFNFWRNKLFLAEGIFEGTTRESDSGNEQGKAANELTYVVVEMLLDFCNKSESLLSFVSKRMISLDGLLGILIRAERFIGDGVVKKLHELLLKLLAEPIFKNEFSKVFLSYYPTVINEAIKDGSGSILKSKYPLISTFSAQIFTVPTLTARLVKEMDLLGVLLKCLGNIFISCSQKDGHLKVTKLGSLYYTTFLVVEHIRFVMSHDVVSKYATHEQQDIVRTWLKLLAFVQGINLIKRETNLHIEEENDSIHLPFVLGSFIANIHSPLVDGAFSVAVSEGTNVLPYTFKQDMDDGDGVRHAKVGRLSQESSVCSLTGRSMSKVTEVESDSVSRLSVPSSAIWLIQECLRVLETWLEVDDGISAALQSIYSPNSNGISDRNLLAKKRIQYEIRGGKYFGKLTGSSENHCSESSSPVYNGHLASDDMEMETGTGTGLAVLPVLSLSEWPDIIYDVSSQEISVHIPLHRLLSLLLQNALKSCYGESVMPNITNSSCSPSLLSPTYPDFFGHILGGCHPFGFSASVMEHPLRIRVFCSQFLSGMWLKNGDAGLVSYKWSEEGLELDLFLLQCCAALAAPDLYVTRILERFGLLNYLSLNLERSNEYEPILVKEMLTLIMQILQERRFSGHSIADSLKREFIYKLAIGDATHSQLLKSLPCELSKFDQLQEILDKVAAYSNPSDLNQGMYSLHGVYWKELDLYHPRWSSRDLQVAEERYFRFCGVSAMTTQLPRWTKIYPPLEGVARIATCRVTLKIIRAVLFYFVFTDKCTESRASDGILMRALHLLSLALDIYLQQNGATDVERHIEDSYSMPVFAVEEIGESLNSAASKQSLLSLLVALMRMHQQVNRNSYLDSSNSSFSPLVESLLKKFAEVDSHCFAKLQLLAPEVVSCLSKSIPTTDSTSNMHKAKARERQAAIMAKMKVEQSKFLSSITSDADYDSNSEAEMPNSAPEHETEGAVQQSCSLCHDPTSKNPVSFLILLQKSRILSFVDRRCPSGDRWADKKQGSIPTNRVTDQSGSNGSSSSSGLSSPPFQLTENSVVESGDYEQAQCGEVNVILEFIKSWFPSLRSTQAPFSSSYMRDSSEYNLETLEEDMCIRIRKEMCDTSLSSSLKKDDVSFASGGSLGSSRDADCHGPGKYIASLSSKTSENSLGFENCNGDRELTESASKPFAYDGLGLLDCDGIHLSSCGHAVHQDCLDSYLSSLKERYARRSFFEGALILDLDKGEFLCPVCRQLANAILPAVNGRTGRQAMSVTVDPLPALGSPSASNEEICPLMLQQGLCLLKTAAKVVGRPDFIKALSRQRTESTSQDLEPISRALYKMNFSKNQEMLFGSSRLSHTIIIWDILKYSLMSTEVAARGLKTSMATNYTLTSLYKEFKSSSEFIFSLLLRVVQNLSNSNSLHALQRFRALQLFAQSICNGILSDYHSTRHKVEENLLRILKPDDKEALNPGIQFWNRAADSVLAHDPFSSLMWVLFSLPFPFLSCEESVLSLVHVFYVVSVIQAIITCRIQGYNVNELSSQHCLITDICNILGESDFARWYFISNEVELSCDIKDIIRRLSFPYLRRCALLWKMLKSSVRSPFCDSDNLWKLSHMMDATKTTSMELNEAQKLEKMFKIPPVDVILDNEVARLFALKWFRHFHNVYRTSSVQNVFYCNPVVPFKLLSLPHVYQDLFLRYISQCCPDCKTVVHEPALCLLCGRLCSSIWKPCCRESGCKSHAKSCGAGIGVFLLIKRTTILLQRCGRQAPWPSPYLDAFGEEVRYFPFINKVRSR >KJB11888 pep chromosome:Graimondii2_0_v6:2:61949739:61960575:1 gene:B456_002G257600 transcript:KJB11888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTKFSSIEPHDRVIQRLAALGIPVEYLDRHYEGIVDFVSDNGLSLEIVFAILPTDEEMVESIQDARLKSKKWLCVSVENWFRESLVWLQWLMFRGEPVNAFRSLAKLSVDQRGVCAAVWGSNDIAYRCRTCEVDPTCAICVPCFQNGNHKGHDFSIFKTGGGCCDCGDETAWKHEGFCSKHKGAEQIQQLPETIADSVGLVLDVLFNFWRNKLFLAEGIFEGTTRESDSGNEQGKAANELTYVVVEMLLDFCNKSESLLSFVSKRMISLDGLLGILIRAERFIGDGVVKKLHELLLKLLAEPIFKNEFSKVFLSYYPTVINEAIKDGSGSILKSKYPLISTFSAQIFTVPTLTARLVKEMDLLGVLLKCLGNIFISCSQKDGHLKVTKLGSLYYTTFLVVEHIRFVMSHDVVSKYATHEQQDIVRTWLKLLAFVQGINLIKRETNLHIEEENDSIHLPFVLGSFIANIHSPLVDGAFSVAVSEGTNVLPYTFKQDMDDGDGVRHAKVGRLSQESSVCSLTGRSMSKVTEVESDSVSRLSVPSSAIWLIQECLRVLETWLEVDDGISAALQSIYSPNSNGISDRNLLAKKRIQYEIRGGKYFGKLTGSSENHCSESSSPVYNGHLASDDMEMETGTGTGLAVLPVLSLSEWPDIIYDVSSQEISVHIPLHRLLSLLLQNALKSCYGESVMPNITNSSCSPSLLSPTYPDFFGHILGGCHPFGFSASVMEHPLRIRVFCSQFLSGMWLKNGDAGLVSYKWSEEGLELDLFLLQCCAALAAPDLYVTRILERFGLLNYLSLNLERSNEYEPILVKEMLTLIMQILQERRFSGHSIADSLKREFIYKLAIGDATHSQLLKSLPCELSKFDQLQEILDKVAAYSNPSDLNQGMYSLHGVYWKELDLYHPRWSSRDLQVAEERYFRFCGVSAMTTQLPRWTKIYPPLEGVARIATCRVTLKIIRAVLFYFVFTDKCTESRASDGILMRALHLLSLALDIYLQQNGATDVERHIEDSYSMPVFAVEEIGESLNSAASKQSLLSLLVALMRMHQQVNRNSYLDSSNSSFSPLVESLLKKFAEVDSHCFAKLQLLAPEVVSCLSKSIPTTDSTSNMHKAKARERQAAIMAKMKVEQSKFLSSITSDADYDSNSEAEMPNSAPEHETEGAVQQSCSLCHDPTSKNPVSFLILLQKSRILSFVDRRCPSGDRWADKKQGSIPTNRVTDQSGSNGSSSSSGLSSPPFQLTENSVVESGDYEQAQCGEVNVILEFIKSWFPSLRSTQAPFSSSYMRDSSEYNLETLEEDMCIRIRKEMCDTSLSSSLKKDDVSFASGGSLGSSRDADCHGPGKYIASLSSKTSENSLGFENCNGDRELTESASKPFAYDGLGLLDCDGIHLSSCGHAVHQDCLDSYLSSLKERYARRSFFEGALILDLDKGEFLCPVCRQLANAILPAVNGRTGRQAMSVTVDPLPALGSPSASNEEICPLMLQQGLCLLKTAAKVVGRPDFIKALSRQRTESTSQDLEPISRALYKMNFSKNQEMLFGSSRLSHTIIIWDILKYSLMSTEVAARGLKTSMATNYTLTSLYKEFKSSSEFIFSLLLRVVQNLSNSNSLHALQRFRALQLFAQSICNGILSDYHSTRHKVEENLLRILKPDDKEALNPGIQFWNRAADSVLAHDPFSSLMWVLFSLPFPFLSCEESVLSLVHVFYVVSVIQAIITCRIQGYNVNELSSQHCLITDICNILGESDFARWYFISNEVELSCDIKDIIRRLSFPYLRRCALLWKMLKSSVRSPFCDSDNLWKLSHMMDATKTTSMELNEAQKLEKMFKIPPVDVILDNEVARLFALKWFRHFHNVYRTSSVQNVFYCNPVVPFKLLSLPHVYQDLFLRYISQCCPDCKTVVHEPALCLLCGRLCSSIWKPCCRESGCKSHAKSCGAGIGVFLLIKRTTILLQRCGRQAPWPSPYLDAFGEEDNEMHRGKPLYLNEERYAALTNMVASHGLDQSSKVLGQTTIGTFFAV >KJB11889 pep chromosome:Graimondii2_0_v6:2:61949739:61960626:1 gene:B456_002G257600 transcript:KJB11889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTKFSSIEPHDRVIQRLAALGIPVEYLDRHYEGIVDFVSDNGLSLEIVFAILPTDEEMVESIQDARLKSKKWLCVSVENWFRESLVWLQWLMFRGEPVNAFRSLAKLSVDQRGVCAAVWGSNDIAYRCRTCEVDPTCAICVPCFQNGNHKGHDFSIFKTGGGCCDCGDETAWKHEGFCSKHKGAEQIQQLPETIADSVGLVLDVLFNFWRNKLFLAEGIFEGTTRESDSGNEQGKAANELTYVVVEMLLDFCNKSESLLSFVSKRMISLDGLLGILIRAERFIGDGVVKKLHELLLKLLAEPIFKNEFSKVFLSYYPTVINEAIKDGSGSILKSKYPLISTFSAQIFTVPTLTARLVKEMDLLGVLLKCLGNIFISCSQKDGHLKVTKLGSLYYTTFLVVEHIRFVMSHDVVSKYATHEQQDIVRTWLKLLAFVQGINLIKRETNLHIEEENDSIHLPFVLGSFIANIHSPLVDGAFSVAVSEGTNVLPYTFKQDMDDGDGVRHAKVGRLSQESSVCSLTGRSMSKVTEVESDSVSRLSVPSSAIWLIQECLRVLETWLEVDDGISAALQSIYSPNSNGISDRNLLAKKRIQYEIRGGKYFGKLTGSSENHCSESSSPVYNGHLASDDMEMETGTGTGLAVLPVLSLSEWPDIIYDVSSQEISVHIPLHRLLSLLLQNALKSCYGESVMPNITNSSCSPSLLSPTYPDFFGHILGGCHPFGFSASVMEHPLRIRVFCSQFLSGMWLKNGDAGLVSYKWSEEGLELDLFLLQCCAALAAPDLYVTRILERFGLLNYLSLNLERSNEYEPILVKEMLTLIMQILQERRFSGHSIADSLKREFIYKLAIGDATHSQLLKSLPCELSKFDQLQEILDKVAAYSNPSDLNQGMYSLHGVYWKELDLYHPRWSSRDLQVAEERYFRFCGVSAMTTQLPRWTKIYPPLEGVARIATCRVTLKIIRAVLFYFVFTDKCTESRASDGILMRALHLLSLALDIYLQQNGATDVERHIEDSYSMPVFAVEEIGESLNSAASKQSLLSLLVALMRMHQQVNRNSYLDSSNSSFSPLVESLLKKFAEVDSHCFAKLQLLAPEVVSCLSKSIPTTDSTSNMHKAKARERQAAIMAKMKVEQSKFLSSITSDADYDSNSEAEMPNSAPEHETEGAVQQSCSLCHDPTSKNPVSFLILLQKSRILSFVDRRCPSGDRWADKKQGSIPTNRVTDQSGSNGSSSSSGLSSPPFQLTENSVVESGDYEQAQCGEVNVILEFIKSWFPSLRSTQAPFSSSYMRDSSEYNLETLEEDMCIRIRKEMCDTSLSSSLKKDDVSFASGGSLGSSRDADCHGPGKYIASLSSKTSENSLGFENCNGDRELTESASKPFAYDGLGLLDCDGIHLSSCGHAVHQDCLDSYLSSLKERYARRSFFEGALILDLDKGEFLCPVCRQLANAILPAVNGRTGRQAMSVTVDPLPALGSPSASNEEICPLMLQQGLCLLKTAAKVVGRPDFIKALSRQRTESTSQDLEPISRALYKMNFSKNQEMLFGSSRLSHTIIIWDILKYSLMSTEVAARGLKTSMATNYTLTSLYKEFKSSSEFIFSLLLRVVQNLSNSNSLHALQRFRALQLFAQSICNGILSDYHSTRHKVEENLLRILKPDDKEALNPGIQFWNRAADSVLAHDPFSSLMWVLFSLPFPFLSCEESVLSLVHVFYVVSVIQAIITCRIQGYNVNELSSQHCLITDICNILGESDFARWYFISNEVELSCDIKDIIRRLSFPYLRRCALLWKMLKSSVRSPFCDSDNLWKLSHMMDATKTTSMELNEAQKLEKMFKIPPVDVILDNEVARLFALKWFRHFHNVYRTSSVQNVFYCNPVVPFKLLSLPHVYQDLFLRYISQCCPDCKTVVHEPALCLLCGRLCSSIWKPCCRESGCKSHAKSCGAGIGVFLLIKRTTILLQRCGRQAPWPSPYLDAFGEEDNEMHRGKPLYLNEERYAALTNMVASHGLDQSSKVLGQTTIGTFFAV >KJB13258 pep chromosome:Graimondii2_0_v6:2:7637632:7640924:1 gene:B456_002G065200 transcript:KJB13258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLASRITVTAKVSSSLRTIILKETPSSSWLSIRCFSAFSSQQRVTEKEAIPGDLLKWGSLGFCRTSRFASGFKPLEPKPLYSIMDLNRAKNRSPEDLASIWDDYHLGRGHIGLTMKAKLYRLLEQRGSDCRYFVVPLWRGSGYTTMFGQVQLPYMLFTGLEDYKARGTQASPYFTASFYTEFAESKDLVLIRGDIVFTSKLTDEEAKWLLETTQSFYLNDVRYKLVECFNKEPRDFEFKDVLRALDMPIL >KJB13257 pep chromosome:Graimondii2_0_v6:2:7638909:7640924:1 gene:B456_002G065200 transcript:KJB13257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLASRITVTAKVSSSLRTIILKETPSSSWLSIRCFSAFSSQQRVTEKEAIPGDLLKWGSLGFCRTSRFASGFKPLEPKPLYSIMDLNRAKNRSPEDLASIWDDYHLGRGHIGLTMKAKLYRLLEQRGSDCRYFVVPLWRGSGYTTMFGQVQLPYMLFTGLEDYKARGTQASPYFTASFYTEFAESKDLVLIRGDIVFTSKLTDEEAKWLLETTQSFYLNDVRYKLVECFNKEPRDFEFKDVLRALDMPIL >KJB13259 pep chromosome:Graimondii2_0_v6:2:7637867:7640924:1 gene:B456_002G065200 transcript:KJB13259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLASRITVTAKVSSSLRTIILKETPSSSWLSIRCFSAFSSQQRVTEKEAIPGDLLKWGSLGFCRTSRFASGFKPLEPKPLYSIMDLNRAKNRSPEDLASIWDDYHLGRGHIGLTMKAKLYRLLEQRGSDCRYFVVPLWRGSGYTTMFGQVQLPYMLFTGLEDYKARGTQASPYFTASFYTEFAESKDLVLIRGDIVFTSKLTDEEAKWLLETTQSFYLNDVRYKLVECFNKEPRDFEFKDVLRALDMPIL >KJB12943 pep chromosome:Graimondii2_0_v6:2:3859455:3861757:-1 gene:B456_002G046300 transcript:KJB12943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVSQNSSLFRRLLHTLSRDDGPSETLKKKVPEIEKTRKRRNPKKAQLFVEVPESRSFLDTATLPMYLAVAGIALFAKLLMMHDESKSQEMIERKIKNAPPGQGTIRMLTREEWEEIREVRPRTPFESKLARPNAKIRTGEPLHMEDMKNWTIDILTDALTRAENCSKHK >KJB12937 pep chromosome:Graimondii2_0_v6:2:3859455:3861711:-1 gene:B456_002G046300 transcript:KJB12937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVSQNSSLFRRLLHTLSRDDGPSETLKKKVPEIEKTRKRRNPKKAQLFVEVPESRSFLDTATLPMYLAVAGIALFAKLLMMHDESKSQEMIERKIKNAPPGQGTIRMLTREEWEEIREVRPRTPFESKLARPNAKIRTGEPLHMEDMKNWTIDILTDALTRAENCSKHK >KJB12942 pep chromosome:Graimondii2_0_v6:2:3859908:3861267:-1 gene:B456_002G046300 transcript:KJB12942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVSQNSSLFRRLLHTLSRDDGPSETLKKKVPEIEKTRKRRNPKKAQLFVEVPESRSFLDTATLPMYLAVAGIALFAKLLMMHDESKSQEMIERKIKNAPPGQGTIRMLTREEWEEIREVRPRTPFESKLARPNAKIRTGEPLHMVRGHEELDNRYSDRCTYTS >KJB12938 pep chromosome:Graimondii2_0_v6:2:3859455:3861933:-1 gene:B456_002G046300 transcript:KJB12938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVSQNSSLFRRLLHTLSRDDGPSETLKKKVPEIEKTRKRRNPKKAQLFVEVPESRSFLDTATLPMYLAVAGIALFAKLLMMHDESKSQEMIERKIKNAPPGQGTIRMLTREEWEEIREVRPRTPFESKLARPNAKIRTGEPLHMEDMKNWTIDILTDALTRAENCSKHK >KJB12940 pep chromosome:Graimondii2_0_v6:2:3859455:3861717:-1 gene:B456_002G046300 transcript:KJB12940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVSQNSSLFRRLLHTLSRDDGPSETLKKKVPEIEKTRKRRNPKKAQLFVEVPESRSFLDTATLPMYLAVAGIALFAKLLMMHDESKSQEMIERKIKNAPPGQGTIRMLTREEWEEIREVRPRTPFESKLARPNAKIRTGEPLHMEDMKNWTIDILTDALTRAENCSKHK >KJB12944 pep chromosome:Graimondii2_0_v6:2:3859455:3861844:-1 gene:B456_002G046300 transcript:KJB12944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVSQNSSLFRRLLHTLSRDDGPSETLKKKVPEIEKTRKRRNPKKAQLFVEVPESRSFLDTATLPMYLAVAGIALFAKLLMMHDESKSQEMIERKIKNAPPGQGTIRMLTREEWEEIREVRPRTPFESKLARPNAKIRTGEPLHMEDMKNWTIDILTDALTRAENCSKHK >KJB12939 pep chromosome:Graimondii2_0_v6:2:3859455:3861966:-1 gene:B456_002G046300 transcript:KJB12939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMNRVSQNSSLFRRLLHTLSRDDGPSETLKKKVPEIEKTRKRRNPKKAQLFVEVPESRSFLDTATLPMYLAVAGIALFAKLLMMHDESKSQEMIERKIKNAPPGQGTIRMLTREEWEEIREVRPRTPFESKLARPNAKIRTGEPLHMEDMKNWTIDILTDALTRAENCSKHK >KJB12941 pep chromosome:Graimondii2_0_v6:2:3859455:3861711:-1 gene:B456_002G046300 transcript:KJB12941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVSQNSSLFRRLLHTLSRDDGPSETLKKKVPEIEKTRKRRNPKKAQLFVEVPESRSFLDTATLPMYLAVAGIALFAKLLMMHDESKSQEMIERKIKNAPPGQGTIRMLTREEWEEIREVRPRTPFESKLARPNAKIRTGEPLHMEDMKNWTIDILTDALTRAENCSKHK >KJB13706 pep chromosome:Graimondii2_0_v6:2:11349600:11350064:1 gene:B456_002G089800 transcript:KJB13706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMHAILTFLVLAFVPFLQLKYYNKHDASPFDDNSTLIFAFFIVTLTYVAAMVTNIKLRIDDKDCPNIIISISLLFAPLASILLVTIIFPYLGWSLLVIWCGFFAKLTLVEMVHKVARYASSIKLLRREANKDDQVNDDQSSVVTNNSVDSIV >KJB16271 pep chromosome:Graimondii2_0_v6:2:57425704:57428827:1 gene:B456_002G220400 transcript:KJB16271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLFSIIGLISKKKNNQMDLGELWAIFSPGVAGAVFGAGWWFWVDAVVCSSVNISFVHYLPGIFASIAALMFNCVRKDDIDYSPYDEGEWRLKLWLFLAYVVSFVSLAASVGLLMQDSLVTSGPSLWTGTAGVLQCVFVLISGLIYWTSHSE >KJB16273 pep chromosome:Graimondii2_0_v6:2:57425394:57429642:1 gene:B456_002G220400 transcript:KJB16273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLFSIIGLISKKKNNQMDLGELWAIFSPGVAGAVFGAGWWFWVDAVVCSSVNISFVHYLPGIFASIAALMFNCVRKDDIDYSPYDEGEWRLKLWLFLAYVVSFVSLAASVGLLMQDSLVTSGPSLWTGTAGVLQCVFVLIRQCSLEPQASLQ >KJB16272 pep chromosome:Graimondii2_0_v6:2:57425703:57428659:1 gene:B456_002G220400 transcript:KJB16272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLFSIIGLISKKKNNQMDLGELWAIFSPGVAGAVFGAGWWFWVDAVVCSSVNISFVHYLPGIFASIAALMFNCVRKDDIDYSPYDEGEWRLKLWLFLAYVVSFVSLAASVGLLMQDSLVTSGPSLWTGTAGVLQCVFVLIR >KJB15225 pep chromosome:Graimondii2_0_v6:2:40892312:40896371:1 gene:B456_002G165700 transcript:KJB15225 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MGFLFLFHFRVLKKKINCYYYLWCAVRMARVYVGNLDPRVMERDLEDEFRIFGVLRSVWVARRPPGYAFIEFDDRRDAMDAIRELDGKNGWRVELSHNSKGVGGRGGRRGGGEDLKCYECGEPGHFVRECRSRIGSWGDGNGRRRSPSPHRRKSPSYGYGRRSYSPHGRRSPQRHSISPCRGRSYSRSPPYRHSRRDSPYANGD >KJB15226 pep chromosome:Graimondii2_0_v6:2:40892312:40896371:1 gene:B456_002G165700 transcript:KJB15226 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRZ21 [Source:Projected from Arabidopsis thaliana (AT1G23860) UniProtKB/TrEMBL;Acc:A0A178WC08] MGFLFLFHFRVLKKKINCYYYLWCAVRMARVYVGNLDPRVMERDLEDEFRIFGVLRSVWVARRPPGYAFIEFDDRRDAMDAIRELDGKNGWRVELSHNSKGVGGRGGRRGGGEDLKCYECGEPGHFVRECRSRIGSWGDGNGRRRSPSPHRRKSPSYGYGRRSYSPHGRRSPQRHSISPCRGRSYSRSPPYRHSRRDSPYANGD >KJB12718 pep chromosome:Graimondii2_0_v6:2:2445201:2450323:-1 gene:B456_002G032700 transcript:KJB12718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIGLFKQGFKWLESQKHVYSKAKTAVTYCRDKMGCFLSLTSMSCLLYVLLSMGAAGAAVQYLGYTPGLFIVGLFGILVLWMYANFWITGTLFIVGGYLFSLSHARLIVLIATVYSVYCVKIRVGWIGVFLSINLAFLSNDVADYLLKCFDNVNENMHFEEQKEPKPVMEDDLPGQCEYSIPSDEPQKVYSCKSSSTSATTSVINQKEFSAKRVVKEDTSSTDEMKRILNSTDHYEALGFPRHIKIDSGILKKEYRKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDSTKKKDYDEQLRKEESKTRSVCQKSHSSTRQQATSDHRSEESRRIQCTKCGNSHIWVCTNRNKAKARWCQDCCQYHQAKDGDGWVEYKGSLVFDRPQKAEIPRAFVCAESKIFDVSEWAICQRMACRPNTHRPSFHVNMVGLEKTQRSKSSRYPWDLDAEMIDEDEEEFELWLQQALASGLFCETSKRRKSWSPFKLPQKKSKKQWRRSST >KJB12717 pep chromosome:Graimondii2_0_v6:2:2445539:2450021:-1 gene:B456_002G032700 transcript:KJB12717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIGLFKQGFKWLESQKHVYSKAKTAVTYCRDKMGLLMERHWPMVCSGCVGFCKFLKLVLVYWIDCLVRGFQSCSRLGSASLLVIMWSCFLSLTSMSCLLYVLLSMGAAGAAVQYLGYTPGLFIVGLFGILVLWMYANFWITGTLFIVGGYLFSLSHARLIVLIATVYSVYCVKIRVGWIGVFLSINLAFLSNDVADYLLKCFDNVNENMHFEEQKEPKPVMEDDLPGQCEYSIPSDEPQKVYSCKSSSTSATTSVINQKEFSAKRVVKEDTSSTDEMKRILNSTDHYEALGFPRHIKIDSGILKKEYRKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDSTKKKDYDEQLRKEESKTRSVCQKSHSSTRQQATSDHRSEESRRIQCTKCGNSHIWVCTNRNKAKARWCQDCCQYHQAKDGDGWVEYKGSLVFDRPQKAEIPRAFVCAESKIFDVSEWAICQRMACRPNTHRPSFHVNMVGLEKTQRSKSSRYPWDLDAEMIDEDEEEFELWLQQALASGLFCETSKRRKSWSPFKLPQKKSKKQWRRSST >KJB12716 pep chromosome:Graimondii2_0_v6:2:2445068:2450809:-1 gene:B456_002G032700 transcript:KJB12716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIGLFKQGFKWLESQKHVYSKAKTAVTYCRDKMGLLMERHWPMVCSGCVGFCKFLKLVLVYWIDCLVRGFQSCSRLGSASLLVIMWSCFLSLTSMSCLLYVLLSMGAAGAAVQYLGYTPGLFIVGLFGILVLWMYANFWITGTLFIVGGYLFSLSHARLIVLIATVYSVYCVKIRVGWIGVFLSINLAFLSNDVADYLLKCFDNVNENMHFEEQKEPKPVMEDDLPGQCEYSIPSDEPQKVYSCKSSSTSATTSVINQKEFSAKRVVKEDTSSTDEMKRILNSTDHYEALGFPRHIKIDSGILKKEYRKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDSTKKKDYDEQLRKEESKTRSVCQKSHSSTRQATSDHRSEESRRIQCTKCGNSHIWVCTNRNKAKARWCQDCCQYHQAKDGDGWVEYKGSLVFDRPQKAEIPRAFVCAESKIFDVSEWAICQRMACRPNTHRPSFHVNMVGLEKTQRSKSSRYPWDLDAEMIDEDEEEFELWLQQALASGLFCETSKRRKSWSPFKLPQKKSKKQWRRSST >KJB15370 pep chromosome:Graimondii2_0_v6:2:44408134:44410370:1 gene:B456_002G173700 transcript:KJB15370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFLGLNSNEPLAVVKDDVNTDKYKEIGFTKSSGIQWPFSNKVFAVPQLMNFNFAQGGKTKKTGYDSKVSPLFMPISTMDAAELQKSFNHNWNGGNHFSLTDSHVQHNTNMFPASNQTISVSGSDPFVKNHFTTTGRNFPANTIKPQFFGGVPVTTPHSVLPTLGSVGGSVEPCTKASGSPAQLTIFYAGEVNVFDDITPEKAQAIMFLAGNGSSMASNSAYPKPPVQTPILKPVQVDSVPANQLINTQLSFGKPSPLPVSSHAGTQSWSGSTSTEEQIICKASVPPTPSTPISKLESPNLVNTMGSDAATGMMPSGIPPYALKGFPFFVLACRKKIVMTKRF >KJB15371 pep chromosome:Graimondii2_0_v6:2:44408134:44410970:1 gene:B456_002G173700 transcript:KJB15371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFLGLNSNEPLAVVKDDVNTDKYKEIGFTKSSGIQWPFSNKVFAVPQLMNFNFAQGGKTKKTGYDSKVSPLFMPISTMDAAELQKSFNHNWNGGNHFSLTDSHVQHNTNMFPASNQTISVSGSDPFVKNHFTTTGRNFPANTIKPQFFGGVPVTTPHSVLPTLGSVGGSVEPCTKASGSPAQLTIFYAGEVNVFDDITPEKAQAIMFLAGNGSSMASNSAYPKPPVQTPILKPVQVDSVPANQLINTQLSFGKPSPLPVSSHAGTQSWSGSTSTEEQIICKASVPPTPSTPISKLESPNLVNTMGSDAATGMMPSVPQARKASLARFLEKRKGRLDNEYSITIQS >KJB15369 pep chromosome:Graimondii2_0_v6:2:44407878:44410995:1 gene:B456_002G173700 transcript:KJB15369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFLGLNSNEPLAVVKDDVNTDKYKEIGFTKSSGIQWPFSNKVFAVPQLMNFNFAQGGKTKKTGYDSKVSPLFMPISTMDAAELQKSFNHNWNGGNHFSLTDSHVQHNTNMFPASNQTISVSGSDPFVKNHFTTTGRNFPANTIKPQFFGGVPVTTPHSVLPTLGSVGGSVEPCTKASGSPAQLTIFYAGEVNVFDDITPEKAQAIMFLAGNGSSMASNSAYPKPPVQTPILKPVQVDSVPANQLINTQLSFGKPSPLPVSSHAGTQSWSGSTSTEEQIICKASVPPTPSTPISKLESPNLVNTMGSDAATGMMPSVPQARKASLARFLEKRKGRIMSTASPYNLSKKSLDYATTMESNA >KJB13991 pep chromosome:Graimondii2_0_v6:2:13756023:13757007:1 gene:B456_002G105000 transcript:KJB13991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASSTLSFHHSIMGVDKLEKCYFSLLSMLSSVQWAWNLLLRFSLFPYQIPAPIGRDDFKLGCRNYSCKQDAGGDEEEEVECAICLCKIDEDDEIPELRCDHLFHKVCLDRWAGHRRSTCPICRTSLTPRQLISGVEVIHFKYCSLDDTGHRETWWLR >KJB16918 pep chromosome:Graimondii2_0_v6:2:61808606:61811818:1 gene:B456_002G255800 transcript:KJB16918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGFEPYHVPQQSRRDKLRIMAQNEPTTGVSLSGCSGLLPFYDPSFLSSDLLTCAAAAAGSHEYHHPPPSGTKDGVNFTGFVGGIFNSSPSLDHLNPSSIHDMNNNNNNQFLYTPQNLSYDNNNGGGGAGEVVVYKPEPLSLSLSSHYTHQNSSIYTDMVPAIFSGANGSTSNSVPLGPFTGYASILKGSRFLRPAQQLLEELCDVGKGIYTEKASLMELPPLQNSHTNPLDGGDSGGSGGGGDGQRKKSTLISILDEVYKRYKQYYQQMQSVVASFECVAGLGNAAPFANLAMKAMSKHFR >KJB16915 pep chromosome:Graimondii2_0_v6:2:61808606:61811817:1 gene:B456_002G255800 transcript:KJB16915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGFEPYHVPQQSRRDKLRIMAQNEPTTGVSLSGCSGLLPFYDPSFLSSDLLTCAAAAAGSHEYHHPPPSGTKDGVNFTGFVGGIFNSSPSLDHLNPSSIHDMNNNNNNQFLYTPQNLSYDNNNGGGGAGEVVVYKPEPLSLSLSSHYTHQNSSIYTDMVPAIFSGANGSTSNSVPLGPFTGYASILKGSRFLRPAQQLLEELCDVGKGIYTEKASLMELPPLQNSHTNPLDGGDSGGSGGGGDGQRKKSTLISILDEVYKRYKQYYQQMQSVVASFECVAGLGNAAPFANLAMKAMSKHFR >KJB16914 pep chromosome:Graimondii2_0_v6:2:61808115:61811826:1 gene:B456_002G255800 transcript:KJB16914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGFEPYHVPQQSRRDKLRIMAQNEPTTGVSLSGCSGLLPFYDPSFLSSDLLTCAAAAAGSHEYHHPPPSGTKDGVNFTGFVGGIFNSSPSLDHLNPSSIHDMNNNNNNQFLYTPQNLSYDNNNGGGGAGEVVVYKPEPLSLSLSSHYTHQNSSIYTDMVPAIFSGANGSTSNSVPLGPFTGYASILKGSRFLRPAQQLLEELCDVGKGIYTEKASLMELPPLQNSHTNPLDGGDSGGSGGGGDGQRKKSTLISILDEVYKRYKQYYQQMQSVVASFECVAGLGNAAPFANLAMKAMSKHFRYLKNAITEQLQFTNKAHAQISPGKNEGPRFGNGDGSFYNRAVQNSRFLQNQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDTDKLMLAKQTGLSRNQVSNWFINARVRLWKPMVEEIHMLETRQKDERNANKSGDENPSTSTQRVEENTPSKRTRNELPNVPVGNEQPNMSTSYNSFSTHPHGSSVSLTLGLHQNNSIGLSESFPINAAQRFGLGLEVNSEGYVIGGRHFGRDVVGGQLLHDFVG >KJB16917 pep chromosome:Graimondii2_0_v6:2:61808606:61811817:1 gene:B456_002G255800 transcript:KJB16917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGFEPYHVPQQSRRDKLRIMAQNEPTTGVSLSGCSGLLPFYDPSFLSSDLLTCAAAAAGSHEYHHPPPSGTKDGVNFTGFVGGIFNSSPSLDHLNPSSIHDMNNNNNNQFLYTPQNLSYDNNNGGGGAGEVVVYKPEPLSLSLSSHYTHQNSSIYTDMVPAIFSGANGSTSNSVPLGPFTGYASILKGSRFLRPAQQLLEELCDVGKGIYTEKASLMELPPLQNSHTNPLDGGDSGGSGGGGDGQRKKSTLISILDEVYKRYKQYYQQMQSVVASFECVAGLGNAAPFANLAMKAMSKHFRYLKNAITEQLQFTNKAHAQISPGKNEGPRFGNGDGSFYNRAVQNSRFLQNQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDTDKLMLAKQTGLSRNQVCGLLSCLFLL >KJB16916 pep chromosome:Graimondii2_0_v6:2:61808606:61810943:1 gene:B456_002G255800 transcript:KJB16916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGFEPYHVPQQSRRDKLRIMAQNEPTTGVSLSGCSGLLPFYDPSFLSSDLLTCAAAAAGSHEYHHPPPSGTKDGVNFTGFVGGIFNSSPSLDHLNPSSIHDMNNNNNNQFLYTPQNLSYDNNNGGGGAGEVVVYKPEPLSLSLSSHYTHQNSSIYTDMVPAIFSGANGSTSNSVPLGPFTGYASILKGSRFLRPAQQLLEELCDVGKGIYTEKASLMELPPLQNSHTNPLDGGDSGGSGGGGDGQRKKSTLISILDEVYKRYKQYYQQMQSVVASFECVAGLGNAAPFANLAMKAMSKHFRYLKNAITEQLQFTNKAHAQISPGKNEGPRFGNGDGSFYNRAVQNSRFLQNQPVWRPQRGLPERAVTVLRAWLFEHFLHP >KJB12638 pep chromosome:Graimondii2_0_v6:2:2142619:2150726:1 gene:B456_002G028800 transcript:KJB12638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEQLMPGGGFRHFQMQSDTSPSMMSSFFSFAPGVTPEATRIFDELPKASIVSVSRPDAGDISPMLLSYTMEFQYKQFRWQLLKKASDVFYLHFALKKRLFIEEIHEKQEQVKEWLQNLGIGDHPPVVHDDDERDDDAVPLHHDESAKNRDVPSSAALPVIRPALGRQSSISDRAKVAMQEYLNHFLGNMDIVNSREVCKFLEVSKLSFSPEYGPKLKEAYVMVKHLPKIAKNDDSDRCCACHWFNCCNDNWQKVWAVLKPGFLALLEDPFDAKPLDIIVFDVLPASDGNGEGRVSLAEEVKERNPLRHSFKVTCGVRSIKLRTKSSAKVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLTEDGSQAQWFIDGMAAFDAIASAIEDAKSEIFICGWWLCPELYLRRPFREQASSRLDSLLEAKAKQGVQIYILLYKELALALKINSVYSKRKLLSIHENVRVLRYPDHFSAGVYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTFEHKVGDNPPLVWPGKDYYNPRESEPNSWEDTMKDELDRGKFPRMPWHDVHCALWGPPCRDVARHFVQRWNYAKRNKALYEEAIPLLMPHHHMVIPHYMGRSKEIEIEGKSVLDNTEDIDREDYFCSRSAVQDIPLLLPQEAELDNCNGFPKSNALDSTAGTSVSFGFRKSKIEPAVTDTPMKGFVDDPDSLDLRMERSSDVKRKLGSKAADPEWWETQERGDQVGFVDEAGQVGPRTSCRCQIIRSVSQWSAGTSQVEESIHCAYCSLIEKAEHFVYIENQFFISGLSGDEIIRNRVLEALFRRIMRAYNDKKCFRVIIVIPLLPGFQGGLDDAGAASVRAIMHWQYRTICRGQNSILHKLHKVLGPKTHDYISFYGLRSYGKLFDHGPVATSPVYVHSKIMLIDDSKALIGSANINDRSLLGSRDSEIGVLIEDKELVDSWMGGNPWKVGKFTLSLRLSLWSEHLGLRNGEINQIIDPISDSSYKEIWVATAKMNTTIYQDVFACVPSDIIHSRLTLRQSLTFWKERLGHTTIDLGIAPKKLESYHNGDIKQTDPMDRLKSVRGHLVSFPLDFMCNEDLRPVFNESEYYASPQVFH >KJB12857 pep chromosome:Graimondii2_0_v6:2:3279084:3280910:1 gene:B456_002G040000 transcript:KJB12857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CGYYNLELEYENNNRTTLVLREGKFSVQNIFYENKTIHVIDSSLEKDDCNSLPLSSIYVGYFISYFPPRIFITAPSTSSYYYSGRELDSIMYVVNCWKPIKSSQYIDAFRCTTKSNTSSPPTSFFYFLDENTVLNLNQTCTVKVGVPIMVKNISGMSTLAIYNKLSKGFYLSWYYSENYYELSLLQYLKRALHHFLYGIHGIGFVTIVGSFLLRSSAVLRGNLKGILLNPLLL >KJB12298 pep chromosome:Graimondii2_0_v6:2:670512:673151:-1 gene:B456_002G010400 transcript:KJB12298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPNASGDLSTEVEVDAFRRLFPLRFYEKHLLESIRPDARPLGRARETTIAPGAVASANGSALAKIGSTTMLAAIKMEVMTPSLETPDEVLKQLVMCNYSSGMINLKELSVVSGKAAWMAYLDIYCLDADGALSDTALLSAVAAFSHLEIPVVSLNDDGKIVLSEEQGLSDREPVNKEKRKINLSSIPFSLTCVLHKNYILADPTAEEESIMDTIVTVVLDSSSQLISLHKPGGTVLAYTSANGPKAWN >KJB12299 pep chromosome:Graimondii2_0_v6:2:670512:673275:-1 gene:B456_002G010400 transcript:KJB12299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPICSPIVRPGRPAEAAPVVAKQLSDTILSSGMINLKELSVVSGKAAWMAYLDIYCLDADGALSDTALLSAVAAFSHLEIPVVSLNDDGKIVLSEEQGLSDREPVNKEKRKINLSSIPFSLTCVLHKNYILADPTAEEESIMDTIVTVVLDSSSQLISLHKPGGTVLAYTSANGPKAWN >KJB15386 pep chromosome:Graimondii2_0_v6:2:44815627:44819646:-1 gene:B456_002G175700 transcript:KJB15386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSFSFPGIFPALLASSLALLLLPTPIIAVHDYHDALRKSILFFEGQRSGKLPPDQRVKWRRDSALRDGSTAGVDLTGGYYDAGDNVKFGFPMAFTTTLLAWSIIDFGRNMGPELKNAVKAVKWSTDYLLKATAKPGVVYVQVGDAYSDHSCWERPEDMDTLRTVYKIDNAHPGSDVAGETAAALAAASIVFRSRDPAYSRLLLNRAVRVFNFADKHRGAYSSSLHAAVCPFYCDVNGYQDELLWAAAWLHKASRKRAYREYIVKNEVVLRAGDTINEFGWDNKHAGINVLISKC >KJB15384 pep chromosome:Graimondii2_0_v6:2:44816423:44819576:-1 gene:B456_002G175700 transcript:KJB15384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSFSFPGIFPALLASSLALLLLPTPIIAVHDYHDALRKSILFFEGQRSGKLPPDQRVKWRRDSALRDGSTAGVDLTGGYYDAGDNVKFGFPMAFTTTLLAWSIIDFGRNMGPELKNAVKAVKWSTDYLLKATAKPGVVYVQVGDAYSDHSCWERPEDMDTLRTVYKIDNAHPGSDVAGETAAALAAASIVFRSRDPAYSRLLLNRAVRVFNFADKHRGAYSSSLHAAVCPFYCDVNGYQDELLWAAAWLHKASRKRAYREYIVKNEVVLRAGDTINEFGWDNKHAGINVLISKEVLMGRADYFESFKQNADGFICSILPGITHPQVQYSPGGLIFKAGGSNMQHVTSLSFLLLAYSNYLSHANKAVPCGERSASPALLKQLAKRQVTILSFFTTLCLFVVISR >KJB15383 pep chromosome:Graimondii2_0_v6:2:44816807:44819576:-1 gene:B456_002G175700 transcript:KJB15383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSFSFPGIFPALLASSLALLLLPTPIIAVHDYHDALRKSILFFEGQRSGKLPPDQRVKWRRDSALRDGSTAGVDLTGGYYDAGDNVKFGFPMAFTTTLLAWSIIDFGRNMGPELKNAVKAVKWSTDYLLKATAKPGVVYVQVGDAYSDHSCWERPEDMDTLRTVYKIDNAHPGSDVAGETAAALAAASIVFRSRDPAYSRLLLNRAVRVFNFADKHRGAYSSSLHAAVCPFYCDVNGYQDELLWAAAWLHKASRKRAYREYIVKNEVVLRAGDTINEFGWDNKHAGINVLISKEVLMGRADYFESFKQNADGFICSILPGITHPQVQYSPGKNAYFHLPFVKHRISGEKGQK >KJB15385 pep chromosome:Graimondii2_0_v6:2:44815627:44819646:-1 gene:B456_002G175700 transcript:KJB15385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSFSFPGIFPALLASSLALLLLPTPIIAVHDYHDALRKSILFFEGQRSGKLPPDQRVKWRRDSALRDGSTAGVDLTGGYYDAGDNVKFGFPMAFTTTLLAWSIIDFGRNMGPELKNAVKAVKWSTDYLLKATAKPGVVYVQVGDAYSDHSCWERPEDMDTLRTVYKIDNAHPGSDVAGETAAALAAASIVFRSRDPAYSRLLLNRAVRVFNFADKHRGAYSSSLHAAVCPFYCDVNGYQDELLWAAAWLHKASRKRAYREYIVKNEVVLRAGDTINEFGWDNKHAGINVLISKEVLMGRADYFESFKQNADGFICSILPGITHPQVQYSPESRWFSGN >KJB15387 pep chromosome:Graimondii2_0_v6:2:44817897:44819646:-1 gene:B456_002G175700 transcript:KJB15387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSFSFPGIFPALLASSLALLLLPTPIIAVHDYHDALRKSILFFEGQRSGKLPPDQRVKWRRDSALRDGSTAGVDLTGGYYDAGDNVKFGFPMAFTTTLLAWSIIDFGRNMGPELKNAVKAVKWSTDYLLKATAKPGVVYVQVGDAYSDHSCWERPEDMDTLRTVYKIDNAHPGSDVAGETAAALAAASIVFRSRDPAYSRLLLNRAVRVFNFADKHRGAYSSSLHAAVCPFYCDVNGYQDELLWAAAWLHKASRKRAYREYIVKNEVVLRAGDTINEFGWDNKHAGINVLISKVKL >KJB15382 pep chromosome:Graimondii2_0_v6:2:44815378:44819695:-1 gene:B456_002G175700 transcript:KJB15382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSFSFPGIFPALLASSLALLLLPTPIIAVHDYHDALRKSILFFEGQRSGKLPPDQRVKWRRDSALRDGSTAGVDLTGGYYDAGDNVKFGFPMAFTTTLLAWSIIDFGRNMGPELKNAVKAVKWSTDYLLKATAKPGVVYVQVGDAYSDHSCWERPEDMDTLRTVYKIDNAHPGSDVAGETAAALAAASIVFRSRDPAYSRLLLNRAVRVFNFADKHRGAYSSSLHAAVCPFYCDVNGYQDELLWAAAWLHKASRKRAYREYIVKNEVVLRAGDTINEFGWDNKHAGINVLISKEVLMGRADYFESFKQNADGFICSILPGITHPQVQYSPGGLIFKAGGSNMQHVTSLSFLLLAYSNYLSHANKAVPCGERSASPALLKQLAKRQVNYILGDNPLGMSYMVGYGSRFPQRIHHRGSSLPSVAAHPARIGCKAGSRYYLSPSPNPNLLLGAVVGGPNVSDAFPDSRPYFQESEPTTYINAPLVGLLAFFSAHP >KJB15930 pep chromosome:Graimondii2_0_v6:2:54781228:54781728:-1 gene:B456_002G2049002 transcript:KJB15930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVGEVRKYFTSRKVVQKTIHKALKTVKGVETKCIFSSSNDHETKAMVSLLREAEAVTSSMFEYLFTLISGPMERSKCGSWALVSKLLHHKRIACEQTGRRDINEFEKVDAALRSVMSQKMSKSENVEMPRQLKELELCVQDLEDGLECLFRCMIKARVSLLNALNH >KJB15063 pep chromosome:Graimondii2_0_v6:2:36538026:36541092:1 gene:B456_002G161300 transcript:KJB15063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEFAVGCFLSIKTTLGDEFEGQIISFDRLSNILVLQEGLESGPRRNIRLLKANYIKEFSLLRQDEDPLDVKKCFLDLTSLQAREDSAIRQAEADSERFGVGVTSEAQTLFDALSKTMIWKAELFAF >KJB15060 pep chromosome:Graimondii2_0_v6:2:36537860:36541962:1 gene:B456_002G161300 transcript:KJB15060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEFAVGCFLSIKTTLGDEFEGQIISFDRLSNILVLQEGLESGPRRNIRLLKANYIKEFSLLRQDEDPLDVKKCFLDLTSLQAREDSAIRQAEADSERFGVGVTSEAQTLFDALSKTLPVRWDKTVIVVMNEVRVSSPYLPESVSGGTPAANERVRKVLEFERKRMQTRGTSQ >KJB15062 pep chromosome:Graimondii2_0_v6:2:36537981:36541927:1 gene:B456_002G161300 transcript:KJB15062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEFAVGCFLSIKTTLGDEFEGQIISFDRLSNILVLQEGLESGPRRNIRLLKANYIKEFSLLRQDEDPLDVKKCFLDLTSLQAREDSAIRQAEADSERFGVGVTSEAQTLFDALSKTGAICFLTAFLPHQKVEAFLRFILDLWLCLLTEFWRLPVRWDKTVIVVMNEVRVSSPYLPESVSGGTPAANERVRKVLEFERKRMQTRGTSQ >KJB15061 pep chromosome:Graimondii2_0_v6:2:36537981:36538589:1 gene:B456_002G161300 transcript:KJB15061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEFAVGCFLSIKTTLGDEFEGQIISFDRLSNILVLQEGLESGPRRNIRLLKANYIKEFSLLRQDEDPLDVKKCFLDLTSLQAREDSAIRQAEADSERFGVGVTSEAQTLFDALSKTYVHFSFYSYSSFSSYFSILRGGEILKGLLRL >KJB12379 pep chromosome:Graimondii2_0_v6:2:1004784:1005794:1 gene:B456_002G015500 transcript:KJB12379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEQKEQVPNPNVNDNDNLNSESKQEERQSSTRTPFTNLSQVDADLALARTLQEQERAYMMLSMNNDGSDYGSWEGGSYLNDDDFNDLHDHDDTDDDDDEGEYDGTDAGDVDAFDFHDHSEDGEDDNDASVELDPADFSSDEAYARALQDAEEREVAARLLALAGINDGEC >KJB12378 pep chromosome:Graimondii2_0_v6:2:1004734:1008462:1 gene:B456_002G015500 transcript:KJB12378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEQKEQVPNPNVNDNDNLNSESKQEERQSSTRTPFTNLSQVDADLALARTLQEQERAYMMLSMNNDGSDYGSWEGGSYLNDDDFNDLHDHDDTDDDDDEGEYDGTDAGDVDAFDFHDHSEDGEDDNDASVELDPADFSSDEAYARALQDAEEREVAARLLALAGINDGGTVTLEDHGHGGNSQDTWEEVDPDELSYEELLALAEVAGTESRGLSADSIASLPSLIFKAGNSQTGTNDSCVICRVDYEDGDSLTALSCKHSYHPECINNWLKINKVCPVCSAEVST >KJB12380 pep chromosome:Graimondii2_0_v6:2:1004784:1008200:1 gene:B456_002G015500 transcript:KJB12380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEQKEQVPNPNVNDNDNLNSESKQEERQSSTRTPFTNLSQVDADLALARTLQEQERAYMMLSMNNDGSDYGSWEGGSYLNDDDFNDLHDHDDTDDDDDEGEYDGTDAGDVDAFDFHDHSEDGEDDNDASVELDPADFSSDEAYARALQDAEEREVAARLLALAGINDGGTVTLEDHGHGGNSQDTWEEVDPDELSYEELLALAEVAGTESRGLSADSIASLPSLIFKAGNSQTGTNDS >KJB12381 pep chromosome:Graimondii2_0_v6:2:1004784:1008200:1 gene:B456_002G015500 transcript:KJB12381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEQKEQVPNPNVNDNDNLNSESKQEERQSSTRTPFTNLSQVDADLALARTLQEQERAYMMLSMNNDGSDYGSWEGGSYLNDDDFNDLHDHDDTDDDDDEGEYDGTDAGDVDAFDFHDHSEDGEDDNDASVELDPADFSSDEAYARALQDAEEREVAARLLALAGINDGGTVTLEDHGHGGNSQDTWEEVDPDELSYEELLALAEVAGTESRGLSADSIASLPSLIFKAGNSQTGTNDS >KJB16280 pep chromosome:Graimondii2_0_v6:2:57637679:57640498:-1 gene:B456_002G221500 transcript:KJB16280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHEAVEVAKTVLEVADVAWTALECSHHLHHHHDAPHNLHNSELQKELETLKSENRRLRNQLEQNLKLLNNLSESPVLLNDCPPNLYARLVSTVDSRDFLTRLKSLNESDIKIEFPFKEAAGDDIHSAEVLINVDQKEPSWWVWVTDEMVPSNVEEWSGIDDENYIVVSEEHVVDGVANFMAKCLLSNPKAQTLTPEELQKTMLKALEGVSKLEKVLSIWHAGKMFYVLSTWGLTLAGYVLLFLLCFVYL >KJB16281 pep chromosome:Graimondii2_0_v6:2:57637679:57640498:-1 gene:B456_002G221500 transcript:KJB16281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHEAVEVAKTVLEVADVAWTALECSHHLHHHHDAPHNLHNSELQKELETLKSENRRLRNQLEQNLKLLNNLSESPVLLNDCPPNLYARLVSTVDSRDFLTRLKSLNESDIKIEFPFKEAAGDDIHSAEVLINVDQKEPSWWVWVTDEMVPSNVEEWSGIDDENYIVVSEEHVVDGVANFMAKCLLSNPKAQTLTPEELQKTFTYYDEIYKQLC >KJB16283 pep chromosome:Graimondii2_0_v6:2:57637679:57640514:-1 gene:B456_002G221500 transcript:KJB16283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHEAVEVAKTVLEVADVAWTALECSHHLHHHHDAPHNLHNSELQKELETLKSENRRLRNQLEQNLKLLNNLSESPVLLNDCPPNLYARLVSTVDSRDFLTRLKSLNESDIKIEFPFKEAAGDDIHSAEVLINVDQKEPSWWVWVTDEMVPSNVEEWSGIDDENYIVVSEEHVVDGVANFMAKCLLSNPKAQTLTPEELQKTMLKALEGVSKLEKVLSIWHAGKMFYVLSTWGLTLAGLYKSRTIVKLAAMGIHTTGKVVMRVL >KJB16282 pep chromosome:Graimondii2_0_v6:2:57639237:57640332:-1 gene:B456_002G221500 transcript:KJB16282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHEAVEVAKTVLEVADVAWTALECSHHLHHHHDAPHNLHNSELQKELETLKSENRRLRNQLEQNLKLLNNLSESPVLLNDCPPNLYARLVSTVDSRDFLTRLKSLNESDIKIEFPFKEAAGDDIHSAEVLINVDQKEPSWWVWVTDEMVPSNVEEWSGIDDENYIVVSEEHVVDGVANFMAKCLLSNPKAQTLTPEELQKSKFASYYNYVFNFQMCLPLYMFGNLSV >KJB16923 pep chromosome:Graimondii2_0_v6:2:61693744:61700157:-1 gene:B456_002G254400 transcript:KJB16923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAFCLRISTEEITENGNGTKPELKRDRESLELESEPEASPNKKQAKEVSNEDIRSEVSNPIVSPKENTSSFHDISSRNHAGSGEVTSVCSRNSSFDESLSDSSQMCDTSGAMLSSHVTLEIPKHLSSSGIRKITFKFSKRKEDYDNETSYSVGGECMNMENIYGTSSVEWSSRYSCAPNLELKMTKKVVPSNYPTNVKKLLGTGILDGARVKYISISTERVLDGIIHAGGYLCGCSFCNFSKVVSAYEFEQHAGAKTRHPNNHIYLENGKPIYSIIQELKTAPLSILDEVIKDVAGTSINEESFQDWKASLQQSNGNVQAEKIYNMKPPSLPNSLSRPNSVMKRKKTADGGMKKRDNDLHRLLFMPNGLPDGAELTYFIKGQKLLEGYKQGNGIVCCCCERELSPSQFEAHAGMAARRQPYRHIYTSNGLTLHDIALSLANGQCVTTGSSDDTCAVCGNAGDLLLRCECSQAFHPSCLNLEHLPEGEWCCPNCADEHGSSRKAVSGEASSTARPIVIRLTRVVKSPEFEIGGCVVCRGHDFSGSTFDDRTVILCDQCEKEFHVGCLRESGLCDLKEIPEDKWFCCDDCNRIHVALQSYVSSGVQIIPTVFANIIRRKYLEKGLLIDGATDCVQWRILSGKSRYSEHLPLLSSAAAIFRECFDPIVAKSGRDLIPVMVYGRNISGQEFGGMYCVVLIVRSVVVSAGLLRIFGREVAELPIVATSRKHQGKGYFQALFACIENLLSSLNVENLVLPAADEALSIWTKKFGFTKMSEQQLSKYQKELQLTIFKGTLMLEKKVEPIPE >KJB16920 pep chromosome:Graimondii2_0_v6:2:61693411:61700837:-1 gene:B456_002G254400 transcript:KJB16920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAFCLRISTEEITENGNGTKPELKRDRESLELESEPEASPNKKQAKEVSNEDIRSEVSNPIVSPKENTSSFHDISSRNHAGSGEVTSVCSRNSSFDESLSDSSQMCDTSGAMLSSHVTLEIPKHLSSSGIRKITFKFSKRKEDYDNETSYSVGGECMNMENIYGTSSVEWSSRYSCAPNLELKMTKKVVPSNYPTNVKKLLGTGILDGARVKYISISTERVLDGIIHAGGYLCGCSFCNFSKVVSAYEFEQHAGAKTRHPNNHIYLENGKPIYSIIQELKTAPLSILDEVIKDVAGTSINEESFQDWKASLQQSNGNVQAEKIYNMKPPSLPNSLRPNSVMKRKKTADGGMKKRDNDLHRLLFMPNGLPDGAELTYFIKGQKLLEGYKQGNGIVCCCCERELSPSQFEAHAGMAARRQPYRHIYTSNGLTLHDIALSLANGQCVTTGSSDDTCAVCGNAGDLLLRCECSQAFHPSCLNLEHLPEGEWCCPNCADEHGSSRKAVSGEASSTARPIVIRLTRVVKSPEFEIGGCVVCRGHDFSGSTFDDRTVILCDQCEKEFHVGCLRESGLCDLKEIPEDKWFCCDDCNRIHVALQSYVSSGVQIIPTVFANIIRRKYLEKGLLIDGATDCVQWRILSGKSRYSEHLPLLSSAAAIFRECFDPIVAKSGRDLIPVMVYGRNISGQEFGGMYCVVLIVRSVVVSAGLLRIFGREVAELPIVATSRKHQGKGYFQALFACIENLLSSLNVENLVLPAADEALSIWTKKFGFTKMSEQQLSKYQKELQLTIFKGTLMLEKKVEPIPE >KJB16921 pep chromosome:Graimondii2_0_v6:2:61693412:61700592:-1 gene:B456_002G254400 transcript:KJB16921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAFCLRISTEEITENGNGTKPELKRDRESLELESEPEASPNKKQAKEVSNEDIRSEVSNPIVSPKENTSSFHDISSRNHAGSGEVTSVCSRNSSFDESLSDSSQMCDTSGAMLSSHVTLEIPKHLSSSGIRKITFKFSKRKEDYDNETSYSVGGECMNMENIYGTSSVEWSSRYSCAPNLELKMTKKVVPSNYPTNVKKLLGTGILDGARVKYISISTERVLDGIIHAGGYLCGCSFCNFSKVVSAYEFEQHAGAKTRHPNNHIYLENGKPIYSIIQELKTAPLSILDEVIKDVAGTSINEESFQDWKASLQQSNGNVQAEKIYNMKPPSLPNSLRPNSVMKRKKTADGGMKKRDNDLHRLLFMPNGLPDGAELTYFIKGQKLLEGYKQGNGIVCCCCERELSPSQFEAHAGMAARRQPYRHIYTSNGLTLHDIALSLANGQCVTTGSSDDTCAVCGNAGDLLLRCECSQAFHPSCLNLEHLPEGEWCCPNCADEHGSSRKAVSGEASSTARPIVIRLTRVVKSPEFEIGGCVVCRGHDFSGSTFDDRTVILCDQCEKEFHVGCLRESGLCDLKEIPEDKWFCCDDCNRIHVALQSYVSSGVQIIPTVFANIIRRKYLEKGLLIDGATDCVQWRILSGKSRYSEHLPLLSSAAAIFRECFDPIVAKSGRDLIPVMVYGRNISGQEFGGMYCVVLIVRSVVVSAGLLRIFGREVAELPIVATSRKHQGKGYFQALFACIENLLSSLNVENLVLPAADEALSIWTKKFGFTKMSEQQLSKYQKELQLTIFKGTLMLEKKVEPIPE >KJB16922 pep chromosome:Graimondii2_0_v6:2:61693744:61700157:-1 gene:B456_002G254400 transcript:KJB16922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAFCLRISTEEITENGNGTKPELKRDRESLELESEPEASPNKKQAKEVSNEDIRSEVSNPIVSPKENTSSFHDISSRNHAGSGEVTSVCSRNSSFDESLSDSSQMCDTSGAMLSSHVTLEIPKHLSSSGIRKITFKFSKRKEDYDNETSYSVGGECMNMENIYGTSSVEWSSRYSCAPNLELKMTKKVVPSNYPTNVKKLLGTGILDGARVKYISISTERVLDGIIHAGGYLCGCSFCNFSKVVSAYEFEQHAGAKTRHPNNHIYLENGKPIYSIIQELKTAPLSILDEVIKDVAGTSINEESFQDWKASLQQSNGNVQAEKIYNMKPPSLPNSLSRPNSVMKRKKTADGGMKKRDNDLHRLLFMPNGLPDGAELTYFIKGQKLLEGYKQGNGIVCCCCERELSPSQFEAHAGMAARRQPYRHIYTSNGLTLHDIALSLANGQCVTTGSSDDTCAVCGNAGDLLLRCECSQAFHPSCLNLEHLPEGEWCCPNCADEHGSSRKAVSGEASSTARPIVIRLTRVVKSPEFEIGGCVVCRGHDFSGSTFDDRTVILCDQCEKEFHVGCLRESGLCDLKEIPEDKWFCCDDCNRIHVALQSYVSSGVQIIPTVFANIIRRKYLEKGLLIDGATDCVQWRILSGKSRYSEHLPLLSSAAAIFRECFDPIVAKSGRDLIPVMVYGRNISGQEFGGMYCVVLIVRSVVVSAGLLRIFGREVAELPIVATSRKHQGKGYFQALFACIENLLSSLNVENLVLPAADEALSIWTKKFGFTKMSEQQLSKYQKELQLTIFKGTLMLEKKVEPIPE >KJB16919 pep chromosome:Graimondii2_0_v6:2:61693476:61700408:-1 gene:B456_002G254400 transcript:KJB16919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAFCLRISTEEITENGNGTKPELKRDRESLELESEPEASPNKKQAKEVSNEDIRSEVSNPIVSPKENTSSFHDISSRNHAGSGEVTSVCSRNSSFDESLSDSSQMCDTSGAMLSSHVTLEIPKHLSSSGIRKITFKFSKRKEDYDNETSYSVGGECMNMENIYGTSSVEWSSRYSCAPNLELKMTKKVVPSNYPTNVKKLLGTGILDGARVKYISISTERVLDGIIHAGGYLCGCSFCNFSKVVSAYEFEQHAGAKTRHPNNHIYLENGKPIYSIIQELKTAPLSILDEVIKDVAGTSINEESFQDWKASLQQSNGNVQAEKIYNMKPPSLPNSLSRPNSVMKRKKTADGGMKKRDNDLHRLLFMPNGLPDGAELTYFIKGQKLLEGYKQGNGIVCCCCERELSPSQFEAHAGMAARRQPYRHIYTSNGLTLHDIALSLANGQCVTTGSSDDTCAVCGNAGDLLLRCECSQAFHPSCLNLEHLPEGEWCCPNCADEHGSSRKAVSGEASSTARPIVIRLTRVVKSPEFEIGGCVVCRGHDFSGSTFDDRTVILCDQCEKEFHVGCLRESGLCDLKEIPEDKWFCCDDCNRIHVALQSYVSSGVQIIPTVFANIIRRKYLEKGLLIDGATDCVQWRILSGKSRYSEHLPLLSSAAAIFRECFDPIVAKSGRDLIPVMVYGRNISGQEFGGMYCVVLIVRSVVVSAGLLRIFGREVAELPIVATSRKHQGKGYFQALFACIENLLSSLNVENLVLPAADEALSIWTKKFGFTKMSEQQLSKYQKELQLTIFKGTLMLEKKVEPIPE >KJB16064 pep chromosome:Graimondii2_0_v6:2:55830811:55837748:1 gene:B456_002G211200 transcript:KJB16064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNYVDRGTIASNGVNGSLGTCTSSGTCTSGSGIQGEFNLNNFEDGVLSSAFMVGLLVASPIFASLSKSVNPFRLIGVGLSVWTLATAGCGFSFNFWSITICRMVVGVGEASFISLAAPFIDDNAPIAQKTAWLAIFYMCIPTGYALGYVYGGLVGTYLNWRFAFWVEAILMLPFAVLGFVMKPLNLKGFAPAESKQALISEETDVQEVQDTDALNDSAKPTKEKFSHMFSKMKCTCIDLNQFSRFIKDMKALLVDKVYVVNVLGYCAYNFVLGAYSYWGPKAGYKIYNMSDADLIFGGITIVCGILGTLAGGYVLDLMKSTIPNAFKLLSVTTFFGAIFCFTAFCFQNMYAFLAFFSVGELLVFAIQGPVNYICLHCVNPSLRPLSMAISTVSIHIFGDVPSSPLVGVLQDAINNWRETSLILTSILFPAAGIWFTGIFLHSVDRFNEDSEGQVTEVDRSNATPLLEPRVAETSLIC >KJB16061 pep chromosome:Graimondii2_0_v6:2:55830160:55837730:1 gene:B456_002G211200 transcript:KJB16061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLVPLSAPHPSWFTPKRLLVIFCVINMLNYVDRGTIASNGVNGSLGTCTSSGTCTSGSGIQGEFNLNNFEDGVLSSAFMVGLLVASPIFASLSKSVNPFRLIGVGLSVWTLATAGCGFSFNFWSITICRMVVGVGEASFISLAAPFIDDNAPIAQKTAWLAIFYMCIPTGYALGYVYGGLVGTYLNWRFAFWVEAILMLPFAVLGFVMKPLNLKGFAPAESKQALISEETDVQEVQDALNDSAKPTKEKFSHMFSKMKCTCIDLNQFSRFIKDMKALLVDKVYVVNVLGYCAYNFVLGAYSYWGPKAGYKIYNMSDADLIFGGITIVCGILGTLAGGYVLDLMKSTIPNAFKLLSVTTFFGAIFCFTAFCFQNMYAFLAFFSVGELLVFAIQVTSFPMQN >KJB16063 pep chromosome:Graimondii2_0_v6:2:55830795:55837856:1 gene:B456_002G211200 transcript:KJB16063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNYVDRGTIASNGVNGSLGTCTSSGTCTSGSGIQGEFNLNNFEDGVLSSAFMVGLLVASPIFASLSKSVNPFRLIGVGLSVWTLATAGCGFSFNFWSITICRMVVGVGEASFISLAAPFIDDNAPIAQKTAWLAIFYMCIPTGYALGYVYGGLVGTYLNWRFAFWVEAILMLPFAVLGFVMKPLNLKGFAPAESKQALISEETDVQEVQDALNDSAKPTKEKFSHMFSKMKCTCIDLNQFSRFIKDMKALLVDKVYVVNVLGYCAYNFVLGAYSYWGPKAGYKIYNMSDADLIFGGITIVCGILGTLAGGYVLDLMKSTIPNAFKLLSVTTFFGAIFCFTAFCFQNMYAFLAFFSVGELLVFAIQGPVNYICLHCVNPSLRPLSMAISTVSIHIFGDVPSSPLVGVLQDAINNWRETSLILTSILFPAAGIWFTGIFLHSVDRFNEDSEGQVTEVDRSNATPLLEPRVAETSLIC >KJB16066 pep chromosome:Graimondii2_0_v6:2:55834075:55837748:1 gene:B456_002G211200 transcript:KJB16066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNSGLSENCHDREGCKKDFQLLMLKKDKLIVLKTAWLAIFYMCIPTGYALGYVYGGLVGTYLNWRFAFWVEAILMLPFAVLGFVMKPLNLKGFAPAESKQALISEETDVQEVQDTDALNDSAKPTKEKFSHMFSKMKCTCIDLNQFSRFIKDMKALLVDKVYVVNVLGYCAYNFVLGAYSYWGPKAGYKIYNMSDADLIFGGITIVCGILGTLAGGYVLDLMKSTIPNAFKLLSVTTFFGAIFCFTAFCFQNMYAFLAFFSVGELLVFAIQGPVNYICLHCVNPSLRPLSMAISTVSIHIFGDVPSSPLVGVLQDAINNWRETSLILTSILFPAAGIWFTGIFLHSVDRFNEDSEGQVTEVDRSNATPLLEPRVAETSLIC >KJB16067 pep chromosome:Graimondii2_0_v6:2:55835234:55837730:1 gene:B456_002G211200 transcript:KJB16067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCTCIDLNQFSRFIKDMKALLVDKVYVVNVLGYCAYNFVLGAYSYWGPKAGYKIYNMSDADLIFGGITIVCGILGTLAGGYVLDLMKSTIPNAFKLLSVTTFFGAIFCFTAFCFQNMYAFLAFFSVGELLVFAIQGPVNYICLHCVNPSLRPLSMAISTVSIHIFGDVPSSPLVGVLQDAINNWRETSLILTSILFPAAGIWFTGIFLHSVDRFNEDSEGQVTEVDRSNATPLLEPRVAETSLIC >KJB16059 pep chromosome:Graimondii2_0_v6:2:55830076:55837748:1 gene:B456_002G211200 transcript:KJB16059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLVPLSAPHPSWFTPKRLLVIFCVINMLNYVDRGTIASNGVNGSLGTCTSSGTCTSGSGIQGEFNLNNFEDGVLSSAFMVGLLVASPIFASLSKSVNPFRLIGVGLSVWTLATAGCGFSFNFWSITICRMVVGVGEASFISLAAPFIDDNAPIAQKTAWLAIFYMCIPTGYALGYVYGGLVGTYLNWRFAFWVEAILMLPFAVLGFVMKPLNLKGFAPAESKQALISEETDVQEVQDTDALNDSAKPTKEKFSHMFSKMKCTCIDLNQFSRFIKDMKALLVDKVYVVNVLGYCAYNFVLGAYSYWGPKAGYKIYNMSDADLIFGGITIVCGILGTLAGGYVLDLMKSTIPNAFKLLSVTTFFGAIFCFTAFCFQNMYAFLAFFSVGELLVFAIQGPVNYICLHCVNPSLRPLSMAISTVSIHIFGDVPSSPLVGVLQDAINNWRETSLILTSILFPAAGIWFTGIFLHSVDRFNEDSEGQVTEVDRSNATPLLEPRVAETSLIC >KJB16062 pep chromosome:Graimondii2_0_v6:2:55830160:55837730:1 gene:B456_002G211200 transcript:KJB16062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLVPLSAPHPSWFTPKRLLVIFCVINMLNYVDRGTIASNGVNGSLGTCTSSGTCTSGSGIQGEFNLNNFEDGVLSSAFMVGLLVASPIFASLSKSVNPFRLIGVGLSVWTLATAGCGFSFNFWSITICRMVVGVGEASFISLAAPFIDDNAPIAQKTAWLAIFYMCIPTGYALGYVYGGLVGTYLNWRFAFWVEAILMLPFAVLGFVMKPLNLKGFAPAESKQALISEETDVQEVQDALNDSAKPTKEKFSHMFSKMKCTCIDLNQFSRFIKDMKALLVDKVYVVNVLGYCAYNFVLGAYSYWGPKAGYKIYNMSDADLIFGGITIVCGILGTLAGGYVLDLMKSTIPNAFKLLSVTTFFGAIFCFTAFCFQNMYAFLAFFSVGELLVFAIQGPVNYICLHCVNPSLRPLSMAISTVSIHIFGDVPSSPLVGVLQDAINNWRETSLILTSILFPAAGIWFTGILTCHVGHLKH >KJB16065 pep chromosome:Graimondii2_0_v6:2:55833143:55837730:1 gene:B456_002G211200 transcript:KJB16065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGYSWLWLFIQFLVHHNLPHVIGVGEASFISLAAPFIDDNAPIAQKTAWLAIFYMCIPTGYALGYVYGGLVGTYLNWRFAFWVEAILMLPFAVLGFVMKPLNLKGFAPAESKQALISEETDVQEVQDALNDSAKPTKEKFSHMFSKMKCTCIDLNQFSRFIKDMKALLVDKVYVVNVLGYCAYNFVLGAYSYWGPKAGYKIYNMSDADLIFGGITIVCGILGTLAGGYVLDLMKSTIPNAFKLLSVTTFFGAIFCFTAFCFQNMYAFLAFFSVGELLVFAIQGPVNYICLHCVNPSLRPLSMAISTVSIHIFGDVPSSPLVGVLQDAINNWRETSLILTSILFPAAGIWFTGIFLHSVDRFNEDSEGQVTEVDRSNATPLLEPRVAETSLIC >KJB16060 pep chromosome:Graimondii2_0_v6:2:55830100:55837856:1 gene:B456_002G211200 transcript:KJB16060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLVPLSAPHPSWFTPKRLLVIFCVINMLNYVDRGTIASNGVNGSLGTCTSSGTCTSGSGIQGEFNLNNFEDGVLSSAFMVGLLVASPIFASLSKSVNPFRLIGVGLSVWTLATAGCGFSFNFWSITICRMVVGVGEASFISLAAPFIDDNAPIAQKTAWLAIFYMCIPTGYALGYVYGGLVGTYLNWRFAFWVEAILMLPFAVLGFVMKPLNLKGFAPAESKQALISEETDVQEVQDALNDSAKPTKEKFSHMFSKMKCTCIDLNQFSRFIKDMKALLVDKVYVVNVLGYCAYNFVLGAYSYWGPKAGYKIYNMSDADLIFGGITIVCGILGTLAGGYVLDLMKSTIPNAFKLLSVTTFFGAIFCFTAFCFQNMYAFLAFFSVGELLVFAIQGPVNYICLHCVNPSLRPLSMAISTVSIHIFGDVPSSPLVGVLQDAINNWRETSLILTSILFPAAGIWFTGIFLHSVDRFNEDSEGQVTEVDRSNATPLLEPRVAETSLIC >KJB16685 pep chromosome:Graimondii2_0_v6:2:60725536:60729527:-1 gene:B456_002G243100 transcript:KJB16685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVSVKARQIFDSRGNPTVEARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALIGKDPTEQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVKKLPLYKHIANLAGNSKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLNTAIAKAGYTGKVVIGMDVAASEFYGTDKTYDLNFKEENNDGKQKISGDALKDLYKSFVAEYPIVSIEDPFDQDDWEHYSKLTNEIGEKVQIVGDDLLVTNPKRVAKAIAEKTCNALLLKVNQIGSVTESIEAVKMSKQAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAEAVYAGASFRAPVAPY >KJB16686 pep chromosome:Graimondii2_0_v6:2:60726325:60729179:-1 gene:B456_002G243100 transcript:KJB16686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVSVKARQIFDSRGNPTVEVDVETSNGIKARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALIGKDPTEQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVKKLPLYKHIANLAGNSKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLNTAIAKAGYTGKVVIGMDVAASEFYGTDKTYDLNFKEENNDGKQKISGDALKDLYKSFVAEYPIVSIEDPFDQDDWEHYSKLTNEIGEKVQIVGDDLLVTNPKRVAKAIAEKTCNALLLKVNQIGSVTESIEAVKMSKQAGWGVMASHRRFES >KJB16682 pep chromosome:Graimondii2_0_v6:2:60726026:60729179:-1 gene:B456_002G243100 transcript:KJB16682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVSVKARQIFDSRGNPTVEVDVETSNGIKARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALIGKDPTEQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVKKLPLYKHIANLAGNSKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLNTAIAKAGYTGKVVIGMDVAASEFYGTDKTYDLNFKEENNDGKQKISGDALKDLYKSFVAEYPIVSIEDPFDQDDWEHYSKLTNEIGEKVQIVGDDLLVTNPKRVAKAIAEKTCNALLLKVNQIGSVTESIEAVKMSKQAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQVHIPTFKHSF >KJB16680 pep chromosome:Graimondii2_0_v6:2:60726143:60729179:-1 gene:B456_002G243100 transcript:KJB16680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVSVKARQIFDSRGNPTVEVDVETSNGIKARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALIGKDPTEQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVKKLPLYKHIANLAGNSKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLNTAIAKAGYTGKVVIGMDVAASEFYGTDKTYDLNFKEENNDGKQKISGDALKDLYKSFVAEYPIVSIEDPFDQDDWEHYSKLTNEIGEKVQIVGDDLLVTNPKRVAKAIAEKTCNALLLKVNQIGSVTESIEAVKMSKQAGWGVMASHRSGETEDTFIADLSVGLATVNNITILNLNRKSSLLQMF >KJB16679 pep chromosome:Graimondii2_0_v6:2:60725536:60729527:-1 gene:B456_002G243100 transcript:KJB16679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVSVKARQIFDSRGNPTVEVDVETSNGIKARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALIGKDPTEQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVKKLPLYKHIANLAGNSKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQEGLELLNTAIAKAGYTGKVVIGMDVAASEFYGTDKTYDLNFKEENNDGKQKISGDALKDLYKSFVAEYPIVSIEDPFDQDDWEHYSKLTNEIGEKVQIVGDDLLVTNPKRVAKAIAEKTCNALLLKVNQIGSVTESIEAVKMSKQAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAEAVYAGASFRAPVAPY >KJB16683 pep chromosome:Graimondii2_0_v6:2:60725536:60729527:-1 gene:B456_002G243100 transcript:KJB16683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVSVKARQIFDSRGNPTVEVDVETSNGIKARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALIGKDPTEQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVKKLPLYKHIANLAGNSKLVLPVPAFNVINGGSHAGNKLAMQFMILPVGASSFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLNTAIAKAGYTGKVVIGMDVAASEFYGTDKTYDLNFKEENNDGKQKISGDALKDLYKSFVAEYPIVSIEDPFDQDDWEHYSKLTNEIGEKVQIVGDDLLVTNPKRVAKAIAEKTCNALLLKVNQIGSVTESIEAVKMSKQAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAEAVYAGASFRAPVAPY >KJB16678 pep chromosome:Graimondii2_0_v6:2:60725867:60729179:-1 gene:B456_002G243100 transcript:KJB16678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVSVKARQIFDSRGNPTVEVDVETSNGIKARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALIGKDPTEQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVKKLPLYKHIANLAGNSKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLNTAIAKAGYTGKVVIGMDVAASEFYGTDKTYDLNFKEENNDGKQKISGDALKDLYKSFVAEYPIVSIEDPFDQDDWEHYSKLTNEIGEKVQIVGDDLLVTNPKRVAKAIAEKTCNALLLKVNQIGSVTESIEAVKMSKQAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAEAVYAGASFRAPVAPY >KJB16681 pep chromosome:Graimondii2_0_v6:2:60725536:60729527:-1 gene:B456_002G243100 transcript:KJB16681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVSVKARQIFDSRGNPTVEVDVETSNGIKARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALIGKDPTEQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVKKLPLYKHIANLAGNSKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLNTAIAKAGYTGKVVIGMDVAASEFYGTDKTYDLNFKEENNDGKQKISGDALKDLYKSFVAEYPIVSIEDPFDQDDWEHYSKLTNEIGEKVQIVGDDLLVTNPKGCEGNCRKNLQCPSSQGQSNWICYRKYRSCENVQASWMGCDGQPPQW >KJB16684 pep chromosome:Graimondii2_0_v6:2:60725867:60729179:-1 gene:B456_002G243100 transcript:KJB16684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVSVKARQIFDSRGNPTVEVDVETSNGIKARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALIGKDPTEQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVKKLPLYKHIANLAGNSKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQNKEGLELLNTAIAKAGYTGKVVIGMDVAASEFYGTDKTYDLNFKEENNDGKQKISGDALKDLYKSFVAEYPIVSIEDPFDQDDWEHYSKLTNEIGEKVQIVGDDLLVTNPKRVAKAIAEKTCNALLLKVNQIGSVTESIEAVKMSKQAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAEAVYAGASFRAPVAPY >KJB17056 pep chromosome:Graimondii2_0_v6:2:62313509:62316907:-1 gene:B456_002G262700 transcript:KJB17056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEREEKELDLSSSEVVTKYKGAAEIVNKALQLVVKECKPKAKIVDICEKGDAFIREQTGNMYKNSKKKIERGVAFPTCLSVNNTVCHFSPLASDTSELEEGDMVKIDMGCHIDGFIAVVAHTHVVQSGPITGKQADVVAAANTAAEVALRLVRPGKKNKDVSDAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNPDTRVDDAEFEENEVYAVDIVASTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEINQRFPILPFTARFVIVLHLF >KJB17054 pep chromosome:Graimondii2_0_v6:2:62312508:62316953:-1 gene:B456_002G262700 transcript:KJB17054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEREEKELDLSSSEVVTKYKGAAEIVNKALQLVVKECKPKAKIVDICEKGDAFIREQTGNMYKNSKKKIERGVAFPTCLSVNNTVCHFSPLASDTSELEEGDMVKIDMGCHIDGFIAVVAHTHVVQSGPITGKQADVVAAANTAAEVALRLVRPGKKNKDVSDAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNPDTRVDDAEFEENEVYAVDIVASTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEINQRFPILPFTARALEEKRARLGLVECVNHDLLQPYPVLYEKPGDYVAHIKFTVLLMPNGSDRITSHPLQELQPTKTIDDPEIKAWLALGTKTKKKGGGKKKKGKKGDKAEGGAEGEAMDASTNGGAS >KJB17057 pep chromosome:Graimondii2_0_v6:2:62313269:62316953:-1 gene:B456_002G262700 transcript:KJB17057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEREEKELDLSSSEVVTKYKGAAEIVNKALQLVVKECKPKAKIVDICEKGDAFIREQTGNMYKNSKKKIERGVAFPTCLSVNNTVCHFSPLASDTSELEEGDMVKIDMGCHIDGFIAVVAHTHVVQSGPITGKQADVVAAANTAAEVALRLVRPGKKNKDVSDAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNPDTRVDDAEFEENEVYAVDIVASTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEINQRFPILPFTARFVIVLHLF >KJB17053 pep chromosome:Graimondii2_0_v6:2:62312465:62317215:-1 gene:B456_002G262700 transcript:KJB17053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEREEKELDLSSSEVVTKYKGAAEIVNKALQLVVKECKPKAKIVDICEKGDAFIREQTGNMYKNSKKKIERGVAFPTCLSVNNTVCHFSPLASDTSELEEGDMVKIDMGCHIDGFIAVVAHTHVVQSGPITGKQADVVAAANTAAEVALRLVRPGKKNKDVSDAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNPDTRVDDAEFEENEVYAVDIVASTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEINQRFPILPFTARALEEKRARLGLVECVNHDLLQPYPVLYEKPGDYVAHIKFTVLLMPNGSDRITSHPLQELQPTKTIDDPEIKAWLALGTKTKKKGGGKKKKGKKGDKAEGGAEGEAMDASTNGGAS >KJB17055 pep chromosome:Graimondii2_0_v6:2:62312757:62316907:-1 gene:B456_002G262700 transcript:KJB17055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEREEKELDLSSSEVVTKYKGAAEIVNKALQLVVKECKPKAKIVDICEKGDAFIREQTGNMYKNSKKKIERGVAFPTCLSVNNTVCHFSPLASDTSELEEGDMVKIDMGCHIDGFIAVVAHTHVVQSGPITGKQADVVAAANTAAEVALRLVRPGKKNKDVSDAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNPDTRVDDAEFEENEVYAVDIVASTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEINQRFPILPFTARALEEKRARLGLVECVNHDLLQPYPVLYEKPGDYVAHIKFTVLLMPNGSDRITSHPLQELQPTKTIDDPEIKAWLALGTKTKKKGGGKKKKGKKGDKAEGGAEGEAMDASTNGGAS >KJB12701 pep chromosome:Graimondii2_0_v6:2:2377000:2380917:-1 gene:B456_002G031900 transcript:KJB12701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLNRTLSRTYIGLQLWVLIVICLAVIFLVILGVSLWLSFRNKSRRANDMLPIRQESYILEVIKEISVDQFSANNGGLDALNDKLSDRGSEKIRFNVDNEDNRGQSNSFNHLEKDVKGPQLGEREGTGAVSTYRPTSHPLTDPSPLFGLPEFSHLGWGHWFTLRDLQLATNQFSKDNIIGDGGYGVVYRGNLINGTPVAVKKLLNNPGQADKDFRVEVEAIGHVRHKNLVRLLGYCIEGTQRMLVYEYVNNGNLEQWLRGDMCHKGYLTWDARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDENFDAKISDFGLAKLLGDGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRYPVDYGRPQPEVNMVEWLKMMVQLRRFEDVVDPNIETRPQTSALKRALLAALRCVDTDADKRPKMSQVARMLESEEYPVPREVRF >KJB12699 pep chromosome:Graimondii2_0_v6:2:2376863:2381126:-1 gene:B456_002G031900 transcript:KJB12699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLNRTLSRTYIGLQLWVLIVICLAVIFLVILGVSLWLSFRNKSRRANDMLPIRQESYILEVIKEISVDQFSANNGGLDALNDKLSDRGSEKIRFNVDNEDNRGQSNSFNHLEKDVKGPQLGEREGTGAVSTYRPTSHPLTDPSPLFGLPEFSHLGWGHWFTLRDLQLATNQFSKDNIIGDGGYGVVYRGNLINGTPVAVKKLLNNPGQADKDFRVEVEAIGHVRHKNLVRLLGYCIEGTQRMLVYEYVNNGNLEQWLRGDMCHKGYLTWDARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDENFDAKISDFGLAKLLGDGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRYPVDYGRPQPEVNMVEWLKMMVQLRRFEDVVDPNIETRPQTSALKRALLAALRCVDTDADKRPKMSQVARMLESEEYPVPREDRRRQKNLVVKSDADPDSTVA >KJB12700 pep chromosome:Graimondii2_0_v6:2:2376902:2381063:-1 gene:B456_002G031900 transcript:KJB12700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLNRTLSRTYIGLQLWVLIVICLAVIFLVILGVSLWLSFRNKSRRANDMLPIRQESYILEVIKEISVDQFSANNGGLDALNDKLSDRGSEKIRFNVDNEDNRGQSNSFNHLEKDVKGPQLGEREGTGAVSTYRPTSHPLTDPSPLFGLPEFSHLGWGHWFTLRDLQLATNQFSKDNIIGDGGYGVVYRGNLINGTPVAVKKLLNNPGQADKDFRVEVEAIGHVRHKNLVRLLGYCIEGTQRMLVYEYVNNGNLEQWLRGDMCHKGYLTWDARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDENFDAKISDFGLAKLLGDGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRYPVDYGRPQPEVNMVEWLKMMVQLRRFEDVVDPNIETRPQTSALKRALLAALRCVDTDADKRPKMSQVARMLESEEYPVPREDRRRQKNLVVKSDADPDSTVA >KJB14443 pep chromosome:Graimondii2_0_v6:2:18576615:18580203:1 gene:B456_002G125100 transcript:KJB14443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGSRSEKVKRIFQQFDNNRDGGLNRDEMAALVVAVNPRVKFSDEQLNAILDEVFRTYGEFIDGEKGLTYDGLLRTYDDGAGDVDRDFDALGLELYPDENKGASIVSEASSSSIADERVTESQRKQRTTAWAGSPHHEIVFDDTWKLVDELEILLKRLQAKQAKTGKFKSDNFDAYSDAGWSRELGPSAELSEKRVYWEETGPDYGIFVKELGALRSRADGARSREEAFDGQMAIGRVLYEHQLFKEALISFKRSCELQPMDVRPHFRAGNCLYVLGKYKEAKEEFLLALEAAEAGGNQWGYLLPQIYVNLGIALEGEGMVLSACEYYREAAILCPTHFRALKLLGSALFGVGEYRAAAKALEEAIFMKPDYADAHCDLGSALHALGEDERAIEVFQKAIDLKPGHVDALYNLGGLYMDLGRFQRASEMYTRVLAVWPNHWRAQLNKAVSLLGAGETEEAKKALKEALKMTNRVELHDAIYHLKQLQKKKVKSNGGGNGEGAFVIVEPSKFKTVGEKTTLRQDLGSAIQIRAFQRITRLSRCNVDLLKKEMNEIDVPVTYSGGGGPQKSIRKPNLEGILRRLLSFLKPETFQGAVKAINERILSVLDETGSGRVDLGMFYAVLAPICNGPPNKRKRIAFDALLWRPVNEGSSQIRKVDAVQYIKWLRAIYVPSHGISEILEVHGETDSSMVSFSEFLTMFDDPDWGFGIMSTLLKLENGDRNRHGRRVCAVCRYPVIGSRFREVKSHFSLCNQCYSEGKVPPSFKQDEYKFKEYGSEAEAMKDKCMYFTLQSHKSP >KJB13821 pep chromosome:Graimondii2_0_v6:2:12125004:12126656:-1 gene:B456_002G096100 transcript:KJB13821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVNPDQSDKDSEPFVEVDPTGRYGRYTELLGSGAVKKVYRAFDQEEGIEVAWNQVKLRNFSDDPAMIDRLYSEVRLLRSLTNNNIISLYSFWRDEEHNTLNFITEVCTSGNLREYRKKHRQVSMKALKKWSKQILKGLNYLHSHEPCIIHRDLNCSNVFVNGNTGQVKIGDLGLAAIVGKNHSAHSILGTPEFMAPELYDEHYTELIDIYSFGMCVLEMVTLEIPYSECDNVAKIYKKVSSGVKPQALDKVRDADMRAFIERCIAQPRERPSAAELLKDPFYDEVDDYDENV >KJB13819 pep chromosome:Graimondii2_0_v6:2:12124912:12126689:-1 gene:B456_002G096100 transcript:KJB13819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSVNPDQSDKDSEPFVEVDPTGRYGRYTELLGSGAVKKVYRAFDQEEGIEVAWNQVKLRNFSDDPAMIDRLYSEVRLLRSLTNNNIISLYSFWRDEEHNTLNFITEVCTSGNLREYRKKHRQVSMKALKKWSKQILKGLNYLHSHEPCIIHRDLNCSNVFVNGNTGQVKIGDLGLAAIVGKNHSAHSILGTPEFMAPELYDEHYTELIDIYSFGMCVLEMVTLEIPYSECDNVAKIYKKVSSGVKPQALDKVRDADMRAFIERCIAQPRERPSAAELLKDPFYDEVDDYDENV >KJB13820 pep chromosome:Graimondii2_0_v6:2:12125004:12126529:-1 gene:B456_002G096100 transcript:KJB13820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVNPDQSDKDSEPFVEVDPTGRYGRYTELLGSGAVKKVYRAFDQEEGIEVAWNQVKLRNFSDDPAMIDRLYSEVRLLRSLTNNNIISLYSFWRDEEHNTLNFITEVCTSGNLREYRKKHRQVSMKALKKWSKQILKGLNYLHSHEPCIIHRDLNCSNVFVNGNTGQVKIGDLGLAAIVGKNHSAHSILGTPEFMAPELYDEHYTELIDIYSFGMCVLEMVTLEIPYSECDNVAKIYKKVSSGVKPQALDKVRDADMRAFIERCIAQPRERPSAAELLKDPFYDEVDDYDENV >KJB13822 pep chromosome:Graimondii2_0_v6:2:12125004:12126656:-1 gene:B456_002G096100 transcript:KJB13822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMPSVNPDQSDKDSEPFVEVDPTGRYGRYTELLGSGAVKKVYRAFDQEEGIEVAWNQVKLRNFSDDPAMIDRLYSEVRLLRSLTNNNIISLYSFWRDEEHNTLNFITEVCTSGNLREYRKKHRQVSMKALKKWSKQILKGLNYLHSHEPCIIHRDLNCSNVFVNGNTGQVKIGDLGLAAIVGKNHSAHSILGTPEFMAPELYDEHYTELIDIYSFGMCVLEMVTLEIPYSECDNVAKIYKKVSSGVKPQALDKVRDADMRAFIERCIAQPRERPSAAELLKDPFYDEVDDYDENV >KJB16481 pep chromosome:Graimondii2_0_v6:2:59128059:59134904:1 gene:B456_002G231900 transcript:KJB16481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVLRVHLPSDIPIVGCELSPYVLLRRPDKTFTTDDVPESAPLDGHFLRYRIQSDRKVAVCSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEEIFGRFNSTGAGVISASLTGSASSTSLINGSTPLYPTAVTQRSGGETWFEVGRSKTYTPTTDDIGHVLKFECVVIDAETKLPVGHPNTLLTSRVIPAPSPSPRHLISVGGADMMGQLDSDGRLSSSGTFTVLSYNILSDSYASSELYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQCDHFDEFFAPELDKHGYQALYKRKTNEVYSGNIHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEVAVQTTQKKVALNRLVKDNVALIVVLEAKFTNQGADNPGKRQLLCVANTHVNVQQELKDVKIWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLAMGKVDPLHPDLLVDPLAILRPHSKLTHQLPLVSAYSAFLRGIGLGSEQQRRRMDPATNEPLFTNCTRDFIGTLDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCVPKTRH >KJB16480 pep chromosome:Graimondii2_0_v6:2:59127969:59135084:1 gene:B456_002G231900 transcript:KJB16480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVLRVHLPSDIPIVGCELSPYVLLRRPDKTFTTDDVPESAPLDGHFLRYKWYRIQSDRKVAVCSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEEIFGRFNSTGAGVISASLTGSASSTSLINGSTPLYPTAVTQRSGGETWFEVGRSKTYTPTTDDIGHVLKFECVVIDAETKLPVGHPNTLLTSRVIPAPSPSPRHLISVGGADMMGQLDSDGRLSSSGTFTVLSYNILSDSYASSELYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQCDHFDEFFAPELDKHGYQALYKRKTNEVYSGNIHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEVAVQTTQKKVALNRLVKDNVALIVVLEAKFTNQGADNPGKRQLLCVANTHVNVQQELKDVKIWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLAMGKVDPLHPDLLVDPLAILRPHSKLTHQLPLVSAYSAFLRGIGLGSEQQRRRMDPATNEPLFTNCTRDFIGTLDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCVPKTRH >KJB16479 pep chromosome:Graimondii2_0_v6:2:59128055:59135084:1 gene:B456_002G231900 transcript:KJB16479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVLRVHLPSDIPIVGCELSPYVLLRRPDKTFTTDDVPESAPLDGHFLRYKWYRIQSDRKVAVCSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEEIFGRFNSTGAGVISASLTGSASSTSLINGSTPLYPTAVTQRSGGETWFEVGRSKTYTPTTDDIGHVLKFECVVIDAETKLPVGHPNTLLTSRVIPAPSPSPRHLISVGGADMMGQLDSDGRLSSSGTFTVLSYNILSDSYASSELYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQCDHFDEFFAPELDKHGYQALYKRKTNEVYSGNIHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEVAVQTTQKKVALNRLVKDNVALIVVLEAKFTNQGADNPGKRQLLCVANTHVNVQQELKDVKIWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLAMGKVDPLHPDLLVDPLAILRPHSKLTHQLPLVSAYSAFLRGIGLGSEQQRRRMDPATNEPLFTNCTRDFIGTLDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCVPKTRH >KJB14236 pep chromosome:Graimondii2_0_v6:2:16268855:16270453:1 gene:B456_002G115000 transcript:KJB14236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSEENMDFIPALLAPYKMPNFSLSHRIVLAPMSRLRSYNFLAQPHAILYYSQRTTQGGFLIGEASGVSETAQGYQNTSGIWTQQQVEAWKPIVDAVHKKGGIFFCQLWHAGRASDNCFDGVEIHGANGYLIDQFLKDQVNDRTVEYGGSLENRCRFPLQVVEAVADEIGADRVGIRLSPFADYNDCADSNPEALGLYMAQSLNKYGILYCHMIEPRMITQFESQKTKNSLLPMRKAFKGTFIVAGGYNREEGNEVVAKGGADLVAFGRLFLANPDLPRRFELNAVLNKYDRNTFYTQNPVVGYTDYPFLDPTP >KJB16355 pep chromosome:Graimondii2_0_v6:2:58132797:58133776:-1 gene:B456_002G225500 transcript:KJB16355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHPQLLRWAEWFSKFAFDVIHIKGKHNVLADILSRPSEFSAQVHHPVEVFMFQPSSSKGKATQLGAFPSAWINKTYHSEISTSQCRYRSLQKYLCQINRIIPEKIWPSEDILASRDKDVPLTLYQEQLRQALVEYQTNIPNPKEWSQEYPIL >KJB15764 pep chromosome:Graimondii2_0_v6:2:52651093:52652393:-1 gene:B456_002G194700 transcript:KJB15764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSFAKQTFVPMKEAVSSYTPYPPPLVIWGGSTWSVHFD >KJB16150 pep chromosome:Graimondii2_0_v6:2:56418589:56419690:1 gene:B456_002G214900 transcript:KJB16150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPYVEQVEYLDVLTKIGKKIGVSKPRGDVHRDGDYHKAVHVWNFAERTQELLLQKRADCKDSWPGLWDISSAGHISAGDSSLITAQ >KJB12957 pep chromosome:Graimondii2_0_v6:2:3917161:3926702:-1 gene:B456_002G046500 transcript:KJB12957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEWGLSAASGLVAPLLVDYLVKPIERRIRYLFRFHKIVQELHQNQQDLAAKETQVKDDVEEAKLQIRTQVIYDQVDEWPTKAENALKDVKVLDSEIEENKRCFCLCPNWCWRYQLSQEIENKTLEIADLVKKSEFKRVGHRAKLPSLDLVTSKDNVDLKSSGAAFNKIMEALKDDIVKKIGVWGMGGVGKTTLVRNVSGKVRGFDRVIMVTVSETVDIEKIQNKIADDLDLKFGKNTEGGKATELWSRLSNGKFLVIIDDLWKEWNDDGDLRKIGIPLVKDEKGCKIILTTRNYNVCQHMECEETIQLKVLEDGEAWTLFEMNAGIKKADSRVIGEAKKIAKECKGLPLAIVTLAKALKGKALDRIQVLKVIDYLKDSCLLEDGDVGRYVKLHDIVRDVALWIASEEESSFMINSRLETLNKSSESSKAISLLGSEKKKFPDRLMHSKLEILLLNNCDIQGMTELKVLNLTAVYGTGVISLYALTFLPKLRALHLDNFAHYSFLGNLTTLEILSLHGWVYEDLADELEMLENLKILDLINCACSGRFQSKVIRRLSQLEELYLPRSGIKMSGFGYTIDTTRSLKIEEVFPYNAFSQLLGNLESLEVSKIKDEYVECLTNKTQPKVSVSMILRNLKLVRIDYCKNLKVVFQMEEVEENEAPLLSNLKILRLQRLPDLSCIWELPTQHVRLESLVELTILHCPRLKSLFSLSLAQSLVLLEKLEIIYCPELKQTVTELEGDKGEISHTINSHTSLSFPKLTKLYISTCDGLEYIFPTQHVRLESLVVLTIKKFPRLKSLFSLSLAQSLVQLKRLDISYCDEMKQIVTELEGDEGEISPTINSHNSLCFPELGDVSISNCYGLEYIFPTSMSPQGLQGLTLNVEACSQLKQVFRVAKDRVGNDSMLQHQQFLRSLSSFSVKRCPLLTDLVVHLEAKKASIKGVRLSAFKESFKTSKQLEVREIQDLECLVDTTKNGPTSAFTHLETLFMEDMDGLEALCKGQPPQGFLKNLKHLEVGECCCSHKWMRKIEIPFSPSLIQSLVLLEQLKIQHCNELETLFNDGEIEPKTSSLPLRLPKLRTLFIRACSKLEYVVPIPLAQGLPALASLSVSSCGALKQVFGMPYEQNGVQHHSSLLLPSLQDLELDWLRNLTSFVPQNYIVKAPSLKSVKANVCSKVINFPIQQANNQLELTLKNLKILRVVDCEKISEIFRIDDGIESNARYLPNLKFVEIRECPSLEYFFPHTSIGGFSHLEEIKLVGLRTLKSIVGENNFLEAPTLKILYIRGCSAFTNFTFHKEFKKCVFLKELFFSMEDTGSEDVNLCDMVNTQLRQKSPDFEYVTLGNFEQLFQLQGGNIISSLEKMELFNVIRLQDIWKGPIHVATNLRELRVRHCNNLTDIFPVMFIPHLPQLSILNIASCENLKQIIGNDDILASSSSSQGPQLEMKMVFPQLKKIELENLSKLESFNPVGYHLEIP >KJB14998 pep chromosome:Graimondii2_0_v6:2:31633312:31636504:-1 gene:B456_002G154100 transcript:KJB14998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEVFSPPIPRDLVTCCDCNCTTCSLISDPSSTWFRTVKRKYDEFETFNKFYVPGFDLYSNPKVQIENECAALRETVSSQQATIQDLYRELEEERNASSSAATEAMSMILKLQREKAEIQMEARQFKCFAEEKLAHDQQEIMDLEDLLYKREQAIQALTCEAQAYKHRMMSYGFTEAEVEGEPDGLIRNVAENFGDSGDLLQYDYQPPKCNLNENPGDDVEDIEKYAFGEIPCSREHLRNLEQRICQMERNPSSSQLSQLDGYIPGTKNVPEKXPRFISEMDKISGSRRMDNASEVGDDMSDRVYTIDSIHTGVPYKGTPEPKPGVGDCLDYAYTPRDALNLPDGCDPNIKKLYTRLQALEADRESMRQAIISMRTDNAQLVLLKEIAQQLCKEMPPERQLTVRKPSILGSLPFVSFFKWIVSFVFWKRKACRSKHLYGLSPNNVGLLLLLDKGPRLRQWRCLSSTQV >KJB14997 pep chromosome:Graimondii2_0_v6:2:31632716:31636504:-1 gene:B456_002G154100 transcript:KJB14997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEVFSPPIPRDLVTCCDCNCTTCSLISDPSSTWFRTVKRKYDEFETFNKFYVPGFDLYSNPKVQIENECAALRETVSSQQATIQDLYRELEEERNASSSAATEAMSMILKLQREKAEIQMEARQFKCFAEEKLAHDQQEIMDLEDLLYKREQAIQALTCEAQAYKHRMMSYGFTEAEVEGEPDGLIRNVAENFGDSGDLLQYDYQPPKCNLNENPGDDVEDIEKYAFGEIPCSREHLRNLEQRICQMERNPSSSQLSQLDGYIPGTKNVPEKXPRFISEMDKISGSRRMDNASEVGDDMSDRVYTIDSIHTGVPYKGTPEPKPGVGDCLDYAYTPRDALNLPDGCDPNIKKLYTRLQALEADRESMRQAIISMRTDNAQLVLLKEIAQQLCKEMPPERQLTVRKPSILGSLPFVSFFKWIVSFVFWKRKACRSKHLYGLSPNNVGLLLLLDKGPRLRQWRCLSSTQV >KJB15891 pep chromosome:Graimondii2_0_v6:2:54343828:54348551:-1 gene:B456_002G202000 transcript:KJB15891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSSDDGFDEQSERCGSYSLSADVSESESCSSFSHRRFDGEGCSSSMTSSSPRLPAAGRFGFQVPLMLPVIGGKDVVIWDDEKPEKRDTNLSEIEMMKERFAKLLLGEDMSGGGQGVCSALAISNAITNLSATVFGQLWRLEPLAPQKKAMWRREMDWLLCVSDSIVDLVPSIQQFPGGRTYEVMVTRPRLDLYVNLPALKKLDAMLITILDGFCETEFWYVDRGIVVNDDGDCEACEEKWWLPCPKVPPNGLSEDARKKLQQCRDCTNQVLKAAMAINSNVLAEMEIPTAYLENLPKNGKACLGDIIYRYITADQFSPECLLDCLDLSTEHHTLEVTNRIEAAVHVCKLKDQRKRINDIKVKRSSWGGKVKGLVSISEKNNFLAQRAETLLNCLRHRFPGLPQTSLDMSKIQYNKDVGQSILESYSRVMESLAFNIMARIDGVLYVNDVIKRCAEAETVSLFNRRGLGGLPIQKRMSPSPFSIQHTPYASPFATPTFCSSTPLTGSPGWAPSSLKRNILKEESDWKFEKPCAAEFERVCSYTRSLSARRVSENAHERD >KJB15894 pep chromosome:Graimondii2_0_v6:2:54346074:54348220:-1 gene:B456_002G202000 transcript:KJB15894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSSDDGFDEQSERCGSYSLSADVSESESCSSFSHRRFDGEGCSSSMTSSSPRLPAAGRFGFQVPLMLPVIGGKDVVIWDDEKPEKRDTNLSEIEMMKERFAKLLLGEDMSGGGQGVCSALAISNAITNLSATVFGQLWRLEPLAPQKKAMWRREMDWLLCVSDSIVDLVPSIQQFPGGRTYEVMVTRPRLDLYVNLPALKKLDAMLITILDGFCETEFWYVDRGIVVNDDGDCEACEEKWWLPCPKVPPNGLSEDARKKLQQCRDCTNQVLKAAMAINSNVLAEMEIPTAYLENLPKNGKACLGDIIYRYITADQFSPECLLDCLDLSTEHHTLEVTNRIEAAVHVCKLKDQRKRINDIKVKRSSWGGKVKGLVSISEKNNFLAQRAETLLNCLRHRFPGLPQTSLDMSKIQYNKVFRIILYGERNYKESFYHAFVKPCPLINVILEAH >KJB15893 pep chromosome:Graimondii2_0_v6:2:54344360:54348334:-1 gene:B456_002G202000 transcript:KJB15893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSSDDGFDEQSERCGSYSLSADVSESESCSSFSHRRFDGEGCSSSMTSSSPRLPAAGRFGFQVPLMLPVIGGKDVVIWDDEKPEKRDTNLSEIEMMKERFAKLLLGEDMSGGGQGVCSALAISNAITNLSATVFGQLWRLEPLAPQKKAMWRREMDWLLCVSDSIVDLVPSIQQFPGGRTYEVMVTRPRLDLYVNLPALKKLDAMLITILDGFCETEFWYVDRGIVVNDDGDCEACEEKWWLPCPKVPPNGLSEDARKKLQQCRDCTNQVLKAAMAINSNVLAEMEIPTAYLENLPKNGKACLGDIIYRYITADQFSPECLLDCLDLSTEHHTLEVTNRIEAAVHVCKLKDQRKRINDIKVKRSSWGGKVKGLVSISEKNNFLAQRAETLLNCLRHRFPGLPQTSLDMSKIQYNKDVGQSILESYSRVMESLAFNIMARIDGVLYVNDVIKRCAEAETVSLFNRRGLGGLPIQKRMSPSPFSIQHTPYASPFATPTFCSSTPLTGSPGWAPSSLKRNILKEESDWKFEKPCAAEFERVCSYTRSLSARRVSENAHERD >KJB15892 pep chromosome:Graimondii2_0_v6:2:54343861:54348486:-1 gene:B456_002G202000 transcript:KJB15892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSSDDGFDEQSERCGSYSLSADVSESESCSSFSHRRFDGEGCSSSMTSSSPRLPAAGRFGFQVPLMLPVIGGKDVVIWDDEKPEKRDTNLSEIEMMKERFAKLLLGEDMSGGGQGVCSALAISNAITNLSATVFGQLWRLEPLAPQKKAMWRREMDWLLCVSDSIVDLVPSIQQFPGGRTYEVMVTRPRLDLYVNLPALKKLDAMLITILDGFCETEFWYVDRGIVVNDDGDCEACEEKWWLPCPKVPPNGLSEDARKKLQQCRDCTNQVLKAAMAINSNVLAEMEIPTAYLENLPKNGKACLGDIIYRYITADQFSPECLLDCLDLSTEHHTLEVTNRIEAAVHVCKLKDQRKRINDIKVKRSSWGGKVKGLVSISEKNNFLAQRAETLLNCLRHRFPGLPQTSLDMSKIQYNKDVGQSILESYSRVMESLAFNIMARIDGVLYVNDVIKRCAEAETVSLFNRRGLGGLPIQKRMSPSPFSIQHTPYASPFATPTFCSSTPLTGSPGWAPSSLKRNILKEESDWKFEKPCAAEFERVCSYTRSLSARRVSENAHERD >KJB16553 pep chromosome:Graimondii2_0_v6:2:59598071:59602079:-1 gene:B456_002G235400 transcript:KJB16553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDEEDGEGTDTASKKHRNLPALAEDNQDNLSDCKTLSDVLTNLEKEIPNLKVSTYERLDWLKRASSLPASANDNTMEAPKHNFHSTSILRPALQNVASMDKVAVIELFFPSIFRAVVSLHPAGSTDPDSVAFFSPDEGGSYMHSRGSSVYHVFRQITEHATVALQYFLGIRSKTALHSLLHWICSYQTLFTKVCNKCGRLLAMDRQSALILPPVHRPYRTLSVSKHSSTQSISSTLDQGSNAPEAYHIGCFSDNT >KJB16556 pep chromosome:Graimondii2_0_v6:2:59598472:59602028:-1 gene:B456_002G235400 transcript:KJB16556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MLQQHHQQQHHHHLQSAITAAPPPSATTSTPPSSTTGEAPPKQVALAMDRLGQAARLIADIRLGADRLLEALFVASQPHQSSKPLHLFRQEDESMRQHLQDLRTVGKQLEESGVLNESLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDEEDGEGTDTASKKHRNLPALAEDNQDNLSDCKTLSDVLTNLEKEIPNLKVSTYERLDWLKRASSLPASANDNTMEAPKHNFHSTSILRPALQNVASMDKVAVIELFFPSIFRAVVSLHPAGSTDPDSVAFFSPDEGGSYMHSRGSSVYHVFRQITEHATVALQYFLGIRSKTALHSLLHWICSYQTLFTKVCNKCGRLLAMDRQSALILPPVHRPYRTLSVSKHSSTQSISSTLDQGSNAPEAYHIGCFSDNT >KJB16552 pep chromosome:Graimondii2_0_v6:2:59598071:59602079:-1 gene:B456_002G235400 transcript:KJB16552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MLQQHHQQQHHHHLQSAITAAPPPSATTSTPPSSTTGEAPPKQVALAMDRLGQAARLIADIRLGADRLLEALFVASQPHQSSKPLHLFRQEDESMRQHLQDLRTVGKQLEESGVLNESLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDEEDGEGTDTASKKHRNLPALAEDNQDNLSDCKTLSDVLTNLEKEIPNLKVSTYERLDWLKRASSLPASANDNTMEAPKHNFHSTSILRPALQNVASMDKVAVIELFFPSIFRAVVSLHPAGSTDPDSVAFFSPDEGGSYMHSRGSSVYHVFRQITEHATVALQYFLGIRSKTALHSLLHWICSYQTLFTKVCNKCGRLLAMDRSRF >KJB16554 pep chromosome:Graimondii2_0_v6:2:59598071:59602079:-1 gene:B456_002G235400 transcript:KJB16554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MLQQHHQQQHHHHLQSAITAAPPPSATTSTPPSSTTGEAPPKQVALAMDRLGQAARLIADIRLGADRLLEALFVASQPHQSSKPLHLFRQEDESMRQHLQDLRTVGKQLEESGVLNESLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDEEDGEGTDTASKKHRNLPALAEDNQDNLSDCKTLSDVLTNLEKEIPNLKVSTYERLDWLKRASSLPASANDNTMEAPKHNFHSTSILRPALQNVASMDKVAVIELFFPSIFRAVVSLHPAGSTDPDSVAFFSPDEGGSYMHSRGSSVYHVFRQITEHATVALQYFLGIRSKTALHSLLHWICSYQTLFTKVCNFNLTSCPSSLSNSFGFKTFIDSIYFLNIRSRF >KJB16558 pep chromosome:Graimondii2_0_v6:2:59599724:59602079:-1 gene:B456_002G235400 transcript:KJB16558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MLQQHHQQQHHHHLQSAITAAPPPSATTSTPPSSTTGEAPPKQVALAMDRLGQAARLIADIRLGADRLLEALFVASQPHQSSKPLHLFRQEDESMRQHLQDLRTVGKQLEESGVLNESLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDEEDGEGTDTASKKHRNLPALAEDNQDNLSDCKTLSDVLTNLEKEIPNLKVSTYERLDWLKRASSLPASANDNTMEAPKHNFHSTSILRPALQNVASMDKVAVIELFFPSIFRAVVSLHPAGSTDPDSVAFFSPDEVIFSADGNATCSLL >KJB16557 pep chromosome:Graimondii2_0_v6:2:59599451:59602079:-1 gene:B456_002G235400 transcript:KJB16557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MLQQHHQQQHHHHLQSAITAAPPPSATTSTPPSSTTGEAPPKQVALAMDRLGQAARLIADIRLGADRLLEALFVASQPHQSSKPLHLFRQEDESMRQHLQDLRTVGKQLEESGVLNESLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDEEDGEGTDTASKKHRNLPALAEDNQDNLSDCKTLSDVLTNLEKEIPNLKVSTYERLDWLKRASSLPASANDNTMEAPKHNFHSTSILRPALQNVASMDKVAVIELFFPSIFRAVVSLHPAGSTDPDSVAFFSPDEVECQLFNFG >KJB16555 pep chromosome:Graimondii2_0_v6:2:59598071:59602249:-1 gene:B456_002G235400 transcript:KJB16555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MLQQHHQQQHHHHLQSAITAAPPPSATTSTPPSSTTGEAPPKQVALAMDRLGQAARLIADIRLGADRLLEALFVASQPHQSSKPLHLFRQEDESMRQHLQDLRTVGKQLEESGVLNESLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDEEDGEGTDTASKKHRNLPALAEDNQDNLSDCKTLSDVLTNLEKEIPNLKVSTYERLDWLKRASSLPASANDNTMEAPKHNFHSTSILRPALQNVASMDKVAVIELFFPSIFRAVVSLHPAGSTDPDSVAFFSPDEGGSYMHSRGSSVYHVFRQITEHATVALQYFLGIRSKTALHSLLHWICSYQTLFTKVCKQSALILPPVHRPYRTLSVSKHSSTQSISSTLDQGSNAPEAYHIGCFSDNT >KJB17028 pep chromosome:Graimondii2_0_v6:2:62202600:62206720:1 gene:B456_002G261500 transcript:KJB17028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSTIRILVIVAIIHFPVLIDSAVRQYKFDVVMKNATMLCSTKPIVTVNGMFPGPTLYAVEDDTVLINVVNHVNYNVTIHWHGIRQLRTGWADGPAYITQCPITTGQSYIYNFTITGQRGTLLWHAHILWLRSTVHGAIVILPKCCVPYPFPKPDKEVVVVLAEWWKSDTEDVINEAIKSGLAPNVSDAHTINGLPGPVSDCPSQGGFTLHVEKGKTYLLRLINAALNEELFFKIAGHHLTIVEVDATYVKPFKTETVLISPGQTTNVLLFADQKQGNYLVTVSPFMDAPIAVDNITATATLNYIGTLTNTPTILTTPPLENATTVATNFVNSLRSLNSKQYPASVPRTVDYNLYFTVGLGLNPCPTCKPGNGSRVVASINNLTFTLPTTALLQAHYFNINGVFTTDFPANPPYVFNYTGTPPSNLQTMNGTKVFRLAYNANVQLVLQGTGIVAPENHPIHLHGFSFYAVGRGPGNYNPMTDPMKFNLVDPIERNTIGVPSGGWVAIRFRADNPGVWFLHCHLEVHTTWGLKMAFLVDNGRGPNQSLLPPPIDLPKC >KJB15450 pep chromosome:Graimondii2_0_v6:2:47740760:47743833:1 gene:B456_002G181700 transcript:KJB15450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSAPFKRARPPGNRNQVPSCLVDGCTADLSQCRDYHRRHKVCEVHSKTPKVIIRGQEQRFCQQCSRFHCLAEFDEGKRSCRKRLDGHNRRRRKPQPQPQPQPHSLSVNPGRCLSNLQGSRHLPSSSPQIFATTAITSSWLGTAKMEPDIGSESSRNGFFRGSFPHSYKREEQLSLLQTNHSSLHGVSVYQLPLLSNGVGSSQKMFSNAIDSGRALSLLSSQPAETREIGLKPMVLSGPTSSLIPNMQYNGVAMEGEHVLATDGNGNTNIYGQEMFGSANGTLQRLSFS >KJB15451 pep chromosome:Graimondii2_0_v6:2:47740760:47743833:1 gene:B456_002G181700 transcript:KJB15451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSAPFKRARPPGNRNQVPSCLVDGCTADLSQCRDYHRRHKVCEVHSKTPKVIIRGQEQRFCQQCSRFHCLAEFDEGKRSCRKRLDGHNRRRRKPQPQPQPQPHSLSVNPGRCLSNLQGSRHLPSSSPQIFATTAITSSWLGTAKMEPDIGSESSRNGFFRGSFPHSYKREEQLSLLQTNHSSLHGVSVYQLPLLSNGVGSSQKMFSNAIDSGRALSLLSSQPAETREIGLKPMVLSGPTSSLIPNMQYNGVAMEGEHVLATDGNGNTNIYGQEMFGSANGTLQRLSFS >KJB13607 pep chromosome:Graimondii2_0_v6:2:10654608:10658260:1 gene:B456_002G084200 transcript:KJB13607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G50940) UniProtKB/Swiss-Prot;Acc:Q9C6I6] MASRLLLQNLSKRHLLRSTFASRSASTLVLAEHEGGSIKAQSLSAVVAANSLSQDNPVSLLLAGSGSSLHQAAEKAATCHPSISQVLVADSEKFAYPLAEPWAKLIQLVQLKGDYSHVIATSDSFGKNILPRAAALLDVSPVTDVVDINGPRQFIRPIYAGNALCTVRYTGANPCMLTVRSTSFPVPPISVDSKSGGASISEVDLSTLDEDSVGKSRFMKLSSQDTGRPDLGSAKIVVTGGRALKSAENFKMIEKLAEKLGAAVGATRAAVDAGFVPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGMKDSKIIVAVNKDSDAPIFQVADYGLVGDLFEVIPELLEKLPEKK >KJB13606 pep chromosome:Graimondii2_0_v6:2:10654608:10658199:1 gene:B456_002G084200 transcript:KJB13606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G50940) UniProtKB/Swiss-Prot;Acc:Q9C6I6] MASRLLLQNLSKRHLLRSTFASRSASTLVLAEHEGGSIKAQSLSAVVAANSLSQDNPVSLLLAGSGSSLHQAAEKAATCHPSISQVLVADSEKFAYPLAEPWAKLIQLVQLKGDYSHVIATSDSFGKNILPRAAALLDVSPVTDVVDINGPRQFIRPIYAGNALCTVRYTGANPCMLTVRSTSFPVPPISVDSKSGGASISEVDLSTLDEDSVGKSRFMKLSSQDTGRPDLGSAKIVVTGGRALKSAENFKMIEKLAEKLGAAVGATRAAVDAGFVPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGMKDSKIIVAVNKDSDAPIFQVILLSYPDMHICPV >KJB13768 pep chromosome:Graimondii2_0_v6:2:11832187:11836401:1 gene:B456_002G093200 transcript:KJB13768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGTGRIGSCSKEHQKIYQEWFNFADSDNDGRITGNDAIKFFGMSNLSRPDLKQVWATADSKRQGFLGFKEFVFAMQLVSLAQEGHQISHDLLNGDVDFENIKPPVMEGLDALIMRKKQSSKSSSLESNGSSSNQTSPASQWFSSKSSKKISLSSVTSIIDGLKRLYLQKLKPLEVTYHFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVVMSGTDERSVPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHVTFVDTPGVLSGEKQRTQRAYDFTGVTSWFAAKCDLILLLFDPHKLDVSDEFKRVIYSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNEAATGPIGKELFEKEQEDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIAHLKKEMPSMIGKAKTQQRLIDNLEGEFGKVQRDHHLPPGDFPNVEHFKEILSGYNFDKFEKLKPKMIQAVDDMLGYDIPELLKTFRNPYD >KJB13766 pep chromosome:Graimondii2_0_v6:2:11832189:11836401:1 gene:B456_002G093200 transcript:KJB13766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGTGRIGSCSKEHQKIYQEWFNFADSDNDGRITGNDAIKFFGMSNLSRPDLKQVWATADSKRQGFLGFKEFVFAMQLVSLAQEGHQISHDLLNGDDFENIKPPVMEGLDALIMRKKQSSKSSSLESNGSSSNQTSPASQWFSSKSSKKISLSSVTSIIDGLKRLYLQKLKPLEVTYHFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVVMSGTDERSVPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHVTFVDTPGVLSGEKQRTQRAYDFTGVTSWFAAKCDLILLLFDPHKLDVSDEFKRVIYSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNEAATGPIGKELFEKEQEDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIAHLKKEMPSMIGKAKTQQRLIDNLEGEFGKVQRDHHLPPGDFPNVEHFKEILSGYNFDKFEKLKPKMIQAVDDMLGYDIPELLKTFRNPYD >KJB13765 pep chromosome:Graimondii2_0_v6:2:11832189:11836434:1 gene:B456_002G093200 transcript:KJB13765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGTGRIGSCSKEHQKIYQEWFNFADSDNDGRITGNDAIKFFGMSNLSRPDLKQVWATADSKRQGFLGFKEFVFAMQLVSLAQEGHQISHDLLNGDVDFENIKPPVMEGLDALIMRKKQSSKSSSLESNGSSSNQTSPASQWFSSKSSKKISLSSVTSIIDGLKRLYLQKLKPLEVTYHFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVVMSGTDERSVPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHVTFVDTPGVLSGEKQRTQRAYDFTGVTSWFAAKCDLILLLFDPHKLDVSDEFKRVIYSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNEAATGPIGKELFEKEQEDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIAHLKKEMPSMIGKAKTQQRLIDNLEGEFGKVQRDHHLPPGDFPNVEHFKEILSGYNFDKFEKLKPKMIQAVDDMLGYDIPELLKTFRNPYD >KJB13767 pep chromosome:Graimondii2_0_v6:2:11832189:11836401:1 gene:B456_002G093200 transcript:KJB13767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGTGRIGSCSKEHQKIYQEWFNFADSDNDGRITGNDAIKFFGMSNLSRPDLKQVWATADSKRQGFLGFKEFVFAMQLVSLAQEGHQISHDLLNGDVDFENIKPPVMEGLDALIMRKKQSSKSSSLESNGSSSNQTSPASQWFSSKSSKKISLSSVTSIIDGLKRLYLQKLKPLEVTYHFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVVMADMPFSGLTTFGTAFLSKFECSQMPHPLLEHVTFVDTPGVLSGEKQRTQRAYDFTGVTSWFAAKCDLILLLFDPHKLDVSDEFKRVIYSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNEAATGPIGKELFEKEQEDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIAHLKKEMPSMIGKAKTQQRLIDNLEGEFGKVQRDHHLPPGDFPNVEHFKEILSGYNFDKFEKLKPKMIQAVDDMLGYDIPELLKTFRNPYD >KJB16814 pep chromosome:Graimondii2_0_v6:2:61293400:61301897:-1 gene:B456_002G248900 transcript:KJB16814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM8 [Source:Projected from Arabidopsis thaliana (AT3G09660) UniProtKB/Swiss-Prot;Acc:Q9SF37] MFGSHGERSIEKYGSINASNVGSIVPVYFTENEYSKDEEKLIFDLIRFFSASPEKDLADQVKDDCGAVSLSLDYQRFRRLCDIEEFYAKMEDKPKIALSCMSAAIHQVLLNNQDFYLEEGKKINIRLHNYPESMTVLKNLKAAYIACTIHGCKSRTFKPIRSSAQAIDFQKIRLQELLKSEDHEEGRVPRTVECELTEDLVDLCIPGDVATVTGIIRVINNYMDIGGGKSKGRNQGFYYLYIEAVSIKNSKSLSVTEDMEDSNSDARAKELVDLFSFSPRDLEFIVKFSKEHGSDVFRQILQSICPSIYGHELVKAGITLALFGGVRKHSMDRNKVPVRGDIHVIVVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTNAGLTVAVVKDTMTSDYAFEAGAMVLADGGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYNRAKTVNENLKMSPALLSRFDLVFILLDKPDEQLDKQLSEHIMSLHAGYGESSPALKKPRIAKAQNVEAITMRVKGGSLVSRLRLDPKKDADFVPLPGALLRKYISYARTYVFPRMSKPAAEILQKFYLQLRDRNTAGDGTPITARQLESLVRLTQARARVDLREEITVQDAKDAVEIMKESLYDKYVDEHGFVDFGRSGGMSQQKEAKRFLSALNKQSELQQKDCFSISEIYSLADRIGLRVPDIDTFVDNLNSVGYLLKKGPKAYQVLSSSYSRSQPSRSRC >KJB16810 pep chromosome:Graimondii2_0_v6:2:61293400:61301897:-1 gene:B456_002G248900 transcript:KJB16810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM8 [Source:Projected from Arabidopsis thaliana (AT3G09660) UniProtKB/Swiss-Prot;Acc:Q9SF37] MFGSHGERSIEKYGSINASNVGSIVPVYFTENEYSKDEEKLIFDLIRFFSASPEKDLADQVKDDCGAVSLSLDYQRFRRLCDIEEFYAKMEDKPKIALSCMSAAIHQVLLNNQDFYLEEGKKINIRLHNYPESMTVLKNLKAAYIDKLVSVRGTVVKASNVKPLVIKMNFACEKCATEIPRSFPDGKFSPPSACTIHGCKSRTFKPIRSSAQAIDFQKIRLQELLKSEDHEEGRVPRTVECELTEDLVDLCIPGDVATVTGIIRVINNYMDIGGGKSKGRNQGFYYLYIEAVSIKNSKSLSVTEDMEDSNSDARAKELVDLFSFSPRDLEFIVKFSKEHGSDVFRQILQSICPSIYGHELVKAGITLALFGGVRKHSMDRNKVPVRGDIHVIVVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTNAGLTVAVVKDTMTSDYAFEAGAMVLADGGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYNRAKTVNENLKMSPALLSRFDLVFILLDKPDEQLDKQLSEHIMSLHAGYGESSPALKKPRIAKAQNVEAITMRVKGGSLVSRLRLDPKKDADFVPLPGALLRKYISYARTYVFPRMSKPAAEILQKFYLQLRDRNTAGDGTPITARQLESLVRLTQARARVDLREEITVQDAKDAVEIMKESLYDKYVDEHGFVDFGRSGGMSQQKEAKRFLSALNKQSELQQKDCFSISEIYSLADRIGLRVPDIDTFVDNLNSVGYLLKKGPKAYQVLSSSYSRSQPSRSRC >KJB16809 pep chromosome:Graimondii2_0_v6:2:61293607:61301783:-1 gene:B456_002G248900 transcript:KJB16809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM8 [Source:Projected from Arabidopsis thaliana (AT3G09660) UniProtKB/Swiss-Prot;Acc:Q9SF37] MFGSHGERSIEKYGSINASNVGSIVPVYFTENEYSKDEEKLIFDLIRFFSASPEKDLADQVKDDCGAVSLSLDYQRFRRLCDIEEFYAKMEDKPKIALSCMSAAIHQVLLNNQDFYLEEGKKINIRLHNYPESMTVLKNLKAAYIACTIHGCKSRTFKPIRSSAQAIDFQKIRLQELLKSEDHEEGRVPRTVECELTEDLVDLCIPGDVATVTGIIRVINNYMDIGGGKSKGRNQGFYYLYIEAVSIKNSKSLSVTEDMEDSNSDARAKELVDLFSFSPRDLEFIVKFSKEHGSDVFRQILQSICPSIYGHELVKAGITLALFGGVRKHSMDRNKVPVRGDIHVIVVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTNAGLTVAVVKDTMTSDYAFEAGAMVLADGGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYNRAKTVNENLKMSPALLSRFDLVFILLDKPDEQLDKQLSEHIMSLHAGYGESSPALKKPRIAKAAQNVEAITMRVKGGSLVSRLRLDPKKDADFVPLPGALLRKYISYARTYVFPRMSKPAAEILQKFYLQLRDRNTAGDGTPITARQLESLVRLTQARARVDLREEITVQDAKDAVEIMKESLYDKYVDEHGFVDFGRSGGMSQQKEAKRFLSALNKQSELQQKDCFSISEIYSLADRIGLRVPDIDTFVDNLNSVGYLLKKGPKAYQVLSSSYSRSQPSRSRC >KJB16815 pep chromosome:Graimondii2_0_v6:2:61293607:61301783:-1 gene:B456_002G248900 transcript:KJB16815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM8 [Source:Projected from Arabidopsis thaliana (AT3G09660) UniProtKB/Swiss-Prot;Acc:Q9SF37] MFGSHGERSIEKYGSINASNVGSIVPVYFTENEYSKDEEKLIFDLIRFFSASPEKDLADQVKDDCGAVSLSLDYQRFRRLCDIEEFYAKMEDKPKIALSCMSAAIHQVLLNNQDFYLEEGKKINIRLHNYPESMTVLKNLKAAYIDKLVSVRGTVVKASNVKPLVIKMNFACEKCATEIPRSFPDGKFSPPSACTIHGCKSRTFKPIRSSAQAIDFQKIRLQELLKSEDHEEGRVPRTVECELTEDLVDLCIPGDVATVTGIIRVINNYMDIGGGKSKGRNQGFYYLYIEAVSIKNSKSLSVTEDMEDSNSDARAKELVDLFSFSPRDLEFIVKFSKEHGSDVFRQILQSICPSIYGHELVKAGITLALFGGVRKHSMDRNKVPVRGDIHVIVVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTNAGLTVAVVKDTMTSDYAFEAGAMVLADGGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYNRAKTVNENLKMSPALLSRFDLVFILLDKPDEQLDKQLSEHIMSLHAGYGESSPALKKPRIAKAAQNVEAITMRVKGGSLVSRLRLDPKKDADFVPLPGALLRKYISYARTYVFPRMSKPAAEILQKFYLQLRDRNTAGDGTPITARQLESLVRLTQARARVDLREEITVQDAKDAVEIMKESLYDKYVDEHGFVDFGRSGGMSQQKEAKRFLSALNKQSELQQKDCFSISEIYSLADRIGLRVPDIDTFVDNLNSVGYLLKKGPKAYQVLSSSYSRSQPSRSRC >KJB16812 pep chromosome:Graimondii2_0_v6:2:61293607:61301783:-1 gene:B456_002G248900 transcript:KJB16812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM8 [Source:Projected from Arabidopsis thaliana (AT3G09660) UniProtKB/Swiss-Prot;Acc:Q9SF37] MFGSHGERSIEKYGSINASNVGSIVPVYFTENEYSKDEEKLIFDLIRFFSASPEKDLADQVKDDCGAVSLSLDYQRFRRLCDIEEFYAKMEDKPKIALSCMSAAIHQVLLNNQDFYLEEGKKINIRLHNYPESMTVLKNLKAAYIDKLVSVRGTVVKASNVKPLVIKMNFACEKCATEIPRSFPDGKFSPPSACTIHGCKSRTFKPIRSSAQAIDFQKIRLQELLKSEDHEEGRVPRTVECELTEDLVDLCIPGDVATVTGIIRVINNYMDIGGGKSKGRNQGFYYLYIEAVSIKNSKSLSVTEDMEDSNSDARAKELVDLFSFSPRDLEFIVKFSKEHGSDVFRQILQSICPSIYGHELVKAGITLALFGGVRKHSMDRNKVPVRGDIHVIVVGDPGLGKSQLLQAAAAVSPRGAMVLADGGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYNRAKTVNENLKMSPALLSRFDLVFILLDKPDEQLDKQLSEHIMSLHAGYGESSPALKKPRIAKAAQNVEAITMRVKGGSLVSRLRLDPKKDADFVPLPGALLRKYISYARTYVFPRMSKPAAEILQKFYLQLRDRNTAGDGTPITARQLESLVRLTQARARVDLREEITVQDAKDAVEIMKESLYDKYVDEHGFVDFGRSGGMSQQKEAKRFLSALNKQSELQQKDCFSISEIYSLADRIGLRVPDIDTFVDNLNSVGYLLKKGPKAYQVLSSSYSRSQPSRSRC >KJB16811 pep chromosome:Graimondii2_0_v6:2:61293400:61301897:-1 gene:B456_002G248900 transcript:KJB16811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM8 [Source:Projected from Arabidopsis thaliana (AT3G09660) UniProtKB/Swiss-Prot;Acc:Q9SF37] MFGSHGERSIEKYGSINASNVGSIVPVYFTENEYSKDEEKLIFDLIRFFSASPEKDLADQVKDDCGAVSLSLDYQRFRRLCDIEEFYAKMEDKPKIALSCMSAAIHQVLLNNQDFYLEEGKKINIRLHNYPESMTVLKNLKAAYIACTIHGCKSRTFKPIRSSAQAIDFQKIRLQELLKSEDHEEGRVPRTVECELTEDLVDLCIPGDVATVTGIIRVINNYMDIGGGKSKGRNQGFYYLYIEAVSIKNSKSLSVTEDMEDSNSDARAKELVDLFSFSPRDLEFIVKFSKEHGSDVFRQILQSICPSIYGHELVKAGITLALFGGVRKHSMDRNKVPVRGDIHVIVVGDPGLGKSQLLQAAAAVSPRGAMVLADGGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYNRAKTVNENLKMSPALLSRFDLVFILLDKPDEQLDKQLSEHIMSLHAGYGESSPALKKPRIAKAQNVEAITMRVKGGSLVSRLRLDPKKDADFVPLPGALLRKYISYARTYVFPRMSKPAAEILQKFYLQLRDRNTAGDGTPITARQLESLVRLTQARARVDLREEITVQDAKDAVEIMKESLYDKYVDEHGFVDFGRSGGMSQQKEAKRFLSALNKQSELQQKDCFSISEIYSLADRIGLRVPDIDTFVDNLNSVGYLLKKGPKAYQVLSSSYSRSQPSRSRC >KJB16813 pep chromosome:Graimondii2_0_v6:2:61293607:61301783:-1 gene:B456_002G248900 transcript:KJB16813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM8 [Source:Projected from Arabidopsis thaliana (AT3G09660) UniProtKB/Swiss-Prot;Acc:Q9SF37] MFGSHGERSIEKYGSINASNVGSIVPVYFTENEYSKDEEKLIFDLIRFFSASPEKDLADQVKDDCGAVSLSLDYQRFRRLCDIEEFYAKMEDKPKIALSCMSAAIHQVLLNNQDFYLEEGKKINIRLHNYPESMTVLKNLKAAYIACTIHGCKSRTFKPIRSSAQAIDFQKIRLQELLKSEDHEEGRVPRTVECELTEDLVDLCIPGDVATVTGIIRVINNYMDIGGGKSKGRNQGFYYLYIEAVSIKNSKSLSVTEDMEDSNSDARAKELVDLFSFSPRDLEFIVKFSKEHGSDVFRQILQSICPSIYGHELVKAGITLALFGGVRKHSMDRNKVPVRGDIHVIVVGDPGLGKSQLLQAAAAVSPRGAMVLADGGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYNRAKTVNENLKMSPALLSRFDLVFILLDKPDEQLDKQLSEHIMSLHAGYGESSPALKKPRIAKAAQNVEAITMRVKGGSLVSRLRLDPKKDADFVPLPGALLRKYISYARTYVFPRMSKPAAEILQKFYLQLRDRNTAGDGTPITARQLESLVRLTQARARVDLREEITVQDAKDAVEIMKESLYDKYVDEHGFVDFGRSGGMSQQKEAKRFLSALNKQSELQQKDCFSISEIYSLADRIGLRVPDIDTFVDNLNSVGYLLKKGPKAYQVLSSSYSRSQPSRSRC >KJB16816 pep chromosome:Graimondii2_0_v6:2:61293400:61301897:-1 gene:B456_002G248900 transcript:KJB16816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM8 [Source:Projected from Arabidopsis thaliana (AT3G09660) UniProtKB/Swiss-Prot;Acc:Q9SF37] MFGSHGERSIEKYGSINASNVGSIVPVYFTENEYSKDEEKLIFDLIRFFSASPEKDLADQVKDDCGAVSLSLDYQRFRRLCDIEEFYAKMEDKPKIALSCMSAAIHQVLLNNQDFYLEEGKKINIRLHNYPESMTVLKNLKAAYIDKLVSVRGTVVKASNVKPLVIKMNFACEKCATEIPRSFPDGKFSPPSACTIHGCKSRTFKPIRSSAQAIDFQKIRLQELLKSEDHEEGRVPRTVECELTEDLVDLCIPGDVATVTGIIRVINNYMDIGGGKSKGRNQGFYYLYIEAVSIKNSKSLSVTEDMEDSNSDARAKELVDLFSFSPRDLEFIVKFSKEHGSDVFRQILQSICPSIYGHELVKAGITLALFGGVRKHSMDRNKVPVRGDIHVIVVGDPGLGKSQLLQAAAAVSPRGAMVLADGGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYNRAKTVNENLKMSPALLSRFDLVFILLDKPDEQLDKQLSEHIMSLHAGYGESSPALKKPRIAKAQNVEAITMRVKGGSLVSRLRLDPKKDADFVPLPGALLRKYISYARTYVFPRMSKPAAEILQKFYLQLRDRNTAGDGTPITARQLESLVRLTQARARVDLREEITVQDAKDAVEIMKESLYDKYVDEHGFVDFGRSGGMSQQKEAKRFLSALNKQSELQQKDCFSISEIYSLADRIGLRVPDIDTFVDNLNSVGYLLKKGPKAYQVLSSSYSRSQPSRSRC >KJB12925 pep chromosome:Graimondii2_0_v6:2:3787036:3789065:1 gene:B456_002G045400 transcript:KJB12925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine--tRNA ligase [Source:Projected from Arabidopsis thaliana (AT3G02660) UniProtKB/TrEMBL;Acc:A0A178VAQ8] MASRTFLCFYHGKFLLPFTTTKFIPASRRPIKSLSFSSVSCSLNTPQPTVEPKFQMGSTPNVVKILEERGLLESITNENLRLVCSDQTAGPLKVYCGFDPTAESLHLGNLLGLIVLSWFQRCGHRAVALIGGATGRIGDPSGKSQERPELDLESLEKNIAGIMEIVNKILSKNANLGSEQNSNFVILNNYDWWKEVRLLDFLKQVGRYARVGSMMSKESVKKRLESEQGMSYTEFTYQLLQGYDFLYLFKNEGVNVQIGGSDQWGNITAGTELIRKILQAEGAYGLTFPLLLKSDGTKFGKSEDGAIWLSPSMLSPYKFYQYFFSVPDADVVRFLKILTFLNMEEINELESAMKKPGYVPNTAQRKLAEEITRFVHGEDGLTEALKATEALRPGSETKLDWETIEGIAEDVPSRSLPYTEVLNLSIVDLSVSSGLFESKSAARRLLKQGGIYLNNNRVDNESKRIEAEDIVDGKVLLLSAGKKNKVVVRIS >KJB12281 pep chromosome:Graimondii2_0_v6:2:615720:619212:-1 gene:B456_002G009500 transcript:KJB12281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGANLLRRLLRSQLSADDGGTGFIIAAIYHLRPHYSTTTEFSDEGNSEKKKESKWFTLPPFAKTVNASELGAKLARKNHLKSATSAETSAYETTALKWVLKCCPELPRNLVQKLFRLRQVRRESIAMEVTDDGCQVQKIQLKRVGAKDSLNIGDKIFLPISVREVPEEKHEHDCTDEESNFIRSLELYKDTAIIVLNKPPGMPVQGGIGIKWSLDELAAAWLRYDYSESPRLVHRLDRDCSGILVMGRTQMSAAILHSVFREETLGASKHDSDNEKRVLQKRYWALVIGSPRRPKGTISAPLRKFLLVVGGGG >KJB12280 pep chromosome:Graimondii2_0_v6:2:615658:619301:-1 gene:B456_002G009500 transcript:KJB12280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGANLLRRLLRSQLSADDGGTGFIIAAIYHLRPHYSTTTEFSDEGNSEKKKESKWFTLPPFAKTVNASELGAKLARKNHLKSATSAETSAYETTALKWVLKCCPELPRNLVQKLFRLRQVRRESIAMEVTDDGCQVQKIQLKRVGAKDSLNIGDKIFLPISVREVPEEKHEHDCTDEESNFIRSLELYKDTAIIVLNKPPGMPVQGGIGIKWSLDELAAAWLRYDYSESPRLVHRLDRDCSGILVMGRTQMSAAILHSVFREETLGASKHDSDNEKRVLQKRYWALVIGSPRRPKGTISAPLRKVVVDDGKSDRITVFEHNNTILSSQRAITEYRVIKTSTHGFTWLELSPLTGRKHQLRVHCAEVLGTPIVGDYKYGWQAHRRFQNLAESDLRKNSNEKVAKENMLPFGLNMDSGSISEKHLRLHLHCREMILPNVSQVLRNPKSFTDDDLSKLKSLKIVAPLPSYMQKSWDILNS >KJB14452 pep chromosome:Graimondii2_0_v6:2:18992221:18998421:1 gene:B456_002G125700 transcript:KJB14452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGTHRPTMDLLRSEPMQLVQLIIPIESAHRSISYLGDLGFFQFKDLNSEKSPFQRTYATQIKRCGEMARKLRFFKEQMVKAGLSPSTRSAMSDDVDLDNLEVKLGELEAELMEMNANHEKLQHSYNELIEYKLVVQKAGEFFHSAQSMAAAKQREVEAQQRGEGSIDSPLLLEQEMVTDPSKQVKLGFVSGLVPREKSLAFERILFRATRGNVFLKQSVLEGSVTDPASGEKAEKNVFVVFYSGERARNKIVKICEAFGANRYPVTEDLSKQFQIITEVSGRLEELKTTIDVGLVHQTNLLQTIAYHFEQWSHLVKKEKSIYHTLSMLSIDVTKKCLVAEGWCPVFATNKIQNVLQRATVDSNSQIGTIFHILQTKESPPTYFHTNKFTSAFQEIVDAYGIAKYQEANPGVFTIITFPFLFAVMFGDWGHGICLFLATSYFIIKEKKFSSQKLGDITEMIFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGPSAYGCRDPACRDASTAGLVKVRATYPFGVDPKWHGTRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAKFSGNELNIRYQFLPQMIFLNSLFGYLSLLIVVKWCIGSQADLYHVMIYMFLSPTDDLGENQLFFGQKFLQIVLLLAALVAVPWMLFPKPFLLKKQHEERHRGQSYALLENSLDESDEMEVRHGSSSHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWGFNNIFILIIGIFVFICATVGVLLVMETLSAFLHALRLHWVEFQSKFYEGDGYKFQPFSFASLDAEDE >KJB14453 pep chromosome:Graimondii2_0_v6:2:18992254:18998372:1 gene:B456_002G125700 transcript:KJB14453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGTHRPTMDLLRSEPMQLVQLIIPIESAHRSISYLGDLGFFQFKDLNSEKSPFQRTYATQIKRCGEMARKLRFFKEQMVKAGLSPSTRSAMSDDVDLDNLEVKLGELEAELMEMNANHEKLQHSYNELIEYKLVVQKAGEFFHSAQSMAAAKQREVEAQQRGEGSIDSPLLLEQEMVTDPSKQVKLGFVSGLVPREKSLAFERILFRATRGNVFLKQSVLEGSVTDPASGEKAEKNVFVVFYSGERARNKIVKICEAFGANRYPVTEDLSKQFQIITEVSGRLEELKTTIDVGLVHQTNLLQTIAYHFEQWSHLVKKEKSIYHTLSMLSIDVTKKCLVAEGWCPVFATNKIQNVLQRATVDSNSQIGTIFHILQTKESPPTYFHTNKFTSAFQEIVDAYGIAKYQEANPGVFTIITFPFLFAVMFGDWGHGICLFLATSYFIIKEKKFSSQKLGDITEMIFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGPSAYGCRDPACRDASTAGLVKVRATYPFGVDPKWHGTRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAKFSGNELNIRYQFLPQMIFLNSLFGYLSLLIVVKWCIGSQADLYHVMIYMFLSPTDDLGENQLFFGQKFLQIVLLLAALVAVPWMLFPKPFLLKKQHEEVCTVVNLMRY >KJB14464 pep chromosome:Graimondii2_0_v6:2:19122178:19123912:-1 gene:B456_002G126100 transcript:KJB14464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPQLIPSHSSSSSLTHQPSIGPNAVSPYLLNPNFKPFFNSSSLFQSRRFHRLSSLRCFASSFPEKRHPYPPQSDESVQLPLFPLPLVLFPGAVLPLQIFEFRYRIMMHTLLHTDLRFGVIYSDPVGGTSDVGCVGDIFKHERLVDDRFFLICKGQERFRVTNIVRKKPYLVAEVNWLEDRPSSDEDLEGIADEVESCMKDVIRLSNRLNGKPVKEAQDLRRNLFPTPFSFFVGSTFEGAPKEQQALLELEDTAARLRREKGTLRNTLNYLSAASAVKDVFPSS >KJB14465 pep chromosome:Graimondii2_0_v6:2:19122178:19123974:-1 gene:B456_002G126100 transcript:KJB14465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPQLIPSHSSSSSLTHQPSIGPNAVSPYLLNPNFKPFFNSSSLFQSRRFHRLSSLRCFASSFPEKRHPYPPQSDESVQLPLFPLPLVLFPGAVLPLQIFEFRYRIMMHTLLHTDLRFGVIYSDPVGGTSDVGCVGDIFKHERLVDDRFFLICKGQERFRVTNIVRKKPYLVAEVNWLEDRPSSDEDLEGIADEVESCMKDVIRLSNRLNGKPVKEAQDLRRNLFPTPFSFFVGSTFEGAPKEQQALLELEDTAARLRREKGTLRNTLNYLSAASAVKDVFPSS >KJB15065 pep chromosome:Graimondii2_0_v6:2:34573090:34574293:-1 gene:B456_002G158300 transcript:KJB15065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNSSIEGNNLRVRKLELSDKSKGFLELLRQLTVCDSVSDKEFEDRFKEISMYGDDHVICVIEDEISGKIIASGSVFIEKKFIRKCGKVGHIEDVVVDAGSRGMQLGKKIVGFLADHARSMGCYKVILDCSDENKVFYEKCGFKKKEIQMVKYFV >KJB15064 pep chromosome:Graimondii2_0_v6:2:34572229:34574352:-1 gene:B456_002G158300 transcript:KJB15064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNSSIEGNNLRVRKLELSDKSKGFLELLRQLTVCDSVSDKEFEDRFKEISMYGDDHVICVIEDEISGKIIASGSVFIEKKFIRKCGKVGHIEDVVVDAGSRGMQLGKKIVGFLADHARSMGCYKVILDCSDENKVFYEKCGFKKKEIQMVKYFV >KJB15192 pep chromosome:Graimondii2_0_v6:2:39833456:39845332:-1 gene:B456_002G164400 transcript:KJB15192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINPAASLLREKHHGVLITGVQLCTDLCKVSSEALEYFREKCTEGLVKTLKDMANSPYAPEYDIAGITDPFLHIRLLKLLRILGQGDADASDCMNDILAQVATKIESNKNAGNAILYECVGTIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAITVDAQAVQRHRATILECVKDSDASIRKKALDLVYLLVNESNVKPLTKELIESLEASDQEFKGVLTAKICSLVEKFSPEKIWYIDQMLKVLSEAGNFVKDEVWHALIVVISNASDLHGYSVRALYRAFQTSTEQETLVRVAVWCIGEYGDMLVNNVGMLDIEEPITVTESDAVDALEVSIKRLNSDLTTKAMALIALLKLSSRFPSCSERIKDIILQNKRSFVLELQQRSIEFNCILQKHQNIRSALVERMPVLDEATFSGRRAGSLPTSVSTSTGTARNLPNGIAKPAAAPVADLLDLSSSDAPAPSSSGGDFLQDLLGVDLSPASAPPGTSQPPKAGTDVLLDLLSIGTSPPAQSSSSASNILSSIQDDKASLVNLNGLASLTSLSPNATSASPAPMMDLLDGFGPSPQKKEENGPAYPSLVAYESSTLRMTFNISKQPGNPQITLIQAIFTNLSPNVYNDFLFQAAVPKFLQLHLDPASSNTLPASGNGSIIQSMKVTNSQHGKKSLVMRIRIAYKINNKDVLEEGQVSNFPRDL >KJB15194 pep chromosome:Graimondii2_0_v6:2:39833112:39847598:-1 gene:B456_002G164400 transcript:KJB15194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSGTRLRDMIRAIRSCKTAAEERAVVRKECAAIRAAISENDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASSGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIIGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINPAASLLREKHHGVLITGVQLCTDLCKVSSEALEYFREKCTEGLVKTLKDMANSPYAPEYDIAGITDPFLHIRLLKLLRILGQGDADASDCMNDILAQVATKIESNKNAGNAILYECVGTIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAITVDAQAVQRHRATILECVKDSDASIRKKALDLVYLLVNESNVKPLTKELIESLEASDQEFKGVLTAKICSLVEKFSPEKIWYIDQMLKVLSEAGNFVKDEVWHALIVVISNASDLHGYSVRALYRAFQTSTEQETLVRVAVWCIGEYGDMLVNNVGMLDIEEPITVTESDAVDALEVSIKRLNSDLTTKAMALIALLKLSSRFPSCSERIKDIILQNKRSFVLELQQRSIEFNCILQKHQNIRSALVERMPVLDEATFSGRRAGSLPTSVSTSTGTARNLPNGIAKPAAAPVADLLDLSSSDAPAPSSSGGDFLQDLLGVDLSPASAPPGTSQPPKAGTDVLLDLLSIGTSPPAQSSSSASNILSSIQDDKASLVNLNGLASLTSLSPNATSASPAPMMDLLDGFGPSPQKKEENGPAYPSLVAYESSTLRMTFNISKQPGNPQITLIQAIFTNLSPNVYNDFLFQAAVPKFLQLHLDPASSNTLPASGNGSIIQSMKVTNSQHGKKSLVMRIRIAYKINNKDVLEEGQVSNFPRDL >KJB15193 pep chromosome:Graimondii2_0_v6:2:39833112:39847587:-1 gene:B456_002G164400 transcript:KJB15193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSGTRLRDMIRAIRSCKTAAEERAVVRKECAAIRAAISENDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASSGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIIGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINPAASLLREKHHGVLITGVQLCTDLCKVSSEALEYFREKCTEGLVKTLKDMANSPYAPEYDIAGITDPFLHIRLLKLLRILGQGDADASDCMNDILAQVATKIESNKNAGNAILYECVGTIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAITVDAQAVQRHRATILECVKDSDASIRKKALDLVYLLVNESNVKPLTKELIESLEASDQEFKGVLTAKICSLVEKFSPEKIWYIDQMLKVLSEAGNFVKDEVWHALIVVISNASDLHGYSVRALYRAFQTSTEQETLVRVAVWCIGEYGDMLVNNVGMLDIEEPITVTESDAVDALEVSIKRLNSDLTTKAMALIALLKLSSRFPSCSERIKDIILQNKRSFVLELQQRSIEFNCILQKHQNIRSALVERMPVLDEATFSGRRAGSLPTSVSTSTGTARNLPNGIAKPAAAPVADLLDLSSSDAPAPSSSGGDFLQDLLGVDLSPASAPPGTSQPPKADDKASLVNLNGLASLTSLSPNATSASPAPMMDLLDGFGPSPQKKEENGPAYPSLVAYESSTLRMTFNISKQPGNPQITLIQAIFTNLSPNVYNDFLFQAAVPKFLQLHLDPASSNTLPASGNGSIIQSMKVTNSQHGKKSLVMRIRIAYKINNKDVLEEGQVSNFPRDL >KJB15195 pep chromosome:Graimondii2_0_v6:2:39833964:39847587:-1 gene:B456_002G164400 transcript:KJB15195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSGTRLRDMIRAIRSCKTAAEERAVVRKECAAIRAAISENDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASSGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIIGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINPAASLLREKHHGVLITGVQLCTDLCKVSSEALEYFREKCTEGLVKTLKDMANSPYAPEYDIAGITDPFLHIRLLKLLRILGQGDADASDCMNDILAQVATKIESNKNAGNAILYECVGTIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAITVDAQAVQRHRATILECVKDSDASIRKKALDLVYLLVNESNVKPLTKELIESLEASDQEFKGVLTAKICSLVEKFSPEKIWYIDQMLKVLSEAGNFVKDEVWHALIVVISNASDLHGYSVRALYRAFQTSTEQETLVRVAVWCIGEYGDMLVNNVGMLDIEEPITVTESDAVDALEVSIKRLNSDLTTKAMALIALLKLSSRFPSCSERIKDIILQNKRSFVLELQQRSIEFNCILQKHQNIRSALVERMPVLDEATFSGRRAGSLPTSVSTSTGTARNLPNGIAKPAAAPVADLLDLSSSDAPAPSSSGGDFLQDLLGVDLSPASAPPGTSQPPKAGTDVLLDLLSIGTSPPAQSSSSASNILSSIQDDKASLVNLNGLASLTSLSPNATSASPAPMMDLLDGFGPSPQKKEENGPAYPSLVAYESSTLRMTFNISKQPGNPQITLIQAIFTNLSPNVYNDFLFQAAVPKFLQLHLDPASSNTLPASGNGSIIQSMKVTNSQHGKVCTEFE >KJB15196 pep chromosome:Graimondii2_0_v6:2:39837798:39847587:-1 gene:B456_002G164400 transcript:KJB15196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSGTRLRDMIRAIRSCKTAAEERAVVRKECAAIRAAISENDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASSGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIIGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINPAASLLREKHHGVLITGVQLCTDLCKVSSEALEYFREKCTEGLVKTLKDMANSPYAPEYDIAGITDPFLHIRLLKLLRILGQGDADASDCMNDILAQVATKIESNKNAGNAILYECVGTIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAITVDAQAVQRHRATILECVKDSDASIRKKALDLVYLLVNESNVKPLTKELIESLEASDQEFKGVLTAKICSLVEKFSPEKIWYIDQMLKVLSEAGNFVKDEVWHALIVVISNASDLHGYSVRALYRAFQTSTEQETLVRVAVWCIGEYGDMLVNNVGMLDIEEPITVTESDAVDALEVSIKRLNSDLTTKAMALIALLKLSSRFPSCSE >KJB14126 pep chromosome:Graimondii2_0_v6:2:15502157:15504496:1 gene:B456_002G111100 transcript:KJB14126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTSKAKVTTGTTHVEITPPAVARINGRPVLQPTCNRVPSLDRRNSLKKIPPISPPPPASLPFTPSATSTTTVANGSRARASLTLPLSPSSKFTTKRGSDPNALNSSSEKVAIPRNTTKTLDRKKSKSFKEGMGNNGLSSYIEPSLSYSSSLLVEAPGSIAAVRREQVALQQAQRKMKIAHYGRSKSAKFESKVVPLDNTKPAEEKKRCSFITPNSDPIYVAYHDEEWGVPIHDDSMLFELLVLSGAQVGSDWTSILKKRQDFRV >KJB14127 pep chromosome:Graimondii2_0_v6:2:15502141:15504496:1 gene:B456_002G111100 transcript:KJB14127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTSKAKVTTGTTHVEITPPAVARINGRPVLQPTCNRVPSLDRRNSLKKIPPISPPPPASLPFTPSATSTTTVANGSRARASLTLPLSPSSKFTTKRGSDPNALNSSSEKVAIPRNTTKTLDRKKSKSFKEGMGNNGLSSYIEPSLSYSSSLLVEAPGSIAAVRREQVALQQAQRKMKIAHYGRSKSAKFESKVVPLDNTKPAEEKKRCSFITPNSDPIYVAYHDEEWGVPIHDDSMLFELLVLSGAQVGSDWTSILKKRQDFRDAFSGFDAETVANFTEKQMTTISSEYGIDISRVRGVVDNSNRILEVKREFGSFDKYIWGFVNHKPMSTQYKFDHKIPVKTSKSESISKDMVRRGFRFVGPTVVYSFMQAAGLTNDHLITCHRHLPCALLAIQRPPVHSLPDL >KJB14124 pep chromosome:Graimondii2_0_v6:2:15502157:15505354:1 gene:B456_002G111100 transcript:KJB14124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTSKAKVTTGTTHVEITPPAVARINGRPVLQPTCNRVPSLDRRNSLKKIPPISPPPPASLPFTPSATSTTTVANGSRARASLTLPLSPSSKFTTKRGSDPNALNSSSEKVAIPRNTTKTLDRKKSKSFKEGMGNNGLSSYIEPSLSYSSSLLVEAPGSIAAVRREQVALQQAQRKMKIAHYGRSKSAKFESKVVPLDNTKPAEEKKRCSFITPNSDPIYVAYHDEEWGVPIHDDSMLFELLVLSGAQVGSDWTSILKKRQDFRDAFSGFDAETVANFTEKQMTTISSEYGIDISRVRGVVDNSNRILEVKREFGSFDKYIWGFVNHKPMSTQYKFDHKIPVKTSKSESISKDMVRRGFRFVGPTVVYSFMQAAGLTNDHLITCHRHLPCALLAIQRPPVHSLPDL >KJB14128 pep chromosome:Graimondii2_0_v6:2:15502157:15505354:1 gene:B456_002G111100 transcript:KJB14128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTSKAKVTTGTTHVEITPPAVARINGRPVLQPTCNRVPSLDRRNSLKKIPPISPPPPASLPFTPSATSTTTVANGSRARASLTLPLSPSSKFTTKRGSDPNALNSSSEKVAIPRNTTKTLDRKKSKSFKEGMGNNGLSSYIEPSLSYSSSLLVEAPGSIAAVRREQVALQQAQRKMKIAHYGRSKSAKFESKVVPLDNTKPAEEKKRCSFITPNSDPIYVAYHDEEWGVPIHDDSMLFELLVLSGAQVGSDWTSILKKRQDFRDAFSGFDAETVANFTEKQMTTISSEYGIDISRVRGVVDNSNLQVKREFGSFDKYIWGFVNHKPMSTQYKFDHKIPVKTSKSESISKDMVRRGFRFVGPTVVYSFMQAAGLTNDHLITCHRHLPCALLAIQRPPVHSLPDL >KJB14125 pep chromosome:Graimondii2_0_v6:2:15502157:15504496:1 gene:B456_002G111100 transcript:KJB14125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTSKAKVTTGTTHVEITPPAVARINGRPVLQPTCNRVPSLDRRNSLKKIPPISPPPPASLPFTPSATSTTTVANGSRARASLTLPLSPSSKFTTKRGSDPNALNSSSEKVAIPRNTTKTLDRKKSKSFKEGMGNNGLSSYIEPSLSYSSSLLVEAPGSIAAVRREQVALQQAQRKMKIAHYGRSKSAKFESKVVPLDNTKPAEEKKRCSFITPNSDPIYVAYHDEEWGVPIHDDSMLFELLVLSGAQVGSDWTSILKKRQDFRDAFSGFDAETVANFTEKQMTTISSEYGIDISRVRGVVDNSNRILECRLRGSLDHLTNIYGDL >KJB14129 pep chromosome:Graimondii2_0_v6:2:15502157:15514871:1 gene:B456_002G111100 transcript:KJB14129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTSKAKVTTGTTHVEITPPAVARINGRPVLQPTCNRVPSLDRRNSLKKIPPISPPPPASLPFTPSATSTTTVANGSRARASLTLPLSPSSKFTTKRGSDPNALNSSSEKVAIPRNTTKTLDRKKSKSFKEGMGNNGLSSYIEPSLSYSSSLLVEAPGSIAAVRREQVALQQAQRKMKIAHYGRSKSAKFESKVVPLDNTKPAEEKKRCSFITPNSDPIYVAYHDEEWGVPIHDDSMLFELLVLSGAQVGSDWTSILKKRQDFRDAFSGFDAETVANFTEKQMTTISSEYGIDISRVRGVVDNSNRILEVKREFGSFDKYIWGFVNHKPMSTQYKFDHKIPVKTSKSESISKDMVRRGFRFVGPTVVYSFMQAAGLTNDHLITCHRHLPCALLAIQRPPVHSLPDL >KJB13304 pep chromosome:Graimondii2_0_v6:2:7869953:7870517:1 gene:B456_002G067500 transcript:KJB13304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIVIDNLWISTRFPSCLMAAACEASKLLMSWKWRMVMRSMLCFTKLVAQALDVVNCVKASSSLLLLAVESRLTV >KJB12136 pep chromosome:Graimondii2_0_v6:2:127248:134453:1 gene:B456_002G002300 transcript:KJB12136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLENTVENPEPQKAKKENGNGSVDTVKSEERNRSRSSKHKRDERADGSDDYHHPSKHSKSIEESSRDHDQRKELGSSQLSRSRDGEKDRHRSSREHRSKDRDREDRNGREREKERDRDRKERDRESERDRERERERERSRRSRSRSEREPDKSRDMEFREREKEREPRERDRGIRRYKDKKEDAAEPEVDPERDQRTVFAYQISLKASERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTTSASAGQTGPYAAGGRKLYVGNLHFNITEDQLRQVFEPFGSVELVQLPLDETGHSKGFGFVQFARLEDAKNALNLNGQLEIGGRVIKVSTVTDQGVSQDFGTNASAADLDDDDGGGLSLNSSSRALLMAKLDRSGTASSIAGSVGMPANNSTGLTASTAPILGVAPSLPSLVPPTIPTSISTIPGLPGGLQLPTNGIPVIDTIGSPSECLLLKNMFDPTLETEPEFDLDIKEDVQEECSKFGKLKHIHVDRDSAGFVYLRFEDAQGAINAQRNLHGRWFAGKMITATYMVPQTYEAKFPSSNR >KJB12137 pep chromosome:Graimondii2_0_v6:2:127260:133977:1 gene:B456_002G002300 transcript:KJB12137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLENTVENPEPQKAKKENGNGSVDTVKSEERNRSRSSKHKRDERADGSDDYHHPSKHSKSIEESSRDHDQRKELGSSQLSRSRDGEKDRHRSSREHRSKDRDREDRNGREREKERDRDRKERDRESERDRERERERERSRRSRSRSEREPDKSRDMEFREREKEREPRERDRGIRRYKDKKEDAAEPEVDPERDQRTVFAYQISLKASERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTTSASAGQTGPYAAGGRKLYVGNLHFNITEDQLRQVFEPFGSVELVQLPLDETGHSKGFGFVQFARLEDAKNALNLNGQLEIGGRVIKVSTVTDQGVSQDFGTNASAADLDDDDGGGLSLNSSSRALLMAKLDRSGTASSIAGSVGMPANNSTGLTASTAPILGVAPSLPSLVPPTIPTSISTIPGLPGGLQLPTNGIPVIDTIGSPSECLLLKNMFDPTLETEPEFDLDIKEDVQEECSKFGKLKHIHVDRDSAGFVYLRFEDAQGAINAQRNLHGRWFAGKMITATYMVKYHFLLV >KJB13549 pep chromosome:Graimondii2_0_v6:2:10174849:10179432:1 gene:B456_002G080700 transcript:KJB13549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGESSGLVIGISIGVVIGVLLAIFALFCIRYHRKRSQIGNSSSRRAATIPIRANGADSCNMLSDSTMGPESPVKSGRNGMSMWLEGLRRSNVVSVSGIPEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMLTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENLEPLSWNLRVYIALDVARGLEYLHDGAAPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVELAAMSTEGKVGWEEIVDSRLDGKFDLQELNEIAALAYKCVNRVPKKRPSMRDIVQVLTRILKTRHRKKHQKSLSATADEVLVDIEQGETKNPITEHQREESMDSAADTFEM >KJB13550 pep chromosome:Graimondii2_0_v6:2:10174556:10179450:1 gene:B456_002G080700 transcript:KJB13550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGESSGLVIGISIGVVIGVLLAIFALFCIRYHRKRSQIGNSSSRRAATIPIRANGADSCNMLSDSTMGPESPVKSGRNGMSMWLEGLRRSNVVSVSGIPEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMLTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENLEPLSWNLRVYIALDVARGLEYLHDGAAPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVELAAMSTEGKVGWEEIVDSRLDGKFDLQELNEIAALAYKCVNRVPKKRPSMRDIVQVLTRILKTRHRKKHQKSLSATADEVLVDIEQGETKNPITEHQREESMDSAADTFEM >KJB13554 pep chromosome:Graimondii2_0_v6:2:10176385:10179422:1 gene:B456_002G080700 transcript:KJB13554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENLEPLSWNLRVYIALDVARGLEYLHDGAAPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVELAAMSTEGKVGWEEIVDSRLDGKFDLQELNEIAALAYKCVNRVPKKRPSMRDIVQVLTRILKTRHRKKHQKSLSATADEVLVDIEQGETKNPITEHQREESMDSAADTFEM >KJB13551 pep chromosome:Graimondii2_0_v6:2:10174827:10179450:1 gene:B456_002G080700 transcript:KJB13551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGESSGLVIGISIGVVIGVLLAIFALFCIRYHRKRSQIGNSSSRRAATIPIRANGADSCNMLSDSTMGPESPVKSGRNGMSMWLEGLRRSNVVSVSGIPEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMLTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENLEPLSWNLRVYIALDVARGLEYLHDGAAPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVELAAMSTEGKVGWEEIVDSRLDGKFDLQELNEIAALAYKCVNRVPKKRPSMRDIVQVLTRILKTRHRKKHQKSLSATADEVLVDIEQGETKNPITEHQREESMDSAADTFEM >KJB13556 pep chromosome:Graimondii2_0_v6:2:10175645:10179422:1 gene:B456_002G080700 transcript:KJB13556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGETVAVKVLATDSKQGEKEFQTEVGKVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENLEPLSWNLRVYIALDVARGLEYLHDGAAPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVELAAMSTEGKVGWEEIVDSRLDGKFDLQELNEIAALAYKCVNRVPKKRPSMRDIVQVLTRILKTRHRKKHQKSLSATADEVLVDIEQGETKNPITEHQREESMDSAADTFEM >KJB13552 pep chromosome:Graimondii2_0_v6:2:10175645:10177763:1 gene:B456_002G080700 transcript:KJB13552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGESSGLVIGISIGVVIGVLLAIFALFCIRYHRKRSQIGNSSSRRAATIPIRANGADSCNMLSDSTMGPESPVKSGRNGMSMWLEGLRRSNVVSVSGIPEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMLTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSKYTVNYPFERLV >KJB13553 pep chromosome:Graimondii2_0_v6:2:10175645:10179422:1 gene:B456_002G080700 transcript:KJB13553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGESSGLVIGISIGVVIGVLLAIFALFCIRYHRKRSQIGNSSSRRAATIPIRANGADSCNMLSDSTMGPESPVKSGRNGMSMWLEGLRRSNVVSVSGIPEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMLTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENLEPLSWNLRVYIALDVARGLEYLHDGVIFFIIWTINGPVFAGM >KJB13555 pep chromosome:Graimondii2_0_v6:2:10175645:10179422:1 gene:B456_002G080700 transcript:KJB13555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGESSGLVIGISIGVVIGVLLAIFALFCIRYHRKRSQIGNSSSRRAATIPIRANGADSCNMLSDSTMGPESPVKSGRNGMSMWLEGLRRSNVVSVSGIPEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMLTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENLEPLSWNLRVYIALDVARGLEYLHDGAAPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVEL >KJB13861 pep chromosome:Graimondii2_0_v6:2:12563956:12565465:1 gene:B456_002G098600 transcript:KJB13861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYNCSLVTVVVVFLCGFRVCFSLTTDVHVKNFISWDDIKVDEHQTRLSDSRVNRNRSRVIVVNKNGGADSVTVQGAIDMVPENNTRRVKIYILPGIYREKVTVPKCKPYISFVGNAGQMSNTIISWNDKASDKDSNGINLGTYRSASVTIESDYFCATDITFENTVVQTPGEPGMQAVALRISGDKAMFYKVKVVGTQDTLLDEIGSHYFYQCHIQGSVDFIFGKSRSLYQDCVIESTAVRSGAIAAHRRDSPDDDTGFSFVNCKINGTGRIYLGRAWGNYSRAIYSNCYFDNIINPAGWTDWNDPLRQKTVVFGEFQCRGTGANTTGRVPWSKKLSFEEAKPFLDMKFIDGPQWLRL >KJB14199 pep chromosome:Graimondii2_0_v6:2:23020547:23021164:-1 gene:B456_002G137100 transcript:KJB14199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFWEPNKMKEQLNTIKERLWENSPDSVKAFPWKKAENLLLDKLLVAGNKALKLSIVTVFVFSCLSDFIHSIYRNQELMIPFGLIVGVLMSDFLKQTSQEAFRSFEGKDLEMKWQVLWMGGLFVVIKLVCAWFGIGTRVFLLHVANGGLMQVLWQWRSSLAENGSMEDGSTPSSAES >KJB14200 pep chromosome:Graimondii2_0_v6:2:23020187:23021768:-1 gene:B456_002G137100 transcript:KJB14200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTSSLLPSSLNIKVKQYEHQSCCYQLHNFHRLSPKCHLKITMAQFWEPNKMKEQLNTIKERLWENSPDSVKAFPWKKAENLLLDKLLVAGNKALKLSIVTVFVFSCLSDFIHSIYRNQELMIPFGLIVGVLMSDFLKQTSQEAFRSFEGKDLEMKWQVLWMGGLFVVIKLVCAWFGIGTRVFLLHVANGGLMQVLWQWRSSLAENGSMEDGSTPSSAES >KJB15754 pep chromosome:Graimondii2_0_v6:2:52967297:52969027:-1 gene:B456_002G1956001 transcript:KJB15754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSLVFGGFWAFFILLSGSLVASYGSLSLSTFGLTVQNILMGFSENLNGPLYDFTAYTECKAQPEEPLYQGGILKDEPPIMRPAIFGKTATGFYTPAFLLKNLTNSDDKDIDITIDSSSLQSFTDQEWRFNQQFMINTQRKRAVTIHVSDQQGNRLQGAAITINQVSKDFPFGSAIAHTILGNLPYQNWFVERFNAAVFENELKWYATEPDQGKTNYTLADQMLEFVRAHQIIARGHNIFWEDPKYTPAW >KJB12328 pep chromosome:Graimondii2_0_v6:2:799733:801584:-1 gene:B456_002G012200 transcript:KJB12328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEVIKAELVLPTHMSFKRIQMYEKYPKGQSKVRWKQLKQILQAENCQNYSPDEPNYVNIESPPSMQPCKRICDITGFEAPYHDPRTNLRYANADVFKLVRSLPNEYVQRYLALRKAAVVLR >KJB16927 pep chromosome:Graimondii2_0_v6:2:61726870:61730598:1 gene:B456_002G254800 transcript:KJB16927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVHDDCKLKFQELKAKRTHRFIVFKIEEKQKQVIVEKLGEPTESYEDFTKCLPADECRYAVYDFDFLTAENVPKSRIFFIAWSPDTSRIRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVFKSRAN >KJB13052 pep chromosome:Graimondii2_0_v6:2:4808034:4811930:1 gene:B456_002G054300 transcript:KJB13052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSPMRKTSCLSRRFSRSLRLSARTAALTTVSTRENHLESSSTSSPSSPPPLAEAGGDSWSTLLPELLVEIMERVEASEEMWPDRKNVVSSACVCKKWREVTREIVKASSQNSGKITFPSCLKQPGPREFPNQCIIKRNKKNSTFFLYLALSPSFTEKGKFLLAARRYRHGAHIEYIISLDPNELSQGSNAYVGKLSSDFLGTNFKIYDSQPPHSGAKPSSSRASRRFASKQICPQVPAGNFEVGQVSYKFNLLKSRGPRRMVCSIQCPLPEDMADEKYLDDIKMKMPEHASSGHTILRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLAATVEESQLGGKEEEETVLQFGKVGDDTFTMDYRQPLSAFLAFAICLTSFGTKLACE >KJB14049 pep chromosome:Graimondii2_0_v6:2:14900957:14902554:-1 gene:B456_002G108900 transcript:KJB14049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSSYLRYIPNLRCDIDACIQVSRDHMMHRNLVQGFFRSAKGMLRANGEIHVNHKTNAPFSLWNLKKLASGCSLALIQCVDFNVEDYPGYHNKRGDGSRCDEPFPLGKSSTFKFGFCSRAKKASKATKRWGSMCNKSQHFQTISMPMQLRSTSDFNYHRRNHTVNRIPLRVKLRPIIPNQNQYSGVFDRNFNGLVRTCQANSLRSDFDARYDDLGSLRHGLDRQLVEVPRTLNGNLPYMHEHKHEHEQVRHSLDRQVVGVPRTINDNLYYMYEHEQARHSLDRQKVEVPRALHGNLYYMHEHENSLDRRMIEMPRTLNGNSYYMHEHKPARHSVDRQLVEMPRALNGNLYYMHDREHELAHISNSRPHLHRALACPTSQLKVPNTRDVLRL >KJB14050 pep chromosome:Graimondii2_0_v6:2:14900957:14903686:-1 gene:B456_002G108900 transcript:KJB14050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRGLRKLMLSAENGESDEEEKRVSHYSSNHQILLVGEGDFSFSLSLANAFASASNICASSLDSYDILVKKYKNAISNLENLEKLGACLLHEVDASKMKHHTDLANRKFDRIIFNFPHAGFHGKEDNPHMIRMHRNLVQGFFRSAKGMLRANGEIHVNHKTNAPFSLWNLKKLASGCSLALIQCVDFNVEDYPGYHNKRGDGSRCDEPFPLGKSSTFKFGFCSRAKKASKATKRWGSMCNKSQHFQTISMPMQLRSTSDFNYHRRNHTVNRIPLRVKLRPIIPNQNQYSGVFDRNFNGLVRTCQANSLRSDFDARYDDLGSLRHGLDRQLVEVPRTLNGNLPYMHEHKHEHEQVRHSLDRQVVGVPRTINDNLYYMYEHEQARHSLDRQKVEVPRALHGNLYYMHEHENSLDRRMIEMPRTLNGNSYYMHEHKPARHSVDRQLVEMPRALNGNLYYMHDREHELAHISNSRPHLHRALACPTSQLKVPNTRDVLRL >KJB13780 pep chromosome:Graimondii2_0_v6:2:11862432:11867315:1 gene:B456_002G093800 transcript:KJB13780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTEAENPLVGEITCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAQLLQALSDAKLELSTLVSALGDKSFISIPETTLGTINEQLAAIAPALEQLLKQKEERQTGAPAVDESDLSLKKLNEYQVKLQELQKEKSDRLHKVLEFVSTVHDLCAVLGMDFFSTVTEVHPSLDDTTGVQSKSISNDTLLRLAETVSTLNEDKKQRLHKLQELATQLIDLWNLMDTPEEERILFDHVTCHTSASVDGVTVPGALALDLIEQAEVEVERLDQLKASRMKEIAFKKQVELEEIFARAHIEIDPEAAREKIMALIDSGNVEPTELLADMDNQIAKAKEEVLSRKEILDRVEKWMSACEEESWLEDYNRDENRYNSSRGAHLNLKRAEKARVLVNKIPGMVDTLVAKTRAWEEDRGISFSYDGVPLLAMLDEYSMLRQEREEEKRRLRDQKKYGEQQNTEQEAIFGSKPSPARPSGPKKVVGPRANGGTNGTPSRRLSLNATQNGSRSATKDGKRDSMKLAAPANFVAISKEDAASHVSGAGPVPASP >KJB13782 pep chromosome:Graimondii2_0_v6:2:11862457:11867315:1 gene:B456_002G093800 transcript:KJB13782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTEAENPLVGEITCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAQLLQALSDAKLELSTLVSALGDKSFISIPETTLGTINEQLAAIAPALEQLLKQKEERVKEFSDVQSQIQKICGEIAGNASEQTGAPAVDESDLSLKKLNEYQVKLQELQKEKSDRLHKVLEFVSTVHDLCAVLGMDFFSTVTEVHPSLDDTTGVQSKSISNDTLLRLAETVSTLNEDKKQRLHKLQELATQLIDLWNLMDTPEEERILFDHVTCHTSASVDGVTVPGALALDLIEQAEVEVERLDQLKASRMKEIAFKKQVELEEIFARAHIEIDPEAAREKIMALIDSGNVEPTELLADMDNQIAKAKEEVLSRKEILDRVEKWMSACEEESWLEDYNRDENRYNSSRGAHLNLKRAEKARVLVNKIPGMVDTLVAKTRAWEEDRGISFSYDGVPLLAMLDEYSMLRQEREEEKRRLRDQKKYGEQQNTEQEAIFGSKPSPARPSGPKKVVGPRANGGTNGTPSRRLSLNATQNGSRSATKDGKRDSMKLAAPANFVAISKEDAASHVSGAGPVPASP >KJB13779 pep chromosome:Graimondii2_0_v6:2:11862432:11865988:1 gene:B456_002G093800 transcript:KJB13779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTEAENPLVGEITCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAQLLQALSDAKLELSTLVSALGDKSFISIPETTLGTINEQLAAIAPALEQLLKQKEERVKEFSDVQSQIQKICGEIAGNASEQTGAPAVDESDLSLKKLNEYQVKLQELQKEKSDRLHKVLEFVSTVHDLCAVLGMDFFSTVTEVHPSLDDTTGVQSKSISNDTLLRLAETVSTLNEDKKQRLHKLQELATQLIDLWNLMDTPEEERILFDHVTCHTSASVDGVTVPGALALDLIEQAEVEVERLDQLKASRMKEIAFKKQVELEEIFARAHIEIDPEAAREKIMALIDSGNVEPTELLADMDNQIAKAKEEVLSRKEILDRVEKWMSACEEESWLEDYNRDENRYNSSRGAHLNLKRAEKARVLVNKIPGRAI >KJB13781 pep chromosome:Graimondii2_0_v6:2:11862388:11867315:1 gene:B456_002G093800 transcript:KJB13781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTEAENPLVGEITCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAQLLQALSDAKLELSTLVSALGDKSFISIPETTLGTINEQLAAIAPALEQLLKQKEERVKEFSDVQSQIQKICGEIAGNASEQTGAPAVDESDLSLKKLNEYQVKLQELQKEKSDRLHKVLEFVSTVHDLCAVLGMDFFSTVTEVHPSLDDTTGVQSKSISNDTLLRLAETVSTLNEDKKQRLHKLQELATQLIDLWNLMDTPEEERILFDHVTCHTSASVDGVTVPGALALDLIEQAEVEVERLDQLKASRMKEIAFKKQVELEEIFARAHIEIDPEAAREKIMALIDSGNVEPTELLADMDNQIAKAKEEVLSRKEILDRVEKWMSACEEESWLEDYNRDENRYNSSRGAHLNLKRAEKARVLVNKIPGMVDTLVAKTRAWEEDRGISFSYDGVPLLAMLDEYSMLRQEREEEKRRLRDQKKYGEQQNTEQEAIFGSKPSPARPSGPKKVVGPRANGGTNGTPSRRLSLNATQNGSRSATKDGKRDSMKLAAPANFVAISKEDAASHVSGAGPVPASP >KJB13778 pep chromosome:Graimondii2_0_v6:2:11863126:11867315:1 gene:B456_002G093800 transcript:KJB13778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTEAENPLVGEITCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAQLLQALSDAKLELSTLVSALGDKSFISIPETTLGTINEQLAAIAPALEQLLKQKEERVKEFSDVQSQIQKICGEIAGNASEQTGAPAVDESDLSLKKLNEYQVKLQELQKEKSDRLHKVLEFVSTVHDLCAVLGMDFFSTVTEVHPSLDDTTGVQSKSISNDTLLRLAETVSTLNEDKKQRLHKLQELATQLIDLWNLMDTPEEERILFDHVTCHTSASVDGVTVPGALALDLIEQAEVEVERLDQLKASRMKEIAFKKQVELEEIFARAHIEIDPEAAREKIMALIDSGNVEPTELLADMDNQIAKAKEEVLSRKEILDRVEKWMSACEEESWLEDYNRDENRYNSSRGAHLNLKRAEKARVLVNKIPGMVDTLVAKTRAWEEDRGISFSYDGVPLLAMLDEYSMLRQEREEEKRRLRDQKKYGEQQNTEQEAIFGSKPSPARPSGPKKVVGPRANGGTNGTPSRRLSLNATQNGSRSATKDGKRDSMKLAAPANFVAISKEDAASHVSGAGPVPASP >KJB14879 pep chromosome:Graimondii2_0_v6:2:27437205:27437849:-1 gene:B456_002G147400 transcript:KJB14879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLITPSNNQHNNPTLCRTYNKVQIRKDSYFTYKGQLLISNLELHTDITSSSFIFYTVEIIPFHHTLTASELPVLYMLEFQLLIWVLNATEMTTPSVKKNNLMIGKS >KJB12985 pep chromosome:Graimondii2_0_v6:2:4137905:4138321:-1 gene:B456_002G048700 transcript:KJB12985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLPPLLVFASIFSACCLATSTLGAALAKDEVEALKSIGKTLGKTNWNFSIDPCSSRDESWAKFAKKCAYYVNNVTCDCSSTLCHIVRM >KJB14862 pep chromosome:Graimondii2_0_v6:2:27015304:27016394:1 gene:B456_002G146800 transcript:KJB14862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRLKILSSLYADDADVPQVQHYRLFLKEHVVFKEAIPIKNPLALSKIHQTYRVGYLKVFTIEYSLICKISDYKKLYRAFSILGFSTSQ >KJB16142 pep chromosome:Graimondii2_0_v6:2:56300157:56305197:1 gene:B456_002G214200 transcript:KJB16142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT1G49430) UniProtKB/Swiss-Prot;Acc:Q9XIA9] MSVTYTVKVEDSRPESGKKPSAGPVYRCIYAKDGLLDLPDGMHSPWEFFSESVKRNPNNRMLGRRQTTDSKQAGPYVWITYQEAYDAALRIGSAMRNQGVSPGDRCGIYGSNCPEWIITMEACNCQAVTYVPLYDTLGANAVEFIVNHAEVAIAFVQENKLPAILSCLPACCSYLKTIVSFANVSSIQKKEAEELGVSLFSWKEFSELGSLDCELPQKQKTDICTIMYTSGTTGEPKGVILTNKAIMTEVLSIDQLIEITDKACSEEDTYFSFLPLAHVYDQIMETYFIKRGSSIGFWQGDVRYLMDDVQELKPTAFCAVPRVYDRIYTGIVNKIASGGLIRNKLFQYAYNYKLRNMENGFPQDKASPLLDKLVFDKVRQALGGKVRLMLSGAAPLPRHVEEFLRVSCCSNLSQGYGLTESCGGCFTSLANVMSMIGTVGVPITTIEARLESVPEMGYDALSSVPRGEICLRGNTLFSGYYKRQDLTDEVVIDGWFHTGDIGELQSDGSMKIIDRKKNIFKLSQGEYVAVENIENTYSRCPLIASIWVYGNSFESFLVAVVVPERKALEDWGIENGEATDFKSLCENPKARKYILNELNSTGQKHHLRGFELLKAVYLEPNPFDMERDLVTPTFKLKRPQLLKYYKDRIDELYSEGKGAKV >KJB16145 pep chromosome:Graimondii2_0_v6:2:56300222:56305155:1 gene:B456_002G214200 transcript:KJB16145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT1G49430) UniProtKB/Swiss-Prot;Acc:Q9XIA9] MSVTYTVKVEDSRPESGKKPSAGPVYRCIYAKDGLLDLPDGMHSPWEFFSESVKRNPNNRMLGRRQTTDSKQAGPYVWITYQEAYDAALRIGSAMRNQGVSPGDRCGIYGSNCPEWIITMEACNCQAVTYVPLYDTLGANAVEFIVNHAEVAIAFVQENKLPAILSCLPACCSYLKTIVSFANVSSIQKKEAEELGVSLFSWKEFSELGSLDCELPQKQKTDICTIMYTSGTTGEPKGVILTNKAIMTEVLSIDQLIEITDKACSEEDTYFSFLPLAHVYDQIMETYFIKRGSSIGFWQGDVRYLMDDVQELKPTAFCAVPRVYDRIYTGIVNKIASGGLIRNKLFQYAYNYKLRNMENGFPQDKASPLLDKLVFDKVRQALGGKVRLMLSGAAPLPRHVEEFLRVSCCSNLSQGYGNKFYALIYRTMNVLNEKFYELFNMTGLTESCGGCFTSLANVMSMIGTVGVPITTIEARLESVPEMGYDALSSVPRGEICLRGNTLFSGYYKRQDLTDEVVIDGWFHTGDIGELQSDGSMKIIDRKKNIFKLSQGEYVAVENIENTYSRCPLIASIWVYGNSFESFLVAVVVPERKALEDWGIENGEATDFKSLCENPKARKYILNELNSTGQKHHLRGFELLKAVYLEPNPFDMERDLVTPTFKLKRPQLLKYYKDRIDELYSEGKGAKV >KJB16143 pep chromosome:Graimondii2_0_v6:2:56300222:56305155:1 gene:B456_002G214200 transcript:KJB16143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT1G49430) UniProtKB/Swiss-Prot;Acc:Q9XIA9] MRNQGVSPGDRCGIYGSNCPEWIITMEACNCQAVTYVPLYDTLGANAVEFIVNHAEVAIAFVQENKLPAILSCLPACCSYLKTIVSFANVSSIQKKEAEELGVSLFSWKEFSELGSLDCELPQKQKTDICTIMYTSGTTGEPKGVILTNKAIMTEVLSIDQLIEITDKACSEEDTYFSFLPLAHVYDQIMETYFIKRGSSIGFWQGDVRYLMDDVQELKPTAFCAVPRVYDRIYTGIVNKIASGGLIRNKLFQYAYNYKLRNMENGFPQDKASPLLDKLVFDKVRQALGGKVRLMLSGAAPLPRHVEEFLRVSCCSNLSQGYGLTESCGGCFTSLANVMSMIGTVGVPITTIEARLESVPEMGYDALSSVPRGEICLRGNTLFSGYYKRQDLTDEVVIDGWFHTGDIGELQSDGSMKIIDRKKNIFKLSQGEYVAVENIENTYSRCPLIASIWVYGNSFESFLVAVVVPERKALEDWGIENGEATDFKSLCENPKARKYILNELNSTGQKHHLRGFELLKAVYLEPNPFDMERDLVTPTFKLKRPQLLKYYKDRIDELYSEGKGAKV >KJB16144 pep chromosome:Graimondii2_0_v6:2:56300222:56305155:1 gene:B456_002G214200 transcript:KJB16144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT1G49430) UniProtKB/Swiss-Prot;Acc:Q9XIA9] MSVTYTVKVEDSRPESGKKPSAGPVYRCIYAKDGLLDLPDGMHSPWEFFSESVKRNPNNRMLGRRQTTDSKQAGPYVWITYQEAYDAALRIGSAMRNQGVSPGDRCGIYGSNCPEWIITMEACNCQAVTYVPLYDTLGANAVEFIVNHAEVAIAFVQENKLPAILSCLPACCSYLKTIVSFANVSSIQKKEAEELGVSLFSWKEFSELGSLDCELPQKQKTDICTIMYTSGTTGEPKGVILTNKAIMTEVLSIDQLIEITDKACSEEDTYFSFLPLAHVYDQIMETYFIKRGSSIGFWQGDVRYLMDDVQELKPTAFCAVPRVYDRIYTGIVNKIASGGLIRNKLFQYAYNYKLRNMENGFPQDKASPLLDKLVFDKVRQALGGKVRLMLSGAAPLPRHVEEFLRVSCCSNLSQGYGLTESCGGCFTSLANVMSMIGTVGVPITTIEARLESVPEMGYDALSSVPRGEICLRGNTLFSGYYKRQDLTDEVVIDGWFHTGDIGELQSDGSMKIIDRKKNIFKLSQGEYVAVENIENTYSRCPLIASVCC >KJB12409 pep chromosome:Graimondii2_0_v6:2:1067025:1073585:-1 gene:B456_002G016400 transcript:KJB12409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGQVAAPINSPHLRRSGSRAVVSDLGAELGNGVENSYVHPLEINGSKNASIPLVTAAIVPSPTLLWRFKCATWMTIIVPTSMTAYLYLYSNGEVSLAASQPVLLYFAVVMLLIFPFDIFYFSSRYYLLRALWRIVLPLQAITFSDFFLADILTSMAKVFSDIERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVLPYLFRFFQCLRQYRDIGERSALLNALKYSTAVPVIFLSALKYHVLPESWTNFYRPLWLLSSVLNSLYSFYWDVARDWDFSFARMFKFNKSHLYSHLLHGRTWVYFWVIGSNLILRCTWTYKLSAHLRNNYLTVFTIAALEIFRRFQWIFFRVENEWNKINSRPNMQLSMNDPSDDEVKLLSSSAGYNV >KJB12413 pep chromosome:Graimondii2_0_v6:2:1068506:1074344:-1 gene:B456_002G016400 transcript:KJB12413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGQVAAPINSPHLRRSGSRAVVSDLGAELGNGVENSYVHPLEINGSKNASIPLVTAAIVPSPTLLWRFKVLLFLLWGFICGKTGWQSVMRMSADLRDLFLYEAFLYYNPLLLVTTMVWLWGINLWVFSRSNINYAKIFDLDQNHLTHREIWKCATWMTIIVPTSMTAYLYLYSNGEVSLAASQPVLLYFAVVMLLIFPFDIFYFSSRYYLLRALWRIVLPLQAITFSDFFLADILTSMAKVFSDIERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVLPYLFRFFQCLRQYRDIGERSALLNGLSHVRNGYNTGTLWLEPCSCFLGFFWYCTIRGLVLSTHTE >KJB12414 pep chromosome:Graimondii2_0_v6:2:1069229:1073489:-1 gene:B456_002G016400 transcript:KJB12414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGQVAAPINSPHLRRSGSRAVVSDLGAELGNGVENSYVHPLEINGSKNASIPLVTAAIVPSPTLLWRFKVLLFLLWGFICGKTGWQSVMRMSADLRDLFLYEAFLYYNPLLLVTTMVWLWGINLWVFSRSNINYAKIFDLDQNHLTHREIWKCATWMTIIVPTSMTAYLYLYSNGEVSLAASQPVLLYFAVVMLLIFPFDIFYFSSRYYLLRALWRIVLPLQAITFSDFFLADILTSMAKVFSDIERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVLPYLFRFFQCLRQYRDIGERSALLNGTSLDTFPMSSSPAFLHMSILLSVLTCFSFSKYRVG >KJB12412 pep chromosome:Graimondii2_0_v6:2:1067031:1074482:-1 gene:B456_002G016400 transcript:KJB12412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGQVAAPINSPHLRRSGSRAVVSDLGAELGNGVENSYVHPLEINGSKNASIPLVTAAIVPSPTLLWRFKVLLFLLWGFICGKTGWQSVMRMSADLRDLFLYEAFLYYNPLLLVTTMVWLWGINLWVFSRSNINYAKIFDLDQNHLTHREIWKCATWMTIIVPTSMTAYLYLYSNGEVSLAASQPVLLYFAVVMLLIFPFDIFYFSSRYYLLRALWRIVLPLQAITFSDFFLADILTSMAKVFSDIERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVLPYLFRFFQCLRQYRDIGERSALLNALKYSTAVPVIFLSALKYHVLPESWTNFYRPLWLLSSVLNSLYSFYWDVARDWDFSFARMFKFNKSHLYSHLLHGRTWVYFWVIGSNLILRCTWTYKLSAHLRNNYLTVFTIAALEIFRRFQWIFFRVENEWNKINSRPNMQLSMNDPSDDEVKLLSSSAGYNV >KJB12408 pep chromosome:Graimondii2_0_v6:2:1067025:1073585:-1 gene:B456_002G016400 transcript:KJB12408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGQVAAPINSPHLRRSGSRAVVSDLGAELGNGVENSYVHPLEINGSKNASIPLVTAAIVPSPTLLWRFKVLLFLLWGFICGKTGWQSVMRMSADLRDLFLYEAFLYYNPLLLVTTMVWLWGINLWVFSRSNINYAKIFDLDQNHLTHREIWKCATWMTIIVPTSMTAYLYLYSNGEVSLAASQPVLLYFAVVMLLIFPFDIFYFSSRYYLLRALWRIVLPLQAITFSDFFLADILTSMAKVFSDIERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVLPYLFRFFQCLRQYRDIGERSALLNARDWDFSFARMFKFNKSHLYSHLLHGRTWVYFWVIGSNLILRCTWTYKLSAHLRNNYLTVFTIAALEIFRRFQWIFFRVENEWNKINSRPNMQLSMNDPSDDEVKLLSSSAGYNV >KJB12410 pep chromosome:Graimondii2_0_v6:2:1067025:1074482:-1 gene:B456_002G016400 transcript:KJB12410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGQVAAPINSPHLRRSGSRAVVSDLGAELGNGVENSYVHPLEINGSKNASIPLVTAAIVPSPTLLWRFKVLLFLLWGFICGKTGWQSVMRMSADLRDLFLYEAFLYYNPLLLVTTMVWLWGINLWVFSRSNINYAKIFDLDQNHLTHREIWKCATWMTIIVPTSMTAYLYLYSNGEVSLAASQPVLLYFAVVMLLIFPFDIFYFSSRYYLLRALWRIVLPLQAITFSDFFLADILTSMAKVFSDIERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVLPYLFRFFQCLRQYRDIGERSALLNALKYSTAVPVIFLSALKYHVLPESWTNFYRPLWLLSSVLNSLYSFYWDVARDWDFSFARMFKFNKSHLYSHLLHGRTWVYFWVIGSNLILRCTWTYKLSAHLRNNYLTVFTIAALEIFRRFQWIFFRVENEWNKINSRPNMQLSMNDPSDDEVKLLSSSAGYNV >KJB12411 pep chromosome:Graimondii2_0_v6:2:1067025:1074344:-1 gene:B456_002G016400 transcript:KJB12411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGQVAAPINSPHLRRSGSRAVVSDLELGNGVENSYVHPLEINGSKNASIPLVTAAIVPSPTLLWRFKVLLFLLWGFICGKTGWQSVMRMSADLRDLFLYEAFLYYNPLLLVTTMVWLWGINLWVFSRSNINYAKIFDLDQNHLTHREIWKCATWMTIIVPTSMTAYLYLYSNGEVSLAASQPVLLYFAVVMLLIFPFDIFYFSSRYYLLRALWRIVLPLQAITFSDFFLADILTSMAKVFSDIERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVLPYLFRFFQCLRQYRDIGERSALLNALKYSTAVPVIFLSALKYHVLPESWTNFYRPLWLLSSVLNSLYSFYWDVARDWDFSFARMFKFNKSHLYSHLLHGRTWVYFWVIGSNLILRCTWTYKLSAHLRNNYLTVFTIAALEIFRRFQWIFFRVENEWNKINSRPNMQLSMNDPSDDEVKLLSSSAGYNV >KJB14920 pep chromosome:Graimondii2_0_v6:2:28750421:28751222:1 gene:B456_002G149000 transcript:KJB14920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVVQANKDEKTCTKCVDLKCNSPKDSGCFEASTMTFSNEDQVLLVVKETPVVNASIYVASPGSVTKDANSGSTIIQASTNTPDQFLFHPMSSTLVYY >KJB16571 pep chromosome:Graimondii2_0_v6:2:59919051:59922167:1 gene:B456_002G237000 transcript:KJB16571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDSSITKRLDGKVALITGGASGLGKCTATLFVKHGAKVLIADIQDELGDSVCQELGTENISYVHCDITCESDVENAVNLAVSKYGKLDIMFNNAGTHGDNETRVTHASTEDFKKVFDINVLGGFLGAKYAARVMVPAKKGCILFTSSLASKISFGSPHAYKASKHAVAGLMKSLAVELGEHGIRVNSISPHAISTPMFQKSIGIPDKKKGEEMIAASAVLKGTVLEPEDFAHAALYLASDEAKFISGVNLPLDGGYSLSNQSWKLGFAALFG >KJB12710 pep chromosome:Graimondii2_0_v6:2:2427826:2433885:1 gene:B456_002G032500 transcript:KJB12710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHSENPSLSDLVSSPKQDSADVVDPRQSQSPNVISTPIREFDGRSMLGFSLTSPDLVICAGSPDIPSKAYGDSPEFLEKHRCSIEVSLENGIEGSDNSKAKQKSPTVKFSTVCETFHKELSPESSFELLPLRETADYSQHKHEDYPAISINAGCLNGAVELDGVIYSNDDCFVGGDVIIADRIVGDGGGNSLYNTARLGDFSYNFLTLECGFYAIDLHFAEIVFTTGPPGIRVFDVFVQEEKVVTSLDIYGQVGANKPLVISNIRTFVDSGGGLLIRFEGLMGSPIVCGITVRKDSLESFKEAGSEEIMGMDKVGGHESPRDISDCEIEVKYQILQMDYERQKKELAEMRSALEGLKRENKLKTKECQEACKSLQELQNELMRKSMHVGSLAFAIEGQVKEKSRWFSSLRDMTRKLKIMKMERIKLSEEVSHYKNCIMDINDFGTKIQSRINQQADLHEDLKTKYLKGAKERKELYNKVLELKGNIRVFCRCRPLNSEEIAAGASLAIDFESAKDGELTVISNGAPKKTFRFDAVFGPHAEQADVFQDTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGTKEARGVNFRTLEELFRVINERQKLYRYEISVSALEVYNEQIRDLLVSGSQQSTMAKRLEIRQVGEGMHHVPGLVEAHVNNMNEVWEVLQTGSNARAVGSTNANEHSSRSHCIHCVMVKGENLLNGECTKSKLWLVDLAGSERVAKTDVLGERLKETQNINRSLSALGDVISALATKSSHIPFRNSKLTHLLQDSLGGDSKALMFVQISPNENDLGETLCSLNFASRVRGIELGPAKKQMDTSELLRWKQMKSKQDMKIKDLQIRKMEETIHGLDLKMKDKDLKNKNLQEKVKELESQLLIERKLARQHVDTRIAEQQQKQQNEDVRPPLATRLLGTNKSSNEVKNGTLMKEQVNLTRPLTENSFRPSMPLSVTDGSFKHIDPVEKENNPEVAEQLRLPTRTGRASMCPTIRRMPASSAPRRNSLIPLPSTPSSAQLAPPFHPLPSQPDIIEEVDEFIPEQTVCNSPKGTKSGGKKLSSILRRSLQKKVQLKSPMQQHLRRGLNVGMERVRVSIGSRGRMASRVLVGNGRKGGKEIQQKQNQKEKERAWNIGRTAI >KJB12709 pep chromosome:Graimondii2_0_v6:2:2427626:2433929:1 gene:B456_002G032500 transcript:KJB12709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNNFHHLRTDPLLLTDVSWQQNTSFYTDAAMASHSENPSLSDLVSSPKQDSADVVDPRQSQSPNVISTPIREFDGRSMLGFSLTSPDLVICAGSPDIPSKAYGDSPEFLEKHRCSIEVSLENGIEGSDNSKAKQKSPTVKFSTVCETFHKELSPESSFELLPLRETADYSQHKHEDYPAISINAGCLNGAVELDGVIYSNDDCFVGGDVIIADRIVGDGGGNSLYNTARLGDFSYNFLTLECGFYAIDLHFAEIVFTTGPPGIRVFDVFVQEEKVVTSLDIYGQVGANKPLVISNIRTFVDSGGGLLIRFEGLMGSPIVCGITVRKDSLESFKEAGSEEIMGMDKVGGHESPRDISDCEIEVKYQILQMDYERQKKELAEMRSALEGLKRENKLKTKECQEACKSLQELQNELMRKSMHVGSLAFAIEGQVKEKSRWFSSLRDMTRKLKIMKMERIKLSEEVSHYKNCIMDINDFGTKIQSRINQQADLHEDLKTKYLKGAKERKELYNKVLELKGNIRVFCRCRPLNSEEIAAGASLAIDFESAKDGELTVISNGAPKKTFRFDAVFGPHAEQADVFQDTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGTKEARGVNFRTLEELFRVINERQKLYRYEISVSALEVYNEQIRDLLVSGSQQSTMAKRLEIRQVGEGMHHVPGLVEAHVNNMNEVWEVLQTGSNARAVGSTNANEHSSRSHCIHCVMVKGENLLNGECTKSKLWLVDLAGSERVAKTDVLGERLKETQNINRSLSALGDVISALATKSSHIPFRNSKLTHLLQDSLGGDSKALMFVQISPNENDLGETLCSLNFASRVRGIELGPAKKQMDTSELLRWKQMVEKSKQDMKIKDLQIRKMEETIHGLDLKMKDKDLKNKNLQEKVKELESQLLIERKLARQHVDTRIAEQQQKQQNEDVRPPLATRLLGTNKSSNEVKNGTLMKEQVNLTRPLTENSFRPSMPLSVTDGSFKHIDPVEKENNPEVAEQLRLPTRTGRASMCPTIRRMPASSAPRRNSLIPLPSTPSSAQLAPPFHPLPSQPDIIEEVDEFIPEQTVCNSPKGTKSGGKKLSSILRRSLQKKVQLKSPMQQHLRRGLNVGMERVRVSIGSRGRMASRVLVGNGRKGGKEIQQKQNQKEKERAWNIGRTAI >KJB12711 pep chromosome:Graimondii2_0_v6:2:2427826:2433886:1 gene:B456_002G032500 transcript:KJB12711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHSENPSLSDLVSSPKQDSADVVDPRQSQSPNVISTPIREFDGRSMLGFSLTSPDLVICAGSPDIPSKAYGDSPEFLEKHRCSIEVSLENGIEGSDNSKAKQKSPTVKFSTVCETFHKELSPESSFELLPLRETADYSQHKHEDYPAISINAGCLNGAVELDGVIYSNDDCFVGGDVIIADRIVGDGGGNSLYNTARLGDFSYNFLTLECGFYAIDLHFAEIVFTTGPPGIRVFDVFVQEEKVVTSLDIYGQVGANKPLVISNIRTFVDSGGGLLIRFEGLMGSPIVCGITVRKDSLESFKEAGSEEIMGMDKVGGHESPRDISDCEIEVKYQILQMDYERQKKELAEMRSALEGLKRENKLKTKECQEACKSLQELQNELMRKSMHVGSLAFAIEGQVKEKSRWFSSLRDMTRKLKIMKMERIKLSEEVSHYKNCIMDINDFGTKIQSRINQQADLHEDLKTKYLKGAKERKELYNKVLELKGNIRVFCRCRPLNSEEIAAGASLAIDFESAKDGELTVISNGAPKKTFRFDAVFGPHAEQADVFQDTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGTKEARGVNFRTLEELFRVINERQKLYRYEISVSALEVYNEQIRDLLVSGSQQSTMAKRLEIRQVGEGMHHVPGLVEAHVNNMNEVWEVLQTGSNARAVGSTNANEHSSRSHCIHCVMVKGENLLNGECTKSKLWLVDLAGSERVAKTDVLGERLKETQNINRSLSALGDVISALATKSSHIPFRNSKLTHLLQDSLGGDSKALMFVQISPNENDLGETLCSLNFASRVRGIELGPAKKQMDTSELLRWKQMQDMKIKDLQIRKMEETIHGLDLKMKDKDLKNKNLQEKVKELESQLLIERKLARQHVDTRIAEQQQKQQNEDVRPPLATRLLGTNKSSNEVKNGTLMKEQVNLTRPLTENSFRPSMPLSVTDGSFKHIDPVEKENNPEVAEQLRLPTRTGRASMCPTIRRMPASSAPRRNSLIPLPSTPSSAQLAPPFHPLPSQPDIIEEVDEFIPEQTVCNSPKGTKSGGKKLSSILRRSLQKKVQLKSPMQQHLRRGLNVGMERVRVSIGSRGRMASRVLVGNGRKGGKEIQQKQNQKEKERAWNIGRTAI >KJB16570 pep chromosome:Graimondii2_0_v6:2:59884824:59888869:1 gene:B456_002G236900 transcript:KJB16570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSSITKRLDGKVALITGGASGLGECTVKLFVKNGAKVLIADIQDELGHSVCQELGTENISYVHCDVTCESDIENAVNLAVSKYGKLDIMFNNAGIPGDNEVRVTHAGTEDFKRVFDVNVLGGFLGAKYAARVMVPAKKGCILFTASLASKISFGLPHAYKASKHAVAGLTKSLSKKGEEMVAVSAVLKGTVLEPEDFAHAALYLASDEAKFISGVNLPVDGAYNLNIIKTFITFKNFIFLINLLDGKVALITGGASGLGECTSKLFVKNGAKVLIADV >KJB12334 pep chromosome:Graimondii2_0_v6:2:826150:830013:1 gene:B456_002G012700 transcript:KJB12334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRERCSGCGSNMLVSPEARVVRCSQCHTLTNLVPINARPGRTRYNGLPRMHENPYGNGPSPQHPQYPQPRPSLSPVPIYGRKRALLCGVNYHGKSYKLKGSINDVKSMKYLLVETLGFPNDSILMLTENEREPSKIPTKQNIRKALKWLVYGCQPGDSLVFHFSGHGTRQKDYNHDEVDGFDEALCPLDHETEGNIIDDEINDTIVKPLTRGTTLHAIIDACYSGTVLDLPFVCRMNKEGRYAWEDQRNPLFNKRTSGGLAFCFSACDDHQTSADTTAFTGTNVRTGAMTYSFVQAIQNERRLTYGHLLNAMRYTIGDVSKAHGASQEPQLTSSDKFDIYSKPVVL >KJB12335 pep chromosome:Graimondii2_0_v6:2:826165:829299:1 gene:B456_002G012700 transcript:KJB12335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRERCSGCGSNMLVSPEARVVRCSQCHTLTNLVPINARPGRTRYNGLPRMHENPYGNGPSPQHPQYPQPRPSLSPVPIYGRKRALLCGVNYHGKSYKLKGSINDVKSMKYLLVETLGFPNDSILMLTENEREPSKIPTKQNIRKALKWLVYGCQPGDSLVFHFSGHGTRQKDYNHDEVDGFDEALCPLDHETEGNIIDDEINDTIVKPLTRGTTLHAIIDACYSGTVLDLPFVCRMNKEGRYAWEDQRNPLFNKRTSGGLAFCFSACDDHQTSADTTAFTGTNVRTGAMTYSFVQAIQNERRLTYGHLLNAMRYTIGDVSKAHGASQVSKPRFSKLNWWRNRLDYLFLV >KJB15079 pep chromosome:Graimondii2_0_v6:2:39805284:39806686:-1 gene:B456_002G164300 transcript:KJB15079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFDREKGSAKMGNLVPFMGMVMVILAQVSSMVITKAAMSSGVDKYVLIVYSNALSSLILLPCSFVFHRSVHLPWNSSNLCILIFLLSLIGCIGQLCGYAGIEYSSPAMATAMLNLVPAFTFILAIVCRMEKHEWRSTSNQAKVLGTTISIAGAFVVTFYKGPTILRLPHQLLSSPQINWVLGGLVLAVEAFINSAWYVIQTMVLKKFPAVLTVXXXQPSESACVHGAYGK >KJB15077 pep chromosome:Graimondii2_0_v6:2:39804513:39806759:-1 gene:B456_002G164300 transcript:KJB15077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFDREKGSAKMGNLVPFMGMVMVILAQVSSMVITKAAMSSGVDKYVLIVYSNALSSLILLPCSFVFHRSVHLPWNSSNLCILIFLLSLIGCIGQLCGYAGIEYSSPAMATAMLNLVPAFTFILAIVCRMEKHEWRSTSNQAKVLGTTISIAGAFVVTFYKGPTILRLPHQLLSSPQINWVLGGLVLAVEAFINSA >KJB15082 pep chromosome:Graimondii2_0_v6:2:39805430:39806686:-1 gene:B456_002G164300 transcript:KJB15082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFDREKGSAKMGNLVPFMGMVMVILAQVSSMVITKAAMSSGVDKYVLIVYSNALSSLILLPCSFVFHRSVHLPWNSSNLCILIFLLSLIGCIGQLCGYAGIEYSSPAMATAMLNLVPAFTFILAIVCRMEKHEWRSTSNQAKVLGTTISIAGAFVVTFYKGPTILRLPHQLLSSPQINWVLGGLVLAVEAFINSAWYVIQTMVLKKFPAVLTVMFYLCFFNAILSAIYSLFLVKDLSAWKLRPNIG >KJB15081 pep chromosome:Graimondii2_0_v6:2:39805430:39806759:-1 gene:B456_002G164300 transcript:KJB15081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFDREKGSAKMGNLVPFMGMVMVILAQVSSMVITKAAMSSGVDKYVLIVYSNALSSLILLPCSFVFHRSVHLPWNSSNLCILIFLLSLIGCIGQLCGYAGIEYSSPAMATAMLNLVPAFTFILAIVCRMEKHEWRSTSNQAKVLGTTISIAGAFVVTFYKGPTILRLPHQLLSSPQINWVLGGLVLAVEAFINSA >KJB15080 pep chromosome:Graimondii2_0_v6:2:39804822:39806650:-1 gene:B456_002G164300 transcript:KJB15080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLVPFMGMVMVILAQVSSMVITKAAMSSGVDKYVLIVYSNALSSLILLPCSFVFHRSVHLPWNSSNLCILIFLLSLIGCIGQLCGYAGIEYSSPAMATAMLNLVPAFTFILAIVCRMEKHEWRSTSNQAKVLGTTISIAGAFVVTFYKGPTILRLPHQLLSSPQINWVLGGLVLAVEAFINSAWYVIQTMVLKKFPAVLTVMFYLCFFNAILSAIYSLFLVKDLXXXXXXTFRISLCSWCLWKVGPLYVSMFKPLAIIFAAVMGIVFLGDDLSLGRVFGAIIIVSGFYGVLWGKAKEESGEESMRSPLLQNRTEDKRSFA >KJB15078 pep chromosome:Graimondii2_0_v6:2:39805284:39806686:-1 gene:B456_002G164300 transcript:KJB15078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFDREKGSAKMGNLVPFMGMVMVILAQVSSMVITKAAMSSGVDKYVLIVYSNALSSLILLPCSFVFHRSVHLPWNSSNLCILIFLLSLIGCIGQLCGYAGIEYSSPAMATAMLNLVPAFTFILAIVCRMEKHEWRSTSNQAKVLGTTISIAGAFVVTFYKGPTILRLPHQLLSSPQINWVLGGLVLAVEAFINSAWYVIQTMVLKKFPAVLTVXXXQPSESACVHGAYGK >KJB16354 pep chromosome:Graimondii2_0_v6:2:58090707:58094364:-1 gene:B456_002G225400 transcript:KJB16354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQKLDYQENVEQNLGFSRDCNFEYVGFQQPWNMATPMVDGTVSHHPKSSSSSTILVGFQTPGAAFYATERCMGFPQYGSSSQGAATSFCSQYNKLCNSQLPSFHASGDNFSIQSLMKSQIFCNQYEKSSDKSCTTTQDPSHDLSNLLGTNAATLPNHFSVPFRGNQDQGAYCNSHGSSPAKLSFFLQEKQSSPRSFSVSPSSTGTVLTSKTRIRWTQDLHDKFVDCVKRLGGAEKATPKAILKLMDTEGLTIFHVKSHLQKYRIAKYMPDSAQGKSEKRNDLTQIDVKTGLHLTEALQLQLDVQRRLHEQLEIQRNLQLRIEEQGRQLKMMIDQQQKTSESLLKNQDFNINPFDPSISFQDVFETSIAESSGTGNISSKIS >KJB13408 pep chromosome:Graimondii2_0_v6:2:8514081:8514735:-1 gene:B456_002G072900 transcript:KJB13408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQVSLICFLIFSCYMGIEFKELKHLTNELEVNVAASRVWELYRHLGISMLTARELKTVIQSVQVLKGDGGVGTILKLTFVPGNSSYTERFIKIDDEKKVKVAKGLEGGCLAIGCSVQIVRLDIIEKTSDSCIIRSDIGYAVKEEFEANDPKPNIQPLAAAAQITKRFLESSKNDA >KJB12502 pep chromosome:Graimondii2_0_v6:2:1495377:1498578:1 gene:B456_002G021600 transcript:KJB12502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor ASR3 [Source:Projected from Arabidopsis thaliana (AT2G33550) UniProtKB/Swiss-Prot;Acc:Q8VZ20] MASEQLSMAATPDPIDGRKDAVNAAINGVESRPLSVDCGDDVSKAPRLPRWTRQEILVLIQGKRVAENRVRRGRAAGLAFGSGQVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDFKKIKEWENNTREESESFWVMRNDLRRERKLPGFFDKEVYDILDGAAAVSSAVEIPDSVVAPALALALTPTPAVQDTAEDNEAVFDSGRSAAAEDGLFSDFEQDDGAGSPEKVELPVSADAGKSVPAPIPISEQQHQQQPAIPGSKSQGATKEKHPTSNPEVGSASQEARKRKRTETNGDEEPDNSPQYHLIDVLEKNGKMLVAQLEAQNTNFQQERQQRKDHADSLVAVLNKLADALGRIADKL >KJB17184 pep chromosome:Graimondii2_0_v6:2:62736060:62738669:-1 gene:B456_002G268600 transcript:KJB17184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDKPELVERDVKDKVDDKEDNSGFIDKVKGFIHDIGEKIEETIGFGKPTADVAEIHLPCINLEKAEIVVDVLIKNPNPVPIPLVDIDYLIESDGRKLLSGLIPDAGTIHAHGEETVKIPVTLIYDDIKSTYDDIKPGSIIPYRLKVDLIVDVPVFGRLTLPLEKTGEIPIPYKPDIDMEKIHFERFSWEETIAVLHLKLENMNDFDLGLNALDYEVWLSEVSIGSAELEKSAKIGKNGISYIEIPISFRPKDFGSALWDMIRGKGTGYSMKGHINVDTPFGAMKLPISKEGGTTRLKKNREDGGDDDDDDED >KJB17182 pep chromosome:Graimondii2_0_v6:2:62736341:62738042:-1 gene:B456_002G268600 transcript:KJB17182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDKPELVERDVKDKVDDKEDNSGFIDKVKGFIHDIGEKIEETIGFGKPTADVAEIHLPCINLEKAEIVVDVLIKNPNPVPIPLVDIDYLIESDGRKLLSGLIPDAGTIHAHGEETVKIPVTLIYDDIKSTYDDIKPGSIIPYRLKVDLIVDVPVFGRLTLPLEKTGEIPIPYKPDIDMEKIHFERFSWEETIAVLHLKLENMNDFDLGLNALDYEVWLSEVSIGSAELEKSAKIGKNGISYIEIPISFRPKDFGSALWDMIRGKGTGYSMKGHINVDTPFGAMKLPISKEGGTTRLKKNREDGGDDDDDDED >KJB17183 pep chromosome:Graimondii2_0_v6:2:62736000:62738979:-1 gene:B456_002G268600 transcript:KJB17183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDKPELVERDVKDKVDDKEDNSGFIDKVKGFIHDIGEKIEETIGFGKPTADVAEIHLPCINLEKAEIVVDVLIKNPNPVPIPLVDIDYLIESDGRKLLSGLIPDAGTIHAHGEETVKIPVTLIYDDIKSTYDDIKPGSIIPYRLKVDLIVDVPVFGRLTLPLEKTGEIPIPYKPDIDMEKIHFERFSWEETIAVLHLKLENMNDFDLGLNALDYEVWLSEVSIGSAELEKSAKIGKNGISYIEIPISFRPKDFGSALWDMIRGKGTGYSMKGHINVDTPFGAMKLPISKEGGTTRLKKNREDGGDDDDDDED >KJB12068 pep chromosome:Graimondii2_0_v6:2:47773693:47775503:1 gene:B456_002G181900 transcript:KJB12068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSRLENQRHEDQYSRAFHELWGLVMSLLRSPLAPVPSASQSPALSTSPHSPPVPTMKKISPSGFALLMLGTSVSLMLCGSVTFFIGFMLMPWLLCLVMVLYIAGIVSAVSMLCRSTICYAMAPLLRRKEIPGLLQSRN >KJB12067 pep chromosome:Graimondii2_0_v6:2:47773691:47775503:1 gene:B456_002G181900 transcript:KJB12067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSRLENQRHEDQYSRAFHELWGLVMSLLRSPLAPVPSASQSPALSTSPHSPPVPTMKKISPSGFALLMLGTSVSLMLCGSVTFFIGFMLMPWLLCLVMVLYIAGIVSAVSMLCRSTICYAMAPLLRRKEIPGLLQSRN >KJB15532 pep chromosome:Graimondii2_0_v6:2:48161883:48163074:-1 gene:B456_002G183100 transcript:KJB15532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGWAVKVMMMSLVVVVGAGDESGLAKECSKDVQSVMTCLSFAQGKMEKPSKECCSSVSSIKEEEPKCLCYILQQTQASGAQNLKSLGVQQDKLLQLPSACQLKNASVTDCPTPNSPDAAIFTNSSSTPTTPSTGTPSSASENADNNSGGTKLETAHLVGFTLLVASASFLYALPPGLASLF >KJB15531 pep chromosome:Graimondii2_0_v6:2:48162374:48162908:-1 gene:B456_002G183100 transcript:KJB15531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGWAVKVMMMSLVVVVGAGDESGLAKECSKDVQSVMTCLSFAQGKMEKPSKECCSSVSSIKEEEPKCLCYILQQTQASGAQNLKSLGVQQDKLLQLPSACQLKNASVTDCPSKASWISTKLTRRGYIYKFFLNTDDAIHRDTVKCFREC >KJB15530 pep chromosome:Graimondii2_0_v6:2:48161883:48163074:-1 gene:B456_002G183100 transcript:KJB15530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGWAVKVMMMSLVVVVGAGDESGLAKECSKDVQSVMTCLSFAQGKMEKPSKECCSSVSSIKEEEPKCLCYILQQTQASGAQNLKSLGVQQDKLLQLPSACQLKNASVTDCPSNFLD >KJB15533 pep chromosome:Graimondii2_0_v6:2:48162259:48162908:-1 gene:B456_002G183100 transcript:KJB15533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGWAVKVMMMSLVVVVGAGDESGLAKECSKDVQSVMTCLSFAQGKMEKPSKECCSSVSSIKEEEPKCLCYILQQTQASGAQNLKSLGVQQDKLLQLPSACQLKNASVTDCPKLLGLAPNSPDAAIFTNSSSTPTTPSTGTPSSASENADNNSGGTKLETAHLVGFTLLVASASFLYALPPGLASLF >KJB13505 pep chromosome:Graimondii2_0_v6:2:9435040:9438742:1 gene:B456_002G078800 transcript:KJB13505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDFHFYSACCFLIIFSKVSTAIDTLSPSELLTDGMTLVSRTGSFELGFFTPGSSENRYLGIWYKNIPMQTVVWVANRRNPINGSTGMLKIESSGKILIQVQNTTVVWSTNSTARVQNPILQLLDSGNLVVKDGKDSNPENYIWQSFDYPSDTLLPEMKIGIDLRTGFHRRLTAWKNWDDPSPSDLTYGIELKGNPEVVLRKGLEKYFRGGLWNGNGFSAAPNYRSNPIFDYDFIWNESEVYYIFFLKNKSVMSRVVLNQTQSERQRYTWSPETQTWKLFSVQPDGNCGKYGLCGPNGNCADEFPACQCLTGFRPKLFERWNSSDWSDGCIHSKPLNCQSGDGFIRIGKVKTPDSTNSWINKTINLKECRAKCLRNCSCMAFTNLFVTKGGSGCVMWFDDLLDIKHQSDAQDLYIRVSASEADEVEDNNLNDGEDKDENEDIDVAVFEFGTIAQATDSFSFMNKLGQGGFGPVYKGTLANGQEIAVKRLSKSSGQGPNEFKNEVKLIAKLQHRNLVRLLGCCIHGDERMLVYEYMPNRSLDKFIFGMEVMEKRQAFGSC >KJB13504 pep chromosome:Graimondii2_0_v6:2:9435040:9436517:1 gene:B456_002G078800 transcript:KJB13504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDFHFYSACCFLIIFSKVSTAIDTLSPSELLTDGMTLVSRTGSFELGFFTPGSSENRYLGIWYKNIPMQTVVWVANRRNPINGSTGMLKIESSGKILIQVQNTTVVWSTNSTARVQNPILQLLDSGNLVVKDGKDSNPENYIWQSFDYPSDTLLPEMKIGIDLRTGFHRRLTAWKNWDDPSPSDLTYGIELKGNPEVVLRKGLEKYFRGGLWNGNGFSAAPNYRSNPIFDYDFIWNESEVYYIFFLKNKSVMSRVVLNQTQSERQRYTWSPETQTWKLFSVQPDGNCGKYGLCGPNGNCADEFPACQCLTGFRPKLFERWNSSDWSDGCIHSKPLNCQSGDGFIRIGKVKTPDSTNSWINKTINLKECRAKCLRNCSCMAFTNLFVTKGGSGCVMWFDDLLDIKHQSDAQDLYIRVSASEAERKKKC >KJB16463 pep chromosome:Graimondii2_0_v6:2:59016515:59018544:-1 gene:B456_002G230800 transcript:KJB16463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEDSNWFSRWEEELPSPDELMPLSQSLITPDLAMAFDIRNPNHHHAQQQQSSVQPAPPPPSAAVQPSSQPTLAEFAADSGDLGSGAAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSGGSGGGANGGSGGAGLGASADPATDHLFASSPVPPHFLHPGRGNSEHFLPFVPVPALQHHHHHQQQQIVAAAVGHPHLQSQYHRPQMGHYGSSPNSQFEHPFLGRQTQQPMHRIGGTPMHNQAPSSYVEDLESANGNGGRKVLTLFPTGDD >KJB16460 pep chromosome:Graimondii2_0_v6:2:59017298:59018236:-1 gene:B456_002G230800 transcript:KJB16460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEDSNWFSRWEEELPSPDELMPLSQSLITPDLAMAFDIRNPNHHHAQQQQSSVQPAPPPPSAAVQPSSQPTLAEFAADSGDLGSGAAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSGGSGGGANGGSGGAGLGASADPATDHLFASSPVPPHFLHPGRGNSEHFLPFVPVPALQHHHHHQQQQIVAAAVGHPHLQSQYHRPQMGHYGSSPNSQFEHPFLGRQTQQPMHRIGGTPMHNQAPSSYVEDLESANGNGGRKVLTLFPTGDD >KJB16459 pep chromosome:Graimondii2_0_v6:2:59016363:59018640:-1 gene:B456_002G230800 transcript:KJB16459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEDSNWFSRWEEELPSPDELMPLSQSLITPDLAMAFDIRNPNHHHAQQQQSSVQPAPPPPSAAVQPSSQPTLAEFAADSGDLGSGAAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSGGSGGGANGGSGGAGLGASADPATDHLFASSPVPPHFLHPGRGNSEHFLPFVPVPALQHHHHHQQQQIVAAAVGHPHLQSQYHRPQMGHYGSSPNSQFEHPFLGRQTQQPMHRIGGTPMHNQAPSSYVEDLESANGNGGRKVLTLFPTGDD >KJB16462 pep chromosome:Graimondii2_0_v6:2:59014702:59018640:-1 gene:B456_002G230800 transcript:KJB16462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEDSNWFSRWEEELPSPDELMPLSQSLITPDLAMAFDIRNPNHHHAQQQQSSVQPAPPPPSAAVQPSSQPTLAEFAADSGDLGSGAAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSGGSGGGANGGSGGAGLGASADPATDHLFASSPVPPHFLHPGRGNSEHFLPFVPVPALQHHHHHQQQQIVAAAVGHPHLQSQYHRPQMGHYGSSPNSQFEHPFLGRQTQQPMHRIGGTPMHNQAPSSYVEDLESANGNGGRKVLTLFPTGDD >KJB16458 pep chromosome:Graimondii2_0_v6:2:59016435:59018611:-1 gene:B456_002G230800 transcript:KJB16458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEDSNWFSRWEEELPSPDELMPLSQSLITPDLAMAFDIRNPNHHHAQQQQSSVQPAPPPPSAAVQPSSQPTLAEFAADSGDLGSGAAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSGGSGGGANGGSGGAGLGASADPATDHLFASSPVPPHFLHPGRGNSEHFLPFVPVPALQHHHHHQQQQIVAAAVGHPHLQSQYHRPQMGHYGSSPNSQFEHPFLGRQTQQPMHRIGGTPMHNQAPSSYVEDLESANGNGGRKVLTLFPTGDD >KJB16461 pep chromosome:Graimondii2_0_v6:2:59016515:59018544:-1 gene:B456_002G230800 transcript:KJB16461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEDSNWFSRWEEELPSPDELMPLSQSLITPDLAMAFDIRNPNHHHAQQQQSSVQPAPPPPSAAVQPSSQPTLAEFAADSGDLGSGAAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSGGSGGGANGGSGGAGLGASADPATDHLFASSPVPPHFLHPGRGNSEHFLPFVPVPALQHHHHHQQQQIVAAAVGHPHLQSQYHRPQMGHYGSSPNSQFEHPFLGRQTQQPMHRIGGTPMHNQAPSSYVEDLESANGNGGRKVLTLFPTGDD >KJB16257 pep chromosome:Graimondii2_0_v6:2:57379553:57382610:-1 gene:B456_002G220000 transcript:KJB16257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSWVILLFIHIYLSPVFTHCILAFYFSFLQFFPFFLRGIEMDSAALHEEIDSFFESAPPLKDSAKITDKLNQFIQFDSPSGEFRGRRVVCVTSGGTTVPLEQRCVRYIDNFSSGNRGAASTEYFIKAGYKVIFLYRRGTCQPYCRSLPEDPLLECFELADDFNFQVRQSHSEAVKGAIRDHHAAVADGLLLKLPFATIFEYLQQPSLMT >KJB16254 pep chromosome:Graimondii2_0_v6:2:57379464:57382692:-1 gene:B456_002G220000 transcript:KJB16254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAALHEEIDSFFESAPPLKDSAKITDKLNQFIQFDSPSGEFRGRRVVCVTSGGTTVPLEQRCVRYIDNFSSGNRGAASTEYFIKAGYKVIFLYRRGTCQPYCRSLPEDPLLECFELADDFNFQVRQSHSEAVKGAIRDHHAAVADGLLLKLPFATIFEYLQMLKIIASSMRTLGPHAMFYLAAAVSDFYVPWKSMVEHKIQSASGPLDMRLMQVPKMLSVLRTEWTPKAFCISFKLETDSKILLEKAIMALKKYKMHAVVANELLTRKEVVTVVTDNGNISVHRDKTWAGSDVEDPLIELLVNRHSTYIKDPST >KJB16255 pep chromosome:Graimondii2_0_v6:2:57379553:57382610:-1 gene:B456_002G220000 transcript:KJB16255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSWVILLFIHIYLSPVFTHCILAFYFSFLQFFPFFLRGIEMDSAALHEEIDSFFESAPPLKDSAKITDKLNQFIQFDSPSGEFRGRRVVCVTSGGTTVPLEQRCVRYIDNFSSGNRGAASTEYFIKAGYKVIFLYRRGTCQPYCRSLPEDPLLECFELADDFNFQVRQSHSEAVKGAIRDHHAAVADGLLLKLPFATIFEYLQMLKIIASSMRTLGPHAMFYLAAAVSDFYVPWKSMVLVQYNEIIFLF >KJB16256 pep chromosome:Graimondii2_0_v6:2:57379553:57382610:-1 gene:B456_002G220000 transcript:KJB16256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSWVILLFIHIYLSPVFTHCILAFYFSFLQFFPFFLRGIEMDSAALHEEIDSFFESAPPLKDSAKITDKLNQFIQFDSPSGEFRGRRVVCVTSGGTTVPLEQRCVRYIDNFSSGNRGAASTEYFIKAGYKVIFLYRRGTCQPYCRSLPEDPLLECFELADDFNFQVRQSHSEAVKGAIRDHHAAVADGLLLKLPFATIFEYLQMLKIIASSMRTLGPHAMFYLAAAVSDFYVPWKSMVEHKIQSASGPLDMRLMQVPKMLSVLRTEWTPKAFCISFKVRHSGALALLFALEARTY >KJB16258 pep chromosome:Graimondii2_0_v6:2:57381060:57382610:-1 gene:B456_002G220000 transcript:KJB16258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSWVILLFIHIYLSPVFTHCILAFYFSFLQFFPFFLRGIEMDSAALHEEIDSFFESAPPLKDSAKITDKLNQFIQFDSPSGEFRGRRVVCVTSGGTTVPLEQRCVRYIDNFSSGNRGAASTEYFIKAGYKVIFLYRRGTCQPYCRSLPEDPLLECFELADDFNFQVRQSHSEAVKGAIRDHHAAVADGLLLKLPFATIFEYLQVGFNKLSYDSLRFILMTHCQC >KJB13562 pep chromosome:Graimondii2_0_v6:2:10242061:10243694:-1 gene:B456_002G081400 transcript:KJB13562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVWFSLKRSLHCKSEPSDVHDPKTRKQLSTILTRKAGRSGCSRSIANLKDVIHGSKRHLEKPPSCSPRSIGSSEFLNPITHEVILSNSRCELKITGFGGFQDSLTNGGNMDANGGGGGGGSGGGSTFVGTLRPGTPGPGGHPTMHYFNPSFKNSSTTTPPRKSPFLVSERKGSGGNGHSSNRVPLDADSNGSCTVTCHKCGEQFNKWEAAETHHLSKHAVTELVEGDSSRKIVEIICRTSWLKSENHCGRIERVLKVHNMQKTLARFEDYREIVKIKASKLPKKHPRCIADGNELLRFYGTTVACSLGLNGSSSLCISEKCCVCRIIRNGFSAKKELKEGLGVFTTSTSGRAFESIQILEDDPSIRKALIVCRVIAGRVHRPLENIQEMAGQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKP >KJB13563 pep chromosome:Graimondii2_0_v6:2:10241737:10244118:-1 gene:B456_002G081400 transcript:KJB13563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVWFSLKRSLHCKSEPSDVHDPKTRKQLSTILTRKAGRSGCSRSIANLKDVIHGSKRHLEKPPSCSPRSIGSSEFLNPITHEVILSNSRCELKITGFGGFQDSLTNGGNMDANGGGGGGGSGGGSTFVGTLRPGTPGPGGHPTMHYFNPSFKNSSTTTPPRKSPFLVSERKGSGGNGHSSNRVPLDADSNGSCTVTCHKCGEQFNKWEAAETHHLSKHAVTELVEGDSSRKIVEIICRTSWLKSENHCGRIERVLKVHNMQKTLARFEDYREIVKIKASKLPKKHPRCIADGNELLRFYGTTVACSLGLNGSSSLCISEKCCVCRIIRNGFSAKKELKEGLGVFTTSTSGRAFESIQILEDDPSIRKALIVCRVIAGRVHRPLENIQEMAGQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKP >KJB13955 pep chromosome:Graimondii2_0_v6:2:13133249:13137153:1 gene:B456_002G103300 transcript:KJB13955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATPRNLLLSSNIFSFTLLLSINTLLFYRCYSIDEQGQALLTWKNSLNSSGDALKSWSSLDATPCRWFGIHCNSQGEVVEIRLKAIELQGSLPSNLQSLKSLKTLVLSSTNLTGTIPKELGDQYEISYVDLSGNSLTGEIPLEICRLSKLETLSLNSNFLEGEVPSGIGNLSSLVYLTLYDNQLSGEIPKSIGDLRKLQVFRAGGNKNLKGELPWEIGNCTSLVMLGLAETSISGSLPSSLGMLKRIQTIAIYTSLISGPIPEEIGNCSELQNLYLYQNSISGPIPSQVGQLSKLQGLLLWQNNLVGSIPDELGSCTELTMVDLSDNHLTGSIPRSIGKLLKLQELQLSVNQLSGTIPSEIGKLKGLNFVDLSNNRLVGGIPPSINGIQNLEFLDLHSNGITGSLPDSLPTSLQYMDISDNRLIGPLTHGIGSLTQLTKLNLGRNQLSGRIPSEILACSKLQLLNLGDNGFFGEIPKELGQIPALEISLNLSCNQFSGEIPSEFSGLTKLAVLDLSHNKFSGKLDNLASLQNLVSLNVSFNDFSGELPNSPFFRKLPLSDLESNKDLYISNGVVTSADIEHSRHVRPAVKLAMSILISGSAVLVLLAIYMLVRARFANNGLMEDDNWEVTLYQKLDLSIDDIVHNLTSANVIGTGSSGVVYRVIIPNGETLAVKKMWSSEESGAFTSEIETLGSIRHRNIVRLLGWGSNRNLKLLFYNYLPNGSLSSLLHGAGKGGAEWEARYDVVLGVAHALAYLHHDCVPAILHGDVKAMNVLLGAGYEPFLADFGLARVIKSNEDDKFSKLSPRPHLAGSYGYMAPEHATMQRITEKSDVYSFGVVLLEVLTGRHPLDPTLPGGAHLVQWVRDHLANKRNPSDILDPKLRGRADPAMHEMLQTLAVSCLCVSARADGRPTMKDVVAMLKEIHHVETSRDISKGTSTAPQPQSPQPPTRTVVSRGSSNCSFAFSDDSIQSSGGGFNL >KJB13956 pep chromosome:Graimondii2_0_v6:2:13133427:13137153:1 gene:B456_002G103300 transcript:KJB13956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATPRNLLLSSNIFSFTLLLSINTLLFYRCYSIDEQGQALLTWKNSLNSSGDALKSWSSLDATPCRWFGIHCNSQGEVVEIRLKAIELQGSLPSNLQSLKSLKTLVLSSTNLTGTIPKELGDQYEISYVDLSGNSLTGEIPLEICRLSKLETLSLNSNFLEGEVPSGIGNLSSLVYLTLYDNQLSGEIPKSIGDLRKLQVFRAGGNKNLKGELPWEIGNCTSLVMLGLAETSISGSLPSSLGMLKRIQTIAIYTSLISGPIPEEIGNCSELQNLYLYQNSISGPIPSQVGQLSKLQGLLLWQNNLVGSIPDELGSCTELTMVDLSDNHLTGSIPRSIGKLLKLQELQLSVNQLSGTIPSEISNCIELTHLEIDNNAISGEIPVLIGNLKSLTLFFAWQNKLTGNIPDSLSQCQDLEAIDLSYNSLFGSIPKEIFVLRNLTKLLLLSNDLSGFIPPDIGNCTNLYRLRLNDNKLAGTIPSEIGKLKGLNFVDLSNNRLVGGIPPSINGIQNLEFLDLHSNGITGSLPDSLPTSLQYMDISDNRLIGPLTHGIGSLTQLTKLNLGRNQLSGRIPSEILACSKLQLLNLGDNGFFGEIPKELGQIPALEISLNLSCNQFSGEIPSEFSGLTKLAVLDLSHNKFSGKLDNLASLQNLVSLNVSFNDFSGELPNSPFFRKLPLSDLESNKDLYISNGVVTSADIEHSRHVRPAVKLAMSILISGSAVLVLLAIYMLVRARFANNGLMEDDNWEVTLYQKLDLSIDDIVHNLTSANVIGTGSSGVVYRVIIPNGETLAVKKMWSSEESGAFTSEIETLGSIRHRNIVRLLGWGSNRNLKLLFYNYLPNGSLSSLLHGAGKGGAEWEARYDVVLGVAHALAYLHHDCVPAILHGDVKAMNVLLGAGYEPFLADFGLARVIKSNEDDKFSKLSPRPHLAGSYGYMAPEHATMQRITEKSDVYSFGVVLLEVLTGRHPLDPTLPGGAHLVQWVRDHLANKRNPSDILDPKLRGRADPAMHEMLQTLAVSCLCVSARADGRPTMKDVVAMLKEIHHVETSRDISKGTSTAPQPQSPQPPTRTVVSRGSSNCSFAFSDDSIQSSGGGFNL >KJB12503 pep chromosome:Graimondii2_0_v6:2:1507186:1515165:1 gene:B456_002G021700 transcript:KJB12503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFAKLDDSPMFRKQIQCMEESAELLRERSLRFFKGCRKYTEGLGEGYDGDIAFANALEMFGGGHNDNISVAFGGPVMTKFTIALREIGTYKEILRSQVETELNERLVQFVDTDLLEVKEARKRFDKASLIYDQAREKFLSLRKSTKSDIANVLEEELHNARSTFEQARFNLVTALSTVEAKKRFEFLEAVSGTMDAHRRYFKQGYELLHQMEPYINQVLTYARQSRERSNYEQAALNERMQEYKRQVARESRWSSNGSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQISKSSGSGSHLSGQRNNSDLGSGLLGRWLSSHNHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLNSQAPERCLSSSPMGSGHHRSTSESSFESSDFDHTAGEEYTSERNLVNAHNEHQSKASQHLRSSIKIEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVIGLFQSLGNTFANSVWEEFLQSRSALHVDLTLTGFYKSDKLQLLLTGKPCHTDSISAKEKFIHAKYAEKLFVRKPKDKQHPNPVAQQIWEGVRANDKKAVYRYIVSCEADINAVYEQSPGPSLTLAKALLLQEHANADNSSSYIAADSSDRSSASSFNLLGTSESQITDDFDGCTLLHLACETADIGMLELLLQCGANINAMDSRSQTPLHRCIHRGKTAFAKLLLTRGADPHAINREGKTPLKLAVESDISDSEVLALLADANR >KJB13266 pep chromosome:Graimondii2_0_v6:2:7675997:7679333:-1 gene:B456_002G065600 transcript:KJB13266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRNMRSPIDLEIDQQGQEYLQHEPCVYLGGTTNYPSPDIPMTITAPGNTTNLDAHPLPEHYDNSMFYGIPMYPGVQHHHHSPNLDLGIGSASNFYGPYVPTPSSSVPINHGIPDQMPSSSNYRVHGVSADEYARNCHFMDNVRGLYKRKNSEGIPGNFQQFNASSSSSSSVTPLNTRNRDGVGTVDAASFTRSQYRGNGLPPIREAGPQRSVRNRLGANAVDPVLMQSGNPYFQGNYLGQPFQPTITDGGASAWTQAPGVPYMHGSNIGGPMETRHRSSSNFSHSPLDFRNPNFHHHVPPIEGARGHGINVHPQVAPVPYCFPASYASQSTMNPSQDGLDVGRRHFGPVPPTGFRIYHSRRESGALPESSLRHRNLPHLRVLPPDGVAVLEFPEFYEEVGNLIDHHRDMRLDIEDMSYEELLALGERIGNVNTGLSEEIMRRKLKTRSYSTFATNINLEEAAPVEQEPDFCTICQEDYKNQEKIGTLDCGHEYHASCLRKWLLVKNVCPICKSQALAT >KJB13267 pep chromosome:Graimondii2_0_v6:2:7676048:7679483:-1 gene:B456_002G065600 transcript:KJB13267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRNMRSPIDLEIDQQGQEYLQHEPCVYLGGTTNYPSPDIPMTITAPGNTTNLDAHPLPEHYDNSMFYGIPMYPGVQHHHHSPNLDLGIGSASNFYGPYVPTPSSSVPINHGIPDQMPSSSNYRVHGVSADEYARNCHFMDNVRGLYKRKNSEGIPGNFQQFNASSSSSSSVTPLNTRNRDGVGTVDAASFTRSQYRGNGLPPIREAGPQRSVRNRLGANAVDPVLMQSGNPYFQGNYLGQPFQPTITDGGASAWTQAPGVPYMHGSNIGGPMETRHRSSSNFSHSPLDFRNPNFHHHVPPIEGARGHGINVHPQVAPVPYCFPASYASQSTMNPSQDGLDVGRRHFGPVPPTGFRIYHSRRESGALPESSLRHRNLPHLRVLPPDGVAVLEFPEFYEEVGNLIDHHRDMRLDIEDMSYEELLALGERIGNVNTGLSEEIMRRKLKTRSYSTFATNINLEEAAPVEQEPDFCTICQEDYKNQEKIGTLDCGHEYHASCLRKWLLVKNVCPICKSQALAT >KJB12631 pep chromosome:Graimondii2_0_v6:2:2100564:2103644:-1 gene:B456_002G028200 transcript:KJB12631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEPFIYSFVARGTMILAEYTEFTGNFPAIATQCLQKLPSSNNKFTYNCDHHTFNFLVEDGYAYCVVAKDSVGKQISIAFLERMKADFKKRYGGGKADTAIAKSLNKEFGPVMREHMKYIIEHAEEIEKLLKVKAQVSEVKSIMLENIDKAIDRGENLTTLADKTENLRDQVKPVSLG >KJB12630 pep chromosome:Graimondii2_0_v6:2:2100564:2102968:-1 gene:B456_002G028200 transcript:KJB12630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFIYAAYCVVAKDSVGKQISIAFLERMKADFKKRYGGGKADTAIAKSLNKEFGPVMREHMKYIIEHAEEIEKLLKVKAQVSEVKSIMLENIDKAIDRGENLTTLADKTENLRDQAQAYRNQGMKIRRKMWYQNMKIKLVVLGILVLLILVIWLSVCHGFDCTN >KJB12629 pep chromosome:Graimondii2_0_v6:2:2100490:2103732:-1 gene:B456_002G028200 transcript:KJB12629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTYIKGENKTKPAISKVLVVLCVVSPTNSRDNPVELTKEKGEGKMSLEPFIYSFVARGTMILAEYTEFTGNFPAIATQCLQKLPSSNNKFTYNCDHHTFNFLVEDGYAYCVVAKDSVGKQISIAFLERMKADFKKRYGGGKADTAIAKSLNKEFGPVMREHMKYIIEHAEEIEKLLKVKAQVSEVKSIMLENIDKAIDRGENLTTLADKTENLRDQAQAYRNQGMKIRRKMWYQNMKIKLVVLGILVLLILVIWLSVCHGFDCTN >KJB12632 pep chromosome:Graimondii2_0_v6:2:2101626:2103644:-1 gene:B456_002G028200 transcript:KJB12632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEPFIYSFVARGTMILAEYTEFTGNFPAIATQCLQKLPSSNNKFTYNCDHHTFNFLVEDGYAYCVVAKDSVGKQISIAFLERMKADFKKRYGGGKADTAIAKSLNKEFGPVMREHMKYIIEHAEEIEKLLKVKAQVSEVKSIMLENIDKVSLHQDSLPSLLLHYRKTEILNSTACSCFRFTLTRVRDTSLDIEIEGLSNKSTIG >KJB16779 pep chromosome:Graimondii2_0_v6:2:61087741:61090331:-1 gene:B456_002G247000 transcript:KJB16779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGSNSVQNHNHQEDGDQNQTKRGASRSWGTTVSGQSVSTSGSVGSPSSRCELAMATPASENTFLRLNHLDIHGDDAGSQGAVGSKKKKRGQRAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFTDPGNNIASPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIEDLKTERLGLRNRIEKKAAYLHELEEQFVGLQNLIQRNEQLYSSGNPPNGGVALPFILVQVCCLLKSFILVRN >KJB16776 pep chromosome:Graimondii2_0_v6:2:61086695:61090331:-1 gene:B456_002G247000 transcript:KJB16776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGSNSVQNHNHQEDGDQNQTKRGASRSWGTTVSGQSVSTSGSVGSPSSRCELAMATPASENTFLRLNHLDIHGDDAGSQGAVGKKKKRGQRAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFTDPGNNIASPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIEDLKTERLGLRNRIEKKAAYLHELEEQFVGLQNLIQRNEQLYSSGNPPNGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPGSDEMAHNFSADGEGSSMSAMYQQQIVPPPMTNTPGRPPTSPPLPGILKARVKHEH >KJB16775 pep chromosome:Graimondii2_0_v6:2:61086341:61090619:-1 gene:B456_002G247000 transcript:KJB16775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGSNSVQNHNHQEDGDQNQTKRGASRSWGTTVSGQSVSTSGSVGSPSSRCELAMATPASENTFLRLNHLDIHGDDAGSQGAVGSKKKKRGQRAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFTDPGNNIASPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIEDLKTERLGLRNRIEKKAAYLHELEEQFVGLQNLIQRNEQLYSSGNPPNGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPGSDEMAHNFSADGEGSSMSAMYQQQIVPPPMTNTPGRPPTSPPLPGILKARVKHEH >KJB16777 pep chromosome:Graimondii2_0_v6:2:61086295:61090691:-1 gene:B456_002G247000 transcript:KJB16777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGSNSVQNHNHQEDGDQNQTKRGASRSWGTTVSGQSVSTSGSVGSPSSRCELAMATPASENTFLRLNHLDIHGDDAGSQGAVGSKKKKRGQRAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFTDPGNNIASPDQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIEDLKTERLGLRNRIEKKAAYLHELEEQFVGLQNLIQRNEQLYSSGNPPNGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPGSDEMAHNFSADGEGSSMSAMYQQQIVPPPMTNTPGRPPTSPPLPGILKARVKHEH >KJB16774 pep chromosome:Graimondii2_0_v6:2:61086295:61090691:-1 gene:B456_002G247000 transcript:KJB16774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGSNSVQNHNHQEDGDQNQTKRGASRSWGTTVSGQSVSTSGSVGSPSSRCELAMATPASENTFLRLNHLDIHGDDAGSQGAVGKKKKRGQRAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFTDPGNNIASPDQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIEDLKTERLGLRNRIEKKAAYLHELEEQFVGLQNLIQRNEQLYSSGNPPNGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPGSDEMAHNFSADGEGSSMSAMYQQQIVPPPMTNTPGRPPTSPPLPGILKARVKHEH >KJB16778 pep chromosome:Graimondii2_0_v6:2:61086341:61089051:-1 gene:B456_002G247000 transcript:KJB16778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSISESESFSQVADELVAEFTDPGNNIASPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIEDLKTERLGLRNRIEKKAAYLHELEEQFVGLQNLIQRNEQLYSSGNPPNGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPGSDEMAHNFSADGEGSSMSAMYQQQIVPPPMTNTPGRPPTSPPLPGILKARVKHEH >KJB14857 pep chromosome:Graimondii2_0_v6:2:26968518:26970109:-1 gene:B456_002G146500 transcript:KJB14857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRGRYPSVLGRGGGANTNPSFQSRPEQPQYAQKNLVQNHHHFQQQQHHHHHLQQQQHQQQWLRRNQLPGGNDSTVADEVEKTVQSEAVDSSSQDWKARLKMPLSNTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEENIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVP >KJB14859 pep chromosome:Graimondii2_0_v6:2:26968299:26970109:-1 gene:B456_002G146500 transcript:KJB14859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRGRYPSVLGRGGGANTNPSFQSRPEQPQYAQKNLVQNHHHFQQQQHHHHHLQQQQHQQQWLRRNQLPGGNDSTVADEVEKTVQSEAVDSSSQDWKARLKMPLSNTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEENIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQGAMPRDVFNTLPPFSCGL >KJB14858 pep chromosome:Graimondii2_0_v6:2:26967652:26970109:-1 gene:B456_002G146500 transcript:KJB14858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRGRYPSVLGRGGGANTNPSFQSRPEQPQYAQKNLVQNHHHFQQQQHHHHHLQQQQHQQQWLRRNQLPGGNDSTVADEVEKTVQSEAVDSSSQDWKARLKMPLSNTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEENIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQGLPDSVL >KJB13735 pep chromosome:Graimondii2_0_v6:2:11604404:11605137:-1 gene:B456_002G091600 transcript:KJB13735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYEGIRVLTNNGKMNRSYRIHEDMPRTTIYFGAAFDNKTLKSATGLVGWDLRGNLLVLKTVIHSNVPSPFAAEAYACLEGTKLGISLRTQSVKLMGDSKTIIKKMSDDFNRHVFKNLFFSIFIDQRTRMHID >KJB14359 pep chromosome:Graimondii2_0_v6:2:17345438:17348751:-1 gene:B456_002G121200 transcript:KJB14359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDNSRNLVQALTNGDPEKISTSRFSSLLASKDRDYLLSSTTQDDQVKISDLEGKAIGLYFSANWYPPCRNFNKVLIGVYEQLKSNGSNFEIVFVSSDEDLSAFNSYRESMPWLSIPFSDLETKKALNRKFEVEGIPYLIILQPDGSTLHDGVELIYRYGIEAFPFTEEKLEELRREERMKHESQTLTNLLINPGRDYLLDQTMTRKVPVDSLIGKTVGLYFSAQWCFPCAKFTPKLISIYHKIKQSLEGKGGGEDFEIVFVSNDRDQSSFDSYYGTMPWLALPFGEPIIRTLAKYFDVQGIPCLIIIDPDGKTVTKQGRNLINLYQENAYPFTCAKLELLEKEMEEEAKRLPRSNYHAGHRHELTLVSEGNGGGPFICCDCDEQGSGWAYQCLGCGYEVHPRCMRAVDPATAASVQHT >KJB14358 pep chromosome:Graimondii2_0_v6:2:17345438:17348707:-1 gene:B456_002G121200 transcript:KJB14358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDNSRNLVQALTNGDPEKISTSRFSSLLASKDRDYLLSSTTQDDQQVKISDLEGKAIGLYFSANWYPPCRNFNKVLIGVYEQLKSNGSNFEIVFVSSDEDLSAFNSYRESMPWLSIPFSDLETKKALNRKFEVEGIPYLIILQPDGSTLHDGVELIYRYGIEAFPFTEEKLEELRREERMKHESQTLTNLLINPGRDYLLDQTMTRKVPVDSLIGKTVGLYFSAQWCFPCAKFTPKLISIYHKIKQSLEGKGGGEDFEIVFVSNDRDQSSFDSYYGTMPWLALPFGEPIIRTLAKYFDVQGIPCLIIIDPDGKTVTKQGRNLINLYQENAYPFTCAKLELLEKEMEEEAKRLPRSNYHAGHRHELTLVSEGNGGGPFICCDCDEQGSGWAYQCLGCGYEVHPRCMRAVDPATAASVQHT >KJB14360 pep chromosome:Graimondii2_0_v6:2:17345438:17348879:-1 gene:B456_002G121200 transcript:KJB14360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDNSRNLVQALTNGDPEKISTSRFSSLLASKDRDYLLSSTTQDDQVKISDLEGKAIGLYFSANWYPPCRNFNKVLIGVYEQLKSNGSNFEIVFVSSDEDLSAFNSYRESMPWLSIPFSDLETKKALNRKFEVEGIPYLIILQPDGSTLHDGVELIYRYGIEAFPFTEEKLEELRREERMKHESQTLTNLLINPGRDYLLDQTMTRKVPVDSLIGKTVGLYFSAQWCFPCAKFTPKLISIYHKIKQSLEGKGGGEDFEIVFVSNDRDQSSFDSYYGTMPWLALPFGEPIIRTLAKYFDVQGIPCLIIIDPDGKTVTKQGHRHELTLVSEGNGGGPFICCDCDEQGSGWAYQCLGCGYEVHPRCMRAVDPATAASVQHT >KJB14361 pep chromosome:Graimondii2_0_v6:2:17345441:17348707:-1 gene:B456_002G121200 transcript:KJB14361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDNSRNLVQALTNGDPEKISTSRFSSLLASKDRDYLLSSTTQDDQVKISDLEGKAIGLYFSANWYPPCRNFNKVLIGVYEQLKSNGSNFEIVFVSSDEDLSAFNSYRESMPWLSIPFSDLETKKALNRKFEVEGIPYLIILQPDGSTLHDGVELIYRYGIEAFPFTEEKLEELRREERMKHESQTLTNLLINPGRDYLLDQTMTRKVCYLSSPACRSFCPFTLTFARYPHPTIGFPFTSEVPVDSLIGKTVGLYFSAQWCFPCAKFTPKLISIYHKIKQSLEGKGGGEDFEIVFVSNDRDQSSFDSYYGTMPWLALPFGEPIIRTLAKYFDVQGIPCLIIIDPDGKTVTKQGRNLINLYQENAYPFTCAKLELLEKEMEEEAKRLPRSNYHAGHRHELTLVSEGNGGGPFICCDCDEQGSGWAYQCLGCGYEVHPRCMRAVDPATAASVQHT >KJB13695 pep chromosome:Graimondii2_0_v6:2:11716467:11720219:1 gene:B456_002G092200 transcript:KJB13695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGLFRYDVTACETKVIPGEYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFQFEASEDGEVQFYPSAPIDVENSPSVVAINVSPIEYGHVLLIPRILECLPQRIDRESFLLALYMTAEAGNPYFRLGYNSLGAFATINHLHFQAYYLAVPFPIEKAPTKKITTLYDEVIISELLSYPVRGLVFEGGNTLQALSDTVSDACICLQENNIPYNVLISDCGKRIFLVPQCYAEKQALGEVSPELLDTQVNPAVWEISGHMVLKRRKDYDEASDENAWRLLAEVSLSDERFREVNALIFEVIASGKDGIEHAAKSLPKEPNTKAESTEEESAITKTSHHAMVGGTQECVVLQ >KJB13694 pep chromosome:Graimondii2_0_v6:2:11716307:11720220:1 gene:B456_002G092200 transcript:KJB13694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRIKRVPTVVSNYQKDETEETARRSSGCGKNCLRSCCIPGAKLPLYAFRKLNNIESENVVLGIENKDPPVAFLDSLILGEWEDRMQRGLFRYDVTACETKVIPGEYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFQFEASEDGEVQFYPSAPIDVENSPSVVAINVSPIEYGHVLLIPRILECLPQRIDRESFLLALYMTAEAGNPYFRLGYNSLGAFATINHLHFQAYYLAVPFPIEKAPTKKITTLYDEVIISELLSYPVRGLVFEGGNTLQALSDTVSDACICLQENNIPYNVLISDCGKRIFLVPQCYAEKQALGEVSPELLDTQVNPAVWEISGHMVLKRRKDYDEASDENAWRLLAEVSLSDERFREVNALIFEVIASGKDGIEHAAKSLPKEPNTKAESTEEESAITKTSHHAMVGGTQECVVLQ >KJB13696 pep chromosome:Graimondii2_0_v6:2:11716467:11720219:1 gene:B456_002G092200 transcript:KJB13696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRIKRVPTVVSNYQKDETEETARRSSGCGKNCLRSCCIPGAKLPLYAFRKLNNIESENVVLGIENKDPPVAFLDSLILGEWEDRMQRGLFRYDVTACETKVIPGEYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFQFEASEDGEVQFYPSAPIDVENSPSVVAINVSPIEYGHVLLIPRILECLPQRIDRESFLLALYMTAEAGNPYFRLGYNSLGAFATINHLHFQAYYLAVPFPIEKAPTKKITTLYDEVIISELLSYPVRGLVFEGGNTLQALSDTVSDACICLQENNIPYNVLISDCGKRIFLVPQVISLSVIYASWLSP >KJB15177 pep chromosome:Graimondii2_0_v6:2:39750288:39763244:-1 gene:B456_002G164200 transcript:KJB15177 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda [Source:Projected from Arabidopsis thaliana (AT1G10520) UniProtKB/Swiss-Prot;Acc:Q9FNY4] MAPKRTKRKSPSPDPQGMFAGMTVFLIEDGVQSLRLQIWKQKLVQMGAKVETQLSEKVSHVFAISSDALFHHVDDDRLARFKGHVLLYRWLEESLAAGEKVSEDFYILKVDPEGFDIFDKSLKPKQANRNSSSYDEHSHCKRIKSSHEEIKQTNEEKKGDIETNSVSWASNTEPHSPSSLTSSPGISSTQDKDVGMQMSFQYSPPDLNKGITEIFGKLVNIYRALGDDRRSFSYYKAIAVVEKLPFKVESADQVKDLPGIGKSMQDHIQEIVTTGKLSKLEQFETDEKVKTITLFGEVWGIGPATALKLYEKGHRTLDDLKNEDSLTNAQVIGLKYFDDIKTRIPRHEAQEMELVLQKAAEDIIPGMVVVCGGSYRRGKASCGDLDIVITHPDGKSHKGFLQNYVKRLKEMKLLREDLIFSTHSEEGTDSGVDTYFGLCTYPGRELRHRIDFKVYPRDIYAFGLIAWTGNDVLNRRLRLLAESKGYRLDDTGLFPATHGSGGKRGSRGTASLKLETEKEVFDYLGFPWLEPYERNL >KJB15180 pep chromosome:Graimondii2_0_v6:2:39749926:39763403:-1 gene:B456_002G164200 transcript:KJB15180 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda [Source:Projected from Arabidopsis thaliana (AT1G10520) UniProtKB/Swiss-Prot;Acc:Q9FNY4] MAPKRTKRKSPSPDPQGMFAGMTVFLIEDGVQSLRLQIWKQKLVQMGAKVETQLSEKVSHVFAISSDALFHHVDDDRLARFKGHVLLYRWLEESLAAGEKVSEDFYILKVDPEGFDIFDKSLKPKQANRNSSSYDEHSHCKRIKSSHEEIKQTNEEKKGDIETNSVSWASNTEPHSPSSLTSSPGISSTQDKDVGMQMSFQYSPPDLNKGITEIFGKLVNIYRALGDDRRSFSYYKAIAVVEKLPFKVESADQVKDLPGIGKSMQDHIQEIVTTGKLSKLEQFETDEKVKTITLFGEVWGIGPATALKLYEKGHRTLDDLKNEDSLTNAQVIGLKYFDDIKTRIPRHEAQEMELVLQKAAEDIIPGMVVVCGGSYRRGKASCGDLDIVITHPDGKSHKGFLQNYVKRLKEMKLLREDLIFSTHSEEGTDSGVDTYFGLCTYPGRELRHRIDFKVYPRDIYAFGLIAWTGNDVLNRRLRLLAESKGYRLDDTGLFPATHGSGGKRGSRGTASLKLETEKEVCCKTFHP >KJB15178 pep chromosome:Graimondii2_0_v6:2:39749926:39763403:-1 gene:B456_002G164200 transcript:KJB15178 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda [Source:Projected from Arabidopsis thaliana (AT1G10520) UniProtKB/Swiss-Prot;Acc:Q9FNY4] MAPKRTKRKSPSPDPQGMFAGMTVFLIEDGVQSLRLQIWKQKLVQMGAKVETQLSEKVSHVFAISSDALFHHVDDDRLARFKGVGMQMSFQYSPPDLNKGITEIFGKLVNIYRALGDDRRSFSYYKAIAVVEKLPFKVESADQVKDLPGIGKSMQDHIQEIVTTGKLSKLEQFETDEKVKTITLFGEVWGIGPATALKLYEKGHRTLDDLKNEDSLTNAQVIGLKYFDDIKTRIPRHEAQEMELVLQKAAEDIIPGMVVVCGGSYRRGKASCGDLDIVITHPDGKSHKGFLQNYVKRLKEMKLLREDLIFSTHSEEGTDSGVDTYFGLCTYPGRELRHRIDFKVYPRDIYAFGLIAWTGNDVLNRRLRLLAESKGYRLDDTGLFPATHGSGGKRGSRGTASLKLETEKEVFDYLGFPWLEPYERNL >KJB15179 pep chromosome:Graimondii2_0_v6:2:39751267:39763244:-1 gene:B456_002G164200 transcript:KJB15179 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda [Source:Projected from Arabidopsis thaliana (AT1G10520) UniProtKB/Swiss-Prot;Acc:Q9FNY4] MAPKRTKRKSPSPDPQGMFAGMTVFLIEDGVQSLRLQIWKQKLVQMGAKVETQLSEKVSHVFAISSDALFHHVDDDRLARFKGHVLLYRWLEESLAAGEKVSEDFYILKVDPEGFDIFDKSLKPKQANRNSSSYDEHSHCKRIKSSHEEIKQTNEEKKGDIETNSVSWASNTEPHSPSSLTSSPGISSTQDKDVGMQMSFQYSPPDLNKGITEIFGKLVNIYRALGDDRRSFSYYKAIAVVEKLPFKVESADQVKDLPGIGKSMQDHIQEIVTTGKLSKLEQFETDEKVKTITLFGEVWGIGPATALKLYEKGHRTLDDLKNEDSLTNAQVIGLKYFDDIKTRIPRHEAQEMELVLQKAAEDIIPGMVVVCGGSYRRGKASCGDLDIVITHPDGKSHKGFLQNYVKRLKEMKLLREDLIFSTHSEEGTDSGVDTYFGLCTYPGRELRHRIDFKYNSVICLYAIFLLCRYIRGTYMHLD >KJB15176 pep chromosome:Graimondii2_0_v6:2:39749790:39763680:-1 gene:B456_002G164200 transcript:KJB15176 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda [Source:Projected from Arabidopsis thaliana (AT1G10520) UniProtKB/Swiss-Prot;Acc:Q9FNY4] MAPKRTKRKSPSPDPQGMFAGMTVFLIEDGVQSLRLQIWKQKLVQMGAKVETQLSEKVSHVFAISSDALFHHVDDDRLARFKGHVLLYRWLEESLAAGEKVSEDFYILKVDPEGFDIFDKSLKPKQANRNSSSYDEHSHCKRIKSSHEEIKQTNEEKKGDIETNSVSWASNTEPHSPSSLTSSPGISSTQDKDYSPPDLNKGITEIFGKLVNIYRALGDDRRSFSYYKAIAVVEKLPFKVESADQVKDLPGIGKSMQDHIQEIVTTGKLSKLEQFETDEKVKTITLFGEVWGIGPATALKLYEKGHRTLDDLKNEDSLTNAQVIGLKYFDDIKTRIPRHEAQEMELVLQKAAEDIIPGMVVVCGGSYRRGKASCGDLDIVITHPDGKSHKGFLQNYVKRLKEMKLLREDLIFSTHSEEGTDSGVDTYFGLCTYPGRELRHRIDFKVYPRDIYAFGLIAWTGNDVLNRRLRLLAESKGYRLDDTGLFPATHGSGGKRGSRGTASLKLETEKEVFDYLGFPWLEPYERNL >KJB14718 pep chromosome:Graimondii2_0_v6:2:24266863:24268840:1 gene:B456_002G139900 transcript:KJB14718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNSSSMQSSSGGDEEYDSRPESVAAFLNASSHFNPLSNQQPSLVHHQNQPLTFFDPIPSLNYFNQFSQSQPRNSLLNFDGVRPRMSMRSEPNCIEFNNLPGSSLSSQSIIGSQGFNQGSFPSTISMQSTSVHENGVRSSTQSDQKTSVVKNPKKRTRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFSGSSYCRRLDLFGSGSRSSHLEPLASLYPLRPSAKRAQTTPFVSSSSPLLDAANITNTTSDTTVNPTAFNPSSSNYQLPGDIGLLKEPHNMSNLQNQSPILSFQSFLDPPPLHSSLNLPGFGVKSQGSSAVPSIDELGLSHGHGNASVGGLQSHGVGLNDGNQEHLRPLDGSYGNTDHNSHRVNSCKMNYSASSSAFHHDKGLDTVSSRTEGTLDSWICPAE >KJB13772 pep chromosome:Graimondii2_0_v6:2:11841157:11844373:1 gene:B456_002G093400 transcript:KJB13772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALSRVSKSVGARSLLLSAPQKLQTPSFPTQFHSLVCQSSNKLVTNDVFLLHHSSLNFSAFQQNGISSSASPPEPPKREHENSAQSNGEEPARRSGGTNPGGAEVTDQTKESDSSSDSDSESDGDLSLADMIKLVEEKDGLLEEKQKEIEQMKDKIVRTLAEMENVMARTRREADNSKKFAVQNFAKDLLDVADNLGRASTHVKGSFSKIDESNDTAGAVPLLKTLLEGVEMTEKQLAEVFRKYGVKKFDPTNEPFDPNMHNAVFQVPDNSKPPGTVAHVLKAGYMLYDRVIRPAEVSVTQAPDPDADKNNTSNKDSDA >KJB13770 pep chromosome:Graimondii2_0_v6:2:11841346:11844221:1 gene:B456_002G093400 transcript:KJB13770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALSRVSKSVGARSLLLSAPQKLQTPSFPTQFHSLVCQSSNKLVTNDVFLLHHSSLNFSAFQQNGISSSASPPEPPKREHENSAQSNGEEPARRSGGTNPGGAEVTDQTKESDSSSDSDSESDGDLSLADMIKLVEEKDGLLEEKQKEIEQMKDKIVRTLAEMENVMARTRREADNSKKFAVQNFAKDLLDVADNLGRASTHVKGSFSKIDESNDTAGAVPLLKTLLEGVEMTEKQLAEVFRKYGVKKFDPTNEPFDPNMHNAVFQVPDNSKPPGTVAHVLKAGYMLYDRVIRPAEVSVTQAPDPDADKNNTSNKDSDA >KJB13771 pep chromosome:Graimondii2_0_v6:2:11841346:11843249:1 gene:B456_002G093400 transcript:KJB13771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALSRVSKSVGARSLLLSAPQKLQTPSFPTQFHSLVCQSSNKLVTNDVFLLHHSSLNFSAFQQNGISSSASPPEPPKREHENSAQSNGEEPARRSGGTNPGGAEVTDQTKESDSSSDSDSESDGDLSLADMIKLVEEKDGLLEEKQKEIEQMKDKIVRTLAEMENVMARTRREADNSKKFAVQNFAKDLLDVADNLGRASTHVKGSFSKIDESNDTAGAVPLLKTLLEGVEMTEKQLAEVIFVTLNQNSVVCFTASYGVVSSRPSKLELVIFYT >KJB16883 pep chromosome:Graimondii2_0_v6:2:61593198:61598209:-1 gene:B456_002G252900 transcript:KJB16883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGENHGKREFVNSKPTDPDVFPAWAKDIHECEKHYDVSQKSGLSTAEVENRRRIYGNNELEKHEGQSIWSLIMEQFNDTLVRILLLAAVVSFVLAWYDGDEGGEMEITAFVEPLVIFLILIVNAIVGVWQENNAEKALEALKEIQSEQATVIRDGIKIPSLPAKELVPGDIVELKVGDKVPADMRVLELVSSTLRVEQGSLTGESEAVNKTNKSVSEDADIQGKRSMVFAGTTVVNGNCFCLVTQIGMETEIGKVHTQIHVASQSEEDTPLKKKLNEFAEVLTMIIGVICIFVWLINVKYFLSWEYIDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKLVAMGGHASSLRNFRVDGTTYNPSDGKIHGWPSGGMDANLETIAKISAICNDAGVTHSDNKYVAHGMPTEAAIKVLVEKMGLPKGLYSGGAAGNDVLRCCQWWNEYEHRIATLEFDRDRKSMGVIVKSKSGRRSLLVKGAVENLLERSSKMQLLDGSVVPLDQNSRILVSNALQDMSSGALRCLGFAYKDELPEFETYDGSDDHPAHALLLDPSNYPSIESNLTFVGLVGLRDPPRQEVHQAIEDCKAAGIRVMVITGDNKNTAEAICREIGVFGPMEDISSKSLTGKEFMALSDQKAHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVSAVGEGRSIYDNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYLVIGLYVGVATVGVFIIWYTHGSFLGIDLSGDGHTLVTYPQLANWAQCSSWKNFTVSPFTAGNQVFSFENNPCDYFQGGKVKAMTLSLSVLVAIEMFNSLNALSEDGSLLTMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVLAVAFPVILIDEVLKFVGRLGRRMRSSSQRPLKPKTE >KJB16884 pep chromosome:Graimondii2_0_v6:2:61593213:61598141:-1 gene:B456_002G252900 transcript:KJB16884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGENHGKREFVNSKPTDPDVFPAWAKDIHECEKHYDVSQKSGLSTAEVENRRRIYGNNELEKHEGQSIWSLIMEQFNDTLVRILLLAAVVSFVLAWYDGDEGGEMEITAFVEPLVIFLILIVNAIVGVWQENNAEKALEALKEIQSEQATVIRDGIKIPSLPAKELVPGDIVELKVGDKVPADMRVLELVSSTLRVEQGSLTGESEAVNKTNKSVSEDADIQGKRSMVFAGTTVVNGNCFCLVTQIGMETEIGKVHTQIHVASQSEEDTPLKKKLNEFAEVLTMIIGVICIFVWLINVKYFLSWEYIDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKLVAMGGHASSLRNFRVDGTTYNPSDGKIHGWPSGGMDANLETIAKISAICNDAGVTHSDNKYVAHGMPTEAAIKVLVEKMGLPKGLYSGGAAGNDVLRCCQWWNEYEHRIATLEFDRDRKSMGVIVKSKSGRRSLLVKGAVENLLERSSKMQLLDGSVVPLDQNSRILVSNALQDMSSGALRCLGFAYKDELPEFETYDGSDDHPAHALLLDPSNYPSIESNLTFVGLVGLRDPPRQEVHQAIEDCKAAGIRVMVITGDNKNTAEAICREIGVFGPMEDISSKSLTGKEFMALSDQKAHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVSAVGEGRSIYDNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYLVAGLNLCLNLRVCFSR >KJB17023 pep chromosome:Graimondii2_0_v6:2:62186287:62187707:1 gene:B456_002G261100 transcript:KJB17023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFSVANNQLYGPVPLFNLSLSKDSFVGNPGLCGKPLEPCIEHKSSPFKNGFITGYAVSVVSVITIFMFYFAPCMHRNERNKLLRHFILNLIWNRTRKVNMTQNSNQTSQMVLPDILHETSRETSMLVELVSRMSYSDLYEATNEFSAENIIGHGQMGTTYKATSSNGCLLAIKRLFDTEKFDEHFITELKTLGRLRHDNLVPLLGFCIESKEKLLVYKYMSNGNLYDLLHPLEKRRTIGWPLRLKIACGVAKGLVWLHQNCNYKNFEPKISNFSEAMLMKSNNRYWSSSFDMDSEFWESSFVKEDVYRFGILVLELISRKEPSELTDLARTGDESIGKWVVDDVKSSDFVDGGLVRHGFDVEIFEILRVAWNCVQPNPDERPAMLQVHKIINGFSKKYGYG >KJB16364 pep chromosome:Graimondii2_0_v6:2:58183050:58187373:-1 gene:B456_002G225900 transcript:KJB16364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVFCLVSRDTSIRTGASFSASARLQTSNTFIEKNAIFSGSSQLVSDTCPTLLRFYRSTHASSNFSVWRCGLSSQAGAESSGEEDDLEDGFSELETAGNSENKRDHIAKDATEDGLDSDQEFSVDVEETASNELELFGAETDVSDKKSSGRRTTSGLFKALISAPGLSTHKVLDKWLEEGKSLSRAEISSATLNLRKRRMYGRALQLSEWLEMKQQLEFNEKDYASRLDLIAKIRGLQKAEDYIQTIPKSFRGEIIYRTLLANCVVSNNLKKAEEVFNKMKDLEFPSTAFACNQLLLLYKRLDKKKIADVLLLMEKENVKPTLFTYRILIDTKGLCNDISGMEQIVETMKAEGIEPDIQIQSVLAKHYASAGLMEKAEEVLKAMEGDNIKENRWVYRFLLPLYAGLGKADEVERIWKICDSKPRFEEFLAGIEAWGKLGKIEKSEEIFERLLKTSKKLPARYYTHLLKVYSNHKMLEKGKDLVKRMAGNGCQIGPFTWDALVKLHVEAGDVEKADSILQKACQQNEIKPMFTTFMVVMEQYARRGDIHNAEKMLHRMRQAGYVARVSQFQTLVRAYINAKAPAYGIRERMKADNIFPNKSLAALLPQVDAFRRTSVSDLLD >KJB16363 pep chromosome:Graimondii2_0_v6:2:58183029:58187663:-1 gene:B456_002G225900 transcript:KJB16363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALRRVPKPLRDTSIRTGASFSASARLQTSNTFIEKNAIFSGSSQLVSDTCPTLLRFYRSTHASSNFSVWRCGLSSQAGAESSGEEDDLEDGFSELETAGNSENKRDHIAKDATEDGLDSDQEFSVDVEETASNELELFGAETDVSDKKSSGRRTTSGLFKALISAPGLSTHKVLDKWLEEGKSLSRAEISSATLNLRKRRMYGRALQLSEWLEMKQQLEFNEKDYASRLDLIAKIRGLQKAEDYIQTIPKSFRGEIIYRTLLANCVVSNNLKKAEEVFNKMKDLEFPSTAFACNQLLLLYKRLDKKKIADVLLLMEKENVKPTLFTYRILIDTKGLCNDISGMEQIVETMKAEGIEPDIQIQSVLAKHYASAGLMEKAEEVLKAMEGDNIKENRWVYRFLLPLYAGLGKADEVERIWKICDSKPRFEEFLAGIEAWGKLGKIEKSEEIFERLLKTSKKLPARYYTHLLKVYSNHKMLEKGKDLVKRMAGNGCQIGPFTWDALVKLHVEAGDVEKADSILQKACQQNEIKPMFTTFMVVMEQYARRGDIHNAEKMLHRMRQAGYVARVSQFQTLVRAYINAKAPAYGIRERMKADNIFPNKSLAALLPQVDAFRRTSVSDLLD >KJB12472 pep chromosome:Graimondii2_0_v6:2:1358251:1365092:-1 gene:B456_002G020200 transcript:KJB12472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQKNDAGTSQANAPAKDPKKKEDQKKKDDEDLSEEDLALKQQLELYVERVQDSDPGLQKVALESMRHEIRTSTSSMTSVPKPLKFLRPHYGTLKAFYETMPESDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEYGKRQSEEAAIEDLMELVQQIVSFHMKHNAEPEAVDLLMEVEDLDLLIEHVDKTNFKRTCLYLTSAARYLPGPDDMLVLDIAYSIYLKFEEFASALQIALSLDNMQHVRQVFTTCDNQLKKMQFCYILARHGITFELDDEMVADDDERETLQDIINNTKLSESYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTAPADSSIGGSSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGVKNDCDPALALLSDYIGKEDSSVRIGAIMGLGIAYAGAQNEQIRSSLTLILNDAKAPLDVIAFTAISLGLIYVGSCNEEVAQAIIFALMDRSESELGEPLTRLLPLALGLLYLGKQERVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKHLEKGETHQGPAVLGIAMVAMAEELGLDMSIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDSDSEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYKDASLLFCVRIAQGLVHLGKGLLTLNPYHSDRFLLSPTALAGLVTMLHACLDMKAMVLGKYHYVLYFIVLAMQPRMLMTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLSPILEGHVILKENPDYREDS >KJB12474 pep chromosome:Graimondii2_0_v6:2:1360735:1365092:-1 gene:B456_002G020200 transcript:KJB12474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQKNDAGTSQANAPAKDPKKKEDQKKKDDEDLSEEDLALKQQLELYVERVQDSDPGLQKVALESMRHEIRTSTSSMTSVPKPLKFLRPHYGTLKAFYETMPESDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEYGKRQSEEAAIEDLMELVQQIVSFHMKHNAEPEAVDLLMEVEDLDLLIEHVDKTNFKRTCLYLTSAARYLPGPDDMLVLDIAYSIYLKFEEFASALQIALSLDNMQHVRQVFTTCDNQLKKMQFCYILARHGITFELDDEMVADDDERETLQDIINNTKLSESYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTAPADSSIGGSSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGVKNDCDPALALLSDYIGKEDSSVRIGAIMGLGIAYAGAQNEQIRSSLTLILNDAKAPLDVIAFTAISLGLIYVGSCNEEVAQAIIFALMDRSESELGEPLTRLLPLALGLLYLGKQVNDFFGYI >KJB12470 pep chromosome:Graimondii2_0_v6:2:1359261:1364974:-1 gene:B456_002G020200 transcript:KJB12470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQKNDAGTSQANAPAKDPKKKEDQKKKDDEDLSEEDLALKQQLELYVERVQDSDPGLQKVALESMRHEIRTSTSSMTSVPKPLKFLRPHYGTLKAFYETMPESDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEYGKRQSEEAAIEDLMELVQQIVSFHMKHNAEPEAVDLLMEVEDLDLLIEHVDKTNFKRTCLYLTSAARYLPGPDDMLVLDIAYSIYLKFEEFASALQIALSLDNMQHVRQVFTTCDNQLKKMQFCYILARHGITFELDDEMVADDDERETLQDIINNTKLSESYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTAPADSSIGGSSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGVKNDCDPALALLSDYIGKEDSSVRIGAIMGLGIAYAGAQNEQIRSSLTLILNDAKAPLDVIAFTAISLGLIYVGSCNEEVAQAIIFALMDRSESELGEPLTRLLPLALGLLYLGKQERVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCAQHLEKGETHQGPAVLGIAMVAMAEELGLDMSIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDSDSEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYKDASLLFCVRIAQGLVHLGKGLLTLNPYHSDRFLLSP >KJB12473 pep chromosome:Graimondii2_0_v6:2:1358251:1365140:-1 gene:B456_002G020200 transcript:KJB12473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQKNDAGTSQANAPAKDPKKKEDQKKKDDEDLSEEDLALKQQLELYVERVQDSDPGLQKVALESMRHEIRTSTSSMTSVPKPLKFLRPHYGTLKAFYETMPESDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEYGKRQSEEAAIEDLMELVQQIVSFHMKHNAEPEAVDLLMEVEDLDLLIEHVDKTNFKRTCLYLTSAARYLPGPDDMLVLDIAYSIYLKFEEFASALQIALSLDNMQHVRQVFTTCDNQLKKMQFCYILARHGITFELDDEMVADDDERETLQDIINNTKLSESYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTAPADSSIGGSSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGVKNDCDPALALLSDYIGKEDSSVRIGAIMGLGIAYAGAQNEQIRSSLTLILNDAKAPLDVIAFTAISLGLIYVGSCNEEVAQAIIFALMDRSESELGEPLTRLLPLALGLLYLGKQERVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCAQHLEKGETHQGPAVLGIAMVAMAEELGLDMSIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDSDSEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYKDASLLFCVRIAQGLVHLGKGLLTLNPYHSDRFLLSPTALAGLVTMLHACLDMKAMVLGKYHYVLYFIVLAMQPRMLMTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLSPILEGHVILKENPDYREDS >KJB12471 pep chromosome:Graimondii2_0_v6:2:1358251:1365092:-1 gene:B456_002G020200 transcript:KJB12471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQKNDAGTSQANAPAKDPKKKEDQKKKDDEDLSEEDLALKQQLELYVERVQDSDPGLQKVALESMRHEIRTSTSSMTSVPKPLKFLRPHYGTLKAFYETMPESDLKESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEYGKRQSEEAAIEDLMELVQQIVSFHMKHNAEPEAVDLLMEVEDLDLLIEHVDKTNFKRTCLYLTSAARYLPGPDDMLVLDIAYSIYLKFEEFASALQIALSLDNMQHVRQVFTTCDNQLKKMQFCYILARHGITFELDDEMVADDDERETLQDIINNTKLSESYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTAPADSSIGGSSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGVKNDCDPALALLSDYIGKEDSSVRIGAIMGLGIAYAGAQNEQIRSSLTLILNDAKAPLDVIAFTAISLGLIYVGSCNEEVAQAIIFALMDRSESELGEPLTRLLPLALGLLYLGKQERVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCAQHLEKGETHQGPAVLGIAMVAMAEELGLDMSIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDSDSEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYKDASLLFCVRIAQGLVHLGKGLLTLNPYHSDRFLLSPTALAGLVTMLHACLDMKAMVLGKYHYVLYFIVLAMQPRMLMTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLSPILEGHVILKENPDYREDS >KJB17198 pep chromosome:Graimondii2_0_v6:2:49347561:49347732:1 gene:B456_002G1856003 transcript:KJB17198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LILICNHANWFAKRKLDEFVFLRNRLLVSYASQFESLDDTKD >KJB16407 pep chromosome:Graimondii2_0_v6:2:58843130:58846188:1 gene:B456_002G229100 transcript:KJB16407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNAGTTETRSNVTVPDGGEVAAFESLQFTDEIHRLISAPATDNASSFTALLELPATQVVELLHSPESVKLIVASAPNVEDFKGSFHFPSNTGLIQKDARFSVFSGEGNNKNEKSNSPEMTSKNSSANLEKAVKSEPAETASSLPLVSDPTVENRNIKRKDREKKVKGSTKKSKTATNERLEDAEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLATVNPRIDFNLDSLFTPEVSIRHLCFECLI >KJB16404 pep chromosome:Graimondii2_0_v6:2:58842925:58847551:1 gene:B456_002G229100 transcript:KJB16404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNAGTTETRSNVTVPDGGEVAAFESLQFTDEIHRLISAPATDNASSFTALLELPATQVVELLHSPESVKLIVASAPNVEDFKGSFHFPSNTGLIQKDARFSVFSGEGNNKNEKSNSPEMTSKNSSANLEKAVKSEPAETASSLPLVSDPTVENRNIKRKDREKKVKGSTKKSKTATNERLEDAEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLATVNPRIDFNLDSLFTPEVSIRHLCFECLI >KJB16406 pep chromosome:Graimondii2_0_v6:2:58842814:58847589:1 gene:B456_002G229100 transcript:KJB16406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNAGTTETRSNVTVPDGGEVAAFESLQFTDEIHRLISAPATDNASSFTALLELPATQVVELLHSPESVKLIVASAPNVEDFKGSFHFPSNTGLIQKDARFSVFSGEGNNKNEKSNSPEMTSKNSSANLEKAVKSEPAETASSLPLVSDPTVENRNIKRKDREKKVKGSTKKSKTATNERLEDAEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLATVNPRIDFNLDSLFTPESGSTMDGGNFPSLVTPLMWPDVQVNGYRQQFQHQWHFDTLKQPIWGREEVCNNYVTLENSLLSYDSSANSATLHANQLKMEL >KJB16408 pep chromosome:Graimondii2_0_v6:2:58842925:58847551:1 gene:B456_002G229100 transcript:KJB16408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNAGTTETRSNVTVPDGGEVAAFESLQFTDEIHRLISAPATDNASSFTALLELPATQVVELLHSPESVKLIVASAPNVEDFKGSFHFPSNTGLIQKDARFSVFSGEGNNKNEKSNSPEMTSKNSSANLEKAVKSEPAETASSLPLVSDPTVENRNIKRKDREKKVKGSTKKSKTATNERLEDAEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLATVNPRIDFNLDSLFTPEDCCL >KJB16405 pep chromosome:Graimondii2_0_v6:2:58842814:58847589:1 gene:B456_002G229100 transcript:KJB16405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNAGTTETRSNVTVPDGGEVAAFESLQFTDEIHRLISAPATDNASSFTALLELPATQVVELLHSPESVKLIVASAPNVEDFKGSFHFPSNTGLIQKDARFSVFSGEGNNKNEKSNSPEMTSKNSSANLEKAVKSEPAETASSLPLVSDPTVENRNIKRKDREKKVKGSTKKSKTATNERLEDAEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLATVNPRIDFNLDSLFTPEDCCL >KJB16410 pep chromosome:Graimondii2_0_v6:2:58842925:58847551:1 gene:B456_002G229100 transcript:KJB16410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNAGTTETRSNVTVPDGGEVAAFESLQFTDEIHRLISAPATDNASSFTALLELPATQVVELLHSPESVKLIVASAPNVEDFKGSFHFPSNTGLIQKDARFSVFSGEGNNKNEKSNSPEMTSKNSSANLEKAVKSEPAETASSLPLVSDPTVENRNIKRKDREKKVKGSTKKSKTATNERLEDAEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLATVNPRIDFNLDSLFTPEDCCL >KJB16409 pep chromosome:Graimondii2_0_v6:2:58842925:58847551:1 gene:B456_002G229100 transcript:KJB16409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNAGTTETRSNVTVPDGGEVAAFESLQFTDEIHRLISAPATDNASSFTALLELPATQVVELLHSPESVKLIVASAPNVEDFKGSFHFPSNTGLIQKDARFSVFSGEGNNKNEKSNSPEMTSKNSSANLEKAVKSEPAETASSLPLVSDPTVENRNIKRKDREKKVKGSTKKSKTATNERLEDAEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLATVNPRIDFNLDSLFTPEDCCL >KJB12934 pep chromosome:Graimondii2_0_v6:2:3849536:3853159:1 gene:B456_002G046200 transcript:KJB12934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYTPKNILITGAAGFIASHVANRLIRNYPDYKIVVLDKLDYCSNLKNLLPSKSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKTLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDICKLFSMDPETSIKFVENRPFNDQRYFLDDEKLKNLGWSEQTVWEEGLKKTIEWYTQNPEWWGDVSGALLPHPRMLMMPGGRHFDSEEGKGTSFASGPNQTRMVVPTFKTSSSTQKPALKFLIYGRTGWIGGLLGQLCEKQGIPFEYGRGRLEDRSSLMADIQNIKPTHVFNAAGVTGRPNVDWCESHKTETIRTNVAGTLTLADVCREHGLLMMNFATGCIFEYDAGHPEGSGVGYKEEDKPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKIARYNKVVNIPNSMTILDELLPISIEMAKRNLNGIWNFTNPGVVSHNEILEMYKAYIDPKFQWVNFTLEEQAKVIVAPRSNNEMDASKLKNEFPDLLPIKESLIKYVFEPNKRT >KJB12935 pep chromosome:Graimondii2_0_v6:2:3849536:3853223:1 gene:B456_002G046200 transcript:KJB12935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYTPKNILITGAAGFIASHVANRLIRNYPDYKIVVLDKLDYCSNLKNLLPSKSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKTLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDICKLFSMDPETSIKFVENRPFNDQRYFLDDEKLKNLGWSEQTVWEEGLKKTIEWYTQNPEWWGDVSGALLPHPRMLMMPGGRHFDSEEGKGTSFASGPNQTRMVVPTFKTSSSTQKPALKFLIYGRTGWIGGLLGQLCEKQGIPFEYGRGRLEDRSSLMADIQNIKPTHVFNAAGVTGRPNVDWCESHKTETIRTNVAGTLTLADVCREHGLLMMNFATGCIFEYDAGHPEGSGVGYKEEDKPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKIARYNKVVNIPNSMTILDELLPISIEMAKRNLNGIWNFTNPGVVSHNEILEMYKAYIDPKFQWVNFTLEEQAKVIVAPRSNNEMDASKLKNEFPDLLPIKESLIKYVFEPNKRT >KJB12936 pep chromosome:Graimondii2_0_v6:2:3849536:3853159:1 gene:B456_002G046200 transcript:KJB12936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYTPKNILITGAAGFIASHVANRLIRNYPDYKIVVLDKLDYCSNLKNLLPSKSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKTLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDICKLFSMDPETSIKFVENRPFNDQRYFLDDEKLKNLGWSEQTVWEEGLKKTIEWYTQNPEWWGDVSGALLPHPRMLMMPGGRHFDSEEGKGTSFASGPNQTRMVVPTFKTSSSTQKPALKFLIYGRTGWIGGLLGQLCEKQGIPFEYGRGRLEDRSSLMADIQNIKPTHVFNAAGVTGRPNVDWCESHKTETIRTNVAGTLTLADVCREHGLLMMNFATGCIFEYDAGHPEGSGVGYKEEDKPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKIARYNKVVNIPNSMTILDELLPISIEMAKRNLNGIWNFTNPGVVSHNEILEMYKAYIDPKFQWVNFTLEEQAKVIVAPRSNNEMDASKLKNEFPDLLPIKESLIKYVFEPNKRT >KJB13431 pep chromosome:Graimondii2_0_v6:2:8698776:8707179:1 gene:B456_002G074000 transcript:KJB13431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVYAKLEVDFSSKKRERCCKFDIYAPEANFWEDLEARIMESVRNTLDRRIQFYEDEIRKLSEQRFMPIWNFCNFFILKESLAFMFEMAHLHEDALREYDELELCYLETVNMGGKRREFGGLDHGDDQAALLIPGNKSLTQIVQDDSFREFEFRQYLFACQSKLLFKLNRPFEVASRGYSFVISFSKVLALHESILPFCMREVWVITACLALVNATNSQYKEGTATPEIEREFYRLQGDLYSLCRVKFLRLAYLIGYGIEIERSPVNSASLSMLPWPKPAVWPLVPDEAASEVLLKEKMILQENPRVKHFGIQRKPLPLEPTALIREANRRRASLSAGNTSEMFDGKLGFADGSGSDASSKTSPSSKAQAISMSRTYSTPGNFEGSIDRPMRLAEIFVAAEHALKQTISNPDLLKTLSSIQDIEQKYMDLTKGAADNYHRSWWKRHGVVLDGEIAAVCFKRGNFDLAAKSYEKVCALYAGEGWQDLLAEVLPNLAECQKILNDQAGYLTSIVRLLSLDKGLFSVKERQAFQSEVVSLAHSEMKHPVPLDVSSLITFSGNPGPPLELCDGDPGTLSVTVWSGFPDEITLDSLTLTLMATYNADEGGKLRSSSATVLKAGRNTITFPLPPQKPGSYVLGVLTGHIGHLTFRSHSFSKAGPADSDDFMSYEKTTRPVLKVSKPRPLVDLSAAISSALLINEAQWIGIIAQPINYSLKGAVMHIDTGPGLKIEESHSIEMETYINAAQISTDMANSGDARKDGNKNFEQLSLLDGKIEFPDWASNVTSILWIPIRAIDDKLARGSSSGAPQKQSIVDGMRTVALKLEFGISNNQIYDQTIALHFTNPFHVSTRVADQCNDGTLLLQVTLHSQVKATLTVNDAWLDLQDGFVHAGQDDGRPVSGFFPLVISPTSRAGLLFHVCLGNGTALDENKAQPESILNISYRIAGDRTIGAHPPVAAKSNEIEGSSQDLIFRSALILQRPVLDPCLAVGFLPLPSDGLRVGQLVTMKWRVERLKDIEESRVPQTNDDVLYEVNANSKNWMIAGRKRGHVSLSTKQGSRIFISILCMPLNAGYVHPPHLGLPDIDEANISCSPAGPHLVCVLPPALSSSFCIPA >KJB13430 pep chromosome:Graimondii2_0_v6:2:8698114:8707179:1 gene:B456_002G074000 transcript:KJB13430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYLAQFQTIKSTCNHLIIAVEDVSDLWPTVKNSFEERLPFKRSCLNNKTRNPVFVENLPAEYILTTDARLRSRFPQEQYLFWFREPYATLVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSRAHPNNDQATKMAKKVYAKLEVDFSSKKRERCCKFDIYAPEANFWEDLEARIMESVRNTLDRRIQFYEDEIRKLSEQRFMPIWNFCNFFILKESLAFMFEMAHLHEDALREYDELELCYLETVNMGGKRREFGGLDHGDDQAALLIPGNKSLTQIVQDDSFREFEFRQYLFACQSKLLFKLNRPFEVASRGYSFVISFSKVLALHESILPFCMREVWVITACLALVNATNSQYKEGTATPEIEREFYRLQGDLYSLCRVKFLRLAYLIGYGIEIERSPVNSASLSMLPWPKPAVWPLVPDEAASEVLLKEKMILQENPRVKHFGIQRKPLPLEPTALIREANRRRASLSAGNTSEMFDGKLGFADGSGSDASSKTSPSSKAQAISMSRTYSTPGNFEGSIDRPMRLAEIFVAAEHALKQTISNPDLLKTLSSIQDIEQKYMDLTKGAADNYHRSWWKRHGVVLDGEIAAVCFKRGNFDLAAKSYEKVCALYAGEGWQDLLAEVLPNLAECQKILNDQAGYLTSIVRLLSLDKGLFSVKERQAFQSEVVSLAHSEMKHPVPLDVSSLITFSGNPGPPLELCDGDPGTLSVTVWSGFPDEITLDSLTLTLMATYNADEGGKLRSSSATVLKAGRNTITFPLPPQKPGSYVLGVLTGHIGHLTFRSHSFSKAGPADSDDFMSYEKTTRPVLKVSKPRPLVDLSAAISSALLINEAQWIGIIAQPINYSLKGAVMHIDTGPGLKIEESHSIEMETYINAAQISTDMANSGDARKDGNKNFEQLSLLDGKIEFPDWASNVTSILWIPIRAIDDKLARGSSSGAPQKQSIVDGMRTVALKLEFGISNNQIYDQTIALHFTNPFHVSTRVADQCNDGTLLLQVTLHSQVKATLTVNDAWLDLQDGFVHAGQDDGRPVSGFFPLVISPTSRAGLLFHVCLGNGTALDENKAQPESILNISYRIAGDRTIGAHPPVAAKSNEIEGSSQDLIFRSALILQRPVLDPCLAVGFLPLPSDGLRVGQLVTMKWRVERLKDIEESRVPQTNDDVLYEVNANSKNWMIAGRKRGHVSLSTKQGSRIFISILCMPLNAGYVHPPHLGLPDIDEANISCSPAGPHLVCVLPPALSSSFCIPA >KJB13595 pep chromosome:Graimondii2_0_v6:2:10573922:10574513:-1 gene:B456_002G083200 transcript:KJB13595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLAVYLATLALILFLANASITSVTVESEENRDSCEQQIRKQAHLKHCQKYMEEEWGGEGSDNIAGGYIDSCCQQLEKMDTQCRCQGLRHATMQQMQQMQEQMGSKQMREIMQKVTKKIMSECEMEPGRCDTPSRSLI >KJB15999 pep chromosome:Graimondii2_0_v6:2:55422160:55426421:-1 gene:B456_002G207700 transcript:KJB15999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEPSLVPEWLKCSGSLTGSGNSNNQFTSSSSSSHSDNHSAVRHARNKLSVDSDGDIGRTSVLDRASSAYFRRSSSSKGASDSWSYSNFGKGHRERDWEKVSNGYHDRKNAVLSDQRNRNHSDSLDNLLPSMFEKDVLRRSQSLKTGKHSDTWPRKATNESSGTSKSHHSSGNGKLTTVAAVGNKSAFERDFPSLGAEVRQVGSEIGRILSPGLTNPVQSLPVGTSPVLGSDGRTSALADIPVGVGNSGRGVAVASQNVPAGSTPTMVTGLNMAEAVAQGPSRARTPPLLNVETQRLEELAIKQSRQLIPLVTVSTPKTLVVSPSEKSRPKVGQQLHPSLSFGSTRGGTSRSDSQKVSNESRLLILKPSRESNGVSSITTRDNLSPTNGSNKFANSPINITPSAAASVPFRSSGNSPRLATAERNQTPVRMTMEKRATAQAQSRNDFFNLLKKKSTSNSASSVLDSGSAVSPPVSEKSDELGTEDSSTSVTLQDGGVPSSEILIADLPADNRSEVALNGDAYAESQHGSSNGDEHSRPDAYLYPDEEEVAFLRSLGWEENAEDDDGLTEEEISTFFEQYMKLKPSAKVSQLMHSLSPLNSQNGTHGDALSGSSSMDSNGAAWTRQNV >KJB15998 pep chromosome:Graimondii2_0_v6:2:55422190:55426343:-1 gene:B456_002G207700 transcript:KJB15998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEPSLVPEWLKCSGSLTGSGNSNNQFTSSSSSSHSDNHSAVRHARNKLSVDSDGDIGRTSVLDRASSAYFRRSSSSKGASDSWSYSNFGKGHRERDWEKVSNGYHDRKNAVLSDQRNRNHSDSLDNLLPSMFEKDVLRRSQSLKTGKHSDTWPRKATNESSGTSKSHHSSGNGKLTTVAAVGNKSAFERDFPSLGAEVRQVGSEIGRILSPGLTNPVQSLPVGTSPVLGSDGRTSALADIPVGVGNSGRGVAVASQNVPAGSTPTMVTGLNMAEAVAQGPSRARTPPLLNVETQRLEELAIKQSRQLIPLVTVSTPKTLVVSPSEKSRPKVGQQLHPSLSFGSTRGGTSRSDSQKVSNESRLLILKPSRESNGVSSITTRDNLSPTNGSNKFANSPINITPSAAASVPFRSSGNSPRLATAERNQTPVRMTMEKRATAQAQSRNDFFNLLKKKSTSNSASSVLDSGSAVSPPVSEKSDELGTEDSSTSVTLQDGGVPSSEILIADLPADNRSEVALNGDAYAESQHGSSNGDEHSRPDAYLYPDEEEVAFLRSLGWEENAEDDDGLTEEEISTFFEQYMKLKPSAKVSQLMHSLSPLNSQNGTHGDALSGSSSMDSNGAAWTRQNV >KJB13734 pep chromosome:Graimondii2_0_v6:2:11601087:11601680:1 gene:B456_002G091500 transcript:KJB13734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKIFVTIALTYAVTIALAMKTIGAVAEDNSLIPLTTFRDAGPPVLPMGSPKRVSRFLQQGYRNPRAADHCHKDEEVCYVLEGATSTCCNNKCVFLATDNHNCGACKRKCKFTQVCCRGECVNIAFDKRHCGACNHRCNRGEYCVYGMCNYA >KJB14516 pep chromosome:Graimondii2_0_v6:2:20067928:20072197:1 gene:B456_002G129200 transcript:KJB14516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLILVLVAVFCIRLFKFAYNTIWIPFRIQNHFKKQGVTGPGYRPFFGNMPEIRRLFEEAMSKPSPLHDGDHDVLPRVAPFYHKWSNIYGKPFLYWSGSQPRLAISDPGLIKEITMNTGGGSFDKTGFDPKGKILFGRGLIALFGQQWALHRRILNHPFRMERVKECWLPDIVAETCKMLEKWEERRAGRDEFEMEVFKQLHDLSADIISRTAFGTFSEQGKQIFLLQEQQMQFFSLAVESLNIPGLRFLPTKNNREMWRLDKETNESIRALIRANNETREESSSFLSLLMGSDEEERLGEEEIIGEFKTFYFAGKETAANALAWALLLLGLNPEWQDKAREEVIRVLGSNKVPAAENLKDLKIVNMIIDETLRLYPPIVMLMRKAYKDVKLGNINIPAGTELYLALAAVHHDTHIWGNDAHKFNPDRFKESRKHLASFIPYGLGLDFVWDRL >KJB13350 pep chromosome:Graimondii2_0_v6:2:8094518:8099995:1 gene:B456_002G069900 transcript:KJB13350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGSLGLRTGSYGLLQNINGAIAGGGISKSLSNVRKSSTKMLSVIREKERPLPNAWYRYIGCRKFSMLLLIAFALLVFALGSFVVNKDSTSPNVLQRIGTLGIVPYVNGGHHEASQILGEKDKKKDENRFQIPAFKGASVLPFRHPCVNFTFPPPPPPTRRRLGPRPCPVCYLPVDQAIASMPSSSSASPVLHNLTYVLNENPIKSEPQGGSDFGGYPSLKQRNDSFDIKESMAVHCGFIKGSKPGHGTGFDFDESDLAELQQFHDIIVASAIFGNYDVIQQPQNISEEAKKNIPFYMFVDEETEAFMKNRSILSSSKRVGLWRIVVIHNVPYSDARRNGKVPKLLLHRIFPNVRYSIWIDGKLQLVVDPYQVLERFLWRQNANFAISRHYRRFDVFVEAEANKAAGKYDNSSIDEQVDFYKKEGLTPYSEAKLPITSDVPEGCVLIKEHIPITNLLTCLWFNEVNRFTSRDQLSFAIVRDKIMTKVDWSINMFLDCERRNFVIQSYHRDLLEQMPPPVPAVVRRPPALPNTRGKTPGKRIPRRGRDRRSGSSRHRKAAASNRDRNFF >KJB13351 pep chromosome:Graimondii2_0_v6:2:8094518:8099995:1 gene:B456_002G069900 transcript:KJB13351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGSLGLRTGSYGLLQNINGAIAGGGISKSLSNVRKSSTKMLSVIREKERPLPNAWYRYIGCRKFSMLLLIAFALLVFALGSFVVNKDSTSPNVLQRIGTLGIVPYVNGGHHEASQILGEKDKKKDENRFQIPAFKGASVLPFRHPCVNFTFPPPPPPTRRRLGPRPCPVCYLPVDQAIASMPSSSSASPVLHNLTYVLNENPIKSEPQGGSDFGGYPSLKQRNDSFDIKESMAVHCGFIKGSKPGHGTGFDFDESDLAELQQFHDIIVASAIFGNYDVIQQPQNISEEAKKNIPFYMFVDEETEAFMKNRSILSSSKRVGLWRIVVIHNVPYSDARRNGKVPKLLLHRIFPNVRYSIWIDGKLQLVVDPYQVLERFLWRQNANFAISRHYRRFDVFVEAEANKAAGKYDNSSIDEQVDFYKKEGLTPYSEAKLPITSDVPEGCVLIKEHIPITNLLTCLWFNEVNRFTSRDQLSFAIVRDKIMTKVDWSINMFLDCERRNFVIQSYHRDLLEQMPPPVPAVVRRPPALPNTRGKTPGKRIPRRGRDRRSGSSRHRKAAASNRDRNFF >KJB13353 pep chromosome:Graimondii2_0_v6:2:8094518:8099995:1 gene:B456_002G069900 transcript:KJB13353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGSLGLRTGSYGLLQNINGAIAGGGISKSLSNVRKSSTKMLSVIREKERPLPNAWYRYIGCRKFSMLLLIAFALLVFALGSFVVNKDSTSPNVLQRIGTLGIVPYVNGGHHEASQILGEKDKKKDENRFQIPAFKGASVLPFRHPCVNFTFPPPPPPTRRRLGPRPCPVCYLPVDQAIASMPSSSSASPVLHNLTYVLNENPIKSEPQGGSDFGGYPSLKQRNDSFDIKESMAVHCGFIKGSKPGHGTGFDFDESDLAELQQFHDIIVASAIFGNYDVIQQPQNISEEAKKNIPFYMFVDEETEAFMKNRSILSSSKRVGLWRIVVIHNVPYSDARRNGKVPKLLLHRIFPNVRYSIWIDGKLQLVVDPYQVLERFLWRQNANFAISRHYRRFDVFVEAEANKAAGKYDNSSIDEQVDFYKKEGLTPYSEAKLPITSDVPEGCVLIKEHIPITNLLTCLWFNEVNRFTSRDQLSFAIVRDKIMTKVDWSINMFLDCERRNFVIQSYHRDLLEQMPPPVPAVVRRPPALPNTRGKTPGKRIPRRGRDRRSGSSRHRKAAASNRDRNFF >KJB13352 pep chromosome:Graimondii2_0_v6:2:8095835:8098861:1 gene:B456_002G069900 transcript:KJB13352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGSLGLRTGSYGLLQNINGAIAGGGISKSLSNVRKSSTKMLSVIREKERPLPNAWYRYIGCRKFSMLLLIAFALLVFALGSFVVNKDSTSPNVLQRIGTLGIVPYVNGGHHEASQILGEKDKKKDENRFQIPAFKGASVLPFRHPCVNFTFPPPPPPTRRRLGPRPCPVCYLPVDQAIASMPSSSSASPVLHNLTYVLNENPIKSEPQGGSDFGGYPSLKQRNDSFDIKESMAVHCGFIKGSKPGHGTGFDFDESDLAELQQFHDIIVASAIFGNYDVIQQPQNISEEAKKNIPFYMFVDEETEAFMKNRSILSSSKRVGLWRIVVIHNVPYSDARRNGKVPKLLLHRIFPNVRYSIWIDGKLQLVVDPYQVLERFLWRQNANFAISRHYRRFDVFVEAEANKAAGKYDNSSIDEQVDFYKKEGLTPYSEAKLPITSGTYNILCK >KJB15619 pep chromosome:Graimondii2_0_v6:2:49618736:49619378:1 gene:B456_002G186300 transcript:KJB15619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGTALRSRRFGDNYNVHGLANLKICVFWIGLPGSLKISGLVIQGANGRVLGSRTVLNDNIIRFLQQRP >KJB14226 pep chromosome:Graimondii2_0_v6:2:16308491:16310408:1 gene:B456_002G115100 transcript:KJB14226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFREQYKKDHPKNKSVAAVGKAGGDKWKSLSEAEKAPYVAKAEKRKVEYEKNMKAYNKRQAEGPKDEDVESDKSVSEVNDEDDDEEGSGDEEDDE >KJB14225 pep chromosome:Graimondii2_0_v6:2:16308892:16310022:1 gene:B456_002G115100 transcript:KJB14225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKSKSDTKSAKLSVKTKAGKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKDHPKNKSVAAVGKAGGDKWKSLSEAEKAPYVAKAEKRKVEYEKNMKAYNKRQAEGPKDEDVESDKSVSEVNDEDDDEEGSGDVRRR >KJB14228 pep chromosome:Graimondii2_0_v6:2:16308465:16310408:1 gene:B456_002G115100 transcript:KJB14228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKSKSDTKSAKLSVKTKAGKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKDHPKNKSVAAVGKAGGDKWKSLSEAEKAPYVAKAEKRKVEYEKNMKAYNKRQAEGPKDEDVESDKSVSEVNDEDDDEEGSGDEEDDE >KJB14229 pep chromosome:Graimondii2_0_v6:2:16308491:16310408:1 gene:B456_002G115100 transcript:KJB14229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKSKSDTKSAKLSVKTKAGKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKDHPKNKSVAAVGKAGGDKWKSLSEAEKAPYVAKAEKRKVEYEKNMKAYNKRQAEGPKDEDVESDKSVSEVNDEDDDEEGSGDVNDE >KJB14223 pep chromosome:Graimondii2_0_v6:2:16308491:16310408:1 gene:B456_002G115100 transcript:KJB14223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKSKSDTKSAKLSVKTKAGKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKDHPKNKSVAAVGKAGGDKWKSLSEAEKAPYVAKAEKRKVEYEKNMKAYNKRQAEGPKDEDVESDKSVSEVNDEDDDEEGSGDEEDDE >KJB14224 pep chromosome:Graimondii2_0_v6:2:16309366:16310029:1 gene:B456_002G115100 transcript:KJB14224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSYYREEFREQYKKDHPKNKSVAAVGKAGGDKWKSLSEAEKAPYVAKAEKRKVEYEKNMKAYNKRQAEGPKDEDVESDKSVSEVNDEDDDEEGSGDEEDDE >KJB14227 pep chromosome:Graimondii2_0_v6:2:16308491:16310408:1 gene:B456_002G115100 transcript:KJB14227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKSKSDTKSAKLSVKTKAGKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKDHPKNKSVAAVGKAGGDKWKSLSEAEKAPYVAKAEKRKVEYEKNMKAYNKRQAEGPKDEDVESDKSVSEVNDEDDDEEGSGDVKDDE >KJB12461 pep chromosome:Graimondii2_0_v6:2:1317649:1318134:-1 gene:B456_002G019400 transcript:KJB12461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLRPFALLEFSGNFFSIGQFKIVILFSCGKSRACPYIVFILGRLFRCKNSRLENKFEFCFSSATSLSFSSLIISSKPWQSRINSRSKCGAKIIQLASLN >KJB13748 pep chromosome:Graimondii2_0_v6:2:11735839:11736486:1 gene:B456_002G092500 transcript:KJB13748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific STIG1-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G50650) UniProtKB/Swiss-Prot;Acc:Q9C6P6] MEWLAKTLFLPFLLQLLVLLPIAMAEANVKPKWVLQNETTDGASPWLRNAANPRPRPGGCMFRPWICEQGEHPPTARMRCCRNRCVDLNSDDAHCGLCALRCPFTWQCCRGVCINTNISPLNCGRCGNRCPFRVQCSFGMCGYAQPRPFPPRPPRPFPPHPPRPVPPHPPRPFPPPHPHRPQPTPCPPTQPGPPPRTCPPGLPPPLTGDHSPSRS >KJB15602 pep chromosome:Graimondii2_0_v6:2:49592453:49606432:1 gene:B456_002G186200 transcript:KJB15602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVGQNLIQQHFLRPTVLEHQSKLKGSSGIASNSLCATASLNQSLAQPRKYQISTKFYGNSLSKRKHKLAMGSQRPLAFIPQAVLATDPASEVDASAPTSGSITNVNFRVMYTSDSLLLHWGAIRGSNDKWVLPSRQPEGTRNHKNRALRTPFVKSGSSSYLKLEIDDPQIQAIEFLIFDEARNKWIKNNGQNFHVKLPQRKTLVSNISVPEDLVQVQAYLRWERKGKQMYTPEQEKEEYEAARAELLEEISRGASVDDIRSKITKKSGQEYKETAINEENNKIPDDLVQIQAYIRWEKAGKPNYSPEQQLREFEEARKELQSELEKGASLDEIRKKITKGEIKTKVAKQLQNKKYFSPERIQRKQRDLMQLLNKHAVKVVEESISVEVEPKPSTAVEPFAKEKELDGSPVMNKKIYKLGEKELLVLVTKPAGKIKIHLATDLEEPLTLHWALSEKDGEWLAPPPAVLPPGSVSLEKAAESKFSTSTSGDLPKQVQCIEMEIADGNFKGMPFVLLSGGKWIKNNGSDFYVEFSQRFKQVQKDAGDGKGTSKVLLDRIAALESEAQKSFMHRFNIASDLMDQAKNIGELGLAGILVWMRFMATRQLIWNRNYNVKPREISKAQDRLTDLLQSIYTTHPQHRELLRMIMSTIGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVIICQALIDYIKSDFDINVYWKTLNENGITKERLLSYDRAIHSEPSFKRDQKDGLLRDLGHYMRTLKAVHSGADLESAISNCMGYRAEGQGFMVGVQINPIPGLPSGFPDLLRFVLEHIEDRNVEALLEGLLEARQELRPLLLKSTGRLKDLLFLDIALDSTVRTAIERGYEELNNARPEKIMHFITLVLENLALSSDDNEDLVYCLKGWHHSISMCKSKSAHWALYAKSVLDRTRLALASKAETYQRILQPSAEYLGSLLGVDQWAINIFTEEIIRAGSAATLSSLINRLDPVLRETAHLGSWQVISPVEVVGYVEVVDELLSVQNKSYDRPTILVAKSVKGEEEIPDGTIAVLTPDMPDVLSHVSVRARNCKVCFATCFDPNILADLQAKKGKLLRLKPSSADVVYSEVKEGELADSSSSNLKGDGPSVTLVRKQFVGKYAISAEEFTPEMVGAKSRNISYLKGKVPSWVGIPTSVALPFGVFEKVLADEANKEVDQKLQILKKKLGEGDFGALEEIRQTVLQLRAPSQLVQELKTKMLTSGMPWPGDEGEQRWEQAWTAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDTSEIYAEVVKGLGETLVGAYPGRALSFVCKKNNLNSPEVLGYPSKPIGLFIRRSMIFRSDSNGEDLEGYAGAGLYDSVPMDKEEKVVVDYSSDPLINDGKFQQAILSSIAGAGNAIEELYGSPQDIEGVIRDGKVYVVQTRPQM >KJB15606 pep chromosome:Graimondii2_0_v6:2:49592453:49606738:1 gene:B456_002G186200 transcript:KJB15606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVGQNLIQQHFLRPTVLEHQSKLKGSSGIASNSLCATASLNQSLAQPRKYQISTKFYGNSLSKRKHKLAMGSQRPLAFIPQAVLATDPASENLGKFNIDGNIELQVDASAPTSGSITNVNFRVMYTSDSLLLHWGAIRGSNDKWVLPSRQPEGTRNHKNRALRTPFVKSGSSSYLKLEIDDPQIQAIEFLIFDEARNKWIKNNGQNFHVKLPQRKTLVSNISVPEDLVQVQAYLRWERKGKQMYTPEQEKEEYEAARAELLEEISRGASVDDIRSKITKKSGQEYKETAINEENNKIPDDLVQIQAYIRWEKAGKPNYSPEQQLREFEEARKELQSELEKGASLDEIRKKITKGEIKTKVAKQLQNKKYFSPERIQRKQRDLMQLLNKHAVKVVEESISVEVEPKPSTAVEPFAKEKELDGSPVMNKKIYKLGEKELLVLVTKPAGKIKIHLATDLEEPLTLHWALSEKDGEWLAPPPAVLPPGSVSLEKAAESKFSTSTSGDLPKQVQCIEMEIADGNFKGMPFVLLSGGKWIKNNGSDFYVEFSQRFKQVQKDAGDGKGTSKVLLDRIAALESEAQKSFMHRFNIASDLMDQAKNIGELGLAGILVWMRFMATRQLIWNRNYNVKPREISKAQDRLTDLLQSIYTTHPQHRELLRMIMSTIGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVIICQALIDYIKSDFDINVYWKTLNENGITKERLLSYDRAIHSEPSFKRDQKDGLLRDLGHYMRTLKAVHSGADLESAISNCMGYRAEGQGFMVGVQINPIPGLPSGFPDLLRFVLEHIEDRNVEALLEGLLEARQELRPLLLKSTGRLKDLLFLDIALDSTVRTAIERGYEELNNARPEKIMHFITLVLENLALSSDDNEDLVYCLKGWHHSISMCKSKSAHWALYAKSVLDRTRLALASKAETYQRILQPSAEYLGSLLGVDQWAINIFTEEIIRAGSAATLSSLINRLDPVLRETAHLGSWQVISPVEVVGYVEVVDELLSVQNKSYDRPTILVAKSVKGEEEIPDGTIAVLTPDMPDVLSHVSVRARNCKVCFATCFDPNILADLQAKKGKLLRLKPSSADVVYSEVKEGELADSSSSNLKGDGPSVTLVRKQFVGKYAISAEEFTPEMVGAKSRNISYLKGKVPSWVGIPTSVALPFGVFEKVLADEANKEVDQKLQILKKKLGEGDFGALEEIRQTVLQLRAPSQLVQELKTKMLTSGMPWPGDEGEQRWEQAWTAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDTSEIYAEVVKGLGETLVGAYPGRALSFVCKKNNLNSPEVLGYPSKPIGLFIRRSMIFRSDSNGEDLEGYAGAGLYDSVPMDKEEKVVVDYSSDPLINDGKFQQAILSSIAGAGNAIEELYGSPQDIEGVIRDGKVYVVQTRPQM >KJB15607 pep chromosome:Graimondii2_0_v6:2:49594753:49606738:1 gene:B456_002G186200 transcript:KJB15607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTPEQEKEEYEAARAELLEEISRGASVDDIRSKITKKSGQEYKETAINEENNKIPDDLVQIQAYIRWEKAGKPNYSPEQQLREFEEARKELQSELEKGASLDEIRKKITKGEIKTKVAKQLQNKKYFSPERIQRKQRDLMQLLNKHAVKVVEESISVEVEPKPSTAVEPFAKEKELDGSPVMNKKIYKLGEKELLVLVTKPAGKIKIHLATDLEEPLTLHWALSEKDGEWLAPPPAVLPPGSVSLEKAAESKFSTSTSGDLPKQVQCIEMEIADGNFKGMPFVLLSGGKWIKNNGSDFYVEFSQRFKQVQKDAGDGKGTSKVLLDRIAALESEAQKSFMHRFNIASDLMDQAKNIGELGLAGILVWMRFMATRQLIWNRNYNVKPREISKAQDRLTDLLQSIYTTHPQHRELLRMIMSTIGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVIICQALIDYIKSDFDINVYWKTLNENGITKERLLSYDRAIHSEPSFKRDQKDGLLRDLGHYMRTLKAVHSGADLESAISNCMGYRAEGQGFMVGVQINPIPGLPSGFPDLLRFVLEHIEDRNVEALLEGLLEARQELRPLLLKSTGRLKDLLFLDIALDSTVRTAIERGYEELNNARPEKIMHFITLVLENLALSSDDNEDLVYCLKGWHHSISMCKSKSAHWALYAKSVLDRTRLALASKAETYQRILQPSAEYLGSLLGVDQWAINIFTEEIIRAGSAATLSSLINRLDPVLRETAHLGSWQVISPVEVVGYVEVVDELLSVQNKSYDRPTILVAKSVKGEEEIPDGTIAVLTPDMPDVLSHVSVRARNCKVCFATCFDPNILADLQAKKGKLLRLKPSSADVVYSEVKEGELADSSSSNLKGDGPSVTLVRKQFVGKYAISAEEFTPEMVGAKSRNISYLKGKVPSWVGIPTSVALPFGVFEKVLADEANKEVDQKLQILKKKLGEGDFGALEEIRQTVLQLRAPSQLVQELKTKMLTSGMPWPGDEGEQRWEQAWTAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDTSEIYAEVVKGLGETLVGAYPGRALSFVCKKNNLNSPEVLGYPSKPIGLFIRRSMIFRSDSNGEDLEGYAGAGLYDSVPMDKEEKVVVDYSSDPLINDGKFQQAILSSIAGAGNAIEELYGSPQDIEGVIRDGKVYVVQTRPQM >KJB15605 pep chromosome:Graimondii2_0_v6:2:49592453:49606757:1 gene:B456_002G186200 transcript:KJB15605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVGQNLIQQHFLRPTVLEHQSKLKGSSGIASNSLCATASLNQSLAQPRKYQISTKFYGNSLSKRKHKLAMGSQRPLAFIPQAVLATDPASENLGKFNIDGNIELQVDASAPTSGSITNVNFRVMYTSDSLLLHWGAIRGSNDKWVLPSRQPEGTRNHKNRALRTPFVKSGSSSYLKLEIDDPQIQAIEFLIFDEARNKWIKNNGQNFHVKLPQRKTLVSNISVPEDLVQVQAYLRWERKGKQMYTPEQEKEEYEAARAELLEEISRGASVDDIRSKITKKSGQEYKETAINEENNKIPDDLVQIQAYIRWEKAGKPNYSPEQQLREFEEARKELQSELEKGASLDEIRKKITKGEIKTKVAKQLQNKKYFSPERIQRKQRDLMQLLNKHAVKVVEESISVEVEPKPSTAVEPFAKEKELDGSPVMNKKIYKLGEKELLVLVTKPAGKIKIHLATDLEEPLTLHWALSEKDGEWLAPPPAVLPPGSVSLEKAAESKFSTSTSGDLPKQVQCIEMEIADGNFKGMPFVLLSGGKWIKNNGSDFYVEFSQRFKQVQKDAGDGKGTSKVLLDRIAALESEAQKSFMHRFNIASDLMDQAKNIGELGLAGILVWMRFMATRQLIWNRNYNVKPREISKAQDRLTDLLQSIYTTHPQHRELLRMIMSTIGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVIICQALIDYIKSDFDINVYWKTLNENGITKERLLSYDRAIHSEPSFKRDQKDGLLRDLGHYMRTLKAVHSGADLESAISNCMGYRAEGQGFMVGVQINPIPGLPSGFPDLLRFVLEHIEDRNVEALLEGLLEARQELRPLLLKSTGRLKDLLFLDIALDSTVRTAIERGYEELNNARPEKIMHFITLVLENLALSSDDNEDLVYCLKGWHHSISMCKSKSAHWALYAKSVLDRTRLALASKAETYQRILQPSAEYLGSLLGVDQWAINIFTEEIIRAGSAATLSSLINRLDPVLRETAHLGSWQVISPVEVVGYVEVVDELLSVQNKSYDRPTILVAKSVKGEEEIPDGTIAVLTPDMPDVLSHVSVRARNCKVCFATCFDPNILADLQAKKGKLLRLKPSSADVVYSEVKEGELADSSSSNLKGDGPSVTLVRKQFVGKYAISAEEFTPEMVGAKSRNISYLKGKVPSWVGIPTSVALPFGVFEKVLADEANKEVDQKLQILKKKLGEGDFGALEEIRQTVLQLRAPSQLVQELKTKMLTSGMPWPGDEGEQRWEQAWTAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDTSEIYAEVVKGLGETLVGAYPGRALSFVCKKNNLNSPEVLGYPSKPIGLFIRRSMIFRSDSNGEDLEGYAGAGLYDSVPMDKEEKVVVDYSSDPLINDGKFQQAILSSIAGAGNAIEELYGSPQDIEGVIRDGKVYVVQTRPQM >KJB15604 pep chromosome:Graimondii2_0_v6:2:49592453:49606738:1 gene:B456_002G186200 transcript:KJB15604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVGQNLIQQHFLRPTVLEHQSKLKGSSGIASNSLCATASLNQSLAQPRKYQISTKFYGNSLSKRKHKLAMGSQRPLAFIPQAVLATDPASENLGKFNIDGNIELQVDASAPTSGSITNVNFRVMYTSDSLLLHWGAIRGSNDKWVLPSRQPEGTRNHKNRALRTPFVKSGSSSYLKLEIDDPQIQAIEFLIFDEARNKWIKNNGQNFHVKLPQRKTLVSNISVPEDLVQVQAYLRWERKGKQMYTPEQEKEEYEAARAELLEEISRGASVDDIRSKITKKSGQEYKETAINEENNKIPDDLVQIQAYIRWEKAGKPNYSPEQQLREFEEARKELQSELEKGASLDEIRKKITKGEIKTKVAKQLQNKKYFSPERIQRKQRDLMQLLNKHAVKVVEESISVEVEPKPSTAVEPFAKEKELDGSPVMNKKIYKLGEKELLVLVTKPAGKIKIHLATDLEEPLTLHWALSEKDGEWLAPPPAVLPPGSVSLEKAAESKFSTSTSGDLPKQVQCIEMEIADGNFKGMPFVLLSGGKWIKNNGSDFYVEFSQRFKQVQKDAGDGKGTSKVLLDRIAALESEAQKSFMHRFNIASDLMDQAKNIGELGLAGILVWMRFMATRQLIWNRNYNVKPREISKAQDRLTDLLQSIYTTHPQHRELLRMIMSTIGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVIICQALIDYIKSDFDINVYWKTLNENGITKERLLSYDRAIHSEPSFKRDQKDGLLRDLGHYMRTLKAVHSGADLESAISNCMGYRAEGQGFMVGVQINPIPGLPSGFPDLLRFVLEHIEDRNVEALLEGLLEARQELRPLLLKSTGRLKDLLFLDIALDSTVRTAIERGYEELNNARPEKIMHFITLVLENLALSSDDNEDLVYCLKGWHHSISMCKSKSAHWALYAKSVLDRTRLALASKAETYQRILQPSAEYLGSLLGVDQWAINIFTEEIIRAGSAATLSSLINRLDPVLRETAHLGSWQVISPVEVVGYVEVVDELLSVQNKSYDRPTILVAKSVKGEEEIPDGTIAVLTPDMPDVLSHVSVRARNCKVCFATCFDPNILADLQAKKGKLLRLKPSSADVVYSEVKEGELADSSSSNLKGDGPSVTLVRKQFVGKYAISAEEFTPEMVGAKSRNISYLKGKVPSWVGIPTSVALPFGVFEKVLADEANKEVDQKLQILKKKLGEGDFGALEEIRQTVLQLRAPSQLVQELKTKMLTSGMPWPGDEGEQRWEQAWTAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDTSEIYAEVLGYPSKPIGLFIRRSMIFRSDSNGEDLEGYAGAGLYDSVPMDKEEKVVVDYSSDPLINDGKFQQAILSSIAGAGNAIEELYGSPQDIEGVIRDGKVYVVQTRPQM >KJB15600 pep chromosome:Graimondii2_0_v6:2:49592453:49604557:1 gene:B456_002G186200 transcript:KJB15600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVGQNLIQQHFLRPTVLEHQSKLKGSSGIASNSLCATASLNQSLAQPRKYQISTKFYGNSLSKRKHKLAMGSQRPLAFIPQAVLATDPASENLGKFNIDGNIELQVDASAPTSGSITNVNFRVMYTSDSLLLHWGAIRGSNDKWVLPSRQPEGTRNHKNRALRTPFVKSGSSSYLKLEIDDPQIQAIEFLIFDEARNKWIKNNGQNFHVKLPQRKTLVSNISVPEDLVQVQAYLRWERKGKQMYTPEQEKEEYEAARAELLEEISRGASVDDIRSKITKKSGQEYKETAINEENNKIPDDLVQIQAYIRWEKAGKPNYSPEQQLREFEEARKELQSELEKGASLDEIRKKITKGEIKTKVAKQLQNKKYFSPERIQRKQRDLMQLLNKHAVKVVEESISVEVEPKPSTAVEPFAKEKELDGSPVMNKKIYKLGEKELLVLVTKPAGKIKIHLATDLEEPLTLHWALSEKDGEWLAPPPAVLPPGSVSLEKAAESKFSTSTSGDLPKQVQCIEMEIADGNFKGMPFVLLSGGKWIKNNGSDFYVEFSQRFKQVQKDAGDGKGTSKVLLDRIAALESEAQKSFMHRFNIASDLMDQAKNIGELGLAGILVWMRFMATRQLIWNRNYNVKPREISKAQDRLTDLLQSIYTTHPQHRELLRMIMSTIGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVIICQALIDYIKSDFDINVYWKTLNENGITKERLLSYDRAIHSEPSFKRDQKDGLLRDLGHYMRTLKAVHSGADLESAISNCMGYRAEGQGFMVGVQINPIPGLPSGFPDLLRFVLEHIEDRNVEALLEGLLEARQELRPLLLKSTGRLKDLLFLDIALDSTVRTAIERGYEELNNARPEKIMHFITLVLENLALSSDDNEDLVYCLKGWHHSISMCKSKSAHWALYAKSVLDRTRLALASKAETYQRILQPSAEYLGSLLGVDQWAINIFTEEIIRAGSAATLSSLINRLDPVLRETAHLGSWQVISPVEVVGYVEVVDELLSVQNKSYDRPTILVAKSVKGEEEIPDGTIAVLTPDMPDVLSHVSVRARNCKVCFATCFDPNILADLQAKKGKLLRLKPSSADVVYSEVKEGELADSSSSNLKGDGPSVTLVRKQFVGKYAISAEEFTPEMVGAKSRNISYLKGKVPSWVGIPTSVALPFGVFEKVLADEANKEVDQKLQILKKKLGEGDFGALEEIRQTVLQLRAPSQLVQELKTKMLTSGMPWPGDEGEQRWEQAWTAIKKVYHFFCKERAYASNTMFR >KJB15603 pep chromosome:Graimondii2_0_v6:2:49592453:49606757:1 gene:B456_002G186200 transcript:KJB15603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVGQNLIQQHFLRPTVLEHQSKLKGSSGIASNSLCATASLNQSLAQPRKYQISTKFYGNSLSKRKHKLAMGSQRPLAFIPQAVLATDPASENLGKFNIDGNIELQVDASAPTSGSITNVNFRVMYTSDSLLLHWGAIRGSNDKWVLPSRQPEGTRNHKNRALRTPFVKSGSSSYLKLEIDDPQIQAIEFLIFDEARNKWIKNNGQNFHVKLPQRKTLVSNISVPEDLVQVQAYLRWERKGKQMYTPEQEKEEYEAARAELLEEISRGASVDDIRSKITKKSGQEYKETAINEENNKIPDDLVQIQAYIRWEKAGKPNYSPEQQLREFEEARKELQSELEKGASLDEIRKKITKGEIKTKVAKQLQNKKYFSPERIQRKQRDLMQLLNKHAVKVVEESISVEVEPKPSTAVEPFAKEKELDGSPVMNKKIYKLGEKELLVLVTKPAGKIKIHLATDLEEPLTLHWALSEKDGEWLAPPPAVLPPGSVSLEKAAESKFSTSTSGDLPKQVQCIEMEIADGNFKGMPFVLLSGGKWIKNNGSDFYVEFSQRFKQVQKDAGDGKGTSKVLLDRIAALESEAQKSFMHRFNIASDLMDQAKNIGELGLAGILVWMRFMATRQLIWNRNYNVKPREISKAQDRLTDLLQSIYTTHPQHRELLRMIMSTIGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVIICQALIDYIKSDFDINVYWKTLNENGITKERLLSYDRAIHSEPSFKRDQKDGLLRDLGHYMRTLKAVHSGADLESAISNCMGYRAEGQGFMVGVQINPIPGLPSGFPDLLRFVLEHIEDRNVEALLEGLLEARQELRPLLLKSTGRLKDLLFLDIALDSTVRTAIERGYEELNNARPEKIMHFITLVLENLALSSDDNEDLVYCLKGWHHSISMCKSKSAHWALYAKSVLDRTRLALASKAETYQRILQPSAEYLGSLLGVDQWAINIFTEEIIRAGSAATLSSLINRLDPVLRETAHLGSWQVISPVEVVGYVEVVDELLSVQNKSYDRPTILVAKSVKGEEEIPDGTIAVLTPDMPDVLSHVSVRARNCKVCFATCFDPNILADLQAKKGKLLRLKPSSADVVYSEVKEGELADSSSSNLKGDGPSVTLVRKQFVGKYAISAEEFTPEMVGAKSRNISYLKGKVPSWVGIPTSVALPFGVFEKVLADEANKEVDQKLQILKKKLGEGDFGALEEIRQTVLQLRAPSQLVQELKTKMLTSGMPWPGDEGEQRWEQAWTAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDTSEIYAEVVKGLGETLVGAYPGRALSFVCKKNNLNSPEVLGYPSKPIGLFIRRSMIFRSDSNGEDLEGYAGAGLYDSVPMDKEEKVVVDYSSDPLINDGKFQQAILSSIAGAGNAIEELYGSPQDIEGVIRDGKVYVVQTRPQM >KJB15601 pep chromosome:Graimondii2_0_v6:2:49592453:49606432:1 gene:B456_002G186200 transcript:KJB15601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVGQNLIQQHFLRPTVLEHQSKLKGSSGIASNSLCATASLNQSLAQPRKYQISTKFYGNSLSKRKHKLAMGSQRPLAFIPQAVLATDPASENLGKFNIDGNIELQVVDASAPTSGSITNVNFRVMYTSDSLLLHWGAIRGSNDKWVLPSRQPEGTRNHKNRALRTPFVKSGSSSYLKLEIDDPQIQAIEFLIFDEARNKWIKNNGQNFHVKLPQRKTLVSNISVPEDLVQVQAYLRWERKGKQMYTPEQEKEEYEAARAELLEEISRGASVDDIRSKITKKSGQEYKETAINEENNKIPDDLVQIQAYIRWEKAGKPNYSPEQQLREFEEARKELQSELEKGASLDEIRKKITKGEIKTKVAKQLQNKKYFSPERIQRKQRDLMQLLNKHAVKVVEESISVEVEPKPSTAVEPFAKEKELDGSPVMNKKIYKLGEKELLVLVTKPAGKIKIHLATDLEEPLTLHWALSEKDGEWLAPPPAVLPPGSVSLEKAAESKFSTSTSGDLPKQVQCIEMEIADGNFKGMPFVLLSGGKWIKNNGSDFYVEFSQRFKQVQKDAGDGKGTSKVLLDRIAALESEAQKSFMHRFNIASDLMDQAKNIGELGLAGILVWMRFMATRQLIWNRNYNVKPREISKAQDRLTDLLQSIYTTHPQHRELLRMIMSTIGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVIICQALIDYIKSDFDINVYWKTLNENGITKERLLSYDRAIHSEPSFKRDQKDGLLRDLGHYMRTLKAVHSGADLESAISNCMGYRAEGQGFMVGVQINPIPGLPSGFPDLLRFVLEHIEDRNVEALLEGLLEARQELRPLLLKSTGRLKDLLFLDIALDSTVRTAIERGYEELNNARPEKIMHFITLVLENLALSSDDNEDLVYCLKGWHHSISMCKSKSAHWALYAKSVLDRTRLALASKAETYQRILQPSAEYLGSLLGVDQWAINIFTEEIIRAGSAATLSSLINRLDPVLRETAHLGSWQVISPVEVVGYVEVVDELLSVQNKSYDRPTILVAKSVKGEEEIPDGTIAVLTPDMPDVLSHVSVRARNCKVCFATCFDPNILADLQAKKGKLLRLKPSSADVVYSEVKEGELADSSSSNLKGDGPSVTLVRKQFVGKYAISAEEFTPEMVGAKSRNISYLKGKVPSWVGIPTSVALPFGVFEKVLADEANKEVDQKLQILKKKLGEGDFGALEEIRQTVLQLRAPSQLVQELKTKMLTSGMPWPGDEGEQRWEQAWTAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDTSEIYAEVVKGLGETLVGAYPGRALSFVCKKNNLNSPEVLGYPSKPIGLFIRRSMIFRSDSNGEDLEGYAGAGLYDSVPMDKEEKVVVDYSSDPLINDGKFQQAILSSIAGAGNAIEELYGSPQDIEGVIRDGKVYVVQTRPQM >KJB12209 pep chromosome:Graimondii2_0_v6:2:388081:395422:1 gene:B456_002G006000 transcript:KJB12209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEQTFMRVQGRFSQILTPRVRVALEYIYLFIAITSFCILVTMHANYVQQPGCSSELSGIDSNEVQLIQIKITSAGLWSRNESETVTIDNPDLKTATENVEVASVVDEGLMFLDAKFWLNWFDSGARKGKSAWKFWNTDGDLIKQHAESSTNGEISKPNIDSVVAKIDNEETRNGFSLSAKQTFKAAVVHFGKKWYRRLSFIWRHAVQIFASFHKLLNITGLHLNLDVPKWLRILHFDKLNSYAVHWLERRSKAFEPTYLYSLEKGYFLLPEAAKSQHNIRTVNISLSARHPCFGNRWQQLLINRFVGYDTIMMNSLLHKPGLGYLYNFQTKEFYNLSYAQELPGSSARLGDYLVTKCGVLMMSFFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPNVVVVLQIMIGILFFLFEFYDDQLLAFMVLILVWICELFILISVRTPISMKFFPRFFSLYFLVFHIYFFSYAYGFSYLALSTAAAFMQHLILYFWNRFEVPAVQRFMQNRRSQLQQHPDFHITSSTILASTLHIRRLNTRNPGLANTDPSSGLGSRTVSDQAMPANVAGEATGPQGNAGNNNVGRSVDPVQISGQGQPELPQAEAGSNPGTMSSFSSLLLWILGGASSEGLNSFLSMFRDVREQGRVYTNSPRHEDRATQNVQ >KJB12207 pep chromosome:Graimondii2_0_v6:2:388081:395422:1 gene:B456_002G006000 transcript:KJB12207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEQTFMRVQGRFSQILTPRVRVALEYIYLFIAITSFCILVTMHANYVQQPGCSSELSGIDSNEVQLIQIKFWLNWFDSGARKGKSAWKFWNTDGDLIKQHAESSTNAKQTFKAAVVHFGKKWYRRLSFIWRHAVQIFASFHKLLNITGLHLNLDVPKWLRILHFDKLNSYAVHWLERRSKAFEPTYLYSLEKGYFLLPEAAKSQHNIRTVNISLSARHPCFGNRWQQLLINRFVGYDTIMMNSLLHKPGLGYLYNFQTKEFYNLSYAQELPGSSARLGDYLVTKCGVLMMSFFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWICELFILISVRTPISMKFFPRFFSLYFLVFHIYFFSYAYGFSYLALSTAAAFMQHLILYFWNRFEVPAVQRFMQNRRSQLQQHPDFHITSSTILASTLHIRRLNTRNPGLANTDPSSGLGSRTVSDQAMPANVAGEATGPQGNAGNNNVGRSVDPVQISGQGQPELPQAEAGSNPGTMSSFSSLLLWILGGASSEGLNSFLSMFRDVREQGRVYTNSPRHEDRATQNVQ >KJB12206 pep chromosome:Graimondii2_0_v6:2:387960:395466:1 gene:B456_002G006000 transcript:KJB12206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEQTFMRVQGRFSQILTPRVRVALEYIYLFIAITSFCILVTMHANYVQQPGCSSELSGIDSNEVQLIQIKITSAGLWSRNESETVTIDNPDLKTATENVEVASVVDEGLMFLDAKFWLNWFDSGARKGKSAWKFWNTDGDLIKQHAESSTNGEISKPNIDSVVAKIDNEETRNGFSLSAKQTFKAAVVHFGKKWYRRLSFIWRHAVQIFASFHKLLNITGLHLNLDVPKWLRILHFDKLNSYAVHWLERRSKAFEPTYLYSLEKGYFLLPEAAKSQHNIRTVNISLSARHPCFGNRWQQLLINRFVGYDTIMMNSLLHKPGLGYLYNFQTKEFYNLSYAQELPGSSARLGDYLVTKCGVLMMSFFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWICELFILISVRTPISMKFFPRFFSLYFLVFHIYFFSYAYGFSYLALSTAAAFMQHLILYFWNRFEVPAVQRFMQNRRSQLQQHPDFHITSSTILASTLHIRRLNTRNPGLANTDPSSGLGSRTVSDQAMPANVAGEATGPQGNAGNNNVGRSVDPVQISGQGQPELPQAEAGSNPGTMSSFSSLLLWILGGASSEGLNSFLSMFRDVREQGRVYTNSPRHEDRATQNVQ >KJB12208 pep chromosome:Graimondii2_0_v6:2:388081:395422:1 gene:B456_002G006000 transcript:KJB12208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEQTFMRVQGRFSQILTPRVRVALEYIYLFIAITSFCILVTMHANYVQQPGCSSELSGIDSNEVQLIQIKITSAGLWSRNESETVTIDNPDLKTATENVEVASVVDEGLMFLDAKFWLNWFDSGARKGKSAWKFWNTDGDLIKQHAESSTNAKQTFKAAVVHFGKKWYRRLSFIWRHAVQIFASFHKLLNITGLHLNLDVPKWLRILHFDKLNSYAVHWLERRSKAFEPTYLYSLEKGYFLLPEAAKSQHNIRTVNISLSARHPCFGNRWQQLLINRFVGYDTIMMNSLLHKPGLGYLYNFQTKEFYNLSYAQELPGSSARLGDYLVTKCGVLMMSFFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWICELFILISVRTPISMKFFPRFFSLYFLVFHIYFFSYAYGFSYLALSTAAAFMQHLILYFWNRFEVPAVQRFMQNRRSQLQQHPDFHITSSTILASTLHIRRLNTRNPGLANTDPSSGLGSRTVSDQAMPANVAGEATGPQGNAGNNNVGRSVDPVQISGQGQPELPQAEAGSNPGTMSSFSSLLLWILGGASSEGLNSFLSMFRDVREQGRVYTNSPRHEDRATQNVQ >KJB15725 pep chromosome:Graimondii2_0_v6:2:52193193:52194857:-1 gene:B456_002G193000 transcript:KJB15725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSIFKSQVFIRNGSVILPTCAKNSAFSVSKKLHFETSQQPDTVISFLNNHGFSRTQIRDIVQKWPASLLCNPEKTLLPKLQFFYSKRISGSQLIRILSSNPDAFRRSLDNCIIPNFNSFKEFTRCGDDQVFLAYKNYSDVLSRNFQSIVAPNIAILKEYGVPESNIMVELVVHPRAYAVSPDKFSRTVEQVKKMGFNPSKRRFLTALQAFLQTSKSTWEKKFDLLNQWGWSNEVVLSAFEKYPRFMMFSEKKITTIMNFFVHTMGWKSLDIANRPVILSYSLERRIIPRCSVLQALLSKGLIKKFSVCLVLEYTEKAFLQRFITPYEDPYILKLYEQKLGLSE >KJB14697 pep chromosome:Graimondii2_0_v6:2:23506674:23511133:1 gene:B456_002G138200 transcript:KJB14697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQGVKLYVQDKHVVLDNGILQVTISNPDGIVTAIQYNGIDNLLAVENQEADRGIEATSFEVIVENEDQVELSFSRTWDPSLEGKIVPLNIEKRFIMLRNSSGFYTYAIYEHLKEWPAFNLDRFHYMAMADNRQRYMPLPDDRLPYRSQTLAYPEAVLLVDPMETEFRGEVDDKYQYSCENKDNRVHGWICNDPPVGFWQITPSDEFRSAGPHKQNLTSHVGPTTLAVMHSVHYSGEDLILKFGSNEAWKKVFGPIFIYLNSLSDAGGNPRSLWEDAKQQMRIEVQDWPYTFPASQDFPQSHQRGNVSGRLLVKDRYVCKDDIPANGAYVGLAPPGDVGSWQSEVKGYQFWTRADEDGYFCINNIWTGDYNLYAWVPGFIGDYKYDVIITPSAGYDIYMGDLVYEPPRDGPTLWEIGVPDRTAAEFYVPDPSPMFVNRLYVNHPDRFRQYGLWERYADLYPDRDLVYTVGVSDYTKDWFFAQVTRKNDDDTYQGTTWQIKFKLDCTANETETYKLRLALATAHAAELQVRVNDDGKSPLFSSGQIGKDNTIARHGIHGLYRLYHVGIPGNLLLEGDNTIFLTQPKSTSPFQGIMYDYIRLEGPASSNGNKKA >KJB14699 pep chromosome:Graimondii2_0_v6:2:23506802:23511133:1 gene:B456_002G138200 transcript:KJB14699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQGVKLYVQDKHVVLDNGILQVTISNPDGIVTAIQYNGIDNLLAVENQEADRGYWDLVWNLAGSKGTKGKFDRIEATSFEVIVENEDQVELSFSRTWDPSLEGKIVPLNIEKRFIMLRNSSGFYTYAIYEHLKEWPAFNLDRFRVAFKLRKDKFHYMAMADNRQRYMPLPDDRLPYRSQTLAYPEAVLLVDPMETEFRGEVDDKYQYSCENKDNRVHGWICNDPPVGFWQITPSDEFRSAGPHKQNLTSHVGPTTLAVMHSVHYSGEDLILKFGSNEAWKKVFGPIFIYLNSLSDAGGNPRSLWEDAKQQMRIEVQDWPYTFPASQDFPQSHQRGNVSGRLLVKDRYVCKDDIPANGAYVGLAPPGDVGSWQSEVKGYQFWTRADEDGYFCINNIWTGDYNLYAWVPGFIGDYKYDVIITPSAGYDIYMGDLVYEPPRDGPTLWEIGVPDRTAAEFYVPDPSPMFVNRLYVNHPDRFRQYGLWERYADLYPDRDLVYTVGVSDYTKDWFFAQKE >KJB14695 pep chromosome:Graimondii2_0_v6:2:23506638:23511162:1 gene:B456_002G138200 transcript:KJB14695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQGVKLYVQDKHVVLDNGILQVTISNPDGIVTAIQYNGIDNLLAVENQEADRGYWDLVWNLAGSKGTKGKFDRIEATSFEVIVENEDQVELSFSRTWDPSLEGKIVPLNIEKRFIMLRNSSGFYTYAIYEHLKEWPAFNLDRFRVAFKLRKDKFHYMAMADNRQRYMPLPDDRLPYRSQTLAYPEAVLLVDPMETEFRGEVDDKYQYSCENKDNRVHGWICNDPPVGFWQITPSDEFRSAGPHKQNLTSHVGPTTLAVMHSVHYSGEDLILKFGSNEAWKKVFGPIFIYLNSLSDAGGNPRSLWEDAKQQMRIEVQDWPYTFPASQDFPQSHQRGNVSGRLLVKDRYVCKDDIPANGAYVGLAPPGDVGSWQSEVKGYQFWTRADEDGYFCINNIWTGDYNLYAWVPGFIGDYKYDVIITPSAGYDIYMGDLVYEPPRDGPTLWEIGVPDRTAAEFYVPDPSPMFVNRLYVNHPDRFRQYGLWERYADLYPDRDLVYTVGVSDYTKDWFFAQVTRKNDDDTYQGTTWQIKFKLDCTANETETYKLRLALATAHAAELQVRVNDDGKSPLFSSGQIGKDNTIARHGIHGLYRLYHVGIPGNLLLEGDNTIFLTQPKSTSPFQGIMYDYIRLEGPASSNGNKKA >KJB14696 pep chromosome:Graimondii2_0_v6:2:23506674:23511133:1 gene:B456_002G138200 transcript:KJB14696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQGVKLYVQDKHVVLDNGILQVTISNPDGIVTAIQYNGIDNLLAVENQEADRGIEATSFEVIVENEDQVELSFSRTWDPSLEGKIVPLNIEKRFIMLRNSSGFYTYAIYEHLKEWPAFNLDRFRVAFKLRKDKFHYMAMADNRQRYMPLPDDRLPYRSQTLAYPEAVLLVDPMETEFRGEVDDKYQYSCENKDNRVHGWICNDPPVGFWQITPSDEFRSAGPHKQNLTSHVGPTTLAVMHSVHYSGEDLILKFGSNEAWKKVFGPIFIYLNSLSDAGGNPRSLWEDAKQQMRIEVQDWPYTFPASQDFPQSHQRGNVSGRLLVKDRYVCKDDIPANGAYVGLAPPGDVGSWQSEVKGYQFWTRADEDGYFCINNIWTGDYNLYAWVPGFIGDYKYDVIITPSAGYDIYMGDLVYEPPRDGPTLWEIGVPDRTAAEFYVPDPSPMFVNRLYVNHPDRFRQYGLWERYADLYPDRDLVYTVGVSDYTKDWFFAQVTRKNDDDTYQGTTWQIKFKLDCTANETETYKLRLALATAHAAELQVRVNDDGKSPLFSSGQIGKDNTIARHGIHGLYRLYHVGIPGNLLLEGDNTIFLTQPKSTSPFQGIMYDYIRLEGPASSNGNKKA >KJB14698 pep chromosome:Graimondii2_0_v6:2:23506802:23511133:1 gene:B456_002G138200 transcript:KJB14698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQGVKLYVQDKHVVLDNGILQVTISNPDGIVTAIQYNGIDNLLAVENQEADRGYWDLVWNLAGSKGTKGKFDRIEATSFEVIVENEDQVELSFSRTWDPSLEGKIVPLNIEKRFIMLRNSSGFYTYAIYEHLKEWPAFNLDRFRVAFKLRKDKFHYMAMADNRQRYMPLPDDRLPYRSQTLAYPEAVLLVDPMETEFRGEVDDKYQYSCENKDNRVHGWICNDPPVGFWQITPSDEFRSAGPHKQNLTSHVGPTTLAVMHSVHYSGEDLILKFGSNEAWKKVFGPIFIYLNSLSDAGGNPRSLWEDAKQQMRIEVQDWPYTFPASQDFPQSHQRGNVSGRLLVKDRYVCKDDIPANGAYVGLAPPGDVGSWQSEVKGYQFWTRADEDGYFCINNIWTGDYNLYAWVPGFIGDYKYDVIITPSAGYDIYMGDLVYEPPRDGPTLWEIGVPDRTAAEFYVPDPSPMFVNRLYVNHPDSWG >KJB16592 pep chromosome:Graimondii2_0_v6:2:60210673:60211420:1 gene:B456_002G238400 transcript:KJB16592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPDMVFNGHDGYDAVVVGSGYGGSVAACRLSMAGVKVCLVEKGQKWEAEDFPTNSFNIISALRMESQNLGVSFGPKDALFQVYEQNDSLAAMACGVGGGSLVNAGVMVPTPVRTRRSSKWPKEWETDWDSCEASAATMMRIQSVPLQFPIAKIMKQIDVGEVEHMVQDSIKLSMNFDIEDSSSRLPKHQNMDTCIGCGNCLAGCPYNAKNSTDKNYLASAIQA >KJB15325 pep chromosome:Graimondii2_0_v6:2:43402614:43405414:-1 gene:B456_002G171400 transcript:KJB15325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNIRHTNLVELIGCCVEDSHRALVYEYLENNSLASVLLSSRSKHIALDWPTRAAICLGTASAVAFLHYEAVPHIVHRDIKASNILLDGNFHPKIGDFGLAKLFPDNVTHVSTRVAGTFGYLALEYALLGQLTKKADVYSFGVLLLEIISGKSSSKAAFGVEFMLLLEWTWKLKEEDRLLDIVDLELSQYQEEEVLRFIKVV >KJB15326 pep chromosome:Graimondii2_0_v6:2:43402534:43405535:-1 gene:B456_002G171400 transcript:KJB15326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNIRHTNLVELIGCCVEDSHRALVYEYLENNSLASVLLSSRSKHIALDWPTRAAICLGTASAVAFLHYEAVPHIVHRDIKASNILLDGNFHPKIGDFGLAKLFPDNVTHVSTRVAGTFGYLALEYALLGQLTKKADVYSFGVLLLEIISGKSSSKAAFGVEFMLLLEWTWKLKEEDRLLDIVDLELSQYQEEEVLRFIKVV >KJB15324 pep chromosome:Graimondii2_0_v6:2:43402534:43406411:-1 gene:B456_002G171400 transcript:KJB15324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNIRHTNLVELIGCCVEDSHRALVYEYLENNSLASVLLSSRSKHIALDWPTRAAICLGTASAVAFLHYEAVPHIVHRDIKASNILLDGNFHPKIGDFGLAKLFPDNVTHVSTRVAGTFGYLALEYALLGQLTKKADVYSFGVLLLEIISGKSSSKAAFGVEFMLLLEWTWKLKEEDRLLDIVDLELSQYQEEEVLRFIKVV >KJB15327 pep chromosome:Graimondii2_0_v6:2:43403029:43404552:-1 gene:B456_002G171400 transcript:KJB15327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTNENVKLFTYNSLRSATSDFHPSNRIGAGGFGVVYRGVLRDGTQVAIKKLSAESKQGSNEFLIEIDMISNIRHTNLVELIGCCVEDSHRALVYEYLENNSLASVLLSSRSKHIALDWPTRAAICLGTASAVAFLHYEAVPHIVHRDIKASNILLDGNFHPKIGDFGLAKLFPDNVTHVSTRVAGTFGYLALEYALLGQLTKKADVYSFGVLLLEIISGKSSSKAAFGVEFMLLLEWTWKLKEEDRLLDIVDLELSQYQEEEVLRFIKVV >KJB13619 pep chromosome:Graimondii2_0_v6:2:10812841:10814319:1 gene:B456_002G085000 transcript:KJB13619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVDRISDLPDSILTYILSFLSTKEAVGTSILSSRWRYIFTLVPNLHFDLSFISFFDKPLSLHETSLDRFHLKCGEMVHGPRVYSWISTAVRRGVKHLDISISPDQFTSPGIMFTCRSLTTLKLDFTCSVLDVPRGVPFPNLKTLHLKSVEFLSDDTTKCLLSSCNNLEDLVIAHCNIENLFNFTISHHLLEIDYTFHEVLSKLPEKVVYCLLSKLKVMEILGFRNDKDVIEKAKYILKNVGALQKLTIRTLSNISKAKKLKMLEVLLGSPRESKHCCILIV >KJB12551 pep chromosome:Graimondii2_0_v6:2:1741984:1748923:1 gene:B456_002G024000 transcript:KJB12551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNHFENGMDMARLAWSRLPHSEDGELDGVGLLSTSSNRNGVESLDYEVIENYAYREEQAQRGKFFVGYNVAVKWFFALLIGIGTGLAAVFINISVENFAGWKFALTFNIIQKSYLAGFLMYILINLALVFSSVYIITHFAPAAAGSGIPEIKGYLNGIDIPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQFFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAVVAVVVRVAMGWCKSGNCGHFGGGGFIIWDISDGQEDYSFEELLPMAVIGIIGGLLGALFNQLTIYVTRWRRNYLHKKGNRVKIYEACLISVLTSVISFGLPLLRNCSPCPDSDPGSEIECPRAPGVDGNYVNFYCGKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAKSLLTFLVMFYTLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIDEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDVFNEGLYDEQTRLRGIPLLESRPKYVMRKMTAREACGNQKVLSLPRVVKVADAVSILRSNKHNGFPVVDHTRDGEPLVIGLMLRSHLLVLLQSKIGFQHSPLPSHSRSGSGAIRHNFSEFAKPVSSKGISIDDIYLSSEDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRQLGLRHIFVVPRASRVIGVITRKDLLIEEESEDSATMELQSTSRSAT >KJB12552 pep chromosome:Graimondii2_0_v6:2:1741984:1749433:1 gene:B456_002G024000 transcript:KJB12552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNHFENGMDMARLAWSRLPHSEDGELDGVGLLSTSSNRNGVESLDYEVIENYAYREEQAQRGKFFVGYNVAVKWFFALLIGIGTGLAAVFINISVENFAGWKFALTFNIIQKSYLAGFLMYILINLALVFSSVYIITHFAPAAAGSGIPEIKGYLNGIDIPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQFFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAVVAVVVRVAMGWCKSGNCGHFGGGGFIIWDISDGQEDYSFEELLPMAVIGIIGGLLGALFNQLTIYVTRWRRNYLHKKGNRVKIYEACLISVLTSVISFGLPLLRNCSPCPDSDPGSEIECPRAPGVDGNYVNFYCGKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAKSLLTFLVMFYTLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIDEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDVFNEGLYDEQTRLRGIPLLESRPKYVMRKMTAREACGNQKVLSLPRVVKVADAVSILRSNKHNGFPVVDHTRDGEPLVIGLMLRSHLLVLLQSKIGFQHSPLPSHSRSGSGAIRHNFSEFAKPVSSKGISIDDIYLSSEDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRQLGLRHIFVVPRASRVIGVITRKDLLIEEESEDSATMELQSTSVRGQRHKTRLLEENGADAERPLLNGLLVQNQRYLKGSFIIVFRDNGRNYSCTLSNLSMLAHIFLFSHFGTSSKTCFNMWTCNEVTLGDVDHTKF >KJB12549 pep chromosome:Graimondii2_0_v6:2:1741984:1749318:1 gene:B456_002G024000 transcript:KJB12549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNHFENGMDMARLAWSRLPHSEDGELDGVGLLSTSSNRNGVESLDYEVIENYAYREEQAQRGKFFVGYNVAVKWFFALLIGIGTGLAAVFINISVENFAGWKFALTFNIIQKSYLAGFLMYILINLALVFSSVYIITHFAPAAAGSGIPEIKGYLNGIDIPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQFFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAVVAVVVRVAMGWCKSGNCGHFGGGGFIIWDISDGQEDYSFEELLPMAVIGIIGGLLGALFNQLTIYVTRWRRNYLHKKGNRVKIYEACLISVLTSVISFGLPLLRNCSPCPDSDPGSEIECPRAPGVDGNYVNFYCGKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAKSLLTFLVMFYTLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIDEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDVFNEGLYDEQTRLRGIPLLESRPKYVMRKMTAREACGNQKVLSLPRVVKVADAVSILRSNKHNGFPVVDHTRDGEPLVIGLMLRSHLLVLLQSKIGFQHSPLPSHSRSGSGAIRHNFSEFAKPVSSKGISIDDIYLSSEDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRQLGLRHIFVVPRASRVIGVITRKDLLIEEESEDSATMELQSTSRSAT >KJB12550 pep chromosome:Graimondii2_0_v6:2:1741984:1748931:1 gene:B456_002G024000 transcript:KJB12550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNHFENGMDMARLAWSRLPHSEDGELDGVGLLSTSSNRNGVESLDYEVIENYAYREEQAQRGKFFVGYNVAVKWFFALLIGIGTGLAAVFINISVENFAGWKFALTFNIIQKSYLAGFLMYILINLALVFSSVYIITHFAPAAAGSGIPEIKGYLNGIDIPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQFFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAVVAVVVRVAMGWCKSGNCGHFGGGGFIIWDISDGQEDYSFEELLPMAVIGIIGGLLGALFNQLTIYVTRWRRNYLHKKGNRVKIYEACLISVLTSVISFGLPLLRNCSPCPDSDPGSEIECPRAPGVDGNYVNFYCGKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAKSLLTFLVMFYTLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIDEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDVFNEGLYDEQTRLRGIPLLESRPKYVMRKMTAREACGNQKVLSLPRVVKVADAVSILRSNKHNGFPVVDHTRDGEPLVIGLMLRSHLLVLLQSKIGFQHSPLPSHSRSGSGAIRHNFSEFAKPVSSKGISIDDIYLSSEDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRQLGLRHIFVVPRASRVIGVITRKDLLIEEESEDSATMELQSTSVRGQRHKTRLLEENGADAERPLLNGLLVQNQR >KJB15037 pep chromosome:Graimondii2_0_v6:2:33952414:33960563:-1 gene:B456_002G156800 transcript:KJB15037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPIEPSTSSSDPNSIPIPTAILHPRREPFEHGLLTIQKLIFNDPVQALTPLKQKFASPSTQRVDSAALAELLQISFDHSRLVLETLASVLHSESDPLVTALPDDVDSIGADLRDLILFLYIQSYKRLLPRSHKDSAAVADVWPSMSAFDGYLSALSPLQLVRSNSRRYMPSQADEEAHQLSYLQKHLANILSLLSEHVEGESEESLVLTMEGFEHLGFLIQFGDKGSGGVPLSQAAPFFANSDPDMPAAPVPVSQVHDWLLQTIASSLESITEKVSAKENGSPSGSDQDIAMADASPSSAKASPSARSPCFVEGVSKSSYVKQASDLKSSSVKVINCHDSTIYILAPLRYAIIYGCSDATIVLGAVGKAVRVEHCERVHVIIAAKRVCIANCRECVFFLGVNQRPLFVGDNHKLQVAPYNTYYSQLEEHLTEVGIVSTINRWDEALSISVIDPHDSLSHPAGVSDAQSESATCLDPDQLTNFLQKNLSEIKQMLREAPLEENWKRELSSALHVYFKDWLYASGNIRQLYCLQGD >KJB15038 pep chromosome:Graimondii2_0_v6:2:33953330:33960501:-1 gene:B456_002G156800 transcript:KJB15038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPIEPSTSSSDPNSIPIPTAILHPRREPFEHGLLTIQKLIFNDPVQALTPLKQKFASPSTQRVDSAALAELLQISFDHSRLVLETLASVLHSESDPLVTALPDDVDSIGADLRDLILFLYIQSYKRLLPRSHKDSAAVADVWPSMSAFDGYLSALSPLQLVRSNSRRYMPSQADEEAHQLSYLQKHLANILSLLSEHVEGESEESLVLTMEGFEHLGFLIQFGDKGSGGVPLSQAAPFFANSDPDMPAAPVPVSQVHDWLLQTIASSLESITEKVSAKENGSPSGSDQDIAMADASPSSAKASPSARSPCFVEGVSKSSYVKQASDLKSSSVKVINCHDSTIYILAPLRYAIIYGCSDATIVLGAVGKAVRVEHCERVHVIIAAKRVCIANCRECVFFLGVNQRPLFVGDNHKLQVAPYNTYYSQLEEHLTEVGIVSTINRWDEALSISVIDPHDSLSHPAGVSDAQSESATCLDPDQLTNFLIPNWFENESTGSTKDNPFPLPDAYMTSQQRNQKNLSEIKQMLREAPLEENWKRELSSALHVYFKDWLYGKSIISIFFVKFIFTFPYVVVFIIVYSRL >KJB15036 pep chromosome:Graimondii2_0_v6:2:33952402:33960688:-1 gene:B456_002G156800 transcript:KJB15036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPIEPSTSSSDPNSIPIPTAILHPRREPFEHGLLTIQKLIFNDPVQALTPLKQKFASPSTQRVDSAALAELLQISFDHSRLVLETLASVLHSESDPLVTALPDDVDSIGADLRDLILFLYIQSYKRLLPRSHKDSAAVADVWPSMSAFDGYLSALSPLQLVRSNSRRYMPSQADEEAHQLSYLQKHLANILSLLSEHVEGESEESLVLTMEGFEHLGFLIQFGDKGSGGVPLSQAAPFFANSDPDMPAAPVPVSQVHDWLLQTIASSLESITEKVSAKENGSPSGSDQDIAMADASPSSAKASPSARSPCFVEGVSKSSYVKQASDLKSSSVKVINCHDSTIYILAPLRYAIIYGCSDATIVLGAVGKAVRVEHCERVHVIIAAKRVCIANCRECVFFLGVNQRPLFVGDNHKLQVAPYNTYYSQLEEHLTEVGIVSTINRWDEALSISVIDPHDSLSHPAGVSDAQSESATCLDPDQLTNFLIPNWFENESTGSTKDNPFPLPDAYMTSQQRNQKNLSEIKQMLREAPLEENWKRELSSALHVYFKDWLYASGNIRQLYCLQGD >KJB16261 pep chromosome:Graimondii2_0_v6:2:57397038:57397834:-1 gene:B456_002G220200 transcript:KJB16261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGFERASYGPCLAEAFAIREALLWLKSLRMDNVIVELDCQMLVKALSRQTTDVSEFGKLAPTIAE >KJB15473 pep chromosome:Graimondii2_0_v6:2:47086982:47087902:-1 gene:B456_002G179600 transcript:KJB15473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRTNKWASASASADKFEEEVGRVMEQAKELHESGASLLWKISNEEQSLRQKAISLESSVRRVRSSINSLVSKKLLDPKFASKLEEDLQRPSSILTDGAAAFLPTKAQGF >KJB14442 pep chromosome:Graimondii2_0_v6:2:18504689:18506700:-1 gene:B456_002G125000 transcript:KJB14442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMSTLCTFLFSLLLFASQPLILPTAADGRWQLLQKSIGISSMHMQLLKNDRVVMYDRTDFGPSTLPLASGKCHNDPTNTAVQVDCTAHSVEYDVLSNKFRALTVQSNVWCSSGGVMPDGKLVQTGGFSDGELRVRVFSPCESCDWHETPNGLAAKRWYATNHVLPDGRQIVVGGREQFNYEFVPKNIAADTFKLHFLSETNERGVENNLYPFVFLNVDGNLFIFANNRAILLDYVNNKVVKTYPKIPGGEPRSYPSTGSAVLLPLKNLIAATIQAEVLVCGGAPKGSFVQALQGKFVKALDTCARISITDPKPKWVLETMPLARVMGDMVLLPNGKVLVINGARSGSAGWDLGRDPVLNPVLYMPDNEIESRFKILKPTNIPRMYHSTAVLLRDGRVLVGGSNPHAYYNFTGVLYPTELSLEAFYPGYLDAKFNNLRPTIVAPKSMSGIRYSKKLKIQVVITGCLYLETTKLWLPGNQRIRLK >KJB13201 pep chromosome:Graimondii2_0_v6:2:7292782:7296866:-1 gene:B456_002G061800 transcript:KJB13201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLGKPETELGRDYDKLADQLRDSLSYDDNKPDFRELDLGSPVSPLRTGQQGLTMTTTTTTTTTTSSSSSSSRSVSGRNSSSATARRSESGRNNHSGELSGSSETSPTASTGNMKPAQTRSKPNTATTHPLIYSGQSTANSPAVNVLPTGNICPSGRIIKTGMAVNRSSRTDVLGSGSGNYGHGSIMRAGGVGTGPVTPSRGTAFEPSHTLGNRGNASDVMRKAMGNLEPEELKKVGNEMYKKGHFVEALSLYDKAIALSPANAAYRSNRAAALTALGRVGEAVKECEEAVRLDPNYGRAHQRLASLLLRVGHVENARKHILFPGQPQDQMESQKLQAVERHLNKCTDARRIRDWRSALREADAGIAAGADFSPQLFMCRVEALLKLHQLDDAESSLSVVPKLESCSNSLQTKYFGMLAEGYIFFVQAQMEMALGRFENAVTAAEKAGQVDPRNVEVAVLLNNVRLVARARARGNDLFKSERFTEACSAYGDGLRLDPSNSILYCNRAACWFKLGRWERSIEDCDQALSIQPNYIKALLRRAACNSKLERWAEAVRDYEVLRRELPNDNEVAESLFHAQVALKKSRGEEVYNMKFGGEVEEVCSLEQFRAAISLPGISVVHFKMASNLQCKQISPFVDALCGRYPSINFLKVSVDINESPVIANTENVRIVPTFKIYKNGSRVKEMVCPSREMLEHSVRHYSF >KJB13200 pep chromosome:Graimondii2_0_v6:2:7293698:7296802:-1 gene:B456_002G061800 transcript:KJB13200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLGKPETELGRDYDKLADQLRDSLSYDDNKPDFRELDLGSPVSPLRTGQQGLTMTTTTTTTTTTSSSSSSSRSVSGRNSSSATARRSESGRNNHSGELSGSSETSPTASTGNMKPAQTRSKPNTATTHPLIYSGQSTANSPAVNVLPTGNICPSGRIIKTGMAVNRSSRTDVLGSGSGNYGHGSIMRAGGVGTGPVTPSRGTAFEPSHTLGNRGNASDVMRKAMGNLEPEELKKVGNEMYKKGHFVEALSLYDKAIALSPANAAYRSNRAAALTALGRVGEAVKECEEAVRLDPNYGRAHQRLASLLLRVGHVENARKHILFPGQPQDQMESQKLQAVERHLNKCTDARRIRDWRSALREADAGIAAGADFSPQLFMCRVEALLKLHQLDDAESSLSVVPKLESCSNSLQTKYFGMLAEGYIFFVQAQMEMALGRFENAVTAAEKAGQVDPRNVEVAVLLNNVRLVARARARGNDLFKSERFTEACSAYGDGLRLDPSNSILYCNRAACWFKLGRWERSIEDCDQALSIQPNYIKALLRRAACNSKLERWAEAVRDYEVLRRELPNDNEVAESLFHAQVALKKSRGEEVYNMKFGGEVEEVCSLEQFRAAISLPGISVVHFKMASNLQCKQISPFVDALCGRYPSINFLKVSVPFFFLHPWFIAVQRE >KJB13199 pep chromosome:Graimondii2_0_v6:2:7292682:7297237:-1 gene:B456_002G061800 transcript:KJB13199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLGKPETELGRDYDKLADQLRDSLSYDDNKPDFRELDLGSPVSPLRTGQQGLTMTTTTTTTTTTSSSSSSSRSVSGRNSSSATARRSESGRNNHSGELSGSSETSPTASTGNMKPAQTRSKPNTATTHPLIYSGQSTANSPAVNVLPTGNICPSGRIIKTGMAVNRSSRTDVLGSGSGNYGHGSIMRAGGVGTGPVTPSRGTAFEPSHTLGNRGNASDVMRKAMGNLEPEELKKVGNEMYKKGHFVEALSLYDKAIALSPANAAYRSNRAAALTALGRVGEAVKECEEAVRLDPNYGRAHQRLASLLLRVGHVENARKHILFPGQPQDQMESQKLQAVERHLNKCTDARRIRDWRSALREADAGIAAGADFSPQLFMCRVEALLKLHQLDDAESSLSVVPKLESCSNSLQTKYFGMLAEGYIFFVQAQMEMALGRFENAVTAAEKAGQVDPRNVEVAVLLNNVRLVARARARGNDLFKSERFTEACSAYGDGLRLDPSNSILYCNRAACWFKLGRWERSIEDCDQALSIQPNYIKALLRRAACNSKLERWAEAVRDYEVLRRELPNDNEVAESLFHAQVALKKSRGEEVYNMKFGGEVEEVCSLEQFRAAISLPGISVVHFKMASNLQCKQISPFVDALCGRYPSINFLKVDINESPVIANTENVRIVPTFKIYKNGSRVKEMVCPSREMLEHSVRHYSF >KJB15858 pep chromosome:Graimondii2_0_v6:2:53971339:53976974:-1 gene:B456_002G200000 transcript:KJB15858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHCGFLRNGGANMNLVDIEYVKKCRFVVASGTFDGYDVPHQPSNISIRSKKLFCFLVVVDEVSLKFIKKNVTVRKDRDEGMWVGIWQLIPLKHLPYDEPRRKGKVPKILTHRLFPEAQYSIWIDGKMELIVDPLLILERYLWHEKHTYAIAQHKHHRSIYEEADANKRRKRYARLLIDLQMNIYYYEGMEPWSLKKNTISDVPEGAIIIREHTALSNLFNCLWFNEVYLFTPRDQLSFGYIVYRLRGLFKFFMFQNCEYNSLFVLHLHTREHSSKVEWIKSLSEFKGNGSSMKESRGGFGLWTPYPKNLDSVILPPVVRTSKAG >KJB15860 pep chromosome:Graimondii2_0_v6:2:53971339:53977941:-1 gene:B456_002G200000 transcript:KJB15860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAHCGFLRNGGANMNLVDIEYVKKCRFVVASGTFDGYDVPHQPSNISIRSKKLFCFLVVVDEVSLKFIKKNVTVRKDRDEGMWVGIWQLIPLKHLPYDEPRRKGKVPKILTHRLFPEAQYSIWIDGKMELIVDPLLILERYLWHEKHTYAIAQHKHHRSIYEEADANKRRKRYARLLIDLQMNIYYYEGMEPWSLKKNTISDVPEGAIIIREHTALSNLFNCLWFNEVYLFTPRDQLSFGYIVYRLRGLFKFFMFQNCEYNSLFVLHLHTREHSSKVEWIKSLSEFKGNGSSMKESRGGFGLWTPYPKNLDSVILPPVVRTSKAG >KJB15859 pep chromosome:Graimondii2_0_v6:2:53971517:53977179:-1 gene:B456_002G200000 transcript:KJB15859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAHCGFLRNGGANMNLVDIEYVKKCRFVVASGTFDGYDVPHQPSNISIRSKKLFCFLVVVDEVSLKFIKKNVTVRKDRDEGMWVGIWQLIPLKHLPYDEPRRKGKVPKILTHRLFPEAQYSIWIDGKMELIVDPLLILERYLWHEKHTYAIAQHKHHRSIYEEADANKRRKRYARLLIDLQMNIYYYEGMEPWSLKKNTISDVPEGAIIIREHTALSNLFNCLWFNEVYLFTPRDQLSFGYIVYRLRGLFKFFMFQNCEYNSLFVLHLHTREHSSKVEWIKSLSEFKGNGSSMKESRGGFGLWTPYPKNLDSVILPPVVRTSKAG >KJB15857 pep chromosome:Graimondii2_0_v6:2:53971339:53976111:-1 gene:B456_002G200000 transcript:KJB15857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKCRFVVASGTFDGYDVPHQPSNISIRSKKLFCFLVVVDEVSLKFIKKNVTVRKDRDEGMWVGIWQLIPLKHLPYDEPRRKGKVPKILTHRLFPEAQYSIWIDGKMELIVDPLLILERYLWHEKHTYAIAQHKHHRSIYEEADANKRRKRYARLLIDLQMNIYYYEGMEPWSLKKNTISDVPEGAIIIREHTALSNLFNCLWFNEVYLFTPRDQLSFGYIVYRLRGLFKFFMFQNCEYNSLFVLHLHTREHSSKVEWIKSLSEFKGNGSSMKESRGGFGLWTPYPKNLDSVILPPVVRTSKAG >KJB13665 pep chromosome:Graimondii2_0_v6:2:11105160:11109368:1 gene:B456_002G088100 transcript:KJB13665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQPPAGGVAPPPSMAADPSQTQQYQKQQQSQPWMMMSQQQQAGQPVPPPAGWNPQPVPPPSQMQQYSAGSATVGSGEIRSLWIGDLQPWMDENYLMSIFAQTGEVVSAKVIRNKQTALPEGYGFIEFVSRAAAERVLQLYNGVPMPNSEQNFRLNWAALGYGEKRQEEGPDYTIFVGDLAADVSDYMLQETFKAVYPSVKSAKVVTDRTTGRSKGYGFVKFGDETEQIRAMTEMNGIYCSTRAMRIGPAANKKPVTDQQYQKAAYQNTQGNSGENDPNNTTIFVGGLDPSVSEDQLKQIFSQLGEVVHVKIPANKHCGFVQYANRASAEQALSVLNGTVLGGRNVRLSWGRSPSSKQVQPDQAQWNTGYYGYAQGYEAYGYAPPPQDPNMYYGGYPGYGNYQQPGAYQQQQVK >KJB13664 pep chromosome:Graimondii2_0_v6:2:11105094:11109403:1 gene:B456_002G088100 transcript:KJB13664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQPPAGGVAPPPSMAADPSQTQQYQKQQQSQPWMMMSQQQQAGQPVPPPAGWNPQPVPPPSQMQQYSAGSATVGSGEIRSLWIGDLQPWMDENYLMSIFAQTGEVVSAKVIRNKQTALPEGYGFIEFVSRAAAERVLQLYNGVPMPNSEQNFRLNWAALGYGEKRQEEGPDYTIFVGDLAADVSDYMLQETFKAVYPSVKSAKVVTDRTTGRSKGYGFVKFGDETEQIRAMTEMNGIYCSTRAMRIGPAANKKPVTDQQYQKAAYQNTQGNSGENDPNNTTIFVGGLDPSVSEDQLKQIFSQLGEVVHVKIPANKHCGFVQYANRASAEQALSVLNGTVLGGRNVRLSWGRSPSSKQVQPDQAQWNTGYYGYAQGYEAYGYAPPPQDPNMYYGGYPGYGNYQQPGAYQQQQ >KJB13666 pep chromosome:Graimondii2_0_v6:2:11105160:11109368:1 gene:B456_002G088100 transcript:KJB13666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQPPAGGVAPPPSMAADPSQTQQYQKQQQSQPWMMMSQQQQAGQPVPPPAGWNPQPVPPPSQMQQYSAGSATVGSGEIRSLWIGDLQPWMDENYLMSIFAQTGEVVSAKVIRNKQTALPEGYGFIEFVSRAAAERVLQLYNGVPMPNSEQNFRLNWAALGYGEKRQEEGPDYTIFVGDLAADVSDYMLQETFKAVYPSVKSAKVVTDRTTGRSKGYGFVKFGDETEQIRAMTEMNGIYCSTRAMRIGPAANKKPVTDQQYQKAAYQNTQGNSGENDPNNTTIFVGGLDPSVSEDQLKQIFSQLGEVVHVKIPANKHCGFVQYANRASAEQALSVLNGTVLGGRNVRLSWGRSPSSKQVQPDQAQWNTGYYGYAQGYEAYGYAPPPQDPNMYYGGYPGYGNYQQPGAYQQQQVKVIL >KJB16612 pep chromosome:Graimondii2_0_v6:2:60273206:60277224:-1 gene:B456_002G239400 transcript:KJB16612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKRRKLKLLAETINLDEKKATEEEESLKLQTWADLPVELLELILCRLTLEDNVRASAVCRRWHKVAVAVRVVNQSPWLMYFPKYGSLYEFYDPAERKTYSLELPELQGSRACYTKDGWLLLYRPRNHGVFFFNPFNRHMIKLPRFELTYQMVAFSDAPTSDLCVVFTIKHISPTVVAISTCRPGDSEWTTVNHQNRLPFVSSIWNKMVFCSGMFYCLSLTGWLGVYDPLLRAWSVLHVPPPRCPENFFAKNWWKGKFMAEHDGDILVIYTCNTETPIIFKLDHSEMVWEEMQTLNGVTLFASFLSSHSRTELPGAMRNVVYFSKVRFFGKRCISYSVDDRRYYPRKECHDWGEQDPFENIWIEPPRDASLN >KJB16609 pep chromosome:Graimondii2_0_v6:2:60273206:60277222:-1 gene:B456_002G239400 transcript:KJB16609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFPKYGSLYEFYDPAERKTYSLELPELQGSRACYTKDGWLLLYRPRNHGVFFFNPFNRHMIKLPRFELTYQMVAFSDAPTSDLCVVFTIKHISPTVVAISTCRPGDSEWTTVNHQNRLPFVSSIWNKMVFCSGMFYCLSLTGWLGVYDPLLRAWSVLHVPPPRCPENFFAKNWWKGKFMAEHDGDILVIYTCNTETPIIFKLDHSEMVWEEMQTLNGVTLFASFLSSHSRTELPGAMRNVVYFSKVRFFGKRCISYSVDDRRYYPRKECHDWGEQDPFENIWIEPPRDASLN >KJB16610 pep chromosome:Graimondii2_0_v6:2:60273206:60276435:-1 gene:B456_002G239400 transcript:KJB16610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFPKYGSLYEFYDPAERKTYSLELPELQGSRACYTKDGWLLLYRPRNHGVFFFNPFNRHMIKLPRFELTYQMVAFSDAPTSDLCVVFTIKHISPTVVAISTCRPGDSEWTTVNHQNRLPFVSSIWNKMVFCSGMFYCLSLTGWLGVYDPLLRAWSVLHVPPPRCPENFFAKNWWKGKFMAEHDGDILVIYTCNTETPIIFKLDHSEMVWEEMQTLNGVTLFASFLSSHSRTELPGAMRNVVYFSKVRFFGKRCISYSVDDRRYYPRKECHDWGEQDPFENIWIEPPRDASLN >KJB16611 pep chromosome:Graimondii2_0_v6:2:60273641:60274522:-1 gene:B456_002G239400 transcript:KJB16611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFPKYGSLYEFYDPAERKTYSLELPELQGSRACYTKDGWLLLYRPRNHGVFFFNPFNRHMIKLPRFELTYQMVAFSDAPTSDLCVVFTIKHISPTVVAISTCRPGDSEWTTVNHQNRLPFVSSIWNKMVFCSGMFYCLSLTGWLGVYDPLLRAWSVLHVPPPRCPENFFAKNWWKGKFMAEHDGDILVIYTCNTETPIIFKLDHSEMVWEEMQTLNGVTLFASFLSSHSRTELPGAMRNVVYFSKVRFFGKRCISYSVDDRRYYPRKECHDWGEQDPFENIWIEPPRDASLN >KJB12613 pep chromosome:Graimondii2_0_v6:2:2027687:2031686:-1 gene:B456_002G026900 transcript:KJB12613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGCFPCFGSSNKEKSNNGGKSIKELNNKDSTKDGSVGQSHHVNRASLDKSKSRSGSDSKKEPAVSKNGSTENIPAQTFTFRELAAATKNFRPECLLGEGGFGHVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKSSNILLDEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNMRAPGEQNLVAWARPLFKDRRKFPKMADPLLQGCYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNAPGNQSNRVGPSNPRLKDDRNMADGLDSPEGRGWHHGSPSTHRNSPDYRKRNQMRESSTGSELIRNDAGGESGRKCGSDDSERQESNRGSPLNGSRARETPHNRVLDRERAVAEAKVWGENWRERKRANAMGSFDGTNE >KJB14512 pep chromosome:Graimondii2_0_v6:2:19840105:19847226:1 gene:B456_002G128400 transcript:KJB14512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:Projected from Arabidopsis thaliana (AT5G42740) UniProtKB/TrEMBL;Acc:A0A178UPP5] MASTSLICDTQPWKDLKAHTEDIKKTHLRDLMNDKERCESMMVEFDGMFLDYSRQCATLETIDKLYKLAEAASLKQQINRMYSGEHINSTENRSVLHVALRAPRDAVINSDGKNVVPDVWNVLDKIKDFSEKVRNGSWVGATGKPLKDVIAIGIGGSFLGPLFVHAALQTDPEAVEFAKGRQLRFLANVDPIDVARNIAGLNPETTLVVVVSKTFTTAETMLNARTLREWISSALGPSAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSIVEKFLKGASSIDEHFRSAPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPYEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIVKSQQPVYLKGEVVSNHDELMSNFFAQPDALAYGKTAEQLLKENVSQHLIPHKTFSGNRPSLSLLLPSLNAYNIGQLLASYEHRVTVEGFVWGINSFDQWGVELGKSLATQVRKQLNASRTKSEPVEGFNFSTTTLLTRYLQASSDIPAEPPTLLPRI >KJB14509 pep chromosome:Graimondii2_0_v6:2:19839838:19847246:1 gene:B456_002G128400 transcript:KJB14509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:Projected from Arabidopsis thaliana (AT5G42740) UniProtKB/TrEMBL;Acc:A0A178UPP5] MASTSLICDTQPWKDLKAHTEDIKKTHLRDLMNDKERCESMMVEFDGMFLDYSRQCATLETIDKLYKLAEAASLKQQINRMYSGEHINSTENRSVLHVALRAPRDAVINSDGKNVVPDVWNVLDKIKDFSEKVRNGSWVGATGKPLKDVIAIGIGGSFLGPLFVHAALQTDPEAVEFAKGRQLRFLANVDPIDVARNIAGLNPETTLVVVVSKTFTTAETMLNARTLREWISSALGPSAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSIVEKFLKGASSIDEHFRSAPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPYEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIVKSQQPVYLKGEVVSNHDELMSNFFAQPDALAYGKTAEQLLKENVSQHLIPHKTFSGNRPSLSLLLPSLNAYNIGQLLASYEHRVTVEGFVWGINSFDQWGVELGKSLATQVRKQLNASRTKSEPVEGFNFSTTTLLTRYLQASSDIPAEPPTLLPRI >KJB14511 pep chromosome:Graimondii2_0_v6:2:19840105:19847226:1 gene:B456_002G128400 transcript:KJB14511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:Projected from Arabidopsis thaliana (AT5G42740) UniProtKB/TrEMBL;Acc:A0A178UPP5] MASTSLICDTQPWKDLKAHTEDIKKTHLRDLMNDKERCESMMVEFDGMFLDYSRQCATLETIDKLYKLAEAASLKQQINRMYSGEHINSTENRSVLHVALRAPRDAVINSDGKNVVPDVWNVLDKIKDFSEKVRNGSWVGATGKPLKDVIAIGIGGSFLGPLFVHAALQTDPEAVEFAKGRQLRFLANVDPIDVARNIAGLNPETTLVVVVSKTFTTAETMLNARTLREWISSALGPSAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSIVEKFLKGASSIDEHFRSAPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPYEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIVKSQQPVYLKGEVVSNHDELMSNFFAQPDALAYGKTAEQLLKENVSQHLIPHKLLASYEHRVTVEGFVWGINSFDQWGVELGKSLATQVRKQLNASRTKSEPVEGFNFSTTTLLTRYLQASSDIPAEPPTLLPRI >KJB14510 pep chromosome:Graimondii2_0_v6:2:19839838:19847242:1 gene:B456_002G128400 transcript:KJB14510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:Projected from Arabidopsis thaliana (AT5G42740) UniProtKB/TrEMBL;Acc:A0A178UPP5] MASTSLICDTQPWKDLKAHTEDIKKTHLRDLMNDKERCESMMVEFDGMFLDYSRQCATLETIDKLYKLAEAASLKQQINRMYSGEHINSTENRSVLHVALRAPRDAVINSDGKNVVPDVWNVLDKIKDFSEKVRNGSWVGATGKPLKDVIAIGIGGSFLGPLFVHAALQTDPEAVEFAKGRQLRFLANVDPIDVARNIAGLNPETTLVVVVSKTFTTAETMLNARTLREWISSALGPSAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSIVEKFLKGASSIDEHFRSAPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPYEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIVKSQQPVYLKGEVVSNHDELMSNFFAQPDALAYGKTAEQLLKENVSQHLIPHKTFSGNRPSLSLLLPSLNAYNIGQLLASYEHRVTVEGFVWGINSFDQWGVELGKSLATQVRKQLNASRTKSEPVEGFNFSTTTLLTRYLQASSDIPAEPPTLLPRI >KJB14513 pep chromosome:Graimondii2_0_v6:2:19840105:19847226:1 gene:B456_002G128400 transcript:KJB14513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:Projected from Arabidopsis thaliana (AT5G42740) UniProtKB/TrEMBL;Acc:A0A178UPP5] MASTSLICDTQPWKDLKAHTEDIKKTHLRDLMNDKERCESMMVEFDGMFLDYSRQCATLETIDKLYKLAEAASLKQQINRMYSGEHINSTENRSVLHVALRAPRDAVINSDGKNVVPDVWNVLDKIKDFSEKVRNGSWVGATGKPLKDVIAIGIGGSFLGPLFVHAALQTDPEAVEFAKGRQLRFLANVDPIDVARNIAGLNPETTLVVVVSKTFTTAETMLNARTLREWISSALGPSAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSIVEKFLKGASSIDEHFRSAPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPYEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIVKSQQPVYLKGEVVSNHDELMSNFFAQPDALAYGKVTAEQLLKENVSQHLIPHKTFSGNRPSLSLLLPSLNAYNIGQLLASYEHRVTVEGFVWGINSFDQWGVELGKSLATQVRKQLNASRTKSEPVEGFNFSTTTLLTRYLQASSDIPAEPPTLLPRI >KJB14514 pep chromosome:Graimondii2_0_v6:2:19840105:19847226:1 gene:B456_002G128400 transcript:KJB14514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:Projected from Arabidopsis thaliana (AT5G42740) UniProtKB/TrEMBL;Acc:A0A178UPP5] MASTSLICDTQPWKDLKAHTEDIKKTHLRDLMNDKERCESMMVEFDGMFLDYSRQCATLETIDKLYKLAEAASLKQQINRMYSGEHINSTENRSVLHVALRAPRDAVINSDGKNVVPDVWNVLDKIKDFSEKVRNGSWVGATGKPLKDVIAIGIGGSFLGPLFVHAALQTDPEAVEFAKGRQLRFLANVDPIDVARNIAGLNPETTLVVVVSKTFTTAETMLNARTLREWISSALGPSAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSIVEKFLKGASSIDEHFRSAPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPYEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIVKSQQPVYLKGEVVSNHDELMSNFFAQPDALAYGKTAEQLLKENVSQHLIPHKTFSGNRPSLSLLLPSLNAYNIGQLLASYEHRVTVEGFVWGINSFDQWGVELGKSLATQVRKQLNASRTKSEPVEGFNFSTTTLLTRYLQRWRYDLSEILEIHGNLGKKMIISQSSQIHTHV >KJB11865 pep chromosome:Graimondii2_0_v6:2:26576068:26586887:1 gene:B456_002G145100 transcript:KJB11865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGEDFSIPQGKEFEFTGSWIPVTPQKPIPTGSNPIQVSGQGNQFGKENWQEFGGFPTGYVQDILNNNGVAHSFNQDQYFGSINLAKNNRMINNIAGSYRLVLQNESSSWNDHTWANLLATRNAADGFASANRIASLGSGNTLPIPSLHSQEDNWRRCSSHQSSSSHFMRNTDGFLQMPQYGFPIPSMPVCNLNSPARTEFGAPSHFNTSFQSLLATPDQTEKTRNQNPAADENSVSEKEQESLIVCNKKQFSQQNRDLLQNIVDSSSVIISAPMEEKDSERGSVQGIDLNKTPQQKPPKRRKHRPKVIVEGKPKRTPKPTTTANVNSKDNPSGKRKYVRRKGLTEPATQHADPTKASDSTVGTPVKRKYVHKKSLKEPADELIDSANKSACDAGITIRGKCMHETNQKEPATPQGDCIRDSDPSPVCAPRSCRRALNFDLENTGNGSLAGILNHQEMLSSKSSESRSMGFSSVGNGGFKTRFTTQSNQQSGLAVENLQLQAECSHSPFLKKMMPIDYMSLPGITAATASRLQAKELMENVNVMARNANMYDVDLNQNSYRNGYTSAQQAIQFVSQRRNWENIDGTKEFMFEGHPQSVATVLTNSNEGRGSKRDHYHAIEQGQFSTAGTMSSLLSQAIFQADEGYRNGYSNEAAFPQVSKRRVIEDEFHAYKYGMKCSVSHAAGLLQTKGTNDVNAGQFTSLRDCGTSDPHVRSDNIDRRKGGVFSQLTGNRYVNSTAGDLTSSKQNILSQLHSGIEKVGNINGLALVHNLATIENRNLLLPTTPEKVSTPRTGLVGQTFHTNVSENKKREPGLPRNVPSRVGKMVQEKKQVSENQQSTKARGPSAKHVSLNPVEEMINRFKGLTLEEKNNKPKAELQNALVLYNGAGTVVPYEGFESIKKKVRPRVDLDPETNRVWNLLMGKEGEDTEGTDKEKWWEEERRVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAAKFPLKSSCKGDCNAERTTILIEEPEVCELNSVETIKWHEKPFRHQLDSQSSMTPNRSTDYLRNSEYSGIERTSFMGTYSQSLEEEVLSSQGSFDSSVIQANGGIRTYSGSYSETEDPTMSCKFLSIHGSTLDQIENSASVEEFYHCASGSSQLHEGIKYKQSEVTEEGQTSRLERTENLKWSSSFNQDNNFRNQQFRVQAFGASSHPLHMTLESEPWEGEGLEPFREECMSSWASTASGLNKPKQPGQNGGKIMVQHNGQPISQDMATTTLNTLSGEHIMHQKEVHTRSNQLCNNHQEKRKDFQSESASVTMPPTTDAVTKMQKSTSLSAANTHKLTERPSDIERMTASAKDKATENREVQSNAKEPMHSSENQLGENSSLKPKRRKAQEGKKNATDWDQLRKQVQANGLKKERSKDTMDSLDYEAMRNANVNEISNTIKERGMNNMLAERIKDFLNRLVRDHESIDLEWLRDVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQYTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARFALPGPEERSITSSTAPMISETNPTRAVNQIPLPPPVHNLLKVGPNVGNNEPIIEEPATPEPEHAEGSESDIEDACYDDPDEIPTIKLNIEEFTANLQHYMQGNMELQEGDLSKALVALNPNAASIPTPKLKNVSRLRTEHYVYELPDKHPLLKQMEKREPDDPSPYLLAIWTPGETANSIQPPEQSCGSQEPGRLCNEKTCFACNSVREANTETVRGTILIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPVDVPREWIWNLPRRTVYFGTSVSSIFKGLSTEGIQYCFWKGFVCVRGFDQKTRAPRPLMARLHFPASKLAKMKTENNK >KJB11863 pep chromosome:Graimondii2_0_v6:2:26575884:26586905:1 gene:B456_002G145100 transcript:KJB11863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGEDFSIPQGKEFEFTGSWIPVTPQKPIPTGSNPIQVSGQGNQFGKENWQEFGGFPTGYVQDILNNNGVAHSFNQDQYFGSINLAKNNRMINNIAGSYRLVLQNESSSWNDHTWANLLATRNAADGFASANRIASLGSGNTLPIPSLHSQEDNWRRCSSHQSSSSHFMRNTDGFLQMPQYGFPIPSMPVCNLNSPARTEFGAPSHFNTSFQSLLATPDQTEKTRNQNPAADENSVSEKEQESLIVCNKKQFSQQNRDLLQNIVDSSSVIISAPMEEKDSERGSVQGIDLNKTPQQKPPKRRKHRPKVIVEGKPKRTPKPTTTANVNSKDNPSGKRKYVRRKGLTEPATQHADPTKASDSTVGTPVKRKYVHKKSLKEPADELIDSANKSACDAGITIRGKCMHETNQKEPATPQGDCIRDSDPSPVCAPRSCRRALNFDLENTGNGSLAGILNHQEMLSSKSSESRSMGFSSVGNGGFKTRFTTQSNQQSGLAVENLQLQAECSHSPFLKKMMPIDYMSLPGITAATASRLQAKELMENVNVMARNANMYDVDLNQNSYRNGYTSAQQAIQFVSQRRNWENIDGTKEFMFEGHPQSVATVLTNSNEGRGSKRDHYHAIEQGQFSTAGTMSSLLSQAIFQADEGYRNGYSNEAAFPQVSKRRVIEDEFHAYKYGMKCSVSHAAGLLQTKGTNDVNAGQFTSLRDCGTSDPHVRSDNIDRRKGGVFSQLTGNRYVNSTAGDLTSSKQNILSQLHSGIEKVGNINGLALVHNLATIENRNLLLPTTPEKVSTPRTGLVGQTFHTNVSENKKREPGLPRNVPSRVGKMVQEKKQVSENQQSTKARGPSAKHVSLNPVEEMINRFKGLTLEEKNNKPKAELQNALVLYNGAGTVVPYEGFESIKKKVRPRVDLDPETNRVWNLLMGKEGEDTEGTDKEKWWEEERRVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAAKFPLKSSCKGDCNAERTTILIEEPEVCELNSVETIKWHEKPFRHQLDSQSSMTPNRSTDYLRNSEYSGIERTSFMGTYSQSLEEEVLSSQGSFDSSVIQANGGIRTYSGSYSETEDPTMSCKFLSIHGSTLDQIENSASVEEFYHCASGSSQLHEGIKYKQSEVTEEGQTSRLERTENLKWSSSFNQDNNFRNQQFRVQAFGASSHPLHMTLESEPWEGEGLEPFREECMSSWASTASGLNKPKQPGQNGGKIMVQHNGQPISQDMATTTLNTLSGEHIMHQKEVHTRSNQLCNNHQEKRKDFQSESASVTMPPTTDAVTKMQKSTSLSAANTHKLTERPSDIERMTASAKDKATENREVQSNAKEPMHSSENQLGENSSLKPKRRKAQEGKKNATDWDQLRKQVQANGLKKERSKDTMDSLDYEAMRNANVNEISNTIKERGMNNMLAERIKDFLNRLVRDHESIDLEWLRDVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQYTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARFALPGPEERSITSSTAPMISETNPTRAVNQIPLPPPVHNLLKVGPNVGNNEPIIEEPATPEPEHAEGSESDIEDACYDDPDEIPTIKLNIEEFTANLQHYMQGNMELQEGDLSKALVALNPNAASIPTPKLKNVSRLRTEHYVYELPDKHPLLKQMEKREPDDPSPYLLAIWTPGETANSIQPPEQSCGSQEPGRLCNEKTCFACNSVREANTETVRGTILIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPVDVPREWIWNLPRRTVYFGTSVSSIFKGLSTEGIQYCFWKGFVCVRGFDQKTRAPRPLMARLHFPASKLAKMKTENNK >KJB11864 pep chromosome:Graimondii2_0_v6:2:26576097:26586887:1 gene:B456_002G145100 transcript:KJB11864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGEDFSIPQGKEFEFTGSWIPVTPQKPIPTGSNPIQVSGQGNQFGKENWQEFGGFPTGYVQDILNNNGVAHSFNQDQYFGSINLAKNNRMINNIAGSYRLVLQNESSSWNDHTWANLLATRNAADGFASANRIASLGSGNTLPIPSLHSQEDNWRRCSSHQSSSSHFMRNTDGFLQMPQYGFPIPSMPVCNLNSPARTEFGAPSHFNTSFQSLLATPDQTEKTRNQNPAADENSVSEKEQESLIVCNKKQFSQQNRDLLQNIVDSSSVIISAPMEEKDSERGSVQGIDLNKTPQQKPPKRRKHRPKVIVEGKPKRTPKPTTTANVNSKDNPSGKRKYVRRKGLTEPATQHADPTKASDSTVGTPVKRKYVHKKSLKEPADELIDSANKSACDAGITIRGKCMHETNQKEPATPQGDCIRDSDPSPVCAPRSCRRALNFDLENTGNGSLAGILNHQEMLSSITAATASRLQAKELMENVNVMARNANMYDVDLNQNSYRNGYTSAQQAIQFVSQRRNWENIDGTKEFMFEGHPQSVATVLTNSNEGRGSKRDHYHAIEQGQFSTAGTMSSLLSQAIFQADEGYRNGYSNEAAFPQVSKRRVIEDEFHAYKYGMKCSVSHAAGLLQTKGTNDVNAGQFTSLRDCGTSDPHVRSDNIDRRKGGVFSQLTGNRYVNSTAGDLTSSKQNILSQLHSGIEKVGNINGLALVHNLATIENRNLLLPTTPEKVSTPRTGLVGQTFHTNVSENKKREPGLPRNVPSRVGKMVQEKKQVSENQQSTKARGPSAKHVSLNPVEEMINRFKGLTLEEKNNKPKAELQNALVLYNGAGTVVPYEGFESIKKKVRPRVDLDPETNRVWNLLMGKEGEDTEGTDKEKWWEEERRVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAAKFPLKSSCKGDCNAERTTILIEEPEVCELNSVETIKWHEKPFRHQLDSQSSMTPNRSTDYLRNSEYSGIERTSFMGTYSQSLEEEVLSSQGSFDSSVIQANGGIRTYSGSYSETEDPTMSCKFLSIHGSTLDQIENSASVEEFYHCASGSSQLHEGIKYKQSEVTEEGQTSRLERTENLKWSSSFNQDNNFRNQQFRVQAFGASSHPLHMTLESEPWEGEGLEPFREECMSSWASTASGLNKPKQPGQNGGKIMVQHNGQPISQDMATTTLNTLSGEHIMHQKEVHTRSNQLCNNHQEKRKDFQSESASVTMPPTTDAVTKMQKSTSLSAANTHKLTERPSDIERMTASAKDKATENREVQSNAKEPMHSSENQLGENSSLKPKRRKAQEGKKNATDWDQLRKQVQANGLKKERSKDTMDSLDYEAMRNANVNEISNTIKERGMNNMLAERIKDFLNRLVRDHESIDLEWLRDVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQYTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARFALPGPEERSITSSTAPMISETNPTRAVNQIPLPPPVHNLLKVGPNVGNNEPIIEEPATPEPEHAEGSESDIEDACYDDPDEIPTIKLNIEEFTANLQHYMQGNMELQEGDLSKALVALNPNAASIPTPKLKNVSRLRTEHYVYELPDKHPLLKQMEKREPDDPSPYLLAIWTPGETANSIQPPEQSCGSQEPGRLCNEKTCFACNSVREANTETVRGTILIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPVDVPREWIWNLPRRTVYFGTSVSSIFKGLSTEGIQYCFWKGFVCVRGFDQKTRAPRPLMARLHFPASKLAKMKTENNK >KJB11862 pep chromosome:Graimondii2_0_v6:2:26576097:26586887:1 gene:B456_002G145100 transcript:KJB11862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGEDFSIPQGKEFEFTGSWIPVTPQKPIPTGSNPIQVSGQGNQFGKENWQEFGGFPTGYVQDILNNNGVAHSFNQDQYFGSINLAKNNRMINNIAGSYRLVLQNESSSWNDHTWANLLATRNAADGFASANRIASLGSGNTLPIPSLHSQEDNWRRCSSHQSSSSHFMRNTDGFLQMPQYGFPIPSMPVCNLNSPARTEFGAPSHFNTSFQSLLATPDQTEKTRNQNPAADENSVSEKEQESLIVCNKKQFSQQNRDLLQNIVDSSSVIISAPMEEKDSERGSVQGIDLNKTPQQKPPKRRKHRPKVIVEGKPKRTPKPTTTANVNSKDNPSGKRKYVRRKGLTEPATQHADPTKASDSTVGTPVKRKYVHKKSLKEPADELIDSANKSACDAGITIRGKCMHETNQKEPATPQGDCIRDSDPSPVCAPRSCRRALNFDLENTGNGSLAGILNHQEMLSSKSSESRSMGFSSVGNGGFKTRFTTQSNQQSGLAVENLQLQAECSHSPFLKKMMPIDYMSLPGITAATASRLQAKELMENVNVMARNANMYDVDLNQNSYRNGYTSAQQAIQFVSQRRNWENIDGTKEFMFEGHPQSVATVLTNSNEGRGSKRDHYHAIEQGQFSTAGTMSSLLSQAIFQADEGYRNGYSNEAAFPQVSKRRVIEDEFHAYKYGMKCSVSHAAGLLQTKGTNDVNAGQFTSLRDCGTSDPHVRSDNIDRRKGGVFSQLTGNRYVNSTAGDLTSSKQNILSQLHSGIEKVGNINGLALVHNLATIENRNLLLPTTPEKVSTPRTGLVGQTFHTNVSENKKREPGLPRNVPSRVGKMVQEKKQVSENQQSTKARGPSAKHVSLNPVEEMINRFKGLTLEEKNNKPKAELQNALVLYNGAGTVVPYEGFESIKKKVRPRVDLDPETNRVWNLLMGKEGEDTEGTDKEKWWEEERRVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAAKFPLKSSCKGDCNAERTTILIEEPEVCELNSVETIKWHEKPFRHQLDSQSSMTPNRSTDYLRNSEYSGIERTSFMGTYSQSLEEEVLSSQGSFDSSVIQANGGIRTYSGSYSETEDPTMSCKFLSIHGSTLDQIENSASVEEFYHCASGSSQLHEGIKYKQSEVTEEGQTSRLERTENLKWSSSFNQDNNFRNQQFRVQAFGASSHPLHMTLESEPWEGEGLEPFREECMSSWASTASGLNKPKQPGQNGGKIMVQHNGQPISQDMATTTLNTLSGEHIMHQKEVHTRSNQLCNNHQEKRKDFQSESASVTMPPTTDAVTKMQKSTSLSAANTHKLTERPSDIERMTASAKDKATENREVQSNAKEPMHSSENQLGENSSLKPKRRKAQEGKKNATDWDQLRKQVQANGLKKERSKDTMDSLDYEAMRNANVNEISNTIKERGMNNMLAERIKDFLNRLVRDHESIDLEWLRDVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQYTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARFALPGPEERSITSSTAPMISETNPTRAVNQIPLPPPVHNLLKVGPNVGNNEPIIEEPATPEPEHAEGSESDIEDACYDDPDEIPTIKLNIEEFTANLQHYMQGNMELQEGDLSKALVALNPNAASIPTPKLKNVSRLRTEHYVYELPDKHPLLKQMEKREPDDPSPYLLAIWTPGETANSIQPPEQSCGSQEPGRLCNEKTCFACNSVREANTETVRGTILIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPVDVPREWIWNLPRRTVYFGTSVSSIFKGLSTEGIQYCFWKGFVCVRGFDQKTRAPRPLMARLHFPASKLAKMKTENNK >KJB12732 pep chromosome:Graimondii2_0_v6:2:2521765:2523880:1 gene:B456_002G033500 transcript:KJB12732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTISCSVLLALISCFYLPFGTALDTITASKSIKDPDVIISQSGVFRLGFFSFANSSNRYVGILYNQIPIQTVVWVANKNKPLKDFSGILKISDDGNLVVLNGKAEILWSSKVKNLVPNATTAQLLDSGNLVLNNGVNSLWESFQDPSNAFLETMKISTDVKTSRKVEIKSWKSPDDPSDGNFSLSLEHFNIPESAIWNNNQLYYRSGPWNGQSFIGVMNMNTVYLDGFYLVSDDKQQTYYFTYQYSNNSWSLHYELDSQGNLIGRQWDAGKGDWINWYAVLQTDCNVYGKCGPFGMCDPTKRPICSCLKGFKPRNREEWSRGNWSSGCFRTTLLQCQRDNNNGSGAGQGDDGFLKLKMMKVPAFPDRSSLINGDCKDQCLKNCSCVAYAYDDGIGCMFWGGDLIDVQKFSTRGVDLYIRLPSSELGRNKIWRQIEDVEENLIGAKLQQLPLFNFEELATATDNFHHTKKLGQGGFGPVYRGTLDDGKEIAVKRLSKASGQGLEEFMNEVVVISKLQHRNLVKLFGCCVEGEEKMLVYEYMPNKSLDSFLFG >KJB12875 pep chromosome:Graimondii2_0_v6:2:3492146:3494126:1 gene:B456_002G042200 transcript:KJB12875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKLHLLLKFRFLVSASNRQSNSVFRITTTYSTICPNQVESPNEEAIEHPRDSIEIFKQWGCCENDLLKIFSRQPSLRNAQATSLLSKLNLLSSLGLSGSDIVKMVNCRPRFFCSRINNCFDERIEFLINLFGSREMLRKALVRNPSLLTYDFHNTMKPVIALYEEIGISGSDLIAMLISRPTLIPRTSFNEEKMEYIKKTRVSKGSKMYKYVVSLIGISRIETIREKVTNLEKFGCSEEEIWSLLGRSPLILTLSVDKVQRNMTFVLGTMKLSPRVVLEHPFLLFSNLEAVLKPRISLARKLKEMELDPQIKGSIMLTALRMTENRFLNVFIKCHPQDVANELLEFYKHAKGLKPLAESSKKILRKGFPF >KJB14427 pep chromosome:Graimondii2_0_v6:2:18350457:18353483:1 gene:B456_002G124600 transcript:KJB14427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSTEQPASAEQRELENIAASTGALPLLQKSFPNLSDPQTNAISVQTLQQCFHLCYEDPVCESQQKPESFPRLLDHLGSSIVDLFFLSEKGGINWLGFLGGYVKCCGRMSTSMSLNILLRIFAMAVKKLGLSSNLEFEPDDADCKINGSLLPSDLLLLLWMCWAMLWNARTLKSSERKGNLLLPDINHLVLSAVVACAEVDSSFDLWNCDIVGLDVQLPVGKFLNWAITTAPILSDCLTQYVNRRLQISVLAEDEAGSSESISGDMSPNASQALLLTRGRAWAISLAPRSTITEEILKLCFSADIVGTDENLLYRSSTHGKGLNRFWSNVEGYHGPLLVLISANSEDAYQDNNKARKWIIGALTQQGFENKDTFYGSSGNLYAISPIFHVFSPSGM >KJB14425 pep chromosome:Graimondii2_0_v6:2:18350637:18351917:1 gene:B456_002G124600 transcript:KJB14425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSTEQPASAEQRELENIAASTGALPLLQKSFPNLSDPQTNAISVQTLQQCFHLCYEDPVCESQQKPESFPRLLDHLGSSIVDLFFLSEKGGINWLGFLGGYVKCCGRMSTSMSLNILLRIFAMAVKKLGLSSNLEFEPDDADCKINGSLLPSDLLLLLWMCWAMLWNARTLKSSERKGNLLLPDINHLVLSAVVACAEVDSSFDLWNCDIVGLDVQLPVGKFLNWAITTAPILSDCLTQYVNRRLQISVLAEDEAGSSESISGDMSPNASQALLLTRGRAWAISLAPRSTITEEILKLCFSADIVGTDENLLYRFVISPLIL >KJB14426 pep chromosome:Graimondii2_0_v6:2:18350457:18353483:1 gene:B456_002G124600 transcript:KJB14426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSTEQPASAEQRELENIAASTGALPLLQKSFPNLSDPQTNAISVQTLQQCFHLCYEDPVCESQQKPESFPRLLDHLGSSIVDLFFLSEKGGINWLGFLGGYVKCCGRMSTSMSLNILLRIFAMAVKKLGLSSNLEFEPDDADCKINGSLLPSDLLLLLWMCWAMLWNARTLKSSERKGNLLLPDINHLVLSAVVACAEVDSSFDLWNCDIVGLDVQLPVGKFLNWAITTAPILSDCLTQYVNRRLQISVLAEDEAGSSESISGDMSPNASQALLLTRGRAWAISLAPRSTITEEILKLCFSADIVGTDENLLYRSSTHGKGLNRFWSNVEGYHGPLLVLISANSEDAYQDNNKARKWIIGALTQQGFENKDTFYGSSGNLYAISPIFHVFSPSGKEKNYVYSHLHPTGRVYESHPKPLTVRHHAMDKTYQPGSLIPNQGFLPVEASISEVEVWGLGGKTAKEVQDSYKKREELFTEQRRKVDLKTFATWDDSPEKMMMDMMGDPNRVQREDR >KJB14428 pep chromosome:Graimondii2_0_v6:2:18350457:18353583:1 gene:B456_002G124600 transcript:KJB14428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSTEQPASAEQRELENIAASTGALPLLQKSFPNLSDPQTNAISVQTLQQCFHLCYEDPVCESQQKPESFPRLLDHLGSSIVDLFFLSEKGGINWLGFLGGYVKCCGRMSTSMSLNILLRIFAMAVKKLGLSSNLEFEPDDADCKINGSLLPSDLLLLLWMCWAMLWNARTLKSSERKGNLLLPDINHLVLSAVVACAEVDSSFDLWNCDIVGLDVQLPVGKFLNWAITTAPILSDCLTQYVNRRLQISVLAEDEAGSSESISGDMSPNASQALLLTRGRAWAISLAPRSTITEEILKLCFSADIVGTDENLLYRSSTHGKGLNRFWSNVEGYHGPLLVLISANSEDAYQDNNKARKWIIGALTQQGFENKDTFYGSSGNLYAISPIFHVFSPSGKEKNYVYSHLHPTGRVYESHPKPVGIAFGGTTTNERVFIDEDFAKLTVRHHAMDKTYQPGSLIPNQGFLPVEASISEVEVWGLGGKTAKEVQDSYKKREELFTEQRRKVDLKTFATWDDSPEKMMMDMMGDPNRVQREDR >KJB12418 pep chromosome:Graimondii2_0_v6:2:1101940:1105297:1 gene:B456_002G016800 transcript:KJB12418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKPCCDKSGLRQGTWTAEEDRKLTAYVTRYGCWNWRQLPKFAGLARCGKSCRLRWMNYLRPNLKRGNFTKEEDETIITLHESLGNRWSAIAAMLPGRTDNEIKNHWHTNLKKHAKHKPSTTKLHDKYTNNQNLNDDHLQINPIIPPLILESSPPPPLSTNTQSSFTTTDNSVESTKADSVSDFWSEPFLLDILSDDLPTMGASAGFEFDLLDGEILSPFGFYDHLEPFSF >KJB14192 pep chromosome:Graimondii2_0_v6:2:16137276:16140755:1 gene:B456_002G114300 transcript:KJB14192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARMDFSPPFAIIEGGYTNDNVPDMDNEKLDNEKQVTLGKPPRHLSIMQHCVSSGRLIAEANLELDVGIVVHKSSSDEKTEFLPVLRSGSCAEIGPKQYMEDEHICIDDLIGHLETTTKVPSPGAFYGVFDGHGGTDAAIFIRENILKFIVEDSHFPICVEKAIKSAFLKADYAFADASSLDISSGTTALTALIFGRTLIIANAGDCRAVLGRRGRAIEMSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQETDLSDEDEFLIMGCDGLWDVMSSQCAVTMARKELMLHNDPERCSRELVREALKRNTCDNLTVIVVCFSAEPPPRIEIPQSRVRRSISSEGLNLLKGVLDC >KJB14193 pep chromosome:Graimondii2_0_v6:2:16137276:16140755:1 gene:B456_002G114300 transcript:KJB14193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEHICIDDLIGHLETTTKVPSPGAFYGVFDGHGGTDAAIFIRENILKFIVEDSHFPICVEKAIKSAFLKADYAFADASSLDISSGTTALTALIFGRTLIIANAGDCRAVLGRRGRAIEMSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQETDLSDEDEFLIMGCDGLWDVMSSQCAVTMARKELMLHNDPERCSRELVREALKRNTCDNLTVIVVCFSAEPPPRIEIPQSRVRRSISSEGLNLLKGVLDC >KJB14194 pep chromosome:Graimondii2_0_v6:2:16137276:16140799:1 gene:B456_002G114300 transcript:KJB14194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARMDFSPPFAIIEGGYTNDNVPDMDNEKLDNEKQVTLGKPPRHLSIMQHCVSSGRLIAEANLELDVGIVVHKSSSDEKTEFLPVLRSGSCAEIGPKQYMEDEHICIDDLIGHLETTTKVPSPGAFYGVFDGHGGTDAAIFIRENILKFIVEDSHFPICVEKAIKSAFLKADYAFADASSLDISSGTTALTALIFGRTLIIANAGDCRAVLGRRGRAIEMSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQETDLSDEDEFLIMGCDGLWDVMSSQCAVTMARKELMLHNDPERCSRELVREALKRNTCDNLTVIVVCFSAEPPPRIEIPQSRVRRSISSEGLNLLKGVLDC >KJB16897 pep chromosome:Graimondii2_0_v6:2:61652079:61654757:-1 gene:B456_002G253500 transcript:KJB16897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELGLRSSKQSSGIPMKKLLAREMSKEIESRRRSSSVIARLMGLDGLPPEQKRAEGAGFNGRRSSRRNSKEEPEFKDVFEVSKMERSGGGYSSLGTVNLKLSDAEVAFIQQKFMEAKRFSTDEKLRDSEEFDDTLEVLNSNTDLLLKFLEQPDSLFAKHLHDLQGVSSQSHCGRISVLKSSRTLNNDDGQSKHRSKSPQGHHLYGKYAAPNRPELPMFQLDEKNVPTILPTRIVVLKPNLGKSRNSTRTASSPCSSHHFPSEGIEHLEISGIESRETEIWHKKKVQQDIGFSRHNSRESREMAKEITRQIKNSFNNGSMKISTSKFRGYAGDESSCDVSCSESTNDSDVTTSYRDKRHRRLSSRSSASSVSREAKKRLSERWKLTHGSQEVQMVSRGSTLGEMLAISDRETSSANSSSPVVGEGCSEIGGCSRPAVWSEPLGISSRDGWKDGCLGNLSRSRSVPASSTDFGSPRIGTRHGSLRRDKYVIPKAVKGNFNPWEASLVTSNQRSRGNKSRFSSSSSSSIKENSDTSPDFVITPHQSSGESASTAMDSSSVLENTLEVNDLKKPSDTELSAPPSVNADVSSGDVCNLEPKEPSVSELGSRAGSKEGDQPSPISVIEAPFTDDLSSGSECFESISADLHGLRMQLQLLKLETEAYEEGTMLLSSDDDGDRVSIQFATAEKNLESVYIVDVLVDSGINGADLDTFLATWHSPECPVNPTVFEELEKKYHNLNSWSRAERRLMFDRINSKLLEIYQQYIDQFPWIKRPVRKIIPKWNILELEDSLHKSLVSENKKPDMDTEVCEWSNLRDDIDVIGKEIERLLVDELVGEVVVWV >KJB16899 pep chromosome:Graimondii2_0_v6:2:61651951:61655574:-1 gene:B456_002G253500 transcript:KJB16899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFGHRRPKISSFSDDLNFTHFQLSSTRGNKQVQKQRKFPNLTSDSVSSISNNKDQDQLMFELGLRSSKQSSGIPMKKLLAREMSKEIESRRRSSSVIARLMGLDGLPPEQKRAEGAGFNGRRSSRRNSKEEPEFKDVFEVSKMERSGGGYSSLGTVNLKLSDAEVAFIQQKFMEAKRFSTDEKLRDSEEFDDTLEVLNSNTDLLLKFLEQPDSLFAKHLHDLQGVSSQSHCGRISVLKSSRTLNNDDGQSKHRSKSPQGHHLYGKYAAPNRPELPMFQLDEKNVPTILPTRIVVLKPNLGKSRNSTRTASSPCSSHHFPSEGIEHLEISGIESRETEIWHKKKVQQDIGFSRHNSRESREMAKEITRQIKNSFNNGSMKISTSKFRGYAGDESSCDVSCSESTNDSDVTTSYRDKRHRRLSSRSSASSVSREAKKRLSERWKLTHGSQEVQMVSRGSTLGEMLAISDRETSSANSSSPVVGEGCSEIGGCSRPAVWSEPLGISSRDGWKDGCLGNLSRSRSVPASSTDFGSPRIGTRHGSLRRDKYVIPKAVKGNFNPWEASLVTSNQRSRGNKSRFSSSSSSSIKENSDTSPDFVITPHQSSGESASTAMDSSSVLENTLEVNDLKKPSDTELSAPPSVNADVSSGDVCNLEPKEPSVSELGSRAGSKEGDQPRPKEG >KJB16896 pep chromosome:Graimondii2_0_v6:2:61651910:61655826:-1 gene:B456_002G253500 transcript:KJB16896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFGHRRPKISSFSDDLNFTHFQLSSTRGNKQVQKQRKFPNLTSDSVSSISNNKDQDQLMFELGLRSSKQSSGIPMKKLLAREMSKEIESRRRSSSVIARLMGLDGLPPEQKRAEGAGFNGRRSSRRNSKEEPEFKDVFEVSKMERSGGGYSSLGTVNLKLSDAEVAFIQQKFMEAKRFSTDEKLRDSEEFDDTLEVLNSNTDLLLKFLEQPDSLFAKHLHDLQGVSSQSHCGRISVLKSSRTLNNDDGQSKHRSKSPQGHHLYGKYAAPNRPELPMFQLDEKNVPTILPTRIVVLKPNLGKSRNSTRTASSPCSSHHFPSEGIEHLEISGIESRETEIWHKKKVQQDIGFSRHNSRESREMAKEITRQIKNSFNNGSMKISTSKFRGYAGDESSCDVSCSESTNDSDVTTSYRDKRHRRLSSRSSASSVSREAKKRLSERWKLTHGSQEVQMVSRGSTLGEMLAISDRETSSANSSSPVVGEGCSEIGGCSRPAVWSEPLGISSRDGWKDGCLGNLSRSRSVPASSTDFGSPRIGTRHGSLRRDKYVIPKAVKGNFNPWEASLVTSNQRSRGNKSRFSSSSSSSIKENSDTSPDFVITPHQSSGESASTAMDSSSVLENTLEVNDLKKPSDTELSAPPSVNADVSSGDVCNLEPKEPSVSELGSRAGSKEGDQPSPISVIEAPFTDDLSSGSECFESISADLHGLRMQLQLLKLETEAYEEGTMLLSSDDDGDRVSIQFATAEKNLESVYIVDVLVDSGINGADLDTFLATWHSPECPVNPTVFEELEKKYHNLNSWSRAERRLMFDRINSKLLEIYQQYIDQFPWIKRPVRKIIPKWNILELEDSLHKSLVSENKKPDMDTEVCEWSNLRDDIDVIGKEIERLLVDELVGEVVVWV >KJB16898 pep chromosome:Graimondii2_0_v6:2:61651924:61655927:-1 gene:B456_002G253500 transcript:KJB16898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFGHRRPKISSFSDDLNFTHFQLSSTRGNKQVQKQRKFPNLTSDSVSSISNNKDQDQLMFELGLRSSKQSSGIPMKKLLAREMSKEIESRRRSSSVIARLMGLDGLPPEQKRAEGAGFNGRRSSRRNSKEEPEFKDVFEVSKMERSGGGYSSLGTVNLKLSDAEVAFIQQKFMEAKRFSTDEKLRDSEEFDDTLEVLNSNTDLLLKFLEQPDSLFAKHLHDLQGVSSQSHCGRISVLKSSRTLNNDDGQSKHRSKSPQGHHLYGKYAAPNRPELPMFQLDEKNVPTILPTRIVVLKPNLGKSRNSTRTASSPCSSHHFPSEGIEHLEISGIESRETEIWHKKKVQQDIGFSRHNSRESREMAKEITRQIKNSFNNGSMKISTSKFRGYAGDESSCDVSCSESTNDSDVTTSYRDKRHRRLSSRSSASSVSREAKKRLSERWKLTHGSQEVQMVSRGSTLGEMLAISDRETSSANSSSPVVGEGCSEIGGCSRPAVWSEPLGISSRDGWKDGCLGNLSRSRSVPASSTDFGSPRIGTRHGSLRRDKYVIPKAVKGNFNPWEASLVTSNQRSRGNKSRFSSSSSSSIKENSDTSPDFVITPHQSSGESASTAMDSSSVLENTLEVNDLKKPSDTELSAPPSVNADVSSGDEPSVSELGSRAGSKEGDQPSPISVIEAPFTDDLSSGSECFESISADLHGLRMQLQLLKLETEAYEEGTMLLSSDDDGDRVSIQFATAEKNLESVYIVDVLVDSGINGADLDTFLATWHSPECPVNPTVFEELEKKYHNLNSWSRAERRLMFDRINSKLLEIYQQYIDQFPWIKRPVRKIIPKWNILELEDSLHKSLVSENKKPDMDTEVCEWSNLRDDIDVIGKEIERLLVDELVGEVVVWV >KJB16171 pep chromosome:Graimondii2_0_v6:2:56627700:56634321:-1 gene:B456_002G216500 transcript:KJB16171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DNA (cytosine-5)-methyltransferase CMT1 [Source:Projected from Arabidopsis thaliana (AT1G80740) UniProtKB/Swiss-Prot;Acc:O49139] MGKGSKRKHQKKIDESIDSESETQSQGLTTLLDPPKPKKARKVVPDSDLCLVGPPIAPAEARQRWPHRYQSKNKVKKQAVIEASNDEENEVLQAKNHYVEAIVDGCRYKLGDNAYVKAEDGNLDYIARIVEFFETVDQEPFFKAQWFYRAEDTVINKDNAHLIDKRRVFLSDIHDDNPLNCIISKVEIAEIAPDNDSAAKESRIPDSGLYYNMKYSLQHLTFKNIFTEISKKDSGTSSVVSSECGSNNTSSEVQRFDNSQKYLLDLYSGCGAMSTGLCMGASLAGIKLVTKWAVDINSFACKSLQWNHPETKVRNEAAEDFLCLLKEWEKLCQKFSLLEPNKPSETVSSETGEEDDDDDDGQGCEEEKEQEQEKERQYDSSSEDSSEEFEVERLLDICFGDPNKAKKRGLYFKVRWKGYDKSYDTWEPIEGLSNCEERLKEFVSKGYKSNILPLPGSVYFICGGPPCQGVSGFNRFRNSNAPLEDVKNKQLVVYMDTIEHLKPRYVLMENVVDILKFAKGFLGRYAVGRLVSMNYQARMGMMAAGSYGVPQFRMRVFLWGSHPSEKLPQYPLPTHEVFSRGGVPNEFEEIHVTYDKKDSCQLESALTLADAISDLPQVNNDESRDQRNYGTTARTEFQKFIRLRRKGMLCDHQPLQLNPDDYDRVCHIPKKKGANFRDLPGVVVGENNRVEWDTTMDRVLLKSGKPLVPDYAMKFVKGRSTKPSFILPKIEYLQFARMLDYRDSLIATSFLELLRRDTYR >KJB16175 pep chromosome:Graimondii2_0_v6:2:56629032:56634183:-1 gene:B456_002G216500 transcript:KJB16175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DNA (cytosine-5)-methyltransferase CMT1 [Source:Projected from Arabidopsis thaliana (AT1G80740) UniProtKB/Swiss-Prot;Acc:O49139] MGKGSKRKHQKKIDESIDSESETQSQGLTTLLDPPKPKKARKVVPDSDLCLVGPPIAPAEARQRWPHRYQSKNKVKKQAVIEASNDEENEVLQAKNHYVEAIVDGCRYKLGDNAYVKAEDGNLDYIARIVEFFETVDQEPFFKAQWFYRAEDTVINKDNAHLIDKRRVFLSDIHDDNPLNCIISKVEIAEIAPDNDSAAKESRIPDSGLYYNMKYSLQHLTFKNIFTEISKKDSGTSSVVSSECGSNNTSSEVQRFDNSQKYLLDLYSGCGAMSTGLCMGASLAGIKLVTKWAVDINSFACKSLQWNHPETKVRNEAAEDFLCLLKEWEKLCQKFSLLEPNKPSETVSSETGEEDDDDDDGQGCEEEKEQEQEKERQYDSSSEDSSEEFEVERLLDICFGDPNKAKKRGLYFKVRWKGYDKSYDTWEPIEGLSNCEERLKEFVSKGYKSNILPLPGSVYFICGGPPCQGVSGFNRFRNSNAPLEDVKNKQLVVYMDTIEHLKPRYVLMENVVDILKFAKGFLGRYAVGRLVSMNYQARMGMMAAGSYGVPQFRMRVFLWGSHPSEKLPQYPLPTHEVFSRGGVPNEFEEIHVTYDKKDSCQLESALTLADAISDLPQVNNDESRDQRNYGTTARTEFQKFIRLRRKDVINLTVDSRHAPSLGMLCDHQPLQLNPDDYDRVCHIPKKKGANFRDLPGVVVGENNRVEWDTTMDRVLLKSGKPLVPDYAMKFVKGRSTK >KJB16173 pep chromosome:Graimondii2_0_v6:2:56628068:56634183:-1 gene:B456_002G216500 transcript:KJB16173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DNA (cytosine-5)-methyltransferase CMT1 [Source:Projected from Arabidopsis thaliana (AT1G80740) UniProtKB/Swiss-Prot;Acc:O49139] MGKGSKRKHQKKIDESIDSESETQSQGLTTLLDPPKPKKARKVVPDSDLCLVGPPIAPAEARQRWPHRYQSKNKVKKQAVIEASNDEENEVLQAKNHYVEAIVDGCRYKLGDNAYVKAEDGNLDYIARIVEFFETVDQEPFFKAQWFYRAEDTVINKDNAHLIDKRRVFLSDIHDDNPLNCIISKVEIAEIAPDNDSAAKESRIPDSGLYYNMKYSLQHLTFKNIFTEISKKDSGTSSVVSSECGSNNTSSEVQRFDNSQKYLLDLYSGCGAMSTGLCMGASLAGIKLVTKWAVDINSFACKSLQWNHPETKVRNEAAEDFLCLLKEWEKLCQKFSLLEPNKPSETVSSETGEEDDDDDDGQGCEEEKEQEQEKERQYDSSSEDSSEEFEVERLLDICFGDPNKAKKRGLYFKVRWKGYDKSYDTWEPIEGLSNCEERLKEFVSKGYKSNILPLPGSVYFICGGPPCQGVSGFNRFRNSNAPLEDVKNKQLVVYMDTIEHLKPRYVLMENVVDILKFAKGFLGRYAVGRLVSMNYQARMGMMAAGSYGVPQFRMRVFLWGSHPSEKLPQYPLPTHEVFSRGGVPNEFEEIHVTYDKKDSCQLESALTLADAISDLPQVNNDESRDQRNYGTTARTEFQKFIRLRRKGMLCDHQPLQLNPDDYDRVCHIPKKKGANFRDLPGVVVGENNRVEWDTTMDRVLLKSGKPLVPDYAMKFVKGRSTKPFGRLWMDEIVNTVVTRAEPHNQTVIHPSQNRVLTIRENARLQGFPDCYKLFGTIKERYIQVGNAVAVPVAIALGYSFGLACRNLCGDEPLMTLPFKFPNCLARSSQIDGGDSD >KJB16172 pep chromosome:Graimondii2_0_v6:2:56627700:56634321:-1 gene:B456_002G216500 transcript:KJB16172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DNA (cytosine-5)-methyltransferase CMT1 [Source:Projected from Arabidopsis thaliana (AT1G80740) UniProtKB/Swiss-Prot;Acc:O49139] MGKGSKRKHQKKIDESIDSESETQSQGLTTLLDPPKPKKARKVVPDSDLCLVGPPIAPAEARQRWPHRYQSKNKVKKQAVIEASNDEENEVLQAKNHYVEAIVDGCRYKLGDNAYVKAEDGNLDYIARIVEFFETVDQEPFFKAQWFYRAEDTVINKDNAHLIDKRRVFLSDIHDDNPLNCIISKVEIAEIAPDNDSAAKESRIPDSGLYYNMKYSLQHLTFKNIFTEISKKDSGTSSVVSSECGSNNTSSEVQRFDNSQKYLLDLYSGCGAMSTGLCMGASLAGIKLVTKWAVDINSFACKSLQWNHPETKVRNEAAEDFLCLLKEWEKLCQKFSLLEPNKPSETVSSETGEEDDDDDDGQGCEEEKEQEQEKERQYDSSSEDSSEEFEVERLLDICFGDPNKAKKRGLYFKVRWKGYDKSYDTWEPIEGLSNCEERLKEFVSKGYKSNILPLPGSVYFICGGPPCQGVSGFNRFRNSNAPLEDVKNKQLVVYMDTIEHLKPRYVLMENVVDILKFAKGFLGRYAVGRLVSMNYQARMGMMAAGSYGVPQFRMRVFLWGSHPSEKLPQYPLPTHEVFSRGGVPNEFEEIHVTYDKKDSCQLESALTLADAISDLPQVNNDESRDQRNYGTTARTEFQKFIRLRRKDVINLTVDSRHAPSLGMLCDHQPLQLNPDDYDRVCHIPKKKGANFRDLPGVVVGENNRVEWDTTMDRVLLKSGKPLVPDYAMKFVKGRSTKPSFILPKIEYLQFARMLDYRDSLIATSFLELLRRDTYR >KJB16168 pep chromosome:Graimondii2_0_v6:2:56627789:56634269:-1 gene:B456_002G216500 transcript:KJB16168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DNA (cytosine-5)-methyltransferase CMT1 [Source:Projected from Arabidopsis thaliana (AT1G80740) UniProtKB/Swiss-Prot;Acc:O49139] MGKGSKRKHQKKIDESIDSESETQSQGLTTLLDPPKPKKARKVVPDSDLCLVGPPIAPAEARQRWPHRYQSKNKVKKQAVIEASNDEENEVLQAKNHYVEAIVDGCRYKLGDNAYVKAEDGNLDYIARIVEFFETVDQEPFFKAQWFYRAEDTVINKDNAHLIDKRRVFLSDIHDDNPLNCIISKVEIAEIAPDNDSAAKESRIPDSGLYYNMKYSLQHLTFKNIFTEISKKDSGTSSVVSSECGSNNTSSEVQRFDNSQKYLLDLYSGCGAMSTGLCMGASLAGIKLVTKWAVDINSFACKSLQWNHPETKVRNEAAEDFLCLLKEWEKLCQKFSLLEPNKPSETVSSETGEEDDDDDDGQGCEEEKEQEQEKERQYDSSSEDSSEEFEVERLLDICFGDPNKAKKRGLYFKVRWKGYDKSYDTWEPIEGLSNCEERLKEFVSKGYKSNILPLPGSVYFICGGPPCQGVSGFNRFRNSNAPLEDVKNKQLVVYMDTIEHLKPRYVLMENVVDILKFAKGFLGRYAVGRLVSMNYQARMGMMAAGSYGVPQFRMRVFLWGSHPSEKLPQYPLPTHEVFSRGGVPNEFEEIHVTYDKKDSCQLESALTLADAISDLPQVNNDESRDQRNYGTTARTEFQKFIRLRRKDVINLTVDSRHAPSLGMLCDHQPLQLNPDDYDRVCHIPKKKGANFRDLPGVVVGENNRVEWDTTMDRVLLKSGKPLVPDYAMKFVKGRSTKPFGRLWMDEIVNTVVTRAEPHNQTVIHPSQNRVLTIRENARLQGFPDCYKLFGTIKERYIQVGNAVAVPVAIALGYSFGLACRNLCGDEPLMTLPFKFPNCLARSSQIDGGDSD >KJB16174 pep chromosome:Graimondii2_0_v6:2:56628068:56634183:-1 gene:B456_002G216500 transcript:KJB16174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DNA (cytosine-5)-methyltransferase CMT1 [Source:Projected from Arabidopsis thaliana (AT1G80740) UniProtKB/Swiss-Prot;Acc:O49139] MGKGSKRKHQKKIDESIDSESETQSQGLTTLLDPPKPKKARKVVPDSDLCLVGPPIAPAEARQRWPHRYQSKNKVKKQAVIEASNDEENEVLQAKNHYVEAIVDGCRYKLGDNAYVKAEDGNLDYIARIVEFFETVDQEPFFKAQWFYRAEDTVINKDNAHLIDKRRVFLSDIHDDNPLNCIISKVEIAEIAPDNDSAAKESRIPDSGLYYNMKYSLQHLTFKNIFTEISKKDSGTSSVVSSECGSNNTSSEVQRFDNSQKYLLDLYSGCGAMSTGLCMGASLAGIKLVTKWAVDINSFACKSLQWNHPETKVRNEAAEDFLCLLKEWEKLCQKFSLLEPNKPSETVSSETGEEDDDDDDGQGCEEEKEQEQEKERQYDSSSEDSSEEFEVERLLDICFGDPNKAKKRGLYFKVRWKGYDKSYDTWEPIEGLSNCEERLKEFVSKGYKSNILPLPGSVYFICGGPPCQGVSGFNRFRNSNAPLEDVKNKQLVVYMDTIEHLKPRYVLMENVVDILKFAKGFLGRYAVGRLVSMNYQARMGMMAAGSYGVPQFRMRVFLWGSHPSEKLPQYPLPTHEVFSRGGVPNEFELESALTLADAISDLPQVNNDESRDQRNYGTTARTEFQKFIRLRRKDVINLTVDSRHAPSLGMLCDHQPLQLNPDDYDRVCHIPKKKGANFRDLPGVVVGENNRVEWDTTMDRVLLKSGKPLVPDYAMKFVKGRSTKPFGRLWMDEIVNTVVTRAEPHNQTVIHPSQNRVLTIRENARLQGFPDCYKLFGTIKERYIQVGNAVAVPVAIALGYSFGLACRNLCGDEPLMTLPFKFPNCLARSSQIDGGDSD >KJB16170 pep chromosome:Graimondii2_0_v6:2:56628887:56634183:-1 gene:B456_002G216500 transcript:KJB16170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DNA (cytosine-5)-methyltransferase CMT1 [Source:Projected from Arabidopsis thaliana (AT1G80740) UniProtKB/Swiss-Prot;Acc:O49139] MGKGSKRKHQKKIDESIDSESETQSQGLTTLLDPPKPKKARKVVPDSDLCLVGPPIAPAEARQRWPHRYQSKNKVKKQAVIEASNDEENEVLQAKNHYVEAIVDGCRYKLGDNAYVKAEDGNLDYIARIVEFFETVDQEPFFKAQWFYRAEDTVINKDNAHLIDKRRVFLSDIHDDNPLNCIISKVEIAEIAPDNDSAAKESRIPDSGLYYNMKYSLQHLTFKNIFTEISKKDSGTSSVVSSECGSNNTSSEVQRFDNSQKYLLDLYSGCGAMSTGLCMGASLAGIKLVTKWAVDINSFACKSLQWNHPETKVRNEAAEDFLCLLKEWEKLCQKFSLLEPNKPSETVSSETGEEDDDDDDGQGCEEEKEQEQEKERQYDSSSEDSSEEFEVERLLDICFGDPNKAKKRGLYFKVRWKGYDKSYDTWEPIEGLSNCEERLKEFVSKGYKSNILPLPGSVYFICGGPPCQGVSGFNRFRNSNAPLEDVKNKQLVVYMDTIEHLKPRYVLMENVVDILKFAKGFLGRYAVGRLVSMNYQARMGMMAAGSYGVPQFRMRVFLWGSHPSEKLPQYPLPTHEVFSRGGVPNEFEEIHVTYDKKDSCQLESALTLADAISDLPQVNNDESRDQRNYGTTARTEFQKFIRLRRKDVINLTVDSRHAPSLGMLCDHQPLQLNPDDYDRVCHIPKKKGANFRDLPGVVVGENNRVEWDTTMDRVLLKSGKPLVPDYAMKFVKGRSTNGNKS >KJB16169 pep chromosome:Graimondii2_0_v6:2:56627700:56634321:-1 gene:B456_002G216500 transcript:KJB16169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DNA (cytosine-5)-methyltransferase CMT1 [Source:Projected from Arabidopsis thaliana (AT1G80740) UniProtKB/Swiss-Prot;Acc:O49139] MGKGSKRKHQKKIDESIDSESETQSQGLTTLLDPPKPKKARKVVPDSDLCLVGPPIAPAEARQRWPHRYQSKNKVKKQAVIEASNDEENEVLQAKNHYVEAIVDGCRYKLGDNAYVKAEDGNLDYIARIVEFFETVDQEPFFKAQWFYRAEDTVINKDNAHLIDKRRVFLSDIHDDNPLNCIISKVEIAEIAPDNDSAAKESRIPDSGLYYNMKYSLQHLTFKNIFTEISKKDSGTSSVVSSECGSNNTSSEVQRFDNSQKYLLDLYSGCGAMSTGLCMGASLAGIKLVTKWAVDINSFACKSLQWNHPETKVRNEAAEDFLCLLKEWEKLCQKFSLLEPNKPSETVSSETGEEDDDDDDGQGCEEEKEQEQEKERQYDSSSEDSSEEFEVERLLDICFGDPNKAKKRGLYFKVRWKGYDKSYDTWEPIEGLSNCEERLKEFVSKGYKSNILPLPGSVYFICGGPPCQGVSGFNRFRNSNAPLEDVKNKQLVVYMDTIEHLKPRYVLMENVVDILKFAKGFLGRYAVGRLVSMNYQARMGMMAAGSYGVPQFRMRVFLWGSHPSEKLPQYPLPTHEVFSRGGVPNEFELESALTLADAISDLPQVNNDESRDQRNYGTTARTEFQKFIRLRRKDVINLTVDSRHAPSLGMLCDHQPLQLNPDDYDRVCHIPKKKGANFRDLPGVVVGENNRVEWDTTMDRVLLKSGKPLVPDYAMKFVKGRSTNGNKS >KJB12027 pep chromosome:Graimondii2_0_v6:2:61550253:61554530:1 gene:B456_002G252200 transcript:KJB12027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGLAMSMNSRIFFRCLVWWIFFSCFSGLISSAVVRLDSIEIYNTHEWITEPTVYFVCKGENMTVLPDVTKADTVYTFKGEESWQPLTELKSKKCKRCGFYEKDTFKSDDVFDEWEFCASDFKANGKYILFKEKELNVTFNCEECTSLPAGTFNTDTNNDNKGNKLRVAIIVLIVLVASTVTIFGLMMAYKYWQKRKRQQDQARFLKLFEEGDDLEDELELGN >KJB12026 pep chromosome:Graimondii2_0_v6:2:61550253:61553994:1 gene:B456_002G252200 transcript:KJB12026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGLAMSMNSRIFFRCLVWWIFFSCFSGLISSAVVRLDSIEIYNTHEWITEPTVYFVCKGENMTVLPDVTKADTVYTFKGEESWQPLTELKSKKCKRCGFYEKDTFKSDDVFDEWEFCASDFKANGKYILFKEKELNVTFNCEECTSLPAEEEATRSGSVLKTV >KJB12023 pep chromosome:Graimondii2_0_v6:2:61550253:61553994:1 gene:B456_002G252200 transcript:KJB12023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGLAMSMNSRIFFRCLVWWIFFSCFSGLISSAVVRLDSIEIYNTHEWITEPTVYFVCKGENMTVLPDVTKADTVYTFKGEESWQPLTELKSKKCKRCGFYEKDTFKSDDVFDEWEFCASDFKANGKYILFKEKELNVTFNCEECTSLPADTNNDNKGNKLRVAIIVLIVLVASTVTIFGLMMAYKYWQKRKRQQDQARFLKLFEEGDDLEDELELGN >KJB12024 pep chromosome:Graimondii2_0_v6:2:61550253:61553994:1 gene:B456_002G252200 transcript:KJB12024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGLAMSMNSRIFFRCLVWWIFFSCFSGLISSAVVRLDSIEIYNTHEWITEPTVYFVCKGENMTVLPDVTKADTVYTFKGEESWQKGQNAILLLVQGPPWDFYRYIEIQETNEPLTELKSKKCKRCGFYEKDTFKSDDVFDEWEFCASDFKANGKYILFKEKELNVTFNCEECTSLPAGTFNTDTNNDNKGNKLRVAIIVLIVLVASTVTIFGLMMAYKYWQKRKRQQDQARFLKLFEEGDDLEDELELGN >KJB12022 pep chromosome:Graimondii2_0_v6:2:61550253:61552686:1 gene:B456_002G252200 transcript:KJB12022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGLAMSMNSRIFFRCLVWWIFFSCFSGLISSAVVRLDSIEIYNTHEWITEPTVYFVCKGENMTVLPDVTKADTVYTFKGEESWQPLTELKSKKCKRCGFYEKDTFKSDDVFDEWEFCASDFKANGKYILFKEKELNVTFNCEECTSLPAGKVFFPLLSHTLFLGAVMPY >KJB12025 pep chromosome:Graimondii2_0_v6:2:61550877:61553715:1 gene:B456_002G252200 transcript:KJB12025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYSFYFYFEGLISSAVVRLDSIEIYNTHEWITEPTVYFVCKGENMTVLPDVTKADTVYTFKGEESWQPLTELKSKKCKRCGFYEKDTFKSDDVFDEWEFCASDFKANGKYILFKEKELNVTFNCEECTSLPAGTFNTDTNNDNKGNKLRVAIIVLIVLVASTVTIFGLMMAYKYWQKRKRQQDQARFLKLFEEGDDLEDELELGN >KJB12225 pep chromosome:Graimondii2_0_v6:2:449705:451523:-1 gene:B456_002G007100 transcript:KJB12225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKNVRTISQEAFDELVKENIDDLGMDPAEALEDAIQTLSLQGVDLSGIVKCVPGEGGIKDHPAMQCLDKLKQLNADSKDQFGGQDLVQITALLNDLSELCISNKEDSGNAAIVAKNGGIELVCLICSKIPTKSRQCLVSCFKAMASLLTDVQSTESFRASGGPKIVVGILSDGIRDLDILKSGFTVVAAAASGNEVVKQSLMDLRVDELILQVLSGQTQGSIQSLYDAIRVLLTSDDNRVVASEVYGYARRFAKIGIAKALVESLHGGISSPSLVSASIALKAVAVNDEICKSIADAGGIDVLLKCVDDSGEQRNKTVARTCCSLLSKLAGSDSNKSAIVEKGGMDKLIKLSARFSDDPSVLQEVRD >KJB12223 pep chromosome:Graimondii2_0_v6:2:448814:451769:-1 gene:B456_002G007100 transcript:KJB12223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKNVRTISQEAFDELVKENIDDLGMDPAEALEDAIQTLSLQGVDLSGIVKCVPGEGGIKDHPAMQCLDKLKQLNADSKDQFGGQDLVQITALLNDLSELCISNKEDSGNAAIVAKNGGIELVCLICSKIPTKSRQCLVSCFKAMASLLTDVQSTESFRASGGPKIVVGILSDGIRDLDILKSGFTVVAAAASGNEVVKQSLMDLRVDELILQVLSGQTQGSIQSLYDAIRVLLTSDDNRVVASEVYGYARRFAKIGIAKALVESLHGGISSPSLVSASIALKAVAVNDEICKSIADAGGIDVLLKCVDDSGEQRNKTVARTCCSLLSKLAGSDSNKSAIVEKGGMDKLIKLSARFSDDPSVLQEVMAIISVLCLRSPDNATRAVEAGAGDLAIQAMQKFPAAQPMQRSSCLMIRNLVVRNPENRMLLLNNGIDKFIRKAKENHESCKDAATDALRDLGLDNYNS >KJB12226 pep chromosome:Graimondii2_0_v6:2:449977:451668:-1 gene:B456_002G007100 transcript:KJB12226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKNVRTISQEAFDELVKENIDDLGMDPAEALEDAIQTLSLQGVDLSGIVKCVPGEGGIKDHPAMQCLDKLKQLNADSKDQFGGQDLVQITALLNDLSELCISNKEDSGNAAIVAKNGGIELVCLICSKIPTKSRQCLVSCFKAMASLLTDVQSTESFRASGGPKIVVGILSDGIRDLDILKSGFTVVAAAASGNEVVKQSLMDLRVDELILQVLSGQTQGSIQSLYDAIRVLLTSDDNRVVASEVYGYARRFAKIGIAKALVESLHGGISSPSLVSASIALKAVAVNDEICKSIADAGGIDVLLKCVDDSGEQRNKTVARTCCSLLSKV >KJB12224 pep chromosome:Graimondii2_0_v6:2:448835:451668:-1 gene:B456_002G007100 transcript:KJB12224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKNVRTISQEAFDELVKENIDDLGMDPAEALEDAIQTLSLQGVDLSGIVKCVPGEGGIKDHPAMQCLDKLKQLNADSKDQFGGQDLVQITALLNDLSELCISNKEDSGNAAIVAKNGGIELVCLICSKIPTKSRQCLVSCFKAMASLLTDVQSTESFRASGGPKIVVGILSDGIRDLDILKSGFTVVAAAASGNEVVKQSLMDLRVDELILQVLSGQTQGSIQSLYDAIRVLLTSDDNRVVASEVYGYARRFAKIGIAKALVESLHGGISSPSLVSASIALKAVAVNDEICKSIADAGGIDVLLKCVDDSGEQRNKTVARTCCSLLSKLAGSDSNKSAIVEKGGMDKLIKLSARFSDDPSVLQEVMAIISVLCLRSPDNATRAVEAGAGDLAIQAMQKFPAAQPMQRSSCLMIRNLVVRNPENRQNASAE >KJB13139 pep chromosome:Graimondii2_0_v6:2:6603171:6605250:-1 gene:B456_002G059000 transcript:KJB13139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTYRRFQTSWFKLFPEWLKYSPSKDVAFCHPCYLLNKLSGCAGSRSFIIDGFRSWKKVNDGENCVLLSHIGNDPNSLHKKAIMQSRDLINQAQHILHVIEKQTSQEVANNRLILKTSIDVVRWLTFQTCSLRGHNERTSSTNRGNFLELIKLLAFYNERVASVVLDNAPQNASYTSHSVQKEILHIFAKKIRCFICEEIGELKFCIIVDVARDESKREQMANVLRFVDKVGFGCDGASNMHGEWPGLQTLVSNECPYVYYKKNFAYHLQLTLIAAYPELQASQAAKIERLLSIDELKTSKGLNQIGTLKRARDTRWTYNAITSFEFVFILHLMIDIMEITHDLCQALQHKSQDIVNVMHLIKRRWIGFFLFEKVKSFCEKHGIDVLDINAPYTRSRSISSSKGSNYNGASLSYRLFYATIDSQLQELNEKFKEDMAELLMLCSALDPREDNKSFNIDNISTTEHAFSVMKIVKTKIRNKMEDDYLGSCLITYIEKEIA >KJB14363 pep chromosome:Graimondii2_0_v6:2:17415483:17417731:1 gene:B456_002G121400 transcript:KJB14363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEINVTKKALITGLIKPSRIAFTVSGKLKPEDAIQATLSSLPNEVVDTVGVIALPYEDSVSERRRKLEYLEMQEELIKEEEEKEEEELARMKESKARKEDVALKEMNVPTAREAQEHAIVRALEKRDQLCQISCVLAVLALASFVLNMHSVSREREEFLGLVNKEIELYNSMVDKKGTDGEKDAIKAYRVAREETDHSGEVSDSDEVSSVLIEKVDVMLQNLEKEIDDVDAKIGDRWRVPDRDHDGKVTPEEVATTAQYLKDTLGKEGVQDDDNLLIQPWL >KJB14689 pep chromosome:Graimondii2_0_v6:2:23280198:23283279:1 gene:B456_002G137800 transcript:KJB14689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSTFSAAKLDSLLMKYCSSSSASFSGAYFRYQQQMKVFPRPNHGNKGMVSRNGGVRCEAAEFNAALRPQKIDLSKASALSALQQLKTSAADRYTKERSSIVVIGLSVHTAPVEMREKLAIPEAEMPRAIGELCGLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKTSGIPVSEICEHRFLLYNKDATQHLFEVSAGLDSLVLGEGQILAQVRQVVKAGEGVLGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELAFMKLPESSHTTARMLVVGAGKMGKLVIKHLVAKGCTKMVVVNRSEEKVAAIREEMKGVEIIYRPFTDMLACSAEADVIFTSTASETPLFLKEHVKDLPPVSSEVGGLRLFIDISVPRNVGSCVTDVEGAQVYNVDDLKEVVAANKEDRLRKAMEAQAIITEELKQFEAWRDSLETVPTIKKLRAYADRIRLAELEKCLSKMGEDIPKKTRKAVDDLSRGIVNKLLHGPMQHLRCDGNDGRTLNEALENMNALNRMFGLESDLSLVEQKIRAKVEQSQK >KJB12880 pep chromosome:Graimondii2_0_v6:2:3497429:3501800:-1 gene:B456_002G042300 transcript:KJB12880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLKAKLPLLGHLMPAFALFVILFPAVCFARHLDCGSTFCGNLNISFPFRLKNQPLHCGPYGFELECENNNRTTLVGRGGKFSVQQIFYEDYTIRMVDASLDTDDCNSLPLSSVYPSYYTYSYSSSFSNNYYYYYNKYYNDMRLEGSTIYVMNCTKPIKSSLYIEASRCTIKSNTSSSIPTSYFYFLNENTHPSDINQACTIKAEVPIMVNSITGMSTLDIYKKLSEGFWVQWRCRYQSCTRNKVWFKDILWAVSYRISVYFWCISDVIDYYHDTDHTCFVVTVGVIILRVLPGILCLSGLVIYKWRRRHLSMDDKIEEFLQSHNLAPISYSFKEIKKMTKNFKNKLGEGGYGSVFKGKLRSGHHVAIKLLDKSKGNGQDFINEVASIGRIHHANVVKLIGFCVGRSKQALVYDFMPNGSLDKIIFTEENKNTLGWKKLFDIVLGVAQGIHYLHQGCDMQILHFDIKPHNILLDENFNPKVSDFGLAKLYSVEDSIVSLTAARGTIGYIAPELVYKNLGGISYKADVYSFGMLLMEIVGRRKNVNAFADHTSQIYFPSWIYDRLDQGEDMELGDVSDDEKVMVRKMIITAFWCIQLLPIDRPSMSKVLKMLEGDIELCEIPPKPFHQLPLETSMEVHGCESSVDKPSTSSSAVTIINSNV >KJB15436 pep chromosome:Graimondii2_0_v6:2:46376131:46379491:1 gene:B456_002G178600 transcript:KJB15436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSNSSNTEQPQTCLEITMEVHRVVPPPHKSTIHKLKTTLKETFFPDDPLRQFKGQPTGKKWILAAQYIFPILQWGPHYSLGLFKSDIVAGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLILGSMLRQEVSPVSDPVLFLQLAFTTTFFAGLFQASLGFLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKSLLGITHFTTKMGLVPVLSSVFHNTEEWSWQTILMGFCFLVFLLVARHVSMKRPKLFWVSAGAPLVSVILSTLLVFAFKAQHHGISIIGKLQEGLNPPSWNMLQFRGSHLGLSIKTGLVTGIISLTEGIAVGRTFAALKNYKVDGNKEMMAIGLMNMVGSSTSCYITTGAFSRSAVNHNAGAKSAVSNIVMSITVMVTLLFLMPLFQYTPNVVLGAIIVSAVVGLIDIPAACQIWKIDKFDFIVMLCAFFGVIFISVQDGLAMAVSSLLPPSHNMQSHMSNL >KJB15438 pep chromosome:Graimondii2_0_v6:2:46376115:46380631:1 gene:B456_002G178600 transcript:KJB15438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSNSSNTEQPQTCLEITMEVHRVVPPPHKSTIHKLKTTLKETFFPDDPLRQFKGQPTGKKWILAAQYIFPILQWGPHYSLGLFKSDIVAGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLILGSMLRQEVSPVSDPVLFLQLAFTTTFFAGLFQASLGFLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKSLLGITHFTTKMGLVPVLSSVFHNTEEWSWQTILMGFCFLVFLLVARHVSMKRPKLFWVSAGAPLVSVILSTLLVFAFKAQHHGISIIGKLQEGLNPPSWNMLQFRGSHLGLSIKTGLVTGIISLTEGIAVGRTFAALKNYKVDGNKEMMAIGLMNMVGSSTSCYITTGAFSRSAVNHNAGAKSAVSNIVMSITVMVTLLFLMPLFQYTPNVVLGAIIVSAVVGLIDIPAACQIWKIDKFDFIVMLCAFFGVIFISVQDGLAMAILLQITRPKTVMLGNIPGTDIFRDLHHYKESMKIPGFLILSIEAPINFANSTYLNERILRWIEEYEAEDHKKQSSLQFVVLVMSAVSAIDTSGVSIFKELKKTVEKKGAELVLVNPLGEVMEKLQKSDEAGDFMRPDCLFLTVGEAVATLTATIKSQVSNHVV >KJB15439 pep chromosome:Graimondii2_0_v6:2:46376115:46380631:1 gene:B456_002G178600 transcript:KJB15439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSNSSNTEQPQTCLEITMEVHRVVPPPHKSTIHKLKTTLKETFFPDDPLRQFKGQPTGKKWILAAQYIFPILQWGPHYSLGLFKSDIVAGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLILGSMLRQEVSPVSDPVLFLQLAFTTTFFAGLFQASLGFLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKSLLGITHFTTKMGLVPVLSSVFHNTEEWSWQTILMGFCFLVFLLVARHVSMKRPKLFWVSAGAPLVSVILSTLLVFAFKAQHHGISIIGKLQEGLNPPSWNMLQFRGSHLGLSIKTGLVTGIISLTEGIAVGRTFAALKNYKVDGNKEMMAIGLMNMVGSSTSCYITTGAFSRSAVNHNAGAKSAVSNIVMSITVMVTLLFLMPLFQYTPNVVLGAIIVSAVVGLIDIPAACQIWKIDKFDFIVMLCAFFGVIFISVQDGLAMAVGMSIFKILLQITRPKTVMLGNIPGTDIFRDLHHYKESMKIPGFLILSIEAPINFANSTYLNERWIEEYEAEDHKKQSSLQFVVLVMSAVSAIDTSGVSIFKELKKTVEKKGAELVLVNPLGEVMEKLQKSDEAGDFMRPDCLFLTVGEAVATLTATIKSQVSNHVV >KJB15435 pep chromosome:Graimondii2_0_v6:2:46375953:46380631:1 gene:B456_002G178600 transcript:KJB15435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSNSSNTEQPQTCLEITMEVHRVVPPPHKSTIHKLKTTLKETFFPDDPLRQFKGQPTGKKWILAAQYIFPILQWGPHYSLGLFKSDIVAGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLILGSMLRQEVSPVSDPVLFLQLAFTTTFFAGLFQASLGFLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKSLLGITHFTTKMGLVPVLSSVFHNTEEWSWQTILMGFCFLVFLLVARHVSMKRPKLFWVSAGAPLVSVILSTLLVFAFKAQHHGISIIGKLQEGLNPPSWNMLQFRGSHLGLSIKTGLVTGIISLTEGIAVGRTFAALKNYKVDGNKEMMAIGLMNMVGSSTSCYITTGAFSRSAVNHNAGAKSAVSNIVMSITVMVTLLFLMPLFQYTPNVVLGAIIVSAVVGLIDIPAACQIWKIDKFDFIVMLCAFFGVIFISVQDGLAMAVGMSIFKILLQITRPKTVMLGNIPGTDIFRDLHHYKESMKIPGFLILSIEAPINFANSTYLNERILRWIEEYEAEDHKKQSSLQFVVLVMSAVSAIDTSGVSIFKELKKTVEKKGAELVLVNPLGEVMEKLQKSDEAGDFMRPDCLFLTVGEAVATLTATIKSQVSNHVV >KJB15437 pep chromosome:Graimondii2_0_v6:2:46376131:46379739:1 gene:B456_002G178600 transcript:KJB15437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSNSSNTEQPQTCLEITMEVHRVVPPPHKSTIHKLKTTLKETFFPDDPLRQFKGQPTGKKWILAAQYIFPILQWGPHYSLGLFKSDIVAGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLILGSMLRQEVSPVSDPVLFLQLAFTTTFFAGLFQASLGFLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKSLLGITHFTTKMGLVPVLSSVFHNTEEWSWQTILMGFCFLVFLLVARHVSMKRPKLFWVSAGAPLVSVILSTLLVFAFKAQHHGISIIGKLQEGLNPPSWNMLQFRGSHLGLSIKTGLVTGIISLTEGIAVGRTFAALKNYKVDGNKEMMAIGLMNMVGSSTSCYITTGAFSRSAVNHNAGAKSAVSNIVMSITVMVTLLFLMPLFQYTPNVVLGAIIVSAVVGLIDIPAACQIWKIDKFDFIVMLCAFFGVIFISVQDGLAMAVGMSIFKILLQITRPKTVMLGNIPGTDIFRDLHHYKESMKIPGFLILSIEAPINFANSTYLNERLVITLHIL >KJB15440 pep chromosome:Graimondii2_0_v6:2:46376115:46380631:1 gene:B456_002G178600 transcript:KJB15440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSNSSNTEQPQTCLEITMEVHRVVPPPHKSTIHKLKTTLKETFFPDDPLRQFKGQPTGKKWILAAQYIFPILQWGPHYSLGLFKSDIVAGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLILGSMLRQEVSPVSDPVLFLQLAFTTTFFAGLFQASLGFLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKSLLGITHFTTKMGLVPVLSSVFHNTEEWSWQTILMGFCFLVFLLVARHVSMKRPKLFWVSAGAPLVSVILSTLLVFAFKAQHHGISIIGKLQEGLNPPSWNMLQFRGSHLGLSIKTGLVTGIISLTEGIAVGRTFAALKNYKVDGNKEMMAIGLMNMVGSSTSCYITTGAFSRSAVNHNAGAKSAVSNIVMSITVMVTLLFLMPLFQYTPNVVLGAIIVSAVVGLIDIPAACQIWKIDKFDFIVMLCAFFGVIFISVQDGLAMAVGMSIFKILLQITRPKTVMLGNIPGTDIFRDLHHYKESMKIPGFLILSIEAPINFANSTYLNERILRWIEEYEAEDHKKQSSLQFVVLVMSGKQSQPWKQSNDAGICG >KJB16206 pep chromosome:Graimondii2_0_v6:2:56788947:56792201:1 gene:B456_002G217300 transcript:KJB16206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFGTGRSVPWNIYPSSDPTPSQPVLDKETPLKNLGTSMNAISFGFVATAILISMFVIMAIFEHLFQPNPAFSSPSQDGAVGSGAAEKLGNPQSASTSYATDLSVVMPGEPHPTYIAQLAPLPCPREGIYWPPHEHNFVYP >KJB16205 pep chromosome:Graimondii2_0_v6:2:56788947:56792201:1 gene:B456_002G217300 transcript:KJB16205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFGTGRSVPWNIYPSSDPTPSQPVLDKETPLKNLGTSMNAISFGFVATAILISMFVIMAIFEHLFQPNPAFSSPSQDGAVGSGAAEKLGNPQSASTSYATDLSVVMPGEPHPTYIAQLAPLPCPREGIYWPPHEHNFVYP >KJB16203 pep chromosome:Graimondii2_0_v6:2:56790842:56791532:1 gene:B456_002G217300 transcript:KJB16203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFGTGRSVPWNIYPSSDPTPSQPVLDKETPLKNLGTSMNAISFGFVATAILISMFVIMAIFEHLFQPNPAFSSPSQDGAVGSGAAEKLGNPQSHSFKNKGTSNR >KJB16204 pep chromosome:Graimondii2_0_v6:2:56788947:56792201:1 gene:B456_002G217300 transcript:KJB16204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFGTGRSVPWNIYPSSDPTPSQPVLDKETPLKNLGTSMNAISFGFVATAILISMFVIMAIFEHLFQPNPAFSSPSQDGAVGSGAAEKLGNPQSHSFKNKGTSNR >KJB13155 pep chromosome:Graimondii2_0_v6:2:7285600:7288829:1 gene:B456_002G061700 transcript:KJB13155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYATGA >KJB13157 pep chromosome:Graimondii2_0_v6:2:7285884:7288829:1 gene:B456_002G061700 transcript:KJB13157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYATGA >KJB13154 pep chromosome:Graimondii2_0_v6:2:7285582:7288905:1 gene:B456_002G061700 transcript:KJB13154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYATGA >KJB13156 pep chromosome:Graimondii2_0_v6:2:7285841:7288829:1 gene:B456_002G061700 transcript:KJB13156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYATGA >KJB16186 pep chromosome:Graimondii2_0_v6:2:56672887:56680497:1 gene:B456_002G216700 transcript:KJB16186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKQKRACRKPPARKSAALAENVEAVKQGEKAVNQSEKAVNQNERIVNQSEEAFLSFINQNRKGDSVSADSNDLEVVDLDSDEAKSNSSLSSSSSDSDESFSAEESSTDDSSSVDRRSRKSTKRHGNVKQEASKLPNKGRKDDVNSSRVLHSFGKKHDECKMSEQDPRYNTKELKAALEVIKKIMKMDEAQPFSVPVDPVALGKSDYFNVIDTPMDFGTICSNLENSTKYMNTKDVFNDVQYIWENCCKCNQKGEYIVYLMKRVKKKFMKYWIAAGLSIGQSGKSNVGNLFEPSTTDYATRRRGHEPFYPVGSADGASQMQQGQLGFNQHHLYFPSSCYSQPHQLPQPPPSTTRPQFSQLSPVSYHQHHQSQHPPPSTNQPQFSQLQSHTGYSHFQPPGDIAPKHKKQASKKHKKNSSTGPAASILSGSPGQSQQPHLSYKLPYGLQQYQHSINRLQSSQLQGAVDGGHSHFLPQSDYTRSHGYVPYYPVDPMVVPGQSHPQQSRLSDGESSESQERQLKASHCQPQSSQLQHNVDIEHSHTSLTDSALRAIRCALRYSASPKTNKSNQENQGPLGCTKSQPEQSPQRPEQRQFTKKRKGRGPTRCLFLNDLADGERIFVRINKFGQPVGPNSSKLSSFLGTVARNGHRAPLNFIDWRALSDSYKDDMWEYVQTKFDIDPSGKSWVMQSIATKWRDWKADLKATYYDSLNTDEERLKVSDPRVVPDQWPSLISYWNSEDTKKHCARNRANRQKHTCGHSSGTKSYARICEEEQNKRPDGKEPTRAELYILTHTRKNGQPVDDTAAKLISKIREQEAKKQNTLQCSDESNDTLCQVMGEEQGKNVGPYGMGPNRTGIFGPRPGRVVLARMASKAKRSANEEVRKIAVKMEAMEEKYALMEKNIARMTSNMEKFLEKIGGSSNILGSEQRHHEEEDPEEDDA >KJB16191 pep chromosome:Graimondii2_0_v6:2:56673143:56680455:1 gene:B456_002G216700 transcript:KJB16191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGTICSNLENSTKYMNTKDVFNDVQYIWENCCKCNQKGEYIVYLMKRVKKKFMKYWIAAGLSIGQSGKSNVGNLFEPSTTDYATRRRGHEPFYPVGSADGASQMQQGQLGFNQHHLYFPSSCYSQPHQLPQPPPSTTRPQFSQLSPVSYHQHHQSQHPPPSTNQPQFSQLQSHTGYSHFQPPGDIAPKHKKQASKKHKKNSSTGPAASILSGSPGQSQQPHLSYKLPYGLQQYQHSINRLQSSQLQGAVDGDYTRSHGYVPYYPVDPMVVPGQSHPQQSRLSDGESSESQERQLKASHCQPQSSQLQHNVDIEHSHTSLTDSALRAIRCALRYSASPKTNKSNQENQGPLGCTKSQPEQSPQRPEQRQFTKKRKGRGPTRCLFLNDLADGERIFVRINKFGQPVGPNSSKLSSFLGTVARNGHRAPLNFIDWRALSDSYKDDMWEYVQTKFDIDPSGKSWVMQSIATKWRDWKADLKATYYDSLNTDEERLKVSDPRVVPDQWPSLISYWNSEDTKKHCARNRANRQKHTCGHSSGTKSYARICEEEQNKRPDGKEPTRAELYILTHTRKNGQPVDDTAAKLISKIREQEAKKQNTLQCSDESNDTLCQVMGEEQGKNVGPYGMGPNRTGIFGPRPGRVVLARMASKAKRSANEEVRKIAVKMEAMEEKYALMEKNIARMTSNMEKFLEKIGGSSNILGSEQRHHEEEDPEEDDA >KJB16190 pep chromosome:Graimondii2_0_v6:2:56672953:56680455:1 gene:B456_002G216700 transcript:KJB16190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGTICSNLENSTKYMNTKDVFNDVQYIWENCCKCNQKGEYIVYLMKRVKKKFMKYWIAAGLSIGQSGKSNVGNLFEPSTTDYATRRRGHEPFYPVGSADGASQMQQGQLGFNQHHLYFPSSCYSQPHQLPQPPPSTTRPQFSQLSPVSYHQHHQSQHPPPSTNQPQFSQLQSHTGYSHFQPPGDIAPKHKKQASKKHKKNSSTGPAASILSGSPGQSQQPHLSYKLPYGLQQYQHSINRLQSSQLQGAVDGGHSHFLPQSDYTRSHGYVPYYPVDPMVVPGQSHPQQSRLSDGESSESQERQLKASHCQPQSSQLQHNVDIEHSHTSLTDSALRAIRCALRYSASPKTNKSNQENQGPLGCTKSQPEQSPQRPEQRQFTKKRKGRGPTRCLFLNDLADGERIFVRINKFGQPVGPNSSKLSSFLGTVARNGHRAPLNFIDWRALSDSYKDDMWEYVQTKFDIDPSGKSWVMQSIATKWRDWKADLKATYYDSLNTDEERLKVSDPRVVPDQWPSLISYWNSEDTKKHCARNRANRQKHTCGHSSGTKSYARICEEEQNKRPDGKEPTRAELYILTHTRKNGQPVDDTAAKLISKIREQEAKKQNTLQCSDESNDTLCQVMGEEQGKNVGPYGMGPNRTGIFGPRPGRVVLARMASKAKRSANEEVRKIAVKMEAMEEKYALMEKNIARMTSNMEKFLEKIGGSSNILGSEQRHHEEEDPEEDDA >KJB16187 pep chromosome:Graimondii2_0_v6:2:56672953:56680455:1 gene:B456_002G216700 transcript:KJB16187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGTICSNLENSTKYMNTKDVFNDVQYIWENCCKCNQKGEYIVYLMKRVKKKFMKYWIAAGLSIGQSGKSNVGNLFEPSTTDYATRRRGHEPFYPVGSADGASQMQQGQLGFNQHHLYFPSSCYSQPHQLPQPPPSTTRPQFSQLSPVSYHQHHQSQHPPPSTNQPQFSQLQSHTGYSHFQPPGDIAPKHKKQASKKHKKNSSTGPAASILSGSPGQSQQPHLSYKLPYGLQQYQHSINRLQSSQLQGAVDGGHSHFLPQSDYTRSHGYVPYYPVDPMVVPGQSHPQQSRLSDGESSESQERQLKASHCQPQSSQLQHNVDIEHSHTSLTDSALRAIRCALRYSASPKTNKSNQENQGPLGCTKSQPEQSPQRPEQRQFTKKRKGRGPTRCLFLNDLADGERIFVRINKFGQPVGPNSSKLSSFLGTVARNGHRAPLNFIDWRALSDSYKDDMWEYVQTKFDIDPSGKSWVMQSIATKWRDWKADLKATYYDSLNTDEERLKVSDPRVVPDQWPSLISYWNSEDTKHCARNRANRQKHTCGHSSGTKSYARICEEEQNKRPDGKEPTRAELYILTHTRKNGQPVDDTAAKLISKIREQEAKKQNTLQCSDESNDTLCQVMGEEQGKNVGPYGMGPNRTGIFGPRPGRVVLARMASKAKRSANEEVRKIAVKMEAMEEKYALMEKNIARMTSNMEKFLEKIGGSSNILGSEQRHHEEEDPEEDDA >KJB16188 pep chromosome:Graimondii2_0_v6:2:56672953:56680455:1 gene:B456_002G216700 transcript:KJB16188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGTICSNLENSTKYMNTKDVFNDVQYIWENCCKCNQKGEYIVYLMKRVKKKFMKYWIAAGLSIGQSGKSNVGNLFEPSTTDYATRRRGHEPFYPVGSADGASQMQQGQLGFNQHHLYFPSSCYSQPHQLPQPPPSTTRPQFSQLSPVSYHQHHQSQHPPPSTNQPQFSQLQSHTGYSHFQPPGDIAPKHKKQASKKHKKNSSTGPAASILSGSPGQSQQPHLSYKLPYGLQQYQHSINRLQSSQLQGAVDGGHSHFLPQSDYTRSHGYVPYYPVDPMVVPGQSHPQQSRLSDGESSESQERQLKASHCQPQSSQLQHNVDIEHSHTSLTDSALRAIRCALRYSASPKTNKSNQENQGPLGCTKSQPEQSPQRPEQRQFTKKRKGRGPTRCLFLNDLADGERIFVRINKFGQPVGPNSSKLSSFLGTVARNGHRAPLNFIDWRALSDSYKDDMWEYVQTKFDIDPSGKSWVMQSIATKWRDWKADLKATYYDSLNTDEERLKVSDPRVVPDQWPSLISYWNSEDTKKHCARNRANRQKHTCGHSSGTKSYARICEEEQNKRPDGKEPTRAELYILTHTRKNGQPVDDTAAKLISKIREQEAKKQNTLQCSDESNDTLCQVMGEEQGKNVGPYGMGPNRTGIFGPRPGRVVLARMASKAKRSANEEVRKIAVKMEAMEEKYALMEKNIARMTSNMEKFLEKIGGSSNILGSEQRHHEEEDPEEDDA >KJB16185 pep chromosome:Graimondii2_0_v6:2:56674062:56680455:1 gene:B456_002G216700 transcript:KJB16185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGTICSNLENSTKYMNTKDVFNDVQYIWENCCKCNQKGEYIVYLMKRVKKKFMKYWIAAGLSIGQSGKSNVGNLFEPSTTDYATRRRGHEPFYPVGSADGASQMQQGQLGFNQHHLYFPSSCYSQPHQLPQPPPSTTRPQFSQLSPVSYHQHHQSQHPPPSTNQPQFSQLQSHTGYSHFQPPGDIAPKHKKQASKKHKKNSSTGPAASILSGSPGQSQQPHLSYKLPYGLQQYQHSINRLQSSQLQGAVDGGHSHFLPQSDYTRSHGYVPYYPVDPMVVPGQSHPQQSRLSDGESSESQERQLKASHCQPQSSQLQHNVDIEHSHTSLTDSALRAIRCALRYSASPKTNKSNQENQGPLGCTKSQPEQSPQRPEQRQFTKKRKGRGPTRCLFLNDLADGERIFVRINKFGQPVGPNSSKLSSFLGTVARNGHRAPLNFIDWRALSDSYKDDMWEYVQTKFDIDPSGKSWVMQSIATKWRDWKADLKATYYDSLNTDEERLKVSDPRVVPDQWPSLISYWNSEDTKKHCARNRANRQKHTCGHSSGTKSYARICEEEQNKRPDGKEPTRAELYILTHTRKNGQPVDDTAAKLISKIREQEAKKQNTLQCSDESNDTLCQVMGEEQGKNVGPYGMGPNRTGIFGPRPGRVVLARMASKAKRSANEEVRKIAVKMEAMEEKYALMEKNIARMTSNMEKFLEKIGGSSNILGSEQRHHEEEDPEEDDA >KJB16189 pep chromosome:Graimondii2_0_v6:2:56672953:56680455:1 gene:B456_002G216700 transcript:KJB16189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGTICSNLENSTKYMNTKDVFNDVQYIWENCCKCNQKGEYIVYLMKRVKKKFMKYWIAAGLSIGQSGKSNVGNLFEPSTTDYATRRRGHEPFYPVGSADGASQMQQGQLGFNQHHLYFPSSCYSQPHQLPQPPPSTTRPQFSQLSPVSYHQHHQSQHPPPSTNQPQFSQLQSHTGYSHFQPPGDIAPKHKKQASKKHKKNSSTGPAASILSGSPGQSQQPHLSYKLPYGLQQYQHSINRLQSSQLQGAVDGDYTRSHGYVPYYPVDPMVVPGQSHPQQSRLSDGESSESQERQLKASHCQPQSSQLQHNVDIEHSHTSLTDSALRAIRCALRYSASPKTNKSNQENQGPLGCTKSQPEQSPQRPEQRQFTKKRKGRGPTRCLFLNDLADGERIFVRINKFGQPVGPNSSKLSSFLGTVARNGHRAPLNFIDWRALSDSYKDDMWEYVQTKFDIDPSGKSWVMQSIATKWRDWKADLKATYYDSLNTDEERLKVSDPRVVPDQWPSLISYWNSEDTKKHCARNRANRQKHTCGHSSGTKSYARICEEEQNKRPDGKEPTRAELYILTHTRKNGQPVDDTAAKLISKIREQEAKKQNTLQCSDESNDTLCQVMGEEQGKNVGPYGMGPNRTGIFGPRPGRVVLARMASKAKRSANEEVRKIAVKMEAMEEKYALMEKNIARMTSNMEKFLEKIGGSSNILGSEQRHHEEEDPEEDDA >KJB16192 pep chromosome:Graimondii2_0_v6:2:56672914:56680487:1 gene:B456_002G216700 transcript:KJB16192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGTICSNLENSTKYMNTKDVFNDVQYIWENCCKCNQKGEYIVYLMKRVKKKFMKYWIAAGLSIGQSGKSNVGNLFEPSTTDYATRRRGHEPFYPVGSADGASQMQQGQLGFNQHHLYFPSSCYSQPHQLPQPPPSTTRPQFSQLSPVSYHQHHQSQHPPPSTNQPQFSQLQSHTGYSHFQPPGDIAPKHKKQASKKHKKNSSTGPAASILSGSPGQSQQPHLSYKLPYGLQQYQHSINRLQSSQLQGAVDGGHSHFLPQSDYTRSHGYVPYYPVDPMVVPGQSHPQQSRLSDGESSESQERQLKASHCQPQSSQLQHNVDIEHSHTSLTDSALRAIRCALRYSASPKTNKSNQENQGPLGCTKSQPEQSPQRPEQRQFTKKRKGRGPTRCLFLNDLADGERIFVRINKFGQPVGPNSSKLSSFLGTVARNGHRAPLNFIDWRALSDSYKDDMWEYVQTKFDIDPSGKSWVMQSIATKWRDWKADLKATYYDSLNTDEERLKVSDPRVVPDQWPSLISYWNSEDTKKHCARNRANRQKHTCGHSSGTKSYARICEEEQNKRPDGKEPTRAELYILTHTRKNGQPVDDTAAKLISKIREQEAKKQNTLQCSDESNDTLCQVMGEEQGKNVGPYGMGPNRTGIFGPRPGRVVLARMASKAKRSANEEVRKIAVKMEAMEEKYALMEKNIARMTSNMEKFLEKIGGSSNILGSEQRHHEEEDPEEDDA >KJB14579 pep chromosome:Graimondii2_0_v6:2:20733726:20735816:-1 gene:B456_002G132200 transcript:KJB14579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g04860 [Source:Projected from Arabidopsis thaliana (AT2G04860) UniProtKB/Swiss-Prot;Acc:Q9SJ73] MSLGNSFGLCFFHSLLKSQLQTKTLKSSLLIFRQLLQSNLKPSDLTFSLLIKASASSSFASNSLKSKIEANQIHTHLFKSSIIQLVYVKTGLLNLYVNLGCIENARFLFEEMPDRDVVAWNALISGYSKNCYDIFAFNLFIEMVREGFRPEAATLVGLVPSCGRLELAFQGKSIHGFGVKAGLDKDSKVKNALTSMYAKCGDLEGAEILFEEMVEKTVVSWNTMIGAYGQNGLFDEAMVLFNKMRAQALEANSVTLMSLLSANADPETLHCFAIKVGFVNNASVIASLVCVYAKCGDSESAGLLHKSLSQENLVSLTAIVSSYAEKGNMDKVVPCFTRSQMLDMELDEVAMVSILHGVKNPANIAIGLSFHGYGIKTGLFIHCLVANGLISMYSRFNDIDAVFSLFSEMQEKPLISWNSIISSCVQAGRTGDAMELFCQMRMYGHVPDAITIATMLSGCSQLGYLQFGKKLHGFILRNNLEMEDFIGTALIDMYVKCGTIELAERIFKSIKEPCLATWNTMITGYGIGGFENKAFNHYTELQKRGLKPDKITFLGVLAACIHGGNVDKGRRYFQMMVEEFAISPGLQHCASMVALLSRCGYFGEALLFIRNMESEPDSAVWGALLNGCCIHQEVKLGEYLAKKLYLLDHRNGGLYVLMSNLYASKGMWDDVARVRQIMKDTGGDGCSGTSLIELTS >KJB13429 pep chromosome:Graimondii2_0_v6:2:8695461:8696207:1 gene:B456_002G073900 transcript:KJB13429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKALMTNFSFFTYSTNMQLTIFEKQTTQGLDIPASPAAEENRGRRKSYMASSSSGRRPRCTCSSRPGSAPCSRHGYMVPKQNLKRYSANKEILRRALTPSPNRKMTLRWWNFKPTPSRLSNMSMA >KJB14805 pep chromosome:Graimondii2_0_v6:2:26115365:26116434:-1 gene:B456_002G144000 transcript:KJB14805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-symbiotic hemoglobin 2 [Source:Projected from Arabidopsis thaliana (AT3G10520) UniProtKB/Swiss-Prot;Acc:O24521] MGFTEKQEGLVKESWGVLKQDIPHFSLRFFSLILEIAPGAKNMFSFLRESEEIPQNNPKLKAHAVKVFKMTCESAIQLREKGEVVVADTTLKYLGTVHVKSGVKDPHFEVVKEALLRTIEEAIGEEKWNEEMKNAWGEAYDQLAEAIKAEMKNHHDETA >KJB14804 pep chromosome:Graimondii2_0_v6:2:26115669:26116214:-1 gene:B456_002G144000 transcript:KJB14804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-symbiotic hemoglobin 2 [Source:Projected from Arabidopsis thaliana (AT3G10520) UniProtKB/Swiss-Prot;Acc:O24521] MGFTEKQEGLVKESWGVLKQDIPHFSLRFFSLILEIAPGAKNMFSFLRESEEIPQNNPKLKAHAVKVFKMTCESAIQLREKGEVVVADTTLKYLGTVHVKSGVKDPHFEVRFYFIFLIIN >KJB14803 pep chromosome:Graimondii2_0_v6:2:26115365:26116040:-1 gene:B456_002G144000 transcript:KJB14803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-symbiotic hemoglobin 2 [Source:Projected from Arabidopsis thaliana (AT3G10520) UniProtKB/Swiss-Prot;Acc:O24521] MFSFLRESEEIPQNNPKLKAHAVKVFKMTCESAIQLREKGEVVVADTTLKYLGTVHVKSGVKDPHFEVVKEALLRTIEEAIGEEKWNEEMKNAWGEAYDQLAEAIKAEMKNHHDETA >KJB14802 pep chromosome:Graimondii2_0_v6:2:26115285:26116434:-1 gene:B456_002G144000 transcript:KJB14802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-symbiotic hemoglobin 2 [Source:Projected from Arabidopsis thaliana (AT3G10520) UniProtKB/Swiss-Prot;Acc:O24521] MGFTEKQEGLVKESWGVLKQDIPHFSLRFFSLILEIAPGAKNMFSFLRESEEIPQNNPKLKAHAVKVFKMTCESAIQLREKGEVVVADTTLKYLGTVHVKSGVKDPHFEVVKEALLRTIEEAIGEEKWNEEMKNAWGEAYDQLAEAIKAEMKNHHDETA >KJB15809 pep chromosome:Graimondii2_0_v6:2:53387065:53391063:1 gene:B456_002G197200 transcript:KJB15809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPRRLLMIFFLSTLLIAYSNANVEEIQKDTEEGDEEGKVGGQKAQGVTYDARSLIINGKRELLFSGAIHYPRSTPDMWPDLIKKAKQGGINTIETYVFWNGHEPVEGQYNFEGEFDLVKFIKLIHEHKLYAVVRVGPFIQAEWNHGGLPYWLREVPGIIFRSDNEPFKKHMKRFVTMIVDKLKQEKLFAPQGGPIILAQIENEYNTIQRAFREKGDSYVQWAGKLALSLNANVPWIMCKQRDAPDPVINTCNGRHCGDTFYGPNKRNKPALWTENWTAQYRVFGDPPSQRSAEDLAYSVARFFSKNGSMVNYYMYYGGTNFGRTSASFTTTRYYDEAPLDEFGLQREPKWGHLKDVHRALSLCKRALFWGVPTTLKLGPDQQAIVWQQPGTSACAAFLANNNTRLAQHVNFRGQDICLPARSISVLPDCKTVVFNTQLVTTQHNSRNFVRSEIANKNFNWEMYREVPPVGLGFKFDVPRELFHLTKDTTDYAWYTTSLKLGRRDLPMKKNVSPVLRVASLGHGIHAYVNGEYAGSAHGSKVEKSFVFQRAVSLKEGENHIALLGYLVGLPDSGAYMEKRFAGPRSITILGLNTGTLDISQNGWGHQVGIDGEKKKLFTEEGSKSVQWTKPDQGGPLTWYKGYFDAPEGDNPVAIVMTGMGKGMVWINGRSIGRYWNNYLSPLKKPTQSEYHIPRAYLKPKNLIVLLEEEEGNPKDVHIVTVNRDTICSAVSEIHPPSPRLFETKNGTLQPKVNDLKPRAELICPGKKQIVAVEFASYGDPFGACGAYFIGNSQLPNPPR >KJB15807 pep chromosome:Graimondii2_0_v6:2:53387065:53391063:1 gene:B456_002G197200 transcript:KJB15807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISCMQSLGLAPLSRLNGITGLPYWLREVPGIIFRSDNEPFKKHMKRFVTMIVDKLKQEKLFAPQGGPIILAQIENEYNTIQRAFREKGDSYVQWAGKLALSLNANVPWIMCKQRDAPDPVINTCNGRHCGDTFYGPNKRNKPALWTENWTAQYRVFGDPPSQRSAEDLAYSVARFFSKNGSMVNYYMYYGGTNFGRTSASFTTTRYYDEAPLDEFGLQREPKWGHLKDVHRALSLCKRALFWGVPTTLKLGPDQQAIVWQQPGTSACAAFLANNNTRLAQHVNFRGQDICLPARSISVLPDCKTVVFNTQLVTTQHNSRNFVRSEIANKNFNWEMYREVPPVGLGFKFDVPRELFHLTKDTTDYAWYTTSLKLGRRDLPMKKNVSPVLRVASLGHGIHAYVNGEYAGSAHGSKVEKSFVFQRAVSLKEGENHIALLGYLVGLPDSGAYMEKRFAGPRSITILGLNTGTLDISQNGWGHQVGIDGEKKKLFTEEGSKSVQWTKPDQGGPLTWYKGYFDAPEGDNPVAIVMTGMGKGMVWINGRSIGRYWNNYLSPLKKPTQSEYHIPRAYLKPKNLIVLLEEEEGNPKDVHIVTVNRDTICSAVSEIHPPSPRLFETKNGTLQPKVNDLKPRAELICPGKKQIVAVEFASYGDPFGACGAYFIGNCTAPESKQVVEKYCLGKPSCQIPLDSIHFSDQNGACTHLRKTLAVQVKCA >KJB15808 pep chromosome:Graimondii2_0_v6:2:53387065:53391063:1 gene:B456_002G197200 transcript:KJB15808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPRRLLMIFFLSTLLIAYSNANVEEIQKDTEEGDEEGKVGGQKAQGVTYDARSLIINGKRELLFSGAIHYPRSTPDMWPDLIKKAKQGGINTIETYVFWNGHEPVEGQYNFEGEFDLVKFIKLIHEHKLYAVVRVGPFIQAEWNHGGLPYWLREVPGIIFRSDNEPFKKHMKRFVTMIVDKLKQEKLFAPQGGPIILAQIENEYNTIQRAFREKGDSYVQWAGKLALSLNANVPWIMCKQRDAPDPVINTCNGRHCGDTFYGPNKRNKPALWTENWTAQYRVFGDPPSQRSAEDLAYSVARFFSKNGSMVNYYMYYGGTNFGRTSASFTTTRYYDEAPLDEFGLQREPKWGHLKDVHRALSLCKRALFWGVPTTLKLGPDQQAIVWQQPGTSACAAFLANNNTRLAQHVNFRGQDICLPARSISVLPDCKTVVFNTQLVTTQHNSRNFVRSEIANKNFNWEMYREVPPVGLGFKFDVPRELFHLTKDTTDYAWYTTSLKLGRRDLPMKKNVSPVLRVASLGHGIHAYVNGEYAGSAHGSKVEKSFVFQRAVSLKEGENHIALLGYLVGLPDSGAYMEKRFAGPRSITILGLNTGTLDISQNGWGHQVGIDGEKKKLFTEEGSKSVQWTKPDQGGPLTWYKGYFDAPEGDNPVAIVMTGMGKGMVWINGRSIGRYWNNYLSPLKKPTQSEYHIPRAYLKPKNLIVLLEEEEGNPKDVHIVTVNRDTICSAVSEIHPPSPRLFETKNGTLQPKVNDLKPRAELICPGKKQIVAVEFASYGDPFGACGAYFIGNCTAPESKQVVEKYCLGKPSCQIPLDSIHFSDQNGACTHLRKTLAVQVKCA >KJB11984 pep chromosome:Graimondii2_0_v6:2:4803216:4807210:1 gene:B456_002G054200 transcript:KJB11984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHNSAPEQLCYIPCNLCNIILAVNVPCNSLFETVTVRCGQCSNLCSVNLAASFQSRAGKDVQVPNYTSSEYRIDLGSSSRCKNKLPKRATTINTTTQERVVNRPPDKRHRAPSLYNQFIKGDSEDQAEQS >KJB11983 pep chromosome:Graimondii2_0_v6:2:4802863:4807218:1 gene:B456_002G054200 transcript:KJB11983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHNSAPEQLCYIPCNLCNIILAVNVPCNSLFETVTVRCGQCSNLCSVNLAASFQSRAGKDVQVPNYTSSEYRIDLGSSSRCKNKLPKRATTINTTTQERVVNRPPDKRHRAPSLYNQFIKEEIQRIKLNNPDITHREAFSTAAKNWARFPHIHFGLMLETDNQPKLNDDSTEPFHQLLK >KJB11981 pep chromosome:Graimondii2_0_v6:2:4802568:4807218:1 gene:B456_002G054200 transcript:KJB11981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHNSAPEQLCYIPCNLCNIILAVNVPCNSLFETVTVRCGQCSNLCSVNLAASFQSRAGKDVQVPNYTSSEYRIDLGSSSRCKNKLPKRATTINTTTQERVVNRPPDKRHRAPSLYNQFIKEEIQRIKLNNPDITHREAFSTAAKNWARFPHIHFGLMLETDNQPKLNDDSTEPFHQLLK >KJB11982 pep chromosome:Graimondii2_0_v6:2:4803003:4807210:1 gene:B456_002G054200 transcript:KJB11982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHNSAPEQLCYIPCNLCNIILAVNVPCNSLFETVTVRCGQCSNLCSVNLAASFQSRAGKDVQVPNYTSSEYRIDLGSSSRCKNKLPKRATTINTTTQERVVNRPPDKRHRAPSLYNQFIKEEIQRIKLNNPDITHREAFSTAAKNWARFPHIHFGLMLETDNQPKLNDDSTEPFHQLLK >KJB11985 pep chromosome:Graimondii2_0_v6:2:4803216:4807210:1 gene:B456_002G054200 transcript:KJB11985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHNSAPEQLCYIPCNLCNIILAVNVPCNSLFETVTVRCGQCSNLCSVNLAASFQSRAGKDVQVPNYTSSEYRIDLGSSSRCKNKLPKRATTINTTTQERVVNRQRRFRGSS >KJB14540 pep chromosome:Graimondii2_0_v6:2:20259614:20263595:1 gene:B456_002G130000 transcript:KJB14540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADTVPLLNERDGNDINKGEKLVSWVKEFGSESKRLWHIAGPAIFTALCQYSLGAFTLTFAGRLSELDLAAVSVENSVIAGLSFGVMKFLQAQRKVMVMAWISAVVLVLHVFFSWLLILKLGWGLIGAAITLNTSWWLIVVGQFLYILKTKSDGAWSGFSWLAFVDLFGFVKLSLASAIMLCLEFWYLMMLIVITGHLSNPLVPVDAISICMNINGWDAMIAIGFNAAISVRVSNELGRGNAWLAKLSVIVVSITSVSIGIVCMIVVFATRGYFPYLFTTSDAVAEETTKLAILLGITVLLNSLQPVLSGVAVGGGWQSLVAYINIGCYYIVGLPAGCLLGFTFGFGVMGIWSGMIGGIVLQTLSLIVVISLTNWNKETVEAERRIKKWGGPSDGE >KJB14539 pep chromosome:Graimondii2_0_v6:2:20259150:20263595:1 gene:B456_002G130000 transcript:KJB14539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADTVPLLNERDGNDINKGEKLVSWVKEFGSESKRLWHIAGPAIFTALCQYSLGAFTLTFAGRLSELDLAAVSVENSVIAGLSFGVMLGMGSALETLCGQAYGAGRLRMLGVYMQRSWVILFVTACLLVPIYIWSPPILVLFGETTEISTAAGKFALWMLPQLFAYALNFPLQKFLQAQRKVMVMAWISAVVLVLHVFFSWLLILKLGWGLIGAAITLNTSWWLIVVGQFLYILKTKSDGAWSGFSWLAFVDLFGFVKLSLASAIMLCLEFWYLMMLIVITGHLSNPLVPVDAISICMNINGWDAMIAIGFNAAISVRVSNELGRGNAWLAKLSVIVVSITSVSIGIVCMIVVFATRGYFPYLFTTSDAVAEETTKLAILLGITVLLNSLQPVLSGVAVGGGWQSLVAYINIGCYYIVGLPAGCLLGFTFGFGVMGIWSGMIGGIVLQTLSLIVVISLTNWNKETVEAERRIKKWGGPSDGE >KJB12612 pep chromosome:Graimondii2_0_v6:2:2023203:2026507:1 gene:B456_002G026800 transcript:KJB12612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPSPSFWQRCTSLPVTPASNLSPSVATPATERMAGERSKLNKGAKLAVVSRSLSVPGRNVVIVRSASFDTRKEHAPTENSDDINPVTAESDDEEIPEEEAVCRICFDECDERNTLKMECSCKGALQLVHEVCAIKWFSVKGNKNCDVCGQEVRNLPVTLLRVPTTARRSNRQNIDPQRLPSETVSVWQDFVVLVLISTICYFFFLEQLLIREMKTQAVVIAAPFAFTLGLLGSIFALILAIKEYIWTYAAFEFALVAVIVHVFYTVVHMKAVFSILLSGMISFGTAMALNSLYIRCFGWRVQVAGNSNPV >KJB12611 pep chromosome:Graimondii2_0_v6:2:2021541:2026526:1 gene:B456_002G026800 transcript:KJB12611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATEAVSNGVSNGSSQANSPVPEPLDNKPPRPETSGRHSDLSLQIPPRPIGFGSHSGKGLLQSQGSGKSGSSPVGFLRGLSFKKKGIIADGERSFLLTPDNKTGPESPSMASFPSPSFWQRCTSLPVTPASNLSPSVATPATERMAGERSKLNKGAKLAVVSRSLSVPGRNVVIVRSASFDTRKEHAPTENSDDINPVTAESDDEEIPEEEAVCRICFDECDERNTLKMECSCKGALQLVHEVCAIKWFSVKGNKNCDVCGQEVRNLPVTLLRVPTTARRSNRQNIDPQRLPSETVSVWQDFVVLVLISTICYFFFLEQLLIREMKTQAVVIAAPFAFTLGLLGSIFALILAIKEYIWTYAAFEFALVAVIVHVFYTVVHMKAVFSILLSGMISFGTAMALNSLYIRCFGWRVQVAGNSNPV >KJB14249 pep chromosome:Graimondii2_0_v6:2:16463501:16466966:-1 gene:B456_002G115900 transcript:KJB14249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDLGVPQDYRHMEGSGVNTYTLINKAGKAHYVKFHWKPTCGVKCLLEDEAIKVGGANHSHATQDLYDSIAAGNYPEWKLFIQTIDPDHEDKFDFDPLDVTKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFCPAIVVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLQLPANAPKCAHHNNHHEGFMNFMHRDEEINYFPSRYDPVRHAEMFPIPPAVCTGRREKCIIEKENNFKQPGERYRSWAADRQERFICRWVDALSDPRVTHEIRSIWISYWSQADKSVGQKLASRLNVRPSI >KJB14250 pep chromosome:Graimondii2_0_v6:2:16463601:16467331:-1 gene:B456_002G115900 transcript:KJB14250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKHRPSSAFNSPFWTTNSGAPVWNNNSSLTVGPRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDLGVPQDYRHMEGSGVNTYTLINKAGKAHYVKFHWKPTCGVKCLLEDEAIKVGGANHSHATQDLYDSIAAGNYPEWKLFIQTIDPDHEDKFDFDPLDVTKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFCPAIVVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLQLPANAPKCAHHNNHHEGFMNFMHRDEEINYFPSRYDPVRHAEMFPIPPAVCTGRREKCIIEKENNFKQPGERYRSWAADRQERFICRWVDALSDPRVTHEIRSIWISYWSQADKSVGQKLASRLNVRPSI >KJB12426 pep chromosome:Graimondii2_0_v6:2:1154887:1160909:-1 gene:B456_002G017400 transcript:KJB12426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFDSSPFDEDEVNPFSNQGTASSRLSPLPPEPYDRGATIDIPLDSVKSLKEKEKELQAREAELRRREQELKRKEDAIARSGIIIEDKNWPPFYPIIHHNIAKEIPIHLQNVQYVAFSTLLGLVACLTWNIIAVTAAWIKCEGPAIWLLAIIYLLSGVPGAYYLWYRPLYRAMRTDSALKFGWFFIFYILHIFFCIFATIAPPFLFKGKSIAGILPAIDLFGIDAAVGIFYFIGCAFFFVESLLSLWVIQQVYMYFRGSGKAAEIKREAVTKSMMAAL >KJB15114 pep chromosome:Graimondii2_0_v6:2:37054355:37058075:-1 gene:B456_002G161500 transcript:KJB15114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKIIKGGQRKHSKLDSTDASLYGSGPNSNAVAALSRNSDVPMAPPLMATMEPLPLFRDVPVSDRQNLFMRKLQICCFQFDFCDTLKSAREKEIKRQTLLELVNLIQSGSSKIMERCQEEMIKMIGVNIFRCLPPASHENTGQVAMDPEEDEGYLEPSWPHLHIVYELLLRYVVSSDTGTKVAKRFIDHSFVLKLLDLFDSEDHREREFLKTILHRIYGKFMVHRPFIRKAINNIFFRFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKPVGVYHQQLSYCIIQFVEKDYKLADTVIKGLLKYWSLTNCQKELLFLGELEEVLEATQSAEFQRCVVPLFRQIARCLNSPHFQVAERALFLWNNEHILSLIAQNQLAILPIIFNALEKNIQSHWN >KJB15115 pep chromosome:Graimondii2_0_v6:2:37055016:37057775:-1 gene:B456_002G161500 transcript:KJB15115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKIIKGGQRKHSKLDSTDASLYGSGPNSNAVAALSRNSDVPMAPPLMATMEPLPLFRDVPVSDRQNLFMRKLQICCFQFDFCDTLKSAREKEIKRQTLLELVNLIQSGSSKIMERCQEEMIKMIGVNIFRCLPPASHENTGQVAMDPEEDEGYLEPSWPHLHIVYELLLRYVVSSDTGTKVAKRFIDHSFVLKLLDLFDSEDHREREFLKTILHRIYGKFMVHRPFIRKAINNIFFRFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKPVGVYHQQLSYCIIQFVEKDYKLADTVIKGLLKYWSLTNCQKELLFLGELEEVLEATQSAEFQRCVVPLFRQIARCLNSPHFQVAERALFLWNNEHILSLIAQNQLAILPIIFNALEKNIQSHWN >KJB15818 pep chromosome:Graimondii2_0_v6:2:53528798:53531460:1 gene:B456_002G197800 transcript:KJB15818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGELDYSNQEVFSVNNMGDIPSSCSMDSFFDELLNDSHACTHTHTCNPPGPDNSHTHTCFHFHTKIVPASSEDKAAIDGTAVSGENKSKKRPLGNREAVRKYREKVKARAASLEDEVVRLRALNQQLVKRLQGQAALEAEVARLKCLLVDIRGRIEGEIGPFPYQKPATNVNMMNVPGAYVMNPCNVQCNDQMYCLHPGLEDKTGEAAALNGQGFNGCDFDNIQCLANQNSTGGIGIAGLNGNYSGTKRRKATAG >KJB15817 pep chromosome:Graimondii2_0_v6:2:53529020:53531791:1 gene:B456_002G197800 transcript:KJB15817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGELDYSNQEVFSVNNMGDIPSSCSMDSFFDELLNDSHACTHTHTCNPPGPDNSHTHTCFHFHTKIVPASSEDKAAIDGTAVSGENKSKKRPLGNREAVRKYREKVKARAASLEDEVVRLRALNQQLVKRLQGQAALEAEVARLKCLLVDIRGRIEGEIGPFPYQKPATNVNMMNVPGAYVMNPCNVQCNDQMYCLHPGLEDKTGEAAALNGQGFNGCDFDNIQCLANQNSTGGIGIAGLNGNYSGTKRRKATAG >KJB15819 pep chromosome:Graimondii2_0_v6:2:53528731:53532106:1 gene:B456_002G197800 transcript:KJB15819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGELDYSNQEVFSVNNMGDIPSSCSMDSFFDELLNDSHACTHTHTCNPPGPDNSHTHTCFHFHTKIVPASSEDKAAIDGTAVSGENKSKKRPLGNREAVRKYREKVKARAASLEDEVVRLRALNQQLVKRLQGQAALEAEVARLKCLLVDIRGRIEGEIGPFPYQKPATNVNMMNVPGAYVMNPCNVQCNDQMYCLHPGLEDKTGEAAALNGQGFNGCDFDNIQCLANQNSTGGIGIAGLNGNYSGTKRRKATAG >KJB12214 pep chromosome:Graimondii2_0_v6:2:406236:409042:-1 gene:B456_002G006300 transcript:KJB12214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GYDPLDPHGNITIKWDLLQSNPDTNDVRVSIFNFQLYRHIERPGWQLGWEWQGDEAIMSMLGAEATEQGNCSRFKGGENLPHCCEKQPMIIDLLPEASFNMKTSNCCKGGVLSSMVQDPSNYAAIFVMQIGAVNSSDGFKMPGNFSFGVSGYTCGQPVRVPPSRYSSDSGRRWTQALGTWNITCMYSQFVASSSPQCCVSLSAFYNTTIVPCPKCSCSCHASKCVKFGETPPVLQETRDWNQQLPALIRCSSHMCPIRIHWHIKQSYKQYWRVKVTLNNRNILKNYSQWNLEAVHPNLKNLTQVFSFNYEPLNPYGHINDSGMFWGIRYYNDMLLQEGENGYVQTEMLMRKGEDFLSFRQGWGFPTRVLFNGDECVMPLPDQYPTLPNNGGNGPIFSLSLIVLSLLVLTIVCF >KJB16704 pep chromosome:Graimondii2_0_v6:2:61044706:61047298:-1 gene:B456_002G246500 transcript:KJB16704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALANVFHAFCTRPTPILPTVAAPFPYPLPTSFGMPTKCLPLVLQAKRKTNVMFSQCFAAKNELSEVDNGGDDVKVEEMEESSETLLYSFTPLPLLVLAALPGAGTVRSLFGPFVELVKSWNLPDWLVHWGHPGNMAVVLFAMGGYGTYLGFRIRYSDDVEEKAKAKDLHPKLLGGMFFFFALGATGGVTSLLTSDKPIFESPHAVTGFIGLTLLTIQTILPTLFEGKPGLRNVHGILGSGIMALFLIHAALGLQLGLSY >KJB16705 pep chromosome:Graimondii2_0_v6:2:61044805:61047262:-1 gene:B456_002G246500 transcript:KJB16705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALANVFHAFCTRPTPILPTVAAPFPYPLPTSFGMPTKCLPLVLQAKRKTNVMFSQCFAAKNELSEVDNGGDDVKVEEMEESSETLLYSFTPLPLLVLAALPGAGTVRSLFGPFVELVKSWNLPDWLVHWGHPGNMAVVLFAMGGYGTYLGFRIRYSDDVEEKAKAKDLHPKLLGGMFFFFALGATGGVTSLLTSDKPIFESPHAVTGFIGLTLLTIQTILPTLFEVN >KJB16706 pep chromosome:Graimondii2_0_v6:2:61044805:61047262:-1 gene:B456_002G246500 transcript:KJB16706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALANVFHAFCTRPTPILPTVAAPFPYPLPTSFGMPTKCLPLVLQAKRKTNVMFSQCFAAKNELSEVDNGGDDVKVEEMEESSETLLYSFTPLPLLVLAALPGAAGTVRSLFGPFVELVKSWNLPDWLVHWGHPGNMAVVLFAMGGYGTYLGFRIRYSDDVEEKAKAKDLHPKLLGGMFFFFALGATGGVTSLLTSDKPIFESPHAVTGFIGLTLLTIQTILPTLFEGKPGLRNVHGILGSGIMALFLIHAALGLQLGLSY >KJB14712 pep chromosome:Graimondii2_0_v6:2:23841651:23844546:-1 gene:B456_002G139200 transcript:KJB14712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFTHLSWWLWSGKHQEPRTPNGSSLSSSPDTGLWESDNLKFPRIKRANMASSSRRVKRKRHSREERKIDREYDVVLVPSDGGCVSGSESDGSDYSIGWLEPHGPGFHSDDGSDNSFAVLVPCYEHSEDNMVVDSKNKILGAIVNIPDNYSAESKKYVEQWLSSLQAN >KJB14711 pep chromosome:Graimondii2_0_v6:2:23841651:23844100:-1 gene:B456_002G139200 transcript:KJB14711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFTHLSWWLWSGKHQEPRTPNGSSLSSSPDTGLWESDNLKFPRIKRANMASSSRRVKRKRHSREERKIDREYDVVLVPSDGGCVSGSESDGSDYSIGWLEPHGPGFHSDDGSDNSFAVLVPCYEHSEDNMVVDSKNKILGAIVNIPDNYSAESKKYVEQWLSSLQAN >KJB16577 pep chromosome:Graimondii2_0_v6:2:60053744:60054924:-1 gene:B456_002G237600 transcript:KJB16577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSSAVSTQKRLEGKVALITGGAGGIGEATARLFVQHGAKVVIADIQDDLGQAISQELGEENISYVHCDVTLDSDVGNAVDFAVSKHGKLDIMFNNAGISSPDKHIVTSNDERFKRVLDINIFGGYLGSKHAARVMIPAKKGCILFTTSVWSVIGSADVGHAYVTSKHALVGLAKNLGVELGQYGIRVNCISPHGVATPMVTRPFGNLEKEKVEELIAMGSNLKGVVLEPEDVAQAALYLASDDAKYVSGLNMIIDGGYSTTNPSLSNVIKSLSSKV >KJB14951 pep chromosome:Graimondii2_0_v6:2:29304343:29311842:-1 gene:B456_002G150300 transcript:KJB14951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGRLIAGSHNRNEFVLINADENARIKSVKELSGQTCQICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEEEDDIDDLDNEFDYDALDPQQVAEAMLGGHLNTGRGFHPNGSGLPAHSEVDSSPPSSQIPLLTYGEEHSEISADHHALIVPPFMGHGNRVHPMPYTDPAVPLQPRPMVPKKDIAVYGYGSVAWKDRMEEWKKRQNDKLQVVKHEGGNDGGNGEELDDADLPMMDEGRQPLSRKLPIPSSKINPYRMIIIIRLAILGLFFHYRLLHPVRDAYGLWLTSVICEIWFAVSWILDQFPKWYPIERETYLDRLSLRYEKEGKLSELASIDVFVSTVDPMKEPPLITANTVLSILAVDYPVDKVTCYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLKNKVHPAFVRERRAMKREYEEFKVRINGLVSTAQKVPEDGWTMQDGTPWPGNCVRDHPGMIQVFLGHSGVRDVEGNELPHLVYVSREKRPGFEHHKKAGAMNALIRVSSVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPITKKPPGKTCNCLPKWCCCLCCCSRQNNKTKQKKDKTKKSKQREASKQIHALENIEEGISESNTLKSSEASQVKLEKKFGQSPVFVASTLLEDGGIPQNASPASLLSEAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSIPLLVYCTLPAICLLTGKFIVPEISNYASLIFMALFISIAATGILEMQWGGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVSTSFTVTSKAADDGEFSELYLFKWTSLLIPPTTLLVINIIGVVVGISDAINNGYDSWGPLFGRLFFAFWVIIHLYPFLKGLLGKQDRMPTIILVWSILLASILTLMWVRINPFVSKDGPVLEVCGLNCDD >KJB14948 pep chromosome:Graimondii2_0_v6:2:29304894:29309492:-1 gene:B456_002G150300 transcript:KJB14948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGNRVHPMPYTDPAVPLQPRPMVPKKDIAVYGYGSVAWKDRMEEWKKRQNDKLQVVKHEGGNDGGNGEELDDADLPMMDEGRQPLSRKLPIPSSKINPYRMIIIIRLAILGLFFHYRLLHPVRDAYGLWLTSVICEIWFAVSWILDQFPKWYPIERETYLDRLSLRYEKEGKLSELASIDVFVSTVDPMKEPPLITANTVLSILAVDYPVDKVTCYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLKNKVHPAFVRERRAMKREYEEFKVRINGLVSTAQKVPEDGWTMQDGTPWPGNCVRDHPGMIQVFLGHSGVRDVEGNELPHLVYVSREKRPGFEHHKKAGAMNALIRVSSVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPITKKPPGKTCNCLPKWCCCLCCCSRQNNKTKQKKDKTKKSKQREASKQIHALENIEEGISESNTLKSSEASQVKLEKKFGQSPVFVASTLLEDGGIPQNASPASLLSEAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSIPLLVYCTLPAICLLTGKFIVPEISNYASLIFMALFISIAATGILEMQWGGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVSTSFTVTSKAADDGEFSELYLFKWTSLLIPPTTLLVINIIGVVVGISDAINNGYDSWGPLFGRLFFAFWVIIHLYPFLKGLLGKQDRMPTIILVWSILLASILTLMWVRINPFVSKDGPVLEVCGLNCDD >KJB14950 pep chromosome:Graimondii2_0_v6:2:29304343:29311827:-1 gene:B456_002G150300 transcript:KJB14950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGRLIAGSHNRNEFVLINADENARIKSVKELSGQTCQICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEEEDDIDDLDNEFDYDALDPQQVAEAMLGGHLNTGRGFHPNGSGLPAHSEVDSSPPSSQIPLLTYGEEHSEISADHHALIVPPFMGHGNRVHPMPYTDPAVPLQPRPMVPKKDIAVYGYGSVAWKDRMEEWKKRQNDKLQVVKHEGGNDGGNGEELDDADLPMMDEGRQPLSRKLPIPSSKINPYRMIIIIRLAILGLFFHYRLLHPVRDAYGLWLTSVICEIWFAVSWILDQFPKWYPIERETYLDRLSLRYEKEGKLSELASIDVFVSTVDPMKEPPLITANTVLSILAVDYPVDKVTCYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLKNKVHPAFVRERRAMKREYEEFKVRINGLVSTAQKVPEDGWTMQDGTPWPGNCVRDHPGMIQVFLGHSGVRDVEGNELPHLVYVSREKRPGFEHHKKAGAMNALIRVSSVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPITKKPPGKTCNCLPKWCCCLCCCSRQNNKTKQKKDKTKKSKQREASKQIHALENIEEGISESNTLKSSEASQVKLEKKFGQSPVFVASTLLEDGGIPQNASPASLLSEAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSIPLLVYCTLPAICLLTGKFIVPEISNYASLIFMALFISIAATGILEMQWGGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVSTSFTVTSKAADDGEFSELYLFKWTSLLIPPTTLLVINIIGVVVGISDAINNGYDSWGPLFGRLFFAFWVIIHLYPFLKGLLGKQDRMPTIILVWSILLASILTLMWVRINPFVSKDGPVLEVCGLNCDD >KJB14949 pep chromosome:Graimondii2_0_v6:2:29304894:29309492:-1 gene:B456_002G150300 transcript:KJB14949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGNRVHPMPYTDPAVPLQPRPMVPKKDIAVYGYGSVAWKDRMEEWKKRQNDKLQVVKHEGGNDGGNGEELDDADLPMMDEGRQPLSRKLPIPSSKINPYRMIIIIRLAILGLFFHYRLLHPVRDAYGLWLTSVICEIWFAVSWILDQFPKWYPIERETYLDRLSLRYEKEGKLSELASIDVFVSTVDPMKEPPLITANTVLSILAVDYPVDKVTCYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLKNKVHPAFVRERRAMKREYEEFKVRINGLVSTAQKVPEDGWTMQDGTPWPGNCVRDHPGMIQVFLGHSGVRDVEGNELPHLVYVSREKRPGFEHHKKAGAMNALIRVSSVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPITKKPPGKTCNCLPKWCCCLCCCSRQNNKTKQKKDKTKKSKQREASKQIHALENIEEGISESNTLKSSEASQVKLEKKFGQSPVFVASTLLEDGGIPQNASPASLLSEAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSIPLLVYCTLPAICLLTGKFIVPEISNYASLIFMALFISIAATGILEMQWGGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVSTSFTVTSKAADDGEFSELYLFKWTSLLIPPTTLLVINIIGVVVGISDAINNGYDSWGPLFGRLFFAFWVIIHLYPFLKGLLGKQDRMPTIILVWSILLASILTLMWVRINPFVSKDGPVLEVCGLNCDD >KJB16874 pep chromosome:Graimondii2_0_v6:2:61564224:61566570:-1 gene:B456_002G252500 transcript:KJB16874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYDDRYCHTRLYVGHISSRTRTRDLERLFSRYGRILDVDMKRDYAFVDFSDPRDADDARYSLDGRDFDGSRIVVEFARGVPRGSREYLGRGPPPGSGRCFNCGVDGHWARDCTAEDWKNKCYRCGERGHIERNCRNSPRKLSSSRSPIRSRSPRRGRSRGRSYSRGLSYSRSRSPVRREHSFRLECPEAENSPSLSKGRNHSPSPRNGKLSALQDEADYDDHSPKEVNRSPASPDRDESPDRSQYRSSSDGNGRSLSPSVRDNNSPVDVEYDDPLTPRGSASP >KJB16877 pep chromosome:Graimondii2_0_v6:2:61563994:61567010:-1 gene:B456_002G252500 transcript:KJB16877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYDDRYCHTRLYVGHISSRTRTRDLERLFSRYGRILDVDMKRDYAFVDFSDPRDADDARYSLDGRDFDGSRIVVEFARGVPRGSREYLGRGPPPGSGRCFNCGVDGHWARDCTAEDWKNKCYRCGERGHIERNCRNSPRKLSSRSPIRSRSPRRGRSRGRSYSRGLSYSRSRSPVRREHSFRLECPEAENSPSLSKGRNHSPSPRNGKLSALQDEADYDDHSPKEVNRSPASPDRDESPDRSQYRSSSDGNGRSLSPSVRDNNSPVDVEYDDPLTPRGSASP >KJB16878 pep chromosome:Graimondii2_0_v6:2:61564224:61565424:-1 gene:B456_002G252500 transcript:KJB16878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDYAFVDFSDPRDADDARYSLDGRDFDGSRIVVEFARGVPRGSREYLGRGPPPGSGRCFNCGVDGHWARDCTAEDWKNKCYRCGERGHIERNCRNSPRKLSSSRSPIRSRSPRRGRSRGRSYSRGLSYSRSRSPVRREHSFRLECPEAENSPSLSKGRNHSPSPRNGKLSALQDEADYDDHSPKEVNRSPASPDRDESPDRSQYRSSSDGNGRSLSPSVRDNNSPVDVEYDDPLTPRGSASP >KJB16873 pep chromosome:Graimondii2_0_v6:2:61563994:61566950:-1 gene:B456_002G252500 transcript:KJB16873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYDDRYCHTRLYVGHISSRTRTRDLERLFSRYGRILDVDMKRDYAFVDFSDPRDADDARYSLDGRDFDGSRIVVEFARGVPRGSREYLGRGPPPGSGRCFNCGVDGHWARDCTAEDWKNKCYRCGERGHIERNCRNSPRKLSSRSPIRSRSPRRGRSRGRSYSRGLSYSRSRSPVRREHSFRLECPEAENSPSLSKGRNHSPSPRNGKLSALQDEADYDDHSPKEVNRSPASPDRDESPDRSQYRSSSDGNGRSLSPSVRDNNSPVDVEYDDPLTPRGSASP >KJB16876 pep chromosome:Graimondii2_0_v6:2:61564224:61565424:-1 gene:B456_002G252500 transcript:KJB16876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDYAFVQDFSDPRDADDARYSLDGRDFDGSRIVVEFARGVPRGSREYLGRGPPPGSGRCFNCGVDGHWARDCTAEDWKNKCYRCGERGHIERNCRNSPRKLSSRSPIRSRSPRRGRSRGRSYSRGLSYSRSRSPVRREHSFRLECPEAENSPSLSKGRNHSPSPRNGKLSALQDEADYDDHSPKEVNRSPASPDRDESPDRSQYRSSSDGNGRSLSPSVRDNNSPVDVEYDDPLTPRGSASP >KJB16875 pep chromosome:Graimondii2_0_v6:2:61563994:61566950:-1 gene:B456_002G252500 transcript:KJB16875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYDDRYCHTRLYVGHISSRTRTRDLERLFSRYGRILDVDMKRDYAFVDFSDPRDADDARYSLDGRDFDGSRIVVEFARGVPRGSREYLGRGPPPGSGRCFNCGVDGHWARDCTAEDWKNKCYRCGERGHIERNCRNSPRKLRSRSPRRGRSRGRSYSRGLSYSRSRSPVRREHSFRLECPEAENSPSLSKGRNHSPSPRNGKLSALQDEADYDDHSPKEVNRSPASPDRDESPDRSQYRSSSDGNGRSLSPSVRDNNSPVDVEYDDPLTPRGSASP >KJB16879 pep chromosome:Graimondii2_0_v6:2:61563994:61566950:-1 gene:B456_002G252500 transcript:KJB16879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDYAFVDFSDPRDADDARYSLDGRDFDGSRIVVEFARGVPRGSREYLGRGPPPGSGRCFNCGVDGHWARDCTAEDWKNKCYRCGERGHIERNCRNSPRKLSSRSPIRSRSPRRGRSRGRSYSRGLSYSRSRSPVRREHSFRLECPEAENSPSLSKGRNHSPSPRNGKLSALQDEADYDDHSPKEVNRSPASPDRDESPDRSQYRSSSDGNGRSLSPSVRDNNSPVDVEYDDPLTPRGSASP >KJB16158 pep chromosome:Graimondii2_0_v6:2:56517667:56519697:1 gene:B456_002G215600 transcript:KJB16158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSWEAKTQIQQLWDLISLGDDVCTAATKAKSFKKEVSNLVSLVCRLSQMLKTLLCFVTSPHTSLYLRPLHCIVKEVKVGFEHALSIVHKCKRGNLLWKLFTTCSYATQFVELFNCLNASTSDMKWLLSIYMPQSCSTPTYEKPVKVKVWSCIAAVKMGRALEDRVRAVKQLASLAEQNDEYKNIIYEEDGVPSLQKLLKEKISLDAQIMAVKTLCLLANEEERKRVIMKEMVSTILSRLSRTSAMPDQIQAANLVTGIAEHNPKLKEYALIRENVIWQLITLLSPADDTKRNPKLKLSCSRALWMLVQGSISNCETLTETKGMLCLAKLLKTEKDELQYNCLMIIREITAIAESNNEFRHSTFKSSSPAAKAVVDELLRVIKEFDNMKLRIPAIKSVGSLARSFSAKECQVISPLVARLGDMDREVAMEASIALQKFICPNNYLSCVHSKWIIESNGVPLLIKLLLDDGDEKLQCHRLTLICYLANHDSNSNVLLKEGALTALQTTAPTVMAQHPELKQLVSQAICKLQSNKAAKHQELENSRGIKRFITEQGKAVVDLVRGLKLKLETACVGGRVNIQLQGYWKSYKKKISGAIRSLRMRRRWRLVKAKSVELALTLRSVIEYSGKRVIMKETASKLRVVKRIVKSMEKKEIRRKFGFIIHKIFYICNIYMLVT >KJB12396 pep chromosome:Graimondii2_0_v6:2:1050814:1053198:-1 gene:B456_002G016100 transcript:KJB12396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTSRAARRMGAEDEKLVFETTEGIEPILSFDQMGLKDDLLRGIYNYGFEKPSAIQQRAVMPIINGRDVIAQAQSGTGKTSMIALTVCQVVDTASREVQALILSPTRELASQTEKVIRTIGDFMNIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRHLPPELQVCLISATLPHEILEMTSKFMTDPIRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRSNNFTVSSMHGDMPQKEREAIMAEFRDGATRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKVFLSLFCSIEDFNSFYDPNIAYS >KJB12399 pep chromosome:Graimondii2_0_v6:2:1050334:1053299:-1 gene:B456_002G016100 transcript:KJB12399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTSRAARRMGAEDEKLVFETTEGIEPILSFDQMGLKDDLLRGIYNYGFEKPSAIQQRAVMPIINGRDVIAQAQSGTGKTSMIALTVCQVVDTASREVQALILSPTRELASQTEKVIRTIGDFMNIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRHLPPELQVCLISATLPHEILEMTSKFMTDPIRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRSNNFTVSSMHGDMPQKEREAIMAEFRDGATRVLITTDVWARGLDVQQASLLRGFSFHFFWFTVAFSPIFV >KJB12400 pep chromosome:Graimondii2_0_v6:2:1050334:1053299:-1 gene:B456_002G016100 transcript:KJB12400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTSRAARRMGAEDEKLVFETTEGIEPILSFDQMGLKDDLLRGIYNYGFEKPSAIQQRAVMPIINGRDVIAQAQSGTGKTSMIALTVCQVVDTASREVQALILSPTRELASQTEKVIRTIGDFMNIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRHLPPELQVCLISATLPHEILEMTSKFMTDPIRILVKRDELTLEVKNAITLTRFPRLLIWDLMPTFVLFFHDYRESSSFSWQLKERSGSLIRYVIFMIH >KJB12395 pep chromosome:Graimondii2_0_v6:2:1050235:1053354:-1 gene:B456_002G016100 transcript:KJB12395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTSRAARRMGAEDEKLVFETTEGIEPILSFDQMGLKDDLLRGIYNYGFEKPSAIQQRAVMPIINGRDVIAQAQSGTGKTSMIALTVCQVVDTASREVQALILSPTRELASQTEKVIRTIGDFMNIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRHLPPELQVCLISATLPHEILEMTSKFMTDPIRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRSNNFTVSSMHGDMPQKEREAIMAEFRDGATRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >KJB12397 pep chromosome:Graimondii2_0_v6:2:1050334:1053299:-1 gene:B456_002G016100 transcript:KJB12397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRHLPPELQVCLISATLPHEILEMTSKFMTDPIRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRSNNFTVSSMHGDMPQKEREAIMAEFRDGATRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >KJB12398 pep chromosome:Graimondii2_0_v6:2:1051516:1053198:-1 gene:B456_002G016100 transcript:KJB12398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTSRAARRMGAEDEKLVFETTEGIEPILSFDQMGLKDDLLRGIYNYGFEKPSAIQQRAVMPIINGRDVIAQAQSGTGKTSMIALTVCQVVDTASREVQALILSPTRELASQTEKVIRTIGDFMNIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRHLPPELQVCLISATLPHEILEMTSKFMTDPIRILVKRDELTLEVKNAITLTRFPRLLIWDLMPTFVLFFHDYRESSSFSWQLKERSGSLIRYVIFMIH >KJB12401 pep chromosome:Graimondii2_0_v6:2:1052019:1053299:-1 gene:B456_002G016100 transcript:KJB12401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTSRAARRMGAEDEKLVFETTEGIEPILSFDQMGLKDDLLRGIYNYGFEKPSAIQQRAVMPIINGRDVIAQAQSGTGKTSMIALTVCQVVDTASREVQALILSPTRELASQTEKVIRTIGDFMNIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRHLPPELQVPFSLSYHALFKFVLFFVIRRTSSIGSLITVVMFLGTTSLCCLPIFTQF >KJB13169 pep chromosome:Graimondii2_0_v6:2:10735745:10736847:-1 gene:B456_002G084600 transcript:KJB13169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAYTGSTIGDGGGDSGSEESCTIDVADPVFLELLKDENDGPAERHWTSPGVAAVLQAATNSPQSDRLKQMLNITPRLLHMYFTVALRDANNSLICALIPILMARNSTLFPDKNYMYEVCIHFRNISSVIVFLVGLLPCGYVLPSRYINYLGPY >KJB14855 pep chromosome:Graimondii2_0_v6:2:29640331:29645244:1 gene:B456_002G151000 transcript:KJB14855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPRWRNVFLLKNSLVPPFLSSKATATVATTHVASFHSTPITCEKWKSKWDFEERRTQQPTKNHIRYTVHQKRADTKSALKNLLYNSGCSNVSFQDEVRTWKFDGAEGWDSDGSDKKRWSRYSGRHAGKSNRKKVKRKFKRESFSDDFDHPERIFQAKFGNKWYTWSSGGDKSFKNPESGFEWREKSGWTNQRTKEWENTSDSKSEYEEEKSYDVGSCSDREILGLPRAGSIKIEDVKNAFRVSALKWHPDKHQGPSQEMAEEKFKMCVEAYKSLCHALS >KJB14856 pep chromosome:Graimondii2_0_v6:2:29640389:29645244:1 gene:B456_002G151000 transcript:KJB14856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPRWRNVFLLKNSLVPPFLSSKATATVATTHVASFHSTPITCEKWKSKWDFEERRTQQPTKNHIRYTVHQKRADTKSALKNLLYNSGCSNVSFQDEVRTWKFDGAEGWDSDGSDKKRWSRYSGRHAGKSNRKKVKRKFKRESFSDDFDHPERIFQAKFGNKWYTWSSGGDKSFKNPESGFEWREKSGWTNQRTKEWENTSDSKSEYEEEKSYDVGSCSDREILGLPRAGSIKIEDVKNAFRVSALKWHPDKHQGPSQVS >KJB12105 pep chromosome:Graimondii2_0_v6:2:19640:20386:-1 gene:B456_002G000500 transcript:KJB12105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQLIHDFWSKPDLEIGLSFSYILERLIYTYKDWGSDPKVFDVFFQVLVELGLLDEGKKLFDKILNYGLIISVDSLNIYLSKLRDHLGGFWRAVKVFFELPDVGSCWNTASYNIIIHSLCKLGKIKEAHRLLLQLGLRGCIPDVVTYSTIIDGYCHMGRLQMVLRIIDEMQSKALKPNHYTYSSVIYLLCEIGKVVEAEKALREMLNQGILSDSVVYTTLIDGFCKLGNIAFAYKLLNECVEVSMAF >KJB13238 pep chromosome:Graimondii2_0_v6:2:7504366:7506889:1 gene:B456_002G064300 transcript:KJB13238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LMIWLGFNQLSGQLPSTAGLGLPKLEGLYLELNELSGPIPTFISNASNLIFLQLTNNSFSGTVPDTFGNLKYLQRLDLGHNNLSSKPSSPVLSFLSSLTSCKSLEVLIFDDNPLINGELPVSVGNLSASLTLFYASHCNIKGSIPCEIGNLSKLFWLGLDHNDFTGTIPATLGRLRELQDVDLGSNKLEGSIPSELCHLERLAYLTLTDNKLFGPIPECLGHMVSLRNLFLGSNSFTSIPSTLTRLDGILFLELSSNSLNGSLPTDIGNWKSVTNLNLSDNRFSGAIPSSIADLMHLTHLSLSGNMLQGSIPASFDELISLEYLDLSRNNLSGMIPKSLEKLQNLKSFNVSFNRLQGEIPSRGLFGNYSSQSFIGNEDLCGPSRLQVPPCKTDPSKSANLLKYILPVIGSVILVSVVVIILLRSRNTKTELPIQENLPPLPEWRRISYHDLAQATDGFSESNLLGVGSFGSVYKGTLSTGMTIAVKVFHVNQDRAFKSFDIECEVLRNIRHRNLVKIISSCSNVDFKALVLEFMPNGSLEKWLYSHNHFLNVLQRLNIVIDIALALEYLHHGHTLPVVHCDIKPNNILLDTDMIAHLGDFGIAKLLGEEDSTIQTKTLATIGYMSPEYGSEGIVSTKGDVYSFGILVMETFTRKKPTDDIFGEEMSLKRWVKESLPSPLVDVVDSELLNTREREGLAAKDCILSILQLALECLAEVAVERIDMEEVVARLKKIKTMYLRGTEQV >KJB16626 pep chromosome:Graimondii2_0_v6:2:60354106:60359605:-1 gene:B456_002G240400 transcript:KJB16626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTASDIRPIGVLNVLGGRVLLENPTGRLSCLKLCDGKIGFSSAGLRSIMPHLRCSTNSHGVSLYQNKDRFLNLHHEVPMLRGEGNKMITNPRKESSSGSVTEILGDMNGSNNNNEAKIKVIGVGGGGSNAVNRMIESEMQGVEFWIVNTDVQAMKMSPIFPERRLQIGQELTRGLGAGGNPEIGMNAAKESKESIEEALYGADMVFVTAGMGGGTGTGGAPVIAGLAKSMGILTVGIATTPFSFEGRRRTVQAQEGIAALRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRTIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDLGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPAANLIFGAVIDPSLSGQVSITLIATGFKNQEGERSQVTLLLSLSLTHSCTHTYACLY >KJB16628 pep chromosome:Graimondii2_0_v6:2:60353640:60360560:-1 gene:B456_002G240400 transcript:KJB16628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTASDIRPIGVLNVLGGRVLLENPTGRLSCLKLCDGKIGFSSAGLRSIMPHLRCSTNSHGVSLYQNKDRFLNLHHEVPMLRGEGNKMITNPRKESSSGSVTEILGDMNGSNNNNEAKIKVIGVGGGGSNAVNRMIESEMQGVEFWIVNTDVQAMKMSPIFPERRLQIGQELTRGLGAGGNPEIGMNAAKESKESIEEALYGADMVFVTAGMGGGTGTGGAPVIAGLAKSMGILTVGIATTPFSFEGRRRTVQAQEGIAALRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRTIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDLGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPAANLIFGAVIDPSLSGQVSITLIATGFKNQEGERSQVD >KJB16629 pep chromosome:Graimondii2_0_v6:2:60356294:60359660:-1 gene:B456_002G240400 transcript:KJB16629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTASDIRPIGVLNVLGGRVLLENPTGRLSCLKLCDGKIGFSSAGLRSIMPHLRCSTNSHGVSLYQNKDRFLNLHHEVPMLRGEGNKMITNPRKESSSGSVTEILGDMNGSNNNNEAKIKVIGVGGGGSNAVNRMIESEMQGVEFWIVNTDVQAMKMSPIFPERRLQIGQELTRGLGAGGNPEIGMNAAKESKESIEEALYGADMVFVTAGMGGGTGTGGAPVIAGLAKSMGILTVGIATTPFSFEGRRRTVQAQEGIAALRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRTIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDLGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPAANLIFGAVIDPSLSGQVSSYLF >KJB16627 pep chromosome:Graimondii2_0_v6:2:60353640:60359660:-1 gene:B456_002G240400 transcript:KJB16627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTASDIRPIGVLNVLGGRVLLENPTGRLSCLKLCDGKIGFSSAGLRSIMPHLRCSTNSHGVSLYQNKDRFLNLHHEVPMLRGEGNKMITNPRKESSSGSVTEILGDMNGSNNNNEAKIKVIGVGGGGSNAVNRMIESEMQGVEFWIVNTDVQAMKMSPIFPERRLQIGQELTRGLGAGGNPEIGMNAAKESKESIEEALYGADMVFVTAGMGGGTGTGGAPVIAGLAKSMGILTVGIATTPFSFEGRRRTVQAQEGIAALRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRTIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDLGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPAANLIFGAVIDPSLSGQVSITLIATGFKNQEGERSQAGRLTQGDTGLGINRRPSFSEGGSVDIPEFLKKKGHSRYPRA >KJB13493 pep chromosome:Graimondii2_0_v6:2:9290615:9293828:1 gene:B456_002G078200 transcript:KJB13493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKSTEGFGRCFLLACMLVFVLAASAATDNITPGRSIRDGEALVSSDETFELGFFSSPVNSTTRYLGIWYKVSPETVVWIANREAPLLDHFGVLNVTKEGSIILQDKKTDIIWSSNRTRTAENPVLQLLDSGNLIVKDGNDSGLANLLWQSFDYPCDTLLPGMKLGKSFITGTNWSLSSWKGLNDPAPGRFSALIDPEGFPQLVVRNGSAIFYRGGSWNGERFTGTPDLKQVESSNLFKFTFELNKNEVYYRGEPYPSFISRLVVNQSGFLRRLVRTKQSQSWIEIYFAPRDECDRYAVCGPYASCNTNNCACLDGFEPKYPAEWDHSKWSGGCVRKTELACQNSVFTKYNGLKLPDTSNSSFDASMSLKECQEKCSKNCSCIAYANSDIRNGGSGCLLWFGDLIDMRIYPDGGQDLYVRMANSTLGYLVASKNSSKKKRVAIIVIAVILVGLILGGLIVHLRWKKLRKQVEGGKDDMELPVFDLSTIVKATDNFSDDNKLGQGGFGPVYKGTLPEGQEIAVKRLSKSSGQGLEEFKNEVGLIAKLQHRNLVRLLGCSIPGDEKMLIYEYLPNKSLDYFIFDQTKSELLDWRRRMHIIDGIARGLLYLHQDSRLRIIHRDLKASNVLLDSDMCPKISDFGMARTIWGDQTEANTNKIVGT >KJB13491 pep chromosome:Graimondii2_0_v6:2:9290452:9293853:1 gene:B456_002G078200 transcript:KJB13491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKSTEGFGRCFLLACMLVFVLAASAATDNITPGRSIRDGEALVSSDETFELGFFSSPVNSTTRYLGIWYKVSPETVVWIANREAPLLDHFGVLNVTKEGSIILQDKKTDIIWSSNRTRTAENPVLQLLDSGNLIVKDGNDSGLANLLWQSFDYPCDTLLPGMKLGKSFITGTNWSLSSWKGLNDPAPGRFSALIDPEGFPQLVVRNGSAIFYRGGSWNGERFTGTPDLKQVESSNLFKFTFELNKNEVYYRGEPYPSFISRLVVNQSGFLRRLVRTKQSQSWIEIYFAPRDECDRYAVCGPYASCNTNNCACLDGFEPKYPAEWDHSKWSGGCVRKTELACQNSVFTKYNGLKLPDTSNSSFDASMSLKECQEKCSKNCSCIAYANSDIRNGGSGCLLWFGDLIDMRIYPDGGQDLYVRMANSTLGYLVASKNSSKKKRVAIIVIAVILVGLILGGLIVHLRWKKLRKQVEGGKDDMELPVFDLSTIVKATDNFSDDNKLGQGGFGPVYKGTLPEGQEIAVKRLSKSSGQGLEEFKNEVGLIAKLQHRNLVRLLGCSIPGDEKMLIYEYLPNKSLDYFIFDQTKSELLDWRRRMHIIDGIARGLLYLHQDSRLRIIHRDLKASNVLLDSDMCPKISDFGMARTIWGDQTEANTNKIVGTYGYMPPEYAVDGLFSIKSDVFSFGVLVLEIVSGKKNRGFFHPEHSHNLVGHAWKLWMEEKPLQLIESNLGDCFVVSEVLRCIHVGLLCVQKRPEDRPSMSSVVLMLGSENSVPQPKQPGFFTERSLPESDAHSSTHHEPASSNGVTISLLEAR >KJB13495 pep chromosome:Graimondii2_0_v6:2:9290615:9292705:1 gene:B456_002G078200 transcript:KJB13495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKSTEGFGRCFLLACMLVFVLAASAATDNITPGRSIRDGEALVSSDETFELGFFSSPVNSTTRYLGIWYKVSPETVVWIANREAPLLDHFGVLNVTKEGSIILQDKKTDIIWSSNRTRTAENPVLQLLDSGNLIVKDGNDSGLANLLWQSFDYPCDTLLPGMKLGKSFITGTNWSLSSWKGLNDPAPGRFSALIDPEGFPQLVVRNGSAIFYRGGSWNGERFTGTPDLKQVESSNLFKFTFELNKNEVYYRGEPYPSFISRLVVNQSGFLRRLVRTKQSQSWIEIYFAPRDECDRYAVCGPYASCNTNNCACLDGFEPKYPAEWDHSKWSGGCVRKTELACQNSVFTKYNGLKLPDTSNSSFDASMSLKECQEKCSKNCSCIAYANSDIRNGGSGCLLWFGDLIDMRIYPDGGQDLYVRMANSTLGYLVASKNSSKKKRVAIIVIAVILVGLILGGLIVHLRWKKLRKQVEGGKDDMELPVFDLSTIVKATDNFSDDNKLGQGGFGPVYKGTLPEGQEIAVKRLSKSSGQGLEEFKNEVGLIAKLQHRNLVRLLGCSIPGDEKMLIYEYLPNKSLDYFIFGLILEPHFF >KJB13492 pep chromosome:Graimondii2_0_v6:2:9290615:9293828:1 gene:B456_002G078200 transcript:KJB13492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKSTEGFGRCFLLACMLVFVLAASAATDNITPGRSIRDGEALVSSDETFELGFFSSPVNSTTRYLGIWYKVSPETVVWIANREAPLLDHFGVLNVTKEGSIILQDKKTDIIWSSNRTRTAENPVLQLLDSGNLIVKDGNDSGLANLLWQSFDYPCDTLLPGMKLGKSFITGTNWSLSSWKGLNDPAPGRFSALIDPEGFPQLVVRNGSAIFYRGGSWNGERFTGTPDLKQVESSNLFKFTFELNKNEVYYRGEPYPSFISRLVVNQSGFLRRLVRTKQSQSWIEIYFAPRDECDRYAVCGPYASCNTNNCACLDGFEPKYPAEWDHSKWSGGCVRKTELACQNSVFTKYNGLKLPDTSNSSFDASMSLKECQEKCSKNCSCIAYANSDIRNGGSGCLLWFGDLIDMRIYPDGGQDLYVRMANSTLGYLVASKNSSKKKRVAIIVIAVILVGLILGGLIVHLRWKKLRKQVEGGKDDMELPVFDLSTIVKATDNFSDDNKLGQGGFGPVYKGTLPEGQEIAVKRLSKSSGQGLEEFKNEVGLIAKLQHRNLVRLLGCSIPGDEKMLIYEYLPNKSLDYFIFDQTKSELLDWRRRMHIIDGIARGLLYLHQDSRLRIIHRDLKASNVLLDSDMCPKISDFGMARTIWVVICLLSTL >KJB13494 pep chromosome:Graimondii2_0_v6:2:9290615:9293828:1 gene:B456_002G078200 transcript:KJB13494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKSTEGFGRCFLLACMLVFVLAASAATDNITPGRSIRDGEALVSSDETFELGFFSSPVNSTTRYLGIWYKVSPETVVWIANREAPLLDHFGVLNVTKEGSIILQDKKTDIIWSSNRTRTAENPVLQLLDSGNLIVKDGNDSGLANLLWQSFDYPCDTLLPGMKLGKSFITGTNWSLSSWKGLNDPAPGRFSALIDPEGFPQLVVRNGSAIFYRGGSWNGERFTGTPDLKQVESSNLFKFTFELNKNEVYYRGEPYPSFISRLVVNQSGFLRRLVRTKQSQSWIEIYFAPRDECDRYAVCGPYASCNTNNCACLDGFEPKYPAEWDHSKWSGGCVRKTELACQNSVFTKYNGLKLPDTSNSSFDASMSLKECQEKCSKNCSCIAYANSDIRNGGSGCLLWFGDLIDMRIYPDGGQDLYVRMANSTLGYLVASKNSSKKKRVAIIVIAVILVGLILGGLIVHLRWKKLRKQVEGGKDDMELPVFDLSTIVKATDNFSDDNKLGQGGFGPVYKGTLPEGQEIAVKRLSKSSGQGLEEFKNEVGLIAKLQHRNLVRLLGCSIPGDEKMLIYEYLPNKSLDYFIFDQTKSELLDWRRRMHIIDGIARGLLYLHQDSRLRIIHRDLKASNVLLDSDMCPKISDFGMARTIWGDQTEANTNKIVGTYGYMPPEYAVDGLFSIKSDVFSFGVLVLEIVSGKKNRGFFHPEHSHNLVGHVRRKNKTLLITILAVKQ >KJB15936 pep chromosome:Graimondii2_0_v6:2:54989810:54995256:-1 gene:B456_002G205400 transcript:KJB15936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSKLDDPPAVALCRERCSFLDEAIQQRFILAEAHVAYTASLKLFGQSLNNFVDNDFGTSSGALPPSPPSPNKLKSKAVDPVQVGSSSPKKDVISHHHSHSHSGSHLHFDSGSDEDDSAGSLHHSDHSSPLHHDGGGPHIEYLHQNYPNYGAYETGSFPGGYMHMNFMKKQPTPSIVYEQRPVNPEIVYMGESSSLSSSYNNNNPSSSSYPYPGYQNYGGFSNYASYSAPGYQSSLQPSSMAGGSSLKPPPPPPSPPRTSAWDFLNPFESYENYNHPYTPSRDLREVREAEGIPDLEDENYQHEVVKEVDGDKKFVDSGGYSKSPAEEAAKGVVNSEAEASLYQSRPSVGVENDRVEYEVHVVDKKVVSDETAEERGKGSKGPPRNVFEVIREIQVQFVKASESGSEIAKLLEVGTLPYHRKHASKMLQVVTPSLSLVSSQRSTSKTDSSANNTDPAFLDLNEELAKKQRNLSSTLQKLYLWEKKLYNEVKAEEKMRVAYDRKCRKLKRLDERGAEANKVDSTRNIIRSLSTKIRISIQVVDKISVTINKIRDEELWPLLNELIEGLNRMWKCMLECHHSQCQVIKEAKSLGSIGSGKKLSDDRLKATLQLEHELISWTIRFSSWIGAQKGYVRALNNWLLKCLHYEPEVTDDGIAPFSPSRVGAPPIFVICNQWSQVMDTISEREVVDSMRIFAMSIFQLWEQDKSEMHQRMMANKDLERKARNLDREDQKLHKEIQAMDKKIVLVSGDGNSLSVAGHVYQSDTSNASLQGSLQRIFDAMERFSSESSKAYEELLERVKERIAQDHERIT >KJB15938 pep chromosome:Graimondii2_0_v6:2:54989810:54995510:-1 gene:B456_002G205400 transcript:KJB15938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSKLDDPPAVALCRERCSFLDEAIQQRALPPSPPSPNKLKSKAVDPVQVGSSSPKKDVISHHHSHSHSGSHLHFDSGSDEDDSAGSLHHSDHSSPLHHDGGGPHIEYLHQNYPNYGAYETGSFPGGYMHMNFMKKQPTPSIVYEQRPVNPEIVYMGESSSLSSSYNNNNPSSSSYPYPGYQNYGGFSNYASYSAPGYQSSLQPSSMAGGSSLKPPPPPPSPPRTSAWDFLNPFESYENYNHPYTPSRDLREVREAEGIPDLEDENYQHEVVKEVDGDKKFVDSGGYSKSPAEEAAKGVVNSEAEASLYQSRPSVGVENDRVEYEVHVVDKKVVSDETAEERGKGSKGPPRNVFEVIREIQVQFVKASESGSEIAKLLEVGTLPYHRKHASKMLQVVTPSLSLVSSQRSTSKTDSSANNTDPAFLDLNEELAKKQRNLSSTLQKLYLWEKKLYNEVKAEEKMRVAYDRKCRKLKRLDERGAEANKVDSTRNIIRSLSTKIRISIQVVDKISVTINKIRDEELWPLLNELIEGLNRMWKCMLECHHSQCQVIKEAKSLGSIGSGKKLSDDRLKATLQLEHELISWTIRFSSWIGAQKGYVRALNNWLLKCLHYEPEVTDDGIAPFSPSRVGAPPIFVICNQWSQVMDTISEREVVDSMRIFAMSIFQLWEQDKSEMHQRMMANKDLERKARNLDREDQKLHKEIQAMDKKIVLVSGDGNSLSVAGHVYQSDTSNASLQGSLQRIFDAMERFSSESSKAYEELLERVKERIAQDHERIT >KJB15935 pep chromosome:Graimondii2_0_v6:2:54989810:54995476:-1 gene:B456_002G205400 transcript:KJB15935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSKLDDPPAVALCRERCSFLDEAIQQRFILAEAHVAYTASLKLFGQSLNNFVDNDFGTSSGALPPSPPSPNKLKSKAVDPVQVGSSSPKKDVISHHHSHSHSGSHLHFDSGSDEDDSAGSLHHSDHSSPLHHDGGGPHIEYLHQNYPNYGAYETGSFPGGYMHMNFMKKQPTPSIVYEQRPVNPEIVYMGESSSLSSSYNNNNPSSSSYPYPGYQNYGGFSNYASYSAPGYQSSLQPSSMAGGSSLKPPPPPPSPPRTSAWDFLNPFESYENYNHPYTPSRDLREVREAEGIPDLEDENYQHEVVKEVDGDKKFVDSGGYSKSPAEEAAKGVVNSEAEASLYQSRPSVGVENDRVEYEVHVVDKKVVSDETAEERGKGSKGPPRNVFEVIREIQVQFVKASESGSEIAKLLEVGTLPYHRKHASKMLQVVTPSLSLVSSQRSTSKTDSSANNTDPAFLDLNEELAKKQRNLSSTLQKLYLWEKKLYNEVKAEEKMRVAYDRKCRKLKRLDERGAEANKVDSTRNIIRSLSTKIRISIQVVDKISVTINKIRDEELWPLLNELIEGLNRMWKCMLECHHSQCQVIKEAKSLGSIGSGKKLSDDRLKATLQLEHELISWTIRFSSWIGAQKGYVRALNNWLLKCLHYEPEVTDDGIAPFSPSRVGAPPIFVICNQWSQVMDTISEREVVDSMRIFAMSIFQLWEQDKSEMHQRMMANKDLERKARNLDREDQKLHKEIQAMDKKIVLVSGDGNSLSVAGHVYQSDTSNASLQGSLQRIFDAMERFSSESSKAYEELLERVKERIAQDHERIT >KJB15939 pep chromosome:Graimondii2_0_v6:2:54989810:54995492:-1 gene:B456_002G205400 transcript:KJB15939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSKLDDPPAVALCRERCSFLDEAIQQRFILAEAHVAYTASLKLFGQSLNNFVDNDFGTSSGALPPSPPSPNKLKSKAVDPVQVGSSSPKKDVISHHHSHSHSGSHLHFDSGSDEDDSAGSLHHSDHSSPLHHDGGGPHIEYLHQNYPNYGAYETGSFPGGYMHMNFMKKQPTPSIVYEQRPVNPEIVYMGESSSLSSSYNNNNPSSSSYPYPGYQNYGGFSNYASYSAPGYQSSLQPSSMAGGSSLKPPPPPPSPPRTSAWDFLNPFESYENYNHPYTPSRDLREVREAEGIPDLEDENYQHEVVKEVDGDKKFVDSGGYSKSPAEEAAKGVVNSEAEASLYQSRPSVGVENDRVEYEVHVVDKKVVSDETAEERGKGSKGPPRNVFEVIREIQVQFVKASESGSEIAKLLEVGTLPYHRKHASKMLQVVTPSLSLVSSQRSTSKTDSSANNTDPAFLDLNEELAKKQRNLSSTLQKLYLWEKKLYNEVKAEEKMRVAYDRKCRKLKRLDERGAEANKVDSTRNIIRSLSTKIRISIQVVDKISVTINKIRDEELWPLLNELIEGLNRMWKCMLECHHSQCQLEHELISWTIRFSSWIGAQKGYVRALNNWLLKCLHYEPEVTDDGIAPFSPSRVGAPPIFVICNQWSQVMDTISEREVVDSMRIFAMSIFQLWEQDKSEMHQRMMANKDLERKARNLDREDQKLHKEIQAMDKKIVLVSGDGNSLSVAGHVYQSDTSNASLQGSLQRIFDAMERFSSESSKAYEELLERVKERIAQDHERIT >KJB15937 pep chromosome:Graimondii2_0_v6:2:54989810:54995530:-1 gene:B456_002G205400 transcript:KJB15937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSKLDDPPAVALCRERCSFLDEAIQQRFILAEAHVAYTASLKLFGQSLNNFVDNDFGTSSGALPPSPPSPNKLKSKAVDPVQVGSSSPKKDVISHHHSHSHSGSHLHFDSGSDEDDSAGSLHHSDHSSPLHHDGGGPHIEYLHQNYPNYGAYETGSFPGGYMHMNFMKKQPTPSIVYEQRPVNPEIVYMGESSSLSSSYNNNNPSSSSYPYPGYQNYGGFSNYASYSAPGYQSSLQPSSMAGGSSLKPPPPPPSPPRTSAWDFLNPFESYENYNHPYTPSRDLREVREAEGIPDLEDENYQHEVVKEVDGDKKFVDSGGYSKSPAEEAAKGVVNSEAEASLYQSRPSVGVENDRVEYEVHVVDKKVVSDETAEERGKGSKGPPRNVFEVIREIQVQFVKASESGSEIAKLLEVGTLPYHRKHASKMLQVVTPSLSLVSSQRSTSKTDSSANNTDPAFLDLNEELAKKQRNLSSTLQKLYLWEKKLYNEVKAEEKMRVAYDRKCRKLKRLDERGAEANKVDSTRNIIRSLSTKIRISIQVVDKISVTINKIRDEELWPLLNELIEGLNRMWKCMLECHHSQCQLEHELISWTIRFSSWIGAQKGYVRALNNWLLKCLHYEPEVTDDGIAPFSPSRVGAPPIFVICNQWSQVMDTISEREVVDSMRIFAMSIFQLWEQDKSEMHQRMMANKDLERKARNLDREDQKLHKEIQAMDKKIVLVSGDGNSLSVAGHVYQSDTSNASLQGSLQRIFDAMERFSSESSKAYEELLERVKERIAQDHERIT >KJB16129 pep chromosome:Graimondii2_0_v6:2:56234903:56240270:-1 gene:B456_002G213600 transcript:KJB16129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLLKSFLILSFRARPLAVFPSILIPKSLPRNRRTRTLSSVSASTFQSPPPSVSPETPTPIPPLSDSLRWVSRTAYCGDLSNQDVGSRVRLCGWVALHRVHGGLTFFNLRDHTGIVQITSLPGEFPDAHEAIKDLRLEYVVAVDGFVRSRPSESVNKKMKTGSIEVAAEHVQILNAVRSKLPLLVTSADDANDFVKEEIRLRYRYLDLRRQQMNFNIMLRHRVVKLIRRYLEDVHNFVEIETPMLSRSTPEGARDYLVPSRIQPGTFYALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMEMAFIPLEDMLRLNEDLIRKVFLEIKGVQLPNPFPRLTYAEAMDRYGSDRPDIRFDLELKNVSNVFLDSPFRLFADTLKNGGIIKTICVPSGAKRFSNTALKKGDVYNEAIKSGAKGLPFLKVLDDGEVEGIPALVSSLGPTNREDFLRKCSAGPGDLILFAVGHQTSVNRTLDRLRVFLAHELGLVDHSRHSVLWVTDFPMFEWNDSEQRLEPLHHPFTAPNPEDMGDLSSARALAYDMVYDGVEIGGGSLRIYKREIQQKVLETIGISLEQVRKP >KJB16130 pep chromosome:Graimondii2_0_v6:2:56234903:56240279:-1 gene:B456_002G213600 transcript:KJB16130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLLKSFLILSFRARPLAVFPSILIPKSLPRNRRTRTLSSVSASTFQSPPPSVSPETPTPIPPLSDSLRWVSRTAYCGDLSNQDVGSRVRLCGWVALHRVHGGLTFFNLRDHTGIVQITSLPGEFPDAHEAIKDLRLEYVVAVDGFVRSRPSESVNKKMKTGSIEVAAEHVQILNAVRSKLPLLVTSADDANDFVKEEIRLRYRYLDLRRQQMNFNIMLRHRVVKLIRRYLEDVHNFVEIETPMLSRSTPEGARDYLVPSRIQPGTFYALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMEMAFIPLEDMLRLNEDLIRKVFLEIKGVQLPNPFPRLTYAEAMDRYGSDRPDIRFDLELKNVSNVFLDSPFRLFADTLKNGGIIKTICVPSGAKRFSNTALKKGDVYNEAIKSGAKGLPFLKVLDDGEVEGIPALVSSLGPTNREDFLRKCSAGPGDLILFAVGHQTSVNRTLDRLRVFLAHELGLVDHSRHSVLWVTDFPMFEWNDSEQRLEPLHHPFTAPNPEDMGDLSSARALAYDMVYDGVEIGGGSLRIYKREIQQKVLETIGISLEQAEDKFGYLLEALDMGAPPHGGIAYGLDRLVMLLADANSIRDVIAFPKTSTAQCALTRAPSKVDIQQLKDLSLQQAQ >KJB12742 pep chromosome:Graimondii2_0_v6:2:2596948:2597174:1 gene:B456_002G0343001 transcript:KJB12742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEVDNAVVACFLELQVITPEPRVKTNVDTLLLSSRELAQSLFVNPHNLKSEVFEYQMPYSKVPAMYRKTRFAAP >KJB12782 pep chromosome:Graimondii2_0_v6:2:2817523:2822417:1 gene:B456_002G036300 transcript:KJB12782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRLNMRGRCSGSTPSEESALDFERTCCCHPHLPSFSSPTLQPFASAGQHSDNNAPYFSWPTSSRLNDAAEERANYFANLQKGVLPETLGGLPKGQQATTLLELMTIRAFHSKILRCYSLGTAIGFRIKKGVLTDIPAILVFVSRKVDKQWLSPIQCLPTALEGPGGVWCDVDVVEFSYFGAPEPAPKEQLYTEIVDDLRGGDPHIGSGSQVANQETYGTLGAIVKSQTGSRQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFADDFDMSTVTTSVKGVGEIGDVKVIDLQFSIGSLIGKHVMKVGRSSGLTSGTVLAYALEYNDEKGICFLTDFLVVGENQQSFDLEGDSGSLIIMKGENGEKPRPIGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLNLLELDIIITEEGLKDQERRNLVMDEYPNIMLEIELTLQLWSLGYEKPYSSKQCKNKELPQQQL >KJB12781 pep chromosome:Graimondii2_0_v6:2:2817413:2822515:1 gene:B456_002G036300 transcript:KJB12781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRLNMRGRCSGSTPSEESALDFERTCCCHPHLPSFSSPTLQPFASAGQHSDNNAPYFSWPTSSRLNDAAEERANYFANLQKGVLPETLGGLPKGQQATTLLELMTIRAFHSKILRCYSLGTAIGFRIKKGVLTDIPAILVFVSRKVDKQWLSPIQCLPTALEGPGGVWCDVDVVEFSYFGAPEPAPKEQLYTEIVDDLRGGDPHIGSGSQVANQETYGTLGAIVKSQTGSRQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFADDFDMSTVTTSVKGVGEIGDVKVIDLQFSIGSLIGKHVMKVGRSSGLTSGTVLAYALEYNDEKGICFLTDFLVVGENQQSFDLEGDSGSLIIMKGENGEKPRPIGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLNLLELDIIITEEGLKEAVQEQRAASAAAIASTVGDSSTPDRVLLKDKSENKFEPLGFQIQHIPLEVDCNSPETNPSTIKTEFHLEDGINAGPSIEHQFIPSFIGRSPLHKNFSDKVVSENLASLRSGCDEDLCVSLHLGDNEAKRRRSEASTSTEEPK >KJB16275 pep chromosome:Graimondii2_0_v6:2:57465226:57466266:-1 gene:B456_002G220600 transcript:KJB16275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTNGVIITVYVESHPTTAQPSSQSVPLISRNPVSRRPKRGQCSDRRARLLAYTQQLRAADKYHHPIEWNHSSPKSKKWKCPITPRRLAISFLGIFRNTKRRWKYQRMPSEEGDKCNCSGKKNIFRRRKFWSKLKRMFKGLSFVWQRKKRVNGKLS >KJB13992 pep chromosome:Graimondii2_0_v6:2:13759718:13760528:1 gene:B456_002G105100 transcript:KJB13992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASSTVAFDHSILAIDKIEKCYSSLLSIVTVVQWSWNILLRFSLFPYQIPTPIGGDDFKLGNRNHCSKRDVGDDDEEVECAICLCKIDEDDEIPELRCDHLFHKVCLDRWVGHRRTTCPVCRRSLTPRQLASGMEVILFKYCSFDDTSHRETWWLR >KJB12788 pep chromosome:Graimondii2_0_v6:2:2883687:2885740:1 gene:B456_002G036700 transcript:KJB12788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTVDDVQGVNDNKADHEDIQLPGFRFHPTDEELVGFYLKRKVEKKPLRFELIKQIDIYKFDPWDLPKASMVGGGGESESYFFCKRGRKYRNSVRPNRVTGSGFWKATGIDKPVYAQTGDHQGLACIGLKKTLVYYRGAAGKGTKTDWMMHEFRLPYPHESTTVVAFSNPKFAAQEAEVWTICRIFKRNSSLKKHKQDWRQITAKRASLTTAPTSQTCSVESKSNVQGKYITFGSPFIVDYHQYHNDEEKPSMLVNHHNISGKNQSQWHVTDRMSSAATAQIPSSMAASSSSFSNDDFFTEANWDELKSVVEFALEPFPM >KJB14843 pep chromosome:Graimondii2_0_v6:2:26791597:26800892:-1 gene:B456_002G146100 transcript:KJB14843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFELWGTSFTEEENSSFIQTEWQYDLYFGYGIDMIEENALNEKSCIQVLRILIAKADTEIDELEKDLVLLQSELVWAEHEEWHDICCNALRAKINCLDISIRKLRNKDENDIEVYLLMHTEPVEKLHEIMKSLLKSFCNEKHEQDVVPDSRSSSLEQSAALYKNQKLNSSDSCFIAKEENNGPNVTPKENFTSSNRSMELEVKKANSSETLANADVKDLMPHFLLPAAGQFDEKSIITLLDLETTKKLKESGCALKDKNVVRHFSLKSAQKRKNNPYRTKVKDAAAQCVNDSDLDASKHSAGRLKKKKKTSSSSLKILSEQATKHTSISAADMLILDSSSNSMGMTGDLTKKVKEEQTADIIANDLGLIAHKQMTGDSNEMKTLGKHDVRINGCEVQGQDTSNFSNSCLNPEHEGNIQKADKVENLKFDMDQKLCDFGVKSARKQRIKESKVASMDKNQHSNSLLKGEEKRNDTLQDVTLKEASPSDNEHSALTSLVELQDENGEDKTIQLMEEKSQMEEVPMSEVVSSDGKLVTNSYMRLQRGKVKTVKPNMESTAADAEESGLNSMENLSNSSPFPKGKRTWKNANNCSLNYSLTGKIIKKTVQHSQCEAEETSLMLDASQNTMPLPQKKCKKLSSVPIVVEFRASSVQMNISKLHGDLNKSNIVKTEFAESGALVDDLGAKGVVPRPLDANSLMKMKLRDLRAIAKSQKLKKYSTMKKEDLVKRLENGLSC >KJB14846 pep chromosome:Graimondii2_0_v6:2:26792010:26799989:-1 gene:B456_002G146100 transcript:KJB14846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFELWGTSFTEEENSSFIQTEWQYDLYFGYGIDMIEENALNEKSCIQVLRILIAKADTEIDELEKDLVLLQSELVWAEHEEWHDICCNALRAKINCLDISIRKLRNKDENDIEVYLLMHTEPVEKLHEIMKSLLKSFCNEKHEQNEQSQDVVPDSRSSSLEQSAALYKNQKLNSSDSCFIAKEENNGPNVTPKENFTSSNRSMELEVKKANSSETLANADVKDLMPHFLLPAAGQFDEKSIITLLDLETTKKLKESGCALKDKNVVRHFSLKSAQKRKNNPYRTKVKDAAAQCVNDSDLDASKHSAGRLKKKKKTSSSSLKILSEQATKHTSISAADMLILDSSSNSMGMTGDLTKKVKEEQTADIIANDLGLIAHKQMTGDSNEMKTLGKHDVRINGCEVQGQDTSNFSNSCLNPEHEGNIQKADKVENLKFDMDQKLCDFGVKSARKQRIKESKVASMDKNQHSNSLLKGEEKRNDTLQDVTLKEASPSDNEHSALTSLVELQDENGEDKTIQLMEEKSQMEEVPMSEVVSSDGKLVTNSYMRLQRGKVKTVKPNMESTAADAEESGLNSMENLSNSSPFPKGKRTWKNANNCSLNYSLTGKIIKKTVQHSQCEAEETSLMLDASQNTMPLPQKKCKKLSSVPIVVEFRASSVQMNISKLHGDLNKSNIVKTEFAESGALVDDLGAKGVVPRPLDANSLMKMKLRDLRAIAKSQKLKKYSTMKKEDLVKRLENGLSC >KJB14844 pep chromosome:Graimondii2_0_v6:2:26792010:26799989:-1 gene:B456_002G146100 transcript:KJB14844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFELWGTSFTEEENSSFIQTEWQYDLYFGYGIDMIEENALNEKSCIQVLRILIAKADTEIDELEKDLVLLQSELVWAEHEEWHDICCNALRAKINCLDISIRKLRNKDENDIEVYLLMHTEPVEKLHEIMKSLLKSFCNEKHEQNEQSQDVVPDSRSSSLEQSAALYKNQKLNSSDSCFIAKEENNGPNVTPKENFTSSNRSMELEVKKANSSETLANADVKDLMPHFLLPAAGQFDEKSIITLLDLETTKKLKESGCALKDKNVVRHFSLKSAQKRKNNPYRTKVKDAAAQCVNDSDLDASKHSAGRLKKKKKTSSSSLKILSEQATKHTSISAADMLILDSSSNSMGMTGDLTKKVKTADIIANDLGLIAHKQMTGDSNEMKTLGKHDVRINGCEVQGQDTSNFSNSCLNPEHEGNIQKADKVENLKFDMDQKLCDFGVKSARKQRIKESKVASMDKNQHSNSLLKGEEKRNDTLQDVTLKEASPSDNEHSALTSLVELQDENGEDKTIQLMEEKSQMEEVPMSEVVSSDGKLVTNSYMRLQRGKVKTVKPNMESTAADAEESGLNSMENLSNSSPFPKGKRTWKNANNCSLNYSLTGKIIKKTVQHSQCEAEETSLMLDASQNTMPLPQKKCKKLSSVPIVVEFRASSVQMNISKLHGDLNKSNIVKTEFAESGALVDDLGAKGVVPRPLDANSLMKMKLRDLRAIAKSQKLKKYSTMKKEDLVKRLENGLSC >KJB14842 pep chromosome:Graimondii2_0_v6:2:26791597:26800892:-1 gene:B456_002G146100 transcript:KJB14842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFELWGTSFTEENSSFIQTEWQYDLYFGYGIDMIEENALNEKSCIQVLRILIAKADTEIDELEKDLVLLQSELVWAEHEEWHDICCNALRAKINCLDISIRKLRNKDENDIEVYLLMHTEPVEKLHEIMKSLLKSFCNEKHEQDVVPDSRSSSLEQSAALYKNQKLNSSDSCFIAKEENNGPNVTPKENFTSSNRSMELEVKKANSSETLANADVKDLMPHFLLPAAGQFDEKSIITLLDLETTKKLKESGCALKDKNVVRHFSLKSAQKRKNNPYRTKVKDAAAQCVNDSDLDASKHSAGRLKKKKKTSSSSLKILSEQATKHTSISAADMLILDSSSNSMGMTGDLTKKVKEEQTADIIANDLGLIAHKQMTGDSNEMKTLGKHDVRINGCEVQGQDTSNFSNSCLNPEHEGNIQKADKVENLKFDMDQKLCDFGVKSARKQRIKESKVASMDKNQHSNSLLKGEEKRNDTLQDVTLKEASPSDNEHSALTSLVELQDENGEDKTIQLMEEKSQMEEVPMSEVVSSDGKLVTNSYMRLQRGKVKTVKPNMESTAADAEESGLNSMENLSNSSPFPKGKRTWKNANNCSLNYSLTGKIIKKTVQHSQCEAEETSLMLDASQNTMPLPQKKCKKLSSVPIVVEFRASSVQMNISKLHGDLNKSNIVKTEFAESGALVDDLGAKGVVPRPLDANSLMKMKLRDLRAIAKSQKLKKYSTMKKEDLVKRLENGLSC >KJB14845 pep chromosome:Graimondii2_0_v6:2:26791597:26800961:-1 gene:B456_002G146100 transcript:KJB14845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFELWGTSFTEEENSSFIQTEWQYDLYFGYGIDMIEENALNEKSCIQVLRILIAKADTEIDELEKDLVLLQSELVWAEHEEWHDICCNALRAKINCLDISIRKLRNKDENDIEVYLLMHTEPVEKLHEIMKSLLKSFCNEKHEQDVVPDSRSSSLEQSAALYKNQKLNSSDSCFIAKEENNGPNVTPKENFTSSNRSMELEVKKANSSETLANADVKDLMPHFLLPAAGQFDEKSIITLLDLETTKKLKESGCALKDKNVVRHFSLKSAQKRKNNPYRTKVKDAAAQCVNDSDLDASKHSAGRLKKKKKTSSSSLKILSEQATKHTSISAADMLILDSSSNSMGMTGDLTKKVKTADIIANDLGLIAHKQMTGDSNEMKTLGKHDVRINGCEVQGQDTSNFSNSCLNPEHEGNIQKADKVENLKFDMDQKLCDFGVKSARKQRIKESKVASMDKNQHSNSLLKGEEKRNDTLQDVTLKEASPSDNEHSALTSLVELQDENGEDKTIQLMEEKSQMEEVPMSEVVSSDGKLVTNSYMRLQRGKVKTVKPNMESTAADAEESGLNSMENLSNSSPFPKGKRTWKNANNCSLNYSLTGKIIKKTVQHSQCEAEETSLMLDASQNTMPLPQKKCKKLSSVPIVVEFRASSVQMNISKLHGDLNKSNIVKTEFAESGALVDDLGAKGVVPRPLDANSLMKMKLRDLRAIAKSQKLKKYSTMKKEDLVKRLENGLSC >KJB14841 pep chromosome:Graimondii2_0_v6:2:26791597:26797809:-1 gene:B456_002G146100 transcript:KJB14841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHFLLPAAGQFDEKSIITLLDLETTKKLKESGCALKDKNVVRHFSLKSAQKRKNNPYRTKVKDAAAQCVNDSDLDASKHSAGRLKKKKKTSSSSLKILSEQATKHTSISAADMLILDSSSNSMGMTGDLTKKVKEEQTADIIANDLGLIAHKQMTGDSNEMKTLGKHDVRINGCEVQGQDTSNFSNSCLNPEHEGNIQKADKVENLKFDMDQKLCDFGVKSARKQRIKESKVASMDKNQHSNSLLKGEEKRNDTLQDVTLKEASPSDNEHSALTSLVELQDENGEDKTIQLMEEKSQMEEVPMSEVVSSDGKLVTNSYMRLQRGKVKTVKPNMESTAADAEESGLNSMENLSNSSPFPKGKRTWKNANNCSLNYSLTGKIIKKTVQHSQCEAEETSLMLDASQNTMPLPQKKCKKLSSVPIVVEFRASSVQMNISKLHGDLNKSNIVKTEFAESGALVDDLGAKGVVPRPLDANSLMKMKLRDLRAIAKSQKLKKYSTMKKEDLVKRLENGLSC >KJB16597 pep chromosome:Graimondii2_0_v6:2:60225672:60227630:-1 gene:B456_002G238700 transcript:KJB16597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGLDLEAVGNSIRESLTYSSLPRTMLKLTKTQTFLTANHERFRLLPLSQLAATVDTQETSLKSQTHTQKPLESHPSLHIDPKYFVSVLLNCKNIFQIKQAHAQIVANGLLTNLFVSNKLLYIYVQHKAIDEAHAFFGGMREKDPVSWSVMVGGFAKDGDFVNCFRTFKELTRCSVQPDNYTLPFVLRVCRDRMDLLMGSLVHGVVLKSGLSWDHFVCAALVDMYAKCRVIDDARKLFDDMHKKDLVTWTVMIDGYAECGNANESLVLFDWMREEGIVPDKITMVTVVNACSKLGAMHKARFVHDYICSMKFSLTVILGTAMIDMYAKCGSVDFAREIFDGMREKNVISWSVMIAAYGYHGQGKKALDLFPMMLNCGIMPNRITFVSLLYACSHAGLVDEGFELFNIMWDKYGVKPDVKHCTCMVDLLGRAGRLDEALKLIENMTVEKDEGLWSAFLAACRIHKHVELAEWAAKSLLELQPQNPGHYVLLSNVYANAGMWEDMAKVRNLMTKGNLKKIPGWTWIEVDNKIHQFSVGDKSHPLSKEIYGLLKSLIEKLELAGYVPDTNFVLHDVDEEVKVGMLYTHSEKLAITFGLIATPEGTPIRITKNLRVCGDCHTFIKFVSAITKRSIIVRDSNRFHHFIEGACSCGDYW >KJB13864 pep chromosome:Graimondii2_0_v6:2:12575023:12577295:1 gene:B456_002G098800 transcript:KJB13864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIAILLDLYQKKPSFCSPQSFHSSGLFSASAAAASAAATVAAGTPFASRFLFGYPKVSHCDAGAVLPEHYISNTQRSSEDFFKNDALKYSVKEYKIELKPLFSAFELRPFALTTLRSFLLFYLPLLEPSLNIEEDEEDFPQDSTEERRVDLVVPLKKSVKQIIRETTVVTTRRILERLAVIYVSQRMAWKLLKDVPKSAVRKSQRGMPATVYLFRVSRTTFRGHLLGVTAAWIVQTGIEIYRCFSRITNSDESDEANVTVRAKLLGKKISGITIRCGASLIFASIGAGICATLFRPSVGQWIGKLLTYMHQFTLYYII >KJB13863 pep chromosome:Graimondii2_0_v6:2:12574943:12577554:1 gene:B456_002G098800 transcript:KJB13863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIAILLDLYQKKPSFCSPQSFHSSGLFSASAAAASAAATVAAGTPFASRFLFGYPKVSHCDAGAVLPEHYISNTQRSSEDFFKNDALKYSVKEYKIELKPLFSAFELRPFALTTLRSFLLFYLPLLEPSLNIEEDEEDFPQDSTEERRVDLVVPLKKSVKQIIRETTVVTTRRILERLAVIYVSQRMAWKLLKDVPKSAVRKSQRGMPATVYLFRVSRTTFRGHLLGVTAAWIVQTGIEIYRCFSRITNSDESDEANVTVRAKLLGKKISGITIRCGASLIFASIGAGICATLFRPSVGQWIGCAAGDLAGPIIVSVCLEKVFHVDL >KJB13865 pep chromosome:Graimondii2_0_v6:2:12575023:12577554:1 gene:B456_002G098800 transcript:KJB13865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIAILLDLYQKKPSFCSPQSFHSSGLFSASAAAASAAATVAAGTPFASSYPKVSHCDAGAVLPEHYISNTQRSSEDFFKNDALKYSVKEYKIELKPLFSAFELRPFALTTLRSFLLFYLPLLEPSLNIEEDEEDFPQDSTEERRVDLVVPLKKSVKQIIRETTVVTTRRILERLAVIYVSQRMAWKLLKDVPKSAVRKSQRGMPATVYLFRVSRTTFRGHLLGVTAAWIVQTGIEIYRCFSRITNSDESDEANVTVRAKLLGKKISGITIRCGASLIFASIGAGICATLFRPSVGQWIGCAAGDLAGPIIVSVCLEKVFHVDL >KJB17003 pep chromosome:Graimondii2_0_v6:2:62129272:62132841:-1 gene:B456_002G260200 transcript:KJB17003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGSPVVGGNDTDQQALLQFKAKITGYQLEVMESWNSSIHFCQWRGVTCGRKHRRVANGEIPSNLSACSKLILVYMGGNQLTGEIPALLGHLSHVKFLSFRNNDLRGSIPPSFGNLSSLEELRLEYNGLTGIIPEALGRLTNLSYFSVPENAISGIFPMAMFNLSNIIAFDIGGNKIQGTLHFDLAVTMPNVELISIWGNQISGQIPIAVCNASSLIELQLNDNRLTGNVPSLEKLDKLTLLELGANALGRGREGDLKFFCTLVNNTKLLDLYVGVNNFGGALPKCISNFSSSLLHLSIYQNKISGRILDRIGNLINLEVLDASENQLSGPIPFDIGRHQKLQRFYFDRNFLSGTIPDSIGNLTMLALVNLAFNNLQGSIPSSLGHCQNLLAMSLSHNNLSGPIPPQAFELPFLSIFLDLKSNYLTGGLPLTVGKLKNLGAFRVSRNRLSGLLPNNLGSCVHLEALFLDGNLFEGPIPSSLSSLRGLVALDVSDNNLSGDVPEFLVSFGALKYLNLSFNDFEGLIPSEGVFKNKSATFVEGNNKLCGGIPELHLSRCNSKTSSDTSLKLKVAIIVVILGVALVFSCLLILWFRKKKEQPTTTCAENSILQLSYQSILRATDGFSIQNLLGSGSFGSVYKGILEESGAIIAVKVLNLLNRGASRSFLAECEALRNIRHRNLVKVLTAISGVDYRGNDFKALVYEFMEYGSLEEWLHQSINRNESDIVRNLSFFQRVNVAIDVAYALEYLHHQCETTIIHCDLKPSNILLDQEMVGHISDFGLAKILSSDRLNYSTNQSSSIGLRGTIGYAPPEYGMGSELSTKGDVYSYGILLLEIFTGKRPTDDMFKEGLSIHNFVEAALPEQVIEITDPILLQERARQGTLKEFTLNDKHLQHLNSIFEIGLTCSAESPTERMDMSDVVSKLCSIRVKLLHPTRVHRERQALYIAQSTGT >KJB12583 pep chromosome:Graimondii2_0_v6:2:1814312:1815029:-1 gene:B456_002G025100 transcript:KJB12583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLEKRGNLFILTLTGEDQHRFNPDLISSLLDLLSQVKAQATSGSVLITLAQGKFFSYGFDLDWAQAAGSERGAAKRLQDMADLFKPVIATLLSLPMPTIAAITGHAVAAGFTLALSHDYLIMRKDRGILWMPEVDIAVTLPDYINALFKEKIGDASVRRDLFLRGLKMKGDEAEKRGVVKAAYDGEAEVREASWHGEVYAEIRKGLYPQLSAILGLSHKVYAIARL >KJB13976 pep chromosome:Graimondii2_0_v6:2:13200110:13207066:1 gene:B456_002G103600 transcript:KJB13976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSVEDMIEASSGVHFSGFHMDGLESKIIEKPTTSPGAENVHKQPFIIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELARVHEYNFDHPDAFDTEKLLDSIENLRHDRAVDIPNYDFKSYKSNAFPARRVNPSDVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVDKGRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYSNLYVIQSTFQIRGMHTLIRDSLTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRRYNSGLKS >KJB13973 pep chromosome:Graimondii2_0_v6:2:13200110:13207066:1 gene:B456_002G103600 transcript:KJB13973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSVEDMIEASSGVHFSGFHMDGLESKIIEKPTTSPGAENVHKQPFIIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELARVHEYNFDHPDAFDTEKLLDSIENLRHDRAVDIPNYDFKSYKSNAFPARRVNPSDVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVDKGRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYSNLYVIQSTFQIRGMHTLIRDSLTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRRYNSGLKS >KJB13974 pep chromosome:Graimondii2_0_v6:2:13200110:13207066:1 gene:B456_002G103600 transcript:KJB13974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSVEDMIEASSGVHFSGFHMDGLESKIIEKPTTSPGAENVHKQPFIIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELARVHEYNFDHPDAFDTEKLLDSIENLRHDRAVDIPNYDFKSYKSNAFPARRVNPSDVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVDKGRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYSNLYVIQSTFQGLYIQVWISVRGYVVFRLLGVVRVWRMRCEHAAKVSRLAKFLFTGKVTMVSSLFMKNYRKTSQRGMYCYWILS >KJB13972 pep chromosome:Graimondii2_0_v6:2:13200072:13207154:1 gene:B456_002G103600 transcript:KJB13972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSVEDMIEASSGVHFSGFHMDGLESKIIEKPTTSPGAENVHKQPFIIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELARVHEYNFDHPDAFDTEKLLDSIENLRHDRAVDIPNYDFKSYKSNAFPARRVNPSDVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVDKGRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYSNLYVIQSTFQIRGMHTLIRDSLTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPQDISERHVLLLDPILGTGNSAVQAISLLIKKGVPESNIIFLNLISAPQGVHVVCKSFPRLKIVTSEIEIGLNEDFRVVPGMGEFGDRYFGTDDDDNLHAVAPMQLSNQSR >KJB13977 pep chromosome:Graimondii2_0_v6:2:13200110:13207066:1 gene:B456_002G103600 transcript:KJB13977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSVEDMIEASSGVHFSGFHMDGLESKIIEKPTTSPGAENVHKQPFIIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELARVHEYNFDHPDAFDTEKLLDSIENLRHDRAVDIPNYDFKSYKSNAFPARRVNPSDVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVDKGRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYSNLYVIQSTFQIRGMHTLIRDSLTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPQDISERHVLLLDPILGTGNSAVQAISLLIKKGVPESNIIFLNLISVSYCWYKPS >KJB13975 pep chromosome:Graimondii2_0_v6:2:13201001:13205041:1 gene:B456_002G103600 transcript:KJB13975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSVEDMIEASSGVHFSGFHMDGLESKIIEKPTTSPGAENVHKQPFIIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELARVHEYNFDHPDAFDTEKLLDSIENLRHDRAVDIPNYDFKSYKSNAFPARRVNPSDVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVDKGRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYSNLYVIQSTFQIRGMHTLIRDSLTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRRYNSGLKS >KJB14980 pep chromosome:Graimondii2_0_v6:2:31298346:31301093:-1 gene:B456_002G153200 transcript:KJB14980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKFGSFSSICNALYLLIQEAKEKFQQLQKVISILGDEEKRAVYDQTGCVDDTDLAGDVVENLKSFFRTMYKKVTDADIEEFEANYRGSDSEKKDLIDLYRKFKGNMNKLFCSMLCSDPKLDSHRFKDMLDEAIAAGELKESKAYKKWAKKVSEMKPPTSPLRRKGKSNKQPESDLFAIISQRRNERKDRFDSMFSSLVSKYGGNAESEPTEEEFEAAKRKVESKKASNNSKRKRQ >KJB14983 pep chromosome:Graimondii2_0_v6:2:31300046:31301389:-1 gene:B456_002G153200 transcript:KJB14983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKKSRVSRDEDEEDEVVQEEEGNHSSSNEKSLYEILGVEKVASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRAVYDQTGCVDDTDLAGDVVENLKSFFRTMYKKVTDADIEEFEANYRGSDSEKKDLIDLYRKFKGNMNKLFCSMLCSDPKLDSHRFKDMLDEAIAAGEAFLGNLFSQAVL >KJB14981 pep chromosome:Graimondii2_0_v6:2:31298940:31301389:-1 gene:B456_002G153200 transcript:KJB14981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKKSRVSRDEDEEDEVVQEEEGNHSSSNEKSLYEILGVEKVASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRAVYDQTGCVDDTDLAGDVVENLKSFFRTMYKKVTDADIEEFEANYRGSDSEKKDLIDLYRKFKGNMNKLFCSMLCSDPKLDSHRFKDMLDEAIAAGELKESKAYKKWAKKVSEMKPPTSPLRRKGKSNKQPESDLFAIISQRRNERKDRFDSMFSSLVSKYGGNAESEPTEEEFEAAKRKVESKKASNNSKRKRQ >KJB14982 pep chromosome:Graimondii2_0_v6:2:31298346:31301495:-1 gene:B456_002G153200 transcript:KJB14982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKKSRVSRDEDEEDEVVQEEEGNHSSSNEKSLYEILGVEKVASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRAVYDQTGCVDDTDLAGDVVENLKSFFRTMYKKVTDADIEEFEANYRGSDSEKKDLIDLYRKFKGNMNKLFCSMLCSDPKLDSHRFKDMLDEAIAAGELKESKAYKKWAKKVSEMKPPTSPLRRKGKSNKQPESDLFAIISQRRNERKDRFDSMFSSLVSKYGGNAESEPTEEEFEAAKRKVESKKASNNSKRKRQ >KJB17147 pep chromosome:Graimondii2_0_v6:2:62705552:62707626:-1 gene:B456_002G268300 transcript:KJB17147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSAELESILPYLPLVIGSSRRLLWPSKVVEALEAMSRGPDHSLVNCGEVLSIAISDMRASLSLADPLALSAPLGYALFFDELMSGADSRKWFAEDIPKLANLLLRLPSLLEVHYQNSRAYGYGLRILGPQQPGMVLLSQELIGALLACSLFCLFPISNRGLKHLPTINFDQLFASLYDSYSESQENKVRCIICYFQRICLQMPTGSVSFERKLLSLEHHPWQSFLSYPYADFWTKSTIPLCPFQVHSSGLIEDHAIEALEVDFANKYLGGGALHRGCVQEEIRFMINPELIAGMLFLPSMADNEAIEIVGAERFSDYTG >KJB17146 pep chromosome:Graimondii2_0_v6:2:62705175:62707626:-1 gene:B456_002G268300 transcript:KJB17146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSAELESILPYLPLVIGSSRRLLWPSKVVEALEAMSRGPDHSLVNCGEVLSIAISDMRASLSLADPLALSAPLGYALFFDELMSGADSRKWFAEDIPKLANLLLRLPSLLEVHYQNSRAYGYGLRILGPQQPGMVLLSQELIGALLACSLFCLFPISNRGLKHLPTINFDQLFASLYDSYSESQENKVRCIICYFQRICLQMPTGSVSFERKLLSLEHHPWQSFLSYPYADFWTKSTIPLCPFQVHSSGLIEDHAIEALEVDFANKYLGGGALHRGCVQEEIRFMINPELIAGMLFLPSMADNEAIEIVGAERFSDYTGYASSFRFSGDYVDKRSVDFLGRRKTRIVAIDALCSPRMKQYKLKYLIRYVNFGFWMTFMCMPGL >KJB17144 pep chromosome:Graimondii2_0_v6:2:62703612:62706510:-1 gene:B456_002G268300 transcript:KJB17144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGSVSFERKLLSLEHHPWQSFLSYPYADFWTKSTIPLCPFQVHSSGLIEDHAIEALEVDFANKYLGGGALHRGCVQEEIRFMINPELIAGMLFLPSMADNEAIEIVGAERFSDYTGYASSFRFSGDYVDKRSVDFLGRRKTRIVAIDALCSPRMKQYKLKYLIRETNKAFCGFLDQSKYSQYKRIFKMNSVQLNEGSSLPIKAKGESRTEEVRKSDEKSWRLEDCENYIGIATGNWGCGAFGGDPEVKTIIQWLAASQALRPFISYYTFGLEALQSLAQVTQWIILQKWNVGDLWNILIEYSSQKLRGEADVGFFAWLLPSLPK >KJB17145 pep chromosome:Graimondii2_0_v6:2:62703313:62707626:-1 gene:B456_002G268300 transcript:KJB17145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSAELESILPYLPLVIGSSRRLLWPSKVVEALEAMSRGPDHSLVNCGEVLSIAISDMRASLSLADPLALSAPLGYALFFDELMSGADSRKWFAEDIPKLANLLLRLPSLLEVHYQNSRAYGYGLRILGPQQPGMVLLSQELIGALLACSLFCLFPISNRGLKHLPTINFDQLFAYSESQENKVRCIICYFQRICLQMPTGSVSFERKLLSLEHHPWQSFLSYPYADFWTKSTIPLCPFQVHSSGLIEDHAIEALEVDFANKYLGGGALHRGCVQEEIRFMINPELIAGMLFLPSMADNEAIEIVGAERFSDYTGYASSFRFSGDYVDKRSVDFLGRRKTRIVAIDALCSPRMKQYKLKYLIRETNKAFCGFLDQSKYSQYKRIFKMNSVQLNEGSSLPIKAKGESRTEEVRKSDEKSWRLEDCENYIGIATGNWGCGAFGGDPEVKTIIQWLAASQALRPFISYYTFGLEALQSLAQVTQWIILQKWNVGDLWNILIEYSSQKLRGEADVGFFAWLLPSLPK >KJB17142 pep chromosome:Graimondii2_0_v6:2:62703297:62707698:-1 gene:B456_002G268300 transcript:KJB17142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVSASWVRSNLAWCFSPRSLYDSYSESQENKVRCIICYFQRICLQMPTGSVSFERKLLSLEHHPWQSFLSYPYADFWTKSTIPLCPFQVHSSGLIEDHAIEALEVDFANKYLGGGALHRGCVQEEIRFMINPELIAGMLFLPSMADNEAIEIVGAERFSDYTGYASSFRFSGDYVDKRSVDFLGRRKTRIVAIDALCSPRMKQYKLKYLIRETNKAFCGFLDQSKYSQYKRIFKMNSVQLNEGSSLPIKAKGESRTEEVRKSDEKSWRLEDCENYIGIATGNWGCGAFGGDPEVKTIIQWLAASQALRPFISYYTFGLEALQSLAQVTQWIILQKWNVGDLWNILIEYSSQKLRGEADVGFFAWLLPSLPK >KJB17141 pep chromosome:Graimondii2_0_v6:2:62703612:62707508:-1 gene:B456_002G268300 transcript:KJB17141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSAELESILPYLPLVIGSSRRLLWPSKVVEALEAMSRGPDHSLVNCGEVLSIAISDMRASLSLADPLALSAPLGYALFFDELMSGADSRKWFAEDIPKLANLLLRLPSLLEVHYQNSRAYGYGLRILGPQQPGMVLLSQELIGALLACSLFCLFPISNRGLKHLPTINFDQLFASLYDSYSESQENKVRCIICYFQRICLQMPTGSVSFERKLLSLEHHPWQSFLSYPYADFWTKSTIPLCPFQVHSSGLIEDHAIEALEVDFANKYLGGGALHRGCVQEEIRFMINPELIAGMLFLPSMADNEAIEIVGAERFSDYTGYASSFRFSGDYVDKRSVDFLGRRKTRIVAIDALCSPRMKQYKLKYLIRETNKAFCGFLDQSKYSQYKRIFKMNSVQLNEGSSLPIKAKGESRTEEVRKSDEKSWRLEDCENYIGIATGNWGCGAFGGDPEVKTIIQWLAASQALRPFISYYTFGLEALQSLAQVTQWIILQKWNVGDLWNILIEYSSQKLRGEADVGFFAWLLPSLPK >KJB17143 pep chromosome:Graimondii2_0_v6:2:62703313:62707626:-1 gene:B456_002G268300 transcript:KJB17143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSAELESILPYLPLVIGSSRRLLWPSKVVEALEAMSRGPDHSLVNCGEVLSIAISDMRASLSLADPLALSAPLGYALFFDELMSGADSRKWFAEDIPKLANLLLRLPSLLEVHYQNSRAYGYGLRILGPQQPGMVLLSQELIGALLACSLFCLFPISNRGLKHLPTINFDQLFASLYDSYSESQENKVRCIICYFQRICLQMPTGSVSFERKLLSLEHHPWQSFLSYPYADFWTKSTIPLCPFQVHSSGLIEDHAIEALEVDFANKYLGGGALHRGCVQEEIRFMINPELIAGMLFLPSMADNEAIEIVGAERFSDYTGYASSFRFSGDYVDKRSVDFLGRRKTRIVAIDALCSPRMKQYKLKYLIRYTNKAFCGFLDQSKYSQYKRIFKMNSVQLNEGSSLPIKAKGESRTEEVRKSDEKSWRLEDCENYIGIATGNWGCGAFGGDPEVKTIIQWLAASQALRPFISYYTFGLEALQSLAQVTQWIILQKWNVGDLWNILIEYSSQKLRGEADVGFFAWLLPSLPK >KJB13647 pep chromosome:Graimondii2_0_v6:2:10998511:11004802:-1 gene:B456_002G086900 transcript:KJB13647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMGIVSSTSQTAATPFGRPFCPSSSWFFRSRSRHFFLASSRALQRREMCTMQSVHCAFLSATMSSSSRHCHHPKDMRLKVAPSEYARMVHPEPDCYLADNKYKGVRVDHKTNENSASFGKSEVTSFRVNDFTFTPKITGIPNAAHSWEKEAYRVKKNKEMAKESFKGRNFSSDDQQYLNLKLTGSLFKTTNKEESVSGNNGRSVTLFESHCPPLPTSNSQLSIENTVATDEINGFKQLNRTEVSGNGSLPGLVFDDHEDLGTSEDGDFLFEDHSPQTPTSSKQISRAKSVTSDRVNGSKQLNGSSQGAVSDDIQDRGHMDPKVTRRDQANENGVASSEENLPVYRNDIHKQLAKIYDQVLVVDNISVAKEVVLMLTTKFRHLVHACDTEVSNIDVKQETPVDHGEITCFSIYSGADADFGNGKSCIWVDILDGGGRDLLKEFVPFFEDQSIKKVWHNYSFDSHVINNYGLEVSGFHADTMHMARLWDSSRRTLGGYSLEALTGDRNVMQRSTWCKEEKELIGKTSMKTIFGKKKVKKDGSEGKMITIAPVEELQREERKLWICYSALDSISTLRLYESLKNKLSSMSWVFDGKTIPGKSMYHFYEEYWRPFGEILVKMEREGMLVDRMYLAQLEKVAKVEQEIAANRFRIWASRYCDDAKYMNVGSDTQLRQLLYGGILNSKDPNVSLPDEKTFKIPNVDKVIEEGKKAPTKFRNIKLCSIGVKLPAEIYTATGWPSVSGVALKSLAGKVSAEYDFTEDTGDGDIDDYPETMTAVDKSAYGTAFAAFEDEEKGREACHAIASLCEVCSIDSLISNFILPLQGSNVSGKGGRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFVAAPGNSLVVADYGQLELRILAHLADCKSMLDAFKAGGDFHSRTAMNMYSHIHEAVEEGQVLLEWHPQPGEEKPPVPLLKDAFASERRKAKMLNFSIAYGKTPVGLAKDWKVSVEEARNTVDLWYKERQEVLEWQKRRKFEAQKFGCVKTLLGRARRFPSFAHCTRAQKGHIERAAINTPVQGSAADVAMCAMLQISKNERLKELGWRLLLQVMPFIFSSICSNSIFYL >KJB13646 pep chromosome:Graimondii2_0_v6:2:10997670:11005147:-1 gene:B456_002G086900 transcript:KJB13646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMGIVSSTSQTAATPFGRPFCPSSSWFFRSRSRHFFLASSRALQRREMCTMQSVHCAFLSATMSSSSRHCHHPKDMRLKVAPSEYARMVHPEPDCYLADNKYKGVRVDHKTNENSASFGKSEVTSFRVNDFTFTPKITGIPNAAHSWEKEAYRVKKNKEMAKESFKGRNFSSDDQQYLNLKLTGSLFKTTNKEESVSGNNGRSVTLFESHCPPLPTSNSQLSIENTVATDEINGFKQLNRTEVSGNGSLPGLVFDDHEDLGTSEDGDFLFEDHSPQTPTSSKQISRAKSVTSDRVNGSKQLNGSSQGAVSDDIQDRGHMDPKVTRRDQANENGVASSEENLPVYRNDIHKQLAKIYDQVLVVDNISVAKEVVLMLTTKFRHLVHACDTEVSNIDVKQETPVDHGEITCFSIYSGADADFGNGKSCIWVDILDGGGRDLLKEFVPFFEDQSIKKVWHNYSFDSHVINNYGLEVSGFHADTMHMARLWDSSRRTLGGYSLEALTGDRNVMQRSTWCKEEKELIGKTSMKTIFGKKKVKKDGSEGKMITIAPVEELQREERKLWICYSALDSISTLRLYESLKNKLSSMSWVFDGKTIPGKSMYHFYEEYWRPFGEILVKMEREGMLVDRMYLAQLEKVAKVEQEIAANRFRIWASRYCDDAKYMNVGSDTQLRQLLYGGILNSKDPNVSLPDEKTFKIPNVDKVIEEGKKAPTKFRNIKLCSIGVKLPAEIYTATGWPSVSGVALKSLAGKVSAEYDFTEDTGDGDIDDYPETMTAVDKSAYGTAFAAFEDEEKGREACHAIASLCEVCSIDSLISNFILPLQGSNVSGKGGRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFVAAPGNSLVVADYGQLELRILAHLADCKSMLDAFKAGGDFHSRTAMNMYSHIHEAVEEGQVLLEWHPQPGEEKPPVPLLKDAFASERRKAKMLNFSIAYGKTPVGLAKDWKKKQGTLLIYGTKKDKKCLNGRNGVNLKLRNSAVLKHCLEGLAASHHLLIVHVLRKVILNGLLSILQCRGVPLMLQCVLCYKYQRMNV >KJB13648 pep chromosome:Graimondii2_0_v6:2:11000806:11005147:-1 gene:B456_002G086900 transcript:KJB13648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMGIVSSTSQTAATPFGRPFCPSSSWFFRSRSRHFFLASSRALQRREMCTMQSVHCAFLSATMSSSSRHCHHPKDMRLKVAPSEYARMVHPEPDCYLADNKYKGVRVDHKTNENSASFGKSEVTSFRVNDFTFTPKITGIPNAAHSWEKEAYRVKKNKEMAKESFKGRNFSSDDQQYLNLKLTGSLFKTTNKEESVSGNNGRSVTLFESHCPPLPTSNSQLSIENTVATDEINGFKQLNRTEVSGNGSLPGLVFDDHEDLGTSEDGDFLFEDHSPQTPTSSKQISRAKSVTSDRVNGSKQLNGSSQGAVSDDIQDRGHMDPKVTRRDQANENGVASSEENLPVYRNDIHKQLAKIYDQVLVVDNISVAKEVVLMLTTKFRHLVHACDTEVSNIDVKQETPVDHGEITCFSIYSGADADFGNGKSCIWVDILDGGGRDLLKEFVPFFEDQSIKKVWHNYSFDSHVINNYGLEVSGFHADTMHMARLWDSSRRTLGGYSLEALTGDRNVMQRSTWCKEEKELIGKTSMKTIFGKKKVKKDGSEGKMITIAPVEELQREERKLWICYSALDSISTLRLYESLKNKLSSMSWVFDGKTIPGKSMYHFYEEYWRPFGEILVKMEREGMLVDRMYLAQLEKVAKVEQEIAANRFRIWASRYCDDAKYMNVGSDTQLRQLLYGGILNSKDPNVSLPDEKTFKIPNVDKVIEEGKKAPTKFRNIKLCSIGVKLPAEIYTATGWPSVSGVALKSLAGKVSAEYDFTEDTGDGDIDDYPETMTAVDKSAYGTAFAAFEDEEKGREACHAIASLCEVCSIDSLISNFILPLQVILMPCLIGHTC >KJB13645 pep chromosome:Graimondii2_0_v6:2:10997645:11005249:-1 gene:B456_002G086900 transcript:KJB13645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMGIVSSTSQTAATPFGRPFCPSSSWFFRSRSRHFFLASSRALQRREMCTMQSVHCAFLSATMSSSSRHCHHPKDMRLKVAPSEYARMVHPEPDCYLADNKYKGVRVDHKTNENSASFGKSEVTSFRVNDFTFTPKITGIPNAAHSWEKEAYRVKKNKEMAKESFKGRNFSSDDQQYLNLKLTGSLFKTTNKEESVSGNNGRSVTLFESHCPPLPTSNSQLSIENTVATDEINGFKQLNRTEVSGNGSLPGLVFDDHEDLGTSEDGDFLFEDHSPQTPTSSKQISRAKSVTSDRVNGSKQLNGSSQGAVSDDIQDRGHMDPKVTRRDQANENGVASSEENLPVYRNDIHKQLAKIYDQVLVVDNISVAKEVVLMLTTKFRHLVHACDTEVSNIDVKQETPVDHGEITCFSIYSGADADFGNGKSCIWVDILDGGGRDLLKEFVPFFEDQSIKKVWHNYSFDSHVINNYGLEVSGFHADTMHMARLWDSSRRTLGGYSLEALTGDRNVMQRSTWCKEEKELIGKTSMKTIFGKKKVKKDGSEGKMITIAPVEELQREERKLWICYSALDSISTLRLYESLKNKLSSMSWVFDGKTIPGKSMYHFYEEYWRPFGEILVKMEREGMLVDRMYLAQLEKVAKVEQEIAANRFRIWASRYCDDAKYMNVGSDTQLRQLLYGGILNSKDPNVSLPDEKTFKIPNVDKVIEEGKKAPTKFRNIKLCSIGVKLPAEIYTATGWPSVSGVALKSLAGKVSAEYDFTEDTGDGDIDDYPETMTAVDKSAYGTAFAAFEDEEKGREACHAIASLCEVCSIDSLISNFILPLQGSNVSGKGGRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFVAAPGNSLVVADYGQLELRILAHLADCKSMLDAFKAGGDFHSRTAMNMYSHIHEAVEEGQVLLEWHPQPGEEKPPVPLLKDAFASERRKAKMLNFSIAYGKTPVGLAKDWKVSVEEARNTVDLWYKERQEVLEWQKRRKFEAQKFGCVKTLLGRARRFPSFAHCTRAQKGHIERAAINTPVQGSAADVAMCAMLQISKNERLKELGWRLLLQVHDEVILEGPSESAEIAKAIVVDCMSKPFGGKNTLKVELAVDAKCAQNWYAAK >KJB14153 pep chromosome:Graimondii2_0_v6:2:15841096:15842494:1 gene:B456_002G112300 transcript:KJB14153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFLPPLSARSPSCACSLGILMSSEFSFIFRLMDVKQGQNKEGKTVLYLVFEYMDTDLKKYIRSFRQTGENIPVNIVKSLMYQLCKGVAFCHGHGVLHRDLKPHNLLMDRKTMTLKIADLGLARAFTLPIKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVACIFAELVTKQALFPGDSELQQLLHIFRLLGTPNEQVWPGVSSLPNWHEYPQWSAQSLASAVPNLDSDGLHLLSEMLQYDPSKRISAKKAMEHPYFADLNKAYI >KJB14154 pep chromosome:Graimondii2_0_v6:2:15840882:15842820:1 gene:B456_002G112300 transcript:KJB14154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQLCKGVAFCHGHGVLHRDLKPHNLLMDRKTMTLKIADLGLARAFTLPIKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVACIFAELVTKQALFPGDSELQQLLHIFRLLGTPNEQVWPGVSSLPNWHEYPQWSAQSLASAVPNLDSDGLHLLSEMLQYDPSKRISAKKAMEHPYFADLNKAYI >KJB14152 pep chromosome:Graimondii2_0_v6:2:15840882:15842820:1 gene:B456_002G112300 transcript:KJB14152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPRQISAMEAFEKLEKVGEGTYGKVYRARERATGKIVALKKTRLHEDDEGVPPTTLREVSILRMLSRDPHVVRLMDVKQGQNKEGKTVLYLVFEYMDTDLKKYIRSFRQTGENIPVNIVKSLMYQLCKGVAFCHGHGVLHRAPEVLLGATHYSTAVDMWSVACIFAELVTKQALFPGDSELQQLLHIFRLLGTPNEQVWPGVSSLPNWHEYPQWSAQSLASAVPNLDSDGLHLLSEMLQYDPSKRISAKKAMEHPYFADLNKAYI >KJB14151 pep chromosome:Graimondii2_0_v6:2:15840776:15842888:1 gene:B456_002G112300 transcript:KJB14151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPRQISAMEAFEKLEKVGEGTYGKVYRARERATGKIVALKKTRLHEDDEGVPPTTLREVSILRMLSRDPHVVRLMDVKQGQNKEGKTVLYLVFEYMDTDLKKYIRSFRQTGENIPVNIVKSLMYQLCKGVAFCHGHGVLHRDLKPHNLLMDRKTMTLKIADLGLARAFTLPIKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVACIFAELVTKQALFPGDSELQQLLHIFRLLGTPNEQVWPGVSSLPNWHEYPQWSAQSLASAVPNLDSDGLHLLSEMLQYDPSKRISAKKAMEHPYFADLNKAYI >KJB16930 pep chromosome:Graimondii2_0_v6:2:61927636:61930396:1 gene:B456_002G257400 transcript:KJB16930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASSSSFTMLHLASLFSIFVLVNARIPGVYTGGSWETAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANDPRWCHPGSPSIFITATNFCPPNFALPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSFRRVPCRKQGGIRFTINGFRYFNLVLITNVAGAGDIVKVSIKGTNTGWTSMSRNWGQNWQSNVILVGQALSFRVKGSDKRTSTSWNVAPTNWQFGQTFTGKNFRY >KJB12839 pep chromosome:Graimondii2_0_v6:2:3092522:3097700:1 gene:B456_002G038700 transcript:KJB12839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGRKRQVVDERYTRPQGLDIDIDVDIKKLRKLILDSKLAPCYPGDEECCSDLEECPICFLYYPSLNRSRCCRKSICTECFLQMKNPNSARPTQCPFCKTPNYAVEYRGVKTKEEKGMEQIEEQRVIEAQLRIRQQELQDEEERMQKRRESSTSTGVAPGEVQNSSVAARSSGAEEVVSFQDSQPALMSKQPSNPRSNRDEFDIDLEDIMVTEAIWQSIQENCGQRNSSCRDAASLVQHVSGYRYISPAMTTVAGSSSSASASHVCAVAGLTEWQQMTGESSSNYNVNLPAFNMLPSSSRFCCNRLDQVAENYHSAQSPVDMSAEGGMTPARDEGEWGVDLGPEVAKAGTSYASSDVLGDASGISMIPPQEDEIRDGFYNVSEPIVPESYEEQMMLAMALSLAEGRTMTSGVGPRSSYERSSFSNR >KJB12840 pep chromosome:Graimondii2_0_v6:2:3092610:3097768:1 gene:B456_002G038700 transcript:KJB12840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGRKRQVVDERYTRPQGLDIDIDVDIKKLRKLILDSKLAPCYPGDEECCSDLEECPICFLYYPSLNRSRCCRKSICTECFLQMKNPNSARPTQCPFCKTPNYAVEYRGVKTKEEKGMEQIEEQRVIEAQLRIRQQELQDEEERMQKRRESSTSTGVAPGEVQNSSVAARSSGAEEVVSFQDSQPALMSKQPSNPRSNRDEFDIDLEDIMVTEAIWQSIQENCGQRNSSCRDAASLVQHVSGYRYISPAMTTVAGSSSSASASHVCAVAGLTEWQQMTGESSSNYNVNLPAFNMLPSSSRFCCNRLDQVAENYHSAQSPVDMSAEGGMTPARDEGEWGVDLGPEVAKAGTSYASSDVLGDASGISMIPPQEDEIRDGFYNVSEPIVPESYEEQMMLAMALSLAEGRTMTSGVGPRSSYERSSFSNR >KJB12056 pep chromosome:Graimondii2_0_v6:2:44693920:44698440:-1 gene:B456_002G175000 transcript:KJB12056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDVYGNHVEEGLEVQFQLDGFSIPGLIGSKHKVDNLGRIDLGGILKVTAGYGKQVSLSILHGDKVTFKQAFQMVFLGSYEYHQGGLFLDMILVFLVSCGSPCSMLFICCYWWFPVLQL >KJB12885 pep chromosome:Graimondii2_0_v6:2:3529782:3534155:-1 gene:B456_002G042700 transcript:KJB12885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDASLDTDDCNSLPLSSVLPYHYHYDQSSVSLFLSSSSSSSYNYYNYYGGRLEGSIIYVMNCSKPIKSSLYIEASRCTIKSNTSSSLPTSHFYFLNGNTHLSDINQACTIEAEVPIMIDNIIGMSTLDIYKKLLEGFWVKWNTCRLSNLKFAFRNYMDSFVYFLFHGPHVYYDINDSMPRRTYILCLAITVGVISSRSLPGIIFLLALVTYKWRRRHLSMDDMIEEFLQSQNNLVPIRYSYKEIKKMTKSFKDKLGEGGYGSVFKGKLRSGHHVAIKLLGKSKGNGQDFINEVASIGRIHHANVAKLTGFCVEGSKQALVYDFMSNGSLDKLIFTEENKNTLGWKKMFDIVLGVAQGIHYLHQGCDMQILHFDIKPHNILLDENFNPKVSDFGLAKLYSVDDSIVSLTAARGTIGYIAPELVYKNLGGISYKADVYSFGMLLMEMVGRRKNVNAFADHTSQIYFPSWIYDRLDQGEDLELGDVSDDEKAMVKKMPFHQLPLETSMEIHSCENSNDEPSTSLDTVTITSSNIA >KJB12884 pep chromosome:Graimondii2_0_v6:2:3529782:3532738:-1 gene:B456_002G042700 transcript:KJB12884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFVYFLFHGPHVYYDINDSMPRRTYILCLAITVGVISSRSLPGIIFLLALVTYKWRRRHLSMDDMIEEFLQSQNNLVPIRYSYKEIKKMTKSFKDKLGEGGYGSVFKGKLRSGHHVAIKLLGKSKGNGQDFINEVASIGRIHHANVAKLTGFCVEGSKQALVYDFMSNGSLDKLIFTEENKNTLGWKKMFDIVLGVAQGIHYLHQGCDMQILHFDIKPHNILLDENFNPKVSDFGLAKLYSVDDSIVSLTAARGTIGYIAPELVYKNLGGISYKADVYSFGMLLMEMVGRRKNVNAFADHTSQIYFPSWIYDRLDQGEDLELGDVSDDEKAMVKKMPFHQLPLETSMEIHSCENSNDEPSTSLDTVTITSSNIA >KJB12886 pep chromosome:Graimondii2_0_v6:2:3530484:3533829:-1 gene:B456_002G042700 transcript:KJB12886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDASLDTDDCNSLPLSSVLPYHYHYDQSSVSLFLSSSSSSSYNYYNYYGGRLEGSIIYVMNCSKPIKSSLYIEASRCTIKSNTSSSLPTSHFYFLNGNTHLSDINQACTIEAEVPIMIDNIIGMSTLDIYKKLLEGFWVKWNTCRYQSCYKNKVKVSSFKEILSNLKFAFRNYMDSFVYFLFHGPHVYYDINDSMPRRTYILCLAITVGVISSRSLPGIIFLLALVTYKWRRRHLSMDDMIEEFLQSQNNLVPIRYSYKEIKKMTKSFKDKLGEGGYGSVFKGKLRSGHHVAIKLLGKSKGNGQDFINEVASIGRIHHANVAKLTGFCVEGSKQALVYDFMSNGSLDKLIFTEENKNTLGWKKMFDIVLGVAQGIHYLHQGCDMQILHFDIKPHNILLDENFNPKVSDFGLAKLYSVDDSIVSLTAARGTIGYIAPELVYKNLGGISYKADVYSFGMLLMEMVGRRKNVNAFADHTSQIYFPSWIYDRLDQGEDLELGDVSDDEKAMVKKMPFHQLPLETSMEIHSCENSNDEPSTSLDTVTITSSNIA >KJB15499 pep chromosome:Graimondii2_0_v6:2:47598750:47604722:-1 gene:B456_002G181300 transcript:KJB15499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase, Disease resistance respons [Source: Projected from Oryza sativa (Os01g0100900)] MDSYSSWLNSFLVRFRLSANLFLSQFEPLALLLAPLLTLFIARLLHSLLSVIHEKGLKATVLVLFMSSIKLVPGVQSYIDAEKQKVVDKLQSGSKSKREHWRTELPTTGLGVGVIEEMKDVKSNDADWKGKCSGTVYIGGSESEGHFSLINEASSMFAHTNPLHLDVFQSVARFEAEVVAMTADLLGSKEKASGGQVCGNMTSGGTESILLAVKTSRDYMKAKKGITRPEMIIAESAHSAYDKAAQYFNIKLRRVPVNKEFKADVKAIRKQINRKTILIVGSAPGYPHGIIDPIEELGKLADSYGICLHVDLCLGGFVLPFARKLGYPIPPFDFTVRGVTSISADVHKYGLAPKGTSVVLYRNHDIRKHQFVAVTEWSGGLYVSPTIAGSRPGGVIAGAWAAMMSLGQQGYLENTRKIMEVSKMIQKGIKEIPELFIIGNPDMTIVAFGSAVLDIFEVNDIMSGKGWHLNALQRPNSIHICVTLQHVPVVDAFLQDLREAVQTVKENPGPISGGLAPIYGAAGKMPDRGMVQDLLVNYMDGTC >KJB15497 pep chromosome:Graimondii2_0_v6:2:47598750:47604659:-1 gene:B456_002G181300 transcript:KJB15497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase, Disease resistance respons [Source: Projected from Oryza sativa (Os01g0100900)] MDSYSSWLNSFLVRFRLSANLFLSQFEPLALLLAPLLTLFIARLLHSLLSVIHEKGLKATVLVLFMSSIKLVPGVQSYIDAEKQKVVDKLQSGSKSKREHWRTELPTTGLGVGVIEEMKDVKSNDADWKGKCSGTVYIGGSESEGHFSLINEASSMFAHTNPLHLDVFQSVARFEAEVVAMTADLLGSKEKASGGQVCGNMTSGGTESILLAVKTSRDYMKAKKGITRPEMIIAESAHSAYDKAAQYFNIKLRRVPVNKEFKADVKAIRKQINRKTILIVGSAPGYPHGIIDPIEELGKLADSYGICLHVDLCLGGFVLPFARKLGYPIPPFDFTVRGVTSISADVHKYGLAPKGTSVVLYRNHDIRKVSNITEWSGGLYVSPTIAGSRPGGVIAGAWAAMMSLGQQGYLENTRKIMEVSKMIQKGIKEIPELFIIGNPDMTIVAFGSAVLDIFEVNDIMSGKGWHLNALQRPNSIHICVTLQHVPVVDAFLQDLREAVQTVKENPGPISGGLAPIYGAAGKMPDRGMVQDLLVNYMDGTC >KJB15498 pep chromosome:Graimondii2_0_v6:2:47599780:47604659:-1 gene:B456_002G181300 transcript:KJB15498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase, Disease resistance respons [Source: Projected from Oryza sativa (Os01g0100900)] MDSYSSWLNSFLVRFRLSANLFLSQFEPLALLLAPLLTLFIARLLHSLLSVIHEKGLKATVLVLFMSSIKLVPGVQSYIDAEKQKVVDKLQSGSKSKREHWRTELPTTGLGVGVIEEMKDVKSNDADWKGKCSGTVYIGGSESEGHFSLINEASSMFAHTNPLHLDVFQSVARFEAEVVAMTADLLGSKEKASGGQVCGNMTSGGTESILLAVKTSRDYMKAKKGITRPEMIIAESAHSAYDKAAQYFNIKLRRVPVNKEFKADVKAIRKQINRKTILIVGSAPGYPHGIIDPIEELGKLADSYGICLHVDLCLGGFVLPFARKLGYPIPPFDFTVRGVTSISADVHKYGLAPKGTSVVLYRNHDIRKHQFVAVTEWSGGLYVSPTIAGSRPGGVIAGAWAAMMSLGQQGYLENTRKIMEVSKMIQKGFEFISHFPLNSLILRYIE >KJB16013 pep chromosome:Graimondii2_0_v6:2:55501308:55503273:1 gene:B456_002G208400 transcript:KJB16013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKRNKIVSLSKTKKKGKEHKESIVNSIREAAEDYNSIYVFSFENMRNLKFKEFREKLKPTSRFFLGSNKVMQVALGRSVSDEIRPGLYKVSKLLRGDAGLFLTNMPRDEVESCFNKFEENDFARTGTIATEKVELLEGPLDQFTHEMEPFLRKQGMPVRLNKGQISVS >KJB16011 pep chromosome:Graimondii2_0_v6:2:55501210:55503331:1 gene:B456_002G208400 transcript:KJB16011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKRNKIVSLSKTKKKGKEHKESIVNSIREAAEDYNSIYVFSFENMRNLKFKEFREKLKPTSRFFLGSNKVMQVALGRSVSDEIRPGLYKVSKLLRGDAGLFLTNMPRDEVESCFNKFEENDFARTGTIATEKVELLEGPLDQFTHEMEPFLRKQGMPVRLNKGVVELVSDFVVCEEGKPLSPESARILRLLGIKMATFRLNLICRWSPEDFELYKEGLDESDVECA >KJB16012 pep chromosome:Graimondii2_0_v6:2:55501308:55503273:1 gene:B456_002G208400 transcript:KJB16012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKRNKIVSLSKTKKKGKEHKESIVNSIREAAEDYNSIYVFSFENMRNLKFKEFREKLKPTSRFFLGSNKVMQVALGRSVSDEIRPGLYKVSKLLRGDAGLFLTNMPRDEVESCFNKFEENDFARTGTIATEKVEKDKLLLFHITLFMILDY >KJB16440 pep chromosome:Graimondii2_0_v6:2:58929367:58943671:-1 gene:B456_002G230200 transcript:KJB16440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERREEQEQKQLIWKSEPESMVSITIGRTMATLLAARPKKLHHSISRLSPDSSIKSSLDSLDYSLWFLHKYVRDAAQRDGNLDEILVPIIQHSLKYKDSKHDNQPMILLNWLFQDEFLFQAVAMNLANIITRKDDRYIAFGWCTLVRGLMEYENVMDQYLFNGIKEKYSALLKMLCTCIPHLSCIARKGSTLQDKFELPSRLSVAAADCLLTLTEGLTKKPMLSSRTKSLKSSESNPPVSLLASSIDERKISTVHKSSEVLNVGMEDLFWDYLQDLIYLVERLLAWSRKSRPLHTKGLEQVLKWLKEIQVHYGGLQEEAQILKTRALLLSSCWKHYGMLLHLEDKKFNKQYKELLDQYLSGIQYYSNNYVEGHAESKDGGIETRKFFINCLCLLLGRFDGKQLECVLLDYGKQISHVLLSQLHCNDEDVIDGVVHIFKVIIFKTNNSSGSTVTDTNQMDSMVPLLLHLLDERDAAARAVVMLIAEYCSISTDGHCLEEVLKRLDSGNAIKRRNAFDVISELVHISKDSSHKAHHSTWQVIANHLLGCLEYEEAAIQEQTPNLLPLIDPSFVLPALVHLVCLSEEKAQAAASEALFRVLKHHNQKPEVICMMLDSLRNLSQDQADAEAGACMGKGSNFDCNRVLRLIPEWSKTVEDWNALIGPLIDKMFAEPSNATIVRFLSCINEQLAEAADVVLSRVVFQMKGQKGIDEDFFSRWETKTCPSDDSMRMQQSLFERLCPLLIVRLLPLRVFNDLNSSVVYGQLRNAPFMHEYGDVSVTGDDSVVAFLVNRAFSRFEFEDVRKLAAELCGRIHPQVLLPLVCSQLEHATESRDILKIIACLFSVCTSLVVRGKESLVHPFILQIWRTIKVILLWPSSDGDQVSKAQHGCIDCLALMICAESQAPESFENCTSWKRNIAGKKGNRGNAITEFCALRNVISQLINDESDISGSKLPYENCEPVPVPFRLCMANVLISACQKISNYGKEPLAKTILPCLIDSVEVLLSLNSFMY >KJB16439 pep chromosome:Graimondii2_0_v6:2:58929210:58943683:-1 gene:B456_002G230200 transcript:KJB16439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERREEQEQKQLIWKSEPESMVSITIGRTMATLLAARPKKLHHSISRLSPDSSIKSSLDSLDYSLWFLHKYVRDAAQRDGNLDEILVPIIQHSLKYKDSKHDNQPMILLNWLFQDEFLFQAVAMNLANIITRKDDRYIAFGWCTLVRGLMEYENVMDQYLFNGIKEKYSALLKMLCTCIPHLSCIARKGSTLQDKFELPSRLSVAAADCLLTLTEGLTKKPMLSSRTKSLKSSESNPPVSLLASSIDERKISTVHKSSEVLNVGMEDLFWDYLQDLIYLVERLLAWSRKSRPLHTKGLEQVLKWLKEIQVHYGGLQEEAQILKTRALLLSSCWKHYGMLLHLEDKKFNKQYKELLDQYLSGIQYYSNNYVEGHAESKDGGIETRKFFINCLCLLLGRFDGKQLECVLLDYGKQISHVLLSQLHCNDEDVIDGVVHIFKVIIFKTNNSSGSTVTDTNQMDSMVPLLLHLLDERDAAARAVVMLIAEYCSISTDGHCLEEVLKRLDSGNAIKRRNAFDVISELVHISKDSSHKAHHSTWQVIANHLLGCLEYEEAAIQEQTPNLLPLIDPSFVLPALVHLVCLSEEKAQAAASEALFRVLKHHNQKPEVICMMLDSLRNLSQDQADAEAGACMGKGSNFDCNRVLRLIPEWSKTVEDWNALIGPLIDKMFAEPSNATIVRFLSCINEQLAEAADVVLSRVVFQMKGQKGIDEDFFSRWETKTCPSDDSMRMQQSLFERLCPLLIVRLLPLRVFNDLNSSVVYGQLRNAPFMHEYGDVSVTGDDSVVAFLVNRAFSRFEFEDVRKLAAELCGRIHPQVLLPLVCSQLEHATESRDILKIIACLFSVCTSLVVRGKESLVHPFILQIWRTIKVILLWPSSDGDQVSKAQHGCIDCLALMICAESQAPESFENCTSWKRNIAGKKGNRGNAITEFCALRNVISQLINDESDISGSKLPYENCEPVPVPFRLCMANVLISACQKISNYGKEPLAKTILPCLIDSVEVETQPEIRAAFIQVMFSAVYHLKLAVLPYSRDLLKLSLKFLGKRSEQERMAAAKLMASLMTSEDPILESISHGLVEARSVLSDIALNDPSFDIQQLCKKLATCITST >KJB14278 pep chromosome:Graimondii2_0_v6:2:16629431:16633813:1 gene:B456_002G1172002 transcript:KJB14278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSRAQVNKPHKTRFSSKSSRNIHKISHKDKNRIAKSNRNVAQGGRAARLQRSKMLREQKKEALLKEKRASSGSAGPPRVILLFPLSASVNVRSLAEDILRLLSADVGGAFSSTVVSSEYKLRATVLHAPHGDLLSCMEMAKVADLIAFVASATEQSTCDYIDSFGSQCLSVFRSLGLPSTVVFIRDLPTELKRRNDAKKIITSGLTSEFPEDCKFYPADTKDDLHKFMWLFKEQRLTTPHWRNQRPYLIAQKVDMVPDDSIPEQCTLLLTGYTRAHSLSVNQLVHVSGAGDFQLSRIDIMKDPIPLNARKDHNAMDSDDIEDAEIIRSLAPDPSSQEPLLVENVPDPLAGEQTWPTEAEMAEAERNQKQKRLRKRALPRGTSEYQAAWIVD >KJB14279 pep chromosome:Graimondii2_0_v6:2:16630665:16633813:1 gene:B456_002G1172002 transcript:KJB14279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSRAQVNKPHKTRFSSKSSRNIHKISHKDKNRIAKSNRNVAQGGRAARLQRSKMLREQKKEALLKEKRASSGSAGPPRVILLFPLSASVNVRSLAEDILRLLSADVGGAFSSTVVSSEYKLRATVLHAPHGDLLSCMEMAKVADLIAFVASATEQSTCDYIDSFGSQCLSVFRSLGLPSTVVFIRDLPTELKRRNDAKKIITSGLTSEFPEDCKFYPADTKDDLHKFMWLFKEQRLTTPHWRNQRPYLIAQKVDMVPDDSIPEQCTLLLTGYTRAHSLSVNQLVHVSGAGDFQLSRIDIMKDPIPLNARKDHNAMDSDDIEDAEIIRSLAPDPSSQEPLLVENVPDPLAGEQTWPTEAEMAEAERNQKQKRLRKRALPRGTSEYQAAWIVD >KJB16646 pep chromosome:Graimondii2_0_v6:2:61338553:61341456:-1 gene:B456_002G249400 transcript:KJB16646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNDNNNDEHHESSPLLSKQVADQDLKEKPSGKKAKEAAAEVPAHPVVATGDGFGWTADGLPLVHRSVVGEPLGRSQWNSSLLACLGRNDEFCSSDIEVCLLGSLVPCVLYGTNVERLGSDPGTFANHCLPYSVLYLIGNSFFGWNCLAPWFSYATRTAIRRKFNLEATARHSIGHVDVVEAA >KJB16643 pep chromosome:Graimondii2_0_v6:2:61338553:61341456:-1 gene:B456_002G249400 transcript:KJB16643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNDNNNDEHHESSPLLSKQVADQDLKEKPSGKKAKEAAAEVPAHPVVATGDGFGWTADGLPLVHRSVVGEPLGRSQWNSSLLACLGRNDEFCSSDIEVSLEAWSLVCYMEPMSKDLDLIPGHLQITACHTQFFT >KJB16645 pep chromosome:Graimondii2_0_v6:2:61338553:61341456:-1 gene:B456_002G249400 transcript:KJB16645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNDNNNDEHHESSPLLSKQVADQDLKEKPSGKKAKEAAAEVPAHPVVATGDGFGWTADGLPLVHRSVVGEPLGRSQWNSSLLACLGRNDEFCSSDIEVCLLGSLVPCVLYGTNVERLGSDPGTFANHCLPYSVLYLIGNSFFGWNCLAPWFSYATRTAIRRKFNLEGSCEALHRSCGCCGSCVEDELQREQCELTCDLQTMARGA >KJB16647 pep chromosome:Graimondii2_0_v6:2:61340108:61341456:-1 gene:B456_002G249400 transcript:KJB16647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNDNNNDEHHESSPLLSKQVADQDLKEKPSGKKAKEAAAEVPAHPVVATGDGFGWTADGLPLVHRSVVGEPLGRSQWNSSLLACLGRNDEFCSSDIEVCLLGSLVPCVLYGTNVERLGSDPGTFANHCLPYSVLYLIGNSFFGWNCLAPWFSYATRTAIRRKFNLEASCSYLSSSLTSSLR >KJB16641 pep chromosome:Graimondii2_0_v6:2:61338481:61341456:-1 gene:B456_002G249400 transcript:KJB16641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNDNNNDEHHESSPLLSKQVADQDLKEKPSGKKAKEAAAEVPAHPVVATGDGFGWTADGLPLVHRSVVGEPLGRSQWNSSLLACLGRNDEFCSSDIEVCLLGSLVPCVLYGTNVERLGSDPGTFANHCLPYSVLYLIGNSFFGWNCLAPWFSYATRTAIRRKFNLEGSCEALHRSCGCCGSCVEDELQREQCELTCDLATHVLCHPCAVCQEGREIRRRLHHPGFNAQPVFTMVPPGEQTMARGA >KJB16644 pep chromosome:Graimondii2_0_v6:2:61338897:61341128:-1 gene:B456_002G249400 transcript:KJB16644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGGQLMGCRWSTGVSSENPWVDLNGTHLSLLALAVTMNFAAATLKSVSLLGSLVPCVLYGTNVERLGSDPGTFANHCLPYSVLYLIGNSFFGWNCLAPWFSYATRTAIRRKFNLEGSCEALHRSCGCCGSCVEDELQREQCELTCDLATHVLCHPCAVCQEGREIRRRLHHPGFNAQPVFTMVPPGEQTMARGA >KJB16642 pep chromosome:Graimondii2_0_v6:2:61339114:61341288:-1 gene:B456_002G249400 transcript:KJB16642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNDNNNDEHHESSPLLSKQVADQDLKEKPSGKKAKEAAAEVPAHPVVATGDGFGWTADGLPLVHRSVVGEPLGRSQWNSSLLACLGRNDEFCSSDIEVCLLGSLVPCVLYGTNVERLGSDPGTFANHCLPYSVLYLIGNSFFGWNCLAPWFSYATRTAIRRKFNLEARKLRGTP >KJB13386 pep chromosome:Graimondii2_0_v6:2:8337204:8339906:1 gene:B456_002G071800 transcript:KJB13386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSKMLKKPFSSSSTKTLLDIISQQKHPIASIKPILLTLKSKPNFALNFFKWSQSFSKSPHGLPSYCALIHIFLCHRMFGSAAQVFEEMMDHVDTNINVLDAFNEGFRDFGSNPNVVFRFLLESYSKNGKLDMAFLVFMEMAKRRLYISNNLVFRMLNSLMNANCVDNYGEFCRFFRTRGFCVYGIVMEGLLKNRKIGMALIFHEKMILKGIEVDIVACNKILKGLAVNKEIGIASKLFDVILTFGPLPNVVTFSTLINMYCKDGKLDTAFEIYNVMIKRNVIPDLILYSILIDGYFKAGRLDEGEKLLSVALDRGIKLDLVVFSLVMDAYGKVGDLGRVVQVYKRMLEEDLSPNMVSYSILISGLCGNGRMLEACGIFGQIIKRGFEPSLITYSSLIDGFCKMGNLKDGFHLFDDMIEKGHQPDVVVYNVLLHGLCKKGMARSALRFFFNSVSRGLKSNIFIFNSLMDGYCRLKQLRNAVKVYMLMGIYSVKPDIVTYTLLVRGASKQGKLDAALLVFFQMLKRGFPGDAITYCTLMDGFCKHKNPTAGLQIFKLMQTNGVTPDIAVYNVLLNMLLKDSHLEEASKLFSQLVEKGPKPDVITYNTMICGYCSLQRLDEAISLFQQLTCLFGPNSITFTILIDAFCKEGRMDEAMSMFSKMLEKGPEPNVVTYGCLIDGYFKSQDMRTATELHEEMLENQISPNIVSYSILIDGFCKQGLMLEASLAFCCALDRRLLPDLVAYSILIRGYCKVGRLVEAKLLCEQMFMEGVMPDDLLERTLVEYNL >KJB13387 pep chromosome:Graimondii2_0_v6:2:8337188:8346776:1 gene:B456_002G071800 transcript:KJB13387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSKMLKKPFSSSSTKTLLDIISQQKHPIASIKPILLTLKSKPNFALNFFKWSQSFSKSPHGLPSYCALIHIFLCHRMFGSAAQVFEEMMDHVDTNINVLDAFNEGFRDFGSNPNVVFRFLLESYSKNGKLDMAFLVFMEMAKRRLYISNNLVFRMLNSLMNANCVDNYGEFCRFFRTRGFCVYGIVMEGLLKNRKIGMALIFHEKMILKGIEVDIVACNKILKGLAVNKEIGIASKLFDVILTFGPLPNVVTFSTLINMYCKDGKLDTAFEIYNVMIKRNVIPDLILYSILIDGYFKAGRLDEGEKLLSVALDRGIKLDLVVFSLVMDAYGKVGDLGRVVQVYKRMLEEDLSPNMVSYSILISGLCGNGRMLEACGIFGQIIKRGFEPSLITYSSLIDGFCKMGNLKDGFHLFDDMIEKGHQPDVVVYNVLLHGLCKKGMARSALRFFFNSVSRGLKSNIFIFNSLMDGYCRLKQLRNAVKVYMLMGIYSVKPDIVTYTLLVRGASKQGKLDAALLVFFQMLKRGFPGDAITYCTLMDGFCKHKNPTAGLQIFKLMQTNGVTPDIAVYNVLLNMLLKDSHLEEASKLFSQLVEKGPKPDVITYNTMICGYCSLQRLDEAISLFQQLTCLFGPNSITFTILIDAFCKEGRMDEAMSMFSKMLEKGPEPNVVTYGCLIDGYFKSQDMRTATELHEEMLENQISPNIVSYSILIDGFCKQGLMLEASLAFCCALDRRLLPDLVAYSILIRGYCKVGRLVEAKLLCEQMFMEGVMPDDLLERTLVEYNL >KJB14839 pep chromosome:Graimondii2_0_v6:2:26885297:26889360:-1 gene:B456_002G146300 transcript:KJB14839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFCSDCKRNTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLSTVIAKPNGASGEFLSSSLGRWQNRGSNPDRGLILAFKTIATMSDRLGLVATIKDRANEIYKKVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGAIHAGDFMRRFCSNLGMNNQAVKAAQEAVQKSEEFDIRRSPISIAAAVIYIITQLSDDKKPLKGLILFPSYLLTKTKMFHVLLLGAEVLYRITQHGV >KJB14837 pep chromosome:Graimondii2_0_v6:2:26884737:26889561:-1 gene:B456_002G146300 transcript:KJB14837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFCSDCKRNTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLSTVIAKPNGASGEFLSSSLGRWQNRGSNPDRGLILAFKTIATMSDRLGLVATIKDRANEIYKKVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGAIHAGDFMVSVFVPILA >KJB14836 pep chromosome:Graimondii2_0_v6:2:26884589:26889573:-1 gene:B456_002G146300 transcript:KJB14836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFCSDCKRNTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLSTVIAKPNGASGEFLSSSLGRWQNRGSNPDRGLILAFKTIATMSDRLGLVATIKDRANEIYKKVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGAIHAGDFMRRFCSNLGMNNQAVKAAQEAVQKSEEFDIRRSPISIAAAVIYIITQLSDDKKPLKDISVATGVAEGTIRNSYKDLYPHVSKIIPNWYAKEEDLKNLCSP >KJB14835 pep chromosome:Graimondii2_0_v6:2:26884589:26889561:-1 gene:B456_002G146300 transcript:KJB14835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFCSDCKRNTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLSTVIAKPNGASGEFLSSSLGRWQNRGSNPDRGLILAFKTIATMSDRLGLVATIKDRANEIYKKVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGAIHAGDFMAEPYINCSCSYLYHNTAVG >KJB14838 pep chromosome:Graimondii2_0_v6:2:26884737:26889561:-1 gene:B456_002G146300 transcript:KJB14838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFCSDCKRNTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLSTVIAKPNGASGEFLSSSLGRWQNRGSNPDRGLILAFKTIATMSDRLGLVATIKDRANEIYKKVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGAIHAGDFMAFLFQSWHE >KJB13074 pep chromosome:Graimondii2_0_v6:2:4986772:4987723:-1 gene:B456_002G0553001 transcript:KJB13074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLGAGSGVSRLRSSSLKKPPEPLRHAVADCLSSSSLAAVVGGVSSHHQGGPLILTEASRTLRYLLSNYGFKYPIFLTMCHMTACSLLSYFAIAWMKMVPMQTIRSRVQFFKITTLSLVFCVSVLFGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTLKREGWLTYITLVPVVTGVIIASG >KJB13527 pep chromosome:Graimondii2_0_v6:2:10225033:10225561:-1 gene:B456_002G081200 transcript:KJB13527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRFGLLGILYLIFVSSVLFLAVVRCLQIYVLSEINMKLTNLPIRNCWKILFGLRCSFVSLELISEFCLVRLMWM >KJB16563 pep chromosome:Graimondii2_0_v6:2:59663014:59664420:-1 gene:B456_002G236000 transcript:KJB16563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLPFFSFVSFLIISATFPFAATSDTIKVSLSPSHHHHSSSSDPYQILNNLATSSVARAHHLKHHNPKTNITSSLLKTPLFPHGYGGYTVSLSFGTPPQTLSFIMDTGSSLSWFPCTSRYLCSQCAFPNVDPSKIPTFSPKLSSSGKLVGCRNPKCNWLFGPNVESRCQDCDGPTSKNCNQTCPPYLIQYGLGSTGGLLLLENLVFPPHKTFQDFLVGCSIVSNRQPAGIVGFGRSPESLPSQLHLNKFSYCLVSRRFDDTKVSSNMLLETGSGSNDTKIPGLGYTPFYKNPNPAFHEFYYVTIRKILVGNKQVKVPNSTFVPGPDGNGGAIVDSGSTFTFMERPVFELVSKEFEKQMGNYSRAREVENISGLAPCFNVSGHRLMDVPEMSFYFNGGAKMGLPLANYFSIVGDDNVVCLMIVTDKGVGEGARSGPAIILGNFQQQNYYIEFDLANNRFGFAKRNCA >KJB11991 pep chromosome:Graimondii2_0_v6:2:29605027:29605726:1 gene:B456_002G150900 transcript:KJB11991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLYNNISNHCRLFLMLQRFPIESKGRRKKLQEVGYVTTICFTCFLVRCIMMCFNAFDKGADLDVLNHPILNLIYYLVSLMNGCF >KJB11990 pep chromosome:Graimondii2_0_v6:2:29604352:29606009:1 gene:B456_002G150900 transcript:KJB11990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRFPIESKGRRKKLQEVGYVTTICFTCFLVRCIMMCFNAFDKGADLDVLNHPILNLIYYLVSLMNGCF >KJB14354 pep chromosome:Graimondii2_0_v6:2:17316217:17324062:-1 gene:B456_002G120900 transcript:KJB14354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLSGLSHLFMTIFLHNFAAFMVIPAITDVTMAALCPGKDECSIAIYFSGLQHALPDRERDMVKLPVLTHLCFTFFLYNFATFMTAPVIVDVTMSALCPGEIECSLAILLSGFQQAIIGLGSLVMMPLIGNLSDKYGRKALLTLPITLTIIPLAILAYSRSRNFFYAYYVFKILTSMFCEGSVHCLSLAYVADNVPEGRRASAFGILSGIGSSAFVCGTLSTRFLSTASTFQVATAMGMLSAVYMRVFLPDSIVNDNLSTPIMSEGKLDGIVNQDEESDKKIQMFKTLPSIEDMLVLLKSSLTFSQAAIVSFFSTLSDVGLHASLLYYLKARFHFNKDQFADLMVITGIAGTVSQLLLMPILAPVLGEAKLLSIGLFFNCVHMILYSIAWSFWVPYAAALFSLLYVFSQPCIRSIVSKQVGPCEQGKAQGFISGIGSFANVASPLLFSPLTALFLSERAPFNFPGFSIMCVGFASMIAFVQSLMIRAFPPISSERVSNLH >KJB14352 pep chromosome:Graimondii2_0_v6:2:17316101:17322292:-1 gene:B456_002G120900 transcript:KJB14352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLPVLTHLCFTFFLYNFATFMTAPVIVDVTMSALCPGEIECSLAILLSGFQQAIIGLGSLVMMPLIGNLSDKYGRKALLTLPITLTIIPLAILAYSRSRNFFYAYYVFKILTSMFCEGSVHCLSLAYVADNVPEGRRASAFGILSGIGSSAFVCGTLSTRFLSTASTFQVATAMGMLSAVYMRVFLPDSIVNDNLSTPIMSEGKLDGIVNQDEESDKKIQMFKTLPSIEDMLVLLKSSLTFSQAAIVSFFSTLSDVGLHASLLYYLKARFHFNKDQFADLMVITGIAGTVSQLLLMPILAPVLGEAKLLSIGLFFNCVHMILYSIAWSFWVPYAAALFSLLYVFSQPCIRSIVSKQVGPCEQGKAQGFISGIGSFANVASPLLFSPLTALFLSERAPFNFPGFSIMCVGFASMIAFVQSLMIRAFPPISSERVSNLH >KJB14351 pep chromosome:Graimondii2_0_v6:2:17316629:17321989:-1 gene:B456_002G120900 transcript:KJB14351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLPVLTHLCFTFFLYNFATFMTAPVIVDVTMSALCPGEIECSLAILLSGFQQAIIGLGSLVMMPLIGNLSDKYGRKALLTLPITLTIIPLAILAYSRSRNFFYAYYVFKILTSMFCEGSVHCLSLAYVADNVPEGRRASAFGILSGIGSSAFVCGTLSTRFLSTASTFQVATAMGMLSAVYMRVFLPDSIVNDNLSTPIMSEGKLDGIVNQDEESDKKIQMFKTLPSIEDMLVLLKSSLTFSQAAIVSFFSTLSDVGLHASLLYYLKARFHFNKDQFADLMVITGIAGTVSQLLLMPILAPVLGEAKLLSIGLFFNCVHMILYSIAWSFWVPYAAALFSLLYVFSQPCIRSIVSKQVGPCEQGKAQGFISGIGSFANVASPLLFSPLTALFLSERAPFNFPGFSIMCVGFASMIAFVQSLMIRAFPPISSERVSNLH >KJB14353 pep chromosome:Graimondii2_0_v6:2:17316101:17324284:-1 gene:B456_002G120900 transcript:KJB14353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLSGLSHLFMTIFLHNFAAFMVIPAITDVTMAALCPGKDECSIAIYFSGLQHAADNVPEGRRASAFGILSGIGSSAFVCGTLSTRFLSTASTFQVATAMGMLSAVYMRVFLPDSIVNDNLSTPIMSEGKLDGIVNQDEESDKKIQMFKTLPSIEDMLVLLKSSLTFSQAAIVSFFSTLSDVGLHASLLYYLKARFHFNKDQFADLMVITGIAGTVSQLLLMPILAPVLGEAKLLSIGLFFNCVHMILYSIAWSFWVPYAAALFSLLYVFSQPCIRSIVSKQVGPCEQGKAQGFISGIGSFANVASPLLFSPLTALFLSERAPFNFPGFSIMCVGFASMIAFVQSLMIRAFPPISSERVSNLH >KJB16384 pep chromosome:Graimondii2_0_v6:2:58642902:58644284:-1 gene:B456_002G227900 transcript:KJB16384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQENSVIVVMVPFPAQGHLNQLLHLSRIILSYGIPVHYVGTSTHNRQAKVRVHGWDPVAVASFHFHDCQVPPFASPPPNPNAAIKFPSHLQPCFDACHYLRKPVTELLRMLSSQARKVVIIHDSMMGSVVQDVGSILNTESYAFHTVSAFSLFFYLWESTGKPQVNAEMLGENDVPSLEGCFTEDFLEFIALQHRYLNVTSGSIYNTSKVIECTYVELLRQHMKEKQHWALGPFNPLKVPEKNSCGSRHYCLEWLDKQEMNSVLYVSFGTTTTMGDEQIQELAIGLRRSNQKFIWVLRDADTGDVFNGEVRRPELPKGYQDSVKDKGLVVRDWAPQLEILAHPAIGGFVSHCGWNSCMESITMGVPIGAWPVHSDQPRNAVLITKLLKLGITIKDWARRDEVVTAAVVEDAVKRLMASKEGDEIRKRAAEVSGAVRQSVAEGEVYRKEWDSFITHIIR >KJB12621 pep chromosome:Graimondii2_0_v6:2:2071218:2077621:-1 gene:B456_002G027700 transcript:KJB12621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSIFRTSLLHGRRAMAASQSFKFSNIKISTSLRFISISSNGHSFTVSYLINKCGFSTELASRASSYVHFETPEKPDSLFVFLENHGFSRTQILNLIKKRPRLLVCDTEKTLLPKIEYLHSLGFSRPELAKILSSYPTLLMHSLKNQIIPNFNLLRNLFHSDDKAIKAIKRFTPILVYDLESYLYPNMNVLRVIGVPESNILVLLNRQPRSLLYNPVRLKEIVEEAERMGFDPSTKMFLSVVIALKSMTKSTLEKKFDVYRRWGWSDQEIHEALRRHPLCMTVSEDKVMAIMDFLVKKMGYSSTLIAKQPSILRKSFRKNIIPRALFARELLSQGLVNDLKLSVLFDTSEKVFIRMFVDRFVNKAPELLKLYKEKLKISEKKQTLEPVPAVSFSSPILWGKFLILSLGSHKRGCSNGHSFTVSYLINKCGFSTELASRASSYVHFETPEKPDSLFAFLENHGLLVCDTEKTLLPKIEYLHSLGFSRPKLAKILSSYPSLLMRSLKNQIIPNFNLLRNLFHSDDKAIKVIKRYTPILVYDLESYLYPNMNVLRGIGVPESNILVLLNRQPRSLLYNPVRLKEIVEEAERMGFDPSTKMFLSVVIALKSMTKSTLEKKFDVYRRWGWSDQEIHEAFRRHPLCMTVSEDKVMAIMDFLVKKLGYSSTLIAKQPSILWKSFRKNIVPRALFARELLSQGLVNDLKLSVLFDTSEKVFIRITSFLHGRQAMACPQSFNLSMIKKSTTLRFFSKTSNQNSFTVSYLMNKCGFTPEFASFASKYVHFETPERPDSLFAFLENHGFSKTQILNLIKRRPRLLIYDTEKTLLPKLEFFYSIGFSRPELTKILTSYPAVLICSLKKQIIPSFNLLRNLFQSDDKAIKTIKRYGAIFVYEFERNLIPNMNVLRGIGVPESNILMLLNHQPRPLLYDQVRLKEIVEEVKRMGFDSSTKKFIDVVIALSSMSKSTLEKKFDVYRRWGWSDQEIHEAFRRYPMCMAVSEDKIMAVMDFLVNKMGYSSTLVAKQSSILRQSLEKRIVPRALFARELLSQGLVTDFKLSVLFHTSEKVFVDRFVNKAPDLLKLYKENLNASEKKRS >KJB14235 pep chromosome:Graimondii2_0_v6:2:16242426:16247416:-1 gene:B456_002G114900 transcript:KJB14235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTLEAKSLRKAVVPPTLLENPSPGNLQSTRLALHVNEDSSSCWVYIASGCNIYRLQIPLEDSWLSTGKEGLLIPETKEVIESSLLKRCPHRSEIQSIVLTEIESTGYLVLASVDAYGHLIVSKLDASGKDVDKITYSVLPRDFGVGEGSWSGVCFSPIQWSMAAVARSFCKSVDVYDQDIHLRTLRTLWYPSSLSFIRNLGHGNESSILAVTEGCQLSVWDLRIKENGGCLNRICGSAGDIFYAVCSSSTGNIAVGGADRTVTIYDPRRWSAISRWVHCSKYEITGLAFSSLDPDYIFVQGVDYEVTAFQLAILFPCVVGF >KJB14231 pep chromosome:Graimondii2_0_v6:2:16240862:16247978:-1 gene:B456_002G114900 transcript:KJB14231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTLEAKSLRKAVVPPTLLENPSPGNLQSTRLALHVNEDSSSCWVYIASGCNIYRLQIPLEDSWLSTGKEGLLIPETKEVIESSLLKRCPHRSEIQSIVLTEIESTGYLVLASVDAYGHLIVSKLDASGKDVDKITYSVLPRDFGVGEGSWSGVCFSPIQWSMAAVARSFCKSVDVYDQDIHLRTLRTLWYPSSLSFIRNLGHGNESSILAVTEGCQLSVWDLRIKENGGCLNRICGSAGDIFYAVCSSSTGNIAVGGADRTVTIYDPRRWSAISRWVHCSKYEITGLAFSSLDPDYIFVQGVDYEVFCGQWQESSKVFSFRGDSNWLGFSKCSNRDILGGWCDSGSIFVVDVIAKG >KJB14234 pep chromosome:Graimondii2_0_v6:2:16242158:16247416:-1 gene:B456_002G114900 transcript:KJB14234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTLEAKSLRKAVVPPTLLENPSPGNLQSTRLALHVNEDSSSCWVYIASGCNIYRLQIPLEDSWLSTGKEGLLIPETKEVIESSLLKRCPHRSEIQSIVLTEIESTGYLVLASVDAYGHLIVSKLDASGKDVDKITYSVLPRDFGVGEGSWSGVCFSPIQWSMAAVARSFCKSVDVYDQDIHLRTLRTLWYPSSLSFIRNLGHGNESSILAVTEGCQLSVWDLRIKENGGCLNRICGSAGDIFYAVCSSSTGNIAVGGADRTVTIYDPRRWSAISRWVHCSKYEITGLAFSSLDPDYIFVQGVDYEVFCGQWQESSKVFSFRGDSNWLGFSKVLSS >KJB14233 pep chromosome:Graimondii2_0_v6:2:16241380:16247495:-1 gene:B456_002G114900 transcript:KJB14233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTLEAKSLRKAVVPPTLLENPSPGNLQSTRLALHVNEDSSSCWVYIASGCNIYRLQIPLEDSWLSTGKEGLLIPETKEVIESSLLKRCPHRSEIQSIVLTEIESTGYLVLASVDAYGHLIVSKLDASGKDVDKITYSVLPRDFGVGEGSWSGVCFSPIQWSMAAVARSFCKSVDVYDQDIHLRTLRTLWYPSSLSFIRNLGHGNESSILAVTEGCQLSVWDLRIKENGGCLNRICGSAGDIFYAVCSSSTGNIAVGGADRTVTIYDPRRWSAISRWVHCSKYEITGLAFSSLDPDYIFVQGVDYEVFCGQWQESSKVFSFRGDSNWLGFSKCRGQILS >KJB14232 pep chromosome:Graimondii2_0_v6:2:16241168:16247571:-1 gene:B456_002G114900 transcript:KJB14232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTLEAKSLRKAVVPPTLLENPSPGNLQSTRLALHVNEDSSSCWVYIASGCNIYRLQIPLEDSWLSTGKEGLLIPETKEVIESSLLKRCPHRSEIQSIVLTEIESTGYLVLASVDAYGHLIVSKLDASGKDVDKITYSVLPRDFGVGEGSWSGVCFSPIQWSMAAVARSFCKSVDVYDQDIHLRTLRTLWYPSSLSFIRNLGHGNESSILAVTEGCQLSVWDLRIKENGGCLNRICGSAGDIFYAVCSSSTGNIAVGGADRTVTIYDPRRWSAISRWVHCSKYEITGLAFSSLDPDYIFVQGVDYEWQESSKVFSFRGDSNWLGFSKCSNRDILGGWCDSGSIFVVDVIAKG >KJB17112 pep chromosome:Graimondii2_0_v6:2:62545703:62548266:1 gene:B456_002G266000 transcript:KJB17112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNEDFRLLYDTKGRFCLHAITGDETKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDAAGHEFATRLGNVFTIGKGTKPWVSLPKRKGIKLSIIEEARKRLAAQNAA >KJB17111 pep chromosome:Graimondii2_0_v6:2:62545703:62548266:1 gene:B456_002G266000 transcript:KJB17111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLELIRPTLLVSWVYVVSIPKTNEDFRLLYDTKGRFCLHAITGDETKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDAAGHEFATRLGNVFTIGKGTKPWVSLPKRKGIKLSIIEEARKRLAAQNAA >KJB17110 pep chromosome:Graimondii2_0_v6:2:62545562:62548266:1 gene:B456_002G266000 transcript:KJB17110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPRHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNEDFRLLYDTKGRFCLHAITGDETKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDAAGHEFATRLGNVFTIGKGTKPWVSLPKRKGIKLSIIEEARKRLAAQNAA >KJB14429 pep chromosome:Graimondii2_0_v6:2:18399070:18404385:-1 gene:B456_002G124800 transcript:KJB14429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTSCFKLITCGSDSAEKDDAIDAPENKRSSDKKGWSFRKRSERHRVLSNSVIQVTTTTGLKESQDSVGFEFQQQDVSVASEKTSTVQYTEEKPQLMTPKEYIEEKSQLLALKEYTEEKSHSLTPIELAEEKSQLLAPIKCTEEKSQLTPEPEESKVPEPITATTNEAEDDFSLDESVVVIIQTAIRGFLAQKELGKLKNLVKLQAAVRGHLVRRHAVGTLRCVQAIVKMQLLVRARQSQEGSYVEKLDGKHQGVNQRLGNSATKKNLTYNSMEKLLSNRFAHQLMDSTPKTKTIHFKCDSSKPNSAWSWLERWMSVSSSEKASKAELPIEQPEQKKTEDCDSPRTPAVPSENISASNEPKPQVRETMVSSESEDNLITYDAANFKFEACQPSSLSVTDDSEQPQIDSAIKSDLKETSPEMNSQGQTMQISAHSQIEVHCLSNKPETGSEQPKHSMERFASEHLETEAKKFVFGSKRTSNPAFIAAQTKFEELSSTANSSRSINSSYQDVSVESNLDTVPSGADMISRSKEPSISENPVLNNWRVQHGGSECGTELSITSTLDSPDRSEAGTLEYENATKVSEQENCTSKSTKDLDVKNNDTVAIPVPDSSLPVAHQPEKLDDSKGGLANLIVADFPQVEQELLKSASNLQREQDPMKNQAYRSSPEVSPRSHMTVPESQGTPSSEVSVKAKKEKTDKISEKRKSLSAAKGSPSTPAASTMEELPKDQKNGKRRNSFSSTRPENIDEEPRDSNSSNPLPRFMQATEAARAKVNTNNSPRSSPDVQDRDIYIKKRHSLPGANGRQGSPRIQRSLSQAQQGAKRNGTNPTQERRWQR >KJB14430 pep chromosome:Graimondii2_0_v6:2:18399089:18404230:-1 gene:B456_002G124800 transcript:KJB14430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTSCFKLITCGSDSAEKDDAIDAPENKRSSDKKGWSFRKRSERHRVLSNSVIQVTTTTGLKESQDSVGFEFQQQDVSVASEKTSTVQYTEEKPQLMTPKEYIEEKSQLLALKEYTEEKSHSLTPIELAEEKSQLLAPIKCTEEKSQLTPEPEESKVPEPITATTNEAEDDFSLDESVVVIIQTAIRGFLAQKELGKLKNLVKLQAAVRGHLVRRHAVGTLRCVQAIVKMQLLVRARQSQEGSYVEKLDGKHQGVNQRLQGNSATKKNLTYNSMEKLLSNRFAHQLMDSTPKTKTIHFKCDSSKPNSAWSWLERWMSVSSSEKASKAELPIEQPEQKKTEDCDSPRTPAVPSENISASNEPKPQVRETMVSSESEDNLITYDAANFKFEACQPSSLSVTDDSEQPQIDSAIKSDLKETSPEMNSQGQTMQISAHSQIEVHCLSNKPETGSEQPKHSMERFASEHLETEAKKFVFGSKRTSNPAFIAAQTKFEELSSTANSSRSINSSYQDVSVESNLDTVPSGADMISRSKEPSISENPVLNNWRVQHGGSECGTELSITSTLDSPDRSEAGTLEYENATKVSEQENCTSKSTKDLDVKNNDTVAIPVPDSSLPVAHQPEKLDDSKGGLANLIVADFPQVEQELLKSASNLQREQDPMKNQAYRSSPEVSPRSHMTVPESQGTPSSEVSVKAKKEKTDKISEKRKSLSAAKGSPSTPAASTMEELPKDQKNGKRRNSFSSTRPENIDEEPRDSNSSNPLPRFMQATEAARAKVNTNNSPRSSPDVQDRDIYIKKRHSLPGANGRQGSPRIQRSLSQAQQGAKRNGTNPTQERRWQR >KJB14432 pep chromosome:Graimondii2_0_v6:2:18399089:18403785:-1 gene:B456_002G124800 transcript:KJB14432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKEYIEEKSQLLALKEYTEEKSHSLTPIELAEEKSQLLAPIKCTEEKSQLTPEPEESKVPEPITATTNEAEDDFSLDESVVVIIQTAIRGFLAQKELGKLKNLVKLQAAVRGHLVRRHAVGTLRCVQAIVKMQLLVRARQSQEGSYVEKLDGKHQGVNQRLQGNSATKKNLTYNSMEKLLSNRFAHQLMDSTPKTKTIHFKCDSSKPNSAWSWLERWMSVSSSEKASKAELPIEQPEQKKTEDCDSPRTPAVPSENISASNEPKPQVRETMVSSESEDNLITYDAANFKFEACQPSSLSVTDDSEQPQIDSAIKSDLKETSPEMNSQGQTMQISAHSQIEVHCLSNKPETGSEQPKHSMERFASEHLETEAKKFVFGSKRTSNPAFIAAQTKFEELSSTANSSRSINSSYQDVSVESNLDTVPSGADMISRSKEPSISENPVLNNWRVQHGGSECGTELSITSTLDSPDRSEAGTLEYENATKVSEQENCTSKSTKDLDVKNNDTVAIPVPDSSLPVAHQPEKLDDSKGGLANLIVADFPQVEQELLKSASNLQREQDPMKNQAYRSSPEVSPRSHMTVPESQGTPSSEVSVKAKKEKTDKISEKRKSLSAAKGSPSTPAASTMEELPKDQKNGKRRNSFSSTRPENIDEEPRDSNSSNPLPRFMQATEAARAKVNTNNSPRSSPDVQDRDIYIKKRHSLPGANGRQGSPRIQRSLSQAQQGAKRNGTNPTQERRWQR >KJB14431 pep chromosome:Graimondii2_0_v6:2:18399089:18403477:-1 gene:B456_002G124800 transcript:KJB14431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKEYIEEKSQLLALKEYTEEKSHSLTPIELAEEKSQLLAPIKCTEEKSQLTPEPEESKVPEPITATTNEAEDDFSLDESVVVIIQTAIRGFLAQKELGKLKNLVKLQAAVRGHLVRRHAVGTLRCVQAIVKMQLLVRARQSQEGSYVEKLDGKHQGVNQRLQGNSATKKNLTYNSMEKLLSNRFAHQLMDSTPKTKTIHFKCDSSKPNSAWSWLERWMSVSSSEKASKAELPIEQPEQKKTEDCDSPRTPAVPSENISASNEPKPQVRETMVSSESEDNLITYDAANFKFEACQPSSLSVTDDSEQPQIDSAIKSDLKETSPEMNSQGQTMQISAHSQIEVHCLSNKPETGSEQPKHSMERFASEHLETEAKKFVFGSKRTSNPAFIAAQTKFEELSSTANSSRSINSSYQDVSVESNLDTVPSGADMISRSKEPSISENPVLNNWRVQHGGSECGTELSITSTLDSPDRSEAGTLEYENATKVSEQENCTSKSTKDLDVKNNDTVAIPVPDSSLPVAHQPEKLDDSKGGLANLIVADFPQVEQELLKSASNLQREQDPMKNQAYRSSPEVSPRSHMTVPESQGTPSSEVSVKAKKEKTDKISEKRKSLSAAKGSPSTPAASTMEELPKDQKNGKRRNSFSSTRPENIDEEPRDSNSSNPLPRFMQATEAARAKVNTNNSPRSSPDVQDRDIYIKKRHSLPGANGRQGSPRIQRSLSQAQQGAKRNGTNPTQERRWQR >KJB14433 pep chromosome:Graimondii2_0_v6:2:18399548:18402493:-1 gene:B456_002G124800 transcript:KJB14433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLVRARQSQEGSYVEKLDGKHQGVNQRLQGNSATKKNLTYNSMEKLLSNRFAHQLMDSTPKTKTIHFKCDSSKPNSAWSWLERWMSVSSSEKASKAELPIEQPEQKKTEDCDSPRTPAVPSENISASNEPKPQVRETMVSSESEDNLITYDAANFKFEACQPSSLSVTDDSEQPQIDSAIKSDLKETSPEMNSQGQTMQISAHSQIEVHCLSNKPETGSEQPKHSMERFASEHLETEAKKFVFGSKRTSNPAFIAAQTKFEELSSTANSSRSINSSYQDVSVESNLDTVPSGADMISRSKEPSISENPVLNNWRVQHGGSECGTELSITSTLDSPDRSEAGTLEYENATKVSEQENCTSKSTKDLDVKNNDTVAIPVPDSSLPVAHQPEKLDDSKGGLANLIVADFPQVEQELLKSASNLQREQDPMKNQAYRSSPEVSPRSHMTVPESQGTPSSEVSVKAKKEKTDKISEKRKSLSAAKGSPSTPAASTMEELPKDQKNGKRRNSFSSTRPENIDEEPRDSNSSNPLPRFMQATEAARAKVNTNNSPRSSPDVQDRDIYIKKRHSLPGANGRQGSPRIQRSLSQAQQGAKRNGTNPTQERRWQR >KJB15505 pep chromosome:Graimondii2_0_v6:2:47795991:47797611:-1 gene:B456_002G182000 transcript:KJB15505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAVAKAFVDHYYSTFDANRAGLANLYQEGSMLTFEGQKIQGSQSIVAKLTSLPFQQCKHNITTVDCQPSGAGGVLVFVSGTLQLAGEQHALKFSQMFHLMPTPQGSFYVLNDIFRLNYA >KJB15506 pep chromosome:Graimondii2_0_v6:2:47795991:47797611:-1 gene:B456_002G182000 transcript:KJB15506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAVAKAFVDHYYSTFDANRAGLANLYQEGSMLTFEGQKIQGSQSIVAKLTSLPFQQCKHNITTVDCQPSGAGGVLVFVSGTLQLAGEQHALKFSQMFHLMPTPQGSFYVLNDIFRLNYA >KJB15503 pep chromosome:Graimondii2_0_v6:2:47795333:47797792:-1 gene:B456_002G182000 transcript:KJB15503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAVAKAFVDHYYSTFDANRAGLANLYQEGSMLTFEGQKIQGSQSIVAKLTSLPFQQCKHNITTVDCQPSGAGGVLVFVSGTLQLAGEQHALKFSQMFHLMPTPQGSFYVLNDIFRLNYA >KJB15504 pep chromosome:Graimondii2_0_v6:2:47795333:47797809:-1 gene:B456_002G182000 transcript:KJB15504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAVAKAFVDHYYSTFDANRAGLANLYQEGSMLTFEGQKIQGSQSIVAKLTSLPFQQCKHNITTVDCQPSGAGGVLVFVSGTLQLAGEQHALKFSQMFHLMPTPQGSFYVLNDIFRLNYA >KJB14906 pep chromosome:Graimondii2_0_v6:2:28200072:28204359:1 gene:B456_002G148200 transcript:KJB14906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHFFFSACALLLLAASTASPARVVEHKFYVQNLTVNKLCNTQIITAVNGRLPGPTIRVQEGDTLLVHVFNKSPYNLSIHWHGVFQRLSGWADGPSMITQCPIRPGCKYIYKFTIIDQEGTLWWHAHVSFLRATVYGALIIRPRGGHSYPFPMPYKEVPILLGEWWNTNVFDVEKLAVATGAAPNLSIAYTINGWPGDLYPCSENQMYKLKLLQGRTYLLRIINAALNHELFFKIANHKLTVVSIDARYTQPYVTNVVVTAPGQTVDVLLTADQPVGSYYMAATAYASADGVLFDNTTTRGVLAYDGAPSSTTPLMPVLPDFNDTLTAHKFYSNLTGLVGGPHWEPVPLKVDHEMLVTIGLGLEPCPANTSCKGPKLSASMNNVSFVRPTSLSMLQAFFFNVNGVYTTDFPAKPTIEFDYTNASINNNIPMLFAPKGTKVTKVKFNSTVEIIFQNTAILGVENHPMHLHGFDFHVLAQGFGNYNPATDRKKHNFINPQMRNTIAVPAGGWAVTRFTANNPGVWVLHCHLDMHLPLGLATAFVVENGPTPETTLPPPPVDLPQC >KJB15570 pep chromosome:Graimondii2_0_v6:2:49143421:49145384:1 gene:B456_002G185100 transcript:KJB15570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKNGKPKLLDQAFSVFFVQLPNKLQNHLKSQFKGLAKDNDGFESANSFLEKEKGSFAGLRIDLEKQLQAWRENPSWVNQPPEIKVSVPKGSLCNLKAKLDVGLPPDAVYDILTDPDSKRIFKNIKEVISRKVLVDEGQRQVVEVEQAALWRFLWWSGTISVRVLVDQNREDHSMKFEQVNTGFMKKFEGQWRIEPVFVDEETCFPFKPKTLAEYCSCSGGKGRIGSKVSLDQLVQPAIVPPPPISWYLRGITAKTTEMLIHDLVAEADRLTGGRQSDSKTSSKEFRLSKELNEYDPVKQIFNIKQRWSLHRRNAKQHRKKLLSV >KJB15571 pep chromosome:Graimondii2_0_v6:2:49142729:49145605:1 gene:B456_002G185100 transcript:KJB15571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTGEMQGKNGKPKLLDQAFSVFFVQLPNKLQNHLKSQFKGLAKDNDGFESANSFLEKEKGSFAGLRIDLEKQLQAWRENPSWVNQPPEIKVSVPKGSLCNLKAKLDVGLPPDAVYDILTDPDSKRIFKNIKEVISRKVLVDEGQRQVVEVEQAALWRFLWWSGTISVRVLVDQNREDHSMKFEQVNTGFMKKFEGQWRIEPVFVDEETCFPFKPKTLAEYCSCSGGKGRIGSKVSLDQLVQPAIVPPPPISWYLRGITAKTTEMLIHDLVAEADRLTGGRQSDSKTSSKEFRLSKELNEYDPVKQIFNIKQRWSLHRRNAKQHRKKLLSV >KJB15572 pep chromosome:Graimondii2_0_v6:2:49142736:49145605:1 gene:B456_002G185100 transcript:KJB15572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTGEMQGKNGKPKLLDQAFSVFFVQLPNKLQNHLKSQFKGLAKDNDGFESANSFLEKEKGSFAGLRIDLEKQLQAWRENPSWVNQPPEIKVLVDEGQRQVVEVEQAALWRFLWWSGTISVRVLVDQNREDHSMKFEQVNTGFMKKFEGQWRIEPVFVDEETCFPFKPKTLAEYCSCSGGKGRIGSKVSLDQLVQPAIVPPPPISWYLRGITAKTTEMLIHDLVAEADRLTGGRQSDSKTSSKEFRLSKELNEYDPVKQIFNIKQRWSLHRRNAKQHRKKLLSV >KJB13221 pep chromosome:Graimondii2_0_v6:2:7405327:7408418:-1 gene:B456_002G063100 transcript:KJB13221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSELSYPVIMDGSAATASGSFPASQMNNGSMANQADESQNYQSIYPPSLQSVVGMNFQPTGHASFNQGYQVQSNIQSFNHTSQPQSKETYQTWSLNHQSDLHSQPCQSIAAGQCLTDLLTFREHTQPTSQPQLNETRQNQTLIHHSNSQHTGQFQSNGAGQSQTDLTNSVPSDSLVHPQPTSQPQLNETRQYQTLIHHSNSQHTGQFQSSVPGQNQTDLTNSVQSNSLVHPQPTSQPQLNHGYSSQGSQQKRTRRPRAANEAERRERRRESDQKYKKKMKQQKIETNAENENLKRKNVILVAQKQSLSDIIIQMQPNAQIPAHMRSSLDCGQQPNEQIPAQMGQLYNQQIHYPSFSAPVAGFPRSHHGEDSEEFDQDPEVSALRMQRDSLVQLLQGSTSCQESNEQTPSTFQQPLHSDCSAQQQVALQGFLTILNGSQFSNDVQDPPWTYSNSHGGGIASSSNPTEKQDNSRALMARILKRIGEKRKSTVTYSDFPGLGIDERVAVGKYSFPKSLKSTVENITHMHGDVSENSTMPQCVDETIYILFCATIKEMDDLQLPEVTENCIIKWSDTIKAALGIKFDVGFAMDHLKDKIIPAFVGQIHCQRVDEMEEKISNLEASLNALKQDHAKECEQSKVYKDAMDKFNGKSVSSGLFM >KJB13217 pep chromosome:Graimondii2_0_v6:2:7405087:7406422:-1 gene:B456_002G063100 transcript:KJB13217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLRQPSSSLFIQIVQPSSSNDVQDPPWTYSNSHGGGIASSSNPTEKQDNSRALMARILKRIGEKRKSTVTYSDFPGLGIDERVAVGKYSFPKSLKSTVENITHMHGDVSENSTMPQCVDETIYILFCATIKEMDDLQLPEVTENCIIKWSDTIKAALGIKFDVGFAMDHLKDKIIPAFVGQIHCQRVDEMEEKISNLEASLNALKQDHAKECEQSKVYKDAMDKFNGKSVSSGLFM >KJB13218 pep chromosome:Graimondii2_0_v6:2:7405087:7406488:-1 gene:B456_002G063100 transcript:KJB13218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDSLVQLLQGSTSCQESNEQTPSTFQQPLHSDCSAQQQLNGSQFSNDVQDPPWTYSNSHGGGIASSSNPTEKQDNSRALMARILKRIGEKRKSTVTYSDFPGLGIDERVAVGKYSFPKSLKSTVENITHMHGDVSENSTMPQCVDETIYILFCATIKEMDDLQLPEVTENCIIKWSDTIKAALGIKFDVGFAMDHLKDKIIPAFVGQIHCQRVDEMEEKISNLEASLNALKQDHAKECEQSKVYKDAMDKFNGKSVSSGLFM >KJB13220 pep chromosome:Graimondii2_0_v6:2:7405087:7408863:-1 gene:B456_002G063100 transcript:KJB13220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSELSYPVIMDGSAATASGSFPASQMNNGSMANQADESQNYQSIYPPSLQSVVGMNFQPTGHASFNQGYQVQSNIQSFNHTSQPQSKETYQTWSLNHQSDLHSQPCQSIAAGQCLTDLLTFREHTQPTSQPQLNETRQNQTLIHHSNSQHTGQFQSNGAGQSQTDLTNSVPSDSLVHPQPTSQPQLNETRQYQTLIHHSNSQHTGQFQSSVPGQNQTDLTNSVQSNSLVHPQPTSQPQLNHGYSSQGSQQKRTRRPRAANEAERRERRRESDQKYKKKMKQQKIETNAENENLKRKNVILVAQKQSLSDIIIQMQPNAQIPAHMRSSLDCGQQPNEQIPAQMGQLYNQQIHYPSFSAPVAGFPRSHHGEDSEEFDQDPEVSALRMQRDSLVQLLQGSTSCQESNEQTPSTFQQPLHSDCSAQQQLNGSQFSNDVQDPPWTYSNSHGGGIASSSNPTEKQDNSRALMARILKRIGEKRKSTVTYSDFPGLGIDERVAVGKYSFPKSLKSTVENITHMHGDVSENSTMPQCVDETIYILFCATIKEMDDLQLPEVTENCIIKWSDTIKAALGIKFDVGFAMDHLKDKIIPAFVGQIHCQRVDEMEEKISNLEASLNALKQDHAKECEQSKVYKDAMDKFNGKSVSSGLFM >KJB13219 pep chromosome:Graimondii2_0_v6:2:7405087:7407524:-1 gene:B456_002G063100 transcript:KJB13219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPNAQIPAHMRSSLDCGQQPNEQIPAQMGQLYNQQIHYPSFSAPVAGFPRSHHGEDSEEFDQDPEVSALRMQRDSLVQLLQGSTSCQESNEQTPSTFQQPLHSDCSAQQQLNGSQFSNDVQDPPWTYSNSHGGGIASSSNPTEKQDNSRALMARILKRIGEKRKSTVTYSDFPGLGIDERVAVGKYSFPKSLKSTVENITHMHGDVSENSTMPQCVDETIYILFCATIKEMDDLQLPEVTENCIIKWSDTIKAALGIKFDVGFAMDHLKDKIIPAFVGQIHCQRVDEMEEKISNLEASLNALKQDHAKECEQSKVYKDAMDKFNGKSVSSGLFM >KJB14932 pep chromosome:Graimondii2_0_v6:2:29118873:29125690:-1 gene:B456_002G149800 transcript:KJB14932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVEEQEDPKKTKDGGGSEDKSEKSYRKRDGDREEEDDEGKRSSKKSRSGRDEDDEERRDRDKDRHRSSRDRDRERERDRDKDLERRGSRERDSREREKERERERREKDKEKERDRREKEKERERREREKEKEKEREIDRRERSSSRSRRHESDREIERERERDRRERSSSRSRRHESDRELERERERDRRERSSSRLRRHESERDQEREREIDIRDSRRFKDKKDAVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTSGAGAGGVAGPYGAVDRKLYVGNLHFNMTEMQLRQIFEPFGPVELVQLPLDLETGQCKGFGFVQFAQLEHAKAAQSALNGKLEIVGRTIKVSSVTDHVVTQDATAKSADFDDDEGGGLALNAQSRALLMQKLDRSGIATSITSSLGVPLVNGSAPNQQATLPVNGQGAFPAPVLQPIMSTTALEPIGQPSECLLLKNMFDPATETEPDFDLDIKEDVEEECSKYGRVKHIYVDKNSGGCVYLRFDSTEAAGKAQRAMHMRWFAGRSISALFMQPHEYEARFKG >KJB14934 pep chromosome:Graimondii2_0_v6:2:29119133:29125587:-1 gene:B456_002G149800 transcript:KJB14934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVEEQEDPKKTKDGGGSEDKSEKSYRKRDGDREEEDDEGKRSSKKSRSGRDEDDEERRDRDKDRHRSSRDRDRERERDRDKDLERRGSRERDSREREKERERERREKDKEKERDRREKEKERERREREKEKEKEREIDRRERSSSRSRRHESDREIERERERDRRERSSSRSRRHESDRELERERERDRRERSSSRLRRHESERDQEREREIDIRDSRRFKDKKDAVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTSGAGAGGVAGPYGAVDRKLYVGNLHFNMTEMQLRQIFEPFGPVELVQLPLDLETGQCKGFGFVQFAQLEHAKAAQSALNGKLEIVGRTIKVSSVTDHVVTQDATAKSADFDDDEGGGLALNAQSRALLMQKLDRSGIATSITSSLGVPLVNGSAPNQQATLPVNGQGAFPAPVLQPIMSTTALEPIGQPSECLLLKNMFDPATETEPDFDLDIKEDVEEECSKYGRVKHIYVDKNSGGCVYLRFDSTEAAGKAQRAMHMRWFAGRSISALFMQPHEYEARFKG >KJB14933 pep chromosome:Graimondii2_0_v6:2:29119088:29125587:-1 gene:B456_002G149800 transcript:KJB14933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVEEQEDPKKTKDGGGSEDKSEKSYRKRDGDREEEDDEGKRSSKKSRSGRDEDDEERRDRDKDRHRSSRDRDRERERDRDKDLERRGSRERDSREREKERERERREKDKEKERDRREKEKERERREREKEKEKEREIDRRERSSSRSRRHESDREIERERERDRRERSSSRSRRHESDRELERERERDRRERSSSRLRRHESERDQEREREIDIRDSRRFKDKKDAVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTSGAGAGGVAGPYGAVDRKLYVGNLHFNMTEMQLRQIFEPFGPVELVQLPLDLETGQCKGFGFVQFAQLEHAKAAQSALNGKLEIVGRTIKVSSVTDHVVTQDATAKSADFDDDEGGGLALNAQSRALLMQKLDRSGIATSITSSLGVPLVNGSAPNQQATLPVNGQGAFPAPVLQPIMSTTALEPIGQPSECLLLKNMFDPATETEPDFDLDIKEDVEEECSKYGRVKHIYVDKNSGGCVYLRFDSTEAAGKAQRAMHMRWFAGRSISALFMQPHEYEARFKG >KJB14937 pep chromosome:Graimondii2_0_v6:2:29119192:29125587:-1 gene:B456_002G149800 transcript:KJB14937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVEEQEDPKKTKDGGGSEDKSEKSYRKRDGDREEEDDEGKRSSKKSRSGRDEDDEERRDRDKDRHRSSRDRDRERERDRDKDLERRGSRERDSREREKERERERREKDKEKERDRREKEKERERREREKEKEKEREIDRRERSSSRSRRHESDREIERERERDRRERSSSRSRRHESDRELERERERDRRERSSSRLRRHESERDQEREREIDIRDSRRFKDKKDAVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTSGAGAGGVAGPYGAVDRKLYVGNLHFNMTEMQLRQIFEPFGPVELVQLPLDLETGQCKGFGFVQFAQLEHAKAAQSALNGKLEIVGRTIKVSSVTDHVVTQDATAKSADFDDDEGGGLALNAQSRALLMQKLDRSGIATSITSSLGVPLVNGSAPNQQATLPVNGQGAFPAPVLQPIMSTTALEPIGQPSECLLLKNMFDPATETEPDFDLDIKEDVEEECSKYGRVKHIYVDK >KJB14935 pep chromosome:Graimondii2_0_v6:2:29120430:29125334:-1 gene:B456_002G149800 transcript:KJB14935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVEEQEDPKKTKDGGGSEDKSEKSYRKRDGDREEEDDEGKRSSKKSRSGRDEDDEERRDRDKDRHRSSRDRDRERERDRDKDLERRGSRERDSREREKERERERREKDKEKERDRREKEKERERREREKEKEKEREIDRRERSSSRSRRHESDREIERERERDRRERSSSRSRRHESDRELERERERDRRERSSSRLRRHESERDQEREREIDIRDSRRFKDKKDAVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTSGAGAGGVAGPYGAVDRKLYVGNLHFNMTEMQLRQIFEPFGPVELVQLPLDLETGQCKGFGFVQFAQLEHAKAAQSALNGKLEIVGRTIKVSSVTDHVVTQDATAKSADFDDDEGGGLALNAQSRALLMQKLDRSGIATSITSSLGVPLVNGSAPNQQATLPVNGQGAFPAPVLQPIMSTTALEPIGQPSECLLLKNMFDPATEV >KJB14931 pep chromosome:Graimondii2_0_v6:2:29119132:29125690:-1 gene:B456_002G149800 transcript:KJB14931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVEEQEDPKKTKDGGGSEDKSEKSYRKRDGDREEEDDEGKRSSKKSRSGRDEDDEERRDRDKDRHRSSRDRDRERERDRDKDLERRGSRERDSREREKERERERREKDKEKERDRREKEKERERREREKEKEKEREIDRRERSSSRSRRHESDREIERERERDRRERSSSRSRRHESDRELERERERDRRERSSSRLRRHESERDQEREREIDIRDSRRFKDKKDAVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTSGAGAGGVAGPYGAVDRKLYVGNLHFNMTEMQLRQIFEPFGPVELVQLPLDLETGQCKGFGFVQFAQLEHAKAAQSALNGKLEIVGRTIKVSSVTDHVVTQDATAKSADFDDDEGGGLALNAQSRALLMQKLDRSGIATSITSSLGVPLVNGSAPNQQATLPVNGQGAFPAPVLQPIMSTTALEPIGQPSECLLLKNMFDPATETEPDFDLDIKEDVEEECSKYGRVKHIYVDKNSGGCVYLRFDSTEAAGKAQRAMHMRWFAGRSISALFMQPHEYEARFKG >KJB14936 pep chromosome:Graimondii2_0_v6:2:29120258:29125334:-1 gene:B456_002G149800 transcript:KJB14936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVEEQEDPKKTKDGGGSEDKSEKSYRKRDGDREEEDDEGKRSSKKSRSGRDEDDEERRDRDKDRHRSSRDRDRERERDRDKDLERRGSRERDSREREKERERERREKDKEKERDRREKEKERERREREKEKEKEREIDRRERSSSRSRRHESDREIERERERDRRERSSSRSRRHESDRELERERERDRRERSSSRLRRHESERDQEREREIDIRDSRRFKDKKDAVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTSGAGAGGVAGPYGAVDRKLYVGNLHFNMTEMQLRQIFEPFGPVELVQLPLDLETGQCKGFGFVQFAQLEHAKAAQSALNGKLEIVGRTIKVSSVTDHVVTQDATAKSADFDDDEGGGLALNAQSRALLMQKLDRSGIATSITSSLGVPLVNGSAPNQQATLPVNGQGAFPAPVLQPIMSTTALEPIGQPSECLLLKNMFDPATEFYFRRNLILIWILKRMLKKNAANMAG >KJB13942 pep chromosome:Graimondii2_0_v6:2:13109235:13111795:1 gene:B456_002G102700 transcript:KJB13942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIKPKFLEVYSALKSELLDDPAFEFTDNSRQWVERMLDYNVPGGKLNRGLSVIDSYKLLKEGKELSDDEFFLACALGWCIEWLQAYFLVLDDIMDGSHTRRGHPCWFRLPQVGMIAISDGLILRNQIFRILKKHFRGKPYYVDLLDLFNEVEFQTASGQMIDLITTLQGEKDLSKYSLSIHRKIVQYKTAYYSFYLPVACALLMAGENLDNHTDVKNVLVEMGTYFQVQDDFLDCFGDPEVIGKVSIKAVLIWISVSGNAIGLTTVFLLH >KJB13941 pep chromosome:Graimondii2_0_v6:2:13109055:13112533:1 gene:B456_002G102700 transcript:KJB13941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIKPKFLEVYSALKSELLDDPAFEFTDNSRQWVERMLDYNVPGGKLNRGLSVIDSYKLLKEGKELSDDEFFLACALGWCIEWLQAYFLVLDDIMDGSHTRRGHPCWFRLPQVGMIAISDGLILRNQIFRILKKHFRGKPYYVDLLDLFNEVEFQTASGQMIDLITTLQGEKDLSKYSLSIHRKIVQYKTAYYSFYLPVACALLMAGENLDNHTDVKNVLVEMGTYFQVQDDFLDCFGDPEVIGKVGTDIEDFKCSWLVVKALERANEEQKKLLYENYGKDDRVCVAKVKELYQTLDIQGAFEEYESKSYEKIMKQIEGHPSKAVQAVLKSFLAKIYKRQK >KJB15322 pep chromosome:Graimondii2_0_v6:2:43125504:43127909:-1 gene:B456_002G171100 transcript:KJB15322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRRHKVPWKLLKRESQEVKKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNQKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACTIRRVQPELQAQLQPAAACSSRTASSTSPSSDANFSIAPLHGLPITKSTEPVFLYKRVSGSDHQRQQLQHNLELQLLPSSTANSRDSDEEYATHLKLSIGSNDGGEKNASETTLEATRLKEFASGQLKLAMAEKAYAEKARQEAKRQIEMAELEFASAKRIRQQAQGELEKAQALKDQATKKISATIMQITCQTCKHQFQTSTAAGPDDETSLAMSYMSSATTEGEGE >KJB15321 pep chromosome:Graimondii2_0_v6:2:43125353:43127963:-1 gene:B456_002G171100 transcript:KJB15321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNNSSISAAPLPSSSSDPLTPLENGATHKRKRKPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRESQEVKKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNQKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACTIRRVQPELQAQLQPAAACSSRTASSTSPSSDANFSIAPLHGLPITKSTEPVFLYKRVSGSDHQRQQLQHNLELQLLPSSTANSRDSDEEYATHLKLSIGSNDGGEKNASETTLEATRLKEFASGQLKLAMAEKAYAEKARQEAKRQIEMAELEFASAKRIRQQAQGELEKAQALKDQATKKISATIMQITCQTCKHQFQTSTAAGPDDETSLAMSYMSSATTEGEGE >KJB15650 pep chromosome:Graimondii2_0_v6:2:50673475:50677122:-1 gene:B456_002G188400 transcript:KJB15650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEDEKDRHARAGVAARKPYLRSVSWTDRSPIKPHPRPPQNTKGRSCLPPLSITRRPVEEWPKAGSDDLGVWPNPQTPRGSVKPLESPGSNREFQLRRDKLAFFDKECSRIAEHIYLGSDAVAKNRELLRKNGITHVLNCVGFVCPEYFKHDLVYKTLWLQDSPSEDITSILYDVFDYFEDVQEQGGKVLVHCCQGVSRSSSLVIAYLMWREGQSFEDAFQYVKAARGVTNPNTGFAFQLLQCQKRVHAVPASPNSVLRMYRMAPHSSYDALHLVPKMVNYPGKLALDSRGAFIVHVPSAIYVWVGKKCNCVMSNSAGSAANQVIRYEQAKGPILTVREGDEPLEFWDALASGQISADGCDRAEVRKVVKLASENDNIAAVSKIFVGERKVDDYDLDFQLFHKALAGGVVPPFSVSNTESETCLPARENGWGRLRQKFANGIMKEFLNSSKLGCCNLSPVYDRSDMVMEIHKDTKDTVLILSPSSSSIFPCGKPESFDCFPDCSPIQSKDPCEEVEKLVTPFGSPLLPSSPCGSSNSFSCFAAISPKLSSKSPSLSPSASDYASSFTFSPSSSNWSDLSYLSSQQPSPSGLEATDLSPIKKNVSSMENSCLPYKESFPSSTKSFSSDCILRVENPCMPCKGTPPSLAERRGSHRPPRMWLPSADAQVPGTLVRSRSFSLPNLEDDVMNDIDCNRYEPEDGGEELMLDVEAVPSIESHSRGEDKREYGECQAQSSGIFKTPTRVTTPALYQWPTLNKVEMHRSDILEPAAVYVLLASERSLGASDHSTVLYIWLGRDACEKGQSQFLSCDDTHGNSHHHWESIGHDFLNKMDLPLNASVQIVREGEEPEQFLKLFNCYMVLEG >KJB15648 pep chromosome:Graimondii2_0_v6:2:50673475:50676495:-1 gene:B456_002G188400 transcript:KJB15648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWREGQSFEDAFQYVKAARGVTNPNTGFAFQLLQCQKRVHAVPASPNSVLRMYRMAPHSSYDALHLVPKMVNYPGKLALDSRGAFIVHVPSAIYVWVGKKCNCVMSNSAGSAANQVIRYEQAKGPILTVREGDEPLEFWDALASGQISADGCDRAEVRKVVKLASENDNIAAVSKIFVGERKVDDYDLDFQLFHKALAGGVVPPFSVSNTESETCLPARENGWGRLRQKFANGIMKEFLNSSKLGCCNLSPVYDRSDMVMEIHKDTKDTVLILSPSSSSIFPCGKPESFDCFPDCSPIQSKDPCEEVEKLVTPFGSPLLPSSPCGSSNSFSCFAAISPKLSSKSPSLSPSASDYASSFTFSPSSSNWSDLSYLSSQQPSPSGLEATDLSPIKKNVSSMENSCLPYKESFPSSTKSFSSDCILRVENPCMPCKGTPPSLAERRGSHRPPRMWLPSADAQVPGTLVRSRSFSLPNLEDDVMNDIDCNRYEPEDGGEELMLDVEAVPSIESHSRGEDKREYGECQAQSSGIFKTPTRVTTPALYQWPTLNKVEMHRSDILEPAAVYVLLASERSLGASDHSTVLYIWLGRDACEKGQSQFLSCDDTHGNSHHHWESIGHDFLNKMDLPLNASVQIVREGEEPEQFLKLFNCYMVLEG >KJB15649 pep chromosome:Graimondii2_0_v6:2:50672192:50677641:-1 gene:B456_002G188400 transcript:KJB15649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWREGQSFEDAFQYVKAARGVTNPNTGFAFQLLQCQKRVHAVPASPNSVLRMYRMAPHSSYDALHLVPKMVNYPAANQVIRYEQAKGPILTVREGDEPLEFWDALASGQISADGCDRAEVRKVVKLASENDNIAAVSKIFVGERKVDDYDLDFQLFHKALAGGVVPPFSVSNTESETCLPARENGWGRLRQKFANGIMKEFLNSSKLGCCNLSPVYDRSDMVMEIHKDTKDTVLILSPSSSSIFPCGKPESFDCFPDCSPIQSKDPCEEVEKLVTPFGSPLLPSSPCGSSNSFSCFAAISPKLSSKSPSLSPSASDYASSFTFSPSSSNWSDLSYLSSQQPSPSGLEATDLSPIKKNVSSMENSCLPYKESFPSSTKSFSSDCILRVENPCMPCKGTPPSLAERRGSHRPPRMWLPSADAQVPGTLVRSRSFSLPNLEDDVMNDIDCNRYEPEDGGEELMLDVEAVPSIESHSRGEDKREYGECQAQSSGIFKTPTRVTTPALYQWPTLNKVEMHRSDILEPAAVYVLLASERSLGASDHSTVLYIWLGRDACEKGQSQFLSCDDTHGNSHHHWESIGHDFLNKMDLPLNASVQIVREGEEPEQFLKLFNCYMVLEG >KJB15651 pep chromosome:Graimondii2_0_v6:2:50674405:50677650:-1 gene:B456_002G188400 transcript:KJB15651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWREGQSFEDAFQYVKAARGVTNPNTGFAFQLLQCQKRVHAVPASPNSVLRMYRMAPHSSYDALHLVPKMVNYPGKLALDSRGAFIVHVPSAIYVWVGKKCNCVMSNSAGSAANQVIRYEQAKGPILTVREGDEPLEFWDALASGQISADGCDRAEVRKVVKLASENDNIAAVSKIFVGERKVDDYDLDFQLFHKALAGGVVPPFSVSNTESETCLPARENGWGRLRQKFANGIMKEFLNSSKLGCCNLSPVYDRSDMVMEIHKDTKDTVLILSPSSSSIFPCGKPESFDCFPDCSPIQSKDPCEEVEKLVTPFGSPLLPSSPCGSSNSFSCFAAISPKLSSKSPSLSPSASDYASSFTFSPSSSNWSDLSYLSSQQPSPSGLEATDLSPIKKNVSSMENSCLPYKESFPSSTKSFSSDCILRVENPCMPCKGTPPSLAERRGSHRPPRMWLPSADAQVPGTLVRSRSFSLPNLEDDVMNDIDCNRYEPEDGGEELMLDVEAVPSIESHSRGEDKREYGECQAQSSGIFKTPTRVTTPALYQWPTLNKVEMHRSDILEPAAVYVLLASERSLGASDHSTVLYIWLGRDACEKGQSQFLSCDDTHGNSHHHWESIGHDFLNKMDLPLNASVQVSWLPCTSVLNVT >KJB15840 pep chromosome:Graimondii2_0_v6:2:53792674:53794940:-1 gene:B456_002G199000 transcript:KJB15840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERRVHPDCINASNPYHECVEYCFRKIAEAKARKDKSVTETPQPEHGQPVTPAAYQEQDVQPGIPEPEESPDDDSDRPVEENIEGDITNLTGRQKKLFELRLKMNEARKANQTAMVAEKKRMEALPESRGISKQKWLEERKKKIGKLLDANGLDLQNAYMLDTQEAAEAKYKKWEKDPAPFGWDVFNQKTLYNAYKKRTKNIDIDLEEYNRMKEADPEFYREASSLQYGKAPKTSEDKIEKMVKELKDREEKRKSFSRRRKFHEEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >KJB15841 pep chromosome:Graimondii2_0_v6:2:53792228:53795132:-1 gene:B456_002G199000 transcript:KJB15841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERRVHPDCINASNPYHECVEYCFRKIAEAKARKDKSVTETPQPEHGQPVTPAAYQEQDVQPGIPEPEESPDDDSDRPVEENIEGDITNLTGRQKKLFELRLKMNEARKANQTAMVAEKKRMEALPESRGISKQKWLEERKKKIGKLLDANGLDLQNAYMLDTQEAAEAKYKKWEKDPAPFGWDVFNQKTLYNAYKKRTKNIDIDLEEYNRMKEADPEFYREASSLQYGKAPKTSEDKIEKMVKELKDREEKRKSFSRRRKFHEEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >KJB13308 pep chromosome:Graimondii2_0_v6:2:7877781:7879193:1 gene:B456_002G067700 transcript:KJB13308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTSFEFSPFVQSFHCRRGKAYLFNNAVNITVGALEERVMLSGMHTVADIFCCCCGQIVGWKYEAAHEKSQKYKEGKFVLERGRIVDEIDFSSEVYIDTRPSMSDGEDA >KJB13307 pep chromosome:Graimondii2_0_v6:2:7877313:7879202:1 gene:B456_002G067700 transcript:KJB13307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIFVVELDGRSYRCKFCRTHLALPDDLVSRSFHCRRGKAYLFNNAVNITVGALEERVMLSGMHTVADIFCCCCGQIVGWKYEAAHEKSQKYKEGKFVLERLVRSFASDRGRIVDEIDFSSEVYIDTRPSMSDGEDA >KJB13306 pep chromosome:Graimondii2_0_v6:2:7877196:7879240:1 gene:B456_002G067700 transcript:KJB13306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIFVVELDGRSYRCKFCRTHLALPDDLVSRSFHCRRGKAYLFNNAVNITVGALEERVMLSGMHTVADIFCCCCGQIVGWKYEAAHEKSQKYKEGKFVLERGRIVDEIDFSSEVYIDTRPSMSDGEDA >KJB15220 pep chromosome:Graimondii2_0_v6:2:40632120:40637145:-1 gene:B456_002G165500 transcript:KJB15220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCLKVFLQIVMYGKSLQQEGVAGNLHYNRSGASKKGTGGGLNLTNGTQDEIQDPSVHPWRGLTTTRDDSLTLLDCYLCPKSFKGLQNVFYSARARERERELLYPGACGGGGWGWISQGIASYGTGHGTRETCALHTARLSCDTLVDFWSALGEETRESLLRMKEEDFIERLIYRCVYGSSLRLNVFHFLYCSAGKRYEVR >KJB15221 pep chromosome:Graimondii2_0_v6:2:40632487:40634182:-1 gene:B456_002G165500 transcript:KJB15221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIVMPHLGFGLSKMTLLATIISKSSGVSCQCKLSRSSLELINKNSLSEVSRTFTTPDVMGCCLKVFLQIVMYGKSLQQEGVAGNLHYNRSGASKKGTGGGLNLTNGTQDEIQDPSVHPWRGLTTTRDDSLTLLDCYLCPKSFKGLQNVFYSARARERERELLYPGACGGGGWGWISQGIASYGTGHGTRETCALHTARLSCDTLVDFWSALGEETRESLLRMKEEDFIERLIYRCVYGSSLRLNVFHFLYCSAGKRYEVR >KJB12290 pep chromosome:Graimondii2_0_v6:2:661056:667052:-1 gene:B456_002G010300 transcript:KJB12290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRTFHHHLLQGFMLLSFISNCCARFVVEKNSLTVTSPEKIKGTHDSAIGNFGIPQYGGSMAGVVVYPKENQKACKSFGDFGISFKSKPGSLPTFVLVDRGDCFFALKVWNVQQAGASAVLVADDIEEALITMDNPEEDSSSAKYIENITIPSALIEKTFGETLKKAISGGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMAFVKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTLSRQCKSQCINRGRYCAPDPEQDFSSGYEGKDVVIENLRQLCVFKVANETNRPWVWWDYVTDFQIRCPMKEKKYNKECADVVIKSLGLDAKKIEKCMGDPDADMENPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLAKGAVLKAICAGFEETTEPAVCLSGDVETNECLENNGGCWQDKAANLTACRLVGLEGARSIMEVVGMSHRMGVHTLLVWITETLNASVLQGLKVTVSKVVKILMNARRREPASALNVAAKILGEATSALAMEIFCISGTMIPA >KJB12292 pep chromosome:Graimondii2_0_v6:2:661056:667052:-1 gene:B456_002G010300 transcript:KJB12292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRTFHHHLLQGFMLLSFISNCCARFVVEKNSLTVTSPEKIKGTHDSAIGNFGIPQYGGSMAGVVVYPKENQKACKSFGDFGISFKSKPGSLPTFVLVDRGDCFFALKVWNVQQAGASAVLVADDIEEALITMDNPEEDSSSAKYIENITIPSALIEKTFGETLKKAISGGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMAFVKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTLSRQCKSQCINRGRYCAPDPEQDFSSGYEGKDVVIENLRQLCVFKVANETNRPWVWWDYVTDFQIRCPMKEKKYNKECADVVIKSLGLDAKKIEKCMGDPDADMENPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLAKGAVLKAICAGFEETTEPAVCLSGDVETNECLENNGGCWQDKAANLTACRDTFRGRVCECPLVDGVQFKGDGYSHCEPGGPGRCKINNGGCWHESQNGSAYSACLDNGDVKCQCPPGFKGDGVKSCEDIDECKEKRACQCPECSCKNTWGSYECTCNGDLLYIRDHDTCISKSGTEVRSAWAAMSVILIGLAMAGGGAYLVYKYRLRSYMDSEIRAIMAQYMPLDSQGEVPNHVSNDRA >KJB12294 pep chromosome:Graimondii2_0_v6:2:661615:667003:-1 gene:B456_002G010300 transcript:KJB12294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRTFHHHLLQGFMLLSFISNCCARFVVEKNSLTVTSPEKIKGTHDSAIGNFGIPQYGGSMAGVVVYPKENQKACKSFGDFGISFKSKPGSLPTFVLVDRGDCFFALKVWNVQQAGASAVLVADDIEEALITMDNPEEDSSSAKYIENITIPSALIEKTFGETLKKAISGGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMAFVKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTLSRQCKSQCINRGRYCAPDPEQDFSSGYEGKDVVIENLRQLCVFKVANETNRPWVWWDYVTDFQIRCPMKEKKYNKECADVVIKSLGLDAKKIEKCMGDPDADMENPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLAKGAVLKAICAGFEETTEPAVCLSGDVETNECLENNGGCWQDKAANLTACRDTFRGRVCECPLVDGVQFKGDGYSHCEPGGPGRCKINNGGCWHESQNGSAYSACLDNGDVKCQCPPGFKGDGVKSCEDIDECKEKRACQCPECSCKNTWGSYECTCNGDLLYIRDHDTCISKSGTEVRSAWAAMSVILIGLAMAGGGAYLVYKYRLRVSCCITL >KJB12291 pep chromosome:Graimondii2_0_v6:2:661056:667052:-1 gene:B456_002G010300 transcript:KJB12291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRTFHHHLLQGFMLLSFISNCCARFVVEKNSLTVTSPEKIKGTHDSAIGNFGIPQYGGSMAGVVVYPKENQKACKSFGDFGISFKSKPGSLPTFVLVDRGDCFFALKVWNVQQAGASAVLVADDIEEALITMDNPEEDSSSAKYIENITIPSALIEKTFGETLKKAISGGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMAFVKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTLSRQCKSQCINRGRYCAPDPEQDFSSGYEGKDVVIENLRQLCVFKVANETNRPWVWWDYVTDFQIRCPMKEKKYNKECADVVIKSLGLDAKKIEKCMGDPDADMENPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGAVLKAICAGFEETTEPAVCLSGDVETNECLENNGGCWQDKAANLTACRDTFRGRVCECPLVDGVQFKGDGYSHCEPGGPGRCKINNGGCWHESQNGSAYSACLDNGDVKCQCPPGFKGDGVKSCEDIDECKEKRACQCPECSCKNTWGSYECTCNGDLLYIRDHDTCISKSGTEVRSAWAAMSVILIGLAMAGGGAYLVYKYRLRSYMDSEIRAIMAQYMPLDSQGEVPNHVSNDRA >KJB12293 pep chromosome:Graimondii2_0_v6:2:661279:667052:-1 gene:B456_002G010300 transcript:KJB12293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRTFHHHLLQGFMLLSFISNCCARFVVEKNSLTVTSPEKIKGTHDSAIGNFGIPQYGGSMAGVVVYPKENQKACKSFGDFGISFKSKPGSLPTFVLVDRGDCFFALKVWNVQQAGASAVLVADDIEEALITMDNPEEDSSSAKYIENITIPSALIEKTFGETLKKAISGGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMAFVKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTLSRQCKSQCINRGRYCAPDPEQDFSSGYEGKDVVIENLRQLCVFKVANETNRPWVWWDYVTDFQIRCPMKEKKYNKECADVVIKSLGLDAKKIEKCMGDPDADMENPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLAKGAVLKAICAGFEETTEPAVCLSGDVETNECLENNGGCWQDKAANLTACRDTFRGRVCECPLVDGVQFKGDGYSHCEPGGPGRCKINNGGCWHESQNGSAYSACLDNGDVKCQCPPGFKGDGVKSCEDIDECKEKRACQCPECSCKNTWGSYECTCNGDLLYIRDHDTCISKSGTEVRSAWAAMSVILIGLAMAGGGAYLVYKYRLRSYMDSEIRAIMAQYMPLDSQGEVPNHVSNDRA >KJB12295 pep chromosome:Graimondii2_0_v6:2:662876:667052:-1 gene:B456_002G010300 transcript:KJB12295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRTFHHHLLQGFMLLSFISNCCARFVVEKNSLTVTSPEKIKGTHDSAIGNFGIPQYGGSMAGVVVYPKENQKACKSFGDFGISFKSKPGSLPTFVLVDRGDCFFALKVWNVQQAGASAVLVADDIEEALITMDNPEEDSSSAKYIENITIPSALIEKTFGETLKKAISGGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMAFVKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTLSRQCKSQCINRGRYCAPDPEQDFSSGYEGKDVVIENLRQLCVFKVANETNRPWVWWDYVTDFQIRCPMKEKKYNKECADVVIKSLGLDAKKIEKCMGDPDADMENPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLAKGAVLKAICAGFEETTEPAVCLSGDVETNECLENNGGCWQDKAANLTACRDTFRGRVCECPLVDGVQFKGDGYSHCERENQDFICTD >KJB12542 pep chromosome:Graimondii2_0_v6:2:1673426:1678477:-1 gene:B456_002G023500 transcript:KJB12542 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter D family member 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G54350) UniProtKB/Swiss-Prot;Acc:Q6NLC1] MTSYFMQRYLTDRTFYKIQSQSIIDNPDQRIVDDLSSFTSTALSFSLTLFNAAVDLISFSNILYTIYPPLFVVLLLYSIGGTVISIFLGKGLVTLNFLQEKKEADFRYGLVRVRENAESIAFYGGEDNELQLLLQRFTSAFENLTQLLISSRNLEFFTNGYRYLIQIVPAAVVAPMYFSGKIEFGVINQSVSAFSHILGDVSLVVYQFQAISAFSAVIDRLGEFDDILDSSRSKSLSDPMEYIDLTYSHVKGSQVLDSNGSTPPTTSPRLLDVENLTLKTPKSNSTLVRDLSFAIDEKDNLLVVGPSGSGKTSLLRALAGLWNTGKGKITFYVEKAGDAQSPTSSEMAPVEENSANVNEEFGRPINSNSKSVFFLPQRPYMVLGSLRQQLLYPTWTEEVVVSDTTKPGGSLPFLTQVPNSKNVSEKHRVPVPTTDDLTQVLEDVRIGYILSRFKGLDTVYEWSSVLSLGEQQRLAFARLLLSKPKLALLDESTSALDEVNEAHLYEKMKAAGITYISIGHRRTLYAYHSRVLDISSADADSRQRNWNIKFIEQDTLHNFLKL >KJB12541 pep chromosome:Graimondii2_0_v6:2:1672961:1679792:-1 gene:B456_002G023500 transcript:KJB12541 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter D family member 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G54350) UniProtKB/Swiss-Prot;Acc:Q6NLC1] MIVAQMALCSYPYLRLHTTTSPFEPSNGHHIHHHPVFSKPKRLETRNSNSNSNPLNIKCFASTSQSKSQSQSPPPLPPFKDEGEERKFSDLNMLFRRFWKVAAPYWFSDDKVQARLQLAGVFALTLATTGISVGFNFLGRDFFNALANKDEEQFTKQLLYYLCGFAGGIPFFVLRDYARETLSLRWRCWMTSYFMQRYLTDRTFYKIQSQSIIDNPDQRIVDDLSSFTSTALSFSLTLFNAAVDLISFSNILYTIYPPLFVVLLLYSIGGTVISIFLGKGLVTLNFLQEKKEADFRYGLVRVRENAESIAFYGGEDNELQLLLQRFTSAFENLTQLLISSRNLEFFTNGYRYLIQIVPAAVVAPMYFSGKIEFGVINQSVSAFSHILGDVSLVVYQFQAISAFSAVIDRLGEFDDILDSSRSKSLSDPMEYIDLTYSHVKGSQVLDSNGSTPPTTSPRLLDVENLTLKTPKSNSTLVRDLSFAIDEKDNLLVVGPSGSGKTSLLRALAGLWNTGKGKITFYVEKAGDAQSPTSSEMAPVEENSANVNEEFGRPINSNSKSVFFLPQRPYMVLGSLRQQLLYPTWTEEVVVSDTTKPGGSLPFLTQVPNSKNVSEKHRVPVPTTDDLTQVLEDVRIGYILSRFKGLDTVYEWSSVLSLGEQQRLAFARLLLSKPKLALLDESTSALDEVNEAHLYEKMKAAGITYISIGHRRTLYAYHSRVLDISSADADSRQRNWNIKFIEQDTLHNFLKL >KJB12543 pep chromosome:Graimondii2_0_v6:2:1675111:1679792:-1 gene:B456_002G023500 transcript:KJB12543 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter D family member 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G54350) UniProtKB/Swiss-Prot;Acc:Q6NLC1] MIVAQMALCSYPYLRLHTTTSPFEPSNGHHIHHHPVFSKPKRLETRNSNSNSNPLNIKCFASTSQSKSQSQSPPPLPPFKDEGEERKFSDLNMLFRRFWKVAAPYWFSDDKVQARLQLAGVFALTLATTGISVGFNFLGRDFFNALANKDEEQFTKQLLYYLCGFAGGIPFFVLRDYARETLSLRWRCWMTSYFMQRYLTDRTFYKIQSQSIIDNPDQRIVDDLSSFTSTALSFSLTLFNAAVDLISFSNILYTIYPPLFVVLLLYSIGGTVISIFLGKGLVTLNFLQEKKEADFRYGLVRVRENAESIAFYGGEDNELQLLLQRFTSAFENLTQLLISSRNLEFFTNGYRYLIQIVPAAVVAPMYFSGKIEFGVINQSVSAFSHILGDVSLVVYQFQAISAFSAVIDRLGEFDDILDSSRSKSLSDPMEYIDLTYSHVKGSQVLDSNGSTPPTTSPRLLDVENLTLKTPKSNSTLVRDLSFAIDEKDNLLVVGPSGSGKTSLLRALAGLWNTGKGKITFYVEKAGDAQSPTSSEMAPVEENSANVNEEFGRPINSNSKSVFFLPQRPYMVLGSLRQQLLYPTWTEEVVVSDTTKPGGRICWERKREEESESGGAVVAWVDKYCDDTQKEFPKGS >KJB15335 pep chromosome:Graimondii2_0_v6:2:43713327:43717231:1 gene:B456_002G172200 transcript:KJB15335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSLSDATTTSASDPHAPLLRSRQSDASAARSSALALLLGRVTGRRGASMLVRETAARELEERRADWGYSKPVVALDILWNTAFVVVSVVMLICTVNERPNTPVRVWICGYALQCSVHVVLVWLEYKRRNARRASGRGEERGEDVTDSEDDEDSNQSSVTKRCESVNTLASFIWWIVGFYWVISGGNILLQQAPRLYWLAVVFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAVAGQEGASEADLSILPKYRFQIINIGEKPSVGVGKMVPVETSSGYLANERILLPEDAVSYGIIMELLFLFAMMLIHHIIVVLFPPCLAHLFF >KJB15334 pep chromosome:Graimondii2_0_v6:2:43713211:43717271:1 gene:B456_002G172200 transcript:KJB15334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSLSDATTTSASDPHAPLLRSRQSDASAARSSALALLLGRVTGRRGASMLVRETAARELEERRADWGYSKPVVALDILWNTAFVVVSVVMLICTVNERPNTPVRVWICGYALQCSVHVVLVWLEYKRRNARRASGRGEERGEDVTDSEDDEDSNQSSVTKRCESVNTLASFIWWIVGFYWVISGGNILLQQAPRLYWLAVVFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAVAGQEGASEADLSILPKYRFQIINIGEKPSVGVGKMVPVETSSGYLANERILLPEDAECCICLCSYEDGVDLHALPCNHHFHSTCIVKWLKMNATCPLCKYNILKGTEQV >KJB13450 pep chromosome:Graimondii2_0_v6:2:8929122:8932570:1 gene:B456_002G075600 transcript:KJB13450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLSCARPVYPTLSLSPSRPKCTAIRSSLSNVHPFVPEVAKAADSLSSEFRGVDNLVACNSSRVLKAFQNARVGSHHFAGCTGYGHDEAGGREALDQAFAEIVGAESAIVRSQFFSGTHAITCALFAFLRPGDELLAVAGAPYDTLEEVIGKRDSHGLGSLKDFGVMYRELPLAEDGGLDWDVLTGALRPYTKCALIQRSCGYSWRRSLSVEEIGRAIKIIKMQNPNCLVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTVAPCGGYVAGRKKWVDAAAARLSAPGLGVDCGSTPGDIMRAFFQGLFLAPQMVGEAIKGTFLVAEVMASKGYKVQPLPRVPRSDTVQAVQLGSRELLLAFCEAVQRSSPVGSFTKPVAGTTPGYASEVWLCFTSTFIL >KJB13452 pep chromosome:Graimondii2_0_v6:2:8929122:8933558:1 gene:B456_002G075600 transcript:KJB13452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLSCARPVYPTLSLSPSRPKCTAIRSSLSNVHPFVPEVAKAADSLSSEFRGVDNLVACNSSRVLKAFQNARVGSHHFAGCTGYGHDEAGGREALDQAFAEIVGAESAIVRSQFFSGTHAITCALFAFLRPGDELLAVAGAPYDTLEEVIGKRDSHGLGSLKDFGVMYRELPLAEDGGLDWDVLTGALRPYTKCALIQRSCGYSWRRSLSVEEIGRAIKIIKMQNPNCLVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTVAPCGGYVAGRKKWVDAAAARLSAPGLGVDCGSTPGDIMRAFFQGLFLAPQMVGEAIKGTFLVAEVMASKGYKVQPLPRVPRSDTVQAVQLGSRELLLAFCEAVQRSSPVGSFTKPVAGTTPGYASEVIFADGTFIDGSTSELSCDGPLREPYAVFCQGGTHWTQWGLVLGDVLKSL >KJB13449 pep chromosome:Graimondii2_0_v6:2:8929122:8933558:1 gene:B456_002G075600 transcript:KJB13449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLSCARPVYPTLSLSPSRPKCTAIRSSLSNVHPFVPEVAKAADSLSSEFRGVDNLVACNSSRVLKAFQNARVGSHHFAGCTGYGHDEAGGREALDQAFAEIVGAESAIVRSQFFSGTHAITCALFAFLRPGDELLAVAGAPYDTLEEVIGKRDSHGLGSLKDFGVMYRELPLAEDGGLDWDVLTGALRPYTKCALIQRSCGYSWRRSLSVEEIGRAIKIIKMQNPNCLVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTVAPCGGYVAGRKKWVDAAAARLSAPGLGVDCGSTPGDIMRAFFQGLFLAPQMVGEAIKGTFLVAEVMASKGYKVQPLPRVPRSDTVQAVQLGSRELLLAFCEAVQRSSPVGSFTKPVAGTTPGYASEVIFADGTFIDGSTSELSCDGPLREPYAVFCQGGTHWTQWGLVLGDVLKSL >KJB13448 pep chromosome:Graimondii2_0_v6:2:8929066:8933558:1 gene:B456_002G075600 transcript:KJB13448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLSCARPVYPTLSLSPSRPKCTAIRSSLSNVHPFVPEVAKAADSLSSEFRGVDNLVACNSSRVLKAFQNARVGSHHFAGCTGYGHDEAGGREALDQAFAEIVGAESAIVRSQFFSGTHAITCALFAFLRPGDELLAVAGAPYDTLEEVIGKRDSHGLGSLKDFGVMYRELPLAEDGGLDWDVLTGALRPYTKCALIQRSCGYSWRRSLSVEEIGRAIKIIKMQNPNCLVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTVAPCGGYVAGRKKWVDAAAARLSAPGLGVDCGSTPGDIMRAFFQGLFLAPQMVGEAIKGTFLVAEVMASKGYKVQPLPRVPRSDTVQAVQLGSRELLLAFCEAVQRSSPVGSFTKPVAGTTPGYASEVIFADGTFIDGSTSELSCDGPLREPYAVFCQGGTHWTQWGLVLGDVLKSL >KJB13451 pep chromosome:Graimondii2_0_v6:2:8929037:8933558:1 gene:B456_002G075600 transcript:KJB13451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLSCARPVYPTLSLSPSRPKCTAIRSSLSNVHPFVPEVAKAADSLSSEFRGVDNLVACNSSRVLKAFQNARVGSHHFAGCTGYGHDEAGGREALDQAFAEIVGAESAIVRSQFFSGTHAITCALFAFLRPGDELLAVAGAPYDTLEEVIGKRDSHGLGSLKDFGVMYRELPLAEDGGLDWDVLTGALRPYTKCALIQRSCGYSWRRSLSVEEIGRAIKIIKMQNPNCLVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTVAPCGGYVAGRKKWVDAAAARLSAPGLGVDCGSTPGDIMRAFFQGLFLAPQMVGEAIKGTFLVAEVMASKGYKVQPLPRVPRSDTVQAVQLGSRELLLAFCEAVQRSSPVGSFTKPVAGTTPGYASEVIFADGTFIDGSTSELSCDGPLREPYAVFCQGGTHWTQWGLVLGDVLKSL >KJB14078 pep chromosome:Graimondii2_0_v6:2:15017045:15020697:1 gene:B456_002G109600 transcript:KJB14078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKIPKGDKGRPKRRLRKKYRNSGSENGESSSQKDFTSGVAAMEVLESETEDKLPISSLSWGKCTSKSGKANVEEKSRKETDNRSDNEIEDNVTMLKGTNAVRGVEPECKSGNRNGGKQKHELRVGNALVPKKKREDLAKEEGLLEADHCMIEEVILEQNDQNKKLTSKKKCKYDNLLLASSQVDTEVGVKLKRKRKEQFEEKTLENNVNKEDETHKIGSNYTVTKDVDVEDRENQNQVNDNHSRKTKKKRRCKDEEGDAMKVEPPVLPAHEKKRSDVEMGAKNANDKEIQLSNGIIIEELEMGKPDGKIASLGKKVRVHYTGKLKESGQVFDSSVGRANLKFRLGGKKVQELWNVGLDGMRIGGKRRLIVPPSVSYTNEGTSENIPPNSWLVFDVELIKVK >KJB14077 pep chromosome:Graimondii2_0_v6:2:15017543:15020503:1 gene:B456_002G109600 transcript:KJB14077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEIRCLYFYAVCFLIRLSVVNSIWNLRSLMKSFFLLLALELFILLVTICPLLPLIIIMMNRILLFLCFEFTSCSWGSFLPFALTILLRESYGEDIAGTETERSENGEESEYGGSFIDDEEPQVLSSSQDFSAVSESNEEMADLKIPKGDKGRPKRRLRKKYRNSGSENGESSSQKDFTSGVAAMEVLESETEDKLPISSLSWGKCTSKSGKANVEEKSRKETDNRSDNEIEDNVTMLKGTNAVRGVEPECKSGNRNGGKQKHELRVGNALVPKKKREDLAKEEGLLEADHCMIEEVILEQNDQNKKLTSKKKCKYDNLLLASSQVDTEVGVKLKRKRKEQFEEKTLENNVNKEDETHKIGSNYTVTKDVDVEDRENQNQVNDNHSRKTKKKRRCKDEEGDAMKVEPPVLPAHEKKRSDVEMGAKNANDKEIQLSNGIIIEELEMGKPDGKIASLGKKVRVHYTGKLKESGQVFDSSVGRANLKFRLGGKKVQELWNVGLDGMRIGGKRRLIVPPSVSYTNEGTSENIPPNSWLVFDVELIKVK >KJB14072 pep chromosome:Graimondii2_0_v6:2:15017045:15020697:1 gene:B456_002G109600 transcript:KJB14072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGTEVKPGKPFTHAPLNGRLHLSQATLGMGDGIKKSIVQCNVGNKMPVFLCCLFPDKAECCQLNLEFEESDEVVFSVIGPRTVHLTGYYLSSSSLNHHNDESESYGEDIAGTETERSENGEESEYGGSFIDDEEPQVLSSSQDFSAVSESNEEMADLKIPKGDKGRPKRRLRKKYRNSGSENGESSSQKDFTSGVAAMEVLESETEDKLPISSLSWGKCTSKSGKANVEEKSRKETDNRSDNEIEDNVTMLKGTNAVRGVEPECKSGNRNGGKQKHELRVGNALVPKKKREDLAKEEGLLEADHCMIEEVILEQNDQNKKLTSKKKCKYDNLLLASSQVDTEVGVKLKRKRKEQFEEKTLENNVNKEDETHKIGSNYTVTKDVDVEDRENQNQVNDKKTKKKRRCKDEEGDAMKVEPPVLPAHEKKRSDVEMGAKNANDKEIQLSNGIIIEELEMGKPDGKIASLGKKVRVHYTGKLKESGQVFDSSVGRANLKFRLGGKKVQELWNVGLDGMRIGGKRRLIVPPSVSYTNEGTSENIPPNSWLVFDVELIKVK >KJB14068 pep chromosome:Graimondii2_0_v6:2:15017009:15020914:1 gene:B456_002G109600 transcript:KJB14068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGTEVKPGKPFTHAPLNGRLHLSQATLGMGDGIKKSIVQCNVGNKMPVFLCCLFPDKAECCQLNLEFEESDEVVFSVIGPRTVHLTGYYLSSSSLNHHNDESESYGEDIAGTETERSENGEESEYGGSFIDDEEPQVLSSSQDFSAVSESNEEMADLKIPKGDKGRPKRRLRKKYRNSGSENGESSSQKDFTSGVAAMEVLESETEDKLPISSLSWGKCTSKSGKANVEEKSRKETDNRSDNEIEDNVTMLKGTNAVRGVEPECKSGNRNGGKQKHELRVGNALVPKKKREDLAKEEGLLEADHCMIEEVILEQNDQNKKLTSKKKCKYDNLLLASSQVDTEVGVKLKRKRKEQFEEKTLENNVNKEDETHKIGSNYTVTKDVDVEDRENQNQVNDNHSRKTKKKRRCKDEEGDAMKVEPPVLPAHEKKRSDVEMGAKNANDKEIQLSNGIIIEELEMGKPDGKIASLGKKVRVHYTGKLKESGQVFDSSVGRANLKFRLGGKKVQELWNVGLDGMRIGGKRRLIVPPSVSYTNEGTSENIPPNSWLVFDVELIKVK >KJB14074 pep chromosome:Graimondii2_0_v6:2:15017045:15020697:1 gene:B456_002G109600 transcript:KJB14074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGTEVKPGKPFTHAPLNGRLHLSQATLGMGDGIKKSIVQCNVGNKMPVFLCCLFPDKAECCQLNLEFEESDEVVFSVIGPRTVHLTANEEMADLKIPKGDKGRPKRRLRKKYRNSGSENGESSSQKDFTSGVAAMEVLESETEDKLPISSLSWGKCTSKSGKANVEEKSRKETDNRSDNEIEDNVTMLKGTNAVRGVEPECKSGNRNGGKQKHELRVGNALVPKKKREDLAKEEGLLEADHCMIEEVILEQNDQNKKLTSKKKCKYDNLLLASSQVDTEVGVKLKRKRKEQFEEKTLENNVNKEDETHKIGSNYTVTKDVDVEDRENQNQVNDNHSRKTKKKRRCKDEEGDAMKVEPPVLPAHEKKRSDVEMGAKNANDKEIQLSNGIIIEELEMGKPDGKIASLGKKVRVHYTGKLKESGQVFDSSVGRANLKFRLGGKKVQELWNVGLDGMRIGGKRRLIVPPSVSYTNEGTSENIPPNSWLVFDVELIKVK >KJB14071 pep chromosome:Graimondii2_0_v6:2:15017408:15020697:1 gene:B456_002G109600 transcript:KJB14071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEIRCLYFYAVCFLIRLSVVNSIWNLRSLMKSFFLLLALELFILLVTICPLLPLIIIMMNRILLFLCFEFTSCSWGSFLPFALTILLRESYGEDIAGTETERSENGEESEYGGSFIDDEEPQVLSSSQDFSAVSESNEEMADLKIPKGDKGRPKRRLRKKYRNSGSENGESSSQKDFTSGVAAMEVLESETEDKLPISSLSWGKCTSKSGKANVEEKSRKETDNRSDNEIEDNVTMLKGTNAVRGVEPECKSGNRNGGKQKHELRVGNALVPKKKREDLAKEEGLLEADHCMIEEVILEQNDQNKKLTSKKKCKYDNLLLASSQVDTEVGVKLKRKRKEQFEEKTLENNVNKEDETHKIGSNYTVTKDVDVEDRENQNQVNDNHSRKTKKKRRCKDEEGDAMKVEPPVLPAHEKKRSDVEMGAKNANDKEIQLSNGIIIEELEMGKPDGKIASLGKKVRVHYTGKLKESGQVFDSSVGRANLKFRLGGKKVQELWNVGLDGMRIGGKRRLIVPPSVSYTNEGTSENIPPNSWLVFDVELIKVK >KJB14069 pep chromosome:Graimondii2_0_v6:2:15017045:15019310:1 gene:B456_002G109600 transcript:KJB14069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGTEVKPGKPFTHAPLNGRLHLSQATLGMGDGIKKSIVQCNVGNKMPVFLCCLFPDKAECCQLNLEFEESDEVVFSVIGPRTVHLTGYYLSSSSLNHHNDESESYGEDIAGTETERSENGEESEYGGSFIDDEEPQVLSSSQDFSAVSESNEEMADLKIPKGDKGRPKRRLRKKYRNSGSENGESSSQKDFTSGVAAMEVLESETEDKLPISSLSWGKCTSKSGKANVEEKSRKETDNRSDNEIEDNVTMLKGTNAVRGVEPECKSGNRNGGKQKHELRVGNALVPKKKREDLAKEEGLLEADHCMIEEVILEQNDQNKKLTSKKKCKYDNLLLASSQVDTEVGVKLKRKRKEQFEEKTLENNVNKEDETHKIGSNYTVTKDVDVEDRENQNQVNDK >KJB14075 pep chromosome:Graimondii2_0_v6:2:15017543:15020503:1 gene:B456_002G109600 transcript:KJB14075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEIRCLYFYAVCFLIRLSVVNSIWNLRSLMKSFFLLLALELFILLVTICPLLPLIIIMMNQNHMGRILLGQKQKDPRMVKKVNMVVVLLMMKNHKSSHLLKIFLLYQNASNEEMADLKIPKGDKGRPKRRLRKKYRNSGSENGESSSQKDFTSGVAAMEVLESETEDKLPISSLSWGKCTSKSGKANVEEKSRKETDNRSDNEIEDNVTMLKGTNAVRGVEPECKSGNRNGGKQKHELRVGNALVPKKKREDLAKEEGLLEADHCMIEEVILEQNDQNKKLTSKKKCKYDNLLLASSQVDTEVGVKLKRKRKEQFEEKTLENNVNKEDETHKIGSNYTVTKDVDVEDRENQNQVNDNHSRKTKKKRRCKDEEGDAMKVEPPVLPAHEKKRSDVEMGAKNANDKEIQLSNGIIIEELEMGKPDGKIASLGKKVRVHYTGKLKESGQVFDSSVGRANLKFRLGGKKVQELWNVGLDGMRIGGKRRLIVPPSVSYTNEGTSENIPPNSWLVFDVELIKVK >KJB14073 pep chromosome:Graimondii2_0_v6:2:15017817:15020503:1 gene:B456_002G109600 transcript:KJB14073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRILLGQKQKDPRMVKKVNMVVVLLMMKNHKSSHLLKIFLLYQNASNEEMADLKIPKGDKGRPKRRLRKKYRNSGSENGESSSQKDFTSGVAAMEVLESETEDKLPISSLSWGKCTSKSGKANVEEKSRKETDNRSDNEIEDNVTMLKGTNAVRGVEPECKSGNRNGGKQKHELRVGNALVPKKKREDLAKEEGLLEADHCMIEEVILEQNDQNKKLTSKKKCKYDNLLLASSQVDTEVGVKLKRKRKEQFEEKTLENNVNKEDETHKIGSNYTVTKDVDVEDRENQNQVNDNHSRKTKKKRRCKDEEGDAMKVEPPVLPAHEKKRSDVEMGAKNANDKEIQLSNGIIIEELEMGKPDGKIASLGKKVRVHYTGKLKESGQVFDSSVGRANLKFRLGGKKVQELWNVGLDGMRIGGKRRLIVPPSVSYTNEGTSENIPPNSWLVFDVELIKVK >KJB14076 pep chromosome:Graimondii2_0_v6:2:15017045:15020704:1 gene:B456_002G109600 transcript:KJB14076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGTEVKPGKPFTHAPLNGRLHLSQATLGMGDGIKKSIVQCNVGNKMPVFLCCLFPDKAECCQLNLEFEESDEVVFSVIGPRTVHLTGYYLSSSSLNHHNDESESYGEDIAGTETERSENGEESEYGGSFIDDEEPQVLSSSQDFSAVSESNEEMADLKIPKGDKGRPKRRLRKKYRNSGSENGESSSQKDFTSGVAAMEVLESETEDKLPISSLSWGKCTSKSGKANVEEKSRKETDNRSDNEIEDNVTMLKGTNAVRGVEPECKSGNRNGGKQKHELRVGNALVPKKKREDLAKEEGLLEADHCMIEEVILEQNDQNKKLTSKKKCKYDNLLLASSQVDTEVGVKLKRKRKEQFEEKTLENNVNKEDETHKIGSNYTVTKDVDVEDRENQNQVNDNHSRKTKKKRRCKDEEGDAMKVEPPVLPAHEKKRSDVEMGAKNANDKEIQLSNGIIIEELEMGKPDGKIASLGKKVRVHYTGKLKESGQVFDSSVGRANLKFRLGGKKVQELWNVGLDGMRIGGKRRLIVPPSVSYTNEGTSNWLASCTSFV >KJB14070 pep chromosome:Graimondii2_0_v6:2:15017045:15020697:1 gene:B456_002G109600 transcript:KJB14070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGTEVKPGKPFTHAPLNGRLHLSQATLGMGDGIKKSIVQCNVGNKMPVFLCCLFPDKAECCQLNLEFEESDEVVFSVIGPRTVHLTERSENGEESEYGGSFIDDEEPQVLSSSQDFSAVSESNEEMADLKIPKGDKGRPKRRLRKKYRNSGSENGESSSQKDFTSGVAAMEVLESETEDKLPISSLSWGKCTSKSGKANVEEKSRKETDNRSDNEIEDNVTMLKGTNAVRGVEPECKSGNRNGGKQKHELRVGNALVPKKKREDLAKEEGLLEADHCMIEEVILEQNDQNKKLTSKKKCKYDNLLLASSQVDTEVGVKLKRKRKEQFEEKTLENNVNKEDETHKIGSNYTVTKDVDVEDRENQNQVNDNHSRKTKKKRRCKDEEGDAMKVEPPVLPAHEKKRSDVEMGAKNANDKEIQLSNGIIIEELEMGKPDGKIASLGKKVRVHYTGKLKESGQVFDSSVGRANLKFRLGGKKVQELWNVGLDGMRIGGKRRLIVPPSVSYTNEGTSENIPPNSWLVFDVELIKVK >KJB14532 pep chromosome:Graimondii2_0_v6:2:20161367:20162099:1 gene:B456_002G129500 transcript:KJB14532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFESKKKWKSVLPRLFKNKSASRCFFPKVKPATFSPSCTPVYLNVYDLTPMNGYIYWAGLGIFHSGVEVYGVEYAFGAHDYPTSGVFEVEPRQCPGFKFKKSIFIGTTSLDPHQVRDFVERYSERYNGDTYHLIVKNCNHFCDDICQKLTGKRIPRWVNRLARIGTQLHS >KJB14534 pep chromosome:Graimondii2_0_v6:2:20160069:20162699:1 gene:B456_002G129500 transcript:KJB14534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFESKKKWKSVLPRLFKNKSASRCFFPKVKPATFSPSCTPVYLNVYDLTPMNGYIYWAGLGIFHSGVEVYGVEYAFGAHDYPTSGVFEVEPRQCPGFKFKKSIFIGTTSLDPHQVRDFVERYSERYNGDTYHLIVKNCNHFCDDICQKLTGKRIPRWVNRLARIGSMCNCILPEGLKTSVVRHDPNYEPQDSEKKRLRSPFSCLASVSKQQKTSHKSPSRGCLPPSSNSNNSNNVLH >KJB14533 pep chromosome:Graimondii2_0_v6:2:20160086:20162699:1 gene:B456_002G129500 transcript:KJB14533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFESKKKWKSVLPRLFKNKSASRCFFPKVKPATFSPSCTPVYLNVYDLTPMNGYIYWAGLGIFHSGVEVYGVEYAFGAHDYPTSGVFEVEPRQCPGFKFKKSIFIGTTSLDPHQVRDFVERYSERYNGDTYHLIVKNCNHFCDDICQKLTGKRIPRWVNRLARIGSMCNCILPEGLKTSVVRHDPNYEPQDSEKKRLRSPFSCLASVSKQQKTSHKSPSRGCLPPSSNSNNSNNVLH >KJB14531 pep chromosome:Graimondii2_0_v6:2:20159993:20162737:1 gene:B456_002G129500 transcript:KJB14531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFESKKKWKSVLPRLFKNKSASRCFFPKVKPATFSPSCTPVYLNVYDLTPMNGYIYWAGLGIFHSGVEVYGVEYAFGAHDYPTSGVFEVEPRQCPGFKFKKSIFIGTTSLDPHQVRDFVERYSERYNGDTYHLIVKNCNHFCDDICQKLTGKRIPRWVNRLARIGSMCNCILPEGLKTSVVRHDPNYEPQDSEKKRLRSPFSCLASVSKQQKTSHKSPSRGCLPPSSNSNNSNNVLH >KJB12988 pep chromosome:Graimondii2_0_v6:2:4145536:4152621:-1 gene:B456_002G048800 transcript:KJB12988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLPLLVFASIFSACCLATSTLGTTLAKDEVEALESIGRTLGKTWKFSVDPCGSGDESWAKFAEKDAYYVNNVTCDCRSSPCHIVRIVLKGQNLPGTLPPQLTRLPYLQEIDLSRNYLSGSIPPQWGSMKQLVKISLLGNRLTGSIPEELANMSNLTSLVLEHNNFSGKLPAALGNLPKIERLFLNSNNFIGELPETFARLTTLKEFRIGDNNFTGKIPGFIFQNWTNLTDIYMIASGLSGPIPDIVSSGNLKNIIISDLNGAESQISQLSNLSNLEILILRSCNLIGELPTSLNHMSSLKTLDFSFNGLSGEISISLPRAKHLILAGNMFTGAVPQWILDTNQIIDLSYNNFTSTGGVDDCQKSGLNLFASTSRINNSGAVSCLGNLNCPSEPSHYLYINCGGKVETVDNITYESDVYDDKLSTFHRSTYWAFSGTGIFLDDSITKGSLVRENKQVASSVGPLYINARLSYSSLTYYAFCLNNATYNVSLHFAEIDFTDGKNYSSLGRRIFDVYIQGKRELKDFNIKDKAGGVGKPILKNFTANVSDGTLEIRLQWAGKGTTSIPERGVFGPLISAISIFDPAYKPRKGSGGGISAAAEVGIVAAAIFATFLIVGGILWWSGCLRRRSTLERDLKGIELQTSCFTLRQIKDATNNFDAANKIGEGGFGPVYKGILADGTEIAVKQLSARSKQGNREFVTEIGMISALQHPHLVKLYGCCIEGNQLLLIYEYLENNSLARALFGPEEFQLTLDWPTRRKICIGIARGLAYLHEESRLKIVHRDIKVTNVLLDKDLNPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMHGRLTDKADVYSFGIVALEIVSGTCNTKHSRVKEESFYLLDLANTLKQKGNLLDLIDPRVASHCDPEGAILMIDVALLCTNSTAAARPSMSTVVSILEGKASFSNIITNSSIYGSELNPKNLYENVEEKDVENNSLTKRMLGDGQWTSSSDLYPVSLTSSYWQNSSSTSKN >KJB12987 pep chromosome:Graimondii2_0_v6:2:4145459:4152704:-1 gene:B456_002G048800 transcript:KJB12987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLPLLVFASIFSACCLATSTLGTTLAKDEVEALESIGRTLGKTWKFSVDPCGSGDESWAKFAEKDAYYVNNVTCDCRSSPCHIVRIVLKGQNLPGTLPPQLTRLPYLQEIDLSRNYLSGSIPPQWGSMKQLVKISLLGNRLTGSIPEELANMSNLTSLVLEHNNFSGKLPAALGNLPKIERLFLNSNNFIGELPETFARLTTLKEFRIGDNNFTGKIPGFIFQNWTNLTDIYMIASGLSGPIPDIVSSGNLKNIIISDLNGAESQISQLSNLSNLEILILRSCNLIGELPTSLNHMSSLKTLDFSFNGLSGEISISLPRAKHLILAGNMFTGAVPQWILDTNQIIDLSYNNFTSTGGVDDCQKSGLNLFASTSRINNSGAVSCLGNLNCPSEPSHYLYINCGGKVETVDNITYESDVYDDKLSTFHRSTYWAFSGTGIFLDDSITKGSLVRENKQVASSVGPLYINARLSYSSLTYYAFCLNNATYNVSLHFAEIDFTDGKNYSSLGRRIFDVYIQGKRELKDFNIKDKAGGVGKPILKNFTANVSDGTLEIRLQWAGKGTTSIPERGVFGPLISAISIFDPAYKPRKGSGGGISAAAEVGIVAAAIFATFLIVGGILWWSGCLRRRSTLERDLKGIELQTSCFTLRQIKDATNNFDAANKIGEGGFGPVYKGILADGTEIAVKQLSARSKQGNREFVTEIGMISALQHPHLVKLYGCCIEGNQLLLIYEYLENNSLARALFEEFQLTLDWPTRRKICIGIARGLAYLHEESRLKIVHRDIKVTNVLLDKDLNPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMHGRLTDKADVYSFGIVALEIVSGTCNTKHSRVKEESFYLLDLANTLKQKGNLLDLIDPRVASHCDPEGAILMIDVALLCTNSTAAARPSMSTVVSILEGKASFSNIITNSSIYGSELNPKNLYENVEEKDVENNSLTKRMLGDGQWTSSSDLYPVSLTSSYWQNSSSTSKN >KJB15906 pep chromosome:Graimondii2_0_v6:2:54439265:54439708:-1 gene:B456_002G202900 transcript:KJB15906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTKELIKMARKWNKIVAIGRKRITSPRSNNPMMANADNSNKSSVVGKGYFVAYTMDKKRFLIPLAFLNNSIFQALLKMSEEEFGLSSNRPLTFLCDSVIMSYIVLLVRRGLAKDLEKAVVNSITSNSCSSCSTYYCHGATTNCGP >KJB16850 pep chromosome:Graimondii2_0_v6:2:61414439:61417547:-1 gene:B456_002G250600 transcript:KJB16850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAPVPASPGGGGSHESGGEQSPRSNVREQERFLPIANISRIMKKALPANAKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKIYLTKYREMEGDTKGSVKGGDTFAKKDVQPGPNAQLAHQGSFSQGVYYGNSQSQSQAHMMASDARH >KJB16851 pep chromosome:Graimondii2_0_v6:2:61414439:61417546:-1 gene:B456_002G250600 transcript:KJB16851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAPVPASPGGGGSHESGGEQSPRSNVREQERFLPIANISRIMKKALPANAKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKIYLTKYREMEGDTKGSVKGGDTFAKKDVQPGPNAQVRSRKVFIMGILNLNHKPI >KJB16852 pep chromosome:Graimondii2_0_v6:2:61414439:61417263:-1 gene:B456_002G250600 transcript:KJB16852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAPVPASPGGGGSHESGGEQSPRSNVREQERFLPIANISRIMKKALPANAKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKIYLTKYREMEGDTKGSVKGGDTFAKKDVQPGPNAQLAHQGSFSQGVYYGNSQSQSQAHMMASDARH >KJB14030 pep chromosome:Graimondii2_0_v6:2:14699182:14700420:1 gene:B456_002G107900 transcript:KJB14030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGHLIPMVDIGRLFAQRNVIVTIVTTPHNASRVQKTIDRAVESGLAIRLVQLRFPGKEAGLPDGVENMDMISSMEDYMDEAVQELYEKLTPGPICIISDFFLHYTLKIATKFQVPRISFHGICCFCYLCVHNLKSSKILDNITSDYECFKVPGLAEKVEFTKPQLPLNLDESWKDIFDTTTKADEASYGVVINSFEELESPYVKEYRKITKAWCIGPVSLSHKNELDKAERGKKASINEQQCLKWLDSQEPNSVIYACLGSMSTMKSPELIGLGLGLEASNKPFIWILRGNNDASNQVTKWIEEDGFEERIKGRGFVVVGWAPQVLILSHPAIGGFLTHCGWNSTIEGISAGVPLLTLPLFADQFTNERLVVQILKTGVSVGADEPTAWGEEKSGFKLTKY >KJB16792 pep chromosome:Graimondii2_0_v6:2:61205532:61208901:-1 gene:B456_002G248000 transcript:KJB16792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESITQNGTSNGHLQSFCSTTNGGVGVLVDPLNWGVAAESLKGSHLDEVKRMVAEFRKPLVKLGGETLTISQVAAIATRDLGVKVELSEDARAGVKASADWVLDGMNKGTDSYGVTTGFGATSHRRTKQGAALQKELIRFLNAGIFGNGTESCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLLNNNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGESLDAEEAFRVAGIDSGFFVLQPKEGLALVNGTAVGSGLASMVLFEANILAVLSEILSAIFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYVKAAKKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTYLVALCQAIDLRHLEENLRNTVKNTVSQVAKKTLTTGNNGELHPSRFCEKDLLKAVDREYVFAYIDDPCSATYPLMQKLRQVLVEHAFANGDNEKDTNTSIFQKITAFEDEFKAALPKEVEGTRVSLENGNATIPNKIKGCRSYPLYKFVREELGTGLLSGEQGKSPGEEFDKVFIAMCEGKIIDPMMECLKGWNGAPLPIC >KJB11971 pep chromosome:Graimondii2_0_v6:2:25950159:25952504:-1 gene:B456_002G143400 transcript:KJB11971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCSSSTFLELTVLNGAASFSLFTWHIGWLQCVEIPKFVDTVTPQFKPKFDALLVELKEAEEKSLKESERLEKEIADVQELKQKISTMTADEYFEKHPELKKKFDDEIRNDNWGY >KJB11974 pep chromosome:Graimondii2_0_v6:2:25950159:25952642:-1 gene:B456_002G143400 transcript:KJB11974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGKKVVDVAFKAGKSIDWEGMAKLLVSDEARKEFATLRRTFDEVNSTLQTKFSQEPEPIDWEYYRKGIGSRLVDMYKEAYESVEIPKFVDTVTPQFKPKFDALLVELKEAEEKSLKESERLEKEIADVQELKQKISTMTADEYFEKHPELKKKFDDEIRNDNWGY >KJB11969 pep chromosome:Graimondii2_0_v6:2:25950533:25951290:-1 gene:B456_002G143400 transcript:KJB11969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCSSSTFLELTVLNGAASFSLFTWHIGWLQCVEIPKFVDTVTPQFKPKFDALLVELKEAEEKSLKESERLEKEIADVQELKQKISTMTADEYFEKHPELKKKFDDEIRNDNWGY >KJB11970 pep chromosome:Graimondii2_0_v6:2:25950159:25952504:-1 gene:B456_002G143400 transcript:KJB11970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGKKVVDVAFKAGKSIDWEGMAKLLVSDEARKEFATLRRTFDEVNSTLQTKFSQQEPEPIDWEYYRKGIGSRLVDMYKEAYESVEIPKFVDTVTPQFKPKFDALLVELKEAEEKSLKESERLEKEIADVQELKQKISTMTADEYFEKHPELKKKFDDEIRNDNWGY >KJB11973 pep chromosome:Graimondii2_0_v6:2:25950159:25952504:-1 gene:B456_002G143400 transcript:KJB11973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGKKVVDVAFKAGKSIDWEGMAKLLVSDEARKEFATLRRTFDEVNSTLQTKFSQEPEPIDWEYYRKGIGSRLVDMYKEAYESVEIPKFVDTVTPQFKPKFDALLVELKEAEEKSLKESERLEKEIADVQELKISTMTADEYFEKHPELKKKFDDEIRNDNWGY >KJB11972 pep chromosome:Graimondii2_0_v6:2:25950669:25952414:-1 gene:B456_002G143400 transcript:KJB11972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGKKVVDVAFKAGKSIDWEGMAKLLVSDEARKEFATLRRTFDEVNSTLQTKFSQEPEPIDWEYYRKGIGSRLVDMYKEAYESVEIPKFVDTVTPQFKPKFDALLVELKEAEEKSLKESERLEKEIADVQELKVIAKHCPNESSLYCNCSCNC >KJB15155 pep chromosome:Graimondii2_0_v6:2:39508138:39511551:1 gene:B456_002G164000 transcript:KJB15155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASVLSKSGKVLVSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVPEYCYSLDEEGICKTAFELIFAFDEVICLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIDKNKGDKGGFMSMGSGRIESSFSDMSISSSGSGFGSSSGLGLTADVDSFSTKSKGRQLSSATAPPKGLGMQLGKSQKTNQFLESLKAEGELIVEDVQPRAGQARVAAAAPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDALIQVQTETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGSAGDAAGVGLLKWRMQSADESMVPLTINCWPSVSGNETYVSIEYEASAMFDLRNVVISVPLPALREAPNVRQIDGEWRYDSRNSVLEWSILLIDNSNRRSWINGVCCASSRFICVLPHFCPFFSNEYIQ >KJB15156 pep chromosome:Graimondii2_0_v6:2:39507489:39512209:1 gene:B456_002G164000 transcript:KJB15156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASVLSKSGKVLVSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVPEYCYSLDEEGICKTAFELIFAFDEVICLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIDKNKGDKGGFMSMGSGRIESSFSDMSISSSGSGFGSSSGLGLTADVDSFSTKSKGRQLSSATAPPKGLGMQLGKSQKTNQFLESLKAEGELIVEDVQPRAGQARVAAAAPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDALIQVQTETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGSAGDAAGVGLLKWRMQSADESMVPLTINCWPSVSGNETYVSIEYEASAMFDLRNVVISVPLPALREAPNVRQIDGEWRYDSRNSVLEWSILLIDNSNRRFICVLPHFCPFFSNEYIQ >KJB15152 pep chromosome:Graimondii2_0_v6:2:39507489:39512209:1 gene:B456_002G164000 transcript:KJB15152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASVLSKSGKVLVSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVPEYCYSLDEEGICKTAFELIFAFDEVICLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIDKNKGDKGGFMSMGSGRIESSFSDMSISSSGSGFGSSSGLGLTADVDSFSTKSKGRQLSSATAPPKGLGMQLGKSQKTNQFLESLKAEGELIVEDVQPRAGQARVAAAAPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDALIQVQTETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGSAGDAAGVGLLKWRMQSADESMVPLTINCWPSVSGNETYVSIEYEASAMFDLRNVVISVPLPALREAPNVRQIDGEWRYDSRNSVLEWSILLIDNSNRSGSMEFVVPPADSSVFFPISVRFSATSTYSDLKVVNIIPLRGGGAPPPKFSQRTSLITENYQVV >KJB15157 pep chromosome:Graimondii2_0_v6:2:39508138:39511414:1 gene:B456_002G164000 transcript:KJB15157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASVLSKSGKVLVSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVPEYCYSLDEEGICKTAFELIFAFDEVICLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIDKNKGDKGGFMSMGSGRIESSFSDMSISSSGSGFGSSSGLGLTADVDSFSTKSKGRQLSSATAPPKGLGMQLGKSQKTNQFLESLKAEGELIVEDVQPRAGQARVAAAAPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDALIQVQTETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGSAGDAAGVGLLKWRMQSADESMVPLTINCWPSVSGNETYVSIEYEASAMFDLRNVVISVPLPALREAPNVRQIDGEWRYDSRNSVLEWSILLIDNSNRRSVHLLTYKTCYCVRYF >KJB15159 pep chromosome:Graimondii2_0_v6:2:39507451:39512209:1 gene:B456_002G164000 transcript:KJB15159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASVLSKSGKVLVSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVPEYCYSLDEEGICKTAFELIFAFDEVICLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIDKNKGDKGGFMSMGSGRIESSFSDMSISSSGSGFGSSSGLGLTADVDSFSTKSKGRQLSSATAPPKGLGMQLGKSQKTNQFLESLKAEGELIVEDVQPRAGQARVAAAAPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDALIQVQTETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGSAGDAAGVGLLKWRMQSADESMVPLTINCWPSVSGNETYVSIEYEASAMFDLRNVVISVPLPALREAPNVRQIDGEWRYDSRNSVLEWSILLIDNSNRSGSMEFVVPPADSSVFFPISVRFSATSTYSDLKVVNIIPLRGGGAPPPKFSQRTSLITENYQVV >KJB15153 pep chromosome:Graimondii2_0_v6:2:39507489:39512209:1 gene:B456_002G164000 transcript:KJB15153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASVLSKSGKVLVSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVPEYCYSLDEEGICKTAFELIFAFDEVICLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIDKNKGDKGGFMSMGSGRIESSFSDMSISSSGSGFGSSSGLGLTADVDSFSTKSKGRQLSSATAPPKGLGMQLGKSQKTNQFLESLKAEGELIVEDVQPRAGQARVAAAAPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDALIQVQTETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGSAGDAAGVGLLKWRMQSADESMVPLTINCWPSVSGNETYVSIEYEASAMFDLRNVVISVPLPALREAPNVRQIDGEWRYDSRNSVLEWSILLIDNSNRSGSMEFVVPPADSSVFFPISVRFSATSTYSDLKV >KJB15154 pep chromosome:Graimondii2_0_v6:2:39507489:39512209:1 gene:B456_002G164000 transcript:KJB15154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASVLSKSGKVLVSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVPEYCYSLDEEGICKTAFELIFAFDEVICLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIDKNKGDKGGFMSMGSGRIESSFSDMSISSSGSGFGSSSGLGLTADVDSFSTKSKGRQLSSATAPPKGLGMQLGKSQKTNQFLESLKAEGELIVEDVQPRAGQARVAAAAPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDALIQVQTETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGSAGDAAGVGLLKWRMQINCWPSVSGNETYVSIEYEASAMFDLRNVVISVPLPALREAPNVRQIDGEWRYDSRNSVLEWSILLIDNSNRSGSMEFVVPPADSSVFFPISVRFSATSTYSDLKVVNIIPLRGGGAPPPKFSQRTSLITENYQVV >KJB15158 pep chromosome:Graimondii2_0_v6:2:39507588:39512209:1 gene:B456_002G164000 transcript:KJB15158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASVLSKSGKVLVSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYVETENVPEYCYSLDEEGICKTAFELIFAFDEVICLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIDKNKGDKGGFMSMGSGRIESSFSDMSISSSGSGFGSSSGLGLTADVDSFSTKSKGRQLSSATAPPKGLGMQLGKSQKTNQFLESLKAEGELIVEDVQPRAGQARVAAAAPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDALIQVQTETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGSAGDAAGVGLLKWRMQSADESMVPLTINCWPSVSGNETYVSIEYEASAMFDLRNVVISVPLPALREAPNVRQIDGEWRYDSRNSVLEWSILLIDNSNRSGSMEFVVPPADSSVFFPISVRFSATSTYSDLKVVNIIPLRGGGAPPPKFSQRTSLITENYQVV >KJB16030 pep chromosome:Graimondii2_0_v6:2:55628747:55633725:1 gene:B456_002G209000 transcript:KJB16030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADATDSFPDLRSVYKSSGHQVNRLSQNVANMKLASAQDGEKENSLGSNNKGAENVGFPQDSTPNVWGHPNVIQKLRMQGNLGSEKVTHKAWPTQNAVSRTQQGDRTWGNSSIKSPSSEYGRNDPDQAGFTFSNNSWDGQIKTDHEVGDKLDDEIGDNENVDDEYGNGVSNIEYDSDDIDDYVSGSDEDEKSHEARKESKWFYFFFESLEKLTVEEILSPVRRWHCPACQGGPGAINWYRGVQPLLTHSMTKTTRRAKLHRVFAGLLVEEMRRRGAFIKPVNDAFGRWEGLTDRVADHEIVWPPMVIIMNTRYEQDENGKWIGMGNQELLNYFSSYAAVKARHSYGPQGHRGMSLLIFESSAAGYLETARLHKHFKEQGRDRDAWDCSRVPFCPGGKRQLYGYIAMKEDLDLFNQHSQGKSKLKFETRSYQEMVESQIKKINDDSQQLNLLKKKVAQEQQHSQVLAESLGRLSEKLRQTTEEYGIMRQQTRLQHEQNKEELGAKEQYFKEKINVIYQAIKLKEDNFEKLQRAARERVERSNATLINNEDQHSATELEENSRSITIQEKKMEEFEAEREKLMKSHQDGRLAITQRYWEELIELEEGFEKELTLLMGKYNPDRLEEETTDSLEGRDKRGARDIETE >KJB16031 pep chromosome:Graimondii2_0_v6:2:55628841:55633632:1 gene:B456_002G209000 transcript:KJB16031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADATDSFPDLRSVYKSSGHQVNRLSQNVANMKLASAQDGEKENSLGSNNKGAENVGFPQDSTPNVWGHPNVIQKLRMQGNLGSEKVTHKAWPTQNAVSRTQQGDRTWGNSSIKSPSSEYGRNDPDQAGFTFSNNSWDGQIKTDHEVGDKLDDEIGDNENVDDEYGNGVSNIEYDSDDIDDYVSGSDEDEKSHEARKESKWFYFFFESLEKLTVEEILSPVRRWHCPACQGGPGAINWYRGVQPLLTHSMTKTTRRAKLHRVFAGLLVEEMRRRGAFIKPVNDAFGRWEGLTDRVADHEIVWPPMVIIMNTRYEQDENGKWIGMGNQELLNYFSSYAAVKARHSYGPQGHRGMSLLIFESSAAGYLETARLHKHFKEQGRDRDAWDCSRVPFCPGGKRQLYGYIAMKEDLDLFNQHSQGKSKLKFETRSYQEMVESQIKKINDDSQQLNLLKKKVAQEQQHSQVLAESLGRLSEKLRQTTEEYGIMRQQTRLQHEQNKEEVAFLKLCIPLLLLPIMVAKLGQEGCPGTTFSMFHYLVKW >KJB15765 pep chromosome:Graimondii2_0_v6:2:52688016:52688566:-1 gene:B456_002G194800 transcript:KJB15765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFALAVIFFLTIAPVYGKYYFEIRTRLHFFLHDTFSVENPSAIMIAHPNIIQTSSFRFGSLFAIDDPLSVGPEPTSTLIGNAQGLYVSSSRDHVMFTTVMYTNFTFRVAGSMGALSFYSQGVHLWTQIHELAIMGGRGALRMARGFDLTQITFVNLTACNVILECNVTLYHY >KJB16336 pep chromosome:Graimondii2_0_v6:2:57966443:57968783:1 gene:B456_002G224100 transcript:KJB16336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPIVELLLLAIIIVVSCIKVQCFDFKFSDFQEENRKDLLLSKNSTIFRDAIQITPDLNGDIKNTPGRAFYGKKFKLWSKTKGKIASFNTTFVINISNKDSPEVGEGLAFVLSADSNVPQESFGQWLGIVNATTNGTSRARIVGVEFDTRKSYEEEPDGNHVGLDINSCYSIEQQSLSGYGVNLSMGVDVRAVVQYDGKNMTVFVSNGSETQSSNPVLSVPVDLSSFLPETVHVGFSASTGNFTELNCVRAWEFHGDDVGDDSNLLWVWIVIPILLLGLILGLGIYWRRRSKKDDLERGYANIEAHIKSSTAPRRFKLRELKRATGNFNHKNKLGKGGFGTVYKGVWKEKEIAVKRVSKKSHQGNQEFIAEVTTIGNLNHKNLVKLIGWCYENRELLLVYEYMPNGSLDKFIFYDEKTGKGESNQPNLSWETRLIIIQGVAEALDYLHNGCEKRVLHRDVKCSNIMLDSEFNAKLGDFGLARTIQQREKTHHSTIEIAGTPGYMAPETFLISRATVETDVYSYGVLLLEVVCGRKLGNQSELSNYNNSIVNWLWEYYSKGRITDAADSHLDRDFAEKEVESALILGLACCHPNPHFRPSMKTVSTVLAGEMDPPQVPLERPSFVWPAVPPVFTQSDYSLTGSQSQFSTFSEVDGR >KJB15495 pep chromosome:Graimondii2_0_v6:2:47594428:47597455:1 gene:B456_002G181200 transcript:KJB15495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFVLLVDRLITESTLEAAIESRNRSFQATASVVDDTKVFNPSPKLNLSSLGEIVECRICQDEDVDSNMETPCACCGSLKYAHRRCVQRWCNEKGNTTCEICHQQFRPGYTAPPPLFHIGRIPMNFRGNWEISRRELNNSGFIAVVSTDHSLLDSRYDEYLASTTRSLTGYRMVAILFMLLLVLRHILPVLLRGSDDFSFPLFISILLRTIGIILPMYVIFKTVTALLRRRQHQETPNSLFAESEEENEVAIMQTQPHIVNIH >KJB15496 pep chromosome:Graimondii2_0_v6:2:47594022:47597455:1 gene:B456_002G181200 transcript:KJB15496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFVLLVDRLITESTLEAAIESRNRSFQATASVVDDTKVFNPSPKLNLSSLGEIVECRICQDEDVDSNMETPCACCGSLKYAHRRCVQRWCNEKGNTTCEICHQQFRPGYTAPPPLFHIGRIPMNFRGNWEISRRELNNSGFIAVVSTDHSLLDSRYDEYLASTTRSLTGYRMVAILFMLLLVLRHILPVLLRGSDDFSFPLFISILLRTIGIILPMYVIFKTVTALLRRRQHQETPNSLFAESEEENEVAIMQTQPHIVNIH >KJB16450 pep chromosome:Graimondii2_0_v6:2:58986540:58987735:1 gene:B456_002G230600 transcript:KJB16450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKYIIASLAGSFAIAYVSDLLVSDSKIFGGTTPSTVSNKGWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKSGSES >KJB16448 pep chromosome:Graimondii2_0_v6:2:58985618:58987735:1 gene:B456_002G230600 transcript:KJB16448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKYIIASLAGSFAIAYVSDLLVSDSKIFGGTTPSTVSNKGWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKSGSES >KJB16449 pep chromosome:Graimondii2_0_v6:2:58985419:58987735:1 gene:B456_002G230600 transcript:KJB16449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKYIIASLAGSFAIAYVSDLLVSDSKIFGGTTPSTVSNKGWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKSGSES >KJB14603 pep chromosome:Graimondii2_0_v6:2:20994813:20995663:-1 gene:B456_002G133400 transcript:KJB14603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMTKNSSSSMQRLVLMMGVLALLVSTELAIAVHGRTLRSTTMVVGGCEEQGGRMGVSFGALSAADHSSNSRNSFRSLGFRLASGPSKRGPGH >KJB13237 pep chromosome:Graimondii2_0_v6:2:10987378:10988842:1 gene:B456_002G086600 transcript:KJB13237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRTHLEILGFKSKQPMALSQRDFILNTTSTTTIPSHLQPSFINPIKQSMQRSRTSTRSVPVEMATAIANPPVEIGTRGTVGSLVMQEIEYFSQLELRSKDSSKKPHSNVGPVVPTQKKKKRGSSKLIPSICSMVEISDNRSLGISGLSYRNLKSDVNKLQV >KJB11996 pep chromosome:Graimondii2_0_v6:2:53492209:53496630:1 gene:B456_002G197500 transcript:KJB11996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQFKDTAERESGL >KJB11994 pep chromosome:Graimondii2_0_v6:2:53492159:53496640:1 gene:B456_002G197500 transcript:KJB11994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKLIGAPVYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKRKSQKACSIL >KJB11995 pep chromosome:Graimondii2_0_v6:2:53492209:53496049:1 gene:B456_002G197500 transcript:KJB11995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQVLSAACCSNNF >KJB15919 pep chromosome:Graimondii2_0_v6:2:54634225:54634827:-1 gene:B456_002G203900 transcript:KJB15919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQTIHHARSNSFPLPSRPNPLVLEIDEHLNRLRDSKATSTSSSISHKLNGLQDLYDCVDKLLRFPFSQQELAQEQNKGPVDELLDGSLRLFDLCNTAKDILLQTKEKVELVGEVRKYITSRKMVQKTIHKALTNVKGMEKKRIFSSSNDHETKEIVSLLREAEAVTSSMFEYLFTVISRPMER >KJB17220 pep chromosome:Graimondii2_0_v6:2:41936449:41936509:-1 gene:B456_002G1686005 transcript:KJB17220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVSGGNFSLEGAFQDVDDTD >KJB17221 pep chromosome:Graimondii2_0_v6:2:41936449:41936510:-1 gene:B456_002G1686005 transcript:KJB17221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVSGGNFSLEGAFQDVDDTD >KJB17096 pep chromosome:Graimondii2_0_v6:2:62487162:62487801:1 gene:B456_002G2648002 transcript:KJB17096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDSLDLSSNYLSGGIPLQLVNLNFLSSLNVSNNKLVGQIPTGTQLQSFSKASFENNPGLCGPPLTVKCANVFRPTTHTVPELRSVDGLDWLFIFIGVGFGVGAAAFVVPLLLWKTAS >KJB14927 pep chromosome:Graimondii2_0_v6:2:28926778:28928023:1 gene:B456_002G149500 transcript:KJB14927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLYILYCEKSYLHNYTTLTCLLEIWLIMFYGKDFINCVDGGFDNNELEYEETHKIIILPSCLKSSFSICGVRLAVDAIFMAEGAERKEHMGIKGLAKLLADNAPKAMKEQKLESYFGRKIAM >KJB13377 pep chromosome:Graimondii2_0_v6:2:8171043:8176376:1 gene:B456_002G071000 transcript:KJB13377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVIAKARVPIIKFVEKKSGVAFDISFDVDNGPKAAEFIKEAVLKWPQLRPLCLILKVFLQQRDLNEVYSGGIGSYALLAMIIAMLQNLSESRAYPEHNLGMLLVHFFDFYGRKLNTVDVGVSCKERGTFFLKSSKGFSSKGRPFLISIEDPQTPDNDIGKNSFNYIQIRSAFGMALSNLSNPKNILALGPNRSILGTIIRPDPVLLERKGGSSGDVTFSNLLPGAGEPLQTLNGEKQDILCNWQFDDEEPLPRGNGNAGDVSAQSSGRKRKSSSKGRHKKKKIKENVDVTKDWDEETFSKKEKSSRKKSSRHSHKHKDSNGYGYHHGGASWSR >KJB13371 pep chromosome:Graimondii2_0_v6:2:8170940:8176409:1 gene:B456_002G071000 transcript:KJB13371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQTPTNSQPVLYETLTLNPISLPSSPTAESPPSNQSPVEPYTVFRNEISLSAENTISVDSAAPDYFSLDVNEPDEPVVIRASVLAWDEPEPKTPGPANEPRLENKWWFRGNSRFQSPMLQLHKEIVDFCDFLSPTPEEQAARDAAVHSVFDVIKYIWPACRVVILESGIKNPQTGLYALSRALSQRGIAKKMQVIAKARVPIIKFVEKKSGVAFDISFDVDNGPKAAEFIKEAVLKWPQLRPLCLILKVFLQQRDLNEVYSGGIGSYALLAMIIAMLQNLSESRAYPEHNLGMLLVHFFDFYGRKLNTVDVGVSCKERGTFFLKSSKGFSSKGRPFLISIEDPQTPDNDIGKNSFNYIQIRSAFGMALSNLSNPKNILALGPNRSILGTIIRPDPVLLERKGGSSGDVTFSNLLPGAGEPLQTLNGEKQDILCNWQFDDEEPLPRGNGNAGDVSAQSSGRKRKSSSKGRHKKKKIKENVDVTKDWDEETFSKKEKSSRKKSSRHSHKHKDSNGYGYHHGGASWSR >KJB13372 pep chromosome:Graimondii2_0_v6:2:8170940:8176427:1 gene:B456_002G071000 transcript:KJB13372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQTPTNSQPVLYETLTLNPISLPSSPTAESPPSNQSPVEPYTVFRNEISLSAENTISVDSAAPDYFSLDVNEPDEPVVIRASVLAWDEPEPKTPGPANEPRLENKWWFRGNSRFQSPMLQLHKEIVDFCDFLSPTPEEQAARDAAVHSVFDVIKYIWPACRPEVFGSFRTGLYLPTSDIDVVILESGIKNPQTGLYALSRALSQRGIAKKMQVIAKARVPIIKFVEKKSGVAFDISFDVDNGPKAAEFIKEAVLKWPQLRPLCLILKVFLQQRDLNEVYSGGIGSYALLAMIIAMLQNLSESRAYPEHNLGMLLVHFFDFYGRKLNTVDVGVSCKERGTFFLKSSKGFSSKGRPFLISIEDPQTPDNDIGKNSFNYIQIRSAFGMALSNLSNPKNILALGPNRSILGTIIRPDPVLLERKGGSSGDVTFSNLLPGAGEPLQTLNGEKQDILCNWQFDDEEPLPRGNGNAGDVSAQSSGRKRKSSSKGRHKKKKIKENVDVTKDWDEETFSKKEKSSRKKSSRHSHKHKDSNGYGYHHGGASWSR >KJB13373 pep chromosome:Graimondii2_0_v6:2:8172311:8176376:1 gene:B456_002G071000 transcript:KJB13373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVIAKARVPIIKFVEKKSGVAFDISFDVDNGPKAAEFIKEAVLKWPQLRPLCLILKVFLQQRDLNEVYSGGIGSYALLAMIIAMLQNLSESRAYPEHNLGMLLVHFFDFYGRKLNTVDVGVSCKERGTFFLKSSKGFSSKGRPFLISIEDPQTPDNDIGKNSFNYIQIRSAFGMALSNLSNPKNILALGPNRSILGTIIRPDPVLLERKGGSSGDVTFSNLLPGAGEPLQTLNGEKQDILCNWQFDDEEPLPRGNGNAGDVSAQSSGRKRKSSSKGRHKKKKIKENVDVTKDWDEETFSKKEKSSRKKSSRHSHKHKDSNGYGYHHGGASWSR >KJB13375 pep chromosome:Graimondii2_0_v6:2:8171043:8176376:1 gene:B456_002G071000 transcript:KJB13375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQTPTNSQPVLYETLTLNPISLPSSPTAESPPSNQSPVEPYTVFRNEISLSAENTISVDSAAPDYFSLDVNEPDEPVVIRASVLAWDEPEPKTPGPANEPRLENKWWFRGNSRFQSPMLQLHKEIVDFCDFLSPTPEEQAARDAAVHSVFDVIKYIWPACRPEVFGSFRTGLYLPTSDIDVMILESGIKNPQTGLYALSRALSQRGIAKKMQVIAKARVPIIKFVEKKSGVAFDISFDVDNGPKAAEFIKEAVLKWPQLRPLCLILKVFLQQRDLNEVYSGGIGSYALLAMIIAMLQNLSESRAYPEHNLGMLLVHFFDFYGRKLNTVDVGVSCKERGTFFLKSSKGFSSKGRPFLISIEDPQTPDNDIGKNSFNYIQIRSAFGMALSNLSNPKNILALGPNRSILGTIIRPDPVLLERKGGSSGDVTFSNLLPGAGEPLQTLNGEKQDILCNWQFDDEEPLPRGNGNAGDVSAQSSGRKRKSSSKGRHKKKKIKENVDVTKDWDEETFSKKEKSSRKKSSRHSHKHKDSNGYGYHHGGASWSR >KJB13376 pep chromosome:Graimondii2_0_v6:2:8171043:8176376:1 gene:B456_002G071000 transcript:KJB13376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLCILFLMLSSTYGLPAGALSQRGIAKKMQVIAKARVPIIKFVEKKSGVAFDISFDVDNGPKAAEFIKEAVLKWPQLRPLCLILKVFLQQRDLNEVYSGGIGSYALLAMIIAMLQNLSESRAYPEHNLGMLLVHFFDFYGRKLNTVDVGVSCKERGTFFLKSSKGFSSKGRPFLISIEDPQTPDNDIGKNSFNYIQIRSAFGMALSNLSNPKNILALGPNRSILGTIIRPDPVLLERKGGSSGDVTFSNLLPGAGEPLQTLNGEKQDILCNWQFDDEEPLPRGNGNAGDVSAQSSGRKRKSSSKGRHKKKKIKENVDVTKDWDEETFSKKEKSSRKKSSRHSHKHKDSNGYGYHHGGASWSR >KJB13374 pep chromosome:Graimondii2_0_v6:2:8172306:8176376:1 gene:B456_002G071000 transcript:KJB13374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVIAKARVPIIKFVEKKSGVAFDISFDVDNGPKAAEFIKEAVLKWPQLRPLCLILKVFLQQRDLNEVYSGGIGSYALLAMIIAMLQNLSESRAYPEHNLGMLLVHFFDFYGRKLNTVDVGVSCKERGTFFLKSSKGFSSKGRPFLISIEDPQTPDNDIGKNSFNYIQIRSAFGMALSNLSNPKNILALGPNRSILGTIIRPDPVLLERKGGSSGDVTFSNLLPGAGEPLQTLNGEKQDILCNWQFDDEEPLPRGNGNAGDVSAQSSGRKRKSSSKGRHKKKKIKENVDVTKDWDEETFSKKEKSSRKKSSRHSHKHKDSNGYGYHHGGASWSR >KJB13378 pep chromosome:Graimondii2_0_v6:2:8172310:8176376:1 gene:B456_002G071000 transcript:KJB13378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAEIVDFCDFLSPTPEEQAARDAAVHSVFDVIKYIWPACRPEVFGSFRTGLYLPTSDIDVVILESGIKNPQTGLYALSRALSQRGIAKKMQVIAKARVPIIKFVEKKSGVAFDISFDVDNGPKAAEFIKEAVLKWPQLRPLCLILKVFLQQRDLNEVYSGGIGSYALLAMIIAMLQNLSESRAYPEHNLGMLLVHFFDFYGRKLNTVDVGVSCKERGTFFLKSSKGFSSKGRPFLISIEDPQTPDNDIGKNSFNYIQIRSAFGMALSNLSNPKNILALGPNRSILGTIIRPDPVLLERKGGSSGDVTFSNLLPGAGEPLQTLNGEKQDILCNWQFDDEEPLPRGNGNAGDVSAQSSGRKRKSSSKGRHKKKKIKENVDVTKDWDEETFSKKEKSSRKKSSRHSHKHKDSNGYGYHHGGASWSR >KJB12080 pep chromosome:Graimondii2_0_v6:2:46152423:46155123:-1 gene:B456_002G178500 transcript:KJB12080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPQTAFRPSKALDGVNGVQVVPRSPFALEEINQQREFQSTHDKSFFTANQPLLMQVWQQRPSCLRPVRCCMNGDQNFQETVANVLTSLPFIVLGIQAPRKNLNSKLYANSLIGVGVASTLYHSSRGKLRMYLRWADYTMIATATVCLSRALRNENPKLLMAASALLLPVQPLMVSAVHTGMMEVAFAKRALKYPELRMAHNVHKMSSLLGGVLFIADDVFPRTPFIHAAWHLAAAVGVGTCNKLLE >KJB12078 pep chromosome:Graimondii2_0_v6:2:46152524:46155123:-1 gene:B456_002G178500 transcript:KJB12078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPQTAFRPSKALDGVNGVQVVPRSPFALEEINQQREFQSTHDKSFFTANQPLLMQVWQQRPSCLRPVRCCMNGDQNFQETVANVLTSLPFIVLGIQAPRGKLRMYLRWADYTMIATATVCLSRALRNENPKLLMAASALLLPVQPLMVSAVHTGMMEVAFAKRALKYPELRMAHNVHKMSSLLGGVLFIADDVFPRTPFIHAAWHLAAAVGVGTCNKLLE >KJB12075 pep chromosome:Graimondii2_0_v6:2:46152854:46154403:-1 gene:B456_002G178500 transcript:KJB12075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPQTAFRPSKALDGVNGVQVVPRSPFALEEINQQREFQSTHDKSFFTANQPLLMQVWQQRPSCLRPVRCCMNGDQNFQETVANVLTSLPFIVLGIQAPRKNLNSKLYANSLIGVGVASTLYHSSRGKLRMYLRWADYTMIATATVCLSRALRNENPKLLMAASALLLPVQPLMVSAVHTGMMEVAFAKRALKYPELRMAHNVHKMSSLLGGVLFIADDVFPRTPFIHAAWHLAAAVGVGTCNKLLE >KJB12082 pep chromosome:Graimondii2_0_v6:2:46153200:46155123:-1 gene:B456_002G178500 transcript:KJB12082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPQTAFRPSKALDGVNGVQVVPRSPFALEEINQQREFQSTHDKSFFTANQPLLMQVWQQRPSCLRPVRCCMNGDQNFQETVANVLTSLPFIVLGIQAPRKNLNSKLYANSLIGVGVASTLYHSSRGKLRMYLRWADYTMIATATVCLSRALRNENPKLLMAASALLLPVQPLMVSAVHTGMMEV >KJB12079 pep chromosome:Graimondii2_0_v6:2:46152524:46155123:-1 gene:B456_002G178500 transcript:KJB12079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPQTAFRPSKALDGVNGVQVVPRSPFALEEINQQREFQSTHDKSFFTANQPLLMQVWQQRPSCLRPVRCCMNGMIPNENPKLLMAASALLLPVQPLMVSAVHTGMMEVAFAKRALKYPELRMAHNVHKMSSLLGGVLFIADDVFPRTPFIHAAWHLAAAVGVGTCNKLLE >KJB12070 pep chromosome:Graimondii2_0_v6:2:46148699:46155320:-1 gene:B456_002G178500 transcript:KJB12070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVWQQRPSCLRPVRCCMNGDQNFQETVANVLTSLPFIVLGIQAPRKNLNSKLYANSLIGVGVASTLYHSSRGKLRMYLRWADYTMIATATVCLSRALRNENPKLLMAASALLLPVQPLMVSAVHTGMMEA >KJB12073 pep chromosome:Graimondii2_0_v6:2:46152854:46154403:-1 gene:B456_002G178500 transcript:KJB12073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPQTAFRPSKALDGVNGVQVVPRSPFALEEINQQREFQSTHDKSFFTANQPLLMQVWQQRPSCLRPVRCCMNGDQNFQETVANVLTSLPFIVLGIQAPRKNLNSKLYANSLIGVGVASTLYHSSRGKLRMYLRWADYTMIATATVCLSRALRNENPKLLMAASALLLPVQPLMVSAVHTGMMEVAFAKRALKYPELRMAHNVHKMSSLLGGVLFIADDVFPRTPFIHAAWHLAAAVGVGTCNKLLE >KJB12074 pep chromosome:Graimondii2_0_v6:2:46152854:46154403:-1 gene:B456_002G178500 transcript:KJB12074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPQTAFRPSKALDGVNGVQVVPRSPFALEEINQQREFQSTHDKSFFTANQPLLMQVWQQRPSCLRPVRCCMNGDQNFQETVANVLTSLPFIVLGIQAPRKNLNSKLYANSLIGVGVASTLYHSSRGKLRMYLRWADYTMIATATVCLSRALRNENPKLLMAASALLLPVQPLMVSAVHTGMMEVAFAKRALKYPELRMAHNVHKMSSLLGGVLFIADDVFPRTPFIHAAWHLAAAVGVGTCNKLLE >KJB12069 pep chromosome:Graimondii2_0_v6:2:46148699:46155123:-1 gene:B456_002G178500 transcript:KJB12069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPQTAFRPSKALDGVNGVQVVPRSPFALEEINQQREFQSTHDKSFFTANQPLLMQVWQQRPSCLRPVRCCMNGDQNFQETVANVLTSLPFIVLGIQAPRKNLNSKLYANSLIGVGVASTLYHSSRGKLRMYLRWADYTMIATATVCLSRALRNENPKLLMAASALLLPVQPLMVSAVHTGMMEA >KJB12072 pep chromosome:Graimondii2_0_v6:2:46148772:46154403:-1 gene:B456_002G178500 transcript:KJB12072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPQTAFRPSKALDGVNGVQVVPRSPFALEEINQQREFQSTHDKSFFTANQPLLMQVWQQRPSCLRPVRCCMNGDQNFQETVANVLTSLPFIVLGIQAPRKNLNSKLYANSLIGVGVASTLYHSSRGKLRMYLRWADYTMIATATVCLSRALRNENPKLLMAASALLLPVQPLMVSAVHTGMMEA >KJB12071 pep chromosome:Graimondii2_0_v6:2:46148707:46155320:-1 gene:B456_002G178500 transcript:KJB12071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPQTAFRPSKALDGVNGVQVVPRSPFALEEINQQREFQSTHDKSFFTANQPLLMQVWQQRPSCLRPVRCCMNGDQNFQETVANVLTSLPFIVLGIQAPRKNLNSKLYANSLIGVGVASTLYHSSRGKLRMYLRWADYTMIATATVCLSRALRNENPKLLMAASALLLPVQPLMVSAVHTGMMEA >KJB12081 pep chromosome:Graimondii2_0_v6:2:46152524:46155123:-1 gene:B456_002G178500 transcript:KJB12081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVWQQRPSCLRPVRCCMNGDQNFQETVANVLTSLPFIVLGIQAPRKNLNSKLYANSLIGVGVASTLYHSSRGKLRMYLRWADYTMIATATVCLSRALRNENPKLLMAASALLLPVQPLMVSAVHTGMMEVAFAKRALKYPELRMAHNVHKMSSLLGGVLFIADDVFPRTPFIHAAWHLAAAVGVGTCNKLLE >KJB12077 pep chromosome:Graimondii2_0_v6:2:46152423:46155320:-1 gene:B456_002G178500 transcript:KJB12077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVWQQRPSCLRPVRCCMNGDQNFQETVANVLTSLPFIVLGIQAPRKNLNSKLYANSLIGVGVASTLYHSSRGKLRMYLRWADYTMIATATVCLSRALRNENPKLLMAASALLLPVQPLMVSAVHTGMMEVAFAKRALKYPELRMAHNVHKMSSLLGGVLFIADDVFPRTPFIHAAWHLAAAVGVGTCNKLLE >KJB12076 pep chromosome:Graimondii2_0_v6:2:46152524:46155277:-1 gene:B456_002G178500 transcript:KJB12076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVWQQRPSCLRPVRCCMNGDQNFQETVANVLTSLPFIVLGIQAPRKNLNSKLYANSLIGVGVASTLYHSSRGKLRMYLRWADYTMIATATVCLSRALRNENPKLLMAASALLLPVQPLMVSAVHTGMMEVAFAKRALKYPELRMAHNVHKMSSLLGGVLFIADDVFPRTPFIHAAWHLAAAVGVGTCNKLLE >KJB13626 pep chromosome:Graimondii2_0_v6:2:10871838:10874768:1 gene:B456_002G085400 transcript:KJB13626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLGAARLLSQIVEPMLRAATEISTLVARSFFMGFSLTVLALLARLRVLVQQILLDMVPVFNTASSLSQKKQSIKITHQGIEVYREFFPKKEDFVTLECVWNMDKFVLVERTNRCEIEMQERGNDGEVSAGGNAVQYETIESFLGDDEPTAEKADAETSPCMKVSITGITIDHDDDKMQVENVAEKVDGTVFVENSELKNVSQAHLVADTSTSAISDSFEVMSNARKVAFVSVKRPASSPAKPTDLPLRAGPSTMNPTDLASKGSGSSGGDKTDAFYSLLTLGNLNNSLFE >KJB13628 pep chromosome:Graimondii2_0_v6:2:10873159:10874768:1 gene:B456_002G085400 transcript:KJB13628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVFLLQIVEPMLRAATEISTLVARSFFMGFSLTVLALLARLRVLVQQILLDMVPVFNTASSLSQKKQSIKITHQGIEVYREFFPKKEDFVTLECVWNMDKFVLVERTNRCEIEMQERGNDGEVSAGGNAVQYETIESFLGDDEPTAEKADAETSPCMKVSITGITIDHDDDKMQVENVAEKVDGTVFVENSELKNVSQAHLVADTSTSAISDSFEVMSNARKVAFVSVKRPASSPAKPTDLPLRAGPSTMNPTDLASKGSGSSGGDKTDAFYSLLTLGNLNNSLFE >KJB13625 pep chromosome:Graimondii2_0_v6:2:10871284:10874801:1 gene:B456_002G085400 transcript:KJB13625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEIDSTKEEKLKSLLGQLEVECGIFERIVYKNKNQHRRSSYFQYLLKVRRDLRLLKSANLEELISSCFLVITGIRPKQKVQLLESLKRRKNDVGKPNFMDRLLGAARLLSQIVEPMLRAATEISTLVARSFFMGFSLTVLALLARLRVLVQQILLDMVPVFNTASSLSQKKQSIKITHQGIEVYREFFPKKEDFVTLECVWNMDKFVLVERTNRCEIEMQERGNDGEVSAGGNAVQYETIESFLGDDEPTAEKADAETSPCMKVSITGITIDHDDDKMQVENVAEKVDGTVFVENSELKNVSQAHLVADTSTSAISDSFEVMSNARKVAFVSVKRPASSPAKPTDLPLRAGPSTMNPTDLASKGSGSSGGDKTDAFYSLLTLGNLNNSLFE >KJB13627 pep chromosome:Graimondii2_0_v6:2:10871579:10874768:1 gene:B456_002G085400 transcript:KJB13627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLGAARLLSQIVEPMLRAATEISTLVARSFFMGFSLTVLALLARLRVLVQQILLDMVPVFNTASSLSQKKQSIKITHQGIEVYREFFPKKEDFVTLECVWNMDKFVLVERTNRCEIEMQERGNDGEVSAGGNAVQYETIESFLGDDEPTAEKADAETSPCMKVSITGITIDHDDDKMQVENVAEKVDGTVFVENSELKNVSQAHLVADTSTSAISDSFEVMSNARKVAFVSVKRPASSPAKPTDLPLRAGPSTMNPTDLASKGSGSSGGDKTDAFYSLLTLGNLNNSLFE >KJB15072 pep chromosome:Graimondii2_0_v6:2:34621968:34623288:1 gene:B456_002G158800 transcript:KJB15072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKITKESGNVQYCNFAGQNTSTCSSPAVEHFNHLQKIQTNSPKHPSIHRPILTLYISLEGKKRKNLPWCPHKRIAIASTKMSKQFTVPPVVFPSGGGVNPNVGNINQRRVPTAPFQPSSSAIPFMAFDMGSVPSSSGSLGGTISTSAVSASASFEDEEPLLDELGIHPDQIWHKTRSILNPFRVNLAAHKDSDLSGPIFLYLSFCLFQLLGGKIQFGIILGWIVVSSIFLYVVFNMLAGRNNGNLDLHTCTSVVGYCMLPVVILSAVSLFMPQGIGVVRYATAGVFVVWATRSCANLMVALADGVEEHRGLIAYACFLIYALFSLLVIF >KJB12962 pep chromosome:Graimondii2_0_v6:2:3961051:3966100:-1 gene:B456_002G046700 transcript:KJB12962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDSVVNLTKRSLKIEGVFPYNVVSQLLGNLESLQVFDIKDEYIEGLTDQTQQIVSVSVILQNLKQVRIENCMNLEVVFQTEKVEGNEAPPLLSNLKSLRLKRLIDLSCIWELPTQHVRLESLVNLRIEDCPSLKSLFSVSLAQGLVLLEKLKIIGCGELKQIVTELEGDEEEISRSIDSHNSLCFPKLRKVHIENCDGLEYIFPTKMAAQGLRVLTLSIKNCCRLKQVIRVAKGMVENDIVFQQLQFLIFLSSFSVSGCPQLTDSGIHLDAEEAYLEGVRSSAFKESFSSSKHLQLSEIEDQNLVPEANREGLNRLTSLELRQCKDLDCLVDTTTMNELTSAFTHLERLSINYMDGLESLCKGHPPQGFLKNLKELLIRDCNKLQVVLSMDELLYNTEPLSKLQSLELENLAELRWLFKGSPHSFIFQSLKVVNIGGCGVLKSLFSPSLIQSLVLLEKLKIRSCHELKTLLAEPESDDEIMESKSSSLPLCLPKLKTLDIHHCSKLEYVVPITLAQGLPSLESVSISQCYELKQVFGMAKEQDGVQHDGLLLLASLQHLNLEWLLKLTSFAPQNYIVKAPALKRFYVYDCPQLTNSPIQHVHKKLELRLESVGLSAFKELFGNTKNLLVHRIWDDKIIIPDLVNLEHHSQAMMGFKYNGEPSEGCFPNLKSLRVKNCVNLLKVFEIAEGLYNQEENQAPQILSKLEYLELYYLLDLRQIVKGPTRYVNLQSLKVLDIIRCSELKSLFPLSVTQTLRSLEELNIHECGELVHVFMELEEEEEEEDDDDDDDDDDDDDGGIESDALCLPNLKTVEITQCPRLKYVFPLALARGFPRLQKLQLVGLRSLRGFVARNNCLEAPALENLCIHGCSALSNFTFQKEANNCFPSKLQEGDFIPNPKKMELFNLIWLRDNLRELLVHYNNNLTYIFPVMLIQHLSQLSILEIKSCEKLKGIIGNDDILASSSQGTRLEMKMVFPQLKQIVLEDLPKLESFSPVSYHLEFPCLDLLNIKQCSKIITSFTADYLTLTVHAKTDQASQLDDASPSQEVIFWKRRRPTLLPQYIEETGEISPLK >KJB12963 pep chromosome:Graimondii2_0_v6:2:3960783:3966100:-1 gene:B456_002G046700 transcript:KJB12963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDSVVNLTKRSLKIEGVFPYNVVSQLLGNLESLQVFDIKDEYIEGLTDQTQQIVSVSVILQNLKQVRIENCMNLEVVFQTEKVEGNEAPPLLSNLKSLRLKRLIDLSCIWELPTQHVRLESLVNLRIEDCPSLKSLFSVSLAQGLVLLEKLKIIGCGELKQIVTELEGDEEEISRSIDSHNSLCFPKLRKVHIENCDGLEYIFPTKMAAQGLRVLTLSIKNCCRLKQVIRVAKGMVENDIVFQQLQFLIFLSSFSVSGCPQLTDSGIHLDAEEAYLEGVRSSAFKESFSSSKHLQLSEIEDQNLVPEANREGLNRLTSLELRQCKDLDCLVDTTTMNELTSAFTHLERLSINYMDGLESLCKGHPPQGFLKNLKELLIRDCNKLQVVLSMDELLYNTEPLSKLQSLELENLAELRWLFKGSPHSFIFQSLKVVNIGGCGVLKSLFSPSLIQSLVLLEKLKIRSCHELKTLLAEPESDDEIMESKSSSLPLCLPKLKTLDIHHCSKLEYVVPITLAQGLPSLESVSISQCYELKQVFGMAKEQDGVQHDGLLLLASLQHLNLEWLLKLTSFAPQNYIVKAPALKRFYVYDCPQLTNSPIQHVHKKLELRLESVGLSAFKELFGNTKNLLVHRIWDDKIIIPDLVNLEHHSQAMMGFKYNGEPSEGCFPNLKSLRVKNCVNLLKVFEIAEGLYNQEENQAPQILSKLEYLELYYLLDLRQIVKGPTRYVNLQSLKVLDIIRCSELKSLFPLSVTQTLRSLEELNIHECGELVHVFMELEEEEEEEDDDDDDDDDDDDDGGIESDALCLPNLKTVEITQCPRLKYVFPLALARGFPRLQKLQLVGLRSLRGFVARNNCLEAPALENLCIHGCSALSNFTFQKEANNCFPSKLQEGDFIPNPKKMELFNLIWLRDNLRELLVHYNNNLTYIFPVMLIQHLSQLSILEIKSCEKLKGIIGNDDILASSSQGTRLEMKMVFPQLKQIVLEDLPKLESFSPVSYHLEFPCLDLLNIKQCSKIITSFTADYLTLTVHAKTDQASQLDDASPSQEVIFWKRRRPTLLPQYIEETGEISPLK >KJB12961 pep chromosome:Graimondii2_0_v6:2:3959435:3966100:-1 gene:B456_002G046700 transcript:KJB12961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDSVVNLTKRSLKIEGVFPYNVVSQLLGNLESLQVFDIKDEYIEGLTDQTQQIVSVSVILQNLKQVRIENCMNLEVVFQTEKVEGNEAPPLLSNLKSLRLKRLIDLSCIWELPTQHVRLESLVNLRIEDCPSLKSLFSVSLAQGLVLLEKLKIIGCGELKQIVTELEGDEEEISRSIDSHNSLCFPKLRKVHIENCDGLEYIFPTKMAAQGLRVLTLSIKNCCRLKQVIRVAKGMVENDIVFQQLQFLIFLSSFSVSGCPQLTDSGIHLDAEEAYLEGVRSSAFKESFSSSKHLQLSEIEDQNLVPEANREGLNRLTSLELRQCKDLDCLVDTTTMNELTSAFTHLERLSINYMDGLESLCKGHPPQGFLKNLKELLIRDCNKLQVVLSMDELLYNTEPLSKLQSLELENLAELRWLFKGSPHSFIFQSLKVVNIGGCGVLKSLFSPSLIQSLVLLEKLKIRSCHELKTLLAEPESDDEIMESKSSSLPLCLPKLKTLDIHHCSKLEYVVPITLAQGLPSLESVSISQCYELKQVFGMAKEQDGVQHDGLLLLASLQHLNLEWLLKLTSFAPQNYIVKAPALKRFYVYDCPQLTNSPIQHVHKKLELRLESVGLSAFKELFGNTKNLLVHRIWDDKIIIPDLVNLEHHSQAMMGFKYNGEPSEGCFPNLKSLRVKNCVNLLKVFEIAEGLYNQEENQAPQILSKLEYLELYYLLDLRQIVKGPTRYVNLQSLKVLDIIRCSELKSLFPLSVTQTLRSLEELNIHECGELVHVFMELEEEEEEEDDDDDDDDDDDDDGGIESDALCLPNLKTVEITQCPRLKYVFPLALARGFPRLQKLQLVGLRSLRGFVARNNCLEAPALENLCIHGCSALSNFTFQKEANNCFPSKLQEGDFIPNPKKMELFNLIWLRDNLRELLVHYNNNLTYIFPVMLIQHLSQLSILEIKSCEKLKGIIGNDDILASSSQGTRLEMKMVFPQLKQIVLEDLPKLESFSPVSYHLEFPCLDLLNIKQCSKIITSFTADYLTLTVHAKTDQASQLDDASPSQEVIFWKRRRPTLLPQYIEETGEISPLK >KJB15402 pep chromosome:Graimondii2_0_v6:2:45196723:45200889:1 gene:B456_002G176500 transcript:KJB15402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKFATMLHKNTNRITLILVYVLLEWILIVLLLLNSLFSYLIIKFADYFGLKRPCLWCSRLDHIFEPSKYKSYRDLVCDDHANEISKLGFCSNHRKLSESRDMCEDCLSSSPSENGGDEVIENGDEDFKCSCCGVMVEKKWNLPCFMIKPSWEVLDYPQEGNLITEGGEKVEGINADEGNASDGIRSDFVADDRKDEQMIEENKRVGIISDGEGIEPREVEKEEFSYFVSSFDCNQVAANGDEDDVVIEKDQSSVDEGDLTVSMADQGLTQVTCAKEESPEILNKHLEFYIGGDDCHLIPVELMDSTAMRSQKIYEFTEEDEDVAGTGDVILDFDSQQPGTRVELVVQNGCSSAEKVTPLSPHVSEEETIDAVDEPMEPNGKEGFSTPAVELDLMEKEDDQHVATTQANMPSLNEADDVQPNATTREEEIDLDVNQAISIGTDVVQFNETIEDIQIQHLYECTQGDPSTSSELHADADRGSKDVEEETMQQLKTATFESETSDQPMKNHISLSSELNDIEEDKVPDTPTSIDSLHLLHKKLLLLDRKESGTEDSLDGIVFSDIEGGDGVLTVDKLKSALKAERKALNALYSELEEERSASAVAANQTMAMINRLQEEKAAMQMEAVQYQRMMEEQSEYDQEALQILNELMVKREKEKAELEKELEIYRKKVQDHEAKERMMMLRRRKDDSIRSASSASCSNAEDSDGVSVDLNHESKEEDSFENHQIREDVNQNTPADAVLYLEESLANFEEERLSILEQLKVLEEQLISLNDEDIKSVEYLYEENGNGFHEIHNFGHETNGVANGHFKGVNGKHHQDKIIPMATKAKRLLPLFDATDAETEEKILNGHENGFNSVALQHTLPELENKMITIEEEVDHVYERLQALEADREFLKHCISSLRKGDKGIYLLQEILQHLRDLRSVELRVRSNGDVAI >KJB15404 pep chromosome:Graimondii2_0_v6:2:45196723:45200428:1 gene:B456_002G176500 transcript:KJB15404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKFATMLHKNTNRITLILVYVLLEWILIVLLLLNSLFSYLIIKFADYFGLKRPCLWCSRLDHIFEPSKYKSYRDLVCDDHANEISKLGFCSNHRKLSESRDMCEDCLSSSPSENGGDEVIENGDEDFKCSCCGVMVEKKWNLPCFMIKPSWEVLDYPQEGNLITEGGEKVEGINADEGNASDGIRSDFVADDRKDEQMIEENKRVGIISDGEGIEPREVEKEEFSYFVSSFDCNQVAANGDEDDVVIEKDQSSVDEGDLTVSMADQGLTQVTCAKEESPEILNKHLEFYIGGDDCHLIPVELMDSTAMRSQKIYEFTEEDEDVAGTGDVILDFDSQQPGTRVELVVQNGCSSAEKVTPLSPHVSEEETIDAVDEPMEPNGKEGFSTPAVELDLMEKEDDQHVATTQANMPSLNEADDVQPNATTREEEIDLDVNQAISIGTDVVQFNETIEDIQIQHLYECTQGDPSTSSELHADADRGSKDVEEETMQQLKTATFESETSDQPMKNHISLSSELNDIEEDKVPDTPTSIDSLHLLHKKLLLLDRKESGTEDSLDGIVFSDIEGGDGVLTVDKLKSALKAERKALNALYSELEEERSASAVAANQTMAMINRLQEEKAAMQMEAVQYQRMMEEQSEYDQEALQILNELMVKREKEKAELEKELEIYRKKVQDHEAKERMMMLRRRKDDSIRSASSASCSNAEDSDGVSVDLNHESKEEDSFENHQIREDVNQNTPADAVLYLEESLANFEEERLSILEQLKVLEEQLISLNDEDIKSVEYLYEENGNGFHEIHNFGHETNGVANGHFKGVNGKHHQDKIIPMATKAKRLLPLFDATDAETEEKILNGHENGFNSVALQHTLPELENKMITIEEEVDHVYERLQALEADREFLKHCISSLRKGDKGIYLLQEILQHLRDLRSVELRVRSNGDVAI >KJB15403 pep chromosome:Graimondii2_0_v6:2:45196873:45200335:1 gene:B456_002G176500 transcript:KJB15403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKFATMLHKNTNRITLILVYVLLEWILIVLLLLNSLFSYLIIKFADYFGLKRPCLWCSRLDHIFEPSKYKSYRDLVCDDHANEISKLGFCSNHRKLSESRDMCEDCLSSSPSENGGDEVIENGDEDFKCSCCGVMVEKKWNLPCFMIKPSWEVLDYPQEGNLITEGGEKVEGINADEGNASDGIRSDFVADDRKDEQMIEENKRVGIISDGEGIEPREVEKEEFSYFVSSFDCNQVAANGDEDDVVIEKDQSSVDEGDLTVSMADQGLTQVTCAKEESPEILNKHLEFYIGGDDCHLIPVELMDSTAMRSQKIYEFTEEDEDVAGTGDVILDFDSQQPGTRVELVVQNGCSSAEKVTPLSPHVSEEETIDAVDEPMEPNGKEGFSTPAVELDLMEKEDDQHVATTQANMPSLNEADDVQPNATTREEEIDLDVNQAISIGTDVVQFNETIEDIQIQHLYECTQGDPSTSSELHADADRGSKDVEEETMQQLKTATFESETSDQPMKNHISLSSELNDIEEDKVPDTPTSIDSLHLLHKKLLLLDRKESGTEDSLDGIVFSDIEGGDGVLTVDKLKSALKAERKALNALYSELEEERSASAVAANQTMAMINRLQEEKAAMQMEAVQYQRMMEEQSEYDQEALQILNELMVKREKEKAELEKELEIYRKKVQDHEAKERMMMLRRRKDDSIRSASSASCSNAEDSDGVSVDLNHESKEEDSFENHQIREDVNQNTPADAVLYLEESLANFEEERLSILEQLKVLEEQLISLNDEDIKSVEYLYEENGNGFHEIHNFGHETNGVANGHFKGVNGKHHQDKIIPMATKAKRLLPLFDATDAETEEKILNGHENGFNSVALQHTLPELENKMITIEEEVDHVYERLQALEADREFLKHCISSLRKGDKGIYLLQEILQHLRDLRSVELRVRSNGDVAI >KJB14188 pep chromosome:Graimondii2_0_v6:2:16076728:16078995:1 gene:B456_002G113600 transcript:KJB14188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRFVLLVFTISMLASPALSDLVLSKVDRRIYLTSHIVRISSTLEVENAGPETVSKVLLAFPDLQAQNLAYLMASPHEAKAKVKVSVVSFPIDVVKPEDMPPGVTFYSVSLPQGLTVGASFTFDVLAVFTQALRPMPEQIGQADIQLVLYQDSAYYLSPYVVKVQSLSLKVPDSRIESYTTLQSTKVQGSEIKYGPYENLPAFSSSPITVHFENNLPFAIAQELVREIEISHWGNVLVTEHYKLIHGGAQSKGEFSRLEPQARENVQGPSAVRYLVAHLPPRAHSVYYRDAIGNISTSHLRGDSKKTELLIEPRYPMFGGWRTAFTIGYGLPLQDCLYESEGRRFLNITFSSPMIELVIDTLIVKVVLPEGSSDISVAAPFFMKQWQETKISHLDIVGRAVVVLEKTNLVPEHNQYFQVLFCSPCSIEKACTLVLAY >KJB14187 pep chromosome:Graimondii2_0_v6:2:16076506:16080188:1 gene:B456_002G113600 transcript:KJB14187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRFVLLVFTISMLASPALSDLVLSKVDRRIYLTSHIVRISSTLEVENAGPETVSKVLLAFPDLQAQNLAYLMASPHEAKAKVKVSVVSFPIDVVKPEDMPPGVTFYSVSLPQGLTVGASFTFDVLAVFTQALRPMPEQIGQADIQLVLYQDSAYYLSPYVVKVQSLSLKVPDSRIESYTTLQSTKVQGSEIKYGPYENLPAFSSSPITVHFENNLPFAIAQELVREIEISHWGNVLVTEHYKLIHGGAQSKGEFSRLEPQARENVQGPSAVRYLVAHLPPRAHSVYYRDAIGNISTSHLRGDSKKTELLIEPRYPMFGGWRTAFTIGYGLPLQDCLYESEGRRFLNITFSSPMIELVIDTLIVKVVLPEGSSDISVAAPFFMKQWQETKISHLDIVGRAVVVLEKTNLVPEHNQYFQVYYKFNRLSMLREPLMLISGIFFFFVACIVYMHADTSISKFSASYLAKQQWEEVRVAIQQVHNIICRCLATHDKLEASLRDLSRTGEVQACKAARKSTDGLLKELSKELKPLMTFLQSSPSAVQVLSKVEELVAKEKELLEKLMAKHSTAVEAYEKKSGARDIENRIALQQQKITALRQEVDDLLEFIDEI >KJB14189 pep chromosome:Graimondii2_0_v6:2:16076624:16080171:1 gene:B456_002G113600 transcript:KJB14189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRFVLLVFTISMLASPALSDLVLSKVDRRIYLTSHIVRISSTLEVENAGPETVSKVLLAFPDLQAQNLAYLMASPHEAKAKVKGLTVGASFTFDVLAVFTQALRPMPEQIGQADIQLVLYQDSAYYLSPYVVKVQSLSLKVPDSRIESYTTLQSTKVQGSEIKYGPYENLPAFSSSPITVHFENNLPFAIAQELVREIEISHWGNVLVTEHYKLIHGGAQSKGEFSRLEPQARENVQGPSAVRYLVAHLPPRAHSVYYRDAIGNISTSHLRGDSKKTELLIEPRYPMFGGWRTAFTIGYGLPLQDCLYESEGRRFLNITFSSPMIELVIDTLIVKVVLPEGSSDISVAAPFFMKQWQETKISHLDIVGRAVVVLEKTNLVPEHNQYFQVYYKFNRLSMLREPLMLISGIFFFFVACIVYMHADTSISKFSASYLAKQQWEEVRVAIQQVHNIICRCLATHDKLEASLRDLSRTGEVQACKAARKSTDGLLKELSKELKPLMTFLQSSPSAVQVLSKVEELVAKEKELLEKLMAKHSTAVEAYEKKSGARDIENRIALQQQKITALRQEVDDLLEFIDEI >KJB14190 pep chromosome:Graimondii2_0_v6:2:16076624:16080171:1 gene:B456_002G113600 transcript:KJB14190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRFVLLVFTISMLASPALSDLVLSKVDRRIYLTSHIVRISSTLEVENAGPETVSKVLLAFPDLQAQNLAYLMASPHEAKAKVKVSVVSFPIDVVKPEDMPPGVTFYSVSLPQGLTVGASFTFDVLAVFTQALRPMPEQIGQADIQLVLYQDSAYYLSPYVVKVQSLSLKVPDSRIESYTTLQSTKVQGSEIKYGPYENLPAFSSSPITVHFENNLPFAIAQELVREIEISHWGNVLVTEHYKLIHGGAQSKGEFSRLEPQARENVQGPSAVRYLVAHLPPRAHSVYYRDAIGNISTSHLRGDSKKTELLIEPRYPMFGGWRTAFTIGYGLPLQDCLYESEGRRFLNITFSSPMIELVIDTLIVKVVLPEGSSDISVAAPFFMKQWQETKISHLDIVGRAVVVLEKTNLVPEHNQYFQVYYKFNRLSMLREPLMLISGIFFFFVACIVYMHADTSISKFSASYLAKQQWEEHLYATFLGQERCKLVKLLENLLMVC >KJB11812 pep chromosome:Graimondii2_0_v6:2:16891185:16892235:1 gene:B456_002G1187002 transcript:KJB11812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVFRRSLSFPNKPTTRPSKPPISHHIRSISLPCRSHPLISQLRDEITDLKSWSWNPDNRTSAWLCDGLSRLKDVHDSLHDFLQLPQTHQVLSHKREWVEKLLEDFLRFVDAYGIFQSSFLALKEEQLAARMALRRKDDSKMAVYLKSRKKMAKEIAKLVSSIPCTGPYIIPGSAVVSIADTELTGIISDVIEVTVSVSVALFNGISMSFTSRKSSWMGVTSSKESKKVKMEEGIKEFQEIGEANMWGLRKKGEEEVRMVLKRMQDLEGCIADVESGSEKAFRSLINTRVSLLNALTN >KJB16837 pep chromosome:Graimondii2_0_v6:2:61389698:61391419:1 gene:B456_002G250200 transcript:KJB16837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRGMGAGRKLRTHRRRQRWADKSYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KJB16838 pep chromosome:Graimondii2_0_v6:2:61389707:61391419:1 gene:B456_002G250200 transcript:KJB16838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTRGMGAGRKLRTHRRRQRWADKSYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KJB16840 pep chromosome:Graimondii2_0_v6:2:61389817:61391016:1 gene:B456_002G250200 transcript:KJB16840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRGMGAGRKLRTHRRRQRWADKSYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENVR >KJB16839 pep chromosome:Graimondii2_0_v6:2:61389707:61391419:1 gene:B456_002G250200 transcript:KJB16839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRGMGAGRKLRTHRRRQRWADKSYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENVSVDCRIWTKGSCRR >KJB17031 pep chromosome:Graimondii2_0_v6:2:62224160:62226741:-1 gene:B456_002G261700 transcript:KJB17031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTTHEGVPTEFYGAKLIGSRSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWLVLKFLHRAADLTLVPSVAIAKDLRAARVTAANKIRLWNKGVDSESFHPRYRSHEMRLRLSNGEPERPLIIHVGRLGVEKSLDFLKSVMDRLPEARIAFIGDGPYREDLEKLFSGMPVVFTGTLQGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVVGARAGGVPDIIPADQEGKTGFLFNPGDLDDCLSKLKPLLQNKEMRETIGKAARQEMEKYDWRAATKKIRNEQYNAAIWFWRKKRAQLLRPLQWLAKRVFPSPKISHR >KJB17032 pep chromosome:Graimondii2_0_v6:2:62223933:62227756:-1 gene:B456_002G261700 transcript:KJB17032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLSINPHLSSHPLFVYPSSSSNSCSSSNLILPNSIKVLPFSSFSKTPISLNCKKRCFSQSLRLKTERKRRVTVVKASELSVAEVKEDDGEDENPPLIDSENNSKPRRIALFVEPSPFSYVSGYKNRFQNFIKYLREMGDEVMVVTTHEGVPTEFYGAKLIGSRSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWLVLKFLHRAADLTLVPSVAIAKDLRAARVTAANKIRLWNKGVDSESFHPRYRSHEMRLRLSVMDRLPEARIAFIGDGPYREDLEKLFSGMPVVFTGTLQGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVVGARAGGVPDIIPADQEGKTGFLFNPGDLDDCLSKLKPLLQNKEMRETIGKAARQEMEKYDWRAATKKIRNEQYNAAIWFWRKKRAQLLRPLQWLAKRVFPSPKISHR >KJB17034 pep chromosome:Graimondii2_0_v6:2:62224760:62227747:-1 gene:B456_002G261700 transcript:KJB17034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLSINPHLSSHPLFVYPSSSSNSCSSSNLILPNSIKVLPFSSFSKTPISLNCKKRCFSQSLRLKTERKRRVTVVKASELSVAEVKEDDGEDENPPLIDSENNSKPRRIALFVEPSPFSYVSGYKNRFQNFIKYLREMGDEVMVVTTHEGVPTEFYGAKLIGSRSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWLVLKFLHRAADLTLVPSVAIAKDLRAARVTAANKIRLWNKGVDSESFHPRYRSHEMRLRLSNGEPERPLIIHVGRLGVEKSLDFLKSVMDRLPEARIAFIGDGPYR >KJB17033 pep chromosome:Graimondii2_0_v6:2:62223933:62227756:-1 gene:B456_002G261700 transcript:KJB17033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLSINPHLSSHPLFVYPSSSSNSCSSSNLILPNSIKVLPFSSFSKTPISLNCKKRCFSQSLRLKTERKRRVTVVKASELSVAEVKEDDGEDENPPLIDSENNSKPRRIALFVEPSPFSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWLVLKFLHRAADLTLVPSVAIAKDLRAARVTAANKIRLWNKGVDSESFHPRYRSHEMRLRLSNGEPERPLIIHVGRLGVEKSLDFLKSVMDRLPEARIAFIGDGPYREDLEKLFSGMPVVFTGTLQGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVVGARAGGVPDIIPADQEGKTGFLFNPGDLDDCLSKLKPLLQNKEMRETIGKAARQEMEKYDWRAATKKIRNEQYNAAIWFWRKKRAQLLRPLQWLAKRVFPSPKISHR >KJB17030 pep chromosome:Graimondii2_0_v6:2:62223775:62227901:-1 gene:B456_002G261700 transcript:KJB17030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLSINPHLSSHPLFVYPSSSSNSCSSSNLILPNSIKVLPFSSFSKTPISLNCKKRCFSQSLRLKTERKRRVTVVKASELSVAEVKEDDGEDENPPLIDSENNSKPRRIALFVEPSPFSYVSGYKNRFQNFIKYLREMGDEVMVVTTHEGVPTEFYGAKLIGSRSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWLVLKFLHRAADLTLVPSVAIAKDLRAARVTAANKIRLWNKGVDSESFHPRYRSHEMRLRLSNGEPERPLIIHVGRLGVEKSLDFLKSVMDRLPEARIAFIGDGPYREDLEKLFSGMPVVFTGTLQGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVVGARAGGVPDIIPADQEGKTGFLFNPGDLDDCLSKLKPLLQNKEMRETIGKAARQEMEKYDWRAATKKIRNEQYNAAIWFWRKKRAQLLRPLQWLAKRVFPSPKISHR >KJB17035 pep chromosome:Graimondii2_0_v6:2:62223933:62226902:-1 gene:B456_002G261700 transcript:KJB17035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTTHEGVPTEFYGAKLIGSRSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWLVLKFLHRAADLTLVPSVAIAKDLRAARVTAANKIRLWNKGVDSESFHPRYRSHEMRLRLSNGEPERPLIIHVGRLGVEKSLDFLKSVMDRLPEARIAFIGDGPYREDLEKLFSGMPVVFTGTLQGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVVGARAGGVPDIIPADQEGKTGFLFNPGDLDDCLSKLKPLLQNKEMRETIGKAARQEMEKYDWRAATKKIRNEQYNAAIWFWRKKRAQLLRPLQWLAKRVFPSPKISHR >KJB12490 pep chromosome:Graimondii2_0_v6:2:1450573:1453174:1 gene:B456_002G021100 transcript:KJB12490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEQNIYRPPPEFEENSSQQLVDFTVSGSTELFLIQWPIHQHPEINDQVVTLQLGPDGKLGSFTDSTGKTYDFVSPASQGPDATVILSSESESKIVGKISRRVSLVHYMSPDEYEKLSSDKKLLHQRSSGTLMTDSSNPFSSPTQSKGRKGSHSMGRTVSTHGSQHKSTVSGINEQSKPSKRKHDHESTGSMNQPTHSHETNPISGSSEHSHKSKSKKKVKNDE >KJB16602 pep chromosome:Graimondii2_0_v6:2:60246916:60250179:1 gene:B456_002G239000 transcript:KJB16602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVLQSSSSHFSSSSSPTSTQHGLINTSMSITAQGSDQGEENRQVGERRGRDKGGEQQLPLVTILVTAFRKSVIGCSISGSKELCAMEIGLPTNVKHVAHVTFDRFHGFLGLPVEFEPEVPRKAPSASANVFGVSTESMQLSFDCRGNSVPTILLLMQRHLYDKGGLQAEGIFRINAENSQEEYVREQLNRGVIPDGIDVHCLAGLIKAWFRELPSGVLDSLPPELVIKSQSEEECARLVRLLPPTEAALLDWTINLMADVVELEHLNKMNARNVAMVFAPNMTQLSDPLTALMHAVQVMNFLKTLIIRTLKARQDSMVDSTSNFPLEPSDKNGPQSSSQLCNDVNNEVKNEGEGEKSFVPTTERNSQSLASIENISAGNRSLVDNRPCIMVSREGSLRSSSESVKKGSKKANERSMADLESGLEEKSKGTRIVNLVNSRAELCEAWR >KJB16601 pep chromosome:Graimondii2_0_v6:2:60246920:60250179:1 gene:B456_002G239000 transcript:KJB16601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVLQSSSSHFSSSSSPTSTQHGLINTSMSITAQGSDQGEENRQVGERRGRDKGGEQQLPLVTILVTAFRKSVIGCSISGSKELCAMEIGLPTNVKHVAHVTFDRFHGFLGLPVEFEPEVPRKAPSASANVFGVSTESMQLSFDCRGNSVPTILLLMQRHLYDKGGLQAEGIFRINAENSQEEYVREQLNRGVIPDGIDVHCLAGLIKAWFRELPSGVLDSLPPELVIKSQSEEECARLVRLLPPTEAALLDWTINLMADVVELEHLNKMNARNVAMVFAPNMTQLSDPLTALMHAVQVMNFLKTLIIRTLKARQDSMVDSTSNFPLEPSDKNGPQSSSQLCNDVNNEVKNEGEGEKSFVPTTERNSQSLASIENISAGNRSLVDNRPCIMVSREGSLRSSSESVKKGSKKANERSMADLESGLEEKSKGTRIVNLVNSRAELCEAWR >KJB12607 pep chromosome:Graimondii2_0_v6:2:2042192:2043722:-1 gene:B456_002G027200 transcript:KJB12607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQSSNLLIFKRSIDLRLFSNSSNGHSFAASYLVNKCGFSPEMASWVSKYVHFETPERPDLLFAFLENHECSKTQILNLIKRRPRLLIYDTEKTLLPKLEFFYSIGFSRPELTKILTSYPAVLICRFKAIKRFAGILVYDSESYLYLNMNDLRGIGVPESNILTLLNRQPRSLLYDPVRLKEIVEEVKRMGIGSSRMKFLVAVQAFRSMIKSTLEKKIDVYRRWGWSDQEINEALGRYPLCMTVSEEKTMAIMDFLVNKMGYSSTLIAKEPCLVTRSLEKRIIPRTVFARELISQGLVNEFKLSTLFETSEKLFIRMYIDRFVNKALELLKLYKEKLKISEKKNTSELA >KJB15346 pep chromosome:Graimondii2_0_v6:2:43608941:43614630:1 gene:B456_002G172000 transcript:KJB15346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSAAINSFKSSNLISWKTTGKLQQTLAGCIELSGKTLHSGKVSKVKIWPVFAGEGRYFEFHSNLIPASIDFVRESLLCTTLCKDGYKIRTVEHLLSALEAKGIDNCRIQIQSLDSEDTEVEVPIFDGSANAWVEAIEQVGRKEALDRCGNNVEKLAPHLSEPFYVSRNDSFMVAFPASKVHISCGIDFPKVPAIGCQWFSSAALDDSYEKHIACSRTFCIYEEVEHMCSMGLIKGGSLDNAIVCSATKGWLNPPLRFPDEPCRHKVLDLIGDLSLFARSGSQGFPMARVISFKGGHSLNADFVRRLSGITVQET >KJB15345 pep chromosome:Graimondii2_0_v6:2:43608941:43614585:1 gene:B456_002G172000 transcript:KJB15345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSAAINSFKSSNLISWKTTGKLQQTLAGCIELSGKTLHSGKVSKVKIWPVFAGEGRYFEFHSNLIPASIDFVRESLLCTTLCKDGYKIRTVEHLLSALEAKGIDNCRIQIQSLDSEDTEVEVPIFDGSANAWVEAIEQVGRKEALDRCGNNVEKLAPHLSEPFYVSRNDSFMVAFPASKVHISCGIDFPKVPAIGCQWFSSAALDDSYEKHIACSRTFCIYEEVEHMCSMGLIKGGSLDNAIVCSATKGWLNPPLRFPDEPCRHKVLDLIGDLSLFARSGSQGFPMARVISFKGGHSLNADFVRRLSGITVQET >KJB15350 pep chromosome:Graimondii2_0_v6:2:43609000:43614342:1 gene:B456_002G172000 transcript:KJB15350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSAAINSFKSSNLISWKTTGKLQQTLAGCIELSGKTLHSGKVSKVKIWPVFAGEGRYFEFHSNLIPASIDFVRESLLCTTLCKDGYKIRTVEHLLSALEAKGIDNCRIQIQSLDSEDTEVEVPIFDGSANAWVEAIEQVGRKEALDRCGNNVEKLAPHLSEPFYVSRNDSFMVAFPASKVHISCGIDFPKVPAIGCQWFSSAALDDSYEKHIACSRTFCIYEEVEHMCSMGLIKGGSLDNAIVCSATKGWLNPPLRFPDEPCRHKVLDLIGDLSLFARSGSQGFPMARVISFK >KJB15348 pep chromosome:Graimondii2_0_v6:2:43609000:43611409:1 gene:B456_002G172000 transcript:KJB15348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSAAINSFKSSNLISWKTTGKLQQTLAGCIELSGKTLHSGKVSKVKIWPVFAGEGRYFEFHSNLIPASIDFVRESLLCTTLCKDGYKIRTVEHLLSALEAKGIDNCRIQIQSLDSEDTEVEVPIFDGSANAWVEAIEQVGRKEALDRCGNNVEKLAPHLSEPFYVSRNDSFMVAFPASKVHISCGIDFPKVPAIGCQWFSSAALDDSYEKHIACSRTFCIYEEVQCELISSFLVIVLF >KJB15349 pep chromosome:Graimondii2_0_v6:2:43609000:43614162:1 gene:B456_002G172000 transcript:KJB15349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSAAINSFKSSNLISWKTTGKLQQTLAGCIELSGKTLHSGKVSKVKIWPVFAGEGRYFEFHSNLIPASIDFVRESLLCTTLCKDGYKIRTVEHLLSALEAKGIDNCRIQIQSLDSEDTEVEVPIFDGSANAWVEAIEQVGRKEALDRCGNNVEKLAPHLSEPFYVSRNDSFMVAFPASKVHISCGIDFPKVPAIGCQWFSSAALDDSYEKHIACSRTFCIYEEVEHMCSMGLIKGGSLDNAIVCSATKGWLNPPLRFPDEPCRHKVLDLIGDLSLFARSGSQGFPMARVISFK >KJB15347 pep chromosome:Graimondii2_0_v6:2:43609000:43610384:1 gene:B456_002G172000 transcript:KJB15347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSAAINSFKSSNLISWKTTGKLQQTLAGCIELSGKTLHSGKVSKVKIWPVFAGEGRYFEFHSNLIPASIDFVRESLLCTTLCKDGYKIRTVEHLLSALEAKGIDNCRIQIQSLDSEDTEVEVPIFDGSANAWVEAIEQVGRKEALDRCGNNVEKLAPHLSEPFYVSRNDSFMVAFPASKVHISCGIDFPKVNSFVLWYADC >KJB15090 pep chromosome:Graimondii2_0_v6:2:35260099:35262877:1 gene:B456_002G159600 transcript:KJB15090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEDHRHHQYAIPDLRQLLNGKPINFQAIPQDTELFSPGHHRNLPPSQQPHHPFDVMQVVGRHEFPSDSTGNTNGTPMAAVATVTSASTLSPSCGFDGEATAFGGDGGNGRWPRQETLTLLEIRSHLDPKFKEANQKGPLWDEVSRVMFEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGDTSNNNGVLGQETPLIGNSFQFHGAQNSINTQANQEIYHSQKLCSDSLSLSSSSKFDTCSSDNNDLSTAKLKKKRGCRSWKAKIKEFIDSQIGKLMERQEAWLVKLTKTLEEKEQERLLREEQWRKEAAIRIDREHKFWAKERAWIEARDAALMEALQNLAGKQLKAASSNGENHNENGNGTINHTVKADGWQEAEVSRLLQLRTAMETKFQQVGYSEEVVLWEEIAAKMGCLGFERSGLMCKDKWDTIGGAYTLMKTKESNKKRKENSRGFDYYQPNETIFTQGPETLRLQPNDGSSHAVSDSCFRFFMADGDNNLWENYGLKLSN >KJB17024 pep chromosome:Graimondii2_0_v6:2:62188620:62191080:1 gene:B456_002G261200 transcript:KJB17024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFPQNLSPKRLLKLLKSETNPYSALALFDSATDHPGYTHSPDVFHHILRRLIDSRLVSHVARIVQLIESQKCNCPEDVALTVIKAYAKNSMPDKALDSFQRMKQVFGCEPGIRSYNTLLNAFVESNRWDEAESFFKYFETVSMEPNLQTYNILIKVACKKKQFKHAKEILDCMWKTGFQPNVQSYGSLISGFVKGGNLVVAMEMFDEMFERKVIPDVMCYNILIDGFFKNRDFVKANEVWERLLEDSSAYPNSVTYNVMINGLCKCGKFDECLSIWERMKKNEREKDLFTYSSMIHGLCEAGNIDGAERVYKDMVENGVMIDVVTYNAMLNGYCKSRKIKDSFELWKLMKKEGCINVVSFNIFIRGLLENGKVDEAISLWGNLPQRGCCADASTYGVLIHGLCRNGYLRKALDILQEGELGEGKVDSFGYSSMIDGLGKQGRLDEVAGLICRMVKCGHKLNPYVYNPLIQAFIQASRLDDAVRFFKGVDSMGCSPSVVSYNILISGLCKADRFREAYSILKEMLEKGWKPDMITYSSLMKGLFRGKNVEMALGLWNHVLDKALKPDVTMHNIVIHGLCSVGKVEDALQLYSKMRQRNRATNLVTYNTIMEGLYKTGEYEKASEIWTQISSDGLQPDIISYNITLKGLCSCGKILDAIGFLDDALERGILPTIITWNILVRAVLTTGFKMAVSI >KJB15205 pep chromosome:Graimondii2_0_v6:2:39838600:39838950:1 gene:B456_002G164500 transcript:KJB15205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLVQTILINCVFRTDLSLGSQKKILHSYEAHDQFTCTLLPIKQTIQLLVLFTTSLHKVNLTATSHKSLCLKLTKIFNEAERDPNKLSSTRRFC >KJB16661 pep chromosome:Graimondii2_0_v6:2:60479036:60489562:1 gene:B456_002G241400 transcript:KJB16661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDTKIEVEEQESAPKELSTPLKRKISTEKSEAKPRTIPPPGIGQKIYEIDPSLLDFRQHLDYRYAQYKRMREEIDKYEGGLEVFSRGYEKLGFIGSEMGITYREWAPGAKSAALIGDFNNWNPNADIMNRNEFGVWEIFLPNNADGSPAIPHGSRVKIRMETPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPRPQRPKSLRIYESHVGMSSPEPMINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGILVLMDIVHSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQVGFTGNYNEYFGYATDVDAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCIPVQDGGVGFDYRLHMAIADKWIEILKKRDEDWKMGEIVHTLTNRRWMEKCVAYAESHDQALVGDKTIAFWLMDKDMYEFMALDRPSTALIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGDQRLPNGVVIPGNGYSYDKCRRRFDLGDADYLRYRGMQEFDQAMQHVEEKYGFMTSEHTYISRKDEKDRVIVFERGNLVFVFNFHWNNSYFDYRVGCAKPGKYKIVLDSDDPLFGGFGRLDHNAEYFSFEGWFDDRPRSFMVYAPNRTAVVYALVEDEPKVVNDLELVEIPETVKPAAAEPVKESEPDEESEPLDS >KJB16662 pep chromosome:Graimondii2_0_v6:2:60479036:60489562:1 gene:B456_002G241400 transcript:KJB16662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDTKIEVEEQESAPKELSTPLKRKISTEKSEAKPRTIPPPGIGQKIYEIDPSLLDFRQHLDYRYAQYKRMREEIDKYEGGLEVFSRGYEKLGFIGSEMGITYREWAPGAKSAALIGDFNNWNPNADIMNRNEFGVWEIFLPNNADGSPAIPHGSRVKIRMETPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPRPQRPKSLRIYESHVGMSSPEPMINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGILVLMDIVHSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQVGFTGNYNEYFGYATDVDAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCIPVQDGGVGFDYRLHMAIADKWIEILKKRDEDWKMGEIVHTLTNRRWMEKCVAYAESHDQALVGDKTIAFWLMDKDMYEFMALDRPSTALIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGDQRLPNGVVIPGNGYSYDKCRRRFDLGDADYLRYRGMQEFDQAMQHVEEKYGFMTSEHTYISRKDEKDRVIVFERGNLVFVFNFHWNNSYFDYRVGCAKPGKYKIVLDSDDPLFGGFGRLDHNAEYFSFEGWFDDRPRSFMVYAPNRTAVVYALVEDEPKVVNDLELVEIPETVKPAAAEPVKESEPDEESEPLDS >KJB16660 pep chromosome:Graimondii2_0_v6:2:60479036:60489605:1 gene:B456_002G241400 transcript:KJB16660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSVSDLRLPCSPSVYSFSQSSFNASRRSSSFSLLLKKDLFSRKIFAQKSSYDSDSSPLTVASKKVLVPDDQGEGASSLTDELESPSTISDDPQVIHDVESEEMEDDTKIEVEEQESAPKELSTPLKRKISTEKSEAKPRTIPPPGIGQKIYEIDPSLLDFRQHLDYRYAQYKRMREEIDKYEGGLEVFSRGYEKLGFIGSEMGITYREWAPGAKSAALIGDFNNWNPNADIMNRNEFGVWEIFLPNNADGSPAIPHGSRVKIRMETPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPRPQRPKSLRIYESHVGMSSPEPMINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGILVLMDIVHSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQVGFTGNYNEYFGYATDVDAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCIPVQDGGVGFDYRLHMAIADKWIEILKKRDEDWKMGEIVHTLTNRRWMEKCVAYAESHDQALVGDKTIAFWLMDKDMYEFMALDRPSTALIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGDQRLPNGVVIPGNGYSYDKCRRRFDLGDADYLRYRGMQEFDQAMQHVEEKYGFMTSEHTYISRKDEKDRVIVFERGNLVFVFNFHWNNSYFDYRVGCAKPGKYKIVLDSDDPLFGGFGRLDHNAEYFSFEGWFDDRPRSFMVYAPNRTAVVYALVEDEPKVVNDLELVEIPETVKPAAAEPVKESEPDEESEPLDS >KJB16659 pep chromosome:Graimondii2_0_v6:2:60478914:60489748:1 gene:B456_002G241400 transcript:KJB16659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSVSDLRLPCSPSVYSFSQSSFNASRRSSSFSLLLKKDLFSRKIFAQKSSYDSDSSPLTVASKKVLVPDDQGEGASSLTDELESPSTISDDPQVIHDVESEEMEDDTKIEVEEQESAPKELSTPLKRKISTEKSEAKPRTIPPPGIGQKIYEIDPSLLDFRQHLDYRYAQYKRMREEIDKYEGGLEVFSRGYEKLGFIGSEMGITYREWAPGAKSAALIGDFNNWNPNADIMNRNEFGVWEIFLPNNADGSPAIPHGSRVKIRMETPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPRPQRPKSLRIYESHVGMSSPEPMINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQVGFTGNYNEYFGYATDVDAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCIPVQDGGVGFDYRLHMAIADKWIEILKKRDEDWKMGEIVHTLTNRRWMEKCVAYAESHDQALVGDKTIAFWLMDKDMYEFMALDRPSTALIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGDQRLPNGVVIPGNGYSYDKCRRRFDLGDADYLRYRGMQEFDQAMQHVEEKYGFMTSEHTYISRKDEKDRVIVFERGNLVFVFNFHWNNSYFDYRVGCAKPGKYKIVLDSDDPLFGGFGRLDHNAEYFSFEGWFDDRPRSFMVYAPNRTAVVYALVEDEPKVVNDLELVEIPETVKPAAAEPVKESEPDEESEPLDS >KJB16663 pep chromosome:Graimondii2_0_v6:2:60478914:60489748:1 gene:B456_002G241400 transcript:KJB16663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSVSDLRLPCSPSVYSFSQSSFNASRRSSSFSLLLKKDLFSRKIFAQKSSYDSDSSPLTVASKKVLVPDDQGEGASSLTDELESPSTISDDPQVIHDVESEEMEDDTKIEVEEQESAPKELSTPLKRKISTEKSEAKPRTIPPPGIGQKIYEIDPSLLDFRQHLDYRYAQYKRMREEIDKYEGGLEVFSRGYEKLGFIGSEMGITYREWAPGAKSAALIGDFNNWNPNADIMNRNEFGVWEIFLPNNADGSPAIPHGSRVKIRMETPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPRPQRPKSLRIYESHVGMSSPEPMINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGILVLMDIVHSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQVGFTGNYNEYFGYATDVDAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCIPVQDGGVGFDYRLHMAIADKWIEILKKRDEDWKMGEIVHTLTNRRWMEKCVAYAESHDQALVGDKTIAFWLMDKDMYEFMALDRPSTALIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGDQRLPNGVVIPGNGYSYDKCRRRFDLGDADYLRYRGMQEFDQAMQHVEEKYGFMTSEHTYISRKDEKDRVIVFERGNLVFVFNFHWNNSYFDYRVGCAKPGKYKIVLDSDDPLFGGFGRLDHNAEYFSFEGWFDDRPRSFMVYAPNRTAVVYALVEDEPKVVNDLELVEIPETVKPAAAEPVKESEPDEESEPLDS >KJB16664 pep chromosome:Graimondii2_0_v6:2:60479177:60488338:1 gene:B456_002G241400 transcript:KJB16664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSVSDLRLPCSPSVYSFSQSSFNASRRSSSFSLLLKKDLFSRKIFAQKSSYDSDSSPLTVASKKVLVPDDQGEGASSLTDELESPSTISDDPQVIHDVESEEMEDDTKIEVEEQESAPKELSTPLKRKISTEKSEAKPRTIPPPGIGQKIYEIDPSLLDFRQHLDYRYAQYKRMREEIDKYEGGLEVFSRGYEKLGFIGSEMGITYREWAPGAKSAALIGDFNNWNPNADIMNRNEFGVWEIFLPNNADGSPAIPHGSRVKIRMETPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPRPQRPKSLRIYESHVGMSSPEPMINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGILVLMDIVHSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQVGFTGNYNEYFGYATDVDAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCIPVQDGGVGFDYRLHMAIADKWIEILKKRDEDWKMGEIVHTLTNRRWMEKCVAYAESHDQALVGDKTIAFWLMDKDMYEFMALDRPSTALIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGDQRLPNGVVIPGNGYSYDKCRRRFDLGDADYLRYRGMQEFDQAMQHVEEKYGVSIAGAHCFAVLYVLACMNSHYTLLSASLEK >KJB16665 pep chromosome:Graimondii2_0_v6:2:60479036:60489094:1 gene:B456_002G241400 transcript:KJB16665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNEFGVWEIFLPNNADGSPAIPHGSRVKIRMETPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPRPQRPKSLRIYESHVGMSSPEPMINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGILVLMDIVHSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQVGFTGNYNEYFGYATDVDAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCIPVQDGGVGFDYRLHMAIADKWIEILKKRDEDWKMGEIVHTLTNRRWMEKCVAYAESHDQALVGDKTIAFWLMDKDMYEFMALDRPSTALIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGDQRLPNGVVIPGNGYSYDKCRRRFDLGDADYLRYRGMQEFDQAMQHVEEKYGFMTSEHTYISRKDEKDRVIVFERGNLVFVFNFHWNNSYFDYRVGCAKPGKYKIVLDSDDPLFGGFGRLDHNAEYFSFEGWFDDRPRSFMVYAPNRTAVVYALVEDEPKVVNDLELVEIPETVKPAAAEPVKESEPDEESEPLDS >KJB14871 pep chromosome:Graimondii2_0_v6:2:27312079:27313115:-1 gene:B456_002G147200 transcript:KJB14871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMGFEEDVRFILGKTCSARQMVIFSATWLAVVHRLAQEYMAPNPVKVVIGSKDLTASHDVMQIVEVLDDRARYERLTAFKISLHWLNRMGNI >KJB14867 pep chromosome:Graimondii2_0_v6:2:27312171:27312824:-1 gene:B456_002G147200 transcript:KJB14867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMGFEEDVRFILGKTCSARQMVIFSATWLAVVHRLAQEYMAPNPVKVVIGSKDLTASHDVMQIVEVLDDRARYERLTAFKISLHWLNRMGNI >KJB14870 pep chromosome:Graimondii2_0_v6:2:27308031:27313720:-1 gene:B456_002G147200 transcript:KJB14870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMGFEEDVRFILGKTCSARQMVIFSATWLAVVHRLAQEYMAPNPVKVVIGSKDLTASHDVMQIVEVLDDRARYERLTAFKISLHWLNRMGNI >KJB14872 pep chromosome:Graimondii2_0_v6:2:27312079:27313824:-1 gene:B456_002G147200 transcript:KJB14872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMGFEEDVRFILGKTCSARQMVIFSATWLAVVHRLAQEYMAPNPVKVLDDRARYERLTAFKISLHWLNRMGNI >KJB14869 pep chromosome:Graimondii2_0_v6:2:27312060:27313867:-1 gene:B456_002G147200 transcript:KJB14869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMGFEEDVRFILGKTCSARQMVIFSATWLAVVHRLAQEYMAPNPVKVLDDRARYERLTAFKISLHWLNRMGNI >KJB14868 pep chromosome:Graimondii2_0_v6:2:27308031:27313735:-1 gene:B456_002G147200 transcript:KJB14868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMGFEEDVRFILGKTCSARQMVIFSATWLAVVHRLAQEYMAPNPVKVVIGSKDLTASHDVMQIVEMIVHVMSD >KJB14873 pep chromosome:Graimondii2_0_v6:2:27312171:27312824:-1 gene:B456_002G147200 transcript:KJB14873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMGFEEDVRFILGKTCSARQMVIFSATWLAVVHRLAQEYMAPNPVKVVIGSKDLTASHDVMQIVEVLDDRARYERLTAFKISLHWLNRMGNI >KJB12738 pep chromosome:Graimondii2_0_v6:2:2561579:2566269:1 gene:B456_002G034100 transcript:KJB12738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTISCSVLLSLISCFYLLFATALDTITPSKSIKDPEFIISQNGIFRLGFFSLANSSNRYVGILYHQIPVQTVVWVANRNRPLKDSSGILNISDDGNLIVLNGKTEILWSSNVTNTAPSATTAQLSNLGNLVLSNGDDAGSSLWESFQHPCNVFLQTMKIGVDIKTGRKVELKSWKSIDDPSDGNFSLGFEPFNIPELVIRKYNQLYFRTGPWNGNIFIGIIYMYTVNFDGFDVVADNPQQPYYITYEYSNDFRLIYYELDTQGKFIERRWDAEKGNWINRYPSHETDCDVYGICGAFGICDSSKRPICSCLKGFKPRNAEEWSRGNWSSGCFRNTPLQCQRDNNNGSGAGQGDDEFLEMQMMKVPTFPYRSSIVNGDCKNECMKNCSCVAYAYDDGIGCMLWSGDLIDVKKLSSLGVDLYIRLSSSELDKGKSRKVIVITTVIAGIVVITISAVLFLWCRMAKHKGRNKKQKQVKHQICRENIGENSIGVKLQQLPIFNFEELATATNNFNHAEKLGQGGFGQVYRGTLDGGKEIAVKRLSKSSVQGLEEFMNEMVVISKLQHRNLVRLLGCCVEGEEKMLAYEYMPNKSLDAFLFDPAKQDVLDWRKRFNIIEGISRGLLYLHRDSRLKIIHRDLKASNILLDEELNPKISDFGMARIFGGNQNQAKTKRVVGTYGYMSPEYVMRGQFSEKSDVFSFGVLLLEIVSGRRNTSFCNDQYALSLLGYAWKLWREGDIRDIVDKVILESETYSKNENEKEIWRCIHVGLLCVQEFAKDRPTMPTVVSMLNSEISDLNTPKQPAFTEAPLMSHDVEDKVSLNDVTLTNLDGR >KJB13547 pep chromosome:Graimondii2_0_v6:2:10126958:10130176:-1 gene:B456_002G080600 transcript:KJB13547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDENEDLTTPSVSHGESSRPQTAGQRSRAWSQERQGSLALLPQTSFVSKHRQSTEVSHVEKRQKSIEDFSWLGIPPGNLKQLLIACAKVLSENNMDEFDKLIAKARGAVSISGEPVQRLGAYMVEGLVARKEASGSSIYRALHCREPEGKDLLSYMQVLYEICPYLKFGHMAANGAIAEACRTEDRIHIIDFQIAQGTQWMTLLQALAARPGGAPHVRITGIDDPISKYARGGGLEAVKRRLEALSEKFNIPVEFQGMPVFAPDITRDMLDVRPGEALAVNFPLQLHHTPDESVDVNNPRDGLLRMVKSLSPKVTTLVEQESNTNTAPFLPRFIETLEYYLAMFESIDETLPRDRKERVNVEEHCLARDIVNIIACEGKERVERHELFGKWKSRLTMAGFRQYPLSSYVNSVIRGLLRCYSKHYKLVEKDGAMLLGWKDRNLISASAWHCDS >KJB13548 pep chromosome:Graimondii2_0_v6:2:10126961:10130176:-1 gene:B456_002G080600 transcript:KJB13548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHQLFGYGVTGAGLSYSTFPSIPNRLFSSLKSDIGNSPNSPFFTPFDCDTNTTLSDSQEQHSSTENLSGLSPSCNSSLESNTCFHRLSPSLGCRSESLLFSSGGTSYTQDANSGHKVIYTLQELETALMAPDENEDLTTPSVSHGESSRPQTAGQRSRAWSQERQGSLALLPQTSFVSKHRQSTEVSHVEKRQKSIEDFSWLGIPPGNLKQLLIACAKVLSENNMDEFDKLIAKARGAVSISGEPVQRLGAYMVEGLVARKEASGSSIYRALHCREPEGKDLLSYMQVLYEICPYLKFGHMAANGAIAEACRTEDRIHIIDFQIAQGTQWMTLLQALAARPGGAPHVRITGIDDPISKYARGGGLEAVKRRLEALSEKFNIPVEFQGMPVFAPDITRDMLDVRPGEALAVNFPLQLHHTPDESVDVNNPRDGLLRMVKSLSPKVTTLVEQESNTNTAPFLPRFIETLEYYLAMFESIDETLPRDRKERVNVEEHCLARDIVNIIACEGKERVERHELFGKWKSRLTMAGFRQYPLSSYVNSVIRGLLRCYSKHYKLVEKDGAMLLGWKDRNLISASAWHCDS >KJB14829 pep chromosome:Graimondii2_0_v6:2:26635704:26640529:1 gene:B456_002G145600 transcript:KJB14829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVGMRRTTRVFRMVKSSEALVLRSGRRLWPDSVEVEPKSGVNKAISEVNGNPKILVNEEIPKKQSRKKKAEAVNDDATDDRRFGIVYSRKRKRNGVPNSQLSLNSEQKKCGKKFHRRRVIKKTNNDVKESRMFAFVVGNGGYHGWFSNLLWLVLGYLKRANVRFSGLAAFLMSQPLSSVYASNGVHLLRGLPANRSGICKFYGDRGFIPLFYVDFSAVPYSFMYMHYGMLLCSRRMQLVLVNTDEIFSACEEDKPCLTSVVDFSKRLSGSNVIKVDNFGSKGVSDRASKLKEIGRNGHYKHGLSRIIQRRRSSMRRRRARSPWLLGIHKGADSLQCSANILVTEPDRCYREEGAIVALELSASREWLLVVEKDGSTKYTYKADRAIRPSSCNRFTHAIIWTGDDNWKLEFVNRQDWVIFKDLYKECSERNAPSSTAKMIPVPGVCEVSGYEDRAFVPFQRPDFYITLDGDEVSRALAKRTANYDMDSEDEEWLKNFNNAFFSGNGNCEHLSEDCFELMVDAFEKEAYFRTPDDHSDDNGATNLCLDLASGEVVEAVHAYWLRKRKRRSALLRVFQGHKVKKSPVVPKPVLRKRRLGKRQASSGRGKQPSLLQAMAAEHHPLGEENAMVKVEEARASAARSVELAILKRQQAQLLMQNADMATYKAVMALRIAEAARFIKSSDVSVAQLFDP >KJB14830 pep chromosome:Graimondii2_0_v6:2:26635782:26640547:1 gene:B456_002G145600 transcript:KJB14830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVGMRRTTRVFRMVKSSEALVLRSGRRLWPDSVEVEPKSGVNKAISEVNGNPKILVNEEIPKKQSRKKKAEAVNDDATDDRRFGIVYSRKRKRNGVPNSQLSLNSEQKKCGKKFHRRRVIKKTNNDVKESRMFAFVVGNGGYHGWFSNLLWLVLGYLKRANVRFSGLAAFLMSQPLSSVYASNGVHLLRGLPANRSGICKFYGDRGFIPLFYVDFSAVPYSFMYMHYGMLLCSRRMQLVLVNTDEIFSACEEDKPCLTSVVDFSKRLSGSNVIKVDNFGSKGVSDRASKLKEIGRNGHYKHGLSRIIQRRRSSMRRRRARSPWLLGIHKASGAPMSDLSSSRRKSIPFSSVVSRNKLRCSVRNSSANLADVSSSISNLMQGADSLQCSANILVTEPDRCYREEGAIVALELSASREWLLVVEKDGSTKYTYKADRAIRPSSCNRFTHAIIWTGDDNWKLEFVNRQDWVIFKDLYKECSERNAPSSTAKMIPVPGVCEVSGYEDRAFVPFQRPDFYITLDGDEVSRALAKRTANYDMDSEDEEWLKNFNNAFFSGNGNCEHLSEDCFELMVDAFEKEAYFRTPDDHSDDNGATNLCLDLASGEVVEAVHAYWLRKRKRRSALLRVFQGHKVKKSPVVPKPVLRKRRLGKRQASSGRGKQPSLLQAMAAEHHPLGEENAMVKVEEARASAARSVELAILKRQQAQLLMQNADMATYKAVMALRIAEAARFIKSSDVSVAQLFDP >KJB14831 pep chromosome:Graimondii2_0_v6:2:26635782:26640529:1 gene:B456_002G145600 transcript:KJB14831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMHYGMLLCSRRMQLVLVNTDEIFSACEEDKPCLTSVVDFSKRLSGSNVIKVDNFGSKGVSDRASKLKEIGRNGHYKHGLSRIIQRRRSSMRRRRARSPWLLGIHKASGAPMSDLSSSRRKSIPFSSVVSRNKLRCSVRNSSANLADVSSSISNLMQGADSLQCSANILVTEPDRCYREEGAIVALELSASREWLLVVEKDGSTKYTYKADRAIRPSSCNRFTHAIIWTGDDNWKLEFVNRQDWVIFKDLYKECSERNAPSSTAKMIPVPGVCEVSGYEDRAFVPFQRPDFYITLDGDEVSRALAKRTANYDMDSEDEEWLKNFNNAFFSGNGNCEHLSEDCFELMVDAFEKEAYFRTPDDHSDDNGATNLCLDLASGEVVEAVHAYWLRKRKRRSALLRVFQGHKVKKSPVVPKPVLRKRRLGKRQASSGRGKQPSLLQAMAAEHHPLGEENAMVKVEEARASAARSVELAILKRQQAQLLMQNADMATYKAVMALRIAEAARFIKSSDVSVAQLFDP >KJB14828 pep chromosome:Graimondii2_0_v6:2:26635604:26638258:1 gene:B456_002G145600 transcript:KJB14828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVGMRRTTRVFRMVKSSEALVLRSGRRLWPDSVEVEPKSGVNKAISEVNGNPKILVNEEIPKKQSRKKKAEAVNDDATDDRRFGIVYSRKRKRNGVPNSQLSLNSEQKKCGKKFHRRRVIKKTNNDVKESRMFAFVVGNGGYHGWFSNLLWLVLGYLKRANVRFSGLAAFLMSQPLSSVYASNGVHLLRGLPANRSGICKFYGDRGFIPLFYVDFSAVPYSFMYMHYGMLLCSRRMQLVLVNTDEIFSACEEDKPCLTSVVDFSKRLSGSNVIKVDNFGSKGVSDRASKLKEIGRNGHYKHGLSRIIQRRRSSMRRRRARSPWLLGIHKASGAPMSDLSSSRRKSIPFSSVVSRNKLRCSVRNSSANLADVSSSISNLMQGADSLQCSANILVTEPDRCYREEGAIVALELSASREWLLVVEKDGSTKYTYKADRAIRPSSCNRFTHAIIWTGDDNWKLEFVNRQDWVIFKDLYKECSERNAPSSTAKMIPVPGVCEVSGYEDRAFVPFQRPDFYITLDGDEVSRALAKRTANYDMDSEDEEWLKNFNNAFFSGNGNCEHLSEDCFELMVDAFEKEAYFRTPDDHSDDNGATNLCLDLASGEVVEAVHAYWLRKRKRRSALLRVFQVKLVFCLNYIILLSQYISSVSLVYCLPSRNSYGNGYCFEISLCK >KJB16732 pep chromosome:Graimondii2_0_v6:2:60909449:60912281:-1 gene:B456_002G245100 transcript:KJB16732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASSEFLATLVLVLVSVSSVAHGDPLVPALIIFGDSVVDVGNNNNLNTLIKSNFPPYGRDFVNHRPTGRFCNGKLATDFTAEYLGFTSYPPAYLSRDAIGNALLTGANFASAASGLYDSTANLYRAITLTQQLNYYREYQTKLVNMAGNNGANNIISGAIHLLSAGSSDYIQNYYINPLVSRIYTPDQFSDILIRSYTTFIQNLYGLGARRIGVTTLPPTGCLPAAITLFGAGSNQCVARLNQDAIAFNNKLNSTSLSLQDRLPGLKLVVFDIYQPLLDMVTKPSDNGFFESRRACCGTGTLETSLLCNSRALGTCSNATSYVFWDGFHPSEAANQVLAGDLLAQGVSLIS >KJB16733 pep chromosome:Graimondii2_0_v6:2:60909727:60912232:-1 gene:B456_002G245100 transcript:KJB16733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASSEFLATLVLVLVSVSSVAHGDPLVPALIIFGDSVVDVGNNNNLNTLIKSNFPPYGRDFVNHRPTGRFCNGKLATDFTAEYLGFTSYPPAYLSRDAIGNALLTGANFASAASGLYDSTANLYRAITLTQQLNYYREYQTKLVNMAGNNGANNIISGAIHLLSAGSSDYIQNYYINPLVSRIYTPDQFSDILIRSYTTFIQNLYGLGARRIGVTTLPPTGCLPAAITLFGAGSNQCVARLNQDAIAFNNKLNSTSLSLQDRLPGLKLVVFDIYQPLLDMVTKPSDNGKPPILQ >KJB15675 pep chromosome:Graimondii2_0_v6:2:51431309:51433913:-1 gene:B456_002G190500 transcript:KJB15675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLAVKLYSIFFKFQQRYMLHNLTQNPVHAVDPFGITSRHEESTAASNPSFYEGVATKDIHIDPFSSLCIRIFLPAMVVNFDPTKIIEDKSKDGAFIYSGYSPQAGTKHKKLPVVLQFHGGAFVGGSNDSVRNDAFCRRIANLCDVIVVAVGYRLAPESRYPAAFDDGLKVLNWLAKEANLAECGKWMGNGNGRRRADAHVFDGFGASMAEPWLAAHGDPSRCVLLGVSSGANIADYVARKAVEAGKLLDPVKVVAQVLMYPFFIGSNPTASEIKLANSYFYDKSVCMQTWKLFLPEEKFDLDHPAANPLIPGREPPLKFMPPTLTVVAEQDWMRDRAIAYSEELRRANIDAPLLEYKDAVHEFATLDVLLQTPQAQACAEDIAIWVKKYISLRGHEFSY >KJB16157 pep chromosome:Graimondii2_0_v6:2:56513460:56513855:-1 gene:B456_002G215500 transcript:KJB16157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIVSKRLRKTDIQKRMTIPSKSLNCFPALSGNEHMVDFDVRDECGHVWKFRIYTRKSNNKYRKPVLTKGWREFVCRKELSIDDKVEFYMDKQQADGSAEYRVTVQKAVKVFGAIFAHKPFPGEVSNDIV >KJB13062 pep chromosome:Graimondii2_0_v6:2:4832230:4837369:1 gene:B456_002G054700 transcript:KJB13062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRSHHHLTELGCIACEDLTEFGAGKEGWLAPDAGTTVLCALDAHSLAIANRSVVLIIGWSDSDEPRVKIRPELSPIEAERITAIEWLVFDDIKVIAIGTSRGFLLMYSLRGDLIHRQMVYHGQIIKLRVRGTKKDLMQDISSEDVCVVMPGVIARFDGSDIRSMLQRWFQETHSRFWDEKSNKDLEDDGNSDNRLPYQIWNVNKYGSCVDAAITGIMPPPLMELQSSQRYYCAVTIGDDAVISAFRCGR >KJB13064 pep chromosome:Graimondii2_0_v6:2:4832246:4835946:1 gene:B456_002G054700 transcript:KJB13064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRSHHHLTELGCIACEDLTEFGAGKEGWLAPDAGTTVLCALDAHSLAIANRSVVLIIGWSDSDEPRVKIRPELSPIEAERITAIEWLVFDDIKVIAIGTSRGFLLMYSLRGDLIHRQMVYHGQIIKLRVRGTKKDLMQDISSEDVCVVMPGVIARFDGSDIRSMLQRWFQETHSRFWDEKSNKDLEDDGNSDNRLPYQIWNVNKYGSCVDAAITGIMPPPLMELQSSQRYYCAVTIGDDAVISAFRLSEDRKRSLVGVILSKVMPVTFSTIASFSKMIWRSEPTPTSKPEEKLQSFARASSLTCLKDYPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRIWKGYRDANCFFMEMLVNRDDKRASSSYHVPGKSDYCLCLAIHAPRKGIIEVLIFLLGPCCPLFGISSL >KJB13063 pep chromosome:Graimondii2_0_v6:2:4832238:4837402:1 gene:B456_002G054700 transcript:KJB13063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRSHHHLTELGCIACEDLTEFGAGKEGWLAPDAGTTVLCALDAHSLAIANRSVVLIIGWSDSDEPRVKIRPELSPIEAERITAIEWLVFDDIKVIAIGTSRGFLLMYSLRGDLIHRQMVYHGQIIKLRVRGTKKDLMQDISSEDVCVVMPGVIARFDGSDIRSMLQRWFQETHSRFWDEKSNKDLEDDGNSDNRLPYQIWNVNKYGSCVDAAITGIMPPPLMELQSSQRYYCAVTIGDDAVISAFRLSEDRKRSLVGVILSKVMPVTFSTIASFSKMIWRSEPTPTSKPEEKLQSFARASSLTCLKDYPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRIWKGYRDANCFFMEMLVNRDDKRASSSYHVPGKSDYCLCLAIHAPRKGIIEVWQMRTGPRVLAIQCAKGCRLLQPTYRFGSLSDSPYVPLEVFLLNGDSGQLSVLNRFLN >KJB14799 pep chromosome:Graimondii2_0_v6:2:25880714:25885317:1 gene:B456_002G143200 transcript:KJB14799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-L1-1 [Source:Projected from Arabidopsis thaliana (AT2G26430) UniProtKB/Swiss-Prot;Acc:Q8RWV3] MATGQVLFHRFYCKKSFARFDVKIVAASSLWLASKLEESPRRARQVIIVFHRMECRRENLPIEHLDLYSKKFSDLKAELSRTERHILKEMGFVCHVEHPHKFISNYLATLETPPELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWKAFDADKSGIDEVCRVLARLYSLPKAQYIPVCKDGKPFTFSTRSADSQSQQPPKEVPLSPPANNNANVSNTTVAVADVETEGAKEAKIKMALDKLKESKQSDDESKSMPTTDSDAREEPRHKSKSEHRTESSGEKSKDRDRERERDRERDRERAKARDRDRGRDSDRERERDETERDRDKVKDRGHRSKDRTKDSGGGHSEKSRHHSSRDRDYRGSSYSSREKDRHRHHSYA >KJB14796 pep chromosome:Graimondii2_0_v6:2:25881363:25884987:1 gene:B456_002G143200 transcript:KJB14796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-L1-1 [Source:Projected from Arabidopsis thaliana (AT2G26430) UniProtKB/Swiss-Prot;Acc:Q8RWV3] MATGQVLFHRFYCKKSFARFDVKIVAASSLWLASKLEESPRRARQVIIVFHRMECRRENLPIEHLDLYSKKFSDLKAELSRTERHILKEMGFVCHVEHPHKFISNYLATLETPPELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWKAFDADKSGIDEVCRVLARLYSLPKAQYIPVCKDGKPFTFSTRSADSQSQQPPKEVPLSPPANNNANVSNTTVAVADVETEGAKEAKIKMALDKLKESKQSDDESKSMPTTDSDAREEPRHKSKSEHRTESSGEKSKDRDRERERDRERDRERAKARDRDRGRDSDRERERDETERDRDKVKDRGHRSKDRTKDSAGGHSEKSRHHSSRGMILAFHEVFNH >KJB14798 pep chromosome:Graimondii2_0_v6:2:25880714:25885317:1 gene:B456_002G143200 transcript:KJB14798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-L1-1 [Source:Projected from Arabidopsis thaliana (AT2G26430) UniProtKB/Swiss-Prot;Acc:Q8RWV3] MATGQVLFHRFYCKKSFARFDVKIVAASSLWLASKLEESPRRARQVIIVFHRMECRRENLPIEHLDLYSKKFSDLKAELSRTERHILKEMGFVCHVEHPHKFISNYLATLETPPELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWKAFDADKSGIDEVCRVLARLYSLPKAQYIPVCKDGKPFTFSTRSADSQSQQPPKEVPLSPPANNNANVSNTTVAVADVETEGAKEAKIKMALDKLKESKQSDDESKSMPTTDSDAREEPRHKSKSEHRTESSGEKSKDRDRERERDRERDRERAKARDRDRGRDSDRERERDETERDRDKVKDRGHRSKDRTKDSAGGHSEKSRHHSSRDRDYRGSSYSSREKDRHRHHSYA >KJB14797 pep chromosome:Graimondii2_0_v6:2:25880714:25885317:1 gene:B456_002G143200 transcript:KJB14797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-L1-1 [Source:Projected from Arabidopsis thaliana (AT2G26430) UniProtKB/Swiss-Prot;Acc:Q8RWV3] MATGQVLFHRFYCKKSFARFDVKIVAASSLWLASKLEESPRRARQVIIVFHRMECRRENLPIEHLDLYSKKFSDLKAELSRTERHILKEMGFVCHVEHPHKFISNYLATLETPPELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWKAFDADKSGIDEVCRVLARLYSLPKAQYIPVCKDGKPFTFSTRSADSQSQQPPKEVPLSPPANNNANVSNTTVAVADVETEGAKEAKIKMALDKLKESKQSDDESKSMPTTDSDAREEPRHKSKSEHRTESSGEKSKDRDRERERDRERDRERAKARDRDRGRDSDRERERDETERDRDKVKDRGHRSKDRTKDSGGHSEKSRHHSSRDRDYRGSSYSSREKDRHRHHSYA >KJB14795 pep chromosome:Graimondii2_0_v6:2:25880548:25885374:1 gene:B456_002G143200 transcript:KJB14795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-L1-1 [Source:Projected from Arabidopsis thaliana (AT2G26430) UniProtKB/Swiss-Prot;Acc:Q8RWV3] MIYTAIDNFYLTDEQLKNSPSSKDGIDEATETTLRIYGCDLIQESGILLKLPQAVMATGQVLFHRFYCKKSFARFDVKIVAASSLWLASKLEESPRRARQVIIVFHRMECRRENLPIEHLDLYSKKFSDLKAELSRTERHILKEMGFVCHVEHPHKFISNYLATLETPPELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWKAFDADKSGIDEVCRVLARLYSLPKAQYIPVCKDGKPFTFSTRSADSQSQQPPKEVPLSPPANNNANVSNTTVAVADVETEGAKEAKIKMALDKLKESKQSDDESKSMPTTDSDAREEPRHKSKSEHRTESSGEKSKDRDRERERDRERDRERAKARDRDRGRDSDRERERDETERDRDKVKDRGHRSKDRTKDSGGHSEKSRHHSSRDRDYRGSSYSSREKDRHRHHSYA >KJB15541 pep chromosome:Graimondii2_0_v6:2:48374439:48375695:1 gene:B456_002G183500 transcript:KJB15541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRLTVGLFGRYPAYGYCLKLAAAWKTEAIYMMMVHAWVRPANVFISSHHGSFLKLPWPSFLTSDHHDWISVLFLTVSSDG >KJB13643 pep chromosome:Graimondii2_0_v6:2:10992946:10998188:1 gene:B456_002G086800 transcript:KJB13643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSQAAKSTKPSKASTLPPPSNPPKPSSLSSHLAMVEQKQRILTSLSKLSDRDTYQIAVEDLETIIQSISHESLPMLLNCLFDSSNDPKPAVKKESLRLLSVLCNCHGELAASHLAKIVAHIVKRLKDADSGVKDACRDSIGALSGQYLKGENGGTVVGLFVKPLFEAMGEQNKGVQSGAATCMAKMVECASDPPLAAFHKLCPRICKLLNNQNFLAKASLLPVVASLSQVGVIAPQSLEPLLQSIHECLGSTDWATRKAAADALSALALHSSNLIADRASSTITLLEDCRFDRIKPVRDAMTEALQLWKKIAGKGDDGAADYQKASSCDGDNTQTTESSQKNGLKNPNAGGKKNDPSVKDSSNNLSPTSDSVSKGKGGSIPEKAVVILKKKAPALTDKELNPEFFQKLESRGSGDLPVEVIVPRRYCNSSNMKGEEESELHDPDARRRLNCLRNSQTDDIHASSNCKDHNMERGAADVGDKWPEEKINGKYLRTKAFEADGRIDVNQREQSGNHLGCSKVDGQSEGSFISNKGNWLAIQRQLLQLERQQANLMNMLQDFMGGSHHSRVTLENRVRGLERIVEDMARDLSISSGRSGNFMPGFEGSSNRPLGKYNGFSDYSSKFNGQIPYGERFAQSDGTAPGGRGRGPSWRSEISDDWDFPAFGASRNGQIASRRDPASSGLDGRSPKSEHESDQVGGRRAWDKGPGPVRLDEGPSARSVWQASKDEATLEAIRVAGEDSGASRTGCAPELIAEAVGDDNVGPERDPIWTSWSNAMHALQVGDMDSAYAEVLSTGDDLLLIKLMDRSGPVVDQLSNELANEALHAILQFLLEQDLFDICLSWIQQLVEVVLENGPDALGISMELKKELLLNLHEAASTLDPPEDWEGVAPDQLLLQLASTWGIELQQFDK >KJB13644 pep chromosome:Graimondii2_0_v6:2:10992946:10998326:1 gene:B456_002G086800 transcript:KJB13644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSQAAKSTKPSKASTLPPPSNPPKPSSLSSHLAMVEQKQRILTSLSKLSDRDTYQIAVEDLETIIQSISHESLPMLLNCLFDSSNDPKPAVKKESLRLLSVLCNCHGELAASHLAKIVAHIVKRLKDADSGVKDACRDSIGALSGQYLKGENGGTVVGLFVKPLFEAMGEQNKGVQSGAATCMAKMVECASDPPLAAFHKLCPRICKLLNNQNFLAKASLLPVVASLSQVGVIAPQSLEPLLQSIHECLGSTDWATRKAAADALSALALHSSNLIADRASSTITLLEDCRFDRIKPVRDAMTEALQLWKKIAGKGDDGAADYQKASSCDGDNTQTTESSQKNGLKNPNAGGKKNDPSVKDSSNNLSPTSDSVSKGKGGSIPEKAVVILKKKAPALTDKELNPEFFQKLESRGSGDLPVEVIVPRRYCNSSNMKGEEESELHDPDARRRLNCLRNSQTDDIHASSNCKDHNMERGAADVGDKWPEEKINGKYLRTKAFEADGRIDVNQREQSGNHLGCSKVDGQSEGSFISNKGNWLAIQRQLLQLERQQANLMNMLQDFMGGSHHSRVTLENRVRGLERIVEDMARDLSISSGRSGNFMPGFEGSSNRPLGKYNGFSDYSSKFNGQIPYGERFAQSDGTAPGGRGRGPSWRSEISDDWDFPAFGASRNGQIASRRDPASSGLDGRSPKSEHESDQVGGRRAWDKGPGPVRLDEGPSARSVWQASKDEATLEAIRVAGEDSGASRTGCAPELIAEAVGDDNVGPERDPIWTSWSNAMHALQVGDMDSAYAEVLSTGDDLLLIKLMDRSGPVVDQLSNELANEALHAILQFLLEQDLFDICLSWIQQLVEVVLENGPDALGISMELKKELLLNLHEAASTLDPPEDWEGVAPDQLLLQLASTWGIELQQFDKLTKPALIQRDKSTVKRIKCLEDETISKHT >KJB14768 pep chromosome:Graimondii2_0_v6:2:26322587:26326568:1 gene:B456_002G1448001 transcript:KJB14768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGRLSEDEARFYAAEVVDALEYIHNMGLIHRDIKPENLLLTTDGHIKIADFGSVKPMQNSQITVFPNASSDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPNYFSEEARDLIDRLLDLDPSKRPGAGPDGYATLKMHPFFRGIDWSSLRAQTPPKLALETGAQSSDGDDYNDSSWNPAHIGDGSAGQSDGIVSSSSSAESSGHITRLASIDSFDSKWQQFLDPGESVLMISMVKKLQKLSSKKVQLILTDKPKLIYVDPSKLVVKGNIIWSNNSNDLSVQWKKVIEGLQNR >KJB14769 pep chromosome:Graimondii2_0_v6:2:26322587:26326573:1 gene:B456_002G1448001 transcript:KJB14769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGRLSEDEARFYAAEVVDALEYIHNMGLIHRDIKPENLLLTTDGHIKIADFGSVKPMQNSQITVFPNASSDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPNYFSEEARDLIDRLLDLDPSKRPGAGPDGYATLKMHPFFRGIDWSSLRAQTPPKLALETGAQSSDGDDYNDSSWNPAHIGDGSAGQSDGIVSSSSSAESSGHITRLASIDSFDSKWQQFLDPGESVLMISMVKKLQKLSSKKVQLILTDKPKLIYVDPSKLVVKGNIIWSNNSNDLSVQVTSPSHFKICTPKKVLSFDDAKQRAWQWKKVIEGLQNR >KJB14766 pep chromosome:Graimondii2_0_v6:2:26322587:26324989:1 gene:B456_002G1448001 transcript:KJB14766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGRLSEDEARFYAAEVVDALEYIHNMGLIHRDIKPENLLLTTDGHIKIADFGSVKPMQNSQITVFPNASSDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPNYFSEEARDLIDRLLDLDPSKRPGAGPDGYATLKMHPFFRGIDWSSLRAQTPPKLALETGAQSSDGDDYNDSSWNPAHIGDGSAGQSDGIVSSSSSAESSGHITRLASIDSFDSKWYLSSSCLVIFSAHAV >KJB14767 pep chromosome:Graimondii2_0_v6:2:26322587:26326568:1 gene:B456_002G1448001 transcript:KJB14767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGRLSEDEARFYAAEVVDALEYIHNMGLIHRDIKPENLLLTTDGHIKIADFGSVKPMQNSQITVFPNASSDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPNYFSEEARDLIDRLLDLDPSKRPGAGPDGYATLKMHPFFRGIDWSSLRAQTPPKLALETGAQSSDGDDYNDSSWNPAHIGDGSAGQSDGIVSSSSSAESSGHITRLASIDSFDSKWQQFLDPGESVLMISMVKKLQKLSSKKVQLILTDKPKLIYVDPSKLVVKGNIIWSNNSNDLSVQVTSPSHFKICTVTKKGAII >KJB16090 pep chromosome:Graimondii2_0_v6:2:55975857:55978405:1 gene:B456_002G212500 transcript:KJB16090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANMLSSLFPLFSLVCFISIFCLLSLSKKASISSSSPTYPHFHLVKPTMVTGNIEPNATSGSNPYTSSSSSCDYSDGSWIHDPDVRFDRYDSSCKEIFKGWNCILNKKSNGRDIVKWRWKPRNCHLPPFDPLKFLHTYRDTNIGFVGDSLNRNMFVSLFCALRRVAGDVKKWRPSGADRGFTFLHYNLTIAYHRTNLLARYGSWSANSNGGKLEDLGYKEGYRVDLGCRYSKIYAIFKAFWHWLCNLWWAPSKFDPIKSPMLFFERGLPLIPPIPPDVGFDKVLKHTIRFVEKTMRPSAIKLFCTRSPRHFEGGDWDQGGSCQRLQPSSPKEVEELFSLTKNGMNMEARLVNLHLYKSLKGSNFHILDITHMSEFRADAHPSTTGGKKHDDCMHWCLPGITDTWNDLFVTHLNSLKIRN >KJB16912 pep chromosome:Graimondii2_0_v6:2:61688005:61690928:-1 gene:B456_002G254200 transcript:KJB16912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFISRARRAFTGPFPPCSYLASIRLSSTLTSPKLFISGLSRETTDEQFQEAFYPFGRIVEAKVVRDRATGRSKGFGFVTYTSIEEAEKAREEMNAKFLHGWVIFVDPAKPREYRPPPHRPESGPSETGFRTNKTVGWCGYNST >KJB15301 pep chromosome:Graimondii2_0_v6:2:42586952:42588306:1 gene:B456_002G169700 transcript:KJB15301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAFLVYAMEQGVDKHVDLQNSSAKRMIGKVAVVTGGARGIGAATAKLFAENGAYVVIADILDEAGTMLADSIGARYIHCDVAKESDVESAIQLAITWKGKLDILFSNAGIGGTASSITSLDMEQVKHLVSINLLGNVHAIKHAARAMLRCNTKGSIICTSSSAGIMGGLASHPYSLSKAGIVGLMRTAACELGVHGIRVNCISPHGVPTDMLISGYRMFRGNETTPEEVKKLVGDQGSLLRGKAATVEDVAQAAVFLASDDTGFITAHNLIIDGGYTSAISSLSFIYK >KJB14415 pep chromosome:Graimondii2_0_v6:2:18073681:18079484:-1 gene:B456_002G124100 transcript:KJB14415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWPWKKKSSDKADKDAAAAAAEADAAAAALASAASQNDQNTYKKPKYVQISMESYSHLTGLENQVKIYEEQVQTLEDEIKDLNEKLSAANSEISGKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLQDLAVSKNKLCEKIKLELEAKIAYLDQELRKSAAENDAISRSLQERSNLLVKITEEKSQAETQIECLKGNIESCEREINSLKYEMHVVSKELEIRNEERNMSMRTAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLKRSPVRPSTPHTPAVTDFSLDNAQKFHKENEFLTERLLAMEEETKMLKEALAKRNSELLASRNLCAKTSTKLQTLEAQLAISSQQRSPSKVSNSPSVTSVSEDGIDDEKSCADSWATSMISELSQFKKEKSIEKLNKTENVKHLDLMDDFLEMEKLACSSNDSTANGAITNAGCTNNKSPEAVNADASGETSCKELQSGKQHDLSPPANHGSIVHPESDADKLLVMKLYSKLSMVLESMSKDADAHKILDDIKCAIQDAQDTLSDHSVNGVSEEVDGSEGKCNRQGHPENGSLTEGKDIAVPPGDKVTTETLQTMSQELAVAISQIHDFVMSLGKEARAVDNISSDAYGLSLKIDDFSVTYNKVLCSNVNLDDFIFGLSTVLAKASELRFNVLGFKSNEAEMNGPDCIDKVALPENKVNQNDSSGGRYQNGCAHISNPTSNPEDPDDGNLVSEYESKQASNISSEEFEELKLEKENMAMDLSRCTENLEMTKSQLHETEQLLAEAKSQLAAAQKSNSLAETQLKCMVESYRSLERRAGELETDVNLLSTKINTLENELQDEKRSHHDAFSRCKELEEQLQRNEKCSVCSAADNDLKNNQERELAAAAEKLAECQETIFLLGKKLKALHPQTDKIGSPYNERSQKGEGFREDEPTTSGMNLQDLDQADIDTAASGNGSQTGAESPMESFNIPCSPPNTEGNVLRSPVSSKHRSTMSSSLSTTPATTPEKHSRGFSRFFSSKGKNGV >KJB14416 pep chromosome:Graimondii2_0_v6:2:18073724:18079216:-1 gene:B456_002G124100 transcript:KJB14416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWPWKKKSSDKADKDAAAAAAEADAAAAALASAASQNDQNTYKKPKYVQISMESYSHLTGLENQVKIYEEQVQTLEDEIKDLNEKLSAANSEISGKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLQDLAVSKNKLCEKIKLELEAKIAYLDQELRKSAAENDAISRSLQERSNLLVKITEEKSQAETQIECLKGNIESCEREINSLKYEMHVVSKELEIRNEERNMSMRTAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLKRSPVRPSTPHTPAVTDFSLDNAQKFHKENEFLTERLLAMEEETKMLKEALAKRNSELLASRNLCAKTSTKLQTLEAQLAISSQQRSPSKVSNSPSVTSVSEDGIDDEKSCADSWATSMISELSQFKKEKSIEKLNKTENVKHLDLMDDFLEMEKLACSSNDSTANGAITNAGCTNNKSPEAVNADASGETSCKELQSGKQHDLSPPANHGSIVHPESDADKLLVMKLYSKLSMVLESMSKDADAHKILDDIKCAIQDAQDTLSDHSVNGVSEEVDGSEGKCNRQGHPENGSLTEGKDIAVPPGDKVTTETLQTMSQELAVAISQIHDFVMSLGKEARAVDNISSDAYGLSLKIDDFSVTYNKVLCSNVNLDDFIFGLSTVLAKASELRFNVLGFKSNEAEMNGPDCIDKVALPENKVNQNDSSGGRYQNGCAHISNPTSNPEDPDDGNLVSEYESKQASNISSEEFEELKLEKENMAMDLSRCTENLEMTKSQLHETEQLLAEAKSQLAAAQKSNSLAETQLKCMVESYRSLERRAGELETDVNLLSTKINTLENELQDEKRSHHDAFSRCKELEEQLQRNEKCSVCSAADNDLKNNQFSTVEVLVQVILPLKERELAAAAEKLAECQETIFLLGKKLKALHPQTDKIGSPYNERSQKGEGFREDEPTTSGMNLQDLDQADIDTAASGNGSQTGAESPMESFNIPCSPPNTEGNVLRSPVSSKHRSTMSSSLSTTPATTPEKHSRGFSRFFSSKGKNGV >KJB14414 pep chromosome:Graimondii2_0_v6:2:18073628:18078237:-1 gene:B456_002G124100 transcript:KJB14414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWPWKKKSSDKADKDAAAAAAEADAAAAALASAASQNDQNTYKKPKYVQISMESYSHLTGLENQVKIYEEQVQTLEDEIKDLNEKLSAANSEISGKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLQDLAVSKNKLCEKIKLELEAKIAYLDQELRKSAAENDAISRSLQERSNLLVKITEEKSQAETQIECLKGNIESCEREINSLKYEMHVVSKELEIRNEERNMSMRTAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLKRSPVRPSTPHTPAVTDFSLDNAQKFHKENEFLTERLLAMEEETKMLKEALAKRNSELLASRNLCAKTSTKLQTLEAQLAISSQQRSPSKVSNSPSVTSVSEDGIDDEKSCADSWATSMISELSQFKKEKSIEKLNKTENVKHLDLMDDFLEMEKLACSSNDSTANGAITNAGCTNNKSPEAVNADASGETSCKELQSGKQHDLSPPANHGSIVHPESDADKLLVMKLYSKLSMVLESMSKDADAHKILDDIKCAIQDAQDTLSDHSVNGVSEEVDGSEGKCNRQGHPENGSLTEGKDIAVPPGDKVTTETLQTMSQELAVAISQIHDFVMSLGKEARAVDNISSDAYGLSLKIDDFSVTYNKVLCSNVNLDDFIFGLSTVLAKASELRFNVLGFKSNEAEMNGPDCIDKVALPENKVNQNDSSGGRYQNGCAHISNPTSNPEDPDDGNLVSEYESKQASNISSEEFEELKLEKENMAMDLSRCTENLEMTKSQLHETEQLLAEAKSQLAAAQKSNSLAETQLKCMVESYRSLERRAGELETDVNLLSTKINTLENELQDEKRSHHDAFSRCKELEEQLQRNEKCSVCSAADNDLKNNQERELAAAAEKLAECQETIFLLGKKLKALHPQTDKIGSPYNERSQKGEGFREDEPTTSGMNLQDLDQADIDTAASGNGSQTGAESPMESFNIPCSPPNTEGNVLRSPVSSKHRSTMSSSLSTTPATTPEKHSRGFSRFFSSKGKNGV >KJB17113 pep chromosome:Graimondii2_0_v6:2:62556803:62559239:1 gene:B456_002G266100 transcript:KJB17113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGAGLHSKGSLVISIHFKYIFTLYKYPLNNKTCISSSPLCTAQNISQPLEKTSSSKATTPTHNTFHIVKCSFILQIHPPSTAETTINTPRHRQYYSVASSYPLYFHFSMLYQKRYPITYTMPVPDAVARHHIHPVGPNQCCSAVVQQIAAPVSTVWSVVRRFDNPQAYKHFVKSCHVIVGDGDVGTLREVHVISGLPAARSTERLEILDEESHVLSFSVIGGEHRLANYRSVTTLHPSTNGNGTVVVESYVVDVPPGNTEDDTCVFVDTIVRCNLQSLAQIAENLASRK >KJB17201 pep chromosome:Graimondii2_0_v6:2:60721265:60724366:1 gene:B456_002G243000 transcript:KJB17201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCMGSKLLNYRRNLLSVFSFLSSFSPFSFLQILCWISVLIKLIIKKGGKRRNFILGNFFSKKKTRKNPNFTSLTLSMEFWGAEVKSGQNFEVELEDDGSRILHLSQVAVGEGTGDNKKLKRKETICLYLKFKNEKFFVGTLSLEKCPQIALDLALHDKFELSHTRKNGSVYFTGYYVGKSQGSDTESEEDLLEPTINLVKSVPAASDPTTTEQVTIVSSDEDEEPSDEQEPCMLVNGENDSDDAGSDEDDGEEDSSDEDQNTPENAGLSRKRPAKSSMKTPAAPKKKAKLVTPQKTVHSGADSKKAGGHTTTPHPSKKAGKTSAAAAQATQTPTSSGSIPLQVHHHIFWR >KJB17202 pep chromosome:Graimondii2_0_v6:2:60721336:60724290:1 gene:B456_002G243000 transcript:KJB17202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGAEVKSGQNFEVELEDDGSRILHLSQVAVGEGTGDNKKLKRKETICLYLKFKNEKFFVGTLSLEKCPQIALDLALHDKFELSHTRKNGSVYFTGYYVGKSQDTESEEDLLEPTINLVKSVPAASDPTTTEQVTIVSSDEDEEPSDEQEPCMLVNGENDSDDAGSDEDDGEEDSSDEDQNTPENAGLSRKRPAKSSMKTPAAPKKKAKLVTPQKTVHSGADSKKAGGHTTTPHPSKKAGKTSAAAAQATQTPTSSGSIPLQVHHHIFWR >KJB12169 pep chromosome:Graimondii2_0_v6:2:242868:247513:-1 gene:B456_002G004400 transcript:KJB12169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGALMKHPDDFYPLLKLKMAARNAEKQIPSEPHWGFCFSMLHKVSRSFALVIQQLDTELRHAVCIFYLVLRALDTVEDDTSVAADVKVPILIDFYRHIYDPDWHFSCGTKEYKVLMDQFHHVSAAFLELEKGYQEAIEDITLRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHAYGSEDLAPESLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVKKLEDLKDEENSVKAVQCLNDMVTNALLHVDDCLKYMSALRDPAIFRFCAIPQIMAIGTLALCYNNIKVFRGVVKMRRVFQVDVHFISASFPGLTAKVIDRTKSMTDVYGAFYDFSYILKAKVDKNDPNAQKTVSRLDSILKTCRDSGVLNKRKSYIIENQSNYTPFVVVLLFIIFAIFLVNLNPNWPNN >KJB12170 pep chromosome:Graimondii2_0_v6:2:242868:247513:-1 gene:B456_002G004400 transcript:KJB12170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFHHVSAAFLELEKGYQEAIEDITLRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHAYGSEDLAPESLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVKKLEDLKDEENSVKAVQCLNDMVTNALLHVDDCLKYMSALRDPAIFRFCAIPQIMAIGTLALCYNNIKVFRGVVKMRRGLTAKVIDRTKSMTDVYGAFYDFSYILKAKVDKNDPNAQKTVSRLDSILKTCRDSGVLNKRKSYIIENQSNYTPFVVVLLFIIFAIFLVNLNPNWPNN >KJB12166 pep chromosome:Graimondii2_0_v6:2:242843:247560:-1 gene:B456_002G004400 transcript:KJB12166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGALMKHPDDFYPLLKLKMAARNAEKQIPSEPHWGFCFSMLHKVSRSFALVIQQLDTELRHAVCIFYLVLRALDTVEDDTSVAADVKVPILIDFYRHIYDPDWHFSCGTKEYKVLMDQFHHVSAAFLELEKGYQEAIEDITLRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHAYGSEDLAPESLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVKKLEDLKDEENSVKAVQCLNDMVTNALLHVDDCLKYMSALRDPAIFRFCAIPQIMAIGTLALCYNNIKVFRGVVKMRRGLTAKVIDRTKSMTDVYGAFYDFSYILKAKVDKNDPNAQKTVSRLDSILKTCRDSGVLNKRKSYIIENQSNYTPFVVVLLFIIFAIFLVNLNPNWPNN >KJB12171 pep chromosome:Graimondii2_0_v6:2:242868:247513:-1 gene:B456_002G004400 transcript:KJB12171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGALMKHPDDFYPLLKLKMAARNAEKQIPSEPHWGFCFSMLHKVSRSFALVIQQLDTELRHAVCIFYLVLRALDTVEDDTSVAADVKVPILIDFYRHIYDPDWHFSCGTKEYKVLMDQFHHVSAAFLELEKGLEAIEDITLRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHAYGSEDLAPESLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVKKLEDLKDEENSVKAVQCLNDMVTNALLHVDDCLKYMSALRDPAIFRFCAIPQIMAIGTLALCYNNIKVFRGVVKMRRGLTAKVIDRTKSMTDVYGAFYDFSYILKAKVDKNDPNAQKTVSRLDSILKTCRDSGVLNKRKSYIIENQSNYTPFVVVLLFIIFAIFLVNLNPNWPNN >KJB12168 pep chromosome:Graimondii2_0_v6:2:243098:245651:-1 gene:B456_002G004400 transcript:KJB12168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTGTFLVVQRNTKFSWISFITCLLLFWNSKKGYYQEAIEDITLRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHAYGSEDLAPESLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVKKLEDLKDEENSVKAVQCLNDMVTNALLHVDDCLKYMSALRDPAIFRFCAIPQIMAIGTLALCYNNIKVFRGVVKMRRGLTAKVIDRTKSMTDVYGAFYDFSYILKAKVDKNDPNAQKTVSRLDSILKTCRDSGVLNKRKSYIIENQSNYTPFVVVLLFIIFAIFLVNLNPNWPNN >KJB12167 pep chromosome:Graimondii2_0_v6:2:242868:245534:-1 gene:B456_002G004400 transcript:KJB12167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFHHVSAAFLELEKGYQEAIEDITLRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHAYGSEDLAPESLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVKKLEDLKDEENSVKAVQCLNDMVTNALLHVDDCLKYMSALRDPAIFRFCAIPQIMAIGTLALCYNNIKVFRGVVKMRRGLTAKVIDRTKSMTDVYGAFYDFSYILKAKVDKNDPNAQKTVSRLDSILKTCRDSGVLNKRKSYIIENQSNYTPFVVVLLFIIFAIFLVNLNPNWPNN >KJB15008 pep chromosome:Graimondii2_0_v6:2:31737433:31741118:-1 gene:B456_002G154600 transcript:KJB15008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEASPSTGPPASTDAPATMDPPATTDPPTTTDPPTSTEPPAGDVENVPEKIKDAKEAGPAFHCDLCDAELLFKITQALLPGLATACVDNTTGGVFRSPGSVAADLRKEMVEYLTQRTETYVAESVVLEGGPEAEAYDNPYDIIAFLIDEFAVSKRNLFSRVSALLLSERREDRIDDFAQQMEINGFWPVDKREAIVQTLLKNVDVKNEYHCSMKFETAEELSLHMPTCSFRVMTCDNEGCDDRFSANKSEKHDSTCPFKIIPCEQKCPDFIMRRDMDRHCITVCPMKLVNCPFFSVGCKAAVPHCKIDEHQSEELHYHILYILQGFHKGASEEVLKERVDQIEEMSAGRLAGAKNVRSLTSKVKDLDARLPPVVIETKKNEEETSETEAKPESVEASGTNEDAEKAAGTKEATNSEAAPASEATPSSKDSEEAKKTETENSKAKPLSQESPPLKKESEEASKNEVEVPEANPASQEVPPLKKDSEEACKTEIEDSEAKPPSQEVEPVKKDSEQALKTVAKDSETVAQSSEDSTTNKDTEEAATTENSVKGSEISTNED >KJB15007 pep chromosome:Graimondii2_0_v6:2:31737433:31740166:-1 gene:B456_002G154600 transcript:KJB15007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPATTDPPTTTDPPTSTEPPAGDVENVPEKIKDAKEAGPAFHCDLCDAELLFKITQALLPGLATACVDNTTGGVFRSPGSVAADLRKEMVEYLTQRTETYVAESVVLEGGPEAEAYDNPYDIIAFLIDEFAVSKRNLFSRVSALLLSERREDRIDDFAQQMEINGFWPVDKREAIVQTLLKNVDVKNEYHCSMKFETAEELSLHMPTCSFRVMTCDNEGCDDRFSANKSEKHDSTCPFKIIPCEQKCPDFIMRRDMDRHCITVCPMKLVNCPFFSVGCKAAVPHCKIDEHQSEELHYHILYILQGFHKGASEEVLKERVDQIEEMSAGRLAGAKNVRSLTSKVKDLDARLPPVVIETKKNEEETSETEAKPESVEASGTNEDAEKAAGTKEATNSEAAPASEATPSSKDSEEAKKTETENSKAKPLSQESPPLKKESEEASKNEVEVPEANPASQEVPPLKKDSEEACKTEIEDSEAKPPSQEVEPVKKDSEQALKTVAKDSETVAQSSEDSTTNKDTEEAATTENSVKGSEISTNED >KJB12113 pep chromosome:Graimondii2_0_v6:2:69636:71057:1 gene:B456_002G001100 transcript:KJB12113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPFFPTLFSCIKDLVVQQALFSTLEDWLVKHPKILQFSWENGQTPASSHRFLTLTVLSYISFTFVLSQLSRPSLSRPLLKSIAAVHNIFLLTLSFIMALGCLVSIFSQVPNFNTLVCFPRGTSPSGPLFFWAYIFYLSKIVEFMDTLLIILSGSMKRLSFLHVYHHSMVVIMCYICLDSAQSSVPMVLITNCVVHVVMYTYYLLCTLGMHPKWKKMVTDFQLVQFRLSFLIMAMLVFYHFTASGCSGILSWCFNGAFNVSLLYLFSDFHAKSYSTNAKVFKE >KJB12112 pep chromosome:Graimondii2_0_v6:2:63437:71025:1 gene:B456_002G001100 transcript:KJB12112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPFFPTLFSCIKDLVVQQALFSTLEDWLVKHPKILQFSWENGQTPASSHRFLTLTVLSYISFTFVLSQLSRPSVSRPLLKSIAAVHNIFLLTLSFIMALGCLVSIFSQVPNFNTLVCFPRGTSPSGPLFFWAYIFYLSKIVEFMDTLLIILSGSMKRLSFLHVYHHSMVVIMCYICLDSAQSSVPMVLVTNCVVHVVMYTYYLLCTLGMHPKWKKMVTDFQLVQFWLSFLIMAMLVFYHFTASGCSGILSWCFNAAFIVSLLYLFSDFHAKSYSTNAKVFKE >KJB16091 pep chromosome:Graimondii2_0_v6:2:56440771:56445518:-1 gene:B456_002G215100 transcript:KJB16091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVQKWREGMSSDNVKGLVLALSSSLFIGASFIVKKKGLKKAGASGIRAGVGGYSYLLEPLWWAGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILRERLHTFGILGCILCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYTALVLTAVFILIYQFVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGTNQLVYPQTWAFTLVVITCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDGQSPTQILTEMCGFVTILSGTFLLHKTKDMADGPGLSTSLSMRSLKHEEDDGFSEGIPLKRQDT >KJB16095 pep chromosome:Graimondii2_0_v6:2:56440924:56445501:-1 gene:B456_002G215100 transcript:KJB16095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVQKWREGMSSDNVKGLVLALSSSLFIGASFIVKKKGLKKAGASGIRAGVGGYSYLLEPLWWAGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILRERLHTFGILGCILCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYTALVLTAVFILIYQFVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGTNQLVYPQTWAFTLVVITCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKVLVCQHLCQ >KJB16098 pep chromosome:Graimondii2_0_v6:2:56442864:56445501:-1 gene:B456_002G215100 transcript:KJB16098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVQKWREGMSSDNVKGLVLALSSSLFIGASFIVKKKGLKKAGASGIRAGVGGYSYLLEPLWWAGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILRERLHTFGILGCILCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYTALVLTAVFILIYQFVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGTNQLVYPQTWAFTLVVITCVLTQMNYLNKVMNYLNECLQLPFLLLNNFEMALQRK >KJB16096 pep chromosome:Graimondii2_0_v6:2:56441315:56445095:-1 gene:B456_002G215100 transcript:KJB16096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVQKWREGMSSDNVKGLVLALSSSLFIGASFIVKKKGLKKAGASGIRAGVGGYSYLLEPLWWAGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILRERLHTFGILGCILCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYTALVLTAVFILIYQFVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGTNQLVYPQTWAFTLVVITCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDGQSPTQILTEMCGFVTILSGTFLLHKTKDMADGPGLSTSLSMRSLKHEEDDGFSEGIPLKRQDT >KJB16092 pep chromosome:Graimondii2_0_v6:2:56440786:56445501:-1 gene:B456_002G215100 transcript:KJB16092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVQKWREGMSSDNVKGLVLALSSSLFIGASFIVKKKGLKKAGASGIRAGVGGYSYLLEPLWWAGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILRERLHTFGILGCILCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYTALVLTAVFILIYQFVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGTNQLVYPQTWAFTLVVITCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKVLVCQHLCQ >KJB16094 pep chromosome:Graimondii2_0_v6:2:56440924:56445501:-1 gene:B456_002G215100 transcript:KJB16094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVQKWREGMSSDNVKGLVLALSSSLFIGASFIVKKKGLKKAGASGIRAGVGGYSYLLEPLWWAGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILRERLHTFGILGCILCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYTALVLTAVFILIYQFVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGTNQLVYPQTWAFTLVVITCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDGQSPTQILTEMCGFVTILSGTFLLHKTKDMADGPGLSTSLSMRSLKHEEDDGFSEGIPLKRQDT >KJB16097 pep chromosome:Graimondii2_0_v6:2:56443284:56445095:-1 gene:B456_002G215100 transcript:KJB16097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVQKWREGMSSDNVKGLVLALSSSLFIGASFIVKKKGLKKAGASGIRAGVGGYSYLLEPLWWAGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILRERLHTFGILGCILCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYTALVLTAVFILIYQFVPQYGQTHIMVYIGVCSLVGSISVCISTRLLVA >KJB16093 pep chromosome:Graimondii2_0_v6:2:56440924:56444580:-1 gene:B456_002G215100 transcript:KJB16093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILRERLHTFGILGCILCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYTALVLTAVFILIYQFVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGTNQLVYPQTWAFTLVVITCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDGQSPTQILTEMCGFVTILSGTFLLHKTKDMADGPGLSTSLSMRSLKHEEDDGFSEGIPLKRQDT >KJB15730 pep chromosome:Graimondii2_0_v6:2:52240869:52242976:1 gene:B456_002G193500 transcript:KJB15730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPFRGGALVCFIASLLFVASFCNADAKTVEVVGAGECADCAENNLEISQAFSGLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPVPVNPPVPIYKPPPVPVYKPPPVPVKPLPPPVPIYKPPPVEKPHPPPVPVYKPPPVPVYKKPCPPPVPVYKSPPVPVYKKPHPPPVPVYKKPHPPPVPVYKKPCPPPVPVYKSPPVPEPHPPPVPVHKPPPVPVYKKRVPPPVPIYKPPPVPVYNKPLPPPVPVYTKPLPPPVPTYKPKPLPPIPYKPLPPLPKIPPFPKKPCPPLPKLPPLPKIPPKYFHHHPPLPKLPPLPKIPPKYFHHHPKFGKWPPLPPFAPHHP >KJB15733 pep chromosome:Graimondii2_0_v6:2:52240937:52242874:1 gene:B456_002G193500 transcript:KJB15733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPFRGGALVCFIASLLFVASFCNADAKTVEVVGAGECADCAENNLEISQAFSGLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPVPVNPPVPIYKPPPVPVYKPPPVPVKPLPPPVPIYKPPPVEKPHPPPVPVYKPPPVPVYKKPCPPPVPVYKSPPVPVYKKPHPPPVPVYKKPHPPPVPVYKKPCPPPVPVYKSPPVPEPHPPPVPVHKPPPVPVYKKRVPPPVPIYNHALPFLSYPLFPRFLPSISTTTLPFLSYLLSLRFLPSISTTIPSSENGLLCHPLLPIILKLSCIVLRI >KJB15736 pep chromosome:Graimondii2_0_v6:2:52240937:52242874:1 gene:B456_002G193500 transcript:KJB15736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPFRGGALVCFIASLLFVASFCNADAKTVEVVGAGECADCAENNLEISQAFSGLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPFRYTRNESLLRFQSTSPLQFLYTTNHYLPRFQCIRSHFHHLFQPTNQNPSLPFLTSHSLHFPRSLHSLRSHALPFLSYPLFPRFLPSISTTTLPFLSYLLSLRFLPSISTTIPSSENGLLCHPLLPIILKLSCIVLRI >KJB15738 pep chromosome:Graimondii2_0_v6:2:52240937:52242874:1 gene:B456_002G193500 transcript:KJB15738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPFRGGALVCFIASLLFVASFCNADAKTVEVVGAGECADCAENNLEISQAFSGLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPVPVNPPVPIYKPPPVPVYKPPPVPVKPLPPPVPIYKPPPVEKPHPPPFQSIRNHIHLQFQYTRNHVLPQFQSINLLQFRNHILRQFQSISLLQFRYTRNESLLRFQSTSPLQFLYTTNHYLPRFQCIRSHFHHLFQPTNQNPSLPFLTSHSLHFPRSLHSLRSHALPFLSYPLFPRFLPSISTTTLPFLSYLLSLRFLPSISTTIPSSENGLLCHPLLPIILKLSCIVLRI >KJB15742 pep chromosome:Graimondii2_0_v6:2:52240937:52242874:1 gene:B456_002G193500 transcript:KJB15742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPFRGGALVCFIASLLFVASFCNADAKTVEVVGAGECADCAENNLEISQAFSGLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPVPVNPPVPIYKPPPVPVYKPPPVPVKPLPPPVPIYKPPPVEKPHPPPVPVYKPPPFQSINLLRFQYTRNRILLQFQSIRNHIHLQFQYTRNHVLPQFQSINLLQFRNHILRQFQSISLLQFRYTRNESLLRFQSTSPLQFLYTTNHYLPRFQCIRSHFHHLFQPTNQNPSLPFLTSHSLHFPRSLHSLRSHALPFLSYPLFPRFLPSISTTTLPFLSYLLSLRFLPSISTTIPSSENGLLCHPLLPIILKLSCIVLRI >KJB15740 pep chromosome:Graimondii2_0_v6:2:52240937:52242874:1 gene:B456_002G193500 transcript:KJB15740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPFRGGALVCFIASLLFVASFCNADAKTVEVVGAGECADCAENNLEISQAFSGLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPVPVNPPVPIYKPPPVPVYKPPPVPKPHPPPVPVYKKPHPPPVPVYKKPCPPPVPVYKSPPVPEPHPPPVPVHKPPPVPVYKKRVPPPVPIYKPPPVPVYNKPLPPPVPVYTKPLPPPVPTYKPKPLPPIPYKPLPPLPKIPPFPKKPCPPLPKLPPLPKIPPKYFHHHPPLPKLPPLPKIPPKYFHHHPKFGKWPPLPPFAPHHP >KJB15746 pep chromosome:Graimondii2_0_v6:2:52240937:52242893:1 gene:B456_002G193500 transcript:KJB15746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPFRGGALVCFIASLLFVASFCNADAKTVEVVGAGECADCAENNLEISQAFSGLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPVPVNPPVPIYKPPPVPVYKPPPVPVKPLPPPVPIYKPPPVEKPHPPPVPVYKPPPVPKPHPPPVPVYKKPHPPPVPVYKKPCPPPVPVYKSPPVPEPHPPPVPVHKPPPVPVYKKRVPPPVPIYKPPPVPVYNKPLPPPVPVYTKPLPPPVPTYKPKPLPPIPYKPLPPLPKIPPFPKKPCPPLPKLPPLPKIPPKYFHHHPPLPKLPPLPKIPPKYFHHHPKFGKWPPLPPFAPHHP >KJB15731 pep chromosome:Graimondii2_0_v6:2:52241147:52242634:1 gene:B456_002G193500 transcript:KJB15731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLKQSRLSGLVSVQIVQRITWRLARLFQVRLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPVPVNPPVPIYKPPPVPVYKPPPVPVKPLPPPVPIYKPPPVEKPHPPPVPVYKPPPVPVYKKPCPPPVPVYKSPPVPVYKKPHPPPVPVYKKPHPPPVPVYKKPCPPPVPVYKSPPVPEPHPPPVPVHKPPPVPVYKKRVPPPVPIYKPPPVPVYNKPLPPPVPVYTKPLPPPVPTYKPKPLPPIPYKPLPPLPKIPPFPKKPCPPLPKLPPLPKIPPKYFHHHPPLPKLPPLPKIPPKYFHHHPKFGKWPPLPPFAPHHP >KJB15745 pep chromosome:Graimondii2_0_v6:2:52240937:52242874:1 gene:B456_002G193500 transcript:KJB15745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPFRGGALVCFIASLLFVASFCNADAKTVEVVGAGECADCAENNLEISQAFSGLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPVPVNPPVPIYKPPPVPVYKPPPVPVKPLPPPVPIYKPPPVEKPHPPPVPVYKPPPVPYTRNRILLQFQSIRNHIHLQFQYTRNHVLPQFQSINLLQFRNHILRQFQSISLLQFRYTRNESLLRFQSTSPLQFLYTTNHYLPRFQCIRSHFHHLFQPTNQNPSLPFLTSHSLHFPRSLHSLRSHALPFLSYPLFPRFLPSISTTTLPFLSYLLSLRFLPSISTTIPSSENGLLCHPLLPIILKLSCIVLRI >KJB15739 pep chromosome:Graimondii2_0_v6:2:52240937:52242874:1 gene:B456_002G193500 transcript:KJB15739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPFRGGALVCFIASLLFVASFCNADAKTVEVVGAGECADCAENNLEISQAFSGLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPVPVNPPVPIYKPPPVPVYKPPPFRYTRNESLLRFQSTSPLQFLYTTNHYLPRFQCIRSHFHHLFQPTNQNPSLPFLTSHSLHFPRSLHSLRSHALPFLSYPLFPRFLPSISTTTLPFLSYLLSLRFLPSISTTIPSSENGLLCHPLLPIILKLSCIVLRI >KJB15741 pep chromosome:Graimondii2_0_v6:2:52240937:52242874:1 gene:B456_002G193500 transcript:KJB15741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPFRGGALVCFIASLLFVASFCNADAKTVEVVGAGECADCAENNLEISQAFSGLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPVPVNPPVPIYKPPPVPVYKPPPVPVKPLPPPVPIYKPPPVEKPHPPPVPVYKPPPVPSINLLRFQYTRNRILLQFQSIRNHIHLQFQYTRNHVLPQFQSINLLQFRNHILRQFQSISLLQFRYTRNESLLRFQSTSPLQFLYTTNHYLPRFQCIRSHFHHLFQPTNQNPSLPFLTSHSLHFPRSLHSLRSHALPFLSYPLFPRFLPSISTTTLPFLSYLLSLRFLPSISTTIPSSENGLLCHPLLPIILKLSCIVLRI >KJB15732 pep chromosome:Graimondii2_0_v6:2:52240937:52242874:1 gene:B456_002G193500 transcript:KJB15732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPFRGGALVCFIASLLFVASFCNADAKTVEVVGAGECADCAENNLEISQAFSGLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPVPVNPPVPIYKPPPVPVYKPPPVPVKPLPPPVPIYKPPPVEKPHPPPVPSIRNHIHLQFQYTRNHVLPQFQSINLLQFRNHILRQFQSISLLQFRYTRNESLLRFQSTSPLQFLYTTNHYLPRFQCIRSHFHHLFQPTNQNPSLPFLTSHSLHFPRSLHSLRSHALPFLSYPLFPRFLPSISTTTLPFLSYLLSLRFLPSISTTIPSSENGLLCHPLLPIILKLSCIVLRI >KJB15743 pep chromosome:Graimondii2_0_v6:2:52240937:52242874:1 gene:B456_002G193500 transcript:KJB15743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPFRGGALVCFIASLLFVASFCNADAKTVEVVGAGECADCAENNLEISQAFSGLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPVPVNPPVPIYKPPPVPVYKPPPVPVKPLPPPVPIYKPPPVEKPHPPPVPVYKPPPVPVYKKPCPPPVPVYKSPPVPKPCPPPVPVYKSPPVPEPHPPPVPVHKPPPVPVYKKRVPPPVPIYKPPPVPVYNKPLPPPVPVYTKPLPPPVPTYKPKPLPPIPYKPLPPLPKIPPFPKKPCPPLPKLPPLPKIPPKYFHHHPPLPKLPPLPKIPPKYFHHHPKFGKWPPLPPFAPHHP >KJB15737 pep chromosome:Graimondii2_0_v6:2:52240937:52242874:1 gene:B456_002G193500 transcript:KJB15737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPFRGGALVCFIASLLFVASFCNADAKTVEVVGAGECADCAENNLEISQAFSGLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPFQSINLLRFQYTRNRILLQFQSIRNHIHLQFQYTRNHVLPQFQSINLLQFRNHILRQFQSISLLQFRYTRNESLLRFQSTSPLQFLYTTNHYLPRFQCIRSHFHHLFQPTNQNPSLPFLTSHSLHFPRSLHSLRSHALPFLSYPLFPRFLPSISTTTLPFLSYLLSLRFLPSISTTIPSSENGLLCHPLLPIILKLSCIVLRI >KJB15734 pep chromosome:Graimondii2_0_v6:2:52240937:52242874:1 gene:B456_002G193500 transcript:KJB15734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPFRGGALVCFIASLLFVASFCNADAKTVEVVGAGECADCAENNLEISQAFSGLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPVPVNPPVPIYKPPPVPVYKPPPVPVKPLPPPFQSINLLQFRNHILRQFQSISLLQFRYTRNESLLRFQSTSPLQFLYTTNHYLPRFQCIRSHFHHLFQPTNQNPSLPFLTSHSLHFPRSLHSLRSHALPFLSYPLFPRFLPSISTTTLPFLSYLLSLRFLPSISTTIPSSENGLLCHPLLPIILKLSCIVLRI >KJB15744 pep chromosome:Graimondii2_0_v6:2:52240937:52242874:1 gene:B456_002G193500 transcript:KJB15744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPFRGGALVCFIASLLFVASFCNADAKTVEVVGAGECADCAENNLEISQAFSGLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPVPVNPPVPIYKPPPVPVYKPPPVPVKPLPPPVPIYKPPPVEKPHPPPFQSINLLQFRNHILRQFQSISLLQFRYTRNESLLRFQSTSPLQFLYTTNHYLPRFQCIRSHFHHLFQPTNQNPSLPFLTSHSLHFPRSLHSLRSHALPFLSYPLFPRFLPSISTTTLPFLSYLLSLRFLPSISTTIPSSENGLLCHPLLPIILKLSCIVLRI >KJB15735 pep chromosome:Graimondii2_0_v6:2:52240937:52242874:1 gene:B456_002G193500 transcript:KJB15735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPFRGGALVCFIASLLFVASFCNADAKTVEVVGAGECADCAENNLEISQAFSGLRVSIDCKPENGKNFKTRGSGELDKQGNFKVFVPEDLVENGELKEECYAQLHSVSAAPCPAHDGLESAKLVLKSRSDGKHEFGLKGKLRFSPLTCASAFFWPHFKFPPLPKWNHPPLPKFPLPPFKGFHHHYPIIPPIYKKPLPPPSPVYKPPPVPVNPPVPIYKPPPVPSIRNHIHLQFQYTRNHVLPQFQSINLLQFRNHILRQFQSISLLQFRYTRNESLLRFQSTSPLQFLYTTNHYLPRFQCIRSHFHHLFQPTNQNPSLPFLTSHSLHFPRSLHSLRSHALPFLSYPLFPRFLPSISTTTLPFLSYLLSLRFLPSISTTIPSSENGLLCHPLLPIILKLSCIVLRI >KJB15895 pep chromosome:Graimondii2_0_v6:2:54371180:54373122:1 gene:B456_002G202100 transcript:KJB15895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKMGVNSKAEAARARKSATEAERKDREAREKEEQYWREAEGPKSKATKKREEEAEKRAEAAARKAEARRQAEMEEKEIEKALKKPDKKANRVAIPVPKVTEAELQKRKEEEQAEMAKKAEEAKKRQSRTAAEEEYERMVLVTNMNRDDSLIEARSVEEAIAQMSVADTLPPDRHPERRLKASFKAFEEAELPRLKEEKPGLTHNQYKDMIWKLWKKSPDNPLNQVAE >KJB14411 pep chromosome:Graimondii2_0_v6:2:17964397:17965862:1 gene:B456_002G123900 transcript:KJB14411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKITNYFIFACLVAATGGSLFGYDLGVSGGVTSMDDFLKEFFPKIYRRKQAHLHETDYCKYDNQLLTLFTCSLYFAGLLSTFGASYVTRNKGRRASILVGAVSFFLGGAIIAGAVNVTMLITGRILLDAGI >KJB14410 pep chromosome:Graimondii2_0_v6:2:17965324:17965620:1 gene:B456_002G123900 transcript:KJB14410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFLKEFFPKIYRRKQAHLHETDYCKYDNQLLTLFTCSLYFAGLLSTFGASYVTRNKGRRASILVGAVSFFLGGAIIAGAVNVTMLITGRILLDAGI >KJB14412 pep chromosome:Graimondii2_0_v6:2:17963641:17965862:1 gene:B456_002G123900 transcript:KJB14412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFLKEFFPKIYRRKQAHLHETDYCKYDNQLLTLFTCSLYFAGLLSTFGASYVTRNKGRRASILVGAVSFFLGGAIIAGIQHLLMHLEIWMILLQWCTCLQC >KJB16237 pep chromosome:Graimondii2_0_v6:2:57363035:57367577:1 gene:B456_002G219800 transcript:KJB16237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSTELTRRMAIYEQFHHISRWADTFNGDNSPNIGSSTIVPADVRLKNKAECIACEQVEPSISDQETNKPSDKIQRRLAQNREAARKSRMRKKAYVQQLESSRLKLAQLEQELERARQQGIHIAGASEAGYFELSGTVNSGITSFEMEYRHWVEEQNRLICELRTAVQAHITDIELRILVESGLNHYYNLFRMKADAAKADVFYLISGIWRTSAERFFHWIGGFRPSALLNVVVPQIEPLTDQQHLEVCNLRQSSQEAEDALSQGVEKLQQSLAESVASDLCSGNYRAQMVAAIDKLEALENFVSQADHLRQQTLQQMARILTTRQAARGLLAMGEYFHRLRALSSLSAARA >KJB16240 pep chromosome:Graimondii2_0_v6:2:57362585:57367605:1 gene:B456_002G219800 transcript:KJB16240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSTELTRRMAIYEQFHHISRWADTFNGDNSPNIGSSTIVPADVRLKNKAECIACEQVEPSISDQETNKPSDKIQRRLAQNREAARKSRMRKKAYVQQLESSRLKLAQLEQELERARQQGIHIAGASEAGYFELSGTVNSGITSFEMEYRHWVEEQNRLICELRTAVQAHITDIELRILVESGLNHYYNLFRMKADAAKADVFYLISGIWRTSAERFFHWIGGFRPSALLNVVVPQIEPLTDQQHLEVCNLRQSSQEAEDALSQGVEKLQQSLAESVASDLCSGNYRAQMVAAIDKLEALENFVSQADHLRQQTLQQMARILTTRQAARGLLAMGEYFHRLRALSSLSAARA >KJB16238 pep chromosome:Graimondii2_0_v6:2:57362585:57367605:1 gene:B456_002G219800 transcript:KJB16238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSTELTRRMAIYEQFHHISRWADTFNGDNSPNIGSSTIVPADVRLKNKAECIACEQVEPSISDQETNKPSDKIQRRLAQNREAARKSRMRKKAYVQQLESSRLKLAQLEQELERARQQGIHIAGASEAGYFELSGTVNSGITSFEMEYRHWVEEQNRLICELRTAVQAHITDIELRILVESGLNHYYNLFRMKADAAKADVFYLISGIWRTSAERFFHWIGGFRPSALLNVVVPQIEPLTDQQHLEVCNLRQSSQEAEDALSQGVEKLQQSLAESVASDLCSGNYRAQMVAAIDKLEALENFVSQADHLRQQTLQQMARILTTRQAARGLLAMGEYFHRLRALSSLSAARA >KJB16239 pep chromosome:Graimondii2_0_v6:2:57363508:57367605:1 gene:B456_002G219800 transcript:KJB16239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSTELTRRMAIYEQFHHISRWADTFNGDNSPNIGSSTIVPADVRLKNKAECIACEQVEPSISDQETNKPSDKIQRRLAQNREAARKSRMRKKAYVQQLESSRLKLAQLEQELERARQQGIHIAGASEAGYFELSGTVNSGITSFEMEYRHWVEEQNRLICELRTAVQAHITDIELRILVESGLNHYYNLFRMKADAAKADVFYLISGIWRTSAERFFHWIGGFRPSALLNVVVPQIEPLTDQQHLEVCNLRQSSQEAEDALSQGVEKLQQSLAESVASDLCSGNYRAQMVAAIDKLEALENFVSQADHLRQQTLQQMARILTTRQAARGLLAMGEYFHRLRALSSLSAARA >KJB17136 pep chromosome:Graimondii2_0_v6:2:62632096:62633234:1 gene:B456_002G267300 transcript:KJB17136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQQLSKIMESKKNEAMVSNPEMQNKAIVDTLYKALAHGDTSTVVKLLTTDLEWWFHGPPRCHHMMRMLTGESSHREFRFEPRSIEVVGDCVIAEGWEGAQVYWVHVWTLKDGLITQFREYFNTWLTVRDVKPPRWEIGSDNHTLWQSQPRDLARRSLPGLLLAI >KJB15923 pep chromosome:Graimondii2_0_v6:2:54702713:54703327:-1 gene:B456_002G204300 transcript:KJB15923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGYHNRSNSFPSRAHPLASKVDEHLSRLASSESASTSSSLNQKLGRLHDLHDCIEKLLLLPLTQQTLSHEQQGEYVDELLNGSLGLLDVFTTAKDVILQVKERTRGATKGFANEVRKYLSSKKAAKRAILKTLKNLKHEESTALNETYATVSILREVQAVTLSMLESLFSFTFCLYKTPVRKILNTRTKLE >KJB14283 pep chromosome:Graimondii2_0_v6:2:16713776:16714290:-1 gene:B456_002G117600 transcript:KJB14283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQMLQLVIRVLSERLHLWACIVGQPYYTSTNRRHRGRSTTPRNQQQENFFINGSFSLSGSELRELVGNKHPSLRTRLMVRKDLLQEGWLEISCKNISPAQFIMTIFRPFF >KJB12666 pep chromosome:Graimondii2_0_v6:2:2219435:2223107:1 gene:B456_002G030100 transcript:KJB12666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVNDDEDLHFRKAEFDPEDCPSDCSRPCENVCPANAISFERENSTMEVPFGSYQKAKPKGGVITERCYGCGRCFPVCPYDKIREITYVRDAMATAELLKTNDVDAVEIHTSGRQTNLFKELWDDLANSVKYLRLVAVSLPDTGDATISTMNQMYAIMEPHLSCFNLWQLDGRPMSGDIGRGATRESIAFAVRVAASSERPPGFLQLAGGTNVHTVDGLKKRGLFRTHITCAEPNHLQSLIGGIAYGGYARKIVGRVLSSMGSENGVTGIECHAEHLLKALKEALHLVGSVKSYNHPDGMQR >KJB12664 pep chromosome:Graimondii2_0_v6:2:2219149:2223107:1 gene:B456_002G030100 transcript:KJB12664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFYCSSAIHTQHQVNVSFGSKNPLQNVKNLIKSFGIPSKSCSPHVSLQQGNWVKLICGASFEDVVDIRNLSLVYTLAGVDCIDCAADPSVINAVNEGIETAREIVSIRRPWVMISVNDDEDLHFRKAEFDPEDCPSDCSRPCENVCPANAISFERENSTMEVPFGSYQKAKPKMQGGVITERCYGCGRCFPVCPYDKIREITYVRDAMATAELLKTNDVDAVEIHTSGRQTNLFKELWDDLANSVKYLRLVAVSLPDTGDATISTMNQMYAIMEPHLSCFNLWQLDGRPMSGDIGRGATRESIAFAVRVAASSERPPGFLQLAGGTNVHTVDGLKKRGLFRTHITCAEPNHLQSLIGGIAYGGYARKIVGRVLSSMGSENGVTGIECHAEHLLKALKEALHLVGSVKSYNHPDGMQR >KJB12665 pep chromosome:Graimondii2_0_v6:2:2219149:2223107:1 gene:B456_002G030100 transcript:KJB12665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFYCSSAIHTQHQVNVSFGSKNPLQNVKNLIKSFGIPSKSCSPHVSLQQGNWVKLICGASFEDVVDIRNLSLVYTLAGGKDAKLIIGRISLLVPALCVSRIFSLFDCIDCAADPSVINAVNEGIETAREIVSIRRPWVMISVNDDEDLHFRKAEFDPEDCPSDCSRPCENVCPANAISFERENSTMEVPFGSYQKAKPKMQGGVITERCYGCGRCFPVCPYDKIREITYVRDAMATAELLKTNDVDAVEIHTSGRQTNLFKELWDDLANSVKYLRLVAVSLPDTGDATISTMNQMYAIMEPHLSCFNLWQLDGRPMSGDIGRGATRESIAFAVRVAASSERPPGFLQLAGGTNVHTVDGLKKRGLFRTHITCAEPNHLQSLIGGIAYGGYARKIVGRVLSSMGSENGVTGIECHAEHLLKALKEALHLVGSVKSYNHPDGMQR >KJB12668 pep chromosome:Graimondii2_0_v6:2:2220115:2224934:1 gene:B456_002G030100 transcript:KJB12668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFYLFIFTVDCIDCAADPSVINAVNEGIETAREIVSIRRPWVMISVNDDEDLHFRKAEFDPEDCPSDCSRPCENVCPANAISFERENSTMEVPFGSYQKAKPKGGVITERCYGCGRCFPVCPYDKIREITYVRDAMATAELLKTNDVDAVEIHTSGRQTNLFKELWDDLANSVKYLRLVAVSLPDTGDATISTMNQMYAIMEPHLSCFNLWQLDGRPMSGDIGRGATRESIAFAVRVAASSERPPGFLQLAGGTNVHTVDGLKKRGLFRTHITCAEPNHLQSLIGGIAYGGYARKIVGRVLSSMGSENGVTGIECHAEHLLKALKEALHLVGSVKSYNHPDGMQR >KJB12667 pep chromosome:Graimondii2_0_v6:2:2220115:2223031:1 gene:B456_002G030100 transcript:KJB12667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFYLFIFTVDCIDCAADPSVINAVNEGIETAREIVSIRRPWVMISVNDDEDLHFRKAEFDPEDCPSDCSRPCENVCPANAISFERENSTMEVPFGSYQKAKPKVRFKMQGGVITERCYGCGRCFPVCPYDKIREITYVRDAMATAELLKTNDVDAVEIHTSGRQTNLFKELWDDLANSVKYLRLVAVSLPDTGDATISTMNQMYAIMEPHLSCFNLWQLDGRPMSGDIGRGATRESIAFAVRVAASSERPPGFLQLAGGTNVHTVDGLKKRGLFRTHITCAEPNHLQSLIGGIAYGGYARKIVGRVLSSMGSENGVTGIECHAEHLLKALKEALHLVGSVKSYNHPDGMQR >KJB11904 pep chromosome:Graimondii2_0_v6:2:4781799:4784147:1 gene:B456_002G054100 transcript:KJB11904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEEAAGPPGPKVLRLLIFVGAGFLFTIGINKWREIEQKQAQQQQRIHQQPKILSSADAATKPIE >KJB11905 pep chromosome:Graimondii2_0_v6:2:4781799:4784147:1 gene:B456_002G054100 transcript:KJB11905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEEAAGPPGPKVLRLLIFVGAGFLFTIGINKWREIEQKQAQQQQRIHQQPKILSSADAATKPIE >KJB11907 pep chromosome:Graimondii2_0_v6:2:4781845:4783190:1 gene:B456_002G054100 transcript:KJB11907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEEAAGPPGPKVLRLLIFVGAGFLFTIGINKWREIEQKQAQQQQRIHQQPKILSSADAATKPIE >KJB11906 pep chromosome:Graimondii2_0_v6:2:4781792:4784147:1 gene:B456_002G054100 transcript:KJB11906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEEAAGPPGPKVLRLLIFVGAGFLFTIGINKWREIEQKQAQQQQRIHQQPKILSSADAATKPIE >KJB11903 pep chromosome:Graimondii2_0_v6:2:4781845:4784030:1 gene:B456_002G054100 transcript:KJB11903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEEAAGPPGPKVLRLLIFVGAGFLFTIGINKWREIEQKQAQQQQRIHQQPKILSSADAATKPIE >KJB13849 pep chromosome:Graimondii2_0_v6:2:12356800:12359960:-1 gene:B456_002G097700 transcript:KJB13849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLLPLSFTFLLFIFMVFKLWMRSKIKEAPKTLPPAPWKLPIIGHLHLLIFVLPHQRLVELAERHGFVMHLQLGELSHVVVSSSEPAQEVMKTHNINFANSHFLLGAEIVLYNLSDIAFAPYGSCWRQLRKVCTLELLSVKRVSSLIRSIFSKTGKEINLGEMLCNLSYNITLRTSFAGRCKKHEAFISFLKKFVEAMAGFNIADLFPSIKFLPDLSGMRSELERFHHDIDTMLESIIQEHRDSSANSV >KJB17189 pep chromosome:Graimondii2_0_v6:2:62755424:62758601:1 gene:B456_002G268900 transcript:KJB17189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLAPLVFVIFEIRCFNETHFRFRHHCFVLCFKSIDLDLFVLFVCFLCTFASGIQKRISSSPNDMKLIVFSFIRISLAYTELKGIYEVMAIFVKLKLNSADSLLMLYVVMHSLMA >KJB17188 pep chromosome:Graimondii2_0_v6:2:62755424:62758601:1 gene:B456_002G268900 transcript:KJB17188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLAPLVFVIFEIRCFNETHFSGIQKRISSSPNDMKLIVFSFIRISLAYTELKGIYEVMAIFVKLKLNSADSLLMLYVVMHSLMA >KJB14801 pep chromosome:Graimondii2_0_v6:2:25935280:25942276:1 gene:B456_002G143300 transcript:KJB14801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKNDPIESISNSIQFVKEAFLPLELGIKKAAKDVESCFGVSNDKGKLVELVPQLNVSDRNDKVQIFGMKKSNGNFGSILNNGQCCLGSEERKKGTSVKVPIKAFIGMFSPGNGKNNEKVEAVRKGLKEKDVDRDEGSCMNCFQFSATWSVLVNGFVQAIPSSFNTGRKRIQKMGDKDKGCRHSSTHDMKSKASSECKHREAKAQFSAKNEGLEHSDGKHVECFIGLILDQLTQNLQKFDQLLQESNRKHCECPQTPSPPSQFDYFKVVASIWEGQKADVNGFLGNLKFARVGGVPSGMVGVASHVNEEGDDDVSTERREESTGNSPQKLASGILSIPLSNVERLRSTLSTVSLTELVELLPLLGRSSQDHPDKKKLFSVQDFFRYTESEGRRFFEELDRDGDGHVTLEDLEVAMRKRKLPQRYAREFMRRTRSHLFSKSFNWKQFLSLMEQKEPTILRAYTSLCLSKSGTLQKSEILASLKNAGLPANEDNAVAMMRFLNADTEESISYGHFRNFMLLLPSDRLLQDDPRNIWFEAATVVAVAPPVEIHAGSVLKSALAGGLSCALSTSLLHPVDTIKTRVQASTLTFPEIISKLPQIGVRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLINVAPNLPDIQVQSMASFCSTLLGTAVRIPCEVLKQRLQAGLFDNVGEAIVGTWNQDGLKGFFRGTGATLCREVPFYVAGMGLYAESKKLAQQLLQRELEPWETIAVGAVSGGLAAVVTTPFDVMKTRMMTAPGGRPISMSVVAFSILRHEGPLGLFKGAVPRFFWIAPLGAMNFAGYELAKKAMVKNEEDQLSQKKLAKI >KJB14800 pep chromosome:Graimondii2_0_v6:2:25935762:25942276:1 gene:B456_002G143300 transcript:KJB14800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKNDPIESISNSIQFVKEAFLPLELGIKKAAKDVESCFGVSNDKGKLVELVPQLNVSDRNDKVQIFGMKKSNGNFGSILNNGQCCLGSEERKKGTSVKVPIKAFIGMFSPGNGKNNEKVEAVRKGLKEKDVDRDEGSCMNCFQFSATWSVLVNGFVQAIPSSFNTGRKRIQKMGDKDKGCRHSSTHDMKSKASSECKHREAKAQFSAKNEGLEHSDGKHVECFIGLILDQLTQNLQKFDQLLQESNRKHCECPQTPSPPSQFDYFKVVASIWEGQKADVNGFLGNLKFARVGGVPSGMVGVASHVNEEGDDDVSTERREESTGNSPQKLASGILSIPLSNVERLRSTLSTVSLTELVELLPLLGRSSQDHPDKKKLFSVQDFFRYTESEGRRFFEELDRDGDGHVTLEDLEVAMRKRKLPQRYAREFMRRTRSHLFSKSFNWKQFLSLMEQKEPTILRAYTSLCLSKSGTLQKSEILASLKNAGLPANEDNAVAMMRFLNADTEESISYGHFRNFMLLLPSDRLLQDDPRNIWFEAATVVAVAPPVEIHAGSVLKSALAGGLSCALSTSLLHPVDTIKTRVQASTLTFPEIISKLPQIGVRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLINVAPNLPDIQVQSMASFCSTLLGTAVRIPCEVLKQRLQAGLFDNVGEAIVGTWNQDGLKGFFRGTGATLCREVPFYVAGMGLYAESKKLAQQLLQRELEPWETIAVGAVSGGLAAVVTTPFDVMKTRMMTAPGGRPISMSVVAFSILRHEGPLGLFKGAVPRFFWIAPLGAMNFAGYELAKKAMVKNEEDQLSQKKLAKI >KJB13512 pep chromosome:Graimondii2_0_v6:2:9562556:9567210:1 gene:B456_002G079300 transcript:KJB13512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTICIWNVWSRGQKKARVFSFHNAAVKDVKWSQQGSFVLSCGYDCSSRLIDVEKGLESLIFRDDQVVGVIKFHPDDSNLFLSGGSKGRLRLWDVRTGKVVHEYIRGLGPILDVEFSTDGKQFVSSSDVSGSNISENSIIVWDISRQVPLSNEVYAEAYTCPCVRYHPFDPYFVAQSNGNYIAIFSSKPPFKLDKYKRYESHGVSGFPIKCNFSLDGEKLASGSSDGSIYLFNSRSSVLVKKIKAYEEACVDIAFHPILSNVIASCSWNGDVSVFE >KJB13513 pep chromosome:Graimondii2_0_v6:2:9562556:9567210:1 gene:B456_002G079300 transcript:KJB13513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHKPKGRAQLSQIPGKLSVALHGHAKAVNAVHWSPTHVHLLASAGMDQTICIWNVWSRGQKKARVFSFHNAAVKDVKWSQQGSFVLSCGYDCSSRLIDVEKGLESLIFRDDQVVGVIKFHPDDSNLFLSGGSKGRLRLWDVRTGKVVHEYIRGLGPILDVEFSTDGKQFVSSSDVSGSNISENSIIVWDISRQVPLSNEVYAEAYTCPCVRYHPFDPYFVAQSNGNYIAIFSSKPPFKLDKYKRYESHGVSGFPIKCNFSLDGEKLASGSSDGSIYLFNSRSSVLVKKIKAYEEACVDIAFHPILSNVIASCSWNGDVSVFE >KJB13515 pep chromosome:Graimondii2_0_v6:2:9562556:9567210:1 gene:B456_002G079300 transcript:KJB13515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMQKLLMLFIGHRLMFTVHLLASAGMDQTICIWNVWSRGQKKARVFSFHNAAVKDVKWSQQGSFVLSCGYDCSSRLIDVEKGLESLIFRDDQVVGVIKFHPDDSNLFLSGGSKGRLRLWDVRTGKVVHEYIRGLGPILDVEFSTDGKQFVSSSDVSGSNISENSIIVWDISRQVPLSNEVYAEAYTCPCVRYHPFDPYFVAQSNGNYIAIFSSKPPFKLDKYKRYESHGVSGFPIKCNFSLDGEKLASGSSDGSIYLFNSRSSVLVKKIKAYEEACVDIAFHPILSNVIASCSWNGDVSVFE >KJB13510 pep chromosome:Graimondii2_0_v6:2:9562530:9567218:1 gene:B456_002G079300 transcript:KJB13510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLFKAYSNTSDDEPEPEPDPEPKPVNHHHIPFRPSKRPKSEYLFPTLDLQKREEAPLSGRYISKRERALAGAVSQAHEPNPIHRDHHVINQSVLGSISELDLPHDVLSSMRHKPKGRAQLSQIPGKLSVALHGHAKAVNAVHWSPTHVHLLASAGMDQTICIWNVWSRGQKKARVFSFHNAAVKDVKWSQQGSFVLSCGYDCSSRLIDVEKGLESLIFRDDQVVGVIKFHPDDSNLFLSGGSKGRLRLWDVRTGKVVHEYIRGLGPILDVEFSTDGKQFVSSSDVSGSNISENSIIVWDISRQVPLSNEVYAEAYTCPCVRYHPFDPYFVAQSNGNYIAIFSSKPPFKLDKYKRYESHGVSGFPIKCNFSLDGEKLASGSSDGSIYLFNSRSSVLVKKIKAYEEACVDIAFHPILSNVIASCSWNGDVSVFE >KJB13514 pep chromosome:Graimondii2_0_v6:2:9562556:9567210:1 gene:B456_002G079300 transcript:KJB13514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLFKAYSNTSDDEPEPEPDPEPKPVNHHHIPFRPSKRPKSEYLFPTLDLQKREEAPLSGRYISKRERALAGAVSQAHEPNPIHRDHHVINQSVLGSISELDLPHDVLSSMRHKPKGRAQLSQIPGKLSVALHGHAKAVNAVHWSPTHGFTVHLLASAGMDQTICIWNVWSRGQKKARVFSFHNAAVKDVKWSQQGSFVLSCGYDCSSRLIDVEKGLESLIFRDDQVVGVIKFHPDDSNLFLSGGSKGRLRLWDVRTGKVVHEYIRGLGPILDVEFSTDGKQFVSSSDVSGSNISENSIIVWDISRQVPLSNEVYAEAYTCPCVRYHPFDPYFVAQSNGNYIAIFSSKPPFKLDKYKRYESHGVSGFPIKCNFSLDGEKLASGSSDGSIYLFNSRSSVLVKKIKAYEEACVDIAFHPILSNVIASCSWNGDVSVFE >KJB13516 pep chromosome:Graimondii2_0_v6:2:9562556:9567210:1 gene:B456_002G079300 transcript:KJB13516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMQKLLMLFIGHRLMFTVHLLASAGMDQTICIWNVWSRGQKKARVFSFHNAAVKDVKWSQQGSFVLSCGYDCSSRLIDVEKGLESLIFRDDQVVGVIKFHPDDSNLFLSGGSKGRLRLWDVRTGKVVHEYIRGLGPILDVEFSTDGKQFVSSSDVSGSNISENSIIVWDISRQVPLSNEVYAEAYTCPCVRYHPFDPYFVAQSNGNYIAIFSSKPPFKLDKYKRYESHGVSGFPIKCNFSLDGEKLASGSSDGSIYLFNSRSSVLVKKIKAYEEACVDIAFHPILSNVIASCSWNGDVSVFE >KJB13511 pep chromosome:Graimondii2_0_v6:2:9563525:9567210:1 gene:B456_002G079300 transcript:KJB13511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTICIWNVWSRGQKKARVFSFHNAAVKDVKWSQQGSFVLSCGYDCSSRLIDVEKGLESLIFRDDQVVGVIKFHPDDSNLFLSGGSKGRLRLWDVRTGKVVHEYIRGLGPILDVEFSTDGKQFVSSSDVSGSNISENSIIVWDISRQVPLSNEVYAEAYTCPCVRYHPFDPYFVAQSNGNYIAIFSSKPPFKLDKYKRYESHGVSGFPIKCNFSLDGEKLASGSSDGSIYLFNSRSSVLVKKIKAYEEACVDIAFHPILSNVIASCSWNGDVSVFE >KJB15198 pep chromosome:Graimondii2_0_v6:2:43683850:43687627:-1 gene:B456_002G172100 transcript:KJB15198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQEHSYYASFGHASNNLLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQNQICFFA >KJB15197 pep chromosome:Graimondii2_0_v6:2:43683850:43687627:-1 gene:B456_002G172100 transcript:KJB15197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQEHSYYASFGYHVTNFFAPSSRFGTLDDLKSLIDKAYELGILVLMDIVHSHASNNLLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQNQICFFA >KJB15204 pep chromosome:Graimondii2_0_v6:2:43684892:43687627:-1 gene:B456_002G172100 transcript:KJB15204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQEHSYYASFGHASNNLLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQVLYTTEFGDSPIS >KJB15200 pep chromosome:Graimondii2_0_v6:2:43683853:43687627:-1 gene:B456_002G172100 transcript:KJB15200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQEHSYYASFGYHVTNFFAPSSRFGTLDDLKSLIDKAYELGILVLMDIVHSHASNNLLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQNQICFFA >KJB15203 pep chromosome:Graimondii2_0_v6:2:43684995:43686233:-1 gene:B456_002G172100 transcript:KJB15203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQEHSYYASFGYHVTNFFAPSSRFGTLDDLKSLIDKAYELGILVLMDIVHSHASNNLLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQVLYTTEFGDSPIS >KJB15201 pep chromosome:Graimondii2_0_v6:2:43684089:43687627:-1 gene:B456_002G172100 transcript:KJB15201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWVYLFLWILCTDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQNQICFFA >KJB15202 pep chromosome:Graimondii2_0_v6:2:43684892:43687627:-1 gene:B456_002G172100 transcript:KJB15202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQEHSYYASFGYHVTNFFAPSSRFGTLDDLKSLIDKAYELGILVLMDIVHSHASNNLLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQVLYTTEFGDSPIS >KJB15199 pep chromosome:Graimondii2_0_v6:2:43684114:43686233:-1 gene:B456_002G172100 transcript:KJB15199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQEHSYYASFGYHVTNFFAPSSRFGTLDDLKSLIDKAYELGILVLMDIVHSHASNNLLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQNQICFFA >KJB12970 pep chromosome:Graimondii2_0_v6:2:4022376:4023097:1 gene:B456_002G047600 transcript:KJB12970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKGSRMQSLWNWLVSWSCTDSYISLKLEKESRIFWNWKYSQGHITAYRFAIVNEVEKLFSKLHSCTVTETPFASNDAKYFLHKKLY >KJB15145 pep chromosome:Graimondii2_0_v6:2:38573905:38603228:-1 gene:B456_002G162800 transcript:KJB15145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEDPEAQPGEDPVIKPLNLDHHFAESPAESVTKVAKPGKSSEAAKPKVSAPANSTRKRSDTRNGSELSSGFARSTVSSSLRSSNSVAVTRRNSTGGLSEKSPASTARQQNNTSSIAGKKPSTLLATESVRRSLPELRGSSLSSSATKLTPRANLSETRKLVPVSPVGRSLSTSTASGTSIQKTLRKSTVKPSSSASSSLKKISSSSLDSTASSASKKTISKVSSPITRSPTVSSGLRSGSLSSSLDRSSNLSGQKKAATPESRDSHFIVLPQVEIKAGDDVRLDLRGHRVRNLNAGGLNLSPNLEFVYLRDNLLSTLEGVEILTRVKVLDLSFNDFKGPGFEPLANCKALQQLYLAGNQITSLISLPQLPNLEFLSVAQNKLKSLAMASQPRLQVLAASKNRISTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKFNDRDLSRDELALAKRYPTHTALCIRDGWEFSRPEHAADSTFRFLFEQWKDHFPPGYLLKEASIDKPFEEDACRCHIVFVQESTLSIDSDIILKYKWFLGERTLSNFTAIPDVNGEVYWPKHEDINKILKVECIPVLGETEYPSIFAISSPVARGNGVPKVVNLEVHGELVEGNVIKGHAKVAWCGGTPGKGVASWLRKRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAAPSVNNVRIVGDAVEGNVIRGVGNYFGGREGPSKFEWLRENKETGDFLIVTSGTPEYSLTKEDVGRRLAFVYIPINFEGQEGESVSIVSGTVRQAPPKVTNVKIIGDLRENSKITVTGAVMGGTEGSSRVQWFKTNSSTLNGENELEAMSTSKVAKAFRIPLGAVGCYIVAKYTPMTPNGESGESVYVITERVVETLPPSLNFLSITGDYSEGGILTASYGYIGGQEGKSIYNWYLHEVENDMGTLIHEVSGLLQYRVTKDAIGKFISFECIPVRDDGIVGEPRTCLGQERIRPGSPRLLALQIVGDAVEGTILSVEKNYWGGEEGDSVFRWFQTSSDGSQCEIRGASGSSYMPTVDDIGFFISVSCEPVRNDWARGPIVLSEQIGPIVAGPPTCQSLEFLGSIMEGQRLSFIASYIGGERGDCLHEWFRLKANGIKEKLSSDEFIDLTLDDVGRSIELVYTPIRKDGIKGNSRSIISDEISPADPVGLALVIPDCHQNQEIVPQKTYFGGQEGVGKYTWHRTKTKPNGLVLTDISSSSEDVVMCGQTFTYTPSLEDVGAYLVLQWLPTRVDGQSGKPLVAISSSAVIPAPPAVSSVHVKQLTSGIYSGEGEYSGGYEGSSLFSWYRETSDGTIILINGANYKTYEVTDADYNSRLLFGYTPVRSDSVVGELKLSEPTEFVFPELPMVEMLALTGKAIEGDVLTAVEVIPKSETQQCVWSKYKKDVCYQWFFSSETEDRKSFEPLPSQRSCSFKVCFEEIGRCLKCECIVTDVFGRSSEPVYAETAPVLPGIPRIDKLEIEGRGFHTNLYAVRGTYFGGKEGKSKIQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVAIYTPFREDGIEGQPVSASTEPIAVEPDVYKEVKQKLDLGSVKFEVLCDKDRNPKKVPGEGCLERRILEINRKRVKVVKPGSKTSFPTTEIRGTFAPPFHVEVFRNDQRRLRIVVDSENEVDLMVHSRYIRDVIVLVIRGLAQRFNSTSLNSLLKIET >KJB15143 pep chromosome:Graimondii2_0_v6:2:38574196:38602177:-1 gene:B456_002G162800 transcript:KJB15143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEDPEAQPGEDPVIKPLNLDHHFAESPAESVTKVAKPGKSSEAAKPKVSAPANSTRKRSDTRNGSELSSGFARSTVSSSLRSSNSVAVTRRNSTGGLSEKSPASTARQQNNTSSIAGKKPSTLLATESVRRSLPELRGSSLSSSATKLTPRANLSETRKLVPVSPVGRSLSTSTASGTSIQKTLRKSTVKPSSSASSSLKKISSSSLDSTASSASKKTISKVSSPITRSPTVSSGLRSGSLSSSLDRSSNLSGQKKAATPESRDSHFIVLPQVEIKAGDDVRLDLRGHRVRNLNAGGLNLSPNLEFVYLRDNLLSTLEGVEILTRVKVLDLSFNDFKGPGFEPLANCKALQQLYLAGNQITSLISLPQLPNLEFLSVAQNKLKSLAMASQPRLQVLAASKNRISTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKFNDRDLSRDELALAKRYPTHTALCIRDGWEFSRPEHAADSTFRFLFEQWKDHFPPGYLLKEASIDKPFEEDACRCHIVFVQESTLSIDSDIILKYKWFLGERTLSNFTAIPDVNGEVYWPKHEDINKILKVECIPVLGETEYPSIFAISSPVARGNGVPKVVNLEVHGELVEGNVIKGHAKVAWCGGTPGKGVASWLRKRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAAPSVNNVRIVGDAVEGNVIRGVGNYFGGREGPSKFEWLRENKETGDFLIVTSGTPEYSLTKEDVGRRLAFVYIPINFEGQEGESVSIVSGTVRQAPPKVTNVKIIGDLRENSKITVTGAVMGGTEGSSRVQWFKTNSSTLNGENELEAMSTSKVAKAFRIPLGAVGCYIVAKYTPMTPNGESGESVYVITERVVETLPPSLNFLSITGDYSEGGILTASYGYIGGQEGKSIYNWYLHEVENDMGTLIHEVSGLLQYRVTKDAIGKFISFECIPVRDDGIVGEPRTCLGQERIRPGSPRLLALQIVGDAVEGTILSVEKNYWGGEEGDSVFRWFQTSSDGSQCEIRGASGSSYMPTVDDIGFFISVSCEPVRNDWARGPIVLSEQIGPIVAGPPTCQSLEFLGSIMEGQRLSFIASYIGGERGDCLHEWFRLKANGIKEKLSSDEFIDLTLDDVGRSIELVYTPIRKDGIKGNSRSIISDEISPADPVGLALVIPDCHQNQEIVPQKTYFGGQEGVGKYTWHRTKTKPNGLVLTDISSSSEDVVMCGQTFSTYTPSLEDVGAYLVLQWLPTRVDGQSGKPLVAISSSAVIPAPPAVSSVHVKQLTSGIYSGEGEYSGGYEGSSLFSWYRETSDGTIILINGANYKTYEVTDADYNSRLLFGYTPVRSDSVVGELKLSEPTEFVFPELPMVEMLALTGKAIEGDVLTAVEVIPKSETQQCVWSKYKKDVCYQWFFSSETEDRKSFEPLPSQRSCSFKVCFEEIGRCLKCECIVTDVFGRSSEPVYAETAPVLPGIPRIDKLEIEGRGFHTNLYAVRGTYFGGKEGKSKIQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVAIYTPFREDGIEGQPVSASTEPIAVEPDVYKEVKQKLDLGSVKFEVLCDKDRNPKKVPGEGCLERRILEINRKRVKVVKPGSKTSFPTTEIRGTFAPPFHVEVFRNDQRRLRIVVDSENEVDLMVHSRYIRDVIVLVIRGLAQRFNSTSLNSLLKIET >KJB15148 pep chromosome:Graimondii2_0_v6:2:38573926:38602497:-1 gene:B456_002G162800 transcript:KJB15148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEDPEAQPGEDPVIKPLNLDHHFAESPAESVTKVAKPGKSSEAAKPKVSAPANSTRKRSDTRNGSELSSGFARSTVSSSLRSSNSVAVTRRNSTGGLSEKSPASTARQQNNTSSIAGKKPSTLLATESVRRSLPELRGSSLSSSATKLTPRANLSETRKLVPVSPVGRSLSTSTASGTSIQKTLRKSTVKPSSSASSSLKKISSSSLDSTASSASKKTISKVSSPITRSPTVSSGLRSGSLSSSLDRSSNLSGQKKAATPESRDSHFIVLPQVEIKAGDDVRLDLRGHRVRNLNAGGLNLSPNLEFVYLRDNLLSTLEGVEILTRVKVLDLSFNDFKGPGFEPLANCKALQQLYLAGNQITSLISLPQLPNLEFLSVAQNKLKSLAMASQPRLQVLAASKNRISTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKFNDRDLSRDELALAKRYPTHTALCIRDGWEFSRPEHAADSTFRFLFEQWKDHFPPGYLLKEASIDKPFEEDACRCHIVFVQESTLSIDSDIILKYKWFLGERTLSNFTAIPDVNGEVYWPKHEDINKILKVECIPVLGETEYPSIFAISSPVARGNGVPKVVNLEVHGELVEGNVIKGHAKVAWCGGTPGKGVASWLRKRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAAPSVNNVRIVGDAVEGNVIRGVGNYFGGREGPSKFEWLRENKETGDFLIVTSGTPEYSLTKEDVGRRLAFVYIPINFEGQEGESVSIVSGTVRQAPPKVTNVKIIGDLRENSKITVTGAVMGGTEGSSRVQWFKTNSSTLNGENELEAMSTSKVAKAFRIPLGAVGCYIVAKYTPMTPNGESGESVYVITERVVETLPPSLNFLSITGDYSEGGILTASYGYIGGQEGKSIYNWYLHEVENDMGTLIHEVSGLLQYRVTKDAIGKFISFECIPVRDDGIVGEPRTCLGQERIRPGSPRLLALQIVGDAVEGTILSVEKNYWGGEEGDSVFRWFQTSSDGSQCEIRGASGSSYMPTVDDIGFFISVSCEPVRNDWARGPIVLSEQIGPIVAGPPTCQSLEFLGSIMEGQRLSFIASYIGGERGDCLHEWFRLKANGIKEKLSSDEFIDLTLDDVGRSIELVYTPIRKDGIKGNSRSIISDEISPADPVGLALVIPDCHQNQEIVPQKTYFGGQEGVGKYTWHRTKTKPNGLVLTDISSSSEDVVMCGQTFTYTPSLEDVGAYLVLQWLPTRVDGQSGKPLVAISSSAVIPAPPAVSSVHVKQLTSGIYSGEGEYSGGYEGSSLFSWYRETSDGTIILINGANYKTYEVTDADYNSRLLFGYTPVRSDSVVGELKLSEPTEFVFPELPMVEMLALTGKAIEGDVLTAVEVIPKSETQQCVWSKYKKDVCYQWFFSSETEDRKSFEPLPSQRSCSFKVCFEEIGRCLKCECIVTDVFGRSSEPVYAETAPVLPGIPRIDKLEIEGRGFHTNLYAVRGTYFGGKEGKSKIQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVAIYTPFREDGIEGQPVSASTEPIAVEPDVYKEVKQKLDLGSVKFEVLCDKDRNPKKVPGEGCLERRILEINRKRVKVVKPGSKTSFPTTEIRGTFAPPFHVEVFRNDQRRLRIVVDSENEVDLMVHSRYIRDVIVLVIRGLAQRFNSTSLNSLLKIET >KJB15151 pep chromosome:Graimondii2_0_v6:2:38591963:38603185:-1 gene:B456_002G162800 transcript:KJB15151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEDPEAQPGEDPVIKPLNLDHHFAESPAESVTKVAKPGKSSEAAKPKVSAPANSTRKRSDTRNGSELSSGFARSTVSSSLRSSNSVAVTRRNSTGGLSEKSPASTARQQNNTSSIAGKKPSTLLATESVRRSLPELRGSSLSSSATKLTPRANLSETRKLVPVSPVGRSLSTSTASGTSIQKTLRKSTVKPSSSASSSLKKISSSSLDSTASSASKKTISKVSSPITRSPTVSSGLRSGSLSSSLDRSSNLSGQKKAATPESRDSHFIVLPQVEIKAGDDVRLDLRGHRVRNLNAGGLNLSPNLEFVYLRDNLLSTLEGVEILTRVKVLDLSFNDFKGPGFEPLANCKALQQLYLAGNQITSLISLPQLPNLEFLSVAQNKLKSLAMASQPRLQVLAASKNRISTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKFNDRDLSRDELALAKRYPTHTALCIRDGWEFSRPEHAADSTFRFLFEQWKDHFPPGYLLKEASIDKPFEEDACRCHIVFVQESTLSIDSDIILKYKWFLGERTLSNFTAIPDVNGEVYWPKHEDINKILKVECIPVLGETEYPSIFAISSPVARGNGVPKVVNLEVHGELVEGNVIKGHAKVAWCGGTPGKGVASWLRKRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAAPSVNNVRIVGDAVEGNVIRGVGNYFGGREGPSKFEWLRENKETGDFLIVTSGTPEYSLTKEDVGRRLAFVYIPINFEGQEGESVSIVSGTVRQAPPKVTNVKIIGDLRENSKITVTGAVMGGTEGSSRVQWFKTNSSTLNGENELEAMSTSKVAKAFRIPLGAVGCYIVAKYTPMTPNGESGESVYVITERVVETLPPSLNFLSITGDYSEGGILTASYGYIGGQEGKSIYNWYLHEVENDMGTLIHEVSGLLQYRVTKDAIGKFISFECIPVRDDGIVGEPRTCLGQERIRPGNILSFISVFLIHLFFL >KJB15149 pep chromosome:Graimondii2_0_v6:2:38576057:38603185:-1 gene:B456_002G162800 transcript:KJB15149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEDPEAQPGEDPVIKPLNLDHHFAESPAESVTKVAKPGKSSEAAKPKVSAPANSTRKRSDTRNGSELSSGFARSTVSSSLRSSNSVAVTRRNSTGGLSEKSPASTARQQNNTSSIAGKKPSTLLATESVRRSLPELRGSSLSSSATKLTPRANLSETRKLVPVSPVGRSLSTSTASGTSIQKTLRKSTVKPSSSASSSLKKISSSSLDSTASSASKKTISKVSSPITRSPTVSSGLRSGSLSSSLDRSSNLSGQKKAATPESRDSHFIVLPQVEIKAGDDVRLDLRGHRVRNLNAGGLNLSPNLEFVYLRDNLLSTLEGVEILTRVKVLDLSFNDFKGPGFEPLANCKALQQLYLAGNQITSLISLPQLPNLEFLSVAQNKLKSLAMASQPRLQVLAASKNRISTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKFNDRDLSRDELALAKRYPTHTALCIRDGWEFSRPEHAADSTFRFLFEQWKDHFPPGYLLKEASIDKPFEEDACRCHIVFVQESTLSIDSDIILKYKWFLGERTLSNFTAIPDVNGEVYWPKHEDINKILKVECIPVLGETEYPSIFAISSPVARGNGVPKVVNLEVHGELVEGNVIKGHAKVAWCGGTPGKGVASWLRKRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAAPSVNNVRIVGDAVEGNVIRGVGNYFGGREGPSKFEWLRENKETGDFLIVTSGTPEYSLTKEDVGRRLAFVYIPINFEGQEGESVSIVSGTVRQAPPKVTNVKIIGDLRENSKITVTGAVMGGTEGSSRVQWFKTNSSTLNGENELEAMSTSKVAKAFRIPLGAVGCYIVAKYTPMTPNGESGESVYVITERVVETLPPSLNFLSITGDYSEGGILTASYGYIGGQEGKSIYNWYLHEVENDMGTLIHEVSGLLQYRVTKDAIGKFISFECIPVRDDGIVGEPRTCLGQERIRPGSPRLLALQIVGDAVEGTILSVEKNYWGGEEGDSVFRWFQTSSDGSQCEIRGASGSSYMPTVDDIGFFISVSCEPVRNDWARGPIVLSEQIGPIVAGPPTCQSLEFLGSIMEGQRLSFIASYIGGERGDCLHEWFRLKANGIKEKLSSDEFIDLTLDDVGRSIELVYTPIRKDGIKGNSRSIISDEISPADPVGLALVIPDCHQNQEIVPQKTYFGGQEGVGKYTWHRTKTKPNGLVLTDISSSSEDVVMCGQTFTYTPSLEDVGAYLVLQWLPTRVDGQSGKPLVAISSSAVIPAPPAVSSVHVKQLTSGIYSGEGEYSGGYEGSSLFSWYRETSDGTIILINGANYKTYEVTDADYNSRLLFGYTPVRSDSVVGELKLSEPTEFVFPELPMVEMLALTGKAIEGDVLTAVEVIPKSETQQCVWSKYKKDVCYQWFFSSETEDRKSFEPLPSQRSCSFKVCFEEIGRCLKCECIVTDVFGRSSEPVYAETAPVLPGIPRIDKLEIEGRGFHTNLYAVRGTYFGGKEGKSKIQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVAIYTPFREDGIEGQPVSASTEPIAVGNSEAHLLLFFQPIIF >KJB15150 pep chromosome:Graimondii2_0_v6:2:38587893:38603185:-1 gene:B456_002G162800 transcript:KJB15150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEDPEAQPGEDPVIKPLNLDHHFAESPAESVTKVAKPGKSSEAAKPKVSAPANSTRKRSDTRNGSELSSGFARSTVSSSLRSSNSVAVTRRNSTGGLSEKSPASTARQQNNTSSIAGKKPSTLLATESVRRSLPELRGSSLSSSATKLTPRANLSETRKLVPVSPVGRSLSTSTASGTSIQKTLRKSTVKPSSSASSSLKKISSSSLDSTASSASKKTISKVSSPITRSPTVSSGLRSGSLSSSLDRSSNLSGQKKAATPESRDSHFIVLPQVEIKAGDDVRLDLRGHRVRNLNAGGLNLSPNLEFVYLRDNLLSTLEGVEILTRVKVLDLSFNDFKGPGFEPLANCKALQQLYLAGNQITSLISLPQLPNLEFLSVAQNKLKSLAMASQPRLQVLAASKNRISTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKFNDRDLSRDELALAKRYPTHTALCIRDGWEFSRPEHAADSTFRFLFEQWKDHFPPGYLLKEASIDKPFEEDACRCHIVFVQESTLSIDSDIILKYKWFLGERTLSNFTAIPDVNGEVYWPKHEDINKILKVECIPVLGETEYPSIFAISSPVARGNGVPKVVNLEVHGELVEGNVIKGHAKVAWCGGTPGKGVASWLRKRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAAPSVNNVRIVGDAVEGNVIRGVGNYFGGREGPSKFEWLRENKETGDFLIVTSGTPEYSLTKEDVGRRLAFVYIPINFEGQEGESVSIVSGTVRQAPPKVTNVKIIGDLRENSKITVTGAVMGGTEGSSRVQWFKTNSSTLNGENELEAMSTSKVAKAFRIPLGAVGCYIVAKYTPMTPNGESGESVYVITERVVETLPPSLNFLSITGDYSEGGILTASYGYIGGQEGKSIYNWYLHEVENDMGTLIHEVSGLLQYRVTKDAIGKFISFECIPVRDDGIVGEPRTCLGQERIRPGSPRLLALQIVGDAVEGTILSVEKNYWGGEEGDSVFRWFQTSSDGSQCEIRGASGSSYMPTVDDIGFFISVSCEPVRNDWARGPIVLSEQIGPIVAGPPTCQSLEFLGSIMEGQRLSFIASYIGGERGDCLHEWFRLKANGIKEKLSSDEFIDLTLDDVGRSIELVYTPIRKDGIKGNSRSIISDEISPADPVGLALVIPDCHQNQEIVPQKTYFGGQEGVGKYTWHRTKTKPNGLVLTDISSSSEDVVMCGQTFTYTPSLEDVGAYLVLQWLPTRVDGQSGKPLVAISSSAVIPAPPAVSSVHVKQLTSGIYSGEGEYSGGYEGSSLFSWYRETSDGTIILINGANYKTYEVTDADYNSRLLFGFR >KJB15144 pep chromosome:Graimondii2_0_v6:2:38573905:38602269:-1 gene:B456_002G162800 transcript:KJB15144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEDPEAQPGEDPVIKPLNLDHHFAESPAESVTKVAKPGKSSEAAKPKVSAPANSTRKRSDTRNGSELSSGFARSTVSSSLRSSNSVAVTRRNSTGGLSEKSPASTARQQNNTSSIAGKKPSTLLATESVRRSLPELRGSSLSSSATKLTPRANLSETRKLVPVSPVGRSLSTSTASGTSIQKTLRKSTVKPSSSASSSLKKISSSSLDSTASSASKKTISKVSSPITRSPTVSSGLRSGSLSSSLDRSSNLSGQKKAATPESRDSHFIVLPQVEIKAGDDVRLDLRGHRVRNLNAGGLNLSPNLEFVYLRDNLLSTLEGVEILTRVKVLDLSFNDFKGPGFEPLANCKALQQLYLAGNQITSLISLPQLPNLEFLSVAQNKLKSLAMASQPRLQVLAASKNRISTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKFNDRDLSRDELALAKRYPTHTALCIRDGWEFSRPEHAADSTFRFLFEQWKDHFPPGYLLKEASIDKPFEEDACRCHIVFVQESTLSIDSDIILKYKWFLGERTLSNFTAIPDVNGEVYWPKHEDINKILKVECIPVLGETEYPSIFAISSPVARGNGVPKVVNLEVHGELVEGNVIKGHAKVAWCGGTPGKGVASWLRKRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAAPSVNNVRIVGDAVEGNVIRGVGNYFGGREGPSKFEWLRENKETGDFLIVTSGTPEYSLTKEDVGRRLAFVYIPINFEGQEGESVSIVSGTVRQAPPKVTNVKIIGDLRENSKITVTGAVMGGTEGSSRVQWFKTNSSTLNGENELEAMSTSKVAKAFRIPLGAVGCYIVAKYTPMTPNGESGESVYVITERVVETLPPSLNFLSITGDYSEGGILTASYGYIGGQEGKSIYNWYLHEVENDMGTLIHEVSGLLQYRVTKDAIGKFISFECIPVRDDGIVGEPRTCLGQERIRPGSPRLLALQIVGDAVEGTILSVEKNYWGGEEGDSVFRWFQTSSDGSQCEIRGASGSSYMPTVDDIGFFISVSCEPVRNDWARGPIVLSEQIGPIVAGPPTCQSLEFLGSIMEGQRLSFIASYIGGERGDCLHEWFRLKANGIKEKLSSDEFIDLTLDDVGRSIELVYTPIRKDGIKGNSRSIISDEISPADPVGLALVIPDCHQNQEIVPQKTYFGGQEGVGKYTWHRTKTKPNGLVLTDISSSSEDVVMCGQTFSTYTPSLEDVGAYLVLQWLPTRVDGQSGKPLVAISSSAVIPAPPAVSSVHVKQLTSGIYSGEGEYSGGYEGSSLFSWYRETSDGTIILINGANYKTYEVTDADYNSRLLFGYTPVRSDSVVGELKLSEPTEFVFPELPMVEMLALTGKAIEGDVLTAVEVIPKSETQQCVWSKYKKDVCYQWFFSSETEDRKSFEPLPSQRSCSFKVCFEEIGRCLKCECIVTDVFGRSSEPVYAETAPVLPGIPRIDKLEIEGRGFHTNLYAVRGTYFGGKEGKSKIQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVAIYTPFREDGIEGQPVSASTEPIAVEPDVYKEVKQKLDLGSVKFEVLCDKDRNPKKVPGEGCLERRILEINRKRVKVVKPGSKTSFPTTEIRGTFAPPFHVEVFRNDQRRLRIVVDSENEVDLMVHSRYIRDVIVLVIRGLAQRFNSTSLNSLLKIET >KJB15147 pep chromosome:Graimondii2_0_v6:2:38574196:38602177:-1 gene:B456_002G162800 transcript:KJB15147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEDPEAQPGEDPVIKPLNLDHHFAESPAESVTKVAKPGKSSEAAKPKVSAPANSTRKRSDTRNGSELSSGFARSTVSSSLRSSNSVAVTRRNSTGGLSEKSPASTARQQNNTSSIAGKKPSTLLATESVRRSLPELRGSSLSSSATKLTPRANLSETRKLVPVSPVGRSLSTSTASGTSIQKTLRKSTVKPSSSASSSLKKISSSSLDSTASSASKKTISKVSSPITRSPTVSSGLRSGSLSSSLDRSSNLSGQKKAATPESRDSHFIVLPQVEIKAGDDVRLDLRGHRVRNLNAGGLNLSPNLEFVYLRDNLLSTLEGVEILTRVKVLDLSFNDFKGPGFEPLANCKALQQLYLAGNQITSLISLPQLPNLEFLSVAQNKLKSLAMASQPRLQVLAASKNRISTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKFNDRDLSRDELALAKRYPTHTALCIRDGWEFSRPEHAADSTFRFLFEQWKDHFPPGYLLKEASIDKPFEEDACRCHIVFVQESTLSIDSDIILKYKWFLGERTLSNFTAIPDVNGEVYWPKHEDINKILKVECIPVLGETEYPSIFAISSPVARGNGVPKVVNLEVHGELVEGNVIKGHAKVAWCGGTPGKGVASWLRKRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAAPSVNNVRIVGDAVEGNVIRGVGNYFGGREGPSKFEWLRENKETGDFLIVTSGTPEYSLTKEDVGRRLAFVYIPINFEGQEGESVSIVSGTVRQAPPKVTNVKIIGDLRENSKITVTGAVMGGTEGSSRVQWFKTNSSTLNGENELEAMSTSKVAKAFRIPLGAVGCYIVAKYTPMTPNGESGESVYVITERVVETLPPSLNFLSITGDYSEGGILTASYGYIGGQEGKSIYNWYLHEVENDMGTLIHEVSGLLQYRVTKDAIGKFISFECIPVRDDGIVGEPRTCLGQERIRPGSPRLLALQIVGDAVEGTILSVEKNYWGGEEGDSVFRWFQTSSDGSQCEIRGASGSSYMPTVDDIGFFISVSCEPVRNDWARGPIVLSEQIGPIVAGPPTCQSLEFLGSIMEGQRLSFIASYIGGERGDCLHEWFRLKANGIKEKLSSDEFIDLTLDDVGRSIELVYTPIRKDGIKGNSRSIISDEISPADPVGLALVIPDCHQNQEIVPQKTYFGGQEGVGKYTWHRTKTKPNGLVLTDISSSSEDVVMCGQTFSTYTPSLEDVGAYLVLQWLPTRVDGQSGKPLVAISSSAVIPAPPAVSSVHVKQLTSGIYSGEGEYSGGYEGSSLFSWYRETSDGTIILINGANYKTYEVTDADYNSRLLFGYTPVRSDSVVGELKLSEPTEFVFPELPMVEMLALTGKAIEGDVLTAVEVIPKSETQQCVWSKYKKDVCYQWFFSSETEDRKSFEPLPSQRSCSFKVCFEEIGRCLKCECIVTDVFGRSSEPVYAETAPVLPGIPRIDKLEIEGRGFHTNLYAVRGTYFGGKEGKSKIQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVAIYTPFREDGIEGQPVSASTEPIAVEPDVYKEVKQKLDLGSVKFEVLCDKDRNPKKVPGEGCLERRILEINRKRVKVVKPGSKTSFPTTEIRGTFAPPFHVEVFRNDQRRLRIVVDSENEVDLMVHSRYIRDVIVLVIRGLAQRFNSTSLNSLLKIET >KJB15146 pep chromosome:Graimondii2_0_v6:2:38573905:38603228:-1 gene:B456_002G162800 transcript:KJB15146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEDPEAQPGEDPVIKPLNLDHHFAESPAESVTKVAKPGKSSEAAKPKVSAPANSTRKRSDTRNGSELSSGFARSTVSSSLRSSNSVAVTRRNSTGGLSEKSPASTARQQNNTSSIAGKKPSTLLATESVRRSLPELRGSSLSSSATKLTPRANLSETRKLVPVSPVGRSLSTSTASGTSIQKTLRKSTVKPSSSASSSLKKISSSSLDSTASSASKKTISKVSSPITRSPTVSSGLRSGSLSSSLDRSSNLSGQKKAATPESRDSHFIVLPQVEIKAGDDVRLDLRGHRVRNLNAGGLNLSPNLEFVYLRDNLLSTLEGVEILTRVKVLDLSFNDFKGPGFEPLANCKALQQLYLAGNQITSLISLPQLPNLEFLSVAQNKLKSLAMASQPRLQVLAASKNRISTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKFNDRDLSRDELALAKRYPTHTALCIRDGWEFSRPEHAADSTFRFLFEQWKDHFPPGYLLKEASIDKPFEEDACRCHIVFVQESTLSIDSDIILKYKWFLGERTLSNFTAIPDVNGEVYWPKHEDINKILKVECIPVLGETEYPSIFAISSPVARGNGVPKVVNLEVHGELVEGNVIKGHAKVAWCGGTPGKGVASWLRKRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAAPSVNNVRIVGDAVEGNVIRGVGNYFGGREGPSKFEWLRENKETGDFLIVTSGTPEYSLTKEDVGRRLAFVYIPINFEGQEGESVSIVSGTVRQAPPKVTNVKIIGDLRENSKITVTGAVMGGTEGSSRVQWFKTNSSTLNGENELEAMSTSKVAKAFRIPLGAVGCYIVAKYTPMTPNGESGESVYVITERVVETLPPSLNFLSITGDYSEGGILTASYGYIGGQEGKSIYNWYLHEVENDMGTLIHEVSGLLQYRVTKDAIGKFISFECIPVRDDGIVGEPRTCLGQERIRPGSPRLLALQIVGDAVEGTILSVEKNYWGGEEGDSVFRWFQTSSDGSQCEIRGASGSSYMPTVDDIGFFISVSCEPVRNDWARGPIVLSEQIGPIVAGPPTCQSLEFLGSIMEGQRLSFIASYIGGERGDCLHEWFRLKANGIKEKLSSDEFIDLTLDDVGRSIELVYTPIRKDGIKGNSRSIISDEISPADPVGLALVIPDCHQNQEIVPQKTYFGGQEGVGKYTWHRTKTKPNGLVLTDISSSSEDVVMCGQTFTYTPSLEDVGAYLVLQWLPTRVDGQSGKPLVAISSSAVIPAPPAVSSVHVKQLTSGIYSGEGEYSGGYEGSSLFSWYRETSDGTIILINGANYKTYEVTDADYNSRLLFGYTPVRSDSVVGELKLSEPTEFVFPELPMVEMLALTGKAIEGDVLTAVEVIPKSETQQCVWSKYKKDVCYQWFFSSETEDRKSFEPLPSQRSCSFKVCFEEIGRCLKCECIVTDVFGRSSEPVYAETAPVLPGIPRIDKLEIEGRGFHTNLYAVRGTYFGGKEGKSKIQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVAIYTPFREDGIEGQPVSASTEPIAVEPDVYKEVKQKLDLGSVKFEVLCDKDRNPKKVPGEGCLERRILEINRKRVKVVKPGSKTSFPTTEIRGTFAPPFHVEVFRNDQRRLRIVVDSENEVDLMVHSRYIRDVIVLVIRGLAQRFNSTSLNSLLKIET >KJB15726 pep chromosome:Graimondii2_0_v6:2:52202202:52208936:1 gene:B456_002G193100 transcript:KJB15726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRMKYDLDDDFAKETRCEDRDNLGQPSGKYTRTPVLHKSRATKRASYCLQGEIELSQKWLLEEGCNSVDIDLGFSSSHCTSQANLPSVGSWLWAEDPIGAFPVPELNLDVKSCFNTPKQSESIHCSPFSCFTSEKFAFCQSLNHTNSFDSPVFWINQACPISRFRKTSVPLGLFDAGQYREIGFLDLSSVQGRINGDDKRKPKSPPANCEQLEFEMENCLRNDLLVSKGAHSNGLFKSQ >KJB12200 pep chromosome:Graimondii2_0_v6:2:343834:354657:-1 gene:B456_002G005500 transcript:KJB12200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEKNHSNNRVLKLKYVKLGYHYLITHLLKLCLVPLMAVIIVQASRLSLNDIHQTWLHLEHNLVYVVVLSAFVLVGSTVYFMTRKRSVYLVDYACYLPPSKLKVEHDRFMKHCKLMGCFDETSLVFLDKILGRSGLGEETCAPEAMHCLPPKPSMEAARQETEQVMFGALDTLFANTNVKPKDVGILVVNCSLFNPTPSLSSMIINKYKMRGNIKSFNLGGMGCSASVISVDLAKDMLQVHRNNYAIVVSTENITQNWYFGNKKAMLLPNCLFRIGGAAVLLSNKSVDRRRSKYKLIHVVRTHCGANDKAFNCVRQEQDDIGKTGVSLSKDLMTIAGNALKSNITLLGPLVLPISEQILFFVTLVANKLINAKIKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLHLLPVHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRMRKGDRVWQIAFGSGFKCNSAVWLALKNVKPSYNSPWEGCIHRYPVQLSL >KJB12199 pep chromosome:Graimondii2_0_v6:2:343787:354657:-1 gene:B456_002G005500 transcript:KJB12199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEKNHSNNRVLKLKYVKLGYHYLITHLLKLCLVPLMAVIIVQASRLSLNDIHQTWLHLEHNLVYVVVLSAFVLVGSTVYFMTRKRSVYLVDYACYLPPSKLKVEHDRFMKHCKLMGCFDETSLVFLDKILGRSGLGEETCAPEAMHCLPPKPSMEAARQETEQVMFGALDTLFANTNVKPKDVGILVVNCSLFNPTPSLSSMIINKYKMRGNIKSFNLGGMGCSASVISVDLAKDMLQVHRNNYAIVVSTENITQNWYFGNKKAMLLPNCLFRIGGAAVLLSNKSVDRRRSKYKLIHVVRTHCGANDKAFNCVRQEQDDIGKTGVSLSKDLMTIAGNALKSNITTLGPLVLPISEQILFFVTLVANKLINAKIKPYIPDFKLAFEHFCIHAGGRAVIGELEKNLYLLQVHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMRKGDRIWQIAFGSGFKCNSAVWLALKNVKPSCNSPWEGCIHRYPVQLSL >KJB11810 pep chromosome:Graimondii2_0_v6:2:14942014:14943242:-1 gene:B456_002G1091002 transcript:KJB11810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRNLVQGFFRSARGMLRANGEIHVNHKTNAPFSLWNLKKLASGCFLALIQCVDFNVEDYPGYHNKRGDGSRCDEPFPLGKSSTFKFGFFPRAKKASKATKRWGSMCNKSQHFQTISMPMQLRSTSDFNYHRRNHTVNRIPLRVKLRPVIPNQNQYSGVFDRNFNGLVQTCQANCLRSDFDARYDDLGSLRHGLDRQLVEVPRTLNGNSYYMHEHKPARHSVDRQMVEMPGALNGNLYYMHEHEHELARISNSRPHLHRALACPTYQLKVPNTRDVLRL >KJB11811 pep chromosome:Graimondii2_0_v6:2:14942014:14943966:-1 gene:B456_002G1091002 transcript:KJB11811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRMHRNLVQGFFRSARGMLRANGEIHVNHKTNAPFSLWNLKKLASGCFLALIQCVDFNVEDYPGYHNKRGDGSRCDEPFPLGKSSTFKFGFFPRAKKASKATKRWGSMCNKSQHFQTISMPMQLRSTSDFNYHRRNHTVNRIPLRVKLRPVIPNQNQYSGVFDRNFNGLVQTCQANCLRSDFDARYDDLGSLRHGLDRQLVEVPRTLNGNSYYMHEHKPARHSVDRQMVEMPGALNGNLYYMHEHEHELARISNSRPHLHRALACPTYQLKVPNTRDVLRL >KJB11809 pep chromosome:Graimondii2_0_v6:2:14941103:14943966:-1 gene:B456_002G1091002 transcript:KJB11809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRMHRNLVQGFFRSARGMLRANGEIHVNHKTNAPFSLWNLKKLASGCFLALIQCVDFNVEDYPGYHNKRGDGSRCDEPFPLGKSSTFKFGFFPRAKKASKATKRWGSMCNKSQHFQTISMPMQLRSTSDFNYHRRNHTVNRIPLRVKLRPVIPNQNQYSGVFDRNFNGLVQTCQANCLRSDFDARYDDLGSLRHGLDRQLVEVPRTLNGNSYYMHEHKPARHSVDRQMVEMPGALNGNLYYMHEHEHELARISNSRPHLHRALACPTYQLKVPNTRDVLRL >KJB15361 pep chromosome:Graimondii2_0_v6:2:43784049:43785286:-1 gene:B456_002G172500 transcript:KJB15361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKKINHENNNNKAAIRDEDGYKGVPIHSQVMKIKREFEKIKHPSLQQADMRRVLREITRQRSRSPLGLAERPIAVGHS >KJB16390 pep chromosome:Graimondii2_0_v6:2:58718071:58723415:1 gene:B456_002G228200 transcript:KJB16390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRSNMNHSIQLDHHHHHQNQDHHQSHQIPYAMMQSSSSSSIPANFVSKETGAYDLGELDQALFLYLDGQDPSTIQDQRHHSSGIKPPTLNIFPSQPMHVEPPSSTKTSRGLVSPSTSGSKRPSEPSMELANPRTDNIASASAPNQPPKAVKREGNRKGPTTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIRLTQLEQELQRARAQGMFFGGGNMLGGEQGLPVGINNISSEAAVFDMEYGRWVEEHHRLMCELRAAVQEHLPENELRMYVDNCLAHFDEVMNLKGMAAKTDVFHLVSGMWKTPAERCFMWIAGFRPSHLIKVILNQIEPLTEQQIMGICALQQSTQEAEEALSQGLEALNQSLSDIIISDSLSCPSNMSNYMGQMAIAINKLSTLEGFVRQADNLRHQAIHRLHQILTIRQAARCLLAIAEYFHRLRALSSLWLARPRQEQ >KJB16389 pep chromosome:Graimondii2_0_v6:2:58717818:58723546:1 gene:B456_002G228200 transcript:KJB16389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRSNMNHSIQLDHHHHHQNQDHHQSHQIPYAMMQSSSSSSIPANFVSKETGAYDLGELDQALFLYLDGQDPSTIQDQRHHSSGIKPPTLNIFPSQPMHVEPPSSTKTSRGLVSPSTSGSKRPSEPSMELANPRTDNIASASAPNQPPKAVKQREGNRKGPTTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIRLTQLEQELQRARAQGMFFGGGNMLGGEQGLPVGINNISSEAAVFDMEYGRWVEEHHRLMCELRAAVQEHLPENELRMYVDNCLAHFDEVMNLKGMAAKTDVFHLVSGMWKTPAERCFMWIAGFRPSHLIKVILNQIEPLTEQQIMGICALQQSTQEAEEALSQGLEALNQSLSDIIISDSLSCPSNMSNYMGQMAIAINKLSTLEGFVRQADNLRHQAIHRLHQILTIRQAARCLLAIAEYFHRLRALSSLWLARPRQEQ >KJB16332 pep chromosome:Graimondii2_0_v6:2:57918436:57919186:-1 gene:B456_002G223700 transcript:KJB16332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRTNARLSSIDSPVAQDGSVTDDSCFWLRLFWNVAFYSLGHSIFGQTSTSSNSVSSSLSFNGMLLFFLWRWCEEERAIPLKKRRVVMVSYETKEQSRERMEKDTLVEERNQNQRCNRRNGKGWRCDKMKMKDHSLCNHHLEMQRMRSSSTSTSTSTTNQGEDGDGAGRPAFAVRENKRVKVVKARSMSSLLRDTVPLFYY >KJB17005 pep chromosome:Graimondii2_0_v6:2:62146675:62150868:1 gene:B456_002G260400 transcript:KJB17005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVCKDCCFMERPVRVLGFLFLLLQIEGSSSASNYLIGLGSYDITGPAADVNMMGYANMEQIASGIHFRLRARTFIVAEPKGNRVVFVNLDACMASQIVTIKVLERLKARYGEVYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEKSIIQAHENLRPGSILINKGELLDAGINRSPSAYLNNPANERSKYKYNVDKGMTLIKFVDEEWGPIGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFKQTSFTADYDSLSFNSSVSGRIPRRVSSIIPNFHEKRKELMELAASFKSSQGRPVTRLLSVARRVRNSLRQADKPQFVSAFCQTNCGDVSPNTLGAFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFKSTEIIGKKQFKKAVELFDKATEKLEGKVGYQHAYIDFSNLQVSVPKAGGGIEVVKTCPAAMGFGFAAGTTDGPGAFDFRQGDDQGNAFWKLVRNLLKPPGQEQIDCQKPKPILLDTGEMKLPYDWAPSILPVQIVRIGQLVILSVPAEFTTMAGRRLRDAVKTVLTSGRNKQFDSNVHIVIAGLTNTYSQYVSTFEEYQVQRYEGASTLYGPHTLNAYIQEFKKLAAALIGGGSVEPGPQPPDLLDKQISLLTPVVLDATPLGVNFGDVKDDIANSTFKRGNTVSVTFWSACPRNDLMTEGTFALVELLQDQKTWIPAFDDDDFCLKFKWSRPAKLSPQSYATIDWRIPESVVTGVYRIRHFGASKSLFGSIRHFTGTSSAFVVE >KJB17008 pep chromosome:Graimondii2_0_v6:2:62146698:62150852:1 gene:B456_002G260400 transcript:KJB17008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLIKFVDEEWGPIGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFKQTSFTADYDSLSFNSSVSGRIPRRVSSIIPNFHEKRKELMELAASFKSSQGRPVTRLLSVARRVRNSLRQADKPQFVSAFCQTNCGDVSPNTLGAFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFKSTEIIGKKQFKKAVELFDKATEKLEGKVGYQHAYIDFSNLQVSVPKAGGGIEVVKTCPAAMGFGFAAGTTDGPGAFDFRQGDDQGNAFWKLVRNLLKPPGQEQIDCQKPKPILLDTGEMKLPYDWAPSILPVQIVRIGQLVILSVPAEFTTMAGRRLRDAVKTVLTSGRNKQFDSNVHIVIAGLTNTYSQYVSTFEEYQVQRYEGASTLYGPHTLNAYIQEFKKLAAALIGGGSVEPGPQPPDLLDKQISLLTPVVLDATPLGVNFGDVKDDIANSTFKRGNTVSVTFWSACPRNDLMTEGTFALVELLQDQKTWIPAFDDDDFCLKFKWSRPAKLSPQSYATIDWRIPESVVTGVYRIRHFGASKSLFGSIRHFTGTSSAFVVE >KJB17007 pep chromosome:Graimondii2_0_v6:2:62146698:62150852:1 gene:B456_002G260400 transcript:KJB17007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLIKFVDEEWGPIGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFKQTSFTADYDSLSFNSSVSGRIPRRVSSIIPNFHEKRKELMELAASFKSSQGRPVTRLLSVARRVRNSLRQADKPQFVSAFCQTNCGDVSPNTLGAFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFKSTEIIGKKQFKKAVELFDKATEKLEGKVGYQHAYIDFSNLQVSVPKAGGGIEVVKTCPAAMGFGFAAGTTDGPGAFDFRQGDDQGNAFWKLVRNLLKPPGQEQIDCQKPKPILLDTGEMKLPYDWAPSILPVQIVRIGQLVILSVPAEFTTMAGRRLRDAVKTVLTSGRNKQFDSNVHIVIAGLTNTYSQYVSTFEEYQVQRYEGASTLYGPHTLNAYIQEFKKLAAALIGGGSVEPGPQPPDLLDKQISLLTPVVLDATPLGVNFGDVKDDIANSTFKRGNTVSVTFWSACPRNDLMTEGTFALVELLQDQKTWIPAFDDDDFCLKFKWSRPAKLSPQSYATIDWRIPESVVTGVYRIRHFGASKSLFGSIRHFTGTSSAFVVE >KJB17006 pep chromosome:Graimondii2_0_v6:2:62146698:62150852:1 gene:B456_002G260400 transcript:KJB17006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLIKFVDEEWGPIGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFKQTSFTADYDSLSFNSSVSGRIPRRVSSIIPNFHEKRKELMELAASFKSSQGRPVTRLLSVARRVRNSLRQADKPQFVSAFCQTNCGDVSPNTLGAFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFKSTEIIGKKQFKKAVELFDKATEKLEGKVGYQHAYIDFSNLQVSVPKAGGGIEVVKTCPAAMGFGFAAGTTDGPGAFDFRQGDDQGNAFWKLVRNLLKPPGQEQIDCQKPKPILLDTGEMKLPYDWAPSILPVQIVRIGQLVILSVPAEFTTMAGRRLRDAVKTVLTSGRNKQFDSNVHIVIAGLTNTYSQYVSTFEEYQVQRYEGASTLYGPHTLNAYIQEFKKLAAALIGGGSVEPGPQPPDLLDKQISLLTPVVLDATPLGVNFGDVKDDIANSTFKRGNTVSVTFWSACPRNDLMTEGTFALVELLQDQKTWIPAFDDDDFCLKFKWSRPAKLSPQSYATIDWRIPESVVTGVYRIRHFGASKSLFGSIRHFTGTSSAFVVE >KJB14156 pep chromosome:Graimondii2_0_v6:2:15867748:15875872:1 gene:B456_002G112400 transcript:KJB14156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTEMLEQQLPEASQVNLVDTAVQEQQSSPPTKDQNANETIADTTSTLPTDVNMTDNPPMPVKPDNISSNGANTDPSDAPPAEPLEQKVNGDATPLRSLAPESGPEKVEKSKSWLLDPEMGEADEAGTPEERAAFMKELESFYKDRSLEFKPPKFYGEPLNCLKLWRAVIKLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKYKRENGEIQLPASSLPHASGEKESSGFLALGSGRARRDAAARAMQGWHAQRSVGYGEITEPIVKEKIMGSAPKRERHLKSIGLQKQKMPISAELDKSAHDKQLITEIVDVGTPADWVKINVRETDCFEVYALVPGLLREEVRVQSDPAGRVVITGQPEQVDNPWGITPFKKVVNLPSRIDPLLTTAVVSLHGRLFVRVPFEQGSAA >KJB14157 pep chromosome:Graimondii2_0_v6:2:15867748:15875872:1 gene:B456_002G112400 transcript:KJB14157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTEMLEQQLPEASQVNLVDTAVQEQQSSPPTKDQNANETIADTTSTLPTDVNMTDNPPMPVKPDNISSNGANTDPSDAPPAEPLEQKVNGDATPLRSLAPESGPEKVEKSKSWLLDPEMGEADEAGTPEERAAFMKELESFYKDRSLEFKPPKFYGEPLNCLKLWRAVIKLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKYKRENGEIQLPASSLPHASGEKESSGFLALGSGRARRDAAARAMQGWHAQRSVGYGEITEPIVKEKIMGSAPKRERHLKSIGLQKQKMPISAELDKSAHDKQLITEIVDVGTPADWVKINVRETQDCFEVYALVPGLLREEVRVQSDPAGRVVITGQPEQVDNPWGITPFKKVVNLPSRIDPLLTTAVVSLHGRLFVRVPFEQGSAA >KJB14158 pep chromosome:Graimondii2_0_v6:2:15867637:15875872:1 gene:B456_002G112400 transcript:KJB14158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTEMLEQQLPEASQVNLVDTAVQEQQSSPPTKDQNANETIADTTSTLPTDVNMTDNPPMPVKPDNISSNGANTDPSDAPPAEPLEQKVNGDATPLRSLAPESGPEKVEKSKSWLLDPEMGEADEAGTPEERAAFMKELESFYKDRSLEFKPPKFYGEPLNCLKLWRAVIKLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKYKRENGEIQLPASSLPHASGEKESSGFLALGSGRARRDAAARAMQGWHAQRSVGYGEITEPIVKEKIMGSAPKRERHLKSIGLQKQKMPISAELDKSAHDKQLITEIVDVGTPADWVKINVRETQDCFEVYALVPGLLREEVRVQSDPAGRVVITGQPEQVDNPWGITPFKKVVNLPSRIDPLLTTAVVSLHGRLFVRVPFEQGSAA >KJB14155 pep chromosome:Graimondii2_0_v6:2:15867748:15875872:1 gene:B456_002G112400 transcript:KJB14155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTEMLEQQLPEASQVNLVDTAVQEQQSSPPTKDQNANETIADTTSTLPTDVNMTDNPPMPVKPDNISSNGANTDPSDAPPAEPLEQKVNGDATPLRSLAPESGPEKVEKSKSWLLDPEMGEADEAGTPEERAAFMKELESFYKDRSLEFKPPKFYGEPLNCLKLWRAVIKLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKYKRENGEIQLPASSLPHASGEKESSGFLALGSGRARRDAAARAMQGWHAQRSVGYGEITEPIVKEKIMGSAPKRERHLKSIGLQKQKMPISAELDKSAHDKQLITEIVDVGTPADWVKINVRETQDCFEVYALVPGLLREEVRVQSDPAGRVVITGQPEQVDNPWGITPFKKVVNLPSRIDPLLTTAVVSLHGRLFVRVPFEQGSAA >KJB12349 pep chromosome:Graimondii2_0_v6:2:927893:928431:-1 gene:B456_002G014200 transcript:KJB12349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAFIIIFIVFIIFIHYAILRFLPSTASVEPSTSDSGETAISSAKLECGPSADSVATSASDSGEAAISSAKSEVGPPTLWESSPAERLKFSTSLAAPELKTASSASGDAASSKAFSLCPTTNWIESTKANENTTKGIFTIFYVF >KJB14247 pep chromosome:Graimondii2_0_v6:2:16450295:16454619:-1 gene:B456_002G115800 transcript:KJB14247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTIFSQGTMLGGLPDSAMDFDYMDELLLDGCWLETTQGSDFLNPTLPFSNNFFDPAFTWPTSESNAGDLGGGLSHQGENQRSLLSGNSHWNEAQGESLVSPHFSQSHSLDSDCVTDGSEPNRRWWIGPRVNSGPATSVMQRLIQALGYIKAFGKEKDVLVQLWLPVNRGGRRFLTTSEQPFSLDPNSQKLASYRNISVKYDFPAEEDSKDIAGLPGRVFLSKVPEWTPDVRFFKRDEYPRVGHAQEHDVRGTFALPVFEQGSGTCLGVIEVVMTTEKIKIQPELESVCKALEAVNLRSSITSRTQNIKAYNNCYQAALPEIKELLRCACETHRLPLAQAWVPCIQQGKEGCRHSSENYVHCVSTVDDACCIADPSIQGFHEACSEHHLLKGQGVIGRAFLTNQPCFSTDITSFKKTEYPLAHHAMMFNLHAAVALRFRSIHTGKADFVLEFFLPAHCRDPEGQRKMLNSLSIIIQQVCCSLRVVTDKELVEETDLAVSEVVAPSDGLPIREESSKRQCTNHHSKKLSGESSSCLTKVQKSSNTALVLEKEKPRPMLDEKLPEVEQHQQHISLQESVECGDSTFNEISFSSVTMGKTSEKRRSKAEKTITLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQHVIDSVQGASGAFHISNFYANFPELASPKLLGNSALSTSPLNDKPKQINIQPEGGNFLSQAALSNSPSSSCSQSSSSSHSCSSGTHRPSNFNMSGKEDHIIGDNSGDGELKRVKSDVELHASSQEGPKLFPRSQSLRSLKEQLICDNLQPISKNTSPIAHDLEAQRVKVTYGDEKIRFRMQSKWRFKDLLHEIARRFNIDDISRFDLKYLDDDSEWVLLTCDADMVECIDVCGSSQGNTIKLSLQVSHHHLDQFSGSTGS >KJB14248 pep chromosome:Graimondii2_0_v6:2:16450295:16455270:-1 gene:B456_002G115800 transcript:KJB14248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTIFSQGTMLGGLPDSAMDFDYMDELLLDGCWLETTQGSDFLNPTLPFSNNFFDPAFTWPTSESNAGDLGGGLSHQGENQRSLLSGNSHWNEAQGESLVSPHFSQSHSLDSDCVTDGSEPNRRWWIGPRVNSGPATSVMQRLIQALGYIKAFGKEKDVLVQLWLPVNRGGRRFLTTSEQPFSLDPNSQKLASYRNISVKYDFPAEEDSKDIAGLPGRVFLSKVPEWTPDVRFFKRDEYPRVGHAQEHDVRGTFALPVFEQGSGTCLGVIEVVMTTEKIKIQPELESVCKALEAVNLRSSITSRTQNIKAYNNCYQAALPEIKELLRCACETHRLPLAQAWVPCIQQGKEGCRHSSENYVHCVSTVDDACCIADPSIQGFHEACSEHHLLKGQGVIGRAFLTNQPCFSTDITSFKKTEYPLAHHAMMFNLHAAVALRFRSIHTGKADFVLEFFLPAHCRDPEGQRKMLNSLSIIIQQVCCSLRVVTDKELVEETDLAVSEVVAPSDGLPIREESSKRQCTNHHSKKLSGESSSCLTKVQKSSNTALVLEKEKPRPMLDEKLPEVEQHQQHISLQESVECGDSTFNEISFSSVTMGKTSEKRRSKAEKTITLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQHVIDSVQGASGAFHISNFYANFPELASPKLLGNSALSTSPLNDKPKQINIQPEGGNFLSQAALSNSPSSSCSQSSSSSHSCSSGTHRPSNFNMSGKEDHIIGDNSGDGELKRVKSDVELHASSQEGPKLFPRSQSLRSLKEQLICDNLQPISKNTSPIAHDLEAQRVKVTYGDEKIRFRMQSKWRFKDLLHEIARRFNIDDISRFDLKYLDDDSEWVLLTCDADMVECIDVCGSSQGNTIKLSLQVSHHHLDQFSGSTGS >KJB13996 pep chromosome:Graimondii2_0_v6:2:13857086:13857488:1 gene:B456_002G105500 transcript:KJB13996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQHLYSKIGIHAVKIYGPKVMFDRGPTVAFNVFGWKGERIDPALVQKLANRNNISLCIGCLQHIWFNVIHEEMKEKGNIYWLWVFVSRFLDADFLKKEKWRYKATNKKTIEI >KJB15793 pep chromosome:Graimondii2_0_v6:2:53194891:53197865:1 gene:B456_002G196300 transcript:KJB15793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFVNRDALATTITSRKATFFSRSRATLWTKGETSNNFINIYDIFVDCDRDSIIYLGKPDGPTCHTGSETCYFTSIGDLFKEQEVEETNLALTTLYSLEATISKRELELAGKHGKPSWTKRLLLDENLLCSKIREEADELCRTLEEKEDSSCTASEMADVIYHAMVLLRRKDVKIENVLEVLRRRFSQSGIEEKQSRASTES >KJB15790 pep chromosome:Graimondii2_0_v6:2:53192888:53197949:1 gene:B456_002G196300 transcript:KJB15790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLPCIQPVRVPFKACSFFPAGVCGGFKNKKRINAVVFSSLRKISNDINIESKVDTLLDSIKWDDKGLAVAIAQNVDTGAILMQGFVNRDALATTITSRKATFFSRSRATLWTKGETSNNFINIYDIFVDCDRDSIIYLGKPDGPTCHTGSETCYFTSIGDLFKEQEVEETNLALTTLYSLEATISKRELELAGKHGKPSWTKRLLLDENLLCSKIREEADELCRTLEEKEDSSCTASEMADVIYHAMVLLRRKDVKIENVLEVLRRRFSQSGIEEKQSRASTES >KJB15787 pep chromosome:Graimondii2_0_v6:2:53192888:53197949:1 gene:B456_002G196300 transcript:KJB15787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLPCIQPVRVPFKACSFFPAGVCGGFKNKKRINAVVFSSLRKISNDINIESKIIYLGKPDGPTCHTGSETCYFTSIGDLFKEQEVEETNLALTTLYSLEATISKRELELAGKHGKPSWTKRLLLDENLLCSKIREEADELCRTLEEKEDSSCTASEMADVIYHAMVLLRRKDVKIENVLEVLRRRFSQSGIEEKQSRASTES >KJB15786 pep chromosome:Graimondii2_0_v6:2:53192893:53197985:1 gene:B456_002G196300 transcript:KJB15786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLPCIQPVRVPFKACSFFPAGVCGGFKNKKRINAVVFSSLRKISNDINIESKVDTLLDSIKWDDKGLAVAIAQNVDTGAILMQGFVNRDALATTITSRKATFFSRSRATLWTKGETSNNFINIYDIFVDCDRDSIIYLGKPDGPTCHTGSETCYFTSIGDLFKEQEVEETNLALTTLYSLEATISKRELELAGKHGKPSWTKRLLLDENLLCSKIREEADELCRTLEEKEDSSCTASEMADVIYHAMVLLRRKDVKIENVLEVLRRRFSQSGIEEKQSRASTES >KJB15789 pep chromosome:Graimondii2_0_v6:2:53192888:53197949:1 gene:B456_002G196300 transcript:KJB15789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLPCIQPVRVPFKACSFFPAGVCGGFKNKKRINAVVFSSLRKISNDINIESKVDTLLDSIKWDDKGLAVAIAQNVDTGAILMQGFVNRDALATTITSRKATFFSRSRATLWTKGETSNNFINIYDIFVDCDRDSIIYLGKPDGPTCHTGSETCYFTSIGDLFKEQEVEETNLALTTLYSLEATISKRELELAGKHGKPSWTKRLLLDENLLCSKIREEADELCRTLEEKEDSSCTASEMADVIYHAMVLLRRKDVKIENVLEVLRRRFSQSGIEEKQSRASTES >KJB15791 pep chromosome:Graimondii2_0_v6:2:53193071:53197865:1 gene:B456_002G196300 transcript:KJB15791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLPCIQPVDTLLDSIKWDDKGLAVAIAQNVDTGAILMQGFVNRDALATTITSRKATFFSRSRATLWTKGETSNNFINIYDIFVDCDRDSIIYLGKPDGPTCHTGSETCYFTSIGDLFKEQEVEETNLALTTLYSLEATISKRELELAGKHGKPSWTKRLLLDENLLCSKIREEADELCRTLEEKEDSSCTASEMADVIYHAMVLLRRKDVKIENVLEVLRRRFSQSGIEEKQSRASTES >KJB15792 pep chromosome:Graimondii2_0_v6:2:53195822:53197865:1 gene:B456_002G196300 transcript:KJB15792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFVNRDALATTITSRKATFFSRSRATLWTKGETSNNFINIYDIFVDCDRDSIIYLGKPDGPTCHTGSETCYFTSIGDLFKEQEVEETNLALTTLYSLEATISKRELELAGKHGKPSWTKRLLLDENLLCSKIREEADELCRTLEEKEDSSCTASEMADVIYHAMVLLRRKDVKIENVLEVLRRRFSQSGIEEKQSRASTES >KJB15788 pep chromosome:Graimondii2_0_v6:2:53192920:53197985:1 gene:B456_002G196300 transcript:KJB15788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLPCIQPVRVPFKACSFFPAGVCGGFKNKKRINAVVFSSLRKISNDINIESKVDTLLDSIKWDDKGLAVAIAQNVDTGAILMQGFVNRDALATTITSRKATFFSRSRATLWTKGETSNNFINIYDIFVDCDRDSIIYLGKPDGPTCHTGSETCYFTSIGDLFKEQEVEETNLALTTLYSLEATISKRELELAGKHGKPSWTKRLLLDENLLCSKIREEADELCRTLEEKEDSSCTASEMADVIYHAMVLLRRKDVKIENVLEVLRRRFSQSGIEEKQSRASTES >KJB15784 pep chromosome:Graimondii2_0_v6:2:53192888:53197949:1 gene:B456_002G196300 transcript:KJB15784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLPCIQPVRVPFKACSFFPAGVCGGFKNKKRINAVVFSSLRKISNDINIESKIIYLGKPDGPTCHTGSETCYFTSIGDLFKEQEVEETNLALTTLYSLEATISKRELELAGKHGKPSWTKRLLLDENLLCSKIREEADELCRTLEEKEDSSCTASEMADVIYHAMVLLRRKDVKIENVLEVLRRRFSQSGIEEKQSRASTES >KJB15785 pep chromosome:Graimondii2_0_v6:2:53193021:53197865:1 gene:B456_002G196300 transcript:KJB15785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLPCIQPVRVPFKACSFFPAGVCGGFKNKKRINAVVFSSLRKISNDINIESKVDTLLDSIKWDDKGLAVAIAQNVDTGAILMQGFVNRDALATTITSRKATFFSRSRATLWTKGETSNNFINIYDIFVDCDRDSIIYLGKPDGPTCHTGSETCYFTSIGDLFKEQEVEETNLALTTLYSLEATISKRELELAGKHGKPSWTKRLLLDENLLCSKIREEADELCRTLEEKEDSSCTASEMADVIYHAMVLLRRKDVKIENVLEVLRRRFSQSGIEEKQSRASTES >KJB15898 pep chromosome:Graimondii2_0_v6:2:54391409:54394094:1 gene:B456_002G202400 transcript:KJB15898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKTDSEGTSIDASWPPRSPRRPVYYVQSPSNHDVEKMSYGSSPTASPTHHYYHCSPIHHSRESSTSRFSASLKNPRSLSAWKHVQLGRGDDDDDDDDDEMDGRDGSKANKIRLYLCLVFLFFVLFTVFSLILWGASRSYKPKILVKHIVFENFHYQAGNDQSGLPTDMFSLNSTVKISYRNPATFFAVHVTSTPWELHYFQLKIASGQMKKFTQSRKSQRKVVTIVKGSQVPLYGGIPVLVASREHLNTIAVPLNLTFVMRSRAYILGRLVKTKFYGRIRCSVTLRGNKLGTALNLTDSCIYQ >KJB15900 pep chromosome:Graimondii2_0_v6:2:54391472:54394076:1 gene:B456_002G202400 transcript:KJB15900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKTDSEGTSIDASWPPRSPRRPVYYVQSPSNHDVEKMSYGSSPTASPTHHYYHCSPIHHSRESSTSRFSASLKNPRSLSAWKHVQLGRGDDDDDDDDDEMDGRDGSKANKIRLYLCLVFLFFVLFTVFSLILWGASRSYKPKILVKAGNDQSGLPTDMFSLNSTVKISYRNPATFFAVHVTSTPWELHYFQLKIASGQMKKFTQSRKSQRKVVTIVKGSQVPLYGGIPVLVASREHLNTIAVPLNLTFVMRSRAYILGRLVKTKFYGRIRCSVTLRGNKLGTALNLTDSCIYQ >KJB15899 pep chromosome:Graimondii2_0_v6:2:54391472:54393039:1 gene:B456_002G202400 transcript:KJB15899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKTDSEGTSIDASWPPRSPRRPVYYVQSPSNHDVEKMSYGSSPTASPTHHYYHCSPIHHSRESSTSRFSASLKNPRSLSAWKHVQLGRGDDDDDDDDDEMDGRDGSKANKIRLYLCLVFLFFVLFTVFSLILWGASRSYKPKILVKHIVFENFHYQAGNDQSGLPTDMFSLNSTVKISYRNPATFFAVHVTSTPWELHYFQLKIASGQVNGKGPIFLLILPYLL >KJB16714 pep chromosome:Graimondii2_0_v6:2:60856168:60860573:1 gene:B456_002G244400 transcript:KJB16714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKKEEEEWSGSESSDYTSEDEGTEDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGNFSTVWLAWDTQLSRYVALKVQKSAKHYTEAAMDEITILQQIADGDKEDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGMPIHRVKEICFHILAGLDYLHRELSIIHTDLKPENILLLSMIDPSKDPRKSGTPLVLPNNKDKMLLDSLNSTNGDLSRNQKKKIRRKAKRAAQGCVEKEVSAAAGMDPETSGAAESSLSEYTNVDSIEEHPACSSNANRLSDADTTKDTDQASVGNKRGSRSTRRKLLALVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLIEKYEFSEQDASDLTDFLVPILDFVPEKRPSAAQCLVHPWIDAGPRLLEPSGSSSQTQVAVSEKKTKEMDDMEAMEVAMGNIAISADSKHAKDHQPPSKPSNAAISSSR >KJB16715 pep chromosome:Graimondii2_0_v6:2:60856168:60863202:1 gene:B456_002G244400 transcript:KJB16715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKKEEEEWSGSESSDYTSEDEGTEDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGNFSTVWLAWDTQLSRYVALKVQKSAKHYTEAAMDEITILQQIADGDKEDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGMPIHRVKEICFHILAGLDYLHRELSIIHTDLKPENILLLSMIDPSKDPRKSGTPLVLPNNKDKMLLDSLNSTNGDLSRNQKKKIRRKAKRAAQGCVEKEVSAAAGMDPETSGAAESSLSEYTNVDSIEEHPACSSNANRLSDADTTKDTDQASVGNKRGSRSTRRKLLALVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLIEKYEFSEQDASDLTDFLVPILDFVPEKRPSAAQCLVHPWIDAGPRLLEPSGSSSQTQVAVSEKKTKEMDDMEAMEVAMGNIAISADSKHAKDHQPPSKPSNAAISSSRYPHQSFLQLPDFLDRNRHRKRFPIQNIAKRRHVIFRYQNRDASVVQSLHNPGACNFVATGTKAVFTFSHQVNVR >KJB12344 pep chromosome:Graimondii2_0_v6:2:868055:869932:-1 gene:B456_002G013300 transcript:KJB12344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHRNPSTNSASSSTSSTSSAAAHHQPPHSSATDNDPMHSWWESVSKQRSRILSLAALLPSDGVAVSSLADSDRPAVSLLSSPFAYSLISSALSSPKSGSGSDRLCQWLYETFQSSDPHLRLLVLSFLPLLSGIYLSRVHSSDSSSLPSLAGFEAVLLAVYSSEAKSRAGKPLLVQIPDLSQPSLYHAPRNKPVDDRSRQSVGVLSPPLEPHLAVKSTKRAIIVGTALDCYYKQVSQMPAWSKLDFCKFAAAWAGQDCPCRTKLDRDEDNHHENGNVNGNGHIRLLREDSGFSNGTRSGDGDEVDYEDDVIKEVVVVEMNTLGINEENLETKGVRIPLPWELLRPVVTILGHCLFGPLNSQDVKDSASVAVRCLYARASHDLAPQAILALQSLIRLDKNARAAATTAATVTTNASSNANTPSKAKKPEILLVSK >KJB15823 pep chromosome:Graimondii2_0_v6:2:53574977:53576701:-1 gene:B456_002G198000 transcript:KJB15823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLDHVSDLFDCSHSSKHKKRKQLQTVEIKVKMDCEGCERKVKKSVEGMKGVTQVDVERKASKLTVVGYVDPKKVVARVAHRTGKKVELWPYVPYDVVAHPYAPGVYDKKAPAGYVRNAEDPQVSHLARASSTEVRYTTAFSDENPAACSVM >KJB15821 pep chromosome:Graimondii2_0_v6:2:53574977:53575851:-1 gene:B456_002G198000 transcript:KJB15821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCEGCERKVKKSVEGMKGVTQVDVERKASKLTVVGYVDPKKVVARVAHRTGKKVELWPYVPYDVVAHPYAPGVYDKKAPAGYVRNAEDPQVSHLARASSTEVRYTTAFSDENPAACSVM >KJB15822 pep chromosome:Graimondii2_0_v6:2:53574977:53576643:-1 gene:B456_002G198000 transcript:KJB15822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQICLTVLTVPSTRNTVEIKVKMDCEGCERKVKKSVEGMKGVTQVDVERKASKLTVVGYVDPKKVVARVAHRTGKKVELWPYVPYDVVAHPYAPGVYDKKAPAGYVRNAEDPQVSHLARASSTEVRYTTAFSDENPAACSVM >KJB14882 pep chromosome:Graimondii2_0_v6:2:27719446:27726836:-1 gene:B456_002G147800 transcript:KJB14882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTPGISINDGNLVVHGKTILTGIPDNIVLTPGSGVGLVAGAFIGATASDCKSLHVFPIGVLEGLRFMCCFRFKLWWMTQRMGTCGKDVPLETQFMLVESKEEDDPNAPTIYTVFLPLLEGQFRAVLQGNDKNELEICLESGDNTVETNRGLYLVYMHAGTNPFEVINQAVKALEKHMQTFLHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVKEGLKSLSEGGTPPRFLIIDDGWQQIESKPKESDCVVQEGAQFASRLTGIKENAKFKKNDQNNEQISGLKHVVDEAKQHHNVKNVYVWHALAGYWGGVKPAAAGMEHYDTALAYPVQSPGVMGNQPDIVMDSLAVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYVQALEASISRNFPDNGCIACMCHNTDGIYSTKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAADYHAAARAVGGCAIYVSDKPGNHNFELLKKLVLPDGSVLRTQLPGRPTVDCLFADPARDGISLLKIWNVNKCSGVVGVFNCQGAGWCKVTKKTRIHDASPGTLTGSVCANDVDSIAQVAGADWNGESVVYAHRSGELVRLPKGASVPVTLKVLEYELFHFCPVKEISNTISFAPIGLLDMFNSSGAVEKFEVQMTSNEKLQFFDGEVSSELTTSLSNNRNPTAAISLKVRGCGRFGAYSSQHPLKCCVDNADTHFNYDSATGLVTLTLPVPSEEMYRWHVEIQV >KJB14885 pep chromosome:Graimondii2_0_v6:2:27719516:27726796:-1 gene:B456_002G147800 transcript:KJB14885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTPGISINDGNLVVHGKTILTGIPDNIVLTPGSGVGLVAGAFIGATASDCKSLHVFPIGVLEGLRFMCCFRFKLWWMTQRMGTCGKDVPLETQFMLVESKEEDDPNAPTIYTVFLPLLEGQFRAVLQGNDKNELEICLESGDNTVETNRGLYLVYMHAGTNPFEVINQAVKALEKHMQTFLHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVKEGLKSLSEGGTPPRFLIIDDGWQQIESKPKESDCVVQEGAQFASRLTGIKENAKFKKNDQNNEQISGLKHVVDEAKQHHNVKNVYVWHALAGYWGGVKPAAAGMEHYDTALAYPVQSPGVMGNQPDIVMDSLAVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYVQALEASISRNFPDNGCIACMCHNTDGIYSTKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAADYHAAARAVGGCAIYVRPTVDCLFADPARDGISLLKIWNVNKCSGVVGVFNCQGAGWCKVTKKTRIHDASPGTLTGSVCANDVDSIAQVAGADWNGESVVYAHRSGELVRLPKGASVPVTLKVLEYELFHFCPVKEISNTISFAPIGLLDMFNSSGAVEKFEVQMTSNEKLQFFDGEVSSELTTSLSNNRNPTAAISLKVRGCGRFGAYSSQHPLKCCVDNADTHFNYDSATGLVTLTLPVPSEEMYRWHVEIQV >KJB14887 pep chromosome:Graimondii2_0_v6:2:27719516:27726796:-1 gene:B456_002G147800 transcript:KJB14887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAGTNPFEVINQAVKALEKHMQTFLHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVKEGLKSLSEGGTPPRFLIIDDGWQQIESKPKESDCVVQEGAQFASRLTGIKENAKFKKNDQNNEQISGLKHVVDEAKQHHNVKNVYVWHALAGYWGGVKPAAAGMEHYDTALAYPVQSPGVMGNQPDIVMDSLAVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYVQALEASISRNFPDNGCIACMCHNTDGIYSTKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAADYHAAARAVGGCAIYVSDKPGNHNFELLKKLVLPDGSVLRTQLPGRPTVDCLFADPARDGISLLKIWNVNKCSGVVGVFNCQGAGWCKVTKKTRIHDASPGTLTGSVCANDVDSIAQVAGADWNGESVVYAHRSGELVRLPKGASVPVTLKVLEYELFHFCPVKEISNTISFAPIGLLDMFNSSGAVEKFEVQMTSNEKLQFFDGEVSSELTTSLSNNRNPTAAISLKVRGCGRFGAYSSQHPLKCCVDNADTHFNYDSATGLVTLTLPVPSEEMYRWHVEIQV >KJB14886 pep chromosome:Graimondii2_0_v6:2:27720459:27724962:-1 gene:B456_002G147800 transcript:KJB14886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTPGISINDGNLVVHGKTILTGIPDNIVLTPGSGVGLVAGAFIGATASDCKSLHVFPIGVLEGLRFMCCFRFKLWWMTQRMGTCGKDVPLETQFMLVESKEEDDPNAPTIYTVFLPLLEGQFRAVLQGNDKNELEICLESGDNTVETNRGLYLVYMHAGTNPFEVINQAVKALEKHMQTFLHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVKEGLKSLSEGGTPPRFLIIDDGWQQIESKPKESDCVVQEGAQFASRLTGIKENAKFKKNDQNNEQISGLKHVVDEAKQHHNVKNVYVWHALAGYWGGVKPAAAGMEHYDTALAYPVQSPGVMGNQPDIVMDSLAVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYVQALEASISRNFPDNGCIACMCHNTDGIYSTKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAADYHAAARAVGGCAIYVSDKPGNHNFELLKKLVLPDGSVLRTQLPGRPTVDCLFADPARDGISLLKIWNVNKCSGVVGVFNCQGAGWCKVTKKTRIHDASPGTLTGSVCANDVDSIAQVAGADWNGESVVYAHRSGTFCLLRCQVTSANWIYFDLKC >KJB14883 pep chromosome:Graimondii2_0_v6:2:27719516:27726796:-1 gene:B456_002G147800 transcript:KJB14883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTPGISINDGNLVVHGKTILTGIPDNIVLTPGSGVGLVAGAFIGATASDCKSLHVFPIGVLEGLRFMCCFRFKLWWMTQRMGTCGKDVPLETQFMLVESKEEDDPNAPTIYTVFLPLLEGQFRAVLQGNDKNELEICLESGDNTVETNRGLYLVYMHAGTNPFEVINQAVKALEKHMQTFLHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVKEGLKSLSEGGTPPRFLIIDDGWQQIESKPKESDCVVQEGAQFASRLTGIKENAKFKKNDQNNEQISGLKHVVDEAKQHHNVKNVYVWHALAGYWGGVKPAAAGMEHYDTALAYPVQSPGVMGNQPDIVMDSLAVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYVQALEASISRNFPDNGCIACMCHNTDGIYSTKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAADYHAAARAVGGCAIYVSDKPGNHNFELLKKLVLPDGSVLRTQLPGRPTVDCLFADPARDGISLLKIWNVNKCSGVVGVFNCQGAGWCKVTKKTRIHDASPGTLTGSVCANDVDSIAQVAGADWNGESVVYAHRSGELVRLPKGASVPVTLKVLEYELFHFCPVKEISNTISFAPIGLLDMFNSSGAVEKFEVQMTSNEKLQFFDGEVSSELTTSLSNNRNPTAAISLKVRGCGRFGAYSSQHPLKCCVDNADTHFNYDSATGLVTLTLPVPSEEMYRWHVEIQV >KJB14888 pep chromosome:Graimondii2_0_v6:2:27720324:27724962:-1 gene:B456_002G147800 transcript:KJB14888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTPGISINDGNLVVHGKTILTGIPDNIVLTPGSGVGLVAGAFIGATASDCKSLHVFPIGVLEGLRFMCCFRFKLWWMTQRMGTCGKDVPLETQFMLVESKEEDDPNAPTIYTVFLPLLEGQFRAVLQGNDKNELEICLESGDNTVETNRGLYLVYMHAGTNPFEVINQAVKALEKHMQTFLHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVKEGLKSLSEGGTPPRFLIIDDGWQQIESKPKESDCVVQEGAQFASRLTGIKENAKFKKNDQNNEQISGLKHVVDEAKQHHNVKNVYVWHALAGYWGGVKPAAAGMEHYDTALAYPVQSPGVMGNQPDIVMDSLAVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYVQALEASISRNFPDNGCIACMCHNTDGIYSTKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAADYHAAARAVGGCAIYVSDKPGNHNFELLKKLVLPDGSVLRTQLPGRPTVDCLFADPARDGISLLKIWNVNKCSGVVGVFNCQGAGWCKVTKKTRIHDASPGTLTGSVCANDVDSIAQVAGADWNGESVVYAHRSGELVRLPKGASVPVTLKVLEYELFHFCPVKVSFFPSF >KJB14884 pep chromosome:Graimondii2_0_v6:2:27719516:27726796:-1 gene:B456_002G147800 transcript:KJB14884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTPGISINDGNLVVHGKTILTGIPDNIVLTPGSGVGLVAGAFIGATASDCKSLHVFPIGVLEGLRFMCCFRFKLWWMTQRMGTCGKDVPLETQFMLVESKEEDDPNAPTIYTVFLPLLEGQFRAVLQGNDKNELEICLESGDNTVETNRGLYLVYMHAGTNPFEVINQAVKALEKHMQTFLHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVKEGLKRYLSEGGTPPRFLIIDDGWQQIESKPKESDCVVQEGAQFASRLTGIKENAKFKKNDQNNEQISGLKHVVDEAKQHHNVKNVYVWHALAGYWGGVKPAAAGMEHYDTALAYPVQSPGVMGNQPDIVMDSLAVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYVQALEASISRNFPDNGCIACMCHNTDGIYSTKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAADYHAAARAVGGCAIYVSDKPGNHNFELLKKLVLPDGSVLRTQLPGRPTVDCLFADPARDGISLLKIWNVNKCSGVVGVFNCQGAGWCKVTKKTRIHDASPGTLTGSVCANDVDSIAQVAGADWNGESVVYAHRSGELVRLPKGASVPVTLKVLEYELFHFCPVKEISNTISFAPIGLLDMFNSSGAVEKFEVQMTSNEKLQFFDGEVSSELTTSLSNNRNPTAAISLKVRGCGRFGAYSSQHPLKCCVDNADTHFNYDSATGLVTLTLPVPSEEMYRWHVEIQV >KJB15085 pep chromosome:Graimondii2_0_v6:2:34883252:34887063:-1 gene:B456_002G159200 transcript:KJB15085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMLSFFNQIQTLNCASPNFFFTLRFEQIDHFQKIHSRTGNPFNSMLFFDDEDRNIQAVSECDKQKKPEKGSVTLEEIGKRNTSAGNTADGTQLDAEIAGPENIKKKNGAKGTGKKATIKDQVESTSESEGSLLDKLVVVKYNGALGKNMGFGGPKKYLASFEANGVLVTVHECSLLKSFSTSLRIANLYVAICPYIEGIVEDSISSSWKSQGLALLQVECYLMLHSMVVYLSRNLN >KJB15086 pep chromosome:Graimondii2_0_v6:2:34883956:34886947:-1 gene:B456_002G159200 transcript:KJB15086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMLSFFNQIQTLNCASPNFFFTLRFEQIDHFQKIHSRTGNPFNSMLFFDDEDRNIQAVSECDKQKKPEKGSVTLEEIGKRNTSAGNTADGTQLDAEIAGPENIKKKNGAKGTGKKATIKDQVESTSESEGSVSDEVLVVPYDNLAPMSCDISKSKQLLDKLVVVKYNGALGKNMGFGGPKKYLASFEANGVLVTVHECSLLKSFSTSLRIANLYVAICPCEYFQFQKIRSSFSSFREI >KJB12980 pep chromosome:Graimondii2_0_v6:2:4106173:4111528:-1 gene:B456_002G048300 transcript:KJB12980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTSLRTLASGLSGPIPDIGSSGNLEFLMITDLNGVESTFPRLSNLSKLEYLILRSCNLVGKLPTSLENMPKLETLDLSFNKLSGEIKISLPDVKQLFLTGNMFTGAVPQWILNTNRKIDLSYNKFTSTGGVDDCQKSGLNLFASTSRINNSGVVSCLGNLNCPVEPLHSFYINCGGKEETINNITYEADPDKVGTSTFYRSTTHWAFSSSGIFLDDHTEEDVLVLENKQLSSSIGQIYSNARLSPSSLTYYAFCLHNATYSVSLHFTEIQFTDGKNYGSLGRRIFDVYIQGKLELKDFNIKDEAGGAGKPILKNFTANVSDGTLEIRLQWTGKGTTVIPMRGVYGPLISAISVFDPVYKPQSESRGGISAVAKVAIVAAAAFATFLLVVGILWWSGCLRRRSTLERYLKGIELQTGSFTLRQIKDATNNFDAANKVGEGGFGPVYKGILADGKEIAVKQLSARSNQGNREFVTEIGMISAVQHPHLVKLYGCCIEGNQLMLIYEYLENNSLARALFGPEEFQLTLDWPTRRKICIGIARGLAYLHEESRLKIVHRDIKATNVLLDKNLDPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMHGRLTDKADVYSFGIVALEIVSGTCNTKHNRVKTEYFYLLDVAHRLKQEGNLLDLIDPRVASHCNAGEAMVMVDVALSCTNPTAAARPSMSMVVSVLEGRASVSDILTDSSIYASKFDAKKLYGRVEGNDAENNSQVKSMLRDGCTDQFMPGPNNQRPKTQINHTPKLTQMAQYNWPKQPNDPIT >KJB12979 pep chromosome:Graimondii2_0_v6:2:4106173:4110660:-1 gene:B456_002G048300 transcript:KJB12979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITDLNGVESTFPRLSNLSKLEYLILRSCNLVGKLPTSLENMPKLETLDLSFNKLSGEIKISLPDVKQLFLTGNMFTGAVPQWILNTNRKIDLSYNKFTSTGGVDDCQKSGLNLFASTSRINNSGVVSCLGNLNCPVEPLHSFYINCGGKEETINNITYEADPDKVGTSTFYRSTTHWAFSSSGIFLDDHTEEDVLVLENKQLSSSIGQIYSNARLSPSSLTYYAFCLHNATYSVSLHFTEIQFTDGKNYGSLGRRIFDVYIQGKLELKDFNIKDEAGGAGKPILKNFTANVSDGTLEIRLQWTGKGTTVIPMRGVYGPLISAISVFDPVYKPQSESRGGISAVAKVAIVAAAAFATFLLVVGILWWSGCLRRRSTLERYLKGIELQTGSFTLRQIKDATNNFDAANKVGEGGFGPVYKGILADGKEIAVKQLSARSNQGNREFVTEIGMISAVQHPHLVKLYGCCIEGNQLMLIYEYLENNSLARALFGPEEFQLTLDWPTRRKICIGIARGLAYLHEESRLKIVHRDIKATNVLLDKNLDPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMHGRLTDKADVYSFGIVALEIVSGTCNTKHNRVKTEYFYLLDVAHRLKQEGNLLDLIDPRVASHCNAGEAMVMVDVALSCTNPTAAARPSMSMVVSVLEGRASVSDILTDSSIYASKFDAKKLYGRVEGNDAENNSQVKSMLRDGCTDQFMPGPNNQRPKTQINHTPKLTQMAQYNWPKQPNDPIT >KJB16251 pep chromosome:Graimondii2_0_v6:2:57333327:57335482:-1 gene:B456_002G219600 transcript:KJB16251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEGTLEYISDLLSSVKKKKKKKQIQTVALKIRMDCEGCARKVKKVLSGVKGAKSVDVDLKQQKATVTGYVEAKKVLAAAQSTKKKVELWPYVPYTLVANPYVAQAYDKKAPPNHVRKVPATATVTETTIDDRYTNLFSDDNPNACSIM >KJB16250 pep chromosome:Graimondii2_0_v6:2:57334201:57335288:-1 gene:B456_002G219600 transcript:KJB16250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEGTLEYISDLLSSVKKKKKKKQIQTVALKIRMDCEGCARKVKKVLSGVKGAKSVDVDLKQQKATVTGYVEAKKVLAAAQSTKKKVELWPYVPYTLVANPYVAQAYDKKAPPNHVRKVPATATVTETTIDDRYTNLFSDDNPNACSIM >KJB14271 pep chromosome:Graimondii2_0_v6:2:16593070:16598039:1 gene:B456_002G117000 transcript:KJB14271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSFLLFSYLLSVFASLPSFTVVKADSDLTRPSFTPINRDLYHSSDDLIEQIKSLVHRHPDKLTVETIKAGNKGYTSEITVVTYCQSRKETDDKSKFRILLSFGQHGRELITSELALRILSILSEEQFLPKMDRASLNGTLDNVVIKVVPMENLNGRKLVEAGNLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGIAPFSEPETQIMRKIAISFDPHIWVNVHSGMEALFMPYDHKNRTPDGLPSQRMRLLLEELNNVHCHKRCMIGSGGGSVGYLAHGTATDYMHDVVRVPMSFTFELHVVG >KJB14270 pep chromosome:Graimondii2_0_v6:2:16592938:16598066:1 gene:B456_002G117000 transcript:KJB14270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSFLLFSYLLSVFASLPSFTVVKADSDLTRPSFTPINRDLYHSSDDLIEQIKSLVHRHPDKLTVETIKAGNKGYTSEITVVTYCQSRKETDDKSKFRILLSFGQHGRELITSELALRILSILSEEQFLPKMDRASLNGTLDNVVIKVVPMENLNGRKLVEAGNLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGIAPFSEPETQIMRKIAISFDPHIWVNVHSGMEALFMPYDHKNRTPDGLPSQRMRLLLEELNNVHCHKRCMIGSGGGSVGYLAHGTATDYMHDVVRVPMSFTFEIYGDNTATLKDCFKMFNPVDHTTFKRVLNDWSAAFFTIFKLGPHQIDVHSKAAVSSMDKWVSIDEYFDGYLMGRRNRYGKKMEVLEVGMQEIRTYFRLFLLSSVLLLFMFCSRISKTGRQIVSAIPI >KJB14272 pep chromosome:Graimondii2_0_v6:2:16593070:16598039:1 gene:B456_002G117000 transcript:KJB14272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPSSGSFLLFIVFQSFGQHGRELITSELALRILSILSEEQFLPKMDRASLNGTLDNVVIKVVPMENLNGRKLVEAGNLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGIAPFSEPETQIMRKIAISFDPHIWVNVHSGMEALFMPYDHKNRTPDGLPSQRMRLLLEELNNVHCHKRCMIGSGGGSVGYLAHGTATDYMHDVVRVPMSFTFEIYGDNTATLKDCFKMFNPVDHTTFKRVLNDWSAAFFTIFKLGPHQIDVHSKAAVSSMDKWVSIDEYFDGYLMGRRNRYGKKMEVLEVGMQEIRTYFRLFLLSSVLLLFMFCSRISKTGRQIVSAIPI >KJB13733 pep chromosome:Graimondii2_0_v6:2:11562781:11572998:-1 gene:B456_002G091400 transcript:KJB13733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRPRNYVAEEQSHSLPRSRADIHPFSSSSPQSILRQVDDNEENRNNEEFFDPLRAPDSKASVEPVDGHDFESSSNGNDDSFPVQTKEWTSFKRFLMQRFPVSKMISVSSMSNTIVRSGKAHEKSSSSTHLEELDDPQKFSETDTKVITQQEYVSRLHELKDEINRAWHSEDRVKSLKLSIKVARLLMDTSVSNFYPTLFVLVTDVLNMLGDMVWERIRQKAEFADDGARLCSLRAENFRASDVPIDAKETCYNWFCKVGSIRELLPRIYLELAIFPCWRFLIEQPAESLQRLVMMTRGIGDPLASAYCRLYIAHHAQKLPSHDTGYLITCLNDITLIFTRISSTKESAHGCFADSKRSLISLMEPSVEFIMKCILNDASQRRAGKVLVELGLGRSQEELFGGSLCVSIVLHHLLKELPIDIVSFHAVDILHLIKCSNDNSYDQCLNYRLVGLRLSEQISQIGSVEAVVNEVIQVVSEYGLDEYLKVVDAYLDIVLQNQMDGHLKTILEGISKLASDKVLAEDELASLQSILVKLLSHFKDLEHVFSLNHFLQILDMMHGNSRGIVNMHILDMATRNGYVHDPTTIQLLFEISQALNSDTDLVNMKNDDNQQQARLISRFVRMVDHGVEYERHLAFLMECRGALGSIIELKINEHVLSVCSKLIETAKLCLNAKDKYLTSTISFLYKNLSAATVSSSIAI >KJB13730 pep chromosome:Graimondii2_0_v6:2:11562781:11572998:-1 gene:B456_002G091400 transcript:KJB13730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRPRNYVAEEQSHSLPRSRADIHPFSSSSPQSILRQVDDNEENRNNEEFFDPLRAPDSKASVEPVDGHDFESSSNGNDDSFPVQTKEWTSFKRFLMQRFPVSKMISVSSMSNTIVRSGKAHEKSSSSTHLEELDDPQKFSETDTKVITQQEYVSRLHELKDEINRAWHSEDRVKSLKLSIKVARLLMDTSVSNFYPTLFVLVTDVLNMLGDMVWERIRQKAEFADDGARLCSLRENFRASDVPIDAKETCYNWFCKVGSIRELLPRIYLELAIFPCWRFLIEQPAESLQRLVMMTRGIGDPLASAYCRLYIAHHAQKLPSHDTGYLITCLNDITLIFTRISSTKESAHGCFADSKRSLISLMEPSVEFIMKCILNDASQRRAGKVLVELGLGRSQEELFGGSLCVSIVLHHLLKELPIDIVSFHAVDILHLIKCSNDNSYDQCLNYRLVGLRLSEQISQIGSVEAVVNEVIQVVSEYGLDEYLKVVDAYLDIVLQNQMDGHLKTILEGISKLASDKVLAEDELASLQSILVKLLSHFKDLEHVFSLNHFLQILDMMHGNSRGIVNMHILDMATRNGYVHDPTTIQLLFEISQALNSDTDLVNMKNDDNQQQARLISRFVRMVDHGVEYERHLAFLMECRGALGSIIELKINEHVLSVCSKLIETAKLCLNAKDKYLTSTISFLYKNLSAATVSSSIAI >KJB13731 pep chromosome:Graimondii2_0_v6:2:11563165:11572903:-1 gene:B456_002G091400 transcript:KJB13731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRPRNYVAEEQSHSLPRSRADIHPFSSSSPQSILRQVDDNEENRNNEEFFDPLRAPDSKASVEPVDGHDFESSSNGNDDSFPVQTKEWTSFKRFLMQRFPVSKMISVSSMSNTIVRSGKAHEKSSSSTHLEELDDPQKFSETDTKVITQQEYVSRLHELKDEINRAWHSEDRVKSLKLSIKVARLLMDTSVSNFYPTLFVLVTDVLNMLGDMVWERIRQKAEFADDGARLCSLRAENFRASDVPIDAKETCYNWFCKVGSIRELLPRIYLELAIFPCWRFLIEQPAESLQRLVMMTRGIGDPLASAYCRLYIAHHAQKLPSHDTGYLITCLNDITLIFTRISSTKESAHGCFADSKRSLISLMEPSVEFIMKCILNDASQRRAGKVLVELGLGRSQEELFGGSLCVSIVLHHLLKELPIDIVSFHAVDILHLIKCSNDNSYDQCLNYRLVGLRLSEQISQIGSVEAVVNEVIQVVSEYGLDEYLKVVDAYLDIVLQNQMDGHLKTILEGISKLASDKVLAEDELASLQSILVKLLSHFKDLEHVFSLNHFLQILDMMHGNSRGIVNMHILDMATRNGYVHDPTTIQLLFEISQALNSDTDLVNMKNDDNQQQARLISRFVRMVDHGVEYERHLAFLMECRGALGSIIELKEILVHSSNCLATKALKDGKKHTAFVKTCIAFSEITIPSIPGHIKQLNLYLGTAEVALLGGLVSHSDGLIDSAINCLQSSDWMEGSRTLDSDGMLSSIRKLCSLLVMVPGNPEVGILHIPKSILLIIHSRSWSPRLKARILFAIISLSATLSQERLPYHADHPEIMGNDLLFFGDSSYVRELLSLTESVLQNLVDVIEQEPSRAARGSLSLEACNCIASSFKINEHVLSVCSKLIETAKLCLNAKDKYLTSTISFLYKNLSAATVSSSIAI >KJB13732 pep chromosome:Graimondii2_0_v6:2:11563165:11572903:-1 gene:B456_002G091400 transcript:KJB13732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRPRNYVAEEQSHSLPRSRADIHPFSSSSPQSILRQVDDNEENRNNEEFFDPLRAPDSKASVEPVDGHDFESSSNGNDDSFPVQTKEWTSFKRFLMQRFPVSKMISVSSMSNTIVRSGKAHEKSSSSTHLEELDDPQKFSETDTKVITQQEYVSRLHELKDEINRAWHSEDRVKSLKLSIKVARLLMDTSVSNFYPTLFVLVTDVLNMLGDMVWERIRQKAEFADDGARLCSLRENFRASDVPIDAKETCYNWFCKVGSIRELLPRIYLELAIFPCWRFLIEQPAESLQRLVMMTRGIGDPLASAYCRLYIAHHAQKLPSHDTGYLITCLNDITLIFTRISSTKESAHGCFADSKRSLISLMEPSVEFIMKCILNDASQRRAGKVLVELGLGRSQEELFGGSLCVSIVLHHLLKELPIDIVSFHAVDILHLIKCSNDNSYDQCLNYRLVGLRLSEQISQIGSVEAVVNEVIQVVSEYGLDEYLKVVDAYLDIVLQNQMDGHLKTILEGISKLASDKVLAEDELASLQSILVKLLSHFKDLEHVFSLNHFLQILDMMHGNSRGIVNMHILDMATRNGYVHDPTTIQLLFEISQALNSDTDLVNMKNDDNQQQARLISRFVRMVDHGVEYERHLAFLMECRGALGSIIELKEILVHSSNCLATKALKDGKKHTAFVKTCIAFSEITIPSIPGHIKQLNLYLGTAEVALLGGLVSHSDGLIDSAINCLQSSDWMEGSRTLDSDGMLSSIRKLCSLLVMVPGNPEVGILHIPKSILLIIHSRSWSPRLKARILFAIISLSATLSQERLPYHADHPEIMGNDLLFFGDSSYVRELLSLTESVLQNLVDVIEQEPSRAARGSLSLEACNCIASSFKINEHVLSVCSKLIETAKLCLNAKDKYLTSTISFLYKNLSAATVSSSIAI >KJB12065 pep chromosome:Graimondii2_0_v6:2:44779160:44780093:1 gene:B456_002G175600 transcript:KJB12065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLKFLLFIFISFILNCNFILPKFLNHEPPIRQPQNELPWSAGFCDCCSDLKTSCGASGALYILIMFITGCGCLYSCCYRSKLRKQYNLKGGDCGDCMRHFCCEPCALTQEYREVQNRGFDMSIGWHANVVKNPGLAMAPAVEKGMSK >KJB12064 pep chromosome:Graimondii2_0_v6:2:44779162:44779865:1 gene:B456_002G175600 transcript:KJB12064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKLKFLLFIFISFILNCNFILPKFLNHEPPIRQPQNELPWSAGFCDCCSDLKTCCISYWCPCITFGQIAEIVDKGSTSCGASGALYILIMFITGCGCLYSCCYRSKLRKQYNLKGGDCGDCMRHFCCEPCALTQEYREVQNRGFDMSIGMSTYQYYTPFNYS >KJB12063 pep chromosome:Graimondii2_0_v6:2:44779394:44780052:1 gene:B456_002G175600 transcript:KJB12063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISGCISYWCPCITFGQIAEIVDKGSTSCGASGALYILIMFITGCGCLYSCCYRSKLRKQYNLKGGDCGDCMRHFCCEPCALTQEYREVQNRGFDMSIGWHANVVKNPGLAMAPAVEKGMSK >KJB12342 pep chromosome:Graimondii2_0_v6:2:859630:865848:1 gene:B456_002G013200 transcript:KJB12342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRISLTHVFILALLFSVISMIAKVEAQKRCTEVLSPSSCLLAECKQECFQKYPSGVGQCVQSGGTPLQPTYECLCVYNCPL >KJB12343 pep chromosome:Graimondii2_0_v6:2:865273:865848:1 gene:B456_002G013200 transcript:KJB12343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRISLTHVFILALLFSVISMIAKVEAQKRCTEVLSPSSCLLAECKQECFQKYPSGVGQCVQSGGTPLQPTYECLCVYNCPL >KJB14025 pep chromosome:Graimondii2_0_v6:2:14488995:14492711:-1 gene:B456_002G107300 transcript:KJB14025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATTSTTLSLLKTTASTTSSSASRSSASLIRLPSTRRHLKSSPLIHSLGFSSAASGDSLLSLHVSSKVRSFKGKGSRGVVSMAKKSVGDLTAADLKGKKVFVRADLNVPLDDSQNITDDTRIRAAIPTIKHLIQNGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVVKADDCIGPEVEKLVAALPEGGVLLLENVRFYKEEEKNEPEFAKKLASLADLFVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSIPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKAKGVSLLLPTDVVIADKFAPDANSKIVPASAIPDGWMGLDIGPDSVKTFNEALDTTQTIIWNGPMGVFEFDKFAVGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVIALDEAVPVAVAKL >KJB14026 pep chromosome:Graimondii2_0_v6:2:14489215:14492711:-1 gene:B456_002G107300 transcript:KJB14026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATTSTTLSLLKTTASTTSSSASRSSASLIRLPSTRRHLKSSPLIHSLGFSSAASGDSLLSLHVSSKVRSFKGKGSRGVVSMAKKSVGDLTAADLKGKKVFVRADLNVPLDDSQNITDDTRIRAAIPTIKHLIQNGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVVKADDCIGPEVEKLVAALPEGGVLLLENVRFYKEEEKNEPEFAKKLASLADLFVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSIPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKAKGVSLLLPTDVVIADKFAPDANSKIVPASAIPDGWMGLDIGPDSVKTFNEALDTTQTIIWNGPMGVFEFDKFAVGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVIALDEAVPVAV >KJB12275 pep chromosome:Graimondii2_0_v6:2:595338:597572:1 gene:B456_002G009100 transcript:KJB12275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLVHFLLFVLHHLLQAQALTLPQDISALKAFKSSIKQSSIPSWSCLASWDFSDDPCAVPHRTRFICGVSCSPDATRITQITLDPIGYSGQLTPLISQLTQLTTLDLSDNYFFGRIPSSIYSLRNLQTLTLTSNSFSGSIPDSVTALKSLETLDLSHNALSGKLPKSLNSLTSLKRLDLSYNKLTGFLPKLPFNLLELALKANSLSGYVSKSTFDGLTRLEVVELSDNSFSGTLGAWFFLLPSLQQVDLANNSFTHVDISKPAGGNSDLVAVNLGFNKIEGNLPANFADYPMLSSLSLRYNRLRGAIPLGYSKKKSLRRLFLDGNFLIGTPPAEFFAGDTEVTGSLGDNCLRGCPANSQLCSPRQKSYAICKRAYSGKPRKKK >KJB13428 pep chromosome:Graimondii2_0_v6:2:8674863:8676919:1 gene:B456_002G073800 transcript:KJB13428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDSSTGSQQPNLPPGFRFHPTDEELVVHYLKKKASSAPLPVAIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTSGGTQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLADNKTNNKPPGCDLGNKKNSLRLDDWVLCRIYKKNNANRPLEHDKDDSMDDMLGTVPPSISIGSQHNPKFHFSTKGTSFGTLLEHQEHNSLFDGMMGSDGINSASMVNPLKRTLPSLYWTDDETAGPSTSKRFHGDSNDGSMEKTDGNGSIATLLSQLPQTPPLQQQQAAMLGSMGDGIFRPPHQLPGLNWYS >KJB13180 pep chromosome:Graimondii2_0_v6:2:7333972:7335283:1 gene:B456_002G062100 transcript:KJB13180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLHLRHLTHHSLLSTSKLHHHFRFSPLKSPRFSCSASASSTMAKQVLVPIANGTEPMEAVITIDVIRRSGADVTVASVEKELRVDACHGVKIVADALVADCKNTVFDLIALPGGMPGASNFKDCGALESLVKKQAADGRLYAAVCASPAVALGSWGLLKGLKATCYPSFMEQLQSCATAVESRVQQDGKVVTSRGPGSTMEFAVTLVEQLYGKEKADEVSGPLVRALFTTVVTFFLGKRKSNQANWKLSG >KJB13184 pep chromosome:Graimondii2_0_v6:2:7333794:7337787:1 gene:B456_002G062100 transcript:KJB13184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGASNFKDCGALESLVKKQAADGRLYAAVCASPAVALGSWGLLKGLKATCYPSFMEQLQSCATAVESRVQQDGKVVTSRGPGSTMEFAVTLVEQLYGKEKADEVSGPLVMRPNHGDEYTITELNPLEWKCNNVPQVLVPIADGSEEMEAVMIIDILRRAKANVVVASVGDNLEILASRKVKLVADMLLDEAAKLTYDLVVLPGGLGGAQAFAKSDKLVNLLKKQAESNKPYGAICASPALVLEPHGLLKGKKATAYPAMCDKLSDQSHIDNRVVVDGNLITSRGPGTSMEFALGIVEKFFGRPKALELAKGLLVVRQ >KJB13181 pep chromosome:Graimondii2_0_v6:2:7333794:7337230:1 gene:B456_002G062100 transcript:KJB13181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLHLRHLTHHSLLSTSKLHHHFRFSPLKSPRFSCSASASSTMAKQVLVPIANGTEPMEAVITIDVIRRSGADVTVASVEKELRVDACHGVKIVADALVADCKNTVFDLIALPGGMPGASNFKDCGALESLVKKQAADGRLYAAVCASPAVALGSWGLLKGLKATCYPSFMEQLQSCATAVESRVQQDGKVVTSRGPGSTMEFAVTLVEQLYGKEKADEVSGPLVMRPNHGDEYTITELNPLEWKCNNVPQVLVPIADGSEEMEAVMIIDILRRAKANVVVASVGDNLEILASRKVKLVADMLLDEAAKLTYDLVVLPGGLGGAQAFAKSDKLVNLLKKQAESNKPYGAICASPALVLEPHGLLKV >KJB13179 pep chromosome:Graimondii2_0_v6:2:7333794:7335490:1 gene:B456_002G062100 transcript:KJB13179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLHLRHLTHHSLLSTSKLHHHFRFSPLKSPRFSCSASASSTMAKQVLVPIANGTEPMEAVITIDVIRRSGADVTVASVEKELRVDACHGVKIVADALVADCKNTVFDLIALPGGMPGASNFKDCGALESLVKKQAADGRLYAAVCASPAVALGSWGLLKGLKATCYPSFMEQLQSCATAVESRVQQDGKVVTSRGPGSTMEFAVTLVEQLYGKEKADEVSGPLVMRPNHGDEYTITELNPLEWKCNNVPQVRF >KJB13185 pep chromosome:Graimondii2_0_v6:2:7333794:7337787:1 gene:B456_002G062100 transcript:KJB13185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLHLRHLTHHSLLSTSKLHHHFRFSPLKSPRFSCSASASSTMAKQVLVPIANGTEPMEAVITIDVIRRSGADVTVASVEKELRVDACHGVKIVADALVADCKNTVFDLIALPGGMPGASNFKDCGALESLVKKQAADGRLYAAVCASPAVALGSWGLLKGLKATCYPSFMEQLQSCATAVESRVQQDGKVVTSRGPGSTMEFAVTLVEQLYGKEKADEVSGPLVMRPNHGDEYTITELNPLEWKCNNVPQVLVPIADGSEEMEAVMIIDILRRAKANVVVASVGDNLEILASRKVKLVADMLLDEAAKLTYDLVVLPV >KJB13186 pep chromosome:Graimondii2_0_v6:2:7333794:7337868:1 gene:B456_002G062100 transcript:KJB13186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLHLRHLTHHSLLSTSKLHHHFRFSPLKSPRFSCSASASSTMAKQVLVPIANGTEPMEAVITIDVIRRSGADVTVASVEKELRVDACHGVKIVADALVADCKNTVFDLIALPGGMPGASNFKDCGALESLVKKQAADGRLYAAVCASPAVALGSWGLLKGLKATCYPSFMEQLQSCATAVESRVQQDGKVVTSRGPGSTMEFAVTLVEQLYGKEKADEVSGPLVMRPNHGDEYTITELNPLEWKCNNVPQVLVPIADGSEEMEAVMIIDILRRAKANVVVASVGDNLEILASRKVKLVADMLLDEAAKLTYDLVVLPGGLGGAQAFAKSDKLVNLLKKQAESNKPYGAICASPALVLEPHGLLKGKKATAYPAMCDKLSDQSHIDNRVVVDGNLITSRGPGTSMEFALGIVEKFFGRPKALELAKGLLVVRQ >KJB13182 pep chromosome:Graimondii2_0_v6:2:7333794:7337787:1 gene:B456_002G062100 transcript:KJB13182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLHLRHLTHHSLLSTSKLHHHFRFSPLKSPRFSCSASASSTMAKQVLVPIANGTEPMEAVITIDVIRRSGADVTVASVEKELRVDACHGVKIVADALVADCKNTVFDLIALPGGMPGASNFKDCGALESLVKKQAADGRLYAAVCASPAVALGSWGLLKGLKATCYPSFMEQLQSCATAVESRVQQDGKVVTSRGPGSTMEFAVTLVEQLYGKEKADEVSGPLVMRPNHGDEYTITELNPLEWKCNNVPQVLVPIADGSEEMEAVMIIDILRRAKANVVVASVGDNLEILASRKVKLVADMLLDEAAKLTYDLVVLPVCWNSVIFPSPNSSQFSFFVIYFNLVFPIYREDLVVPKHLLNLISW >KJB13183 pep chromosome:Graimondii2_0_v6:2:7333794:7337787:1 gene:B456_002G062100 transcript:KJB13183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGASNFKDCGALESLVKKQAADGRLYAAVCASPAVALGSWGLLKGLKATCYPSFMEQLQSCATAVESRVQQDGKVVTSRGPGSTMEFAVTLVEQLYGKEKADEVSGPLVMRPNHGDEYTITELNPLEWKCNNVPQVLVPIADGSEEMEAVMIIDILRRAKANVVVASVGDNLEILASRKVKLVADMLLDEAAKLTYDLVVLPGGLGGAQAFAKSDKLVNLLKKQAESNKPYGAICASPALVLEPHGLLKGKKATAYPAMCDKLSDQSHIDNRVVVDGNLITSRGPGTSMEFALGIVEKFFGRPKALELAKGLLVVRQ >KJB13328 pep chromosome:Graimondii2_0_v6:2:7915203:7916169:-1 gene:B456_002G068400 transcript:KJB13328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATFLAKRALFNIPKFLSQGPPPIQSARRLTRACLSSAYERAEGSNAVAEAEGSSADDAPRDSMYETKHPSFVTGEGRPPGSATSFAADTAKDGIKKAVGMAENVGDTAKKTLDGAWRAARDGAQGIKERVVNQNDEDDEDDDEIEEDVAVDEIRKVDQLLDTQEYRSIEESKKMQA >KJB13327 pep chromosome:Graimondii2_0_v6:2:7915203:7916012:-1 gene:B456_002G068400 transcript:KJB13327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATFLAKRALFNIPKFLSQGPPPIQSARRLTRACLSSAYERAEGSNAVAEAEGSSADDAPRDSIATSFAADTAKDGIKKAVGMAENVGDTAKKTLDGAWRAARDGAQGIKERVVNQNDEDDEDDDEIEEDVAVDEIRKVDQLLDTQEYRSIEESKKMQA >KJB13311 pep chromosome:Graimondii2_0_v6:2:8934013:8936788:1 gene:B456_002G075700 transcript:KJB13311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTILQITFKFSPFTSSNEEFCEKPICCYPVRSPRTVSLRPNRFKLGAFGRQRWSFGEVGRCKDGIFLKEEGWKRKMKRKRRVVLVMFSQGFGFNGGGGGSGGGGGGGGGKIDSNTARLLGNIALAIGLTYLSATGQLGWVLDAIVSIWLIAVLVPIVGVGAFLWWAGRDIVQSSCPNCGNDFQIFKSFLNDELQLCPYCSQPFSVVDDKFVKEPVKFSNQTSKQKQSFNSFSPGFKKVCSGSDSSGAVVDIEAEVKDAD >KJB13312 pep chromosome:Graimondii2_0_v6:2:8934018:8936788:1 gene:B456_002G075700 transcript:KJB13312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTILQITFKFSPFTSSNEEFCEKPICCYPVRSPRTVSLRPNRFKLGAFGRQRWSFGEVGRCKDGIFLKEEGWKRKMKRKRRVVLVMFSQGFGFNGGGGGSGGGGGGGGGKIDSNTARLLGNIALAIGLTYLSATGQLGWVLDAIVSIWLIAVLVPIVGVGAFLWWAGRDIVQSSCPNCGNDFQIFKSFLNDELQLCPYCSQPFSVVDDKFVKEPVKFSNQTSKQKQSFNSFSPGFKKGSDSSGAVVDIEAEVKDAD >KJB13952 pep chromosome:Graimondii2_0_v6:2:13123262:13125307:1 gene:B456_002G103100 transcript:KJB13952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MHLQLQVLPFQTLQQLPCSFNFPPRQHKDVTIKGSHSRHPSVSVKSLPRRVFLQLMGFSPISLCIYPLFAAPMQDMNEPEVIRTLKLSGGVRIQEIIEGEGREARDGDYVQVNYVCRRSNGYFVHSTVDQFSGESSPVTLPLDENQIIKGLKDVLTGMKVGGTWILDHYNCLLPLQ >KJB13950 pep chromosome:Graimondii2_0_v6:2:13123200:13125498:1 gene:B456_002G103100 transcript:KJB13950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MHLQLQVLPFQTLQQLPCSFNFPPRQHKDVTIKGSHSRHPSVSVKSLPRRVFLQLMGFSPISLCIYPLFAAPMQDMNEPEVIRTLKLSGGVRIQEIIEGEGREARDGDYVQVNYVCRRSNGYFVHSTVDQFSGESSPVTLPLDENQIIKGLKDVLTGMKVGGKRRALIPPSVGYINENLKSIPDEFGPRRSLLSHAKEPLIFEVQLLKIL >KJB13951 pep chromosome:Graimondii2_0_v6:2:13123200:13125498:1 gene:B456_002G103100 transcript:KJB13951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MHLQLQVLPFQTLQQLPCSFNFPPRQHKDVTIKGSHSRHPSVSVKSLPRRVFLQLMGFSPISLCIYPLFAAPMQDMNEPEVIRTLKLSGGVRIQEIIEGEGREARDGDYVQVNYVCRRSNGYFVHSTVDQFSGESSPVTLPLDENQEREEH >KJB12480 pep chromosome:Graimondii2_0_v6:2:1402156:1405756:-1 gene:B456_002G020600 transcript:KJB12480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSSIFSANTSTESSKNQNPNSKMEQAFKRTQILTNHLLQSAPSSQTLSSNACLSYSPPELSENYAFDIKDMRKLIDRHNLEERDWLFGLMKQSKLFNPKVRGGKVFVSPDYNQSMEQQREMTLKRIEYLLEKGAFKGWLTEKGEDIEMRKFAGFEVCSIYDHSLFTKLGVHFFLWGGAIQFFGTKHHHDKWLRDTENYSIKGCFAMTELGHGSNVRGLETVTTYDSNTGEFVINTPCESAQKYWIGGAANHATHTVVFSQLHINGTNQGVHAFIVQIRDADGNICPNIRIVDCGHKIGLNGVDNGRIWFDNVRVPRENLLNSVADVSPDGKYLSSIENPDQRFAAFMAPLTVGRVNIAISSVYQSKVALATSIRYALTRRAFSLKQNEPEVLLLDYPSHQRRLFPLVAKTYAMSFAANYLKMLYVKRTPQSNKTIHIVSSSFKATFTWNNMQILQECREACGGQGLKTENRVGQLKGEYDVQSTFEGDNLILMQQVSKALFAEYMAAQKRNKVFKGLGLEHMNKPCPVIPLQLTSTTLRCSQFQVI >KJB12479 pep chromosome:Graimondii2_0_v6:2:1400935:1405790:-1 gene:B456_002G020600 transcript:KJB12479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSSIFSANTSTESSKNQNPNSKMEQAFKRTQILTNHLLQSAPSSQTLSSNACLSYSPPELSENYAFDIKDMRKLIDRHNLEERDWLFGLMKQSKLFNPKVRGGKVFVSPDYNQSMEQQREMTLKRIEYLLEKGAFKGWLTEKGEDIEMRKFAGFEVCSIYDHSLFTKLGVHFFLWGGAIQFFGTKHHHDKWLRDTENYSIKGCFAMTELGHGSNVRGLETVTTYDSNTGEFVINTPCESAQKYWIGGAANHATHTVVFSQLHINGTNQGVHAFIVQIRDADGNICPNIRIVDCGHKIGLNGVDNGRIWFDNVRVPRENLLNSVADVSPDGKYLSSIENPDQRFAAFMAPLTVGRVNIAISSVYQSKVALATSIRYALTRRAFSLKQNEPEVLLLDYPSHQRRLFPLVAKTYAMSFAANYLKMLYVKRTPQSNKTIHIVSSSFKATFTWNNMQILQECREACGGQGLKTENRVGQLKGEYDVQSTFEGDNLILMQQVSKALFAEYMAAQKRNKVFKGLGLEHMNKPCPVIPLQLTSTTLRCSQFQMDALCLRERDLLNRFVADVSKCKAKGESNEQAFMMCYELAQDLGKAFSDRAIFQTFVDAETTLPAGSLKDVLGTLRSLYALICIEDVSFLQYGYLSVDNGANVRREITKLCTELRPHALALVSSFGIPDAFLGPIAFNWIEANAWSSV >KJB12478 pep chromosome:Graimondii2_0_v6:2:1400553:1405756:-1 gene:B456_002G020600 transcript:KJB12478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSSIFSANTSTESSKNQNPNSKMEQAFKRTQILTNHLLQSAPSSQTLSSNACLSYSPPELSENYAFDIKDMRKLIDRHNLEERDWLFGLMKQSKLFNPKVRGGKVFVSPDYNQSMEQQREMTLKRIEYLLEKGAFKGWLTEKGEDIEMRKFAGFEVCSIYDHSLFTKLGVHFFLWGGAIQFFGTKHHHDKWLRDTENYSIKGCFAMTELGHGSNVRGLETVTTYDSNTGEFVINTPCESAQKYWIGGAANHATHTVVFSQLHINGTNQGVHAFIVQIRDADGNICPNIRIVDCGHKIGLNGVDNGRIWFDNVRVPRENLLNSVADVSPDGKYLSSIENPDQRFAAFMAPLTVGRVNIAISSVYQSKVALATSIRYALTRRAFSLKQNEPEVLLLDYPSHQRRLFPLVAKTYAMSFAANYLKMLYVKRTPQSNKTIHIVSSSFKATFTWNNMQILQECREACGGQGLKTENRVGQLKGEYDVQSTFEGDNLILMQQVSKALFAEYMAAQKRNKVFKGLGLEHMNKPCPVIPLQLTSTTLRCSQFQMDALCLRERDLLNRFVADVSKCKAKGESNEQAFMMCYELAQDLGKAFSDRAIFQTFVDAETTLPAGSLKDVLGTLRSLYALICIEDVSFLQYGYLSVDNGANVRREITKLCTELRPHALALVSSFGIPDAFLGPIAFNWIEANAWSSV >KJB15643 pep chromosome:Graimondii2_0_v6:2:50667220:50673619:1 gene:B456_002G188300 transcript:KJB15643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coilin [Source:Projected from Arabidopsis thaliana (AT1G13030) UniProtKB/Swiss-Prot;Acc:Q8RWK8] METARLRLVFEDPNILSKSQKKQGLKRSWFILKPQHQTIFDLSSDLLHIFDLRKSCPNGLILSMDGFVLPPFEPTCILKDKDIVSVKMKGGKSAEIIKAGNGMNYLEELETMERLPVKTGVKHLANEEFDKETGGYKSELEEDEQELAPLEDQAQVESTPIENMVSKKRKARDKLPSSRKKKSKLASAEKYPVSGDDGIDVRPKKSKSSHKKKVSINDKVVGKDKPADIQGEPENSSTPEIDETGDDKTNLGRSAQLQNTGKGSADELITTTEVKKLPSRSARRKKAKRRWLREQAKLVKEKPQSKELLGKDDQQSPAKENLKFSEECLQAISNNDVEDNVVPIVVRPGHIRFEPLEEEDAEQAIQHSQISVKTFQWNGITSKKKGQKWGMEKTPFLRRNDNNFSHVSSEMVDVNDKATVTNDMDFDKLMPYSSLPKEGDLVAYRLVELSSTWTPELCSFRVGEISHYDAESNRIMLTPVPGYPNASGKKTDEEASELPDTSLYGEDGSLEIDYSSLIDVRLVKLGNSNATIAIADDNNENYAQNQDVLTRQPNGSKEANSVSAASPAQANGAVNVWEEINQALSAKKAELSKEDGWSRADSSGRSAWSFRALRRSALGPTMAFLRAQNGI >KJB15645 pep chromosome:Graimondii2_0_v6:2:50667402:50672851:1 gene:B456_002G188300 transcript:KJB15645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coilin [Source:Projected from Arabidopsis thaliana (AT1G13030) UniProtKB/Swiss-Prot;Acc:Q8RWK8] HSTETTKPRQRVYETKRRPNSKGHLWVFFKFPQTSKMETARLRLVFEDPNILSKSQKKQGLKRSWFILKPQHQTIFDLSSDLLHIFDLRKSCPNGLILSMDGFVLPPFEPTCILKDKDIVSVKMKGGKSAEIIKAGNGMNYLEELETMERLPVKTGVKHLANEEFDKETGGYKSELEEDEQELAPLEDQAQVESTPIENMVSKKRKARDKLPSSRKKKSKLASAEKYPVSGDDGIDVRPKKSKSSHKKKVSINDKVVGKDKPADIQGEPENSSTPEIDETGDDKTNLGRSAQLQNTGKGSADELITTTEVKKLPSRSARRKKAKRRWLREQAKLVKEKPQSKELLGKDDQQSPAKENLKFSEECLQAISNNDVEDNVVPIVVRPGHIRFEPLEEEDAEQAIQHSQISVKTFQWNGITSKKKGQKWGMEKTPFLRRNDNNFSHVSSEMVDVNDKATVTNDMDFDKLMPYSSLPKEGDLVAYRLVELSSTWTPELCSFRVGEISHYDAESNRIMLTPVPGYPNASGKKTDEEASELPDTSLYGEDGSLEAYYSSLIDVRLVKLGNSNATIAIADDNNENYAQNQDVLTRQPNGSKEANSVSAASPAQANGAVNVWEEINQALSAKKAELSKEDGWSRADSSGRSAWSFRALRRSALGPTMAFLRAQNGI >KJB15647 pep chromosome:Graimondii2_0_v6:2:50667402:50672851:1 gene:B456_002G188300 transcript:KJB15647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coilin [Source:Projected from Arabidopsis thaliana (AT1G13030) UniProtKB/Swiss-Prot;Acc:Q8RWK8] HSTETTKPRQRVYETKRRPNSKGHLWVFFKFPQTSKMETARLRLVFEDPNILSKSQKKQGLKRSWFILKPQHQTIFDLSSDLLHIFDLRKSCPNGLILSMDGFVLPPFEPTCILKDKDIVSVKMKGGKSAEIIKAGNGMNYLEELETMERLPVKTGVKHLANEEFDKETGGYKSELEEDEQELAPLEDQAQVESTPIENMVSKKRKARDKLPSSRKKKSKLASAEKYPVSGDDGIDVRPKKSKSSHKKKVSINDKVVGKDKPADIQGEPENSSTPEIDETGDDKTNLGRSAQLQNTGKGSADELITTTEVKKLPSRSARRKKAKRRWLREQAKLVKEKPQSKELLGKDDQQSPAKENLKFSEECLQAISNNDVEDNVVPIVVRPGHIRFEPLEEEDAEQAIQHSQISVKTFQWNGITSKKKGQKWGMEKTPFLRRNDNNFSHVSSEMVDVNDKATVTNDMDFDKLMPYSSLPKEGDLVAYRLVELSSTWTPELCSFRVLLLTKMLLFYSS >KJB15644 pep chromosome:Graimondii2_0_v6:2:50667260:50672986:1 gene:B456_002G188300 transcript:KJB15644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coilin [Source:Projected from Arabidopsis thaliana (AT1G13030) UniProtKB/Swiss-Prot;Acc:Q8RWK8] METARLRLVFEDPNILSKSQKKQGLKRSWFILKPQHQTIFDLSSDLLHIFDLRKSCPNGLILSMDGFVLPPFEPTCILKDKDIVSVKMKGGKSAEIIKAGNGMNYLEELETMERLPVKTGVKHLANEEFDKETGGYKSELEEDEQELAPLEDQAQVESTPIENMVSKKRKARDKLPSSRKKKSKLASAEKYPVSGDDGIDVRPKKSKSSHKKKVSINDKVVGKDKPADIQGEPENSSTPEIDETGDDKTNLGRSAQLQNTGKGSADELITTTEVKKLPSRSARRKKAKRRWLREQAKLVKEKPQSKELLGKDDQQSPAKENLKFSEECLQAISNNDVEDNVVPIVVRPGHIRFEPLEEDAEQAIQHSQISVKTFQWNGITSKKKGQKWGMEKTPFLRRNDNNFSHVSSEMVDVNDKATVTNDMDFDKLMPYSSLPKEGDLVAYRLVELSSTWTPELCSFRVGEISHYDAESNRIMLTPVPGYPNASGKKTDEEASELPDTSLYGEDGSLEIDYSSLIDVRLVKLGNSNATIAIADDNNENYAQNQDVLTRQPNGSKEANSVSAASPAQANGAVNVWEEINQALSAKKAELSKEDGWSRADSSGRSAWSFRALRRSALGPTMAFLRAQNGI >KJB15646 pep chromosome:Graimondii2_0_v6:2:50667402:50672851:1 gene:B456_002G188300 transcript:KJB15646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coilin [Source:Projected from Arabidopsis thaliana (AT1G13030) UniProtKB/Swiss-Prot;Acc:Q8RWK8] HSTETTKPRQRVYETKRRPNSKGHLWVFFKFPQTSKMETARLRLVFEDPNILSKSQKKQGLKRSWFILKPQHQTIFDLSSDLLHIFDLRKSCPNGLILSVCLSTSLFPSRTRHALIYIYILLPCYAIDFSLQMDGFVLPPFEPTCILKDKDIVSVKMKGGKSAEIIKAGNGMNYLEELETMERLPVKTGVKHLANEEFDKETGGYKSELEEDEQELAPLEDQAQVESTPIENMVSKKRKARDKLPSSRKKKSKLASAEKYPVSGDDGIDVRPKKSKSSHKKKVSINDKVVGKDKPADIQGEPENSSTPEIDETGDDKTNLGRSAQLQNTGKGSADELITTTEVKKLPSRSARRKKAKRRWLREQAKLVKEKPQSKELLGKDDQQSPAKENLKFSEECLQAISNNDVEDNVVPIVVRPGHIRFEPLEEEDAEQAIQHSQISVKTFQWNGITSKKKGQKWGMEKTPFLRRNDNNFSHVSSEMVDVNDKATVTNDMDFDKLMPYSSLPKEGDLVAYRLVELSSTWTPELCSFRVGEISHYDAESNRIMLTPVPGYPNASGKKTDEEASELPDTSLYGEDGSLEIDYSSLIDVRLVKLGNSNATIAIADDNNENYAQNQDVLTRQPNGSKEANSVSAASPAQANGAVNVWEEINQALSAKKAELSKEDGWSRADSSGRSAWSFRALRRSALGPTMAFLRAQNGI >KJB13848 pep chromosome:Graimondii2_0_v6:2:12324694:12326803:-1 gene:B456_002G097500 transcript:KJB13848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLLPLSFTFLVFIFMVLKLWMRSKIKETPKNLPPAPWKLPIIGHLHLLIFALPHQRLAELAKRHGSVMQLQLGELSHVIVSSSEAAKEVMKTHDINFANRPFLLGAEIVLYNLSDIAFAPYGSCWRQLRKVCTLELLSVKRVQSFRSVREEQVSSLIRSIFSKTGKEISLGEMLCNLSYNIILRTAFAGRCKKHEAFISFLKKFVEAMAGFNIADLFPSIKFLPDLSGMRAELERFHHDIDTMLESIIQEHRDSSANPEDSVDVTEDLVDVLLNLQDHGGLEFPLTINNIKAVILDILLGGTETSATLAEWAMSEMMKNPRILGKAQAEVRELYDKTGDVNESNLHELKYLKLVIKETLRLHPPLPLLIPRENSERCEINGYEIPAKTRVIVNAWAIGRDSNCWNEAERFYPERFIDGSVDYKGANFEFIPFGAGRRICPGMSYGMAVVELSLAKLLSHFDWKLPNGMKNEDLDMTEAFGASVGRRSELYLIPTPHHLRMTVERDGS >KJB15914 pep chromosome:Graimondii2_0_v6:2:54493783:54495982:1 gene:B456_002G203400 transcript:KJB15914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEGKVVKSRFKRVCVFCGSSTGKRKCYRDAAVELAQELVARRLDLVYGGGSIGLMGLVSQAVHHAGGNVLGIIPRTLMSKEITGETVGEVRPVANMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNYLLTFIDKAVDDGFIKPSQRHIIVSAPNATELVQKLEEYVPVHDGIIAKASWEVEQQQQQQVPQQVGFNATTFQTQIAL >KJB13454 pep chromosome:Graimondii2_0_v6:2:9019819:9028249:1 gene:B456_002G076300 transcript:KJB13454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSASPTSIAKSSLEEMLESLRRQDEDEKPKDLPPALPSRPTSKARLPSARRSLPTNFRVDSNGESGGISSEVERKEEGKRKEEELGVKRHVLGSRKMRKFVNVDSPYNLEAVEGTKGEDRVSDAKVEVNDNIGHFIKKKLRVWCRLSNGLWGSGTIQSASGEESFVSLSNGNVVKVSTNELLPANPEILEGVNDLIQLSYLNEPSVLQNLKYRYSRDMIYSKAGLVLIAVNPYKDVQIYGEEFVTSYRQKAADIPHVFATADTAYNEMMNDRVSQSIIISGESGAGKTETAKMAMRYLAALGGGNGRIGRKILETHCILEAFGNAKTSKNDNSSRFGKLTEIHFTEMGKISGAEIQTFLLEKSRVVQLAAGERSYHIFYQLCAGAPPTLREKLNLKMASEYNYLIQSDSLVINGVDDAKKFQKLVEALDIVQMCKEEQEQAYAMIAAVLWLGNISFQSIDNEHYVEASADEALTSAARLMGCTSNELNQALSTHRVQAGKDSFAKKLTLQQAIDTRDALAKFIYASLFDWLVEQINKSLGVHKKYIGRSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHLFKLEQEEYGLDGIDWNNVDFEDNQECLDLFEKKPLGIFSLLDEESNFPNSTDFTLANKLKQHLHSSSYFKGDRGRAFGVRHFAGEVLYDTNGFLEKNRDSLNSELVQLLSSCNSQLPRLFARKRINQSLKPTISSDTPKQSVGTNFKGQLFKLMHQLENTKPHFIRCIKPNCKQLPDMYEEELVLQQLRYCGILEVVKISRAGYPTRMTHLEFAERYGFLLLETNASQDPLSISVAVLKQFNVLPDMYRVGFTKLYLRTGQIGALEDRRKQVLQGVIQVQKYFRGHRARRLFHELNKGAKTIQSFVCGENIRRKYAIEANRCSSFASHLLDEQLASVIYLQSVIRGWLARRDFNNMHNLKQLNRESVKSRRKDMPHEQQIQVVPSVMAELQRKVLKAEAILGQKEQENATLREQLQQYEARWLEYEAKMKSMEDMWQKQMASLQTSLAAARKSLAADSNAGQRRGDVVSPRYYDSEDNVSMGSRTPVGNMPDVVGGRENGSLNAVGNLLKEFEQRRQTFDDDAKSLAEIRMAQPTSNVNPDDEFRRLKRRFETWKKEYKLRLKETKARLHKRGYESDKTRRKWWEKLGSRS >KJB13457 pep chromosome:Graimondii2_0_v6:2:9019819:9028249:1 gene:B456_002G076300 transcript:KJB13457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSASPTSIAKSSLEEMLESLRRQDEDEKPKDLPPALPSRPTSKARLPSARRSLPTNFRVDSNGESGGISSEVERKEEGKRKEEELGVKRHVLGSRKMRKFVNVDSPYNLEAVEGTKGEDRVSDAKVEVNDNIGHFIKKKLRVWCRLSNGLWGSGTIQSASGEESFVSLSNGNVVKVSTNELLPANPEILEGVNDLIQLSYLNEPSVLQNLKYRYSRDMIYSKAGLVLIAVNPYKDVQIYGEEFVTSYRQKAADIPHVFATADTAYNEMMNDRVSQSIIISGESGAGKTETAKMAMRYLAALGGGNGRIGRKILETHCILEAFGNAKTSKNDNSSRFGKLTEIHFTEMGKISGAEIQTFLLEKSRVVQLAAGERSYHIFYQLCAGAPPTLREKLNLKMASEYNYLIQSDSLVINGVDDAKKFQKLVEALDIVQMCKEEQEQAYAMIAAVLWLGNISFQSIDNEHYVEASADEALTSAARLMGCTSNELNQALSTHRVQAGKDSFAKKLTLQQAIDTRDALAKFIYASLFDWLVEQINKSLGVHKKYIGRSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHLFKLEQEEYGLDGIDWNNVDFEDNQECLDLFEKKPLGIFSLLDEESNFPNSTDFTLANKLKQHLHSSSYFKGDRGRAFGVRHFAGEVLYDTNGFLEKNRDSLNSELVQLLSSCNSQLPRLFARKRINQSLKPTISSDTPKQSVGTNFKGQLFKLMHQLENTKPHFIRCIKPNCKQLPDMYEEELVLQQLRYCGILEVVKISRAGYPTRMTHLEFAERYGFLLLETNASQDPLSISVAVLKQFNVLPDMYRVGFTKLYLRTGQIGALEDRRKQVLQGVIQVQKYFRGHRARRLFHELNKGAKTIQSFVCGENIRRKYAIEANRCSSFASHLLDEQLASVIYLQSVIRGWLARRDFNNMHNLKQLNRESVKSRRKVGRKISEAKDMPHEQQIQVVPSVMAELQRKVLKAEAILGQKEQENATLREQLQQYEARWLEYEAKMKSMEDMWQKQMASLQTSLAAARKSLAADSNAGQRRGDVVSPRYYDSEDNVSMGSRTPVGNMPDVVGGRENGSLNAVGNLLKEFEQRRQTFDDDAKSLAEIRMAQPTSNVNPDDEFRRLKRRFETWKKEYKLRLKETKARLHKRGYESDKTRRKWWEKLGSRS >KJB13460 pep chromosome:Graimondii2_0_v6:2:9022542:9028249:1 gene:B456_002G076300 transcript:KJB13460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEYNYLIQSDSLVINGVDDAKKFQKLVEALDIVQMCKEEQEQAYAMIAAVLWLGNISFQSIDNEHYVEASADEALTSAARLMGCTSNELNQALSTHRVQAGKDSFAKKLTLQQAIDTRDALAKFIYASLFDWLVEQINKSLGVHKKYIGRSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHLFKLEQEEYGLDGIDWNNVDFEDNQECLDLFEKKPLGIFSLLDEESNFPNSTDFTLANKLKQHLHSSSYFKGDRGRAFGVRHFAGEVLYDTNGFLEKNRDSLNSELVQLLSSCNSQLPRLFARKRINQSLKPTISSDTPKQSVGTNFKGQLFKLMHQLENTKPHFIRCIKPNCKQLPDMYEEELVLQQLRYCGILEVVKISRAGYPTRMTHLEFAERYGFLLLETNASQDPLSISVAVLKQFNVLPDMYRVGFTKLYLRTGQIGALEDRRKQVLQGVIQVQKYFRGHRARRLFHELNKGAKTIQSFVCGENIRRKYAIEANRCSSFASHLLDEQLASVIYLQSVIRGWLARRDFNNMHNLKQLNRESVKSRRKVGRKISEAKVFATLDTDMPHEQQIQVVPSVMAELQRKVLKAEAILGQKEQENATLREQLQQYEARWLEYEAKMKSMEDMWQKQMASLQTSLAAARKSLAADSNAGQRRGDVVSPRYYDSEDNVSMGSRTPVGNMPDVVGGRENGSLNAVGNLLKEFEQRRQTFDDDAKSLAEIRMAQPTSNVNPDDEFRRLKRRFETWKKEYKLRLKETKARLHKRGYESDKTRRKWWEKLGSRS >KJB13458 pep chromosome:Graimondii2_0_v6:2:9022542:9028237:1 gene:B456_002G076300 transcript:KJB13458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEYNYLIQSDSLVINGVDDAKKFQKLVEALDIVQMCKEEQEQAYAMIAAVLWLGNISFQSIDNEHYVEASADEALTSAARLMGCTSNELNQALSTHRVQAGKDSFAKKLTLQQAIDTRDALAKFIYASLFDWLVEQINKSLGVHKKYIGRSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHLFKLEQEEYGLDGIDWNNVDFEDNQECLDLFEKKPLGIFSLLDEESNFPNSTDFTLANKLKQHLHSSSYFKGDRGRAFGVRHFAGEVLYDTNGFLEKNRDSLNSELVQLLSSCNSQLPRLFARKRINQSLKPTISSDTPKQSVGTNFKGQLFKLMHQLENTKPHFIRCIKPNCKQLPDMYEEELVLQQLRYCGILEVVKISRAGYPTRMTHLEFAERYGFLLLETNASQDPLSISVAVLKQFNVLPDMYRVGFTKLYLRTGQIGALEDRRKQVLQGVIQVQKYFRGHRARRLFHELNKGAKTIQSFVCGENIRRKYAIEANRCSSFASHLLDEQLASVIYLQSVIRGWLARRDFNNMHNLKQLNRESVKSRRKVGRKISEAKVFATLDTDMPHEQQIQVVPSVMAELQRKVLKAEAILGQKEQENATLREQLQQYEARWLEYEAKMKSMEDMWQKQMASLQVSFMFIHKYKNMFALR >KJB13453 pep chromosome:Graimondii2_0_v6:2:9019461:9028292:1 gene:B456_002G076300 transcript:KJB13453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSASPTSIAKSSLEEMLESLRRQDEDEKPKDLPPALPSRPTSKARLPSARRSLPTNFRVDSNGESGGISSEVERKEEGKRKEEELGVKRHVLGSRKMRKFVNVDSPYNLEAVEGTKGEDRVSDAKVEVNDNIGHFIKKKLRVWCRLSNGLWGSGTIQSASGEESFVSLSNGNVVKVSTNELLPANPEILEGVNDLIQLSYLNEPSVLQNLKYRYSRDMIYSKAGLVLIAVNPYKDVQIYGEEFVTSYRQKAADIPHVFATADTAYNEMMNDRVSQSIIISGESGAGKTETAKMAMRYLAALGGGNGRIGRKILETHCILEAFGNAKTSKNDNSSRFGKLTEIHFTEMGKISGAEIQTFLLEKSRVVQLAAGERSYHIFYQLCAGAPPTLREKLNLKMASEYNYLIQSDSLVINGVDDAKKFQKLVEALDIVQMCKEEQEQAYAMIAAVLWLGNISFQSIDNEHYVEASADEALTSAARLMGCTSNELNQALSTHRVQAGKDSFAKKLTLQQAIDTRDALAKFIYASLFDWLVEQINKSLGVHKKYIGRSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHLFKLEQEEYGLDGIDWNNVDFEDNQECLDLFEKKPLGIFSLLDEESNFPNSTDFTLANKLKQHLHSSSYFKGDRGRAFGVRHFAGEVLYDTNGFLEKNRDSLNSELVQLLSSCNSQLPRLFARKRINQSLKPTISSDTPKQSVGTNFKGQLFKLMHQLENTKPHFIRCIKPNCKQLPDMYEEELVLQQLRYCGILEVVKISRAGYPTRMTHLEFAERYGFLLLETNASQDPLSISVAVLKQFNVLPDMYRVGFTKLYLRTGQIGALEDRRKQVLQGVIQVQKYFRGHRARRLFHELNKGAKTIQSFVCGENIRRKYAIEANRCSSFASHLLDEQLASVIYLQSVIRGWLARRDFNNMHNLKQLNRESVKSRRKVGRKISEAKVFATLDTDMPHEQQIQVVPSVMAELQRKVLKAEAILGQKEQENATLREQLQQYEARWLEYEAKMKSMEDMWQKQMASLQTSLAAARKSLAADSNAGQRRGDVVSPRYYDSEDNVSMGSRTPVGNMPDVVGGRENGSLNAVGNLLKEFEQRRQTFDDDAKSLAEIRMAQPTSNVNPDDEFRRLKRRFETWKKEYKLRLKETKARLHKRGYESDKTRRKWWEKLGSRS >KJB13459 pep chromosome:Graimondii2_0_v6:2:9019819:9028249:1 gene:B456_002G076300 transcript:KJB13459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEYNYLIQSDSLVINGVDDAKKFQKLVEALDIVQMCKEEQEQAYAMIAAVLWLGNISFQSIDNEHYVEASADEALTSAARLMGCTSNELNQALSTHRVQAGKDSFAKKLTLQQAIDTRDALAKFIYASLFDWLVEQINKSLGVHKKYIGRSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHLFKLEQEEYGLDGIDWNNVDFEDNQECLDLFEKKPLGIFSLLDEESNFPNSTDFTLANKLKQHLHSSSYFKGDRGRAFGVRHFAGEVLYDTNGFLEKNRDSLNSELVQLLSSCNSQLPRLFARKRINQSLKPTISSDTPKQSVGTNFKGQLFKLMHQLENTKPHFIRCIKPNCKQLPDMYEEELVLQQLRYCGILEVVKISRAGYPTRMTHLEFAERYGFLLLETNASQDPLSISVAVLKQFNVLPDMYRVGFTKLYLRTGQIGALEDRRKQVLQGVIQVQKYFRGHRARRLFHELNKGAKTIQSFVCGENIRRKYAIEANRCSSFASHLLDEQLASVIYLQSVIRGWLARRDFNNMHNLKQLNRESVKSRRKDMPHEQQIQVVPSVMAELQRKVLKAEAILGQKEQENATLREQLQQYEARWLEYEAKMKSMEDMWQKQMASLQTSLAAARKSLAADSNAGQRRGDVVSPRYYDSEDNVSMGSRTPVGNMPDVVGGRENGSLNAVGNLLKEFEQRRQTFDDDAKSLAEIRMAQPTSNVNPDDEFRRLKRRFETWKKEYKLRLKETKARLHKRGYESDKTRRKWWEKLGSRS >KJB13461 pep chromosome:Graimondii2_0_v6:2:9019819:9028249:1 gene:B456_002G076300 transcript:KJB13461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEYNYLIQSDSLVINGVDDAKKFQKLVEALDIVQMCKEEQEQAYAMIAAVLWLGNISFQSIDNEHYVEASADEALTSAARLMGCTSNELNQALSTHRVQAGKDSFAKKLTLQQAIDTRDALAKFIYASLFDWLVEQINKSLGVHKKYIGRSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHLFKLEQEEYGLDGIDWNNVDFEDNQECLDLFEKKPLGIFSLLDEESNFPNSTDFTLANKLKQHLHSSSYFKGDRGRAFGVRHFAGEVLYDTNGFLEKNRDSLNSELVQLLSSCNSQLPRLFARKRINQSLKPTISSDTPKQSVGTNFKGQLFKLMHQLENTKPHFIRCIKPNCKQLPDMYEEELVLQQLRYCGILEVVKISRAGYPTRMTHLEFAERYGFLLLETNASQDPLSISVAVLKQFNVLPDMYRVGFTKLYLRTGQIGALEDRRKQVLQGVIQVQKYFRGHRARRLFHELNKGAKTIQSFVCGENIRRKYAIEANRCSSFASHLLDEQLASVIYLQSVIRGWLARRDFNNMHNLKQLNRESVKSRRKVGRKISEAKDMPHEQQIQVVPSVMAELQRKVLKAEAILGQKEQENATLREQLQQYEARWLEYEAKMKSMEDMWQKQMASLQTSLAAARKSLAADSNAGQRRGDVVSPRYYDSEDNVSMGSRTPVGNMPDVVGGRENGSLNAVGNLLKEFEQRRQTFDDDAKSLAEIRMAQPTSNVNPDDEFRRLKRRFETWKKEYKLRLKETKARLHKRGYESDKTRRKWWEKLGSRS >KJB13456 pep chromosome:Graimondii2_0_v6:2:9019819:9027289:1 gene:B456_002G076300 transcript:KJB13456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSASPTSIAKSSLEEMLESLRRQDEDEKPKDLPPALPSRPTSKARLPSARRSLPTNFRVDSNGESGGISSEVERKEEGKRKEEELGVKRHVLGSRKMRKFVNVDSPYNLEAVEGTKGEDRVSDAKVEVNDNIGHFIKKKLRVWCRLSNGLWGSGTIQSASGEESFVSLSNGNVVKVSTNELLPANPEILEGVNDLIQLSYLNEPSVLQNLKYRYSRDMIYSKAGLVLIAVNPYKDVQIYGEEFVTSYRQKAADIPHVFATADTAYNEMMNDRVSQSIIISGESGAGKTETAKMAMRYLAALGGGNGRIGRKILETHCILEAFGNAKTSKNDNSSRFGKLTEIHFTEMGKISGAEIQTFLLEKSRVVQLAAGERSYHIFYQLCAGAPPTLREKLNLKMASEYNYLIQSDSLVINGVDDAKKFQKLVEALDIVQMCKEEQEQAYAMIAAVLWLGNISFQSIDNEHYVEASADEALTSAARLMGCTSNELNQALSTHRVQAGKDSFAKKLTLQQAIDTRDALAKFIYASLFDWLVEQINKSLGVHKKYIGRSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHLFKLEQEEYGLDGIDWNNVDFEDNQECLDLFEKKPLGIFSLLDEESNFPNSTDFTLANKLKQHLHSSSYFKGDRGRAFGVRHFAGEVLYDTNGFLEKNRDSLNSELVQLLSSCNSQLPRLFARKRINQSLKPTISSDTPKQSVGTNFKGQLFKLMHQLENTKPHFIRCIKPNCKQLPDMYEEELVLQQLRYCGILEVVKISRAGYPTRMTHLEFAERYGFLLLETNASQDPLSISVAVLKQFNVLPDMYRVGFTKLYLRTGQIGALEDRRKQVLQGVIQVQKYFRGHRARRLFHELNKGAKTIQSFVCGENIRRKYAIEANRCSSFASHLLDEQLASVIYLQSVIRGWLARRDFNNMHNLKQLNRESVKSRRKVGRKISEAKVFATLDTDMPHEQQIQVVPSVMAELQRKVLKAEAILGQKEQENATLREQLQQYEARWLEYEAKMKSMEDMWQKQMASLQVSFMFIHKYKNMFALR >KJB13455 pep chromosome:Graimondii2_0_v6:2:9019819:9026894:1 gene:B456_002G076300 transcript:KJB13455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSASPTSIAKSSLEEMLESLRRQDEDEKPKDLPPALPSRPTSKARLPSARRSLPTNFRVDSNGESGGISSEVERKEEGKRKEEELGVKRHVLGSRKMRKFVNVDSPYNLEAVEGTKGEDRVSDAKVEVNDNIGHFIKKKLRVWCRLSNGLWGSGTIQSASGEESFVSLSNGNVVKVSTNELLPANPEILEGVNDLIQLSYLNEPSVLQNLKYRYSRDMIYSKAGLVLIAVNPYKDVQIYGEEFVTSYRQKAADIPHVFATADTAYNEMMNDRVSQSIIISGESGAGKTETAKMAMRYLAALGGGNGRIGRKILETHCILEAFGNAKTSKNDNSSRFGKLTEIHFTEMGKISGAEIQTFLLEKSRVVQLAAGERSYHIFYQLCAGAPPTLREKLNLKMASEYNYLIQSDSLVINGVDDAKKFQKLVEALDIVQMCKEEQEQAYAMIAAVLWLGNISFQSIDNEHYVEASADEALTSAARLMGCTSNELNQALSTHRVQAGKDSFAKKLTLQQAIDTRDALAKFIYASLFDWLVEQINKSLGVHKKYIGRSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHLFKLEQEEYGLDGIDWNNVDFEDNQECLDLFEKKPLGIFSLLDEESNFPNSTDFTLANKLKQHLHSSSYFKGDRGRAFGVRHFAGEVLYDTNGFLEKNRDSLNSELVQLLSSCNSQLPRLFARKRINQSLKPTISSDTPKQSVGTNFKGQLFKLMHQLENTKPHFIRCIKPNCKQLPDMYEEELVLQQLRYCGILEVVKISRAGYPTRMTHLEFAERYGFLLLETNASQDPLSISVAVLKQFNVLPDMYRVGFTKLYLRTGQIGALEDRRKQVLQGVIQVQKYFRGHRARRLFHELNKGAKTIQSFVCGENIRRKYAIEANRCSSFASHLLDEQLASVIYLQSVIRGWLARRDFNNMHNLKQLNRESVKSRRKVGRKISEAKVFATLDTVTF >KJB14592 pep chromosome:Graimondii2_0_v6:2:21073994:21075609:-1 gene:B456_002G133500 transcript:KJB14592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNSPFVIDSLYCSEDTGEDHFVEESCFNKSNPFPILLEQDLLWEDDELSSLLSKEEQSQMYNCLQTNGKLAVARFNAVDWMLKVTAHHSFSALTAVLAVNYLDRFLFSLPFQTDKPWMTQLGAVACLSLAAKVEETQVPLLLDLQVEESKYYFEAKTIQRMEILVLSTLQWKMNPITPISFLHYISRRLGLKGHVCWEFLRRCDQILLSVISDSRFLCYLPSAMATAIMLQVMDSVELEPNLRVHPGFMFETGQGR >KJB14593 pep chromosome:Graimondii2_0_v6:2:21074235:21075491:-1 gene:B456_002G133500 transcript:KJB14593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNSPFVIDSLYCSEDTGEDHFVEESCFNKSNPFPILLEQDLLWEDDELSSLLSKEEQSQMYNCLQTNGKLAVARFNAVDWMLKVTAHHSFSALTAVLAVNYLDRFLFSLPFQTDKPWMTQLGAVACLSLAAKVEETQVPLLLDLQVEESKYYFEAKTIQRMEILVLSTLQWKMNPITPISFLHYISRRLGLKGHVCWEFLRRCDQILLSVISDSRFLCYLPSAMATAIMLQVMDSVELEPNLRVQFQNQLLDNLGINKVLFCINFVYFFFPRFILGSCLKQDKVDESCKLIIELTKTREEGNQSKKRRFKWIPESPNGVIDLLFISNESNDSWGVSSPDPVSKKIKTQLLH >KJB14591 pep chromosome:Graimondii2_0_v6:2:21073945:21075641:-1 gene:B456_002G133500 transcript:KJB14591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNSPFVIDSLYCSEDTGEDHFVEESCFNKSNPFPILLEQDLLWEDDELSSLLSKEEQSQMYNCLQTNGKLAVARFNAVDWMLKVTAHHSFSALTAVLAVNYLDRFLFSLPFQTDKPWMTQLGAVACLSLAAKVEETQVPLLLDLQVEESKYYFEAKTIQRMEILVLSTLQWKMNPITPISFLHYISRRLGLKGHVCWEFLRRCDQILLSVISDSRFLCYLPSAMATAIMLQVMDSVELEPNLRVQFQNQLLDNLGINKDKVDESCKLIIELTKTREEGNQSKKRRFKWIPESPNGVIDLLFISNESNDSWGVSSPDPVSKKIKTQLLH >KJB15342 pep chromosome:Graimondii2_0_v6:2:43951832:43952562:-1 gene:B456_002G172900 transcript:KJB15342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTVLNGAASFSLFTWHIGVEIPKFVDTVTPQFKPKFDALLVELKEEEQKSLKESERLEKEIADLHELKQKISTMTIDEYFEKHPELKKKFDDEIRNDNWGY >KJB16586 pep chromosome:Graimondii2_0_v6:2:60123684:60127862:1 gene:B456_002G237900 transcript:KJB16586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTQSYQPSMSFFSNIPSSHIEDEYEQFDDHEKEPMFEKPLTPSDVGKLNRLVIPKQHAEKHFPLGGSGGDSVVDNKGLLLSFEDESGKCWRFRYSYWNSSQSYVLTKGWSRYVKEKQLDAGDIILFKRHRLNAERLFIGWRRRGAALDGGNAELGNNSGGVRGGDNGGWSSSRGLYQGNPYPGHIQGQCHGANVPYQHDCLHAGSMAENQGPGGNPKRLLRLFGVNLECHLDDSSEPSTPDSSSVSSQGPTSTHHFYSQSYTSNYMDIGFSRDMNQMNNHRA >KJB13246 pep chromosome:Graimondii2_0_v6:2:7599424:7603025:-1 gene:B456_002G064800 transcript:KJB13246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKHFLFLFFFIFCFSSFPSHARFITGRPSPTGLVSDGISTVKNLPYLLLKPPVSAEESCEQSYGFLPCTTTVLGNMFLIIVYGYLMYLAATYLSYGSELLLEILGPGIVGGLFLPMLGALPDAMLILVSGLSGTAETAQSQVSVGMGLLAGSTVMLLTVIWGSCIIVGRCDLHDSVAIDGTNTKGFNLKESGVSTDIWTCYAARIMAISVIPFLIVQLPQALSSTSGRHLAVLIALVISLLMLISYCVYQVFQPWIQRRRIAFAKHKHVISGILRHLKKHGLGRLLTDDGEPDTEIIRKLFETIDENHDGGLSPSELRALIIGIRFEEIDLDQDDAVSKVIADFDTSRDNLVQEDEFVEGIMKWINEAKQTGGAYLESNAGTFKFIDHFHQQTKREHALLGSEEQSDEVVENVENPRWISIKAVLMLLLGTLIAAAFADPLVDAVDNFSEATSIPSFFISFIALPLATNSSEAVSAIIFASRKKQRTASLTFSELYGAVTMNNVLCLSVFLALVYVRGLTWDFSSEVLVILIVCVVMGAFASFRTTFPLWTCSVAYILYPFSLGLVYVLDYVFGWS >KJB13245 pep chromosome:Graimondii2_0_v6:2:7599424:7602853:-1 gene:B456_002G064800 transcript:KJB13245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKHFLFLFFFIFCFSSFPSHARFITGRPSPTGLVSDGISTVKNLPYLLLKPPVSAEESCEQSYGFLPCTTTVLGNMFLIIVYGYLMYLAATYLSYGSELLLEILGPGIVGGLFLPMLGALPDAMLILVSGLSGTAETAQSQVSVGMGLLAGSTVMLLTVIWGSCIIVGRCDLHDSVAIDGTNTKGFNLKESGVSTDIWTCYAARIMAISVIPFLIVQLPQALSSTSGRHLAVLIALVISLLMLISYCVYQVFQPWIQRRRIAFAKHKHVISGILRHLKKHGLGRLLTDDGEPDTEIIRKLFETIDENHDGGLSPSELRALIIGIRFEEIDLDQDDAVSKVIADFDTSRDNLVQEDEFVEGIMKWINEAKQTGGAYLESNAGTFKFIDHFHQQTKREHALLGSEEQSDEVVENVENPRWISIKAVLMLLLGTLIAAAFADPLVDAVDNFSEATSIPSFFISFIALPLATNSSEAVSAIIFASRKKQRTASLTFSEVPLWGGNDE >KJB13244 pep chromosome:Graimondii2_0_v6:2:7599424:7602853:-1 gene:B456_002G064800 transcript:KJB13244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKHFLFLFFFIFCFSSFPSHARFITGRPSPTGLVSDGISTVKNLPYLLLKPPVSAEESCEQSYGFLPCTTTVLGNMFLIIVYGYLMYLAATYLSYGSELLLEILGPGIVGGLFLPMLGALPDAMLILVSGLSGTAETAQSQVSVGMGLLAGSTVMLLTVIWGSCIIVGRCDLHDSVAIDGTNTKGFNLKESGVSTDIWTCYAARIMAISVIPFLIVQLPQALSSTSGRHLAVLIALVISLLMLISYCVYQVFQPWIQRRRIAFAKHKHVISGILRHLKKHGLGRLLTDDGEPDTEIIRKLFETIDENHDGGLSPSELRALIIGIRFEEIDLDQDDAVSKVIADFDTSRDNLVQEDEFVEGIMKWINEAKQTGGAYLESNAGTFKFIDHFHQASI >KJB13247 pep chromosome:Graimondii2_0_v6:2:7600054:7602853:-1 gene:B456_002G064800 transcript:KJB13247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKHFLFLFFFIFCFSSFPSHARFITGRPSPTGLVSDGISTVKNLPYLLLKPPVSAEESCEQSYGFLPCTTTVLGNMFLIIVYGYLMYLAATYLSYGSELLLEILGPGIVGGLFLPMLGALPDAMLILVSGLSGTAETAQSQVSVGMGLLAGSTVMLLTVIWGSCIIVGRCDLHDSVAIDGTNTKGFNLKESGVSTDIWTCYAARIMAISVIPFLIVQLPQALSSTSGRHLAVLIALVISLLMLISYCVYQVFQPWIQRRRIAFAKHKHVISGILRHLKKHGLGRLLTDDGEPDTEIIRKLFETIDENHDGGLSPSELRALIIGIRFEEIDLDQDDAVSKVIADFDTSRDNLVQEDEFVEGIMKWINEAKQTGGAYLESNAGTFKFIDHFHQQTKREHALLGSEEQSDEVVENVENPRWISIKAVLMLLLGTLIAAAFADPLVDAVDNFSEATSIPSFFISFIALPLATNSSEAVSAIIFASRKKQRTASLTFSEVRFVLLHLFK >KJB16337 pep chromosome:Graimondii2_0_v6:2:57969367:57974876:-1 gene:B456_002G224200 transcript:KJB16337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g12100 [Source:Projected from Arabidopsis thaliana (AT3G12100) UniProtKB/TrEMBL;Acc:Q0WSX7] MEKNKSFKYYSQEPESPHPWNAEFGISNASDRRYAFSRQPSFKQSMRDPQMPSFSNGSSKPFLSRNVSSIDIPPGFYSFDHSKEGNLDAKGSADKFSVFDSVFWVFRVMRTGNRQMKRLLIMISLNVAYSTAELAIGVFTGRIGLVSDAFHLTFGCGLLTFSLFAMAASRRKSDSVYTYGYQRLEVLSAFTNALFLLFLSFSLAVEALHAFIQDESEHKHYLIVSAVTNLLVNLMGVWFFRNYARVNLVYRKPEDMNYHSVCLHVLADSVRRFDIGILVSLSWR >KJB16338 pep chromosome:Graimondii2_0_v6:2:57969675:57974636:-1 gene:B456_002G224200 transcript:KJB16338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g12100 [Source:Projected from Arabidopsis thaliana (AT3G12100) UniProtKB/TrEMBL;Acc:Q0WSX7] MEKNKSFKYYSQEPESPHPWNAEFGISNASDRRYAFSRQPSFKQSMRDPQMPSFSNGSSKPFLSRNVSSIDIPPGFYSFDHSKEGNLDAKGSADKFSVFDSVFWVFRVMRTGNRQMKRLLIMISLNVAYSTAELAIGVFTGRIGLVSDAFHLTFGCGLLTFSLFAMAASRRKSDSVYTYGYQRLEVLSAFTNALFLLFLSFSLAVEALHAFIQDESEHKHYLIVSAVTNLLVNLMGVWFFRNYARVNLVYRKPEDMNYHSVCLHVLADSVRSAGLILASWFLSLGVNNAEVLCLGLVSVAVFMLVMPLFKASGGVLLQMAPPNISSSALAKCWWQIKSHEDVIEVSQARFWELVPGHVVGSLSLQVKKEMDDRRILEYVHNLYHELGIHDLTVQTDYA >KJB16855 pep chromosome:Graimondii2_0_v6:2:61439650:61442428:-1 gene:B456_002G250900 transcript:KJB16855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLVILLSFTFFSTTLYANSNLQQHFDTGGLSRESFPEGFLFGTAASAYQVEGMASEDGRGPSIWDAFVKTPGHIANNETGEVSIDQYHRYKEDVDLMQMLNFDAYRFSISWSRIFPNGTGEVNWKGVDYYNRLIDYLLEKGITPHVNLYHYDLPLALQEKYLGLLDRQVIQDFADYAEFCFKTFGDRVKTWMTFNEPRVVAALGFDNGINPPNRCSKKFGNCTDGNSAIEPYIAAHHLILSHAEAVKRYREKYQAKQNGRIGIFLDFVWYEPLTRSKADNYAAQRARDFHIGWFLHPLVYGKYPRTMQKIVRERLPKFTKSEVEKVKNSFDVLCLNHYTSYYIYEPHQPPSNVTGYQQDWNAGFAYERNGVPIGRRAHSEWLYEVPWGMYKAVTYVKKRYGSPNIILSENGMDDPGNLTFPESLYDINRVSFYRSYLKELKRAMDDGANVTGYFAWSILDNFEWLLGYTSRFGLVYVDHNDLKRYPKLSAYWFKQMLERKNS >KJB16107 pep chromosome:Graimondii2_0_v6:2:55978595:55982005:-1 gene:B456_002G212600 transcript:KJB16107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMFSRSICCAKLNQLSTFETLFSNLKLRPCATPLIGPLQTCEYRIYSREKAEYANVNWDELGFALTKTDYMYVMNFTEEEQKFFNGTLTRFGNIEMCPSSGILNYGQGLFEGLKAYRKEDEGILLFRPQENALRMKMGADRMCMPSPTVDQFMDAVKKTVLANKRWVPPYGRGSLYVRPLLMATGRNLGVKPSSDYTFLVYASPVGNYHKGDLNLMVEDNVHRAIPGGTGGIKAVTNYSPVYKPLTEAKAKGFSDLLFLDALTGSNIEEGSACNIFILKGNVISTPTTHGTILPGITRKSIMEIASDFGYQVEERAIPIKEVFDAEEVFCTGTAMVVKSVASITYQGKRIGYKLGAETLAQKLHATLTGIQTGLIEDKLGWTMLID >KJB16105 pep chromosome:Graimondii2_0_v6:2:55978810:55982004:-1 gene:B456_002G212600 transcript:KJB16105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMFSRSICCAKLNQLSTFETLFSNLKTCEYRIYSREKAEYANVNWDELGFALTKTDYMYVMNFTEEEQKFFNGTLTRFGNIEMCPSSGILNYGQGLFEGLKAYRKEDEGILLFRPQENALRMKMGADRMCMPSPTVDQFMDAVKKTVLANKRWVPPYGRGSLYVRPLLMATGRNLGVKPSSDYTFLVYASPVGNYHKGDLNLMVEDNVHRAIPGGTGGIKAVTNYSPVYKPLTEAKAKGFSDLLFLDALTGSNIEEGSACNIFILKGNVISTPTTHGTILPGITRKSIMEIASDFGYQVEERAIPIKEVFDAEEVFCTGTAMVVKSVASITYQGKRIGYKLGAETLAQKLHATLTGIQTGLIEDKLGWTMLID >KJB16106 pep chromosome:Graimondii2_0_v6:2:55978595:55982131:-1 gene:B456_002G212600 transcript:KJB16106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMFSRSICCAKLNQLSTFETLFSNLKLRPCATPLIGPLQTCEYRIYREKAEYANVNWDELGFALTKTDYMYVMNFTEEEQKFFNGTLTRFGNIEMCPSSGILNYGQGLFEGLKAYRKEDEGILLFRPQENALRMKMGADRMCMPSPTVDQFMDAVKKTVLANKRWVPPYGRGSLYVRPLLMATGRNLGVKPSSDYTFLVYASPVGNYHKGDLNLMVEDNVHRAIPGGTGGIKAVTNYSPVYKPLTEAKAKGFSDLLFLDALTGSNIEEGSACNIFILKGNVISTPTTHGTILPGITRKSIMEIASDFGYQVEERAIPIKEVFDAEEVFCTGTAMVVKSVASITYQGKRIGYKLGAETLAQKLHATLTGIQTGLIEDKLGWTMLID >KJB16101 pep chromosome:Graimondii2_0_v6:2:55978810:55980190:-1 gene:B456_002G212600 transcript:KJB16101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGADRMCMPSPTVDQFMDAVKKTVLANKRWVPPYGRGSLYVRPLLMATGRNLGVKPSSDYTFLVYASPVGNYHKGDLNLMVEDNVHRAIPGGTGGIKAVTNYSPVYKPLTEAKAKGFSDLLFLDALTGSNIEEGSACNIFILKGNVISTPTTHGTILPGITRKSIMEIASDFGYQVEERAIPIKEVFDAEEVFCTGTAMVVKSVASITYQGKRIGYKLGAETLAQKLHATLTGIQTGLIEDKLGWTMLID >KJB16102 pep chromosome:Graimondii2_0_v6:2:55978595:55982061:-1 gene:B456_002G212600 transcript:KJB16102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMFSRSICCAKLNQLSTFETLFSNLKLRPCATPLIGPLQTCEYRIYSREKAEYANVNWDELGFALTKTDYMYVMNFTEEEQKFFNGTLTRFGNIEMCPSSGILNYGQGLFEGLKAYRKEDEGILLFRPQENALRMKMGADRMCMPSPTVDQFMDAVKKTVLANKRWGDLNLMVEDNVHRAIPGGTGGIKAVTNYSPVYKPLTEAKAKGFSDLLFLDALTGSNIEEGSACNIFILKGNVISTPTTHGTILPGITRKSIMEIASDFGYQVEERAIPIKEVFDAEEVFCTGTAMVVKSVASITYQGKRIGYKLGAETLAQKLHATLTGIQTGLIEDKLGWTMLID >KJB16100 pep chromosome:Graimondii2_0_v6:2:55978810:55980644:-1 gene:B456_002G212600 transcript:KJB16100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSKQEQVECREKAEYANVNWDELGFALTKTDYMYVMNFTEEEQKFFNGTLTRFGNIEMCPSSGILNYGQGLFEGLKAYRKEDEGILLFRPQENALRMKMGADRMCMPSPTVDQFMDAVKKTVLANKRWVPPYGRGSLYVRPLLMATGRNLGVKPSSDYTFLVYASPVGNYHKGDLNLMVEDNVHRAIPGGTGGIKAVTNYSPVYKPLTEAKAKGFSDLLFLDALTGSNIEEGSACNIFILKGNVISTPTTHGTILPGITRKSIMEIASDFGYQVEERAIPIKEVFDAEEVFCTGTAMVVKSVASITYQGKRIGYKLGAETLAQKLHATLTGIQTGLIEDKLGWTMLID >KJB16103 pep chromosome:Graimondii2_0_v6:2:55978595:55982061:-1 gene:B456_002G212600 transcript:KJB16103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMFSRSICCAKLNQLSTFETLFSNLKLRPCATPLIGPLQTCEYRIYSREKAEYANVNWDELGFALTKTDYMYVMNFTEEEQKFFNGTLTRFGNIEMCPSSGILNYGQGLFEGLKAYRKEDEGILLFRPQENALRMKMGADRMCMPSPTVDQFMDAVKKTVLANKRWVPPYGRGSLYVRPLLMATGRNLGVKPSSDYTFLVYASPVGNYHKGDLNLMVEDNVHRAIPGGTGGIKAVTNYSPVYKPLTEAKAKGFSDLLFLDALTGSNIEEGKCYLNSNNSWDNPPRNHKKKHHGNCI >KJB16099 pep chromosome:Graimondii2_0_v6:2:55978510:55980869:-1 gene:B456_002G212600 transcript:KJB16099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSKQEQVEWEKAEYANVNWDELGFALTKTDYMYVMNFTEEEQKFFNGTLTRFGNIEMCPSSGILNYGQGLFEGLKAYRKEDEGILLFRPQENALRMKMGADRMCMPSPTVDQFMDAVKKTVLANKRWVPPYGRGSLYVRPLLMATGRNLGVKPSSDYTFLVYASPVGNYHKGDLNLMVEDNVHRAIPGGTGGIKAVTNYSPVYKPLTEAKAKGFSDLLFLDALTGSNIEEGSACNIFILKGNVISTPTTHGTILPGITRKSIMEIASDFGYQVEERAIPIKEVFDAEEVFCTGTAMVVKSVASITYQGKRIGYKLGAETLAQKLHATLTGIQTGLIEDKLGWTMLID >KJB16104 pep chromosome:Graimondii2_0_v6:2:55978595:55982061:-1 gene:B456_002G212600 transcript:KJB16104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMFSRSICCAKLNQLSTFETLFSNLKTCEYRIYREKAEYANVNWDELGFALTKTDYMYVMNFTEEEQKFFNGTLTRFGNIEMCPSSGILNYGQGLFEGLKAYRKEDEGILLFRPQENALRMKMGADRMCMPSPTVDQFMDAVKKTVLANKRWVPPYGRGSLYVRPLLMATGRNLGVKPSSDYTFLVYASPVGNYHKGDLNLMVEDNVHRAIPGGTGGIKAVTNYSPVYKPLTEAKAKGFSDLLFLDALTGSNIEEGSACNIFILKGNVISTPTTHGTILPGITRKSIMEIASDFGYQVEERAIPIKEVFDAEEVFCTGTAMVVKSVASITYQGKRIGYKLGAETLAQKLHATLTGIQTGLIEDKLGWTMLID >KJB16946 pep chromosome:Graimondii2_0_v6:2:61820863:61823655:-1 gene:B456_002G256100 transcript:KJB16946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFGLSHLVNVKNDVVLRNLWSQDLSFFKNGDKRFVFSMKRRQRVVILSLAHRPEAEAEAEAQAGAAKSIVTKGSSESSIRKEEVRIFGEAKIDAGNGGGSFYGNGGSGGGGGGDGGGDGGDGEKGDPEEEEFGPLMKFEDVMKETNARGATLPSDMMEAAKTVGIRKLLLLRYLDLQGSSWPLGFAMRSWGMLRNRMLADPSFLFKIGTEIVIDSCCATFAEVQKRGKDFWAEFELYVADLLVGVVVNIALVGMLAPYARIGQPSISKGFLGGIQNAYNALPSSVFEAERPGCRFTVNQRLGTYFYKGVLYGTVGFACGIIGQGIANLIMTAKRSMKKSEEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERLVEASPLAKQVPPVAMAFTVGVRFANNIYGGMQFVDWARLSGVQ >KJB16949 pep chromosome:Graimondii2_0_v6:2:61820928:61823565:-1 gene:B456_002G256100 transcript:KJB16949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFGLSHLVNVKNDVVLRNLWSQDLSFFKNGDKRFVFSMKRRQRVVILSLAHRPEAEAEAEAQAGAAKSIVTKGSSESSIRKEEVRIFGEAKIDAGNGGGSFYGNGGSGGGGGGDGGGDGGDGEKGDPEEEEFGPLMKFEDVMKETNARGATLPSDMMEAAKTVGIRKLLLLRYLDLQGSSWPLGFAMRSWGMLRNRMLADPSFLFKIGTEIVIDSCCATFAEVQKRGKDFWAEFELYVADLLVGVVVNIALVGMLAPYARIGQPSISKGFLGGIQNAYNALPSRLYHKFLRYRFSMMCLFYCVLLIFAVLYSVFEAERPGCRFTVNQRLGTYFYKGVLYGTVGFACGIIGQGIANLIMTAKRSMKKSEEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERLVEASPLAKQVPPVAMAFTVGVRFANNIYGGMQFVDWARLSGVQ >KJB16945 pep chromosome:Graimondii2_0_v6:2:61818560:61823565:-1 gene:B456_002G256100 transcript:KJB16945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFGLSHLVNVKNDVVLRNLWSQDLSFFKNGDKRFVFSMKRRQRVVILSLAHRPEAEAEAEAQAGAAKSIVTKGSSESSIRKEEVRIFGEAKIDAGNGGGSFYGNGGSGGGGGGDGGGDGGDGEKGDPEEEEFGPLMKFEDVMKETNARGATLPSDMMEAAKTVGIRKLLLLRYLDLQGSSWPLGFAMRSWGMLRNRMLADPSFLFKIGTEIVIDSCCATFAEVQKRGKDFWAEFELYVADLLVGVVVNIALVGMLAPYARIGQPSISKGFLGGIQNAYNALPSSVFEAERPGCRFTVNQRLGTYFYKGVLYGTVGFACGIIGQGIANLIMTAKS >KJB16947 pep chromosome:Graimondii2_0_v6:2:61820928:61823554:-1 gene:B456_002G256100 transcript:KJB16947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFGLSHLVNVKNDVVLRNLWSQDLSFFKNGDKRFVFSMKRRQRVVILSLAHRPEAEAEAEAQAGAAKSIVTKGSSESSIRKEEVRIFGEAKIDAGNGGGSFYGNGGSGGGGGGDGGGDGGDGEKGDPEEEEFGPLMKFEDVMKETNARGATLPSDMMEAAKTVGIRKLLLLRYLDLQGSSWPLGFAMRSWGMLRNRMLADPSFLFKIGTEIVIDSCCATFAEVQKRGKDFWAEFELYVADLLVGVVVNIALVGMLAPYARIGQPSISKGFLGGIQNAYNALPSSVFEAERPGCRFTVNQRLGTYFYKGVLYGTVGFACGIIGQGIANLIMTAKRSMKKSEEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERLVEASPLAKQVPPVAMAFTVGVRFANNIYGGMQFVDWARLSGVQ >KJB16948 pep chromosome:Graimondii2_0_v6:2:61820862:61823655:-1 gene:B456_002G256100 transcript:KJB16948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFGLSHLVNVKNDVVLRNLWSQDLSFFKNGDKRFVFSMKRRQRVVILSLAHRPEAEAEAEAQAGAAKSIVTKGSSESSIRKEEVRIFGEAKIDAGNGGGSFYGNGGSGGGGGGDGGGDGGDGEKGDPEEEEFGPLMKFEDVMKETNARGATLPSDMMEAAKTVGIRKLLLLRYLDLQGSSWPLGFAMRSWGMLRNRMLADPSFLFKIGTEIVIDSCCATFAEVQKRGKDFWAEFELYVADLLVGVVVNIALVGMLAPYARIGQPSISKGFLGGIQNAYNALPSSVFEAERPGCRFTVNQRLGTYFYKGVLYGTVGFACGIIGQGIANLIMTAKRSMKKSEEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERLVEASPLAKQVPPVAMAFTVGVRFANNIYGGMQFVDWARLSGVQ >KJB14958 pep chromosome:Graimondii2_0_v6:2:29870937:29882137:1 gene:B456_002G151400 transcript:KJB14958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSEELQISTAKRAYRNAKEEGNRQEEARWANVIGDILKNRGEYVEALKWFRIDYDVSNKYLPEKQLLPTCQSLGEVYLRLEHYKDALIYQKKHLDLAKDANDLVEQQRASTQLGRTYHEMFLKSEDDHYSVQNAKKYFKSAMKLAQTLKENPPNNKSSFLKEYIDAHNNIGMLEVDLDNLDEALKFLAKGLAICDEEEVVEDDDGRSRLHHNLGNVYMELRRWAKAREHTEKDIMICKRIGHRQGEAKGYINLGELHYRVQRYDEAILCYQKALDLAKSMEDEDALVAQIDQNIKTVKEAINVMNDLKKEEQNLKKLKRNMVIAKGTPQERKFLLLQNSCLDCLIEKSAMIFAWLKHREFAKRKKRIASELCDKEKLSDAFLVVGESYQKLRDFSKAIKWYTKSWEGYKSIKNLEGQALAKINIGHVLDCNGDWTGALEAFKEGYRIAVEAKLPSIQLSALENMHYSHMIRFDNVEEARRLQLEIDKLKQSKVEELDEKHIATDRCSETDTEGDDHCSDDMSSACLEVLRKSSSNGSVPLAASGESNDDLPLISLIRPSKRSPKDKTTDTANNNISKEPDETSPKSLSKSTSNQQTVVGRKRVRLVLSDDEGDVPHQVECSARRLHKRPVDFAASDEFTRKISPASSDDKLQDTSPVASRSPSRPCNLVNIEESTCSYKSVGNRTVSNGKNTRSRSNAEVVIGSDYADSASKCDINDSENLLHRYNSPLKLQATDNEVDGCMEFKIDDNKINVAVSSFMAADKISIEPLKVELACLYYLQLPVEKRSKGLLPIIQNMECGGRPLESIENLDSLRNHLMNVSVDVLINGWIQKRLMKLYIDSCKELCETPNMKLLKKLYVSEVEDEVNVSECELQDISVIPLLNALHTHKGVALLDLSHNLLGNGTMEKLQRFFSSSGQKYGDLTLDLHCNRFGPTALFQICECPVLFTRLEVLNISGNRLTDACGSYLSTILEKCRALYSLNIERCSITSRTIQKVADALDTESVLSQLFIGHNNPISGNAISSLLGKLAILKRFSEFSLNGLKLSKTVVDGICHLAKTSCLSRLMLEGTAIGTDGALRLTRSLFSSTQEPLKLDLSFCGVASTYIYELNTNVIFISGILELHLGGNPIMQEL >KJB14959 pep chromosome:Graimondii2_0_v6:2:29870937:29883158:1 gene:B456_002G151400 transcript:KJB14959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSEELQISTAKRAYRNAKEEGNRQEEARWANVIGDILKNRGEYVEALKWFRIDYDVSNKYLPEKQLLPTCQSLGEVYLRLEHYKDALIYQKKHLDLAKDANDLVEQQRASTQLGRTYHEMFLKSEDDHYSVQNAKKYFKSAMKLAQTLKENPPNNKSSFLKEYIDAHNNIGMLEVDLDNLDEALKFLAKGLAICDEEEVVEDDDGRSRLHHNLGNVYMELRRWAKAREHTEKDIMICKRIGHRQGEAKGYINLGELHYRVQRYDEAILCYQKALDLAKSMEDEDALVAQIDQNIKTVKEAINVMNDLKKEEQNLKKLKRNMVIAKGTPQERKFLLLQNSCLDCLIEKSAMIFAWLKHREFAKRKKRIASELCDKEKLSDAFLVVGESYQKLRDFSKAIKWYTKSWEGYKSIKNLEGQALAKINIGHVLDCNGDWTGALEAFKEGYRIAVEAKLPSIQLSALENMHYSHMIRFDNVEEARRLQLEIDKLKQSKVEELDEKHIATDRCSETDTEGDDHCSDDMSSACLEVLRKSSSNGSVPLAASGESNDDLPLISLIRPSKRSPKDKTTDTANNNISKEPDETSPKSLSKSTSNQQTVVGRKRVRLVLSDDEGDVPHQVECSARRLHKRPVDFAASDEFTRKISPASSDDKLQDTSPVASRSPSRPCNLVNIEESTCSYKSVGNRTVSNGKNTRSRSNAEVVIGSDYADSASKCDINDSENLLHRYNSPLKLQATDNEVDGCMEFKIDDNKINVAVSSFMAADKISIEPLKVELACLYYLQLPVEKRSKGLLPIIQNMECGGRPLESIENLDSLRNHLMNVSVDVLINGWIQKRLMKLYIDSCKELCETPNMKLLKKLYVSEVEDEVNVSECELQDISVIPLLNALHTHKGVALLDLSHNLLGNGTMEKLQRFFSSSGQKYGDLTLDLHCNRFGPTALFQICECPVLFTRLEVLNISGNRLTDACGSYLSTILEKCRALYSLNIERCSITSRTIQKVADALDTESVLSQLFIGHNNPISGNAISSLLGKLAILKRFSEFSLNGLKLSKTVVDGICHLAKTSCLSRLMLEGTAIGTDGALRLTRSLFSSTQEPLKLDLSFCGVASTYIYELNTNVIFISGILELHLGGNPIMQEGGNALSSLLLNPQCCLKVLNLKKCQLGMAGILQIVHALAENESLEELNLANNADTDKRLGILYCKPANSSESSQPNHIVSEPSLNPCSSKEFDELDPNYNKLEVADSEDDEVREETAASGFNDSSASSCQRRNSTLECQFIQELSIAIGLAKQLQVLDLSNNGLSVQASEALYNAWSSGSRAGLSWRHIKDQIVHLSVEGNKCCRLKSCCKKD >KJB15041 pep chromosome:Graimondii2_0_v6:2:34021855:34022406:1 gene:B456_002G1571001 transcript:KJB15041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DCTASSYKTQNESAKDSNLVGKVNGKLIYRYCIILFKSIYA >KJB11899 pep chromosome:Graimondii2_0_v6:2:3297732:3301961:1 gene:B456_002G040200 transcript:KJB11899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTHLAIVMEYAAGGELFDRIYSAGRFSEDEIAMWLILWWKYIPVLVILSKMFFAGLSLFAALGFLLYGGR >KJB11902 pep chromosome:Graimondii2_0_v6:2:3297752:3301961:1 gene:B456_002G040200 transcript:KJB11902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTHLAIVMEYAAGGELFDRIYSAGRFSEDEARYFFQQLISGVNYCHSMIAMWLILWWKYIPVLVILSKMFFAGLSLFAALGFLLYGGR >KJB11900 pep chromosome:Graimondii2_0_v6:2:3297732:3301961:1 gene:B456_002G040200 transcript:KJB11900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTHLAIVMEYAAGGELFDRIYSAGRFSEDEIAMWLILWWKYIPVLVILSKMFFAGLSLFAALGFLLYGGRNLVWNNLILASKEWKPPLRVCLQKRKNWQWFQLREGFN >KJB11901 pep chromosome:Graimondii2_0_v6:2:3297752:3301961:1 gene:B456_002G040200 transcript:KJB11901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTHLAIVMEYAAGGELFDRIYSAGRFSEDEARYFFQQLISGVNYCHSMIAMWLILWWKYIPVLVILSKMFFAGLSLFAALGFLLYGGRNLVWNNLILASKEWKPPLRVCLQKRKNWQWFQLREGFN >KJB14599 pep chromosome:Graimondii2_0_v6:2:20841830:20845497:-1 gene:B456_002G132900 transcript:KJB14599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTWLHLTSSIIVCALICFNFFTVDAKDHHPRPMLLPLQLTSRNHSQQRHLDNIRRHLQQSGLSSSTPNARMRLYDDLLSNGYYTTRLWIGTPPQEFALIVDTGSTVTYVPCSSCAHCGKHQDPRFQPDLSSTYQPVKCNPSCNCDDEQKQCTYDRRYAEMSSSSGVLGEDIVSFGNESELVPQRAVFGCENRETGDLYSQRADGIMGLGRGQLSIVDQLVDKSVIGDSFSLCYGGMDVGGGAMVLGKITPPPEMVFSHSDPFRSPYYNIELKEMHVAGKRLKLPTGVFDGRHGTVLDSGTTYAYFQKDAFVAFRDAILREVHSLKRVRGPDPNYDDICFSGAGRDVSQLSKSFPEVEMVFNNGNKLLLSPENYLFRHTKLIGAYCLGIFANAESTTLLGGIVVRNTLVTYDRDNDRIGFLKTNCSELWRRVKISGAPAPAPSVSQSNDTNLEIPPASAPSESPANVLPGAWHITCILAMFPVFLGFFPCTVL >KJB14595 pep chromosome:Graimondii2_0_v6:2:20837230:20845487:-1 gene:B456_002G132900 transcript:KJB14595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTWLHLTSSIIVCALICFNFFTVDAKDHHPRPMLLPLQLTSRNHSQQRHLDNIRRHLQQSGLSSSTPNARMRLYDDLLSNGYYTTRLWIGTPPQEFALIVDTGSTVTYVPCSSCAHCGKHQDPRFQPDLSSTYQPVKCNPSCNCDDEQKQCTYDRRYAEMSSSSGVLGEDIVSFGNESELVPQRAVFGCENRETGDLYSQRADGIMGLGRGQLSIVDQLVDKSVIGDSFSLCYGGMDVGGGAMVLGKITPPPEMVFSHSDPFRSPYYNIELKEMHVAGKRLKLPTGVFDGRHGTVLDSGTTYAYFQKDAFVAFRDAILREVHSLKRVRGPDPNYDDICFSGAGRDVSQLSKSFPEVEMVFNNGNKLLLSPENYLFRHTKLIGAYCLGIFANAESTTLLGGIVVRNTLVTYDRDNDRIGFLKTNCSELWRRVKISGAPAPAPSVSQSNDTNLEIPPASAPSESPANVLPGSPAECHFQGE >KJB14597 pep chromosome:Graimondii2_0_v6:2:20837310:20845507:-1 gene:B456_002G132900 transcript:KJB14597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTWLHLTSSIIVCALICFNFFTVDAKDHHPRPMLLPLQLTSRNHSQQRHLDNIRRHLQQSGLSSSTPNARMRLYDDLLSNGYYTTRLWIGTPPQEFALIVDTGSTVTYVPCSSCAHCGKHQDPRFQPDLSSTYQPVKCNPSCNCDDEQKQCTYDRRYAEMSSSSGVLGEDIVSFGNESELVPQRAVFGCENRETGDLYSQRADGIMGLGRGQLSIVDQLVDKSVIGDSFSLCYGGMDVGGGAMVLGKITPPPEMVFSHSDPFRSPYYNIELKEMHVAGKRLKLPTGVFDGRHGTVLDSGTTYAYFQKDAFVAFRDAILREVHSLKRVRGPDPNYDDICFSGAGRDVSQLSKSFPEVEMVFNNGNKLLLSPENYLFRHTKLIGAYCLGIFANAESTTLLGGIVVRNTLVTYDRDNDRIGFLKTNCSELWRRVKISGAPAPAPSVSQSNDTNLEIPPASAPSESPANVLPGSFHIGFITFDMSISTNDSKLKLNFKELGQLISKELEVDNSQVRLLNVTSKGNDYLVRWGIFPTSSASYISSSTALSIILRLRDHRLQFPERFGNYKLVEWNAEPQRKSSWWRHHLLAVVLGCIITLSLSAIGIWLVIRHRQQSVTAYEPVASPTPEQELQPLQT >KJB14598 pep chromosome:Graimondii2_0_v6:2:20840905:20845539:-1 gene:B456_002G132900 transcript:KJB14598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTWLHLTSSIIVCALICFNFFTVDAKDHHPRPMLLPLQLTSRNHSQQRHLDNIRRHLQQSGLSSSTPNARMRLYDDLLSNGYYTTRLWIGTPPQEFALIVDTGSTVTYVPCSSCAHCGKHQDPRFQPDLSSTYQPVKCNPSCNCDDEQKQCTYDRRYAEMSSSSGVLGEDIVSFGNESELVPQRAVFGCENRETGDLYSQRADGIMGLGRGQLSIVDQLVDKSVIGDSFSLCYGGMDVGGGAMVLGKITPPPEMVFSHSDPFRSPYYNIELKEMHVAGKRLKLPTGVFDGRHGTVLDSGTTYAYFQKDAFVAFRDAILREVHSLKRVRGPDPNYDDICFSGAGRDVSQLSKSFPEVEMVFNNGNKLLLSPENYLFRHTKLIGAYCLGIFANAESTTLLGGIVVRNTLVTYDRDNDRIGFLKTNCSELWRRVKISGAPAPAPSVSQSNDTNLEIPPASAPSESPANVLPARQ >KJB14596 pep chromosome:Graimondii2_0_v6:2:20837230:20845539:-1 gene:B456_002G132900 transcript:KJB14596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTWLHLTSSIIVCALICFNFFTVDAKDHHPRPMLLPLQLTSRNHSQQRHLDNIRRHLQQSGLSSSTPNARMRLYDDLLSNGYYTTRLWIGTPPQEFALIVDTGSTVTYVPCSSCAHCGKHQDPRFQPDLSSTYQPVKCNPSCNCDDEQKQCTYDRRYAEMSSSSGVLGEDIVSFGNESELVPQRAVFGCENRETGDLYSQRADGIMGLGRGQLSIVDQLVDKSVIGDSFSLCYGGMDVGGGAMVLGKITPPPEMVFSHSDPFRSPYYNIELKEMHVAGKRLKLPTGVFDGRHGTVLDSGTTYAYFQKDAFVAFRDAILREVHSLKRVRGPDPNYDDICFSGAGRDVSQLSKSFPEVEMVFNNGNKLLLSPENYLFRHTKLIGAYCLGIFANAESTTLLGGIVVRNTLVTYDRDNDRIGFLKTNCSELWRRVKISGAPAPAPSVSQSNDTNLEIPPASAPSESPANVLPGSFHIGFITFDMSISTNDSKLKLNFKELGQLISKELEVDNSQVRLLNVTSKGNDYLVRWGIFPTSSASYISSSTALSIILRLRDHRLQFPERFGNYKLVEWNAEPQRKSSWWRHHLLAVVLGCIITLSLSAIGIWLVIRHRQQSVTAYEPVASPTPEQELQPLQT >KJB12264 pep chromosome:Graimondii2_0_v6:2:572495:573160:1 gene:B456_002G0088002 transcript:KJB12264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSGIHGHVLEVTVVGCNRLKDTEWLSRQDPYVCLEYGSTKY >KJB16136 pep chromosome:Graimondii2_0_v6:2:60504780:60506304:1 gene:B456_002G241500 transcript:KJB16136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQIEQQQQQPQQQQNQLMVQNSGSLSFSSHLSKEDEEISKSALSTFRAKEEEIERKKMEVREKVQLQLGRVEEETKRLAVIREELEALADPMRKEVAQVRKKIDAVNKELKPLGNTCQKKEREYKEALDAFNEKNKEKVQLITKLMEVS >KJB16135 pep chromosome:Graimondii2_0_v6:2:60504780:60506304:1 gene:B456_002G241500 transcript:KJB16135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQIEQQQQQPQQQQNQLMVQNSGSLSFSSHLSKEDEEISKSALSTFRAKEEEIERKKMEVREKVQLQLGRVEEETKRLAVIREELEALADPMRKEVAQVRKKIDAVNKELKPLGNTCQKKGVQGSP >KJB16134 pep chromosome:Graimondii2_0_v6:2:60504948:60505688:1 gene:B456_002G241500 transcript:KJB16134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQIEQQQQQPQQQQNQLMVQNSGSLSFSSHLSKEDEEISKSALSTFRAKEEEIERKKMEVREKVQLQLGRVEEETKRLAVIREELEALADPMRKEVAQVRKKIDAVNKELKPLGNTCQKKVPTFPLILIVPIVPFVMD >KJB16137 pep chromosome:Graimondii2_0_v6:2:60504780:60506512:1 gene:B456_002G241500 transcript:KJB16137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQIEQQQQQPQQQQNQLMVQNSGSLSFSSHLSKEDEEISKSALSTFRAKEEEIERKKMEVREKVQLQLGRVEEETKRLAVIREELEALADPMRKEVAQVRKKIDAVNKELKPLGNTCQKKVVK >KJB16133 pep chromosome:Graimondii2_0_v6:2:60504667:60506349:1 gene:B456_002G241500 transcript:KJB16133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQIEQQQQQPQQQQNQLMVQNSGSLSFSSHLSKEDEEISKSALSTFRAKEEEIERKKMEVREKVQLQLGRVEEETKRLAVIREELEALADPMRKEVAQVRKKIDAVNKELKPLGNTCQKKEREYKEALDAFNEKNKEKVQLITKLMELVSESEKLRMKKLEELSKNIDSIH >KJB12183 pep chromosome:Graimondii2_0_v6:2:310477:316687:1 gene:B456_002G004900 transcript:KJB12183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTSMNNCSKDGGATEAVTNNGCTINGTGKDMIKVTVKKANVKDPEIFSCLLQPASSDSDLDSIGVRRFLLARKAESGVHRRLDWRCNGKGYVAYRNYIRRPRNWENSQIPSYPSTPGNSGRWMLTSSPLSRLSEVESWSSSKDLRSGALASNHRTSFSSSISDSDHPRGQGVEPAYSFVGMHCIFDQCKSAVTVLKFGHMSSDLLAYGASDGTLTVCNVSDPPTVINQLKGHSKDVTDFDFSSNNQYIASSSADKTVRVWELSKGLCIRVIYGVSPQLCIRFHPVNNNFLSVGNANKEITVFNFSTGRVIAKSIFDSEVTSMDHDHTGHLIFCGDAQGCIYSVTMDSHTGALSRSHRYRSSSKQKFPITTVQYRSFSLLAGGPVLLTCTKDGSLSFFSVALEVEGYLTLRCSLKLTPRIHSIRASFCPLLSLNKGEYIVAGSEDSNVYFYDLTRPKHTCVNKLQGHRFPVLVVAWNHGENFLASADLYGVVIVWKRAKTSYKGNI >KJB12184 pep chromosome:Graimondii2_0_v6:2:311856:315342:1 gene:B456_002G004900 transcript:KJB12184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSSPLSRLSEVESWSSSKDLRSGALASNHRTSFSSSISDSDHPRGQGVEPAYSFVGMHCIFDQCKSAVTVLKFGHMSSDLLAYGASDGTLTVCNVSDPPTVINQLKGHSKDVTDFDFSSNNQYIASSSADKTVRVWELSKGLCIRVIYGVSPQLCIRFHPVNNNFLSVGNANKEITVFNFSTGRVIAKSIFDSEVTSMDHDHTGHLIFCGDAQGCIYSVTMDSHTGALSRSHRYRSSSKQKFPITTVQYRSFSLLAGGPVLLTCTKDGSLSFFSVALEVEGYLTLRCSLKLTPRIHSIRASFCPLLSLNKGEYIVAGSEDSNVYFYDLTRPKHTCVNKLQGHRFPVLVVAWNHGENFLASADLYGVVIVWKRAKTSYKGNI >KJB12185 pep chromosome:Graimondii2_0_v6:2:310591:315792:1 gene:B456_002G004900 transcript:KJB12185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTSMNNCSKDGGATEAVTNNGCTINGTGKDMIKVTVKKANVKDPEIFSCLLQPASSDSDLDSIGVRRFLLARKAESGVHRRLDWRCNGKGYVAYRNYIRRPRNWENSQIPSYPSTPGNSGRWMLTSSPLSRLSEVESWSSSKDLRSGALASNHRTSFSSSISDSDHPRGQGVEPAYSFVGMHCIFDQCKSAVTVLKFGHMSSDLLAYGASDGTLTVCNVSDPPTVINQLKGHSKDVTDFDFSSNNQYIASSSADKTVRVWELSKGLCIRVIYGVSPQLCIRFHPVNNNFLSVGNANKEITVFNFSTGRVIAKSIFDSEVTSMDHDHTGHLIFCGDAQGCIYSVTMDSHTVLLWK >KJB12182 pep chromosome:Graimondii2_0_v6:2:310479:316132:1 gene:B456_002G004900 transcript:KJB12182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTSMNNCSKDGGATEAVTNNGCTINGTGKDMIKVTVKKANVKDPEIFSCLLQPASSDSDLDSIGVRRFLLARKAESGVHRRLDWRCNGKGYVAYRNYIRRPRNWENSQIPSYPSTPGNSGRWMLTSSPLSRLSEVESWSSSKDLRSGALASNHRTSFSSSISDSDHPRGQGVEPAYSFVGMHCIFDQCKSAVTVLKFGHMSSDLLAYGASDGTLTVCNVSDPPTVINQLKGHSKDVTDFDFSSNNQYIASSSADKTVRVWELSKGLCIRVIYGVSPQLCIRFHPVNNNFLSVGNANKEITVFNFSTGRVIAKSIFDSEVTSMDHDHTGHLIFCGDAQGCIYSVTMDSHTGALSRSHRYRSSSKQKFPITTVQYRSFSLLAGGPVLLTCTKDGSLSFFSVALEVEGYLTLRCSLKLTPRIHSIRASFCPLLSLNKGEYIVAGSEDSNVYFYDLTRPKHTCVNKLQGHRFPVLVVAWNHGENFLASADLYGVVIVWKRAKTSYKGNI >KJB11942 pep chromosome:Graimondii2_0_v6:2:911143:913918:1 gene:B456_002G013900 transcript:KJB11942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVPLVVFSLALVLSIQFVVGHDEKALDEAESPEAEDAVLSSGAAKLVENFKSSAGDDSQSVDGPTSDFVDEIAASPESEVKVATESVNGPHSGLADEIAVSPES >KJB12152 pep chromosome:Graimondii2_0_v6:2:177206:183347:1 gene:B456_002G003300 transcript:KJB12152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVGTVLGIFGFGFGITAGLVIGYYFFIYIQPSDVADPEIRPLVEEDQETLQKMLPEIPFWVKNPDYDRLDWLNKFLEYMWPNLNKAICATVKGIVKPIIDEQIPQYKIDAVEFEALTLGSLPPTFQGMKIYVTEEKELIMEPSIKWAANANVTIAVKAYGLKATAQVVDLQVFALPRITLKPLVPSFPCFANIFVSLMDKPYVDFGLKVVGIDLMSVPILYRFVQEIIKDQVANMYHWPKTLEVQILDPAKAFDRPVGLLHVKVIRALKLQKKDLLGASDPYVKIKLTDSKLPSKQTTVKMKNLNPEWNEDFNFTVKDPLTQILKLHVIDWEQIGKHDKMGMNEVPLKDLTPDEPKLMTLALVKKKDTNDAQNDKSRGQLVVELTYKPFKEEELPKTFQQTKTLLVRAPDNTPDGGGMLVVIVHEAEDVEGKHHNNPYVRILFRGEKRKTKKIRKTRDPRWEEEFTFMLDEPPINDKIHLEVYSSSSRIGLRRPKGP >KJB12153 pep chromosome:Graimondii2_0_v6:2:178291:183347:1 gene:B456_002G003300 transcript:KJB12153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIYVTEEKELIMEPSIKWAANANVTIAVKAYGLKATAQVVDLQVFALPRITLKPLVPSFPCFANIFVSLMDKPYVDFGLKVVGIDLMSVPILYRFVQEIIKDQVANMYHWPKTLEVQILDPAKAFDRPVGLLHVKVIRALKLQKKDLLGASDPYVKIKLTDSKLPSKQTTVKMKNLNPEWNEDFNFTVKDPLTQILKLHVIDWEQIGKHDKMGMNEVPLKDLTPDEPKLMTLALVKKKDTNDAQNDKSRGQLVVELTYKPFKEEELPKTFQQTKTLLVRAPDNTPDGGGMLVVIVHEAEDVEGKHHNNPYVRILFRGEKRKTKKIRKTRDPRWEEEFTFMLDEPPINDKIHLEVYSSSSRIGLRRPKGP >KJB12402 pep chromosome:Graimondii2_0_v6:2:1053964:1056944:-1 gene:B456_002G016200 transcript:KJB12402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKKEIQNLYSSIFILETQADYEKIKESLLMGLDTLKTATANFSDENKLGQGGFGPVYKGKLFDGREIAVKRLSSNSRQGLAELKTEVMLVAKLLHRNLVKLLGFCLEEEEKLLVYEYLPNGSLDKILFDHGKRLRLGWGRRYKIIVGIARGLLYLHEDSQLRIIHRDLKASNILLDEEMNPKISDFGLAKLFGESETQGNTNHIAGTYGYMAPEYAKHGLFSIKSDVYSFGVLVLEIITGQKNSSFRNLTNLLSHAWLHWNNGTAEELIDPILNDPWPTFEAFKCVHIGLLCVQENAADRPSMSDIITMLSSHSVTAPAPSRPAFFVSNGHFKTDSANEFGSGLSRSTDSIQRSVNEVTISELDPR >KJB12403 pep chromosome:Graimondii2_0_v6:2:1054171:1056541:-1 gene:B456_002G016200 transcript:KJB12403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAKLLHRNLVKLLGFCLEEEEKLLVYEYLPNGSLDKILFDHGKRLRLGWGRRYKIIVGIARGLLYLHEDSQLRIIHRDLKASNILLDEEMNPKISDFGLAKLFGESETQGNTNHIAGTYGYMAPEYAKHGLFSIKSDVYSFGVLVLEIITGQKNSSFRNLTNLLSHAWLHWNNGTAEELIDPILNDPWPTFEAFKCVHIGLLCVQENAADRPSMSDIITMLSSHSVTAPAPSRPAFFVSNGHFKTDSANEFGSGLSRSTDSIQRSVNEVTISELDPR >KJB15481 pep chromosome:Graimondii2_0_v6:2:47374189:47375391:-1 gene:B456_002G180100 transcript:KJB15481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLAAKKTTKVMKINGETLKLKTPVRAEDVVKDYPGHVLLESEAVKHFGVRAKPLEAHHGLEPKRLYFLVVLPEGPKERVPRRVRSGVNMSAKDRLEGLMLSRRSVSDLTLMKGESTGAEKGESGAMRVRLRVPKAEVERLMKESENEGEVVEKIMQLCTANGGNSPREGAVKGKQQVRWAGSHGSTGEGFKAREKRVSFVAVKEGGGSQIEVGSGAERNQIN >KJB13896 pep chromosome:Graimondii2_0_v6:2:12758921:12762907:-1 gene:B456_002G100700 transcript:KJB13896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN [Source:Projected from Arabidopsis thaliana (AT1G55870) UniProtKB/Swiss-Prot;Acc:Q9LG26] MNTTRHWPKRAFILSRALSRAFSDTPSPTFPLKHVTRSNFDSALVELRSHVRAADFVAIDMEMTGVTSAPWRESFEFDRFDIRYLKVKDSAEKFAVLQFGVCPFRWDSLKQSFIAHPHNFFVFPRQEIPLDRTSYEFLCQTTSMDFLAKYQFDFNACIHEGISYLSRGQEDEARKRLISPKGDQLTHLSHNLRETMDLPLVRVADVLFTERMKKRLGEWRDDLLQNRVGGPQEEKVPDDLNQQFRTVFFKMRPALSLDGFTSHQLSLIRMVTRKHFKDLTYVCFNGESTSEELVVYTDSESDKARLMEEVKNDHQRSAEMKINAAVGFRYVIDLLSSENKLIVGHNCILDIAHVHSKFLAPLPSTAEEFVSCVNKYFPSIIDTKILLNADYTLQRKMKRSSTSLSSAFSLLCPEIAHGFTRNVLSYEPCVKVEVHVDEMRSSNWNSGAKHEAGYDAFMTGCVFAQACSHLGIDFQLHSPSENLTQNEKLQKHMNLLYLSWINGDIIDLRTGNRSAELSGSNMIRKPYRKILFKNIVLLWGFPSKLKPSMIRDCICKTLGPSSVISTYCIDQTAVFVQFSKAELASDFLNLKETLEQSNDAISHLHPLSQLLEGGNTHAASYETYKEICASPISKVLFADQAEAVGIKWKTELLPSDKEESHDNTRSHLESAMDSPQAVQKSKTRNTEDVVNDSSNKRFCDDIIDSFLKVEKIRRTTNL >KJB17022 pep chromosome:Graimondii2_0_v6:2:62178937:62180627:-1 gene:B456_002G261000 transcript:KJB17022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTADPPLSQPQPPPHRPCDRHPEESFTTFCPSCLCERLAFLQPASTSSSSGKPPIAPSTTTAAAFKAIFKPPGGNGSRSSFLPELRRTKSFSASKNEGTNLGFEPQRKSCSLFSQEISDLGSSSIKTPTENDDVQIVEEEEQLKAMKDHMDLDSQTKKKTTFWSTASVFSKKLQQWRQKQKLKKPKNGDNGSVRLPLENPLGRRSCDIAPPRFSFDDSRFSFDEPRASWDGYLMGRTTTPFPKVPIATMVEDHPLTMDSINGESLPGGSAQTRDYYSDSRRRKSLDRSNSIRKTAAAVVAEIDELKSISTAKVSPAIVDSNSNSNSETFEISSFKDNASIIMNGDRTKKSKKWSTKAWNILGFIHRKNVNKNEDEDNYSRASGVERSYSYSESVSEPRLGFNPKLMRSSSSVSWRNLSRFGVESNGRLMFDTMSGGVGSGRKGGSGKCRGNHAHPVPKRLY >KJB16675 pep chromosome:Graimondii2_0_v6:2:60681030:60684351:1 gene:B456_002G242600 transcript:KJB16675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIKTPKKGRVKRELDKRAPKLVETGKKTLILQGTKTSGILNSVLSEIYHLKKGGAVRFTRKNDNIRPFESGGETSLEFFSLKTDCSIFVYGSHSKKRPNNLVIGRMYDHHVYDLVEVGVENFKSIESFTYDKKIAPRVGSKPFIAFIGEGFENVDELKHLKEVLLDLLRGEVVENINLAGLDRAYVCTAISSNKVYLTHCALRLKKSGTVVPRMELVEVGPSMDLVVRRHRLPNEGLRKEAMKTAKDQPKKKVKNVSSDAIQGTIGKIYIPDQKVGEMALPNKAKGVKRERREAKNKDANEHASKKQKEESE >KJB15968 pep chromosome:Graimondii2_0_v6:2:55225819:55233593:1 gene:B456_002G206300 transcript:KJB15968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIRDNMSDYEKSSSAPSSLKEPELAKFSTLADTWWDSEGPFKPLHKMNPTKLAFLRSTLCRHFWPFKGLRFIDVGCGGGILSEPLARMGATVTGIDAVEKNIKIAHLHANLDPTTSTIEYCSTTAEKLVEEQRKFDAVIALEVIEHVADAAEFCKSLSALTAHEGATVLPKGTHQWSSFLTPEELTMILKRAGVDVKEMAGFVHNPLTGRWSLSDDISVNFIAYDTKEK >KJB14675 pep chromosome:Graimondii2_0_v6:2:23010654:23019611:1 gene:B456_002G137000 transcript:KJB14675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGALLTSAGINIAICVVLLSLYSILRKQPSNVSVYFMRRLISEPIKHSDPFRFERLVPSASWIVRAWQATNEEILAAGGVDALVFLRIVVFSIRVFIIAAMICVFLLLPVNYYGQEMQHKQIHSESLEVFTIGNVKEGSKWFWTHCLALYVISCSACVLLHFEYKNITKMRLAHITGSPVNPSHFTVLVRSIPCSQNHSYSKSVEDFFSTYYPASYVSHQMVYRAGRVDKLMKDAEKMYRMLKTIESTTKKSYMPCCLCGKSTHSFEALNNDAESVEIKTSSDELQPSQREKKDVEKIYRKLKTIETQNKMSSTPGCLCGGIMHYFKMLKKEAESVECKTSSDRSQPSQTGKERPAAFVFFRTRYAAIVAAQVLQSSNPMLWVTQLAPEPNDVYWSNLSIPYKQVWLRKIATLLGAFVFMFVFLAPVTFVQGLTQLDQLRQTFPFLKGILKQKFMNQLVTGYLPSVILMLFMYAVPPTMMLFSTIEGNVSHSERKKSAGIKVLYFTIWNVFFVNVLSGSIIRQLSVFSSFRDIPTQLAKAVPTQATFFTTYVLTSGWASLSCEVIQLFPLLCNGFRRFILRRQEEPCSNHALTFPHHTEIPRLLLFGLLGFTCSIMAPLILPFLLVYFFLAFLVYRNQILNVYVPKYESGGQFWPVVHNTTIFSLVLTQVIALGVFGIKRSPVASGFTIPLIFLTLLFNEYCRQRFSPVFKRSPAQVLIEMDKQDENWGRAEEIYKLLRTAYCQFPLLSLDLSTSQELSMAGNSGQNKDEGSSKDQESHNPGMVVLVLAADSFHLQSISNCLNLYL >KJB14676 pep chromosome:Graimondii2_0_v6:2:23010828:23019819:1 gene:B456_002G137000 transcript:KJB14676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHKQIHSESLEVFTIGNVKEGSKWFWTHCLALYVISCSACVLLHFEYKNITKMRLAHITGSPVNPSHFTVLVRSIPCSQNHSYSKSVEDFFSTYYPASYVSHQMVYRAGRVDKLMKDAEKMYRMLKTIESTTKKSYMPCCLCGKSTHSFEALNNDAESVEIKTSSDELQPSQREKKDVEKIYRKLKTIETQNKMSSTPGCLCGGIMHYFKMLKKEAESVECKTSSDRSQPSQTGKERPAAFVFFRTRYAAIVAAQVLQSSNPMLWVTQLAPEPNDVYWSNLSIPYKQVWLRKIATLLGAFVFMFVFLAPVTFVQGLTQLDQLRQTFPFLKGILKQKFMNQLVTGYLPSVILMLFMYAVPPTMMLFSTIEGNVSHSERKKSAGIKVLYFTIWNVFFVNVLSGSIIRQLSVFSSFRDIPTQLAKAVPTQATFFTTYVLTSGWASLSCEVIQLFPLLCNGFRRFILRRQEEPCSNHALTFPHHTEIPRLLLFGLLGFTCSIMAPLILPFLLVYFFLAFLVYRNQILNVYVPKYESGGQFWPVVHNTTIFSLVLTQVIALGVFGIKRSPVASGFTIPLIFLTLLFNEYCRQRFSPVFKRSPAQVLIEMDKQDENWGRAEEIYKLLRTAYCQFPLLSLDLSTSQELSMAGNSGQNKDEGSSKDQESHNPDLNEIKLSS >KJB14674 pep chromosome:Graimondii2_0_v6:2:23011027:23019559:1 gene:B456_002G137000 transcript:KJB14674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGALLTSAGINIAICVVLLSLYSILRKQPSNVSVYFMRRLISEPIKHSDPFRFERLVPSASWIVRAWQATNEEILAAGGVDALVFLRIVVFSIRVFIIAAMICVFLLLPVNYYGQEMQHKQIHSESLEVFTIGNVKEGSKWFWTHCLALYVISCSACVLLHFEYKNITKMRLAHITGSPVNPSHFTVLVRSIPCSQNHSYSKSVEDFFSTYYPASYVSHQMVYRAGRVDKLMKDAEKMYRMLKTIESTTKKSYMPCCLCGKSTHSFEALNNDAESVEIKTSSDELQPSQREKKDVEKIYRKLKTIETQNKMSSTPGCLCGGIMHYFKMLKKEAESVECKTSSDRSQPSQTGKERPAAFVFFRTRYAAIVAAQVLQSSNPMLWVTQLAPEPNDVYWSNLSIPYKQVWLRKIATLLGAFVFMFVFLAPVTFVQGLTQLDQLRQTFPFLKGILKQKFMNQLVTGYLPSVILMLFMYAVPPTMMLFSTIEGNVSHSERKKSAGIKVLYFTIWNVFFVNVLSGSIIRQLSVFSSFRDIPTQLAKAVPTQATFFTTYVLTSGWASLSCEVIQLFPLLCNGFRRFILRRQEEPCSNHALTFPHHTEIPRLLLFGLLGFTCSIMAPLILPFLLVYFFLAFLVYRNQILNVYVPKYESGGQFWPVVHNTTIFSLVLTQVIALGVFGIKRSPVASGFTIPLIFLTLLFNEYCRQRFSPVFKRSPAQVLIEMDKQDENWGRAEEIYKLLRTAYCQFPLLSLDLSTSQELSMAGNSGQNKDEGSSKDQESHNPDLNEIKLSS >KJB14680 pep chromosome:Graimondii2_0_v6:2:23011033:23019559:1 gene:B456_002G137000 transcript:KJB14680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIISKHLLKCFHNSIMDVNYYGQEMQHKQIHSESLEVFTIGNVKEGSKWFWTHCLALYVISCSACVLLHFEYKNITKMRLAHITGSPVNPSHFTVLVRSIPCSQNHSYSKSVEDFFSTYYPASYVSHQMVYRAGRVDKLMKDAEKMYRMLKTIESTTKKSYMPCCLCGKSTHSFEALNNDAESVEIKTSSDELQPSQREKKDVEKIYRKLKTIETQNKMSSTPGCLCGGIMHYFKMLKKEAESVECKTSSDRSQPSQTGKERPAAFVFFRTRYAAIVAAQVLQSSNPMLWVTQLAPEPNDVYWSNLSIPYKQVWLRKIATLLGAFVFMFVFLAPVTFVQGLTQLDQLRQTFPFLKGILKQKFMNQLVTGYLPSVILMLFMYAVPPTMMLFSTIEGNVSHSERKKSAGIKVLYFTIWNVFFVNVLSGSIIRQLSVFSSFRDIPTQLAKAVPTQATFFTTYVLTSGWASLSCEVIQLFPLLCNGFRRFILRRQEEPCSNHALTFPHHTEIPRLLLFGLLGFTCSIMAPLILPFLLVYFFLAFLVYRNQILNVYVPKYESGGQFWPVVHNTTIFSLVLTQVIALGVFGIKRSPVASGFTIPLIFLTLLFNEYCRQRFSPVFKRSPAQVLIEMDKQDENWGRAEEIYKLLRTAYCQFPLLSLDLSTSQELSMAGNSGQNKDEGSSKDQESHNPDLNEIKLSS >KJB14677 pep chromosome:Graimondii2_0_v6:2:23010861:23019884:1 gene:B456_002G137000 transcript:KJB14677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGALLTSAGINIAICVVLLSLYSILRKQPSNVSVYFMRRLISEPIKHSDPFRFERLVPSASWIVRAWQATNEEILAAGGVDALVFLRIVVFSIRVFIIAAMICVFLLLPVNYYGQEMQHKQIHSESLEVFTIGNVKEGSKWFWTHCLALYVISCSACVLLHFEYKNITKMRLAHITGSPVNPSHFTVLVRSIPCSQNHSYSKSVEDFFSTYYPASYVSHQMVYRAGRVDKLMKDAEKMYRMLKTIESTTKKSYMPCCLCGKSTHSFEALNNDAESVEIKTSSDELQPSQREKKDVEKIYRKLKTIETQNKMSSTPGCLCGGIMHYFKMLKKEAESVECKTSSDRSQPSQTGKERPAAFVFFRTRYAAIVAAQVLQSSNPMLWVTQLAPEPNDVYWSNLSIPYKQVWLRKIATLLGAFVFMFVFLAPVTFVQGLTQLDQLRQTFPFLKGILKQKFMNQLVTGYLPSVILMLFMYAVPPTMMLFSTIEGNVSHSERKKSAGIKVLYFTIWNVFFVNVLSGSIIRQLSVFSSFRDIPTQLAKAVPTQATFFTTYVLTSGWASLSCEVIQLFPLLCNGFRRFILRRQEEPCSNHALTFPHHTEIPRLLLFGLLGFTCSIMAPLILPFLLVYFFLAFLVYRNQILNVYVPKYESGGQFWPVVHNTTIFSLVLTQVIALGVFGIKRSPVASGFTIPLIFLTLLFNEYCRQRFSPVFKRSPAQVLIEMDKQDENWGRAEEIYKLLRTAYCQFPLLSLDLSTSQELSMAGNSGQNKDEGSSKDQESHNPDLNEIKLSS >KJB14673 pep chromosome:Graimondii2_0_v6:2:23010654:23018449:1 gene:B456_002G137000 transcript:KJB14673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGALLTSAGINIAICVVLLSLYSILRKQPSNVSVYFMRRLISEPIKHSDPFRFERLVPSASWIVRAWQATNEEILAAGGVDALVFLRIVVFSIRVFIIAAMICVFLLLPVNYYGQEMQHKQIHSESLEVFTIGNVKEGSKWFWTHCLALYVISCSACVLLHFEYKNITKMRLAHITGSPVNPSHFTVLVRSIPCSQNHSYSKSVEDFFSTYYPASYVSHQMVYRAGRVDKLMKDAEKMYRMLKTIESTTKKSYMPCCLCGKSTHSFEALNNDAESVEIKTSSDELQPSQREKKDVEKIYRKLKTIETQNKMSSTPGCLCGGIMHYFKMLKKEAESVECKTSSDRSQPSQTGKERPAAFVFFRTRYAAIVAAQVLQSSNPMLWVTQLAPEPNDVYWSNLSIPYKQVWLRKIATLLGAFVFMFVFLAPVTFVQGLTQLDQLRQTFPFLKGILKQKFMNQLVTGYLPSVILMLFMYAVPPTMMLFSTIEGNVSHSERKKSAGIKVLYFTIWNVFFVNVLSGSIIRQLSVFSSFRDIPTQLAKAVPTQATFFTTYVLTSGWASLSCEVIQLFPLLCNGFRRFILRRQEEPCSNHALTFPHHTEIPRLLLFGLLGFTCSIMAPLILPFLLVYFFLAFLVYRNQVCFFLSTSYPFAFCFIESTLFIHTNKPKRLRE >KJB14681 pep chromosome:Graimondii2_0_v6:2:23011033:23019559:1 gene:B456_002G137000 transcript:KJB14681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHKQIHSESLEVFTIGNVKEGSKWFWTHCLALYVISCSACVLLHFEYKNITKMRLAHITGSPVNPSHFTVLVRSIPCSQNHSYSKSVEDFFSTYYPASYVSHQMVYRAGRVDKLMKDAEKMYRMLKTIESTTKKSYMPCCLCGKSTHSFEALNNDAESVEIKTSSDELQPSQREKKDVEKIYRKLKTIETQNKMSSTPGCLCGGIMHYFKMLKKEAESVECKTSSDRSQPSQTGKERPAAFVFFRTRYAAIVAAQVLQSSNPMLWVTQLAPEPNDVYWSNLSIPYKQVWLRKIATLLGAFVFMFVFLAPVTFVQGLTQLDQLRQTFPFLKGILKQKFMNQLVTGYLPSVILMLFMYAVPPTMMLFSTIEGNVSHSERKKSAGIKVLYFTIWNVFFVNVLSGSIIRQLSVFSSFRDIPTQLAKAVPTQATFFTTYVLTSGWASLSCEVIQLFPLLCNGFRRFILRRQEEPCSNHALTFPHHTEIPRLLLFGLLGFTCSIMAPLILPFLLVYFFLAFLVYRNQILNVYVPKYESGGQFWPVVHNTTIFSLVLTQVIALGVFGIKRSPVASGFTIPLIFLTLLFNEYCRQRFSPVFKRSPAQVLIEMDKQDENWGRAEEIYKLLRTAYCQFPLLSLDLSTSQELSMAGNSGQNKDEGSSKDQESHNPDLNEIKLSS >KJB14682 pep chromosome:Graimondii2_0_v6:2:23011033:23019559:1 gene:B456_002G137000 transcript:KJB14682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICVFLLLPVNYYGQEMQHKQIHSESLEVFTIGNVKEGSKWFWTHCLALYVISCSACVLLHFEYKNITKMRLAHITGSPVNPSHFTVLVRSIPCSQNHSYSKSVEDFFSTYYPASYVSHQMVYRAGRVDKLMKDAEKMYRMLKTIESTTKKSYMPCCLCGKSTHSFEALNNDAESVEIKTSSDELQPSQREKKDVEKIYRKLKTIETQNKMSSTPGCLCGGIMHYFKMLKKEAESVECKTSSDRSQPSQTGKERPAAFVFFRTRYAAIVAAQVLQSSNPMLWVTQLAPEPNDVYWSNLSIPYKQVWLRKIATLLGAFVFMFVFLAPVTFVQGLTQLDQLRQTFPFLKGILKQKFMNQLVTGYLPSVILMLFMYAVPPTMMLFSTIEGNVSHSERKKSAGIKVLYFTIWNVFFVNVLSGSIIRQLSVFSSFRDIPTQLAKAVPTQATFFTTYVLTSGWASLSCEVIQLFPLLCNGFRRFILRRQEEPCSNHALTFPHHTEIPRLLLFGLLGFTCSIMAPLILPFLLVYFFLAFLVYRNQILNVYVPKYESGGQFWPVVHNTTIFSLVLTQVIALGVFGIKRSPVASGFTIPLIFLTLLFNEYCRQRFSPVFKRSPAQVLIEMDKQDENWGRAEEIYKLLRTAYCQFPLLSLDLSTSQELSMAGNSGQNKDEGSSKDQESHNPDLNEIKLSS >KJB14679 pep chromosome:Graimondii2_0_v6:2:23010654:23019559:1 gene:B456_002G137000 transcript:KJB14679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGALLTSAGINIAICVVLLSLYSILRKQPSNVSVYFMRRLISEPIKHSDPFRFERLVPSASWIVRAWQATNEEILAAGGVDALVFLRIVVFSIRVFIIAAMICVFLLLPVNYYGQEMQHKQIHSESLEVFTIGNVKEGSKWFWTHCLALYVISCSACVLLHFEYKNITKMRLAHITGSPVNPSHFTVLVRSIPCSQNHSYSKSVEDFFSTYYPASYVSHQMVYRAGRVDKLMKDAEKMYRMLKTIESTTKKSYMPCCLCGKSTHSFEALNNDAESVEIKTSSDELQPSQREKKDVEKIYRKLKTIETQNKMSSTPGCLCGGIMHYFKMLKKEAESVECKTSSDRSQPSQTGKERPAAFVFFRTRYAAIVAAQVLQSSNPMLWVTQLAPEPNDVYWSNLSIPYKQVWLRKIATLLGAFVFMFVFLAPVTFVQGLTQLDQLRQTFPFLKGILKQKFMNQLVTGYLPSVILMLFMYAVPPTMMLFSTIEGNVSHSERKKSAGIKVLYFTIWNVFFVNVLSGSIIRQLSVFSSFRDIPTQLAKAVPTQATFFTTYVLTSGWASLSCEVIQLFPLLCNGFRRFILRRQEEPCSNHALTFPHHTEIPRLLLFGLLGFTCSIMAPLILPFLLVYFFLAFLVYRNQILNVYVPKYESGGQFWPVVHNTTIFSLVLTQVIALGVFGIKRSPVASGFTIPLIFLTLLFNEYCRQRFSPVFKRSPAQVLIEMDKQDENWGRAEEIYKLLRTAYCQFPLLSLDLSTSQELSMAGNSGQNKDEGSSKDQESHNPDLNEIKLSS >KJB14678 pep chromosome:Graimondii2_0_v6:2:23011033:23019856:1 gene:B456_002G137000 transcript:KJB14678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGALLTSAGINIAICVVLLSLYSILRKQPSNVSVYFMRRLISEPIKHSDPFRFERLVPSASWIVRAWQATNEEILAAGGVDALVFLRIVVFSIRVFIIAAMICVFLLLPVNYYGQEMQHKQIHSESLEVFTIGNVKEGSKWFWTHCLALYVISCSACVLLHFEYKNITKMRLAHITGSPVNPSHFTVLVRSIPCSQNHSYSKSVEDFFSTYYPASYVSHQMVYRAGRVDKLMKDAEKMYRMLKTIESTTKKSYMPCCLCGKSTHSFEALNNDAESVEIKTSSDELQPSQREKKDVEKIYRKLKTIETQNKMSSTPGCLCGGIMHYFKMLKKEAESVECKTSSDRSQPSQTGKERPAAFVFFRTRYAAIVAAQVLQSSNPMLWVTQLAPEPNDVYWSNLSIPYKQVWLRKIATLLGAFVFMFVFLAPVTFVQGLTQLDQLRQTFPFLKGILKQKFMNQLVTGYLPSVILMLFMYAVPPTMMLFSTIEGNVSHSERKKSAGIKVLYFTIWNVFFVNVLSGSIIRQLSVFSSFRDIPTQLAKAVPTQATFFTTYVLTSGWASLSCEVIQLFPLLCNGFRRFILRRQEEPCSNHALTFPHHTEIPRLLLFGLLGFTCSIMAPLILPFLLVYFFLAFLVYRNQILNVYVPKYESGGQFWPVVHNTTIFSLVLTQVIALGVFGIKRSPVASGFTIPLIFLTLLFNEYCRQRFSPVFKRSPAQVLIEMDKQDENWGRAEEIYKLLRTAYCQFPLLSLDLSTSQELSMAGNSGQNKDEGSSKDQESHNPDLNEIKLSS >KJB15584 pep chromosome:Graimondii2_0_v6:2:49252260:49255034:-1 gene:B456_002G185500 transcript:KJB15584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAEEDTDFLESPSWTSEHGGKVLVNVDSFGAVGDGVSDDTQAFRKAWDTACSTRKSVLLVPPGRRYLVNATKFKGPCAERLIVQIDGTIVAPDEPKNWDPDLPRLWLDFSKLQGVAFRGKGVIDGSGSKWWAASCKKNKSNPCRGAPTALTIDSSSSIKVKGLTIQNSQQMNFVISKSEAVRVFGVKVTCPGDSPNTDGIHITKSTNIVLQDCKIGTGDDCISIVNGSSTIKMKRIYCGPGHGVSIGSLGEDNSVGIVTNVVLDTALLRETTNGVRIKTWQGGSGYVRGVRFENVRMEDVANPIIIDQFYCDSPTTCQNQTSAVQVSQIVYRNISGTTKSKQAIKFACSNTVPCSNLVLSNVNLEKKDGTVETYCNSAQGFGYGLVHPSADCLSSQDKGFILIDRKDNAQLTEPTGDHIIHTEL >KJB15587 pep chromosome:Graimondii2_0_v6:2:49251864:49254937:-1 gene:B456_002G185500 transcript:KJB15587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCREVDCSGNFSIDGTIVAPDEPKNWDPDLPRLWLDFSKLQGVAFRGKGVIDGSGSKWWAASCKKNKSNALTIDSSSSIKVKGLTIQNSQQMNFVISKSEAVRVFGVKVTCPGDSPNTDGIHITKSTNIVLQDCKIGTGDDCISIVNGSSTIKMKRIYCGPGHGVSIGSLGEDNSVGIVTNVVLDTALLRETTNGVRIKTWQGGSGYVRGVRFENVRMEDVANPIIIDQFYCDSPTTCQNQTSAVQVSQIVYRNISGTTKSKQAIKFACSNTVPCSNLVLSNVNLEKKDGTVETYCNSAQGFGYGLVHPSADCLSSQDKGFILIDRKDNAQLTEPTGDHIIHTEL >KJB15586 pep chromosome:Graimondii2_0_v6:2:49251852:49257136:-1 gene:B456_002G185500 transcript:KJB15586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAEEDTDFLESPSWTSEHGGKVLVNVDSFGAVGDGVSDDTQAFRKAWDTACSTRKSVLLVPPGRRYLVNATKFKGPCAERLIVQIDGTIVAPDEPKNWDPDLPRLWLDFSKLQGVAFRGKGVIDGSGSKWWAASCKKNKSNPCRGAPTALTIDSSSSIKVKGLTIQNSQQMNFVISKSEAVRVFGVKVTCPGDSPNTDGIHITKSTNIVLQDCKIGTGDDCISIVNGSSTIKMKRIYCGPGHGVSIGSLGEDNSVGIVTNVVLDTALLRETTNGVRIKTWQGGSGYVRGVRFENVRMEDVANPIIIDQFYCDSPTTCQNQTSAVQVSQIVYRNISGTTKSKQAIKFACSNTVPCSNLVLSNVNLEKKDGTVETYCNSAQGFGYGLVHPSADCLSSQDKGFILIDRKDNAQLTEPTGDHIIHTEL >KJB15588 pep chromosome:Graimondii2_0_v6:2:49251864:49257039:-1 gene:B456_002G185500 transcript:KJB15588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQRNWSFGPTFSYVITACGSGGFYMEGIQVHCRVISLGFGLTLFIGSSLMNLHLHMGRCSHSELSRLVFETLPIPNVFCFTSIINGYAENGMGREGVSLYEAMVHKGLIPDEVTSLRLLSGCNHAGLAKEGKLVFNLMKSFYGICPERLLCETEEQTPGGVNSVRTKSISQTNKTEQIMAKLFLVSVLGLLIAAYGVAGNVVYDKFNILEELEDMDIAEEDTDFLESPSWTSEHGGKVLVNVDSFGAVGDGVSDDTQAFRKAWDTACSTRKSVLLVPPGRRYLVNATKFKGPCAERLIVQIDGTIVAPDEPKNWDPDLPRLWLDFSKLQGVAFRGKGVIDGSGSKWWAASCKKNKSNPCRGAPTALTIDSSSSIKVKGLTIQNSQQMNFVISKSEAVRVFGVKVTCPGDSPNTDGIHITKSTNIVLQDCKIGTGDDCISIVNGSSTIKMKRIYCGPGHGVSIGSLGEDNSVGIVTNVVLDTALLRETTNGVRIKTWQGGSGYVRGVRFENVRMEDVANPIIIDQFYCDSPTTCQNQTSAVQVSQIVYRNISGTTKSKQAIKFACSNTVPCSNLVLSNVNLEKKDGTVETYCNSAQGFGYGLVHPSADCLSSQDKGFILIDRKDNAQLTEPTGDHIIHTEL >KJB15585 pep chromosome:Graimondii2_0_v6:2:49251852:49256476:-1 gene:B456_002G185500 transcript:KJB15585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAEEDTDFLESPSWTSEHGGKVLVNVDSFGAVGDGVSDDTQAFRKAWDTACSTRKSVLLVPPGRRYLVNATKFKGPCAERLIVQIDGTIVAPDEPKNWDPDLPRLWLDFSKLQGVAFRGKGVIDGSGSKWWAASCKKNKSNALTIDSSSSIKVKGLTIQNSQQMNFVISKSEAVRVFGVKVTCPGDSPNTDGIHITKSTNIVLQDCKIGTGDDCISIVNGSSTIKMKRIYCGPGHGVSIGSLGEDNSVGIVTNVVLDTALLRETTNGVRIKTWQGGSGYVRGVRFENVRMEDVANPIIIDQFYCDSPTTCQNQTSAVQVSQIVYRNISGTTKSKQAIKFACSNTVPCSNLVLSNVNLEKKDGTVETYCNSAQGFGYGLVHPSADCLSSQDKGFILIDRKDNAQLTEPTGDHIIHTEL >KJB13101 pep chromosome:Graimondii2_0_v6:2:5930018:5932127:1 gene:B456_002G057200 transcript:KJB13101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEAAAAANFWGDTPEEDYYRSQGVRNTKSYFDTPNGKLFTQSFLPLDKKVKASVYMTHGYGSDTGWLFQKICINFSNWGYAVFAADLLGHGRSEGLRCYLGDMEKVAATSLSFFKHVRNSDEYKDLPAFLFGESMGGAATMLMYFQSDPETWTGLIFSAPLFVMPENMKPSKVRLFMYGLLFGLADTWATMPDNKMVGKAIKDPEKLKIIASNPRRYTGPPRVGTMRELARVCQYIQDNFSKVRAPFLTVHGTSDGVTCPTSSKLLYEKASSVDKTLKLYDGMYHSLIQGEPDENADLVLKDMSEWIDERVERYGSK >KJB13102 pep chromosome:Graimondii2_0_v6:2:5930143:5932090:1 gene:B456_002G057200 transcript:KJB13102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEAAAAANFWGDTPEEDYYRSQGVRNTKSYFDTPNGKLFTQSFLPLDKKVKASVYMTHGYGSDTGWLFQKICINFSNWGYAVFAADLLGHGRSEGLRCYLEVVNVGDMEKVAATSLSFFKHVRNSDEYKDLPAFLFGESMGGAATMLMYFQSDPETWTGLIFSAPLFVMPENMKPSKVRLFMYGLLFGLADTWATMPDNKMVGKAIKDPEKLKIIASNPRRYTGPPRVGTMRELARVCQYIQDNFSKVRAPFLTVHGTSDGVTCPTSSKLLYEKASSVDKTLKLYDGMYHSLIQGEPDENADLVLKDMSEWIDERVERYGSK >KJB14956 pep chromosome:Graimondii2_0_v6:2:29566007:29570575:1 gene:B456_002G150700 transcript:KJB14956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKPFLVALLVHALSSGMILLSKAVFNMGMNISVFVFYRQVAGTIFMVPFAMVFEGKHAKPLSILTFFKIFMLASLGITLTLNIYGVALIYTSASLGAATINCIPVITFAFAVLLRMEKVRVKTVPGIAKVAGIVVCMAGVVTLAFYKGPALKPPFHLHNFRPHSGAQDGDHDHASSAKNWIIGCFLLLASCICWALWLVLQAHILKSYPSKLTFTSIQCLSSAVQSFIVAIALERDPRQWKLGWNFRLLAVVYCGIFVTGVAYYLQAWVIAKKGPVFHAVMIPSNLIMTSLGSVFLLGETINLGSVLGAIMLVISLYSVLWGKSKEQNVDNVGCLPVENQTQVKETAVSSSPRTSLST >KJB14953 pep chromosome:Graimondii2_0_v6:2:29566007:29570575:1 gene:B456_002G150700 transcript:KJB14953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKPFLVALLVHALSSGMILLSKAVFNMGMNISVFVFYRQVAGTIFMVPFAMVFEGKHAKPLSILTFFKIFMLASLGITLTLNIYGVALIYTSASLGAATINCIPVITFAFAVLLRMEKVRVKTVPGIAKVAGIVVCMAGVVTLAFYKGPALKPPFHLHNFRPHSGAQDGDHDHASSAKNWIIGCFLLLASCICWALWLVLQGIFVTGVAYYLQAWVIAKKGPVFHAVMIPSNLIMTSLGSVFLLGETINLGS >KJB14954 pep chromosome:Graimondii2_0_v6:2:29566220:29569402:1 gene:B456_002G150700 transcript:KJB14954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKPFLVALLVHALSSGMILLSKAVFNMGMNISVFVFYRQVAGTIFMVPFAMVFEGKHAKPLSILTFFKIFMLASLGITLTLNIYGVALIYTSASLGAATINCIPVITFAFAVLLRMEKVRVKTVPGIAKVAGIVVCMAGVVTLAFYKGPALKPPFHLHNFRPHSGAQDGDHDHASSAKNWIIGCFLLLASCICWALWLVLQAHILKSYPSKLTFTSIQCLSSAVQSFIVAIALERDPRQWKLGWNFRLLAVVYCGIFVTGVAYYLQAWVIAKKGPVFHAVMIPSNLIMTSLGSVFLLGETINLGS >KJB14955 pep chromosome:Graimondii2_0_v6:2:29566007:29570575:1 gene:B456_002G150700 transcript:KJB14955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKPFLVALLVHALSSGMILLSKAVFNMGMNISVFVFYRQVAGTIFMVPFAMVFEGKHAKPLSILTFFKIFMLASLGITLTLNIYGVALIYTSASLGAATINCIPVITFAFAVLLRMEKVRVKTVPGIAKVAGIVVCMAGVVTLAFYKGPALKPPFHLHNFRPHSGAQDGDHDHASSAKNWIIGCFLLLASCICWALWLVLQGIFVTGVAYYLQAWVIAKKGPVFHAVMIPSNLIMTSLGSVFLLGETINLGSVLGAIMLVISLYSVLWGKSKEQNVDNVGCLPVENQTQVKETAVSSSPRTSLST >KJB16223 pep chromosome:Graimondii2_0_v6:2:56987743:56990412:1 gene:B456_002G218200 transcript:KJB16223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylamine--glycine ligase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G09830) UniProtKB/Swiss-Prot;Acc:P52420] MAFTTLRLPPYPKLVTNNRFSGIFASQFHSSALPSRLVLGSSSSCVGTLSLSINHHSSPWSSTAFTCFSQTSELSVSVDTYGSNIIGGGGREHALCYALQRSPSCDAVFCAPGNAGISTSGNATCIPDLDIFDSSAVISFCRKWGVGLVVVEPEAPLVSGLANDLVTAGIPTFGPSAEAAALAGSKDFMKTICHKYGIPTAKYQTFSDASAAKQYIKEQGAPIVIKADGLAAGKGVAVASTLEEAYKAVDSMLVEGIFGSAGYRVIVEEFVEGEEASFFALVDGENAIPLESAQEYKRVGDGDTDPNTGGMGAYSPAPVLTKELQNVVMESIILPTVKGMSMEGCKFVGVLYAGLMIDKKSGLPKLTEYNVRFGDSECQVLMVRLKSDLAKVMLATSRGELKGVSLKWSPGSAMVVVMASKGYPGSYEKETVIRNLEEAECVAPAVKVFHAGTAFDSDGNLIGTGGRVLGITAKGRDLEEARDKAYLAVEEINWPGGFYRQDIGWRASPQKQFSRNA >KJB16224 pep chromosome:Graimondii2_0_v6:2:56988146:56989783:1 gene:B456_002G218200 transcript:KJB16224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylamine--glycine ligase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G09830) UniProtKB/Swiss-Prot;Acc:P52420] MAFTTLRLPPYPKLVTNNRFSGIFASQFHSSALPSRLVLGSSSSCVGTLSLSINHHSSPWSSTAFTCFSQTSELSVSVDTYGSNIIGGGGREHALCYALQRSPSCDAVFCAPGNAGISTSGNATCIPDLDIFDSSAVISFCRKWGVGLVVVEPEAPLVSGLANDLVTAGIPTFGPSAEAAALAGSKDFMKTICHKYGIPTAKYQTFSDASAAKQYIKEQGAPIVIKADGLAAGKGVAVASTLEEAYKAVDSMLVEGIFGSAGYRVIVEEFVEGEEASFFALVDGENAIPLESAQEYKRVGDGDTDPNTGGMGAYSPAPVLTKELQNVVMESIILPTVKGMSMEGCKFVGVLYAGLMIDKKSGLPKLTEYNVRFGDSECQVTGWFICIF >KJB16222 pep chromosome:Graimondii2_0_v6:2:56987742:56990470:1 gene:B456_002G218200 transcript:KJB16222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylamine--glycine ligase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G09830) UniProtKB/Swiss-Prot;Acc:P52420] MAFTTLRLPPYPKLVTNNRFSGIFASQFHSSALPSRLVLGSSSSCVGTLSLSINHHSSPWSSTAFTCFSQTSELSVSVDTYGSNTERVVVLVIGGGGREHALCYALQRSPSCDAVFCAPGNAGISTSGNATCIPDLDIFDSSAVISFCRKWGVGLVVVEPEAPLVSGLANDLVTAGIPTFGPSAEAAALAGSKDFMKTICHKYGIPTAKYQTFSDASAAKQYIKEQGAPIVIKADGLAAGKGVAVASTLEEAYKAVDSMLVEGIFGSAGYRVIVEEFVEGEEASFFALVDGENAIPLESAQEYKRVGDGDTDPNTGGMGAYSPAPVLTKELQNVVMESIILPTVKGMSMEGCKFVGVLYAGLMIDKKSGLPKLTEYNVRFGDSECQVLMVRLKSDLAKVMLATSRGELKGVSLKWSPGSAMVVVMASKGYPGSYEKETVIRNLEEAECVAPAVKVFHAGTAFDSDGNLIGTGGRVLGITAKGRDLEEARDKAYLAVEEINWPGGFYRQDIGWRASPQKQFSRNA >KJB16225 pep chromosome:Graimondii2_0_v6:2:56987742:56990470:1 gene:B456_002G218200 transcript:KJB16225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylamine--glycine ligase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G09830) UniProtKB/Swiss-Prot;Acc:P52420] MAFTTLRLPPYPKLVTNNRFSGIFASQFHSSALPSRLVLGSSSSCVGTLSLSINHHSSPWSSTAFTCFSQTSELSVSVDTYGSNIIGGGGREHALCYALQRSPSCDAVFCAPGNAGISTSGNATCIPDLDIFDSSAVISFCRKWGVGLVVVEPEAPLVSGLANDLVTAGIPTFGPSAEAAALAGSKDFMKTICHKYGIPTAKYQTFSDASAAKQYIKEQGAPIVIKADGLAAGKGVAVASTLEEAYKAVDSMLVEGIFGSAGYRVIVEEFVEGEEASFFALVDGENAIPLESAQEYKRVGDGDTDPNTGGMGAYSPAPVLTKELQNVVMESIILPTVKGMSMEGCKFVGVLYAGLMIDKKSGLPKLTEYNVRFGDSECQVLMVRLKSDLAKVMLATSRGELKGVSLKWSPGSAMVVVMASKGYPGSYEKETVIRNLEEAECVAPAVKVFHAGTAFDSDGNLIGTGGRVLGITAKGRDLEEARDKAYLAVEEINWPGGFYRQDIGWRASPQKQFSRNA >KJB17135 pep chromosome:Graimondii2_0_v6:2:62622965:62623901:1 gene:B456_002G267200 transcript:KJB17135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLTQHYLLLTNFILPSHSMEPQSRTCSSKPLMRRRSRTRKTGQGGVINMDEARREVAHALHLHRSTPTPPLPSSGVGNPSYYSYAKSCCCYSLVEAMPPPEPIWSTTAPSLPATPPPDLETVQILEWGENQAASYAWWLGFLRALDGNNNIMSKTKDPPFVDNAVSLKDHSPLLLGQYEAGEWGLTDQNACLDEWLMFPPTQDSL >KJB16967 pep chromosome:Graimondii2_0_v6:2:61918120:61920768:1 gene:B456_002G257300 transcript:KJB16967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYEDIYGLSPRPKYDCLLFDLDDTLYPLSSGLAKACGNNIKDYMVEKLGIGKEKIVELSNYLYKNYGTTMAGLRAVGYDFDYDDYHSYVHGGLPYENLKPDPQLRSLLLTLPIRKIIFTNADRVHAIKALSKLGLEDCFEGIICFETLNPTHKNTVSDDEDDVEFLGSVSTTTSVAKNPEIFDIIGHFADPKPGATLPKTPIVCKPQDSAIELALKIAKINPQRTLFFDDSVRNIQAGKRVGLHTVLVGTSQRPKGADYALESIHNIKQALPELWETDVKSDVSYAGQVAVETPVIA >KJB16968 pep chromosome:Graimondii2_0_v6:2:61918120:61920710:1 gene:B456_002G257300 transcript:KJB16968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYEDIYGLSPRPKYDCLLFDLDDTLYPLSSGLAKACGNNIKDYMVEKLGIGKEKIVELSNYLYKNYGTTMAGLRAVGYDFDYDDYHSYVHGGLPYENLKPDPQLRSLLLTLPIRKIIFTNADRVHAIKALSKLGLEDCFEGIICFETLNPTHKNTVSDDEDDVEFLGSVSTTTSVAKNPEIFDIIGHFADPKPGATLPKTPIVCKPQDSAIELALKIAKINPQRTVRFML >KJB16966 pep chromosome:Graimondii2_0_v6:2:61918126:61920710:1 gene:B456_002G257300 transcript:KJB16966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYEDIYGLSPRPKYDCLLFDLDDTLYPLSSGLAKACGNNIKDYMVEKLGIGKEKIVELSNYLYKNYGTTMAGLRAVGYDFDYDDYHSYVHGGLPYENLKPDPQLRSLLLTLPIRKIIFTNADRVHAIKALSKLGLEDCFEGIICFETLNPTHKNTVSDDEDDVEFLGSVSTTTSVAKNPEIFDIIGHFADPKPGATLPKTPIVCKPQDSAIELALKIAKINPQRTVRFML >KJB12726 pep chromosome:Graimondii2_0_v6:2:2465520:2469621:1 gene:B456_002G032900 transcript:KJB12726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVMASSSSVRLKPNFNVDKSGGSRGVPSLARVPSSFKVEAGSGKKKIKTDSPYGINGGLNLKDGVDASGRRAKGKGVYQFVDKYGANVDGYSPIYDPNDWSPSGDVYTGGTTGLAIWAVTLAGILAGGALLVYNTSALAQ >KJB12727 pep chromosome:Graimondii2_0_v6:2:2465559:2469025:1 gene:B456_002G032900 transcript:KJB12727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVMASSSSVRLKPNFNVDKSGGSRGVPSLARVPSSFKVEAGSGKKKIKTDSPYGINGGLNLKDGVDASGRRAKGKGVYQFVDKYGANVDGYSPIYDPNDWSPSGDVYTGGTILIKLLT >KJB14965 pep chromosome:Graimondii2_0_v6:2:30392683:30395996:1 gene:B456_002G151700 transcript:KJB14965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAYGATVLHPFKPPNPVFLTKAKLPVKAKYPSLKKVNCSISSISTNKEVVLAEDWPHLLKLSIGSGNFLLGQAIHAFLIKSNSSNDVFQGNNLINFYTKFNELHDARKVFDEIPVRNTITWTTLIKGHLNNGDSESVFSIAHDMCFSGEKFNEHTCSVILQACSEEEDLIRGIEEGRQLHGLAVKYGVINVTSLGNALITMYGKLGMVEDSERMFHSLSERNLISWTAVISGYVRNGCSEKAADIFLELLYHGIYCDPSCLVTVLDGCSECRNLDLGVQLHGFVIKSGYLCDANIVTALVDMYSKSDNLKSAKIVFDGFSSKSIALFNAILVGFIKTNRDDDDEDAMVLFRQLRFAGIKPDLVTFSRLLSLSANQACLVKGETLHAYTIKTGFESSLMVSNALITMYAKCGSIKGACQTFDGMHCRDSVSWNAMVSAYSIHGQGKAALRLFKEIKGEGFAVDEFAVLAILQACCYTGLWHDGIRIFNEMESSYKINPLIEHYACMVDLLGRAGCLSEAMNLISNSRFRDSPLLWRTLVNVCKLQGDIDFAMLASKNLLDLSPEEAGSYILVSNTYAGSGMLDEALKVRTAMNDLKLSKQAGCSWIEIDNKVHCFVASDKDHPESSDIYANLDQLMDEIKWKNCDINDPHSIGEIV >KJB14966 pep chromosome:Graimondii2_0_v6:2:30392683:30396081:1 gene:B456_002G151700 transcript:KJB14966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAYGATVLHPFKPPNPVFLTKAKLPVKAKYPSLKKVNCSISSISTNKEVVLAEDWPHLLKLSIGSGNFLLGQAIHAFLIKSNSSNDVFQGNNLINFYTKFNELHDARKVFDEIPVRNTITWTTLIKGHLNNGDSESVFSIAHDMCFSGEKFNEHTCSVILQACSEEEDLIRGEQIHGFVIKSGIEGNAFVGTSLISLYSTTGFLNEAENVFNNISVKDVQCLNYMILEYGKAGFMEKAFQAFVDVLSSDLEPTDYTFTNIISTFSENVGIEEGRQLHGLAVKYGVINVTSLGNALITMYGKLGMVEDSERMFHSLSERNLISWTAVISGYVRNGCSEKAADIFLELLYHGIYCDPSCLVTVLDGCSECRNLDLGVQLHGFVIKSGYLCDANIVTALVDMYSKSDNLKSAKIVFDGFSSKSIALFNAILVGFIKTNRDDDDEDAMVLFRQLRFAGIKPDLVTFSRLLSLSANQACLVKGETLHAYTIKTGFESSLMVSNALITMYAKCGSIKGACQTFDGMHCRDSVSWNAMVSAYSIHGQGKAALRLFKEIKGEGFAVDEFAVLAILQACCYTGLWHDGIRIFNEMESSYKINPLIEHYACMVDLLGRAGCLSEAMNLISNSRFRDSPLLWRTLVNVCKLQGDIDFAMLASKNLLDLSPEEAGSYILVSNTYAGSGMLDEALKVRTAMNDLKLSKQAGCSWIEIDNKVHCFVASDKDHPESSDIYANLDQLMDEIKWKNCDINDPHSIGEIV >KJB11943 pep chromosome:Graimondii2_0_v6:2:30487058:30487390:1 gene:B456_002G152000 transcript:KJB11943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKQFLIVGIKNKATYSVARVAIRARCHYANKKWLGGMLTNFPTIETRLHKFRDLRTEQKTGGLNRLPKRDATMLKKQLSRLQTYLGRIKYMTRLLDIVIIVDQQEEYTTF >KJB12387 pep chromosome:Graimondii2_0_v6:2:1015830:1019399:-1 gene:B456_002G015700 transcript:KJB12387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLNIPFPGSILWPASPSSTALRQNNVNQTTAQHLPPDLLDSFQSLKTTHRRVSPAMSSLQGFYALKSTERNTVPEGFEMAVYRKGETHFPDDSPFLKPSSASYPSLKLHRNCKNKANAFFDENGEVGTNVMSVVEAAKESEDKSNEKLFKRRPKSEADCTPEKLLEDNTSTGGFSTITSKGLALRTKAASRTNLLIDAEVGFNPASIGIGDGFVVDKFSAVRKSYSTSNLQDQDGSTSLSSPMTAPKWSRKPDLQPLSTAAITASFFDGLSMPMSARKNKAALD >KJB12388 pep chromosome:Graimondii2_0_v6:2:1015981:1019161:-1 gene:B456_002G015700 transcript:KJB12388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERERCNSIANGDYSYSANGIYSEICNDYSILEKERDQSSPDPSLRGNGYIEHPVSRFDTMAGVAIKYGVEVADIKKMNGLVTDFQMFALKSIQIPLPGRHPPSPCSSNGCEAIGQNNVNQTTAQHLPPDLLDSFQSLKTTHRRVSPAMSSLQGFYALKSTERNTVPEGFEMAVYRKGETHFPDDSPFLKPSSASYPSLKLHRNCKNKANAFFDENGEVGTNVMSVVEAAKESEDKSNEKLFKRRPKSEADCTPEKLLEDNTSTGGFSTITSKGLALRTKAASRTNLLIDAEVGFNPASIGIGDGFVVDKFSAVRKSYSTSNLQDQDGSTSLSSPMTAPKWSRKPDLQPLSTAAITASFFDGLSMPMSARKNKAALD >KJB12810 pep chromosome:Graimondii2_0_v6:2:6453958:6458016:-1 gene:B456_002G058300 transcript:KJB12810 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSDSKTSQGGGAGKTQTRNLPSWMSSRENESKSHGNKSNDAKGHDKGHASSSNNTNFSKLLEGVVFVLSGFVNPERSTLRSQALAMGAEYLPDWNSNCTLLVCAFQNTPKFRQVEADCGTIISKEWILECYAQKKLVDIDPYLMHAGKPWRRSSISHETSEGVLAEKQGSSLTKSYKKVEETQSKTTSGPSKNKVSQLAQDRFSPPKVKKWVMDDFNETISWLESQEEKPEPQEIKQVAGEGILTCLQDAIDCLAQKQDVQQITEQWSFIPRVVKELAIFDGSNHGSLTKEDLLREAKVCKQIYEDELSRINSGSLKRKRPKTDGGEEGNKVETKAIFASGYDSDETIKMTEEEIELAYKNFSSGTL >KJB12813 pep chromosome:Graimondii2_0_v6:2:6455112:6458016:-1 gene:B456_002G058300 transcript:KJB12813 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSDSKTSQGGGAGKTQTRNLPSWMSSRENESKSHGNKSNDAKGHDKGHASSSNNTNFSKLLEGVVFVLSGFVNPERSTLRSQALAMGAEYLPDWNSNCTLLVCAFQNTPKFRQVEADCGTIISKEWILECYAQKKLVDIDPYLMHAGKPWRRSSISHETSEEKQGSSLTKSYKKVEETQSKTTSGPSKNKVSQLAQDRFSPPKVKKWVMDDFNETISWLESQEEKPEPQEIKQVAGEGILTCLQDAIDCLAQKQVCCAQEAAFILLKEGILIPYCFI >KJB12811 pep chromosome:Graimondii2_0_v6:2:6453673:6458562:-1 gene:B456_002G058300 transcript:KJB12811 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSDSKTSQGGGAGKTQTRNLPSWMSSRENESKSHGNKSNDAKGHDKGHASSSNNTNFSKLLEGVVFVLSGFVNPERSTLRSQALAMGAEYLPDWNSNCTLLVCAFQNTPKFRQVEADCGTIISKEWILECYAQKKLVDIDPYLMHAGKPWRRSSISHETSEEKQGSSLTKSYKKVEETQSKTTSGPSKNKVSQLAQDRFSPPKVKKWPEPQEIKQVAGEGILTCLQDAIDCLAQKQDVQQITEQWSFIPRVVKELAIFDGSNHGSLTKEDLLREAKVCKQIYEDELSRINSGSLKRKRPKTDGGEEGNKVETKAIFASGYDSDETIKMTEEEIELAYKNFSSGTL >KJB12805 pep chromosome:Graimondii2_0_v6:2:6453673:6458562:-1 gene:B456_002G058300 transcript:KJB12805 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSDSKTSQGGGAGKTQTRNLPSWMSSRENESKSHGNKSNDAKGHDKGHASSSNNTNFSKLLEGVVFVLSGFVNPERSTLRSQALAMGAEYLPDWNSNCTLLVCAFQNTPKFRQVEADCGTIISKEWILECYAQKKLVDIDPYLMHAGKPWRRSSISHETSEEKQGSSLTKSYKKVEETQSKTTSGPSKNKVSQLAQDRFSPPKVKKWVMDDFNETISWLESQEEKPEPQEIKQVAGEGILTCLQDAIDCLAQKQDVQQITEQWSFIPRVVKELAIFDGSNHGSLTKEDLLREAKVCKQIYEDELSRINSGSLKRKRPKTDGGEEGNKVETKAIFASGYDSDETIKMTEEEIELAYKNFSSGTL >KJB12814 pep chromosome:Graimondii2_0_v6:2:6455112:6458016:-1 gene:B456_002G058300 transcript:KJB12814 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSDSKTSQGGGAGKTQTRNLPSWMSSRENESKSHGNKSNDAKGHDKGHASSSNNTNFSKLLEGVVFVLSGFVNPERSTLRSQALAMGAEYLPDWNSNCTLLVCAFQNTPKFRQVEADCGTIISKEWILECYAQKKLVDIDPYLMHAGKPWRRSSISHETSEEKQGSSLTKSYKKVEETQSKTTSGPSKNKVSQLAQDRFSPPKVKKWVMDDFNETISWLESQEEKPEPQEIKQVAGEGILTCLQDAIDCLAQKQVCCAQEAAFILLKEGILIPYCFI >KJB12818 pep chromosome:Graimondii2_0_v6:2:6455112:6458016:-1 gene:B456_002G058300 transcript:KJB12818 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSDSKTSQGGGAGKTQTRNLPSWMSSRENESKSHGNKSNDAKGHDKGHASSSNNTNFSKLLEGVVFVLSGFVNPERSTLRSQALAMGAEYLPDWNSNCTLLVCAFQNTPKFRQVEADCGTIISKEWILECYAQKKLVDIDPYLMHAGKPWRRSSISHETSEEKQGSSLTKSYKKVEETQSKTTSGPSKNKVSQLAQDRFSPPKVKKWVMDDFNETISWLESQEEKPEPQEIKQVAGEGILTCLQDAIDCLAQKQVCCAQEAAFILLKEGILIPYCFI >KJB12808 pep chromosome:Graimondii2_0_v6:2:6453629:6458719:-1 gene:B456_002G058300 transcript:KJB12808 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSDSKTSQGGGAGKTQTRNLPSWMSSRENESKSHGNKSNDAKGHDKGHASSSNNTNFSKLLEGVVFVLSGFVNPERSTLRSQALAMGAEYLPDWNSNCTLLVCAFQNTPKFRQVEADCGTIISKEWILECYAQKKLVDIDPYLMHAGKPWRRSSISHETSEEKQGSSLTKSYKKVEETQSKTTSGPSKPEPQEIKQVAGEGILTCLQDAIDCLAQKQDVQQITEQWSFIPRVVKELAIFDGSNHGSLTKEDLLREAKVCKQIYEDELSRINSGSLKRKRPKTDGGEEGNKVETKAIFASGYDSDETIKMTEEEIELAYKNFSSGTL >KJB12812 pep chromosome:Graimondii2_0_v6:2:6454817:6458562:-1 gene:B456_002G058300 transcript:KJB12812 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSDSKTSQGGGAGKTQTRNLPSWMSSRENESKSHGNKSNDAKGHDKGHASSSNNTNFSKLLEGVVFVLSGFVNPERSTLRSQALAMGAEYLPDWNSNCTLLVCAFQNTPKFRQVEADCGTIISKEWILECYAQKKLVDIDPYLMHAGKPWRRSSISHETSEEKQGSSLTKSYKKVEETQSKTTSGPSKNKVSQLAQDRFSPPKVKKWVMDDFNETISWLESQEEKPEPQEIKQVAGEGILTCLQDAIDCLAQKQVCCAQEAAFILLKEGILIPYCFI >KJB12809 pep chromosome:Graimondii2_0_v6:2:6453673:6458562:-1 gene:B456_002G058300 transcript:KJB12809 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSDSKTSQGGGAGKTQTRNLPSWMSSRENESKSHGNKSNDAKGHDKGHASSSNNTNFSKLLEGVVFVLSGFVNPERSTLRSQALAMGAEYLPDWNSNCTLLVCAFQNTPKFRQVEADCGTIISKEWILECYAQKKLVDIDPYLMHAGKPWRRSSISHETSEGVLAEKQGSSLTKSYKKVEETQSKTTSGPSKNKVSQLAQDRFSPPKVKKWVMDDFNETISWLESQEEKPEPQEIKQVAGEGILTCLQDAIDCLAQKQDVQQITEQWSFIPRVVKELAIFDGSNHGSLTKEDLLREAKVCKQIYEDELSRINSGSLKRKRPKTDGGEEGNKVETKAIFASGYDSDETIKMTEEEIELAYKNFSSGTL >KJB12817 pep chromosome:Graimondii2_0_v6:2:6455112:6458016:-1 gene:B456_002G058300 transcript:KJB12817 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSDSKTSQGGGAGKTQTRNLPSWMSSRENESKSHGNKSNDAKGHDKGHASSSNNTNFSKLLEGVVFVLSGFVNPERSTLRSQALAMGAEYLPDWNSNCTLLVCAFQNTPKFRQVEADCGTIISKEWILECYAQKKLVDIDPYLMHAGKPWRRSSISHETSEGVLAEKQGSSLTKSYKKVEETQSKTTSGPSKNKVSQLAQDRFSPPKVKKWVMDDFNETISWLESQEEKPEPQEIKQVAGEGILTCLQDAIDCLAQKQVCCAQEAAFILLKEGILIPYCFI >KJB12816 pep chromosome:Graimondii2_0_v6:2:6455374:6458016:-1 gene:B456_002G058300 transcript:KJB12816 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSDSKTSQGGGAGKTQTRNLPSWMSSRENESKSHGNKSNDAKGHDKGHASSSNNTNFSKLLEGVVFVLSGFVNPERSTLRSQALAMGAEYLPDWNSNCTLLVCAFQNTPKFRQVEADCGTIISKEWILECYAQKKLVDIDPYLMHAGKPWRRSSISHETSEEKQGSSLTKSYKKVEETQSKTTSGPSKNKVSQLAQDRFSPPKVKKWVMDDFNETISWLESQEEKANGIY >KJB12806 pep chromosome:Graimondii2_0_v6:2:6453629:6458719:-1 gene:B456_002G058300 transcript:KJB12806 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSDSKTSQGGGAGKTQTRNLPSWMSSRENESKSHGNKSNDAKGHDKGHASSSNNTNFSKLLEGVVFVLSGFVNPERSTLRSQALAMGAEYLPDWNSNCTLLVCAFQNTPKFRQVEADCGTIISKEWILECYAQKKLVDIDPYLMHAGKPWRRSSISHETSEEKQGSSLTKSYKKVEETQSKTTSGPSKPEPQEIKQVAGEGILTCLQDAIDCLAQKQDVQQITEQWSFIPRVVKELAIFDGSNHGSLTKEDLLREAKVCKQIYEDELSRINSGSLKRKRPKTDGGEEGNKVETKAIFASGYDSDETIKMTEEEIELAYKNFSSGTL >KJB12807 pep chromosome:Graimondii2_0_v6:2:6453673:6458562:-1 gene:B456_002G058300 transcript:KJB12807 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSDSKTSQGGGAGKTQTRNLPSWMSSRENESKSHGNKSNDAKGHDKGHASSSNNTNFSKLLEGVVFVLSGFVNPERSTLRSQALAMGAEYLPDWNSNCTLLVCAFQNTPKFRQVEADCGTIISKEWILECYAQKKLVDIDPYLMHAGKPWRRSSISHETSEEKQGSSLTKSYKKVEETQSKTTSGPSKNKVSQLAQDRFSPPKVKKWVMDDFNETISWLESQEEKPEPQEIKQVAGEGILTCLQDAIDCLAQKQDVQQITEQWSFIPRVVKELAIFDGSNHGSLTKEDLLREAKVCKQIYEDELSRINSGSLKRKRPKTDGGEEGNKVETKAIFASGYDSDETIKMTEEEIELAYKNFSSGTL >KJB12815 pep chromosome:Graimondii2_0_v6:2:6455374:6458016:-1 gene:B456_002G058300 transcript:KJB12815 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MSDSKTSQGGGAGKTQTRNLPSWMSSRENESKSHGNKSNDAKGHDKGHASSSNNTNFSKLLEGVVFVLSGFVNPERSTLRSQALAMGAEYLPDWNSNCTLLVCAFQNTPKFRQVEADCGTIISKEWILECYAQKKLVDIDPYLMHAGKPWRRSSISHETSEEKQGSSLTKSYKKVEETQSKTTSGPSKNKVSQLAQDRFSPPKVKKWVMDDFNETISWLESQEEKANGIY >KJB15799 pep chromosome:Graimondii2_0_v6:2:53231757:53237309:-1 gene:B456_002G196800 transcript:KJB15799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPVVDTEYHKEIEKARRDLRALIAFNNCAPIMLRLAWHDAGTYDVSTKTGGPNGSIRNEEEYTHGSNNGLKIAIDFCEEVKAKHHKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSDICPKEGRLPNATKGAPHLKDIFYRMGLSGKDIVALSGGHTLVLGCSFLFPVLLVIV >KJB15798 pep chromosome:Graimondii2_0_v6:2:53231566:53237343:-1 gene:B456_002G196800 transcript:KJB15798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPVVDTEYHKEIEKARRDLRALIAFNNCAPIMLRLAWHDAGTYDVSTKTGGPNGSIRNEEEYTHGSNNGLKIAIDFCEEVKAKHHKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSDICPKEGRLPNATKGAPHLKDIFYRMGLSGKDIVALSGGHTLGRAHPERSGFDGPWTNEPLKFDNSYFVELLKGESEGLLKLPTDIALMDDPEFREYVELYAKDEDAFFRDYAESHKKLSELGFDPSSSRSNSVAKDSTILAQSTLGVAVAAAVVIVSYIYEVGKRMK >KJB15800 pep chromosome:Graimondii2_0_v6:2:53232210:53237309:-1 gene:B456_002G196800 transcript:KJB15800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPVVDTEYHKEIEKARRDLRALIAFNNCAPIMLRLAWHDAGTYDVSTKTGGPNGSIRNEEEYTHGSNNGLKIAIDFCEEVKAKHHKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSDICPKEGRLPNATKGAPHLKDIFYRMGLSGKDIVALSGGHTLGRAHPERSGFDGPWTNEPLKFDNSYFVELLKGESEGLLKLPTDIALMDDPEFREYVELYAKCQPRSTSCRHLFR >KJB12456 pep chromosome:Graimondii2_0_v6:2:1283477:1285815:-1 gene:B456_002G0189001 transcript:KJB12456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIFSISLSLDTIITRCWDSAFLCKLEENLPALKTEVEDLKATRRDLMSRVRVAEDEKQLKRLPQVDLWLQRADRVITDADKLTVQSPQYVEKLCMGGCCSRHPRSTHKFGKQIATILQEVKGLKENGDFSDVACKPPIPSANKRPSDPTVCLESYVNQVWSCLQKEQVGIIGIYGLGGVGKTTLLNQINNKFHDTTHDYHVIWAVASQDRPIEKVQNQIAKRIGLPNEGWESKSRDEKAEDIFNVLCRKKFALLLDDIWEWFDLTRAGVPLPTQGNGSRVIFTTRRLDVCCQMQPNMDNNIRVECLPPGEAFKLFEEKVGSETLRMHPDICKLAEAVVEECAGLPLALITIGRAMASKKTPREWEYATEVLRQSAASVLPGVGKEMYPKLKFSYDCLPDERFRSCFLYCSLYPEDDLIQKDELVDCWIGEGLLDEHTNLSNARNQGHFIIGSLIDACLLEKGQYNDRVKMHDVIRDMALWIAGESENERFFVKSGVQLKEQPKAKKWEEVTRMSLMENQIENLTEILECPNLQTLFLGRNDLKVIMDDFFNFMPMLRVLDLSSNRNLEELPVGIAKLVSLEHLNLSGTGIKKLPVELKALAKLKYLNLNWTEDLKMIPQQLISSFSKLQVLKMEGCGYGCILVLEEMEHLKYLNVLTLTFKSASELEKASRFNKFFSCAIECVELLDFRDSRSLNIMALAKLQHLCTLILWNCMDLEEVKIERNIIEGAGCFHSLRS >KJB13280 pep chromosome:Graimondii2_0_v6:2:7710726:7713192:1 gene:B456_002G066200 transcript:KJB13280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERPHRSTSINNSSSSSNATSTTSELFICFTSRLSSSSMKISSKSILSPGRAREPSQISLSSSLTRRLRSNGSMKGGQASPMFPTNGKKRGCAFENPEPSSPKVTCIGQVRVKTKKQGKKFRGCRSKRRGEVSFRKVDHTNNANNGSNGLEASSSFHLLSSGSQHHQQQECKKWVHFPVTICEALRAFGAEFNCFLPCRPSCMANQRDKEEKRGSNERNGNGSSCGAVFARWLVAVQEGEGKGREIELVVGGGEDDDDDDDDDYDGERRKCSEMMRSSQRRHVFEDIEINDYLGDEEEEEKGRVSICIPPKNALLLMRCRSDPVKMAALANKFLETPLPKDEQEEEEKEEVQEGAVEVEREGIERQEFSEVSEMYVSCEATEEQEIPEAEAETEAESVLVLRDDAEVNEESSGRSSNEETILECQEQEIERKEEDDQQESTIKEETSPHVSFYLDKLYVEENEGGLEEELLQEAEDENETVSVKAEEEEYKAAVEEEAEEEESTTSTIEEKETETTQGRSESECPEPESKSKESESQEDLLPDCLLLMMCEPKLSMEVSKETWVCSTDFIRCKSNKKTVEINPKQGSALTVILLLFCYTHLGRLVLSRLLHQWLRQLREVAAVGLWLKGMSRLFSRAVSRSRGGHRPSLHPMLAFGRIGSSSRLHLKLARLELVFKPGSLESSKK >KJB13279 pep chromosome:Graimondii2_0_v6:2:7710902:7712977:1 gene:B456_002G066200 transcript:KJB13279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERPHRSTSINNSSSSSNATSTTSELFICFTSRLSSSSMKISSKSILSPGRAREPSQISLSSSLTRRLRSNGSMKGGQASPMFPTNGKKRGCAFENPEPSSPKVTCIGQVRVKTKKQGKKFRGCRSKRRGEVSFRKVDHTNNANNGSNGLEASSSFHLLSSGSQHHQQQECKKWVHFPVTICEALRAFGAEFNCFLPCRPSCMANQRDKEEKRGSNERNGNGSSCGAVFARWLVAVQEGEGKGREIELVVGGGEDDDDDDDDDYDGERRKCSEMMRSSQRRHVFEDIEINDYLGDEEEEEKGRVSICIPPKNALLLMRCRSDPVKMAALANKFLETPLPKDEQEEEEKEEVQEGAVEVEREGIERQEFSEVSEMYVSCEATEEQEIPEAEAETEAESVLVLRDDAEVNEESSGRSSNEETILECQEQEIERKEEDDQQESTIKEETSPHVSFYLDKLYVEENEGGLEEELLQEAEDENETVSVKAEEEEYKAAVEEEAEEEESTTSTIEEKETETTQGRSESECPEPESKSKESESQEDLLPDCLLLMMCEPKLSMEVSKETWVCSTDFIRCKQQQKPEVKQKDGGDQPKARISIDRNPAPILLHPPRSSCSFPAPPPMATAAKGGGGCGPMAKGYESFVLTRCKSEPRRSSTKLAPDACFWKNRKLEPAALEVGAAGVGF >KJB16827 pep chromosome:Graimondii2_0_v6:2:61368128:61369881:1 gene:B456_002G249800 transcript:KJB16827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQSRDSTEMVAPLIAGIAVAAAAYAGRYSIRAWQAFKARPPTARVRRFYEGGFQPSMTRREAALILGVRESTPAEKIKEAHRRVMVANHPDAGGSHYLASKINEAKDMMLRRNKGGSGSAF >KJB13392 pep chromosome:Graimondii2_0_v6:2:8453856:8458116:1 gene:B456_002G072200 transcript:KJB13392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTFEVRHKLTYGLDYKGNVCGDKHAHPGLHQLELKYWLNPNQVYQSGVKDSQFKLSNARSICLLDCPTPLEDSLSWVCDYPEGDIHLSMDDWIDRNYDYYEILTPEMKNASLQLQGPCYPVIFPSVNVYWSCQYIARASNASLRHWKQMGGVDIKEDIVVDKSIHSFINSRSSVLKRYMADIGKAWPVLIVCGGLLPLFLSVVWLLMIRYFVAAMPWITVAFFNILIITVTVFYYLKAGWIGNDAISPIIGDHDPYIHVFGRELNHLRAAAILMTFVMVLSILTSIAIVRRILMGTSVLKVAAKVIGEVQALIIFPVIPYSILAIFYMVWISAALHLFSSGQVVQNNCNTNCCAYDLLSEKVNCDHCCGYSIRYTPHIAVAIFFHLFGCYWATKFFIACSSTVIAGSVASYYWTRGETSSEVPVLPVFDSMKRLIRYSLGSVALGSLIVSFVESIRFILESFRRKLKVTETTPDSWFGKMVYHTSQGCLRCVEWTIKSVNRNAYIMIAITGKSFCRSSAIATELIMNNILRLVRLNVIGDVILFLGKLFVSLSSAVFGFLVLDTHKYSSGHNKLSSPLLPVLVCWTLGYVVATLFFAVVEMSIDTLILSFCQDSEEHEGTAQFAPLLLMETLNEQNDMQRLTQ >KJB13393 pep chromosome:Graimondii2_0_v6:2:8454065:8457984:1 gene:B456_002G072200 transcript:KJB13393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDWIDRNYDYYEILTPEMKNASLQLQGPCYPVIFPSVNVYWSCQYIARASNASLRHWKQMGGVDIKEDIVVDKSIHSFINSRSSVLKRYMADIGKAWPVLIVCGGLLPLFLSVVWLLMIRYFVAAMPWITVAFFNILIITVTVFYYLKAGWIGNDAISPIIGDHDPYIHVFGRELNHLRAAAILMTFVMVLSILTSIAIVRRILMGTSVLKVAAKVIGEVQALIIFPVIPYSILAIFYMVWISAALHLFSSGQVVQNNCNTNCCAYDLLSEKVNCDHCCGYSIRYTPHIAVAIFFHLFGCYWATKFFIACSSTVIAGSVASYYWTRGETSSEVPVLPVFDSMKRLIRYSLGSVALGSLIVSFVESIRFILESFRRKLKVTETTPDSWFGKMVYHTSQGCLRCVEWTIKSVNRNAYIMIAITGKSFCRSSAIATELIMNNILRLVRLNVIGDVILFLGKLFVSLSSAVFGFLVLDTHKYSSGHNKLSSPLLPVLVCWTLGYVVATLFFAVVEMSIDTLILSFCQDSEEHEGTAQFAPLLLMETLNEQNDMQRLTQ >KJB13391 pep chromosome:Graimondii2_0_v6:2:8453136:8458430:1 gene:B456_002G072200 transcript:KJB13391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPLGAVLERYPLSDGTTEDGGIIRHNRKCRDVAFLVIFIAFWVAMIVNSSFGFNQGNPLRLTYGLDYKGNVCGDKHAHPGLHQLELKYWLNPNQVYQSGVKDSQFKLSNARSICLLDCPTPLEDSLSWVCDYPEGDIHLSMDDWIDRNYDYYEILTPEMKNASLQLQGPCYPVIFPSVNVYWSCQYIARASNASLRHWKQMGGVDIKEDIVVDKSIHSFINSRSSVLKRYMADIGKAWPVLIVCGGLLPLFLSVVWLLMIRYFVAAMPWITVAFFNILIITVTVFYYLKAGWIGNDAISPIIGDHDPYIHVFGRELNHLRAAAILMTFVMVLSILTSIAIVRRILMGTSVLKVAAKVIGEVQALIIFPVIPYSILAIFYMVWISAALHLFSSGQVVQNNCNTNCCAYDLLSEKVNCDHCCGYSIRYTPHIAVAIFFHLFGCYWATKFFIACSSTVIAGSVASYYWTRGETSSEVPVLPVFDSMKRLIRYSLGSVALGSLIVSFVESIRFILESFRRKLKVTETTPDSWFGKMVYHTSQGCLRCVEWTIKSVNRNAYIMIAITGKSFCRSSAIATELIMNNILRLVRLNVIGDVILFLGKLFVSLSSAVFGFLVLDTHKYSSGHNKLSSPLLPVLVCWTLGYVVATLFFAVVEMSIDTLILSFCQDSEEHEGTAQFAPLLLMETLNEQNDMQRLTQ >KJB12392 pep chromosome:Graimondii2_0_v6:2:1028112:1035125:1 gene:B456_002G015900 transcript:KJB12392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNDLVNLNLCDVTEKVIAEYIWIGGSGMDMRSKARTLPGPESDPSRLPKWNYDGSSTEQATGDNSEVIICPQAIFRDPFRRGRNMLVMCDAYAPTGDPIPTNKRFNADKIFSHPDVIAEEPWYGIEQEYTLFQKDTKWPLGWPVGGFPAPQGPYYCGVGSDKAFGRDIVDAHYKACLFAGIDISGINGEVMPGQCRKTWIQWEFQVGPVTGISSGDQVWMARYILERITEIAGAVLSFDPKPVLGDWNGAGAHTNYSTKSMRNDGGINVINEAIEKLSKRHKEHIAAYGKGNERRLTGLHETADINTFSWGVANRGASVRVGRDTEKEGKGYFEDRRPSSNMDPYVVTSMIAETTILWKP >KJB12391 pep chromosome:Graimondii2_0_v6:2:1028112:1035125:1 gene:B456_002G015900 transcript:KJB12391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNDLVNLNLCDVTEKVIAEYIWIGGSGMDMRSKARTLPGPESDPSRLPKWNYDGSSTEQATGDNSEVIICPQAIFRDPFRRGRNMLVMCDAYAPTGDPIPTNKRFNADKIFSHPDVIAEEPWYGIEQEYTLFQKDTKWPLGWPVGGFPAPQGPYYCGVGSDKAFGRDIVDAHYKACLFAGIDISGINGEVMPGQWEFQVGPVTGISSGDQVWMARYILERITEIAGAVLSFDPKPVLGDWNGAGAHTNYSTKSMRNDGGINVINEAIEKLSKRHKEHIAAYGKGNERRLTGLHETADINTFSWGVANRGASVRVGRDTEKEGKGYFEDRRPSSNMDPYVVTSMIAETTILWKP >KJB12390 pep chromosome:Graimondii2_0_v6:2:1028112:1035125:1 gene:B456_002G015900 transcript:KJB12390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMCDAYAPTGDPIPTNKRFNADKIFSHPDVIAEEPWYGIEQEYTLFQKDTKWPLGWPVGGFPAPQGPYYCGVGSDKAFGRDIVDAHYKACLFAGIDISGINGEVMPGQCRKTWIQWEFQVGPVTGISSGDQVWMARYILERITEIAGAVLSFDPKPVLGDWNGAGAHTNYSTKSMRNDGGINVINEAIEKLSKRHKEHIAAYGKGNERRLTGLHETADINTFSWGVANRGASVRVGRDTEKEGKGYFEDRRPSSNMDPYVVTSMIAETTILWKP >KJB12393 pep chromosome:Graimondii2_0_v6:2:1028112:1035125:1 gene:B456_002G015900 transcript:KJB12393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMCDAYAPTGDPIPTNKRFNADKIFSHPDVIAEEPWYGIEQEYTLFQKDTKWPLGWPVGGFPAPQGPYYCGVGSDKAFGRDIVDAHYKACLFAGIDISGINGEVMPGQWEFQVGPVTGISSGDQVWMARYILERITEIAGAVLSFDPKPVLGDWNGAGAHTNYSTKSMRNDGGINVINEAIEKLSKRHKEHIAAYGKGNERRLTGLHETADINTFSWGVANRGASVRVGRDTEKEGKGYFEDRRPSSNMDPYVVTSMIAETTILWKP >KJB16639 pep chromosome:Graimondii2_0_v6:2:60454083:60455817:1 gene:B456_002G241100 transcript:KJB16639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQASLFFWILIFLLYLLFSLLIKPKLWCNCEICSAYLTLSWSKQFNNLCDWYTHLLKNSPSKTIHIHVLRNTITANPENIEYMLKTKFHNFPKGKPFSIILGDFLGRGIFNVDGDSWKFQKNMASMELGKTSTCCYVFDIINCEIKTRLVPLLSKQDQVLDLQDVFKRFSFDVICWFSFGIDPSCLELSLPMSKLAMAFDLASKLSAERAMNVSPLVWKIKRALNLGSEKELKRAIERINLLAKEVIRQRRKTGFLNSNDLLSRFMSIINDETYLRDIIISFLLAGRDTVASGLTSLFWLLSKHSNVVSAIKQEADRIIGENKELTCFDQMKELHYLQATVYESMRLYPPIQFDSKFCQNDDVLPDGSVLKKGTRVTYHPYAMGRIEEIWGEDCLEFKPERWLKHDGVFSPGNPFKYPIFQAGFRVCLGKEMALLEMKTVTLSLIRRFRIQLLTPPSPDQHPRFLPGLTATFSDGLPVLVRNIQPQP >KJB17098 pep chromosome:Graimondii2_0_v6:2:62502706:62505496:1 gene:B456_002G265100 transcript:KJB17098 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) UniProtKB/Swiss-Prot;Acc:Q8RX71] MPGGMLVRGDGDDSNLHHNHEAAATSSAGPMIKIDVAYGSAQHQLFVPSHSTVGELKKQIAEETGLEPDKQRVLFRGKEKEDDVHLSSAGMKDKSKILVLENPTGKVKKVEEMANVEEKVEESRARKESKVEEIKETEEMSKAFAAVGRVRKEVDKLSERVAAIEVAVNSGTKIAAEEFDVSAELLMRELLKLDGIEAEGEAKLQRKAEVRRVQGFHETLDKLKTRNSNPFHDSNKAASVTTKWETFDSGMGSSTAPPPQPMSSSTKVTEEWEKFD >KJB17101 pep chromosome:Graimondii2_0_v6:2:62502730:62505496:1 gene:B456_002G265100 transcript:KJB17101 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) UniProtKB/Swiss-Prot;Acc:Q8RX71] MKDKSKILVLENPTGKVKKVEEMANVEEKVEESRARKESKVEEIKETEEMSKAFAAVGRVRKEVDKLSERVAAIEVAVNSGTKIAAEEFDVSAELLMRELLKLDGIEAEGEAKLQRKAEVRRVQGFHETLDKLKTRNSNPFHDSNKAASVTTKWETFDSGMGSSTAPPPQPMSSSTKVTEEWEKFD >KJB17100 pep chromosome:Graimondii2_0_v6:2:62502788:62505474:1 gene:B456_002G265100 transcript:KJB17100 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) UniProtKB/Swiss-Prot;Acc:Q8RX71] MKDKSKILVLENPTGKVKKVEEMANVEEKVEESRARKESKVEEIKETEEMSKAFAAVGRVRKEVDKLSERVAAIEVAVNSGTKIAAEEFDVSAELLMRELLKLDGIEAEGEAKLQRKAEVRRVQGFHETLDKLKTRNSNPFHDSNKAASVTTKWETFDSGMGSSTAPPPQPMSSSTKVTEEWEKFD >KJB17102 pep chromosome:Graimondii2_0_v6:2:62502802:62505392:1 gene:B456_002G265100 transcript:KJB17102 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) UniProtKB/Swiss-Prot;Acc:Q8RX71] MPGGMLVRGDGDDSNLHHNHEAAATSSAGPMIKIDVAYGSAQHQLFVPSHSTVGELKKQIAEETGLEPDKQRVLFRGKEKEDDVHLSSAGMKDKSKILVLENPTGKVKKVEEMANVEEKVEESRARKESKVEEIKETEEMSKAFAAVGRVRKEVDKLSERVAAIEVAVNSGTKIAAEEFDVSAELLMRELLKLDGIEAEGEAKLQRKAEVGVVRRVQGFHETLDKLKTRNSNPFHDSNKAASVTTKWETFDSGMGSSTAPPPQPMSSSTKVTEEWEKFD >KJB17099 pep chromosome:Graimondii2_0_v6:2:62502730:62505496:1 gene:B456_002G265100 transcript:KJB17099 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) UniProtKB/Swiss-Prot;Acc:Q8RX71] MGLGSSSCKISSGELKKQIAEETGLEPDKQRVLFRGKEKEDDVHLSSAGMKDKSKILVLENPTGKVKKVEEMANVEEKVEESRARKESKVEEIKETEEMSKAFAAVGRVRKEVDKLSERVAAIEVAVNSGTKIAAEEFDVSAELLMRELLKLDGIEAEGEAKLQRKAEVRRVQGFHETLDKLKTRNSNPFHDSNKAASVTTKWETFDSGMGSSTAPPPQPMSSSTKVTEEWEKFD >KJB12882 pep chromosome:Graimondii2_0_v6:2:3518407:3519255:-1 gene:B456_002G042500 transcript:KJB12882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWPTYIKSLQDRFGCKPFWDSIRELVNLKQEGSISSLKSNNVGVVANTLHYGALNTSIGATATVSSGMGCLDTGQFMYQKGATLGAFLGNGQIKNWADSGLADYSQQTDTSTDVDTNHKNQLHGVQHGAVMVKTIDQSKSKSNDQKTLRRLAQNREAARNSRLRKKTYVQQLESSRLRLTELEQELQRAQ >KJB16334 pep chromosome:Graimondii2_0_v6:2:57944240:57945919:-1 gene:B456_002G223900 transcript:KJB16334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLSLDGSSKPFSFLEKTPKLSSNDHLGFCMGLGRSQEKRDSLEAERRGNGGDLKRVSSDPHLQLHLRLPWLFDNLHETGLSKGRGRGLDVNRLPMVALAGEATVAHSSPNSAVSSFQMDFWFRNGNSRGNRGMDSETERVSSRASDDDEDDNGSSRKKLRLSKEQSAFLEESFKEHSNLNPKQKLALANQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKEVQELRALKTCQPFYMQLPATTLTMCPSCKRVTTTSATDAKIGI >KJB12721 pep chromosome:Graimondii2_0_v6:2:4054211:4058471:1 gene:B456_002G048000 transcript:KJB12721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILCASTSTIFSSSERTSFGSLKKTIPSNQSSFLGFFPVAALSKPGSVLPTTLSFSKRDFRVCCQDLSLVPENQRWMFEESEADGPDIWNNTWYPKAKDHINTEKTWYVVDATDKILGRLASTIAVHIRGKNLATYTPSVDMGAFVIVVNAEKVAVSGKKRTQKLYRRHSGRPGGMKVETFNQLQQRIPERIIEHAVRGMLPKGRLGRALFNHLKVYKGPDHPHDAQKPIELPIRDKRVQKQR >KJB12724 pep chromosome:Graimondii2_0_v6:2:4054280:4058471:1 gene:B456_002G048000 transcript:KJB12724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILCASTSTIFSSSERTSFGSLKKTIPSNQSSFLGFFPVAALSKPGSVLPTTLSFSKRDFRVCCQDLSLVPENQRWMFEESEADGPDIWNNTWYPKAKDHINTEKTWYVVDATDKILGRLASTIAVHIRGKNLATYTPSVDMGAFVIVVNAEKVAVSGKKRTQKLYRRHSGRPGGMKVETFNQLQQRIPERIIDCQYGTRGFRSRDNQNIKQGLA >KJB12723 pep chromosome:Graimondii2_0_v6:2:4054280:4058471:1 gene:B456_002G048000 transcript:KJB12723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILCASTSTIFSSSERTSFGSLKKTIPSNQSSFLGFFPVAALSKPGSVLPTTLSFSKRDFRVCCQDLSLVPENQRWMFEESEADGPDIWNNTWYPKAKDHINTEKTWYVVDATDKILGRLASTIAVHIRGKNLATYTPSVDMGAFVIVLLYLERKGHKSSTGGIQEDQVA >KJB12725 pep chromosome:Graimondii2_0_v6:2:4054280:4058471:1 gene:B456_002G048000 transcript:KJB12725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILCASTSTIFSSSERTSFGSLKKTIPSNQSSFLGFFPVAALSKPGSVLPTTLSFSKRDFRVCCQDLSLVPENQRWMFEESEADGPDIWNNTWYPKAKDHINTEKTWYVVDATDKILGRLASTIAVHIRGKNLATYTPSVDMGAFVIVVNAEKVAVSGKKRTQKLYRRHSGRPGGMKVETFNQLQQRIPERIIEHAVRGMLPKGRSIV >KJB12722 pep chromosome:Graimondii2_0_v6:2:4054391:4056478:1 gene:B456_002G048000 transcript:KJB12722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILCASTSTIFSSSERTSFGSLKKTIPSNQSSFLGFFPVAALSKPGSVLPTTLSFSKRDFRVCCQDLSLVPENQRWMFEESEADGPDIWNNTWYPKAKDHINTEKTWYVVDATDKILGRLASTIAVHIRGKNLATYTPSVDMGAFVIVVNAEKVAVSGKKRTQKLYRRHSGRPGGMKVETFNQLQQRIPERIIEHAVRGMLPKGRVSSLVSN >KJB13078 pep chromosome:Graimondii2_0_v6:2:5075060:5080177:1 gene:B456_002G055700 transcript:KJB13078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPAVKSGGLGVVAMTYVAVDYLRILSPTWHERLQPVLWSLLALVAVTRVPFYRHWSAEFRAAISFLASMIFMLSALLFEACTVRFVTVVLGLNWHNGRPPLPDTGQWLLLALNEKLPEAVVEILRAHIIGLHHYLMLFIMLAFSVLFESVKAPGLGLGARYMFTMAVGRLLRAITFASTILPSARPWCASSRYSIPGHPHRWAQKYYVPYASDANAIRQVIERDIAYVDTVNYTADYRPDWGSMSFLIDFLRPNTSEGSSWYSLLKKAGGGCNDLVYSGHMFVAVLTAMAWTEAYGGFSSVLIWLLVMHSAQREVRERHHYSVDCVVAIYVGILLWKMTGFIWSAKGGTGDSRLTKLGKIQGRLLQAAKDSDMDEVRELLKDVELGNQESLKQGPSKVMWLFASGTIFFSLTIVILAFTWTSDG >KJB13077 pep chromosome:Graimondii2_0_v6:2:5075060:5080177:1 gene:B456_002G055700 transcript:KJB13077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPAVKSGGLGVVAMTYVAVDYLRILSPTWHERLQPVLWSLLALVAVTRVPFYRHWSAEFRAAISFLASMIFMLSALLFEACTVRFVTVVLGLNWHNGRPPLPDTGQWLLLALNEKLPEAVVEILRAHIIGLHHYLMLFIMLAFSVLFESVKAPGLGLGARYMFTMAVGRLLRAITFASTILPSARPWCASSRYSIPGHPHRWAQKYYVPYASDANAIRQVIERDIAYDYRPDWGSMSFLIDFLRPNTSEGSSWYSLLKKAGGGCNDLVYSGHMFVAVLTAMAWTEAYGGFSSVLIWLLVMHSAQREVRERHHYSVDCVVAIYVGILLWKMTGFIWSAKGGTGDSRLTKLGKIQGRLLQAAKDSDMDEVRELLKDVELGNQESLKQGPSKVMWLFASGTIFFSLTIVILAFTWTSDG >KJB13076 pep chromosome:Graimondii2_0_v6:2:5074897:5080211:1 gene:B456_002G055700 transcript:KJB13076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPAVKSGGLGVVAMTYVAVDYLRILSPTWHERLQPVLWSLLALVAVTRVPFYRHWSAEFRAAISFLASMIFMLSALLFEACTVRFVTVVLGLNWHNGRPPLPDTGQWLLLALNEKLPEAVVEILRAHIIGLHHYLMLFIMLAFSVLFESVKAPGLGLGARYMFTMAVGRLLRAITFASTILPSARPWCASSRYSIPGHPHRWAQKYYVPYASDANAIRQVIERDIAYVDTVNYTADYRPDWGSMSFLIDFLRPNTSEGSSWYSLLKKAGGGCNDLVYSGHMFVAVLTAMAWTEAYGGFSSVLIWLLVMHSAQREVRERHHYSVDCVVAIYVGILLWKMTGFIWSAKGGTGDSRLTKLGKIQGRLLQAAKDSDMDEVRELLKDVELGNQESLKQGPSKVMWLFASGTIFFSLTIVILAFTWTSDG >KJB13079 pep chromosome:Graimondii2_0_v6:2:5075190:5079187:1 gene:B456_002G055700 transcript:KJB13079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPAVKSGGLGVVAMTYVAVDYLRILSPTWHERLQPVLWSLLALVAVTRVPFYRHWSAEFRAAISFLASMIFMLSALLFEACTVRFVTVVLGLNWHNGRPPLPDTGQWLLLALNEKLPEAVVEILRAHIIGLHHYLMLFIMLAFSVLFESVKAPGLGLGARYMFTMAVGRLLRAITFASTILPSARPWCASSRYSIPGHPHRWAQKYYVPYASDANAIRQVIERDIAYVDTVNYTADYRPDWGSMSFLIDFLRPNTSEGSSWYSLLKKAGGGCNDLVYSGHMFVAVLTAMAWTVLF >KJB16053 pep chromosome:Graimondii2_0_v6:2:55825856:55829021:1 gene:B456_002G211100 transcript:KJB16053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 12 [Source:Projected from Arabidopsis thaliana (AT5G64470) UniProtKB/Swiss-Prot;Acc:Q9FGE9] MPTKLYSSIFPSIFFLSLIFLFLYSTFLPLYTNNDSSSLPTNKFLPSSSPPCNLFKGHWVLNSTKGKPFYDDTCPFHRNAWNCLKNKRDNMGIINSWKWVPKDCKLERVDPWRFLGLMRNRNIGFVGDSLNENFLVSFLCILRVADEGAKKWKKKGAWRGAYFPKYNVTVAYHRAVLLAKYKWNPKQSVVSDEDELKGIYRVDVDILADEWSSIADFYHVLVFNTGHWWGYDKFPKETPLVFYRHGRPISPPLGLLDGFKVVLEDMVHHIQKEVPKNTLKFWRLQSPRHFYGGDWNQNGSCLFDRPLEEEQLDLWFDPSNNGVNKEARTLNHLIKEAVQGTDIQLLDLTHLSEFRADAHPAIWLGKKDAVSIWGQDCMHWCLPGLPDTWVDILVQLIHNSFETG >KJB16054 pep chromosome:Graimondii2_0_v6:2:55826002:55829179:1 gene:B456_002G211100 transcript:KJB16054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 12 [Source:Projected from Arabidopsis thaliana (AT5G64470) UniProtKB/Swiss-Prot;Acc:Q9FGE9] MPTKLYSSIFPSIFFLSLIFLFLYSTFLPLYTNNDSSSLPTNKFLPSSSPPCNLFKGHWVLNSTKGKPFYDDTCPFHRNAWNCLKNKRDNMGIINSWKWVPKDCKLERVDPWRFLGLMRNRNIGFVGDSLNENFLVSFLCILRVADEGAKKWKKKGAWRGAYFPKYNVTVAYHRAVLLAKYKWNPKQSVVSDEDELKGIYRVDVDILADEWSSIADFYHVLVFNTGHWWGYDKFPKETPLVFYRHGRPISPPLGLLDGFKVVLEDMVHHIQKEVPKNTLKFWRLQSPRHFYGGDWNQNGSCLFDRPLEEEQLDLWFDPSNNGVNKEARTLNHLIKEAVQGTDIQLLDLTHLSEFRADAHPAIWLGKKDAVSIWGQDCMHWCLPGLPDTWVDILVQLIHNSFETG >KJB16056 pep chromosome:Graimondii2_0_v6:2:55826149:55827636:1 gene:B456_002G211100 transcript:KJB16056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 12 [Source:Projected from Arabidopsis thaliana (AT5G64470) UniProtKB/Swiss-Prot;Acc:Q9FGE9] MPTKLYSSIFPSIFFLSLIFLFLYSTFLPLYTNNDSSSLPTNKFLPSSSPPCNLFKGHWVLNSTKGKPFYDDTCPFHRNAWNCLKNKRDNMGIINSWKWVPKDCKLERVDPWRFLGLMRNRNIGFVGDSLNENFLVSFLCILRVADEGAKKWKKKGAWRGAYFPKYNVTVAYHRAVLLAKYKWNPKQSVVSDEDELKGIYRVDVDILADEWSSIADFYHVLVFNTGHW >KJB16057 pep chromosome:Graimondii2_0_v6:2:55826054:55828978:1 gene:B456_002G211100 transcript:KJB16057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 12 [Source:Projected from Arabidopsis thaliana (AT5G64470) UniProtKB/Swiss-Prot;Acc:Q9FGE9] MPTKLYSSIFPSIFFLSLIFLFLYSTFLPLYTNNDSSSLPTNKFLPSSSPPCNLFKGHWVLNSTKGKPFYDDTCPFHRNAWNCLKNKRDNMGIINSWKWVPKDCKLERVDPWRFLGLMRNRNIGFVGDSLNENFLVSFLCILRVADEGAKKWKKKGAWRGAYFPKYNVTVAYHRAVLLAKYKWNPKQSVVSDEDELKGIYRVDVDILADEWSSIADFYHVLVFNTGHWWGYDKFPKETPLVFYRHGRPISPPLGLLDGFKVVLEDMVHHIQKEVPKNTLKFWRLQSPRHFYGGDWNQNGSCLFDRPLEEEQQQWSE >KJB16055 pep chromosome:Graimondii2_0_v6:2:55826054:55828978:1 gene:B456_002G211100 transcript:KJB16055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 12 [Source:Projected from Arabidopsis thaliana (AT5G64470) UniProtKB/Swiss-Prot;Acc:Q9FGE9] MPTKLYSSIFPSIFFLSLIFLFLYSTFLPLYTNNDSSSLPTNKFLPSSSPPCNLFKGHWVLNSTKGKPFYDDTCPFHRNAWNCLKNKRDNMGIINSWKWVPKDCKLERVDPWRFLGLMRNRNIGFVGDSLNENFLVSFLCILRVADEGAKKWKKKGAWRGAYFPKYNVTVAYHRAVLLAKYKWNPKQSVVSDEDELKGIYRVDVDILADEWSSIADFYHVLVFNTGHWWGYDKFPKETPLVFYRHGRPISPPLGLLDGFKVVLEDMVHHIQKEVPKNTLKFWRLQSPRHFYGGDWNQNGSCLFDRPLEEEQVSVDIFYPFFNCNFKFGLV >KJB15582 pep chromosome:Graimondii2_0_v6:2:49243303:49245852:-1 gene:B456_002G185400 transcript:KJB15582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSTALRELWGDLENKANDLSKLQKDIAKNHQVRKKYTIQLGENELVLKELDLLNEDANVYKSIGPVLVKQDLAEANANVRKRIEYISAELKRLDGSLQDLEEKQHSKREAILKVQQRIQSHQARKAKA >KJB13016 pep chromosome:Graimondii2_0_v6:2:4446423:4452960:-1 gene:B456_002G051300 transcript:KJB13016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTFLNLALLIIFHFSMPTFSMKLTTILTDQSALLALKDHVTHDPENVLTTNWSASAPVCNWFGVSCGSKHRRVTALNLTGLGLVGTLPPHLGNLSLLSLFCVRSNRFYGGLPVQLSNLRRLKYLGFGNNSFSGEIPSWLGSLTELRRLFLYQNNFKGVIPFSLGYLSKLQTLSLTRNQISGSIPSSIFNISSLQNIYLGDNMLSGSIPSVPRDLLSLEVIDFTSNNLTGHIPKDMFDHLPNLKELSLGLNLLSGRIPASLFKCKELQTLSLSYNQMEGSLPIEIGNLSMLQFISIGQNHFEGIIPREIGNLKNLELLNLEHNNIAGSIPPQVFNISTLRVISLETNQLSGHLPSNMGLFLPNMEELYLDVNQLVGSIPMSISNASQLTRLDMSNNYFSGSIPDNLGNLRNLKFLNLVWNNLTSLGMSFLFSLTNCRVLEKLFFGSNPFISGELPGVVGNLSSSLEVFYARECSIRGSIPSEIGNLSHLISIDLGGNKLIGQIPTTIGGLEELQSLSLEDNKLEGSIPSELCHLNKLAFLFLTNNQLSGQIPACLGDLTSLRQLGLDSNMFSSSIPSSLTRLNYLLILYLSSNSLSGPLPIEIGKWKVLTSLDLSNNQFSGDIPTGVADLKDLTGFSLSNNRIMGSIPESFDELLSLEFLDLSRNNLSGEIPKSLEKLRYLKYFNVSFNRLEGEIPEGGSFENYSIESFKGNEALCGAARLHVPNCKTRPLRNSKAKTKLIIYLRQATDGFNDSRLLGNGSYGSVFQGTLPDGTIIAVKVFKFELEGAFKSFDVECDVLRNTRHRNLVKVISSCSNDLNFKALVLEFMPNGSLDKWLYSNNQYLDILQRLNIMIDVASALEYLHHGNATPVIHCDLKPNNVLLDEDMVAHLSDFGIAKLLCEEVSMIQTMTMATFGYMAPEYGMEGIVSTKGDVYSFGILLMEIITRKKPTDEMFAGERSLKSWVIESISSSLNQVVDPKLLSTIGREDLKVKNCALSILQVGLECCVELPNERLHMKEIVTQLKKIKVTLLRDMERVR >KJB15889 pep chromosome:Graimondii2_0_v6:2:54315125:54316436:1 gene:B456_002G201800 transcript:KJB15889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWSQLPPELVVLIAKCLEARFDILRFRSVCSSWRSSAPLPPKLNPLLPTNLPLPRRGRKAPACWLAKIRDATHHHPVEMQLLNPPWLERPPRKFPKVLDLTNFQVLELGHEHVGHYDVSIDHPIEAHYATYRQKVVFLRSSTIDSDDFIMFSMFGISALLRSGEKEWIVLENVSCLEDIISFNGKFYAIDGNGRTIAIDQSLIVSFLPHVECFRASRCRKFLVKSVDNLLFLEMFWEAGKKSTSGMDSLSLRDQILFMGFHEATSAPASEFGWGRGNLIFYPENLSDYPGYGNHEHGIGYTYMLVFDLETGTASRLENCPAYRNLFWPAPEWVTSSTEVISNSTHSITSATSESECTYLEPDLAISPTANTLKTVTSSASKVVGTERPFPSSKCSFKFCF >KJB16447 pep chromosome:Graimondii2_0_v6:2:58971635:58983583:1 gene:B456_002G230500 transcript:KJB16447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFISGAISRQVLPACGSLCFLCPGLRTRSRQPVKRYKKMIAEIFPRNQEEGPNERKIGKLCEYASKNPLRIPKISTSLEQRCYKELRNEKFQSVKIVMCIYRKLLVSCKEQMSLFSSSLLSIIQTLLDQTRHDEMRIIGCQTLFYFLNNQNDGTCMFKLEGFIPKLCQLAQETGESEREKNLCAAGLQALSSMIWFMGIHSHISVEFDNIVSVVLENYEDPRKNLENHNGGKSQLEKEVVKDEGHDSPSPDVPITFPSWDTIVNDNGELNISVEDAQNRSFWSKVCLHNMANLAKEATTARRVLESLFRYLDSENLWSLQTGLAFPVLKDMQLLMESSGQNTHFLLSLLVKHLDHKSVLKQPDVQLQILEVTSSLARYSKVEPSIAILGAVSDVMRHLRKSIHCSLDDATLGVDTINWNKNFKEAVDNCLVQLSQKVGDAGPILDAMAVMLENISNLTVIARATVSVVYRTAQVVASIPNPSYLNKAFPESLFHQLLPAMVHPDHETRIGAHRIFSVVLVPTSVCPQQSSVPPLTNKAIPRTLSRTVSVFSSSAALFEKLRKEKSFSRGNGYIEKESIVNEGSNNMILNRLNSSYSRASSSRNLSVPLETDKNSLSNSNTESETHSLRLSSTQISLLLSSIWAQSVSPQNTPQNYEAIAHTYSLVLLFSRAKNSSNEALVRSFQLAYSLRRISLNEGGPLPPSCRRSLFTLATSMILFSSKASNILPIVYSTKVALRERVVDPFLHLVEDCKLKVVDTGSDRPTNVYGSKEDDDLAAKTLSQIQITPEQDGESLASEIVKSLGISSEPELSNTRAQLLSKFLPDDVCPLGSHLTIDQLHKEYQAGAEESKSTQEDDAFAEPFECQTKDGSGLSQETPKPLDVNQLLDSVLETSDQFGRISVSTGPDMSYKDMAHHCETLLTRKQQKMSDLMSVHLRQDSYFQNPFHAEQPGPVFEQTSGTNSLQQPVGTLPMLCATEYQNHLQPFSFPSSTPYDNILKAAGC >KJB16446 pep chromosome:Graimondii2_0_v6:2:58971635:58982894:1 gene:B456_002G230500 transcript:KJB16446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKILYASQSTSLEQRCYKELRNEKFQSVKIVMCIYRKLLVSCKEQMSLFSSSLLSIIQTLLDQTRHDEMRIIGCQTLFYFLNNQNDGTCMFKLEGFIPKLCQLAQETGESEREKNLCAAGLQALSSMIWFMGIHSHISVEFDNIVSVVLENYEDPRKNLENHNGGKSQLEKEVVKDEGHDSPSPDVPITFPSWDTIVNDNGELNISVEDAQNRSFWSKVCLHNMANLAKEATTARRVLESLFRYLDSENLWSLQTGLAFPVLKDMQLLMESSGQNTHFLLSLLVKHLDHKSVLKQPDVQLQILEVTSSLARYSKVEPSIAILGAVSDVMRHLRKSIHCSLDDATLGVDTINWNKNFKEAVDNCLVQLSQKVGDAGPILDAMAVMLENISNLTVIARATVSVVYRTAQVVASIPNPSYLNKAFPESLFHQLLPAMVHPDHETRIGAHRIFSVVLVPTSVCPQQSSVPPLTNKAIPRTLSRTVSVFSSSAALFEKLRKEKSFSRGNGYIEKESIVNEGSNNMILNRLNSSYSRASSSRNLSVPLETDKNSLSNSNTESETHSLRLSSTQISLLLSSIWAQSVSPQNTPQNYEAIAHTYSLVLLFSRAKNSSNEALVRSFQLAYSLRRISLNEGGPLPPSCRRSLFTLATSMILFSSKASNILPIVYSTKVALRERVVDPFLHLVEDCKLKVVDTGSDRPTNVYGSKEDDDLAAKTLSQIQITPEQDGESLASEIVKSLGISSEPELSNTRAQLLSKFLPDDVCPLGSHLTIDQLHKEYQAGAEESKSTQEDDAFAEPFECQTKDGSGLSQETPKPLDVNQLLDSVLETSDQFGRISVSTGPDMSYKDMAHHCETLLTRKQQKMSDLMSVHLRQDSYFQNPFHAEQPGPVFEQTSGTNSLQQPVGTLPMLCATEYQNHLQPFSFPSSTPYDNILKAAGC >KJB12268 pep chromosome:Graimondii2_0_v6:2:576797:581296:-1 gene:B456_002G008900 transcript:KJB12268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLFLLLLSTAITAAVASIPAYPSVSTDCTVSAADDNPVPVRREVYGDGRIFDISHRYTVDMPSWGSKGGLGQFLWLPSSMKNGSRSNNSLMKLQSVHSGTHVDAPGHMVDSYFDAGFDVDTLDLDVLNGPALLVDVPRDSNITAKVMESLNIPKGVRRVLFRTLNTDRRLMFKKEFDSSYVGFTTDGARWLAKNTDIKLVGIDFLSAACYDHSVPAHLEFFEGREIILVEGLKLDNVPVGIYNVHCLPLRLLGSEGSPIRCILIK >KJB12266 pep chromosome:Graimondii2_0_v6:2:576478:581422:-1 gene:B456_002G008900 transcript:KJB12266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLFLLLLSTAITAAVASIPAYPSVSTDCTVSAADDNPVPVRREVYGDGRIFDISHRYTVDMPSWGSKGGLGQFLWLPSSMKNGSRSNNSLMKLQSVHSGTHVDAPGHMVDSYFDAGFDVDTLDLDVLNAKVMESLNIPKGVRRVLFRTLNTDRRLMFKKEFDSSYVGFTTDGARWLAKNTDIKLVGIDFLSAACYDHSVPAHLEFFEGREIILVEGLKLDNVPVGIYNVHCLPLRLLGSEGSPIRCILIK >KJB12270 pep chromosome:Graimondii2_0_v6:2:578000:581428:-1 gene:B456_002G008900 transcript:KJB12270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLFLLLLSTAITAAVASIPAYPSVSTDCTVSAADDNPVPVRREVYGDGRIFDISHRYTVDMPSWGSKGGLGQFLWLPSSMKNGSRSNNSLMKLQSVHSGTHVDAPGHMVDSYFDAGFDVDTLDLDVLNGPALLVDVPRDSNITAKVMESLNIPKGVRRVLFRTLNTDRRLMFKKEFDSSYVGFTTDGARWLAKNTDIKLVGKIFSRF >KJB12265 pep chromosome:Graimondii2_0_v6:2:576478:581422:-1 gene:B456_002G008900 transcript:KJB12265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLFLLLLSTAITAAVASIPAYPSVSTDCTVSAADDNPVPVRREVYGDGRIFDISHRYTVDMPSWGSKGGLGQFLWLPSSMKNGSRSNNSLMKLQSVHSGTHVDAPGHMVDSYFDAGFDVDTLDLDVLNGPALLVDVPRDSNITAKVMESLNIPKGVRRVLFRTLNTDRWGKMVGKEH >KJB12267 pep chromosome:Graimondii2_0_v6:2:576478:581428:-1 gene:B456_002G008900 transcript:KJB12267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLFLLLLSTAITAAVASIPAYPSVSTDCTVSAADDNPVPVRREVYGDGRIFDISHRYTVDMPSWGSKGGLGQFLWLPSSMKNGSRSNNSLMKLQSVHSGTHVDAPGHMVDSYFDAGFDVDTLDLDVLNGPALLVDVPRDSNITAKVMESLNIPKGVRRVLFRTLNTDRRLMFKKEFDSSYVGFTTDGARWLAKNTDIKLESISYLLHAMIIPFQLILSSLKAGKSF >KJB12269 pep chromosome:Graimondii2_0_v6:2:577600:581428:-1 gene:B456_002G008900 transcript:KJB12269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLFLLLLSTAITAAVASIPAYPSVSTDCTVSAADDNPVPVRREVYGDGRIFDISHRYTVDMPSWGSKGGLGQFLWLPSSMKNGSRSNNSLMKLQSVHSGTHVDAPGHMVDSYFDAGFDVDTLDLDVLNGPALLVDVPRDSNITAKVMESLNIPKGVRRVLFRTLNTDRRLMFKKEFDSSYVGFTTDGARWLAKNTDIKLVGIDFLSAACYDHSVPAHLEFFEGRVRKL >KJB16411 pep chromosome:Graimondii2_0_v6:2:58856574:58859059:1 gene:B456_002G229300 transcript:KJB16411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKNSGVSRDEEEEVEVENHSSSNEKSLYEVLNVAKTASQQEIKKAYYKLALRLHPDKNPADEEAKEKFQQLQKVISILGDEEKRAVYDQTGCVDDADLAGDVVENLKTFFRAMYKKVTEADIEEFEVNYRGSDSEKKDLFDLYKKCKGNMNKLFCSMLCSDPKLDSHRFKDLLDEAIAAGELKETKAYGKWANKVSEMKPPTSPLRRKEKSVKQSESDLLAIISQRRSERKDRFDSMFSTLVSKYGGNADSEPTEEEFEAARRKVESRKASNKSKHK >KJB15030 pep chromosome:Graimondii2_0_v6:2:33045135:33045396:-1 gene:B456_002G1561002 transcript:KJB15030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGVYRSIEHKVVPNSKKERTSVAFFINPKLEADVGPSPNLINPNNPPLFKRVGMEQYVQDFFSHKFNGKAYLQHMRINDERNDNPA >KJB14036 pep chromosome:Graimondii2_0_v6:2:15877793:15883584:1 gene:B456_002G112500 transcript:KJB14036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQQILGPSSGSSSSSGFQYMNSPFGDTTYTKVFVGGLAWETQSETMRRYFEQFGEIVEAVVITDKNTGRSKGYGFVTFRDPESARRACADPTPIIDGRRANCNLASLGRPRPPVPYGINSNLSGRLRPPSPYIGSVPRGAYVGSIGYQPPLPYNYQQGLMYPSYGYATYGPEYVYPQGAYNPYVAQQYLQIYGVPGAVNPVIYPYGQLGQTVPSGHGYTAVQGYAMPSHQIVQFGGAVANAITTSPMPTIQTPYPGGIAASVPTQPQFIVTTPQFMQGSGSDQTTG >KJB14038 pep chromosome:Graimondii2_0_v6:2:15877795:15883584:1 gene:B456_002G112500 transcript:KJB14038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQQILGPSSGSSSSSGFQYMNSPFGDTTYTKVFVGGLAWETQSETMRRYFEQFGEIVEAVVITDKNTGRSKGYGFVTFRDPESARRACADPTPIIDGRRANCNLASLGRPRPPVPYGRLRPPSPYIGSVPRGAYVGSIGYQPPLPYNYQQGLMYPSYGYATYGPEYVYPQGAYNPYVAQQYLQIYGVPGAVNPVIYPYGQLGQTVPSGHGYTAVQGYAMPSHQIVQFGGAVANAITTSPMPTIQTPYPGGIAASVPTQPQFIVTTPQFMQGSGSDQTTG >KJB14040 pep chromosome:Graimondii2_0_v6:2:15877795:15883584:1 gene:B456_002G112500 transcript:KJB14040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQQILGPSSGSSSSSGFQYMNSPFGDTTYTKVFVGGLAWETQSETMRRYFEQFGEIVEAVVITDKNTGRSKGYGFVTFRDPESARRACADPTPIIDGRRANCNLASLGRPRPPVPYGRLRPPSPYIGSVPRGAYVGSIGYQPPLPYNYQQGLMYPSYGYATYGPEYVYPQGAYNPYVAQQYLQIYGVPGAVNPVIYPYGQLGQTVPSGHGYTAVQGYAMPSHQIVQFGGAVANAITTSPMPTIQTPYPGGIAASVPTQPQFIVTTPQFMQGSGSDQTTG >KJB14035 pep chromosome:Graimondii2_0_v6:2:15877786:15883584:1 gene:B456_002G112500 transcript:KJB14035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQQILGPSSGSSSSSGFQYMNSPFGDTTYTKVFVGGLAWETQSETMRRYFEQFGEIVEAVVITDKNTGRSKGYGFVTFRDPESARRACADPTPIIDGRRANCNLASLGRPRPPVPYGRLRPPSPYIGSVPRGAYVGSIGYQPPLPYNYQQGLMYPSYGYATYGPEYVYPQGAYNPYVAQQYLQIYGVPGAVNPVIYPYGQLGQTVPSGHGYTAVQGYAMPSHQIVQFGGAVANAITTSPMPTIQTPYPGGIAASVPTQPQFIVTTPQFMQGSGSDQTTG >KJB14039 pep chromosome:Graimondii2_0_v6:2:15877795:15882689:1 gene:B456_002G112500 transcript:KJB14039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQQILGPSSGSSSSSGFQYMNSPFGDTTYTKVFVGGLAWETQSETMRRYFEQFGEIVEAVVITDKNTGRSKGYGFVTFRDPESARRACADPTPIIDGRRANCNLASLGRPRPPVPYGRLRPPSPYIGSVPRGAYVGSIGYQPPLPYNYQQGLMYPSYGYATYGPEYVYPQGAYNPYVAQQYLQIYGVPGAVNPVIYPYGQLGQTVPSGHGYTAVQGYAMPSHQIVQFGGAVANAITTSPMPTIQTPYPGGIAASVPTQPQFIVTTPQFMQGSGSDQTTG >KJB14037 pep chromosome:Graimondii2_0_v6:2:15877795:15881473:1 gene:B456_002G112500 transcript:KJB14037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQQILGPSSGSSSSSGFQYMNSPFGDTTYTKVFVGGLAWETQSETMRRYFEQFGEIVEAVVITDKNTGRSKGYGFVTFRDPESARRACADPTPIIDGRRANCNLASLGRPRPPVPYGRLRPPSPYIGSVPRGAYVGSIGYQPPLPYNYQQGLMYPSYGYATYGPEYVYPQVIGISKCNVVKKLEQVMLVS >KJB16199 pep chromosome:Graimondii2_0_v6:2:56739581:56743380:-1 gene:B456_002G217000 transcript:KJB16199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGLNPFVFASTPSKLNPLETYFLKPNMFPLIVFTLLSHLTISSCSASLHADFHVLVALKNGFQFPESLLSSWNSSNPSSVCSWSGVQCYGGRVVSLDLTDMNMCGYVSPQVSRLDKLTNLSLAGNNFTGNIEIANISDLRFLNISNNQFNGHLDWNYASISNLEVFDAYNNNFTAVLPVGVVGLKKLRYLDLGGNYFYGTIPPSYGTLVGLEYLSLAGNDLNGKIPGELGNLVNLREIYLGYYNVFQGGIPSELGNLVNLVHMDLSSCELDGPIPHELGKLLLDTLYLHINLLSGPIPKQLGNVTNLVNLDLSHNALSGEIPPEFTNLKQLRLLNLFMNTLHGSIPHLVADLPNLETLGLWMNNFTGVIPENLGRNGRLQVLDLSSNKLTGTIPPHLCASNELRILVLMKNFLFGSIPEELGRCYSLTRVRLGQNYLNGTIPNGFIYLPQLNLAELHNNYLSGTLAENGNMSSKPVKLGQLNLSNNLLSGPLPSSLSNLSSLEILLLSGNQFSGPIPPSIGELMQVLKLDVSRNSLSGIIPPEIGNCFHLTYLDMSLNNLSGSIPLEISDLHILSYLNVSRNHLNEGIPKSIGSMKSLTVADFSFNNFSGKLPESGQFAVFNASSFAGNPQLCGSLLNNPCNFSAVTSTPRKAPRDFKLIFALGLLICSLIFATAAIIKAKSFKKNGSNSWKMTAFQNLEFTVSEILDCVKDGNVIGRGGAGIVYHGKMPNGMEIAVKKLLGLGTSRHDHGFQAEIQTLGNIRHRNIVRLLAFCSNKETNLLVYEYMRNGSLGEALHGKKKGAFLGWNLRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSSFEAHVADFGLAKFLVDGGASECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLEMITGRKPVGEFGEGVDIVQWAKRSTNCRREEVSNIVDRRLTSVPKDEAMHLLFIAMLCVQENSIERPTMREVVQMLSEFARHSLDSQSSSSSSSFIQKKF >KJB16198 pep chromosome:Graimondii2_0_v6:2:56739578:56743380:-1 gene:B456_002G217000 transcript:KJB16198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGLNPFVFASTPSKLNPLETYFLKPNMFPLIVFTLLSHLTISSCSASLHADFHVLVALKNGFQFPESLLSSWNSSNPSSVCSWSGVQCYGGRVVSLDLTDMNMCGYVSPQVSRLDKLTNLSLAGNNFTGNIEIANISDLRFLNISNNQFNGHLDWNYASISNLEVFDAYNNNFTAVLPVGVVGLKKLRYLDLGGNYFYGTIPPSYGTLVGLEYLSLAGNDLNGKIPGELGNLVNLREIYLGYYNVFQGGIPSELGNLVNLVHMDLSSCELDGPIPHELGKLLLDTLYLHINLLSGPIPKQLGNVTNLVNLDLSHNALSGEIPPEFTNLKQLRLLNLFMNTLHGSIPHLVADLPNLETLGLWMNNFTGVIPENLGRNGRLQVLDLSSNKLTGNPQLCGSLLNNPCNFSAVTSTPRKAPRDFKLIFALGLLICSLIFATAAIIKAKSFKKNGSNSWKMTAFQNLEFTVSEILDCVKDGNVIGRGGAGIVYHGKMPNGMEIAVKKLLGLGTSRHDHGFQAEIQTLGNIRHRNIVRLLAFCSNKETNLLVYEYMRNGSLGEALHGKKKGAFLGWNLRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSSFEAHVADFGLAKFLVDGGASECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLEMITGRKPVGEFGEGVDIVQWAKRSTNCRREEVSNIVDRRLTSVPKDEAMHLLFIAMLCVQENSIERPTMREVVQMLSEFARHSLDSQSSSSSSSFIQKKF >KJB17084 pep chromosome:Graimondii2_0_v6:2:62452651:62459121:1 gene:B456_002G264300 transcript:KJB17084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGFKSMVYHGDFFLGELNTIPITDSNFQFPNNEIRIHHISPTSERCIPLSILHTISSFPVRCKLESPFPVEQPHLIHLHASCFYEFKTAVVLVGDEEVHLVAMPSKQKKFPCFWCFSVSTGLYNSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEVLRGWIARESDPIRLSGMSAELRRYIDDRLLLKQYAESDCVVDNGKMFKVQMEEVPPLSDGHEKVVRPVIRLQDRNIVLTRINPEIRDTSVLVRLRPAWDELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPGAHLIGSKQLLDRVVCVKSGSRKSLLNVFRDGKCHPQMAMVIDDRSKVWEDKDQPRVHVVPPFAPYYAPQAETANAVPVLCVARNVACNARGLFFKEFDENVLRKMSEVFYEDEVVNLPLAPDVSNYLMSEEASFASNGNNGAPICEGMNGAEVERRMNQSEEKHVLDSSTRPVTNNPELRSETSQPPVTDIVGPASSVAPLPSQKPSILGAPGLLSNPMMLGASVRRDNNGSEGDYDMKRRALGIKQSLDLRNQSSIQPPLLPKFPIQTSSSSVVPQGGWLVEEDINESHLNDRPSGTTQESDVLKSDKLRGYQNPFPHTAPGSVSTGLPSYASQVKIEEARTGLDTPKQNVLPTAHLSEIGGTQNHLPSITRELQSEGGKMNLLPSHLSIGVLQEIGRRCGSKVEFRSVVSTSKDLQFSVEVLFTGEKIGVGMGKTRRDAQQQAAELALHNLAEKYVAYIAPRSGAVDRDFNNLSLGTENGFLWDVNPASNEAIKEGFPKDNTSEAAEEPGSNSSSIANQPVEKRANSPRS >KJB17088 pep chromosome:Graimondii2_0_v6:2:62452422:62460677:1 gene:B456_002G264300 transcript:KJB17088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGFKSMVYHGDFFLGELNTIPITDSNFQFPNNEIRIHHISPTSERCIPLSILHTISSFPVRCKLESPFPVEQPHLIHLHASCFYEFKTAVVLVGDEEVHLVAMPSKQKKFPCFWCFSVSTGLYNSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEVLRGWIARESDPIRLSGMSAELRRYIDDRLLLKQYAESDCVVDNGKMFKVQMEEVPPLSDGHEKVVRPVIRLQDRNIVLTRINPEIRDTSVLVRLRPAWDELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPGAHLIGSKQLLDRVVCVKSGSRKSLLNVFRDGKCHPQMAMVIDDRSKVWEDKDQPRVHVVPPFAPYYAPQAETANAVPVLCVARNVACNARGLFFKEFDENVLRKMSEVFYEDEVVNLPLAPDVSNYLMSEEASFASNGNNGAPICEGMNGAEVERRMNQSEEKHVLDSSTRPVTNNPELRSETSQPPVTDIVGPASSVAPLPSQKPSILGAPGLLSNPMMLGASVRRDNNGSEGDYDMKRRALGIKQSLDLRNQSSIQPPLLPKFPIQTSSSSVVPQGGWLVEEDINESHLNDRPSGTTQESDVLKSDKLRGYQNPFPHTAPGSVSTGLPSYASQVKIEEARTGLDTPKQNVLPTAHLSEIGGTQNHLPSITRELQSEGGKMNLLPSHLSIGVLQEIGRRCGSKVEFRSVVSTSKDLQFSVEVLFTGEKIGVGMGKTRRDAQQQAAELALHNLAEKYVAYIAPRSGAVDRDFNNLSLGTENGFLWDVNPASNEAIKEGFPKDNTSEAAEEPGSNSSSIANQPVEKRANSPRFSESMPSKRSKEGVLRRLGSSLSSSRQPKNEHTIS >KJB17086 pep chromosome:Graimondii2_0_v6:2:62452455:62460677:1 gene:B456_002G264300 transcript:KJB17086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGFKSMVYHGDFFLGELNTIPITDSNFQFPNNEIRIHHISPTSERCIPLSILHTISSFPVRCKLESPFPVEQPHLIHLHASCFYEFKTAVVLVGDEEVHLVAMPSKQKKFPCFWCFSVSTGLYNSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEVLRGWIARESDPIRLSGMSAELRRYIDDRLLLKQYAESDCVVDNGKMFKVQMEEVPPLSDGHEKVVRPVIRLQDRNIVLTRINPEIRDTSVLVRLRPAWDELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPGAHLIGSKQLLDRVVCVKSGSRKSLLNVFRDGKCHPQMAMVIDDRSKVWEDKDQPRVHVVPPFAPYYAPQAETANAVPVLCVARNVACNARGLFFKEFDENVLRKMSEVFYEDEVVNLPLAPDVSNYLMSEEASFASNGNNGAPICEGMNGAEVERRMNQSEEKHVLDSSTRPVTNNPELRSETSQPPVTDIVGPASSVAPLPSQKPSILGAPGLLSNPMMLGASVRRDNNGSEGDYDMKRRALGIKQSLDLRNQSSIQPPLLPKFPIQTSSSSVVPQGGWLVEEDINESHLNDRPSGTTQESDVLKSDKLRGYQNPFPHTAPGSVSTGLPSYASQVKIEEARTGLDTPKQNVLPTAHLSEIGGTQNHLPSITRELQSEGGKMNLLPSHLSIGVLQEIGRRCGSKVEFRSVVSTSKDLQFSVEVLFTGEKIGVGMGKTRRDAQQQAAELALHNLAEKYVAYIAPRSGAVDRDFNNLSLGTENGFLWDVNPASNEAIKEGFPKDNTSEVGIPDDAFIT >KJB17085 pep chromosome:Graimondii2_0_v6:2:62452422:62460677:1 gene:B456_002G264300 transcript:KJB17085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGFKSMVYHGDFFLGELNTIPITDSNFQFPNNEIRIHHISPTSERCIPLSILHTISSFPVRCKLESPFPVEQPHLIHLHASCFYEFKTAVVLVGDEEVHLVAMPSKQKKFPCFWCFSVSTGLYNSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEVLRGWIARESDPIRLSGMSAELRRYIDDRLLLKQYAESDCVVDNGKMFKVQMEEVPPLSDGHEKVVRPVIRLQDRNIVLTRINPEIRDTSVLVRLRPAWDELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPGAHLIGSKQLLDRVVCVKSGSRKSLLNVFRDGKCHPQMAMVIDDRSKVWEDKDQPRVHVVPPFAPYYAPQAETANAVPVLCVARNVACNARGLFFKEFDENVLRKMSEVFYEDEVVNLPLAPDVSNYLMSEEASFASNGNNGAPICEGMNGAEVERRMNQSEEKHVLDSSTRPVTNNPELRSETSQPPVTDIVGPASSVAPLPSQKPSILGAPGLLSNPMMLGASVRRDNNGSEGDYDMKRRALGIKQSLDLRNQSSIQPPLLPKFPIQTSSSSVVPQGGWLVEEDINESHLNDRPSGTTQESDVLKSDKLRGYQNPFPHTAPGSVSTGLPSYASQVKIEEARTGLDTPKQNVLPTAHLSEIGGTQNHLPSITRELQSEGGKMNLLPSHLSIGVLQEIGRRCGSKVEFRSVVSTSKDLQFSVEVLFTGEKIGVGMGKTRRDAQQQAAELALHNLAEKYVAYIAPRSGAVDRDFNNLSLGTENGFLWDVNPASNEAIKEGFPKDNTSEAAEEPGSNSSSIANQPVEKRANSPRFSESMPSKRSKEGVLRRLGSSLSSSRQPKNEHTIS >KJB17087 pep chromosome:Graimondii2_0_v6:2:62452455:62460677:1 gene:B456_002G264300 transcript:KJB17087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGFKSMVYHGDFFLGELNTIPITDSNFQFPNNEIRIHHISPTSERCIPLSILHTISSFPVRCKLESPFPVEQPHLIHLHASCFYEFKTAVVLVGDEEVHLVAMPSKQKKFPCFWCFSVSTGLYNSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEVLRGWIARESDPIRLSGMSAELRRYIDDRLLLKQYAESDCVVDNGKMFKVQMEEVPPLSDGHEKVVRPVIRLQDRNIVLTRINPEIRDTSVLVRLRPAWDELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPGAHLIGSKQLLDRVVCVKSGSRKSLLNVFRDGKCHPQMAMVIDDRSKVWEDKDQPRVHVVPPFAPYYAPQAETANAVPVLCVARNVACNARGLFFKEFDENVLRKMSEVFYEDEVVNLPLAPDVSNYLMSEEASFASNGNNGAPICEGMNGAEVERRMNQSEEKHVLDSSTRPVTNNPELRSETSQPPVTDIVGPASSVAPLPSQKPSILGAPGLLSNPMMLGASVRRDNNGSEGDYDMKRRALGIKQSLDLRNQSSIQPPLLPKFPIQTSSSSVVPQGGWLVEEDINESHLNDRPSGTTQESDVLKSDKLRGYQNPFPHTAPGSVSTGLPSYASQVKIEEARTGLDTPKQNVLPTAHLSEIGGTQNHLPSITRELQSEGGKMNLLPSHLSIGVLQEIGRRCGSKVEFRSVVSTSKDLQFSVEVLFTGEKIGVGMGKTRRDAQQQAAELALHNLAEKYVAYIAPRSGAVDRDFNNLSLGTENGFLWDVNPASNEAIKEGFPKDNTSEVGIPDDAFIT >KJB13394 pep chromosome:Graimondii2_0_v6:2:8457581:8463833:-1 gene:B456_002G072300 transcript:KJB13394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MNCRFDLIRMKGVSISSNPNEAALKELLDAFGSKFSLEDIATAYYEAKGNVSITADILYARNNGRTSKEAIDTYENKSAGANTTSVKLLSGWESETAASPKYTSSNLSSEALKSKRGSVSMGSVSSLIGKNYVKPRPSRMDSPDTTKPVKIDSKEFPASLIWNEEAPSCRTTRNNTTNGDLEKFLFEMLGDGFQLDKSVIQEVLDCCGFDVDKSMDKLLDMSASTLEKSDDVIGIAAEKITGNCLDQTFLIREKLQSKEFSQSEEATPMIRNSKRSPRGNQDKAALEKEILQALFTVPERSGEETKGNNAVREIRRSMALGELVTEPLKDTDISFPTVVEMLKVPKDVEDGPDDNEITYDSLRQAVKEYWVTMKEYYKSAAEAYTSGDKARATELMELGHFFNKRAREADEKSSAKMLESSCSEEEIMSLDLRDFEPKEALSLLRTHLLSISGIPTFKYLRIIVGTIEEDTKKGARRRLIMRQLEKESIKWTEEENGRIILIQVDVINPKNLSFAKKNQTNLGSS >KJB13397 pep chromosome:Graimondii2_0_v6:2:8458287:8462538:-1 gene:B456_002G072300 transcript:KJB13397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MNCRFDLIRMKGVSISSNPNEAALKELLDAFGSKFSLEDIATAYYEAKGNVSITADILYARNNGRTSKEAIDTYENKSAGANTTSVKLLSGWESETAASPKYTSSNLSSEALKSKRGSVSMGSVSSLIGKNYVKPRPSRMDSPDTTKPVKIDSKEFPASLIWNEEAPSCRTTRNNTTNGDLEKFLFEMLGDGFQLDKSVIQEVLDCCGFDVDKSMDKLLDMSASTLEKSDDVIGIAAEKITGNCLDQTFLIREKLQSKEFSQSTLCSEEATPMIRNSKRSPRGNQDKAALEKEILQALFTVPERSGEETKGNNAVREIRRSMALGELVTEPLKDTDISFPTVVEMLKVPKDVEDGPDDNEITYDSLRQAVKEYWVTMKEYYKSAAEAYTSGDKARATELMELGHFFNKRAREADEKSSAKMLESSCSEEEIMSLDLRDFEPKEALSLLRTHLLSISGIPTFKYLRIIVGTIEEDTKKGARRRLIMRQLEKESIKWTEEENGRIILIQVDVINPKNLSFAKKNQTNLGSS >KJB13396 pep chromosome:Graimondii2_0_v6:2:8457581:8464195:-1 gene:B456_002G072300 transcript:KJB13396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MNCRFDLIRMKGVSISSNPNEAALKELLDAFGSKFSLEDIATAYYEAKGNVSITADILYARNNGRTSKEAIDTYENKSAGANTTSVKLLSGWESETAASPKYTSSNLSSEALKSKRGSVSMGSVSSLIGKNYVKPRPSRMDSPDTTKPVKIDSKEFPASLIWNEEAPSCRTTRNNTTNGDLEKFLFEMLGDGFQLDKSVIQEVLDCCGFDVDKSMDKLLDMSASTLEKSDDVIGIAAEKITGNCLDQTFLIREKLQSKEFSQSEEATPMIRNSKRSPRGNQDKAALEKEILQALFTVPERSGEETKGNNAVREIRRSMALGELVTEPLKDTDISFPTVVEMLKVPKDVEDGPDDNEITYDSLRQAVKEYWVTMKEYYKSAAEAYTSGDKARATELMELGHFFNKRAREADEKSSAKMLESSCSEEEIMSLDLRDFEPKEALSLLRTHLLSISGIPTFKYLRIIVGTIEEDTKKGARRRLIMRQLEKESIKWTEEENGRIILIQVDVINPKNLSFAKKNQTNLGSS >KJB13399 pep chromosome:Graimondii2_0_v6:2:8457581:8464195:-1 gene:B456_002G072300 transcript:KJB13399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MNCRFDLIRMKGVSISSNPNEAALKELLDAFGSKFSLEDIATAYYEAKGNVSITADILYARNNGRTSKEAIDTYENKSAGANTTSVKLLSGWESETAASPKYTSSNLSSEALKSKRGSVSMGSVSSLIGKNYVKPRPSRMDSPDTTKPVKIDSKEFPASLIWNEEAPSCRTTRNNTTNGDLEKFLFEMLGDGFQLDKSVIQEVLDCCGFDVDKSMDKLLDMSASTLEKSDDVIGIAAEKITGNCLDQTFLIREKLQSKEFSQSEEATPMIRNSKRSPRGNQDKAALEKEILQALFTVPERSGEETKGNNAVREIRRSMALGELVTEPLKDTDISFPTVVEMLKVPKDVEDGPDDNEITYDSLRQAVKEYWVTMKEYYKSAAEAYTSGDKARATELMELGHFFNKRAREADEKSSAKMLESSCSEEEIMSLDLRDFEPKEALSLLRTHLLSISGIPTFKYLRIIVGTIEEDTKKGARRRLIMRQLEKESIKWTEEENGRIILIQVDVINPKNLSFAKKNQTNLGSS >KJB13398 pep chromosome:Graimondii2_0_v6:2:8458287:8462896:-1 gene:B456_002G072300 transcript:KJB13398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MNCRFDLIRMKGVSISSNPNEAALKELLDAFGSKFSLEDIATAYYEAKGNVSITADILYARNNGRTSKEAIDTYENKSAGANTTSVKLLSGWESETAASPKYTSSNLSSEALKSKRGSVSMGSVSSLIGKNYVKPRPSRMDSPDTTKPVKIDSKEFPASLIWNEEAPSCRTTRNNTTNGDLEKFLFEMLGDGFQLDKSVIQEVLDCCGFDVDKSMDKLLDMSASTLEKSDDVIGIAAEKITGNCLDQTFLIREKLQSKEFSQSTLCSEEATPMIRNSKRSPRGNQDKAALEKEILQALFTVPERSGEETKGNNAVREIRRSMALGELVTEPLKDTDISFPTVVEMLKVPKDVEDGPDDNEITYDSLRQAVKEYWVTMKEYYKSAAEAYTSGDKARATELMELGHFFNKRAREADEKSSAKMLESSCSEEEIMSLDLRDFEPKEALSLLRTHLLSISGIPTFKYLRIIVGTIEEDTKKGARRRLIMRQLEKESIKWTEEENGRIILIQVDVINPKNLSFAKKNQTNLGSS >KJB13395 pep chromosome:Graimondii2_0_v6:2:8458287:8462538:-1 gene:B456_002G072300 transcript:KJB13395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MNCRFDLIRMKGVSISSNPNEAALKELLDAFGSKFSLEDIATAYYEAKGNVSITADILYARNNGRTSKEAIDTYENKSAGANTTSVKLLSGWESETAASPKYTSSNLSSEALKSKRGSVSMGSVSSLIGKNYVKPRPSRMDSPDTTKPVKIDSKEFPASLIWNEEAPSCRTTRNNTTNGDLEKFLFEMLGDGFQLDKSVIQEVLDCCGFDVDKSMDKLLDMSASTLEKSDDVIGIAAEKITGNCLDQTFLIREKLQSKEFSQSTLCSEEATPMIRNSKRSPRGNQDKAALEKEILQALFTVPERSGEETKGNNAVREIRRSMALGELVTEPLKDTDISFPTVVEMLKVPKDVEDGPDDNEITYDSLRQAVKEYWVTMKEYYKSAAEAYTSGDKARATELMELGHFFNKRAREADEKSSAKMLESSCSEEEIMSLDLRDFEPKEALSLLRTHLLSISGIPTFKYLRIIVGTIEEDTKKGARRRLIMRQLEKESIKWTEEENGRIILIQVDVINPKNLSFAKKNQTNLGSS >KJB15281 pep chromosome:Graimondii2_0_v6:2:41942891:41945368:-1 gene:B456_002G1686001 transcript:KJB15281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIDIVFQWSLEDIFNDNLYKDQVEMIPVSFQSVEQYFGSYLLPLLDKTRAALRSSMEVIARAPYAEVTYLNESKSHGTLLLDVNVDYWRNRFSDREKEPYKTLPGDVFVIANVKPETASDLQRVGRTWIFALVTNIQEDDDEDNSSSTSFKVKALEDFVSKDEAQKSLFVVHLTNLTTNTRIWSALHMERNLKIIKEVLHADSMVAESCSLCSSDIGGNWNEIFLKNLLSKLNESQKKSLVACLNKMLCNHKSHVELIWGPPGTGKTKTVSV >KJB15280 pep chromosome:Graimondii2_0_v6:2:41942891:41945008:-1 gene:B456_002G1686001 transcript:KJB15280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIDIVFQWSLEDIFNDNLYKDQVEMIPVSFQSVEQYFGSYLLPLLDKTRAALRSSMEVIARAPYAEVTYLNESKSHGTLLLDVNVDYWRNRFSDREKEPYKTLPGDVFVIANVKPETASDLQRVGRTWIFALVTNIQEDDDEDNSSSTSFKVKALEDFVSKDEAQKSLFVVHLTNLTTNTRIWSALHMERNLKIIKEVLHADSMVAESCSLCSSDIGGNWNEIFLKNLLSKLNESQKKSLVACLNKMLCNHKSHVELIWGPPGTGKTKTV >KJB16357 pep chromosome:Graimondii2_0_v6:2:58155783:58157064:-1 gene:B456_002G225700 transcript:KJB16357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEMALVKPISKFGTSSPIFSNSRYGKFTTVRMSSTSQSTAKAAAKGGKKAAKTAIKETLLTPRFYTTDFDEMEALFNTEINKNLNQSEFEALLQEFKTDYNQTHFVRNKEFKEAADKIDGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKENPEYQCYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLDTKEFDMHVIIEVRFWFHCYSVRPWHITFNEL >KJB16358 pep chromosome:Graimondii2_0_v6:2:58155113:58157116:-1 gene:B456_002G225700 transcript:KJB16358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEMALVKPISKFGTSSPIFSNSRYGKFTTVRMSSTSQSTAKAAAKGGKKAAKTAIKETLLTPRFYTTDFDEMEALFNTEINKNLNQSEFEALLQEFKTDYNQTHFVRNKEFKEAADKIDGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKENPEYQCYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLDTKEFDMHVIIEVRFWFHCYSTNRTTARIFPAVLDVENPEFKRRLDKMVEINEQLLAVGETSDIPLVKNLKRIPLIAALASELLATYLMPPIESGSVDFAEFEPQLVY >KJB16359 pep chromosome:Graimondii2_0_v6:2:58155113:58157125:-1 gene:B456_002G225700 transcript:KJB16359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEMALVKPISKFGTSSPIFSNSRYGKFTTVRMSSTSQSTAKAAAKGGKKAAKTAIKETLLTPRFYTTDFDEMEALFNTEINKNLNQSEFEALLQEFKTDYNQTHFVRNKEFKEAADKIDGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKENPEYQCYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRRLDKMVEINEQLLAVGETSDIPLVKNLKRIPLIAALASELLATYLMPPIESGSVDFAEFEPQLVY >KJB16534 pep chromosome:Graimondii2_0_v6:2:59497522:59509754:-1 gene:B456_002G234600 transcript:KJB16534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVSQILASPHTSIPCNMENRPKADFHPGIWGDIFLNCPNEDIDTATQLRYEELKEEVRRMLVAPMGDSSNQKLPLIDAVQRLGVYYHFEEEIEDALEATYHDNNDVDNDLYTTSLRFRLLREHGFTVSCEVFDKFKDEEGNFMSSITTDVKGLLELYEASYMRVHGEDILDEAISFTTTHLTLAAPTLEYPLSEQVAHALKQSIRRGLPRVEARRYISIYQDIESHNKALLEFAKIDFNLLQLLHRKELSEICRWWKDLDFTKKLPFARDRVVEGYFWIMGVYFEPQYSLGRKMMTKVIAMASIVDDTYDSYATYDELIPYTNAIERWDIKCMDELPEFMKISYKALLDVYEEMEQLTAEQGRQYRVEYARKAMIRLAQAYLLEAKWTHQNYKPTFEEFRDNALPTSGYGMLAITAFVGMGDVITPETFAWATNDPKIIKASTIICRFMDDIAEHKFKHRREDDCSAIECYMEQYGVTAQEAYDEFNKHIESSWKDVNEEFLKPTEMPVPVLNRSLNLARVMDVLYREGDGYTHVGKAAKGGITSLLIDPIPL >KJB16533 pep chromosome:Graimondii2_0_v6:2:59497295:59509747:-1 gene:B456_002G234600 transcript:KJB16533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVSQILASPHTSIPCNMENRPKADFHPGIWGDIFLNCPNEDIDTATQLRYEELKEEVRRMLVAPMGDSSNQKLSLIDAVQRLGVYYHFEEEIEDALEATYHDNNDVDNDLYTTSLRFRLLREHGFTVSCEVFDKFKDEEGNFMSSITTDVKGLLELYEASYMRVHGEDILDEAISFTTTHLTLAAPTLEYPLSEQVAHALKQSIRRGLPRVEARRYISIYQDIESHNKALLEFAKIDFNLLQLLHRKELSEICRWWKDLDFTKKLPFARDRVVEGYFWIMGVYFEPQYSLGRKMMTKVIAMASIVDDTYDSYATYDELIPYTNAIERWDIKCMDELPEFMKISYKALLDVYEEMEQLTAEQGRQYRVEYARKAMIRLAQAYLLEAKWTHQNYKPTFEEFRDNALPTSGYGMLAITAFVGMGDVITPETFAWATNDPKIIKASTIICRFMDDIAEHKFKHRREDDCSAIECYMEQYGVTAQEAYDEFNKHIESSWKDVNEEFLKPTEMPVPVLNRSLNLARVMDVLYREGDGYTHVGKAAKGGITSLLIDPIPL >KJB16532 pep chromosome:Graimondii2_0_v6:2:59497295:59509032:-1 gene:B456_002G234600 transcript:KJB16532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHGEDILDEAISFTTTHLTLAAPTLEYPLSEQVAHALKQSIRRGLPRVEARRYISIYQDIESHNKALLEFAKIDFNLLQLLHRKELSEICRWWKDLDFTKKLPFARDRVVEGYFWIMGVYFEPQYSLGRKMMTKVIAMASIVDDTYDSYATYDELIPYTNAIERWDIKCMDELPEFMKISYKALLDVYEEMEQLTAEQGRQYRVEYARKAMIRLAQAYLLEAKWTHQNYKPTFEEFRDNALPTSGYGMLAITAFVGMGDVITPETFAWATNDPKIIKASTIICRFMDDIAEHKFKHRREDDCSAIECYMEQYGVTAQEAYDEFNKHIESSWKDVNEEFLKPTEMPVPVLNRSLNLARVMDVLYREGDGYTHVGKAAKGGITSLLIDPIPL >KJB16535 pep chromosome:Graimondii2_0_v6:2:59507321:59509688:-1 gene:B456_002G234600 transcript:KJB16535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVSQILASPHTSIPCNMENRPKADFHPGIWGDIFLNCPNEDIDTATQLRYEELKEEVRRMLVAPMGDSSNQKLSLIDAVQRLGVYYHFEEEIEDALEATYHDNNDVDNDLYTTSLRFRLLREHGFTVSCEVFDKFKDEEGNFMSSITTDVKGLLELYEASYMRVHGEDILDEAISFTTTHLTLAAPTLEYPLSEQVAHALKQSIRRGLPRVEARRYISIYQDIESHNKALLEFAKIDFNLLQLLHRKELSEICRWWKDLDFTKKLPFARDRVVEGYFWIMGVYFEPQYSLGRKMMTKVIAMASIVDDTYDSYATYDELIPYTNAIERWDIKCMDELPEFMKISYKALLDVYEEMEQLTAEQGRQYRVEYARKAMIRLAQAYLLEAKWTHQNYKPTFEEFRDNALPTSGYGMLAITAFVGMGDAITPETFAWATNDPKIIKASTIICRFMDDIAEHKFKHRREDDCSAIECYMEQYGVTAQEAYDEFNKHIESSWKDINEEFLKPTEMPVPVLNRSLNLARVMDVLYREGDGYTHVGKAAKGGITSLLIDPIPL >KJB15459 pep chromosome:Graimondii2_0_v6:2:46776777:46780350:1 gene:B456_002G179000 transcript:KJB15459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWNDLYTVLTAVIPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKLIMLFALGLWTNLTRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGPYSGMLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGEDGKLHVKVRKSNASRRSLGPCSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNNSDFYSMMGVQGFPARHSNFGPADLYSVQSSRGPTPRPSNFEENNTVMSPRFGFYPAQTVPSSYPAPNPEFSSVTKNAKATQQQQQQPVQPQQQPKEKENNKENHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGVSEQSGRSEQGAKEIRMLVADHPQNGENKACEGMAGSGDVNGEDFSFAGRDGEEEREKEGPNGLNKLGSSSTAELHPKAAGGPESGVGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLIAFRWHVSMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAVRFLTGPAVMAAASIAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYILLGL >KJB15460 pep chromosome:Graimondii2_0_v6:2:46776777:46779082:1 gene:B456_002G179000 transcript:KJB15460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWNDLYTVLTAVIPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKLIMLFALGLWTNLTRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGPYSGMLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGEDGKLHVKVRKSNASRRSLGPCSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNNSDFYSMMGVQGFPARHSNFGPADLYSVQSSRGPTPRPSNFEENNTVMSPRFGFYPAQTVPSSYPAPNPEFSSVTKNAKATQQQQQQPVQPQQQPKEKENNKENHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGVSEQSGRSEQGAKEIRMLVADHPQNGENKGMAGSGDVNGEDFSFAGRDGEEEREKEGPNGLNKLGSSSTAELHPKAAGGPESGVGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLIAFRWHVSMPKIIEKSISILSDAGLGMAMFSLGEHFCYLLYLQLIIFGKKEKGKFICLDLNKIS >KJB15455 pep chromosome:Graimondii2_0_v6:2:46777011:46778719:1 gene:B456_002G179000 transcript:KJB15455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWNDLYTVLTAVIPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKLIMLFALGLWTNLTRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGPYSGMLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGEDGKLHVKVRKSNASRRSLGPCSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNNSDFYSMMGVQGFPARHSNFGPADLYSVQSSRGPTPRPSNFEENNTVMSPRFGFYPAQTVPSSYPAPNPEFSSVTKNAKATQQQQQQPVQPQQQPKEKENNKENHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGVSEQSGRSEQGAKEIRMLVADHPQNGENKGMAGSGDVNGEDFSFAGRDGEEEREKEGPNGLNKLGSSSTAELHPKAAGGPESGVGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLIAFRSAHYLILRFKHYSTSPFFFFFTF >KJB15458 pep chromosome:Graimondii2_0_v6:2:46777011:46779514:1 gene:B456_002G179000 transcript:KJB15458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWNDLYTVLTAVIPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKLIMLFALGLWTNLTRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGPYSGMLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGEDGKLHVKVRKSNASRRSLGPCSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNNSDFYSMMGVQGFPARHSNFGPADLYSVQSSRGPTPRPSNFEENNTVMSPRFGFYPAQTVPSSYPAPNPEFSSVTKNAKATQQQQQQPVQPQQQPKEKENNKENHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGVSEQSGRSEQGAKEIRMLVADHPQNGENKGMAGSGDVNGEDFSFAGRDGEEEREKEGPNGLNKLGSSSTAELHPKAAGGPESGVGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLIAFRWHVSMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAVRFLTGPAVMAAASIAVGLRGTLLRVAIVQVKKNPYPPRKL >KJB15456 pep chromosome:Graimondii2_0_v6:2:46776777:46780350:1 gene:B456_002G179000 transcript:KJB15456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWNDLYTVLTAVIPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKLIMLFALGLWTNLTRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGPYSGMLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGEDGKLHVKVRKSNASRRSLGPCSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNNSDFYSMMGVQGFPARHSNFGPADLYSVQSSRGPTPRPSNFEENNTVMSPRFGFYPAQTVPSSYPAPNPEFSSVTKNAKATQQQQQQPVQPQQQPKEKENNKENHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGVSEQSGRSEQGAKEIRMLVADHPQNGENKGMAGSGDVNGEDFSFAGRDGEEEREKEGPNGLNKLGSSSTAELHPKAAGGPESGVGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLIAFRWHVSMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAVRFLTGPAVMAAASIAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTAIVRVLS >KJB15454 pep chromosome:Graimondii2_0_v6:2:46776712:46780911:1 gene:B456_002G179000 transcript:KJB15454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWNDLYTVLTAVIPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKLIMLFALGLWTNLTRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGPYSGMLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGEDGKLHVKVRKSNASRRSLGPCSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNNSDFYSMMGVQGFPARHSNFGPADLYSVQSSRGPTPRPSNFEENNTVMSPRFGFYPAQTVPSSYPAPNPEFSSVTKNAKATQQQQQQPVQPQQQPKEKENNKENHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGVSEQSGRSEQGAKEIRMLVADHPQNGENKGMAGSGDVNGEDFSFAGRDGEEEREKEGPNGLNKLGSSSTAELHPKAAGGPESGVGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLIAFRWHVSMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAVRFLTGPAVMAAASIAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYILLGL >KJB15457 pep chromosome:Graimondii2_0_v6:2:46776777:46780350:1 gene:B456_002G179000 transcript:KJB15457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWNDLYTVLTAVIPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKLIMLFALGLWTNLTRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGPYSGMLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGEDGKLHVKVRKSNASRRSLGPCSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNNSDFYSMMGVQGFPARHSNFGPADLYSVQSSRGPTPRPSNFEENNTVMSPRFGFYPAQTVPSSYPAPNPEFSSVTKNAKATQQQQQQPEKENNKENHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGVSEQSGRSEQGAKEIRMLVADHPQNGENKGMAGSGDVNGEDFSFAGRDGEEEREKEGPNGLNKLGSSSTAELHPKAAGGPESGVGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLIAFRWHVSMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAVRFLTGPAVMAAASIAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYILLGL >KJB15949 pep chromosome:Graimondii2_0_v6:2:55178997:55183154:1 gene:B456_002G205800 transcript:KJB15949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPCYSVESFVSLVHPSISVDCSILRDCYESFAMYCFGRYLVACLGGEERTIAFMRRLGRASSKTPLLGHSCEKGTIKHPFPMKYFLKPWKLGRWFYQVVKFGIVQYMIIKILTALLAVILEAFGVYCEGEFNWECGYPYMAVVLNFSQTWAFYCLVQFYTVTKDELAPIKPLAKFLTFKSIVFLTWWQGVAIALFYALDLFRHPVTEGLHLKSSVQDFIICIEMGIASVVHLYVFPSKPYELMGDPIPGRVSVLGDYACADCAPDPDEIRESERPTKLRLPQPDIEARSGMTIKESVKDVFIGGGEYVRPLCKWRLVDIITNVETALLFCRS >KJB15950 pep chromosome:Graimondii2_0_v6:2:55178997:55183154:1 gene:B456_002G205800 transcript:KJB15950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPCYSVESFVSLVHPSISVDCSILRDCYESFAMYCFGRYLVACLGGEERTIAFMRRLGRASSKTPLLGHSCEKGTIKHPFPMKYFLKPWKLGRWFYQVVKFGIVQYMIIKILTALLAVILEAFGVYCEGEFNWECGYPYMAVVLNFSQTWAFYCLVQFYTVTKDELAPIKPLAKFLTFKSIVFLTWWQGVAIALFYALDLFRHPVTEGLHLKSSVQDFIICIEMGIASVVHLYVFPSKPYELMGDPIPGRVSVLGDYACADCAPDPDEIRESERPTKLRLPQPDIEARSGMTIKESVKDVFIGGGEYIVNDVKFTVNQAVEPVEKGITKFNEKLHKIKDRRKTKDDSCIATAEPRVIRGIDDPLLTGSMSDSAVARGKKHCKKSGSTTSAESGDSSCYLNSGGYLIRGRRWVTKD >KJB15947 pep chromosome:Graimondii2_0_v6:2:55178878:55183156:1 gene:B456_002G205800 transcript:KJB15947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIDYLLGYSPPIWATFVAGVFSVITISLSLFLIFEHLSSYKNPEEQKFLIGVVLMVPCYSVESFVSLVHPSISVDCSILRDCYESFAMYCFGRYLVACLGGEERTIAFMRRLGRASSKTPLLGHSCEKGTIKHPFPMKYFLKPWKLGRWFYQVVKFGIVQYMIIKILTALLAVILEAFGVYCEGEFNWECGYPYMAVVLNFSQTWAFYCLVQFYTVTKDELAPIKPLAKFLTFKSIVFLTWWQGVAIALFYALDLFRHPVTEGLHLKSSVQDFIICIEMGIASVVHLYVFPSKPYELMGDPIPGRVSVLGDYACADCAPDPDEIRESERPTKLRLPQPDIEARSGMTIKESVKDVFIGGGEYIVNDVKFTVNQAVEPVEKGITKFNEKLHKIKDRRKTKDDSCIATAEPRVIRGIDDPLLTGSMSDSAVARGKKHCKKSGSTTSAESGDSSCYLNSGGYLIRGRRWVTKD >KJB15948 pep chromosome:Graimondii2_0_v6:2:55179320:55182201:1 gene:B456_002G205800 transcript:KJB15948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPCYSVESFVSLVHPSISVDCSILRDCYESFAMYCFGRYLVACLGGEERTIAFMRRLGRASSKTPLLGHSCEKGTIKHPFPMKYFLKPWKLGRWFYQVVKFGIVQYMIIKILTALLAVILEAFGVYCEGEFNWECGYPYMAVVLNFSQTWAFYCLVQFYTVTKDELAPIKPLAKFLTFKSIVFLTWWQGVAIALFYALDLFRHPVTEGLHLKSSVQDFIICIERRWALLLLFTYTFSLPNHMS >KJB14321 pep chromosome:Graimondii2_0_v6:2:16995304:16996206:-1 gene:B456_002G119300 transcript:KJB14321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFVKKAWYNEKKPAHMGTRPSVGQIRIQLFRIGLNLSDRQVINPFLVFRVWLRGEMGGGHGGSTTYKGLTLHHPKRWHVVTGKGLCAVMWFWVLYRAKQDGPVVLGWRHPWEGHDGHSHGHGDKH >KJB14322 pep chromosome:Graimondii2_0_v6:2:16994412:16996245:-1 gene:B456_002G119300 transcript:KJB14322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NVSDLWINGLGLADFVKKAWYNEKKPAHMGTRPSVGQIRIQLFRIGLNLSDRQVINPFLVFRVWLRGEMGGGHGGSTTYKGLTLHHPKRWHVVTGKGLCAVMWFWVLYRAKQDGPVVLGWRHPWEGHDGHSHGHGDKH >KJB14323 pep chromosome:Graimondii2_0_v6:2:16994412:16996206:-1 gene:B456_002G119300 transcript:KJB14323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFVKKAWYNEKKPAHMGTRPSVGQIRIQLFRIGLNLSDRQVINPFLVFRVWLRGEMGGGHGGSTTYKGLTLHHPKRWHVVTGKGLCAVMWFWVLYRAKQDGPVVLGWRHPWEGHDGHSHGHGDKH >KJB14324 pep chromosome:Graimondii2_0_v6:2:16994477:16996206:-1 gene:B456_002G119300 transcript:KJB14324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFVKKAWYNEKKPAHMGTRPSVGQIRIQLFRIGLNLSDRQVINPFLVFRVWLRGEMGGGHGGSTTYKGLTLHHPKRWHVVTGKGLCAVMWFWVLYRAKQDGPVVLGWRHPWEGHDGHSHGHGDKH >KJB13577 pep chromosome:Graimondii2_0_v6:2:10405183:10414133:1 gene:B456_002G082300 transcript:KJB13577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESILARALEYTLKYWLKSFSRDQFNLQGRTVQLSNLDINGDALHASMGLPPALNVATAKVGKLEIILPYVSNVQIEPIVVQIDRLDLVLEENSGVDSPRSSSGMQSSTSSGKSSGYGFADKIADGMTIQVQTVNLLLETRGGTRAKGGAAWAPPMASITMRNILLYTTNENWQAVNLKEARDFSSNKNFIYVFKKLEWESLSIDLLPHPDMFSDANLARSQVGSTQRDDDGAKRVFFGGECFLEGISGEAYITVQRTELNAPLGLEVQLHVTEAVCPALSEPGLRALLRFLTGLYVCLNRGDVDLNAQQRSVESAGRSLVSVVVDHIFLCIKDNEFQLELLMQSLLFSRSSVSDGENSRHLSKVMVGGLFLRDTFSRPPCTLVQPSMEAVTDSCLRIPNFGKDFCPPIYPLAEQQWQLTVGVPLIALHSLQVKPSPLPPSFASQTVIDCQPLMIHLQEESCLRISSFLADGIVVNPGSILPDSSVNSLVFTLKELDISVPLDIGKMDNPGGGDNHVRPKSFAGARLHFEKVFFSESPSLKLKLLNLEKDPACFCLWDGQPIDASMKKWTAGASQLSLSLETTAGLTGVQSSLRWSSGLWKCVELKEASIELAMVSADGSPLTVVPPPGGVVRIGVACEQFMSNTSVEQLFFVLDLYGYIGRVSEKIAVAGKNKRPNRNMDDTLGGRLMEKVPSDTAVSLAVNVLQLRFLESYSLDIQGTPLVQFIGNNLFLKVSHRTLGGAMAVSSTLCWEIVQVDCVETEGNVVHNNGMLVDSVENGSLVTGNGFSPLRAVFWVHNKQKCLSSGKASVIPFLDISIVHVIPFDERDKECHSLSVSACISGVRLGGGMNYTEALLHRFGIIGPDGGPSMELSKGLENLSSGPLSKLLKPSAFVDNDLVDGGTLGGEKDDKFLQLGMPDDVDVSIELQDWLFALEGVQEMAESWWFEKEVLDREQRCWHMTFRSLQVKAKGSPKDLPDGKGISNGIRRYPVELVTVCVEGLETFKPQAQRSPSPANGFKESFEILGGINLEVHMLISEDNVENETVNWVVENMKFSVKQPIEAIVTKEELQHLAFLCKSEVDSMGRIAAGVLRLLKLENSLGKDAIDKLSNLGIEGFDKIFSSDKLNRGNSAGSIGQSPLLSKVINEEQRSTIALLEEAVLDSQAKCATLVTELSNAESSEENLTDIKELRQKLDRMQILVAQLQGQM >KJB13153 pep chromosome:Graimondii2_0_v6:2:6775154:6780297:-1 gene:B456_002G059600 transcript:KJB13153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSQSRIENEEAVARCKERKQFMKEAVAARNAFAAAHSAYAMSLKNTGAALSDYAHGEVQNPNLPSPPGPSFVGPPPPLPPQPPVLPPPPPPVSLSGDPVVPIQRSASMPIQMPLKGKQRETSTTTIMEDDEEDDDLDAGGSLVKRKSRNYRGSGSGGRSRREKEEEEDEEEEIVTSTIVQARAMQSQPPQDSTYYYFFPAEGSVPGPSLGVVDEMRVDNGEDERKVFEEMPARIEEEVVEEEKLREEEVVVDKGLKTPVEVEKPVPPLSGVGKGSKKGGKMGVGSTVEKRLGKGSFNLLQVFTELDDHFLKASKSAHEVSKLLEATRLHYHSNFADNRGHLDHSQRVMRVITWNRSFKGLKLDNADNANDDFDSEDNETHATVLDKMLAWEKKLYDEVKAGELMKFEYQRKVATLNKLKKRGTNSEALEKAKAAVSHLHTRYIVDMQSMDSTVSEINRLRDQQLYPKLVELVEKMVIMWETMREEHDSQCRIVAILRDHLDLSQSAQETSEHHHERTIQLLAIVQDWHMQFCKLIDHQKEYIKALNNWLRLNLIPIESSLKEKVSSPPRVESPPIQRLIFAWQDKLDKLPDEIARSAINNFAHVIDAIMQHQLDEMKLKEKCEGSQKELKKKQQQFEDWYNKYMQRRTPEELDPTRTDDNSNNDAVTERQLMVDAVKKRLGEEEEAYRILRIQVREKSLVSLKTRLPELFRAMSTIAVACSKMYGELRAISRSRNPNHSS >KJB13152 pep chromosome:Graimondii2_0_v6:2:6775139:6780255:-1 gene:B456_002G059600 transcript:KJB13152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSQSRIENEEAVARCKERKQFMKEAVAARNAFAAAHSAYAMSLKNTGAALSDYAHGEVQNPNLPSPPGPSFVGPPPPLPPQPPVLPPPPPPVSLSGDPVVPIQRSASMPIQMPLKGKQRETSTTTIMEDDEEDDDLDAGGSLVKRKSRNYRGSGSGGRSRREKEEEEDEEEEIVTSTIVQARAMQSQPPQDSTYYYFFPAEGSVPGPSLGVVDEMRVDNGEDERKVFEEMPARIEEEVVEEEKLREEEVVVDKGLKTPVEVEKPVPPLSGVGKGSKKGGKMGVGSTVEKRLGKGSFNLLQVFTELDDHFLKASKSAHEVSKLLEATRLHYHSNFADNRGHLDHSQRVMRVITWNRSFKGLKLDNADNANDDFDSEDNETHATVLDKMLAWEKKLYDEVKAGELMKFEYQRKVATLNKLKKRGTNSEALEKAKAAVSHLHTRYIVDMQSMDSTVSEINRLRDQQLYPKLVELVEKMVIMWETMREEHDSQCRIVAILRDHLDLSQSAQETSEHHHERTIQLLAIVQDWHMQFCKLIDHQKEYIKALNNWLRLNLIPIESSLKEKVSSPPRVESPPIQRLIFAWQDKLDKLPDEIARSAINNFAHVIDAIMQHQLDEMKLKEKCEGSQKELKKKQQQFEDWYNKYMQRRTPEELDPTRTDDNSNNDAVTERQLMVDAVKKRLGEEEEAYRILRIQVREKSLVSLKTRLPELFRAMSTIAVACSKMYGELRAISRSRNPNHSS >KJB14029 pep chromosome:Graimondii2_0_v6:2:14613862:14616200:-1 gene:B456_002G107600 transcript:KJB14029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFRKLLKGESSCKKLGKKKASSSWLGVMTGDIEGKWASSRKMLYKSSIQKAKRILRIKTGLAKKRVMVESLMAAKQDAAKTVASLRSLNMRRNELRDKLNSAIDELVRWRALETWLMGFL >KJB16347 pep chromosome:Graimondii2_0_v6:2:58044165:58045652:-1 gene:B456_002G225100 transcript:KJB16347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPSELRYYEDDDTPTMKTIKGATTGFVAGTIWGTVVATWYDVPRVERSVAIPGLVRTLKMMGNYGMTFAAIGGVYIGVEQLLQNYRMKRDFVNGAVGGFVAGASILGFKGINFIQSASLVCFVRLVTFYLILLFLTAIFSDNWHSLDDSSKLSQ >KJB16346 pep chromosome:Graimondii2_0_v6:2:58043246:58045691:-1 gene:B456_002G225100 transcript:KJB16346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPSELRYYEDDDTPTMKTIKGATTGFVAGTIWGTVVATWYDVPRVERSVAIPGLVRTLKMMGNYGMTFAAIGGVYIGVEQLLQNYRMKRDFVNGAVGGFVAGASILGFKGRSISTAISAGSALAFTSAVIDAGGQTTRLDTGKEYYPYTTKKRPVES >KJB12351 pep chromosome:Graimondii2_0_v6:2:931701:935911:-1 gene:B456_002G014400 transcript:KJB12351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVLVAVAAAIGNFLQGWDTATIAGAVLYVKREFKLESEPTIEGLIIAMSLIGATCITTCSGYISDWLGRRPLLIISSVFYFLSGIVMIWSPNVYILLLGRLMDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPEFTGSIGMFLSYCMVFGMSLSTIPNWRLMLGVLSIPSLVYFALTVFFLPESPRWLVSKGRMCEAIKVLQRLRDREDVTAEMALLVEGLGVGGETSIEEYIIGPANEDIEDPDISADRDQIKLYGPEPGLSWVAKPVTGRSTLGLVSQHGSIASRSALVPVDPVVTLFGNIHEKLPETGSMQSILFPHFGSMFSVGGNQARHEEWEDDESVIREGEDYLSDAAAGDSDDNLHSPLISRQTTTVETGIVPPAQGSFANLRLISLMQGNDGELVGSTGIGGGWQLVWKWSKKEGQEKEEGFKRIYLRQEGAPGSIRGSLVSLSGAVAPSDSKYVKAVALVSQPALYSKELMKEHPVGPAMIHPTETVQGPSWSDLFDPGVKHALLVGVGIQVLQQFLGIKGVLYYTPQILEQAGVGFLLSNMGISSASASLLISGITILLMLPSIAVAMRLMDVAGRRSLLLTTVPLLIISLLVLVIGTIVKMGNVTNAALSTISVVLCFFFFVMGFGPIPNILCTEIFPTRVRGICIAVCFLISWICNIIVTYSLPVLLKSIGLAGLFGMYAVVCAISWVFVFLKVPETKGMPLEVIMDVFSVGAKRTS >KJB12352 pep chromosome:Graimondii2_0_v6:2:932481:935795:-1 gene:B456_002G014400 transcript:KJB12352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVLVAVAAAIGNFLQGWDTATIAGAVLYVKREFKLESEPTIEGLIIAMSLIGATCITTCSGYISDWLGRRPLLIISSVFYFLSGIVMIWSPNVYILLLGRLMDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPEFTGSIGMFLSYCMVFGMSLSTIPNWRLMLGVLSIPSLVYFALTVFFLPESPRWLVSKGRMCEAIKVLQRLRDREDVTAEMALLVEGLGVGGETSIEEYIIGPANEDIEDPDISADRDQIKLYGPEPGLSWVAKPVTGRSTLGLVSQHGSIASRSALVPVDPVVTLFGNIHEKLPETGSMQSILFPHFGSMFSVGGNQARHEEWEDDESVIREGEDYLSDAAAGDSDDNLHSPLISRQTTTVETGIVPPAQGSFANLRLISLMQGNDGELVGSTGIGGGWQLVWKWSKKEGQEKEEGFKRIYLRQEGAPGSIRGSLVSLSGAVAPSDSKYVKAVALVSQPALYSKELMKEHPVGPAMIHPTETVQGPSWSDLFDPGVKHALLVGVGIQVLQQFLGIKGVLYYTPQILEQAGVGFLLSNMGISSASASLLISGITILLMLPSIAVAMRLMDVAGRR >KJB12353 pep chromosome:Graimondii2_0_v6:2:931701:936156:-1 gene:B456_002G014400 transcript:KJB12353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVLVAVAAAIGNFLQGWDTATIAGAVLYVKREFKLESEPTIEGLIIAMSLIGATCITTCSGYISDWLGRRPLLIISSVFYFLSGIVMIWSPNVYILLLGRLMDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPEFTGSIGMFLSYCMVFGMSLSTIPNWRLMLGVLSIPSLVYFALTVFFLPESPRWLVSKGRMCEAIKVLQRLRDREDVTAEMALLVEGLGVGGETSIEEYIIGPANEDIEDPDISADRDQIKLYGPEPGLSWVAKPVTGRSTLGLVSQHGSIASRSALVPVDPVVTLFGNIHEKLPETGSMQSILFPHFGSMFSVGGNQARHEEWEDDESVIREGEDYLSDAAAGDSDDNLHSPLISRQTTTVETGIVPPAQGSFANLRLISLMQGNDGELVGSTGIGGGWQLVWKWSKKEGQEKEEGFKRIYLRQEGAPGSIRGSLVSLSGAVAPSDSKYVKAVALVSQPALYSKELMKEHPVGPAMIHPTETVQGPSWSDLFDPGVKHALLVGVGIQVLQQFLGIKGVLYYTPQILEQAGVGFLLSNMGISSASASLLISGITILLMLPSIAVAMRLMDVAGRRSLLLTTVPLLIISLLVLVIGTIVKMGNVTNAALSTISVVLCFFFFVMGFGPIPNILCTEIFPTRVRGICIAVCFLISWICNIIVTYSLPVLLKSIGLAGLFGMYAVVCAISWVFVFLKVPETKGMPLEVIMDVFSVGAKRTS >KJB12354 pep chromosome:Graimondii2_0_v6:2:931701:936334:-1 gene:B456_002G014400 transcript:KJB12354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMGICSEEALLAISISAFATIVIMGGAVLVAVAAAIGNFLQGWDTATIAGAVLYVKREFKLESEPTIEGLIIAMSLIGATCITTCSGYISDWLGRRPLLIISSVFYFLSGIVMIWSPNVYILLLGRLMDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPEFTGSIGMFLSYCMVFGMSLSTIPNWRLMLGVLSIPSLVYFALTVFFLPESPRWLVSKGRMCEAIKVLQRLRDREDVTAEMALLVEGLGVGGETSIEEYIIGPANEDIEDPDISADRDQIKLYGPEPGLSWVAKPVTGRSTLGLVSQHGSIASRSALVPVDPVVTLFGNIHEKLPETGSMQSILFPHFGSMFSVGGNQARHEEWEDDESVIREGEDYLSDAAAGDSDDNLHSPLISRQTTTVETGIVPPAQGSFANLRLISLMQGNDGELVGSTGIGGGWQLVWKWSKKEGQEKEEGFKRIYLRQEGAPGSIRGSLVSLSGAVAPSDSKYVKAVALVSQPALYSKELMKEHPVGPAMIHPTETVQGPSWSDLFDPGVKHALLVGVGIQVLQQFLGIKGVLYYTPQILEQAGVGFLLSNMGISSASASLLISGITILLMLPSIAVAMRLMDVAGRRSLLLTTVPLLIISLLVLVIGTIVKMGNVTNAALSTISVVLCFFFFVMGFGPIPNILCTEIFPTRVRGICIAVCFLISWICNIIVTYSLPVLLKSIGLAGLFGMYAVVCAISWVFVFLKVPETKGMPLEVIMDVFSVGAKRTS >KJB13410 pep chromosome:Graimondii2_0_v6:2:8522542:8527413:-1 gene:B456_002G073100 transcript:KJB13410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAAGGRGVQLERRSVSSDRLVKKVSEEQDRGVEKGSSSCGIVRSKSNGDCNELAVSSSPCLSVCPNSSSILSVGLSFVNNNNNTECDRINVAAPNLRTCESNGSTCNKPPTGRSSKSVNGELGSKSFDGGDDAAGNEVDSSEQVCTIKNLDNGKEFVVKEIREDGMWNKLKEVGTGRQLTMEEFEMWVGHSPIVHELMRRQNVEEGNKDSADLDANGSGGGGDVSKFKKKGSWFKSIKSVASSVTVKGHKERRSSEERDTSSEKGGRRSSSATDDSQDVSFHGPERVRVKQYGKSCKELTALYKSQEIQAHNGSIWSIKFSLDGKYLASAGEDCEIHVWKVVASEKRGELMEKVDDGNLNFLLVANGSPETTMLSPIADHHPEKKRRGRSSLSRKSLSLDPIVVPDTVFALSDKPFCSFQGHSDDVLDLSWSKSQQLLSSSMDKTVRLWDLISKTCLRIFSHIDYVTCIQFNPVDDKYFISGSLDAKVRIWSIPDRKVVDWNDLHEMVTAACYTPDGQGALVGSYKGSCHLYDTSE >KJB13414 pep chromosome:Graimondii2_0_v6:2:8524839:8527413:-1 gene:B456_002G073100 transcript:KJB13414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAAGGRGVQLERRSVSSDRLVKKVSEEQDRGVEKGSSSCGIVRSKSNGDCNELAVSSSPCLSVCPNSSSILSVGLSFVNNNNNTECDRINVAAPNLRTCESNGSTCNKPPTGRSSKSVNGELGSKSFDGGDDAAGNEVDSSEQVCTIKNLDNGKEFVVKEIREDGMWNKLKEVGTGRQLTMEEFEMWVGHSPIVHELMRRQNVEEGNKDSADLDANGSGGGGDVSKFKKKGSWFKSIKSVASSVTVKGHKERRSSEERDTSSEKGGRRSSSATDDSQDVSFHGPERVRVKQYGKSCKELTALYKSQEIQAHNGSIWSIKFSLDGKYLASAGEDCEIHVWKVVASEKRGELMEKVDDGNLNFLLVANGSPETTMLSPIADHHPEKKRRGRSSLSRKSLSLDPIVVPDTVFALSDKPFCSFQGHSDDVLDLSWSKSQGFWSRFPMMPLMYLI >KJB13411 pep chromosome:Graimondii2_0_v6:2:8523484:8527406:-1 gene:B456_002G073100 transcript:KJB13411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAAGGRGVQLERRSVSSDRLVKKVSEEQDRGVEKGSSSCGIVRSKSNGDCNELAVSSSPCLSVCPNSSSILSVGLSFVNNNNNTECDRINVAAPNLRTCESNGSTCNKPPTGRSSKSVNGELGSKSFDGGDDAAGNEVDSSEQVCTIKNLDNGKEFVVKEIREDGMWNKLKEVGTGRQLTMEEFEMWVGHSPIVHELMRRQNVEEGNKDSADLDANGSGGGGDVSKFKKKGSWFKSIKSVASSVTVKGHKERRSSEERDTSSEKGGRRSSSATDDSQDVSFHGPERVRVKQYGKSCKELTALYKSQEIQAHNGSIWSIKFSLDGKYLASAGEDCEIHVWKVVASEKRGELMEKVDDGNLNFLLVANGSPETTMLSPIADHHPEKKRRGRSSLSRKSLSLDPIVVPDTVFALSDKPFCSFQGHSDDVLDLSWSKSQQLLSSSMDKTVRLWDLISKTCLRIFSHIDYVTCIQFNPVDDKYFISGSLDAKVRIWSIPDRKVVDWNDLHEMVTAACYTPDGQGALVGSYKGSCHLYDTSENKLQPKSQINLQNKKKKAHQKKITGFQFAPGSSSEVLITSADSRIRLVDESDLIHKFKGNSSYLHDINIKYRVGWILKGLTIDDSDFC >KJB13413 pep chromosome:Graimondii2_0_v6:2:8522542:8527413:-1 gene:B456_002G073100 transcript:KJB13413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAAGGRGVQLERRSVSSDRLVKKVSEEQDRGVEKGSSSCGIVRSKSNGDCNELAVSSSPCLSVCPNSSSILSVGLSFVNNNNNTECDRINVAAPNLRTCESNGSTCNKPPTGRSSKSVNGELGSKSFDGGDDAAGNEVDSSEQVCTIKNLDNGKEFVVKEIREDGMWNKLKEVGTGRQLTMEEFEMWVGHSPIVHELMRRQNVEEGNKDSADLDANGSGGGGDVSKFKKKGSWFKSIKSVASSVTVKGHKERRSSEERDTSSEKGGRRSSSATDDSQDVSFHGPERVRVKQYGKSCKELTALYKSQEIQAHNGSIWSIKFSLDGKYLASAGEDCEIHVWKVVASEKRGELMEKVDDGNLNFLLVANGSPETTMLSPIADHHPEKKRRGRSSLSRKSLSLDPIVVPDTVFALSDKPFCSFQGHSDDVLDLSWSKSQQLLSSSMDKTVRLWDLISKTCLRIFSHIDYVTCIQFNPVDDKYFISGSLDAKVRIWSIPDRKVVDWNDLHEMVTAACYTPDGQGALVGSYKGSCHLYDTSGTSLLILSLPCVCIFMLYYIIYLPQKFLLNFCIFTLSPENKLQPKSQINLQNKKKKAHQKKITGFQFAPGSSSEVLITSADSRIRLVDESDLIHKFKGFRNTNSQISASVTRNGKYVVSASEDSYVYVWKPEAESRPSRNKGVTVTYSYEHFHCKDVSVAIPWPGMSDTWGVRDTQLNDQNCFDDTIDEVSTANHPPTPDEEYSGNEGSLSASGCTNSPLHGTISSATNSYFFDRISATWPEEKLLLATRTRSSRISLDYTSGVNPNISAWGMVIVTAGLRGEIRTYQNFGLPVRI >KJB13412 pep chromosome:Graimondii2_0_v6:2:8522636:8527406:-1 gene:B456_002G073100 transcript:KJB13412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAAGGRGVQLERRSVSSDRLVKKVSEEQDRGVEKGSSSCGIVRSKSNGDCNELAVSSSPCLSVCPNSSSILSVGLSFVNNNNNTECDRINVAAPNLRTCESNGSTCNKPPTGRSSKSVNGELGSKSFDGGDDAAGNEVDSSEQVCTIKNLDNGKEFVVKEIREDGMWNKLKEVGTGRQLTMEEFEMWVGHSPIVHELMRRQNVEEGNKDSADLDANGSGGGGDVSKFKKKGSWFKSIKSVASSVTVKGHKERRSSEERDTSSEKGGRRSSSATDDSQDVSFHGPERVRVKQYGKSCKELTALYKSQEIQAHNGSIWSIKFSLDGKYLASAGEDCEIHVWKVVASEKRGELMEKVDDGNLNFLLVANGSPETTMLSPIADHHPEKKRRGRSSLSRKSLSLDPIVVPDTVFALSDKPFCSFQGHSDDVLDLSWSKSQQLLSSSMDKTVRLWDLISKTCLRIFSHIDYVTCIQFNPVDDKYFISGSLDAKVRIWSIPDRKVVDWNDLHEMVTAACYTPDGQGALVGSYKGSCHLYDTSENKLQPKSQINLQNKKKKAHQKKITGFQFAPGSSSEVLITSADSRIRLVDESDLIHKFKGFRNTNSQISASVTRNGKYVVSASEDSYVYVWKPEAESRPSRNKGVTVTYSYEHFHCKDVSVAIPWPGMSDTWGVRDTQLNDQNCFDDTIDEVSTANHPPTPDEEYSGNEGSLSASGCTNSPLHGTISSATNSYFFDRISATWPEEKLLLATRTRSSRISLDYTSGVNPNISAWGMVIVTAGLRGEIRTYQNFGLPVRI >KJB15927 pep chromosome:Graimondii2_0_v6:2:54750025:54750915:-1 gene:B456_002G204700 transcript:KJB15927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPLNVQPSFHARSNSLPSRQHPITSQIDENLNRLRASESASTSSSSTGHELTCLQDLYDYVDMLLQFPLTQQALAQDQQRKSVEQVLDASLVLLDVCGTAKDALLRTKECTQELQSVLRRRRGGVEGLGDEVRKYLTSKKEVRKAISKAFKNLKHMDNKLMSKDGETGAVISTLKQVVAATMGVLQSLLSFISGAEASSRWSLLSKLMHQKSVRCEEEEEKTNEIANAESALRSFIKSGNVKHVENVQNELQNSEYCIQDLEEGLESFFRRLIKARVTVLSIGLQCNKLPAAA >KJB12688 pep chromosome:Graimondii2_0_v6:2:2311873:2313814:1 gene:B456_002G031300 transcript:KJB12688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMEEETVFEEENEIKAMKEEEEVGGEDESEVEGGEPEEEDDDDDDEDEDDEGEDDDDDDDDDEGEEDDDVQVLHSSGPPVLIADDEEGEDVKDDDDDDEGDGDDDDDDDDDDDSDEDEEGEEEEDMGTEYLVRPVVPAEDEEDASDFEPEENGEEEEEEEEDEDDEEGSGKIEAPPKRKRTDRDDSDDNDDDGGDDDERPSKR >KJB12520 pep chromosome:Graimondii2_0_v6:2:1598500:1602201:1 gene:B456_002G022600 transcript:KJB12520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMSDHHAWAVAFGVLGNIISILVFLAPLSTFYRIYKKKSTESFQSLPYQVALFSCMLWLYYALIKKGAFLLITINSFGCIVETLYISMFLAYAPRKSRISAIKLFAAMNLGLFSLILIVTHFLVKNSIRIQVLGWINVAISVSVFAAPLNITTRVIKTKSVEFMPFNLSLALTLSAIMWFAYGAFIKDLCVAVPNVVGFILGMFQMILYAIYRNTDKVINVEDKKVPEEQTTTTTTISVLSKLGSSEVHPIDIDTIKNPNQNTTNQGDGNENDHTIEVDDDDDDDDGSSSHQKSLELSSELHLDESPV >KJB11797 pep chromosome:Graimondii2_0_v6:2:2262318:2262961:1 gene:B456_002G0306002 transcript:KJB11797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVMNPSTNMNSDRRKMMMKKKKPMIKENQINQSHTRWKSETQQQIFSSKLVEALSHLSLENGSTPSLSAPRGGRAVREATDKALAITAKGKTRWSRAILTGRLKLKFRKRGSAADVAAITGIAGRRNREKVKVLGRLVLGCRKEPLPVILEKATDYIATLEMQVRAMTTLAELLSGSAASSSSVPPPHSPPPTRQ >KJB11796 pep chromosome:Graimondii2_0_v6:2:2262275:2262986:1 gene:B456_002G0306002 transcript:KJB11796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVMNPSTNMNSDRRKMMMKKKKPMIKENQINQSHTRWKSETQQQIFSSKLVEALSHLSLENGSTPSLSAPRGGRAVREATDKALAITAKGKTRWSRAILTGRLKLKFRKRGSAADVAAITGIAGRRNREKVKVLGRLVLGCRKEPLPVILEKATDYIATLEMQVRAMTTLAELLSGSAASSSSVPPPHSPPPTRQ >KJB17109 pep chromosome:Graimondii2_0_v6:2:62541953:62544896:1 gene:B456_002G265900 transcript:KJB17109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKFDAFESWFRKPQNPIQPINLLSLTQSFLPTTSSNSPNFASISSSGFFSRKPKKPGLDSDKPGPYKQMVDQFFWECENLPDYRHTPEVENFLNEDPFFENKENPTEDELKENEKWWQDFRASPVVQFLARAEEIADEINRIELKENEEPFRKEDKKLWQAVPHVIGLDGRPMPRKAIKTREESDDKFWDFTKQFFFGLWGFRQRPYPPGRPIDVAQAIGYKRLEKRYYDFIMRSGGWYYKDRLGRTRGPCELITLKTAWGGGIIDKHTFIWGEDMDEWAPIHMVYGLEPAIATWEVRLGAAATAFLHKLQKGIPPWVPLKGHEEKTYKQLQEEAIESKRRDLAVLKANDGIWPGVRIPSHALFLWASGSEMTTLLESDHMPNKYISKDLRRKLAKVIPGLRPWEVLSVEQAMDDITYSGEWYREPLGTYTTGPPYIRHWNKDVKRIFRIFYNLSHQVYNKLERTIPGFNAIMEKVQADANARETRRKEKREAQKKVKDAAIYNPR >KJB17181 pep chromosome:Graimondii2_0_v6:2:62723062:62723505:-1 gene:B456_002G268500 transcript:KJB17181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNTGYKVSRPSHNVYITNALHEIYPLETSFFPARKHFQFSIDFKSNFSQKIILPEHYQKLSSKTTNLKLNYS >KJB16638 pep chromosome:Graimondii2_0_v6:2:60416032:60418956:1 gene:B456_002G241000 transcript:KJB16638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQSFLFSVFCFLFYFLSGEPQVVEGTRLSWTSSPFGFKPKMLFAFGDSYADTGNTKIAVTRSWHFPYGITFPGKPSGRFSDGFVSTDFVAGFLGMKTPIPYRWRKELSGRVKYGLNFAYGGTGVFDTLVAEPNMTTQIDFLQQLINDSVYTKRVLRTSVALVSLAGNDYSYYLETNGSAAAFPGFIQSVVNQLMVNMKRIHDLGVRKIGVMSLISLGCTPQNTAGSSFQRCNETENDLVMLHNNLLLQAVDTLNQQTNSTLFFIIDLHNAFLTVFNGTETHQGSPTFENPFEPCCFGVTEGFFCGSVDENGGKKYTLCSNPKTKLFWDGNHPTSEGWRAIYSTPAFQNTLKQFID >KJB16637 pep chromosome:Graimondii2_0_v6:2:60416032:60418956:1 gene:B456_002G241000 transcript:KJB16637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAFGDSYADTGNTKIAVTRSWHFPYGITFPGKPSGRFSDGFVSTDFVAGFLGMKTPIPYRWRKELSGRVKYGLNFAYGGTGVFDTLVAEPNMTTQIDFLQQLINDSVYTKRVLRTSVALVSLAGNDYSYYLETNGSAAAFPGFIQSVVNQLMVNMKRIHDLGVRKIGVMSLISLGCTPQNTAGSSFQRCNETENDLVMLHNNLLLQAVDTLNQQTNSTLFFIIDLHNAFLTVFNGTETHQGSPTFENPFEPCCFGVTEGFFCGSVDENGGKKYTLCSNPKTKLFWDGNHPTSEGWRAIYSTPAFQNTLKQFID >KJB12676 pep chromosome:Graimondii2_0_v6:2:2241921:2243756:-1 gene:B456_002G030400 transcript:KJB12676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPPLPLKAFQNMSPINLAFASLLIVAIYFPCFANGDDKDPVTVLDAPFLTKKINSNVTIKVDINGKGDYTSIQEAINAVPKGNSKWVVIHVKKGIYREKVHIPKDKRYIFMRGNGRGRTAIIWSLSSVDNKASATFTVEAKHFVAFGISFKNEAPTGVAYTSQNQSVAVFVGADRVAFYHCAFFSTHNTLFDYKGRHYYHNCYIQGSIDFIFGRGRSLFHNCEIFVLQDKRIAIHGSITAQNRAHQEDHSGFVFVKGKVYGIGGVYLGRAKGKYSTVVFAQTYLSRTIVPAGWTDWSYRGGPDHLFHAEYKCHGPGADTFGRVHWAKQLTDEEAKYWTSIEFINGNVWLPAWL >KJB12436 pep chromosome:Graimondii2_0_v6:2:1454873:1458465:1 gene:B456_002G021200 transcript:KJB12436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKKLKQTQEEEEEMEFKDCENHSQQAQQQEEEKEKIEIGDEIIRSKIGIMRALAERDDPSEVDDFMIRRFLRARDLDIEKANTMLLKYLSWRRTFLPKGFVSESEISNQLADNKLCMQGVDKQGFVVYGLEKICARMPRGQEKFVAIGDLEGWGYSNSDIRAYIASLSILQDCYPERLAKLFIVHVPYIFMTAWKVVYPFIDSRTKKKIIFVENKKLKSTLLNDIDESQLPDIYGGKLPLVPIENC >KJB12434 pep chromosome:Graimondii2_0_v6:2:1454873:1458465:1 gene:B456_002G021200 transcript:KJB12434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKKLKQTQEEEEEMEFKDCENHSQQAQQQEEEKEKIEIGDEIIRSKIGIMRALAERDDPSVKVLIWFIFQLFLTLGFHFYLNFFFIFFKEVDDFMIRRFLRARDLDIEKANTMLLKYLSWRRTFLPKGFVSESEISNQLADNKLCMQGVDKQGRPIVVAFGGRHKPTKGNLEEVKRFVVYGLEKICARMPRGQEKFVAIGDLEGWGYSNSDIRAYIASLSILQDCYPERLAKLFIVHVPYIFMTAWKVVYPFIDSRTKKKIIFVENKKLKSTLLNDIDESQLPDIYGGKLPLVPIENC >KJB12433 pep chromosome:Graimondii2_0_v6:2:1454873:1458465:1 gene:B456_002G021200 transcript:KJB12433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKKLKQTQEEEEEMEFKDCENHSQQAQQQEEEKEKIEIGDEIIRSKIGIMRALAERDDPSEVDDFMIRRFLRARDLDIEKANTMLLKYLSWRRTFLPKGFVSESEISNQLADNKLCMQGVDKQGRPIVVAFGGRHKPTKGNLEEVKRFVVYGLEKICARMPRGQEKFVAIGDLEGWGYSNSDIRAYIASLSILQDCYPERLAKLFIVHVPYIFMTAWKVVYPFIDSRTKKKIIFVENKKLKSTLLNDIDESQLPDIYGGKLPLVPIENC >KJB12432 pep chromosome:Graimondii2_0_v6:2:1454873:1457751:1 gene:B456_002G021200 transcript:KJB12432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKKLKQTQEEEEEMEFKDCENHSQQAQQQEEEKEKIEIGDEIIRSKIGIMRALAERDDPSVKEVDDFMIRRFLRARDLDIEKANTMLLKYLSWRRTFLPKGFVSESEISNQLADNKLCMQGVDKQGRPIVVAFGGRHKPTKGNLEEVKRFVVYGLEKICARMPRGQEKFVAIGDLEGWGYSNSDIRAYIASLSILQDCYPERLAKLFIVHVPYIFMTAWKVVYPFIDSRTKKKVKI >KJB12429 pep chromosome:Graimondii2_0_v6:2:1454812:1458535:1 gene:B456_002G021200 transcript:KJB12429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKKLKQTQEEEEEMEFKDCENHSQQAQQQEEEKEKIEIGDEIIRSKIGIMRALAERDDPSVKEVDDFMIRRFLRARDLDIEKANTMLLKYLSWRRTFLPKGFVSESEISNQLADNKLCMQGVDKQGRPIVVAFGGRHKPTKGNLEEVKRFVVYGLEKICARMPRGQEKFVAIGDLEGWGYSNSDIRAYIASLSILQDCYPERLAKLFIVHVPYIFMTAWKVVYPFIDSRTKKKIIFVENKKLKSTLLNDIDESQLPDIYGGKLPLVPIENC >KJB12435 pep chromosome:Graimondii2_0_v6:2:1454873:1458465:1 gene:B456_002G021200 transcript:KJB12435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKKLKQTQEEEEEMEFKDCENHSQQAQQQEEEKEKIEIGDEIIRSKIGIMRALAERDDPSVKVLIWFIFQLFLTLGFHFYLNFFFIFFKEVDDFMIRRFLRARDLDIEKANTMLLKYLSWRRTFLPKGFVSESEISNQLADNKLCMQGVDKQGRPIVVAFGGRHKPTKGNLEEVKQCQEDKKSSWRSEISKDGDIRTAIFVHTSHLYRFSRIVTLRGLPNCLLFMCLTYS >KJB12431 pep chromosome:Graimondii2_0_v6:2:1454873:1456653:1 gene:B456_002G021200 transcript:KJB12431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKKLKQTQEEEEEMEFKDCENHSQQAQQQEEEKEKIEIGDEIIRSKIGIMRALAERDDPSVKEVDDFMIRRFLRARDLDIEKANTMLLKYLSWRRTFLPKGFVSESEISNQLADNKLCMQGVDKQGRPIVVAFGGRHKPTKGNLEEVKRFVVYGLEKICAR >KJB12430 pep chromosome:Graimondii2_0_v6:2:1454873:1455850:1 gene:B456_002G021200 transcript:KJB12430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKKLKQTQEEEEEMEFKDCENHSQQAQQQEEEKEKIEIGDEIIRSKIGIMRALAERDDPSVKEVDDFMIRRFLRARDLDIEKANTMLLKYLSWRRTFLPKGFVSESEISNQLADNKLCMQGVDKQGRPIVVAFGGRHKPTKGNLEEVKRMFMLLFLLLFFNTFFVIFVCFIFGFSSKYVDTRLRLWWSVHL >KJB14506 pep chromosome:Graimondii2_0_v6:2:20121046:20122916:1 gene:B456_002G129300 transcript:KJB14506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTYFWRLRSAVQNGIQRTKCGSQDSIEVLIGTGKLGFGNYRFFHSLRFSRLVDLRGLLQPGTVLAARSDSHVANRRRNISVVGAVSRTISVPSVSGPAFQVCGYHIDSVLADSSQISSVSKLQSKPMAASGSGVVIGGYLVDTSKLKHEHLSSSKSCTDIFYSNKSLNSCIKARMSLKNPEKPNNSPIYGYFMYSVGRRWCNFDSLLGSGSRAFHSSLHCLSAGTVPDVSFDNSGSEEQGNNSSVSSEEKISAGKTLKLLSGSCYLPHPDKEDTGGEDAHFICADEQAVGVADGVGGWADLGVDAGLYSRELMSNSVSAIQEEPKGSIDPARVLEKAHSSTKAKGSSTACIIALTDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGSNGDLPSSGQVHMFPLCYYWKRSFILSYNEIFYFVILLKKISVSMDICSGGPGMLDD >KJB14504 pep chromosome:Graimondii2_0_v6:2:20120115:20124154:1 gene:B456_002G129300 transcript:KJB14504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTYFWRLRSAVQNGIQRTKCGSQDSIEVLIGTGKLGFGNYRFFHSLRFSRLVDLRGLLQPGTVLAARSDSHVANRRRNISVVGAVSRTISVPSVSGPAFQVCGYHIDSVLADSSQISSVSKLQSKPMAASGSGVVIGGYLVDTSKLKHEHLSSSKSCTDIFYSNKSLNSCIKARMSLKNPEKPNNSPIYGYFMYSVGRRWCNFDSLLGSGSRAFHSSLHCLSAGTVPDVSFDNSGSEEQGNNSSVSSEEKISAGKTLKLLSGSCYLPHPDKEDTGGEDAHFICADEQAVGVADGVGGWADLGVDAGLYSRELMSNSVSAIQEEPKGSIDPARVLEKAHSSTKAKGSSTACIIALTDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGSNGDLPSSGQVFAVPVAPGDVIIAGTDGLFDNLYNNEITAVVVHAVRAGLGPQVTAQKIAALARQRAQDRDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEELMQENKSR >KJB14505 pep chromosome:Graimondii2_0_v6:2:20120227:20124127:1 gene:B456_002G129300 transcript:KJB14505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTYFWRLRSAVQNGIQRTKCGSQDSIEVLIGTGKLGFGNYRFFHSLRFSRLVDLRGLLQPGTVLAARSDSHVANRRRNISVVGAVSRTISVPSVSGPAFQVCGYHIDSVLADSSQISSVSKLQSKPMAASGSGVVIGGYLVDTSKLKHEHLSSSKSCTDIFYSNKSLNSCIKARMSLKNPEKPNNSPIYGYFMYSVGRRWCNFDSLLGSGSRAFHSSLHCLSAGTVPDVSFDNSGSEEQGNNSSVSSEEKISAGKTLKLLSGSCYLPHPDKEDTGGEDAHFICADEQAVGVADGVGGWADLGVDAGLYSRELMSNSVSAIQEEPKGSIDPARVLEKAHSSTKAKGSSTACIIALTDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGSNGDLPSSGQVFAVPVAPGDVIIAGTDGLFDNLYNNEITAVVVHAVRAGLGPQVTAQKIAALARQRAQDRDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEEENKSR >KJB14503 pep chromosome:Graimondii2_0_v6:2:20120201:20124151:1 gene:B456_002G129300 transcript:KJB14503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTYFWRLRSAVQNGIQRTKCGSQDSIEVLIGTGKLGFGNYRFFHSLRFSRLVDLRGLLQPGTVLAARSDSHVANRRRNISVVGAVSRTISVPSVSGPAFQVCGYHIDSVLADSSQISSVSKLQSKPMAASGSGVVIGGYLVDTSKLKHEHLSSSKSCTDIFYSNKSLNSCIKARMSLKNPEKPNNSPIYGYFMYSVGRRWCNFDSLLGSGSRAFHSSLHCLSAGTVPDVSFDNSGSEEQGNNSSVSSEEKISAGKTLKLLSGSCYLPHPDKEDTGGEDAHFICADEQAVGVADGVGGWADLGVDAGLYSRELMSNSVSAIQEEPKGSIDPARVLEKAHSSTKAKGSSTACIIALTDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGSNGDLPSSGQVFAVPVAPGDVIIAGTDGLFDNLYNNEITAVVVHAVRAGLGPQVTAQKIAALARQRAQDRDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEELMQENKSR >KJB14508 pep chromosome:Graimondii2_0_v6:2:20120221:20124127:1 gene:B456_002G129300 transcript:KJB14508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTYFWRLRSAVQNGIQRTKCGSQDSIEVLIGTGKLGFGNYRFFHSLRFSRLVDLRGLLQPGTVLAARSDSHVANRRRNISVVGAVSRTISVPSVSGPAFQVCGYHIDSVLADSSQISSVSKLQSKPMAASGSGVVIGGYLVDTSKLKHEHLSSSKSCTDIFYSNKSLNSCIKARMSLKNPEKPNNSPIYGYFMYSVGRRWCNFDSLLGSGSRAFHSSLHCLSAGTVPDVSFDNSGSEEQGNNSSVSSEEKISAGKTLKLLSGSCYLPHPDKEDTGGEDAHFICADEQAVGVADGVGGWADLGVDAGLYSRELMSNSVSAIQEEPKGSIDPARVLEKAHSSTKAKGSSTACIIALTDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGSNGDLPSSGQVFAVPVAPGDVIIAGTDGLFDNLYNNEITAVVVHAVRAGLGPQVTAQKIAALARQRAQDRDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEEENKSR >KJB14507 pep chromosome:Graimondii2_0_v6:2:20120202:20124222:1 gene:B456_002G129300 transcript:KJB14507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTYFWRLRSAVQNGIQRTKCGSQDSIEVLIGTGKLGFGNYRFFHSLRFSRLVDLRGLLQPGTVLAARSDSHVANRRRNISVVGAVSRTISVPSVSGPAFQVCGYHIDSVLADSSQISSVSKLQSKPMAASGSGVVIGGYLVDTSKLKHEHLSSSKSCTDIFYSNKSLNSCIKARMSLKNPEKPNNSPIYGYFMYSVGRRWCNFDSLLGSGSRAFHSSLHCLSAGTVPDVSFDNSGSEEQGNNSSVSSEEKISAGKTLKLLSGSCYLPHPDKEDTGGEDAHFICADEQAVGVADGVGGWADLGVDAGLYSRELMSNSVSAIQEEPKGSIDPARVLEKAHSSTKAKGSSTACIIALTDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGSNGDLPSSGQVFAVPVAPGDVIIAGTDGLFDNLYNNEITAVVVHAVRAGLGPQVTAQKIAALARQRAQDRDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEEENKSR >KJB16368 pep chromosome:Graimondii2_0_v6:2:58227108:58228234:-1 gene:B456_002G226200 transcript:KJB16368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTFNPLTCLSSSPVRSCSTSLPIVVLPISRLRVNRIDDHCSKCSIGTQFVPSKKRSVMTVKASSASTDGEEQVPAESKKEDLPVGQLPLESKMQQMAEQKMKMKMAKKIRLRRKRLVRKRKLRKKGRWPPSKMKKLKNV >KJB13326 pep chromosome:Graimondii2_0_v6:2:7909754:7911211:-1 gene:B456_002G068300 transcript:KJB13326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDFMAEDVDNDMEEEGGGEEEEEGAVYHGVQDDEKKKKASGRRGSSGGVGGVLPPSCQVEKCGVDLSVAKRYHRRHKVCEIHAKAPVVVVAGIRQRFCQQCSRFHELSEFDEAKRSCRSRLAGHNERRRKSSAESSAEGSSRKGTSPQLKEGYCRQVDDQRARVPITIPGNSSFKRSHIR >KJB14384 pep chromosome:Graimondii2_0_v6:2:17602615:17606296:-1 gene:B456_002G122500 transcript:KJB14384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKKEITASSKLVVHHGELVKSALFPKDLVSIYVFSDTDLNLLRARMTKECRKTRREFDRVKRNNLSYTSSQTSSRRKVIKKIAKRCAAMAVFIRRNPEETLWRWMSYASYSVKRLLPITALLGVDKREIILEILPVHHLQGFRLNLTSQLYLNKISASLRYADQEPGSEEAESPVCRTISNVASDDIGGLQEDNSIPNHNGKAVLLNSISIIRRELPEPCLGWPLLRRKSPANQEFKKHADRDTSVVEWVLGLPNRGTTVVDLIALHSNQTSINAKRNINDSYIENHKAECNAEDSVSSNMKDLEPKSKPGWPLLRITASTTSDSCSEFEDTKMPSPMVERVMNTAIQSKEEDNHVGIEKSLPALRKMPNDLELRCKQFRLRELKQATSGFSPENLIGEGGCSNVYKGFLPSGKPVAVKILKSYKEAWSDFSLEVDIVSSLRHKHITPLIGVCVENDHLISVYDLFPAGSLEEILHGQNKKSVLPWEVRFKMATAVAEALKYLHNECYPHVIHRDVKSSNILLSDDFQPQLSDFGLAIWGPMDATNMIDSNVVGTFGYIAPEYFMEGRVSDKIDVYSFGIVLLELLSGRRPISSKAMEKQESLVQWAKALLERRDLPALVDPALDGDFDVAQLHRMVLAATLCLNQSDIHRPKASQILQILRGEQEPTEGYNLHATYMKVPNNQEDDGLRKLYAYLSVPFSDDDDSTSKCSYSIRCKTGKKRHLMLKEYLRKC >KJB14385 pep chromosome:Graimondii2_0_v6:2:17602615:17606283:-1 gene:B456_002G122500 transcript:KJB14385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYASYSVKRLLPITALLGVDKREIILEILPVHHLQGFRLNLTSQLYLNKISASLRYADQEPGSEEAESPVCRTISNVASDDIGGLQEDNSIPNHNGKAVLLNSISIIRRELPEPCLGWPLLRRKSPANQEFKKHADRDTSVVEWVLGLPNRGTTVVDLIALHSNQTSINAKRNINDSYIENHKAECNAEDSVSSNMKDLEPKSKPGWPLLRITASTTSDSCSEFEDTKMPSPMVERVMNTAIQSKEEDNHVGIEKSLPALRKMPNDLELRCKQFRLRELKQATSGFSPENLIGEGGCSNVYKGFLPSGKPVAVKILKSYKEAWSDFSLEVDIVSSLRHKHITPLIGVCVENDHLISVYDLFPAGSLEEILHGQNKKSVLPWEVRFKMATAVAEALKYLHNECYPHVIHRDVKSSNILLSDDFQPQLSDFGLAIWGPMDATNMIDSNVVGTFGYIAPEYFMEGRVSDKIDVYSFGIVLLELLSGRRPISSKAMEKQESLVQWAKALLERRDLPALVDPALDGDFDVAQLHRMVLAATLCLNQSDIHRPKASQILQILRGEQEPTEGYNLHATYMKVPNNQEDDGLRKLYAYLSVPFSDDDDSTSKCSYSIRCKTGKKRHLMLKEYLRKC >KJB13368 pep chromosome:Graimondii2_0_v6:2:8708300:8710213:-1 gene:B456_002G074100 transcript:KJB13368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWLFKFDKDKWQKRQRQMQKNLRSMESIRKINRELILFFKRNHEFFHVERCIEDAAGDPIAIQKMFDSIPVMASDLMSGNESFQFAATARLSFLLSTENPPIDVVIQSGVVPHFVRFLNEQYGLQHKLYSVRALYAIGKGSLEQAVVVIKHGAIPMFIRLLCCSEECYQLKELVAAALGSLANQSPDFRDYVLQFGTLTPLLSLLDNHLEPPMLHEKIALLRTCSNTLAIFCQGNPAPSFNQIRSALPILRRLIHLNPPVGVIGEVCEDACLCLSYLSNGSAEQIQALIDADVCARLVMLLGLSDGKIVEHVLRTVGNIFKGVDSQIQILINNGVFFRLNAILMHGSNSSVLRKTCSAISTLTARDRNQIQVGIYYGFV >KJB13370 pep chromosome:Graimondii2_0_v6:2:8708300:8711778:-1 gene:B456_002G074100 transcript:KJB13370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWLFKFDKDKWQKRQRQMQKNLRSMESIRKINRELILFFKRNHEFFHVERCIEDAAGDPIAIQKMFDSIPVMASDLMSGNESFQFAATARLSFLLSTVIQSGVVPHFVRFLNEQYGLQHKLYSVRALYAIGKGSLEQAVVVIKHGAIPMFIRLLCCSEECYQLKELVAAALGSLANQSPDFRDYVLQFGTLTPLLSLLDNHLEPPMLHEKIALLRTCSNTLAIFCQGNPAPSFNQIRSALPILRRLIHLNPPVGVIGEVCEDACLCLSYLSNGSAEQIQALIDADVCARLVMLLGLSDGKIVEHVLRTVGNIFKGVDSQIQILINNGVFFRLNAILMHGSNSSVLRKTCSAISTLTARDRNQIQVGIYYGFV >KJB13369 pep chromosome:Graimondii2_0_v6:2:8708300:8710213:-1 gene:B456_002G074100 transcript:KJB13369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWLFKFDKDKWQKRQRQMQKNLRSMESIRKINRELILFFKRNHEFFHVERCIEDAAGDPIAIQKMFDSIPVMASDLMSGNESFQFAATARLSFLLSTENPPIDVVIQSGVVPHFVRFLNEQYGLQHKLYSVRALYAIGKGSLEQAVVVIKHGAIPMFIRLLCCSEECYQLKELVAAALGSLANQSPDFRDYVLQFGTLTPLLSLLDNHLEPPMLHEKIALLRTCSNTLAIFCQGNPAPSFNQIRSALPILRRLIHLNPPVGVIGEVCEDACLCLSYLSNGSAEQIQALIDADVCARLVMLLGLSDGKIVEHVLRTVGNIFKGVDSQIQILINNGVFFRLNAILMHGSNSSVLRKTCSAISTLTARDRNQIQVGIYYGFV >KJB13366 pep chromosome:Graimondii2_0_v6:2:8708300:8711285:-1 gene:B456_002G074100 transcript:KJB13366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWLFKFDKDKWQKRQRQMQKNLRSMESIRKINRELILFFKRNHEFFHVERCIEDAAGDPIAIQKMFDSIPVMASDLMSGNESFQFAATARLSFLLSTVIQSGVVPHFVRFLNEQYGLQHKLYSVRALYAIGKGSLEQAVVVIKHGAIPMFIRLLCCSEECYQLKELVAAALGSLANQSPDFRDYVLQFGTLTPLLSLLDNHLEPPMLHEKIALLRTCSNTLAIFCQGNPAPSFNQIRSALPILRRLIHLNPPVGVIGEVCEDACLCLSYLSNGSAEQIQALIDADVCARLVMLLGLSDGKIVEHVLRTVGNIFKGVDSQIQILINNGVFFRLNAILMHGSNSSVLRKTCSAISTLTARDRNQIQVGIYYGFV >KJB13365 pep chromosome:Graimondii2_0_v6:2:8708300:8711285:-1 gene:B456_002G074100 transcript:KJB13365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWLFKFDKDKWQKRQRQMQKNLRSMESIRKINRELILFFKRNHEFFHVERCIEDAAGDPIAIQKMFDSIPVMASDLMSGNESFQFAATARLSFLLSTVIQSGVVPHFVRFLNEQYGLQHKLYSVRALYAIGKGSLEQAVVVIKHGAIPMFIRLLCCSEECYQLKELVAAALGSLANQSPDFRDYVLQFGTLTPLLSLLDNHLEPPMLHEKIALLRTCSNTLAIFCQGNPAPSFNQIRSALPILRRLIHLNPPVGVIGEVCEDACLCLSYLSNGSAEQIQALIDADVCARLVMLLGLSDGKIVEHVLRTVGNIFKGVDSQIQILINNGVFFRLNAILMHGSNSSVLRKTCSAISTLTARDRNQIQVGIYYGFV >KJB13367 pep chromosome:Graimondii2_0_v6:2:8708300:8710213:-1 gene:B456_002G074100 transcript:KJB13367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWLFKFDKDKWQKRQRQMQKNLRSMESIRKINRELILFFKRNHEFFHVERCIEDAAGDPIAIQKMFDSIPVMASDLMSGNESFQFAATARLSFLLSTENPPIDVVIQSGVVPHFVRFLNEQYGLQHKLYSVRALYAIGKGSLEQAVVVIKHGAIPMFIRLLCCSEECYQLKELVAAALGSLANQSPDFRDYVLQFGTLTPLLSLLDNHLEPPMLHEKIALLRTCSNTLAIFCQGNPAPSFNQIRSALPILRRLIHLNPPVGVIGEVCEDACLCLSYLSNGSAEQIQALIDADVCARLVMLLGLSDGKIVEHVLRTVGNIFKGVDSQIQILINNGVFFRLNAILMHGSNSSVLRKTCSAISTLTARDRNQIQVGIYYGFV >KJB13997 pep chromosome:Graimondii2_0_v6:2:13865757:13866556:1 gene:B456_002G105600 transcript:KJB13997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDNKLGNYTFSLVSIVSAVKWAWSILLRYCLFPYPIPADIGYDYKQDWGTDVECAICLCKIDEDDEIPELRCDHLFHKACLDRWVGSRRFTCPICRTCTLTPPQLASGMQVMVFRYCSFDDSSSHRETWWLR >KJB15537 pep chromosome:Graimondii2_0_v6:2:48350376:48352105:-1 gene:B456_002G183400 transcript:KJB15537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVCASSAIAAVAISSPSSQKTGSVVGTTKASFLTGKKLRSVRKYTKPAAGPTFPVCAAADPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPETLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYSAGTEEYFTDTTTLFIVELIFIGWAEGRRWADILKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAAFTPK >KJB15540 pep chromosome:Graimondii2_0_v6:2:48350717:48352068:-1 gene:B456_002G183400 transcript:KJB15540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVCASSAIAAVAISSPSSQKTGSVVGTTKASFLTGKKLRSVRKYTKPAAGPTFPVCAAADPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPETLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYSAGTEEYFTDTTTLFIVELIFIGWAEGRRWADILKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAVSSTPGFDNIFACFVEFILTVVCYSPF >KJB15538 pep chromosome:Graimondii2_0_v6:2:48350458:48352068:-1 gene:B456_002G183400 transcript:KJB15538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVCASSAIAAVAISSPRQKTGSVVGTTKASFLTGKKLRSVRKYTKPAAGPTFPVCAAADPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPETLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYSAGTEEYFTDTTTLFIVELIFIGWAEGRRWADILKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAAFTPK >KJB15539 pep chromosome:Graimondii2_0_v6:2:48350520:48352068:-1 gene:B456_002G183400 transcript:KJB15539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVCASSAIAAVAISSPSSQKTGSVVGTTKASFLTGKKLRSVRKYTKPAAGPTFPVCAAADPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPETLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYSAGTEEYFTDTTTLFIVELIFIGWAEGRRWADILKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAVSFHPQVKH >KJB16988 pep chromosome:Graimondii2_0_v6:2:62062581:62066226:1 gene:B456_002G259100 transcript:KJB16988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYIGREASKLWKRICAETSAESNLFIDNWKYIIAGFVFQYIHGVAARGVHYLHRPGPTLQDLGFFLLPELGQDKAYISETVFMFVFLSFFLWTFHPFVFKVKKFYTVQIWCRVLAYLVVSQTLRIFTFYSTQLPGPNYHCRPGSKLARLPEPDGVLEVLVINFPQGVIYGCGDLIFSSHMIFTLVFVLTYQKYGTRRCIKQFGWSIAIIQSLLIVASRKHYTVDVVVAWYTVNLVVFFVDKKLPELSGRTNGSSLILLPLSTKDKDKWTKEENHKLLNGNSVNPADRRPRSQSNGKNLEDVNINQVDTSMNSTP >KJB16991 pep chromosome:Graimondii2_0_v6:2:62062404:62067473:1 gene:B456_002G259100 transcript:KJB16991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIGKRLQDDALHWSRSFKETSAESNLFIDNWKYIIAGFVFQYIHGVAARGVHYLHRPGPTLQDLGFFLLPELGQDKAYISETVFMFVFLSFFLWTFHPFVFKVKKFYTVQIWCRVLAYLVVSQTLRIFTFYSTQLPGPNYHCRPGSKLARLPEPDGVLEVLVINFPQGVIYGCGDLIFSSHMIFTLVFVLTYQKYGTRRCIKQFGWSIAIIQSLLIVASRKHYTVDVVVAWYTVNLVVFFVDKKLPELSGRTNGSSLILLPLSTKDKDKWTKEENHKLLNGNSVNPADRRPRSQSNGKNLEDVNINQVDTSMNSTP >KJB16989 pep chromosome:Graimondii2_0_v6:2:62062836:62066060:1 gene:B456_002G259100 transcript:KJB16989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYIGREASKLWKRICAETSAESNLFIDNWKYIIAGFVFQYIHGVAARGVHYLHRPGPTLQDLGFFLLPELGQDKAYISETVFMFVFLSFFLWTFHPFVFKVKKFYTVQIWCRVLAYLVVSQTLRIFTFYSTQLPGPNYHCRPGSKLARLPEPDGVLEVLVINFPQGVIYGCGDLIFSSHMIFTLVFVLTYQKYGTRRCIKQFGWSIAIIQSLLIVASRKHYTVDVVVAWYTVNLVVFFVDKKLPELSGRTNGSSLILLPLSTKDKDKWTKEENHKLLNGNSVNPADRRPRSQSNGKNLEDVNINQVDTSMNSTP >KJB16990 pep chromosome:Graimondii2_0_v6:2:62062581:62067230:1 gene:B456_002G259100 transcript:KJB16990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYIGREASKLWKRICAETSAESNLFIDNWKYIIAGFVFQYIHGVAARGVHYLHRPGPTLQDLGFFLLPELGQDKAYISETVFMFVFLSFFLWTFHPFVFKVKKFYTVQIWCRVLAYLVVSQTLRIFTFYSTQLPGPNYHCRPGSKLARLPEPDGVLEVLVINFPQGVIYGCGDLIFSSHMIFTLVFVLTYQKYGTRRCIKQFGWSIAIIQSLLIVASRKHYTVDVVVAW >KJB16987 pep chromosome:Graimondii2_0_v6:2:62062404:62067473:1 gene:B456_002G259100 transcript:KJB16987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYIGREASKLWKRICAETSAESNLFIDNWKYIIAGFVFQYIHGVAARGVHYLHRPGPTLQDLGFFLLPELGQDKAYISETVFMFVFLSFFLWTFHPFVFKVKKFYTVQIWCRVLAYLVVSQTLRIFTFYSTQLPGPNYHCRPGSKLARLPEPDGVLEVLVINFPQGVIYGCGDLIFSSHMIFTLVFVLTYQKYGTRRCIKQFGWSIAIIQSLLIVASRKHYTVDVVVAWYTVNLVVFFVDKKLPELSGRTNGSSLILLPLSTKDKDKWTKEENHKLLNGNSVNPADRDLQVRSA >KJB16153 pep chromosome:Graimondii2_0_v6:2:56433438:56435799:1 gene:B456_002G215000 transcript:KJB16153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTDLQSNKQTKNNSSSSNNNNNNSAEPHHQSLQQHHLQHQKQSASPQLVVPFDGTRSSGPSSGNPFNMGSISHLHPHHPFHHLLLHPASSTTTTTTTPPLPSSSTSSSSSSSASTTTTNPPQLVDASLAIATRSTSLNIDSKNQTDLPISSTTTPASTTTTTTTANPPVKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNISLRSSGSTLSAPPSKSAPHSFHGALALASHHHHHHPYEEGFAHSALLGFQQQHLLTADQIAEALPGGGGGDGGNLSENYMRKRFREDLFKDDDQQQGESGSGGGDGSPIKAFKSGLTQLSKPQQDAGSSALPRPSNILPGTAIAGAGGPSVAPSAATGAGPSDHPQMWPFGTANQASGNTLQAPLHFLPRFNLPGNVEFQGGRASPLQLGSMLMQQQQQQQQPHHHLGLGMSDSNLGMLAALNAYSRGGSNVNSDQNNPLEHHHQQHQPQGTDSGDDQDPNTSQ >KJB16151 pep chromosome:Graimondii2_0_v6:2:56433132:56435832:1 gene:B456_002G215000 transcript:KJB16151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTDLQSNKQTKNNSSSSNNNNNNSAEPHHQSLQQHHLQHQKQSASPQLVVPFDGTRSSGPSSGNPFNMGSISHLHPHHPFHHLLLHPASSTTTTTTTPPLPSSSTSSSSSSSASTTTTNPPQLVDASLAIATRSTSLNIDSKNQTDLPISSTTTPASTTTTTTTANPPVKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNISLRSSGSTLSAPPSKSAPHSFHGALALASHHHHHHPYEEGFAHSALLGFQQQHLLTADQIAEALPGGGGGDGGNLSENYMRKRFREDLFKDDDQQQGESGSGGGDGSPIKAFKSGLTQLSKPQQDAGSSALPRPSNILPGTAMWAVAPAPSSGAGSTFWMLPMSAGAGGPSVAPSAATGAGPSDHPQMWPFGTANQASGNTLQAPLHFLPRFNLPGNVEFQGGRASPLQLGSMLMQQQQQQQQPHHHLGLGMSDSNLGMLAALNAYSRGGSNVNSDQNNPLEHHHQQHQPQGTDSGDDQDPNTSQ >KJB16152 pep chromosome:Graimondii2_0_v6:2:56433438:56435766:1 gene:B456_002G215000 transcript:KJB16152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTDLQSNKQTKNNSSSSNNNNNNSAEPHHQSLQQHHLQHQKQSASPQLVVPFDGTRSSGPSSGNPFNMGSISHLHPHHPFHHLLLHPASSTTTTTTTPPLPSSSTSSSSSSSASTTTTNPPQLVDASLAIATRSTSLNIDSKNQTDLPISSTTTPASTTTTTTTANPPVKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNISLRSSGSTLSAPPSKSAPHSFHGALALASHHHHHHPYEEGFAHSALLGFQQQHLLTADQIAEALPGGGGGDGGNLSENYMRKRFREDLFKDDDQQQGESGSGGGDGSPIKAFKSGLTQLSKPQQDAGSSALPRPSNILPGTAMWAVAPAPSSGAGSTFWMLPMSAGAGGPSVAPSAATGAGPSDHPQMWPFGTANQASGNTLQAPLHFLPRFNLPGNVEFQGGRASPLQLGSMLMQQQQQQQQPHHHLGLGMSDSNLGMLAALNAYSRGGSNVNSDQNNPLEHHHQQHQPQDMEHQVCDHQLIT >KJB12177 pep chromosome:Graimondii2_0_v6:2:265159:275954:1 gene:B456_002G004600 transcript:KJB12177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSYPARSSSPLSYRKSSSFSSTSSTSSFFSNKPMHHMSSSSSSSFFNSGSEYGSRSMSDSMHYGSQGYNARPPVAYGSDEIIGEPFEASRPGDSISVTIRFRPLNEREFHRGDEIAWYADEDNIVRNEYNPATAYAFDKVFGPQATSQEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNAPGIIPLAIKEVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYIEGVKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDEYDGVIFSQLNLIDLAGSESSKTDTTGIRRKEGSYINKSLLTLGTVIGKLSEGKACHVPYRDSKLTRLLQSSLSGHGLVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKEELDRLRKGMVVGVNHEELLSLKQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKDTIPGSLSDLPSHQRRHSVGEEDKLDLRVGDTLLIDDENQKDSPSLVSALVTGPCYEFKHRRSSSRRNDEFSPASRTFTESTQAGELITGSKPLAGRMTSDQMDLLVEQVKMLAGEIALSTSTLKRLVDQSVNDPDGSKTQIQNLEREIQEKKRQMRVLEQRITESEASISKATFVDMQQKVMKLMTQCNEQSFELEIKSADNRILQEQLQNKCSEIEELQEKVNLLEQHLASLSGDKLLLSSKEGISEEYVDELRKKVQSQVQLSEEASGLRVQNQKLAEEASYAKELASAAAVELKSLAGEVTKLSVQNGKLEKELIAARELAHSRSFANSTFNGVNRKYNDSMRSGRKGRLSGRSQDFSVAVGDDFESWNLDLDDLKMELQARKQQEAALKAALAEKELIEDEYRKKVEEAKKREEALENDLANMWVLVAKLKKGGAAATLDSNTDEPHSNGTDNIEDPKANNIESNNVLKERQVSEVPSKPANERPKEEPLVVRLKARMQEMKEKELKSLGNGDTNSYIL >KJB12173 pep chromosome:Graimondii2_0_v6:2:265159:275401:1 gene:B456_002G004600 transcript:KJB12173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSYPARSSSPLSYRKSSSFSSTSSTSSFFSNKPMHHMSSSSSSSFFNSGSEYGSRSMSDSMHYGSQGYNARPPVAYGSDEIIGEPFEASRPGDSISVTIRFRPLNEREFHRGDEIAWYADEDNIVRNEYNPATAYAFDKVFGPQATSQEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNAPGIIPLAIKEVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYIEGVKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDEYDGVIFSQLNLIDLAGSESSKTDTTGIRRKEGSYINKSLLTLGTVIGKLSEGKACHVPYRDSKLTRLLQSSLSGHGLVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKEELDRLRKGMVVGVNHEELLSLKQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKDTIPGSLSDLPSHQRRHSVGEEDKLDLRVGDTLLIDDENQKDSPSLVSALVTGPCYEFKHRRSSSRRNDEFSPASRTFTESTQAGELITGSKPLAGRMTSDQMDLLVEQVKMLAGEIALSTSTLKRLVDQSVNDPDGSKTQIQNLEREIQEKKRQMRVLEQRITESEASISKATFVDMQQKVMKLMTQCNEQSFELEIKSADNRILQEQLQNKCSEIEELQEKVNLLEQHLASLSGDKLLLSSKEGISEEYVDELRKKVQSQEIENEKIKLEQVQLSEEASGLRVQNQKLAEEASYAKELASAAAVELKSLAGEVTKLSVQNGKLEKELIAARELAHSRSFANSTFNGVNRKYNDSMRSGRKGRLSGRSQDFSVAVGDDFESWNLDLDDLKMELQARKQQEAALKAALAEKELIEDEYRKKVEEAKKREEALENDLANMWVLVAKLKKGGAAATLDSNTDEPHSNGTDNIEDPKANNIESNNVLKERQVSEVPSKPANERPKEEPLVVRLKARMQEMKEKELKSLGNGDTNSYIL >KJB12178 pep chromosome:Graimondii2_0_v6:2:265159:275954:1 gene:B456_002G004600 transcript:KJB12178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSYPARSSSPLSYRKSSSFSSTSSTSSFFSNKPMHHMSSSSSSSFFNSGSEYGSRSMSDSMHYGSQGYNARPPVAYGSDEIIGEPFEASRPGDSISVTIRFRPLNEREFHRGDEIAWYADEDNIVRNEYNPATAYAFDKVFGPQATSQEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNAPGIIPLAIKEVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYIEGVKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDEYDGVIFSQLNLIDLAGSESSKTDTTGIRRKEGSYINKSLLTLGTVIGKLSEGKACHVPYRDSKLTRLLQSSLSGHGLVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKEELDRLRKGMVVGVNHEELLSLKQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKDTIPGSLSDLPSHQRRHSVGEEDQKLDLRVGDTLLIDDENQKDSPSLVSALVTGPCYEFKHRRSSSRRNDEFSPASRTFTESTQAGELITGSKPLAGRMTSDQMDLLVEQVKMLAGEIALSTSTLKRLVDQSVNDPDGSKTQIQNLEREIQEKKRQMRVLEQRITESEASISKATFVDMQQKVMKLMTQCNEQSFELEIKSADNRILQEQLQNKCSEIEELQEKVNLLEQHLASLSGDKLLLSSKEGISEEYVDELRKKVQSQEIENEKIKLEQVQLSEEASGLRVQNQKLAEEASYAKELASAAAVELKSLAGEVTKLSVQNGKLEKELIAARELAHSRSFANSTFNGVNRKYNDSMRSGRKGRLSGRSQDFSVAVGDDFESWNLDLDDLKMELQARKQQEAALKAALAEKELIEDEYRKKVEEAKKREEALENDLANMWVLVAKLKKGGAAATLDSNTDEPHSNGTDNIEDPKANNIESNNVLKERQVSEVPSKPANERPKEEPLVVRLKARMQEMKEKELKSLGNGDTNSYIL >KJB12174 pep chromosome:Graimondii2_0_v6:2:265443:274902:1 gene:B456_002G004600 transcript:KJB12174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSYPARSSSPLSYRKSSSFSSTSSTSSFFSNKPMHHMSSSSSSSFFNSGSEYGSRSMSDSMHYGSQGYNARPPVAYGSDEIIGEPFEASRPGDSISVTIRFRPLNEREFHRGDEIAWYADEDNIVRNEYNPATAYAFDKVFGPQATSQEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNAPGIIPLAIKEVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYIEGVKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDEYDGVIFSQLNLIDLAGSESSKTDTTGIRRKEGSYINKSLLTLGTVIGKLSEGKACHVPYRDSKLTRLLQSSLSGHGLVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKEELDRLRKGMVVGVNHEELLSLKQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKDTIPGSLSDLPSHQRRHSVGEEDKLDLRVGDTLLIDDENQKDSPSLVSALVTGPCYEFKHRRSSSRRNDEFSPASRTFTESTQAGELITGSKPLAGRMTSDQMDLLVEQVKMLAGEIALSTSTLKRLVDQSVNDPDGSKTQIQNLEREIQEKKRQMRVLEQRITESEASISKATFVDMQQKVMKLMTQCNEQSFELEIKSADNRILQEQLQNKCSEIEELQEKVNLLEQHLASLSGDKLLLSSKEGISEEYVDELRKKVQSQEIENEKIKLEQVQLSEEASGLRVQNQKLAEEASYAKELASAAAVELKSLAGEVTKLSVQNGKLEKELIAARELAHSRSFANSTFNGVNRKYNDSMRSGRKGRLSGRSQDFSVAVGDDFESWNLDLDDLKMELQARKQQEAALKAALAEKELIEDEYRKKVEEAKKREEALENDLANMWVLVAKLKKGGAAATLDSNTDEPHSNGTDNIEDPKANNIESNNVLKERQVSEVPSKPANERPKEEPLVVRLKV >KJB12175 pep chromosome:Graimondii2_0_v6:2:265115:275987:1 gene:B456_002G004600 transcript:KJB12175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSYPARSSSPLSYRKSSSFSSTSSTSSFFSNKPMHHMSSSSSSSFFNSGSEYGSRSMSDSMHYGSQGYNARPPVAYGSDEIIGEPFEASRPGDSISVTIRFRPLNEREFHRGDEIAWYADEDNIVRNEYNPATAYAFDKVFGPQATSQEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNAPGIIPLAIKEVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYIEGVKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDEYDGVIFSQLNLIDLAGSESSKTDTTGIRRKEGSYINKSLLTLGTVIGKLSEGKACHVPYRDSKLTRLLQSSLSGHGLVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKEELDRLRKGMVVGVNHEELLSLKQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKDTIPGSLSDLPSHQRRHSVGEEDKLDLRVGDTLLIDDENQKDSPSLVSALVTGPCYEFKHRRSSSRRNDEFSPASRTFTESTQAGELITGSKPLAGRMTSDQMDLLVEQVKMLAGEIALSTSTLKRLVDQSVNDPDGSKTQIQNLEREIQEKKRQMRVLEQRITESEASISKATFVDMQQKVMKLMTQCNEQSFELEIKSADNRILQEQLQNKCSEIEELQEKVNLLEQHLASLSGDKLLLSSKEGISEEYVDELRKKVQSQEIENEKIKLEQVQLSEEASGLRVQNQKLAEEASYAKELASAAAVELKSLAGEVTKLSVQNGKLEKELIAARELAHSRSFANSTFNGVNRKYNDSMRSGRKGRLSGRSQDFSVAVGDDFESWNLDLDDLKMELQARKQQEAALKAALAEKELIEDEYRKKVEEAKKREEALENDLANMWVLVAKLKKGGAAATLDSNTDEPHSNGTDNIEDPKANNIESNNVLKERQVSEVPSKPANERPKEEPLVVRLKARMQEMKEKELKSLGNGDTNSYIL >KJB12176 pep chromosome:Graimondii2_0_v6:2:265159:275954:1 gene:B456_002G004600 transcript:KJB12176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSYPARSSSPLSYRKSSSFSSTSSTSSFFSNKPMHHMSSSSSSSFFNSGSEYGSRSMSDSMHYGSQGYNARPPVAYGSDEIIGEPFEASRPGDSISVTIRFRPLNEREFHRGDEIAWYADEDNIVRNEYNPATAYAFDKVFGPQATSQEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNAPGIIPLAIKEVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYIEGVKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDEYDGVIFSQLNLIDLAGSESSKTDTTGIRRKEGSYINKSLLTLGTVIGKLSEGKACHVPYRDSKLTRLLQSSLSGHGLVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKEELDRLRKGMVVGVNHEELLSLKQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKDTIPGSLSDLPSHQRRHSVGEEDKLDLRVGDTLLIDDENQKDSPSLVSALVTGPCYEFKHRRSSSRRNDEFSPASRTFTESTQAGELITGSKPLAGRMTSDQMDLLVEQVKMLAGEIALSTSTLKRLVDQSVNDPDGSKTQIQNLEREIQEKKRQMRVLEQRITESEASISKMELQARKQQEAALKAALAEKELIEDEYRKKVEEAKKREEALENDLANMWVLVAKLKKGGAAATLDSNTDEPHSNGTDNIEDPKANNIESNNVLKERQVSEVPSKPANERPKEEPLVVRLKARMQEMKEKELKSLGNGDTNSYIL >KJB12780 pep chromosome:Graimondii2_0_v6:2:2811428:2816035:1 gene:B456_002G036200 transcript:KJB12780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTRGRRSPSVSGSGSSSRSRSRSRSRSRSYSGSDSKSSSRSRSVSRSRSASPSSSRSRSRSLSSSPSRSGSSRSRSPPQRRSATDASRRGRSPPPQSKKSSPAPRKTSPIRESLVLYVDSLSRNVNEGHLREIFGNFGEVVNVDLAMDRALNLPRGFGYVEFKTRADAEKALLYMDGAQIDGNVVRAKFTLPPRPKASPPPKPNPSATTKRDAPKSDNVNADTEKDGPKRPREPSPQRKPPPSPRRRSPVGRRGGSPRRPPESPRRRPDSPVRRRGETPPRRRPASPARGRSPLSPPRRLRSPARTSPRRMRGSPIRRRSPPPRRRSPPRRPRSPPRRSPFNRRRSPSPIRRRSRSPIRRPLRSRSRSISPPRGPAARRGRSSSYSRSPSPRKAGRRVSRSRSPRRPLRGRRSSSKSSSSSSPPRRP >KJB12777 pep chromosome:Graimondii2_0_v6:2:2811428:2816035:1 gene:B456_002G036200 transcript:KJB12777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTRGRRSPSVSGSGSSSRSRSRSRSRSRSYSGSDSKSSSRSRSVSRSRSASPSSSRSRSRSLSSSPSRSGSSRSRSPPQRRSATDASRRGRSPPPQSKKSSPAPRKTSPIRESLVLYVDSLSRNVNEGHLREIFGNFGEVVNVDLAMDRALNLPRGFGYVEFKTRADAEKALLYMDGAQIDGNVVRAKFTLPPRPKASPPPKPNPSATTKRDAPKSDNVNADTEKDGPKRPREPSPQRKPPPSPRRRSPVGRRGGSPRRPPESPRRRPDSPVRRRGETPPRRRPASPARGRSPLSPPRRLRSPARTSPRRMRGSPIRRRSPPPRRRYAYDLVALQEGLPSIVDVALLQFVGGAVLQFAGLFVLVQGQSHHQEAEDLQHDVGGHHPIPDHPVPERQDEGFQGVAVLGGH >KJB12779 pep chromosome:Graimondii2_0_v6:2:2811428:2816035:1 gene:B456_002G036200 transcript:KJB12779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTRGRRSPSVSGSGSSSRSRSRSRSRSRSYSGSDSKSSSRSRSVSRSRSASPSSSRSRSRSLSSSPSRSGSSRSRSPPQRRSATDASRRGRSPPPQSKKSSPAPRKTSPIRESLVLYVDSLSRNVNEGHLREIFGNFGEVVNVDLAMDRALNLPRGFGYVEFKTRADAEKALLYMDGIDGNVVRAKFTLPPRPKASPPPKPNPSATTKRDAPKSDNVNADTEKDGPKRPREPSPQRKPPPSPRRRSPVGRRGGSPRRPPESPRRRPDSPVRRRGETPPRRRPASPARGRSPLSPPRRLRSPARTSPRRMRGSPIRRRSPPPRRRSPPRRPRSPPRRSPFNRRRSPSPIRRRSRSPIRRPLRSRSRSISPPRGRGPAARRGRSSSYSRSPSPRKAGRRVSRSRSPRRPLRGRRSSSKSSSSSSPPRRP >KJB12776 pep chromosome:Graimondii2_0_v6:2:2811518:2815388:1 gene:B456_002G036200 transcript:KJB12776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTRGRRSPSVSGSGSSSRSRSRSRSRSRSYSGSDSKSSSRSRSVSRSRSASPSSSRSRSRSLSSSPSRSGSSRSRSPPQRRSATDASRRGRSPPPQSKKSSPAPRKTSPIRESLVLYVDSLSRNVNEGHLREIFGNFGEVVNVDLAMDRALNLPRGFGYVEFKTRADAEKALLYMDGAQIDGNVVRAKFTLPPRPKASPPPKPNPSATTKRDAPKSDNVNADTEKDGPKRPREPSPQRKPPPSPRRRSPVGRRGGSPRRPPESPRRRPDSPVRRRGETPPRRRPASPARGRSPLSPPRRLRSPARTSPRRMRGSPIRRRSPPPRRRSPPRRPRSPPRRSPFNRRRSPSPIRRRSRSPIRRPLRSRSRSISPPRQRTCSTTWEVIILFPITQSPKGRTKGFKESQS >KJB12775 pep chromosome:Graimondii2_0_v6:2:2811296:2816035:1 gene:B456_002G036200 transcript:KJB12775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTRGRRSPSVSGSGSSSRSRSRSRSRSRSYSGSDSKSSSRSRSVSRSRSASPSSSRSRSRSLSSSPSRSGSSRSRSPPQRRSATDASRRGRSPPPQSKKSSPAPRKTSPIRESLVLYVDSLSRNVNEGHLREIFGNFGEVVNVDLAMDRALNLPRGFGYVEFKTRADAEKALLYMDGAQIDGNVVRAKFTLPPRPKASPPPKPNPSATTKRDAPKSDNVNADTEKDGPKRPREPSPQRKPPPSPRRRSPVGRRGGSPRRPPESPRRRPDSPVRRRGETPPRRRPASPARGRSPLSPPRRLRSPARTSPRRMRGSPIRRRSPPPRRRSPPRRPRSPPRRSPFNRRRSPSPIRRRSRSPIRRPLRSRSRSISPPRGRGPAARRGRSSSYSRSPSPRKAGRRVSRSRSPRRPLRGRRSSSKSSSSSSPPRRP >KJB12778 pep chromosome:Graimondii2_0_v6:2:2811428:2816035:1 gene:B456_002G036200 transcript:KJB12778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTRGRRSPSVSGSGSSSRSRSRSRSRSRSYSGSDSKSSSRSRSVSRSRSASPSSSRSRSRSLSSSPSRSGSSRSRSPPQRRSATDASRRGRSPPPQSKKSSPAPRKTSPIRESLVLYVDSLSRNVNEGHLREIFGNFGEVVNVDLAMDRALNLPRGFGYVEFKTRADAEKALLYMDGAQIDGNVVRAKFTLPPRPKASPPPKPNPSATTKRDAPKSDNVNADTEKDGPKRPREPSPQRKPPPSPRRRSPVGRRGGSPRRPPESPRRRPDSPVRRRGETPPRRRPASPARGRSPLSPPRRLRSPARTSPRRMRGSPIRRRSPPPRRRSPPRRPRSPPRRSPFNRRRSPSPIRRRSRSPIRRPLRSRSRSISPPRGRGPAARRGRSSSYSRSPSPRKAGRRVSRSRSPRRRSSSKSSSSSSPPRRP >KJB12614 pep chromosome:Graimondii2_0_v6:2:2033312:2037331:-1 gene:B456_002G027000 transcript:KJB12614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDPESDPQPEWNTQGPETGLEEPVCRLGLEGGSESYPERPDEADCIYYLRTGFCGYGSKCRFNHPRDRAGVMGVGRGGVGEYPERAGQPVCQYYMRTGACKFGASCKYHHPKQGGGSVSSVPLNYYGYPLRPGEKECSYYVKTGQCKFGTTCKFHHPAPPAMQVPAPSPAPQVAPAPTPMPAPTMYSTVQSPSGPSSQQYGVVMARPPLMPGSYVQGPYGPLLLSQGVPSWNPYPGPVGYGTQPTVPSSSTFGATPLSPSATAYTGSHQFVPSSFEPSSNIQEEVSFPERPGQPECQYYMKTGRCKYGSSCRYHHPPEVFAPKADVVLSPLGLPLRPGAPPCTHYTQRGMCKFGAACRFDHPMGTLSYSPSASSLADMPVAPYPVGTTIGTLAPSSSSSELRPELLSGSSKDPAPAMISSSVSASSEPVGSVFSEGAPVPHSTTQQSSWSSAPSTGGGSDDDEPHSSS >KJB11843 pep chromosome:Graimondii2_0_v6:2:13050728:13050957:-1 gene:B456_002G1021001 transcript:KJB11843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGAGEEKKKFSIWDLPDVPMGQLPPHLELQRSRVSCNKDAPIH >KJB11845 pep chromosome:Graimondii2_0_v6:2:13050728:13050957:-1 gene:B456_002G1021001 transcript:KJB11845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGAGEEKKKFSIWDLPDVPMGQLPPHLELQRSRVSCNKDAPIH >KJB11846 pep chromosome:Graimondii2_0_v6:2:13050728:13050957:-1 gene:B456_002G1021001 transcript:KJB11846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGAGEEKKKFSIWDLPDVPMGQLPPHLELQRSRVSCNKDAPIH >KJB11844 pep chromosome:Graimondii2_0_v6:2:13050728:13050957:-1 gene:B456_002G1021001 transcript:KJB11844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGAGEEKKKFSIWDLPDVPMGQLPPHLELQRSRVSCNKDAPIH >KJB11842 pep chromosome:Graimondii2_0_v6:2:13050728:13050957:-1 gene:B456_002G1021001 transcript:KJB11842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGAGEEKKKFSIWDLPDVPMGQLPPHLELQRSRVSCNKDAPIH >KJB14526 pep chromosome:Graimondii2_0_v6:2:20162926:20166685:-1 gene:B456_002G129600 transcript:KJB14526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSELKDLNSKPAKTQQPDPNDDDASAKDDRPLLKSTASSAAEDIQELEKKFAAFVRNDVYGSLGCGKLPLKEKLLLGIALVTLLPLRLLLVMSILVLYYLICKIFTLCSAPNLEEEQEDYAHLGGCRRAVILQSGRYLSRLLLFMVGFYWINETHKDSANTQDNSKTESTKQSEERVRPGAIVSNHLSYLDVLYHMSSSFPSFVAKKSVSKLPLVGLIGKCLGCVFVQRESKSSDFKGVAGIVTDRVRNAHQNELAPMMLLFPEGTTTNGDFLLPFKTGAFLAGAPVMPVILRYSYRRFSPAWESISGVRHVVFLLCQFVNNLEVTRLPIYHPSQQEKDNPKLYANNVRKLMAAEGDLIVSDIGLAEKRIYLAALNGLLGQS >KJB14528 pep chromosome:Graimondii2_0_v6:2:20162941:20166638:-1 gene:B456_002G129600 transcript:KJB14528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSELKDLNSKPAKTQQPDPNDDDASAKDDRPLLKSTASSAAEDIQELEKKFAAFVRNDVYGSLGCGKLPLKEKLLLGIALVTLLPLRLLLVMSILVLYYLICKIFTLCSAPNLEEEQEDYAHLGGCRRAVILQSGRYLSRLLLFMVGFYWINETHKDSANTQDNSKTESTKQSEERVRPGAIVSNHLSYLDVLYHMSSSFPSFVAKKSVSKLPLVGLIGKCLGCVFVQRESKSSDFKGVAGIVTDRVRNAHQNELAPMMLLFPEGTTTNGDFLLPFKTGAFLAGAPVMPVILRYSYRRFSPAWESISGVRHVVFLLCQFVNNLEVTRLPIYHPSQQEKDNPKLYANNVRKLMAAEGDLIVSDIGLAEKRIYLAALNGNNRLPSVLHQKND >KJB14527 pep chromosome:Graimondii2_0_v6:2:20163354:20166685:-1 gene:B456_002G129600 transcript:KJB14527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSELKDLNSKPAKTQQPDPNDDDASAKDDRPLLKSTASSAAEDIQELEKKFAAFVRNDVYGSLGCGKLPLKEKLLLGIALVTLLPLRLLLVMSILVLYYLICKIFTLCSAPNLEEEQEDYAHLGGCRRAVILQSGRYLSRLLLFMVGFYWINETHKDSANTQDNSKTESTKQSEERVRPGAIVSNHLSYLDVLYHMSSSFPSFVAKKSVSKLPLVGLIGKCLGCVFVQRESKSSDFKGVAGIVTDRVRNAHQNELAPMMLLFPEGTTTNGDFLLPFKTGAFLAGAPVMPVILRYSYRRFSPAWESISGVRHVVFLLCQFVNNLEVTRLPIYHPSQQEKDNPKLYANNVRKLMAAEGDLIVSDIGLAEKRIYLAALNGNNRLPSVLHQKND >KJB15239 pep chromosome:Graimondii2_0_v6:2:41315044:41317996:-1 gene:B456_002G166700 transcript:KJB15239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWIAGLFISFSTLPCLPTLTSFHINMVVPTKKAMNQRLASWTLVVGLFVVSCVAELERFEHAGKPDGSLSFLVVGDWGRRGHYNQSQVAFQMGKIGEQLDIDFIISTGDNFYDNGLTGVDDPLFHQSFTDIYTAPSLQKQWYNVLGNHDYRGNAEAQLSPILTKMDNRWLCLRYFVLNAGPEIAEFFFVDTTPFVTKYFTDPEDHVYDWKAILPREKYLNNLLMEVESALGESRAKWKIVVGHHAIKSAGHHGNAKELNIHLLPLLQTYDVDLYINGHDHCLQHISSSDRYIFLYLKIWKKGSD >KJB15240 pep chromosome:Graimondii2_0_v6:2:41314798:41317996:-1 gene:B456_002G166700 transcript:KJB15240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWIAGLFISFSTLPCLPTLTSFHINMVVPTKKAMNQRLASWTLVVGLFVVSCVAELERFEHAGKPDGSLSFLVVGDWGRRGHYNQSQVAFQMGKIGEQLDIDFIISTGDNFYDNGLTGVDDPLFHQSFTDIYTAPSLQKQWYNVLGNHDYRGNAEAQLSPILTKMDNRWLCLRYFVLNAGPAEFFFVDTTPFVTKYFTDPEDHVYDWKAILPREKYLNNLLMEVESALGESRAKWKIVVGHHAIKSAGHHGNAKELNIHLLPLLQTYDVDLYINGHDHCLQHISSSDSGTQFMTSGGGSKAWRGDVSWWNPQELKFYHDGQGFMSVEMTPTEVSIKFYDVFGNVLHNWSSSKQLSFAI >KJB15238 pep chromosome:Graimondii2_0_v6:2:41314461:41318149:-1 gene:B456_002G166700 transcript:KJB15238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWIAGLFISFSTLPCLPTLTSFHINMVVPTKKAMNQRLASWTLVVGLFVVSCVAELERFEHAGKPDGSLSFLVVGDWGRRGHYNQSQVAFQMGKIGEQLDIDFIISTGDNFYDNGLTGVDDPLFHQSFTDIYTAPSLQKQWYNVLGNHDYRGNAEAQLSPILTKMDNRWLCLRYFVLNAGPEIAEFFFVDTTPFVTKYFTDPEDHVYDWKAILPREKYLNNLLMEVESALGESRAKWKIVVGHHAIKSAGHHGNAKELNIHLLPLLQTYDVDLYINGHDHCLQHISSSDSGTQFMTSGGGSKAWRGDVSWWNPQELKFYHDGQGFMSVEMTPTEVSIKFYDVFGNVLHNWSSSKQLSFAI >KJB15241 pep chromosome:Graimondii2_0_v6:2:41314477:41318065:-1 gene:B456_002G166700 transcript:KJB15241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWIAGLFISFSTLPCLPTLTSFHINMVVPTKKAMNQRLASWTLVVGLFVVSCVAELERFEHAGKPDGSLSFLVVGDWGRRGHYNQSQVAFQMGKIGEQLDIDFIISTGDNFYDNGLTGVDDPLFHQSFTDIYTAPSLQKQWYNVLGNHDYRGNAEAQLSPILTKMDNRWLCLRYFVLNAGPEFFFVDTTPFVTKYFTDPEDHVYDWKAILPREKYLNNLLMEVESALGESRAKWKIVVGHHAIKSAGHHGNAKELNIHLLPLLQTYDVDLYINGHDHCLQHISSSDSGTQFMTSGGGSKAWRGDVSWWNPQELKFYHDGQGFMSVEMTPTEVSIKFYDVFGNVLHNWSSSKQLSFAI >KJB16493 pep chromosome:Graimondii2_0_v6:2:59293193:59301231:-1 gene:B456_002G233300 transcript:KJB16493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVAAHSALTSTSQQIGGDALKIGTSVKRKTPSELRGEQLKRTNVAEHVSKSLAPSEMENGLKKADPQRNPKYIDIRMDEVFPAKKPRFKLPSGKENAKENSSIEQTSSLKKISAFSNLAAKRRQQLSCPEGSVASVDVPKDDVPHRTLEKCNQGTFLSVTELSTGGQKLSGLAAVDMDKALKGLAACEAIPNIPPESSERFDDISSGNFCSDFHVTGQKIPLDFTLKTYAQLVSSSPVNWLHRSMMCGTYNGMPQFTSHSGSSKGKSICSASQTRLASQVLNSNALHSWIYPQSTIPPSLISVLMSVAADGVEMDFLRKRLGAWEESFRSLYYMFRENACCIFYVCTSHFVVMFAAADGSGRSRSYHAYISQSTRGLRSSLKEQDVSFSMPLCHSYVEHVTTEDLLELSEIEKHNLGQTRRMNSFSDVDNTPQSLLAFSGKENVHGLYEILLNYRSFLTFLNTADVPLLYSPVPFQHAALSVPEVRCMEIKRPDRGTALPHGSILKDSCSMPNSSAGLCYSIEIKDSYIPPWIISNMCAQMVSKGQSFEASFTTEHTSVGLNIGVGAVCEIGDSSEATQETIGYAFDIPGVMVSPHLNSGLVKGLKYCNDAYIVSLTPL >KJB16491 pep chromosome:Graimondii2_0_v6:2:59293193:59301002:-1 gene:B456_002G233300 transcript:KJB16491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVAAHSALTSTSQQIGGDALKIGTSVKRKTPSELRGEQLKRTNVAEHVSKSLAPSEMENGLKKADPQRNPKYIDIRMDEVFPAKKPRFKLPSGKENAKENSSIEQTSSLKKISAFSNLAAKRRQQLSCPEGSVASVDVPKDDVPHRTLEKCNQGTFLSVTELSTGGQKLSGLAAVDMDKALKGLAACEAIPNIPPESSERFDDISSGNFCSDFHVTGQKIPLDFTLKTYAQLVSSSPVNWLHRSMMCGTYNGMPQFTSHSGSSKGKSICSASQTRLASQVLNSNALHSWIYPQSTIPPSLISVLMSVAADGVEMDFLRKRLGAWEESFRSLYYMFRENACCIFYVCTSHFVVMFAAADGSGRSRSYHAYISQSTRGLRSSLKEQTRRMNSFSDVDNTPQSLLAFSGKENVHGLYEILLNYRSFLTFLNTADVPLLYSPVPFQHAALSVPEVRCMEIKRPDRGTALPHGSILKDSCSMPNSSAGLCYSIEIKDSYIPPWIISNMCAQMVSKGQSFEASFTTEHTSVGLNIGVGAVCEIGDSSEATQETIGYAFDIPGVMVSPHLNSGLVKGLKYCNDAYIVSLTPL >KJB16492 pep chromosome:Graimondii2_0_v6:2:59293193:59301002:-1 gene:B456_002G233300 transcript:KJB16492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVAAHSALTSTSQQIGGDALKIGTSVKRKTPSELRGEQLKRTNVAEHVSKSLAPSEMENGLKKADPQRNPKYIDIRMDEVFPAKKPRFKLPSGKENAKENSSIEQTSSLKKISAFSNLAAKRRQQLSCPEGSVASVDVPKDDVPHRTLEKCNQGTFLSVTELSTGGQKLSGLAAVDMDKALKGLAACEAIPNIPPESSERFDDISSGNFCSDFHVTGQKIPLDFTLKTYAQLVSSSPVNWLHRSMMCGTYNGMPQFTSHSGSSKGKSICSASQTRLASQVLNSNALHSWIYPQSTIPPSLISVLMSVAADGVEMDFLRKRLGAWEESFRSLYYMFRENACCIFYVCTSHFVVMFAAADGSGRSRSYHAYISQSTRGLRSSLKEQDVSFSMPLCHSYVEHVTTEDLLELSEIEKHNLGQTRRMNSFSDVDNTPQSLLAFSGKENVHGLYEILLNYRSFLTFLNTADVPLLYSPVPFQHAALSVPEVNAWR >KJB16494 pep chromosome:Graimondii2_0_v6:2:59293193:59301214:-1 gene:B456_002G233300 transcript:KJB16494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVAAHSALTSTSQQIGGDALKIGTSVKRKTPSELRGEQLKRTNVAEHVSKSLAPSEMENGLKKADPQRNPKYIDIRMDEVFPAKKPRFKLPSGKENAKENSSIEQTSSLKKISAFSNLAAKRRQQLSCPEGSVASVDVPKDDVPHRTLEKCNQGTFLSVTELSTGGQKLSGLAAVDMDKALKGLAACEAIPNIPPESSERFDDISSGNFCSDFHVTGQKIPLDFTLKTYAQLVSSSPVNWLHRSMMCGTYNGMPQFTSHSGSSKGKSICSASQTRLASQVLNSNALHSWIYPQSTIPPSLISVLMSVAADGVEMDFLRKRLGAWEESFRSLYYMFRENACCIFYVCTSHFVVMFAAADGSGRSRSYHAYISQSTRGLRSSLKEQDVSFSMPLCHSYVEHVTTEDLLELSEIEKHNLGQTRRMNSFSDVDNTPQSLLAFSGKENVHGLYEILLNYRSFLTFLNTADVPLLYSPVPFQHAALSVPEVRCMEIKRPDRGTALPHGSILKDSCSMPNSSAGLCYSIEIKDSYIPPWIISNMCAQMVSKGQSFEASFTTEHTSVGLNIGVGAVCEIGDSSEATQETIGYAFDIPGVMVSPHLNSGLVKGLKYCNDAYIVSLTPL >KJB14013 pep chromosome:Graimondii2_0_v6:2:14401527:14401982:-1 gene:B456_002G106600 transcript:KJB14013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DIHKKHLINYEFNTSCLAERRIFLTHYQTITYSQTLCGANSFHFLSRGKPFLLRLALSPFRGILVIGSIGTGRSYLFKYLATNSYVPFITVFLNKFLDNKLKGFLIDDFDIDDSDDIDASDVIDDRYAIDRDLDTELELLTMMNALNYVLK >KJB13968 pep chromosome:Graimondii2_0_v6:2:13157467:13165361:-1 gene:B456_002G103500 transcript:KJB13968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSERKMPQETTSPSRNPRGLKALPSNNVENPLSEDTFNVYYDFAQRKAEEAASRRYQATEWLREMDQGASKSLPKEPSEEEFCLALRNGLILCNVLNKVNPGAIPKIVENPVIPVQSTEGAAQSAIQYFENMRNFLVSVKDMQLLTFEASDVEKGGSMNKVVDCILCLKGYYEWKKAGGIGVWRYGGTVKITALPKGSPPSLVGSESADDSLDGSESSQYEQLLEFLHLSNEVAIEESKTANALAFLFDRFGLWLLQAYLRESNEIEEFPLNAMVIDTLISKIVKDFSALLVSQGTQLGLFLKKILKTDFNSLSKSDFMEAISLYLGQRTSLASNDFFKFCICGGKREVIHQTVNHSTAYAQLIHLHQRELKEIKLDFQETKLGVKQIHSNWAEQLRRLEHHIKGLEVASSSYHKVLEENRMLYNQVQDLKGTIRVYCRVRPFLQGQTNGQSTVDYIGENGNIMIVNPLKQGKDARKVFSFNKVFGQNVSQEQIYIDTQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPDMTTEQTWGVNYRALRDLFQISKERSDFIRYEVGVQMIEIYNEQVRDLLVMDGSNRRLDIRNNSQLNGLNVPDASWVPVSSTQDVLEFMRIGQTNRAVGATALNERSSRSHSVLTIHVYGKELVSGSILKGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSAHIPYRNSKLTQVLQDSLGGQAKTLMFVHVSPEVNAIGETISTLKFAERVASVELGAARSNKETGEIQELKEEVKI >KJB13966 pep chromosome:Graimondii2_0_v6:2:13157344:13165646:-1 gene:B456_002G103500 transcript:KJB13966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQETTSPSRNPRGLKALPSNNVENPLSEDTFNVYYDFAQRKAEEAASRRYQATEWLREMDQGASKSLPKEPSEEEFCLALRNGLILCNVLNKVNPGAIPKIVENPVIPVQSTEGAAQSAIQYFENMRNFLVSVKDMQLLTFEASDVEKGGSMNKVVDCILCLKGYYEWKKAGGIGVWRYGGTVKITALPKGSPPSLVGSESADDSLDGSESSQYEQLLEFLHLSNEVAIEESKTANALAFLFDRFGLWLLQAYLRESNEIEEFPLNAMVIDTLISKIVKDFSALLVSQGTQLGLFLKKILKTDFNSLSKSDFMEAISLYLGQRTSLASNDFFKFCICGGKREVIHQTVNHSTAYAQLIHLHQRELKEIKLDFQETKLGVKQIHSNWAEQLRRLEHHIKGLEVASSSYHKVLEENRMLYNQVQDLKGTIRVYCRVRPFLQGQTNGQSTVDYIGENGNIMIVNPLKQGKDARKVFSFNKVFGQNVSQEQIYIDTQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPDMTTEQTWGVNYRALRDLFQISKERSDFIRYEVGVQMIEIYNEQVRDLLVMDGSNRRLDIRNNSQLNGLNVPDASWVPVSSTQDVLEFMRIGQTNRAVGATALNERSSRSHSVLTIHVYGKELVSGSILKGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSAHIPYRNSKLTQVLQDSLGGQAKTLMFVHVSPEVNAIGETISTLKFAERVASVELGAARSNKETGEIQELKEEISNLKLALEKKEAEVEQLKVGNVRSMTESQRGRAVSPFQIPRHGTSSSIKPGDDNRSSEATSRSASSGKQRRSRFPSAFAVADKEMLPKMPSPAEERLASALKARSPSPPVRRSSSTDRGASNRSRTKVESVDNQPISRVPFPARVPVNKSFATTTVTPSSDATSSGVHSSFQETTKQENISDALYNLRKLSIKKVHSELEDEQFRQALNVRQGGIRKNKAESKAKIKHQLPATLEKTDVAMTLLSEMDDGEKMEQPPKSDFTEPETEQSLVGSPMYAALKMKKLGHNLSRYPHNFEQRGLVQPQAVVPLQGGKTDRSPKEGSNTNLMPEFRRSRSTPRVKFLVLP >KJB13967 pep chromosome:Graimondii2_0_v6:2:13157467:13165199:-1 gene:B456_002G103500 transcript:KJB13967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQETTSPSRNPRGLKALPSNNVENPLSEDTFNVYYDFAQRKAEEAASRRYQATEWLREMDQGASKSLPKEPSEEEFCLALRNGLILCNVLNKVNPGAIPKIVENPVIPVQSTEGAAQSAIQYFENMRNFLVSVKDMQLLTFEASDVEKGGSMNKVVDCILCLKGYYEWKKAGGIGVWRYGGTVKITALPKGSPPSLVGSESADDSLDGSESSQYEQLLEFLHLSNEVAIEESKTANALAFLFDRFGLWLLQAYLRESNEIEEFPLNAMVIDTLISKIVKDFSALLVSQGTQLGLFLKKILKTDFNSLSKSDFMEAISLYLGQRTSLASNDFFKFCICGGKREVIHQTVNHSTAYAQLIHLHQRELKEIKLDFQETKLGVKQIHSNWAEQLRRLEHHIKGLEVASSSYHKVLEENRMLYNQVQDLKGTIRVYCRVRPFLQGQTNGQSTVDYIGENGNIMIVNPLKQGKDARKVFSFNKVFGQNVSQEQIYIDTQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPDMTTEQTWGVNYRALRDLFQISKERSDFIRYEVGVQMIEIYNEQVRDLLVMDGSNRRLDIRNNSQLNGLNVPDASWVPVSSTQDVLEFMRIGQTNRAVGATALNERSSRSHSVLTIHVYGKELVSGSILKGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSAHIPYRNSKLTQVLQDSLGGQAKTLMFVHVSPEVNAIGETISTLKFAERVASVELGAARSNKETGEIQELKEEISNLKLALEKKEAEVEQLKVGNVRSMTESQRGRAVSPFQIPRHGTSSSIKPGDDNRSSEATSRSASSGKQRRSRFPSAFAVADKEMLPKMPSPAEERLASALKARSPSPPVRRSSSTDRGASNRSRTKVESVDNQPISRVPFPARVPVNKSFATTTVTPSSDATSSGVHSSFQETTKQENISDALYNLRKLSIKKVHSELEDEQFRQALNVRQGGIRKNKAESKAKIKHQLPATLEKTDVAMTLLSEMDDGEKMEQPPKSDFTEPETEQSLVGSPMYAALKMKKLGHNLSRYPHNFEQRYK >KJB13969 pep chromosome:Graimondii2_0_v6:2:13158970:13165646:-1 gene:B456_002G103500 transcript:KJB13969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQETTSPSRNPRGLKALPSNNVENPLSEDTFNVYYDFAQRKAEEAASRRYQATEWLREMDQGASKSLPKEPSEEEFCLALRNGLILCNVLNKVNPGAIPKIVENPVIPVQSTEGAAQSAIQYFENMRNFLVSVKDMQLLTFEASDVEKGGSMNKVVDCILCLKGYYEWKKAGGIGVWRYGGTVKITALPKGSPPSLVGSESADDSLDGSESSQYEQLLEFLHLSNEVAIEESKTANALAFLFDRFGLWLLQAYLRESNEIEEFPLNAMVIDTLISKIVKDFSALLVSQGTQLGLFLKKILKTDFNSLSKSDFMEAISLYLGQRTSLASNDFFKFCICGGKREVIHQTVNHSTAYAQLIHLHQRELKEIKLDFQETKLGVKQIHSNWAEQLRRLEHHIKGLEVASSSYHKVLEENRMLYNQVQDLKGTIRVYCRVRPFLQGQTNGQSTVDYIGENGNIMIVNPLKQGKDARKVFSFNKVFGQNVSQEQIYIDTQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPDMTTEQTWGVNYRALRDLFQISKERSDFIRYEVGVQMIEIYNEQVRDLLVMDGSNRRLDIRNNSQLNGLNVPDASWVPVSSTQDVLEFMRIGQTNRAVGATALNERSSRSHSVLTIHVYGKELVSGSILKGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSAHIPYRNSKLTQVLQDSLGGQAKTLMFVHVSPEVNAIGETISTLKFAERVASVELGAARSNKETGEIQELKEEISNLKLALEKKEAEVEQLKVGNVRSMTESQRGRAVSPFQIPRHGTSSSIKPGDDNRSSEVTLKHDGLSTELG >KJB15690 pep chromosome:Graimondii2_0_v6:2:51670313:51672661:1 gene:B456_002G191000 transcript:KJB15690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIEDKGCYNLGAANEISSSSVSAISFEFHKGNGTTNNRAAQSHHRTTTALGKPTTPSKWDDAQKWLVGLSRSRDKKTHSKAKPRNSNADDRRLIAPVPHKEQDYPSSDEEGGFAAAAVMSPKYEGETKKVDFDDSIWNSVSAVRSICVRDMGTEMTPIASQEPSRTTTPLRATTPAGRSPISSGSSTPVRCQHGGGPCAEDYQAGSGPTSTEGRAETNAAARGHGSNRQESDQARKQSTLETRAIAWDEAERAKYMARYKREEVKIQAWENHEKRKAEVEMKKIEVKAERLKARAKERYANKVAATRRIAEEKRANAESKLNEKAIRTSQRADYIRRTGHFPSSFSFKLPSLCW >KJB15689 pep chromosome:Graimondii2_0_v6:2:51670313:51672632:1 gene:B456_002G191000 transcript:KJB15689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIEDKGCYNLGAANEISSSSVSAISFEFHKGNGTTNNRAAQSHHRTTTALGKPTTPSKWDDAQKWLVGLSRSRDKKTHSKAKPRNSNADDRRLIAPVPHKEQDYPSSDEEGGFAAAAVMSPKYEGETKKVDFDDSIWNSVSAVRSICVRDMGTEMTPIASQEPSRTTTPLRATTPAGRSPISSGSSTPVRCQHGGGPCAEDYQAGSGPTSTEGRAETNAAARGHGSNRQESDQARKQSTLETRAIAWDEAERAKYMARYKREEVKIQAWENHEKRKAEVEMKKIERLL >KJB14914 pep chromosome:Graimondii2_0_v6:2:28330128:28335111:1 gene:B456_002G148400 transcript:KJB14914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISYSPAAFDGTSASDLLRSSSSSVTGIPLKTLGKVRFVVKRRDVIVAAKMRKMKKHEYPWPADPHPNVKGGVLTHLSHFKPLKEKLKPVTLDFEKPLDDVEKKIVDVRKMANETGLDFSDQIISLENKYQQALKELYLHLTPIQRVNIARHPNRPTFLDHVFNITDKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFIGQQKGRNTKENIQRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKSAKASPKAAEKLRITAPELCKLQICDGIIPEPPGGAHAGATWTSQEIKAAINETMDELTAMDTEELLKHRMHKFRKLGGFQEGVPIDPKKKVNMKKKEEKTVPRSSKAELEGEIEKLKQQILKAKESSTKPPEVALKNMIEKLKEEAYHEYSEAIRAMGLKDRLEMLREEVSKVNSKDRLMNPVLMDKIEKLKHELNQSLSAAPNYTILKYKLDMLKEVSTAKSLSKAATMKQEVNKKLSEIMAEVQSSGSSSFIDLDEGVKDKILKLKSEIELEMISALESLSLEVVVVKSNANPASLSVFKNNVDNLNEEINKTIEEVVNSSELKNMMELLKLEKAKAEAGNVESESKIEALQQQIKQRISEVISSSELKEKHQELKAEISEAIQSSGGANGSLQKETKHAEPMLEMNFA >KJB14913 pep chromosome:Graimondii2_0_v6:2:28330128:28335134:1 gene:B456_002G148400 transcript:KJB14913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKLHSLEQEMASISYSPAAFDGTSASDLLRSSSSSVTGIPLKTLGKVRFVVKRRDVIVAAKMRKMKKHEYPWPADPHPNVKGGVLTHLSHFKPLKEKLKPVTLDFEKPLDDVEKKIVDVRKMANETGLDFSDQIISLENKYQQALKELYLHLTPIQRVNIARHPNRPTFLDHVFNITDKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFIGQQKGRNTKENIQRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKSAKASPKAAEKLRITAPELCKLQICDGIIPEPPGGAHAGATWTSQEIKAAINETMDELTAMDTEELLKHRMHKFRKLGGFQEGVPIDPKKKVNMKKKEEKTVPRSSKAELEGEIEKLKQQILKAKESSTKPPEVALKNMIEKLKEEAYHEYSEAIRAMGLKDRLEMLREEVSKVNSKDRLMNPVLMDKIEKLKHELNQSLSAAPNYTILKYKLDMLKEVSTAKSLSKAATMKQEVNKKLSEIMGRPEIKEKLEH >KJB14909 pep chromosome:Graimondii2_0_v6:2:28330524:28335111:1 gene:B456_002G148400 transcript:KJB14909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISYSPAAFDGTSASDLLRSSSSSVTGIPLKTLGKVRFVVKRRDVIVAAKMRKMKKHEYPWPADPHPNVKGGVLTHLSHFKPLKEKLKPVTLDFEKPLDDVEKKIVDVRKMANETGLDFSDQIISLENKYQQALKELYLHLTPIQRVNIARHPNRPTFLDHVFNITDKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFIGQQKGRNTKENIQRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKSAKASPKAAEKLRITAPELCKLQICDGIIPEPPGGAHAGATWTSQEIKAAINETMDELTAMDTEELLKHRMHKFRKLGGFQEGVPIDPKKKVNMKKKEEKTVPRSSKAELEGEIEKLKQQILKAKESSTKPPEVALKNMIEKLKEEAYHEYSEAIRAMGLKDRLEMLREEVSKVNSKDRLMNPVLMDKIEKLKHELNQSLSAAPNYTILKYKLDMLKEVSTAKSLSKAATMKQEVNKKLSEIMGRPEIKEKLEH >KJB14911 pep chromosome:Graimondii2_0_v6:2:28330194:28335111:1 gene:B456_002G148400 transcript:KJB14911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISYSPAAFDGTSASDLLRSSSSSVTGIPLKTLGKVRFVVKRRDVIVAAKMRKMKKHEYPWPADPHPNVKGGVLTHLSHFKPLKEKLKPVTLDFEKPLDDVEKKIVDVRKMANETGLDFSDQIISLENKYQQALKELYLHLTPIQRVNIARHPNRPTFLDHVFNITDKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFIGQQKGRNTKENIQRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKSAKASPKAAEKLRITAPELCKLQICDGIIPEPPGGAHAGATWTSQEIKAAINETMDELTAMDTEELLKHRMHKFRKLGGFQEGVPIDPKKKVNMKKKEEKTVPRSSKAELEGEIEKLKQQILKAKESSTKPPEVALKNMIEKLKEEAYHEYSEAIRAMGLKDRLEMLREEVSKVNSKDRLMNPVLMDKIEKLKHELNQSLSAAPNYTILKYKLDMLKEVSTAKSLSKAATMKQEVNKKLSEIMGRPEIKEKLEH >KJB14912 pep chromosome:Graimondii2_0_v6:2:28330248:28335080:1 gene:B456_002G148400 transcript:KJB14912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISYSPAAFDGTSASDLLRSSSSSVTGIPLKTLGKVRKMANETGLDFSDQIISLENKYQQALKELYLHLTPIQRVNIARHPNRPTFLDHVFNITDKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFIGQQKGRNTKENIQRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKSAKASPKAAEKLRITAPELCKLQICDGIIPEPPGGAHAGATWTSQEIKAAINETMDELTAMDTEELLKHRMHKFRKLGGFQEGVPIDPKKKVNMKKKEEKTVPRSSKAELEGEIEKLKQQILKAKESSTKPPEVALKNMIEKLKEEAYHEYSEAIRAMGLKDRLEMLREEVSKVNSKDRLMNPVLMDKIEKLKHELNQSLSAAPNYTILKYKLDMLKEVSTAKSLSKAATMKQEVNKKLSEIMGRPEIKEKLEH >KJB14910 pep chromosome:Graimondii2_0_v6:2:28330557:28334351:1 gene:B456_002G148400 transcript:KJB14910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLEQEMASISYSPAAFDGTSASDLLRSSSSSVTGIPLKTLGKVRFVVKRRDVIVAAKMRKMKKHEYPWPADPHPNVKGGVLTHLSHFKPLKEKLKPVTLDFEKPLDDVEKKIVDVRKMANETGLDFSDQIISLENKYQQALKELYLHLTPIQRVNIARHPNRPTFLDHVFNITDKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFIGQQKGRNTKENIQRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKSAKASPKAAEKLRITAPELCKLQICDGIIPEPPGGAHAGATWTSQEIKAAINETMDELTAMDTEELLKHRMHKFRKLGGFQEGVPIDPKKKVNMKKKEEKTVPRSSKAELEGEIEKLKQQILKAKESSTKPPEVALKNMIEKLKEEAYHEYSEAIRAMGLKDRLEMLREEVSKVNSKDRLMNPVLMDKIEKLKHELNQSLSAAPNYTILKYKLDMLKEVSTAKSLSKAATMKQEVNKKLSEIMGRPEIKEKLEH >KJB14362 pep chromosome:Graimondii2_0_v6:2:17411881:17412858:1 gene:B456_002G121300 transcript:KJB14362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCERLANLALAEQLHKDNKAVAPEVATSSAITSSLDSSGILYLASLITKTSVLPKWLSYNNCNF >KJB14984 pep chromosome:Graimondii2_0_v6:2:31341543:31343160:1 gene:B456_002G153300 transcript:KJB14984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSGSFSRPPSSEFSPDHHVIQMTPQPSSPAGDGVDHLHKFFKDVESINGELKELEKLNGDLSSSHEQSKTLHNAKAVKELRAKMDSDVNMALQKAKLIKVRLEALDRSSDTSRNMPGCGPGSSSDRTRTSVVNGLRKKLKDSMESFNGLREKISSEYRETVERRYFTVTGENPDVRTLDLLISTGESETFMQKAIQEQGRGRVLDTINEIQERHDAVKDLEKNLKELHQVFMDMAVLVQTQGEELDDIERQVNRANSYVRDGATRLQTARNYQKNTRKWTCYAIILLLIILIIVLVIVRPW >KJB14422 pep chromosome:Graimondii2_0_v6:2:18174772:18175224:-1 gene:B456_002G124300 transcript:KJB14422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGLGKCSKIRHIVRLRQMLRRWRNKARVSASRIPSDVPAGHVAVYVGTSCRRFVVRLTYLNHPVFKKLLIQAEEEYGFTNQGPLSIPCDETVFEEVIRFISRSDSGHSGKFTCIEDFKGNCHVGIRINFDLWTESRPLLHGFVEKTIW >KJB12383 pep chromosome:Graimondii2_0_v6:2:988384:993765:1 gene:B456_002G015200 transcript:KJB12383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFPEILKCILCCEDEDIGIIDNRTSSRDAFSRTYNTFHRSSSIGESYRSSQTWSYPTSSLSSSPGNEWLYNIHSSTTSTKPSQSSVKPVLYPPSPLPSFKTSFTAQKPSPSPKGLTPPKSTTPSPKPSSSSSKPCPSLKPTLSELGKGTYKAVYPKDALPIYMIPKDIEDLIKRDIVPEVLGLTSPKPTTPSPKPSSSSFKPSPSLKPTLSELGKGKYKTLNPEDTLPIKPVLYPPSPLPSFKTSFTAQKPSPSPKGLTPPKSTTPSLKPSSSSCKPSPSLKPTLSELGKGKYKTVDPKDMLPIYMIPKDIEDLIKRDTVPEILKKPLSASSYQDFFAALLYAEDSYIEKWSSFALENVSMEFHSATISQKSGENKHLKASKKMDDKSFAVFKVDSLSKARPFLLSRDFVFAKRVGKETKPFQGVIYRVVKSTSILVEFGEEFHSQHDSTCRYNISFSFNRVCLKRAHQAIAAVSASLIGKFLFPNSFSLHPMHNSEYYNLYDHNLNLDEKSAVHRILNIRGPPPFLVKGPLCATFNGNSESISEQLSRTGLVVKEAVLQTYLRHPQSKILVCAPINRTCDVLTRSLKKDIPASDIFRANAAFREIEGVPIDILPSCLYKWDAECFSCPSLHKLREFRVIFSTFASSYRLYNAGISAGHFSHIFLVDASSATEPETLVAFANFADDSTTVIVTGAPGNRSSTVRSDIARQKGLRISYFERLYKLSPFKNDDPMFIAQLKDRSL >KJB12213 pep chromosome:Graimondii2_0_v6:2:464203:465186:-1 gene:B456_002G007300 transcript:KJB12213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVVAPLPTTEFNFDSSCSSPYITAPSSPQRFGGNLLFSTAPTSPSHDSSLYGELNGVSHVVGSSPAVPFGWEPKQSNDDDDGNNGCGDFEFNFSGQLERTSLSADELFAGGKIKPLKPPHLFEPPQAKQEQRGRERKSGFSFSSSTTSSSSYNYNLIHKKSRSLSPFRVTNIILDEQDECPSNFENPINFSSTKTPKSYVSSIFSAISFQRGNKKWKLRDLLLFRSASEGRATNIKEPLRKYSVLGKKEVEDVKHAPSLTTASSKRRTPPVSAHELHYTVNRAVAEEMRRKTFLPYKQGLLGCLGFNPGSMHEISRGVGSLTRG >KJB13348 pep chromosome:Graimondii2_0_v6:2:8084071:8087827:-1 gene:B456_002G069700 transcript:KJB13348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVSVDKVAEVDQSFLLDKENGKLDEDPVHNETIPVVPRCEEPSKGDGNNASNDNFPTDAVDEWPAAKQVHSFHFVRYRLYEDPKIKAKIDEADKELQKWSKTRFKITDELKAKRSDRAELLAQVRALNVDFEQYKEILDEKKKEIEPLQQALGKLRTNSYTGRGSMCSSEEELNDVIHSLQYRIQHESIPLAEEKQLLKEIKRLEGTREAVITNAAMRAKIQDSMGQKEVIQDQVKLIGVDLSGVRKEQFAVQSKKKQIKDKLTATEKKLESLQEELKAVTQKRDKAYQTILELRKQHDEENAYFYQSRSLINKAKIVAAKKDIKALEELANVEVEKFMDLWNGNKAFRDDYERRILKSLDTRLLSRDGRIRNPDEKPLVLPEVPVHTGTEALPKPGARQPKEEAKSSPQPGTKHPKKGQKDAETKAMESKSSPENVVADKISGSSNEEVDAAKQKEMKREEEIAKAKQALERKKKQAEKAAAKAAIRAQKEAEKKLKEIICFFSLVWVKTEILLMFFSFQEREKKAKKKAAASTTAINPEEPTEPMAEASESEKVDASAEAPVPAPVSVKDKAQKGNAIRYRNRTKGPEVLPRAILRRKKSTNYWTWAAPAALVVLILLALGYYYLV >KJB15655 pep chromosome:Graimondii2_0_v6:2:51137716:51140482:1 gene:B456_002G189700 transcript:KJB15655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVEGKVGGKLEDTELIYGITVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRLQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGRAGLVREKSFGTTKDKMLYIEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADKYPGVEQYAIRAFADALDSVPMALAENSGLQPIETLSAVKSQQIKDNNPHYGIDCNDVGTNDMREQDVFETLIGKQQQILLATQVVKMILKIDDVISPSDY >KJB15653 pep chromosome:Graimondii2_0_v6:2:51135966:51140512:1 gene:B456_002G189700 transcript:KJB15653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFDEYGRPFIILKEQDQKTRLRGIDAQKANISAGKAVARILRTSLGPKGMDKILQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRIAEGYEMASRIAVEHLEHISQKFDFGASNIEPLVQTCMTTLSSKIVNRCKRHLAEISVKAVLSVADLERKDVNLDMIKVEGKVGGKLEDTELIYGITVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRLQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGRAGLVREKSFGTTKDKMLYIEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADKYPGVEQYAIRAFADALDSVPMALAENSGLQPIETLSAVKSQQIKDNNPHYGIDCNDVGTNDMREQDVFETLIGKQQQILLATQVVKMILKIDDVISPSDY >KJB15652 pep chromosome:Graimondii2_0_v6:2:51135992:51140942:1 gene:B456_002G189700 transcript:KJB15652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFDEYGRPFIILKEQDQKTRLRGIDAQKANISAGKAVARILRTSLGPKGMDKILQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRIAEGYEMASRIAVEHLEHISQKFDFGASNIEPLVQTCMTTLSSKIVNRCKRHLAEISVKAVLSVADLERKDVNLDMIKVEGKVGGKLEDTELIYGITVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRLQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGRAGLVREKSFGTTKDKMLYIEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADKYPGVEQYAIRAFADALDSVPMALAENSGLQPIETLSAVKSQQIKDNNPHYGIDCNDVGTNDMREQDVFETLIGKQQQILLATQVVKMILKIDDVISPSDY >KJB15654 pep chromosome:Graimondii2_0_v6:2:51136695:51140482:1 gene:B456_002G189700 transcript:KJB15654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRIAEGYEMASRIAVEHLEHISQKFDFGASNIEPLVQTCMTTLSSKIVNRCKRHLAEISVKAVLSVADLERKDVNLDMIKVEGKVGGKLEDTELIYGITVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRLQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGRAGLVREKSFGTTKDKMLYIEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADKYPGVEQYAIRAFADALDSVPMALAENSGLQPIETLSAVKSQQIKDNNPHYGIDCNDVGTNDMREQDVFETLIGKQQQILLATQVVKMILKIDDVISPSDY >KJB13707 pep chromosome:Graimondii2_0_v6:2:11367102:11369519:-1 gene:B456_002G089900 transcript:KJB13707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMGGNNQITTQNEGGGSNNGGGEGGIMLKKGPWTAAEDAVLADYVRTHGEGNWNAVQKNTGLARCGKSCRLRWANHLRPNLKKGAFSPEEERIIVELHAKMGNKWARMATQLPGRTDNEIKNYWNTRVKRRQRQGLPLYPPEIQPLYSQHQRNQHRSLPSTPLPSPTATSTSSFSFQTPPNPSSSTTLHGSILTPAHPLHIPRSASSHVLYNPQTPPPLHSASAVSTPCPSPLPSSSPSTPTPVSPLQSPHKPAFSTLPLFDSSTSNTCNNNINTNNAILQSPSDFFFPRATPPLQTPMRYKRFRPDVSESINNERHNNSISVNGGCSSTSSSFMSQYPPFQKTSFFNSHAAPNVTTSTPLTSPHYSPSYTLDPVTLDLASSSRILADHQNFDNGQFISTPGFDYTSKTDDLPSNQFLSLDGTSEVTFDTKGNCNYISNDQNNHHHLSIHFGGGGLLDDMLEEAQLLAGDNDILRRESCLDGFNTSSDGLTSGKEETVTDQEINATQEEYSRLLNAIPSSMPMPDWYNNCGK >KJB16699 pep chromosome:Graimondii2_0_v6:2:60739751:60745508:-1 gene:B456_002G243400 transcript:KJB16699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFPSTTIFPDTTDDLSMQMAVIWGQIKAPLIVPLLKLAVVVCLIMSVMLFIERVYMGIVIALVKLFGRKPDKRFKWEAIKDDVELGNSAYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIVIQVLDDSTDPTIKDMVELECQRWASKGINIKYEIRDNRNGYKAGALKEGMKHSYVKHCDYVVIFDADFQPEPDFLWRTIPFLVHNPELALVQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYSFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGSLKVKNELPSTLKAYRYQQHRWSCGPANLFRKMVMEIIKNKKVSTWKKVHVIYSFFVVRKLVAHIVTFIFYCVVLPATVLVPEVEVPKWGAVYIPSIITILNAVGTPRSFHLLVFWILFENVMSLHRTKATFIGLLEAGRVNEWIVTEKLGDTHKSKAAAKAPRKPRFRFGERLHVLELSVGAYLFFCGCYDVVFGKNHYFIYLFAQAIAFFIMGFGYVGTIIPNS >KJB16698 pep chromosome:Graimondii2_0_v6:2:60739751:60745494:-1 gene:B456_002G243400 transcript:KJB16698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFPSTTIFPDTTDDLSMQMAVIWGQIKAPLIVPLLKLAVVVCLIMSVMLFIERVYMGIVIALVKLFGRKPDKRFKWEAIKDDVELGNSAYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIVIQVLDDSTDPTIKDMVELECQRWASKGINIKYEIRDNRNGYKAGALKEGMKHSYVKHCDYVVIFDADFQPEPDFLWRTIPFLVHNPELALVQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYSFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGSLKVKNELPSTLKAYRYQQHRWSCGPANLFRKMVMEIIKNKKVSTWKKVHVIYSFFVVRKLVAHIVTFIFYCVVLPATVLVPEVEVPKWGAVYIPSIITILNAVGTPRSFHLLVFWILFENVMSLHRTKATFIGLLEAGRVNEWIVTEKLGDTHKSKAAAKAPRKPRFRFGERLHVLELSVGAYLFFCGCYDVVFGKNHYFIYLFAQAIAFFIMGFGYVGTIIPNS >KJB15843 pep chromosome:Graimondii2_0_v6:2:53814357:53817658:-1 gene:B456_002G199200 transcript:KJB15843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDVEMKEQPAPSNSVPSSSPSTLHHLKEIASLIETGAYDREARRILRAIRLTMALRRKLKASVLSSFLSFALTPGSEAFTRLSSYLPKEDENEMEVDTATSTAQPPAKHSLPELEIYCYLLVLIFLIDQKKYDEAKACSSASIARLKNLNRRTLDVLAARLYLYYSLCYELTGTLSEIRSNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKECLLQAARKAPVAALGFRVQCNKWAIIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFRSVAEKFSTTFSSDRTHNLIVRLRHNVIRTGLRNISISYSRIALADVAKKLRLDSVADAESIVAKAIRDGAIDATLDHSNGCMLSKETGDIYSTTEPQTAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDEF >KJB15844 pep chromosome:Graimondii2_0_v6:2:53814401:53817177:-1 gene:B456_002G199200 transcript:KJB15844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRKLKASVLSSFLSFALTPGSEAFTRLSSYLPKEDENEMEVDTATSTAQPPAKHSLPELEIYCYLLVLIFLIDQKKYDEAKACSSASIARLKNLNRRTLDVLAARLYLYYSLCYELTGTLSEIRSNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKECLLQAARKAPVAALGFRVQCNKWAIIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFRSVAEKFSTTFSSDRTHNLIVRLRHNVIRTGLRNISISYSRIALADVAKKLRLDSVADAESIVAKAIRDGAIDATLDHSNGCMLSKETGDIYSTTEPQTAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDEF >KJB14332 pep chromosome:Graimondii2_0_v6:2:17337310:17338116:1 gene:B456_002G121000 transcript:KJB14332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIDLMTKTQYLLQQKFYYFWADRRRILTHDTGVGYCQDDRSKESVQLLKKIANMKINDFPSHIIEEIKNTWESWNSPRLLIDSLNLDEIKEMNLYNIQEG >KJB16362 pep chromosome:Graimondii2_0_v6:2:58166179:58176525:1 gene:B456_002G225800 transcript:KJB16362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCKAPCVFLLCLFISFCLPRQLLADEVDKNLTAQLFIDASEQSSRPIPQTLFGIFFEEINHAGAGGLWAELVSNRGFEAGGANIPSNIDPWSIIGDESSIIVSTDRSSCFERNKVALKMEVLCNTDGTHICPSGGVGIYNPGFWGMNIEQGKSYKIVFYVRSTGAIDISVSFTSSDGLQTLSSTNIKASASDVSNWTKMEVLLEAKETNHNSRLQLTTSKNGVVWFDQVSAMPLDTYKGHGFRNELAEMLADIKPRFIRFPGGCFVEGEWLRNAFRWKASVGPWEERPGHFGDVWMYWTDDGLGYFEFLQLAEDLGALPIWVFNNGISHNDQVDTSSVLPFVQALDGIEFARGDASSTWGSVRAAMGHPEPFDLKYVAIGNEDCGKKNYRGNYLKFYDAIKRTYPDIKIITNCDGSSLPLDHPTDLYDFHVYTSANNLFSMSHKFDRTTRQGPKAFVSEYAVTGKDAGTGSLLAALAEAAFLIGLEKNSDVVHMASYAPLFVNSNDRRWNPDAIVFNSFQVYGTPSYWVQRFFTESSGATLLNATLQKDSSNSLVASAITWKNSEDGQTYIRIKVVNFGSNSVNLQISVDGLDPNSVKLSGSTKTTLTSANLMDENSFKEPKKVAPNQTLLEDAQEMSALLRPHSFTSFDLLKESVSLRITEDDSSLVSSI >KJB16361 pep chromosome:Graimondii2_0_v6:2:58166111:58176967:1 gene:B456_002G225800 transcript:KJB16361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIASSHHSQYFHLIWVSLLFLFRSQSVCAVSKAMGYCKAPCVFLLCLFISFCLPRQLLADEVDKNLTAQLFIDASEQSSRPIPQTLFGIFFEEINHAGAGGLWAELVSNRGFEAGGANIPSNIDPWSIIGDESSIIVSTDRSSCFERNKVALKMEVLCNTDGTHICPSGGVGIYNPGFWGMNIEQGKSYKIVFYVRSTGAIDISVSFTSSDGLQTLSSTNIKASASDVSNWTKMEVLLEAKETNHNSRLQLTTSKNGVVWFDQVSAMPLDTYKGHGFRNELAEMLADIKPRFIRFPGGCFVEGEWLRNAFRWKASVGPWEERPGHFGDVWMYWTDDGLGYFEFLQLAEDLGALPIWVFNNGISHNDQVDTSSVLPFVQEALDGIEFARGDASSTWGSVRAAMGHPEPFDLKYVAIGNEDCGKKNYRGNYLKFYDAIKRTYPDIKIITNCDGSSLPLDHPTDLYDFHVYTSANNLFSMSHKFDRTTRQGPKAFVSEYAVTGKDAGTGSLLAALAEAAFLIGLEKNSDVVHMASYAPLFVNSNDRRWNPDAIVFNSFQVYGTPSYWVQRFFTESSGATLLNATLQKDSSNSLVASAITWKNSEDGQTYIRIKVVNFGSNSVNLQISVDGLDPNSVKLSGSTKTTLTSANLMDENSFKEPKKVAPNQTLLEDAQEMSALLRPHSFTSFDLLKESVSLRITEDDSSLVSSI >KJB16360 pep chromosome:Graimondii2_0_v6:2:58166111:58176967:1 gene:B456_002G225800 transcript:KJB16360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCKAPCVFLLCLFISFCLPRQLLADEVDKNLTAQLFIDASEQSSRPIPQTLFGIFFEEINHAGAGGLWAELVSNRGFEAGGANIPSNIDPWSIIGDESSIIVSTDRSSCFERNKVALKMEVLCNTDGTHICPSGGVGIYNPGFWGMNIEQGKSYKIVFYVRSTGAIDISVSFTSSDGLQTLSSTNIKASASDVSNWTKMEVLLEAKETNHNSRLQLTTSKNGVVWFDQVSAMPLDTYKGHGFRNELAEMLADIKPRFIRFPGGCFVEGEWLRNAFRWKASVGPWEERPGHFGDVWMYWTDDGLGYFEFLQLAEDLGALPIWVFNNGISHNDQVDTSSVLPFVQEALDGIEFARGDASSTWGSVRAAMGHPEPFDLKYVAIGNEDCGKKNYRGNYLKFYDAIKRTYPDIKIITNCDGSSLPLDHPTDLYDFHVYTSANNLFSMSHKFDRTTRQGPKAFVSEYAVTGKDAGTGSLLAALAEAAFLIGLEKNSDVVHMASYAPLFVNSNDRRWNPDAIVFNSFQVYGTPSYWVQRFFTESSGATLLNATLQKDSSNSLVASAITWKNSEDGQTYIRIKVVNFGSNSVNLQISVDGLDPNSVKLSGSTKTTLTSANLMDENSFKEPKKVAPNQTLLEDAQEMSALLRPHSFTSFDLLKESVSLRITEDDSSLVSSI >KJB15130 pep chromosome:Graimondii2_0_v6:2:37674805:37681093:1 gene:B456_002G162300 transcript:KJB15130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative white-brown complex homolog protein 30 [Source:Projected from Arabidopsis thaliana (AT2G37010) UniProtKB/Swiss-Prot;Acc:Q9SJK6] MRGVIRSHFLHFLLFFLSSFPSSRSLDGDDYSETQNPAVLPGVTDLIHKRLSNLTTLLIKDIGSDLSFCIKNAQEDLDGAFNFSKNMDFVTNCIKKTKGDVTLRLCTAAEIKFYFDSLFSKGAKTGYVKVNKNCNLKSWVSGCEPGWGCFADGGQQIDLKNTSYMPSRSQDCQPCCEGFFCPRGLACMIPCPLGSYCPLATLNKTTGVCDPYNYQIPPGKPNHSCGTADIWADVGSSSEIFCSAGSYCPNTLNKITCSKGHYCRKGSTSQISCFKLTTCNPNTSNQNIHGYGVMLFVGLSLLLLIIYNFSDQVISTKERRQAKSREAAAKHARETAQARERWKAAKDIAKKGGWQAQLSKTFSRAKSQRKTEQQKASGVGKSTEAPVPPPPKVAEQTSKGSKEKKKEPGDLTKMLHSLEDDPKSNEGFDLNIGGKNVKKQAPKGKQLHTQSQIFKYAYGQIEKEKAQQNKNLTFSGIISMATDNDIRTRPMIEIYFKDLTLTLKGKNKNLLRSVTGKLMPGRVSAVMGPSGAGKTTFLSALTGKTAAGCSVTGLILVNGKNEPIQAYRKIIGYVPQDDIVHGNLTVEENLRFSARCRLSADLAKPDKVLVIERVIESLGLQAVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTTGLDSSSSQLLLRALRREALEGVNICMVLHQPSYTLFKMFDDLILLAKGGLVAYLGPVKKVEEYFSSIGINVPDRVNPPDHLIDILEGIEKPATVTREQLPVRWMLHNGYPVPLDMLDLAEGLSTPSGSNPNNSGATAGGQAFAGDLPDDAKINVSKSKDLSNRRSPGILRQYRYFLGRILKQRLREGQMQAVDLMILLLAGACLGTLAKVTDETLDNAGYTFSVIAVSLLSMIAALRTYSLDKLQYKRETESGISSLAHFLSKDTIDLFNIIIKPVAYLSMFYFFNNPRSSFQDNYVILMALVYCVTGMAYVLAISFAPSLAQLWAVLVPVVLTLVANKGKDSMIQKYFGKFCYTKWALEAFVIANAEKYSGVWLLTRCKSLESGGYNLHDWKLCLIILIVNGVIARILAFIILVTR >KJB15011 pep chromosome:Graimondii2_0_v6:2:31767019:31770256:1 gene:B456_002G154800 transcript:KJB15011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLTQLALLNSCCCFLFATISFLFHGFSFYHLLPSPWRWRKGFSFHFFYSPCFFFWIPLQLRCKLLKEGRCY >KJB15013 pep chromosome:Graimondii2_0_v6:2:31769543:31770077:1 gene:B456_002G154800 transcript:KJB15013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFILHGCSIFPNTDIFCLLLPIRYHIPKAFYLLMLVVFSFNYVFDGQPPDLKKQELAKRYSKRADATEDLQEAME >KJB15012 pep chromosome:Graimondii2_0_v6:2:31767019:31770256:1 gene:B456_002G154800 transcript:KJB15012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLTQLALLNSCCCFLFATISFLFHGFSFYHLLPSPWRWRKGFSFHFFYSPCFFFWIPLQLRCKPPDLKKQELAKRYSKRADATEDLQEAMEVFFFGQTWSDK >KJB15010 pep chromosome:Graimondii2_0_v6:2:31766606:31770256:1 gene:B456_002G154800 transcript:KJB15010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLTQLALLNSCCCFLFATISFLFHGFSFYHLLPSPWRWRKGFSFHFFYSPCFFFWIPLQLRCKPPDLKKQELAKRYSKRADATEDLQEAMEVFFFGQTWSDK >KJB15284 pep chromosome:Graimondii2_0_v6:2:42023749:42025609:-1 gene:B456_002G168700 transcript:KJB15284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVLKRKLILESLILNRSSFAQAVENLFALSFLVKDGRAEIVVNGSGSHIVSPKNAPAASSIASGEAAYSHFVFRFDFKDWKDLLRN >KJB15285 pep chromosome:Graimondii2_0_v6:2:42024320:42025609:-1 gene:B456_002G168700 transcript:KJB15285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVLKRKLILESLILNRSSFAQAVENLFALSFLVKDGRAEIVVNGSGSHIVSPKNAPAASSIASGEAAYSHFVFRFDFKDWKDLLRN >KJB12181 pep chromosome:Graimondii2_0_v6:2:293562:301128:1 gene:B456_002G004800 transcript:KJB12181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTRGGCCPPMDLFRSETMQLVQLIIPMESARLTVYYLGKLGLLQFKDLNSDKSPFQRTYAGQIKKCGEMARTLRFFKEQMLKAGVSPSAKSVEETEIDVDDLEVKLAELEAELTEMNANGEKLQRSYNELVEYKIVLRKAGDFFPSAQHSATARQREMELNQMVEESIETPLLQEQATSTDLSKQVKLGFVTGLVSRGKSMAFERILFRATRGNVFLKQVPIEAPITDPVSGEKMEKNVFMVFYSGERVKNKILKICDAFGANRYPFAEDCGKQALMISEVSGKISELKSTIDAGLLHRDHLLRTIGDQFEQWNLKVKKEKSIHHTLNMLSLDVTKKCLVAEAWSPVFATKQIQDVLQRASVDSNSQVGAIFQVLHTRESPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLATLFFIIREQKLSSQKLGDITEMTFGGRYVIMMMSLFSIYTGFIYNECFSVAFDLFAPSAYACRDLSCRDADSIGLIKVRDTYPFGVDPAWHGTRSELPFLNSLKMKMSILLGVAQMNLGILLSYSNATFFGNSLNIWFQFIPQMIFLNSLFGYLSLLIIVKWCTGSQADLYHIMIYMFLSPTDELGDNQLFPYQKTVQLVLLLLALVSVPWMLLPKPFLLKKQHENRHQGQSNTQVQSTDDALSEENHDSHGHGHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSQLSIVFYEKVLLLAWGYNNMVILVVGIIIFIFATVGVLLIMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFILIDDEDH >KJB15952 pep chromosome:Graimondii2_0_v6:2:55183757:55192033:1 gene:B456_002G205900 transcript:KJB15952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ3 MASSMFLAQRRWFLSLPRNLSNARFFSALPSEHPVSVSGPQNNVGPESNKFEKASSAPSSLKEPELAKFSAIADTWWDSEGPFKPLHKMNPTRLAFLRSTLCRHFRKDPLSARPFEGLRFIDVGCGGGILSEPLARMGATVTGIDAIEKNIKIARLHANLDPTTSTIEYCCTTAEKLVEEQRKFDAVIALEMLLNSASLSQH >KJB15955 pep chromosome:Graimondii2_0_v6:2:55183757:55192033:1 gene:B456_002G205900 transcript:KJB15955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ3 MASSMFLAQRRWFLSLPRNLSNARFFSALPSEHPVSVSGPQNNVGPESNKFEKASSAPSSLKEPELAKWDSEGPFKPLHKMNPTRLAFLRSTLCRHFRKDPLSARPFEGLRFIDVGCGGGILSEPLARMGATVTGIDAIEKNIKIARLHANLDPTTSTIEYCCTTAEKLVEEQRKFDAVIALEVIEHVADAAEFCKSLSALTAHEGATVVSTINRSMRSYATAIVAAEYLLQWLPKGTHQWSSFLTPEELTMILKRAGVDVKEMAGFVYNPLTGRWSLSDDISVNFIAYGTKEK >KJB15954 pep chromosome:Graimondii2_0_v6:2:55183757:55192033:1 gene:B456_002G205900 transcript:KJB15954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ3 MASSMFLAQRRWFLSLPRNLSNARFFSALPSEHPVSVSGPQNNVGPESNKFEKASSAPSSLKEPELAKFSAIADTWWDSEGPFKPLHKMNPTRLAFLRSTLCRHFRKDPLSARPFEGLRFIDVGCGGGILSEPLARMGATVTGIDAIEKNIKIARLHANLDPTTSTIEYCCTTAEKLVEEQRKFDAVIALEVIEHVADAAEFCKSLSALTAHEGATVVSTINRSMRSYATAIVAAEYLLQWLPKGTHQWSSFLTPEELTMILKRAGVDVSKPSNPFDI >KJB15953 pep chromosome:Graimondii2_0_v6:2:55186697:55190608:1 gene:B456_002G205900 transcript:KJB15953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ3 MASSMFLAQRRWFLSLPRNLSNARFFSALPSEHPVSVSGPQNNVGPESNKFEKASSAPSSLKEPELAKFSAIADTWWDSEGPFKPLHKMNPTRLAFLRSTLCRHFRKDPLSARPFEGLRFIDVGCGGGILSEPLARMGATVTGIDAIEKNIKIARLHANLDPTTSTIEYCCTTAEKLVEEQRKFDAVIALEVCTLWTTTSLSHSLHLSLLVV >KJB15951 pep chromosome:Graimondii2_0_v6:2:55183536:55192132:1 gene:B456_002G205900 transcript:KJB15951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ3 MASSMFLAQRRWFLSLPRNLSNARFFSALPSEHPVSVSGPQNNVGPESNKFEKASSAPSSLKEPELAKFSAIADTWWDSEGPFKPLHKMNPTRLAFLRSTLCRHFRKDPLSARPFEGLRFIDVGCGGGILSEPLARMGATVTGIDAIEKNIKIARLHANLDPTTSTIEYCCTTAEKLVEEQRKFDAVIALEVIEHVADAAEFCKSLSALTAHEGATVVSTINRSMRSYATAIVAAEYLLQWLPKGTHQWSSFLTPEELTMILKRAGVDVKEMAGFVYNPLTGRWSLSDDISVNFIAYGTKEK >KJB14214 pep chromosome:Graimondii2_0_v6:2:16202704:16204560:1 gene:B456_002G114700 transcript:KJB14214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRVNTVLLDHTSHPAATSLLVTQDEGKVDSGKPHFTLCKWASSSNENSLLGEKQSSQDKLHPQLRHRGSTELYEEKTSSENKASREVDDPVQKERSKSLSMFGTLVSPKLRAAQLSFEAALETLVEIANMRSEMLCAFDQVNMKLEGRKDEK >KJB14215 pep chromosome:Graimondii2_0_v6:2:16202110:16204866:1 gene:B456_002G114700 transcript:KJB14215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVDHETPSLENGQTDKEVEENANVLQFMDSMDAYLTLIHSLSSTLRRGWLELASARHSMGASRVNTVLLDHTSHPAATSLLVTQDEGKVDSGKPHFTLCKWASSSNENSLLGEKQSSQDKLHPQLRHRGSTELYEEKTSSENKASREVDDPVQKERSKSLSMFGTLVSPKLRAAQLSFEH >KJB14212 pep chromosome:Graimondii2_0_v6:2:16202030:16204911:1 gene:B456_002G114700 transcript:KJB14212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVDHETPSLENGQTDKEVEENANVLQFMDSMDAYLTLIHSLSSTLRRGWLELASARHSMGASRVNTVLLDHTSHPAATSLLVTQDEGKVDSGKPHFTLCKWASSSNENSLLGEKQSSQDKLHPQLRHRGSTELYEEKTSSENKASREVDDPVQKERSKSLSMFGTLVSPKLRAAQLSFEAALETLVEIANMRSEMLCAFDQVNMKLEGRKDEK >KJB14216 pep chromosome:Graimondii2_0_v6:2:16202110:16204866:1 gene:B456_002G114700 transcript:KJB14216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVDHETPSLENGQTDKEVEENANVLQFMDSMDAYLTLIHSLSSTLRRGWLELASARHSMGASRVNTVLLDHTSHPAATSLLVTQDEGKVDSGKPHFTLCKWASSSNENSLLGEKQSSQDKLHPQLRHRGSTELYEEKTSSENKASREVDDPVQKERSKSLSMFGTLVSPKLRAAQLSFEAGH >KJB14213 pep chromosome:Graimondii2_0_v6:2:16202110:16203805:1 gene:B456_002G114700 transcript:KJB14213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVDHETPSLENGQTDKEVEENANVLQFMDSMDAYLTLIHSLSSTLRRGWLELASARHSMGASRVNTVLLDHTSHPAATSLLVTQDEGKVDSGKPHFTLCKWASSSNENSLLGEKQSSQDKLHPQLRHRGSTELYGMFWPSI >KJB16464 pep chromosome:Graimondii2_0_v6:2:59028651:59033689:1 gene:B456_002G231100 transcript:KJB16464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPELLHYYPKFHSMDSYMIRLSSPFTYNHKCYLFANQKLSRHFTSFNFRLQSRKNSTSDAKEFDESAFEAERLRLDAKARESMAQISKTMTETTAEAEADPKAWKWVIRKRIWDLMEAQNIAQNPRPVHHRIPNFVGASSAAKKLSELEAFVMANCVKVNPDSPQKQVRFLTLSDGKKLLTPQPRLRTGFFSILESSMLTPSTINEACTSVGVAKYGRPIGFDEKIKVDVIVIGSVAVDPKTGARLGKGEGFAELEYGMLRYMGAIDDSTPVVTSVHDCQIVDDIPVEKLLVHDVPVDIICTPTQVIFTNRTVPKPQGIYWDKLSPEKLGQIRILRELKSRIEQETGKKLPCGPSEKLPPTAQRRRRRS >KJB16467 pep chromosome:Graimondii2_0_v6:2:59028708:59032606:1 gene:B456_002G231100 transcript:KJB16467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPELLHYYPKFHSMDSYMIRLSSPFTYNHKCYLFANQKLSRHFTSFNFRLQSRKNSTSDAKEFDESAFEAERLRLDAKARESMAQISKTMTETTAEAEADPKAWKWVIRKRIWDLMEAQNIAQNPRPVHHRIPNFVGASSAAKKLSELEAFVMANCVKVNPDSPQKQVRFLTLSDGKKLLTPQPRLRTGFFSILESSMLTPSTINEACTSVGVAKYGRPIGFDEKIKVDVIVIGSVAVDPKTGARLGKGEKPYDFFLF >KJB16465 pep chromosome:Graimondii2_0_v6:2:59028708:59031633:1 gene:B456_002G231100 transcript:KJB16465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPELLHYYPKFHSMDSYMIRLSSPFTYNHKCYLFANQKLSRHFTSFNFRLQSRKNSTSDAKEFDESAFEAERLRLDAKARESMAQISKTMTETTAEAEADPKAWKWVIRKRIWDLMEAQNIAQNPRPVHHRIPNFVGASSAAKKLSELEAFVMANCVKVNPDSPQKQVRFLTLSDGKKLLTPQPRLRTGFFSILESSMLTPSTINEACTSVGVAKYGRPIGFDEKIKVDVIVIGSVAVDPKTGARLGKGEGFAELEYGMLRYMGAIDDSTPVVTSGTTITYLSLKMKF >KJB16466 pep chromosome:Graimondii2_0_v6:2:59028708:59032606:1 gene:B456_002G231100 transcript:KJB16466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPELLHYYPKFHSMDSYMIRLSSPFTYNHKCYLFANQKLSRHFTSFNFRLQSRKNSTSDAKEFDESAFEAERLRLDAKARESMAQISKTMTETTAEAEADPKAWKWVIRKRIWDLMEAQNIAQNPRPVHHRIPNFVGASSAAKKLSELEAFVMANCVKVNPDSPQKQVRFLTLSDGKKLLTPQPRLRTGFFSILESSMLTPSTINEACTSVGVAKYGRPIGFDEKIKVDVIVIGSVAVDPKTGARLGKGEPYDFFLF >KJB16720 pep chromosome:Graimondii2_0_v6:2:60890068:60892670:-1 gene:B456_002G244900 transcript:KJB16720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTILVTGGAGYIGSHTVLQLLLSGYKTVVVDNLDNSSDVALKRVKELAGEFGRNLSFYQVDLRDRAAIQKVFAQTKFDAVIHFAGLKAVGESVSKPLLYHDNNIVGTITLLEVMAAHGCKNLVFSSSATVYGWPKKVPCTEEFPLSAVNPYGRTKLFIEEICRDLRNSDSQWKIILLRYFNPVGAHPSGHIGEDPRGTPNNLMPFVQQVAVGRRQALTVFGKDYSTKDGTGVRDYIHVVDLADGHIAALKKLSDPKIGCEVYNLGTGKGTSVLEMVTAFEKASGKVNDPLCSFLYHFHILTCTFCYSWYLSENPSSNSRTSTRRCRSRLCFNKKGRD >KJB16722 pep chromosome:Graimondii2_0_v6:2:60889583:60893220:-1 gene:B456_002G244900 transcript:KJB16722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTILVTGGAGYIGSHTVLQLLLSGYKTVVVDNLDNSSDVALKRVKELAGEFGRNLSFYQVDLRDRAAIQKVFAQTKFDAVIHFAGLKAVGESVSKPLLYHDNNIVGTITLLEVMAAHGCKNLVFSSSATVYGWPKKVPCTEEFPLSAVNPYGRTKLFIEEICRDLRNSDSQWKIILLRYFNPVGAHPSGHIGEDPRGTPNNLMPFVQQVAVGRRQALTVFGKDYSTKDGTGVRDYIHVVDLADGHIAALKKLSDPKIGCEVYNLGTGKGTSVLEMVTAFEKKIPLQIAGRRPGDAEVVYASIKKAETELKWKAKYGIEEMCKDQWNWASKNPFGYGSLESKK >KJB16721 pep chromosome:Graimondii2_0_v6:2:60889583:60892805:-1 gene:B456_002G244900 transcript:KJB16721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTILVTGGAGYIGSHTVLQLLLSGYKTVVVDNLDNSSDVALKRVKELAGEFGRNLSFYQVDLRDRAAIQKVFAQTKFDAVIHFAGLKAVGESVSKPLLYHDNNIVGTITLLEVMAAHGCKNLVFSSSATVYGWPKKVPCTEEFPLSAVNPYGRTKLFIEEICRDLRNSDSQWKIILLRYFNPVGAHPSGHIGEDPRGTPNNLMPFVQQVAVGRRQALTVFGKDYSTKDGTGVRDYIHVVDLADGHIAALKKLSDPKIGCEVYNLGTGKGTSVLEMVTAFEKASGKKIPLQIAGRRPGDAEVVYASIKKAETELKWKAKYGIEEMCKDQWNWASKNPFGYGSLESKK >KJB14941 pep chromosome:Graimondii2_0_v6:2:29133113:29138258:1 gene:B456_002G149900 transcript:KJB14941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVKRDGRQEAVHFDKITARLKKLSYGLSIDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIVVSNLHKNTKKSFSETIKIMYNHFNERSGLKAPLIADDVYEIIMENAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVIERPQHMLMRVAVGIHKDDIDSAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDSIEGIYETLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQGNGQWSLFCPNEAPGLADCWGEEFEKLYIHYEKEGKAKKVAQAQNLWFEILKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPMETAVCNLASIALPRYVREKGVPLESHPSKLVGSRGSQNRFFDFDKLAEVTEIVTRNLNKIIDVNYYPVETAKRSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKASSSLAEKQGPYETYAGSPVSKGILQPDMWGVTPSGRWDWTALRDMIAKNGVRNSLLLAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPVIKNNIIHEDGSVQNIPEVPHELKAIYRTVWEIKQKTLVDMAVDRGCFIDQSQSLNIHMDQPNFGKLTSLHFYAWSKGLKTGMYYLRSRAAADAIKFTVDTSMLKEKPKVADDDTKMAQMVCSLTNREECMACGS >KJB14942 pep chromosome:Graimondii2_0_v6:2:29133280:29138207:1 gene:B456_002G149900 transcript:KJB14942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNHFNERSGLKAPLIADDVYEIIMENAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVIERPQHMLMRVAVGIHKDDIDSAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDSIEGIYETLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQGNGQWSLFCPNEAPGLADCWGEEFEKLYIHYEKEGKAKKVAQAQNLWFEILKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPMETAVCNLASIALPRYVREKGVPLESHPSKLVGSRGSQNRFFDFDKLAEVTEIVTRNLNKIIDVNYYPVETAKRSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKASSSLAEKQGPYETYAGSPVSKGILQPDMWGVTPSGRWDWTALRDMIAKNGVRNSLLLAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPVIKNNIIHEDGSVQNIPEVPHELKAIYRTVWEIKQKTLVDMAVDRGCFIDQSQSLNIHMDQPNFGKLTSLHFYAWSKGLKTGMYYLRSRAAADAIKFTVDTSMLKEKPKVADDDTKMAQMVCSLTNREECMACGS >KJB16979 pep chromosome:Graimondii2_0_v6:2:62029304:62032693:-1 gene:B456_002G258500 transcript:KJB16979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDSSVQSDIKLWPFKVIAGPGDKPMIVVNYKGEEKQFAAEEISSMVLIKMREIAEAYLGFTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNALENYAYNMRNTVKDEKIGSKLSPDDKKKIEDAIEEAIQWLDSNQLAEADEFEDKMKELESVCNPIIAKMYQGAGGDMGGSMDEDAPPSGGSGAGPKIEEVD >KJB16980 pep chromosome:Graimondii2_0_v6:2:62029336:62032693:-1 gene:B456_002G258500 transcript:KJB16980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDSSVQSDIKLWPFKVIAGPGDKPMIVVNYKGEEKQFAAEEISSMVLIKMREIAEAYLGFTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNALENYAYNMRNTVKDEKIGSKLSPDDKKKIEDAIEEAIQWLDSNQLAEADEFEDKMKELESVCNPIIAKMYQGAGGDMGGSMDEDAPPSGGSGAGPKIEEVD >KJB14481 pep chromosome:Graimondii2_0_v6:2:19545096:19546773:1 gene:B456_002G127300 transcript:KJB14481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFFTSSRASDSYWTPYQNKLFEKALAIYDKDTPDRWQKVAAAVGEKSAEEVRRHYEVLVEDLMYIESGKIPIPNYKSTTGSYRR >KJB14482 pep chromosome:Graimondii2_0_v6:2:19545129:19546276:1 gene:B456_002G127300 transcript:KJB14482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFFTSSRASDSYWTPYQNKLFEKALAIYDKDTPDRWQKVAAAVGEKSAEEVRRHYEVLVEDLMYIESGKIPIPNYKSTTGSYRR >KJB15045 pep chromosome:Graimondii2_0_v6:2:34046514:34051194:1 gene:B456_002G157200 transcript:KJB15045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVARASACPTSPHPPPSMTAVSAPAPSAPSIVPPIRRHLAFASMKPPFVHPDDYHRFSSNNSNNNLTTGNNTRGIIADQEVEAIVVRSPKLKRKSTTVNKEVESSQWTTSPRVTSTANSPFQTPVSAKGGRINNRSKASKANKSALQTPVSNASSPAPLTPAGSCRYDSSLGFLTKKFINLIKHAEDGILDLNKAAETLEVQKRRIYDITNVLEGIGLIEKKLKNKIRWKPEADGDVSLLQAEIENLTMKEHTLDDQIREMQERLRDLSENENNQRWLFVTEEDIKGLPCFRNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRMILRSTMGSIDVYLVSQFEKFEEVNGVEPPASVSLASSSGSNENQVELVNVERTRKEIEPQAVQTHQMDCDVNASQESVGGMMRIVPSDIDNDADYWLLSDADVSITDMWKTDCVEWSGVDMLDANFGMADISTPRPQSPPSRMVEVPSHAFHSTHR >KJB15044 pep chromosome:Graimondii2_0_v6:2:34046346:34051194:1 gene:B456_002G157200 transcript:KJB15044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVARASACPTSPHPPPSMTAVSAPAPSAPSIVPPIRRHLAFASMKPPFVHPDDYHRFSSNNSNNNLTTGNNTRGIIADQEVEAIVVRSPKLKRKSTTVNKEVESSQWTTSPRVTSTANSPFQTPVSAKGGRINNRSKASKANKSALQTPVSNASSPAPLTPAGSCRYDSSLGFLTKKFINLIKHAEDGILDLNKAAETLEVQKRRIYDITNVLEGIGLIEKKLKNKIRWKGVDDSRPEADGDVSLLQAEIENLTMKEHTLDDQIREMQERLRDLSENENNQRWLFVTEEDIKGLPCFRNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRMILRSTMGSIDVYLVSQFEKFEEVNGVEPPASVSLASSSGSNENQVELVNVERTRKEIEPQAVQTHQMDCDVNASQESVGGMMRIVPSDIDNDADYWLLSDADVSITDMWKTDCVEWSGVDMLDANFGMADISTPRPQSPPSRMVEVPSHAFHSTHRRMQFSVVEQIMG >KJB15043 pep chromosome:Graimondii2_0_v6:2:34046514:34050860:1 gene:B456_002G157200 transcript:KJB15043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVARASACPTSPHPPPSMTAVSAPAPSAPSIVPPIRRHLAFASMKPPFVHPDDYHRFSSNNSNNNLTTGNNTRGIIADQEVEAIVVRSPKLKRKSTTVNKEVESSQWTTSPRVTSTANSPFQTPVSAKGGRINNRSKASKANKSALQTPVSNASSPAPLTPAGSCRYDSSLGFLTKKFINLIKHAEDGILDLNKAAETLEVQKRRIYDITNVLEGIGLIEKKLKNKIRWKGVDDSRPEADGDVSLLQAEIENLTMKEHTLDDQIREMQERLRDLSENENNQRWLFVTEEDIKGLPCFRNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRMILRSTMGSIDVYLVSQFEKFEEVNGVEPPASVSLASSSGSNENQVELVNVERTRKEIEPQAVQTHQMDCDVNASQESVGGMMRIVPSDIDNDADYWLLSDADVSITDMWKTDCVEWSGVDMLDANFGMADISTPRPQSPPSRMVEVPSHAFHSTHR >KJB16952 pep chromosome:Graimondii2_0_v6:2:61841277:61841561:-1 gene:B456_002G256300 transcript:KJB16952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFVYRAIIRYKNGRQGHHVLATWFNDSPSASYMRLPTGDSGRFQTSDTQQLFQSDCVNFSTSSPVSSATTTTSSSPLCRRFITSRRVVS >KJB15641 pep chromosome:Graimondii2_0_v6:2:50491217:50494080:-1 gene:B456_002G188000 transcript:KJB15641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGSSIIVVAIFGVFLVNLTSSLVLAKGPCQFPAIFNFGDSNSDTGGLSAAFGQAPPPNGMSYFGHPAGRYCDGRLVIDFLAESLGLPYLSAFLDSLGTNFTHGANFATAGSTIRPQNTTLHQSGFSPISLNVQFYEFHDFHVRSQIVRKRGGVYETMLPKEEDFSSALYTFDIGQNDLTSGYFLNMSVDEVKAYVPDVLNQFLTIIKDIYDVGGRYFWIHNTGPVGCLPYVMERIPVLAGQIDRYGCASPFNEVAQFFNHGLKKTVQQLRKDLPHAAITYVDVYSVKYSLISQGRNHGFNQPLRTCCGHGGKYNYNKNLGCGGKVHKQGKDVLVAAPCQDPSTHVNWDGVHFTEAANRYIFERIVDGSFSDPPHPLNMACYRN >KJB17165 pep chromosome:Graimondii2_0_v6:2:62692903:62696905:1 gene:B456_002G268100 transcript:KJB17165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQQSFKQQPPQGLLEKQQSFKQSEKQQSFRGVMTEKQPPQRLLEKQQSFKQSEKQQSFRGVSSEKQPSGRGMMEKQKSFRGFIEKQKSFRVVMERQLSFIGAGERRKNRESPGKRGDSPLHLAARTGNLSRVREILHNFNVPEAKELLAKQNLEGETPLYVAAENGQSLVVAEMLKHMDLETASITARNGYDPFHVAAKQGHVEVLKELLGTFPNSVMTTDLSCTTALHTAAAQGHIDVVNLLLETDSDLAKIARNNGKTVLHSAARMGHLEVVKSLLRKDPSTGFRVDKKGQTALHMAVKGQNEEIVLELLKPDPSVLGLEDCKGNTALHIAVMKGRTQIVRCLLSVESININSTNKAGESPLDIAEKIGNPELVSILKEAGAINSKDHGKPPNAGKQLKQTVSDIKHDVQSQLQQTRQTGFRVQKIAKRLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEDKTKGLSLGQAHIANKAPFIVFFVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISVAFISLTYVVVGKESRWLAVCATVIGSTIMLTTIGSMCYFVILHRMEESKLRSIRKAESRSRSFSMSVASDSEILNNEYKRMYAL >KJB17166 pep chromosome:Graimondii2_0_v6:2:62692903:62697129:1 gene:B456_002G268100 transcript:KJB17166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQQSFKQQPPQGLLEKQQSFKQSEKQQSFRGVMTEKQPPQRLLEKQQSFKQSEKQQSFRGVSSEKQPSGRGMMEKQKSFRGFIEKQKSFRVVMERQLSFIGAGERRKNRESPGKRGDSPLHLAARTGNLSRVREILHNFNVPEAKELLAKQNLEGETPLYVAAENGQSLVVAEMLKHMDLETASITARNGYDPFHVAAKQGHVEVLKELLGTFPNSVMTTDLSCTTALHTAAAQGHIDVVNLLLETDSDLAKIARNNGKTVLHSAARMGHLEVVKSLLRKDPSTGFRVDKKGQTALHMAVKGQNEEIVLELLKPDPSVLGLEDCKGNTALHIAVMKGRTQIVRCLLSVESININSTNKAGESPLDIAEKIGNPELVSILKEAGAINSKDHGKPPNAGKQLKQTVSDIKHDVQSQLQQTRQTGFRVQKIAKRLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEDKTKGLSLGQAHIANKAPFIVFFVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISVAFISLTYVVVGKESRWLAVCATVIGSTIMLTTIGSMCYFVILHRMEESKLRSIRKAESRSRSFSMSVASDSEILNNEYKRMYAL >KJB17167 pep chromosome:Graimondii2_0_v6:2:62692903:62696905:1 gene:B456_002G268100 transcript:KJB17167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQQSFKQQPPQGLLEKQQSFKQSEKQQSFRGVMTEKQPPQRLLEKQQSFKQSEKQQSFRGVSSEKQPSGRGMMEKQKSFRGFIEKQKSFRVVMERQLSFIGAGERRKNRESPGKRGDSPLHLAARTGNLSRVREILHNFNVPEAKELLAKQNLEGETPLYVAAENGQSLVVAEMLKHMDLETASITARNGYDPFHVAAKQGHVEVLKELLGTFPNSVMTTDLSCTTALHTAAAQGHIDVVNLLLETDSDLAKIARNNGKTVLHSAARMGHLEVVKSLLRKDPSTGFRVDKKGQTALHMAVKGQNEEIVLELLKPDPSVLGLEDCKGNTALHIAVMKGRTQIVRCLLSVESININSTNKAGESPLDIAEKIGNPELVSILKEAGAINSKDHGKPPNAGKQLKQTVSDIKHDVQSQLQQTRQTGFRVQKIAKRLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEDKTKGLSLGQAHIANKAPFIVFFVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISVAFISLTYVVVGKESRWLAVCATVIGSTIMLTTIGSMCYFVILHRMEESKLRSIRKAESRSRSFSMSVASDSEILNNEYKRMYAL >KJB16269 pep chromosome:Graimondii2_0_v6:2:57580380:57582852:1 gene:B456_002G221300 transcript:KJB16269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQTPCAALRNMLNKFKPYLSMVSLQFGYAGMYIISMVSLKHGMSNFILATYRHVAATIVIAPFAFLLERKIRPKMTLPIFLRIVILGFLELEKINVKKIRSVAKIIGTAITVMGAMVMTLYKGPIIDFVKSGGAIHHGTTAESADKHRVTGTIMLLGSVFCWSSFFILQSFTLKKYPAELSLTAWICFMGMLEGAGVSLVMVRDLSAWKIGWDSRLLAATYSGIVCSGITYYVQGVVIRERGPVFVTSFSPLCMIITAALGAIILAEKLHLGSILGAIIIVLGLYTVVWGKSKDGKNTETDDKSNGLQELPVKNNAKAISVDVGIDGSDKIVNIPTSKNPF >KJB16268 pep chromosome:Graimondii2_0_v6:2:57580308:57583327:1 gene:B456_002G221300 transcript:KJB16268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQTPCAALRNMLNKFKPYLSMVSLQFGYAGMYIISMVSLKHGMSNFILATYRHVAATIVIAPFAFLLERKIRPKMTLPIFLRIVILGFLEPVLDQNLYFLGMKYTSATYASAFLNIVPAVTFIMAMIFRLEKINVKKIRSVAKIIGTAITVMGAMVMTLYKGPIIDFVKSGGAIHHGTTAESADKHRVTGTIMLLGSVFCWSSFFILQSFTLKKYPAELSLTAWICFMGMLEGAGVSLVMVRDLSAWKIGWDSRLLAATYSGIVCSGITYYVQGVVIRERGPVFVTSFSPLCMIITAALGAIILAEKLHLGSILGAIIIVLGLYTVVWGKSKDGKNTETDDKSNGLQELPVKNNAKAISVDVGIDGSDKIVNIPTSKNPF >KJB16270 pep chromosome:Graimondii2_0_v6:2:57580940:57582852:1 gene:B456_002G221300 transcript:KJB16270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPIFLRIVILGFLEPVLDQNLYFLGMKYTSATYASAFLNIVPAVTFIMAMIFRLEKINVKKIRSVAKIIGTAITVMGAMVMTLYKGPIIDFVKSGGAIHHGTTAESADKHRVTGTIMLLGSVFCWSSFFILQSFTLKKYPAELSLTAWICFMGMLEGAGVSLVMVRDLSAWKIGWDSRLLAATYSGIVCSGITYYVQGVVIRERGPVFVTSFSPLCMIITAALGAIILAEKLHLGSILGAIIIVLGLYTVVWGKSKDGKNTETDDKSNGLQELPVKNNAKAISVDVGIDGSDKIVNIPTSKNPF >KJB15388 pep chromosome:Graimondii2_0_v6:2:44825891:44826493:-1 gene:B456_002G175800 transcript:KJB15388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDPRRKIRYEQFAFLKMDSRDQTNALMKKLNVALADFFNEYKPLSHHQVEQVEKSGQSSRSSLTPKLVQALFCTQDWLCN >KJB16984 pep chromosome:Graimondii2_0_v6:2:62051790:62054264:-1 gene:B456_002G258900 transcript:KJB16984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERFAKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQMWRLEPVPVEKKSMWRREIEWLLCVSDHIVELVPSFQTFPDGTKLEVMTCRPRSDLYVNLPALRKLDNMLLEILDSFVDTEFWYVDQGIVAPEADGLMNCFGKALQRQEEKWWLPVPRVPPGGLDDRSRKQLQHKRDCTNQILKAAMAINNVTLADIEVPESYLEALPKNGRANLGDVIYRHISSDMFTPECLLDCLNLSSEHQAIEIANRVEASMYLWRKRPVNNAARSSWELVKDLMVDVDKRDILADRAESLLLCLKQRFPGLPQTTLDMTKIQYNKDVGKSILESYSRVLESLAHNIVARIDDLLYIDDLTKHSEQFSSLLRAGLIGPKSVSSTPYRTTFTTPNFSPAQLASPAKVDRSSFSASDKIAHGSMGAKKVLTDYLSINLSTKESSSSTYQETSVSFEHRNEEPVPEKESEIVCHETRFSAKTVVSVESIW >KJB16985 pep chromosome:Graimondii2_0_v6:2:62051790:62054844:-1 gene:B456_002G258900 transcript:KJB16985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLVEKNRSFVDKNENFVENEVQLGTSAVLLEDKGCESSSSSDILTAETKSNKEHSDSSSCSTSSCSEDAKEAPLDDTKLKKQSSTISEIELMKERFAKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQMWRLEPVPVEKKSMWRREIEWLLCVSDHIVELVPSFQTFPDGTKLEVMTCRPRSDLYVNLPALRKLDNMLLEILDSFVDTEFWYVDQGIVAPEADGLMNCFGKALQRQEEKWWLPVPRVPPGGLDDRSRKQLQHKRDCTNQILKAAMAINNVTLADIEVPESYLEALPKNGRANLGDVIYRHISSDMFTPECLLDCLNLSSEHQAIEIANRVEASMYLWRKRPVNNAARSSWELVKDLMVDVDKRDILADRAESLLLCLKQRFPGLPQTTLDMTKIQYNKDVGKSILESYSRVLESLAHNIVARIDDLLYIDDLTKHSEQFSSLLRAGLIGPKSVSSTPYRTTFTTPNFSPAQLASPAKVDRSSFSASDKIAHGSMGAKKVLTDYLSINLSTKESSSSTYQETSVSFEHRNEEPVPEKESEIVCHETRFSAKTVVSVESIW >KJB16794 pep chromosome:Graimondii2_0_v6:2:61280569:61285182:-1 gene:B456_002G248700 transcript:KJB16794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSLSLNWAFNSMAWKGLVYTAFILNFVLVCQHLLLQPLVSALDENLGNAAELFERVSQSIKVKHYSEALNDLNAAIEADPALSEAYMRRASLLRQLCRYEESEKSYKKFLELKPRNSVAEKELSQLHQAQSALETAFSLFESKDYTKALDYVDKVVLVFSPACSKAKMLKLKLLLAAKDYSSVISESGFILKEDENNLEALLLRGHAYYYLADHDVAQRHYQKGLRLDPEHGELKKAYFRLKNLLKKTKSAEDNANKGKLRVAVEDYKGALALDPNHLAHNVHLHLGLCKVLVRLGRGKDALSSCSEALNIDKELLEALVQRGEAKLLTEDWEGAVEDLKSAAEKSPQDMNIREALMRAEKALKMSKRKDWYKILGVSKTASVAEIKRAYKKLALQWHPDKNVDNREEAEAQFREIAAAYEVLGDEEKRTRYDRGEDIEDIGMGGGGFNPFGGGGGGQHFTFTFDGGFPGGFGGGFPGGGGGGFGFNF >KJB16793 pep chromosome:Graimondii2_0_v6:2:61279293:61285120:-1 gene:B456_002G248700 transcript:KJB16793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSLSLNWAFNSMAWKGLVYTAFILNFVLVCQHLLLQPLVSALDENLGNAAELFERVSQSIKVKHYSEALNDLNAAIEADPALSEAYMRRASLLRQLCRYEESEKSYKKFLELKPRNSVAEKELSQLHQAQSALETAFSLFESKDYTKALDYVDKVVLVFSPACSKAKMLKLKLLLAAKDYSSVISESGFILKEDENNLEALLLRGHAYYYLADHDVAQRHYQKGLRLDPEHGELKKAYFRLKNLLKKTKSAEDNANKGKLRVAVEDYKGALALDPNHLAHNVHLHLGLCKVLVRLGRGKDALSSCSEALNIDKELLEALVQRGEAKLLTEDWEGAVEDLKSAAEKSPQDMNIREALMRAEKALKMSKRKDWYKILGVSKTASVAEIKRAYKKLALQWHPDKNVDNREEAEAQFREIAAAYEVLGDEEKRTRYDRGEDIEDIGMGGGGFNPFGGGGGGQHFTFTFDGGFPGGFGGGFPGGGGGGFGFNF >KJB14986 pep chromosome:Graimondii2_0_v6:2:31386798:31390895:1 gene:B456_002G153500 transcript:KJB14986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYREGPHGTPFHTLLVEGYVDGPLDVCLCISWESALYKKWWPQSSFPPFKISSSTCLQKVRIGEQISLVRMKVAWPLSAREALVHFFFFEYFQEDLIVILVNTISDVSSIDKATHGFTNNGIPEAKDVVRIDMVGGFALQKVNDERSYFRTIANMDMKLDFVPPSLINFISRQLVGNGFRLYQKTVASVSNHDKDYSKALGDPLFALIREALYASNKSGEVLEVHGPKSEALLLRNESLIERTQDDTHDIGKKVCANDHAVEIPPWKEQDTVIKSFGEIEEEETEGSTQPEEGDNITFDANGVNDKRKISIRPEVQDALRTLDKVISLVQQHEFNSRTSFSDEETANLEEGAVYLKAEDNVKATSEQFAENLEMTLDRSQNSSDINNMRDEDSNSFAREANHNKEVPVSPLPNVSKPNNQVALSSYRNGTVEINGFHENGLSEVKKLSNRRKHRLGCFGFNSG >KJB14987 pep chromosome:Graimondii2_0_v6:2:31386989:31390895:1 gene:B456_002G153500 transcript:KJB14987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKAISRYRERLDKTLSCAELTDPEMLKTLVKNQILRYAQDEKEDFSEDLLGKRAREVSNLLGMLRSTCIDDHHVSKSSETSHGEWKLKHDNEEFRVMYREGPHGTPFHTLLVEGYVDGPLDVCLCISWESALYKKWWPQSSFPPFKISSSTCLQKVRIGEQISLVRMKVAWPLSAREALVHFFFFEYFQEDLIVILVNTISDVSSIDKATHGFTNNGIPEAKDVVRIDMVGGFALQKVNDERSYFRTIANMDMKLDFVPPSLINFISRQLVGNGFRLYQKTVASVSNHDKDYSKALGDPLFALIREALYASNKSGEVLEVHGPKSEALLLRNESLIERTQDDTHDIGKKVCANDHAVEIPPWKEQDTVIKSFGEIEEEETEGSTQPEEGDNITFDANGVNDKRKISIRPEVQDALRTLDKVISLVQQHEFNSRTSFSDEETANLEEGAVYLKAEDNVKATSEQFAENLEMTLDRSQNSSDINNMRDEDSNSFAREANHNKEVPVSPLPNVSKPNNQVALSSYRNGTVEINGFHENGLSEVKKLSNRRKHRLGCFGFNSG >KJB15337 pep chromosome:Graimondii2_0_v6:2:43488403:43494604:-1 gene:B456_002G171800 transcript:KJB15337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERQREKAVEELTDELLRMEEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITDRTAKSEAQLKEKYQLRLKVLEESLRGSSNSLSRSTSDVRSMSNGPSRRQSLGGADNFSKLTSNGFLSKKSPSSQLRSSLSSSTVLKHAKGTSKSFDGGTRSLERAKLLLNGTGSNTSFNQPSDRTKESEAQNDEKPTDFQPVHTEDNVPGVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEHENRVKRFGNSKGSAAQLLTGRNVSRSGLTRSTQ >KJB15338 pep chromosome:Graimondii2_0_v6:2:43488307:43494604:-1 gene:B456_002G171800 transcript:KJB15338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIDGEAVEVGNNARSESPVRVTSSSTGVPPPLTVSASFKESGGKGSSRRRAVRPSFDADNEFITLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRMSERQREKAVEELTDELLRMEEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITDRTAKSEAQLKEKYQLRLKVLEESLRGSSNSLSRSTSDVRSMSNGPSRRQSLGGADNFSKLTSNGFLSKKSPSSQLRSSLSSSTVLKHAKGTSKSFDGGTRSLERAKLLLNGTGSNTSFNQPSDRTKESEAQNDEKPTDFQPVHTEDNVPGVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEHENRVKRFGNSKGSAAQLLTGRNVSRSGLTRSTQ >KJB15336 pep chromosome:Graimondii2_0_v6:2:43488124:43494875:-1 gene:B456_002G171800 transcript:KJB15336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIDGEAVEVGNNARSESPVRVTSSSTGVPPPLTVSASFKESGGKGSSRRRAVRPSFDADNEFITLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRMSERQREKAVEELTDELLRMEEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITDRTAKSEAQLKEKYQLRLKVLEESLRGSSNSLSRSTSDVRSMSNGPSRRQSLGGADNFSKLTSNGFLSKKSPSSQLRSSLSSSTVLKHAKGTSKSFDGGTRSLERAKLLLNGTGSNTSFNQPSDRTKESEAQNDEKPTDFQPVHTEDNVPGVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEHENRVKRFGNSKGSAAQLLTGRNVSRSGLTRSTQ >KJB12997 pep chromosome:Graimondii2_0_v6:2:4334083:4339030:-1 gene:B456_002G050300 transcript:KJB12997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQTADKKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVIQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVGQLGVGNAILIILQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAFVHNLLTRENKIRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALVSNLYFISQLLYRRYSGNFFVNLLGKWKESEYSNGQTIPVGGLAYYITAPSSLAEMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLREQQMVMPGHREGSLQKELNRYIPTAAAFGGMCIGALTVLADLMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGL >KJB13001 pep chromosome:Graimondii2_0_v6:2:4334083:4339166:-1 gene:B456_002G050300 transcript:KJB13001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQTADKKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVIQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVGQLGVGNAILIILQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAFVHNLLTRENKIRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALVSNLYFISQLLYRRYSGNFFVNLLGKWKESEYSNGQTIPVGGLAYYITAPSSLAEMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLREQQMVMPGHREGSLQKELNRYIPTAAAFGGMCIGALTVLADLMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGL >KJB13000 pep chromosome:Graimondii2_0_v6:2:4334614:4337781:-1 gene:B456_002G050300 transcript:KJB13000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQTADKKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVIQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVGQLGVGNAILIILQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAFVHNLLTRENKIRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALVSNLYFISQLLYRRYSGNFFVNLLGKWKESEYSNGQTIPVGGLAYYITAPSSLAEMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLREQQMVMPGHREGSLQKELNRYIPTAAAFGGMCIGALTVLADLMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGL >KJB12998 pep chromosome:Graimondii2_0_v6:2:4334083:4339030:-1 gene:B456_002G050300 transcript:KJB12998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQTADKKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVIQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVGQLGVGNAILIILQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAFVHNLLTRENKIRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALVSNLYFISQLLYRRYSGNFFVNLLGKWKESEYSNGQTIPVGGLAYYITAPSSLAEMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLREQQMVMPGHREGSLQKELNRYIPTAAAFGGMCIGALTVLADLMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGL >KJB12999 pep chromosome:Graimondii2_0_v6:2:4334614:4336912:-1 gene:B456_002G050300 transcript:KJB12999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSVGQLGVGNAILIILQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAFVHNLLTRENKIRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALVSNLYFISQLLYRRYSGNFFVNLLGKWKESEYSNGQTIPVGGLAYYITAPSSLAEMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLREQQMVMPGHREGSLQKELNRYIPTAAAFGGMCIGALTVLADLMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGL >KJB16469 pep chromosome:Graimondii2_0_v6:2:59077251:59080163:1 gene:B456_002G231300 transcript:KJB16469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAEIKAPSPNVNGKAEILKGNFEPTAMKVEPLPPVVPENGVEKPSEKSRNIVLGRNVHSTCLTITEPEVNDEVTGDKEAQMAGVLARYRKNLMERTKYHLGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKDEYWGYITNCGTEGNLHGILVGEVFPDGVLYASRESHYSVFKAARMYRMECVKVDTLVSGEIDCSDLRPKLLANKDKPAILNVNIGTTVKGAVDDLDVVIQTLEECGFSHDRFYIHCDGALFGLMMPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRMEHINVLSSNVEYLASRDATIMGSRNGHAPLFLWYTLNRKGYKGFQKDVQKCLRNAHYLKDRLHEAGISAMLNELSSTVVFERPRDEEFVRRWQLACEGNIAHVVVMPNVTIEKLDFFLNELVEKRSTWYQGNVQPPCIAAEIGKANCVCALHK >KJB16470 pep chromosome:Graimondii2_0_v6:2:59077251:59080163:1 gene:B456_002G231300 transcript:KJB16470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAEIKAPSPNVNGKAEILKGNFEPTAMKVEPLPPVVPENGVEKPSEKSRNIVLGRNVHSTCLTITEPEVNDEVTGDKEAQMAGVLARYRKNLMERTKYHLGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKDEYWGYITNCGTEGNLHGILVGLVEVFPDGVLYASRESHYSVFKAARMYRMECVKVDTLVSGEIDCSDLRPKLLANKDKPAILNVNIGTTVKGAVDDLDVVIQTLEECGFSHDRFYIHCDGALFGLMMPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRMEHINVLSSNVEYLASRDATIMGSRNGHAPLFLWYTLNRKGYKGFQKDVQKCLRNAHYLKDRLHEAGISAMLNELSSTVVFERPRDEEFVRRWQLACEGNIAHVVVMPNVTIEKLDFFLNELVEKRSTWYQGNVQPPCIAAEIGKANCVCALHK >KJB16468 pep chromosome:Graimondii2_0_v6:2:59077239:59080226:1 gene:B456_002G231300 transcript:KJB16468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAEIKAPSPNVNGKAEILKGNFEPTAMKVEPLPPVVPENGVEKPSEKSRNIVLGRNVHSTCLTITEPEVNDEVTGDKEAQMAGVLARYRKNLMERTKYHLGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKDEYWGYITNCGTEGNLHGILVGREVFPDGVLYASRESHYSVFKAARMYRMECVKVDTLVSGEIDCSDLRPKLLANKDKPAILNVNIGTTVKGAVDDLDVVIQTLEECGFSHDRFYIHCDGALFGLMMPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRMEHINVLSSNVEYLASRDATIMGSRNGHAPLFLWYTLNRKGYKGFQKDVQKCLRNAHYLKDRLHEAGISAMLNELSSTVVFERPRDEEFVRRWQLACEGNIAHVVVMPNVTIEKLDFFLNELVEKRSTWYQGNVQPPCIAAEIGKANCVCALHK >KJB16605 pep chromosome:Graimondii2_0_v6:2:60266158:60269136:1 gene:B456_002G239200 transcript:KJB16605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTREEIEEQVDLDGDNDPEETIEEEVEYEEIEEEEEVEVEEEVEEEVEVEEEEEDEDAELVDFQKGSDANEGMDDFETEEEKKKMHAELLALPPHGSEVYLGGIPHDASDEDLRRFCESIGEVIEVRIMKEKDSGEAKGYAFVTFRSKELASKAIENLNGSEFKGKKIKCSTSQAKNKLFIGNVPRNWGEEDVKKVVKDVGPGVNSIELLKDPMNPSRNRGFVFIEYYNHACAEYSRQKMMKPTFKLDDNAPTVSWADPRSAESFSNTQVKALYVKNLPKDITQDRLRKLFEHHGKILKVVVPPAKVGKENSRYGFVHFAERSSAMKALKNTEKYEIDGHVLECSLAKPQADQKSGASGSQKSSLDSSFPPLLGYGLVGGAYGGLGTGFGPAGFGQVRYFFSLCLLRLQPFYAHL >KJB16606 pep chromosome:Graimondii2_0_v6:2:60266158:60270025:1 gene:B456_002G239200 transcript:KJB16606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTREEIEEQVDLDGDNDPEETIEEEVEYEEIEEEEEVEVEEEVEEEVEVEEEEEDEDAELVDFQKGSDANEGMDDFETEEEKKKMHAELLALPPHGSEVYLGGIPHDASDEDLRRFCESIGEVIEVRIMKEKDSGEAKGYAFVTFRSKELASKAIENLNGSEFKGKKIKCSTSQAKNKLFIGNVPRNWGEEDVKKVVKDVGPGVNSIELLKDPMNPSRNRGFVFIEYYNHACAEYSRQKMMKPTFKLDDNAPTVSWADPRSAESFSNTQVKALYVKNLPKDITQDRLRKLFEHHGKILKVVVPPAKVGKENSRYGFVHFAERSSAMKALKNTEKYEIDGHVLECSLAKPQADQKSGASGSQKSSLDSSFPPLLGYGLVGGAYGGLGTGFGPAGFGQPLIYGQGPTPAGMAMMPMLLPDGRIGYVL >KJB16607 pep chromosome:Graimondii2_0_v6:2:60266120:60270050:1 gene:B456_002G239200 transcript:KJB16607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTREEIEEQVDLDGDNDPEETIEEEVEYEEIEEEEEVEVEEEVEEEVEVEEEEEDEDAELVDFQKGSDANEGMDDFETEEEKKKMHAELLALPPHGSEVYLGGIPHDASDEDLRRFCESIGEVIEVRIMKEKDSGEAKGYAFVTFRSKELASKAIENLNGSEFKGKKIKCSTSQAKNKLFIGNVPRNWGEEDVKKVVKDVGPGVNSIELLKDPMNPSRNRGFVFIEYYNHACAEYSRQKMMKPTFKLDDNAPTVSWADPRSAESFSNTQVKALYVKNLPKDITQDRLRKLFEHHGKILKVVVPPAKVGKENSRYGFVHFAERSSAMKALKNTEKYEIDGHVLECSLAKPQADQKSGASGSQKSSLDSSFPPLLGYGLVGGAYGGLGTGFGPAGFGQPLIYGQGPTPAGMAMMPMLLPDGRIGYVLQQPGVQPHTPPPQPHSSRGGASGSSSSGGRRSSNDSSRGRRRYNPY >KJB16604 pep chromosome:Graimondii2_0_v6:2:60266158:60270069:1 gene:B456_002G239200 transcript:KJB16604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTREEIEEQVDLDGDNDPEETIEEEVEYEEIEEEEEVEVEEEVEEEVEVEEEEEDEDAELVDFQKGSDANEGMDDFETEEEKKKMHAELLALPPHGSEVYLGGIPHDASDEDLRRFCESIGEVIEVRIMKEKDSGEAKGYAFVTFRSKELASKAIENLNGSEFKGKKIKCSTSQAKNKLFIGNVPRNWGEEDVKKVVKDVGPGVNSIELLKDPMNPSRNRGFVFIEYYNHACAEYSRQKMMKPTFKLDDNAPTVSWADPRSAESFSNTQVKALYVKNLPKDITQDRLRKLFEHHGKILKVVVPPAKVGKENSRYGFVHFAERSSAMKALKNTEKYEIDGHVLECSLAKPQADQKSGASGSQKSSLDSSFPPLLGYGLVGGAYGGLGTGFGPAGFGQPLIYGQGPTPAGMAMMPMLLPDGRIGYVLQQPGVQPHTPPPQPHSSRGGASGSSSSGGRRSSNDSSRGRRRYNPY >KJB12834 pep chromosome:Graimondii2_0_v6:2:3054830:3059001:-1 gene:B456_002G038300 transcript:KJB12834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCNCIEPQWPPDDLLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHTRTVAMVMTTAKVFTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSSHAVKISPKCPVARIRPAGKYMPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLSTLINDVLDLSRLEDGSLQLDLGTFNLFAVFREVLNLIKPIASVKKLHVSLNLAPDLPEYAIGDEKRLMQTILNVVGNAVKFSKEGSISITAFVAKSELLRDSRTPEFFPVPSDNHFYLRVQVKDSGVGISPQDIPKLFTKFAQTQSTATRNSGGSGLGLAICKRFVNLMEGHIWIEKCLRVVSHEHKVVFMDVCVPGMDGYEVAIRINEKFTKRHERPVIVALTGNTDKVTKENCMRVGMDGIILKPVSLDKMRSVLSDLLEHRVLFEAI >KJB12832 pep chromosome:Graimondii2_0_v6:2:3054815:3059014:-1 gene:B456_002G038300 transcript:KJB12832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCNCIEPQWPPDDLLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHTRTVAMVMTTAKVFTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSSHAVKISPKCPVARIRPAGKYMPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLSTLINDVLDLSRLEDGSLQLDLGTFNLFAVFREVLNLIKPIASVKKLHVSLNLAPDLPEYAIGDEKRLMQTILNVVGNAVKFSKEGSISITAFVAKSELLRDSRTPEFFPVPSDNHFYLRVQVKDSGVGISPQDIPKLFTKFAQTQSTATRNSGGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTAIFMVKLGIPERSNEPKLPFMPKVPTNHGPTAFPGLKVLVMDENGVSRMVTKGLLVRLGCDVTMVSSSEECLRVVSHEHKVVFMDVCVPGMDGYEVAIRINEKFTKRHERPVIVALTGNTDKVTKENCMRVGMDGIILKPVSLDKMRSVLSDLLEHRVLFEAI >KJB12835 pep chromosome:Graimondii2_0_v6:2:3055628:3059001:-1 gene:B456_002G038300 transcript:KJB12835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCNCIEPQWPPDDLLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHTRTVAMVMTTAKVFTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSSHAVKISPKCPVARIRPAGKYMPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLSTLINDVLDLSRLEDGSLQLDLGTFNLFAVFREVLNLIKPIASVKKLHVSLNLAPDLPEYAIGDEKRLMQTILNVVGNAVKFSKEGSISITAFVAKSELLRDSRTPEFFPVPSDNHFYLRVQVKDSGVGISPQDIPKLFTKFAQTQSTATRNSGGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTAIFMVKLGIPERSNEPKLPFMPKVPTNHGPTAFPGLKVLVMDENGSVSSCSC >KJB12833 pep chromosome:Graimondii2_0_v6:2:3054830:3058270:-1 gene:B456_002G038300 transcript:KJB12833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCNCIEPQWPPDDLLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHTRTVAMVMTTAKVFTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSSHAVKISPKCPVARIRPAGKYMPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLSTLINDVLDLSRLEDGSLQLDLGTFNLFAVFREVLNLIKPIASVKKLHVSLNLAPDLPEYAIGDEKRLMQTILNVVGNAVKFSKEGSISITAFVAKSELLRDSRTPEFFPVPSDNHFYLRVQVKDSGVGISPQDIPKLFTKFAQTQSTATRNSGGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTAIFMVKLGIPERSNEPKLPFMPKVPTNHGPTAFPGLKVLVMDENGVSRMVTKGLLVRLGCDVTMVSSSEECLRVVSHEHKVVFMDVCVPGMDGYEVAIRINEKFTKRHERPVIVALTGNTDKVTKENCMRVGMDGIILKPVSLDKMRSVLSDLLEHRVLFEAI >KJB13303 pep chromosome:Graimondii2_0_v6:2:7868666:7871225:1 gene:B456_002G067400 transcript:KJB13303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRQLQCENPIIFPSKFKTLNISLEIFIPPFSLQSRTNFLPHHLSPHFRSSPHLTISKGTLVLEHKDERDLGLHLLQFAEARSDKFLSCFQVVEEACTNLLPNVVCDYPYNLSEIFSKFIATLNKETSRLLLCEATAVVMRKCFNLLGITPIYKI >KJB14536 pep chromosome:Graimondii2_0_v6:2:20198969:20203101:-1 gene:B456_002G129900 transcript:KJB14536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQEHENEEIDRAIALPLLEESQKERNIINDESQLEEDEQLARAMQESLRFEPPPQYENANTFQPIPIHFPMGYRICAGCNTEIGHGRFFPLPCLQPSNF >KJB14537 pep chromosome:Graimondii2_0_v6:2:20198969:20202779:-1 gene:B456_002G129900 transcript:KJB14537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQEHENEEIDRAIALPLLEESQKERNIINDESQLEEDEQLARAMQESLRFEPPPQYENANTFQPIPIHFPMGYRICAGCNTEIGHGRFFPLPCLQPSNF >KJB14538 pep chromosome:Graimondii2_0_v6:2:20201046:20201598:-1 gene:B456_002G129900 transcript:KJB14538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQEHENEEIDRAIALPLLEESQKERNIINDESQLEEDEQLARAMQESLRFEPPPQYENANTFQPIPIHFPMGYRICAGCNTEIGHGRFFPLPCLQPSNF >KJB16504 pep chromosome:Graimondii2_0_v6:2:59281567:59283506:1 gene:B456_002G233100 transcript:KJB16504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNSERIPLINPSPSSSTTEGRASKFQTIGNIIVSIVGTGVLGLPFAFRVAGWLAGSLGVILAGFATFYCMLLLVQCRDKSASENKLQGTITYGDLGYICIGKPGRYLTEFLIFISQCGGSVAYLVFIGQNLVSVFKGQTFSVASYIFLLVPIEIALSWIGSLSAFSPFSIFADICNVLAMGFVVKEEIERAIGGGFSFRDRKAIADNLGGLPFAGGMAVFCFEGFGMTLALEQSMKERNTFPKVLGMAFTWITLMYVLFGSFGYLAYGDETKDIITLNLPNNWTATAVQIGLCLGLVFTFPIMVHPKLNNNDAENSITGLGKLGTYTSRAVLIIGLAVLASYVPGFGIFASLVGSTVCALISFVLPASFHLTLLGSSLSLWQKALDCCILLCGLIFAAYGTYNTIVGI >KJB16503 pep chromosome:Graimondii2_0_v6:2:59281835:59283503:1 gene:B456_002G233100 transcript:KJB16503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNSERIPLINPSPSSSTTEGRASKFQTIGNIIVSIVGTGVLGLPFAFRVAGWLAGSLGVILAGFATFYCMLLLVQCRDKSASENKLQGTITYGDLGYICIGKPGRYLTEFLIFISQCGGSVAYLVFIGQNLVSVFKAFSPFSIFADICNVLAMGFVVKEEIERAIGGGFSFRDRKAIADNLGGLPFAGGMAVFCFEGFGMTLALEQSMKERNTFPKVLGMAFTWITLMYVLFGSFGYLAYGDETKDIITLNLPNNWTATAVQIGLCLGLVFTFPIMVHPVNEILEGKLKKIMWFRSSTTMMLKIQLQD >KJB16502 pep chromosome:Graimondii2_0_v6:2:59281837:59283041:1 gene:B456_002G233100 transcript:KJB16502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNSERIPLINPSPSSSTTEGRASKFQTIGNIIVSIVGTGVLGLPFAFRVAGWLAGSLGVILAGFATFYCMLLLVQCRDKSASENKLQGTITYGDLGYICIGKPGRYLTEFLIFISQCGGSVAYLVFIGQNLVSVFKGQTFSVASYIFLLVPIEIALSWIGSLSAFSPFSIFADICNVLAMGFVVKEEIERAIGGGFSFRDRKAIADNLGGLPFAGGMAVFCFEGFGMTLALEQSMKERNTFPKVLGMAFTWITLMYVLFGSFGYLAYGDETKDIITLNLPNNWTATAVQIGLCLGLVFTFPIMVHPVNEILEGKLKKIMWFRSSTTMMLKIQLQD >KJB13796 pep chromosome:Graimondii2_0_v6:2:12106145:12108594:1 gene:B456_002G095700 transcript:KJB13796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPQSVVSPFKNSVVAEPEKQKTEFFSRNSGGLLSNGSEVNKKEENSIGVLEVYVHQARDIHNICIYHKQDVYAKLCLTSDPESTVSTKIINGGGRNPVFNDNLRLNVRTVDSSLKIEIFMMSRVRNYLEDQLLGFALVPLSEVLLKNGKLEKEFSLSSTDLFHSPAGFVQLSLAYAGLSPEVMAIPAMPRDVAADETVKDSETGECELEKIEFPDPIIANENQMMVSEYIGIQCSNLDSESSESLGTSDAESQISSDMGARLMESFSTATVNSIQAPKLDSPPSSVSTYGVSSPSAGASSESFDGLAASKTSTQEHISAPKEKAVDVGDVDSHSSGAQSDSIVKPVVSVNIEPEQKLVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTKSENSSTTDQKIQASKNTGSRVFYGSRAFF >KJB13798 pep chromosome:Graimondii2_0_v6:2:12105828:12108630:1 gene:B456_002G095700 transcript:KJB13798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPQSVVSPFKNSVVAEPEKQKTEFFSRNSGGLLSNGSEVNKKEENSIGVLEVYVHQARDIHNICIYHKQDVYAKLCLTSDPESTVSTKIINGGGRNPVFNDNLRLNVRTVDSSLKIEIFMMSRVRNYLEDQLLGFALVPLSEVLLKNGKLEKEFSLSSTDLFHSPAGFVQLSLAYAGLSPEVMAIPAMPRDVAADETVKDSETGECELEKIEFPDPIIANENQMMVSEYIGIQCSNLDSESSESLGTSDAESQISSDMGARLMESFSTATVNSIQAPKLDSPPSSVSTYGVSSPSAGASSESFDGLAASKTSTQEHISAPKEKAVDVGDVDSHSSGAQSDSIVKPVVSVNIEPEQKLVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTKSENSSTTDQKIQASKNTGSRVFYGSRAFF >KJB13797 pep chromosome:Graimondii2_0_v6:2:12105828:12108630:1 gene:B456_002G095700 transcript:KJB13797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPQSVVSPFKNSVVAEPEKQKTEFFSRNSGGLLSNGSEVNKKEENSIGVLEVYVHQARDIHNICIYHKQDVYAKLCLTSDPESTVSTKIINGGGRNPVFNDNLRLNVRTVDSSLKIEIFMMSRVRNYLEDQLLGFALVPLSEVLLKNGKLEKEFSLSSTDLFHSPAGFVQLSLAYAGLSPEVMAIPAMPRDVAADETVKDSETGECELEKIEFPDPIIANENQMMVSEYIGIQCSNLDSESSESLGTSDAESQISSDMGARLMESFSTATVNSIQAPKLDSPPSSVSTYGVSSPSAGASSESFDGLAASKTSTQEHISAPKEKAVDVGDVDSHSSGAQSDSIVKPVVSVNIEPEQKLVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTKSENSSTTDQKIQASKNTGSRVFYGSRAFF >KJB15084 pep chromosome:Graimondii2_0_v6:2:34835682:34838508:1 gene:B456_002G159100 transcript:KJB15084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARKHLHELLQEDQEPFLLKNYIADRRCQLKKSPPKTHLQLHKPKPISQNFNFPLNFCKKACLFSFHDSPDLNKSPLFQPITPNTKNTNGTAFGLFGSILKRLTHRTKNPKPETATKGSKVSVKDILRWDSTVRKNNVMSEDKCGSRSYTDRPTSDVWSQSNEEMDMDTSCSSSPSGDFEEVFISNEVVGNNSAFASFDKHFSQSPLRFVLQTSPSFSPTSPSLHERKEKENYELESLKRFQVEEQEEEQCSPVSVLDRPFEDDDRHADDDDGDSGIDRFDLESTYAFVQRAKQQLLQKLIRFEKLAELDPIELEKRMLEEEQDDQDDSSSSQSEMNIDGMKKLVSDLIAEEEMMQHDGCIDKQAAAERVRKRLDSWKDVESNTIDMMVEQDFKRAHMEGWKGNEEEVREAGIEVECAIFGLLMQELIEELVL >KJB15083 pep chromosome:Graimondii2_0_v6:2:34835681:34838477:1 gene:B456_002G159100 transcript:KJB15083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARKHLHELLQEDQEPFLLKNYIADRRCQLKKSPPKTHLQLHKPKPISQNFNFPLNFCKKACLFSFHDSPDLNKSPLFQPITPNTKNTNGTAFGLFGSILKRLTHRTKNPKPETATKGSKVSVKDILRWDSTVRKNNVMSEDKCGSRSYTDRPTSDVWSQSNEEMDMDTSCSSSPSGDFEEVFISNEVVGNNSAFASFDKHFSQSPLRFVLQTSPSFSPTSPSLHERKQEKENYELESLKRFQVEEQEEEQCSPVSVLDRPFEDDDRHADDDDGDSGIDRFDLESTYAFVQRAKQQLLQKLIRFEKLAELDPIELEKRMLEEEQDDQDDSSSSQSEMNIDGMKKLVSDLIAEEEMMQHDGCIDKQAAAERVRKRLDSWKDVESNTIDMMVEQDFKRAHMEGWKGNEEEVREAGIEVECAIFGLLMQELIEELVL >KJB15751 pep chromosome:Graimondii2_0_v6:2:52347790:52349809:1 gene:B456_002G193700 transcript:KJB15751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIHWCLMQVPSFFQFYDLFAFCFILYSPSFMDLAHKLLFAALLIFAATIDGTQAAAMVSGAVFCDQCKDGQRSLFDYPLSGMKVTVACADGTGQVTMSREETTNVFGNYVMRFDGTPDLSNCNAQVSGSGEGSNDCGATAGPAQKLRLMFRMFGMEIYGVDSLLSEPSQPMSFCPRSSNPVPAPIITPTRPPPPTFRLPPLPPLPPMPPLPFSEASACSHQYWTMPEYKCYWRVLNPETKVSLIFGPLAARRYGSDMTLWESLQGRGDPYKTLLREATTALLNSYNTLQFPYNSIGVVTRTNWALMGSTRGVLITALRFIRANSGSGRVTCKLTPCKS >KJB15752 pep chromosome:Graimondii2_0_v6:2:52348238:52349706:1 gene:B456_002G193700 transcript:KJB15752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTVACADGTGQVTMSREETTNVFGNYVMRFDGTPDLSNCNAQVSGSGEGSNDCGATAGPAQKLRLMFRMFGMEIYGVDSLLSEPSQPMSFCPRSSNPVPAPIITPTRPPPPTFRLPPLPPLPPMPPLPFSEASACSHQYWTMPEYKCYWRVLNPETKVSLIFGPLAARRYGSDMTLWESLQGRGDPYKTLLREATTALLNSYNTLQFPYNSIGVVTRTNWALMGSTRGVLITALRFIRANSGSGRVTCKLTPCKS >KJB16388 pep chromosome:Graimondii2_0_v6:2:58691949:58700172:-1 gene:B456_002G228100 transcript:KJB16388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPMEPSTSKSDPNPIIGPITLLHPRREPFEHGLLPIQKLIFTDPLQALIPLKQKLASSSSSSSSTHRVNSDALADALQISSEHARLILDTLASVLHSEPDPLVNAHPDDVGSVGSDLRDLILFLYIQSYKRLLPRSHKDSAAVADVWPSTSAFDGYLSALSPLQLVRSNTRRFMPSQADEEAHQLSYLQKHLANIISLLSEPVEGEGEESLVLTMEGFEHLGFLIQFGDKGSEGIPLSQAAPFFANSDPDMPAVPVPASQVLDWLLENIAASLEHITDKISAKENGPQGGSDQDVAMADASSSSVRASPSARNCCFIEGVSKSSYVKQASDLKHSSVKVINCHDSVIYILAPLRYATIYGCSDATIVLGAVGKAVRVEHCERVHVIIAAKRVCIANCRECLFFLGVNQRPLVVGDNHKLQVAPYNTFYSQLEEHMTEVGIQATMNRWDEPLALGAVDPHDSLSHPAGVSDAQAESAAQLDPDQFTNFLIPNWFEGESAGSTKDNPFPLPDAYLKSQLRNQKNLSEIKQILREAPLEENRKRELSCALHVYFKDWLYASGNIRQLYCLQGD >KJB14259 pep chromosome:Graimondii2_0_v6:2:16882904:16883185:-1 gene:B456_002G118600 transcript:KJB14259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKSETGGGKGKEQSESHQPPHISPMYPVTHNAYGGGLYGTDQGQAKKPENPPASETQSADGPNEAKNEPKHKPPPSSGDRDIDITGQSYIQ >KJB11801 pep chromosome:Graimondii2_0_v6:2:7664523:7665114:1 gene:B456_002G0654001 transcript:KJB11801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KNREVPKESLSEAIKFVMDKDNETANMMKRNHAKLKQILSNRDLQEGYINNFIKALQDLVK >KJB11800 pep chromosome:Graimondii2_0_v6:2:7658175:7665142:1 gene:B456_002G0654001 transcript:KJB11800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSGHSKLHIAMFPWVAYGHFIPFLHLSNKLAHKGHQISFFLPKGVQPKLENLNQYPNLIRFFPLHIPHVDGLPPGAETTSVVPMNQQKYLAFAVDQTRDQVEGILKVIKPDMVFYDFWFWIPDLARQLGILPIFYVVVSSMSMGLNTKVLTKEMTVEEVMELPPGYPLSTVKLKPEEAAVMLFEAEDFGSGLSFRERIRTAVKGSDAIAFRTCSEIEEPFCDFVAEGFGKPVLLSGPCLPETNTQQLDEEWVSWLSQFEPGSVVFCSFGSQSVLQKDEFQELVLGFELCGLPFLVALKPPQGFSTVEEALPEGFQDRVGGRGLVYGGWVPQEQLLHHPNIGCFVNHCGYGTMWEFLLSDCQIVLIPEIGDQILNTRLMANELKIGVEVERGKNREVPKESLSEAIKFVMDKDNETANMMKRNHAKLKQILSNRDLQEGYINNFIKALQDLVK >KJB12753 pep chromosome:Graimondii2_0_v6:2:2651912:2656292:1 gene:B456_002G034700 transcript:KJB12753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTCHLMPHLRGLHFKNFMGKTISSCLLPALISCFYLQLQFGTASDTIRASESIKDPEFIISQGGVFRLGFFSFANSTNRYVGILYNQIPVQTVVWVANRNRPLKDSSGVLTISDDGNLVVSNGKAELLWSTNVTNLVPNATTAQLLDSGNLVLNNGDNGGSSSLWESFQHPSNAFLQTMKIGVDVKTGRKVHTRSWKGPDDPSDGNFTDCLEPFNIPEGVIRNNNQIYFRTGPWNGHFYIGLIEMNTVYLDGFYVVADDEGKSYYETYEYSNKSMLIYYELDYEGRFVERKWDAGKGDGINRYLILQNDCDFYGKCGAFGICDPKKQPICRCSKGFKPRNAEEWRRGNWSSGCFRTTPLQCQRDNNNGSGGAGQSDDGFLKLKTMKAPAFPDRSSIINGECKDQCMKNCSCVAYAYDAGIGCMFWSGDLILMSRNSPLAESIFTFVCHLRNWVKFLSVDHVFDKLRQYLPFWVKVINQVFLFADTGFRVIVITTVTAGIVVITISTLFLWCRKAKYKGRNKKRKQIKHQFSSENIGENPVGVKLQQLPLFNFEELATATNNFHPEKKLGQGGFGPVYKGTLDDGKEIAVKRLSKASGQGLEEFMNEVVVISKLQHRNLVRLFGCCVEAEEKILVYEFMPNKSLDAFLFDPVKQRLLDWRKRFNIIEGISRGLLYLHRDSRLRIIHRDLKASNVLLDQDLNPKISDFGMARIFGGDENQANTKRVVGTYGYMSPEYAMQGQFSEKSDVFSYGVLLLEIVSGRRNTSFYNNKDDLSLLGYHGNYGGKAIFGD >KJB13109 pep chromosome:Graimondii2_0_v6:2:9509553:9511075:1 gene:B456_002G079100 transcript:KJB13109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPKSDVPIITPHDLAEADGFIFGFPTRFGMMSAQFKAFMDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYSFGAGMFEMEQVKGGSPYGAGTYAGDGTRMPSELELAQAFHQGKYIAGITKKLKTAA >KJB13108 pep chromosome:Graimondii2_0_v6:2:9506621:9511099:1 gene:B456_002G079100 transcript:KJB13108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLYIVYYSMYGHVEKLAEEIKKGAASVEGVEAKLWQVPETLSDEILGKMSAPPKSDVPIITPHDLAEADGFIFGFPTRFGMMSAQFKAFMDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYSFGAGMFEMEQVKGGSPYGAGTYAGDGTRMPSELELAQAFHQGKYIAGITKKLKTAA >KJB14725 pep chromosome:Graimondii2_0_v6:2:24514356:24517024:1 gene:B456_002G140500 transcript:KJB14725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLGDDFSQFDISKEEKDKLVAEVVRYILFKTHQNSGCPIKREELSQLVTKNYRHRSLPAYIINEAKAKLSTIFGYELRELQRSRPSSTSHSRLSQQSGVDAKSYVIISQLPAEVYKKYVEDVNTSHLTGFTFVAISIVQLAGGKIAEDNLWHHLKRMGLHETDENHPVLGNVKQIFAERQGQWP >KJB14726 pep chromosome:Graimondii2_0_v6:2:24514356:24517024:1 gene:B456_002G140500 transcript:KJB14726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLGDDFSQFDISKEEKDKLVAEVVRYILFKTHQNSGCPIKREELSQLVTKNYRHRSLPAYIINEAKAKLSTIFGYELRELQRSRPSSTSHSRLSQQSGVDAKSYVIISQLPAEVYKKYVEDVNTSHLTGFTFVAISIVQLAGDNLWHHLKRMGLHETDENHPVLGNVKQVLETLVQQRYLQKDKVSGPEGTTLFYELAERALDGTVSERIKQYISQIVKKDDATVDIL >KJB14724 pep chromosome:Graimondii2_0_v6:2:24514356:24517024:1 gene:B456_002G140500 transcript:KJB14724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLGDDFSQFDISKEEKDKLVAEVVRYILFKTHQNSGCPIKREELSQLVTKNYRHRSLPAYIINEAKAKLSTIFGYELRELQRSRPSSTSHSRLSQQSGVDAKSYVIISQLPAEVYKKYVEDVNTSHLTGFTFVAISIVQLAGGKIAEDNLWHHLKRMGLHETDENHPVLGNVKQVLETLVQQRYLQKDKVSGPEGTTLFYELAERALDGTVSERIKQYISQIVKKDDATVDIL >KJB14727 pep chromosome:Graimondii2_0_v6:2:24514306:24517099:1 gene:B456_002G140500 transcript:KJB14727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLGDDFSQFDISKEEKDKLVAEVVRYILFKTHQNSGCPIKREELSQLVTKNYRHRSLPAYIINEAKAKLSTIFGYELRELQRSRPSSTSHSRLSQQSGVDAKSYVIISQLPAEVYKKYVEDVNTSHLTGFTFVAISIVQLAGGKIAEDNLWHHLKRMGLHETDENHPVLGNVKQVLETLVQQRYLQKDKVSGPEGTTLFYELAERALDGTVSERIKQYISQIVKKDDATVDIL >KJB15163 pep chromosome:Graimondii2_0_v6:2:42706226:42708039:1 gene:B456_002G170200 transcript:KJB15163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELNSMAYTSSSLHSPSLGWDLQNLGVLNADMSLVMDGSGTAPFFPHLDSDFSSGYLEDALLEFTEPSKRRRLLLYNDHNQFNGLNDLAMQGYWNYSCNWGLSENFSCMSQLTSINGVSDEPMSTSVSSEEANIVTEIKTPEEAIPRSPEEAFDSSSSSYKVSTAKSKSFFNKDTQISSGSEDKMKKRVITRVVYPFALVKPGGIEGDMTLNDINERILMPPTRPVRHPVGDFACRPCVSADGPGLSGKAVVALTKIHTQGRGTITIIRTKG >KJB15164 pep chromosome:Graimondii2_0_v6:2:42706426:42707843:1 gene:B456_002G170200 transcript:KJB15164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELNSMAYTSSSLHSPSLGWDLQNLGVLNADMSLVMDGSGTAPFFPHLDSDFSSGYLEDALLEFTEPSKRRRLLLYNDHNQFNGLNDLAMGYWNYSCNWGLSENFSCMSQLTSINGVSDEPMSTSVSSEEANIVTEIKTPEEAIPRSPEEAFDSSSSSYKVSTAKSKSFFNKDTQISSGSEDKMKKRVITRVVYPFALVKPGGIEGDMTLNDINERILMPPTRPVRHPVGDFACRPCVSADGPGLSGKAVVALTKIHTQGRGTITIIRTKG >KJB15866 pep chromosome:Graimondii2_0_v6:2:54038891:54040540:-1 gene:B456_002G200400 transcript:KJB15866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNMLWGVDPVYGVKSICSKKKYLNINLIDIIDLIMIHLSKNDSIYQMIEQPGPAYLRYLVDIHKKYLMNYDFHFSFRGKHFLLRLALSPSRGILVIGSIGTGRSYLFKYLATNSYVPFITIFLNKFLDNKLKGFLSDPMLIIDYENIYDQL >KJB12685 pep chromosome:Graimondii2_0_v6:2:2297958:2299908:1 gene:B456_002G031000 transcript:KJB12685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMVSRFKDLELGLENGVSLSVGEDSRFSKVEGSSVEGSLSSDASNSGRVCVENLLLVNVEGQEDKSGKENKLVKEKRKSLGHKKPPKPPRPPRAPSLGAADQKLIKEIAELARLKRARIERMKALKKLKATKPATSSSSNMFAMVFTIIFCLVIMFQGMSPGSTPSTYQGSPSPAESGLTSLQSSTNPSASVRNQPGSQSPYLVEQVAGLESHGKLSKSSG >KJB12683 pep chromosome:Graimondii2_0_v6:2:2297684:2299908:1 gene:B456_002G031000 transcript:KJB12683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMVSRFKDLELGLENGVSLSVGEDSRFSKVEGSSVEGSLSSDASNSGRVCVENLLLVNVEGQEDKSGKENKLVKEKRKSLGHKKPPKPPRPPRAPSLGAADQKLIKEIAELARLKRARIERMKALKKLKATKPATSSSSNMFAMVFTIIFCLVIMFQGMSPGSTPSTYQGSPSPAESGLTSLQSSTNPSASVRNQPGSQSPYLVEQVAGLESHGKLSKSSG >KJB12684 pep chromosome:Graimondii2_0_v6:2:2297673:2299512:1 gene:B456_002G031000 transcript:KJB12684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMVSRFKDLELGLENGVSLSVGEDSRFSKVEGSSVEGSLSSDASNSGRVCVENLLLVNVEGQEDKSGKENKLVKEKRKSLGHKKPPKPPRPPRAPSLGAADQKLIKEIAELARLKRARIERMKALKKLKATKPATSSSSNMFAMVFTIIFCLVIMFQGMSPGSTPSTYQGSPSPAESGLTSLQSSTNPSASVRNQPGSQSPYLVEQVAGLESHGKLSKSSG >KJB14314 pep chromosome:Graimondii2_0_v6:2:16909300:16912575:-1 gene:B456_002G118800 transcript:KJB14314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLLSSNFFGTQLLLSPPTPKTTRKLQVTQSILNKKPNSSHSVKTLQSHATIAALLFSSVAPQALAVDNAPPTPPPVIEAQPTKPSPSNQSPFSQNLLLTAPKPQSQSSDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGSALQLTAVDGRRATVIVPNDPDLIDILAMNGVDISVSEGDSGNGLFNFIGNLLFPFLAFAGLFFLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFSDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFDKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKISRRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRIAEEVIFGEENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGGGGNPFLGQQMSSQKDYSMATADVVDAEVRDLVETAYTRAKQIITTHIDILHKLAQLLMEKETVDGEEFMSLFIDGKAELYVS >KJB14315 pep chromosome:Graimondii2_0_v6:2:16909300:16912581:-1 gene:B456_002G118800 transcript:KJB14315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLLSSNFFGTQLLLSPPTPKTTRKLQVTQSILNKKPNSSHSVKTLQSHATIAALLFSSVAPQALAVDNAPPTPPPVIEAQPTKPSPSNQSPFSQNLLLTAPKPQSQSSDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGSALQLTAVDGRRATVIVPNDPDLIDILAMNGVDISVSEGDSGNGLFNFIGNLLFPFLAFAGLFFLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFSDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFDKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKISRRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRIAEEVIFGEENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGGGGNPFLGQQMSSQKDYSMATADVVDAEVRDLVETAYTRAKQIITTHIDILHKLAQLLMEKETVDGEEFMSLFIDGKAELYVS >KJB14313 pep chromosome:Graimondii2_0_v6:2:16909300:16912575:-1 gene:B456_002G118800 transcript:KJB14313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLLSSNFFGTQLLLSPPTPKTTRKLQVTQSILNKKPNSSHSVKTLQSHATIAALLFSSVAPQALAVDNAPPTPPPVIEAQPTKPSPSNQSPFSQNLLLTAPKPQSQSSDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGSALQLTAVDGRRATVIVPNDPDLIDILAMNGVDISVSEGDSGNGLFNFIGNLLFPFLAFAGLFFLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFSDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFDKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKISRRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRIAEEVIFGEENVTTGASNDFMQVSRVVAILS >KJB14312 pep chromosome:Graimondii2_0_v6:2:16910230:16912336:-1 gene:B456_002G118800 transcript:KJB14312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLLSSNFFGTQLLLSPPTPKTTRKLQVTQSILNKKPNSSHSVKTLQSHATIAALLFSSVAPQALAVDNAPPTPPPVIEAQPTKPSPSNQSPFSQNLLLTAPKPQSQSSDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGSALQLTAVDGRRATVIVPNDPDLIDILAMNGVDISVSEGDSGNGLFNFIGNLLFPFLAFAGLFFLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFSDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFDKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKISRRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRSVSQVLSVLKILCEANAQP >KJB14413 pep chromosome:Graimondii2_0_v6:2:18059123:18060363:1 gene:B456_002G124000 transcript:KJB14413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRKSNKLAQTAMIKQILKRCSSLGKKQSYGDEQGLPLDVPKGHFVVYVGENRSRYIVPISFLTRPEFQSLLHQAEEEFGFDHDMGLTIPCEEVLFQSLTSMLR >KJB13654 pep chromosome:Graimondii2_0_v6:2:11379116:11379789:1 gene:B456_002G090000 transcript:KJB13654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDNTSSSSSSSSKHKLLASMALLQNIFGCFTESSESKYICHGDVCVLRNQKKPGKKNMMSTSTNNKPKQSNRNFLAILSVRRASTT >KJB16931 pep chromosome:Graimondii2_0_v6:2:61753762:61759638:-1 gene:B456_002G255100 transcript:KJB16931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNITSIKTSSNGAWQGDNPLNFAFPLLIVQTTLILVLSRFLAFLLKPLRQPKVIAEIVGGILLGPSAFGRNEDYMHRIFPSWSMPVLETVASIGLLFFLFLVGLELDLTSIRRSGRKAFGIAFCGISLPFICGFGVAFILRKTVDGADKVGYGQFIVFMGVALSITAFPVLARILAELKLLTTQVGEIAMASAAFNDVAAWILLALAVAIAGNGSGQQKSPLISIWILLSGMAFVIFMMVLIRPAMKWVAHRCSPERDIIDEAYICLTLGGVMVSGFITDLIGIHAIFGAFIFGLTIPKEGDFAEKLIQRIEDFVTGLLLPLYFASSGLKTDVAKIKGGEAWGLLALVVTTACAGKIIGTFAVALMFGMAIRESLALGILMNTKGLVELIVLNIGKEKKVLNDEIFAILVLMALFTTFITTPAVMAIYKPARGSSVLTHRKLRDLTNTDESKDQLRVLACLHGISNVPSIISLIESTRSTKKSQLKLFIMHLVELTERSSSIIMVHRARKNGLPFINRLRRGDWQDRVTGAFQAYSQLGRVSVRPSTAISALSTIHEDICHVAETKRVTMIILPFHKQWRGQGDLQVIDNVGHGWRLVNQRVLKNAPCSVAILVDRGLDNGAQRVCILFFGGPDDREALELSGRIAEHPAVKVSVVRFIEKDGLQSHGVVLKPSASKCAEKYYSFSTAHINTEKEKELDDAVVVEFRSKWDGMVEYIEKTSSNIVEEVLGLGQSGDYDLIVVGKGRFPSRMVAKLADRQAEHAELGPIGDLLASSSHRVTSSVLVIQQHDTVHAEEMPVAKVEQNEHDMVKGDGDAGMGEISKVV >KJB16622 pep chromosome:Graimondii2_0_v6:2:60335471:60340892:1 gene:B456_002G240100 transcript:KJB16622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLDGNLELKEIQKLQGHTDRVWSLAWNPATAAADVPKVFASCSGDKTVRIWEESPSTRSWDCKAVLEETHTRTVRSCAWSPSGRLLATASFDATTAIWENVGGDFECVSTLEGHENEVKSVSWNASGSLLATCGRDKTVWIWEVMPGNEFECIQVLQGHTQDVKMVEWHPTMDILFSCSYDNTVKVWWSDDADGDWSCVQTLGESSNGHSSTVWSLAFNAKGDKLVTCSDDLTMKIWEADIIRMQSGDGYAPWNHLCTLSGYHDRTIFSVHWSREAIIASGAADDAVRLFVESKDGSMNGSSYQLLLKKEKAHDMDVNSVQWCPGEKRLLASASDDGTIKIWELGTLP >KJB16623 pep chromosome:Graimondii2_0_v6:2:60335610:60340856:1 gene:B456_002G240100 transcript:KJB16623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERTLLQAVLEETHTRTVRSCAWSPSGRLLATASFDATTAIWENVGGDFECVSTLEGHENEVKSVSWNASGSLLATCGRDKTVWIWEVMPGNEFECIQVLQGHTQDVKMVEWHPTMDILFSCSYDNTVKVWWSDDADGDWSCVQTLGESSNGHSSTVWSLAFNAKGDKLVTCSDDLTMKIWEADIIRMQSGDGYAPWNHLCTLSGYHDRTIFSVHWSREAIIASGAADDAVRLFVESKDGSMNGSSYQLLLKKEKAHDMDVNSVQWCPGEKRLLASASDDGTIKIWELGTLP >KJB16624 pep chromosome:Graimondii2_0_v6:2:60335610:60340856:1 gene:B456_002G240100 transcript:KJB16624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFYFGGSLLATCGRDKTVWIWEVMPGNEFECIQVLQGHTQDVKMVEWHPTMDILFSCSYDNTVKVWWSDDADGDWSCVQTLGESSNGHSSTVWSLAFNAKGDKLVTCSDDLTMKIWEADIIRMQSGDGYAPWNHLCTLSGYHDRTIFSVHWSREAIIASGAADDAVRLFVESKDGSMNGSSYQLLLKKEKAHDMDVNSVQWCPGEKRLLASASDDGTIKIWELGTLP >KJB12455 pep chromosome:Graimondii2_0_v6:2:1280137:1281301:1 gene:B456_002G018800 transcript:KJB12455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIFSISLSLDTIITRCWDCAARQASYICNLEDNLHALKNEVEELKATMRDLTSRVRAAEHEQQLKRLPQVDFWLQRADCVVPDADQLIVQGPQHVEKLCMGGCCSRHPRSTHKFGTQIARILQEVKHLKENGDFSDVACKPPIPSATKRPSEPTVGLEANFNEVWNCLQKEHVGIIGIYGLGGVGKTALLNQINNKFHDMCHDYHVIWAVASQDRPIEKVQDQVAKRIGC >KJB13236 pep chromosome:Graimondii2_0_v6:2:7612049:7614960:-1 gene:B456_002G064900 transcript:KJB13236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPKVPNMTQNWPSFPHQRLPTMANIISTTANTATMNASHHHQPSWVDEFLDFSSARRGAHRRSISDSIAFLEQPLVEECRDSNTNHNNNAMITETNVFDRLDDEQLMSMFSDDVAVTMAAAPTLSSSNPSTPTSDQNSNNDEKPVPSLDLQQPKNEPGEVESSCKPEPQAAQPPSTSNGDPIVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQGCILGSSKTDSQC >KJB13235 pep chromosome:Graimondii2_0_v6:2:7611938:7615181:-1 gene:B456_002G064900 transcript:KJB13235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPKVPNMTQNWPSFPHQRLPTMANIISTTANTATMNASHHHQPSWVDEFLDFSSARRGAHRRSISDSIAFLEQPLVEECRDSNTNHNNNAMITETNVFDRLDDEQLMSMFSDDVAVTMAAAPTLSSSNPSTPTSDQNSNNDEKPVPSLDLQQPKNEPGEVESSCKPEPQAAQPPSTSNGDPIVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKIFKDAHQEALKKEIERLRQVYQQQQSLKKMNSNNSNNHHAPPQQQPSQPQPTKKEEQL >KJB15215 pep chromosome:Graimondii2_0_v6:2:40337374:40343250:1 gene:B456_002G165100 transcript:KJB15215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVKLDRWGYEVKTSSDSCISVINAYYHQVLSYGRNRKVILEAPVLDKDCVLANILAAHFLSSSDPSKAPSLIEAAKAGIEQASSYEKAVFEAVNYLISQNRDDDVAVELHSKLLRDFPRDLLSLKRAQILCFYMGRPDLSLDLVQQVLPRNQQENFIYGMLAFPLLELGRMADAEDAAKKGFEINNQDVWAQHAQLCHVLQYKCQYKEAVQFMEECSNAWSSCSSFMLTHNWWHVAVCYLEGHSPITKVREVYDHCIWKELERTDAIAVEVCLNALGLLLRVFVRGKLDIFEDRLKVLAASLSDQANWFMEWHLDLLILWALAFTEELPKAEDLLKGLKFRLSKMNEKKQQIMQRAMLLAEAMYEYGRGNEKQALEILGPDFDAYSFKIIGASDEQLDVFNEVWYTMLLNTGQVTKAIESIEKQIPKREGAPFLWRLLEKGYKLTGRQEAATIGERARLLETAYFN >KJB15213 pep chromosome:Graimondii2_0_v6:2:40337374:40343250:1 gene:B456_002G165100 transcript:KJB15213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVKLDRWGYEVKTSSDSCISVINAYYHQVLSYGRNRKVILEAPVLDKDCVLANILAAHFLSSSDPSKAPSLIEAAKAGIEQASSYEKAVFEAVNYLISQNRDDDVAVELHSKLLRDFPRDLLSLKRAQILCFYMGRPDLSLDLVQQVLPRNQQENFIYGMLAFPLLELGRMADAEDAAKKGFEINNQDVWAQHALCHVLQYKCQYKEAVQFMEECSNAWSSCSSFMLTHNWWHVAVCYLEGHSPITKVREVYDHCIWKELERTDAIAVEVCLNALGLLLRVFVRGKLDIFEDRLKVLAASLSDQANWFMEWHLDLLILWALAFTEELPKAEDLLKGLKFRLSKMNEKKQQIMQRAMLLAEAMYEYGRGNEKQALEILGPDFDAYSFKIIGASDEQLDVFNEVWYTMLLNTGQVTKAIESIEKQIPKREGAPFLWRLLRLILFTKMERGYKLSGRLEILQNLN >KJB15212 pep chromosome:Graimondii2_0_v6:2:40337355:40343273:1 gene:B456_002G165100 transcript:KJB15212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVKLDRWGYEVKTSSDSCISVINAYYHQVLSYGRNRKVILEAPVLDKDCVLANILAAHFLSSSDPSKAPSLIEAAKAGIEQASSYEKAVFEAVNYLISQNRDDDVAVELHSKLLRDFPRDLLSLKRAQILCFYMGRPDLSLDLVQQVLPRNQQENFIYGMLAFPLLELGRMADAEDAAKKGFEINNQDVWAQHALCHVLQYKCQYKEAVQFMEECSNAWSSCSSFMLTHNWWHVAVCYLEGHSPITKVREVYDHCIWKELERTDAIAVEVCLNALGLLLRVFVRGKLDIFEDRLKVLAASLSDQANWFMEWHLDLLILWALAFTEELPKAEDLLKGLKFRLSKMNEKKQQIMQRAMLLAEAMYEYGRGNEKQALEILGPDFDAYSFKIIGASDEQLDVFNEVWYTMLLNTGQVTKAIESIEKQIPKREGAPFLWRLLEKGYKLTGRQEAATIGERARLLETAYFN >KJB15214 pep chromosome:Graimondii2_0_v6:2:40337374:40343250:1 gene:B456_002G165100 transcript:KJB15214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVKLDRWGYEVKTSSDSCISVINAYYHQVLSYGRNRKVILEAPVLDKDCVLANILAAHFLSSSDPSKAPSLIEAAKAGIEQASSYEKAVFEAVNYLISQNRDDDVAVELHSKLLRDFPRDLLSLKRAQILCFYMGRPDLSLDLVQQVLPRNQQENFIYGMLAFPLLELGRMADAEDAAKKGFEINNQDVWAQHALCHVLQYKCQYKEAVQFMEECSNAWSSCSSFMLTHNWWHVAVCYLEGHSPITKVREVYDHCIWKELERTDAIAVEVCLNALGLLLRVFVRGKLDIFEDRLKVLAASLSDQANWFMEWHLDLLILWALAFTEELPKAEDLLKGLKFRLSKMNEKKQQIMQRAMLLAEAMYEYGRGNEKQALEILGPDFDAYSFKIIGASDEQLDVFNEVWYTMLLNTGQVTKAIESIEKQIPKREGAPFLWRLLVSICLYNSILTHYQFGMTRECQTWHVS >KJB15216 pep chromosome:Graimondii2_0_v6:2:40337374:40343250:1 gene:B456_002G165100 transcript:KJB15216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVKLDRWGYEVKTSSDSCISVINAYYHQVLSYGRNRKVILEAPVLDKDCVLANILAAHFLSSSDPSKAPSLIEAAKAGIEQASSYEKAVFEAVNYLISQNRDDDVAVELHSKLLRDFPRDLLSLKRAQILCFYMGRPDLSLDLVQQVLPRNQQENFIYGMLAFPLLELGRMADAEDAAKKGFEINNQDVWAQHAVSPNLCHVLQYKCQYKEAVQFMEECSNAWSSCSSFMLTHNWWHVAVCYLEGHSPITKVREVYDHCIWKELERTDAIAVEVCLNALGLLLRVFVRGKLDIFEDRLKVLAASLSDQANWFMEWHLDLLILWALAFTEELPKAEDLLKGLKFRLSKMNEKKQQIMQRAMLLAEAMYEYGRGNEKQALEILGPDFDAYSFKIIGASDEQLDVFNEVWYTMLLNTGQVTKAIESIEKQIPKREGAPFLWRLLEKGYKLTGRQEAATIGERARLLETAYFN >KJB14080 pep chromosome:Graimondii2_0_v6:2:15049667:15062058:-1 gene:B456_002G109700 transcript:KJB14080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSFKYWDECADPEDMEAMWNHPEVRTEWTGAGETEGQRVHLSRDPDGQPYLTQTEMREMICAIAELESNRQPLAMGCDKKTNLITIGIMQVAPKVAEWIVREEDYLLFPVEEDPDILYKPFVNVYFGAAYLRWLSNFDGKIRTEEFVVRAYSGGTKKVNHKSTLPYWKRYLQVKECYLSRKQFDGPSPIEAPTFPTSPVSPSKGFDLYWDSRVSSEDMEHMWNHLDVFKEWIKSKEIRGKVRFSCNKEKKPYLSRVELRAIAEIIVSKYFSTRGINPTVLCALADVVSMRFIDGNEASTGLMGIDYSTAFWLYKEMGYRAYRVDYIEDLTNPFVSMYFGAAYLAWLSEYEGRERSLQFIFHAYISGPKNVHLEETCPKWLKFEQILARYERTKSREGSCNII >KJB14079 pep chromosome:Graimondii2_0_v6:2:15049954:15061031:-1 gene:B456_002G109700 transcript:KJB14079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSFKYWDECADPEDMEAMWNHPEVRTEWTGAGETEGQRVHLSRDPDGQPYLTQTEMRAVAEIVTRRQFDKKLDPEMICAIAELESNRQPLAMGCDKKTNLITIGIMQVAPKVAEWIVREEDYLLFPVEEDPDILYKPFVNVYFGAAYLRWLSNFDGKIRTEEFVVRAYSGGTKKVNHKSTLPYWKRYLQVKECYLSRKQFDGPSPIEAPTFPTSPVSPSKGFDLYWDSRVSSEDMEHMWNHLDVFKEWIKSKEIRGKVRFSCNKEKKPYLSRVELRAIAEIIVSKYFSTRGINPTVLCALADVVSMRFIDGNEASTGLMGIDYSTAFWLYKEMGYRAYRVDYIEDLTNPFVSMYFGAAYLAWLSEYEGRERSLQFIFHAYISGPKNVHLEETCPKWLKFEQILARYERTKSREGSCNII >KJB13853 pep chromosome:Graimondii2_0_v6:2:12424016:12424834:1 gene:B456_002G098000 transcript:KJB13853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLLPLSFTFLVFIFMVFKLWMRSKIKEAPKNLPPAPWKLPIIRHLHLLIFALPHQRLTELAKRHGSLMHLELGEVSHVVVSSPEAAKEVMITHDISFANRPFLLGAEIVSYNLSDIAFAPYGSCWRQLRKVCTLELLSMKRVQSFRSVREEQVSSLIRSIFSKTGKEINLGEMLCNLSYNITLRTVLMK >KJB17175 pep chromosome:Graimondii2_0_v6:2:62719408:62724840:1 gene:B456_002G268400 transcript:KJB17175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGNDKPPAHLGSSRDYNVDMVPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSYVFNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYDEKDPKTHEGMDLTRVSTRALIAKYGLDDNTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEYDDEGKVCGVTSEGETARCKKVVCDPSYLPNKVVRKIGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRKSDMYVFCCSYSHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTSYDATTHFESTVSDVLNMYTMITGKVLDLSVDLSAASAAEE >KJB17180 pep chromosome:Graimondii2_0_v6:2:62719408:62724840:1 gene:B456_002G268400 transcript:KJB17180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGNDKPPAHLGSSRDYNVDMVPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSYVFNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYDEKDPKTHEGMDLTRVSTRALIAKYGLDDNTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEYDDEGKVCGVTSEGETARCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRKSDMYVFCCSYSHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTSYDATTHFESTVSDVLNMYTMITGKVLDLSVDLSAASAAEE >KJB17179 pep chromosome:Graimondii2_0_v6:2:62719367:62724841:1 gene:B456_002G268400 transcript:KJB17179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGNDKPPAHLGSSRDYNVDMVPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSYVFNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYDEKDPKTHEGMDLTRVSTRALIAKYGLDDNTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEYDDEGKVCGVTSEGETARCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRKSDMYVFCCSYSHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTSYDATTHFESTVSDVLNMYTMITGKVLDLSVDLSAASAAEE >KJB17174 pep chromosome:Graimondii2_0_v6:2:62719408:62724840:1 gene:B456_002G268400 transcript:KJB17174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGNDKPPAHLGSSRDYNVDMVPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSYVFNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYDEKDPKTHEGMDLTRVSTRALIAKYGLDDNTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEYDDEGKVCGVTSEGETARCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRKSDMYVFCCSYSHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTSYDATTHFESTVSDVLNMYTMITGKVLDLSVDLSAASAAEE >KJB17171 pep chromosome:Graimondii2_0_v6:2:62719408:62724840:1 gene:B456_002G268400 transcript:KJB17171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGNDKPPAHLGSSRDYNVDMVPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSYVFNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYDEKDPKTHEGMDLTRVSTRALIAKYGLDDNTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEYDDEGKVCGVTSEGETARCKKVVCDPSYLPNKIILPQKQLGRKSDMYVFCCSYSHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTSYDATTHFESTVSDVLNMYTMITGKVLDLSVDLSAASAAEE >KJB17170 pep chromosome:Graimondii2_0_v6:2:62719408:62724840:1 gene:B456_002G268400 transcript:KJB17170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGNDKPPAHLGSSRDYNVDMVPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSYVFNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYDEKDPKTHEGMDLTRVSTRALIAKYGLDDNTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEYDDEGKVCGVTSEGETARCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRKSDMYVFCCSYSHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTSYDATTHFESTVSDVLNMYTMITGKVLDLSVDLSAASAAEE >KJB17173 pep chromosome:Graimondii2_0_v6:2:62719408:62724840:1 gene:B456_002G268400 transcript:KJB17173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGNDKPPAHLGSSRDYNVDMVPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSYVFNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYDEKDPKTHEGMDLTRVSTRALIAKYGLDDNTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQVEYDDEGKVCGVTSEGETARCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRKSDMYVFCCSYSHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTSYDATTHFESTVSDVLNMYTMITGKVLDLSVDLSAASAAEE >KJB17177 pep chromosome:Graimondii2_0_v6:2:62719408:62724840:1 gene:B456_002G268400 transcript:KJB17177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGNDKPPAHLGSSRDYNVDMVPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSYVFNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYDEKDPKTHEGMDLTRVSTRALIAKYGLDDNTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEYDDEGKVCGVTSEGETARCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRKSDMYVFCCSYSHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTSYDATTHFESTVSDVLNMYTMITGKVLDLSVDLSAASAAEE >KJB17172 pep chromosome:Graimondii2_0_v6:2:62719590:62724840:1 gene:B456_002G268400 transcript:KJB17172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSYVFNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYDEKDPKTHEGMDLTRVSTRALIAKYGLDDNTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEYDDEGKVCGVTSEGETARCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRKSDMYVFCCSYSHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTSYDATTHFESTVSDVLNMYTMITGKVLDLSVDLSAASAAEE >KJB17178 pep chromosome:Graimondii2_0_v6:2:62719408:62724840:1 gene:B456_002G268400 transcript:KJB17178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGNDKPPAHLGSSRDYNVDMVPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSYVFNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYDEKDPKTHEGMDLTRVSTRALIAKYGLDDNTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEYDDEGKVCGVTSEGETARCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRKSDMYVFCCSYSHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTVIMMLQHILNQLSVMYLTCIP >KJB17176 pep chromosome:Graimondii2_0_v6:2:62719408:62724840:1 gene:B456_002G268400 transcript:KJB17176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGNDKPPAHLGSSRDYNVDMVPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSYVFNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYDEKDPKTHEGMDLTRVSTRALIAKYGLDDNTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEYDDEGKVCGVTSEGETARCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRKSDMYVFCCSYSHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTSYDATTHFESTVSDVLNMYTMITGKVSFGSFWLSLFPVYDQSI >KJB14407 pep chromosome:Graimondii2_0_v6:2:17840734:17842722:-1 gene:B456_002G123600 transcript:KJB14407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPHSHPPQFSSLLPLSDPTPFAHKSYKKSERAESTLVLLFIMALLTFLPEAAAEPMKQPPKRRRKQVKKQKQPTTSSWDQIKNLLTCKQMEGSKVHDPSKNNNPAPPHHHQHGYSKLGSSCSSICSFRDVVHGNTRVVHRADNSPESSTIGQETALLRRKAVNGSSSRSLSGSTRSNNSTTTSSSSRAIQFRKLSGCYECHTIVDPSRYPSSRTSICGCSQCGEVFPKIESLELHQAVRHAVSELGPEDSGRNIVEIIFKSSWLKKDNPICKIERILKVHNNQRTIQRFEDCRDAVKTRALNSTRKNPRCAADGNELLRFHCTTLSCSLGARGSSSLCGSIPGCGVCTIIRQGFQNKGGGTAPAAEFKGVVTTASSGRAHDSIKRTDGRRAMLVCRVIAGRVKRVADEAPPLEDENSSGVSSSAGSYDSVAAYAGVYSNLEELVVFNARAILPCFVVIYKALESGLI >KJB14491 pep chromosome:Graimondii2_0_v6:2:19707727:19709020:-1 gene:B456_002G127800 transcript:KJB14491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNITTTFCKRISVKELVTSAPVYGTITDGSSGLSLMLRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGIGKDHTLYALKEGCVKFEMHKLSGRKWVHVEPKEGHVLHPLYATADSGELKTAT >KJB14490 pep chromosome:Graimondii2_0_v6:2:19707727:19708781:-1 gene:B456_002G127800 transcript:KJB14490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNITTTFCKRISVKELVTSAPVYGTITDGSSGLSLMLRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGIGKDHTLYALKEGCVKFEMHKLSGRKWVHVEPKEGHVLHPLYATADSGELKTAT >KJB14492 pep chromosome:Graimondii2_0_v6:2:19707655:19709562:-1 gene:B456_002G127800 transcript:KJB14492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNITTTFCKRISVKELVTSAPVYGTITDGSSGLSLMLRRWASKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGIGKDHTLYALKEGCVKFEMHKLSGRKWVHVEPKEGHVLHPLYATADSGELKTAT >KJB15236 pep chromosome:Graimondii2_0_v6:2:41221410:41240156:-1 gene:B456_002G166500 transcript:KJB15236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCDTSIDLRITLRKDSNGTWELVNFPTRQKDGQCLRPSDPAYEQVLDSLAMVAQHTPVPLLEALLRWRESEPPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQVDYPSLVDLRSLLLDLVAQLLGALSRIRFTSVTERFFMESNTRRIDSSVARSETLSIINGMRYLKKRHHDDQCLTITSFQVKTEGGLNASASFVAKANPLNRAPHKRKTPLADGGKNQWPPIGVDLAITLWYEAVGRIRENLMHWMDKQSKHIGVGYPLVTLLLCLGDPQIFHSNLSSHMEQLYKLLRDKNHRFMSLDCLHKVLRFYLNVHAANQPPNRIWDYLDSVTSQLLILLRKGMLTQDIQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSQHVGLEIFKGHDIGHYMPKVKAAIESILRSCHRTYSQALLTSSRTTIDAVTKEKSQGYLFRSALKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVIRGMANFILRLPDDFPLLIQTSLGRLLELMRFWRACLIDDRLEQDAQDVKRTVQHNTAFKKSSFHQQAEAMEFRASEIDAVGLIFLSSVDSQIRHTALELLRCARALRNDIRDLSLHEQLDYNRRYEVEPIFIIDVLEEHGEDIVQSCYWDSARLFDYRRESDAIPSDVSLQSIIFESPDKNRVEVLQRLAHITPAELGGKAHQSQDLDNKLDQWLMYAMFVCSCPPDSKEAGSIVATRELYHLIFPSLKSGSEAHIHCATMALGHSHLECCEIMFSELASFVEEVSSETEGKPKWKSQKQTRREDLRVHIANIYRTVAENIWPGFLGRKPVFRRHYLRFIEDTSKQIAIASADSFQETQPLRYALASVLRSLAPEFVDSKSDRFDLRYRKKLFDLLLSWCDEPGSSWGKDGSSDYRREVERYKALQHRSKDSVDKISFDKDSSEQTDTIQWASMTAMASLLYGPCFDDNARKMSGRVIVWINSLFNEPAAKAPYGYSPDPRTPSYSKYTGEGRGAASRDRHKGGHHRVALAKLALKNLLLNNLDLFPACIDQCYYSDPAIADGYFSVLAEVYMRQEIPNCETQRLLSLILYKVVDPSRQIRDDALQMLETLSLREWAEDGIEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTVASKPRNISPILDFLITKGIEDCDSNASTEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLSQRMLEDSIEPIRPSGNRADANGNFILEFSQGPATAQIASFADSQSHMSPLLVRGSFDGPLRNTSGSLSWRPPGVAGRNSSGSLISMPPELSIVPGTAGRSAQLLPGLVNMPGPLMGAWNSTGSLRSRHASRDSGDYLIDTPNSVEDIMQSGVGMLGVNAKELQSALQGNQQHSLTRADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVENNDGENKQQVVSLIKYVQSKRGSMMWENEDSTVTRTDLPSASLLSALVQSMVDAIFFQGDLRETWGVEALKWAMECTSRHLACRSHQIYRALRPSVTSDTCVLLLRCLHRCLGNPVPHVLGFIMEILLTLQVMVENMDPEKVILFPQLFWGCVAMMHTDFIHIYCQVLELFSRVIDRLSFRDRTIENVLLSSMPRDELSNVDIGDFQRMESRLYDLPATSGNLPAFEGVQPLVLKGLMSTVSHGVAIEVLSRMTVHSCDSIFGDRETRLLMHITGLLPWICLQLSKDPLVGPASPLQQQYHKACSVAANISIWCQVESMDDLATVFMAYSRGEIKSIDNLLACVSPLLCNEWFPKHSALAFGHLLRLLERGPVEYQRVILLMLKALLLHTPIDTAQIPYMYAIVSQLVESSLCWEALSVLEALFQSCTSLTTSHPYESGSFENGTDEKLLASQTSFKARGGTLQYGFGACSGISSTSSTESGMTPREVALQNTRMILGRVLDSCALGRRREYRRLVPFVTTIGTPYPAGLHL >KJB15762 pep chromosome:Graimondii2_0_v6:2:52624448:52625319:-1 gene:B456_002G194500 transcript:KJB15762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQAIFPWGMIFCLAIAPVYGQYYENVKPHAMVEKVTRLHFFYHDIPVGNNPTTVLIAHANITDNFFSPSPYGSLYAMNDPLTVGPDLTSTVIGNAQGMYLALSHDPVKFTAVFYADFGFTTGRFNGSSFSLFSRYPPTDFVPSPGTIREMAIVGGRGAFRMAKGFALLRATSSNATTGNASLEFNVTLYHY >KJB16015 pep chromosome:Graimondii2_0_v6:2:55504087:55506818:-1 gene:B456_002G208500 transcript:KJB16015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQWKKEMASQVVPPGYDPPADAKPKTKSAKRNERKKEKRLQAALEKGKNLEEVSDDENTREDVPEEDLDGSKSAKSLTSQMSDLAFSPNPVSTSPPSNTVEASDAGAPVLDLDKKIRALKKKIRLAEAQQQKTPQQDMKPEQLEKLAKVEGWREELKLLEGKKAELAAL >KJB16014 pep chromosome:Graimondii2_0_v6:2:55504015:55507217:-1 gene:B456_002G208500 transcript:KJB16014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNVGGEEQLQKMAELSKTLKQGERILAPTRRPDGTLRKPIRIRAGYVPQEEVAIYQSKGALWKKEMASQVVPPGYDPPADAKPKTKSAKRNERKKEKRLQAALEKGKNLEEVSDDENTREDVPEEDLDGSKSAKSLTSQMSDLAFSPNPVSTSPPSNTVEASDAGAPVLDLDKKIRALKKKIRLAEAQQQKTPQQDMKPEQLEKLAKVEGWREELKLLEGKKAELAAL >KJB13341 pep chromosome:Graimondii2_0_v6:2:8042520:8046688:1 gene:B456_002G069300 transcript:KJB13341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMIKGIQGHGYYDELVIPIIENTAYENELTDSLAKAIEAYPKTTAVLVRNHGIYVWGDSWISAKTQSECYHYLFDAAIKLHQLGLDWSTPDHGPIQVVKGVPSVDCRMNVSKKARLADSNCNGKPFTRCIVLDIEGTTTPISYVTDILFPYAQNNVGRHLSATYASAETQDDIKLLRSQVEDDLKQGVIGAVPIPSEDAEKEEVIAALVANVEAMIKADRKITALKQLQGHIWRTGFETNELKGIVFDDVPEALEKWHALGVKVYIYSSGSRLAQRLLFGNTKFGDLRKYLSGYFDTAVGNKREKRSYVEITDTLGVDKPSEILFLTDIYQEAIAAKAAGMEAIISVRPGNSPLPDNHGFKTINSFLEV >KJB13338 pep chromosome:Graimondii2_0_v6:2:8041328:8046797:1 gene:B456_002G069300 transcript:KJB13338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMIKGIQGHGYYDELVIPIIENTAYENELTDSLAKAIEAYPKTTAVLVRNHGIYVWGDSWISAKTQSECYHYLFDAAIKLHQLGLDWSTPDHGPIQVVKGVPSVDCRMNVSKKARLADSNCNGKPFTRCIVLDIEGTTTPISYVTDILFPYAQNNVGRHLSATYASAETQDDIKLLRSQVEDDLKQGVIGAVPIPSEDAEKEEVIAALVANVEAMIKADRKITALKQLQGHIWRTGFETNELKGIVFDDVPEALEKWHALGVKVYIYSSGSRLAQRLLFGNTKFGDLRKYLSGYFDTAVGNKREKRSYVEITDTLGVDKPSEILFLTDIYQEAIAAKAAGMEAIISVRPGNSPLPDNHGFKTINSFLEV >KJB13340 pep chromosome:Graimondii2_0_v6:2:8040336:8046797:1 gene:B456_002G069300 transcript:KJB13340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSQAYLESEPVKEARSLISELCRQFYSLGWVSGTGGSITMKVHDSSIPKPQQLILMSPSGVQKERMEPEDMYVLSGDGAIISSPSPKPYPHKPPKCSDCAPLFMKAYHMRNAGAVIHSHGMESCLATMINPHLKEFRITHMEMIKGIQGHGYYDELVIPIIENTAYENELTDSLAKAIEAYPKTTAVLVRNHGIYVWGDSWISAKTQSECYHYLFDAAIKLHQLGLDWSTPDHGPIQVVKGVPSVDCRMNVSKKARLADSNCNGKPFTRCIVLDIEGTTTPISYVTDILFPYAQNNVGRHLSATYASAETQDDIKLLRSQVEDDLKQGVIGAVPIPSEDAEKEEVIAALVANVEAMIKADRKITALKQLQGHIWRTGFETNELKGIVFDDVPEALEKWHALGVKW >KJB13339 pep chromosome:Graimondii2_0_v6:2:8040336:8046797:1 gene:B456_002G069300 transcript:KJB13339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNAGAVIHSHGMESCLATMINPHLKEFRITHMEMIKGIQGHGYYDELVIPIIENTAYENELTDSLAKAIEAYPKTTAVLVRNHGIYVWGDSWISAKTQSECYHYLFDAAIKLHQLGLDWSTPDHGPIQVVKGVPSVDCRMNVSKKARLADSNCNGKPFTRCIVLDIEGTTTPISYVTDILFPYAQNNVGRHLSATYASAETQDDIKLLRSQVEDDLKQGVIGAVPIPSEDAEKEEVIAALVANVEAMIKADRKITALKQLQGHIWRTGFETNELKGIVFDDVPEALEKWHALGVKVYIYSSGSRLAQRLLFGNTKFGDLRKYLSGYFDTAVGNKREKRSYVEITDTLGVDKPSEILFLTDIYQEAIAAKAAGMEAIISVRPGNSPLPDNHGFKTINSFLEV >KJB13337 pep chromosome:Graimondii2_0_v6:2:8040280:8046859:1 gene:B456_002G069300 transcript:KJB13337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSQAYLESEPVKEARSLISELCRQFYSLGWVSGTGGSITMKVHDSSIPKPQQLILMSPSGVQKERMEPEDMYVLSGDGAIISSPSPKPYPHKPPKCSDCAPLFMKAYHMRNAGAVIHSHGMESCLATMINPHLKEFRITHMEMIKGIQGHGYYDELVIPIIENTAYENELTDSLAKAIEAYPKTTAVLVRNHGIYVWGDSWISAKTQSECYHYLFDAAIKLHQLGLDWSTPDHGPIQVVKGVPSVDCRMNVSKKARLADSNCNGKPFTRCIVLDIEGTTTPISYVTDILFPYAQNNVGRHLSATYASAETQDDIKLLRSQVEDDLKQGVIGAVPIPSEDAEKEEVIAALVANVEAMIKADRKITALKQLQGHIWRTGFETNELKGIVFDDVPEALEKWHALGVKVYIYSSGSRLAQRLLFGNTKFGDLRKYLSGYFDTAVGNKREKRSYVEITDTLGVDKPSEILFLTDIYQEAIAAKAAGMEAIISVRPGNSPLPDNHGFKTINSFLEV >KJB13916 pep chromosome:Graimondii2_0_v6:2:13000096:13004274:1 gene:B456_002G101700 transcript:KJB13916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKGRQNATDSQKVEVGEIDTSAPFQSVKDAVTLFGEGAFSGEKPAMKKAKAHSAERVLAKETQLHLTQKELNKLKEQLSNAEITKAQALVELERAKKTAEELSHKLKTVNESKDCAVKATEAAKNKAKQIEEANSGILPGPDGTRSQDSETAREQYMIVITELDAAKQELRKVRQDCDASLEAKIAAFNQTEEAEHAAKVNMEKVGELSREISYVQESIGQVKLASLETQQEQAKMFAEKDTQKQLYKATLEESTKKLLALKNEFDPKLMRNLEAQLLETDNQIGSLQKQMESAKASDLESVRTITSELDGAKESLQKVAEEENSLRSLVESLKVELENVNKEHSELKEKEAETESIAGNLHVKLRKSKSELEAFLSEESKTRGACAEMLSTLQQLSVETENALRKAEEMKKEAEKLKLEAEALRIALQEADKQLVVALEEAEAAKEAETRALDQIKMLSEKTNAARASTSESGANITISREEFESLSRKVEESDNIAEMKVAAAMAQVEAVKASENEALKRLEATQKEIEDMKVATADALKRAEMAEAAKRAVEGELRKWREKEQKKAVAAASRILIEAQMSTESSPQHYRIQKQNPAEKIVQAKMLEKEKSSVSKKVLLPNISGIFNRRKNQIEGGSPSYLPGEKTL >KJB13918 pep chromosome:Graimondii2_0_v6:2:13000265:13004225:1 gene:B456_002G101700 transcript:KJB13918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAKAHSAERVLAKETQLHLTQKELNKLKEQLSNAEITKAQALVELERAKKTAEELSHKLKTVNESKDCAVKATEAAKNKAKQIEEANSGILPGPDGTRSQDSETAREQYMIVITELDAAKQELRKVRQDCDASLEAKIAAFNQTEEAEHAAKVNMEKVGELSREISYVQESIGQVKLASLETQQEQAKMFAEKDTQKQLYKATLEESTKKLLALKNEFDPKLMRNLEAQLLETDNQIGSLQKQMESAKASDLESVRTITSELDGAKESLQKVAEEENSLRSLVESLKVELENVNKEHSELKEKEAETESIAGNLHVKLRKSKSELEAFLSEESKTRGACAEMLSTLQQLSVETENALRKAEEMKKEAEKLKLEAEALRIALQEADKQLVVALEEAEAAKEAETRALDQIKMLSEKTNAARASTSESGANITISREEFESLSRKVEESDNIAEMKVAAAMAQVEAVKASENEALKRLEATQKEIEDMKVATADALKRAEMAEAAKRAVEGELRKWREKEQKKAVAAASRILIEAQMSTESSPQHYRIQKQNPAEKIVQAKMLEKEKSSVSKKVLLPNISGIFNRRKNQIEGGSPSYLPGEKTL >KJB13919 pep chromosome:Graimondii2_0_v6:2:13000216:13004274:1 gene:B456_002G101700 transcript:KJB13919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKGRQNATDSQKVEVGEIDTSAPFQSVKDAVTLFGEGAFSGEKPAMKKAKAHSAERVLAKETQLHLTQKELNKLKEQLSNAEITKAQALVELERAKKTAEELSHKLKTVNESKDCAVKATEAAKNKAKQIEEANSGILPGPDGTRSQDSETAREQYMIVITELDAAKQELRKVRQDCDASLEAKIAAFNQTEEAEHAAKVNMEKVGELSREISYVQESIGQVKLASLETQQEQAKMFAEKDTQKQLYKATLEESTKKLLALKNEFDPKLMRNLEAQLLETDNQIGSLQKQMESAKASDLESVRTITSELDGAKESLQKVAEEENSLRSLVESLKVELENVNKEHSELKEKEAETESIAGNLHVKLRKSKSELEAFLSEESKTRGACAEMLSTLQQLSVETENALRKAEEMKKEAEKLKLEAEALRIALQEADKQLVVALEEAEAAKEAETRALDQIKMLSEKTNAARASTSESGANITISREEFESLSRKVEESDNIAEMKVAAAMAQVEAVKASENEALKRLEATQKEIEDMKVATADALKRAEMAEAAKRAVEGELRKWREKEQKKAVAAASRILIEAQMSTESSPQHYRIQKQNPAEKIVQAKMLEKEKSSVSKKVLLPNISGIFNRRKNQIEGGSPSYLPGEKTL >KJB13917 pep chromosome:Graimondii2_0_v6:2:13000096:13004225:1 gene:B456_002G101700 transcript:KJB13917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKGRQNATDSQKVEVGEIDTSAPFQSVKDAVTLFGEGAFSGEKPAMKKAKAHSAERVLAKETQLHLTQKELNKLKEQLSNAEITKAQALVELERAKKTAEELSHKLKTVNESKDCAVKATEAAKNKAKQIEEANSGILPGPDGTRSQDSETAREQYMIVITELDAAKQELRKVRQDCDASLEAKIAAFNQTEEAEHAAKVNMEKVGELSREISYVQESIGQVKLASLETQQEQAKMFAEKDTQKQLYKATLEESTKKLLALKNEFDPKLMRNLEAQLLETDNQIGSLQKQMESAKASDLESVRTITSELDGAKESLQKVAEEENSLRSLVESLKVELENVNKEHSELKEKEAETESIAGNLHVKLRKSKSELEAFLSEESKTRGACAEMLSTLQQLSVETENALRKAEEMKKEAEKLKLEAEALRIALQEADKQLVVALEEAEAAKEAETRALDQIKMLSEKTNAARASTSESGANITISREEFESLSRKVEESDNIAEMKVAAAMAQVEAVKASENEALKRLEATQKEIEDMKVATADALKRAEMAEAAKRAVEGELRKWREKEQKKAVAAASRILIEAQMSTESSPQHYRIQKQNPAEKIVQAKMLEKEKSSVSKKVLLPNISGIFNRRKNQIEGGSPSYLPGEKTL >KJB13915 pep chromosome:Graimondii2_0_v6:2:13000732:13004225:1 gene:B456_002G101700 transcript:KJB13915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKGRQNATDSQKVEVGEIDTSAPFQSVKDAVTLFGEGAFSGEKPAMKKAKAHSAERVLAKETQLHLTQKELNKLKEQLSNAEITKAQALVELERAKKTAEELSHKLKTVNESKDCAVKATEAAKNKAKQIEEANSGILPGPDGTRSQDSETAREQYMIVITELDAAKQELRKVRQDCDASLEAKIAAFNQTEEAEHAAKVNMEKVGELSREISYVQESIGQVKLASLETQQEQAKMFAEKDTQKQLYKATLEESTKKLLALKNEFDPKLMRNLEAQLLETDNQIGSLQKQMESAKASDLESVRTITSELDGAKESLQKVAEEENSLRSLVESLKVELENVNKEHSELKEKEAETESIAGNLHVKLRKSKSELEAFLSEESKTRGACAEMLSTLQQLSVETENALRKAEEMKKEAEKLKLEAEALRIALQEADKQLVVALEEAEAAKEAETRALDQIKMLSEKTNAARASTSESGANITISREEFESLSRKVEESDNIAEMKVAAAMAQVEAVKASENEALKRLEATQKEIEDMKVATADALKRAEMAEAAKRAVEGELRKWREKEQKKAVAAASRILIEAQMSTESSPQHYRIQKQNPAEKIVQAKMLEKEKSSVSKKVLLPNISGIFNRRKNQIEGGSPSYLPGEKTL >KJB12720 pep chromosome:Graimondii2_0_v6:2:2455231:2459351:-1 gene:B456_002G032800 transcript:KJB12720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFSFLFRRRNDSVNNQTFEVDEEFDVQNVKFYSYKELRTATEDFSPVNKIGEGGFGSVYKGRLKNGNIAAIKVLSAESRQGVREFVTEIKVISEIEHENLVKLYGCCVEDNHRILVYNYLENNSLSQTLLGSGHSNIQFNWRTRSKICIGIARGLAFLHEEVRPYIVHRDIKASNILLDKDLTPKISDFGLAKLIPANLTHVSTRVAGTIGYLAPEYAIRGQLTRKADIYGFGVLLIEIVTGRCNTNTRLPVGEQYLLERTWDLYEKRELVGLVDELLNGDFDAEEACRYLKIGLLCTQDAPKLRPSMSSVVKMLTGQKMVDERKITKPGLISDFMDLKVRSSDKTKPGQKNTSYNAFSGSDKGNSTISSGTSTSANTTFNTMNNDDRSV >KJB12719 pep chromosome:Graimondii2_0_v6:2:2455517:2457694:-1 gene:B456_002G032800 transcript:KJB12719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFSFLFRRRNDSVNNQTFEVDEEFDVQNVKFYSYKELRTATEDFSPVNKIGEGGFGSVYKGRLKNGNIAAIKVLSAESRQGVREFVTEIKVISEIEHENLVKLYGCCVEDNHRILVYNYLENNSLSQTLLGSGHSNIQFNWRTRSKICIGIARGLAFLHEEVRPYIVHRDIKASNILLDKDLTPKISDFGLAKLIPANLTHVSTRVAGTIGYLAPEYAIRGQLTRKADIYGFGVLLIEIVTGRCNTNTRLPVGEQYLLERTWDLYEKRELVGLVDELLNGDFDAEEACRYLKIGLLCTQDAPKLRPSMSSVVKMLTGQKMVDERKITKPGLISDFMDLKVRSSDKTKPGQKNTSYNAFSGSDKGNSTISSGTSTSANTTFNTMNNDDRSV >KJB12103 pep chromosome:Graimondii2_0_v6:2:453405:456636:-1 gene:B456_002G007200 transcript:KJB12103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDRKMEELGGNKRLSETPPDDDCCPICFGPFTVPCRSNCGHWYCGSCILQFWNYSSASKPCGCPMCTCMIVNLMPEASLEQQPQNQEVAEVLKSVRRYNLLFLGGARGFIQKVRELPFFIKRIFQGLMDPDTNDTYIAEIRLFAVKFSAYICCEDIYIYMHIYSSGI >KJB12098 pep chromosome:Graimondii2_0_v6:2:452266:456712:-1 gene:B456_002G007200 transcript:KJB12098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDRKMEELGGNKRLSETPPDDDCCPICFGPFTVPCRSNCGHWYCGSCILQFWNYSSASKPCGCPMCTCMIVNLMPEASLEQQPQNQEVAEVLKSVRRYNLLFLGGARGFIQKVRELPFFIKRIFQGLMDPDTNDTYIAEIRLFAMLLSIIYRATPLDFIPTGGIGIGRVFDCSAIILILILRLVGIYRRRRLMQRVRRLAAMELLQE >KJB12101 pep chromosome:Graimondii2_0_v6:2:452266:456672:-1 gene:B456_002G007200 transcript:KJB12101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDRKMEELGGNKRLSETPPDDDCCPICFGPFTVPCRSNCGHWYCGSCILQFWNYSSASKPCGCPMCTCMIVNLMPEASLEQQPQNQEVAEVLKSVRRYNLLFLGGARGFIQKVRELPFFIKRIFQGLMDPDTNDTYIAEIRLFAMLLSIIYRATPLDFIPTDDDLCSV >KJB12100 pep chromosome:Graimondii2_0_v6:2:452388:456627:-1 gene:B456_002G007200 transcript:KJB12100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDRKMEELGGNKRLSETPPDDDCCPICFGPFTVPCRSNCGHWYCGSCILQFWNYSSASKPCGCPMCTCMIVNLMPEASLEQQPQNQEVAEVLKSVRRYNLLFLGGARGFIQQKVRELPFFIKRIFQGLMDPDTNDTYIAEIRLFAVKCC >KJB12102 pep chromosome:Graimondii2_0_v6:2:453884:456541:-1 gene:B456_002G007200 transcript:KJB12102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDRKMEELGGNKRLSETPPDDDCCPICFGPFTVPCRSNCGHWYCGSCILQFWNYSSASKPCGCPMCTCMIVNLMPEASLEQQPQNQEVAEVLKSVRRYNLLFLGGARGFIQAVNIFFLLFLAESA >KJB12099 pep chromosome:Graimondii2_0_v6:2:452388:456636:-1 gene:B456_002G007200 transcript:KJB12099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDRKMEELGGNKRLSETPPDDDCCPICFGPFTVPCRSNCGHWYCGSCILQFWNYSSASKPCGCPMCTCMIVNLMPEASLEQQPQNQEVAEVLKSVRRYNLLFLGGARGFIQQKVRELPFFIKRIFQGLMDPDTNDTYIAEIRLFAMLLSIIYRATPLDFIPTGGIGIGRVFDCSAIILILILRLVGIYRRRRLMQRVRRLAAMELLQE >KJB12097 pep chromosome:Graimondii2_0_v6:2:452771:456541:-1 gene:B456_002G007200 transcript:KJB12097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDRKMEELGGNKRLSETPPDDDCCPICFGPFTVPCRSNCGHWYCGSCILQFWNYSSASKPCGCPMCTCMIVNLMPEASLEQQPQNQEVAEVLKSVRRYNLLFLGGARGFIQKVRELPFFIKRIFQGLMDPDTNDTYIAEIRLFAMLLSIIYRATPLDFIPTANCTNCSFFPVLQGG >KJB12558 pep chromosome:Graimondii2_0_v6:2:1752736:1757364:-1 gene:B456_002G024100 transcript:KJB12558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKDKKRFEREAETNSPAETQTDLSLLNAAGDSHRKKKPKTKPEKETNGETKKADDIPTVTIAIAGSIVDNAQSLELATRLASQIARAATIFRIDEVVVFDNKSSLRNNGAFSIQNNSNENDRGAAFLVRILQYLETPQYLRKALFAKHNSLRYVGMLPPLDAPHHLRKHEWAPYREGVTLEEKPTSSVGTVVDVGLDKNVVVDQALGPGKRVTVAMGTNQNLDYDLPRQVVSPSKPREDTGTYWGYKVRYASNISSVFSDCPYKGGYDHLIGTSEHGIVVKSSQLNLPAFRHLLIAFGGLLGLEKSVEEDNKLKGKNVRDIFNMYLNTCPHQGSRTIRTEEALLISLQYFQEPITRAMQGPVS >KJB12560 pep chromosome:Graimondii2_0_v6:2:1752803:1757286:-1 gene:B456_002G024100 transcript:KJB12560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFRSKIIRMKMIEGRLSLLGSCSISRRRNILEKLFSLSIIPLDMWECCLHLMLPIICVSMSGLPIGKNVVVDQALGPGKRVTVAMGTNQNLDYDLPRQVVSPSKPREDTGTYWGYKVRYASNISSVFSDCPYKGGYDHLIGTSEHGIVVKSSQLNLPAFRHLLIAFGGLLGLEKSVEEDNKLKGKNVRDIFNMYLNTCPHQGSRTIRTEEALLISLQYFQEPITRAMQGPVS >KJB12561 pep chromosome:Graimondii2_0_v6:2:1754699:1757286:-1 gene:B456_002G024100 transcript:KJB12561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKDKKRFEREAETNSPAETQTDLSLLNAAGDSHRKKKPKTKPEKETNGETKKADDIPTVTIAIAGSIVDNAQSLELATRLASQIARAATIFRIDEVVVFDNKSSLRNNGAFSIQNNSNENDRGAAFLVRILQYLETPQYLRKALFAKHNSLRYVGMLPPLDAPHHLRKHEWAPYREGVTLEEKPTSSVGTVVDVGLDKNVVVDQALGPGKRVTVAMGTNQNLDYGNKIVLILFHVSCFIVM >KJB12559 pep chromosome:Graimondii2_0_v6:2:1752803:1757286:-1 gene:B456_002G024100 transcript:KJB12559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKDKKRFEREAETNSPAETQTDLSLLNAAGDSHRKKKPKTKPEKETNGETKKADDIPTVTIAIAGSIVDNAQSLELATRLASQIARAATIFRIDEGMLPPLDAPHHLRKHEWAPYREGVTLEEKPTSSVGTVVDVGLDKNVVVDQALGPGKRVTVAMGTNQNLDYDLPRQVVSPSKPREDTGTYWGYKVRYASNISSVFSDCPYKGGYDHLIGTSEHGIVVKSSQLNLPAFRHLLIAFGGLLGLEKSVEEDNKLKGKNVRDIFNMYLNTCPHQGSRTIRTEEALLISLQYFQEPITRAMQGPVS >KJB14418 pep chromosome:Graimondii2_0_v6:2:18353969:18357139:-1 gene:B456_002G124700 transcript:KJB14418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLHKSKRVTWAPDVNLCQIRLFLSEESPLQVGLGAQDHLQAKTSSISHLNGATVDDFLPPGFEGSRSTDQMQINLTEILVVKWRCPLRFVLDLNWQVVAGEESKEADVQNQRELRVLEAVYPRPSAIPTNPSVSADMANCQYDDKQTPQIPITPIEDEDAAIGTQSNVLAPFGAPTSLQPQLLDILPHLNCSMPSISNVPTNEKPTAAAFTAINQSNENGNMIDPDLLVQILSNPKLIEKLVTEHGVASGVTSVEQKMMERFTASQMGLELEPQINMVRFLVYVQFRIQLQWNFPKRRM >KJB14417 pep chromosome:Graimondii2_0_v6:2:18354313:18356727:-1 gene:B456_002G124700 transcript:KJB14417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLHKSKRVTWAPDVNLCQIRLFLSEESPLQVGLGAQDHLQAKTSSISHLNGATVDDFLPPGFEGSRSTDQMQINLTEILVVKWRCPLRFVLDLNWQVVAGEESKEADVQNQRELRVLEAVYPRPSAIPTNPSVSADMANCQYDDKQTPQIPITPIEDEDAAIGTQSNVLAPFGAPTSLQPQLLDILPHLNCSMPSISNVPTNEKPTAAAFTAINQSNENGNMIDPDLLVQILSNPKLIEKLVTEHGVASGVQSLPISSINLVPSFDMPPSAGHIGRTENDGAFYGKSNGVGIGASNKHGSVPGVCPISHSVAMELPQKKDVNYYKNLIQQHGGESQVGGQKFNNRYNQQLRPNQEVINNPRSRDSKPRIMKPCIYFNNSRGCRNGANCAYQHDTSSQNRGNSIPDAPTAKRMKMDREIGS >KJB14419 pep chromosome:Graimondii2_0_v6:2:18353969:18357225:-1 gene:B456_002G124700 transcript:KJB14419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLHKSKRVTWAPDVNLCQIRLFLSEESPLQVGLGAQDHLQAKTSSISHLNGATVDDFLPPGFEGSRSTDQMQINLTEILVVKWRCPLRFVLDLNWQVVAGEESKEADVQNQRELRVLEAVYPRPSAIPTNPSVSADMANCQYDDKQTPQIPITPIEDEDAAIGTQSNVLAPFGAPTSLQPQLLDILPHLNCSMPSISNVPTNEKPTAAAFTAINQSNENGNMIDPDLLVQILSNPKLIEKLVTEHGVASGHIGRTENDGAFYGKSNGVGIGASNKHGSVPGVCPISHSVAMELPQKKDVNYYKNLIQQHGGESQVGGQKFNNRYNQQLRPNQEVINNPRSRDSKPRIMKPCIYFNNSRGCRNGANCAYQHDTSSQNRGNSIPDAPTAKRMKMDREIGS >KJB17090 pep chromosome:Graimondii2_0_v6:2:62461863:62465585:1 gene:B456_002G264400 transcript:KJB17090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISNLVLVSGQCQSDQRQLLLELNLSGSFRSTTFATPLGRLMKWNQTKDCCSWEGVSCDAGGHVIGLDLSIRGISSPIDDSSSLFRFQHLQRLNLAFNWFKTSFPTGFDKLENLNYLNLSYSGFKGQIPVEISRLTRLVTLDFSAFPISKTSLKLEKPNLDMLVQNLTRLRFLYLDGITISATGNELCRDLLPFTKLQVLSMSDCYLSGPIPSFSSFKNLRELNLGDNQLSGTIHSTDWSGLSKLEIVDLSNNKLSGTIPPTLFGIQSLRRLFLSQNQFNGSIGDLHGKASSLLGTLDLSSNKLQGQFPMFVFELHGLTLLDLSSNNFSGLIPMSAFPNLRNLSDLDLSYNRLSIDAPATNISPPSFPTFTALELASCNLTEFPGFLKNQYSLNYLDLSNNQIHGEIPNWIWKSINLWYLNISQNFLVGFERPMKNINSGIWILDLHGNQLQGQVPILPSYATYLDYSDNISALFYQLILFASFFSLSNNNIHGSIPESLCNHTNLQVLDLSNNSMSGPIPQCLFQMSRSLGVLNLRRNNLSGIISDTFSKSCRLQTLNLNQNLLEGKVPKSLGNCKMLEGLDIGNNQINDSFPCQLKNITMLRVLVLRSNNFNGHIDCPGTPNNFRGKLHLTCLGTWKAMQPNPDKNQSELKHLQFEDFGGGVKYQDAITITIKGLELELVKILTMFTSIDISYNNFEGPIPGVIGKFKELLGLNFSRNAFTGSIPSFFGKLQQLDSLDLSSNSLRDSVRIAIS >KJB17089 pep chromosome:Graimondii2_0_v6:2:62461863:62465585:1 gene:B456_002G264400 transcript:KJB17089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISNLVLVSGQCQSDQRQLLLELNLSGSFRSTTFATPLGRLMKWNQTKDCCSWEGVSCDAGGHVIGLDLSIRGISSPIDDSSSLFRFQHLQRLNLAFNWFKTSFPTGFDKLENLNYLNLSYSGFKGQIPVEISRLTRLVTLDFSAFPISKTSLKLEKPNLDMLVQNLTRLRFLYLDGITISATGNELCRDLLPFTKLQVLSMSDCYLSGPIPSFSSFKNLRELNLGDNQLSGTIHSTDWSGLSKLEIVDLSNNKLSGTIPPTLFGIQSLRRLFLSQNQFNGSIGDLHGKASSLLGTLDLSSNKLQGQFPMFVFELHGLTLLDLSSNNFSGLIPMSAFPNLRNLSDLDLSYNRLSIDAPATNISPPSFPTFTALELASCNLTEFPGFLKNQYSLNYLDLSNNQIHGEIPNWIWKSINLWYLNISQNFLVGFERPMKNINSGIWILDLHGNQLQGQVPILPSYATYLDYSDNISALFYQLILFASFFSLSNNNIHGSIPESLCNHTNLQVLDLSNNSMSGPIPQCLFQMSRSLGVLNLRRNNLSGIISDTFSKSCRLQTLNLNQNLLEGKVPKSLGNCKMLEGLDIGNNQINDSFPCQLKNITMLRVLVLRSNNFNGHIDCPGTPNNFRGKLHLTCLGTWKAMQPNPDKNQSELKHLQFEDFGGGVKYQDAITITIKGLELELVKILTMFTSIDISYNNFEGPIPGVIGKFKELLGLNFSRNAFTGSIPSFFGKLQQLDSLDLSSNSLRGEIPFRLANLNFLSFLNVSINKLVGPIPTSTQLQTFSEASFENNTGLCGPPLKTACGLPSAKEDSPSDSETGSIIDWNH >KJB16963 pep chromosome:Graimondii2_0_v6:2:61888799:61893250:-1 gene:B456_002G257100 transcript:KJB16963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSHLSSMAMLFISFFVVLMGNAEGETRHYTFNIRYHNVTRLCHTRTILSVNRRYPGPPLVAREGDRVIVKVVNHVANNVSIHWHGIRQLTTGWSDGPSYVTQCPIQTNQSYIYNFTITGQRGTLLWHAHISWLRATIHGPIIILPKLNQSYPFQKPHKEVTIILGEWFNEDPEAIISQALRTGGGPNVSDAYTINGLPGLLYNCSSKDTYKLKVKPGKTYLLRLINAALNDELFFTIANHTLTVVEGDAIYTKPFDTDKLLITPGQTTNVLLKTKPEYPNATFLIAARPYSTGQGTFDNSTTMGVLEYEHPFKKPSKNPTLIQPNLPQINDTQFVSNFTMKFRSLANDEFPANVPKTVDKRFFFTVGLGTSPCPKNTTCQGPTNSTKFAASVNNVSFTLPSVAILQAYYFGQSNGVYTTDFPVEPMVRFNYTGIAPNNTNVMNGTRTVVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPVKDPAKFNLVDPMERNTAGVPAGGWIAIRFLADNPGVWFMHCHLDVHTSWGLKMAWLVLDGPEPNQKLQPPPSDLPQC >KJB12605 pep chromosome:Graimondii2_0_v6:2:2008333:2014203:1 gene:B456_002G026500 transcript:KJB12605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSISGEVPEEPVVSKKSGLLYEKRLIERHIADYGKCPVTGDPLTMDDIVPVKTGKIVKPKSLTAASIPGMLGMFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQAPLPASAATANVSALSNGKRVSEDGDMGPGSKQMRPGVSESIIAELTECNAALSQQRKKHQIPPTLAPVDALERYTQLSSHPLHKTNKPGISSIDINLSKDIVATGGIDSSAVLFDQTSGVILSTLSGHSKKVTSVKFVAPSNVILSGSADKTVRIWQGSEDGNCDCRHILKDHTAEVEDPSNSMGYTSAAFHPDGLILGTGTTEATVRIWDVKSQGNVANFDGHTGSITAISFSENGYFLATAAHDGVKLWDLRKLKNFRSFELYDQDTPTNSVDFDHSGSYLAIAGSDVRVYQVGSVKAEWNCIKTLPDLSGTGRATCVKFGSDAKYLAVGSMDRNLRIFGLPQGDASMES >KJB12604 pep chromosome:Graimondii2_0_v6:2:2008397:2012496:1 gene:B456_002G026500 transcript:KJB12604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSISGEVPEEPVVSKKSGLLYEKRLIERHIADYGKCPVTGDPLTMDDIVPVKTGKIVKPKSLTAASIPGMLGMFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQAPLPASAATANVSALSNGKRVSEDGDMGPGSKQMRPGVSESIIAELTECNAALSQQRKKHQIPPTLAPVDALERYTQLSSHPLHKTNKPGISSIDINLSKDIVATGGIDSSAVLFDQTSGVILSTLSGHSKKVTSVKFVAPSNVILSGSADKTVRIWQGSEDGNCDCRHILKDHTAEVQAITVHATNNYFVTASLDSTWCFYDLSSGLCLTQVEDPSNSMGYTSAAFHPDGLILGTGTTEATVRIWDVKSQGNVANFDGHTGSITAISFSENGYFLAVST >KJB12602 pep chromosome:Graimondii2_0_v6:2:2008316:2014203:1 gene:B456_002G026500 transcript:KJB12602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSISGEVPEEPVVSKKSGLLYEKRLIERHIADYGKCPVTGDPLTMDDIVPVKTGKIVKPKSLTAASIPGMLGMFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQAPLPASAATANVSALSNGKRVSEDGDMGPGSKQMRPGVSESIIAELTECNAALSQQRKKHQIPPTLAPVDALERYTQLSSHPLHKTNKPGISSIDINLSKDIVATGGIDSSAVLFDQTSGVILSTLSGHSKKVTSVKFVAPSNVILSGSADKTVRIWQGSEDGNCDCRHILKDHTAEVQAITVHATNNYFVTASLDSTWCFYDLSSGLCLTQVEDPSNSMGYTSAAFHPDGLILGTGTTEATVRIWDVKSQGNVANFDGHTGSITAISFSENGYFLATAAHDGVKLWDLRKLKNFRSFELYDQDTPTNSVDFDHSGSYLAIAGSDVR >KJB12603 pep chromosome:Graimondii2_0_v6:2:2008316:2014203:1 gene:B456_002G026500 transcript:KJB12603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSISGEVPEEPVVSKKSGLLYEKRLIERHIADYGKCPVTGDPLTMDDIVPVKTGKIVKPKSLTAASIPGMLGMFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQAPLPASAATANVSALSNGKRVSEDGDMGPGSKQMRPGVSESIIAELTECNAALSQQRKKHQIPPTLAPVDALERYTQLSSHPLHKTNKPGISSIDINLSKDIVATGGIDSSAVLFDQTSGVILSTLSGHSKKVTSVKFVAPSNVILSGSADKTVRIWQGSEDGNCDCRHILKDHTAEVQAITVHATNNYFVTASLDSTWCFYDLSSGLCLTQVEDPSNSMGYTSAAFHPDGLILGTGTTEATVRIWDVKSQGNVANFDGHTGSITAISFSENGYFLATAAHDGVKLWDLRKLKNFRSFELYDQDTPTNSVDFDHSGSYLAIAGSDVRVYQVGSVKAEWNCIKTLPDLSGTGRATCVKFGSDAKYLAVGSMDRNLRIFGLPQGDASMES >KJB12606 pep chromosome:Graimondii2_0_v6:2:2008333:2014203:1 gene:B456_002G026500 transcript:KJB12606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSISGEVPEEPVVSKKSGLLYEKRLIERHIADYGKCPVTGDPLTMDDIVPVKTGKIVKPKSLTAASIPGMLGMFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQAPLPASAATANVSALSNGKRVSEDGDMGPGSKQMRPGVSESIIAELTECNAALSQQRKKHQIPPTLAPVDALERYTQLSSHPLHKTNKPGISSIDINLSKDIVATGGIDSSAVLFDQTSGVILSTLSGHSKKVTSVKFVAPSNVILSGSADKTVRIWQGSEDGNCDCRHILKDHTAEVQAITVHATNNYFVTASLDSTWCFYDLSSGLCLTQVEDPSNSMGYTSAAFHPDGLILGTGTTEATVRIWDVKSQGNVANFDGHTGSITAISFSENGYFLATAAHDGVKLWDLRKLKNFRSFELYDQDTPTNSGM >KJB12601 pep chromosome:Graimondii2_0_v6:2:2008217:2014228:1 gene:B456_002G026500 transcript:KJB12601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSISGEVPEEPVVSKKSGLLYEKRLIERHIADYGKCPVTGDPLTMDDIVPVKTGKIVKPKSLTAASIPGMLGMFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQAPLPASAATANVSALSNGKRVSEDGDMGPGSKQMRPGVSESIIAELTECNAALSQQRKKHQIPPTLAPVDALERYTQLSSHPLHKTNKPGISSIDINLSKDIVATGGIDSSAVLFDQTSGVILSTLSGHSKKVTSVKFVAPSNVILSGSADKTVRIWQGSEDGNCDCRHILKDHTAEVQAITVHATNNYFVTASLDSTWCFYDLSSGLCLTQVEDPSNSMGYTSAAFHPDGLILGTGTTEATVRIWDVKSQGNVANFDGHTGSITAISFSENGYFLATAAHDGVKLWDLRKLKNFRSFELYDQDTPTNSVDFDHSGSYLAIAGSDVRVYQVGSVKAEWNCIKTLPDLSGTGRATCVKFGSDAKYLAVGSMDRNLRIFGLPQGDASMES >KJB12507 pep chromosome:Graimondii2_0_v6:2:1540504:1544077:1 gene:B456_002G022100 transcript:KJB12507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKHDEPVVGVPYFVGVNPYQASVIYGDPKGIPIHQTMYRDTPAPFNCPYCGNSGLTIVRSKPSLAAYVACMMPFMLGICFLCPSMDCLWHKYHYCPACTEKVADFEKPDPCAVVDMPQWVQESFALPA >KJB12508 pep chromosome:Graimondii2_0_v6:2:1540504:1544077:1 gene:B456_002G022100 transcript:KJB12508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKHDEPVVGVPYFVGVNPYQASVIYGDPKGIPIHQTMYRDTPAPFNCPYCGNSGLTIVRSKPSLAAYVACMMPFMLGICFLCPSMDCLWHKYHYCPACTEKVADFEKPDPCAVVDMPQWVQESFALPA >KJB16033 pep chromosome:Graimondii2_0_v6:2:55647534:55649003:-1 gene:B456_002G209200 transcript:KJB16033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAEARISLFVFFPFAAASATLNFCARTEALKVYNSDKLWIPGSNILESGVNPRVPFLVKVVFFSSGN >KJB17079 pep chromosome:Graimondii2_0_v6:2:62425073:62429450:1 gene:B456_002G263700 transcript:KJB17079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENKPILRFETIPGLTLLDTTKRDDFLHRNTRLTYHLDNGSGSARKFACISMAEKREQRRDFAPTLTQLLNHPLATLAYVPRDVAIFAAGAVAGAVAKTVTAPLDRVKLLMQTQGVRVGQESAKKSIGLIEALVSIGKDDGIKGYWKGNLPQVIRVVPYSAVQLFAYETYKKLFTGKDGELSVLERLAAGACAGMTSTFITYPLDVLRLRLAVEPGYRKMSEVALTMLREEGFGSFYYGLVPSLIGIAPYIAVNFCIFDLVKKALPEKYRQKTQASLLTAVVSAAAATLTCYPLDTVRRQMQMRGTPYKSVMDAIPGIIERDGVGGLYRGFVPNALKNLPNSSIRLTTFDIVKRLIAASEKQFEKMVDENRQKAKQETDVEQSC >KJB17080 pep chromosome:Graimondii2_0_v6:2:62425104:62429425:1 gene:B456_002G263700 transcript:KJB17080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENKPILRFETIPGLTLLDTTKRDDFLHRNTRLTYHLDNGSGSARKFACISMAEKREQRRDFAPTLTQLLNHPLATLAYVPRDVAIFAAGAVAGAVAKTVTAPLDRVKLLMQTQGVRVGQESAKKSIGLIEALVSIGKDDGIKGYWKGNLPQKLFTGKDGELSVLERLAAGACAGMTSTFITYPLDVLRLRLAVEPGYRKMSEVALTMLREEGFGSFYYGLVPSLIGIAPYIAVNFCIFDLVKKALPEKYRQKTQASLLTAVVSAAAATLTCYPLDTVRRQMQMRGTPYKSVMDAIPGIIERDGVGGLYRGFVPNALKNLPNSSIRLTTFDIVKRLIAASEKQFEKMVDENRQKAKQETDVEQSC >KJB15256 pep chromosome:Graimondii2_0_v6:2:41474167:41482164:-1 gene:B456_002G167300 transcript:KJB15256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMMMQQPQQATPPNPPPPSDSSLRSPTPTPTQSPLPLRPRQPLEHVAVPISAAHPSGEPLVTMGTAALPLARVRLSDISPYDGAPSASYVRAVEAFSGSLMRHNAAVIEFGNEDAALMRCALEAARLYFRSRVNTVGKGSRGFYMYRAGRSLEDWDSSPPCMADIFRCMGKAARVALCAIARHLRLQSDVFNHLLDDTPLPANEVSSSVLVASYSHMSLQNGKGAIGGGKPGTAGEVEKGLLTLISSDGPGLQVCDPNGRWYQADAGLAPGDMLLITGKALSHATAGLRPAATYRAAPEYLSGINNGGRTSLAFRLMPQGNAILDCSPVAAAGHVIPQSYVPISVSQFMDELSAEEDVVCNRSDNTCVARNNLNKQPSLRSVLSDPLSGAFLEDAVVVSCGHSFGGLMLKRVLDMSRCTLCSADIDSGSLIPNKALRAAALAVKQEDNRRLFHNAALRKRRKEMGDQIDLSKRSSRENGEDGAEDGLHRGVQYPFSVNEKVLIKGNRRTPEKFVGKEAVITSQCLNGWYLLKIIGSGENVRLQYRSLCKIMNPQSTIEEDRCPSQPIQNSSS >KJB15261 pep chromosome:Graimondii2_0_v6:2:41474570:41482138:-1 gene:B456_002G167300 transcript:KJB15261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMMMQQPQQATPPNPPPPSDSSLRSPTPTPTQSPLPLRPRQPLEHVAVPISAAHPSGEPLVTMGTAALPLARVRLSDISPYDGAPSASYVRAVEAFSGSLMRHNAAVIEFGNEDAALMRCALEAARLYFRSRVNTVGKGSRGFYMYRAGRSLEDWDSSPPCMADIFRCMGKAARVALCAIARHLRLQSDVFNHLLDDTPLPANEVSSSVLVASYSHMSLQNGKGAIGGGKPGTAGEVEKGLLTLISSDGPGLQVCDPNGRWYQADAGLAPGDMLLITGKALSHATAGLRPAATYRAAPEYLSGINNGGRTSLAFRLMPQGNAILDCSPVAAAGHVIPQSYVPISVSQFMDELSAEEDVVCNRSDNTCVARNNLNKQPSLRSVLSDPLSGAFLEDAVVVSCGHSFGGLMLKRVLDMSRCTLCSADIDSGSLIPNKALRAAALAVKQEDNRRLFHNAALRKRRKEMGDQIDLSKRSMNEKVLIKGNRRTPEKFVGKEAVITSQCLNGWYLLKIIGSGENVRLQYRSLCKIMNPQSTIEEDRCPSQPIQNSSS >KJB15257 pep chromosome:Graimondii2_0_v6:2:41474035:41482138:-1 gene:B456_002G167300 transcript:KJB15257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMMMQQPQQATPPNPPPPSDSSLRSPTPTPTQSPLPLRPRQPLEHVAVPISAAHPSGEPLVTMGTAALPLARVRLSDISPYDGAPSASYVRAVEAFSGSLMRHNAAVIEFGNEDAALMRCALEAARLYFRSRVNTVGKGSRGFYMYRAGRSLEDWDSSPPCMADIFRCMGKAARVALCAIARHLRLQSDVFNHLLDDTPLPANEVSSSVLVASYSHMSLQNGKGAIGGGKPGTAGEVEKGLLTLISSDGPGLQVCDPNGRWYQADAGLAPGDMLLITGKALSHATAGLRPAATYRAAPEYLSGINNGGRTSLAFRLMPQGNAILDCSPVAAAGHVIPQSYVPISVSQFMDELSAEEDVVCNRSDNTCVARNNLNKQPSLRSVLSDPLSGAFLEDAVVVSCGHSFGGLMLKRVLDMSRCTLCSADIDSGSLIPNKALRAAALAVKQEDNRRLFHNAALRKRRKEMGDQIDLSKRSSRENGEDGAEDGLHRGVQYPFSVNEKVLIKGNRRTPEKFVGKEAVITSQCLNGWYLLKIIGSGENVRLQYRSLCKIMNPQSTIEEDRCPSQPIQNSSS >KJB15259 pep chromosome:Graimondii2_0_v6:2:41474570:41482138:-1 gene:B456_002G167300 transcript:KJB15259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMMMQQPQQATPPNPPPPSDSSLRSPTPTPTQSPLPLRPRQPLEHVAVPISAAHPSGEPLVTMGTAALPLARVRLSDISPYDGAPSASYVRAVEAFSGSLMRHNAAVIEFGNEDAALMRCALEAARLYFRSRVNTVGKGSRGFYMYRAGRSLEDWDSSPPCMADIFRCMGKAARVALCAIARHLRLQSDVFNHLLDDTPLPANEVSSSVLVASYSHMSLQNGKGAIGGGKPGTAGEVEKGLLTLISSDGPGLQVCDPNGRWYQADAGLAPGDMLLITGKALSHATAGLRPAATYRAAPEYLSGINNGGRTSLAFRLMPQGNAILDCSPVAAAGHVIPQSYVPISVSQFMDELSAEEDVVCNRSDNTCVTRNNLNKQPSLRSVLSDPLSGAFLEDAVVVSCGHSFGGLMLKRVLDMSRCTLCSADIDSGSLIPNKALRAAALAVKQEDNRRLFHNAALRKRRKEMGDQIDLSKRSSRENGEDGAEDGLHRGVQYPFSVNEKVLIKGNRRTPEKFVGKEAVITSQCLNGWYLLKIIGSGENVRLQYRSLCKIMNPQSTIEEDRCPSQPIQNSSS >KJB15258 pep chromosome:Graimondii2_0_v6:2:41475024:41482000:-1 gene:B456_002G167300 transcript:KJB15258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMMMQQPQQATPPNPPPPSDSSLRSPTPTPTQSPLPLRPRQPLEHVAVPISAAHPSGEPLVTMGTAALPLARVRLSDISPYDGAPSASYVRAVEAFSGSLMRHNAAVIEFGNEDAALMRCALEAARLYFRSRVNTVGKGSRGFYMYRAGRSLEDWDSSPPCMADIFRCMGKAARVALCAIARHLRLQSDVFNHLLDDTPLPANEVSSSVLVASYSHMSLQNGKGAIGGGKPGTAGEVEKGLLTLISSDGPGLQVCDPNGRWYQADAGLAPGDMLLITGKALSHATAGLRPAATYRAAPEYLSGINNGGRTSLAFRLMPQGNAILDCSPVAAAGHVIPQSYVPISVSQFMDELSAEEDVVCNRSDNTCVARNNLNKQPSLRSVLSDPLSGAFLEDAVVVSCGHSFGGLMLKRVLDMSRCTLCSADIDSGSLIPNKALRAAALAVKQEDNRRLFHNAALRKRRKEMGDQIDLSKRSSRENGEDGAEDGLHRGVQYPFSVNEKVLIKVETGGHQKSLLERKPLLHPNASMAGICLR >KJB15260 pep chromosome:Graimondii2_0_v6:2:41474570:41482138:-1 gene:B456_002G167300 transcript:KJB15260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMMMQQPQQATPPNPPPPSDSSLRSPTPTPTQSPLPLRPRQPLEHVAVPISAAHPSGEPLVTMGTAALPLARVRLSDISPYDGAPSASYVRAVEAFSGSLMRHNAAVIEFGNEDAALMRCALEAARLYFRSRVNTVGKGSRGFYMYRAGRSLEDWDSSPPCMADIFRCMGKAARVALCAIARHLRLQSDVFNHLLDDTPLPANEVSSSVLVASYSHMSLQNGKGAIGGGKPGTAGEVEKGLLTLISSDGPGLQVCDPNGRWYQADAGLAPGDMLLITGKALSHATAGLRPAATYRAAPEYLSGINNGGRTSLAFRLMPQGNAILDCSPVAAAGHVIPQSYVPISVSQFMDELSAEEDVVCNRSDNTCVARNNLNKQPSLRSVLSDPLSGAFLEDAVVVSCGHSFGGLMLKRVLDMSRCTLCSADIDSGSLIPNKAVKQEDNRRLFHNAALRKRRKEMGDQIDLSKRSSRENGEDGAEDGLHRGVQYPFSVNEKVLIKGNRRTPEKFVGKEAVITSQCLNGWYLLKIIGSGENVRLQYRSLCKIMNPQSTIEEDRCPSQPIQNSSS >KJB15293 pep chromosome:Graimondii2_0_v6:2:45981938:45983443:-1 gene:B456_002G178000 transcript:KJB15293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAEVSEGFSSSFYKSQCAKHNNSANPISYFLGSLASRRSKTMAQIRKMDCQVVIKSSADEFYNAFRSKPQFLPKMSNGLIKDVKLLQGDWNSVGAVRLWSYASEGKPQMVKEIFEKVNEKSKTMVYKLVEGDLLNSYNSWRNIITITPVGERSMVKWTMEFEKQNEDIPDPVGYADSLIALTKNIDAYLLNV >KJB13427 pep chromosome:Graimondii2_0_v6:2:8619245:8620805:1 gene:B456_002G073700 transcript:KJB13427 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC (No Apical Meristem) domain transcriptional regulator superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27410) UniProtKB/TrEMBL;Acc:F4JIU9] MGVPETDPLAQLSLPPGFRFYPTDEELLVQYLCRKVAGHHFSLQIIGEIDLYKFNPWDLPSKALFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIITTEGRKVGIKKALVFYVGKAPKGTKTNWIMHEYRLIETSRKSGSSKLDDWVLCRIYKKNSSGQKPLSSVSSREQSTNGSSSSSSSQLDDMLESLPELDDRFFALPRVNSFKTLQNDVKLGFQSLGIGNLDWGSLGGLSSVPELVPSGQPQTQTQSPGITSYGNSNVYVSTMPPTLCQMDVSTNKIGNSVEEEVQSGLRTQRADNSGIFRQNSNVLNSHNFSNSIDPYGFRCPTQSGGFGFRQ >KJB12262 pep chromosome:Graimondii2_0_v6:2:565117:571014:1 gene:B456_002G008700 transcript:KJB12262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGPADSSSSPAAEASDVQLPAATDLGQQNLTHDSPSKLSSWAKNLKIPQPFAASQEDSQTETAGKSPLARFTSGIGLRSSPKSPPANDSNEGNSPTTQPGFFGTITNGIVNTSKNAVKAVQVKARHVVSQNKRRFQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIRFFETYHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIRLIISFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCVDGKGLVLPSQIRYVKYFERTLIYFNGENPPGRRCILRGFRLHHCPYWIRPSITISDHNGVLFSTKKHPRTKDLSPEDFWFSAPKKGVMVFALPGESGLTELAGDFKVHFNDRQGDFYCWLNTTMIENRKILSTGDLDGFDKRKLPSPGFQVEIVLVDYSGTAPTKSQTETPTNKQDESSGTSESADGATSKSKTTNSDQVASLVKSTEKVSIGSTDTQHTHAINEPRKDTVQQAADAADARVSISSSESQFKAMAADASVFSFGDEEDFDSE >KJB12260 pep chromosome:Graimondii2_0_v6:2:565308:571121:1 gene:B456_002G008700 transcript:KJB12260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIRFFETYHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIRLIISFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCVDGKGLVLPSQIRYVKYFERTLIYFNGENPPGRRCILRGFRLHHCPYWIRPSITISDHNGVLFSTKKHPRTKDLSPEDFWFSAPKKGVMVFALPGESGLTELAGDFKVHFNDRQGDFYCWLNTTMIENRKILSTGDLDGFDKRKLPSPGFQVEIVLVDYSGTAPTKSQTETPTNKQDESSGTSESADGATSKSKTTNSDQVASLVKSTEKVSIGSTDTQHTHAINEPRKDTVQQAADAADARVSISSSESQFKAMAADASVFSFGDEEDFDSE >KJB12261 pep chromosome:Graimondii2_0_v6:2:564313:571121:1 gene:B456_002G008700 transcript:KJB12261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGPADSSSSPAAEASDVQLPAATDLGQQNLTHDSPSKLSSWAKNLKIPQPFAASQEDSQTETAGKSPLARFTSGIGLRSSPKSPPANDSNEGNSPTTQPGFFGTITNGIVNTSKNAVKAVQVKARHVVSQNKRRFQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIRFFETYHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIRLIISFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCVDGKGLVLPSQIRYVKYFERTLIYFNGENPPGRRCILRGFRLHHCPYWIRPSITISDHNGVLFSTKKHPRTKDLSPEDFWFSAPKKGVMVFALPGESGLTELAGDFKVHFNDRQGDFYCWLNTTMIENRKILSTGDLDGFDKRKLPSPGFQVEIVLVDYSGTAPTKSQTETPTNKQDESSGTSESADGATSKSKTTNSDQVASLVKSTEKVSIGSTDTQHTHAINEPRKDTVQQAADAADARVSISSSESQFKAMAADASVFSFGDEEDFDSE >KJB12259 pep chromosome:Graimondii2_0_v6:2:564329:571001:1 gene:B456_002G008700 transcript:KJB12259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGPADSSSSPAAEASDVQLPAATDLGQQNLTHDSPSKLSSWAKNLKIPQPFAASQEDSQTETAGKSPLARFTSGIGLRSSPKSPPANDSNEGNSPTTQPGFFGTITNGIVNTSKNAVKAVQVKARHVVSQNKRRFQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIRFFETYHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIRLIISFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCVDGKGLVLPSQIRYVKYFERTLIYFNGENPPGRRCILRGFRLHHCPYWIRPSITISDHNGVLFSTKKHPRTKDLSPEDFWFSAPKKGVMVFALPGESGLTELAGDFKVHFNDRQGDFYCWLNTTMIENRKILSTGDLDGFDKRKLPSPGFQVEIVLVDYSGTAPTKSQTETPTNKQDESSGTSESADGATSKSKTTNSDQVASLVKSTEKVSIGSTDTQHTHAINEPRKDTVQQAADAADARVSISSSESQFKAMAADASVFSFGDEEDFDSE >KJB13420 pep chromosome:Graimondii2_0_v6:2:8553405:8555317:-1 gene:B456_002G073300 transcript:KJB13420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLLVAVFVLDLIAFGLAVAAEQRRSTAKIVQDSEVNYNYCVYDSDISTGYGVGAFLFLMVSQALVMAASKCFCCGKGLNPSGSRAWAVILFIVCWLFFLIAEICLLAGSVRNAYHTKYRTIFSEQPPSCETC >KJB13417 pep chromosome:Graimondii2_0_v6:2:8553956:8554887:-1 gene:B456_002G073300 transcript:KJB13417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLLVAVFVLDLIAFGLAVAAEQRRSTAKIVQDSEVNYNYCVYDSDISTGYGVGAFLFLMVSQALVMAASKCFCCGKGLNPSGSRAWAVILFIVCWYVNFIKFIAVIIVDFCCFIGYLNFVSQVVFPDC >KJB13421 pep chromosome:Graimondii2_0_v6:2:8553956:8554887:-1 gene:B456_002G073300 transcript:KJB13421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLLVAVFVLDLIAFGLAVAAEQRRSTAKIVQDSEVNYNYCVYDSDISTGYGVGAFLFLMVSQALVMAASKCFCCGKGLNPSGSRAWAVILFIVCWYVVFPDC >KJB13418 pep chromosome:Graimondii2_0_v6:2:8553405:8555317:-1 gene:B456_002G073300 transcript:KJB13418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLLVAVFVLDLIAFGLAVAAEQRRSTAKIVQDSEVNYNYCVYDSDISTGYGVGAFLFLMVSQALVMAASKCFCCGKGLNPSGSRAWAVILFIVCWLFFLIAEICLLAGSVRNAYHTKYRTIFSEQPPSCETVRKGVFGAGAAFIFLNAIVNKFYYICYSSARDKSFQAYGGETGVGMGTYK >KJB13416 pep chromosome:Graimondii2_0_v6:2:8553715:8554887:-1 gene:B456_002G073300 transcript:KJB13416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLLVAVFVLDLIAFGLAVAAEQRRSTAKIVQDSEVNYNYCVYDSDISTGYGVGAFLFLMVSQALVMAASKCFCCGKGLNPSGSRAWAVILFIVCWLFFLIAEICLLAGSVRNAYHTKYRTIFSEQPPSCETVRKGVFGAGAAFIFLNAIVNKFYYICYSSARDKSFQAYGGETGVGMGTYK >KJB13419 pep chromosome:Graimondii2_0_v6:2:8553399:8555317:-1 gene:B456_002G073300 transcript:KJB13419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLLVAVFVLDLIAFGLAVAAEQRRSTAKIVQDSEVNYNYCVYDSDISTGYGVGAFLFLMVSQALVMAASKCFCCGKGLNPSGSRAWAVILFIVCWLFFLIAEICLLAGSVRNAYHTKYRTIFSEQPPSCETVRKGTKASKLTVERPVSVWAHTNKQACKFGVSILLQ >KJB14261 pep chromosome:Graimondii2_0_v6:2:16511708:16513096:1 gene:B456_002G116400 transcript:KJB14261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFKAFDLGCHQIACRVWKDYYAKVRREKISERMKYLQDLVPGCNKITDKAGMLNEIINYVQSLQRQVEVKQ >KJB15094 pep chromosome:Graimondii2_0_v6:2:35598253:35607037:-1 gene:B456_002G159900 transcript:KJB15094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPGRRSNYSLLSQYPDDQYTVSISGAPPPYCDSLSSEATSNKNKVKSERGLTDWDQSLSQNQNQNQLQVNRICGGGGGGNTFASSISLQRQSSGSSFGESSLSGDYYVPTFSTTAANESDGFVYGHGGSCEHVDLRTKIGGSSSGKSWAQQTEESYQLQLALALRLSSEATCADDPNFLGPDSDDSAIRGTSSSSASAEIVSHRFWVNGCLSYFEKVPDGFYLIHGVNPYVWTMCTDLHEHGRIPTIDSLRSVDHVVGSPIEAISVDRRSDLGLKELQNRVHNISCSCITTKEVVDELAKLVCSRMGGSSATGEDDLLLYWRDCSDDLKDCLGSVVVPIGSLSAGLCRHRALLFKVLADTIDLPCRIAKGCKYCKRDDASSCLVRFGHDREYLVDLIGNPGYLCEPDSLLNGPSSISISSPLRFPHLKPAIPAIDFRSLAKHYFSDCESLNLVFDDAASGTFVDEEKKLGNIGTDRNNLVQMSSNMNDVSQLPLRNIAKPPAHDRDSQHLQSIISSKNIIKDPLKCIPPLGHRDVPDLIFSDPIEDSTKDSRFAKGNQLVPSKKGRELVLEVDDLDIPWGDLVLRERIGSGSFGTVHRAEWNGSDVAVKILMEQDLHGERYKEFLSEVAIMKRLRHPNIVLFMGAVTHPPNLSIVTEYLSRGSLYRLLRKPGVREVLDERRRLSMAYDVAKGMNYLHRRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWGNLNPAQVVAAVGFKGKRLDIPRDLNPHVAAIIEDCWTNEPWKRPSFSNIMERLKSLINKPSTTQPGRADIPVHT >KJB15093 pep chromosome:Graimondii2_0_v6:2:35598564:35606973:-1 gene:B456_002G159900 transcript:KJB15093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPGRRSNYSLLSQYPDDQYTVSISGAPPPYCDSLSSEATSNKNKVKSERGLTDWDQSLSQNQNQNQLQVNRICGGGGGGNTFASSISLQRQSSGSSFGESSLSGDYYVPTFSTTAANESDGFVYGHGGSCEHVDLRTKIGGSSSGKSWAQQTEESYQLQLALALRLSSEATCADDPNFLGPDSDDSAIRGTSSSSASAEIVSHRFWVNGCLSYFEKVPDGFYLIHGVNPYVWTMCTDLHEHGRIPTIDSLRSVDHVVGSPIEAISVDRRSDLGLKELQNRVHNISCSCITTKEVVDELAKLVCSRMGGSSATGEDDLLLYWRDCSDDLKDCLGSVVVPIGSLSAGLCRHRALLFKVLADTIDLPCRIAKGCKYCKRDDASSCLVRFGHDREYLVDLIGNPGYLCEPDSLLNGPSSISISSPLRFPHLKPAIPAIDFRSLAKHYFSDCESLNLVFDDAASGTFVDEEKKLGNIGTDRNNLVQMSSNMNDVSQLPLRNIAKPPAHDRDSQHLQSIISSKNIIKDPLKCIPPLGHRDVPDLIFSDPIEDSTKDSRFAKGNQLVPSKKGRELVLEVDDLDIPWGDLVLRERIGSGSFGTVHRAEWNGSDVAVKILMEQDLHGERYKEFLSEVAIMKRLRHPNIVLFMGAVTHPPNLSIVTEYLSRGSLYRLLRKPGVREVLDERRRLSMAYDVAKGMNYLHRRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWGNLNPAQVVAAVGFKGKRLDIPRDLNPHVAAIIEDCWTNEPWKRPSFSNIMERLKSLINKPSTTQPGRADIPVHT >KJB14302 pep chromosome:Graimondii2_0_v6:2:16780224:16789086:1 gene:B456_002G118200 transcript:KJB14302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRRRNLSYNRFRSLVKFISAISGVLLILFAFLSFLAPSPNESQHFHLRHHHNSFNAVVEDPVTSGDSVFHVPSSGGKLDRDIWSSRNAKFFYGCSNVSAKFAKAEAVSRPTRYLAIATSGGLNQQRTGITDAVVAARILNATLVVPKLDQKSFWKDASNFSEIFDVDWFISSLSKDVKIIKQLPKRGGKSWTPYTMRVPRKCSERCYQNRVLPVILKRHHAVQLNKFDYRLANKLDTDLQKLRCRVNYHALKFADPILEMGKTLVHRMRMRSKRYIALHLRFEPDMLAFSGCDYGGGEKERNELGAIRKRWKTLHKNNPDKQRRQGKCPLTPEEVGLMLRALGYGSDVHIYVASGEVYGGEETLRPLKSLFPNFYSKDTIATKEELAPFSSFSSRMAALDFIVCDESDVFVTNNNGNMARILAGRRKYFGHKPTIRPNAKKLYRLFLSRSNMTWEAFASRVRTFQRGFMGEPKEVRPGRGEFHENPSACICEDSEAREKATTYSRGKKNGKLDDSSRKKEVIVSDDQNDYDDNDEPRWPDLDDDENQVWPQEKLHYNGTGSDYDAVISDEPELEEMLSD >KJB14306 pep chromosome:Graimondii2_0_v6:2:16781100:16789026:1 gene:B456_002G118200 transcript:KJB14306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQITDAVVAARILNATLVVPKLDQKSFWKDASNFSEIFDVDWFISSLSKDVKIIKQLPKRGGKSWTPYTMRVPRKCSERCYQNRVLPVILKRHHAVQLNKFDYRLANKLDTDLQKLRCRVNYHALKFADPILEMGKTLVHRMRMRSKRYIALHLRFEPDMLAFSGCDYGGGEKERNELGAIRKRWKTLHKNNPDKQRRQGKCPLTPEEVGLMLRALGYGSDVHIYVASGEVYGGEETLRPLKSLFPNFYSKDTIATKEELAPFSSFSSRMAALDFIVCDESDVFVTNNNGNMARILAGRRKYFGHKPTIRPNAKKLYRLFLSRSNMTWEAFASRVRTFQRGFMGEPKEVRPGRGEFHENPSACICEDSEAREKATTYSRGKKNGKLDDSSRKKEVIVSDDQNDYDDNDEPRWPDLDDDENQVWPQEKLHYNGTGSDYDAVISDEPELEEMLSD >KJB14304 pep chromosome:Graimondii2_0_v6:2:16780464:16788012:1 gene:B456_002G118200 transcript:KJB14304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRRRNLSYNRFRSLVKFISAISGVLLILFAFLSFLAPSPNESQHFHLRHHHNSFNAVVEDPVTSGDSVFHVPSSGGKLDRDIWSSRNAKFFYGCSNVSAKFAKAEAVSRPTRYLAIATSGGLNQQRTGITDAVVAARILNATLVVPKLDQKSFWKDASNFSEIFDVDWFISSLSKDVKIIKQLPKRGGKSWTPYTMRVPRKCSERCYQNRVLPVILKRHHAVQLNKFDYRLANKLDTDLQKLRCRVNYHALKFADPILEMGKTLVHRMRMRSKRYIALHLRFEPDMLAFSGCDYGGGEKERNELGAIRKRWKTLHKNNPDKQRRQGKCPLTPEEVGLMLRALGYGSDVHIYVASGEVYGGEETLRPLKSLFPNFYSKDTIATKEELAPFSSFSSRMAALDFIVCDESDVFVTNNNGNMARILAGRR >KJB14307 pep chromosome:Graimondii2_0_v6:2:16786566:16789026:1 gene:B456_002G118200 transcript:KJB14307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTLVHRMRMRSKRYIALHLRFEPDMLAFSGCDYGGGEKERNELGAIRKRWKTLHKNNPDKQRRQGKCPLTPEEVGLMLRALGYGSDVHIYVASGEVYGGEETLRPLKSLFPNFYSKDTIATKEELAPFSSFSSRMAALDFIVCDESDVFVTNNNGNMARILAGRRKYFGHKPTIRPNAKKLYRLFLSRSNMTWEAFASRVRTFQRGFMGEPKEVRPGRGEFHENPSACICEDSEAREKATTYSRGKKNGKLDDSSRKKEVIVSDDQNDYDDNDEPRWPDLDDDENQVWPQEKLHYNGTGSDYDAVISDEPELEEMLSD >KJB14303 pep chromosome:Graimondii2_0_v6:2:16780317:16783906:1 gene:B456_002G118200 transcript:KJB14303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRRRNLSYNRFRSLVKFISAISGVLLILFAFLSFLAPSPNESQHFHLRHHHNSFNAVVEDPVTSGDSVFHVPSSGGKLDRDIWSSRNAKFFYGCSNVSAKFAKAEAVSRPTRYLAIATSGGLNQQRTGITDAVVAARILNATLVVPKLDQKSFWKDASNFSEIFDVDWFISSLSKDVKIIKQLPKRGGKSWTPYTMRVPRKCSERCYQNRVLPVILKSSCAILPGLENLTPVRELSPLQHNFLDQLFPVSPSCNYPGSYQQFEKLLHRCLFSWHSDKTINIFYARTLITNLPNFGANSLVQLRMKVAALSFIRKF >KJB14305 pep chromosome:Graimondii2_0_v6:2:16780317:16789026:1 gene:B456_002G118200 transcript:KJB14305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRRRNLSYNRFRSLVKFISAISGVLLILFAFLSFLAPSPNESQHFHLRHHHNSFNAVVEDPVTSGDSVFHVPSSGGKLDRDIWSSRNAKFFYGCSNVSAKFAKAEAVSRPTRYLAIATSGGLNQQRTGITDAVVAARILNATLVVPKLDQKSFWKDASNFSEIFDVDWFISSLSKDVKIIKQLPKRGGKSWTPYTMRVPRKCSERCYQNRVLPVILKRHHAVQLNKFDYRLANKLDTDLQKLRCRVNYHALKFADPILEMGKTLVHRMRMRSKRYIALHLRFEPDMLAFSGCDYGGGEKERNELGAIRKRWKTLHKNNPDKQRRQGKCPLTPEEVGLMLRALGYGSDVHIYVASGEVYGGEETLRPLKSLFPNFYSKDTIATKEELAPFSSFSSRMAALDFIVCDESDVFVTNNNGNMARILAGRRSNMTWEAFASRVRTFQRGFMGEPKEVRPGRGEFHENPSACICEDSEAREKATTYSRGKKNGKLDDSSRKKEVIVSDDQNDYDDNDEPRWPDLDDDENQVWPQEKLHYNGTGSDYDAVISDEPELEEMLSD >KJB15097 pep chromosome:Graimondii2_0_v6:2:35835664:35836268:1 gene:B456_002G160200 transcript:KJB15097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNQHYQCKNLKHFKYSNYPNRQRCSGKPMMGLRGHHFQSSQFSHMAISNHMQYCSLLPPQIQQAALSAKPRLHLLTLVRSFSFTTLVDGLCIYTLFPITYQVYTNSHEQ >KJB15904 pep chromosome:Graimondii2_0_v6:2:54430053:54433723:-1 gene:B456_002G202700 transcript:KJB15904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITGMSEQWVAARIKQKGDSKYIPWRSLKDAILTHLDVRKRLDVFALSIYGLVVFPKALGYVDEAVTNLFDRLDKKVTLIPVIFTETFRSLSACRRTGDGRFIECAQLLLAWFYSHFWKVDKVSYRVFFENYSPLKEIVATPRRDDISEEKWMVILQNLQEEDVEWRAPWLLPDEILYMCGNFNWVPLLGVCGAIGYAPLLVLRQYRSRQFIPATQGIANCEFSYKDDGYKKKIQKMSSAWKQTRRMRGLAVGPMITPKYNELWGKRINDNIPKIEETKQRKKQRAKRAEKEGKKERKKEKEKEKGKFKVLRFQAQLLMSCTSHCPRKERRKWTRSDSREIPVSKKNQCSIQHPK >KJB14825 pep chromosome:Graimondii2_0_v6:2:26610368:26615107:-1 gene:B456_002G145500 transcript:KJB14825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSEAVLHVLSGAVPQFLSSDMCSSNSDLVFYSKCHIKSVKKKGSRRLPSLKCSSMSGCQIGSYRCKRLGGGLHGNTAIDRLTLLRCKCQQAESVSGVDTGEGNGAWFVDNAKKLNLNGSMNSEDILEFKTVEQLKRENEVSMLNGKVGTGTNTIFTGGVDSVEDEAWELLRASMVYYCGSPVGTIAANDPTSSNVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSDDLSVQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPGDGSADLIRALNNRLVALSFHIREYYWIDMRKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNLWAVVSGLATIDQSHAILDLIEAKWADLVAEMPFKICYPALEGQEWQIITGCDPKNTPWSYHNAGSWPTLLWQLTVACMKMNRPELAAKAVSVAEKRISKDKWPEYYDTKKARFIGKQSRLFQTWSIAGFLVAKLLLADPNAAKILTTEEDTELVNAFSCMISANPRRKRGPKRSKQTYIVYNYWICIS >KJB14824 pep chromosome:Graimondii2_0_v6:2:26610459:26614472:-1 gene:B456_002G145500 transcript:KJB14824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSEAVLHVLSGAVPQFLSSDMCSSNSDLVFYSKCHIKSVKKKGSRRLPSLKCSSMSGCQIGSYRCKRLGGGLHGNTAIDRLTLLRCKCQQAESVSGVDTGEGNGAWFVDNAKKLNLNGSMNSEDILEFKTVEQLKRENEVSMLNGKVGTGTNTIFTGGVDSVEDEAWELLRASMVYYCGSPVGTIAANDPTSSNVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSDDLSVQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPGDGSADLIRALNNRLVALSFHIREYYWIDMRKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNLWAVVSGLATIDQSHAILDLIEAKWADLVAEMPFKICYPALEGQEWQIITGCDPKNTPWSYHNAGSWPTLLWQLTVACMKMNRPELAAKAVSVAEKRISKDKWPEYYDTKKARFIGKQSRLFQTWSIAGFLVAKLLLADPNAAKILTTEEDTELVNAFSCMISANPRRKRGPKRSKQTYIV >KJB14822 pep chromosome:Graimondii2_0_v6:2:26610741:26614342:-1 gene:B456_002G145500 transcript:KJB14822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSEAVLHVLSGAVPQFLSSDMCSSNSDLVFYSKCHIKSVKKKGSRRLPSLKCSSMSGCQIGSYRCKRLGGGLHGNTAIDRLTLLRCKCQQAESVSGVDTGEGNGAWFVDNAKKLNLNGSMNSEDILEFKTVEQLKRENEVSMLNGKVGTGTNTIFTGGVDSVEDEAWELLRASMVYYCGSPVGTIAANDPTSSNVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSDDLSVQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPGDGSADLIRALNNRLVALSFHIREYYWIDMRKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNLWAVVSGLATIDQSHAILDLIEAKWADLVAEMPFKICYPALEGQEWQIITGCDPKNTPWSYHNAGSWPTLLWQLTVACMKMNRPELAAKAVSVAEKRISKDKWPEYYDTKKARFIGKQSRLFQTWSIAGFLVAKLLLADPNAAKILTTEEDTELVNAFSCMISANPRRKRGPKRSKQTYIV >KJB14827 pep chromosome:Graimondii2_0_v6:2:26611373:26615060:-1 gene:B456_002G145500 transcript:KJB14827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSEAVLHVLSGAVPQFLSSDMCSSNSDLVFYSKCHIKSVKKKGSRRLPSLKCSSMSGCQIGSYRCKRLGGGLHGNTAIDRLTLLRCKCQQAESVSGVDTGEGNGAWFVDNAKKLNLNGSMNSEDILEFKTVEQLKRENEVSMLNGKVGTGTNTIFTGGVDSVEDEAWELLRASMVYYCGSPVGTIAANDPTSSNVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSDDLSVQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPGDGSADLIRALNNRLVALSFHIREYYWIDMRKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNLWAVVSGLATIDQSHAILDLIEAKWADLVAEMPFKICYPALEGQEWQIITGCDPKNT >KJB14823 pep chromosome:Graimondii2_0_v6:2:26610741:26614342:-1 gene:B456_002G145500 transcript:KJB14823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSEAVLHVLSGAVPQFLSSDMCSSNSDLVFYSKCHIKSVKKKGSRRLPSLKCSSMSGCQIGSYRCKRLGGGLHGNTAIDRLTLLRCKCQQAESVSGVDTGEGNGAWFVDNAKKLNLNGSMNSEDILEFKTVEQLKRENEVSMLNGKVGTGTNTIFTGGVDSVEDEAWELLRASMVYYCGSPVGTIAANDPTSSNVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSDDLSVQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPGDGSADLIRALNNRLVALSFHIREYYWIDMRKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNLWAVVSGLATIDQSHAILDLIEAKWADLVAEMPFKICYPALEGQEWQIITGCDPKNTPWSYHNAGSWPTLLWQLTVACMKMNRPELAAKAVSVAEKRISKDKWPEYYDTKKARFIGKQSRLFQTWSIAGFLVAKLLLADPNAAKILTTEEDTELVNAFSCMISANPRRKRGPKRSKQTYIV >KJB14826 pep chromosome:Graimondii2_0_v6:2:26610494:26615060:-1 gene:B456_002G145500 transcript:KJB14826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSEAVLHVLSGAVPQFLSSDMCSSNSDLVFYSKCHIKSVKKKGSRRLPSLKCSSMSGCQIGSYRCKRLGGGLHGNTAIDRLTLLRCKCQQAESVSGVDTGEGNGAWFVDNAKKLNLNGSMNSEDILEFKTVEQLKRENEVSMLNGKVGTGTNTIFTGGVDSVEDEAWELLRASMVYYCGSPVGTIAANDPTSSNVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSDDLSVQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPGDGSADLIRALNNRLVALSFHIREYYWIDMRKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNLWAVVSGLATIDQSHAILDLIEAKWADLVAEMPFKICYPALEGQEWQIITGCDPKNTPWSYHNAGSWPTLLWQLTVACMKMNRPELAAKAVSVAEKRISKDKWPEYYDTKKARFIGKQSRLFQTWSIAGFLVAKLLLADPNAAKILTTEEDTELVNAFSCMISANPRRKRGPKRSKQTYIV >KJB14821 pep chromosome:Graimondii2_0_v6:2:26610741:26614342:-1 gene:B456_002G145500 transcript:KJB14821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSEAVLHVLSGAVPQFLSSDMCSSNSDLVFYSKCHIKSVKKKGSRRLPSLKCSSMSGCQIGSYRCKRLGGGLHGNTAIDRLTLLRCKCQQAESVSGVDTGEGNGAWFVDNAKKLNLNGSMNSEDILEFKTVEQLKRENEVSMLNGKVGTGTNTIFTGGVDSVEDEAWELLRASMVYYCGSPVGTIAANDPTSSNVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSDDLSVQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPGDGSADLIRALNNRLVALSFHIREYYWIDMRKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNLWAVVSGLATIDQSHAILDLIEAKWADLVAEMPFKICYPALEGQEWQIITGCDPKNTPWSYHNAGSWPTLLWQLTVACMKMNRPELAAKAVSVAEKRISKDKWPEYYDTKKARFIGKQSRLFQTWSIAGFLVAKLLLADPNAAKILTTEEDTELVNAFSCMISANPRRKRGPKRSKQTYIV >KJB14600 pep chromosome:Graimondii2_0_v6:2:20904410:20907941:1 gene:B456_002G133000 transcript:KJB14600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCINVFELHLLSILVHTNCFGKNMPSSSSQQLAFLLFILYVKCLFVSIESNTSLVTDKEALLSFKSQIKTSGFPNPLSQWDPNSSPCNWTGVVCNKHNTRVVELNLSGFHLEGSISPHVGNLSLLHSLQLQDNQLSGELPDQMWNLFRLRDLNMSQNSLYGVIPSNISKLTELRSLDLMTNKITGAVPEDLDQLVQLQVLNLGRNLFTGTIPASIANISSLQTLNLGTNNLTGAIPTELSHLRNLKELDLTINHLTGTVPSSIYNMSSLVVLALASNHLWGRLPYDVGVTLPNLLVFNFCFNEFTGGIPGSLHNLTNIKIIRMAHNLLQGTVPPGLGNLPFLEMYNIGFNKIVTTGDDSLEFIIASLTNSSRLKFLALDGNLLEGEIPESIGNLSEVLSKLYMGGNHISGNIPPSIAQLSGLTLLNFSYNSISGEIPPEMGKLVELQMLGLAGNQISGRIPTSLGDLRKLNQIDLSGNQLLGQIPSSFQNFQKLLSADLSNNRLNGSIPKEILNIPSLSTVLNFSRNSLNGPLPEEIGLLESVVAIDLSMNHLSGNIPSSIEGCKSLEKLFMAKNMLSGPIPGTIGELKGLETLDLSSNQLSGSIPTDLQKLQVLESLNLSFNDLEGSLPSGGIFKNLSSVHLEGNRKLCFPLACKNTRGSHGRLVKIYVSIAVITTFALCFIMASLFHIKKGKPKATRTSEQLKEQHQMISYHEIRRATGNFNPGNLIGKGSFGSVYKGYLNGVHIAIKVLDVARTGSWKSFRAECEALRNVRHRNLVKLISSCSSVDIKNVEFLALVYEFLTNGSVQDWLKGNKRNADGEGLNVMERLNVAIDVASALDYLHHDCEVPVVHCDLKPSNILLDQDMTAKVGDFGLARLLMEKSSSQPSISSTNVLKGSIGYIPPEYGFGEKPSTAGDVYSYGVMLLELFTGKSPTHESFAGELNLIKWTQSAFPSKVQQILDPELLLLLQNLQYDSQPINPETQHDYLTTIIGVGLSCTSVSPDGRITMRDVLRKLKTVKSTLTNPSPPAKNRA >KJB15431 pep chromosome:Graimondii2_0_v6:2:46136798:46141035:1 gene:B456_002G178300 transcript:KJB15431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVGNLTPQQRQSFDSQGFLVIESFASPAEIEAMRKRMDGMLQAFDPTTTASIFSTKNQVQLTSEYFYESAEKISFFFEEKAFDDNGNLKQPKELSINKVGHALHEIDPVFKEFSCSEKQPGIGGEVTPHQDNSFLYTEPKTCTGLWLALEDATVVNGCLWAIPESQKNGLVRRFIRGDDGVYFDRPSPSYDQKDFVPIEVKAGSLVVIHGDLIHQSFENQSSNSRHAYSLHAVDTDGCKWAEDNWIRRKVEPKPLYASGQT >KJB15428 pep chromosome:Graimondii2_0_v6:2:46136798:46137983:1 gene:B456_002G178300 transcript:KJB15428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVGNLTPQQRQSFDSQGFLVIESFASPAEIEAMRKRMDGMLQAFDPTTTASIFSTKNQVQLTSEYFYESAEKISFFFEEKAFDDNGNLKQPKELSINKVGHALHEIDPVFKEFSCSEKVSSLLFSLGYKKPVIIQSMYIFKVFSLILT >KJB15427 pep chromosome:Graimondii2_0_v6:2:46136611:46141106:1 gene:B456_002G178300 transcript:KJB15427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVGNLTPQQRQSFDSQGFLVIESFASPAEIEAMRKRMDGMLQAFDPTTTASIFSTKNQVQLTSEYFYESAEKISFFFEEKAFDDNGNLKQPKELSINKVGHALHEIDPVFKEFSCSEKVSSLLFSLGYKKPVIIQSMYIFKQPGIGGEVTPHQDNSFLYTEPKTCTGLWLALEDATVVNGCLWAIPESQKNGLVRRFIRGDDGVYFDRPSPSYDQKDFVPIEVKAGSLVVIHGDLIHQSFENQSSNSRHAYSLHAVDTDGCKWAEDNWIRRKVEPKPLYASGQT >KJB15430 pep chromosome:Graimondii2_0_v6:2:46137302:46140680:1 gene:B456_002G178300 transcript:KJB15430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLKRFPFSLKVEKAFDDNGNLKQPKELSINKVGHALHEIDPVFKEFSCSEKVSSLLFSLGYKKPVIIQSMYIFKQPGIGGEVTPHQDNSFLYTEPKTCTGLWLALEDATVVNGCLWAIPESQKNGLVRRFIRGDDGVYFDRPSPSYDQKDFVPIEVKAGSLVVIHGDLIHQSFENQSSNSRHAYSLHAVDTDGCKWAEDNWIRRKVEPKPLYASGQT >KJB15429 pep chromosome:Graimondii2_0_v6:2:46136798:46139489:1 gene:B456_002G178300 transcript:KJB15429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVGNLTPQQRQSFDSQGFLVIESFASPAEIEAMRKRMDGMLQAFDPTTTASIFSTKNQVQLTSEYFYESAEKISFFFEEKAFDDNGNLKQPKELSINKVGHALHEIDPVFKEFSCSEKVSSLLFSLGYKKPVIIQSMYIFKQPGIGGEVTPHQDNSFLYTEPKTCTGLWLALEDATVVNGCLWAIPESQKNGLVRRFIRGDDGVYFDRPSPSYDQKDFVPIEVKAGSLVVIHGDLIHQSFENQSSNSRHAYSLHAVDTDGCKWAEDNW >KJB15870 pep chromosome:Graimondii2_0_v6:2:54042934:54052734:1 gene:B456_002G200500 transcript:KJB15870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPAHQQYIKSELLPCLGAADKHIRSTVGTIISVVVQQGGILGWPELLQAFINCLDSNDLNHMEGAMDALSKICEDIPQVLDSDVPGLAERPINIFLPRLFQFFQSPHASLRKLSLGSVNQYIMLMPSALYASVDKYLHGLFGLANDPAAEVRKLVCAAFVQLIEVRPSVLEPHMKNVIEYMLQVNKDTDDEVALEACEFWSAYCDAQLPPEILREYLPRLIPILLSNMAYADDDESLAEAEEDESLPDRDQDLKPRFHTSRFHGSEDAEDDDDDSFNVWNLRKCSAAALDVLSNVFGDEILPTLMPIIQAKLAATGDEAWKDREAAVLALGAVGEGCINGLYPHLSEIVAFLIPLLDDKFPLIRSISCWTLSRFSKYIVQDSGHQKGYEQFDAALMGLLRRILDTNKRVQEAACSAFATLEEEAAEELAPRLEVILQHLMCAFGKYQRRNLRIVYDAIGTLADAVGGELNQPVYLEILMPPLIAKWHQVPNSDKDLFPLLECFTSIAQALGTGFTQFAQPVFQRCINIIQTQQLAKVDPVSAGVQYDKEFIVCSLDLLSGLTEGLGSGIESLVSQSNLRDLLLQCCMDDASDVRQSAFALLGDLARVCPVHLHPRLSEFLDIAAKQLNTPKLKETISVANNACWAIGELAIKVRKEISPIVMTVISCLVPILQHAEGLNKSLVENSAITLGRLAWVCPDLVSPHMEHFMQSWCIALSMIRDDIEKEDAFRGLCAMVRANPSGALSSLVFMCKAIASWHEIRSEELHNEVCQVLHGYKQMLRNGAWDQCMSALEPPVKDKLSKYQV >KJB15869 pep chromosome:Graimondii2_0_v6:2:54042106:54052708:1 gene:B456_002G200500 transcript:KJB15869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGSASWQPQEEGLKEICGLLEQQISPSSSADKSQIWQQLQHYSQFPDFNNYLAFILARAEGKSVEIRQAAGLLLKNNLRTAYKMMSPAHQQYIKSELLPCLGAADKHIRSTVGTIISVVVQQGGILGWPELLQAFINCLDSNDLNHMEGAMDALSKICEDIPQVLDSDVPGLAERPINIFLPRLFQFFQSPHASLRKLSLGSVNQYIMLMPSALYASVDKYLHGLFGLANDPAAEVRKLVCAAFVQLIEVRPSVLEPHMKNVIEYMLQVNKDTDDEVALEACEFWSAYCDAQLPPEILREYLPRLIPILLSNMAYADDDESLAEAEEDESLPDRDQDLKPRFHTSRFHGSEDAEDDDDDSFNVWNLRKCSAAALDVLSNVFGDEILPTLMPIIQAKLAATGDEAWKDREAAVLALGAVGEGCINGLYPHLSEIVAFLIPLLDDKFPLIRSISCWTLSRFSKYIVQDSGHQKGYEQFDAALMGLLRRILDTNKRVQEAACSAFATLEEEAAEELAPRLEVILQHLMCAFGKYQRRNLRIVYDAIGTLADAVGGELNQPVYLEILMPPLIAKWHQVPNSDKDLFPLLECFTSIAQALGTGFTQFAQPVFQRCINIIQTQQLAKVDPVSAGVQYDKEFIVCSLDLLSGLTEGLGSGIESLVSQSNLRDLLLQCCMDDASDVRQSAFALLGDLARVCPVHLHPRLSEFLDIAAKQLNTPKLKETISVANNACWAIGELAIKVRKEISPIVMTVISCLVPILQHAEQGLNKSLVENSAITLGRLAWVCPDLVSPHMEHFMQSWCIALSMIRDDIEKEDAFRGLCAMVRANPSGALSSLVFMCKAIASWHEIRSEELHNEVCQVLHGYKQMLRNGAWDQCMSALEPPVKDKLSKYQV >KJB15867 pep chromosome:Graimondii2_0_v6:2:54042082:54052734:1 gene:B456_002G200500 transcript:KJB15867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGSASWQPQEEGLKEICGLLEQQISPSSSADKSQIWQQLQHYSQFPDFNNYLAFILARAEGKSVEIRQAAGLLLKNNLRTAYKMMSPAHQQYIKSELLPCLGAADKHIRSTVGTIISVVVQQGGILGWPELLQAFINCLDSNDLNHMEGAMDALSKICEDIPQVLDSDVPGLAERPINIFLPRLFQFFQSPHASLRKLSLGSVNQYIMLMPSALYASVDKYLHGLFGLANDPAAEVRKLVCAAFVQLIEVRPSVLEPHMKNVIEYMLQVNKDTDDEVALEACEFWSAYCDAQLPPEILREYLPRLIPILLSNMAYADDDESLAEAEEDESLPDRDQDLKPRFHTSRFHGSEDAEDDDDDSFNVWNLRKCSAAALDVLSNVFGDEILPTLMPIIQAKLAATGDEAWKDREAAVLALGAVGEGCINGLYPHLSEIVAFLIPLLDDKFPLIRSISCWTLSRFSKYIVQDSGHQKGYEQFDAALMGLLRRILDTNKRVQEAACSAFATLEEEAAEELAPRLEVILQHLMCAFGKYQRRNLRIVYDAIGTLADAVGGELNQPVYLEILMPPLIAKWHQVPNSDKDLFPLLECFTSIAQALGTGFTQFAQPVFQRCINIIQTQQLAKVDPVSAGVQYDKEFIVCSLDLLSGLTEGLGSGIESLVSQSNLRDLLLQCCMDDASDVRQSAFALLGDLARVCPVHLHPRLSEFLDIAAKQLNTPKLKETISVANNACWAIGELAIKVRKEISPIVMTVISCLVPILQHAEGLNKSLVENSAITLGRLAWVCPDLVSPHMEHFMQSWCIALSMIRDDIEKEDAFRGLCAMVRANPSGALSSLVFMCKAIASWHEIRSEELHNEVCQVLHGYKQMLRNGAWDQCMSALEPPVKDKLSKYQV >KJB15868 pep chromosome:Graimondii2_0_v6:2:54042106:54051272:1 gene:B456_002G200500 transcript:KJB15868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGSASWQPQEEGLKEICGLLEQQISPSSSADKSQIWQQLQHYSQFPDFNNYLAFILARAEGKSVEIRQAAGLLLKNNLRTAYKMMSPAHQQYIKSELLPCLGAADKHIRSTVGTIISVVVQQGGILGWPELLQAFINCLDSNDLNHMEGAMDALSKICEDIPQVLDSDVPGLAERPINIFLPRLFQFFQSPHASLRKLSLGSVNQYIMLMPSALYASVDKYLHGLFGLANDPAAEVRKLVCAAFVQLIEVRPSVLEPHMKNVIEYMLQVNKDTDDEVALEACEFWSAYCDAQLPPEILREYLPRLIPILLSNMAYADDDESLAEAEEDESLPDRDQDLKPRFHTSRFHGSEDAEDDDDDSFNVWNLRKCSAAALDVLSNVFGDEILPTLMPIIQAKLAATGDEAWKDREAAVLALGAVGEGCINGLYPHLSEIVAFLIPLLDDKFPLIRSISCWTLSRFSKYIVQDSGHQKGYEQFDAALMGLLRRILDTNKRVQEAACSAFATLEEEAAEELAPRLEVILQHLMCAFGKYQRRNLRIVYDAIGTLADAVGGELNQPVYLEILMPPLIAKWHQVPNSDKDLFPLLECFTSIAQALGTGFTQFAQPVFQRCINIIQTQQLAKVDPVSAGVQYDKEFIVCSLDLLSGLTEGLGSGIESLVSQSNLRDLLLQCCMDDASDVRQSAFALLGDLARVCPVHLHPRLSEFLDIAAKQLNTPKLKETISVANNACWAIGELAIKVRKEISPIVMTVISCLVPILQHAEGLNKSLVENSAITLGRLAWVCPDLVSPHMEHFMQSWCIALSM >KJB15917 pep chromosome:Graimondii2_0_v6:2:54545712:54551070:-1 gene:B456_002G203700 transcript:KJB15917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIISPITDLSNVVDLHFLMTILESPIMSTELKPTEIPNFTSCKPACASVMNGYEIMECRTVFKAKTLPITPQTTRPEVDL >KJB16731 pep chromosome:Graimondii2_0_v6:2:60979905:60986732:1 gene:B456_002G245600 transcript:KJB16731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLVVTVGNVEEGLSRAERTKSLDAIIDKDNGCILTNGDANHSSETAGFRVGELLLPNGDSYSGSLLGNMPEGQGKYVWQGGCVYEGEWRRGMRHGIGKIQWPSGTVYDGEFSGGYMHGTGTYIGSNKLTYKGRWKLSLKHGLGYQVYPNGDVFEGSWMQGTPEGPGKYTWANGNVYLGNMKGGKMSGKGTLTWTNGDSFEGSWLNGMMHGFGVYTWRDGGCYVGTWTRGLKDGKGSFYPQGNRLPASQEVYLNALRKRGLLPDLRKQNHSHIHHAASVDMGSVKVGGNRVSNRNSDKLSEGNLLNLQQSRNRNVSLERRWSLEVSIEKVIGHDSSLELSDSFKEGRENGSETNAPILEREYMQGVLISELVLNNSFSPSSRRAKRRQKKLAKEVKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGPRASFWMNFPKVGSQLTPTHQSDDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRYDLKGSSQGRSADNVEIDENTTLKDLDLNYCFYLEPSWRDALLRQIEIDSKFLEAQCIMDYSLLLGVHYRAPQHLRSLMSYNRTDGLGSVAEEEEDEITNYPQGLVLVPRGTDDNSVVAGPHIRGRRLRASAVGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGKEENMFHESYDVVLYLGIIDILQEYNMTKKIEHAYKSLQFDSLSISAVDPTFYSERFLQFIQKVFPLNSMKT >KJB16729 pep chromosome:Graimondii2_0_v6:2:60979744:60986692:1 gene:B456_002G245600 transcript:KJB16729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLVVTVGNVEEGLSRAERTKSLDAIIDKDNGCILTNGDANHSSETAGFRVGELLLPNGDSYSGSLLGNMPEGQGKYVWQGGCVYEGEWRRGMRHGIGKIQWPSGTVYDGEFSGGYMHGTGTYIGSNKLTYKGRWKLSLKHGLGYQVYPNGDVFEGSWMQGTPEGPGKYTWANGNVYLGNMKGGKMSGKGTLTWTNGDSFEGSWLNGMMHGFGVYTWRDGGCYVGTWTRGLKDGKGSFYPQGNRLPASQEVYLNALRKRGLLPDLRKQNHSHIHHAASVDMGSVKVGGNRVSNRNSDKLSEGNLLNLQQSRNRNVSLERRWSLEVSIEKVIGHDSSLELSDSFKEGRENGSETNAPILEREYMQGVLISELVLNNSFSPSSRRAKRRQKKLAKEVKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGPRASFWMNFPKVGSQLTPTHQSDDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRYDLKGSSQGRSADNVEIDENTTLKDLDLNYCFYLEPSWRDALLRQIEIDSKFLEAQCIMDYSLLLGVHYRAPQHLRSLMSYNRTDGLGSVAEEGVKMKSPTIHKALYWSLVEQMTIVLLQVLIYEVDVCVHQL >KJB16727 pep chromosome:Graimondii2_0_v6:2:60980176:60986732:1 gene:B456_002G245600 transcript:KJB16727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLVVTVGNVEEGLSRAERTKSLDAIIDKDNGCILTNGDANHSSETAGFRVGELLLPNGDSYSGSLLGNMPEGQGKYVWQGGCVYEGEWRRGMRHGIGKIQWPSGTVYDGEFSGGYMHGTGTYIGSNKLTYKGRWKLSLKHGLGYQVYPNGDVFEGSWMQGTPEGPGKYTWANGNVYLGNMKGGKMSGKGTLTWTNGDSFEGSWLNGMMHGFGVYTWRDGGCYVGTWTRGLKDGKGSFYPQGNRLPASQEVYLNALRKRGLLPDLRKQNHSHIHHAASVDMGSVKVGGNRVSNRNSDKLSEGNLLNLQQSRNRNVSLERRWSLEVSIEKVIGHDSSLELSDSFKEGRENGSETNAPILEREYMQGVLISELVLNNSFSPSSRRAKRRQKKLAKEVKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGPRASFWMNFPKVGSQLTPTHQSDDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRYDLKGSSQGRSADNVEIDENTTLKDLDLNYCFYLEPSWRDALLRQIEIDSKFLEAQCIMDYSLLLGVHYRAPQHLRSLMSYNRTDGLGSVAEEEEDEITNYPQGLVLVPRGTDDNSVVAGPHIRGRRLRASAVGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGKEENMFHESYDVVLYLGIIDILQEYNMTKKIEHAYKSLQFDSLSISAVDPTFYSERFLQFIQKVFPLNSMKT >KJB16728 pep chromosome:Graimondii2_0_v6:2:60979680:60986732:1 gene:B456_002G245600 transcript:KJB16728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLVVTVGNVEEGLSRAERTKSLDAIIDKDNGCILTNGDANHSSETAGFRVGELLLPNGDSYSGSLLGNMPEGQGKYVWQGGCVYEGEWRRGMRHGIGKIQWPSGTVYDGEFSGGYMHGTGTYIGSNKLTYKGRWKLSLKHGLGYQVYPNGDVFEGSWMQGTPEGPGKYTWANGNVYLGNMKGGKMSGKGTLTWTNGDSFEGSWLNGMMHGFGVYTWRDGGCYVGTWTRGLKDGKGSFYPQGNRLPASQEVYLNALRKRGLLPDLRKQNHSHIHHAASVDMGSVKVGGNRVSNRNSDKLSEGNLLNLQQSRNRNVSLERRWSLEVSIEKVIGHDSSLELSDSFKEGRENGSETNAPILEREYMQGVLISELVLNNSFSPSSRRAKRRQKKLAKEVKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGPRASFWMNFPKVGSQLTPTHQSDDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRYDLKGSSQGRSADNVEIDENTTLKDLDLNYCFYLEPSWRDALLRQIEIDSKFLEAQCIMDYSLLLGVHYRAPQHLRSLMSYNRTDGLGSVAEEEEDEITNYPQGLVLVPRGTDDNSVVAGPHIRGRRLRASAVGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGKEENMFHESYDVVLYLGIIDILQEYNMTKKIEHAYKSLQFDSLSISAVDPTFYSERFLQFIQKVFPLNSMKT >KJB16730 pep chromosome:Graimondii2_0_v6:2:60979680:60986732:1 gene:B456_002G245600 transcript:KJB16730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLVVTVGNVEEGLSRAERTKSLDAIIDKDNGCILTNGDANHSSETAGFRVGELLLPNGDSYSGSLLGNMPEGQGKYVWQGGCVYEGEWRRGMRHGIGKIQWPSGTVYDGEFSGGYMHGTGTYIGSNKLTYKGRWKLSLKHGLGYQVYPNGDVFEGSWMQGTPEGPGKYTWANGNVYLGNMKGGKMSGKGTLTWTNGDSFEGSWLNGMMHGFGVYTWRDGGCYVGTWTRGLKDGKGSFYPQGNRLPASQEVYLNALRKRGLLPDLRKQNHSHIHHAASVDMGSVKVGGNRVSNRNSDKLSEGNLLNLQQSRNRNVSLERRWSLEVSIEKVIGHDSSLELSDSFKEGRENGSETNAPILEREYMQGVLISELVLNNSFSPSSRRAKRRQKKLAKEVKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGPRASFWMNFPKVGSQLTPTHQSDDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRYDLKGSSQGRSADNVEIDENTTLKDLDLNYCFYLEPSWRDALLRQIEIDSKFLEAQCIMDYSLLLGVHYRAPQHLRSLMSYNRTDGLGSVAEEEEDEITNYPQGLVLVPRGTDDNSVVAGPHIRGRRLRASAVGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGKEENMFHESYDVVLYLGIIDILQEYNMTKKIEHAYKSLQFDSLSISAVDPTFYSERFLQFIQKVFPLNSMKT >KJB12662 pep chromosome:Graimondii2_0_v6:2:2213409:2213781:1 gene:B456_002G029900 transcript:KJB12662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSAKDTEGIKVANPPSGGELKLEMKGNDSSPNQLSSSSSSSSSPQVTIGTVNKEEIDKYKIYGYNKSNDRIGVGDAESKRQRRMVKYNSYAVGSKLKSSLMNWFHWSKNK >KJB16538 pep chromosome:Graimondii2_0_v6:2:59528355:59529922:-1 gene:B456_002G234800 transcript:KJB16538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIDVPPFFICPISLEFMKDPVTVSTGITYDRESIEKWWFSGKNTTCPVTKQVIVDCELTPNHTLRRLIQSWCLLNASHGIERIPTPKPPISKAQIIKLLDDAKSPQQQIKCLKRLQSIASQNVTNKRCMESSGAVEFLVSIVSNNDSTVAEESTIDEALSILYSLQLSEAALKKLMAKNGDFIVSLTRVMQGGSYESRVFAVLLLKSMVEMAGPMQLINLRPELFVELVKVLCDQISQQATKAALKLLVYTCPLGRNKIKAAEAGVVPVLINMLLDSSEKRACEMILTVLDAVCGCAEGRLELISHGAGLAIVSKKILRVSQVASERAVRILLSISKFCATINVLQEMLQLGVVTKLCLVLQLDCGYKTKEKAREVLKLHARVWKNSPCLPTYLLSSYPA >KJB14421 pep chromosome:Graimondii2_0_v6:2:18133313:18133813:-1 gene:B456_002G124200 transcript:KJB14421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFSFSAELSWYTFSLDGHNLEDSKQSTADMSVFVQNLLQQMQSRFQTMSESIITKNILFVYL >KJB12272 pep chromosome:Graimondii2_0_v6:2:583322:586861:-1 gene:B456_002G009000 transcript:KJB12272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTMFLPLLLLHQSTAAASTSVSTECTVSTMDDYPVPVRREVYGDGRIFDISHRYTMDMPSWERKDGLGRFLWLPASMKNGSLANNSLMKLPTHSGTHVDAPGHMIDRYFDAGFDVDTLDLEVLNGPALLVDVPRDSNITAKVMESLKIPKGVRRVLFRTLNTDRRLMFKSEYDSSYVGFTTEGARWLVKNTDIKLVGVDFLSVACEDHMVSAHLEFFESREIILVEGLKLDDVPTGIYNVHCLPLRLLGAEGSPIRCILIK >KJB12271 pep chromosome:Graimondii2_0_v6:2:583322:586861:-1 gene:B456_002G009000 transcript:KJB12271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTMFLPLLLLHQSTAAASTSVSTECTVSTMDDYPVPVRREVYGDGRIFDISHRYTMDMPSWERKDGLGRFLWLPASMKNGSLANNSLMKLPTHSGTHVDAPGHMIDRYFDAGFDVDTLDLEVLNGVCLNAAGPALLVDVPRDSNITAKVMESLKIPKGVRRVLFRTLNTDRRLMFKSEYDSSYVGFTTEGARWLVKNTDIKLVGVDFLSVACEDHMVSAHLEFFESREIILVEGLKLDDVPTGIYNVHCLPLRLLGAEGSPIRCILIK >KJB12274 pep chromosome:Graimondii2_0_v6:2:583526:586912:-1 gene:B456_002G009000 transcript:KJB12274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTMFLPLLLLHQSTAAASTSVSTECTVSTMDDYPVPVRREVYGDGRIFDISHRYTMDMPSWERKDGLGRFLWLPASMKNGSLANNSLMKLPTHSGTHVDAPGHMIDRYFDAGFDVDTLDLEVLNGPALLVDVPRDSNITAKVMESLKIPKGVRRVLFRTLNTDRRLMFKSEYDSSYVGFTTEGARWLVKNTDIKLVGVDFLSVACEDHMVSAHLEFFESRVRNFLQFNSFSFP >KJB12273 pep chromosome:Graimondii2_0_v6:2:583161:586912:-1 gene:B456_002G009000 transcript:KJB12273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTMFLPLLLLHQSTAAASTSVSTECTVSTMDDYPVPVRREVYGDGRIFDISHRYTMDMPSWERKDGLGRFLWLPASMKNGSLANNSLMKLPTHSGTHVDAPGHMIDRYFDAGFDVDTLDLEVLNAKVMESLKIPKGVRRVLFRTLNTDRRLMFKSEYDSSYVGFTTEGARWLVKNTDIKLVGVDFLSVACEDHMVSAHLEFFESREIILVEGLKLDDVPTGIYNVHCLPLRLLGAEGSPIRCILIK >KJB12734 pep chromosome:Graimondii2_0_v6:2:2542604:2543899:1 gene:B456_002G033700 transcript:KJB12734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVISDSVLLASEILLLSCFYLQSGTASDTITPSKSIKDPEIIISQSGVFRLGFFSFANSSNRYVGILYHQIPVQIVVWVANRNKPLKDSSGILNISDDGNLVVSNGKAEVLWSSHVNNTAPNATTAQLLDFGNLVLNNGEDGGSSLWESFQDPSNAFIETMEIGTDVKKGRKVELKSWKNIDDPSDGNFSFAIEPFNIPEGIIWKNNKLYFRTGPWNENIFLGVILTDYLDGFYMAADNQQQTYYLTYKFSNNSMSMYYELNSQGNFVERQWDAGKGDWINRYPILQTDCDYYGKCGPFGICDSTKRPICSCLKGFKPRNIEGWSKDDRFLKLKMMKMPAFLDRSSLINRDCKDQCLKNCLRVAYAYDDGLGCMFWGGDLIDVQKFSSHGVDLYIRLPSSEF >KJB14108 pep chromosome:Graimondii2_0_v6:2:15591100:15594571:1 gene:B456_002G111500 transcript:KJB14108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEQNRFPQQERQKRWGGCWGAFSCFGGTQKGGKRIVPASRMPEGNASATQPNNGTQAVAGLTNQATTLAPSLLAPPSSPASFTNSALPSTAQSPSCFLSLSANSPGGPSSTMFATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFARFLTSSADLESANKTNYIAANDLQATYSLYPGSPASSLRSPISRTSADCLSSSFPEREFPPQWDPSISPQNGKHSRSGSGRLFGHDVTGSSIGSQDTNFFCPATFAEFYLDQNPLPHAGGRLSVSKDSDVYPTSCSGHQNKQSKSPKQDVEELEAYRASFGFSADEIITTTQYVEITDVTDDSFTMRPFPIDKPAFEESTEPASIAKGSKTDRIQENFLDEKKGKSKSDIVNWAVHHDVQVACNGYEDQKSRRQVGDVSGSSTPINHTLTDEEDIYLKKSSSRSRKYQLGLSSSDAEIDYRRGRSLRGKGDFECH >KJB14109 pep chromosome:Graimondii2_0_v6:2:15591761:15594571:1 gene:B456_002G111500 transcript:KJB14109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEGNASATQPNNGTQAVAGLTNQATTLAPSLLAPPSSPASFTNSALPSTAQSPSCFLSLSANSPGGPSSTMFATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFARFLTSSADLESANKTNYIAANDLQATYSLYPGSPASSLRSPISRTSADCLSSSFPEREFPPQWDPSISPQNGKHSRSGSGRLFGHDVTGSSIGSQDTNFFCPATFAEFYLDQNPLPHAGGRLSVSKDSDVYPTSCSGHQNKQSKSPKQDVEELEAYRASFGFSADEIITTTQYVEITDVTDDSFTMRPFPIDKPAFEESTEPASIAKGSKTDRIQENFLDEKKGKSKSDIVNWAVHHDVQVACNGYEDQKSRRQVGDVSGSSTPINHTLTDEEDIYLKKSSSRSRKYQLGLSSSDAEIDYRRGRSLRGKGDFECH >KJB14107 pep chromosome:Graimondii2_0_v6:2:15591067:15594597:1 gene:B456_002G111500 transcript:KJB14107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEQNRFPQQERKRWGGCWGAFSCFGGTQKGGKRIVPASRMPEGNASATQPNNGTQAVAGLTNQATTLAPSLLAPPSSPASFTNSALPSTAQSPSCFLSLSANSPGGPSSTMFATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFARFLTSSADLESANKTNYIAANDLQATYSLYPGSPASSLRSPISRTSADCLSSSFPEREFPPQWDPSISPQNGKHSRSGSGRLFGHDVTGSSIGSQDTNFFCPATFAEFYLDQNPLPHAGGRLSVSKDSDVYPTSCSGHQNKQSKSPKQDVEELEAYRASFGFSADEIITTTQYVEITDVTDDSFTMRPFPIDKPAFEESTEPASIAKGSKTDRIQENFLDEKKGKSKSDIVNWAVHHDVQVACNGYEDQKSRRQVGDVSGSSTPINHTLTDEEDIYLKKSSSRSRKYQLGLSSSDAEIDYRRGRSLRGKGDFECH >KJB14106 pep chromosome:Graimondii2_0_v6:2:15591067:15593962:1 gene:B456_002G111500 transcript:KJB14106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEQNRFPQQERKRWGGCWGAFSCFGGTQKGGKRIVPASRMPEGNASATQPNNGTQAVAGLTNQATTLAPSLLAPPSSPASFTNSALPSTAQSPSCFLSLSANSPGGPSSTMFATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFARFLTSSADLESANKTNYIAANDLQATYSLYPGSPASSLRSPISRTSADCLSSSFPEREFPPQWDPSISPQNGKHSRSGSGRLFGHDVTGSSIGSQDTNFFCPATFAEFYLDQNPLPHAGGRLSVSKDSDVYPTSCSGHQNKQSKSPKQDVEELEAYRASFGFSADEIITTTQYVEITDVTDDSFTMRPFPIDKPAFEESTEPASIAKGSKTDRIQENFLDEKKGKSKSDIVNWAVHHDVQVACNGYEGKMPSS >KJB14110 pep chromosome:Graimondii2_0_v6:2:15591557:15594597:1 gene:B456_002G111500 transcript:KJB14110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEGNASATQPNNGTQAVAGLTNQATTLAPSLLAPPSSPASFTNSALPSTAQSPSCFLSLSANSPGGPSSTMFATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFARFLTSSADLESANKTNYIAANDLQATYSLYPGSPASSLRSPISRTSADCLSSSFPEREFPPQWDPSISPQNGKHSRSGSGRLFGHDVTGSSIGSQDTNFFCPATFAEFYLDQNPLPHAGGRLSVSKDSDVYPTSCSGHQNKQSKSPKQDVEELEAYRASFGFSADEIITTTQYVEITDVTDDSFTMRPFPIDKPAFEESTEPASIAKGSKTDRIQENFLDEKKGKSKSDIVNWAVHHDVQVACNGYEDQKSRRQVGDVSGSSTPINHTLTDEEDIYLKKSSSRSRKYQLGLSSSDAEIDYRRGRSLRGKGDFECH >KJB12035 pep chromosome:Graimondii2_0_v6:2:24026956:24029631:-1 gene:B456_002G139400 transcript:KJB12035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEMSLRMDRLSMGDQQILQEDLSSDEGELVNSSCLIFEYFERDPPYSREPLADKIADLAFQFPELKTLKSCDLLSSSWISVSWYPIYRIPTGPTLKDLDACFLTYHYLHTPIGGGESAQAPFITCPNDMDDVPKMSLPVFGLASYKFKGSLWTPNGTTDCHLANSLFQAADNWLRLLHVNQPDFTFFCRR >KJB12041 pep chromosome:Graimondii2_0_v6:2:24028551:24029529:-1 gene:B456_002G139400 transcript:KJB12041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVAHLPVLQPIQDSDSDFRDSSSDGSSDCEPERGSNVLRETRNHYMASEMSLRMDRLSMGDQQILQEDLSSDEGELVNSSCLIFEYFERDPPYSREPLADKVNMCYAFSLLFPFYSRINACLLNLICANRLQIWPSSSLN >KJB12040 pep chromosome:Graimondii2_0_v6:2:24027573:24029529:-1 gene:B456_002G139400 transcript:KJB12040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVAHLPVLQPIQDSDSDFRDSSSDGSSDCEPERGSNVLRETRNHYMASEMSLRMDRLSMGDQQILQEDLSSDEGELVNSSCLIFEYFERDPPYSREPLADKIADLAFQFPELKTLKSCDLLSSSWISVSWYPIYRIPTGPTLKDLDACFLTYHYLHTPIGGGESAQAPFITCPNDMDDVPKMSLPVFGLASYKFKGSLWTPNGTTDCHLANSLFQAADNWLRLLHVNQPDFTFFCRR >KJB12042 pep chromosome:Graimondii2_0_v6:2:24028030:24030022:-1 gene:B456_002G139400 transcript:KJB12042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVAHLPVLQPIQDSDSDFRDSSSDGSSDCEPERGSNVLRETRNHYMASEMSLRMDRLSMGDQQILQEDLSSDEGELVNSSCLIFEYFERDPPYSREPLADKIADLAFQFPELKTLKSCDLLSSSWISVSWYPIYRIPTGPTLKDLDACFLTYHYLHTPIGGNIEYFKFFHFQ >KJB12038 pep chromosome:Graimondii2_0_v6:2:24026956:24029658:-1 gene:B456_002G139400 transcript:KJB12038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEAREAGLQTFRWQPIQDSDSDFRDSSSDGSSDCEPERGSNVLRETRNHYMASEMSLRMDRLSMGDQQILQEDLSSDEGELVNSSCLIFEYFERDPPYSREPLADKFPELKTLKSCDLLSSSWISVSWYPIYRIPTGPTLKDLDACFLTYHYLHTPIGGGESAQAPFITCPNDMDDVPKMSLPVFGLASYKFKGSLWTPNGTTDCHLANSLFQAADNWLRLLHVNQPDFTFFCRR >KJB12037 pep chromosome:Graimondii2_0_v6:2:24026956:24030022:-1 gene:B456_002G139400 transcript:KJB12037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRWGWKHVKQGCRPLGGGQPIQDSDSDFRDSSSDGSSDCEPERGSNVLRETRNHYMASEMSLRMDRLSMGDQQILQEDLSSDEGELVNSSCLIFEYFERDPPYSREPLADKIADLAFQFPELKTLKSCDLLSSSWISVSWYPIYRIPTGPTLKDLDACFLTYHYLHTPIGGGESAQAPFITCPNDMDDVPKMSLPVFGLASYKFKGSLWTPNGTTDCHLANSLFQAADNWLRLLHVNQPDFTFFCRR >KJB12039 pep chromosome:Graimondii2_0_v6:2:24028551:24029529:-1 gene:B456_002G139400 transcript:KJB12039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVAHLPVLQPIQDSDSDFRDSSSDGSSDCEPERGSNVLRETRNHYMASEMSLRMDRLSMGDQQILQEDLSSDEGELVNSSCLIFEYFERDPPYSREPLADKVNMCYAFSLLFPFYSRINACLLNLICANRLQIWPSSSLN >KJB12036 pep chromosome:Graimondii2_0_v6:2:24026956:24029620:-1 gene:B456_002G139400 transcript:KJB12036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEMSLRMDRLSMGDQQILQEDLSSDEGELVNSSCLIFEYFERDPPYSREPLADKIADLAFQFPELKTLKSCDLLSSSWISVSWYPIYRIPTGPTLKDLDACFLTYHYLHTPIGGGESAQAPFITCPNDMDDVPKMSLPVFGLASYKFKGSLWTPNGTTDCHLANSLFQAADNWLRLLHVNQPDFTFFCRR >KJB16201 pep chromosome:Graimondii2_0_v6:2:56785113:56787899:1 gene:B456_002G217200 transcript:KJB16201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEMDFPMKRHCWENQGWSLEKGASRQNPKGVLERYTKRARELACLQKISHRKFEHPRHGSLGFLPRKRASRHRGRVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGPKLHKKETCEAVTIIETPPMVVVGIVGYVKTPRGLRTLGSVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKKLETEDGKNDIQLQLEKLKKYCTVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVDFAYGFFEKRIPVDAVFQKDEMIDITGVTKGNGFEGVVTRWGVTRLPRKTHRGLRKVA >KJB16202 pep chromosome:Graimondii2_0_v6:2:56785113:56788041:1 gene:B456_002G217200 transcript:KJB16202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEMDFPMKRHCWENQGWSLEKGASRQNPKGVLERYTKRARELACLQKISHRKFEHPRHGSLGFLPRKRASRHRGRVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGPKLHKKETCEAVTIIETPPMVVVGIVGYVKTPRGLRTLGSVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKKLETEDGKNDIQLQLEKLKKYCTVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVDFAYGFFEKRIPVDAVFQKDEMIDITGVTKGNGFEGVVTRWGVTRLPRKTHRARVSFTVARAGQNGYHHRTEMNKKVYKLGKAGHESHAAMTDYDRTEKEITPMGGFPHYGVVKEDYLVIKGRGGQSLVNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGKLKA >KJB15905 pep chromosome:Graimondii2_0_v6:2:54437591:54438058:-1 gene:B456_002G202800 transcript:KJB15905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSKNLIRMTRKWQKIASIGSKRIASTRTSKIYIDAAKHSNESSVVDKGCFAIYTIDKRCFVIPLAFLSNSSFLELFKMSEEEFGLPGDGPIKLPCDSVVMNYIVSIVKRGLAKDMEKAVLNSIPTYRCSSDVYFNQVDADQQSLCHGFQVNWL >KJB12373 pep chromosome:Graimondii2_0_v6:2:962717:969429:-1 gene:B456_002G014900 transcript:KJB12373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILNLSGHTSGIDSVTFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNIVKVWDLTAGKLLHQFKDHEGQIQCLDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETAGVRCLTFNPDGRTVLCGLHENLKVFSWEPIRCYDGVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAVGNAKRVNGHSEPKSHSGGNLSVLNENTTKASMGRLPVSQNPDPLVKETKSLGRLSISQNSDPAKESKTTGNAPGTPHRVNLNAARKTAQQRSVTVPRRSSTRANSAVTVPTFSKSDVVPVLVPRNDMRSEQAAELRKEVGISERSLEQVTESRREVGITGRSLESSQSAAESRKEAGIVGRTLEQGADSRKELGIVGRTMPFSLQSKTSSFRKFQNSREDLDWPAISAPLENAGSRVEFSSGSDRSTLPSVKGPINGMSAAEMNVREDRCIGSVRTELNSVVELPSSYRDENYDAQVQKSHRDAYPLESQKGGRTRSVILNLEKRRRSNFDGPTLCASPRNASVANMPSFDVYKQGRRYSSSVEKEMPSATDEDAVADVMEQHDQFIGSMQSRLAKLQVVHRLWERNDIKGAISAMEKMSDHGVLADVMSIVTEKIDIVTLEICTSLLPLLSGLLGSDMDRHLSICLDMLLKLVRIFGSMIYSTLSALAPAGVDIEAEQRFERCNLCFIELEKVKQCLPTLTRRGGSVAKSAQELNLALQEVS >KJB12375 pep chromosome:Graimondii2_0_v6:2:962180:969834:-1 gene:B456_002G014900 transcript:KJB12375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILNLSGHTSGIDSVTFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNIVKVWDLTAGKLLHQFKDHEGQIQCLDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETAGVRCLTFNPDGRTVLCGLHENLKVFSWEPIRCYDGVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAVGNAKRVNGHSEPKSHSGGNLSVLNENTTKASMGRLPVSQNPDPLVKETKSLGRLSISQNSDPAKESKTTGNAPGTPHRVNLNAARKTAQQRSVTVPRRSSTRANSAVTVPTFSKSDVVPVLVPRNDMRSEQAAELRKEVGISERSLEQVTESRREVGITGRSLESSQSAAESRKEAGIVGRTLEQGADSRKELGIVGRTMPFSLQSKTSSFRKFQNSREDLDWPAISAPLENAGSRVEFSSGSDRSTLPSVKGPINGMSAAEMNVREDRCIGSVRTELNSVVELPSSYRDENYDAQVQKSHRDAYPLESQKGGRTRSVILNLEKRRRSNFDGPTLCASPRNASVANMPSFDVYKQGRRYSSSVEKEMPSATDEDAVADVMEQHDQFIGSMQSRLAKLQVVHRLWERNDIKGAISAMEKMSDHGVLADVMSIVTEKIDIVTLEICTSLLPLLSGLLGSDMDRHLSICLDMLLKLVRIFGSMIYSTLSALAPAGVDIEAEQRFERCNLCFIELEKVKQCLPTLTRGGSVAKSAQELNLALQEVS >KJB12377 pep chromosome:Graimondii2_0_v6:2:962191:969992:-1 gene:B456_002G014900 transcript:KJB12377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILNLSGHTSGIDSVTFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNIVKVWDLTAGKLLHQFKDHEGQIQCLDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETAGVRCLTFNPDGRTVLCGLHENLKVFSWEPIRCYDGVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAVGNAKRVNGHSEPKSHSGGNLSVLNENTTKASMGRLPVSQNPDPLVKETKSLGRLSISQNSDPAKESKTTGNAPGTPHRVNLNAARKTAQQRNDMRSEQAAELRKEVGISERSLEQVTESRREVGITGRSLESSQSAAESRKEAGIVGRTLEQGADSRKELGIVGRTMPFSLQSKTSSFRKFQNSREDLDWPAISAPLENAGSRVEFSSGSDRSTLPSVKGPINGMSAAEMNVREDRCIGSVRTELNSVVELPSSYRDENYDAQVQKSHRDAYPLESQKGGRTRSVILNLEKRRRSNFDGPTLCASPRNASVANMPSFDVYKQGRRYSSSVEKEMPSATDEDAVADVMEQHDQFIGSMQSRLAKLQVVHRLWERNDIKGAISAMEKMSDHGVLADVMSIVTEKIDIVTLEICTSLLPLLSGLLGSDMDRHLSICLDMLLKLVRIFGSMIYSTLSALAPAGVDIEAEQRFERCNLCFIELEKVKQCLPTLTRGGSVAKSAQELNLALQEVS >KJB12374 pep chromosome:Graimondii2_0_v6:2:962717:969429:-1 gene:B456_002G014900 transcript:KJB12374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILNLSGHTSGIDSVTFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNIVKVWDLTAGKLLHQFKDHEGQIQCLDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETAGVRCLTFNPDGRTVLCGLHENLKVFSWEPIRCYDGVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAVGNAKRVNGHSEPKSHSGGNLSVLNENTTKASMGRLPVSQNPDPLVKETKSLGRLSISQNSDPAKESKTTGNAPGTPHRVNLNAARKTAQQRSVTVPRRSSTRANSAVTVPTFSKSDVVPVLVPRNDMRSEQAAELRKEVGISERSLEQVTESRREVGITGRSLESSQSAAESRKEAGIVGRTLEQGADSRKELGIVGRTMPFSLQSKTSSFRKFQNSREDLDWPAISAPLENAGSRVEFSSGSDRSTLPSVKGPINGMSAAEMNVREDRCIGSVRTELNSVVELPSSYRDENYDAQVQKSHRDAYPLESQKGVNYSGRTRSVILNLEKRRRSNFDGPTLCASPRNASVANMPSFDVYKQGRRYSSSVEKEMPSATDEDAVADVMEQHDQFIGSMQSRLAKLQVVHRLWERNDIKGAISAMEKMSDHGVLADVMSIVTEKIDIVTLEICTSLLPLLSGLLGSDMDRHLSICLDMLLKLVRIFGSMIYSTLSALAPAGVDIEAEQRFERCNLCFIELEKVKQCLPTLTRRGGSVAKSAQELNLALQEVS >KJB12372 pep chromosome:Graimondii2_0_v6:2:962180:969834:-1 gene:B456_002G014900 transcript:KJB12372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILNLSGHTSGIDSVTFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNIVKVWDLTAGKLLHQFKDHEGQIQCLDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETAGVRCLTFNPDGRTVLCGLHENLKVFSWEPIRCYDGVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAVGNAKRVNGHSEPKSHSGGNLSVLNENTTKASMGRLPVSQNPDPLVKETKSLGRLSISQNSDPAKESKRNAPGTPHRVNLNAARKTAQQRSVTVPRRSSTRANSAVTVPTFSKSDVVPVLVPRNDMRSEQAAELRKEVGISERSLEQVTESRREVGITGRSLESSQSAAESRKEAGIVGRTLEQGADSRKELGIVGRTMPFSLQSKTSSFRKFQNSREDLDWPAISAPLENAGSRVEFSSGSDRSTLPSVKGPINGMSAAEMNVREDRCIGSVRTELNSVVELPSSYRDENYDAQVQKSHRDAYPLESQKGGRTRSVILNLEKRRRSNFDGPTLCASPRNASVANMPSFDVYKQGRRYSSSVEKEMPSATDEDAVADVMEQHDQFIGSMQSRLAKLQVVHRLWERNDIKGAISAMEKMSDHGVLADVMSIVTEKIDIVTLEICTSLLPLLSGLLGSDMDRHLSICLDMLLKLVRIFGSMIYSTLSALAPAGVDIEAEQRFERCNLCFIELEKVKQCLPTLTRRGGSVAKSAQELNLALQEVS >KJB12376 pep chromosome:Graimondii2_0_v6:2:962717:969429:-1 gene:B456_002G014900 transcript:KJB12376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILNLSGHTSGIDSVTFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNIVKVWDLTAGKLLHQFKDHEGQIQCLDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETAGVRCLTFNPDGRTVLCGLHENLKVFSWEPIRCYDGVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAVGNAKRVNGHSEPKSHSGGNLSVLNENTTKASMGRLPVSQNPDPLVKETKSLGRLSISQNSDPAKESKTTGNAPGTPHRVNLNAARKTAQQRNDMRSEQAAELRKEVGISERSLEQVTESRREVGITGRSLESSQSAAESRKEAGIVGRTLEQGADSRKELGIVGRTMPFSLQSKTSSFRKFQNSREDLDWPAISAPLENAGSRVEFSSGSDRSTLPSVKGPINGMSAAEMNVREDRCIGSVRTELNSVVELPSSYRDENYDAQVQKSHRDAYPLESQKGGRTRSVILNLEKRRRSNFDGPTLCASPRNASVANMPSFDVYKQGRRYSSSVEKEMPSATDEDAVADVMEQHDQFIGSMQSRLAKLQVVHRLWERNDIKGAISAMEKMSDHGVLADVMSIVTEKIDIVTLEICTSLLPLLSGLLGSDMDRHLSICLDMLLKLVRIFGSMIYSTLSALAPAGVDIEAEQRFERCNLCFIELEKVKQCLPTLTRRGGSVAKSAQELNLALQEVS >KJB12691 pep chromosome:Graimondii2_0_v6:2:2325219:2328191:-1 gene:B456_002G031600 transcript:KJB12691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRRQSQGPLSKGDQIEVKRPNGAYYAATVLRPPSAMQINMVFVEYHAEDGSKCVRGYVDLTHVRPSPPLELNRCFKIGDAVDAYWENGWHQGVVRDLLKDSKYVVGFHGNEGKVEEKSEIHQCNLRLHREWDDGSWVPSMAELANSSNENDDKPRNVKLKIVFRKKQADAEFRKGDEVEITSDEEGFRGSWYNAVIVEYRGNDKYLVEYSTSRKEDGVPLRAEAKAQHIRPCPPELSPVASFCLREVVDARYNDGWWIGVISRVLDGSKYAVYFSLPDEELEFDHLKLRIHQDWKNGKWIIASEENSRPLVMNSNRLLHKMDVNEKRFRVKFPKGTRVEVKSDEPGYEGSWYSAIIVDSLGNDKYLVEYLTLKTEDLGAFLREEAYASYIRPRPQHARCTRRYKLFENVDAWYNDGWWIGQVIKVLTTWKYAVYFQTTNEVMEFKHNDLRLHQEWINGKWIIPSKDTI >KJB12692 pep chromosome:Graimondii2_0_v6:2:2325382:2328016:-1 gene:B456_002G031600 transcript:KJB12692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRRQSQGPLSKGDQIEVKRPNGAYYAATVLRPPSAMQINMVFVEYHAEDGSKCVRGYVDLTHVRPSPPLELNRCFKIGDAVDAYWENGWHQGVVRDLLKDSKYVVGFHGNEGKVEEKSEIHQCNLRLHREWDDGSWVPSMAELANSSNENDDKPRNVKLKIVFRKKQADAEFRKGDEVEITSDEEGFRGSWYNAVIVEYRGNDKYLVEYSTSRKEDGVPLRAEAKAQHIRPCPPELSPVASFCLREVVDARYNDGWWIGVISRVLDGSKYAVYFSLPDEELEFDHLKLRIHQDWKNGKWIIASEENSRPLVMNSNRLLHKMDVNEKRFRVKFPKGTRVEVKSDEPGYEGSWYSAIIVDSLGNDKYLVEYLTLKTEDLGAFLREEAYASYIRPRPQHARCTRRYKLFENVDAWYNDGWWIGQVIKVLTTWKYAVYFQTTNEVMEFKHNDLRLHQEWINGKWIIPSKVRKSRIYKKKTCL >KJB12986 pep chromosome:Graimondii2_0_v6:2:4243437:4246114:1 gene:B456_002G049400 transcript:KJB12986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIIPHQIGNLKHLELLNLGFNNIVGSIPPAIFNSTLSSISLELNHLSGHLPSDMGLWLPNLENLYLGVNQLHGSFSKSICNASQLTQLDVSQNYFSGSIPDNLGNLRNLKVLDLQDNNLTSLGLSFLSSLTNCRGLEYLIFGENQLLSGQLPGLVGNLSRSLRRFDASFCNISGSIPSEIGNLSSLISIVLFDSKLTGTIPTTVGGLKELQSLSLENNKLEGSIPFELCHLNKLAFLFLTNNKLSGPIPACLGNLISLRNLFLGSNMFSSSIPSSWTGLNDLLILNLSYNSLSGPLPIDMGKWKVLTSMDLSNNQFSSNIPTGVANLKDLTHFSLSNNRITGSIPESFGDLLSLEFLDLSRNNLSGEIPKSLENLRFLKYFNVSFNRLQGEIPKGGPFGNYSIESFKGNEALCGAAQLHVPCSKTRPLRNSKVRTKLIISVALPIASAILVLALIIIILRRRKRKDRSTTQEDSTPLGTWRRISYHELHQATDGFSGRRLLGNGSYGSVYQGTLLDGMEFAVKVFKLELEGAFKSFDVECEVLRNIRHRNLIKIISSCSNDLDFKALVLEFMSNGSLDKWLYSNNHSLDILQRLNIMIDVASALEYLHHGNATPVVHCDLKPSNVLLDEDMVAHLSDFGIAKLLSEEDSMIQTMTMATIGYMAPEYGIEGIVSTKGDVYSFGILMMETITRKKPTNEMFEGETSLRSWVEESLSSSLNQVLDTNLQSSIGRKRSATDNCALSILLVGLECSVEAPDERLDMKETVTKLKKIKVRLLKDIGRVR >KJB14609 pep chromosome:Graimondii2_0_v6:2:21145239:21147837:-1 gene:B456_002G133800 transcript:KJB14609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGSVMAMLLLMMFTLSSASDMSIISYDETHPDKSTSSWRTDDEVMAMYEEWLVKHGKTYNGLGEKERRFQIFKDNLRFIDEHNADESQSFKVGLNRFADLTNEEYRAIYLGIKKANRKVSKASDRYAALLGEKLPDSVDWREKGAVAEVKDQGSCGSCWAFSTIAAVEGINQIVTGELLSLSEQELVDCDTSYNEGCNGGLMDYAFEFIIKNGGIDTEEDYPYTARDGTCDPYRKNAKVVSINDYEDVPVNDEKALKKAVANQPVSVAIEAGGRSFQLYQSGIFDGKCGTQLDHGVTAVGYGTEKGKDYWIVKNSWGSSWGEAGYIRMARNVANTVTGKCGIAMEASYPIKTGENPPNPGPSPPSPIKPPTVCDSYYSCPESNTCCCIYEYYNYCFAWGCCPLEAATCCEDRYSCCPHDYPVCNIHEGTCLMVRLSPKSSILSFYLFQLKFLTVVCLAEQGQSFGSEGTEAHSG >KJB14610 pep chromosome:Graimondii2_0_v6:2:21144658:21147996:-1 gene:B456_002G133800 transcript:KJB14610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGSVMAMLLLMMFTLSSASDMSIISYDETHPDKSTSSWRTDDEVMAMYEEWLVKHGKTYNGLGEKERRFQIFKDNLRFIDEHNADESQSFKVGLNRFADLTNEEYRAIYLGIKKANRKVSKASDRYAALLGEKLPDSVDWREKGAVAEVKDQGSCGSCWAFSTIAAVEGINQIVTGELLSLSEQELVDCDTSYNEGCNGGLMDYAFEFIIKNGGIDTEEDYPYTARDGTCDPYRKNAKVVSINDYEDVPVNDEKALKKAVANQPVSVAIEAGGRSFQLYQSGIFDGKCGTQLDHGVTAVGYGTEKGKDYWIVKNSWGSSWGEAGYIRMARNVANTVTGKCGIAMEASYPIKTGENPPNPGPSPPSPIKPPTVCDSYYSCPESNTCCCIYEYYNYCFAWGCCPLEAATCCEDRYSCCPHDYPVCNIHEGTCLMSKGNPLAVKALKRTPAKPFWAH >KJB14606 pep chromosome:Graimondii2_0_v6:2:21144658:21147859:-1 gene:B456_002G133800 transcript:KJB14606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNTGSCWAFSTIAAVEGINQIVTGELLSLSEQELVDCDTSYNEGCNGGLMDYAFEFIIKNGGIDTEEDYPYTARDGTCDPYRKNAKVVSINDYEDVPVNDEKALKKAVANQPVSVAIEAGGRSFQLYQSGIFDGKCGTQLDHGVTAVGYGTEKGKDYWIVKNSWGSSWGEAGYIRMARNVANTVTGKCGIAMEASYPIKTGENPPNPGPSPPSPIKPPTVCDSYYSCPESNTCCCIYEYYNYCFAWGCCPLEAATCCEDRYSCCPHDYPVCNIHEGTCLMSKGNPLAVKALKRTPAKPFWAH >KJB14608 pep chromosome:Graimondii2_0_v6:2:21144658:21147953:-1 gene:B456_002G133800 transcript:KJB14608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGSVMAMLLLMMFTLSSASDMSIISYDETHPDKSTSSWRTDDEVMAMYEEWLVKHGKTYNGLGEKERRFQIFKDNLRFIDEHNADESQSFKVGLNRFADLTNEEYRAIYLGIKKANRKKNAKVVSINDYEDVPVNDEKALKKAVANQPVSVAIEAGGRSFQLYQSGIFDGKCGTQLDHGVTAVGYGTEKGKDYWIVKNSWGSSWGEAGYIRMARNVANTVTGKCGIAMEASYPIKTGENPPNPGPSPPSPIKPPTVCDSYYSCPESNTCCCIYEYYNYCFAWGCCPLEAATCCEDRYSCCPHDYPVCNIHEGTCLMSKGNPLAVKALKRTPAKPFWAH >KJB14607 pep chromosome:Graimondii2_0_v6:2:21144658:21147953:-1 gene:B456_002G133800 transcript:KJB14607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYAFEFIIKNGGIDTEEDYPYTARDGTCDPYRKNAKVVSINDYEDVPVNDEKALKKAVANQPVSVAIEAGGRSFQLYQSGIFDGKCGTQLDHGVTAVGYGTEKGKDYWIVKNSWGSSWGEAGYIRMARNVANTVTGKCGIAMEASYPIKTGENPPNPGPSPPSPIKPPTVCDSYYSCPESNTCCCIYEYYNYCFAWGCCPLEAATCCEDRYSCCPHDYPVCNIHEGTCLMSKGNPLAVKALKRTPAKPFWAH >KJB13520 pep chromosome:Graimondii2_0_v6:2:9516310:9518971:-1 gene:B456_002G079200 transcript:KJB13520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFNTGTNWWDSSRTAGSSSSSSGLNNSFAWATEMVDIKAARSPMDSVSSVSGSSVVFHDNPKLQVGLGPDHHHHHHHHHHHPPSDLQMMGLGLPSQAMDWNQALLRGDKSEVSFRSMLQDHNLNASNANYPHQQQETGGIGSSQLHWRDKMFTGDAELKQIGRGFSLDQSQFSPHGSSSDSTVTCQSLPSSFQMDSSTALYGSPSTILQGLLGSENQPQQQQQQQQSSYENRSMNYQYGAAAATSYGMNTNDQLLPNALSKVPQFLRSSPPKQQLHGQLHFSNNAPFWNPSAAAAASMTDHVRPGFFPSLQTQFQTGTFDEKPKNISEVRETSTVVKKSGNEPASTKRPRNETPSTMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHAQVLSTPYMKNEATIQHHQQNPEKSKDPAEGPKQDLRSRGLCLVPVSSTFPLTNESPVDFWTPTFGGTFR >KJB13521 pep chromosome:Graimondii2_0_v6:2:9516640:9518971:-1 gene:B456_002G079200 transcript:KJB13521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFNTGTNWWDSSRTAGSSSSSSGLNNSFAWATEMVDIKAARSPMDSVSSVSGSSVVFHDNPKLQVGLGPDHHHHHHHHHHHPPSDLQMMGLGLPSQAMDWNQALLRGDKSEVSFRSMLQDHNLNASNANYPHQQQETGGIGSSQLHWRDKMFTGDAELKQIGRGFSLDQSQFSPHGSSSDSTVTCQSLPSSFQMDSSTALYGSPSTILQGLLGSENQPQQQQQQQQSSYENRSMNYQYGAAAATSYGMNTNDQLLPNALSKVPQFLRSSPPKQQLHGQLHFSNNAPFWNPSAAAAASMTDHVRPGFFPSLQTQFQTGTFDEKPKNISEVRETSTVVKKSGNEPASTKRPRNETPSTMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHAQVSQVLSTPYMKNEATIQHHQQNPEKSKDPAEGPKQDLRSRGLCLVPVSSTFPLTNESPVDFWTPTFGGTFR >KJB13523 pep chromosome:Graimondii2_0_v6:2:9517131:9518971:-1 gene:B456_002G079200 transcript:KJB13523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFNTGTNWWDSSRTAGSSSSSSGLNNSFAWATEMVDIKAARSPMDSVSSVSGSSVVFHDNPKLQVGLGPDHHHHHHHHHHHPPSDLQMMGLGLPSQAMDWNQALLRGDKSEVSFRSMLQDHNLNASNANYPHQQQETGGIGSSQLHWRDKMFTGDAELKQIGRGFSLDQSQFSPHGSSSDSTVTCQSLPSSFQMDSSTALYGSPSTILQGLLGSENQPQQQQQQQQSSYENRSMNYQYGAAAATSYGMNTNDQLLPNALSKVPQFLRSSPPKQQLHGQLHFSNNAPFWNPSAAAAASMTDHVRPGFFPSLQTQFQTGTFDEKPKNISEVRETSTVVKKSGNEPASTKRPRNETPSTMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHAQVSQVLSTPYMKNEATIQHHQQVLLIYY >KJB13519 pep chromosome:Graimondii2_0_v6:2:9517370:9518971:-1 gene:B456_002G079200 transcript:KJB13519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFNTGTNWWDSSRTAGSSSSSSGLNNSFAWATEMVDIKAARSPMDSVSSVSGSSVVFHDNPKLQVGLGPDHHHHHHHHHHHPPSDLQMMGLGLPSQAMDWNQALLRGDKSEVSFRSMLQDHNLNASNANYPHQQQETGGIGSSQLHWRDKMFTGDAELKQIGRGFSLDQSQFSPHGSSSDSTVTCQSLPSSFQMDSSTALYGSPSTILQGLLGSENQPQQQQQQQQSSYENRSMNYQYGAAAATSYGMNTNDQLLPNALSKVPQFLRSSPPKQQLHGQLHFSNNAPFWNPSAAAAASMTDHVRPGFFPSLQTQFQTGTFDEKPKNISEVRETSTVVKKSGNEPASTKRPRNETPSTMPAFKVRKEKMGDRITALQQLVSPFGKVSFLFIFLFFLFFYCSSVFREIKVNEFFLIIYL >KJB13522 pep chromosome:Graimondii2_0_v6:2:9516310:9519236:-1 gene:B456_002G079200 transcript:KJB13522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFNTGTNWWDSSRTAGSSSSSSGLNNSFAWATEMVDIKAARSPMDSVSSVSGSSVVFHDNPKLQVGLGPDHHHHHHHHHHHPPSDLQMMGLGLPSQAMDWNQALLRGDKSEVSFRSMLQDHNLNASNANYPHQQQETGGIGSSQLHWRDKMFTGDAELKQIGRGFSLDQSQFSPHGSSSDSTVTCQSLPSSFQMDSSTALYGSPSTILQGLLGSENQPQQQQQQQQSSYENRSMNYQYGAAAATSYGMNTNDQLLPNALSKVPQFLRSSPPKQQLHGQLHFSNNAPFWNPSAAAAASMTDHVRPGFFPSLQTQFQTGTFDEKPKNISEVRETSTVVKKSGNEPASTKRPRNETPSTMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHAQVSVLSTPYMKNEATIQHHQQNPEKSKDPAEGPKQDLRSRGLCLVPVSSTFPLTNESPVDFWTPTFGGTFR >KJB14023 pep chromosome:Graimondii2_0_v6:2:14479314:14482223:-1 gene:B456_002G107200 transcript:KJB14023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKSVGDLKEADLKGKKVFVRVDLNVPLDDNFNITDDTRIRAAVPTIKYLMGHGSKVILSSHLGRPKGVTPKYSLKPLVPRLSELLEVEVKMANDCIGEEVEKMVAALPDGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMMFTFYKAQGYAVGSSLVEEDKLDLATSLLEKAKAKGVSLLLPTDVVVADKFAADANSKVVPASGIPDGWMGLDIGPDSIKTFSESLDTTQTIIWNGPMGVFEFEKFAAGTEAVAKKLAELSGKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKQLPGVLALNDA >KJB14022 pep chromosome:Graimondii2_0_v6:2:14479314:14482211:-1 gene:B456_002G107200 transcript:KJB14022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKSVGDLKEADLKGKKVFVRVDLNVPLDDNFNITDDTRIRAAVPTIKYLMGHGSKVILSSHLGRPKGVTPKYSLKPLVPRLSELLEVEVKMANDCIGEEVEKMVAALPDGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMMFTFYKAQGYAVGSSLVEEDKLDLATSLLEKAKAKGVSLLLPTDVVVADKFAADANSKVVPASGIPDGWMGLDIGPDSIKTFSESLDTTQTIIWNGPMGVFEFEKFAAGTEAVAKKLAELSGKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKQLPGVLALNDA >KJB14019 pep chromosome:Graimondii2_0_v6:2:14479666:14482067:-1 gene:B456_002G107200 transcript:KJB14019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKSVGDLKEADLKGKKVFVRVDLNVPLDDNFNITDDTRIRAAVPTIKYLMGHGSKVILSSHLGRPKGVTPKYSLKPLVPRLSELLEVEVKMANDCIGEEVEKMVAALPDGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMMFTFYKAQGYAVGSSLVEEDKLDLATSLLEKAKAKGVSLLLPTDVVVADKFAADANSKVVPASGIPDGWMGLDIGPDSIKTFSESLDTTQTIIWNGPMGVFEFEKFAAGTEAVAKKLAELSGKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKQLPGVLALNDA >KJB14020 pep chromosome:Graimondii2_0_v6:2:14479286:14482243:-1 gene:B456_002G107200 transcript:KJB14020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGSKVILSSHLGRPKGVTPKYSLKPLVPRLSELLEVEVKMANDCIGEEVEKMVAALPDGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMMFTFYKAQGYAVGSSLVEEDKLDLATSLLEKAKAKGVSLLLPTDVVVADKFAADANSKVVPASGIPDGWMGLDIGPDSIKTFSESLDTTQTIIWNGPMGVFEFEKFAAGTEAVAKKLAELSGKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKQLPGVLALNDA >KJB14021 pep chromosome:Graimondii2_0_v6:2:14479314:14482243:-1 gene:B456_002G107200 transcript:KJB14021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKSVGDLKEADLKGKKVFVRVDLNVPLDDNFNITDDTRIRAAVPTIKYLMGHGSKVILSSHLGRPKGVTPKYSLKPLVPRLSELLEVEVKMANDCIGEEVEKMVAALPDGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMMFTFYKAQGYAVGSSLVEEDKLDLATSLLEKAKAKGVSLLLPTDVVVADKFAADANSKVVPASGIPDGWMGLDIGPDSIKTFSESLDTTQTIIWNGPMGVFEFEKFAAGTEAVAKKLAELSGKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKQLPGVLALNDA >KJB14024 pep chromosome:Graimondii2_0_v6:2:14479245:14482250:-1 gene:B456_002G107200 transcript:KJB14024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKSVGDLKEADLKGKKVFVRVDLNVPLDDNFNITDDTRIRAAVPTIKYLMGHGSKVILSSHLGRPKGVTPKYSLKPLVPRLSELLEVEVKMANDCIGEEVEKMVAALPDGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMMFTFYKAQGYAVGSSLVEEDKLDLATSLLEKAKAKGVSLLLPTDVVVADKFAADANSKVVPASGIPDGWMGLDIGPDSIKTFSESLDTTQTIIWNGPMGVFEFEKFAAGTEAVAKKLAELSGKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKQLPGVLALNDA >KJB17029 pep chromosome:Graimondii2_0_v6:2:62216719:62219384:1 gene:B456_002G261600 transcript:KJB17029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGLEILSPASFLQTSNWLFQESKGTKWTPQENKCFENALALYDKDTPDRWFKVAAMIPGKTVGDVIKQYRELEEDVSDIEAGLIPIPGYSSDSFTLEWVNDGQVFDGFRNYYYTPGGKRGTGIRPDQERKKGVPWTEEEHRQFLMGLKKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRQVTGGKDKRRSSIHDITTVNIPDTISSSPDQSKPLSPSNSSTVMQPQQQPKPASVAKEILDFEWNQRNEGAAIVFDQTSSGNALLSPYCGMISSYGPQFGSYNALFQSMQRP >KJB16163 pep chromosome:Graimondii2_0_v6:2:56556123:56573678:1 gene:B456_002G216000 transcript:KJB16163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKKPQKQSNRKATSASSSSSKANQATSSAPRLQISAENENRLRRLLLNSGHSTQSQSQSQSESDLNPPQDSLSKAQKAKKLKALYEKLSCEGFSNDQIELALSSLKDGATFETALDWLCLNLPRNELPLKFSSGISLHSDGGGSISVISVEREDWTPSVDASTRIKENLHGLSVRTKSSEDENSLNMCQPSQADWIRQYMEQQEEDESKTWEDEASDEGSAEEVSGPRPYDVIAKEYHAARLEATKAKEKKDKNGQEKAGSRIRKLKQELSALGLSDAVLASDFLHERASASVSEGTVTSSMPEEQPEMISLGDAECNSAACVITSGVATGSVNDTETSKELSTKSIPSLLPTQEKGDAENMSEDLEIGDFFLEDSSINDVLASEVLKLRKQEKMKELYSEKNLEKLDGIWKKGESKKIPKAVLHQLCQRSGWEAPKFDKMPAKGKSFAYSVSVLRKASGRGKSRKAGGLITLQLPNEQEAFESAEDSQNRVAAFALCQLFPDLPTQLIVTEPYSSLIFQWKGGESLTKIEDNEEDRRAGFVDRLLRDEDSRSKAPGHDTNESALNEFQKACLEDNKTSSSSVADPVYERKSHAKEMESVYLRQEEEKRKHTQRYKEMLKTRAALPVAGLKNDILQLLKENNVLVVCGETGSGKTTQVPQFILDDMIESGHGGHCSIICTQPRRIAAISVAERVADERCEPSPGSNWSLVGYQVRLDNARNEKTKLLFCTTGILLRKLAGDKNLTGVTHIIVDEVHERSLLGDFLLIVLKNLIEKQSVSNTPKLKVILMSATVDSDLFSRYFGLCPVITAQGRTHPVTTCFLEDIYERINYHLASDSPASLRCETSTRDMRGPVNNHRGKKNLVLSAWGDDSLLSEEYVNPHYDSSSYQSYSEQTQQNLKRLNEDVIDYDLLEDLVCHVDETCDKGAILIFLPGVVEIHTLLDRLAASYRFAGPSSDWLLPLHSSIAADEQKKVFLNPPDGIRKVIIATNIAETSITIDDVVYVIDCGKHKENRYNPQKKLSSMVEDWISRANAKQRRGRAGRVKPGICFCLYTRHRFEKLMRPYQVPEMLRMPLVELCLQIKLLSLGQIKPFLSKALEPPKEEAMNSAISLLYEVGAVEGDEELTPLGHHLAKLPVDVLIGKMLLYGGIFGCLSPILSISACLSYKSPFLYPKDEKQNVERAKLALLSEKLDESSDLNDAERQSDHLLMMAAYRKWEKIFREKGVKAAQRFCKMYFLSSSVMSMIRDMRIQFGTLLADIGFINLPKNYKSGGKRKENLDGWFSDYSQPFNIHSHHSAVVKAILCAGLYPNVAATELGITGVALSRLKLNPATKGHPVWYDGRREVHIHPSSINSSLKSFQHLFLVFLEKVETNKVFLRDTTIISPFSILLFGGLINIQHQSGLVVIDGWLKLTAPAQTAVLCKELRSALHSILKELIRKPEVMLFVIIYSSVVFF >KJB16164 pep chromosome:Graimondii2_0_v6:2:56556123:56573745:1 gene:B456_002G216000 transcript:KJB16164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKKPQKQSNRKATSASSSSSKANQATSSAPRLQISAENENRLRRLLLNSGHSTQSQSQSQSESDLNPPQDSLSKAQKAKKLKALYEKLSCEGFSNDQIELALSSLKDGATFETALDWLCLNLPRNELPLKFSSGISLHSDGGGSISVISVEREDWTPSVDASTRIKENLHGLSVRTKSSEDENSLNMCQPSQADWIRQYMEQQEEDESKTWEDEASDEGSAEEVSGPRPYDVIAKEYHAARLEATKAKEKKDKNGQEKAGSRIRKLKQELSALGLSDAVLASDFLHERASASVSEGTVTSSMPEEQPEMISLGDAECNSAACVITSGVATGSVNDTETSKELSTKSIPSLLPTQEKGDAENMSEDLEIGDFFLEDSSINDVLASEVLKLRKQEKMKELYSEKNLEKLDGIWKKGESKKIPKAVLHQLCQRSGWEAPKFDKMPAKGKSFAYSVSVLRKASGRGKSRKAGGLITLQLPNEQEAFESAEDSQNRVAAFALCQLFPDLPTQLIVTEPYSSLIFQWKGGESLTKIEDNEEDRRAGFVDRLLRDEDSRSKAPGHDTNESALNEFQKACLEDNKTSSSSVADPVYERKSHAKEMESVYLRQEEEKRKHTQRYKMLKTRAALPVAGLKNDILQLLKENNVLVVCGETGSGKTTQVPQFILDDMIESGHGGHCSIICTQPRRIAAISVAERVADERCEPSPGSNWSLVGYQVRLDNARNEKTKLLFCTTGILLRKLAGDKNLTGVTHIIVDEVHERSLLGDFLLIVLKNLIEKQSVSNTPKLKVILMSATVDSDLFSRYFGLCPVITAQGRTHPVTTCFLEDIYERINYHLASDSPASLRCETSTRDMRGPVNNHRGKKNLVLSAWGDDSLLSEEYVNPHYDSSSYQSYSEQTQQNLKRLNEDVIDYDLLEDLVCHVDETCDKGAILIFLPGVVEIHTLLDRLAASYRFAGPSSDWLLPLHSSIAADEQKKVFLNPPDGIRKVIIATNIAETSITIDDVVYVIDCGKHKENRYNPQKKLSSMVEDWISRANAKQRRGRAGRVKPGICFCLYTRHRFEKLMRPYQVPEMLRMPLVELCLQIKLLSLGQIKPFLSKALEPPKEEAMNSAISLLYEVGAVEGDEELTPLGHHLAKLPVDVLIGKMLLYGGIFGCLSPILSISACLSYKSPFLYPKDEKQNVERAKLALLSEKLDESSDLNDAERQSDHLLMMAAYRKWEKIFREKGVKAAQRFCKMYFLSSSVMSMIRDMRIQFGTLLADIGFINLPKNYKSGGKRKENLDGWFSDYSQPFNIHSHHSAVVKAILCAGLYPNVAATELGITGVALSRLKLNPATKGHPVWYDGRREVHIHPSSINSSLKSFQHLFLVFLEKVETNKVFLRDTTIISPFSILLFGGLINIQHQSGLVVIDGWLKLTAPAQTAVLCKELRSALHSILKELIRKPENATIVDNEVVKSMIHLLLEEDKPSK >KJB16162 pep chromosome:Graimondii2_0_v6:2:56556123:56571795:1 gene:B456_002G216000 transcript:KJB16162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKKPQKQSNRKATSASSSSSKANQATSSAPRLQISAENENRLRRLLLNSGHSTQSQSQSQSESDLNPPQDSLSKAQKAKKLKALYEKLSCEGFSNDQIELALSSLKDGATFETALDWLCLNLPRNELPLKFSSGISLHSDGGGSISVISVEREDWTPSVDASTRIKENLHGLSVRTKSSEDENSLNMCQPSQADWIRQYMEQQEEDESKTWEDEASDEGSAEEVSGPRPYDVIAKEYHAARLEATKAKEKKDKNGQEKAGSRIRKLKQELSALGLSDAVLASDFLHERASASVSEGTVTSSMPEEQPEMISLGDAECNSAACVITSGVATGSVNDTETSKELSTKSIPSLLPTQEKGDAENMSEDLEIGDFFLEDSSINDVLASEVLKLRKQEKMKELYSEKNLEKLDGIWKKGESKKIPKAVLHQLCQRSGWEAPKFDKMPAKGKSFAYSVSVLRKASGRGKSRKAGGLITLQLPNEQEAFESAEDSQNRVAAFALCQLFPDLPTQLIVTEPYSSLIFQWKGGESLTKIEDNEEDRRAGFVDRLLRDEDSRSKAPGHDTNESALNEFQKACLEDNKTSSSSVADPVYERKSHAKEMESVYLRQEEEKRKHTQRYKEMLKTRAALPVAGLKNDILQLLKENNVLVVCGETGSGKTTQVPQFILDDMIESGHGGHCSIICTQPRRIAAISVAERVADERCEPSPGSNWSLVGYQVRLDNARNEKTKLLFCTTGILLRKLAGDKNLTGVTHIIVDEVHERSLLGDFLLIVLKNLIEKQSVSNTPKLKVILMSATVDSDLFSRYFGLCPVITAQGRTHPVTTCFLEDIYERINYHLASDSPASLRCETSTRDMRGPVNNHRGKKNLVLSAWGDDSLLSEEYVNPHYDSSSYQSYSEQTQQNLKRLNEDVIDYDLLEDLVCHVDETCDKGAILIFLPGVVEIHTLLDRLAASYRFAGPSSDWLLPLHSSIAADEQKKVFLNPPDGIRKVIIATNIAETSITIDDVVYVIDCGKHKENRYNPQKKLSSMVEDWISRANAKQRRGRAGRVKPGICFCLYTRHRFEKLMRPYQVPEMLRMPLVELCLQIKLLSLGQIKPFLSKALEPPKEEAMNSAISLLYEVGAVEGDEELTPLGHHLAKLPVDVLIGKMLLYGGIFGCLSPILSISACLSYKSPFLYPKDEKQNVERAKLALLSEKLDESSDLNDAERQSDHLLMMAAYRKWEKIFREKGVKAAQRFCKMYFLSSSVMSMIRDMRIQFGTLLADIGFINLPKNYKSGGKRKENLDGWFSDYSQPFNIHSHHSAVVKAILCAGLYPNVAATELGITGVALSRLKLNPATKGHPVWYDGRREVHIHPSSINSSLKSFQHLFLVFLEKVCRHLSSGFNLIVYCLLIFFFLQYLNDSYFIHDIHRSDKPLHVCFLLSDN >KJB16165 pep chromosome:Graimondii2_0_v6:2:56556123:56573745:1 gene:B456_002G216000 transcript:KJB16165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKKPQKQSNRKATSASSSSSKANQATSSAPRLQISAENENRLRRLLLNSGHSTQSQSQSQSESDLNPPQDSLSKAQKAKKLKALYEKLSCEGFSNDQIELALSSLKDGATFETALDWLCLNLPRNELPLKFSSGISLHSDGGGSISVISVEREDWTPSVDASTRIKENLHGLSVRTKSSEDENSLNMCQPSQADWIRQYMEQQEEDESKTWEDEASDEGSAEEVSGPRPYDVIAKEYHAARLEATKAKEKKDKNGQEKAGSRIRKLKQELSALGLSDAVLASDFLHERASASVSEGTVTSSMPEEQPEMISLGDAECNSAACVITSGVATGSVNDTETSKELSTKSIPSLLPTQEKGDAENMSEDLEIGDFFLEDSSINDVLASEVLKLRKQEKMKELYSEKNLEKLDGIWKKGESKKIPKAVLHQLCQRSGWEAPKFDKMPAKGKSFAYSVSVLRKASGRGKSRKAGGLITLQLPNEQEAFESAEDSQNRVAAFALCQLFPDLPTQLIVTEPYSSLIFQWKGGESLTKIEDNEEDRRAGFVDRLLRDEDSRSKAPGHDTNESALNEFQKACLEDNKTSSSSVADPVYERKSHAKEMESVYLRQEEEKRKHTQRYKEMLKTRAALPVAGLKNDILQLLKENNVLVVCGETGSGKTTQVPQFILDDMIESGHGGHCSIICTQPRRIAAISVAERVADERCEPSPGSNWSLVGYQVRLDNARNEKTKLLFCTTGILLRKLAGDKNLTGVTHIIVDEVHERSLLGDFLLIVLKNLIEKQSVSNTPKLKVILMSATVDSDLFSRYFGLCPVITAQGRTHPVTTCFLEDIYERINYHLASDSPASLRCETSTRDMRGPVNNHRGKKNLVLSAWGDDSLLSEEYVNPHYDSSSYQSYSEQTQQNLKRLNEDVIDYDLLEDLVCHVDETCDKGAILIFLPGVVEIHTLLDRLAASYRFAGPSSDWLLPLHSSIAADEQKKVFLNPPDGIRKVIIATNIAETSITIDDVVYVIDCGKHKENRYNPQKKLSSMVEDWISRANAKQRRGRAGRVKPGICFCLYTRHRFEKLMRPYQVPEMLRMPLVELCLQIKLLSLGQIKPFLSKALEPPKEEAMNSAISLLYEVGAVEGDEELTPLGHHLAKLPVDVLIGKMLLYGGIFGCLSPILSISACLSYKSPFLYPKDEKQNVERAKLALLSEKLDESSDLNDAERQSDHLLMMAAYRKWEKIFREKGVKAAQRFCKMYFLSSSVMSMIRDMRIQFGTLLADIGFINLPKNYKSGGKRKENLDGWFSDYSQPFNIHSHHSAVVKAILCAGLYPNVAATELGITGVALSRLKLNPATKGHPVWYDGRREVHIHPSSINSSLKSFQHLFLVFLEKVETNKVFLRDTTIISPFSILLFGGLINIQHQSGLVVIDGWLKLTAPAQTAVLCKELRSALHSILKELIRKPENATIVDNEVVKSMIHLLLEEDKPSK >KJB16247 pep chromosome:Graimondii2_0_v6:2:57315865:57329850:1 gene:B456_002G219400 transcript:KJB16247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAQRSAMAGVGRGNNGYMNGVLPIRSPATISEVDEFCYALGGKKPIHSILIANNGMAAVKFIRSIRTWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASENPELPDALNAKGIVFLGPPSVSMAALGDKIGSSLIAQAADVPTLPWSGSHVKIPADSCLVSIPDEIYSKACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPLETVKKLEQAARRLAKSVNYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMEHGAGYDAWRKTSVVATSFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAAMVSDYIGYLEKGQIPPKHISLVHSQVSLNIEGSKYTIDMVRGGQGSYRLKMNQSEIEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRFLVSDGSRIDADTPYAEVEVMKMCMPLLSPASGIIQIKISEGQALQAGELIARLDLDDPSAVRKAEPFHGSFPVLGPPTAISGKVHQRCAASINAARMILAGYEHNIDEVVQSLLNCLDSPELPFLQWQECMSVLAARLPKNLKNELESKYKGFETISCSMNVDFPAKLLKGVLELHLSTCPEKERGSLERLIEPLMSLVKSYEGGRESHARVIVRSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKVVDIVLSHQGVKSKNKLIFRLLEQLVYPNPAAYRDQLIRFSALNHTSYSELALKASQLLEQTKLSELRSSIARSLSELEMFTEDGETMDTPKRKSAINERMEALVGAPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQPYLVKGSVRMQWHRSGLIASWEFLEEHIESKNVSEDQMSDEPLVEKHRERKWGAMVIIKSLQFLPAIISATLRETTPNLHEETSNGSLEPTTSGNMMHIALVGINNQMSLLQDSGDEDQAQERINKLAKILKDKEVGSSLRSAGVRVISCIIQRDEGRTPMRHSFHWSTEKLYYEEEPLLRHLEPPLSIYLELDKLKGYQNIQYTPSRDRQWHLYTVMDKPVPIQRMFLRTLVRQPTSDDGLTAYRGLDVDMMRSQSAMSFTSRSILRSLMGAMEELEINMHNATLKSDHAHMYLCILREQQINDLVPYPKRVDLDAGQEEAGVESILEELAQELHAFVGVRMHKLGVCEWEVKLWMASSGQANGAWRIVVTNVTGQTCTLHIYRELEDTSKHRVVYHSLSVRGPLHGVPVNAQYQALGVLDRKRLLARKNNTTYCYDFPLAFETALQQSWASQFPGIKRPKDKLLPKVMELVFADQKGNWGTPLVPIERQPGLNDVGMVAWCMEMFTPEFPSGRTILVVANDVTFKAGSFGPREDAFFLAVTDLACSKKLPLIYLAANSGARIGVAEEVKACFKVGWSNESSPERGFQYVYLTPEDYTKIGSSVIAHEMKLASGESRWVIDTIVGKEDGLGVENLTGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILNWLSCIPPHIGGPLPILNPSDPPERLVEYLPENSCDPRAAISGALDSSGNWKGGIFDRDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAIMDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMMGELRGGAWVVVDSRINSDHIEMYAERTAKGNVLEPEGMIEIKFRTKELLECMGRLDQQLINMKAKLQEAKSNGAHAQMDSLQQQIRSREKQLLPVYTQIATKFAELHDTSLRMAAKGVIKEVVDWDRSRSFFYRRLRRRIAESSLVKIVKDAAGDQLLHKSAMDLIKKWFLDSSVAKGREDVWVNDEAFFSWKDDLGNYSEKLQELRVQKVLLQLMNIGNSSSDIQTLPQGLAALLSKMEPSSRKQMVDELRKVLG >KJB16248 pep chromosome:Graimondii2_0_v6:2:57315499:57329850:1 gene:B456_002G219400 transcript:KJB16248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAQRSAMAGVGRGNNGYMNGVLPIRSPATISEVDEFCYALGGKKPIHSILIANNGMAAVKFIRSIRTWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASENPELPDALNAKGIVFLGPPSVSMAALGDKIGSSLIAQAADVPTLPWSGSHVKIPADSCLVSIPDEIYSKACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPLETVKKLEQAARRLAKSVNYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMEHGAGYDAWRKTSVVATSFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAAMVSDYIGYLEKGQIPPKHISLVHSQVSLNIEGSKYTIDMVRGGQGSYRLKMNQSEIEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRFLVSDGSRIDADTPYAEVEVMKMCMPLLSPASGIIQIKISEGQALQAGELIARLDLDDPSAVRKAEPFHGSFPVLGPPTAISGKVHQRCAASINAARMILAGYEHNIDEVVQSLLNCLDSPELPFLQWQECMSVLAARLPKNLKNELESKYKGFETISCSMNVDFPAKLLKGVLELHLSTCPEKERGSLERLIEPLMSLVKSYEGGRESHARVIVRSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKVVDIVLSHQGVKSKNKLIFRLLEQLVYPNPAAYRDQLIRFSALNHTSYSELALKASQLLEQTKLSELRSSIARSLSELEMFTEDGETMDTPKRKSAINERMEALVGAPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQPYLVKGSVRMQWHRSGLIASWEFLEEHIESKNVSEDQMSDEPLVEKHRERKWGAMVIIKSLQFLPAIISATLRETTPNLHEETSNGSLEPTTSGNMMHIALVGINNQMSLLQDSGDEDQAQERINKLAKILKDKEVGSSLRSAGVRVISCIIQRDEGRTPMRHSFHWSTEKLYYEEEPLLRHLEPPLSIYLELDKLKGYQNIQYTPSRDRQWHLYTVMDKPVPIQRMFLRTLVRQPTSDDGLTAYRGLDVDMMRSQSAMSFTSRSILRSLMGAMEELEINMHNATLKSDHAHMYLCILREQQINDLVPYPKRVDLDAGQEEAGVESILEELAQELHAFVGVRMHKLGVCEWEVKLWMASSGQANGAWRIVVTNVTGQTCTLHIYRELEDTSKHRVVYHSLSVRGPLHGVPVNAQYQALGVLDRKRLLARKNNTTYCYDFPLAFETALQQSWASQFPGIKRPKDKLLPKVMELVFADQKGNWGTPLVPIERQPGLNDVGMVAWCMEMFTPEFPSGRTILVVANDVTFKAGSFGPREDAFFLAVTDLACSKKLPLIYLAANSGARIGVAEEVKACFKVGWSNESSPERGFQYVYLTPEDYTKIGSSVIAHEMKLASGESRWVIDTIVGKEDGLGVENLTGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILNWLSCIPPHIGGPLPILNPSDPPERLVEYLPENSCDPRAAISGALDSSGNWKGGIFDRDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAIMDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMMGELRGGAWVVVDSRINSDHIEMYAERTAKGNVLEPEGMIEIKFRTKELLECMGRLDQQLINMKAKLQEAKSNGAHAQMDSLQQQIRSREKQLLPVYTQIATKFAELHDTSLRMAAKGVIKEVVDWDRSRSFFYRRLRRRIAESSLVKIVKDAAGDQLLHKSAMDLIKKWFLDSSVAKGREDVWVNDEAFFSWKDDLGNYSEKLQELRVQKVLLQLMNIGNSSSDIQTLPQGLAALLSKMEPSSRKQMVDELRKVLG >KJB16039 pep chromosome:Graimondii2_0_v6:2:55670974:55671359:1 gene:B456_002G209700 transcript:KJB16039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPLTASLYVPGTLDDADKVLADIRTGYFVEKTMDEGRNYCERKINLVKSNFDLLNEVPLSPSSSTFNGMKHITL >KJB13936 pep chromosome:Graimondii2_0_v6:2:13067981:13070703:-1 gene:B456_002G102400 transcript:KJB13936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLEFNFPIFLTLIHYSIDWILLAFLKTMSLLPVSPPKTTLFSSLFSLGAIMAFASGLANTSLKYNSVGFYQMAKIAVTPTIVFAEFIRFRKTISFKKILALAVMLAGIAVATVTDLEFNAFGACIAIAWIIPSAINKILWSNLQQEANWTSLALMWKTTPITIFFLLALMPWLDPPGVLSFKWDINNSTAVLTLALLGFLLQRSGALALGATSATSHVVLGQFKTCVIVVGGYLPFNSDPGFVSLCGAVAALGGTSVYTSLSLKETNHQSSSKQLPTQIPLPKSKTSENGSEGSTVTSTSNVV >KJB13937 pep chromosome:Graimondii2_0_v6:2:13068185:13069205:-1 gene:B456_002G102400 transcript:KJB13937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILTAIGPVSSQFSPWCSVGFYQMAKIAVTPTIVFAEFIRFRKTISFKKILALAVMLAGIAVATVTDLEFNAFGACIAIAWIIPSAINKILWSNLQQEANWTSLALMWKTTPITIFFLLALMPWLDPPGVLSFKWDINNSTAVLTLALLGFLLQRSGALALGATSATSHVVLGQFKTCVIVVGGYLPFNSDPGFVSLCGAVAALGGTSVYTSLSLKETNHQSSSKQLPTQIPLPKSKTSENGSEGSTVTSTSNVV >KJB15001 pep chromosome:Graimondii2_0_v6:2:32282642:32283818:1 gene:B456_002G155600 transcript:KJB15001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGSACKEVESYPAVADYAIATTKPESNSMTTKSSFGFVGKHGIQSNNGVYELLECPVCKNLMYPPIHQCPNGHTLCLNCKNRVHNCCPSCQYDLGNIRCLALERFAESLELPCKYHSLGCHEILPYYSKLKHEQHCRFRPYNCPYAGSDCSITGDIPTLIAHLKDDHKVDMHDGCTFNHRYVKLNPHEVENATWMLTVSSFMLPCITIIVTVLQKSSSSY >KJB14999 pep chromosome:Graimondii2_0_v6:2:32281817:32284612:1 gene:B456_002G155600 transcript:KJB14999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGSACKEVESYPAVADYAIATTKPESNSMTTKSSFGFVGKHGIQSNNGVYELLECPVCKNLMYPPIHQCPNGHTLCLNCKNRVHNCCPSCQYDLGNIRCLALERFAESLELPCDIPTLIAHLKDDHKVDMHDGCTFNHRYVKLNPHEVENATWMLTVSSFMLPCITIIVTVLQKSSSSY >KJB15000 pep chromosome:Graimondii2_0_v6:2:32281817:32284612:1 gene:B456_002G155600 transcript:KJB15000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGSACKEVESYPAVADYAIATTKPESNSMTTKSSFGFVGKHGIQSNNGVYELLECPVCKNLMYPPIHQCPNGHTLCLNCKNRVHNCCPSCQYDLGNIRCLALERFAESLELPCKYHSLGDIPTLIAHLKDDHKVDMHDGCTFNHRYVKLNPHEVENATWMLTILNADILCRCSNVLENNSACISRLSRWGWHLSIWPFYVLWVMIVKQKSSVIVWKLVPTVVS >KJB15003 pep chromosome:Graimondii2_0_v6:2:32281817:32284647:1 gene:B456_002G155600 transcript:KJB15003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGSACKEVESYPAVADYAIATTKPESNSMTTKSSFGFVGKHGIQSNNGVYELLECPVCKNLMYPPIHQCPNGHTLCLNCKNRVHNCCPSCQYDLGNIRCLALERFAESLELPCKYHSLGCHEILPYYSKLKHEQHCRFRPYNCPYAGSDCSITGDIPTLIAHLKDDHKVDMHDGCTFNHRYVKLNPHEVENATWMLTVFKCFGKQFCLHFEAFQMGMAPVYMAFLRFMGDDSEAKKFSYCLEVGANGRKLTWQGIPRSIRDSHRKVRDSQDGLVIQRNLALYFSGGDRQELKLRVTGRIWKEA >KJB15002 pep chromosome:Graimondii2_0_v6:2:32281817:32284628:1 gene:B456_002G155600 transcript:KJB15002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGSACKEVESYPAVADYAIATTKPESNSMTTKSSFGFVGKHGIQSNNGVYELLECPVCKNLMYPPIHQCPNGHTLCLNCKNRVHNCCPSCQYDLGNIRCLALERFAESLELPCKYHSLGDIPTLIAHLKDDHKVDMHDGCTFNHRYVKLNPHEVENATWMLTVFKCFGKQFCLHFEAFQMGMAPVYMAFLRFMGDDSEAKKFSYCLEVGANGRKLTWQGIPRSIRDSHRKVRDSQDGLVIQRNLALYFSGGDRQELKLRVTGRIWKEA >KJB12866 pep chromosome:Graimondii2_0_v6:2:3406265:3408547:-1 gene:B456_002G041300 transcript:KJB12866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPIETTVKSSDSHCASHPPLNERILSSMTRRSVAAHPWHDLEIGPGAPTVFNCTTFSSMGQASTGSELSLQQKADVGAAAMDGMCAIHFAAQKGHLEVV >KJB12329 pep chromosome:Graimondii2_0_v6:2:803543:805940:1 gene:B456_002G012300 transcript:KJB12329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIQNTVHYCCVSKANRTLYEYSRGDHEIENMAALCLERTMSFHKWYFETIGKWTFGFLFEDGCVYFAIANKAVENHGVLRFLQHMRDEFKTLARKGSRSSFSGMSSIGVEEQLVPVIQRLITSLEQVSHSDNDWKTKVPVSDYPSHSPSSSNKNAKLEAASSTKAPLLGKSSKQEKKNKDHIVAVRDIELEEHRKSTDRVKTDSTTLDPSNQNGASSSITLQKDLGSTRIRPGSQNMRKKWCRQVRIVLAIDVAICLVLLVIWLSICNGISCTR >KJB12331 pep chromosome:Graimondii2_0_v6:2:803543:805963:1 gene:B456_002G012300 transcript:KJB12331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFILEFEYFAEDLCWYFFEAFDIVAEEFIAFFQNTVHYCCVSKANRTLYEYSRGDHEIENMAALCLERTMSFHKWYFETIGKWTFGFLFEDGCVYFAIANKAVENHGVLRFLQHMRDEFKTLARKGSRSSFSGMSSIGVEEQLVPVIQRLITSLEQVSHSDNDWKTKVPVSDYPSHSPSSSNKNAKLEAASSTKAPLLGKSSKQEKKNKDHIVAVRDIELEEHRKSTDRVKTDSTTLDPSNQNGASSSITLQKDLGSTRIRPGSQNMRKKWCRQVRIVLAIDVAICLVLLVIWLSICNGISCTR >KJB12330 pep chromosome:Graimondii2_0_v6:2:804931:805761:1 gene:B456_002G012300 transcript:KJB12330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIQNTVHYCCVSKANRTLYEYSRGDHEIENMAALCLERTMSFHKWYFETIGKWTFGFLFEDGCVYFAIANKAVENHGVLRFLQHMRDEFKTLARKGSRSSFSGMSSIGVEEQLVPVIQRLITSLEQVSHSDNDWKTKVPVSDYPSHSPSSSNKNAKLEAASSTKAPLLGKSSKQEKKNKDHIVAVRDIELEEHRKSTDRVKTDSTTLDPSNQNGASSSITLQKDLGSTRIRPGSQNMRKKWCRQVRIVLAIDVAICLVLLVIWLSICNGISCTR >KJB13681 pep chromosome:Graimondii2_0_v6:2:11253291:11256614:1 gene:B456_002G088800 transcript:KJB13681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLTKSKDSKPKHNGYGSGPTTTAAVHQQRYQEPVRPAPVQSQFHHIPEKPGTQTSWKPVAPSPSPKPVAPRVDTILGKPFEDIRMHYTIGKELGKGQFGVTYLCIENSTGKQYACKTISKKKLVTRNDKEDMRREIQIMQHLSGQPNIVEFKGAYEDKLSVHLVMELCAGGELFDRIIAKGHYSERAAASICRAIVNVVHACHFMGVMHRDLKPENFLLSSKGENALLKATDFGLSVFIEDGKVYKDIVGSAYYVAPEVLLRKYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILEGEIDFESQPWPSISESAKDLVRRMLTQDPKKRITSTQVLEHPWIREGGSASDKPIDSAVLSRMKQFRRMNKLKQLALKVIAENLSSEEIQGLKQMFANIDTDNSGTITYEELKTGLARLGSKLTEAEVQQLMEAADVDGNGSIDYIEFITATMHRHRLERDEHLYKAFQHFDKDNSG >KJB13679 pep chromosome:Graimondii2_0_v6:2:11253284:11257267:1 gene:B456_002G088800 transcript:KJB13679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLTKSKDSKPKHNGYGSGPTTTAAVHQQRYQEPVRPAPVQSQFHHIPEKPGTQTSWKPVAPSPSPKPVAPRVDTILGKPFEDIRMHYTIGKELGKGQFGVTYLCIENSTGKQYACKTISKKKLVTRNDKEDMRREIQIMQHLSGQPNIVEFKGAYEDKLSVHLVMELCAGGELFDRIIAKGHYSERAAASICRAIVNVVHACHFMGVMHRDLKPENFLLSSKGENALLKATDFGLSVFIEDGKVYKDIVGSAYYVAPEVLLRKYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILEGEIDFESQPWPSISESAKDLVRRMLTQDPKKRITSTQVLEHPWIREGGSASDKPIDSAVLSRMKQFRRMNKLKQLALKVIAENLSSEEIQGLKQMFANIDTDNSGTITYEELKTGLARLGSKLTEAEVQQLMEAADVDGNGSIDYIEFITATMHRHRLERDEHLYKAFQHFDKDNSGYITRDELEAAMKEYGMGDDDTIKEIISEVDTDNDGKINYEEFRDMMRSGTQHTQLF >KJB13682 pep chromosome:Graimondii2_0_v6:2:11253285:11257287:1 gene:B456_002G088800 transcript:KJB13682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLTKSKDSKPKHNGYGSGPTTTAAVHQQRYQEPVRPAPVQSQFHHIPEKPGTQTSWKPVAPSPSPKPVAPRVDTILGKPFEDIRMHYTIGKELGKGQFGVTYLCIENSTGKQYACKTISKKKLVTRNDKEDMRREIQIMQHLSGQPNIVEFKGAYEDKLSVHLVMELCAGGELFDRIIAKGHYSERAAASICRAIVNVVHACHFMGVMHRDLKPENFLLSSKGENALLKATDFGLSVFIEDGKVYKDIVGSAYYVAPEVLLRKYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILEGEIDFESQPWPSISESAKDLVRRMLTQDPKKRITSTQVLEHPWIREGGSASDKPIDSAVLSRMKQFRRMNKLKQLALKVIAENLSSEEIQGLKQMFANIDTDNSGTITYEELKTGLARLGSKLTEAEVQQLMEAADVDGNGSIDYIEFITATMHRHRLERDEHLYKAFQHFDKDNSGYITRDELEAAMKEYGMGDDDTIKEIISEVDTDNDGKINYEEFRDMMRSGTQHTQLF >KJB13680 pep chromosome:Graimondii2_0_v6:2:11253291:11257267:1 gene:B456_002G088800 transcript:KJB13680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLTKSKDSKPKHNGYGSGPTTTAAVHQQRYQEPVRPAPVQSQFHHIPEKPGTQTSWKPVAPSPSPKPVAPRVDTILGKPFEDIRMHYTIGKELGKGQFGVTYLCIENSTGKQYACKTISKKKLVTRNDKEDMRREIQIMQHLSGQPNIVEFKGAYEDKLSVHLVMELCAGGELFDRIIAKGHYSERAAASICRAIVNVVHACHFMGVMHRDLKPENFLLSSKGENALLKATDFGLSVFIEDGKVYKDIVGSAYYVAPEVLLRKYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILEGEIDFESQPWPSISESAKDLVRRMLTQDPKKRITSTQVLEHPWIREGGSASDKPIDSAVLSRMKQFRRMNKLKQLALKVIAENLSSEEIQGLKQMFANIDTDNSGTITYEELKTGLARLGSKLTEAEVQQLMEAADVDGNGSIDYIEFITATMHRHRLERDEHLYKAFQHFDKDNSGYITRDELEAAMKEYGMGDDDTIKEIISEVDTDNDGKINYEEFRDMMRSGTQHTQLF >KJB13867 pep chromosome:Graimondii2_0_v6:2:12577555:12579705:-1 gene:B456_002G098900 transcript:KJB13867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSRFLFFFFLCLSLFISVTCHGGSHADGDDDDDDKAGERNEPHDLRSKSLILVKVWCLILVFVGTFVGGVSPYFLKWNQGFLVLGTQFAGGVFLGTAMMHFLSDANETFGDLTTKEYPFAFMLACAGYLLTMLADCVISYVYGKGKNSCNNGDLELQGAERSKTNPHGQGDPPSLSLTSASSFGDSVLLIVALCFHSVFEGIAIGVAETEADAWKALWTISLHKIFAAIAMGIALLRMIPDRPLLSCIAYAFAFAISSPVGVAIGIIIDATTQGAVADWIFAISMGLACGVFIYVSINHLLAKGYAPQKTVSVDRPHHKFLSVLLGVGVIAVVMIWDT >KJB13866 pep chromosome:Graimondii2_0_v6:2:12577555:12579705:-1 gene:B456_002G098900 transcript:KJB13866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSRFLFFFFLCLSLFISVTCHGGSHADGDDDDDDKAGTFVGGVSPYFLKWNQGFLVLGTQFAGGVFLGTAMMHFLSDANETFGDLTTKEYPFAFMLACAGYLLTMLADCVISYVYGKGKNSCNNGDLELQGAERSKTNPHGQGDPPVGNGTDTTCAQSLSLTSASSFGDSVLLIVALCFHSVFEGIAIGVAETEADAWKALWTISLHKIFAAIAMGIALLRMIPDRPLLSCIAYAFAFAISSPVGVAIGIIIDATTQGAVADWIFAISMGLACGVFIYVSINHLLAKGYAPQKTVSVDRPHHKFLSVLLGVGVIAVVMIWDT >KJB13868 pep chromosome:Graimondii2_0_v6:2:12577555:12579780:-1 gene:B456_002G098900 transcript:KJB13868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSRFLFFFFLCLSLFISVTCHGGSHADGDDDDDDKAGERNEPHDLRSKSLILVKVWCLILVFVGTFVGGVSPYFLKWNQGFLVLGTQFAGGVFLGTAMMHFLSDANETFGDLTTKEYPFAFMLACAGYLLTMLADCVISYVYGKGKNSCNNGDLELQGAERSKTNPHGQGDPPVGNGTDTTCAQSLSLTSASSFGDSVLLIVALCFHSVFEGIAIGVAETEADAWKALWTISLHKIFAAIAMGIALLRMIPDRPLLSCIAYAFAFAISSPVGVAIGIIIDATTQGAVADWIFAISMGLACGVFIYVSINHLLAKGYAPQKTVSVDRPHHKFLSVLLGVGVIAVVMIWDT >KJB15666 pep chromosome:Graimondii2_0_v6:2:51059918:51068051:1 gene:B456_002G189400 transcript:KJB15666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSRPTVVIDNGTGYSKMGFAGNVEPCFNQPTVVALNDSYLNQSRASSKLNMAAQYSAGVMADLDFFIGDEALLRSRASNTYTLSYPINHGQVEDWDVMERYWQHCIFNYLRCDPEDHYFLLTESPLTNPENREYMGEIMFETFNIPGLYIAVNSVLALAAGYSTSKCEMTGVVVDVGEGATRVVPVADGYVIGSCIKSIPIAGQDVTLFIQELMRERGEKMPPEDSFEAARKVKEMYCYTCSDIVKEFNKHDKEPAKFIKQWRGIRLKTGAPYACDIGYERFLGPEVLFSPEIYTSDYITPLPALIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVQAATAHHGGDIKFM >KJB15664 pep chromosome:Graimondii2_0_v6:2:51059947:51067353:1 gene:B456_002G189400 transcript:KJB15664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSRPTVVIDNGTGYSKMGFAGNVEPCFNQPTVVALNDSYLNQSRASSKLNMAAQYSAGVMADLDFFIGDEALLRSRASNTYTLSYPINHGQVEDWDVMERYWQHCIFNYLRCDPEDHYFLLTESPLTNPENREYMGEIMFETFNIPGLYIAVNSVLALAAGYSTSKCEMTGVVVDVGEGATRVVPVADGYVIGSCIKSIPIAGQDVTLFIQELMRERGEKMPPEDSFEAARKVKEMYCYTCSDIVKEFNKHDKEPAKFIKQWRGIRLKTGAPYACDIGYERFLGPEVLFSPEIYTSDYITPLPALIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVQAATAHHGGDIKAKPVEVHVVSHPIQRFAVWFGGSVLASTPEFFEVRISISKRNILFLFLSILASEWH >KJB15665 pep chromosome:Graimondii2_0_v6:2:51059918:51068051:1 gene:B456_002G189400 transcript:KJB15665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSRPTVVIDNGTGYSKMGFAGNVEPCFNQPTVVALNDSYLNQSRASSKLNMAAQYSAGVMADLDFFIGDEALLRSRASNTYTLSYPINHGQVEDWDVMERYWQHCIFNYLRCDPEDHYFLLTESPLTNPENREYMGEIMFETFNIPGLYIAVNSVLALAAGYSTSKCEMTGVVVDVGEGATRVVPVADGYVIGSCIKSIPIAGQDVTLFIQELMRERGEKMPPEDSFEAARKVKEMYCYTCSDIVKEFNKHDKEPAKFIKQWRGIRLKTGAPYACDIGYERFLGPEVLFSPEIYTSDYITPLPALIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVQAATAHHGGDIKAKPVEVHVVSHPIQRFAVWFGGSVLASTPEFFEACHTKAEYEEHGASICRVNPVFKGMY >KJB12924 pep chromosome:Graimondii2_0_v6:2:3780731:3786538:1 gene:B456_002G045300 transcript:KJB12924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPNQLKKDLKEMDFFTEYGDANRYRILEVIGKGSYGVVCAALDTHTGEKVAIKKIQDVFEHASDAIRILREVKLLRLLRHPDIVEIKRIMLPPSKREFKDIFVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRAMKYMHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFSSKYTPAIDTWSIGCIFAEVLTGKPLFPGKSVVHQLELITDLLGTPSTETISGVRNEKARKYLSEMPKKHPVQFSKKFPNADPLAVQLLQRLLAFDPKDRPTAAEALADPYFKGLAKIEREPSCQPISKLEFEFERRRLTKDDIRELLYREILEYHPQLLKDYINGNEGPNFLYPSAIGQFRKQFAYLEENGGRSAPVFPLERKHVSLPRSTVHSNTNTQSTSVLCENQRVKDEVPRRETDTIAGYPKTAARPPPRVPSAKPGRIVGSVVPYENGKNTKDGFDSKIIYRHAVLPPQNISPHCFYRTTTKHQEKSGIQPQFTMVAKPAPGMVVDINNHLYYHPQTKSDQLTDRLPIDAKLLHAQTQFGAVGAAAVAVAAHMNVGTVQYGLS >KJB15528 pep chromosome:Graimondii2_0_v6:2:48153693:48160671:1 gene:B456_002G182900 transcript:KJB15528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSNILANPNALTNKDLVTVGSLRDGSSHQSGFMPSVVESKTTYLPNAYSPEAQFHQEAHKQGSLLPYVNAEGLDNGFHDIYNETASLGFHGFGHSPQMQQRAYAPVSSYLPPVGDPIGLSNARHFITIDSSYHHPSVPQNIPHVTSKAQFSHLECPVNIEQQVVGKRFGLRTNYLPQLGSFDGGRNFFGSSSSLCSSYQGSDGFAVGGFCSDWSKPFSGKSSLFHLSYAPASPKRVGSLEFSSNGPAVVSFQKGPFNGFGCTSSSSRGYSGSQSDQRSCHGSVSTNSLGISGHNWPTLAEARQGGSCNDFSCSCTVTLDTLSERNRGPRAFKPKTQITTKGFIVDSSQNGTINGITNGSYNRQNFVTDYEGAKFFVIKSYSEDNVHKSIKYGIWASTPIGNKKLDTAYHEAKAIQGTCPVFLLFSVNSSAQFCGVAEMVGPVDFDKSVDYWLQNKWSGQFPVKWHIIKDVPNSQFRHILLESNDNKPVTNSRDTQEVEFEQGIEMINIFKNYESHSSILDDFYFYEERQKAMQERKARQLTSLVASDDLVCEASNFVSLPNDIVKKMSKSFAEVLLLNENEKAGGGTGKVLSAACGGHVR >KJB15526 pep chromosome:Graimondii2_0_v6:2:48153196:48160671:1 gene:B456_002G182900 transcript:KJB15526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMAHQTSDRLTSTDSAEVFNVMVLDSNILANPNALTNKDLVTVGSLRDGSSHQSGFMPSVVESKTTYLPNAYSPEAQFHQEAHKQGSLLPYVNAEGLDNGFHDIYNETASLGFHGFGHSPQMQQRAYAPVSSYLPPVGDPIGLSNARHFITIDSSYHHPSVPQNIPHVTSKAQFSHLECPVNIEQQVVGKRFGLRTNYLPQLGSFDGGRNFFGSSSSLCSSYQGSDGFAVGGFCSDWSKPFSGKSSLFHLSYAPASPKRVGSLEFSSNGPAVVSFQKGPFNGFGCTSSSSRGYSGSQSDQRSCHGSVSTNSLGISGHNWPTLAEARQGGSCNDFSCSCTVTLDTLSERNRGPRAFKPKTQITTKGFIVDSSQNGTINGITNGSYNRQNFVTDYEGAKFFVIKSYSEDNVHKSIKYGIWASTPIGNKKLDTAYHEAKAIQGTCPVFLLFSVNSSAQFCGVAEMVGPVDFDKSVDYWLQNKWSGQFPVKWHIIKDVPNSQFRHILLESNDNKPVTNSRDTQEVEFEQGIEMINIFKNYESHSSILDDFYFYEERQKAMQERKARQLTSLVASDDLVCEASNFVSLPNDIVKKMSKSFAEVLLLNENEKAGGGTGKVLSAACGGHVR >KJB15527 pep chromosome:Graimondii2_0_v6:2:48153693:48160671:1 gene:B456_002G182900 transcript:KJB15527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSNILANPNALTNKDLVTVGSLRDGSSHQSGFMPSVVESKTTYLPNAYSPEAQFHQEAHKQGSLLPYVNAEGLDNGFHDIYNETASLGFHGFGHSPQMQQRAYAPVSSYLPPVGDPIGLSNARHFITIDSSYHHPSVPQNIPHVTSKAQFSHLECPVNIEQQVVGKRFGLRTNYLPQLGSFDGGRNFFGSSSSLCSSYQGSDGFAVGGFCSDWSKPFSGKSSLFHLSYAPASPKRVGSLEFSSNGPAVSFQKGPFNGFGCTSSSSRGYSGSQSDQRSCHGSVSTNSLGISGHNWPTLAEARQGGSCNDFSCSCTVTLDTLSERNRGPRAFKPKTQITTKGFIVDSSQNGTINGITNGSYNRQNFVTDYEGAKFFVIKSYSEDNVHKSIKYGIWASTPIGNKKLDTAYHEAKAIQGTCPVFLLFSVNSSAQFCGVAEMVGPVDFDKSVDYWLQNKWSGQFPVKWHIIKDVPNSQFRHILLESNDNKPVTNSRDTQEVEFEQGIEMINIFKNYESHSSILDDFYFYEERQKAMQERKARQLTSLVASDDLVCEASNFVSLPNDIVKKMSKSFAEVLLLNENEKAGGGTGKVLSAACGGHVR >KJB12158 pep chromosome:Graimondii2_0_v6:2:200799:203359:-1 gene:B456_002G0037002 transcript:KJB12158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SMGEVDQAFIQSIEHRANLDTIEIEGIPLIDLSLSHTTDINILVSEIGNACKNWGFFQVINHGVPLELLEKIKVAAKAFFDQPVEEKRKVKRDEVNAMGYHESKHTKNVRDWKEVFDFLIKDPSFLPASPETEDDEIRALSNQWPETPPQFREVCQTYAREVEKLGYKLLELISLSLGLPSNRLNGYFEDHTTMMRLNHYPPCPCPELALGVGRHKDAGALTIVAQDDVGGLQVRRKSDDVWVPVKPTPNALVINVGDTIQVWSNEAYESLEHRVVVNSERERFSIPVFFFPSHYVMVKPLEELVNEGNPPKYKPYNFGKFFVARNRSDYKKLEVQNIQISDFKII >KJB14763 pep chromosome:Graimondii2_0_v6:2:25277313:25278975:1 gene:B456_002G142100 transcript:KJB14763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENGSRAEALRLLGIAEKLLQNRDFNGSREFAILAQETEPLLDGSDQILAVVDVLLAAEKRINNQHDWYSILQIDRRSEDNDLIKKQYRRLALLLHPDKNKFPFADHAFKLVADAWAVLSNISKKSLYDKELGLYTKIDLSSPGDRSNQAGKLPVTRKGQNQEQSQHRPPSKTQNENQRSRLSTFWTACPYCYRLFEYPRVYEGCCLRCQNCERAFHAVLIPTLPPLVPGQEAYYCCWAFFPLGFVSGSQDGGGKQPTGFTNWMPPMFPGVQQENERNGGNVPPSAPPPAPAPPSPAAPTSATKVVSGVSRGDASDFAPRKRGRPRKNPL >KJB12652 pep chromosome:Graimondii2_0_v6:2:2206647:2209288:-1 gene:B456_002G029800 transcript:KJB12652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLIFALNFKILLHKEKSPINSRFFHFFRSLIKISIFFLLAFCFPRICFFQRQAAATMSLRPSTRTEARRNRYKVAVDAEEGRRRREDNMVEIRKSKREESLLKKRREGLQAQQFAASLHSSNVEKKLESLPSMVAGVWSDNGAAQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLGREDFPQLQFEAAWALTNIASGTSDNTKVVIDLGAVPIFVKLLASPSDDVREQAVWALGNIAGDSPRCRDLVLSHGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVTPALPALERLVHSNDEEVLTDACWALSYLSDGTNDKIQAVIQAGVCPRLVELLLNPSPSVLIPALRTVGNIVTGDDLQTQCIIDHGALACLMNLLTNNYKKSIKKEACWTISNITAGNKEQIQAVINAGLIGPLVNLLQNAEFDVKKEAAWAISNATSGGAHEQIK >KJB12655 pep chromosome:Graimondii2_0_v6:2:2205299:2209382:-1 gene:B456_002G029800 transcript:KJB12655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSTRTEARRNRYKVAVDAEEGRRRREDNMVEIRKSKREESLLKKRREGLQAQQFAASLHSSNVEKKLESLPSMVAGVWSDNGAAQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLGREDFPQLQFEAAWALTNIASGTSDNTKVVIDLGAVPIFVKLLASPSDDVREQAVWALGNIAGDSPRCRDLVLSHGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVTPALPALERLVHSNDEEVLTDACWALSYLSDGTNDKIQAVIQAGVCPRLVELLLSNPSPSVLIPALRTVGNIVTGDDLQTQCIIDHGALACLMNLLTNNYKKSIKKEACWTISNITAGNKEQIQAVINAGLIGPLVNLLQNAEFDVKKEAAWAISNATSGGAHEQIKYMVSQGCIKPLCDLLACPDPRIIMVCLEGLENFLKVGEAEKATGAVEFNFCAQLIEDADGLEKIENLQTHDNSEIYEKAVKILETYWLEEDEETLPPADGTQQSFQFGENGLQVPSGGFNFS >KJB12654 pep chromosome:Graimondii2_0_v6:2:2205299:2209288:-1 gene:B456_002G029800 transcript:KJB12654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSTRTEARRNRYKVAVDAEEGRRRREDNMVEIRKSKREESLLKKRREGLQAQQFAASLHSSNVEKKLESLPSMVAGVWSDNGAAQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLGREDFPQLQFEAAWALTNIASGTSDNTKVVIDLGAVPIFVKLLASPSDDVREQAVWALGNIAGDSPRCRDLVLSHGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVTPALPALERLVHSNDEEVLTDACWALSYLSDGTNDKIQAVIQAGVCPRLVELLLNPSPSVLIPALRTVGNIVTGDDLQTQCIIDHGALACLMNLLTNNYKKSIKKEACWTISNITAGNKEQIQAVINAGLIGPLVNLLQNAEFDVKKEAAWAISNATSGGAHEQIKYMVSQGCIKPLCDLLACPDPRIIMVCLEGLENFLKVGEAEKATGAVEFNFCAQLIEDADGLEKIENLQTHDNSEIYEKAVKILETYWLEEDEETLPPADGTQQSFQFGENGLQVPSGGFNFS >KJB12653 pep chromosome:Graimondii2_0_v6:2:2205299:2209382:-1 gene:B456_002G029800 transcript:KJB12653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSTRTEARRNRYKVAVDAEEGRRRREDNMVEIRKSKREESLLKKRREGLQAQQFAASLHSSNVEKKLESLPSMVAGVWSDNGAAQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLGREDFPQLQFEAAWALTNIASGTSDNTKVVIDLGAVPIFVKLLASPSDDVREQAVWALGNIAGDSPRCRDLVLSHGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVTPALPALERLVHSNDEEVLTDACWALSYLSDGTNDKIQAVIQAGVCPRLVELLLNPSPSVLIPALRTVGNIVTGDDLQTQCIIDHGALACLMNLLTNNYKKSIKKEACWTISNITAGNKEQIQAVINAGLIGPLVNLLQNAEFDVKKEAAWAISNATSGGAHEQIKYMVSQGCIKPLCDLLACPDPRIIMVCLEGLENFLKVGEAEKATGAVEFNFCAQLIEDADGLEKIENLQTHDNSEIYEKAVKILETYWLEEDEETLPPADGTQQSFQFGENGLQVPSGGFNFS >KJB14685 pep chromosome:Graimondii2_0_v6:2:23207478:23213867:1 gene:B456_002G137500 transcript:KJB14685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRIAWLIGFNNKVEQAKKLSDAKPQPAKVQPAVMLDTIQEIAIYIHRFHNLDLFQQGWYRLKITMRWENDQQTSIGAPSRVMQYEAPNVGSDDVYGVWRIDDTDNSFATRPFRIKYAKQDVLLSILVAFHLPLTENEGPLSSAVILKFELLYSPVLEKGSEFQPSSDGCPAAVHEFRIPPKALLGLHSYCPVYFDSFHAVLVDVSVHVCLLKVGSRKGAKKVPSVPYSAPNAVAGETIDGSSQALDKVACTDLKHATLVKALFDARDTLLVELQRIGNGIDQVIDLTEFTSTMNETKLSDSILQANPVTAHAEVSGQGKPQNGLEGVGGRLDVRLLQNLSKDDKIKLFNLWGEQVSYLWNTFLNFHRENKTQIVQFLRDAWSKDRRAEWSIWMVYSKVEMPHHYINGGFDEPSHHIVHKRGPSLLKLTDEPAELATMRAELHRRSIAQMRINNRSIQDMQIFADPSGVPIVIMERVINAPRRTVSDISFLKNFDVMHLATTSTVLEAGKSQSSTSAAQSGQDLKIVVFVHGFQGHHLDLRLVRNQWLLIDSKIHFLMSEANEEKTSGDFRDMGLRLAHEVIAYVKKKMDKASRSGDLRNIKLSFVGHSIGNIIIRAALAESTMEPYLRFLHTYVSLSGPHLGYLYSSNSLFKSGLWLLKKLKGTQCIHQLTFTDDPDIRNTFFYKLCKQKTLENFKNIVLLSSPQDGYVPYHSARIESCRAASTDYSKKGKAFLEMLNYCIDQIRAPTCENRVFIRCDVNFDTSTYGKNLNTLIGRAAHIEFLESDIFARFIMWSFPELFK >KJB16781 pep chromosome:Graimondii2_0_v6:2:61107095:61108266:1 gene:B456_002G247200 transcript:KJB16781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKEIISTLPRRKGWTDSNNDLFLYRGFWCYSFFIEGVMSAQQNFQSQPSDIVICSAPKTGTTWLKSLTFAIVTRTTFDDSTSPLLANLSHDCVPFLEVDLAHSSTNRDPKNPLLATHVPYSSLPRSIIDSSCKIVYICRNPKDSFVSFYHFIARLSASKDMKPVALEEAFELYCQGVSNYGPYWDHVLGFWKASLDRPDKILFLKYEEMIEDTVLYVKKLADFIGYPFSSEEIKKGSVDKIVSMCSFEKLSNLEVNKSGKHREGTPGVIENKIYFRKGKVGDWENYLTPEMAAQLDSITLQKLNGSGLTL >KJB17050 pep chromosome:Graimondii2_0_v6:2:62322055:62325495:1 gene:B456_002G262800 transcript:KJB17050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPVTLNIMLLSPLLPNQVTCQEPLSFFFFLRTFELLPGILMESFLISKSGLKPLPRIYIRPITGLVPRNYFSKPRFLHTSKCFSDLKVTTPLKVASVEEDEEINERMHGINKIGEQEEETIFDPAAPPPFNLADVRATIPQHCWVKDPWKSMSYVVRDVALVLSLAAAVVYVNNWLVWPLYWVAQGTMFWALFVLGHDCGHGSFSNNPKLNSLVGHLLHSFILVPYHGWRISHRIHHQNQGHVENDESWHPLTEKTYMSLDNNELTWRFKFPFPLLVYPFYLWGRSPGKTGSHFDPNSELFVPSERKDVITSSLCWTAMAAILVGLGFTMGPMLLLKLYGVPYWIFVIWLDFVTYMHHHGHQDKLPWYRRKEWSYLRGGLTTLDRDYGWMNNIHHDIGTHVIHHLFPQIPHYHLIEATKAAKPVLGKYYREPERSGPLPFYLIGVFIRSLKEDHYVSDTGDVVYYKTDPNIFKSA >KJB17051 pep chromosome:Graimondii2_0_v6:2:62322055:62325495:1 gene:B456_002G262800 transcript:KJB17051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPVTLNIMLLSPLLPNQVTCQEPLSFFFFLRTFELLPGILMESFLISKSGLKPLPRIYIRPITGLVPRNYFSKPRFLHTSKCFSDLKVTTPLKVASVEEDEEINERMHGINKIGEQEEETIFDPAAPPPFNLADVRATIPQHCWVKDPWKSMSYVVRDVALVLSLAAAVVYVNNWLVWPLYWVAQGTMFWALFVLGHDCGHGSFSNNPKLNSLVGHLLHSFILVPYHGWRISHRIHHQNQGHVENDESWHPLTEKTYMSLDNNELTWRFKFPFPLLVYPFYLWGRSPGKTGSHFDPNSELFVPSERKDVITSSLCWTAMAAILVGLGFTMGPMLLLKLYGVPYWEWSYLRGGLTTLDRDYGWMNNIHHDIGTHVIHHLFPQIPHYHLIEATKAAKPVLGKYYREPERSGPLPFYLIGVFIRSLKEDHYVSDTGDVVYYKTDPNIFKSA >KJB13091 pep chromosome:Graimondii2_0_v6:2:5495260:5499386:-1 gene:B456_002G056400 transcript:KJB13091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNGETGDWIGTFEGHKGAIWSSCLDTKALRAASASADFSAKLWDALTGDELHSFEHKHIVRACAFSDDTHFLLTGGIEKILRIFDLNRLDEQPRQVDNSPGSIRTVAWLHSDQMILSSCTDTNGVRLWDLRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDANHFGLVKSYDMPCNVESASLEPKHGNKFIAGGEDMWVHVFDFHTGEELGCNKGHHGPVHCVRFSPGGGSFASGSEDGTIRIWQTSPVTHDESDLLGSEPSGKVKAVADEVARKIESGLHIGGEGKTGDTDKATDA >KJB13094 pep chromosome:Graimondii2_0_v6:2:5495260:5500396:-1 gene:B456_002G056400 transcript:KJB13094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKVTVPLVCHGHSRPVVDLFYSPITPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAIWSSCLDTKALRAASASADFSAKLWDALTGDELHSFEHKHIVRACAFSDDTHFLLTGGIEKILRIFDLNRLDEQPRQVDNSPGSIRTVAWLHSDQMILSSCTDTNGVRLWDLRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDANHFGLVKSYDMPCNVESASLEPKHGNKFIAGGEDMWVHVFDFHTGEELGCNKGHHGPVHCVRFSPGGGSFASGSEDGTIRIWQTSPVTHDESDLLGSEPSGKVKAVADEVARKIESGLHIGGEGKTGDTDKATDA >KJB13092 pep chromosome:Graimondii2_0_v6:2:5495260:5499612:-1 gene:B456_002G056400 transcript:KJB13092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNGETGDWIGTFEGHKGAIWSSCLDTKALRAASASADFSAKLWDALTGDELHSFEHKHIVRACAFSDDTHFLLTGGIEKILRIFDLNRLDEQPRQVDNSPGSIRTVAWLHSDQMILSSCTDTNGVRLWDLRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDANHFGLVKSYDMPCNVESASLEPKHGNKFIAGGEDMWVHVFDFHTGEELGCNKGHHGPVHCVRFSPGGGSFASGSEDGTIRIWQTSPVTHDESDLLGSEPSGKVKAVADEVARKIESGLHIGGEGKTGDTDKATDA >KJB13093 pep chromosome:Graimondii2_0_v6:2:5495260:5500111:-1 gene:B456_002G056400 transcript:KJB13093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKVTVPLVCHGHSRPVVDLFYSPITPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAIWSSCLDTKALRAASASADFSAKLWDALTGDELHSFEHKHIVRACAFSDDTHFLLTGGIEKILRIFDLNRLDEQPRQVDNSPGSIRTVAWLHSDQMILSSCTDTNGVRLWDLRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDANHFGLVKSYDMPCNVESASLEPKHGNKFIAGGEDMWVHVFDFHTGEELGCNKGHHGPVHCVRFSPGGGSFASGSEDGTIRIWQTSPVTHDESDLLGSEPSGKVKAVADEVARKIESGLHIGGEGKTGDTDKATDA >KJB13532 pep chromosome:Graimondii2_0_v6:2:9843893:9845072:1 gene:B456_002G079900 transcript:KJB13532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILRNKKSSSVYTFVFSLFPLLFCISHSTSIHDVLVSRGLPAGLLPMEVKSYTLAEDGSLEVLLDGPCLTKYENRVLFDSVVRANLTYGSLIGVVGLTQEELFLWLPVKDIIVDDPKSGLILFDIGVAHKQLSLSLFEEPPHCKPQGMLKNQGRKEKGLEAVR >KJB13531 pep chromosome:Graimondii2_0_v6:2:9843893:9845072:1 gene:B456_002G079900 transcript:KJB13531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILRNKKSSSVYTFVFSLFPLLFCISHSTSIHDVLVSRGLPAGLLPMEVKSYTLAEDGSLEVLLDGPCLTKYENRVLFDSVVRANLTYGSLIGVVGLTQEELFLWLPVKDIIVDDPKSGLILFDIGVAHKQLSLSLFEEPPHWMLKNQGRKEKGLEAVR >KJB13023 pep chromosome:Graimondii2_0_v6:2:4546865:4547878:-1 gene:B456_002G052000 transcript:KJB13023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTFLSFALLIIFHFSMPTISMKLTTILTDQSALLALKDHVIHDPENVLTTNWSASAPVCNWFGVSCGSRHRRVTALDLTGLGLVGTLPPHLGNLSFLSLLYITNNSFYGRLPAQLSNLHRLKYLSFGNNNFGGEVPSWLGSLTELRRLFLYQNNFKGVIPFSLGYLSKLEFLTLFENQISGSIPSSIFNISTLQNIYLSYNKLSGSIPSGPHDLLSLELIDFSSNNLSGHIPKDMFDYLPNLKELYLSVNLLSGRIPASLFKCKELQMLSLAYNQMEGSLPIEIGNLSMLQYIYIGRNHFEGNVC >KJB12227 pep chromosome:Graimondii2_0_v6:2:477529:479005:1 gene:B456_002G007400 transcript:KJB12227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRTSFTLSKRRKTVDSKDLQELELPPSTDIKLGNSRRRFLSDSGDKPIQVSTSSNFAGDMCSSLGSGDGSCSASRCVSNESCDIVKHSSRFVDLEVKSFGTEISTCINNTNKFSTKTTPTIELIGDSEEPPHRNTPPSPSPSPPPTQAEIDEFFSVAEKYEQVRFLKKYNYDIVKDVPLDGRYQWIRLKP >KJB13003 pep chromosome:Graimondii2_0_v6:2:4382454:4383303:-1 gene:B456_002G050500 transcript:KJB13003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLTWILILHLFSVSVQSQYYTESLPYDPEEPKVTNLHFFLHEFLSGSNPTVAMIAQANITSSDNNSSVPFSTIFALDDILKIGPEDNSEVIGNAQGLGILIADTNTTNLLWYWDFGFITGKFNGSSISMFSRNPTTVTVRELSVVGGRGKFRMAKGFAQLKDYSRNDTTIIAELNVTVIHY >KJB14743 pep chromosome:Graimondii2_0_v6:2:24896199:24897908:-1 gene:B456_002G141400 transcript:KJB14743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRLPHQFSLFPLQHKPQSISNNFSSIPNISSTCFLPPLSPLTTTLRFKATPFTSFILHFSATTQDPALEAKQEEEEEEEEEEEEFSKTRLIAQNVPWSCTVEDIRSLFEKYGTVVDVELSMHNKTRNRGLAFVSMASPEEALAALNNLESYELEGRTLRLNYAKANKKKAAPPEKPKPVPAFNLFVANLSYDVRAKHLKEFFSSEGANVVSAEVIFHDSPRKSSGYGFVSFKSKKEADAAMSAFQGKVIPSFCKFLDYLSDLSNWVWPFR >KJB14744 pep chromosome:Graimondii2_0_v6:2:24896199:24897981:-1 gene:B456_002G141400 transcript:KJB14744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRLPHQFSLFPLQHKPQSISNNFSSIPNISSTCFLPPLSPLTTTLRFKATPFTSFILHFSATTQDPALEAKQEEEEEEEEEEEEFSKTRLIAQNVPWSCTVEDIRSLFEKYGTVVDVELSMHNKTRNRGLAFVSMASPEEALAALNNLESYELEGRTLRLNYAKANKKKAAPPEKPKPVPAFNLFVANLSYDVRAKHLKEFFSSEGANVVSAEVIFHDSPRKSSGYGFVSFKSKKEADAAMSAFQGKMFMGRPIRVQRSRQFVKVQSDDGSLANNKSTESNNNSKQAAMD >KJB16349 pep chromosome:Graimondii2_0_v6:2:58046038:58047575:-1 gene:B456_002G225200 transcript:KJB16349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRGRGKAKKQNVVSSLEDPGSGEDEKIPAYKRRGRPQKQMKDDIDEDEAEKVEEDGEDIKVSVLTKEIKNQAVTENGRKRKRSMQAKENIDSIKKGNGITTKSSTDEATKSVGYRQNGSRRKNKPRRAAEAVVECK >KJB16350 pep chromosome:Graimondii2_0_v6:2:58046038:58048071:-1 gene:B456_002G225200 transcript:KJB16350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRLSKIRVVMKDDIDEDEAEKVEEDGEDIKVSVLTKEIKNQAVTENGRKRKRSMQAKENIDSIKKGNGITTKSSTDEATKSVGYRQNGSRRKNKPRRAAEAVVECK >KJB16348 pep chromosome:Graimondii2_0_v6:2:58046038:58047194:-1 gene:B456_002G225200 transcript:KJB16348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRGRGKAKKQNVVSSLEDPGSGEDEKIPAYKRRGRPQKQMKDDIDEDEAEKVEEDGEDIKVSVLTKEIKNQAVTENGRKRKRSMQAKENIDSIKKGNGITTKSSTDEATKSVGYRQNGSRRKNKPRRAAEAVVECK >KJB16352 pep chromosome:Graimondii2_0_v6:2:58046038:58052616:-1 gene:B456_002G225200 transcript:KJB16352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRGRGKAKKQNVVSSLEDPGSGEDEKIPAYKRRGRPQKQMKDDIDEDEAEKVEEDGEDIKVSVLTKEIKNQAVTENGRKRKRSMQAKENIDSIKKGNGITTKSSTDEATKSVGYRQNGSRRKNKPRRAAEAVVECK >KJB16351 pep chromosome:Graimondii2_0_v6:2:58046038:58048233:-1 gene:B456_002G225200 transcript:KJB16351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRGRGKAKKQNVVSSLEDPGSGEDEKIPAYKRRGRPQKQMKDDIDEDEAEKVEEDGEDIKVSVLTKEIKNQAVTENGRKRKRSMQAKENIDSIKKGNGITTKSSTDEATKSVGYRQNGSRRKNKPRRAAEAVVECK >KJB13119 pep chromosome:Graimondii2_0_v6:2:6278974:6280367:-1 gene:B456_002G058100 transcript:KJB13119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSETAVRLPVIDFSKQELKPGSPEWDLVKFQVREALEEYGCFEALFDQVLKLRHAVFGAMEELFDLPLQTKKLYVSSKLFRGYSRDASGLHENMAIDDAHIPENIESDLTNILWPQGNKSFSETLVSFTQLASGLEKTIRRMILETFGVEKYVDEFIDSTNYILKVMKYEGSQSSKPSLRAHSDQNLVTLLYQNEVNGLEIQNKDGEWINVKPSPNTFFVMTGESLSVWLNGGLSSTYHRVTMKESKARYCVGLFATPRGGYQVKAPKELVDDKNGLLFKPFDYEEFLGFYSIHAARGALESGLKAYCSV >KJB14008 pep chromosome:Graimondii2_0_v6:2:14103854:14105165:-1 gene:B456_002G106100 transcript:KJB14008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVSSLHRVLSGYKDDLMVGNESGGAKPTALITRDLLGGGGGGAGGASPFINTKTDQSEELNLDLQVPNGWEKRLDLKSGKVYLQRCNSSSSSQLSDGSKHQINQTVPKLQDLNFPASSSKPLLNLFDDTNLELKLVSSLTPTNYQSVCTLDKVKFALERAEKEPIKKRSPSYSSSSSSIKDSQNSEGDQDKLFASPVAAGCPGCLSYVLIMKHNPKCPRCNTLVPMPVAKKPRIDLNISI >KJB14007 pep chromosome:Graimondii2_0_v6:2:14103854:14105140:-1 gene:B456_002G106100 transcript:KJB14007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVSSLHRVLSGYKDDLMVGNESGGAKPTALITRDLLGGGGGGAGGASPFINTKTDQSEELNLDLQVPNGWEKRLDLKSGKVYLQRCNSSSSSQLSDGSKHQINQTVPKLQDLNFPASSSKPLLNLFDDTNLELKLVSSLTPTNYQSVCTLDKVKFALERAEKEPIKK >KJB16668 pep chromosome:Graimondii2_0_v6:2:60634629:60637102:1 gene:B456_002G242000 transcript:KJB16668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMENHHHHHHQHHQYGMPDLRQLLNGRPSHFQTVQQGSELFSSGDRNLPPLQHHHQFDMMQMVGRQVVGHELMPRGGLHHEFSSAAAATPTAAMAAAVNSGSTPSASCGFEGEAIAFGGDGGTGRWPRQETLTLLEIRSRLDPKFKEANQKGPLWDEVSRIMCEDHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDDDNDLSTEMEINGGSSEKRKKKRSGGGSSKCWKAKIKEFIDLQMRKLMDRQETWLEKLTKTLEEKEKERVLREEQWRKEEAARIEREQKLWAKERARIEARDSALMEALQNLTGKQLKEIVKPDDWQETEVSRLIQLKTTMEGCSEEILWEEVAAKMACLGFEKSALMCKTKWESIGAYLMKNKDSNKKRKENCDYYHNNNEPLYSDSVSHSNATAGAAGNGVNDSCFRFLMADGENYWESYGLKLNKGENQ >KJB16667 pep chromosome:Graimondii2_0_v6:2:60634354:60637039:1 gene:B456_002G242000 transcript:KJB16667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPSVTLSFLLLLLLLHAAIRVFLPPLSFYFVHTSEKYPFFFSLSRTLPQCHYHCIRTFIHIWDHCPLLQYSSFFVKDTIPTPLLFLDSLLSSLHYSFHSDMEMENHHHHHHQHHQYGMPDLRQLLNGRPSHFQTVQQGSELFSSGDRNLPPLQHHHQFDMMQMVGRQVVGHELMPRGGLHHEFSSAAAATPTAAMAAAVNSGSTPSASCGFEGEAIAFGGDGGTGRWPRQETLTLLEIRSRLDPKFKEANQKGPLWDEVSRIMCEDHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGESSNSVSGHNQFTGNGFGFHGTQNCNIGHSQKLCDSLSLSNSFEFEPSSSDDNDLSTEMEINGGSSEKRKKKRSGGGSSKCWKAKIKEFIDLQMRKLMDRQETWLEKLTKTLEEKEKERVLREEQWRKEEAARIEREQKLWAKERARIEARDSALMEALQNLTGKQLKEIVKPDDWQETEVSRLIQLKTTMEGCSEEILWEEVAAKMACLGFEKSALMCKTKWESIGAYLMKNKDSNKKRKENCDYYHNNNEPLYSDSVSHSNATAGAAGNGVNDSCFRFLMADGENYWESYGLKLNKGENQ >KJB16890 pep chromosome:Graimondii2_0_v6:2:61624152:61626174:-1 gene:B456_002G253200 transcript:KJB16890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKGMRSLCFSPRTPSFSTSRQSTTSESTASSTNRRRFSESMIEQTIDAAATIIMKWDADTSAYAKVTSLFYESKREALRFIRSVNELQKTMHLLVSEQGSGSEKLVRAQILMQTAMKRLQKEFYQILSMNRAHLDPESVSTRSSRTSARSSTSDFDDDDEIRTAGDSISEIEEVSSMAMSDLKLIADCMIASGYAKECMHIYKIIRKSIIDEGIYKLGIEKLSSSQVNKMEWDVLDLKIKNWLEAEKISMRTLFTGERILCDQVFATSDLIKESCFTEISKEAAILLFGFPELVAKTKKYSQEKMFRVLDMYSAISEDWKEIETIFSFESTSTVRLQSLDSLVRLSESIRSLLMDFESTIQKDSSKTMIPGGGLHPLTISSMNYLTLLADYSNILTDIISDWPPPAKSTLPASFLFSPDSEDSTAPAISVRFAWLILVLLCKLDGKAKHYKDVSLSYLFLANNLQHVISRVRTSNLQYILGEEWITKHEAKVKQFTSNYEPLAWGEVFASLPENPTAPITAGKAKDCFRKFNASFEKAYWKQRSCIVPDSKLREEIKVSIGTKLVTLYRDFYNTHISTVGDERSARLFVRFSPEDIGNYFSDLFYGTVSSGSSSTSSSVSSHHRRQMLRSPLRV >KJB13477 pep chromosome:Graimondii2_0_v6:2:9161601:9163408:1 gene:B456_002G077300 transcript:KJB13477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTASSFCMCFVPVVSDNGLMVGKGSKKSSSLSLSEVKPLHSPAENVVDCGEKDKDGVGSFGLKNKSGRRSLSRYVKAVFFGTSLMKKMRSKKYKFKSKFKFKSEWLNSMHNNHVTIEDVDHSTRSMSSKFSSCLSKSSLSTNSSSTTLSSSSSSSSLRTSSGRLSSASLPYDSKPLMSKTVGNNGVQGKLGKGRHGFNVGVCCLLLVSLLVLVIWGKVCAIFCTSAVLVFANRLITSIKQGPLENIAVDHCIPEIGSEQYKKKIIMEGLLERSHIRNLY >KJB16333 pep chromosome:Graimondii2_0_v6:2:57928477:57931323:1 gene:B456_002G223800 transcript:KJB16333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAKYVPVNGGTTVGTDIKNLFSVIKTRRTVALFMFAFVGFTLLLAFCPSSNTSSPWVTNIFSASSTNSATRSQFSSLFDYFFSNTSSSPQQSTNFTSPSSSSSSSSGSNNHTRSNNGSTELGNTGQELLSDTNINENTTFAPSNTSTNNTQSSVLHANLTQASSPSVNENPPSTSNQVEKKGNSEVLKVNQTTPVVGNSPAKSDSSDKVSSGKGGKSVAEKGVVSNITASPTKKKKDNGSGSELSAKQGIESLMESLMNCDLFDGGWVKDNSYPLYKPGSCSFIDEQFSCIINGRPDKSYQKLKWKPKGCTLPRLNGGHMLELLRGKRLVFVGDSLNRNMWESLVCILKNAAKKPKNVYEAHGRSYFRGEVSYSFIFKDYNFTLEFFVSPFLVREWEMPDKNGGKKETLRLDLVGKSADQYKSADILVFNTGHWWTHEKTSKGKDYYQEGSHVYEELNVLEAFRKALTTWSRWIDANVNPMKTMVFFRGYSASHFSGGQWNSGGACDNETNPIKNEKYLTPYPSKMLVLELVLKGMKTHVTYLNITRLTDFRKDGHPSIYRKHPKQKLSEDERKEPLKYQDCSHWCLPGVPDSWNELLYAELLVKESKMRQHQRRAR >KJB12017 pep chromosome:Graimondii2_0_v6:2:13049285:13050488:-1 gene:B456_002G1021002 transcript:KJB12017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRLNEDDMEFDMIGIDAAIANSFRRILIAELPTMAIEKVLIANNTSIIQDEVLAHRLGLVPIRVDPRLFDYLSENDQPNEKNTVVFKLHVQCKRGSPRITVKSDALKWLPNGSELVKETRNATSDSSSKPETYTYFGCSQETIPEFVKNPIIPKYPDIIVAKLGPGQEIELEAHAVKGIGKTHAKWSPVATAWYRMLPEVRIDCNCRFLLF >KJB12014 pep chromosome:Graimondii2_0_v6:2:13048120:13050490:-1 gene:B456_002G1021002 transcript:KJB12014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRLNEDDMEFDMIGIDAAIANSFRRILIAELPTMAIEKVLIANNTSIIQDEVLAHRLGLVPIRVDPRLFDYLSENDQPNEKNTVVFKLHVQCKRGSPRITVKSDALKWLPNGSELVKETRNATSDSSSKPETYTYFGCSQETIPEFVKNPIIPKYPDIIVAKLGPGQEIELEAHAVKGIGKTHAKWSPVATAWYRMLPEVVLLEDIEDDLAEELKSKCPVNVFDIEDLGKGRRRATVARPRACTLCRECIRGDDWEKRVALRRVKDHFIFTIESTGALPPEVLFTEAVKILEDKCERVITELS >KJB12016 pep chromosome:Graimondii2_0_v6:2:13048034:13050490:-1 gene:B456_002G1021002 transcript:KJB12016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRLNEDDMEFDMIGIDAAIANSFRRILIAELPTMAIEKVLIANNTSIIQDEVLAHRLGLVPIRVDPRLFDYLSENDQPNEKNTVVFKLHVQCKRGSPRITVKSDALKWLPNGSELVKETRNATSDSSSKPETYTYFGCSQETIPEFVKNPIIPKYPDIIVAKLGPGQEIELEAHAVKGIGKTHAKWSPVATAWYRMLPEVGEGQL >KJB12013 pep chromosome:Graimondii2_0_v6:2:13048851:13050488:-1 gene:B456_002G1021002 transcript:KJB12013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRLNEDDMEFDMIGIDAAIANSFRRILIAELPTMAIEKVLIANNTSIIQDEVLAHRLGLVPIRVDPRLFDYLSENDQPNEKNTVVFKLHVQCKRGSPRITVKSDALKWLPNGSELVKETRNATSDSSSKPETYTYFGCSQETIPEFVKNPIIPKYPDIIVAKLGPGQEIELEAHAVKGIGKTHAKWSPVATAWYRMLPEVGEGQL >KJB12015 pep chromosome:Graimondii2_0_v6:2:13048034:13050490:-1 gene:B456_002G1021002 transcript:KJB12015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRLNEDDMEFDMIGIDAAIANSFRRILIAELPTMAIEKVLIANNTSIIQDEVLAHRLGLVPIRVDPRLFDYLSENDQPNEKNTVVFKLHVQCKRGSPRITVKSDALKWLPNGSELVKETRNATSDSSSKPETYTYFGCSQETIPEFVKNPIIPKYPDIIVAKLGPGQEIELEAHAVKGIGKTHAKWSPVATAWYRMLPEVVLLEDIEDDLAEELKSKCPVNVFDIEDLGKGRRRATVARPRACTLCRECIRGDDWEKRVALRRVKDHFILKILEDKCERVITELS >KJB12194 pep chromosome:Graimondii2_0_v6:2:322999:323381:-1 gene:B456_002G005100 transcript:KJB12194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQSEKRHFDMGSLRNNLPQKPGLSMYYSGKSQSFTCILDVRNVEDLKKQEHPNAKKRKKYPKTKEMHHHHHHYMPYPCQRVSSRAHCNSPYIGI >KJB16550 pep chromosome:Graimondii2_0_v6:2:59713340:59713861:1 gene:B456_002G236200 transcript:KJB16550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGLGQSPTSTIRRRNSITTPVQIPTKLTLLTPKPLQTATSLSSGSATAPLPLDLELISLKASFASYTSLKDILPSPAVAVNSPTAAGSATNSGYEISIRNRLVKQAAWAYLQPMSASPDSSGTHFFRRFWLRLSSQNPFSSCLRFIHLKLLPRLTRLFDRIIRSIRIIFTR >KJB11945 pep chromosome:Graimondii2_0_v6:2:54858657:54858811:-1 gene:B456_002G2053002 transcript:KJB11945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKLLHTKRVNSEGEQHIKEMENAEASLLSLATRKTDLMQIEKVHNELKLS >KJB14529 pep chromosome:Graimondii2_0_v6:2:20125113:20128714:1 gene:B456_002G129400 transcript:KJB14529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSDFDDVFGGPPRRSSVQETRYSFCENMESSSGFRTSDETVVAPRDSWSGLSEKPVFGEEGMMMNRRRYSKNDFFGDIFGGSQSLTSSPRKYEMKDPFAPVSHLMSPCRPLPPKAEPFATSAPPQFSLPAKLNKGTDLADPASKSKDWSLNGSSNYAYSPMSRFSGQANRDKDKEMRSNFQGNSKEDSISSGIPGNDNQFHFSIYKWANKGGLPFPIPLRGNYRLKEKDKLQRCSSANGWIACESIAMEPKANLHNNFSSTDRLSSHDMNEHGSPNDMRNKDDEPVQIIEEETYKPPRKPLNLFFDNEDVNEQGHDEIKKNAETSEKKKKEKKSSENLDGKSVKKQDKNKTATSNNVGTSTMNVKSSPRNSWDNGKGRVRGNVKEFIKIFNQESSPKPRADNHSSRPKQRHNVKPETEPNISTSEKDEKIYMPNLQHKKKSPDVPVGNQINTNGSAKATVSDGSKTIAEDPTEPSEINFSIEDLTPEEEKVLPQHGIDYEEIQAIDAKIRQWSNGKQGNIRSLLSTLQYVLWADSGWKPVPLSDIIEGRTVKKSYQKALLCLHPDKLQQKGAAPYKKYIAEKVFDILQVIYIFFSFWLQKMNIPHLKHLLQSQKHRFLLPSFLSYG >KJB14530 pep chromosome:Graimondii2_0_v6:2:20125113:20129194:1 gene:B456_002G129400 transcript:KJB14530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSDFDDVFGGPPRRSSVQETRYSFCENMESSSGFRTSDETVVAPRDSWSGLSEKPVFGEEGMMMNRRRYSKNDFFGDIFGGSQSLTSSPRKYEMKDPFAPVSHLMSPCRPLPPKAEPFATSAPPQFSLPAKLNKGTDLADPASKSKDWSLNGSSNYAYSPMSRFSGQANRDKDKEMRSNFQGNSKEDSISSGIPGNDNQFHFSIYKWANKGGLPFPIPLRGNYRLKEKDKLQRCSSANGWIACESIAMEPKANLHNNFSSTDRLSSHDMNEHGSPNDMRNKDDEPVQIIEEETYKPPRKPLNLFFDNEDVNEQGHDEIKKNAETSEKKKKEKKSSENLDGKSVKKQDKNKTATSNNVGTSTMNVKSSPRNSWDNGKGRVRGNVKEFIKIFNQESSPKPRADNHSSRPKQRHNVKPETEPNISTSEKDEKIYMPNLQHKKKSPDVPVGNQINTNGSAKATVSDGSKTIAEDPTEPSEINFSIEDLTPEEEKVLPQHGIDYEEIQAIDAKIRQWSNGKQGNIRSLLSTLQYVLWADSGWKPVPLSDIIEGRTVKKSYQKALLCLHPDKLQQKGAAPYKKYIAEKVFDILQDAWDQFNTLGSI >KJB13132 pep chromosome:Graimondii2_0_v6:2:6527684:6528016:1 gene:B456_002G058600 transcript:KJB13132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLLPPSTMVNAVVDCRCPMMGVSFFTYRFCHLLQLEHFQLKLSIRNPVLVLTSKTSNEKTKVTLISLSKPFNHQPTQIRL >KJB13133 pep chromosome:Graimondii2_0_v6:2:6527425:6528830:1 gene:B456_002G058600 transcript:KJB13133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLLPPSTMVNAVVDCRCPMMGVSFFTYRFCHLLQLEHFQLKLSIRNPVLVLTSKTSNEKTKVTLISLSKPFNHQPTQIRLSSNKHGASKSM >KJB12423 pep chromosome:Graimondii2_0_v6:2:1128281:1139151:-1 gene:B456_002G017100 transcript:KJB12423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 18 [Source:Projected from Arabidopsis thaliana (AT1G12470) UniProtKB/Swiss-Prot;Acc:F4IDS7] MDQGREVFTVDLLERYATKGRGVITCMAAGNDVIVIGTSKGWIIRHDFGVGDSNDIDLSAGRPGEQSIHRVFVDPGGSHCIATVVGSGGADTFYTHAKWTKPRVLSRLKGLVVNAVAWNRQQITEASTREVILGTENGQLYEIAVDEKDKREKYIKPLFELAELPEAIMGLQMETAIMSNGSRYYVMAVTPTRLYSFTGIGTLETVFASYLNRAVHFMELPGEIPNSDLHFFIKQRRAIHFAWLSGAGIYHGSLNFGAQHSSPNGDQNFVEKKALLDYAKLSNGAEVVKPSSMAVSEFHFLLLIGNKVKVVNRISEQIIEELQFDQVSDSSSRGIIGLCSDATAGLFYAYDQNSIFQVSVNDEGRDMWKVYLDMKEYAAALANSRDPLQRDQVYLVQAEAAFSSRDFLRAASFYAKINYILSFEEITLKFISVNEQDALRTFLLRKLDNLSKDDKCQITMISTWATELYLDKINRLLLEDDTALVNHNSEYQSIIKEFRAFLSDCKDVLDEVTTMRLLESYGRVEELVYFASLKEQHEIVIHYYIQQGEAKKALEVLRKPAVPIDLQYKFAPDLITLDAYETVEFWMASNNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEFCVHHLHNEDPGIHNLLLSLYAKQEDDSSLLRFLQCKFGKGQENGPDFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQIDPELAMAEADKVEDDEDLRKKLWLMVAKHVIEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLDDYNKQIEQLKQEMNDATHGADNIRNDISALAQRYVVIDRDEDCGVCRRKILAIGGDYRMASGYTAVGSMAPFYVFPCGHAFHSHCLIAHVTRCTNESQVSCLPIILSVLIC >KJB12422 pep chromosome:Graimondii2_0_v6:2:1128239:1139161:-1 gene:B456_002G017100 transcript:KJB12422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 18 [Source:Projected from Arabidopsis thaliana (AT1G12470) UniProtKB/Swiss-Prot;Acc:F4IDS7] MDQGREVFTVDLLERYATKGRGVITCMAAGNDVIVIGTSKGWIIRHDFGVGDSNDIDLSAGRPGEQSIHRVFVDPGGSHCIATVVGSGGADTFYTHAKWTKPRVLSRLKGLVVNAVAWNRQQITEASTREVILGTENGQLYEIAVDEKDKREKYIKPLFELAELPEAIMGLQMETAIMSNGSRYYVMAVTPTRLYSFTGIGTLETVFASYLNRAVHFMELPGEIPNSDLHFFIKQRRAIHFAWLSGAGIYHGSLNFGAQHSSPNGDQNFVEKKALLDYAKLSNGAEVVKPSSMAVSEFHFLLLIGNKVKVVNRISEQIIEELQFDQVSDSSSRGIIGLCSDATAGLFYAYDQNSIFQVSVNDEGRDMWKVYLDMKEYAAALANSRDPLQRDQVYLVQAEAAFSSRDFLRAASFYAKINYILSFEEITLKFISVNEQDALRTFLLRKLDNLSKDDKCQITMISTWATELYLDKINRLLLEDDTALVNHNSEYQSIIKEFRAFLSDCKDVLDEVTTMRLLESYGRVEELVYFASLKEQHEIVIHYYIQQGEAKKALEVLRKPAVPIDLQYKFAPDLITLDAYETVEFWMASNNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEFCVHHLHNEDPGIHNLLLSLYAKQEDDSSLLRFLQCKFGKGQENGPDFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQIDPELAMAEADKVEDDEDLRKKLWLMVAKHVIEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLDDYNKQIEQLKQEMNDATHGADNIRNDISALAQRYVVIDRDEDCGVCRRKILAIGGDYRMASGYTAVGSMAPFYVFPCGHAFHSHCLIAHVTRCTNESQAEYILDLQKQLTLLGSEVRRESNGGLTNEAITSISPADKLRSQLDDAVASECPFCCELMIREISLPFIMPEEAQQVASWEIKPQNLGNQRSFSLPV >KJB16235 pep chromosome:Graimondii2_0_v6:2:58252023:58253235:1 gene:B456_002G226300 transcript:KJB16235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSENLANIESWAFRPSFADSWLSEAEALTRALQYSFSTSFSNSDSHSLSPLFGLVNSNPLPTPTPTPSGSNVSGSDPETTVKRQRTLLKPLPTGKVSKSKRKSRASKFSQTTFIKADPANFRQMVQQVTGVRFCNAQMSLSPILKPEPQRPGCLPTLDTSAFLLDQQQPSSGAVSGSSLVPLRSSDGIASAEATLDPNSFPCFPTLESWKA >KJB15088 pep chromosome:Graimondii2_0_v6:2:34964951:34965505:1 gene:B456_002G159400 transcript:KJB15088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYSKPDKTSNASTSGGSSSATGRHPVYKGVRRRSSGKWVSEIREPRKPNRIWLGTFPTAEMAAIAYDVAALALKGQDAELNFPNSAASLPVPASTSPRDIQAAATSAATALGAANDALRNNSIAPPEKPSMADDFVDEDLIFDMPNVLSNMAEGMLLSPPRFDADQPPANNGGDDDENLWKFP >KJB15185 pep chromosome:Graimondii2_0_v6:2:39481776:39485006:-1 gene:B456_002G163900 transcript:KJB15185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSSSDDRVRSSSVDAARFHKEYGLDGLEFDSKEEAFSFYKQYAKSAGFSTIIKASRRSRISGKFIDAKFVCTRYGNDPESPLPAFATTIPVKKKRGRLNRSWSKTDCKAGMHVKRRQDGRWIVCSFIKEHNHDTFPDQGYYSRGHTPLALGNSDVSATAGRAKKMHLATSTQSGGHKKLDHHTAGDSNRLRSCQLLGLEEGDLQVLLDRFNHMQDENPNFFYAMDLNEEQRLRNVFWIDAKGRLDYGYFDDVVFLDTTYIKNDFKLPVVPFIGVNHHLQFLLLGCALVADQTKLTYVWLMRAWLRAMGGNAPKVILTDHDKALKAAVVEVFPDSRHSCIVLGQHENFMAKFDECISKSYMDEQFEKKWLELVDAFNLRNDLWFQSLYEDSKKWVPTYMRGVFLAGMSTVQRSDSVSSHFDKYLQRKTMLKEFLDQYKTILREKPEEEAKADFETGHKPPGLKSPSLFEKQMAPLYTHAIFKKFQVEVLGGIACHPMKEREEKGTMTFKVQDFEKNQEFIVVWNDTTSDISCLCRGFEFNGFPCRHMLIILQLSGVQSIPSQLILKRWTKDAKHSQTTGKQSDVVETRMRRYYDLCQRAFKLGDEGSLSRESYNIIFNALEEALGKCKSVNYTIQNITGPMSVETQGPHHFEEENQNSSTTKEVKRTKTTLREGYPETEISNTGRCDSWQQLGLSNIRVPSLECSYESQESRHRMELHSRTLNSYFGTQQLMQGQLSSTGRPHDACITQQRINGMGQLPFRLQSISSCYDIQDGLQDMDQPNAGSSQLLGMASKKLHSKHISK >KJB13177 pep chromosome:Graimondii2_0_v6:2:6999595:7009460:1 gene:B456_002G060300 transcript:KJB13177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVTRFILALMMVMLLPHFVVSFSIKTTTNINTDQSALLALKAYIVSDPQKMLKTNWSTATSVCNWIGVTCGSRHQRVIALNLSSMLLTGTIPSQIGNLSFLTSLDLMNNSFYGSLPDQFTNLHRLKHIDLSWNNFCGEIPYSFPKLQYLSLRNNIFAGEIRSDMFEHLPRLQVLSLTLNKLSSEIPMGLFKCKELQVIDFGYNRMMGGILPKEIGNLTMLRILRLGGNMFEGVIPYQIGDLLNLEELSLCNTLLKGHIPPSISNLTRLTILDLSTNSLTGVIPHQIGNLLNLEELILYSNMLKGPLPSFISNLTRLTTFDLSHNSLSGQIPSGLFERLPKLQRLDLGGNKLSGKIPLGLFNCKELQSLILDSNRLEEILPKEIGNLTMSMLEALDLHNNLLKGIIPYQISNLTRLEFLDLSNNFLTGIIPYQIGNLTRLRFLDLSNNSLTGVIPHQIGNLTCLQLLDLSSNSLTGQIPITLGNLRYLHLLDISDNDLSSTLSSSKSSFQSSLANCRELSYLSFARNPLISGYLPAFIGNSSIPLQYFDASSCNISGRIPGEIGNWNPTNLRYLGLNGNQLEGILPLSLINCSELVVLNVANNNFSDTFPHWLGMLPRLRVLILRSNRFHGSIQDSLTNFSFSKLQMVDLSHNDFTGLLPTGFFQNLKALKEEVGYDSEYFSYSVNLTIKGLELEFTKTVHMPIFTCIDLSDNGFHGEIPKVVGELRLLLALNLSHNSLTGPIPPSFGNLAALESLDLSFNKLSGRIPSQLTNLTFLEVLRFWNNNLVGPIPQGKQFNTFENDSYRGNLGLCGFPLSKECRNDERSQQAQDEEDNGNGIAFIWKVAMMGYGCGMVLGISIAYIVFATGRPWWLVRMIERDLQNKVSSWFGKKRE >KJB13800 pep chromosome:Graimondii2_0_v6:2:12012561:12019692:1 gene:B456_002G094900 transcript:KJB13800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRGISIAIFVVQALLASVALVSAQGSATPSRWQTLSGEAPVVIARGGFSGIFSDSSFGAYSLALETGPSDVILWCDVQLTNDGAGICFPDLKLDNNSKIATVFTDKPTTYLVNGVSTKGLFSIDYTLNDLGSVYLSQGIYSRTNKLDGNNYPIMTVQDTYTQLQPRGFWLNIQHDAFYAAHNLSMRSFVLSVSRNAKVTVDYISSPEVAFLRNIAPIFRRSSTKVVFRFLGPKDVEPSTNQTYDSLLTNLTFIKTFASGIIVPKSYIWPVDGALYLQPSTSIVLDAHKEGLEVFASDFYNDVPFSFNYSYDPVAEYLQFVDNGKFSVDGVLTDFPITPAAAIDCFAHIGKNASKQADLLVISNNGASGDYPGCTDMAYTKAIQDGVDVLDCPVQMTKDGIPICLGSINLIQSTDIVRTGFSNLTETVPEIMQSSGIFTYNLSWSDIKSLKPVISSPQSQYKLFRNPKFQNAGKYLKLSEFLSMANTTSSLNGVLISIENAAYLAKQGFGVTDAVLKALNEAGYDKQTTQKVMIQSSSSSVLMKFKGKTNYQLVYKIDEDIGGAQSSTIDDIKRFASAVVISKDSVFPENSAFLAGATDVVSRLQAANLSVLVQTFSNEFTSQAWDFFSDATVEINSFCAGASINGVITDFPKTSDRYRSKKPMLEERQQDTHLHESCAAWQPFVTSHN >KJB13799 pep chromosome:Graimondii2_0_v6:2:12012509:12019715:1 gene:B456_002G094900 transcript:KJB13799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRGISIAIFVVQALLASVALVSAQGSATPSRWQTLSGEAPVVIARGGFSGIFSDSSFGAYSLALETGPSDVILWCDVQLTNDGAGICFPDLKLDNNSKIATVFTDKPTTYLVNGVSTKGLFSIDYTLNDLGSVYLSQGIYSRTNKLDGNNYPIMTVQDTYTQLQPRGFWLNIQHDAFYAAHNLSMRSFVLSVSRNAKVTVDYISSPEVAFLRNIAPIFRRSSTKVVFRFLGPKDVEPSTNQTYDSLLTNLTFIKTFASGIIVPKSYIWPVDGALYLQPSTSIVLDAHKEGLEVFASDFYNDVPFSFNYSYDPVAEYLQFVDNGKFSVDGVLTDFPITPAAAIDCFAHIGKNASKQADLLVISNNGASGDYPGCTDMAYTKAIQDGVDVLDCPVQMTKDGIPICLGSINLIQSTDIVRTGFSNLTETVPEIMQSSGIFTYNLSWSDIKSLKPVISSPQSQYKLFRNPKFQNAGKYLKLSEFLSMANTTSSLNGVLISIENAAYLAKQGFGVTDAVLKALNEAGYDKQTTQKVMIQSSSSSVLMKFKGKTNYQLVYKIDEDIGGAQSSTIDDIKRFASAVVISKDSVFPENSAFLAGATDVVSRLQAANLSVLVQTFSNEFTSQAWDFFSDATVEINSFCAGASINGVITDFPKTSDRYRRNRCLKRGNKTPTYMSPVQPGSLLSLVTTDYLPPAEAPKPYLTESDVVEPPLPPVAKTTSTSTPSGTVAAAPAPNGQPKVAASIIVPLMAVLLAMYYCFEI >KJB13801 pep chromosome:Graimondii2_0_v6:2:12012695:12019012:1 gene:B456_002G094900 transcript:KJB13801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRGISIAIFVVQALLASVALVSAQGSATPSRWQTLSGEAPVVIARGGFSGIFSDSSFGAYSLALETGPSDVILWCDVQLTNDGAGICFPDLKLDNNSKIATVFTDKPTTYLVNGVSTKGLFSIDYTLNDLGSVYLSQGIYSRTNKLDGNNYPIMTVQDTYTQLQPRGFWLNIQHDAFYAAHNLSMRSFVLSVSRNAKVTVDYISSPEVAFLRNIAPIFRRSSTKVVFRFLGPKDVEPSTNQTYDSLLTNLTFIKTFASGIIVPKSYIWPVDGALYLQPSTSIVLDAHKEGLEVFASDFYNDVPFSFNYSYDPVAEYLQFVDNGKFSVDGVLTDFPITPAAAIDCFAHIGKNASKQADLLVISNNGASGDYPGCTDMAYTKAIQDGVDVLDCPVQMTKDGIPICLGSINLIQSTDIVRTGFSNLTETVPEIMQSSGIFTYNLSWSDIKSLKPVISSPQSQYKLFRNPKFQNAGKYLKLSEFLSMANTTSSLNGVLISIENAAYLAKQGFGVTDAVLKALNEAGYDKQTTQKVMIQSSSSSVLMKFKGKTNYQLVYKIDEDIGGAQSSTIDDIKRFASAVVISKDSVFPENSAFLAGATDVVSRLQAANLSVLVQTFSNEFTSQAWDFFSDATVEINSFCAGASINGVITDFPKTSDRYRSKCLPLEMETTKFYDNELPAILLSG >KJB14746 pep chromosome:Graimondii2_0_v6:2:25055724:25058074:-1 gene:B456_002G141600 transcript:KJB14746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLLVINCFILAIGHCGGPLMMRLYFIHGGKRVWFSSWLETGGWPIILFPIACAYLHRSRTQPSAENKLFLMKPRLFIAAAVIGILTGVDDYIYAYGVARLPVSTVALIIASQLVFTAVFAFVLVKQKFTSYSINAVFLLTMGAGVLGLNTSSDRPKNESYREYVLGFVMTVGAAALYGFILPLVELTYKKAKQEISYGLVLEIQAVMCLFATAFSTIGMLVNNDFKVIPKEAREFVLGETKYYTIAIMSAIVWQCFYLGVIGIIFCSSSLVSGIVIAVLLPVTEILAVIFYKESFHAEKGVALALSLWGFLSYFCGEIKQSKINKPALHLLQSEMPSVPNCQISV >KJB15412 pep chromosome:Graimondii2_0_v6:2:45327937:45330468:-1 gene:B456_002G176900 transcript:KJB15412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVDLHETTNANHVDHGWQKVSYPKRQRKTKPNADPNLPRPNGTLTNGSAGVFHSLEQQSDDRRRRIVEAQRAYAAAAIDADAKHKPKRSVIDDSDDDDGSDLDGLKPNGKPADEEKKAKPKKPKVTVVEAAAKIDPTDLSAYFAEWNGEQQEIQMQKFADYYGKAFQLVVAGQFPWLKLFRESTVAKLADIPLSHISDAVYKTSADWISQRSLEALGFFVLWSLDIILEDLAAQQAGAKGSKKGAQQTSLKSKVGIFVALAMVFQRKPDALISVLPKLRENSKYQGQDKLPIFAWTIVQASKGDLAVGLYSWAHLLLPVLSSKNCNPQSRDLILQLVEWILSVSKARSILVNNAVRKGERLVPPSSFEILMRATFPASSSRVKATERFEAIYPTVKEVALAGAHGSKAMRQVALQMFAFAIKAAGESMLLHLTTFCSLHPFPPALPAPFLYPLCSY >KJB15413 pep chromosome:Graimondii2_0_v6:2:45326796:45330795:-1 gene:B456_002G176900 transcript:KJB15413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVDLHETTNANHVDHGWQKVSYPKRQRKTKPNADPNLPRPNGTLTNGSAGVFHSLEQQSDDRRRRIVEAQRAYAAAAIDADAKHKPKRSVIDDSDDDDGSDLDGLKPNGKPADEEKKAKPKKPKVTVVEAAAKIDPTDLSAYFAEWNGEQQEIQMQKFADYYGKAFQLVVAGQFPWLKLFRESTVAKLADIPLSHISDAVYKTSADWISQRSLEALGFFVLWSLDIILEDLAAQQAGAKGSKKGAQQTSLKSKVGIFVALAMVFQRKPDALISVLPKLRENSKYQGQDKLPIFAWTIVQASKGDLAVGLYSWAHLLLPVLSSKNCNPQSRDLILQLVEWILSVSKARSILVNNAVRKGERLVPPSSFEILMRATFPASSSRVKATERFEAIYPTVKEVALAGAHGSKAMRQVALQMFAFAIKAAGESSPELSKEAAGIVIWCLNQNAECYKQWDKVYLDNLEASVSVLRRLSDEWKEHSTKLTTLDPLRETIKNFRNKNEKEMGNESDAATQALFQDADKYCKLIAGRLSRGPGCLKALAFLVVAFGVGAAVVAPNMDDWDWNKLYVVISSQIPV >KJB15414 pep chromosome:Graimondii2_0_v6:2:45327528:45330757:-1 gene:B456_002G176900 transcript:KJB15414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVDLHETTNANHVDHGWQKVSYPKRQRKTKPNADPNLPRPNGTLTNGSAGVFHSLEQQSDDRRRRIVEAQRAYAAAAIDADAKHKPKRSVIDDSDDDDGSDLDGLKPNGKPADEEKKAKPKKPKVTVVEAAAKIDPTDLSAYFAEWNGEQQEIQMQKFADYYGKAFQLVVAGQFPWLKLFRESTVAKLADIPLSHISDAVYKTSADWISQRSLEALGFFVLWSLDIILEDLAAQQAGAKGSKKGAQQTSLKSKVGIFVALAMVFQRKPDALISVLPKLRENSKYQGQDKLPIFAWTIVQASKGDLAVGLYSWAHLLLPVLSSKNCNPQSRDLILQLVEWILSVSKARSILVNNAVRKGERLVPPSSFEILMRATFPASSSRVKATERFEAIYPTVKEVALAGAHGSKAMRQVALQMFAFAIKAAGESMLLHLTTFCSLHPFPPALPAPFLYPLCSY >KJB15411 pep chromosome:Graimondii2_0_v6:2:45326796:45330757:-1 gene:B456_002G176900 transcript:KJB15411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVDLHETTNANHVDHGWQKVSYPKRQRKTKPNADPNLPRPNGTLTNGSAGVFHSLEQQSDDRRRRIVEAQRAYAAAAIDADAKHKPKRSVIDDSDDDDGSDLDGLKPNGKPADEEKKAKPKKPKVTVVEAAAKIDPTDLSAYFAEWNGEQQEIQMQKFADYYGKAFQLVVAGQFPWLKLFRESTVAKLADIPLSHISDAVYKTSADWISQRSLEALGFFVLWSLDIILEDLAAQQAGAKGSKKGAQQTSLKSKVGIFVALAMVFQRKPDALISVLPKLRENSKYQGQDKLPIFAWTIVQASKGDLAVGLYSWAHLLLPVLSSKNCNPQSRDLILQLVEWILSVSKARSILVNNAVRKGERLVPPSSFEILMRATFPASSSRVKATERFEAIYPTVKEVALAGAHGSKAMRQVALQMFAFAIKAAGESSPELSKEAAGIVIWCLNQNAECYKQWDKVYLDNLEASVSVLRRLSDEWKEHSTKLTTLDPLRETIKNFRNKKEMGNESDAATQALFQDADKYCKLIAGRLSRGPGCLKALAFLVVAFGVGAAVVAPNMDDWDWNKLYVVISSQIPV >KJB13671 pep chromosome:Graimondii2_0_v6:2:11193387:11194572:-1 gene:B456_002G088600 transcript:KJB13671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEILKAGCADYSAVVKTTIMLADLKDFKKVNEIYAKYFPSPAPARSTCRVAALPLDAKIEFECIAALKA >KJB16906 pep chromosome:Graimondii2_0_v6:2:61671447:61674584:-1 gene:B456_002G253800 transcript:KJB16906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRVRRPYLKGPSSCR >KJB16904 pep chromosome:Graimondii2_0_v6:2:61671298:61674584:-1 gene:B456_002G253800 transcript:KJB16904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKSEPQTIKINQGDQAAGGAAPAQKSACCG >KJB16907 pep chromosome:Graimondii2_0_v6:2:61671447:61674584:-1 gene:B456_002G253800 transcript:KJB16907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKSEVWFL >KJB16908 pep chromosome:Graimondii2_0_v6:2:61671447:61674584:-1 gene:B456_002G253800 transcript:KJB16908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLQVLSQPLGRIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKSEPQTIKINQGDQAAGGAAPAQKSACCG >KJB16905 pep chromosome:Graimondii2_0_v6:2:61671447:61672928:-1 gene:B456_002G253800 transcript:KJB16905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSISAAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKSEPQTIKINQGDQAAGGAAPAQKSACCG >KJB13835 pep chromosome:Graimondii2_0_v6:2:12200490:12203322:-1 gene:B456_002G096600 transcript:KJB13835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSDYTQILFNRIKKIDPENVSKIIGYLLLQDYGDQEIVQLATCPDIVMQEVIYKSKLELQQLPFKSASPPISPSMNSVPTLELPSQFSSFSPPVSPSVSSKLFLSPRSVQVTSPYWDQQLVAKHSPDFTTSGYLDSVSDLQAHTQLLSLEDPIEFVENGGFSMANHDVKVGRRYQNLPSFPVKTCHYFNKGYCKHGSNCRYFHGQVSDNFPQMFVHNSMDAVSEDHVMSLEKLEVELVELLKTKRGDPVSIASLPLLYYEKYGKVLQADGYLTESQRHGKAGYSLTKLLARLKNTIRVIDRPHGQHSVILAEDAPKYMENRNDRNDPGPIVSGSRQIYLTFPAESTFSEDDVSNYFSNYGQVEDVRIPCQQKRMFGFVTFVSADTVKMILAKGNPHYVCGARVLVKPYREKSKLVDRKYPERIESPMFYSPHYIDMESELQSIPRGYATSRLFKKQFMEDPEQIFQPPRRRLSDMHLAQKPLANQSFFAHSMNELKVVDGIELFILNNLTSSLILSHKMLSFPLSRSTQFPVSRIQLSLGSSQHQHCIR >KJB13834 pep chromosome:Graimondii2_0_v6:2:12200490:12203322:-1 gene:B456_002G096600 transcript:KJB13834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSDYTQILFNRIKKIDPENVSKIIGYLLLQDYGDQEIVQLATCPDIVMQEVIYKSKLELQQLPFKSASPPISPSMNSVPTLELPSQFSSFSPPVSPSVSSKLFLSPRSVQVTSPYWDQQLVAKHSPDFTTSGYLDSVSDLQAHTQLLSLEDPIEFVENGGFSMANHDVKVGRRYQNLPSFPVKTCHYFNKGYCKHGSNCRYFHGQVSDNFPQMFVHNSMDAVSEDHVMSLEKLEVELVELLKTKRGDPVSIASLPLLYYEKYGKVLQADGYLTESQRHGKAGYSLTKLLARLKNTIRVIDRPHGQHSVILAEDAPKYMENRNDRNDPGPIVSGSRQIYLTFPAESTFSEDDVSNYFSNYGQVEDVRIPCQQKRMFGFVTFVSADTVKMILAKGNPHYVCGARVLVKPYREKSKLVDRKYPERIESPMFYSPHYIDMESELQSIPRGYATSRLFKKQFMEDPEQIFQPPRRRLSDMHLAQKPLANQSFFAHSMNELKVVDDQLNFPSAEFNYLLEALNTSTASDEKIKHVEADNNDQERYSRTPKLHEFFILSIVGYNNCFQRWFICSDGLNLPDSPFTSAIASGISAVI >KJB13836 pep chromosome:Graimondii2_0_v6:2:12201222:12203310:-1 gene:B456_002G096600 transcript:KJB13836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSDYTQILFNRIKKIDPENVSKIIGYLLLQDYGDQEIVQLATCPDIVMQEVIYKSKLELQQLPFKSASPPISPSMNSVPTLELPSQFSSFSPPVSPSVSSKLFLSPRSVQVTSPYWDQQLVAKHSPDFTTSGYLDSVSDLQAHTQLLSLEDPIEFVENGGFSMANHDVKVGRRYQNLPSFPVKTCHYFNKGYCKHGSNCRYFHGQVSDNFPQMFVHNSMDAVSEDHVMSLEKLEVELVELLKTKRGDPVSIASLPLLYYEKYGKVLQADGYLTESQRHGKAGYSLTKLLARLKNTIRVIDRPHGQHSVILAEDAPKYMENRNDRNDPGPIVSGSRQIYLTFPAESTFSEDDVSNYFSNYGQVEDVRIPCQQKRMFGFVTFVSADTVKMILAKGNPHYVCGARVLVKPYREKSKLVDRKYPERIESPMFYSPHYIDMESELQSIPRGYATSRLFKKQFMEDPEQIFQPPRRRLSDMHLAQKPLANQSFFAHSMNELKVVDGIELFILNNLTSSLILSHKMLSFPLSRSTQFPVSRIQLSLGSSQHQHCIR >KJB13833 pep chromosome:Graimondii2_0_v6:2:12200316:12204106:-1 gene:B456_002G096600 transcript:KJB13833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSDYTQILFNRIKKIDPENVSKIIGYLLLQDYGDQEIVQLATCPDIVMQEVIYKSKLELQQLPFKSASPPISPSMNSVPTLELPSQFSSFSPPVSPSVSSKLFLSPRSVQVTSPYWDQQLVAKHSPDFTTSGYLDSVSDLQAHTQLLSLEDPIEFVENGGFSMANHDVKVGRRYQNLPSFPVKTCHYFNKGYCKHGSNCRYFHGQVSDNFPQMFVHNSMDAVSEDHVMSLEKLEVELVELLKTKRGDPVSIASLPLLYYEKYGKVLQADGYLTESQRHGKAGYSLTKLLARLKNTIRVIDRPHGQHSVILAEDAPKYMENRNDRNDPGPIVSGSRQIYLTFPAESTFSEDDVSNYFSNYGQVEDVRIPCQQKRMFGFVTFVSADTVKMILAKGNPHYVCGARVLVKPYREKSKLVDRKYPERIESPMFYSPHYIDMESELQSIPRGYATSRLFKKQFMEDPEQIFQPPRRRLSDMHLAQKPLANQSFFAHSMNELKVVDDQLNFPSAEFNYLLEALNTSTASDEKIKHVEADNNDQESDGLNLPDSPFTSAIASGISAVI >KJB14484 pep chromosome:Graimondii2_0_v6:2:19562458:19564515:-1 gene:B456_002G127500 transcript:KJB14484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRGEEHDIKTPVRDGNHNGDAVLTCTQTLDQVHRPQRQQSLGHVGRSPNPDRIAGASVAPISVSSNTKPLAGVVRYRECLKNHAASIGGNVYDGCGEFMPSGEEGTLEALKCAACDCHRNFHRKEVDGETQQFGGRRSLMLNPLQLPPPLPSPTMLHHHHHHHQKYTSPPSAMVTPMNVAFGGGGTESSSEDLNMYQSNPEGMAAAAPPYVLSKKRFRTKFTQEQKDKMLELAEKLGWRINKQDEDEVEKFCAEYGVKRQVFKVWMHNNKNVKKPPQDQ >KJB12702 pep chromosome:Graimondii2_0_v6:2:2397956:2402060:1 gene:B456_002G032100 transcript:KJB12702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKASESFSKTLIEEVHKWGCMKQTGVSLRYMMEFGSKPTQRNLLISGQFLHKELPIRIARRAIELESLPYGLSEKPAVLKVRDWYLDSFRDLRSFPAIKDTNDEREFTQMIKAIKVRHNNVVPMMALGVQQLKKGMDPKIVYEDLDEIHQFLDRFYLSRIGIRMLIGQHVELHNPNPPLHCVGYIHTKMSPVEVAQNASEDARCICLREYGSAPEVNIYGDPKFTFPYVPTHLHLMVFELVKNSLRAVQERYMDLDRVVPPIRIIVADGIEDVTIKVSDEGGGIPRSGLPKIFTYLYSTARNPLDEHSDLGTADTVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >KJB12703 pep chromosome:Graimondii2_0_v6:2:2398033:2401946:1 gene:B456_002G032100 transcript:KJB12703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKASESFSKTLIEEVHKWGCMKQTGVSLRYMMEFGSKPTQRNLLISGQFLHKELPIRIARRAIELESLPYGLSEKPAVLKVRDWYLDSFRDLRSFPAIKDTNDEREFTQMIKAIKVRHNNVVPMMALGVQQLKKGMDPKIVYEDLDEIHQFLDRFYLSRIGIRQHVELHNPNPPLHCVGYIHTKMSPVEVAQNASEDARCICLREYGSAPEVNIYGDPKFTFPYVPTHLHLMVFELVKNSLRAVQERYMDLDRVVPPIRIIVADGIEDVTIKVSDEGGGIPRSGLPKIFTYLYSTARNPLDEHSDLGTADTVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >KJB13880 pep chromosome:Graimondii2_0_v6:2:12649479:12651946:1 gene:B456_002G099700 transcript:KJB13880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDKKDNENVETEKMDEDQECKQDKESKEEFEEGKGDSKTEAMEEEKSHSKENDKEVEKEENKDEVEEKVEELKEEEKTQERKEGKGSKKRGKVQNSGEKVKEEIKKVEGKKETEQRTPLRDRPVREHKSVERLVASIDKDASREFQIKKGRGTVLKVIPNVTFKLSRRKPDDTLRLHTILFGRRGKAVQVKSNISGFSGFVWLENEEKQKTKVKEKLLEFCDMLDITSTRATARKEYNSCIEFDPATGVVLQ >KJB16657 pep chromosome:Graimondii2_0_v6:2:60695829:60699441:1 gene:B456_002G242800 transcript:KJB16657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGAEVKSGQNFEVELEDDGSRILHLSLVALGEGTGDNKKVKAKETICLYLKFKNEKFLVGTLSQEKCPQIALDLVLHDKFELSHTRKNGSVYVTGYYVGTSQGSDTESEEDLLEPTMNLVQSVPAASDPTTTEQVTIVSSDKDEESSEEQEPRMLVNGENDSDDADSDEDDSDDADCDYHPDIDDADGNEDDGEEDSSDEDKKTPEKEPRMLVNGENDSDDADSDEDDGEEDSSDEDQKTPEKAGPSRKRPAMSSMKTPAAPKKKAKLVTPQKTGRFSLPSLPL >KJB16654 pep chromosome:Graimondii2_0_v6:2:60691524:60699456:1 gene:B456_002G242800 transcript:KJB16654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGAEVKSGQNFEVALEDDGSRILHLSQVALGEGTDDNKKEKGKETICLYLKFKNEKFVVGTLSQEKCPQIALDLVLHDKFELSHTGKNGSVYVTGYYVDTSQGSDTESEEDLPEPTMNLVKSEPAASDPTTTKQVKIVEPKKDDDSSDEDEESSEEQEPRMLVNGENDSDDADSDEDDGEEDSSDEDQKTPEKAGPSRKRPAKSSKKTPAAPKKKAKLVTPQKTVHSGGDSKKAGGHTATPHPSKKAGKTSAVAAQVTQTPTSSGFIPLQVLQQIIWL >KJB16658 pep chromosome:Graimondii2_0_v6:2:60695829:60699441:1 gene:B456_002G242800 transcript:KJB16658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGAEVKSGQNFEVELEDDGSRILHLSLVALGEGTGDNKKVKAKETICLYLKFKNEKFLVGTLSQEKCPQIALDLVLHDKFELSHTRKNGSVYVTGYYVGTSQGSDTESEEDLLEPTMNLVQSVPAASDPTTTEQVTIVSSDKDEESSEEQEPRMLVNGENDSDDADSDEDDGEEDSSDEDQKTPEKAGPSRKRPAMSSMKTPAAPKKKAKLVTPQKTGRFSLPSLPL >KJB16652 pep chromosome:Graimondii2_0_v6:2:60691524:60699456:1 gene:B456_002G242800 transcript:KJB16652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGAEVKSGQNFEVALEDDGSRILHLSQVALGEGTDDNKKEKGKETICLYLKFKNEKFVVGTLSQEKCPQIALDLVLHDKFELSHTGKNGSVYVTGYYVDTSQGSDTESEEDLLEPTMNLVQSVPAASDPTTTEQVTIVSSDKDEESSEEQEPRMLVNGENDSDDADSDEDDGEEDSSDEDQKTPEKAGPSRKRPAMSSMKTPAAPKKKAKLVTPQKTGRFSLPSLPL >KJB16655 pep chromosome:Graimondii2_0_v6:2:60691524:60699456:1 gene:B456_002G242800 transcript:KJB16655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGAEVKSGQNFEVALEDDGSRILHLSQVALGEGTDDNKKEKGKETICLYLKFKNEKFVVGTLSQEKCPQIALDLVLHDKFELSHTGKNGSVYVTGYYVDTSQDTESEEDLPEPTMNLVKSEPAASDPTTTKQVKIVEPKKDDDSSDEDEESSEEQEPRMLVNGENDSDDADSDEDDGEEDSSDEDQKTPEKAGPSRKRPAMSSMKTPAAPKKKAKLVTPQKTGRFSLPSLPL >KJB16651 pep chromosome:Graimondii2_0_v6:2:60691443:60699456:1 gene:B456_002G242800 transcript:KJB16651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGAEVKSGQNFEVELEDDGSRILHLSLVALGEGTGDNKKVKAKETICLYLKFKNEKFLVGTLSQEKCPQIALDLVLHDKFELSHTRKNGSVYVTGYYVGTSQGSDTESEEDLLEPTMNLVQSVPAASDPTTTEQVTIVSSDKDEESSEEQEPRMLVNGENDSDDADSDEDDGEEDSSDEDQKTPEKAGPSRKRPAMSSMKTPAAPKKKAKLVTPQKTGRFSLPSLPL >KJB16656 pep chromosome:Graimondii2_0_v6:2:60691524:60699456:1 gene:B456_002G242800 transcript:KJB16656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGAEVKSGQNFEVALEDDGSRILHLSQVALGEGTGDNKKVKAKETICLYLKFKNEKFLVGTLSQEKCPQIALDLVLHDKFELSHTRKNGSVYVTGYYVGTSQGSDTESEEDLLEPTMNLVQSVPAASDPTTTEQVTIVSSDKDEESSEEQEPRMLVNGENDSDDADSDEDDGEEDSSDEDQKTPEKAGPSRKRPAMSSMKTPAAPKKKAKLVTPQKTGRFSLPSLPL >KJB16653 pep chromosome:Graimondii2_0_v6:2:60691524:60699456:1 gene:B456_002G242800 transcript:KJB16653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGAEVKSGQNFEVALEDDGSRILHLSQVALGEGTDDNKKEKGKETICLYLKFKNEKFVVGTLSQEKCPQIALDLVLHDKFELSHTGKNGSVYVTGYYVDTSQGSDTESEEDLPEPTMNLVKSEPAASDPTTTKQVKIVEPKKDDDSSDEDEESSEEQEPRMLVNGENDSDDADSDEDDGEEDSSDEDQKTPEKAGPSRKRPAMSSMKTPAAPKKKAKLVTPQKTGRFSLPSLPL >KJB16650 pep chromosome:Graimondii2_0_v6:2:60691443:60699441:1 gene:B456_002G242800 transcript:KJB16650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGAEVKSGQNFEVELEDDGSRILHLSLVALGEGTGDNKKVKAKETICLYLKFKNEKFLVGTLSQEKCPQIALDLVLHDKFELSHTRKNGSVYVTGYYVGTSQGSDTESEEDLLEPTMNLVQSVPAASDPTTTEQVTIVSSDKDEESSEEQEPRMLVNGENDSDDADSDEDDSDDADCDYHPDIDDADGNEDDGEEDSSDEDKKTPEKEPRMLVNGENDSDDADSDEDDGEEDSSDEDQKTPEKAGPSRKRPAMSSMKTPAAPKKKAKLVTPQKTGRFSLPSLPL >KJB12983 pep chromosome:Graimondii2_0_v6:2:4119939:4121425:-1 gene:B456_002G048500 transcript:KJB12983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIGKHFTNTGKIQSKILEIVLPISNPHSKKKKTQKQWFSLCHQQDGNEVFFKIKRSTQLKKLMNAYYDRQSMDFNSIAFLFNGRRLRGEQTPNELEMEDGDEIDAMLHQTSGTSA >KJB15978 pep chromosome:Graimondii2_0_v6:2:55260272:55263242:1 gene:B456_002G206700 transcript:KJB15978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGAVHSDFSPNSTFVDAAMDLDLMDELLFEGCWLETNDDFNFMQPAPSTSNDLNQSITVPSNANLHQMHQGETERSVSPPCDGSAVGNASSLLQPGTFIVQGTELGSRWWIGPSAESGSSLSVKERLMQAIGYLKESTKDRDLLIQIWVPVNREGKHVLTTEGQPYSLNTNCKSLEIFRDVSKSYNFPAEEDTKESVGLPGRVFLEKLPEWTPDVRFFRSEEYPRISFAEKYNVGGSLALPVFERANGTCLGVVEIVTTIQKSNYRPELEHVCKALEAVDLRSSPNFTPPNVEACNELYQAALPEIAEVLRSVCKTYKLPLALTWAPCLNQGKSGCRHSYENFYHCVSTVDTACFIADEEFSEFLEACSEHHMFRGQGVVGRAFTTNKQCFATDITAFSKTNYPLSHHARMFGLRGAVAIPLQSIFTGLVEFVLELFLPKDCHDSEAQKQMLNSLSGFMQQACQSLHVVVDKMLEEEVILPVKEVVVASDRRSDKEETQFTVSSAKENSPEESSWIAHMMEAQQKGKGVSVSWEYQKEEPKEEFKVTTHWEDTQLELHGKEVLSDFQQLHQNDESKASVEGGGGDSSSSGGRGVLPSKRAGEKRRTKMEKTISLEVLRQYFAGSLKDAAKSIGGREILN >KJB15980 pep chromosome:Graimondii2_0_v6:2:55260272:55264565:1 gene:B456_002G206700 transcript:KJB15980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGAVHSDFSPNSTFVDAAMDLDLMDELLFEGCWLETNDDFNFMQPAPSTSNDLNQSITVPSNANLHQMHQGETERSVSPPCDGSAVGNASSLLQPGTFIVQGTELGSRWWIGPSAESGSSLSVKERLMQAIGYLKESTKDRDLLIQIWVPVNREGKHVLTTEGQPYSLNTNCKSLEIFRDVSKSYNFPAEEDTKESVGLPGRVFLEKLPEWTPDVRFFRSEEYPRISFAEKYNVGGSLALPVFERANGTCLGVVEIVTTIQKSNYRPELEHVCKALEAVDLRSSPNFTPPNVEACNELYQAALPEIAEVLRSVCKTYKLPLALTWAPCLNQGKSGCRHSYENFYHCVSTVDTACFIADEEFSEFLEACSEHHMFRGQGVVGRAFTTNKQCFATDITAFSKTNYPLSHHARMFGLRGAVAIPLQSIFTGLVEFVLELFLPKDCHDSEAQKQMLNSLSGFMQQACQSLHVVVDKMLEEEVILPVKEVVVASDRRSDKEETQFTVSSAKENSPEESSWIAHMMEAQQKGKGVSVSWEYQKEEPKEEFKVTTHWEDTQLELHGKEVLSDFQQLHQNDESKASVEGGGGDSSSSGGRGVLPSKRAGEKRRTKMEKTISLEVLRQYFAGSLKDAAKSIGVCPTTLKRICRHHGITRWPSRKIKKVGHSLRKLQLVIDSVQGAEGAIQIGSFYSSFPELSSPNLSGNDPSSSLKISGHSKPSEPQLENCMFNQGAAAPKSPSSSCSQSSGSSTCCSMGVKQHSTSISALCSADGLMVEDPGGALKRARSDAELHSLNQEEPKLLARSQSHKTLGELPPLETQSPLPKTGGQNLRTGGAFRVKATFGEVKIRFSLQPSWGFRDVQQEIAKRFNIEDVSRMCLKYLDDDNEWVLLTCDADLEECRDIYKSFQSHTIKISLHQASHPNLGSSFGSSAPL >KJB15975 pep chromosome:Graimondii2_0_v6:2:55260272:55264565:1 gene:B456_002G206700 transcript:KJB15975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGAVHSDFSPNSTFVDAAMDLDLMDELLFEGCWLETNDDFNFMQPAPSTSNDLNQSITVPSNANLHQMHQGETERSVSPPCDGSAVGNASSLLQPGTFIVQGTELGSRWWIGPSAESGSSLSVKERLMQAIGYLKESTKDRDLLIQIWVPVNREGKHVLTTEGQPYSLNTNCKSLEIFRDVSKSYNFPAEEDTKESVGLPGRVFLEKLPEWTPDVRFFRSEEYPRISFAEKYNVGGSLALPVFERANGTCLGVVEIVTTIQKSNYRPELEHVCKALEAVDLRSSPNFTPPNVEACNELYQAALPEIAEVLRSVCKTYKLPLALTWAPCLNQGKSGCRHSYENFYHCVSTVDTACFIADEEFSEFLEACSEHHMFRGQGVVGRAFTTNKQCFATDITAFSKTNYPLSHHARMFGLRGAVAIPLQSIFTGLVEFVLELFLPKDCHDSEAQKQMLNSLSGFMQQACQSLHVVVDKMLEEEVILPVKEVVVASDRRSDKEETQFTVSSAKENSPEESSWIAHMMEAQQKGKGVSVSWEYQKEEPKEEFKVTTHWEDTQLELHGKEVLSDFQQLHQNDESKASVEGGGGDSSSSGGRGVLPSKRAGEKRRTKMEKTISLEVLRQYFAGSLKDAAKSIGVCPTTLKRICRHHGITRWPSRKIKKGRSTQASRNLAHQTFLVMTLLRL >KJB15976 pep chromosome:Graimondii2_0_v6:2:55259990:55264617:1 gene:B456_002G206700 transcript:KJB15976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGAVHSDFSPNSTFVDAAMDLDLMDELLFEGCWLETNDDFNFMQPAPSTSNDLNQSITVPSNANLHQMHQGETERSVSPPCDGSAVGNASSLLQPGTFIVQGTELGSRWWIGPSAESGSSLSVKERLMQAIGYLKESTKDRDLLIQIWVPVNREGKHVLTTEGQPYSLNTNCKSLEIFRDVSKSYNFPAEEDTKESVGLPGRVFLEKLPEWTPDVRFFRSEEYPRISFAEKYNVGGSLALPVFERANGTCLGVVEIVTTIQKSNYRPELEHVCKALEAVDLRSSPNFTPPNVEACNELYQAALPEIAEVLRSVCKTYKLPLALTWAPCLNQGKSGCRHSYENFYHCVSTVDTACFIADEEFSEFLEACSEHHMFRGQGVVGRAFTTNKQCFATDITAFSKTNYPLSHHARMFGLRGAVAIPLQSIFTGLVEFVLELFLPKDCHDSEAQKQMLNSLSGFMQQACQSLHVVVDKMLEEEVILPVKEVVVASDRRSDKEETQFTVSSAKENSPEESSWIAHMMEAQQKGKGVSVSWEYQKEEPKEEFKVTTHWEDTQLELHGKEVLSDFQQLHQNDESKASVEGGGGDSSSSGGRGVLPSKRAGEKRRTKMEKTISLEVLRQYFAGSLKDAAKSIGVCPTTLKRICRHHGITRWPSRKIKKVGHSLRKLQLVIDSVQGAEGAIQIGSFYSSFPELSSPNLSGNDPSSSLKISGHSKPSEPQLENCMFNQGAAAPKSPSSSCSQSSGSSTCCSMGVKQHSTSISALCSADGLMVEDPGGALKRARSDAELHSLNQEEPKLLARSQSHKTLGELPPLETQSPLPKTGGQNLRTGGAFRVKATFGEVKIRFSLQPSWGFRDVQQEIAKRFNIEDVSRMCLKYLDDDNEWVLLTCDADLEECRDIYKSFQSHTIKISLHQASHPNLGSSFGSSAPL >KJB15979 pep chromosome:Graimondii2_0_v6:2:55259990:55264565:1 gene:B456_002G206700 transcript:KJB15979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGAVHSDFSPNSTFVDAAMDLDLMDELLFEGCWLETNDDFNFMQPAPSTSNDLNQSITVPSNANLHQMHQGETERSVSPPCDGSAVGNASSLLQPGTFIVQGTELGSRWWIGPSAESGSSLSVKERLMQAIGYLKESTKDRDLLIQIWVPVNREGKHVLTTEGQPYSLNTNCKSLEIFRDVSKSYNFPAEEDTKESVGLPGRVFLEKLPEWTPDVRFFRSEEYPRISFAEKYNVGGSLALPVFERANGTCLGVVEIVTTIQKSNYRPELEHVCKALEAVDLRSSPNFTPPNVEACNELYQAALPEIAEVLRSVCKTYKLPLALTWAPCLNQGKSGCRHSYENFYHCVSTVDTACFIADEEFSEFLEACSEHHMFRGQGVVGRAFTTNKQCFATDITAFSKTNYPLSHHARMFGLRGAVAIPLQSIFTGLVEFVLELFLPKDCHDSEAQKQMLNSLSGFMQQACQSLHVVVDKMLEEEVILPVKEVVVASDRRSDKEETQFTVSSAKENSPEESSWIAHMMEAQQKGKGVSVSWEYQKEEPKEEFKVTTHWEDTQLELHGKEVLSDFQQLHQNDESKASVEGGGGDSSSSGGRGVLPSKRAGEKRRTKMEKTISLEVLRQYFAGSLKDAAKSIGVCPTTLKRICRHHGITRWPSRKIKKGRSTQASRNLAHQTFLVMTLLRL >KJB15977 pep chromosome:Graimondii2_0_v6:2:55259990:55264633:1 gene:B456_002G206700 transcript:KJB15977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGAVHSDFSPNSTFVDAAMDLDLMDELLFEGCWLETNDDFNFMQPAPSTSNDLNQSITVPSNANLHQMHQGETERSVSPPCDGSAVGNASSLLQPGTFIVQGTELGSRWWIGPSAESGSSLSVKERLMQAIGYLKESTKDRDLLIQIWVPVNREGKHVLTTEGQPYSLNTNCKSLEIFRDVSKSYNFPAEEDTKESVGLPGRVFLEKLPEWTPDVRFFRSEEYPRISFAEKYNVGGSLALPVFERANGTCLGVVEIVTTIQKSNYRPELEHVCKALEAVDLRSSPNFTPPNVEACNELYQAALPEIAEVLRSVCKTYKLPLALTWAPCLNQGKSGCRHSYENFYHCVSTVDTACFIADEEFSEFLEACSEHHMFRGQGVVGRAFTTNKQCFATDITAFSKTNYPLSHHARMFGLRGAVAIPLQSIFTGLVEFVLELFLPKDCHDSEAQKQMLNSLSGFMQQACQSLHVVVDKMLEEEVILPVKEVVVASDRRSDKEETQFTVSSAKENSPEESSWIAHMMEAQQKGKGVSVSWEYQKEEPKEEFKVTTHWEDTQLELHGKEVLSDFQQLHQNDESKASVEGGGGDSSSSGGRGVLPSKRAGEKRRTKMEKTISLEVLRQYFAGSLKDAAKSIGVCPTTLKRICRHHGITRWPSRKIKKVGHSLRKLQLVIDSVQGAEGAIQIGSFYSSFPELSSPNLSGNDPSSSLKISGHSKPSEPQLENCMFNQGAAAPKSPSSSCSQSSGSSTCCSMGVKQHSTSISALCSADGLMVEDPGGALKRARSDAELHSLNQEEPKLLARSQSHKTLGELPPLETQSPLPKTGGQNLRTGGAFRVKATFGEVKIRFSLQPSWGFRDVQQEIAKRFNIEDVSRMCLKYLDDDNEWVLLTCDADLEECRDIYKSFQSHTIKISLHQASHPNLGSSFGSSAPL >KJB15512 pep chromosome:Graimondii2_0_v6:2:47972040:47973243:-1 gene:B456_002G182500 transcript:KJB15512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFWFTQLVTVNACQKAFVTSYIGDFGLLLGILGFYWITRSFEFQDLFEIFNNLIYINEIHFLFVTLCASLLFVDAVAKSVQFPLHVLLLDAMERPTPISALIHVATMVVAGIFLVARILPLLIVLIVIPYIMNLISLIVGLYDVSSRYGVLLSHYISFDYSCLFEIIVVFSIWIDYSFYGSYCWISPEKNQNMVFMSGLRKHVPITQNTFLVAWSIVGLTIFYVFQIYLRTFEGHLYVHFKKYSGKKIALSIK >KJB15810 pep chromosome:Graimondii2_0_v6:2:53391396:53391817:1 gene:B456_002G197300 transcript:KJB15810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PFFFVSFGNISIHRSEIHIYELKGQNDQHCNQLLESIGLQIVHLKKLKPFLLDDHNTSQKLKFLINGGTISPFLVNKIPKWMIDSFHTRNNRRKSFDNMDSYFSMISHDQDN >KJB12609 pep chromosome:Graimondii2_0_v6:2:2017017:2020068:1 gene:B456_002G026700 transcript:KJB12609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTQHVSPHSCEQSIDNKSSNKTVNEKFKKPFVNIGRRHRAGFFDKISHLDLKESLGADKERAVDEPFPTDDTLEDCSTSEEESIDSSMASVAIESAESEPVPYASTSSADFENQRHSNTSIHWRDFFRTRKKRQGVSSQGLPIKPKLTRRKKKRIKGDVVPQISSSLDAELSCFKSSWKNFSLSELREATDNFSRENLIGEGGYAEVYKGRLKDGKFVAIKRLTRGSPEEMTVDFLSELGIIVHVDHPNIAQLIGYGVDGGMHLVLQLSPHGSLASLLYGSKEKLNWCIRFKIALGAAEGLCYLHEGCQRRIIHKDIKPANILLSEDFDAQISDFGLSKWLPNQWTHHIVSKVEGTFGYLPPEFFLHGIVNEKTDVFAFGVVLLELVTGRQALDSSQKSLIMWANPLIRENKIEQLVDPSLRDDYDSDQLNRVLVLASICICPSEADRPRMSQVVDILKGNNSCQETLKQLEEGDTCEDLLEEDP >KJB12610 pep chromosome:Graimondii2_0_v6:2:2017239:2019762:1 gene:B456_002G026700 transcript:KJB12610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTQHVSPHSCEQSIDNKSSNKTVNEKFKKPFVNIGRRHRAGFFDKISHLDLKESLGADKERAVDEPFPTDDTLEDCSTSEEESIDSSMASVAIESAESEPVPYASTSSADFENQRHSNTSIHWRDFFRTRKKRQGVSSQGLPIKPKLTRRKKKRIKGDVVPQISSSLDAELSCFKSSWKNFSLSELREATDNFSRENLIGEGGYAEVYKGRLKDGKFVAIKRLTRGSPEEMTVDFLSELGIIVHVDHPNIAQLIGYGVDGGMHLVLQLSPHGSLASLLYGSKEKLNWCIRFKIALGAAEGLCYLHEGCQRRIIHKDIKPANILLSEDFDAQVHISDFGLSKWLPNQWTHHIVSKVEGTFGYLPPEFFLHGIVNEKTDVFAFGVVLLELVTGRQALDSSQKSLIMWANPLIRENKIEQLVDPSLRDDYDSDQLNRVLVLASICICPSEADRPRMSQVVDILKGNNSCQETLKQLEEGDTCEDLLEEDP >KJB12762 pep chromosome:Graimondii2_0_v6:2:2771853:2773727:-1 gene:B456_002G0355002 transcript:KJB12762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DCLGRKSARAKLRHHFCPTHLRDLPPRARANTEPHAFLRVDALGRLPFSSVRRPRPLLEVDPPNSSAVTNNDQKASDIPLEEEPMLAARVTIEDGLCLLDVDDIDRFLQFNQLQDGGAQMRQRRQVLLEGLGALLQLVDPLGKSGNTDELAQKDDLVFLRIVSLPKGRKLLARFLQLLPPGGELMRIVCMSIFRYLRFLFGGLPSDPGEDEITINLARVVSSCVHSMDLRALSVCLASVVCSSEQPPLRPLGSPAGDGASFILKSVLDRATKLMTDSRAAGNYNTTNLSLWKASFDEFFNLLTKYCINKYDTVMQSLRMQAKPNMAIDESDSAKAIKREMPVDLLHACLPHLNDQQKKQLLDLSQRPMLVGQS >KJB12516 pep chromosome:Graimondii2_0_v6:2:1694775:1702260:1 gene:B456_002G023700 transcript:KJB12516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTRNCRMQGSVFQDDYRCPFNRNNYNNYSSDNYRNGNWSSDPTRIFNHAQEFLGQFGEHNRGYHHAQPNCAPSLKRRKFSAATWGDSGRNYQPYSLQFPTAPLEPSNFIPPPVRSTAEASTSVSNKRDRSQLEDDESVFMSRDDIERYSPSRRDGIDALRETHLRYSYCAFIQNLGLQLELPQTTIGTAMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEETPRPLNNVLRTSCEIFHKQDITFLSYLLPVDWFEQYRERVIEAEQMILTTLNFELNVQHPYAPLTSILNKLGLSQTALVNLALNLVSEG >KJB12514 pep chromosome:Graimondii2_0_v6:2:1694588:1698590:1 gene:B456_002G023700 transcript:KJB12514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTRNCRMQGSVFQDDYRCPFNRNNYNNYSSDNYRNGNWSSDPTRIFNHAQEFLGQFGEHNRGYHHAQPNCAPSLKRRKFSAATWGDSGRNYQPYSLQFPTAPLEPSNFIPPPVRSTAEASTSVSNKRDRSQLEDDESVFMSRDDIERYSPSRRDGIDALRETHLRYSYCAFIQNLGLQLELPQTTIGTAMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEETPRPLNNVLRTSCEIFHKQDITFLSYLLPVDWFEQYRERVIEAEQMILTTLNFELNVQHPYAPLTSILNKLGLSQTALVNLALNLVSEGYLFGLVISL >KJB12512 pep chromosome:Graimondii2_0_v6:2:1695340:1697321:1 gene:B456_002G023700 transcript:KJB12512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTRNCRMQGSVFQDDYRCPFNRNNYNNYSSDNYRNGNWSSDPTRIFNHAQEFLGQFGEHNRGYHHAQPNCAPSLKRRKFSAATWGDSGRNYQPYSLQFPTAPLEPSNFIPPPVRSTAEASTSVSNKRDRSQLEDDESVFMSRDDIERYSPSRRDGIDALRETHLRYSYCAFIQNLGLQLELPQTTIGTAMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEETPRPLNNVLRTSCEIFHKQDITFLSYLLPVDWFEQYRERVIEAEQMILTTLNFELNVQHPYAPLTSILNKLGLSQTALVNLALNLVSEG >KJB12510 pep chromosome:Graimondii2_0_v6:2:1695340:1697321:1 gene:B456_002G023700 transcript:KJB12510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTRNCRMQGSVFQDDYRCPFNRNNYNNYSSDNYRNGNWSSDPTRIFNHAQEFLGQFGEHNRGYHHAQPNCAPSLKRRKFSAATWGDSGRNYQPYSLQFPTAPLEPSNFIPPPVRSTAEASTSVSNKRDRSQLEDDESVFMSRDDIERYSPSRRDGIDALRETHLRYSYCAFIQNLGLQLELPQTTIGTAMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEETPRPLNNVLRTSCEIFHKQDITFLSYLLPVDWFEQYRERVIEAEQMILTTLNFELNVQHPYAPLTSILNKLGLSQTALVNLALNLVSEG >KJB12515 pep chromosome:Graimondii2_0_v6:2:1694537:1702260:1 gene:B456_002G023700 transcript:KJB12515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTRNCRMQGSVFQDDYRCPFNRNNYNNYSSDNYRNGNWSSDPTRIFNHAQEFLGQFGEHNRGYHHAQPNCAPSLKRRKFSAATWGDSGRNYQPYSLQFPTAPLEPSNFIPPPVRSTAEASTSVSNKRDRSQLEDDESVFMSRDDIERYSPSRRDGIDALRETHLRYSYCAFIQNLGLQLELPQTTIGTAMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEETPRPLNNVLRTSCEIFHKQDITFLSYLLPVDWFEQYRERVIEAEQMILTTLNFELNVQHPYAPLTSILNKLGLSQTALVNLALNLA >KJB12511 pep chromosome:Graimondii2_0_v6:2:1694531:1702260:1 gene:B456_002G023700 transcript:KJB12511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTRNCRMQGSVFQDDYRCPFNRNNYNNYSSDNYRNGNWSSDPTRIFNHAQEFLGQFGEHNRGYHHAQPNCAPSLKRRKFSAATWGDSGRNYQPYSLQFPTAPLEPSNFIPPPVRSTAEASTSVSNKRDRSQLEDDESVFMSRDDIERYSPSRRDGIDALRETHLRYSYCAFIQNLGLQLELPQTTIGTAMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEETPRPLNNVLRTSCEIFHKQDITFLSYLLPVDWFEQYRERVIEAEQMILTTLNFELNVQHPYAPLTSILNKLGLSQTALVNLALNLVSEGLRSSLWLQFKPHHIAAGAAYLAAKFLNFDLASYHDIWREFQTTPAILQDVSQQLMELF >KJB12513 pep chromosome:Graimondii2_0_v6:2:1694588:1698590:1 gene:B456_002G023700 transcript:KJB12513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTRNCRMQGSVFQDDYRCPFNRNNYNNYSSDNYRNGNWSSDPTRIFNHAQEFLGQFGEHNRGYHHAQPNCAPSLKRRKFSAATWGDSGRNYQPYSLQFPTAPLEPSNFIPPPVRSTAEASTSVSNKRDRSQLEDDESVFMSRDDIERYSPSRRDGIDALRETHLRYSYCAFIQNLGLQLELPQTTIGTAMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEETPRPLNNVLRTSCEIFHKQDITFLSYLLPVDWFEQYRERVIEAEQMILTTLNFELNVQHPYAPLTSILNKLGLSQTALVNLALNLGHFRLACTLV >KJB13788 pep chromosome:Graimondii2_0_v6:2:11955038:11957143:-1 gene:B456_002G094400 transcript:KJB13788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LWLSILASLFYCYFLASKIPKGKFRLISLLPIFILFTALPLYLSYVFPIGLIALLFSWLGNFKLLLFAFDKGPLSTHSSNSLIHFIFIASLPIKIKENEKYPFLKSPDIHQNSSKKHPKLPLNWPTKVLLFAILVSAHDYKQTLHPNIVLLLYSCMVYLLIDIIFGIFNGLVHATSGLELEPPSDEPYLSTSLQDFWGRRWNLMVTYLLRHTVYKPARSFFDNMLGSKWAPLAAVLAAFIVSGLMHELVFYYVTRVSPTWEVTWYFVLHGACVVVEFGVKRVFSGKAQLHWAVSTPLTVGFVVATAMWLFFPPVLRTGAVEKAIEECKNYVSGGNFSNLPPHSLGQKGLKYIKISVVFLLPFREKDSSFKCEFASRSCIFI >KJB15492 pep chromosome:Graimondii2_0_v6:2:47574117:47574509:-1 gene:B456_002G180900 transcript:KJB15492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADTSDDISLELSLSLSYGVGECSDHHNLNSLNKKFNGELTLTLFNKRQTIGNSEFSTDRNKRNRVNIETELRHHAHDDPWCIKKQLYKSDLRNLSRLLLPSELVESHVLLHSNADQLAQIQQGLPILA >KJB16562 pep chromosome:Graimondii2_0_v6:2:59644741:59646136:1 gene:B456_002G235900 transcript:KJB16562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKQAHLNGAYYGPSIPPPTRTYHRPGRGSGCGCGCCLLKCLFNIIITAIVIIGLAVFIFWLIFRPNKVKFHVTDVQLTQFNLTSNNTLHYNLALNMTIRNPNRRIGIYYDRIEARAYYEDQRFDTETLTPFYQGHKNTSYLNPVFVGQQFVRLGADETSEFNEDRVNGVYDIDVKLYLRIRFKLGRVKTGRFKPRISCDLKVPLNTGNGSFGGTFKTTRCDLDF >KJB13721 pep chromosome:Graimondii2_0_v6:2:11478370:11483733:-1 gene:B456_002G091100 transcript:KJB13721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIVQTTVVTVFLCLLFPIVFSTSNDGLFRIGLKKRKFDRNNLLAAQLDSKEGEALRGSFRKYLHGNLQESKDIDIVALKNYMDAQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYFHSRYKSSHSSTYNANGKPADIKYGTGQISGFFSEDHVTVGDLVVKNQEFIEATSEPSLTFLLAKFDGILGLGFQEISVGNAVPVWYNMVNQGLVKEPVFSFWLSRNSEDNLGGELVFGGMDPKHFKGNHTYVPVTRKGYWQFDMGDFLIGNETTGFCAGGCSAIADSGTSLLAGPTGIITQINHAIGASGVVSQECKAVVSEYGEKILDMLLAKDEPKKICSQIGLCLFDGTQGVSMEIESIVNENAGKASGSLRDAMCSTCEMAVIWMQNQLKQNQTQDHIIDYVNELCDRLPSPMGESSIDCKSLSSMPSVSFTIAGKLFELRPEQYVMKVGEGDGAQCISGFTALDVPPPRGPLWILGDVFMGQFHTVFDYGNMQIGFAEAA >KJB13724 pep chromosome:Graimondii2_0_v6:2:11478387:11483838:-1 gene:B456_002G091100 transcript:KJB13724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIVQTTVVTVFLCLLFPIVFSTSNDGLFRIGLKKRKFDRNNLLAAQLDSKEGEALRGSFRKYLHGNLQESKDIDIVALKNYMDAQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYFHSRYKSSHSSTYNANGKPADIKYGTGQISGFFSEDHVTVGDLVVKNQEFIEATSEPSLTFLLAKFDGILGLGFQEISVGNAVPVWYNMVNQGLVKEPVFSFWLSRNSEDNLGGELVFGGMDPKHFKGNHTYVPVTRKGYWQFDMGDFLIGNETTGFCAGGCSAIADSGTSLLAGPTGIITQINHAIGASGVVSQECKAVVSEYGEKILDMLLAKDEPKKICSQIGLCLFDGTQGVSMEIESIVNENAGKASGSLRDAMCSTCEMAVIWMQNQLKQNQTQDHIIDYVNELCDRLPSPMGESSIDCKSLSSMPSVSFTIAGKLFELRPEQYVMKVGEGDGAQCISGFTALDVPPPRGPLWILGDVFMGQFHTVFDYGNMQIGFAEAA >KJB13723 pep chromosome:Graimondii2_0_v6:2:11478387:11483733:-1 gene:B456_002G091100 transcript:KJB13723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIVQTTVVTVFLCLLFPIVFSTSNDGLFRIGLKKRKFDRNNLLAAQLDSKEGEALRGSFRKYLHGNLQESKDIDIVALKNYMDAQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYFHSRYKSSHSSTYNANGKPADIKYGTGQISGFFSEDHVTVGDLVVKNQEFIEATSEPSLTFLLAKFDGILGLGFQEISVGNAVPVWYNMVNQGLVKEPVFSFWLSRNSEDNLGGELVFGGMDPKHFKGNHTYVPVTRKGYWQFDMGDFLIGNETTGFCAGGCSAIADSGTSLLAGPTGIITQINHAIGASGVVSQECKAVVSEYGEKILDMLLAKKICSQIGLCLFDGTQGVSMEIESIVNENAGKASGSLRDAMCSTCEMAVIWMQNQLKQNQTQDHIIDYVNELCDRLPSPMGESSIDCKSLSSMPSVSFTIAGKLFELRPEQYVMKVGEGDGAQCISGFTALDVPPPRGPLWILGDVFMGQFHTVFDYGNMQIGFAEAA >KJB13722 pep chromosome:Graimondii2_0_v6:2:11478387:11483728:-1 gene:B456_002G091100 transcript:KJB13722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIVQTTVVTVFLCLLFPIVFSTSNDGLFRIGLKKRKFDRNNLLAAQLDSKEGEALRGSFRKYLHGNLQESKDIDIVALKNYMDAQYFGEIGIGTPPQNFTIACYFHSRYKSSHSSTYNANGKPADIKYGTGQISGFFSEDHVTVGDLVVKNQEFIEATSEPSLTFLLAKFDGILGLGFQEISVGNAVPVWYNMVNQGLVKEPVFSFWLSRNSEDNLGGELVFGGMDPKHFKGNHTYVPVTRKGYWQFDMGDFLIGNETTGFCAGGCSAIADSGTSLLAGPTGIITQINHAIGASGVVSQECKAVVSEYGEKILDMLLAKDEPKKICSQIGLCLFDGTQGVSMEIESIVNENAGKASGSLRDAMCSTCEMAVIWMQNQLKQNQTQDHIIDYVNELCDRLPSPMGESSIDCKSLSSMPSVSFTIAGKLFELRPEQYVMKVGEGDGAQCISGFTALDVPPPRGPLWILGDVFMGQFHTVFDYGNMQIGFAEAA >KJB13719 pep chromosome:Graimondii2_0_v6:2:11478870:11482731:-1 gene:B456_002G091100 transcript:KJB13719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIVQTTVVTVFLCLLFPIVFSTSNDGLFRIGLKKRKFDRNNLLAAQLDSKEGEALRGSFRKYLHGNLQESKDIDIVALKNYMDAQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYFHSRYKSSHSSTYNANGKPADIKYGTGQISGFFSEDHVTVGDLVVKNQEFIEATSEPSLTFLLAKFDGILGLGFQEISVGNAVPVWYNMVNQGLVKEPVFSFWLSRNSEDNLGGELVFGGMDPKHFKGNHTYVPVTRKGYWQFDMGDFLIGNETTGFCAGGCSAIADSGTSLLAGPTGIITQINHAIGASGVVSQECKAVVSEYGEKILDMLLAKDEPKKICSQIGLCLFDGTQGVSMEIESIVNENAGKASGSLRDAMCSTCEMAVIWMQNQLKQNQTQDHIIDYVNELCDRLPSPMGESSIDCKSLSSMPSVSFTIAGKLFELRPEQYVMKVGEGDGAQCISGFTALDVPPPRGPLWYSFYWFIDIY >KJB13718 pep chromosome:Graimondii2_0_v6:2:11478218:11483733:-1 gene:B456_002G091100 transcript:KJB13718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIVQTTVVTVFLCLLFPIVFSTSNDGLFRIGLKKRKFDRNNLLAAQLDSKEGEALRGSFRKYLHGNLQESKDIDIVALKNYMDAQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYFHSRYKSSHSSTYNANGKPADIKYGTGQISGFFSEDHVTVGDLVVKNQEFIEATSEPSLTFLLAKFDGILGLGFQEISVGNAVPVWYNMVNQGLVKEPVFSFWLSRNSEDNLGGELVFGGMDPKHFKGNHTYVPVTRKGYWQFDMGDFLIGNETTGFCAGGCSAIADSGTSLLAGPTGIITQINHAIGASGVVSQECKAVVSEYGEKILDMLLAKDEPKKICSQIGLCLFDGTQGVSMEIESIVNENAGKASGSLRDAMCSTCEMAVIWMQNQLKQNQTQDHIIDYVNELCDRLPSPMGESSIDCKSLSSMPSVSFTIAGKLFELRPEQYVMKVGEGDGAQCISGFTALDVPPPRGPLWILGDVFMGQFHTVFDYGNMQIGFAEAA >KJB13725 pep chromosome:Graimondii2_0_v6:2:11479622:11482731:-1 gene:B456_002G091100 transcript:KJB13725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIVQTTVVTVFLCLLFPIVFSTSNDGLFRIGLKKRKFDRNNLLAAQLDSKEGEALRGSFRKYLHGNLQESKDIDIVALKNYMDAQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYFHSRYKSSHSSTYNANGKPADIKYGTGQISGFFSEDHVTVGDLVVKNQEFIEATSEPSLTFLLAKFDGILGLGFQEISVGNAVPVWYNMVNQGLVKEPVFSFWLSRNSEDNLGGELVFGGMDPKHFKGNHTYVPVTRKGYWQFDMGDFLIGNETTGFCAGGCSAIADSGTSLLAGPTGIITQINHAIGASGVVSQECKAVVSEYGEKILDMLLAKDEPKKICSQIGLCLFDGTQGVSMEIESIVNENAGKASGSLRDAMCSTCEMAVIWMQNQLKQNQTQDHIIDYVNEVNKHPL >KJB13726 pep chromosome:Graimondii2_0_v6:2:11479333:11483733:-1 gene:B456_002G091100 transcript:KJB13726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIVQTTVVTVFLCLLFPIVFSTSNDGLFRIGLKKRKFDRNNLLAAQLDSKEGEALRGSFRKYLHGNLQESKDIDIVALKNYMDAQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYFHSRYKSSHSSTYNANGKPADIKYGTGQISGFFSEDHVTVGDLVVKNQEFIEATSEPSLTFLLAKFDGILGLGFQEISVGNAVPVWYNMVNQGLVKEPVFSFWLSRNSEDNLGGELVFGGMDPKHFKGNHTYVPVTRKGYWQFDMGDFLIGNETTGFCAGGCSAIADSGTSLLAGPTGIITQINHAIGASGVVSQECKAVVSEYGEKILDMLLAKDEPKKICSQIGLCLFDGTQGVSMEIESIVNENAGKASGSLRDAMCSTCEMAVIWMQNQLKQNQTQDHIIDYVNELCDRLPSPMGESSIDCKSLSSMPSVSFTIAGKLFELRPEQV >KJB13720 pep chromosome:Graimondii2_0_v6:2:11478387:11481816:-1 gene:B456_002G091100 transcript:KJB13720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLAGKPADIKYGTGQISGFFSEDHVTVGDLVVKNQEFIEATSEPSLTFLLAKFDGILGLGFQEISVGNAVPVWYNMVNQGLVKEPVFSFWLSRNSEDNLGGELVFGGMDPKHFKGNHTYVPVTRKGYWQFDMGDFLIGNETTGFCAGGCSAIADSGTSLLAGPTGIITQINHAIGASGVVSQECKAVVSEYGEKILDMLLAKDEPKKICSQIGLCLFDGTQGVSMEIESIVNENAGKASGSLRDAMCSTCEMAVIWMQNQLKQNQTQDHIIDYVNELCDRLPSPMGESSIDCKSLSSMPSVSFTIAGKLFELRPEQYVMKVGEGDGAQCISGFTALDVPPPRGPLWILGDVFMGQFHTVFDYGNMQIGFAEAA >KJB16076 pep chromosome:Graimondii2_0_v6:2:55893412:55895721:-1 gene:B456_002G211700 transcript:KJB16076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSSEANQRIARLAAHLQPQMEESSILRRADCRAKGGSPGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNSPGVTADLSHMDTGAVVRGFLGQPQLESALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEGVAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVAEVLGLDPREVNVPVVGGHSGVTILPLLSQVKPPSSFTPEETEYLTNRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRGLRGDAGVVECAFVASEVTELPFFATKVRLGRTGAEEVYQLGPLNEYERYIFLSEI >KJB16075 pep chromosome:Graimondii2_0_v6:2:55893406:55895928:-1 gene:B456_002G211700 transcript:KJB16075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSSEANQRIARLAAHLQPQMEESSILRRADCRAKGGSPGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNSPGVTADLSHMDTGAVVRGFLGQPQLESALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEGVAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVAEVLGLDPREVNVPVVGGHSGVTILPLLSQVKPPSSFTPEETEYLTNRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRGLRGDAGVVECAFVASEVTELPFFATKVRLGRTGAEEVYQLGPLNEYERVGLEKAKGELAGSIQKGIAFIKK >KJB16887 pep chromosome:Graimondii2_0_v6:2:61748901:61753247:1 gene:B456_002G255000 transcript:KJB16887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRRLRDLQSQPGNKTCVDCNQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNERLNAFLAQYGIPKETDIVAKYNTNAASVYRDRIQALAEGRPWRDPPVVKENLNGGGSGGIRKPPLSSGGGGDRRSNFAGDNGGWDSWDNDDSFRSSSDIKRNQSASDFRGGSYHNGGRTGGAPVRSKSTEDMYTRSQLESSAANKESFFARKMAENESRPEGLPPSQGGKYVGFGSTPMPTQRINNSSQGDVFSVVSQGIGRLSLVAASAANVVQAGTKEFTSKVKEGGYDARVNETVGVVTAKTSEIGQKTWGMMKGVMAIASQKVEEYTKDGMNWKNDGNWQRNDSEKNGYYQEFKQENKGWNSTSGGQTSSGVNYNSYNSNSWDDWDTKDNRKEHTTKVTASHSNDGWAGWDDPKDDGYDSFYNGASDKNAVGRNGKSDAAWTGGGFL >KJB16885 pep chromosome:Graimondii2_0_v6:2:61748879:61753533:1 gene:B456_002G255000 transcript:KJB16885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRRLRDLQSQPGNKTCVDCNQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNERLNAFLAQYGIPKETDIVAKYNTNAASVYRDRIQALAEGRPWRDPPVVKENLNGGGSGGIRKPPLSSGGGGDRRSNFAGDNGGWDSWDNDDSFRSSSDIKRNQSASDFRGGSYHNGGRTGGAPVRSKSTEDMYTRSQLESSAANKESFFARKMAENESRPEGLPPSQGGKYVGFGSTPMPTQRINNSSQGDVFSVVSQGIGRLSLVAASAANVVQAGTKEFTSKVKEGGYDARVNETVGVVTAKTSEIGQKTWGMMKGVMAIASQKVEEYTKDGMNWKNDGNWQRNDSEKNGYYQEFKQENKGWNSTSGGQTSSGVNYNSYNSNSWDDWDTKDNRKEHTTKVTASHSNDGWAGWDDPKDDGYDSFYNGASDKNAVGRNGKSDAAWTGGGFL >KJB16886 pep chromosome:Graimondii2_0_v6:2:61748901:61753247:1 gene:B456_002G255000 transcript:KJB16886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRRLRDLQSQPGNKTCVDCNQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNERLNAFLAQYGIPKETDIVAKYNTNAASVYRDRIQALAEGRPWRDPPVVKENLNGGGSGGIRKPPLSSGGGGDRRSNFAGDNGGWDSWDNDDSFRSSSDIKRNQSASDFRGGSYHNGGRTGGAPVRSKSTEDMYTRSQLESSAANKESFFARKMAENESRPEGLPPSQGGKYVGFGSTPMPTQRINNSSQGDVFSVVSQGIGRLSLVAASAANVVQAGTKEFTSKVKEGGYDARVNETVGVVTAKTSEIGQKTWGMMKGVMAIASQKVEEYTKDGMNWKNDGNWQRNDSEKNGYYQEFKQENKGWNSTSGGQTSSGVNYNSYNSNSWDDWDTKDNRKEHTTKVTASHSNDGWAGWDDPKDDGYDSFYNGASDKNAVGRNGKSDAAWTGGGFL >KJB11953 pep chromosome:Graimondii2_0_v6:2:11929164:11931986:-1 gene:B456_002G094300 transcript:KJB11953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDTWIKEYNEAARIADDINGMISERISLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGKPLTDKEMNRRKDMVANLRSKANQMASAFNMSNFANRESLLGPETKQDAMSRTVGLDNSGLVGLQRQIMKEQDEGLEKLEETVMSTKHIALAVNEELDLHTRLIDDLDEHVDVTDSRLRRVQKNLAILNKRAKGSCSCMCMLLAVVGIVILVVAIYLLVKYL >KJB11947 pep chromosome:Graimondii2_0_v6:2:11929164:11932436:-1 gene:B456_002G094300 transcript:KJB11947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDTWIKEYNEAARIADDINGMISERISLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGKPLTDKEMNRRKDMVANLRSKANQMASAFNMSNFANRESLLGPETKQDAMSRTVGLDNSGLVGLQRQIMKGNKMRVLRSWKRQ >KJB11950 pep chromosome:Graimondii2_0_v6:2:11929164:11932451:-1 gene:B456_002G094300 transcript:KJB11950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDTWIKEYNEAARIADDINGMISERISLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGKPLTDKEMNRRKDMVANLRSKANQMASAFNMSNFANRESLLGPETKQDAMSRTVGLDNSGLVGLQRQIMKGK >KJB11951 pep chromosome:Graimondii2_0_v6:2:11929164:11932535:-1 gene:B456_002G094300 transcript:KJB11951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDTWIKEYNEAARIADDINGMISERISLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGKPLTDKEMNRRKDMVANLRSKANQMASAFNMSNFANRESLLGPETKQDAMSRTVGLDNSGLVGLQRQIMKEQDEGLEKLEETVMSTKHIALAVNEELDLHTRLIDDLDEHVDVTDSRLRRVQKNLAILNKRAKGSCSCMCMLLAVVGIVILVVAIYLLVKYL >KJB11954 pep chromosome:Graimondii2_0_v6:2:11930583:11932436:-1 gene:B456_002G094300 transcript:KJB11954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDTWIKEYNEAARIADDINGMISERISLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGKPLTDKEMNRRKDMVANLRSKANQMASAFNMSNFANRESLLGPETKQDAMSRTVGLDNSGLVGLQRQIMKEQDEGLEKLEETVMSTKHIALAVNEELDLHTRLIDDLDEHVDVTDSRLRVNTCY >KJB11948 pep chromosome:Graimondii2_0_v6:2:11930993:11931703:-1 gene:B456_002G094300 transcript:KJB11948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDTWIKEYNEAARIADDINGMISERISLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGKPLTDKEMNRRKDMVANLRSKANQMASAFNMSNFANRESLLGPETKQDAMSRTVGLDNSGLVGLQRQIMKGKAR >KJB11946 pep chromosome:Graimondii2_0_v6:2:11930177:11931703:-1 gene:B456_002G094300 transcript:KJB11946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDTWIKEYNEAARIADDINGMISERISLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGKPLTDKEMNRRKDMVANLRSKANQMASAFNMSNFANRESLLGPETKQDAMSRTVGLDNSGLVGLQRQIMKEQDEGLEKLEETVMSTKHIALAVNEELDLHTRLIDDLDEHVDVTDSRLRRVQKNLAILNKRAKGSCSCMCMLLAVVGIVILVVAIYLLVKYL >KJB11952 pep chromosome:Graimondii2_0_v6:2:11929164:11932560:-1 gene:B456_002G094300 transcript:KJB11952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDTWIKEYNEAARIADDINGMISERISLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGKPLTDKEMNRRKDMVANLRSKANQMASAFNMSNFANRESLLGPETKQDAMSRTVGLDNSGLVGLQRQIMKEQDEGLEKLEETVMSTKHIALAVNEELDLHTRLIDDLDEHVDVTDSRLRRVQKNLAILNKRAKGSCSCMCMLLAVVGIVILVVAIYLLVKYL >KJB11949 pep chromosome:Graimondii2_0_v6:2:11931062:11931703:-1 gene:B456_002G094300 transcript:KJB11949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDTWIKEYNEAARIADDINGMISERISLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGKPLTDKEMNRRKDMVANLRSKANQMASAFNMSNFANRESLLGPETKQDAMSRTVGLDNSGLVGLQRQIMKGKLFLFHFQME >KJB11897 pep chromosome:Graimondii2_0_v6:2:89475:91467:1 gene:B456_002G001600 transcript:KJB11897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEPVDQKKYLEDGCKPKCVKQLRSYEACVKRIQGDESGHKHCTGQYFDYWSCVDKCVAPSLFTKLK >KJB11898 pep chromosome:Graimondii2_0_v6:2:89837:91467:1 gene:B456_002G001600 transcript:KJB11898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEPVDQKKYLEDGCKPKCVKQLRSYEACVKRIQGDESGHKHCTGQYFDYWSCVDKCVSSFICLEPQFG >KJB11895 pep chromosome:Graimondii2_0_v6:2:89467:91467:1 gene:B456_002G001600 transcript:KJB11895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEPVDQKKYLEDGCKPKCVKQLRSYEACVKRIQGDESGHKHCTGQYFDYWSCVDKCVSCTIAIHKTEITRARVVQR >KJB11894 pep chromosome:Graimondii2_0_v6:2:89320:91582:1 gene:B456_002G001600 transcript:KJB11894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEPVDQKKYLEDGCKPKCVKQLRSYEACVKRIQGDESGHKHCTGQYFDYWSCVDKCVAPSLFTKLK >KJB11896 pep chromosome:Graimondii2_0_v6:2:89572:91467:1 gene:B456_002G001600 transcript:KJB11896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEPVDQKKYLEDGCKPKCVKQLRSYEACVKRIQGDESGHKHCTGQYFDYWSCVDKCVAPSLFTKLK >KJB14640 pep chromosome:Graimondii2_0_v6:2:22320655:22326082:1 gene:B456_002G135500 transcript:KJB14640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRYTHGNRQDGDNRNNFQKSQKKFIPKNQNQNPNPNPNPNPNPNPTSLSNSIRQPLPKQHDAPPSSSRLRMGENGDWVPNRATPSSLSNGNFVNYLPQDEAVAAGLSAEEGGLDPVESQRVVDLLNRELSCLLKLSPREFWKQVAGDTSLHEFLDSFLQFRSRWYDFPHRGVKGIVAGVIVGEFELSRRVFMMLYRISSNRDPGARAVDSLSVNDHAVILQEKKLLDLPKLLDICAIYGHENDDLTKLLIANALKAQPTIHDNLTGVLSHFLSIVHTMHERCSTSLEVLLSSGNHGDHGFDRLHTDFLEVMDFINDAIVSMDAFITAYRTAAVFFSCPVEMSYGNEELLTALSRLHDNLLPSLQRGFQSSTKSGEFTMLTDIAISLKMLSLRIVKLGWKLLDICYLSDEVFLDGHPIPTASKMFPATVEDPFIRADILVQTLREINGVSLQSLENEKQDTFLKSVEKNCNIMSKLENLQNTGWIFMDDEQFQYLSGIMMFSTKGIAKEQTPEPPMSASVTSSKVQMDEDAAIMQSKISQVKDLFPDYGKGFIAACLEVYNQNPEEVIQRILEGTLHEDVLALDTSLETMRVPKSASTLSRNDKGKGKMVDADKGKGKLVDTIPVSSTTVPVVNRQPVEGPSVSSSSTVGRFMRKSKDDSPDSATLDSRDENNSLRKVALISQYEYEDEYDDSFDDLGLSVAESGVEETEILSDKLSSNFGKSQPESSGQTMPSSKWGSRKKPQYYVKDGKNYSYKVAGSVAVANANEASLVTQAQEELIHGLGRGGNLPLGAVRKLVEHEEQTNQPDVSETGGREQTRNPRGRGRRGGGRQRESQAEDNTEAEERGNVGNHRGRGRRGGGRHNNYRKDRAMNKHFSGLTGF >KJB14642 pep chromosome:Graimondii2_0_v6:2:22322134:22326082:1 gene:B456_002G135500 transcript:KJB14642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHERCSTSLEVLLSSGNHGDHGFDRLHTDFLEVMDFINDAIVSMDAFITAYRTAAVFFSCPVEMSYGNEELLTALSRLHDNLLPSLQRGFQSSTKSGEFTMLTDIAISLKMLSLRIVKLGWKLLDICYLSDEVFLDGHPIPTASKMFPATVEDPFIRADILVQTLREINGVSLQSLENEKQDTFLKSVEKNCNIMSKLENLQNTGWIFMDDEQFQYLSGIMMFSTKGIAKEQTPEPPMSASVTSSKVQMDEDAAIMQSKISQVKDLFPDYGKGFIAACLEVYNQNPEEVIQRILEGTLHEDVLALDTSLETMRVPKSASTLSRNDKGKGKMVDADKGKGKLVDTIPVSSTTVPVVNRQPVEGPSVSSSSTVGRFMRKSKDDSPDSATLDSRDENNSLRKVALISQYEYEDEYDDSFDDLGLSVAESGVEETEILSDKLSSNFGKSQPESSGQTMPSSKWGSRKKPQYYVKDGKNYSYKVAGSVAVANANEASLVTQAQEELIHGLGRGGNLPLGAVRKLVEHEEQTNQPDVSETGGREQTRNPRGRGRRGGGRQRESQAEDNTEAEERGNVGNHRGRGRRGGGRHNNYRKDRAMNKHFSGLTGF >KJB14641 pep chromosome:Graimondii2_0_v6:2:22320716:22326082:1 gene:B456_002G135500 transcript:KJB14641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRYTHGNRQDGDNRNNFQKSQKKFIPKNQNQNPNPNPNPNPNPNPTSLSNSIRQPLPKQHDAPPSSSRLRMGENGDWVPNRATPSSLSNGNFVNYLPQDEAVAAGLSAEEGGLDPVESQRVVDLLNRELSCLLKLSPREFWKQVAGDTSLHEFLDSFLQFRSRWYDFPHRGVKGIVAGVIVGEFELSRRVFMMLYRISSNRDPGARAVDSLSVNDHAVILQEKKLLDLPKLLDICAIYGHENDDLTKLLIANALKAQPTIHDNLTGVLSHFLSIVHTMHERCSTSLEVLLSSGNHGDHGFDRLHTDFLEVMDFINDAIVSMDAFITAYRTAAVFFSCPVEMSYGNEELLTALSRLHDNLLPSLQRGFQSSTKSGEFTMLTDIAISLKMLSLRIVKLGWKLLDICYLSDEVFLDGHPIPTASKMFPATVEDPFIRADILVQTLREINGVSLQSLENEKQDTFLKSVEKNCNIMSKLENLQNTGWIFMDDEQFQYLSGIMMFSTKGIAKEQTPEPPMSASVTSSKVQMDEDAAIMQSKISQVKDLFPDYGKGFIAACLEVYNQNPEEVIQRILEGTLHEDVLALDTSLETMRVPKSASTLSRNDKGKGKMVDADKGKGKLVDTIPVSSTTVPVVNRQPVEGPSVSSSSTVGRGGGRHNNYRKDRAMNKHFSGLTGF >KJB11870 pep chromosome:Graimondii2_0_v6:2:56325055:56341427:-1 gene:B456_002G214500 transcript:KJB11870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQGLHHQQQQLAALLSAALPKDTTAAATASVTSSSSTTPSTTLPTTTSTTTVSTKSDENDSARLAAINSLHRAIIYPPNSILVAHSASFLAQGFSQLLSDKSYAVRQSAAIAYAALCAVVCSVPIGSSGRQNHVMLSSLVDRFIGWALPLLSNISAGDGTTELALEGLREFLSVGDVGAIERYALPILKACQELLEDERTSLSLLHRLLSVLTLISLKFSLSFQPHFLDIIDLLLGWALVPDLAESDRRDGTHGTPQQFRRLLALLSCFSTVLQSTASGLLEMNLLEQISEPLSKMLPRLLGCLSVVGKKFGWSRWIEDSWKCLTLLAEILQERFSTFYPLAIDILFQSLELGNTSRPVGAGKITSFQVHGVLKTNLQLLSLQKLGLLPSSVKKILHFDRAISQLRLHPNHLVTGSSAATYVFLLQQGNDEIVQQAMTLLTEELELLKGMLKKKLVHGEEVNSAGDLRCYSKLELFALIKFDLKVLLTSVSLCGCNSLIVQPKIAALYLQRSESLISFIIEKLSPFESPIQFCVELQVHVIKTLDRLSMVKFFSKCSIRNQSGNIPVGDAATEKVLDGNSLRDVHPAVIIEYLREFGTLLVRALHVSSPVAVKIVALEWVQRFCENLISICESPNMRYNFYEEFGYVSLFGDLIFSILEAAFDREPKVRLHVTLSLELLLQARLMHPLYFNSVSDVVLEKLGDPDADIRNAYVRLLSQVLLTTMYVYGIHDIGACSNSRPRALMLGNGSNLYWKQVFALKQLPQQLHSQQLVSILSYISQRWKVPLSSWIQRLIHTCRSSKDSSLGQLEETGIVGANDLWLDIKMENILEKFCSVNNLAGAWWAIHEAARYCISTRLRTNLGGPTQTFAALERMLLDVSHVLQLDGEQNDGSLSIIGSSGAHLLPMRLLLDFVEALKKNVYNAYEGSAVLPSAGRQSSLFFRANKKVCEEWFSRICEPMMNAGLALQCHDATIQYCTLRLQELKNLVVSAFKEKSQAQVTEYLHNMREKYIGDISRIVRHMSLALCRNHESEALIGLQKWVSLTFSPLLLDEDQSVNHSGIVEPFQWITGLVYQAEGQYEKAVSHFAHLLQTEESLSTMGSDGAQFCIARIIESYTAVSDWKSLESWLLELQTLRAKYAGKSYSGALTTAGNEMNAIHALAQFDEGDLQAAWAYLDLTPKSSSELTLDPKLALQRSEQMLLQALLFKIEGNLDKVPHELQKAKSMLEEMLSVLPLDGLAEATACVTQLHCIFAVGEGYDLSQGNCWKHMGSQGKSKLNQTGLGSYLLPLQPLIKGIHQDCNPWLKVLRVYRTISPTSPVTLKLSMNLMSLARKQGNLTLANCLINYLRDHVSSCSHERYCNLLNLNLQYEGILILHAENKIEDAFANIWSFLHPYLCSSSFIVNDVDDGLMKAKACLKLSNWLRQNYSSLNLRNIVLRMLSDLNVATVSSSGTGGYSFSDENLSSKWSLDVIVEEIVGTATKLSTQLCPKMAKSWISYASWCFNQAKSSVANQQEKCLQSCSFSPILVSELTPKRFKMTEDEIQRVESVILPLFQKRDSAEHVDDGAEQWGFCSGSAENLRTNNMLMTLVQQLVDIMEAAAGAPGTENSGGERLSSTLTSQLLSSLQHANFGIEETHLTYVIDKLIDIWWSLRRRRVSLFGHAAHAFIKYLLYSSTKLSDGRLSGDFCQSQKQKVGSYTLRATLYVMHVLLNYGLELKDTLEPALSTDITPQLFARLSSHPEEVVRKQIESLLMMLAKLSPSSIVYPTLVDINAYEEKPSEELQHILGCLRELYPRLIQDVQLVINELGNVTVLWEELWLSTLQDLHMDVMRRINVLKEEAARIAENTTLSQSEKNKINAAKYSAMMAPIVVALERRLASTSRKPETPHELWFHHEYKEQLKSAIVSLKTPPASAAALGDVWRPFDHIAASLASYQRKSSISLGEVAPQLAMLSSSDVPMPGLEKQVAPFESDRALTSALHGIVTIASFSEHLTILSTKTKPKKLVILGSDGKAYTYLLKGREDLRLDARIMQLLQAINSFLHSSSATNHNLLGIRYYSVTPISGRAGLIQWVDNVISIYSIFKSWQNRVQVAQVSALGAGSAKSSVPPVPRPSDMFYGKIIPALKEKGIRRVISRRDWPHEVKRKVLLDLMKEVPKQLLHQELWCASEGFKAFNSKLKRYSGSVAAMSMVGHILGLGDRHLDNILLDFSSGDVVHIDYNVCFDKGQRLKVPEIVPFRLTQTIEAALGLTGIEGTFRANCEAVVSVLRKNKDLLLMLLEVFVWDPLIEWTRGDFHDDAAIGGEERKGMELAVSLSLFASRVQEIRVPLQEHHDLLLATFPAVESALERFRDVLNQYELVSALFYRADQERSNLILHETSAKSIVAEATCNSEKICASFEIQAREFKQAKNVVAEKAQQATTWIEQHGRILDALRGNLIPEISAGMNLSGMADALSLTSAVPEAGVPLTIVPEPTQAQCYDIDREVSQLIAELDRGLSSAVMALQAYSLALQRVLPLNYLTTSTVHGWAQVLQLSANAVSSDILSLARRQASELIAKVHGDNLEFMKSSHDDLCFKVEKYAAEIEKVEEECAELVNSIGSKTESKAKDRLMFAFMKYMQSAGLVRKEDANSSLQYGESKYDGTKASRIREDLEEKKDKVLSVLSVAVRSLYDDVKHRILEIYSHTNRAQIENSRPQSDLGTVFSGFEEQVDKCILVAGFVNELWQQIGGDMPGVDRDLYYSKYYSEGNWASIFKTILNCCKSLIGEMTEVVLPDIMRSAVSFNTEVMDAFGLISQIRGSIDTALEQLVEVELERASLAELEQNYFVKVGLITEQQLALEEAAMKGRDHLSWEEAEELASQEEACRAQLEQLHQTWNHRDMRTSSLIKREAEIKNSLVSCEQHFQSLITGDDFRESHRSKSQVLLAILVKPFSELESVDKALSSLSSSFAPHSDEIPNLVEFLSSGHSVSECVWNFGSLLNNHSFFIWKIGVLDSILDSCIHDMASSVDQNLGFEQLFDVVKRKLEIQLQEYIGRYLKIRIAPALLSWLDKENEHLKLLTEGAKEPSNDHVRKDVEAVKKVQLMLEEYCNTHETARAARSAASVMKRQVNELKEALRKTILEIVQMEWMHDVGLTPSHTSRVLRPKLLETMQSVLSKLARAIEGLKSCEHTSIVAEGQLERAMGWACGGPNSSVAGNSSAKASGIPPEFHDHLMRRRHLLQEAREKASSVVKICMSILEFEASRDGIFQIPREGYALSTGSDSRTWQQAYFNALTKLEVTYHSFTRIEQEWKLAQSNMEVASSGLYSATNELCIASLKAKSASGDLQSTVLAMRDCAYEASVALSAFARVSRGHTALTSESGSMLEEVLAITEDLHDVHNLGKEAASVHRSLMEDLSKANAILLPLESVLAKDVSAMTEAMARERETKMEVSPIHGQAIYQSYGLRVREACQTFKPLVPSLTFSVKELHSLLTTLARTASLHAGNLHKALEGLGESQEVKSQSISLSRPDLASDATEYDERGGESISTSGSGSPKDLVGLTGIPLQEKEWISPPDSIGTSGTESSITSNGTSLSDSINDPIVEMMEKISLDSSQKKDHGDPNFVPSSESEYDEISHCGHRMSENMEVKNTNEVKSANEETNENLKTVPSVNDEAVSAPLESSQPSNKVNLDVKFQGKDEVSTLGKIEVGDESHEVPVPSTDTASRIARGKCKNAYAMSVLRRVEMKLDGRDITERREISIAEQVDYLLKQATSVDNLCSMYEGWTPWI >KJB14054 pep chromosome:Graimondii2_0_v6:2:15000492:15014660:1 gene:B456_002G109500 transcript:KJB14054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMAEREIEILRGHELRLLRCTLCQPPSDPSSHLQPSGFAASVPPLHALISDFLTSVESGNYLGALSSDAARLVLASPDSDLSSHSPDRVYSDLLDRVESFINEPSIDDAEKACRVVLVVCVAVAALFWFIQCNLTGPVNGLPKRPLPMKAWWEASEMVEWESWARNQLMAAGSDLLGKFCYLQYIVFAKMLLLKTRDLLFEASFMSTFKIRSISWWLFRALLIHQQILDERSSSLFELLQVFKGETLGHFGSFEKVTSYWGAQLQDGEASTIVSMVHLEAGVLEYIYGRLDPCRLDLESAEVVAGLQLSVTGILGLRTVHQVEPKAQMILVANTSSKSVSGDINTSIAPDTQLTGPNVSEASDIYMTPKLVENGNGFRKNECGGVVSTLTTVQQAVVLAQCLLIEKSSPHGEMQGWDMAPYIEAIDSQKSSYFILKCFCNILRIRWESTRSRTKQRALEMMDNLVESIHKPSPGVPLRLPFCFSVYIPTIPALRKQYGDILVSCGLIGEALKIFEDLELWDNLIYCYSKLEKKAAAVELIKEQLSRRPNDPKLWCSLGDITHSDACYEKALEVSNNRSARAKRCLAYNAYGRGEYEKSKILWESALALNSLYSNGWFALGAAALKARDVEKALDGFTRAVQLDPENGEAWNNIACLHMIKKKSKESFIAFKEALKYKRDSWQMWENYSQVAFDVGNIGQSLEAIKMVLNMTNNKRIDVELLEKIMQYLEERTSARLTAVTNDDDLPSQTSSDSIPCSVNPSANAEKNAGRLRENEHLLEFLGKILQQIVRSESRPELWGLYARWHRIKGDLTMCCEALLKQVRSYQGSNLWKDEDRFKSFAQASLDLCEVYMDISSSTNSRRELHTAEMHLKNTLKQAGTFSDTEEFRKLEACLDEVKIKLQAEATAS >KJB14052 pep chromosome:Graimondii2_0_v6:2:15000467:15014798:1 gene:B456_002G109500 transcript:KJB14052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMAEREIEILRGHELRLLRCTLCQPPSDPSSHLQPSGFAASVPPLHALISDFLTSVESGNYLGALSSDAARLVLASPDSDLSSHSPDRVYSDLLDRVESFINEPSIDDAEKACRVVLVVCVAVAALFWFIQCNLTGPVNGLPKRPLPMKAWWEASEMVEWESWARNQLMAAGSDLLGKFCYLQYIVFAKMLLLKTRDLLFEASFMSTFKIRSISWWLFRALLIHQQILDERSSSLFELLQVFKGETLGHFGSFEKVTSYWGAQLQDGEASTIVSMVHLEAGVLEYIYGRLDPCRLDLESAEVVAGLQLSVTGILGLRTVHQVEPKAQMILVANTSSKSVSGDINTSIAPDTQLTGPNVSEASDIYMTPKLVENGNGFRKNECGGVVSTLTTVQQAVVLAQCLLIEKSSPHGEMQGWDMAPYIEAIDSQKSSYFILKCFCNILRIRWESTRSRTKQRALEMMDNLVESIHKPSPGVPLRLPFCFSVYIPTIPALRKQYGDILVSCGLIGEALKIFEDLELWDNLIYCYSKLEKKAAAVELIKEQLSRRPNDPKLWCSLGDITHSDACYEKALEVSNNRSARAKRCLAYNAYGRGEYEKSKILWESALALNSLYSNGWFALGAAALKARDVEKALDGFTRAVQLDPENGEAWNNIACLHMIKKKSKESFIAFKEALKYKRDSWQMWENYSQVAFDVGNIGQSLEAIKMVLNMTNNKRIDVELLEKIMQYLEERTSARLTAVTNDDDLPSQTSSDSIPCSVNPSANAEKNAGRLRENEHLLEFLGKILQQIVRSESRPELWGLYARWHRIKGDLTMCCEALLKQVRSYQGSNLWKDEDRFKSFAQASLDLCEVYMDISSSTNSRRELHTAEMHLKNTLKQAGTFSDTEEFRKLEACLDEVKIKLQAEATAS >KJB14057 pep chromosome:Graimondii2_0_v6:2:15000492:15014660:1 gene:B456_002G109500 transcript:KJB14057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMAEREIEILRGHELRLLRCTLCQPPSDPSSHLQPSGFAASVPPLHALISDFLTSVESGNYLGALSSDAARLVLASPDSDLSSHSPDRVYSDLLDRVESFINEPSIDDAEKACRVVLVVCVAVAALFWFIQCNLTGPVNGLPKRPLPMKAWWEASEMVEWESWARNQLMAAGSDLLGKFCYLQYIVFAKMLLLKTRDLLFEASFMSTFKIRSISWWLFRALLIHQQILDERSSSLFELLQVFKGETLGHFGSFEKVTSYWGAQLQDGEASTIVSMVHLEAGVLEYIYGRLDPCRLDLESAEVVAGLQLSVTGILGLRTVHQVEPKAQMILVANTSSKSVSGDINTSIAPDTQLTGPNVSEASDIYMTPKLVENGNGFRKNECGGVVSTLTTVQQAVVLAQCLLIEKSSPHGEMQGWDMAPYIEAIDSQKSSYFILKCFCNILRIRWESTRSRTKQRALEMMDNLVESIHKPSPGVPLRLPFCFSVYIPTIPALRKQYGDILVSCGLIGEALKIFEDLELWDNLIYCYSKLEKKAAAVELIKEQLSRRPNDPKLWCSLGDITHSDACYEKALEVSNNRSARAKRCLAYNAYGRGEYEKSKILWESALALNSLYSNGWFALGAAALKARDVEKALDGFTRAVQLDPENGEAWNNIACLHMIKKKSKESFIAFKEALKYKRDSWQMWENYSQVAFDVGNIGQSLEAIKMVLNMTNNKRIDVELLEKIMQYLEERTSARLTAVTNDDDLPSQTSSDSIPCSVNPSANAEKNAGRLRENEHLLEFLGKILQQIVRSESRPELWGLYARWHRIKGDLTMCCEALLKQVRSYQGSNLWKDEDRFKSFAQASLDLCEVYMDISSSTNSRRELHTAEMHLKNTLKQAGTFSDTEEFRKLEACLDEVKIKLQAEATAS >KJB14055 pep chromosome:Graimondii2_0_v6:2:15000492:15014660:1 gene:B456_002G109500 transcript:KJB14055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMAEREIEILRGHELRLLRCTLCQPPSDPSSHLQPSGFAASVPPLHALISDFLTSVESGNYLGALSSDAARLVLASPDSDLSSHSPDRVYSDLLDRVESFINEPSIDDAEKACRVVLVVCVAVAALFWFIQCNLTGPVNGLPKRPLPMKAWWEASEMVEWESWARNQLMAAGSDLLGKFCYLQYIVFAKMLLLKTRDLLFEASFMSTFKIRSISWWLFRALLIHQQILDERSSSLFELLQVFKGETLGHFGSFEKVTSYWGAQLQDGEASTIVSMVHLEAGVLEYIYGRLDPCRLDLESAEVVAGLQLSVTGILGLRTVHQVEPKAQMILVANTSSKSVSGDINTSIAPDTQLTGPNVSEASDIYMTPKLVENGNGFRKNECGGVVSTLTTVQQAVVLAQCLLIEKSSPHGEMQGWDMAPYIEAIDSQKSSYFILKCFCNILRIRWESTRSRTKQRALEMMDNLVESIHKPSPGVPLRLPFCFSVYIPTIPALRKQYGDILVSCGLIGEALKIFEDLELWDNLIYCYSKLEKKAAAVELIKEQLSRRPNDPKLWCSLGDITHSDACYEKALEVSNNRSARAKRCLAYNAYGRGEYEKSKILWESALALNSLYSNGWFALGAAALKARDVEKALDGFTRAVQLDPENGEAWNNIACLHMIKKKSKESFIAFKEALKYKRDSWQMWENYSQVAFDVGNIGQIVRSESRPELWGLYARWHRIKGDLTMCCEALLKQVRSYQGSNLWKDEDRFKSFAQASLDLCEVYMDISSSTNSRRELHTAEMHLKNTLKQAGTFSDTEEFRKLEACLDEVKIKLQAEATAS >KJB14056 pep chromosome:Graimondii2_0_v6:2:15000546:15013810:1 gene:B456_002G109500 transcript:KJB14056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMAEREIEILRGHELRLLRCTLCQPPSDPSSHLQPSGFAASVPPLHALISDFLTSVESGNYLGALSSDAARLVLASPDSDLSSHSPDRVYSDLLDRVESFINEPSIDDAEKACRVVLVVCVAVAALFWFIQCNLTGPVNGLPKRPLPMKAWWEASEMVEWESWARNQLMAAGSDLLGKFCYLQYIVFAKMLLLKTRDLLFEASFMSTFKIRSISWWLFRALLIHQQILDERSSSLFELLQVFKGETLGHFGSFEKVTSYWGAQLQDGEASTIVSMVHLEAGVLEYIYGRLDPCRLDLESAEVVAGLQLSVTGILGLRTVHQVEPKAQMILVANTSSKSVSGDINTSIAPDTQLTGPNVSEASDIYMTPKLVENGNGFRKNECGGVVSTLTTVQQAVVLAQCLLIEKSSPHGEMQGWDMAPYIEAIDSQKSSYFILKCFCNILRIRWESTRSRTKQRALEMMDNLVESIHKPSPGVPLRLPFCFSVYIPTIPALRKQYGDILVSCGLIGEALKIFEDLELWDNLIYCYSKLEKKAAAVELIKEQLSRRPNDPKLWCSLGDITHSDACYEKALEVSNNRSARAKRCLAYNAYGRGEYEKSKILWESALALNSLYSNGWFALGAAALKARDVEKALDGFTRAVQLDPENGEAWNNIACLHMIKKKSKESFIAFKEALKYKRDSWQMWENYSQVAFDVGNIGQSLEAIKMVLNMTNNKRIDVELLEKIMQYLEERTSARLTAVTNDDDLPSQTSSDSIPCSVNPSANAEKNAGRLRENEHLLEFLGKILQQIVRSESRPELWGLYARWHRIKGDLTMCCEALLKQVRSYQGSNLWKDEDRFKSFAQASLDLCEVYMDISSSTNSRRELHTAEMHLKNTLKQASWDIFRHRRIQEA >KJB14053 pep chromosome:Graimondii2_0_v6:2:15000492:15011658:1 gene:B456_002G109500 transcript:KJB14053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMAEREIEILRGHELRLLRCTLCQPPSDPSSHLQPSGFAASVPPLHALISDFLTSVESGNYLGALSSDAARLVLASPDSDLSSHSPDRVYSDLLDRVESFINEPSIDDAEKACRVVLVVCVAVAALFWFIQCNLTGPVNGLPKRPLPMKAWWEASEMVEWESWARNQLMAAGSDLLGKFCYLQYIVFAKMLLLKTRDLLFEASFMSTFKIRSISWWLFRALLIHQQILDERSSSLFELLQVFKGETLGHFGSFEKVTSYWGAQLQDGEASTIVSMVHLEAGVLEYIYGRLDPCRLDLESAEVVAGLQLSVTGILGLRTVHQVEPKAQMILVANTSSKSVSGDINTSIAPDTQLTGPNVSEASDIYMTPKLVENGNGFRKNECGGVVSTLTTVQQAVVLAQCLLIEKSSPHGEMQGWDMAPYIEAIDSQKSSYFILKCFCNILRIRWESTRSRTKQRALEMMDNLVESIHKPSPGVPLRLPFCFSVYIPTIPALRKQYGDILVSCGLIGEALKIFEDLELWDNLIYCYSKLEKKAAAVELIKEQLSRRPNDPKLWCSLGDITHSDACYEKALEVSNNRSARAKRCLAYNAYGRGEYEKSKILWESALALNSLYSNGWFALGAAALKARDVEKALDGFTRAVQLDPENGEAWNNIACLHMIKKKSKESFIAFKEALKYKRDSWQMWENYSQVAFDVGNIGQSLEAIKMVLNMTNNKRIDVELLEKIMQYLEERTSARLTAVTNDDDLPSQTSSDSIPCSVNPSANAEKNAGRLRENEHLLEFLGKILQQVSNTLMVVLLLFSLLIESVAKLSHAGHQKLSPYRILMLSTYHWTSKFVVR >KJB15182 pep chromosome:Graimondii2_0_v6:2:39408506:39410522:1 gene:B456_002G163700 transcript:KJB15182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESFFFTKQGDFHPQEADPITLLSGPPSSGKTSLLFQFAVNSASQCSNVNSKVVFICSRRRLETKPPYLAQGIDPGCEIFKRIKMKYINDDDDEGIKKYFAAFHLKDTFPIAVVVDDFGDFFHERSCQERYGNPRGRDLAMVRTLALCHNAIIFANKTGPCRLLLSDTHNGDSPRSLFIYKRWIRTIFTVKGDDSGSFCLKYNKYSESGSSKGTKTAKYSIAFQYLLLEGITEVDDKQ >KJB15183 pep chromosome:Graimondii2_0_v6:2:39408827:39410525:1 gene:B456_002G163700 transcript:KJB15183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESFFFTKQGDFHPQEADPITLLSGPPSSGKTSLLFQFAVNSASQCSNVNSKVVFICSRRRLETKPPYLAQGIDPGCEIFKRIKMKYINDDDDEGIKKYFAAFHLKDTFPIAVVVDDFGDFFHERSCQERYGNPRGRDLAMVRTLALCHNAIIFANKTGPCRLLLSDTHNGDSPRSLFIYKRWIRTIFTVKGCVFNFYLT >KJB15181 pep chromosome:Graimondii2_0_v6:2:39408703:39410522:1 gene:B456_002G163700 transcript:KJB15181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESFFFTKQGDFHPQEADPITLLSGPPSSGKTSLLFQFAVNSASQCSNVNSKVVFICSRRRLETKPPYLAQGIDPGCEIFKRIKMKYINDDDDEGIKKYFAAFHLKDTFPIAVVVDDFGDFFHERSCQERYGNPRGRDLAMVRTLALCHNAIIFANKTGPCRLLLSDTHNGDSPRSLFIYKRWIRTIFTVKGDDSGSFCLKYNKYSESGSSKGTKTAKYSIAFQYLLLEGITEVDDKQ >KJB16574 pep chromosome:Graimondii2_0_v6:2:59976962:59978440:-1 gene:B456_002G237300 transcript:KJB16574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESLVTKRLDGKVALITGGASGLGECSARVFLKHGAKVLIADIQDELGHSLCKELGTENFSYVHCDVTCESDVENAVNLAVSKYGKLDIMFNNAGLIGDGEVRVTDASTDNFKRVFDINVLGGFLGAKYAAKVMVPAKKGCILFSSSISSKISIGLPHAYKASKHGVVGLTKSLAVELGEHGIRVNCISPHATVTPLFQTTLGLLDKKKGEEMIAASAVLKGTVLEPEDFAHAALYLASDEAKFISGVNVPVDGGYNLSNQSWKMGFAALFG >KJB12440 pep chromosome:Graimondii2_0_v6:2:1201542:1202044:-1 gene:B456_002G017900 transcript:KJB12440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAMKIPAVTIAAIAIILFCYIPVMASARHVKAPPHGDHNKHYEEHDSRPSTRQGSMLGAKMVSNKLVDSNWETRSLPQRKRADTLKISGSSLPDCSHACMSCTPCLLVIVSFVCSSLEEAETCPMAYKCMCNHKSYPVP >KJB15696 pep chromosome:Graimondii2_0_v6:2:51768929:51770664:1 gene:B456_002G191400 transcript:KJB15696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLAASKSKKSQVSV >KJB15695 pep chromosome:Graimondii2_0_v6:2:51768642:51770267:1 gene:B456_002G191400 transcript:KJB15695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSKESDNPTKSCKARGSDLRVHFKNTRETAFAIRKLPLGKAKRYLEDVMAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQKQRRRTYRAHGRINRMT >KJB15694 pep chromosome:Graimondii2_0_v6:2:51768534:51770670:1 gene:B456_002G191400 transcript:KJB15694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSKESDNPTKSCKARGSDLRVHFKNTRETAFAIRKLPLGKAKRYLEDVMAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLAASKSKKSQVSV >KJB12086 pep chromosome:Graimondii2_0_v6:2:58627251:58631806:-1 gene:B456_002G227600 transcript:KJB12086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKPTEIGSSKMFGGYNKRYKHFSPTLGCSMTFHIYFPPSSSPSHKFPILYWLSGLTCTDENFIIKSGAQRAASAEGVALIAPDTSPRGLNVEGEADSWDFGVGAGFYLNATQQKWKNWRMYDYVVKELPKLLSENFPQLDTSKASISGHSMGGHGALTIYLKNLDKYKVVAVEILIYFID >KJB12085 pep chromosome:Graimondii2_0_v6:2:58625909:58631806:-1 gene:B456_002G227600 transcript:KJB12085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKPTEIGSSKMFGGYNKRYKHFSPTLGCSMTFHIYFPPSSSPSHKFPILYWLSGLTCTDENFIIKSGAQRAASAEGVALIAPDTSPRGLNVEGEADSWDFGVGAGFYLNATQQKWKNWRMYDYVVKELPKLLSENFPQLDTSKASISGHSMGGHGALTIYLKNLDKYKGEDDKFLDQLLAHKFEEACRSVNVPLLLRLQPGYDHSYFFISTFIDDHIRHHAQALKL >KJB12084 pep chromosome:Graimondii2_0_v6:2:58625871:58631834:-1 gene:B456_002G227600 transcript:KJB12084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKPTEIGSSKMFGGYNKRYKHFSPTLGCSMTFHIYFPPSSSPSHKFPILYWLSGLTCTDENFIIKSGAQRAASAEGVALIAPDTSPRGLNVEGEADSWDFGVGAGFYLNATQQKWKNWRMYDYVVKELPKLLSENFPQLDTSKASISGHSMGGHGALTIYLKNLDKYKSASAFAPIANPINCPWGQKAFTNYLGNDKAAWEEYDATCLIKKFHNVSATILIDQGEDDKFLDQLLAHKFEEACRSVNVPLLLRLQPGYDHSYFFISTFIDDHIRHHAQALKL >KJB14518 pep chromosome:Graimondii2_0_v6:2:19952761:19960251:1 gene:B456_002G128600 transcript:KJB14518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTSASDSRRLLTVNPPHPISKDVQGSDNPIPLSPQWLLSKPGENKPEIGTMENHSVPYPSHGGHSEVMKPSGNGEGIHDTPKKDVFRPSLLDMETGRRDRWRDEERDTHSSLRKDHWRDGDKELSDTRRVDRLVDNLPSRQFGEARRAPTERWTDSGNRDSNYDQKRESKWNTRWGPDDKNNERSRDKWADSGRDGDMPLDKGLPHLSSHGKDVREGDHYRPWRSTSSQSRGRGEPPHHQALTPSKQVPTFSYGRGRGESHPSTFSAGRGRGSSGGNSGASIPSHHQTLGTISDKGVIDHGEPSPLQYSRKKMLDLYMRTDLRIYQKLIEELLSVPSLTQNEPLEPLALCAPNSDEMLVLKGIDRGDITSSGSPQMPKDGPASRNSTEYTHPRRNKIGSREDIPAAVGDCKEESSDIPNSNYSQLEKHKGYPDTKFKYEDNSVLFERDEEVPISKESSIHVPNSVNPGTMWRTSSFGERSPTVTHDWKEIPNDARSRIPDMSWSQPQKDMINQRESNVMNSSYARDEANWQSSEDPILMRQPSGILVREPEPRKLPAPEDLILHYKDPQGEIQGPFSGIDIISWSEAGYFGLDLEVRLASAPKDSPFSLLGDVMPHLRAKARPPPGFGVPKQGELSDLSSRPNYSSPGKVNAGASEINMIRNEPRQATEAENRFLESLMSGGMSNPSQGLQGYVPNNPSSIPPSGIENGSDLYLLGRRMTLERQMSLPKPYPYWQGRDGASLVPKPDIISESPMPHAKFLPSLTDNTPQLPPQAADLMSILQGLPDRSAPGVNNSVSSWANFPAQGALDTHQEKIELHHAQNFPNQASFGIQQQRLQAPTPPSLTSLLGQTMDKPSGILQPEKFISTSSQDPQLLNMLQQQYLMQQLQPQTPVPTPQMLLLEKMMLLKQQQKLEEQQQLLRQQQLLSQVLQEQSQQHLGEPSFGHLQTTTMQTGNSSVDPARPQSSPQDILQIGSQIPGNQDEHAYNMNLSQQVSRDTSYAVSSGSPPVLLPHEMLSSINCQKSWGTNAPELVNGMQQSLPVTTNVVESLPSLEVKLFSQEASPVQEPLLTSDSHALTVEQPPECAKKIGEIVPVVHPVNDVNRGTLEGHEITSARTSKTDTPINECVQPTGAIDELQVGREKSNDQPSVVREVKNVEAPEVRKASEKKSRKQKSSKSQASDPAKGLSKASSLVQSKPSETEESVVGNSNTAGHNIDGMSRGKKEENKSRNAPMDSDYAKSFSAANVGVVDDETKEPKGEIQPSSSSPVQNPTVQPAIRAWKPAPGFKAKSLLEIQQEEQRRAQTEITVSEVTSSVNSLSVSTPWAGVVSSLEPKVSRESQRDADISESAIVKPESLNSLSKKNSSLDLLPEEVLAKSRERDADVPGTTTSAHVTTTIVEPTDNDNFIEAKETKKSRKKSAKTKGVGAKVSGPPNTADAVLVSASTVEKGKSSRPAQAEKELLPSIPSGPSLGDFVPWKEEQVNPSPAPAWSADSKKLSKPTSLRDIQKEQKRNSSVQPTNPIMTPHKSQSSQSTPAGVSSWSITSSSPSKTASPLQINSHASQSKYKGDDDLFWGPIDQTKQETKQGDFPLLANAGSRGTKSTPAKGTASGALSRQRSSGRAVDRSLSSSPASAQSSLKGRSDMLTKHSGKSF >KJB14517 pep chromosome:Graimondii2_0_v6:2:19952608:19961419:1 gene:B456_002G128600 transcript:KJB14517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTSASDSRRLLTVNPPHPISKDVQGSDNPIPLSPQWLLSKPGENKPEIGTMENHSVPYPSHGGHSEVMKPSGNGEGIHDTPKKDVFRPSLLDMETGRRDRWRDEERDTHSSLRKDHWRDGDKELSDTRRVDRLVDNLPSRQFGEARRAPTERWTDSGNRDSNYDQKRESKWNTRWGPDDKNNERSRDKWADSGRDGDMPLDKGLPHLSSHGKDVREGDHYRPWRSTSSQSRGRGEPPHHQALTPSKQVPTFSYGRGRGESHPSTFSAGRGRGSSGGNSGASIPSHHQTLGTISDKGVIDHGEPSPLQYSRKKMLDLYMRTDLRIYQKLIEELLSVPSLTQNEPLEPLALCAPNSDEMLVLKGIDRGDITSSGSPQMPKDGPASRNSTEYTHPRRNKIGSREDIPAAVGDCKEESSDIPNSNYSQLEKHKGYPDTKFKYEDNSVLFERDEEVPISKESSIHVPNSVNPGTMWRTSSFGERSPTVTHDWKEIPNDARSRIPDMSWSQPQKDMINQRESNVMNSSYARDEANWQSSEDPILMRQPSGILVREPEPRKLPAPEDLILHYKDPQGEIQGPFSGIDIISWSEAGYFGLDLEVRLASAPKDSPFSLLGDVMPHLRAKARPPPGFGVPKQGELSDLSSRPNYSSPGKVNAGASEINMIRNEPRQATEAENRFLESLMSGGMSNPSQGLQGYVPNNPSSIPPSGIENGSDLYLLGRRMTLERQMSLPKPYPYWQGRDGASLVPKPDIISESPMPHAKFLPSLTDNTPQLPPQAADLMSILQGLPDRSAPGVNNSVSSWANFPAQGALDTHQEKIELHHAQNFPNQASFGIQQQRLQAPTPPSLTSLLGQTMDKPSGILQPEKFISTSSQDPQLLNMLQQQYLMQQLQPQTPVPTPQMLLLEKMMLLKQQQKLEEQQQLLRQQQLLSQVLQEQSQQHLGEPSFGHLQTTTMQTGNSSVDPARPQSSPQDILQIGSQIPGNQDEHAYNMNLSQQVSRDTSYAVSSGSPPVLLPHEMLSSINCQKSWGTNAPELVNGMQQSLPVTTNVVESLPSLEVKLFSQEASPVQEPLLTSDSHALTVEQPPECAKKIGEIVPVVHPVNDVNRGTLEGHEITSARTSKTDTPINECVQPTGAIDELQVGREKSNDQPSVVREVKNVEAPEVRKASEKKSRKQKSSKSQASDPAKGLSKASSLVQSKPSETEESVVGNSNTAGHNIDGMSRGKKEENKSRNAPMDSDYAKSFSAANVGVVDDETKEPKGEIQPSSSSPVQNPTVQPAIRAWKPAPGFKAKSLLEIQQEEQRRAQTEITVSEVTSSVNSLSVSTPWAGVVSSLEPKVSRESQRDADISESAIVKPESLNSLSKKNSSLDLLPEEVLAKSRERDADVPGTTTSAHVTTTIVEPTDNDNFIEAKETKKSRKKSAKTKGVGAKVSGPPNTADAVLVSASTVEKGKSSRPAQAEKELLPSIPSGPSLGDFVPWKEEQVNPSPAPAWSADSKKLSKPTSLRDIQKEQKRNSSVQPTNPIMTPHKSQSSQSTPAGVSSWSITSSSPSKTASPLQINSHASQSKYKGDDDLFWGPIDQTKQETKQGDFPLLANAGSRGTKSTPAKGTASGALSRQRSSGRAVDRSLSSSPASAQSSLKGRSDMLTKHSEAMDFRDWCEGECVRLIGTKDTSFLEFCLKQSRSEAEILLVENLGSFDPNHEFIEKFLNYKELLSADVLEIAFQNRYDRKFMEMGTGNVNSGNTSVDNLDQDVGDGSSKGGGKKKGKKGKKVSPAVLGFNVVSNRIMMGEIQTVED >KJB15140 pep chromosome:Graimondii2_0_v6:2:60987578:60990366:-1 gene:B456_002G245700 transcript:KJB15140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPGRRNGLLEDDEDDENPLFEENGLDMDLEADTPPHLRDLAAAAQLGDLNALRLALDNINGSIDEPVEDGDTALHLTCLYGYLPCVQLLLERGANLEAKDEDGAVPLHDACAGGFVEIVQLLLDRASNSGCLKRMLDSVDAEGDTPLHHAARGEHAEVIQLLLANGASPTKTNTYGKIPQELAEPETEAWRVFEAAASAQTTQ >KJB15142 pep chromosome:Graimondii2_0_v6:2:60987773:60990298:-1 gene:B456_002G245700 transcript:KJB15142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPGRRNGLLEDDEDDENPLFEENGLDMDLEADTPPHLRDLAAAAQLGDLNALRLALDNINGSIDEPVEDGDTALHLTCLYGYLPCVQLLLERGANLEAKDEDGAVPLHDACAGGFVEIVQLLLDRASNSGCLKRMLDSVDAEGDTPLHHAARGEHAEVIQLLLANGASPTKTNTYGKVSHHTRFSISHVPFDNVSLTLKNPCRSHRS >KJB15141 pep chromosome:Graimondii2_0_v6:2:60987773:60989449:-1 gene:B456_002G245700 transcript:KJB15141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTNLSSNNTSHCSLDCIDNINGSIDEPVEDGDTALHLTCLYGYLPCVQLLLERGANLEAKDEDGAVPLHDACAGGFVEIVQLLLDRASNSGCLKRMLDSVDAEGDTPLHHAARGEHAEVIQLLLANGASPTKTNTYGKIPQELAEPETEAWRVFEAAASAQTTQ >KJB16790 pep chromosome:Graimondii2_0_v6:2:61192554:61198522:1 gene:B456_002G247800 transcript:KJB16790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTERKPHLIKKPADVKRQSVDESLGPGLKDEATEVEHLLVEPKSEHVSVDRVFCFGKENIEKHLKMEDFSCAFDYGWKIGSGGLDSIHGQGGDDLKLEVLDGLLDEVDEVDDIQAAHDLSSACEDFLLDIEFPEKFSELDCGPYVGSNLRNSSSESHSPGGSSNSVGGMSESSIVTVQESNSKNGVLGKMVNCDIHHTIRSECGCQAPVMETIRPSKEHGQDFVESDDEKPLVSFIVSNKKVKSSVKVTKGGTLLRQKRLRKPTKRYIEEFSRNSTTSGKNKCLKVRSQEEFSQVPSESQSRRGRPKKIMPKMEFESDCELSASESEDECKRTKRFKTACDRRKHQRMWTLAEVIKLVDGIAQYGVGRWTDIKKLLFASSAYRTPVDLRDKWRNLLRSSSAHKHNRKEVSFPFFVFSFFICTFICKLLFRVTLLLIRLITSSLIPRT >KJB16787 pep chromosome:Graimondii2_0_v6:2:61192504:61198573:1 gene:B456_002G247800 transcript:KJB16787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTERKPHLIKKPADVKRQSVDESLGPGLKDEATEVEHLLVEPKSEHVSVDRVFCFGKENIEKHLKMEDFSCAFDYGWKIGSGGLDSIHGQGGDDLKLEVLDGLLDEVDEVDDIQAAHDLSSACEDFLLDIEFPEKFSELDCGPYVGSNLRNSSSESHSPGGSSNSVGGMSESSIVTVQESNSKNGVLGKMVNCDIHHTIRSECGCQAPVMETIRPSKEHGQDFVESDDEKPLVSFIVSNKKVKSSVKVTKGGTLLRQKRLRKPTKRYIEEFSRNSTTSGKNKCLKVRSQEEFSQVPSESQSRRGRPKKIMPKMEFESDCELSASESEDECKRTKRFKTACDRRKHQRMWTLAEVIKLVDGIAQYGVGRWTDIKKLLFASSAYRTPVDLRDKWRNLLRSSSAHKHNRKELQGETNMKHYVRPLPKTVVCRIRELATIHPYPKARSPNLSSINSDPSSKQPTTTKAAPINTHGRNLRGKKCN >KJB16788 pep chromosome:Graimondii2_0_v6:2:61194388:61198150:1 gene:B456_002G247800 transcript:KJB16788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFSCAFDYGWKIGSGGLDSIHGQGGDDLKLEVLDGLLDEVDEVDDIQAAHDLSSACEDFLLDIEFPEKFSELDCGPYVGSNLRNSSSESHSPGGSSNSVGGMSESSIVTVQESNSKNGVLGKMVNCDIHHTIRSECGCQAPVMETIRPSKEHGQDFVESDDEKPLVSFIVSNKKVKSSVKVTKGGTLLRQKRLRKPTKRYIEEFSRNSTTSGKNKCLKVRSQEEFSQVPSESQSRRGRPKKIMPKMEFESDCELSASESEDECKRTKRFKTACDRRKHQRMWTLAEVIKLVDGIAQYGVGRWTDIKKLLFASSAYRTPVDLRDKWRNLLRSSSAHKHNRKELQGETNMKHYVRPLPKTVVCRIRELATIHPYPKARSPNLSSINSDPSSKQPTTTKAAPINTHGRNLRGKKCN >KJB16789 pep chromosome:Graimondii2_0_v6:2:61192554:61198522:1 gene:B456_002G247800 transcript:KJB16789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTERKPHLIKKPADVKRQSVDESLGPGLKDEATEVEHLLVEPKSEHVSVDRVFCFGKENIEKHLKMEDFSCAFDYGWKIGSGGLDSIHGQGGDDLKLEVLDGLLDEVDEVDDIQAAHDLSSACEDFLLDIEFPEKFSELDCGPYVGSNLRNSSSESHSPGGSSNSVGGMSESSIVTVQESNSKNGVLGKMVNCDIHHTIRSECGCQAPVMETIRPSKEHGQDFVESDDEKPLVSFIVSNKKVKSSVKVTKGGTLLRQKRLRKPTKRYIEEFSRNSTTSGKNKCLKVRSQEEFSQVPSESQSRRGRPKKIMPKMEFESDCELSASESEDECKRTKRFKTACDRRKHQRMWTLAEVIKLVDGIAQYGVGRWTDIKKLLFASSAYRTPVDLRDKWRNLLRSSSAHKHNRKEGETNMKHYVRPLPKTVVCRIRELATIHPYPKARSPNLSSINSDPSSKQPTTTKAAPINTHGRNLRGKKCN >KJB15104 pep chromosome:Graimondii2_0_v6:2:36046282:36047763:1 gene:B456_002G160500 transcript:KJB15104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSSSQLHFVLIPFMCQGHLIPTIDIARLLADRGVMVTVITTTKNAARFSNSINGAIKSGLAIRVEQLPFPAAEVGLIEGCETVDNLPSMDLMSSFFAALSFLQQPVEKMFEELKPRPSCIIYDRNFTWVAEMASKYQIPRIWFDGKNCFSLLCSHSLTKSKVHEFVPQGETVLVPGLSDRIEFKIAQLPGNLNPGISLAMKEQSEKAKMAEEGANGVIINSFEELETEYFESFRKVSKQNVWCIGPVSLSNKNNFDKAQRGSEALTNENQCLEWLDSWPPSSVIYVCFGSLSRQTPTQLIEVGLALEASKRPFIWVIRRGYKKEEMEKWLKEDGYEDRIKGRGLLIRGWAPQVLILSHPSIGGFLTHCGWNSTLEGISAGVPMITWPLFSEQFVNEKLVVQILKIGVGVDVKAAVQMGEEEFGAVVKKDNIMKALESLMDEGDEGEDRRKRAKYLAEMATKAVEAGGSSYLNITLLVEYIKQHPTTITRN >KJB16326 pep chromosome:Graimondii2_0_v6:2:57842088:57843515:-1 gene:B456_002G223200 transcript:KJB16326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVRANLGGFEVKICGWVQYLNLFGVAIGYTIASSISMMAIKRSNCFHASRGKNPCHMNSNPYMIGFGIAEIIFSQIPDFDQLWWLSIVAAVMSFTYSTIGLGLGIAKVAENGKIRGSLTGISVGTVTQTQKIWRSFQALGDMAFAYSYSLILIEIQDTLKAPPSESKTMSKATLLSVGVTTLFYMLCGCMGYAAFGDLSPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAYQVYCQPLFAFIEKSAAKRFPDSEFITKDIKIPIPGFRPYNLNLFRLVWRTIFVMLTTLISMLLPFFNDIVGLLGAIGFWPLTVYFPVEMYISQNKIAKWSTRWLCLQILSIACLSITIAAAAGSIAGVILDLKSYKPFSTAY >KJB16327 pep chromosome:Graimondii2_0_v6:2:57841789:57844008:-1 gene:B456_002G223200 transcript:KJB16327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVRANLVKICGWVQYLNLFGVAIGYTIASSISMMAIKRSNCFHASRGKNPCHMNSNPYMIGFGIAEIIFSQIPDFDQLWWLSIVAAVMSFTYSTIGLGLGIAKVAENGKIRGSLTGISVGTVTQTQKIWRSFQALGDMAFAYSYSLILIEIQDTLKAPPSESKTMSKATLLSVGVTTLFYMLCGCMGYAAFGDLSPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAYQVYCQPLFAFIEKSAAKRFPDSEFITKDIKIPIPGFRPYNLNLFRLVWRTIFVMLTTLISMLLPFFNDIVGLLGAIGFWPLTVYFPVEMYISQNKIAKWSTRWLCLQILSIACLSITIAAAAGSIAGVILDLKSYKPFSTAY >KJB16325 pep chromosome:Graimondii2_0_v6:2:57841705:57844309:-1 gene:B456_002G223200 transcript:KJB16325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKGAVKNRLHNNLVFDHPIGFDDDGRLKRTGTVWTASAHIITAVIGSGVLSLAWATAQLGWIAGPAVIFLFSFVTYYTSTLLAACYRCDDPVNGKRNYTYMEAVRANLGGFEVKICGWVQYLNLFGVAIGYTIASSISMMAIKRSNCFHASRGKNPCHMNSNPYMIGFGIAEIIFSQIPDFDQLWWLSIVAAVMSFTYSTIGLGLGIAKVAENGKIRGSLTGISVGTVTQTQKIWRSFQALGDMAFAYSYSLILIEIQDTLKAPPSESKTMSKATLLSVGVTTLFYMLCGCMGYAAFGDLSPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAYQVYCQPLFAFIEKSAAKRFPDSEFITKDIKIPIPGFRPYNLNLFRLVWRTIFVMLTTLISMLLPFFNDIVGLLGAIGFWPLTVYFPVEMYISQNKIAKWSTRWLCLQILSIACLSITIAAAAGSIAGVILDLKSYKPFSTAY >KJB12505 pep chromosome:Graimondii2_0_v6:2:1530460:1533540:1 gene:B456_002G021900 transcript:KJB12505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSEGNSKVEEEPREPSPTTIADAPQPKLDDDDDHHHHHQQSSANEPRSSSSSEEDTKQWGTHVMGQPAVPVVHPDNQKAALWVAGDHQQIHELPYLVYTPAEKPTQNSFELVINVFNTWSRKAETVARSVWHNLRSGSSVSDAAWGKVNLTAKAITKGGFDSLFKKIFATDPNEKLKKAFACYLSTTTGPVAGTLYLSTARVAFCSDRPLSFVAPSGQETWTYYKVMIPLSDIATVYPVVMKENPASESYIEVVTIDGHDFWFMGFVKFEKASFELLNNVLDFNATTEPVAA >KJB14325 pep chromosome:Graimondii2_0_v6:2:16997065:16997444:1 gene:B456_002G119400 transcript:KJB14325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPFRFVGWSALLLFPYTYFVLGGWFTSTTFVTSWYTHGLANSYLEGCNFLTTTVSTPSNTQGDFTSWYELGGLWTFFALHGAFGLIGFMLRQFELLWSVQLRPYNAIAFFGPIA >KJB15307 pep chromosome:Graimondii2_0_v6:2:42682856:42683383:1 gene:B456_002G170000 transcript:KJB15307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLKPYCLVVLFLFSISLNALVSDVGAAGECGRTPIRSAAASLSPCLGAARNARAKVPPACCAKVGALLRTSPRCLCAILLSPLAKQAGIMPGIAIAIPKKCYIRNRQAGKKCGSKYTTSFSSLSFESGV >KJB16596 pep chromosome:Graimondii2_0_v6:2:60221135:60223577:-1 gene:B456_002G238600 transcript:KJB16596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFECADFFPVSLSGDTGLDNSAFGPNVKHVLKVSLDLTRYEYYNIGSYFPEARDKYVVGKGFVDGWNGLRFDYGNFYASKTFFDPSKNRRILWGWTNESDTAKDDVQKGWAGLQAIPRKVWLDPSGKQLLQWPIEEIETLRGQNVQLSNQELKSGEHIEVKGITAAQADVDITFSIPNLDKAEPFDPSWTNAQDLCGLKGSTVQGGVGSFGLLTLASEKLEEYTPVFFRVFTGLYKHVVLLCSDSGSSSLRKEGLYKPSFAGFVDVDLDDTYKISLRTLVHTCPSNNFCFF >KJB16595 pep chromosome:Graimondii2_0_v6:2:60221045:60223581:-1 gene:B456_002G238600 transcript:KJB16595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFECADFFPVSLSGDTGLDNSAFGPNVKHVLKVSLDLTRYEYYNIGSYFPEARDKYVVGKGFVDGWNGLRFDYGNFYASKTFFDPSKNRRILWGWTNESDTAKDDVQKGWAGLQAIPRKVWLDPSGKQLLQWPIEEIETLRGQNVQLSNQELKSGEHIEVKGITAAQADVDITFSIPNLDKAEPFDPSWTNAQDLCGLKGSTVQGGVGSFGLLTLASEKLEEYTPVFFRVFTGLYKHVVLLCSDSGSSSLRKEGLYKPSFAGFVDVDLDDTYKISLRTLIDYSVVESFGAGGKTCITSRVYPLVAVFDDAHLFVFNNGTETITADVEAWSMAKPDQMNN >KJB16594 pep chromosome:Graimondii2_0_v6:2:60221045:60223577:-1 gene:B456_002G238600 transcript:KJB16594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFECADFFPVSLSGDTGLDNSAFGPNVKHVLKVSLDLTRYEYYNIGSYFPEARDKYVVGKGFVDGWNGLRFDYGNFYASKTFFDPSKNRRILWGWTNESDTAKDDVQKGWAGLQAIPRKVWLDPSGKQLLQWPIEEIETLRGQNVQLSNQELKSGEHIEVKGITAAQADVDITFSIPNLDKAEPFDPSWTNAQDLCGLKGSTVQGGVGSFGLLTLASEKLEEYTPVFFRVFTGLYKHVVLLCSDSGRLITRWWRVSGPGEKHASLREYIHW >KJB14921 pep chromosome:Graimondii2_0_v6:2:28758300:28760519:1 gene:B456_002G149100 transcript:KJB14921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAMTAAYLARRVAQKEKVRILNRRALKDTLNWAVHRHLFHQDASDLREKFEANKHVEDPDTVDKMIAAGEAAYSKWRHPDPYIVPWAPGGSKFTRNPVPPSGIEIVYDYDYGREDND >KJB13911 pep chromosome:Graimondii2_0_v6:2:12871352:12874363:-1 gene:B456_002G101400 transcript:KJB13911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDGYLPLFETKRANGRTLYRVFAGSIFVGICLIWSYRVSHVPRHGEDGRLVWIGLLAAELWFGFYWFLTQAHRWNLVYRQTFKDRLSHRYGNELPGVDIFVCTADPVIEPPMMVINTVLSVMAYDYPPEKLSVYLSDDAGSQLTFYALLEASQFAKYWIPFCKKFNVEPRSPVAYLDSISISDDSKQPKELATIKKLYEDMKNQVENVAKLGRLPEVHLRHKGFSEWDSYSSRHDHDTILQILIDGKDPNAKDSEGCVLPTLVYVAREKRPQYFHNFKAGAMNALIRVSSAISNGQIVLNVDCDMYSNNSLAVRDALCFFMDEKKGHEIAYVQFPQNFDNITKNELYSSSLRVISQVEFHGLDGYGGPLYVGTGCFHRRDTLCGRKFIREIHNEFKIDIPKDREREETIAVLEEKSKVLASCTYENNTAWGKEMGLKYGCPVEDVITGLSIQCRGWKSVYYNPERKAFLGVAPTTLAQILVQHKRWSEGDFQILLSKYSPAWFANGKISLGLQLGYCCYCLWATNCLAVLYYSIVPSLYLLRGIPLFPELSSPWFLPFAYVVISKYMYSLAEYLWSGGTVLGWWNDQRIWLYKRTSSYLLGFIDTIAKSLGLHSDSAFVITAKVSKQEVYRRYVKEIMEFGDSSAMFTALATIALINLVCLIGLMKKAILNEGIPIIYETMLLQFVLCAILVLINWPLYQGLFFRKDNGKMPKYIAIKSIVLAVSSCTCFTLFLT >KJB13910 pep chromosome:Graimondii2_0_v6:2:12871251:12874431:-1 gene:B456_002G101400 transcript:KJB13910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDGYLPLFETKRANGRTLYRVFAGSIFVGICLIWSYRVSHVPRHGEDGRLVWIGLLAAELWFGFYWFLTQAHRWNLVYRQTFKDRLSHRYGNELPGVDIFVCTADPVIEPPMMVINTVLSVMAYDYPPEKLSVYLSDDAGSQLTFYALLEASQFAKYWIPFCKKFNVEPRSPVAYLDSISISDDSKQPKELATIKKLYEDMKNQVENVAKLGRLPEVHLRHKGFSEWDSYSSRHDHDTILQILIDGKDPNAKDSEGCVLPTLVYVAREKRPQYFHNFKAGAMNALIRVSSAISNGQIVLNVDCDMYSNNSLAVRDALCFFMDEKKGHEIAYVQFPQNFDNITKNELYSSSLRVISQVEFHGLDGYGGPLYVGTGCFHRRDTLCGRKFIREIHNEFKIDIPKDREREETIAVLEEKSKVLASCTYENNTAWGKEMGLKYGCPVEDVITGLSIQCRGWKSVYYNPERKAFLGVAPTTLAQILVQHKRWSEGDFQILLSKYSPAWFANGKISLGLQLGYCCYCLWATNCLAVLYYSIVPSLYLLRGIPLFPEPSGVSMEWWHGPRLVE >KJB14463 pep chromosome:Graimondii2_0_v6:2:19117659:19120741:-1 gene:B456_002G126000 transcript:KJB14463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLVHNCFKETVESFIACTGMKQPSDYLEDMEKRKRIYQFALEGNALKAIELTEQLATNLLEKNKDLHFDLLSLHFVELVCSRKCTEALEFAQMKLTPFGKEQKYVEKLEDFMALLAYEEPEKSPMFHLLSLEYRQHVAEILNRAILAHGNHPTYTAMERLIQQTTVVRQCLNQEHAKDGPPPFSLKDFIKS >KJB14462 pep chromosome:Graimondii2_0_v6:2:19117654:19120850:-1 gene:B456_002G126000 transcript:KJB14462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDPRLYEQIAVNDNDIHNIIMSYLVHNCFKETVESFIACTGMKQPSDYLEDMEKRKRIYQFALEGNALKAIELTEQLATNLLEKNKDLHFDLLSLHFVELVCSRKCTEALEFAQMKLTPFGKEQKYVEKLEDFMALLAYEEPEKSPMFHLLSLEYRQHVAEILNRAILAHGNHPTYTAMERLIQQTTVVRQCLNQEHAKDGPPPFSLKDFIKS >KJB15405 pep chromosome:Graimondii2_0_v6:2:45258382:45260084:-1 gene:B456_002G176600 transcript:KJB15405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSCSCISIAMAKAVIHPPIASLTDKPSPQETQTNSKPKTATRPRKRRQRLRQQKPHPPPSIVQIERAIGAGSFRDADSSDLEEQRRKTIFDGLLPVTGGKFEGDIEKKLRETGEWIGTTTEATFRSSGKTILLVVLQWILPIWTFSLLVASGVIKLPFSTPLIDDLIM >KJB15406 pep chromosome:Graimondii2_0_v6:2:45259250:45260084:-1 gene:B456_002G176600 transcript:KJB15406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSCSCISIAMAKAVIHPPIASLTDKPSPQETQTNSKPKTATRPRKRRQRLRQQKPHPPPSIVQIERAIGAGSFRDADSSDLEEQRRKTIFDGLLPVTGGKFEGDIEKKLRETGEWIGTTTEATFRSSGTSFFSLFSFNRVQISFSTAR >KJB16559 pep chromosome:Graimondii2_0_v6:2:59603474:59606648:-1 gene:B456_002G235500 transcript:KJB16559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCQLVGNSVFYGTERRNCHCQLVKFSGVPLFYPLLNVPLTNISASLSNEGPPDGYRKNVGICLVNPSKKIFTASRIYIPNTWQMPQGGANKGEDLRKAAMRELREETGVTSAEFLAEAPYWLTYDFPIKVKDKLNRRWGTNYKGQAQKWFLFKFTGNEEEINLLGDGSEKPEFKEWSWRLPEQVVELAVDFKKPVYEQVLKVFSPYFSSDADKEQCLTKNERNGGKIHKQTTKRSSTKNKPNGGKKIHKQTKRCSTSLQGQVS >KJB16560 pep chromosome:Graimondii2_0_v6:2:59603615:59606586:-1 gene:B456_002G235500 transcript:KJB16560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCQLVGNSVFYGTERRNCHCQLVKFSGVPLFYPLLNVPLTNISASLSNEGPPDGYRKNVGICLIFTASRIYIPNTWQMPQGGANKGEDLRKAAMRELREETGVTSAEFLAEAPYWLTYDFPIKVKDKLNRRWGTNYKGQAQKWFLFKFTGNEEEINLLGDGSEKPEFKEWSWRLPEQVVELAVDFKKPVYEQVLKVFSPYFSSDADKEQCLTKNERNGGKIHKQTTKRSSTKNKPNGGKKIHKQTKRCSTSLQGQVS >KJB16561 pep chromosome:Graimondii2_0_v6:2:59604559:59606579:-1 gene:B456_002G235500 transcript:KJB16561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCQLVGNSVFYGTERRNCHCQLVKFSGVPLFYPLLNVPLTNISASLSNEGPPDGYRKNVGICLVNPSKKIFTASRIYIPNTWQMPQGGANKGEDLRKAAMRELREETGVTSAEFLAEAPYWLTYDFPIKVKDKLNRRWGTNYKGQAQKWFLFKFTGNEEEINLLGDGSEKPEFKEWSWRLPEQVVELVSLVSQSLI >KJB13940 pep chromosome:Graimondii2_0_v6:2:14616406:14619954:1 gene:B456_002G107700 transcript:KJB13940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMQCSMFTSRKKRHHYHPLPFPKPKLLWKCHQKRKKQERLSSRMKRIRSDMKEISEEQEEIKEKQRQEREKFEAIQLECEELKNQTILIAQQTASTQIRLALMLQILKARENLEFDKAVMLTNALREVIARQ >KJB13623 pep chromosome:Graimondii2_0_v6:2:10851062:10853181:-1 gene:B456_002G085300 transcript:KJB13623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPEKMTQLCRKIVHVNIKWSILGRVSIFRRFFRFIWDRILVCSIGRRPVNYTKLARRDSSPVEGVVVYGDEDDEAGLSRVICNGYETDSDLVSLKISLLGDCQIGKTSFLIKYVGDEEEKSLQMSGLNLVNKTLSVQGARISFSIWDVGGDSNSLDHLPIACKDSVAIFFMFDLTSRCTLNSVVGWYSQARKWNQTAIPVLIGTKFDDFVRLPPDLQWTIVTQARAYAKAMKATLFFSSAKHNINVNKIFKFIMAKLFNLPWTVERNLTIGEPIIDF >KJB13622 pep chromosome:Graimondii2_0_v6:2:10852247:10853181:-1 gene:B456_002G085300 transcript:KJB13622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPEKMTQLCRKIVHVNIKWSILGRVSIFRRFFRFIWDRILVCSIGRRPVNYTKLARRDSSPVEGVVVYGDEDDEAGLSRVICNGYETDSDLVSLKISLLGDCQIGKTSFLIKYVGDEEEKSLQMSGLNLVNKTLSVQGARISFSIWDVGGDSNSLDHLPIACKDSVAIFFMFDLTSRCTLNRSAQKPVFFNCKPPQMQIDDMVFLIFAGFLIGFFAVLLDGIVKQENGTRYQN >KJB13624 pep chromosome:Graimondii2_0_v6:2:10850694:10853395:-1 gene:B456_002G085300 transcript:KJB13624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPEKMTQLCRKIVHVNIKWSILGRVSIFRRFFRFIWDRILVCSIGRRPVNYTKLARRDSSPVEGVVVYGDEDDEAGLSRVICNGYETDSDLVSLKISLLGDCQIGKTSFLIKYVGDEEEKSLQMSGLNLVNKTLSVQGARISFSIWDVGGDSNSLDHLPIACKDSVAIFFMFDLTSRCTLNSVVGWYSQARKWNQARAYAKAMKATLFFSSAKHNINVNKIFKFIMAKLFNLPWTVERNLTIGEPIIDF >KJB13424 pep chromosome:Graimondii2_0_v6:2:8557250:8562189:-1 gene:B456_002G073400 transcript:KJB13424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRTRLDYALFQLTPTRTRCDLVIFAGKENEKLASGLLEPFISHLKAAKDQISKGGYSVTLRPLASSPPWFTKGTLLRFVRFVSTPEVLERFVTVEREIEQIENSIQSYEANAAGTTVADGNFQKPYTSSKSKGEFNGTEDDVPEETSKVRLQRVLETRKKVLCKEQAMAYARALVAGYEPDNIDDLISFSDTFGASRLREACINFMDLCKRKNEDRLWMAELAAMQACPRPDLSYLGTSGIVLAGEENDPSQNLTINVSAMKQTGSAASDAGSGDINPDGSLASMDGKSQVQIPWPPHFPPYMQSFPGSGFQQMPPYQGYIFPGMHGAPPYYPGNMHWPPNVEDSNLACGWEPDDRRSHKSSSRRKKKSSQSKGDESTESNDSSSESEPEEEVHKKKHEKKSSSSRKVVIRNINYISSKRNGDKGSDSEDNSDEDEFIDGDSLKQQVEEAVGSLGKRHKSTSRHHKKHNGSKHRNSVSYDEDELVTKNPEGEKRSNPWDTFQNLLLQDKDLDSSEVDKQPARLQDKYFASTGTEGVTKPKTNSSDPFLDSQIGKDHEGETRGRNFGTNEFSGSVVKRRDGTNEELLMLQGTDSGISSRAKISDYAAESTMARTRREGEWFINKEVDKSSNQDEMMGLKMFDGENASSLARESKKDVFVDDSFMIQGPSVGEYQSDQLRIGIGMVPELEATQQEHSNSENVSKAAPVSYEPDELYMVIGRGSAEETAMTSWTPEIDYEMNALSAEATSRHADVEITGANEKGPDAKKLGKSEGKLPNKEVRSRVSNGPLAKSKSDVVSKSRKPPAGSKTMIRRTKSDQEEENRKKMEELRIQRQKRIAERSAAGGLNPVTSRRSSTESKTSAASTKSQPSTQDTKKSPKPVLRSSTIERLATARNTSKVSSAELKSSQPKKPTLKEISSSTTQKAAPAKDKNSSTNKTKAQMPKWLP >KJB13423 pep chromosome:Graimondii2_0_v6:2:8557250:8562189:-1 gene:B456_002G073400 transcript:KJB13423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRTRLDYALFQLTPTRTRCDLVIFAGKENEKLASGLLEPFISHLKAAKDQISKGGYSVTLRPLASSPPWFTKGTLLRFVRFVSTPEVLERFVTVEREIEQIENSIQSYEANAAGTTVADGNFQKPYTSSKSKGEFNGTEDDVPEETSKVRLQRVLETRKKVLCKEQAMAYARALVAGYEPDNIDDLISFSDTFGASRLREACINFMDLCKRKNEDRLWMAELAAMQACPRPDLSYLGTSGIVLAGEENDPSQNLTINVSAMKQTGSAASDAGSGDINPDGSLASMDGKSQVQIPWPPHFPPYMQSFPGSGFQQMPPYQGYIFPGMHGAPPYYPGNMHWPPNVEDSNLACGWEPDDRRSHKSSSRRKKKSSQSKGDESTESNDSSSESEPEEEVHKKKHEKKSSSSRKVVIRNINYISSKRNGDKGSDSEDNSDEDEFIDGDSLKQQVEEAVGSLGKRHKSTSRHHKKHNGSKHRNSVSYDEDELVTKNPEGEKRSNPWDTFQNLLLQDKDLDSSEVDKQPARLQDKYFASTGTEGVTKPKTNSSDPFLDSQIGKDHEGETRGRNFGTNEFSGSVVKRRDGTNEELLMLQGTDSGISSRAKISDYAAESTMARTRREGEWFINKEVDKSSNQDEMMGLKMFDGENASSLARESKKDVFVDDSFMIQGPSVGEYQSDQLRIGIGMVPELEATQQEHSNSENVSKAAPVSYEPDELYMVIGRGSAEETAMTSWTPEIDYEMNALSAEATSRHADVEITGANEKGPDAKKLGKSEGKLPNKEVRSRVSNGPLAKSKSDVVSKSRKPPAGSKTMIRRTKSDQRRTERKWRSYGSSARRG >KJB13422 pep chromosome:Graimondii2_0_v6:2:8557185:8562433:-1 gene:B456_002G073400 transcript:KJB13422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRTRLDYALFQLTPTRTRCDLVIFAGKENEKLASGLLEPFISHLKAAKDQISKGGYSVTLRPLASSPPWFTKGTLLRFVRFVSTPEVLERFVTVEREIEQIENSIQSYEANAAGTTVADGNFQKPYTSSKSKGEFNGTEDDVPEETSKVRLQRVLETRKKVLCKEQAMAYARALVAGYEPDNIDDLISFSDTFGASRLREACINFMDLCKRKNEDRLWMAELAAMQACPRPDLSYLGTSGIVLAGEENDPSQNLTINVSAMKQTGSAASDAGSGDINPDGSLASMDGKSQVQIPWPPHFPPYMQSFPGSGFQQMPPYQGYIFPGMHGAPPYYPGNMHWPPNVEDSNLACGWEPDDRRSHKSSSRRKKKSSQSKGDESTESNDSSSESEPEEEVHKKKHEKKSSSSRKVVIRNINYISSKRNGDKGSDSEDNSDEDEFIDGDSLKQQVEEAVGSLGKRHKSTSRHHKKHNGSKHRNSVSYDEDELVTKNPEGEKRSNPWDTFQNLLLQDKDLDSSEVDKQPARLQDKYFASTGTEGVTKPKTNSSDPFLDSQIGKDHEGETRGRNFGTNEFSGSVVKRRDGTNEELLMLQGTDSGISSRAKISDYAAESTMARTRREGEWFINKEVDKSSNQDEMMGLKMFDGENASSLARESKKDVFVDDSFMIQGPSVGEYQSDQLRIGIGMVPELEATQQEHSNSENVSKAAPVSYEPDELYMVIGRGSAEETAMTSWTPEIDYEMNALSAEATSRHADVEITGANEKGPDAKKLGKSEGKLPNKEVRSRVSNGPLAKSKSDVVSKSRKPPAGSKTMIRRTKSDQEEENRKKMEELRIQRQKRIAERSAAGGLNPVTSRRSSTESKTSAASTKSQPSTQDTKKSPKPVLRSSTIERLATARNTSKVSSAELKSSQPKKPTLKEISSSTTQKAAPAKDKNSSTNKAKASDIKSGTNKELPSGDNAQGKRESNEVTVALPMEPAPSKATQPTDIVDDFKDIQELQTTPIEKTEGNATSKPNPSGEDQSSNAKMVTVNKPMQLDHVKGDEEFIMPTKVVSEDNIAPEGFGKDIPETTVHPVPPVPSKTVRFSTVNIEEMRATNEKYQSPRIPEIEISTPPPNDGMDKEPMHSRKKWNNEESPPKAAKGFRKLLFFGRKSKNYATA >KJB14759 pep chromosome:Graimondii2_0_v6:2:25259024:25261479:1 gene:B456_002G142000 transcript:KJB14759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVSKLVYTTGKRSNSAKVFSVLSWSWSSKSLQLARDAFQCRYSEPCNPGAHCITLDKLYVAEQKLYKEVKEEEVTKLELEKKLMLLQKQDENRDWTKTQKIKSSVENLENDMTRLQHSISTACSSILELIDEELYPQLVALTSGLMEMWKMMYKSHQFQNHISKQLNHLTDNLSMDLTTESRLQATAQLETEVSFWYYSFCRLIKSQQEYLRTLCQWIQLTDCLVSNQQQSRCSSAVRRLCEEWHLGFEKLPDKAASETIKRFLLAIQSIIQQQAEEHNQKKKSEKLQKRLQKELISLTEMEKKVEGSVLTLDMNSTLSPKHPLSLKRAKTEALKKRVDVEKGKHLNSVQLSKTMILNNLKTSLPNVFQALMGFSKACVEVFEAIHGNSQPEIPCAS >KJB14756 pep chromosome:Graimondii2_0_v6:2:25257006:25261479:1 gene:B456_002G142000 transcript:KJB14756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASRIEREGRVQVCKERKKLMKQLVGYRGEFADAQLAYLRALKNTGVTLRQFTESDSLELENTSHCLTLPPSPPSPLPPPPPPPPSFSPDPRKAGENGIIEAAQDESTKINQDECSTPPPPTASSSWTYWDLFESTSPLHHPNQSETVEPIQEESWAESKMQFEDENPGEELVEGFAINTLPERSLRREIVDDSSSIMSWYNKDSTDVAMLVLKNNKTLEGIMKDLDDYFLKASAGGKEIAVFTDINIGNNSLPWKLNENKRKRSNSAKVFSVLSWSWSSKSLQLARDAFQCRYSEPCNPGAHCITLDKLYVAEQKLYKEVKEEEVTKLELEKKLMLLQKQDENRDWTKTQKIKSSVENLENDMTRLQHSISTACSSILELIDEELYPQLVALTSGLMEMWKMMYKSHQFQNHISKQLNHLTDNLSMDLTTESRLQATAQLETEVSFWYYSFCRLIKSQQEYLRTLCQWIQLTDCLVSNQQQSRCSSAVRRLCEEWHLGFEKLPDKAASETIKRFLLAIQSIIQQQAEEHNQKKKSEKLQKRLQKELISLTEMEKKVEGSVLTLDMNSTLSPKHPLSLKRAKTEALKKRVDVEKGINGILESLC >KJB14753 pep chromosome:Graimondii2_0_v6:2:25256795:25261706:1 gene:B456_002G142000 transcript:KJB14753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASRIEREGRVQVCKERKKLMKQLVGYRGEFADAQLAYLRALKNTGVTLRQFTESDSLELENTSHCLTLPPSPPSPLPPPPPPPPSFSPDPRKAGENGIIEAAQDESTKINQDECSTPPPPTASSSWTYWDLFESTSPLHHPNQSETVEPIQEESWAESKMQFEDENPGEELVEGFAINTLPERSLRREIVDDSSSIMSWYNKDSTDVAMLVLKNNKTLEGIMKDLDDYFLKASAGGKEIAVFTDINIGNNSLPWKLNENKRKRSNSAKVFSVLSWSWSSKSLQLARDAFQCRYSEPCNPGAHCITLDKLYVAEQKLYKEVKEEEVTKLELEKKLMLLQKQDENRDWTKTQKIKSSVENLENDMTRLQHSISTACSSILELIDEELYPQLVALTSGLMEMWKMMYKSHQFQNHISKQLNHLTDNLSMDLTTESRLQATAQLETEVSFWYYSFCRLIKSQQEYLRTLCQWIQLTDCLVSNQQQSRCSSAVRRLCEEWHLGFEKLPDKAASETIKRFLLAIQSIIQQQAEEHNQKKKSEKLQKRLQKELISLTEMEKKVEGSVLTLDMNSTLSPKHPLSLKRAKTEALKKRVDVEKGKHLNSVQLSKTMILNNLKTSLPNVFQALMGFSKACVEVFEAIHGNSQPEIPCAS >KJB14758 pep chromosome:Graimondii2_0_v6:2:25256792:25261706:1 gene:B456_002G142000 transcript:KJB14758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASRIEREGRVQVCKERKKLMKQLVGYRGEFADAQLAYLRALKNTGVTLRQFTESDSLELENTSHCLTLPPSPPSPLPPPPPPPPSFSPDPRKAGENGIIEAAQDESTKINQDECSTPPPPTASSSWTYWDLFESTSPLHHPNQSETVEPIQEESWAESKMQFEDENPGEELVEGFAINTLPERSLRREIVDDSSSIMSWYNKDSTDVAMLVLKNNKTLEGIMKDLDDYFLKASAGGKEIAVFTDINIGNNSLPWKLNENKRKRSNSAKVFSVLSWSWSSKSLQLARDAFQCRYSEPCNPGAHCITLDKLYVAEQKLYKEVKEEEVTKLELEKKLMLLQKQDENRDWTKTQKIKSSVENLENDMTRLQHSISTACSSILELIDEELYPQLVALTSGLMEMWKMMYKSHQFQNHISKQLNHLTDNLSMDLTTESRLQATAQLETEVSFWYYSFCRLIKSQQEYLRTLCQWIQLTDCLVSNQQQSRCSSAVRRLCEEWHLGFEKLPDKAASETIKRFLLAIQSIIQQQAEEHNQKKKSEKLQKRLQKELISLTEMEKKVEGSVLTLDMNSTLSPKHPLSLKRAKTEALKKRVDVEKGKHLNSVQLSKTMILNNLKTSLPNVFQALMGFSKACVEVFEAIHGNSQPEIPCAS >KJB14752 pep chromosome:Graimondii2_0_v6:2:25256790:25261479:1 gene:B456_002G142000 transcript:KJB14752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASRIEREGRVQVCKERKKLMKQLVGYRGEFADAQLAYLRALKNTGVTLRQFTESDSLELENTSHCLTLPPSPPSPLPPPPPPPPSFSPDPRKAGENGIIEAAQDESTKINQDECSTPPPPTASSSWTYWDLFESTSPLHHPNQSETVEPIQEESWAESKMQFEDENPGEELVEGFAINTLPERSLRREIVDDSSSIMSWYNKDSTDVAMLVLKNNKTLEGIMKDLDDYFLKASAGGKEIAVFTDINIGNNSLPWKLNENKRKRSNSAKVFSVLSWSWSSKSLQLARDAFQCRYSEPCNPGAHCITLDKLYVAEQKLYKEVKEEEVTKLELEKKLMLLQKQDENRDWTKTQKIKSSVENLENDMTRLQHSISTACSSILELIDEELYPQLVALTSGLMEMWKMMYKSHQFQNHISKQLNHLTDNLSMDLTTESRLQATAQLETEVSFWYYSFCRLIKSQQEYLRTLCQWIQLTDCLVSNQQQSRCSSAVRRLCEEWHLGFEKLPDKAASETIKRFLLAIQSIIQQQAEEHNQKKKSEKLQKRLQKELISLTEMEKKVEGSVLTLDMNSTLSPKHPLSLKRAKTEALKKRVDVEKGKHLNSVQLSKTMILNNLKTSLPNVFQALMGFSKACVEVFEAIHGNSQPEIPCAS >KJB14755 pep chromosome:Graimondii2_0_v6:2:25257006:25259808:1 gene:B456_002G142000 transcript:KJB14755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASRIEREGRVQVCKERKKLMKQLVGYRGEFADAQLAYLRALKNTGVTLRQFTESDSLELENTSHCLTLPPSPPSPLPPPPPPPPSFSPDPRKAGENGIIEAAQDESTKINQDECSTPPPPTASSSWTYWDLFESTSPLHHPNQSETVEPIQEESWAESKMQFEDENPGEELVEGFAINTLPERSLRREIVDDSSSIMSWYNKDSTDVAMLVLKNNKTLEGIMKDLDDYFLKASAGGKEIAVFTDINIGNNSLPWKLNENKRKRSNSAKVFSVLSWSWSSKSLQLARDAFQCRYSEPCNPGAHCITLDKLYVAEQKLYKEVKEEEVTKLELEKKLMLLQKQDENRDWTKTQKIKSSVENLENDMTRLQHSISTACSSILELIDEELYPQLVALTSG >KJB14757 pep chromosome:Graimondii2_0_v6:2:25257006:25261479:1 gene:B456_002G142000 transcript:KJB14757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASRIEREGRVQVCKERKKLMKQLVGYRGEFADAQLAYLRALKNTGVTLRQFTESDSLELENTSHCLTLPPSPPSPLPPPPPPPPSFSPDPRKAGENGIIEAAQDESTKINQDECSTPPPPTASSSWTYWDLFESTSPLHHPNQSETVEPIQEESWAESKMQFEDENPGEELVEGFAINTLPERSLRREIVDDSSSIMSWYNKDSTDVAMLVLKNNKTLEGIMKDLDDYFLKASAGGKEIAVFTDINIGNNSLPWKLNENKRKRSNSAKVFSVLSWSWSSKSLQLARDAFQCRYSEPCNPGAHCITLDKLYVAEQKLYKEVKEEEVTKLELEKKLMLLQKQDENRDWTKTQKIKSSVENLENDMTRLQHSISTACSSILELIDEELYPQLVALTSGFCRLIKSQQEYLRTLCQWIQLTDCLVSNQQQSRCSSAVRRLCEEWHLGFEKLPDKAASETIKRFLLAIQSIIQQQAEEHNQKKKSEKLQKRLQKELISLTEMEKKVEGSVLTLDMNSTLSPKHPLSLKRAKTEALKKRVDVEKGKHLNSVQLSKTMILNNLKTSLPNVFQALMGFSKACVEVFEAIHGNSQPEIPCAS >KJB14754 pep chromosome:Graimondii2_0_v6:2:25257708:25261479:1 gene:B456_002G142000 transcript:KJB14754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASRIEREGRVQVCKERKKLMKQLVGYRGEFADAQLAYLRALKNTGVTLRQFTESDSLELENTSHCLTLPPSPPSPLPPPPPPPPSFSPDPRKAGENGIIEAAQDESTKINQDECSTPPPPTASSSWTYWDLFESTSPLHHPNQSETVEPIQEESWAESKMQFEDENPGEELVEGFAINTLPERSLRREIVDDSSSIMSWYNKDSTDVAMLVLKNNKTLEGIMKDLDDYFLKASAGGKEIAVFTDINIGNNSLPWKLNENKRKRSNSAKVFSVLSWSWSSKSLQLARDAFQCRYSEPCNPGAHCITLDKLYVAEQKLYKEVKEEEVTKLELEKKLMLLQKQDENRDWTKTQKIKSSVENLENDMTRLQHSISTACSSILELIDEELYPQLVALTSGLMEMWKMMYKSHQFQNHISKQLNHLTDNLSMDLTTESRLQATAQLETEVSFWYYSFCRLIKSQQEYLRTLCQWIQLTDCLVSNQQQSRCSSAVRRLCEEWHLGFEKLPDKAASETIKRFLLAIQSIIQQQAEEHNQKKKSEKLQKRLQKELISLTEMEKKVEGSVLTLDMNSTLSPKHPLSLKRAKTEALKKRVDVEKGKHLNSVQLSKTMILNNLKTSLPNVFQALMGFSKACVEVFEAIHGNSQPEIPCAS >KJB14751 pep chromosome:Graimondii2_0_v6:2:25256794:25261497:1 gene:B456_002G142000 transcript:KJB14751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASRIEREGRVQVCKERKKLMKQLVGYRGEFADAQLAYLRALKNTGVTLRQFTESDSLELENTSHCLTLPPSPPSPLPPPPPPPPSFSPDPRKAGENGIIEAAQDESTKINQDECSTPPPPTASSSWTYWDLFESTSPLHHPNQSETVEPIQEESWAESKMQFEDENPGEELVEGFAINTLPERSLRREIVDDSSSIMSWYNKDSTDVAMLVLKNNKTLEGIMKDLDDYFLKASAGGKEIAVFTDINIGNNSLPWKLNENKRKRSNSAKVFSVLSWSWSSKSLQLARDAFQCRYSEPCNPGAHCITLDKLYVAEQKLYKEVKEEEVTKLELEKKLMLLQKQDENRDWTKTQKIKSSVENLENDMTRLQHSISTACSSILELIDEELYPQLVALTSGLMEMWKMMYKSHQFQNHISKQLNHLTDNLSMDLTTESRLQATAQLETEVSFWYYSFCRLIKSQQEYLRTLCQWIQLTDCLVSNQQQSRCSSAVRRLCEEWHLGFEKLPDKAASETIKRFLLAIQSIIQQQAEEHNQKKKSEKLQKRLQKELISLTEMEKKVEGSVLTLDMNSTLSPKHPLSLKRAKTEALKKRVDVEKGKHLNSVQLSKTMILNNLKTSLPNVFQALMGFSKACVEVFEAIHGNSQPEIPCAS >KJB16761 pep chromosome:Graimondii2_0_v6:2:61035058:61039687:-1 gene:B456_002G246300 transcript:KJB16761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKIIKGGHKKHSKSDSIDGSLHGYGLPGSGHPGSGSGSSVVVNYASWPGHNAKSSNPCPRTTLPPMFAVEPLPLFKDVAVSDRQNLFLRKLQICCFRLDFSNTLKLVREKEIKRQTLLELVDFIQSGSGKITERCQENIFRCLPPASHENTGQEAKDPEDEEPYLEPSWPHLQLVYELLLRYIVSSDTDTKVAKKHIDHTFVSKLIDLFGSEDPREREYLKMILHRIYGKFMVHRPLIRKAINNIFYRFIYETQRHSGIGELLEILGSIIHGFTLPMKEEHKLFLVRALIPLHKPKAVSVYHQQLSYCVVQFVEKDYKLADKVIRGLLKYWPMINCQKEVLFLGELEEVLEATQTAEFQRCIVPLFRQIARCLNSAHFQVSERALFLWNNEHIMGLVAHNRQVILPIIFEALERNIQHHWNEAIHGLTANVLKMFLEMDAELFDECETRFEEKKAKARDVEEQREMIWEKLADAAAERGEDHMVTV >KJB14392 pep chromosome:Graimondii2_0_v6:2:17781763:17784131:1 gene:B456_002G123300 transcript:KJB14392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDGFPPFISAQLHYLINHFPDKIKVEQVLSGGKTYTGGLDRFTLLIPYCLDYIKWDIIYNAEFPLAPPDIIFGTEDEDFHPLHMTGRVGEGDLKFRNILSDWNYKDPTRLLALIQELRDRYMAYQKKRVGEVDDDRLTFEISTICSREGIEMQISSGAEKPEEVKFAVPLMDMNINKMVHGCPWRHPQKIYLQVIYPVGKKYASTPSAPRLKLMSTTELRALFTIEDVKLPSWLDGMCMAEYLPHLEESLQKQVLEAVSLIDIRRHFIEALAPLLGRPLEADPVFCRKATFLASSGSFVFLVPLDNC >KJB14394 pep chromosome:Graimondii2_0_v6:2:17781590:17785033:1 gene:B456_002G123300 transcript:KJB14394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDGFPPFISAQLHYLINHFPDKIKVEQVLSGGKTYTGGLDRFTLLIPYCLDYIKWDIIYNAEFPLAPPDIIFGTEDEDFHPLHMTGRVGEGDLKFRNILSDWNYKDPTRLLALIQELRDRYMAYQKKRVGEVDDDRLTFEISTICSREGIEMQISSGAEKPEEVKFAVPLMDMNINKMVHGCPWRHPQKIYLQVIYPVGKKYASTPSAPRLKLMSTTELRALFTIEDVKLPSWLDGMCMAEYLPHLEESLQKQVLEAVSLIDIRRHFIEALAPLLGRPLEADPVFCRKVHFHISTLFPKQQPALMLQSSQHISPQGIPKKSPLLAEYPWSPRWEASQMAERIFDFLADESLNFKRFCNESQPQH >KJB14391 pep chromosome:Graimondii2_0_v6:2:17781480:17785245:1 gene:B456_002G123300 transcript:KJB14391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDGFPPFISAQLHYLINHFPDKIKVEQVLSGGKTYTGGLDRFTLLIPYCLDYIKWDIIYNAEFPLAPPDIIFGTEDEDFHPLHMTGRVGEGDLKFRNILSDWNYKDPTRLLALIQELRDRYMAYQKKRVGEVDDDRLTFEISTICSREGIEMQISSGAEKPEEVKFAVPLMDMNINKMVHGCPWRHPQKIYLQVIYPVGKKYASTPSAPRLKLMSTTELRALFTIEDVKLPSWLDGMCMAEYLPHLEESLQKQVLEAVSLIDIRRHFIEALAPLLGRPLEADPVFCRKATFLASSGSFVFLVHFHISTLFPKQQPALMLQSSQHISPQGIPKKSPLLAEYPWSPRWEASQMAERIFDFLADESLNFKRFCNESQPQH >KJB14393 pep chromosome:Graimondii2_0_v6:2:17781590:17785033:1 gene:B456_002G123300 transcript:KJB14393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDGFPPFISAQLHYLINHFPDKIKVEQVLSGGKTYTGGLDRFTLLIPYCLDYIKWDIIYNAEFPLAPPDIIFGTEDEDFHPLHMTGRVGEGDLKFRNILSDWNYKDPTRLLALIQELRDRYMAYQKKRVGEVDDDRLTFEISTICSREGIEMQISSGAEKPEEVKFAVPLMDMNINKMVHGCPWRHPQKIYLQVIYPVGKKYASTPSAPRLKLMSTTELRALFTIEDVKLPSWLDGMCMAEYLPHLEESLQKQVLEAVSLIDIRRHFIEALAPLLGRPLEADPKGNISCFLWILRFPGALPYFNSVS >KJB14395 pep chromosome:Graimondii2_0_v6:2:17781763:17784033:1 gene:B456_002G123300 transcript:KJB14395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDGFPPFISAQLHYLINHFPDKIKVEQVLSGGKTYTGGLDRFTLLIPYCLDYIKWDIIYNAEFPLAPPDIIFGTEDEDFHPLHMTGRVGEGDLKFRNILSDWNYKDPTRLLALIQELRDRYMAYQKKRVGEVDDDRLTFEISTICSREGIEMQISSGAEKPEEVKFAVPLMDMNINKMVHGCPWRHPQKIYLQVIYPVGKKYASTPSAPRLKLMSTTELRALFTIEDVKLPSWLDGMCMAEYLPHLEESLQKQVLEAVSLIDIRRHFIEALAPLLGRPLEADPVCTSLAIYLFILSISLFNIDC >KJB16021 pep chromosome:Graimondii2_0_v6:2:55573463:55583452:1 gene:B456_002G208700 transcript:KJB16021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSRTIYVGNLPLDVKEWEIEDLFYKYGHILDIELKLPSRPPGFCFVEFEDPLDAEDAIRGRDGYNFDGCRIRVELAHGGRGQSSRHDYGGGSSRGGRGRFSVSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFAEVHQDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVNCYEASPRRGQSQSRSHIKSPRGNQRSVSKSRSPSPARLSRSRSRSN >KJB16022 pep chromosome:Graimondii2_0_v6:2:55575074:55583357:1 gene:B456_002G208700 transcript:KJB16022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSRTIYVGNLPLDVKEWEIEDLFYKYGHILDIELKLPSRPPGFCFVEFEDPLDAEDAIRGRDGYNFDGCRIRVELAHGGRGQSSRHDYGGGSSRGGRGRFSVSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFAEVHQDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVNCYEASPRRGQSQSRSHIKSPRGNQRSVSKSRSPSPARLSRSRSRSN >KJB16024 pep chromosome:Graimondii2_0_v6:2:55575499:55582497:1 gene:B456_002G208700 transcript:KJB16024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSRTIYVGNLPLDVKEWEIEDLFYKYGHILDIELKLPSRPPGFCFVEFEDPLDAEDAIRGRDGYNFDGCRIRVELAHGGRGQSSRHDYGGGSSRGGRGRFSVSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFAEVHQDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVNCYEASPRRGQSQSRSHIKSPRGNQRYL >KJB16025 pep chromosome:Graimondii2_0_v6:2:55575074:55583357:1 gene:B456_002G208700 transcript:KJB16025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSRTIYVGNLPLDVKEWEIEDLFYKYGHILDIELKLPSRPPGFCFVEFEDPLDAEDAIRGRDGYNFDGCRIRVELAHGGRGQSSRHDYGGGSSRGGRGRFSVSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFAEVHQDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVNCYEASPRRGQSQSRSHIKSPRGNQRSVSKSRSPSPARLSRSRSRSN >KJB16019 pep chromosome:Graimondii2_0_v6:2:55573694:55583357:1 gene:B456_002G208700 transcript:KJB16019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSRTIYVGNLPLDVKEWEIEDLFYKYGHILDIELKLPSRPPGFCFVEFEDPLDAEDAIRGRDGYNFDGCRIRVELAHGGRGQSSRHDYGGGSSRGGRGRFSVSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFAEVHQDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVNCYEASPRRGQSQSRSHIKSPRGNQRSVSKSRSPSPARLSRSRSRSN >KJB16018 pep chromosome:Graimondii2_0_v6:2:55573463:55583452:1 gene:B456_002G208700 transcript:KJB16018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSRTIYVGNLPLDVKEWEIEDLFYKYGHILDIELKLPSRPPGFCFVEFEDPLDAEDAIRGRDGYNFDGCRIRVELAHGGRGQSSRHDYGGGSSRGGRGRFSVSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFAEVHQDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVNCYEASPRRGQSQSRSHIKSPRGNQRSVSKSRSPSPARLSRSRSRSN >KJB16026 pep chromosome:Graimondii2_0_v6:2:55575074:55583357:1 gene:B456_002G208700 transcript:KJB16026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSRTIYVGNLPLDVKEWEIEDLFYKYGHILDIELKLPSRPPGFCFVEFEDPLDAEDAIRGRDGYNFDGCRIRVELAHGGRGQSSRHDYGGGSSRGGRGRFSVSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFAEVHQDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVNCYEASPRRGQSQSRSHIKSPRGNQRSVSKSRSPSPARLSRSRSRSN >KJB16027 pep chromosome:Graimondii2_0_v6:2:55575074:55583357:1 gene:B456_002G208700 transcript:KJB16027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSRTIYVGNLPLDVKEWEIEDLFYKYGHILDIELKLPSRPPGFCFVEFEDPLDAEDAIRGRDGYNFDGCRIRVELAHGGRGQSSRHDYGGGSSRGGRGRFSVSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFAEVHQDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVNCYEASPRRGQSQSRSHIKSPRGNQRSVSKSRSPSPARLSRSRSRSN >KJB16023 pep chromosome:Graimondii2_0_v6:2:55575074:55583357:1 gene:B456_002G208700 transcript:KJB16023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSRTIYVGNLPLDVKEWEIEDLFYKYGHILDIELKLPSRPPGFCFVEFEDPLDAEDAIRGRDGYNFDGCRIRVELAHGGRGQSSRHDYGGGSSRGGRGRFSVSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFAEVHQDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVNCYEASPRRGQSQSRSHIKSPRGNQRSVSKSRSPSPARLSRSRSRSN >KJB16020 pep chromosome:Graimondii2_0_v6:2:55575074:55583357:1 gene:B456_002G208700 transcript:KJB16020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSRTIYVGNLPLDVKEWEIEDLFYKYGHILDIELKLPSRPPGFCFVEFEDPLDAEDAIRGRDGYNFDGCRIRVELAHGGRGQSSRHDYGGGSSRGGRGRFSVSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFAEVHQDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVNCYEASPRRGQSQSRSHIKSPRGNQRSVSKSRSPSPARLSRSRSRSN >KJB16542 pep chromosome:Graimondii2_0_v6:2:59538181:59538442:-1 gene:B456_002G2350002 transcript:KJB16542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFNGREAMEEKKDTCSLVHSGLYSNAAWRPIWCFV >KJB14889 pep chromosome:Graimondii2_0_v6:2:27755608:27758048:-1 gene:B456_002G147900 transcript:KJB14889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRELEWEIQESSTGDSENGKLSWLMRLGRKIFVTGIVISSAPLVFPPIMAISAIGFVCSVPYGVLLVSYVCTKTLMSRLLPMPSRSAPLLLEYGKACNGEEEEEEEEEEEEETNGVQNEVIKGDISIEREEEELKEDIIEEIEARIELVDKRNEEPDKGDILQKGAYQKDGVQNDVKRSAGDDSEFMNERPSQSFEEVKEITGMQTEQPMIGECRNKPPAEKGQGIEAVVQRDEKCSSNLVNETPLGSGNVKEKDEYVQLIRAIDALVNEKFRGTTREQQKEKEVPEINEVKEEEYVRDKQPIEETCNVVIEFVEDEENGNNKENEKQFLMEKVDVHFTQSTDIEEDEELVRETRGLLEKIRDQGERGYMDDKPSTEKVHVGTEKDDKQILQISANAVADYGMEMPTSESETEVEKNKADSKEQMKGSVEMDIQKREQPVGPVSETANDDSITKGLTVEIAASIVGQAKDENIVDPSYQLNKEKKDVVFSNEDEREINEEQGLDLSENLSTVSLQGSPQEVNTEESWPSSSYSLHQDASDSSDLPVSTKAQEADNTKIPVENATDAPSNEAIHCEEKIWEQMNALRTIVGYKAARRETCIEELKALYVFTGIEPPASLKDTCDPAEVDAKLGFLKSVVGVK >KJB14890 pep chromosome:Graimondii2_0_v6:2:27755300:27758484:-1 gene:B456_002G147900 transcript:KJB14890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRELEWEIQESSTGDSENGKLSWLMRLGRKIFVTGIVISSAPLVFPPIMAISAIGFVCSVPYGVLLVSYVCTKTLMSRLLPMPSRSAPLLLEYGKACNGEEEEEEEEEEEEETNGVQNEVIKGDISIEREEEELKEDIIEEIEARIELVDKRNEEPDKGDILQKGAYQKDGVQNDVKRSAGDDSEFMNERPSQSFEEVKEITGMQTEQPMIGECRNKPPAEKGQGIEAVVQRDEKCSSNLVNETPLGSGNVKEKDEYVQLIRAIDALVNEKFRGTTREQQKEKEVPEINEVKEEEYVRDKQPIEETCNVVIEFVEDEENGNNKENEKQFLMEKVDVHFTQSTDIEEDEELVRETRGLLEKIRDQGERGYMDDKPSTEKVHVGTEKDDKQILQISANAVADYGMEMPTSESETEVEKNKADSKEQMKGSVEMDIQKREQPVGPVSETANDDSITKGLTVEIAASIVGQAKDENIVDPSYQLNKEKKDVVFSNEDEREINEEQGLDLSENLSTVSLQGSPQEVNTEESWPSSSYSLHQDASDSSDLPVSTKAQEADNTKIPVENATDAPSNEAIHCEEKIWEQMNALRTIVGYKAARRETCIEELKALYVFTGIEPPASLKDTCDPAEVDAKLGFLKSVVGVK >KJB13313 pep chromosome:Graimondii2_0_v6:2:7883154:7892370:-1 gene:B456_002G067900 transcript:KJB13313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAGLPEAAGSRFSQSELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITVIEMAKGEPPLSDLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERPSARELLKHRFIRNARKSQKLLERIRERPKYQLKEDAETPRTGPKAVGESTDTVKVKRDIRGEETVRASNQGKTFKNAGWDFSIGGPNSTGTVQSAVRPPQVRERKVEVANNQAIPRTPESGNAPDEFPENSSGKATRDSYYNKNQDNNYDDEMSVSGSGTVVVRSPRGSQSSALLNDPSSLSSSTYASTEDASTFGTVVMRGQHDESDSPRTPRSRLGFQERTSSASVEDSASNLAEAKAAIQAGLRKGNARDRSGLSKINTPGQENRRRDQVSNSSDSSSSRDYFDAQRFFPRSRQPSDDEENAKIISSSVSLSMLLIPSLKEVIPDDSEVSVVRAVTNSLTKMEGAKPGSCEALVRRLLERLASSRESSMKDMQELAVRLFNKGKTTSEDTQTPNMEIDSRRKQQLNSNSNLSPLARFLLSRWQSQTSRDLNPS >KJB13316 pep chromosome:Graimondii2_0_v6:2:7883219:7892417:-1 gene:B456_002G067900 transcript:KJB13316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITVIEMAKGEPPLSDLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERPSARELLKHRFIRNARKSQKLLERIRERPKYQLKEDAETPRTGPKAVGESTDTVKVKRDIRGEETVRASNQGKTFKNAGWDFSIGGPNSTGTVQSAVRPPQVRERKVEVANNQAIPRTPESGNAPDEFPENSSGKATRDSYYNKNQDNNYDDEMSVSGSGTVVVRSPRGSQSSALLNDPSSLSSSTYASTEDASTFGTVVMRGQHDESDSPRTPRSRLGFQERTSSASVEDSASNLAEAKAAIQAGLRKGNARDRSGLSKINTPGQENRRRDQVSNSSDSSRSSRDYFDAQRFFPRSRQPSDDEENAKIISSSVSLSMLLIPSLKEVIPDDSEVSVVRAVTNSLTKMEGAKPGSCEALVRRLLERLASSRESSMKDMQELAVRLFNKGKTTSEDTQTPNMEIDSRRKQQLNSNSNLSPLARFLLSRWQSQTSRDLNPS >KJB13314 pep chromosome:Graimondii2_0_v6:2:7883154:7892390:-1 gene:B456_002G067900 transcript:KJB13314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAGLPEAAGSRFSQSELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITVIEMAKGEPPLSDLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERPSARELLKHRFIRNARKSQKLLERIRERPKYQLKEDAETPRTGPKAVGESTDTVKVKRDIRGEETVRASNQGKTFKNAGWDFSIGGPNSTGTVQSAVRPPQVRERKVEVANNQAIPRTPESGNAPDEFPENSSGKATRDSYYNKNQDNNYDDEMSVSGSGTVVVRSPRGSQSSALLNDPSSLSSSTYASTEDASTFGTVVMRGQHDESDSPRTPRSRLGFQERTSSASVEDSASNLAEAKAAIQAGLRKGNARDRSGLSKINTPGQENRRRDQVSNSSDSSRSSRDYFDAQRFFPRSRQPSDDEENAKIISSSVSLSMLLIPSLKEVIPDDSEVSVVRAVTNSLTKMEGAKPGSCEALVRRLLERLASSRESSMKDMQELAVRLFNKGKTTSEDTQTPNMEIDSRRKQQLNSNSNLSPLARFLLSRWQSQTSRDLNPS >KJB13315 pep chromosome:Graimondii2_0_v6:2:7883219:7892284:-1 gene:B456_002G067900 transcript:KJB13315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAGLPEAAGSRFSQSELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITVIEMAKGEPPLSDLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERPSARELLKHRFIRNARKSQKLLERIRERPKYQLKEDAETPRTGPKAVGESTDTVKVKRDIRGEETVRASNQGKTFKNAGWDFSIGGPNSTGTVQSAVRPPQVRERKVEVANNQAIPRTPESGNAPDEFPENSSGKATRDSYYNKNQDNNYDDEMSVSGSGTVVVRSPRGSQSSALLNDPSSLSSSTYASTEDASTFGTVVMRGQHDESDSPRTPRSRLGFQERTSSASVEDSASNLAEAKAAIQAGLRKGNARDRSGLSKINTPGQENRRRDQVSNSSDSSRSSRDYFDAQRFFPRSRQPSDDEENAKIISSSVSLSMLLIPSLKEVIPDDSEVSVVRAVTNSLTKMEGAKPGSCEALVRRLLERLARLKISYLTVSSLLYNWSWSFNLKPKTEVNFCSSRESSMKDMQELAVRLFNKGKTTSEDTQTPNMEIDSRRKQQLNSNSNLSPLARFLLSRWQSQTSRDLNPS >KJB15019 pep chromosome:Graimondii2_0_v6:2:32236161:32237179:1 gene:B456_002G155300 transcript:KJB15019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKTLTIFTFFSLYVVISSGVIPGQDSNPSNGNANAISRPPAMTSSSQTKQNVVPINRSGTSKFVADFLSTHNAWARKRVNDCGLIHSGGRYGENLFWGGSPTDWSPTTVVKIWAAEKAFYDPKSNSCAKNHMCGHYTQIVQKDTLRVSCLVSCASVKWNNNKGTYVICNYDPPASYLNKHPFGNFCNVLGRCRRRV >KJB16243 pep chromosome:Graimondii2_0_v6:2:57255203:57258256:1 gene:B456_002G219200 transcript:KJB16243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKYPFVLIHISTVVFILAESSRPPFACDSSHPLTKSYPFCKPTLPINQRVQDLISRLTLDEKISQLANSAPPIPRLGIPEYEWWSEALHGVAYFPTLHTGMSLNGTIQSATSFPQVILTAASFDVHLWYRIGQAVGREARAIYNAGQATGMTFWAPNINIFRDPRWGRGQETPGEDPVVTGKYAVSFVRGIQGDTFEGGKLGHHLQASACCKHFTAYDLDNWKGVNRFVFNAKVSLQDLADTYMPPFRSCIEEGKASGIMCAYNRVNGVPNCADYNLLSKTARAQWGFNGYITSDCDAVSIIHEEQGYAKLPEDAVADVLKAGMDVNCGNYLKNYTKSAVVKRKLPISEIDRALHNLFSVRMRLGLFDGNPLQQPFGKIGPDQVCSQEHQNLALEAARSSIVLLKNNYRLLPFSKAKTASLAVIGPNANSAKTLLGNYAGPPCKTVTPLQGLQRYVKDITYHPGCNAVNCSYALTDQAVKVAKGAEYVVLVMGLDQTQEREELDRVDLVLSPKQQNLISIVARAAKNPVVLVLLSGGPVDISFAKYDKHIGSILWAGYPGEAGGLALAEIIFGDHNPGGRLPVTWYPQSFVKVPMTDMRMRPEPSSGYPGRTYRFYQGQKVFEFGYGLSYSNYSYEFLPVAQNTVYLNHPVKSPKAELENSNALKHIPVSEIGTELCNKRIPVTVRAQNHGDMAGRHPLLLFVRSAKAGNGRPEKQLVAFQSVILNAGERADVEFELSPCEHLSTANEDGLMVIEEGSHFLSIEDKESEITVIL >KJB16242 pep chromosome:Graimondii2_0_v6:2:57255123:57258465:1 gene:B456_002G219200 transcript:KJB16242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKYPFVLIHISTVVFILAESSRPPFACDSSHPLTKSYPFCKPTLPINQRVQDLISRLTLDEKISQLANSAPPIPRLGIPEYEWWSEALHGVAYFPTLHTGMSLNGTIQSATSFPQVILTAASFDVHLWYRIGQAIGREARAIYNAGQATGMTFWAPNINIFRDPRWGRGQETPGEDPVVTGKYAVSFVRGIQGDTFEGGKLGHHLQASACCKHFTAYDLDNWKGVNRFVFNAKVSLQDLADTYMPPFRSCIEEGKASGIMCAYNRVNGVPNCADYNLLSKTARAQWGFNGYITSDCDAVSIIHEEQGYAKLPEDAVADVLKAGMDVNCGNYLKNYTKSAVVKRKLPISEIDRALHNLFSVRMRLGLFDGNPLQQPFGKIGPDQVCSQEHQNLALEAARSSIVLLKNNYRLLPFSKAKTASLAVIGPNANSAKTLLGNYAGPPCKTVTPLQGLQRYVKDITYHPGCNAVNCSYALTDQAVKVAKGAEYVVLVMGLDQTQEREELDRVDLVLSPKQQNLISIVARAAKNPVVLVLLSGGPVDISFAKYDKHIGSILWAGYPGEAGGLALAEIIFGDHNPGGRLPVTWYPQSFVKVPMTDMRMRPEPSSGYPGRTYRFYQGQKVFEFGYGLSYSNYSYEFLPVAQNTVYLNHPVKSPKAELENSNALKHIPVSEIGTELCNKRIPVTVRAQNHGDMAGRHPLLLFVRSAKAGNGRPEKQLVAFQSVILNAGERADVEFELSPCEHLSTANEDGLMVIEEGSHFLSIEDKESEITVIL >KJB16244 pep chromosome:Graimondii2_0_v6:2:57255999:57258256:1 gene:B456_002G219200 transcript:KJB16244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFRSCIEEGKASGIMCAYNRVNGVPNCADYNLLSKTARAQWGFNGYITSDCDAVSIIHEEQGYAKLPEDAVADVLKAGMDVNCGNYLKNYTKSAVVKRKLPISEIDRALHNLFSVRMRLGLFDGNPLQQPFGKIGPDQVCSQEHQNLALEAARSSIVLLKNNYRLLPFSKAKTASLAVIGPNANSAKTLLGNYAGPPCKTVTPLQGLQRYVKDITYHPGCNAVNCSYALTDQAVKVAKGAEYVVLVMGLDQTQEREELDRVDLVLSPKQQNLISIVARAAKNPVVLVLLSGGPVDISFAKYDKHIGSILWAGYPGEAGGLALAEIIFGDHNPGGRLPVTWYPQSFVKVPMTDMRMRPEPSSGYPGRTYRFYQGQKVFEFGYGLSYSNYSYEFLPVAQNTVYLNHPVKSPKAELENSNALKHIPVSEIGTELCNKRIPVTVRAQNHGDMAGRHPLLLFVRSAKAGNGRPEKQLVAFQSVILNAGERADVEFELSPCEHLSTANEDGLMVIEEGSHFLSIEDKESEITVIL >KJB12836 pep chromosome:Graimondii2_0_v6:2:3162285:3163428:-1 gene:B456_002G039100 transcript:KJB12836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISHNEFIGSLPTRFFKNLKAVLSLASLTHLHLSYSGLSGLVPQEISHLSKLVSLDLSGNDLYLQNLAMKRLVQNMTTLRDVMFSGVDMSHVAPLTLANLSSYLVSLHLETGHFPKSNWTSLLKFLDVIGTRFSGGLPNSIGSLKFLEDLNVEGTGELPDSIGNLVSLKSLYLTGSFAGSIPASIGNLTQITDIHLSDQNFIGELPFSLGKLEHLTSLTLDGNNFSGQLPFSLFNLTQLVLVDFSNNQLTGPIPTTVNSHSSLVSLNLAFNLLTETIPSSLGFFIKLL >KJB13990 pep chromosome:Graimondii2_0_v6:2:13727776:13728612:1 gene:B456_002G104900 transcript:KJB13990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASSYSSLSFHHSTMAIDQLGKYLVSLLSMVSVVKRAWNLLLRYCLFPCAPIGGEDFKLGWQNYSCKQDAGDDEAEVECAICLCKIDKDDEIPELRCDHLFHKVCMDRWVGCRRSTCPLCRTSLTAPRQLVSGMEVLLFKYCCLDDSRHRETWWLR >KJB14294 pep chromosome:Graimondii2_0_v6:2:31703249:31704381:1 gene:B456_002G154500 transcript:KJB14294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFELPRHGFLGFLPRKRASRHRGKVKAFSKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVGAGALDYSLTCRLSR >KJB14299 pep chromosome:Graimondii2_0_v6:2:31702952:31705027:1 gene:B456_002G154500 transcript:KJB14299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFELPRHGFLGFLPRKRASRHRGKVKAFSKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVGAGALDYSLTCRLSRLQILATHITYFILFILHMLFLNKKLCYFLYVF >KJB14298 pep chromosome:Graimondii2_0_v6:2:31702952:31707669:1 gene:B456_002G154500 transcript:KJB14298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFELPRHGFLGFLPRKRASRHRGKVKAFSKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSMLALVLSTTL >KJB14292 pep chromosome:Graimondii2_0_v6:2:31702952:31707438:1 gene:B456_002G154500 transcript:KJB14292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFELPRHGFLGFLPRKRASRHRGKVKAFSKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVGAGALDYSLTCRLSSKNI >KJB14297 pep chromosome:Graimondii2_0_v6:2:31701823:31707697:1 gene:B456_002G154500 transcript:KJB14297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFELPRHGFLGFLPRKRASRHRGKVKAFSKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVGAGALDYSLTCRLSSKNI >KJB14295 pep chromosome:Graimondii2_0_v6:2:31702952:31705640:1 gene:B456_002G154500 transcript:KJB14295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFELPRHGFLGFLPRKRASRHRGKVKAFSKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVGAGALDYSLTCRLSR >KJB14293 pep chromosome:Graimondii2_0_v6:2:31702952:31704155:1 gene:B456_002G154500 transcript:KJB14293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFELPRHGFLGFLPRKRASRHRGKVKAFSKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMYFGFLTL >KJB14296 pep chromosome:Graimondii2_0_v6:2:31702952:31705640:1 gene:B456_002G154500 transcript:KJB14296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFELPRHGFLGFLPRKRASRHRGKVKAFSKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVGAGALDYSLTCRLSR >KJB15235 pep chromosome:Graimondii2_0_v6:2:41087186:41087856:1 gene:B456_002G166400 transcript:KJB15235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSTGYSYNRNNPPPLPPSMPILQLLTHPMKYSSQQPPTKIRFSRFNGGDPNSWILKAEKHYRYCQTPNYLKVDVATMYLVGDALDFFAKVNNKQTRTIQQYQQKFAKHSAHVKNWPEHIIFWGFS >KJB16509 pep chromosome:Graimondii2_0_v6:2:59303577:59304105:-1 gene:B456_002G233400 transcript:KJB16509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIKHSIRGRSELQGPRPSPLKVSHSSSLIKKASHGNIRNRINSSSSKVINPVVIYLRSPKIIHVRPEEFMSLVQRLTGKDSSSRDESCNRLPSSSSSSWNMAAAEPAKVTKRTSAGDNLFDAEMSKLAFNGVEHGDQILELSPTWLRCLACV >KJB17138 pep chromosome:Graimondii2_0_v6:2:62598715:62605774:-1 gene:B456_002G267000 transcript:KJB17138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRYQIRNEYSLADPEIYRASEKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATAARGHGLMVRVRQLEAEFPSIEKPFLSQTNHSLFFTNAAGVDWHPNLRTEHNLITRGDLPRCVLDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFFKAESAFPGIEPVEGQREKKARKVKKKGSRWRNEGTPEFALASHAKLHQLFLQERIENVYNDPVCLVKLKRRQLNEFPLDPKSGKSYMEKFLETPSPEHKAVYETSGVPQPLRLTSKHSSESGLEIHEISKESPVKNSSIGKEISSSSPTVLVQKSSVEKLNEEIIDREIVEVSEPTGNFTDKIPLPLHKETVEKEIIVDGEGRKECGTDGDHSDDMISEVDNYTDALTTMDSEMDTDNEYRSKNDIAFINVGKCQTGSDANEEKLEVQAHSSDSQSFGISSESDDGNSSFKKGRSSFSNSDSMDNLAEDMVSDGEEAAKLSPSIKNHVPEIVEESPIQLPACSEMHHSSSDKVLPPKDTGECRLPDHGEVSDSSSLEDFNSAHVLLDQANYMAASFLEKKLDEVPSNIVTTNSDLSDSDDGEYFADSSEVICAGSSEKQEVSLTTLSADESLPQEELDSGGTNISSDALPHLSNILQLASEKRRGNDPSDEVVKTDFIRESCEENSVNQITDSRYPITSPTEQHPCSTLGEIERDAGITLPPEGSDVMEPVSLAYEVNDATLEAALNLEYMIPMPNTSETFGFNEQKHSDILPDDPNSMVVGASFHEKEHNFNESFDASEGEETREFPCSVDSVEGDANLSVLPSHVADNLDIKDHVSLDDLATGNALAESVVVSTAACGSADFDDAVDNTTFQTSNLIGSASGNLMYLEESPSGDGDLCQEELESNEVISQGCLTGLETREETNPVEGAPADIVSTSCESVSHNCSNLEDDSQHLSLVQPTKNRLTSIDLTATPTSLELSNQESESKNLSKLMERRADMVSSPSHCLSEKETSFEQSLDFPTNQHDMGSLDIVEDGSNISHLLSNQIQNSFTHSDQGFSSKPSLEFSQQSGWQSKQERYPSGSIHPAFGLLPEATKVSMEEMPPLPPLPPMQWRLGRIQHVSPASQRELVEQGQGSFPVMPQCRTDGKLQFGLSVLEKANERPRNPFLPIVDGEERSDHVSNQLAVDCMQLPGPFSKHPPAMGSDTNSQFSDTWLDRTHSNPYYTLPVISNKSIECDSIALEDDRVESTYSSLMPATDTTSRHITIVSSHEKITHPPDQFVPDIGLEGGAYQHPEQNSRREERNLPNISVPLPVKREEHIPSKVVEDLSMEVEQQFPTKVEEQPQHGLAVSEGESSQISNAIVKHGLASPEVDIAQTSNTTAQHELSISEGAAVWPSITLALSPVVEDENSNGNPTVKLPRPRDPLIDAVAAHDKSKLRKVTERIHPPVIPKVDERDSLLEQIRTKSFNLKPAVVTRPSIQGPKTNLRVAAILEKANAIRQALAGSDEDDDEDSWSDS >KJB17140 pep chromosome:Graimondii2_0_v6:2:62598715:62605774:-1 gene:B456_002G267000 transcript:KJB17140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRYQIRNEYSLADPEIYRASEKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATAARGHGLMVRVRQLEAEFPSIEKPFLSQTNHSLFFTNAGVDWHPNLRTEHNLITRGDLPRCVLDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFFKAESAFPGIEPVEGQREKKARKVKVPKGKKRNKKGSRWRNEGTPEFALASHAKLHQLFLQERIENVYNDPVCLVKLKRRQLNEFPLDPKSGKSYMEKFLETPSPEHKAVYETSGVPQPLRLTSKHSSESGLEIHEISKESPVKNSSIGKEISSSSPTVLVQKSSVEKLNEEIIDREIVEVSEPTGNFTDKIPLPLHKETVEKEIIVDGEGRKECGTDGDHSDDMISEVDNYTDALTTMDSEMDTDNEYRSKNDIAFINVGKCQTGSDANEEKLEVQAHSSDSQSFGISSESDDGNSSFKKGRSSFSNSDSMDNLAEDMVSDGEEAAKLSPSIKNHVPEIVEESPIQLPACSEMHHSSSDKVLPPKDTGECRLPDHGEVSDSSSLEDFNSAHVLLDQANYMAASFLEKKLDEVPSNIVTTNSDLSDSDDGEYFADSSEVICAGSSEKQEVSLTTLSADESLPQEELDSGGTNISSDALPHLSNILQLASEKRRGNDPSDEVVKTDFIRESCEENSVNQITDSRYPITSPTEQHPCSTLGEIERDAGITLPPEGSDVMEPVSLAYEVNDATLEAALNLEYMIPMPNTSETFGFNEQKHSDILPDDPNSMVVGASFHEKEHNFNESFDASEGEETREFPCSVDSVEGDANLSVLPSHVADNLDIKDHVSLDDLATGNALAESVVVSTAACGSADFDDAVDNTTFQTSNLIGSASGNLMYLEESPSGDGDLCQEELESNEVISQGCLTGLETREETNPVEGAPADIVSTSCESVSHNCSNLEDDSQHLSLVQPTKNRLTSIDLTATPTSLELSNQESESKNLSKLMERRADMVSSPSHCLSEKETSFEQSLDFPTNQHDMGSLDIVEDGSNISHLLSNQIQNSFTHSDQGFSSKPSLEFSQQSGWQSKQERYPSGSIHPAFGLLPEATKVSMEEMPPLPPLPPMQWRLGRIQHVSPASQRELVEQGQGSFPVMPQCRTDGKLQFGLSVLEKANERPRNPFLPIVDGEERSDHVSNQLAVDCMQLPGPFSKHPPAMGSDTNSQFSDTWLDRTHSNPYYTLPVISNKSIECDSIALEDDRVESTYSSLMPATDTTSRHITIVSSHEKITHPPDQFVPDIGLEGGAYQHPEQNSRREERNLPNISVPLPVKREEHIPSKVVEDLSMEVEQQFPTKVEEQPQHGLAVSEGESSQISNAIVKHGLASPEVDIAQTSNTTAQHELSISEGAAVWPSITLALSPVVEDENSNGNPTVKLPRPRDPLIDAVAAHDKSKLRKVTERIHPPVIPKVDERDSLLEQIRTKSFNLKPAVVTRPSIQGPKTNLRVAAILEKANAIRQALAGSDEDDDEDSWSDS >KJB17137 pep chromosome:Graimondii2_0_v6:2:62598333:62605914:-1 gene:B456_002G267000 transcript:KJB17137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRYQIRNEYSLADPEIYRASEKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATAARGHGLMVRVRQLEAEFPSIEKPFLSQTNHSLFFTNAGVDWHPNLRTEHNLITRGDLPRCVLDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFFKAESAFPGIEPVEGQREKKARKVKKKGSRWRNEGTPEFALASHAKLHQLFLQERIENVYNDPVCLVKLKRRQLNEFPLDPKSGKSYMEKFLETPSPEHKAVYETSGVPQPLRLTSKHSSESGLEIHEISKESPVKNSSIGKEISSSSPTVLVQKSSVEKLNEEIIDREIVEVSEPTGNFTDKIPLPLHKETVEKEIIVDGEGRKECGTDGDHSDDMISEVDNYTDALTTMDSEMDTDNEYRSKNDIAFINVGKCQTGSDANEEKLEVQAHSSDSQSFGISSESDDGNSSFKKGRSSFSNSDSMDNLAEDMVSDGEEAAKLSPSIKNHVPEIVEESPIQLPACSEMHHSSSDKVLPPKDTGECRLPDHGEVSDSSSLEDFNSAHVLLDQANYMAASFLEKKLDEVPSNIVTTNSDLSDSDDGEYFADSSEVICAGSSEKQEVSLTTLSADESLPQEELDSGGTNISSDALPHLSNILQLASEKRRGNDPSDEVVKTDFIRESCEENSVNQITDSRYPITSPTEQHPCSTLGEIERDAGITLPPEGSDVMEPVSLAYEVNDATLEAALNLEYMIPMPNTSETFGFNEQKHSDILPDDPNSMVVGASFHEKEHNFNESFDASEGEETREFPCSVDSVEGDANLSVLPSHVADNLDIKDHVSLDDLATGNALAESVVVSTAACGSADFDDAVDNTTFQTSNLIGSASGNLMYLEESPSGDGDLCQEELESNEVISQGCLTGLETREETNPVEGAPADIVSTSCESVSHNCSNLEDDSQHLSLVQPTKNRLTSIDLTATPTSLELSNQESESKNLSKLMERRADMVSSPSHCLSEKETSFEQSLDFPTNQHDMGSLDIVEDGSNISHLLSNQIQNSFTHSDQGFSSKPSLEFSQQSGWQSKQERYPSGSIHPAFGLLPEATKVSMEEMPPLPPLPPMQWRLGRIQHVSPASQRELVEQGQGSFPVMPQCRTDGKLQFGLSVLEKANERPRNPFLPIVDGEERSDHVSNQLAVDCMQLPGPFSKHPPAMGSDTNSQFSDTWLDRTHSNPYYTLPVISNKSIECDSIALEDDRVESTYSSLMPATDTTSRHITIVSSHEKITHPPDQFVPDIGLEGGAYQHPEQNSRREERNLPNISVPLPVKREEHIPSKVVEDLSMEVEQQFPTKVEEQPQHGLAVSEGESSQISNAIVKHGLASPEVDIAQTSNTTAQHELSISEGAAVWPSITLALSPVVEDENSNGNPTVKLPRPRDPLIDAVAAHDKSKLRKVTERIHPPVIPKVDERDSLLEQIRTKSFNLKPAVVTRPSIQGPKTNLRVAAILEKANAIRQALAGSDEDDDEDSWSDS >KJB17139 pep chromosome:Graimondii2_0_v6:2:62598189:62606001:-1 gene:B456_002G267000 transcript:KJB17139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRYQIRNEYSLADPEIYRASEKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATAARGHGLMVRVRQLEAEFPSIEKPFLSQTNHSLFFTNAGVDWHPNLRTEHNLITRGDLPRCVLDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFFKAESAFPGIEPVEGQREKKARKVKKKGSRWRNEGTPEFALASHAKLHQLFLQERIENVYNDPVCLVKLKRRQLNEFPLDPKSGKSYMEKFLETPSPEHKAVYETSGVPQPLRLTSKHSSESGLEIHEISKESPVKNSSIGKEISSSSPTVLVQKSSVEKLNEEIIDREIVEVSEPTGNFTDKIPLPLHKETVEKEIIVDGEGRKECGTDGDHSDDMISEVDNYTDALTTMDSEMDTDNEYRSKNDIAFINVGKCQTGSDANEEKLEVQAHSSDSQSFGISSESDDGNSSFKKGRSSFSNSDSMDNLAEDMVSDGEEAAKLSPSIKNHVPEIVEESPIQLPACSEMHHSSSDKVLPPKDTGECRLPDHGEVSDSSSLEDFNSAHVLLDQANYMAASFLEKKLDEVPSNIVTTNSDLSDSDDGEYFADSSEVICAGSSEKQEVSLTTLSADESLPQEELDSGGTNISSDALPHLSNILQLASEKRRGNDPSDEVVKTDFIRESCEENSVNQITDSRYPITSPTEQHPCSTLGEIERDAGITLPPEGSDVMEPVSLAYEVNDATLEAALNLEYMIPMPNTSETFGFNEQKHSDILPDDPNSMVVGASFHEKEHNFNESFDASEGEETREFPCSVDSVEGDANLSVLPSHVADNLDIKDHVSLDDLATGNALAESVVVSTAACGSADFDDAVDNTTFQTSNLIGSASGNLMYLEESPSGDGDLCQEELESNEVISQGCLTGLETREETNPVEGAPADIVSTSCESVSHNCSNLEDDSQHLSLVQPTKNRLTSIDLTATPTSLELSNQESESKNLSKLMERRADMVSSPSHCLSEKETSFEQSLDFPTNQHDMGSLDIVEDGSNISHLLSNQIQNSFTHSDQGFSSKPSLEFSQQSGWQSKQERYPSGSIHPAFGLLPEATKVSMEEMPPLPPLPPMQWRLGRIQHVSPASQRELVEQGQGSFPVMPQCRTDGKLQFGLSVLEKANERPRNPFLPIVDGEERSDHVSNQLAVDCMQLPGPFSKHPPAMGSDTNSQFSDTWLDRTHSNPYYTLPVISNKSIECDSIALEDDRVESTYSSLMPATDTTSRHITIVSSHEKITHPPDQFVPDIGLEGGAYQHPEQNSRREERNLPNISVPLPVKREEHIPSKVVEDLSMEVEQQFPTKVEEQPQHGLAVSEGESSQISNAIVKHGLASPEVDIAQTSNTTAQHELSISEGAAVWPSITLALSPVVEDENSNGNPTVKLPRPRDPLIDAVAAHDKSKLRKVTERIHPPVIPKVDERDSLLEQIRTKSFNLKPAVVTRPSIQGPKTNLRVAAILEKANAIRQALAGSDEDDDEDSWSDS >KJB14671 pep chromosome:Graimondii2_0_v6:2:22882245:22885966:1 gene:B456_002G136800 transcript:KJB14671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSYVLGWILCSVMALVALCGVVLRRQKWKGISMRTEPMKTVLTRNGECGSADASHADIIIVGAGVAGSALAHTLGKDGRRVQVIERDLSEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQQVFGYALFKDGKHTQLSYPLEKFHSDVSGRSFHNGRFIQRMREKSASLPNVHLEQGTVTSLLEEKGIIRGVQYKTKDGPELTAFASLTIVCDGCFSNLRRSLCNPKVDIPSSFVGLVLENCHLPYSNHGHVILADPSPILFYPISSTETRCLVDVPGQKVPSIANGEMANYLKTIVAPQVPPEIYDSFVAAVDKGNIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLQDLNDAPMLCKYLESFYTLRKPVASTINTLAGALYKQDLSPCCLV >KJB14670 pep chromosome:Graimondii2_0_v6:2:22882180:22886146:1 gene:B456_002G136800 transcript:KJB14670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSYVLGWILCSVMALVALCGVVLRRQKWKGISMRTEPMKTVLTRNGECGSADASHADIIIVGAGVAGSALAHTLGKDGRRVQVIERDLSEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQQVFGYALFKDGKHTQLSYPLEKFHSDVSGRSFHNGRFIQRMREKSASLPNVHLEQGTVTSLLEEKGIIRGVQYKTKDGPELTAFASLTIVCDGCFSNLRRSLCNPKVDIPSSFVGLVLENCHLPYSNHGHVILADPSPILFYPISSTETRCLVDVPGQKVPSIANGEMANYLKTIVAPQVPPEIYDSFVAAVDKGNIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLQDLNDAPMLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDQARKEMRQACFDYLSLGGVCSAGPISLLSGLNPRPLSLVLHFFAVAIYSLGRLLLPFPSPKRIWIGARLISGASGIIFPIIKAEGVRQMFFPATVPAYYRAPPTVK >KJB11815 pep chromosome:Graimondii2_0_v6:2:17093622:17097495:-1 gene:B456_002G1197001 transcript:KJB11815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGKSSSQSAGKPIRCKAAICREAGEPLVIEEIMVAPPCPYEVRIRIICTSLCYSDITFWKLTVPPACVPRILGHEAVGVVESVGENVNEVAPGDVVIPTFLSECGECEDCCSKRSNLCSKFPFKIHPWMPRYENSRFTDLKGEVLYHFLFVSSFSEYTVVDINHVVKIDPAIPPDRACLFGCCIATGVGAACKVANVEAGSTVVIFGLGSIGLAVAKGAKLCGANRIIGVDVNPDKFEIAKKFGVTEFINSKDCGNESLSQVVKEMTGGGADYCFECVGLASLVQEAYASCRKGWGKTIVLGVQKPGSQLSLSSFEVLHSGKTLTGALFGGVKAKSDLPLLLKRYTDNELQVDEFVTHEVKFQDINRAFELLMGGKCIRCVIWMDK >KJB11817 pep chromosome:Graimondii2_0_v6:2:17094014:17097475:-1 gene:B456_002G1197001 transcript:KJB11817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGKSSSQSAGKPIRCKAAICREAGEPLVIEEIMVAPPCPYEVRIRIICTSLCYSDITFWKLTVPPACVPRILGHEAVGVVESVGENVNEVAPGDVVIPTFLSECGECEDCCSKRSNLCSKFPFKIHPWMPRYENSRFTDLKGEVLYHFLFVSSFSEYTVVDINHVVKIDPAIPPDRACLFGCCIATGVGAACKVANVEAGSTVVIFGLGSIGLAVAKGAKLCGANRIIGVDVNPDKFEIAKKFGVTEFINSKDCGNESLSQVVKEMTGGGADYCFECVGLASLVQEAYASCRKGWGKTIVLGVQKPGSQLSLSSFEVLHSGKTLTGALFGGVKAKSDLPLLLKRYTDNVDEFVTHEVKFQDINRAFELLMGGKCIRCVIWMDK >KJB11814 pep chromosome:Graimondii2_0_v6:2:17094143:17097282:-1 gene:B456_002G1197001 transcript:KJB11814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGKSSSQSAGKPIRCKAAICREAGEPLVIEEIMVAPPCPYEVRIRIICTSLCYSDITFWKLTVPPACVPRILGHEAVGVVESVGENVNEVAPGDVVIPTFLSECGECEDCCSKRSNLCSKFPFKIHPWMPRYENSRFTDLKGEVLYHFLFVSSFSEYTVVDINHVVKIDPAIPPDRACLFGCCIATGVGAACKVANVEAGSTVVIFGLGSIGLAVAKGAKLCGANRIIGVDVNPDKFEIAKKFGVTEFINSKDCGNESLSQVVKEMTGGGADYCFECVGLASLVQEAYASCRKGWGKTIVLGVQKPGSQLSLSSFEVLHSGKTLTGALFGGVKAKSDLPLLLKRYTDNELQVDEFVTHEVKFQDINRAFELLMGGKCIRCVIWMDK >KJB11818 pep chromosome:Graimondii2_0_v6:2:17094227:17097475:-1 gene:B456_002G1197001 transcript:KJB11818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGKSSSQSAGKPIRCKAAICREAGEPLVIEEIMVAPPCPYEVRIRIICTSLCYSDITFWKLTVPPACVPRILGHEAVGVVESVGENVNEVAPGDVVIPTFLSECGECEDCCSKRSNLCSKFPFKIHPWMPRYENSRFTDLKGEVLYHFLFVSSFSEYTVVDINHVVKIDPAIPPDRACLFGCCIATGVGAACKVANVEAGSTVVIFGLGSIGLAVAKGAKLCGANRIIGVDVNPDKFEIAKKFGVTEFINSKDCGNESLSQVVKEMTGGGADYCFECVGLASLVQEAYASCRKGWGKTIVLGVQKPGSQLSLSSFEVLHSGKTLTGALFGGVKAKSDLPLLLKRYTDNELQVDEFVTHE >KJB11816 pep chromosome:Graimondii2_0_v6:2:17094143:17096314:-1 gene:B456_002G1197001 transcript:KJB11816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYENSRFTDLKGEVLYHFLFVSSFSEYTVVDINHVVKIDPAIPPDRACLFGCCIATGVGAACKVANVEAGSTVVIFGLGSIGLAVAKGAKLCGANRIIGVDVNPDKFEIAKKFGVTEFINSKDCGNESLSQVVKEMTGGGADYCFECVGLASLVQEAYASCRKGWGKTIVLGVQKPGSQLSLSSFEVLHSGKTLTGALFGGVKAKSDLPLLLKRYTDNELQVDEFVTHEVKFQDINRAFELLMGGKCIRCVIWMDK >KJB11813 pep chromosome:Graimondii2_0_v6:2:17093524:17097495:-1 gene:B456_002G1197001 transcript:KJB11813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGKSSSQSAGKPIRCKAAICREAGEPLVIEEIMVAPPCPYEVRIRIICTSLCYSDITFWKLTVPPACVPRILGHEAVGVVESVGENVNEVAPGDVVIPTFLSECGECEDCCSKRSNLCSKFPFKIHPWMPRYENSRFTDLKGEVLYHFLFVSSFSEYTVVDINHVVKIDPAIPPDRACLFGCCIATGVGAACKVANVEAGSTVVIFGLGSIGLAVVKEMTGGGADYCFECVGLASLVQEAYASCRKGWGKTIVLGVQKPGSQLSLSSFEVLHSGKTLTGALFGGVKAKSDLPLLLKRYTDNELQVDEFVTHEVKFQDINRAFELLMGGKCIRCVIWMDK >KJB17186 pep chromosome:Graimondii2_0_v6:2:62744034:62748678:1 gene:B456_002G268700 transcript:KJB17186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEVMVAGSIDGSMYQGWFNTEGYLLRLPLLECWVVSKLHMLIDAVTESYNKYFFGDVGREIYDFFWGDFADWYIEASKATIYHSGDDSVALVAQTVLLYIFEHILKLLHPFMPFVTEELWQALPNRREALIISSWPQTSLPRSTDLVKRFENLQALTRAVRNARAEYSVEPAKRITASIVGSEEVIQYISEEKEVLALLSKLDFDNIHFADSPPEDAKQSVHLIASEGLEAYLPLADMVDISAEVQCLSKRLSKMQTEYEGLKARLNSPKKTKVSLLWMSAIHIYPRHERIQKHTIL >KJB17185 pep chromosome:Graimondii2_0_v6:2:62743323:62747198:1 gene:B456_002G268700 transcript:KJB17185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDAVTESYNKYFFGDVGREIYDFFWGDFADWYIEASKATIYHSGDDSVALVAQTVLLYIFEHILKLLHPFMPFVTEELWQALPNRREALIISSWPQTSLPRSTDLVKRFENLQALTRAVRNARAEYSVEPAKRITASIVGSEEVIQYISEEKEVLALLSKLDFDNIHFADSPPEDAKQSVHLIASEGLEAYLPLADMVDISAEVQCLSKRLSKMQTEYEGLKARLNSPKV >KJB14707 pep chromosome:Graimondii2_0_v6:2:23715833:23721046:1 gene:B456_002G138900 transcript:KJB14707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSGLVSFVESVLGMSLGGSVTDSMLMIATTSLAVILVFLIFLWKNLVSQRSPDVKLLVASKPVSLKVDEDDDALVASGKKKVTIFYGTQTGTAEGFAKALAEEIKARYEKAAVKAVDLDDYAVDDEEYEEKLKKETLAFFMVATYGDGEPTDNSARFYKWFTEGNERQSWLQRLTYGVFGLGNRQYEHFNKIAKVLDEKLSEQGAKRLIEVGLGDDDQCIEDDFTAWRELLWPELDQLLRDEDDENTASTPYTAAIPKYRVVIHDPSMMHIEDNHSNTENGNASLDIHHPCRVNVAVQRELHKPESDRSCIHLEFDITGTSITYETGDHVGVYADNSIETIEEAARLLGQPLDLLFSIHTDNEDGMPSGSSLPPPFSSPCTLKTALARYADLLNPPRKAALVALAAHATEPSEAERLRFLSSPQGKDEYSQWVVGSQRSLLEVMAQFPSAKPPIGVFFAAIAPRLQPRYYSISSSPRFVSGRIHVTCALVYGPTPTRRIHRGVCSTWMKNAVSLEKSSDCSGAPIFIRQSNFKLPADPSIPIIMVGPGTGLAPFRGFLQERVVLNEDGAELGPSLLFFGCRNRKMDFIYEDELNNLVEQGALSELIVALSREGPQKEYVQHKMMDKAAHIWSLISKGGYLYVCGDAKGMARDVHRTLHTIVQEQGNMESSKAESMVKKLQMEGRYLRDVW >KJB14708 pep chromosome:Graimondii2_0_v6:2:23715963:23720980:1 gene:B456_002G138900 transcript:KJB14708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSGLVSFVESVLGMSLGGSVTDSMLMIATTSLAVILVFLIFLWKNLVSQRSPDVKLLVASKPVSLKVDEDDDALVASGKKKVTIFYGTQTGTAEGFAKALAEEIKARYEKAAVKAVDLDDYAVDDEEYEEKLKKETLAFFMVATYGDGEPTDNSARFYKWFTEGNERQSWLQRLTYGVFGLGNRQYEHFNKIAKVLDEKLSEQGAKRLIEVGLGDDDQCIEDDFTAWRELLWPELDQLLRDEDDENTASTPYTAAIPKYRVVIHDPSMMHIEDNHSNTENGNASLDIHHPCRVNVAVQRELHKPESDRSCIHLEFDITGTSITYETGDHVGVYADNSIETIEEAARLLGQPLDLLFSIHTDNEDGMPSGSSLPPPFSSPCTLKTALARYADLLNPPRKAALVALAAHATEPSEAERLRFLSSPQGKDEYSQWVVGSQRSLLEVMAQFPSAKPPIGVFFAAIAPRLQPRYYSISSSPRFVSGRIHVTCALVYGPTPTRRIHRGVCSTWMKNAVSLEKSSDCSGAPIFIRQSNFKLPADPSIPIIMVGPGTGLAPFRGFLQERVVLNEDGAELGPSLLFFGCRNRKMDFIYEDELNNLVEQGALSELIVALSREGPQKEYVQHKMMDKAAHIWSLISKGGYLYVCGDAKGMARDVHRTLHTIVQEQVLIIV >KJB12127 pep chromosome:Graimondii2_0_v6:2:92475:97302:-1 gene:B456_002G001700 transcript:KJB12127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITDYLLGYSPPIWATLIAGVFVVVTLSLSMYLVLEHLYSYKNPEEQKFLIGVILMVPLYAVESFVSLVDQSISVDFSILRDCYESFAMYCFERYLVACLDRTIEFMKRLGRASSKTPLLELDYEKGTIKHPFPMNYILRPWKLGQWFYQVVKFAIVQYMIIKSLTALLAVFLEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLIQFYAVTKDELARINPLAKFLTFKSIVFLTWWQGVAIALFYALGLFRSPIAEGLQFKSSVQNFIICIEMGLASIVHLYVFPSTPYELMGDPIPESVPILGDYASVDCPLDPEMKARSGMTIKESVKDVFIGGGEYIVNDVKFTVNQAVVPVEKGITKFNKKLHKISENIKRRRRHKRKTRDDSCIPTAAQRVTRDIDDPLLEGSMSDSGIARRKKRDRISGYSSVESVGEISSGDQSSRYLIRGHRKVKVEMKY >KJB12124 pep chromosome:Graimondii2_0_v6:2:92475:94936:-1 gene:B456_002G001700 transcript:KJB12124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWWQMIIKSLTALLAVFLEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLIQFYAVTKDELARINPLAKFLTFKSIVFLTWWQGVAIALFYALGLFRSPIAEGLQFKSSVQNFIICIEMGLASIVHLYVFPSTPYELMGDPIPESVPILGDYASVDCPLDPEMKARSGMTIKESVKDVFIGGGEYIVNDVKFTVNQAVVPVEKGITKFNKKLHKISENIKRRRRHKRKTRDDSCIPTAAQRVTRDIDDPLLEGSMSDSGIARRKKRDRISGYSSVESVGEISSGDQSSRYLIRGHRKVKVEMKY >KJB12126 pep chromosome:Graimondii2_0_v6:2:92475:97302:-1 gene:B456_002G001700 transcript:KJB12126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLGRASSKTPLLELDYEKGTIKHPFPMNYILRPWKLGQWFYQVVKFAIVQYMIIKSLTALLAVFLEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLIQFYAVTKDELARINPLAKFLTFKSIVFLTWWQGVAIALFYALGLFRSPIAEGLQFKSSVQNFIICIEMGLASIVHLYVFPSTPYELMGDPIPESVPILGDYASVDCPLDPEMKARSGMTIKESVKDVFIGGGEYIVNDVKFTVNQAVVPVEKGITKFNKKLHKISENIKRRRRHKRKTRDDSCIPTAAQRVTRDIDDPLLEGSMSDSGIARRKKRDRISGYSSVESVGEISSGDQSSRYLIRGHRKVKVEMKY >KJB12125 pep chromosome:Graimondii2_0_v6:2:93189:97067:-1 gene:B456_002G001700 transcript:KJB12125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITDYLLGYSPPIWATLIAGVFVVVTLSLSMYLVLEHLYSYKNPEEQKFLIGVILMVPLYAVESFVSLVDQSISVDFSILRDCYESFAMYCFERYLVACLGGEDRTIEFMKRLGRASSKTPLLELDYEKGTIKHPFPMNYILRPWKLGQWFYQVVKFAIVQYMIIKSLTALLAVFLEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLIQFYAVTKDELARINPLAKFLTFKSIVFLTWWQGVAIALFYALGLFRSPIAEGLQFKSSVQNFIICIEMGLASIVHLYVFPSTPYELMGDPIPESVPILGDYASVDCPLDPEMKARSGMTIKESVKDVFIGGGEYIVNDVKFTVNQAVVPVEKGITKFNKKLHKISENIKRRRRHKRKTRDDSCIPTAAQRVTRDIDDPLLEGSMSDSGIARRKKRDRISGYSSVESVGEISSGDQSSRYLIRGHRKVKVEMKY >KJB11992 pep chromosome:Graimondii2_0_v6:2:44074214:44079563:1 gene:B456_002G173100 transcript:KJB11992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSCVVVPRSFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTIIGPPNTVHEGCIYQLKLFCGKDYPDNPPSVRFQARINMTCVNQETGVVEPSLFPMLANWQREYTMEDILTQLKKEMMSPQNRKLAQPPEGNEEARLDQKGLPLKCCIF >KJB11993 pep chromosome:Graimondii2_0_v6:2:44074297:44079118:1 gene:B456_002G173100 transcript:KJB11993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSCVVVPRSFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTIIGPPNTVHEGCIYQLKLFCGKDYPDNPPSVRFQARINMTCVNQETGVVEPSLFPMLANWQREYTMEDILTQLKKEMMSPQNRKLAQPPEGV >KJB11988 pep chromosome:Graimondii2_0_v6:2:10607751:10610071:1 gene:B456_002G083600 transcript:KJB11988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQIPTAFDPFADANAEDSGAGAKDYVHIRVQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKENIKIHGF >KJB13530 pep chromosome:Graimondii2_0_v6:2:9832785:9836240:1 gene:B456_002G079800 transcript:KJB13530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSLGKLLRSLARIFSKTNDSNVFIISIAVILINQVAGYAVGGGHALHMVGSFDAGYGSSIMSRLVGPKKAHQMWFLARFYTASKVEKMRLVNAVVPLEKLEQETIKWCREILRNSPTAIRVLKAALNAVDDGHAGLQRRRPDFSKFSRRP >KJB15702 pep chromosome:Graimondii2_0_v6:2:52171293:52179243:1 gene:B456_002G192700 transcript:KJB15702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKSVKLTKKNKRKFNSSQEKSSLRKRRRNDLLIKEAKECESSARTEPELNPSEERPWRNLQLILSLQNKQTDLQKKVELAFDFVDSEEKEVRNDVDGDDETVNISRMIVFLSDWIQSLLVSPQKTDKVDGVVDACLDFRCWRIFEFCLKESLKLHVSLNFSRNLLRAIGYIARNVLSFVSDPSVSSNESVFAGEGFELYGVVLDCVSLLFSFQHGLSNENLELWISNIDVVLQLVHKIHAQNLGGGNIGAFAMQFSCVVLEPFAKFLRIHPTRKNGFRDFVDKLLEPLLLLLDVLYGCVNENNSFLTRNLLMLVEEVLSHGLFHPVHIDGFLGLRSVEKYALKIDAKDSNVVIKSYHRHLFDKLESMVKKNIVLSGIGRLFHLFVARIKKQKGASAAGTAGKVGGTRCMEDELSGHLSTDPSPSSRAIPDNNYRSSTYSAEARKSLFDFFVQLLEPLLLEMDGYMQSNLAARVSLVDVHCTLKSINSLVASFVHGKVYVRTEDISEGACLNFLKKVYNTVISFAAKLFGLSEMGIDGKTRKEMFPLLAKELFLAVGHFLDIEYDVIGSDLISLWLMILSYLTSLSYLDLPDQSLLISPILDLGCQLVNLYSALRQVNNSIFTLCKAVRLLITHHQESETSCTRVFAYSASLSNEATAASVAILLGSQEFKLAVHHAIKSIPEGQASELIQQLTADVSESMEWLKIGCSVTDGKEIERLHVRDYGMLSIHKQLELLGRVLSEIYMVLLDSLSVTAGNCILLGPSIKELVSTIYPFTCSLGEKCLDGVNVFLFSAMGTTSENMVAENEKEKHGISIQWIFVFLFRLYMSCRSLYRQVISLTPPSTSRKLSLAMGDAFTAYTGRDWMEKSDWTDDGYFSWIINPSLSLLDLIHHITDTYIKDNIEDCCPLIYVLHIMALQRLVDLSRHRCSLEYLLEQNEKLMQVQKFDDADLSCYSKKDRKLKRRILVLEQEAVQLADFVLGYLSLVANNHSSILSSDDTSCEKMAHESDKWDFGICSVNNKSLPIAIWWIICQNIDILCIYADAKKLKKKFKTFLTLLIQTSLPCLSKSFQQVEKHKIEKDGQPKKISLYQISQGLLKDSTLYDHKFVRRNLSSRFCHALENLALLLFGDSSVSDRNFNSFPVWSEVLSTLDNSPAVVSGRRYVKHDSPTRSISNSCNEQSSMNPTALPFKTVKDCKSLLNLLCWMPKGFLSSKSFSKLATCVVHLDQLVVAELLHCQGTLSSYGCELFQLFVTCRRTLKNIIMALCEENIEASLSSLLSVAEGSYFITWLFKSVSAVTELLDTMSEDCISEYKNKKFSLMDHTSYVFFAISKYQFSQAVDFIGNSEQPCKHFSGFVSDQSILNEPLLRFNNLKDSEALKSLSIIAESLKEQAESLLSSLKEALGIAQVGIEKEAENINKMSFLVSCFGGFLWGLASALNQLGEKCGELKTKLSRWKSEPLSKIKLCTNVFVDLISDVLHMFLENGQQQRSDSDSQSSDKFDYRRDSLVFNDLVVLPCLNKHLLLGLLKGDHPDRAVLLRQLLITYSAILRLNLRVGGPLLSSGMAPLIIDMSQFLLLELVNSVQSPPPFTFVWLDGAVKYLEEVGSHFPFTDSALNENVYGKLIELHLRGIGKCISLQGKRATLESHERESSSKILHDDTGLSESFLSHGSHCVDEFKARLRRSFSVFIKNSSELQLMSTIEAIEKALVGVQGAHARIYEITAGSANGGMVSSTVAGGIDCLDLLLEHGSGRKCLSVIKRHIRGFVAALFNIILHLQSPLIFYRKSVSNEGDRNLDPGSVVLMCIEVLTRVSGKHALFQLDLCHIGQSLRIPGALFQDFHQLRISEGPISSNTFLDEQNHNSTVSIEYHVLDQQFSINLFAACCRLLYTILKHHKSECERCIAVLEESVSLLLHCLETVGADLVVRKGYFSWEIQEGVKCAGFLRRIYEEIRQQKDVFAGHCYKFLSTYIWVFSGYGPHKTGIRREIDEALKPGVYALIDACSANDLQYLHTVFGEGPCRNTLASLQRDYKLNFQYEGKV >KJB15703 pep chromosome:Graimondii2_0_v6:2:52171648:52179243:1 gene:B456_002G192700 transcript:KJB15703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFLSDWIQSLLVSPQKTDKVDGVVDACLDFRCWRIFEFCLKESLKLHVSLNFSRNLLRAIGYIARNVLSFVSDPSVSSNESVFAGEGFELYGVVLDCVSLLFSFQHGLSNENLELWISNIDVVLQLVHKIHAQNLGGGNIGAFAMQFSCVVLEPFAKFLRIHPTRKNGFRDFVDKLLEPLLLLLDVLYGCVNENNSFLTRNLLMLVEEVLSHGLFHPVHIDGFLGLRSVEKYALKIDAKDSNVVIKSYHRHLFDKLESMVKKNIVLSGIGRLFHLFVARIKKQKGASAAGTAGKVGGTRCMEDELSGHLSTDPSPSSRAIPDNNYRSSTYSAEARKSLFDFFVQLLEPLLLEMDGYMQSNLAARVSLVDVHCTLKSINSLVASFVHGKVYVRTEDISEGACLNFLKKVYNTVISFAAKLFGLSEMGIDGKTRKEMFPLLAKELFLAVGHFLDIEYDVIGSDLISLWLMILSYLTSLSYLDLPDQSLLISPILDLGCQLVNLYSALRQVNNSIFTLCKAVRLLITHHQESETSCTRVFAYSASLSNEATAASVAILLGSQEFKLAVHHAIKSIPEGQASELIQQLTADVSESMEWLKIGCSVTDGKEIERLHVRDYGMLSIHKQLELLGRVLSEIYMVLLDSLSVTAGNCILLGPSIKELVSTIYPFTCSLGEKCLDGVNVFLFSAMGTTSENMVAENEKEKHGISIQWIFVFLFRLYMSCRSLYRQVISLTPPSTSRKLSLAMGDAFTAYTGRDWMEKSDWTDDGYFSWIINPSLSLLDLIHHITDTYIKDNIEDCCPLIYVLHIMALQRLVDLSRHRCSLEYLLEQNEKLMQVQKFDDADLSCYSKKDRKLKRRILVLEQEAVQLADFVLGYLSLVANNHSSILSSDDTSCEKMAHESDKWDFGICSVNNKSLPIAIWWIICQNIDILCIYADAKKLKKKFKTFLTLLIQTSLPCLSKSFQQVEKHKIEKDGQPKKISLYQISQGLLKDSTLYDHKFVRRNLSSRFCHALENLALLLFGDSSVSDRNFNSFPVWSEVLSTLDNSPAVVSGRRYVKHDSPTRSISNSCNEQSSMNPTALPFKTVKDCKSLLNLLCWMPKGFLSSKSFSKLATCVVHLDQLVVAELLHCQGTLSSYGCELFQLFVTCRRTLKNIIMALCEENIEASLSSLLSVAEGSYFITWLFKSVSAVTELLDTMSEDCISEYKNKKFSLMDHTSYVFFAISKYQFSQAVDFIGNSEQPCKHFSGFVSDQSILNEPLLRFNNLKDSEALKSLSIIAESLKEQAESLLSSLKEALGIAQVGIEKEAENINKMSFLVSCFGGFLWGLASALNQLGEKCGELKTKLSRWKSEPLSKIKLCTNVFVDLISDVLHMFLENGQQQRSDSDSQSSDKFDYRRDSLVFNDLVVLPCLNKHLLLGLLKGDHPDRAVLLRQLLITYSAILRLNLRVGGPLLSSGMAPLIIDMSQFLLLELVNSVQSPPPFTFVWLDGAVKYLEEVGSHFPFTDSALNENVYGKLIELHLRGIGKCISLQGKRATLESHERESSSKILHDDTGLSESFLSHGSHCVDEFKARLRRSFSVFIKNSSELQLMSTIEAIEKALVGVQGAHARIYEITAGSANGGMVSSTVAGGIDCLDLLLEHGSGRKCLSVIKRHIRGFVAALFNIILHLQSPLIFYRKSVSNEGDRNLDPGSVVLMCIEVLTRVSGKHALFQLDLCHIGQSLRIPGALFQDFHQLRISEGPISSNTFLDEQNHNSTVSIEYHVLDQQFSINLFAACCRLLYTILKHHKSECERCIAVLEESVSLLLHCLETVGADLVVRKGYFSWEIQEGVKCAGFLRRIYEEIRQQKDVFAGHCYKFLSTYIWVFSGYGPHKTGIRREIDEALKPGVYALIDACSANDLQYLHTVFGEGPCRNTLASLQRDYKLNFQYEGKV >KJB16108 pep chromosome:Graimondii2_0_v6:2:56007526:56008331:1 gene:B456_002G212700 transcript:KJB16108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVWFTKLSSAICFTTLCLSHFSEAQNSPQDFVNAHNVIRAAVRVGPLVWNRTVASYAQKYADKRMKDCEMEHSYGPYGENLAEGYGNLDGVDAVKMWASEKPDYDHSSNSCVSGGDDCLHYTQIVWSKSVHLGCGRAKCANGWVFVICSYDPVGNVEGERPY >KJB15984 pep chromosome:Graimondii2_0_v6:2:55388694:55389240:-1 gene:B456_002G207400 transcript:KJB15984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLQMSAILIVVILFAIGNEIMAQDGEGKLCAIPFNLPNCTDWVCKTACANKFPPNGYGMCQAGSTCLCFHPCF >KJB12870 pep chromosome:Graimondii2_0_v6:2:3447914:3452061:1 gene:B456_002G041700 transcript:KJB12870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKLHILLKFRFLVSASNRHFTQSNSVLRITACATYWTICPNQVESPNEEAIEHPRVSIDIFKQWGCCENDLLKIFSRQPSLRNAQATPLLSKLNLLSSLGLTGSDIVKVVNCRPRFFCSRIEFLVNLFGSREMLRKALVRNPSPLTYDFHFIALYEEIGISGNDLIAMLISRPTLIPTTSFNEEKMEYIKKTGVSKGSKMYKYIVSLIGISRIETIREKVTNLEKFGCSEEDIWSLLGRYPLILTVILKLSVDKVQRNMTFVLGTMKLSPRVVLEHPFFCCSSTSKPMTENRFLNVFIKCHPQDVANELLEFYKHAKGHKPLAESSKKILRKGFPF >KJB16957 pep chromosome:Graimondii2_0_v6:2:61871757:61874150:1 gene:B456_002G256700 transcript:KJB16957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYFLLVLLTLIPLLISSSSQPTDTLFFPGFKPPNLSTNLTLTGSAEIQANGILKLTNDTSRLQGHAFYSSPFRFKNSSNGQAFSFSTTFAMAIVPEYPKLGGHGLAFTIAASKDLKALPSQYLGILNATDVGNSSDYLVAVEFDTVQDFEFQDINDNHVGIDINSLNSTASVPAGYYIDGVGLVKQNVSLKSGKPILVWIEYDSVEKLVNVTISSSSKKPSLPILPLKVDLSPFLQEFMYVGFSASTGLLASSHYILGWSFKMNGEAQALDLSSLPSLPRPPAKHTALKVGVSVSSVVFVIAALSVAVYIFMKIKNADVIEDWELEIGPQRYDYHELKRATNGFSDKALLGHGGFGKVYKGKLKDSKTQVAVKRVSHESKQGLREFVSEIASIGRLRHRNLVQLLGWCRRRGDLLLVYDYMANGSLDKFLFDDPKIVLNWEQRLNIIKGVASGLLYLHEGYEQIVIHRDIKASNVLLDDELNGKLGDFGLAKLYEHGSNPGTTRVVGTLGYLAPELPKTGKATTSSDVYAFGAFLLEVACGRRPIESNVSQEELVLIDWVWEKFTQGRLFDVVDIRLNDKYKEDEMLVVLKLGLICSNDAPMARPNMRQAVRYLDGEAELPEVLKPSRIYEGVGEGFDTFLHSFMSSTFDNTSSYSFTDQHGNGGTSIVSL >KJB13434 pep chromosome:Graimondii2_0_v6:2:8711636:8716500:1 gene:B456_002G074200 transcript:KJB13434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTKEFKFNEARAKGTDRSSKPTVMKKFPLKHHTANSTNTTAYIQILGVGMDTHDTMPSILLFFDRQRFIFNAGEGLQRFCAEHKIKLSKIDHICLSRVCSETVGGLPGLLLTLAGMGDGVPVNIWGPSNLNLLVDAMKCFIPHHSMVHTNLVSHTGPLQIDSSAAVASKFKDVKISAILLQPNGVEGSDVKPSDVSVIYVCELHEIKGKFYKEKADALGITVKTKYKELTQGKSVKSDLLDITVHPNDVMGAPVPGPIVIIVDCPTSSHALELISTQSLTDYYSDSSNQSQSGKIVNCVIHLGPASVVNSSHYKKWMKNFSSAQHVRAGHVMNEVQNPILKSSARITARLNYLCPHLFPPMSSSIQHPSSTASDFNFLCEDPTSKLCDGIFAENLLKLLFKMRLVLTFFFLYFCLFLVNLACYTMLYLIIFEMQWNLFCHAHLGLDRSNIPSPLAASAAIDELLLESPDIADAAQHVSQLWQKPAETKECAISIQDSKVMTDKPILDENSVPSCLENIRRDDLEVTFLGTGSSQPSKYRNVTAIYFNLFSNGSLLLDCGEGTLAQLKRRYGMEGAESAVRNLACIWISHIHADHHVGLVRVLTLRRDLLKGVSHDPLIVLGPSQLKRFLDAYQRLEDLDMQFLDNKATTLFSWETFELDNESNKNNELVFERDEDVKNGNLTSCRESCSQGSSKRLKLSVPVAKIETFALLNRLKKVLNEAGIERLISFPVVHCPESFGVVLKAADRINSNGKAIPGWKVVYSGDTRPCSEMIEASQGATILIHEATFEDGMAGEAIAKNHSTTKEAIEVGNLAAAYRVILTHFSQRYPKIPVLDEISMHKTCIAFDLMTINLADLHVLPKLIPYLKLLFRTEMLIDNSDDHVEEAGL >KJB12244 pep chromosome:Graimondii2_0_v6:2:540785:544358:-1 gene:B456_002G008300 transcript:KJB12244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNLRRNFPVPVPSSDPLLDRLNEFTQLNSDSSSSGVTIESFRDPTANATPGQTMVWTNEKHNSYLEFLETSFAEQLHYSMRSRGCYPREEMWEPCPAPQLPAKFSVLQDGCYLKSNDPLLDSTADSSDILANPLHYSTSAAKSSSATFPFSRKTAVPNVRICSRSNTNLGACTTEVSDQNFVDEKLREKTSCVSGAKRLKMMPMLDASSNGQVSPLGKLNSVDDSILSHTSAKRGIKKK >KJB12239 pep chromosome:Graimondii2_0_v6:2:541215:544221:-1 gene:B456_002G008300 transcript:KJB12239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNLRRNFPVPVPSSDPLLDRLNEFTQLNSDSSSSGVTIESFRDPTANATPQGQTMVWTNEKHNSYLEFLETSFAEQLHYSMRSRGCYPREEMWEPCPAPQLPAKFSVLQDGCYLKSNDPLLDSTADSSDILANPLHYSTSAAKSSSATFPFSRKTAVPNVRICSRSNTNLGACTTEVSDQNFVDEKLREKTSCVSGAKRLKMMPMLDASSNGQVSPLGKLNSVDDSILSHTSAKRGIKKK >KJB12241 pep chromosome:Graimondii2_0_v6:2:541761:544221:-1 gene:B456_002G008300 transcript:KJB12241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNLRRNFPVPVPSSDPLLDRLNEFTQLNSDSSSSGVTIESFRDPTANATPGQTMVWTNEKHNSYLEFLETSFAEQLHYSMRSRGCYPREEMWEPCPAPQLPAKFSVLQDGCYLKSNDPLLDSTADSSDILANPLHYSTSAAKSSSATFPFSRKTAVPNVRICSRSNTNLGACTTVEEYRCEIG >KJB12242 pep chromosome:Graimondii2_0_v6:2:541761:544221:-1 gene:B456_002G008300 transcript:KJB12242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNLRRNFPVPVPSSDPLLDRLNEFTQLNSDSSSSGVTIESFRDPTANATPQGQTMVWTNEKHNSYLEFLETSFAEQLHYSMRSRGCYPREEMWEPCPAPQLPAKFSVLQDGCYLKSNDPLLDSTADSSDILANPLHYSTSAAKSSSATFPFSRKTAVPNVRICSRSNTNLGACTTVEEYRCEIG >KJB12243 pep chromosome:Graimondii2_0_v6:2:540787:544358:-1 gene:B456_002G008300 transcript:KJB12243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNLRRNFPVPVPSSDPLLDRLNEFTQLNSDSSSSGVTIESFRDPTANATPGQTMVWTNEKHNSYLEFLETSFAEQLHYSMRSRGCYPREEMWEPCPAPQLPAKFSVLQDGCYLKSNDPLLDSTADSSDILANPLHYSTSAAKSSSATFPFSRKTAVPNVRICSRSNTNLGACTTEVSDQNFVDEKLREKTSCVSGAKRLKMMPMLDASSNGQVRFRR >KJB12246 pep chromosome:Graimondii2_0_v6:2:541899:544254:-1 gene:B456_002G008300 transcript:KJB12246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNLRRNFPVPVPSSDPLLDRLNEFTQLNSDSSSSGVTIESFRDPTANATPGQTMVWTNEKHNSYLEFLETSFAEQLHYSMRSRGCYPREEMWEPCPAPQLPAKFSVLQDGCYLKSNDPLLDSTADSSDILANPLHYSTSAAKSSSATFPFSRKTAVPNVRICSRSNTNLGACTTGTSRLNLLAYFPLLIAFKRFCYELPHSMLFGAYLF >KJB12245 pep chromosome:Graimondii2_0_v6:2:541286:544221:-1 gene:B456_002G008300 transcript:KJB12245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNLRRNFPVPVPSSDPLLDRLNEFTQLNSDSSSSGVTIESFRDPTANATPQGQTMVWTNEKHNSYLEFLETSFAEQLHYSMRSRGCYPREEMWEPCPAPQLPAKFSVLQDGCYLKSNDPLLDSTADSSDILANPLHYSTSAAKSSSATFPFSRKTAVPNVRICSRSNTNLGACTTEVSDQNFVDEKLREKTSCVSGAKRLKMMPMLDASSNGQVRFRR >KJB12240 pep chromosome:Graimondii2_0_v6:2:540785:544358:-1 gene:B456_002G008300 transcript:KJB12240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNLRRNFPVPVPSSDPLLDRLNEFTQLNSDSSSSGVTIESFRDPTANATPQGQTMVWTNEKHNSYLEFLETSFAEQLHYSMRSRGCYPREEMWEPCPAPQLPAKFSVLQDGCYLKSNDPLLDSTADSSDILANPLHYSTSAAKSSSATFPFSRKTAVPNVRICSRSNTNLGACTTDQNFVDEKLREKTSCVSGAKRLKMMPMLDASSNGQVSPLGKLNSVDDSILSHTSAKRGIKKK >KJB15897 pep chromosome:Graimondii2_0_v6:2:54375824:54379088:-1 gene:B456_002G202300 transcript:KJB15897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKGSSLRQRLAVVLVLSVFALVQAEDPYRFFNWNVTYGDIYPLGVRQQGILINGQFPGPDLYSVTNDNLIINVYNNLDEPFLLSWNGMQQRRNSYEDGVYGTTCPIPPGKNFTYILQAKDQIGTFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFPDPAGDFTVLIGDWFKTDHKKLQAKLDRGHKLPFPDGILINGHGPNGAFFTVEQGKTYRFRISNVGLQNSLNFRIQGHKMKLVEVEGTHTVQTMYESLDVHVGQSYSVLVTMDQSSQDFYIVASTRFTDKILTTTATLHYSNSNKAVSGPIPGGPTDQINWSLNQARSIRTNLTASGPRPNPQGSYHYGLINITRTIKLVSSADQVKGKQRYAVNSVSFIPADTPLKLADYFKIGGVFRVGSISDKPTGQNMYLDTSVMGADFRAFVEIVFENHESIVQSWHIDGYAFWVVGMDGGLWTPDSRKEYNLRDAVSRSTTQVYPRSWTAIYMALDNVGMWNVRTEFWARQYLGQQFYLRVYSPVNSPRDEYPIPKNALLCGRAQGKSTRPL >KJB15896 pep chromosome:Graimondii2_0_v6:2:54375824:54379057:-1 gene:B456_002G202300 transcript:KJB15896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKGSSLRQRLAVVLVLSVFALVQAEDPYRFFNWNVTYGDIYPLGVRQQGILINGQFPGPDLYSVTNDNLIINVYNNLDEPFLLSWNGMQQRRNSYEDGVYGTTCPIPPGKNFTYILQAKDQIGTFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFPDPAGDFTVLIGDWFKTDHKKLQAKLDRGHKLPFPDGILINGHGPNGAFFTVEQGKTYRFRISNVGLQNSLNFRIQGHKMKLVEVEGTHTVQTMYESLDVHVGQSYSVLVTMDQSSQDFYIVASTRFTDKILTTTATLHYSNSNKAVSGPIPGGPTDQINWSLNQARSIRTNLTASGPRPNPQGSYHYGLINITRTIKLVSSADQVKGKQRYAVNSVSFIPADTPLKLADYFKIGGVFRVGSISDKPTGQNMYLDTSVMGADFRAFVEIVFENHESIVQSWHIDGYAFWVVG >KJB12749 pep chromosome:Graimondii2_0_v6:2:2824001:2826839:1 gene:B456_002G036400 transcript:KJB12749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDDGLPSLGRVKITDLIPSEGLPSDSYKLSVSTLSQSFAQYSAAVIQFPAGDGALLRSGLDSACLYFQQREAYPSADMIHTNDSREWCKTSGYYADPQLWQETYDYRPGLTPIEPSNAMELPPGGLPDIFGLLGKAARGVLDAMSYYLNLRSSPFTEILDNVPLRSREVSSSVLSVCCHARPSFHGAQHHNLTTQDDGQLMMFHDHDHQVDKSLISVVKSDKAGLHVRDFHGRWFLVDGDLGPQEAVVYPGLALYQATAGYVNPALHRTEINNIPGNMYGRCSLVFKLMPKSMTSLSCSEMRAAGHGVEAQFQIPVPVDDFMQRSHPTDQLFNRNTFQSFSFPTAQDGPWINEMLVQDL >KJB12748 pep chromosome:Graimondii2_0_v6:2:2823618:2826860:1 gene:B456_002G036400 transcript:KJB12748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDDGLPSLGRVKITDLIPSEGLPSDSYKLSVSTLSQSFAQYSAAVIQFPAGDGALLRSGLDSACLYFQQREAYPSADMIHTNDSREWCKTSGYYADPQLWQETYDYRPGLTPIEPSNAMELPPGGLPDIFGLLGKAARGVLDAMSYYLNLRSSPFTEILDNVPLRSREVSSSVLSVCCHARPSFHGAQHHNLTTQDDGQLMMFHDHDHQVDKSLISVVKSDKAGLHVRDFHGRWFLVDGDLGPQEAVVYPGLALYQATAGYVNPALHRTEINNIPGNMYGRCSLVFKLMPKSMTSLSCSEMRAAGHGVEAQFQIPVPVDDFMQRSHPTDQLFNRNTFQSFSFPTAQDGSMKPLMRRRKNNTRCKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKECENHIHALDSPCANIRMEIGWPHGVPFVHPHDLPNKAKIGFLEAYEPGWTATHDMDLSLTEPGQASQQSANCN >KJB12750 pep chromosome:Graimondii2_0_v6:2:2824326:2825782:1 gene:B456_002G036400 transcript:KJB12750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDDGLPSLGRVKITDLIPSEGLPSDSYKLSVSTLSQSFAQYSAAVIQFPAGDGALLRSGLDSACLYFQQREAYPSADMIHTNDSREWCKTSGYYADPQLWQETYDYRPGLTPIEPSNAMELPPGGLPDIFGLLGKAARGVLDAMSYYLNLRSSPFTEILDNVPLRSREVSSSVLSVCCHARPSFHGAQHHNLTTQDDGQLMMFHDHDHQVDKSLISVVKSDKAGLHVRDFHGRWFLVDGDLGPQEAVVYPGLALYQATAGYVNPALHRTEINNIPGNMYGRCSLVFKLMPKSMTSLSCSEMRAAGHGVEAQFQIPVPVDDFMQRSHPTDQLFNRNTFQSFSFPTAQDGPWINEMLVQDL >KJB12751 pep chromosome:Graimondii2_0_v6:2:2824001:2826839:1 gene:B456_002G036400 transcript:KJB12751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDDGLPSLGRVKITDLIPSEGLPSDSYKLSVSTLSQSFAQYSAAVIQFPAGDGALLRSGLDSACLYFQQREAYPSADMIHTNDSREWCKTSGYYADPQLWQETYDYRPGLTPIEPSNAMELPPGGLPDIFGLLGKAARGVLDAMSYYLNLRSSPFTEILDNVPLRSREVSSSVLSVCCHARPSFHGAQHHNLTTQDDGQLMMFHDHDHQVDKSLISVVKSDKAGLHVRDFHGRWFLVDGDLGPQEAVVYPGLALYQATAGYVNPALHRTEINNIPGNMYGRCSLVFKLMPKSMTSLSCSEMRAAGHGVEAQFQIPVPVDDFMQRSHPTDQLFNRNTFQSFSFPTAQDAGPWINEMLVQDL >KJB12747 pep chromosome:Graimondii2_0_v6:2:2823562:2826839:1 gene:B456_002G036400 transcript:KJB12747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDDGLPSLGRVKITDLIPSEGLPSDSYKLSVSTLSQSFAQYSAAVIQFPAGDGALLRSGLDSACLYFQQREAYPSADMIHTNDSREWCKTSGYYADPQLWQETYDYRPGLTPIEPSNAMELPPGGLPDIFGLLGKAARGVLDAMSYYLNLRSSPFTEILDNVPLRSREVSSSVLSVCCHARPSFHGAQHHNLTTQDDGQLMMFHDHDHQVDKSLISVVKSDKAGLHVRDFHGRWFLVDGDLGPQEAVVYPGLALYQATAGYVNPALHRTEINNIPGNMYGRCSLVFKLMPKSMTSLSCSEMRAAGHGVEAQFQIPVPVDDFMQRSHPTDQLFNRNTFQSFSFPTAQDGSMKPLMRRRKNNTRCKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKECENHIHALDSPCANIRMEIGWPHGVPFVHPHDLPNKAKIGFLEAYEPGWTATHDMDLSLTEPGQASQQSANCN >KJB12752 pep chromosome:Graimondii2_0_v6:2:2823561:2826916:1 gene:B456_002G036400 transcript:KJB12752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDDGLPSLGRVKITDLIPSEGLPSDSYKLSVSTLSQSFAQYSAAVIQFPAGDGALLRSGLDSACLYFQQREAYPSADMIHTNDSREWCKTSGYYADPQLWQETYDYRPGLTPIEPSNAMELPPGGLPDIFGLLGKAARGVLDAMSYYLNLRSSPFTEILDNVPLRSREVSSSVLSVCCHARPSFHGAQHHNLTTQDDGQLMMFHDHDHQVDKSLISVVKSDKAGLHVRDFHGRWFLVDGDLGPQEAVVYPGLALYQATAGYVNPALHRTEINNIPGNMYGRCSLVFKLMPKSMTSLSCSEMRAAGHGVEAQFQIPVPVDDFMQRSHPTDQLFNRNTFQSFSFPTAQDGSMKPLMRRRKNNTRCKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKECENHIHALDSPCANIRMEIGWPHGVPFVHPHDLPNKAKIGFLEAYEPGWTATHDMDLSLTEPGQASQQSANCN >KJB13145 pep chromosome:Graimondii2_0_v6:2:6645176:6646678:-1 gene:B456_002G059200 transcript:KJB13145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYDPSLILAQIVCLQCLYYLTLGAFSSFLVGSRASRMSLVYLFDFVTVTASTVTGWFAIAAFFLTSISGSVYMLYLIEREKKCLDFAATLYIIYLSICTIYGGWPSSVTWWVVNVTGFAVMALLGEYLCIRREMREIPITRYG >KJB14833 pep chromosome:Graimondii2_0_v6:2:26666828:26668228:-1 gene:B456_002G145800 transcript:KJB14833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKQKRIRVLMFPWLAHSHISSFLELAKKLMNHNLYVYFCSTATNLDSIKPKISPHHSLSIQFIELKLPLLPELPSYYHTTKGLPTHLLNALFKAVEMSGDGFATILKTHNPDLLIYDLHQPWAPTLASALNIPAILFYTASAAGPFFAFHAWKKLKGEEFPSPEFYINDCFMPTKSSRNEFGRTPPDSSNTEKIFQAFRHSLDIILVKSFSELEGKYMDYLSILLNKKVVPTGPLVRDAIEEHHENEKEILEWLTKKRKASTVLVSFGSEYYLSNKEREAIAEGLELSEVNFIWVLRFPVGDKDKPKLEEALPEGYLERIGERGLMVEGWAPQAKILQHSSIGGFVSHCGWSSVMESLKFGVPIIAMPMLMHIDQPLNARLVQDVGVGVEVKRGKDGSLEREEIVKVIKQVVGEKDGENIRNKAREMSNHIKIKRDEEVDEVVQEINKILHKGGLKIHDKKVNV >KJB11966 pep chromosome:Graimondii2_0_v6:2:609512:614647:1 gene:B456_002G009400 transcript:KJB11966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTVSKTRSSISTSRPNAMFPQYLRRIVKWQQMDIEYTFWQMLHLCTAPKIVYQHTKYHNQTKNQWARDDPAFVVICSLLLAVATVAYCAAYDHSAAHAVSVVITVSLFHFLLAGILLATCCWFLTNAYLRKEAPNTCVVEQRVEWLYAFDLHCNSFFPMFVMLYASYHHYLNFLGYDVLPFLKRTTFFLYPIGIVIILSPILILSGFNPSRYFMNIYCSRTL >KJB11967 pep chromosome:Graimondii2_0_v6:2:609512:614641:1 gene:B456_002G009400 transcript:KJB11967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTVSKTRSSISTSRPNAMFPQYLRRIVKWQQMDIEYTFWQMLHLCTAPKIVYQHTKYHNQTKNQWARDDPAFVVICSLLLAVATVAYCAAYDHSAAHAVSVVITVSLFHFLLAGILLATCCWFLTNAYLRKEAPNTCVVEQRVEWLYAFDLHCNSFFPMFVMLYVIHYFLSPLLVAHGFISALLSNLLFMVAASYHHYLNFLGYDVLPFLKRTTFFLYPIGIVIILSPILILSGFNPSRYFMNIYCSRTL >KJB11965 pep chromosome:Graimondii2_0_v6:2:609512:615272:1 gene:B456_002G009400 transcript:KJB11965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTVSKTRSSISTSRPNAMFPQYLRRIVKWQQMDIEYTFWQMLHLCTAPKIVYQHTKYHNQTKNQWARDDPAFVVICSLLLAVATVAYCAAYDHSAAHAVSVVITVSLFHFLLAGILLATCCWFLTNAYLRKEAPNTCVVEQRVEWLYAFDLHCNSFFPMFVMLYVIHYFLSPLLVAHGFISALLSNLLFMVAASYHHYLNFLGYDVLPFLKRTTFFLYPIGIVIILSPILILSGFNPSRYFMNIYCSRTL >KJB11964 pep chromosome:Graimondii2_0_v6:2:609661:613464:1 gene:B456_002G009400 transcript:KJB11964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTVSKTRSSISTSRPNAMFPQYLRRIVKWQQMDIEYTFWQMLHLCTAPKIVYQHTKYHNQTKNQWARDDPAFVVICSLLLAVATVAYCAAYDHSAAHAVSVVITVSLFHFLLAGILLATCCWFLTNAYLRKEAPNTCVVEQRVEWLYAFDLHCNSFFPMFVMLYGNFTVNDPFGSFMHNFNP >KJB12491 pep chromosome:Graimondii2_0_v6:2:1459606:1462200:-1 gene:B456_002G021300 transcript:KJB12491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVAGKRFSSISWRSSAALSQNHAAATTASAASERPSVSRSVPSLSPFSFNSQLPNLIRGFSSDALSQGHDMGMISDVPATVAAVKNPTSKIVYDEYNHERFPPGDPSKRAFAYFVLSGGRFVYASLIRLLILKFVLSMSASKDVLALASLEVDLSSIEPGSTVTVKWRGKPVFIRRRTEEDIKTANSVDLASLRDPQEDAVRVKNPEWLIVVGVCTHLGCIPLPNAGDYGGWFCPCHGSHYDVSGRIRKGPAPYNLEVPTYSFMDENKLLIG >KJB16128 pep chromosome:Graimondii2_0_v6:2:56206500:56208324:-1 gene:B456_002G213500 transcript:KJB16128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKTLGRQKIEMVKIKDESNLQVTFSKRRSGLFKKASELCTLCGVEIAIIVFSPGNKVFSFGHPDVENILNRYVHNTKDTSPTWQLIEAHRNAIILRLNAQLTEMMSQIEAQKKRGEELDKMRKASQEQNWWESPIEELSLPQLQFLRSAMAELKKIVQREAEQLIIQNTNCQQLFPGSSSQGTNTNSKQFFIGSSSQEMDTNRQQFFPGSSSQGMDTNPQQFFTGSSSQGMDTNPQQFFTGSSSQGMNTNPQHPPQFFSPPQHPPQFFTRSSSQGMDTNPFTRSSIQGMDTNPQLFNEKSSQGMETNPQHPPQFFTGSSIQGMDTNPQQFFTRIQSKGWIQIHDSFSMRSQAKEWIQIRNSFSLEAQSKRWIEIHHCFSLGVQAKEGLLIMRQ >KJB16531 pep chromosome:Graimondii2_0_v6:2:59615961:59616590:1 gene:B456_002G235700 transcript:KJB16531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGEPKSYSSNLQLALTILGTATGMIILIVGITMASRYAPKPQQQPLILKIHSITMSGLNVSCFLTETRWNVTVLFANRNSMLEMSIHSFESSLYYNYSNPISCAVVEAMHLGPKKQRLVEMQFNRAQCGEEQPYVDDWVLERIRKDEIKGEMSFVVGMKLRVSYRTGILGWDYDLNPHCPKLDMQLVPSTGNGGIIFDHPKVCLVPL >KJB14722 pep chromosome:Graimondii2_0_v6:2:24352665:24353136:-1 gene:B456_002G140300 transcript:KJB14722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNFVELSLSLKPLYVPKSLSSLFLDLSKIDNQYYKLSVLSDYICKPEEELARVQPLKHLLPQCTLFLMEAIETLKVEFTNIKNNLKNNEKEGERETQKIISTCSNPQERYPKFIALKSPL >KJB12972 pep chromosome:Graimondii2_0_v6:2:4040748:4043439:-1 gene:B456_002G047800 transcript:KJB12972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQKFASFFLLLLALLTHFGLHVATGRGIGDKHCGSTFCGNLNISFPFGLRTQAHSCGPNFNGVELVCENNRTIFPMKKGNFLVKHISYVNQTILLVDASLGDDNCSVPHSSSPWLNPSLGVLGLNRADMNDRGEIYVVNCKTKMINSSANYIDASRCSTSPSDAANGYFYFLRTEAAPSDFHPSCTFEALVPITPSDITGVSTFDIYQRLLKGTQFKWYFPNDSKVGDDGWQQWNSVSHVVGSLFLGLMYGISLYIRSNTALILRGTSIEGYFVDAPSRGVQILCVTITGIILARTLLGISCLAVLIIRKLRRRHLLVDDLIENFLQSQTNFMPIRYSYAELKRITGGFKDKLGQGGYGTVFKGKLRSGNLVAIKLLKESKGNGQDFINEVATIGRIHHVNVVQLIGFCVEGKKQALVYDFMTNGSLDKFIFSTGNNSLSWPKMFEIVLGVGRGIEYLHNGCAMKILHFDIKPHNILLDDNFNPKVSDFGLAKLYPVDDSIISLTAARGTFGYMAPELFYKNIGNISYKADIYSFGMMLMEIVGRRKNLKDSVDHSSQNYFPTWIYDQFELGENMKLEDLSENETQIVRKMIIVAFWCIQTKPIYRPSMTKVLNMLESEDELLEIPPKSLVFSIDLSSSN >KJB15381 pep chromosome:Graimondii2_0_v6:2:44546757:44549261:-1 gene:B456_002G174400 transcript:KJB15381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAELELERRSKFLNSLIQKKKAIEQQEQNEHLNVKVRASDMPLALQNKAFKCARDQLDYMPGKLDSKRLALALKKEFDSTYGPAWHCIVGTSFGSYVTHSLGGFLYFSIDKVYILLFKTAVEPLDH >KJB16625 pep chromosome:Graimondii2_0_v6:2:60351166:60353253:1 gene:B456_002G240300 transcript:KJB16625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQKPCTFAKLMPRLKDSKSLAKIIQNLAQTRQLLQGKQLHSQLISSGYPLCTFLTNHLLNMYSKCGQLDYSVKLFDKMSQRNLVSWTAMVTGFSQNLHYFEAISTFCEMRIAGENPTQFAFSSVIKACASVGLVEFGEQIHCLALKFGFGSDIFVVSNLVDMYSKCGVMVNAYNVFQEMECKDEVLWTALIDGYAKNGPFEDALSAYKNMVKEGIGIDKFVLCSTLGACAALKALNFGKCLHSVMLKKGFELEISVGNALTDMYSKVGDMESASNVFGIDSDCRNIVACSSLIDGYVEMDRIEDALSVYVRLRRQGIEPNEFTFSSLIKACSSQATLEQGTQLHAEVIKFNFDGNPFVSSVLVDMYGKCGLLDDSIRVFDEIENATEFAWNSMLSVFAQHGLGKDAIQLFNRMKNEGVEPNAITFVSLLRGCSHSGLVEEGLSFFKAMEKTYGVMPREEHYSCVIDLLGRAGQLKEAEDLINKMPFEPNAFGWCSFLGACKIHGDKERGKLAAERLMQLEPENSGAPVLLSNIYAKEQQWEDVRTLRKMMQDFNVKKLPGYSWVDVGNKTHIFGVEDWSHPQMKAIYDKLNALSGQIKVAGYVPCTDSIPLDVDVSVKEKILQHHSERLAIAFALISIPSGKPIIVKKNLRVCSDCHSAIKYISKVIGRKIIVRDNSRFHHFSNGLCSCGDFW >KJB17097 pep chromosome:Graimondii2_0_v6:2:62493797:62496815:1 gene:B456_002G264900 transcript:KJB17097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQAQDQFRLVSPSISHEGKLPRKYTDEGQGAKKNLSPPLEWYNVPDGTQSMALVVEDIDAPDPSDPVKPWTCWVVANIPPTLKGLPEGFSGKEEEVGGDYACLKEGHNDYKVPGWRGPKLPSHGHRFQFRLFALDEQLKLGNKVTKEKLLEDIEGHVIGEAQVTTMF >KJB12756 pep chromosome:Graimondii2_0_v6:2:2704691:2705717:1 gene:B456_002G035000 transcript:KJB12756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGDDGASSLWESFEDPSNVFIETMKISTDVKKGRKVELKSWKSIDDPSDGNFSLGFEPFNIPEGIIRKKNQLYFRTGPWNGYTFIGLINMYTSYLQGFVVVADNPQQPYCMTHEFYTDSILIYGELDSQGKFIERRWDAGKGNWINKYSSYQTDCDVYGYCGAFGICDSSKQPICSCLKRFKPRNIEEWSRGNWSSGCFRTTPLQCQRDNNNGSGAGQGDDGFLEMKNMKVPVFRHPSSVSNGECKDQCMKNCSCVTYAYDDGIGCMLWSGDLIDISPLAESIFTFVCHLRNSIKGNILRSLLLQQ >KJB13676 pep chromosome:Graimondii2_0_v6:2:11388423:11393723:1 gene:B456_002G090100 transcript:KJB13676 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MEKLKQAVKEIAYYRHQAKFSKLHLSLIPFLSLASSLYGALLYIRQSLYRSGFFSKNRLPVPVISVGNLTWGGNGKTPMAEFIAKRLADYGISPLILTRGYAGGDEAKMLKRHLLGGPVKVGVGANRVATANLFFEKYGYVDYRGSKFFERTYLDPKMGSHVGSQKIGAAVLDDGMQHWSLCRDLEIVMINGLMPWGNCKLLPLGPLREPLIAIKRANIAVVHHADLVLEQKLKDIKLVVQEIKESLPIFYTRMTPSYFFELRNISTKMHLGAVLDAVVLCVSAIGSPYAFVRAMEKIGPLFVDRFDFSDHYSFQLKDIHMMRGRLRQLEDKFGYKPIVIVTEKDYDRDPEILKHLHPFKVLVLCSEMQIIPCNGCNEDSFKSLLKELLEV >KJB13678 pep chromosome:Graimondii2_0_v6:2:11388423:11393723:1 gene:B456_002G090100 transcript:KJB13678 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MAEFIAKRLADYGISPLILTRGYAGGDEAKMLKRHLLGGPVKVGVGANRVATANLFFEKYGYVDYRGSKFFERTYLDPKMGSHVGSQKIGAAVLDDGMQHWSLCRDLEIVMINGLMPWGNCKLLPLGPLREPLIAIKRANIAVVHHADLVLEQKLKDIKLVVQEIKESLPIFYTRMTPSYFFELRNISTKMHLGAVLDAVVLCVSAIGSPYAFVRAMEKIGPLFVDRFDFSDHYSFQLKDIHMMRGRLRQLEDKFGYKPIVIVTEKDYDRDPEILKHLHPFKVLVLCSEMQIIPCNGCNEDSFKSLLKELLEV >KJB13677 pep chromosome:Graimondii2_0_v6:2:11388458:11393662:1 gene:B456_002G090100 transcript:KJB13677 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MAEFIAKRLADYGISPLILTRGYAGGDEAKMLKRHLLGGPVKVGVGANRVATANLFFEKYGYVDYRGSKFFERTYLDPKMGSHVGSQKIGAAVLDDGMQHWSLCRDLEIVMINGLMPWGNCKLLPLGPLREPLIAIKRANIAVVHHADLVLEQKLKDIKLVVQEIKESLPIFYTRMTPSYFFELRNISTKMHLGAVLDAVVLCVSAIGSPYAFVRAMEKIGPLFVDRFDFSDHYSFQLKIYFPCNHVLVS >KJB13675 pep chromosome:Graimondii2_0_v6:2:11388473:11392110:1 gene:B456_002G090100 transcript:KJB13675 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MEKLKQAVKEIAYYRHQAKFSKLHLSLIPFLSLASSLYGALLYIRQSLYRSGFFSKNRLPVPVISVGNLTWGGNGKTPMAEFIAKRLADYGISPLILTRGYAGGDEAKMLKRHLLGGPVKVGVGANRVATANLFFEKYGYVDYRGSKFFERTYLDPKMGSHVGSQKIGAAVLDDGMQHWSLCRDLEIVMINGLMPWGNCKLLPLGPLREPLIAIKRANIAVVHHADLVLEQKLKDIKLVVQEIKESLPIFYTRMTPSYFFELRNISTKMHLGAVLDAVVLCVSAIGSPYAFVRAMEKIGPLFVDRFDFSDHYSFQLKIYFPCNHVLVS >KJB13349 pep chromosome:Graimondii2_0_v6:2:8090529:8092516:1 gene:B456_002G069800 transcript:KJB13349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMIMEKKWIFPFVITSIVCIFFLVSSFNMGLVSSVHNINSIFSIFPMGQRKPGYVESKIESGSPLPPSGPPIPRFAYLISGSKGDIEKLWRVLHALYHPRNQYVVHLDLESPAEERLTLASRTKNNTMFSKMGNVYMITKANMVTYRGPTMVANTLHACAILLKRNKDWDWFINLSASDYPLVTQDDLLYAFSALNRDLNFIEHTSELGWKLDKRAMPLIIDPGLYLSTKTEVVWASQKRKLPTAFKLFTGSAWTVLSRPFIEFIIMGWDNLPRTLLMYYTNFISSPEGYFQTVVCNVPEFAKTVVNHDMHFIKWDNPPKQHPHILSLNELGKMIMSNAAFARKFNQDDPVLDKIDADLLGRVNVSFTPGAWCSGKLKCSEVGNINKIKPGPGAQRLRHLLDRLAMKAYLGQDQCR >KJB13938 pep chromosome:Graimondii2_0_v6:2:13090479:13093272:1 gene:B456_002G102500 transcript:KJB13938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVPYSSEPKPKPSSSTLPWQDMFRSASVRKPSPAPGNSDAPPNQHHAPPQTPNSTDPDHKNSLSGDPQVRLALYIAMAHAGLAFTIFILYAICKLLQEYFRPIQWAILCSIPLRGIQETLVGFWSEPLRLGLTETVLAVPVAVFKAFIGTLVDIKDVCLRVFLKRPKSNLSRRKRSGFPMLVRWLVAFAVFVIAYERIGGVGSLGIIVLGFVISTKNIDDSLTAVSSFRSNSFRRSRMSAYFTTRILKRLDTIVAIGLIIGLFVGFFAGATFFSYKIGVEGKDAVVSVKSHVEESNYAERMGVKRWMEENDVPGMVDKYTTQFYETVSEQIDNLAMQYNMTEFVTGIKHFVITSTTSSSVRSTALMTPSPYTEKFLSLRKRVSNREWGQIYTEVDAIFRELIITREDLVQKAKGFAVKGADVSQRVLASSASVLGGGAKIMFTIGNSILYGAAEVFNFVSQLMVFFWVLYYLITSESGGVTEQVMSMIPISKPARIRCVEVLDEAISGVLLATAEIAFFQGCLTWLMFRLFEIHFVYMSTVLAFISPLLPIFPPWFATIPAAIELVLESRYIVAVVFSISHIVLMDYGATEIQESIPGYSAYLTGLSIIGGMTLFPSAVEGAIMGPLITTVVIALKDLYVEFVLEEPKKKD >KJB13939 pep chromosome:Graimondii2_0_v6:2:13090697:13093235:1 gene:B456_002G102500 transcript:KJB13939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVPYSSEPKPKPSSSTLPWQDMFRSASVRKPSPAPGNSDAPPNQHHAPPQTPNSTDPDHKNSLSGDPQVRLALYIAMAHAGLAFTIFILYAICKLLQEYFRPIQWAILCSIPLRGIQETLVGFWSEPLRLGLTETVLAVPVAVFKAFIGTLVDIKDVCLRVFLKRPKSNLSRRKRSGFPMLVRWLVAFAVFVIAYERIGGVGSLGIIVLGFVISTKNIDDSLTAVSSFRSNSFRRSRMSAYFTTRILKRLDTIVAIGLIIGLFVGFFAGATFFSYKIGVEGKDAVVSVKSHVEESNYAERMGVKRWMEENDVPGMVDKYTTQFYETVSEQIDNLAMQYNMTEFVTGIKHFVITSTTSSSVRSTALMTPSPYTEKFLSLRKRVSNREWGQIYTEVDAIFRELIITREDLVQKAKGFAVKGADVSQRVLASSASVLGGGAKIMFTIGNSILYGAAEVFNFVSQLMVFFWVLYYLITSESGGVTEQVMSMIPISKPARIRCVEVLDEAISGVLLATAEIAFFQGCLTWLMFRLFEIHFVYMSTVLAFISPLLPIFPPWFATIPAAIELVLESRYIVAVVFSISHIVLMDYGATEIQESIPGYSAYLTGLSIIGGMTLFPSAGAIMGPLITTVVIALKDLYVEFVLEEPKKKD >KJB14558 pep chromosome:Graimondii2_0_v6:2:20546513:20552036:-1 gene:B456_002G131100 transcript:KJB14558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYCLRSRRRCYLHYTRRFSSSSTNSVESPNNLKNRQPVPSQSPPPQNFQTQLSTRSSATVSLSRNSVLALSATLLSAIVASVAVLSANEGNESSDGNPSPRRSPLYDSIEHTMQKSNESFRRIVHQAKQTSVAASVLWQSLRSVMSSANHEVRAGFELRVASLLADIAAANASRRAAIVNASGGAVVDWLLETVAVGKADVCGTQAEAARALAYLIADPDVRKDVLGRPGAVSYLLRFIFSCQPQNKSKRHSGRSSFDISDSLKGRSMLVAAIMDIVTSNCECVEKESFKPWLPGNAEMRDIAAAVQVIEEGDMHLDEGERNDDDDDGGRGMKGIGIKILEGTTAIGLSRTTEQNMTLDHSNDTPSELDRDTPRTLALMNKHGSSVEQANLSAAVVPGLWDDLHSQHVAVPFAAWALANWAMASEINRSHIEELDQDGEAVMCALLAPERSVKWHGSLVARLLLEDHNLPLNDSVSDWASSLLSTASHASKNEDISLSQMALSAFIVAVERSHQARRTVMEKGLELMRVTAKRTSKHQQVQEALAKALELLSTEDSHLSIEESQKWSGILLSWVFGKSSSNEIRSSAIRILSCILEDHGPSSVPISQGWLALLLNDILVSSKASSVKEGIDKVKTQIDQSNILSAGQTANQLAVGVVSMAGNWLTGSVDTSPLADLLSLDPFAGPYKNLKKDNPPKLDVADSALATLKGIKALTGICAEDSLLQDKITDLGLLCLLRRYLLRDDYEKLAAIEAYDASRAPEAQERVSSTEGEVSPSNTNNPSSVRVPPTAHIRRHAARLLAILSLLPKVQKVIAADEAWCKWLEDCADGKISSINDLKIRSYARAILLNVICHQQIGIDSINSGPENGSRDGTSICPSYDDMIFLINPERPHWKCPGKDQSSVQKDKSSSNEVDTTNSDSTAVTQVSDVADSSKSFNVSNNDSDSEIPQMDIVFVHGLRGGPYKTWRIAEDKSSTKSGLVEKIDEEAGKLGTFWPGEWLSADFPQARLFSLKYKTNLTQWSGASLPLQEVSSMLLKKLVVAGIGSRPVVFVTHSLGGLVVKQMLFKAKAENMDDLVNNTVGVVFYSCPHFGSKLADMPWRMGLVLRPAPTIGELRSGSPRLVQLNDFLRRLHKKQMLQVLSFCETKVTPIVEGYGGWAFRMEIVPIESAYPGFGELVVLESTDHINSCKPLSRSDPSYTETLEFLRKLKAQYT >KJB14557 pep chromosome:Graimondii2_0_v6:2:20546912:20551077:-1 gene:B456_002G131100 transcript:KJB14557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAAIMDIVTSNCECVEKESFKPWLPGNAEMRDIAAAVQVIEEGDMHLDEGERNDDDDDGGRGMKGIGIKILEGTTAIGLSRTTEQNMTLDHSNDTPSELDRDTPRTLALMNKHGSSVEQANLSAAVVPGLWDDLHSQHVAVPFAAWALANWAMASEINRSHIEELDQDGEAVMCALLAPERSVKWHGSLVARLLLEDHNLPLNDSVSDWASSLLSTASHASKNEDISLSQMALSAFIVAVERSHQARRTVMEKGLELMRVTAKRTSKHQQVQEALAKALELLSTEDSHLSIEESQKWSGILLSWVFGKSSSNEIRSSAIRILSCILEDHGPSSVPISQGWLALLLNDILVSSKASSVKEGIDKVKTQIDQSNILSAGQTANQLAVGVVSMAGNWLTGSVDTSPLADLLSLDPFAGPYKNLKKDNPPKLDVADSALATLKGIKALTGICAEDSLLQDKITDLGLLCLLRRYLLRDDYEKLAAIEAYDASRAPEAQERVSSTEGEVSPSNTNNPSSVRVPPTAHIRRHAARLLAILSLLPKVQKVIAADEAWCKWLEDCADGKISSINDLKIRSYARAILLNVICHQQIGIDSINSGPENGSRDGTSICPSYDDMIFLINPERPHWKCPGKDQSSVQKDKSSSNEVDTTNSDSTAVTQVSDVADSSKSFNVSNNDSDSEIPQMDIVFVHGLRGGPYKTWRIAEDKSSTKSGLVEKIDEEAGKLGTFWPGEWLSADFPQARLFSLKYKTNLTQWSGASLPLQEVSSMLLKKLVVAGIGSRPVVFVTHSLGGLVVKQMLFKAKAENMDDLVNNTVGVVFYSCPHFGSKLADMPWRMGLVLRPAPTIGELRSGSPRLVQLNDFLRRLHKKQMLQVLSFCETKVTPIVEGYGGWAFRMEIVPIESAYPGFGELVVLESTDHINSCKPLSRSDPSYTETLEFLRKLKAQYT >KJB14559 pep chromosome:Graimondii2_0_v6:2:20546512:20552088:-1 gene:B456_002G131100 transcript:KJB14559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYCLRSRRRCYLHYTRRFSSSSTNSVESPNNLKNRQPVPSQSPPPQNFQTQLSTRSSATVSLSRNSVLALSATLLSAIVASVAVLSANEGNESSDGNPSPRRSPLYDSIEHTMQKSNESFRRIVHQAKQTSVAASVLWQSLRSVMSSANHEVRAGFELRVASLLADIAAANASRRAAIVNASGGAVVDWLLETVAVGKADVCGTQAEAARALAYLIADPDVRKDVLGRPGAVSYLLRFIFSCQPQNKSKRHSGRSSFDISDSLKGRSMLVAAIMDIVTSNCECVEKESFKPWLPGNAEMRDIAAAVQVIEEGDMHLDEGERNDDDDDGGRGMKGIGIKILEGTTAIGLSRTTEQNMTLDHSNDTPSELDRDTPRTLALMNKHGSSVEQANLSAAVVPGLWDDLHSQHVAVPFAAWALANWAMASEINRSHIEELDQDGEAVMCALLAPERSVKWHGSLVARLLLEDHNLPLNDSVSDWASSLLSTASHASKNEDISLSQMALSAFIVAVERSHQARRTVMEKGLELMRVTAKRTSKHQQVQEALAKALELLSTEDSHLSIEESQKWSGILLSWVFGKSSSNEIRSSAIRILSCILEDHGPSSVPISQGWLALLLNDILVSSKASSVKEGIDKVKTQIDQSNILSAGQTANQLAVGVVSMAGNWLTGSVDTSPLADLLSLDPFAGPYKNLKKDNPPKLDVADSALATLKGIKALTGICAEDSLLQDKITDLGLLCLLRRYLLRDDYEKLAAIEAYDASRAPEAQERVSSTEGEVSPSNTNNPSSVRVPPTAHIRRHAARLLAILSLLPKVQKVIAADEAWCKWLEDCADGKISSINDLKIRSYARAILLNVICHQQIGIDSINSGPENGSRDGTSICPSYDDMIFLINPERPHWKCPGKDQSSVQKDKSSSNEVDTTNSDSTAVTQVSDVADSSKSFNVSNNDSDSEIPQMDIVFVHGLRGGPYKTWRIAEDKSSTKSGLVEKIDEEAGKLGTFWPGEWLSADFPQARLFSLKYKTNLTQWSGASLPLQEVSSMLLKKLVVAGIGSRPVVFVTHSLGGLVVKQMLFKAKAENMDDLVNNTVGVVFYSCPHFGSKLADMPWRMGLVLRPAPTIGELRSGSPRLVQLNDFLRRLHKKQMLQVLSFCETKVTPIVEGYGGWAFRMEIVPIESAYPGFGELVVLESTDHINSCKPLSRSDPSYTETLEFLRKLKAQYT >KJB14535 pep chromosome:Graimondii2_0_v6:2:20194087:20195920:1 gene:B456_002G129800 transcript:KJB14535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNHLSYPNSLFFTFNINRLFRYRVNITCIYIDSVLMMKVICTMAHLLHRLLIFTILIAMAATVTPITHQHFKEASKFYNSAACLSISEPYILCSHQALHVAMTIDKAYIRGSMAAILSVLQHSSCPHNIAFHFLISATANASLLRATISSSFPYLYFRLYPFDHSSVAPLISTSIRSALDCPLNYARIYLASLLPSCIHRVLYLDSDLILVDDIAELAAIQLGDNSVLAATEYCSANFASYFTPTFWSNPCLSLTFANRKACYFNTGVMVMDLDRWREGDYTRKIEEWMEIQKRTRIYELGSLPPFLLVFAGNIVPVDHRWNQHGLGGDNFDGLCRDLHPGPVSVLHWSGKGKPWARLDAKTPCLLDALWASYDLLDTSFAFDS >KJB13894 pep chromosome:Graimondii2_0_v6:2:13107058:13108121:1 gene:B456_002G102600 transcript:KJB13894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRHSFQPDSLCNSSTAVPFSSNPTGEEDRVAAGSRNRSPRAASSSILIRMVMRMSRARWFTFLRRVFHYQNGSRSDLGSNPFNSSTWMMLEFLILVIQISIITFTLAIAKKEKPVWPMRIWIVGYDIGCALSLLLLYGRYHHLHITQGDGFGLADVEQQRTNEESRSTHLMNKCRTSLELFFAIWFVMGNVWVFDSRFGSFHRAPNLHVLCISLLAWNALCYSFPFLLFLLLCCCVPLISSLLGYNMNMGSTDRGASDDQISRLPSWRYKEISTNSELDHNSDHTNEDPVSHI >KJB13895 pep chromosome:Graimondii2_0_v6:2:13106998:13108683:1 gene:B456_002G102600 transcript:KJB13895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRHSFQPDSLCNSSTAVPFSSNPTGEEDRVAAGSRNRSPRAASSSILIRMVMRMSRARWFTFLRRVFHYQNGSRSDLGSNPFNSSTWMMLEFLILVIQISIITFTLAIAKKEKPVWPMRIWIVGYDIGCALSLLLLYGRYHHLHITQGDGFGLADVEQQRTNEESRSTHLMNKCRTSLELFFAIWFVMGNVWVFDSRFGSFHRAPNLHVLCISLLAWNALCYSFPFLLFLLLCCCVPLISSLLGYNMNMGSTDRGASDDQISRLPSWRYKEISTNSELDHNSDHTNEDPECCICLAKYKDKEEVRQLPCSHMFHLKCVDQWLRIISCCPLCKQELER >KJB11806 pep chromosome:Graimondii2_0_v6:2:10717796:10717889:1 gene:B456_002G0845003 transcript:KJB11806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLEEAPGYEIEVNYNHFGANPPPEMNPV >KJB11805 pep chromosome:Graimondii2_0_v6:2:10717595:10717889:1 gene:B456_002G0845003 transcript:KJB11805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDIQQNNILEVFNNGYFENIQNIIVAGDEVPMVGLEEAPGYEIEVNYNHFGANPPPEMNPV >KJB16008 pep chromosome:Graimondii2_0_v6:2:55489185:55492044:1 gene:B456_002G208300 transcript:KJB16008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFRKLNRPTGHRMSMLRTMVSQLVKHERIETTVAKAKEIRRLADNMVQLGKEGSLCAARHAAAFVRGDDVIHKLFTELAYRYKDRAGGYTRLLRTRIRVGDAAPMAYIEFIDRENELRQSKPPTPQPPQRPSLDPWTRSRLSRQFAPPKEEKSSESEI >KJB16009 pep chromosome:Graimondii2_0_v6:2:55489220:55492000:1 gene:B456_002G208300 transcript:KJB16009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFRKLNRPTGHRMSMLRTMVSQLVKHERIETTVAKAKEIRRLADNMVQLGKEGSLCAARHAAAFVRGDDVIHKLFTELAYRYKAGGYTRLLRTRIRVGDAAPMAYIEFIDRENELRQSKPPTPQPPQRPSLDPWTRSRLSRQFAPPKEEKSSESEI >KJB16010 pep chromosome:Graimondii2_0_v6:2:55489220:55492000:1 gene:B456_002G208300 transcript:KJB16010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFRKLNRPTGHRMSMLRTMVSQLVKHERIETTVAKAKEIRRLADNMVQLGKEGSLCAARHAAAFVRGDDVIHKLFTELAYRYNPMCYRDRAGGYTRLLRTRIRVGDAAPMAYIEFIDRENELRQSKPPTPQPPQRPSLDPWTRSRLSRQFAPPKEEKSSESEI >KJB16573 pep chromosome:Graimondii2_0_v6:2:59949969:59951181:-1 gene:B456_002G237200 transcript:KJB16573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGSSETKRLDGKVALITGGASGLGECTTRLFVKHGAKVLIADIQDELAHSLCQELGTENISYVHCDVTCESDVENAVNLVVSKYGKLDIMFNNAGITGDSEVRVTDASTEDFKRVFDTNVLGGFLGAKYAARAMVPAKKGCILFTSSIVSKISTGLPHAYKASKHAVTGLTKSLSVELGEHGIRVNCISPSAIVTPLFQKSIGNFDKKKGEEMLAVSSVLKGTILEPEDFANAALYLASDEAKFISGVNLPVDGGYSLSNQSWKTGFAALFE >KJB15547 pep chromosome:Graimondii2_0_v6:2:48629115:48632015:1 gene:B456_002G183800 transcript:KJB15547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLSQITLRTRFRKRSLDDRAQKLKKLKWQANHRLYPLLEESTSKLQREIVRVLYHRHVHTRHERPEEVDLVDDPNNCKYHRLISHPFNKCFVFKDRIMQLNKERKIEFEEKHKPKQFIQNVDAPKHKPKQFIQNDDALKHELKLFNPNNDAPKHELK >KJB14863 pep chromosome:Graimondii2_0_v6:2:27061719:27063436:-1 gene:B456_002G146900 transcript:KJB14863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPCEEFPTKGLDGAPSNDIGWHFGTQVPNAKGNIVCKLCGKVVKGGITKFKEHIAHKTDNVAPCPNVTGVIRESMMNLLKEGNTKKVDKKRRKDEFLSQLREEEDEHEEFIDEVSAIRQATRESIQSQHEWHRREEFRRSTGAWDNIYEEGRSSQGLAREYHRERTSKSIPSESEFTLRGAIPELVRSKSSKQPKVNGSFLKSFRRKIGEAEFKESK >KJB16318 pep chromosome:Graimondii2_0_v6:2:57775835:57778096:-1 gene:B456_002G222900 transcript:KJB16318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIRCKAAVAWEAGKPLSIEEVEVAPPQKHEVRIKILFTALCHTDVYYWECKGYTPLFPRILGHEASGIVESVGEGVNDLKPGDHVLPIFTGECKECRHCLSEESNNMCELLRINCDRGVMLADGKTRFSINGKPIYHFVGTSTFSQYTVVHVGQVAKISPTAPLDKVCPISCGICTGFGATVNVAKPKKGQTVAVFGLGAVGLAAAEGARVCGASRIIAVDVNPRRFEEAKRFGITEFVNPKDYDKPVQEVIVEMTGGGVDRSVECTGSVQAMISAFECVHDGWGVCVLVGVPKKDDAFKTHPMNLLSERTLKGAFYGNYKPRTDIPMVVDKYLNKELGLDMDKFITHSVPFADINKAFDYMLQGIGLRCMIHLDA >KJB16319 pep chromosome:Graimondii2_0_v6:2:57776291:57777937:-1 gene:B456_002G222900 transcript:KJB16319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIRCKAAVAWEAGKPLSIEEVEVAPPQKHEVRIKILFTALCHTDVYYWECKGYTPLFPRILGHEASGIVESVGEGVNDLKPGDHVLPIFTGECKECRHCLSEESNNMCELLRINCDRGVMLADGKTRFSINGKPIYHFVGTSTFSQYTVVHVGQVAKISPTAPLDKVCPISCGICTGFGATVNVAKPKKGQTVAVFGLGAVGLAAAEGARVCGASRIIAVDVNPRRFEEAKRFGITEFVNPKDYDKPVQEVIVEMTGGGVDRSVECTGSVQAMISAFECVHDGWGVCVLVGVPKKDDAFKTHPMNLLSERTLKGAFYGNYKPRTDIPMVVDKYLNKVR >KJB16320 pep chromosome:Graimondii2_0_v6:2:57776537:57777937:-1 gene:B456_002G222900 transcript:KJB16320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIRCKAAVAWEAGKPLSIEEVEVAPPQKHEVRIKILFTALCHTDVYYWECKGYTPLFPRILGHEASGIVESVGEGVNDLKPGDHVLPIFTGECKECRHCLSEESNNMCELLRINCDRGVMLADGKTRFSINGKPIYHFVGTSTFSQYTVVHVGQVAKISPTAPLDKVCPISCGICTGFGATVNVAKPKKGQTVAVFGLGAVGLAAAEGARVCGASRIIAVDVNPRRFEEAKRFGITEFVNPKDYDKPVQEVIVEMTGGGVDRSVECTGSVQAMISAFECVHDVI >KJB16316 pep chromosome:Graimondii2_0_v6:2:57775835:57778096:-1 gene:B456_002G222900 transcript:KJB16316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIRCKAAVAWEAGKPLSIEEVEVAPPQKHEVRIKILFTALCHTDVYYWECKGYTPLFPRILGHEASGIVESVGEGVNDLKPGDHVLPIFTGECKECRHCLSEESNNMCELLRINCDRGVMLADGKTRFSINGKPIYHFVGTSTFSQYTVVHVGQVAKISPTAPLDKVCPISCGICTGFGATVNVAKPKKGQTVAVFGLGAVGLAVSARVCGASRIIAVDVNPRRFEEAKRFGITEFVNPKDYDKPVQEVIVEMTGGGVDRSVECTGSVQAMISAFECVHDGWGVCVLVGVPKKDDAFKTHPMNLLSERTLKGAFYGNYKPRTDIPMVVDKYLNKELGLDMDKFITHSVPFADINKAFDYMLQGIGLRCMIHLDA >KJB16315 pep chromosome:Graimondii2_0_v6:2:57775835:57778096:-1 gene:B456_002G222900 transcript:KJB16315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIRCKAAVAWEAGKPLSIEEVEVAPPQKHEVRIKILFTALCHTDVYYWECKGYTPLFPRILGHEASGIVESVGEGVNDLKPGDHVLPIFTGECKECRHCLSEESNNMCELLRINCDRGVMLADGKTRFSINGKPIYHFVGTSTFSQYTVVHVGQVAKISPTAPLDKVCPISCGICTGFGATVNVAKPKKGQTVAVFGLGAVGLAAAEGARVCGASRIIAVDVNPRRFEEAKRFGITEFVNPKDYDKPVQEVIVEMTGGGVDRSVECTGSVQAMISAFECVHDGWGVCVLVGVPKKDDAFKTHPMNLLSERTLKGAFYGNYKPRTDIPMVVDKYLNKVSWGWIWTSSSHTLCLLPILTRHSTTCSRV >KJB16321 pep chromosome:Graimondii2_0_v6:2:57775835:57778096:-1 gene:B456_002G222900 transcript:KJB16321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIRCKAAVAWEAGKPLSIEEVEVAPPQKHEVRIKILFTALCHTDVYYWECKGYTPLFPRILGHEASGIVESVGEGVNDLKPGDHVLPIFTGECKECRHCLSEESNNMCELLRINCDRGVMLADGKTRFSINGKPIYHFVGTSTFSQYTVVHVGQVAKISPTAPLDKVCPISCGICTGFGATVNVAKPKKGQTVAVFGLGAVGLAAAEGARVCGASRIIAVDVNPRRFEEEFVNPKDYDKPVQEVIVEMTGGGVDRSVECTGSVQAMISAFECVHDGWGVCVLVGVPKKDDAFKTHPMNLLSERTLKGAFYGNYKPRTDIPMVVDKYLNKELGLDMDKFITHSVPFADINKAFDYMLQGIGLRCMIHLDA >KJB16317 pep chromosome:Graimondii2_0_v6:2:57776104:57777937:-1 gene:B456_002G222900 transcript:KJB16317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIRCKAAVAWEAGKPLSIEEVEVAPPQKHEVRIKILFTALCHTDVYYWECKVLLFDIMNITTFIFCKYCHCFPLTWQGYTPLFPRILGHEASGIVESVGEGVNDLKPGDHVLPIFTGECKECRHCLSEESNNMCELLRINCDRGVMLADGKTRFSINGKPIYHFVGTSTFSQYTVVHVGQVAKISPTAPLDKVCPISCGICTGFGATVNVAKPKKGQTVAVFGLGAVGLAAAEGARVCGASRIIAVDVNPRRFEEAKRFGITEFVNPKDYDKPVQEVIVEMTGGGVDRSVECTGSVQAMISAFECVHDGWGVCVLVGVPKKDDAFKTHPMNLLSERTLKGAFYGNYKPRTDIPMVVDKYLNKELGLDMDKFITHSVPFADINKAFDYMLQGIGLRCMIHLDA >KJB16314 pep chromosome:Graimondii2_0_v6:2:57775804:57778097:-1 gene:B456_002G222900 transcript:KJB16314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIRCKAAVAWEAGKPLSIEEVEVAPPQKHEVRIKILFTALCHTDVYYWECKGYTPLFPRILGHEASGIVESVGEGVNDLKPGDHVLPIFTGECKECRHCLSEESNNMCELLRINCDRGVMLADGKTRFSINGKPIYHFVGTSTFSQYTVVHVGQVAKISPTAPLDKVCPISCGICTGFGATVNVAKPKKGQTVAVFGLGAVGLAAAEGARVCGASRIIAVDVNPRRFEEAKRFGITEFVNPKDYDKPVQEVIVEMTGGGVDRSVECTGSVQAMISAFECVHDGWGVCVLVGVPKKDDAFKTHPMNLLSERTLKGAFYGNYKPRTDIPMVVDKYLNKELGLDMDKFITHSVPFADINKAFDYMLQGIGLRCMIHLDA >KJB17222 pep chromosome:Graimondii2_0_v6:2:54860646:54860745:-1 gene:B456_002G2053003 transcript:KJB17222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLKNLKQEESTALNETYATVSILREVQAVTLSI >KJB13007 pep chromosome:Graimondii2_0_v6:2:4406585:4410326:1 gene:B456_002G050900 transcript:KJB13007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAGSTPAKGDGNVKKIRKPKPWKHPQPITKSQLEQMREEFWDTAPHYGGSKEIWDALKAATKQDLTFAQAIVDSAGVIVQSADLTICYDERGAKYELPKYVLSEPTNLIREI >KJB13010 pep chromosome:Graimondii2_0_v6:2:4406582:4410254:1 gene:B456_002G050900 transcript:KJB13010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAGSTPAKGDGNVKKIRKPKPWKHPQPITKSQLEQMREEFWDTAPHYGGSKEIWDALKAATKQDLTFAQAIVDSAGVIVQSADLTICYDERGAKYELPKYVLSEPTNLIREI >KJB13011 pep chromosome:Graimondii2_0_v6:2:4407782:4410289:1 gene:B456_002G050900 transcript:KJB13011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSKEVVLECLGGNVKKIRKPKPWKHPQPITKSQLEQMREEFWDTAPHYGGSKEIWDALKAATKQDLTFAQAIVDSAGVIVQSADLTICYDERGAKYELPKYVLSEPTNLIREI >KJB13009 pep chromosome:Graimondii2_0_v6:2:4406585:4410254:1 gene:B456_002G050900 transcript:KJB13009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAGSTPAKGDGNVKKIRKPKPWKHPQPITKSQLEQMREEFWDTAPHYGGSKEIWDALKAATKQDLTFAQAIVDSAGVIVQSADLTICYDERGAKYELPKYVLSEPTNLIREI >KJB13008 pep chromosome:Graimondii2_0_v6:2:4406585:4410289:1 gene:B456_002G050900 transcript:KJB13008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAGSTPAKGDGNVKKIRKPKPWKHPQPITKSQLEQMREEFWDTAPHYGGSKEIWDALKAATKQDLTFAQAIVDSAGVIVQSADLTICYDERGAKYELPKYVLSEPTNLIREI >KJB13302 pep chromosome:Graimondii2_0_v6:2:7855516:7858069:1 gene:B456_002G067300 transcript:KJB13302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYHHFDNGDTHLPPGFRFHPTDEELITYYLLKKVLDSSFTGRAIAEVDLNKCEPWELPEKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTSALVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHYLSRSSKDEWVISRVFQKSGSGNGASSSNGGGARKTGRMSASIALYQEPSSPSTISLPPLLDPTTTAVSLTDRDSCSYDSHTQSEHVSCFSTIAAAAASAAATSTTTPHLFHPGFDLAMPPQSPQMMNNGFDSISRYSRNPGVSVFPSLRSLQENLQFPFFFSQPTMAAAPPLHGGSPLNFGAVSEEGNNGSGAGAKISIGPSEFDCMWTY >KJB12677 pep chromosome:Graimondii2_0_v6:2:2244589:2247207:1 gene:B456_002G030500 transcript:KJB12677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSSSENLILVSREPDGIATVTINRPASLNSLSQLMITDLARAFKDLGRDGSVRVIILSGSGRAFCSGLDLTAAEQVFKGGMKDKEYDPVFQMEQCPKPIIGAINGVAVTAGFEIALACDILVAAKGTKFMDTHTRFGIVPSWGLSQKLPRIIGPNKAREVSLTAMPFTAEEAQKLGFVNYVVEGTELLKKAREIARVVAHNNHDIVIRYKSVINDGFKLDLHHALALEKERAYKYYEKMTTEQFKKMQEFVAVRSSKKSSKL >KJB15233 pep chromosome:Graimondii2_0_v6:2:41077288:41099042:-1 gene:B456_002G166300 transcript:KJB15233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSMNVPSKRSTLERKLDKLILTLFGTLFTMCLIGAIGSGVFIDRKYYFLGLSKSVEDQFNPNRRFLVVLLTMLTLLTLYSTIIPISLYVSIEMVKFIQSTQFINKDLNMYHAETDTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCTIGGEIYGTGMTEIERGVAERKGIKVQEVPTSINSVREKGFNFDDVRLMRGAWRNEPNPEACKEFFRCLAICHTVLPEGDESPEKIKYQAASPDEAALVLAAKHFGYFFYRRTPTMIYVRESHVERMGKIQDVSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIYERLVGGSDDLKKVTREHLEKFGSAGLRTLCLAYKDLAPDVYESWNEKFIQAKSSLRDRERKLDEVAELIEKDLILIGATAIEDKLQEGVPDCIETLSRAGIKIWVLTGDKMETAINIAYACNLLNNEMKQFIISSETDAIREVEERGDQVEIARFIKEEVKKQLKKCLDEAQQYFHGVSGPKLALIIDGKCLMYALDPSLRIMLLNLSLNCSSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHIGVGISGLEGMQAVMASDFAIAQFRFLKDLLLVHGRWSYIRLCKVVTYFFYKNLTFTLTQFWFTFYTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSSSLSKRYPELYKEGIKNMFFKWRVVAIWAFFAVYQSLVFYYFVTVSSSTSQGSSGKMFGLWDVSTMAFTCVVVTVNLRLLMICNSITRWHYISVGGSIVAWFLFIFLYSGIMTPYDRQVKYLLGHIRLDEYILFLHHSSSCSCCCTSGRFPLLRCAKMVLPL >KJB15231 pep chromosome:Graimondii2_0_v6:2:41077011:41108447:-1 gene:B456_002G166300 transcript:KJB15231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWDNIRSSTRSQQGRSHSLNQREPSRTVTLGRVQPQAPAFRTIYCNDRDANFAHRYRGNSVSTTKYNFFTFLPKGLYEQFRRVANLYFLMVSILSATPYSPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMAINSTPVDVLQDQRWESIPWKKLQVGDIIRVKQDGFFPADMLLLASTNADGVCYIETANLDGETNLKIRKALERTWDYVTPEKACEFKGEVQCEQPNNSLYTFTGNLVIDNQTLPLSPNQILLRGCSLKNTEFVVGVVIFTGHETKVMMNSMNVPSKRSTLERKLDKLILTLFGTLFTMCLIGAIGSGVFIDRKYYFLGLSKSVEDQFNPNRRFLVVLLTMLTLLTLYSTIIPISLYVSIEMVKFIQSTQFINKDLNMYHAETDTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCTIGGEIYGTGMTEIERGVAERKGIKVQEVPTSINSVREKGFNFDDVRLMRGAWRNEPNPEACKEFFRCLAICHTVLPEGDESPEKIKYQAASPDEAALVLAAKHFGYFFYRRTPTMIYVRESHVERMGKIQDVSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIYERLVGGSDDLKKVTREHLEKFGSAGLRTLCLAYKDLAPDVYESWNEKFIQAKSSLRDRERKLDEVAELIEKDLILIGATAIEDKLQEGVPDCIETLSRAGIKIWVLTGDKMETAINIAYACNLLNNEMKQFIISSETDAIREVEERGDQVEIARFIKEEVKKQLKKCLDEAQQYFHGVSGPKLALIIDGKCLMYALDPSLRIMLLNLSLNCSSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHIGVGISGLEGMQAVMASDFAIAQFRFLKDLLLVHGRWSYIRLCKVVTYFFYKNLTFTLTQFWFTFYTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSSSLSKRYPELYKEGIKNMFFKWRVVAIWAFFAVYQSLVFYYFVTVSSSTSQGSSGKMFGLWDVSTMAFTCVVVTVNLRLLMICNSITRWHYISVGGSIVAWFLFIFLYSGIMTPYDRQENIFWVIYVLMSTFYFYITLLLVPVAALLGDFLYLGVQRWFFPYDYQIVQEIHKDEADDSGRTDLLGIDNQLTPDEARSYALSQLPRELSKHTGFAFDSPGYESFFASQLGVYAPQKAWDVARRASMRSKPKPKPNKIN >KJB15232 pep chromosome:Graimondii2_0_v6:2:41078256:41099042:-1 gene:B456_002G166300 transcript:KJB15232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSMNVPSKRSTLERKLDKLILTLFGTLFTMCLIGAIGSGVFIDRKYYFLGLSKSVEDQFNPNRRFLVVLLTMLTLLTLYSTIIPISLYVSIEMVKFIQSTQFINKDLNMYHAETDTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCTIGGEIYGTGMTEIERGVAERKGIKVQEVPTSINSVREKGFNFDDVRLMRGAWRNEPNPEACKEFFRCLAICHTVLPEGDESPEKIKYQAASPDEAALVLAAKHFGYFFYRRTPTMIYVRESHVERMGKIQDVSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIYERLVGGSDDLKKVTREHLEKFGSAGLRTLCLAYKDLAPDVYESWNEKFIQAKSSLRDRERKLDEVAELIEKDLILIGATAIEDKLQEGVPDCIETLSRAGIKIWVLTGDKMETAINIAYACNLLNNEMKQFIISSETDAIREVEERGDQVEIARFIKEEVKKQLKKCLDEAQQYFHGVSGPKLALIIDGKCLMYALDPSLRIMLLNLSLNCSSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHIGVGISGLEGMQAVMASDFAIAQFRFLKDLLLVHGRWSYIRLCKVVTYFFYKNLTFTLTQFWFTFYTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSSSLSKRYPELYKEGIKNMFFKWRVVAIWAFFAVYQSLVFYYFVTVSSSTSQGSSGKMFGLWDVSTMAFTCVVVTVNLRLLMICNSITRWHYISVGGSIVAWFLFIFLYSGIMTPYDRQVSG >KJB15234 pep chromosome:Graimondii2_0_v6:2:41077288:41099042:-1 gene:B456_002G166300 transcript:KJB15234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSMNVPSKRSTLERKLDKLILTLFGTLFTMCLIGAIGSGVFIDRKYYFLGLSKSVEDQFNPNRRFLVVLLTMLTLLTLYSTIIPISLYVSIEMVKFIQSTQFINKDLNMYHAETDTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCTIGGEIYGTGMTEIERGVAERKGIKVQEVPTSINSVREKGFNFDDVRLMRGAWRNEPNPEACKEFFRCLAICHTVLPEGDESPEKIKYQAASPDEAALVLAAKHFGYFFYRRTPTMIYVRESHVERMGKIQDVSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIYERLVGGSDDLKKVTREHLEKFGSAGLRTLCLAYKDLAPDVYESWNEKFIQAKSSLRDRERKLDEVAELIEKDLILIGATAIEDKLQEGVPDCIETLSRAGIKIWVLTGDKMETAINIAYACNLLNNEMKQFIISSETDAIREVEERGDQVEIARFIKEEVKKQLKKCLDEAQQYFHGVSGPKLALIIDGKCLMYALDPSLRIMLLNLSLNCSSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHIGVGISGLEGMQAVMASDFAIAQFRFLKDLLLVHGRWSYIRLCKVVTYFFYKNLTFTLTQFWFTFYTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSSSLSKRYPELYKEGIKNMFFKWRVVAIWAFFAVYQSLVFYYFVTVSSSTSQGSSGKMFGLWDVSTMAFTCVVVTVNLRLLMICNSITRWHYISVGGSIVAWFLFIFLYSGIMTPYDRQENIFWVIYVLMSTFYFYITLLLVPVAALLGDFLYLGVQRWFFPYDYQIVQEIHKDEADDSGRTDLLGIDNQLTPDEARSYALSQLPRELSKHTGFAFDSPGYESFFASQLGVYAPQKAWDVARRASMRSKPKPKPNKIN >KJB13870 pep chromosome:Graimondii2_0_v6:2:12592250:12595461:-1 gene:B456_002G099100 transcript:KJB13870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-1 [Source:Projected from Arabidopsis thaliana (AT3G13170) UniProtKB/Swiss-Prot;Acc:Q9M4A2] MEGIHSSTRSRDLLRKIKEFTLSILEDLSEGLSPLIFIQSFRTYCTNLDSICSCRSNKPSGHEILTFQKESHVHRIDVLLRVLLIVQQLLQENKHASKRDIYYMHPLIFSDQSVVDRAINDICILLQCSRHNLNVVSVGNGLVMGWLRFFEAGRKFDCINSPNTVRMGERSLNLVSAALYILVVEKESVFQRLENDKFCSKNRCIVITGRGYPDVPTRRFLRLLVDKLCLPVYCLVDCDPYGFDILATYRFGSMQMAYDAKFLRVPQIQWLGAFPSDSENYDLPKQCLLPLTIEGDTYSKDQWLPPAPS >KJB14163 pep chromosome:Graimondii2_0_v6:2:23553238:23554447:-1 gene:B456_002G138600 transcript:KJB14163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAINGMIRVLHKNPLARSLWARNSCSKLFVGGLSYDTNETVLKNAFEKYGEIIEAVRVIAHHVSGKSRGYGFVRFTSDASAKVAFKEMHSKVLDGRNIRVEFARKNS >KJB14160 pep chromosome:Graimondii2_0_v6:2:23552767:23554805:-1 gene:B456_002G138600 transcript:KJB14160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAINGMIRVLHKNPLARSLWARNSCSKLFVGGLSYDTNETVLKNAFEKYGEIIEVRVIAHHVSGKSRGYGFVRFTSDASAKVAFKEMHSKVLDGRNIRVEFARKNS >KJB14164 pep chromosome:Graimondii2_0_v6:2:23553238:23554447:-1 gene:B456_002G138600 transcript:KJB14164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAINGMIRVLHKNPLARSLWARNSCSKLFVGGLSYDTNETVLKNAFEKYGEIIEAVRVIAHHVSGKSRGYGFVRFTSDASAKVAFKEMHSKVLDGRNIRVEFARKNS >KJB14159 pep chromosome:Graimondii2_0_v6:2:23552593:23554830:-1 gene:B456_002G138600 transcript:KJB14159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAINGMIRVLHKNPLARSLWARNSCSKLFVGGLSYDTNETVLKNAFEKYGEIIEVRVIAHHVSGKSRGYGFVRFTSDASAKVAFKEMHSKAMAGDSGCGLTDALCYISDLTVNASADATTGLVQFWFDTDEPTTILAFRYSNLLQLMLRTIT >KJB14162 pep chromosome:Graimondii2_0_v6:2:23552593:23554805:-1 gene:B456_002G138600 transcript:KJB14162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAINGMIRVLHKNPLARSLWARNSCSKLFVGGLSYDTNETVLKNAFEKYGEIIEVRVIAHHVSGKSRGYGFVRFTSDASAKVAFKEMHSKVLDGRNIRVEFARKNS >KJB14165 pep chromosome:Graimondii2_0_v6:2:23553238:23554447:-1 gene:B456_002G138600 transcript:KJB14165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAINGMIRVLHKNPLARSLWARNSCSKLFVGGLSYDTNETVLKNAFEKYGEIIEVRVIAHHVSGKSRGYGFVRFTSDASAKVAFKEMHSKVLDGRNIRVEFARKNS >KJB14166 pep chromosome:Graimondii2_0_v6:2:23553238:23554447:-1 gene:B456_002G138600 transcript:KJB14166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAINGMIRVLHKNPLARSLWARNSCSKLFVGGLSYDTNETVLKNAFEKYGEIIEVRVIAHHVSGKSRGYGFVRFTSDASAKVAFKEMHSKVLDGRNIRVEFARKNS >KJB14161 pep chromosome:Graimondii2_0_v6:2:23553238:23554447:-1 gene:B456_002G138600 transcript:KJB14161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAINGMIRVLHKNPLARSLWARNSCSKLFVGGLSYDTNETVLKNAFEKYGEIIEAVRVIAHHVSGKSRGYGFVRFTSDASAKVAFKEMHSKVLDGRNIRVEFARKNS >KJB14167 pep chromosome:Graimondii2_0_v6:2:23552593:23554830:-1 gene:B456_002G138600 transcript:KJB14167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAINGMIRVLHKNPLARSLWARNSCSKLFVGGLSYDTNETVLKNAFEKYGEIIEVRVIAHHVSGKSRGYGFVRFTSDASAKVAFKEMHSKAMAGDSGCGLTDALCYISDLTVNASADATTGLVQFWFDTDEPTTILAFRYSNLLQLMLRTIT >KJB13898 pep chromosome:Graimondii2_0_v6:2:12776342:12781629:-1 gene:B456_002G100800 transcript:KJB13898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLPLRVFLSSQTQETPSKPLKLSKRSNNHKTSISTAKKGLLSPSHKMHKLNLEVSPHRAVSAVRLMRIEFGGAFADLLNEKGKGSGDNEMGYVERTLGFRTRDLDDRDLRLVTDIVGGTIRWRRYLDHLIGSLCHDESMFRSMEPLLLQILRIGFYEIVKLNMPPYAVVDENVKLAKVALRPGAGNMVNGILRKLVLVKENNSLPLPKLEGDSRAQARALATLYSHPVWMVRRWTKYLGQEEAIQLMMWNNSDPSFSLRANAAKGITRDDLVMQLNSLKNVIRAGLLKEGLCSVQDESAGLAVSVVDPQPGEDIIDCCAAPGGKTLYMASRLRGKGKVHAIDINKGRLRILKETAKLQKVDGVVDTIHADLRTFAESSPMKSGKVLLDAPCSGLGVLSKRSDLRWNRRLEDMEQLKNLQDELLDAASTLVSSGGVLIYSTCSIDPEENKDRVEAFLVRHPEFRIDPVNRYVPSDFVTKQGFYFSDPVKHSLDGAFAARLVRTL >KJB13897 pep chromosome:Graimondii2_0_v6:2:12776339:12781629:-1 gene:B456_002G100800 transcript:KJB13897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLPLRVFLSSQTQETPSKPLKLSKRSNNHKTSISTAKKGLLSPSHKMHKLNLEVSPHRAVSAVRLMRIEFGGAFADLLNEKGKGSGDNEMGYVERTLGFRTRDLDDRDLRLVTDIVGGTIRWRRYLDHLIGSLCHDESMFRSMEPLLLQILRIGFYEIVKLNMPPYAVVDENVKLAKVALRPGAGNMVNGILRKLVLVKENNSLPLPKLEGDSRAQARALATLYSHPVWMVRRWTKYLGQEEAIQLMMWNNSDPSFSLRANAAKGITRDDLVMQLNSLKVPHEVSLHLDDFVRVKIGLQNVIRAGLLKEGLCSVQDESAGLAVSVVDPQPGEDIIDCCAAPGGKTLYMASRLRGKGKVHAIDINKGRLRILKETAKLQKVDGVVDTIHADLRTFAESSPMKSGKVLLDAPCSGLGVLSKRSDLRWNRRLEDMEQLKNLQDELLDAASTLVSSGGVLIYSTCSIDPEENKDRVEAFLVRHPEFRIDPVNRYVPSDFVTKQGFYFSDPVKHSLDGAFAARLVRTL >KJB13900 pep chromosome:Graimondii2_0_v6:2:12776375:12781435:-1 gene:B456_002G100800 transcript:KJB13900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLPLRVFLSSQTQETPSKPLKLSKRSNNHKTSISTAKKGLLSPSHKMHKLNLEVSPHRAVSAVRLMRIEFGGAFADLLNEKGKGSGDNEMGYVERTLGFRTRDLDDRDLRLVTDIVGGTIRWRRYLDHLIGSLCHDESMFRSMEPLLLQILRIGFYEIVKLNMPPYAVVDENVKLAKVALRPGAGNMVNGILRKLVLVKWMVRRWTKYLGQEEAIQLMMWNNSDPSFSLRANAAKGITRDDLVMQLNSLKVPHEVSLHLDDFVRVKIGLQNVIRAGLLKEGLCSVQDESAGLAVSVVDPQPGEDIIDCCAAPGGKTLYMASRLRGKGKVHAIDINKGRLRILKETAKLQKVDGVVDTIHADLRTFAESSPMKSGKVLLDAPCSGLGVLSKRSDLRWNRRLEDMEQLKNLQDELLDAASTLVSSGGVLIYSTCSIDPEENKDRVEAFLVRHPEFRIDPVNRYVPSDFVTKQGFYFSDPVKHSLDGAFAARLVRTL >KJB13899 pep chromosome:Graimondii2_0_v6:2:12777063:12781429:-1 gene:B456_002G100800 transcript:KJB13899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLPLRVFLSSQTQETPSKPLKLSKRSNNHKTSISTAKKGLLSPSHKMHKLNLEVSPHRAVSAVRLMRIEFGGAFADLLNEKGKGSGDNEMGYVERTLGFRTRDLDDRDLRLVTDIVGGTIRWRRYLDHLIGSLCHDESMFRSMEPLLLQILRIGFYEIVKLNMPPYAVVDENVKLAKVALRPGAGNMVNGILRKLVLVKENNSLPLPKLEGDSRAQARALATLYSHPVWMVRRWTKYLGQEEAIQLMMWNNSDPSFSLRANAAKGITRDDLVMQLNSLKVPHEVSLHLDDFVRVKIGLQNVIRAGLLKEGLCSVQDESAGLAVSVVDPQPGEDIIDCCAAPGGKTLYMASRLRGKGKVHAIDINKGRLRILKETAKLQKVDGVVDTIHADLRTFAESSPMKSGKVLLDAPCSGLGVLSKRSDLRWNRRLEDMEQLKNLQDELLDAASTLVSSGGVLIYSTCSIDPEENKDRVEAFLVRHPVREQWLFYEPLC >KJB13901 pep chromosome:Graimondii2_0_v6:2:12776375:12781601:-1 gene:B456_002G100800 transcript:KJB13901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSMEPLLLQILRIGFYEIVKLNMPPYAVVDENVKLAKVALRPGAGNMVNGILRKLVLVKENNSLPLPKLEGDSRAQARALATLYSHPVWMVRRWTKYLGQEEAIQLMMWNNSDPSFSLRANAAKGITRDDLVMQLNSLKVPHEVSLHLDDFVRVKIGLQNVIRAGLLKEGLCSVQDESAGLAVSVVDPQPGEDIIDCCAAPGGKTLYMASRLRGKGKVHAIDINKGRLRILKETAKLQKVDGVVDTIHADLRTFAESSPMKSGKVLLDAPCSGLGVLSKRSDLRWNRRLEDMEQLKNLQDELLDAASTLVSSGGVLIYSTCSIDPEENKDRVEAFLVRHPEFRIDPVNRYVPSDFVTKQGFYFSDPVKHSLDGAFAARLVRTL >KJB12151 pep chromosome:Graimondii2_0_v6:2:162086:169717:-1 gene:B456_002G003200 transcript:KJB12151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVTAESSSGSRGGSGKDNRTVSESLNLVSDKGKEKEEDLRISDRETDRDSGDNNESNSNHLEGSSGLNMETTGGDEDDHNDNRGDVGSLQQNLTSASSALQGLLRKLGAGIDDFLPSSPMGSSSSSHQNWRLKRILSGLSADGEEGRQVEALIELCEILSIGTEESLSTFSVDLFVPVLVGMLNHESNPDIMILAARALTHLCDVMPSSCAAVVHYGAVSSFCAKLLTIEYIDLAEQSLLALKKISQEHPTPCLRAGALMAVLSYLDFFATGVQRVALSTAANMCRNLPSDAADYVMDAVPPLTNLLQYHDSKVLEHASVCLTRISEAFASSPDKLDEFCSHGLVTQAASLISTSNSGGGQGSLSTPTYTGLIRLLSTCASGSPVGAKTLLMLGISGILKDILVGSDISANSAVPPTLSRPAEQIFEIVNLANELLPPLPQGTISLPASSNIFFKGSVVKESPTSSSEKEDNTDGNASEVSAREKLLHEQPDVLQQFGMDLLPVLIQIYGSSVNTSIRHKCLSVIGKLMYFSSAEMIQNLLSVTNLSSFLAGILASKDPHVLVPSLKVAEILLEKLPATFSKMFVREGVVHAVDQLVLIGNQNNTPSLASYVEKDNDSVSGMSSRSRRYRRRSYNSNPEGSSVEESKNPASLNIGSPPSSVETPTTNLRTVVSGCAKAFKDKYFPSEPGAVEFGMTEELLHLKNLCMKLNAGVDDQKTKAKGKSKDSGSPLADISAAKEEYLIGVISEILAELSKGDGVSTFEFIGSAVVAALLNYFSCGYFSKGIISDGNLPKLRHQALKRFKLFISVALPSSVDERSIAPMVVLVQKLQNALSSVEHFPVVFSHASRSSGGSARLSFGFSALSQPFKLRLCRAHGEKSLRDYSSSIVLIDPLASLATVEDFLWQRVQRSDTAQKLSISVGNSESGNTSSGAATSSTSTTTPASDTRRHFSRSRSSVSIGDAATKEPSSQENRTRSSKGKGKVVLEMAQERLRGPQTRNAACRRAALGKDDPMKPVTGVSTSEDEESDTFPVEVDDVWGVEDDDISDDEEDSHEDVLRDDSFPVCMPDKVHDVKLGDSAEDGKPASAISDSQINTASGSSSRAAAVKCSDSADFRSAYGSKGAMSFAAAAMAGLGSVNGRRIRGGRGLEGQHPFGSSNEPPKLIFTAGGKQLKRHFTIYQAIQGQLVLDEDEDDERCAVSDISSEGSRLWSDIYTITYQRADSQADRSSVGGSGSTTESNSTKSGSSSSNSVPQTHRMSLLDSILQGELPCDLERSNPTYNILALLRVLEGLNQLAHRLRFQIFSDNFAEGKISNLDELILTGSRVPSEEFINGKLTPKLARQMQDALVLCSGNLPSWCYQLTKSCPFLFPFETRRQYFYSTAFGLSRALYRLQQQQSADGHGSTNEREVRVGRLQRQKVRISRDRILDSAAKVMKMYSVQKAVLEVEYFGEVGTGSGPTLEFYTLLSHELQKFELGMWRSNSTWNKSLMEIDGDEKKEGKTAGSTTIDGVMIQAPLGLFPRPWQLNADASEGSEFSKVIEYFRLVGRVMAKALQDGRLLDLPLSTSFYKLVLGEELDLHDIPSFDSEFGKILLELHLIVCRKKYLELMGDDSGDAIADLRFRGAPIEDLCLDFTLPGHPDYILKPGDETVDINNLEEYISLVVDATVKTGIMRQMEAFRAGFNQVFDIASLQIFTPQELDYLLCGRRELWEAETLADHIKFDHGYTAKSPVIINLLEIMGEFTPDQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKVTS >KJB12150 pep chromosome:Graimondii2_0_v6:2:160690:170079:-1 gene:B456_002G003200 transcript:KJB12150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRTRKRAEAFTAAPSSSSSSSFDPTVRSNKRVRLSSSSAVATTTGTRVSRASGFLMDSVTAESSSGSRGGSGKDNRTVSESLNLVSDKGKEKEEDLRISDRETDRDSGDNNESNSNHLEGSSGLNMETTGGDEDDHNDNRGDVGSLQQNLTSASSALQGLLRKLGAGIDDFLPSSPMGSSSSSHQNWRLKRILSGLSADGEEGRQVEALIELCEILSIGTEESLSTFSVDLFVPVLVGMLNHESNPDIMILAARALTHLCDVMPSSCAAVVHYGAVSSFCAKLLTIEYIDLAEQSLLALKKISQEHPTPCLRAGALMAVLSYLDFFATGVQRVALSTAANMCRNLPSDAADYVMDAVPPLTNLLQYHDSKVLEHASVCLTRISEAFASSPDKLDEFCSHGLVTQAASLISTSNSGGGQGSLSTPTYTGLIRLLSTCASGSPVGAKTLLMLGISGILKDILVGSDISANSAVPPTLSRPAEQIFEIVNLANELLPPLPQGTISLPASSNIFFKGSVVKESPTSSSEKEDNTDGNASEVSAREKLLHEQPDVLQQFGMDLLPVLIQIYGSSVNTSIRHKCLSVIGKLMYFSSAEMIQNLLSVTNLSSFLAGILASKDPHVLVPSLKVAEILLEKLPATFSKMFVREGVVHAVDQLVLIGNQNNTPSLASYVEKDNDSVSGMSSRSRRYRRRSYNSNPEGSSVEESKNPASLNIGSPPSSVETPTTNLRTVVSGCAKAFKDKYFPSEPGAVEFGMTEELLHLKNLCMKLNAGVDDQKTKAKGKSKDSGSPLADISAAKEEYLIGVISEILAELSKGDGVSTFEFIGSAVVAALLNYFSCGYFSKGIISDGNLPKLRHQALKRFKLFISVALPSSVDERSIAPMVVLVQKLQNALSSVEHFPVVFSHASRSSGGSARLSFGFSALSQPFKLRLCRAHGEKSLRDYSSSIVLIDPLASLATVEDFLWQRVQRSDTAQKLSISVGNSESGNTSSGAATSSTSTTTPASDTRRHFSRSRSSVSIGDAATKEPSSQENRTRSSKGKGKVVLEMAQERLRGPQTRNAACRRAALGKDDPMKPVTGVSTSEDEESDTFPVEVDDVWGVEDDDISDDEEDSHEDVLRDDSFPVCMPDKVHDVKLGDSAEDGKPASAISDSQINTASGSSSRAAAVKCSDSADFRSAYGSKGAMSFAAAAMAGLGSVNGRRIRGGRGLEGQHPFGSSNEPPKLIFTAGGKQLKRHFTIYQAIQGQLVLDEDEDDERCAVSDISSEGSRLWSDIYTITYQRADSQADRSSVGGSGSTTESNSTKSGSSSSNSVPQTHRMSLLDSILQGELPCDLERSNPTYNILALLRVLEGLNQLAHRLRFQIFSDNFAEGKISNLDELILTGSRVPSEEFINGKLTPKLARQMQDALVLCSGNLPSWCYQLTKSCPFLFPFETRRQYFYSTAFGLSRALYRLQQQQSADGHGSTNEREVRVGRLQRQKVRISRDRILDSAAKVMKMYSVQKAVLEVEYFGEVGTGSGPTLEFYTLLSHELQKFELGMWRSNSTWNKSLMEIDGDEKKEGKTAGSTTIDGVMIQAPLGLFPRPWQLNADASEGSEFSKVIEYFRLVGRVMAKALQDGRLLDLPLSTSFYKLVLGEELDLHDIPSFDSEFGKILLELHLIVCRKKYLELMGDDSGDAIADLRFRGAPIEDLCLDFTLPGHPDYILKPGDETVDINNLEEYISLVVDATVKTGIMRQMEAFRAGFNQVFDIASLQIFTPQELDYLLCGRRELWEAETLADHIKFDHGYTAKSPVIINLLEIMGEFTPDQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSPSESVDDDLPSVMTCANYLKLPPYSTKEILYKKLLYAISEGQGSFDLS >KJB15991 pep chromosome:Graimondii2_0_v6:2:55348822:55350881:1 gene:B456_002G207000 transcript:KJB15991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVITTTSQTNPDLPRQPSLCPSLSTLLADLQNQQNNQNQSQNGLGSMNMDDLLKNICSSPPPPPPTSDSHPQFAGVSISCEGSFSLPKDVANKSVDEVWKDIVVGGDDKRQGNPPVGMTLEDFLTKAGAVREEDVRGVVNQVGVGAGVYPVDPAVINGGGNHFSAFGNSGGVDHQRLVAVAGGGARGKRRAVEAPPLDKATQQKQRRMIKNRESAARSRERKQVRLSFLKICLVAEKMERNSTWFNVNPINCFFFQL >KJB15990 pep chromosome:Graimondii2_0_v6:2:55348595:55351095:1 gene:B456_002G207000 transcript:KJB15990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVITTTSQTNPDLPRQPSLCPSLSTLLADLQNQQNNQNQSQNGLGSMNMDDLLKNICSSPPPPPPTSDSHPQFAGVSISCEGSFSLPKDVANKSVDEVWKDIVVGGDDKRQGNPPVGMTLEDFLTKAGAVREEDVRGVVNQVGVGAGVYPVDPAVINGGGNHFSAFGNSGGVDHQRLVAVAGGGARGKRRAVEAPPLDKATQQKQRRMIKNRESAARSRERKQAYTVELESLVTQLEEEKARLLREEAELNKARFKQLMENLVPVVEKRRPPRVLRRVHSMQW >KJB16616 pep chromosome:Graimondii2_0_v6:2:60297518:60301331:-1 gene:B456_002G239700 transcript:KJB16616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGILNIPKLPLFSSLPLRKQSRQLSISIKASNSQTSTKEEENPSFSSSSSSSSTTTTTATTFAPPPNFKPPEPKRFAIRPDKTWDIVGASLALFFRLGTGVFVSGYSASLVSEKEIPPGQYSLELGGSKVKETSKIGPRPEKPIEIYEFEGCPFCRKVREIVAVLDIDVLFYPCPKNGPNFRPKVAQMGGKQQFPYMVDPNTGVAMYESDDIIKYLVEKYGDGSVPFMLSLGLLTTLTAGFAMIGRMGRGNSYTLSKLPPKPLEIWSYEGSPFCKIVREVLVELELPHIQRSCARGSPKRQILYEKAGHFQVSL >KJB16617 pep chromosome:Graimondii2_0_v6:2:60297077:60301509:-1 gene:B456_002G239700 transcript:KJB16617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGILNIPKLPLFSSLPLRKQSRQLSISIKASNSQTSTKEEENPSFSSSSSSSSTTTTTATTFAPPPNFKPPEPKRFAIRPDKTWDIVGASLALFFRLGTGVFVSGYSASLVSEKEIPPGQYSLELGGSKVKETSKIGPRPEKPIEIYEFEGCPFCRKVREIVAVLDIDVLFYPCPKNGPNFRPKVAQMGGKQQFPYMVDPNTGVAMYESDDIIKYLVEKYGDGSVPFMLSLGLLTTLTAGFAMIGRMGRGNSYTLSKLPPKPLEIWSYEGSPFCKIVREVLVELELPHIQRSCARGSPKRQILYEKAGHFQVPYLEDPNTGVQMFESAEIVEYLRATYAQ >KJB16615 pep chromosome:Graimondii2_0_v6:2:60297128:60301458:-1 gene:B456_002G239700 transcript:KJB16615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGILNIPKLPLFSSLPLRKQSRQLSISIKASNSQTSTKEEENPSFSSSSSSSSTTTTTATTFAPPPNFKPPEPKRFAIRPDKTWDIVGASLALFFRLGTGVFVSGYSASLVSEKEIPPGQYSLELGGSKVKETSKIGPRPEKPIEIYEFEGCPFCRKVREIVAVLDIDVLFYPCPKNGPNFRPKVAQMGGKQQFPYMVDPNTGVAMYESDDIIKYLVEKYGDGSVPFMLSLGLLTTLTAGFAMIGRMGRGNSYTLSKLPPKPLEIWSYEGSPFCKIVREVLVELELPHIQRSCARGSPKRQILYEKAGHFQVPYLEDPNTGVQMFESAEIVEYLRATYAQ >KJB15035 pep chromosome:Graimondii2_0_v6:2:33877148:33879541:1 gene:B456_002G156700 transcript:KJB15035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGSGSLTDSGGSSSNSSTESLNGLKFGQKIYFEDTSAGGTGGGTTMGTPPKSGIGSSSSSGSGKKARGGVVQGGQPPRCQVEGCKVDLTDAKAYYSRHKVCGMHSKAAKVIVAGLEQRFCQQCSRFHQLPEFDQRKRSCRRRLAGHNERRRKPPPGSLLSSRYSRLSSSIIESSRGGSFIMDFTSHPRLSRRDVWPTSRSSEHVPGNQYTGTGWLHPHPWQNNSENPPPNLYRQELPGGTAVPSGGIPPGECFTGVVDSNCALSLLSNQPWGSRNQGLTLGLNDMINSELCSMSQPAMPHGAVTNSYSNASWGFKGNHSCSRSQEMLPQIPEPINSQLTGGLQLSHQSRGQYMEHEPSNADDTSMQHIHQSF >KJB16369 pep chromosome:Graimondii2_0_v6:2:58265688:58268879:-1 gene:B456_002G226400 transcript:KJB16369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRTKRSMRTSKSQIYDSSSIEIDPSPPNSTPKRSYQVWKGNNKFCCGGRIILGPDASSLFLTSFLIGCPAIAFCIKMALHIKPQDTIFNHQILLGGLILTVLDLGFLFLTSFGDPGIIPRNTKPPESSSDDDDLCKSTSFDWANGKTGTLRLPRIKEVKLETGETVQVKFCETCLLYRSPRASHCSICNNCVQRFDHHCPWVGQCIGARNYSFFICFISSSTALCIYVFTCSWVNILRQRTSFWTAISRDILSVILILYCFIVVWFVGGLTVFHFYLISTNQTTYESFRYRYDRKENPFNKGILSNFRQVLFSKISPSLINLRAWTSEDDTGLSVIDDNGDSETGSKNFDVELGVPKLLQKIDFDGFDNKPSNSKNQDFHDQTKFGIA >KJB14337 pep chromosome:Graimondii2_0_v6:2:17188165:17192674:-1 gene:B456_002G120100 transcript:KJB14337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRACHALLFNLPYTTRLLGNLAPNLIVKVSAPRKSRHCLNPFFSTASLMGSVSALKEPLEYPIPRRDDSVVDDYHGVKIADPYRWLEDPDAEEVKDFVQKQVKLTESVLQQCEAKDKLREKITKLFDHPRYEVPFKRGNKYFYFHNTGLQAQNVLYVQDSLEGEAQVLLDPNSLSEDGTVSLSSLSVSEDAKYLAYGLSSSGSDWVTIKVMRVEDKSAEPDTLSWVKFSGISWTHDSKGFFYSRYPAPKEGENVDAGTETDSNLNQQLYYHFLGTSQSEDILCWRDPENPKHFVAGGITDDGKYLVLTIGESCDPVNKLYYCDISSLHEGLEGFRNKDGLLPFVKLIDTFDAQYEAIANDDTVFTFLTNKDAPKYKVVRVDLKEPSNWIDVIPEAEKDVLESVYAVNVNKLIVSYLSDVKYVLQVRNLKTGSLLHQLPIDIGSVYGISARREDSVAFIGFTSFLTPGIVYQCNLGTETPDMKIFREISVPGFDRSEYEVNQVFVPSKDGTKIPMFIVGRKNINLDGSHPCLLYGYGGFNISLTPTFSVSRIVLTRHLGAFYCVANIRGGGEYGEEWHKAGALSKKQNCFDDFISAAEYLISAGYTRSEKLCIEGGSNGGLLIGACINQRPDLFGCALAHVGVLDMLRFHKFTIGHAWTSDYGCSDKEEEFHWLIKYSPLHNVRRPWEQHPDQPLQYPPTMLLTADHDDRVVPLHTLKYLATLQYVLCTSLEKSPQTNPIVGRIECKAGHGAGRPTQKMIEEASDRYGFMAKMLGVSWIE >KJB14336 pep chromosome:Graimondii2_0_v6:2:17187747:17192791:-1 gene:B456_002G120100 transcript:KJB14336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRACHALLFNLPYTTRLLGNLAPNLIVKVSAPRKSRHCLNPFFSTASLMGSVSALKEPLEYPIPRRDDSVVDDYHGVKIADPYRWLEDPDAEEVKDFVQKQVKLTESVLQQCEAKDKLREKITKLFDHPRYEVPFKRGNKYFYFHNTGLQAQNVLYVQDSLEGEAQVLLDPNSLSEDGTVSLSSLSVSEDAKYLAYGLSSSGSDWVTIKVMRVEDKSAEPDTLSWVKFSGISWTHDSKGFFYSRYPAPKEGENVDAGTETDSNLNQQLYYHFLGTSQSEDILCWRDPENPKHFVAGGITDDGKYLVLTIGESCDPVNKLYYCDISSLHEGLEGFRNKDGLLPFVKLIDTFDAQYEAIANDDTVFTFLTNKDAPKYKVVRVDLKEPSNWIDVIPEAEKDVLESVYAVNVNKLIVSYLSDVKYVLQVRNLKTGSLLHQLPIDIGSVYGISARREDSVAFIGFTSFLTPGIVYQCNLGTETPDMKIFREISVPGFDRSEYEVNQVFVPSKDGTKIPMFIVGRKNINLDGSHPCLLYGYGGFNISLTPTFSVSRIVLTRHLGAFYCVANIRGGGEYGEEWHKAGALSKKQNCFDDFISAAEYLISAGYTRSEKLCIEGGSNGGLLIGACINQRPDLFGCALAHVGVLDMLRFHKFTIGTHHYIMYEDHGNSILISHYSTLLLCC >KJB16831 pep chromosome:Graimondii2_0_v6:2:61371968:61377031:1 gene:B456_002G249900 transcript:KJB16831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTPVSQNQRKRGLEEALERRFAVAKAELLQEQKKNKKTLVEEDGKEISCTKAPIAPSSNLSSKKGNFPFSGPSSSQDVEENGEVYSKLSEPMHEKLLPSDSKFSNKKGSMADKILHELLQGGDSAQKYMQGSRSIKFENWILLDNFVKGRLMSTGSQIRALKNHSKRSKRHMSMKQLKTSGLFELPQAMQKFDTFKPMHEMWKGYMNQLLKTSGKNQLAQCLIGADLHGALILVAECKVTSFTGVSGIMIRETAETFGLITQDNKFRVVPKKLSIFIFQVDCWKITLQGDKLTSRNSGL >KJB16829 pep chromosome:Graimondii2_0_v6:2:61372560:61377031:1 gene:B456_002G249900 transcript:KJB16829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTPVSQNQRKRGLEEALERRFAVAKAELLQEQKKNKKTLVEEDGKEISCTKAPIAPSSNLSSKKGNFPFSGPSSSQDVEENGEVYSKLSEPMHEKLLPSDSKFSNKKGSMADKILHELLQGGDSAQKYMQGSRSIKFENWILLDNFVKGRLMSTGSQIRALKNHSKRSKRHMSMKQLKTSGLFELPQAMQKFDTFKPMHEMWKGYMNQLLKTSGKNQLAQCLIGADLHGALILVAECKVTSFTGVSGIMIRETAETFGLITQDNKFRVVPKKLSIFIFQVDCWKITLQGDKLTSRNSGL >KJB16828 pep chromosome:Graimondii2_0_v6:2:61371968:61377031:1 gene:B456_002G249900 transcript:KJB16828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTPVSQNQRKRGLEEALERRFAVAKAELLQEQKKNKKTLVEEDGKEISCTKAPIAPSSNLSSKKGNFPFSGPSSSQDVEENGEVYSKLSEPMHEKLLPSDSKFSNKKGSMADKILHELLQGGDSAQKYMQGSRSIKFENWILLDNFVKGRLMSTGSQIRALKNHSKRSKRHMSMKQLKTSGLFELPQAMQKFDTFKPMHEMWKGYMNQLLKTSGKNQLAQCLIGADLHGALILVAECKVTSFTGVSGIMIRETAETFGLITQDNKFRVVPKKLSIFIFQVDCWKITLQGDKLTSRNSGL >KJB16830 pep chromosome:Graimondii2_0_v6:2:61373808:61377031:1 gene:B456_002G249900 transcript:KJB16830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTPVSQNQRKRGLEEALERRFAVAKAELLQEQKKNKKTLVEEDGKEISCTKAPIAPSSNLSSKKGNFPFSGPSSSQDVEENGEVYSKLSEPMHEKLLPSDSKFSNKKGSMADKILHELLQGGDSAQKYMQGSRSIKFENWILLDNFVKGRLMSTGSQIRALKNHSKRSKRHMSMKQLKTSGLFELPQAMQKFDTFKPMHEMWKGYMNQLLKTSGKNQLAQCLIGADLHGALILVAECKVTSFTGVSGIMIRETAETFGLITQDNKFRVVPKKLSIFIFQVDCWKITLQGDKLTSRNSGL >KJB12427 pep chromosome:Graimondii2_0_v6:2:1171712:1175758:1 gene:B456_002G017500 transcript:KJB12427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEASNGNGNGNGCSNDHDIIRWFDTISTNVATVQRETLRQILELNRGVEYLKKWFGDKDLDIREMDACEMESLYTSLVPLVSHEVLEPFIQRIADGDTSPILTQHPITTLSLSSGTTEGRQKFIPFTRHNPETTLQIYRLAAAYRSRVYPTRSNGRVLEFIYSSKRFKTRGGLMAGTATTHIFASEEFRIKQEKIKLFTCSPHEVISNGDYKQTTYCHLLLGLFFRKEIECITSTFAYSMVQAFSSFEEQWEDICEDIKEGNVSSKITLPKMRKAVLDIIEPNPSLASRIEAICKGLQGSDWFGLVPKLWPNAKYVYSIMTGSMQHYLKKLRHYCGSLPLVSAEYGATESWIGVNLDPSLEPEKVTFAVMPTFSYFEFIPLYRQDQYSGSGSVDFIEDDPVPLSQVKVGQEYEIVLTTFTGLYRHRLGDVVEVSGFHNETPKLKFICRRKLLLVINIDKNTEKDLQLVVEKGSQLLSKHRAELVDFTSHAKLTHQSGHYVIYWEIKGKVEERVLCECCREMDASFVDPGYVVSRRTNSIGPLELCIVERGTFKKILDYFIGNGATLSQFKIPRCTNNETLLKILDLYTVKRFRSTAYS >KJB12428 pep chromosome:Graimondii2_0_v6:2:1171794:1175622:1 gene:B456_002G017500 transcript:KJB12428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTATTHIFASEEFRIKQEKIKLFTCSPHEVISNGDYKQTTYCHLLLGLFFRKEIECITSTFAYSMVQAFSSFEEQWEDICEDIKEGNVSSKITLPKMRKAVLDIIEPNPSLASRIEAICKGLQGSDWFGLVPKLWPNAKYVYSIMTGSMQHYLKKLRHYCGSLPLVSAEYGATESWIGVNLDPSLEPEKVTFAVMPTFSYFEFIPLYRQDQYSGSGSVDFIEDDPVPLSQVKVGQEYEIVLTTFTGLYRHRLGDVVEVSGFHNETPKLKFICRRKLLLVINIDKNTEKDLQLVVEKGSQLLSKHRAELVDFTSHAKLTHQSGHYVIYWEIKGKVEERVLCECCREMDASFVDPGYVVSRRTNSIGPLELCIVERGTFKKILDYFIGNGATLSQFKIPRCTNNETLLKILDLYTVKRFRSTAYS >KJB12044 pep chromosome:Graimondii2_0_v6:2:24043451:24044132:1 gene:B456_002G139600 transcript:KJB12044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFRLQFHQYQVVGRALPMENDEHPKIYRMKLWAINEVRAKSKFWYFFRKLKKVKKSNGQVLAINEVKMLSDIHLMILYL >KJB12990 pep chromosome:Graimondii2_0_v6:2:4159331:4169251:-1 gene:B456_002G048900 transcript:KJB12990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFRLLLASILLASCLPCYEFATTLQDNEVQYLRDIAKTLGKKDWNFNVDPCSGEEGWATPNAAKGFENAVTCNCTVSNDTVCHVVSIVLKAQNLQGSLPKDLVKFPFLQEIDLTRNILNGTIPPEWGSMQLVNISLLGNRLTGPIPKELGNISTLSSISVEFNQLSGALPPQLGNLPAIQRMLISSNNFTGEIPETFAKLTTLKDFRISDNQFTGKIPSFIQNWTNLEKLAMQASGLMGPIPLGIGDLEKLTDLRISDLNGTDATFPPLSTMKKLKILILRSCNLIGKLPDYLGNMTKLKTLDLSFNKLSGEIPDSFSNIRDVDYLYLTRNLLTGLIPSWILEKGENVDLSYNNFKAGSQGTTICQQRSVNLFASSSSSNTSGTVSCLRSFVCPKSWYSLHINCGGREVDVVGNATYEDDTDGAGASRFYQSRTNWAFSTTGHFLDDDRPTDSYIWTNSSKLSMNDSRLYMNARLSPISLTYYGFCMISGNYTVNLHFAEIMFSNDNTYNSLGRRIFDIYLQGKLVQKDFNIEDEAGGVGKAVIKRFPVTVTNSTLEIRLHWAGKGTTGIPVRGVYGPLISAISVNPNFIPPAEDGGSSGGISMGAVVGIVAGSVFAIFLIVLLLWWKGCLRQKSQLERDLKGLDLQTSSFSLRQIKAATNNFDVANKIGEGGFGPVYKGTLADGTVIAVKQLSAKSKQGNREFVNEIGMISALQHPHLVKLFGCCIEGNQLLLIYEYMEKNSLARALFGPLECQLKLDWPTRRKICIGIARGLAYLHEESRLKIVHRDIKATNVLLDKHLNPKISDFGLAKLDEEDNTHISTRVAGT >KJB12989 pep chromosome:Graimondii2_0_v6:2:4159220:4169409:-1 gene:B456_002G048900 transcript:KJB12989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFRLLLASILLASCLPCYEFATTLQDNEVQYLRDIAKTLGKKDWNFNVDPCSGEEGWATPNAAKGFENAVTCNCTVSNDTVCHVVSIVLKAQNLQGSLPKDLVKFPFLQEIDLTRNILNGTIPPEWGSMQLVNISLLGNRLTGPIPKELGNISTLSSISVEFNQLSGALPPQLGNLPAIQRMLISSNNFTGEIPETFAKLTTLKDFRISDNQFTGKIPSFIQNWTNLEKLAMQASGLMGPIPLGIGDLEKLTDLRISDLNGTDATFPPLSTMKKLKILILRSCNLIGKLPDYLGNMTKLKTLDLSFNKLSGEIPDSFSNIRDVDYLYLTRNLLTGLIPSWILEKGENVDLSYNNFKAGSQGTTICQQRSVNLFASSSSSNTSGTVSCLRSFVCPKSWYSLHINCGGREVDVVGNATYEDDTDGAGASRFYQSRTNWAFSTTGHFLDDDRPTDSYIWTNSSKLSMNDSRLYMNARLSPISLTYYGFCMISGNYTVNLHFAEIMFSNDNTYNSLGRRIFDIYLQGKLVQKDFNIEDEAGGVGKAVIKRFPVTVTNSTLEIRLHWAGKGTTGIPVRGVYGPLISAISVNPNFIPPAEDGGSSGGISMGAVVGIVAGSVFAIFLIVLLLWWKGCLRQKSQLERDLKGLDLQTSSFSLRQIKAATNNFDVANKIGEGGFGPVYKGTLADGTVIAVKQLSAKSKQGNREFVNEIGMISALQHPHLVKLFGCCIEGNQLLLIYEYMEKNSLARALFGPLECQLKLDWPTRRKICIGIARGLAYLHEESRLKIVHRDIKATNVLLDKHLNPKISDFGLAKLDEEDNTHISTRVAGTYGYMAPEYAMRGYLTDKADVYSFGIVILEIVSGRSNTNCRPKEESFYLLDWVHVLKEEGNLLGLVDPRLGSNYDKEEVMTIINVAFLCTDVNAAARPAMSSVVSMLEGKASVQEFETDSSRFGDRSSSRAMMKKLYQHLEENSAPESQTHSMSTVGPWTSSSSAADLYPITITSEYLQNRDSAN >KJB16807 pep chromosome:Graimondii2_0_v6:2:61286312:61290192:-1 gene:B456_002G248800 transcript:KJB16807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDILTRVDVICKKYDKYDVEKQRDQNISGDDAFARQYAAIEADIESALQKAELVSKEKSKASAVAVNAEIRRTKARLLEEVPKLQRLAVKKVKGISTEEMTARSDLVLALPDRIQAIPDGTAAPKTGGWMSSTPSASRTEIKFDSDGRFDNEYFQESEQSSQFRQEYEMRRMKQDQGLDMISEGLDTLKNMAHDMNEELDRQVPLMDEIETKVDKAAGDLKNTNVRLKHTVTQLRSSRNFCIDIVLLCIVLGIAAYLYNVLKK >KJB16808 pep chromosome:Graimondii2_0_v6:2:61286312:61290135:-1 gene:B456_002G248800 transcript:KJB16808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDILTRVDVICKKYDKYDVEKQRDQNISGDDAFARQYAAIEADIESALQKAELVSKEKSKASAVAVNAEIRRTKARLLEEVPKLQRLAVKKVKGISTEEMTARSDLVLALPDRIQAIPDGTAAPKTGGWMSSTPSASRTEIKFDSDGRFDNEYFQESEQSSQFRQEYEMRRMKQDQGLDMISEGLDTLKNMAHDMNEELDRQVPLMDEIETKVDKAAGDLKNTNVRLKHTVTQLRSSRNFCIDIVLLCIVLGIAAYLYNVLKK >KJB13786 pep chromosome:Graimondii2_0_v6:2:11926981:11930466:1 gene:B456_002G094200 transcript:KJB13786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQVTHAKDFISPTSSSSSLSSKLCFSSKKPLKRSSFSTYHRFGRISCSFASMETAKIKVVGVGGGGSNAVNRMIGSGLQGVDFYAINTDSQALLQSSAENPIQIGELLTRGLGTGGNPLLGEQAAEESADAIANALKGSDLVFITAGMGGGTGSGAAPVVAQIAKEAGYLTVGVVTYPFSFEGRKRTMQALEAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAVEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDDRYNGEIHVTIIATGFSQSFQKTLLTDPKAAKEINKATMGQESKGIPLPLESPSLSTVPSRPSPRRLFF >KJB13787 pep chromosome:Graimondii2_0_v6:2:11927180:11930263:1 gene:B456_002G094200 transcript:KJB13787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQVTHAKDFISPTSSSSSLSSKLCFSSKKPLKRSSFSTYHRFGRISCSFASMETAKIKVVGVGGGGSNAVNRMIGSGLQGVDFYAINTDSQALLQSSAENPIQIGELLTRGLGTGGNPLLGEQAAEESADAIANALKGSDLVFITAGMGGGTGSGAAPVVAQIAKEAGYLTVGVVTYPFSFEGRKRTMQALEAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAVEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVV >KJB14287 pep chromosome:Graimondii2_0_v6:2:16752418:16759392:1 gene:B456_002G118000 transcript:KJB14287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPLRSIADTLCRFKSGELERGRGNFIRRLELCRSVATINGNVFLGYGGEPLTKSIQVQIVDALRLGERSRASSLLLDFGNGNQSLKANDFVYILNYCARSPDPLFVMETWRLMEEKEIDLNNTCYLLMVRALCRGGYLEEACKFMKFLRENHGTYPLLPVYNCFLGACAKMKSIIHANQCLDLMELQRVGKNEITYSVLLKLAVWQQDLSAVREIWEDYIKHYSLNIISLRRFIWSFTRLKDLKSAYETLQHMVALAISGKHFVSRTDEGRLYSSRLDIPIPSKSELGSQNVQSGENEQSLAFKFDIDSSNIERSKSISATVGMLNNYKNLPVMEVLRLSINDVLHACAQARAYGLAEQLMMLMQNLGLQPSSHTYDGFVRAIIQRRGFGAGMEMLKVMEERNLKPHDSTLAALSVQCSKALELDLAEALLEQVCECPYPYPFNAFLEACDNMDQPKRALRILAKMRQLKLQPDIRTYELLFSMFGNVNAPYEEGNRLSHVDSRKRINAIEMDMAKNGVQHSHLSMKNLLKALGAEGMTIELLQYLHVAENLFCHTNTKLGAPMYNVVLHSLVEANESHMAIQIFKKMTSSGFLPNAATYNIMVDCCSYIKCFKSGCALVSMMVRHGFYPETRTYTALMKILLEYENFDEALSLVDQASLEGHQLDVLMYNTILKKASEKVRIDIIEFIIERMHQDKVQPDPVTCNYVFSAYVDGGFHNTAMEALQVLSMWMISYEDMTLEEKKIEFEKDFVSSEDLQAESKILQVFKDYDEHLAAALLNLRWCAILGFPVSWSPNQSQWTTRLSTNYDSTRTVL >KJB14288 pep chromosome:Graimondii2_0_v6:2:16752539:16759392:1 gene:B456_002G118000 transcript:KJB14288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPLRSIADTLCRFKSGELERGRGNFIRRLELCRSVATINGNVFLGYGGEPLTKSIQVQIVDALRLGERSRASSLLLDFGNGNQSLKANDFVYILNYCARSPDPLFVMETWRLMEEKEIDLNNTCYLLMVRALCRGGYLEEACKFMKFLRENHGTYPLLPVYNCFLGACAKMKSIIHANQCLDLMELQRVGKNEITYSVLLKLAVWQQDLSAVREIWEDYIKHYSLNIISLRRFIWSFTRLKDLKSAYETLQHMVALAISGKHFVSRTDEGRLYSSRLDIPIPSKSELGSQNVQSGENEQSLAFKFDIDSSNIERSKSISATVGMLNNYKNLPVMEVLRLSINDVLHACAQARAYGLAEQLMMLMQNLGLQPSSHTYDGFVRAIIQRRGFGAGMEMLKVMEERNLKPHDSTLAALSVQCSKALELDLAEALLEQVCECPYPYPFNAFLEACDNMDQPKRALRILAKMRQLKLQPDIRTYELLFSMFGNVNAPYEEGNRLSHVDSRKRINAIEMDMAKNGVQHSHLSMKNLLKALGAEGMTIELLQYLHVAENLFCHTNTKLGAPMYNVVLHSLVEANEFP >KJB14290 pep chromosome:Graimondii2_0_v6:2:16752623:16756417:1 gene:B456_002G118000 transcript:KJB14290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPLRSIADTLCRFKSGELERGRGNFIRRLELCRSVATINGNVFLGYGGEPLTKSIQVQIVDALRLGERSRASSLLLDFGNGNQSLKANDFVYILNYCARSPDPLFVMETWRLMEEKEIDLNNTCYLLMVRALCRGGYLEEACKFMKFLRENHGTYPLLPVYNCFLGACAKMKSIIHANQCLDLMELQRVGKNEITYSVLLKLAVWQQDLSAVREIWEDYIKHYSLNIISLRRFIWSFTRLKDLKSAYETLQHMVALAISGKHFVSRTDEGRLYSSRLDIPIPSKSELGSQNVQSGENEQSLAFKFDIDSSNIERSKSISATVGMLNNYKNLPVMEVLRLSINDVLHACAQARAYGLAEQLMMLMQNLGLQPSSHTYDGFVRAIIQRRGFGAGMEMLKVMEERNLKPHDSTLAALSVQCSKALELDLAEALLEQVCECPYPYPFNAFLEACDNMDQPKRALRILAKMRQLKLQPDIRTYELLFSMFGNVNAPYEEGNRLSHVDSRKRINAIEMDMAKNGVQHSHLSMKNLLKALGAEGMTIELLQYLHVAENLFCHTNTKLGAPMYNVVLHSLVEANEVSASNNVVLLFAILAVVFYI >KJB14289 pep chromosome:Graimondii2_0_v6:2:16752539:16759392:1 gene:B456_002G118000 transcript:KJB14289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPLRSIADTLCRFKSGELERGRGNFIRRLELCRSVATINGNVFLGYGGEPLTKSIQVQIVDALRLGERSRASSLLLDFGNGNQSLKANDFVYILNYCARSPDPLFVMETWRLMEEKEIDLNNTCYLLMVRALCRGGYLEEACKFMKFLRENHGTYPLLPVYNCFLGACAKMKSIIHANQCLDLMELQRVGKNEITYSVLLKLAVWQQDLSAVREIWEDYIKHYSLNIISLRRFIWSFTRLKDLKSAYETLQHMVALAISGKHFVSRTDEGRLYSSRLDIPIPSKSELGSQNVQSGENEQSLAFKFDIDSSNIERSKSISATVGMLNNYKNLPVMEVLRLSINDVLHACAQARAYGLAEQLMMLMQNLGLQPSSHTYDGFVRAIIQRRGFGAGMEMLKVMEERNLKPHDSTLAALSVQCSKALELDLAEALLEQVCECPYPYPFNAFLEACDNMDQPKRALRILAKMRQLKLQPDIRTYELLFSMFGNVNAPYEEGNRLSHVDSRKRINAIEMDMAKNGVQHSHLSMKNLLKALGAEGMTIELLQYLHVAENLFCHTNTKLGAPMYNVVLHSLVEANESHMAIQIFKKMTSSGFLPNAATYNIMVDCCSYIKCFKSGCALVSMMVRHGFYPETRTYTALMKILLEYENFDEALSLVDQASLEGHQLDVLMYNTILKKASEKVLSMWMISYEDMTLEEKKIEFEKDFVSSEDLQAESKILQVFKDYDEHLAAALLNLRWCAILGFPVSWSPNQSQWTTRLSTNYDSTRTVL >KJB14291 pep chromosome:Graimondii2_0_v6:2:16752539:16759392:1 gene:B456_002G118000 transcript:KJB14291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPLRSIADTLCRFKSGELERGRGNFIRRLELCRSVATINGNVFLGYGGEPLTKSIQVQIVDALRLGERSRASSLLLDFGNGNQSLKANDFVYILNYCARSPDPLFVMETWRLMEEKEIDLNNTCYLLMVRALCRGGYLEEACKFMKFLRENHGTYPLLPVYNCFLGACAKMKSIIHANQCLDLMELQRVGKNEITYSVLLKLAVWQQDLSAVREIWEDYIKHYSLNIISLRRFIWSFTRLKDLKSAYETLQHMVALAISGKHFVSRTDEGRLYSSRLDIPIPSKSELGSQNVQSGENEQSLAFKFDIDSSNIERSKSISATVGMLNNYKNLPVMEVLRLSINDVLHACAQARAYGLAEQLMMLMQNLGLQPSSHTYDGFVRAIIQRRGFGAGMEMLKVMEERNLKPHDSTLAALSVQCSKALELDLAEALLEQVCECPYPYPFNAFLEACDNMDQPKRALRILAKMRQLKLQPDIRTYELLFSMFGNVNAPYEEGNRLSHVDSRKRINAIEMDMAKNGVQHSHLSMKNLLKALGAEGMTIELLQYLHVAENLFCHTNTKLGAPMYNVVLHSLVEANESHMAIQIFKKMTSSGFLPNAATYNIMVDCCSYIKCFKSGCALVSMMVRHGFYPETRTYTALMKVRIDIIEFIIERMHQDKVQPDPVTCNYVFSAYVDGGFHNTAMEALQVLSMWMISYEDMTLEEKKIEFEKDFVSSEDLQAESKILQVFKDYDEHLAAALLNLRWCAILGFPVSWSPNQSQWTTRLSTNYDSTRTVL >KJB12616 pep chromosome:Graimondii2_0_v6:2:2109190:2110151:1 gene:B456_002G028300 transcript:KJB12616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSRFSMFLAICAILAVHVAVTTSSPTFDFAGDHFLQIKSECSGSIAECLMQGGEDSSDFDAEFAVGSEISRRILAARRYISYGALRRNTVPCSRRGASYYNCRPGAQANPYSRGCSRITRCRR >KJB12907 pep chromosome:Graimondii2_0_v6:2:3609193:3610407:-1 gene:B456_002G043700 transcript:KJB12907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQGFLLRARVLKLYRQALRAARKAPHDSRAELKQVIRQEMETNRDCKDRQKIRFLISEGTERLKGLTEMLGMQGHC >KJB11841 pep chromosome:Graimondii2_0_v6:2:11769369:11769975:-1 gene:B456_002G092700 transcript:KJB11841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQVVILIFVSSCSFQIFLYSNLPESIVLVEADDVRKRYPLRTKYTPEPSIPHNKRSSYASRGRGIQITLSATSEPNTSVSKCSFQILL >KJB14114 pep chromosome:Graimondii2_0_v6:2:15329040:15330740:-1 gene:B456_002G110700 transcript:KJB14114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFQLCSLKILQIFSLLLILLYPATVSCNCTCDKEDIQQNKAEALRYKLGAIAAILVAGAIGVSLPLLGTRIPALRPENDIFFMIKAFAAGVILATGFVHILPDAFESLTSPCLKESSPWGKFPFSGFIAMMSAIGTLMIDAFATGYYERQHLSKHKHVNAADEEHAGHVHVHTHATHGHAHGSASPSQELVLPGLIRQRIISQVLEVGIVVHSVVIGISLGASQSPDTIRPLVAALSFHQFFEGMGLGGCISQAQFKSVSVAIMGTFFSLTTPLGIAIGIGISSFYRDNSPTGLIVDGVFNSASAGILIYMALVDLLAADFMNPRLQSNVRLQIGVNLSLLLGTACMSVLAKWA >KJB12894 pep chromosome:Graimondii2_0_v6:2:3555959:3559268:1 gene:B456_002G043100 transcript:KJB12894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNVGTFIRSATRFSPFIIPHSSPSSPSSFCFSFSPKQTVSFTLQSSQMASFTTLSQSPPKLLFRQLFEKESSTYTYLLADCSHPDKPALLIDPVDRTVDRDLNLVKELGLKLIYAMNTHVHADHVTGTGLIKGKVPGVKSVISKASGSKADVFVEPGDKISFGDLFLEVRATPGHTMGCVTYVTGDGPDQPQPRMAFTGDALLIRGCGRTDFQGGSSQQLYKSVHSQIFTLPKETLLYPAHDYKGFSVTTVGEEMLYNPRLTKDEETFKNIMETDLNLAYPKMIDVAVPANMVCGLQDLEPKAN >KJB12893 pep chromosome:Graimondii2_0_v6:2:3555959:3559268:1 gene:B456_002G043100 transcript:KJB12893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNVGTFIRSATRFSPFIIPHSSPSSPSSFCFSFSPKQTVSFTLQSSQMASFTTLSQSPPKLLFRQLFEKESSTYTYLLADCSHPDKPALLIDPVDRTVDRDLNLVKELGLKLIYAMNTHVHADHVTGTGLIKGKVPGVKSVISKASGSKADVFVEPGDKISFGDLFLEVRATPGHTMGCVTYVTGDGPDQPQPRMAFTGDALLIRGCGRTDFQIFTLPKETLLYPAHDYKGFSVTTVGEEMLYNPRLTKDEETFKNIMENLNLAYPKMIDVAVPANMVCGLQDLEPKAN >KJB12890 pep chromosome:Graimondii2_0_v6:2:3555904:3559443:1 gene:B456_002G043100 transcript:KJB12890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTTLSQSPPKLLFRQLFEKESSTYTYLLADCSHPDKPALGKVPGVKSVISKASGSKADVFVEPGDKISFGDLFLEVRATPGHTMGCVTYVTGDGPDQPQPRMAFTGDALLIRGCGRTDFQGGSSQQLYKSVHSQIFTLPKETLLYPAHDYKGFSVTTVGEEMLYNPRLTKDEETFKNIMENLNLAYPKMIDVAVPANMVCGLQDLEPKAN >KJB12895 pep chromosome:Graimondii2_0_v6:2:3555959:3559268:1 gene:B456_002G043100 transcript:KJB12895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNVGTFIRSATRFSPFIIPHSSPSSPSSFCFSFSPKQTVSFTLQSSQMASFTTLSQSPPKLLFRQLFEKESSTYTYLLADCSHPDKPALLIDPVDRTVDRDLNLVKELGLKLIYAMNTHVHADHVTGTGLIKGKVPGVKSVISKASGSKADVFVEPGDKISFGDLFLEVRATPGHTMGCVTYVTGDGPDQPQPRMAFTGDALLIRGCGRTDFQGGSSQQLYKSVHSQIFTLPKETLLYPAHDYKGFSVTTVGEEMLYNPRLTKDEETFKNIMENLNLAYPKMIDVAVPANMVCGLQDLEPKAN >KJB12896 pep chromosome:Graimondii2_0_v6:2:3556105:3558355:1 gene:B456_002G043100 transcript:KJB12896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNVGTFIRSATRFSPFIIPHSSPSSPSSFCFSFSPKQTVSFTLQSSQMASFTTLSQSPPKLLFRQLFEKESSTYTYLLADCSHPDKPALLIDPVDRTVDRDLNLVKELGLKLIYAMNTHVHADHVTGTGLIKGKVPGVKSVISKASGSKADVFVEPGDKISFGDLFLEVRATPGHTMGCVTYVTGDGPDQPQPRMAFTGDALLIRGCGRTDFQGGSSQQLYKSVHSQIFTLPKETLLYPAHDYKGFSVRYKTLFLSFLTYIIDCLIL >KJB12891 pep chromosome:Graimondii2_0_v6:2:3555904:3559443:1 gene:B456_002G043100 transcript:KJB12891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTTLSQSPPKLLFRQLFEKESSTYTYLLADCSHPDKPALLIDPVDRTVDRDLNLVKELGLKLIYAMNTHVHADHVTGTGLIKGKVPGVKSVISKASGSKADVFVEPGDKISFGDLFLEVRATPGHTMGCVTYVTGDGPDQPQPRMAFTGDALLIRGCGRTDFQGGSSQQLYKSVHSQIFTLPKETLLYPAHDYKGFSVTTVGEEMLYNPRLTKDEETFKNIMENLNLAYPKMIDVAVPANMVCGLQDLEPKAN >KJB12892 pep chromosome:Graimondii2_0_v6:2:3555920:3559443:1 gene:B456_002G043100 transcript:KJB12892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTTLSQSPPKLLFRQLFEKESSTYTYLLADCSHPDKPALLIDPVDRTVDRDLNLVKELGLKLIYAMNTHVHADHVTGTGLIKGKVPGVKSVISKASGSKADVFVEPGDKISFGDLFLEVRATPGHTMGCVTYVTGDGPDQPQPRMAFTGDALLIRGCGRTDFQIFTLPKETLLYPAHDYKGFSVTTVGEEMLYNPRLTKDEETFKNIMENLNLAYPKMIDVAVPANMVCGLQDLEPKAN >KJB15679 pep chromosome:Graimondii2_0_v6:2:51467946:51470829:1 gene:B456_002G1906002 transcript:KJB15679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDMIIEVTTNGGFPDHLHHHHPHSLQQQQQMILGESSGDDPEVKAPKKRAETWVQDETRSLIGFRKEMDGRFNTSKSNKHLWEKISAMMRKKGFDRSPTMCTDKWRNLLKEFKKAKHPDRGSGSVKMCYYKEIEEILRERTQKSYKAPTPPPKLDSFMHFSDKGLCFEDTSITFGPLEGMLLLLLPSGRPALNIEQGLDYDGHPLAITTANAVAASGVPPWNWRETPGN >KJB15678 pep chromosome:Graimondii2_0_v6:2:51467831:51470829:1 gene:B456_002G1906002 transcript:KJB15678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDMIIEVTTNGGFPDHLHHHHPHSLQQQQQMILGESSGDDPEVKAPKKRAETWVQDETRSLIGFRKEMDGRFNTSKSNKHLWEKISAMMRKKGFDRSPTMCTDKWRNLLKEFKKAKHPDRGSGSVKMCYYKEIEEILRERTQKSYKAPTPPPKLDSFMHFSDKGLCFEDTSITFGPLEASGRPALNIEQGLDYDGHPLAITTANAVAASGVPPWNWRETPGN >KJB12420 pep chromosome:Graimondii2_0_v6:2:1126378:1127316:1 gene:B456_002G017000 transcript:KJB12420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLNRVWMATSMAMVQGHGENQGQNWKSGIKFLQRGQRRLSDGESSNLRPISGSDLTGVVGIRDGQADESIRRVMYLNCWGQG >KJB14962 pep chromosome:Graimondii2_0_v6:2:29886075:29887194:-1 gene:B456_002G151500 transcript:KJB14962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVLFRGISLTTHNILHSYTKTNQNPVHSFNPLTTSTRSRLKFYSSESDSPVEKKPDPVIESALVAEAHVKDLALSVEDVSNKELKTRIKKYFEGDEEALPSILEAILRRKLAGKHEESDDELMDELEKWDEMIKEAVQHGFPKDTKECEEILEDMLSWDKLLPGFS >KJB15471 pep chromosome:Graimondii2_0_v6:2:47084649:47085100:-1 gene:B456_002G179400 transcript:KJB15471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVIGSARSMTEKYAEAGAGESSCCYQCTETKVDSTMSLLRGRFLHERSVEEERLPSMAVSELNQLSLYSEVKYPLACYFIRMCYISSTMSNLSL >KJB15470 pep chromosome:Graimondii2_0_v6:2:47084557:47086114:-1 gene:B456_002G179400 transcript:KJB15470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVIGSARSMTEKYAEAGAGESSCCYQCTETKVDSTMSLLRGRFLHERSVEEERLPSMAVSELNQLSLYSEVKYPLACYFIRMCYISSTMSNLSL >KJB16757 pep chromosome:Graimondii2_0_v6:2:61009256:61011927:-1 gene:B456_002G246000 transcript:KJB16757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGKFTCYFPKKTINILHNHSPQTISPNIFRESMGFVASKRSSMKGQKQPAFIPLFLIFSVLFLCFSASVASNDEEVEDEREFDYNEKSEKGPHHWGDLKKEWAACKTGAMQSPIDLTNDKVKIIAKSVNLEKNYKPAESIIKNRGHDISLQWPDHKAGSITIDGIEYVLLQVHWHSPSEHTINGKRYALEAHMVHKAADPNVKSGLAVSALLYEHGSPNDFLSQLISNITDMTDEVQERSMGVTDPNLIEIDGVEYYRYIGSLTVPPCTEGVIWIINKKIATVSKEQVHAIREAVHDYAEQNARPVQTHNEREMELHSPHS >KJB15794 pep chromosome:Graimondii2_0_v6:2:53200467:53202708:-1 gene:B456_002G196400 transcript:KJB15794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVSPIAYLSSTFRASKLLKLRTKARIFVQKGRSMMGCLDETRTLNYGQVFVQFSGSRSDQRDIVQGKVIVAKNPCLHPGDVRVLRAVNVPDLHHMVDCVNQIFRPHPNECSGSDLDSDTYFVCWDPELIPYKQINAMDYSPAPTTKLDHEVTIEEIEEYFTNYIVNDKNLTKLFSIAVDFPKTGVPAEIPQDLRVKEFSDFMEKPDKPSYQSHNVIGKLFREVKNLAPNECSIKFLTREKMQRFYDPDMEVEGFEDYIDDAFFYKSKYDYKLGNVMDYYRVKTEAEILSGGIMKMSRSFTKKRDAEAISIAVRSLRKEAGSWFNEKGSELDEEIDDAYAKASAWYYVTYHPSYWGHFPWCVYDKLVQIRKKMQLFREALDLSSPEHRFQHGLHLH >KJB15591 pep chromosome:Graimondii2_0_v6:2:49573947:49576059:1 gene:B456_002G186100 transcript:KJB15591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MENG MHSLQFSVPLVPTSRFKRHSVRCASDRQQLFNRIAPVYDNLNDLLSLGQHRIWKRMAVSWSGAKTGDSLLDLCCGSGDLTFLMSEKAGADGKVIGLDFSKEQLSIASSRQYLLSKACYNNIEWVEGDALDLPFSNGYFDAITMGYGLRNVVDKRRAMQEMFRVLKPGSRVSILDFNKSMQPFTALFQEWMIDNVVVPTATVYGLAKEYQYLKTSIDEFLTGIGETGLRCRVFLCQTLRDRRRPHGEPSSRTLK >KJB15589 pep chromosome:Graimondii2_0_v6:2:49573947:49576059:1 gene:B456_002G186100 transcript:KJB15589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MENG MHSLQFSVPLVPTSRFKRHSVRCASDRQQLFNRIAPVYDNLNDLLSLGQHRIWKRMAVSWSGAKTGDSLLDLCCGSGDLTFLMSEKAGADGKVIGLDFSKEQLSIASSRQYLLSKACYNNIEWVEGDALDLPFSNGYFDAITMGYGLRNVVDKRRAMQEMFRVLKPGSRVSILDFNKSMQPFTALFQGRNWRNWP >KJB15590 pep chromosome:Graimondii2_0_v6:2:49574002:49575749:1 gene:B456_002G186100 transcript:KJB15590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MENG MHSLQFSVPLVPTSRFKRHSVRCASDRQQLFNRIAPVYDNLNDLLSLGQHRIWKRMAVSWSGAKTGDSLLDLCCGSGDLTFLMSEKAGADGKVIGLDFSKEQLSIASSRQYLLSKACYNNIEWVEGDALDLPFSNGYFDAITMGYGLRNVVDKRRAMQEMFRVLKPGSRVSILDFNKSMQPFTALFQEWMIDNVVVPTATVYGLAKEYQYLKTSIDEFLTGKELEKLALDAGFSYARHYEIGGGLMGNLVAAR >KJB12968 pep chromosome:Graimondii2_0_v6:2:3980237:3984587:1 gene:B456_002G047000 transcript:KJB12968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRKLLLLLKPFDVYQVTQSNAVSRFTNPQIFHYIDNRRKVHKEAINVCQKILQQKPIDWKPIFRNNLSQPIHNVDLVVTVGGDGTLLQASHFLDDSVPVLGVNSDPTQAEEVEKFSNEFDATRSTGYLCAATVKNFEQVLDGFLEDQIVPSKLSRISVSVNSKVLPTCALNDILIAHPCPATVSRFSFKIRGDDETCSPLVNCRSSGLRISTAAGSTAAMHSAGGFPMPILSRDLQYMVREPISQGPAISRLMHGLIKSDQSMDASWFSKEGFVYFDGSHVFHTIQNGDTIEISSKAPVLQVVLPHLST >KJB12967 pep chromosome:Graimondii2_0_v6:2:3980237:3984587:1 gene:B456_002G047000 transcript:KJB12967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRKLLLLLKPFDVYQVTQSNAVSRFTNPQIFHYIDNRRKVHKEAINVCQKILQQKPIDWKPIFRNNLSQPIHNVDLVVTVGGDGTLLQASHFLDDSVPVLGVNSDPTQAEEVLDGFLEDQIVPSKLSRISVSVNSKVLPTCALNDILIAHPCPATVSRFSFKIRGDDETCSPLVNCRSSGLRISTAAGSTAAMHSAGGFPMPILSRDLQYMVREPISQGPAISRLMHGLIKSDQSMDASWFSKEGFVYFDGSHVFHTIQNGDTIEISSKAPVLQVVLPHLST >KJB14637 pep chromosome:Graimondii2_0_v6:2:22116162:22118416:1 gene:B456_002G135200 transcript:KJB14637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor B-4 [Source:Projected from Arabidopsis thaliana (AT1G46264) UniProtKB/Swiss-Prot;Acc:Q9C635] MALMVDTCEGVLLSLEPHKAVPAPFLTKTYQLVDDPSTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPERWEFANEFFKKGGKHLLCEIHRRKTAQPSINHHYHPHIPHSALNAPSFFPFPSQVSISPTDMDDSPPLSSPRGAIGGYGSSVTALSEDNERLRRSNSLLMSELTHMRKLYSDIIYFVQNHVKPVTPGNSYSPSLLLSGPPPAVNTSTSLLQKPLNQLLGYYPKSPNPQFQGLNSPTATSQSSLTIVEEPSSNSCKTRLFGVPLQSKKRLHPEYSTAANMETSKARLVLEKDDLSCIYRHLH >KJB17026 pep chromosome:Graimondii2_0_v6:2:62191084:62192637:-1 gene:B456_002G261300 transcript:KJB17026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIALKAIQASFTYSSNHVFFQTRRITTYTKNPILCLSKSNDSESDSDPEPEGDTRKQDLLAQIAMLQAQKVRLTDYLDERSAYLTQFAEEANAEFDKVGEDALKGLEEASERIMENIESQMQAFKESQELNMQEIEMNEDQLAEFEDQMEKERNEGLFFKNLTEKKPIDTAKAMEEAEKVKEVTKEKVGSKARRNLYLALITVLAVGIADSLVTGSDWRKVAVLGAILVALFSQFIYEQTVLSEEEKTEKNDENK >KJB17025 pep chromosome:Graimondii2_0_v6:2:62191084:62192555:-1 gene:B456_002G261300 transcript:KJB17025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIALKAIQASFTYSSNHVFFQTRRITTYTKNPILCLSKSNDSESDSDPEPEGDTRKQDLLAQIAMLQAQKIMENIESQMQAFKESQELNMQEIEMNEDQLAEFEDQMEKERNEGLFFKNLTEKKPIDTAKAMEEAEKVKEVTKEKVGSKARRNLYLALITVLAVGIADSLVTGSDWRKVAVLGAILVALFSQFIYEQTVLSEEEKTEKNDENK >KJB14046 pep chromosome:Graimondii2_0_v6:2:14842380:14847605:-1 gene:B456_002G108700 transcript:KJB14046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSLIRSTAAVSPLLQASARSDLSPSPSYRLKVSSIGFGGNLNSFFGAAVSTKSSSLQKCNSRSIQPIKATATEIPPTIARSRSSGKTKVGINGFGRIGRLVLRVAIARDDFDVVAVNDPFIDANYMAYMFKYDSTHGGFKGTIRVVDDSTLEINGKQIQVVNKRDPAEIPWGDYGAEFVVESSGVFTTLAKASAHLKGGAKKVVISAPSADAPMFVVGVNEKTYKPSMDIVSNASCTTNCLAPLAKVVHEEFGIIEGLMTTVHATTATQKPVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPQLNGKLTGMAFRVPTPNVSVVDLTCRLQKSASYDDVKAVIKYAAAGPLNGILGYTEEDVVSNDFVGDSRSSIFDAKAGIGLSDSFMKLVSWYDNEWGYSNRVLDLIEHISLVLATHN >KJB15835 pep chromosome:Graimondii2_0_v6:2:53789322:53790804:1 gene:B456_002G198900 transcript:KJB15835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEVSEEGQGRKDYVDPPPAPLIDMAELKSWSFYRALIAEFIATLLFLYVTVATVIGHKKQQDACDGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSFIRAVAYMVSQCLGAICGVGLVKAFMKHPYNSLGGGANTVASGYNKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNDKAWDEHVSAHVLLTFYCPFLIFETKTWFIFVMDSGFSGLVHLLEHLQQLYIISTSLEQQLSKLWDPSAATPPTKKKSTQIALSPLHSFSIFSICSFVCMRRLL >KJB15838 pep chromosome:Graimondii2_0_v6:2:53789322:53790815:1 gene:B456_002G198900 transcript:KJB15838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEVSEEGQGRKDYVDPPPAPLIDMAELKSWSFYRALIAEFIATLLFLYVTVATVIGHKKQQDACDGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSFIRAVAYMVSQCLGAICGVGLVKAFMKHPYNSLGGGANTVASGYNKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVCIGSPSNRVRSVHGSLGYHPHHRYWY >KJB15839 pep chromosome:Graimondii2_0_v6:2:53789440:53790166:1 gene:B456_002G198900 transcript:KJB15839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEVSEEGQGRKDYVDPPPAPLIDMAELKSWSFYRALIAEFIATLLFLYVTVATVIGHKKQQDACDGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSFIRAVAYMVSQCLGAICGVGLVKAFMKHPYNSLGGGANTVASGYNKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVCNIYIWIDSMILSCYRV >KJB15836 pep chromosome:Graimondii2_0_v6:2:53789322:53790815:1 gene:B456_002G198900 transcript:KJB15836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEVSEEGQGRKDYVDPPPAPLIDMAELKSWSFYRALIAEFIATLLFLYVTVATVIGHKKQQDACDGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSFIRAVAYMVSQCLGAICGVGLVKAFMKHPYNSLGGGANTVASGYNKGTALGAEIIGTFVLVYTVFSATDPKRSIGSPSNRVRSVHGSLGYHPHHRYWY >KJB15834 pep chromosome:Graimondii2_0_v6:2:53789259:53790815:1 gene:B456_002G198900 transcript:KJB15834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEVSEEGQGRKDYVDPPPAPLIDMAELKSWSFYRALIAEFIATLLFLYVTVATVIGHKKQQDACDGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSFIRAVAYMVSQCLGAICGVGLVKAFMKHPYNSLGGGANTVASGYNKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNDKAWDEHWIFWVGPFVGALAAAIYHQYILRAAAIKALGSFRSNPTN >KJB15837 pep chromosome:Graimondii2_0_v6:2:53789664:53790539:1 gene:B456_002G198900 transcript:KJB15837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRWHDLYPCLLHCRYLSVLVVLVTGGHINPAVTFGLFLARKVSFIRAVAYMVSQCLGAICGVGLVKAFMKHPYNSLGGGANTVASGYNKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNDKAWDEHWIFWVGPFVGALAAAIYHQYILRAAAIKALGSFRSNPTN >KJB11980 pep chromosome:Graimondii2_0_v6:2:25974007:25975757:1 gene:B456_002G143600 transcript:KJB11980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERVKTRRFRLASIEGRIWLFTCLIREVVHGKLVLLGINNEDGVDVVNKGCYNENLSQQINIDDRGIAVFWLHVIPAIVMYSSQGPFSCPEKLGSISFSVEFLKLILITLLY >KJB11979 pep chromosome:Graimondii2_0_v6:2:25974007:25975757:1 gene:B456_002G143600 transcript:KJB11979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERVKTRRFRLASIEGRIWLFTCLIREVVHGKLVLLGINNEDGVDVVNKGCYNENLSQQINIDDRGIAVFWLHVIPAIVMYSSQGPFSCPEKLGSISFSVEFLKLILITLLY >KJB12424 pep chromosome:Graimondii2_0_v6:2:1140033:1143537:-1 gene:B456_002G017200 transcript:KJB12424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLYKKLVFMRNPLSRHSNRVFNDSKWIIPFLASLLVSITLIFSAIFGVFKAPYDRYHHQLPFDIISFAKTEVSNGYFVESSLNKVLNTNSSSFEPPRLAYLISGTKGDSHRMMRTLQVIYHPRNQYVLHLDLEAPPRERLELANAVKNNPTFAVVENVRVMAQSNLVTYKGPTMIASTLQAIAILLKESLDWDWFINLSASDYPLVTQDDLLHVFSNLSRHLNFIEHMQITGWKLNQRARPIVVDPGLYLSKKSDIAWTTQRRSLPTSFKLFTGSAWVALTRTFAEYCIWGWDNFPRTILMYYTNFISSPEGYFHTVICNTNEFHSTAISHDLHYIAWDTPPKQHPISLSMKDFDKMVKSNAPFARKFHKNDPVLDKIDKELLGRTGRFAPGAWCIGSSEGGADPCSVRGDDSVFRPGPGAERLQRLVNTLLSEEFRKKQCS >KJB12121 pep chromosome:Graimondii2_0_v6:2:85645:88061:-1 gene:B456_002G001500 transcript:KJB12121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFDVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSAIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >KJB12123 pep chromosome:Graimondii2_0_v6:2:85612:88130:-1 gene:B456_002G001500 transcript:KJB12123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFDVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSAIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >KJB12122 pep chromosome:Graimondii2_0_v6:2:85619:88090:-1 gene:B456_002G001500 transcript:KJB12122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFDVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSAIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >KJB12862 pep chromosome:Graimondii2_0_v6:2:3337192:3337994:1 gene:B456_002G040600 transcript:KJB12862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFKEKPELIDGSNAMEETEVPEIDTGLLMSLLEESQCDEYCNEEQINSLMESLEAEIRMASDCSCSTEGGIGSNDCFEWSEMEMVPSSPSDDMNWYVEDHVQEMSMDGYLVQFGNYFPFNCYENQLENGFTSLWQETYDTAIYN >KJB15962 pep chromosome:Graimondii2_0_v6:2:55196301:55199034:-1 gene:B456_002G206100 transcript:KJB15962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSKENNPGSIAAPNDGQRMGGAKMVKDMEQNQRRALSSINQNIIGASLHHSGVVNKRELPGKDEICNKKSALEQRSDTRSLAVERVSNQHHFLEEAKNQSELTVKPGGLDDFETVDVEQCGEGNDVTLPMFVKHTEAVLDETDEMDIEMEDMENSIIDIDCSDSKDPLAVVEYVDDIYAYYKKTEVSSCVSPNYMDRQFDINEKMRAILIDWLIEQVHYKFDLMEETLLLTINLIDRFLERCTVIRKKLQLVGMTAMLLACKYEEVSVPIVEDFVLISDKAYTRKDVLDMEKLMVNTLQFHMSVPTPYVFMRRFLKAAQSEKKLEFLSFFLIELCMVEYEMLKFQPSLLAAAAIYTAQCSLFRFKNWTKTSEWHTKYTEDQLLECSKLMVTYHQKAGSGKLKGVHRKYSSYKFGYAAKTEPALFLLDP >KJB15959 pep chromosome:Graimondii2_0_v6:2:55196211:55199491:-1 gene:B456_002G206100 transcript:KJB15959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSKENNPGSIAAPNDGQRMGGAKMVKDMEQNQRRALSSINQNIIGASLHHSGVVNKRELPGKDEICNKKSALEQRSDTRSLAVERVSNQHHFLEEAKNQSELTVKPGGLDDFETVDVEQCGEGNDVTLPMFVKHTEAVLDETDEMDIEMEDMENSIIDIDCSDSKDPLAVVEYVDDIYAYYKKTEVSSCVSPNYMDRQFDINEKMRAILIDWLIEVHYKFDLMEETLLLTINLIDRFLERCTVIRKKLQLVGMTAMLLACKYEEVSVPIVEDFVLISDKAYTRKDVLDMEKLMVNTLQFHMSVPTPYVFMRRFLKAAQSEKKLEFLSFFLIELCMVEYEMLKFQPSLLAAAAIYTAQCSLFRFKNWTKTSEWHTKYTEDQLLECSKLMVTYHQKAGSGKLKGVHRKYSSYKFGYAAKTEPALFLLDP >KJB15960 pep chromosome:Graimondii2_0_v6:2:55196247:55199419:-1 gene:B456_002G206100 transcript:KJB15960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSKENNPGSIAAPNDGQRMGGAKMVKDMEQNQRRALSSINQNIIGASLHHSGVVNKRELPGKDEICNKKSALEQRSDTRSLAVERVSNQHHFLEEAKNQSELTVKPGGLDDFETVDVEQCGEGNDVTLPMFVKHTEAVLDETDEMVSSCVSPNYMDRQFDINEKMRAILIDWLIEVHYKFDLMEETLLLTINLIDRFLERCTVIRKKLQLVGMTAMLLACKYEEVSVPIVEDFVLISDKAYTRKDVLDMEKLMVNTLQFHMSVPTPYVFMRRFLKAAQSEKKLEFLSFFLIELCMVEYEMLKFQPSLLAAAAIYTAQCSLFRFKNWTKTSEWHTKYTEDQLLECSKLMVTYHQKAGSGKLKGVHRKYSSYKFGYAAKTEPALFLLDP >KJB15958 pep chromosome:Graimondii2_0_v6:2:55196511:55199034:-1 gene:B456_002G206100 transcript:KJB15958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSKENNPGSIAAPNDGQRMGGAKMVKDMEQNQRRALSSINQNIIGASLHHSGVVNKRELPGKDEICNKKSALEQRSDTRSLAVERVSNQHHFLEEAKNQSELTVKPGGLDDFETVDVEQCGEGNDVTLPMFVKHTEAVLDETDEMDIEMEDMENSIIDIDCSDSKDPLAVVEYVDDIYAYYKKTEVSSCVSPNYMDRQFDINEKMRAILIDWLIEVHYKFDLMEETLLLTINLIDRFLERCTVIRKKLQLVGMTAMLLACKYEEVSVPIVEDFVLISDKAYTRKDVLDMEKLMVNTLQFHMSVPTPYVFMRRFLKAAQSEKKLEFLSFFLIELCMVEYEMLKFQPSLLAAAAIYTAQCSLFRFKNWTKTSEWHTKYTEDQLLECSKLMVTYHQKAGSGKLKGVHRKYSSYKFGYAAKTEPALFLLDP >KJB15961 pep chromosome:Graimondii2_0_v6:2:55196247:55199419:-1 gene:B456_002G206100 transcript:KJB15961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSKENNPGSIAAPNDGQRMGGAKMVKDMEQNQRRALSSINQNIIGASLHHSGVVNKRELPGKDEICNKKSALEQRSDTRSLAVEREAKNQSELTVKPGGLDDFETVDVEQCGEGNDVTLPMFVKHTEAVLDETDEMDIEMEDMENSIIDIDCSDSKDPLAVVEYVDDIYAYYKKTEVSSCVSPNYMDRQFDINEKMRAILIDWLIEVHYKFDLMEETLLLTINLIDRFLERCTVIRKKLQLVGMTAMLLACKYEEVSVPIVEDFVLISDKAYTRKDVLDMEKLMVNTLQFHMSVPTPYVFMRRFLKAAQSEKKLEFLSFFLIELCMVEYEMLKFQPSLLAAAAIYTAQCSLFRFKNWTKTSEWHTKYTEDQLLECSKLMVTYHQKAGSGKLKGVHRKYSSYKFGYAAKTEPALFLLDP >KJB17103 pep chromosome:Graimondii2_0_v6:2:62505936:62509258:-1 gene:B456_002G265200 transcript:KJB17103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQIAYTVKEFAPAVPGWLNKGDNAWQMTASTLVGIQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLLCYRMAFGDELLPFWGKGAPALGQKYLLGRAKVPESKHRGYNDVDDITEPLYPMATLVYFQFTFAAITLILLAGSVLGRMNIRAWMAFVPLWLIFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRLKCDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANIDSSIAVLNTNVCAATSLLVWTSLDVVFFGKPSVVGAVQGMMTGLACITPGAGLVQSWAAIVMGMLSGSIPWVSMMVLHKKCSLLQKVDDALGVFHTHAVAGLLGGLLTGLLAEPDQCKLILPFDTRGAFYGGNGGVQFLKQIVAALFVITWNVVSTSIILLFVRLFIPLRMPDNQLEIGDDAAHGEEAYALWGDGEKYDPTQHGWHTSQFSEVAAPSPYVNGARGVTINL >KJB16400 pep chromosome:Graimondii2_0_v6:2:58751253:58753135:-1 gene:B456_002G228700 transcript:KJB16400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLGDHAILVTICLATIFLFLAIFVKTRAKGRRPPSPPALPIIGHLHLLRPIPHRGLHKLSTGYGPIVSFYLGSKHCVVVSSPEIAEEFLRTNETSYLDRPKMANFDYLTYGTSDFSTAPYGPRWKLMKKLCMSEVLGPRTLEKLLPIRREEIRSFLKMIKNKAEIGGSVDVVAELMTLTNNTISRMTVSHRCSSDENKADEIRKMMREMNDLGTKFNLADIIWFCKNLDLQGFKKRLVEVRHRYDTMMERIIKEHEEERKKRNESGDEVKDFLDILLDVYEDESSEVKLTRENVKAFILNLFGAGTDTSSTTMGWGLAEILNNPEVMEKAREEIDCVVGKKRILEESDVGNLPYLQAIVKETLRLHPSGPFIVRESTKACVIAGYEIPADTRLYVNVWSLGRNPKQWKNHREFRPERFLNSEEWQARSPWMLDVMGNDFNLLPFGSGRRSCPGAALALSIVSTVLGCMIQCFEWKLGDGAGNVDMEEKNGMTLLRANDLICFPVARLNPFPSI >KJB13794 pep chromosome:Graimondii2_0_v6:2:11993132:11994091:1 gene:B456_002G094700 transcript:KJB13794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASEKLLVQCLVATLLLIAAVEGAKETTICNIPLKKLEQCKPAVTGENPPPPTKECCSLIKQADLTCLCNYKDALPAFQIEPSRAFALPQKCKCKHAVPPQCKP >KJB12608 pep chromosome:Graimondii2_0_v6:2:2014769:2016080:-1 gene:B456_002G026600 transcript:KJB12608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRLERTLTSFWSLILGSLQPHSNLECLQISCYQGLKLPGWLIDLANLVLVELDRCKRCSHLPPLGELPLLRFLKIREMDVVECISSEFYGNGVNPFSSLEGLDIDSMPVLETSKTVDKRVNFPRLRILTFKKCPELIELQTFEFGQGTMPRLLQNQTHLEELTIASLPELKSLLNQLDNLSMVKHLNFNGCKKLEDIPEALQNLNALESLILRGCNSLFFASCVALRMLPISWCNRLTSVPNQIEHLTSLSELKLESCPNLLGFQLNLLENIYEAIDRFYVKFNLGICE >KJB15940 pep chromosome:Graimondii2_0_v6:2:55075629:55079718:-1 gene:B456_002G205500 transcript:KJB15940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLKNLKQLIFSVEMKKKKRERNNNNILLQASNELFIGLNVILWRKRHRLFSLISAVSGCLLLLLFAFSVFTPPPTATDHFLSHHPSAVKKTEVVESNFDMVLQVPASGGTLGRDLWSSSQSKFYYGCSNASKSFQSADMKTHPNRYLMIATSGGLNQQRTGIIDAVVVAYILNATLVIPKLDQHSYWKDTSGFAEIFNVDWFISSLSRDVEIIKELPRNEGKAWIPRSMRVPRKCNSKCYQIRVLPVLNKKHAVELTKFDYRLSNRLETDLQKLRCRVNYQALRFTDSILELGKILVERMRMKAKQFIALHLRFEPDMLAFSGCYYGGGEKEREELGAIRKRWKTLHVSNPEKVRRHGRCPLTPEEVGLMLRALGFGSDVHIYVASGEVYRGEETLAPLKALFPNFHSKETIATKEELAPFSSFSGRMAALDYIVCDESDVFVTNNNGNMARILAGRRRYFGHKPTIRPNAKKLYKLFLDRNNMTWDEFASKVRTYQIGFMGEPKEVKPGKGEFHENPDSCICQANGLKTPQEDDENSKERKEGAEVSDEQQQQPQPLEEDPDWTDIFYLDKELPDVITKQEHTEVEGFFSD >KJB16264 pep chromosome:Graimondii2_0_v6:2:57398479:57411116:-1 gene:B456_002G220300 transcript:KJB16264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGALCHRQKKMMGRGADGGCGTEERLCRPISGVSSRRPVIQSETSEKQYDVGVDFFSQARKALCQRSPFDVPEGGSVSGLSVPTLPSGLASLLKQTDSRKKHKKSHSGADKKSSRQGEKAREASIWAETEVFFRDLALPDIDALFEIIPSRFLAARKKCFMIPYVGNVLTGNSNLYAEVDEKASVSSGENFNGVNENGNVDKEGKEVVRVEDWHLMEIDNVATQAQFSPKETAGHFFPDSTSSLEWLLGSRSRILLSSERPSKKRKLVGEDAGLLTNDGDGTVKSCVLCPKQGGALKPVQKNDENGSSVEFAHLFCSYWMPEVYIEDLTKMEPIINAGGINDTRKKLVCNVCKVKNGACVRCSHGTCRTSFHPICAREARHRMEVWGRFGCDNIELRAFCSKHSEIHDKSSSPQHGELCASGTDSSITNQLSLQSMDNSQNSKISQSNGDKIAVGIEGLDDKSGDGELQEIDVSGTRSNAQVASECGEAQHLVDVGLLERTNDDEHSPFNSLNFAMILKKLIDRGKVNVKDIASEIGLSADSLSASLNNDSLAPDLQCKIVKWLSNHAYMGTSLKNIKVNIKSLISSKDETDETGMGISDDIMASKSDIADVVAVKPMPPWRRTKNNVRILRDNKILCSSDEATDDIGVVMDEVGVDLLAKEETNDLSKISILDATGRNSANPDVSQDSAERHFHTYEGNSTDLLNDSLHGKSQSERAMTPEKKTDQGNSIWSIVNPIIADLIRTEEFSNFYIHPYTQKQLLQMPNGLLCKNRVGECEGRKDTLNEFYGAKEGDLSRLVASSNASVCCSHQSEHSMCNEKSCPVDDLELSVKARKLGALNFSPEDEVEGEIIFYQHRLLGNAVSRNHVTVSRVAKSLPQEVEASRTKIWDTMLVNRYLYELREAKKQGRKERRHKEAQAVLAAATAAVAASSRISSRKDGLEDSSHQENVLKLNASVGRAGINSQTRAKDALSRNAVSRTPSEKYSDIVQSVTDFSKGHPRSCDICRRSETVLNPILVCSGCKVAVHLDCYRNVKESTGPWRCELCEELFSSRSSGAPSLNFWEKPYPAAECGLCGGTTGAFRKSVDGQWVHAFCAEWVLESTFRRGQVNPVEGMHLASRGVDVCCICCCKRGACIKCGYGHCQITFHPSCARSAGFCMNVKLGGGKLHHKAYCEQHSVEQRAKAETQKHGIEELKNMKQIRVELERLRLLCERIIKREKLKRELVLCSHEILACKRDHVTRAVLVHSPFFHPDVSSESATTSLKGHTDDNKSCSEAMRSDDVTVDSTLSVKHQAKVSVPVDNYQRTDDSSTSQSLFVRKPTERVPFSGKQIPHRYSLASRNALDNAEWNSKSRKPIETFEKELVMTSDEASMKNSRLPKGYCYVPVDCLPKEKHLTRDTTSDGQLEHNG >KJB16265 pep chromosome:Graimondii2_0_v6:2:57398479:57411135:-1 gene:B456_002G220300 transcript:KJB16265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGALCHRQKKMMGRGADGGCGTEERLCRPISGVSSRRPVIQSETSEKQYDVGVDFFSQARKALCQRSPFDVPEGGSVSGLSVPTLPSGLASLLKQTDSRKKHKKSHSGADKKSSRQGEKAREASIWAETEVFFRDLALPDIDALFEIIPSRFLAARKKCFMIPYVGNVLTGNSNLYAEVDEKASVSSGENFNGVNENGNVDKEGKEVVRVEDWHLMEIDNVATQAQFSPKETAGHFFPDSTSSLEWLLGSRSRILLSSERPSKKRKLVGEDAGLLTNDGDGTVKSCVLCPKQGGALKPVQKNDENGSSVEFAHLFCSYWMPEVYIEDLTKMEPIINAGGINDTRKKLVCNVCKVKNGACVRCSHGTCRTSFHPICAREARHRMEVWGRFGCDNIELRAFCSKHSEIHDKSSSPQHGELCASGTDSSITNQLSLQSMDNSQNSKISQSNGDKIAVGIEGLDDKSGDGELQEIDVSGTRSNAQVASECGEAQHLVDVGLLERTNDDEHSPFNSLNFAMILKKLIDRGKVNVKDIASEIGLSADSLSASLNNDSLAPDLQCKIVKWLSNHAYMGTSLKNIKVNIKSLISSKDETDETGMGISDDIMASKSDIADVVAVKPMPPWRRTKNNVRILRDNKILCSSDEATDDIGVVMDEVGVDLLAKEETNDLSKISILDATGRNSANPDVSQDSAERHFHTYEGNSTDLLNDSLHGKSQSERAMTPEKKTDQGNSIWSIVNPIIADLIRTEEFSNFYIHPYTQKQLLQMPNGLLCKNRVGECEGRKDTLNEFYGAKEGDLSRLVASSNASVCCSHQSEHSMCNEKSCPVDDLELSVKARKLGALNFSPEDEVEGEIIFYQHRLLGNAVSRNHVTDNLVSRVAKSLPQEVEASRTKIWDTMLVNRYLYELREAKKQGRKERRHKEAQAVLAAATAAVAASSRISSRKDGLEDSSHQENVLKLNASVGRAGINSQTRAKDALSRNAVSRTPSEKYSDIVQSVTDFSKGHPRSCDICRRSETVLNPILVCSGCKVAVHLDCYRNVKESTGPWRCELCEELFSSRSSGAPSLNFWEKPYPAAECGLCGGTTGAFRKSVDGQWVHAFCAEWVLESTFRRGQVNPVEGMHLASRGVDVCCICCCKRGACIKCGYGHCQITFHPSCARSAGFCMNVKLGGGKLHHKAYCEQHSVEQRAKAETQKHGIEELKNMKQIRVELERLRLLCERIIKREKLKRELVLCSHEILACKRDHVTRAVLVHSPFFHPDVSSESATTSLKGHTDDNKSCSEAMRSDDVTVDSTLSVKHQAKVSVPVDNYQRTDDSSTSQSLFVRKPTERVPFSGKQIPHRYSLASRNALDNAEWNSKSRKPIETFEKELVMTSDEASMKNSRLPKGYCYVPVDCLPKEKHLTRDTTSDGQLEHNG >KJB16262 pep chromosome:Graimondii2_0_v6:2:57398419:57409726:-1 gene:B456_002G220300 transcript:KJB16262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEVYIEDLTKMEPIINAGGINDTRKKLVCNVCKVKNGACVRCSHGTCRTSFHPICAREARHRMEVWGRFGCDNIELRAFCSKHSEIHDKSSSPQHGELCASGTDSSITNQLSLQSMDNSQNSKISQSNGDKIAVGIEGLDDKSGDGELQEIDVSGTRSNAQVASECGEAQHLVDVGLLERTNDDEHSPFNSLNFAMILKKLIDRGKVNVKDIASEIGLSADSLSASLNNDSLAPDLQCKIVKWLSNHAYMGTSLKNIKVNIKSLISSKDETDETGMGISDDIMASKSDIADVVAVKPMPPWRRTKNNVRILRDNKILCSSDEATDDIGVVMDEVGVDLLAKEETNDLSKISILDATGRNSANPDVSQDSAERHFHTYEGNSTDLLNDSLHGKSQSERAMTPEKKTDQGNSIWSIVNPIIADLIRTEEFSNFYIHPYTQKQLLQMPNGLLCKNRVGAKEGDLSRLVASSNASVCCSHQSEHSMCNEKSCPVDDLELSVKARKLGALNFSPEDEVEGEIIFYQHRLLGNAVSRNHVTDNLVSRVAKSLPQEVEASRTKIWDTMLVNRYLYELREAKKQGRKERRHKEAQAVLAAATAAVAASSRISSRKDGLEDSSHQENVLKLNASVGRAGINSQTRAKDALSRNAVSRTPSEKYSDIVQSVTDFSKGHPRSCDICRRSETVLNPILVCSGCKVAVHLDCYRNVKESTGPWRCELCEELFSSRSSGAPSLNFWEKPYPAAECGLCGGTTGAFRKSVDGQWVHAFCAEWVLESTFRRGQVNPVEGMHLASRGVDVCCICCCKRGACIKCGYGHCQITFHPSCARSAGFCMNVKLGGGKLHHKAYCEQHSVEQRAKAETQKHGIEELKNMKQIRVELERLRLLCERIIKREKLKRELVLCSHEILACKRDHVTRAVLVHSPFFHPDVSSESATTSLKGHTDDNKSCSEAMRSDDVTVDSTLSVKHQAKVSVPVDNYQRTDDSSTSQSLFVRKPTERVPFSGKQIPHRYSLASRNALDNAEWNSKSRKPIETFEKELVMTSDEASMKNSRLPKGYCYVPVDCLPKEKHLTRDTTSDGQLEHNG >KJB16263 pep chromosome:Graimondii2_0_v6:2:57398479:57410722:-1 gene:B456_002G220300 transcript:KJB16263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGALCHRQKKMMGRGADGGCGTEERLCRPISGVSSRRPVIQSETSEKQYDVGVDFFSQARKALCQRSPFDVPEGGSVSGLSVPTLPSGLASLLKQTDSRKKHKKSHSGADKKSSRQGEKAREASIWAETEVFFRDLALPDIDALFEIIPSRFLAARKKCFMIPYVGNVLTGNSNLYAEVDEKASVSSGENFNGVNENGNVDKEGKEVVRVEDWHLMEIDNVATQAQFSPKETAGHFFPDSTSSLEWLLGSRSRILLSSERPSKKRKLVGEDAGLLTNDGDGTVKSCVLCPKQGGALKPVQKNDENGSSVEFAHLFCSYWMPEVYIEDLTKMEPIINAGGINDTRKKLVCNVCKVKNGACVRCSHGTCRTSFHPICAREARHRMEVWGRFGCDNIELRAFCSKHSEIHDKSSSPQHGELCASGTDSSITNQLSLQSMDNSQNSKISQSNGDKIAVGIEGLDDKSGDGELQEIDVSGTRSNAQVASECGEAQHLVDVGLLERTNDDEHSPFNSLNFAMILKKLIDRGKVNVKDIASEIGLSADSLSASLNNDSLAPDLQCKIVKWLSNHAYMGTSLKNIKVNIKSLISSKDETDETGMGISDDIMASKSDIADVVAVKPMPPWRRTKNNVRILRDNKILCSSDEATDDIGVVMDEVGVDLLAKEETNDLSKISILDATGRNSANPDVSQDSAERHFHTYEGNSTDLLNDSLHGKSQSERAMTPEKKTDQGNSIWSIVNPIIADLIRTEEFSNFYIHPYTQKQLLQMPNGLLCKNRVGECEGRKDTLNEFYGAKEGDLSRLVASSNASVCCSHQSEHSMCNEKSCPVDDLELSVKARKLGALNFSPEDEVEGEIIFYQHRLLGNAVSRNHVTDNLVSRVAKSLPQEVEASRTKIWDTMLVNRYLYELREAKKQGRKERRHKEAQAVLAAATAAVAASSRISSRKDGLEDSSHQENVLKLNASVGRAGINSQTRAKDALSRNAVSRTPSEKYSDIVQSVTDFSKGHPRSCDICRRSETVLNPILVCSGCKVAVHLDCYRNVKESTGPWRCELCEELFSSRSSGAPSLNFWEKPYPAAECGLCGGTTGAFRKSVDGQWVHAFCAEWVLESTFRRGQVNPVEGMHLASRGVDVCCICCCKRGACIKCGYGHCQITFHPSCARSAGFCMNVKLGGGKLHHKAYCEQHSVEQRAKAETQKHGIEELKNMKQIRVELERLRLLCERIIKREKLKRELVLCSHEILACKRDHVTRAVLVHSPFFHPDVSSESATTSLKGHTDDNKSCSEAMRSDDVTVDSTLSVKHQAKVSVPVDNYQRTDDSSTSQSLFVRKPTERVPFSGKQIPHRYSLASRNALDNAEWNSKSRKVKFVTRSL >KJB12233 pep chromosome:Graimondii2_0_v6:2:489988:492905:1 gene:B456_002G007600 transcript:KJB12233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPKQRISSLKVSRGRGRPPKTKLFRKKVPAMTVNQIVSYEKEKAQCNKRKRATYDEVYENGQTKLAVMERALDIQANLSSEFPSMIKYMLPSHVTGGFWLGLPKHFCLNHLPKEDRMMVLEDEEGKEFQVKYLVEKIGLSGGWRGFSIAHKLLEGDVCVFHLVRPSKFKVYIVRKKCSDEVDVALGLLKLESSTQLVDNGKELKICEISVGKTEDRNAPILYSSNIERTVDQSKNNRVDLGSEVSDGVRLSDSIVNFREVKSFEDFNILVNGLVIDSEFSKYLQMKYYELCCNQNSFLHENLLEGLNCKLVAGVIAETINIADAIRAAKLTTPHDSFLTWDKTLRSFEGLGMKVGFLRARLDKLMNLLTKSRRYKEVKLEQANSNEEKLKLEAKLAEVTHTLTKLDREIRLFKQEKADDLEALFREVANGPW >KJB12231 pep chromosome:Graimondii2_0_v6:2:489956:492905:1 gene:B456_002G007600 transcript:KJB12231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPKQRISSLKIVSYEKEKAQCNKRKRATYDEVYENGQTKLAVMERALDIQANLSSEFPSMIKYMLPSHVTGGFWLGLPKHFCLNHLPKEDRMMVLEDEEGKEFQVKYLVEKIGLSGGWRGFSIAHKLLEGDVCVFHLVRPSKFKVYIVRKKCSDEVDVALGLLKLESSTQLVDNGKELKICEISVGKTEDRNAPILYSSNIERTVDQSKNNRVDLGSEVSDGVRLSDSIVNFREVKSFEDFNILVNGLVIDSEFSKYLQMKYYELCCNQNSFLHENLLEGLNCKLVAGVIAETINIADAIRAAKLTTPHDSFLTWDKTLRSFEGLGMKVGFLRARLDKLMNLLTKSRRYKEVKLEQANSNEEKLKLEAKLAEVTHTLTKLDREIRLFKQEKADDLEALFREVANGPW >KJB12234 pep chromosome:Graimondii2_0_v6:2:490246:492905:1 gene:B456_002G007600 transcript:KJB12234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYVCMYVFSEVPKACKLSQQVSRGRGRPPKTKLFRKKVPAMTVNQIVSYEKEKAQCNKRKRATYDEVYENGQTKLAVMERALDIQANLSSEFPSMIKYMLPSHVTGGFWLGLPKHFCLNHLPKEDRMMVLEDEEGKEFQVKYLVEKIGLSGGWRGFSIAHKLLEGDVCVFHLVRPSKFKVYIVRKKCSDEVDVALGLLKLESSTQLVDNGKELKICEISVGKTEDRNAPILYSSNIERTVDQSKNNRVDLGSEVSDGVRLSDSIVNFREVKSFEDFNILVNGLVIDSEFSKYLQMKYYELCCNQNSFLHENLLEGLNCKLVAGVIAETINIADAIRAAKLTTPHDSFLTWDKTLRSFEGLGMKVGFLRARLDKLMNLLTKSRRYKEVKLEQANSNEEKLKLEAKLAEVTHTLTKLDREIRLFKQEKADDLEALFREVANGPW >KJB12232 pep chromosome:Graimondii2_0_v6:2:489956:492905:1 gene:B456_002G007600 transcript:KJB12232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPKQRISSLKQVSRGRGRPPKTKLFRKKVPAMTVNQIVSYEKEKAQCNKRKRATYDEVYENGQTKLAVMERALDIQANLSSEFPSMIKYMLPSHVTGGFWLGLPKHFCLNHLPKEDRMMVLEDEEGKEFQVKYLVEKIGLSGGWRGFSIAHKLLEGDVCVFHLVRPSKFKVYIVRKKCSDEVDVALGLLKLESSTQLVDNGKELKICEISVGKTEDRNAPILYSSNIERTVDQSKNNRVDLGSEVSDGVRLSDSIVNFREVKSFEDFNILVNGLVIDSEFSKYLQMKYYELCCNQNSFLHENLLEGLNCKLVAGVIAETINIADAIRAAKLTTPHDSFLTWDKTLRSFEGLGMKVGFLRARLDKLMNLLTKSRRYKEVKLEQANSNEEKLKLEAKLAEVTHTLTKLDREIRLFKQEKADDLEALFREVANGPW >KJB14729 pep chromosome:Graimondii2_0_v6:2:24521114:24531611:-1 gene:B456_002G140700 transcript:KJB14729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKLVGQLNQIPLSVNKSHPNQLHRENTSAFSSLFFSPLFSMASATVDHRNHLHIESIPVVDLRLLSQPDLLSLALCSSSPSPSNAETELFTPKIDRSVFNESAGSRKQTFFRLRFAAPRSHLHHQHSSPPSEPFPSRSLYLNPESLDEESSNALSLLKSLFNIDDSLPANPEADEPYDDKDLVPVQIEYPNGNSGLQNIPVDIVSSSLRKRKRGRPRRDGKDNWLIESEPLAIEEYKEMKIFDRPNETADAGNSSSCNGGKRRRGRPRREESQSRVIASEEKKVESEIEKAAFVNVEAILGIEEELRRRTEGIVTEVQLLEFMKGLEGEWASKSQKKRIIDAAGFGNVLPKGWKLMLFVKKRAGHCWLACSRYISPNGQQFVSCKEVSSYLLSFGGLKGSSVETLSHADNGIDLGVKPTPGNLPITCRSSENETRAPLLKIGSPREVQRAETIKCHKCTMTFNLQDDFICHLLSSHKGSAMSSGHGTPANEEVKIKNGKYECQFCHQLFEERNCYSSHLGIHMENNMKKVEGSVGEQNTVQPLNSAGSNEIGPGFRCSESNENALVETFTDKYNHEGSLLSHDEQDKVNMSEKVLADRNCDTKSKFCFVTDNKGDITDATAAADLNVCLGSENILFTSDKEGISRSSDKIDVGFAVNSVEEKKREMASNTSFLAPNAKGNMFSDENTEDGHFPSFLKGMEVDLKDKATRDDPKAGCADTSTELSNVRIDTVQGNYNEGCSLIPSGNKQRVNLVDHLKGASVTTDSTHERGSGCGLSLSKGDQTCVINNSLILVSGTLDDPESIMVNESANIDPTICFQSHLPMKKPSQEKSETVLLTSHGREQIFPSDNNAFKAFSRTVEVSELDGAQNYRGLSPGVNSRNSGVDPNILASVKHEKTKDRLFGPASYKKTHTCTPEYKQDKGSESILYQQYGNQQNSNYETSMNKVSFFTKEEPKHKGGSSIVGNAYARVGAFALTGTVQESCSPHFSGNREKISVKNNVPGISSGVVLEPKQNKGAFEDFFGLSSSEQTHVANNLNMVHAGTAHDGSRLQDFQNARNNEIMIGYSNHARPIEDSMTGLTWKSNEGNVLLSGLADTSSQLLTSSGYYPTFDWMSHKGETEMFDISGKCSSIAGFEGLQSGSIEHMEYNFLTAQPSSCSGNSKGQSEMFNISGKCSNESGLSDNLEHMEYSFMTAQPSSRSGNSKVPSYGSEMALKFDSVWLGKDALPLLPKIAGRHQVTTLCSWCGNQFYNEAVDIAAQRSTMVMCANCRARFSRNHDFM >KJB16345 pep chromosome:Graimondii2_0_v6:2:58038818:58040703:-1 gene:B456_002G225000 transcript:KJB16345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIATTCYYLTLSSTSTRKTWLELKTNYGRATPIRRRNLSIRAEVNFVNAEEAKKLIAVEGYAVVDVRDKSQFDRAHIKSCYHVPLFIENTDNDPGTIVKRTLHNNFSGLFFGLPFTKLNPEFVQSVKSQFSPESKLLLVCQEGLRSTAAANKLEQAGFQNIACTFDSVGKAELQDAGKAGLVTIQGKISAVLGTVLICAYLFITLFPDQAEKLLQMSPVR >KJB16342 pep chromosome:Graimondii2_0_v6:2:58038795:58040786:-1 gene:B456_002G225000 transcript:KJB16342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIATTCYYLTLSSTSTRKTWLELKTNYGRATPIRRRNLSIRAEVNFVNAEEAKKLIAVEGYAVVDVRDKSQFDRAHIKSCYHVPLFIENTDNDPGTIVKRTLHNNFSGLFFGLPFTKLNPEFVQSVKSQFSPESKLLLVCQEGLRSTAAANKLEQAGFQNIACITSGLQTVKPGTFDSVGKAELQDAGKAGLVTIQGKISAVLGTVLICAYLFITLFPDQAEKLLQMSPVR >KJB16343 pep chromosome:Graimondii2_0_v6:2:58039539:58040593:-1 gene:B456_002G225000 transcript:KJB16343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIATTCYYLTLSSTSTRKTWLELKTNYGRATPIRRRNLSIRAEVNFVNAEEAKKLIAVEGYAVVDVRDKSQFDRAHIKSCYHVPLFIENTDNDPGTIVKRTLHNNFSGLFFGLPFTKLNPEFVQSVKSQFSPESKLLLVCQEGLRLVLTMLWICLICNLVY >KJB16344 pep chromosome:Graimondii2_0_v6:2:58039539:58040593:-1 gene:B456_002G225000 transcript:KJB16344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIATTCYYLTLSSTSTRKTWLELKTNYGRATPIRRRNLSIRAEVNFVNAEEAKKLIAVEGYAVVDVRDKSQFDRAHIKSCYHVPLFIENTDNDPGTIVKRTLHNNFSGLFFGLPFTKLNPEFVQSVKSQFSPESKLLLVCQEGLRLVLTMLWICLICNLVY >KJB13669 pep chromosome:Graimondii2_0_v6:2:11182886:11187128:1 gene:B456_002G088400 transcript:KJB13669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTGKASLPATFSGRNPTDADLKPTTKRKARNRRWKRVGGTPVVGRRSRPETPLLKWKVEEREKERGKEKVRGVEEELEDEVEEEENGGRRGGGRGHRRKGAPKVSARKLAAGLWRLQLPETVTSSVAERRSDRLGFKPGKDFVGVPFHYHHKDKICSSDAKDPLQSPGSASGTKNGLLRKIEPSIQFSNSAMEGATKWDPVCLKTTDEVRQIYNHMKRIDQQVSAVSIVSALEAELEQARAYIEELETERRSSKKKLEHFLRKVSEERTAWRSREHEKIRAFVDDVKADLNREQKKRQRLEIVNSKLVNELAAAKLSAKQYMQDYEKERKARELIEEVCDELAKEIGEDKAEVEAIKRDSMKLREEVDEERKMLQMAEVWREERVQMKLIDAKVALEERYSQMNKLIADLETFLRSRTGNLDTQDMQEAESLRQAAESVNVKEIKEFTYEPANPDDIFAVFEDVAFGEANEREIEPFTAYSPASHASKVLMLSPEMNMMKKDSIMKHSNALFDQNDEIEEDESGWETVSHLEDQGSIYSPKGSAASVTRNHRDSNFSGSGTEWEENACGDTPITEISEVCSLPARQSKKASSITRLWRSCPNNGENYKIISVEGANGRLSNGRKSNGGIVSPDRGSGKGGLSPSMGQWSSPDGHPHITKGMKGCIDWPRGAQKNSLKAKLLEARMESQKVQLRHVLKQKI >KJB13670 pep chromosome:Graimondii2_0_v6:2:11183944:11187049:1 gene:B456_002G088400 transcript:KJB13670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGATKWDPVCLKTTDEVRQIYNHMKRIDQQVSAVSIVSALEAELEQARAYIEELETERRSSKKKLEHFLRKVSEERTAWRSREHEKIRAFVDDVKADLNREQKKRQRLEIVNSKLVNELAAAKLSAKQYMQDYEKERKARELIEEVCDELAKEIGEDKAEVEAIKRDSMKLREEVDEERKMLQMAEVWREERVQMKLIDAKVALEERYSQMNKLIADLETFLRSRTGNLDTQDMQEAESLRQAAESVNVKEIKEFTYEPANPDDIFAVFEDVAFGEANEREIEPFTAYSPASHASKVLMLSPEMNMMKKDSIMKHSNALFDQNDEIEEDESGWETVSHLEDQGSIYSPKGSAASVTRNHRDSNFSGSGTEWEENACGDTPITEISEVCSLPARQSKKASSITRLWRSCPNNGENYKIISVEGANGRLSNGRKSNGGIVSPDRGSGKGGLSPSMGQWSSPDGHPHITKGMKGCIDWPRGAQKNSLKAKLLEARMESQKVQLRHVLKQKI >KJB16578 pep chromosome:Graimondii2_0_v6:2:60167262:60169033:-1 gene:B456_002G238300 transcript:KJB16578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMPPGFRFYPTEEELVSFYLHKKLEAKTDDDLNHVMNRVIPVVDIYNFNPWDLPRFSDNLCHKDPEQWFFFIPRQESEARGGRPKRLTSSGYWKATGSPGYVYSFNSRPIGVKRTMVFYNGRAPNGKKTDWKMNEYKAIQDHVSLANATTPPTLRQEFSLCRVYKKSKCLRSFDRRPPGILKIGELFPGLDNNQYINRFTQIGMNDNSSTKKDVVDDDEYAFWDVDGFWNL >KJB12587 pep chromosome:Graimondii2_0_v6:2:1848752:1852627:1 gene:B456_002G025400 transcript:KJB12587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSTVSASVPAFLCSNTTKIPSFKVPHHTPLRLYNAKRTQIWVKRSCFKSTITAKVAQQSDLQYRKLGDSDLQISEITLGTMTFGEQNTEKEAHEMLSYAFEHGINALDTAEAVSSLYPIPMKKETSGRTDLYIASWLKSQPRDKVILATKVCGYSERSAHLRDNAKVLRVDAVNIRESVEKSLKRLNTDYIDLLQIHWPDRYVPLFGEYFYDSSKWRPSIPFVEQLKALQEVIDEGKVRYIGVSNETSYGVMEFVHAARVEGLSKIVSIQNSYSLLVRRFEVDLVEVCHPKNCNIGLLSYSPLAGGALSGKYLDINSEAAKKGRMNLFPGYMERYNKSIAKEATMQYIEMAKKHGLSPVQLALGFVRDRPFMTSSIIGATSVEQLKEDIDAFLTTQRPLPPEVMEDIEAIFKRYKDPAIL >KJB12586 pep chromosome:Graimondii2_0_v6:2:1848751:1852924:1 gene:B456_002G025400 transcript:KJB12586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSTVSASVPAFLCSNTTKIPSFKVPHHTPLRLYNAKRTQIWVKRSCFKSTITAKVAQQSDLQYRKLGDSDLQISEITLGTMTFGEQNTEKEAHEMLSYAFEHGINALDTAEAYPIPMKKETSGRTDLYIASWLKSQPRDKVILATKVCGYSERSAHLRDNAKVLRVDAVNIRESVEKSLKRLNTDYIDLLQIHWPDRYVPLFGEYFYDSSKWRPSIPFVEQLKALQEVIDEGKVRYIGVSNETSYGVMEFVHAARVEGLSKIVSIQNSYSLLVRRFEVDLVEVCHPKNCNIGLLSYSPLAGGALSGKYLDINSEAAKKGRMNLFPGYMERYNKSIAKEATMQYIEMAKKHGLSPVQLALGFVRDRPFMTSSIIGATSVEQLKEDIDAFLTTQRPLPPEVMEDIEAIFKRYKDPAIL >KJB13171 pep chromosome:Graimondii2_0_v6:2:6949493:6956909:-1 gene:B456_002G060100 transcript:KJB13171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPKQVTVRDLVEEAKKRIVILAICVVGLSYLMSLTSSSVLVNLPAAASLIVLLRYISIDYEMRRKAAVYNRKSSSMSSLTPKQPPERPKVVDRSDWRRKVNSPIVEDAIDHFTRHLISEWVTDLWYSRLTPDREGPEELVLIINGVLGELSDRMKNINLIDLLTRDLINLICTHLELFRVNKAKIEKQQSIPLTIEHQDAEIRRVMAAENKLHPALFSTEAEHKVLQHLMGGFISFTFKPEDLQCSFFRYVARELLACAVMRPVLNLVSPRFINERIESAIISMTKAKGVDAAEGASQYKSNGSSRIPSDHFSKFLDPSVTGVELVQLKTDQSRAAGGTTATDNLNRAHLMKDPLLSMDTRSSHSWSSVPLNSQTSAGKGIEQHRTGGEWGDMLDIISRRKTEVLAPENFENLWTKGRNYKKKEKRLIEQVPQHNSAGSPATVDHSKAISKTREKCPTKLNTSDRCAAQSTLTDQRKIEKSFLKEASNISYYSSVASCQEDDEHSLVDLEEFESESSDSFTSEEETGTVTGIGSPGTKVWDGKSNRNLAVSHIHHPLENPEGHMAKKAGGRRVQYRRLTRTPSSRKRSRLTCQKLPVWQEVDRSSFISGDGQDILNSPNGHEKADDSSNDSDTEFFGRLHSGATASLSAASISSHSLTINSLQNSLVVDSFFKLRCEVLGANIVKSGSKTFAVYSISITDVNNNNSWSIKRRFRHFEELHQRLKQFPEYKLHLPPKHFLSTGLDVHVIRERCKLLDRYLKNLLQLPTISGSIEVWDFLSVDSQTYVFSNSFSIIETLSVDLDSNPSEKSDKASSVLVPLAGPLSSKREQLDTESKESARQMKPNLARDGLRNAKDMSYPPKVLTKEQGNSIDDSGSNSDTGVSKISFVRHTGKNVKGKVNDRMEDASELVLDAVSHPTVPTEWVPPNLSVPILDLVDVIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQMLRKGSVVASGIERLEKILWPDGIFITKHPRQQRPPSSCSPSKASPCSPLPPETCSPRLSDEQQQLEAERRAKFVYELMIDNAPAAIVGLVGRKEYEQSAKDLYFFIQSSVCLKLLAYDLLELLLLSAFPEMEYVFKQFHVEKHKFGEFKAN >KJB13170 pep chromosome:Graimondii2_0_v6:2:6949709:6954565:-1 gene:B456_002G060100 transcript:KJB13170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENKLHPALFSTEAEHKVLQHLMGGFISFTFKPEDLQCSFFRYVARELLACAVMRPVLNLVSPRFINERIESAIISMTKAKGVDAAEGASQYKSNGSSRIPSDHFSKFLDPSVTGVELVQLKTDQSRAAGGTTATDNLNRAHLMKDPLLSMDTRSSHSWSSVPLNSQTSAGKGIEQHRTGGEWGDMLDIISRRKTEVLAPENFENLWTKGRNYKKKEKRLIEQVPQHNSAGSPATVDHSKAISKTREKCPTKLNTSDRCAAQSTLTDQRKIEKSFLKEASNISYYSSVASCQEDDEHSLVDLEEFESESSDSFTSEEETGTVTGIGSPGTKVWDGKSNRNLAVSHIHHPLENPEGHMAKKAGGRRVQYRRLTRTPSSRKRSRLTCQKLPVWQEVDRSSFISGDGQDILNSPNGHEKADDSSNDSDTEFFGRLHSGATASLSAASISSHSLTINSLQNSLVVDSFFKLRCEVLGANIVKSGSKTFAVYSISITDVNNNNSWSIKRRFRHFEELHQRLKQFPEYKLHLPPKHFLSTGLDVHVIRERCKLLDRYLKNLLQLPTISGSIEVWDFLSVDSQTYVFSNSFSIIETLSVDLDSNPSEKSDKASSVLVPLAGPLSSKREQLDTESKESARQMKPNLARDGLRNAKDMSYPPKVLTKEQGNSIDDSGSNSDTGVSKISFVRHTGKNVKGKVNDRMEDASELVLDAVSHPTVPTEWVPPNLSVPILDLVDVIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQMLRKGSVVASGIERLEKILWPDGIFITKHPRQQRPPSSCSPSKASPCSPLPPETCSPRLSDEQQQLEAERRAKFVYELMIDNAPAAIVGLVGRKEYEQSAKDLYFFIQSSVCLKLLAYDLLELLLLSAFPEMEYVFKQFHVEKHKFGEFKAN >KJB13173 pep chromosome:Graimondii2_0_v6:2:6949674:6956901:-1 gene:B456_002G060100 transcript:KJB13173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPKQVTVRDLVEEAKKRIVILAICVVGLSYLMSLTSSSVLVNLPAAASLIVLLRYISIDYEMRRKAAVYNRKSSSMSSLTPKQPPERPKVVDRSDWRRKVNSPIVEDAIDHFTRHLISEWVTDLWYSRLTPDREGPEELVLIINGVLGELSDRMKNINLIDLLTRDLINLICTHLELFRVNKAKIEKQQSIPLTIEHQDAEIRRVMAAENKLHPALFSTEAEHKVLQHLMGGFISFTFKPEDLQCSFFRYVARELLACAVMRPVLNLVSPRFINERIESAIISMTKAKGVDAAEGASQYKSNGSSRIPSDHFSKFLDPSVTGVELVQLKTDQSRAAGGTTATDNLNRAHLMKDPLLSMDTRSSHSWSSVPLNSQTSAGKGIEQHRTGGEWGDMLDIISRRKTEVLAPENFENLWTKGRNYKKKEKRLIEQVPQHNSAGSPATVDHSKAISKTREKCPTKLNTSDRCAAQSTLTDQRKIEKSFLKEASNISYYSSVASCQEDDEHSLVDLEEFESESSDSFTSEEETGTVTGIGSPGTKVWDGKSNRNLAVSHIHHPLENPEGHMAKKAGGRRVQYRRLTRTPSSRKRSRLTCQKLPVWQEVDRSSFISGDGQDILNSPNGHEKADDSSNDSDTEFFGRLHSGATASLSAASISSHSLTINSLQNSLVVDSFFKLRCEVLGANIVKSGSKTFAVYSISITDVNNNNSWSIKRRFRHFEELHQRLKQFPEYKLHLPPKHFLSTGLDVHVIRERCKLLDRYLKNLLQLPTISGSIEVWDFLSVDSQTYVFSNSFSIIETLSVDLDSNPSEKSDKASSVLVPLAGPLSSKREQLDTESKESARQMKPNLARDGLRNAKDMSYPPKVLTKEQGNSIDDSGSNSDTGVSKISFVRHTGKNVKGKVNDRMEDASELVLDAVSHPTVPTEWVPPNLSVPILDLVDVIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQMLRKGSVVASGIERLEKILWPDGIFITKHPRQQRPPSSCSPSKASPCSPLPPETCSPRLSDEQQQLEAERRAKFVYELMIDNAPAAIVGLVGRKEYEQSAKDLYFFIQLLAYDLLELLLLSAFPEMEYVFKQFHVEKHKFGEFKAN >KJB13172 pep chromosome:Graimondii2_0_v6:2:6949674:6956901:-1 gene:B456_002G060100 transcript:KJB13172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPKQVTVRDLVEEAKKRIVILAICVVGLSYLMSLTSSSVLVNLPAAASLIVLLRYISIDYEMRRKAAVYNRKSSSMSSLTPKQPPERPKVVDRSDWRRKVNSPIVEDAIDHFTRHLISEWVTDLWYSRLTPDREGPEELVLIINGVLGELSDRMKNINLIDLLTRDLINLICTHLELFRVNKAKIEKQQSIPLTIEHQDAEIRRVMAAENKLHPALFSTEAEHKVLQHLMGGFISFTFKPEDLQCSFFRFINERIESAIISMTKAKGVDAAEGASQYKSNGSSRIPSDHFSKFLDPSVTGVELVQLKTDQSRAAGGTTATDNLNRAHLMKDPLLSMDTRSSHSWSSVPLNSQTSAGKGIEQHRTGGEWGDMLDIISRRKTEVLAPENFENLWTKGRNYKKKEKRLIEQVPQHNSAGSPATVDHSKAISKTREKCPTKLNTSDRCAAQSTLTDQRKIEKSFLKEASNISYYSSVASCQEDDEHSLVDLEEFESESSDSFTSEEETGTVTGIGSPGTKVWDGKSNRNLAVSHIHHPLENPEGHMAKKAGGRRVQYRRLTRTPSSRKRSRLTCQKLPVWQEVDRSSFISGDGQDILNSPNGHEKADDSSNDSDTEFFGRLHSGATASLSAASISSHSLTINSLQNSLVVDSFFKLRCEVLGANIVKSGSKTFAVYSISITDVNNNNSWSIKRRFRHFEELHQRLKQFPEYKLHLPPKHFLSTGLDVHVIRERCKLLDRYLKNLLQLPTISGSIEVWDFLSVDSQTYVFSNSFSIIETLSVDLDSNPSEKSDKASSVLVPLAGPLSSKREQLDTESKESARQMKPNLARDGLRNAKDMSYPPKVLTKEQGNSIDDSGSNSDTGVSKISFVRHTGKNVKGKVNDRMEDASELVLDAVSHPTVPTEWVPPNLSVPILDLVDVIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQMLRKGSVVASGIERLEKILWPDGIFITKHPRQQRPPSSCSPSKASPCSPLPPETCSPRLSDEQQQLEAERRAKFVYELMIDNAPAAIVGLVGRKEYEQSAKDLYFFIQSSVCLKLLAYDLLELLLLSAFPEMEYVFKQFHVEKHKFGEFKAN >KJB16845 pep chromosome:Graimondii2_0_v6:2:61506296:61509221:-1 gene:B456_002G251500 transcript:KJB16845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDLNLTVISDGDDNSMAGGFSGYNNNQMDSSGGSFGSSVVNADTATTAGDDDSSSNAAATDTFGYSFDILKASREEEQDKYNNRTTIPLFPVDVEGNGWKSTGWLDLAGSGEVAAEQRVVPHQHQQQVQLRQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGTDADINFNVSDYDDDIKQMSNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDKAAIKCNGREAVTNFEPSTYEGELISESENGDQNRVLDLNLGIAPPCTSNLQKENENSSNFPFQRGYDGLPVASGARVMLITSLKFISVH >KJB16844 pep chromosome:Graimondii2_0_v6:2:61506195:61509527:-1 gene:B456_002G251500 transcript:KJB16844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDLNLTVISDGDDNSMAGGFSGYNNNQMDSSGGSFGSSVVNADTATTAGDDDSSSNAAATDTFGYSFDILKASREEEQDKYNNRTTIPLFPVDVEGNGWKSTGWLDLAGSGEVAAEQRVVPHQHQQQVQLRQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGTDADINFNVSDYDDDIKQMSNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDKAAIKCNGREAVTNFEPSTYEGELISESENGDQNRVLDLNLGIAPPCTSNLQKENENSSNFPFQRGYDGLPVASGARERAIEKRIEVDSPPWQIQGPYGGTKEMPLFPSAASSGFSSSIITSPSAAAGQLRVPNATFLQHHFPPVIMNSNTPHFYCRTER >KJB16843 pep chromosome:Graimondii2_0_v6:2:61506296:61509221:-1 gene:B456_002G251500 transcript:KJB16843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDLNLTVISDGDDNSMAGGFSGYNNNQMDSSGGSFGSSVVNADTATTAGDDDSSSNAAATDTFGYSFDILKASREEEQDKYNNRTTIPLFPVDVEGNGWKSTGWLDLAGSGEVAAEQRVVPHQHQQQVQLRQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGTDADINFNVSDYDDDIKQMSNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDKAAIKCNGREAVTNFEPSTYEGELISESENGDQNRVLDLNLGIAPPCTSNLQKENENSSNFPFQRGYDGLPVASGARFENSAPATMRVQPSLGQATAFKHVPNWNVANPSFFPVYRERAIEKRIEVDSPPWQIQGPYGGTKEMPLFPSAASSGFSSSIITSPSAAAGQLRVPNATFLQHHFPPVIMNSNTPHFYCRTER >KJB16846 pep chromosome:Graimondii2_0_v6:2:61506880:61509201:-1 gene:B456_002G251500 transcript:KJB16846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDLNLTVISDGDDNSMAGGFSGYNNNQMDSSGGSFGSSVVNADTATTAGDDDSSSNAAATDTFGYSFDILKASREEEQDKYNNRTTIPLFPVDVEGNGWKSTGWLDLAGSGEVAAEQRVVPHQHQQQVQLRQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGTDADINFNVSDYDDDIKQMSNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDKAAIKCNGREAVTNFEPSTYEGELISESENGDQNRVLDLNLGIAPPCTSNLQKENENSSNFPFQRGYDGLPVASGARFENSAPATMRVQPSLGQATAFKHVPNWNVANPSFFPVYRV >KJB11891 pep chromosome:Graimondii2_0_v6:2:10445:10559:-1 gene:B456_002G0004002 transcript:KJB11891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CYICLLEYEEGDSMRIFACNHEFRRSCIDKWLTEVHR >KJB11892 pep chromosome:Graimondii2_0_v6:2:10340:10559:-1 gene:B456_002G0004002 transcript:KJB11892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CYICLLEYEEGDSMRIFACNHEFRRSCIDKWLTEVHR >KJB15888 pep chromosome:Graimondii2_0_v6:2:54312378:54313772:1 gene:B456_002G201700 transcript:KJB15888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWSQLPQELLVLIAERLEARFDVVRFRSVCCSWRSSVPPKLYPFRPTYLRTQGRIEESLSHITRYTFYLLRSSRGDETEAPACWLVKIGEGSRGVKMQLLNPFSDSKLESLPRNFPKVLDLTNFQVIELGQQYIGLYDVYIDHPLEPLCYDYRKKVVLQQSSTNCDDFIIFASFRYPAFLRSGEKEWTVLEIMYDIEDIISFNEKFYAIDLNGKTRVIDQSLNVSFLPHVGSPGSRKFLVKSHDNLLAVEMLSNPDKGVGFRVFRMSEEDHKWDEMESLRDRILFLDFYGAVSAPASELYWSKGNFIFYPGGLFGSPHDPDPGFRLVFVFDLETGTACPLENCPAYCNLFWPPPQWATSSESVISLTEVISNSAHSISSATPENECMNPESDFTISLTEVISNSTHLISSATPENECMYPESGFALSPTPITPKRVTYPAGKEVGSEQPSPSSKCSFKFCCF >KJB13582 pep chromosome:Graimondii2_0_v6:2:10450388:10457937:-1 gene:B456_002G082600 transcript:KJB13582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASKAKTLSSLFKTAVKNATKESSSLPSGDKPLKQFVSSLDTSSAPSPSPSPSPSSASFRIRSPQPTKKPANDGSLHSWLLQPASTSENSIGGFTDELHSILCTGHPESSKDIEEMMDNGSSLGRVLNIPWLSNVSNNNISLRRKELSRERKQKWVFKKTQSGRFNRLIKMCGDKLGTKATIEVFDKLGRDTGLKEYNALIAICLEKARTSNDEDDALEHMSEAFKTLKKMRERGFQVEEGTYGPFLMYFIDMGMVEEFFFFCGPIKEGNPSSVTRLGYYEMLLWIGVNNEEKIQELCNCIVAADEEDDFKLKENYLLALCESGRKDIMQLLEVIDITRISSVNVVANIFESLGRLSFDSFAEKFLWTLKNNDYKMADISRLIFSYVSAIPNLAVEDLFLKFKSLHMKFEITPSSASYEKLITYCCDLHKVHFALDIVHQMCEEGLSLSIEMLHSILHASEENYEYNLVRRIYSLIGCHNVKPTSETFRSMINLSVKMKDFNGAYAMLDDLKKLNISPTSTIYNTILAGYFRESNISGAMMVLKQMESEDVKPDSHTYSYLIANCNCEEDITKYCEAMKAAGIQVTKHIFMALINAYTACGQIEKAKQVVLDKGIPVNSLNEIKGALASALACSGMMPDALNIYKEIKQNGGTLEPKPVISLIEHFTSEGDVNILLQLLEELHDPDYWFDGCCRAVVHCVTKKHLRLALDLLKQLKDEFHKDDLALDVVFDECNCREAAKRFTDWPGFASSHEGRIRRYSFS >KJB13583 pep chromosome:Graimondii2_0_v6:2:10450567:10455098:-1 gene:B456_002G082600 transcript:KJB13583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEITPSSASYEKLITYCCDLHKVHFALDIVHQMCEEGLSLSIEMLHSILHASEENYEYNLVRRIYSLIGCHNVKPTSETFRSMINLSVKMKDFNGAYAMLDDLKKLNISPTSTIYNTILAGYFRESNISGAMMVLKQMESEDVKPDSHTYSYLIANCNCEEDITKYCEAMKAAGIQVTKHIFMALINAYTACGQIEKAKQVVLDKGIPVNSLNEIKGALASALACSGMMPDALNIYKEIKQNGGTLEPKPVISLIEHFTSEGDVNILLQLLEELHDPDYWFDGCCRAVVHCVTKKHLRLALDLLKQLKDEFHKDDLALDVVFDEVFSVIAERQPNDLQIGLALLQAMKDELGVTPSRKSLDFLLASCVNAKDLQSSLLIWREYQAAGLRCNILTFLRMYQALLASGDPKSAKTLLTKIPTEDPHVRCIIKACQMTYVQSTSSKMKKQKVQKKNKT >KJB13581 pep chromosome:Graimondii2_0_v6:2:10450763:10457816:-1 gene:B456_002G082600 transcript:KJB13581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASKAKTLSSLFKTAVKNATKESSSLPSGDKPLKQFVSSLDTSSAPSPSPSPSPSSASFRIRSPQPTKKPANDGSLHSWLLQPASTSENSIGGFTDELHSILCTGHPESSKDIEEMMDNGSSLGRVLNIPWLSNVSNNNISLRRKELSRERKQKWVFKKTQSGRFNRLIKMCGDKLGTKATIEVFDKLGRDTGLKEYNALIAICLEKARTSNDEDDALEHMSEAFKTLKKMRERGFQVEEGTYGPFLMYFIDMGMVEEFFFFCGPIKEGNPSSVTRLGYYEMLLWIGVNNEEKIQELCNCIVAADEEDDFKLKENYLLALCESGRKDIMQLLEVIDITRISSVNVVANIFESLGRLSFDSFAEKFLWTLKNNDYKMADISRLIFSYVSAIPNLAVEDLFLKFKSLHMKFEITPSSASYEKLITYCCDLHKVHFALDIVHQMCEEGLSLSIEMLHSILHASEENYEYNLVRRIYSLIGCHNVKPTSETFRSMINLSVKMKDFNGAYAMLDDLKKLNISPTSTIYNTILAGYFRESNISGAMMVLKQMESEDVKPDSHTYSYLIANCNCEEDITKYCEAMKAAGIQVTKHIFMALINAYTACGQIEKAKQVVLDKGIPVNSLNEIKGALASALACSGMMPDALNIYKEIKQNGGTLEPKPVISLIEHFTSEGDVNILLQLLEELHDPDYWFDGCCRAVVHCVTKKHLRLALDLLKQLKDEFHKDDLALDVVFDEVFSVIAERQPNDLQIGLALLQAMKDELGVTPSRKSLDFLLASCVNAKDLQSSLLIWREYQAAGLRCNILTFLRMYQALLASGDPKSAKTLLTKIPTEDPHVRCIIKACQMTYVQSTSSKMKKQKVQKKNKT >KJB13584 pep chromosome:Graimondii2_0_v6:2:10450567:10457508:-1 gene:B456_002G082600 transcript:KJB13584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDNGSSLGRVLNIPWLSNVSNNNISLRRKELSRERKQKWVFKKTQSGRFNRLIKMCGDKLGTKATIEVFDKLGRDTGLKEYNALIAICLEKARTSNDEDDALEHMSEAFKTLKKMRERGFQVEEGTYGPFLMYFIDMGMVEEFFFFCGPIKEGNPSSVTRLGYYEMLLWIGVNNEEKIQELCNCIVAADEEDDFKLKENYLLALCESGRKDIMQLLEVIDITRISSVNVVANIFESLGRLSFDSFAEKFLWTLKNNDYKMADISRLIFSYVSAIPNLAVEDLFLKFKSLHMKFEITPSSASYEKLITYCCDLHKVHFALDIVHQMCEEGLSLSIEMLHSILHASEENYEYNLVRRIYSLIGCHNVKPTSETFRSMINLSVKMKDFNGAYAMLDDLKKLNISPTSTIYNTILAGYFRESNISGAMMVLKQMESEDVKPDSHTYSYLIANCNCEEDITKYCEAMKAAGIQVTKHIFMALINAYTACGQIEKAKQVVLDKGIPVNSLNEIKGALASALACSGMMPDALNIYKEIKQNGGTLEPKPVISLIEHFTSEGDVNILLQLLEELHDPDYWFDGCCRAVVHCVTKKHLRLALDLLKQLKDEFHKDDLALDVVFDEVFSVIAERQPNDLQIGLALLQAMKDELGVTPSRKSLDFLLASCVNAKDLQSSLLIWREYQAAGLRCNILTFLRMYQALLASGDPKSAKTLLTKIPTEDPHVRCIIKACQMTYVQSTSSKMKKQKVQKKNKT >KJB13744 pep chromosome:Graimondii2_0_v6:2:11658152:11660050:-1 gene:B456_002G092000 transcript:KJB13744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKLPFHWLKDDGEGIREAGELCNFTYLFSVNQSGGNLSSEKINIDAVDPQEFLLRRLVRGDDRVQLDSNGFSCRADVHTQVCVANKPVRIYYKGLTVYVPSDSDQPQVKRIVKPYARLEDETAMKRVSPVQILHGKNTIDPPACNFTHNVTAVVFSSKGFTGNVFHEFNEIIIPLFITTRHFRSRVRFVITDFELWWVQKYNRCLSHLSAYEVINPGTDDGSVHCFPGAVIGLTYHDNLALNSTDIPGGYSMFDFKQFLKESYNLKINHVSEIQKPMLMLISRRKTRRFLNEDKMVEMIMELGFQITRVEPEWMYNLDEFAEVVNSCSVMLGAHGAGLTNEIFLPTGAVMVQVVPLQNEWVATTYYGGPAKEMGVRYLEYKIEPEESSLFDTYGKDHPVFTDPKSIISKGYNAFRSVYLDQDLKINLERFKKTLIEAKQIVESSTPIN >KJB13741 pep chromosome:Graimondii2_0_v6:2:11658129:11660050:-1 gene:B456_002G092000 transcript:KJB13741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKESGRLVNCATLPICLVLISLVYATFFHSNDTILESWGNLSSEKINIDAVDPQEFLLRRLVRGDDRVQLDSNGFSCRADVHTQVCVANKPVRIYYKGLTVYVPSDSDQPQVKRIVKPYARLEDETAMKRVSPVQILHGKNTIDPPACNFTHNVTAVVFSSKGFTGNVFHEFNEIIIPLFITTRHFRSRVRFVITDFELWWVQKYNRCLSHLSAYEVINPGTDDGSVHCFPGAVIGLTYHDNLALNSTDIPGGYSMFDFKQFLKESYNLKINHVSEIQKPMLMLISRRKTRRFLNEDKMVEMIMELGFQITRVEPEWMYNLDEFAEVVNSCSVMLGAHGAGLTNEIFLPTGAVMVQVVPLQNEWVATTYYGGPAKEMGVRYLEYKIEPEESSLFDTYGKDHPVFTDPKSIISKGYNAFRSVYLDQDLKINLERFKKTLIEAKQIVESSTPIN >KJB13740 pep chromosome:Graimondii2_0_v6:2:11658112:11660050:-1 gene:B456_002G092000 transcript:KJB13740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKESGRLVNCATLPICLVLISLVYATFFHSNDTILESWGNLSSEKINIDAVDPQEFLLRRLVRGDDRVQLDSNGFSCRADVHTQVCVANKPVRIYYKGLTVYVPSDSDQPQVKRIVKPYARLEDETAMKRVSPVQILHGKNTIDPPACNFTHNVTAVVFSSKGFTGNVFHEFNEIIIPLFITTRHFRSRVRFVITDFELWWVQKYNRCLSHLSAYEVINPGTDDGSVHCFPGAVIGLTYHDNLALNSTDIPGGYSMFDFKQFLKESYNLKINHVSEIQKPMLMLISRRKTRRFLNEDKMVEMIMELGFQITRVEPEWMYNLDEFAEVVNSCSVMLGAHGAGLTNEIFLPTGAVMVQVVPLQNEWVATTYYGGPAKEMGVRYLEYKIEPEESSLFDTYGKDHPVFTDPKSIISKGYNAFRSVYLDQDLKINLERFKKTLIEAKQIVESSTPIN >KJB13742 pep chromosome:Graimondii2_0_v6:2:11658140:11660050:-1 gene:B456_002G092000 transcript:KJB13742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKESGRLVNCATLPICLVLISLVYATFFHSNDTILESWGNLSSEKINIDAVDPQEFLLRRLVRGDDRVQLDSNGFSCRADVHTQVCVANKPVRIYYKGLTVYVPSDSDQPQVKRIVKPYARLEDETAMKRVSPVQILHGKNTIDPPACNFTHNVTAVVFSSKGFTGNVFHEFNEIIIPLFITTRHFRSRVRFVITDFELWWVQKYNRCLSHLSAYEVINPGTDDGSVHCFPGAVIGLTYHDNLALNSTDIPGGYSMFDFKQFLKESYNLKINHVSEIQKPMLMLISRRKTRRFLNEDKMVEMIMELGFQITRVEPEWMYNLDEFAEVVNSCSVMLGAHGAGLTNEIFLPTGAVMVQVVPLQNEWVATTYYGGPAKEMGVRYLEYKIEPEESSLFDTYGKDHPVFTDPKSIISKGYNAFRSVYLDQDLKINLERFKKTLIEAKQIVESSTPIN >KJB13743 pep chromosome:Graimondii2_0_v6:2:11658294:11659271:-1 gene:B456_002G092000 transcript:KJB13743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSPVQILHGKNTIDPPACNFTHNVTAVVFSSKGFTGNVFHEFNEIIIPLFITTRHFRSRVRFVITDFELWWVQKYNRCLSHLSAYEVINPGTDDGSVHCFPGAVIGLTYHDNLALNSTDIPGGYSMFDFKQFLKESYNLKINHVSEIQKPMLMLISRRKTRRFLNEDKMVEMIMELGFQITRVEPEWMYNLDEFAEVVNSCSVMLGAHGAGLTNEIFLPTGAVMVQVVPLQNEWVATTYYGGPAKEMGVRYLEYKIEPEESSLFDTYGKDHPVFTDPKSIISKGYNAFRSVYLDQDLKINLERFKKTLIEAKQIVESSTPIN >KJB13497 pep chromosome:Graimondii2_0_v6:2:9305144:9306515:1 gene:B456_002G0784001 transcript:KJB13497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EHGKEDLELPVFDLTTIATATNNFSSNNILGQGGFGPVYKGTLIEGQEIAVKRLSKNSGQGLEEFKNEVTLISKLQHRNLVKLFGCCIRKDEKMLVYEYMPNKSLNYFIFDQTRSKLLDWRIRMHIIDGIARGVLYLHHDSRLKIIHRDLKASNILLDHNMNPKISDFGLARKFGVDQTQAKTKRVVGTYGYMSPEYALDGLFSMKSDVFSFGVLVLEILSGKKNRGFSHPEHDHNLLGHAWKLWMEKRPLELIDPAIGDSYDATEGLRCINVALLCVQQCPPDRPNMSLVLVMLCGDSVLPQPKQPGFFIERNLPMADSISVKNEISSMYRSITSLEPR >KJB13047 pep chromosome:Graimondii2_0_v6:2:4750869:4752913:1 gene:B456_002G053700 transcript:KJB13047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPTLTRKKEVDTIIRDTIDKVLVLRFGRADDAVCLQLDDILAKTAREVSKFATVALVDVDSDDVQVYVKYFDITLIPSTIFFFNAHHMKMDSGTADHTKWVGAFHEKQDFIDVVEAIFRGAMKGKLIVNCPLPPERIPKYQLLYKDV >KJB12690 pep chromosome:Graimondii2_0_v6:2:2321067:2322890:-1 gene:B456_002G031500 transcript:KJB12690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFPDEVIIEVLARLPIKPLFKTRTVCKLWHGLPSDKYFVKLYNQVSDKNPMVLVEVHDSTKPNSSLICVDNSTGVSEFSLDFLRDKVNIRASCNGLLCCSSIAVKGVYYVCNPMTREFKVLPKIKDQNLTRFYPDSEATLVGLACDPSRGKFDVVLAGYQCAGSSRRPDKTFACLIFDSDSNKWRKFVSSQEEIFTHMNRNQVVYVNSALHWLTGSGSYILVLDLNFEVWRKVSVPDETRYGTGNRVYLLESDGCLSLIEISDTWMKIWVMKDYERELWHMVDRVSLGCIKGLVHGIFPIAQTGECVFLATHKQVLVYHRTSWAWKEMYSVQNNATLPLWFSAHAFRTSIFPCILSHTASALTKTKVKSGRKKLS >KJB11878 pep chromosome:Graimondii2_0_v6:2:727158:747715:-1 gene:B456_002G011200 transcript:KJB11878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLQSLRFCGLAASGPAGGSFEALNRILADLCTRGNPKEGTSLALKKHVEEEARDLSGEAFSRFMDQLYDRISSLLESNDVAQNMGALRAIDELIDVALGENASKVSRFSNYMRTVFETKRDPEILVLASKVLGHLARAGGAMTADEVEFQVKTALQWLRGDRIEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPTLAVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPIHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILTVLRIPAERASGFIALGEMAGALDGELVHYLPTITSHLRDAIAPRRGRPSLEALACVGNIAKAMGPAMESHVRGLLDIMFSAGLSPTLVEALQQITVSIPSLLPTIQDRLLDCISLVLSKSHYFQARPAVARGTTTNITQPVAELSGSAHVQLALQTLACFNFKGHELLEFARGSVVRYLDDEDGATRKDAAFCCCKLVANSFSDITSSQFGSGRSSRAGGKRRRLIEELVEKLLIAAVADADVTVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEDFDVREYAISVAGRLSEKNPAYVLPALRRHLIQLLTYLGQSADNKCREESAKLLGCLIRNCERLILPYIAPVHKALVARLLEGTGVNANNGFISGVLVTVGDLARVGGFAMREYISELMPLIVEALLDGAAVTKREVAVATLGQVVQSTGYVIAPYNEYPQLLGLLLKLLNGELVWSTRREVLKVLGIMGALDPHAHKRNQQSVSGSHGDVTRAASDSGQHIPSSMDELPMDLWPSFATSEDYYSTVAINSLMRILRDPSLASYHQKVVGSLMFIFKSMGLGCVPYLPKVLHDLFQIVRTCDDHLKDFITWKLGTLVSIVRQHIRKYLPELLSLISELWSLFSLPASTRPSRGFPVLHLVEQLCLALNDEFRKYLPAILPCCIQVLSDAERCNDYTYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDGSVEIRRAAIRTLTRLIPCVQVTGHISSLVHHLKIVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLRHRLQHKEFEEIEGRLRRREPLIVGSTAAQRLSRRPPVEVVSDPLNDMENDPYEEGNDVQKHPRGHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWSQLNESSQRQLVRSLEMAFSSPNIPPEILATLLNLAEFMERDERPLPIDIRLLAALAEKCRAFAKALHYKEMEFEGARSKKMDANPVAVVEALIHINNQLHQHEAAVGILTNAQQYLDVQLKESWYEKLQRWDDALKAYTAKAAQASSPHLVLEATLGRMRCLAALARWEELNNLCREYWTPAEPSARLEMAPMAANAAWNMGEWDQMAEYVSRLDDGDETKLRALGNTAATGDGSSNGTFYRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPMGNPVAEGRRALIRNMWTERIQGAKRNVEVWQALLAVRALVLPPTEDIETWLKFASLCRQNGRISQARSTLIKLLQYDPETAPENVRYHGPPQVMLAYLKYQWSLGDDLKRKEAFSRLQNLARELSISPNIQSIPSTASMSGTSANVPLLARVYLKLGAWQWTLSSPGLDDDSIQEILSAFRNATQFATKWAKAWHAWALFNTAVMSHYARGFQTIASQFVVSAVNGYFHSIACAANAKGVDDSLQDILRLLTLWFNHGATAEVQMALQVGFAHVNINTWLAVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRKAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHELWHEGLEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMRDNTTIKERAFIEAYHHDLSQAYECCMKYKRTGKDAELTQAWDLYYHVFRRIDKQLQSLTTLDLQSVSPELVECRDLELAVPGTYRAESPVVTIASFAHQLDVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTAEKDLSIQRYEVIPLSPNSGLIGWVPNCDTLHQLIREYRDARRITLNQEHKFMLSFAPDYDHLPLISKVEVFEYALQNTEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLRRSSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVGGIEGNFRLTCENVMQVLRSNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSIFASTHGTAVTNTEETAPSKELAQPQRGARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFLSCSSIPTASSNIQQSIDHSTLISGDNREVEHGLSVKLQVQKLIIQATSHENLCQNYVGWCPFW >KJB11879 pep chromosome:Graimondii2_0_v6:2:727161:747715:-1 gene:B456_002G011200 transcript:KJB11879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLQSLRFCGLAASGPAGGSFEALNRILADLCTRGNPKEGTSLALKKHVEEEARDLSGEAFSRFMDQLYDRISSLLESNDVAQNMGALRAIDELIDVALGENASKVSRFSNYMRTVFETKRDPEILVLASKVLGHLARAGGAMTADEVEFQVKTALQWLRGDRIEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPTLAVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPIHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILTVLRIPAERASGFIALGEMAGALDGELVHYLPTITSHLRDAIAPRRGRPSLEALACVGNIAKAMGPAMESHVRGLLDIMFSAGLSPTLVEALQQITVSIPSLLPTIQDRLLDCISLVLSKSHYFQARPAVARGTTTNITQPVAELSGSAHVQLALQTLACFNFKGHELLEFARGSVVRYLDDEDGATRKDAAFCCCKLVANSFSDITSSQFGSGRSSRAGGKRRRLIEELVEKLLIAAVADADVTVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEDFDVREYAISVAGRLSEKNPAYVLPALRRHLIQLLTYLGQSADNKCREESAKLLGCLIRNCERLILPYIAPVHKALVARLLEGTGVNANNGFISGVLVTVGDLARVGGFAMREYISELMPLIVEALLDGAAVTKREVAVATLGQVVQSTGYVIAPYNEYPQLLGLLLKLLNGELVWSTRREVLKVLGIMGALDPHAHKRNQQSVSGSHGDVTRAASDSGQHIPSSMDELPMDLWPSFATSEDYYSTVAINSLMRILRDPSLASYHQKVVGSLMFIFKSMGLGCVPYLPKVLHDLFQIVRTCDDHLKDFITWKLGTLVSIVRQHIRKYLPELLSLISELWSLFSLPASTRPSRGFPVLHLVEQLCLALNDEFRKYLPAILPCCIQVLSDAERCNDYTYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDGSVEIRRAAIRTLTRLIPCVQVTGHISSLVHHLKIVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLRHRLQHKEFEEIEGRLRRREPLIVGSTAAQRLSRRPPVEVVSDPLNDMENDPYEEGNDVQKHPRGHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWSQLNESSQRQLVRSLEMAFSSPNIPPEILATLLNLAEFMERDERPLPIDIRLLAALAEKCRAFAKALHYKEMEFEGARSKKMDANPVAVVEALIHINNQLHQHEAAVGILTNAQQYLDVQLKESWYEKLQRWDDALKAYTAKAAQASSPHLVLEATLGRMRCLAALARWEELNNLCREYWTPAEPSARLEMAPMAANAAWNMGEWDQMAEYVSRLDDGDETKLRALGNTAATGDGSSNGTFYRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPMGNPVAEGRRALIRNMWTERIQGAKRNVEVWQALLAVRALVLPPTEDIETWLKFASLCRQNGRISQARSTLIKLLQYDPETAPENVRYHGPPQVMLAYLKYQWSLGDDLKRKEAFSRLQNLARELSISPNIQSIPSTASMSGTSANVPLLARVYLKLGAWQWTLSSPGLDDDSIQEILSAFRNATQFATKWAKAWHAWALFNTAVMSHYARGFQTIASQFVVSAVNGYFHSIACAANAKGVDDSLQDILRLLTLWFNHGATAEVQMALQVGFAHVNINTWLAVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRKAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHELWHEGLEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMRDNTTIKERAFIEAYHHDLSQAYECCMKYKRTGKDAELTQAWDLYYHVFRRIDKQLQSLTTLDLQSVSPELVECRDLELAVPGTYRAESPVVTIASFAHQLDVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQVLFGLVNTLLENSRKTAEKDLSIQRYEVIPLSPNSGLIGWVPNCDTLHQLIREYRDARRITLNQEHKFMLSFAPDYDHLPLISKVEVFEYALQNTEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLRRSSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVGGIEGNFRLTCENVMQVLRSNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSIFASTHGTAVTNTEETAPSKELAQPQRGARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFLSCSSIPTASSNIQQSIDHSTLISGDNREVEHGLSVKLQVQKLIIQATSHENLCQNYVGWCPFW >KJB13993 pep chromosome:Graimondii2_0_v6:2:13828053:13831908:1 gene:B456_002G105200 transcript:KJB13993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESKTCSTTQVSRLKSCRTTLCLPYQSFGVVYGDLCTSPIYVYKSIFSGSLFPYREDHEIFGVLSLIFWTLTIIPLLKYAVFVLGADDNGEGGTFALYSLLCRHSRMGLLNATNEADKHESIEKAEEPVEDTGTSLLIKQFFEKHHSSQVVLLLVVLLGTSMVIGDGILSPTMSVLSAVSGLQVKVTDLHDNCILFFACTILVGLFALQHYGKHNVGFLFAPILICWLICITGVGIYNIYHWNPCVLHAVSPYYIYNFFKKAGKDGWSSHGGIVLCITGAEAMYADLGHFSKLSIRIGFTAVVYPCLVLAYMGEAAYLSKHRMNLQSSFYKAIPDAVFWPVFIIATLATVVGSQAIISATFSVINQCRALTCFPRVKIIHTSKQINGQIYIPEINWILMLLCLVVLIGFRDTNTIGNAYELAAITVMFVTTCLMFLIIITVWHRSLFLALLFVLTFGSVELLYFSACLAKVRKGGWLPLLVSAVILSLMSIWHYGTWKKQSVEFENKVSLDSFIALGPGLGITRVPGIGLIYSNVASSIPPMFAHLVASFPAFHQILIFVTLNHVMIPKVPGDKCFHVSWIGPPEFRFYRCILR >KJB15578 pep chromosome:Graimondii2_0_v6:2:49148656:49151300:-1 gene:B456_002G185200 transcript:KJB15578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ADP-ribosylation factor 1 [Source: Projected from Oryza sativa (Os05g0489600)] MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKVRRYTFKTYLYG >KJB15573 pep chromosome:Graimondii2_0_v6:2:49148344:49151300:-1 gene:B456_002G185200 transcript:KJB15573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ADP-ribosylation factor 1 [Source: Projected from Oryza sativa (Os05g0489600)] MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB15575 pep chromosome:Graimondii2_0_v6:2:49148344:49151300:-1 gene:B456_002G185200 transcript:KJB15575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ADP-ribosylation factor 1 [Source: Projected from Oryza sativa (Os05g0489600)] MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEVCNCEMQYCLYLQTSRIFQTQ >KJB15576 pep chromosome:Graimondii2_0_v6:2:49148344:49151300:-1 gene:B456_002G185200 transcript:KJB15576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ADP-ribosylation factor 1 [Source: Projected from Oryza sativa (Os05g0489600)] MGLTFTKLFSRLFAKKEMRILMVGLDAAGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB15577 pep chromosome:Graimondii2_0_v6:2:49148344:49151154:-1 gene:B456_002G185200 transcript:KJB15577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ADP-ribosylation factor 1 [Source: Projected from Oryza sativa (Os05g0489600)] MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB15574 pep chromosome:Graimondii2_0_v6:2:49148296:49151381:-1 gene:B456_002G185200 transcript:KJB15574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ADP-ribosylation factor 1 [Source: Projected from Oryza sativa (Os05g0489600)] MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB15139 pep chromosome:Graimondii2_0_v6:2:38571178:38573692:1 gene:B456_002G162700 transcript:KJB15139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGENENGGKKRGCGCSKQDFLPEESFQSCRSYLNALCNTKSRLRDRLLARSADHMELHEIRGRSQHEMKKRLNWWDIIWFGIGAVMGSGIFVLTGEAARNHSGPAVVVSYLISGMTALLSVLCYTEFCVELPVAGGSFTYLRVELGDFVAYIAAGNILFEYVVAGASVARSWTSYLATLFNHDPNSFRFHASSFPEDYSHLDPIAAVVSLLICIAACMSTKGSSRFNSIATIVHLLVLLFILIVGLTKANPQHFSNFAPNGIRGILKASSILFFAYVGFDGVATLGEEVKNPGRDIPIGLIGSMLIIILLYCLLSATLILIQPYYQIDVNAPFTLAFHAIGLEWAKYIVALGALKGMTTVLLANILGQARYFTHIGRTHMAPPFLATINEKTRTPVNATVVMTLVNSIVGFFTSLDVLANLLSLTTLLIFSLVALALLVRRYYVGGETTSSNRNKLILFLMLIIGSSIATAVYWAIGKNGWIGYAVTVPIWFIATLGLNLMVKEAKKPKLWGVPLVPWLPSASFATNVFIMASVNGASYVRFGIWTLLLLLYYVFIALHASYDAAKETTDTTSAATATCLEDGGASQLHH >KJB15136 pep chromosome:Graimondii2_0_v6:2:38571178:38573839:1 gene:B456_002G162700 transcript:KJB15136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGENENGGKKRGCGCSKQDFLPEESFQSCRSYLNALCNTKSRLRDRLLARSADHMELHEIRGRSQHEMKKRLNWWDIIWFGIGAVMGSGIFVLTGEAARNHSGPAVVVSYLISGMTALLSVLCYTEFCVELPVAGGSFTYLRVELGDFVAYIAAGNILFEYVVAGASVARSWTSYLATLFNHDPNSFRFHASSFPEDYSHLDPIAAVVSLLICIAACMSTKGSSRFNSIATIVHLLVLLFILIVGLTKANPQHFSNFAPNGIRGILKASSILFFAYVGFDGVATLGEEVKNPGRDIPIGLIGSMLIIILLYCLLSATLILIQPYYQIDVNAPFTLAFHAIGLEWAKYIVALGALKGMTTVLLANILGQARYFTHIGRTHMAPPFLATINEKTRTPVNATVVMTLVNSIVGFFTSLDVLANLLSLTTLLIFSLVALALLVRRYYVGGETTSSNRNKLILFLMLIIGSSIATAVYWAIGKNGWIGYAVTVPIWFIATLGLNLMVKEAKKPKLWGVPLVPWLPSASFATNVFIMASVNGASYVRFGIWTLLLLLYYVFIALHASYDAAKETTDTTSAATATCLEDGGASQLHH >KJB15138 pep chromosome:Graimondii2_0_v6:2:38571178:38573692:1 gene:B456_002G162700 transcript:KJB15138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGENENGGKKRGCGCSKQDFLPEESFQSCRSYLNALCNTKSRLRDRLLARSADHMELHEIRGRSQHEMKKRLNWWDIIWFGIGAVMGSGIFVLTGEAARNHSGPAVVVSYLISGMTALLSVLCYTEFCVELPVAGGSFTYLRVELGDFVAYIAAGNILFEYVVAGASVARSWTSYLATLFNHDPNSFRFHASSFPEDYSHLDPIAAVVSLLICIAACMSTKGSSRFNSIATIVHLLVLLFILIVGLTKANPQHFSNFAPNGIRGILKASSILFFAYVGFDGVATLGEEVKNPGRDIPIGLIGSMLIIILLYCLLSATLILIQPYYQIDVNAPFTLAFHAIGLEWAKYIVALGALKGMTTVLLANILGQARYFTHIGRTHMAPPFLATINEKTRTPVNATVVMTLVNSIVGFFTSLDVLANLLSLTTLLIFSLVALALLVRRYYVGGETTSSNRNKLILFLMLIIGSSIATAVYWAIGKNGWIGYAVTVPIWFIATLGLNLMVKEAKKPKLWGVPLVPWLPSASFATNVFIMASVNGASYVRFGIWTLLLLLYYVFIALHASYDAAKETTDTTSAATATCLEDGGASQLHH >KJB15137 pep chromosome:Graimondii2_0_v6:2:38571178:38573692:1 gene:B456_002G162700 transcript:KJB15137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGENENGGKKRGCGCSKQDFLPEESFQSCRSYLNALCNTKSRLRDRLLARSADHMELHEIRGRSQHEMKKRLNWWDIIWFGIGAVMGSGIFVLTGEAARNHSGPAVVVSYLISGMTALLSVLCYTEFCVELPVAGGSFTYLRVELGDFVAYIAAGNILFEYVVAGASVARSWTSYLATLFNHDPNSFRFHASSFPEDYSHLDPIAAVVSLLICIAACMSTKGSSRFNSIATIVHLLVLLFILIVGLTKANPQHFSNFAPNGIRGILKASSILFFAYVGFDGVATLGEEVKNPGRDIPIGLIGSMLIIILLYCLLSATLILIQPYYQIDVNAPFTLAFHAIGLEWAKYIVALGALKGMTTVLLANILGQARYFTHIGRTHMAPPFLATINEKTRTPVNATVVMTLVNSIVGFFTSLDVLANLLSLTTLLIFSLVALALLVRRYYVGGETTSSNRNKLILFLMLIIGSSIATAVYWAIGKNGWIGYAVTVPIWFIATLGLNLMVKEAKKPKLWGVPLVPWLPSASFATNVFIMASVNGASYVRFGIWTLLLLLYYVFIALHASYDAAKETTDTTSAATATCLEDGGASQLHH >KJB13738 pep chromosome:Graimondii2_0_v6:2:11646427:11647159:-1 gene:B456_002G091900 transcript:KJB13738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSYLLIVTLRKARSFLSEHLLHNLPLRDSHLRAVIIVIVEMDLSDLSQTKHDCLNAYLNNLTLQSRICTRDTSTSSPDLTLTVQTEKSGIANFTKIALHELFRRQFSVSCISVIEEELDFLSNAMRHSSRTDSDSSLFREQMNHEIVPALLRLQDSLTDQMLTIYHFP >KJB13739 pep chromosome:Graimondii2_0_v6:2:11643988:11647580:-1 gene:B456_002G091900 transcript:KJB13739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSYLLIVTLRKARSFLSEHLLHNLPLRDSHLRAVIIVIVEMDLSDLSQTKHDCLNAYLNNLTLQSRICTRDTSTSSPDLTLTVQTEKSGIANFTKIALHELFRRQFSVSCISVIEEELDFLSNAMRHSSRTDSDSSLFREQMNHEIVPALLRLQDSLTDQMLTIYHFP >KJB13737 pep chromosome:Graimondii2_0_v6:2:11643988:11647425:-1 gene:B456_002G091900 transcript:KJB13737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPDISLEEMMKLIEGFVDILVLSSGYQSSGLPAHWDSNNIKRGFQWALFFENVYQESIQELDAALSKMTSHPSFPQARSFLSEHLLHNLPLRDSHLRAVIIVIVEMDLSDLSQTKHDCLNAYLNNLTLQSRICTRDTSTSSPDLTLTVQTEKSGIANFTKIALHELFRRQFSVSCISVIEEELDFLSNAMRHSSRTDSDSSLFREQMNHEIVPALLR >KJB13658 pep chromosome:Graimondii2_0_v6:2:11084515:11087021:1 gene:B456_002G087500 transcript:KJB13658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKSKNLVLGFVVLAVFLISGVKSWTGEIHGRVICDVCADSSIGPEDHILEGAEVAVLCITKSGEVVNYQAFTNAKGIYTVAETMPESDRWNTCLARPISSFHDHCNHLGDRSTGIKFTYSRPSGHFHTVRPFVYQPSTAPSYCTETLSE >KJB12996 pep chromosome:Graimondii2_0_v6:2:4294702:4296878:-1 gene:B456_002G050200 transcript:KJB12996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVRPSAVTPSKSKWARTLSKVLHRHATAAGVVPDDDGVHKVKPKGTEEWNDSKTTKRLSQKFDRLQEEELETKVALEALIAKIFATVSAIKAGYAQLQHAQSPYDAEGIQGADQLIVSDLKKLSELKQCFLKKQYDFSPEQGMALAEIQELKSLSTTFKIMGKKLESQTRLKESEIIFLKEKLDESNKQNRLLEKRLNQSGQLFVLDNLHLSTLNPTHVITVLRQTVKSIRGFVRLMIDEMKLADWDINAAASSIQSGVMYWKEDDKCFAFESFICREMFKAFHLPYFSLFGSSVPQGKKHAQVFFDRFMELKSMKVKEYLEIKPKSTFAKFCRNKYLQVVHPKMESSFFGNLNTRDMVSSYQFPDTTFFTLFAEMAKKVWLLHCLAFAFQPQASIFQITKGCRFSEVYMESVADEAFLSADIGPEPRVAFTVVPGFRVGKTVIQCQVYLSQFKSR >KJB15039 pep chromosome:Graimondii2_0_v6:2:33998396:34000331:1 gene:B456_002G156900 transcript:KJB15039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRKTPVKSRNPDLIRGVGKYSRSKMYHKRGLWAIKAKNGGVFPRHDPKPKAPAAAAEKPPKFYPAEDVKKPLLNKRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDISGVNVEKFDDKYFAKEVDKKKKKSEGEFFEAEKEDKKKLPEDKKEDQKSVDASLIKSIEGVPDLKAYLAARFSLKSGMKPHELVF >KJB12564 pep chromosome:Graimondii2_0_v6:2:1766115:1770433:1 gene:B456_002G024400 transcript:KJB12564 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR3 [Source:Projected from Arabidopsis thaliana (AT1G04190) UniProtKB/TrEMBL;Acc:A0A178WLS7] MAEAEVGSGEGKPQEMSLKDKGNEFFKAGNYLKAAALYTQAIKQDPSNPTLYSNRAAAFLNLVKLNKALADAETTITLKPQWEKGYFRKGCILEAMERYDDALAAFQIALQYNPQSAEVSRKIKRLSQLAKDKKRAQEVQNLRSNVDIAKCLETLKSEMSEKYKSEDSWKEMFSFLVETMETAVKSWHETSKVDPRVYFLLDKEKSQADKYAPVVNIDKAFESPHTHSSCFSFLRQYAEDSFSRAACLVAPKSIIAYPQVWKGQGSRKWKHGQHDGFFVQFESPLLRKLWFISSSNEMGKTLCRDPEVLDIGAHEVFPRLFKEKLSSSS >KJB12565 pep chromosome:Graimondii2_0_v6:2:1766233:1770377:1 gene:B456_002G024400 transcript:KJB12565 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR3 [Source:Projected from Arabidopsis thaliana (AT1G04190) UniProtKB/TrEMBL;Acc:A0A178WLS7] MAEAEVGSGEGKPQEMSLKDKGNEFFKAGNYLKAAALYTQAIKQDPSNPTLYSNRAAAFLNLVKLNKALADAETTITLKPQWEKGYFRKGCILEAMERYDDSEKYKSEDSWKEMFSFLVETMETAVKSWHETSKVDPRVYFLLDKEKSQADKYAPVVNIDKAFESPHTHSSCFSFLRQYAEDSFSRAACLVAPKSIIAYPQVWKGQGSRKWKHGQHDGFFVQFESPLLRKLWFISSSNEMGKTLCRDPEVLDIGAHEVFPRLFKEKLSSSS >KJB12258 pep chromosome:Graimondii2_0_v6:2:554721:556361:-1 gene:B456_002G008600 transcript:KJB12258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLSLSILVLIAIFGSCWSHEVVSDNDDGGLYPFIGTNRETLEIIIGGGGGEAPAPSPEDCPPPPPEPECPPPASPPPPPPPPPPPPPPPPPTPKPPPRPPSKCGYRSSNLCFENELLATSYGVIQKFKKLIKPDENGKRYTKTWNGPNVCKYKGFNCDVRPDVKKKAVAAVDFNGAKLSGINGSLPLHDFIDGLTDLAIFHANSNNFTGTVPFIGTSKIKYLYELDLSNNKITDDFPMEVLRATQLTFLDIRFNRIKGVVPATVFKLDLDVLFINNNNFRQRLPENLGDTPALYITFANNKFTGRIPPSIGKAKNLLEVLFLNNELTGCLPYEIGYLQNATVFDVGMNKLTGPIPHSFGCLKKMEQLNLAGNEFYGEVPEIVCRLSNLQNLSLSSNYFTQVGPACRDLVMKKKLNVKNNCILDLPEQRSKADCAMFFSRKLICDRTESFKWIPCMAGKNGFHSNSTEESEKKESTGSSSSSPSATTYGVLKPHRL >KJB15277 pep chromosome:Graimondii2_0_v6:2:41893375:41898340:-1 gene:B456_002G168300 transcript:KJB15277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSPFVVHLTNVTTNTRMWNALQKKRNLKFIKEVLCTDQMVWNQMTSMFDICFSERCSLCSSEVGANWNDVFLTSFLSKMNESKKKSVLACLNKMQCNPKSQVELIWVPPGIGKTKTVSVLLFALLRVKYRTFSCAPTNIAITKVAARVLKLVIEANKTCSVADDQFCSVGDILLFGSNESLIVDSETEEIFLDYRVKRLRECFGPLGWRHRFTPMITFLEDCVSQYRIFWENEAIKRRTHGRLKTFLEYARERFAPTALPLRCLLNSLETCLFFSGISSEEVEDLFLHSNDDKLLPQSLCDPARLLCSVRSYELKLPHARNDKSLARFCFQAASLLVCSECSSYKLYKVKMKPLSVLVIDEAAQLKECESTIPLQLPGLAHSILIGDEWQLQATVQSNVSNEAGFGRSLFPRLTTLGHSKHPLDIQYRMHPLISCLLNACFYNNNILDAADVKHKSYERHYLPWPMFGPFSFINVCGREEEDGSWCSHKNMVEVAVLERLGSRKRLSIGIISPYASQVVAIQKKLGRKYEKTDGFAVKVKSVDGFQGGEEDIIIISTVRSNSSGAIGFVSNYQRANVALTRVSFCNSVISCNIFLGLVRGAKVRHCFFNSDGDRELAKAILDAKKDFGQLDDLFNQIVTSILIKTSCHSTCCIKVRFSDNFRNYTFWRIMKIMHLMKLVTTVTWKREVVRVRKVVRARSQLQKSLSIRNPKGTIILRKNKSGGQKPE >KJB16131 pep chromosome:Graimondii2_0_v6:2:56275922:56279418:1 gene:B456_002G213700 transcript:KJB16131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVKWRGKGSYLNQFEFMEATHKRSNSDPLQKKVKKNKLNTIFEASNPHKEVEQMKGHVEAQKIETSNNEVHNSLKQEILRLEERLQDQFVVRSTLEKALSHKPFTYDAAVENLIPKAAKELIKDIAVLELEVAYLEKYLLSLYRQKFDKTFLSLKSIDENLKPTSMAHKEMFQEVQTHDFMSGDLVSTRNSIGNPPKECDDVWGAEKLLDSSIYRSHSSLSQRSAYSVNSPQRAVARAEELYHSLPLSMLEQAQMDTSNGFSLAEHLGTSINDHVTETSNWLSEEMVKSISAIYCELADPPLINHDYLPSPISHSSPVDVVTSEGQGDTNMWSPKCGKFSSFNSHFDNPFSIGEPKDFSGPYCTMVKVQWIHRDSKKLQDIEHKLQYYRSLVYQLEDVDVRRMKHEEKLAFWINVHNSLVMHAFLIYGIPKNNLKRLSLLLKAAYDVGGQTINIDTIQSSILGCRLPRPGQWLRFLFPSKTKFKVRDPRRAYAIESPEPLLYFALSSGSYSDPAVRIYTPKGVFQELEVAKMEYIQANWSVNKEEKILLPKLMEYFAKDSNVGPAGLLQMVEQLMPDSVRKNLQPSCKRKTGKSIEWISHNFAFRYLFSKELLH >KJB16132 pep chromosome:Graimondii2_0_v6:2:56275999:56279418:1 gene:B456_002G213700 transcript:KJB16132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVKWRGKGSYLNQFEFMEATHKRSNSDPLQKKVKKNKLNTIFEASNPHKEVEQMKGHVEAQKIETSNNEVHNSLKQEILRLEERLQDQFVVRSTLEKALSHKPFTYDAAVENLIPKAAKELIKDIAVLELEVAYLEKYLLSLYRQKFDKTFLSLKSIDENLKPTSMAHKEMFQEVQTHDFMSGDLVSTRNSIGNPPKECDDVWGAEKLLDSSIYRSHSSLSQRSAYSVNSPQRAVARAEELYHSLPLSMLEQAQMDTSNGFSLAEHLGTSINDHVTETSNWLSEEMVKSISAIYCELADPPLINHDYLPSPISHSSPVDVVTSEGQGDTNMWSPKCGKFSSFNSHFDNPFSIGEPKDFSGPYCTMVKVQWIHRDSKKLQDIEHKLQYYRSLVYQLEDVDVRRMKHEEKLAFWINVHNSLVMHAFLIYGIPKNNLKRLSLLLKAAYDVGGQTINIDTIQSSILGCRLPRPGQWLRFLFPSKTKFKVRDPRRAYAIESPEPLLYFALSSGSYSDPAVRIYTPKGVFQELEVAKMEYIQANWSVNKEEKILLPKLMEYFAKDSNVGPAGLLQMVEQLMPDSVRKNLQPSCKRKTGKSIEWISHNFAFRYLFSKELLH >KJB15116 pep chromosome:Graimondii2_0_v6:2:37112719:37116757:1 gene:B456_002G161600 transcript:KJB15116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIKKICLRTLKLLFWGVLLQGGYSHAPNDLVYGVDMKLIRWCGILQRIALVYFIVSVIETFTTKRRPTVLEPGYSSIFTAYCWQWLGGFVAFVIYMTTTYSLYVPDWSFVVSTDSKITQYTVKCGMRGHLGPACNAVGYVDREVWGINHLYMYPVWQRLKACTHSSPSSGEIREDAPSWCRAPFEPEGLLSSILAILSGTIGIHYGHVLIHFKGHSERLKQWVSIALGLLIVAIILHFTDAIPINKQLYSFSYVCFTAGAAGIVFSVFYILIDVWGWRTPFLFLEWIGMNAMLIYVLGAQGILPAFVNGWYYKSTNNTLVF >KJB16395 pep chromosome:Graimondii2_0_v6:2:58739462:58742890:-1 gene:B456_002G228500 transcript:KJB16395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVNIGMLHYILDHVYGAFVHRTKITPPFFSRGWGGTKLELLERLISQLFPEVEGQNWPPSLIQPIWRTVWETQNACLREGVFRTPCDEQLLSALPPESHNARVAFLVPKDVPPQKMACVVHLAGTGDHTFERRLRLGGPLLKQNIATMVLESPFYGQRRPMLQRGAKLLCVSDLLLLGRATIEEARSLLYWLDSEAGFGKMGVCGLSMG >KJB16398 pep chromosome:Graimondii2_0_v6:2:58740261:58742890:-1 gene:B456_002G228500 transcript:KJB16398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVNIGMLHYILDHVYGAFVHRTKITPPFFSRGWGGTKLELLERLISQLFPEVEGQNWPPSLIQPIWRTVWETQNACLREGVFRTPCDEQLLSALPPESHNARVAFLVPKDVPPQKMACVVHLAGTGDHTFERRLRLGGPLLKQNIATMVLESPFYGQRRPMLQRGAKLLCVSDLLLLGRATIEEARSLLYWLDSEAGFGKMGVCGLSMGGVHAAMVGSLHPTPVATLPFLSPHSAVVAFCEGILKHATAWEALREDLAAKKAAMTLEEVRERMRNVLSLTDVTRFPIPKNPNAIIFVAATVSTISLFDNMDVA >KJB16396 pep chromosome:Graimondii2_0_v6:2:58740061:58742545:-1 gene:B456_002G228500 transcript:KJB16396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVNIGMLHYILDHVYGAFVHRTKITPPFFSRGWGGTKLELLERLISQLFPEVEGQNWPPSLIQPIWRTVWETQNACLREGVFRTPCDEQLLSALPPESHNARVAFLVPKDVPPQKMACVVHLAGTGDHTFERRLRLGGPLLKQNIATMVLESPFYGQRRPMLQRGAKLLCVSDLLLLGRATIEEARSLLYWLDSEAGFGKMGVCGLSMGGVHAAMVGSLHPTPVATLPFLSPHSAVVAFCEGILKHATAWEALREDLAAKKAAMTLEEVRERMRNVLSLTDVTRFPIPKNPNAIIFVAATVR >KJB16397 pep chromosome:Graimondii2_0_v6:2:58739462:58742917:-1 gene:B456_002G228500 transcript:KJB16397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVNIGMLHYILDHVYGAFVHRTKITPPFFSRGWGGTKLELLERLISQLFPEVEGQNWPPSLIQPIWRTVWETQNACLREGVFRTPCDEQLLSALPPESHNARVAFLVPKDVPPQKMACVVHLAGTGDHTFERRLRLGGPLLKQNIATMVLESPFYGQRRPMLQRGAKLLCVSDLLLLGRATIEEARSLLYWLDSEAGFGKMGVCGLSMGGVHAAMVGSLHPTPVATLPFLSPHSAVVAFCEGILKHATAWEALREDLAAKKAAMTLEEVRERMRNVLSLTDVTRFPIPKNPNAIIFVAATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFLLHNNEFRKAIVDGLNRLEWKESSL >KJB13176 pep chromosome:Graimondii2_0_v6:2:6992947:6995377:1 gene:B456_002G060200 transcript:KJB13176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSGSSLIQMVEAQVEPPYPLDYEVRALREIATELGKKDWNYNENPCNNKSSWFTPPPPPNVPEAINNSTVTCNCSFPNGECHIDGMLTRTSTKWVYCSFTRH >KJB15407 pep chromosome:Graimondii2_0_v6:2:45260169:45266638:-1 gene:B456_002G176700 transcript:KJB15407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLWNLSVDEELRVKIANSDILPFLINSLDDDDIKVKEGAGGVLSNLALSHCNHSMMVEAGIIPKLAKLLKTDMEGSKVIRKEARNALLELIKDQYYRILVIEEGLVPVPMVGAASYKSFKPGLYSWPTMPDGTEIEQTSKGPSKFGASELLLGLNVGENAELEEARKNAIVGRTQQQFLARIGAIELDGKRESQSDIPTDNRFTLLPWIDGVARLVLILELNDEVAISRAAESIADSSINEHMRTSFKEAGAIKHLVRLLDHNSFAVRSAVIHALERLSVSSSLYHVLEAEGILHPLVCTLKRSETSGSLMEKTLDILARILDPSKEMKSKFYNGPVNGSKMGIDAARSLDASARLTGDKPVSIMDSRKELLDSTVITRLIEILKTSPSNLQRKVASILEFITIIEPSMETIIKVDVSSGLEAVFQQKAVKDKEADVEGQELDEYALELEEAGLAVSAASRLLTKLLDSEQFCQKIDSTHFTKLLRKILKSDIPLRNKDWVAACLVKLCSISSPNVDFENPINMEVTLYETIPRLIEQIKLSLSPETQESAAVELNRIISEGVVDSTRAVASEFGIFPLVNLIEQGSDRAVEAALSILYNLSMDSENHSAIIAAGAVPALRKIVLSQRSHWSRALRLLRNLPV >KJB15408 pep chromosome:Graimondii2_0_v6:2:45260169:45266695:-1 gene:B456_002G176700 transcript:KJB15408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASATLSPYFNIKLLNPRHVFTTTKPRRKYSTFSTKFHLNHLWNGFLQPKSCSLRTVLRKVSDDGGSIDANPQESAAVSVGEGASSSSSTLGDNYVALFVRMLGLDHDALDREQAIVALWKYSLGGKNCIDTIMQFLGCINLTVNLLNSESSATCEAAAGLLRSISSINLYKDIVAESGAIEGITGLLSRPSLTSEVKEQSMCTLWNLSVDEELRVKIANSDILPFLINSLDDDDIKVKEGAGGVLSNLALSHCNHSMMVEAGIIPKLAKLLKTDMEGSKVIRKEARNALLELIKDQYYRILVIEEGLVPVPMVGAASYKSFKPGLYSWPTMPDGTEIEQTSKGPSKFGASELLLGLNVGENAELEEARKNAIVGRTQQQFLARIGAIELDGKRESQSDIPTDNRFTLLPWIDGVARLVLILELNDEVAISRAAESIADSSINEHMRTSFKEAGAIKHLVRLLDHNSFAVRSAVIHALERLSVSSSLYHVLEAEGILHPLVCTLKRSETSGSLMEKTLDILARILDPSKEMKSKFYNGPVNGSKMGIDAARSLDASARLTGDKPVSIMDSRKELLDSTVITRLIEILKTSPSNLQRKVASILEFITIIEPSMETIIKVDVSSGLEAVFQQKAVKDKEADVEGQELDEYALELEEAGLAVSAASRLLTKLLDSEQFCQKIDSTHFTKLLRKILKSDIPLRNKDWVAACLVKLCSISSPNVDFENPINMEVTLYETIPRLIEQIKLSLSPETQESAAVELNRIISEGVVDSTRAVASEFGIFPLVNLIEQGSDRAVEAALSILYNLSMDSENHSAIIAAGAVPALRKIVLSQRSHWSRALRLLRNLPV >KJB15629 pep chromosome:Graimondii2_0_v6:2:49879857:49881244:1 gene:B456_002G187200 transcript:KJB15629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKTLLYRSDASRFIAFPFSKPDGRDAKTKSSRWSKVSSESSSSPTNDPDFSALPFDILTKIAAPFNFPNLLAASLVCRSWGDALRALREAMVLLRQGKQFKHGRGGVGRNLEKALVSFLKGAARGSTLAMVDAGLIYWERGQKEEAIALYQKAAALGDAAGQCNLGISYLNTQPLNHKEAVKWLHQASVGGHVRAQYQLALCLHQGLGVEQNLQEAVKWYLKAAEGGYVRAMYNASLCYTFGEGLSHSRRQARKWMKRAADRGHGKAQFEHGLALFSIWL >KJB15631 pep chromosome:Graimondii2_0_v6:2:49879773:49881627:1 gene:B456_002G187200 transcript:KJB15631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKTLLYRSDASRFIAFPFSKPDGRDAKTKSSRWSKVSSESSSSPTNDPDFSALPFDILTKIAAPFNFPNLLAASLVCRSWGDALRALREAMVLLRQGKQFKHGRGGVGRNLEKALVSFLKGAARGSTLAMVDAGLIYWERGQKEEAIALYQKAAALGDAAGQCNLGISYLNTQPLNHKEAVKWLHQASVGGHVRAQYQLALCLHQGLGVEQNLQEAVKWYLKAAEGGYVRAMYNASLCYTFGEGLSHSRRQARKWMKRAADRGHGKAQFEHGLALFSGNC >KJB15630 pep chromosome:Graimondii2_0_v6:2:49879773:49881627:1 gene:B456_002G187200 transcript:KJB15630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKTLLYRSDASRFIAFPFSKPDGRDAKTKSSRWSKVSSESSSSPTNDPDFSALPFDILTKIAAPFNFPNLLAASLVCRSWGDALRALREAMVLLRQGKQFKHGRGGVGRNLEKALVSFLKGAARGSTLAMVDAGLIYWERGQKEEAIALYQKAAALGDAAGQCNLGISYLNTQPLNHKEAVKWLHQASVGGHVRAQYQLALCLHQGLGVEQNLQEAVKWYLKAAEGGYVRAMYNASLCYTFGEGLSHSRRQARKWMKRAADRGHGKAQFEHGLALFSEGELLKAIVYLELATRSGETAATHVKNAILQQLSATSRDLALLLTDNWRALPSSR >KJB12681 pep chromosome:Graimondii2_0_v6:2:2281843:2284935:1 gene:B456_002G030800 transcript:KJB12681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSSTESPKTTTERRGIPGAQFVEDVETYLTQTGFDVNSALAFLQERLQQYKLVEMKLLAQQRDLQAKIPDIEKCLDVVATLEAKKGTGEALIADFEVSEGIYSRACIEDNDSVCLWLGANVMLEYSCEEATLLLKKNLENAKASLEVLIADLQFLRDQVTVTQVTIARVYNWDVHQRRIRQIAASSTSKDS >KJB12679 pep chromosome:Graimondii2_0_v6:2:2281781:2285050:1 gene:B456_002G030800 transcript:KJB12679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSSTESPKTTTERRGIPGAQFVEDVETYLTQTGFDVNSALAFLQERLQQYKLVEMKLLAQQRDLQAKIPDIEKCLDVVATLEAKKGTGEALIADFEVSEGIYSRACIEDNDSVCLWLGANVMLEYSCEEATLLLKKNLENAKASLEVLIADLQFLRDQVTVTQVTIARVYNWDVHQRRIRQIAASSTSKDS >KJB12680 pep chromosome:Graimondii2_0_v6:2:2281843:2283903:1 gene:B456_002G030800 transcript:KJB12680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSSTESPKTTTERRGIPGAQFVEDVETYLTQTGFDVNSALAFLQERLQQYKLVEMKLLAQQRDLQAKIPDIEKCLDVVATLEAKKGTGEALIADFEVSEGIYSRACIEDNDSVCLWLGANVMLEYSCEEATLLLKKNLENAKASLEVLIADLQFLRDQVTVTQVVSLFYIILHS >KJB16630 pep chromosome:Graimondii2_0_v6:2:60360983:60365642:-1 gene:B456_002G240500 transcript:KJB16630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEDSEVGEVESTLKEVDQQLKNHPLSALGRQSSIYSLTLDEFQHTLCGKNFGSMNMDEFLTSIWNAEENQATNSINNNHHNNGVNEQVSNHVNLSLNETASSKGVARQSSLPRQGSLSLPAPLCRKTVDEVWSEIHKVQQGQGQSNNSNVQNAENTSTRQPTFGEMTLEDFLVKAGVVREPCVPPAVPPHSQHQQQFGLHQASNNPAVGPSFVPRPIMGMGGSGGFGGSTYQTMPPSGVLGDSSRYLNDGKGGGGYQPAAAPPSTAICYNGKVGAAGGFGPGQAMGVVSPISPVSPDGICTNQVDNAATQFGIEIGGLRGRKRIIDGPIEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKQENTHLKQALVELERKRKQQYFEEWSMKTQTKAQKVKEKLRVMRRNLSGPL >KJB16631 pep chromosome:Graimondii2_0_v6:2:60360983:60363712:-1 gene:B456_002G240500 transcript:KJB16631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEDSEVGEVESTLKEVDQQLKNHPLSALGRQSSIYSLTLDEFQHTLCGKNFGSMNMDEFLTSIWNAEENQATNSINNNHHNNGVNEQVSNHVNLSLNETASSKGVARQSSLPRQGSLSLPAPLCRKTVDEVWSEIHKVQQGQGQSNNSNVQNAENTSTRQPTFGEMTLEDFLVKAGVVREPCVPPAVPPHSQHQQQFGLHQASNNPAVGPSFVPRPIMGMGGSGGFGGSTYQTMPPSGVLGDSSRYLNDGKGGGGYQPAAAPPSTAICYNGKVGAAGGFGPGQAMGVVSPISPVSPDGICTNQVDNAATQFGIEIGGLRGRKRIIDGPIEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKQENTHLKQALVELERKRKQQYFEEWSMKTQTKAQKVKEKLRVMRRNLSGPL >KJB16524 pep chromosome:Graimondii2_0_v6:2:59372958:59376704:-1 gene:B456_002G233900 transcript:KJB16524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTKKLCAPLSTIFRGVLPTTRRLSPYFSNSRIPSLSSHLQSSSRVPPRYALHFLPNSNFSFQNLCSQSAAKLDSSTKRCWNCVADKTGTTPFLYCDSCRSIQPVDSSIDYFQIFGLEKKYEIEVDGLEGKYKDWQKKLHPDLVHSKSEKERGYAAEQSARVIDAYRTLSKPLSRAIYILRLEGVDVDEEQTVSDPELLTEIMEIREAVEEAPDSQALNQIQSKMEEKLQESSNSFVNAYQSRNFDEAVACIQRMTYYQRASEEILKKL >KJB16522 pep chromosome:Graimondii2_0_v6:2:59374222:59376698:-1 gene:B456_002G233900 transcript:KJB16522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTKKLCAPLSTIFRGVLPTTRRLSPYFSNSRIPSLSSHLQSSSRVPPRYALHFLPNSNFSFQNLCSQSAAKLDSSTKRCWNCVADKTGTTPFLYCDSCRSIQPVDSSIDYFQIFGLEKKYEIEVDGLEGKYKDWQKKLHPDLVHSKSEKERGYAAEQSARVIDAYRTLSKPLSRAIYILRLEGVDVDEEQTVSDPELLTEIMEIREAVEEAPDSQALNQIQSKMEEKLQESSNSFVNAYQSRNFDEAVACIQRMTYYQRASEEILKKL >KJB16523 pep chromosome:Graimondii2_0_v6:2:59372030:59376718:-1 gene:B456_002G233900 transcript:KJB16523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTKKLCAPLSTIFRGVLPTTRRLSPYFSNSRIPSLSSHLQSSSRVPPRYALHFLPNSNFSFQNLCSQSAAKLDSSTKRCWNCVADKTGTTPFLYCDSCRSIQPVDSSIDYFQIFGLEKKYEIEVDGLEGKYKDWQKKLHPDLVHSKSEKERGYAAEQSARVIDAYRTLSKPLSRAIYILRLEGVDVDEEQTVSDPELLTEIMEIREAVEEAPDSQALNQIQSKMEEKLQESSNSFVNAYQSRNFDEAVACIQRMTYYQRASEEILKKL >KJB16457 pep chromosome:Graimondii2_0_v6:2:59024799:59026707:-1 gene:B456_002G231000 transcript:KJB16457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAFKPPLTYFSPFSSPHLPPRSTPSWPLHHSNSTYNPNTCLSPLPIYAHSKWPTNTHSFAIWYRYSSSEEEDDNHSFDEAVSLFNQREYYKCHDLLEALWNKAEDPTRTLIHGILQCAVGFHHLFNQNHKGAMMELGEGLCKLRKMDFDGGPFYDFEQDISAVLNFIYNTQIELAACGDDLCVTMEQSERSYLLLGAYAAGQHLYHLEMDSDQVVYIVFCPQRPNGSTAHTSAPSPRVRLPILKAAEDHLLVSE >KJB13069 pep chromosome:Graimondii2_0_v6:2:4974102:4977346:-1 gene:B456_002G055200 transcript:KJB13069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNYWNRQQPTPPMLSSGGMLKRPRTDYDAPPSGLHPAHEMHNNLARDDDQGGHLAVKDTKTIGSAYDRYLQSAQLSSFTSGEASTFGGLGRAVGVAMPARPMADPPVMGRPASAAPDLALNGGTVSFGGQFPIDPMARLGRDILPLPPDASNTLFVEGLPPDSTRREVAHIFRPFVGYKEVRLVSKEYKHRGGDPIILCFVDFSSPACAATAMSALQGYKIDEHDPDSNYLRLQFSRNPGPRSGSGVRGRR >KJB13073 pep chromosome:Graimondii2_0_v6:2:4974897:4977221:-1 gene:B456_002G055200 transcript:KJB13073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNYWNRQQPTPPMLSSGGMLKRPRTDYDAPPSGLHPAHEMHNNLARDDDQGGHLAVKDTKTIGSAYDRYLQSAQLSSFTSGEASTFGGLGRAVGVAMPARPMADPPVMGRPASAAPDLALNGGTVSFGGQFPIDPMARLGRDILPLPPDASNTLFVEGLPPDSTRREVARILFNDVMLNKIYQYVFHDTLF >KJB13071 pep chromosome:Graimondii2_0_v6:2:4974153:4977237:-1 gene:B456_002G055200 transcript:KJB13071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNYWNRQQPTPPMLSSGGMLKRPRTDYDAPPSGLHPAHEMHNNLARDDDQGGHLAVKDTKTIGSAYDRYLQSAQLSSFTSGEASTFGGLGRAVGVAMPARPMADPPVMGRPASAAPDLALNGGTVSFGGQFPIDPMARLGRDILPLPPDASNTLFVEGLPPDSTRREVAHIFRPFVGYKEVRLVSKEYKHRGGDPIILCFVDFSSPACAATAMSALQGYKIDEHDPDSNYLRLQFSRNPGPRSGSGVRGRR >KJB13070 pep chromosome:Graimondii2_0_v6:2:4974232:4977221:-1 gene:B456_002G055200 transcript:KJB13070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNYWNRQQPTPPMLSSGGMLKRPRTDYDAPPSGLHPAHEMHNNLARDDDQGGHLAVKDTKTIGSAYDRYLQSAQLSSFTSGEASTFGGLGRAVGVAMPARPMADPPVMGRPASAAPDLALNGGTVSFGGQFPIDPMARLGRDILPLPPDASNTLFVEGLPPDSTRREVAHIFRPFVGYKEVRLVSKEYKHRGGDPIILCFVDFSSPACAATAMSALQGYKIDEHDPDSNYLRLQFSRNPGPRSGSGVRGRR >KJB13072 pep chromosome:Graimondii2_0_v6:2:4975299:4977004:-1 gene:B456_002G055200 transcript:KJB13072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNYWNRQQPTPPMLSSGGMLKRPRTDYDAPPSGLHPAHEMHNNLARDDDQGGHLAVKDTKTIGSAYDRYLQSAQLSSFTSGEASTFGGLGRAVGVAMPARPMADPPVMGRPASAAPDLALNGGTVSFGGQFPIDPMARLGRDILPLPPDASNTLFVEGLPPDSTRREVARILFNDVMLNKIYQYVFHDTLF >KJB12911 pep chromosome:Graimondii2_0_v6:2:3682884:3687083:-1 gene:B456_002G044600 transcript:KJB12911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAGGETALSVFLEFFAKLASYDHILNFVTQKQVNQQLKQWQKILPSIQAVLNDAEEKQMKDPNVKIWLTHLQNLAYDVGDVLNEFAVEALHRKLHEGEASTSKAQKPSASWFTSFINSRAFTFNKKMISKLQELADELNGLAREKNRLGLRQIDETAMSKRVKVSMQFMPLVHESHVYGREKEMAEILELLLCNNGNGNGASLIHIFGDGGIGKTVLVRFLYCSGDVKKAFHHRFWIRVSKDFDVTLVTKTILQSISDVCCIVPSLDNLQALLKDKLFGRHILLVLDNVRHENYDDLALLLKPFSVGTKVILTTRSCSVVSSVVSSAKAFLLQKLSHKDCLSVFTHHALKASDFSEHQELETFGENIVKKCNGLPLAAKVIGCLLGTHVEYGVWKYVSESEIWDLQQEQCGVIPALLLSYHHLPPYLKRCFAYCSLLPKGYEFEKEEIILLWKAEGFIQQADSKAHIEDLGSRYFQDLVSRSFFQTSVRDTYLYVMHDLINDLAQLVSREICLKLEDDKQPKIPKGTRHSSYVCGSYDGVEKFAVFDHMKRLRTFLPFMMPRDGTCYITNTVLIDLLPKLRCLRVLSLKGYGITVLPDIFENLVQLRYLNFSHTLIQSLPASICTLYNLETLILKESLLEWLPSGIERLVNLNHIDISGVKMKAMPYGIGKLADLRRLSDFILGAGDGYRIRELKNLHLKGDLSLSGLENVVEARDALEAKLIDKQGLDALRLMWSSISGSSIRDKVVEEEVLNMLEPHWDLKVLVIENYGGTKFPNWIADSSFKNLWSLDLNNCRNCKFLPSIGNLPLLKDLCIRGMHTVTKIGIEFYGENHLNAFVSLETLCFKDMSNWKEWDIDEHAVKFPCLREFCIVNCPQLSGRFPSSLHSLETLVIRQCTQLVVSVSNLPQLHNLEIDGCAELVLRDEADMPPLRKVSLSNVSKFSPLTERLVSGLTNLDHLRISNCNELASLSRKQFSLVRHLRSLRSLEMSSFPLLEVEVQQLQLEKVCTIETLTIGNCEKLQRLPQDWHFLTFLTEMQIKGCPCIVSFSKNNLPPALKRLVIQSCANLRCLVDQGENTSINNTFLLEHLEIMDCPSLVSLSLPNRLQVLIVSNCSKLTSLSSSGELPVGIKQLVIKDCLVLESIVHTIHETSSLELLEIWRCRNIKALPQGLKKLDHVEKINILQCQSLVSLTASGLPARKLKSLCIMDCQSLGDLPNMQNLTALKELSLSYCSPDLPFPKEGLRTTLTSLSVTGPKLCHTLLQWGLHRLTFLKKLSIDGEGCPHVVTFPPEGCVLPPTLTTITISGFGNLRSLSTTGFRNVDSLRELWVFNCPELESLPEKEVLVSVWKLYIWRCHLTLLAQFIMNDGAEWLKISHIPDVIVDRQSIILKATWVQY >KJB13193 pep chromosome:Graimondii2_0_v6:2:7221686:7223406:-1 gene:B456_002G061100 transcript:KJB13193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLSSLFFQFIFISVFAFATTLAKVPANETFEFINEGEFGDRIIEYDASYRVIRNDVYTFYTYPFRLCFYNTTPDAYIFAMRAGIPNDESLMRWVWDANRNDPVHENATLKFGEDGNFVLADADGRVVWQTNTANKGVTGIRLLPNGNLVLFDKNGKFIWQSFDYPTDTLLVGQSVKINGRNKLVSRKSDMDGSDGPYSLILDHNGFIMYLNNLGQQLIYGGWPTKDFADIVTFAAEPEDVNKTNTPYELVLSLTHLQAQPSTSPAGNGRRLLQVRPIGGGSTINLNKVNYNGTYSFLRLGSDGNLRAFTYFPPASYLKWEESFAFFSSYFVRECALPSKCGTYGLCDQRMCVACPSPNGLLGWSESCKPPKPVPCRAGAKFDYYKIVGVEHFLNPYLDDGEGPMKVEQCRDKCSKDCKCKGYIYKEDTSRCLTAPVLGTLIKDVNTTSVGYIKYSK >KJB13127 pep chromosome:Graimondii2_0_v6:2:6521532:6525888:-1 gene:B456_002G058500 transcript:KJB13127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGVEEVEYESDPEEVKRSLAMRRREAASDDEEGEREEENNAEARMDRRALIRSDESDGQGGAADYDDDEEELDLEEVEEEVYDEYEEEEEEIDEEEIDEVGKVEVRGNVKITGEDVKEAVVDNGNGDVDEGLGIDNNHIGEEHEEEKKENEPFAVPTAGVFYMHDDRFRDNVGGRHRRTRGGRNLWESKDDRKWGHDKFEEMTLQEKHYEEGRSSRGRYRAQSKNRGPGRGYRRGSGSKAFGKNNHQNMAPKVVRGRGPRRYEPNMKISGQAPPKQGRLSGKPCEKTSQANSGRASTLATNAHTVSDPNLKHIFASSLSSASPPFYPSGSSNKDTALTQKKDVHAESVSRNLCPSVTDENFSASQSSSLRGKNVLDSLSMAKLYIDDPSMSASAKPLTNVQMVPSGSSLGNTSQPTQSRVQGRGVAIPGLKAYQPAPHQNQANRVSSPTQIIPVQRNPVLGRAQYSVQGAAQQLGQHTGMPQALSPPKTAMSVNSYESGEVESSETSKPLVSKGKSSIQGAGRGSFLYSGAQVMGPTGTMAVGHGDKNFPAFLPVMQFGGQHPGGLSVPAVGMAFPGYVAQPQLGLGNSEMTWYNNTLALYIYSVCVCVCGLHLVFFEK >KJB13125 pep chromosome:Graimondii2_0_v6:2:6519550:6526192:-1 gene:B456_002G058500 transcript:KJB13125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGVEEVEYESDPEEVKRSLAMRRREAASDDEEGEREEENNAEARMDRRALIRSDESDGQGGAADYDDDEEELDLEEVEEEVYDEYEEEEEEIDEEEIDEVGKVEVRGNVKITGEDVKEAVVDNGNGDVDEGLGIDNNHIGEEHEEEKKENEPFAVPTAGVFYMHDDRFRDNVGGRHRRTRGGRNLWESKDDRKWGHDKFEEMTLQEKHYEEGRSSRGRYRAQSKNRGPGRGYRRGSGSKAFGKNNHQNMAPKVVRGRGPRRYEPNMKISGQAPPKQGRLSGKPCEKTSQANSGRASTLATNAHTVSDPNLKHIFASSLSSASPPFYPSGSSNKDTALTQKKDVHAESVSRNLCPSVTDENFSASQSSSLRGKNVLDSLSMAKLYIDDPSMSASAKPLTNVQMVPSGSSLGNTSQPTQSRVQGRGVAIPGLKAYQPAPHQNQANRVSSPTQIIPVQRNPVLGRAQYSVQGAAQQLGQHTGMPQALSPPKTAMSVNSYESGEVESSETSKPLVSKGKSSIQGAGRGSFLYSGAQVMGPTGTMAVGHGDKNFPAFLPVMQFGGQHPGGLSVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLTSAAGPLGATFCSPYITVDGAYHARTSGQTSSTGSSSKEENSNKPNNEWKPSQRPEAVSDEFGQQQNNPNKQPRRYSEMSFSK >KJB13123 pep chromosome:Graimondii2_0_v6:2:6518680:6526035:-1 gene:B456_002G058500 transcript:KJB13123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGVEEVEYESDPEEVKRSLAMRRREAASDDEEGEREEENNAEARMDRRALIRSDESDGQGGAADYDDDEEELDLEEVEEEVYDEYEEEEEEIDEEEIDEVGKVEVRGNVKITGEDVKEAVVDNGNGDVDEGLGIDNNHIGEEHEEEKKENEPFAVPTAGVFYMHDDRFRDNVGGRHRRTRGGRNLWESKDDRKWGHDKFEEMTLQEKHYEEGRSSRGRYRAQSKNRGPGRGYRRGSGSKAFGKNNHQNMAPKVVRGRGPRRYEPNMKISGQAPPKQGRLSGKPCEKTSQANSGRASTLATNAHTVSDPNLKHIFASSLSSASPPFYPSGSSNKDTALTQKKDVHAESVSRNLCPSVTDENFSASQSSSLRGKNVLDSLSMAKLYIDDPSMSASAKPLTNVQMVPSGSSLGNTSQPTQSRVQGRGVAIPGLKAYQPAPHQNQANRVSSPTQIIPVQRNPVLGRAQYSVQGAAQQLGQHTGMPQALSPPKTAMSVNSYESGEVESSETSKPLVSKGKSSIQGAGRGSFLYSGAQVMGPTGTMAVGHGDKNFPAFLPVMQFGGQHPGGLSVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLTSAAGPLGATFCSPYITVDGAYHARTSGQTSSTGSSSKEENSNKPNNEWKPSQRPEAVSDEFGQQQNNPNKQPRRYSEMSFSK >KJB13126 pep chromosome:Graimondii2_0_v6:2:6518680:6525888:-1 gene:B456_002G058500 transcript:KJB13126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGVEEVEYESDPEEVKRSLAMRRREAASDDEEGEREEENNAEARMDRRALIRSDESDGQGGAADYDDDEEELDLEEVEEEVYDEYEEEEEEIDEEEIDEVGKVEVRGNVKITGEDVKEAVVDNGNGDVDEGLGIDNNHIGEEHEEEKKENEPFAVPTAGVFYMHDDRFRDNVGGRHRRTRGGRNLWESKDDRKWGHDKFEEMTLQEKHYEEGRSSRGRYRAQSKNRGPGRGYRRGSGSKAFGKNNHQNMAPKVVRGRGPRRYEPNMKISGQAPPKQGRLSGKPCEKTSQANSGRASTLATNAHTVSDPNLKHIFASSLSSASPPFYPSGSSNKDTALTQKKDVHAESVSRNLCPSVTDENFSASQSSSLRGKNVLDSLSMAKLYIDDPSMSASAKPLTNVQMVPSGSSLGNTSQPTQSRVQGRGVAIPGLKAYQPAPHQNQANRVSSPTQIIPVQRNPVLGRAQYSVQGAAQQLGQHTGMPQALSPPKTAMSVNSYESGEVESSETSKPLVSKGKSSIQGAGRGSFLYSGAQVMGPTGTMAVGHGDKNFPAFLPVMQFGGQHPGGLSVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLTSAAGPLGATFCSPYITVDGAYHARTSGQTSSTGSSSKEENSNKPNNEWKPSQRPEAVSDEFGQQQNNPNKQPRRYSEMSFSK >KJB13122 pep chromosome:Graimondii2_0_v6:2:6520167:6525888:-1 gene:B456_002G058500 transcript:KJB13122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGVEEVEYESDPEEVKRSLAMRRREAASDDEEGEREEENNAEARMDRRALIRSDESDGQGGAADYDDDEEELDLEEVEEEVYDEYEEEEEEIDEEEIDEVGKVEVRGNVKITGEDVKEAVVDNGNGDVDEGLGIDNNHIGEEHEEEKKENEPFAVPTAGVFYMHDDRFRDNVGGRHRRTRGGRNLWESKDDRKWGHDKFEEMTLQEKHYEEGRSSRGRYRAQSKNRGPGRGYRRGSGSKAFGKNNHQNMAPKVVRGRGPRRYEPNMKISGQAPPKQGRLSGKPCEKTSQANSGRASTLATNAHTVSDPNLKHIFASSLSSASPPFYPSGSSNKDTALTQKKDVHAESVSRNLCPSVTDENFSASQSSSLRGKNVLDSLSMAKLYIDDPSMSASAKPLTNVQMVPSGSSLGNTSQPTQSRVQGRGVAIPGLKAYQPAPHQNQANRVSSPTQIIPVQRNPVLGRAQYSVQGAAQQLGQHTGMPQALSPPKTAMSVNSYESGEVESSETSKPLVSKGKSSIQGAGRGSFLYSGAQVMGPTGTMAVGHGDKNFPAFLPVMQFGGQHPGGLSVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLTSAAGPLGATFCSPYITVDGAYHARTSGQTSSTGSSSKEENSNKPNNEWKPSQRPEAVSDEFGQQQNNPNKQPRRYSEMSFSK >KJB13124 pep chromosome:Graimondii2_0_v6:2:6520060:6525888:-1 gene:B456_002G058500 transcript:KJB13124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGVEEVEYESDPEEVKRSLAMRRREAASDDEEGEREEENNAEARMDRRALIRSDESDGQGGAADYDDDEEELDLEEVEEEVYDEYEEEEEEIDEEEIDEVGKVEVRGNVKITGEDVKEAVVDNGNGDVDEGLGIDNNHIGEEHEEEKKENEPFAVPTAGVFYMHDDRFRDNVGGRHRRTRGGRNLWESKDDRKWGHDKFEEMTLQEKHYEEGRSSRGRYRAQSKNRGPGRGYRRGSGSKAFGKNNHQNMAPKVVRGRGPRRYEPNMKISGQAPPKQGRLSGKPCEKTSQANSGRASTLATNAHTVSDPNLKHIFASSLSSASPPFYPSGSSNKDTALTQKKDVHAESVSRNLCPSVTDENFSASQSSSLRGKNVLDSLSMAKLYIDDPSMSASAKPLTNVQMVPSGSSLGNTSQPTQSRVQGRGVAIPGLKAYQPAPHQNQANRVSSPTQIIPVQRNPVLGRAQYSVQGAAQQLGQHTGMPQALSPPKTAMSVNSYESGEVESSETSKPLVSKGKSSIQGAGRGSFLYSGAQVMGPTGTMAVGHGDKNFPAFLPVMQFGGQHPGGLSVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLTSAAGPLGATFCSPYITVDGAYHARTSGQTSSTGSSSKEENSNKPNNEWKPSQRPEAVSDEFGQQQNNPNKQPRRYSEMSFSK >KJB13128 pep chromosome:Graimondii2_0_v6:2:6522068:6526035:-1 gene:B456_002G058500 transcript:KJB13128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGVEEVEYESDPEEVKRSLAMRRREAASDDEEGEREEENNAEARMDRRALIRSDESDGQGGAADYDDDEEELDLEEVEEEVYDEYEEEEEEIDEEEIDEVGKVEVRGNVKITGEDVKEAVVDNGNGDVDEGLGIDNNHIGEEHEEEKKENEPFAVPTAGVFYMHDDRFRDNVGGRHRRTRGGRNLWESKDDRKWGHDKFEEMTLQEKHYEEGRSSRGRYRAQSKNRGPGRGYRRGSGSKAFGKNNHQNMAPKVVRGRGPRRYEPNMKISGQAPPKQGRLSGKPCEKTSQANSGRASTLATNAHTVSDPNLKHIFASSLSSASPPFYPSGSSNKDTALTQKKDVHAESVSRNLCPSVTDENFSASQSSSLRGKNVLDSLSMAKLYIDDPSMSASAKPLTNVQMVPSGSSLGNTSQPTQSRVQGRGVAIPGLKAYQPAPHQNQANRVSSPTQIIPVQRNPVLGRAQYSVQGAAQQLGQHTGMPQALSPPKTAMSVNSYESGEVESSETSKPLVSKGKSSIQGAGRGSFLYSGAQVMGPTGTMAVGHGDKNFPAFLPGISGFVRGWSLFDFICLHC >KJB15815 pep chromosome:Graimondii2_0_v6:2:53525068:53528513:1 gene:B456_002G197700 transcript:KJB15815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDKKKNVLVGIRLDSESRDLLSWALGKVAEPGDCVVAIHVTRNSDHALGQKLLLEGYLEAYEGLCSIKKVDLKGQIHKGNSIRKVLIREAINYGAVALVVGIDKRSPLGGWTSTARYCTKRLPTTTNVVAINKGKIVFERSNKNELSGLKGDPRPSLYLTEKLGARECQSEYGDSEVGSEISSFEGIQSSKDGSRTSSEDSKIEILNVIHEGKRIPSRSISLFAGDNMDYKPGWPLLLRASSAPPQAKHARSMSVVKWVMNLPSRSPHDHSPRGSTIKEIELNQLGDDNDGNETNSSMQYELQKCLEVLLNTNSSDCRWFSYGILKAATDQFSTENLIGEGGSNRVYKGILPDNKAVAVKILESSKEACKDFANEIEIISSLKHKHIMPLIGICIKGRDLISVYDFSSKGSLEEILHGKNKGKHALPWELRYNVAVGIAEGLDYLHNELSRPVIHRDIKSSNILLSDGFEPKLSDFGLAIWGPTDSSFLIQADVVGTFGYLAPEYFMYGKLSDKIDVYAFGVVLLELLSGKRPISFENLKGQQSLVMWVSVFSETKSSFHLYINYQTYH >KJB15812 pep chromosome:Graimondii2_0_v6:2:53524784:53528539:1 gene:B456_002G197700 transcript:KJB15812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDKKKNVLVGIRLDSESRDLLSWALGKVAEPGDCVVAIHVTRNSDHALGQKLLLEGYLEAYEGLCSIKKVDLKGQIHKGNSIRKVLIREAINYGAVALVVGIDKRSPLGGWTSTARYCTKRLPTTTNVVAINKGKIVFERSNKNELSGLKGDPRPSLYLTEKLGARECQSEYGDSEVGSEISSFEGIQSSKDGSRTSSEDSKIEILNVIHEGKRIPSRSISLFAGDNMDYKPGWPLLLRASSAPPQAKHARSMSVVKWVMNLPSRSPHDHSPRGSTIKEIELNQLGDDNDGNETNSSMQYELQKCLEVLLNTNSSDCRWFSYGILKAATDQFSTENLIGEGGSNRVYKGILPDNKAVAVKILESSKEACKDFANEIEIISSLKHKHIMPLIGICIKGRDLISVYDFSSKGSLEEILHGKNKGKHALPWELRYNVAVGIAEGLDYLHNELSRPVIHRDIKSSNILLSDGFEPKLSDFGLAIWGPTDSSFLIQADVVGTFGYLAPEYFMYGKLSDKIDVYAFGVVLLELLSGKRPISFENLKGQQSLVMWAKPIIESGDVKGILDPNLNGNINETQMLRMVRAATLCITRSGRLRPTMRQILELLRGEKELEKWGETPNEEMESQEQHDDDEVYPNSRPELHLSVAMLDVDEDCTSFSSMEQSSNFSTDEYLKERWSRSSSFN >KJB15811 pep chromosome:Graimondii2_0_v6:2:53524784:53528513:1 gene:B456_002G197700 transcript:KJB15811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDKKKNVLVGIRLDSESRDLLSWALGKVAEPGDCVVAIHVTRNSDHALGQKLLLEGYLEAYEGLCSIKKVDLKGQIHKGNSIRKVLIREAINYGAVALVVGIDKRSPLGGWTSTARYCTKRLPTTTNVVAINKGKIVFERSNKNELSGLKGDPRPSLYLTEKLGARECQSEYGDSEVGSEISSFEGIQSSKDGSRTSSEDSKIEILNVIHEGKRIPSRSISLFAGDNMDYKPGWPLLLRASSAPPQAKHARSMSVVKWVMNLPSRSPHDHSPRGSTIKEIELNQLGDDNDGNETNSSMQYELQKCLEVLLNTNSSDCRWFSYGILKAATDQFSTAENLIGEGGSNRVYKGILPDNKAVAVKILESSKEACKDFANEIEIISSLKHKHIMPLIGICIKGRDLISVYDFSSKGSLEEILHGKNKGKHALPWELRYNVAVGIAEGLDYLHNELSRPVIHRDIKSSNILLSDGFEPKLSDFGLAIWGPTDSSFLIQADVVGTFGYLAPEYFMYGKLSDKIDVYAFGVVLLELLSGKRPISFENLKGQQSLVMWAKPIIESGDVKGILDPNLNGNINETQMLRMVRAATLCITRSGRLRPTMRQILELLRGEKELEKWGETPNEEMESQEQHDDDEVYPNSRPELHLSVAMLDVDEDCTSFSSMEQSSNFSTDEYLKERWSRSSSFN >KJB15813 pep chromosome:Graimondii2_0_v6:2:53525385:53527458:1 gene:B456_002G197700 transcript:KJB15813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDKKKNVLVGIRLDSESRDLLSWALGKVAEPGDCVVAIHVTRNSDHALGQKLLLEGYLEAYEGLCSIKKVDLKGQIHKGNSIRKVLIREAINYGAVALVVGIDKRSPLGGWTSTARYCTKRLPTTTNVVAINKGKIVFERSNKNELSGLKGDPRPSLYLTEKLGARECQSEYGDSEVGSEISSFEGIQSSKDGSRTSSEDSKIEILNVIHEGKRIPSRSISLFAGDNMDYKPGWPLLLRASSAPPQAKHARSMSVVKWVMNLPSRSPHDHSPRGSTIKEIELNQLGDDNDGNETNSSMQYELQKCLEVLLNTNSSDCRWFSYGILKAATDQFSTENLIGEGGSNRVYKGILPDNKAVAVKILESSKEACKDFANEIEIISSLKHKHIMPLIGICIKGRDLISVYDFSSKGSLEEILHGKNKGKHALPWELRYNVAVGIAEGLDYLHNELSRPVIHRDIKSSNILLSDGFEPKVTLMIRYSKTKHIINQSHDHHFFGCDSYLTLG >KJB15816 pep chromosome:Graimondii2_0_v6:2:53525068:53528513:1 gene:B456_002G197700 transcript:KJB15816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDKKKNVLVGIRLDSESRDLLSWALGKVAEPGDCVVAIHVTRNSDHALGQKLLLEGYLEAYEGLCSIKKVDLKGQIHKGNSIRKVLIREAINYGAVALVVGIDKRSPLGGWTSTARYCTKRLPTTTNVVAINKGKIVFERSNKNELSGLKGDPRPSLYLTEKLGARECQSEYGDSEVGSEISSFEGIQSSKDGSRTSSEDSKIEILNVIHEGKRIPSRSISLFAGDNMDYKPGWPLLLRASSAPPQAKHARSMSVVKWVMNLPSRSPHDHSPRGSTIKEIELNQLGDDNDGNETNSSMQYELQKCLEVLLNTNSSDCRWFSYGILKAATDQFSTENLIGEGGSNRVYKGILPDNKAVAVKILESSKEACKDFANEIEIISSLKHKHIMPLIGICIKGRDLISVYDFSSKGSLEEILHGKNKGKHALPWELRYNVAVGIAEGLDYLHNELSRPVIHRDIKSSNILLSDGFEPKLSDFGLAIWGPTDSSFLIQADVVGTFGYLAPEYFMYGKLSDKIDVYAFGVVLLELLSGKRPISFENLKGQQSLVMWAKPIIESGDVKGILDPNLNGNINETQMLRMVRAATLCITRSGRLRPTMRQVMKPLHLGYQITFTSCIDP >KJB15814 pep chromosome:Graimondii2_0_v6:2:53525068:53528513:1 gene:B456_002G197700 transcript:KJB15814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDKKKNVLVGIRLDSESRDLLSWALGKVAEPGDCVVAIHVTRNSDHALGQKLLLEGYLEAYEGLCSIKKVDLKGQIHKGNSIRKVLIREAINYGAVALVVGIDKRSPLGGWTSTARYCTKRLPTTTNVVAINKGKIVFERSNKNELSGLKGDPRPSLYLTEKLGARECQSEYGDSEVGSEISSFEGIQSSKDGSRTSSEDSKIEILNVIHEGKRIPSRSISLFAGDNMDYKPGWPLLLRASSAPPQAKHARSMSVVKWVMNLPSRSPHDHSPRGSTIKEIELNQLGDDNDGNETNSSMQYELQKCLEVLLNTNSSDCRWFSYGILKAATDQFSTENLIGEGGSNRVYKGILPDNKAVAVKILESSKEACKDFANEIEIISSLKHKHIMPLIGICIKGRDLISVYDFSSKGSLEEILHGKNKGKHALPWELRYNVAVGIAEGLDYLHNELSRPVIHRDIKSSNILLSDGFEPKVTLMIRYSKTKHIINQSHDHHFFGCDSYLTLG >KJB16373 pep chromosome:Graimondii2_0_v6:2:58356003:58359072:-1 gene:B456_002G226700 transcript:KJB16373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLDESLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDPPAGLDPVGSRMNRGGGSTAGSRPGVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEARPNCSERACWHTHCTSAVDLALDTLAAARYFGVEQLALLTQKQLASMVEKASIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLTRRSLIPHHHHHHHHHPHPHHDLTAAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKTLLELGAADVNYPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRCRG >KJB16374 pep chromosome:Graimondii2_0_v6:2:58356003:58359190:-1 gene:B456_002G226700 transcript:KJB16374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLDESLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDPPAGLDPVGSRMNRGGGSTAGSRPGVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEARPNCSERACWHTHCTSAVDLALDTLAAARYFGVEQLALLTQKQLASMVEKASIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLTRRSLIPHHHHHHHHHPHPHHDLTAAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKTLLELGAADVNYPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAAMVISREEGSVNPPTSTAIYPPMSDEHNSSSSGNNLANLNLDSRLVYLNLGATASTQMGSRMEGDDDSSHNSQREAMNRHDPTMYHHSHDF >KJB12446 pep chromosome:Graimondii2_0_v6:2:1253400:1256426:1 gene:B456_002G018400 transcript:KJB12446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESEKTTLLAVKHEIESDSEANDPNYQHVTDRRTLRTRYLAVKNLIFDERDDLSSIDSAKFKSIVNDLESLHQFVLKPREQIADAEALLDITNTLLTSVKATNGDGITISDFVDSLVRDFAKQSSRPDGRTLIDWKKIGIEFSDASRSSRGCRTMIGPMDTQMKQRKARRKRARLAENEQPAEVDDTDIKKKTNTDINMATMFDILRKHRIVRLEQLVLNRNSFAQTVENIFALSFLVKDGRADIKLDEKGIHLVSPKNAPTATAIASKEVVYNHFVFRFDFKDWKLMKDYIEVGHELMPHRD >KJB12447 pep chromosome:Graimondii2_0_v6:2:1253429:1256274:1 gene:B456_002G018400 transcript:KJB12447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESEKTTLLAVKHEIESDSEANDPNYQHVTDRRTLRTRYLAVKNLIFDERDDLSSIDSAKFKSIVNDLESLHQFVLKPREQIADAEALLDITNTLLTSVKATNGDGITISDFVDSLVRDFAKQSSRPDGRTLIDWKKIGIEFSDASRSSRGCRTMIGPMDTQMKQRKARRKRARLAENEQPAEVDDTDIKKKTNTDINMATMFDILRKHRIVRLEQLLMKDYIEVGHELMPHRD >KJB14333 pep chromosome:Graimondii2_0_v6:2:17105860:17106363:1 gene:B456_002G119800 transcript:KJB14333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYEFGIFLTQANVKTQVVIGDPKEKICEVIENVHADLLVMGSRAFGPIKRYFPHCNVTFLESRLVELFH >KJB14245 pep chromosome:Graimondii2_0_v6:2:16500384:16502602:-1 gene:B456_002G116200 transcript:KJB14245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLFALKVWNVRQADASAVLVADDIEEALITMDNPEEDSSSAKYIENITFPSALIEKTFGETLTLMIVTIKCDMLMAFVKDFKGAAQILEKAGYTQFTPHYITWYCPQAFTLSRQCKSQCINRGRYCAPDPEQGFSSGYEGKDVVIETLRQLCVFKAANETNRPWVWWDYVADFQIRRPIKEKKYNKECADGIIKSLGLDAKKIGKCMGDPDADTENPVLKEEQDAQAGKGSRGDVTILPALVVNNRQYRGKLAKGAVLKAICNGFKETTEPAVCLSGDVETNECLENNGGCWQDKAANLTACRDTFRGRVCECLLVDGVQFKGDGYSHYEVPHVKLLQHSPRLDLGMRFA >KJB14246 pep chromosome:Graimondii2_0_v6:2:16500160:16502602:-1 gene:B456_002G116200 transcript:KJB14246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLFALKVWNVRQADASAVLVADDIEEALITMDNPEEDSSSAKYIENITFPSALIEKTFGETLTLMIVTIKCDMLMAFVKDFKGAAQILEKAGYTQFTPHYITWYCPQAFTLSRQCKSQCINRGRYCAPDPEQGFSSGYEGKDVVIETLRQLCVFKAANETNRPWVWWDYVADFQIRRPIKEKKYNKECADGIIKSLGLDAKKIGKCMGDPDADTENPVLKEEQDAQAGKGSRGDVTILPALVVNNRQYRGKLAKGAVLKAICNGFKETTEPAVCLSGDVETNECLENNGGCWQDKAANLTACRDTFRGRVCECLLVDGVQFKGDGYSHYEALAPVGFGYAFCVTTCIANRTSLGDCIQRCLLSEALVETLEETHLTSSSVFCTVGCAAMTCSRLSSQGRMPGNFSFFLLY >KJB13613 pep chromosome:Graimondii2_0_v6:2:10747016:10759792:-1 gene:B456_002G084800 transcript:KJB13613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRERDWDFYLRTVSNSARDSSFANDPASDPSLLHAVKKLCDFCRQEEKSSEDLVARVYPHINKLFQRSTASLSQPTSPNGILLLVILQFFLDFGEVVLHDADPSLRTFFRSCLSREFADPVVAAATLDFLNVNKNKLLFSFPNLLPQFFPLLLKLIAWNGEKLEKAFLKVFPGLVSPGSFLPLFPSLVDLPILVVALEKVERSSGSLIGSSIASIQKSAAPEMLLALMDEAYTGSTIGDGGGDSESEESSTIDVADPVFLELLKDENDGLAERHWTSPGVAAVLQAATNSPQSDRLKQILNITPRLLDMYFTIALRDANNSLICALIPILMARNSTLFPDKNYMYEVRRRLLEFMLASFQRSPDFIALLKKPIVDRLGEAYDSPEKTELALQLCWAVGEHGGGGGSHKDAARELFESLELLLYENLSSSRLGLRQESINSDSSNFRKSSQSRLLCFVITAIAKLATYHRELLPRARVALGKVARSRISDSRVWRRARDYLGLMDDPAICLSVLGPSRPSHGYPHNPGTVNWNDGGTKMIAHIPFYILGEQEGPPFHDFSFTDILPRRR >KJB13615 pep chromosome:Graimondii2_0_v6:2:10754060:10759792:-1 gene:B456_002G084800 transcript:KJB13615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRERDWDFYLRTVSNSARDSSFANDPASDPSLLHAVKKLCDFCRQEEKSSEDLVARVYPHINKLFQRSTASLSQPTSPNGILLLVILQFFLDFGEVVLHDADPSLRTFFRSCLSREFADPVVAAATLDFLNVNKNKLLFSFPNLLPQFFPLLLKLIAWNGEKLEKAFLKVFPGLVSPGSFLPLFPSLVDLPILVVALEKVERSSGSLIGSSIASIQKSAAPEMLLALMDEAYTGSTIGDGGGDSESEESSTIDVADPVFLELLKDENDGLAERHWTSPGVAAVLQAATNSPQSDRLKQILNITPRLLDMYFTIALRDANNSLICALIPILMARNSTLFPDKNYMYEVRRRLLEFMLASFQRSPDFIALLKKPIVDRLGEAYDSPEKTELALQLCWAVGEHGGGGGSHKDAARELFESLELLLYENLSSSRLGLRQESINSDSSNFRKSSQSRLLCFVITAIAKLATYHRELLPRARVALGKVARSRISDSRVWRRARDYLGLMDDPAICLSVLGPSRPSHGYPHNPGTVNWNDGGTKMIAHIPFYILGEQEGPPFHDFSFPDILPRRR >KJB13617 pep chromosome:Graimondii2_0_v6:2:10754108:10759792:-1 gene:B456_002G084800 transcript:KJB13617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRERDWDFYLRTVSNSARDSSFANDPASDPSLLHAVKKLCDFCRQEEKSSEDLVARVYPHINKLFQRSTASLSQPTSPNGILLLVILQFFLDFGEVVLHDADPSLRTFFRSCLSREFADPVVAAATLDFLNVNKNKLLFSFPNLLPQSDRLKQILNITPRLLDMYFTIALRDANNSLICALIPILMARNSTLFPDKNYMYEVRRRLLEFMLASFQRSPDFIALLKKPIVDRLGEAYDSPEKTELALQLCWAVGEHGGGGGSHKDAARELFESLELLLYENLSSSRLGLRQESINSDSSNFRKSSQSRLLCFVITAIAKLATYHRELLPRARVALGKVARSRISDSRVWRRARDYLGLMDDPAICLSVLGPSRPSHGYPHNPGTVNWNDGGTKMIAHIPFYILGEQEGPPFHDFSFPDILPRRR >KJB13616 pep chromosome:Graimondii2_0_v6:2:10754833:10759728:-1 gene:B456_002G084800 transcript:KJB13616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRERDWDFYLRTVSNSARDSSFANDPASDPSLLHAVKKLCDFCRQEEKSSEDLVARVYPHINKLFQRSTASLSQPTSPNGILLLVILQFFLDFGEVVLHDADPSLRTFFRSCLSREFADPVVAAATLDFLNVNKNKLLFSFPNLLPQFFPLLLKLIAWNGEKLEKAFLKVFPGLVSPGSFLPLFPSLVDLPILVVALEKVERSSGSLIGSSIASIQKSAAPEMLLALMDEAYTGSTIGDGGGDSESEESSTIDVADPVFLELLKDENDGLAERHWTSPGVAAVLQAATNSPQSDRLKQILNITPRLLDMYFTIALRDANNSLICALIPILMARNSTLFPDKNYMYEVRRRLLEFMLASFQRSPDFIALLKKPIVDRLGEAYDSPEKTELALQLCWAVGEHGGGGGSHKDAARELFESLELLLYENLSSSRLGLRQESINSDSSNFRKSSQSRLLCFVITAIAKLATYHRELLPRARVALGKVV >KJB13614 pep chromosome:Graimondii2_0_v6:2:10747974:10759792:-1 gene:B456_002G084800 transcript:KJB13614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRERDWDFYLRTVSNSARDSSFANDPASDPSLLHAVKKLCDFCRQEEKSSEDLVARVYPHINKLFQRSTASLSQPTSPNGILLLVILQFFLDFGEVVLHDADPSLRTFFRSCLSREFADPVVAAATLDFLNVNKNKLLFSFPNLLPQFFPLLLKLIAWNGEKLEKAFLKVFPGLVSPGSFLPLFPSLVDLPILVVALEKVERSSGSLIGSSIASIQKSAAPEMLLALMDEAYTGSTIGDGGGDSESEESSTIDVADPVFLELLKDENDGLAERHWTSPGVAAVLQAATNSPQSDRLKQILNITPRLLDMYFTIALRDANNSLICALIPILMARNSTLFPDKNYMYEVRRRLLEFMLASFQRSPDFIALLKKPIVDRLG >KJB14347 pep chromosome:Graimondii2_0_v6:2:17308257:17309845:1 gene:B456_002G120700 transcript:KJB14347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSSQPLALLSIFSPKRKDFPARIIRFPTHSKKDGNDSDIQYDPNNTSIVPLVGNQTFSQDAAMGLVLSAASVRGWTTGSGMEGPSVPARTDDDQSNTEQVSTFPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHGMKCYVNPSFNYKRDGQWDVGFKLFDEEDDGDGNVFDGS >KJB14348 pep chromosome:Graimondii2_0_v6:2:17308273:17309630:1 gene:B456_002G120700 transcript:KJB14348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSSQPLALLSIFSPKRKDFPARIIRFPTHSKKDGNDSDIQYDPNNTSIVPLVGNQTFSQDAAMGLVLSAASVRGWTTGSGMEGPSVPARTDDDQSNTEQVSTFPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQVTCLK >KJB15443 pep chromosome:Graimondii2_0_v6:2:46383579:46388167:1 gene:B456_002G178700 transcript:KJB15443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDKDFFTEYGEASQYEITDVVGRGSYGVVASAIDTHTGEKVAIKKMTNIFEHTPDAIRILREIKLLRLLRHPDIVQIKHIMLPPCSREFKDIFVVFELMESDLHHVIKINDDLTPDHHQFFLYQLLRGLKYIHTAHVFHRDLKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFFSNYTPAVDIWSVGCIFAELLTGKPLFPGKNVVDQLELVTDLLGTPSVETIARIRNEKARKYLNSMRKKKPIPFSQKFPTIDPLALNLLERLIAFDPNDRPSAEEALAHPYFHGLANLDNEPSMKPISKFEFEFERRKLAEDDVRELIYREILEYHPQMLQEHLQGKDQISFMYPSGVDRFRRQFACLEEYGTNDSGILLQRKYTSLPRERICNDDTDHIDQSKKRTVSSATRATYQSPTKLQGSGDLGYANQNVSGTQETSGKPTSSTARCLLKSNSISASRCVGVIQKPCEVHNEVQKAIA >KJB15446 pep chromosome:Graimondii2_0_v6:2:46383725:46388145:1 gene:B456_002G178700 transcript:KJB15446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDKDFFTEYGEASQYEITDVVGRGSYGVVASAIDTHTGEKVAIKKMTNIFEHTPDAIRILREIKLLRLLRHPDIVQIKHIMLPPCSREFKDIFVVFELMESDLHHVIKINDDLTPDHHQFFLYQLLRGLKYIHTAHVFHRDLKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFFSNYTPAVDIWSVGCIFAELLTGKPLFPGKNVVDQLELVTDLLGTPSVETIARIRNEKARKYLNSMRKKKPIPFSQKFPTIDPLALNLLERLIAFDPNDRPSAEEALAHPYFHGLANLDNEPSMKPISKFEFEFERRKLAEDDVRELIYREILEYHPQMLQEHLQGKDQISFMYPRRQFACLEEYGTNDSGILLQRKYTSLPRERICNDDTDHIDQSKKRTVSSATRATYQSPTKLQGSGDLGYANQNVSGTQETSGKPTSSTARCLLKSNSISASRCVGVIQKPCEVHNEVQKAIA >KJB15445 pep chromosome:Graimondii2_0_v6:2:46384720:46387288:1 gene:B456_002G178700 transcript:KJB15445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDKDFFTEYGEASQYEITDVVGRGSYGVVASAIDTHTGEKVAIKKMTNIFEHTPDAIRILREIKLLRLLRHPDIVQIKHIMLPPCSREFKDIFVVFELMESDLHHVIKINDDLTPDHHQFFLYQLLRGLKYIHTAHVFHRDLKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFFSNYTPAVDIWSVGCIFAELLTGKPLFPGKNVVDQLELVTDLLGTPSVETIARIRNEKARKYLNSMRKKKPIPFSQKFPTIDPLALNLLERLIAFDPNDRPSAEEALAHPYFHGLANLDNEPSMKPISKFEFEFERRKLAEDDVRELIYREILEYHPQMLQEHLQGKDQISFMYPSGVDRFRRQFACLEEYGTNDSGILLQRKYTSLPRERICNDDTDHIDQSKKRTVSSATRATYQSPTKLQGSGDLGYANQNVSGTQETSGKPTSSTARCLLKSNSISASRCVGVIQKPCEVCVL >KJB15444 pep chromosome:Graimondii2_0_v6:2:46384720:46386949:1 gene:B456_002G178700 transcript:KJB15444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDKDFFTEYGEASQYEITDVVGRGSYGVVASAIDTHTGEKVAIKKMTNIFEHTPDAIRILREIKLLRLLRHPDIVQIKHIMLPPCSREFKDIFVVFELMESDLHHVIKINDDLTPDHHQFFLYQLLRGLKYIHTAHVFHRDLKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFFSNYTPAVDIWSVGCIFAELLTGKPLFPGKNVVDQLELVTDLLGTPSVETIARIRNEKARKYLNSMRKKKPIPFSQKFPTIDPLALNLLERLIAFDPNDRPSAEEALAHPYFHGLANLDNEPSMKPISKFEFEFERRKLAEDDVRELIYREILEYHPQMLQEHLQGKDQISFMYPSGVDRFRRQFACLEEYGTNDSGILLQRKYTSLPR >KJB15449 pep chromosome:Graimondii2_0_v6:2:46384459:46388145:1 gene:B456_002G178700 transcript:KJB15449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYFDQKMFDKDFFTEYGEASQYEITDVVGRGSYGVVASAIDTHTGEKVAIKKMTNIFEHTPDAIRILREIKLLRLLRHPDIVQIKHIMLPPCSREFKDIFVVFELMESDLHHVIKINDDLTPDHHQFFLYQLLRGLKYIHTAHVFHRDLKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFFSNYTPAVDIWSVGCIFAELLTGKPLFPGKNVVDQLELVTDLLGTPSVETIARIRNEKARKYLNSMRKKKPIPFSQKFPTIDPLALNLLERLIAFDPNDRPSAEEALAHPYFHGLANLDNEPSMKPISKFEFEFERRKLAEDDVRELIYREILEYHPQMLQEHLQGKDQISFMYPSGVDRFRRQFACLEEYGTNDSGILLQRKYTSLPRERICNDDTDHIDQSKKRTVSSATRATYQSPTKLQGSGDLGYANQNVSGTQETSGKPTSSTARCLLKSNSISASRCVGVIQKPCEVHNEVQKAIA >KJB15441 pep chromosome:Graimondii2_0_v6:2:46383581:46388145:1 gene:B456_002G178700 transcript:KJB15441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDKDFFTEYGEASQYEITDVVGRGSYGVVASAIDTHTGEKVAIKKMTNIFEHTPDAIRILREIKLLRLLRHPDIVQIKHIMLPPCSREFKDIFVVFELMESDLHHVIKINDDLTPDHHQFFLYQLLRGLKYIHTAHVFHRDLKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFFSNYTPAVDIWSVGCIFAELLTGKPLFPGKNVVDQLELVTDLLGTPSVETIARIRNEKARKYLNSMRKKKPIPFSQKFPTIDPLALNLLERLIAFDPNDRPSAEEALAHPYFHGLANLDNEPSMKPISKFEFEFERRKLAEDDVRELIYREILEYHPQMLQEHLQGKDQISFMYPSGVDRFRRQFACLEEYGTNDSGILLQRKYTSLPRERICNDDTDHIDQSKKRTVSSATRATYQSPTKLQGSGDLGYANQNVSGTQETSGKPTSSTARCLLKSNSISASRCVGVIQKPCEVHNEVQKAIA >KJB15448 pep chromosome:Graimondii2_0_v6:2:46383725:46388145:1 gene:B456_002G178700 transcript:KJB15448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDKDFFTEYGEASQYEITDVVGRGSYGVVASAIDTHTGEKVAIKKMTNIFEHTPDAIRILREIKLLRLLRHPDIVQIKHIMLPPCSREFKDIFVVFELMESDLHHVIKINDDLTPDHHQFFLYQLLRGLKYIHTGAHVFHRDLKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFFSNYTPAVDIWSVGCIFAELLTGKPLFPGKNVVDQLELVTDLLGTPSVETIARIRNEKARKYLNSMRKKKPIPFSQKFPTIDPLALNLLERLIAFDPNDRPSAEEALAHPYFHGLANLDNEPSMKPISKFEFEFERRKLAEDDVRELIYREILEYHPQMLQEHLQGKDQISFMYPSGVDRFRRQFACLEEYGTNDSGILLQRKYTSLPRERICNDDTDHIDQSKKRTVSSATRATYQSPTKLQGSGDLGYANQNVSGTQETSGKPTSSTARCLLKSNSISASRCVGVIQKPCEVHNEVQKAIA >KJB15447 pep chromosome:Graimondii2_0_v6:2:46383581:46388145:1 gene:B456_002G178700 transcript:KJB15447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDKDFFTEYGEASQYEITDVVGRGSYGVVASAIDTHTGEKVAIKKMTNIFEHTPDAIRILREIKLLRLLRHPDIVQIKHIMLPPCSREFKDIFVVFELMESDLHHVIKINDDLTPDHHQFFLYQLLRGLKYIHTAHVFHRDLKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFFSNYTPAVDIWSVGCIFAELLTGKPLFPGKNVVDQLELVTDLLGTPSVETIARIRNEKARKYLNSMRKKKPIPFSQKFPTIDPLALNLLERLIAFDPNDRPSAEEALAHPYFHGLANLDNEPSMKPISKFEFEFERRKLAEDDVRELIYREILEYHPQMLQEHLQGKDQISFMYPSGVDRFRRQFACLEEYGTNDSGILLQRKYTSLPRERICNDDTDHIDQSKKRTVSSATRATYQSPTKLQGSGDLGYANQNVSGTQETSGKPTSSTARCLLKSNSISASRCVGVIQKPCEVHNEVQKAIA >KJB15442 pep chromosome:Graimondii2_0_v6:2:46383581:46388145:1 gene:B456_002G178700 transcript:KJB15442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDKDFFTEYGEASQYEITDVVGRGSYGVVASAIDTHTGEKVAIKKMTNIFEHTPDAIRILREIKLLRLLRHPDIVQIKHIMLPPCSREFKDIFVVFELMESDLHHVIKINDDLTPDHHQFFLYQLLRGLKYIHTAHVFHRDLKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFFSNYTPAVDIWSVGCIFAELLTGKPLFPGKNVVDQLELVTDLLGTPSVETIARIRNEKARKYLNSMRKKKPIPFSQKFPTIDPLALNLLERLIAFDPNDRPSAEEALAHPYFHGLANLDNEPSMKPISKFEFEFERRKLAEDDVRELIYREILEYHPQMLQEHLQGKDQISFMYPRERICNDDTDHIDQSKKRTVSSATRATYQSPTKLQGSGDLGYANQNVSGTQETSGKPTSSTARCLLKSNSISASRCVGVIQKPCEVHNEVQKAIA >KJB15274 pep chromosome:Graimondii2_0_v6:2:41847479:41851685:-1 gene:B456_002G168100 transcript:KJB15274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRLPVQHYSNSFIPTSLHDLNTVDSRPSEIDAVDATDALDHHDHDHPDSTTVECLHESYRNSLPIHGVGAEEEDRSSLDNGDSSRGAFSILTIEDVSPIESARARFLQIIVDNFIKDHVIEVVDNESPVEYNAQSGQEKLNKRKIGDIQYEGDPRFALPLMYVANLYETLVNEVNLRIASLNGIRDKTIGVALEAAGGLYRRLAKKFPKKGSYMYKRRELATSHETRTRFPELVIQEEKRVRFVVVNGLDIVERPNNVPVEDAEWFKRLTGRNEVAISAQDYKFYSPRHKYRRVTSNTVSNIPALPAFSGSDSSPLSNAQGFHTVNETQQQTPSKHHIPTMSHQPQFHPIHQNHHQPVHPNQHGAHFPQNHQCGPPPHLPEISHAHQSPTMSQHIACLQPLTGGHVGARLHVMPTNPAKYCDECGAPYLRETSKFCSECGIKRLGI >KJB15276 pep chromosome:Graimondii2_0_v6:2:41847510:41851695:-1 gene:B456_002G168100 transcript:KJB15276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLMLWITTITTIPIPLLLSECLHESYRNSLPIHGVGAEEEDRSSLDNGDSSRGAFSILTIEDVSPIESARARFLQIIVDNFIKDHVIEVVDNESPVEYNAQSGQEKLNKRKIGDIQYEGDPRFALPLMYVANLYETLVNEVNLRIASLNGIRDKTIGVALEAAGGLYRRLAKKFPKKGSYMYKRRELATSHETRTRFPELVIQEEKRVRFVVVNGLDIVERPNNVPVEDAEWFKRLTGRNEVAISAQDYKFYSPRHKYRRVTSNTVSNIPALPAFSGSDSSPLSNAQGFHTVNETQQQTPSKHHIPTMSHQPQFHPIHQNHHQPVHPNQHGAHFPQNHQCGPPPHLPEISHAHQSPTMSQHIACLQPLTGGHVGARLHVMPTNPAKYCDECGAPYLRETSKFCSECGIKRLGI >KJB15275 pep chromosome:Graimondii2_0_v6:2:41847415:41851781:-1 gene:B456_002G168100 transcript:KJB15275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRLPVQHYSNSFIPTSLHDLNTVDSRPSEIDAVDATDALDHHDHDHPDSTTVECLHESYRNSLPIHGVGAEEEDRSSLDNGDSSRGAFSILTIEDVSPIESARARFLQIIVDNFIKDHVIEVVDNESPVEYNAQSGQEKLNKRKIGDIQYEGDPRFALPLMYVANLYETLVNEVNLRIASLNGIRDKTIGVALEAAGGLYRRLAKKFPKKGSYMYKRRELATSHETRTRFPELVIQEEKRVRFVVVNGLDIVERPNNVPVEDAEWFKRLTGRNEVAISAQDYKFYSPRHKYRRVTSNTVSNIPALPAFSGSDSSPLSNAQGFHTVNETQQQTPSKHHIPTMSHQPQFHPIHQNHHQPVHPNQHGAHFPQNHQCGPPPHLPEISHAHQSPTMSQHIACLQPLTGGHVGARLHVMPTNPAKYCDECGAPYLRETSKFCSECGIKRLGI >KJB16940 pep chromosome:Graimondii2_0_v6:2:61788390:61788906:-1 gene:B456_002G255600 transcript:KJB16940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCFLLCLVLLFNASMAVATTENGETKLHPPASTPTIRRLGKHEPPVSSPLQAPPHSGGVSPSTTIEANNGENMGGFEGQAAVHLQKHQHHSMDKSIAGGGVILGGLATTFLVAVFCYVRATRRHKSEIHQSEITK >KJB15760 pep chromosome:Graimondii2_0_v6:2:52457970:52458773:1 gene:B456_002G194300 transcript:KJB15760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSSIISWILVITSAISPIYTQYYSKTVPFVSNAEKVTNLHFFFHDTLSGKNPSAVLVAHGNITGNEKSAAPFSSVYAVDDPLTEGPEPTSEVIGNVQGLWVSSSKGMPTLMAFFDFGFTKGEFNGSSISVFSRNPISETERELAVVGGRGKFRMAKGVAKLKTYFLNVTTGDAIVEYNVTVNHY >KJB16687 pep chromosome:Graimondii2_0_v6:2:60733892:60734923:1 gene:B456_002G243200 transcript:KJB16687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSLTLSRFLSPAAAISLSRTTKLSSKSLPLKLSSVSPLSFNLHRRRVSKPISFSTKTTQISAAISVGDKLPDATLSYFDSDGELQTTTISSLTAGKKTVIFAVPGAFTPTCSQKHLPGFVEKSGELKAKGVNTIACVSVNDAFVMRAWKENLGIKDEVLLLSDGNGEFTKKIGCELDLTDKPVGLGVRSRRYALLAEDGVVKLLNLEEGGAFTFSGAEDILKVL >KJB16293 pep chromosome:Graimondii2_0_v6:2:57749822:57755343:-1 gene:B456_002G222700 transcript:KJB16293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRDVVRVIKRRFHLPKPSETWRNAPKEKAECVVIGAGIVGLAVARELSLKGKEVLVLDSAPTFGTATSSRNSEVIHAGIYYPSNSLKARFCVRGRKLLYHYCSQRGIPHNQIGKLIVATGTSEIPKLNQLFNRGIQNGVENLRMLDASEAIKMEPELHCVKALLSPASGILDSHSLMLSLVGEAETNGATFSYNTAVIGGHLEGNQMVLHVCETKTLTNWDGNTPLQLDLLLMPKIVVNSSGLSAPTLAKRFHGLNTAIIPPAYYARGSYFILSNANSTRHPPFKHLIYPVPEEGGLGVHVTLDLDGQLKFGPDVEWIPHVPDTTNFLNKFDYSVSADHVARFYPEIRKYYPNLKDGSLIPGYAGIRPKLHGPGQSACDFVIQGEDIHGVGGLVNLFGIESPGLTSSMAIAEYVAALLLGG >KJB16291 pep chromosome:Graimondii2_0_v6:2:57749784:57755940:-1 gene:B456_002G222700 transcript:KJB16291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRDVVRVIKRRFHLPKPSETWRNAPKEKAECVVIGAGIVGLAVARELSLKGKEVLVLDSAPTFGTATSSRNSEVIHAGIYYPSNSLKARFCVRGRKLLYHYCSQRGIPHNQIGKLIVATGTSEIPKLNQLFNRGIQNGVENLRMLDASEAIKMEPELHCVKALLSPASGILDSHSLMLSLVGEAETNGATFSYNTAVIGGHLEGNQMVLHVCETKTLTNWDGNTPLQLDLLLMPKIVVNSSGLSAPTLAKRFHGLNTAIIPPAYYARGSYFILSNANSTRHPPFKHLIYPVPEEGGLGVHVTLDLDGQLKFGPDVEWIPHVPDTTNFLNKFDYSVSADHVARFYPEIRKYYPNLKDGSLIPGYAGIRPKLHGPGQSACDFVIQGEDIHGVGGLVNLFGIESPGLTSSMAIAEYVAALLLGG >KJB16296 pep chromosome:Graimondii2_0_v6:2:57753411:57755940:-1 gene:B456_002G222700 transcript:KJB16296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRDVVRVIKRRFHLPKPSETWRNAPKEKAECVVIGAGIVGLAVARELSLKGKEVLVLDSAPTFGTATSSRNSEVIHAGIYYPSNSLKARFCVRGRKLLYHYCSQRGIPHNQIGKLIVATGTSEIPKLNQLFNRGIQNGVENLRMLDASEAIKMEPELHCVKALLSPASGILDSHSLMLSLVGEAETNGATFSYNTAVIGGHLEGNQMVLHVCETKTLTNWDGNTPLQLDLLLMPKIVVNSSGLSAPTLAKRFHGLNTAIIPPAYYARGSYFILSNANSTRHPPFKHLIYPVPEEGGLGVHVTLDLDGQLKFGPDVEWIPHVPDTTNFLNKCDYLSFESSSRRRHFP >KJB16290 pep chromosome:Graimondii2_0_v6:2:57749825:57755940:-1 gene:B456_002G222700 transcript:KJB16290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRDVVRVIKRRFHLPKPSETWRNAPKEKAECVVIGAGIVGLAVARELSLKGKEVLVLDSAPTFGTATSSRNSEVIHAGIYYPSNSLKARFCVRGRKLLYHYCSQRGIPHNQIGKLIVATGTSEIPKLNQLFNRGIQNGVENLRMLDASEAIKMEPELHCVKALLSPASGILDSHSLMLSLVGEAETNGATFSYNTAVIGGHLEGNQMVLHVCETKTLTNWDGNTPLQLDLLLMPKIVVNSSGLSAPTLAKRFHGLNTAIIPPAYYARGSYFILSNANSTRHPPFKHLIYPVPEEGGLGVHVTLDLDGQLKFGPDVEWIPHVPDTTNFLNKFDYSVSADHVARFYPEIRKYYPNLKDGSLIPGYAGIRPKLHGPGQSACDFVIQGEDIHGVGGLVNLFGIESPGLTSSMAIAEYVAALLLGG >KJB16292 pep chromosome:Graimondii2_0_v6:2:57745231:57755504:-1 gene:B456_002G222700 transcript:KJB16292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRDVVRVIKRRFHLPKPSETWRNAPKEKAECVVIGAGIVGLAVARELSLKGKEVLVLDSAPTFGTATSSRNSEVIHAGIYYPSNSLKARFCVRGRKLLYHYCSQRGIPHNQIGKLIVATGTSEIPKLNQLFNRGIQNGVENLRMLDASEAIKMEPELHCVKALLSPASGILDSHSLMLSLVGEAETNGATFSYNTAVIGGHLEGNQMVLHVCETKTLTNWDGNTPLQLDLLLMPKIVVNSSGLSAPTLAKRFHGLNTAIIPPAYYARGSYFILSNANSTRHPPFKHLIYPVPEEGGLGVHVTLDLDGQLKFGPDVEWIPHVPDTTNFLNKFDYSVSADHVARFYPEIRKYYPNLKDGSLIPGYAGIRPKLHGPGQSACDFVIQGEDIHGVGGLVNLFGIESPGLTSSMAIAEYVAALLLGG >KJB16295 pep chromosome:Graimondii2_0_v6:2:57750532:57755329:-1 gene:B456_002G222700 transcript:KJB16295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRDVVRVIKRRFHLPKPSETWRNAPKEKAECVVIGAGIVGLAVARELSLKGKEVLVLDSAPTFGTATSSRNSEVIHAGIYYPSNSLKARFCVRGRKLLYHYCSQRGIPHNQIGKLIVATGTSEIPKLNQLFNRGIQNGVENLRMLDASEAIKMEPELHCVKALLSPASGILDSHSLMLSLVGEAETNGATFSYNTAVIGGHLEGNQMVLHVCETKTLTNWDGNTPLQLDLLLMPKIVVNSSGLSAPTLAKRFHGLNTAIIPPAYYARGSYFILSNANSTRHPPFKHLIYPVPEEGGLGVHVTLDLDGQLKFGPDVEWIPHVPDTTNFLNKFDYSVMQGFDQNFMDLDSLPVIL >KJB16294 pep chromosome:Graimondii2_0_v6:2:57749835:57755343:-1 gene:B456_002G222700 transcript:KJB16294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRDVVRVIKRRFHLPKPSETWRNAPKEKAECVVIGAGIVGLAVARELSLKGKEVLVLDSAPTFGTATSSRNSEVIHAGIYYPSNSLKARFCVRGRKLLYHYCSQRGIPHNQIGKLIVATGTSEIPKLNQLFNRGIQNGVENLRMLDASEAIKMEPELHCVKALLSPASGILDSHSLMLSLVGEAETNGATFSYNTAVIGGHLEGNQMVLHVCETKTLTNWDGNTPLQLDLLLMPKIVVNSSGLSAPTLAKRFHGLNTAIIPPAYYARGSYFILSNANSTRHPPFKHLIYPVPEEGGLGVHVTLDLDGQLKFGPDVEWIPHVPDTTNFLNKFDYSVSADHVARFYPEIRKYYPNLKDGSLIPGYAGIRPKLHGPGQSACDFVIQGEDIHGVGGLVNLFGIESPGLTSSMAIAEYVAALLLGG >KJB16183 pep chromosome:Graimondii2_0_v6:2:56697382:56701497:1 gene:B456_002G216800 transcript:KJB16183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRGHKKGNKAKANAAALVAPNEGTGNVASVNTDDNSVNDDYESGMEVDTPSSTRTDPAFNVTSVNPDSSVDKAVGKSVGRVKVKLKTSSKVLESDVPSHSDTDKSSPQVGFERQGGVYEKMEDSANSSAEMKMGVSSSVSRKAGSIKIKSSRMLAGSNADKSGNVIGEERESSFQKEAKMPRLGSRYNKRELDSALTVIKKVMKMEAAAPFNEPVNPEALGIPDYFDIIDTPMDFGTICNNFESGDKYMDSEDVFKDVQYIWDNCCKYNNKGDAILDLMRRVKKNFMKYWTAAGLYTEHSKGANGAEGGDVEDSSQGKMQIKSGQSKLKNRKHGRRHKSDCLCAICVLKRRKREREANARMAKGHSEVLDPLQEESSPVGSPYGEDSSLNMDESVDPYADAEVEGEGEKVKGEVSEHQYSRMEERHEEEEDDGEEEEEEDEEENEIKTINKDEGQTKEQSEFRDSLSEERSRKSQPETVDKSGAVAQNQKGITTQNEEESKAVQQQRYKEAQERQQRSKMLESFCFENPMLLKLCGVLFPDNKKSVWSGRHSLVLNRDSQTSLVHSAIESFMK >KJB16182 pep chromosome:Graimondii2_0_v6:2:56697417:56701329:1 gene:B456_002G216800 transcript:KJB16182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRGHKKGNKAKANAAALVAPNEGTGNVASVNTDDNSVNDDYESGMEVDTPSSTRTDPAFNVTSVNPDSSVDKAVGKSVGRVKVKLKTSSKVLESDVPSHSDTDKSSPQVGFERQGGVYEKMEDSANSSAEMKMGVSSSVSRKAGSIKIKSSRMLAGSNADKSGNVIGEERESSFQKEAKMPRLGSRYNKRELDSALTVIKKVMKMEAAAPFNEPVNPEALGIPDYFDIIDTPMDFGTICNNFESGDKYMDSEDVFKDVQYIWDNCCKYNNKGDAILDLMRRVKKNFMKYWTAAGLYTEHSKGANGAEGGDVEDSSQGKMQIKSGQSKLKNRKHGRRHKSDCLCAICVLKRRKREREANARMAKGHSEVLDPLQEESSPVGSPYGEDSSLNMDESVDPYADAEVEGEGEKVKGEVSEHQYSRMEERHEEEEDDGEEEEEEDEEENEIKTINKDEGQTKEQSEFRDSLSEERSRKSQPETVDKSGAVAQNQKGITTQNEEESKAVQQQRYKEAQERQQRSKMLESFCFENPMLLKLCGVLFPDNKKSVWSGRHSLVLNRDSQTSLVHSAIESFMK >KJB16184 pep chromosome:Graimondii2_0_v6:2:56697417:56701334:1 gene:B456_002G216800 transcript:KJB16184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRGHKKGNKAKANAAALVAPNEGTGNVASVNTDDNSVNDDYESGMEVDTPSSTRTDPAFNVTSVNPDSSVDKAVGKSVGRVKVKLKTSSKVLESDVPSHSDTDKSSPQVGFERQGGVYEKMEDSANSSAEMKMGVSSSVSRKAGSIKIKSSRMLAGSNADKSGNVIGEERESSFQKEAKMPRLGSRYNKRELDSALTVIKKVMKMEAAAPFNEPVNPEALGIPDYFDIIDTPMDFGTICNNFESGDKYMDSEDVFKDVQYIWDNCCKYNNKGDAILDLMRRVKKNFMKYWTAAGLYTEHSKGANGAEGGDVEDSSQGKMQIKSGQSKLKNRKHGRRHKSDCLCAICVLKRRKREREANARMAKGHSEVLDPLQEESSPVGSPYGEDSSLNMDESVDPYADAEVEGEGEKVKGEVSEHQYSRMEERHEEEEDDGEEEEEEDEEENEIKTINKDEGQTKEQSEFRDSLSEERSRKSQPETVDKSGAVAQNQKGITTQNEEESKAVQQQRYKVFAQERQQRSKMLESFCFENPMLLKLCGVLFPDNKKSVWSGRHSLVLNRDSQTSLVHSAIESFMK >KJB14451 pep chromosome:Graimondii2_0_v6:2:18963296:18965234:1 gene:B456_002G125600 transcript:KJB14451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFYLGGREADTGNKQEEDKEESLYLYRNEEIYNKGFEIWPQYYYQQQQQQQQENVNTISFGAGPSRRARGFNLSDEPSSRSVGFTVMKHGGMNCQDCGNQAKKDCAHMRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQLAALQHHQQHEDQFRGENPKRLRENQGSPSLACTRLSPTTSGLELGQFPPEVSSPAVFRCVKVSAMDDEDEEFAYQTAVNIAGHVFKGILYDQGPESHYTGGGESSQQLNLITAAVTTAETARTTTTAAISSNPATSMLDPSLYPAPLNAFIAGTQFFPPPRS >KJB14401 pep chromosome:Graimondii2_0_v6:2:17708884:17710900:-1 gene:B456_002G123000 transcript:KJB14401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFAYTPNFLLFTAQITFLPAVCALLLSHSFISGVQGTSFTIVNKCNYVVWPGVLSNAGVSTISTTGFTLRRGESKTISAPASWGGRFWGRTHCSLDSAGKFSCLTGDCGSGKLECSGNGAAPPATLAEFTLDGAGGLDFFDVSLVDGYNVPMLVVPHGGTGQNCTYTGCVVDLNDSCPSELKVMKREGGDGVACKSACEAFRQPQYCCSGAYGTPDTCKASSYSEVFKRACPRAYSYAYDDKSSTFTCAKADYTVTFCPSPNTSQKSSSEGQNTETPSTTTLANGTMVYEGALDESAASPSIFPHVFGSHAIAVTFTITIAISCSCQLLF >KJB14400 pep chromosome:Graimondii2_0_v6:2:17708884:17710855:-1 gene:B456_002G123000 transcript:KJB14400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFAYTPNFLLFTAQITFLPAVCALLLSHSFISGVQGTSFTIVNKCNYVVWPGVLSNAGVSTISTTGFTLRRGESKTISAPASWGGRFWGRTHCSLDSAGKFSCLTGDCGSGKLECSGNGAAPPATLAEFTLDGAGGLDFFDVSLVDGYNVPMLVVPHGGTGQNCTYTGCVVDLNDSCPSELKVMKREGGDGVACKSACEAFRQPQYCCSGAYGTPDTCKASSYSEVFKRACPRAYSYAYDDKSSTFTCAKADYTVTFCPSPNTSFVSQVKVGGKMLRVFAVTLRCINFGWGFFNG >KJB14636 pep chromosome:Graimondii2_0_v6:2:22094737:22095825:1 gene:B456_002G135100 transcript:KJB14636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVLFRGSSLTTHKFLHSYTKINQNPDHLFTLLAASTRSRLRFNSFGPDSPVEKKPDPIIESTSVAVKDVALPVKDVSNKGKTWVKKNIRFGFLFGNLAIEDFNLPSYDLDLTLFLGWLLDNKYHDIGIVVGDLISFWMVNVNLNLWLDHRTPKLEAQLVVYNSPKLAIQRREAFTMLDGSFRIKANRKREFAGMVKSTAGNFTTIVSQQFKLTYVVKFYFNGTYKV >KJB15682 pep chromosome:Graimondii2_0_v6:2:52140605:52143581:1 gene:B456_002G192600 transcript:KJB15682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTSSSPPRLSILSESLSSSISSPKRRLVLVVPCAVLALCIHPTTSHNLLNRISWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGRLLVALGYGLWPSMVLISEIVQTFILADFCYYYIKSVFGGQLVLRLPSGVV >KJB15684 pep chromosome:Graimondii2_0_v6:2:52140251:52144064:1 gene:B456_002G192600 transcript:KJB15684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQKRPIHAVSMWVRRQPPKVKAFLAVVSGMAALVLLRVIVNDHDNLFVAAEAVHSIGISVLIYKLTKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTLLDLATLATTLWVIYMIRFKLKSSYMEDKDNFVIYYVVVPCAVLALCIHPTTSHNLLNRISWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGRLLVALGYGLWPSMVLISEIVQTFILADFCYYYIKSLFSVYPLGWSK >KJB15685 pep chromosome:Graimondii2_0_v6:2:52140478:52143462:1 gene:B456_002G192600 transcript:KJB15685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQKRPIHAVSMWVRRQPPKVKAFLAVVSGMAALVLLRVIVNDHDNLFVAAEAVHSIGISVLIYKLTKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTLLDLATLATTLWVIYMIRFKLKSSYMEDKDNFVIYYVVVPCAVLALCIHPTTSHNLLNRISWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGRLLVALGYGLWPSMVLISEIVQTFILADFCYYYIKRLVITFVV >KJB15681 pep chromosome:Graimondii2_0_v6:2:52140202:52144362:1 gene:B456_002G192600 transcript:KJB15681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQKRPIHAVSMWVRRQPPKVKAFLAVVSGMAALVLLRVIVNDHDNLFVAAEAVHSIGISVLIYKLTKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTLLDLATLATTLWVIYMIRFKLKSSYMEDKDNFVIYYVVVPCAVLALCIHPTTSHNLLNRISWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGRLLVALGYGLWPSMVLISEIVQTFILADFCYYYIKSVFGGQLVLRLPSGVV >KJB15683 pep chromosome:Graimondii2_0_v6:2:52140215:52144362:1 gene:B456_002G192600 transcript:KJB15683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQKRPIHAVSMWVRRQPPKVKAFLAVVSGMAALVLLRVIVNDHDNLFVAAEAVHSIGISVLIYKLTKEKTCAVLALCIHPTTSHNLLNRISWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGRLLVALGYGLWPSMVLISEIVQTFILADFCYYYIKSVFGGQLVLRLPSGVV >KJB15323 pep chromosome:Graimondii2_0_v6:2:43361025:43362197:-1 gene:B456_002G171300 transcript:KJB15323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMWKRATGAIKDKNSLVLAKFITRGAFGDHDLEAAIIKATSHDEHDIDKRNTRMLFSWIRASPNTLCPLVRALSRRLEKTRSWVVAIKGLMLVHGLIHCKVPDARKMGRLPFDFSSFSDRHSRLSKTWGFNIFIRQYFVFLDERAVVWLQDENKTAEDVPLIVRQLLKLRKRQSLLDMLLKIRPRADNMKVPLILEAMDCVIIEIFDVYSRICSEIAKVLMQVHSVGKLEAAMALEILRKATSQGAQLSQYFEFCKKYGVLKANEFHKVTEIPEEDVQELERIINGVSDKTYKNDDFKENDQMATVVREENNAIVEHGELIKDGLKTTITDKWEVFHDNINLNGEHIDSFFNESNGSADQEATVSDLPLIPIDHMAVHYPFEIPDLISF >KJB13981 pep chromosome:Graimondii2_0_v6:2:13336173:13344166:-1 gene:B456_002G104100 transcript:KJB13981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKTHFPINQSASKDCEYSYPKTTSWKKGTDCCLWDGVSCDNITGEVISLELSCSCLSGTFPSNTTFFLLSSLRRLDLSFNDFWKSKISSKFGYFPSLTHLNLSSSWFSGPIPYEISYLSNLVSLHLSYRPSIDLIGFQAPGPILTLEKSTINGIVWNLTQVREIDLDGIDMSSIYPNSFVNLSYSLTTLSLLGCHLRGKFSDNIFKLSNIEYLSLDKNPKLTSKFPKSNWSSPLVGFSASKASSSGELPKSFGNLKSLMGLALLCRNLTHLEFLVLSYNYFSGKVPSSLANLAKLIILSLGDNQLEGCIPNNANVFPNLRYLDLSNNLLSGTTPSWLYTIPLLRSLDLGNNQFIGHISEFQNRALSAIILKDNSFHGLIPSSISKLMNLIVLDLSSNFIEAIKGFEIELEKILTIFTSIDLSSDNFEGQIPRDIRELSSLRGLNLSHNNLVGHIPTSLRNMIWLELLDLSSNKFSGQIPTGLSDLTFLFFFNFSYNQLVGRIPHGNQFNTFENGSYQGNEGLCDVPLSRGCSIKVLGEPPPAPWTNSHEDGGSWWLKA >KJB14420 pep chromosome:Graimondii2_0_v6:2:19323703:19324772:-1 gene:B456_002G126600 transcript:KJB14420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEIDNVKAEKEDALWRYNMERKLRLGLRYMGFLLVLFLISWSWFPPLIPHIIEVARDLSQRYVNTLNDPFFIFILMNIIILVVYILSTQKQSTSSGIYDEYVSSHRSIIPAEATVLDKQIVVVENAVDVAETKRPLSPIKQQPWKTKTKPAITSTDKVKQKEYRRTRSVVSESGKRRPRRVYRRSETAVTGRELVVCSAESAREAIHEMSNEEFQLKVDSFIAERRKALMQENIAHYTKCMSIVVKN >KJB16278 pep chromosome:Graimondii2_0_v6:2:57534287:57536190:1 gene:B456_002G220800 transcript:KJB16278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNIYDGFVTLTQINQKKRKTSENQKKNMSLSPPRARVNGVDGANGANGANGAAPGRNYQHYWCYQCHQFVRIASTNPPEEVVCPLCSGRFVCEMEINRPRMVVDFTAFDPSPEARLLEALSLFMYPPIRLFGLGISDNQEPQGRRWLRRPNNLLESEGENLPRERLRRRRSRSLDGTNDREQEPESLGRPRTWIIVRQPGSFSLPEAEPTLPHEIPALPAPGLDPRNFFFGTGLNELIEQLTQNDRPGVPPAPESTIHAIPTVKITESHLRDDSQCPVCKEEFKVGGEARELPCNHIYHSDCIVPWLRLHNSCPVCRHELPVSSTDEQLPVSSTDELSSSDYFSEPEVSSNDGRRCWRLRQLASNLWPFHRRHRRISPQTDVSPAVELESRSHRCCIL >KJB16277 pep chromosome:Graimondii2_0_v6:2:57534287:57536190:1 gene:B456_002G220800 transcript:KJB16277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNIYDGFVTLTQINQKKRKTSENQKKNMSLSPPRARVNGVDGANGANGANGAAPGRNYQHYWCYQCHQFVRIASTNPPEEVVCPLCSGRFVCEMEINRPRMVVDFTAFDPSPEARLLEALSLFMYPPIRLFGLGISDNQEPQGRRWLRRPNNLLESEGENLPRERLRRRRSRSLDGTNDREQEPESLGRPRTWIIVRQPGSFSLPEAEPTLPHEIPALPAPGLDPRNFFFGTGLNELIEQLTQNDRPGVPPAPESTIHAIPTVKITESHLRDDSQCPVCKEEFKVGGEARELPCNHIYHSDCIVPWLRLHNSCPVCRHELPVSSTDEQLPVSSTDELSSSDYFSEPEVSSNDGRRCWRLRQLASNLWPFHRRHRRISPQTDVSPVARL >KJB15362 pep chromosome:Graimondii2_0_v6:2:43839731:43841492:1 gene:B456_002G172600 transcript:KJB15362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLVSRTGRHLQRYDNLGRRQVVGCIPYRFKCSSDGTISDELEVLVISSQKGQKMMFPKGGWELDESIEEAALRESIEEAGVIGNVECELGKWYFISKSHGTFYEGYMFPLLVKEELDFWPEQNVRQRTWMNVKEARDVCQHWWMKEALDILVERLASLQQ >KJB13712 pep chromosome:Graimondii2_0_v6:2:11432607:11433597:1 gene:B456_002G090500 transcript:KJB13712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASFSFKVLLVLFLGALVCATSARKLTGGFEEEKNLFHRRPRFGGGLGGGGGGGFGGGGGGGLGGGAGFGGGAGAGGGVGGGGGLGGGGGGGFGGGGGGGVGGGAGGGFGGGAGGGAGGGFGGGGGFGGGAGAGGGFGGGAGAGGGLGGGLP >KJB17069 pep chromosome:Graimondii2_0_v6:2:62497608:62498510:1 gene:B456_002G265000 transcript:KJB17069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] SPVLTTPSKLTKPKLPPEFSFSFFSRKPKGDFSRQNFPSFSREKQKGVPMATRLAALRLRSHLLRNCSTLSTLRSPPSISHPAPPPISTTHHYIMSPTLRFLYTSTRRIPTRPKKVDIGARARQLQTRRLWTYALTFSCVAGFVVLVLNNFQDQLVFYVTPTDALEKYTKNPSKTKFRLGGLVLEGSVVQPSSSKEMEFVITDLITDILVRYEGSLPDLFREGHSVVVEGFVKPFTEEIKRDVSTRGVSSKARSGDCYFSATEVLAKHDEKYMPQEVAAAIEKNKKMIEDGLEGAKESVV >KJB12205 pep chromosome:Graimondii2_0_v6:2:378493:384453:-1 gene:B456_002G005900 transcript:KJB12205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPKGSPPSSRVSSPPPPAGDKSTAPPPVPASSPPNPPSAPPSPPAESNSPSPPSYSSTSSSSPPSTPALLPPTSPSTSPSPPSTLSSSPSSPSSWTSPTISTSKSPSSSSTRSSTSPSRKPSSNNNGNNQNNTTVTNPTPSPPPLSPPSLSSPSSSPPPLPANSPLENSLPNPSSTPILSSPPPTSNSSTNQIENTPKSSSMPFSSTLPIIIGVPVSVGLLLGLILMCLNAMRTKRKEDLEKHVQKGDAEKGIGNKANEHADNILVSPSGIVGKGGSPREEAPPYFSPKYQSPLGINKCSFTYEELAIATQGFSQKNLLGQGGFGFVHKGVLPNGREVAVKSLKSGSGQGQREFQAEVEIISRIHHRHLVSLVGFSIAGDKKMLVYEFLPNKTLQFHLHEKGLPTMDWPTRLKIALGAAKGLSYLHEDCHPRIIHRDIKSANILLDFCFEAMVADFGLAKLTQDSNTHVSTRVMGTFGYLAPEYVSSGKLTNKSDVFSFGVVLLELITGRRPYNPTSNMDESLVDWARPLCARAIEDGKFGQLVDPRLGNNFVDHEMASMVSCASACVRHSARQRPKMRQIVCALEDGVPLDDLSETSKLDQSSTSNSKSDDTSNESSSYTLESKEYGSNNNDDTNHCHLNPSSFSSDSSETTSKARDDLK >KJB12204 pep chromosome:Graimondii2_0_v6:2:378353:384594:-1 gene:B456_002G005900 transcript:KJB12204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPKGSPPSSRVSSPPPPAGDKSTAPPPVPASSPPNPPSAPPSPPAESNSPSPPSYSSTSSSSPPSTPALLPPTSPSTSPSPPSTLSSSPSSPSSWTSPTISTSKSPSSSSTRSSTSPSRKPSSNNNGNNQNNTTVTNPTPSPPPLSPPSLSSPSSSPPPLPANSPLENSLPNPSSTPILSSPPPTSNSSTNQIENTPKSSSMPFSSTLPIIIGVPVSVGLLLGLILMCLNAMRTKRKEDLEKHVQKGDAEKGIGNKANEHADNILVSPSGIVGKGGSPREEAPPYFSPKYQSPLGINKCSFTYEELAIATQGFSQKNLLGQGGFGFVHKGVLPNGREVAVKSLKSGSGQGQREFQAEVEIISRIHHRHLVSLVGFSIAGDKKMLVYEFLPNKTLQFHLHEKGLPTMDWPTRLKIALGAAKGLSYLHEDCHPRIIHRDIKSANILLDFCFEAMVADFGLAKLTQDSNTHVSTRVMGTFGYLAPEYVSSGKLTNKSDVFSFGVVLLELITGRRPYNPTSNMDESLVDWARPLCARAIEDGKFGQLVDPRLGNNFVDHEMASMVSCASACVRHSARQRPKMRQMVFH >KJB11927 pep chromosome:Graimondii2_0_v6:2:8884229:8885026:1 gene:B456_002G0754001 transcript:KJB11927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVYLAFQFPELKTLKSCDLLSSSWISMAWYPIYRIPTGPTLKDLDACFLTYHFLHTPVGGGQSAQGPVVTGLNNLKMQLPVFGLASYKFLVDT >KJB11926 pep chromosome:Graimondii2_0_v6:2:8884229:8885026:1 gene:B456_002G0754001 transcript:KJB11926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVYLAFQFPELKTLKSCDLLSSSWISMAWYPIYRIPTGPTLKDLDACFLTYHFLHTPVGGGQSAQGPVVTGLNNLKMQLPVFGLASYKFLVDT >KJB13953 pep chromosome:Graimondii2_0_v6:2:13126256:13128604:1 gene:B456_002G103200 transcript:KJB13953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAWFSGGSSGNEEERGKEGSSLLSDWNSYAASKEAEEGTTTVFGFDLESAARSANDTVTGTFNVVSKGVRDLPGNLQSATSSVPSGKAFIYFGLFLAAGVFFVFIAFTMFLPVMVLMPQKFAICFTLGCGFIIGSFFALRGPKNQLAHMSSKERLPFTIGFIGSMVGTMYVSMVLHSYILSVLFSVVQVLALAYYAVSYFPGGSAGLKFLTSALTSSVLRCFGR >KJB13954 pep chromosome:Graimondii2_0_v6:2:13126081:13129574:1 gene:B456_002G103200 transcript:KJB13954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAWFSGGSSGNEEERGKEGSSLLSDWNSYAASKEAEEGTTTVFGFDLESAARSANDTVTGTFNVVSKGVRDLPGNLQSATSSVPSGKAFIYFGLFLAAGVFFVFIAFTMFLPVMVLMPQKFAICFTLGCGFIIGSFFALRGPKNQLAHMSSKERLPFTIGFIGSMVGTMYVSMVLHSYILSVLFSVVQVLALAYYAVSYFPGGSAGLKFLTSALTSSVLRCFGSKLAMSYDP >KJB14764 pep chromosome:Graimondii2_0_v6:2:25342975:25345019:-1 gene:B456_002G142200 transcript:KJB14764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KFIRSMGRAKTGVLVRATRPILLPDIIGRSLSDTRARNALFHFVPVLHFLLLESKGDFTYLESFCGVLHLLFFHTFFFLPRDRSAKHERTRRWKRQTLRSNGNEQRKNDKMSFPRPPLSGGACVGDMPLEIGLKALALPTSRKLMAMAVGHDYYQKALMKMNISHGGVCICMWGVILSQKLVPRTVQRPSPTPTIMVWFRSTNTRKIQFTQRLPLGSELYKGKERCCLRGLDHLHAPTFHFICRNLMIYKQSLMNDRLMFEHDESLRADPLLMNFPASYKNGKLEHFLHRWIKNQKRYFRETTSTTKVAIHTNLFIDLYASIGTGRSRTGGWYTTIMRLPFIFFYLDQIYVGFVGRLA >KJB15247 pep chromosome:Graimondii2_0_v6:2:41373895:41376912:1 gene:B456_002G167000 transcript:KJB15247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNSGRGYPTNGSIYVCNLPEGTDESMLAEYFGTIGLLKKDKRTGRPKVWLYRDKVTNEPKGDATVTYEDPHAALAAVEWFNDKDFHGSTIGVFMAESKSSNAGVDVPTAAADGGGFEDDAAKDMDGGGGRGRGRLDSSGKMWQQEGDWLCPNTSCSNVNFSFRGVCNRCGTARPAGTSGGGGAGGRGRGRGAPDSGGHGRAVAATGGLFGPNDWPCPMCGNINWAKRMKCNICNTNKPGHNESGVRGGRAGGYKELDEEEIEETRRRRREAEDVIIHLCYHYLKLSSLNILI >KJB15249 pep chromosome:Graimondii2_0_v6:2:41373878:41377683:1 gene:B456_002G167000 transcript:KJB15249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNSGRGYPTNGSIYVCNLPEGTDESMLAEYFGTIGLLKKDKRTGRPKVWLYRDKVTNEPKGDATVTYEDPHAALAAVEWFNDKDFHGSTIGVFMAESKSSNAGVDVPTAAADGGGFEDDAAKDMDGGGGRGRGRLDSSGKMWQQEGDWLCPNTSCSNVNFSFRGVCNRCGTARPAGTSGGGGAGGRGRGRGAPDSGGHGRAVAATGGLFGPNDWPCPMCGNINWAKRMKCNICNTNKPGHNESGVRGGRAGGYKELDEEEIEETRRRRREAEDDDGEMYDEFGNLKKKFRAKTQQAEAARVLPGSGRAGWEVDELGVIDRNGRERSIDRESSKNREREDRERRRSRSRERDRGKDRRRDYNYERDRDRDRDRDRDRDRSRYFD >KJB15246 pep chromosome:Graimondii2_0_v6:2:41373485:41377334:1 gene:B456_002G167000 transcript:KJB15246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEYFGTIGLLKKDKRTGRPKVWLYRDKVTNEPKGDATVTYEDPHAALAAVEWFNDKDFHGSTIGVFMAESKSSNAGVDVPTAAADGGGFEDDAAKDMDGGGGRGRGRLDSSGKMWQQEGDWLCPNTSCSNVNFSFRGVCNRCGTARPAGTSGGGGAGGRGRGRGAPDSGGHGRAVAATGGLFGPNDWPCPMCGNINWAKRMKCNICNTNKPGHNESGVRGGRAGGYKELDEEEIEETRRRRREAEDDDGEMYDEFGNLKKKFRAKTQQAEAARVLPGSGRAGWEVDELGIGFIMLTTTSFGDALF >KJB15248 pep chromosome:Graimondii2_0_v6:2:41373878:41377334:1 gene:B456_002G167000 transcript:KJB15248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNSGRGYPTNGSIYVCNLPEGTDESMLAEYFGTIGLLKKDKRTGRPKVWLYRDKVTNEPKGDATVTYEDPHAALAAVEWFNDKDFHGSTIGVFMAESKSSNAGVDVPTAAADGGGFEDDAAKDMDGGGGRGRGRLDSSGKMWQQEGDWLCPNTSCSNVNFSFRGVCNRCGTARPAGTSGGGGAGGRGRGRGAPDSGGHGRAVAATGGLFGPNDWPCPMCGNINWAKRMKCNICNTNKPGHNESGVRGGRAGGYKELDEEEIEETRRRRREAEDDDGEMYDEFGNLKKKFRAKTQQAEAARVLPGSGRAGWEVDELGIGFIMLTTTSFGDALF >KJB17210 pep chromosome:Graimondii2_0_v6:2:33394475:33396534:1 gene:B456_002G156200 transcript:KJB17210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTDAEFVNFGKSIIVPSVQELAKDPIAKIPPRYLRPLQEQPHSISPNHHLPSVPIIDLQKLAAGDFVDSELQRLHSACKKWGFFQVVNHSVSISLLEDFKLEIENFFKLPHEDKKLLWQKPDNHEGFGQLFVVSEDQKLDWSDMFYITTLPHNLRNIELFEKLPLKLRQAMEMYSIEVKNLALRILDFMARALNMGTEEMRELFNDGIQSMRINYYPPCPEPDMTIGFSPHSDADALTILFQLSETEGLQVRKDGKWVSIKPLPNALVVNIGDIMEIFSNGIYRSIEHRAVVNSTKERLSIATFYSSKLDSELGPALSLIGPSNPAIFRRVPLEKYFKEFFARRLNGKSYLDFMRIKTEEENEDCKNKT >KJB17211 pep chromosome:Graimondii2_0_v6:2:33394521:33396031:1 gene:B456_002G156200 transcript:KJB17211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTDAEFVNFGKSIIVPSVQELAKDPIAKIPPRYLRPLQEQPHSISPNHHLPSVPIIDLQKLAAGDFVDSELQRLHSACKKWGFFQVVNHSVSISLLEDFKLEIENFFKLPHEDKKLLWQKPDNHEGFGQLFVVSEDQKLDWSDMFYITTLPHNLRNIELFEKLPLKQAMEMYSIEVKNLALRILDFMARALNMGTEEMRELFNDGIQSMRINYYPPCPEPDMTIGFSPHSDADALTILFQLSETEGLQVRKDGKWVSIKPLPNALVVNIGDIMEIFSNGIYRSIEHRAVVNSTKERLSIATFYSSKLDSELGPALSLIGPSNPAIFRRVPLEKYFKEFFARRLNGKSYLDFMRIKTEEENEDCKNKT >KJB14335 pep chromosome:Graimondii2_0_v6:2:17183103:17186869:1 gene:B456_002G120000 transcript:KJB14335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHLAVVLLCATSGLFANAGAEDPYRFFDWNVTFGDIYPLGVRQTGILINGQFPGPDIHSVTNDNLIINVHNSLNEPFLISWSGIQQRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFFYFPSLAFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYKSNHTNLKARLDRGKKLPFPDGILINGRGPGGASFNVEQGKTYRLRISNVGLQNSLNFRIQNHRLTLVEVEGTHTLQTTYSSIDLHLGQSCSVLFKADQPAKDYYIAVSTRFTSRVLTSTAILRYSNSAGPVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTKTIRLANSAGQVNGKQRYAVNSVSFVPEDTPLKLADYFKIGGVFRVGSISDNPYGGKIYLDTSVMQADYRAFIEIVFQNNENIVQSWHLDGYSFFVVGMDGGQWTTASRREYNLRDAVSRCTTQVYPKSWTAIYVALDNVGMWNLRSEFWARQYLGQQFYLRVYTTSTSLRDEYPIPKNALLCGRASGRHTRPL >KJB14275 pep chromosome:Graimondii2_0_v6:2:16633965:16636623:1 gene:B456_002G1172001 transcript:KJB14275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLHLRDSDEETENDSVMMEGDNMTREQIEDEIKKIKEAHAEDEEFPDEVDTPLDVPARKRFAKYRGLKSFRTSSWDPKESLPPEYARIFAFDSFARTQKHVVAKALKVEQEGRDDCAPVGSFARFYIKEVPFHVASNLCAASRTAPIILCGLLQHESKMSVLHFSIKKHDSYDAPIKSKEELIFHVGFRQFVARPIFSTDNINSDKHKMERFLHAGRFSIASIYAPISFPPLPLIALKNAAGTGTPAVAAVGSLRSIDPDRIILKKIILTGYPQRVSKLKATVRYMFHNPEDVRWFKPVEVWTKCGRRGRVKEPIGTHGGMKCIFNGGLQQHDTVCMSLYKRAYPKWPEHRFPANV >KJB14274 pep chromosome:Graimondii2_0_v6:2:16633965:16636582:1 gene:B456_002G1172001 transcript:KJB14274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLHLRDSDEETENDSVMMEGDNMTREQIEDEIKKIKEAHAEDEEFPDEVDTPLDVPARKRFAKYRGLKSFRTSSWDPKESLPPEYARIFAFDSFARTQKHVVAKALKVEQEGRDDCAPVGSFARFYIKEVPFHVASNLCAASRTAPIILCGLLQHESKMSVLHFSIKKHDSYDAPIKSKEELIFHVGFRQFVARPIFSTDNINSDKHKMERFLHAGRFSIASIYAPISFPPLPLIALKNAAGTGTPAVAAVGSLRSIDPDRIILKKIILTGYGFFCWVIKVFEDDFTTGLCLF >KJB12919 pep chromosome:Graimondii2_0_v6:2:3756428:3757607:1 gene:B456_002G0450001 transcript:KJB12919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKEEEENHKGNGTQAPTKFSLPKLKELELTCLPELKSICSSNREMVCNSLRKIKVRDCTKLKRMPLYLPLFQDTHQSAPSAHPFERIRICPKEWWESVEWDYPNAKEVLRPWLNSY >KJB14973 pep chromosome:Graimondii2_0_v6:2:30886943:30887634:-1 gene:B456_002G152500 transcript:KJB14973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNNPIPFIEHNDANRALMSSNMQRQAVSLSRSEKCIVGTGLERQVALDSGVPAIADHEGKIISTDTDKIILSVNGDALGIPLVMYQRSNKNTCMHQTARVRRGKCIKKGQILADGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEISILPCFSSSILFHGAKVQNMEETGVSTTLPPSPFHLIPIS >KJB12336 pep chromosome:Graimondii2_0_v6:2:835247:839172:1 gene:B456_002G012800 transcript:KJB12336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDEIPHATSHNHHHHHQHERKNHVNGSLHGMYGNGFMYDDDPSSSYLHNRTCVSPVSGFSLLSDGSSSSLFSHNGQSLSDHGSPPPPLEDFKPHLFGELDLCRNFSKLYISNDQENLGSSFRDFSLESNGIQRFDQFNVEKHGVCDTLRKGFSEFMPLSFNGGMAFSGLPHNLLGPQMYPQGMGCWNGTMRSPWQNKDQACNVLNLSPSLSNGELIVHGSPRPVRNMLNHGMPLSNGLARPRAHSNVGAFSNEDSFIIQGDGLNYVMINKGLDCSRGLNKAKDIGASKHREGRLQLDNWSQFAPACGNSKNAAKLCTSFSLPPKYNSLAEARGYIYLIAKDQHGCRFLQRLFDEGTREDVEMIFKEIIDHVVELMMNPFGNYLMQKLLEVCNEQQRMQILLMATEEPGQLVRISLNTHGTRVVQKLIETLKTRQQISLVVSALEPGFLSLIKDLNGNHVVQRCLQCLSCEDNKFIFIAAAKYCVDIATHQHGCCVLQRCITHSTGEYRDNLVEEISSNGLLLAQDAYGNYVVQFILELKIPSATSTLISQFEGNYVHLSSQKFSSHVVEKCLMVLNDESRSKLIHELLSTAHFERLLQDPHANYVVQTALRVSEGPLHNSLVEAIESHKAISRNSPYSKRILSQKLLKK >KJB12338 pep chromosome:Graimondii2_0_v6:2:835492:838493:1 gene:B456_002G012800 transcript:KJB12338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESTAEIGNMKDDEEFEMLLDEIPHATSHNHHHHHQHERKNHVNGSLHGMYGNGFMYDDDPSSSYLHNRTCVSPVSGFSLLSDGSSSSLFSHNGQSLSDHGSPPPPLEDFKPHLFGELDLCRNFSKLYISNDQENLGSSFRDFSLESNGIQRFDQFNVEKHGVCDTLRKGFSEFMPLSFNGGMAFSGLPHNLLGPQMYPQGMGCWNGTMRSPWQNKDQACNVLNLSPSLSNGELIVHGSPRPVRNMLNHGMPLSNGLARPRAHSNVGAFSNEDSFIIQGDGLNYVMINKGLDCSRGLNKAKDIGASKHREGRLQLDNWSQFAPACGNSKNAAKLCTSFSLPPKYNSLAEARGYIYLIAKDQHGCRFLQRLFDEGTREDVEMIFKEIIDHVVELMMNPFGNYLMQKLLEVCNEQQRMQILLMATEEPGQLVRISLNTHGTRVVQKLIETLKTRQQISLVVSALEPGFLSLIKDLNGNHVVQRCLQCLSCEDNKFIFIAAAKYCVDIATHQHGCCVLQRCITHSTGEYRDNLVEEISSNGLLLAQDAYG >KJB12337 pep chromosome:Graimondii2_0_v6:2:835357:839251:1 gene:B456_002G012800 transcript:KJB12337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESTAEIGNMKDDEEFEMLLDEIPHATSHNHHHHHQHERKNHVNGSLHGMYGNGFMYDDDPSSSYLHNRTCVSPVSGFSLLSDGSSSSLFSHNGQSLSDHGSPPPPLEDFKPHLFGELDLCRNFSKLYISNDQENLGSSFRDFSLESNGIQRFDQFNVEKHGVCDTLRKGFSEFMPLSFNGGMAFSGLPHNLLGPQMYPQGMGCWNGTMRSPWQNKDQACNVLNLSPSLSNGELIVHGSPRPVRNMLNHGMPLSNGLARPRAHSNVGAFSNEDSFIIQGDGLNYVMINKGLDCSRGLNKAKDIGASKHREGRLQLDNWSQFAPACGNSKNAAKLCTSFSLPPKYNSLAEARGYIYLIAKDQHGCRFLQRLFDEGTREDVEMIFKEIIDHVVELMMNPFGNYLMQKLLEVCNEQQRMQILLMATEEPGQLVRISLNTHGTRVVQKLIETLKTRQQISLVVSALEPGFLSLIKDLNGNHVVQRCLQCLSCEDNKFIFIAAAKYCVDIATHQHGCCVLQRCITHSTGEYRDNLVEEISSNGLLLAQDAYGNYVVQFILELKIPSATSTLISQFEGNYVHLSSQKFSSHVVEKCLMVLNDESRSKLIHELLSTAHFERLLQDPHANYVVQTALRVSEGPLHNSLVEAIESHKAISRNSPYSKRILSQKLLKK >KJB13039 pep chromosome:Graimondii2_0_v6:2:4712646:4715837:-1 gene:B456_002G053200 transcript:KJB13039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPAEPKRRVAFVLIDGLGDVSIPRFGNKTPLQAADVPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVTSRRADRHFEEEGPILCAALDRMKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLLLEAKALDDTDEARHTAAVVNELSREISKILVSHPLNAKRLAEGKSVANIVLLRGCGIRIEVPQFEKKHGLWPCMVAPTKIIAGLGLSLDIDILEAPGATGDYRTLLTSKATAIAKALSTPLQTSPSVFVPGEDEHKPGRSDGYDFGFLHIKAIDDAGHDKASVFKVKGLEAVDRAIAQLAKLLWQAESTGDFQYFICVTGDHSTPVEYGDHSYEPVPFTICRLKDFVGAVGGESSVLETSLDPFPLPTVKAGEDLNEAIGLEKGRKCKQVQAFCGDSVFEFNEIAAARGCLGRFPGGEMMGIIRKFLKLNA >KJB13041 pep chromosome:Graimondii2_0_v6:2:4712646:4716349:-1 gene:B456_002G053200 transcript:KJB13041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPAEPKRRVAFVLIDGLGDVSIPRFGNKTPLQAADVPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVTSRRADRHFEEEGPILCAALDRMKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLLLEAKALDDTDEARHTAAVVNELSREISKILVSHPLNAKRLAEGKSVANIVLLRGCGIRIEVPQFEKKHGLWPCMVAPTKIIAGLGLSLDIDILEAPGATGDYRTLLTSKATAIAKALSTPLQTSPSVFVPGEDEHKPGRSDGYDFGFLHIKAIDDAGHDKASVFKVKGLEAVDRAIAQLAKLLWQAESTGDFQYFICVTGDHSTPVEYGDHSYEPVPFTICRLKDFVGAVGGESSVLETSLDPFPLPTVKAGEDLNEAIGLEKGRKCKQVQAFCGDSVFEFNEIAAARGCLGRFPGGEMMGIIRKFLKLNA >KJB13040 pep chromosome:Graimondii2_0_v6:2:4712646:4716200:-1 gene:B456_002G053200 transcript:KJB13040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPAEPKRRVAFVLIDGLGDVSIPRFGNKTPLQAADVPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVTSRRADRHFEEEGPILCAALDRMKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLLLEAKALDDTDEARHTAAVVNELSREISKILVSHPLNAKRLAEGKSVANIVLLRGCGIRIEVPQFEKKHGLWPCMVAPTKIIAGLGLSLDIDILEAPGATGDYRTLLTSKATAIAKALSTPLQTSPSVFVPGEDEHKPGRSDGYDFGFLHIKAIDDAGHDKASVFKVKGLEAVDRAIAQLAKLLWQAESTGDFQYFICVTGDHSTPVEYGDHSYEPVPFTICRLKDFVGAVGGESSVLETSLDPFPLPTVKAGEDLNEAIGLEKGRKCKQVQAFCGDSVFEFNEIAAARGCLGRFPGGEMMGIIRKFLKLNA >KJB13038 pep chromosome:Graimondii2_0_v6:2:4712992:4714784:-1 gene:B456_002G053200 transcript:KJB13038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLLLEAKALDDTDEARHTAAVVNELSREISKILVSHPLNAKRLAEGKSVANIVLLRGCGIRIEVPQFEKKHGLWPCMVAPTKIIAGLGLSLDIDILEAPGATGDYRTLLTSKATAIAKALSTPLQTSPSVFVPGEDEHKPGRSDGYDFGFLHIKAIDDAGHDKASVFKVKGLEAVDRAIAQLAKLLWQAESTGDFQYFICVTGDHSTPVEYGDHSYEPVPFTICRLKDFVGAVGGESSVLETSLDPFPLPTVKAGEDLNEAIGLEKGRKCKQVQAFCGDSVFEFNEIAAARGCLGRFPGGEMMGIIRKFLKLNA >KJB14765 pep chromosome:Graimondii2_0_v6:2:25353735:25354355:-1 gene:B456_002G142300 transcript:KJB14765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRIEEPEEKKRVANESVEGLMMDIAAAEEEIIRWKSVAEQEAAAGRAVEQEFLAQVCLLRCYRSFNRYYISGFVNSY >KJB13999 pep chromosome:Graimondii2_0_v6:2:13872977:13875067:-1 gene:B456_002G105700 transcript:KJB13999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLKSVRCNGRLFGPFNSFSQRRWKKPIFSAQTRLETRTKDLKLDKLMMHINKLKTILNIHQLMAQRKRGPFVSVQLMSQWRNIVGLNVGMGEFLHKYPHVFELFVHPLRRNLCCKITQRMRDLIDEEEKIVKEYEPELLQKVKKLLMMSKNGTLHVHALRLIRRELGLPEDFRDSILRTHSKDFRLVDLEIVELVDRNESLAKAEVEKWREKEYVDKWLSEFETSYAFPINFPTGFKIEGGYREKLKNWQRLPYLKPYESKEVLRVRTCGGIERFEKRAVGIIHELLSLTVEKMLEVDRLAHFRKDFAIEVNVCELLLKHPGIFYICTKGSTQTVFLREAYSKGRLALPNPIYVVRRKMLDLILLGHRNTRSLEDLEEIKEERNGSVFRTNVGGRRDGDWIVPILDSYDQNAFGSLGDINDSKQPFDGCESGRN >KJB14001 pep chromosome:Graimondii2_0_v6:2:13871627:13875185:-1 gene:B456_002G105700 transcript:KJB14001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLKSVRCNGRLFGPFNSFSQRRWKKPIFSAQTRLETRTKDLKLDKLMMHINKLKTILNIHQLMAQRKRGPFVSVQLMSQWRNIVGLNVGMGEFLHKYPHVFELFVHPLRRNLCCKITQRMRDLIDEEEKIVKEYEPELLQKVKKLLMMSKNGTLHVHALRLIRRELGLPEDFRDSILRTHSKDFRLVDLEIVELVDRNESLAKAEVEKWREKEYVDKWLSEFETSYAFPINFPTGFKIEGGYREKLKNWQRLPYLKPYESKEVLRVRTCGGIERFEKRAVGIIHELLSLTVEKMLEVDRLAHFRKDFAIEVNVCELLLKHPGIFYICTKGSTQTVFLREAYSKGRLALPNPIYVVRRKMLDLILLGHRNTRSLEDLEEIKEERNGSVFRTNVGGRRDGDWIVPILDSYDQNAFGSLGDINDSKQPFDGCESGRN >KJB14000 pep chromosome:Graimondii2_0_v6:2:13871764:13874814:-1 gene:B456_002G105700 transcript:KJB14000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLKSVRCNGRLFGPFNSFSQRRWKKPIFSAQTRLETRTKDLKLDKLMMHINKLKTILNIHQLMAQRKRGPFVSVQLMSQWRNIVGLNVGMGEFLHKYPHVFELFVHPLRRNLCCKITQRMRDLIDEEEKIVKEYEPELLQKVKKLLMMSKNGTLHVHALRLIRRELGLPEDFRDSILRTHSKDFRLVDLEIVELVDRNESLAKAEVEKWREKEYVDKWLSEFETSYAFPINFPTGFKIEGGYREKLKNWQRLPYLKPYESKEVLRVRTCGGIERFEKRAVGIIHELLSLTVEKMLEVDRLAHFRKDFAIEVNVCELLLKHPGIFYICTKGSTQTVFLREAYSKGRLALPNPIYVVRRKMLDLILLGHRNTRSLEDLEEIKEERNGSVFRTNVGGRRDGDWIVPILDSYDQNAFGSLGDINDSKQPFDGCESGRN >KJB13998 pep chromosome:Graimondii2_0_v6:2:13871653:13875185:-1 gene:B456_002G105700 transcript:KJB13998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLKSVRCNGRLFGPFNSFSQRRWKKPIFSAQTRLETRTKDLKLDKLMMHINKLKTILNIHQLMAQRKRGPFVSVQLMSQWRNIVGLNVGMGEFLHKYPHVFELFVHPLRRNLCCKITQRMRDLIDEEEKIVKEYEPELLQKVKKLLMMSKNGTLHVHALRLIRRELGLPEDFRDSILRTHSKDFRLVDLEIVELVDRNESLAKAEVEKWREKEYVDKWLSEFETSYAFPINFPTGFKIEGGYREKLKNWQRLPYLKPYESKEVLRVRTCGGIERFEKRAVGIIHELLSLTVEKMLEVDRLAHFRKDFAIEVNVCELLLKHPGIFYICTKGSTQTVFLREAYSKGRLALPNPIYVVRRKMLDLILLGHRNTRSLEDLEEIKEERNGSVFRTNVGGRRDGDWIVPILDSYDQNAFGSLGDINDSKQPFDGCESGRN >KJB13987 pep chromosome:Graimondii2_0_v6:2:13497346:13499907:1 gene:B456_002G104400 transcript:KJB13987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAFSPTQLLLLIFITISWIFATVEAQSNVSVGGFLSADGTNTAWRSPSGDFALGFIAIQGQEHLFLLAIWYASIPETTIVWYANYRETPAVAARNSKVELTGTGHLVLKDPGNKELWRSQSLTNDSQVSHAAMLDTGNFVISSQNSGNIWESFKYPTDTILPSQELDVNQSLSSVLAEANYSKGKYQLRFNQGSFILNQIDMFTKKPYNNYYSFGDGSRLIFNGTGRIQYLNSSGSIINLAPINTVPKPESYYYRATLGFDGVFTLYSYPRNPSAGGRSWSVLWFKPDDICLSFVDSTARLGTGPCGYNSICEPVNGRPNCTCPPGFSFLDEKNTHIGCKQDYTSSAEDCNPDGSTVEEDRFEFRSILFADFPFSDYGILQPATELECRQSCLLDCTCAVAILQDPSLSPDGTGTCWKKKLPLSNGRFNRVDIDRTALFKVPKTNASRRSPDTPKPSDGNRNAAILILSVLLGTSAIFNFSFLAAIALIFFCLYRRRLQHLEGVHSHSRGDLETNLRSFTYKELEQATNGFKEELGRGAFGTVYKGELSPSNGNYVAVKKLGKVVQEGEREFKTEVKVIGHTHHKNLVRLLGYCDEEQHRLLVYEFMGNGSLSNFLFGVLRPSWQKRLQIASGIAKGLAYLHEECSTQIIHCDIKPQNILLDDCLTAKISDFGLAKLLINSKTQTLTGVRGTKGYVAPEWFRNSPVTVKVDVYSFGVMLLEIICCRKCVEVEMDEEAILTEWAFDCYNEGMMEKLVENDEEARNDVGRLEMLLKVAIWCVQYEPVLRPSMRTVSMMLEGAVQVPSPPCPFPLHSMSMKYQNDRVSFSSLVP >KJB13633 pep chromosome:Graimondii2_0_v6:2:10878702:10880393:1 gene:B456_002G085600 transcript:KJB13633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYTFDMKTPAIQVDTFCVHGGPDGYITGIRGKVQCLSDEDLVKNETDLEKQEMIKEKCYLRFPTLPFIPKEPYDVIATDYDNFSLVSGAKDTSFIQIYSRTPNPGHEFIEKYKSYLSNYGYDPSKIKDTPQDCQVMSNSQLAAMMSMPGMQQALTNEFPDLELKAPVAFNPFTSVFDTLKKLLELYFK >KJB13629 pep chromosome:Graimondii2_0_v6:2:10877409:10880515:1 gene:B456_002G085600 transcript:KJB13629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAILYHQTSPLLLFQCCSSSHPPHIPRGLPGKLTLNFSIKSPPNKAIASHIVSGLASLIFLSQTNQVLAADLSHDPSICQLASATDNELTLPLEEDSGEGNGKLMMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYTFDMKTPAIQVDTFCVHGGPDGYITGIRGKVQCLSDEDLVKNETDLEKQEMIKEKCYLRFPTLPFIPKEPYDVIATDYDNFSLVSGAKDTSFIQIYSRTPNPGHEFIEKYKSYLSNYGYDPSKIKDTPQDCQVMSNSQLAAMMSMPGMQQALTNEFPDLELKAPVAFNPFTSVFDTLKKLLELYFK >KJB13631 pep chromosome:Graimondii2_0_v6:2:10877484:10880515:1 gene:B456_002G085600 transcript:KJB13631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAILYHQTSPLLLFQCCSSSHPPHIPRGLPGKLTLNFSIKSPPNKAIASHIVSGLASLIFLSQTNQVLAADLSHDPSICQLASATDNELTLPLEEDSGEGNGKLMMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYTFDMKTPAIQVDTFCVHGGPDGYITGIRGKVQCLSDEDLVKNETDLEKQEMIKEKCYLRFPTLPFIPKEPYDVIATDYDNFSLVSGAKDTSFIQVNI >KJB13632 pep chromosome:Graimondii2_0_v6:2:10877484:10880515:1 gene:B456_002G085600 transcript:KJB13632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAILYHQTSPLLLFQCCSSSHPPHIPRGLPGKLTLNFSIKSPPNKAIASHIVSGLASLIFLSQTNQVLAADLSHDPSICQLASATDNELTLPLEEDSGEGNGKLMMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQVDTFCVHGGPDGYITGIRGKVQCLSDEDLVKNETDLEKQEMIKEKCYLRFPTLPFIPKEPYDVIATDYDNFSLVSGAKDTSFIQIYSRTPNPGHEFIEKYKSYLSNYGYDPSKIKDTPQDCQVMSNSQLAAMMSMPGMQQALTNEFPDLELKAPVAFNPFTSVFDTLKKLLELYFK >KJB13630 pep chromosome:Graimondii2_0_v6:2:10877484:10880515:1 gene:B456_002G085600 transcript:KJB13630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYTFDMKTPAIQVDTFCVHGGPDGYITGIRGKVQCLSDEDLVKNETDLEKQEMIKEKCYLRFPTLPFIPKEPYDVIATDYDNFSLVSGAKDTSFIQIYSRTPNPGHEFIEKYKSYLSNYGYDPSKIKDTPQDCQVMSNSQLAAMMSMPGMQQALTNEFPDLELKAPVAFNPFTSVFDTLKKLLELYFK >KJB15623 pep chromosome:Graimondii2_0_v6:2:49756445:49757919:1 gene:B456_002G186700 transcript:KJB15623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQVHVKRSVLGEEVAIPSESDKSSHFFKPPKPLVRKSKLVLVDLAGSERVQKSGSEGHMLEEAKFINLSLSALGKCINALAENSAHVPIRDSKLTRLLRDSFGGTAKTSLIVTIGPSPRHRGETTSTILFGQRVENMLRIKDEFDYKSLAKRLEIQLDKLVAENERQQKAFDDEVERINLEAQNRVSEVERNFTDALEKERLKCHMEYMESVKKLE >KJB12119 pep chromosome:Graimondii2_0_v6:2:82216:85492:1 gene:B456_002G001400 transcript:KJB12119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHAREMRPTPNGDHHRRHGLSAAPPLAYYPRSSSSSASFKGCCCCLFLLSSFLLLLVLAVFLIIFLAVKPEKPQFDLQQVGVQYMGISTSNPSSLDGTGTAVSPSPTTASLSLTIHLLFTAVNPNKVGIKYGESRFTVMYRGIPLGKASVPGFYQEAHSTRNVEATIAVDRANLMQADAADLIRDASLSDRVQLRVLGDVGAKIRVLDFDSPGVQVSVDCAIVISPRKQSITDKQCGFDGLSV >KJB12120 pep chromosome:Graimondii2_0_v6:2:82216:85492:1 gene:B456_002G001400 transcript:KJB12120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHAREMRPTPNGDHHRRHGLSAAPPLAYYPRSSSSSASFKGCCCCLFLLSSFLLLLVLAVFLIIFLAVKPEKPQFDLQQVGVQYMGISTSNPSSLDGTGTAVSPSPTTASLSLTIHLLFTAVNPNKVGIKYGESRFTVMYRGIPLGKASVPGFYQEAHSTRNVEATIAVDRANLMQADAADLIRDASLSDRVQLRVLGDVGAKIRVLDFDSPGVQVSVDCAIVISPRKQSITDKQCGFDGLSV >KJB12657 pep chromosome:Graimondii2_0_v6:2:2390829:2395505:1 gene:B456_002G032000 transcript:KJB12657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKAHVLTYFYLLIYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMAFSGIVAFFLIRVFKVVAPVKMTFEIYATCVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFFMGVMCGTDKPRCDVFLNMVLVSVGVVVSSYGEIHFNIVGTVYQVTGILAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWCLLEKPGMEVSQIQFNFWIFFSNALCALALNLSIFLVIGRTGAVTIRVAGVLKDWILIALSTVVFPESTITGLNIIGYAIALCGVVMYNYIKVKDGRTPQLPSDSIPERLTKDWKLEKKSSDIFTPNANNDANGGSNFNGSELNDEEAPLLSSRISLLGRQLSNSSTQRV >KJB12659 pep chromosome:Graimondii2_0_v6:2:2390829:2396170:1 gene:B456_002G032000 transcript:KJB12659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKAHVLTYFYLLIYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMAFSGIVAFFLIRVFKVVAPVKMTFEIYATCVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFFMGVMCGTDKPRCDVFLNMVLVSVGVVVSSYGEIHFNIVGTVYQVTGILAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWCLLEKPGMEVSQIQFNFWIFFSNALCALALNLSIFLVIGRTGAVTIRVAGVLKDWILIALSTVVFPESTITGLNIIGYAIALCGVVMYNYIKVKDGRTPQLPSDSIPERLTKDWKLEKKSSDIFTPNANNDANGGSNFNGSELNDEEAPLLSSRISLLGRQLSNSSTQRV >KJB12661 pep chromosome:Graimondii2_0_v6:2:2393069:2395505:1 gene:B456_002G032000 transcript:KJB12661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLCNLMTGHLHFNVTVPVATFFMGVMCGTDKPRCDVFLNMVLVSVGVVVSSYGEIHFNIVGTVYQVTGILAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWCLLEKPGMEVSQIQFNFWIFFSNALCALALNLSIFLVIGRTGAVTIRVAGVLKDWILIALSTVVFPESTITGLNIIGYAIALCGVVMYNYIKVKDGRTPQLPSDSIPERLTKDWKLEKKSSDIFTPNANNDANGGSNFNGSELNDEEAPLLSSRISLLGRQLSNSSTQRV >KJB12660 pep chromosome:Graimondii2_0_v6:2:2390829:2396184:1 gene:B456_002G032000 transcript:KJB12660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKAHVLTYFYLLIYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMAFSGIVAFFLIRVFKVVAPVKMTFEIYATCVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFFMGVMCGTDKPRCDVFLNMVLVSVGVVVSSYGEIHFNIVGTVYQVTGILAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWCLLEKPGMEVSQIQFNFWIFFSNALCALALNLSIFLVIGRTGAVTIRVAGVLKDWILIALSTVVFPESTITGLNIIGYAIALCGVVMYNYIKVKDGRTPQLPSDSIPERLTKDWKLEKKSSDIFTPNANNDANGGSNFNGSELNDEEAPLLSSRISLLGRQLSNSSTQRV >KJB12656 pep chromosome:Graimondii2_0_v6:2:2391454:2394207:1 gene:B456_002G032000 transcript:KJB12656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKAHVLTYFYLLIYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMAFSGIVAFFLIRVFKVVAPVKMTFEIYATCVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFFMGVMCGTDKPRCDVFLNMVLVSVGVVVSSYGEIHFNIVGTVYQVTGILAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWCLLEKPGMEVSQIQFNFWIFFSNALCALALNLSIFLVIGRTGAVTIRVAGVLKDWILIALSTVVFPESTITGLNIIGYAIGTSLLCIMTLLIFSYVFEKFIVVKTHFVLSFQLFAVLSCTTT >KJB12658 pep chromosome:Graimondii2_0_v6:2:2390829:2396075:1 gene:B456_002G032000 transcript:KJB12658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKAHVLTYFYLLIYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMAFSGIVAFFLIRVFKVVAPVKMTFEIYATCVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFFMGVMCGTDKPRCDVFLNMVLVSVGVVVSSYGEIHFNIVGTVYQVTGILAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWCLLEKPGMEVSQIQFNFWIFFSNALCALALNLSIFLVIGRTGAVTIRVAGVLKDWILIALSTVVFPESTITGLNIIGYAIALCGVVMYNYIKVKDGRTPQLPSDSIPERLTKDWKLEKKSSDIFTPNANNDANGGSNFNGSELNDEEAPLLSSRISLLGRQLSNSSTQRV >KJB16998 pep chromosome:Graimondii2_0_v6:2:62106712:62110665:-1 gene:B456_002G259700 transcript:KJB16998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQSRSKKPEKLGKGKVTPVQVAFIVDRYLSDNNFSETRSVFRSEASSLISKSPVREAPKSLLSLGAMLNEYISLKEQKVIAEQEKARLEQEKCRVQSLLQGMQSVMNAFNASSTVPVPTMISHANATKPTVTVPQSIPTAGSPPGLPMYSTPTIIPVSGPRNSIMERDKYSTPLTSELSTKNKRTFEVANEAPTAAKRTRSKLTSRRLTSQGMNKLAESDNGKNNSQVAGQPTLNRSLSPDCGANESTTHISGVAKCLFNQPQPMTPPQAVSPQSEKLMTPVDVSSTVNCSLNNTPQGITPTNCTIISTERVTVSPLKQVTCYTIEMNHCISSCSPVKTCSKRVGKRDHVKSRLDFDGTEVMENVHKPMTNETSTSESEMDADLFDLDLPNLDALGANFSFSELLVDLDLGCDGTNGYPCEPTLATSGDALSGSSHESGNGNMGANQVMSEFSSTFREVFSEKDMNVQCGPDTVTSMKSMTKCIKIVSPVKGRRGSLEKQGCPGTK >KJB16798 pep chromosome:Graimondii2_0_v6:2:61252676:61253108:1 gene:B456_002G2484002 transcript:KJB16798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDVEVGGEFQAKDYHDPPPAPFVDAQELTQWSFYRAIIAEFIATLLFLYITVLTVIGYKSQVDPDKGGQDCDGVGILGIAWAFGGMIFILVYCTAGIS >KJB16797 pep chromosome:Graimondii2_0_v6:2:61252651:61253323:1 gene:B456_002G2484002 transcript:KJB16797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDVEVGGEFQAKDYHDPPPAPFVDAQELTQWSFYRAIIAEFIATLLFLYITVLTVIGYKSQVDPDKGGQDCDGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYMAAQCLGAICGCGLVK >KJB12993 pep chromosome:Graimondii2_0_v6:2:4235180:4235806:1 gene:B456_002G049200 transcript:KJB12993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLNLALLVIVFVLIYQVPSMQSRKLFNGEMKAAVISPKDNLVPSDVPKKPTTDKDSIMADNERLFSVHLGKIDRLLQSAVPSPGAGH >KJB15553 pep chromosome:Graimondii2_0_v6:2:48709672:48712714:-1 gene:B456_002G184000 transcript:KJB15553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLMLAMINLSLLLSLHAVTVHHDQTPWPFALVLFSFISSLPFFMNYWLVPGGFAWRKHHVYSPQLRGPVGWPLLGTLPLMGSLAHRKLANTASSLGATRVMAFSLGTTRVIISSHPDTAREILSGSSFSDRPIKESARLLMFERAIGFAHSGKYWSHLRRLAANHMFSPRRISCLEPLRQLVVDEMLVEINKGMEEKGFVELRGILQKGSLSNILESVLGSSIVEKGELGLMVKEGYELITMFNWEDYFPLRFLDFLGVKRRCHKLSTKVRNIVGQFVKERKKCGDKINNGGNDFLSALLALPKEDQLSDSDMVAVLWEMTFRGTDTVAILLEWIMARMVLHQDIQAKVQKEIDAGICHNQVQDSDLPNFPYLQAVVKEVLRMHPPGPLLSWARLATHDVHVGKSFVPAGTTAMVNMWAITHDPSIWKDPGTFRPERFIEEDVPIMGSDLRLAPFGSGRRVCPGKALGLAIVQLWLARFLQYFRWLPTAQHVDLSETLKLSLEMEKPLTCRVVPRTTRHIIS >KJB11956 pep chromosome:Graimondii2_0_v6:2:60700190:60713162:-1 gene:B456_002G2429002 transcript:KJB11956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TMVVLYAAGCRSCSKGSSLKPHITGNLIHDWWYGIQLNPQFMGIDLKFFFVRAGMMGWLIINISVLAKIVQDGSLSQSMILYQLFCAFYILDYFVHEE >KJB15529 pep chromosome:Graimondii2_0_v6:2:48160835:48161844:1 gene:B456_002G183000 transcript:KJB15529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTQPRHTASIVIPPPLITLSFTFNTHHSALIQSCLNPQFPSSKLLSYSTPKVNHCHTPPSQLKKPNNSSTQTTCRPKPKLANNQRKKKKNLRSSLFWKPQIIN >KJB12054 pep chromosome:Graimondii2_0_v6:2:44627151:44627835:-1 gene:B456_002G174800 transcript:KJB12054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNSSLKSIAEEGSRSPSAVSVAPQSSGPHRACVPTIVTFHARRASLHVACLFHDLCTCEKTNENSKQIVYDGNSSEKK >KJB16193 pep chromosome:Graimondii2_0_v6:2:56702492:56706353:-1 gene:B456_002G216900 transcript:KJB16193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSPTESRPSKILKTQGHTDQDKEDKQLQDLSMSKNPRIQRYLVAIEYIGTRFSGSQQQPNCRTVVGVLEEAFHKFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPSVVRRAVNHFLQKNEGDISVIDVRCVPNDFHARFKAQERTYFYRLVSGSEPLSTFEKERAWHVPEKLDLNAMQEACKVLVGHHDFSSFRAAGCQANSPIRTLDELHVCEVPATPYFPSITEREQNNSSLKDSHTCSVKPEVELPINSFSNTNDMVDTNNGKSNLEEFGIRRRHCSYVVTARARSFLYHQWKEF >KJB16194 pep chromosome:Graimondii2_0_v6:2:56702492:56706370:-1 gene:B456_002G216900 transcript:KJB16194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSPTESRPSKILKTQGHTDQDKEDKQLQDLSMSKNPRIQRYLVAIEYIGTRFSGSQQQPNCRTVVGVLEEAFHKFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPSVVRRAVNHFLQKNEGDISVIDVRCVPNDFHARFKAQERTYFYRLVSGSEPLSTFEKERAWHVPEKLDLNAMQEACKVLVGHHDFSSFRAAGCQANSPIRTLDELHVCEVPATPYFPSITEREQNNSSLKDSHTCSVKPEVELPINSFSNTNDMVDTNNGKSNLEEFGIRRRHCSYVVTARARSFLYHQVRLLVGVLKCVGTGELTTSDVERILNAKTVTAASPMAPACGLYLGRVKYDLP >KJB16197 pep chromosome:Graimondii2_0_v6:2:56702584:56706353:-1 gene:B456_002G216900 transcript:KJB16197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSPTESRPSKILKTQGHTDQDKEDKQLQDLSMSKNPRIQRYLVAIEYIGTRFSGSQQQPNCRTVVGVLEEAFHKFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPSVVRRAVNHFLQNEGDISVIDVRCVPNDFHARFKAQERTYFYRLVSGSEPLSTFEKERAWHVPEKLDLNAMQEACKVLVGHHDFSSFRAAGCQANSPIRTLDELHVCEVPATPYFPSITEREQNNSSLKDSHTCSVKPEVELPINSFSNTNDMVDTNNGKSNLEEFGIRRRHCSYVVTARARSFLYHQVRLLVGVLKCVGTGELTTSDVERILNAKTVTAASPMAPACGLYLGRVKYDLP >KJB16195 pep chromosome:Graimondii2_0_v6:2:56703667:56706015:-1 gene:B456_002G216900 transcript:KJB16195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSPTESRPSKILKTQGHTDQDKEDKQLQDLSMSKNPRIQRYLVAIEYIGTRFSGSQQQPNCRTVVGVLEEAFHKFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPSVVRRAVNHFLQNEGDISVIDVRCVPNDFHARFKAQERTYFYRLVSGSEPLSTFEKERAWHVPEKLDLNAMQEACKVLVGHHDFSSFRAAGCQFS >KJB16196 pep chromosome:Graimondii2_0_v6:2:56703667:56706015:-1 gene:B456_002G216900 transcript:KJB16196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSPTESRPSKILKTQGHTDQDKEDKQLQDLSMSKNPRIQRYLVAIEYIGTRFSGSQQQPNCRTVVGVLEEAFHKFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPSVVRRAVNHFLQKNEGDISVIDVRCVPNDFHARFKAQERTYFYRLVSGSEPLSTFEKERAWHVPEKLDLNAMQEACKVLVGHHDFSSFRAAGCQFS >KJB13286 pep chromosome:Graimondii2_0_v6:2:9195377:9198417:1 gene:B456_002G077600 transcript:KJB13286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLDNSDHPQLPTIKIHHPSSPHHSTSTATPTAGARRKIGVAVDLSDESAFAVRWAVQNYIRADDAVVLLHVSPTSVLFGADWGPLPHTPQSPGTPHSQQKLEDDFDAFTASKAADLAKPLKEAGIPFKIHIVKDHDMRERLCLEVERLGLSAVIMGSRGFGAEKRGSDGKLGSVSDYCVHHCVCPVVVVRYPDDKDVGNAQPVVTVKEAEVEEEDA >KJB13285 pep chromosome:Graimondii2_0_v6:2:9195377:9198371:1 gene:B456_002G077600 transcript:KJB13285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLDNSDHPQLPTIKIHHPSSPHHSTSTATPTAGARRKIGVAVDLSDESAFAVRWAVQNYIRADDAVVLLHVSPTSVLFGADWGPLPHTPQSPGTPHSQQKLEDDFDAFTASKAADLAKPLKEAGIPFKIHIVKDHDMRERLCLEVERLGLSAVIMGSRGFGAEKRGSDGKLGSVSDYCVHHCVCPVVVVRYPDDKDVGNAQPVVTVKEAEVEEEGGKGYCL >KJB13287 pep chromosome:Graimondii2_0_v6:2:9195377:9198417:1 gene:B456_002G077600 transcript:KJB13287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLDNSDHPQLPTIKIHHPSSPHHSTSTATPTAGARRKIGVAVDLSDESAFAVRWAVQNYIRADDAVVLLHVSPTSVLFGADWGPLPHTPQSPGTPHSQQKLEDDFDAFTASKAADLAKPLKEAGIPFKIHIVKDHDMRERLCLEVERLGLSAVIMGSRGFGAEKRGSDGKLGSVSDYCVHHCVCPVVVVRYPDDKDVGNAQPVVTVKEAEVEEEGGKDA >KJB13284 pep chromosome:Graimondii2_0_v6:2:9195346:9198417:1 gene:B456_002G077600 transcript:KJB13284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLDNSDHPQLPTIKIHHPSSPHHSTSTATPTAGARRKIGVAVDLSDESAFAVRWAVQNYIRADDAVVLLHVSPTSVLFGADWGPLPHTPQSPGTPHSQQKLEDDFDAFTASKAADLAKPLKEAGIPFKIHIVKDHDMRERLCLEVERLGLSAVIMGSRGFGAEKRGSDGKLGSVSDYCVHHCVCPVVVVRYPDDKDVGNAQPVVTVKEAEVEEEGGKDA >KJB12450 pep chromosome:Graimondii2_0_v6:2:1873200:1879912:-1 gene:B456_002G025700 transcript:KJB12450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSSILIPSPPLSSISNTITHKQTPKMPFKRYVEIGRVALVNYGKDYGKLVVIVDVVDQNRALVDAPDMVRGQMNFKRLTLTDITIDIPRVPKKKTLIEAMEKADVKNKWESSSWGRKLIVQKRRASLNDFDRFKLMLAKIKRSGVIKQELAKLKKENAS >KJB12453 pep chromosome:Graimondii2_0_v6:2:1878020:1879912:-1 gene:B456_002G025700 transcript:KJB12453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSSILIPSPPLSSISNTITHKQTPKMPFKRYVEIGRVALVNYGKDYGKLVVIVDVVDQNRALVDAPDMVRGQMNFKRLTLTDITIDIPRVPKKKTLIEAMEKADVKNKWESSSWGRKLIVQKRRASLNDFDRFKLMLAKIKVRSHQARTC >KJB12452 pep chromosome:Graimondii2_0_v6:2:1878016:1879050:-1 gene:B456_002G025700 transcript:KJB12452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQALVDAPDMVRGQMNFKRLTLTDITIDIPRVPKKKTLIEAMEKADVKNKWESSSWGRKLIVQKRRASLNDFDRFKLMLAKIKRSGVIKQELAKLKKENAS >KJB12451 pep chromosome:Graimondii2_0_v6:2:1877949:1880010:-1 gene:B456_002G025700 transcript:KJB12451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRVALVNYGKDYGKLVVIVDVVDQNRALVDAPDMVRGQMNFKRLTLTDITIDIPRVPKKKTLIEAMEKADVKNKWESSSWGRKLIVQKRRASLNDFDRFKLMLAKIKRSGVIKQELAKLKKENAS >KJB14618 pep chromosome:Graimondii2_0_v6:2:23285234:23286604:-1 gene:B456_002G137900 transcript:KJB14618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINEEGLFEELLDVRGENWDTNPTEMSGIFSNGTWNFDDHKPSSTFLPLPFHQDYTYNFNPIYCPFVDEFSSQSNTFDTPSFPLQQQHDDQESRFLVHQLHKLDVKATCKTEPVQSPHPDNPAKKLERQPSKNLMAERRRRKRLNDRLLMLRSVVPKISKVIYCKNSDFKPLITGFISHFLSLQQMDRTSILGDTIDYTSELLERIKSLQQEVEAGSNMDHIFKGEKPNEMIVRNTPKFEVERRNGDTRIEICCRGDPGLLLSTVSTMEASGLEIQQCVISCFNDFAMHASCSEDLEQTTLMRCEDIKKALFRNAGYGGRCV >KJB14617 pep chromosome:Graimondii2_0_v6:2:23285181:23286693:-1 gene:B456_002G137900 transcript:KJB14617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINEEGLFEELLDVRGENWDTNPTEMSGIFSNGTWNFDDHKPSSTFLPLPFHQDYTYNFNPIYCPFVDEFSSQSNTFDTPSFPLQQQHDDQESRFLVHQLHKLDVKATCKTEPVQSPHPDNPAKKLERQPSKNLMAERRRRKRLNDRLLMLRSVVPKISKMDRTSILGDTIDYTSELLERIKSLQQEVEAGSNMDHIFKGEKPNEMIVRNTPKFEVERRNGDTRIEICCRGDPGLLLSTVSTMEASGLEIQQCVISCFNDFAMHASCSEDLEQTTLMRCEDIKKALFRNAGYGGRCV >KJB15049 pep chromosome:Graimondii2_0_v6:2:34177429:34178436:-1 gene:B456_002G157600 transcript:KJB15049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRNGPKLELKLNLSPPRPNPRVESPRRAATLSPTSPPSSCVSSEMNNDVDMTDAIGYSNSPEATSSSAMVLVGCPRCLMYVMLSKNDPKCPQCKSTVLLDFLHDTATTTTNAETRKR >KJB14703 pep chromosome:Graimondii2_0_v6:2:23546481:23546965:1 gene:B456_002G138400 transcript:KJB14703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGVGSVFKAHTYHRKGLARFRSLNFGERNGYLKSVVTDVIYDLGHDTPLARVVFRHPFRYRKQKELFVAVEGMYTRQFVYCGKKATLMVDLFTLLICLVYDKRAL >KJB15286 pep chromosome:Graimondii2_0_v6:2:42025710:42026850:-1 gene:B456_002G168800 transcript:KJB15286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNVKKEKKTDKGDASAKPVRTKVAGVARDDSADVESDEPNREEDAADRRVLRSKYLAVMTKISDAREEISNVDSNKFNIIINEVDNLHQQVLKPREQVADAEALLGLANTLPTSVKSISCEGISLADFVNCLIREFWEIHQ >KJB12825 pep chromosome:Graimondii2_0_v6:2:3014193:3016648:1 gene:B456_002G038000 transcript:KJB12825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPKLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDQADDSGLASYVAGQIDRSLSWKDVKWLQTITTLPILVKGVLTAEDTRIAVEAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLSMLRDEFELTMALSGCRSLKEITRDHIVTEWDSPHPRPAPRL >KJB12829 pep chromosome:Graimondii2_0_v6:2:3013108:3016648:1 gene:B456_002G038000 transcript:KJB12829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVSEYQEIAKQKLPKMAYDYYASGAEDQWTLHENRFAFTKILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAFQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPKLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDQADDSGLASYVAGQIDRSLSWKDVKWLQTITTLPILVKGVLTAEDTRIAVEAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLSMLRDEFELTMALSGCRSLKEITRDHIVTEWDSPHPRPAPRL >KJB12824 pep chromosome:Graimondii2_0_v6:2:3013162:3016648:1 gene:B456_002G038000 transcript:KJB12824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVSEYQEIAKQKLPKMAYDYYASGAEDQWTLHENRFAFTKILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAFQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPKLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDQADDSGLASYVAGQIDRSLSWKDVKWLQTITTLPILVKGVLTAEDTRIAVEAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLSMLRDEFELTMALSGCRSLKEITRDHIVTEWDSPHPRPAPRL >KJB12827 pep chromosome:Graimondii2_0_v6:2:3013162:3016648:1 gene:B456_002G038000 transcript:KJB12827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVSEYQEIAKQKLPKMAYDYYASGAEDQWTLHENRFAFTKILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAFQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPKLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDQADDSGLASYVAGQIDRSLSWKDVKWLQTITTLPILVKGKLA >KJB12826 pep chromosome:Graimondii2_0_v6:2:3013162:3016648:1 gene:B456_002G038000 transcript:KJB12826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVSEYQEIAKQKLPKMAYDYYASGAEDQWTLHENRFAFTKILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAFQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPKLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDQADDSGLASYVAGQIDRSLSWKDVKWLQTITTLPILVKGVLTAEDTRIAVEAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLSMLRDEFELTMALSGCRSLKEITRDHIVTEWDSPHPRPAPRL >KJB12828 pep chromosome:Graimondii2_0_v6:2:3014312:3015904:1 gene:B456_002G038000 transcript:KJB12828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVSEYQEIAKQKLPKMAYDYYASGAEDQWTLHENRFAFTKILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAFQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPKLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDQADDSGLASYVAGQIDRSLSWKDVKWLQTITTLPILVKGVLTAEDTRIAVEAGAAGIIVSNHGARQLDYVPATIMALEEVNQWFQT >KJB12823 pep chromosome:Graimondii2_0_v6:2:3012784:3016729:1 gene:B456_002G038000 transcript:KJB12823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVSEYQEIAKQKLPKMAYDYYASGAEDQWTLHENRFAFTKILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAFQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPKLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDQADDSGLASYVAGQIDRSLSWKDVKWLQTITTLPILVKGVLTAEDTRIAVEAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLSMLRDEFELTMALSGCRSLKEITRDHIVTEWDSPHPRPAPRL >KJB16894 pep chromosome:Graimondii2_0_v6:2:61649047:61651134:1 gene:B456_002G253400 transcript:KJB16894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMNILCASQASTAICLSIDQVSATAGGQNPTTVASKRFTKTLPCTAHPPPINPLPYHLLKNHDKWCSNNTVSVSANDFKKKKSSLKAMDIFTRKSISSDIKDAAADAGNNKILSIKQDQPIVSKPSAHQVVVLKVSLHCKGCEGKVRKHLSKMKGVTSFNIDFEAKKVTIVGEVTPLQVLASVSKVKSAQFWTSDISAAPTTKN >KJB16895 pep chromosome:Graimondii2_0_v6:2:61649047:61651134:1 gene:B456_002G253400 transcript:KJB16895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMNILCASQASTAICLSIDQVSATAGGQNPTTVASKRFTKTLPCTAHPPPINPLPYHLLKNHDKWCSNNTVSVSANDFKKKKSSLKAMDIFTRKSISSDIKDAAADAGNNKILSIKQDQPIVVVLKVSLHCKGCEGKVRKHLSKMKGVTSFNIDFEAKKVTIVGEVTPLQVLASVSKVKSAQFWTSDISAAPTTKN >KJB14042 pep chromosome:Graimondii2_0_v6:2:14806963:14808998:-1 gene:B456_002G108400 transcript:KJB14042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARTVKDVSPHDFVKAYAAHLKRSGKIELPSWTDIVKTGKLKELPPYDPDWYYIRAASMARKIYLRGGLGVGAFRRIYGGAKRNGSRPRHFCKSSGSVARHILQQLQNVNIIDIDPKGGRRITSNGQRDLDQVAGRIAVAI >KJB14044 pep chromosome:Graimondii2_0_v6:2:14807032:14808963:-1 gene:B456_002G108400 transcript:KJB14044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARTVKDVSPHDFVKAYAAHLKRSGKIELPSWTDIVKTGKLKELPPYDPDWYYIRAASMARKIYLRGGLGVGAFRRIYGGAKRNGSRPRHFCKSSGSVARHILQQLQNVNIIDIDPKG >KJB14043 pep chromosome:Graimondii2_0_v6:2:14808060:14808963:-1 gene:B456_002G108400 transcript:KJB14043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARTVKDVSPHDFVKAYAAHLKRSGKIELPSWTDIVKTGKLKELPPYDPDWYYIRAASMARKIYLRGGLGVGAFRRIYGGAKRNGSRPRHFCKSSGSVARHILQQLQNVNIIDIDPKG >KJB14728 pep chromosome:Graimondii2_0_v6:2:24517177:24520495:-1 gene:B456_002G140600 transcript:KJB14728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKAWQTRMLILVFWWRLTCAVFVPLRVPLCPKVSVSDAIFDFRDSYCPITSARTQSIVFVGVIEGDEVSMQKALNMVYRNGHGYVAVLFYASWCPFSRSFRRSFNILSSSYPCIPHFAIEESSVRPSILSKYGVHGFPTLFLLNSTMRARYHGNRSFESLGAFYSDVTGIKNSLDKTSLEKIGHLSNQEKHNSTEQESCPFSWARSPENLLRQETYLALATTFVLFRLLYLLYPTFLVFAQLTWRRLIRNVKLGTLLEHPLAYLKRAIQLFNSLKEPCKRSNLQGAMNARAWASKSLATVSIGDANTNRVVPITGCR >KJB12441 pep chromosome:Graimondii2_0_v6:2:1213104:1215414:1 gene:B456_002G018000 transcript:KJB12441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAENSGEFNKGVGDVQDWEVEKGNELDFGFEKRQWKPVFGEASMSQRPNKKITQRQEPVRSIASMADQSSSLPSSSPSLTLSLPSSSSSSNASLPSSRMVFPFAFDGTQNPVYFPHPMFQPLPQSQQQMISFSPQQQQQQQHGFVYRPFFAGESSPVPPPQLPQPSQQQLLQYWSDTLSPRRRMMMMSRLGQDGRQLYRPPLQPINTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAALAYDREAFKLRGENARLNFPELFLNKDKDTSPGPSSTVPPQDEPKEPNSQSTAMEAMPPPSQGDNNPDSDSGLGSSEATASDEVQMAAEGSGSGSQELVWGDMSEAWFNVIQEGWGPGSPVWDDLDTSNNLLLPSNLPFPNQNHHQFGDTSSPSSSSSCPMKSFFWKDHDS >KJB12442 pep chromosome:Graimondii2_0_v6:2:1213409:1215414:1 gene:B456_002G018000 transcript:KJB12442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAENSGEFNKGVGDVQDWEVEKGNELDFGFEKRQWKPVFGEASMSQRPNKKITQRQEPVRSIASMADQSSSLPSSSPSLTLSLPSSSSSSNASLPSSRMVFPFAFDGTQNPVYFPHPMFQPLPQSQQQMISFSPQQQQQQQHGFVYRPFFAGESSPVPPPQLPQPSQQQLLQYWSDTLSPRRRMMMMSRLGQDGRQLYRPPLQPINTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAALAYDREAFKLRGENARLNFPELFLNKDKDTSPGPSSTVPPQDEPKEPNSQSTAMEAMPPPSQGDNNPDSDSGLGSSEATASDEVQMAAEGSGSGSQELVWGDMSEAWFNVIQEGWGPGSPVWDDLDTSNNLLLPSNLPFPNQNHHQFGDTSSPSSSSSCPMKSFFWKDHDS >KJB13439 pep chromosome:Graimondii2_0_v6:2:8763374:8763784:1 gene:B456_002G074600 transcript:KJB13439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPSRGWLVGFRMITSMSDIFNIEVKAILEGLKLAWDRGFRQVEVESDNSFLINVLRNDLAAVSSIDEIRLILDWYSKDWQVKFRYIQRDYNKVVDQLAKMDRGRVNCLVIHEDPPHSVNCERFAGGGYSSINNG >KJB15250 pep chromosome:Graimondii2_0_v6:2:41891694:41893922:1 gene:B456_002G168200 transcript:KJB15250 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein SLOW GREEN 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18420) UniProtKB/Swiss-Prot;Acc:Q9LS48] MNPTLATLSSPSLSFLPLKPLSSPSFLTFPSPKPLRLRVSSANFDAIPVSKPNNNGQSLSQKLQSFAKTAVLVGATSLMIGKFSNFPAKADSLPAMTEQEPAVLQENEQVKTQSPKETSPLSEFLGSNDEAIAALQSLLQQKLENGEDEEALSILNRLVSAQPDFIDWKFLLGRLLGEMGQTENARKVFEEILQSNPFSFEALFENALLMDRCGEGEAVIKRLEEALARAQEEKKVKEARDVRFIMAQIQFLQKNVDESLMSYQELAKEDPTDFRPYFCQGIIYSLLDRNAEAKEQFAKYKELSPKKFEVDGYLRTSLSRMKLFGTSEEN >KJB15251 pep chromosome:Graimondii2_0_v6:2:41891694:41893157:1 gene:B456_002G168200 transcript:KJB15251 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein SLOW GREEN 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18420) UniProtKB/Swiss-Prot;Acc:Q9LS48] MNPTLATLSSPSLSFLPLKPLSSPSFLTFPSPKPLRLRVSSANFDAIPVSKPNNNGQSLSQKLQSFAKTAVLVGATSLMIGKFSNFPAKADSLPAMTEQEPAVLQENEQVKTQSPKETSPLSEFLGSNDEAIAALQSLLQQKLENGEDEEALSILNRLVSAQPDFIDWKFLLGRLLGEMGQTENARKVFEEILQSNPFSFEALFENALLMDRCGEGEAVIKRLEEALARAQEEKKVKEARDVRFIMAQIQFLQKNVDESLMSYQELAKEDPTDFRPYFCQGIIYSLLDRNAEAKEQFAKYKELSPKKFEVDGYLRTSLSRMKLFGTSEEN >KJB13858 pep chromosome:Graimondii2_0_v6:2:12520605:12521302:-1 gene:B456_002G098300 transcript:KJB13858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNPRIREKEQAEVRQAYDKTGDVSESDLQELAYLKLVIKETLRLHPPLPLLLPGESIQEKVWRDVKLMDIRYQPRPNWFLMHEPLEEIPTTGMRPRGSIQRDSSIVFFFSESKFVSSSVDYKGTNFEFISFGAGRRMCLGISYGMAVLETP >KJB16047 pep chromosome:Graimondii2_0_v6:2:55746833:55748356:1 gene:B456_002G210500 transcript:KJB16047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQPVSSFYEVQTREFILEAKELLKLCKSLARVYAQRTGKLLWVVSKDMERDVSMSATEAQAHRIVDLIADRDRDRKERDFLCLWITRINVIICEVGVS >KJB16632 pep chromosome:Graimondii2_0_v6:2:60369764:60370054:-1 gene:B456_002G240600 transcript:KJB16632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSIKDEIVDHGGKSSETQIITKMKNEDEEGDEELFEINIEAVNSIPPPHYWEAFFTATSNALLANCLLPISDLSTAIPMVSSNACTTCSKVMD >KJB13611 pep chromosome:Graimondii2_0_v6:2:10716919:10716939:1 gene:B456_002G0845002 transcript:KJB13611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPV >KJB15028 pep chromosome:Graimondii2_0_v6:2:32979907:32982752:-1 gene:B456_002G156000 transcript:KJB15028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAISSIEDKFNSTFSVQELVKESIITIPQHYVRLDQQHPSPGAATPFQMLPIIDMNQLIFGEDFDLQLEKLHSTCKEWGFFQLVNHGIKSSILERLKHEVEGFYKLPLEEKMKYKIREGEFEGYGTQERGGGKFDWCDKLYMMTNPILRRKPHLFPKLPSSLRNTMESYILELQELGTKLLSLIAKALKINEKEMIEYFEDGMQSIRMTYYPPCPQSELVMGFTPHSDSTFLTILFQLNGVDGLHIRKDGVWFPVSILPNALVVNVGDILEIYSNGVYRSIEHKVVPNSKKERMSVAFFINPKLEADVGPSPNLINPNNPPLFKRVGMEQYVQDFFSHKLNGKAYLQHMRINDEGNDNPA >KJB15802 pep chromosome:Graimondii2_0_v6:2:53299133:53308488:1 gene:B456_002G196900 transcript:KJB15802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSRKRAEASSAAPSSSPSGPTTRSHKRARLSSSSSPAAATTTTPRSRTSRAAAALMDSTTTESSSGSRRDRRPSKANQTTTSDSPNLASDRGKEKERDPSARDRDRDRDRDRDRDRDNRDNNSNNPERNLGLIMDTSGGDDDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSSAMGSASSSHQSGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDASDYVMEAVPLLTNLLQYHDSKVLEHASVCLTRIADAFASSPDKLDELCNHGLVTQAASLISTSNSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGISANSSVPPALSRPAEQIFEIVNLANELLPPLPQGTISLPASSNMFVKGSILMKSPASSSDKQENSDENAPKVSAREKLLNDQPELLQQFGVDLLPVLIQIYGSSVNGPVRHKCLSVIGKLMYFSSAEMIQNLLSVTNISSFLAGVLAWKDPHVLVPSLQIAEILMEKLPGTFSKMFVREGVVHAVDQLVLIGNQNATAAQASPLEKDNDSVSGTSSRSRRYRRRSGNSNPEGGSVEESKNQASLNIGSPSNTIEIPTANSNIRAAVSACAKAFKDKYFPSDPGAVEVGVTDDLIHLKSLCMKLNAGVDDQKTKAKGKSKASGSRLVDFSSSKEEYLIDVISEMLAELSKGDGVSTFEFIGSGVVAALLSYFSCGYFSQERVSDVNLPKLRHQALKRLKSFISVALPSSVDEGSIAPMTVLVQKLQNALSSVERFPVVLSHSSRSSGGSARLSSGLSALSQPFKLRLCRAQGEKSLRDYSSNIVMIDPLASLAAVEEFLWPRVQRSDNAQKPCVSVGNSDSGNTPSGAVASSPSTSTPASTARRHSSRSRSSVNIGDVARKESSQEKSTSSSKGKGKAVLKPAQEESRGPQTRNAARRRAVLDKDTPMRPENGDSTSEDEELDLSPVEIDDALVIEDDDISDDEDDDHEDVLRDDSLPVCMPDKVHDVKLGDSAEDGTTMPAASDNQTNAASGSSSRAAAVSGSDSADFRSSYGSRGAMSFAAAAMAGFGSANGRGIRGGRDRQARPQFGNSNEPPKLIFTVGSKQLNRQLTIYQAIQRQLVLDEDDDERYGGSDFTSTDGRGMWSGIYTITYQRADTQADRTSVGGSGSAPASKSTKSCSPSSNSDSQTHRMSLLDSILQGELPCDLERSNPTYTILTLLYVLEGLNQLATRLRAQIVSDGFAEGKILNLDELSTPGSRVPYEEFINGKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLGMWRSNSTWDKSIMEIDGDEEKNEKTAGSVGGDIVQAPLGLFPRPWPPNADASEGSQFFKVIEYFRLLGRVMAKALQDGRLLDLPLSTPFYKLVLGQELDLYDILSFDGEFGKILQELHFLVCRKQYLESTGGESSAAVDELRFRGTPIEDLCLDFTLPGYPEYILKPGDGTVDINNLEEYISFVVDATVKAGIIRQMEAFRAGFNQVFDVASLQIFTPQELDYLLCGRRELWEAETLADHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSAIAATSNGSGPSESADDDLPSVMTCANYLKLPPYSSKEIMYKKLLYAINEGQGSFDLS >KJB15803 pep chromosome:Graimondii2_0_v6:2:53299133:53308484:1 gene:B456_002G196900 transcript:KJB15803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSRKRAEASSAAPSSSPSGPTTRSHKRARLSSSSSPAAATTTTPRSRTSRAAAALMDSTTTESSSGSRRDRRPSKANQTTTSDSPNLASDRGKEKERDPSARDRDRDRDRDRDRDRDNRDNNSNNPERNLGLIMDTSGGDDDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSSAMGSASSSHQSGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDASDYVMEAVPLLTNLLQYHDSKVLEHASVCLTRIADAFASSPDKLDELCNHGLVTQAASLISTSNSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGISANSSVPPALSRPAEQIFEIVNLANELLPPLPQGTISLPASSNMFVKGSILMKSPASSSDKQENSDENAPKVSAREKLLNDQPELLQQFGVDLLPVLIQIYGSSVNGPVRHKCLSVIGKLMYFSSAEMIQNLLSVTNISSFLAGVLAWKDPHVLVPSLQIAEILMEKLPGTFSKMFVREGVVHAVDQLVLIGNQNATAAQASPLEKDNDSVSGTSSRSRRYRRRSGNSNPEGGSVEESKNQASLNIGSPSNTIEIPTANSNIRAAVSACAKAFKDKYFPSDPGAVEVGVTDDLIHLKSLCMKLNAGVDDQKTKAKGKSKASGSRLVDFSSSKEEYLIDVISEMLAELSKGDGVSTFEFIGSGVVAALLSYFSCGYFSQERVSDVNLPKLRHQALKRLKSFISVALPSSVDEGSIAPMTVLVQKLQNALSSVERFPVVLSHSSRSSGGSARLSSGLSALSQPFKLRLCRAQGEKSLRDYSSNIVMIDPLASLAAVEEFLWPRVQRSDNAQKPCVSVGNSDSGNTPSGAVASSPSTSTPASTARRHSSRSRSSVNIGDVARKESSQEKSTSSSKGKGKAVLKPAQEESRGPQTRNAARRRAVLDKDTPMRPENGDSTSEDEELDLSPVEIDDALVIEDDDISDDEDDDHEDVLRDDSLPVCMPDKVHDVKLGDSAEDGTTMPAASDNQTNAASGSSSRAAAVSGSDSADFRSSYGSRGAMSFAAAAMAGFGSANGRGIRGGRDRQARPQFGNSNEPPKLIFTVGSKQLNRQLTIYQAIQRQLVLDEDDDERYGGSDFTSTDGRGMWSGIYTITYQRADTQADRTSVGGSGSAPASKSTKSCSPSSNSDSQTHRMSLLDSILQGELPCDLERSNPTYTILTLLYVLEGLNQLATRLRAQIVSDGFAEGKILNLDELSTPGSRVPYEEFINGKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLGMWRSNSTWDKSIMEIDGDEEKNEKTAGSVGGDIVQAPLGLFPRPWPPNADASEGSQFFKVIEYFRLLGRVMAKALQDGRLLDLPLSTPFYKLVLGQELDLYDILSFDGEFGKILQELHFLVCRKQYLESTGGESSAAVDELRFRGTPIEDLCLDFTLPGYPEYILKPGDGTVDINNLEEYISFVVDATVKAGIIRQMEAFRAGFNQVFDVASLQIFTPQELDYLLCGRRELWEAETLADHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSAIAATSNGSGPSESADDDLPSVMTCANYLKLPPYSSKEIMYKKLLYAINEGQGSFDLS >KJB15801 pep chromosome:Graimondii2_0_v6:2:53299084:53308657:1 gene:B456_002G196900 transcript:KJB15801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSRKRAEASSAAPSSSPSGPTTRSHKRARLSSSSSPAAATTTTPRSRTSRAAAALMDSTTTESSSGSRRDRRPSKANQTTTSDSPNLASDRGKEKERDPSARDRDRDRDRDRDRDRDNRDNNSNNPERNLGLIMDTSGGDDDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSSAMGSASSSHQSGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDASDYVMEAVPLLTNLLQYHDSKVLEHASVCLTRIADAFASSPDKLDELCNHGLVTQAASLISTSNSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGISANSSVPPALSRPAEQIFEIVNLANELLPPLPQGTISLPASSNMFVKGSILMKSPASSSDKQENSDENAPKVSAREKLLNDQPELLQQFGVDLLPVLIQIYGSSVNGPVRHKCLSVIGKLMYFSSAEMIQNLLSVTNISSFLAGVLAWKDPHVLVPSLQIAEILMEKLPGTFSKMFVREGVVHAVDQLVLIGNQNATAAQASPLEKDNDSVSGTSSRSRRYRRRSGNSNPEGGSVEESKNQASLNIGSPSNTIEIPTANSNIRAAVSACAKAFKDKYFPSDPGAVEVGVTDDLIHLKSLCMKLNAGVDDQKTKAKGKSKASGSRLVDFSSSKEEYLIDVISEMLAELSKGDGVSTFEFIGSGVVAALLSYFSCGYFSQERVSDVNLPKLRHQALKRLKSFISVALPSSVDEGSIAPMTVLVQKLQNALSSVERFPVVLSHSSRSSGGSARLSSGLSALSQPFKLRLCRAQGEKSLRDYSSNIVMIDPLASLAAVEEFLWPRVQRSDNAQKPCVSVGNSDSGNTPSGAVASSPSTSTPASTARRHSSRSRSSVNIGDVARKESSQEKSTSSSKGKGKAVLKPAQEESRGPQTRNAARRRAVLDKDTPMRPENGDSTSEDEELDLSPVEIDDALVIEDDDISDDEDDDHEDVLRDDSLPVCMPDKVHDVKLGDSAEDGTTMPAASDNQTNAASGSSSRAAAVSGSDSADFRSSYGSRGAMSFAAAAMAGFGSANGRGIRGGRDRQARPQFGNSNEPPKLIFTVGSKQLNRQLTIYQAIQRQLVLDEDDDERYGGSDFTSTDGRGMWSGIYTITYQRADTQADRTSVGGSGSAPASKSTKSCSPSSNSDSQTHRMSLLDSILQGELPCDLERSNPTYTILTLLYVLEGLNQLATRLRAQIVSDGFAEGKILNLDELSTPGSRVPYEEFINGKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLGMWRSNSTWDKSIMEIDGDEEKNEKTAGSVGGDIVQAPLGLFPRPWPPNADASEGSQFFKVIEYFRLLGRVMAKALQDGRLLDLPLSTPFYKLVLGQELDLYDILSFDGEFGKILQELHFLVCRKQYLESTGGESSAAVDELRFRGTPIEDLCLDFTLPGYPEYILKPGDGTVDINNLEEYISFVVDATVKAGIIRQMEAFRAGFNQVFDVASLQIFTPQELDYLLCGRRELWEAETLADHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSAIAATSNGSGPSESADDDLPSVMTCANYLKLPPYSSKEIMYKKLLYAINEGQGSFDLS >KJB15804 pep chromosome:Graimondii2_0_v6:2:53299133:53308484:1 gene:B456_002G196900 transcript:KJB15804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSRKRAEASSAAPSSSPSGPTTRSHKRARLSSSSSPAAATTTTPRSRTSRAAAALMDSTTTESSSGSRRDRRPSKANQTTTSDSPNLASDRGKEKERDPSARDRDRDRDRDRDRDRDNRDNNSNNPERNLGLIMDTSGGDDDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSSAMGSASSSHQSGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDASDYVMEAVPLLTNLLQYHDSKVLEHASVCLTRIADAFASSPDKLDELCNHGLVTQAASLISTSNSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGISANSSVPPALSRPAEQIFEIVNLANELLPPLPQGTISLPASSNMFVKGSILMKSPASSSDKQENSDENAPKVSAREKLLNDQPELLQQFGVDLLPVLIQIYGSSVNGPVRHKCLSVIGKLMYFSSAEMIQNLLSVTNISSFLAGVLAWKDPHVLVPSLQIAEILMEKLPGTFSKMFVREGVVHAVDQLVLIGNQNATAAQASPLEKDNDSVSGTSSRSRRYRRRSGNSNPEGGSVEESKNQASLNIGSPSNTIEIPTANSNIRAAVSACAKAFKDKYFPSDPGAVEVGVTDDLIHLKSLCMKLNAGVDDQKTKAKGKSKASGSRLVDFSSSKEEYLIDVISEMLAELSKGDGVSTFEFIGSGVVAALLSYFSCGYFSQERVSDVNLPKLRHQALKRLKSFISVALPSSVDEGSIAPMTVLVQKLQNALSSVERFPVVLSHSSRSSGGSARLSSGLSALSQPFKLRLCRAQGEKSLRDYSSNIVMIDPLASLAAVEEFLWPRVQRSDNAQKPCVSVGNSDSGNTPSGAVASSPSTSTPASTARRHSSRSRSSVNIGDVARKESSQEKSTSSSKGKGKAVLKPAQEESRGPQTRNAARRRAVLDKDTPMRPENGDSTSEDEELDLSPVEIDDALVIEDDDISDDEDDDHEDVLRDDSLPVCMPDKVHDVKLGDSAEDGTTMPAASDNQTNAASGSSSRAAAVSGSDSADFRSSYGSRGAMSFAAAAMAGFGSANGRGIRGGRDRQARPQFGNSNEPPKLIFTVGSKQLNRQLTIYQAIQRQLVLDEDDDERYGGSDFTSTDGRGMWSGIYTITYQRADTQADRTSVGGSGSAPASKSTKSCSPSSNSDSQTHRMSLLDSILQGELPCDLERSNPTYTILTLLYVLEGLNQLATRLRAQIVSDGFAEGKILNLDELSTPGSRVPYEEFINGKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLGMWRSNSTWDKSIMEIDGDEEKNEKTAGSVGGDIVQAPLGLFPRPWPPNADASEGSQFFKVIEYFRLLGRVMAKALQDGRLLDLPLSTPFYKLVLGQELDLYDILSFDGEFGKILQELHFLVCRKQYLESTGGESSAAVDELRFRGTPIEDLCLDFTLPGYPEYILKPGDGTVDINNLEEYISFVVDATVKAGIIRQMEAFRAGFNQVFDVASLQIFTPQELDYLLCGRRELWEAETLADHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSAIAATSNGSGPSESADDDLPSVMTCANYLKLPPYSSKEIMYKKLLYAINEGQGSFDLS >KJB15637 pep chromosome:Graimondii2_0_v6:2:50117316:50119934:-1 gene:B456_002G187600 transcript:KJB15637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKDNDTYEEELLDYEEEDEKAPNSASIKAADSAKKGYVGIHSSGFRGFLLKPELLRSIVDSGFEHPSEVTVIRVLMHSPKSKANVDTIIEKCAGAGHLRDDILHYSKEPAEYLLSDLPSSYGEGASSSSMGFDKELNKEVAIKVIDLEES >KJB15635 pep chromosome:Graimondii2_0_v6:2:50117316:50119934:-1 gene:B456_002G187600 transcript:KJB15635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKDNDTYEEELLDYEEEDEKAPNSASIKAADSAKKGYVGIHSSGFRGFLLKPELLRSIVDSGFEHPSEVTVIRVLMHSPKSKANVDTIIEKCAGAGHLRDDILHYSKEPAEYLLSDLPSSYGEGASSSSMGFDKELNKEVAIKVIDLEES >KJB15636 pep chromosome:Graimondii2_0_v6:2:50116002:50120362:-1 gene:B456_002G187600 transcript:KJB15636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKDNDTYEEELLDYEEEDEKAPNSASIKAADSAKKGYVGIHSSGFRGFLLKPELLRSIVDSGFEHPSEVTVIRVLMHSPKSKANVDTIIEKCAGAGHLRDDILHYSKEPAEYLLSDLPSSYGEGASSSSMGFDKELNKEVAIKVIDLEES >KJB13914 pep chromosome:Graimondii2_0_v6:2:12936024:12941905:-1 gene:B456_002G101600 transcript:KJB13914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTPQAVEEEKRKLPLFKTEEAKGKVAFSLFSSSILVGICLIWAYRLSNLPTAGDRGRWLWIGMFFAELGFGFYWILTQALRWNLVRYYPLKFNLSQSSYKLPGVDIFVCTADPTVEPPTLVINTVLSAMSLNHPTEKLSVYLSDDGGSQLTFYALLEASRFSKLWIPFCKKFNVEPRSPDAYFTHNFDLHEHTADAQQRLFIKEQYEDMIKRIEAVINKDGVPEELKNQHKGFSEWDSNVTKQNHQPIVQIIIDGRDTNAVDSDGCRLPTLVYMAREKRPDCLHHFKAGAMNALIRVSSEISNSAIILNLDCDMYANNADSIKEALCFFMDEERGHQIAFVQHPQKYNNITKNDLYGNSSPVLNKVELPGVGGYGSALYCGTGCFHRRTSLCGTKCSEEHKGLWNSETRKDDNRTVHELEEASKVLASCGFEKGTQWGKEMGLIYGCPVEDVVTGLAIQCRGWKSVYYNPDNTAFIGVAPPTLDIALVQFTRWSDGLFQIFLSKYCPFIYGHNKIKLGAQMGYCVYLLWAPSSLPLLYYAIALPFSLFQGTPLFPDIRSRWFIPFAYVFVSKNIYSLAEALIFGSTFKAWWNLQRMWVHRRTTSFFFAFIDCIVRQLGLSQTTFTLTAKVVTDDASKMYQQEIMDFGSTSTMFTVISTLAMLNLFSLIGVVVKVFMGGLECKDMEKLVSQVVLCGLMVTVNGPVYEALFVRKDEGSMPGSVMFKSIVLASLACLNPL >KJB13789 pep chromosome:Graimondii2_0_v6:2:11970651:11978518:-1 gene:B456_002G094500 transcript:KJB13789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ENHANCED DOWNY MILDEW 2 [Source:Projected from Arabidopsis thaliana (AT5G55390) UniProtKB/Swiss-Prot;Acc:F4K3G5] MAFSDDEEEEALIHSVSNYYFNDEKDEAVCFSQLPLQFGGKECLSGGSKKKIFLRGIADDGLLTICKHVTAWKFDLSNVGKPDISVLSKDIGWLKLQKPRKSFEPVIRSVLISVHCLHLITWNPDLSGKSLWDQLAKIFSLYEVKPSQNDLVDHMDLIAEAVKSDDSLAKSKFLHSFLEEKPKKRKLVNENARGTSISGFIVDDAVDGPELDDSNNDDDEDDLYDSVCAFCDNGGSLICCDGRCMRSFHATEDDDSARESACESLGFTPNQVKALETLLCKNCEYNQHQCFSCGKLGSSDKSSGAEVFRCSNATCGHFYHPHCVAKLLHKGDKVAAEEHAKRISSGEFFTCPTHKCCVCQQGENKKVEELQFALCRRCPTSYHRKCLPREIAFDDIEEEGIITRAWDGLLVNRVLIYCFKHEIDEDLGTPIRDHIKFPFDESKKRKASDVLTSHEKVGSKKKTLALEGTSQERTAMKAAKQSSSVVKADQTSKKSEKVTPRTNALKKVKATGPSKKPLRQNSKSLPMDAGKSSADDGNKTSLGGRLFSLMNQESEQQIKPGRQDNLKGGLSKAAVVNSTATSKSSDMPSLDADSERRLLNLMKEAESSVTLEDIITKPKVLSTHGYSSRSVIDRTITLGKIEGLVEAVRMALAKLEDGCSIEDAQAVCEPEVLNQIFKWQNKLRVYLAPFLYGMRYSSFGRHFTKVDKLEEIVDRLHWYVQDGDTIVDFCCGANDFSLIMKRKLEETGKKCSFKNYDIFQAKNDFNFERRDWMSVQQKELPTGSQLIMGLNPPFGVKAALANKFIDKALEFNPKLLILIVPPETERLDKKKSLKYPYELVWEDNNLLSGKSFYLPGSIDANDKQMDQWNVMVPPLYLWSRSDFSAKNKSIAEKHGHVPREPASSNQEMNIDETRRSDFELPLEDDGLRDDATELKDHMQNHVIEECKKEKSVEVTPKECSPRQQSDEKNQSKETSSNKKRKHSEENNGRKTDKKSGGRTPRSETHDGIPHSSPSNVMGSSRSSVEGASSKLHSEENLVRKTDIKGGGRIPRSEMHSGIPHPSPSNVIGSSRSSVKGASPKLHSEENLGRKTDKMSGGRTPRSETHGGIPHSSPSNVMGSSRSSVEGASKLHSEENLGRKTNKKSGRTTRSETYSGIPHSSPSNVMASSRSSVECASRSPNQHRIPNMRSSQTALRTSYGDTRTSVGDDMGRRYNMNYDPYSAGTHSHGYRPYPNEMNREFNLRSQVHLYGQEPGLFPRRNDLAGLNSLYGPTTPSYGQHNGATVNPSYRMNMSAMQRYAPRLDELNYTRMGGAGPEPPLMGNRNTFYDPRPPFMIDPRAPRPPYTLGFSPGPYHPYSHHNSAG >KJB13790 pep chromosome:Graimondii2_0_v6:2:11970718:11977863:-1 gene:B456_002G094500 transcript:KJB13790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ENHANCED DOWNY MILDEW 2 [Source:Projected from Arabidopsis thaliana (AT5G55390) UniProtKB/Swiss-Prot;Acc:F4K3G5] MAFSDDEEEEALIHSVSNYYFNDEKDEAVCFSQLPLQFGGKECLSGGSKKKIFLRGIADDGLLTICKHVTAWKFDLSNVGKPDISVLSKDIGWLKLQKPRKSFEPVIRSVLISVHCLHLITWNPDLSGKSLWDQLAKIFSLYEVKPSQNDLVDHMDLIAEAVKSDDSLAKSKFLHSFLEEKPKKRKLVNENARGTSISGFIVDDAVDGPELDDSNNDDDEDDLYDSVCAFCDNGGSLICCDGRCMRSFHATEDDDSARESACESLGFTPNQVKALETLLCKNCEYNQHQCFSCGKLGSSDKSSGAEVFRCSNATCGHFYHPHCVAKLLHKGDKVAAEEHAKRISSGEFFTCPTHKCCVCQQGENKKVEELQFALCRRCPTSYHRKCLPREIAFDDIEEEGIITRAWDGLLVNRVLIYCFKHEIDEDLGTPIRDHIKFPFDESKKRKASDVLTSHEKVGSKKKTLALEGTSQERTAMKAAKQSSSVVKADQTSKKSEKVTPRTNALKKVKATGPSKKPLRQNSKSLPMDAGKSSADDGNKTSLGGRLFSLMNQESEQQIKPGRQDNLKGGLSKAAVVNSTATSKSSDMPSLDADSERRLLNLMKEAESSVTLEDIITKPKVLSTHGYSSRSVIDRTITLGKIEGLVEAVRMALAKLEDGCSIEDAQAVCEPEVLNQIFKWQNKLRVYLAPFLYGMRYSSFGRHFTKVDKLEEIVDRLHWYVQDGDTIVDFCCGANDFSLIMKRKLEETGKKCSFKNYDIFQAKNDFNFERRDWMSVQQKELPTGSQLIMGLNPPFGVKAALANKFIDKALEFNPKLLILIVPPETERLDKKKSLKYPYELVWEDNNLLSGKSFYLPGSIDANDKQMDQWNVMVPPLYLWSRSDFSAKNKSIAEKHGHVPREPASSNQEMNIDETRRSDFELPLEDDGLRDDATELKDHMQNHVIEECKKEKSVEVTPKECSPRQQSDEKNQSKETSSNKKRKHSEENNGRKTDKKSGGRTPRSETHDGIPHSSPSNVMGSSRSSVEGASSKLHMKKILEGKLIRRVEGLLEVRHTVEFRTLLHPM >KJB14441 pep chromosome:Graimondii2_0_v6:2:57549194:57550011:1 gene:B456_002G221200 transcript:KJB14441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQREFNFAPLDVIDSHQHDCPSDFFLSIFPSFLLYFLILQLLPSNFFHLSNSLPTLPSKALILLIIFSKTRVWLSLC >KJB11959 pep chromosome:Graimondii2_0_v6:2:5026453:5028015:1 gene:B456_002G055500 transcript:KJB11959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEDSAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRVCYYFTASFSKIWIIALTVLKAKI >KJB11958 pep chromosome:Graimondii2_0_v6:2:5026333:5029093:1 gene:B456_002G055500 transcript:KJB11958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEDSAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRTTRRTVPFLFVRGDGVILVSPPLRTA >KJB16712 pep chromosome:Graimondii2_0_v6:2:60848207:60849955:1 gene:B456_002G244200 transcript:KJB16712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNNTFRPFVSRPEPSLNFLYSNYNYDQYPGMEMKQHQGFMDLGNEMVLPGLNKNSFNNNVNQDKKKRLTSDQLDSLEKNFQEEIKLDPDRKMKLSRELGLQPRQIAVWFQNRRARWKAKQLERLYDSLKQEFDAISREKQKLQDEVMKLKGILREQVTRNQVSTVYTEISGEETVESTSIRSSNKPKIAGNNHHPHPVAACNYLFNVDEYNPVSSPYWGTVQLPSYP >KJB14060 pep chromosome:Graimondii2_0_v6:2:15951373:15957616:1 gene:B456_002G112700 transcript:KJB14060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSETGMMASREPYSLGMQQKSPVASQPAIQNMRLAFRADGTAVYKPITPASLTYQPASGDGGAEGSAGGPAVTQEQGQALNMSMSMGSEPLKRKRGRPRKYGPESTMPLALIPAPSSVSVTQSNSGGGFPSPTPPPPPSGGSASSPTSGKKARGRPPGSCNKKHQLEALGSPRVGFTPHVITVKVGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLCQPATSGGTVTYEGRFEILSLSGSFLLSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVGSFITDNRKEAKSTYQMEGLSAPPKVAPGVTSSPSHGTLSESSGGPGSPVNQSMGTCNNNNNNNPQGMSNFPWK >KJB14063 pep chromosome:Graimondii2_0_v6:2:15951129:15957616:1 gene:B456_002G112700 transcript:KJB14063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSETGMMASREPYSLGMQQKSPVASQPAIQNMRLAFRADGTAVYKPITPASLTYQPASGDGGAEGSAGGPAVTQEQGQALNMSMSMGSEPLKRKRGRPRKYGPESTMPLALIPAPSSVSVTQSNSGGGFPSPTPPPPPSGGSASSPTSGKKARGRPPGSCNKKHQLEALGSPRVGFTPHVITVKVGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLCQPATSGGTVTYEGRFEILSLSGSFLLSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVGSFITDNRKEAKSTYQMEGLSAPPKVAPGVTSSPSHGTLSESSGGPGSPVNQSMGTCNNNNNNNPQGMSNFPWK >KJB14058 pep chromosome:Graimondii2_0_v6:2:15951373:15957686:1 gene:B456_002G112700 transcript:KJB14058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSETGMMASREPYSLGMQQKSPVASQPAIQNMRLAFRADGTAVYKPITPASLTYQPASGDGGAEGSAGGPAVTQEQGQALNMSMSMGSEPLKRKRGRPRKYGPESTMPLALIPAPSSVSVTQSNSGGGFPSPTPPPPPSGGSASSPTSGKKARGRPPGSCNKKHQLEALGSPRVGFTPHVITVKVGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLCQPATSGGTVTYEGRFEILSLSGSFLLSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVGSFITDNRKEAKSTYQMEGLSAPPKVAPGVTSSPSHGTLSESSGGPGSPVNQSMGTCNNNNNNNPQGMSNFPWK >KJB14067 pep chromosome:Graimondii2_0_v6:2:15951020:15957775:1 gene:B456_002G112700 transcript:KJB14067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSETGMMASREPYSLGMQQKSPVASQPAIQNMRLAFRADGTAVYKPITPASLTYQPASGDGGAEGSAGGPAVTQEQGQALNMSMSMGSEPLKRKRGRPRKYGPESTMPLALIPAPSSVSVTQSNSGGGFPSPTPPPPPSGGSASSPTSGKKARGRPPGSCNKKHQLEALGSPRVGFTPHVITVKVGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLCQPATSGGTVTYEGRFEILSLSGSFLLSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVGSFITDNRKEAKSTYQMEGLSAPPKVAPGVTSSPSHGTLSESSGGPGSPVNQSMGTCNNNNNNNPQGMSNFPWK >KJB14061 pep chromosome:Graimondii2_0_v6:2:15951324:15957616:1 gene:B456_002G112700 transcript:KJB14061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSETGMMASREPYSLGMQQKSPVASQPAIQNMRLAFRADGTAVYKPITPASLTYQPASGDGGAEGSAGGPAVTQEQGQALNMSMSMGSEPLKRKRGRPRKYGPESTMPLALIPAPSSVSVTQSNSGGGFPSPTPPPPPSGGSASSPTSGKKARGRPPGSCNKKHQLEALGSPRVGFTPHVITVKVGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLCQPATSGGTVTYEGRFEILSLSGSFLLSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVGSFITDNRKEAKSTYQMEGLSAPPKVAPGVTSSPSHGTLSESSGGPGSPVNQSMGTCNNNNNNNPQGMSNFPWK >KJB14059 pep chromosome:Graimondii2_0_v6:2:15951129:15957616:1 gene:B456_002G112700 transcript:KJB14059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSETGMMASREPYSLGMQQKSPVASQPAIQNMRLAFRADGTAVYKPITPASLTYQPASGDGGAEGSAGGPAVTQEQGQALNMSMSMGSEPLKRKRGRPRKYGPESTMPLALIPAPSSVSVTQSNSGGGFPSPTPPPPPSGGSASSPTSGKKARGRPPGSCNKKHQLEALGSPRVGFTPHVITVKVGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLCQPATSGGTVTYEGRFEILSLSGSFLLSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVGSFITDNRKEAKSTYQMEGLSAPPKVAPGVTSSPSHGTLSESSGGPGSPVNQSMGTCNNNNNNNPQGMSNFPWK >KJB14062 pep chromosome:Graimondii2_0_v6:2:15951055:15957783:1 gene:B456_002G112700 transcript:KJB14062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSETGMMASREPYSLGMQQKSPVASQPAIQNMRLAFRADGTAVYKPITPASLTYQPASGDGGAEGSAGGPAVTQEQGQALNMSMSMGSEPLKRKRGRPRKYGPESTMPLALIPAPSSVSVTQSNSGGGFPSPTPPPPPSGGSASSPTSGKKARGRPPGSCNKKHQLEALGSPRVGFTPHVITVKVGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLCQPATSGGTVTYEGRFEILSLSGSFLLSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVGSFITDNRKEAKSTYQMEGLSAPPKVAPGVTSSPSHGTLSESSGGPGSPVNQSMGTCNNNNNNNPQGMSNFPWK >KJB14066 pep chromosome:Graimondii2_0_v6:2:15951078:15957616:1 gene:B456_002G112700 transcript:KJB14066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSETGMMASREPYSLGMQQKSPVASQPAIQNMRLAFRADGTAVYKPITPASLTYQPASGDGGAEGSAGGPAVTQEQGQALNMSMSMGSEPLKRKRGRPRKYGPESTMPLALIPAPSSVSVTQSNSGGGFPSPTPPPPPSGGSASSPTSGKKARGRPPGSCNKKHQLEALGSPRVGFTPHVITVKVGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLCQPATSGGTVTYEGRFEILSLSGSFLLSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVGSFITDNRKEAKSTYQMEGLSAPPKVAPGVTSSPSHGTLSESSGGPGSPVNQSMGTCNNNNNNNPQGMSNFPWK >KJB14065 pep chromosome:Graimondii2_0_v6:2:15951055:15957713:1 gene:B456_002G112700 transcript:KJB14065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSETGMMASREPYSLGMQQKSPVASQPAIQNMRLAFRADGTAVYKPITPASLTYQPASGDGGAEGSAGGPAVTQEQGQALNMSMSMGSEPLKRKRGRPRKYGPESTMPLALIPAPSSVSVTQSNSGGGFPSPTPPPPPSGGSASSPTSGKKARGRPPGSCNKKHQLEALGSPRVGFTPHVITVKVGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLCQPATSGGTVTYEGRFEILSLSGSFLLSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVGSFITDNRKEAKSTYQMEGLSAPPKVAPGVTSSPSHGTLSESSGGPGSPVNQSMGTCNNNNNNNPQGMSNFPWK >KJB14064 pep chromosome:Graimondii2_0_v6:2:15951125:15957616:1 gene:B456_002G112700 transcript:KJB14064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSETGMMASREPYSLGMQQKSPVASQPAIQNMRLAFRADGTAVYKPITPASLTYQPASGDGGAEGSAGGPAVTQEQGQALNMSMSMGSEPLKRKRGRPRKYGPESTMPLALIPAPSSVSVTQSNSGGGFPSPTPPPPPSGGSASSPTSGKKARGRPPGSCNKKHQLEALGSPRVGFTPHVITVKVGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLCQPATSGGTVTYEGRFEILSLSGSFLLSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVGSFITDNRKEAKSTYQMEGLSAPPKVAPGVTSSPSHGTLSESSGGPGSPVNQSMGTCNNNNNNNPQGMSNFPWK >KJB14284 pep chromosome:Graimondii2_0_v6:2:16746025:16748141:1 gene:B456_002G117700 transcript:KJB14284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTHPHILPARTLISLSSVLFAAAFILSLVALIVFFRHRNHHHNTSNSDDKASLSYSLRLFPTNIPPSDASRKAPAQQPPGYVSTNRSSEFLYLGALVNYTVDPERVILSSNGGSKLGDPSSPYQKLGSPKLNPLPPMPEVQTLQSVEQFLQYEQMGSFENDVKEKNTYQTRNIEKNSWKNQEIHVNNPSKFK >KJB13046 pep chromosome:Graimondii2_0_v6:2:4739218:4740973:-1 gene:B456_002G053600 transcript:KJB13046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESSPCSSSPPSSPGSSALASPSIQMVSKSVSERLLGKFFDASQYDFDYEQSCLWSPPVRRSVYLTSPGNIVICSQDELIFSQLKHAKKACKWRFRSIACFSALWCCS >KJB13621 pep chromosome:Graimondii2_0_v6:2:10845534:10849212:1 gene:B456_002G085200 transcript:KJB13621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTIKQSALATCIDVSNSLVYKRSPSIRRHPFSPVLNLQNIPKRTNVLVSKPLHISSVESIGKEKKPVIQCNAYEADKSEPIEAAEQVKSEAAKRVKIGIYFATWWGLNVVFNIYNKKVLNAYPYPWLTSTLSLACGSLMMLISWATRIAETPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGESFPLSVYLSLIPIIGGCALSALTELNFNMTGFMGAMISNLAFVLRNIFSKKGMKGKSVSGMNYYACLSLLSLVILTPFAIAVEGPQMWAAGWETALSQIGPQFIWWVAAQSIFYHLYNQVSYMSLDEISPLTFSVGNTMKRISVIVSSIIIFHTPVQPINALGAAIAILGTFLYSQAKA >KJB16215 pep chromosome:Graimondii2_0_v6:2:56980865:56985951:1 gene:B456_002G218100 transcript:KJB16215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPEVVDGVGAETGHVIRTTIGGRNGQSKQNVSYIAEHAVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHYFFSKTDKDELYLNLVLDYVPETVHRTARSYSRMNTRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHPFFDELRDPNTRLPNGRPLPPLFNFKPQELSGVPPDVVKKLIPEHARKQNLFMALHA >KJB16217 pep chromosome:Graimondii2_0_v6:2:56980983:56985920:1 gene:B456_002G218100 transcript:KJB16217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPEVVDGVGAETGHVIRTTIGGRNGQSKQNVSYIAEHAVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHYFFSKTDKDELYLNLVLDYVPETVHRTARSYSRMNTRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTAVSYDIHVFAAMSSNGTNTINFFLFILR >KJB16216 pep chromosome:Graimondii2_0_v6:2:56982190:56984352:1 gene:B456_002G218100 transcript:KJB16216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPEVVDGVGAETGHVIRTTIGGRNGQSKQNVSYIAEHAVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHYFFSKTDKDELYLNLVLDYVPETVHRTARSYSRMNTRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQVNLRLICLVRVLLYVTVVFINCFSDCYIQCSHFFLVKVGSTNLLKSLR >KJB16219 pep chromosome:Graimondii2_0_v6:2:56982190:56984352:1 gene:B456_002G218100 transcript:KJB16219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPEVVDGVGAETGHVIRTTIGGRNGQSKQNVSYIAEHAVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHYFFSKTDKDELYLNLVLDYVPETVHRTARSYSRMNTRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQVNLRLICLVRVLLYVTVVFINCFSDCYIQCSHFFLVKVGSTNLLKSLR >KJB16220 pep chromosome:Graimondii2_0_v6:2:56980983:56985920:1 gene:B456_002G218100 transcript:KJB16220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPEVVDGVGAETGHVIRTTIGGRNGQSKQNVSYIAEHAVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHYFFSKTDKDELYLNLVLDYVPETVHRTARSYSRMNTRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHPFFDELRDPNTRLPNGRPLPPLFNFKPQGQFHLVVKYF >KJB16218 pep chromosome:Graimondii2_0_v6:2:56980983:56985920:1 gene:B456_002G218100 transcript:KJB16218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPEVVDGVGAETGHVIRTTIGGRNGQSKQNVSYIAEHAVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHYFFSKTDKDELYLNLVLDYVPETVHRTARSYSRMNTRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVCLPKAFTSGSSGPCLQVLSVLSQFAMHCFGGLHSPIL >KJB16955 pep chromosome:Graimondii2_0_v6:2:61849369:61854016:1 gene:B456_002G256400 transcript:KJB16955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGLRQLLKSFCTNSPWKYAVLWKLGHQSPMSLTWEDENCVYPIPSESMESISSDVYSNSEIIPSQFETSMDLVVAHMSHLKYTLGKGVVGKVAHTGKHYWVSYDDIFTSKANWTMVDECPEEWLFQFALGIKTIVLIPVLPHGVVQLASLEMVAEDSSITAYIKDRFKCNSIHTELPSSPISSLPENLEESSTTASMNPLNSEDPNTVDTVNHYTFHVPGTYLYEILDIECENRINVNNASFFSFPKGCELHKALLGPPFRRQSNEFLWENEDLFSGLEPDSMLAEGSEPDYLLEAVVGHVCEGSFHMPNRSNTQLPTSSQPQTVESDYYGETQKGPKQSSIKVRRAKPGDNPKARPRDRQLIRDRLKELRELVPNGAKYSIDGLLDQTIKHMVYLRRVTNQVEKLQQWAHREVTSRKNIRSSETKENYQLETSWGFEIGDEPNVYPIVVEDLPYPGHLLIEASRSFHRLDIFWPLMQLLQRQRNPISSKI >KJB16953 pep chromosome:Graimondii2_0_v6:2:61849134:61854105:1 gene:B456_002G256400 transcript:KJB16953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGLRQLLKSFCTNSPWKYAVLWKLGHQSPMVVGKVAHTGKHYWVSYDDIFTSKANWTMVDECPEEWLFQFALGIKTIVLIPVLPHGVVQLASLEMVAEDSSITAYIKDRFKCNSIHTELPSSPISSLPENLEESSTTASMNPLNSEDPNTVDTVNHYTFHVPGTYLYEILDIECENRINVNNASFFSFPKGCELHKALLGPPFRRQSNEFLWENEDLFSGLEPDSMLAEGSEPDYLLEAVVGHVCEGSFHMPNRSNTQLPTSSQPQTVESDYYGETQKGPKQSSIKVRRAKPGDNPKARPRDRQLIRDRLKELRELVPNGAKYSIDGLLDQTIKHMVYLRRVTNQVEKLQQWAHREVTSRKNIRSSETKENYQLETSWGFEIGDEPNVYPIVVEDLPYPGHLLIEMLCNEHGLFLEIAQVIRSFNLTILKGVMESYANNKWAYFIVEASRSFHRLDIFWPLMQLLQRQRNPISSKI >KJB16954 pep chromosome:Graimondii2_0_v6:2:61849134:61854107:1 gene:B456_002G256400 transcript:KJB16954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGLRQLLKSFCTNSPWKYAVLWKLGHQSPMSLTWEDENCVYPIPSESMESISSDVYSNSEIIPSQFETSMDLVVAHMSHLKYTLGKGVVGKVAHTGKHYWVSYDDIFTSKANWTMVDECPEEWLFQFALGIKTIVLIPVLPHGVVQLASLEMVAEDSSITAYIKDRFKCNSIHTELPSSPISSLPENLEESSTTASMNPLNSEDPNTVDTVNHYTFHVPGTYLYEILDIECENRINVNNASFFSFPKGCELHKALLGPPFRRQSNEFLWENEDLFSGLEPDSMLAEGSEPDYLLEAVVGHVCEGSFHMPNRSNTQLPTSSQPQTVESDYYGETQKGPKQSSIKVRRAKPGDNPKARPRDRQLIRDRLKELRELVPNGAKYSIDGLLDQTIKHMVYLRRVTNQVEKLQQWAHREVTSRKNIRSSETKENYQLETSWGFEIGDEPNVYPIVVEDLPYPGHLLIEMLCNEHGLFLEIAQVIRSFNLTILKGVMESYANNKWAYFIVEASRSFHRLDIFWPLMQLLQRQRNPISSKI >KJB12904 pep chromosome:Graimondii2_0_v6:2:3599274:3601544:1 gene:B456_002G043600 transcript:KJB12904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYHVIRDLVSLLVVGLLMIWGWRALNWVWLAPKRLERCLRQQGFAGNPYRFLSGDIKELSTMSRQTRAKPMPLSDDIGPYVAPFLHQTVNQYGKNSFTWIGPRQRVNIMDPEKIREIFTKFNDFQKVRTNPLLTLLVSGLVNLEGDRWSKHRKIINPSFHQDKLKNMLPAFYQSCCDMLSKWEKMVCTEGYSELDVWPYLVDLTRDVISRSAFGSSFEEGRRIFQLLDDQLVLRIKLLQTVYIPGWRFLPTKTNREVKMKHKDIKESLREMIKRREQAIKAGEESNEDLLDILVESNIREMEAKKKGMSIEDVIEECKLFYFAGQETTSVLLVWTMVLLARYPDWQSKAREEVLHVLGDSKPDADGLNRLKVLTMILYEVLRLYPSATELGRSVPKEIKLGNLLLPAGTEISVPILLIHHDKDLWGDDAREFKPERFAEGVSKATKSQVTFLPFGWGPRICIAQNFAMMEAKMAVAMILQRFWFELSPSYAHSPCSMVTLRPQHGAQIILHKLGSN >KJB12906 pep chromosome:Graimondii2_0_v6:2:3599274:3602753:1 gene:B456_002G043600 transcript:KJB12906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYHVIRDLVSLLVVGLLMIWGWRALNWVWLAPKRLERCLRQQGFAGNPYRFLSGDIKELSTMSRQTRAKPMPLSDDIGPYVAPFLHQTVNQYGKNSFTWIGPRQRVNIMDPEKIREIFTKFNDFQKVRTNPLLTLLVSGLVNLEGDRWSKHRKIINPSFHQDKLKNMLPAFYQSCCDMLSKWEKMVCTEGYSELDVWPYLVDLTRDVISRSAFGSSFEEGRRIFQLLDDQLVLRIKLLQTVYIPGWRFLPTKTNREVKMKHKDIKESLREMIKRREQAIKAGEESNEDLLDILVESNIREMEAKKKGMSIEDVIEECKLFYFAGQETTSVLLVWTMVLLARYPDWQSKAREEVLHVLGDSKPDADGLNRLKVLTMILYEVLRLYPSATELGRSVPKEIKLGNLLLPAGTEISVPILLIHHDKDLWGDDAREFKPERFAEGVSKATKSQVTFLPFGWGPRICIAQNFAMMEAKMAVAMILQRFWFELSPSYAHSPCSMVTNQLETVMYKGCYKVLNATIHLESVTYKGLLHYLKESIIHHLKENLKLFLNFLAAQE >KJB12905 pep chromosome:Graimondii2_0_v6:2:3599274:3601544:1 gene:B456_002G043600 transcript:KJB12905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYHVIRDLVSLLVVGLLMIWGWRALNWVWLAPKRLERCLRQQGFAGNPYRFLSGDIKELSTMSRQTRAKPMPLSDDIGPYVAPFLHQTVNQYGKNSFTWIGPRQRVNIMDPEKIREIFTKFNDFQKVRTNPLLTLLVSGLVNLEGDRWSKHRKIINPSFHQDKLKNMLPAFYQSCCDMLSKWEKMVCTEGYSELDVWPYLVDLTRDVISRSAFGSSFEEGRRIFQLLDDQLVLRIKLLQTVYIPGWRFLPTKTNREVKMKHKDIKESLREMIKRREQAIKAGEESNEDLLDILVESNIREMEAKKKGMSIEDVIEECKLFYFAGQETTSVLLVWTMVLLARYPDWQSKAREEVLHVLGDSKPDADGLNRLKVAVPISD >KJB16079 pep chromosome:Graimondii2_0_v6:2:55917241:55921239:1 gene:B456_002G211900 transcript:KJB16079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSIAFPFSTLVFLVNNHYSAMSVRRNSHQWPPPVFIFFLFIFVISSVKSDDVEILLKFKSSVERSDSNVFSSWTQGKSACNFSGVVCNSNGFVTEINLPQQQIVGYLPFDSICELQALEKIDVGNNSFHGKISEDLKKCSGLHYLDLGCNAFSGEVPDLSSLNGLKFLNLNNSGFSGIFPWKSLENLTELTFLSLGDNPFAATPFPMEVLKLEKLYWLYLTNCSITGQIPQGIQNLSLLQNLELSDNSLSGPIPAGIVKLSQLWQLELYNNSLSGKIPVGFGNLTNLKYFDASMNRLEGDLSELRSLKNLVSLQLLENQFSGEVPAEFGEFKHLEGLSLYRNKLTGQLPPKIGSWSDLNFIDVSENFFTGPIPPDMCKNGKMVDLLLLQNNFTGTIPEGYANCKSLVRLRVNNNSLSGTVPAGIWSLPNLLIIDLTMNRFEGPVAGDIGNAKSLAQLFLANNQFSATIGELKRLGSLYLNGNMLSGVIPDSLGSCGSLNDVNLAGNSLSGKIPESIGSLRNLNSLNLSENKLSGHIPTTLSPSILSLLDLSNNRLVGPIPKSLSIQAFKDCFKGNPGLCSSDLDDFQPCSSSPGTSSHLRTFLSCFIAGALVLLVSLGCYMFIKVRKSNLDHPLKQGSWNMKSYRMLSFTEKDIIDAIKSENLIGKGGSGNVYKVELEDGKELAVKHIWTSDSGNHKNYHSSTAMLTQRNSRNSGSLEYDAEVAALSAIRHVNVVKLYCSITSEDSNLLVYEYLPNGSLWDRLHSCHKMELNWKMRYAIAIGAAKGLEYLHHGCDRPVIHRDVKSSNILLDEEWKPRIADFGLAKIVQNGSGGDWTHVIAGTHGYMAPEYAYTCKINEKSDVYSFGVVLMELVTGKRPVEPEFGENKDIVYWIYTKLKTKETLVEAVDPKISMASKEDAIKVLRIAVHCTAKIPALRPSMRKVVQMLEEAEPCKLTDIIVHEKGEK >KJB16078 pep chromosome:Graimondii2_0_v6:2:55917241:55921224:1 gene:B456_002G211900 transcript:KJB16078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSIAFPFSTLVFLVNNHYSAMSVRRNSHQWPPPVFIFFLFIFVISSVKSDDVEILLKFKSSVERSDSNVFSSWTQGKSACNFSGVVCNSNGFVTEINLPQQQIVGYLPFDSICELQALEKIDVGNNSFHGKISEDLKKCSGLHYLDLGCNAFSGEVPDLSSLNGLKFLNLNNSGFSGIFPWKSLENLTELTFLSLGDNPFAATPFPMEVLKLEKLYWLYLTNCSITGQIPQGIQNLSLLQNLELSDNSLSGPIPAGIVKLSQLWQLELYNNSLSGKIPVGFGNLTNLKYFDASMNRLEGDLSELRSLKNLVSLQLLENQFSGEVPAEFGEFKHLEGLSLYRNKLTGQLPPKIGSWSDLNFIDVSENFFTGPIPPDMCKNGKMVDLLLLQNNFTGTIPEGYANCKSLVRLRVNNNSLSGTVPAGIWSLPNLLIIDLTMNRFEGPVAGDIGNAKSLAQLFLANNQFSGELPASISQATSLVSIQLTSNKFSGQIPATIGELKRLGSLYLNGNMLSGVIPDSLGSCGSLNDVNLAGNSLSGKIPESIGSLRNLNSLNLSENKLSGHIPTTLSPSILSLLDLSNNRLVGPIPKSLSIQAFKDCFKGNPGLCSSDLDDFQPCSSSPGTSSHLRTFLSCFIAGALVLLVSLGCYMFIKVRKSNLDHPLKQGSWNMKSYRMLSFTEKDIIDAIKSENLIGKGGSGNVYKVELEDGKELAVKHIWTSDSGNHKNYHSSTAMLTQRNSRNSGSLEYDAEVAALSAIRHVNVVKLYCSITSEDSNLLVYEYLPNGSLWDRLHSCHKMELNWKMRYAIAIGAAKGLEYLHHGCDRPVIHRDVKSSNILLDEEWKPRIADFGLAKIVQNGSGGDWTHVIAGTHGYMAPEYAYTCKINEKSDVYSFGVVLMELVTGKRPVEPEFGENKDIVYWIYTKLKTKETLVEAVDPKISMASKEDAIKVLRIAVHCTAKIPALRPSMRKVVQMLEEAEPCKLTDIIVHEKGEK >KJB16925 pep chromosome:Graimondii2_0_v6:2:61714902:61715436:1 gene:B456_002G254600 transcript:KJB16925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYICVMYCKKISKSPLLFLLFLSFLSRCLHDATVTKGSPHSLFSGLPTITWLLNPTTQRRLTTPHLPPKHRHPWWPVSQLLLRLQLRHQLGRPKMWRWRPKTTRKPKMLKLEVVLFDCLVYVMFKLSCIIENEACPSF >KJB12192 pep chromosome:Graimondii2_0_v6:2:316567:320689:-1 gene:B456_002G005000 transcript:KJB12192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKAPRRTLDSYTLRNINKTIKAGDCVLMRPAERSKPQYVARIERIEADARGGNVKVHVRWYYRPEESIGGRRQFHGSKEVFLSDHYDVQSADTIEAKCTVHSFKSYTKLDAVGNDDFFCRFEYNSATGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSD >KJB12187 pep chromosome:Graimondii2_0_v6:2:316567:320689:-1 gene:B456_002G005000 transcript:KJB12187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKAPRRTLDSYTLRNINKTIKAGDCVLMRPAERSKPQYVARIERIEADARGGNVKVHVRWYYRPEESIGGRRQFHGSKEVFLSDHYDVQSADTIEAKCTVHSFKSYTKLDAVGNDDFFCRFEYNSATGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSD >KJB12193 pep chromosome:Graimondii2_0_v6:2:318668:320625:-1 gene:B456_002G005000 transcript:KJB12193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKAPRRTLDSYTLRNINKTIKAGDCVLMRPAERSKPQYVARIERIEADARGGNVKVHVRWYYRPEESIGGRRQFHGSKEVFLSDHYDVQSADTIEAKCTVHSFKSYTKLDAVGNDDFFCRFEYNSATGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDW >KJB12186 pep chromosome:Graimondii2_0_v6:2:316567:320706:-1 gene:B456_002G005000 transcript:KJB12186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKAPRRTLDSYTLRNINKTIKAGDCVLMRPAERSKPQYVARIERIEADARGGNVKVHVRWYYRPEESIGGRRQFHGSKEVFLSDHYDVQSADTIEAKCTVHSFKSYTKLDAVGNDDFFCRFEYNSATGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWFHPACIEMTAEEVKLLDHYFCETCLSDDPKKLQNSLASSVDLDTKTCSDYMSKFKFRILYRGKDLTSEEREEKYKVIFFK >KJB12190 pep chromosome:Graimondii2_0_v6:2:316666:320436:-1 gene:B456_002G005000 transcript:KJB12190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAERSKPQYVARIERIEADARGGNVKVHVRWYYRPEESIGGRRQFHGSKEVFLSDHYDVQSADTIEAKCTVHSFKSYTKLDAVGNDDFFCRFEYNSATGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWFHPACIEMTAEEVKLLDHYFCETCLSDDPKKLQNSLASSVDLDTKVDAKKRRRR >KJB12191 pep chromosome:Graimondii2_0_v6:2:316706:320625:-1 gene:B456_002G005000 transcript:KJB12191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKAPRRTLDSYTLRNINKTIKAGDCVLMRPAERSKPQYVARIERIEADARGGNVKVHVRWYYRPEESIGGRRQFHGSKEVFLSDHYDVQSADTIEAKCTVHSFKSYTKLDAVGNDDFFCRFEYNSATGAFNPDRVALLQM >KJB12189 pep chromosome:Graimondii2_0_v6:2:318349:320580:-1 gene:B456_002G005000 transcript:KJB12189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKAPRRTLDSYTLRNINKTIKAGDCVLMRPAERSKPQYVARIERIEADARGGNVKVHVRWYYRPEESIGGRRQFHGSKEVFLSDHYDVQSADTIEAKCTVHSFKSYTKLDAVGNDDFFCRFEYNSATGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDCMWECTFDSGFTLLV >KJB12188 pep chromosome:Graimondii2_0_v6:2:316568:320706:-1 gene:B456_002G005000 transcript:KJB12188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKAPRRTLDSYTLRNINKTIKAGDCVLMRPAERSKPQYVARIERIEADARGGNVKVHVRWYYRPEESIGGRRQFHGSKEVFLSDHYDVQSADTIEAKCTVHSFKSYTKLDAVGNDDFFCRFEYNSATGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWFHPACIEMTAEEVKLLDHYFCETCLSDDPKKLQNSLASSVDLDTKVDAKKRRRR >KJB13891 pep chromosome:Graimondii2_0_v6:2:12746942:12755536:1 gene:B456_002G100500 transcript:KJB13891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEKVLVQIFERKNRIIDHVKQQILFFDHQLASKCLIDGFVPPPWLLSSSTSKLNKEDLISGLLLPQSQASIPYCSLYQQPVVTTDNVQLPHILCSRIDASNEGLDQCLPGKVDELDPSVTSPPQDCRDGMMSDVCPDHCSSLARIQRSKSRQRALEHRNGAKACKNNESCEKKGNSSNNQNKGSEVASLQSDVVDKLELIRSSDIVVTHEVEKVERGQCRSKERSENVYSGRVTRSRSSIHPSMSESGPSGTGNDSFVAKQDNVVSSEVEKGERVECRSKERTEKVYSGRVTRSRGSAQPSKSINGLSGAGNTSSVAKQDGVVLTDSISESRQQLGVFQELLKSKFVGPVHAVSLGVKTEEEGQLQSKARGEDIYSGRLTRSRSSVQHPKSDYSPPGAGKSFSVAKQDGTVLTESINKSGLQHDAADKLLQFVKPVDVVTCIVEKEERGQCQGKERGKSIHSVSIKRVRHSAQPPKSLNGFSCAGKISDVAKCDGQHNVVDELLESVKPSAISAGSCGSKEANDPQSSEKNVCQGRLKRSRSHSQQQNCENKHLKLDSNPDSSTDDGICKSMQVACLTHDLKELIKPCDITDESCGIKAKASDNHTKLSTVVDQYNDGSTRSRANRSANMFKHVDSNALESAVKQSTSKASNKPPYAKSSGRSKGIEFKDLSGTQVNPLPCANDSGLAELNQCDTIVADTEADSDELVEAHSASSASNLNGVNDPLLAKTLNMHDRVDLERTSPHSESAMMVLPKQLDFDDLGESTLNEASSLISEREEVINSLEKRFLTRLPCADKLDEVTSDLYQEKYNSSQEKLLNQEAIREKEKESETDLNKTSGLGRTSNLTVVSLVRETPEASTDAVRSILPESNEISEQKPLMEDLSTTFKVSNENLFGNSLKDAAGSSLNVDTGMEYLFKDYGKLEEENSVMSTQKASNLNTDLCGCPAILADTDFTRVCSPALLRKASATSSDASEHPCAALLEETTGHSLKQKMEPSLAQYQDADSMGRCIADDIDSVLDRKHAKSSENKVATQSIQPGRHFGTDMEGSWSYKRRKIEGQQSNSLSLSSSSKGEDIMLLNADTFLADEEDQNAVKCNWKEKGGNESPPSNFMHKKIDATSISSLPQETLESIEDHSVEGTRAVDPSSTMFSSTRKCTADENKVLLNVGYKSEFGNIEHFTCDGRSKQESKSQLGEDGVSSPCRQPTDLTMSEQSRPEVEGFIIQTDSEQVFIDGEGISFHSLDLPKTTIECAGLLEQLCKSACVHTPLSQLPTTYRWQRTTDLYQSVPNGLLECMNLNSTLLNNDALKGQLKVSTSCFGEDINHAFLGGSFSDCLPFSSSRVTGDGKKPYLSPIGKLWDKITLNSGSSEKRGSLNPDLPCISEENENMDEAVDTFEEDAAFEVEACSGKREALAEIKECPNVPAAVSESEQFTVRDSLDSVNTTYSFSRTENGIKQKVGKHNASKRRDTSKLKQNRSLLPGANGTKRASESLRNRFSKPQLSEKTSLRKGGPSFSQKELKVNNIVSNVTSFIPIIQQKQAASVTTGKRDVKVKALEAAEAAKKLAEKKENDRKMKKEALKLERARLEQENLRQLELEKKKKEEERKKKEADMAAKKRQREEEERLAKERKRKHMDETRRQQRAPEEKLRSKKDENEEKRQALVGRAQTTKGPSDEAAKYKKVQKEIAGGNEGKKSEMEFSTAVASTSVKACTAIEDNNTKVMSTMDRGRGNNSLIADTSQEQSYDISPYKVSDDEDEDDDEPNNKFVPSWASKNRVALVVASQQRLDPEVIFPPGSFCSISEVLLPRKLQQYRVS >KJB13890 pep chromosome:Graimondii2_0_v6:2:12746942:12755536:1 gene:B456_002G100500 transcript:KJB13890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEKVLVQIFERKNRIIDHVKQQILFFDHQLASKCLIDGFVPPPWLLSSSTSKLNKEDLISGLLLPQSQASIPYCSLYQQPVVTTDNVQLPHILCSRIDASNEGLDQCLPGKVDELDPSVTSPPQDCRDGMMSDVCPDHCSSLARIQRSKSRQRALEHRNGAKACKNNESCEKKGNSSNNQNKGSEVASLQSDVVDKLELIRSSDIVVTHEVEKVERGQCRSKERSENVYSGRVTRSRSSIHPSMSESGPSGTGNDSFVAKQDNVVSSEVEKGERVECRSKERTEKVYSGRVTRSRGSAQPSKSINGLSGAGNTSSVAKQDGVVLTDSISESRQQLGVFQELLKSKFVGPVHAVSLGVKTEEEGQLQSKARGEDIYSGRLTRSRSSVQHPKSDYSPPGAGKSFSVAKQDGTVLTESINKSGLQHDAADKLLQFVKPVDVVTCIVEKEERGQCQGKERGKSIHSVSIKRVRHSAQPPKSLNGFSCAGKISDVAKCDGQHNVVDELLESVKPSAISAGSCGSKEANDPQSSEKNVCQGRLKRSRSHSQQQNCENKHLKLDSNPDSSTDDGICKSMQVACLTHDLKELIKPCDITDESCGIKAKASDNHTKLSTVVDQYNDGSTRSRANRSANMFKHVDSNALESAVKQSTSKASNKPPYAKSSGRSKGIEFKDLSGTQVNPLPCANDSGLAELNQCDTIVADTEADSDELVEAHSASSASNLNGVNDPLLAKTLNMHDRVDLERTSPHSESAMMVLPKQLDFDDLGESTLNEASSLISEREEVINSLEKRFLTRLPCADKLDEVTSDLYQEKYNSSQEKLLNQEAIREKEKESETDLNKTSGLGRTSNLTVVSLVRETPEASTDAVRSILPESNEISEQKPLMEDLSTTFKVSNENLFGNSLKDAAGSSLNVDTGMEYLFKDYGKLEEENSVMSTQKASNLNTDLCGCPAILADTDFTRVCSPALLRKASATSSDASEHPCAALLEETTGHSLKQKMEPSLAQYQDADSMGRCIADDIDSVLDRKHAKSSENKVATQSIQPGRHFGTDMEGSWSYKRRKIEGQQSNSLSLSSSSKGEDIMLLNADTFLADEEDQNAVKCNWKEKGGNESPPSNFMHKKIDATSISSLPQETLESIEDHSVEGTRAVDPSSTMFSSTRKCTADENKVLLNVGYKSEFGNIEHFTCDGRSKQESKSQLGEDGVSSPCRQPTDLTMSEQSRPEVEGFIIQTDSEQVFIDGEGISFHSLDLPKTTIECAGLLEQLCKSACVHTPLSQLPTTYRWQRTTDLYQSVPNGLLECMNLNSTLLNNDALKGQLKVSTSCFGEDINHAFLGGSFSDCLPFSSSRVTGDGKKPYLSPIGKLWDKITLNSGSSEKRGSLNPDLPCISEENENMDEAVDTFEEDAAFEVEACSGKREALAEIKECPNVPAAVSESEQFTVRDSLDSVNTTYSFSRTENGIKQKVGKHNASKRRDTSKLKQNRSLLPGANGTKRASESLRNRFSKPQLSEKTSLRKGGPSFSQKELKVNNIVSNVTSFIPIIQQKQAASVTTGKRDVKVKALEAAEAAKKLAEKKENDRKMKKEALKLERARLEQENLRQLELEKKKKEEERKKKEADMAAKKRQREEEERLAKERKRKHMDETRRQQRAPEEKLRSKKDENEEKRQALVGRAQTTKGPSDEAAKYKKVQKEIAGGNEGKKSEMEFSTAVASTSVKACTAIEDNNTKVMSTMDRGRGNNSLIADTSQEQSYDISPYKVSDDEDEDDDEPNNKFVPSWASKNRVALVVASQQRLDPEVIFPPGSFCSISEVLLPRKLQQYRVS >KJB12532 pep chromosome:Graimondii2_0_v6:2:1649645:1654772:-1 gene:B456_002G023200 transcript:KJB12532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFSSMHSPSYSHSFICSSSINEKKNQRFVFSSFPRFSVPANAKVFLQCQANSHQNAVLMQDGAVATKVSPVEKETPIEKLKSELLSVTSSNEWDEKAGFDINENESTVSITVVGASGDLAKKKIFPALFALYYEGCLPKHFTVYGYARSKMTDAELRNMVSKTLTCRIDKRENCGEKMEEFLKRCFYHSGQYDSEENFAQLDKKLKEHEGGKVSNRLFYLSIPPNIFIDAVKCASSSASSGNGWTRVIVEKPFGRDSDSSAALTKALKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLEDVVIGQYKSHSKGGVTYPAYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKRAEIRVQFRHVPGNLYNRNFGTDLDQATNELVIRVQPDEAIYLKINNKVPGLGMRLDCSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPVLAELEEQKIMPEYYPYGSRGPVGAHYLAARYNVRWGDLGLDQSA >KJB12535 pep chromosome:Graimondii2_0_v6:2:1650374:1654466:-1 gene:B456_002G023200 transcript:KJB12535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFSSMHSPSYSHSFICSSSINEKKNQRFVFSSFPRFSVPANAKVFLQCQANSHQNAVLMQDGAVATKVSPVEKETPIEKLKSELLSVTSSNEWDEKAGFDINENESTVSITVVGASGDLAKKKIFPALFALYYEGCLPKHFTVYGYARSKMTDAELRNMVSKTLTCRIDKRENCGEKMEEFLKRCFYHSGQYDSEENFAQLDKKLKEHEGGKVSNRLFYLSIPPNIFIDAVKCASSSASSGNGWTRVIVEKPFGRDSDSSAALTKALKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLEDVVIGQYKSHSKGGVTYPAYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKRAEIRVQFRHVPGNLYNRNFGTDLDQATNELVIRVQPDEAIYLKINNKVPGLGMRLDCSNLNLHYAARYRN >KJB12534 pep chromosome:Graimondii2_0_v6:2:1650528:1654466:-1 gene:B456_002G023200 transcript:KJB12534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFSSMHSPSYSHSFICSSSINEKKNQRFVFSSFPRFSVPANAKVFLQCQANSHQNAVLMQDGAVATKVSPVEKETPIEKLKSELLSVTSSNEWDEKAGFDINENESTVSITVVGASGDLAKKKIFPALFALYYEGCLPKHFTVYGYARSKMTDAELRNMVSKTLTCRIDKRENCGEKMEEFLKRCFYHSGQYDSEENFAQLDKKLKEHEGGKVSNRLFYLSIPPNIFIDAVKCASSSASSGNGWTRVIVEKPFGRDSDSSAALTKALKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLEDVVIGQYKSHSKGGVTYPAYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKRAEIRVQFRHVPGNLYNRNFGTDLDQATNELVIRVQPDEAIYLKINNKVPGLGMRLDCSNLNLHYAAR >KJB12533 pep chromosome:Graimondii2_0_v6:2:1649828:1654692:-1 gene:B456_002G023200 transcript:KJB12533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFSSMHSPSYSHSFICSSSINEKKNQRFVFSSFPRFSVPANAKVFLQCQANSHQNAVLMQDVATKVSPVEKETPIEKLKSELLSVTSSNEWDEKAGFDINENESTVSITVVGASGDLAKKKIFPALFALYYEGCLPKHFTVYGYARSKMTDAELRNMVSKTLTCRIDKRENCGEKMEEFLKRCFYHSGQYDSEENFAQLDKKLKEHEGGKVSNRLFYLSIPPNIFIDAVKCASSSASSGNGWTRVIVEKPFGRDSDSSAALTKALKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLEDVVIGQYKSHSKGGVTYPAYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKRAEIRVQFRHVPGNLYNRNFGTDLDQATNELVIRVQPDEAIYLKINNKVPGLGMRLDCSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPVLAELEEQKIMPEYYPYGSRGPVGAHYLAARYNVRWGDLGLDQSA >KJB12588 pep chromosome:Graimondii2_0_v6:2:1865956:1870134:1 gene:B456_002G025500 transcript:KJB12588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSTVSASVPPFLCCNTTKIPSFKVPRHTPLRLCNAKRTQIWVKRSCFKSTITAKVAQQSDLQYRKLGDSDLQISEITLGTMTFGEQNTEKEGHEMLSYAFEHGINALDTAEHYPFPMKKETSGRTDLYIASWLKSQPRDKVILATKVCGYSERSAHLRDNAKVLRVDAVNIRESVEKSLKRLNTDYIDLLQIHWPDRYVPLFGEYFYDSSKWRPSIPFVEQLKALQEVIDEGKVRYIGVSNETSYGVMEFVHAARVEGLSKIVSIQNSYSLLVRRFEVDLVEVCHPKNCNIGLLSYSPLAGGALSGKYLDINSEAAKKGRFNLFPGYMERYNKSIAKEATVQYIEMAKKHGLSPVQLALGFVRDRPFMTSLIIGATSVEQLKEDIDAFLTTQRPLPPEVMEDIEAIFKRYKDPANL >KJB13403 pep chromosome:Graimondii2_0_v6:2:8504961:8506413:1 gene:B456_002G072700 transcript:KJB13403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIFHKAFANPPEELNSPASHKSTKKPKQPEETLNDFLSHHPHNTFSMSFGNAAVLAYVRHERAASVHQRLFCGFDDIYCLFLGSLNNLFMLNRQYGLSKGSNEAMFVIEAYRTLRDRGPYPADQVVKDLEGSFAFVIYDSKTGTVFAALGSDGGVKLYWGIAADGSVVISDDLDVIKEGCAKSFAPFPTGFMFHSEGGLMSFEHPMNKIRAMPRVDSEGVICGANFKVDVYTRVNSIPRVGSATNWTDHWDSH >KJB13404 pep chromosome:Graimondii2_0_v6:2:8505066:8506287:1 gene:B456_002G072700 transcript:KJB13404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMNVLLQFTRGKVLYGKLIAGIIMKEKISIVYSSVCRLFCGFDDIYCLFLGSLNNLFMLNRQYGLSKGSNEAMFVIEAYRTLRDRGPYPADQVVKDLEGSFAFVIYDSKTGTVFAALGSDGGVKLYWGIAADGSVVISDDLDVIKEGCAKSFAPFPTGFMFHSEGGLMSFEHPMNKIRAMPRVDSEGVICGANFKVDVYTRVNSIPRVGSATNWTDHWDSH >KJB13045 pep chromosome:Graimondii2_0_v6:2:4735503:4737609:1 gene:B456_002G053500 transcript:KJB13045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSFSFAFLLLFFLCTFAAAEPCDNHRFRGGKTFASCIDLPSLNCSLHWNFHSLTQTVDVALRQNSVDQKTRWMSWAINPHSKGMVGSQALVAFQKDDGTMVTYTSPITSYATQLQKGDLSFPVNGVSSILEGNEMIMFATLALPANTTTVNHLWQEGPLVGNVPRMHPCKLVVDKTSSRNQWKVVHGILCTLGWGFLMPVGASIARHGKQWPGTAWFRAHVFSQCSAFLIGLAGGIIGVLLWLGALGARIGGGIHQYIGITLLGLGAIQGIVGYCRPHKEDKKRVYFNIFHCSLGYGTIGLSIANVFLGFHMVHLMIKTWPQVTYIAAISLLGTISLILEGVGCWRRTTKGKLIALLTMMQEDRDGHIIA >KJB15885 pep chromosome:Graimondii2_0_v6:2:54373779:54375415:-1 gene:B456_002G202200 transcript:KJB15885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NIGKFLPWISMAKGLAFVALSLIIGVLQSANAEVPAIFILGDSTVDVGTNNYLPDSNITANFPHNGVDFPFQRATGRFSNGLNTADFLAKFFGFKRSPVSFLSLNGTLAIKKRRFRGVNFASAGSGLLDITGQTSTQKANVVPMGEQVYQFSMVYNDLVASKGTSEAQNFLSKSLIFISVGSNDIVGNHYSKNPIPKEQFIPNLAVVYEKHLRNLISVGARKFGIISVPPVGCCPSQRVSANGECSKELNDQAEAFFSTMDTLMRNLSYENKDIKYSLGNTFNITKPVIDNPQNSSFTDVKNACCGNGTLHCTPLASLCSNRHKYLFWDLFHPTQAASRLAASILFVGDSRFATPVNFSQLAEA >KJB16695 pep chromosome:Graimondii2_0_v6:2:60784282:60789335:1 gene:B456_002G243700 transcript:KJB16695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSIEVISGCLKAGMSVARFDFSWHDPAYHQETLENLKAAVKLTKKLCAVMLDTVGAEMQVVNKSEKAISLEADAIVVLTPDEGQEASSELLPINFDGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHGSQIRIGLPTLTDKDKEVISTWGVQNKIDFLSLSYTRHAEDVRQAREFLSKLGDLYQTQIFAKIENIEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNQDMYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPSLQAHQMSRF >KJB16697 pep chromosome:Graimondii2_0_v6:2:60784282:60789349:1 gene:B456_002G243700 transcript:KJB16697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSIEVISGCLKAGMSVARFDFSWHDPAYHQETLENLKAAVKLTKKLCAVMLDTVGAEMQVVNKSEKAISLEADAIVVLTPDEGQEASSELLPINFDGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHGSQIRIGLPTLTDKDKEVISTWGVQNKIDFLSLSYTRHAEDVRQLGDLYQTQIFAKIENIEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNQDMYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSASNESVLKVALDHGKAAGVIKSHDRVVVCQKVGDASVVKIIELED >KJB16691 pep chromosome:Graimondii2_0_v6:2:60784269:60789349:1 gene:B456_002G243700 transcript:KJB16691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSIEVISGCLKAGMSVARFDFSWHDPAYHQETLENLKAAVKLTKKLCAVMLDTVGAEMQVVNKSEKAISLEADAIVVLTPDEGQEASSELLPINFDGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHGSQIRIGLPTLTDKDKEVISTWGVQNKIDFLSLSYTRHAEDVRQAREFLSKLGDLYQTQIFAKIENIEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNQDMYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSASNESVLKVALDHGKAAGVIKSHDRVVVCQKVGDASVVKIIELED >KJB16690 pep chromosome:Graimondii2_0_v6:2:60784258:60789349:1 gene:B456_002G243700 transcript:KJB16690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVDRHQVSKNAFDSSVTRRANQDGFNPGAIEGCNFFPAMTKIVGTLGPKSRSIEVISGCLKAGMSVARFDFSWHDPAYHQETLENLKAAVKLTKKLCAVMLDTVGAEMQVVNKSEKAISLEADAIVVLTPDEGQEASSELLPINFDGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHGSQIRIGLPTLTDKDKEVISTWGVQNKIDFLSLSYTRHAEDVRQAREFLSKLGDLYQTQIFAKIENIEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNQDMYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSASNESVLKVALDHGKAAGVIKSHDRVVVCQKVGDASVVKIIELED >KJB16692 pep chromosome:Graimondii2_0_v6:2:60784282:60789335:1 gene:B456_002G243700 transcript:KJB16692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSIEVISGCLKAGMSVARFDFSWHDPAYHQETLENLKAAVKLTKKLCAVMLDTVGAEMQVVNKSEKAISLEADAIVVLTPDEGQEASSELLPINFDGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHGSQIRIGLPTLTDKDKEVISTWGVQNKIDFLSLSYTRHAEDVRQAREFLSKLGDLYQTQIFAKIENIEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNQDMYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPVKSTSASNESVLKVALDHGKAAGVIKSHDRVVVCQKVGDASVVKIIELED >KJB16694 pep chromosome:Graimondii2_0_v6:2:60784282:60789335:1 gene:B456_002G243700 transcript:KJB16694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSIEVISGCLKAGMSVARFDFSWHDPAYHQETLENLKAAVKLTKKLCAVMLDTVGAEMQVVNKSEKAISLEADAIVVLTPDEGQEASSELLPINFDGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHGSQIRIGLPTLTDKDKEVISTWGVQNKIDFLSLSYTRHAEDVRQAREFLSKLGDLYQTQIFAKIENIEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNQDMYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEAIPYCQRSFPYAR >KJB16689 pep chromosome:Graimondii2_0_v6:2:60784221:60787614:1 gene:B456_002G243700 transcript:KJB16689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSIEVISGCLKAGMSVARFDFSWHDPAYHQETLENLKAAVKLTKKLCAVMLDTVGAEMQVVNKSEKAISLEADAIVVLTPDEGQEASSELLPINFDGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHGSQIRIGLPTLTDKDKEVISTWGVQNKIDFLSLSYTRHAEDVRQAREFLSKLGDLYQTQIFAKIENIEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEVISLSHKCAYLNIFGDCGLLFGLLVDLKCSQPSMLLTHKTCSYRHCRHYSYIFDFLVVVCDLCSCLYLIHKG >KJB16693 pep chromosome:Graimondii2_0_v6:2:60784455:60788195:1 gene:B456_002G243700 transcript:KJB16693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSIEVISGCLKAGMSVARFDFSWHDPAYHQETLENLKAAVKLTKKLCAVMLDTVGAEMQVVNKSEKAISLEADAIVVLTPDEGQEASSELLPINFDGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHGSQIRIGLPTLTDKDKEVISTWGVQNKIDFLSLSYTRHAEDVRQAREFLSKLGDLYQTQIFAKIENIEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNQDMYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARYSIAHLDLF >KJB16696 pep chromosome:Graimondii2_0_v6:2:60784282:60789335:1 gene:B456_002G243700 transcript:KJB16696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSIEVISGCLKAGMSVARFDFSWHDPAYHQETLENLKAAVKLTKKLCAVMLDTVGAEMQVVNKSEKAISLEADAIVVLTPDEGQEASSELLPINFDGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHGSQIRIGLPTLTDKDKEVISTWGVQNKIDFLSLSYTRHAEDVRQAREFLSKLGDLYQTQIFAKIENIEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNQDMYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEVCHSPCIQEVRVTT >KJB12768 pep chromosome:Graimondii2_0_v6:2:2800242:2801634:1 gene:B456_002G035900 transcript:KJB12768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASVTAPLRPSLSTGHRLESRIECSSRCGSVRIPTWIRPRELGLQSQPSVGRSRKQSSSIACTATALNATCSASGQTQTVTREAPTITQAHVHYDGDSGFPPRDDDGGGGGGGNWSGGFFLFGFLVFLGFLKDKESEEDYRDSRRR >KJB14243 pep chromosome:Graimondii2_0_v6:2:16427588:16441330:1 gene:B456_002G115700 transcript:KJB14243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSRRRTGFLLSIALFQVLLIVQVAVAQTSNDERRQRHSEGYCAMYDICGERSDGKVVNCPYGSPAVKPDELLSSKIQSLCPTITGNVCCTKAQFDTLRSQVQQAIPFLVGCPACLRNFLNLFCELTCSPNQSLFINVTSISKIKNNSTVDGIDFFITDDFGEGLYESCKDVKFGTMNTRALEFIGAGAKNFKEWFAFIGRRAPNNMPGSPYAIQFLPTVPESSGMKPMNVSTYSCRDVSLGCSCGDCPSSPVCSNTAISTSNKAATCSVQIGSLKAKCVDLALAILYIVLVSMFFGWGVFHRTRKRSRSFRMKPFTDAAQGGESHSLSRQKADNLPMQRLEDANQSSTGVQLSVVQGYMSDFYRKYGLWVARHPTLVLSLSVGMVLVLCLGLVRFKVETRPEKLWVGPGSKAAEEKRFFDSNLAPFYRIEQLILATIPDALNGKSPSIVTEENIKLLFEIQKKIDGIRANYSGSMISLTDICMKPMGEDCATQSVIQYFKMDPSYDADDRLEHVNYCFQHYTSAESCMSAFKAPVDPSTVLGGFSGSNYTEASAFIVTYPVNNALDKEGNETQKAVAWEKAFVQLAKDELLPMVQSRNLTFSFSSESSIEEELKRESTADAITILISYLVMFAYISLTLGDTPRLSSFYISSKVLLGLSGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHSVKRQPLDLPLEGRISNALVEVGPSITLASLSEVFVFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRAEGRRVDCFPCIKASSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVAVFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSEYLRIGPPLYFVVKNYNYSSESIDTNKLCSISQCNSDSLLNEIARASLTPESSYIAKPAASWLDDFLVWLSPEAFGCCRKFTNGSYCPPDDQPPCCSPNDTACGLSEVCKDCTTCFRHSDLHNDRPSTAQFREKLPWFLDALPSADCSKGGHGAYTSSVEVTGYESGIIKASSFRTYHTPLNKQIDYVNSMRAARKFASRVSDSLKMEIFPYSVFYMFFEQYLDIWKTALINLAIAIGAVFIVCLVITCSLWTSAIILLVLAMILVDLMGVMAILGIQLNAVSVVNLVMSVGIAVEFCVHITHAFSVSSGNRNERVKEALGTMGASVFSGITLTKLVGVLVLCFSKTEVFVVYYFQMYLALVLLGFLHGLVFLPVVLSMVGPPSRCIRVEKQDERPSVSSQP >KJB14244 pep chromosome:Graimondii2_0_v6:2:16427690:16439516:1 gene:B456_002G115700 transcript:KJB14244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSRRRTGFLLSIALFQVLLIVQVAVAQTSNDERRQRHSEGYCAMYDICGERSDGKVVNCPYGSPAVKPDELLSSKIQSLCPTITGNVCCTKAQFDTLRSQVQQAIPFLVGCPACLRNFLNLFCELTCSPNQSLFINVTSISKIKNNSTVDGIDFFITDDFGEGLYESCKDVKFGTMNTRALEFIGAGAKNFKEWFAFIGRRAPNNMPGSPYAIQFLPTVPESSGMKPMNVSTYSCRDVSLGCSCGDCPSSPVCSNTAISTSNKAATCSVQIGSLKAKCVDLALAILYIVLVSMFFGWGVFHRTRKRSRSFRMKPFTDAAQGGESHSLSRQKADNLPMQRLEDANQSSTGVQLSVVQGYMSDFYRKYGLWVARHPTLVLSLSVGMVLVLCLGLVRFKVETRPEKLWVGPGSKAAEEKRFFDSNLAPFYRIEQLILATIPDALNGKSPSIVTEENIKLLFEIQKKIDGIRANYSGSMISLTDICMKPMGEDCATQSVIQYFKMDPSYDADDRLEHVNYCFQHYTSAESCMSAFKAPVDPSTVLGGFSGSNYTEASAFIVTYPVNNALDKEGNETQKAVAWEKAFVQLAKDELLPMVQSRNLTFSFSSESSIEEELKRESTADAITILISYLVMFAYISLTLGDTPRLSSFYISSKVLLGLSGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHSVKRQPLDLPLEGRISNALVEVGPSITLASLSEVFVFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRAEGRRVDCFPCIKASSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVAVFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSEYLRIGPPLYFVVKNYNYSSESIDTNKLCSISQCNSDSLLNEIARASLTPESSYIAKPAASWLDDFLVWLSPEAFGCCRKFTNGSYCPPDDQPPCCSPNDTACGLSEVCKDCTTCFRHSDLHNDRPSTAQFREKLPWFLDALPSADCSKGGHGAYTSSVEVTGYESGIIKASSFRTYHTPLNKQIDYVNSMRAARKFASRVSDSLKMEIFPYSVFYMFFEQYLDIWKTALINLAIAIG >KJB15622 pep chromosome:Graimondii2_0_v6:2:49749958:49752260:1 gene:B456_002G186600 transcript:KJB15622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVLGNLALLLDVTSARTVTTDRKSRPLAIDVVLNLQKRDPHFSHVSLPNKSQLDYWDGENRAPRAVARGKANSKVKAVDFDAASSDDDENGNGFEEEEEEYDWENEMRKRVKEIEEMRELEKKAEELQSKAEAEETEGEDEGADREETEEEKRMRVRKELEKVAKEQAERRATAQLMFELGQKAYGKGMYGRAIEFLEGALTIIPRPTLFGGEIQIWLAMAYEANNRHADCIALYQQLEKKHPSVSIRRQAAELRYILQAPKLKISQEEMVTIPLIGSSYDSYAATWTDKYKDKDQKTSGSTTNQLPSSRDFLADFLVWRPPVGLEKNRAFWVALTLWFGLVGAAVLLQR >KJB15620 pep chromosome:Graimondii2_0_v6:2:49750201:49751415:1 gene:B456_002G186600 transcript:KJB15620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVLGNLALLLDVTSARTVTTDRKSRPLAIDVVLNLQKRDPHFSHVSLPNKSQLDYWDGENRAPRAVARGKANSKVKAVDFDAASSDDDENGNGFEEEEEEYDWENEMRKRVKEIEEMRELEKKAEELQSKAEAEETEGEDEGADREETEEEKRMRVRKELEKVAKEQAERRATAQLMFELGQKAYGKGMYGRAIEFLEGALTIIPRPTLFGGEIQIWLAMAYEANNRHADCIALYQQLEKKHPSVSIRRQAAELRYILQAPKLKISQEEMVTIPLIGSSYDRYMLLAFWIYIK >KJB15621 pep chromosome:Graimondii2_0_v6:2:49749958:49752243:1 gene:B456_002G186600 transcript:KJB15621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVLGNLALLLDVTSARTVTTDRKSRPLAIDVVLNLQKRDPHFSHVSLPNKSQLDYWDGENRAPRAVARGKANSKVKAVDFDAASSDDDENGNGFEEEEEEYDWENEMRKRVKEIEEMRELEKKAEELQSKAEAEETEGEDEGADREETEEEKRMRVRKELEKIQIWLAMAYEANNRHADCIALYQQLEKKHPSVSIRRQAAELRYILQAPKLKISQEEMVTIPLIGSSYDSYAATWTDKYKDKDQKTSGSTTNQLPSSRDFLADFLVWRPPVGLEKNRAFWVALTLWFGLVGAAVLLQR >KJB15932 pep chromosome:Graimondii2_0_v6:2:54794626:54799334:-1 gene:B456_002G205100 transcript:KJB15932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCQSSCLTETHPENDQSRQQQQEHRNHSQAVSGAGPDPAVANGVPSFSEFSLADLKAATNNFSSDNIVSESGEKAPNLVYKGRLQNRKWIAVKKFTKMAWPDSKQFVEEACGVGKLRHRRLANLIGYCCDGDERLLVAEYMINDTLAKHLFHWENQTIEWAMRLRVATCIAEALDYCSSEGHPLYHDLNAYRVLFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLVDLLSGKHIPPSHALDMIRGKNIVLLMDSHLEGKFSMEEATVVVGLASQCLQYEPRERPSMKDLVATLAPLHTKPDVPSYVMLGISKYEEAPPTPQRPLSPMGEACSRLDLTAIHQILVMNHYKDDEGTNELSFQEWTQQMRDMLEARKRGDYAFRDKDFKTAIDCYSQFIDVGTMVSPTVFARRSLCYLFCDQPDAALADAMQAQIVNPEWPTAFYMQSVALAKLDMHKDAADMLNEAAGLEEKKQRVVKGS >KJB15933 pep chromosome:Graimondii2_0_v6:2:54794685:54797167:-1 gene:B456_002G205100 transcript:KJB15933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVGRVTPESVIYSFGTVLVDLLSGKHIPPSHALDMIRGKNIVLLMDSHLEGKFSMEEATVVVGLASQCLQYEPRERPSMKDLVATLAPLHTKPDVPSYVMLGISKYEEAPPTPQRPLSPMGEACSRLDLTAIHQILVMNHYKDDEGTNELSFQEWTQQMRDMLEARKRGDYAFRDKDFKTAIDCYSQFIDVGTMVSPTVFARRSLCYLFCDQPDAALADAMQAQIVNPEWPTAFYMQSVALAKLDMHKDAADMLNEAAGLEEKKQRVVKGS >KJB15934 pep chromosome:Graimondii2_0_v6:2:54794685:54799305:-1 gene:B456_002G205100 transcript:KJB15934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLSICFIVRENQTIEWAMRLRVATCIAEALDYCSSEGHPLYHDLNAYRVLFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLVDLLSGKHIPPSHALDMIRGKNIVLLMDSHLEGKFSMEEATVVVGLASQCLQYEPRERPSMKDLVATLAPLHTKPDVPSYVMLGISKYEEAPPTPQRPLSPMGEACSRLDLTAIHQILVMNHYKDDEGTNELSFQEWTQQMRDMLEARKRGDYAFRDKDFKTAIDCYSQFIDVGTMVSPTVFARRSLCYLFCDQPDAALADAMQAQIVNPEWPTAFYMQSVALAKLDMHKDAADMLNEAAGLEEKKQRVVKGS >KJB16386 pep chromosome:Graimondii2_0_v6:2:58674093:58678033:-1 gene:B456_002G228000 transcript:KJB16386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G41290) UniProtKB/Swiss-Prot;Acc:Q9SLG8] MASKPFIALATTVLFFSLIAVNHFGKPFHGKLGEMEIVPITDTVGPESWQPNEHRWISFGITSPNREGCEGTDDHETREHICGRPLGICFHESTGDLYIADAYMGLLKLGPAGGLATPIPTHAQPQPIPSTFTNSLDINQSDGSIYFTHTSSLYHRRNHIAVVLSGDKTGMLLKYEQESKQITVLLTNLSFPNGVALSKDGSFLVFAETTKCRILRYWLSTPKAGNLETLAQLPGFPDNIKRSPRGGFWVAIHSRRCKVLSWILSYSKLGNALIWVLPVDVITKAYSVMSKYLGSGLALRISEEGVVLETLELESGNKMSSISEVQEKDDHLWMGSINMPFLGVYRL >KJB16387 pep chromosome:Graimondii2_0_v6:2:58674093:58678059:-1 gene:B456_002G228000 transcript:KJB16387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G41290) UniProtKB/Swiss-Prot;Acc:Q9SLG8] MASKPFIALATTVLFFSLIAVNHFGKPFHGKLGEMEIVPITDTVGPESVAFHPVGDGPYVGISDGRIIKWQPNEHRWISFGITSPNRNHIAVVLSGDKTGMLLKYEQESKQITVLLTNLSFPNGVALSKDGSFLVFAETTKCRILRYWLSTPKAGNLETLAQLPGFPDNIKRSPRGGFWVAIHSRRCKVLSWILSYSKLGNALIWVLPVDVITKAYSVMSKYLGSGLALRISEEGVVLETLELESGNKMSSISEVQEKDDHLWMGSINMPFLGVYRL >KJB16385 pep chromosome:Graimondii2_0_v6:2:58674056:58678059:-1 gene:B456_002G228000 transcript:KJB16385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G41290) UniProtKB/Swiss-Prot;Acc:Q9SLG8] MASKPFIALATTVLFFSLIAVNHFGKPFHGKLGEMEIVPITDTVGPESVAFHPVGDGPYVGISDGRIIKWQPNEHRWISFGITSPNREGCEGTDDHETREHICGRPLGICFHESTGDLYIADAYMGLLKLGPAGGLATPIPTHAQPQPIPSTFTNSLDINQSDGSIYFTHTSSLYHRRNHIAVVLSGDKTGMLLKYEQESKQITVLLTNLSFPNGVALSKDGSFLVFAETTKCRILRYWLSTPKAGNLETLAQLPGFPDNIKRSPRGGFWVAIHSRRCKVLSWILSYSKLGNALIWVLPVDVITKAYSVMSKYLGSGLALRISEEGVVLETLELESGNKMSSISEVQEKDDHLWMGSINMPFLGVYRL >KJB17203 pep chromosome:Graimondii2_0_v6:2:49364100:49365639:-1 gene:B456_002G185700 transcript:KJB17203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGNEGNPMLPICMDENGLPYQTNASNQLQLFGRLPVGCTVDVVNYFGNEHLAPMVPPNKRGHETEDILRQQKLQISLNYKIYKEEADCSASIPNPHAVSTGLRLSYDDDERNSSVTSASGSMTHGPLMILALGDNVTTELDRQKEEFDQYIKMQEEHLTKGIRDMKQRHTASFLAAIEKGVSKKLREKDVELETVNRKNRELVDRIKQVTMEAQNWHHRAKYNESVVNVLKRNLEQVMSQSQGGEQQGKEGFGESEVDDAASYIDPNNLLSIPDVGGAKCVSRNRHGMICRACNAKEVSVLLMPCRHLCICKDCDVLISVCPVCQVKKTARVQVYLS >KJB17207 pep chromosome:Graimondii2_0_v6:2:49364100:49365639:-1 gene:B456_002G185700 transcript:KJB17207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGNEGNPMLPICMDENGLPYQTNASNQLQLFGRLPVGCTVDVVNYFGNEHLAPMVPPNKRGHETEDILRQQKLQISLNYKIYKEEADCSASIPNPHAVSTGLRLSYDDDERNSSVTSASGSMTHGPLMILALGDNVTTELDRQKEEFDQYIKMQEEHLTKGIRDMKQRHTASFLAAIEKGVSKKLREKDVELETVNRKNRELVDRIKQVTMEAQNWHHRAKYNESVVNVLKRNLEQVMSQSQGGEQQGKEGFGESEVDDAASYIDPNNLLSIPDVGGAKCVSRNRHGMICRACNAKEVSVLLMPCRHLCICKDCDVLISVCPVCQVKKTARVQVYLS >KJB17204 pep chromosome:Graimondii2_0_v6:2:49363952:49370316:-1 gene:B456_002G185700 transcript:KJB17204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGNEGNPMLPICMDENGLPYQTNASNQLQLFGRLPVGCTVDVVNYFGNEHLAPMVPPNKRGHETEDILRQQKLQISLNYKIYKEEADCSASIPNPHAVSTGLRLSYDDDERNSSVTSASGSMTHGPLMILALGDNVTTELDRQKEEFDQYIKMQEEHLTKGIRDMKQRHTASFLAAIEKGVSKKLREKDVELETVNRKNRELVDRIKQVTMEAQNWHHRAKYNESVVNVLKRNLEQVMSQSQGGEQQGKEGFGESEVDDAASYIDPNNLLSIPDVGGAKCVSRNRHGMICRACNAKEVSVLLMPCRHLCICKDCDVLISVCPVCQVKKTARVQVYLS >KJB17206 pep chromosome:Graimondii2_0_v6:2:49363952:49370463:-1 gene:B456_002G185700 transcript:KJB17206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGNEGNPMLPICMDENGLPYQTNASNQLQLFGRLPVGCTVDVVNYFGNEHLAPMVPPNKRGHETEDILRQQKLQISLNYKIYKEEADCSASIPNPHAVSTGLRLSYDDDERNSSVTSASGSMTHGPLMILALGDNVTTELDRQKEEFDQYIKMQEEHLTKGIRDMKQRHTASFLAAIEKGVSKKLREKDVELETVNRKNRELVDRIKQVTMEAQNWHHRAKYNESVVNVLKRNLEQVMSQSQGGEQQGKEGFGESEVDDAASYIDPNNLLSIPDVGGAKCVSRNRHGMICRACNAKEVSVLLMPCRHLCICKDCDVLISVCPVCQVKKTARVQVYLS >KJB17205 pep chromosome:Graimondii2_0_v6:2:49363952:49370466:-1 gene:B456_002G185700 transcript:KJB17205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGNEGNPMLPICMDENGLPYQTNASNQLQLFGRLPVGCTVDVVNYFGNEHLAPMVPPNKRGHETEDILRQQKLQISLNYKIYKEEADCSASIPNPHAVSTGLRLSYDDDERNSSVTSASGSMTHGPLMILALGDNVTTELDRQKEEFDQYIKMQEEHLTKGIRDMKQRHTASFLAAIEKGVSKKLREKDVELETVNRKNRELVDRIKQVTMEAQNWHHRAKYNESVVNVLKRNLEQVMSQSQGGEQQGKEGFGESEVDDAASYIDPNNLLSIPDVGGAKCVSRNRHGMICRACNAKEVSVLLMPCRHLCICKDCDVLISVCPVCQVKKTARVQVYLS >KJB17208 pep chromosome:Graimondii2_0_v6:2:49363952:49370463:-1 gene:B456_002G185700 transcript:KJB17208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGNEGNPMLPICMDENGLPYQTNASNQLQLFGRLPVGCTVDVVNYFGNEHLAPMVPPNKRGHETEDILRQQKLQISLNYKIYKEEADCSASIPNPHAVSTGLRLSYDDDERNSSVTSASGSMTHGPLMILALGDNVTTELDRQKEEFDQYIKMQEEHLTKGIRDMKQRHTASFLAAIEKGVSKKLREKDVELETVNRKNRELVDRIKQVTMEAQNWHHRAKYNESVVNVLKRNLEQVMSQSQGGEQQGKEGFGESEVDDAASYIDPNNLLSIPDVGGAKCVSRNRHGMICRACNAKEVSVLLMPCRHLCICKDCDVLISVCPVCQVKKTARVQVYLS >KJB15856 pep chromosome:Graimondii2_0_v6:2:53967316:53969803:-1 gene:B456_002G199900 transcript:KJB15856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHTLSVRPDRLTPGSPIPRPPVRLPNQTHPSLKPAKTEPWRAAAILHTRRPLAARAGSRADDSAPFEMSVENALNLLGVSESASFDDILRAKNSIVASIKDNQEAIAQVEAAYDMLLMRSLTQRRAGKVVDRSIRFADVKPVNPLGVRSMPQWVQTTTKSLPVSVETPSTGDLGLQAGVYGALMVLTYVNETSTSSAIPYAGPDVVGLILASSFGASLYFMTRRNLKLGKATLITIGGLVAGAVVGSAVENWLQVDIVPFLGIHSPATVVGEIILFSQFLVSLYLR >KJB14096 pep chromosome:Graimondii2_0_v6:2:15154834:15156472:-1 gene:B456_002G110100 transcript:KJB14096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFNGWLYESFNHDFAIRRFCSARVELEQGELGDAMNNEIEPFCPDDEISTPHLAASEVDDFVDSFINMDYDVDDDGDGDDKDDRNSKKQQNFHHFQDKIEAFSIVNDDSLMIEGDELEMSSSCEDLGANEMVPSIEEASHGVDQGLHLVHLLLACAEAVGCRDIHLATSMLSQIGASATPLGDSLQRVSYCFATGLKSRLSLLQNVNGNGTITNCAIDVPMIAREEKMEAFQLLYQTTPYIAFGFMAANEAICQAAQGKGSLHIIDLGMKHTLQWPSLIRALASRPEGPPTLRITALTSDEDLVELEASMKSLVEDASSLSIAMEFHMISEPVTPSLMTRENLNLREGESLYINSVMHLHKYVKESRGSLKAILQAIKKLGPALLTMVEQDANHNGPFFLGRFLESLHYYSAIFDSLEASLPRHSPQRMKIERLHFAEEIRNIVAYEGTDRMERHERADQWRRQLGRAGFQVMGLKCLSQARMMLSVYGCDGYTLGSEKGCLLLGWKGRPLMLASAWQLHNASSAY >KJB14865 pep chromosome:Graimondii2_0_v6:2:27200348:27202246:1 gene:B456_002G147000 transcript:KJB14865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAAANGKESKGMGLLLVFFHGDQNKITNSSVDNQTTTTTTPPSTRFVRRTASSSLLFSKAQSTISICLVLVFLTILLFTLSTFEPSISTPSFIPSPTIKNSRRFLSQKTQFPPPPPLKWFFPMWDYNKPLNVKQNPGVLPSSFALQRMGTLYRRGTKAMHDLIVSHVVEDVTEDELRLFLRVLHRSGITSKADIVFLFGSSSSRFNLVIHEENDSFFNLIQHYKASNNKGSRDSVFSFESAQFWKSGKKDVGETIWGKKGRGNSGDSTEAEGESTRLTYGSVVGFDVNELDPENSLAGFLDHVPMSFRRWACYPMLLGRIRRNFKHITLVDIKSMMLLSDPLGRVRNRSPESVYLLTKESSTGGKHSKRNSDKTQSHLQVNSAILMGGARGIRRLANAMLTEIVRATMQHKKKKNSISESEILSQLVGNGYLLKNVDLITSTESIQEASSLMSSSSNSNSTAEYSIIQRGNGSHDLSSIIMKLICLCETNSYVYRDC >KJB13215 pep chromosome:Graimondii2_0_v6:2:7400896:7403219:-1 gene:B456_002G063000 transcript:KJB13215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSPSEVSSPFKNNEADQSKYLKTELITSVEPQLAESGASKCLAYNKRIGFKRGSIVSEIDKRKATMPKDQQYRMRKKMELQKLKDEIRWYQEELSQLRAKQQLPAQDLDKVECCFSQSTSQWHDDQSVSVPSSSIQKRQRIQDQQKISSNDGGVAVSQNLTNNKERTPNARAAVNEPATEHPESAQSGSCSAEVAKIKLPMFLTEFHTEVASNVDLSDFTGLDGEQRRFGRFSFPLSLIPTVERINSVYGDISATSLVSPSVSTTVYVLFCAVIRDMEHLRLEEVTEDIILKWRDVIKDALRLGFNVAFAMEHLKKVVFAYIGQRGCKLLHYIDSKISTLEAEVNDWKKKRTEIYEESKMCVNAAENFIGVPVSTGLFP >KJB13216 pep chromosome:Graimondii2_0_v6:2:7400663:7403688:-1 gene:B456_002G063000 transcript:KJB13216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSPSEVSSPFKNNEADQSKYLKTELITSVEPQLAESGASKCLAYNKRIGFKRGSIVSEIDKRKATMPKDQQYRMRKKMELQKLKDEIRWYQEELSQLRAKQQLPAQDLDKSSSIQKRQRIQDQQKISSNDGGVAVSQNLTNNKERTPNARAAVNEPATEHPESAQSGSCSAEVAKIKLPMFLTEFHTEVASNVDLSDFTGLDGEQRRFGRFSFPLSLIPTVERINSVYGDISATSLVSPSVSTTVYVLFCAVIRDMEHLRLEEVTEDIILKWRDVIKDALRLGFNVAFAMEHLKKVVFAYIGQRGCKLLHYIDSKISTLEAEVNDWKKKRTEIYEESKMCVNAAENFIGVPVSTGLFP >KJB13187 pep chromosome:Graimondii2_0_v6:2:7024478:7030794:1 gene:B456_002G060500 transcript:KJB13187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALESLDLSSNKLGGRIPSELTKLTFLEVLNLSQNNFFGPIPVGHQFNTFDIDSYAGNLGLCGFPLSKKCGNSAIPFIWELVMMGYGCGVVLGLSTGYIVFTTGRPWCLSSSSLNPTPPCLPEDTSALLQFKNTMSIDDSAIFSYCYPKTNSWNESTNCCSWEGVICDKATGQVISLDLSCSKLVGSLSPNTTLFRLRGLKRLDLSLNNFDASSIPSGFNQLVSLTHLNLSGSLLSGSVPSDISLPSKLISLDLSGNDQLKFDSHGFDMLTRNLSKLENLLLGWVNMSDVVPTAFTNLPSSLKRLSLEICDLQGDIPSEIFLLGYLEYVDLRGNSLTGYLPKSNWSSPLKFLDLSSNYFGGSIPSSLENLTKITYLGFSYNKLEGQIPDVFGNLNKLTTLDFSDCNFSGQLPPSMFNLTQLTYLDLSLNRLEGPLPTHVTGFQNLKDFSLIDNLLTGGVPSWLFTLPSLESLDLSYNNLTGPINQIQRPNSIQRVYLADNDIHGEIPTSFFGLSKLTHLDLSSNNLSGVIRSDMLSKLESLETLDLSTNNFSGVINLDVPSKLKNLTEVNLSNNKLRQFPSFLRSAKSLRSLDLSKNNIQGSIFNWESEGWEQLIDLNLYNNSLTSLEQLPGKNILTLDLRSNRLQGPLPATPPSLQEFLISDNKLTGEIPPSICNLTSLDILDLSKNYFGGIIPSCLGNFSRGISVINLQKNNLSGKIPDFCVELNSLTTLALNDNKFEGLLPRSFVNCTVLRFLNLANNTLYDLFPRWLSVLPVLQVLILRSNRFYGRLDHPMATSSFISLQILDLSKNEFTGPFPKIFFQSFRRLKLVAASQPQQMSKCGPENYKNYVKLTMKRLEIELDLDKSLTNFTLIDFSNNRFSGRIPEALGELHALLVLNLSHNRLNDTLPPSLANMAALESLDLSSNKLGGRIPSEVTKLTFLEVLNLSQNNFFGPIPVGHQFNTFDIDSYAGNLGLCGFPLSKKCGSEEERKPPTPKLVEDEDSAIPFIWELVMMGYGCGVVLGLSTGYIVFTTGRPWWLVRMVERDWQRNFTRWARRIGRKRN >KJB12637 pep chromosome:Graimondii2_0_v6:2:2117360:2120777:1 gene:B456_002G028500 transcript:KJB12637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMSFKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILQGVLKVNQFIEVRPGIVVKDENGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVFVELEVNFFLLRRLLGVRTKGSERQGKVSKLAKGEILMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >KJB12636 pep chromosome:Graimondii2_0_v6:2:2117704:2120913:1 gene:B456_002G028500 transcript:KJB12636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILQGVLKVNQFIEVRPGIVVKDENGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVFVELEVNFFLLRRLLGVRTKGSERQGKVSKLAKGEILMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >KJB12635 pep chromosome:Graimondii2_0_v6:2:2117336:2120913:1 gene:B456_002G028500 transcript:KJB12635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMSFKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILQGVLKVNQFIEVRPGIVVKDENGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVFVELEVNFFLLRRLLGVRTKGSERQGKVSKLAKGEILMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >KJB14480 pep chromosome:Graimondii2_0_v6:2:19424335:19428263:-1 gene:B456_002G127200 transcript:KJB14480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGATQSVDLPYHSTIKIHRLMCLELKKLVDSISPMFAALESARPGCTLGMRALCSLQSAMDKANLLIQLCSESSKLYLAITGKGLLLRCDKIRKTLEICMIQVREMVPSVLAAKIAGIIDELRSARFQLEASEHEAGAAIIALLEHDKSASASRKQSEIEALRLSSLRLSITSPFALLIEKRSIKKLLGSVQDTNPNKRKVLTYLLYLLKKHGRLIWQLQPKRTEEESLFSLMKEDGDENVETSGYDFFLPITPDQIICPISKRLLYDPVIIASGQTFERVWIEKWFNEGNQMCPVTNTKLMQFSLTPNLAMKALISKWLLRHGINVPQHVKPVPSLLSLRQPSSCSIASFGTSVLGFRLEIGSVTLDSVSTDSSLDFSDRKSNDEIKSMLPQVAADSHHRIHHHQLSTDGIRVGCLSELDKHSWISQCKAVQNVKGLLEDNDKAQHLTFSNSHVVPVIKFLKDANDLCDVKMQKDGAEVLLAILSGRRIELPPCHEDVIYLLASLLDSETTRECHAILEILSWQQYYKSRIVACGILPSILKLLDTTVTEFNMVALKILWNLSNGSNVGYHITYLGYIPKLVSFLEDPNVAGYCVGIMNNIRDIEEARIEAVEAGLCTSIATILESGKKEEQEVGVELLLFLCYENTGYCKMIMTENVIQALSNISVNGSSKGSENALTLLELMDWMNASQCSRSGSRWSQGNSNVSSSTSNKSRLKISSYKALGCLRKKLSRFLLNVLH >KJB16311 pep chromosome:Graimondii2_0_v6:2:57755491:57757554:1 gene:B456_002G222800 transcript:KJB16311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWSSISLSPTAIASPPFPLTELFFPPLSPTPTLTAATFKLRSSSTCRFTKTDLTSQHEEPPPNPYPKQDETPQAAAAAAAAAEEETFQVLTAIHTQYNDILIVDTPQSRMLLLDSTHNVHSVLQKGDEKWTGSYWDEFVSLPPIVTEGPIAIYGLGGGTAAHLMLDVWPSLQLEGWEIDEILIDKAREYFGLSNLEKCNDVGGQLQVHIDDAFSHEQHRPSGYAGIIIDLFSDGKVLSQLQEVCTMCQ >KJB16312 pep chromosome:Graimondii2_0_v6:2:57755491:57768690:1 gene:B456_002G222800 transcript:KJB16312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWSSISLSPTAIASPPFPLTELFFPPLSPTPTLTAATFKLRSSSTCRFTKTDLTSQHEEPPPNPYPKQDETPQAAAAAAAAAEEETFQVLTAIHTQYNDILIVDTPQSRMLLLDSTHNVHSVLQKGDEKWTGSYWDEFVSLPPIVTEGPIAIYGLGGGTAAHLMLDVWPSLQLEGWEIDEILIDKAREYFGLSNLEKCNDVGGQLQVHIDDAFSHEQHRPSGYAGIIIDLFSDGKVLSQLQEVEIWLELSNRLMPGGRLMVNCGGVSESSLDGKVQLPSIDDIWMQNSTIKALAEAFPGQVNWKRMPESQGLNYLALTGPLPDLTSWSAMVPSCLSEAVKQWKPCRPFH >KJB16313 pep chromosome:Graimondii2_0_v6:2:57755589:57767441:1 gene:B456_002G222800 transcript:KJB16313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWSSISLSPTAIASPPFPLTELFFPPLSPTPTLTAATFKLRSSSTCRFTKTDLTSQHEEPPPNPYPKQDETPQAAAAAAAAAEEETFQVLTAIHTQYNDILIVDTPQSRMLLLDSTHNVHSVLQKGDEKWTGSYWDEFVSLPPIVTEGPIAIYGLGGGTAAHLMLDVWPSLQLEGWEIDEILIDKAREYFGLSNLEKCNDVGGQLQVHIDDAFSHEQHRPSGYAGIIIDLFSDGKVLSQLQEVEIWLELSNRLMPGGRLMVNCGGVSESSLDGKVQLPSIDDIWMQNSTIKALAEAFPGQVFMMSMSCGMD >KJB13640 pep chromosome:Graimondii2_0_v6:2:10971662:10973062:1 gene:B456_002G086300 transcript:KJB13640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQIPLFPIFILFLCFITLFQATSASSKPTGLSMKLIRRTYFRAQNFDSFLSLNQSEDNVLVHLPLCHVPKDLAYVVEFKIGNKPQTVKLLMDTSSLIWTQCAPCIKCYQQKTSLYNSRISMTYKKLPSNQPFCQGQNSPFRCHNNECVYDIRYGDLSQPTTPRTKGVASFETFYIPVDSSHTRVINDMIFGCSNDNSDTGFENSQISGILGLSQGPDGLTSQLAKRGIIQNRFSYWLVPFHDELKRPSILRFRDNIPRPVENLRSTPFLNIDRNHYSVELLDISLRKDGLGGSLIDSGTLVSRIDENTVRRNAYQEVIEAFKAYYDKKNIRRKVGFRDFATMTLHFKGGDYLIDGKYMHYFSDKKKGEGYFCVALSKSSKTILGAWQQQNMRIIYDMNGGRLQWVTETCANDHSP >KJB15769 pep chromosome:Graimondii2_0_v6:2:52798613:52802355:1 gene:B456_002G195100 transcript:KJB15769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENRMTGKVKWFDDQKGYGFISPDDGGDDLFVHQSSIRSEGFRSLADGEEVEYVVESSEGRPKAVEVTGPNGNPVRGSSRSGRGGGGGGGYGGGSGGYGGGGRRGGYGGGGGGGGGGGAGCYKCGEMGHLARDCGQGGGGGGGRYGGGGGGGGGGGACYNCGGSGHFARECPNSGR >KJB15768 pep chromosome:Graimondii2_0_v6:2:52798613:52802367:1 gene:B456_002G195100 transcript:KJB15768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENRMTGKVKWFDDQKGYGFISPDDGGDDLFVHQSSIRSEGFRSLADGEEVEYVVESSEGRPKAVEVTGPNGNPVRGSSRSGRGGGGGGGYGGGSGGYGGGGRRGGYGGGGGGGGGGGAGCYKCGEMGHLARDCGQGGGGGGGRYGGGGGGGGGGGACYNCGGSGHFARECPNSGR >KJB13161 pep chromosome:Graimondii2_0_v6:2:7684558:7687500:-1 gene:B456_002G065700 transcript:KJB13161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKGKPTGHRHFSTPEELLAGSSARPRTFKKEEAEVEEEEERSEEEVEEEPEKRKGTQGLIEIENPNLAKRKNVKAKDLVMGKTTELSRREREEIEKQKAHERYMKLQEQGKTEQARKDLDL >KJB13159 pep chromosome:Graimondii2_0_v6:2:7682818:7687500:-1 gene:B456_002G065700 transcript:KJB13159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKGKPTGHRHFSTPEELLAGSSARPRTFKKEEAEVEEEEERSEEEVEEEPEKRKGTQGLIEIENPNLAKRKNVKAKDLVMGKTTELSRREREEIEKQKAHERYMKLQEQGKTEQARKDLERLALIRKQRAEAAKKREEEKAVREQKKVEARK >KJB13158 pep chromosome:Graimondii2_0_v6:2:7682818:7687506:-1 gene:B456_002G065700 transcript:KJB13158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKGKPTGHRHFSTPEELLAGSSARPRTFKKEEAEVEEEEERSEEEVEEEPEKRKGTQGLIEIENPNLAKRKNVKAKDLVMGKTTELSRREREEIEKQKAHERYMKLQEQGKTEQARKDLERLALIRKQRAEAAKKREEEKAVREQKKVEARK >KJB13160 pep chromosome:Graimondii2_0_v6:2:7683575:7687367:-1 gene:B456_002G065700 transcript:KJB13160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKGKPTGHRHFSTPEELLAGSSARPRTFKKEEAEVEEEEERSEEEVEEEPEKRKGTQGLIEIENPNLAKRKNVKAKDLVMGKTTELSRREREEIEKQKAHERYMKLQEQGKTEQARKDLERLALIRKQRAEAAKKREEEKAVREQKKVEARK >KJB14947 pep chromosome:Graimondii2_0_v6:2:30476220:30476630:-1 gene:B456_002G151900 transcript:KJB14947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LWPLIYGTSCCFIEFASLIGSRFNFDRYGLVPRSSPRQADLILTVGTVTMKIAPSLVRLYEQMPEPKYVIAMGTCKITRGMFSTDSYSTVQGVDKLISMDVYLLGYPSKPEAIIDAITKGQATRLSSKARPKFGRF >KJB16045 pep chromosome:Graimondii2_0_v6:2:55715537:55715803:1 gene:B456_002G210300 transcript:KJB16045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDYSRLTRTITQQRIRALVLAHRDRDRDRKERDFCRLWITRINAVIRRVGISYSYSKLIHNLYKKQLLLNRKIFAQIAISNKNCIYMIS >KJB13203 pep chromosome:Graimondii2_0_v6:2:7329475:7332889:-1 gene:B456_002G062000 transcript:KJB13203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPKFFHSRHPPPATRLTRSTALIVFSTLSFLFSLYVFLSSTDCGHQCLSDLRSVRVLLDKPGNVNITATSDGDVDVNGTKRHKVMGFVGIQTGFRSVGRRRSLRKTWMPSYHQGLQRLEEATGLAFRFVIGRTNDRSKMAQLGREVAKYDDFLLLDFEEEYSKLPYKTLAFFKAAYALYDSDFYVKADDDIYLRPDRLSLLLAKERSHSQTYLGCMKKGPVFTDPKLKWYEPLSYLLGSEYFLHAYGPLYALSADVVASLVALRNNSFRMFSNEDVTIGAWMLAMNVNFENNRRLCERKCTPTFIAVLDIPKCSGLCNPETRILELHRQEMCSNGSTFPSDDKQLSLA >KJB16483 pep chromosome:Graimondii2_0_v6:2:59136634:59139038:-1 gene:B456_002G232100 transcript:KJB16483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEPTSIEGSVTPASIDSENLGVGASIQTKGTTGKRKAPPQRSEVWSHFTKFINSEGASKAKCNYCEKEFCCDMKKNGTGSLKYHIGSCKKNPSNVVDTSQGQLVLPRKGVEGGEGNLSTWRFDQEACRKGLAQMILIDELPFKFVESEGFKKFMFVACPRFHIPSRTTMTRDVYQLYLDERVKIKKLLRSSCSRVCLTIDTWTSLQRVNYLCITAHFIDNDWKLNKKILNFCPISSYKGESIGMLIEKCLLNWGIDKLFTVTVDNASSNDVAIGYLRKKFNPRGGLVQNGKYLHMICMARIVNLIVVEGLKEMNKSVERVRGAVRYVRQSPARLQKFKECVVVEKIECKKMLCLDVCTRWNSTYLMLDTAQNFERAFERFEEQDTNFRAELERGEGWPSVDDWDNVRKLKEFLEHFYEVTLRISGTSYVTSNNFFDELSEIDILLRDAQLNSNIDFNVMAIKMKEKYDKYRGDIDKMNLLMFVACDLDPRQKLKYLEFALSEMSSFEKACEMMKKLKESLYELFDEYKPPLHSTCSQSSSSVSTHVSIGEPQQKMKRRMQALCKKRELEICGEDKTSELDKYLAEANEEFVEDFDILLWWKVNSPRFPTLSKIARDVLAIPVSTVASESAFSTGGRVLDQFRNWIRRSSSQEDINKIEEQIQELDKIENGLMQMEIFWRDEMDIVWKDEMDINGEC >KJB15255 pep chromosome:Graimondii2_0_v6:2:41465056:41467377:1 gene:B456_002G167200 transcript:KJB15255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQFINLQIIINDQHAFFLHENIISAFSGRLKKIIRKQKRKTQIENYVIELNDFPGGPDGFEQVARFCYSYGGVEITVSNVPLFYCCAFFLGMTEKTSANNLLRQTDKFLEGMFDWSWTDLIMSLRSCEPFFSYADSYGLIQKFIFALLAKIAQNSDMNFITSSSSSSSPETSFGFRFSSTSKASPESASPCTKSGKDWWFDDLTILSPKIIEKIIRNLGAYGNQNNSFTLTRFLLHYLKSRPQGSPTSKSEYGGLADTAVHGVILVGKTKFSCRKLFWVLRLVSGFSLSKDYRAGLERLIGENLDEATVDDLLVSGHNKGVYDVNLVIRLITVFVNSEGVNSEKMKKLGRLIDKYLREISPDQNLNTSKFLAVAESLPHSARDCFDGVYRAIDFYLQSHPTLSFEDRSRLCRCLSYEKLTFEASKELAKNPRIPPNISVQALISQQSKVPQNELMLYGSNPKGSRVLYHDSIDSSSAENFSEGTEYMKLNLQKMQWRVVELEKACKEMKGQMSKLVRHNSAITPCYNATLPRFC >KJB16903 pep chromosome:Graimondii2_0_v6:2:61668944:61670438:-1 gene:B456_002G253700 transcript:KJB16903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSNDYKLLFQACHERDEAKQQLKQSMVEISKLKKLLNKLLPSSNFSAETNSSDDVSLTADDCTHRKTLKASLVENSGCRIPVRKCYSIGNVIDALNEGRPLLVKGRLFEAVIDTPPLLETLMIMGHLPNWRNPPPLPFNLVVNEISNSQTLNYKKSSDVNDGSFWRTIYEWCGFWFIY >KJB12008 pep chromosome:Graimondii2_0_v6:2:11189172:11192466:-1 gene:B456_002G088500 transcript:KJB12008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIIVRRVIPSDNSCLFNAIGYVMDHDKTKASELRQVIAATVASDPAKYSEAFLGKPNVEYCSWILDSEKWGDYYGREIAAYDIQTSRCDLYGQERNYSERVLLIYDGLHYDALAMSPFEYAPEEFDQTIFAVQKDRTIGPVEGLALNLVKDQQSKRRYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYR >KJB12011 pep chromosome:Graimondii2_0_v6:2:11189172:11192574:-1 gene:B456_002G088500 transcript:KJB12011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIIVRRVIPSDNSCLFNAIGYVMDHDKTKASELRQVIAATVASDPAKYSEAFLGKPNVEYCSWILDSEKWGGAIELSILADYYGREIAAYDIQTSRCDLYGQERNYSERVLLIYDGLHYDALAMSPFEYAPEEFDQTIFAVQKDRTIGPVEGLALNLVKDQQSKRRYTDTANFTLRCGVCQIGVIGQKAVEHAQATGHVNFQEYR >KJB12009 pep chromosome:Graimondii2_0_v6:2:11189923:11191952:-1 gene:B456_002G088500 transcript:KJB12009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIIVRRVIPSDNSCLFNAIGYVMDHDKTKASELRQVIAATVASDPAKYSEAFLGKPNVEYCSWILDSEKWGGAIELSILADYYGREIAAYDIQTSRCDLYGQERNYSERVLLIYDGLHYDALAMSPFEYAPEEFDQTIFAVQKDRTIGPVEGLALNLVKDQQSKRRYTDTANFTLRCGVCQIGVIGQKVIFFSFLYLTFLFASGFT >KJB12010 pep chromosome:Graimondii2_0_v6:2:11189172:11192400:-1 gene:B456_002G088500 transcript:KJB12010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIIVRRVIPSDNSCLFNAIGYVMDHDKTKASELRQVIAATVASDPAKYSEAFLGKPNVEYCSWILDSEKWGGAIELSILADYYGREIAAYDIQTSRCDLYGQERNYSERVLLIYDGLHYDALAMSPFEYAPEEFDQTIFAVQKDRTIGPVEGLALNLVKDQQSKRRYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYR >KJB12006 pep chromosome:Graimondii2_0_v6:2:11189172:11191868:-1 gene:B456_002G088500 transcript:KJB12006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDKTKASELRQVIAATVASDPAKYSEAFLGKPNVEYCSWILDSEKWGGAIELSILADYYGREIAAYDIQTSRCDLYGQERNYSERVLLIYDGLHYDALAMSPFEYAPEEFDQTIFAVQKDRTIGPVEGLALNLVKDQQSKRRYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYR >KJB12007 pep chromosome:Graimondii2_0_v6:2:11189047:11192812:-1 gene:B456_002G088500 transcript:KJB12007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIIVRRVIPSDNSCLFNAIGYVMDHDKTKASELRQVIAATVASDPAKYSEAFLGKPNVEYCSWILDSEKWGGAIELSILADYYGREIAAYDIQTSRCDLYGQERNYSERVLLIYDGLHYDALAMSPFEYAPEEFDQTIFAVQKDRTIGPVEGLALNLVKDQQSKRRYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYR >KJB12001 pep chromosome:Graimondii2_0_v6:2:11189028:11192011:-1 gene:B456_002G088500 transcript:KJB12001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIIVRRVIPSDNSCLFNAIGYVMDHDKTKASELRQVIAATVASDPAKYSEAFLGKPNVEYCSWILDSEKWGGAIELSILADYYGREIAAYDIQTSRCDLYGQERNYSERVLLIYDGLHYDALAMSPFEYAPEEFDQTIFAVQKDRTIGPVEGLALNLVKDQQSKRRYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYR >KJB12003 pep chromosome:Graimondii2_0_v6:2:11189817:11191952:-1 gene:B456_002G088500 transcript:KJB12003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIIVRRVIPSDNSCLFNAIGYVMDHDKTKASELRQVIAATVASDPAKYSEAFLGKPNVEYCSWILDSEKWGGAIELSILADYYGREIAAYDIQTSRCDLYGQERNYSERVLLIYDGLHYDALAMSPFEYAPEEFDQTIFAVQKDRTIGPVEGLALNLVKDQQSKRRYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYR >KJB12005 pep chromosome:Graimondii2_0_v6:2:11189020:11192572:-1 gene:B456_002G088500 transcript:KJB12005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIIVRRVIPSDNSCLFNAIGYVMDHDKTKASELRQVIAATVASDPAKYSEAFLGKPNVEYCSWILDSEKWGGAIELSILADYYGREIAAYDIQTSRCDLYGQERNYSERVLLIYDGLHYDALAMSPFEYAPEEFDQTIFAVQKDRTIGPVEGLALNLVKDQQSKRRYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYR >KJB12002 pep chromosome:Graimondii2_0_v6:2:11189081:11192574:-1 gene:B456_002G088500 transcript:KJB12002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIIVRRVIPSDNSCLFNAIGYVMDHDKTKASELRQVIAATVASDPAKYSEAFLGKPNVEYCSWILDSEKWGGAIELSILADYYGREIAAYDIQTSRCDLYGQERNYSERVLLIYDGLHYDALAMSPFEYAPEEFDQTIFAVQKDRTIGPVEGLALNLVKDQQSKRRYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYR >KJB12004 pep chromosome:Graimondii2_0_v6:2:11189144:11192574:-1 gene:B456_002G088500 transcript:KJB12004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIIVRRVIPSDNSCLFNAIGYVMDHDKTKASELRQVIAATVASDPAKYSEAFLGKPNVEYCSWILDSEKWGGAIELSILADYYGREIAAYDIQTSRCDLYGQERNYSERVLLIYDGLHYDALAMSPFEYAPEEFDQTIFAVQKDRTIGPVEGLALNLVKDQQSKRRYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYR >KJB14762 pep chromosome:Graimondii2_0_v6:2:41025676:41026038:1 gene:B456_002G166200 transcript:KJB14762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPMVTRFINYGHQIVRAARYVGQGFMITLSHVNCLPVTIQYPYENLITSEHFRRRIHFEIDKCIACEVCVRVCPIDLPVVGWKFERDIQKNYCLITVLISKFVHFVVIVLSIVQQFFYQ >KJB15184 pep chromosome:Graimondii2_0_v6:2:39467417:39469833:1 gene:B456_002G163800 transcript:KJB15184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCSSMWPTTLTLSYPSPISLKYRFHKSFNLSLRASLFNYPLASRIFVRNLPYSTKESSLQKEFENFGQIAEVSIAKDDFTKRSKGYAFIQYTSQNDALLAVENMDQQVFDGRMIYVEIAKPGKDRFRGYPKTSGPPTTKQQLQQSNDVADCWY >KJB14320 pep chromosome:Graimondii2_0_v6:2:16984921:16985657:1 gene:B456_002G119200 transcript:KJB14320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNFNALRDLHNSANDLLHSPIIKRALVHQGQEQWVHQVSESSLRMLDVCGISRDILLLVKEHVQDLQFTLRRVSTDEADIGTKIAVYNRYSKKLKKETLKCLQSLKGMRNKPIITCDIDDNLTVVVDVLREVSATAISVVESLLSLISIPWLERRSSKGYLAAKFMRSSWRRVYDVSDEMAVQSANKRLEAVEITIEDLEAEIECMFRRLIQTRVLLLNILTN >KJB15316 pep chromosome:Graimondii2_0_v6:2:42850193:42851768:-1 gene:B456_002G170600 transcript:KJB15316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARTKMQESSSQLSATSYQSVPTFPLHSTNDKAIPLLGFGTAEYPFGASIDTMKQTILEAIEVGYRHFDTAALYQTEQPLGEAISDALRLRLIKSRDELFITSKLWCSDAHHDCVLPALKKTLKNLKLEYLDLYLIHWPVSVKPGEYELPVKKKDLVPIDLKSVWEAMEECQALGLTKSIGVSNFSCKKLETILSTAKIPPAVNQVEMNPMWQQKKLRKFCDEKGILIESYSPLGAKGTLWGTNRVMECELLKEIAQAKGKSLAQVCLRWAYEQGVCVLVKSFNKERMKQNLDIFDWKLSAEESRKISQLPQFKGFPAGEFVSDDGPYKSLEELWDGEI >KJB16229 pep chromosome:Graimondii2_0_v6:2:57073136:57075191:-1 gene:B456_002G218500 transcript:KJB16229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHFSNSVKLKYVKLGYQYLVNHILTLTLIPVMAGVLIEVLRLGPAEIVNLWNSLHFDLVQILCSCFFIIFVATVYFMSKPRSIYLVDYACYKPPVTCRVPFATFMEHSRLNLSNNPKSVEFQMRILERSGLGEETCLPPAIHYIPPTPTMEAARGEAEIVIFSAMDSLFKKTGLKPKDIDILIVNCSLFSPTPSLSAMVINKYKLRSNIKSFNLSGMGCSAGLISIDLARDLLQVHPNSNAVVVSTEIITPNYYQGKERAMLLPNCLFRMGGAAILLSNRRSERRRAKYRLVHVVRTHKGADDKAYRCVFEEEDKEGNVGISLSKDLMAIAGEALKSNITTIGPLVLPASEQLLFLLTLIGRKIFNPKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYEMSYIEAKGRMKKGDRVWQIAFGSGFKCNSAVWKCNRTIKTPKDGPWADCIHRYPIWCSLYLLINVHPAGENNKCGKTTG >KJB13141 pep chromosome:Graimondii2_0_v6:2:6641496:6645109:1 gene:B456_002G059100 transcript:KJB13141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTSDRVEYVCRYGGNGTTTSGVNVSIAAEVTMEIHSVCLPPKESTFQKLKHRLSEIFFPDDPLYRFKDQTWCKKLVLGLQFLFPILQWGSHYDLNLFKSDVVSGLTIASLAIPQGISYAQLANLPPIIGLYSSFVPPLMYSLLGSSRHLAVGPVSIASLVMGTMLSEKVSPVQHPTLYLKLAFTATFFAGLFQASLGFLRLGLVIDFLSKATLVGFMAGAAIIVSLQQLKGLLGIVHFTTKMQLIPVLTSVFHHTKESMKKPKLFWISAAAPLTSVILSTLLVFLIKSKVHGISIIGHLQKGLNPTSTNMLYFNGQYLALAIKTGIVTGILSLTEGIAVGRTFASLKNYQVDGNKEMMAIGLMNMASSCTSCYVTTGSFSRSAVNYNAGAQTAVSNIVLAGTVLVTLLFLMPLFYYTPNVILAAIIITAVIGLIDYKAAYKLWNVDKLDFLACICSFFGVLFISVPLGLGIAVGVSVFKILLHVTRPNTLVLGNIPATQIYQSLNRYKEASRVPSFLILAIESPIYFANSTYLQERLLRWVQEEEERIKANHESTLKCIIIDMTSVTAIDTSGIDMVCELKKMLEKRSLVLVLVNPVGSVMEKMHRSNILGTNSLFLTVGEAVADISASWKPQP >KJB13143 pep chromosome:Graimondii2_0_v6:2:6641496:6645125:1 gene:B456_002G059100 transcript:KJB13143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTSDRVEYVCRYGGNGTTTSGVNVSIAAEVTMEIHSVCLPPKESTFQKLKHRLSEIFFPDDPLYRFKDQTWCKKLVLGLQFLFPILQWGSHYDLNLFKSDVVSGLTIASLAIPQGISYAQLANLPPIIGLYSSFVPPLMYSLLGSSRHLAVGPVSIASLVMGTMLSEKVSPVQHPTLYLKLAFTATFFAGLFQASLGFLRLGLVIDFLSKATLVGFMAGAAIIVSLQQLKGLLGIVHFTTKMQLIPVLTSVFHHTKEWSWETIVMGFSFLLLLLTTRHISMKKPKLFWISAAAPLTSVILSTLLVFLIKSKVHGISIIGHLQKGLNPTSTNMLYFNGQYLALAIKTGIVTGILSLTEGIAVGRTFASLKNYQVDGNKEMMAIGLMNMASSCTSCYVTTGSFSRSAVNYNAGAQTAVSNIVLAGTVLVTLLFLMPLFYYTPNVILAAIIITAVIGLIDYKAAYKLWNVDKLDFLACICSFFGVLFISVPLGLGIAVGVSVFKILLHVTRPNTLVLGNIPATQIYQSLNRYKEASRVPSFLILAIESPIYFANSTYLQERLLRWVQEEEERIKANHESTLKCIIIDMTSCFGKSCGKRDGEDASIKYPGNKFIVSHCWGSCGRHFSFMEASAMNEGAKENVFFSSFNLPFF >KJB13142 pep chromosome:Graimondii2_0_v6:2:6641496:6645109:1 gene:B456_002G059100 transcript:KJB13142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTSDRVEYVCRYGGNGTTTSGVNVSIAAEVTMEIHSVCLPPKESTFQKLKHRLSEIFFPDDPLYRFKDQTWCKKLVLGLQFLFPILQWGSHYDLNLFKSDVVSGLTIASLAIPQGISYAQLANLPPIIGLYSSFVPPLMYSLLGSSRHLAVGPVSIASLVMGTMLSEKVSPVQHPTLYLKLAFTATFFAGLFQASLGFLRLGLVIDFLSKATLVGFMAGAAIIVSLQQLKGLLGIVHFTTKMQLIPVLTSVFHHTKEWSWETIVMGFSFLLLLLTTRHISMKKPKLFWISAAAPLTSVILSTLLVFLIKSKVHGISIFCVCFPLSQIGHLQKGLNPTSTNMLYFNGQYLALAIKTGIVTGILSLTEGIAVGRTFASLKNYQVDGNKEMMAIGLMNMASSCTSCYVTTGSFSRSAVNYNAGAQTAVSNIVLAGTVLVTLLFLMPLFYYTPNVILAAIIITAVIGLIDYKAAYKLWNVDKLDFLACICSFFGVLFISVPLGLGIAVGVSVFKILLHVTRPNTLVLGNIPATQIYQSLNRYKEASRVPSFLILAIESPIYFANSTYLQERLLRWVQEEEERIKANHESTLKCIIIDMTSVTAIDTSGIDMVCELKKMLEKRSLVLVLVNPVGSVMEKMHRSNILGTNSLFLTVGEAVADISASWKPQP >KJB13144 pep chromosome:Graimondii2_0_v6:2:6641496:6645125:1 gene:B456_002G059100 transcript:KJB13144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTSDRVEYVCRYGGNGTTTSGVNVSIAAEVTMEIHSVCLPPKESTFQKLKHRLSEIFFPDDPLYRFKDQTWCKKLVLGLQFLFPILQWGSHYDLNLFKSDVVSGLTIASLAIPQGISYAQLANLPPIIGLYSSFVPPLMYSLLGSSRHLAVGPVSIASLVMGTMLSEKVSPVQHPTLYLKLAFTATFFAGLFQASLGFLRLGLVIDFLSKATLVGFMAGAAIIVSLQQLKGLLGIVHFTTKMQLIPVLTSVFHHTKEWSWETIVMGFSFLLLLLTTRHISMKKPKLFWISAAAPLTSVILSTLLVFLIKSKVHGISIIGHLQKGLNPTSTNMLYFNGQYLALAIKTGIVTGILSLTEGIAVGRTFASLKNYQVDGNKEMMAIGLMNMASSCTSCYVTTGSFSRSAVNYNAGAQTAVSNIVLAGTVLVTLLFLMPLFYYTPNVILAAIIITAVIGLIDYKAAYKLWNVDKLDFLACICSFFGVLFISVPLGLGIAVGVSVFKILLHVTRPNTLVLGNIPATQIYQSLNRYKEASRVPSFLILAIESPIYFANSTYLQERLLRWVQEEEERIKANHESTLKCIIIDMTSVTAIDTSGIDMVCELKKMLEKRSLVLVLVNPVGSVMEKMHRSNILGTNSLFLTVGEAVADISASWKPQP >KJB11976 pep chromosome:Graimondii2_0_v6:2:25962289:25964187:-1 gene:B456_002G143500 transcript:KJB11976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQQAMEAFKVYRVLLKAVKNHIGKEEYKKHFSQYIAEQFRKNSQLSDPSLVAQRIKLANDYTYLLNSVHHHKDLLFSYNIAVDRSDEMKRLLGKSAASVGLKLPEVYQP >KJB11975 pep chromosome:Graimondii2_0_v6:2:25961706:25964177:-1 gene:B456_002G143500 transcript:KJB11975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQQAMEAFKVYRVLLKAVKNHIGKEEYKKHFSQYIAEQFRKNSQLSDPSLVAQRIKLANDYTYLLNSVHHHKDLLFSYNIAVDRSDEMKRLLGKSAASVGLKLPEVYQP >KJB11977 pep chromosome:Graimondii2_0_v6:2:25962289:25964031:-1 gene:B456_002G143500 transcript:KJB11977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQQAMEAFKVYRVLLKAVKNHIGKEEYKKHFSQYIAEQFRKNSQLSDPSLVAQRIKLANDYTYLLNSVHHHKDLLFSYNIAVDRSDEMKRLLGKSAASVGLKLPEVYQP >KJB11978 pep chromosome:Graimondii2_0_v6:2:25961706:25964440:-1 gene:B456_002G143500 transcript:KJB11978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQQAMEAFKVYRVLLKAVKNHIGKEEYKKHFSQYIAEQFRKNSQLSDPSLVAQRIKLANDYTYLLNSVHHHKDLLFSYNIAVDRSDEMKRLLGKSAASVGLKLPEVYQP >KJB13432 pep chromosome:Graimondii2_0_v6:2:8966128:8975044:-1 gene:B456_002G075900 transcript:KJB13432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTVFIGTCFHCQSQSDVFFSGWQLRDGSFALLCHPCGKVWYCFAGAFYPPFVNSAFLFPLLRIMFEEQAEEKWSRNPGVCCHSLSSHTIYCFLSYRRLSSAFVEGRFCETFHPEASGWRECDSCNKGIHCGCIMAAHSYAILDFGGVKCLECCLNEALALHRNSPTFSNPEEMQASDSHPKASDDTEETVTGVDPIASPDSVALNASPDKIGTPTPGAVPAAETEGESSVNSPAGTKKSRKKKGRKNRKDASKQHQIQARAKSSLIPLFEKKLTASDVDTRNGRLVLPKRCAEISGQQGIFLTVQDTKGNDWEVFYRYWSNTNGKMYVLEGLKDYMIMMEWEAGDTVTFYKREEDEKLFMGFKKYQAPESAQKASSLNLVLFIEGVGSSFLYMLSSVSELLLSRKMQVIGMNDKKTKAYILSE >KJB13566 pep chromosome:Graimondii2_0_v6:2:10295776:10301395:1 gene:B456_002G081700 transcript:KJB13566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNQNKPPFQHNTTLTTTSTITPSSLKKKYIADVVSEDKGQRLGFEKMVGTANNGRLRLAFSLVNGSQDLDLSSAPASNAGSECGGIEFTREDVEALVNEKMKYKNKFNYKERCENMMEYIKRLRLCIKWFQELEGEYAFEQEKLRNASELTERRCSEMEVALNNKEEELNLIILELRKSLASLQDKLAKEESEKKAAVDSLTKEKEARTSIERSQASLSEELEKVQGELDGANQRIASINDMYKLLQEYNSSLQLYNSKLQTDLDTAHETIKRGEKERSAIVENLHTLRGQHKSLQDQLMSSIASQDEVMKQKDALLNEVACLRMELHQTREDREHYQQQVQSLAAEVSKYKELATNSSELEEKCLSQGNQIQILHDQLAVAERKLQMSDMSIFETRNEFEGQKKLIEELQNRLEDAEFKLIEGEKLRKKLHNTILELKGNIRVFCRVRPQLPDDSSSNQAKVFSYPTSMEYLGRGIDMTQNAQKHSFTFDKVFMPDASQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGQPDEKGLIPRSLEQIFQTKQALQPQGWRYEMQVSMLEIYNETIRDLLSTNKDASRIENGVAGKQYTIKHDANGNTQVSDLTIVDVQSSREVKYLLDRAAHSRSVGKTQMNEQSSRSHFVFTMRITGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLADVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEPSSAGESLCSLRFAARVNACEIGTPRRQLNMRTSESRLSYG >KJB13567 pep chromosome:Graimondii2_0_v6:2:10295776:10301395:1 gene:B456_002G081700 transcript:KJB13567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTANNGRLRLAFSLVNGSQDLDLSSAPASNAGSECGGIEFTREDVEALVNEKMKYKNKFNYKERCENMMEYIKRLRLCIKWFQELEGEYAFEQEKLRNASELTERRCSEMEVALNNKEEELNLIILELRKSLASLQDKLAKEESEKKAAVDSLTKEKEARTSIERSQASLSEELEKVQGELDGANQRIASINDMYKLLQEYNSSLQLYNSKLQTDLDTAHETIKRGEKERSAIVENLHTLRGQHKSLQDQLMSSIASQDEVMKQKDALLNEVACLRMELHQTREDREHYQQQVQSLAAEVSKYKELATNSSELEEKCLSQGNQIQILHDQLAVAERKLQMSDMSIFETRNEFEGQKKLIEELQNRLEDAEFKLIEGEKLRKKLHNTILELKGNIRVFCRVRPQLPDDSSSNQAKVFSYPTSMEYLGRGIDMTQNAQKHSFTFDKVFMPDASQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGQPDEKGLIPRSLEQIFQTKQALQPQGWRYEMQVSMLEIYNETIRDLLSTNKDASRIENGVAGKQYTIKHDANGNTQVSDLTIVDVQSSREVKYLLDRAAHSRSVGKTQMNEQSSRSHFVFTMRITGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLADVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEPSSAGESLCSLRFAARVNACEIGTPRRQLNMRTSESRLSYG >KJB12974 pep chromosome:Graimondii2_0_v6:2:4057043:4061048:-1 gene:B456_002G048100 transcript:KJB12974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 42 [Source:Projected from Arabidopsis thaliana (AT1G78710) UniProtKB/Swiss-Prot;Acc:Q9ZV89] MAALLGFLGYYHCLAAVLLSLAIVLVHGDIHSSFNMGYEVKRNEVKSCDFFQGSWVFDDSFNPLYDSSSCPFIGGGFDCQKNGRPDRNYLKYRWQPNDCALPRFNGIDFLEKYTNKKILFVGDSLSNNMWRSLICMLHSAIPNPNYTFASRGLLSTFSLPDYGVSVNWLKNGFLVDMAYEKIGKVLKLDSISTGQLWLGADVLIFNSYHWWTHSGRFQSWDYFQYGNKTVKEMDHMEAYKIAMTTWANWVDTNIDPSKTRVLFQGVAAVHFNAKEWGEPSDKGCIKQTEPLKGSTYPGPPVPGEAILKTVLSKMTKPAYLLDITLLTQLRKDGHPSIYARAGPKLIDCSHWCLAACCHGEPKKEAHTRRTKNMSKWQAGCEALPAHSQARPCFIF >KJB12976 pep chromosome:Graimondii2_0_v6:2:4058186:4061026:-1 gene:B456_002G048100 transcript:KJB12976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 42 [Source:Projected from Arabidopsis thaliana (AT1G78710) UniProtKB/Swiss-Prot;Acc:Q9ZV89] MAALLGFLGYYHCLAAVLLSLAIVLVHGDIHSSFNMGYEVKRNEVKSCDFFQGSWVFDDSFNPLYDSSSCPFIGGGFDCQKNGRPDRNYLKYRWQPNDCALPRFNGIDFLEKYTNKKILFVGDSLSNNMWRSLICMLHSAIPNPNYTFASRGLLSTFSLPDYGVSVNWLKNGFLVDMAYEKIGKVLKLDSISTGQLWLGADVLIFNSYHWWTHSGRFQSWDYFQYGNKTVKEMDHMEAYKIAMTTWANWVDTNIDPSKTRVLFQGVAAVHFNAKEWGEPSDKGCIKQTEPLKGSTYPGPPVPGEAILKTVLSKMTKPAYLLDITLLTQLRKDGHPSIYARAGPKLIDCSHWCLAGVPDTWNQLLYAALVQS >KJB12973 pep chromosome:Graimondii2_0_v6:2:4057043:4061089:-1 gene:B456_002G048100 transcript:KJB12973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 42 [Source:Projected from Arabidopsis thaliana (AT1G78710) UniProtKB/Swiss-Prot;Acc:Q9ZV89] MAALLGFLGYYHCLAAVLLSLAIVLVHGDIHSSFNMGYEVKRNEVKSCDFFQGSWVFDDSFNPLYDSSSCPFIGGGFDCQKNGRPDRNYLKYRWQPNDCALPRFNGIDFLEKYTNKKILFVGDSLSNNMWRSLICMLHSAIPNPNYTFASRGLLSTFSLPDYGVSVNWLKNGFLVDMAYEKIGKVLKLDSISTGQLWLGADVLIFNSYHWWTHSGRFQSWDYFQYGNKTVKEMDHMEAYKIAMTTWANWVDTNIDPSKTRVLFQGVAAVHFNAKEWGEPSDKGCIKQTEPLKGSTYPGPPVPGEAILKTVLSKMTKPAYLLDITLLTQLRKDGHPSIYARAGPKLIDCSHWCLAAQ >KJB12975 pep chromosome:Graimondii2_0_v6:2:4057640:4061026:-1 gene:B456_002G048100 transcript:KJB12975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 42 [Source:Projected from Arabidopsis thaliana (AT1G78710) UniProtKB/Swiss-Prot;Acc:Q9ZV89] MAALLGFLGYYHCLAAVLLSLAIVLVHGDIHSSFNMGYEVKRNEVKSCDFFQGSWVFDDSFNPLYDSSSCPFIGGGFDCQKNGRPDRNYLKYRWQPNDCALPRFNGIDFLEKYTNKKILFVGDSLSNNMWRSLICMLHSAIPNPNYTFASRGLLSTFSLPDYGVSVNWLKNGFLVDMAYEKIGKVLKLDSISTGQLWLGADVLIFNSYHWWTHSGRFQSWDYFQYGNKTVKEMDHMEAYKIAMTTWANWVDTNIDPSKTRVLFQGVAAVHFNAKEWGEPSDKGCIKQTEPLKGSTYPGPPVPGEAILKTVLSKMTKPAYLLDITLLTQLRKDGHPSIYARAGPKLIDCSHWCLAEFSIQLQLKKGKIHFKYLNNQNINKCSMLSWRAKERSSYSEDQKHE >KJB13147 pep chromosome:Graimondii2_0_v6:2:6772658:6774548:1 gene:B456_002G059500 transcript:KJB13147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGTRIIQAKLVLVGDMGTGKTSLVLRFVKGQFFHHQEATIGAAFFTQILSVSEATVKFDIWDTAGQERYHSLAPMYYRGAAAAIVVYDISTMDTFARAKKWVQELQKQGNPKLVMALVGNKSDLDSKREVQEGEEFAQENGMLFMETSAKTAENVNELFYEIAKKLATASPPNPSGVTLNHEETQERRGKLFCCIG >KJB13150 pep chromosome:Graimondii2_0_v6:2:6772724:6774548:1 gene:B456_002G059500 transcript:KJB13150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGTRIIQAKLVLVGDMGTGKTSLVLRFVKGQFFHHQEATIGAAFFTQILSVSEATVKFDIWDTAGQERYHSLAPMYYRGAAAAIVVYDISTMDTFARAKKWVQELQKQVMALVGNKSDLDSKREVQVEEGEEFAQENGMLFMETSAKTAENVNELFYEIAKKLATASPPNPSGVTLNHEETQERRGKLFCCIG >KJB13148 pep chromosome:Graimondii2_0_v6:2:6772658:6774548:1 gene:B456_002G059500 transcript:KJB13148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGTRIIQAKLVLVGDMGTGKTSLVLRFVKGQFFHHQEATIGAAFFTQILSVSEATVKFDIWDTAGQERYHSLAPMYYRGAAAAIVVYDISTMDTFARAKKWVQELQKQGNPKLVMALVGNKSDLDSKREVQVEEGEEFAQENGMLFMETSAKTAENVNELFYEIAKKLATASPPNPSGVTLNHEETQERRGKLFCCIG >KJB13149 pep chromosome:Graimondii2_0_v6:2:6772724:6774544:1 gene:B456_002G059500 transcript:KJB13149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGTRIIQAKLVLVGDMGTGKTSLVLRFVKGQFFHHQEATIGAAFFTQILSVSEATVKFDIWDTAGQERYHSLAPMYYRGAAAAIVVYDISTMDTFARAKKWVQELQKQGNPKLVMALVGNKSDLDSKREVQVEEGEEFAQENGMLFMETSAKTAENVNELFYEIGNTLLMLIPYTFGTFSSFAPFLCAAKKLATASPPNPSGVTLNHEETQERRGKLFCCIG >KJB13151 pep chromosome:Graimondii2_0_v6:2:6773113:6774303:1 gene:B456_002G059500 transcript:KJB13151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGKTSLVLRFVKGQFFHHQEATIGAAFFTQILSVSEATVKFDIWDTAGQERYHSLAPMYYRGAAAAIVVYDISTMDTFARAKKWVQELQKQGNPKLVMALVGNKSDLDSKREVQVEEGEEFAQENGMLFMETSAKTAENVNELFYEIAKKLATASPPNPSGVTLNHEETQERRGKLFCCIG >KJB16565 pep chromosome:Graimondii2_0_v6:2:59809841:59812750:-1 gene:B456_002G236600 transcript:KJB16565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVKTE >KJB16567 pep chromosome:Graimondii2_0_v6:2:59810541:59812804:-1 gene:B456_002G236600 transcript:KJB16567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVC >KJB16566 pep chromosome:Graimondii2_0_v6:2:59809841:59812804:-1 gene:B456_002G236600 transcript:KJB16566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVEPKKFGLLANWQREYTMEDLLTQLKKEMAAPHNRKLVQPPEGTYF >KJB16717 pep chromosome:Graimondii2_0_v6:2:60867000:60871561:-1 gene:B456_002G244600 transcript:KJB16717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDRFKNCFLPTPAGSSSTAIKLLMITVPLILVSFIIFVLVPRNSSYWVYVSPSGNSSTGNFGAVSEEVSTAAEAVAVSGEATLNRSTNKSLDVEAQVMEIHEFDETKEASLNITTNELNNLNISVTGVPNATISLNESISLPLKRNRRVRTKLDKLEAGLQRARAAIKDAKNSSQLLDPDYVPIGPMYWDAKAFHRSYMEMEKQFKVFVYKEGEPPVFHDGPCKSIYSMEGNFIYQMDVNTKFRTNNPEKAHVFYLPFSVAKMVRFVYLRDSRDFGPIRRTVIDYVNVVSEKYPYWNRSLGADHFMLACHDWGPEASFSNPYLGKNSIRALCNANTSEKFNPVKDVSIPEMNLRTGKLTGLIGGPSASRRPILAFFAGGVHGPIRPILLEHWEGKDDEIKVHKYLPQGINYYEMMRSSKYCLCPSGYEVASPRIVEALYNGCVPVLISKSYVPPFSDVLRWKSFSVVVSVEDIPRLKEILMKISSRQYIRMQRRVLQVRRHFEFHSPPKRFDVFHMILHSIWLRRLNVRVSDGNDVMPN >KJB14549 pep chromosome:Graimondii2_0_v6:2:20436783:20440539:-1 gene:B456_002G130700 transcript:KJB14549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNEMFVGEIVTELLKQLNAISHKSCFCKSSADNLITSIQELLPIIEELKYSGVELPAFRQSQLNRFSETLRGGIELARKVLESGRWNVYKNLQLVRKMEKLEKQVARFISGPMQAHLLADVHHMRFETMERFNRLEQRLDSMKTGSGRWVEEAVKRMEVEGDSNLGGFSEIGLELGKDKVKKMMIERDDLNVVGIWGIGGSGKTTLANEICRDNQVQSYFNNRILFLTVSQSPDLEQLRAKIWGFITGNEPLNYTRKSQCEWGSGPQALVVLDDVWSLPVLEQLIFRVPTYKTLVISRFKFPRRVVNEVYEVELLEEDESMSLFCRSAFGQNSIPPTANETLVKQIVDECKGLPLALKVIGASLQDQPEMYWINARKRLSRGELICESHENKLLDRMAISVECLKKKVKECFMDLGSFPEDKKIPLDVLVNMWVEIHNIDEEEAFTILMELSDKNLLTLVKDARAGEAYCSYHEICVTQHDVLRDLALHLSNKGEVNERKRLLMPRRDTELPRDWEKNADQPFNAQIVSVHTGEMREMDWFHMEFPKTEVLILNFCSDKYFLPPFIDDMPKLRALIVINHGTTEATLENFSVFTNLANLRSLWLEKVSVPQLSNATVPLRNLRKLSMVLCKVSNSLDPAVLDLPQIFPRLSELVIDHCDDLVKIPLSICKVNSLQSLSLTNCHRLRELPADLGMLKKLQILRLSACPELKMLPPSIGELVGLKYLDISECVNLKCLPKEVGKLVSLEKIDMRDCLQIVNLPTHAALSNLKSLQRVICDDEVFGQWRNLEKTVPNLHVQIAEKWYSLDWLNS >KJB15686 pep chromosome:Graimondii2_0_v6:2:51591530:51599433:1 gene:B456_002G190800 transcript:KJB15686 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 5 [Source:Projected from Arabidopsis thaliana (AT1G27880) UniProtKB/Swiss-Prot;Acc:Q0WVW7] MNAGNFSAKNCKAAVVSAVRHSPPLLVSVTQLTDMDSDSDSDGSHISATPPRDPLPPPRLPPQPPPKPPTSYKSTASTKRSSHLDIIFKLKKPSSSKHDKIEPVPEPEPKVKPIQQPDLPSPVGNFPFQIRRPSDQPHPISIGRSLETLPAGFFSTRRASFSKICKPSLNFEPETLPEITAEPKINGSDGPGSTKTCNKKLPNLIRGDVPLPPVKLQKRSEEGNFVRLNFNGYKRKFTAKGKKMNSYSYKSKYFKRSKRRVKSEVDTGSLCDEEGLVSEIKLQSKAEKPSRCESVEAAISAVRNEVSDENLVRLLKALYGYDLFRDGQLEAIKMILAGKSTMLVLPTGAGKSLCYQIPAVVLLGITLVVSPLVALMIDQLKQLPPMIQGGLLSSSQGPEEAAETLRMVQEGSIKVLFVSPERFLNADFLSIFSTLTSVSLVVVDEAHCVSEWSHNFRPSYMRLRASLLRAKLNVECILAMTATATTTTLQSIMSALEISSTNLIQKSQVRDNLRLSVSLSSNRMKDMLKLMKSSPFLGAQSIIVYCKFQSMYSSQAISNFYLHWILQSETDVISRYLCDNNISAKSYHSGMLARDRSRIQELFCANKIRVVVATVAFGMGIDKRDVGAVIHYSLPESLEEYVQEIGRGGRDGRLSYCHLFFDDVTYYKLRSLMHSDGVDEFAVNKFLCQVFADDTSSHRKVCSLVKESASRKFDMKEEVMLTLLTHLELGETQYLHLLPQLNVTCTLNFHKTSPMLLADKDTAVAAILKKSEIKQGQYVFDIPTVANSIGVAPGDLSNHLQNLKAKGEITYELKDPAYCYKIVKVPSDFCSLTELLTRWLLDIENCKVWKLDAMYSSAVFVVDTCEKTHDCNVAQQTTCLQERILDYFKGDSNLDVLDKMGQNSPFLRADIKVFLQSNSNVKFTPRAIARIMHGIGSPAYPSSTWSRTHFWGRYIQIDFKAVMNAAKAELMNFVGKDAP >KJB15687 pep chromosome:Graimondii2_0_v6:2:51591530:51599596:1 gene:B456_002G190800 transcript:KJB15687 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 5 [Source:Projected from Arabidopsis thaliana (AT1G27880) UniProtKB/Swiss-Prot;Acc:Q0WVW7] MNAGNFSAKNCKAAVVSAVRHSPPLLVSVTQLTDMDSDSDSDGSHISATPPRDPLPPPRLPPQPPPKPPTSYKSTASTKRSSHLDIIFKLKKPSSSKHDKIEPVPEPEPKVKPIQQPDLPSPVGNFPFQIRRPSDQPHPISIGRSLETLPAGFFSTRRASFSKICKPSLNFEPETLPEITAEPKINGSDGPGSTKTCNKKLPNLIRGDVPLPPVKLQKRSEEGNFVRLNFNGYKRKFTAKGKKMNSYSYKSKYFKRSKRRVKSEVDTGSLCDEEGLVSEIKLQSKAEKPSRCESVEAAISAVRNEVSDENLVRLLKALYGYDLFRDGQLEAIKMILAGKSTMLVLPTGAGKSLCYQIPAVVLLGITLVVSPLVALMIDQLKQLPPMIQGGLLSSSQGPEEAAETLRMVQEGSIKVLFVSPERFLNADFLSIFSTLTSVSLVVVDEAHCVSEWSHNFRPSYMRLRASLLRAKLNVECILAMTATATTTTLQSIMSALEISSTNLIQKSQVRDNLRLSVSLSSNRMKDMLKLMKSSPFLGAQSIIVYCKFQSETDVISRYLCDNNISAKSYHSGMLARDRSRIQELFCANKIRVVVATVAFGMGIDKRDVGAVIHYSLPESLEEYVQEIGRGGRDGRLSYCHLFFDDVTYYKLRSLMHSDGVDEFAVNKFLCQVFADDTSSHRKVCSLVKESASRKFDMKEEVMLTLLTHLELGETQYLHLLPQLNVTCTLNFHKTSPMLLADKDTAVAAILKKSEIKQGQYVFDIPTVANSIGVAPGDLSNHLQNLKAKGEITYELKDPAYCYKIVKVPSDFCSLTELLTRWLLDIENCKVWKLDAMYSSAVFVVDTCEKTHDCNVAQQTTCLQERILDYFKGDSNLDVLDKMGQNSPFLRADIKVFLQSNSNVKFTPRAIARIMHGIGSPAYPSSTWSRTHFWGRYIQIDFKAVMNAAKAELMNFVGKDAP >KJB16297 pep chromosome:Graimondii2_0_v6:2:58035723:58038140:-1 gene:B456_002G224900 transcript:KJB16297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRKRGRREAGFNANGGIKKSKPEMESLSTGVGSKSKPCTKFFSTAGCQFGESCHFLHYVPGGYNAVAQMMNLAPAVPPASRNVTATAAVPHGSGSQVKTRLCNKFSGPEGCKYGDKCHFAHGEWELGKPIAPSQDDPRSMAPLPGRMGSRMEPPPPSGPAATFGASATAKISVDASLAGAIIGKGGVHSKQICRQTGAKLSIREHESDPSLRNIELEGSFEQIKEASAMVRELISSLGPVPGPAKTPGAHGGQGHPGSNYKTKLCDNFAKGSCTFGERCHFAHGAAELRKSVV >KJB16298 pep chromosome:Graimondii2_0_v6:2:58035790:58038083:-1 gene:B456_002G224900 transcript:KJB16298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLAPAVPPASRNVTATAAVPHGSGSQVKTRLCNKFSGPEGCKYGDKCHFAHGEWELGKPIAPSQDDPRSMAPLPGRMGSRMEPPPPSGPAATFGASATAKISVDASLAGAIIGKGGVHSKQICRQTGAKLSIREHESDPSLRNIELEGSFEQIKEASAMVRELISSLGPVPGPAKTPGAHGGQGHPGSNYKTKLCDNFAKGSCTFGERCHFAHGAAELRKSVV >KJB16530 pep chromosome:Graimondii2_0_v6:2:59494567:59496769:1 gene:B456_002G234500 transcript:KJB16530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVTGINLSDSKISGEMFNNFSALTQLHHLDFSRNTLKGLIPDDLNRCHNLVYLNLSHNILGGELKLTGLARLVVANLSMNNFIGRIDTFFDGCLNLMYLDLSSNKFTVSENRVSGLIPASSFSDNCNLKGLDLSVNMFHGEIPREISNCKKLVMLNLWGNKFSGPIPSELGSISTLEGLLLGNNEFSRVIPESLLYLNNLAVLDLSYNNFGGRLQEIFGRFRQLKSLVLQGNSYIDGLSSSGIHKLTNISRLDLSYNNFSGHLPAEFSEMVGLKFLILAYNQFTGPIPPQYGDLSQLQVLDLSFNRLIRSIPPSIGSLRSLLWLMLANNSLTGEIPGELGNCSSLLWLNLANNQLSGRFPPELANIGRNPTLTFESNRRSNVMIAGPSDCLVTKRLLTMDYSPFGFIYTILTRKICKNVWDQLLQGYGFFQVCVKGSPVRKYRVSGYLQLIGNQLTGEVPSDIGKMQHFSVLHLSYNQFNGELPVEIGNLPLVVLNISWNKFSGQIPREIGNLNCLQNLDLSHNNFSGIFPTNLNCLNELSKFNISFNPQIAGQIPNIGQLATFEEESYLGNPLLHSRLLKLQDPTVPPLNSGKQALPGEEESEDGFWWKALLMEYGCGMAFGMVMLCICVVKVQPKWVVNKVEGLHQLKAVRPWKKNGSRGGGRII >KJB12437 pep chromosome:Graimondii2_0_v6:2:1176465:1177527:1 gene:B456_002G017600 transcript:KJB12437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLLTPTPKLQPLLPTSAAPPPSPLPHQNHHHPSRRHFLSLSAVTTATLSLSSLLPVTPPPAFAADDEEYVKETSDVIKKVRNTINMDKNDPNVATAVAELRDTSNSWVAKYRREKALLGRPSFREIYSALNAVSGHYISFGPTAPIPAKRKARILEEMDTAEKALLRGR >KJB14135 pep chromosome:Graimondii2_0_v6:2:15653361:15660954:1 gene:B456_002G111700 transcript:KJB14135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLVAAVLAAGVLQVLVATADDHYLLSRIAFGSCANQSAPQPIWDAINKFDPQVFIWLGDNIYGDIRRPFIFLGKERTIGPWQNAPRFIPSSSSKMLSRYNSAKNVPGYLRLRAKAKVVGTWDDHDYGLNDAGKEFSAKSTNQRLLLDFLDEPQDSPRRKQAGVYTSYTFGPPGKQVKIILLDTRYHRDPLSSDGSVLGDSQWSWLGKELRGTPSAITIIGSSIQVISNLSGTTGPLFYMESWGRFPKERDRLFKLINDSKRDGVFFISGDVHFGEITRYDCAAGYPLYDITSSGLTQAVEKVLPSPLRFIVRFLAWFTPSTMRVKNQNCRYRSCTYGEPNFGAIQIDWDASPVNLKIQVRDINGLPVTGVDISLSELQAWNRTIKAGQDQRHCTLEVKLPWIVRYRLAILVYSVLALLLLALVGLICAVTLACRVCLKKCKTD >KJB14141 pep chromosome:Graimondii2_0_v6:2:15653557:15660657:1 gene:B456_002G111700 transcript:KJB14141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLVAAVLAAGVLQVLVATADDHYLLSRIAFGSCANQSAPQPIWDAINKFDPQVFIWLGDNIYGDIRRPFIFLGKERTIGPWQNAPRFIPSSSSKMLSRYNSAKNVPGYLRLRAKAKVVGTWDDHDYGLNDAGKEFSAKSTNQRLLLDFLDEPQDSPRRKQAGVYTSYTFGPPGKQVKIILLDTRYHRDPLSSDGSVLGDSQWSWLGKELRGTPSAITIIGSSIQVISNLSGTTGPLFYMESWGRFPKERDRLFKLINDSKRDGVFFISGDVHFGEITRYDCAAGYPLYDITSSGLTQAVEKVLPSPLRFIVRFLAWFTPSTMRVKNQNCRYRSCTYGEPNFGAIQIDWDASPVNLKIQVRDINGLPVTGVDISLSELQAWNRTIKAGQDQRHCTLEVKLPWIVRYRLAILVYSVLACKQFLMLLCCFLLW >KJB14137 pep chromosome:Graimondii2_0_v6:2:15653361:15660954:1 gene:B456_002G111700 transcript:KJB14137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLVAAVLAAGVLQVLVATADDHYLLSRIAFGSCANQSAPQPIWDAINKFDPQVFIWLGDNIYGDIRRPFIFLGKERTIGPWQNAPRFIPSSSSKMLSRYNSAKNVPGYLRLRAKAKVVGTWDDHDYGLNDAGKEFSAKSTNQRLLLDFLDEPQDSPRRKQAGVYTSYTFGPPGKQVKIILLDTRYHRDPLSSDGSVLGDSQWSWLGKELRGTPSAITIIGSSIQVISNLSGTTGPLFYMESWGRFPKERDRLFKLINDSKRDGVFFISGDVHFGEITRYDCAAGYPLYDITSSGLTQAVEKVLPSPLRFIVRFLAWFTPSTMRVKNQNCRYRSCTYGEPNFGAIQIDWDASPVNLKIQVRDINGLPVTGVDISLSELQAWNRTIKAGQDQRHCTLEVKLPWIVRYRLAILVYSVLALLLLALVGLICAVTLACRVCLKKCKTD >KJB14138 pep chromosome:Graimondii2_0_v6:2:15653647:15656110:1 gene:B456_002G111700 transcript:KJB14138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLVAAVLAAGVLQVLVATADDHYLLSRIAFGSCANQSAPQPIWDAINKFDPQVFIWLGDNIYGDIRRPFIFLGKERTIGPWQNAPRFIPSSSSKMLSRYNSAKNVPGYLRLRAKAKVVGTWDDHDYGLNDAGKEFSAKSTNQRLLLDFLDEPQDSPRRKQAGVYTSYTFGPPGKQVKIILLDTRYHRDPLSSDGSVLGDSQWSWLGKELRGTPSAITIIGSSIQVISNLSGTTGPLFYMESWGRFPKERDRLFKLINDSKRDGVFFISGDVHFGEITRYDCAAGYPLYDITSSGLTQAVEKVLPSPLRFIVRFLAWFTPSTMRVKNQNCRYRSCTYGEPNFGAIQIDWDASPVNLKIQVRDINGLPVTGVDISLSELQAWNRTIKAGQDQRHCTLEVKLPWIVRYRLAILVYSVLA >KJB14139 pep chromosome:Graimondii2_0_v6:2:15653557:15656559:1 gene:B456_002G111700 transcript:KJB14139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLVAAVLAAGVLQVLVATADDHYLLSRIAFGSCANQSAPQPIWDAINKFDPQVFIWLGDNIYGDIRRPFIFLGKERTIGPWQNAPRFIPSSSSKMLSRYNSAKNVPGYLRLRAKAKVVGTWDDHDYGLNDAGKEFSAKSTNQRLLLDFLDEPQDSPRRKQAGVYTSYTFGPPGKQVKIILLDTRYHRDPLSSDGSVLGDSQWSWLGKELRGTPSAITIIGSSIQVISNLSGTTGPLFYMESWGRFPKERDRLFKLINDSKRDGVFFISGDVHFGEITRYDCAAGYPLYDITSSGLTQAVEKVLPSPLRFIVRFLAWFTPSTMRVKNQNCRYRSCTYGEPNFGAIQIDWDASPVNLKIQVRDINGLPVTGVDISLSELQAWNRTIKAGQDQRHCTLEVKLPWIVRYRLAILVYSVLACKQFLMLLVS >KJB14140 pep chromosome:Graimondii2_0_v6:2:15653361:15658063:1 gene:B456_002G111700 transcript:KJB14140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLVAAVLAAGVLQVLVATADDHYLLSRIAFGSCANQSAPQPIWDAINKFDPQVFIWLGDNIYGDIRRPFIFLGKERTIGPWQNAPRFIPSSSSKMLSRYNSAKNVPGYLRLRAKAKVVGTWDDHDYGLNDAGKEFSAKSTNQRLLLDFLDEPQDSPRRKQAGVYTSYTFGPPGKQVKIILLDTRYHRDPLSSDGSVLGDSQWSWLGKELRGTPSAITIIGSSIQVISNLSGTTGPLFYMESWGRFPKERDRLFKLINDSKRDGVFFISGDVHFGEITRYDCAAGYPLYDITSSGLTQAVEKVLPSPLRFIVRFLAWFTPSTMRVKNQNCRYRSCTYGEPNFGAIQIDWDASPVNLKIQVRDINGLPVTGVDISLSELQAWNRTIKAGQDQRHCTLEVKLPWIVRYRLAILVYSVLALLLLALVGLICAVTLACRVCLKKCKTD >KJB14136 pep chromosome:Graimondii2_0_v6:2:15653647:15656110:1 gene:B456_002G111700 transcript:KJB14136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLVAAVLAAGVLQVLVATADDHYLLSRIAFGSCANQSAPQPIWDAINKFDPQVFIWLGDNIYGDIRRPFIFLGKERTIGPWQNAPRFIPSSSSKMLSRYNSAKNVPGYLRLRAKAKVVGTWDDHDYGLNDAGKEFSAKSTNQRLLLDFLDEPQDSPRRKQAGVYTSYTFGPPGKQVKIILLDTRYHRDPLSSDGSVLGDSQWSWLGKELRGTPSAITIIGSSIQVISNLSGTTGPLFYMESWGRFPKERDRLFKLINDSKRDGVFFISGDVHFGEITRYDCAAGYPLYDITSSGLTQAVEKVLPSPLRFIVRFLAWFTPSTMRVKNQNCRYRSCTYGEPNFGAIQIDWDASPVNLKIQVRDINGLPVTGVDISLSELQAWNRTIKAGQDQRHCTLEVKLPWIVRYRLAILVYSVLA >KJB16773 pep chromosome:Graimondii2_0_v6:2:61084083:61085285:-1 gene:B456_002G246900 transcript:KJB16773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVFPLKSIFFSLTMSFLDSRDDLVYDELQKSDTEKKPLPRDEDLPGMGQYYCLHCDRYFANATVRDEHFKTKRHKKRLKQMSGPAPHTQLDAELAAGMGMPDNGPALMSM >KJB16772 pep chromosome:Graimondii2_0_v6:2:61083999:61085545:-1 gene:B456_002G246900 transcript:KJB16772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKCPSRKVKKRRFSHKTARRDKFLLKGDDLVYDELQKSDTEKKPLPRDEDLPGMGQYYCLHCDRYFANATVRDEHFKTKRHKKRLKQMSGPAPHTQLDAELAAGMGMPDNGPALMSM >KJB12133 pep chromosome:Graimondii2_0_v6:2:109566:115224:1 gene:B456_002G002100 transcript:KJB12133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQRLLHLAHDHATRLAMEVRLVQVQSTSDGNSPSEESAQSSRKLSNRQSIHPPPAFGSSPNLGSLADQDGDNSEHGNSHFSRPMHEITFSTEDKPKLLSQLTALLAEIGLNIQEAHAFSTVDGYSLDVFVVDGWPYEETEQLKDALEKDLLKIEKQSWLKQLPTSPTKGQETRTKGDQNYVAIPNDGSDVWEIDPRHLKFENKVASGSYGDLYKGTYCSQDVAIKVLKPERVDTDIQKDFAQEVFIMRKVRHKNVVQFIGACTKPPTLCIVTEFMCGGSVYDYLHKQKGVFKLPSLLKVAIDVSKGMNYLHQNDIIHRDLKAANLLMDENEVVKVADFGVARVKVQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIMLWELLTGKLPYEYLTPLQAAVGVVQKGLRPTVPKNTNPKLTQLLERCWQQDPTLRPDFSEIIGILQQISEVGDEGKSSGGFFSALRKAAK >KJB12132 pep chromosome:Graimondii2_0_v6:2:109161:115224:1 gene:B456_002G002100 transcript:KJB12132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEDTESCGSKAKDTPPLQSQKQRLKFDVYNEVLRRLRRSDKEEADRPGFDHQLWTHFDRLPTRYALDVNVERAEDVLMHQRLLHLAHDHATRLAMEVRLVQVQSTSDGNSPSEESAQSSRKLSNRQSIHPPPAFGSSPNLGSLADQDGDNSEHGNSHFSRPMHEITFSTEDKPKLLSQLTALLAEIGLNIQEAHAFSTVDGYSLDVFVVDGWPYEETEQLKDALEKDLLKIEKQSWLKQLPTSPTKGQETRTKGDQNYVAIPNDGSDVWEIDPRHLKFENKVASGSYGDLYKGTYCSQDVAIKVLKPERVDTDIQKDFAQEVFIMRKVRHKNVVQFIGACTKPPTLCIVTEFMCGGSVYDYLHKQKGVFKLPSLLKVAIDVSKGMNYLHQNDIIHRDLKAANLLMDENEVVKVADFGVARVKVQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIMLWELLTGKLPYEYLTPLQAAVGVVQKGLRPTVPKNTNPKLTQLLERCWQQDPTLRPDFSEIIGILQQISEVGDEGKSSGGFFSALRKAAK >KJB11855 pep chromosome:Graimondii2_0_v6:2:14725453:14730334:1 gene:B456_002G108000 transcript:KJB11855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLEGADIFEEGKKRRKFKVAVAARMQCRRWQTHHQRKRLKSVNRRMKRLRVEMKEISEEQREIKVGQKKVREKFEAIELECEELRKETILVTQQTANTQIRLALMFQILKARQNQELDKATILTHALRDLIERGNQQRKL >KJB11856 pep chromosome:Graimondii2_0_v6:2:14725453:14730334:1 gene:B456_002G108000 transcript:KJB11856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLEGADIFEEGKKRRKFKVAVAARMQCRRWQTHHQQRKRLKSVNRRMKRLRVEMKEISEEQREIKVGQKKVREKFEAIELECEELRKETILVTQQTANTQIRLALMFQILKARQNQELDKATILTHALRDLIERGNQQRKL >KJB16619 pep chromosome:Graimondii2_0_v6:2:60328889:60331589:1 gene:B456_002G239900 transcript:KJB16619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLIRRFCPILIARIKQNHKILKSSLYSSSSSSALHEVSSESSPSLDAIHMTDNCVKRIEELQASKELSEEKMLRLSVETGGCSGFQYVFDLDDKTNQDDRVFERGGVKLIVDNISYDFVKGATVDYIEELIRSAFLVTTNPSAVGGCSCKSSFMVKQ >KJB16378 pep chromosome:Graimondii2_0_v6:2:58555631:58558332:-1 gene:B456_002G227100 transcript:KJB16378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCLFCWTAPILYESMASIGLKPFATQEKAEAPIGFFLAREVRTNQELNFGLVCSCFAHLYICFCLKPFVLPCTMFNPFKSKRNL >KJB15502 pep chromosome:Graimondii2_0_v6:2:47717326:47718427:1 gene:B456_002G181600 transcript:KJB15502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFQGFLTTSSLLGYGEFQSGKGSMEEMEGGAENKTFAGLLGTAKKKGDHKKIRKPRYAFQTRSKVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQIQRLTKDEGMVVTTYEGMHSHPIQNSNDNFEHILSQMQIYTTSF >KJB16527 pep chromosome:Graimondii2_0_v6:2:59400085:59401807:1 gene:B456_002G234200 transcript:KJB16527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSAVYFLLFLMTAATPSLLVESSDDTNHAYLPCSDTKVQISDGFTFGIAFASRQSFFFNSSLQLSPCDRRLSLSNANSRLALFRPKVDEISLLTINTSSFTPDVVGGYMVAFAGRKYAARSLPAFVANGTYTVTSFTLVLEFKKGRLQNLFWKRDGCAQCSGRSNFVCLNKQDCAINTNNCKNHGGSVDCSIGIQLAFSGTDKHLSALNSWYEVENLRQYSLFGLYSNLRDSLTSQYNKFF >KJB16913 pep chromosome:Graimondii2_0_v6:2:61691450:61692655:1 gene:B456_002G254300 transcript:KJB16913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDDVESHTLLIYKILYGDELNGVNYSDPAIVKKYARCAPLDEIFELDRATLKSDGIFHGARTVFRDVFVGIDPDLDVQVEFGAFQKLGDPITRRQVV >KJB15624 pep chromosome:Graimondii2_0_v6:2:49761308:49762640:1 gene:B456_002G186800 transcript:KJB15624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNIDKLWSLVPQDVKAKANKDAAPMIDVTQFGYFKVLGKGVLPEDQPIVVKAKLVSKTAEKKIKEAGGAVVLTA >KJB11825 pep chromosome:Graimondii2_0_v6:2:62578959:62580416:1 gene:B456_002G2663001 transcript:KJB11825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IEIVMVPAGGLLIGRTIATLGTLTLATSLAARQGPIPMAGHQICVQIWLAVSLLTDALALSGQALLATNYSQANYQQARKVIYSVLKIGLATGFPLAVFLFIGFEALSGLFTTDAEVLQIAWSGTLFVAGSQPVNAVAFVLDGLYYGVSDYEYAAVSMVIYDQVYAIIVFGKLI >KJB11827 pep chromosome:Graimondii2_0_v6:2:62579214:62580338:1 gene:B456_002G2663001 transcript:KJB11827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFWFLLQALLATNYSQANYQQARKVIYSVLKIGLATGFPLAVFLFIGFEALSGLFTTDAEVLQIAWSGTLFVAGSQPVNAVAFVLDGLYYGVSDYEYAAVSMVVVGLISSAFLLVAAPLFSVGGVWTGLFLFMTLRVVAGFWRLGTKSGPWKMIYCDMEKGKG >KJB11826 pep chromosome:Graimondii2_0_v6:2:62578959:62580522:1 gene:B456_002G2663001 transcript:KJB11826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IEIVMVPAGGLLIGRTIATLGTLTLATSLAARQGPIPMAGHQICVQIWLAVSLLTDALALSGQALLATNYSQANYQQARKVIYSVLKIGLATGFPLAVFLFIGFEALSGLFTTDAEVLQIAWSGTLFVAGSQPVNAVAFVLDGLYYGVSDYEYAAVSMVVVGLISSAFLLVAAPLFSVGGVWTGLFLFMTLRVVAGFWRLGTKSGPWKMIYCDMEKGKG >KJB11824 pep chromosome:Graimondii2_0_v6:2:62578959:62580338:1 gene:B456_002G2663001 transcript:KJB11824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IEIVMVPAGGLLIGRTIATLGTLTLATSLAARQGPIPMAGHQICVQIWLAVSLLTDALALSGQALLATNYSQANYQQARKVIYSVLKIGLATGFPLAVFLFIGFEALSGLFTTDAEVLQIAWSGTLFVAGSQPVNAVAFVLDGLYYGVSDYEYAAVSMVIYDQVYAIIVFGKLI >KJB15558 pep chromosome:Graimondii2_0_v6:2:48942561:48950898:-1 gene:B456_002G184200 transcript:KJB15558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHGWRVAFYAVVQNLTSLGFAPLCSTIKLSRRVTMPKVELSVFDMLNNDESEVGSERSLVHEAHVAFSVEGLRKIRTETPLHAPKQEGRREYEISKENKYGSNFMLNDIELEMDAMMHDMDVPLGGNPSQFLVDITDSHGNWKPNLSTFSDEMQLDSHYRNSKCSFGDTDISYNIGRKDIWDAKVSYLDDGFPHEREDDIS >KJB12319 pep chromosome:Graimondii2_0_v6:2:890758:893012:-1 gene:B456_002G013700 transcript:KJB12319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKVEVRGFAACNDKCGCPSPCPGGTTCSCGAGEAAHKRCSCGEHCGCNPCTCSQAEAAGGSGTGKMYCKCGAGCTCATCAN >KJB14398 pep chromosome:Graimondii2_0_v6:2:17649993:17653906:1 gene:B456_002G122800 transcript:KJB14398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKWTIPSALILLCLLSLLSDQGRKLQANAKEGAVDPPKVEDNIGAVPHGLQTDSDVVKRESDSISSRSLRNNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTSKLEIQIKLDKEKKMLSLRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQSTGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAQEYLEESKLKELVKKYSEFINFPIYIWASKEVDVEVPADEDESSDEESSDSTSSEEGEDEADKSEDEDTEKKKTVKETTYEWERLNDVKAIWLRSPKDVTNEEYVKFYHSLTKDFSDEKPMAWSHFTAEGDVEFKAVLFVPPKAPHNLYQSYYNSNKSNLKLYVRRVFISDEFDELLPKFLSFLMGLVDSDTLPLNVSREMLQAHSSLKTIKKKLVRKALDMIRRIADEDPDEFSGKDEKDVEKSGDEDEKKGQYTKFWNEYGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLTSLDQYISRMKSGQKDIFYITGISKEQLEKSPFLERLKKKNYEVIFFTDPVDEYLMQYLMDYEGKQFQNVSKDGLKIGKDSKSRELKDSFKELTKWWKGTLKTEDVDEVKISNRLDNTPCVVVTSKFGWSANMERLMQAQTLTDASKQAYMRGKRILEINPRHPIVKELRERVVKDPEVCTFVTPNIHVL >KJB14397 pep chromosome:Graimondii2_0_v6:2:17649893:17654527:1 gene:B456_002G122800 transcript:KJB14397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKWTIPSALILLCLLSLLSDQGRKLQANAKEGAVDPPKVEDNIGAVPHGLQTDSDVVKRESDSISSRSLRNNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTSKLEIQIKLDKEKKMLSLRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQSTGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAQEYLEESKLKELVKKYSEFINFPIYIWASKEVDVEVPADEDESSDEESSDSTSSEEGEDEADKSEDEDTEKKKTVKETTYEWERLNDVKAIWLRSPKDVTNEEYVKFYHSLTKDFSDEKPMAWSHFTAEGDVEFKAVLFVPPKAPHNLYQSYYNSNKSNLKLYVRRVFISDEFDELLPKFLSFLMGLVDSDTLPLNVSREMLQAHSSLKTIKKKLVRKALDMIRRIADEDPDEFSGKDEKDVEKSGDEDEKKGQYTKFWNEYGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLTSLDQYISRMKSGQKDIFYITGISKEQLEKSPFLERLKKKNYEVIFFTDPVDEYLMQYLMDYEGKQFQNVSKDGLKIGKDSKSRELKDSFKELTKWWKGTLKTEDVDEVKISNRLDNTPCVVVTSKFGWSANMERLMQAQTLTDASKQAYMRGKRILEINPRHPIVKELRERVVKDPEDEGVKQTAQLIYQTALMESGFILSDPKDFASRIYSSVKSSLNISPDATIEEEDDVEETETEPETKAGKDGADAESSGLKDEL >KJB14566 pep chromosome:Graimondii2_0_v6:2:20611697:20613919:1 gene:B456_002G131600 transcript:KJB14566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAKEKMMSYEEIRQKRVEENKKRMEALNLPQLSTLLHTPSFKPSPRKQMKLRTVEKQLVVVRRSSRVANKPAPVYQEVLVDKVMIPRRVSKHRDLSNRVYASDEARAEALEKAEKLESGLDPHFPVFIKSMLQSHVTGGFWLGLPVHFCKTNLPKRDEVMTLVDEEGHEYPTIYLAKKTGLSGGWKGFAVAHRLVDGDAIVFQLLQRTTFKVYIIRVKGSEQS >KJB14568 pep chromosome:Graimondii2_0_v6:2:20611755:20613811:1 gene:B456_002G131600 transcript:KJB14568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRRVSKHRDLSNRVYASDEARAEALEKAEKLESGLDPHFPVFIKSMLQSHVTGGFWLGLPVHFCKTNLPKRDEVMTLVDEEGHEYPTIYLAKKTGLSGGWKGFAVAHRLVDGDAIVFQLLQRTTFKVYIIRVKGSEQS >KJB14567 pep chromosome:Graimondii2_0_v6:2:20611755:20613811:1 gene:B456_002G131600 transcript:KJB14567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRTVEKQLVVVRRSSRVANKPAPVYQEVLVDKVMIPRRVSKHRDLSNRVYASDEARAEALEKAEKLESGLDPHFPVFIKSMLQSHVTGGFWLGLPVHFCKTNLPKRDEVMTLVDEEGHEYPTIYLAKKTGLSGGWKGFAVAHRLVDGDAIVFQLLQRTTFKVYIIRVKGSEQS >KJB15190 pep chromosome:Graimondii2_0_v6:2:39528324:39545645:-1 gene:B456_002G164100 transcript:KJB15190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MAKSRQHYSSQDSSVSPRAGRSREWEGPSRWTEYLGLDTTSPFSSRSSRYMNSDGQVQSLGVGSHKGLNMQWVAQLVEVADGLMAKMYRLNQILDYPEPIGHAFSEAFWKASVFPNHPRICIFLSKKFPEHFSKLQLERVDKAALDSLSGNAEVHLQSLEPWVQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKLPRKMMLQVYNLLHAMSRNDRDCDFYHRLIQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTAYREWVLLGYLVCPDELLRVTSIDIAVVVLKENLVLTLFRDEYVLLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKMIGEVHEQALISCDAIHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVIWFFQHVGIASSKSKVARMVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKTLFQQIINHLENIPKPQGENISAITCDLSGFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLRKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALEMQLLPEQAAFYLNNASRVSIPSAKSPKGAVAYPLPGHESYPENNNSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRLLSVLKTDNDLQRPSILESLIRRHMNIIHLAEQHISMDLTQGIREVLLLETFSGPVSSLHLFDRPAEQHTGSATEVVCNWYIENIVKDMSGAGILFTPMHKCFKSTRPVGGYFAESVTDLGELQAFVRIFGGYGVDRLDTMMKEHTAALLNCIDTSLRSNRELLEAVAGSMHSGDRIEREACLKQIVDLDTIIGFCIEAGQALAFDKLLAEAAGAVLEEGAPLIYSLLAGVVKHIPEEIPEKREIRRMRGVANGVALAGDHDSEWVRSILEEVGGANDGSWSLLPYLFATFMTSNIWNTTGFNVDTGGFNNNIHCLARCINAVIAGSEYVRLAREHHQRQLLSNGHVGNADSLDPDMRVSAEASIKSAMQLFIKFSAGIVLDSLHESNRSHLVAKLVFLDQLCDISLYLPRSSLEAHVPYAILRSIYSQYYANSPLMPMALLSASPRHSPAVSLAHASPIMRQPRGDSTPQYSANDSGYFKGSSSHSQEQLYDAESANLRGVENKHRNVRRSGPLDYSSSRKVKYEGSSSGGTGPSPLPRFAVSRSGPISYK >KJB15188 pep chromosome:Graimondii2_0_v6:2:39528314:39545677:-1 gene:B456_002G164100 transcript:KJB15188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MAKSRQHYSSQDSSVSPRAGRSREWEGPSRWTEYLGLDTTSPFSSRSSRYMNSDGQVQSLGVGSHKGLNMQWVAQLVEVADGLMAKMYRLNQILDYPEPIGHAFSEAFWKASVFPNHPRICIFLSKKFPEHFSKLQLERVDKAALDSLSGNAEVHLQSLEPWVQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKLPRKMMLQVYNLLHAMSRNDRDCDFYHRLIQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTAYREWVLLGYLVCPDELLRVTSIDIAVVVLKENLVLTLFRDEYVLLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKMIGEVHEQALISCDAIHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVIWFFQHVGIASSKSKVARMVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKTLFQQIINHLENIPKPQGENISAITCDLSGFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLRKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALEMQLLPEQAAFYLNNASRVSIPSAKSPKGAVAYPLPGHESYPENNNSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRLLSVLKTDNDLQRPSILESLIRRHMNIIHLAEQHISMDLTQGIREVLLLETFSGPVSSLHLFDRPAEQHTGSATEVVCNWYIENIVKDMSGAGILFTPMHKCFKSTRPVGGYFAESVTDLGELQAFVRIFGGYGVDRLDTMMKEHTAALLNCIDTSLRSNRELLEAVAGSMHSGDRIEREACLKQIVDLDTIIGFCIEAGQALAFDKLLAEAAGAVLEEGAPLIYSLLAGVVKHIPEEIPEKREIRRMRGVANGVALAGDHDSEWVRSILEEVGGANDGSWSLLPYLFATFMTSNIWNTTGFNVDTGGFNNNIHCLARCINAVIAGSEYVRLAREHHQRQLLSNGHVGNADSLDPDMRVSAEASIKSAMQLFIKFSAGIVLDSLHESNRSHLVAKLVFLDQLCDISLYLPRSSLEAHVPYAILRSIYSQYYANSPLMPMALLSASPRHSPAVSLAHASPIMRQPRGDSTPQYSANDSGYFKGSSSHSQEQLYDAESANLRGVENKHRNVRRSGPLDYSSSRKVKYEGSSSGGTGPSPLPRFAVSRSGPISYK >KJB15189 pep chromosome:Graimondii2_0_v6:2:39528925:39545060:-1 gene:B456_002G164100 transcript:KJB15189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MAKSRQHYSSQDSSVSPRAGRSREWEGPSRWTEYLGLDTTSPFSSRSSRYMNSDGQVQSLGVGSHKGLNMQWVAQLVEVADGLMAKMYRLNQILDYPEPIGHAFSEAFWKASVFPNHPRICIFLSKKFPEHFSKLQLERVDKAALDSLSGNAEVHLQSLEPWVQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKLPRKMMLQVYNLLHAMSRNDRDCDFYHRLIQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTAYREWVLLGYLVCPDELLRVTSIDIAVVVLKENLVLTLFRDEYVLLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKMIGEVHEQALISCDAIHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVIWFFQHVGIASSKSKVARMVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKTLFQQIINHLENIPKPQGENISAITCDLSGFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLRKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALEMQLLPEQAAFYLNNASRVSIPSAKSPKGAVAYPLPGHESYPENNNSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRLLSVLKTDNDLQRPSILESLIRRHMNIIHLAEQHISMDLTQGIREVLLLETFSGPVSSLHLFDRPAEQHTGSATEVVCNWYIENIVKDMSGAGILFTPMHKCFKSTRPVGGYFAESVTDLGELQAFVRIFGGYGVDRLDTMMKEHTAALLNCIDTSLRSNRELLEAVAGSMHSGDRIEREACLKQIVDLDTIIGFCIEAGQALAFDKLLAEAAGAVLEEGAPLIYSLLAGVVKHIPEEIPEKREIRRMRGVANGVALAGDHDSEWVRSILEEVGGANDGSWSLLPYLFATFMTSNIWNTTGFNVDTGGFNNNIHCLARCINAVIAGSEYVRLAREHHQRQLLSNGHVGNADSLDPDMRVSAEASIKSAMQLFIKFSAGIVLDSLHESNSVEGYPFYFSEIFADLISLPSLYFWTNSVTFHYTFQEALLRPMFLMLFSVQYIASTTRTLL >KJB15191 pep chromosome:Graimondii2_0_v6:2:39528324:39545390:-1 gene:B456_002G164100 transcript:KJB15191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MAKSRQHYSSQDSSVSPRAGRSREWEGPSRWTEYLGLDTTSPFSSRSSRYMNSDGQVQSLGVGSHKGLNMQWVAQLVEVADGLMAKMYRLNQILDYPEPIGHAFSEAFWKASVFPNHPRICIFLSKKFPEHFSKLQLERVDKAALDSLSGNAEVHLQSLEPWVQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKLPRKMMLQVYNLLHAMSRNDRDCDFYHRLIQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTAYREWVLLGYLVCPDELLRVTSIDIAVVVLKENLVLTLFRDEYVLLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKMIGEVHEQALISCDAIHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVIWFFQHVGIASSKSKVARMVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKTLFQQIINHLENIPKPQGENISAITCDLSGFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLRKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALEMQLLPEQAAFYLNNASRVSIPSAKSPKGAVAYPLPGHESYPENNNSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRLLSVLKTDNDLQRPSILESLIRRHMNIIHLAEQHISMDLTQGIREVLLLETFSGPVSSLHLFDRPAEQHTGSATEVVCNWYIENIVKDMSGAGILFTPMHKCFKSTRPVGGYFAESVTDLGELQAFVRIFGGYGVDRLDTMMKEHTAALLNCIDTSLRSNRELLEAVAGSMHSGDRIEREACLKQIVDLDTIIGFCIEAGQALAFDKLLAEAAGAVLEEGAPLIYSLLAGVVKHIPEEIPEKREIRRMRGVANGVALAGDHDSEWVRSILEEVGGANDGSWSLLPYLFATFMTSNIWNTTGFNVDTGGFNNNIHCLARCINAVIAGSEYVRLAREHHQRQLLSNGHVGNADSLDPDMRVSAEASIKSAMQLFIKFSAGIVLDSLHESNRSHLVAKLVFLDQLCDISLYLPRSSLEAHVPYAILRSIYSQYYANSPLMPMALLSASPRHSPAVSLAHASPIMRQPRGDSTPQYSANDSGYFKGSSSHSQEQLYDAESANLRGVENKHRNVRRSGPLDYSSSRKVKYEGSSSGGTGPSPLPRFAVSRSGPISYK >KJB15187 pep chromosome:Graimondii2_0_v6:2:39528324:39545323:-1 gene:B456_002G164100 transcript:KJB15187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MAKSRQHYSSQDSSVSPRAGRSREWEGPSRWTEYLGLDTTSPFSSRSSRYMNSDGQVQSLGVGSHKGLNMQWVAQLVEVADGLMAKMYRLNQILDYPEPIGHAFSEAFWKASVFPNHPRICIFLSKKFPEHFSKLQLERVDKAALDSLSGNAEVHLQSLEPWVQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKLPRKMMLQVYNLLHAMSRNDRDCDFYHRLIQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTAYREWVLLGYLVCPDELLRVTSIDIAVVVLKENLVLTLFRDEYVLLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKMIGEVHEQALISCDAIHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVIWFFQHVGIASSKSKVARMVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKTLFQQIINHLENIPKPQGENISAITCDLSGFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLRKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALEMQLLPEQAAFYLNNASRVSIPSAKSPKGAVAYPLPGHESYPENNNSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRLLSVLKTDNDLQRPSILESLIRRHMNIIHLAEQHISMDLTQGIREVLLLETFSGPVSSLHLFDRPAEQHTGSATEVVCNWYIENIVKDMSGAGILFTPMHKCFKSTRPVGGYFAESVTDLGELQAFVRIFGGYGVDRLDTMMKEHTAALLNCIDTSLRSNRELLEAVAGSMHSGDRIEREACLKQIVDLDTIIGFCIEAGQALAFDKLLAEAAGAVLEEGAPLIYSLLAGVVKHIPEEIPEKREIRRMRGVANGVALAGDHDSEWVRSILEEVGGANDGSWSLLPYLFATFMTSNIWNTTGFNVDTGGFNNNIHCLARCINAVIAGSEYVRLAREHHQRQLLSNGHVGNADSLDPDMRVSAEASIKSAMQLFIKFSAGIVLDSLHESNRSHLVAKLVFLDQLCDISLYLPRSSLEAHVPYAILRSIYSQYYANSPLMPMALLSASPRHSPAVSLAHASPIMRQPRGDSTPQYSANDSGYFKGSSSHSQEQLYDAESANLRGVENKHRNVRRSGPLDYSSSRKVKYEGSSSGGTGPSPLPRFAVSRSGPISYK >KJB13321 pep chromosome:Graimondii2_0_v6:2:8109342:8112800:1 gene:B456_002G070200 transcript:KJB13321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEVIEIPPPVPYSFKSNKKKQVVIHEVIDVDNDGDSSDIVILDERVDVRNKGKAVKGSSGIYNAIQAEDFVAKSPGSLNKIEPSKHSTQGSHNIYNLDCDLTYDDDFFDNYYGNDFMDVDEYAMLQAHFDNVDIPAGVEASIPWFADFSERKKDTSPVNTQSSVNTSHSKTQLSANGNDQSLSSWLSDPAHMKKAALVSSSSFHNPADPLSHSPGEVIVPSTLLLPQGSQSKKSATSQLKLSSQSLPFWNSFQPYQVGGSNNGSNGSHSDSMMLPQAVNPTYLGHFSSAANKQIGAGSLNSNFPTPIDLNHTMVAEPSMPWWPPPMKPKYNFNKHNNYTSFPDPVDGVYITPQEVADIRNQKNVNEEDILSKFQLFKQFDTVEDFSDHHYASGGASTKQPPKNWAKKIQEEWRILEKDLPDTIFVRVYESRMDLLRAVIIGAEGTPYHDGLFFFDVFFPASYPKGPPVCNCGL >KJB13322 pep chromosome:Graimondii2_0_v6:2:8109085:8113906:1 gene:B456_002G070200 transcript:KJB13322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDEYAMLQAHFDNVDIPAGVEASIPWFADFSERKKDTSPVNTQSSVNTSHSKTQLSANGNDQSLSSWLSDPAHMKKAALVSSSSFHNPADPLSHSPGEVIVPSTLLLPQGSQSKKSATSQLKLSSQSLPFWNSFQPYQVGGSNNGSNGSHSDSMMLPQAVNPTYLGHFSSAANKQIGAGSLNSNFPTPIDLNHTMVAEPSMPWWPPPMKPKYNFNKHNNYTSFPDPVDGVYITPQEVADIRNQKNVNEEDILSKFQLFKQFDTVEDFSDHHYASGGASTKQPPKNWAKKIQEEWRILEKDLPDTIFVRVYESRMDLLRAVIIGAEGTPYHDGLFFFDVFFPASYPKGPPQVYYHSGGLRLNPNLYSCGKVCLSLLNTWSGNKNEKWIPGMSTMLQVLVSIQALILNQDPYFNEPGWAHHRGTPQGELLSRQYNEDTFILSLKTMIYSMRRPPKHFEDFVVGHFYRRAQDILVACKAYMDGAQVGCLVKGGVQDVDEGDKSCSQKFKNSVSGCVNMLVKEFTVLGVKDCEKFLIVPKCQNNRVDSIPKVPVL >KJB13317 pep chromosome:Graimondii2_0_v6:2:8109085:8113906:1 gene:B456_002G070200 transcript:KJB13317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEVIEIPPPVPYSFKSNKKKQVVIHEVIDVDNDGDSSDIVILDERVDVRNKGKAVKGSSGIYNAIQAEDFVAKSPGSLNKIEPSKHSTQGSHNIYNLDCDLTYDDDFFDNYYGNDFMDVDEYAMLQAHFDNVDIPAGVEASIPWFADFSERKKDTSPVNTQSSVNTSHSKTQLSANGNDQSLSSWLSDPAHMKKAALVSSSSFHNPADPLSHSPGEVIVPSTLLLPQGSQSKKSATSQLKLSSQSLPFWNSFQPYQVGGSNNGSNGSHSDSMMLPQAVNPTYLGHFSSAANKQIGAGSLNSNFPTPIDLNHTMVAEPSMPWWPPPMKPKYNFNKHNNYTSFPDPVDGVYITPQEVADIRNQKNVNEEDILSKFQLFKQFDTVEDFSDHHYASGGASTKQPPKNWAKKIQEEWRILEKDLPDTIFVRVYESRMDLLRAVIIGAEGTPYHDGLFFFDVFFPASYPKGPPQVYYHSGGLRLNPNLYSCGKVCLSLLNTWSGNKNEKWIPGMSTMLQVLVSIQALILNQDPYFNEPGWAHHRGTPQGELLSRQYNEDTFILSLKTMIYSMRRPPKHFEDFVVGHFYRRAQDILVACKAYMDGAQVGCLVKGGVQDVDEGDKSCSQKFKNSVSGCVNMLVKEFTVLGVKDCEKFLIVPKCQNNRVDSIPKVPVL >KJB13319 pep chromosome:Graimondii2_0_v6:2:8108993:8114042:1 gene:B456_002G070200 transcript:KJB13319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTKPLPPSPPPSTRRAYYNTRNSKKRLLSTTASSGFFMDPEVIEIPPPVPYSFKSNKKKQVVIHEVIDVDNDGDSSDIVILDERVDVRNKGKAVKGSSGIYNAIQAEDFVAKSPGSLNKIEPSKHSTQGSHNIYNLDCDLTYDDDFFDNYYGNDFMDVDEYAMLQAHFDNVDIPAGVEASIPWFADFSERKKDTSPVNTQSSVNTSHSKTQLSANGNDQSLSSWLSDPAHMKKAALVSSSSFHNPADPLSHSPGEVIVPSTLLLPQGSQSKKSATSQLKLSSQSLPFWNSFQPYQVGGSNNGSNGSHSDSMMLPQAVNPTYLGHFSSAANKQIGAGSLNSNFPTPIDLNHTMVAEPSMPWWPPPMKPKYNFNKHNNYTSFPDPVDGVYITPQEVADIRNQKNVNEEDILSKFQLFKQFDTVEDFSDHHYASGGASTKQPPKNWAKKIQEEWRILEKDLPDTIFVRVYESRMDLLRAVIIGAEGTPYHDGLFFFDVFFPASYPKGPPQVYYHSGGLRLNPNLYSCGKVCLSLLNTWSGNKNEKWIPGMSTMLQVLVSIQALILNQDPYFNEPGWAHHRGTPQGELLSRQYNEDTFILSLKTMIYSMRRPPKHFEDFVVGHFYRRAQDILVACKAYMDGAQVGCLVKGGVQDVDEGDKSCSQKFKNSVSGCVNMLVKEFTVLGVKDCEKFLIVPKCQNNRVDSIPKVPVL >KJB13320 pep chromosome:Graimondii2_0_v6:2:8109085:8113906:1 gene:B456_002G070200 transcript:KJB13320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTKPLPPSPPPSTRRAYYNTRNSKKRLLSTTASSGFFMDPEVIEIPPPVPYSFKSNKKKQVVIHEVIDVDNDGDSSDIVILDERVDVRNKGKAVKGSSGIYNAIQAEVCERLERSCCSYCLLCLTSKLMYIWTSDFVVYCFPISPQDFVAKSPGSLNKIEPSKHSTQGSHNIYNLDCDLTYDDDFFDNYYGNDFMDVDEYAMLQAHFDNVDIPAGVEASIPWFADFSERKKDTSPVNTQSSVNTSHSKTQLSANGNDQSLSSWLSDPAHMKKAALVSSSSFHNPADPLSHSPGEVIVPSTLLLPQGSQSKKSATSQLKLSSQSLPFWNSFQPYQVGGSNNGSNGSHSDSMMLPQAVNPTYLGHFSSAANKQIGAGSLNSNFPTPIDLNHTMVAEPSMPWWPPPMKPKYNFNKHNNYTSFPDPVDGVYITPQEVADIRNQKNVNEEDILSKFQLFKQFDTVEDFSDHHYASGGASTKQPPKNWAKKIQEEWRILEKDLPDTIFVRVYESRMDLLRAVIIGAEGTPYHDGLFFFDVFFPASYPKGPPQVYYHSGGLRLNPNLYSCGKVCLSLLNTWSGNKNEKWIPGMSTMLQVLVSIQALILNQDPYFNEPGWAHHRGTPQGELLSRQYNEDTFILSLKTMIYSMRRPPKHFEDFVVGHFYRRAQDILVACKAYMDGAQVGCLVKGGVQDVDEGDKSCSQKFKNSVSGCVNMLVKEFTVLGVKDCEKFLIVPKCQNNRVDSIPKVPVL >KJB13318 pep chromosome:Graimondii2_0_v6:2:8109085:8113206:1 gene:B456_002G070200 transcript:KJB13318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEVIEIPPPVPYSFKSNKKKQVVIHEVIDVDNDGDSSDIVILDERVDVRNKGKAVKGSSGIYNAIQAEDFVAKSPGSLNKIEPSKHSTQGSHNIYNLDCDLTYDDDFFDNYYGNDFMDVDEYAMLQAHFDNVDIPAGVEASIPWFADFSERKKDTSPVNTQSSVNTSHSKTQLSANGNDQSLSSWLSDPAHMKKAALVSSSSFHNPADPLSHSPGEVIVPSTLLLPQGSQSKKSATSQLKLSSQSLPFWNSFQPYQVGGSNNGSNGSHSDSMMLPQAVNPTYLGHFSSAANKQIGAGSLNSNFPTPIDLNHTMVAEPSMPWWPPPMKPKYNFNKHNNYTSFPDPVDGVYITPQEVADIRNQKNVNEEDILSKFQLFKQFDTVEDFSDHHYASGGASTKQPPKNWAKKIQEEWRILEKDLPDTIFVRVYESRMDLLRAVIIGAEGTPYHDGLFFFDVFFPASYPKGPPQVYYHSGGLRLNPNLYSCGKVCLSLLNTWSGNKNEKWIPGMSTMLQVLVSIQALILNQDPYFNEPGWAHHRGTPQGELLSRQYNEDTFILSLKTMIYSMRRPPKVS >KJB14575 pep chromosome:Graimondii2_0_v6:2:20729146:20730737:-1 gene:B456_002G132100 transcript:KJB14575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVGRGFLVQPCSYSSSPTFHNKIYKPSLGSATTFWSTLAPQRYPQVAVQAQQRPTWLPGLDPPPYLDGTLAGDYGFDPLGLGEDPKSLKWYVQAELVHARFAMAGVAGILFTDLLRVTGISNLPVWYEAGAVKFEFASTRTLIVVQFLLMGFAETKRYMDFINPGSQAKEGSFFGLEAALEGLEPGYPGGPLLNPLGLAKDIKNAHEWKLKEIKNGRLAMIAMLGIFVQAYVTHAGPIDNLVEHLSNPWQKTIIQTLANSTS >KJB14576 pep chromosome:Graimondii2_0_v6:2:20729210:20730664:-1 gene:B456_002G132100 transcript:KJB14576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAGILFTDLLRVTGISNLPVWYEAGAVKFEFASTRTLIVVQFLLMGFAETKRYMDFINPGSQAKEGSFFGLEAALEGLEPGYPGGPLLNPLGLAKDIKNAHEWKLKEIKNGRLAMIAMLGIFVQAYVTHAGPIDNLVEHLSNPWQKTIIQTLANSTS >KJB14578 pep chromosome:Graimondii2_0_v6:2:20729444:20730614:-1 gene:B456_002G132100 transcript:KJB14578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVGRGFLVQPCSYSSSPTFHNKIYKPSLGSATTFWSTLAPQRYPQVAVQAQQRPTWLPGLDPPPYLDGTLAGDYGFDPLGLGEDPKSLKWYVQAELVHARFAMAGVAGILFTDLLRVTGISNLPVWYEAGAVKFEFASTRTLIVVQFLLMGFAETKRYMDFINPGSQAKEGSFFGLEAALEGLEPGYPGGPLLNPLGLAKDIKNAHEWKLKEIKNGLLCLFPPSLLCVCVCFHFVLKPGVQCRKTGDDSHARHLCASLRDTCRSN >KJB14577 pep chromosome:Graimondii2_0_v6:2:20729168:20730664:-1 gene:B456_002G132100 transcript:KJB14577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVGRGFLVQPCSYSSSPTFHNKIYKPSLGSATTFWSTLAPQRYPQVAVQAQQRPTWLPGLDPPPYLDGTLAGDYGFDPLGLGEDPKSLKWYVQAELVHARFAMAGVAGILFTDLLRVTGISNLPVWYEAGAVKFEFASTRTLIVVQFLLMGFAETKRYMDFINPGSQAKEGSFFGLEAALEGLEPGYPGGPLLNPLGLAKDIKNAHEWKLKEIKNDWR >KJB14051 pep chromosome:Graimondii2_0_v6:2:14933968:14935810:1 gene:B456_002G109000 transcript:KJB14051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPLSNICASSLDSYDILVKKYKNAISNLENLEKLGACLLHDVDATKMKHHTDLANRKFDRIIFNFPHAGFHGKEDNPHMIRMHRNLVQGSFRSARGMLRANGEIHVNHKTNTPFSLWNLKKLASGCSPALIQHVDFHVEDYPGYRNKKGDGSRCDEPFPLGKSCTFKFGFCPRAKKASKATKRWGSMCNKSQHFQTIPMPMQLHSTSDFNYHRRNHTVNRIPLRVKLHPIIPNQNQYSGIFYRNFNNMVQTCQANSLRSDFDTRYDGLGSLRHGLDRQLVEVPRTLNGNLPYMHEHKHEHE >KJB14132 pep chromosome:Graimondii2_0_v6:2:15609259:15621564:-1 gene:B456_002G111600 transcript:KJB14132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal processing peptidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42390) UniProtKB/Swiss-Prot;Acc:Q9FIH8] MATSSSSSVMSAILVPHNRWDSSISRGRRSLVRVNIPVPAASVPLARFHPTAAAARWLGDLRDGNSIELAYHNRNNNSMRQMKLKKKKKSDAPKAWKWSTSVSHSQQLKKWIPCCSSLSRNCYGETTPFPLPKSAQPFFLHKSRFPLATHTFSSSGKRFCSPCATVGPDEPHAASTTCPDGLLENQNFDSLYPQLQTSELEEFLSTELPSNPKLHRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTCTKDADEDLLPLVLDALNEIAFHPKFLSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIDNISKTINQIEAVFEQTGLENETASPPTSSAFGAMANFLVPKLSAGFAGSSSHERSSNSSDQSKILKKERLAVRPPIKHNWSLPGHNTDMKPPQIFQHELLQNFSINMFCKIPVSKVRTFRDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTITAEPKNWQNAIKVAVQEVRRLKEFGVTKGELTRYMDALLKDSEQLSAMIDNVSSVDNLDFIMESDALGHIVMDQRQGHESLMAVAGTVTLDEVNSIGALVLEFISDFGKPTAPLPAAVVACVPKKVHIDGLGETEFKITASEIISSIESGLKEPIEAEPELEVPKELLSPQQLQELRMQQKPSFIPLSPEINVTKLHDKETGITQCRLSNGIPVNYKISKNETRGGVMRLIVGGGRAAETSGSKGAVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMHAAFQLLHMVLEHSVWLEDAFDRARQLYLSYYRSIPKSLERSTAHKLMLAMMDGDERFVEPTPKSLQNLTLKSVKDAVMNQFVADNMEVSIVGDFSQEEIESCILDYLGTVRASRDSEREPEFSPILFRTSPSDLQFQQVFLKDTDERACAYIAGPAPNRWGFTIDGKDLLESVADIPITDEAQLHSEEGNNVQKDLRRKLREHPLFFGITMGLLAEVINSRLFTTVRDSLGLTYDVSFELNLFDRLKLGWYVISVTSTPSKVYKAVDACKNVLRGLHSNKVAPRELERAKRTLLMRHEAEIKSNAYWLGLLAHLQASSVPRKDISCVKELTSLYEAATIEDIYLAYDQLKVDEDSLYSCIGIAGVNAGEGAMASLEEEESNEGFQGVIPVGRGLSTMTRPTT >KJB14134 pep chromosome:Graimondii2_0_v6:2:15609402:15621564:-1 gene:B456_002G111600 transcript:KJB14134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal processing peptidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42390) UniProtKB/Swiss-Prot;Acc:Q9FIH8] MATSSSSSVMSAILVPHNRWDSSISRGRRSLVRVNIPVPAASVPLARFHPTAAAARMRQMKLKKKKKSDAPKAWKWSTSVSHSQQLKKWIPCCSSLSRNCYGETTPFPLPKSAQPFFLHKSRFPLATHTFSSSGKRFCSPCATVGPDEPHAASTTCPDGLLENQNFDSLYPQLQTSELEEFLSTELPSNPKLHRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTCTKDADEDLLPLVLDALNEIAFHPKFLSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIDNISKTINQIEAVFEQTGLENETASPPTSSAFGAMANFLVPKLSAGFAGSSSHERSSNSSDQSKILKKERLAVRPPIKHNWSLPGHNTDMKPPQIFQHELLQNFSINMFCKIPVSKVRTFRDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTITAEPKNWQNAIKVAVQEVRRLKEFGVTKGELTRYMDALLKDSEQLSAMIDNVSSVDNLDFIMESDALGHIVMDQRQGHESLMAVAGTVTLDEVNSIGALVLEFISDFGKPTAPLPAAVVACVPKKVHIDGLGETEFKITASEIISSIESGLKEPIEAEPELEVPKELLSPQQLQELRMQQKPSFIPLSPEINVTKLHDKETGITQCRLSNGIPVNYKISKNETRGGVMRLIVGGGRAAETSGSKGAVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMHAAFQLLHMVLEHSVWLEDAFDRARQLYLSYYRSIPKSLERSTAHKLMLAMMDGDERFVEPTPKSLQNLTLKSVKDAVMNQFVADNMEVSIVGDFSQEEIESCILDYLGTVRASRDSEREPEFSPILFRTSPSDLQFQQVFLKDTDERACAYIAGPAPNRWGFTIDGKDLLESVADIPITDEAQLHSEEGNNVQKDLRRKLREHPLFFGITMGLLAEVINSRLFTTVRDSLGLTYDVSFELNLFDRLKLGWYVISVTSTPSKVYKAVDACKNVLRGLHSNKVAPRELERAKRTLLMRHEAEIKSNAYWLGLLAHLQASSVPRKDISCVKELTSLYEAATIEDIYLAYDQLKVDEDSLYSCIGIAGVNAGEGAMASLEEEESNEGFQGVIPVGRGLSTMTRPTT >KJB14133 pep chromosome:Graimondii2_0_v6:2:15609349:15621457:-1 gene:B456_002G111600 transcript:KJB14133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal processing peptidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42390) UniProtKB/Swiss-Prot;Acc:Q9FIH8] MATSSSSSVMSAILVPHNRWDSSISRGRRSLVRVNIPVPAASVPLARFHPTAAAARWLGDLRDGNSIELAYHNRNNNSMRQMKLKKKKKSDAPKAWKWSTSVSHSQQLKKWIPCCSSLSRNCYGETTPFPLPKSAQPFFLHKSRFPLATHTFSSSQFFQGKRFCSPCATVGPDEPHAASTTCPDGLLENQNFDSLYPQLQTSELEEFLSTELPSNPKLHRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTCTKDADEDLLPLVLDALNEIAFHPKFLSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIDNISKTINQIEAVFEQTGLENETASPPTSSAFGAMANFLVPKLSAGFAGSSSHERSSNSSDQSKILKKERLAVRPPIKHNWSLPGHNTDMKPPQIFQHELLQNFSINMFCKIPVSKVRTFRDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTITAEPKNWQNAIKVAVQEVRRLKEFGVTKGELTRYMDALLKDSEQLSAMIDNVSSVDNLDFIMESDALGHIVMDQRQGHESLMAVAGTVTLDEVNSIGALVLEFISDFGKPTAPLPAAVVACVPKKVHIDGLGETEFKITASEIISSIESGLKEPIEAEPELEVPKELLSPQQLQELRMQQKPSFIPLSPEINVTKLHDKETGITQCRLSNGIPVNYKISKNETRGGVMRLIVGGGRAAETSGSKGAVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMHAAFQLLHMVLEHSVWLEDAFDRARQLYLSYYRSIPKSLERSTAHKLMLAMMDGDERFVEPTPKSLQNLTLKSVKDAVMNQFVADNMEVSIVGDFSQEEIESCILDYLGTVRASRDSEREPEFSPILFRTSPSDLQFQQVFLKDTDERACAYIAGPAPNRWGFTIDGKDLLESVADIPITDEAQLHSEEGNNVQKDLRRKLREHPLFFGITMGLLAEVINSRLFTTVRDSLGLTYDVSFELNLFDRLKLGWYVISVTSTPSKVYKAVDACKNVLRGLHSNKVAPRELERAKRTLLMRHEAEIKSNAYWLGLLAHLQASSVPRKDISCVKELTSLYEAATIEDIYLAYDQLKVDEDSLYSCIGIAGVNAGEGAMASLEEEESNEGFQGVIPVGRGLSTMTRPTT >KJB12053 pep chromosome:Graimondii2_0_v6:2:44615139:44616565:-1 gene:B456_002G174700 transcript:KJB12053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKYPTNQSTSANPHHFVQEAPVTGVPINPQYPQQNYTQPPRNVPWSTGLCDCCSDLPNCCLTCWCPCITFGRIAEIVDHGSTSCGVGGALYALIGILTGCPCIYSCVYRSRMRSEYMLEDRPCNDCCLHFCCEACALCQEYRELKNRGFDMSLGWHGNMARQQNQGLQMPSAPVMESGMKR >KJB11944 pep chromosome:Graimondii2_0_v6:2:54860940:54861456:-1 gene:B456_002G2053001 transcript:KJB11944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGYHNRSNSFPSRAHPLASKVDEHLSRLASSESASTSSSLNQKLGRLHDLHDCTEKLLLLPLTQQILSHEQQGEYVEELLNGSLGLLDVFTTAKDVVL >KJB12931 pep chromosome:Graimondii2_0_v6:2:6741150:6743531:1 gene:B456_002G059400 transcript:KJB12931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDGEKEIAAANMEKEERKRRNAEKGLNRMSQIRSARPQSQEHHPIPPSSTHAKDARRESLSFDAQNDGIRDRNAFFSDDDQTSQTNQSHNISAGPAGLSEASTSSNLIQGGTHEISSANSVDVGTDKHPMSGRAVGEKDKSKAMQDRKPSTNAELVQKACRNQPNLFSSKLVNSCIIASERARSLCALFIAICVLLSHINFPLLGLSIGRSDSNVASKPLYIILLTDLAIVLSRLFLDKKGVVSAEVEEEKPAAASQDNKENWDGAVMLLERGLVAYQTMRALFIDFSIYAVVVICGTSLL >KJB12933 pep chromosome:Graimondii2_0_v6:2:6741713:6743531:1 gene:B456_002G059400 transcript:KJB12933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDGEKEIAAANMEKEERKRRNAEKGLNRMSQIRSARPQSQEHHPIPPSSTHAKDARRESLSFDAQNDGIRDRNAFFSDDDQTSQTNQSHNISAGPAGLSEASTSSNLIQGGTHEISSANSVDVGTDKHPMSGRAVGEKDKSKAMQDRKPSTNAELVQKACRNQPNLFSSKLVNSCIIASERARSLCALFIAICVLLSHINFPLLGLSIGRSDSNVASKPLYIILLTDLAIVLSRLFLDKKGVVSAEVEEEKPAAASQDNKENWDGAVMLLERGLVAYQTMRALFIDFSIYAVVVICGTSLL >KJB12932 pep chromosome:Graimondii2_0_v6:2:6741713:6743505:1 gene:B456_002G059400 transcript:KJB12932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCLVLIHLPSIQKQNIHCLMCAIHSSFLKEIAALFDYFYSILAAGPAGLSEASTSSNLIQGGTHEISSANSVDVGTDKHPMSGRAVGEKDKSKAMQDRKPSTNAELVQKACRNQPNLFSSKLVNSCIIASERARSLCALFIAICVLLSHINFPLLGLSIGRSDSNVASKPLYIILLTDLAIVLSRLFLDKKGVVSAEVEEEKPAAASQDNKENWDGAVMLLERGLVAYQTMRALFIDFSIYAVVVICGTSLL >KJB12992 pep chromosome:Graimondii2_0_v6:2:4207218:4213638:1 gene:B456_002G049100 transcript:KJB12992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTFLNLALLIIFQFSIRATFSMKLTTILTDQSALLALKNHVYDPENVLETNWSSSIHVCNWFRVSCGSKHHRVTALNLSGLELVGTLPPSLGNLSFLSLLSITNNSFHGRLPVQLSNLRRLKHLSFGNNSFSGEIPSWLGSLTELRSVFLDHNSLKGVIPFSLGNLSKLEILTLSGNQLLGSIPSSIFNISSLQKMDLSNNMLSGSIPSVSHVLLSIELINFNINNLTGHLSKDMFDHFPNLKELHLSANMLSGRIPMSLFKCKKLQVFSLSYNQLEGSLPVEIGNLSMLQRVYIARNHFEVFKIQTLFFDFYLIKIMAGTIPHQIGNLQNLEYLHLGANNIVGSIPPAIFNISTLSIIYLELNQLSGRLPPDVGLWLPNLEQLHLDINQLVGSFPMLGSLYFGDNPLISGKLPGSIGNLSSSLQKFYGPICNIRGSIPSGFGNLSRLISISLSHNKLTGMIPTTIGGLKELQKISLHYNKLDGPIPSDLCHLKKLAFLFLSSNKLSGPIPACLGGLISLRSLYLDSNMFSSTMPSTLTRISDLLILNLSSNSLSGPVPIDIGKWKVLTSMDLSNNQLSSDIPIGVADLQDMTYFSLSNNRIRGSIPESFGDLLSLEFLDLSRNNLSGQIPNSLEKLPYLKYFNVSFNRLQGEIPKGGSFGNYSFESFTGNEALCGAAQLHVPSCKTRPLRNSKVRTKLIIFVALPIASAISVVALIIIILRRRKRKDRSTAQEDLTPLGTWRRISYHELHQATNGFSDRRLLGNGSYGSVYQGTLLDGMEVAVKVFKLELEGAFKSFDVECEVLRNIRHRNLIKIISSCSNDLDFKALDSMIHTMTMATIGYIAPEYGIEGIVSTKGDVYSFGILMIETITRKKPTDKMFAGEQNLKIWVKESISSPLNQVVDTNLLCTIGSKRSAANNCALSILHVGLECSLELPNERPNMKEIVRKLNKIKVKFLEDIEGV >KJB13888 pep chromosome:Graimondii2_0_v6:2:12712593:12716752:-1 gene:B456_002G100400 transcript:KJB13888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSMDLREVVGSQVCGNGVWHTELLPATNDGHGGVIVEMKEHMDSETFVIVLRASMLQWKQQGKKGVWIKLPIGLVHLVETTVKEGFRYHHAEPSYLMLVSWIPNTPNNIPANVTHRVRVGAIILNDKREVLTVQEKSGRFRGTGIWKIPTGVVDELFYTQGEDIFMGAIREVREETGVDTEFAEVLGFSQSHKTFFEKSDLFFLCMLRPLSFDIQKQELEIEAVQWMPFEEYAAQPFAQKHELFKYINELCLAKVDRDYAGFSPRPTLSMFSDQPSYLYLNNKDLDKSSSANNSCINVKLG >KJB13889 pep chromosome:Graimondii2_0_v6:2:12712593:12716920:-1 gene:B456_002G100400 transcript:KJB13889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSMDLREVVGSQVCGNGVWHTELLPATNDGHGGVIVEMKEHMDSETFVIVLRASMLQWKQQGKKGVWIKLPIGLVHLVETTVKEGFRYHHAEPSYLMLVSWIPNTPNNIPANVTHRVRVGAIILNDKREVLTVQEKSGRFRGTGIWKIPTGVVDEGEDIFMGAIREVREETGVDTEFAEVLGFSQSHKTFFEKSDLFFLCMLRPLSFDIQKQELEIEAVQWMPFEEYAAQPFAQKHELFKYINELCLAKVDRDYAGFSPRPTLSMFSDQPSYLYLNNKDLDKSSSANNSCINVKLG >KJB13887 pep chromosome:Graimondii2_0_v6:2:12712593:12716253:-1 gene:B456_002G100400 transcript:KJB13887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLCIYEGTYKCSHDVCVSMSVSMDLREVVGSQVCGNGVWHTELLPATNDGHGGVIVEMKEHMDSETFVIVLRASMLQWKQQGKKGVWIKLPIGLVHLVETTVKEGFRYHHAEPSYLMLVSWIPNTPNNIPANVTHRVRVGAIILNDKREVLTVQEKSGRFRGTGIWKIPTGVVDEGEDIFMGAIREVREETGVDTEFAEVLGFSQSHKTFFEKSDLFFLCMLRPLSFDIQKQELEIEAVQWMPFEEYAAQPFAQKHELFKYINELCLAKVDRDYAGFSPRPTLSMFSDQPSYLYLNNKDLDKSSSANNSCINVKLG >KJB12089 pep chromosome:Graimondii2_0_v6:2:58636430:58639951:1 gene:B456_002G227800 transcript:KJB12089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHIAPLRKLWALHVLDGNDIHLSLKELYEKLSNGKSGCYWELFEVYKHLRSLGYVVGRHGIPWSVKGQQIQSGTCSLEGSQDSNEMLEMEPKDENSVIELFNNMQITDVSPAFNVYLPNSKFRKSSPGDPSFVLYISRCSPPSRVEIEALERKHGAIPFKFCHVENGRVSFFSFAKTELPVLP >KJB12091 pep chromosome:Graimondii2_0_v6:2:58636537:58639320:1 gene:B456_002G227800 transcript:KJB12091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHIAPLRKLWALHVLDGNDIHLSLKELYEKLSNGKSGCYWELFEVYKHLRSLGYVVGRHGIPWSVKGQQIQSGTCSLEGSQDSNEMLEMEPKDENSVIELFNNMQITDVSPAFNVYLPNSKFRKSSPGDPSFVLYISRCSPPSRVEIEALERKHGAIPFKFCHVENGRVSFFSFAKTELPVLP >KJB12088 pep chromosome:Graimondii2_0_v6:2:58636537:58639320:1 gene:B456_002G227800 transcript:KJB12088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDDWGSCSDNEDYLQDRNEDDDEEDCYSSGFLSKLQFRKDISKARWVDDLAMAEVVEKKGKMWVTMGIVRNGNTYCSIEETLFLIEIGALHVLDGNDIHLSLKELYEKLSNGKSGCYWELFEVYKHLRSLGYVVGRHGIPWSVKGQQIQSGTCSLEGSQDSNEMLEMEPKDENSVIELFNNMQITDVSPAFNVYLPNSKFRKSSPGDPSFVLYISRCSPPSRVEIEALERKHGAIPFKFCHVENGRVSFFSFAKTELPVLP >KJB12092 pep chromosome:Graimondii2_0_v6:2:58636391:58639786:1 gene:B456_002G227800 transcript:KJB12092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDDWGSCSDNEDYLQDRNEDDDEEDCYSSGFLSKLQFRKDISKARWVDDLAMAEVVEKKGKMWVTMGIVRNGNTYCSIEETLFLIEIGALHVLDGNDIHLSLKELYEKLSNGKSGCYWELFEVYKHLRSLGYVVGRHGIPWSVKGQQIQSGTCSLEGSQDSNEMLEMEPKDENSVIELFNNMQITDVSPAFNVYLPNSKFRKSSPGDPSFVLYISRCSPPSRVEIEALERKHGAIPFKFCHVENGRVSFFSFAKTELPVLP >KJB12090 pep chromosome:Graimondii2_0_v6:2:58636520:58639320:1 gene:B456_002G227800 transcript:KJB12090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDDWGSCSDNEDYLQDRNEDDDEEDCYSSGFLSKLQFRKDISKARWVDDLAMAEVVEKKGKMWVTMGIVRNGNTYCSIEETLFLIEIGALHVLDGNDIHLSLKELYEKLSNGKSGCYWELFEVYKHLRSLGYVVGRHGIPWSVKGQQIQSGTCSLEGSQDSNEMLEMEPKDENSVIELFNNMQITDVQSTIQSRN >KJB13080 pep chromosome:Graimondii2_0_v6:2:5084043:5085980:-1 gene:B456_002G055800 transcript:KJB13080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMRKFRKGLITTLVCFLFMEMGVYGARSFQANLSMDSSYGSLNWCFRASKGFGLVEKPWCQFGTTTSPIELLSFGLVEKPWYQFGTITSPIKLLRLYFKCMNIISVDLLACI >KJB12029 pep chromosome:Graimondii2_0_v6:2:62429737:62432439:-1 gene:B456_002G263800 transcript:KJB12029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGARSKAAKKNTGEALKPADDRKIGKRKAAVDKSTIRRAKKEKRAKKDPNKPKRPPSAFFVFLEEFRGTFKKENPNVKAVSAVGKAAGEMWKSMSEEEKAPYEVKSGERKVEYEKQMKAYNKKQESSANGTGDDHQGEEDEEDEASEEKGQHLKHEDDDEEEEDDEEEEED >KJB12028 pep chromosome:Graimondii2_0_v6:2:62429835:62432377:-1 gene:B456_002G263800 transcript:KJB12028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGARSKAAKKNTGEALKPADDRKIGKRKAAVDKSTIRRAKKEKRAKKDPNKPKRPPSAFFVFLEEFRGTFKKENPNVKAVSAVGKAAGEMWKSMSEEEKAPYEVKSGERKVEYEKQMKAYNKKQESSANGTGDDHQGEEDEEDEASEEKGQHLKHEDDDEEEEDDEEEEED >KJB13112 pep chromosome:Graimondii2_0_v6:2:6055805:6058814:-1 gene:B456_002G057500 transcript:KJB13112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYAFADEEMVIDEGLGYPRAYAKICRGRSLGPYPHGPPFTFIPYVLHQNENLRAKELDELFPLIDPKARPSAKPKIFINLLWKQLSHLGNAGFDPEVIRVDPYGNVLYYHADSASPLSWDIDHWFPCSRGGLTVASNLRILQWHRAFSFLFAEGENEEINASQTVDSHSFPQHFIKSKDEFGLAPAAVVVTRKELYDSSSALKSLDYNRQIRPESPIIAARKMKGSILKENENPDFVTNPYQAIVMARDSLKQREETNKMQAEIQKLDAEVSEMRKKNDEEKFAIQDLEAELIKRRRRAEKCRRLAEAQSSYRTMLEKMIRDAMHQSVVYKEQVRLNQAAANALTARLEAQKAICDASEKELHKKFKQRDELEKQIRPELEHARKRSRTDTFFQGQDSKTVLYLPGIRPMTPSHKELRVFLEEEHKASVAGLSSNEDQKHEEIEEELAITARNALKHKREEHKASVAGLSSNEDQKHEEFEEELAITARNALKHKREEHDKAIAGLEDERPIEQKFERLEIKEERQGIRYPVIKGEEDEESRRQRGKGNVDRWLQMLLENSQEELEPQNDNVDAEEVSGTDDIIKKLDLKYPLKEKKEDNNVNVEGNKTPRRNSNASEVIGSRKERVLKRSESARAFRRIPSSPSLILGGMKKGVECIRKKPIVASDDEQDYYAVGNNFIKSSFKTIKKAVKI >KJB13113 pep chromosome:Graimondii2_0_v6:2:6055805:6058916:-1 gene:B456_002G057500 transcript:KJB13113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYAFADEEMVIDEGLGYPRAYAKICRGRSLGPYPHGPPFTFIPYVLHQNENLRAKELDELFPLIDPKARPSAKPKIFINLLWKQLSHLGNAGFDPEVIRVDPYGNVLYYHADSASPLSWDIDHWFPCSRGGLTVASNLRILQWQVCKKKYNKLEFQVPWWDFQLGISVNQFLSIFASRNSDFRHRAFSFLFAEGENEEINASQTVDSHSFPQHFIKSKDEFGLAPAAVVVTRKELYDSSSALKSLDYNRQIRPESPIIAARKMKGSILKENENPDFVTNPYQAIVMARDSLKQREETNKMQAEIQKLDAEVSEMRKKNDEEKFAIQDLEAELIKRRRRAEKCRRLAEAQSSYRTMLEKMIRDAMHQSVVYKEQVRLNQAAANALTARLEAQKAICDASEKELHKKFKQRDELEKQIRPELEHARKRSRTDTFFQGQDSKTVLYLPGIRPMTPSHKELRVFLEEEHKASVAGLSSNEDQKHEEIEEELAITARNALKHKREEHKASVAGLSSNEDQKHEEFEEELAITARNALKHKREEHDKAIAGLEDERPIEQKFERLEIKEERQGIRYPVIKGEEDEESRRQRGKGNVDRWLQMLLENSQEELEPQNDNVDAEEVSGTDDIIKKLDLKYPLKEKKEDNNVNVEGNKTPRRNSNASEVIGSRKERVLKRSESARAFRRIPSSPSLILGGMKKGVECIRKKPIVASDDEQDYYAVGNNFIKSSFKTIKKAVKI >KJB13111 pep chromosome:Graimondii2_0_v6:2:6055805:6058814:-1 gene:B456_002G057500 transcript:KJB13111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYAFADEEMVIDEGLGYPRAYAKICRGRSLGPYPHGPPFTFIPYVLHQNENLRAKELDELFPLIDPKARPSAKPKIFINLLWKQLSHLGNAGFDPEVIRVDPYGNVLYYHADSASPLSWDIDHWFPCSRGGLTVASNLRILQWQVCKKKYNKLEFQVPWWDFQLGISVNQFLSIFASRNSDFRHRAFSFLFAEGENEEINASQTVDSHSFPQHFIKSKDEFGLAPAAVVVTRKELYDSSSALKSLDYNRQIRPESPIIAARKMKGSILKENENPDFVTNPYQAIVMARDSLKQREETNKMQAEIQKLDAEVSEMRKKNDEEKFAIQDLEAELIKRRRRAEKCRRLAEAQSSYRTMLEKMIRDAMHQSVVYKEQVRLNQAAANALTARLEAQKAICDASEKELHKKFKQRDELEKQIRPELEHARKRSRTDTFFQGQDSKTVLYLPGIRPMTPSHKELRVFLEEEHKASVAGLSSNEDQKHEEIEEELAITARNALKHKREESMIKP >KJB13207 pep chromosome:Graimondii2_0_v6:2:7370087:7374205:-1 gene:B456_002G062400 transcript:KJB13207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWYVWVFIISFFSVSVGNWIYRWRNPKCKGKLPPGSMGFPLIGETLSFFATSNSIDMHSFINERLKRYGPLFKTNIAGRPVAVSSDPEFNYFLLQQEGKLIELYYMDSFSQMIHHDNMSNLGGYFHRYLRRSILGHFGHEPLKRKLLSELEDVINQELHKWTNLPEVDVKRQTVPMLFDLTSQILMSHKPEENLGEDLNNMLQSIMTFPLYIPGTTFYKCIQKRKAIKLTSRVVEERMKGYSCDNSAEGCNKTGDYLDEIVGDIGKEAFLTKEFVPYLLFGLLLATVETISPTITLATMYLLDNPSALQQLTEEHEEILKNREDANSGLVWEEYKSMTFTRYVINETLRLENVLPGMLRKVIADIHVDGYTIPKGWVLLVMPTALHLNPNIYEDPLTFNPSRWKNIGSNGMAKNFIPFGGGNRPCAGAEFSKVLVAVFLHVWVTKFRFTKIKGGNIVRSPILEFTDGFYVNVSRKHG >KJB13206 pep chromosome:Graimondii2_0_v6:2:7370084:7374301:-1 gene:B456_002G062400 transcript:KJB13206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWYVWVFIISFFSVSVGNWIYRWRNPKCKGKLPPGSMGFPLIGETLSFFATSNSIDMHSFINERLKRYGPLFKTNIAGRPVAVSSDPEFNYFLLQQEGKLIELYYMDSFSQMIHHDNMSNLGGYFHRYLRRSILGHFGHEPLKRKLLSELEDVINQELHKWTNLPEVDVKRQTVPMLFDLTSQILMSHKPEENLGEDLNNMLQSIMTFPLYIPGTTFYKCIQKKRKAIKLTSRVVEERMKGYSCDNSAEGCNKTGDYLDEIVGDIGKEAFLTKEFVPYLLFGLLLATVETISPTITLATMYLLDNPSALQQLTEEHEEILKNREDANSGLVWEEYKSMTFTRYVINETLRLENVLPGMLRKVIADIHVDGYTIPKGWVLLVMPTALHLNPNIYEDPLTFNPSRWKNIGSNGMAKNFIPFGGGNRPCAGAEFSKVLVAVFLHVWVTKFRFTKIKGGNIVRSPILEFTDGFYVNVSRKHG >KJB16853 pep chromosome:Graimondii2_0_v6:2:61420660:61420938:-1 gene:B456_002G250700 transcript:KJB16853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPGNLTFPESLYDINRVSFYRSYLKELKRAMDDGANVTGYFAWSILDNFEWLLGYTSRFGLVYVDHNDLKRYPKLSAYWFKQMLERKNS >KJB15974 pep chromosome:Graimondii2_0_v6:2:55245930:55247201:1 gene:B456_002G206600 transcript:KJB15974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNENIDSDHGFRFLSSVTQHNVTVNYDPKPRKKRTKVVKVDVGQFPTCSSTTVSRPKYTKKPDPAAPKITRPCTECGKKFWSWKALFGHMRCHPERQWRGINPPPNYCRPVKPASNVETLMTEDDHEIAASLLMLANGSTASESECGTSYRPGVQETEAFSQHLGTNIRFECSSCKKVFASHQALGGHWASHKNVKGCFAITRSEGYDVKDHSCDEDKMMMVLGHKCSICWKLLSSGQALGGHKRYHWGKGDDEASSLNLLTAKEDCGLDLNLPPAPLQNDSYPSGLALDLRLSL >KJB15244 pep chromosome:Graimondii2_0_v6:2:41362288:41365909:-1 gene:B456_002G166800 transcript:KJB15244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGEEVMQSSGKRQRFAKDEVKRQEMEEQQQQEDDEEEEESQLPKKPGLLYYPTTPTSFVVSDALEPDFPIIYVNKVFEVFTGYRADEVLGRNCRFLQYRDQCAQRRHPLVDPFVVSEIRRCLEEGIEFQGELLNFRKDGTPLVNILKLAPIHDDDGIVTHIIGIQVFSEAKLDLNRVSYPVFKETCNQQLDESAQYSHFSNPPFSQHREICGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEHVRKMVCQNAWGREVTGTLEMMTKKLGWGRLARELTTLEAVCWRKLTVGGAVEPSRCNFSACAAGNRLVLFGGEGVNMQPMDDTFVLNLDAANPVWQRVSVESSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKQPTWKEVSGGAPPLPRSWHSSCTIDGSKLVVSGGCTDAGVLLSDTYLLDLTTDKPTWKEIPTSWAPPSRLGHSLSVYGRTKILMFGGLAKSGNLRLRSGEAYTIDLEDEEPQWRQLDCSAFTSVGSQNAVGCTLLLSCFSWILRRRNHHGGH >KJB15242 pep chromosome:Graimondii2_0_v6:2:41361758:41366070:-1 gene:B456_002G166800 transcript:KJB15242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGEEVMQSSGKRQRFAKDEVKRQEMEEQQQQEDDEEEEESQLPKKPGLLYYPTTPTSFVVSDALEPDFPIIYVNKVFEVFTGYRADEVLGRNCRFLQYRDQCAQRRHPLVDPFVVSEIRRCLEEGIEFQGELLNFRKDGTPLVNILKLAPIHDDDGIVTHIIGIQVFSEAKLDLNRVSYPVFKETCNQQLDESAQYSHFSNPPFSQHREICGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEHVRKMVCQNAWGREVTGTLEMMTKKLGWGRLARELTTLEAVCWRKLTVGGAVEPSRCNFSACAAGNRLVLFGGEGVNMQPMDDTFVLNLDAANPVWQRVSVESSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKQPTWKEVSGGAPPLPRSWHSSCTIDGSKLVVSGGCTDAGVLLSDTYLLDLTTDKPTWKEIPTSWAPPSRLGHSLSVYGRTKILMFGGLAKSGNLRLRSGLHSPSQLFLLDPSEEKPSWRTLNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRQDSDSS >KJB15243 pep chromosome:Graimondii2_0_v6:2:41361758:41366042:-1 gene:B456_002G166800 transcript:KJB15243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGEEVMQSSGKRQRFAKDEVKRQEMEEQQQQEDDEEEEESQLPKKPGLLYYPTTPTSFVVSDALEPDFPIIYVNKVFEVFTGYRADEVLGRNCRFLQYRDQCAQRRHPLVDPFVVSEIRRCLEEGIEFQGELLNFRKDGTPLVNILKLAPIHDDDGIVTHIIGIQVFSEAKLDLNRVSYPVFKETCNQQLDESAQYSHFSNPPFSQHREICGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEHVRKMVCQNAWGREVTGTLEMMTKKLGWGRLARELTTLEAVCWRKLTVGGAVEPSRCNFSACAAGNRLVLFGGEGVNMQPMDDTFVLNLDAANPVWQRVSVESSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKQPTWKEVSGGAPPLPRSWHSSCTIDGSKLVVSGGCTDAGVLLSDTYLLDLTTDKPTWKEIPTSWAPPSRLGHSLSVYGRTKILMFGGLAKSGNLRLRSGEAYTIDLEDEEPQWRQLDCSAFTSVGSQNAVVPPPRLDHVAVSMPCGRIIIFGGSIAGLHSPSQLFLLDPSEEKPSWRTLNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRQDSDSS >KJB15230 pep chromosome:Graimondii2_0_v6:2:40970698:40971317:1 gene:B456_002G166100 transcript:KJB15230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKIRTTRTWIERPYEASLLPEIGFGPFLRSLGGRQRRPPSVEPEPSVRYHSGRARILTLCQDLWAEGQSQGWAVCPLKPYVSWVQNVMRQFNPYPVWVLEH >KJB16372 pep chromosome:Graimondii2_0_v6:2:58311175:58344158:1 gene:B456_002G226600 transcript:KJB16372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESEEVDSRGEEWRSGWLGCSTRFCFVYLNFKIHISFIFLQIHKNLYFPISISFSISLLSPTIMANLHSLSPVLHPTYRFSSDNGLLLVDFVGLYCKSKPTTRRRLPLPLNTRTMRRFSTSTANNSVRAVLDHLPTTTTTTSVSSASDHQSSAPQPKVANLEDIISERGACGVGFIANLENKASHGIVQDALTALGCMEHRGGCGADNDSGDGSGLMTSIPWDLFDSWAANQGMASFDKMHTGVGMVFLPKEDSLIEEAKKVIVNTFRQEGLEVLGWRSVPVNTSVVGFYAKETMPNIQQIFVRVVKEENVDDIERELYICRKLIERAAASETWGSELYFCSLSNQTIVYKGMLRSEVLGLFYSDLQNDLYKSPFAIYHRRYSTNTSPRWPLAQPMRFLGHNGEINTVQGNLNWMQSRETSLKSPVWRGRENEIRPFGNPKASDSANLDTAAELLIRSGRNPDETLMILVPEAYKNHPTLLAKYPEVVDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTIDNVVYVASEVGVLPVDDSKVIMKGRLGPGMMITADLLSGQVYENTEVKKRVAAINAYGKWVSENMRPLKPVNFLSTTLLDSETILRRQQAFGYSSEDVQMIIETMAAQGKEPTFCMGDDIPLSILSQKAHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPENASQVTLSSPVLNEGELESLLKDPQLKAQVLPTFFDIRKGIEGSLDKTLYKLCEAADEAVRNGSQLLVLSDRADELEATRPAIPILLAVAAVHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSSKTVNLMRNGKMPTVTIEQAQTNFCKAIKAGLLKILSKMGISLLSSYCGAQIFEIYGLGKEIVNLAFSGSVSKIGGLTFDELARETLSFWVKAFSEDTAKRLENFGFIQFRPGGEYHANNPEMSKLLHKAVREKSESAFSIYQQHLASRPVNVLRDLLEFKSNRAPIPVGKVEPALSIVQRFCTGGMSLGAISRETHEAIAVAMNRLGGKSNSGEGGEDPIRWRPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLANADQLEIKIAQGAKPGEGGQLPGKKVSAYIAKLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQVNPKAKVSVKLVAEAGIGTVASGVAKANADIIQISGHDGGTGASPISSIKHAGGPWELGLTETHQTLIENGLRERVILRVDGGFRSGVDVLMAAAMGADEYGFGSLAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNFFLYVAEEVRGMLAQLGYEKLDDIIGRTDLLKPRDISLVKTQHLDLNYILSNVGLPKWSSTAIRTQEVHSNGPVLDDILLSDPEVSHAIENEKEVHKTIKIYNVDRAVCGRIAGVIAKRYGDTGFAGQINITFTGSAGQSFACFLTPGMNIRLIGEANDYVGKGMAGGELVVTPVENPGFCPEDATIVGNTCLYGATGGQIFVRGKAGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYMLDEDDTLMPKVNKEIVKIQRVTAPVGQMQLKSLIEAHVEKTGSAKGSMILLEWDKYLSLFWQLVPPSEEDTPEACAEYQSTASEKVTLQSA >KJB12804 pep chromosome:Graimondii2_0_v6:2:2982090:2982872:1 gene:B456_002G037700 transcript:KJB12804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTKPNSRNLDQFMNEVAPPRFISVSKRPLTTMLATIAEEEKDFNDDEVVKGRRTYSSSVCGKAAICCLSRELESSMLLFLVQMAKTN >KJB14968 pep chromosome:Graimondii2_0_v6:2:30425521:30431086:-1 gene:B456_002G151800 transcript:KJB14968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKPDGTNHPEPNANNNKPQMVQVQPCWCSTRQDSFLTGVLGEGRTSLSLAKHSNGGLGTKTSESPSTIRTDDKLCSSKEMPLTIFPHPDGKCGDEQPYLQHAIPIIRPTMGEYVPPEPQLELVGHSIACPSYPYTDPYYGGVVPPYVPQSLVHSHSLGVHPARMALPLEMAEEPVYVNAKQYHGILRRRQSRAKAELEKKLIKVRKPYLHESRHLHAMRRARGCGGRFLNTKKLDSDASKATPNKSSDTSLNLPSQCPENTSGISVTNQMSQNDNSSVGHRAAAESELQGTNMQQAFSNGNGSGYYSHHQGFRFSTSRSLSNDMLEGGDCPRQQYERIMANGVPHRALTIK >KJB14967 pep chromosome:Graimondii2_0_v6:2:30425521:30433097:-1 gene:B456_002G151800 transcript:KJB14967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKPDGTNHPEPNANNNKPQMVQVQPCWCSTRQDSFLTGVLGEGRTSLSLAKHSNGGLGTKTSESPSTIRTDDKLCSSKEMPLTIFPHPDGKCGDEQPYLQHAIPIIRPTMGEYVPPEPQLELVGHSIACPSYPYTDPYYGGVVPPYVPQSLVHSHSLGVHPARMALPLEMAEEPVYVNAKQYHGILRRRQSRAKAELEKKLIKVRKPYLHESRHLHAMRRARGCGGRFLNTKKLDSDASKATPNKSSDTSLNLPSQCPENTSGISVTNQMSQNDNSSVGHRAAAESELQGTNMQQAFSNGNGSGYYSHHQGFRFSTSRSLSNDMLEGGDCPRQQYERIMANGVPHRALTIK >KJB14969 pep chromosome:Graimondii2_0_v6:2:30426244:30431026:-1 gene:B456_002G151800 transcript:KJB14969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKPDGTNHPEPNANNNKPQMVQVQPCWCSTRQDSFLTGVLGEGRTSLSLAKHSNGGLGTKTSESPSTIRTDDKLCSSKEMPLTIFPHPDGKCGDEQPYLQHAIPIIRPTMGEYVPPEPQLELVGHSIACPSYPYTDPYYGGVVPPYVPQSLVHSHSLGVHPARMALPLEMAEEPVYVNAKQYHGILRRRQSRAKAELEKKLIKVRKPYLHESRHLHAMRRARGCGGRFLNTKKLDSDASKATPNKSSDTSLNLPSQCPENTSGISVTNQMSQNDNSSVGHRAAAESELQGTNMQQAFSNGNGSGYYSHHQGFRFSTSRSLSNDMLEGGDCPRQQYERIMANGVPHRALTIK >KJB15747 pep chromosome:Graimondii2_0_v6:2:53477559:53481555:1 gene:B456_002G197400 transcript:KJB15747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) UniProtKB/TrEMBL;Acc:Q5XVE2] MTSHEDDLDLLLSLQERVLETPPASPSSPHSQSPGYLSDDGSPRRRGKADLSVFKDFVEDCLDYEPKSVERHAKPKPQSSNDIQVEKFSGLRIRKQLVSPAKLSEHLSDIRFVRLPTLKNLLVGDTLYGCWATIGVLIEKGIPKTSSIGKSYSIWKIGCLDKNTVSLFLFGDAYEQNSKEQVGTVFALFSCTVRKDTKGSGFSLSVAAPNQILKIGTSADYGVCKGRRKDGTACTIILNKRQGAYCQYHKSKASERYSTKRTELMGGNLRRAFRNPPRSEGIYMVDPLSDRTNMEKASKPVKLLSVDALKRALRNGDKVTTNRHSQGIRFLNAVTGEMSTKLVNGASKKPDQQTVGSEKRKESSVKQDTSLKRNKHLDSKRRKLEQEQTLEDHWNQRWKLD >KJB15748 pep chromosome:Graimondii2_0_v6:2:53477768:53480800:1 gene:B456_002G197400 transcript:KJB15748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) UniProtKB/TrEMBL;Acc:Q5XVE2] MTSHEDDLDLLLSLQERVLETPPASPSSPHSQSPGYLSDDGSPRRRGKADLSVFKDFVEDCLDYEPKSVERHAKPKPQSSNDIQVEKFSGLRIRKQLVSPAKLSEHLSDIRFVRLPTLKNLLVGDTLYGCWATIGVLIEKGIPKTSSIGKSYSIWKIGCLDKNTVSLFLFGDAYEQNSKEQVGTVFALFSCTVRKDTKGSGFSLSVAAPNQILKIGTSADYGVCKGRRKDGTACTIILNKRQGAYCQYHKSKASERYSTKRTELMGGNLRRAFRNPPRSEGIYMVDPLSDRTNMEKASKPVKLLSVDALKRALRYVTDSIDYISSATIRPFEDGTKLRIN >KJB15219 pep chromosome:Graimondii2_0_v6:2:40593379:40596812:-1 gene:B456_002G165400 transcript:KJB15219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTIALIPLAKYVFANGNGEVMSAVSGLQGEIKGFNTTTVVLVSIVILMALFSIQQFGTSKVGFMFAPALALWFFSLGAIGLYNLLNYDVMVVKGIQSNLYIFFLQEEQQRCMVFSWRLRFVHYRYEAEAMFADLGHFPVPSIQVGSSINSLKNHLGFPFTACLFFMSNSYCLIAFTFVVFPCLLLAYMGQVAYLMKYPETSARIFYDSVPDSLFWPVFMVATVAAMIASISATFSCVKQSMALGCFPRLKIIHTSRRQMGQIYIPVINWFLMIMCVIVVSIFRSTTDIANAYGIAEVVVMLVTTALVTLVMLLIWQSNLLMALCFPLLLGSIKVMEGGWLPLVFATFFFIVMYIWHYGSVLKYQSEVREKISMDFMIELGSTLGTVRFLLSLPAIHSTIIFVCIKYVPIPV >KJB13135 pep chromosome:Graimondii2_0_v6:2:6548182:6552235:-1 gene:B456_002G058700 transcript:KJB13135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAENALPPSKKRAAGREISRDNPGLDDEEDSSEQETGTFKRASEEVLANRRIVKVRRNQTTSTASANPFSGIRLVPLTEPTATPAAPSSAPESGTITTQVTADAPISSEKEVSEDGKNNVNKSEKSEEGNDLEPEKNSDETEPDNAKSESESIKQSESKKDEPVSDAVADKESAEHKSSVAVNEETQKEANHEKLAGVEAKDEDKKGDETANADNKDKSSENADSTAEGASLSSFQQLSSSQNAFTGLAGTGFSTSSFSFGSTTKDGPTGAFGFGLSTNGNSSLFNTSGTSIVSKSEGSGFPAMQEVPVETGEENEKVVFSADSVLFEFIDGGWKERGKGELKVNVSTAGAERARLLMRARGNYRLILNASLYPDMKLTNMDKRGITFACMNSTGEEKEGLSTFALKFKDASIVEEFRVAVMAHKGNTATVLKTPENSP >KJB13134 pep chromosome:Graimondii2_0_v6:2:6548182:6550696:-1 gene:B456_002G058700 transcript:KJB13134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELLLIGRYPSFHKKRKKLKRVIVSWLLATMGDAENALPPSKKRAAGREISRDNPGLDDEEDSSEQETGTFKRASEEVLANRRIVKVRRNQTTSTASANPFSGIRLVPLTEPTATPAAPSSAPESGTITTQVTADAPISSEKEVSEDGKNNVNKSEKSEEGNDLEPEKNSDETEPDNAKSESESIKQSESKKDEPVSDAVADKESAEHKSSVAVNEETQKEANHEKLAGVEAKDEDKKGDETANADNKDKSSENADSTAEGASLSSFQQLSSSQNAFTGLAGTGFSTSSFSFGSTTKDGPTGAFGFGLSTNGNSSLFNTSGTSIVSKSEGSGFPAMQEVPVETGEENEKVVFSADSVLFEFIDGGWKERGKGELKVNVSTAGAERARLLMRARGNYRLILNASLYPDMKLTNMDKRGITFACMNSTGEEKEGLSTFALKFKDASIVEEFRVAVMAHKGNTATVLKTPENSP >KJB13136 pep chromosome:Graimondii2_0_v6:2:6548824:6550149:-1 gene:B456_002G058700 transcript:KJB13136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAENALPPSKKRAAGREISRDNPGLDDEEDSSEQETGTFKRASEEVLANRRIVKVRRNQTTSTASANPFSGIRLVPLTEPTATPAAPSSAPESGTITTQVTADAPISSEKEVSEDGKNNVNKSEKSEEGNDLEPEKNSDETEPDNAKSESESIKQSESKKDEPVSDAVADKESAEHKSSVAVNEETQKEANHEKLAGVEAKDEDKKGDETANADNKDKSSENADSTAEGASLSSFQQLSSSQNAFTGLAGTGFSTSSFSFGSTTKDGPTGAFGFGLSTNGNSSLFNTSGTSIVSKSEGSGFPAMQEVPVETGEENEKVVFSADSVLFEFIDGGWKERGKGELKVNVSTAGAERARLLMRARGNYRLILNASLYPDMKLTNMDKRGITFACMNSTGEEKEGLSTFALKFKDASIVEEFRVAVMAHKGNTATVLKTPENSP >KJB12628 pep chromosome:Graimondii2_0_v6:2:2094669:2095170:1 gene:B456_002G028100 transcript:KJB12628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVVRLVENPGVDSFDGSRQGSNARRKLLIHTPSNEAITSYAVLERKLSILGWERYYDDPDLLQFHKRSTVHLISLPKDFSKFKSMHMFDIVVKNRNMFEVRDV >KJB13310 pep chromosome:Graimondii2_0_v6:2:7879821:7882772:1 gene:B456_002G067800 transcript:KJB13310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSSLKKKRSKKSSQLRMRKRNKVKGKKSKSKKLRHRQDDSVSYSDDTDSTSTASISSSSSEDDYKSRRSQSRNRKDMKGKKKRARRLSSGRESSGNSPCIKKRGGSRKRTSKRKKSTRDVIMSSRSSSSRSLSCSTCPSDSDESGYEKRKGRSERREKYGRSEKVKGGSKRSKGRSRSCSSCSRYNEGSDHSIGERVMEESNTRRLKSVITVVKRENESSRELIADEPKEDVYDYDDYPSCRSNDSNDGCNPRELQQYPHAISETKRPPDDEQVEVSNVRMSSIEEINVSNATDGLIGDDIELILRQHALENLKKFRGGLQKNINPPITPNDNSAADVKALSSVNTDSFQIKAPKVDDGRMVITSQVSQQIRQSPVRRDSSTLPKNDRNTPHMSDGRYSETVGSDLAPPLARVVSTSVPTNKPKLVISQTGLEAPNTHTALKQEAATQEPCQAKWVTDSNVDERGLETTQTIKPSEENAASVSNRPKSVKLRAGQEPPNTYSTQKQDSAALESSQGKSVTESSVNDSSSQSVNPHECGNPGSKVNDTCNKPTSSGNISSDKQEDETKDGSQFQQKTMSVMRGGEMVQVSYQVYIPKRAPALARRQLKR >KJB13309 pep chromosome:Graimondii2_0_v6:2:7879821:7882825:1 gene:B456_002G067800 transcript:KJB13309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSSLKKKRSKKSSQLRMRKRNKVKGKKSKSKKLRHRQDDSVSYSDDTDSTSTASISSSSSEDDYKSRRSQSRNRKDMKGKKKRARRLSSGRESSGNSPCIKKRGGSRKRTSKRKKSTRDVIMSSRSSSSRSLSCSTCPSDSDESGYEKRKGRSERREKYGRSEKVKGGSKRSKGRSRSCSSCSRYNEGSDHSIGERVMEESNTRRLKSVITVVKRENESSRELIADEPKEDVYDYDDYPSCRSNDSNDGCNPRELQQYPHAISETKRPPDDEQVEVSNVRMSSIEEINVSNATDGLIGDDIELILRQHALENLKKFRGGLQKNINPPITPNDNSAADVKALSSVNTDSFQIKAPKVDDGRMVITSQVSQQIRQSPVRRDSSTLPKNDRNTPHMSDGRYSETVGSDLAPPLARVVSTSVPTNKPKLVISQTGLEAPNTHTALKQEAATQEPCQAKWVTDSNVDERGLETTQTIKPSEENAASVSNRPKSVKLRAGQEPPNTYSTQKQDSAALESSQGKSVTESSVNDSSSQSVNPHECGNPGSKVNDTCNKPTSSGNISSDKQEDETKDGSQFQQKTMSVMRGGEMVQVSYQVYIPKRAPALARRQLKR >KJB13214 pep chromosome:Graimondii2_0_v6:2:7396763:7399872:-1 gene:B456_002G062900 transcript:KJB13214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYSSDGSSSFFTSKKPVDGQWMELVGFVEDKAEISAINGGLAQSLTDQDQLNQLGTSEGSYQTKSEGGRPKLSLAQKRENKKLSDYRYRQKRKITADELSAENKHLKEENEGLIAENRLLKSKLELLLSYGDSKAEAEPSSGSGKPMEQKAKQDYSNLGARRAQATDGHRAMREQISESTKILKDLIPACNKVQGALLLDEIFSYIQSLQRESELQFLSLELAAINPRTNPAIEAFPLKDFMDVDMNEFQNLLQMILVNVDLNPTKPEVATCCNDEIPISDLLTKIEAEEESKGKFSDFDGLDGDRIKHGKYSFPHSLIPTLETIIDACGDISATSKMNPSITEMVYIMFCASVKEMNDLRLEEITEDRILKWRDAIKDALRISFKVDFAMEHLKKIACAYIGQIERQKLKDLAMRISRLEDDLNFRKQELAKAYKQSKVYIDVADNFNGKLVSWGMFQSCA >KJB14118 pep chromosome:Graimondii2_0_v6:2:15428294:15458707:-1 gene:B456_002G110900 transcript:KJB14118 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFISDDELSRLSNDAAAVAERADAFIRELYGELETAKAKADANAITAEQNCSLLEQKFLSISGELSDLQSQNAQLQSSLDERHADLAQAQAQKHQLQIQTIGKDGEIERLTTEVSELHKSKRQLLEMIEQKDSEIADKNATIKAYLDKIVNLTDNSAHKEARLSETEAELVRVQATCTRLSQEKELIERHNAWLNEELTAKVDNLTQIRRTHAELEADMSTRLADVEKQYNECSSSLNWHKERTKELETKLTSLQEELCSSKEVATSNEERFSAELSIANKLVELYKESSEEWSKKAGELEGAIKALEMHLGQVQDDYKDRLEKEASAKKQVEKEMADLKEELEKCKAEIEAGRKANEMNLLPLGNFTSETWISSYDANDMVEDNHALVPKIPVGVSGTALAASLIRDGWSLAKMYAKYQEAVDALRHEQLGRKESESILQRVLREIEEKAVVIMDERAEHERLLEAYTVINQKLQNFTSDRASLEKAIQELKVDLRRHERDNSLAQKEIADLQKQVTVLLKECRDIQLRCGSLGQDFPGGDATVAAADMSLEPNADKVISELTFKDINGLVEQNVQLRSLVRDLSDQIESKEMEFKEKLELELKKQTDEAASKVAVVLQRAEEQGRMIESLHTSVAMYKKLYEEEHKLHLSYSPAAEAAPDTGRRDLLLLLEGSQEASKKAQEKATERLRCLEEDLAKARGEIISLRSERDKLALESNFAREKLESVMKEAEHERDKINGVLARNVEFSQLIIDYQKKLRESSESLNAAEECSRKLTMEVSILKQEKDMLANAEKRACDEVRSLSERVYRLQASLDTIQSAEEVREETRALERRKQEEYVKRIEKEWAEAKKQVQEERDNVRTLISDREQTLKNAMKQVEEMGKELANALHARAAAEARATTSEARLADLEKNLKSSDAKILALDGGTPSAFSTNEATELPMTKEEIEKLKEEAKVNRDHMLQYKNIAQANEDALKQMELAHENFKIEAEKLKKSLEAELVSLRERVSELENESSLKSEEVASATAGKEEALSSVLAEITSLKEETAVKSSQIMALEIQISSMKENLENEHEKWRAAQANYERQVILQSETIQELTKTSQELALLQEEASELRKLADAHKSENAELKARWEMEKSVLEESRKEAEKKYDELNEQNKILHSRIEAMHIQFAEKDRGSALAESSVPDSHGDSGLQNVINYLRRTKQIAETEISLLKQEKLRLQSQLENALKAEENAKATLNAERANSRAVLMTEDEIKSLQHQIREMNLLRESNMQLREENKHNFEECQKLREVAHKHKIESEALESQLMERQFEVEASKKEIEKHLTEREILEKRVSELLERCRNIDVEDYNRLKNDVLQKEENLKEKDAQIEEITNLLCKKQDIISKLEQDLANSKLELNEKDKKLNDILQQEANLKSDIEKQKKLVVQFKRRAESFAKEKEQLSRENLKLVEELKQGRRSGSDITGDQVMKEKEEKDTRIQILEKTVERQREELKKEKDEHQNEKAKRIKCERTIMEAVRKTEKGKTTVLGELEKYQLSVKRISEELEKLKHAEGNLPQGTSVVQLLSGTISDDHASSYLSAAEDFEKVARSILNELGTGSISGDVPAVDNSAPVLTGTVVPDQLPVIASSTVPVTSHQQPAKTSEERRSILPKTNTDTRKTGRRLVRPRFAKPEEPQGDVEMSEAISHDVDAQGTLTSQNQQSVRKRLASATSELSEDLPVPGETSTDVVVPALKKSKGPDSGQEAAEGQAAALSENVGCPQVTDEAYDNVGDVTQGSNEELVDVEKEEADTMEENLEESKEPQVDGTNEVGLQENKNDEILDKPSGNEVVADEDSKNPAEQDNLQPVLETESEREEGELVPEVAADTEGGNDAHNVVGSSEVGDRQAELVSSPLASPSRVDDEALVTAAEGDNSPDAVNDEKNEEGYIGEESVAEGSEKSNDGNEQSVVETDPMPEAAAAAVATSGTNESGTTSGTPEGEVSKNIGSSSGAAAAEAEDVKQMSPISGTGSGSGSSATSTLVNLNERARERAMLRQAGVLGSTTSGRGRGRVAVRARGARGRSARGGRAGGGPQNSDQQ >KJB14119 pep chromosome:Graimondii2_0_v6:2:15428459:15446104:-1 gene:B456_002G110900 transcript:KJB14119 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MKEAEHERDKINGVLARNVEFSQLIIDYQKKLRESSESLNAAEECSRKLTMEVSILKQEKDMLANAEKRACDEVRSLSERVYRLQASLDTIQSAEEVREETRALERRKQEEYVKRIEKEWAEAKKQVQEERDNVRTLISDREQTLKNAMKQVEEMGKELANALHARAAAEARATTSEARLADLEKNLKSSDAKILALDGGTPSAFSTNEATELPMTKEEIEKLKEEAKVNRDHMLQYKNIAQANEDALKQMELAHENFKIEAEKLKKSLEAELVSLRERVSELENESSLKSEEVASATAGKEEALSSVLAEITSLKEETAVKSSQIMALEIQISSMKENLENEHEKWRAAQANYERQVILQSETIQELTKTSQELALLQEEASELRKLADAHKSENAELKARWEMEKSVLEESRKEAEKKYDELNEQNKILHSRIEAMHIQFAEKDRGSALAESSVPDSHGDSGLQNVINYLRRTKQIAETEISLLKQEKLRLQSQLENALKAEENAKATLNAERANSRAVLMTEDEIKSLQHQIREMNLLRESNMQLREENKHNFEECQKLREVAHKHKIESEALESQLMERQFEVEASKKEIEKHLTEREILEKRVSELLERCRNIDVEDYNRLKNDVLQKEENLKEKDAQIEEITNLLCKKQDIISKLEQDLANSKLELNEKDKKLNDILQQEANLKSDIEKQKKLVVQFKRRAESFAKEKEQLSRENLKLVEELKQGRRSGSDITGDQVMKEKEEKDTRIQILEKTVERQREELKKEKDEHQNEKAKRIKCERTIMEAVRKTEKGKTTVLGELEKYQLSVKRISEELEKLKHAEGNLPQGTSVVQLLSGTISDDHASSYLSAAEDFEKVARSILNELGTGSISGDVPAVDNSAPVLTGTVVPDQLPVIASSTVPVTSHQQPAKTSEERRSILPKTNTDTRKTGRRLVRPRFAKPEEPQGDVEMSEAISHDVDAQGTLTSQNQQSVRKRLASATSELSEDLPVPGETSTDVVVPALKKSKGPDSGQEAAEGQAAALSENVGCPQVTDEAYDNVGDVTQGSNEELVDVEKEEADTMEENLEESKEPQVDGTNEVGLQENKNDEILDKPSGNEVVADEDSKNPAEQDNLQPVLETESEREEGELVPEVAADTEGGNDAHNVVGSSEVGDRQAELVSSPLASPSRVDDEALVTAAEGDNSPDAVNDEKNEEGYIGEESVAEGSEKSNDGNEQSVVETDPMPEAAAAAVATSGTNESGTTSGTPEGEVSKNIGSSSGAAAAEAEDVKQMSPISGTGSGSGSSATSTLVNLNERARERAMLRQAGVLGSTTSGRGRGRVAVRARGARGRSARGGRAGGGPQNSDQQ >KJB14117 pep chromosome:Graimondii2_0_v6:2:15428798:15458553:-1 gene:B456_002G110900 transcript:KJB14117 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFISDDELSRLSNDAAAVAERADAFIRELYGELETAKAKADANAITAEQNCSLLEQKFLSISGELSDLQSQNAQLQSSLDERHADLAQAQAQKHQLQIQTIGKDGEIERLTTEVSELHKSKRQLLEMIEQKDSEIADKNATIKAYLDKIVNLTDNSAHKEARLSETEAELVRVQATCTRLSQEKELIERHNAWLNEELTAKVDNLTQIRRTHAELEADMSTRLADVEKQYNECSSSLNWHKERTKELETKLTSLQEELCSSKEVATSNEERFSAELSIANKLVELYKESSEEWSKKAGELEGAIKALEMHLGQVQDDYKDRLEKEASAKKQVEKEMADLKEELEKCKAEIEAGRKANEMNLLPLGNFTSETWISSYDANDMVEDNHALVPKIPVGVSGTALAASLIRDGWSLAKMYAKYQEAVDALRHEQLGRKESESILQRVLREIEEKAVVIMDERAEHERLLEAYTVINQKLQNFTSDRASLEKAIQELKVDLRRHERDNSLAQKEIADLQKQVTVLLKECRDIQLRCGSLGQDFPGGDATVAAADMSLEPNADKVISELTFKDINGLVEQNVQLRSLVRDLSDQIESKEMEFKEKLELELKKQTDEAASKVAVVLQRAEEQGRMIESLHTSVAMYKKLYEEEHKLHLSYSPAAEAAPDTGRRDLLLLLEGSQEASKKAQEKATERLRCLEEDLAKARGEIISLRSERDKLALESNFAREKLESVMKEAEHERDKINGVLARNVEFSQLIIDYQKKLRESSESLNAAEECSRKLTMEVSILKQEKDMLANAEKRACDEVRSLSERVYRLQASLDTIQSAEEVREETRALERRKQEEYVKRIEKEWAEAKKQVQEERDNVRTLISDREQTLKNAMKQVEEMGKELANALHARAAAEARATTSEARLADLEKNLKSSDAKILALDGGTPSAFSTNEATELPMTKEEIEKLKEEAKVNRDHMLQYKNIAQANEDALKQMELAHENFKIEAEKLKKSLEAELVSLRERVSELENESSLKSEEVASATAGKEEALSSVLAEITSLKEETAVKSSQIMALEIQISSMKENLENEHEKWRAAQANYERQVILQSETIQELTKTSQELALLQEEASELRKLADAHKSENAELKARWEMEKSVLEESRKEAEKKYDELNEQNKILHSRIEAMHIQFAEKDRGSALAESSVPDSHGDSGLQNVINYLRRTKQIAETEISLLKQEKLRLQSQLENALKAEENAKATLNAERANSRAVLMTEDEIKSLQHQIREMNLLRESNMQLREENKHNFEECQKLREVAHKHKIESEALESQLMERQFEVEASKKEIEKHLTEREILEKRVSELLERCRNIDVEDYNRLKNDVLQKEENLKEKDAQIEEITNLLCKKQDIISKLEQDLANSKLELNEKDKKLNDILQQEANLKSDIEKQKKLVVQFKRRAESFAKEKEQLSRENLKLVEELKQGRRSGSDITGDQVMKEKEEKDTRIQILEKTVERQREELKKEKDEHQNEKAKRIKCERTIMEAVRKTEKGKTTVLGELEKYQLSVKRISEELEKLKHAEGNLPQGTSVVQLLSGTISDDHASSYLSAAEDFEKVARSILNELGTGSISGDVPAVDNSAPVLTVSGTVVPDQLPVIASSTVPVTSHQQPAKTSEERRSILPKTNTDTRKTGRRLVRPRFAKPEEPQGDVEMSEAISHDVDAQGTLTSQNQQSVRKRLASATSELSEDLPVPGETSTDVVVPALKKSKGPDSGQEAAEGQAAALSENVGCPQVTDEAYDNVGDVTQGSNEELVDVEKEEADTMEENLEESKEPQVDGTNEVGLQENKNDEILDKPSGNEVVADEDSKNPAEQDNLQPVLETESEREEGELVPEVAADTEGGNDAHNVVGSSEVGDRQAELVSSPLASPSRVDDEALVTAAEGDNSPDAVNDEKNEEGYIGEESVAEGSEKSNDGNEQSVVETDPMPEAAAAAVATSGTNESGTTSGTPEGEVSKNIGSSSGAAAAEAEDVKQMSPISGTGSGSGSSATSTLVNLNERARERAMLRQAGVLGSTTSGRGRGRVAVRARGARGRSARGGRAGGGPQNSDQQ >KJB14121 pep chromosome:Graimondii2_0_v6:2:15428459:15458553:-1 gene:B456_002G110900 transcript:KJB14121 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFISDDELSRLSNDAAAVAERADAFIRELYGELETAKAKADANAITAEQNCSLLEQKFLSISGELSDLQSQNAQLQSSLDERHADLAQAQAQKHQLQIQTIGKDGEIERLTTEVSELHKSKRQLLEMIEQKDSEIADKNATIKAYLDKIVNLTDNSAHKEARLSETEAELVRVQATCTRLSQEKELIERHNAWLNEELTAKVDNLTQIRRTHAELEADMSTRLADVEKQYNECSSSLNWHKERTKELETKLTSLQEELCSSKEVATSNEERFSAELSIANKLVELYKESSEEWSKKAGELEGAIKALEMHLGQVQDDYKDRLEKEASAKKQVEKEMADLKEELEKCKAEIEAGRKANEMNLLPLGNFTSETWISSYDANDMVEDNHALVPKIPVGVSGTALAASLIRDGWSLAKMYAKYQEAVDALRHEQLGRKESESILQRVLREIEEKAVVIMDERAEHERLLEAYTVINQKLQNFTSDRASLEKAIQELKVDLRRHERDNSLAQKEIADLQKQVTVLLKECRDIQLRCGSLGQDFPGGDATVAAADMSLEPNADKVISELTFKDINGLVEQNVQLRSLVRDLSDQIESKEMEFKEKLELELKKQTDEAASKVAVVLQRAEEQGRMIESLHTSVAMYKKLYEEEHKLHLSYSPAAEAAPDTGRRDLLLLLEGSQEASKKAQEKATERLRCLEEDLAKARGEIISLRSERDKLALESNFAREKLESVMKEAEHERDKINGVLARNVEFSQLIIDYQKKLRESSESLNAAEECSRKLTMEVSILKQEKDMLANAEKRACDEVRSLSERVYRLQASLDTIQSAEEVREVTRALERRKQEEYVKRIEKEWAEAKKQVQEERDNVRTLISDREQTLKNAMKQVEEMGKELANALHARAAAEARATTSEARLADLEKNLKSSDAKILALDGGTPSAFSTNEATELPMTKEEIEKLKEEAKVNRDHMLQYKNIAQANEDALKQMELAHENFKIEAEKLKKSLEAELVSLRERVSELENESSLKSEEVASATAGKEEALSSVLAEITSLKEETAVKSSQIMALEIQISSMKENLENEHEKWRAAQANYERQVILQSETIQELTKTSQELALLQEEASELRKLADAHKSENAELKARWEMEKSVLEESRKEAEKKYDELNEQNKILHSRIEAMHIQFAEKDRGSALAESSVPDSHGDSGLQNVINYLRRTKQIAETEISLLKQEKLRLQSQLENALKAEENAKATLNAERANSRAVLMTEDEIKSLQHQIREMNLLRESNMQLREENKHNFEECQKLREVAHKHKIESEALESQLMERQFEVEASKKEIEKHLTEREILEKRVSELLERCRNIDVEDYNRLKNDVLQKEENLKEKDAQIEEITNLLCKKQDIISKLEQDLANSKLELNEKDKKLNDILQQEANLKSDIEKQKKLVVQFKRRAESFAKEKEQLSRENLKLVEELKQGRRSGSDITGDQVMKEKEEKDTRIQILEKTVERQREELKKEKDEHQNEKAKRIKCERTIMEAVRKTEKGKTTVLGELEKYQLSVKRISEELEKLKHAEGNLPQGTSVVQLLSGTISDDHASSYLSAAEDFEKVARSILNELGTGSISGDVPAVDNSAPVLTVSGTVVPDQLPVIASSTVPVTSHQQPAKTSEERRSILPKTNTDTRKTGRRLVRPRFAKPEEPQGDVEMSEAISHDVDAQGTLTSQNQQSVRKRLASATSELSEDLPVPGETSTDVVVPALKKSKGPDSGQEAAEGQAAALSENVGCPQVTDEAYDNVGDVTQGSNEELVDVEKEEADTMEENLEESKEPQVDGTNEVGLQENKNDEILDKPSGNEVVADEDSKNPAEQDNLQPVLETESEREEGELVPEVAADTEGGNDAHNVVGSSEVGDRQAELVSSPLASPSRVDDEALVTAAEGDNSPDAVNDEKNEEGYIGEESVAEGSEKSNDGNEQSVVETDPMPEAAAAAVATSGTNESGTTSGTPEGEVSKNIGSSSGAAAAEAEDVKQMSPISGTGSGSGSSATSTLVNLNERARERAMLRQAGVLGSTTSGRGRGRVAVRARGARGRSARGGRAGGGPQNSDQQ >KJB14120 pep chromosome:Graimondii2_0_v6:2:15428459:15449666:-1 gene:B456_002G110900 transcript:KJB14120 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MVLQEKLELELKKQTDEAASKVAVVLQRAEEQGRMIESLHTSVAMYKKLYEEEHKLHLSYSPAAEAAPDTGRRDLLLLLEGSQEASKKAQEKATERLRCLEEDLAKARGEIISLRSERDKLALESNFAREKLESVMKEAEHERDKINGVLARNVEFSQLIIDYQKKLRESSESLNAAEECSRKLTMEVSILKQEKDMLANAEKRACDEVRSLSERVYRLQASLDTIQSAEEVREETRALERRKQEEYVKRIEKEWAEAKKQVQEERDNVRTLISDREQTLKNAMKQVEEMGKELANALHARAAAEARATTSEARLADLEKNLKSSDAKILALDGGTPSAFSTNEATELPMTKEEIEKLKEEAKVNRDHMLQYKNIAQANEDALKQMELAHENFKIEAEKLKKSLEAELVSLRERVSELENESSLKSEEVASATAGKEEALSSVLAEITSLKEETAVKSSQIMALEIQISSMKENLENEHEKWRAAQANYERQVILQSETIQELTKTSQELALLQEEASELRKLADAHKSENAELKARWEMEKSVLEESRKEAEKKYDELNEQNKILHSRIEAMHIQFAEKDRGSALAESSVPDSHGDSGLQNVINYLRRTKQIAETEISLLKQEKLRLQSQLENALKAEENAKATLNAERANSRAVLMTEDEIKSLQHQIREMNLLRESNMQLREENKHNFEECQKLREVAHKHKIESEALESQLMERQFEVEASKKEIEKHLTEREILEKRVSELLERCRNIDVEDYNRLKNDVLQKEENLKEKDAQIEEITNLLCKKQDIISKLEQDLANSKLELNEKDKKLNDILQQEANLKSDIEKQKKLVVQFKRRAESFAKEKEQLSRENLKLVEELKQGRRSGSDITGDQVMKEKEEKDTRIQILEKTVERQREELKKEKDEHQNEKAKRIKCERTIMEAVRKTEKGKTTVLGELEKYQLSVKRISEELEKLKHAEGNLPQGTSVVQLLSGTISDDHASSYLSAAEDFEKVARSILNELGTGSISGDVPAVDNSAPVLTGTVVPDQLPVIASSTVPVTSHQQPAKTSEERRSILPKTNTDTRKTGRRLVRPRFAKPEEPQGDVEMSEAISHDVDAQGTLTSQNQQSVRKRLASATSELSEDLPVPGETSTDVVVPALKKSKGPDSGQEAAEGQAAALSENVGCPQVTDEAYDNVGDVTQGSNEELVDVEKEEADTMEENLEESKEPQVDGTNEVGLQENKNDEILDKPSGNEVVADEDSKNPAEQDNLQPVLETESEREEGELVPEVAADTEGGNDAHNVVGSSEVGDRQAELVSSPLASPSRVDDEALVTAAEGDNSPDAVNDEKNEEGYIGEESVAEGSEKSNDGNEQSVVETDPMPEAAAAAVATSGTNESGTTSGTPEGEVSKNIGSSSGAAAAEAEDVKQMSPISGTGSGSGSSATSTLVNLNERARERAMLRQAGVLGSTTSGRGRGRVAVRARGARGRSARGGRAGGGPQNSDQQ >KJB15262 pep chromosome:Graimondii2_0_v6:2:41492353:41495417:1 gene:B456_002G167400 transcript:KJB15262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFISTNCYNWSVGVLEHVCSSRGRFHKPPRLRCCGPYFHLGGFSFSQGQGQYFAATSSQSRGRCGPIFSSAVDDGGADSGDAEDGNGQKESSQSENQGTNSDILRENLERIVGTDDSTFSGLDLATLIRNKYGRSYDVQLIKKEFMGRNLLALNVMWKYMEQVFF >KJB15264 pep chromosome:Graimondii2_0_v6:2:41492624:41494662:1 gene:B456_002G167400 transcript:KJB15264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFISTNCYNWSVGVLEHVCSSRGRFHKPPRLRCCGPYFHLGGFSFSQGQGQYFAATSSQSRGRCGPIFSSAVDDGGADSGDAEDGNGQKESSQSENQGTNSDILRENLERIVGTDDSTFSGLDLATLIRNKYGRSYDVQLIKKEFMGRNLLALNVMWKYMEQVFF >KJB15263 pep chromosome:Graimondii2_0_v6:2:41492353:41495417:1 gene:B456_002G167400 transcript:KJB15263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFISTNCYNWSVGVLEHVCSSRGRFHKPPRLRCCGPYFHLGGFSFSQGQGQYFAATSSQSRGRCGPIFSSAVDDGGADSGDAEDGNGQKESSQSENQGTNSDILRENLERIVGTDDSTFSGLDLATLIRNKYGRSYDVQLIKKEFMGRNLLALNVMWKYMEQRSFPLTEEEYLLRLDDVANTLKCWGAVSHIRNSLAKLKERPRIGKAVSIFIDMDETGGRANEWIYK >KJB13463 pep chromosome:Graimondii2_0_v6:2:9113973:9121511:-1 gene:B456_002G077100 transcript:KJB13463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGDSMLNHILSEYYIPNYILVPESEAKKPPCVPSCPVLVFINSKSGGQLGGNLLSTYRSVLNPNQVFDLGERKPDKVLHQIYATLGSLKQRGDDFASAIENSLRIIVAGGDGTAGWLLGVISDLKLPHPPPVATVPLGTGNNLPFSFGWGKKNPGTDRQSVFSFLEQVKNATEMKIDSWHIIMRMRAPKEGSCDPIGPLELPHSLHAFHRISQTDKLGMDGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFRNQLINQGTYIKLGCTQGWMFGSLFRPSPTNIAQIAKVKVMRKQGQWEDLKIPRSIGSIVCLNLPSFSGGFNPWGTPYRKKFLDRGLTPPFVDDGLIEIVGFRNVLHGLVLLAPNGHGTRLAQANAVRFEFKKGAANHTFMRIDGEPWKQPLPADDETVVVEISHFGQVSMLATPLCRSRSMHDPSSPTACYNEDNNSSDEGDSPDDLEEKRKFGAADSFKFPDEFDISYLS >KJB13462 pep chromosome:Graimondii2_0_v6:2:9114217:9121347:-1 gene:B456_002G077100 transcript:KJB13462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGDSMLNHILSEYYIPNYILVPESEAKKPPCVPSCPVLVFINSKSGGQLGGNLLSTYRSVLNPNQVFDLGERKPDKVLHQIYATLGSLKQRGDDFASAIENSLRIIVAGGDGTAGWLLGVISDLKLPHPPPVATVPLGTGNNLPFSFGWGKKNPGTDRQSVFSFLEQVKNATEMKIDSWHIIMRMRAPKEGSCDPIGPLELPHSLHAFHRISQTDKLGMDGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFRNQLINQGTYIKLGCTQGWMFGSLFRPSPTNIAQIAKVKVMRKQGQWEDLKIPRSIGSIVCLNLPSFSGGFNPWGTPYRKKFLDRGLTPPFVDDGLIEIVGFRNVLHGLVLLAPNGHGTRLAQANAVRFEFKKGAANHTFMRIDGEPWKQPLPADDETVVVEISHFGQVSMLATPLCRSRSMHDPSSPTACYNEDNNSSDEGDSPDDLEEKRKFGAADSFKFPDEFDISYLS >KJB13590 pep chromosome:Graimondii2_0_v6:2:10548430:10550887:1 gene:B456_002G083000 transcript:KJB13590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGFRMVF >KJB13592 pep chromosome:Graimondii2_0_v6:2:10548526:10550781:1 gene:B456_002G083000 transcript:KJB13592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGFRMVF >KJB13591 pep chromosome:Graimondii2_0_v6:2:10548457:10550781:1 gene:B456_002G083000 transcript:KJB13591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGFRMVF >KJB12066 pep chromosome:Graimondii2_0_v6:2:45812363:45813514:-1 gene:B456_002G177600 transcript:KJB12066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDLIGKLETDVEIDISPEKFHHMWCHRPHHVHHTSSEKVQGCDLHEGEFGTPGVVICWRYVHDGKAKTAKQVVEVMDHEKKSITFKMLEGDLMEEYKSFVITIQTSPKSDGKGSIVHWTLDYEKLHEGIGHPESLLQFFIELTADMAAHLRKET >KJB15279 pep chromosome:Graimondii2_0_v6:2:41923263:41925005:-1 gene:B456_002G168500 transcript:KJB15279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKGQGATMGTYDTLLLAFDMDNRVDEARSLWNMVLHTHNCSISKWLFSRMISLFDHHSIPDKIIEVCQSPSRMPCKTNSDYLLDTTIMFVSIYIK >KJB15671 pep chromosome:Graimondii2_0_v6:2:51263035:51270770:-1 gene:B456_002G190100 transcript:KJB15671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFHDLENSGTVDNRPIYPQSSTNQIQLKSQVELSHVDSREDLFVDAPDELNNDNKEGGMPAVSPNVAVLEGKRNAVARLFDETDNNDSNHFVNEMEHLRALLEQAVDEKERLEVKYKEEMGVFSREIHMKDQEIERLNARDISSVAEAGKEVFTEKNRQYEVTMERILAALASVVNQGDVMGYSSGEQVDLVEKSMLALIEKYNQFLFEVNQLRQCLTKAEYDFGVQEFGTLFVAVRDELFELRRKEALLVEKIGLLEDENRKFVEQVENEKVTVNTLNSELGKTKAECEEEKMKCANTKEKLNLAVTEGKSLVQQRDALKQSLDDKASELDKCLAELQEKTSALEAAESHNHELVKSENLVASLQELLSQKTLIVKTFEHILSHLDVPEELQSVDIVGRARWLANERNELKGASLDFCRLKDSICAIDLPENISFSDLGSRLAWLKESFYRAKDDINMLQNEMSRTKEAASDEIDKLSALRSAVQQEKDYIMEELDHLRIKNDEIVAKAEQISLDKDHLSDSLAAELTEKDKIQKELDDLTNKYENIVGKVHQLSSEKDQMFRLLVEGSGKVMGDQEVIEETSSNLPVLIDRCLEKLKEQTSPSVETPFVDAELFEKYQSLLYVRDLELMLFKEILEEDMLVWSQLNDLSNQFRVTSQELFSLKEEKDVLQKDLERSEEKAGLVREKLTMAVKKGKGLVQDRDNLKILLEEKKSEIEKLRLEIQQEESRVAECRDQINTLSADLERVPKLESNLVAMKEERDQLEKFLTESNSILQRVVESIDRIVIPVDSEFLEPVEKLDLLAGYIDDCQTANTQTEQELMEVKEESSNLAGKLAQAQETMKLLEDALAVVKNDLSQLAEEKKEMEFDKKHIEIELQKTIEEARLLEEACDARKSLEEALSQAENKTSVLITEKEDVQGNKAASDMEVEKMREEIAIQTSRLAEAYNTITTLENALSLAEKTVASLTEQNNNAQVEITNLDNELKKLKNETDTHTSQLKDAGITIKSLDNALAKAENDFSALLGEKRTADQEVSTLNSKLNVCMEELAGSSGNLASRSMELIGHLNNLQMLAKDQSLLSTMRQCFDRNTERLKDMDLTIKNVREYLFDKDLKLQQGYPFSEDIASLLRQFSDDIDNTLNIEMENDEANAINAVDVSLCFRRVTEGFQMRNKILADRFEGFSSFLDESIATLLKNLQAIEDEVKSMIKHKESFKQEYVQKELDDLTSKYEKVVEKVHQLSLEKDQMVQLLVEGSGIVIGEQEGTEEASSNLPGLIYRCLEKIKERSASLETPFVDKDHFENFQSLLYVRGLELMLCEEILEEDMLVRSQLNDLSNQFKVTSQELFALKEEKDVLQKGLEQSEEKSGLLKEKLSMAVKKGKGLVQDREKLKVLMKEKMSEIEKLRLELQQEESRFAECGEQISSLSADLDRIPKLESNLASMKEEKDQLEKLLFESNRRLHTVVESINCIVIPVDSAFLEPVQKLNLLSEYIYDCQTAKKQTEQELREVKEAASILAGKLAEARATMKLLEDALNTVKNDLAQLAGEKNEMETGKKNIEIELQKTIEQRDESQSRVFKLESDVEGLEGSCREMRLKIEDYQAKEDRWKEKEAELLSSYNSLLMKVKEAEEPLLSASQLRTLMEMLSGIEIPLVESEDLEPHISADVKKLFSVIGSFTDLQNRINLLSNEKEELQSTLSRQNFEIQHLKGEIETHVRNKPDLEHMKMELSEVTFGLEKIIVVLGGKEFIGGQNSVGMKVLLPVLEKQVNAFLLEAKTSKSKAEELGTKLAGSQKVVNELSTKVKLLEDSIQGRTVPPEVVQERSIFEAPSASTGSEISEIEDLGSFGKNPISSAPLAAHVRTMRKGSTDHLALNIDSEADRLINSKETDKQKCRSFNSLNTSGLIPKQGKLIADRVDGIWVSGGQVLSSRPRARLGLIAYCLLLHIWLLGTVL >KJB14014 pep chromosome:Graimondii2_0_v6:2:14423069:14427399:1 gene:B456_002G106700 transcript:KJB14014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMKGTIPFFTRISVLGFNSRHGEKLLDACRIAYVLYEVLKTVIAGPQALADRESIKARSELFAYNILPLDHGGIQQAIMKFPEELVVHLKITTLCFGMLLYLDLMTPHGMEGT >KJB14015 pep chromosome:Graimondii2_0_v6:2:14423069:14427399:1 gene:B456_002G106700 transcript:KJB14015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMKGTIPFFTRISVLGFNSRHGEKLLDACRIAYVLYEVLKTVIAGPQALADRESIKARSELFAYNILPLDHGGIQQAIMKFPEELVVHLKITTLCFGMLLYLDLMTPHGMEATR >KJB14041 pep chromosome:Graimondii2_0_v6:2:14800758:14803055:1 gene:B456_002G108300 transcript:KJB14041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKMMGCREEHQSTHGSVLGGKPNHCVIYPPKSSIVAEELERRNNDWFVRTKVASDLMVQVGDFSFHLHKLAMVSKSGYLNRLVFAKRSEGHGHNGGSLKIVLDDLPGGTNTFESIVNFCYGFKVDVTATSIAPLYCAANFLEMSDDLHQGNLISKTEAFLSFAIFSSWKDTFKVLKSCESVSPWSKDLLIVKQCSDAIAWKASTDPKAFTLGQNSNGNLNVGESSDTWWFQDVSTLRIDHFIQVIESIKRKGVKPELVGSCIAHWTAKWFSGTTFVFDDPTIPKHLTQKLQRITIESLINMLPAEKNSVSCNFLLYLVKLGLKIQVNSELLSKLETRIASMLEQCRAQDLLVKNYGDKDTTYDAGIVIRVVKAYVLLALKNSRARLCIVGRLIDGYLTLIARDINLTLIDFKLLVDALPTNARSCDNNLYRAIDMYLKAHPSLMEEERASVCKAMEYHRLSEDARQHAMRNGRLPLKIVTEFMLLEQVKMTRSISASKSNINPRTTTQVIMKMNNKKCLEKGFIAPQKEIMLIRKEVENMKMQLNQLQMCKLKLQKQVQRSCIK >KJB14404 pep chromosome:Graimondii2_0_v6:2:17721534:17722386:-1 gene:B456_002G123200 transcript:KJB14404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASSALASFTFSSPHFMGHKVSIEEPSSMPARVHFRQLRVSAACASTAERPVSHIACPSSLYEVLGIQMGATSKEIKAAYRRLARVLHPDVSANGRNDAAANEFIKVHEAYSTLSDPEKRADYDRTLLFRPRRSFSVSIESMAGPTSSGFSGYSKRTWETDQCW >KJB16252 pep chromosome:Graimondii2_0_v6:2:57345041:57350744:-1 gene:B456_002G219700 transcript:KJB16252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDNSGGKNATVSLIDERLGEITDGIQKVQGKPEETRSLNVSNYNVIKLDEVNGQDRSGDDRDQNEALASRAVQDNLGDKEKHVKKCDKMDKDRESYSKALEEMYETAKAVHFDEPEPVFDGTEVPGMETKLNSSTCSSGFNLEEGYMWPEKAVVLKNFVKEKGAVAVTNVLHHLSIKRDDVEMGTVRVYQGAPGSSKIGEQTAERSAWNPLNYIKMSCTADAGWKAEQGEDDTEGSLIPVVTKGKVLLYTRLGCQDCREVRWFLRNKRLRYVEINIDLYPSRKLELEKLSGSCAVPKVFFNEVLIGGLSELKHLDMSGKLAEKIDFLITEAPSTEAPLSPLSGEDDVSCKGPVDELALIVQKMKRYIVVKDRFYKMRRFTNCFLGSEAVDFLSEDQYLEREEAVEFGRKLASELFFRHVLDENLLEDSNHLYRFLDHDPVVSTQCHNTPRGMIELKPKPITEIASRLRFLFCAILEAYASEDGRLVDYRSVHGSEEFARYLRIIQELQRVDVQDMQREEKLAFFINLYNMMAIHAILVWGHPNGSLEWRKLLGDFKYVVGGFTYSLSSIHNGILRCNQRPPFNLLKPFGVRDKRLQVALPYPEPLIHFALVCGTRSGPALQCYSSRNIDKELMDAARVFIRSGGLTVDLSSKVAYASKLLKWFSVDFGQNEIEVLKHASNYLEPTNAEAFLGIIADSQLRIIYQPYDWGLNCYS >KJB15872 pep chromosome:Graimondii2_0_v6:2:54092158:54092535:-1 gene:B456_002G200800 transcript:KJB15872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKASPKRDFKQSKNRNQKALRSNLLEAIAKANNGGGTTVVTHHINEDGVLRVKIVVRKQDLKQMLGRINGDDRKINTNYPSSPSLSVEQRLNLLRKKHAMKAGNAVKKSFHCWSPQLQSIPEE >KJB14242 pep chromosome:Graimondii2_0_v6:2:16377563:16382539:1 gene:B456_002G115600 transcript:KJB14242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFPCLNPRSKDVRIDIDNGSRTNSRHSADSSVSGATKRKASLDEHKKGSDQGKGSKGSGARSFTFRELATATRNFRETNLLGEGGFGRVFKGRLETGEIVAVKQLNHDGLQGYQEFIVEVLMLSLLHHVNLVTLIGYCTAGDQRLLVYEYMPMGSLEDHLFDLEPGQEPLSWNNRIKIAVGAARGIEYLHCKANPPVIYRDLKSANILLDNDFNPKLSDFGLAKLGPVGDHTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYCFGVVLLELITGRKAIDTSKKHGEQNLVSWSRPYLKDQKKFSLLVDPLIRGCYPRRCLNYAIAITAMCLNEEANFRPLIGDIVVALEYLASQSQNWSPESRNVEVCNASQSSPMRSEKGTPHQSNSKRG >KJB14240 pep chromosome:Graimondii2_0_v6:2:16377563:16382539:1 gene:B456_002G115600 transcript:KJB14240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFPCLNPRSKDVRIDIDNGSRTNSRHSADSSVSGATKRKASLDEHKKGSDQGKGSKGSGARSFTFRELATATRNFRETNLLGEGGFGRVFKGRLETGEIVAVKQLNHDGLQGYQEFIVEVLMLSLLHHVNLVTLIGYCTAGDQRLLVYEYMPMGSLEDHLFDLEPGQEPLSWNNRIKIAVGAARGIEYLHCKANPPVIYRDLKSANILLDNDFNPKLSDFGLAKLGPVGDHTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYCFGVVLLELITGRKAIDTSKKHGEQNLVSWSRPYLKDQKKFSLLVDPLIRGCYPRRCLNYAIAITAMCLNEEANFRPLIGDIVVALEYLASQSQNWSPESRNVEVCNASQSSPMRSEKGTPHQSNSKRG >KJB14239 pep chromosome:Graimondii2_0_v6:2:16377563:16382086:1 gene:B456_002G115600 transcript:KJB14239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFPCLNPRSKDVRIDIDNGSRTNSRHSADSSASLDEHKKGSDQGKGSKGSGARSFTFRELATATRNFRETNLLGEGGFGRVFKGRLETGEIVAVKQLNHDGLQGYQEFIVEVLMLSLLHHVNLVTLIGYCTAGDQRLLVYEYMPMGSLEDHLFDLEPGQEPLSWNNRIKIAVGAARGIEYLHCKANPPVIYRDLKSANILLDNDFNPKLSDFGLAKLGPVGDHTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYCFGVVLLELITGRKAIDTSKKHGEQNLVSWSRPYLKDQKKFSLLVDPLIRGCYPRRCLNYAIAITAMCLNEEANFRPLIGDIVVALEYLASQSQNWSPESRNVEVCNASQSSPMRSEKGTPHQSNSKRG >KJB14238 pep chromosome:Graimondii2_0_v6:2:16377563:16382086:1 gene:B456_002G115600 transcript:KJB14238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLHHVNLVTLIGYCTAGDQRLLVYEYMPMGSLEDHLFDLEPGQEPLSWNNRIKIAVGAARGIEYLHCKANPPVIYRDLKSANILLDNDFNPKLSDFGLAKLGPVGDHTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYCFGVVLLELITGRKAIDTSKKHGEQNLVSWSRPYLKDQKKFSLLVDPLIRGCYPRRCLNYAIAITAMCLNEEANFRPLIGDIVVALEYLASQSQNWSPESRNVEVCNASQSSPMRSEKGTPHQSNSKRG >KJB14241 pep chromosome:Graimondii2_0_v6:2:16377563:16382539:1 gene:B456_002G115600 transcript:KJB14241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFPCLNPRSKDVRIDIDNGSRTNSRHSADSSEHKKGSDQGKGSKGSGARSFTFRELATATRNFRETNLLGEGGFGRVFKGRLETGEIVAVKQLNHDGLQGYQEFIVEVLMLSLLHHVNLVTLIGYCTAGDQRLLVYEYMPMGSLEDHLFDLEPGQEPLSWNNRIKIAVGAARGIEYLHCKANPPVIYRDLKSANILLDNDFNPKLSDFGLAKLGPVGDHTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYCFGVVLLELITGRKAIDTSKKHGEQNLVSWSRPYLKDQKKFSLLVDPLIRGCYPRRCLNYAIAITAMCLNEEANFRPLIGDIVVALEYLASQSQNWSPESRNVEVCNASQSSPMRSEKGTPHQSNSKRG >KJB15374 pep chromosome:Graimondii2_0_v6:2:44456240:44457406:-1 gene:B456_002G174000 transcript:KJB15374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDPSQQRPARPTCIAPAFTFLQKILSHAPNTTPLLAFLFIFFVSALTLLILTALALSVTVLGFIFFTPLVLFTSPIWLPGCTLLFLTAAGFLLACGFGGAVAAGSTWMFRYFWGMHPPGSNRVGSARTRIYDTASHVKDYVGYLQSKRPDKALIEWLHSCLDLIWLLVKEDKCRVPFYDLLQSGLQFIESIPDNEALFIVIREIHRRRFDGYAYMPRPSLPTIGTHQILSQTNS >KJB16669 pep chromosome:Graimondii2_0_v6:2:60644077:60646458:-1 gene:B456_002G242100 transcript:KJB16669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFISKYADELAKNAAYIGTPGKGILAADESTGTIGKRLASINVENVEENRRALRELLFTAPGALQFISGVIFFEETLYQKTASGKLFVDVLKESCVLPGIKVDTGTVVLNGTNDETFTQGLDGLAQRCQKYYEAGARFAKWRAVLKIGTIEPTELAIQENANGLAMYSAICQQCGLVPIVEPEILVDGPHDINKCAAVTERVLAACYKALNDHHVMLEGTLLKPNMVTPGSDSPKVAPEVIAEHTVRALQRTVPPAVPAVVFLSGGQSEEEATINLNAMNKLKTKKPWSLSFSFGRALQQSTLKAWGGKAENVAKAQEAFLVRCKANSEATLGTYKGDAKLGEGAAESLHVKDYKY >KJB17162 pep chromosome:Graimondii2_0_v6:2:62665036:62668033:-1 gene:B456_002G267900 transcript:KJB17162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43360) UniProtKB/Swiss-Prot;Acc:P54967] MGAAWRDTVGRKTNFNQILEYVKEIRDMGMEVCCTLGMLEKQQALELKKAGLTAYNHNLDTSKEYYPNIITTRTYDERLETLQHVREAGINVCSGGIIGLGEAVEDRVGLLHTLATLPTHPESVPINALVAVKGTPLQDQKPVEIWEMIRMIATARIVMPKSMVRLSAGRVRFSMPEQALCFLAGANSIFTGEKLLTTPNNDYDADQVMFKILGLIPKAPSFTEEEAENCDEAVSTSG >KJB17163 pep chromosome:Graimondii2_0_v6:2:62665036:62669173:-1 gene:B456_002G267900 transcript:KJB17163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43360) UniProtKB/Swiss-Prot;Acc:P54967] MESAQVHRYAHNFREVQQCTLLSIKTGGCSEDCSYCPQSSRYHTGLKPHKLMTKDAVMQAAKQAKEAGSTRFCMGAAWRDTVGRKTNFNQILEYVKEIRDMGMEVCCTLGMLEKQQALELKKAGLTAYNHNLDTSKEYYPNIITTRTYDERLETLQHVREAGINVCSGGIIGLGEAVEDRVGLLHTLATLPTHPESVPINALVAVKGTPLQDQKPVEIWEMIRMIATARIVMPKSMVRLSAGRVRFSMPEQALCFLAGANSIFTGEKLLTTPNNDYDADQVMFKILGLIPKAPSFTEEEAENCDEAVSTSG >KJB17161 pep chromosome:Graimondii2_0_v6:2:62665023:62669209:-1 gene:B456_002G267900 transcript:KJB17161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43360) UniProtKB/Swiss-Prot;Acc:P54967] MLSIRSLSTTKLVVRSCSYYYSSSTASAAAVEAERTIREGPRNVWTRQQIDSIYHSPLLDLLFHGAQVHRYAHNFREVQQCTLLSIKTGGCSEDCSYCPQSSRYHTGLKPHKLMTKDAVMQAAKQAKEAGSTRFCMGAAWRDTVGRKTNFNQILEYVKEIRDMGMEVCCTLGMLEKQQALELKKAGLTAYNHNLDTSKEYYPNIITTRTYDERLETLQHVREAGINVCSGGIIGLGEAVEDRVGLLHTLATLPTHPESVPINALVAVKGTPLQDQKPVEIWEMIRMIATARIVMPKSMVRLSAGRVRFSMPEQALCFLAGANSIFTGEKLLTTPNNDYDADQVMFKILGLIPKAPSFTEEEAENCDEAVSTSG >KJB16221 pep chromosome:Graimondii2_0_v6:2:57539558:57540526:-1 gene:B456_002G220900 transcript:KJB16221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRDQQKILQAEASKQMTPSSSSCKKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCLKGSSASLNFPNTSLDYIPDAIMSPKSIQRVAAAAAANSFPDNGISSSTTAPVSPTPSSLVSSPSMASSPSDQVDDVSLIQSLESISMMEPWYTSFDDLQSPKYIDQMFNLVPFDPPTVMDDFYEELSDIRLWSFP >KJB12238 pep chromosome:Graimondii2_0_v6:2:492906:500277:-1 gene:B456_002G007700 transcript:KJB12238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALITGGASGIGKALSLALAGKGLFVTIVDFAEEKGKEVACLVEKENSRFHEKLEFPSAIFVKCDVTNPRDISLAFEKHVATYGGLDVCINSAGINNPVPFQNDQSDGAKTWRHTINVNLVAVIDCTRLAIKTMQALQKPGVIINTGSYAGLFPFFLDPIYSGSKGGVVLFTRSLTPYKREGIRVNVLCPEVVRTEMGEKLDPKYVSLMGGFVPMELVVKGAFELITDESRAGSCLWISNRRGMVYWPIPSEEAKYSLRSSTSSRSNKISFQAPLSSQLPQNFKKLVVHTWSQNFRDATHIISAPLRLPLESNQVLLKVIYAGVNAGDVNFSAGRYFQGTKKDPGFEAVGIIAAIGDSVRNLEVGTPAAVMTIGCYAEFMKVPSKQILPIPRPDPEVVALLTSGLTASIALEELGQMKSGKVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKARLLKEFGVDRVIDYKTEDIKTALKEFPKGVDIVYECVGGNMFDLCLDALAIRGRLIVIGMISQYQGKDGWKPLNYPGLVEKLLAKSQTVAGFVLTHYSRLMQKHLIRLFQLYSSGKLKVVVDPKRFSGLHSVSDAVEHLHSGKSTGKVVVCMDPSFEHQMAKL >KJB12235 pep chromosome:Graimondii2_0_v6:2:492906:500093:-1 gene:B456_002G007700 transcript:KJB12235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALITGGASGIGKALSLALAGKGLFVTIVDFAEEKGKEVACLVEKENSRFHEKLEFPSAIFVKCDVTNPRDISLAFEKHVATYGGLDVCINSAGINNPVPFQNDQSDGAKTWRHTINVNLVAVIDCTRLAIKTMQALQKPGVIINTGSYAGLFPFFLDPIYSGSKGGVVLFTRSLTPYKREGIRVNVLCPEVVRTEMGEKLDPKYVSLMGGFVPMELVVKGAFELITDESRAGSCLWISNRRGMVYWPIPSEEAKYSLRSSTSSRSNKISFQAPLSSQLPQNFKKLVVHTWSQNFRDATHIISAPLRLPLESNQVLLKVIYAGVNAGDVNFSAGRYFQGTKKDPGFEAVGIIAAIGDSVRNLEVGTPAAVMTIGCYAEFMKVPSKQILPIPRPDPEVVALLTSGLTASIALEELGQMKSGKVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKARLLKEFGVDRVIDYKTEDIKTALKEFPKGVDIVYECVGGNMFDLCLDALAIRGRLIVIGMISQAGFVLTHYSRLMQKHLIRLFQLYSSGKLKVVVDPKRFSGLHSVSDAVEHLHSGKSTGKVVVCMDPSFEHQMAKL >KJB12236 pep chromosome:Graimondii2_0_v6:2:493243:500027:-1 gene:B456_002G007700 transcript:KJB12236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALITGGASGIGKALSLALAGKGLFVTIVDFAEEKGKEVACLVEKENSRFHEKLEFPSAIFVKCDVTNPRDISLAFEKHVATYGGLDVCINSAGINNPVPFQNDQSDGAKTWRHTINVNLVAVIDCTRLAIKTMQALQKPGVIINTGSYAGLFPFFLDPIYSGSKGGVVLFTRSLTPYKREGIRVNVLCPEVVRTEMGEKLDPKYVSLMGGFVPMELVVKGAFELITDESRAGSCLWISNRRGMVYWPIPSEEAKYSLRSSTSSRSNKISFQAPLSSQLPQNFKKLVVHTWSQNFRDATHIISAPLRLPLESNQVLLKVIYAGVNAGDVNFSAGRYFQGTKKDPGFEAVGIIAAIGDSVRNLEVGTPAAVMTIGCYAEFMKVPSKQILPIPRPDPEVVALLTSGLTASIALEELGQMKSGKVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKARLLKEFGVDRVIDYKTEDIKTALKEFPKGVDIVYECVGGNMFDLCLDALAIRGRLIVIGMISQYQGKDGWKPLNYPGLVEKLLAKSQTVAGFVLTHYSRLMQKHLIRLFQLYSSGKLKVVVDPKRFSGLHSVSDAVEHLHSGKSTGKVSTNLL >KJB12237 pep chromosome:Graimondii2_0_v6:2:492906:500093:-1 gene:B456_002G007700 transcript:KJB12237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALITGGASGIGKALSLALAGKGLFVTIVDFAEEKGKEVACLVEKENSRFHEKLEFPSAIFVKCDVTNPRDISLAFEKHVATYGGLDVCINSAGINNPVPFQNDQSDGAKTWRHTINVNLVAVIDCTRLAIKTMQALQKPGVIINTGSYAGLFPFFLDPIYSGSKGGVVLFTRSLTPYKREGIRVNVLCPEVVRTEMGEKLDPKYVSLMGGFVPMELVVKGAFELITDESRAGSCLWISNRRGMVYWPIPSEEAKYSLRSSTSSRSNKISFQAPLSSQLPQNFKKLVVHTWSQNFRDATHIISAPLRLPLESNQVLLKVIYAGVNAGDVNFSAGRYFQGTKKDPGFEAVGIIAAIGDSVRNLEVGTPAAVMTIGCYAEFMKVPSKQILPIPRPDPEVVALLTSGLTASIALEELGQMKSGKVVLVTAAAGGTGQFAVQALKEFPKGVDIVYECVGGNMFDLCLDALAIRGRLIVIGMISQYQGKDGWKPLNYPGLVEKLLAKSQTVAGFVLTHYSRLMQKHLIRLFQLYSSGKLKVVVDPKRFSGLHSVSDAVEHLHSGKSTGKVVVCMDPSFEHQMAKL >KJB14496 pep chromosome:Graimondii2_0_v6:2:19731266:19734160:1 gene:B456_002G128000 transcript:KJB14496 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent tRNA 4-demethylwyosine synthase [Source:Projected from Arabidopsis thaliana (AT1G75200) UniProtKB/Swiss-Prot;Acc:Q8RXN5] MTPSSLSTRLTLFALLSATTFYFLYKSRRRCLKPLKHLPLNPNPRPGKLFFLTQTGTSKALAQRLLDLLSSKNNIPFDLVDPHTYEPEDLPKESLIIIIASTWEDGNPPQNSKFFVNWLADISTDFRAGNLLLSDCKFAVFGVGSRLYGDTFNAVARDLGKRLRGLGATEMVPVGEGDVDGGELESVFEGWSEKVVTVLKGGLVMENENGIVYESDVESLESDDDDDGEGGGEDIVDLEDIAGKGPSRKKSVNVAKTNGKLDGKREMVTPVIRANLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWQWKMDDPLEIVNTAIDLHTKMIKQTKGVPGVTQERLMEGLSPRHCALSLVGEPIMYPEINALVDELHRRRISTFLVTNAQFPEKIKMLKPVTQLYVSVDAATKDSLKAIDRPLFGDFWERFIVRFLESSQRETSTDCISLDTCERMEYRRCRCLF >KJB14497 pep chromosome:Graimondii2_0_v6:2:19731186:19734949:1 gene:B456_002G128000 transcript:KJB14497 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent tRNA 4-demethylwyosine synthase [Source:Projected from Arabidopsis thaliana (AT1G75200) UniProtKB/Swiss-Prot;Acc:Q8RXN5] MTPSSLSTRLTLFALLSATTFYFLYKSRRRCLKPLKHLPLNPNPRPGKLFFLTQTGTSKALAQRLLDLLSSKNNIPFDLVDPHTYEPEDLPKESLIIIIASTWEDGNPPQNSKFFVNWLADISTDFRAGNLLLSDCKFAVFGMVPVGEGDVDGGELESVFEGWSEKVVTVLKGGLVMENENGIVYESDVESLESDDDDDGEGGGEDIVDLEDIAGKGPSRKKSVNVAKTNGKLDGKREMVTPVIRANLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWQWKMDDPLEIVNTAIDLHTKMIKQTKGVPGVTQERLMEGLSPRHCALSLVGEPIMYPEINALVDELHRRRISTFLVTNAQFPEKIKMLKPVTQLYVSVDAATKDSLKAIDRPLFGDFWERFIDSLKALKEKHQRTVYRLTLVKGWNTEDVDAYSKLFVLGKPDFVEIKGVTYCGSSATSKLTMENVPWHSDVRAFSEALALKSEGEYEVACEHAHSCCVLLAKTEKFKVNGQWHTWIDYEKFHDLVALGRPFDSEDYMALTPSWAVYGAEEGGFDPDQSRYKKERHHKSKR >KJB14495 pep chromosome:Graimondii2_0_v6:2:19731065:19735135:1 gene:B456_002G128000 transcript:KJB14495 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent tRNA 4-demethylwyosine synthase [Source:Projected from Arabidopsis thaliana (AT1G75200) UniProtKB/Swiss-Prot;Acc:Q8RXN5] MTPSSLSTRLTLFALLSATTFYFLYKSRRRCLKPLKHLPLNPNPRPGKLFFLTQTGTSKALAQRLLDLLSSKNNIPFDLVDPHTYEPEDLPKESLIIIIASTWEDGNPPQNSKFFVNWLADISTDFRAGNLLLSDCKFAVFGVGSRLYGDTFNAVARDLGKRLRGLGATEMVPVGEGDVDGGELESVFEGWSEKVVTVLKGGLVMENENGIVYESDVESLESDDDDDGEGGGEDIVDLEDIAGKGPSRKKSVNVAKTNGKLDGKREMVTPVIRANLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWQWKMDDPLEIVNTAIDLHTKMIKQTKGVPGVTQERLMEGLSPRHCALSLVGEPIMYPEINALVDELHRRRISTFLVTNAQFPEKIKMLKPVTQLYVSVDAATKDSLKAIDRPLFGDFWERFIDSLKALKEKHQRTVYRLTLVKGWNTEDVDAYSKLFVLGKPDFVEIKGVTYCGSSATSKLTMENVPWHSDVRAFSEALALKSEGEYEVACEHAHSCCVLLAKTEKFKVNGQWHTWIDYEKFHDLVALGRPFDSEDYMALTPSWAVYGAEEGGFDPDQSRYKKERHHKSKR >KJB13869 pep chromosome:Graimondii2_0_v6:2:12585133:12588693:-1 gene:B456_002G099000 transcript:KJB13869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIVLRSRIVSNDCSSKIIYRRVLSSGVVSSSSSSSNPSKETIIPSQSILSDNPAPPTPPPPAPEASTQVSGRKSWTFLKYGLLATVTGAIGYAGYLSYKCSYEEVEQKAKSLRAAARYTPSEDAAAVEKYRGMLYSAAMTVPAKALESYLDLRKMVEEHVMEFTEPTSDKLLPDLHPQEQHVFTLVLDLNETLLYTDWKRERGWRTFKRPGVDAFLEHMAKFYEIVVYSDQMNMYVDPVCERLDPNHYIRYRLSRGATKYQDGKHYRDLSKLNRDPAKILYVSAHAFESSLQPENCVPIKPYKLETDDTALLDLIPFLEYVARNSPADIRQVLQSYERKDVAKEFLERSKEYQRRMQGQRQQGRFWWR >KJB12148 pep chromosome:Graimondii2_0_v6:2:155763:157857:-1 gene:B456_002G003000 transcript:KJB12148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGELDFSNHEVFSGGNSMGDIPSSCSMDSFFNEILNDSHACTHTHTCNPPGPDNSHTHTCFHVHTKIVPASTEDEAAADDTEGSREKKPKKRSLGNREAVRKYRQKVKARAASLEDEVVRLRTLNQQLLKRLQGQAALEAEIARLKCLLVDVRGRIEGEIGSFPYQKPTANNVNTLNLPGAYLMNPCNIQCNDQMYCLQPEVEGNTGEATALDGHGFNGCEFDDIQCLASQNSGAKELPGGGVGSAASNGNSSGTKRRKGVRPATAG >KJB12146 pep chromosome:Graimondii2_0_v6:2:155426:158604:-1 gene:B456_002G003000 transcript:KJB12146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGELDFSNHEVFSGGNSMGDIPSSCSMDSFFNEILNDSHACTHTHTCNPPGPDNSHTHTCFHVHTKIVPASTEDEAAADDTEGSREKKPKKRSLGNREAVRKYRQKVKARAASLEDEVVRLRTLNQQLLKRLQGQAALEAEIARLKCLLVDVRGRIEGEIGSFPYQKPTANNVNTLNLPGAYLMNPCNIQCNDQMYCLQPEVEGNTGEATALDGHGFNGCEFDDIQCLASQNSGAKELPGGGVGSAASNGNSSGTKRRKATAG >KJB12147 pep chromosome:Graimondii2_0_v6:2:156374:157789:-1 gene:B456_002G003000 transcript:KJB12147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGELDFSNHEVFSGGNSMGDIPSSCSMDSFFNEILNDSHACTHTHTCNPPGPDNSHTHTCFHVHTKIVPASTEDEAAADDTEGSREKKPKKRSLGNREAVRKYRQKVKARAASLEDEVVRLRTLNQQLLKRLQGQAALEAEIARLKCLLVDVRGRIEGEIGSFPYQKPTANNVNTLNLPGAYLMNPCNIQCNDQMYCLQPEVEGNTGEATALDGHGFNGCEFDDIQCLASQNSGAKELPGGGVGSAASNGNSSGTKRRKATAG >KJB13355 pep chromosome:Graimondii2_0_v6:2:8102724:8104044:-1 gene:B456_002G070100 transcript:KJB13355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTCGNCDCSDKSQCVKKGSSNTMVIETEKSYISTVVAEVPTENDCKCGDNCSCTNCTCGR >KJB14092 pep chromosome:Graimondii2_0_v6:2:15221180:15243182:-1 gene:B456_002G110500 transcript:KJB14092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate-semialdehyde dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79440) UniProtKB/Swiss-Prot;Acc:Q9SAK4] MSTAASSLKKSPIFRTLGLIGGKWIDAYDAKTIQVNNPSTGEIIAEVPFMGTLETNDAISSASDAFNSWSKLTAAERSKYLRKWYDLIMAHKEELGQLITLEQGKPLKEALGEVNYGASFIEFFAEEAKRIYGDIIPATLGDRRLFVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSELTPLTALATAELALQAGIPPGVINVVMGNASKIGDALLASTQVRKITFTGSTAVGKKLMAGAAETVKRVSLELGGNAPCIVFDDADLDVAVKGTLAAKFRNSGQTCVCANRIIVQEGIYEKFAEIFSKAVQNLQVGDGFTEGVVQGPLINEAAVQKVETFIQDAMSKGAKVLLGGKRHSLGMTFYEPTIVTDVKSEMLLASEEVFGPVAPILRFKTEEEAIHMANNTKAGLAAYIFTNNVQRTWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGIDEYLEVKYVCLGDMNRS >KJB14093 pep chromosome:Graimondii2_0_v6:2:15221180:15243182:-1 gene:B456_002G110500 transcript:KJB14093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate-semialdehyde dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79440) UniProtKB/Swiss-Prot;Acc:Q9SAK4] MRVLQVLAVSKILTSHLSRTHRPSASRQMSTAASSLKKSPIFRTLGLIGGKWIDAYDAKTIQVNNPSTGEIIAEVPFMGTLETNDAISSASDAFNSWSKLTAAERSKYLRKWYDLIMAHKEELGQLITLEQGKPLKEALGEVNYGASFIEFFAEEAKRIYGDIIPATLGDRRLFVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSELTPLTALATAELALQAGIPPGVINVVMGNASKIGDALLASTQVRKITFTGSTAVGKKLMAGAAETVKRVSLELGGNAPCIVFDDADLDVAVKGTLAAKFRNSGQTCVCANRIIVQEGIYEKFAEIFSKAVQNLQVGDGFTEGVVQVGPLINEAAVQKVETFIQDAMSKGAKVLLGGKRHSLGMTFYEPTIVTDVKSEMLLASEEVFGPVAPILRFKTEEEAIHMANNTKAGLAAYIFTNNVQRTWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGIDEYLEVKYVCLGDMNRS >KJB14091 pep chromosome:Graimondii2_0_v6:2:15221180:15243182:-1 gene:B456_002G110500 transcript:KJB14091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate-semialdehyde dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79440) UniProtKB/Swiss-Prot;Acc:Q9SAK4] MRVLQVLAVSKILTSHLSRTHRPSASRQMSTAASSLKKSPIFRTLGLIGGKWIDAYDAKTIQVNNPSTGEIIAEVPFMGTLETNDAISSASDAFNSWSKLTAAERSKYLRKWYDLIMAHKEELGQLITLEQGKPLKEALGEVNYGASFIEFFAEEAKRIYGDIIPATLGDRRLFVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSELTPLTALATAELALQAGIPPVGVINVVMGNASKIGDALLASTQVRKITFTGSTAVGKKLMAGAAETVKRVSLELGGNAPCIVFDDADLDVAVKGTLAAKFRNSGQTCVCANRIIVQEGIYEKFAEIFSKAVQNLQVGDGFTEGVVQGPLINEAAVQKVETFIQDAMSKGAKVLLGGKRHSLGMTFYEPTIVTDVKSEMLLASEEVFGPVAPILRFKTEEEAIHMANNTKAGLAAYIFTNNVQRTWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGIDEYLEVKYVCLGDMNRS >KJB14094 pep chromosome:Graimondii2_0_v6:2:15221180:15243205:-1 gene:B456_002G110500 transcript:KJB14094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate-semialdehyde dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79440) UniProtKB/Swiss-Prot;Acc:Q9SAK4] MRVLQVLAVSKILTSHLSRTHRPSASRQMSTAASSLKKSPIFRTLGLIGGKWIDAYDAKTIQVNNPSTGEIIAEVPFMGTLETNDAISSASDAFNSWSKLTAAERSKYLRKWYDLIMAHKEELGQLITLEQGKPLKEALGEVNYGASFIEFFAEEAKRIYGDIIPATLGDRRLFVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSELTPLTALATAELALQAGIPPGVINVVMGNASKIGDALLASTQVRKITFTGSTAVGKKLMAGAAETVKRVSLELGGNAPCIVFDDADLDVAVKGTLAAKFRNSGQTCVCANRIIVQEGIYEKFAEIFSKAVQNLQVGDGFTEGVVQGPLINEAAVQKVETFIQDAMSKGAKVLLGGKRHSLGMTFYEPTIVTDVKSEMLLASEEVFGPVAPILRFKTEEEAIHMANNTKAGLAAYIFTNNVQRTWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGIDEYLEVKYVCLGDMNRS >KJB14090 pep chromosome:Graimondii2_0_v6:2:15221589:15242969:-1 gene:B456_002G110500 transcript:KJB14090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate-semialdehyde dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79440) UniProtKB/Swiss-Prot;Acc:Q9SAK4] MRVLQVLAVSKILTSHLSRTHRPSASRQMSTAASSLKKSPIFRTLGLIGGKWIDAYDAKTIQVNNPSTGEIIAEVPFMGTLETNDAISSASDAFNSWSKLTAAERSKYLRKWYDLIMAHKEELGQLITLEQGKPLKEALGEVNYGASFIEFFAEEAKRIYGDIIPATLGDRRLFVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSELTPLTALATAELALQAGIPPGVINVVMGNASKIGDALLASTQVRKITFTGSTAVGKKLMAGAAETVKRVSLELGGNAPCIVFDDADLDVAVKGTLAAKFRNSGQTCVCANRIIVQEGIYEKFAEIFSKAVQNLQVGDGFTEGVVQGPLINEAAVQKVETFIQDAMSKGAKVLLGGKRHSLGMTFYEPTIVTDVKSEMLLASEEVFGPVAPILRFKTEEEAIHMANNTKAGLAAYIFTNNVQRTWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGIDEYLEVSAMPYIHLTAYLYTFTNIF >KJB13652 pep chromosome:Graimondii2_0_v6:2:11015621:11016145:1 gene:B456_002G087000 transcript:KJB13652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWIEEDGFEERMNGRGFVVVGWAPQNSTIEGISIGVPLLTLPLFVYQFTNERLVVQILKIGVSVGANELTAWGDEKSGFMLKKEHVKNAIDQLMNEGNEGIKRRKRAKVFGEKENKAIEVGGSSYLNMTLLIQDIIQQSSKMGVDMIPTSHRHEN >KJB15372 pep chromosome:Graimondii2_0_v6:2:44451613:44452400:-1 gene:B456_002G173800 transcript:KJB15372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVLIYLDVRKALEEGMKLYISDNKVILTEGFDGVVPVKCFEKIESWPDRKPIPVSNV >KJB15706 pep chromosome:Graimondii2_0_v6:2:51892286:51893872:-1 gene:B456_002G191900 transcript:KJB15706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVTVYKNKDPADMDLSARIQSPIKENNVRREPSIVGYDSRLQPSSMEQETNLQEMGKTEESFFDSQPSLESDFEDFFSANGDSASSSGNSPNHQKSNHSMEGAVDAHGESPTDTKKQLIELFRESLDNDDDGNSAAGSSKATPYRGHLPRKDVKAAESAQCCLPSLVRNLSFGERKKRLPSPAAQY >KJB15711 pep chromosome:Graimondii2_0_v6:2:51892002:51894034:-1 gene:B456_002G191900 transcript:KJB15711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVTVYKNKDPADMDLSARIQSPIKENNVRREPSIVGYDSRLQPSSMEQETNLQEMGKTEESFFDSQPSLESDFEDFFSANGDSASSSGNSPNHQKSNHSMEGAVDAHGESPTDTKKQLIELFRESLDNDDDGNSAAGSSKATPYRGHLPRKDVKAAESAQNGYQALLPNIDGWVGLGKVSYIFTLYKSLHKLSRLACLKKLQS >KJB15707 pep chromosome:Graimondii2_0_v6:2:51892286:51893082:-1 gene:B456_002G191900 transcript:KJB15707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMYEAKCNGMIIKKLIQCCMINHACNGNFFAGFKMVWIFCAINALCKIGSCLACVIKCAYNFSPGKTEESFFDSQPSLESDFEDFFSANGDSASSSGNSPNHQKSNHSMEGAVDAHGESPTDTKKQLIELFRESLDNDDDGNSAAGSSKATPYRGHLPRKDVKAAESAQCCLPSLVRNLSFGERKKRLPSPAAQY >KJB15708 pep chromosome:Graimondii2_0_v6:2:51892063:51893585:-1 gene:B456_002G191900 transcript:KJB15708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMYEAKCNGMIIKKLIQCCMINHACNGNFFAGFKMVWIFCAINALCKIGSCLACVIKCAYNFSPGKTEESFFDSQPSLESDFEDFFSANGDSASSSGNSPNHQKSNHSMEGAVDAHGESPTDTKKQLIELFRESLDNDDDGNSAAGSSKATPYRGHLPRKDVKAAESAQCCLPSLVRNLSFGERKKRLPSPAAQY >KJB15710 pep chromosome:Graimondii2_0_v6:2:51892063:51893948:-1 gene:B456_002G191900 transcript:KJB15710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVTVYKNKDPADMDLSARIQSPIKENNVRREPSIVGYDSRLQPSSMEQETNLQEMGKTEESFFDSQPSLESDFEDFFSANDSASSSGNSPNHQKSNHSMEGAVDAHGESPTDTKKQLIELFRESLDNDDDGNSAAGSSKATPYRGHLPRKDVKAAESAQCCLPSLVRNLSFGERKKRLPSPAAQY >KJB15709 pep chromosome:Graimondii2_0_v6:2:51892063:51893920:-1 gene:B456_002G191900 transcript:KJB15709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVTVYKNKDPADMDLSARIQSPIKENNVRREPSIVGYDSRLQPSSMEQETNLQEMGFKMVWIFCAINALCKIGSCLACVIKCAYNFSPGKTEESFFDSQPSLESDFEDFFSANGDSASSSGNSPNHQKSNHSMEGAVDAHGESPTDTKKQLIELFRESLDNDDDGNSAAGSSKATPYRGHLPRKDVKAAESAQCCLPSLVRNLSFGERKKRLPSPAAQY >KJB15712 pep chromosome:Graimondii2_0_v6:2:51892063:51893954:-1 gene:B456_002G191900 transcript:KJB15712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVTVYKNKDPADMDLSARIQSPIKENNVRREPSIVGYDSRLQPSSMEQETNLQEMGKTEESFFDSQPSLESDFEDFFSANGDSASSSGNSPNHQKSNHSMEGAVDAHGESPTDTKKQLIELFRESLDNDDDGNSAAGSSKATPYRGHLPRKDVKAAESAQCCLPSLVRNLSFGERKKRLPSPAAQY >KJB12789 pep chromosome:Graimondii2_0_v6:2:2897165:2908557:-1 gene:B456_002G036800 transcript:KJB12789 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MEAIFKDLTTTVYGNPHSQSDSSSATSDIVREARRQVLDYCNASQKDYKCIFTSGATAALKLIGENFPWSCKSTFMYTMENHNSVLGLREYALNEGAAAFAVDINEAVDQDGASRSSLTSFKVLQHPVQIRNEAKILEGELTGDAYNLFAFPSECNFSGMRFSLDLVNNVKQNAEKILEGSPCSKGHWMVLIDAAKGFATQPPDLSLYPADFVVISFYKLFGYPTGLGALIIRNDAAKLLKKTYFSGGTVAASIADIDFVRRREGVEEQFEDGTISFLSIASIRHGFKIFNTLTTSAMCWHTMSLTKFLKRKLLALRHENGESVCTLYGNCPLKVSRHDCGSIVSFNLKRPDGSWFGHREVEKLASLYGIQLRTGCFCNPGACAKYLGLSHSDLLSNLEAGHVCWDDNDVINGKPTGAVRVSFGYMSTYEDAKKFIDFIRSSFISMPSEFEKRYLLRSKSIPCPTEGFEDRLPSSACHLKSITIYPIKSCAGFSVNSWPLSNTGLQYDREWLLKSLTGEILTQKKVPEMFLIKTFINLNQQILSVESPYCKRKLQIKLDSDSYLPGREEFYLQNQRYEVQCYENEINQWFSDAVGQPCTLVRCCQSEYCFSLNKNRSMGMCRDVNGKLNFANEAQFLLISEESVSDLNNRLCSKTQKLSCGAPPNVNPMRFRPNLVISGGEPYAEDGWRNLRIGNTYFSSLGGCNRCQMINFYQQTGQVKKTNEPLATLASYRRVKGKILFGILLRYDPGNKARLDTNSWLKVGDEVHSNSE >KJB12791 pep chromosome:Graimondii2_0_v6:2:2897165:2908557:-1 gene:B456_002G036800 transcript:KJB12791 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MEAIFKDLTTTVYGNPHSQSDSSSATSDIVREARRQVLDYCNASQKDYKCIFTSGATAALKLIGENFPWSCKSTFMYTMENHNSVLGLREYALNEGAAAFAVDINEAVDQDGASRSSLTSFKVLQHPVQIRNEAKILEGELTECNFSGMRFSLDLVNNVKQNAEKILEGSPCSKGHWMVLIDAAKGFATQPPDLSLYPADFVVISFYKLFGYPTGLGALIIRNDAAKLLKKTYFSGGTVAASIADIDFVRRREGVEEQFEDGTISFLSIASIRHGFKIFNTLTTSAMCWHTMSLTKFLKRKLLALRHENGESVCTLYGNCPLKVSRHDCGSIVSFNLKRPDGSWFGHREVEKLASLYGIQLRTGCFCNPGACAKYLGLSHSDLLSNLEAGHVCWDDNDVINGKPTGAVRVSFGYMSTYEDAKKFIDFIRSSFISMPSEFEKRYLLRSKSIPCPTEGFEDRLPSSACHLKSITIYPIKSCAGFSVNSWPLSNTGLQYDREWLLKSLTGEILTQKKVPEMFLIKTFINLNQQILSVESPYCKRKLQIKLDSDSYLPGREEFYLQNQRYEVQCYENEINQWFSDAVGQPCTLVRCCQSEYCFSLNKNRSMGMCRDVNGKLNFANEAQFLLISEESVSDLNNRLCSKTQKLSCGAPPNVNPMRFRPNLVISGGEPYAEDGWRNLRIGNTYFSSLGGCNRCQMINFYQQTGQVKKTNEPLATLASYRRVKGKILFGILLRYDPGNKARLDTNSWLKVGDEVHSNSE >KJB12792 pep chromosome:Graimondii2_0_v6:2:2896832:2908976:-1 gene:B456_002G036800 transcript:KJB12792 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MDGQEEFLKEFGDFYGYPNAPKSIDEIRSTEFKRLEDTVYLDHAGATLYSELQMEAIFKDLTTTVYGNPHSQSDSSSATSDIVREARRQVLDYCNASQKDYKCIFTSGATAALKLIGENFPWSCKSTFMYTMENHNSVLGLREYALNEGAAAFAVDINEAVDQDGASRSSLTSFKVLQHPVQIRNEAKILEGELTECNFSGMRFSLDLVNNVKQNAEKILEGSPCSKGHWMVLIDAAKGFATQPPDLSLYPADFVVISFYKLFGYPTGLGALIIRNDAAKLLKKTYFSGGTVAASIADIDFVRRREGVEEQFEDGTISFLSIASIRHGFKIFNTLTTSAMCWHTMSLTKFLKRKLLALRHENGESVCTLYGNCPLKVSRHDCGSIVSFNLKRPDGSWFGHREVEKLASLYGIQLRTGCFCNPGACAKYLGLSHSDLLSNLEAGHVCWDDNDVINGKPTGAVRVSFGYMSTYEDAKKFIDFIRSSFISMPSEFEKRYLLRSKSIPCPTEGFEDRLPSSACHLKSITIYPIKSCAGFSVNSWPLSNTGLQYDREWLLKSLTGEILTQKKVPEMFLIKTFINLNQQILSVESPYCKRKLQIKLDSDSYLPGREEFYLQNQRYEVQCYENEINQWFSDAVGQPCTLVRCCQSEYCFSLNKNRSMGMCRDVNGKLNFANEAQFLLISEESVSDLNNRLCSKTQKLSCGAPPNVNPMRFRPNLVISGGEPYAEDGWRNLRIGNTYFSSLGGCNRCQMINFYQQTGQVKKTNEPLATLASYRRVKGKILFGILLRYDPGNKARLDTNSWLKVGDEVHSNSE >KJB12790 pep chromosome:Graimondii2_0_v6:2:2896810:2909039:-1 gene:B456_002G036800 transcript:KJB12790 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MDGQEEFLKEFGDFYGYPNAPKSIDEIRSTEFKRLEDTVYLDHAGATLYSELQMEAIFKDLTTTVYGNPHSQSDSSSATSDIVREARRQVLDYCNASQKDYKCIFTSGATAALKLIGENFPWSCKSTFMYTMENHNSVLGLREYALNEGAAAFAVDINEAVDQDGASRSSLTSFKVLQHPVQIRNEAKILEGELTGDAYNLFAFPSECNFSGMRFSLDLVNNVKQNAEKILEGSPCSKGHWMVLIDAAKGFATQPPDLSLYPADFVVISFYKLFGYPTGLGALIIRNDAAKLLKKTYFSGGTVAASIADIDFVRRREGVEEQFEDGTISFLSIASIRHGFKIFNTLTTSAMCWHTMSLTKFLKRKLLALRHENGESVCTLYGNCPLKVSRHDCGSIVSFNLKRPDGSWFGHREVEKLASLYGIQLRTGCFCNPGACAKYLGLSHSDLLSNLEAGHVCWDDNDVINGKPTGAVRVSFGYMSTYEDAKKFIDFIRSSFISMPSEFEKRYLLRSKSIPCPTEGFEDRLPSSACHLKSITIYPIKSCAGFSVNSWPLSNTGLQYDREWLLKSLTGEILTQKKVPEMFLIKTFINLNQQILSVESPYCKRKLQIKLDSDSYLPGREEFYLQNQRYEVQCYENEINQWFSDAVGQPCTLVRCCQSEYCFSLNKNRSMGMCRDVNGKLNFANEAQFLLISEESVSDLNNRLCSKTQKLSCGAPPNVNPMRFRPNLVISGGEPYAEDGWRNLRIGNTYFSSLGGCNRCQMINFYQQTGQVKKTNEPLATLASYRRVKGKILFGILLRYDPGNKARLDTNSWLKVGDEVHSNSE >KJB15223 pep chromosome:Graimondii2_0_v6:2:40648175:40649139:1 gene:B456_002G165600 transcript:KJB15223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILWEKSETWRWLVRRTRDSKPFFLAFATLCGVVPGVIGYGVMQLTNSRNPHLEARLRENARPESLMMGKVNQERLAEYLGELQRKEDTNDRYVAALRGETLTRTPYQRIQPVPKQNAEKKNNSNEKA >KJB15224 pep chromosome:Graimondii2_0_v6:2:40648170:40649945:1 gene:B456_002G165600 transcript:KJB15224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILWEKSETWRWLVRRTRDSKPFFLAFATLCGVVPGVIGYGVMQLTNSRNPHLEARLRENARPESLMMGKVNQERLAEYLGELQRKEDTNDRYVAALRGETLTRTPYQRIQPVPKQNAEKKNNSNEKA >KJB15222 pep chromosome:Graimondii2_0_v6:2:40648178:40649139:1 gene:B456_002G165600 transcript:KJB15222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILWEKSETWRWLVRRTRDSKPFFLAFATLCGVVPGVIGYGVMQLTNSRNPHLEARLRENARPESLMMGKVNQERLAEYLGELQRKEDTNDRYVAALRGETLTRTPYQRIQPVPKQNAEKKNNSNEKA >KJB13905 pep chromosome:Graimondii2_0_v6:2:12855041:12858533:1 gene:B456_002G101100 transcript:KJB13905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPAKSDSNVKPGADPSKTQPKKWFCCIQAPPAES >KJB13906 pep chromosome:Graimondii2_0_v6:2:12855429:12858533:1 gene:B456_002G101100 transcript:KJB13906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPAKSDSNVKPGADPSKTQPVKKMVLLHSSPACGILTMLYSYKT >KJB17149 pep chromosome:Graimondii2_0_v6:2:62635406:62637885:-1 gene:B456_002G267400 transcript:KJB17149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSPNFFLRKHRKWPLISSHKTKWRQAFTQNQPMVSFKQLVARHNPLQPDFVPSLLQSLSLYNLHQSPQAYHFLIKTLLHNRQFHHIPSLLHHLQLQHFQTPEYIFTHLVKFYGNANRIQDAVDIFYRIPQFRCFPSAYSLNALLALLCRSQRGLKLLPQVLLNSLQMNIRLEESTFRLLVCTLCRMNKVAYAIEILQRMLDDGLGVNDKVFSFVLSSVCAEGDLDGEDVIGFWRGLRKLGFSPAMGDYDGVVRFLVKKGRGLDAWDVLNQMKSDGIKPGIISYTMVLNGVTAEGDYILADELFDELLMLGLVPNVYTYKAYIDALCKQNKVEEGIKMVACMEELGCKPNVLIYNTLLRTISKAGEISRARELVKEMKYKGIEMNWVSYTIIIDGLVSNGEILEACALVEEVLHKCIFIKSLTFDEVICGLCQRGLVCKALELLGKMVERSISPGARVWEALLLSSESRPKFHLVNPL >KJB15026 pep chromosome:Graimondii2_0_v6:2:32309358:32311572:1 gene:B456_002G155700 transcript:KJB15026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCGGAEEELDGPPTNQYQTPPRAGSQIGGPGSGRGRGEPRGGGAAKSGDPQKVLPIEIPAIPLDELNNMTDNFGTKSLIGEGSYGRVFYGTLSDGQPAAIKKLDTSSSPEPDSDFGSQLSVVSRLKHEHFVELLGYCLEDNNRILVYQHATKGSLHDVLHGRKGVQGAEPGPVLTWNERVKIAFGAAKGLEYLHEKVQPSIIHRDVRSSNVLLFDDFVAKIADFNLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNAKPAGPEPPS >KJB14569 pep chromosome:Graimondii2_0_v6:2:20626182:20627154:1 gene:B456_002G131700 transcript:KJB14569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYQQPDESKVKEEIREGSSILSSPVSTPSTSPSHEFSFTVSLHSSSNYKTNTPSSIAVDFSPADDLFFHGHLLPLHLLSHLPVSPRSSTNSLDGFNVPPKELVDDPKPVKPTSKSDSNISHHHHQRHTVEEAKVKNKSKSFSLFSLPRRQKGVREKEDKEKHGKKKMRLDLSNALKRYMRMIRPLLFFTGKREKKLHHHTQAYSFSGNLSLRNKKSELRGRKRDYYSAPASMRTSPTNSGLLVATTGSTSDSTMEELQAAIQSAIAHCKNSFKGG >KJB13570 pep chromosome:Graimondii2_0_v6:2:10359657:10361327:1 gene:B456_002G082000 transcript:KJB13570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDFPFSCKAAKCPSYLIFLHIFQLPLLKVSKFNTPTDMASNFLKLHLQTSKTQIPFSPTHPNYHSHLSFINPNASYNHKFPTLTLHASLISPSTPPSSREEAILQAKTCLSTCLEKPLNNPKLVGKLKKLKQPRFQVEIPLVDDSPSSLAQLALDIFKDMSLKRKGSLVEILVLWPDVRLKEAGIQAFESSSSLSHIEHIDLPSVSKNNRIFSPSDVAVFLAPEPSQLALIKAIADSLYPKPLVIFNPKWGSEEENDFGDLKGFVGSFEVIYSFMGLEVRGVLSKRKGMVFKCVTDGVLSGEQWSVLVEEDGELKVVSRFKSRPGIEEVENVLYNLMAINSPITKSAKFLRDLVSNVTGKK >KJB14017 pep chromosome:Graimondii2_0_v6:2:14468509:14469613:-1 gene:B456_002G107000 transcript:KJB14017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSSYFDCQTDVPMYEIKTVEAESETQLAAADGLECYWNAFELPCTFPASYLDPQNYTSLLPLYSIPPEVIPYETLSSYPCPKRHKLIEDHYCSDLMPGVFDGVAVSPCPLLEDHQINLEGMKTVGNCNKISEEKCVTVQSIAARERRRKITKKTQELGKLVPGGSKMNTAEMLQSAFKYIKYLQAQVGTLQVMDSFPENEKRSWDGKMEMVTSPKVQEKLYMEDKCLVAKDAVLSLTTLFKPPLSHELTRLLSLPSND >KJB12969 pep chromosome:Graimondii2_0_v6:2:4014345:4018314:-1 gene:B456_002G047500 transcript:KJB12969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPKLALICLLALALYLFPHASTARSINKHCGVTSCGNLSVSYPFRLKSQPQGRGFMWFELVCNNNRTIFPTEDGDFYVQNISYVNKTIHLLDVNVANHNCSIPLSSFPLPNAIAVYEYSYNEYSNIYVVNCSMKMNKSWHGVNYINASRCSSSPQTNNNFYYFVDGGTPPSDFNPSCTVEARVLISLHNISGLSTFDIYKKLMMGTRIKWDLRLPNNVILDWSYVVNLPLSFLRLLALDILSNPYWILYKPIIVFRIPFLAITGMFLIRTFLGICCLIALVIYKLRRRHLSVDDAIENFLQSQINFMPIRYSYAELKKITGDFKDKLGEGGYGTVFKGKLRSGNFVAVKLLKESKGNGQDFINEVATIGRIHHVNVVELVGFCVEGKKQALVYDFMTNGSLDKFIFSTNSSLSWQKMFEIAVGVGRGIEYLHNGCAMKILHFDIKPHNILLDGNFNPKLSDFGLAKLHSVDDSIISLTAARGTLGYMAPELFYKNLGGISYKADVYSFGMMLMEIVGRRKNLNAFADNSSQIYFPSWIYHQFELGENIELESMTENVNKIVRKMIIVAFWCIQTKPIHRPTMTKVLKMLESEEELFEIPPKSFLFSVDMSSNDNDY >KJB16528 pep chromosome:Graimondii2_0_v6:2:59402656:59404008:-1 gene:B456_002G234300 transcript:KJB16528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILEKRLSKTDINRRLAVPMEYFNMEHFPKPGASLEGNPREDFVVKDEKGCVWNLSCSIRKQPNHPKPVLINEWIHFVQSKKLREGDKVIIYGEQDETGLMQLRIKAEKCTGSYEDPCFPFMNQNPDRNKGLSSHSSSSESTATFQPSETEDHSAEYHLADVLNHELGRITATTTPSITKEHIPTNHSTSQDIARHKTKGPRLSPSVELTLKPATTEGMTAGTSSSIDKNLAPTFHSHSQDIGSYNTETPNLSLNLGLTLKPTYMQDTTHK >KJB12795 pep chromosome:Graimondii2_0_v6:2:2942026:2943353:1 gene:B456_002G037100 transcript:KJB12795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLDDESSLFNFVVRDGNGVKGIVDSGISKVPRPYIQPPAEQINKKNASKCEIPPIDLSKLDGPEHDEVANQIVRAAETLGFFQVVNHGVPIQLLDALKQTAHNFFGLPAERKAVYRKEVSPSPLVKYGTSFVPEKEKALEWKDYISMAYTNENEALQQWPMECRDVALQYLKTSHEMVKKLLDALMANLGVELDDSNIDAFIGKKMVNMNFYPTCPNPEFTVGVGRHSDMGTLTILLQDGIGGLYVKVPEDIDMEKKGEWVEIPPIPGALVINIGDMLQIWSNGRYKSAEHRVRTTSTKSRVSIPIFTSPQATQKVAPLPQVVEKDGMAHYREFLFSDYMNSFFGNAHDGKKSLDFAKVNFS >KJB15663 pep chromosome:Graimondii2_0_v6:2:50998588:50999833:-1 gene:B456_002G189300 transcript:KJB15663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHGRNNGKKLMAVRIVKHAMEIIYLLTDQNPIQVIVDAIINSGSREDATRIGSTGVVRCQAVDISPLRRVNQAIYLLTTGNHESAFRNIKTIAECLADELINAAKGSSNSYAIKKEDEIERVAKANR >KJB13344 pep chromosome:Graimondii2_0_v6:2:10987378:10989686:-1 gene:B456_002G086700 transcript:KJB13344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKLEAIKGGGGSIKVGTKGTISSLMTRELDSVKPAPKTPVSFRHKSQTIPTSVACGSPTPKRLQLRKSLDGASTNGNDNNYISYKHHQTSQKTKSLSKSTHQIPMLGFENIALDRTPSRQKSDKKVSNIVEVVDIKCGKYPDRAWSNPVTNRLKKLGFSKLSQSII >KJB13342 pep chromosome:Graimondii2_0_v6:2:10988224:10988730:-1 gene:B456_002G086700 transcript:KJB13342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKLEAIKGGGGSIKVGTKGTISSLMTRELDSVKPAPKTPVSFRHKSQTIPTSVACGSPTPKRLQLRKSLDGASTNGNDNNYISYKHHQTSQKTKSLSKSTHQIPMLGFENIALDRTPSRQKSDKKVSNIVEVVDIKCGKYPDRAWSNPVTNRLKKLGFSKLSQSII >KJB13343 pep chromosome:Graimondii2_0_v6:2:10987111:10989686:-1 gene:B456_002G086700 transcript:KJB13343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKLEAIKGGGGSIKVGTKGTISSLMTRELDSVKPAPKTPVSFRHKSQTIPTSVACGSPTPKRLQLRKSLDGASTNGNDNNYISYKHHQTSQKTKSLSKSTHQIPMLGFENIALDRTPSRQKSDKKVSNIVEVVDIKCGKYPDRAWSNPVTNRLKKLGFSKLSQSII >KJB13978 pep chromosome:Graimondii2_0_v6:2:13234317:13236619:1 gene:B456_002G103700 transcript:KJB13978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESAYRVETTARLAQWRIDNLAFCTYRKSDPFKIGNWNWHLSMEKNRVLYVKLYPEISNLTRDNPPIASFIIRVVCSAGDRKAFTHPEIKDKQLKSNEDFVWPIEVPLTGKFIIDVEFLDLKTASPNGGEPCSIWAEGLTQKQSNATALACLGRMLTQSIHTDIIINASNGSIGAHRAVLSARSPVFHSMFSHNLQEKELSTINISDMSIEACQALLCYIYGNIKHEEFLAHRLALLRAADKYDISDLKEACHESLLEDIDTKNVLERLQNAALYQLPKLKSSCMRYLVRFGKIYDIRDDFNAFLQCADRELITDIFHEVLNTWKGF >KJB14702 pep chromosome:Graimondii2_0_v6:2:23535271:23537596:-1 gene:B456_002G138300 transcript:KJB14702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLIIVTSGLPFSVQLESAAFRCVYMAAANPQPLQVRPFEEHARGTMQIDDDDGDYADDAMDDVEDANINSVNVAEHGMGLIGGVIGGGGAAGGGVVRALRTSELTLSFEGEVYVFPAVTSEKVQAVLLLLGGRDIPTGVPTIEVPFDQNNMGVADVSKRSNLSRRIASLVRFREKRKERCFEKKIRYTVRKEVAQRYDFFGLILFRATINIFNISTCNASVKVKSGNGRRAINYPKI >KJB14700 pep chromosome:Graimondii2_0_v6:2:23533661:23537596:-1 gene:B456_002G138300 transcript:KJB14700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLIIVTSGLPFSVQLESAAFRCVYMAAANPQPLQVRPFEEHARGTMQIDDDDGDYADDAMDDVEDANINSVNVAEHGMGLIGGVIGGGGAAGGGVVRALRTSELTLSFEGEVYVFPAVTSEKVQAVLLLLGGRDIPTGVPTIEVPFDQNNMGVADVSKRSNLSRRIASLVRFREKRKERCFEKKIRYTVRKEVAQSRMHRKNGQFASVKESTSPSGWDSSQIGFQDGTRPETAIRSCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRNISLEQSEPETPIEVKPSIVEGDLSANRDENGNPSKDLTNGSNNASVSPDEEDLHESAEDLTNSVYGDSSFF >KJB14701 pep chromosome:Graimondii2_0_v6:2:23534766:23537596:-1 gene:B456_002G138300 transcript:KJB14701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLIIVTSGLPFSVQLESAAFRCVYMAAANPQPLQVRPFEEHARGTMQIDDDDGDYADDAMDDVEDANINSVNVAEHGMGLIGGVIGGGGAAGGGVVRALRTSELTLSFEGEVYVFPAVTSEKVQAVLLLLGGRDIPTGVPTIEVPFDQNNMGVADVSKRSNLSRRIASLVRFREKRKERCFEKKIRYTVRKEVAQRMHRKNGQFASVKESTSPSGWDSSQIGFQDGTRPETAIRSCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKVCFTQFSVMLS >KJB14130 pep chromosome:Graimondii2_0_v6:2:15545889:15546331:-1 gene:B456_002G111200 transcript:KJB14130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNGQLSVPPGFRFHPTEEELLYYYLRKKVSFEVIDLDVIREVDLNKLVPWDLKGHYSYITLRYADKCKIGSGPQNDWYCFSHIATRTRNIQPELEQTGQPYL >KJB14654 pep chromosome:Graimondii2_0_v6:2:22666315:22667703:1 gene:B456_002G136000 transcript:KJB14654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTASSSSPSKPAGRQLGELLQQQQEPFILEIYLSERGSLRNNKSIGCHGNSDTFSTASSTTVYSSCSDTDIDEPPMLKLYNEREKKDVADSKLQCGCMEDSPQFYMTRQKSLFLSKLIILSASVWNLLVQSKPGLRELAEPDGSNSSPFSISKRVLQPTNKVPLLWSRRELLELDGMDSTKEWNVYEEQKEIGLVIGDAIAEEITTQVVMDMILIYS >KJB14656 pep chromosome:Graimondii2_0_v6:2:22666678:22667589:1 gene:B456_002G136000 transcript:KJB14656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTASSSSPSKPAGRQLGELLQQQQEPFILEIYLSERGSLRNNKSIGCHGNSGKFLRINKKGIPNFPKLLKVVVCNKLFAIKGSKAKNSADTFSTASSTTVYSSCSDTDIDEPPMLKLYNEREKKDVADSKLQCGCMEDSPQFYMTRQKSLFLSKLIILSASVWNLLVQSKPGLRELAEPDGSNSSPFSISKRVLQPTNKVPLLWSRRELLELDGMDSTKEWNVYEEQKEIGLVIGDAIAEEITTQVVMDMILIYS >KJB14655 pep chromosome:Graimondii2_0_v6:2:22666366:22667708:1 gene:B456_002G136000 transcript:KJB14655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTASSSSPSKPAGRQLGELLQQQQEPFILEIYLSERGSLRNNKSIGCHGNSGSKAKNSADTFSTASSTTVYSSCSDTDIDEPPMLKLYNEREKKDVADSKLQCGCMEDSPQFYMTRQKSLFLSKLIILSASVWNLLVQSKPGLRELAEPDGSNSSPFSISKRVLQPTNKVPLLWSRRELLELDGMDSTKEWNVYEEQKEIGLVIGDAIAEEITTQVVMDMILIYS >KJB15501 pep chromosome:Graimondii2_0_v6:2:47683707:47684126:1 gene:B456_002G181500 transcript:KJB15501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFEKEKGKAYSQRQLKNRWDALKKEWKAWKKLKSEDTDLWWNPIKRTIYASDDWCESRLKILHKAQKFRTLAIDPEFEGKLDQMFMRVVATGDKTWAPSSDTLHSEFF >KJB12633 pep chromosome:Graimondii2_0_v6:2:2111548:2116020:1 gene:B456_002G028400 transcript:KJB12633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFKWALTFQGALASNRKRGGSKRCNPHFNHKNKTLHSNSLVFQRFKKLRFSSMDQSPEKASSSLNDTVSRLPRHPDSKLHVPKEVQAPVKHLKFGLSIPNPNPNHDFLSKKLSNAKKEAFGSFKYINKDKEVVTVEEDDEDSGSWLMADALDKLSLNHSDNGSKAYNKLLKSAERRTNKLKDSGPQVKVVTHELFVPLTKDELAEVSHAFSAENKKKILVSHENSSIDIRGEVLQCLKPGSWLNDEVINLYLELLKERENREPKKFLKCHFFNTFFYKKLVSSEGGCNYKAVKRWTSQRKLGYCLFDCDKIFVPIHKDIHWCLAVINKKDRKFQYLDSLRGRDGKVLNTLANYFVEEVRDKSRQDIDISSWEREHVEDLPAQKNGFDCGMFMLKYIDFYSRGLSLSFGQEHMRYFRSRTAKEILRLRAD >KJB12634 pep chromosome:Graimondii2_0_v6:2:2111832:2116000:1 gene:B456_002G028400 transcript:KJB12634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFKWALTFQGALASNRKRGGSKRCNPHFNHKNKTLHSNSLVFQRFKKLRFSSMDQSPEKASSSLNDTVSRLPRHPDSKLHVPKEVQAPVKHLKFGLSIPNPNPNHDFLSKKLSNAKKEAFGSFKYINKDKEVVTVEEDDEDSGSWLMADALDKLSLNHSDNGSKAYNKLLKSAERRTNKLKDSGPQVKVNEKLKKPDVVTHELFVPLTKDELAEVSHAFSAENKKKILVSHENSSIDIRGEVLQCLKPGSWLNDEVINLYLELLKERENREPKKFLKCHFFNTFFYKKLVSSEGGCNYKAVKRWTSQRKLGYCLFDCDKIFVPIHKDIHWCLAVINKKDRKFQYLDSLRGRDGKVLNTLANYFVEEVRDKSRQDIDISSWEREHVEDLPAQKNGFDCGMFMLKYIDFYSRGLSLSFGQEHMRYFRSRTAKEILRLRAD >KJB12861 pep chromosome:Graimondii2_0_v6:2:3331180:3331810:1 gene:B456_002G040500 transcript:KJB12861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMLTENPGLINGSNGVEEIGVPEIDAGLLMSLLEESQCEEYCNEEQVTSLMESLEAEIRMVNADSCSIEGDIGSNNYFEWSEMEMVPSSPSDDMNWYVEDHVQEMSMDGYLVQFGNDFPLNCYESQLENGFTSLWQETYDTAIYN >KJB13026 pep chromosome:Graimondii2_0_v6:2:4601377:4605470:1 gene:B456_002G052400 transcript:KJB13026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTRLPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEPDGTTYRNGCKPVERMDIVGGSATVSPCSSYHPSSRASYNPSPASSSFPSPASSSYMVNPNGDVNSLFPWLKNLSSASSSASSSKRPYSYIHGGSISAPVTPPLSSPTARTPRMKNDWEDQSVLPGWSAQQHSFLPSSTPPSPGRQIVPDPEWFSGLQTPHSRPTSPTFSLVSSNPFGFKEEVLAGGGSRMWTPGQSGTCSPAIAAGLDQTADVPMSEVISDEFAFGSNATGLVKPWEGERIHEECGSDDLELTLGSSKTR >KJB13028 pep chromosome:Graimondii2_0_v6:2:4601377:4605470:1 gene:B456_002G052400 transcript:KJB13028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTRLPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEPDGTTYRNGCKPVERMDIVGGSATVSPCSSYHPSSRASYNPSPASSSFPSPASSSYMVNPNGDVNSLFPWLKNLSSASSSASSSKRPYSYIHGGSISAPVTPPLSSPTARTPRMKNDWEDQSVLPGWSAQQHSFLPSSTPPSPGRQIVPDPEWFSGLQTPHSRPTSPTFSLVSSNPFGFKEEVLAGGGSRMWTPGQSGTCSPAIAAGLDQTADVPMSEVISDEFAFGSNATGLVKPWEGERIHEECGSDDLELTLGSSKTR >KJB13027 pep chromosome:Graimondii2_0_v6:2:4601377:4605470:1 gene:B456_002G052400 transcript:KJB13027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTRLPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEPDGTTYRNGCKPVERMDIVGGSATVSPCSSYHPSSRASYNPSPASSSFPSPASSSYMVNPNGDVNSLFPWLKNLSSASSSASSSKRPYSYIHGGSISAPVTPPLSSPTARTPRMKNDWEDQSVLPGWSAQQHSFLPSSTPPSPGRQIVPDPEWFSGLQTPHSRPTSPTFSLVSSNPFGFKEEVLAGGGSRMWTPGQSGTCSPAIAAGLDQTADVPMSEVISDEFAFGSNATGLVKPWEGERIHEECGSDDLELTLGSSKTR >KJB13029 pep chromosome:Graimondii2_0_v6:2:4601297:4605470:1 gene:B456_002G052400 transcript:KJB13029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTRLPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEPDGTTYRNGCKPVERMDIVGGSATVSPCSSYHPSSRASYNPSPASSSFPSPASSSYMVNPNGDVNSLFPWLKNLSSASSSASSSKRPYSYIHGGSISAPVTPPLSSPTARTPRMKNDWEDQSVLPGWSAQQHSFLPSSTPPSPGRQIVPDPEWFSGLQTPHSRPTSPTFSLVSSNPFGFKEEVLAGGGSRMWTPGQSGTCSPAIAAGLDQTADVPMSEVISDEFAFGSNATGLVKPWEGERIHEECGSDDLELTLGSSKTR >KJB16738 pep chromosome:Graimondii2_0_v6:2:60915585:60918513:1 gene:B456_002G245200 transcript:KJB16738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISDVAEAASTSRRALRGAKIPETEFTTLPNGLKYYDLKVGSGLKAVKGSRVAVHYVAKWRGVTFMTSRQGLGVGGGTPYGFDIGQSERGNVLKGLDLGVEGMRVGGQRLLIVPPELAYGKKGVQEIPPNATIELDVELLSIKQSPFGTPVKVIEG >KJB16734 pep chromosome:Graimondii2_0_v6:2:60915361:60918548:1 gene:B456_002G245200 transcript:KJB16734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLFSYHQNSFSLLHKPFFSLPISGKRVPKRDPFLLQCHCTLSSSSNDDDNIKAAIVSLQHEARRVVLGSLLTAAAAMYISDVAEAASTSRRALRGAKIPETEFTTLPNGLKYYDLKVGSGLKAVKGSRVAVHYVAKWRGVTFMTSRQGLGVGGGTPYGFDIGQSERGNVLKGLDLGVEGMRVGGQRLLIVPPELAYGKKGVQEIPPNATIELDVELLSIKQSPFGTPVKVIEG >KJB16736 pep chromosome:Graimondii2_0_v6:2:60915366:60917656:1 gene:B456_002G245200 transcript:KJB16736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLFSYHQNSFSLLHKPFFSLPISGKRVPKRDPFLLQCHCTLSSSSNDDDNIKAAIVSLQHEARRVVLGSLLTAAAAMYISDVAEAASTSRRALRGAKIPETEFTTLPNGLKYYDLKVGSGLKAVKGSRVAVHYVAKWRGVTFMTSRQGLGVGGGTPYGFDIGQSERGNVLKGLDLGVEGMRVGGQRLLIVPPELAYGKKGVQEIPPNATIEVTIIL >KJB16737 pep chromosome:Graimondii2_0_v6:2:60915366:60918513:1 gene:B456_002G245200 transcript:KJB16737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLFSYHQNSFSLLHKPFFSLPISGKRVPKRDPFLLQCHCTLSSSSNDDDNIKAAIVSLQHEARRVVLGSLLTAAAAMYISDVAEAASTSRRALRGAKIPETEFTTLPNGLKYYDLKVGSGLKAVKGSRVHYVAKWRGVTFMTSRQGLGVGGGTPYGFDIGQSERGNVLKGLDLGVEGMRVGGQRLLIVPPELAYGKKGVQEIPPNATIELDVELLSIKQSPFGTPVKVIEG >KJB16735 pep chromosome:Graimondii2_0_v6:2:60915361:60918548:1 gene:B456_002G245200 transcript:KJB16735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLFSYHQNSFSLLHKPFFSLPISGKRVPKRDPFLLQCHCTLSSSSNDDDNIKAAIVSLQHEARRVVLGSLLTAAAAMYISDVAEAASTSRRALRGAKIPETEFTTLPNGLKYYDLKVGSGLKAVKGSRVAVHYVAKWRGVTFMTSRQGLGVGGGTPYGFDIGQSERGNVLKGLDLGVEGMRVGGQRLLIVPPELAYGKKGVQEIPPNATIENSCKSY >KJB15295 pep chromosome:Graimondii2_0_v6:2:43358624:43360946:1 gene:B456_002G171200 transcript:KJB15295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDALNMRNWGYYEPSFKGHLGLQLMSSMAERDTKSFIPMRDPNLMVTHNAAFHPRDCIVSEAPIPMNYVRDSWISQREKFFNMLPGISPNYGILPETSAAHSLPILQPSPNSSTTDERVVGRVEEPPATKESVELKKRQSESAPKTPKTKKPRKPKDNTNSSVQRVKPAKKSMDIKINGYDMDISGIPIPVCSCTGTPQQCYRWGCGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAENYNFNNPIDLRTHWARHGTNKFVTIR >KJB15294 pep chromosome:Graimondii2_0_v6:2:43359185:43360941:1 gene:B456_002G171200 transcript:KJB15294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDALNMRNWGYYEPSFKGHLGLQLMSSMAERDTKSFIPMRDPNLMVTHNAAFHPRDCIVSEAPIPMNYVRDSWISQREKFFNMLPGISPNYGILPETSAAHSLPILQPSPNSSTTDERVVGRVEEPPATKESVELKKRQSESAPKTPKTKKPRKPKDNTNSSVQRVKPAKKSMDIKINGYDMDISGIPIPVCSCTGTPQQCYRWGCGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAENYNFNNPIDLRTHWARHGTNKFVTIR >KJB13921 pep chromosome:Graimondii2_0_v6:2:13008432:13012828:-1 gene:B456_002G101900 transcript:KJB13921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAHQEAIKQFLSLMETVDERMKSTFQNMHQGYPTEALVRFLKARDWNVQKAHKMLIDCLQWRIQNEIDNILAKPIIPTDLYRAVRDSQLVGLSGYSKEGLPVIAIGVGLSTYDKASVNYYVQSHIQMNEYRDRVVLPTATEKYGRHISTCLKVLDMTGLKLSALNQIKILTTISTIDDLNYPEKTQTYYIVNAPYIFSACWKAVKPLLQERTKRKIQVLQGSGKDELLKIMDYSSLPHFCRKEGSGSTRHSSNGTADNCFSLDHTYHQQLYTYIKHQATHKETNSPIKHGSVHVEFPEPDPDDTAIALTIESELHKLADQNGLCKSLNGLKVNGV >KJB13923 pep chromosome:Graimondii2_0_v6:2:13008451:13012735:-1 gene:B456_002G101900 transcript:KJB13923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAHQEAIKQFLSLMETVDERMKSTFQNMHQGYPTEALVRFLKARDWNVQKAHKMLIDCLQWRIQNEIDNILAKPIIPTDLYRAVRDSQLVGLSGYSKEGLPVIAIGVGLSTYDKASVNYYVQSHIQMNEYRDRVVLPTATEKYGRHISTCLKVLDMTGLKLSALNQIKILTTISTIDDLNYPEKTQTYYIVNAPYIFSACWKAVKPLLQERTKRKIQVLQGSGKDELLKKRRFWINPSQQQWNCR >KJB13924 pep chromosome:Graimondii2_0_v6:2:13008451:13012735:-1 gene:B456_002G101900 transcript:KJB13924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAHQEAIKQFLSLMETVDERMKSTFQNMHQGYPTEALVRFLKARDWNVQKAHKMLIDCLQWRIQNEIDNILAKPIIPTDLYRAVRDSQLVGLSGYSKEGLPVIAIGVGLSTYDKASPTATEKYGRHISTCLKVLDMTGLKLSALNQIKILTTISTIDDLNYPEKTQTYYIVNAPYIFSACWKAVKPLLQERTKRKIQVLQGSGKDELLKIMDYSSLPHFCRKEGSGSTRHSSNGTADNCFSLDHTYHQQLYTYIKHQATHKETNSPIKHGSVHVEFPEPDPDDTAIALTIESELHKLADQNGLCKSLNGLKVNGV >KJB13925 pep chromosome:Graimondii2_0_v6:2:13010022:13012542:-1 gene:B456_002G101900 transcript:KJB13925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAHQEAIKQFLSLMETVDERMKSTFQNMHQGYPTEALVRFLKARDWNVQKAHKMLIDCLQWRIQNEIDNILAKPIIPTDLYRAVRDSQLVGLSGYSKEGLPVIAIGVGLSTYDKASVNYYVQSHIQMNEYRDRVVLPTATEKYGRHISTCLKVLDMTGLKLSALNQIKVCFNFI >KJB13922 pep chromosome:Graimondii2_0_v6:2:13009674:13012735:-1 gene:B456_002G101900 transcript:KJB13922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAHQEAIKQFLSLMETVDERMKSTFQNMHQGYPTEALVRFLKARDWNVQKAHKMLIDCLQWRIQNEIDNILAKPIIPTDLYRAVRDSQLVGLSGYSKEGLPVIAIGVGLSTYDKASVNYYVQSHIQMNEYRDRVVLPTATEKYGRHISTCLKVLDMTGLKLSALNQIKESIQKSESMEKKIFCSLLC >KJB13524 pep chromosome:Graimondii2_0_v6:2:9611660:9612091:-1 gene:B456_002G079400 transcript:KJB13524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGFGVLHGLTALTMVEHFRDVNEQELLLFIDNIFCFVQARSKVSALLGRVPSTMGYQPTLSTEMGTLQERIASTKEGSITSIQAVYVPTDDLTDPALATTFTHLDATIVLSRGLAAKGIYPAVDPLDSTSTMLQPRVVGVHNV >KJB13163 pep chromosome:Graimondii2_0_v6:2:6812778:6815954:-1 gene:B456_002G059700 transcript:KJB13163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDLFLKPKFYSRCKSALRANKVRLETIKKKRNAVEKYLKKDIADLLRNRLYYNAYGRTEGLLVEQNRTTCYKFIEQFSELILKHVSAMQKQSECPEECKEAVSSLIYAAARLADLPELRTLRTLFTEKYGNSLEPYLNQEKLQGEPPTKEMKLQLMHVIAKEFSIEWDSKALEQKLFKLPSSEQKEAQHKSLNEGGDHGYKLNGSKNDTIKESNNHIDENGLSNMQEYGKPIRNEMDRTSRPRKEVADAKLKQHRSSSSEGAFEKRNNHDDDNRMRNIKEYGRLKRNEKDLTSHTRKEVADDKKLQSSSEGELTDQDILKTSSTSEASVSDDGTENRKPFYYRFISPPSVKPLVNFGKEKNSTEELKAPSGNIDAEEINKPDDSAVESKPKPRSVRTRRLKPPPDLGVGLQSAKPDPSSSPGNAAPFPKEVSSPTEAEGRNKQASSFERDMFPKHANRKLPEYDGLGTRLGAIHRGKRN >KJB13162 pep chromosome:Graimondii2_0_v6:2:6812745:6816338:-1 gene:B456_002G059700 transcript:KJB13162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDLFLKPKFYSRCKSALRANKVRLETIKKKRNAVEKYLKKDIADLLRNRLYYNAYGRTEGLLVEQNRTTCYKFIEQFSELILKHVSAMQKQSECPEECKEAVSSLIYAAARLADLPELRTLRTLFTEKYGNSLEPYLNQEFVQKLQGEPPTKEMKLQLMHVIAKEFSIEWDSKALEQKLFKLPSSEQKEAQHKSLNEGGDHGYKLNGSKNDTIKESNNHIDENGLSNMQEYGKPIRNEMDRTSRPRKEVADAKLKQHRSSSSEGAFEKRNNHDDDNRMRNIKEYGRLKRNEKDLTSHTRKEVADDKKLQSSSEGELTDQDILKTSSTSEASVSDDGTENRKPFYYRFISPPSVKPLVNFGKEKNSTEELKAPSGNIDAEEINKPDDSAVESKPKPRSVRTRRLKPPPDLGVGLQSAKPDPSSSPGNAAPFPKEVSSPTEAEGRNKQASSFERDMFPKHANRKLPEYDGLGTRLGAIHRGKRN >KJB12671 pep chromosome:Graimondii2_0_v6:2:2232678:2236561:1 gene:B456_002G030200 transcript:KJB12671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACTAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIVQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALRDAGAVVPTSYEAFEAVIKETFDKLVEQGKITPVKEVMPPQIPEDLNNAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVERGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQRFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIG >KJB12674 pep chromosome:Graimondii2_0_v6:2:2232336:2237184:1 gene:B456_002G030200 transcript:KJB12674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACTAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIVQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALRDAGAVVPTSYEAFEAVIKETFDKLVEQGKITPVKEVMPPQIPEDLNNAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVERGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQRFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >KJB12670 pep chromosome:Graimondii2_0_v6:2:2232216:2236239:1 gene:B456_002G030200 transcript:KJB12670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACTAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIVQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALRDAGAVVPTSYEAFEAVIKETFDKLVEQGKITPVKEVMPPQIPEDLNNAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVERGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRVSFNGDYL >KJB12672 pep chromosome:Graimondii2_0_v6:2:2232216:2237184:1 gene:B456_002G030200 transcript:KJB12672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACTAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIVQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALRDAGAVVPTSYEAFEAVIKETFDKLVEQGKITPVKEVMPPQIPEDLNNAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVERGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQRFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >KJB12669 pep chromosome:Graimondii2_0_v6:2:2232288:2237184:1 gene:B456_002G030200 transcript:KJB12669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACTAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIVQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALRDAGAVVPTSYEAFEAVIKETFDKLVEQGKITPVKEVMPPQIPEDLNNAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVERGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQRFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >KJB12673 pep chromosome:Graimondii2_0_v6:2:2232089:2237235:1 gene:B456_002G030200 transcript:KJB12673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACTAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIVQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALRDAGAVVPTSYEAFEAVIKETFDKLVEQGKITPVKEVMPPQIPEDLNNAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVERGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQRFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >KJB13913 pep chromosome:Graimondii2_0_v6:2:12900953:12905560:-1 gene:B456_002G101500 transcript:KJB13913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYWTPLNILPLLLMISWIRKRTFGQKIVTLEIWGKGTRELKDVARDPRVLLLGAEGTSTEPVGGRGGRFKVAGNSRVPLVDAMNPMAELICDQIGSSFGTDAANTVSDSRKDLENP >KJB13912 pep chromosome:Graimondii2_0_v6:2:12900829:12905566:-1 gene:B456_002G101500 transcript:KJB13912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYWTPLNILPLLLMISWIRKRTFGQKIVTLEIWGKGTRELKDVARDPRVLLLGAEGTSTEPVGGRGGRFKVAGNSRVPLVDAMNPMAELICDQIGSSFGTDAANTVSDSRKDLENP >KJB14666 pep chromosome:Graimondii2_0_v6:2:22868011:22872600:1 gene:B456_002G136700 transcript:KJB14666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTAASAATIPPTTAHAANTIRRAFHSNPFNSLSSSHHSILCARFRCLSLRSFTAVSTKAMSHPQTLDAPHPRSSAAGNKQALISLSDKKDLALLGNGLQELGYTIVSTGGTASALENAGVSVTKVEQLTCFPEMLDGRVKTLHPNIHGGILARRDQKHHMEALNKYGIGTFDVVVVNLYPFYDKVTSMGGIAFDDGIENIDIGGPAMIRAAAKNHKDVLVVVDSQDYPALLEFLEGSQDDQQFRRKLAWKAFEHVASYDSAVSEWLWKQTVGDKFPPSLTVPLSLKSSLRYGENPHQKAAFYVDKSLSEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFRNPTCVVVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNIEVDEALAKEIREFRSPTDGETRMFYEIVVAPMYTEKGLEILRGKSKTLRILEAEKNEKGKLSLRQVGGGWLAQDSDDLTPEDIQFNVVSEKKPQDNELCDAEFAWLCVKHVKSNAIVIAKDNRMLGMGSGQPNRLESLRIALRKAGDEVKGAALASDAFFPFAWKDAVEEACESGVGVIAEPGGSIRDGDAVDCCNKYGVSLLLTNVRHFKH >KJB14669 pep chromosome:Graimondii2_0_v6:2:22868801:22872539:1 gene:B456_002G136700 transcript:KJB14669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGRVKTLHPNIHGGILARRDQKHHMEALNKYGIGTFDVVVVNLYPFYDKVTSMGGIAFDDGIENIDIGGPAMIRAAAKNHKDVLVVVDSQDYPALLEFLEGSQDDQQFRRKLAWKAFEHVASYDSAVSEWLWKQTVGDKFPPSLTVPLSLKSSLRYGENPHQKAAFYVDKSLSEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFRNPTCVVVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNIEVDEALAKEIREFRSPTDGETRMFYEIVVAPMYTEKGLEILRGKSKTLRILEAEKNEKGKLSLRQVGGGWLAQDSDDLTPEDIQFNVVSEKKPQDNELCDAEFAWLCVKHVKSNAIVIAKDNRMLGMGSGQPNRLESLRIALRKAGDEVKGAALASDAFFPFAWKDAVEEACESGVGVIAEPGGSIRDGDAVDCCNKYGVSLLLTNVRHFKH >KJB14668 pep chromosome:Graimondii2_0_v6:2:22868122:22872539:1 gene:B456_002G136700 transcript:KJB14668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTAASAATIPPTTAHAANTIRRAFHSNPFNSLSSSHHSILCARFRCLSLRSFTAVSTKAMSHPQTLDAPHPRSSAAGNKQALISLSDKKDLALLGNGLQELGYTIVSTGGTASALENAGVSVTKVEQLTCFPEMVLDGRVKTLHPNIHGGILARRDQKHHMEALNKYGIGTFDVVVVNLYPFYDKVTSMGGIAFDDGIENIDIGGPAMIRAAAKNHKDVLVVVDSQDYPALLEFLEGSQDDQQFRRKLAWKAFEHVASYDSAVSEWLWKQTVGDKFPPSLTVPLSLKSSLRYGENPHQKAAFYVDKSLSEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFRNPTCVVVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNIEVDEALAKEIREFRSPTDGETRMFYEIVVAPMYTEKGLEILRGKSKTLRILEAEKNEKGKLSLRQVGGGWLAQDSDDLTPEDIQFNVVSEKKPQDNELCDAEFAWLCVKHVKSNAIVIAKDNRMLGMGSGQPNRLESLRIALRKAGDEVKGAALASDAFFPFAWKDAVEEACESGVGVIAEPGGSIRDGDAVDCCNKYGVSLLLTNVRHFKH >KJB14667 pep chromosome:Graimondii2_0_v6:2:22868089:22872539:1 gene:B456_002G136700 transcript:KJB14667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTAASAATIPPTTAHAANTIRRAFHSNPFNSLSSSHHSILCARFRCLSLRSFTAVSTKAMSHPQTLDAPHPRSSAAGNKQALISLSDKKDLALLGNGLQELGYTIVSTGGTASALENAGVSVTKVEQLTCFPEMLDGRVKTLHPNIHGGILARRDQKHHMEALNKYGIGTFDVVVVNLYPFYDKVTSMGGIAFDDGIENIDIGGPAMIRAAAKNHKDVLVVVDSQDYPALLEFLEGSQDDQQFRRKLAWKAFEHVASYDSAVSEWLWKQTVGDKFPPSLTVPLSLKSSLRYGENPHQKAAFYVDKSLSEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFRNPTCVVVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNIEVDEEIREFRSPTDGETRMFYEIVVAPMYTEKGLEILRGKSKTLRILEAEKNEKGKLSLRQVGGGWLAQDSDDLTPEDIQFNVVSEKKPQDNELCDAEFAWLCVKHVKSNAIVIAKDNRMLGMGSGQPNRLESLRIALRKAGDEVKGAALASDAFFPFAWKDAVEEACESGVGVIAEPGGSIRDGDAVDCCNKYGVSLLLTNVRHFKH >KJB13051 pep chromosome:Graimondii2_0_v6:2:4762812:4774622:-1 gene:B456_002G053900 transcript:KJB13051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPAGRDKYRSFLDDEADSVQWRHGGPPTYDVVNQLFEQGRTKEWEEGSLEEIVQNAIKTWEMELSHKVRLQDFKSINHEKFNLIVNGREGLKGEEALKMGSYNALLKNSLPKEFQYYKADEESFESSHEAFRSAFPRGFAWEVIHVYSGPPLIAFKFRHWGIFEGPFKGHAPTGETVEFYGIATVKVDEGLKVEEVEVYYDPAQLFGGLLKAPPISISSSPTHHASACPFHSSS >KJB13050 pep chromosome:Graimondii2_0_v6:2:4762812:4764854:-1 gene:B456_002G053900 transcript:KJB13050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPAAKDKYRSFLDDEADNVQWRHGAPPSYDDVNQLFEQGRTKEWEEGSLEEIVQNAIKTWEMELSHKVRLQDFKSINHEKFNLIVNGREGLKGEEALKMGSYNALLKNSLPKEFQYYKADEESFESSHEAFRSAFPRGFAWEVIHVYSGPPLIAFKFRHWGIFEGPFKGHAPTGETVEFYGIATVKVDEGLKVEEVEVYYDPAQLFGGLLKAPPISISSSPTHHASACPFHSSS >KJB13593 pep chromosome:Graimondii2_0_v6:2:10570347:10572655:1 gene:B456_002G083100 transcript:KJB13593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB13594 pep chromosome:Graimondii2_0_v6:2:10570492:10572554:1 gene:B456_002G083100 transcript:KJB13594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB13496 pep chromosome:Graimondii2_0_v6:2:9297200:9297607:-1 gene:B456_002G078300 transcript:KJB13496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SCAIGSSADFLDVFEDQTMPLVPFMIERMPFSLILKTPEASETKFSLLATHTTVPVDTFLYQIPTYRLLEFPGLKNPSSKLPPADTRVSPSFMDCPWVKVSIAQVLLVKKRSKHRTSSIKKASIYFLISKTDYSF >KJB14316 pep chromosome:Graimondii2_0_v6:2:17085929:17086251:-1 gene:B456_002G1197002 transcript:KJB14316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VP >KJB15993 pep chromosome:Graimondii2_0_v6:2:55351991:55354052:-1 gene:B456_002G207100 transcript:KJB15993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDSFGSLSRRSFDVRLVGHHRGKSHGALHDLHDEPLVIQNGRWANLPPELLTDVIKRLEESESTWPARKSVVACASVCQSWRVICKDIVKSPEFCGKLTFPVSLKQPGPRDGIIQCFIKRDKSKLTYHLFLCLSPALLVENGKFLLSAQRTRRTTSTEYIISMNADNISRSSSSYIGKLRSNFLGTKFIIYDTQPTYTASSHVPPPGRTSRRFYSKKVSPKVPTGSYNIAQISYELNVLGTRGPRKMHCIMHSIPASALGVGGSVPGQPELLRHPLEDSFRSISFSKSLDHSVEFSSSRFSDVGVSHKDDGDGNMRPLILKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPASSGPAPPDMDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KJB15994 pep chromosome:Graimondii2_0_v6:2:55352060:55353922:-1 gene:B456_002G207100 transcript:KJB15994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDSFGSLSRRSFDVRLVGHHRGKSHGALHDLHDEPLVIQNGRWANLPPELLTDVIKRLEESESTWPARKSVVACASVCQSWRVICKDIVKSPEFCGKLTFPVSLKQPGPRDGIIQCFIKRDKSKLTYHLFLCLSPALLVENGKFLLSAQRTRRTTSTEYIISMNADNISRSSSSYIGKLRSNFLGTKFIIYDTQPTYTASSHVPPPGRTSRRFYSKKVSPKVPTGSYNIAQISYELNVLGTRGPRKMHCIMHSIPASALGVGGSVPGQPELLRHPLEDSFRSISFSKSLDHSVEFSSSRFSDVGVSHKDDGDGNMRPLILKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPASSGPAPPDMDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KJB15992 pep chromosome:Graimondii2_0_v6:2:55351835:55355046:-1 gene:B456_002G207100 transcript:KJB15992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDSFGSLSRRSFDVRLVGHHRGKSHGALHDLHDEPLVIQNGRWANLPPELLTDVIKRLEESESTWPARKSVVACASVCQSWRVICKDIVKSPEFCGKLTFPVSLKQPGPRDGIIQCFIKRDKSKLTYHLFLCLSPALLVENGKFLLSAQRTRRTTSTEYIISMNADNISRSSSSYIGKLRSNFLGTKFIIYDTQPTYTASSHVPPPGRTSRRFYSKKVSPKVPTGSYNIAQISYELNVLGTRGPRKMHCIMHSIPASALGVGGSVPGQPELLRHPLEDSFRSISFSKSLDHSVEFSSSRFSDVGVSHKDDGDGNMRPLILKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPASSGPAPPDMDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KJB13060 pep chromosome:Graimondii2_0_v6:2:4826874:4831474:1 gene:B456_002G054600 transcript:KJB13060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVSFILTIPNKPKIPHLFCHFSKPESPKSPNLFSHFSFHFWEKRNMSTKIVAEYAKSGRSSCKKCGKTITAQVLRLGLVTRDARGFDMTKWHHLNCFHEKIDSLDVIKGFDSLKGVDQEALKKLADGSIKSPKQLRGKDDEEEREQDEEIESEKSNTKKIKLSAPDEKAQLDVAFSVSDIKDKYKDAALEPKWKAFQTIIFLERDDGLHDSGKIAAFDFDGCLAKTSLKRVGADAWSLMYPSIPEKLQSLYEEGFKLVIFTNESNIDRWKNKRQVAVDSKIGRITNFINKVKVPMQVFIACGISSSGGKAVDPFRKPKPGMWHIMEKHFNSGIPIDMDQLFFPSLLSCFAMFHYSQLLSIYVCTCCW >KJB13059 pep chromosome:Graimondii2_0_v6:2:4826874:4830402:1 gene:B456_002G054600 transcript:KJB13059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVSFILTIPNKPKIPHLFCHFSKPESPKSPNLFSHFSFHFWEKRNMSTKIVAEYAKSGRSSCKKCGKTITAQVLRLGLVTRDARGFDMTKWHHLNCFHEKIDSLDVIKGFDSLKGVDQEALKKLADGSIKSPKQLRGKDDEEEREQDEEIESEKSNTKKIKLSAPDEKAQLDVAFSVSDIKDKYKDAALEPKWKAFQTIIFLERDDGLHDSGKIAAFDFDGCLAKTSLKRVGADAWSLMYPSIPEKLQSLYEEGFKLVIFTNESNIDRWKNKRQVAVDSKIGRITNFINKVKVPMQVWFCSLW >KJB13061 pep chromosome:Graimondii2_0_v6:2:4826786:4831755:1 gene:B456_002G054600 transcript:KJB13061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVSFILTIPNKPKIPHLFCHFSKPESPKSPNLFSHFSFHFWEKRNMSTKIVAEYAKSGRSSCKKCGKTITAQVLRLGLVTRDARGFDMTKWHHLNCFHEKIDSLDVIKGFDSLKGVDQEALKKLADGSIKSPKQLRGKDDEEEREQDEEIESEKSNTKKIKLSAPDEKAQLDVAFSVSDIKDKYKDAALEPKWKAFQTIIFLERDDGLHDSGKIAAFDFDGCLAKTSLKRVGADAWSLMYPSIPEKLQSLYEEGFKLVIFTNESNIDRWKNKRQVAVDSKIGRITNFINKVKVPMQVFIACGISSSGGKAVDPFRKPKPGMWHIMEKHFNSGIPIDMDQSFYVGDAAGRPNDHSDADIKFAQAVGLKFYVPEDYFRS >KJB13058 pep chromosome:Graimondii2_0_v6:2:4826874:4831477:1 gene:B456_002G054600 transcript:KJB13058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVSFILTIPNKPKIPHLFCHFSKPESPKSPNLFSHFSFHFWEKRNMSTKIVAEYAKSGRSSCKKCGKTITAQVLRLGLVTRDARGFDMTKWHHLNCFHEKIDSLDVIKGFDSLKGVDQEALKKLADGSIKSPKQLRGKDDEEEREQDEEIESEKSNTKKIKLSAPDEKAQLDVAFSVSDIKDKYKDAALEPKWKAFQTIIFLERDDGLHDSGKIAAFDFDGCLAKTSLKRVGADAWSLMYPSIPEKLQSLYEEGFKLVIFTNESNIDRWKNKRQVAVDSKIGRITNFINKVKVPMQVFIACGISSSGGKAVDPFRKPKPGMWHIMEKHFNSGIPIDMDQSFYVGDAAGRPNDHSDADIKFAQAVGLKFYVPEDYFRS >KJB14633 pep chromosome:Graimondii2_0_v6:2:21739185:21743710:1 gene:B456_002G134900 transcript:KJB14633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQHQAPVSGPNGVAPGAAGGAQFMLTSLYVGDLDVTVTDEQLYQLFSQVAPVASVRVCRDLASGRSLGYGYVNYNNLRDAARAMDLLNFTPLNNKPIRVMYSQRDPSLRKTGTANIFIKNLDKSIDHKALHDTFSSFGNILSCKISTDSFGQSKGYGFVQFDNEESAQNAIDKLNGMLINDKQVYVGHFLRKQERETALNKAKFNNVYVKNLSKSTTDEDLKTIFGEYGEITSAVVMRDADGKSKCFGFVNFENADDAAKAVEALNGKKFDDKEWYVGKAQKKSEREHELKAQFEQTMKEAADKSQGANLYIKNLDDSIGDETLKELFSEFGNITSCKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVAVAQRKEERRARLQAQFSQMRPIIPSVAPRMPMYPAGAPGLGQQFLYGQAPPAMLPPQQQLLPGMRPGGPPVPNFFVPMVQQGQQGQRPGGRRGAGAVQQTQQPMPMMQQQMMPRGRAYRYPPGRNMPEVPLSNVGGGMLSVPYDMGGMSLRDAAIGQPMPIPALATALANATPEQQRTMLGESLYPLVERLERDAAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRSVAAQQQANNQADQLASLSLNDNLVS >KJB14634 pep chromosome:Graimondii2_0_v6:2:21739525:21742349:1 gene:B456_002G134900 transcript:KJB14634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQHQAPVSGPNGVAPGAAGGAQFMLTSLYVGDLDVTVTDEQLYQLFSQVAPVASVRVCRDLASGRSLGYGYVNYNNLRDAARAMDLLNFTPLNNKPIRVMYSQRDPSLRKTGTANIFIKNLDKSIDHKALHDTFSSFGNILSCKISTDSFGQSKGYGFVQFDNEESAQNAIDKLNGMLINDKQVYVGHFLRKQERETALNKAKFNNVYVKNLSKSTTDEDLKTIFGEYGEITSAVVMRDADGKSKCFGFVNFENADDAAKAVEALNGKKFDDKEWYVGKAQKKSEREHELKAQFEQTMKEAADKSQGANLYIKNLDDSIGDETLKELFSEFGNITSCKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVAVAQRKEERRARLQAQFSQMRPIIPSVAPRMPMYPAGAPGLGQQFLYGQAPPAMLPPQVIYLESLSILLT >KJB14631 pep chromosome:Graimondii2_0_v6:2:21739185:21743710:1 gene:B456_002G134900 transcript:KJB14631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQHQAPVSGPNGVAPGAAGGAQFMLTSLYVGDLDVTVTDEQLYQLFSQVAPVASVRVCRDLASGRSLGYGYVNYNNLRDAARAMDLLNFTPLNNKPIRVMYSQRDPSLRKTGTANIFIKNLDKSIDHKALHDTFSSFGNILSCKISTDSFGQSKGYGFVQFDNEESAQNAIDKLNGMLINDKQVYVGHFLRKQERETALNKAKFNNVYVKNLSKSTTDEDLKTIFGEYGEITSAVVMRDADGKSKCFGFVNFENADDAAKAVEALNGKKFDDKEWYVGKAQKKSEREHELKAQFEQTMKEAADKSQGANLYIKNLDDSIGDETLKELFSEFGNITSCKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVAVAQRKEERRARLQAQFSQMRPIIPSVAPRMPMYPAGAPGLGQQFLYGQAPPAMLPPQASFGYQQQLLPGMRPGGPPVPNFFVPMVQQGQQGQRPGGRRGAGAVQQTQQPMPMMQQQVSCMHVY >KJB14629 pep chromosome:Graimondii2_0_v6:2:21739123:21743737:1 gene:B456_002G134900 transcript:KJB14629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQHQAPVSGPNGVAPGAAGGAQFMLTSLYVGDLDVTVTDEQLYQLFSQVAPVASVRVCRDLASGRSLGYGYVNYNNLRDAARAMDLLNFTPLNNKPIRVMYSQRDPSLRKTGTANIFIKNLDKSIDHKALHDTFSSFGNILSCKISTDSFGQSKGYGFVQFDNEESAQNAIDKLNGMLINDKQVYVGHFLRKQERETALNKAKFNNVYVKNLSKSTTDEDLKTIFGEYGEITSAVVMRDADGKSKCFGFVNFENADDAAKAVEALNGKKFDDKEWYVGKAQKKSEREHELKAQFEQTMKEAADKSQGANLYIKNLDDSIGDETLKELFSEFGNITSCKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVAVAQRKEERRARLQAQFSQMRPIIPSVAPRMPMYPAGAPGLGQQFLYGQAPPAMLPPQASFGYQQQLLPGMRPGGPPVPNFFVPMVQQGQQGQRPGGRRGAGAVQQTQQPMPMMQQQMMPRGRAYRYPPGRNMPEVPLSNVGGGMLSVPYDMGGMSLRDAAIGQPMPIPALATALANATPEQQRTMLGESLYPLVERLERDAAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRSVAAQQQANNQADQLASLSLNDNLVS >KJB14630 pep chromosome:Graimondii2_0_v6:2:21739185:21743710:1 gene:B456_002G134900 transcript:KJB14630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQHQAPVSGPNGVAPGAAGGAQFMLTSLYVGDLDVTVTDEQLYQLFSQVAPVASVRVCRDLASGRSLGYGYVNYNNLRDAARAMDLLNFTPLNNKPIRVMYSQRDPSLRKTGTANIFIKNLDKSIDHKALHDTFSSFGNILSCKISTDSFGQSKGYGFVQFDNEESAQNAIDKLNGMLINDKQVYVGHFLRKQERETALNKAKFNNVYVKNLSKSTTDEDLKTIFGEYGEITSAVVMRDADGKSKCFGFVNFENADDAAKAVEALNGKKFDDKEWYVGKAQKKSEREHELKAQFEQTMKEAADKSQGANLYIKNLDDSIGDETLKELFSEFGNITSCKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVAVAQRKEERRARLQAQFSQMRPIIPSLVLSNRLSNQCR >KJB14632 pep chromosome:Graimondii2_0_v6:2:21739185:21743710:1 gene:B456_002G134900 transcript:KJB14632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQHQAPVSGPNGVAPGAAGGAQFMLTSLYVGDLDVTVTDEQLYQLFSQVAPVASVRVCRDLASGRSLGYGYVNYNNLRDAARAMDLLNFTPLNNKPIRVMYSQRDPSLRKTGTANIFIKNLDKSIDHKALHDTFSSFGNILSCKISTDSFGQSKGYGFVQFDNEESAQNAIDKLNGMLINDKQVYVGHFLRKQERETALNKAKFNNVYVKNLSKSTTDEDLKTIFGEYGEITSAVVMRDADGKSKCFGFVNFENADDAAKAVEALNGKKFDDKEWYVGKAQKKSEREHELKAQFEQTMKEAADKSQGANLYIKNLDDSIGDETLKELFSEFGNITSCKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVAVAQRKEERRARLQAQFSQMRPIIPSVAPRMPMYPAGAPGLGQQFLYGQAPPAMLPPQASFGYQQQLLPGMRPGGPPVPNFFVPMVQQGQQGQRPGGRRGAGAVQQTQQPMPMMQQQMMPRGRAYRYPPGRNMPEVPLSNVGGGMLSVPYDMGGMSLRDAAIGQPMPIPALATALANATPEQQRTQMLGESLYPLVERLERDAAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRSVAAQQQANNQADQLASLSLNDNLVS >KJB14450 pep chromosome:Graimondii2_0_v6:2:18812727:18814552:-1 gene:B456_002G125500 transcript:KJB14450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVTSHTELQFVVDTAEAAGGGLMSSTIVAKGIKESLTSTLTKFHAGYFRVSLSLGGQALLWKTLRGPTDDTHTLRRTLHLFHPTAFTVLWSLSFFALVLLSILYLLRCLFYFKMVKEEFFHHVGVNYLFAPSISWLLLLQSSPFFTPQTHYSYTVIWWVLAVLPIAVLDVKIYGQWFTKGKKFLSAVANPTSQLSVIGNLVGAQVAAEMGWKESAIGLFSLGMVHYLVLFVTLYQRLSGTDQLPSMLRPVFFLFFAAPSIASLAWQSIAGSFDTASKMLFFLSLFLFMSLVCRPTLFKVSMKKFNVAWWAYSFPLSVLALASMEYAEEVKGDIPHLLMLLLLTFSVFVSICLVVFTLLNSKILLFLPHCFDPISSLISRGMCSTPQQNQQRPQTA >KJB16795 pep chromosome:Graimondii2_0_v6:2:61214080:61215984:-1 gene:B456_002G248100 transcript:KJB16795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIISRALITFRCFNKFTVLRSLNLPRPVISVKCKTTITSIDYSVSSSVARSNWLITNLSKEGKLSQARELFDNLCERDKDVITWTALISGYIRIGLIDEARKLFDRVDSRKNVVTWTAMLNGYIRSDRIVDAERLFAEMPVKNVVSWNTMVDGYMQNGMVDKAFEVFDEMPERNVVSWNTMLTALVQCGRVEDARALFDKMPKKDVISWTAMVTGLAKNGRVDEARKVFDRMPERNVVSWNAMITGYAQNMKLDEAFDLFERMPMRDLSSWNGMITGLIQNGELRRAEKLFNEMPCKNVVSWTTMITGYVQSEQSEEALKIFAKMLAEDRVKPNEGTFVSVLSACSNLAGLVEGQQVHQTIVKTVYRRSEIVVSALINMYSKCGELNIARRMFDDGLISHRDVVSWNGMIAAYAHHGCGEDAISLFKKMSHLHFKPNDATYVALLSACSHSGMLEEGLRYFDELVRDKSIQVRDDHYACLVDLYSRAGKLKEAFEFIVQLGIKPSVSVWEALLAGCHVHGDVILGKLVAKKILDTEPENAGTYMLLSNIYASKGKWRNAAKVRLKMKDKGLKKQPGCSWIEVENQVHVFVVGAKSHSHSNLIYPILHELHAKMRKAGNISNTNYLEEEEDLIC >KJB12966 pep chromosome:Graimondii2_0_v6:2:3970587:3973399:-1 gene:B456_002G046900 transcript:KJB12966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEFCLAAASNAVGTMMVNYLVNPIKQSIRYSFRFHKFVQELHEQQKNLKREQTRVKEDVKEAELQIQTQVIEDYVDEWLTNAENALNDVQSLVHRVEENKRCFGLCPTWCWRYRLSKEIEKKIVYISKLVEDSHFKRIGHRAELPGLEFFTSEHILASKSSTAAFNKIMEALKDDKVNMIGVWGMGGVGKTTLVKEVGKKTKELRCFHKVIEVVVSQTSIIENIQYKIADFLDLKFEKTTKEGKAEELWLRLKKEEKVLIILDDMWNEIQMNEIGLPLNENGNGCKIILTTRRMTVCESMECQVIVPVDVLDNDEAWTLFRMKAYLDERVSRDIIETAKEVAKECKGLPVAIVTLAKALKGTKTVKGWEVARKKLERSRLMEVGNIEEEEEKNAYLCVKMSYEYLKKETTKRCFLLCALYPEDHSIDVKHLVRYAWGLELFDKADSIEEVRIQVLEAIDYLKDSCLLLKDKDAERYDP >KJB12965 pep chromosome:Graimondii2_0_v6:2:3970428:3973399:-1 gene:B456_002G046900 transcript:KJB12965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEFCLAAASNAVGTMMVNYLVNPIKQSIRYSFRFHKFVQELHEQQKNLKREQTRVKEDVKEAELQIQTQVIEDYVDEWLTNAENALNDVQSLVHRVEENKRCFGLCPTWCWRYRLSKEIEKKIVYISKLVEDSHFKRIGHRAELPGLEFFTSEHILASKSSTAAFNKIMEALKDDKVNMIGVWGMGGVGKTTLVKEVGKKTKELRCFHKVIEVVVSQTSIIENIQYKIADFLDLKFEKTTKEGKAEELWLRLKKEEKVLIILDDMWNEIQMNEIGLPLNENGNGCKIILTTRRMTVCESMECQVIVPVDVLDNDEAWTLFRMKAYLDERVSRDIIETAKEVAKECKGLPVAIVTLAKALKGTKTVKGWEVARKKLERSRLMEVGNIEEEEEKNAYLCVKMSYEYLKKETTKRCFLLCALYPEDHSIDVKHLVRYAWGLELFDKADSIEEVRIQVLEAIDYLKDSCLLLKDKDAERYDP >KJB12947 pep chromosome:Graimondii2_0_v6:2:4047235:4049819:-1 gene:B456_002G047900 transcript:KJB12947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKLPLLCLLALALSSFPHASTARSINTPCGFTLCGNLSIRYPFRLTTEPQSCGLKRFELLCDNNRAIFPMDRGNFYVQHIFNDNQTIHIVDVNVDKDDCSIPLSSLPFGSPTSRKFPQIGATSYTYLDTEFEFDPFTYEEMFVMNCSTKMNKSWSGANYINACRCSSCPPTNKNYFYFLDGGTAASAFHPSCTVEALVPISLQNINGLSTFDIYRRLRMGTQITWSLQSKPRWGSAVNVLQSLFWVCVGLLLLYAESMMALVHVLPSATSPPSKEIQIFLVTITGIILVRTLLGISCLTVLIIRKLRRRHLSVDDAIENFLQSQKNFMPIRYSYAEIKKITGGFKNKLGQGGFGTVFKGKLQSGKLVAVKLLKESKGNGQDFINEVATIGRIHHVNVVQLIGFCVERKKQALVYDFMINGSLDKFIFSSGSSSLSWEKMFEIVVGVGRGIEYLHNGCAMKILHFDIKPHNILLDDNFNSKVSDFGLAKLYPVDNSIIFLTAARGTFGYMAPELFYKSIGGISYKADVYSFGMMLMEIVGKRKNLNASAEHSSQVYFPTWIYDRLQLGENIELEDMTESENSIMRKMIMVAFWCIQTKPIHRPSMTKVLKMLESEDELLEIPPKSLIFSVDMSCNNSE >KJB12946 pep chromosome:Graimondii2_0_v6:2:4047235:4049819:-1 gene:B456_002G047900 transcript:KJB12946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKLPLLCLLALALSSFPHASTARSINTPCGFTLCGNLSIRYPFRLTTEPQSCGLKRFELLCDNNRAIFPMDRGNFYVQHIFNDNQTIHIVDVNVDKDDCSIPLSSLPFGSPTSRKFPQIGATSYTYLDTEFEFDPFTYEEMFVMNCSTKMNKSWSGANYINACRCSSCPPTNKNYFYFLDGGTAASAFHPSCTVEALVPISLQNINGLSTFDIYRRLRMGTQITWSLQSKPRWGSAVNVLQSLFWVCVGLLLLYAESMMALVHVLPSATSPPSKGIILVRTLLGISCLTVLIIRKLRRRHLSVDDAIENFLQSQKNFMPIRYSYAEIKKITGGFKNKLGQGGFGTVFKGKLQSGKLVAVKLLKESKGNGQDFINEVATIGRIHHVNVVQLIGFCVERKKQALVYDFMINGSLDKFIFSSGSSSLSWEKMFEIVVGVGRGIEYLHNGCAMKILHFDIKPHNILLDDNFNSKVSDFGLAKLYPVDNSIIFLTAARGTFGYMAPELFYKSIGGISYKADVYSFGMMLMEIVGKRKNLNASAEHSSQVYFPTWIYDRLQLGENIELEDMTESENSIMRKMIMVAFWCIQTKPIHRPSMTKVLKMLESEDELLEIPPKSLIFSVDMSCNNSE >KJB12945 pep chromosome:Graimondii2_0_v6:2:4047143:4049969:-1 gene:B456_002G047900 transcript:KJB12945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKLPLLCLLALALSSFPHASTARSINTPCGFTLCGNLSIRYPFRLTTEPQSCGLKRFELLCDNNRAIFPMDRGNFYVQHIFNDNQTIHIVDVNVDKDDCSIPLSSLPFGSPTSRKFPQIGATSYTYLDTEFEFDPFTYEEMFVMNCSTKMNKSWSGANYINACRCSSCPPTNKNYFYFLDGGTAASAFHPSCTVEALVPISLQNINGLSTFDIYRRLRMGTQITWSLQSKPRWGSAVNVLQSLFWVCVGLLLLYAESMMALVHVLPSATSPPSKGIILVRTLLGISCLTVLIIRKLRRRHLSVDDAIENFLQSQKNFMPIRYSYAEIKKITGGFKNKLGQGGFGTVFKGKLQSGKLVAVKLLKESKGNGQDFINEVATIGRIHHVNVVQLIGFCVERKKQALVYDFMINGSLDKFIFSSGSSSLSWEKMFEIVVGVGRAARGTFGYMAPELFYKSIGGISYKADVYSFGMMLMEIVGKRKNLNASAEHSSQVYFPTWIYDRLQLGENIELEDMTESENSIMRKMIMVAFWCIQTKPIHRPSMTKVLKMLESEDELLEIPPKSLIFSVDMSCNNSE >KJB15795 pep chromosome:Graimondii2_0_v6:2:53205361:53205648:-1 gene:B456_002G196500 transcript:KJB15795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGGSIEGWGAALYKKDNKYASKSSEKLCRYNSGKFKEKGIVSGIDAEVLALRYALESFELFIIGRECFTLRTDCISIVKYFPNMKETKKRSSLNR >KJB14379 pep chromosome:Graimondii2_0_v6:2:17538507:17539515:1 gene:B456_002G122200 transcript:KJB14379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVWGDIGLASLNDHPAVTPTANPPSFPSVILQDFLAIPINKEMPPTARSGCGTSLTEETTLFGSLPPTPATLFTLNARSIASVAVKAPAPSFPSSCRKKGQENEENSDDPRHKRKIKNRKSAARSRARKQAYTNELELEVARLLEENVKLRRQQDKLLASPRQIPKRNTLCRTLTAPF >KJB14378 pep chromosome:Graimondii2_0_v6:2:17538405:17539741:1 gene:B456_002G122200 transcript:KJB14378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVWGDIGLASLNDHPAVTPTANPPSFPSVILQDFLAIPINKEMPPTARSGCGTSLTEETTLFGSLPPTPATLFTLNARSIASVAVKAPAPSFPSSCRKKGQENEENSDDPRHKRKIKNRKSAARSRARKQAYTNELELEVARLLEENVKLRRQQDKLLASPRQIPKRNTLCRTLTAPF >KJB14380 pep chromosome:Graimondii2_0_v6:2:17538550:17538987:1 gene:B456_002G122200 transcript:KJB14380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVWGDIGLASLNDHPAVTPTANPPSFPSVILQDFLAIPINKEMPPTARSGCGTSLTEETTLFGSLPPTPATLFTLNARSIASVAVKAPAPSFPSSCRKKGQENEENSDDPRHKRKIKNRKSAARSRARKQVNESVSLSNRTSI >KJB12465 pep chromosome:Graimondii2_0_v6:2:1336221:1337429:-1 gene:B456_002G019800 transcript:KJB12465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTWYCSFIISHTLLLFLLHLSSSSAATHHHHHHHRSLPPNPKLQQAYTALQAFKKVIYSDPNNITTNWVGPDVCKYTGLYCAPHPHDKTIKVVAGIDLNGYDIAGYLPDELGLLTDLALIHLNSNRFCGIVPQTLSNLSHLFELDLSNNRFVGPFPTVVLSLPSLKYLDIRYNEFEGSLPAQLFAKNLDAIFVNNNRFSNVIPNNFVASSASVVVFANNKLGGCLPPSIANFANTLEELLLINTSLSGCLPPEVGYLYKLKVLDVSNNNLVGPIPYSIAGLAHLEILNLAHNMMSGIVPSGVCVLPNLKNFTFSYNYFCEEEGICGNLSSNGVAFDDRRNCLPEKPRQRSEKECEAALEHPVECFDYHYGGGGGGSIAIPPVMVPVATPMLSPFMAPGHA >KJB16703 pep chromosome:Graimondii2_0_v6:2:60775425:60777957:1 gene:B456_002G243600 transcript:KJB16703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase PXC1 [Source:Projected from Arabidopsis thaliana (AT2G36570) UniProtKB/Swiss-Prot;Acc:Q9SJQ1] MNSQSSLQFLLFLSLSSLFLSLSSSTNDTDTLTLFRRQADTHGNLISNWTGRDACSSAWHGVVCSSTGRVISLSLPSLSLRGPITALSLLDQLRILDLQNNRLNGTVSPLTNCSNLKLVYLSDNDFSGDIPPEISRLKRLLRLDLSNNNIRGNVPKEISGLKRLITLRLQNNALTGEIPDFFSSFKSLKELNLTNNEFFGRLPGSLLKKFSEKSFVGNEGLCGSNPFPVCSFTGSPPVDTPTETVPSNPSSMPRTPIIEQERPKAHKGLTPGAIVAIVVANCVVFLVLVSFAVAYFCGRNNGEIVWKSGSDGGKRSSYGSEKKVYATGGLPEPDSDGTNATDRSKLVFFERRKQFELEDLLRASAEMLGKGSLGTVYKAVLDDGCTVAVKRLKDANPCPRKEFEQYMDVIGKIKHPNVVKLRAYYYAKEEKLLVYDYLPNGSLHSLLHGNRGPGRIPLDWTTRISLVLGAARGLAKIHEEYNTPKIPHGNVKSSNVLLDKNGVALISDFGLSLLLNPVHAIARLGGYRAPEQAEVKRLSQMADVYSFGVLLLEVLTGRAPSQYPSPTRPRIEDEEPAVDLPKWVRSVVKEEWTAEVFDQELLRYKNIEEELVSMLHVGLACVVPQPEKRPTMTEVAKMIEDIRVEQSPLGEDYDESRNSISPSIATTEDGIAGY >KJB13017 pep chromosome:Graimondii2_0_v6:2:4469935:4470195:-1 gene:B456_002G051400 transcript:KJB13017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGERSLKSWVIESISSSLNQVVDPKLLSTIGREHLKVKNCALSILQVGLECSAELPNERLHMKEVVTKLKKIKVKLSRDMQRVR >KJB16456 pep chromosome:Graimondii2_0_v6:2:59002776:59011774:1 gene:B456_002G230700 transcript:KJB16456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSAPVIEEVPPLLEGSGKMEVWCINGSAKTPLQKEDVGKFYSGDCYIVLYTYHSGERKEDYFLCCWIGKDSIEEDQKMAARLANTMCNSLKGRPIQGRVFEGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTAESVALIQISGTAVHNNKTLQVDAVATSLNSTDCFLLQSGSSIFTWHGNQSTYEQQQLAAKVAEFLKPGVVLKYAKEGTESNAFWSALGGKQSYTSKKASTETVRDPHLFTFSFNKGKFEVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQSVDTKEKQNVFEIGQKYIGLAASLDGLSPNVPLYKVSEGNEPCFFTTFFSWDSTRATVQGNSFQKKVALLFGASHAVEVKSNGNQGGPTQRASALAALSSAFNPSSKSTPSAQARSNGNNGGPTQRASALAALSSAFNPSSASKTSAPKPSSSGQGSQRAAAVAALSSVLTAEKKKQPHDGSPIKSTSSTPAVSSPLSEAQSEADPSEAEDSQLVAEAKEAGVASQTNGDDSEPKQKILQDENGSGSTQSAYSYEQLKAITGNAATGIDLKQREAYLSDNEFQTVFGMEKEAFYKLPKWKQDLKKKKVGLF >KJB16452 pep chromosome:Graimondii2_0_v6:2:58998471:59011774:1 gene:B456_002G230700 transcript:KJB16452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAKVLDPAFQGVGQKPGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTTPSKGGSYLYDIHFWIGKDTTQDEAGTAAIKTIELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGIATGFKKPEEEEFEKRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQFLKEKYHDGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVHNEDDLIPETYPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGAEVFVWVGRVTQVEDRKAASQAAEDFVSSQQRPKATRITRVIQGYETNSFKANFDSWPAGSTAPGGEEGRGKVAALLKQQGVGIKGMTKSAPVIEEVPPLLEGSGKMEVWCINGSAKTPLQKEDVGKFYSGDCYIVLYTYHSGERKEDYFLCCWIGKDSIEEDQKMAARLANTMCNSLKGRPIQGRVFEGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTAESVALIQISGTAVHNNKTLQVDAVATSLNSTDCFLLQSGSSIFTWHGNQSTYEQQQLAAKVAEFLKPGVVLKYAKEGTESNAFWSALGGKQSYTSKKASTETVRDPHLFTFSFNKGKFEVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQSVDTKEKQNVFEIGQKYIGLAASLDGLSPNVPLYKVSEGNEPCFFTTFFSWDSTRATVQGNSFQKKVALLFGASHAVEVKSNGNQGGPTQRASALAALSSAFNPSSKSTPSAQARSNGNNGGPTQRASALAALSSAFNPSSASKTYCRTRMGVEALKVHIVMSN >KJB16454 pep chromosome:Graimondii2_0_v6:2:58998471:59012094:1 gene:B456_002G230700 transcript:KJB16454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAKVLDPAFQGVGQKPGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTTPSKGGSYLYDIHFWIGKDTTQDEAGTAAIKTIELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGIATGFKKPEEEEFEKRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQFLKEKYHDGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVHNEDDLIPETYPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGAEVFVWVGRVTQVEDRKAASQAAEDFVSSQQRPKATRITRVIQGYETNSFKANFDSWPAGSTAPGGEEGRGKVAALLKQQGVGIKGMTKSAPVIEEVPPLLEGSGKMEVWCINGSAKTPLQKEDVGKFYSGDCYIVLYTYHSGERKEDYFLCCWIGKDSIEEDQKMAARLANTMCNSLKGRPIQGRVFEGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTAESVALIQISGTAVHNNKTLQVDAVATSLNSTDCFLLQSGSSIFTWHGNQSTYEQQQLAAKVAEFLKPGVVLKYAKEGTESNAFWSALGGKQSYTSKKASTETVRDPHLFTFSFNKGKFEVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQSVDTKEKQNVFEIGQKYIGLAASLDGLSPNVPLYKVSEGNEPCFFTTFFSWDSTRATVQGNSFQKKVALLFGASHAVEVKSNGNQGGPTQRASALAALSSAFNPSSKSTPSAQARSNGNNGGPTQRASALAALSSAFNPSSASKTSAPKPSSSGQGSQRAAAVAALSSVLTAEKKKQPHDGSPIKSTSSTPAVSSPLSEAQSEADPSEAEDSQLVAEAKEAGVASQTNGDDSEPKQKILQDENGSGSTQSAYSYEQLKAITGNAATGIDLKQREAYLSDNEFQTVFGMEKEAFYKLPKWKQDLKKKKVGLF >KJB16451 pep chromosome:Graimondii2_0_v6:2:58998471:59007653:1 gene:B456_002G230700 transcript:KJB16451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAKVLDPAFQGVGQKPGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTTPSKGGSYLYDIHFWIGKDTTQDEAGTAAIKTIELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGIATGFKKPEEEEFEKRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQFLKEKYHDGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVHNEDDLIPETYPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGAEVFVWVGRVTQVEDRKAASQAAEDFVSSQQRPKATRITRVIQGYETNSFKANFDSWPAGSTAPGGEEGRGKVAALLKQQGVGIKGMTKSAPVIEEVPPLLEGSGKMEVWCINGSAKTPLQKEDVGKFYSGDCYIVLYTYHSGERKEDYFLCCWIGKDSIEEDQKMAARLANTMCNSLKGRPIQGRVFEGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTAESVALIQISGTAVHNNKTLQVDAVATSLNSTDCFLLQSGSSIFTWHGNQSTYEQQQLAAKVAEFLKPGVVLKYAKEGTESNAFWSALGGKQSYTSKKASTETVRDPHLFTFSFNKGKFEVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQSVDTKEKQNVFEIGQKYIGLAASLDGLSPNVPLYKVSEGNEPCFFTTFFSWDSTRATVCVLD >KJB16455 pep chromosome:Graimondii2_0_v6:2:58998471:59012094:1 gene:B456_002G230700 transcript:KJB16455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAKVLDPAFQGVGQKPGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTTPSKGGSYLYDIHFWIGKDTTQDEAGTAAIKTIELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGIATGFKKPEEEEFEKRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQFLKEKYHDGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVHNEDDLIPETYPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGAEVFVWVGRVTQVEDRKAASQAAEDFVSSQQRPKATRITRVIQGYETNSFKANFDSWPAGSTAPGGEEGRGKVAALLKQQGVGIKGMTKSAPVIEEVPPLLEGSGKMEVWCINGSAKTPLQKEDVGKFYSGDCYIVLYTYHSGERKEDYFLCCWIGKDSIEEDQKMAARLANTMCNSLKGRPIQGRVFEGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTAESVALIQISGTAVHNNKTLQVDAVATSLNSTDCFLLQSGSSIFTWHGNQSTYEQQQLAAKVAEFLKPGVVLKYAKEGTESNAFWSALGGKQSYTSKKASTETVRDPHLFTFSFNKGKFEVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQSVDTKEKQNVFEIGQKYIGLAASLDGLSPNVPLYKVSEGNEPCFFTTFFSWDSTRATVQGNSFQKKVALLFGASHAVEVKSNGNQGGPTQRASALAALSSAFNPSSKSTPSAQARSNGNNGGPTQRASALAALSSAFNPSSASKTSAPKPSSSGQGSQRAAAVAALSSVLTAEKKKQPHDGSPIKSTSSTPAVSSPLSEAQSEADPSEAEDSQLVAEAKEAGVASQTNGDDSEPKQKILQDENGSGSTQSAYSYEQLKAITGNAATGIDLKQREAYLSDNEFQTVFGMEKEAFYKLPKWKQDLKKKKVGLF >KJB16453 pep chromosome:Graimondii2_0_v6:2:58998471:59011774:1 gene:B456_002G230700 transcript:KJB16453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAKVLDPAFQGVGQKPGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTTPSKGGSYLYDIHFWIGKDTTQDEAGTAAIKTIELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGIATGFKKPEEEEFEKRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQFLKEKYHDGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVHNEDDLIPETYPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGAEVFVWVGRVTQVEDRKAASQAAEDFVSSQQRPKATRITRVIQGYETNSFKANFDSWPAGSTAPGGEEGRGKVAALLKQQGVGIKGMTKSAPVIEEVPPLLEGSGKMEVWCINGSAKTPLQKEDVGKFYSGDCYIVLYTYHSGERKEDYFLCCWIGKDSIEEDQKMAARLANTMCNSLKGRPIQGRVFEGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTAESVALIQISGTAVHNNKTLQVDAVATSLNSTDCFLLQSGSSIFTWHGNQSTYEQQQLAAKVAEFLKPGVVLKYAKEGTESNAFWSALGGKQSYTSKKASTETVRDPHLFTFSFNKGKFEVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQSVDTKEKQNVFEIGQKYIGLAASLDGLSPNVPLYKVSEGNEPCFFTTFFSWDSTRATVQGNSFQKKVALLFGASHAVEVKSNGNQGGPTQRASALAALSSAFNPSSKSTPSAQARSNGNNGGPTQRASALAALSSAFNPSSASKTSAPKPSSSGQGSQRAAAVAALSSVLTAEKKKQPHDGSPIKSTSSTPAVSSPLCKNPIVL >KJB13131 pep chromosome:Graimondii2_0_v6:2:7564295:7568370:-1 gene:B456_002G064600 transcript:KJB13131 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 10 [Source:Projected from Arabidopsis thaliana (AT3G15050) UniProtKB/TrEMBL;Acc:Q9LKA0] MGSGNWLRSFICLKKHKPNRSKQAKTPSIQVHSSNEKSNGDERVEEHEGPEVAAAGSSQSSPGIAVEQRAAAKIQKAFRSYRARKAVRRLRDAGRFKNILIQGHTVKKQTTSTLSYLHSWCNVQSQIRARRICMVTEGRLKQKKMENQMKLEAKLHELEVEWCGGSETMEEILSRIQQREEAAVKRERAMAYAFSHQVTNFGFINHF >KJB13130 pep chromosome:Graimondii2_0_v6:2:7563770:7568674:-1 gene:B456_002G064600 transcript:KJB13130 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 10 [Source:Projected from Arabidopsis thaliana (AT3G15050) UniProtKB/TrEMBL;Acc:Q9LKA0] MGSGNWLRSFICLKKHKPNRSKQAKTPSIQVHSSNEKSNGDERVEEHEGPEVAAAGSSQSSPGIAVEQRAAAKIQKAFRSYRARKAVRRLRDAGRFKNILIQGHTVKKQTTSTLSYLHSWCNVQSQIRARRICMVTEGRLKQKKMENQMKLEAKLHELEVEWCGGSETMEEILSRIQQREEAAVKRERAMAYAFSHQWRANASQYLGQASYGAGKENWGWSWIERWIAARPWEVRIHSQPIHPKKTHGRQASKSEKEMKVLASVKPCLPNGKVAPKAKNGAPSDG >KJB13129 pep chromosome:Graimondii2_0_v6:2:7563697:7568721:-1 gene:B456_002G064600 transcript:KJB13129 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 10 [Source:Projected from Arabidopsis thaliana (AT3G15050) UniProtKB/TrEMBL;Acc:Q9LKA0] MGSGNWLRSFICLKKHKPNRSKQAKVHSSNEKSNGDERVEEHEGPEVAAAGSSQSSPGIAVEQRAAAKIQKAFRSYRARKAVRRLRDAGRFKNILIQGHTVKKQTTSTLSYLHSWCNVQSQIRARRICMVTEGRLKQKKMENQMKLEAKLHELEVEWCGGSETMEEILSRIQQREEAAVKRERAMAYAFSHQWRANASQYLGQASYGAGKENWGWSWIERWIAARPWEVRIHSQPIHPKKTHGRQASKSEKEMKVLASVKPCLPNGKVAPKAKNGAPSDG >KJB16307 pep chromosome:Graimondii2_0_v6:2:57739269:57742760:-1 gene:B456_002G222600 transcript:KJB16307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVCVWFALAAIVCSLSAISAEESSEAKEFVLTLDHSNFTDTVSKHDFIVVEFYAPWCGHCKHLAPEYEKAASILSKHDPPIFLAKVDADDEANKDLASQYDVKGYPTLQILRNGGKNVQEYKGPREADGIVEYLKKQSGPASVEIKLTEDASNLIDDKKIVIVGVFPKFSGEEFESYMALAEKLRSDYDFGHTLDAKHLPRGESSVVGPLVRLFKPFDELVVDFKDFKPEALEKFIEESSIPLVTLFNKDPSNHPFVAKFYNSPNAKAMLFADLSTEGFDSLQSKYREVAEQYKGKGISFLLGDVEASQAAFQYFGVEESQVPLIIIQSDDGKKYFKPNLKADDIAPWVKDFKEGKVAPYVKSEPIPKENNEPVKVVVADTLEDMVFKSGKNVLLEFYAPWCGHCKKLAPILDEVAVHYEKDADVLIAKLDATSNDILDENFDVRGYPTVYFRSANGNITPYEGDRTKEDIVDFIEKNRDKTVHQESLKDEL >KJB16309 pep chromosome:Graimondii2_0_v6:2:57739349:57742696:-1 gene:B456_002G222600 transcript:KJB16309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVCVWFALAAIVCSLSAISAEESSEAKEFVLTLDHSNFTDTVSKHDFIVVEFYAPWCGHCKHLAPEYEKAASILSKHDPPIFLAKVDADDEANKDLASQYDVKGYPTLQILRNGGKNVQEYKGPREADGIVEYLKKQSGPASVEIKLTEDASNLIDDKKIVIVGVFPKFSGEEFESYMALAEKLRSDYDFGHTLDAKHLPRGESSVVGPLVRLFKPFDELVVDFKDFKPEALEKFIEESSIPLVTLFNKDPSNHPFVAKFYNSPNAKAMLFADLSTEGFDSLQSKYREVAEQYKGKGISFLLGDVEASQAAFQYFGVEESQVPLIIIQSDDGKKYFKPNLKADDIAPWVKDFKEGKVAPYVKSEPIPKENNEPVKVVVADTLEDMVFKSGKNVLLEFYAPWCGHCKKLAPILDEVAVHYEKDADVLIAKLDATSNDILDENFDVRGYPTVYFRSANGNITPYEGDRTKEDIVDFIEKNRDKTVHQESLKDEL >KJB16308 pep chromosome:Graimondii2_0_v6:2:57740365:57742577:-1 gene:B456_002G222600 transcript:KJB16308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVCVWFALAAIVCSLSAISAEESSEAKEFVLTLDHSNFTDTVSKHDFIVVEFYAPWCGHCKHLAPEYEKAASILSKHDPPIFLAKVDADDEANKDLASQYDVKGYPTLQILRNGGKNVQEYKGPREADGIVEYLKKQSGPASVEIKLTEDASNLIDDKKIVIVGVFPKFSGEEFESYMALAEKLRSDYDFGHTLDAKHLPRGESSVVGPLVRLFKPFDELVVDFKDFKPEALEKFIEESSIPLVTLFNKDPSNHPFVAKFYNSPNAKAMLFADLSTEGFDSLQSKYREVAEQYKGKGISFLLGDVEASQAAFQYFGVEESQVPLIIIQSDDGKKYFKPNLKADDIAPWVKDFKVMNLVMVIKHNEIYTL >KJB12960 pep chromosome:Graimondii2_0_v6:2:3932310:3944157:-1 gene:B456_002G046600 transcript:KJB12960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAFFTGAASNAVGTLMGDYLVKPIDRRIRYLFRFHKLIKDLHQQQSYLKREQIRVEEDVKEAKLQIQTQVIEDYVDEWLTNVENALKDVQNLDSRVEGNNRCLHWCPNWCWRYQLGKEIEKKTVYISKLVEDSHFERIGYRAELPGLEFFTSKDILAAKSSTAAFNKIMEALKDDKVNMIGVWGMGGVGKTTLVKEVGKKTKDLGCFRKVIEVVVSQKSIIENIQDKIADFLDLEFKKKTKEGRAGELWLRLENEEKVLIILDDMWNEVHLKEIGIPLNENGKGCKIILTTRLMKVCDSMECQVIIPVDVLDSDEAWGLFRKKANLNERVSRDILEEAEKVAEECKGLPVAIVTLAAALKKTETRGGWKVARKKLESSRLVEIGNIGEEERNAYLCIKMSYENLKKETTKRCFLWCGLYPEDHSIEVEDLVRYAWCLELFGKADSIGEVRIQVLEAIDYLKDSCLLFEDGDGRRYVKLHDIIRDVALWIASEENSGFMIKSRLELLNKSSESCKAISLLDSEKKNLPDRLALSKLEILLLKNCDIQGICFLGMRELKVLSLISSTGVISLYALSSLQKLRALHLENFKDFSFLGNLRTLEILSLRHSELNGLADELGRLKDLKMLDLTNCALSSSFSPNVIRRFSQLEELYLSSRTNDIFLVIKSLTRLTRLNLWASSLHFPPDFEFPELEKYNICINYERTFFGRIFYAARSLHIDQEVFPYNAVSQLLGNLESLAVSGIKDEYVECLTNKTQQKVSVSMILRNLKQVTIKECSNLKVVFQMEEVEENGAPLLSNLKILRLDRLPHLSCIWQLPTQHVRLESLVYLTIRKCPRLKSLFSLSLAQSLVLLEELDILFCDELKQIVTELEGDEGEISSAINSHTSLCFPKLTKLEIYICDGLEYIFPTSLASHGLQGFTLRIHGCPKLKQVFRVANDSMLQYQQSWRSLSSFSMSGCPLLTDSVVHLEAGKAYIEGVRLSAFKESFKTLKQLTLLKIEDHNLVPEANEDGLNGVTSLHLENCEDLECLVDTTATATKNGPTSAFSHLEKLFIGAMPRLEALCKGQPPQGFLKNLKHLGIVDCCKLKSLFSPSLIQSLVLLEQLEIRCCDELKTLFADPEIDGEIESKTSSLPLRLPKLNTLYIRACAKLEYVVPITLAQGLPALEWLWVSECDALKQVFGMPNEQNEVHHHSSLLLPSLQDLELDWLRNLTSFVPKNYIVKAPSLKRMKAKGCSKVMNLPIQQANNQLELTLEETGLSVFKELLCNTNDLILYNIGDHKNLVPDLIDLEHLDGLTSLSINNWQGGECLVDISQAMMDFKYNDQSPKCFLQNLKSLRAVFCEDLSKIFRMDDGIESNAYYLSNLEILEIERCCSLEYVFPHASVGVFSYLRNIKLVGLRNLRSIVGGNNSLEAPILEILHIEECSVFTNFTFPKEVKKCGSLKELFFSMEDIDSEDVNLCDMVNTQLRQKSPDFEYITLGNFEQLFQLQSGNIISSLEKMELFNVIRLRDIWKGPIQVATNLREIRVYCCNNLTYIFPETLIPHLPQLSILDIASCENLKQIIGNDDILESSSSSQGPQLEMKMVFPQLKKIELENLSKLESFSPMGYHLEFPCLHSLDIKECSKMITSFSADYLTLTVHAKTDQASQLNDTSPSREDIIWKRRRPTSLPQYKEEAEEISPFQ >KJB13959 pep chromosome:Graimondii2_0_v6:2:14658749:14659387:1 gene:B456_002G1078001 transcript:KJB13959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRRLRTVNSRMKRLRVEMKEITAEQREIKEGQRQVREKFEAIELQCEELRKETMLMTQQSAKTQIRLALMFQILKARQNQELDKAAILTHALREVVAREEQELDASKK >KJB13958 pep chromosome:Graimondii2_0_v6:2:14658749:14659151:1 gene:B456_002G1078001 transcript:KJB13958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRRLRTVNSRMKRLRVEMKEITAEQREIKEGQRQVREKFEAIELQCEELRKETMLMTQQSAKTQIRLALMFQILKARQNQELDKAAILTHALREVVAREEQELDASKK >KJB13957 pep chromosome:Graimondii2_0_v6:2:14658746:14659387:1 gene:B456_002G1078001 transcript:KJB13957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QKRRLRTVNSRMKRLRVEMKEITAEQREIKEGQRQVREKFEAIELQCEELRKETMLMTQQSAKTQIRLALMFQILKARQNQELDKAAILTHALREVVAREEQELDASKK >KJB16478 pep chromosome:Graimondii2_0_v6:2:59121629:59123949:1 gene:B456_002G231700 transcript:KJB16478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLRRAIKLTNTIRTTTLLLRSSNTQTRNISHFLLSHNQLTPRSQNLTPFCSFDFHRDSRRGFAKGKKSKDDSGGSTMELAPDIGPSVKASAASQMDAAIVALSRELAKLRTGRASAGMLDHIIVETDGVKMQLNHLAVVSVIDSKTLSVNPYDPNTLKALEGAIISSPLGLNPKVDGQRLIAPIPPLTKEHMQAMCKVVAKSSEDVKQSIRRARQKALDTIKKAGSSFPKDEAKRFEKEIDELTKKFVKSADELCKAKEKEITQG >KJB16475 pep chromosome:Graimondii2_0_v6:2:59121675:59123913:1 gene:B456_002G231700 transcript:KJB16475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLRRAIKLTNTIRTTTLLLRSSNTQTRNISHFLLSHNQLTPRSQNLTPFCSFDFHRDSRRGFAKGKKSKDDSGGSTMELAPDIGPSVKASAASQMDAAIVALSRELAKLRTGRASAGMLDHIIVETDGVKMQLNHLAVVSVIDSKTLSVNPYDPNTLKALEGAIISSPLGLNPKVDGQRLIAPIPPLTKEHMQAMCKVVAKSSEDVKQSIRRARQKALDTIKKAGSSFPKDEAKRFEKEIDELTKKFVKSADELCKAKEKEITQG >KJB16476 pep chromosome:Graimondii2_0_v6:2:59121675:59123913:1 gene:B456_002G231700 transcript:KJB16476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAPDIGPSVKASAASQMDAAIVALSRELAKLRTGRASAGMLDHIIVETDGVKMQLNHLAVVSVIDSKTLSVNPYDPNTLKALEGAIISSPLGLNPKVDGQRLIAPIPPLTKEHMQAMCKVVAKSSEDVKQSIRRARQKALDTIKKAGSSFPKDEAKRFEKEIDELTKKFVKSADELCKAKEKEITQG >KJB16474 pep chromosome:Graimondii2_0_v6:2:59121675:59123916:1 gene:B456_002G231700 transcript:KJB16474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLRRAIKLTNTIRTTTLLLRSSNTQTRNISHFLLSHNQLTPRSQNLTPFCSFDFHRDSRRGFAKGKKSKDDSGGSTMELAPDIGPSVKASAASQMDAAIVALSRELAKLRTGRASAGMLDHIIVETDGVKMQLNHLAVVSVIDSKTLSVNPYDPNTLKALEGAIISSPLGLNPKVDGQRLIAPIPPLTKEHMQAMCKVVAKSSEDVKQSIRRARQKALDTIKKAGSSFPKDEAKRFEKEIDELTKKFVKSADELCKAKEKEITQG >KJB16477 pep chromosome:Graimondii2_0_v6:2:59121726:59123464:1 gene:B456_002G231700 transcript:KJB16477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLRRAIKLTNTIRTTTLLLRSSNTQTRNISHFLLSHNQLTPRSQNLTPFCSFDFHRDSRRGFAKGKKSKDDSGGSTMELAPDIGPSVKASAASQMDAAIVALSRELAKLRTGRASAGMLDHIIVETDGVKMQLNHLAVVSVIDSKTLSVNPYDPNTLKALEGAIISSPLGLNPKVDGQRLIAPIPPLTKEHMQAMCKVVAKSSEDVKQSIRRARQKALDTIKKAGSSFPKDEAKRFEKEVSLFHCG >KJB14688 pep chromosome:Graimondii2_0_v6:2:23229802:23247997:-1 gene:B456_002G137700 transcript:KJB14688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAGSRDQALSLLSAALNHSDLAVKLSSLKQAKDIISSLDSSSAAELFPYLSDLQRSPHSLVRGFLVEIIEDIGLKSIEHSTVLVPVLVTFLRDVDSNVVKQAIVSGINFFCSVLEELTLQFQQHGKVDQWLQELWMWMVRFKEGVFSIALQPGPVGIKLLALKFLEMHVLLFTSDNVNSEKYIEATRGSRRTFNISWLSGGHPILDPVAVTSDANRTLYILLDMLQSASSLPGSVTITIVNCLAAIARRRPLHYGTVLSALLDFNPNFDTVRECHKASIQYSLRTAFLGFLRCTNPAIVESRDRLLRALRGMNAGDAADQVIRQVEKMIKNSERASREIQSVRDDQASSQAYVLGDVTKKRSMPQDNEEPTHNFEMASKRIRSGPNSHSMPPTQINNSGQDFSSVNGGSPSFPLSDDNLTPVEQMIAMIGALLAEGERGAESLEILISKIHPDLLADIVITNMRHLPKSPPLTKVGTLPTIQQAVHVNSRAQVLPPPASTNSLHTPVSTPQLPFTSAATISSSISDTAAVNNFAADSKRDPRRDPRRLDPRRAAVSVGMSCSPVLEGTGAAMPDFDCSISNKPLSVPAVENPSLRPMSNIQSNDNTIEGPSITSVEQPAPEGDVVGGAEDIVHILEVKTSSNHAVSPYVVDVDSTEMKADAEIKHETEESSFPESDQNFQASITVSSLDETGRDLPVPPLYVELTEELERSVIKSAVQQIAESYLHLHWSDCNEMRMALLARLVGQIDADDDIVVMLGKQIVVDYRQQKGHDIVLQVLYHLYSLTVSDSVDNSSYSAVLYEKFLLAVSKSLLDTLPASDKSFSRLLGEVPFLPDSALKLLDDLCSSDVFDVTGKEVRDAERVTQGLGVVWSLILGRPNNRQACLGIALKCAVHSQDDIRGKAIRLVANKLYQLSYISREIEQFATNMLLSAVDQRTAGAESLKCGSIDERGDKETVAILQVGSGDTSTSGSLLSELRTSGIDSSNTESTSNSASVVSFPEAQRLISLFFALCTKKPSLLQLSFDIYGGAPKIVKQAFHRHIPIVIRALGQSDSQLLQIISDPPPGSENLLTLVLQILAQETTPSPDLVATVKHLYETKLKDATILIPMLSSLSKNEVLPIFPRLVDLPLEKFQLALAHILQGSAHTGPALTPAEVLVAIHDIVPDKGGPPLKKITDACSACFEQRTVFTQQVLAKALNQMVDQIPLPLLFMRTVIQAIDAFPTLVDFVMEILSKLVSKQIWRMPKLWVGFLKCVVQTQPHSFPVLLQLPPPQLESTLNKYGSLRSSLAAYASQPTIKGSLPRVTLAVLGLANESHMQQQQPHMSNLHSSDTSSVQGATST >KJB14687 pep chromosome:Graimondii2_0_v6:2:23229340:23248155:-1 gene:B456_002G137700 transcript:KJB14687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAGSRDQALSLLSAALNHSDLAVKLSSLKQAKDIISSLDSSSAAELFPYLSDLQRSPHSLVRGFLVEIIEDIGLKSIEHSTVLVPVLVTFLRDVDSNVVKQAIVSGINFFCSVLEELTLQFQQHGKVDQWLQELWMWMVRFKEGVFSIALQPGPVGIKLLALKFLEMHVLLFTSDNVNSEKYIEATRGSRRTFNISWLSGGHPILDPVAVTSDANRTLYILLDMLQSASSLPGSVTITIVNCLAAIARRRPLHYGTVLSALLDFNPNFDTVRECHKASIQYSLRTAFLGFLRCTNPAIVESRDRLLRALRGMNAGDAADQVIRQVEKMIKNSERASREIQSVRDDQASSQAYVLGDVTKKRSMPQDNEEPTHNFEMASKRIRSGPNSHSMPPTQINNSGQDFSSVNGGSPSFPLSDDNLTPVEQMIAMIGALLAEGERGAESLEILISKIHPDLLADIVITNMRHLPKSPPLTKVGTLPTIQQAVHVNSRAQVLPPPASTNSLHTPVSTPQLPFTSAATISSSISDTAAVNNFAADSKRDPRRDPRRLDPRRAAVSVGMSCSPVLEGTGAAMPDFDCSISNKPLSVPAVENPSLRPMSNIQSNDNTIEGPSITSVEQPAPEGDVVGGAEDIVHILEVKTSSNHAVSPYVVDVDSTEMKADAEIKHETEESSFPESDQNFQASITVSSLDETGRDLPVPPLYVELTEELERSVIKSAVQQIAESYLHLHWSDCNEMRMALLARLVGQIDADDDIVVMLGKQIVVDYRQQKGHDIVLQVLYHLYSLTVSDSVDNSSYSAVLYEKFLLAVSKSLLDTLPASDKSFSRLLGEVPFLPDSALKLLDDLCSSDVFDVTGKEVRDAERVTQGLGVVWSLILGRPNNRQACLGIALKCAVHSQDDIRGKAIRLVANKLYQLSYISREIEQFATNMLLSAVDQRTAGAESLKCGSIDERGDKEVGSGDTSTSGSLLSELRTSGIDSSNTESTSNSASVVSFPEAQRLISLFFALCTKKPSLLQLSFDIYGGAPKIVKQAFHRHIPIVIRALGQSDSQLLQIISDPPPGSENLLTLVLQILAQETTPSPDLVATVKHLYETKLKDATILIPMLSSLSKNEVLPIFPRLVDLPLEKFQLALAHILQGSAHTGPALTPAEVLVAIHDIVPDKGGPPLKKITDACSACFEQRTVFTQQVLAKALNQMVDQIPLPLLFMRTVIQAIDAFPTLVDFVMEILSKLVSKQIWRMPKLWVGFLKCVVQTQPHSFPVLLQLPPPQLESTLNKYGSLRSSLAAYASQPTIKGSLPRVTLAVLGLANESHMQQQQPHMSNLHSSDTSSVQGATST >KJB14423 pep chromosome:Graimondii2_0_v6:2:18305162:18311234:1 gene:B456_002G124400 transcript:KJB14423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVVEEKIKQGGLVNVGAQSTLLEEMKLLKEMQDQSGTRKAINSELWHACAGPLVSLPQVGSLVYYFPQGHSEQVAVSTKRMATSQIPNYPNLPSQLMCQVHNVTLHADRDTDEIYAQMSLQPVNSEKDVFPIPDFGLKLSKHPNEFFCKTLTASDTSTHGGFSVPRRAAEKLFPSLDYSMQPPTQELVVRDLHDNTWTFRHIYRGQPKRHLLTTGWSLFVGSKRLRAGDSVLFIRDEKSQLLVGVRRANRQQTTLPSSVLSADSMHIGVLAAAAHAAANRSPFTIFYNPRACPSEFVIPLPRYRKSVYGSQVSVGMRFGMMFETEESGKRRYMGTIVGISDLDPLRWPGSKWRNLQVEWDEPGCNDKQNRVSAWEIETPESLFIFPSLTSSLKRPLYPGFSGAESEWGSLMKRPLLQFPENGNGNLPYSMSNLCSEQLMKMMLKPQLVNHPGIFASPLHQIADVKVPPLEEMKNLQSKSHTKPQVIQSENMLIENRNLSHPVPDQPDPITSNMSKINANGNPHPANILTQAGTGSSNEKLKLESKHSAEQLTSTSECNEEKLVASTVNTTMSNQLSFPTQPHIPLQVQNNPWSIQSQLDSSVLQAHQMLVSQADISTLNSFLPFSDTDEWTSNLSSCQPLSGAYKSPGPIPMVGLQDSSAVFPVETDDSLTTVGEEIWDQKLNNCRVSSQADQLASFTQQDPCSLNSGGVRDLSDDSNNQSGIYSSCLNIDVSNGCSTVIDPFVSSAILDEFCSLKDADFQNPSDCLVGNFSSCQDVQSQITSASLADSQAFSRQDLPDSSGGNIDFDDSGLLQNNSWKQTGPRVRTYTKVQKAGSVGRSIDVTSFKNYDELISAIECMFGLKGLLDDPRGSGWKLVYVDYENDVLLVGDDPWEEFVGCVRCIRILSPTEVQQMSEEGMKLLNSAATVQGINGSNSEGSNANA >KJB15419 pep chromosome:Graimondii2_0_v6:2:45625662:45628866:1 gene:B456_002G177300 transcript:KJB15419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTSLIPLRGHGRKASFSSSPAKTALKKALRFSFSSRSSVVICVKSSSGAVKYNEVVVDEEMDKIRRLQNGSDVRGVALEGEKGRTVDLTPPAVEAIAESFGEWIIKALQKERGRPVEDVTVSLGKDPRISGASLSVAVFAGLARAGCLTFDLGLATTPACFMSTLLPPFAYDASIMMTASHLPYTRNGLKFFTKKGGLTSPEVEEICDKAAHKYANRLTKVSTMLNSPPKKVDFMRAYAKHLRDIIKERVNHPIHYDTPLKGFQIIVNAGNGSGGFFTWDVLDQLGADTFGSLHLNPDGMFPNHIPNPEDKTAMALTRAAVLENTADLGIVFDTDVDRSGVVDNNGNPINGDKLIALMSAVVLKEHPGTTIVTDARTSMELTRFITDRGGHHCLYRVGYRNVIDKGVHLNQDGVETHLMMETSGHGALKENYFLDDGAYMVVKIIIEMVRMRLEGSDEGIGSLIKDLEEPLESIELRMNIISEPKYAKARGREVIEAFRSYIEEGQLKGWELDACGDCWVSEGCLVDSNDSPAAIDAHMYRAKVSNEKNEEIGWVHIRQSIHNPNIAINMQSSVPGGCQLMTKVFRDK >KJB15421 pep chromosome:Graimondii2_0_v6:2:45625662:45629209:1 gene:B456_002G177300 transcript:KJB15421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASHLPYTRNGLKFFTKKGGLTSPEVEEICDKAAHKYANRLTKVSTMLNSPPKKVDFMRAYAKHLRDIIKERVNHPIHYDTPLKGFQIIVNAGNGSGGFFTWDVLDQLGADTFGSLHLNPDGMFPNHIPNPEDKTAMALTRAAVLENTADLGIVFDTDVDRSGVVDNNGNPINGDKLIALMSAVVLKEHPGTTIVTDARTSMELTRFITDRGGHHCLYRVGYRNVIDKGVHLNQDGVETHLMMETSGHGALKENYFLDDGAYMVVKIIIEMVRMRLEGSDEGIGSLIKDLEEPLESIELRMNIISEPKYAKARGREVIEAFRSYIEEGQLKGWELDACGDCWVSEGCLVDSNDSPAAIDAHMYRAKVSNEKNEEIGWVHIRQSIHNPNIAINMQSSVPGGCQLMTKVFRDKFLLASGMGKTLDFSQVDKYARLGKMG >KJB15418 pep chromosome:Graimondii2_0_v6:2:45625635:45629265:1 gene:B456_002G177300 transcript:KJB15418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTSLIPLRGHGRKASFSSSPAKTALKKALRFSFSSRSSVVICVKSSSGAVKYNEVVVDEEMDKIRRLQNGSDVRGVALEGEKGRTVDLTPPAVEAIAESFGEWIIKALQKERGRPVEDVTVSLGKDPRISGASLSVAVFAGLARAGCLTFDLGLATTPACFMSTLLPPFAYDASIMMTASHLPYTRNGLKFFTKKGGLTSPEVEEICDKAAHKYANRLTKVSTMLNSPPKKVDFMRAYAKHLRDIIKERVNHPIHYDTPLKGFQIIVNAGNGSGGFFTWDVLDQLGADTFGSLHLNPDGMFPNHIPNPEDKTAMALTRAAVLENTADLGIVFDTDVDRSGVVDNNGNPINGDKLIALMSAVVLKEHPGTTIVTDARTSMELTRFITDRGGHHCLYRVGYRNVIDKGVHLNQDGVETHLMMETSGHGALKENYFLDDGAYMVVKIIIEMVRMRLEGSDEGIGSLIKDLEEPLESIELRMNIISEPKYAKARGREVIEAFRSYIEEGQLKGWELDACGDCWVSEGCLVDSNDSPAAIDAHMYRAKVSNEKNEEIGWVHIRQSIHNPNIAINMQSSVPGGCQLMTKVFRDKFLLASGMGKTLDFSQVDKYARLGKMG >KJB15420 pep chromosome:Graimondii2_0_v6:2:45625662:45629209:1 gene:B456_002G177300 transcript:KJB15420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASHLPYTRNGLKFFTKKGGLTSPEVEEICDKAAHKYANRLTKVSTMLNSPPKKVDFMRAYAKHLRDIIKERVNHPIHYDTPLKGFQIIVNAGNGSGGFFTWDVLDQLGADTFGSLHLNPDGMFPNHIPNPEDKTAMALTRAAVLENTADLGIVFDTDVDRSGVVDNNGNPINGDKLIALMSAVVLKEHPGTTIVTDARTSMELTRFITDRGGHHCLYRVGYRNVIDKGVHLNQDGVETHLMMETSGHGALKENYFLDDGAYMVVKIIIEMVRMRLEGSDEGIGSLIKDLEEPLESIELRMNIISEPKYAKARGREVIEAFRSYIEEGQLKGWELDACGDCWVSEGCLVDSNDSPAAIDAHMYRAKVSNEKNEEIGWVHIRQSIHNPNIAINMQSSVPGGCQLMTKVFRDKFLLASGMGKTLDFSQVDKYARLGKMG >KJB15907 pep chromosome:Graimondii2_0_v6:2:54441032:54441484:-1 gene:B456_002G203000 transcript:KJB15907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSKKLMRMTRKWQKMASIGRKRIASTRPTRKMAAANHSNKSSIVDKGCFSIYTMDKKRFVIPLAFLSNSVFRELFNMSEEEFGLPSDGPITLPCDSVVMNYIVSIVKRGLAKDLEKAVLNFITTYSCSLDTYADQGHADQQSVLVCGF >KJB14740 pep chromosome:Graimondii2_0_v6:2:24698835:24712020:-1 gene:B456_002G141200 transcript:KJB14740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFEVLGRFNRARAAQLTLPHFVCQTPLFMPVGTQGTIKGLTTDQLEEIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKRPHDQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTATLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHRAMAEDTRPIDPTCACMVCKNYTRAYIHSLVTKDAMGSQLLSFHNLYYMMQLSKNLHSSIVQGKFPEFVCNFLQKMFPKGDIPEWVCNAMEVAEIDISSCCAPFRYIQATKVQSNLNEDEIQAV >KJB14741 pep chromosome:Graimondii2_0_v6:2:24698913:24711918:-1 gene:B456_002G141200 transcript:KJB14741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWPRALLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKRPHDQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTATLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHRAMAEDTRPIDPTCACMVCKNYTRAYIHSLVTKDAMGSQLLSFHNLYYMMQLSKNLHSSIVQGKFPEFVCNFLQKMFPKGDIPEWVCNAMEVAEIDISSCCAPFRYIQATKVQSNLNEDEIQAV >KJB15101 pep chromosome:Graimondii2_0_v6:2:35836979:35840473:-1 gene:B456_002G160300 transcript:KJB15101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGFVLYLLSGFSIAVLSVLFIQKSNNDDMNQSSNLLESPYNLSTTEKVWPALELNWRLVMATVIGFLGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAAISKSSSVWYNLRVPHPTKEVPIIDYDLALLFQPMLMLGITVGVALSVVFPYWLITVLIIILFLGTSSRSFYRGIEMWKEETILNKELTKPQESFVHSRGELLIDTEYEPLVPKEEKSKLQILCFNLRWKRLLVLATVWVLFTVIQVIKNDVVPCTTLYWVLFCLQFPIATLVFGYEATKLYKEHKKRMSTGNAETVCGASIQWSPLNIAFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPMPYALYLMGVSILAGFWGQYFVRKLITILRRASLIVFILSGVIFASALTMGVIGIERSIRMIHNHEFMGFLDFCSSQ >KJB15099 pep chromosome:Graimondii2_0_v6:2:35836979:35840372:-1 gene:B456_002G160300 transcript:KJB15099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGFVLYLLSGFSIAVLSVLFIQKSNNDDMNQSSNLLESPYNLSTTEKVWPALELNWRLVMATVIGFLGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAAISKCMIMGASASSVWYNLRVPHPTKEVPIIDYDLALLFQPMLMLGITVGVALSVVFPYWLITVLIIILFLVLIDTEYEPLVPKEEKSKLQILCFNLRWKRLLVLATVWVLFTVIQVIKNDVVPCTTLYWVLFCLQFPIATLVFGYEATKLYKEHKKRMSTGNAETVCGASIQWSPLNIAFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPMPYALYLMGVSILAGFWGQYFVRKLITILRRASLIVFILSGVIFASALTMGVIGIERSIRMIHNHEFMGFLDFCSSQ >KJB15102 pep chromosome:Graimondii2_0_v6:2:35837318:35839600:-1 gene:B456_002G160300 transcript:KJB15102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGASASSVWYNLRVPHPTKEVPIIDYDLALLFQPMLMLGITVGVALSVVFPYWLITVLIIILFLGTSSRSFYRGIEMWKEETILNKELTKPQESFVHSRGELLIDTEYEPLVPKEEKSKLQILCFNLRWKRLLVLATVWVLFTVIQVIKNDVVPCTTLYWVLFCLQFPIATLVFGYEATKLYKEHKKRMSTGNAETVCGASIQWSPLNIAFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPMPYALYLMGVSILAGFWGQYFVRKLITILRRASLIVFILSGVIFASALTMGVIGIERSIRMIHNHEFMGFLDFCSSQ >KJB15098 pep chromosome:Graimondii2_0_v6:2:35836971:35840437:-1 gene:B456_002G160300 transcript:KJB15098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGFVLYLLSGFSIAVLSVLFIQKSNNDDMNQSSNLLESPYNLSTTEKVWPALELNWRLVMATVIGFLGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAAISKCMIMGASASSVWYNLRVPHPTKEVPIIDYDLALLFQPMLMLGITVGVALSVVFPYWLITVLIIILFLGTSSRSFYRGIEMWKEETILNKELTKPQESFVHSRGELLIDTEYEPLVPKEEKSKLQILCFNLRWKRLLVLATVWVLFTVIQVIKNDVVPCTTLYWVLFCLQFPIATLVFGYEATKLYKEHKKRMSTGNAETVCGASIQWSPLNIAFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPMPYALYLMGVSILAGFWGQYFVRKLITILRRASLIVFILSGVIFASALTMGVIGIERSIRMIHNHEFMGFLDFCSSQ >KJB15100 pep chromosome:Graimondii2_0_v6:2:35836979:35840372:-1 gene:B456_002G160300 transcript:KJB15100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGFVLYLLSGFSIAVLSVLFIQKSNNDDMNQSSNLLESPYNLSTTEKVWPALELNWRLVMATVIGFLGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAAISKCMIMGASASSVWYNLRVPHPTKEVPIIDYDLALLFQPMLMLGITVGVALSVVFPYWLITVLIIILFLGTSSRSFYRGIEMWKEETILNKELTKPQESFVHSRGELLIDTEYEPLVPKEEKSKLQILCFNLRWKRLLVLATVWVLFTVIQVIKFPIATLVFGYEATKLYKEHKKRMSTGNAETVCGASIQWSPLNIAFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPMPYALYLMGVSILAGFWGQYFVRKLITILRRASLIVFILSGVIFASALTMGVIGIERSIRMIHNHEFMGFLDFCSSQ >KJB14252 pep chromosome:Graimondii2_0_v6:2:16485635:16493570:1 gene:B456_002G116000 transcript:KJB14252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPNASGDLSTEVEVDAFRRLFPLRFYEKHLLESIRPDARPLGRARETTIALALAKIGSTTMLAAIKMEVMTPSLETPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVVAKQLSDTILSSGMINLKELSLVSGKAAWMAYLDIYCLDADGALFDTALLSAVAAFSHLEIPVVSLNDDGKIVLSEEQGLSDREPVNKEKRKINLSSVPFSLTCVLHKNYILADPTAEEESIMDTIVTVVLDSSSQLVSLQKPGGTVLAYTSAIQDCIALTRQRVKELQKILEEAISGMEVD >KJB14255 pep chromosome:Graimondii2_0_v6:2:16485659:16493570:1 gene:B456_002G116000 transcript:KJB14255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAIKMEVMTPSLETPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVVAKQLSDTILSSGMINLKELSLVSGKAAWMAYLDIYCLDADGALFDTALLSAVAAFSHLEIPVVSLNDDGKIVLSEEQGLSDREPVNKEKRKINLSSVPFSLTCVLHKNYILADPTAEEESIMDTIVTVVLDSSSQLVSLQKPGGTVLAYTSAIQDCIALTRQRVKELQKILEEAISGMEVD >KJB14253 pep chromosome:Graimondii2_0_v6:2:16485646:16493570:1 gene:B456_002G116000 transcript:KJB14253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPNASGDLSTEVEVDAFRRLFPLRFYEKHLLESIRPDARPLGRARETTIALGAVASANGSALAKIGSTTMLAAIKMEVMTPSLETPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVVAKQLSDTILSSGMINLKELSLVSGKAAWMAYLDIYCLDADGALFDTALLSAVAAFSHLEIPVVSLNDDGKIVLSEEQGLSDREPVNKEKRKINLSSVPFSLTCVLHKNYILADPTAEEESIMDTIVTVVLDSSSQLVSLQKPGGTVLAYTSAIQDCIALTRQRVKELQKILEEAISGMEVD >KJB14251 pep chromosome:Graimondii2_0_v6:2:16485571:16493570:1 gene:B456_002G116000 transcript:KJB14251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPNASGDLSTEVEVDAFRRLFPLRFYEKHLLESIRPDARPLGRARETTIALGAVASANGSALAKIGSTTMLAAIKMEVMTPSLETPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVVAKQLSDTILSSGMINLKELSLVSGKAAWMAYLDIYCLDADGALFDTALLSAVAAFSHLEIPVVSLNDDGKIVLSEEQGLSDREPVNKEKRKINLSSVPFSLTCVLHKNYILADPTAEEESIMDTIVTVVLDSSSQLVSLQKPGGTVLAYTSAIQDCIALTRQRVKELQKILEEAISGMEVD >KJB14254 pep chromosome:Graimondii2_0_v6:2:16485656:16493570:1 gene:B456_002G116000 transcript:KJB14254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPNASGDLSTEVEVDAFRRLFPLRFYEKHLLESIRPDARPLGRARETTIALGAVASANGSALAKIGSTTMLAAIKMEVMTPSLETPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVVAKQLSDTILSSGMINLKELSLVSGKAAWMAYLDIYCLDADGALFDTALLSAVAAFSHLEIPVVSLNDDGKIVLSEEQGLSDREPVNKEKRKINLSSVPFSLTCVLHKNYILADPTAEEESIMDTIVTVVLDSSSQLVSLQKPGGTVLAYTSAIQDCIALTRQRVKELQKILEEAISGMEVD >KJB14257 pep chromosome:Graimondii2_0_v6:2:16488912:16493570:1 gene:B456_002G116000 transcript:KJB14257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPNASGDLSTEVEVDAFRRLFPLRFYEKHLLESIRPDARPLGRARETTIALGAVASANGSALAKIGSTTMLAAIKMEVMTPSLETPDEGCIGLLPLAARFPVSLSLLKTPGRPAEAAPVVAKQLSDTILSSGMINLKELSLVSGKAAWMAYLDIYCLDADGALFDTALLSAVAAFSHLEIPVVSLNDDGKIVLSEEQGLSDREPVNKEKRKINLSSVPFSLTCVLHKNYILADPTAEEESIMDTIVTVVLDSSSQLVSLQKPGGTVLAYTSAIQDCIALTRQRVKELQKILEEAISGMEVD >KJB14256 pep chromosome:Graimondii2_0_v6:2:16486670:16492015:1 gene:B456_002G116000 transcript:KJB14256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPNASGDLSTEVEVDAFRRLFPLRFYEKHLLESIRPDARPLGRARETTIALGAVASANGSALAKIGSTTMLAAIKMEVMTPSLETPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVVAKQLSDTILSSGMINLKELSLVSGKAAWMAYLDIYCLDADGALFDTALLSAVAAFSHLEIPVVSLNDDGKIVLSEEQGLSDREPVNKEKRKINLSSVPFSLTCVLHKNYILADPTAEEESIMDTIVTVVLDSSSQLVSLQKPGGTVLAYTSAIQVS >KJB15076 pep chromosome:Graimondii2_0_v6:2:34685253:34686815:1 gene:B456_002G159000 transcript:KJB15076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHLKGVKKSTLTDEMRKALCEYKNEHSSSNKKDLQQWVQQTFDLSVIQSTISNTLKRSSEYLSKEINNSNSKYPELEKVLYEWFLQYQEKVNKIGEMIQTKAKEFLKKMYSDSNFKLNFSIVWLEWFKARHEIKSYRRFDALPQIRAKLKNFDWKDIYNMDEIDLFYHFILEGYKKGEINLEKINVLDTIHFINDAWNIDVKPTTITNCFRHCKIQSEEDIPFEQEIGDVEGIHKLKEVISDLHYRNVMDVEQILNYTSENESLMESPTNEEIIHGVMDVSANDEQDLNDSNVLPHVSPKEAFLAVDTLKNYLIQYEKNIPDLVYALLKVKDEIVFNSRAKKKQLTIDIYFSKE >KJB15304 pep chromosome:Graimondii2_0_v6:2:42588513:42592243:-1 gene:B456_002G169800 transcript:KJB15304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDEERSLLEAELDQAESNGLYTGDGSVDFNGNPVLKQSTGNWRACPFILGNECCERLAYYGIAANLVSYLTNKLHEGNVSAARNVTTWQGTCYLTPLIGAVLADAYWGRYWTIAAFSTIYFFGMCTLTLSASIPALKPAECVGSICPSATPAQYAVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPNERVKKGSFFNWFYFSINIGALVSSSLLVWIQDNAGWGIGFGIPALFMGLAIGSFFSGTALYRFQRPGGSPLTRMCQVLVAAFHKRSLKVPEDSTLLYETGDKHSAIEGSRKLEHSEELKCLDKAAIVTDVETKSGGFSNPWRLCTVTQVEELKILIRMFPIWATGIVFSAVYAQMSTMFVEQGMMMDTKIGSFTIPPASLSTFDVISVIFWVPIYDRIIVPIARKFTRKERGFSELQRMGIGLFISVLCMSAAAVVEIRRLQLAKELDLVDKQVAVPISILWQIPQYFLLGAAEVCTFIGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSFILTLVTYFTTKGGQIGWISDNLNEGHLDYFFWLLAGLSFLNMLVYTLCASRYKQKKAS >KJB15302 pep chromosome:Graimondii2_0_v6:2:42588513:42592426:-1 gene:B456_002G169800 transcript:KJB15302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDEERSLLEAELDQAESNGLYTGDGSVDFNGNPVLKQSTGNWRACPFILGNECCERLAYYGIAANLVSYLTNKLHEGNVSAARNVTTWQGTCYLTPLIGAVLADAYWGRYWTIAAFSTIYFFGMCTLTLSASIPALKPAECVGSICPSATPAQYAVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPNERVKKGSFFNWFYFSINIGALVSSSLLVWIQDNAGWGIGFGIPALFMGLAIGSFFSGTALYRFQRPGGSPLTRMCQVLVAAFHKRSLKVPEDSTLLYETGDKHSAIEGSRKLEHSEELKCLDKAAIVTDVETKSGGFSNPWRLCTVTQVEELKILIRMFPIWATGIVFSAVYAQMSTMFVEQGMMMDTKIGSFTIPPASLSTFDVISVIFWVPIYDRIIVPIARKFTRKERGFSELQRMGIGLFISVLCMSAAAVVEIRRLQLAKELDLVDKQVAVPISILWQIPQYFLLGAAEVCTFIGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSFILTLVTYFTTKGGQIGWISDNLNEGHLDYFFWLLAGLSFLNMLVYTLCASRYKQKKAS >KJB15303 pep chromosome:Graimondii2_0_v6:2:42588720:42592151:-1 gene:B456_002G169800 transcript:KJB15303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDEERSLLEAELDQAESNGLYTGDGSVDFNGNPVLKQSTGNWRACPFILGNECCERLAYYGIAANLVSYLTNKLHEGNVSAARNVTTWQGTCYLTPLIGAVLADAYWGRYWTIAAFSTIYFFGMCTLTLSASIPALKPAECVGSICPSATPAQYAVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPNERVKKGSFFNWFYFSINIGALVSSSLLVWIQDNAGWGIGFGIPALFMGLAIGSFFSGTALYRFQRPGGSPLTRMCQVLVAAFHKRSLKVPEDSTLLYETGDKHSAIEGSRKLEHSEELKCLDKAAIVTDVETKSGGFSNPWRLCTVTQVEELKILIRMFPIWATGIVFSAVYAQMSTMFVEQGMMMDTKIGSFTIPPASLSTFDVISVIFWVPIYDRIIVPIARKFTRKERGFSELQRMGIGLFISVLCMSAAAVVEIRRLQLAKELDLVDKQVAVPISILWQIPQYFLLGAAEVCTFIGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSFILTLVTYFTTKGGQIGWISDNLNEGHLDYFFWLLAGLSFLNMLVYTLCASRYKQKKAS >KJB12849 pep chromosome:Graimondii2_0_v6:2:3352314:3353104:1 gene:B456_002G040700 transcript:KJB12849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANILTENPGLINGSNGVEEIEIPEIDAGLLMSLLEESQCEEYCNEEQVNSLMESLEAEIRMVNAGSCSIEGDIGSNDYLEWSEMEMVPSSPSDGMNWYVEDHVEDMSMDGYFVQFGNDFPLNCYEIQPENGFTSQWQETYDTVIYN >KJB12306 pep chromosome:Graimondii2_0_v6:2:811182:813851:-1 gene:B456_002G012500 transcript:KJB12306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSPREENVYKAKLAEQAERYEEMVKFMETVVSSVVAPDELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNADHVSIIREYRAKIEAELSEICAGILKLLEEKLVPAAGNGDSKVFYLKMKGDYHRYLAEFKTGDDRKAAAENTLSAYKSAQDIAVSELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQEDGTDEIKEASKPEDEKQQ >KJB12307 pep chromosome:Graimondii2_0_v6:2:811433:813807:-1 gene:B456_002G012500 transcript:KJB12307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSPREENVYKAKLAEQAERYEEMVKFMETVVSSVVAPDELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNADHVSIIREYRAKIEAELSEICAGILKLLEEKLVPAAGNGDSKVFYLKMKGDYHRYLAEFKTGDDRKAAAENTLSAYKSAQDIAVSELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQVG >KJB16539 pep chromosome:Graimondii2_0_v6:2:59533727:59536883:-1 gene:B456_002G234900 transcript:KJB16539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFHGNPEIQAPDGLQTLVLMNPAYVQYSDTTPPPPPNNLVFHAPPPPHTQQFVGVPLTATSTANQDPPSHEISPLHGLVQRVHYNLYNPIDPSGAARETPRAQQGLSLSLSSQQQQHHHGYGSHAQAVSGEDMRVSGGSGSSGSGVTNGVSGVQSMLFSSKFLKAAQELLDEVVNVNNTGITRNELAKKGSGGGDNSNIGKAVGESAAAAGDADGKQGPELTTAERQEIQMKKAKLISMLDEVDQRYRQYHHQMQIVISSFEQAAGIGSAKTYTSLALKTISKQFRCLKDAITGQIRAANKSLGEEDCLGGSKIEGSRLKLVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKVMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQEQNGSEEKTSKSHNNEDSTSKSTAPDKTSTDNKQDSNIPNQNGCSSMSASMASASPLIGNQSGFSFIGSSELEGITQGSPKKPRTNNEVTMKFDKDGYTFMGGTTNNDFMGGFGQYPIGEITRFDTEQFTPRFSGNGVSLTLGLPHCENLSLSGTPHQTFLPNQAMQMGRRVDIGEPNEFGSINPSTPHSSAAYDNINIQNRKRFAAQLLPDFVA >KJB16540 pep chromosome:Graimondii2_0_v6:2:59533703:59537032:-1 gene:B456_002G234900 transcript:KJB16540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFHGNPEIQAPDGLQTLVLMNPAYVQYSDTTPPPPPNNLVFHAPPPPHTQQFVGVPLTATSTANQDPPSHEISPLHGLVQRVHYNLYNPIDPSGAARETPRAQQGLSLSLSSQQQQHHHGYGSHAQAVSGEDMRVSGGSGSSGSGVTNGVSGVQSMLFSSKFLKAAQELLDEVVNVNNTGITRNELAKKGSGGGDNSNIGKAVGESAAAAGDADGKQGPELTTAERQEIQMKKAKLISMLDEVDQRYRQYHHQMQIVISSFEQAAGIGSAKTYTSLALKTISKQFRCLKDAITGQIRAANKSLGEEDCLGGSKIEGSRLKLVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKVMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQEQNGSEEKTSKSHNNEDSTSKSTAPDKTSTDNKQDSNIPNQNGCSSMSASMASASPLIGNQSGFSFIGSSELEGITQGSPKKPRTNNEVTMKFDKDGYTFMGGTTNNDFMGGFGQYPIGEITRFDTEQFTPRFSGNGVSLTLGLPHCENLSLSGTPHQTFLPNQAMQMGRRVDIGEPNEFGSINPSTPHSSAAYDNINIQNRKRFAAQLLPDFVA >KJB12355 pep chromosome:Graimondii2_0_v6:2:937597:941526:-1 gene:B456_002G014500 transcript:KJB12355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAPSTLISHNRAVNCLAFSLPKLGNLAVNHQQLHSRVNFHSFSDPPYVHQCRNGGKFQPSCLAKTVVSDVGVHNSDFTTDAAADDTWSEFAKNVSGEWDGFGADFTIEGKPIELPESVVPEAYREWEVKVYDWQTQCPTLAEPVENTMTYKTIKLLPTVGCEADAATRYSIEEKNIGGVDNEVSAFAYHSSGCYTAIWSVADKNLLELEHCLINPRDRESRVRIIQVVRVDGTKFVLQNVRVFCEQWYGPFRNGDQLGGCAIRDSAFASTAATNASDVGGVWKGSNAAASFDSSGDNFLEELKANGVMKSIRDGSNLILLPKQLWCSLMDSGGETCIEVGWLYDQGYAITSRCCFSREGKLKEVSIARETTVLEGV >KJB15830 pep chromosome:Graimondii2_0_v6:2:53727035:53728711:1 gene:B456_002G198500 transcript:KJB15830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMLVRDHAMHEFLLVAMGDITRSALDVGHSGWDNVGVRDIFSKVVAAAPCLLFFDEFDSIAPKRGHDNTGVTNRVVKQFLTEFDGVEVLTGVFVFAVTSIYDEGFSGVDLQAILSDAQLVAIHEHLSSPNSNEPGKMPVITDTVLKSIASKARPSVSEAKK >KJB12202 pep chromosome:Graimondii2_0_v6:2:359980:361494:-1 gene:B456_002G005700 transcript:KJB12202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS9 MRNSSKNGVQVLQPRRLPDFLRSVKLKYVKLGYHYLASHLLKLCLVPVMAAVIIKASRLSLDDIHQMWLQLEYNLVRVVVFSAIFVFGSTVYVMTRKRFIYLVDYACYLPPQHLKADHRYFMEYAKEAADFDEPTMEFLRKIMERSGLGDETGAPPSMHCFPPRPSMAAARQEAELVMFGALDTLFASTNVKPRDIGILVVNCSLFNPTPSLTAMIINKYKMRGNIKSFNLSGMGCSAGVIAIDLAKDMLQVHRNNYAVVFSTENMTQNWYSGIEKSMLISNCLFRLGGSAVLLSNKSIDRGRSKYKLVHVVRTHCGANDKAFKCVYQEEDNVGKIGVSLSKDLMAIAGNALKTNITTLGPLVLPISEQILFFVTLVAKKLFNAKIKPYVPDFKLAFEHFCIHAGGRGVIDELEKNMQLSPLHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRMRKGDRIWQVAFGSGFKCNSAVWLALKNVKPSCGNPWEDCIHKYPVKLNL >KJB16635 pep chromosome:Graimondii2_0_v6:2:60385568:60386567:-1 gene:B456_002G240900 transcript:KJB16635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHRCQAPEGHRLCVNNCGFLGSPATMNLCSKCYRDFRLKEQQQASSAKSSISTSPSSSSTAVESVSQVPLLTLPQVKGVPPVVSAVAISPVTEQKPQQQQQQQQQQQPTRCTVCRKRVGLTGFKCKCGITFCGSHRYPENHGCTFDFKKIGREEIARANPVVKAEKIVRI >KJB16636 pep chromosome:Graimondii2_0_v6:2:60385525:60386644:-1 gene:B456_002G240900 transcript:KJB16636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHRCQAPEGHRLCVNNCGFLGSPATMNLCSKCYRDFRLKEQQQASSAKSSISTSPSSSSTAVESVSQVPLLTLPQVKGVPPVVSAVAISPVTEQKPQQQQQQQQQQQPTRCTVCRKRVGLTGFKCKCGITFCGSHRYPENHGCTFDFKKIGREEIARANPVVKAEKIVRI >KJB14104 pep chromosome:Graimondii2_0_v6:2:15572401:15578004:1 gene:B456_002G111400 transcript:KJB14104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPLDDMVNRNNTERGRPRLRPPRPGRMTLATRRGPLAVNARPSQHSITKSSHKTRSLPWQHDLFEDSLRAAGISGVEVGTKLYVSNLDHGVTNEDIRELFSEIGELKRYSVHYDKNGRSSGSAEVVYLRRSDAFAALKRYNNVLLDGKPMIIEIVGANAEVPVSARINVTGTKGRKKRTVVMTSGAGQSRSSAGINRGPNRRGGMTMRSGRGGGRGKGRGRGKRKPMEKSADDLDKELENYHAEAMNVS >KJB14103 pep chromosome:Graimondii2_0_v6:2:15572204:15578004:1 gene:B456_002G111400 transcript:KJB14103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPLDDMVNRNNTERGRPRLRPPRPGRMTLATRRGPLAVNARPSQHSITKSSHKTRSLPWQHDLFEDSLRAAGISGVEVGTKLYVSNLDHGVTNEDIRGSAEVVYLRRSDAFAALKRYNNVLLDGKPMIIEIVGANAEVPVSARINVTGTKGRKKRTVVMTSGAGQSRSSAGINRGPNRRGGMTMRSGRGGGRGKGRGRGKRKPMEKSADDLDKELENYHAEAMNVS >KJB14102 pep chromosome:Graimondii2_0_v6:2:15572202:15578013:1 gene:B456_002G111400 transcript:KJB14102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPLDDMVNRNNTERGRPRLRPPRPGRMTLATRRGPLAVNARPSQHSITKSSHKTRSLPWQHDLFEDSLRAAGISGVEVGTKLYVSNLDHGVTNEDIRELFSEIGELKRYSVHYDKNGRSSGSAEVVYLRRSDAFAALKRYNNVLLDGKPMIIEIVGANAEVPVSARINVTGTKGRKKRTVVMTSGAGQSRSSAGINRGPNRRGGMTMRSGRGGGRGKGRGRGKRKPMEKSADDLDKELENYHAEAMNVS >KJB13100 pep chromosome:Graimondii2_0_v6:2:5752408:5754014:1 gene:B456_002G057100 transcript:KJB13100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQFNCVSSMLILVLLSISSVEGQGGGGGGVIDVVAKFGAKADGKTDLSKPLLDAWKEACASTSPAKIVIPKGIYFLSTATLDGPCKAPIELQVEGTVKAPANPVAFKEPKWITFNRIENFKLSGGGLFDGQGTTAYKREGCKNHDYCGSLPINLRFDFLTNAMIQDITTKDSKQFHVNVLGCKNITFEHFTISAPDESPNTDGIHIGRSDGVNVLNSEIKTGDDCVSIGDGSKNLVINGVTCGPGHGISIGSLGLFKNEEPVDGVTVKNCSFTNTSNGVRIKTWPGAERGTCSNIHFEDITVTNVSSPIIIDQKYCPWNKCKINEESKVKLSNISFKNIHGTSALPEAVKIICSATLPCENVELADIEITHSGPTGPAVSQCSNVKPKVSGKQNPAPCSAPLPAKPTPTA >KJB13479 pep chromosome:Graimondii2_0_v6:2:9172766:9176916:1 gene:B456_002G077400 transcript:KJB13479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMKLLHLKPNSLLPFTIFSIKRSSSFLLKPSILSLFCCTQTQTKTQTFSYGPSLHKGKIAPETPFQTQNPITHQPEVGEDNNPLFDEQKFTRVFHLAALRVPANDCFALENRLRGHLLNWPRVKNIARVPGDEIEEEMMTLFDKRKDGEENNEEENEEFESLVLYREKLAKEFNYRGFVKFKNLAKISRPGKRKKKKKNGDGEMKGNERKECCLVEVLEEEEEDEMKGLLGEEFKGGRKWKGSTRLLLLDERYGDKGFEELPQAIKAVFVGETIENRSSTIELVRCKLTLFYDYWQMNEILETMLPEGMIIPSAFETVGHIAHLNLKDEHLPYKNVIAKVVLDKNKPKIQTVVNKIDVIQNDYRTMQLEVLAGNHSLVTTVVENGLRFRVDLAKVYWNSRLATERLRLLSGFNRNDVICDVFSGVGPIAVSAAKIVKRVYANDLNPFAVEYLERNSVLNKLEKKIKVFNMDGRRFINAMFSSEKAHSITHVVMNLPNDAAEFLDAFRGVYRNQPRDKEFNFPMIHVYGFSKARDPEFDFHERIRIALQEVAVNVDMRRVRLVAPGKYMLCASFILPKSVAFSECSLNV >KJB13478 pep chromosome:Graimondii2_0_v6:2:9172902:9176240:1 gene:B456_002G077400 transcript:KJB13478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMKLLHLKPNSLLPFTIFSIKRSSSFLLKPSILSLFCCTQTQTKTQTFSYGPSLHKGKIAPETPFQTQNPITHQPEVGEDNNPLFDEQKFTRVFHLAALRVPANDCFALENRLRGHLLNWPRVKNIARVPGDEIEEEMMTLFDKRKDGEENNEEENEEFESLVLYREKLAKEFNYRGFVKFKNLAKISRPGKRKKKKKNGDGEMKGNERKECCLVEVLEEEEEDEMKGLLGEEFKGGRKWKGSTRLLLLDERYGDKGFEELPQAIKAVFVGETIENRSSTIELVRCKLTLFYDYWQMNEILETMLPEGMIIPSAFETVGHIAHLNLKDEHLPYKNVIAKVVLDKNKPKIQTVVNKIDVIQNDYRTMQLEVLAGNHSLVTTVVENGLRFRVDLAKVYWNSRLATERLRLLSGFNRNDVICDVFSGVGPIAVSAAKIVKRVYANDLNPFAVEYLERNSVLNKLEKKIKVFNMDGRRFINAMFSSEKAHSITHVVMNLPNDAAEFLDQLVDDRCCSRNHR >KJB12599 pep chromosome:Graimondii2_0_v6:2:2000453:2002709:1 gene:B456_002G026400 transcript:KJB12599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSISATGFKSGLGTPFYGSWGSSSSSDSDSLVNSVPSQVRVGKPVRSKPVMKNVNEGKGLFAPLVVLTRQIIGKKRFNQLRGKAIALHSQVITEFCKSIGADAKQRQGLISLAKKNGERLGFLA >KJB12600 pep chromosome:Graimondii2_0_v6:2:2000514:2002709:1 gene:B456_002G026400 transcript:KJB12600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSISATGFKSGLGTPFYGSWGSSSSSDSDSLVNSVPSQVRVGKPVRSKPVMKNVNEGKGLFAPLVVLTRQIIGKKRFNQLRGKAIALHSQVITEFCKSIGADAKQRQGLISLAKKNGERLGFLA >KJB12263 pep chromosome:Graimondii2_0_v6:2:573759:575732:1 gene:B456_002G0088001 transcript:KJB12263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGKAPVFQERFTFTLIEGLREINVVVWNSNTLTYDDFIGSGKIQLQKVLSQGFDDTAWSLQTKTGRYAGEVRLIMHYGNAKPPTTTYAPTAPQYTAPPPHVPQYSAPLATYPASYPPPATTYPSPSPYPAYPSSAYPPPPSAYPPPHSAYPPPPSAYPPPPSSYPPSTYPQQSPYYPPGPFPGHYPRPPY >KJB13030 pep chromosome:Graimondii2_0_v6:2:4637747:4641693:-1 gene:B456_002G052500 transcript:KJB13030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIKYPKIEPEDNQFQFLSSQDLEKVEESREKCTLVDTDVMQDGKQLKRSESEVHPRVSGCNSMEILSSDGNQKKGEAFYYDTPFSEETGVWVPVSVPPVSENKHEEWDRGLCLNGGYFPDDGVRSNQFIGESKDLTVWDVFSEMLIAARGKVSSIASGDVQRYGITWLSSHLLEQTWKEMAQTLAEANFGNINEILEAEPPKWLADSAASNCMLCNMRFHPIMRSRHHCRFCGGIFCNECSKGRSLLPMKFHMGNPQRVCDVCCVRLEPVQSYLMDHICRAAQLPTHDLTDLSTLRSWLNFPWGQSMEYEIYKAANTINNYSKVGSLKPEKSIPDAILRQAKGLAILTVAKVGVMVTYNIGTGLVVARRDDGSWSPPSAISSCGVGWGFQAGGEFTDFIIVLRNESAVATFSGNMHLSVGAGLSAAAGIVGRAAEADIRGGSGGYAACYTYSCSKGAFLGCSLEGSVVTTRNQENSRFYGNPSITASDILLGSLPMPPAASTLYQALSNLFEKLER >KJB13031 pep chromosome:Graimondii2_0_v6:2:4637433:4641823:-1 gene:B456_002G052500 transcript:KJB13031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIKYPKIEPEDNQFQFLSSQDLEKVEESREKCTLVDTDVMQDGKQLKRSESEVHPRVSGCNSMEILSSDGNQKKGEAFYYDTPFSEETGVWVPVSVPPVSENKHEEWDRGLCLNGGYFPDDGVRSNQFIGESKDLTVWDVFSEMLIAARGKVSSIASGDVQRYGITWLSSHLLEQTWKEMAQTLAEANFGNINEILEAEPPKWLADSAASNCMLCNMRFHPIMRSRHHCRFCGGIFCNECSKGRSLLPMKFHMGNPQRVCDVCCVRLEPVQSYLMDHICRAAQLPTHDLTDLSTLRSWLNFPWGQSMEYEIYKAANTINNYSKVGSLKPEKSIPDAILRQAKGLAILTVAKVGVMVTYNIGTGLVVARRDDGSWSPPSAISSCGVGWGFQAGGEFTDFIIVLRNESAVATFSGNMHLSVGAGLSAAAGIVGRAAEADIRGGSGGYAACYTYSCSKGAFLGCSLEGSVVTTRNQENSRFYGNPSITASDILLGSLPMPPAASTLYQALSNLFEKLER >KJB15046 pep chromosome:Graimondii2_0_v6:2:34061765:34067293:1 gene:B456_002G157300 transcript:KJB15046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTNKKKLILNTASISLGCCSCKILKLTSVFNPKPKLQSPYNLSSSNSSNNKTTPYNSMSEFGTPTSFSSHTTNQYWQMDIETDKEAMCSQPATTVRGLGRIGGESLAVEKDSDDPYLDFGYSMLQMILEKQIYSKDELKELLNCFLHLNSSYYHGIILSAFTDIWNGLFSIKPGGGGSPHLLSQFYADM >KJB16992 pep chromosome:Graimondii2_0_v6:2:62071587:62076353:1 gene:B456_002G259200 transcript:KJB16992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSKFSICWFQSHLKATVLESSDLDNGGKGEKQTWPSFTEFSLEQMKAATNGFSSDNIVSEHGEKAPNVVYKGKLLKNDHWVAVKRFNKFAWPDPRQFLEEARAVGSLRSERLANLIGCCCEGEERLLVAEFMPHETLAKHLFHWENQPMKWAMRLRVALYLAQALEYCSSKGRALYHNLNAYRILFDNDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTAESVVYSFGTLLLDLMSGKHIPPSHALDLICGKNFLMLMDSALEGHFSNDDGEELVRLASRCLQYEARERPNAKSLVVSLMSLQKEAEVPSYVLMGIPQGTTSPKQPLSLTPFGEACLRFDLTAIHEILEKMGYKDDEGIANELSFQMWTSQMQDTLNSKKHGDSAFRAKDFTSAINHYTQFIDGGTMVSPTVYARRCLSYLMNDKPQEALGDAVQAQAVSPEWPTAFYLQAACLFSLGMESDAQENLKDGTNLEAKKSKN >KJB15599 pep chromosome:Graimondii2_0_v6:2:49502053:49503513:1 gene:B456_002G186000 transcript:KJB15599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVYHYIVSTRINYVTAQHCNNLKMAEEQKEEEEVAIHGDVLETILSYVPLTHLLPACFVSKTWNAAVSSSLSRFNKPKPWLLVHTQSIRRPHATAFFAYDPRSDIWLQINKKQPPQHVSPLRSSNSTLLHVLHPSNFYFSIDPFHLTWHRVNPPAVWRLDPIVAMVGPRIVVAGGACDFEDDPLAVEIYDISTRTWERTESMPATLKDSASSTWLSIAANTRTVFMMEQASGVTHSFNPDSKTWYGPFDLRPDRSIYFSVITCVGDNLIMLGLLGHAEDVNYVKVWELNGESLEFGKEIGVMPTELVEKLKGEGTSLNSIRVSCMGGFFYIYNPGEPGELVALEVGEEGWCRWGSLKNAAVSDRSRVAERVVLTCSDVGLGEIAKLVGSGNGIFTLLKR >KJB15513 pep chromosome:Graimondii2_0_v6:2:47973648:47978671:-1 gene:B456_002G182600 transcript:KJB15513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLAMLRQLIGQLQDLLHLYGSPPPPLPPSSPFHLLHLHQLPHPFYDHHRRWCFPNINETSAEDYYSLVMAAGKSGNCKMLEPFKPPPSKRSRKERNRGKLPGSTPASEVMDQEIWKEFPEDLFEAVIARLPIATFFRFRSVCRKWNSLLESQSFSHHCAEIPQANPWFYTITHENMNVGAMYDPSTKKWHHPTISFLPAKMIALPVASVGGLVCFLDIGHRNFYVCNPLTQSFKELPARSVKVWSRVAVGMTLNGNSTDEGYKILWVGCDGEYEVYDSVKNSWSRPGSMPSNIKLPLSLNFRSQAISIDSTLYFMQSDPEGIVSYNMVSGVWKQFIIPAPIHLSDHTLAECEGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMSCLGNKGLFMLSLRSRQMNRLVTYNVMSREWMKVPGCVLPRGRKRQWIACGTAFHPCLTATA >KJB13813 pep chromosome:Graimondii2_0_v6:2:12056631:12059618:-1 gene:B456_002G095500 transcript:KJB13813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPIGMTCILQLDTDIPGWHLAMIKLFKSIQDVSYSIDTQTKFAYVSGEIDKELLLKLLAKAKTHAFTHQINYGINLPKHTSEPTMTCVLLVDTDIPVWHKTMCLLFKPMQGVTFTINAFTRQVYISGKISPVLQLKLLAKAEANGARLCWLYYGCEHDPSFLAKRRTFSVMDYPTEDMNCVLRFDTRFLEWRVMLVRVLDSIDGITYKIDGEKGIVQITGRINPRQLMKTLAEVGLHADFSRVRSQFGETNIPSRHCYDYRYYGGYGYNPYVKPEYYYGYPPLQQRNWHPIYENYPRYLHYNQNQPVYEPQAEYFPQPPPQPDGFRNGDPEWCAIM >KJB15920 pep chromosome:Graimondii2_0_v6:2:54660403:54664251:-1 gene:B456_002G204000 transcript:KJB15920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGYHNRSNSFPSRAHPLASKVDDHLSRLASSESASTSSSLNQKLGRLHDLHDCTEKLLLLPLTQQTLSHEQQGEYVDELLNGSLGLLDVFTTAKDVVLQVKERTRGATKGFANEVRKYLSSKKAAKRAILKTLKNLKHEESTALNETYATVSILREVQAVTLSILESLFSFTFCLYKTPLLHTKRVNSEGEQHIKEMANAEASLLSLATRKTDLMQIEKVHNELKLS >KJB15117 pep chromosome:Graimondii2_0_v6:2:37149049:37151476:-1 gene:B456_002G161700 transcript:KJB15117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFLSLLLILWFPSTNADWPPSLGYWPSSKLRPMSFYSGFRNLWGPNHQTVDQSSLTIWLDRTSEKKVDLSLLVLFIRVSLVPPLSFNLLSNNEVHPGFHDEVDMEFLGTTFGKPSTLQTNVYIRGSGDGKIIGREMKFHLWFDPTTDFHHYIILWSPKEVVFLVDDVPIRRYPRKSDATFPLRPMWVNGSIWDASSWATEDGKYKADYRYQPFVAKYTNFKAGGCSAYAPAWCCPVSASPFRAGRLTMQQYRAMRWVQRYHMVYDYCRDPKRSHALTPECWSESKYPTNSWIKNFFQLLCD >KJB12897 pep chromosome:Graimondii2_0_v6:2:3559636:3576081:1 gene:B456_002G043200 transcript:KJB12897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVHHWFLHWGPSLVYWILCFTLCKDRRQRESRIYCLHNCRNPYRFLSGDIKELFTMSRQTRAKPMPLSDDIGPYVVPFQHQTANQYGKNSFTWFGPRPRANITDPEKIREILNKFNDFQKIRTNPLLNLLVSGLVNLEGDRWSKRRKIINPAFHQDKLKNMLPAFYQSCSDMLSKWEKMVCTEGYSELDVWPYLVDLTRDVISRSAFGSSFEEGKRIFQLLEDQLVVTIKLIQTVYIPGWRFLPTKTNREMKMKHRGIKESLREMIKRREKAIKAGEESNEDLLDILVESNIREMEAKNMGMSIEDVIEECKLFYFAGQETTSVLLVWTMVLLARYPDWQSKAREEVLHVLGDSKPDADGLNRLKVVTMILYEVLRLYPPVVELGRSVPKEIKLGNLLLPVGTEVSVPILQIHHDKDLWGDDAREFKPERFAEGVSKATKSQVTFLPFGWGPRICIGQNFALMEAKMAMAMILQRFWFELSPSYAHSPYSRATLRPQHGAQIILHKLGCN >KJB12898 pep chromosome:Graimondii2_0_v6:2:3562847:3565300:1 gene:B456_002G043200 transcript:KJB12898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYLVIRDLVSLLVVGLLMIWGWRTLNWVWLAPKRLESCLRQQGFAGNPYRFLSGDIKELFTMSRQTRAKPMPLSDDIGPYVVPFQHQTANQYGKNSFTWFGPRPRANITDPEKIREILNKFNDFQKIRTNPLLNLLVSGLVNLEGDRWSKRRKIINPAFHQDKLKNMLPAFYQSCSDMLSKWEKMVCTEGYSELDVWPYLVDLTRDVISRSAFGSSFEEGKRIFQLLEDQLVVTIKLIQTVYIPGWRFLPTKTNREMKMKHRGIKESLREMIKRREKAIKAGEESNEDLLDILVESNIREMEAKNMGMSIEDVIEECKLFYFAGQETTSVLLVWTMVLLARYPDWQSKAREEVLHVLGDSKPDADGLNRLKVVTMILYEVLRLYPPVVELGRSVPKEIKLGNLLLPVGTEVSVPILQIHHDKDLWGDDAREFKPERFAEGVSKATKSQVTFLPFGWGPRICIGQNFALMEAKMAMAMILQRFWFELSPSYAHSPYSRATLRPQHGAQIILHKLGCN >KJB11828 pep chromosome:Graimondii2_0_v6:2:1810363:1812543:1 gene:B456_002G025000 transcript:KJB11828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFASFVGRVCFASIFILSAWQMFNEFGVDGGSAGKELAPKLNLAKKHLSSQFHVNFPDIEVRQLVLTAIAMKGLGAILFVFGHGFGAFLLIVYLLVSTPILHDFYNYGPDEPRYNILLGDFLQCVAQCGALIFFWGMKNSITKRRKKKALKSKTA >KJB11829 pep chromosome:Graimondii2_0_v6:2:1810599:1811908:1 gene:B456_002G025000 transcript:KJB11829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFASFVGRVCFASIFILSAWQMFNEFGVDGGSAGKELAPKLNLAKKHLSSQFHVNFPDIEVRQLVLTAIAMKGLGAILFVFGHGFGAFLLVRISFLSGDYMLISHSSSVLFFLLP >KJB11831 pep chromosome:Graimondii2_0_v6:2:1810541:1812522:1 gene:B456_002G025000 transcript:KJB11831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFASFVGRVCFASIFILSAWQMFNEFGVDGGSAGKELAPKLNLAKKHLSSQFHVRQLVLTAIAMKGLGAILFVFGHGFGAFLLIVYLLVSTPILHDFYNYGPDEPRYNILLGDFLQCVAQCGALIFFWGMKNSITKRRKKKALKSKTA >KJB11832 pep chromosome:Graimondii2_0_v6:2:1810547:1812522:1 gene:B456_002G025000 transcript:KJB11832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFASFVGRVCFASIFILSAWQMFNEFGVDGGSAGKELAPKLNLAKKHLSSQFHVNFPDIETFFLTQVRQLVLTAIAMKGLGAILFVFGHGFGAFLLIVYLLVSTPILHDFYNYGPDEPRYNILLGDFLQCVAQCGALIFFWGMKNSITKRRKKKALKSKTA >KJB11830 pep chromosome:Graimondii2_0_v6:2:1810544:1812522:1 gene:B456_002G025000 transcript:KJB11830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFASFVGRVCFASIFILSAWQMFNEFGVDGGSAGKELAPKLNLAKKHLSSQFHVNFPDIEIVYLLVSTPILHDFYNYGPDEPRYNILLGDFLQCVAQCGALIFFWGMKNSITKRRKKKALKSKTA >KJB12646 pep chromosome:Graimondii2_0_v6:2:2155888:2161588:-1 gene:B456_002G029000 transcript:KJB12646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTMATAAGAAVILYYVWLRKSEVKTDGVGSEEDEDLSKSSRSVKRRIVRRPAQAPATWLEAIATISETLRFTYSETLGKWPIGDLAFGINYLMRRQGNFEVASVYAGDNCVELKGEEIKKELNNLLRLLTLCRLFSKKPFPVFLDSAGYSEEDALLHKPKAGLMKPAFTIIRDENSKCFLLLIRGTHSIKDTLTAATGAVVPFHHSVLHDGGVSNLVLGYAHCGMVAAARWIAKLTAPCLLKAIAQYPDYKVEIVGHSLGGGTAALLTYILREHKEFAASTTCFTFAPAACMTWDLAESGKHFITTIINGSDLVPTFSAASVDDLRTEVTTSSWLNDLRDQVERTKVLNVVYRSATALGSRLPSMATAKASVAGAGALLRPVSSSTKVVMKGAQNVAQAVVRSRSSLTSWSCIGPRRRNVVSGLNAKGDDMTKPSLTSKTSSKALVTEVDCHSGGGLDHNDTDEDEPLIEMDRGITTATTDEFVGGELWYELEKELKRQESEVDLHGCAEEAAAAKEISEQENVIAADVSSESRSAISSSDASENLRFYPPGRIMHIVAMPSSDAAVLDDLDGDGNGDGSNNGKVRIYETPRELYSKIRLSRTMINDHYMPMYKKTMELLIIELEKEEDCKFDVLQD >KJB17071 pep chromosome:Graimondii2_0_v6:2:62436118:62436318:-1 gene:B456_002G264000 transcript:KJB17071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFYETQIREFILEAEELLKLRKSLTRVYVQRTGKPLWVVSENMEKGVFMSAIEAQAHEIVDLVAVK >KJB13883 pep chromosome:Graimondii2_0_v6:2:12686232:12692133:1 gene:B456_002G100100 transcript:KJB13883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGQRQHVLLLIFSAFLLFQTSNAHNITRLLAKHPSLSTFNHYLTLTHLAPEINRRTTITVLALDNAAMSSLLDKNPSIYTIKNILSLHVLLDYFGAKKLHQIRNGTALAATMFQATGVAPGVSGFVNITDFKGGKVGFGAEDNGGSLNSFFVKSVEELPYNISVIQISKALPSVVAEAPTPGPSELNITGIMSAHGCKVFADTLLANPEAMGTYEDNVNGGLTVFCPMDDPFKAFLPKYKNLTASKKASFLEFFGVPVYQSLSMLKSNNGLMNTLATDGASKFDFTVQNEGEEVTLKTRVNTAKITGTLIDEQPVAIYTIDKVLLPKELFKAALSPAPAPAPEEAADAPKGSKSKTKSKSKTKSKAAPTPDSDSPAESPDDDPADQVADDDNAAMCFGAERLAVVGLSFLLVFFLL >KJB13884 pep chromosome:Graimondii2_0_v6:2:12686192:12688791:1 gene:B456_002G100100 transcript:KJB13884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGQRQHVLLLIFSAFLLFQTSNAHNITRLLAKHPSLSTFNHYLTLTHLAPEINRRTTITVLALDNAAMSSLLDKNPSIYTIKNILSLHVLLDYFGAKKLHQIRNGTALAATMFQATGVAPGVSGFVNITDFKGGKVGFGAEDNGGSLNSFFVKSVEELPYNISVIQISKALPSVVAEAPTPGPSELNITGIMSAHGCKVFADTLLANPEAMGTYEDNVNGGLTVFCPMDDPFKAFLPKYKNLTASKKASFLEFFGVPVYQSLSMLKSNNGLMNTLATDGASKFDFTVQNEGEEVTLKTRVNTAKITGTLIDEQPVAIYTIDKVLLPKELFKAALSPAPAPAPEEAADAPKGSKSKTKSKSKTKSKAAPTPDSDSPAESPDDDPADQVADDDNAAMCFGAERLAVVGLSFLLVFFLL >KJB15468 pep chromosome:Graimondii2_0_v6:2:46994632:46995093:-1 gene:B456_002G179200 transcript:KJB15468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTHNKSLSTFLLLFSSIILSFFVKTKQDNPCPYPCYPPPTATGTPIQTPPVSYSPPSQTGLYPPPGTLPTGNLPYFPPPPPPSPYGNSLYGQPPPDPILPYFPYYYRKPPHRTDDQSSATKASTGKPWMIMIATTNLFVFVFLLFRELVSS >KJB13138 pep chromosome:Graimondii2_0_v6:2:6580947:6590701:-1 gene:B456_002G058900 transcript:KJB13138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEITRKLSQRSERVKSVDLHPTEPWILASLYSGTLCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTRPYILSSSDDMLIKLWDWEKDWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPNPNFTLDAHQKGVNCVDYFSGGDKPYLITGSDDNTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPDLPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWALGSMKGSRRIVIGYDEGTIMVKIGREVPVSSMDDSGKIIWAKHNEIQTVNIKSVGTNIEVTDGERLPLTVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFIWSPDGEYAVRESTSKIKIFSKNFQEKRIVRPTFSAEHIFGGTLLAMCSSDFICFYDWAECRMIRRIDVTVKNLYWADSGDLVAIAGDTSFYILKYNICKTFCRVDSLFVKCMISYNSGRQVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNTSWRLNYCVGGEVTTMFHLDRPIYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMQGDLERANEILPSIPKEHHNSVAQFLESRGMIEDALEVATDPDYRFDLAMQLGKLEIAKEIATEAQSESKWKQLGELAMSTGKLAMAEECMKHAIGLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVVFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVTIWRKDLNRVNPKAAESLANPQVYPGMFENWKVALDVETRVREKRGVYPPAADYLKHADRSWLTLVEAHENGDLNHVAAEQNGDDGSQEEAVVVDAFSETAVLFNSNEPEEE >KJB13816 pep chromosome:Graimondii2_0_v6:2:12117305:12120288:-1 gene:B456_002G095900 transcript:KJB13816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLGKLFIGGISWDTDEERLKEYFRKYGEVVEAMIMRDRFTGRARGFGFIVFADPAVAERVIMDKHMIDGRTVEAKKAVPRDDQNILNRNMSSITASPVPGRTKKIFVGGLASSVTETDFKNYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLHKTFHELKGKLVEVKRAVPKELSPGPARSPVIGYNYGLSRTANFLNSYAQGYNLSPLADLGVRMDGRFNPLASGRTGFPPFNNPGYGIGMDMEPGMNPNFGGSSNFGNNLGYGRLISPYYGGISNRYNTPIGYGVGSGRNDSNLSSGTRDIWGNGSLSNATNAASPGGFLGTGRGSFGPLGNSGVNWSPSPPIQSGGNAPGYTGGTAGFGSADDNYGLGGGGHGRNGGTVTAPTSSFAGPTVNFKGSYGDLYRSGSVYGDSTWQSTTADLDGSSSFSYGIGSVSVDGTAGSSEDYVGSYGVASRQSSRGNILFKQS >KJB13817 pep chromosome:Graimondii2_0_v6:2:12117305:12119981:-1 gene:B456_002G095900 transcript:KJB13817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLGKLFIGGISWDTDEERLKEYFRKYGEVVEAMIMRDRFTGRARGFGFIVFADPAVAERVIMDKHMIDGRTVEAKKAVPRDDQNILNRNMSSITASPVPGRTKKIFVGGLASSVTETDFKNYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLHKTFHELKGKLVEVKRAVPKELSPGPARSPVIGYNYGLSRTANFLNSYAQGYNLSPLADLGVRMDGRFNPLASGRTGFPPFNNPGYGIGMDMEPGMNPNFGGSSNFGNNLGYGRLISPYYGGISNRYNTPIGYGVGSGRNDSNLSSGTRDIWGNGSLSNATNAASPGGFLGTGRGSFGPLGNSGVNWSPSPPIQSGGNAPGYTGGTAGFGSADDNYGLGGGGHGRNGGTVTAPTSSFAGPTVNFKGSYGDLYRSGSVYGDSTWQSTTADLDGSSSFSYGIGSVSVDGTAGSSEDYVGSYGVASRQSSRGNILFKQS >KJB13815 pep chromosome:Graimondii2_0_v6:2:12117278:12120639:-1 gene:B456_002G095900 transcript:KJB13815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLGKLFIGGISWDTDEERLKEYFRKYGEVVEAMIMRDRFTGRARGFGFIVFADPAVAERVIMDKHMIDGRTVEAKKAVPRDDQNILNRNMSSITASPVPGRTKKIFVGGLASSVTETDFKNYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLHKTFHELKGKLVEVKRAVPKELSPGPARSPVIGYNYGLSRTANFLNSYAQGYNLSPLADLGVRMDGRFNPLASGRTGFPPFNNPGYGIGMDMEPGMNPNFGGSSNFGNNLGYGRLISPYYGGISNRYNTPIGYGVGSGRNDSNLSSGTRDIWGNGSLSNATNAASPGGFLGTGRGSFGPLGNSGVNWSPSPPIQSGGNAPGYTGGTAGFGSADDNYGLGGGGHGRNGGTVTAPTSSFAGPTVNFKGSYGDLYRSGSVYGDSTWQSTTADLDGSSSFSYGIGSVSVDGTAGSSEDYVGSYGVASRQSSRGIAA >KJB14330 pep chromosome:Graimondii2_0_v6:2:20189900:20191408:1 gene:B456_002G129700 transcript:KJB14330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMVMLECPVCKALVEEQKLVPLYGRGKSSTDPRSKSIPGVNIPNRPAGQRPETATPPAPNQFPQNGFGFMGGLGGFAPMATARFGNFTLSAAFGGLIPSLFNLQVHGFPDAAMFGPAAGFPYGFPSSYHGGHAHGYHHHHHHHHHRTAQGQQDHYLKMLFLFIIVCVIFAMIYQ >KJB14331 pep chromosome:Graimondii2_0_v6:2:20189900:20191410:1 gene:B456_002G129700 transcript:KJB14331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFGESASHSPHNPSCSTNNANGDAGNFECNICFDLAQDPIVTLCGHLFCWPCLYKWLHTHSRSQECPVCKALVEEQKLVPLYGRGKSSTDPRSKSIPGVNIPNRPAGQRPETATPPAPNQFPQNGFGFMGGLGGFAPMATARFGNFTLSAAFGGLIPSLFNLQVHGFPDAAMFGPAAGFPYGFPSSYHGGHAHGYHHHHHHHHHRTAQGQQDHYLKMLFLFIIVCVIFAMIYQ >KJB15229 pep chromosome:Graimondii2_0_v6:2:40956300:40962547:1 gene:B456_002G166000 transcript:KJB15229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSIRKDHDLELFDRLSLSKRRNIINLNSGQLFEILVKDWICYLMRSKNKEIVNIFKIIMYLQNTISIYHISSDPGCDMVLKDELGSANKISRAYTLHRDFESEERFQEMVDLFTLSIAEPDLDPKPKIVIFASNNIMEAVNQDRLIQNLIQIQYSTYGYIRNVLNRFIKKSPSDRNFEYGIQRDQIGNDTLNHRTIMKYKINQHLSNLKKSQKKWFDPLILIFQTERSMNRGTNAYRYKWSNWSKNFQEHLEHFVSEQKSLFQVVFDQLRINQYSIDWSEVIDKKDLSKSLHFFLSNFRNIPIHRSEIHIYELKGLNDQLCNQLLGSIGTISPFLVNKIPKWMIESFHTRNNRRKSFDNMESYFSMISHDQDNWLNPVKPFHRRRDTISPSPIELQVSNIFISNDFPQSGDERYNLYKSFQFAILSDPLVCRAIYSIVDISGTPLTEGRIVNFDKTYCQPFSDMNPSDSEEKNLHQYLNFNSKMGLIHTPCSEKYLPAFSTLSKWNLFQTDMPWFLTSTRYTYLNLIFLDTFSDLLPILSTSKNLYPFFMKKFCLPQWNLISKILSKCLHDFLLFEEIIHRNNDSPLISTHLRSPNVPDFLYSILFLLLVAGYLVRTHLLFVSRAYSELQIEFEKVKSLMIPSYMIELHIFLAHYQTITYSQTSCGANSFHFPSRGKPFSLRLALSPSRGILVIATNSYVPFITVFLNKFLDNKLKGFLIDDIDIDDSDYIDASDDINASDAINDSDAIDHDLDTKLELLTMVHALTMDMMLEIDQFYITLQFELEKAMSLCIIWIPNIHDLDVNEAKYLSLGLLVNYLSKDCERCSTRNILFIASTHIPQKVDPTLIVSNKLNTCIKIQRLLIPQQRKNFFTLSYTRGFYLEKKMFHSDGLGSITMGSNARDLVALTDEALLISITQKKSIIDTNTIRSTLHRKTWDLRSQLGTSMKKLTILLYLLSCSTRSVAQDLWSLPGADEKNGITSYGFIENDSDLVHGLLEVEEPLVGSSRKEIDCDQFDNDRVTLLLWSKPGNPLYMMQNGSCSIVDQRNLYEKYESEFEEGEGEGGFIFDCIERPNELGFTYWAGSFWGKRIIDDVKDKLQENDLAFLQRSCGSTLFLFKDQPFVSVFSHQEFFEDEEMSKGLLTSQTNPPTSIYKRWFIKNMQEKHFELLIHRQRWLRTNSSLSNGIFHSNSPSESYRHSSNLFLFNGRLLDQLTTTLLRKRWLFPDEMKIGFMEEEKGFPLVSRKYMWS >KJB15566 pep chromosome:Graimondii2_0_v6:2:49027695:49032769:-1 gene:B456_002G184700 transcript:KJB15566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVEVEENLFSISDAKLHGEMCKKLSAIYCKVSSIFPSLEAARPASKSGIQALCSLHIALEKAKNVLQHCSTCSKLYLAITGDSVLLKFQRAKCALIDSLKRVEDMVPQSIGCQILEIVSELEGTVFSLDLFEKQVGDEIITLLQNGRKFEECNDTNELESFHQAASRLGISSSRAALTERRALKKVIERARMEEDKRKESIVAYLLHLMRKYSKLFRSEVSDDDSQGSTPCSPTVLGSLEDGGSGGSGCAFEWQLSKLNSFNFKPNIRRSGQIPLPPEELRCPISLQLMYEPVVIASGQTYERICIEKWFSDGHDTCPKTQQKLPHLSLTPNYCVKGLIASWCEQNGVPIPDAPQESLDLNYWRLALSASETANSRSMDNVGSCNFKEAKVVSLEKSGTIKEVEVNESENESQCPQEEVSEINVLERYQEFLSVLNEEENLMESCKVVEQIRLLLKDDEEARIFMGANGFVEGLLRFLESAVREGNAMAQEIGAMALFNLAVNNNRNKELMLAAGVIRLLESMISNSNAHEAATALYLNLSCLEQAKSIIGSSKAVLFLVQLLGHETDAQCKLDALHTLYNLSTDHSNILNLLSAGIVNHLQSLVVSGDHTWTEKSITVLINLTSSETAKEEMVSASDLITGLASVLDAGKPIEQEQAVSCLLLLCNGNEKCSQIVLQEGVIPALVSMSVNGTTRGREMSQRLLMLFREQRQRDHPPANVNQIVLESSQDPTPALASAPESKPLCKSISRRKGGKALRLGFLWKIKNYIVYQR >KJB14541 pep chromosome:Graimondii2_0_v6:2:20263743:20264408:1 gene:B456_002G130100 transcript:KJB14541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFMQALRFICVFEDLIIVPEVYFWPEKEKKEKKKKEKERAAREGKKKEKKRMENRDSEGERGGKKLNRK >KJB16048 pep chromosome:Graimondii2_0_v6:2:55751995:55760304:1 gene:B456_002G210600 transcript:KJB16048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGVDNCGYVISPSMRKDKEKSLEMMLSVLRVDLTYSSMRKNKEKSLEMRVIVGHPRYILTGEIH >KJB16146 pep chromosome:Graimondii2_0_v6:2:56318788:56322602:1 gene:B456_002G214300 transcript:KJB16146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGILRKILHVGQSSPPKDDDSNVEYSFAIEYNGPPVSFDIPSAVPVDVDQLPTAATVSSSYVLNNSISLPVIQPVVNTNKMKQNLARHKKIGSGLSNEPSIQVVSLDSLGQTIDGIGSCGIMQNSHVHDSLADDCKQGLGLHNKYMDPPDSDATGSVLSSPSVSSSGVFSQKREDIHNNETTTRHVKRPSVVTFRGPESCDMVQGESNSNYSETQSMHTAEQSIERNGKKGSCYRCLEGNRFTEKEICIVCNAKYCCKCVLRAMGSMPEGRKCVTCIGKKINESRRESLGKCSRLLKQLLNELEVKQAMSSEKTSKANQLPPQLVLINGEPLNQYKLHVLQTCQNPPKKLKPGRYWYDKVSGFWGKEGHGPCQIITAQLNVGGRIKAKASNGNAKVLVNDREITRKELWMLQFAGVNCEGKPSFWLSADGYYQEEGQKNEKGPIWKKTRIKLFCALLSLPVPPNVVNPAGEDVSQRTLEQQVLLKLLLVGYQKSGTSTIYKQAKILYNVPFSEDERQNIKLKIQCNLYGYLAILLEGRERFEEVLLENRKLQFADGPGSSGGASQIDGKTKYSLSPRLKAFSEWLVQVMVSGNLEVIFPAATREYAPFIQELWNDAAFQATYNRRHELQMLPRIATYFLERAVEISRTDYEPSDMDILYAEGTQSSNGLSSMEFSFPTRERESFIDGTNLLDCIPVALGKAASGWECLKMSTWSYFASP >KJB13140 pep chromosome:Graimondii2_0_v6:2:7103879:7108829:1 gene:B456_002G060700 transcript:KJB13140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTRFVPDLIVALLLLNLVVSFCTKTTTNISTDQSALLALKAHVINDPQNFLTTNWSTAFSVCKWIGVTCGSRHQRVIALDLSNMNLSGTVSPHIGNLSFLTWLNMKKNNFHGPLPAQLANLHRVKYIQLSFNGFYGEIPSWFGSFPKLQYLSLRHNNFTGEIPADMFEGLPRLQVLYLAKDGLSGKIPMSLFKCKELQDISLANNKLEGILPKEIKNLTMLRTLQLHNNLIEGIIPRQIGDLLNLEILNLSTNLFRGHLPPTIGNLTHLRTIRLSNNSLAGHLPVTLGNLRDLQVLDLAYNDFSGTLSSSDINFLSSLANCNDLRFLGFGGNPLVTGYLPASIGNLSVSLQYFYVSGCNIGGSIPGEIGNLTNLVILDLSRNNLVGSIPTTFTRLKHIQRLHMSSNFLRGPLQIDIGNWNVAEHLDFSRNQLSGTIPDSVCDRNNNLRYLALSDNQLEGILPLSLINCSELVALNVAGNHLSDTFPHWLGMLPKLRVLILRSNKFHGSIQDSNATSSFSKLQMLDLSLLRGSV >KJB14311 pep chromosome:Graimondii2_0_v6:2:16875172:16877068:1 gene:B456_002G118500 transcript:KJB14311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLAEKINMLIKKGVSDLDWRLLFLIIVPLSILLFIALSSTPSIHYFFPLRSFLFTTPSPDVTGMLRQSRMAVCLVGGARRFELTGPSIMERVIKEYPNADLFLHSPMDKNAFKLSLLRTAPRLASVRIFDQKIVPQTAEQVRVLTAANSPNGIQGLLQYFNLVEGCLTMIVSHQKQHNFTYDWIVRTRVDGYWNAPLNPQHFVAGRYTVPSGSVYGGLNDRLGIGDFYTSKAALSRLSLIPKLDSAGYRRLNSESAFKAQLTTQNISYVENRLPFCVVTDRRYRFPPSHMGVPVAALSSPGPLSGAKCRPCTPVCKGRCVVDVMSSLDKRWSWTNWRNGTIELCDAHGGWEDRWEMIFDRVAGKKLAEGRRQVKDLMFEECVADFREMKKKAVNWEAPTPEEICGLGLKITPK >KJB16495 pep chromosome:Graimondii2_0_v6:2:59220031:59231492:1 gene:B456_002G232700 transcript:KJB16495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMTTPPVDQEDEEMLVPHTDIVEGPQPMEVSQVEPANTNENQQVEEPQIMKFTWTIENFSRLNTKKHYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSSALPYGWSRYAQFSLAVVNQVHHKYSIRKDTQHQFNARESDWGFTPFMPLSDLYDPSKGYIVNDTVVVEAEVVVRKILDYWSYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPIGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIEFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDRKYLSPDADTSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLKIRLKKEQEEEDDKRRYKAQAHLYTIIKVARDEDLLEQIGKDIYFDLVDHDKVRSFRIQKQTPFNDFKEELAKEFGIPVQYQRYWIWAKRQNHTYRPNRPLTPQEEAQPVGQLRDMSNKAHNAELKLFLEVGCGPDLRPIPPPDKTREDIMLFFKLYDPEKGELRYVGRLLVKLSGKPIEYIAKLNQMAGFAPDEEIELYEEIKFEPCVMCEHLDKRCSFRLSQIEDGDIICFQKPLPIASEEACQYPDVPSFLEYVHNRQIVHFRSLERPKEDDFCLELSKIHTYDDVVERVAHKIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVETLSEMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTIGDVIDELKTKVELSHPNAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEQVQNFGEPFFLVIHEGETLAEVKLRIQKKLQVSDEEFSKWKFAFMSLGQPEYLQDSDIVFKRFQRRDVYGAWEQYLGLEHSDTSPRRTYVNQNRNTFEKPVKIYN >KJB16497 pep chromosome:Graimondii2_0_v6:2:59220177:59231383:1 gene:B456_002G232700 transcript:KJB16497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMTTPPVDQEDEEMLVPHTDIVEGPQPMEVSQVEPANTNENQQVEEPQIMKFTWTIENFSRLNTKKHYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSSALPYGWSRYAQFSLAVVNQVHHKYSIRKDTQHQFNARESDWGFTPFMPLSDLYDPSKGYIVNDTVVVEAEVVVRKILDYWSYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPIGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIEFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDRKYLSPDADTSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLKIRLKKEQEEEDDKRRYKAQAHLYTIIKVARDEDLLEQIGKDIYFDLVDHDKVRSFRIQKQTPFNDFKEELAKEFGIPVQYQRYWIWAKRQNHTYRPNRPLTPQEEAQPVGQLRDMSNKAHNAELKLFLEVGCGPDLRPIPPPDKTREDIMLFFKLYDPEKGELRYVGRLLVKLSGKPIEYIAKLNQMAGFAPDEEIELYEEIKFEPCVMCEHLDKRCSFRLSQIEDGDIICFQKPLPIASEEACQYPDVPSFLEYVHNRQIVHFRSLERPKEDDFCLELSKIHTYDDVVERVAHKIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVETLSEMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTIGDVIDELKTKVELSHPNAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEVPEEEKNLGLNNRLIHVYHFSKETAQNQMQVQNFGEPFFLVIHEGETLAEVKLRIQKKLQVSDEEFSKVGSLHLCHWVNQSTYKTLTLCSNVFREEMFMGLGSNTLG >KJB16496 pep chromosome:Graimondii2_0_v6:2:59220031:59231492:1 gene:B456_002G232700 transcript:KJB16496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMTTPPVDQEDEEMLVPHTDIVEGPQPMEVSQVEPANTNENQQVEEPQIMKFTWTIENFSRLNTKKHYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSSALPYGWSRYAQFSLAVVNQVHHKYSIRKDTQHQFNARESDWGFTPFMPLSDLYDPSKGYIVNDTVVVEAEVVVRKILDYWSYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPIGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIEFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDRKYLSPDADTSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLKIRLKKEQEEEDDKRRYKAQAHLYTIIKVARDEDLLEQIGKDIYFDLVDHDKVRSFRIQKQTPFNDFKEELAKEFGIPVQYQRYWIWAKRQNHTYRPNRPLTPQEEAQPVGQLRDMSNKAHNAELKLFLEVGCGPDLRPIPPPDKTREDIMLFFKLYDPEKGELRYVGRLLVKLSGKPIEYIAKLNQMAGFAPDEEIELYEEIKFEPCVMCEHLDKRCSFRLSQIEDGDIICFQKPLPIASEEACQYPDVPSFLEYVHNRQIVHFRSLERPKEDDFCLELSKIHTYDDVVERVAHKIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVETLSEMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTIGDVIDELKTKVELSHPNAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEVPEEEKNLGLNNRLIHVYHFSKETAQNQMQVQNFGEPFFLVIHEGETLAEVKLRIQKKLQVSDEEFSKWKFAFMSLGQPEYLQDSDIVFKRFQRRDVYGAWEQYLGLEHSDTSPRRTYVNQNRNTFEKPVKIYN >KJB12165 pep chromosome:Graimondii2_0_v6:2:238481:242108:1 gene:B456_002G004300 transcript:KJB12165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIRKQASRFREQVARQQQAVLKQFGAGGYGGSDNLITDEAELQQHQKLEKLYISTRTAKHFQRDIVRGVEGYIIIGSKQVEIETKLSEDSKKYGSENTCTSGNTLSKAALSFGRARAHMEKEHGNLLKALGTQVAEPLRAMVMGSPLEDARHLAQRYDRMRQEAESQTIEVSKRQARMREMPGNHDLVMKLESAETKLHDLKSNMSILGKEAASAMATVEGQQQRLTLQRLIAMVEAERTYHQRVLQILDQLESEMISERQRLEAPPAPSVVDMPSPPPFEEVNGVYTSQTHNESTDCMGYFLGEVMYPYNGESDTELNLSIGDYIVVRKVTNNGWAEGECKGKAGWFPFAYIEKRERVLAGKVTEVF >KJB13602 pep chromosome:Graimondii2_0_v6:2:10623486:10627766:-1 gene:B456_002G083900 transcript:KJB13602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCIFFRWPIGYRQNSKPDSSWAIRGEVWEFLLGCYHPMSTFDERKQIRQNRRAQYVRLKNECSKMFPVVGSGKYITAPVITEDGQPIRNPVVDLETNLDANANRTEMANELTSNGPLDMKVVQWMHTLHQIGLDVKRTDRTLLFYDKPENLSKLWDILSVYAWIDIDVGYCQGMSDLCSPMIIILENEADAFWCFERLMRRLRGNFQCTETFVGVQTQLSNLATVTQVIDPKLHQHLETLGGGNYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFSLYEELELNMEKTEGSKGKSKPTRKYGKYERENMKIKSVDAPLPISVFLVASVLKDKSSVLLHQARGLDDVVKILNDMTGNLDAKKACIGALKLHKKYVKAKTHRNSKSMG >KJB13603 pep chromosome:Graimondii2_0_v6:2:10623833:10627375:-1 gene:B456_002G083900 transcript:KJB13603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAPSEPADSYYEVRPDSTDVPATRFRIKPGKTLSVRKWLAAFSSDGQLDIGKTLNRIHRGGIHPAIRGEVWEFLLGCYHPMSTFDERKQIRQNRRAQYVRLKNECSKMFPVVGSGKYITAPVITEDGQPIRNPVVDLETNLDANANRTEMANELTSNGPLDMKVVQWMHTLHQIGLDVKRTDRTLLFYDKPENLSKLWDILSVYAWIDIDVGYCQGMSDLCSPMIIILENEADAFWCFERLMRRLRGNFQCTETFVGVQTQLSNLATVTQVIDPKLHQHLETLGGGNYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFSLYEELELNMEKTEGSKGKSKPTRKYGKYERENMKIKSVDAPLPISVFLVASVLKDKSSVLLHQARGLDDVVKILNDMTGNLDAKKACIGALKLHKKYVKAKTHRNSKSMG >KJB13946 pep chromosome:Graimondii2_0_v6:2:13114131:13117243:1 gene:B456_002G102900 transcript:KJB13946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIDGLKHLFASVLQCCDIDLYKQSRGLVDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >KJB13945 pep chromosome:Graimondii2_0_v6:2:13115218:13116519:1 gene:B456_002G102900 transcript:KJB13945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIDGLKHLFASVLQCCDIDLYKQSRGLVDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKVITKSDLVEVISLVIFIISFLVFTC >KJB13944 pep chromosome:Graimondii2_0_v6:2:13114267:13117189:1 gene:B456_002G102900 transcript:KJB13944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIDGLKHLFASVLQCCDIDLYKQSRGLVDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >KJB13947 pep chromosome:Graimondii2_0_v6:2:13115188:13117189:1 gene:B456_002G102900 transcript:KJB13947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIDGLKHLFASVLQCCDIDLYKQSRGLVDPELLARETVCIVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >KJB16820 pep chromosome:Graimondii2_0_v6:2:61327152:61329678:1 gene:B456_002G249200 transcript:KJB16820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVSYNLASIIFSVRFLCFVLSFNSIFSTTEFDFGTLSLTSLKLLGDAHLSNGSVRLTRDVEVPDSGAGRVLYSKPVRFRQPGTHKLCSFSTFFSFSISNLNPSSIGGGLAFVISPDSDFVGAGGGSLGLLDDKGQALGFVAVEFDTLMDVEYKDINGNHVGLDLNSVVSSQAADLGGLDIDLKSGNVINSWIEYDSSFGVLNISISYSNLKPKNPILSVSLSLDQYVNDFMYVGFSGSTQGSTEVHSIEWWSFSSRSGSGSGPTSPPPSTATTLTNPTADSVKSPPPLPPSSSSPSPPSSSCHNQLCREGPGAVAGVVTAGAFFLALFAGALIWVYSKKFKHENKLGQSFASEIIKSPKEFTYKELKAATRCFDANRIIGHGAFGTVYKGILPENGDIVAVKRCSHNSQGKNEFLAELLIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPKGSLDKALFEAKTPLPWPERQKILLGVASALAYLHQECDRQVIHRDVKTSNIMLDEAYNAKLGDFGLARQVEHDKSPDATVAAGTMGYLAPEYLLTGRATDKTDVFSYGAVVLEVASGRRPIETGKAPARVSGNLVEWVWGLHKEGKLLTAADAKLEGRFEESAMRRVLLVGLACSHPDPLARPTMRSVVQMLVGEAEVPMVPRTKPSTSFSTSHLLLTLQDSVSDYNGIVTISSSSSENSYIGLDLV >KJB16819 pep chromosome:Graimondii2_0_v6:2:61327122:61329702:1 gene:B456_002G249200 transcript:KJB16819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEYKDINGNHVGLDLNSVVSSQAADLGGLDIDLKSGNVINSWIEYDSSFGVLNISISYSNLKPKNPILSVSLSLDQYVNDFMYVGFSGSTQGSTEVHSIEWWSFSSRSGSGSGPTSPPPSTATTLTNPTADSVKSPPPLPPSSSSPSPPSSSCHNQLCREGPGAVAGVVTAGAFFLALFAGALIWVYSKKFKHENKLGQSFASEIIKSPKEFTYKELKAATRCFDANRIIGHGAFGTVYKGILPENGDIVAVKRCSHNSQGKNEFLAELLIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPKGSLDKALFEAKTPLPWPERQKILLGVASALAYLHQECDRQVIHRDVKTSNIMLDEAYNAKLGDFGLARQVEHDKSPDATVAAGTMGYLAPEYLLTGRATDKTDVFSYGAVVLEVASGRRPIETGKAPARVSGNLVEWVWGLHKEGKLLTAADAKLEGRFEESAMRRVLLVGLACSHPDPLARPTMRSVVQMLVGEAEVPMVPRTKPSTSFSTSHLLLTLQDSVSDYNGIVTISSSSSENSYIGLDLV >KJB17213 pep chromosome:Graimondii2_0_v6:2:26280766:26285744:-1 gene:B456_002G144700 transcript:KJB17213 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC12-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G52190) UniProtKB/Swiss-Prot;Acc:Q8GYE0] MEGEAGGSVSCGSWIRRPENVNWVVLARIMTRPTSSSSSVIEIFPFDPNTTSLSSSPLARHELEESDGDPITIAVHPSGDCFVCSTTNGGCKLFELYGQETHLQLSTKELPPLLDAGPQKCLAFSVDGSRFAAGGVDGRLRILEWPTLRIIVDEVRAHKSFRDMDFSLDSEFLASTSTDGSARIWKTEDGVPLMTLARNSDEKIELCRFSKDGTKPFLFCTVQRVTAVYDISTWNKIGHKRLLGKPASVMSVSLDGKYLALGSKDGDICVVEVKKMEISHWNKRLHLGTSIASLEFCPSQRVVLTTSSQWGAMVTKLNVPADWKEWQIYLLLLGLFLASAVVFYIFFEKSDSFWNFPIAREQTRRNIDSFLGDAQSDDPNVFGPLDM >KJB17212 pep chromosome:Graimondii2_0_v6:2:26280703:26285870:-1 gene:B456_002G144700 transcript:KJB17212 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC12-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G52190) UniProtKB/Swiss-Prot;Acc:Q8GYE0] MLVKQARRGFQNTLLWDPPPKIHNRIRFVSLVPLSLCPAPTLLYFLSQRLLLFINFFFNRSKTQQNFKYLKLKISFISSSMEGEAGGSVSCGSWIRRPENVNWVVLARIMTRPTSSSSSVIEIFPFDPNTTSLSSSPLARHELEESDGDPITIAVHPSGDCFVCSTTNGGCKLFELYGQETHLQLSTKELPPLLDAGPQKCLAFSVDGSRFAAGGVDGRLRILEWPTLRIIVDEVRAHKSFRDMDFSLDSEFLASTSTDGSARIWKTEDGVPLMTLARNSDEKIELCRFSKDGTKPFLFCTVQRGDKAVTAVYDISTWNKIGHKRLLGKPASVMSVSLDGKYLALGSKDGDICVVEVKKMEISHWNKRLHLGTSIASLEFCPSQRVVLTTSSQWGAMVTKLNVPADWKEWQIYLLLLGLFLASAVVFYIFFEKSDSFWNFPIAREQTRRNIDSFLGDAQSDDPNVFGPLDM >KJB15849 pep chromosome:Graimondii2_0_v6:2:53899695:53900530:-1 gene:B456_002G199600 transcript:KJB15849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVEDVVIVGAGIAGLTTSLGLHRLGIRSLVLESSDELRITGFAFTTWSNAWKALDAVGIGESLRHQHYLTPSILVASTFLDQPASEISYKGHEIRCLQRRLLLETLAKELPSDTIRFSSKVVSIDESGFFKRLHLSDGTILKTKVLIGCDGVNSVVAKWLGFDKPVFTGRSAIRGSANFK >KJB16901 pep chromosome:Graimondii2_0_v6:2:61665966:61668218:-1 gene:B456_002G253600 transcript:KJB16901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g42450, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G42450) UniProtKB/Swiss-Prot;Acc:Q9FIH2] MFRLNPNYRFSGAAAATIFNNEAHNPLFIVPKVANTYFCFSSTSFADACKLFDEMSDLDVVSATSIIGTFSKQHLHKEAIYLFTRMLFNNIRPTEFTFGTVIHSSTLLKDLNIGKQLHGCTIKSGLNSNVFVGSASLDLYSKLSTIEEARKVFEDTHQPNVVSYTTLISGYIKNKSFEDALWLFEEMPERNVVTWNAMISGFSQTGYNEEAVNIFIEMLRERVMPNESTFSCVIIAAANIGAIGKGRSLHGYVFKCLGDKLNVFIGNALISFYAKCGNMEDSLLVFDKLRGRNVVSWNALVCGYAQNGRGIEAIELFERMIVSGLKPNDVTILGMLWACSHAGLVTEGYSYFNKVRHKEPNLLKPEHYGCMVDMLARSGRFKEAEEFIQRLPFEPGIGFWKALLGGCQIHSNLKLGEFAARKIMALDPEDVSSYIMLSNAYAAAGRWEIASTVRKEIKEKQMKRIPGCSWIEIRNEVHVFLNKDCKHCQIDDIYRVLKICIQHSLDCEAVSILMEFSI >KJB16902 pep chromosome:Graimondii2_0_v6:2:61665966:61668272:-1 gene:B456_002G253600 transcript:KJB16902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g42450, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G42450) UniProtKB/Swiss-Prot;Acc:Q9FIH2] MFRLNPNYRFSGAAAATIFNNEAHNPLFIVPKVANTYFCFSSTSFADACKLFDEMSDLDVVSATSIIGTFSKQHLHKEAIYLFTRMLFNNIRPTEFTFGTVIHSSTLLKDLNIGKQLHGCTIKSGLNSNVFVGSASLDLYSKLSTIEEARKVFEDTHQPNVVSYTTLISGYIKNKSFEDALWLFEEMPERNVVTWNAMISGFSQTGYNEEAVNIFIEMLRERVMPNESTFSCVIIAAANIGAIGKGRSLHGYVFKCLGDKLNVFIGNALISFYAKCGNMEDSLLVFDKLRGRNVVSWNALVCGYAQNGRGIEAIELFERMIVSGLKPNDVTILGMLWACSHAGLVTEGYSYFNKVLVFGKHYSVAVRFTRI >KJB13166 pep chromosome:Graimondii2_0_v6:2:6879223:6883976:1 gene:B456_002G059900 transcript:KJB13166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMGRQRQRSDAAGVQVHHQRQHSDNFLETTSNGRWLQSAGLQHLHSSNNSVPPLQDYAFYGGGGGSQGSRMYRNVQRGSNTGNDYFTEPTTPPVSSRPPSQRRNGEDSPGEFSPGLLDLHSFDTELLPEMQIPNLYDAPLYNHVRGRSLDDSEPYISNNKQTGKARGVPENSLLKSFAADREKVNSVAKIKVVVRKRPLNKKELAKNEEDIIETLSNSLVVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFQRTKATCFAYGQTGSGKTFTMKPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLFMREDGKQQVCIVGLQEYRASDVETIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKRSVDGNESKPARVVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFMGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNPKKDALSSSLNLKESTARPLSSVLPTALTSEDYINDTWPDQNERDDFAASEDSYEPEKLMWKKNGKPDQYNFSISEDKLRKPNGETRWKEPLRSDFKHSKSDDDLNALLQEEEDLVNAHREQVEQTMNIVKEVSN >KJB13165 pep chromosome:Graimondii2_0_v6:2:6879144:6884726:1 gene:B456_002G059900 transcript:KJB13165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMGRQRQRSDAAGVQVHHQRQHSDNFLETTSNGRWLQSAGLQHLHSSNNSVPPLQDYAFYGGGGGSQGSRMYRNVQRGSNTGNDYFTEPTTPPVSSRPPSQRRNGEDSPGEFSPGLLDLHSFDTELLPEMQIPNLYDAPLYNHVRGRSLDDSEPYISNNKQTGKARGVPENSLLKSFAADREKVNSVAKIKVVVRKRPLNKKELAKNEEDIIETLSNSLVVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFQRTKATCFAYGQTGSGKTFTMKPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLFMREDGKQQVCIVGLQEYRASDVETIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKRSVDGNESKPARVVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFMGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNPKKDALSSSLNLKESTARPLSSVLPTALTSEDYINDTWPDQNERDDFAASEDSYEPEKLMWKKNGKPDQYNFSISEDKLRKPNGETRWKEPLRSDFKHSKSDDDLNALLQEEEDLVNAHREQVEQTMNIVKEEMNLLVEADQPGNHIDDYISRLNAILSQKAVGITQLQTRLSRFQKRLKEHNVLVSSSGY >KJB13167 pep chromosome:Graimondii2_0_v6:2:6879223:6884693:1 gene:B456_002G059900 transcript:KJB13167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMGRQRQRSDAAGVQVHHQRQHSDNFLETTSNGRWLQSAGLQHLHSSNNSVPPLQDYAFYGGGGGSQGSRMYRNVQRGSNTGNDYFTEPTTPPVSSRPPSQRRNGEDSPGEFSPGLLDLHSFDTELLPEIPNLYDAPLYNHVRGRSLDDSEPYISNNKQTGKARGVPENSLLKSFAADREKVNSVAKIKVVVRKRPLNKKELAKNEEDIIETLSNSLVVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFQRTKATCFAYGQTGSGKTFTMKPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLFMREDGKQQVCIVGLQEYRASDVETIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKRSVDGNESKPARVVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFMGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNPKKDALSSSLNLKESTARPLSSVLPTALTSEDYINDTWPDQNERDDFAASEDSYEPEKLMWKKNGKPDQYNFSISEDKLRKPNGETRWKEPLRSDFKHSKSDDDLNALLQEEEDLVNAHREQVEQTMNIVKEEMNLLVEADQPGNHIDDYISRLNAILSQKAVGITQLQTRLSRFQKRLKEHNVLVSSSGY >KJB15848 pep chromosome:Graimondii2_0_v6:2:53898516:53898991:-1 gene:B456_002G1995002 transcript:KJB15848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EELEDDPVKLKQFVMSKLEDIPNEMKSVVGKTELDAIASSPLRYRRPWELLWGNISKGNVCVAGDALHPMTPDLGQGGYAALEDGVVLARCIAEALLKPGGEENNGKIVDEEEEYKRIEMGLKNYAQERRWRSIDLVSTASMVGYIQQNSGKIMNFL >KJB16430 pep chromosome:Graimondii2_0_v6:2:58914634:58921588:-1 gene:B456_002G230000 transcript:KJB16430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAATVIVPLGILFFISGLFVNLIQAVCFVLIRPLSKKTYRKINRVVAELLWLQLVWLVDWWAGVKINVFADNESFSLMGKEHALLLPNHRSDIDWLVGWVLAQRSGCLGSSIAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDENTIKAGLQRLRDYPQPFWLALFVEGTRFTQAKLVAAQEYATSQGLPIPRNVLIPRTKGFVSAVSHMRSFVPAIYDITVAIPKSSPSPTMLRLFKGQSSVVHVHIKRHLMKELPEMDEAVAQWCKDLFVEKDKLLDKHIAEDTFSDQPLQDIGRPAKPLLVVCSWACIVGYGALKFLQQSSLLSSWRGIALSAFILAIVTFLMQILILFTQSERSTPAKVAAGKPRMTGRVQQQ >KJB16432 pep chromosome:Graimondii2_0_v6:2:58914660:58921517:-1 gene:B456_002G230000 transcript:KJB16432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAATVIVPLGILFFISGLFVNLIQAVCFVLIRPLSKKTYRKINRVVAELLWLQLVWLVDWWAGVKINVFADNESFSLMGKEHALLLPNHRSDIDWLVGWVLAQRSGCLGSSIAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDENTIKAGLQRLRDYPQPFWLALFVEGTRFTQAKLVAAQEYATSQGLPIPRNVLIPRTKGFVSAVSHMRSFVPAIYDITVAIPKSSPSPTMLRLFKGQSSVDKLLDKHIAEDTFSDQPLQDIGRPAKPLLVVCSWACIVGYGALKFLQQSSLLSSWRGIALSAFILAIVTFLMQILILFTQSERSTPAKVAAGKPRMTGRVQQQ >KJB16433 pep chromosome:Graimondii2_0_v6:2:58914660:58921517:-1 gene:B456_002G230000 transcript:KJB16433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAATVIVPLGILFFISGLFVNLIQAVCFVLIRPLSKKTYRKINRVVAELLWLQLVWLVDWWAGVKINVFADNESFSLMGNEHALLLPNHRSDIDWLVGWVLAQRSGCLGSSIAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDENTIKAGLQRLRDYPQPFWLALFVEGTRFTQAKLVAAQEYATSQGLPIPRNVLIPRTKGFVSAVSHMRSFVPAIYDITVAIPKSSPSPTMLRLFKGQSSVVHVHIKRHLMKELPEMDEAVAQWCKDLFVEKDKLLDKHIAEDTFSDQPLQDIGRPAKPLLVVCSWACIVGYGALKFLQQSSLLSSWRGIALSAFILAIVTFLMQILILFTQSERSTPAKVAAGKPRMTGRVQQQ >KJB16431 pep chromosome:Graimondii2_0_v6:2:58914660:58921109:-1 gene:B456_002G230000 transcript:KJB16431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEHALLLPNHRSDIDWLVGWVLAQRSGCLGSSIAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDENTIKAGLQRLRDYPQPFWLALFVEGTRFTQAKLVAAQEYATSQGLPIPRNVLIPRTKGFVSAVSHMRSFVPAIYDITVAIPKSSPSPTMLRLFKGQSSVVHVHIKRHLMKELPEMDEAVAQWCKDLFVEKDKLLDKHIAEDTFSDQPLQDIGRPAKPLLVVCSWACIVGYGALKFLQQSSLLSSWRGIALSAFILAIVTFLMQILILFTQSERSTPAKVAAGKPRMTGRVQQQ >KJB16435 pep chromosome:Graimondii2_0_v6:2:58915932:58921522:-1 gene:B456_002G230000 transcript:KJB16435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAATVIVPLGILFFISGLFVNLIQAVCFVLIRPLSKKTYRKINRVVAELLWLQLVWLVDWWAGVKINVFADNESFSLMGKEHALLLPNHRSDIDWLVGWVLAQRSGCLGSSIAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDENTIKAGLQRLRDYPQPFWLALFVEGTRFTQAKLVAAQEYATSQGLPIPRNVLIPRTKGFVSAVSHMRSFVPAIYDITVAIPKSSPSPTMLRLFKGQSSVSLMCVFSKDFTFKFCIIVKFRPSLKV >KJB16434 pep chromosome:Graimondii2_0_v6:2:58915560:58921517:-1 gene:B456_002G230000 transcript:KJB16434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAATVIVPLGILFFISGLFVNLIQAVCFVLIRPLSKKTYRKINRVVAELLWLQLVWLVDWWAGVKINVFADNESFSLMGKEHALLLPNHRSDIDWLVGWVLAQRSGCLGSSIAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDENTIKAGLQRLRDYPQPFWLALFVEGTRFTQAKLVAAQEYATSQGLPIPRNVLIPRTKGFVSAVSHMRSFVPAIYDITVAIPKSSPSPTMLRLFKGQSSVVHVHIKRHLMKELPEMDEAVAQWCKDLFVEKVSIAHLVL >KJB13407 pep chromosome:Graimondii2_0_v6:2:8507179:8511355:1 gene:B456_002G072800 transcript:KJB13407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSDPIPDPETLQPGNAIDLDGEDYDDDEDDAIEEDDEDEDEDEEDEDENDDVLFEPATPRAVKLKMDTLVRRMLTGPVPIHVHNVIITGNTKTKGYIIESQASEALKKATTMQDLLRASDAVNSRLKSLGLFDSVAITLDSGPAEIPGSVNVIIKVEEARNRVSGEIGAYTNAEAKSSSVEGSIKYKNLLGFGDLWDSSIAYGFDRTAEVSAGVYLPRLKTLVAPVTVRAYLLTQDLLTFSSYKERSVGLSVGLFSNRFHNLEYHLAWRHLIDPSQMTSSVKMQLGHDYLSSLKYTLKIDKRNSPVRPTKGYAFIAKTHIGGLAPDSQSLRFLRQEFDLRCAIPLGFYHAALNFGICSGVIFPWGSGFLNRTTSIPERFFLGGNLSPVCALGGPKASWGFKTRATSPYEPKRQIDDENADVASRDSLGGNFTVTALADLSFDLPTRWFRERGIHAHVFAYAGNVAMLIQKFSWNWRCHSYKPISHGA >KJB13406 pep chromosome:Graimondii2_0_v6:2:8507179:8511355:1 gene:B456_002G072800 transcript:KJB13406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSDPIPDPETLQPGNAIDLDGEDYDDDEDDAIEEDDEDEDEDEEDEDENDDVLFEPATPRAVKLKMDTLVRRMLTGPVPIHVHNVIITGNTKTKGYIIESQASEALKKATTMQDLLRASDAVNSRLKSLGLFDSVAITLDSGPAEIPGSVNVIIKVEEARNRVSGEIGAYTNAEAKSSSVEGSIKYKNLLGFGDLWDSSIAYGFDRTAEVSAGVYLPRLKTLVAPVTVRAYLLTQDLLTFSSYKERSVGLSVGLFSNRFHNLEYHLAWRHLIDPSQMTSSVKMQLGHDYLSSLKYTLKIDKRNSPVRPTKGYAFIAKTHIGGLAPDSQSLRFLRQEFDLRCAIPLGFYHAALNFGICSGVIFPWGSGFLNRTTSIPERFFLGGNLSPVCALGGPKASWGFKTRATSPYEPKRQIDDENADVASRDSLGGNFTVTALADLSFDLPTRWFRERGIHAHVFAYAGNVAMLTENEFRSFSMQKFIGSFRSSAGIGVVIPTNRFRMEVNFFGPSI >KJB13405 pep chromosome:Graimondii2_0_v6:2:8507100:8511400:1 gene:B456_002G072800 transcript:KJB13405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSDPIPDPETLQPGNAIDLDGEDYDDDEDDAIEEDDEDEDEDEEDEDENDDVLFEPATPRAVKLKMDTLVRRMLTGPVPIHVHNVIITGNTKTKGYIIESQASEALKKATTMQDLLRASDAVNSRLKSLGLFDSVAITLDSGPAEIPGSVNVIIKVEEARNRVSGEIGAYTNAEAKSSSVEGSIKYKNLLGFGDLWDSSIAYGFDRTAEVSAGVYLPRLKTLVAPVTVRAYLLTQDLLTFSSYKERSVGLSVGLFSNRFHNLEYHLAWRHLIDPSQMTSSVKMQLGHDYLSSLKYTLKIDKRNSPVRPTKGYAFIAKTHIGGLAPDSQSLRFLRQEFDLRCAIPLGFYHAALNFGICSGVIFPWGSGFLNRTTSIPERFFLGGNLSPVCALGGPKASWGFKTRATSPYEPKRQIDDENADVASRDSLGGNFTVTALADLSFDLPTRWFRERGIHAHVFAYAGNVAMLTENEFRSFSMQKFIGSFRSSAGIGVVIPTNRFRMELNYCYILKKFANDHAKAGFWLTFSRPS >KJB12324 pep chromosome:Graimondii2_0_v6:2:786100:791304:1 gene:B456_002G011900 transcript:KJB12324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYAGSMYHGSPLLLSLLGPLTVKRIEGQPNHLLYSLVSVIADVVSAMLIRATGQNLRLAYLRSLESLDLVKQIDVSEFLCSGDIAALIYLCNPFTIVACVGLSTSPIENMAVILCLYGACSRLIPLAAFGWVIATHLSLYPAILIIPVIFLLGCGPDSPPRKLFLQRHQQKEVLNQSKLPPGFSWRPIIHFAFWAFLWSVYVLVLCGISLKQFGGLWEMFKSTYGFILTVEDLSPNIGVLWYFFAEVFEFFRNFFLIVFHVNILFMILPLAIRLRHRPCYLAFVYVAICSMLKSYPSVGDSALYLGLLGWFVNELADMQFSLFLFCGYVGVSLLSPVMHNLWIWRGTGNANFYFTTAMVYACLQIVLVVEGVSAMLNHDRKLRILITGKPQDAKS >KJB12323 pep chromosome:Graimondii2_0_v6:2:786043:791410:1 gene:B456_002G011900 transcript:KJB12323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVKKKIGSFSFWNWVIASIIFRLILIYFPKNLNLSSRPEVSTPLTSFRRLAEGYWLKQSSMSSYAGSMYHGSPLLLSLLGPLTVKRIEGQPNHLLYSLVSVIADVVSAMLIRATGQNLRLAYLRSLESLDLVKQIDVSEFLCSGDIAALIYLCNPFTIVACVGLSTSPIENMAVILCLYGACSRLIPLAAFGWVIATHLSLYPAILIIPVIFLLGCGPDSPPRKLFLQRHQQKEVLNQSKLPPGFSWRPIIHFAFWAFLWSVYVLVLCGISLKQFGGLWEMFKSTYGFILTVEDLSPNIGVLWYFFAEVFEFFRNFFLIVFHVNILFMILPLAIRLRHRPCYLAFVYVAICSMLKSYPSVGDSALYLGLLGWFVNELADMQFSLFLFCGYVGVSLLSPVMHNLWIWRGTGNANFYFTTAMVYACLQIVLVVEGVSAMLNHDRKLRILITGKPQDAKS >KJB16575 pep chromosome:Graimondii2_0_v6:2:59986040:59987401:-1 gene:B456_002G237400 transcript:KJB16575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSESLVTKRLDGKVALITGGASGLGECSARLFLKHGAKVLIADIQDELGHSLCKELGTENFSYVHCDVTCESDVENAVNLAVSKYGKLDIMFNNAGLIGDGEVRVTDASTDNFKRVFDINVLGGFLGAKYAAKVMVPAKKGCILFSSSISSKISIGLPHAYKASKHGVVGLTKSLAVELGEHGIRVNCISPHATVTPLFQTTLGLFDKKRGEEMIAASAVLKGTVLEPEDFAHAALYLASDEAKFISGVNVPVDGGYNLSNQSWKMGFAALFG >KJB11909 pep chromosome:Graimondii2_0_v6:2:2181872:2183552:1 gene:B456_002G029300 transcript:KJB11909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSNGNGSMSTASSSGAWDGRDQFPAGLRVLVVDDDPTCLMIFEKMLKACLYQVTKCNKAETALSVLREHKNEFDIVISDVHMPDMDGFELLKHITSEMDLPVIRKNLLFIILLWIHRVRSKFLNFDPNSKN >KJB11908 pep chromosome:Graimondii2_0_v6:2:2181779:2184107:1 gene:B456_002G029300 transcript:KJB11908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSNGNGSMSTASSSGAWDGRDQFPAGLRVLVVDDDPTCLMIFEKMLKACLYQVTKCNKAETALSVLREHKNEFDIVISDVHMPDMDGFELLKHITSEMDLPVILISADARKQVVMEGVTYGACDYLIKPVRIETLKNIWQHVVRKKARNTV >KJB13965 pep chromosome:Graimondii2_0_v6:2:13152106:13155627:-1 gene:B456_002G103400 transcript:KJB13965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQVAMEFLPKDPSEEEFRRALCNGFILCNVLNKVNPGAVPKVVKASMTSSEAAESPNQDSENMRNFLAAVKDKQLLAFETSDMEKGGSINKVVDCILCLKGYHEWKKAGGVGVWRYGGTVKITSSPNELPNALTATERAADESGEELELSKYEQLREFIQLSNKASIEESKTTNALTFLFDRFGLWLLQAYLTDGNMDEEFPLNAMVIDAFLSKIVNNFSTLLVSQGIKLGLFLKKILKADDGPVSKSDFIEATSDYIDKRSNLQAATDISKAYICIGNNEVVLNSVCRSPGRVEILTDLIQRHIEDLKLFFRETRLEVRKFHSYWEADIKRLEHHVRDLEVASSSYLKILQENQMLFNEVLDLKGKIRIYCRVRPILPGESKDQSTVDYVGENGSILIVNSLRKGKDSKKVFSFDRVFGPTVSQEQIYTNIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGYDLNTKETWGVNFRAICDLFQISKTREDVIEYKVGVQMIEIYNEQVRDLLVIDGSTKRLDIYNNTQLNGLTVPDASWVPVSSTQDVLDLIRIGQKNRIVGPTAFNERSSRSHSILTVHVHGKELVSGSIFKGSLNLVDLAGSERMDKSKVQGDRLKEAQYINRSLSALGDVISALAQKSTHIPYRNSKLTQILQNSLGGHAKTLMLVHISPEPDAIGETISTLKFAERVASIELGAARSNKETDDILELKEEVKFLSFLFNGWHPFCLFLNATYPC >KJB13963 pep chromosome:Graimondii2_0_v6:2:13151029:13156833:-1 gene:B456_002G103400 transcript:KJB13963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAWIEGKVLTLDESEAGELDGRRLALVGKIIADKPLSKGGVQGVFRRVWGEWRDFTITELSENTFLFTFKEARAAEQILEDGPWSVMGYCICLHRWQPGLTLDELDFSQVAYWVQVHGLSLDQMSPKNAKKVGDQIGKVLEIEDPISSHGIRRGFFRIRVLIDVSKPLPSGFWASRAGKSNIWVSFKYEHLADFCYRCGCVGHVEFHCDKDTVMSIPDPSLPKYGPWMRANPSVSSMKALAEMEKAPHKAKQVMASRRYQAAEWLRQMDQVAMEFLPKDPSEEEFRRALCNGFILCNVLNKVNPGAVPKVVKASMTSSEAAESPNQDSENMRNFLAAVKDKQLLAFETSDMEKGGSINKVVDCILCLKGYHEWKKAGGVGVWRYGGTVKITSSPNELPNALTATERAADESGEELELSKYEQLREFIQLSNKASIEESKTTNALTFLFDRFGLWLLQAYLTDGNMDEEFPLNAMVIDAFLSKIVNNFSTLLVSQGIKLGLFLKKILKADDGPVSKSDFIEATSDYIDKRSNLQAATDISKAYICIGNNEVVLNSVCRSPGRVEILTDLIQRHIEDLKLFFRETRLEVRKFHSYWEADIKRLEHHVRDLEVASSSYLKILQENQMLFNEVLDLKGKIRIYCRVRPILPGESKDQSTVDYVGENGSILIVNSLRKGKDSKKVFSFDRVFGPTVSQEQIYTNIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGYDLNTKETWGVNFRAICDLFQISKTREDVIEYKVGVQMIEIYNEQVRDLLVIDGSTKRLDIYNNTQLNGLTVPDASWVPVSSTQDVLDLIRIGQKNRIVGPTAFNERSSRSHSILTVHVHGKELVSGSIFKGSLNLVDLAGSERMDKSKVQGDRLKEAQYINRSLSALGDVISALAQKSTHIPYRNSKLTQILQNSLGGHAKTLMLVHISPEPDAIGETISTLKFAERVASIELGAARSNKETDDILELKEEARTVSSAKLRRSKFSSALIGKDISLKVPEERASRLGKPQSPTPPVKRYLSGDKGASTRNKVKFDVVENQPMSKVVVPVKAHGTRSLTPVPEITSTDNNSGVHADHKSDESKLNSLMHSTASSLGKVSQNLKKSSFF >KJB13962 pep chromosome:Graimondii2_0_v6:2:13150359:13156833:-1 gene:B456_002G103400 transcript:KJB13962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAWIEGKVLTLDESEAGELDGRRLALVGKIIADKPLSKGGVQGVFRRVWGEWRDFTITELSENTFLFTFKEARAAEQILEDGPWSVMGYCICLHRWQPGLTLDELDFSQVAYWVQVHGLSLDQMSPKNAKKVGDQIGKVLEIEDPISSHGIRRGFFRIRVLIDVSKPLPSGFWASRAGKSNIWVSFKYEHLADFCYRCGCVGHVEFHCDKDTVMSIPDPSLPKYGPWMRANPSVSSMKALAEMEKAPHKAKQVMASRRYQAAEWLRQMDQVAMEFLPKDPSEEEFRRALCNGFILCNVLNKVNPGAVPKVVKASMTSSEAAESPNQDSENMRNFLAAVKDKQLLAFETSDMEKGGSINKVVDCILCLKGYHEWKKAGGVGVWRYGGTVKITSSPNELPNALTATERAADESGEELELSKYEQLREFIQLSNKASIEESKTTNALTFLFDRFGLWLLQAYLTDGNMDEEFPLNAMVIDAFLSKIVNNFSTLLVSQGIKLGLFLKKILKADDGPVSKSDFIEATSDYIDKRSNLQAATDISKAYICIGNNEVVLNSVCRSPGRVEILTDLIQRHIEDLKLFFRETRLEVRKFHSYWEADIKRLEHHVRDLEVASSSYLKILQENQMLFNEVLDLKGKIRIYCRVRPILPGESKDQSTVDYVGENGSILIVNSLRKGKDSKKVFSFDRVFGPTVSQEQIYTNIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGYDLNTKETWGVNFRAICDLFQISKTREDVIEYKVGVQMIEIYNEQVRDLLVIDGSTKRLDIYNNTQLNGLTVPDASWVPVSSTQDVLDLIRIGQKNRIVGPTAFNERSSRSHSILTVHVHGKELVSGSIFKGSLNLVDLAGSERMDKSKVQGDRLKEAQYINRSLSALGDVISALAQKSTHIPYRNSKLTQILQNSLGGHAKTLMLVHISPEPDAIGETISTLKFAERVASIELGAARSNKETDDILELKEEITNLKLALEKKEAEVEQFKVGNAGSITPSQKAKVLRFGISSNFKPETYQRPNDDTKGSEARTVSSAKLRRSKFSSALIGKDISLKVPEERASRLGKPQSPTPPVKRYLSGDKGASTRNKVKFDVVENQPMSKVVVPVKAHGTRSLTPVPEITSTDNNSGVHADHKSDESKLNSLMHSTASSLGKVSQNLKKSSFF >KJB13964 pep chromosome:Graimondii2_0_v6:2:13151116:13155693:-1 gene:B456_002G103400 transcript:KJB13964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQVAMEFLPKDPSEEEFRRALCNGFILCNVLNKVNPGAVPKVVKASMTSSEAAESPNQDSENMRNFLAAVKDKQLLAFETSDMEKGGSINKVVDCILCLKGYHEWKKAGGVGVWRYGGTVKITSSPNELPNALTATERAADESGEELELSKYEQLREFIQLSNKASIEESKTTNALTFLFDRFGLWLLQAYLTDGNMDEEFPLNAMVIDAFLSKIVNNFSTLLVSQGIKLGLFLKKILKADDGPVSKSDFIEATSDYIDKRSNLQAATDISKAYICIGNNEVVLNSVCRSPGRVEILTDLIQRHIEDLKLFFRETRLEVRKFHSYWEADIKRLEHHVRDLEVASSSYLKILQENQMLFNEVLDLKGKIRIYCRVRPILPGESKDQSTVDYVGENGSILIVNSLRKGKDSKKVFSFDRVFGPTVSQEQIYTNIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGYDLNTKETWGVNFRAICDLFQISKTREDVIEYKVGVQMIEIYNEQVRDLLVIDGSTKRLDIYNNTQLNGLTVPDASWVPVSSTQDVLDLIRIGQKNRIVGPTAFNERSSRSHSILTVHVHGKELVSGSIFKGSLNLVDLAGSERMDKSKVQGDRLKEAQYINRSLSALGDVISALAQKSTHIPYRNSKLTQILQNSLGGHAKTLMLVHISPEPDAIGETISTLKFAERVASIELGAARSNKETDDILELKEEITNLKLALEKKEAEVEQFKVGNAGSITPSQKAKVLRFGISSNFKPETYQRPNDDTKGSEARTVSSAKLRRSKFSSALIGKDISLKVPEERASRLGKPQSPTPPVKRYLSGDKGASTRNKVKFDVVENQPMSKVVVPVKAHGTRSLTPVPEITSTDNNSGVHADHKSDESKLNSLMHSTASSLGKVSQNLKKSSFF >KJB14444 pep chromosome:Graimondii2_0_v6:2:18592948:18593797:1 gene:B456_002G125200 transcript:KJB14444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLNAVGHQSTNWMVLLVLIIATAAFTSLGTTSSLYINQQAMYFPWRVSHLAIIEAGSNALLVISATDSCSW >KJB12881 pep chromosome:Graimondii2_0_v6:2:3517190:3518331:-1 gene:B456_002G042400 transcript:KJB12881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREMIFIMIYVSFDMILGAYLIGNMTALIVKGSKKEKFRDKMADVIKYMNRNKLERDHRNQIKGHLRLQYENFINQIVIRLHEEFFLSGEVIMEQGNVVDQLYFVCHVKQLESNISFHIGRQEAELALRVNGVAYNGDFYQLKILIRVGADPNKTDCDGKSPSHLTASKGYEDITSFLIRHSVDINLKDKFRNTPLLESIKNGHDNLAALRIKEGASLNIDDTDRWGNTPLDEAQMCGNKNLIKLLEDATWEPLSAIQSKFPHLHP >KJB17195 pep chromosome:Graimondii2_0_v6:2:41939413:41942659:-1 gene:B456_002G1686007 transcript:KJB17195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTECFGPLGWWHCFTSMITFLEDCVPQYHIFLENESTKKQEHVSEDENQEKGCCSETDDKKGIHKSFLEYARERFATTALPLRRCVSILHTHIPKIYFQAHNFKDLETLSGLLNSLETCLFFDGLASEEVEELLLRSKDDKLLPQNLCDPSRLLCSIRSQCLSVLRRLRDSLGQLKLPSARNKDSLVQFCFQTASLFFSTACSSYKLYKLEMKPLNVLVIDEAAQLKECESVIPMQLPGIVHSILIGDEWQLPATVQSNVSNEAGFGRSLFQRLTTLGHSKHLLNIQYRMHPSISFFPNACFYNKRILDAAGVKHKSYEKHYLPWPMFGPYSFINVSGREEKDDAGRSHRNMVEVALVQRLVQTLFKAWNSSRERLSVGIISPYAAQVVAIQEKLGRKYEKTDGFAVKVKSVDGFQGGEEDIIIISTVRSNSSGALGFVSNAQRTNVALTRARHCLWILGDGRTLAKHEFVWQGLVHDAKMRHCFFNADEEKGLAKAIFDAKKEFDQLDDLLNHDSVLFKNARWKVLFSDNFRKSFGKVKSAQTQKSVLNLLLKLSCGWRPKKRNVDLICESSSMVLKQFKVEGLYIVCSIDVVKEQRYTQVLKAWDLLPLEDIVRLVKRLDGIFKMYTDDFICHCNEKYLEGDLEVPKSWTTSFDIVRFKTLSQDEIKNSSCGSSASDNRCYLENSKVSESLLLMKFYSLSSGVVSHLLFDHDGREPELPFEVTDHERDIILFPRSTFILGRSGTGKTTVLTMRLFKQEQLHLLATEGFDAVNTNRVNDVCLANRNMGGVGGTEATPLRQLFVTVSPKLCYAVKNHVLQLK >KJB17196 pep chromosome:Graimondii2_0_v6:2:41939466:41942659:-1 gene:B456_002G1686007 transcript:KJB17196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTECFGPLGWWHCFTSMITFLEDCVPQYHIFLENESTKKQEHVSEDENQEKGCCSETDDKKGIHKSFLEYARERFATTALPLRRCVSILHTHIPKIYFQAHNFKDLETLSGLLNSLETCLFFDGLASEEVEELLLRSKDDKLLPQNLCDPSRLLCSIRSQCLSVLRRLRDSLGQLKLPSARNKDSLVQFCFQTASLFFSTACSSYKLYKLEMKPLNVLVIDEAAQLKECESVIPMQLPGIVHSILIGDEWQLPATVQSNVSNEAGFGRSLFQRLTTLGHSKHLLNIQYRMHPSISFFPNACFYNKRILDAAGVKHKSYEKHYLPWPMFGPYSFINVSGREEKDDAGRSHRNMVEVALVQRLVQTLFKAWNSSRERLSVGIISPYAAQVVAIQEKLGRKYEKTDGFAVKVKSVDGFQGGEEDIIIISTVRSNSSGALGFVSNAQRTNVALTRARHCLWILGDGRTLAKHEFVWQGLVHDAKMRHCFFNADEEKGLAKAIFDAKKEFDQLDDLLNHDSVLFKNASDNFRKSFGKVKSAQTQKSVLNLLLKLSCGWRPKKRNVDLICESSSMVLKQFKVEGLYIVCSIDVVKEQRYTQVLKAWDLLPLEDIVRLVKRLDGIFKMYTDDFICHCNEKYLEGDLEVPKSWTTSFDIVRFKTLSQDEIKNSSCGSSASDNRCYLENSKVSESLLLMKFYSLSSGVVSHLLFDHDGREPELPFEVTDHERDIILFPRSTFILGRSGTGKTTVLTMRLFKQEQLHLLATEGFDAVNTNRVNDVCLANRNMGGVGGTEATPLRQLF >KJB15309 pep chromosome:Graimondii2_0_v6:2:42783648:42788079:1 gene:B456_002G170400 transcript:KJB15309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQSGAAVALPELLIDSASGTSHHLDSLHFPLHNTTDSVMLQSSDNKTGRWSNLLLAYKTLGVVFGGLVTSPLYVYPSMHLNSPTEDDYLGIYSIMFWTLTLIGVVKYACIALKADDQGEGGTFALYSLLCRNMNIGILSSKSSDVNSSQSRCVFNEDNKQKSRLGKVFETSMVARRALLFIAMLGTCMLIGDGILTPSISVLSAMDGLRAPFPSISKSLVEALSAVVLFILFLLQKFGTSRVSFLFSPIMGAWTLSTPLVGIYSIIHHYPNIFKALSPYYIFHFFWRNGKEGWLMLGGTILCITGSEALFADLGHFNRSSIQIAFLFTIYPSLILTYAGQTAYLIKNPNDHMDGFYKFIPKSIYWPIFIIATSAAVVASQSLISATFSVIKQSVVLDYFPRVKVVHTSSNKEGEVYSPEVNYILMVFCIAVILIFGDGQDIGNAFGVVVSLVMLITTILLTLVMIIIWRTPSLLVALYFIIFFMMEGVYVSAVLTKIPEGGWIPFAISFILSFIMFGWYYGRQRKIQYELTHKIDLGRLGMLLSDPSVQRVPGLCFFYTKIQNGLTPILGHYTKNMRSLHKVTIFTTLRYLLVPKVSPHERIVVKKLGLRGVYACVIQYGYADSLNLEGDNFVSQVLDSLQEHIENCSSLPSDHMPVQEEISELCEAKTAGVIHVRGKARFHIGKSTSFFDRFMLAFYEVLHKNCRPALPALGVPLPQCLEVGMFYEA >KJB14389 pep chromosome:Graimondii2_0_v6:2:17613456:17619047:1 gene:B456_002G122600 transcript:KJB14389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKETVLPRVLEQVVNCKDDLAQYYLMDCIIQVFPDEYHLQTLETLLGACPQLQPTVDVKTVLSRLMDRLSNYAASSADVLPEFLQVEAFSKLSNAIGKVIEAQLDMPAVGAITLYVSLLTFTLRVHPDRLDHVDQVLGACVKKLSNIPKLEDSRAMKQVVALLSAPLEKYNDIVTALTLSNYPRVMDHLDIGTNKLMAMVIIQSIMKNNSCISTADKVEVLFELIKGLIKDIDGADVDELDEEDFKEEQNSVARLIHMLYNDEPEEMLKIICIVRKHTMVGGPKRLPFTVSSLVFSALRLLRQLQGQEGDIVGEEASMTPNKNFQLLTQIIESLSAVPSPELALRLYLQCAEAANGCDIEHVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGTLQRMNVFGVENRDTLTHKATGYSARLLKKADQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQMANVARGSGGPVSLFVEILNKYIYFFEKGNKQITSSAIQGLIELINTEMQSDSTNPDSVADAFLASTLRYIQFQKQKGGVMGEKFESIKL >KJB14386 pep chromosome:Graimondii2_0_v6:2:17612340:17618883:1 gene:B456_002G122600 transcript:KJB14386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFDELKRLELFFKDDSKHGVSVVDLYELVQHAGNILPRLYLLCTVGSIYIKSKEAPAKEVLKDLVEMCRGVQHPIRGLFLRSYLAQISRDKLPDIGSEYEGDADTVMDAVDFVLQNFTEMNKLWVRMQHQGPGGVREKREKERSELQDLVGKNLHVLSQIEGVDLEMYKETVLPRVLEQVVNCKDDLAQYYLMDCIIQVFPDEYHLQTLETLLGACPQLQPTVDVKTVLSRLMDRLSNYAASSADVLPEFLQVEAFSKLSNAIGKVIEAQLDMPAVGAITLYVSLLTFTLRVHPDRLDHVDQVLGACVKKLSNIPKLEDSRAMKQVVALLSAPLEKYNDIVTALTLSNYPRVMDHLDIGTNKLMAMVIIQSIMKNNSCISTADKVEVLFELIKGLIKDIDGADVDELDEEDFKEEQNSVARLIHMLYNDEPEEMLKIICIVRKHTMVGGPKRLPFTVSSLVFSALRLLRQLQGQEGDIVGEEASMTPNKNFQLLTQIIESLSAVPSPELALRLYLQCAEAANGCDIEHVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGTLQRMNVFGVENRDTLTHKATGYSARLLKKADQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQMANVARGSGGPVSLFVEILNKYIYFFEKGNKQITSSAIQGLIELINTEMQSDSTNPDSVADAFLASTLRYIQFQKQKGGVMGEKFESIKL >KJB14387 pep chromosome:Graimondii2_0_v6:2:17611798:17619047:1 gene:B456_002G122600 transcript:KJB14387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGTEDEEKWLAEGIAGIQHNAFYMHRALDSNNLREALKYSAQMLSELRTSKLSPHKYYELYMRAFDELKRLELFFKDDSKHGVSVVDLYELVQHAGNILPRLYLLCTVGSIYIKSKEAPAKEVLKDLVEMCRGVQHPIRGLFLRSYLAQISRDKLPDIGSEYEGDADTVMDAVDFVLQNFTEMNKLWVRMQHQGPGGVREKREKERSELQDLVGKNLHVLSQIEGVDLEMYKETVLPRVLEQVVNCKDDLAQYYLMDCIIQVFPDEYHLQTLETLLGACPQLQPTVDVKTVLSRLMDRLSNYAASSADVLPEFLQVEAFSKLSNAIGKVIEAQLDMPAVGAITLYVSLLTFTLRVHPDRLDHVDQVLGACVKKLSNIPKLEDSRAMKQVVALLSAPLEKYNDIVTALTLSNYPRVMDHLDIGTNKLMAMVIIQSIMKNNSCISTADKVEVLFELIKGLIKDIDGADVDELDEEDFKEEQNSVARLIHMLYNDEPEEMLKIICIVRKHTMVGGPKRLPFTVSSLVFSALRLLRQLQGQEGDIVGEEASMTPNKNFQLLTQAANGCDIEHVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGTLQRMNVFGVENRDTLTHKATGYSARLLKKADQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQMANVARGSGGPVSLFVEILNKYIYFFEKGNKQITSSAIQGLIELINTEMQSDSTNPDSVADAFLASTLRYIQFQKQKGGVMGEKFESIKL >KJB14390 pep chromosome:Graimondii2_0_v6:2:17614592:17619109:1 gene:B456_002G122600 transcript:KJB14390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLSNYAASSADVLPEFLQVEAFSKLSNAIGKVIEAQLDMPAVGAITLYVSLLTFTLRVHPDRLDHVDQVLGACVKKLSNIPKLEDSRAMKQVVALLSAPLEKYNDIVTALTLSNYPRVMDHLDIGTNKLMAMVIIQSIMKNNSCISTADKVEVLFELIKGLIKDIDGADVDELDEEDFKEEQNSVARLIHMLYNDEPEEMLKIICIVRKHTMVGGPKRLPFTVSSLVFSALRLLRQLQGQEGDIVGEEASMTPNKNFQLLTQIIESLSAVPSPELALRLYLQCAEAANGCDIEHVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGTLQRMNVFGVENRDTLTHKATGYSARLLKKADQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQMANVARGSGGPVSLFVEILNKYIYFFEKGNKQITSSAIQGLIELINTEMQSDSTNPDSVADAFLASTLRYIQFQKQKGGVMGEKFESIKL >KJB14388 pep chromosome:Graimondii2_0_v6:2:17611798:17619047:1 gene:B456_002G122600 transcript:KJB14388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGTEDEEKWLAEGIAGIQHNAFYMHRALDSNNLREALKYSAQMLSELRTSKLSPHKYYELYMRAFDELKRLELFFKDDSKHGVSVVDLYELVQHAGNILPRLYLLCTVGSIYIKSKEAPAKEVLKDLVEMCRGVQHPIRGLFLRSYLAQISRDKLPDIGSEYEGDADTVMDAVDFVLQNFTEMNKLWVRMQHQGPGGVREKREKERSELQDLVGKNLHVLSQIEGVDLEMYKETVLPRVLEQVVNCKDDLAQYYLMDCIIQVFPDEYHLQTLETLLGACPQLQPTVDVKTVLSRLMDRLSNYAASSADVLPEFLQVEAFSKLSNAIGKVIEAQLDMPAVGAITLYVSLLTFTLRVHPDRLDHVDQVLGACVKKLSNIPKLEDSRAMKQVVALLSAPLEKYNDIVTALTLSNYPRVMDHLDIGTNKLMAMVIIQSIMKNNSCISTADKVEVLFELIKGLIKDIDGADVDELDEEDFKEEQNSVARLIHMLYNDEPEEMLKIICIVRKHTMVGGPKRLPFTVSSLVFSALRAANGCDIEHVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGTLQRMNVFGVENRDTLTHKATGYSARLLKKADQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQMANVARGSGGPVSLFVEILNKYIYFFEKGNKQITSSAIQGLIELINTEMQSDSTNPDSVADAFLASTLRYIQFQKQKGGVMGEKFESIKL >KJB13323 pep chromosome:Graimondii2_0_v6:2:7899280:7902569:1 gene:B456_002G068000 transcript:KJB13323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGSADRSKEAVGMMALHEALRSVCLNSDWTYSVFWTIRPRLRVRGGNGCKVGDDSGSLMLMWEDGFCRGRVTDYLEEIDGEDPVKKAFSKLSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNVSNYWQSSFDALPSEWTDQFDSGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSSFYLSQMFSSNRNGSSSTTVPSKQSAIPTWPPPPPAVFGWNQRPLPPATPMVASPNYQNPARLGFPQAKDETNMFLMPHSSETRMEDMMSEHENDIKWPNGLTFFNALTGRNEDAKLLVNPESLGNKQDPNHLPLMVEGKDSNRNSDTDANPTEFLSLDSHPENIRKMENKFKRSFTLPARMATSSSSGLLDQQQQQQADAGMYADAMDTFLK >KJB15986 pep chromosome:Graimondii2_0_v6:2:55275833:55298021:-1 gene:B456_002G206800 transcript:KJB15986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPSFLAAFSLLQLILLMQGLCAEKTDSGGLSVSYLTSGERHSEEYCAMYDICGQRSDGKVLNCPYGSPSVKPDELFSAKIQSLCPSISGNVCCTEDQFNTLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSEINGNLTVDGIDFFVSDAFGEGLYDSCKEVKFGTMNTRAIEFIGAGATNFKEWFDFIGQKAPLGFPGSPYAIDFKSTVPDLSGMELMNVSTYSCGDTSLGCSCGDCPSSPQCSNSEPPSPSKKDHCSITIGPIKVRCIDFALAIAYIILVLGLFGWALFHRQRERILASDREPLLKSTDEDEANLSNMQYDENLALEGRGVTEQLKNGAQLSFIQGYMSSFYRSYGKWVARNPTLVLCLSLAVVIVLCFGLIRIEVETRPEKLWVGHGSKAAEEKQFFDSHLAPFYRIEQLILATLPDKTHGKPPSIISDDNIQLLFEIQEKVDGIRANYSGLKVSLIDICLKPLGQDCATQSVLQYFKMDRENFDNYGGVTHAEYCFQHYTSSDTCLSAFEAPLDPSTALGGFSGINYSEASAFVVTYPVNNAIDEAGNGNGKAVAWEKAFIKLAKEELLPLVQSRNLSLSFSSESSIEEELKRESTADIITIAVSYLVMFAYISITLGDASRLSTFYISSKVSLGLSGVVLVMLSVLGSVGFFSAFGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEERISNALVEVGPSITLASLSEILAFAVGGFTPMPACRVFSLLAALAVLLDFLLQVAAFVSLIVFDCLRAEDNRIDCFPCIKIPSSAEESDEGMNSRGSGLLTRYMQEIHAPFLGLRGVKVVVVAVFVAFALASIALSTRIDSGLEQQIVLPRDSYLQGYFTNISEYLRIGPPLYFVVKDYNYSLESRDTNKLCSINRCDSNSLLNEISRASLVSESSYIAKPAASWLDDFLVWLSPEAFGCCRKFTNGSYCPPDDQPPCCSPDGGSCGLGGVCKDCTTCFRHSDLVNDRPSTEQFREKLPWFLNALPSADCAKGGHGAYTSSVDLSGYESGVIKASEFRTYHTPLNGQGDYVNALRAAREFSSRISDSLKMQIFPYSVFYIFFEQYLDIWTIALTNIAIVSNGDRDQRMKKALSTIGASVFSGITLTKLVGVIVLFFSRSELFVVYYFQMYLALVLVGFLHGLVFLPVVLSLMGPPARNIA >KJB15987 pep chromosome:Graimondii2_0_v6:2:55281186:55298147:-1 gene:B456_002G206800 transcript:KJB15987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPSFLAAFSLLQLILLMQGLCAEKTDSGGLSVSYLTSGERHSEEYCAMYDICGQRSDGKVLNCPYGSPSVKPDELFSAKIQSLCPSISGNVCCTEDQFNTLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSEINGNLTVDGIDFFVSDAFGEGLYDSCKEVKFGTMNTRAIEFIGAGATNFKEWFDFIGQKAPLGFPGSPYAIDFKSTVPDLSGMELMNVSTYSCGDTSLGCSCGDCPSSPQCSNSEPPSPSKKDHCSITIGPIKVRCIDFALAIAYIILVLGLFGWALFHRQRERILASDREPLLKSTDEDEANLSNMQYDENLALEGRGVTEQLKNGAQLSFIQGYMSSFYRSYGKWVARNPTLVLCLSLAVVIVLCFGLIRIEVETRPEKLWVGHGSKAAEEKQFFDSHLAPFYRIEQLILATLPDKTHGKPPSIISDDNIQLLFEIQEKVDGIRANYSGLKVSLIDICLKPLGQDCATQSVLQYFKMDRENFDNYGGVTHAEYCFQHYTSSDTCLSAFEAPLDPSTALGGFSGINYSEASAFVVTYPVNNAIDEAGNGNGKAVAWEKAFIKLAKEELLPLVQSRNLSLSFSSESSIEEELKRESTADIITIAVSYLVMFAYISITLGDASRLSTFYISSKVSLGLSGVVLVMLSVLGSVGFFSAFGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEERISNALVEVGPSITLASLSEILAFAVGGFTPMPACRVFSLLAALAVLLDFLLQVAAFVSLIVFDCLRAEDNRIDCFPCIKIPSSAEESDEGMNSRGSGLLTRYMQEIHAPFLGLRGVKVVVVAVFVAFALASIALSTRIDSGLEQQIVLPRDSYLQGYFTNISEYLRIGPPLYFVVKDYNYSLESRDTNKFIVAFWIINRMISFMGLQVLVNYSL >KJB15985 pep chromosome:Graimondii2_0_v6:2:55275793:55298147:-1 gene:B456_002G206800 transcript:KJB15985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPSFLAAFSLLQLILLMQGLCAEKTDSGGLSVSYLTSGERHSEEYCAMYDICGQRSDGKVLNCPYGSPSVKPDELFSAKIQSLCPSISGNVCCTEDQFNTLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSEINGNLTVDGIDFFVSDAFGEGLYDSCKEVKFGTMNTRAIEFIGAGATNFKEWFDFIGQKAPLGFPGSPYAIDFKSTVPDLSGMELMNVSTYSCGDTSLGCSCGDCPSSPQCSNSEPPSPSKKDHCSITIGPIKVRCIDFALAIAYIILVLGLFGWALFHRQRERILASDREPLLKSTDEDEANLSNMQYDENLALEGRGVTEQLKNGAQLSFIQGYMSSFYRSYGKWVARNPTLVLCLSLAVVIVLCFGLIRIEVETRPEKLWVGHGSKAAEEKQFFDSHLAPFYRIEQLILATLPDKTHGKPPSIISDDNIQLLFEIQEKVDGIRANYSGLKVSLIDICLKPLGQDCATQSVLQYFKMDRENFDNYGGVTHAEYCFQHYTSSDTCLSAFEAPLDPSTALGGFSGINYSEASAFVVTYPVNNAIDEAGNGNGKAVAWEKAFIKLAKEELLPLVQSRNLSLSFSSESSIEEELKRESTADIITIAVSYLVMFAYISITLGDASRLSTFYISSKVSLGLSGVVLVMLSVLGSVGFFSAFGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEERISNALVEVGPSITLASLSEILAFAVGGFTPMPACRVFSLLAALAVLLDFLLQVAAFVSLIVFDCLRAEDNRIDCFPCIKIPSSAEESDEGMNSRGSGLLTRYMQEIHAPFLGLRGVKVVVVAVFVAFALASIALSTRIDSGLEQQIVLPRDSYLQGYFTNISEYLRIGPPLYFVVKDYNYSLESRDTNKLCSINRCDSNSLLNEISRASLVSESSYIAKPAASWLDDFLVWLSPEAFGCCRKFTNGSYCPPDDQPPCCSPDGGSCGLGGVCKDCTTCFRHSDLVNDRPSTEQFREKLPWFLNALPSADCAKGGHGAYTSSVDLSGYESGVIKASEFRTYHTPLNGQGDYVNALRAAREFSSRISDSLKMQIFPYSVFYIFFEQYLDIWTIALTNIAIALGAIFIVCLVITSSLWISTIIVLVLVMIVVDLMGVMAILGIQLNAVSVVNLIMSIGIAVEFCVHIANAFLVSNGDRDQRMKKALSTIGASVFSGITLTKLVGVIVLFFSRSELFVVYYFQMYLALVLVGFLHGLVFLPVVLSLMGPPARNIA >KJB15488 pep chromosome:Graimondii2_0_v6:2:47526734:47527267:1 gene:B456_002G180600 transcript:KJB15488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSLYFFFLMRLLIFTYNRNFVILGGEEGFQMLVDALVWDSDALRCCILHHVPLTGHACLWESWIT >KJB15487 pep chromosome:Graimondii2_0_v6:2:47526672:47529663:1 gene:B456_002G180600 transcript:KJB15487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAMFSSSLYFFFLMRLLIFTYNRNFVILGGEEGFQMLVDALVWDSDALRCCILHHVPLTGHACLWESWIT >KJB13298 pep chromosome:Graimondii2_0_v6:2:7789852:7794042:-1 gene:B456_002G067000 transcript:KJB13298 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 SUMO-protein ligase MMS21 [Source:Projected from Arabidopsis thaliana (AT3G15150) UniProtKB/Swiss-Prot;Acc:Q8GYH7] MASTSASRSDGVSSRIRRAASTVNSDNQLLVSDIRKAMNLMKDIAVDLERDNQSDMVKQLENAVAELVEAHENCLHYSSAIHSVADAYRPGPELTDFKKLLDTEFEKVKAGSSSHPQNHPLMHQFQQAVWNVHHAGQPMPGEEQEDIIMTSTESSIKNLKCPLTGKHITELTEPVRSMDCKHIYEKNAILIYIKSHHNNAKCPESACPKMVHAKRVICDPLLLVEIEEQCTLSRQTARTDVVEDFTEMEPHDEDST >KJB17132 pep chromosome:Graimondii2_0_v6:2:62592834:62593521:1 gene:B456_002G266800 transcript:KJB17132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFPSLPRQLSELTYAELSVSGTKRNKNHVSQSPVSFIFLLILVPKSPIFIFGSQMMSNPVEPTKIDDAGSNSNVENPTPAVSAINRRWKTEDLLNKGSLFLRGLAFFFSLLSFIIMASNKHGGWKNFDRYSEYRYLLAIATLSILYTGGQAWRQVLSIWKNNNILEQRISAMLDFFGDQVGFPIISFFFCLLQISACHSSM >KJB17130 pep chromosome:Graimondii2_0_v6:2:62592658:62594542:1 gene:B456_002G266800 transcript:KJB17130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHMNEFPSLPRQLSELTYAELSVSGTKRNKNHVSQSPVSFIFLLILVPKSPIFIFGSQMMSNPVEPTKIDDAGSNSNVENPTPAVSAINRRWKTEDLLNKGSLFLRGLAFFFSLLSFIIMASNKHGGWKNFDRYSEYRYLLAIATLSILYTGGQAWRQVLSIWKNNNILEQRISAMLDFFGDQMVAYLLISSTSAAIPLTNNMREGQDNIFTDASASALSMSFFAFLSLALSAMVSGYKLSTQSYI >KJB17131 pep chromosome:Graimondii2_0_v6:2:62592834:62594449:1 gene:B456_002G266800 transcript:KJB17131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFPSLPRQLSELTYAELSVSGTKRNKNHVSQSPVSFIFLLILVPKSPIFIFGSQMMSNPVEPTKIDDAGSNSNVENPTPAVSAINRRWKTEDLLNKGSLFLRGLAFFFSLLSFIIMASNKHGGWKNFDRYSEYRYLLAIATLSILYTGGQAWRQMVAYLLISSTSAAIPLTNNMREGQDNIFTDASASALSMSFFAFLSLALSAMVSGYKLSTQSYI >KJB17133 pep chromosome:Graimondii2_0_v6:2:62592834:62595166:1 gene:B456_002G266800 transcript:KJB17133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFPSLPRQLSELTYAELSVSGTKRNKNHVSQSPVSFIFLLILVPKSPIFIFGSQMMSNPVEPTKIDDAGSNSNVENPTPAVSAINRRWKTEDLLNKGSLFLRGLAFFFSLLSFIIMASNKHGGWKNFDRYSEYRYLLAIATLSILYTGGQAWRQVLSIWKNNNILEQRISAMLDFFGDQMVAYLLISSTSAAIPLTNNMREGQDNIFTDASASALSMSFFAFLSLALSAMVSGYKLSTQSYI >KJB13272 pep chromosome:Graimondii2_0_v6:2:7701242:7705095:1 gene:B456_002G066100 transcript:KJB13272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLKLQSLKPRPISELSMKVGSIRCYVSSCRAVLLPRFGGPEVLQLRPDVPVPDLKPNEVLVRARAVSINPLDTRIRSGYGRSIFEPLLPLILGRDVSGEVAAVGASVKSLTVGQEVFGALHPTALRGTYTDYAILTEDELSPKPASLTHVEASAIPFAALTAWRALKCTARITEGQRLLVIGGGGAVGFSAIQIAVAAGCHVTTTCGNQSISRLIAAGAEQAVDYTSEVVK >KJB13271 pep chromosome:Graimondii2_0_v6:2:7701242:7705100:1 gene:B456_002G066100 transcript:KJB13271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLKLQSLKPRPISELSMKVGSIRCYVSSCRAVLLPRFGGPEVLQLRPDVPVPDLKPNEVLVRARAVSINPLDTRIRSGYGRSIFEPLLPLILGRDVSGEVAAVGASVKSLTVGQEVFGALHPTALRGTYTDYAILTEDELSPKPASLTHVEASAIPFAALTAWRALKCTARITEGQRLLVIGGGGAVGFSAIQIAVAAGCHVTTTCGNQSISRLIAAGAEQAVDYTSEDIESVIKEKFDAVLDTIGVPETERIGINLLNRGGHYMTLQSSITLSL >KJB13275 pep chromosome:Graimondii2_0_v6:2:7701242:7705096:1 gene:B456_002G066100 transcript:KJB13275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLKLQSLKPRPISELSMKVGSIRCYVSSCRAVLLPRFGGPEVLQLRPDVPVPDLKPNEVLVRARAVSINPLDTRIRSGYGRSIFEPLLPLILGRDVSGEVAAVGASVKSLTVGQEVFGALHPTALRGTYTDYAILTEDELSPKPASLTHVEASAIPFAALTAWRALKCTARITEGQRLLVIGGGGAVGFSAIQIAVAAGCHVTTTCGNQSISRLIAAGAEQAVDYTSEDIESVIKEKFDAVLDTIGVPETERIGINLLNRGGHYMTLQVRGVIL >KJB13270 pep chromosome:Graimondii2_0_v6:2:7701136:7705162:1 gene:B456_002G066100 transcript:KJB13270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLKLQSLKPRPISELSMKVGSIRCYVSSCRAVLLPRFGGPEVLQLRPDVPVPDLKPNEVLVRARAVSINPLDTRIRSGYGRSIFEPLLPLILGRDVSGEVAAVGASVKSLTVGQEVFGALHPTALRGTYTDYAILTEDELSPKPASLTHVEASAIPFAALTAWRALKCTARITEGQRLLVIGGGGAVGFSAIQIAVAAGCHVTTTCGNQSISRLIAAGAEQAVDYTSEDIESVIKEKFDAVLDTIGVPETERIGINLLNRGGHYMTLQGEAAALSDRYGLPIGLPAATAVLFKKRIQCKFSHGIEYSWIYMRADSEGLHEIRRLSEAGKLSIPVEKTFSITQVREAHEAKDKKQILGKVVLELD >KJB13269 pep chromosome:Graimondii2_0_v6:2:7701095:7705095:1 gene:B456_002G066100 transcript:KJB13269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLKLQSLKPRPISELSMKVGSIRCYVSSCRAVLLPRFGGPEVLQLRPDVPVPDLKPNEVLVRARAVSINPLDTRIRSGYGRSIFEPLLPLILGRDVSGEVAAVGASVKSLTVGQEVFGALHPTALRGTYTDYAILTEDELSPKPASLTHVEASAIPFAALTAWRALKCTARITEGQRLLVIGGGGAVGFSAIQIAVAAGCHVTTTCGNQSISRLIAAGAEQAVDYTSEDIESVIKEKFDAVLDTIGVPETERIGINLLNRGGHYMTLQGEAAALSDRYGLPIGLPAATAVLFKKRIQCKFSHGIEYSWIYMRADSEGLHEIRRLSEAGKLSIPVEKTFSITQVREAHEAKDKKQILGKVVLELD >KJB13273 pep chromosome:Graimondii2_0_v6:2:7701407:7703681:1 gene:B456_002G066100 transcript:KJB13273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLKLQSLKPRPISELSMKVGSIRCYVSSCRAVLLPRFGGPEVLQLRPDVPVPDLKPNEVLVRARAVSINPLDTRIRSGYGRSIFEPLLPLILGRDVSGEVAAVGASVKSLTVGQEVFGALHPTALRGTYTDYAILTEDELSPKPASLTHVEASAIPFAALTAWRALKCTARITEGQRLLVIGGGGAVGFSAIQIAVAAGCHVTTTCGNQSISRLIAAGAEQAVDYTSEVVK >KJB13274 pep chromosome:Graimondii2_0_v6:2:7701242:7705096:1 gene:B456_002G066100 transcript:KJB13274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLKLQSLKPRPISELSMKVGSIRCYVSSCRAVLLPRFGGPEVLQLRPDVPVPDLKPNEVLVRARAVSINPLDTRIRSGYGRSIFEPLLPLILGRDVSGEVAAVGASVKSLTVGQEVFGALHPTALRGTYTDYAILTEDELSPKPASLTHVEASAIPFAALTAWRALKCTARITEGQRLLVIGGGGAVGFSAIQIAVAAGCHVTTTCGNQSISRLIAAGAEQAVDYTSEDIESVIKEKFDAVLDTIGVPETERIGINLLNRGGHYMTLQVRGVIL >KJB15627 pep chromosome:Graimondii2_0_v6:2:49772439:49774298:1 gene:B456_002G187000 transcript:KJB15627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSVGEKRIEDDLSYPILLAERVRSAADEAESFKADCWEVGKQVDRLSQMLRTLVRFTTSVQSLYERPIRRVIAEVSKNLERALTLVRKCKRHSILSLVVRITSATDFRKVLNLLDASVGDMKWLMGVLDAENNGSASGTFLSLPPIASNDPIISWVWSCIATVQMGQLTDRIEAANNLASLAQDNDRNKKIAVEEGGVPPLLKLLKESSSMEAQITAANALLVLANEPERVRSIVEEMGAPIVVQVLRDSPMKVQIPVAKLIARMAEYDPVAQEYFARENVIRPLVTLLSFETFVDDSGTRLGKQSIHSIVQINKEMEKNSWTSSNSRNYSYGPYANSYSNLHMDGSTRGGNYRKERENENPEVKIQLKINCAEALWMLAKGSASNSGRITETKGLLCLAKLVEKEQGELQYNSLMTIMEITAAAEWNSDLRRAAFKMNSSAAKAVIDQLLRVIKELDSPTLQIPAIRSIGSLARTFPARETRVIGPLVTQLGNNNQEVAMEAAIALAKFASPDNFLSMDHCKSIIEFNGVPPLMRLLTCGEKTQLHAVVLLCYLAIHAGDHKALEQARVLSAIEGADRTMFAQHPDLKDLVSRAIYQLNLYHTHTGVHPQRQLYAP >KJB13121 pep chromosome:Graimondii2_0_v6:2:6514048:6516941:-1 gene:B456_002G058400 transcript:KJB13121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVSKGASSLRQGGFKSSLSGRSTPRNFPTFRRLISSWTPRKEARNGTGGIQWFRSNRSVYLLLLISFWAYLGFYFQSWWAHGHSKEEFLGFSGDPRNKLVDAELNTRRDLLADDSLVAVRNGTNKPQVYSDRKFDVILAKGNNISSRKKRSQRARFSLHKMHGKPKATINMENGDAEGQEQAFLLKNSTYGSLVGPFGSLEDRVLEWSPEKRFGTCDRKGDFARLVRYRRLVLVFHELSMTGAPISMMELATELLSCGATISAVVLSKKGGLMSELARRRIKVIEDRADLSFKTAMKADLVIAGSAVCASWIDQYIAHFPAGGSQIAWWIMENRREYFDRSKHVLHRVKMLMFLSELQSKQWLNWCQEENIKLRSQPSIVPLAVNDELAFVAGIPCSLNTPLASPEKMIEKRRLFRDAVRKEMGLKDSDMLVMSLSSINAGKGQLLLLESVNLMIDQDPLGTGSQVKKTSDIRLDESALARKHHLRGLVKKSCNADASCTNLVFDSRRKQEQAVKILIGSVGSKSNKVPYVEAILRFLSQHPKVSESVLWTQATTRVSSLYAAADVYVMNSQGVGETFGRVTVEAMAFGVPVLGTDGGGTKEIVEQNVSGLFHPMGRAGNWVLAENLRYMLKNPTARKQMGKNGRKKVGKQYLKRHMYGRLVEVLTRCMRRQVASSRSLIGF >KJB16005 pep chromosome:Graimondii2_0_v6:2:55475584:55479263:-1 gene:B456_002G208100 transcript:KJB16005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDSIVHFEAEEARIEGVPLSAFKDSFKISKQLELTAIKDHNLVPEANEDGLNGVISLRLRDCTYLECLVDTTTTATKNGPASAFTHLETLNIEYMDGFEALCKGQPPQGFLKNLKHLEVSACSKFQLADELFHNREENQEHPLSNLQSLVLYKLPELRWIFKGSPHSFTLQSLKVVNIDRCRKLKSLFSPSLIQSRVLLEELKIRGCQELVTLFPDGEIESKTSSLPLCLPKLKTLRINVCSKLEYVVPVTLAQPLPALALLSVSYCDELKQVFGMPNEQDGVQHLDSLLLPSLQDLELIWLRNLTSFAPQNYVVKAPSLKRLEAYGCSRVMNLPIQQANNQLQLTLGGVELSAFKEMLCNTKDLILEDLEDHKNLVPDLVDLEHLDGLTSLSINSWRGGECLIDTTQAIMDFKCNDQPPKCFLQNLKILRVIDCEKFSKLFRINDGIESKAHYLPNLKTVEIRGCPSLEYVFPHASVGGFSHLQKIELVELRNLRIRYVEGALVKRVSGHVNPMLAPKVAELNAAVRKALIEFEQLSLKFLCIESVHLWNLEFEYLD >KJB15040 pep chromosome:Graimondii2_0_v6:2:34002845:34006118:-1 gene:B456_002G157000 transcript:KJB15040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIRGGDFNQKIDYVFKVVLIGDSAVGKSQLLARFSRNQFSLDSKATIGVEFQTKTLVIDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDNMARWLEELRGHADKNIVVMLIGNKCDLGSLRAVPTEDAQEFAQRENLFFMETSALESTNVETAFLTILTEIYRIISKKTLSANDELDANGNSGLLKGTRIIVPNQEPQIQNQGGCCGGS >KJB16418 pep chromosome:Graimondii2_0_v6:2:58890304:58897730:-1 gene:B456_002G229800 transcript:KJB16418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57680) UniProtKB/Swiss-Prot;Acc:F4J3G5] MEPLCPNFDLLKSSSVPLFSHRLSSINRGISKRSSASFPCVSSYNRNCNHQASVKTEAPPQQQPNGHDLIKSLTKGFVGFAAAATALASVCSDSPAFAESLTVAFPVSRAQEVNTVQRTLVEAWGLIRETFVDPTFNHQDWDLKLQQTMVEMFPLKSADAAYGKIRGMLSTLGDPFTRIISPKEYQSFRIGSDGNLQGVGLFITVEPKTGHLVVLSCVEGSPAARAGIHDGDELIEINGQRLDGVDSEAAAQRLRGQAGTSVTVKLHRGNGSGSGSSIKEVKLPRELIRLSPISSTVIPHRTPDGRLTKTGYVKLSTFSQTAATEMKNTIHEMENLGVQSYILDLRNNPGGLVKVGLDVAKIWLDGNETLVNTVDRDGHMSPINMANGHALTHDPLVVLVNEGSASASEILAGALHDNGRAILVGHKTFGKGKIQSITELHDGSALFVTVAKYLSPALHDIDQVGITPDVQCTTEMLNSPKETLKGKSSVSSLEADSCIMVAEHELDIQESKGTAS >KJB16421 pep chromosome:Graimondii2_0_v6:2:58891354:58897462:-1 gene:B456_002G229800 transcript:KJB16421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57680) UniProtKB/Swiss-Prot;Acc:F4J3G5] MEPLCPNFDLLKSSSVPLFSHRLSSINRGISKRSSASFPCVSSYNRNCNHQASVKTEAPPQQQPNGHDLIKSLTKGFVGFAAAATALASVCSDSPAFAESLTVAFPVSRAQEVNTVQRTLVEAWGLIRETFVDPTFNHQDWDLKLQQTMVEMFPLKSADAAYGKIRGMLSTLGDPFTRIISPKEYQSFRIGSDGNLQGVGLFITVEPKTGHLVVLSCVEGSPAARAGIHDGDELIEINGQRLDGVDSEAAAQRLRGQAGTSVTVKLHRGNGSGSGSSIKEVKLPRELIRLSPISSTVIPHRTPDGRLTKTGYVKLSTFSQTAATEMKNTIHEMENLGVQSYILDLRNNPGGLVKVGLDVAKIWLDGNETLVNTVDRDGHMSPINMANGHALTHDPLVVLVSVLTFKIFLTGIILIFPVPFVFVHVCVFT >KJB16419 pep chromosome:Graimondii2_0_v6:2:58890537:58895529:-1 gene:B456_002G229800 transcript:KJB16419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57680) UniProtKB/Swiss-Prot;Acc:F4J3G5] MVEMFPLKSADAAYGKIRGMLSTLGDPFTRIISPKEYQSFRIGSDGNLQGVGLFITVEPKTGHLVVLSCVEGSPAARAGIHDGDELIEINGQRLDGVDSEAAAQRLRGQAGTSVTVKLHRGNGSGSGSSIKEVKLPRELIRLSPISSTVIPHRTPDGRLTKTGYVKLSTFSQTAATEMKNTIHEMENLGVQSYILDLRNNPGGLVKVGLDVAKIWLDGNETLVNTVDRDGHMSPINMANGHALTHDPLVVLVNEGSASASEILAGALHDNGRAILVGHKTFGKGKIQSITELHDGSALFVTVAKYLSPALHDIDQVGITPDVQCTTEMLNSPKETLKGKSSVSSLEADSCIMVAEHELDIQESKGTAS >KJB16420 pep chromosome:Graimondii2_0_v6:2:58890537:58895316:-1 gene:B456_002G229800 transcript:KJB16420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57680) UniProtKB/Swiss-Prot;Acc:F4J3G5] MVEMFPLKSADAAYGKIRGMLSTLGDPFTRIISPKEYQSFRIGSDGNLQGVGLFITVEPKTGHLVVLSCVEGSPAARAGIHDGDELIEINGQRLDGVDSEAAAQRLRGQAGTSVTVKLHRGNGSGSGSSIKEVKLPRELIRLSPISSTVIPHRTPDGRLTKTGYVKLSTFSQTAATEMKNTIHEMENLGVQSYILDLRNNPGGLVKVGLDVAKIWLDGNETLVNTVDRDGHMSPINMANGHALTHDPLVVLVNEGSASASEILAGALHDNGRAILVGHKTFGKGKIQSITELHDGSALFVTVAKYLSPALHDIDQVGITPDVQCTTEMLNSPKETLKGKSSVSSLEADSCIMVAEHELDIQESKGTAS >KJB16417 pep chromosome:Graimondii2_0_v6:2:58890907:58897358:-1 gene:B456_002G229800 transcript:KJB16417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57680) UniProtKB/Swiss-Prot;Acc:F4J3G5] MEPLCPNFDLLKSSSVPLFSHRLSSINRGISKRSSASFPCVSSYNRNCNHQASVKTEAPPQQQPNGHDLIKSLTKGFVGFAAAATALASVCSDSPAFAESLTVAFPVSRAQEVNTVQRTLVEAWGLIRETFVDPTFNHQDWDLKLQQTMVEMFPLKSADAAYGKIRGMLSTLGDPFTRIISPKEYQSFRIGSDGNLQGVGLFITVEPKTGHLVVLSCVEGSPAARAGIHDGDELIEINGQRLDGVDSEAAAQRLRGQAGTSVTVKLHRGNGSGSGSSIKEVKLPRELIRLSPISSTVIPHRTPDGRLTKTGYVKLSTFSQTAATEMKNTIHEMENLGVQSYILDLRNNPGGLVKVGLDVAKIWLDGNETLVNTVDRDGHMSPINMANGHALTHDPLVVLVNEGSASASEILAGALHDNGRAILVGHKTFGKGKIQHQMDHIQLFPSNIK >KJB14705 pep chromosome:Graimondii2_0_v6:2:23603382:23604566:1 gene:B456_002G138700 transcript:KJB14705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPCFQPLFSQVLSAILLPSVARRSQGPFHSDPTFSCSFDLAIKTRPSPFLATTPNAARDLCLSNAPSKLIFIVVPDRGTQVVISAILVSPLPSKSSISFTLLDMNLEISLTDWVFPSCSLLILSVQRAQRAQQIKILHAFGLKFKKGVIFIYQIHHKKK >KJB11957 pep chromosome:Graimondii2_0_v6:2:13667422:13669162:-1 gene:B456_002G104700 transcript:KJB11957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGGGMDFNLAEEVLAVIPTDPYEQLDLARKITSMAIASRVLNMEGKMGRMRAKMYEKDHIIFELEDKLSTLQQLNQDAESRFKIAFEENIKLSEERDSLAMTAKKLSRDFSKAQILVGPTSLKFQTP >KJB15489 pep chromosome:Graimondii2_0_v6:2:47537930:47545936:1 gene:B456_002G180700 transcript:KJB15489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGAKKVGHVVSGIGGNGMGQILAAMAAALLIRLFSGPGPASLPEDETCEENDSVNGDDAPPSAGKVLPVTITWRNITCSLSDKHSKSVRFLLKDVSGEAKPGRLLAVMGPSGSGKTTLLNVLASQILASPRLALSGLLELNGKPSSTKAYKFAYVRQEDLFFSQLTVRETLSLAAELQLPEISSIEERDEYVNNLLFKLGLVSCADSIIGDAKVRGISGGEKKRLSLGCELIASPSVIFADEPTTGLDAFQAEKVMETLRLLAQDGHTVICSIHQPRGSVYGKFDDIVLLTEGTLVYAGPARDQPLEYFSRFGYQCPDHVNPAEFLADLISIDYSSSDSVYSSKKRIDALVEAFSTQSSAVLYATPLTGKTGPKQGMKFGKKIAAKRKGGWWRQFWLLLKRAWMQASRDGPTNKVRTRMSIASAIIFGSVFWRMGRSQTSILDRMGLLQVTAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLLSKLIAEIPVGAAFPLMFGAVLYPMARLHPTFSRFGKFCGIVTVESFAASAMGLTVGSMVPTTEAAMALGPSLMTVFIVFGGYYVTADNTPIIFRWIPRASLIRWAFQGLCINEFTGLKFDCQNSFDIQTGEQALYRYSLGGTCIRDTVIAQSRILLFWYSTTYLLLEKNKPKYLQLKAPASEQIEPKLKLEPLLETDQPLPLKQVEQNQQVESTPVDQTEPFILEGAK >KJB15490 pep chromosome:Graimondii2_0_v6:2:47538049:47545821:1 gene:B456_002G180700 transcript:KJB15490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGAKKVGHVVSGIGGNGMGQILAAMAAALLIRLFSGPGPASLPEDETCEENDSVNGDDAPPSAGKVLPVTITWRNITCSLSDKHSKSVRFLLKDVSGEAKPGRLLAVMGPSGSGKTTLLNVLASQILASPRLALSGLLELNGKPSSTKAYKFAYVRQEDLFFSQLTVRETLSLAAELQLPEISSIEERDEYVNNLLFKLGLVSCADSIIGDAKVRGISGGEKKRLSLGCELIASPSVIFADEPTTGLDAFQAEKVMETLRLLAQDGHTVICSIHQPRGSVYGKFDDIVLLTEGTLVYAGPARDQPLEYFSRFGYQCPDHVNPAEFLADLISIDYSSSDSVYSSKKRIDALVEAFSTQSSAVLYATPLTGKTGPKQGMKFGKKIAAKRKGGWWRQFWLLLKRAWMQASRDGPTNKVRTRMSIASAIIFGSVFWRMGRSQTSILDRMGLLQVTAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLLSKLIAEIPVGAAFPLMFGAVLYPMARLHPTFSRFGKFCGIVTVESFAASAMGLTVGSMVPTTEAAMALGPSLMTVFIVFGGYYVTADNTPIIFRWIPRASLIRWAFQGLCINEFTGLKFDCQNSFDIQTGEQALYRYSLGGTCIRDTVIAQSRILLFWYSTTYLLLEKNKPKYLQLKAPASEQIEPKLKLEPLLETDQPLPLKQVEQNQQVESTPVDQTEPFILEGL >KJB15051 pep chromosome:Graimondii2_0_v6:2:34322752:34323598:1 gene:B456_002G157800 transcript:KJB15051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGDHAAIDVPAESSAAVKGKAPLIASQREEKRGLNRGFGIADFLLRLGAIISTIAAAATMGTSDETLPLFTQFFQFEASFDDLPTFLYFVIAMALVGGYLLLSLPFSIVTIVRPIAVAPRLLLFILDTVTLTFATAASGAAAAIVYLAETGNPNTNWLAICDQFEDFCSTVSGAVVASFVTVVVFVVLVILSGFALKRQ >KJB16942 pep chromosome:Graimondii2_0_v6:2:61814607:61815811:-1 gene:B456_002G255900 transcript:KJB16942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGRAVVRESDMPMEMQSRVMELAYQALDLHEVSDCQSIARYIKQKFDEAYGTSWHCVVGKDFGCCISHLCGTFIFFHVEIMEFLIFKDGKDLNETKEEAIGVNHHLITS >KJB12315 pep chromosome:Graimondii2_0_v6:2:761356:764455:1 gene:B456_002G011400 transcript:KJB12315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFEADNNGVSISVAAGNKTVADFEVSKKPKRNKFAFACSILASLASILLGYDIGVMSGAIIYIKDDLKISDVQVEILVGILNLYCLIGAYAAGRTSDWIGRRYTIVVAAAIFFVGALLMGFATNYAFLMVGRFVAGIGVGFALLIAPVYTAEVSPASSRGFLNSFPEVFINIGLLLGYVSNYAFSKLRADLGWRVMLGAGAIPSVFLAIGVLAMPESPRWLIMQGRLGEAKTVLDKTSDTKEEARGRLSDIKAAAGIPEDCTDDIVQVQKQTHGEGVWKELFLHPTPVVRHVLICAIGIHFFQQAIGLDSVVLYSPRIFEKAGITSSDHKLLATIAIGLSKTIFILVATFLLDKIGRRPLLLISSGGMVVILATLGFSLTIIGHSDNKITWAVGLCITMVLSSVAVFSIGMGPIAWVYSTEIFPLRLRAQGASIGVAVNRVTSGVISMSFISLYEAITIGGAFFLYAGVALVAFFFFFTFLPETQGKTLEEMEWLFGKLVGWREEAQKLKRKRKMNEANHQIQLGNNLTTDRRC >KJB14940 pep chromosome:Graimondii2_0_v6:2:31430174:31430704:-1 gene:B456_002G153700 transcript:KJB14940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRIIVRTPTTRRQPLLQSRSTSSSLSMVDDGSRYSRSSSSRKSAKFGELCGGTAAECAAVCCCCPCGIANLLVLVIYKVPAGLYRRALRQKRRKKLQKKGLFQPRNYGSRHGCEERELRNHPMVCAKELMVEMEVSEEADKALFQLEEEMWERFHGTGFWRSPSQRERDSSTIN >KJB15121 pep chromosome:Graimondii2_0_v6:2:37314327:37318557:-1 gene:B456_002G161900 transcript:KJB15121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSSSLLNPRLSFSLKPLFLFSQSSFAPRKFQIPRQFVSAKRKTFSALASEQSSATDNGGRSGGGGRSGALSPSPVVEEVQRIDVNPPKGTRDFPPEDMRLRTWLFNHFREVSRLFGFEEVDYPVLESEVLFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPLKWFAIGQCWRYERMTRGRRREHYQWNMDILGLPEVTAEAELISSIVAFFKRIGITESDVGFKVSSRKVLQEVLRCYSVPENLFGKVCIIIDKIEKIPIDEIKRELNATGLSEEAIEELLQVLSIKSLTKLEEILGGAGEAVAELKELFSLAEKFGYSEWIQFDASVVRGLAYYTGIVFEGFDRQGKLRAICGGGRYDRLLSTFGGDDVPACGFGFGDAVIVEVCEASIEGHQ >KJB15124 pep chromosome:Graimondii2_0_v6:2:37314566:37318590:-1 gene:B456_002G161900 transcript:KJB15124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSSSLLNPRLSFSLKPLFLFSQSSFAPRKFQIPRQFVSAKRKTFSALASEQSSATDNGGRSGGGGRSGALSPSPVVEEVQRIDVNPPKGTRDFPPEDMRLRTWLFNHFREVSRLFGFEEVDYPVLESEVLFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPLKWFAIGQCWRYERMTRGRRREHYQWNMDILGLPEVTAEAELISSIVAFFKRIGITESDVGFKVSSRKVLQEVLRCYSVPENLFGKVCIIIDKIEKIPIDEIKRELNATGLSEEAIEELLQVLSIKSLTKLEEILGGAGEAVAELKELFSLAEKFGYSEWIQFDASVVRGLAYYTGIVFEGFDRQGKLRAICGGGRYDRLLSTFGGDDVPACGFGFGDAVIVEVS >KJB15122 pep chromosome:Graimondii2_0_v6:2:37314327:37318557:-1 gene:B456_002G161900 transcript:KJB15122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSSSLLNPRLSFSLKPLFLFSQSSFAPRKFQIPRQFVSAKRKTFSALASEQSSATDNGGRSGGGGRSGALSPSPVVEEVQRIDVNPPKGTRDFPPEDMRLRTWLFNHFREVSRLFGFEEVDYPVLESEVLFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPLKWFAIGQCWRYERMTRGRRREHYQWNMDILGLPEVTAEAELISSIVAFFKRIGITESDVGFKVSSRKVLQEVLRCYSVPENLFGKVCIIIDKIEKIPIDEIKRELNATGLSEEAIEELLQVLSIKSLTKLEEILGGAGEAVAELKELFSLAEKFGYSEWIQFDASVVRGLAYYTGIVFEGFDRQGKLRAICGGGRYDRLLSTFGGDDVPACGFGFGDAVIVEVCEASIEGHQ >KJB15123 pep chromosome:Graimondii2_0_v6:2:37313424:37318590:-1 gene:B456_002G161900 transcript:KJB15123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSSSLLNPRLSFSLKPLFLFSQSSFAPRKFQIPRQFVSAKRKTFSALASEQSSATDNGGRSGGGGRSGALSPSPVVEEVQRIDVNPPKGTRDFPPEDMRLRTWLFNHFREVSRLFGFEEVDYPVLESEVLFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPLKWFAIGQCWRYERMTRGRRREHYQWNMDILGLPEVTAEAELISSIVAFFKRIGITESDVGFKVSSRKVLQEVLRCYSVPENLFGKVCIIIDKIEKIPIDEIKRELNATGLSEEAIEELLQVLSIKSLTKLEEILGGAGEAVAELKELFSLAEKFGYSEWIQFDASVVRGLAYYTGIVFEGFDRQGKLRAICGGGRYDRLLSTFGGDDVPACGFGFGDAVIVEE >KJB15120 pep chromosome:Graimondii2_0_v6:2:37313389:37318687:-1 gene:B456_002G161900 transcript:KJB15120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSSSLLNPRLSFSLKPLFLFSQSSFAPRKFQIPRQFVSAKRKTFSALASEQSSATDNGGRSGGGGRSGALSPSPVVEEVQRIDVNPPKGTRDFPPEDMRLRTWLFNHFREVSRLFGFEEVDYPVLESEVLFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPLKWFAIGQCWRYERMTRGRRREHYQWNMDILGLPEVTAEAELISSIVAFFKRIGITESDVGFKVSSRKVLQEVLRCYSVPENLFGKVCIIIDKIEKIPIDEIKRELNATGLSEEAIEELLQVLSIKSLTKLEEILGGAGEAVAELKELFSLAEKFGYSEWIQFDASVVRGLAYYTGF >KJB15119 pep chromosome:Graimondii2_0_v6:2:37313739:37318557:-1 gene:B456_002G161900 transcript:KJB15119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSSSLLNPRLSFSLKPLFLFSQSSFAPRKFQIPRQFVSAKRKTFSALASEQSSATDNGGRSGGGGRSGALSPSPVVEEVQRIDVNPPKGTRDFPPEDMRLRTWLFNHFREVSRLFGFEEVDYPVLESEVLFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPLKWFAIGQCWRYERMTRGRRREHYQWNMDILGLPEVTAEAELISSIVAFFKRIGITESDVGFKVSSRKVLQEVLRCYSVPENLFGKVCIIIDKIEKIPIDEIKRELNATGLSEEAIEELLQVLSIKSLTKLEEILGGAGEAVAELKELFSLAEKFGYSEWIQFDASVVRGLAYYTGIVFEGFDRQGKLRAICGGGRYDRLLSTFGGDDVPACGFGFGDAVIVELLKEKGLLPELNLEVDNIVCALDYDLQGVAAEVATKLREKGQSVDLVLESKPLKWVFKRAARTNVQRLVLVGNTEWQKGMVGVKILSSGEQYEIKLDELE >KJB12799 pep chromosome:Graimondii2_0_v6:2:2963156:2964787:-1 gene:B456_002G037400 transcript:KJB12799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGLDDGSSLFNFVVRDGNGVKGMVDLGLSTVPKPYVQPPKERIDKRMATRHEGAPIDLSRLDGPDHDEVVKEIVMAAETLGFFQLVNHGVPVDLLESLKDAAHDFFGQPSEKKAVYRKEVSPSPLVKYGTSFVPEKEKALEWKDYISMIYTNDAEALQQWPKECREVALEYLKTSMTMVRRLLEILMGNLGVELDDTKIDGLIGMKMVNMNFYPTCPDPDLTVGVGRHSDMGTLTILLQDGIGGLYVKVAEEIANIGKKGEWVEIPPVPGALVINIGDALQILSNGKYKSAEHRVRTTNTKSRVSVPIFTSPRPSEKIAPLPQVVEKDGMACYREVIFGDYMRNFFGNVHDGKKSLEFAQINYP >KJB13855 pep chromosome:Graimondii2_0_v6:2:12456157:12458595:-1 gene:B456_002G098100 transcript:KJB13855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFWCRNVDISGLEINLGEMLCNSSYNITLRTAFAARCKQHDAFISIARNLVEAAGGFSITDLFPSIKLLPMISGMRAKLERWHQDLDAMLESIIEEHRARNANTKDSDDVTDDLVDVLLNLQDDGGLEFPLRTENIKAVILDMLIAGTETSSTAVEWAMSEMIKNPRILEKAQAEVRQVYDRTGDVNEADLHELKYLKLVMKETLRLHPPVPLLLPRESRERCEINGYAIPAKTKVIVNAWAIGRDSNYWNEAERFYPERFIDSSVDYKGTNFEFIPFGAGRRICPGMSYGMAVVELSLAQLLYHFDWKLPNGMKNEDLDMTEIFGATAGRKRDLRLIPIPYHPPSSVQ >KJB13854 pep chromosome:Graimondii2_0_v6:2:12456215:12458493:-1 gene:B456_002G098100 transcript:KJB13854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFWCRNVDISEIIGYNFSDIGFAPYGDTWRQLRKVCTLELLRLEINLGEMLCNSSYNITLRTAFAARCKQHDAFISIARNLVEAAGGFSITDLFPSIKLLPMISGMRAKLERWHQDLDAMLESIIEEHRARNANTKDSDDVTDDLVDVLLNLQDDGGLEFPLRTENIKAVILDMLIAGTETSSTAVEWAMSEMIKNPRILEKAQAEVRQVYDRTGDVNEADLHELKYLKLVMKETLRLHPPVPLLLPRESRERCEINGYAIPAKTKVIVNAWAIGRDSNYWNEAERFYPERFIDSSVDYKGTNFEFIPFGAGRRICPGMSYGMAVVELSLAQLLYHFDWKLPNGMKNEDLDMTEIFGATAGRKRDLRLIPIPYHPPSSVQ >KJB13856 pep chromosome:Graimondii2_0_v6:2:12456215:12458474:-1 gene:B456_002G098100 transcript:KJB13856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYQLWMRSKIKDSPKNLPPAPWKLPLVGHLHLLMFSLPHHRLTELAKRHGSLMHLQLGELSHIVVSSPEAAKEVMKTHDINFATRPYLLVAEIIGYNFSDIGFAPYGDTWRQLRKVCTLELLRLEINLGEMLCNSSYNITLRTAFAARCKQHDAFISIARNLVEAAGGFSITDLFPSIKLLPMISGMRAKLERWHQDLDAMLESIIEEHRARNANTKDSDDVTDDLVDVLLNLQDDGGLEFPLRTENIKAVILDMLIAGTETSSTAVEWAMSEMIKNPRILEKAQAEVRQVYDRTGDVNEADLHELKYLKLVMKETLRLHPPVPLLLPRESRERCEINGYAIPAKTKVIVNAWAIGRDSNYWNEAERFYPERFIDSSVDYKGTNFEFIPFGAGRRICPGMSYGMAVVELSLAQLLYHFDWKLPNGMKNEDLDMTEIFGATAGRKRDLRLIPIPYHPPSSVQ >KJB15983 pep chromosome:Graimondii2_0_v6:2:55383953:55386021:-1 gene:B456_002G207300 transcript:KJB15983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNSPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDMKEKLAYVALDFEQELETAKSSSAIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >KJB15981 pep chromosome:Graimondii2_0_v6:2:55383953:55386940:-1 gene:B456_002G207300 transcript:KJB15981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNSPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDMKEKLAYVALDFEQELETAKSSSAIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >KJB15982 pep chromosome:Graimondii2_0_v6:2:55383953:55386988:-1 gene:B456_002G207300 transcript:KJB15982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNSPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDMKEKLAYVALDFEQELETAKSSSAIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >KJB11833 pep chromosome:Graimondii2_0_v6:2:2409009:2410671:1 gene:B456_002G032200 transcript:KJB11833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWKKTIATPFRKACTFFNQQPRDKKSQTEHEKHVMDLHGEVMACAYEDVQVMWSILDKSKSTACNIKP >KJB15805 pep chromosome:Graimondii2_0_v6:2:53329440:53334283:-1 gene:B456_002G197000 transcript:KJB15805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKVVCRKLYDYVRYDLKEIAFPSSLPNPPYIKKYRKLTWHKRFLVLKEASRLYTASWVRDIGPDLRPNDYKKDDGIKGKSNGDKNRSTEIEPSTLEDIGMETLKLALQRLYMTRASAYRDALKSFIEGYQEAIQQIMEKKEYSSKT >KJB12253 pep chromosome:Graimondii2_0_v6:2:530125:533103:1 gene:B456_002G008100 transcript:KJB12253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAQSREDRITDSESDEGYEEEEEEEEEQYDDALSDHQNTQSSSSTSTSSGTQKSLDDIDAKLKSLKLKYNSQQSSHNLKNPVKLYLHTGGNTPKAKWVISEKLTSYSFTKENGDNDENCWVLEVGTKVRVRVSTEMQLKMLGDQKRVDFVDKAVWALKFLSDEEYRNFVTQFQNCLFENVYGMEASEENKVKVYGKEFIGWMKPEVADDSMWEDADDLSSGSIPVKGNRELMEDFLVNDLGVQVYRNFNRGIHGKGICVKFDAGGSRIGGSPSSSTPKKALLMRAERNMMLMSPENEGKPNATGLKQVDIETGKIVTEWKFEKDGADITMRDITNDTKGSQLDPSESTFLGLDDNRLCQWDMRDRKGMVQNIATSGSPVLHWSQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKTSMRQAKTAFPGLGSPITHVDVTYDGKWVLGTTDTYLVLICTLFTDKDGKTKTGFSGRMGNKIPAPRLLKLTPLDSHLAGNNNKFHGGHFSWVTENGKQERHLVATVGKFSVIWDFQQVKNSAHECYRNQQGLKSCYCYKIVLKDESIVESRFMHDNFAVSNSPEAPLVVATPMKVSSISLSGRG >KJB12252 pep chromosome:Graimondii2_0_v6:2:530123:533164:1 gene:B456_002G008100 transcript:KJB12252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAQSREDRITDSESDEGYEEEEEEEEEQYDDALSDHQNTQSSSSTSTSSGTQKSLDDIDAKLKSLKLKYNSQQSSHNLKNPVKLYLHTGGNTPKAKWVISEKLTSYSFTKENGDNDENCWVLEVGTKVRVRVSTEMQLKMLGDQKRVDFVDKAVWALKFLSDEEYRNFVTQFQNCLFENVYGMEASEENKVKVYGKEFIGWMKPEVADDSMWEDADDLSSGSIPVKGNRELMEEFEEAANGGVQSLALGALDNSFLVNDLGVQVYRNFNRGIHGKGICVKFDAGGSRIGGSPSSSTPKKALLMRAERNMMLMSPENEGKPNATGLKQVDIETGKIVTEWKFEKDGADITMRDITNDTKGSQLDPSESTFLGLDDNRLCQWDMRDRKGMVQNIATSGSPVLHWSQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKTSMRQAKTAFPGLGSPITHVDVTYDGKWVLGTTDTYLVLICTLFTDKDGKTKTGFSGRMGNKIPAPRLLKLTPLDSHLAGNNNKFHGGHFSWVTENGKQERHLVATVGKFSVIWDFQQVKNSAHECYRNQQGLKSCYCYKIVLKDESIVESRFMHDNFAVSNSPEAPLVVATPMKVSSISLSGRG >KJB15023 pep chromosome:Graimondii2_0_v6:2:32256786:32261732:-1 gene:B456_002G155500 transcript:KJB15023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREPRCTSWFCVADTAFLYEVSHDTVKADWRQTFADTIGTYHHFEWAVGTGEGKSDIREFENVGMNGSVRVRGLDLDGLSSCYITLRAWKLDGRCSELSVKAHALKGQQCVHCRLVVGDGYVTITRGENIRRFFEHAEEVEEEEDDDSMDKDGNEIDGECFRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLAIKLLEERIHVACKEIITLEKQMKLLEEEEKEKREEEEQRERKRTKEREKKLRRKERLKGKEREREKKCADSSVTPDFSKEVSSPNTKVEENISINCRDSVCETSDIIVSTLGSPDDQVEQFLDGHLASSLQNHSFDGPDGEGTKVKDGNGSFPTEQSKFSRRRLKFRKDGQFDTSLKWSDRRRFPVVSESAPVNRSEARYKSENFEAPSRSINGPNKQLRINSAKSNGRNCDVKYPEKFQCPNSRSDRYDIYSCSCGQHNEYRAKIEPYVSVTGVGQEAKAVSKSKSALDMSKQVYRGNKYNQQEYMHEDCGRLKNKIIAGNNPSSRDSLNSNKVWEPTEVQRNYPRTNSDTDITLRSSTYNKGAGLDNDFVKSSGETCTSEASVNFGEIGHEHSKVNTSSTSLATNENCDVEAQDKCSSLNAASEDVEVCPNRNSTLNEISHSMISSSSSSDNCYSCFSEGDSNTCSSNNGNLESSSSDSEEASQQSDGRDTSICVQNGFSECQVKGIDKKEDVNGGIALESEALFGHSPDGKDSSVCIQDGFSECQVKGIEKKQDVNGEVALEYQALFGHSPDSRGNKVSGNPLTTVAISDNEKSTAVMSSQHQGTFTSVHNQPIQFPVYQAPSTMGYYHQNPVSWSATPANGLMPFPPNPYFYTGPLGYDLNGNSRLCMPYGTLQHFATPLFNPGPVPVYQPVSKANGLYAEDQLQIPKPVTRKEDAFTEVNSEMFAPGRLHTTEQAANGEGRQNDVSTKPHTDDTSFSLFHFGGPVALSTARKSNPAPLKEEIAGEFSSQFSAYHVENDHACNKKETTIEEYSLFAASNGIRFSFF >KJB15024 pep chromosome:Graimondii2_0_v6:2:32256786:32264594:-1 gene:B456_002G155500 transcript:KJB15024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLAQRNEQYNNASFGFWSKQNDVVSYNQLQKFWSELSMQARQKLLRIDKQTLFERARKNIYCSRCNGLLLEGFLQIVMYGKSLQQEGVAGNLHYNRSGASKKGTGGGLNLTNGTQDEIQDPSVHPWGGLTTTRNGSLTLLDCYLCPKSFKGLQNVFDSARARERERELLYPDACGGGGRGWISQGIASYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFLYEVSHDTVKADWRQTFADTIGTYHHFEWAVGTGEGKSDIREFENVGMNGSVRVRGLDLDGLSSCYITLRAWKLDGRCSELSVKAHALKGQQCVHCRLVVGDGYVTITRGENIRRFFEHAEEVEEEEDDDSMDKDGNEIDGECFRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLAIKLLEERIHVACKEIITLEKQMKLLEEEEKEKREEEEQRERKRTKEREKKLRRKERLKGKEREREKKCADSSVTPDFSKEVSSPNTKVEENISINCRDSVCETSDIIVSTLGSPDDQVEQFLDGHLASSLQNHSFDGPDGEGTKVKDGNGSFPTEQSKFSRRRLKFRKDGQFDTSLKWSDRRRFPVVSESAPVNRSEARYKSENFEAPSRSINGPNKQLRINSAKSNGRNCDVKYPEKFQCPNSRSDRYDIYSCSCGQHNEYRAKIEPYVSVTGVGQEAKAVSKSKSALDMSKQVYRGNKYNQQEYMHEDCGRLKNKIIAGNNPSSRDSLNSNKVWEPTEVQRNYPRTNSDTDITLRSSTYNKGAGLDNDFVKSSGETCTSEASVNFGEIGHEHSKVNTSSTSLATNENCDVEAQDKCSSLNAASEDVEVCPNRNSTLNEISHSMISSSSSSDNCYSCFSEGDSNTCSSNNGNLESSSSDSEEASQQSDGRDTSICVQNGFSECQVKGIDKKEDVNGGIALESEALFGHSPDGKDSSVCIQDGFSECQVKGIEKKQDVNGEVALEYQALFGHSPDSRGNKVSGNPLTTVAISDNEKSTAVMSSQHQGTFTSVHNQPIQFPVYQAPSTMGYYHQNPVSWSATPANGLMPFPPNPYFYTGPLGYDLNGNSRLCMPYGTLQHFATPLFNPGPVPVYQPVSKANGLYAEDQLQIPKPVTRKEDAFTEVNSEMFAPGRLHTTEQAANGEGRQNDVSTKPHTDDTSFSLFHFGGPVALSTARKSNPAPLKEEIAGEFSSQFSAYHVENDHACNKKETTIEEYSLFAASNGIRFSFF >KJB15022 pep chromosome:Graimondii2_0_v6:2:32256786:32262037:-1 gene:B456_002G155500 transcript:KJB15022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREPRCTSWFCVADTAFLYEVSHDTVKADWRQTFADTIGTYHHFEWAVGTGEGKSDIREFENVGMNGSVRVRGLDLDGLSSCYITLRAWKLDGRCSELSVKAHALKGQQCVHCRLVVGDGYVTITRGENIRRFFEHAEEVEEEEDDDSMDKDGNEIDGECFRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLAIKLLEERIHVACKEIITLEKQMKLLEEEEKEKREEEEQRERKRTKEREKKLRRKERLKGKEREREKKCADSSVTPDFSKEVSSPNTKVEENISINCRDSVCETSDIIVSTLGSPDDQVEQFLDGHLASSLQNHSFDGPDGEGTKVKDGNGSFPTEQSKFSRRRLKFRKDGQFDTSLKWSDRRRFPVVSESAPVNRSEARYKSENFEAPSRSINGPNKQLRINSAKSNGRNCDVKYPEKFQCPNSRSDRYDIYSCSCGQHNEYRAKIEPYVSVTGVGQEAKAVSKSKSALDMSKQVYRGNKYNQQEYMHEDCGRLKNKIIAGNNPSSRDSLNSNKVWEPTEVQRNYPRTNSDTDITLRSSTYNKGAGLDNDFVKSSGETCTSEASVNFGEIGHEHSKVNTSSTSLATNENCDVEAQDKCSSLNAASEDVEVCPNRNSTLNEISHSMISSSSSSDNCYSCFSEGDSNTCSSNNGNLESSSSDSEEASQQSDGRDTSICVQNGFSECQVKGIDKKEDVNGGIALESEALFGHSPDGKDSSVCIQDGFSECQVKGIEKKQDVNGEVALEYQALFGHSPDSRGNKVSGNPLTTVAISDNEKSTAVMSSQHQGTFTSVHNQPIQFPVYQAPSTMGYYHQNPVSWSATPANGLMPFPPNPYFYTGPLGYDLNGNSRLCMPYGTLQHFATPLFNPGPVPVYQPVSKANGLYAEDQLQIPKPVTRKEDAFTEVNSEMFAPGRLHTTEQAANGEGRQNDVSTKPHTDDTSFSLFHFGGPVALSTARKSNPAPLKEEIAGEFSSQFSAYHVENDHACNKKETTIEEYSLFAASNGIRFSFF >KJB15020 pep chromosome:Graimondii2_0_v6:2:32256920:32264333:-1 gene:B456_002G155500 transcript:KJB15020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLAQRNEQYNNASFGFWSKQNDVVSYNQLQKFWSELSMQARQKLLRIDKQTLFERARKNIYCSRCNGLLLEGFLQIVMYGKSLQQEGVAGNLHYNRSGASKKGTGGGLNLTNGTQDEIQDPSVHPWGGLTTTRNGSLTLLDCYLCPKSFKGLQNVFDSARARERERELLYPDACGGGGRGWISQGIASYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFLYEVSHDTVKADWRQTFADTIGTYHHFEWAVGTGEGKSDIREFENVGMNGSVRVRGLDLDGLSSCYITLRAWKLDGRCSELSVKAHALKGQQCVHCRLVVGDGYVTITRGENIRRFFEHAEEVEEEEDDDSMDKDGNEIDGECFRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLAIKLLEERIHVACKEIITLEKQMKLLEEEEKEKREEEEQRERKRTKEREKKLRRKERLKGKEREREKKCADSSVTPDFSKEVSSPNTKVEENISINCRDSVCETSDIIVSTLGSPDDQVEQFLDGHLASSLQNHSFDGPDGEGTKVKDGNGSFPTEQSKFSRRRLKFRKDGQFDTSLKWSDRRRFPVVSESAPVNRSEARYKSENFEAPSRSINGPNKQLRINSAKSNGRNCDVKYPEKFQCPNSRSDRYDIYSCSCGQHNEYRAKIEPYVSVTGVGQEAKAVSKSKSALDMSKQVYRGNKYNQQEYMHEDCGRLKNKIIAGNNPSSRDSLNSNKVWEPTEVQRNYPRTNSDTDITLRSSTYNKGAGLDNDFVKSSGETCTSEASVNFGEIGHEHSKVNTSSTSLATNENCDVEAQDKCSSLNAASEDVEVCPNRNSTLNEISHSMISSSSSSDNCYSCFSEGDSNTCSSNNGNLESSSSDSEEASQQSDGRDTSICVQNGFSECQVKGIDKKEDVNGGIALESEALFGHSPDGKDSSVCIQDGFSECQVKGIEKKQDVNGEVALEYQALFGHSPDSRGNKVSGNPLTTVAISDNEKSTAVMSSQHQGTFTSVHNQPIQFPVYQAPSTMGYYHQNPVSWSATPANGLMPFPPNPYFYTGPLGYDLNGNSRLCMPYGTLQHFATPLFNPGPVPVYQPVSKANGLYAEDQLQIPKPVTRKEDAFTEVNSEMFAPGRLHTTEQAANGEGRQNDVSTKPHTDDTSFSLFHFGGPVALSTARKSNPAPLKEEIAGEFSSQFSAYHVENDHACNKKETTIEEYSLFAASNGIRFSFF >KJB15021 pep chromosome:Graimondii2_0_v6:2:32256600:32264688:-1 gene:B456_002G155500 transcript:KJB15021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFLYEVSHDTVKADWRQTFADTIGTYHHFEWAVGTGEGKSDIREFENVGMNGSVRVRGLDLDGLSSCYITLRAWKLDGRCSELSVKAHALKGQQCVHCRLVVGDGYVTITRGENIRRFFEHAEEVEEEEDDDSMDKDGNEIDGECFRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLAIKLLEERIHVACKEIITLEKQMKLLEEEEKEKREEEEQRERKRTKEREKKLRRKERLKGKEREREKKCADSSVTPDFSKEVSSPNTKVEENISINCRDSVCETSDIIVSTLGSPDDQVEQFLDGHLASSLQNHSFDGPDGEGTKVKDGNGSFPTEQSKFSRRRLKFRKDGQFDTSLKWSDRRRFPVVSESAPVNRSEARYKSENFEAPSRSINGPNKQLRINSAKSNGRNCDVKYPEKFQCPNSRSDRYDIYSCSCGQHNEYRAKIEPYVSVTGVGQEAKAVSKSKSALDMSKQVYRGNKYNQQEYMHEDCGRLKNKIIAGNNPSSRDSLNSNKVWEPTEVQRNYPRTNSDTDITLRSSTYNKGAGLDNDFVKSSGETCTSEASVNFGEIGHEHSKVNTSSTSLATNENCDVEAQDKCSSLNAASEDVEVCPNRNSTLNEISHSMISSSSSSDNCYSCFSEGDSNTCSSNNGNLESSSSDSEEASQQSDGRDTSICVQNGFSECQVKGIDKKEDVNGGIALESEALFGHSPDGKDSSVCIQDGFSECQVKGIEKKQDVNGEVALEYQALFGHSPDSRGNKVSGNPLTTVAISDNEKSTAVMSSQHQGTFTSVHNQPIQFPVYQAPSTMGYYHQNPVSWSATPANGLMPFPPNPYFYTGPLGYDLNGNSRLCMPYGTLQHFATPLFNPGPVPVYQPVSKANGLYAEDQLQIPKPVTRKEDAFTEVNSEMFAPGRLHTTEQAANGEGRQNDVSTKPHTDDTSFSLFHFGGPVALSTARKSNPAPLKEEIAGEFSSQFSAYHVENDHACNKKETTIEEYSLFAASNGIRFSFF >KJB13541 pep chromosome:Graimondii2_0_v6:2:9989764:9992218:-1 gene:B456_002G0803001 transcript:KJB13541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMSNVNRRSVNYHPSIWGDIFLSCPSKMKIDTATRQEYEELKQEITRMLMVATDGSSQKCHLIATIKRLGVSYLFEKEIEDALQTNFHHHEYKADQTLEYTSLQFRLLRENGFNAQSEIFNNFKDDKGNFKISLTSDVKGLLELYEASHFLVHGEHILDEALAFTTTHLELAQRIGIERPLSALVSHALKRPIRKTLPRLEARQYISLYQEDDSHDKTLLKFAKLDFNLLQNLHKEELSKISKWWKDLDFATKLPFARDRLVECYFWILGVYFEPQYSLAREIMTKAIVMASTMDDIYDVHGTYEELELFTNAIERWDTSFIDGLPAYMKVYYKALLDLYEEMEKVMTKQGKSYRVQYAKEEMKQLSQAYFIEAKWYHENYVPTVEEYMKIGLVTSGYPMVAITSFVGMGDVVTEETFNWASNNPKIVRASSMISRLMDDIVSHKFEQERGHCASAVECYMKQHGVSEEKACEDLKKLIDTAWKDINQELLFKPARAPFPVLTCVLNLAKVMDFLYKEGDGYTHVGNVTKAGI >KJB11820 pep chromosome:Graimondii2_0_v6:2:59378011:59381282:-1 gene:B456_002G2340002 transcript:KJB11820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWQRHLQCALRQVGRRLEHNYTHSTNYSSVSRLNSPVLPRELPSYQKLWKSPASMSPPLYRYFQQLGISTSRKLLAGSSEETPIASPLTPVLAIDSGKTEEKKVVPNRTKVQAVLKKIKQSPKKVNLVAALVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANATHNHGLDPDRLLVAEAFVGKGLFLKRVSYHGKGRSGIKERPECRLTVVVREMTPEEEAELARLRVSKFRKLTKREKRLVPHKLIETTPIWNRKGRTQEPNGMAA >KJB14717 pep chromosome:Graimondii2_0_v6:2:24260593:24264273:1 gene:B456_002G139800 transcript:KJB14717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQSTASKSSLFDHLINIWEFNPGAVLGTFSLYFLVDFKFQSSLYQQHLKSFGHVLHTTTLVAGIDSTIQFTISKRPN >KJB11936 pep chromosome:Graimondii2_0_v6:2:895140:895594:1 gene:B456_002G013800 transcript:KJB11936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVHFVVFSLALVLSIQFVVGHDEKALDEAASPEAEDAILSSGAAKLVENFKSSAGDDSQSVDGPTSGLADEIAASPESEVEVAPESTGEMKRKRA >KJB14258 pep chromosome:Graimondii2_0_v6:2:16497150:16500198:1 gene:B456_002G116100 transcript:KJB14258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNECLENNGGCWQDKTVNLTACRDTFRGRVCECLLVDGVQFKGDGYSHWEGLNVKLLQHSPRLDLGMCFVELLASPTELPLGIVINVACRQKH >KJB14623 pep chromosome:Graimondii2_0_v6:2:21431863:21438162:-1 gene:B456_002G134500 transcript:KJB14623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGFKDGSQTGIIPQVMNALFSKIENLKHQIEFQLHVSFIEILKEEVRDLLDPTFLNKSDTASANTGKVNVPGKPPIQIRESSDGVITLAGSTEVSVSTLKEMGACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNPVSGDGNPNDSMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSTEILKMRQQLEYLQAELCARGGSGEVQVLKERIAWLEAANEDLCRELYDYRSRCTIVEQREMDAQDGSPCSVESDGLKRNLHNRESRDYQIVETMIGGDSREIDEGAAKEWEHMLLQNTMDKELHELNRQLEEKESEMKVFGGHTVALKQHFGKKIQELEEEKRAVQQERDQLLAEIENLSAGSEGQALKVHDIHAQKLKSLEAQIMDLKKKQENQVQLLKKKQKSDEAAKRLQDEIQYIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYKRHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSTARDNLAIANGNGTNGQINEKGLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDELDSKGPSPSRGKNGCARGSSLSPNARVARISSLEHMLGISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDSRCQLWEKDIEIREMKEQLKELVGLLRQSELQRKEVENELKLREQAVAIALATSATGNSPISLKHIADDVKGSSSPMSVPAQKQLKYSPGIVNGPAREPAAFIGQTRKMIPLGQLPMKKFVANGQAGNGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIIRARPRSQVLTHRV >KJB14622 pep chromosome:Graimondii2_0_v6:2:21430951:21439357:-1 gene:B456_002G134500 transcript:KJB14622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGFKDGSQTGIIPQVMNALFSKIENLKHQIEFQLHVSFIEILKEEVRDLLDPTFLNKSDTASANTGKVNVPGKPPIQIRESSDGVITLAGSTEVSVSTLKEMGACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNPVSGDGNPNDSMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSTEILKMRQQLEYLQAELCARGGSGEVQVLKERIAWLEAANEDLCRELYDYRSRCTIVEQREMDAQDGSPCSVESDGLKRNLHNRESRDYQIVETMIGGDSREIDEGAAKEWEHMLLQNTMDKELHELNRQLEEKESEMKVFGGHTVALKQHFGKKIQELEEEKRAVQQERDQLLAEIENLSAGSEGQALKVHDIHAQKLKSLEAQIMDLKKKQENQVQLLKKKQKSDEAAKRLQDEIQYIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYKRHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSTARDNLAIANGNGTNGQINEKGLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDELDSKGPSPSRGKNGCARGSSLSPNARVARISSLEHMLGISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDSRCQLWEKDIEIREMKEQLKELVGLLRQSELQRKEVENELKLREQAVAIALATSATGNSPISLKHIADDVKGSSSPMSVPAQKQLKYSPGIVNGPAREPAAFIGQTRKMIPLGQLPMKKFVANGQAGNGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIIRARPRSQVLTHRV >KJB14620 pep chromosome:Graimondii2_0_v6:2:21430907:21439369:-1 gene:B456_002G134500 transcript:KJB14620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGGGSEECCVKVAVHVRPLIGDEKVQGCKDCVTVIPGKPQVQIGTHSFTFDHVYGSTSSPSWMFEECIVPLVDGLFLGYNATVLAYGQTGSGKTYTMGTGFKDGSQTGIIPQVMNALFSKIENLKHQIEFQLHVSFIEILKEEVRDLLDPTFLNKSDTASANTGKVNVPGKPPIQIRESSDGVITLAGSTEVSVSTLKEMGACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNPVSGDGNPNDSMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSTEILKMRQQLEYLQAELCARGGSGEVQVLKERIAWLEAANEDLCRELYDYRSRCTIVEQREMDAQDGSPCSVESDGLKRNLHNRESRDYQIVETMIGGDSREIDEGAAKEWEHMLLQNTMDKELHELNRQLEEKESEMKVFGGHTVALKQHFGKKIQELEEEKRAVQQERDQLLAEIENLSAGSEGQALKVHDIHAQKLKSLEAQIMDLKKKQENQVQLLKKKQKSDEAAKRLQDEIQYIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYKRHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSTARDNLAIANGNGTNGQINEKGLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDELDSKGPSPSRGKNGCARGSSLSPNARVARISSLEHMLGISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDSRCQLWEKDIEIREMKEQLKELVGLLRQSELQRKEVENELKLREQAVAIALATSATGNSPISLKHIADDVKGSSSPMSVPAQKQLKYSPGIVNGPAREPAAFIGQTRKMIPLGQLPMKKFVANGQAGNGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIIRARPRSQVLTHRV >KJB14626 pep chromosome:Graimondii2_0_v6:2:21431863:21437622:-1 gene:B456_002G134500 transcript:KJB14626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNPVSGDGNPNDSMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSTEILKMRQQLEYLQAELCARGGSGEVQVLKERIAWLEAANEDLCRELYDYRSRCTIVEQREMDAQDGSPCSVESDGLKRNLHNRESRDYQIVETMIGGDSREIDEGAAKEWEHMLLQNTMDKELHELNRQLEEKESEMKVFGGHTVALKQHFGKKIQELEEEKRAVQQERDQLLAEIENLSAGSEGQALKVHDIHAQKLKSLEAQIMDLKKKQENQVQLLKKKQKSDEAAKRLQDEIQYIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYKRHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSTARDNLAIANGNGTNGQINEKGLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDELDSKGPSPSRGKNGCARGSSLSPNARVARISSLEHMLGISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDSRCQLWEKDIEIREMKEQLKELVGLLRQSELQRKEVENELKLREQAVAIALATSATGNSPISLKHIADDVKGSSSPMSVPAQKQLKYSPGIVNGPAREPAAFIGQTRKMIPLGQLPMKKFVANGQAGNGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIIRARPRSQVLTHRV >KJB14619 pep chromosome:Graimondii2_0_v6:2:21430907:21439465:-1 gene:B456_002G134500 transcript:KJB14619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGGGSEECCVKVAVHVRPLIGDEKVQGCKDCVTVIPGKPQVQIGTHSFTFDHVYGSTSSPSWMFEECIVPLVDGLFLGYNATVLAYGQTGSGKTYTMGTGFKDGSQTGIIPQVMNALFSKIENLKHQIEFQLHVSFIEILKEEVRDLLDPTFLNKSDTASANTGKVNVPGKPPIQIRESSDGVITLAGSTEVSVSTLKEMGACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNPVSGDGNPNDSMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSTEILKMRQQLEYLQAELCARGGSGEVQVLKERIAWLEAANEDLCRELYDYRSRCTIVEQREMDAQDGSPCSVESDGLKRNLHNRESRDYQIVETMIGGDSREIDEGAAKEWEHMLLQNTMDKELHELNRQLEEKESEMKVFGGHTVALKQHFGKKIQELEEEKRAVQQERDQLLAEIENLSAGSEGQALKVHDIHAQKLKSLEAQIMDLKKKQENQVQLLKKKQKSDEAAKRLQDEIQYIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYKRHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSTARDNLAIANGNGTNGQINEKGLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDELDSKGPSPSRGKNGCARGSSLSPNARVARISSLEHMLGISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDSRCQLWEKDIEIREMKEQLKELVGLLRQSELQRKEVENELKLREQAVAIALATSATGNSPISLKHIADDVKGSSSPMSVPAQKQLKYSPGIVNGPAREPAAFIGQTRKMIPLGQLPMKKFVANGQAGNGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIIRARPRSQVLTHRV >KJB14625 pep chromosome:Graimondii2_0_v6:2:21430951:21438445:-1 gene:B456_002G134500 transcript:KJB14625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGFKDGSQTGIIPQVMNALFSKIENLKHQIEFQLHVSFIEILKEEVRDLLDPTFLNKSDTASANTGKVNVPGKPPIQIRESSDGVITLAGSTEVSVSTLKEMGACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNPVSGDGNPNDSMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSTEILKMRQQLEYLQAELCARGGSGEVQVLKERIAWLEAANEDLCRELYDYRSRCTIVEQREMDAQDGSPCSVESDGLKRNLHNRESRDYQIVETMIGGDSREIDEGAAKEWEHMLLQNTMDKELHELNRQLEEKESEMKVFGGHTVALKQHFGKKIQELEEEKRAVQQERDQLLAEIENLSAGSEGQALKVHDIHAQKLKSLEAQIMDLKKKQENQVQLLKKKQKSDEAAKRLQDEIQYIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYKRHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSTARDNLAIANGNGTNGQINEKGLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDELDSKGPSPSRGKNGCARGSSLSPNARVARISSLEHMLGISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDSRCQLWEKDIEIREMKEQLKELVGLLRQSELQRKEVENELKLREQAVAIALATSATGNSPISLKHIADDVKGSSSPMSVPAQKQLKYSPGIVNGPAREPAAFIGQTRKMIPLGQLPMKKFVANGQAGNGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIIRARPRSQVLTHRV >KJB14624 pep chromosome:Graimondii2_0_v6:2:21430951:21439357:-1 gene:B456_002G134500 transcript:KJB14624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLLMTGSGKTYTMGTGFKDGSQTGIIPQVMNALFSKIENLKHQIEFQLHVSFIEILKEEVRDLLDPTFLNKSDTASANTGKVNVPGKPPIQIRESSDGVITLAGSTEVSVSTLKEMGACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNPVSGDGNPNDSMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSTEILKMRQQLEYLQAELCARGGSGEVQVLKERIAWLEAANEDLCRELYDYRSRCTIVEQREMDAQDGSPCSVESDGLKRNLHNRESRDYQIVETMIGGDSREIDEGAAKEWEHMLLQNTMDKELHELNRQLEEKESEMKVFGGHTVALKQHFGKKIQELEEEKRAVQQERDQLLAEIENLSAGSEGQALKVHDIHAQKLKSLEAQIMDLKKKQENQVQLLKKKQKSDEAAKRLQDEIQYIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYKRHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSTARDNLAIANGNGTNGQINEKGLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDELDSKGPSPSRGKNGCARGSSLSPNARVARISSLEHMLGISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDSRCQLWEKDIEIREMKEQLKELVGLLRQSELQRKEVENELKLREQAVAIALATSATGNSPISLKHIADDVKGSSSPMSVPAQKQLKYSPGIVNGPAREPAAFIGQTRKMIPLGQLPMKKFVANGQAGNGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIIRARPRSQVLTHRV >KJB14621 pep chromosome:Graimondii2_0_v6:2:21430951:21437119:-1 gene:B456_002G134500 transcript:KJB14621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSTEILKMRQQLEYLQAELCARGGSGEVQVLKERIAWLEAANEDLCRELYDYRSRCTIVEQREMDAQDGSPCSVESDGLKRNLHNRESRDYQIVETMIGGDSREIDEGAAKEWEHMLLQNTMDKELHELNRQLEEKESEMKVFGGHTVALKQHFGKKIQELEEEKRAVQQERDQLLAEIENLSAGSEGQALKVHDIHAQKLKSLEAQIMDLKKKQENQVQLLKKKQKSDEAAKRLQDEIQYIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYKRHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSTARDNLAIANGNGTNGQINEKGLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDELDSKGPSPSRGKNGCARGSSLSPNARVARISSLEHMLGISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDSRCQLWEKDIEIREMKEQLKELVGLLRQSELQRKEVENELKLREQAVAIALATSATGNSPISLKHIADDVKGSSSPMSVPAQKQLKYSPGIVNGPAREPAAFIGQTRKMIPLGQLPMKKFVANGQAGNGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIIRARPRSQVLTHRV >KJB15074 pep chromosome:Graimondii2_0_v6:2:34653364:34656136:-1 gene:B456_002G158900 transcript:KJB15074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIESQAAVSNFDLQSKSPFYNISSTDITNVGLLGESEFVSVDSPNQASESDFPTVVNGGGLKMLLEPLSDGRDSPGSNQTRGGGSSHSNAAVKVQKVYRSYRTRRRLADSAVVAEELWWLALNYARLNHSTISFFNYLKPETAASRWNRVRLNASKVGKGLSIDAKAQKLAFQHWIEAIDPRHRYGHNLHIYYDEWCKTDAGQPFFYWLDIGDGKDVDLEECSRSNLQKQLIKYLGPQEREHYEYIVVEGKIIHKQTRNVLDTFQGSKEVKWIFVMSTSKKLYAGKKKKGMFHHSSFLAGGATLAAGRLVVEKGILKIRHSTDDSDSYDDGKSSSSVSTFGFSASSVPTEPKINNEEKNLSLESYDTKQPETTNTYERTLSGGLKSPRTEVPKTAILQRINSKKATESYQLGHQLSLKWSTGAGPRIGCVADYPLELRQQALEFVNLSPRTPNTPSPFLTPRTPRTPTTPSAYRRPSNLPPIATRPTPNDSNTDATPGNQA >KJB15075 pep chromosome:Graimondii2_0_v6:2:34653364:34656136:-1 gene:B456_002G158900 transcript:KJB15075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIESQAAVSNFDLQSKSPFYNISSTDITNVGLLGESEFVSVDSPNQASESDFPTVVNGGGLKMLLEPLSDGRDSPGSNQTRGGGSSHSNAAVKVQKVYRSYRTRRRLADSAVVAEELWWLALNYARLNHSTISFFNYLKPETAASRWNRVRLNASKVGKGLSIDAKAQKLAFQHWIEAIDPRHRYGHNLHIYYDEWCKTDAGQPFFYWLDIGDGKDVDLEECSRSNLQKQLIKYLGPQEREHYEYIVVEGKIIHKQTRNVLDTFQGSKEVKWIFVMSTSKKLYAGKKKGMFHHSSFLAGGATLAAGRLVVEKGILKSISAYSGHYRPTNDSLNSFLSFLKENGVNLDKVEIRHSTDDSDSYDDGKSSSSVSTFGFSASSVPTEPKINNEEKNLSLESYDTKQPETTNTYERTLSGGLKSPRTEVPKTAILQRINSKKATESYQLGHQLSLKWSTGAGPRIGCVADYPLELRQQALEFVNLSPRTPNTPSPFLTPRTPRTPTTPSAYRRPSNLPPIATRPTPNDSNTDATPGNQA >KJB15073 pep chromosome:Graimondii2_0_v6:2:34653217:34656148:-1 gene:B456_002G158900 transcript:KJB15073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIESQAAVSNFDLQSKSPFYNISSTDITNVGLLGESEFVSVDSPNQASESDFPTVVNGGGLKMLLEPLSDGRDSPGSNQTRGGGSSHSNAAVKVQKVYRSYRTRRRLADSAVVAEELWWLALNYARLNHSTISFFNYLKPETAASRWNRVRLNASKVGKGLSIDAKAQKLAFQHWIEAIDPRHRYGHNLHIYYDEWCKTDAGQPFFYWLDIGDGKDVDLEECSRSNLQKQLIKYLGPQEREHYEYIVVEGKIIHKQTRNVLDTFQGSKEVKWIFVMSTSKKLYAGKKKKGMFHHSSFLAGGATLAAGRLVVEKGILKSISAYSGHYRPTNDSLNSFLSFLKENGVNLDKVEIRHSTDDSDSYDDGKSSSSVSTFGFSASSVPTEPKINNEEKNLSLESYDTKQPETTNTYERTLSGGLKSPRTEVPKTAILQRINSKKATESYQLGHQLSLKWSTGAGPRIGCVADYPLELRQQALEFVNLSPRTPNTPSPFLTPRTPRTPTTPSAYRRPSNLPPIATRPTPNDSNTDATPGNQA >KJB16780 pep chromosome:Graimondii2_0_v6:2:61093697:61101835:1 gene:B456_002G247100 transcript:KJB16780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKEIISTLPRRKSWYGDDTFLYQGFWCDTFFIEGLMRAQQSFRSQPSDIVICSAMKTGTTWLKSLTFAIVTRSTFDDSTNPLFNNLSHDCVPLLEVDLAQSSTNRDPKNPLLATHTPYSSLPRSIIDSSCKIVYICRDPKDSLVSLYHFFARYLASKDMKPPALEEAFELFCQGVSSYGPYWDHVLGFWKASLDRPDKILFLKYEEMIEDTILYVKKIADFIGYPFSFEELEKGSVEKIVDMCSFENLSNLEVNKRGKHREGTPAVIENKMYFRKGKVGDWENYLTPEMAARLDSITLQKLNGSGLTL >KJB12055 pep chromosome:Graimondii2_0_v6:2:44690255:44692265:-1 gene:B456_002G174900 transcript:KJB12055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HIFLVMLDVGTNNLKLIEDLLYLGLRQPRLEGEEYLSIIDEFIEAVLTRWPKAIDFQMKWAFETLKRYRERFCMFNDDVQRTAGVALAGLLGTVRAQGQSLDDFPNHKIVVVGARSAGLGVLSMAIQAVVRMTRNAEIAAQNFFLLDKDPLMLLETKGVEPASLLVQSASS >KJB12713 pep chromosome:Graimondii2_0_v6:2:2468801:2475543:-1 gene:B456_002G033000 transcript:KJB12713 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECA1 [Source:Projected from Arabidopsis thaliana (AT1G79050) UniProtKB/TrEMBL;Acc:A0A178WA75] MDSSLVFSLELKLHHHSLPFPTHFQRRPFLTSSNFHLAAAKKPPRKVHCEFEPKVNGALSPDPDSRFLDRQKALEAAMNDINSSFGKGSVTRLGSAGGALVETFPSGCLTLDLALGGGLPKGRIVEVYGPESSGKTTLALHAIAEVQLGGNAMLVDAEHAFDPAYSKALGVDVENLIVCQPDNGEMALEIADRMCRSGAVDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRSTGKIKSVKGDEDIGLRVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCILDCAEMMDVVTKKGSWYSYGDHRLGQGRDRALQYLRDNPILRDEIEKIARSMIADGTEHLSSTHVKSLSFPPQDEDIYEEV >KJB12712 pep chromosome:Graimondii2_0_v6:2:2468801:2475543:-1 gene:B456_002G033000 transcript:KJB12712 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECA1 [Source:Projected from Arabidopsis thaliana (AT1G79050) UniProtKB/TrEMBL;Acc:A0A178WA75] MDSSLVFSLELKLHHHSLPFPTHFQRRPFLTSSNFHLAAAKKPPRKVHCEFEPKVNGALSPDPDSRFLDRQKALEAAMNDINSSFGKGSVTRLGSAGGALVETFPSGCLTLDLALGGGLPKGRIVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDVENLIVCQPDNGEMALEIADRMCRSGAVDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRSTGKIKSVKGDEDIGLRVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCILDCAEMMDVVTKKGSWYSYGDHRLGQGRDRALQYLRDNPILRDEIEKVHSVYDCGWNRTFELNSCEELVISSPR >KJB12714 pep chromosome:Graimondii2_0_v6:2:2468801:2475751:-1 gene:B456_002G033000 transcript:KJB12714 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECA1 [Source:Projected from Arabidopsis thaliana (AT1G79050) UniProtKB/TrEMBL;Acc:A0A178WA75] MDSSLVFSLELKLHHHSLPFPTHFQRRPFLTSSNFHLAAAKKPPRKVHCEFEPKVNGALSPDPDSRFLDRQKALEAAMNDINSSFGKGSVTRLGSAGGALVETFPSGCLTLDLALGGGLPKGRIVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDVENLIVCQPDNGEMALEIADRMCRSGAVDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRSTGKIKSVKGDEDIGLRVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCILDCAEMMDVVTKKGSWYSYGDHRLGQGRDRALQYLRDNPILRDEIEKIARSMIADGTEHLSSTHVKSLSFPPQDEDIYEEV >KJB16818 pep chromosome:Graimondii2_0_v6:2:61310483:61314588:1 gene:B456_002G249100 transcript:KJB16818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKYFTFSKKSLFLFHFHSRASTFSSTKHPSPLRLPKTPPCFSPKPLSNPIIPSTKTHLYASLFCTLIHLYLSCGRYSHAKETFFKMRKHGVIPVLTLWNHLIYSFNASGLVSEVMLLYSEMLACGVLPNIFTANVLVHSVVKDGNLSFGLELLRSFGAKNIVDTVTYNTLIWGFCEQGLAYQGLGVLSEMVKKGITFDAISCNIIVKGFCRIGFVRYGEWVMDNLTTCGICKDVIGFNILIDGYCKIGDVNYAVELIHRMRSEGIVPDIISYNTLINGFCKKGDFFMAKSLINEILGSRRKKDFKILAGTDNRKEVDNGVVLEPDLITHTTLISAYCKQEALEEALSIYEEMVVNGILPDVVTYSSILNGFCKHGKLAEAKVLMMEMEKMGVDPNHVSYATLMDSIFKTGNSVDASALQSLMIVRGIVFDVVVYTTLMDGLFKAGKSKEAETIFITLLKNRMVPNTTTYSALIDGRCKLGDINGAESALEEMKEKDVVPNVVTYSSIVNCYIRKEMLDEAVNVMRKMVSENILPNEYIYAALIDGYFKAGKEMVALDLYSEMKLIGLKENNFILDSFLNNLKRSGRMWEAEELVKDMMSRGLSLDHVNYTSLMDGYFKEGKESAALTLAQEMTEKNIPFDVVAYNVLINGLLRLGKYDAQSVYARMRELDLSPDIITCNTMINAYCKEGKFEHALHLWDDMKSCGLMPNSITCNILIRGLCKAGEIHKALNVLNEMLSLGFPPTTAIHRFLLDASSRNGRADAILQMHEHLVSMGLELNQAVFNTLITVLCRLGMTRKAVSVLEDMTGRGLSADRVTYNALIHGYCTGSHVDKAFATYSQMIAKGVTPNIVTYNLLLKGLSTSGLMKEADELFALMKEKGLNPNASTYDTLISGHGKIGSNRESVKVYCEMITKGFIPRTSTYNVLIDSFSRVGKMTQAQELLKEMQLRGSLPNSSTYDILISGWCDLSNQSELDRASKMSCLVEVKNLILEMNDKQLVPSESTVFNISSTFAKLGKRFDARQFSKGLYKRNIV >KJB13961 pep chromosome:Graimondii2_0_v6:2:13278645:13281336:1 gene:B456_002G103900 transcript:KJB13961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDYKLQILRVKSNFEEMESADETGIHVVDDIDDPEIREVDAQQRRIQAFSVGLEGAGDRKTIGTVIYKSIYTVILSKKFNLLIPFGPLAIFVQKKTNQNGWVFILGLLGIMPLAERLGYTTEQLAFYSGPTLGGLLNATFGNATELIISIYALRTGRIRVVQLSLLGSLLSNLLFVLGIAFFSGGIVRKEQVFNKATAVVDSGLLLMAVMGLLFPASLHSTGTEVHLGVSELALSRVSSCVLLLAYVASIIFQLKNIKHLEGNQHGEILYSDDQDQDQDEEGEAAPEISKWESVIWLGITAAAISILSEYLVDAIEGTSLAWGVPVAFISVILLPIGGNTAAVTTSVMFAMKDKLDVSLGVAIGSSTQISMFIIPFCVVVGWIFGRPVDLNFQLFETATLFMTVLFVAFMMQEGTSNYFKGLMLLFCYVLVAASYFVHEDPSS >KJB13960 pep chromosome:Graimondii2_0_v6:2:13274726:13281326:1 gene:B456_002G103900 transcript:KJB13960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESADETGIHVVDDIDDPEIREVDAQQRRIQAFSVGLEGAGDRKTIGTVIYKSIYTVILSKKFNLLIPFGPLAIFVQKKTNQNGWVFILGLLGIMPLAERLGYTTEQLAFYSGPTLGGLLNATFGNATELIISIYALRTGRIRVVQLSLLGSLLSNLLFVLGIAFFSGGIVRKEQVFNKEGNQHGEILYSDDQDQDQDEEGEAAPEISKWESVIWLGITAAAISILSEYLVDAIEGTSLAWGVPVAFISVILLPIGGNTAAVTTSVMFAMKDKLDVSLGVAIGSSTQISMFIIPFCVVVGWIFGRPVDLNFQLFETATLFMTVLFVAFMMQEGTSNYFKGLMLLFCYVLVAASYFVHEDPSS >KJB17117 pep chromosome:Graimondii2_0_v6:2:62577245:62578465:1 gene:B456_002G2663002 transcript:KJB17117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATTSHFLSKFDVAKHPFHSSHKLSRNRSSSLCIRPNSSKHSNSSTSLETSPQQQQQPRKPQSPIPPKPVNVPESNPSVSLFDRLRDGFKIDELGLEILSIALPAALALAADPIASLVDTAFVGHLGSVELAAVGVSVSIFNLVSKLFNVPLLNITTSFVAEEQALTSKSKDDGEFEHQGKKVLPSVSTSLALAAGLGIAEAVVLSVGSGFLMNIMGIPMDSSMRGPAEQFLTWRAFGAPPVVIALAAQGTFRGFKDTKTPLYAIG >KJB17115 pep chromosome:Graimondii2_0_v6:2:62577175:62578465:1 gene:B456_002G2663002 transcript:KJB17115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATTSHFLSKFDVAKHPFHSSHKLSRNRSSSLCIRPNSSKHSNSSTSLETSPQQQQQPRKPQSPIPPKPVNVPESNPSVSLFDRLRDGFKIDELGLEILSIALPAALALAADPIASLVDTAFVGHLGSVELAAVGVSVSIFNLVSKLFNVPLLNITTSFVAEEQALTSKSKDDGEFEHQGKKVLPSVSTSLALAAGLGIAEAVVLSVGSGFLMNIMGIPMDSSMRGPAEQFLTWRAFGAPPVVIALAAQGTFRGFKDTKTPLYAIG >KJB17116 pep chromosome:Graimondii2_0_v6:2:62577245:62578465:1 gene:B456_002G2663002 transcript:KJB17116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATTSHFLSKFDVAKHPFHSSHKLSRNRSSSLCIRPNSSKHSNSSTSLETSPQQQQQPRKPQSPIPPKPVNVPESNPSVSLFDRLRDGFKIDELGLEILSIALPAALALAADPIASLVDTAFVGHLGSVELAAVGVSVSIFNLVSKLFNVPLLNITTSFVAEEQALTSKSKDDGEFEHQGKKVLPSVSTSLALAAGLGIAEAVVLSVGSGFLMNIMGIPMDSSMRGPAEQFLTWRAFGAPPVVIALAAQGTFRGFKDTKTPLYAIG >KJB14561 pep chromosome:Graimondii2_0_v6:2:20555945:20559866:-1 gene:B456_002G131200 transcript:KJB14561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREGRGAKWGGHGLGSGSGSGQCSYKRITVIVCSVNIVIALYVLHSLYSSLYIYSNKDHDVAMHTSDHIKQMEESVRIRRASEPIELVKLVKRLKHGFSSEESMAEAELPQAVKNKITDEILQRLRSLRPNASVIEQHEAVETWRNEKLKEAKNLALGGERLDSTLLREEAGNLVKVLESNWAALSEEIGLWIPSEIKNQEHNDKPEDVEDTDDPEQILAGRPPLPECRTELHTDYDGDCVRWGLTHHKESAADCCQACLDQAKAAKPGEKKCNIWVYCPSETGCYSPDKYEHKHMECWLKYVSAVFPIRLVTIFFVLLHPTEIVCNPEAN >KJB14560 pep chromosome:Graimondii2_0_v6:2:20555904:20559927:-1 gene:B456_002G131200 transcript:KJB14560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREGRGAKWGGHGLGSGSGSGQCSYKRITVIVCSVNIVIALYVLHSLYSSLYIYSNKDHDVAMHTSDHIKQMEESVRIRRASEPIELVKLVKRLKHGFSSEESMAEAELPQAVKNKITDEILQRLRSLRPNASVIEQHEAVETWRNEKLKEAKNLALGGERLDSTLLREEAGNLVKVLESNWAALSEEIGLWIPSEIKNQEHNDKPEDVEDTDDPEQILAGRPPLPECRTELHTDYDGDCVRWGLTHHKESAADCCQACLDQAKAAKPGEKKCNIWVYCPSETGCYSPDKYEHKHMECWLKYSEKPSLNFKDRYPEGYRNSHRNVPVIVPWVSGVISV >KJB16881 pep chromosome:Graimondii2_0_v6:2:61581733:61584470:1 gene:B456_002G252700 transcript:KJB16881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLVILLSFTFLSSNLWENSNAQQQHFDTGGLSRDSFPEGFLFGTAASAYQVEGMASEDGRGPSIWDAYVKIPDVIWLETRHIANNDTGEVSIDQYHRYKEDVDMMQTLNFDAYRFSISWSRIFPNGTGEVNWKGVDYYNRLIDYLLEKGITPHANLYHYDLPLALQEKYLGLLDRQVIQDFADYAEFCFKTFGDRVKTWMTFNEPRVVAALGFDNGINPPNRCSKQFGNCTDGNSSTEPYIAAHHLILSHAEAVKRYREKYQDKQNGRIGIFLDFVWYEPLTRSKADNYAAQRARDFHIGWFLHPLVYGKYPRTMQKIVGERLPKFTKSEVEKIKNSFDVLCLNHYTSYYIYDPHRPPSNVTGYQQDWNAGFAYERNGVPIGRRAHSEWLYEVPWGMYKVVTYVKERYGNPNIILSENGMDDPGNLTFPESLYDSNRVNFYRSYLKELKRAMDDGANVSGYFAWSILDNFEWLLGYTSRFGLVYVDHNDLKRYPKLSAYWFKQMLERKDS >KJB14570 pep chromosome:Graimondii2_0_v6:2:20697021:20701533:-1 gene:B456_002G131800 transcript:KJB14570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTYAKARDVDEVDANATSIFLGFQRVLDLSKYLTVPLFHKYRDKKSTFQFIIYKVHGRVVIYIKNIFGELEGFFTMMHIFSSITSTQNSNLDDGAFVPKGIDKACPRATWPATGKKRASVPITEEQVRGIFHRYDTNRDGLLSKQELKNAFSSLGSRMPGVRAWLALQHADVNGDGYINEAEFDKLVKYTLKRGYKFN >KJB14794 pep chromosome:Graimondii2_0_v6:2:25868248:25868892:-1 gene:B456_002G143100 transcript:KJB14794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKDSYKINQEELDETLSLCDLSLENYQDLEDTSHHSPNSPSYGHQFFEFPIIPSTPLNNNKANDIVFCGKLIKEQGFVHGDNGDQSRYLFHLSSTKKFNNNKKDLGSLYLVNPKPNSTKSFRSQSSSFRKYKVLIGISKIEPKMELNDMKKRQSRRNHPLPMFPPVATGDMAVVEAGDGCDAGGKRGHRWSLLRPLKFSVLPKVSFTCIPRV >KJB15928 pep chromosome:Graimondii2_0_v6:2:54779321:54780518:-1 gene:B456_002G204800 transcript:KJB15928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPLNVQPSFHARSNSLPSRQHPITSQIDENLNRLRASQSASTSSSSTGHELTCLQDLYDYVDMLLQFPLTQQALAQDQQRKSLEQVLDASLVLLDVCGTAKDALLQIKESTQELQSVLRRRRGEVEGLVDEVRKYLTSKKEVRKAISKAFKNLKHMDNKLMSKDGETGAVISTLKQVVAATMGVLQSLLSFISGPEASSRWSLVSKLMHQKRVRCEEEEEKTNEIANAEAALRSFIKSGNVKHVENVQNELQNSELCIQDLEEGLESFFRRLIKARVTVLNIVNC >KJB12771 pep chromosome:Graimondii2_0_v6:2:3071440:3075876:1 gene:B456_002G038400 transcript:KJB12771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVADKSGEAALVAAAANDKNSLVVETPKSESKEFNVQNLVDMFTKLNPLAKEFFPSSYQQNQTKNDGKFNQVPVGNKSVGNENFSNRRRRNNSNQGRRRLNGKAFRAQRDDSIRQTVYVSDIDQNVTEEQLAGLFSNCGQVVDCRVCGDPHSVLRFAFVEFADEEGARAALNLNGIMLGFYPVRVLPSKTAILPVNPTFLPRSEDEREMCTRTVYCTNIDKKVSQAEVKNFFESACGEVTRLRLLGDNVHSTRIAFVEFAMAESAIVALNCSGMVLGTQPLRVSPSKTPVRPRVARPTLV >KJB12774 pep chromosome:Graimondii2_0_v6:2:3071549:3075817:1 gene:B456_002G038400 transcript:KJB12774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVADKSGEAALVAAAANDKNSLVVETPKSESKEFNVQNLVDMFTKLNPLAKEFFPSSYQQNQTKNDGKFNQVPVGNKSVGNENFSNRRRRNNSNQGRRRLNGKAFRAQRDDSIRQTVYVSDIDQNVTEEQLAGLFSNCGQVVDCRVCGDPHSVLRFAFVEFADEGARAALNLNGIMLGFYPVRVLPSKTAILPVNPTFLPRSEDEREMCTRTVYCTNIDKKVSQAEVKNFFESACGEVTRLRLLGDNVHSTRIAFVEFAMAESAIVALNCSGMVLGTQPLRVSPSKTPVRPRVARPTLV >KJB12772 pep chromosome:Graimondii2_0_v6:2:3071549:3075817:1 gene:B456_002G038400 transcript:KJB12772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVADKSGEAALVAAAANDKNSLVVETPKSESKEFNVQNLVDMFTKLNPLAKEFFPSSYQQNQTKNDGKFNQRRNNSNQGRRRLNGKAFRAQRDDSIRQTVYVSDIDQNVTEEQLAGLFSNCGQVVDCRVCGDPHSVLRFAFVEFADEEGARAALNLNGIMLGFYPVRVLPSKTAILPVNPTFLPRSEDEREMCTRTVYCTNIDKKVSQAEVKNFFESACGEVTRLRLLGDNVHSTRIAFVEFAMAESAIVALNCSGMVLGTQPLRVSPSKTPVRPRVARPTLV >KJB12773 pep chromosome:Graimondii2_0_v6:2:3071549:3075817:1 gene:B456_002G038400 transcript:KJB12773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANLIRCLLVTNQLEMRISPTEGRNNSNQGRRRLNGKAFRAQRDDSIRQTVYVSDIDQNVTEEQLAGLFSNCGQVVDCRVCGDPHSVLRFAFVEFADEEGARAALNLNGIMLGFYPVRVLPSKTAILPVNPTFLPRSEDEREMCTRTVYCTNIDKKVSQAEVKNFFESACGEVTRLRLLGDNVHSTRIAFVEFAMAESAIVALNCSGMVLGTQPLRVSPSKTPVRPRVARPTLV >KJB14990 pep chromosome:Graimondii2_0_v6:2:31402692:31405600:1 gene:B456_002G153600 transcript:KJB14990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSCAKSAATEEDENEDNKQDGNEGEAKDPGQSESKEPEESGSVRKQPPEEMKIVREEDKTGKEQESKSTEKAAEMTQRQQSKSHPQRLNSKPSQLAGFNKEGSKTGNKTRKAHNVKRQSCAGLQVGSVLQTKTGHLKEYYNLGRKLGQGQFGTTFLCIEKGTGKEYACKSIAKRKLTTTEDVDDVKREIQIMHHLAGHPNVISIKGSYEDNMAVHVVMELCAGGELFDRIVKRGHYSERKAAEIARIIVAVVEACHSMGVMHRDLKPENFLFVNNEEDSPLKAIDFGLSIFFKPGDILNDVVGSPYYVAPEVLRKHYGPEADVWSAGVITYILLSGVPPFWGETEQEIFNEVLNGELDFSSDPWPNISESAKDLVTKMLDRDTKRRIKAHEVLRHPWVQVDGVAPDKPLDSVVLSRMKQFSAMDKLKKMALRVIAQRLSEEEIAGLKEMFKMIDADNSGQITYDELKEGLKRFGANLAESEFRALMQAVDINNSGTIDYEEFVTATLHLNKIEREDNLLAAFSYFDRDSSGYITLDELQKACQEFGIQDIHLDEIMREVDQDNDGRIDYNEFVAMMQEGNPKLGKKGKE >KJB14989 pep chromosome:Graimondii2_0_v6:2:31402668:31405631:1 gene:B456_002G153600 transcript:KJB14989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSCAKSAATEEDENEDNKQDGNEGEAKDPGQSESKEPEESGSVRKQPPEEMKIVREEDKTGKEQESKSTEKAAEMTQRQQSKSHPQRLNSKPSQLAGFNKEGSKTGNKTRKAHNVKRQSCAGLQVGSVLQTKTGHLKEYYNLGRKLGQGQFGTTFLCIEKGTGKEYACKSIAKRKLTTTEDVDDVKREIQIMHHLAGHPNVISIKGSYEDNMAVHVVMELCAGGELFDRIVKRGHYSERKAAEIARIIVAVVEACHSMGVMHRDLKPENFLFVNNEEDSPLKAIDFGLSIFFKPGDILNDVVGSPYYVAPEVLRKHYGPEADVWSAGVITYILLSGVPPFWGETEQEIFNEVLNGELDFSSDPWPNISESAKDLVTKMLDRDTKRRIKAHEVLRHPWVQVDGVAPDKPLDSVVLSRMKQFSAMDKLKKMALRVIAQRLSEEEIAGLKEMFKMIDADNSGQITYDELKEGLKRFGANLAESEFRALMQAADINNSGTIDYEEFVTATLHLNKIEREDNLLAAFSYFDRDSSGYITLDELQKACQEFGIQDIHLDEIMREVDQDNDGRIDYNEFVAMMQEGNPKLGKKGKE >KJB13635 pep chromosome:Graimondii2_0_v6:2:10883987:10896091:1 gene:B456_002G085800 transcript:KJB13635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTIKQQFFIAVLVLAFPLSKISGDLDITSDFVVPFGVNVTLLDGNFFTYTGMRPLITSYPPTNFIVTKATMAEFPALNGQSDSYVVLQYPAGSVNPFHTHPRATELLFLTYGILEVGFVDTTNKLFTQRLQAGDIFVFPKGLVHYQFNCAENDSVIAVSTLSIDDNILAKSLKTDVYTIQKLKAGFAPNVYTIQKLKAGFAPKA >KJB13668 pep chromosome:Graimondii2_0_v6:2:11156944:11157285:-1 gene:B456_002G088300 transcript:KJB13668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLRHQQHQSSTRWAGDEWGSSASAANDGDEEKGLLVNNPEIGLNASPSAIHEVKVKISKKQLEELLGRVDAKELSVQQVLEQLINVGNQYEANQRSWRPALQSIPEGELS >KJB12106 pep chromosome:Graimondii2_0_v6:2:26592:26643:-1 gene:B456_002G0006001 transcript:KJB12106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WTSCFGFFCLVVKNFLS >KJB13683 pep chromosome:Graimondii2_0_v6:2:11262996:11266215:1 gene:B456_002G089000 transcript:KJB13683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKSARQAVEISLKKLDLNADRNFKSSRLIPSLHSSKLRIEKTKPPSLVSLCLGVIGRHLEEIIEDLSEIAVNFPADIKIPLAAIARRRKLLNDDVIVSLADSSWEILDLSGSDVSNFGLAKVAEMCKSLRAVDISQCKKITANGVSELVRHCHSLETMRCGGCPSSESTARRCLGILKPKLNDVEGDSWEELDTMEIGHGAQSLRWLVWPKIDEDSLEMLSAECPRIIVNPKPSLFCFKGTEVPREAFPDVALDDPIVEDIYPKTWSICRSMPKAISPSLSSANEVSIAEKFRLAFVERDTRLAPKRAKNARQHQRRAEREWMMTSTRAKALALASKATKSLHGRS >KJB16299 pep chromosome:Graimondii2_0_v6:2:57694648:57696693:1 gene:B456_002G222000 transcript:KJB16299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGYREDNSCCYFHPKEVVIGVCPLCLNERLLFLASKQAQRSSSSTCKSSHRLIHGVSLKKPPINLPKIFALGSLLNRLEFKHCKSENSDAHDASTSQEDSFISIKFEENGVGSWDKGTVSKVSLEHCSMSWNPIKDTNKSVIEHAKPRASLRWRKRIGHLFQLMRWKRSSKVEGVKVMRRKGWWIRSLTKWTKE >KJB17092 pep chromosome:Graimondii2_0_v6:2:62467073:62470246:1 gene:B456_002G264500 transcript:KJB17092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFLVSRFSFNSYIAVFFSISNLVLVSGQCQSDQRQLLLEFKSSFNSTFTSPGKMMKWNQTSNCCSWDGVSCDDGGHVIGLDLSNGIIVGAIDNSSSLFRLQHLQRLNLAFNLFINAFPTGFEKLENLCYLNLSNAGFTGQIPVEISRLTRLVTLDLSVILFQRSLELEMLVQNLTRLRFLYLDGVNISATGNKWCRALSPLTELQVLSMSDCCLSGPMDSSLSKLRSLSVIRLDHNNLSGLVPPFLAEFPNLTSLHLNDNDLSGRLPEEIFQIPTLQALDLSNNEVLEGSIKKFPLNASLQTLRLAPTHIGGQIPESIGNLGQLTRIVLAFCNFSGPIPKTVKKLSQLVYLNFCSNSFSGPIPNFSSSRNLTELNLAGNQLNGTIHSTDWSGLSKLVSVDLQTNKLSGTIPPTLFGIPSLQALFLSENQFDGNIGDLHGKASLLLRYLDLRSNKLQGQFPVSLFEFHGLEYLSLSSNNFSGLIPMTAFQNLRNLSYLDLSYNRLSIHATTTNISLLSFPTFNSLGLGSCNLTEFPDFLKNQTSLNYLDLSKNKIHGGIPNWIWKAKSLSYLNLSQNFLVEFERPLRNITSTLWFLDLHGNQLHGKIPILPLHAIYLDYSNNNFNSVLPAHVGDFLQSANFFSISNNNFHGNIPRSICNSSALKVLDLSNNSLRGPIPQCLFQMNVSLGVLNLGGNNLSGIIPDTFSESCQLQTLHLNQNRLEGKVPKSLANCKMLEVLDIGNNLINGSFPCHLTSIAMLRVLVLRSNNFSGHIDCSGDNSGWKMLQIFDLASNNFSGKLHLTCLGTWDAMQPNRNKNQSELKDLRFEGEALDPFYYQDSIIVTIKGLELELVKILTIFTTIDISCNNFEGPIPEVIGTFKALYGLNFSHNAFSGPIPVFFGNLRELESVDLSSNSLDGEIPLQLANLNFLSFLNVSNNKLVGPIPTSTQLQSFSEASFENNVGLCGPPLKATCGLPPAKTDNPSDARSTINWNYISAETGFCFGFGVSVTLLIFWKRWRKWYFERMDRALSWLFPCLPLETRKHGRRATRNERRH >KJB12644 pep chromosome:Graimondii2_0_v6:2:3367903:3368740:1 gene:B456_002G040800 transcript:KJB12644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLTENPGLINGCNGVEEIEVPEIDADLLMSLLEESQCEEYCNEEQVNSLMESLEAEIRMVNAGSCSIEGDIGSNDCFEWSEMEMVPSSPSDDMTWYVEDHVQEMSVDGYLVQFGNDLPLNFYDIQTENGFTSLWQETYDTAIYN >KJB12645 pep chromosome:Graimondii2_0_v6:2:3367903:3368676:1 gene:B456_002G040800 transcript:KJB12645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLTENPGLINGCNGVEEIEVPEIDADLLMSLLEESQCEEYCNEEQVNSLMESLEAEIRMVNAGSCSIEGDIGSNDCFEWSEMEMVPSSPSDDMTWYVEDHVQEMSVDGYLVQFGNDLPLNFYDIQTENGFTSLWQETYDTAIYN >KJB16029 pep chromosome:Graimondii2_0_v6:2:55605810:55607629:-1 gene:B456_002G208900 transcript:KJB16029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQGPCFHCGIDNTPLWRNGPPEKPVLCNACGSRYRLGKPLENYIPKSTLQIIQKKKRKAVPKSIVTNNGNASYSSSAMGDYASSESSTAHNLNFCSIKQENLDDDFHPVEDLGSSWRNHSRKRSAVVYNSLTTIQKLERDLQKILRHEPHLFNGVESQDDVLIYNVNINNLQIPSKEIGLGTILLNDFPVSSPPSSHHQDEQEIEVSPPNHAPTAPSYP >KJB14710 pep chromosome:Graimondii2_0_v6:2:23835766:23839148:-1 gene:B456_002G139100 transcript:KJB14710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWASHIRTKQSKWLEQNLQDAEEMVTNMLEIIDNDGDSFAQRVEMYYSKRAELVSTLEENFRSYRSANRTIASVFPDRVPYAMEDEDDDNFNQTSTSSIHSNKPRLPKGQTLKERFQGPSSYEYGYKKFKEIENPITEKQKRVCDLQDEFGIGSVIDNNEGRSLMANQALKSCQESLNTLKEKHELSSKVARAESRRIKHVNHKFEALRNKFNSPQTNQQEKHKRVSSTAELDNMAYDIDNEANQREDTEASDQQEKHKHISSTTEPDSMAHNIDNEENESQDLEALQKENEEKLQVDLNESLTMPELVKKIDDLVKRVIRLEDAVFSEKALVKRLKSEADELQEQVKTLEEDKEALIEGSDAMKKRIDELEGELSRVKDLVKTVLDQNYSLKTHFTEAICNVNHLSVKLHELEAIGLDAKADRGTEEHEILPAPDHSSPLKDAGTKLEEDKNVSAEGENNVDDESSYNKADGDSEKGQELEGKNKAEKEYLPETESIVPDTELEELESDEEEQPNWRQLYLKGQDDREKILRDEYSSVLKNFKELRKKLNDVDKKNRDSFFQMALQIKELKYAVVVRDQEIHSLQHEGTQRSTSPESNLTEFIHPSPIDEETDETVVEQTAQGRFKESAKKMGEQVKSDAVSEIEDKIRSGIDDFLEENLEFWLRFSTSIHQIQKYQTSVKDLTAELSKLREKIHQEGSGGKMKSEARPIFSHLREIKTELILWLENNEVLKDEVQDRHFSLCNFQDEIARVTNATNRGRETGISEYQAAKFQGEVLNMKHEINKVGDELNAGFTRAGQLKQEVEKITADLEKELSSSTSSQSQSKPWQHRIPLQSFLFGIKFKNRRKTKKSLQKQLS >KJB14169 pep chromosome:Graimondii2_0_v6:2:15957846:15961469:-1 gene:B456_002G112800 transcript:KJB14169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSENEGIEGNTFVVTGGLGFVGSALCLELIRRGARQVRSFDLRHHSPWSLQLTNHGVRCLQGDLTSKKDVENALRGANCVFHLASYGMSGKEMLQFSRVDQVNINGTCHVLEACLEFGITRLVYVSTYNVVFGGKEIVNGNEALPYFPIDDHVDPYGRSKSIAEQLVLKYNGRPLKNNIGKCLYTCAVRPAAIYGPGEERHLPRIVSMAKLGLVPFKIGNANVKTDWVYVDNLLLALLLASMRLLDDIPGKEGRPVAAGQPYFISDGSPINTFEFIQPLLKSLDYDLPQSWLAVSHALYLAKIFWAVYSMLYPLLNRWWLPQPFILPAEVYKVGLTHYFSYLKAQQELGYVPMVSPREGMAATISYWQDRKNKSLDGPTIYVWGIIFIGMISLFASGWFPPIGPVPLLRSIGLMLFRSMFGIRLAFYLATAAHIGEGMYAWRLAKRVDPDNATGWFWQTFALGFPSLRLLLKRAKKVA >KJB14171 pep chromosome:Graimondii2_0_v6:2:15957941:15961448:-1 gene:B456_002G112800 transcript:KJB14171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSENEGIEGNTFVVTGGLGFVGSALCLELIRRGARQVRSFDLRHHSPWSLQLTNHGVRCLQGDLTSKKDVENALRGANCVFHLASYGMSGKEMLQFSRVDQVNINGTCHVLEACLEFGITRLVYVSTYNVVFGGKEIVNGNEALPYFPIDDHVDPYGRSKSIAEQLVLKYNGRPLKNNIGKCLYTCAVRPAAIYGPGEERHLPRIVSMAKLGLVPFKIGNANVKTDWVYVDNLLLALLLASMRLLDDIPGKEGRPVAAGQPYFISDGSPINTFEFIQPLLKSLDYDLPQSWLAVSHALYLAKIFWAVYSMLYPLLNRWWLPQPFILPAEVYKVCLITGSPFPCSEPCL >KJB14170 pep chromosome:Graimondii2_0_v6:2:15957878:15961448:-1 gene:B456_002G112800 transcript:KJB14170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSENEGIEGNTFVVTGGLGFVGSALCLELIRRGARQVRSFDLRHHSPWSLQLTNHGVRCLQGDLTSKKDVENALRGANCVFHLASYGMSGKEMLQFSRVDQVNINGTCHVLEACLEFGITRLVYVSTYNVVFGGKEIVNGNEALPYFPIDDHVDPYGRSKSIAEQLVLKYNGRPLKNNIGKCLYTCAVRPAAIYGPGEERHLPRIVSMAKLGLVPFKIGNANVKTDWVYVDNLLLALLLASMRLLDDIPGKEGRPVAAGQPYFISDGSPINTFEFIQPLLKSLDYDLPQSWLAVSHALYLAKIFWAVYSMLYPLLNRWWLPQPFILPAEVYKVGLTHYFSYLKAQQELGYVPMVSPREGMAATISYWQDRKNKSLDGPTIYHFT >KJB14016 pep chromosome:Graimondii2_0_v6:2:14445131:14455037:-1 gene:B456_002G106900 transcript:KJB14016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPKRSHEEGGAQSSSASKYPHEDTANYPKMPSSSVPTEYHHPAPYDMGQDARMPRIPRTELRDSGRRSPLQHSMYRMPSSIALNDSHMDSHQGCSESRMELREAKDNREVRVESREQRVEPREFYAEAAKREYQSGKGEKDGRFESRGDESKELKHDRENYSGPKGDLKVEKDLYGGASIHSSWKDPRDYLRGKRYSEPPGGNIDPWHIQRANSQGTVEVVKEGPATEERDYAEGHEAVGENKFDSKGDDRFKDKDRKRKDGKHRDWGDRDKERSDRRNNTHVGNSSGEVKESAREEREAERWERERKDLKEKERPKEREKDHIKREPLNGAEKEGLNNEKELGDGSVRIQEQENQASEQKKQKELDSWKNVDREARDRRRERDGDTEGDRPEKRSKCSDKESDDGCADGEGATEREKEGFNSGVQQRKRMLRPRGSPQVASREPSFRPRPQDNEGFQGKPEVSTVVYKVGECMQELIKLWEEYEASQADKNGESSQNGPTLEIQIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELRATIRVLPPQDCYTSKLRNNVRSRAWGAGISCSYRVERCCIVKKGGGTIDLEPCLTHSSTVEPTLAPVAVERTITTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKPLYTSARLKKGEVLYLETHSCRYELCFTGEKMVKATVASQAHEADAEKSQNHHSHSSNGEKNDSENTLIDVFRWSRCKKPLPQKVMRSIGIPLPLEHVEVLVENVDWEDVQWSQTGVWIAGKEYTLARVHFLSPN >KJB14028 pep chromosome:Graimondii2_0_v6:2:14571781:14572610:-1 gene:B456_002G107500 transcript:KJB14028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTALNLHSPFLFSEEFGVREKIEPTMGGKRQMEPKVGEMEHRVKKRKLDLKEEMEKIKLWSRVLAEMTAVQRQLIEVVISNSNMEDVHLKCEQLGGVNTEAALLNSMIQNYIHVMLGVFGG >KJB15562 pep chromosome:Graimondii2_0_v6:2:48984167:48986500:-1 gene:B456_002G184500 transcript:KJB15562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGEGIPGDGRCLFRSVIHGAWLRSGKQSPSESCQKDLADELRDKVVDEFIKRRADTEWFLEGDFDNYVVQMRQPHIWGGEPELLMCSHVLQTPITVYMRDKNTVTLKIISEYGQEYGKENPIKVLYHGYGHYDVLMSPENSASSKPRWKKR >KJB15561 pep chromosome:Graimondii2_0_v6:2:48983773:48986642:-1 gene:B456_002G184500 transcript:KJB15561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGEGIPGDGRCLFRSVIHGAWLRSGKQSPSESCQKDLADELRDKVVDEFIKRRADTEWFLEGDFDNYVVQMRQPHIWGGEPELLMCSHVLQTPITVYMRDKNTVTLKIISEYGQEYGKENPIKVLYHGYGHYDVLMSPENSASSKPWKKR >KJB15563 pep chromosome:Graimondii2_0_v6:2:48983773:48987140:-1 gene:B456_002G184500 transcript:KJB15563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMPKQETWIGIPGDGRCLFRSVIHGAWLRSGKQSPSESCQKDLADELRDKVVDEFIKRRADTEWFLEGDFDNYVVQMRQPHIWGGEPELLMCSHVLQTPITVYMRDKNTVTLKIISEYGQEYGKENPIKVLYHGYGHYDVLMSPENSASSKPWKKR >KJB15564 pep chromosome:Graimondii2_0_v6:2:48984167:48986791:-1 gene:B456_002G184500 transcript:KJB15564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMPKQETWIGIPGDGRCLFRSVIHGAWLRSGKQSPSESCQKDLADELRDKVVDEFIKRRADTEWFLEGDFDNYVVQMRQPHIWGGEPELLMCSHVLQTPITVYMRDKNTVTLKIISEYGQEYGKENPIKVLYHGYGHYDVLMSPENSASSKPRWKKR >KJB12404 pep chromosome:Graimondii2_0_v6:2:1061449:1065687:-1 gene:B456_002G016300 transcript:KJB12404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) UniProtKB/Swiss-Prot;Acc:Q5PP37] MELICPIDQRCSHQIAALLRPPSPPIVQEYFDQLISKRKCQGIKVKQNGDLGKGVFAETDFEEEQLIFKDEMLVGVQHPSNKIDCLVCSYCFKFIGSIEKQIGRKLYLKTIGIGNSSHNGCQSNSSDDEEDNHYVQNHHSSENGASSSSGSTIPLPMMAVESLMNGELALPYSNKFPLPSVVSCLGGCEEAFYCSKSCAEADWESSHCLLCTGEKSESCSRKALLKFIQHANETNDIFLLAAKAISFTILRYRKLKASHMSEQEKPASSILGTDLSLLLEAWKPISIGHKRRWWDCIALPDDIDASDEATFRREIQELAFTSLQLLKEAIFDKECELLFSLEIYGHIIGMFELNNLDLVVASPVEDYFLYIDDLPYPEKKEAEKITQPYLDALGEDYSNCCQGTAFFPLQSCMNHSCCPNAKAFKREEDRDGQATIIALRPICNGEEVTISYIDEDLSFEERQALLADYGFRCRCPRCLDEER >KJB12405 pep chromosome:Graimondii2_0_v6:2:1060812:1065736:-1 gene:B456_002G016300 transcript:KJB12405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) UniProtKB/Swiss-Prot;Acc:Q5PP37] MELICPIDQRCSHQIAALLRPPSPPIVQEYFDQLISKRKCQGIKVKQNGDLGKGVFAETDFEEEQLIFKDEMLVGVQHPSNKIDCLVCSYCFKFIGSIEKQIGRKLYLKTIGIGNSSHNGCQSNSSDDEEDNHYVQNHHSSENGASSSSGSTIPLPMMAVESLMNGELALPYSNKFPLPSVVSCLGGCEEAFYCSKSCAEADWESSHCLLCTGEKSESCSRKALLKFIQHANETNDIFLLAAKAISFTILRYRKLKASHMSEQEKPASSILGTDLSLLLEAWKPISIGHKRRWWDCIALPDDIDASDEATFRREIQELAFTSLQLLKEAIFDKECELLFSLEIYGHIIGMFELNNLDLVVASPVEDYFLYIDDLPYPEKKEAEKITQPYLDALGEDYSNCCQGTAFFPLQSCMNHSCCPNAKAFKREEDRDGQATIIALRPICNGEEVTISYIDEDLSFEERQALLADYGFRCRCPRCLDEER >KJB12406 pep chromosome:Graimondii2_0_v6:2:1061926:1065576:-1 gene:B456_002G016300 transcript:KJB12406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) UniProtKB/Swiss-Prot;Acc:Q5PP37] MELICPIDQRCSHQIAALLRPPSPPIVQEYFDQLISKRKCQGIKVKQNGDLGKGVFAETDFEEEQLIFKDEMLVGVQHPSNKIDCLVCSYCFKFIGSIEKQIGRKLYLKTIGIGNSSHNGCQSNSSDDEEDNHYVQNHHSSENGASSSSGSTIPLPMMAVESLMNGELALPYSNKFPLPSVVSCLGGCEEAFYCSKSCAEADWESSHCLLCTGEKSESCSRKALLKFIQHANETNDIFLLAAKAISFTILRYRKLKASHMSEQEKPASSILGTDLSLLLEAWKPISIGHKRRWWDCIALPDDIDASDEATFRREIQELAFTSLQLLKEAIFDKECELLFSLEIYGHIIGMFELNNLDLVVASPVEDYFLYIDDLPYPEKKEAEKITQPYLDALGEDYSNCCQGTAFFPLQSCMNHSCCPNAKAFKREEDRDGQATIIALRPICNGEEVTISYIDEDLSFEERQALLADYGFRCRCPRCLDEER >KJB16392 pep chromosome:Graimondii2_0_v6:2:58732834:58733534:1 gene:B456_002G228400 transcript:KJB16392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRKTPVKTRNPDLIRGVGKYSRSKMYHKRGLWAIKAKNGGAFPRHDPKPKAPAAAEKPPKFYPAEDVKKPLLNKRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLTSGLLLVTGGHYIFYCYPFFVIDLLTA >KJB16391 pep chromosome:Graimondii2_0_v6:2:58732779:58734573:1 gene:B456_002G228400 transcript:KJB16391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRKTPVKTRNPDLIRGVGKYSRSKMYHKRGLWAIKAKNGGAFPRHDPKPKAPAAAEKPPKFYPAEDVKKPLLNKRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLTSGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDISGVIVDKFDDKYFAKEVEKRKKKTEGEFFEAEKEDKKKLPEDKKEDQKAVDASLIKSIEGVPDLKAYLAARFSLKSGMKPHELVF >KJB16393 pep chromosome:Graimondii2_0_v6:2:58732936:58734113:1 gene:B456_002G228400 transcript:KJB16393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRKTPVKTRNPDLIRGVGKYSRSKMYHKRGLWAIKAKNGGAFPRHDPKPKAPAAAEKPPKFYPAEDVKKPLLNKRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLTSGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDISGVIVDKFDDKYFAKEVEKRKKKTEGEFFEAEKEAR >KJB16394 pep chromosome:Graimondii2_0_v6:2:58732834:58734546:1 gene:B456_002G228400 transcript:KJB16394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRKTPVKTRNPDLIRGVGKYSRSKMYHKRGLWAIKAKNGGAFPRHDPKPKAPAAAEKPPKFYPAEDVKKPLLNKRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLTSGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDISGVIVDKFDDKYFAKEVEKRKKKTEGEFFEAEKEAKKTA >KJB13191 pep chromosome:Graimondii2_0_v6:2:7206912:7207493:-1 gene:B456_002G061000 transcript:KJB13191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSINKGKEIAEGSSGPAAVAVGAVVGGVGGVGGGDQRNPPPLSRYESQKRRDWNTFGQYLRNQRPPVALSQCNANHVLEFLRYLDQFGKTKVHLQGCVFFGQPEPPGPCTCPLRQAWGSLDALIGRLRAAYEENGGLPETNPFASGAIRIYLREVRDSQAKARGIPYKKKKKKRNPLKATEVSSTSFPIQQP >KJB13192 pep chromosome:Graimondii2_0_v6:2:7205449:7207676:-1 gene:B456_002G061000 transcript:KJB13192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSINKGKEIAEGSSGPAAVAVGAVVGGVGGVGGGDQRNPPPLSRYESQKRRDWNTFGQYLRNQRPPVALSQCNANHVLEFLRYLDQFGKTKVHLQGCVFFGQPEPPGPCTCPLRQAWGSLDALIGRLRAAYEENGGLPETNPFASGAIRIYLREVRDSQAKARGIPYKKKKKKRNPLKATEVSSTSFPIQQP >KJB13792 pep chromosome:Graimondii2_0_v6:2:11980077:11986082:-1 gene:B456_002G094600 transcript:KJB13792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYVGVLVSDQWLQSQFTQVELRSLNSKFVSVKNQNGKVTVGDLPPLMVKLKAFSALLTEDEIRLILGESYGDMSSEIDFETFLRVYLNMQGQATGKLGGPKNSSSFLKASTTTLLHTISESEKASYVTHINIYLADDPFLKQFLPLDPATNDLFHLAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEARPHLVLGLISQIIKIQLLADLNLKKTPQLVELVEDSNADVEELMGLAPEKVLLKWMNFHLNKAGYEKTVANFSSDVKDGKAYAYLLNVLAPEFCNPLTLDTKDPVERAKLVIDHAERMGCKRYLSPKDITEGSTNLNLAFVAQIFHERNGLSTDSKKISFAERMTDDVQISREERCFRLWINSLGIESYVNNVFEDVRTGWILLEVLDKVAPGSVNWKHASKPPIKFPFRKVENCNQVVKIGRQLKFSVVNLGGNDIVQGNKKLIVAFLWQLMRFNMLQLLKSLRSRSRGKEITDADIMNWANRKVKSTGRSTQIESFKDKSLSTGLFFLELLSAVEPRVVNWNLVTKGESDEEKRLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLTASIMYWCLQHAAEEGEVALSPANGNGSTYTSDTSPAPSISGEDERSSLCGEISSLTMDDAASDITVSSSQCENEDTEIATAESHA >KJB13793 pep chromosome:Graimondii2_0_v6:2:11980975:11985129:-1 gene:B456_002G094600 transcript:KJB13793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYVGVLVSDQWLQSQFTQVELRSLNSKFVSVKNQNGKVTVGDLPPLMVKLKAFSALLTEDEIRLILGESYGDMSSEIDFETFLRVYLNMQGQATGKLGGPKNSSSFLKASTTTLLHTISESEKASYVTHINIYLADDPFLKQFLPLDPATNDLFHLAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEARPHLVLGLISQIIKIQLLADLNLKKTPQLVELVEDSNADVEELMGLAPEKVLLKWMNFHLNKAGYEKTVANFSSDVKDGKAYAYLLNVLAPEFCNPLTLDTKDPVERAKLVIDHAERMGCKRYLSPKDITEGSTNLNLAFVAQIFHERNGLSTDSKKISFAERMTDDVQISREERCFRLWINSLGIESYVNNVFEDVRTGWILLEVLDKVAPGSVNWKHASKPPIKFPFRKVENCNQVVKIGRQLKFSVVNLGGNDIVQGNKKLIVAFLWQLMRFNMLQLLKSLRSRSRGKEITDADIMNWANRKVKSTGRSTQIESFKDKSLSTGLFFLELLSAVEPRVVNWNLVTKGESDEEKRLNATYIISVARKLGCSIFLLPEDIMEVRMRLF >KJB13791 pep chromosome:Graimondii2_0_v6:2:11980151:11985815:-1 gene:B456_002G094600 transcript:KJB13791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYVGVLVSDQWLQSQFTQVELRSLNSKFVSVKNQNGKVTVGDLPPLMVKLKAFSALLTEDEIRLILGESYGDMSSEIDFETFLRVYLNMQGQATGKLGGPKNSSSFLKASTTTLLHTISESEKASYVTHINIYLADDPFLKQFLPLDPATNDLFHLAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEARPHLVLGLISQIIKIQLLADLNLKKTPQLVELVEDSNADVEELMGLAPEKVLLKWMNFHLNKAGYEKTVANFSSDVKDGKAYAYLLNVLAPEFCNPLTLDTKDPVERAKLVIDHAERMGCKRYLSPKDITEGSTNLNLAFVAQIFHERNGLSTDSKKISFAERMTDDVQISREERCFRLWINSLGIESYVNNVFEDVRTGWILLEVLDKVAPGSVNWKHASKPPIKFPFRKVENCNQVVKIGRQLKFSVVNLGGNDIVQGNKKLIVAFLWQLMRFNMLQLLKSLRSRSRGKEITDADIMNWANRKVKSTGRSTQIESFKDKSLSTGLFFLELLSAVEPRVVNWNLVTKGESDEEKRLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLTASIMYWCLQHAAEEGEVALSPANGNGSTYTSDTSPAPSISGEDERSSLCGEISSLTMDDAASDITVSSSQCENEDTEIATAESHA >KJB15608 pep chromosome:Graimondii2_0_v6:2:49723449:49727072:1 gene:B456_002G186400 transcript:KJB15608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCCCKIPIFVFVFLLLLVISSSICAAHSSETGNSQANNQTFRPEEELKKLKLVRERLNKINKPALKTIQSPDGDIIDCVLLRHQPAFDHPKLKGKKPLDPPERPNGHNRKGMAAEDFQMWMMSGESCPEGTIPIRRTTEEDMLRASSVASFGKKPTRLVRRDSSSDGHEHAVGYVSGDEYYGAKANINVWAPRVSNQYEFSLSQLWVISGSFGDDLNTIEAGWQVSPELYGDYYPRFFTYWTSDAYQATGCYNLLCSGFVQTNNRIAIGAAISPTSSYGGGQFDISLLVWKDPKHGNWWLEFGSGILVGYWPSILFTHLRDRASMVQFGGEIVNTSPGGSHTSTEMGSGHFAGEGFGKASYFRNLQVVDWDNNLIPLPNLKVLADHPNCYDIQGGINTVWGNYFYYGGPGRNVNCP >KJB12537 pep chromosome:Graimondii2_0_v6:2:1666160:1669198:-1 gene:B456_002G023400 transcript:KJB12537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYLFIMQMAASSACLLGNGLSTHRTKSKLSKDFYGRNIVFTPAISSLGRKSNAVLVKASLKLKQHEGRRGFLKSLLGTAGIGVPALLGNGKAYADEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLIGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDIRGRTEILKVHGSNKKFETDVSFDVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLIPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSEIGPWSLMDSSAQSADVIMRMMARNSMSEKLAEDIDTAVKRLSDSAYEIALQHIRNNREAIDKIVEVLIEKETMSGDEFRAILSEFVEIPAENQVPPSVPTPVSV >KJB12539 pep chromosome:Graimondii2_0_v6:2:1666208:1670147:-1 gene:B456_002G023400 transcript:KJB12539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSACLLGNGLSTHRTKSKLSKDFYGRNIVFTPAISSLGRKSNAVLVKASLKLKQHEGRRGFLKSLLGTAGIGVPALLGNGKAYADEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLIGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDIRGRTEILKVHGSNKKFETDVSFDVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKKTQCQKNSPKTSILPSRGYQTVLTRLRCNT >KJB12538 pep chromosome:Graimondii2_0_v6:2:1666208:1669878:-1 gene:B456_002G023400 transcript:KJB12538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSACLLGNGLSTHRTKSKLSKDFYGRNIVFTPAISSLGRKSNAVLVKASLKLKQHEGRRGFLKSLLGTAGIGVPALLGNGKAYADEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLIGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDIRGRTEILKVHGSNKKFETDVSFDVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLIPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSEIGPWSLMDSSAQSADVIMRMMARNSMSEKLAEDIDTAVKRLSDSAYEIALQHIRNNREAIDKIVEVLIEKETMSGDEFRAILSEFVEIPAENQVPPSVPTPVSV >KJB12540 pep chromosome:Graimondii2_0_v6:2:1666380:1669119:-1 gene:B456_002G023400 transcript:KJB12540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSACLLGNGLSTHRTKSKLSKDFYGRNIVFTPAISSLGRKSNAVLVKASLKLKQHEGRRGFLKSLLGTAGIGVPALLGNGKAYADEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLIGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDIRGRTEILKVHGSNKKFETDVSFDVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLIPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSEIGPWSLMDSSAQSADVIMRMMARNSMSEKLAEDIDTAVKRLSDSAYEIALQHIRNNREAIDKIVEVLIEKETMSGDEFRAILSEFVEIPAENQVPPSVPTPVSV >KJB11961 pep chromosome:Graimondii2_0_v6:2:12060367:12062215:-1 gene:B456_002G095600 transcript:KJB11961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLDSSSSSSEDIETNSDPNSSKAIIPNPLNSNSNSPAVCLLQFAGDSTAGAFMGSIFGYGSGLIKKKGFKGSFVEAGSYAKTFAVLSGVHSLVVCFLKRLRGKDDVINAGVAGCCTGLALSFPGAPQALIQSCLTFGAFSFIVEGLNKQQPALAHSFSSLSKPNEGKFSTGN >KJB11963 pep chromosome:Graimondii2_0_v6:2:12060517:12062206:-1 gene:B456_002G095600 transcript:KJB11963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLDSSSSSSEDIETNSDPNSSKAIIPNPLNSNSNSPAVCLLQFAGDSTAGAFMGSIFGYGSGLIKKKGFKGSFVEAGSYAKTFAVLSGVHSLVVCFLKRLRGKDDGIPCENKCKYCLFFVLDELIYGNVIFLCSY >KJB11962 pep chromosome:Graimondii2_0_v6:2:12060415:12062313:-1 gene:B456_002G095600 transcript:KJB11962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLDSSSSSSEDIETNSDPNSSKAIIPNPLNSNSNSPAVCLLQFAGDSTAGAFMGSIFGYGSGLIKKKGFKGSFVEAGSYAKTFAVLSGVHSLVVCFLKRLRGKDDVINAGVAGCCTGLALSFPGAPQALIQSCLTFGAFSFIVEGLNKQQPALAHSFSVRNKSGHYEGPHPIALPLSLPIPDELKGAFSSFCKSLSKPNEGKFSTGN >KJB17214 pep chromosome:Graimondii2_0_v6:2:5004724:5007893:-1 gene:B456_002G055400 transcript:KJB17214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKDNHEPTLDDVLQPGKQMLAAGYCMYGSSCTLVLSTGEGVNGFTLDPSLGEFILTHPGIKIPKKGKIYSVNEGNAKNWDGPTAKYVEKCKFPKDGSSSKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPSGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALDLVPTKIHERSPIFLGSFDDVEDIKALYAAEGKKE >KJB17217 pep chromosome:Graimondii2_0_v6:2:5004724:5008264:-1 gene:B456_002G055400 transcript:KJB17217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAADAHRTDLMTITRFVLNEQSKHPESRGDFTILLNHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALVSSGRTCILVSEEDEEATFVDPSKRGRYCVVFDPLDGSSNIDCGVSIGTIFGIYMMKDNHEPTLDDVLQPGKQMLAAGYCMYGSSCTLVLSTGEGVNGFTLDPSLGEFILTHPGIKIPKKGKIYSVNEGNAKNWDGPTAKYVEKCKFPKDGSSSKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPSGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALDLVPTKIHERSPIFLGSFDDVEDIKALYAAEGKKE >KJB17219 pep chromosome:Graimondii2_0_v6:2:5005968:5007893:-1 gene:B456_002G055400 transcript:KJB17219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAADAHRTDLMTITRFVLNEQSKHPESRGDFTILLNHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALVSSGRTCILVSEEDEEATFVDPSKRGRYCVVFDPLDGSSNIDCGVSIGTIFGIYMMKDNHEPTLDDVLQPGKQMLAAGYCMYGSSCTLVLSTGEGVNGFTLDPSLGEFILTHPGIKV >KJB17218 pep chromosome:Graimondii2_0_v6:2:5004724:5007989:-1 gene:B456_002G055400 transcript:KJB17218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAADAHRTDLMTITRFVLNEQSKHPESRGDFTILLNHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALVSSGRTCILVSEEDEEATFVDPSKRGRYCVVFDPLDGSSNIDCGVSIGTIFGIYMMKDNHEPTLDDVLQPGKQMLAAGYCMYGSSCTLVLSTGEGVNGFTLDPSLGEFILTHPGIKIPKKGKIYSVNEGNAKNWDGPTAKYVEKCKFPKDGSSSKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPSGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALDLVPTKIHERSPIFLGSFDDVEDIKALYAAEGKKE >KJB17215 pep chromosome:Graimondii2_0_v6:2:5004724:5007893:-1 gene:B456_002G055400 transcript:KJB17215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYQCILVSEEDEEATFVDPSKRGRYCVVFDPLDGSSNIDCGVSIGTIFGIYMMKDNHEPTLDDVLQPGKQMLAAGYCMYGSSCTLVLSTGEGVNGFTLDPSLGEFILTHPGIKIPKKGKIYSVNEGNAKNWDGPTAKYVEKCKFPKDGSSSKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPSGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALDLVPTKIHERSPIFLGSFDDVEDIKALYAAEGKKE >KJB17216 pep chromosome:Graimondii2_0_v6:2:5005445:5007818:-1 gene:B456_002G055400 transcript:KJB17216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAADAHRTDLMTITRFVLNEQSKHPESRGDFTILLNHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALVSSGRTCILVSEEDEEATFVDPSKRGRYCVVFDPLDGSSNIDCGVSIGTIFGIYMMKDNHEPTLDDVLQPGKQMLAAGYCMYGSSCTLVLSTGEGVNGFTLDPSLGEFILTHPGIKIPKKGKIYSVNEGNAKNWDGPTAKYVCGKVQVSQGWFFFKVSEIHWKHGS >KJB15633 pep chromosome:Graimondii2_0_v6:2:49993340:49995994:-1 gene:B456_002G187400 transcript:KJB15633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRWWIYALQLAELLVSSLVHVLYGLYIFSTAVAGDLSQALTDRFFKPNANIEVKSEDPSGTNVDDLPPIVLVHGIFGFGKGKLGGFSYFAGAEKKDERVLVPDLGSLTGIYDRARELFYYLKGGQVDYGEEHSKAYGHSQFGRIYEQGNYPQWDEDHPIHFVGHSAGAQVVRVLQQMLADKKFKGYEDTSENWVLSITSLSGAFNGTTRTYFDGMQPDDGKTMKPLSLLQLCRIGVIIYDWLDIPWLKDYYNFGFDHFNMSRKKLGAWGLVECLLGNAGPFATGDWILTDLTIQGSMGMNSHLQTFPNTFYFSYATKRTTKILGVTVPSGILGIHPLLFIRVLQMSQWRHPPDVPPPYKGYRDEDWQENDGALNTISMTHPRLPIEHPSRLVVNDSDCLPLQPGIWYYKIVEADHILFIVNRERAGVQFDLIYDSIFERCRKHVFRKTPQILPNQAP >KJB16154 pep chromosome:Graimondii2_0_v6:2:56477203:56480329:1 gene:B456_002G215300 transcript:KJB16154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIAILLYLYRKNPSFCSQQSFHSSGFFSVSAAATSATATVFAGTSFASRFLFGNPKVSHCDAAAAFPEDYISNVQRLSEDIVKNVKNLKNDALKYAVKEYKVELKLLYSAFELRPFAMTTLRSFLMFYLPLLEPATNVEEDDEDFLQDTPKEQHVDLVAPFKKSVKQIIRETTVVTTRRVLERLAVIYVSQRMAWKLLKG >KJB16155 pep chromosome:Graimondii2_0_v6:2:56477226:56480187:1 gene:B456_002G215300 transcript:KJB16155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIAILLYLYRKNPSFCSQQSFHSSGFFSVSAAATSATATVFAGTSFASRFLFGNPKVSHCDAAAAFPEDYISNVQRLSEDIVKNVKNLKNDALKYAVKEYKVELKLLYSAFELRPFAMTTLRSFLMFYLPLLEPATNVEEDDEDFLQDTPKEQHVDLVAPFKKSVKQIIRETTVVTTRRVLERLAVIYVSQRMAWKLLKATVTLGEEFYLGG >KJB16962 pep chromosome:Graimondii2_0_v6:2:61885309:61888545:1 gene:B456_002G257000 transcript:KJB16962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGFSFSVTVVVSAIVFIYFCTVFVFIDRWFGLMTSPGIMNAVAFTGVAFMCVLNYAYAILADPGRVPSSFMPDIEDPEVPIHEIKRKGGDLRFCQKCSCFKPPRAHHCRVCKRCILRMDHHCNWINNCVGHANYKVFFVFVVYAVIACIYSLILLVGSLTNDSQNDKQHSADTFRIAYVISGLLLVPLSVALSVLLGWHIYLILQNKTTIEFSLIFLKLNRQYHEGVRAMWLAEKGGTVYKHPYDIGAYENLTTVLGPSIFCWICPFSRHIGNGLRFRTAYDRVSTSSTSK >KJB16960 pep chromosome:Graimondii2_0_v6:2:61885418:61888039:1 gene:B456_002G257000 transcript:KJB16960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGFSFSVTVVVSAIVFIYFCTVFVFIDRWFGLMTSPGIMNAVAFTGVAFMCVLNYAYAILADPGRVPSSFMPDIEDPEVPIHEIKRKGGDLRFCQKCSCFKPPRAHHCRVCKRCILRMDHHCNWINNCVGHANYKVFFVFVVYAVIACIYSLILLVGSLTNDSQNDKQHSADTFRIAYVISGLLLVPLSVALSVLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGTVYKHPYDIGAYENLTTVLGPSIFCWICPFSRHIGNGLRFRTAYDRVSTSSTSK >KJB16961 pep chromosome:Graimondii2_0_v6:2:61885212:61888600:1 gene:B456_002G257000 transcript:KJB16961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGFSFSVTVVVSAIVFIYFCTVFVFIDRWFGLMTSPGIMNAVAFTGVAFMCVLNYAYAILADPGRVPSSFMPDIEDPEVPIHEIKRKGGDLRFCQKCSCFKPPRAHHCRVCKRCILRMDHHCNWINNCVGHANYKVFFVFVVYAVIACIYSLILLVGSLTNDSQNDKQHSADTFRIAYVISGLLLVPLSVALSVLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGTVYKHPYDIGAYENLTTVLGPSIFCWICPFSRHIVILPHRCCHLAKKTITIVTTTDNRRGCKKRVL >KJB16587 pep chromosome:Graimondii2_0_v6:2:60144729:60145050:1 gene:B456_002G2380001 transcript:KJB16587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGTWDDGGVCDAATEPEQDYKKLRPESWNNQYIANAIKEINNSKVRLLNITYLTGFRKDGHPSKYREPGTPVGAPQDCSHWCLPGIPDTWNEILYAQLLSMEFRTK >KJB15552 pep chromosome:Graimondii2_0_v6:2:48638018:48642882:-1 gene:B456_002G183900 transcript:KJB15552 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] MQTKKRISGRNASRDHTSPKASRAEKKISEKVQGPEKKVTELITSSVRKQKPARAFPKKNEVPDGATNLNARYGLSHDDASDACLGFDVVNGAHVDQKGTDHHMSGTIFSPGFHISKICGSEIAGADSKIHQDRGMEDSQVDLLGANITGETLKSTSEAEMLVVDTDPVICTADSGAVLSSEVSAIYLAMKNSKLECIDEYGQDSMSTDVCVEDEYYEEFDDFDPYLFMKNLPELSSVIPAFRPVLLPKQTRSCPPTTLVLDLDDFTFPVNFNLQEHTVYVRCRPHLRDFMERVSGLFEIVIFTASQSIYAEQLLNVLDPKRRVFRHRVFRESCVFVDGNYLKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLLPFLESLVGVEDVRPAIAKKFNLREKIAAAVYPTSNLNRVDPFER >KJB15549 pep chromosome:Graimondii2_0_v6:2:48637976:48643027:-1 gene:B456_002G183900 transcript:KJB15549 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] MQTKKRISGRNASRDHTSPKASRAEKKISEKVQGPEKKVTELITSSVRKQKPARAFPKKNEVPDGATNLNARYGLSHDDASDACLGFDVVNGAHVDQKGTDHHMSGTIFSPGFHISKICGSEIAGADSKIHQDRGMEDSQVDLLGANITGETLKSTSEAEMLVVDTDPVICTADSGAVLSSEVSAIYLAMKNSKLECIDEYGQDSMSTDVCVEDEYYEEFDDFDPYLFMKNLPELSSVIPAFRPVLLPKQTRSCPPTTLVLDLDETLVHSSLEPCDDADFTFPVNFNLQEHTVYVRCRPHLRDFMERVSGLFEIVIFTASQSIYAEQLLNVLDPKRRVFRHRVFRESCVFVDGNYLKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLLPFLESLVGVEDVRPAIAKKFNLREKIAAAVYPTSNLNRVDPFERLL >KJB15550 pep chromosome:Graimondii2_0_v6:2:48638018:48642882:-1 gene:B456_002G183900 transcript:KJB15550 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] MQTKKRISGRNASRDHTSPKASRAEKKISEKVQGPEKKVTELITSSVRKQKPARAFPKKNEVPDGATNLNARYGLSHDDASDACLGFDVVNGAHVDQKGTDHHMSGTIFSPGFHISKICGSEIAGADSKIHQDRGMEDSQVDLLGANITGETLKSTSEAEMLVVDTDPVICTADSGAVLSSEVSAIYLAMKNSKLECIDEYGQDSMSTDVCVEDEYYEEFDDFDPYLFMKNLPELSSVIPAFRPVLLPKQTRSCPPTTLVLDLDETLVHSSLEPCDDADFTFPVNFNLQEHTVYVRCRPHLRDFMERVSGLFEIVIFTASQSIYAEQLLNVLDPKRRVFRHRVFRESCVFVDGNYLKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLLPFLESLVGVEDVRPAIAKKFNLREKIAAAVYPTSNLNRVDPFER >KJB15551 pep chromosome:Graimondii2_0_v6:2:48638467:48641769:-1 gene:B456_002G183900 transcript:KJB15551 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] MQTKKRISGRNASRDHTSPKASRAEKKISEKVQGPEKKVTELITSSVRKQKPARAFPKKNEVPDGATNLNARYGLSHDDASDACLGFDVVNGAHVDQKGTDHHMSGTIFSPGFHISKICGSEIAGAGRLFVCVCTHMNYSKIHQDRGMEDSQVDLLGANITGETLKSTSEAEMLVVDTDPVICTADSGAVLSSEVSAIYLAMKNSKLECIDEYGQDSMSTDVCVEDEYYEEFDDFDPYLFMKNLPELSSVIPAFRPVLLPKQTRSCPPTTLVLDLDETLVHSSLEPCDDADFTFPVNFNLQEHTVYVRCRPHLRDFMERVSGLFEIVIFTASQSIYAEQLLNVLDPKRRVFRHRVFRESCVFVDGNYLKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLLPFLESLVGVEDVRPAIAKKFNLREKIAAAVYPTSNLNRVDPFER >KJB15548 pep chromosome:Graimondii2_0_v6:2:48638006:48643027:-1 gene:B456_002G183900 transcript:KJB15548 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] MQTKKRISGRNASRDHTSPKASRAEKKISEKVQGPEKKVTELITSSVRKQKPARAFPKKNEVPDGATNLNARYGLSHDDASDACLGFDVVNGAHVDQKGTDHHMSGTIFSPGFHISKICGSEIAGADSKIHQDRGMEDSQVDLLGANITGETLKSTSEAEMLVVDTDPVICTADSGAVLSSEVSAIYLAMKNSKLECIDEYGQDSMSTDVCVEDEYYEEFDDFDPYLFMKNLPELSSVIPAFRPVLLPKQTRSCPPTTLVLDLDETLVHSSLEPCDDADFTFPVNFNLQEHTVYVRCRPHLRDFMERVSGLFEIVIFTASQSIYAEQLLNVLDPKRRVFRHRVFRESCVFVDGNYLKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLLPFLESLVGVEDVRPAIAKKFNLREKIAAAVYPTSNLNRVDPFERLL >KJB17104 pep chromosome:Graimondii2_0_v6:2:62516581:62517752:1 gene:B456_002G265300 transcript:KJB17104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKNETSPNAADNQMLNPNAENEDLTLPLESIQPRRVSLHKRSASDSLAFVKEPNWLAPSSSAQGRLLGGAAGSSSASHMDPKKLKRLIANRASAQKSRLRRVEYVDKLEKKAEFLEATVSLVSPRVTSERKKRMMLLKENAELKQRIEFYDKLLEKQDAEYKALKEERDLLSFTNLLIQEGI >KJB12888 pep chromosome:Graimondii2_0_v6:2:3547819:3550379:-1 gene:B456_002G042900 transcript:KJB12888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGINASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYANSA >KJB12889 pep chromosome:Graimondii2_0_v6:2:3547864:3550333:-1 gene:B456_002G042900 transcript:KJB12889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGINASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETGKTLWLQS >KJB17075 pep chromosome:Graimondii2_0_v6:2:62402171:62405321:-1 gene:B456_002G263500 transcript:KJB17075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPNWSQPSTSGASSSSYREDSGAAAASSSQVREEEEDRDQDYPPQHHFQFHSPELENHHIGGFLSYRDNSPAFNDNSSSVIRDDTWSCIIVVFTFWFFVSMTLILGVYGPMNLQLGPNCSLLIQPNPLFVQSVTVEEKDGTKPGLNLYGLYKSPSLDEVTTWSETYTPTIQADSHMEWIRYLNRGSQVNISYNVNSVSSSVFLIIAEGSEGLARWLEDPTYPNTTLSWNIVHVLAGSGMIQQDIYRSSSYYIALGNMNTEDIKVQLNFTFKAYIYNTTEAYYKCTFANGVCSLNILFPEGNSVVLTSPGPEQRRSAHDWSFRLSYGPRWITYIVGIGGMTGIMLVAFNFLNKFQYTREDEARLRNGELASARAPLLSRKADDLSSRGSSYDSVSSDEADLEDFLAVSLEGTSIGDGENSSNTRRLCAICFDAPRDCFFLPCGHCVACFACGTRIAEADGTCPICRRSMKKVRKIFTV >KJB17074 pep chromosome:Graimondii2_0_v6:2:62401744:62405413:-1 gene:B456_002G263500 transcript:KJB17074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPNWSQPSTSGASSSSYREDSGAAAASSSQVREEEEDRDQDYPPQHHFQFHSPELENHHIGGFLSYRDNSPAFNDNSSSVIRDDTWSCIIVVFTFWFFVSMTLILGVYGPMNLQLGPNCSLLIQPNPLFVQSVTVEEKDGTKPGLNLYGLYKSPSLDEVTTWSETYTPTIQADSHMWIRYLNRGSQVNISYNVNSVSSSVFLIIAEGSEGLARWLEDPTYPNTTLSWNIVHGSGMIQQDIYRSSSYYIALGNMNTEDIKVQLNFTFKAYIYNTTEAYYKCTFANGVCSLNILFPEGNSVVLTSPGPEQRRSAHDWSFRLSYGPRWITYIVGIGGMTGIMLVAFNFLNKFQYTREDEARLRNGELASARAPLLSRKADDLSSRGSSYDSVSSDEADLEDFLAVSLEGTSIGDGENSSNTRRLCAICFDAPRDCFFLPCGHCVACFACGTRIAEADGTCPICRRSMKKVRKIFTV >KJB17073 pep chromosome:Graimondii2_0_v6:2:62401721:62405457:-1 gene:B456_002G263500 transcript:KJB17073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPNWSQPSTSGASSSSYREDSGAAAASSSQVREEEEDRDQDYPPQHHFQFHSPELENHHIGGFLSYRDNSPAFNDNSSSVIRDDTWSCIIVVFTFWFFVSMTLILGVYGPMNLQLGPNCSLLIQPNPLFVQSVTVEEKDGTKPGLNLYGLYKSPSLDEVTTWSETYTPTIQADSHMEWIRYLNRGSQVNISYNVNSVSSSVFLIIAEGSEGLARWLEDPTYPNTTLSWNIVHGSGMIQQDIYRSSSYYIALGNMNTEDIKVQLNFTFKAYIYNTTEAYYKCTFANGVCSLNILFPEGNSVVLTSPGPEQRRSAHDWSFRLSYGPRWITYIVGIGGMTGIMLVAFNFLNKFQYTREDEARLRNGELASARAPLLSRKADDLSSRGSSYDSVSSDEADLEDFLAVSLEGTSIGDGENSSNTRRLCAICFDAPRDCFFLPCGHCVACFACGTRIAEADGTCPICRRSMKKVRKIFTV >KJB13518 pep chromosome:Graimondii2_0_v6:2:9478855:9484455:1 gene:B456_002G079000 transcript:KJB13518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLEPLFEVIKCLGGPTSRYLNQHRKLEENVNDLRRKVNDLNIRKQDLELKKEAEFRCRKVVKKEVENWFETVRSTNVEMEKIEMKFRVVSYFSRARLGRFVCRKIEEVERIYQQGSFPEGVAVDGPPATGVTLLTTDLEGQMDVKEQICEYLRGDDVGMIGVCGMGGIGKTTIMKHINNQLLKENQFDAVIWLTVSREFNVVKLQEDLACALDHSLPKNKLEWPTLLMEILERKRYVLILDDVSEKFALSDVGIPEPASHNGSKLVITSRSIEVCKSMGCKVFKVQPLSQAESWNLFLRQVGDGVLQDPTLKEHVNLIVGECGGLPLAIVTIANSMKGVDDAFEWKNALNELRERVTSVKGSDTIIFERLKFSYDRLRDSKIQNCFLYCSLYPEDYHIEKEGLIENWIDEELIDELENRQAMHDRGHTVLNKLVNNCLLENVMIDNVKEGVKMHDVVRDMALSIKGGDHFMVKAGTGLEKIPSEHKWKESLEKVSLMNNSIAEVLLISPKCPNLSTLLLQKNNYLRILGSFFEHMHKIKVLDLSDTGICDLPNSISTLTSLTALILRRCRQLRHMPSLAKLTALKKLDLFHTDIKEVPHGIEMLENLTYLNLYASGLKNLPMGIISRFSELQVLKAWLNINGEEVAKLRKLEILLGAFHVLQDFEGYAKSLSSQWPTKYWLRVGSPKTGYLVPRFGGNLEDVEIDKEVCFTNCKMGNEDFVVLPKDTKTLTVEHCRNLKSLSNISLFCEANELETCTISWCEEIECVVDFSLSSCYSLQNIEILRLRKLWNLHELVRVAAVASTSHTPTPPGIFTSLKEFYLIGCSHIKKLFPVHLLQDFQHLEYIEVKHCKKLEKIIAEEDEENQNVDRRGSITTFAFPKLKTLHLIKLPELKSICSSGVRIPCESLKYLSIINCSKIRKIPFSIPLLGTGQPSPLSLELGYVHPKKWWKLVEFDDPNAKDILSSLVLDSSSEEDEE >KJB13517 pep chromosome:Graimondii2_0_v6:2:9478855:9484455:1 gene:B456_002G079000 transcript:KJB13517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLEPLFEVIKCLGGPTSRYLNQHRKLEENVNDLRRKVNDLNIRKQDLELKKEAEFRCRKVVKKEVENWFETVRSTNVEMEKIEMKFRVVSYFSRARLGRFVCRKIEEVERIYQQGSFPEGVAVDGPPATGVTLLTTDLEGQMDVKEQICEYLRGDDVGMIGVCGMGGIGKTTIMKHINNQLLKENQFDAVIWLTVSREFNVVKLQEDLACALDHSLPKNKLEWPTLLMEILERKRYVLILDDVSEKFALSDVGIPEPASHNGSKLVITSRSIEVCKSMGCKVFKVQPLSQAESWNLFLRQVGDGVLQDPTLKEHVNLIVGECGGLPLAIVTIANSMKGVDDAFEWKNALNELRERVTSVKGSDTIIFERLKFSYDRLRDSKIQNCFLYCSLYPEDYHIEKEGLIENWIDEELIDELENRQAMHDRGHTVLNKLVNNCLLENVMIDNVKEGVKMHDVVRDMALSIKGGDHFMVKAGTGLEKIPSEHKWKESLEKVSLMNNSIAEVLLISPKCPNLSTLLLQKNNYLRILGSFFEHMHKIKVLDLSDTGICDLPNSISTLTSLTALILRRCRQLRHMPSLAKLTALKKLDLFHTDIKEVPHGIEMLENLTYLNLYASGLKNLPMGIISRFSELQVLKAWLNINGEEVAKLRKLEILLGAFHVLQDFEGYAKSLSSQWPTKYWLRVGSPKTGYLVPRFGGNLEDVEIDKEVCFTNCKMGNEDFVVLPKDTKTLTVEHCRNLKSLSNISLFCEANELETCTISWCEEIECVVDFSLSSCYSLQNIEILRLRKLWNLHELVRVAAVASTSHTPTPPGIFTSLKEFYLIGCSHIKKLFPVHLLQDFQHLEYIEVKHCKKLEKIIAEEDEENQNVDRRGSITTFAFPKLKTLHLIKLPELKSICSSGVRIPCESLKYLSIINCSKIRKIPFSIPLLGTGQPSPLSLELGYVHPKKWWKLVEFDDPNAKDILSSLVLDSSSEEDEE >KJB16516 pep chromosome:Graimondii2_0_v6:2:59351310:59354446:-1 gene:B456_002G233700 transcript:KJB16516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WVD2-like 4 [Source:Projected from Arabidopsis thaliana (AT2G35880) UniProtKB/Swiss-Prot;Acc:Q9SJ62] MESENWVTVEEEIIVSEKTDIEESATEEKKKEHDDVDADINGEGDSNSKQQETKSEGKTSKAPANVSKTQLSRTLKESGNAKNNKVTNDKPNLRNAVLISRNQRPVLSQSLSFPARRIHGDGLVKSTDLKHSQEKSSKTHAPSSNGSARLSHPNRRGSIHVDTKPANANGGGVSSRRTTIASLPSNKPAKSGPGNTAAKPSSSSESADSKPIAATMQSKEDDDAHSTTSATSRSTRRSSGSGFTFRLEERAEKRKEFLSKLEEKIHAKEVEKNNLQAKSKENQEAEIKQLRKSLTFKATPMPNFYKEPPPKVELKKIPTTRAISPKLGRNKSNVAATNNRSEVDGSVVRPSLNQERNGSTKRTQTNGNEENVASKKTVKKPQPKVQPKETTKAEEKPGKSKPKTKKAENPVQDACVGKPEENPNKPINLPQSKDAITVSGEINPAQNGGPIPSLANSDTMPRQVTVGG >KJB16518 pep chromosome:Graimondii2_0_v6:2:59351310:59354446:-1 gene:B456_002G233700 transcript:KJB16518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WVD2-like 4 [Source:Projected from Arabidopsis thaliana (AT2G35880) UniProtKB/Swiss-Prot;Acc:Q9SJ62] MESENWVTVEEEIIVSEKTDIEESATEEKKKEHDDVDADINGEGDSNSKQQETKSEGKTSKAPANVSKTQLSRTLKESGNAKNNKVTNDKPNLRNAVLISRNQRPVLSQSLSFPARRIHGDGLVKSTDLKHSQEKSSKTHAPSSNGSARLSHPNRRGSIHVDTKPANANGGGVSSRRTTIASLPSNKPAKSGPGNTAAKPSSSSESADSKPIAATMQSKEDDDAHSTTSATSRSTRRSSGSGFTFRLEERAEKRKEFLSKLEEKIHAKEVEKNNLQAKSKEAEIKQLRKSLTFKATPMPNFYKEPPPKVELKKIPTTRAISPKLGRNKSNVAATNNRSEVDGSVVRPSLNQERNGSTKRTQTNGNEENVASKKTVKKPQPKVQPKETTKAEEKPGKSKPKTKKAENPVQDACVGKPEENPNKPINLPQSKDAITVSGEINPAQNGGPIPSLANSDTMPRQVTVGG >KJB16519 pep chromosome:Graimondii2_0_v6:2:59351126:59354570:-1 gene:B456_002G233700 transcript:KJB16519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WVD2-like 4 [Source:Projected from Arabidopsis thaliana (AT2G35880) UniProtKB/Swiss-Prot;Acc:Q9SJ62] MESENWVTVEEEIIVSEKTDIEESATEEKKKEHDDVDADINGEGDSNSKQQETKSEGKTSKAPANVSKTQLSRTLKESGNAKNNKVTNDKPNLRNAVLISRNQRPVLSQSLSFPARRIHGDGLVKSTDLKHSQEKSSKTHAPSSNGSARLSHPNRRGSIHVDTKPANANGGGVSSRRTTIASLPSNKPAKSGPGNTAAKPSSSSESADSKPIAATMQSKEDDDAHSTTSATSRSTRRSSGSGFTFRLEERAEKRKEFLSKLEEKIHAKEVEKNNLQAKSKENQEAEIKQLRKSLTFKATPMPNFYKEPPPKVELKKIPTTRAISPKLGRNKSNVAATNNRSEVDGSVVRPSLNQERNGSTKRTQTNGNEENVASKKTVKKPQPKVQPKETTKAEEKPGKSKPKTKKAENPVQDACVGKPEENPNKPINLPQSKDAITVSGEINPAQNGGPIPSLANSDTMPRQVTVGG >KJB16517 pep chromosome:Graimondii2_0_v6:2:59352404:59353772:-1 gene:B456_002G233700 transcript:KJB16517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WVD2-like 4 [Source:Projected from Arabidopsis thaliana (AT2G35880) UniProtKB/Swiss-Prot;Acc:Q9SJ62] MESENWVTVEEEIIVSEKTDIEESATEEKKKEHDDVDADINGEGDSNSKQQETKSEGKTSKAPANVSKTQLSRTLKESGNAKNNKVTNDKPNLRNAVLISRNQRPVLSQSLSFPARRIHGDGLVKSTDLKHSQEKSSKTHAPSSNGSARLSHPNRRGSIHVDTKPANANGGGVSSRRTTIASLPSNKPAKSGPGNTAAKPSSSSESADSKPIAATMQSKEDDDAHSTTSATSRSTRRSSGSGFTFRLEERAEKRKEFLSKLEEKIHAKEVEKNNLQAKSKVLAMTFQYNHYTNEHISLCSLLFCFISLILWFVALCRRTRRRKSSN >KJB16520 pep chromosome:Graimondii2_0_v6:2:59351310:59354446:-1 gene:B456_002G233700 transcript:KJB16520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WVD2-like 4 [Source:Projected from Arabidopsis thaliana (AT2G35880) UniProtKB/Swiss-Prot;Acc:Q9SJ62] MESENWVTVEEEIIVSEKTDIEESATEEKKKEHDDVDADINGEGDSNSKQQETKSEGKTSKAPANVSKTQLSRTLKESGNAKNNKVTNDKPNLRNAVLISRNQRPVLSQSLSFPARRIHGDGLVKSTDLKHSQEKSSKTHAPSSNGSARLSHPNRRGSIHVDTKPANANGGGVSSRRTTIASLPSNKPAKSGPGNTAAKPSSSSESADSKPIAATMQSKEDDDAHSTTSSATSRSTRRSSGSGFTFRLEERAEKRKEFLSKLEEKIHAKEVEKNNLQAKSKENQEAEIKQLRKSLTFKATPMPNFYKEPPPKVELKKIPTTRAISPKLGRNKSNVAATNNRSEVDGSVVRPSLNQERNGSTKRTQTNGNEENVASKKTVKKPQPKVQPKETTKAEEKPGKSKPKTKKAENPVQDACVGKPEENPNKPINLPQSKDAITVSGEINPAQNGGPIPSLANSDTMPRQVTVGG >KJB12728 pep chromosome:Graimondii2_0_v6:2:2477419:2482642:-1 gene:B456_002G033100 transcript:KJB12728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNISLVEAKLPPGFRFHPKDEELVCDYLMKKVASADTLQLMIEVDLNKCEPWDIPETAGVGGKEWYFYSKRDRKYATGLRTNRATCSGYWKATGKDRAIHSKGMVVGMRKTLVFYNGRAPKGTKSDWVMHEFRLDGPLSPPNLATLKEDWVLCRVFHKTRDTITKPSSMGTTCLDDTSSSSQLPPLTDPYLISDHTGPNSNEQVPCFSVLSQTDPNSFKVEMQPNMPTETLTSSSSVDHQQQQQQMMPAINDITSCLDSFPRDKMVIKAVLNNLTQLDTYLNVKESTSFDGGISSDHSYLSGIWDCPTFGINY >KJB12117 pep chromosome:Graimondii2_0_v6:2:78697:82182:1 gene:B456_002G001300 transcript:KJB12117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTAVEKTAEELQREIDELHRQQREITERLRDPRGLRRGGLSGIGPRNFAANGSRQRGFLRPADRIDGEDQPPAKRRLSSAVVKVEDGEIVDDEVAKDASDMAVEGSGAVHESDRKLSTQQQSGWSRRDVNQTPLKKDAEVPVAEHVPRILPKDEDPSLINRNKRMLGQLLGTLERFRKEDKQLSGTEAYMRRSNSLQRAEQRAREESEKLRQQEREQIAEKRRRDLTLRARVAAKAEEKKLELLFLQWSEHHKKLSNFIRTKTEPPIYYLPTKPLHEDAAIYEQQKEQYLEWKTARREELSEYQKQIGEEYVGNVEKELERWQNARKARKANNDMNLQETMDKELDSHRLEHGPKKRKIPGGNNNEDEEDVEDINVGEDDMMDDVLGVDDNNGRRGDETAKAEPDNTSPVPDNNVDQL >KJB12118 pep chromosome:Graimondii2_0_v6:2:78697:82182:1 gene:B456_002G001300 transcript:KJB12118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTAVEKTAEELQREIDELHRQQREITERLRDPRGLRRGGLSGIGPRNFAANGSRQRGFLRPADRIDGEDQPPAKRRLSSAVVKVEDGEIVDDEVAKDASDMAVEGSGAVHESDRKLSTQQQSGWSRRDVNQTPLKKDAEVPVAEHVPRILPKDEDPSLINRNKRMLGQLLGTLERFRKEDKQLSGTEAYMRRSNSLQRAEQRAREESEKLRQQEREQIAEKRRRDLTLRARVAAKAEEKKLELLFLQWSEHHKKLSNFIRTKTEPPIYYLPTKPLHEDAAIYEQQKEQEYLEWKTARREELSEYQKQIGEEYVGNVEKELERWQNARKARKANNDMNLQETMDKELDSHRLEHGPKKRKIPGGNNNEDEEDVEDINVGEDDMMDDVLGVDDNNGRRGDETAKAEPDNTSPVPDNNVDQL >KJB12087 pep chromosome:Graimondii2_0_v6:2:58633756:58634142:1 gene:B456_002G227700 transcript:KJB12087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLNHNLSMVIALLSSILIAASMVTSEEEFMITIPPEPEPGFYKTIEECIEKMSRECGENIVKAVLEDEEISEQCCAELVHGMGKICHDDLLQFYVSLPQLGFNVTHLNIRGHQVWNICILKAPSKM >KJB14111 pep chromosome:Graimondii2_0_v6:2:15289036:15293759:1 gene:B456_002G110600 transcript:KJB14111 gene_biotype:protein_coding transcript_biotype:protein_coding description:KS1 [Source:Projected from Arabidopsis thaliana (AT1G79460) UniProtKB/TrEMBL;Acc:A0A178WDK0] MSLFHPHSCSNPFISVSTDSGANPTADTNSSNDLCFKDSKQRIKKMFNQIELSVSSYDTAWVAMVPSPTSHCNPCFPACLNWLLDNQLPNGSWGPCRPHPLLIKDTLSSTLACVLALRRWGVGEEHMTKGLRFIESHFCSASDESQLTPIGFDIIFSGMVEYARDLNLNLPLRSTDIDVLFHKRDLQLRRENSKGREAYLAYVSEGIGKHQDWEMVMKYQRKNGSLFNSPSATAATLSHLPNSGCLHYLTALLDKFENAVPTLHPFHVFPRLCMLETVESLGIGQHFREEITSVLDETYRCWLQGEEEIFLDLPTCALAFRTLRVNGYDVSSEALAGFAEEHFFNSLGGYLKDLDAVVELFRASQMIIHPNEQLLEKHISWTSHFLKQELSNTSKCAYKHKQNIMQKVNDALEFPHYASLERLVYRRNIVNYDVDDIRMLKSSYSSLSIGNKDFLRLAVEDFNACQSIYREELKQLERWVQEKRLDKLKFARQKLAYCYFSAAATLCPPELSDARLTWAKNGVLTTVVDDFFDVGGSEDELLNLIQLVENGWICLGPCCRKLSGRRRRQSQLWMNT >KJB14113 pep chromosome:Graimondii2_0_v6:2:15289036:15293759:1 gene:B456_002G110600 transcript:KJB14113 gene_biotype:protein_coding transcript_biotype:protein_coding description:KS1 [Source:Projected from Arabidopsis thaliana (AT1G79460) UniProtKB/TrEMBL;Acc:A0A178WDK0] MSLFHPHSCSNPFISVSTDSGANPTADTNSSNDLCFKDSKQRIKKMFNQIELSVSSYDTAWVAMVPSPTSHCNPCFPACLNWLLDNQLPNGSWGPCRPHPLLIKDTLSSTLACVLALRRWGVGEEHMTKGLRFIESHFCSASDESQLTPIGFDIIFSGMVEYARDLNLNLPLRSTDIDVLFHKRDLQLRRENSKGREAYLAYVSEGIGKHQDWEMVMKYQRKNGSLFNSPSATAATLSHLPNSGCLHYLTALLDKFENAVPTLHPFHVFPRLCMLETVESLGIGQHFREEITSVLDETYRCWLQGEEEIFLDLPTCALAFRTLRVNGYDVSSEALAGFAEEHFFNSLGGYLKDLDAVVELFRASQMIIHPNEQLLEKHISWTSHFLKQELSNTSKCAYKHKQNIMQKVNDALEFPHYASLERLVYRRNIVNYDVDDIRMLKSSYSSLSIGNKDFLRLAVEDFNACQSIYREELKQLERWVQEKRLDKLKFARQKLAYCYFSAAATLCPPELSDARLTWAKNGVLTTVVDDFFDVGGSEDELLNLIQLVEKQDLDVSIDCCSEEVEIIYSALDNTISEIGEKAIAWQGRNIKTHVSEIWLDLLRSMLQEAQWSKEKAVPTVDEYMRNGYISFALGPIILPALYFVGPRLSEAVVKSGEYSLLFRHVSTCGRLLNDIHSFKRESMEGKLNAVSLHIIHGTNSVAEDHVNQELKHLIEERRRELHRLVLQKNDSIVPRQCKELFWKMSKVLHLFYMKDDGFTSHEMANAVNAVIHEPILVDQL >KJB14112 pep chromosome:Graimondii2_0_v6:2:15289155:15293759:1 gene:B456_002G110600 transcript:KJB14112 gene_biotype:protein_coding transcript_biotype:protein_coding description:KS1 [Source:Projected from Arabidopsis thaliana (AT1G79460) UniProtKB/TrEMBL;Acc:A0A178WDK0] MSLFHPHSCSNPFISVSTDSGANPTADTNSSNDLCFKDSKQRIKKMFNQIELSVSSYDTAWVAMVPSPTSHCNPCFPACLNWLLDNQLPNGSWGPCRPHPLLIKDTLSSTLACVLALRRWGVGEEHMTKGLRFIESHFCSASDESQLTPIGFDIIFSGMVEYARDLNLNLPLRSTDIDVLFHKRDLQLRRENSKGREAYLAYVSEGIGKHQDWEMVMKYQRKNGSLFNSPSATAATLSHLPNSGCLHYLTALLDKFENAVPTLHPFHVFPRLCMLETVESLGIGQHFREEITSVLDETYRCWLQGEEEIFLDLPTCALAFRTLRVNGYDVSSEALAGFAEEHFFNSLGGYLKDLDAVVELFRASQMIIHPNEQLLEKHISWTSHFLKQELSNTSKCAYKHKQNIMQKVNDALEFPHYASLERLVYRRNIVNYDVDDIRMLKSSYSSLSIGNKDFLRLAVEDFNACQSIYREELKQLERWVQEKRLDKLKFARQKLAYCYFSAAATLCPPELSDARLTWAKNGVLTTVVDDFFDVGGSEDELLNLIQLVEKQDLDVSIDCCSEEVEIIYSALDNTISEIGEKAIAWQGRNIKTHVSEIWLDLLRSMLQEAQWSKEKAVPTVDEYMRNGYISFALGPIILPALYFVGPRLSEAVVKSGEYSLLFRHVSTCGRLLNDIHSFKRESMEGKLNAVSLHIIHGTNSVAEDHVNQELKHLIEERRRELHRLVLQKNDSIVPRQCKELFWKMSKVLHLFYMKDDGFTSHEMANAVNAVIHEPILVDQL >KJB14761 pep chromosome:Graimondii2_0_v6:2:26050802:26051137:-1 gene:B456_002G143800 transcript:KJB14761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSSNYAYWKTRMRYFIMLVDESAWEVVKEGWSRPEQTTNERNAAQANSKALYAIFSRIDMEQFKMISTCETTKEVWTILPNQHEKNSSVCQRNIDL >KJB14924 pep chromosome:Graimondii2_0_v6:2:28863047:28865688:-1 gene:B456_002G149400 transcript:KJB14924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >KJB14926 pep chromosome:Graimondii2_0_v6:2:28864462:28865644:-1 gene:B456_002G149400 transcript:KJB14926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRYAAFNPYVLTDL >KJB14925 pep chromosome:Graimondii2_0_v6:2:28863051:28865644:-1 gene:B456_002G149400 transcript:KJB14925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVSFGSLRPYRGHHLVFSGRPI >KJB15782 pep chromosome:Graimondii2_0_v6:2:53130464:53130912:-1 gene:B456_002G196100 transcript:KJB15782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSLTISLFWCEELIMNPQISAASVIAAGLAVGLASIGLGVGQGTAAGQVVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >KJB14748 pep chromosome:Graimondii2_0_v6:2:25161008:25163142:1 gene:B456_002G141800 transcript:KJB14748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRLNSEKSGSFPRFGRRRSKRELETGSFKLKGLNPKVCGKNQNPVELFWKSNLNHDQILEKVVQFIEKLASGQDKSKAPEIPNFVESFLKMVESRIATYEQSEASAKLGFNEEYTSFFEAVSCLSKIVNTLDEFPFDSATTSCFNRAGSVHHRAMLLSEYQFLALLDISKRNCNVTVDSKTPKTPKQSFFNSNQETDRCVKPEYDSGTGIVFPYFPPQSVANMNQVALAMISAGYEEECFIVYSGLRLKALDFEFSNQGYENVNMEDFQSMDWESLEGEIDNWIHIVKYCTTNLFSVERKLCNSVFPEHSLIAQKLFCDLATSLTIRLLNFPNAIVLIKQYSAEKLFKFLDIYETLRDLTSSLGSELSAMDLISETSETQRRVGEAAVTIFCQLENSIKSDNGRIPVASGAVHPLTRYTMNYLKYACEYKDTLELVFQQHYETEGSTRRKVQKQESKNAIEDNDRIPKTSHFSVKLMMVMDLLDAKIEMKSKFYRDPALRYIFLMNNGRYILQKIKGSTDIDEIMGPSWSGKRTSDLRQYHKNYQRETWRKVLQCLNHEGLQTNGKVSKAILKGRFKNFNTLFDEIHKTQSIWMVSDEQLKSELRVSISAVVIPAYRSFLGRFKPHFDSGKKAEKYIKYQPEDIEGLIDKLFEGNMTSMGRRRHNN >KJB13885 pep chromosome:Graimondii2_0_v6:2:12706823:12707569:1 gene:B456_002G100200 transcript:KJB13885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISFKWDLIVVPSFSCILIVKFLFVFLGDIHGQYSDLLRLFEYGGFPPHANYLFLGDYVDRGKKSLETSGDN >KJB12365 pep chromosome:Graimondii2_0_v6:2:952722:956295:1 gene:B456_002G014700 transcript:KJB12365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHRRSSINSVRSHKPARPIALEDDSTKASDALPLPLYLTNAVFFTLFFSAVYFLLCRWREKIRSSTPLHVVTFSEIVAILASVASFIYLLGFFGIDFVQSLVLRPSADVWATEDDEVESEVLLRNEDARHVPCGQALDRSIRSLQPPAPIVTAEKVFDEMPVTVMTEEDEEIIRSVVCGMTPSYSLESKLGDCKRAAAIRREALQRITGKSLSGLPLDGFDYESILGQCCEMPVGYVQIPVGIAGPLLLNGREYSVPMATTEGCLVASTNRGCKAIHLSGGATSVLLRDGMTRAPVVRFGTAKRAADLKLYLEDPENFETLACVFNRSSRFARLQSIKCAIAGKNLYLRFSCFTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIINGDVVTKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVTAVYIATGQDPAQNVESSHCITMMEAVNGGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESPGANSILLATIVAGAVLAGELSLMSALAAGQLLKSHMKYNRSSKDVSKVSS >KJB12364 pep chromosome:Graimondii2_0_v6:2:952781:954991:1 gene:B456_002G014700 transcript:KJB12364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHRRSSINSVRSHKPARPIALEDDSTKASDALPLPLYLTNAVFFTLFFSAVYFLLCRWREKIRSSTPLHVVTFSEIVAILASVASFIYLLGFFGIDFVQSLVLRPSADVWATEDDEVESEVLLRNEDARHVPCGQALDRSIRSLQPPAPIVTAEKVFDEMPVTVMTEEDEEIIRSVVCGMTPSYSLESKLGDCKRAAAIRREALQRITGKSLSGLPLDGFDYESILGQCCEMPVGYVQIPVGIAGPLLLNGREYSVPMATTEGCLVASTNRGCKAIHLSGGATSVLLRDGMTRAPVVRFGTAKRAADLKLYLEDPENFETLACVFNRSSRFARLQSIKCAIAGKNLYLRFSCFTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIINGDVVTKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVTAVYIATGQDPAQNVESSHCITMMEAVNGGKDLHVSVTMPSIEVIDCVARVFTIEIIRHSKNIIQLMPRYMTL >KJB12363 pep chromosome:Graimondii2_0_v6:2:952781:956246:1 gene:B456_002G014700 transcript:KJB12363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHRRSSINSVRSHKPARPIALEDDSTKASDALPLPLYLTNAVFFTLFFSAVYFLLCRWREKIRSSTPLHVVTFSEIVAILASVASFIYLLGFFGIDFVQSLVLRPSADVWATEDDEVESEVLLRNEDARHVPCGQALDRSIRSLQPPAPIVTAEKVFDEMPVTVMTEEDEEIIRSVVCGMTPSYSLESKLGDCKRAAAIRREALQRITGKSLSGLPLDGFDYESILGQCCEMPVGYVQIPVGIAGPLLLNGREYSVPMATTEGCLVASTNRGCKAIHLSGGATSVLLRDGMTRAPVVRFGTAKRAADLKLYLEDPENFETLACVFNRSSRFARLQSIKCAIAGKNLYLRFSCFTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIINGDVVTKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVTAVYIATGQDPAQNVESSHCITMMEAVNGGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESPGANSILLATIVAGAVLAGELSLMSALAAGQLLKSHMKYNRSSKDVSKVSS >KJB13268 pep chromosome:Graimondii2_0_v6:2:7699374:7700815:1 gene:B456_002G066000 transcript:KJB13268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAKSSRDGAWYDVATFLAHRYMETGDPEVQVRFAGFGPEEDEWVKVRKHLRQRSLPCEASECVAVLPGDLILCFQEGKDQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQSEEIVPLRKVCRRPETDYRLQQLHASSNTEQKPSTNPPTATTPAEMMQKQRNRDVSRTPAPVGVSHANVSSIAAQTAKPESKNAAFTTTIATCTPAQNMQE >KJB11840 pep chromosome:Graimondii2_0_v6:2:11257448:11258629:-1 gene:B456_002G088900 transcript:KJB11840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLDVQIPTTFDPFAEAGEFGGTGTKEYVHIRIQQRNGKKSLTTVQGLRHDLSYEKILKSLKKDFCCNGNVVKDKELGKIIQLQGDQRKNVSQFLVNAGIVKKDQIKIHGF >KJB16934 pep chromosome:Graimondii2_0_v6:2:61779470:61780974:1 gene:B456_002G255400 transcript:KJB16934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITHYVDFCFKTFGDRVKTWMTFNEPRVVVALGFDNGINPPNRCSKQFGNCTNGNSATEPYIAAHHLILSHAEAVERYREKYQAKENGRIDIFLDFVWYEPLTRSKADYYAAQRAKDFHVGWFWHPLVYGKYPRTMQKIVRERLSKFTKSEVEKVKNSFDILCLNHYTSYHIYDPHRPPSNVTDYQQDWNVGFVYERNGVPIDQAHSKWLYEVPWGMYKAVTYVKERYGRMDDPDNLTFPKSLHDSNRVNFYKSYLKDLKRAMDDGANITGYFAWSILVNFE >KJB12899 pep chromosome:Graimondii2_0_v6:2:3568023:3575406:1 gene:B456_002G043300 transcript:KJB12899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTNSDAETLAQPQRKKKSEALMVEGYIELDVWPYLVDLTRDVISRSAFGSSFEEGKRIFQLLEDQLVVTIKLIQTVYIPGWRFLPTKTNREVKMKHKDIKESLREMIKRREQAIKAGEESNEDLLDILVESNIREMEAKNMGMSIEDVIEECKLFYFAGQETTSVLLVWTMVLLARYPDWQSKAREEVLHVLGDSKPDADGLNRLKV >KJB14493 pep chromosome:Graimondii2_0_v6:2:19722221:19724507:1 gene:B456_002G127900 transcript:KJB14493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYYFIHYNTTVKTIRLLASLSAMPPPMLRLISSTSVSTVAGLPPILTKPPISNQSLSINPSEENRQKVLRLISRRDAAFLSLISLFPSLLHTPHASAFSIGISGPKDWLKEQKRKSSKFLLAPIDASRQSLHSVYLLLMDKESTISNNDLEEVQKLLKSAARDCVVQERNSFVAFQANTGVEVCTFRLIVKNASSLLENKNPVKLEAEAMLDDLISSFTSLNTLANESDIQVASSRQRVADALKDTITSLDKFEQGIKDCLEV >KJB14494 pep chromosome:Graimondii2_0_v6:2:19722269:19724381:1 gene:B456_002G127900 transcript:KJB14494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYYFIHYNTTVKTIRLLASLSAMPPPMLRLISSTSVSTVAGLPPILTKPPISNQSLSINPSEENRQKVLRLISRRDAAFLSLISLFPSLLHTPHASAFSIGISGPKDWLKEQKRKSSKFLLAPIDASRQSLHSVYLLLMDKESTISNNDLEEVQKLLKSAARDCVVQERNSFVAFQANTGVEVCTFRLIVKNASSLLENKNPVKLEAEAMLDDLISMFCSSGVCSSFTSLNTLANESDIQVASSRQRVADALKDTITSLDKFEQGIKDCLEV >KJB15048 pep chromosome:Graimondii2_0_v6:2:34175825:34176713:-1 gene:B456_002G157500 transcript:KJB15048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLIIGATVPNLEIETTNEAFKLHDYFNNGWTIIFSHPFDFTLVCTTELGKMSAYLPEFEKRGVKLLGFSCDEYSHARSESKTLKPTQ >KJB12509 pep chromosome:Graimondii2_0_v6:2:1547346:1547558:1 gene:B456_002G022200 transcript:KJB12509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LETETNPLSVLRQAIRGVTPDIAVKARRVGKPTHQVPIEIGSTQGKAPAICWLLGASRKRPGRNMAFKLSS >KJB14664 pep chromosome:Graimondii2_0_v6:2:22813943:22814422:-1 gene:B456_002G136500 transcript:KJB14664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSGLVPQLVRSPTDRPSSNNLGNLYKLIKLVNWFQFIKTKIELPSYFQNLSGSWRFELEQLNLEPYTLLLLAFDILIPTLACLQGQFPTCKLDL >KJB14692 pep chromosome:Graimondii2_0_v6:2:23470619:23473070:1 gene:B456_002G138100 transcript:KJB14692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASEETTRICNHCDRAIPSSNIDLHYAHCSRNLERCKVCGDMIPKKHAEEHFLNTHAPVACSLCSETMEREILAIHKGEICPQRIVTCDFCEFPLPAIDLAEHQEVCGNRTELCHLCNRYVRLRERYNHESRCTGIAENNEGSSRDVRAAEREQAHPRRQASEYSRRRILFMIAITGIAVLLGSLFFQKKTDSSQVH >KJB14694 pep chromosome:Graimondii2_0_v6:2:23470791:23473032:1 gene:B456_002G138100 transcript:KJB14694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKKHAEEHFLNTHAPVACSLCSETMEREILAIHKGEICPQRIVTCDFCEFPLPAIDLAEHQEVCGNRTELCHLCNRYVRLRERYNHESRCTGIAENNEGSSRDVRAAEREQAHPRRQASEYSRRRILFMIAITGIAVLLGSLFFQKKTDSSQVH >KJB14693 pep chromosome:Graimondii2_0_v6:2:23470630:23473086:1 gene:B456_002G138100 transcript:KJB14693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASEETTRICNHCDRAIPSSNIDLHYAHCSRNLERCKVCGDMIPKKHAEEHFLNTHAPVACSLCSETMEREILAIHKGEICPQRIVTCDFCEFPLPAIDLAEHQEVCGNRTELCHLCNRYVRLRERYNHESRCTGIAENNEGSSRDVRAAEREQAHPRRQASEYSRRRILFMIAITGIAVLLGSLFFQKKTDSSQVH >KJB14918 pep chromosome:Graimondii2_0_v6:2:28517283:28519783:-1 gene:B456_002G148600 transcript:KJB14918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFDDDGYLGYDPRLASHQFQSFDAHSVKDSVADSPPMFSYGTGDDVFSSQSIPETPPIYSGGGGNSAFSSEQNEKGLNGEFGGSDGPILPPPAEMGPEEGSALREWRRQNVIRLEEKEKKEKETLEQIIKEAEEYKVEFYRKISIAVENKKASNRDKEKQCLENREKFHAVAEKNYWKAIAELIPHEVPTIEKRGKKDKEKKPSIIVIQGPKPGKPTDLSRMRQILVKLKHSPPPHLKPQMPPPPKVAAVTNTETVSAA >KJB14917 pep chromosome:Graimondii2_0_v6:2:28518895:28519647:-1 gene:B456_002G148600 transcript:KJB14917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFDDDGYLGYDPRLASHQFQSFDAHSVKDSVADSPPMFSYGTGDDVFSSQSIPETPPIYSGGGGNSAFSSEQNEKGLNGEFGGSDGPILPPPAEMGPEEGSALREWRRQNVIRLEEKEKKEKETLEQIIKEAEEYKVEFYRKISIAVENKKASNRDKEKVIHQSKFLTPLNVLYVLPINFTL >KJB14916 pep chromosome:Graimondii2_0_v6:2:28517283:28519776:-1 gene:B456_002G148600 transcript:KJB14916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFDDDGYLGYDPRLASHQFQSFDAHSVKDSVADSPPMFSYGTGDDVFSSQSIPETPPIYSGGGGNSAFSSEQNEKGLNGEFGGSDGPILPPPAEMGPEEGSALREWRRQNVIRLEEKEKKEKETLEQIIKEAEEYKVEFYRKISIAVENKKASNRDKEKVIHQSKFLTPLNQCLENREKFHAVAEKNYWKAIAELIPHEVPTIEKRGKKDKEKKPSIIVIQGPKPGKPTDLSRMRQILVKLKHSPPPHLKPQMPPPPKVAAVTNTETVSAA >KJB14206 pep chromosome:Graimondii2_0_v6:2:16117335:16119726:1 gene:B456_002G114200 transcript:KJB14206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLFHLLLFLLLSPLSYVSSVGINYGTLGNNLPSPKKVAQLLQSTLIDKVKIYDTNPDILEAFSNTGIDLIVAVENYHVANISKDAAAADDWFATRVQPFIPATSIVAVCVGNEYLTSDDNLDPDALVQAMQNLHAVLLKRGLDRKIKVTTPHSMAVLASSFPPSASTFATKLIPTMSSIVGFLADTGAPFMVNAYPYFAYRDNPSTVDLEYALLGNSTGVHDPKGYIYHNMLDAQIDAVRSAIDAIGFGNLSMKITVSESGWPSKGDSEDTAATPNNAKTYNTRLIERAQSNKGTPMKPKDNIEIFVFALFNENKKPGGASERNFGIFNGDGSKVYEVDLSCEFCSNGGAFEKMSTSGQVRGPSVWCVAKPHADEKVLQTVLDFCCGPGGVDCREVYESGKCFEPDKLHAHASYAMNAYYQMHGRNYWNCDFKGTGLVTFSDPSYGTCRYRQQ >KJB15862 pep chromosome:Graimondii2_0_v6:2:54021200:54023895:1 gene:B456_002G200100 transcript:KJB15862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDHYNVLGLPSGEEGANLTQKEITKAYREKARDLHPDKRKDDPNAHENFIKLKSSYEILVDEKARKLFDDLLRMKREQQRRFAQQDSKRRKMMSDLEDRERASFAPDPAMKAKEEEERIARKLKEEIARIRAMQANKGASMGTGSGQEKVGREGNSGVGGHVGVDKERVLKVSWEKIGEGYTAEKLRELFSRFGEVEDIVVNSSKKKGSALVVMATKHAVDAATGSVSGNLDNPLLVVPLKPSVAEFPAAKKEEQSDRLSNLVGAGYQAFEDAVLNKLTKAAQKQQK >KJB15861 pep chromosome:Graimondii2_0_v6:2:54020988:54024162:1 gene:B456_002G200100 transcript:KJB15861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDHYNVLGLPSGEEGANLTQKEITKAYREKARDLHPDKRKDDPNAHENFIKLKSSYEILVDEKARKLFDDLLRMKREQQRRFAQQDSKRRKMMSDLEDRERASFAPDPAMKAKEEEERIARKLKEEIARIRAMQANKGASMGTGSGQEKVGREGNSGVGGHVGVDKERVLKVSWEKIGEGYTAEKLRELFSRFGEVEDIVVNSSKKKGSALVVMATKHAVDAATGSVSGNLDNPLLVVPLKPSVAEFPAAKKEEQSDRLSNLVGAGYQAFEDAVLNKLTKAAQKQQK >KJB15863 pep chromosome:Graimondii2_0_v6:2:54021200:54023895:1 gene:B456_002G200100 transcript:KJB15863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDHYNVLGLPSGEEGANLTQKEITKAYREKARDLHPDKRKDDPNAHENFIKLKSSYEILVDEKARKLFDDLLRMKREQQRRFAQQDSKRRKMMSDLEDRERASFAPDPAMKAKEEEERIARKLKEEIARIRAMQANKGASMGTGSGQEKVGREGNSGVGGHVGVDKERVLKVSWEKIGEGYTAEKLRELFSRFGEVEDIVVNSSKKKGSALVVMATKHAVDAATGSVSGNLDNPLLVVPLKPSVAEFPAAKKEEQSDRLSNLVGAGYQAFEDAVLNKLTKAAQKQQK >KJB14100 pep chromosome:Graimondii2_0_v6:2:15193951:15194484:-1 gene:B456_002G110300 transcript:KJB14100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTATETNKITLLTADEEEFVVDEAIAMEFGTVKMYYEDNPDSCDKAIPLPNVRSKYLAKVIEYYKWHVEHRAKKAPKPVKIKFLQYEEEEDEEAKAFDEALVEYVRKFFGIENDYTPEEEAELRKQNEWAFEDLDPDDD >KJB17070 pep chromosome:Graimondii2_0_v6:2:62433770:62435375:1 gene:B456_002G263900 transcript:KJB17070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITNAAATSSFMGTRFPDVYTSSGRFVARFGFGGKKAAPKKVSKRTPDRPLWYPGAVAPDWLDGSLVGDYGFDPFGLGKPAEYLQYDLDSLDQNLAKNSAGEIIGTRTEVADVKATPFQPYSEVFGLQRFRECELIHGRWAMLATLGALTVEWLTGVTWQDAGKVELVEGSSYLGQPLPFSMTTLIWIEVLVIGFIEFQRNAELDPEKRLYPGGKYFDPLGLAADPEKKATLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIIDTFTS >KJB14343 pep chromosome:Graimondii2_0_v6:2:17285198:17285955:-1 gene:B456_002G120400 transcript:KJB14343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEILKKYLEETIYPKLGANPFSVVYVHTDVQKSENFPGISVLRSVYDAIPMNLKNNLEVVYFLHPGLQARLFLATFGRLFFDGGLYSKLKYVSRLEFLWDHVRRLEMKIPDFVHDHDEELEYHPMMDYGLESDHPRVYTEPPSMDPISMYSMRCIA >KJB14342 pep chromosome:Graimondii2_0_v6:2:17284925:17286226:-1 gene:B456_002G120400 transcript:KJB14342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNISSSFTLSQSQQQHLLEKLDVFKVKGRDKRGPRMVSSEILKKYLEETIYPKLGANPFSVVYVHTDVQKSENFPGISVLRSVYDAIPMNLKNNLEVVYFLHPGLQARLFLATFGRLFFDGGLYSKLKYVSRLEFLWDHVRRLEMKIPDFVHDHDEELEYHPMMDYGLESDHPRVYTEPPSMDPISMYSMRCIA >KJB14344 pep chromosome:Graimondii2_0_v6:2:17284925:17286269:-1 gene:B456_002G120400 transcript:KJB14344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNISSSFTLSQSQQQHLLEKLDVFKVKGRDKRGRNVLLIIGKYFPARMVSSEILKKYLEETIYPKLGANPFSVVYVHTDVQKSENFPGISVLRSVYDAIPMNLKNNLEVVYFLHPGLQARLFLATFGRLFFDGGLYSKLKYVSRLEFLWDHVRRLEMKIPDFVHDHDEELEYHPMMDYGLESDHPRVYTEPPSMDPISMYSMRCIA >KJB15969 pep chromosome:Graimondii2_0_v6:2:55237403:55242296:1 gene:B456_002G206400 transcript:KJB15969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEGDSSESRLGTNGEDEGVLVNIRCSNGSKFTVRTSLESTVGVFKSLLAQNCDVPADQQRLIYKGRVLKDDQTLQSYGLQADHTIHMVRSFTPSSSMPPPAATTNTATPNSTPGVTRGVGSNEGAGLGASSFPGLNALGGNGGLGLFGSGLPEFEQVQQQLTQNPNMMSELMNTPAIQSLMNNPELMRSLIASNPQMREIIDQNPELGHILNDPSILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFMNATTMAGNNGNSPSSNPFAALLSNLGDSQARGLPNNTSTNGSETTHGQTSPNTNPLPNPWGNTVGGGGAQTNATARSNPAGDARAPGLGGLGGLGLPDVPPMMNGMPDASQLTQLLQNPALSQMMQSIVSNPQYMNQIMNLNPQLRGMFDLNPQLREMMQNPEVLRQMFSTETMQQMLGLQQSLLSQLNQQQSTWDSSETGATTGAPGAAGLESLMNMFGGLGAGSLSVPNQPDVPPEELYATQLSQLQEMGFYDTVENIRALRATAGNVHAAVERLLGNPGQ >KJB15971 pep chromosome:Graimondii2_0_v6:2:55237925:55241809:1 gene:B456_002G206400 transcript:KJB15971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQADHTIHMVRSFTPSSSMPPPAATTNTATPNSTPGVTRGVGSNEGAGLGASSFPGLNALGGNGGLGLFGSGLPEFEQVQQQLTQNPNMMSELMNTPAIQSLMNNPELMRSLIASNPQMREIIDQNPELGHILNDPSILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFMNATTMAGNNGNSPSSNPFAALLSNLGDSQARGLPNNTSTNGSETTHGQTSPNTNPLPNPWGNTVGGGGAQTNATARSNPAGDARAPGLGGLGGLGLPDVPPMMNGMPDASQLTQLLQNPALSQMMQSIVSNPQYMNQIMNLNPQLRGMFDLNPQLREMMQNPEVLRQMFSTETMQQMLGLQQSLLSQLNQQQSTWDSSETGATTGAPGAAGLESLMNMFGGLGAGSLSVPNQPDVPPEELYATQLSQLQEMGFYDTVENIRALRATAGNVHAAVERLLGNPGQ >KJB15970 pep chromosome:Graimondii2_0_v6:2:55237498:55242210:1 gene:B456_002G206400 transcript:KJB15970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEGDSSESRLGTNGEDEGVLVNIRCSNGSKFTVRTSLESTVGVFKSLLAQNCDVPADQQRLIYKGRVLKDDQTLQSYGLQADHTIHMVRSFTPSSSMPPPAATTNTATPNSTPGVTRGVGSNEGAGLGASSFPGLNALGGNGGLGLFGSGLPEFEQVQQQLTQNPNMMSELMNTPAIQSLMNNPELMRSLIASNPQMREIIDQNPELGHILNDPSILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFMNATTMAGNNGNSPSSNPFAALLSNLGDSQARGLPNNTSTNGSETTHGQTSPNTNPLPNPWGNTVGGGGAQTNATARSNPAGDARAPGLGGLGGLGLPDVPPMMNGMPDASQLTQLLQNPALSQMMQSIVSNPQYMNQIMNLNPQLRGMFDLNPQLREMMQNPEVLRQMFSTETMQQMLGLQQSLLSQLNQQQSTWDSSETGATTGAAGLESLMNMFGGLGAGSLSVPNQPDVPPEELYATQLSQLQEMGFYDTVENIRALRATAGNVHAAVERLLGNPGQ >KJB12277 pep chromosome:Graimondii2_0_v6:2:598757:601718:-1 gene:B456_002G009200 transcript:KJB12277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKIPVTEQNQFPQTPKHIFILSGQSNMAGRGGVHHHHWDGVVPLDSQPHASIIRLSANLHWEPAREPLHHDIDVRKACGVGPGMSFANAVKDHLGGGGECLGLVPCAVGGTAIKEWERGQHLYDNMLKRSKESVEKTKGEIKALLWYQGESDTPSYHTAEAYKENMERLIHNVREDLGLPSLPIIQVAIASGDERYMEKVREAQLGIDLPNVICVDAKGLALKEDNLHLTTEAQVKLGHMLADAFLAHFNAPPSQSSPCSAPKNRGNL >KJB12278 pep chromosome:Graimondii2_0_v6:2:598824:601692:-1 gene:B456_002G009200 transcript:KJB12278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKIPVTEQNQFPQTPKHIFILSGQSNMAGRGGVHHHHWDGVVPLDSQPHASIIRLSANLHWEPAREPLHHDIDVRKACGVGPGMSFANAVKDHLGGGGECLGLVPCAVGGTAIKEWERGQHLYDNMLKRSKESVEKTKGEIKALLWYQGESDTPSYHTAEAYKENMERLIHNVREDLGLPSLPIIQLQKTG >KJB12276 pep chromosome:Graimondii2_0_v6:2:599862:601501:-1 gene:B456_002G009200 transcript:KJB12276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKIPVTEQNQFPQTPKHIFILSGQSNMAGRGGVHHHHWDGVVPLDSQPHASIIRLSANLHWEPAREPLHHDIDVRKACGVGPGMSFANAVKDHLGGGGECLGLVPCAVGGTAIKEWERGQHLYDNMLKRSKESVEKTKGEIKALLWYQGESDTPSYHTAEAYKENMERLIHNVREDLGLPSLPIIQVAIASGDERYMEKVREAQLGIDLPNVICVDAKGLALKEDNLHLTTEAQVKLGHMLADAFLAHFNAPPSQSSPCSAPKNRVISISK >KJB13814 pep chromosome:Graimondii2_0_v6:2:12108790:12113667:-1 gene:B456_002G095800 transcript:KJB13814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNQKLRDLEWLLQAFETESLNLHNISFYLSQPVSGCYQETENSMAINISSDCLEYFSLILIVLGPAKTSLLSLRNLEFHCVEWELEQVQNLGELLDSNFNIKQLVFMRNRFSEDCLSEFSDILKRNGAIKEVMFCESHIGTVGAIFLASALKVNESLEELQIWEDSIGSRGAEELSKMIEANSMLKLLTIFDSSSITATPLISAVLARNRGMEVHVWSGESGDKSSKVVEFTPQNTTLRIYKIDVSGACRVACALGMNSTVSSFDMTGVRLKSRWAKEFRWVLEQNRSLKEVTLSKTCLKDKGIVYVAAGLFKNRHLERLHLDGNWFSGVGLEHLLCPLSRFSALQCQANITLRSVTFGGSRTKIGRSGFAAILHMLTTNETLTRLAIVDDQSMRPDDFFRIFKSLEKNASLRCLSLQGCKGVRGERLLQAIMETLQINPWIEDIDLARTPLHNTGKADAIYHRLGQNGKPETEPENDLLKDMPLTEPKCCRVFFCGQEYAGKATLCNSISQNFSSPKLPYIEQVRTLVNPVEQAVRTVGMKIKTFKDEDAKISIWNLAGQHEFYSLHDLMFPGHGSASFFLIISSLFRKPSNREPKTPMEIEEDIQYWLRFIVSNSKRAIQQCMLPNVAVVLTHYDKVNQTSQNLEATVNSIQKLRDKFNGYVDFYPTVFTVDARSSASVSKLTHHIRKTSKTVLQRVPRVYQLCNDLIQILSDWRSENYNKPAMKWKEFAELCQVKVPPLRIRSRRDNKEKIETRRRAVATCLHHIGEVIYFDELGFLILDCEWFCGEVLSQLIKLEVRRQSSAENGFISRKELEKILRASLQSQIPGMSSKVFENLEANDLIKMMMKLELCYEQDPSDPNSLLLIPSILEEGRGKPQKWQLGGADCLYAGRHLQCDDSSHMFLTPGFFPRLQVHLHNRIMAMKNQHGATYSLEKYLISININGIHVRVELGGQLGYYIDILTCSTKNLTETLRLIHQLIVPAIQSLCHGVTLIENIMRPECVQNLVPPRYRKAQYVPLQQLKQALLSVPAETMYDYQHTWDSVSDSGKLVLRAGFDLARDLLSDDDFREVLHRRYHDLYNLAVELQVPPENNPDEEENSLSNAVESGKVDPSFSGIAKGVETVLQRLKIIEQEIRDLKQEIQGMRYYEHRLLIELHRKVNYLVNFNVHVEGRKVPNMFYFVQTENYSRRLVTTVISGMTALRLHMLCEFRREMHVVEDQVGCEVMHVDNTVVKCLAPYMTKFMKLVTFALKIGAHLAAGMGNLIPDLSREVAHLADSSVMYGAAGAVAAGAAGSAAMGRINGIRNQNRRGDIQQDLRSAQQWVVDFLRDRRCSTGKDIADKFGLWRVRYRDDGHIAWICRRHMIVRANEIIEVPI >KJB16253 pep chromosome:Graimondii2_0_v6:2:57376042:57379483:1 gene:B456_002G219900 transcript:KJB16253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLRAVCRPHMVFTSLMCSSRHHYLHQARSRTRVSFRNPDFRSSSFSPWLHLSRDLRRKEPWFRVNQRRTAVRASNWSDQKSPYETLELERDADEEQIKTSYRRLAKFYHPDVYDGRGTLEEGETAEARFIKIQAAYELLIDGERRRQYDMDNRVNPMKASQAWMEWLIKKRKAWDQRGDMAVSAWAEQQQRELNLRVRRLSRSKIDPDEERRILAKEKKASMEHFNSTLKRHTLVLKKRDLMRKKAEEEKKKVINQLLAAEGLELDTDDESQ >KJB12798 pep chromosome:Graimondii2_0_v6:2:2950284:2951612:-1 gene:B456_002G037300 transcript:KJB12798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDNETSLFNFVVRDGNGVKGIVDLGISKVPQAYIQPPAEQINKKHASKCEIPPIDLSKLDGPDHDEVVNQIVRAAETLGFFQVVNHGVPTELLDSLKQTAHNFFGLPPERKSVYRKEVSPSPLVNLERKDYISMAYTNDDEALQQWPVECRDVALQYLKTSHEMVRKLLEALLGNLGAELDDSKIDGFIGKKMVNMNFYLTCPNPELTIGVRHHSDMDTLTILLQDGIGGLYIKVPQDVNMEKKGEWVEIPPISGALIINISDMLQIWSNGRYKSAEHIVHTTSTKSRVSIPIFTTHKQHKRLHHYPKWWRKMEQLVINNFCFQIT >KJB13015 pep chromosome:Graimondii2_0_v6:2:4439721:4445240:-1 gene:B456_002G051200 transcript:KJB13015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLFGSNPSSSDPANDTVPSPSSSCSSSSSPDMWNYFFIPILLCLTKELSLAKAQTSTGLLLPNQRGDGSADDSLISFSSCANADPKLYYRPVIGILSHPGDGASGRLNNDTNASYIAASYVKFVEAAGARVIPLIYNEPEEILFQKLELVNGVLFTGGWSKYGLYYDIAKKIFKKVIEKNDRGDHFPLYAICLGFELLTMIVSEDKNILEPFSASNQASSLQFVENVNIEGTVFQRFPPNLLQKLGTDCLVMQNHRCGISPEKLQNTPNLSRFFKILTTSTDKNNKVYVSTAQAHGYPVTAFQWHPEKNAFEWGLSMIPHSDDAIEVTQHVANFFIREARRSLNRPAAQKVLDSLIYNYSPTYCGKAGKGFDEVYIFTLRQARI >KJB16178 pep chromosome:Graimondii2_0_v6:2:56584506:56590567:-1 gene:B456_002G216200 transcript:KJB16178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWEPLAAAKASISPYDLLFQALALIPFSHYFFAASFLFLIFLYSFLEIHFLQDLLTLFRGDPVTLNYNSCSELAQSVVANCKILQGRYLATPWLSSPHLQTAFLSFLGRPPPLTYRRHLFRAFDGGTIALDWLTYTDVVGGTSCMLDSSAALKGDKTPIVIVIPGLTSDSAAAYVKHLAFNLARQGWNVVVSNHRGLGGVSLTSDCCYNAGWTEDLRKIIDHIRCEYPEAPLYAVGTSIGANILVKYLGEDGANTPLVGAAAICSPWDLLICDRFINRRPMQKLYDRALTVGLQGYAQLHQSILSRLANWEGIEKSSSLRDFDNHATRVLGKFETVDTYYRRSSSTNYVENVSVPLLCVSALDDPVCTSEAIPWDECRLNENIILATTPHGGHLAFYEGITASSIWWVRVVNEFFGVLRTSPHIEGRKKMQGSTMPKPLQSSIDQAPYLNVMEDGMVTAMSNEQTDAFVEDISNEHTTHSKKDEGTISDKGTSVDLTDKLNPEKQIMQQVEQNVKDLIVPVQGRIDKLSRRSRQSIWLLVYIAVITTWPFVGSVVLSVLKRRFKTFKPGTLFRK >KJB16180 pep chromosome:Graimondii2_0_v6:2:56584543:56590281:-1 gene:B456_002G216200 transcript:KJB16180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWEPLAAAKASISPYDLLFQALALIPFSHYFFAASFLFLIFLYSFLEIHFLQDLLTLFRGDPVTLNYNSCSELAQSVVANCKILQGRYLATPWLSSPHLQTAFLSFLGRPPPLTYRRHLFRAFDGGTIALDWLTYTDVVGGTSCMLDSSAALKGDKTPIVIVIPGLTSDSAAAYVKHLAFNLARQGWNVVVSNHRGLGGVSLTSDCCYNAGWTEDLRKIIDHIRCEYPEAPLYAVGTSIGANILVKYLGEDGANTPLVGAAAICSPWDLLICDRFINRRPMQKLYDRALTVGLQGYAQLYVFITSFCRISLLTFESSSLRDFDNHATRVLGKFETVDTYYRRSSSTNYVENVSVPLLCVSALDDPVCTSEAIPWDECRLNENIILATTPHGGHLAFYEGITASSIWWVRVVNEFFGVLRTSPHIEGRKKMQGSTMPKPLQSSIDQAPYLNVMEDGMVTAMSNEQTDAFVEDISNEHTTHSKKDEGTISDKGTSVDLTDKLNPEKQIMQQVEQNVKDLIVPVQGRIDKLSRRSRQSIWLLVYIAVITTWPFVGSVVLSVLKRRFKTFKPGTLFRK >KJB16179 pep chromosome:Graimondii2_0_v6:2:56584543:56589932:-1 gene:B456_002G216200 transcript:KJB16179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSSAALKGDKTPIVIVIPGLTSDSAAAYVKHLAFNLARQGWNVVVSNHRGLGGVSLTSDCCYNAGWTEDLRKIIDHIRCEYPEAPLYAVGTSIGANILVKYLGEDGANTPLVGAAAICSPWDLLICDRFINRRPMQKLYDRALTVGLQGYAQLHQSILSRLANWEGIEKSSSLRDFDNHATRVLGKFETVDTYYRRSSSTNYVENVSVPLLCVSALDDPVCTSEAIPWDECRLNENIILATTPHGGHLAFYEGITASSIWWVRVVNEFFGVLRTSPHIEGRKKMQGSTMPKPLQSSIDQAPYLNVMEDGMVTAMSNEQTDAFVEDISNEHTTHSKKDEGTISDKGTSVDLTDKLNPEKQIMQQVEQNVKDLIVPVQGRIDKLSRRSRQSIWLLVYIAVITTWPFVGSVVLSVLKRRFKTFKPGTLFRK >KJB16231 pep chromosome:Graimondii2_0_v6:2:57122297:57125339:1 gene:B456_002G218700 transcript:KJB16231 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein ERG [Source:Projected from Arabidopsis thaliana (AT1G30960) UniProtKB/Swiss-Prot;Acc:O82653] MNTTKKALTAVTHLTSIYPRRLHTFHHHRHYHHRLFYRLFSAQPQQRQDDSDDSHPNNSAISDFDSAQFSIPDALRTTPQQPTWDVHHRQRVERIFSNGDLRHRKVKEEEEEEESRRRILAKALLEAALEGPDEDADQDQEVKEEDQKSLSVGIIGAPNAGKSALTNFMVGTKVAAVSRKTNTTTHEVLGVMTKGDTQICFFDTPGLMLNRSGYLHKDMKVRVESAWSSVNLYDVLMVIFDVHRHLTKPDSRVIRLIKRMGEEPNPKQIRILCMNKVDLIEKKKELLKVAEQFKDLPGYGRIFMISGLKGSGVEDLTKYLMEQAFFYSTYASI >KJB16232 pep chromosome:Graimondii2_0_v6:2:57121791:57127808:1 gene:B456_002G218700 transcript:KJB16232 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein ERG [Source:Projected from Arabidopsis thaliana (AT1G30960) UniProtKB/Swiss-Prot;Acc:O82653] MNTTKKALTAVTHLTSIYPRRLHTFHHHRHYHHRLFYRLFSAQPQQRQDDSDDSHPNNSAISDFDSAQFSIPDALRTTPQQPTWDVHHRQRVERIFSNGDLRHRKVKEEEEEEESRRRILAKALLEAALEGPDEDADQDQEVKEEDQKSLSVGIIGAPNAGKSALTNFMVGTKVAAVSRKTNTTTHEVLGVMTKGDTQICFFDTPGLMLNRSGYLHKDMKVRVESAWSSVNLYDVLMVIFDVHRHLTKPDSRVIRLIKRMGEEPNPKQIRILCMNKVDLIEKKKELLKVAEQFKDLPGYGRIFMISGLKGSGVEDLTKYLMEQAVQRPWDEDPITMSEEVMKNISLEVVRERLLDHVHQEIPYGIDHRLVDWKELRDGSLRIEQHFITSKMSQRKILVGKNGSKIGRIGIEANEELRSIFKREVHLILQVRVKT >KJB16080 pep chromosome:Graimondii2_0_v6:2:55923440:55925564:-1 gene:B456_002G212000 transcript:KJB16080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLKSLTCTVSKQNPIWFLLPIFLSSTKLTFLMTSLSKQEQVEWEKADYANVNWDELGFALTKTDYMYVMKFTEEEQMFCNGTLTRFGNIQMCPSSGILNYGQGLFEGLKAYRKEDEGILLFRTEENALRMKVGADRMCMPSPTVDQFIDAVKKSVLANKRWVPPHGRGSLYIRPLLMGTGRNLEVKPSSEYTFLVYASPVGNGLKGVLNLMVEDNVHRATPGGTGGIKAVTNYSPIYKPLTEAKAKGFSDLLYLDALTGSNIEECSGCNIFILKGNVISTPTTHGTILPGITRKSIMEIASGFGYQVEERAIPIKEVFDAEEVFCTGTAMIVKSVASITYQGKRIEYKLGAETLAQKLHATLTGIQTGVIEDKLGWTMVID >KJB16081 pep chromosome:Graimondii2_0_v6:2:55923648:55925563:-1 gene:B456_002G212000 transcript:KJB16081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLKSLTCTVSKQNPIWFLLPIFLSSTKLTFLMTSLSKQEQVECREKADYANVNWDELGFALTKTDYMYVMKFTEEEQMFCNGTLTRFGNIQMCPSSGILNYGQGLFEGLKAYRKEDEGILLFRTEENALRMKVGADRMCMPSPTVDQFIDAVKKSVLANKRWVPPHGRGSLYIRPLLMGTGRNLEVKPSSEYTFLVYASPVGNGLKGVLNLMVEDNVHRATPGGTGGIKAVTNYSPIYKPLTEAKAKGFSDLLYLDALTGSNIEECSGCNIFILKGNVISTPTTHGTILPGITRKSIMEIASGFGYQVEERAIPIKEVFDAEEVFCTGTAMIVKSVASITYQGKRIEYKLGAETLAQKLHATLTGIQTGVIEDKLGWTMVID >KJB16266 pep chromosome:Graimondii2_0_v6:2:57546895:57551274:-1 gene:B456_002G221000 transcript:KJB16266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLRLLVLFFFLFFPVHSQEDTPIARFLRYLQFNTAHPNPSYADPISFLISQANAIGLHARTLEFTPSKPLLLLTWPGSNPFLPSVLFNSHLDSVPAEPSKWIYPPFSATLAPDGKIYARGAQDDKCIAMQYLEAIRNLKANGFTPSRTVHISYVPDEEIGGFDGSAKFAESKEFEDLNVGFVLDEGQASTGEEFRVFYADRSPWGLIIKATGDPGHGSRLYDNGAMENLMKSVEVITKFRESQFDIVKSGEAMNSEVISVNPVYLKAGIPSPTGFVMNMQPSEAEAGFDLRLPPTADPDLIKKRIAEEWAPARRNMTYELIEKGPIRDYLDRPLMTLTNDSNPWWPVFKQAIEAAGGKLSRPEILASTTDARFMRQRGIPTLGFSPMTNTPILLHDHNEFLKDTVYLRGIEVYESVISSLSSFKGESY >KJB16267 pep chromosome:Graimondii2_0_v6:2:57546895:57551316:-1 gene:B456_002G221000 transcript:KJB16267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLRLLVLFFFLFFPVHSQEDTPIARFLRYLQFNTAHPNPSYADPISFLISQANAIGLHARTLEFTPSKPLLLLTWPGSNPFLPSVLFNSHLDSVPAEPSKWIYPPFSATLAPDGKIYARGAQDDKCIAMQYLEAIRNLKANGFTPSRTVHISYVPDEEIGGFDGSAKFAESKEFEDLNVGFVLDEGQASTGEEFRVFYADRSPWGLIIKATGDPGHGSRLYDNGAMENLMKSVEVITKFRESQFDIVKSGEAMNSEVISVNPVYLKAGIPSPTGFVMNMQPSEAEAGFDLRLPPTADPDLIKKRIAEEWAPARRNMTYELIEKGPIRDYLDRPLMTLTNDSNPWWPVFKQAIEAAGGKLSRPEILASTTDARFMRQRGIPTLGFSPMTNTPILLHDHNEFLKDTVYLRGIEVYESVISSLSSFKGESY >KJB12322 pep chromosome:Graimondii2_0_v6:2:781785:785186:1 gene:B456_002G011800 transcript:KJB12322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVLANRSESNWPPQPKNSVVDFMGKAPFTAKKPNPNPKFNKKRHIYRQFAQLDDVGGHVVDDPPAVTQSAASDDASSINRKINDFSSGAYVSFPISSFTRKELIDLKNRLISELEQIREFKNRIQSNDFHARFSSTKKPLPKKNISGNKRPLPPNFSKELKRLNPQENGKASRAHLMKNCSQILSKLMKHKHGYIFNSPVDVVGLGLHDYYAIIKNPMDLGTVKSRLTKNFYGSPLDFAADVRLTFNNAMLYNPKGHEVYALAEQLLARFEELFRPLGLKLEEQEDPQEMNYYEEELQASSWDHGEAERMKKDWEIDKEDSINIASRSDKIGGVSGSVSNQNAPPSQMQLQPPERMASPVRPPPVKPVKLPKPKAKDPNKREMTMEEKQKLGIGLQSLPQEKMDNVVHIIRKRNGHLRQDGDEIELDIEAMDTETLWELDRFVTNYKKMVSKIKRQALMANNVASNDSNRRETTVEKMDVAMDMKKPKKGDAGDEDVDIGDEMPMSSFPPVEIEKDNDRASSSSSSSSSSSSGSSSSSGSDSGSSSGSDSDADDARS >KJB12321 pep chromosome:Graimondii2_0_v6:2:781785:785186:1 gene:B456_002G011800 transcript:KJB12321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVLANRSESNWPPQPKNSVVDFMGKAPFTAKKPNPNPKFNKKRHIYRQFAQLDDVGGHVVDDPPAVTQSAASDDASSINRKINDFSSGAYVSFPISSFTRKELIDLKNRLISELEQIREFKNRIQSNDFHARFSSTKKPLPKKNISGNKRPLPPNFSKELKRLNPQENGKASRAHLMKNCSQILSKLMKHKHGYIFNSPVDVVGLGLHDYYAIIKNPMDLGTVKSRLTKNFYGSPLDFAADVRLTFNNAMLYNPKGHEVYALAEQLLARFEELFRPLGLKLEEQEDPQEMNYYEEELQASSWDHGEAERMKKDWEIDKEDSINIASRSDKIGGVSGSVSNQNAPPSQMQLQPPERMASPVRPPPVKPVKLPKPKAKDPNKREMTMEEKQKLGIGLQSLPQEKMDNVVHIIRKRNGHLRQDGDEIELDIEAMDTETLWELDRFVTNYKKMVSKIKRQALMANNVASNDSNRRETTVEKMDVAMDMKKPKKGDAGDEDVDIGDEMPMSSFPPVEIEKDNDRASSSSSSSSSSSSGSSSSSGSDSGSSSGSDSDADDARS >KJB12320 pep chromosome:Graimondii2_0_v6:2:782012:783758:1 gene:B456_002G011800 transcript:KJB12320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVLANRSESNWPPQPKNSVVDFMGKAPFTAKKPNPNPKFNKKRHIYRQFAQLDDVGGHVVDDPPAVTQSAASDDASSINRKINDFSSGAYVSFPISSFTRKELIDLKNRLISELEQIREFKNRIQSNDFHARFSSTKKPLPKKNISGNKRPLPPNFSKELKRLNPQENGKASRAHLMKNCSQILSKLMKHKHGYIFNSPVDVVGLGLHDYYAIIKNPMDLGTVKSRLTKNFYGSPLDFAADVRLTFNNAMLYNPKGHEVYALAEQLLARFEELFRPLGLKLEEQEDPQEMNYYEEELQASSWDHGEAERMKKDWEIDKEDSINIASRSDKIGGVSGSVSNQNAPPSQMQLQPPERMASPVRPPPVKPVKLPKPKAKDPNKREMTMEEKQKLGIGLQSLPQEKMDNVVHIIRKRNGHLRQDGDEIELDIEAMDTETLWELDRFVTNYKKMVSKIKRQALMANNVASNDSNRRETTVEKMDVAMDMKKPKKGDAGDEDVDIGDEMPMSSFPPVEIEKDNDRASSSSSSSSSSSSGSSSSSGMITQLNSIFLE >KJB11937 pep chromosome:Graimondii2_0_v6:2:61962038:61962929:-1 gene:B456_002G257700 transcript:KJB11937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCGGNCGCGSGCKCGGGCGGCKMYPEMNFAEQTTTETLVLGVAPRKVNFDGAEMETGAENGCKCGDNCTCNPCNCK >KJB11938 pep chromosome:Graimondii2_0_v6:2:61962038:61962995:-1 gene:B456_002G257700 transcript:KJB11938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCGGNCGCGSGCKCGGGCGGCKMYPEMNFAEQTTTETLVLGVAPRKVNFDGAEMETGAENGCKCGDNCTCNPCNCK >KJB12180 pep chromosome:Graimondii2_0_v6:2:277269:280089:-1 gene:B456_002G004700 transcript:KJB12180 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box zinc finger protein 20 [Source:Projected from Arabidopsis thaliana (AT4G39070) UniProtKB/Swiss-Prot;Acc:Q0IGM7] MEQKRRMKIWCEVCDKEEAIVFCPADEAALCGVCDHNVHHANKLATKHCRFALLQPDDSPLCDICQEKRALLFCQEDRAILCRECDIPIHKANEQTTKHNRFLLTGVKLSSSSSSSSSSTGFPPALNTVSSSSNGGYGGQTICSEAETTSQSNNEIEKPLTTYRIEHNYTIGDDDVLVSMSISEYLMETLPGWRVDDFLEPSSYAAC >KJB14355 pep chromosome:Graimondii2_0_v6:2:57547790:57548440:1 gene:B456_002G221100 transcript:KJB14355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYIASIILRSKSILLYPIYTTYDATFSSNKKGLSKICLRNFVYGMRCAHEILISGYMSLYAEDVYMTYQIQILQLKIEH >KJB15265 pep chromosome:Graimondii2_0_v6:2:41495418:41499817:-1 gene:B456_002G167500 transcript:KJB15265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYERIQKPQGGGGFSPAKLRTMLLGVEKKRKQEEEQVESSVDLRSELSHLDDDSGKSGSDSCKDVDVVSVLPECSTSTTASSTAAMQNGNERRSKDHSLGTAQNRFIEDHPGLDYDSGHDNMSVSSSIFEFQKTERAPQRVPLGPFSKPAPSKWDDAQKWIASPTANRPKTGQGGQGVGSRKGGNFGYGRQSSTKVVIEVPDQRMVAFEEPDTKRIDTNHAKKDDGLQKFVNWESDPYPVVDSYGKPVLMIGNSVAESAISLSQHDSSISIHSATTFIPPPSTARSVSMRDMGTEMTPIASQEPSRNGTPVRSTTPIRSPNSSRPSTPTRAVPSTSPENSRKDHLDLNRDLSEKELQMKTRREIMVLGAQLGKTNIAAWASKEEEDKDASTSLKIVAPEQPSKSVIETRAAAWEEAEKAKYMARFKREEMKIQAWENHQKAKTEAEMRKIEVEVERMRGRAHDKLMNKLAAARHKAEEKRAEAEAKRNRQAAETEQQADYIRRTGRVPYFCCWSWCS >KJB13659 pep chromosome:Graimondii2_0_v6:2:11087445:11089457:1 gene:B456_002G087600 transcript:KJB13659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPGIGGLQTAAAARDQYRALGENVAKLRTDLMKEQLATFRSQLEEFARKHKNDIRKNPSFRSQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIVEICLATRPLNGGLIDLQELCKLLRQKRKHDREAVSEDDCLRAISKLKTLGSGFEVISAGKKKLVRSVPTELNKDHNQILELAQAQGYVTVDEVERRLSWTHGRAIDALDTLLDEGLAMIDDGHRDGKRCYWFPCVSSISSFGADN >KJB15493 pep chromosome:Graimondii2_0_v6:2:47578414:47579143:1 gene:B456_002G181000 transcript:KJB15493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATTSCLSKSSASAPPPQHRFSSHLIGGRKGLGGAKTVNVKVMASKRSSGPYSHNFDGKLVDESMIVLRKRIQEMQRVEKSYEPPQHWMEWEKQYKKEKYDLDVCQAVGSLQSKLMETRPAVALGMALLLLFILPTSIAALLFHLIPNSL >KJB16640 pep chromosome:Graimondii2_0_v6:2:60460978:60462786:1 gene:B456_002G241200 transcript:KJB16640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVAAYLLAVLGGNTSPSADDLKAILGSVGAEAEDERVQLLLSEVKGKDITELIASGREKLASVPSGGGVAVAAAAPGAGAAAAPAAAEAKKEEKVEEKEESDDDMGFSLFD >KJB14891 pep chromosome:Graimondii2_0_v6:2:27912804:27919656:1 gene:B456_002G148000 transcript:KJB14891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLLQKSENDHSNEYDFVKVSEKLAKVLSEADIRLLMCNMLQKTGAKMAEKEAKREEKLLIMQLERNKREIEKKKKKVDRELQKEKLQNEKVRKRLQDEAERDEKRREREEAEMRKQLRKQQEEAERDQRRHEKEDAELKKKLSIQKQASVMERFLKKCKTSPCQIEEITKPTIYSPSTEKSENVPESIMLSMDRALSSKEETDADDLRKLHLSSWRRLGHSLHSNHKQCWGMRMNPRTELFKELKLTANKGLSREGLTLERHVDGWVEQNSDDRSCHNDDVSVSDVKNCCARKQLLQFDKSYRPAFYGIWPKKSNVVGARHPWRKDPDLDYDVDSDEEWEEEEPGENLSDCDKDEEEESCEGCSKANDEDESEDGFFVPDGYLSEDEGVQVDRMESDVPVQDIQSSHISEQDGWNEEFSALLRQQKCLNNITEQALRKNQVLIILNLLHEKASLLMAEDLNGTPKLEQTCLQALSMRAYPGGHSIEISIDSKVHDNQEACLSSVKAGVTPVLSLVPIPDSDLPLIVSTIQSCSHGIKSLVESLQEKFPSIPKSQLKDTVHEISEFSDNRWQVKKEILVKLGMSVSPEKGGGQTKSIAAFFSKRCLPPAAKSVCSPTTTESSPQQLLKPGSAAHEQQGCTFNHT >KJB14893 pep chromosome:Graimondii2_0_v6:2:27913528:27919656:1 gene:B456_002G148000 transcript:KJB14893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLLQKSENDHSNEYDFVKVSEKLAKVLSEADIRLLMCNMLQKTGAKMAEKEAKREEKLLIMQLERNKREIEKKKKKVDRELQKEKLQNEKVRKRLQDEAERDEKRREREEAEMRKQLRKQQEEAERDQRRHEKEDAELKKKLSIQKQASVMERFLKKCKTSPCQIEEITKPTIYSPSTEKSENVPESIMLSMDRALSSKEETDADDLRKLHLSSWRRLGHSLHSNHKQCWGMRMNPRTELFKELKLTANKGLSREGLTLERHVDGWVEQNSDDRSCHNDDVSVSDVKNCCARKQLLQFDKSYRPAFYGIWPKKSNVVGARHPWRKDPDLDYDVDSDEEWEEEEPGENLSDCDKDEEEESCEGCSKANDEDESEDGFFVPDGYLSEDEGVQVDRMESDVPVQDIQSSHISEQDGWNEEFSALLRQQKCLNNITEQALRKNQVLIILNLLHEKASLLMAEDLNGTPKLEQTCLQALSMRAYPGGHSIEISIDSKVHDNQEACLSSVKAGVTPVLSLVPIPDSDLPLIVSTIQSCSHGIKSLVESLQEKFPSIPKSQLKDTVHEISEFSDNRWQVKKEILVKLGMSVSPEKGGGQTKSIAAFFSKRCLPPAAKSVCSPTTTESSPQQLLKPGSAAHEQQGCTFNHT >KJB14892 pep chromosome:Graimondii2_0_v6:2:27912804:27919964:1 gene:B456_002G148000 transcript:KJB14892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSVPVIDVDDDPKALKMDGQDQHKKGTKRRRTSWYSENLSGEQREAQIKGLKQEMEGLFGFYKEMMEQKSGLGMGYDMGLVESGCSLNSVVAILMEESDLPLSKLLEAIHGKVKDRMGNVSLAAVKSAVLLVGQRVKYGLENEEADILEDDSHSSLWCWETRDAKLIPKAVRATLRTRRTCRKKINERITAVSAMVTLLQKSENDHSNEYDFVKVSEKLAKVLSEADIRLLMCNMLQKTGAKMAEKEAKREEKLLIMQLERNKREIEKKKKKVDRELQKEKLQNEKVRKRLQDEAERDEKRREREEAEMRKQLRKQQEEAERDQRRHEKEDAELKKKLSIQKQASVMERFLKKCKTSPCQIEEITKPTIYSPSTEKSENVPESIMLSMDRALSSKEETDADDLRKLHLSSWRRLGHSLHSNHKQCWGMRMNPRTELFKELKLTANKGLSREGLTLERHVDGWVEQNSDDRSCHNDDVSVSDVKNCCARKQLLQFDKSYRPAFYGIWPKKSNVVGARHPWRKDPDLDYDVDSDEEWEEEEPGENLSDCDKDEEEESCEGCSKANDEDESEDGFFVPDGYLSEDEGVQVDRMESDVPVQDIQSSHISEQDGWNEEFSALLRQQKCLNNITEQALRKNQVLIILNLLHEKASLLMAEDLNGTPKLEQTCLQALSMRAYPGGHSIEISIDSKVHDNQEACLSSVKAGVTPVLSLVPIPDSDLPLIVSTIQSCSHGIKSLVESLQEKFPSIPKSQLKDTVHEISEFSDNRWQVKKEILVKLGMSVSPEKGGGQTKSIAAFFSKRCLPPAAKSVCSPTTTESSPQQLLKPGSAAHEQQGCTFNHT >KJB14908 pep chromosome:Graimondii2_0_v6:2:28269753:28271682:-1 gene:B456_002G148300 transcript:KJB14908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSQKQYKTLYFEHLPTKISKKSAYKTSFITYLIMPCFKIPLFLFLSSLFLHASLAEIICEDLPNDVCAFAIASSGKRCLLETALEKNEKEEYQCRTSEVMVERMAEYIENDDCVRACGVDRNSIGISSDSLLEPQFTSKLCAPACCHNCPNIVDLFFNLAAGEGVFLPDLCEAQRINPRRSMVELMSSSGAALGPISSEASALAPSPTPPITSEVPDSNEALASILSPSSISSELHLQLRLQAQLLF >KJB14907 pep chromosome:Graimondii2_0_v6:2:28269629:28271792:-1 gene:B456_002G148300 transcript:KJB14907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCFKIPLFLFLSSLFLHASLAEIICEDLPNDVCAFAIASSGKRCLLETALEKNEKEEYQCRTSEVMVERMAEYIENDDCVRACGVDRNSIGISSDSLLEPQFTSKLCAPACCHNCPNIVDLFFNLAAGEGVFLPDLCEAQRINPRRSMVELMSSSGAALGPISSEASALAPSPTPPITSEVPDSNEALASILSPSSISSEASTPGPGLAPISSEASSSTSSPGPTPLLG >KJB14381 pep chromosome:Graimondii2_0_v6:2:17563086:17564805:-1 gene:B456_002G122300 transcript:KJB14381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQLCRENMGVPLPMCGLQDWMVNPAPAAPSFQAGSSLQDVPFHPHQNAQNLVPMAVSQPLDAQLEIQRQEIDCFLQLRNERLRYVLREQKKQQQTILLKSMESKALYLMKRKEEELARATKKKMELEACLRKVEMESESWQRLAKANEAKVMDLNKRLEQVRESLIWVSSSAEDGESFYYGSCDRDEEERDNNVQEADSKKKMACKHCNTRSSCVLFLPCRHLCSCNSCEAFLVSCPVCGSVREASIKVYWV >KJB17093 pep chromosome:Graimondii2_0_v6:2:62475864:62476021:1 gene:B456_002G264600 transcript:KJB17093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRQGFATLIFWKRWRKWNFERMDRALSWLFPCLPLETRKHGRRANRNQGRH >KJB15319 pep chromosome:Graimondii2_0_v6:2:42954581:42955431:1 gene:B456_002G170900 transcript:KJB15319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRPTIAGQSIPTFPLHSTSEKAIPLLGFGTAEFPFGASIDTLKQTILEAVKLGNLKLEYLDLYLIHWPVSLKPGKWEFPFMKEDLGPIYLKSEWEAMEESQALGLTKSLGVSNLSCKKLQTILSTAKIPPVVNQVEMNPLWQQKKLRKFCEKKAYLSKLTLLWGPKERREEQIG >KJB12663 pep chromosome:Graimondii2_0_v6:2:2215824:2218102:1 gene:B456_002G030000 transcript:KJB12663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDREQKILLYACRNCDHQEVAENNCVYRNEVHHSAGERTQILQDVAADPTLPRTKAVICANCKHGEAVFFQATARGEEGMTLFFVCCNPNCGHRWRD >KJB12389 pep chromosome:Graimondii2_0_v6:2:1020186:1025274:-1 gene:B456_002G015800 transcript:KJB12389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSTPKVEAAPAVAPPKSGKKGKRDAEETPEKQVAAKKQKKNDGVAQAIVKKEVEAKTQKKKEETSNSSDDSSESEDEPAPVKKQAAVMKNGSVPAKKAKQASSSDSSDSSSEEGSSSDEEAPAKNTKPAVAKKAAVPAAKTVKADSSSDSSSDDDSDEDDEPAAKKEVAAAKNGAAPVKKGEISDSSDEESSSDEDDEKSKPAPKKAPTAVNKKESSDSSESDSSSDEDVKPPPKNIPSATAKPKTESSDSSSEEESDEEDVKKAPAVKKASAPPPKKVEDSSSDSSDDSESEDEEASKKEAKVAKKASSSEEEESEGSDDDEESDEEEAPKKKDTDVEMVDATTPAKQDLKSAKKAPQTPVTPQTQSTGSKTLFVGNLSFQIEDADVRNFFKDAGEVVEVRFSSDAEGNFKGYGHVEFATAEAAQKALELNGEYLMNRAVRLDLARERGAYTPYSGNGNNSFQKGGKGQSRTIFVKGLDASLDEDTIRNSLQEHFGSCGEISRVAIPTDRDSGAVKGFAYLDFKDEGSLNKALELDGSKLNNQSLSVDEAKPKGDFGSGRGGGRSGGWSGGRDGSGRGGRGGRGGRDGGGRGGRRGGGGRFGGGRGGRGTPYNKPNLAAAGTGRKTTFNDED >KJB12311 pep chromosome:Graimondii2_0_v6:2:715224:718842:-1 gene:B456_002G010900 transcript:KJB12311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQPPSSPPKPEEDPDPSARPAPFPNQTLPPTTATTAAGHRKKGTGVRAWLILDSTCQTQMVEAGKHAIMRRTGLPARDLRILDPLLSYPSTILGRERAIVINLEHIKAIITAQEVLLLNSKDPSVTPFVDEIQSRILCHYQATKDQEGGVDDSSYIIRSSSQNLSSRFSQSQTQDDEGKLEEKQSLENPNGSKILPFEFVALEACLEAACSCLENEAKTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKQLLENSSTSSMNERDDMDDDVLRPDINERTPAEISLAANYEGDIQDSDNPQDNMFGATNAIGRDSHGTHTSTTRSAISKHLDVEELEMLLEAYFVQIDAEGICR >KJB12308 pep chromosome:Graimondii2_0_v6:2:715046:718951:-1 gene:B456_002G010900 transcript:KJB12308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQPPSSPPKPEEDPDPSARPAPFPNQTLPPTTATTAAGHRKKGTGVRAWLILDSTCQTQMVEAGKHAIMRRTGLPARDLRILDPLLSYPSTILGRERAIVINLEHIKAIITAQEVLLLNSKDPSVTPFVDEIQSRILCHYQATKDQEGGVDDSSYIIRSSSQNLSSRFSQSQTQDDEGKLEEKQSLENPNGSKILPFEFVALEACLEAACSCLENEAKTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKQLLENSSTSSMNERDDMDDDVLRPDINERTPAEISLAANYEGDIQDSDNPQDNMFGATNAIGRDSHGTHTSTTRSAISKHLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVISAFIVVAGIFGMNIHIELFDEDKAGMPEFLWTIGGGAAGSIFLYVIAIAWCKYKRLLE >KJB12309 pep chromosome:Graimondii2_0_v6:2:716800:718794:-1 gene:B456_002G010900 transcript:KJB12309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQPPSSPPKPEEDPDPSARPAPFPNQTLPPTTATTAAGHRKKGTGVRAWLILDSTCQTQMVEAGKHAIMRRTGLPARDLRILDPLLSYPSTILGRERAIVINLEHIKAIITAQEVLLLNSKDPSVTPFVDEIQSRILCHYQATKDQEGGVDDSSYIIRSSSQNLSSRFSQSQTQDDEGKLEEKQSLENPNGSKILPFEFVALEACLEAACSCLENEAKTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKQLLENSSTSSMNERDDMDDDVLRPDINERC >KJB12310 pep chromosome:Graimondii2_0_v6:2:715224:718842:-1 gene:B456_002G010900 transcript:KJB12310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQPPSSPPKPEEDPDPSARPAPFPNQTLPPTTATTAAGHRKKGTGVRAWLILDSTCQTQMVEAGKHAIMRRTGLPARDLRILDPLLSYPSTILGRERAIVINLEHIKAIITAQEVLLLNSKDPSVTPFVDEIQSRILCHYQATKDQEGGVDDSSYIIRSSSQNLSSRFSQSQTQDDEGKLEEKQSLENPNGSKILPFEFVALEACLEAACSCLENEAKTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVVRDELEHLLDDDEDMAEMYLTEKQLLENSSTSSMNERDDMDDDVLRPDINERTPAEISLAANYEGDIQDSDNPQDNMFGATNAIGRDSHGTHTSTTRSAISKHLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVISAFIVVAGIFGMNIHIELFDEDKAGMPEFLWTIGGGAAGSIFLYVIAIAWCKYKRLLE >KJB12312 pep chromosome:Graimondii2_0_v6:2:716257:718842:-1 gene:B456_002G010900 transcript:KJB12312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQPPSSPPKPEEDPDPSARPAPFPNQTLPPTTATTAAGHRKKGTGVRAWLILDSTCQTQMVEAGKHAIMRRTGLPARDLRILDPLLSYPSTILGRERAIVINLEHIKAIITAQEVLLLNSKDPSVTPFVDEIQSRILCHYQATKDQEGGVDDSSYIIRSSSQNLSSRFSQSQTQDDEGKLEEKQSLENPNGSKILPFEFVALEACLEAACSCLENEAKTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKQLLENSSTSSMNERDDMDDDVLRPDINERC >KJB12304 pep chromosome:Graimondii2_0_v6:2:681936:682253:-1 gene:B456_002G0107002 transcript:KJB12304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEEEIATEVSNGLLKMENEGLVDLGYVTSDPNFKEEVAKAIRYFRSNAKDNANTENEKN >KJB13893 pep chromosome:Graimondii2_0_v6:2:14429587:14430549:-1 gene:B456_002G106800 transcript:KJB13893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNILLRFAWMQTVLNFKVSLHRETLAAIVASLEIIRRGIWNIFRLENEHLNNVGKFRAFKSVPLPFNYEDDEDRDV >KJB12847 pep chromosome:Graimondii2_0_v6:2:3172208:3179235:1 gene:B456_002G039300 transcript:KJB12847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPREANIPALFLVLVVLPLVTYFLLGKWSEVSKKREKVSLLAHLVAEEALRAETMAAASVIPVVSVPKKGLHVCARCFGPSTTRCSRCKSVRYCSGRCQIIHWRQVHKEECLQLESGSVASFEESVLHSDNMNSQFLEYINKQAAKEKASSDNINHCPTTTGLFANGDCSTIDTSQGCAPERSAEKRVSRKSNGEVLRREDVAIVDSCEETLRTRATSLPINSISSKEALRRHKSRTNGFVVSEDGMLKQQNANGSNMLIHGQNVSTAMHDGHKHQSQRGNMSEPKSNCEFAGPPYSAKGGTSAHKAENAFVWSSENIVNGENAYSGKSVELECSEMATAKECTKSRSSLQSLGPKISKSPKSTVKVSGERLHPEMERKGQIPDELNDIPAPGINGAGNTGSMEMMGLRKSSKLARQDFPALYGNRHKKIKMLFPYEEFVTFFRCEVFDLSPRGLLNCGNSCYANAVLQCLTCTKPLSIYFLHGSHSRTCYRKDRCLMCELEQHVMLLRECGGPLSPSRILSHIRTINCQMGDGSQEDAHEFLRLLVASMQSICLERLGGEQKVDPRLQETTFIQHTFGGRLWSKVKCLRCSHESERYENIMDLTLEIYGWVESLEDALTQFTTPEDLDGENMYRCGRCAGYARARKQLRIHEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMVPFMTGTGDIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLRGNWFRIDDTEVHPVSMSQVMSEDAYILFYMRSYPRPQRAVSEKIKQVPARHLTSKMEKPTRPAQSKSGSHSVGPKLYPDSRSGIAAGYINRDSNGILRQSANSNIHRVVEMYAEPSNMEFSDATSSDWSLFTSSDEASFTTESTRDSFSTVDYTDTSNGGDPFSIFNNLYTPESSSCNTVSCSMFSTSRPHTRYVLEEKGYVLDSYSSAQLVNQDQVNFNQVCDSLTDFSLDTEQGMFVKYGSNLKNAFNRTSSAHCEW >KJB12848 pep chromosome:Graimondii2_0_v6:2:3172208:3179235:1 gene:B456_002G039300 transcript:KJB12848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPREANIPALFLVLVVLPLVTYFLLGKWSEVSKKREKVSLLAHLVAEEALRAETMAAASVIPVVSVPKKGLHVCARCFGPSTTRCSRCKSVRYCSGRCQIIHWRQVHKEECLQLESGSVASFEESVLHSDNMNSQFLEYINKQAAKEKASSDNINHCPTTTGLFANGDCSTIDTSQGCAPERSAEKRVSRKSNGEVLRREDVAIVDSCEETLRTRATSLPINSISSKEALRRHKSRTNGFVVSEDGMLKQQNANGSNMLIHGQNVSTAMHDGHKHQSQRGNMSEPKSNCEFAGPPYSAKGGTSAHKAENAFVWSSENIVNGENAYSGKSVELECSEMATAKECTKSRSSLQSLGPKISKSPKSTVKVSGERLHPEMERKGQIPDELNDIPAPGINGAGNTGSMEMMGLRKSSKLARQDFPALYGNRHKKIKMLFPYEEFVTFFRCEVFDLSPRGLLNCGNSCYANAVLQCLTCTKPLSIYFLHGSHSRTCYRKDRCLMCELEQHVMLLRECGGPLSPSRILSHIRTINCQMGDGSQEDAHEFLRLLVASMQSICLERLGGEQKVDPRLQETTFIQHTFGGRLWSKVKCLRCSHESERYENIMDLTLEIYGWVESLEDALTQFTTPEDLDGENMYRCGRCAGYARARKQLRIHEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMVPFMTGTGDIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLRGNWFRIDDTEVHPVSMSQVMSEDAYILFYMRSYPRPQRAVSEKIKQVPARHLTSKMEKPTRPAQSKSGSHSVGPKLYPDSRSGIAAGYINRDSNGILRQSANSNIHRVVEMYAEPSNMEFSDATSSDWSLFTSSDEASFTTESTRDSFSTVDYTDTSNGGDPFSIFNNLYTPESSSCNTVSCSMFSTSRPHTRYVLEEKGYVLDSYSSAQLVNQDQVNFNQVCDSLTDFSLDTEQGMFVKYGSNLKNAFNRTSSAHCEW >KJB12846 pep chromosome:Graimondii2_0_v6:2:3172171:3179235:1 gene:B456_002G039300 transcript:KJB12846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPREANIPALFLVLVVLPLVTYFLLGKWSEVSKKREKVSLLAHLVAEEALRAETMAAASVIPVVSVPKKGLHVCARCFGPSTTRCSRCKSVRYCSGRCQIIHWRQVHKEECLQLESGSVASFEESVLHSDNMNSQFLEYINKQAAKEKASSDNINHCPTTTGLFANGDCSTIDTSQGCAPERSAEKRVSRKSNGEVLRREDVAIVDSCEETLRTRATSLPINSISSKEALRRHKSRTNGFVVSEDGMLKQQNANGSNMLIHGQNVSTAMHDGHKHQSQRGNMSEPKSNCEFAGPPYSAKGGTSAHKAENAFVWSSENIVNGENAYSGKSVELECSEMATAKECTKSRSSLQSLGPKISKSPKSTVKVSGERLHPEMERKGQIPDELKISGMTDDIPAPGINGAGNTGSMEMMGLRKSSKLARQDFPALYGNRHKKIKMLFPYEEFVTFFRCEVFDLSPRGLLNCGNSCYANAVLQCLTCTKPLSIYFLHGSHSRTCYRKDRCLMCELEQHVMLLRECGGPLSPSRILSHIRTINCQMGDGSQEDAHEFLRLLVASMQSICLERLGGEQKVDPRLQETTFIQHTFGGRLWSKVKCLRCSHESERYENIMDLTLEIYGWVESLEDALTQFTTPEDLDGENMYRCGRCAGYARARKQLRIHEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMVPFMTGTGDIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLRGNWFRIDDTEVHPVSMSQVMSEDAYILFYMRSYPRPQRAVSEKIKQVPARHLTSKMEKPTRPAQSKSGSHSVGPKLYPDSRSGIAAGYINRDSNGILRQSANSNIHRVVEMYAEPSNMEFSDATSSDWSLFTSSDEASFTTESTRDSFSTVDYTDTSNGGDPFSIFNNLYTPESSSCNTVSCSMFSTSRPHTRYVLEEKGYVLDSYSSAQLVNQDQVNFNQVCDSLTDFSLDTEQGMFVKYGSNLKNAFNRTSSAHCEW >KJB16762 pep chromosome:Graimondii2_0_v6:2:61040331:61043135:-1 gene:B456_002G246400 transcript:KJB16762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILHDDVVIINQSKNEAEPSVITINCPDKTGLGCDLCRILLFFGLTIVRGDVSTDGKWCYIVFWVIPKPIIKWDTCRWELLKNRLIETCPSCSSAFGISYYSSELQSSMVTDVFLIKLFCYDRKGLSHDVTAVFCNLELNIKKVKVSTTPVGTVMNLFFVTDTRELLHTKMRQEETCEALVDVMQAAIISCNIEKVGPEVTACSQASPFLSSAITNDVVLRTSNNVSVTMDNSFSPVHTLVQIVCQDHKGLLYDIMRTLKDYNIQISYGRFYLKQGRKCEIDLFITQADGKKIIDPSMQRALSSRLQMELLQPLRVAVLSRGPDTELLVANPVELSSKGRPLVFHDITLALNMLNTCIFLAEIGRHVIGDQEWEVYRVLLDEGTSLSTPRRKIEEGVWKLLMGWEQI >KJB15103 pep chromosome:Graimondii2_0_v6:2:35944910:35946570:1 gene:B456_002G160400 transcript:KJB15103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKVLMMLIVASLLMGCSFNEAVGTVVAGVQVIHLGGKVMCQDCTKSYGEWTHGSQPIKGGKVSVTCKDDRSRIIYYASDESDEEGSFNMAVNKYINGKELQPTSCLVRLVSSPHLTCNIPTNFAGGITGVNLPVRPTVLYRDLVQYQLGTFFYTTPRCAKPAAGETHDSFDCDANNNY >KJB16379 pep chromosome:Graimondii2_0_v6:2:58568598:58569269:1 gene:B456_002G227200 transcript:KJB16379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLINRLSPKNLFRSNKKGPSTVSKFDPSSYTTSGSASSSSSESVSSVHKGNQYPLAPTVGTGTPTSVLPETSVDWLDFSANFYVELCQAFKTMDKDNDGVITRSEVEALLSKFARQPPSQEEISSMLGEVDGDGDGCISLETLINQVAGPACEPELRETFDIFDTDHDGKITAEELMAFYKEKLGDERCTLEDCRLMIASVDKNGDGFVCFDDFSRMMEMQG >KJB12620 pep chromosome:Graimondii2_0_v6:2:2065842:2069868:-1 gene:B456_002G027600 transcript:KJB12620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYFIIRTSFVHGRQTIAASQSSNLFILKRPIGLRLFSNSSNAHSFTVSYLTNKCGFSPALASRVSNFVHFETPEKPDSLIVFLENHGFSQTQIANLIKRQPRFLLSDTEKTLLPKLEFLYSIGFSRPELAKLLSSHPHLLKYSLKKQIIPSFNLLRDLFQSDDKTIKAIKRFAGILVNYSKSYLYPNMNVLRGIGVPESNILMLLNRHPRTFLYSPVRLKEIVEEVKGMGIDSSTKKFLVAVLALRSMSKSTLEKKFDVYRRWGWSDQEIREAFQRYPSCVTASEGKIMAIVDFLVNKMGYHSNLIAKQPSIFSQSLEKRIVPRALFARELLSLGLVSNLALSALFDTSEKVFVNRFVKIAPELLKLYEEKKMKSV >KJB14589 pep chromosome:Graimondii2_0_v6:2:20815218:20820494:1 gene:B456_002G132700 transcript:KJB14589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKQQGVLVMGSLQQPNDVPQGVNRLPPQQKQPQDDHQQGVAEQVSAQVPLMAGIQTTERSPIPREPERINIQDSESQYAKLQKMSNQQAGGTEQPNNQVNRGKQVPFAVLLPSLLPQLDKDRAMQLHTLYGKLKKNEIAKDGFVRHMRDIVGDQMLRLAVNKLQVQMSSNQVPLQSQVAARSNTPRMPSVGGATQFGGPHTLAQLHQKGPNSPANSSHPSPQAVLMQTNSSYLSIENKVQKSQEMDRQPDSHFGLLGSQNPSSSSTTVNQERDRASIPVQGINMQQQHLNFAQTSFGMYGSSNYHTYSGSNVSNSGSCLKPQPHESQIRQIPHHQSMGSNPVGGATQTMNMMGGPKFERQNSTNDPNRLQGGTISHFSSNSVSWQASSSKELNPGPLSSMTFVKQESVDQVAEQQHGLYLSATHGSSTAIVEEGNAVSATPKDEFLEKQSSRVGFSTPTSMAPPNLLSPSITTQMDSNLPLGSQSPSVPATAGVNGKAPQKKPCASQKKPLEALGSSPPLSSKKQKVSGGFSDQSIEQLNDVTAVSGVNLREEEEQLFSGPKDESRISEASRRIVQEEEERVILQKIPLQKKLAEIMAKSGLKNISNDVERCVSLCVEERMHGLLCNLIRLSKQRVDVEKPRHQTHVISDVQQHIMIMNRNAREEWEKKQAEAEKLRKLNDPEAETTADDDKEKDDGRVKVVKANKEEDDKMRATAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTDSLSGCQAGKDVNCRPLSASGKNTTDYQESENRGSLSPPASVSRLCDQDSSPCNQGRMVSVVSSLFALFLSIGSSRKSGRNQGVSTHTRVARSISVKDVIAVLEREPQMSKSTLIYRLYEKTRSEAAAE >KJB14584 pep chromosome:Graimondii2_0_v6:2:20815218:20820494:1 gene:B456_002G132700 transcript:KJB14584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIVKFFEEDEDESMHSGADVEAFQAALNRDIEGDASNSQPPDSDTGSNRVSSQSLAQWPTVGQDGNANFQHQQPVQSAQQRSSEMEQKQQGVLVMGSLQQPNDVPQGVNRLPPQQKQPQDDHQQGVAEQVSAQVPLMAGIQTTERSPIPREPERINIQDSESQYAKLQKMSNQQAGGTEQPNNQVNRGKQVPFAVLLPSLLPQLDKDRAMQLHTLYGKLKKNEIAKDGFVRHMRDIVGDQMLRLAVNKLQVQMSSNQVPLQSQVAARSNTPRMPSVGGATQFGGPHTLAQLHQKGPNSPANSSHPSPQAVLMQTNSSYLSIENKVQKSQEMDRQPDSHFGLLGSQNPSSSSTTVNQERDRASIPVQGINMQQQHLNFAQTSFGMYGSSNYHTYSGSNVSNSGSCLKPQPHESQIRQIPHHQSMGSNPVGGATQTMNMMGGPKFERQNSTNDPNRLQGGTISHFSSNSVSWQASSSKELNPGPLSSMTFVKQESVDQVAEQQHGLYLSATHGSSTAIVEEGNAVSATPKDEFLEKQSSRVGFSTPTSMAPPNLLSPSITTQMDSNLPLGSQSPSVPATAGVNGKAPQKKPCASQKKPLEALGSSPPLSSKKQKVSGGFSDQSIEQLNDVTAVSGVNLREEEEQLFSGPKDESRISEASRRIVQEEEERVILQKIPLQKKLAEIMAKSGLKNISNDVERCVSLCVEERMHGLLCNLIRLSKQRVDVEKPRHQTHVISDVQQHIMIMNRNAREEWEKKQAEAEKLRKLNDPEAETTADDDKEKDDGRVKVVKANKEEDDKMRATAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTDSLSGCQAGKDVNCRPLSASGKNTTDYQESENRGSLSPPASVSRLCDQDSSPCNQGRMVSVVSSLFALFLSIGSSRKSGRNQGVSTHTRVARSISVKDVIAVLEREPQMSKSTLIYRLYEKTRSEAAAE >KJB14587 pep chromosome:Graimondii2_0_v6:2:20815429:20820494:1 gene:B456_002G132700 transcript:KJB14587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIVKFFEEDEDESMHSGADVEAFQAALNRDIEGDASNSQPPDSDTVLSQGSNRVSSQSLAQWPTVGQDGNANFQHQQPVQSAQQRSSEMEQKQQGVLVMGSLQQPNDVPQGVNRLPPQQKQPQDDHQQGVAEQVSAQVPLMAGIQTTERSPIPREPERINIQDSESQYAKLQKMSNQQAGGTEQPNNQVNRGKQVPFAVLLPSLLPQLDKDRAMQLHTLYGKLKKNEIAKDGFVRHMRDIVGDQMLRLAVNKLQVQMSSNQVPLQSQVAARSNTPRMPSVGGATQFGGPHTLAQLHQKGPNSPANSSHPSPQAVLMQTNSSYLSIENKVQKSQEMDRQPDSHFGLLGSQNPSSSSTTVNQERDRASIPVQGINMQQQHLNFAQTSFGMYGSSNYHTYSGSNVSNSGSCLKPQPHESQIRQIPHHQSMGSNPVGGATQTMNMMGGPKFERQNSTNDPNRLQGGTISHFSSNSVSWQASSSKELNPGPLSSMTFVKQESVDQVAEQQHGLYLSATHGSSTAIVEEGNAVSATPKDEFLEKQSSRVGFSTPTSMAPPNLLSPSITTQMDSNLPSPSVPATAGVNGKAPQKKPCASQKKPLEALGSSPPLSSKKQKVSGGFSDQSIEQLNDVTAVSGVNLREEEEQLFSGPKDESRISEASRRIVQEEEERVILQKIPLQKKLAEIMAKSGLKNISNDVERCVSLCVEERMHGLLCNLIRLSKQRVDVEKPRHQTHVISDVQQHIMIMNRNAREEWEKKQAEAEKLRKLNDPEAETTADDDKEKDDGRVKVVKVLSMSNFPSIICSSAIQIMRVVTSRQTRRRMTR >KJB14585 pep chromosome:Graimondii2_0_v6:2:20815425:20820494:1 gene:B456_002G132700 transcript:KJB14585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIVKFFEEDEDESMHSGADVEAFQAALNRDIEGDASNSQPPDSDTGSNRVSSQSLAQWPTVGQDGNANFQHQQPVQSAQQRSSEMEQKQQGVLVMGSLQQPNDVPQGVNRLPPQQKQPQDDHQQGVAEQVSAQVPLMAGIQTTERSPIPREPERINIQDSESQYAKLQKMSNQQAGGTEQPNNQVNRGKQVPFAVLLPSLLPQLDKDRAMQLHTLYGKLKKNEIAKDGFVRHMRDIVGDQMLRLAVNKLQVQMSSNQVPLQSQVAARSNTPRMPSVGGATQFGGPHTLAQLHQKGPNSPANSSHPSPQAVLMQTNSSYLSIENKVQKSQEMDRQPDSHFGLLGSQNPSSSSTTVNQERDRASIPVQGINMQQQHLNFAQTSFGMYGSSNYHTYSGSNVSNSGSCLKPQPHESQIRQIPHHQSMGSNPVGGATQTMNMMGGPKFERQNSTNDPNRLQGGTISHFSSNSVSWQASSSKELNPGPLSSMTFVKQESVDQVAEQQHGLYLSATHGSSTAIVEEGNAVSATPKDEFLEKQSSRVGFSTPTSMAPPNLLSPSITTQMDSNLPSPSVPATAGVNGKAPQKKPCASQKKPLEALGSSPPLSSKKQKVSGGFSDQSIEQLNDVTAVSGVNLREEEEQLFSGPKDESRISEASRRIVQEEEERVILQKIPLQKKLAEIMAKSGLKNISNDVERCVSLCVEERMHGLLCNLIRLSKQRVDVEKPRHQTHVISDVQQHIMIMNRNAREEWEKKQAEAEKLRKLNDPEAETTADDDKEKDDGRVKVVKANKEEDDKMRATAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTDSLSGCQAGKDVNCRPLSASGKNTTDYQESENRGSLSPPASGKLGSWLDLLIHG >KJB14588 pep chromosome:Graimondii2_0_v6:2:20815429:20820494:1 gene:B456_002G132700 transcript:KJB14588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIVKFFEEDEDESMHSGADVEAFQAALNRDIEGDASNSQPPDSDTVLSQGSNRVSSQSLAQWPTVGQDGNANFQHQQPVQSAQQRSSEMEQKQQGVLVMGSLQQPNDVPQGVNRLPPQQKQPQDDHQQGVAEQVSAQVPLMAGIQTTERSPIPREPERINIQDSESQYAKLQKMSNQQAGGTEQPNNQVNRGKQVPFAVLLPSLLPQLDKDRAMQLHTLYGKLKKNEIAKDGFVRHMRDIVGDQMLRLAVNKLQVQMSSNQVPLQSQVAARSNTPRMPSVGGATQFGGPHTLAQLHQKGPNSPANSSHPSPQAVLMQTNSSYLSIENKVQKSQEMDRQPDSHFGLLGSQNPSSSSTTVNQERDRASIPVQGINMQQQHLNFAQTSFGMYGSSNYHTYSGSNVSNSGSCLKPQPHESQIRQIPHHQSMGSNPVGGATQTMNMMGGPKFERQNSTNDPNRLQGGTISHFSSNSVSWQASSSKELNPGPLSSMTFVKQESVDQVAEQQHGLYLSATHGSSTAIVEEGNAVSATPKDEFLEKQSSRVGFSTPTSMAPPNLLSPSITTQMDSNLPLGSQSPSVPATAGVNGKAPQKKPCASQKKPLEALGSSPPLSSKKQKVSGGFSDQSIEQLNDVTAVSGVNLREEEEQLFSGPKDESRISEASRRIVQEEEERVILQKIPLQKKLAEIMAKSGLKNISNDVERCVSLCVEERMHGLLCNLIRLSKQRVDVEKPRHQTHVISDVQQHIMIMNRNAREEWEKKQAEAEKLRKLNDPEAETTADDDKEKDDGRVKVVKANKEEDDKMRATAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTDSLSGCQAGKDVNCRPLSASGKNTTDYQESENRGSLSPPASGKLGSWLDLLIHG >KJB14590 pep chromosome:Graimondii2_0_v6:2:20815927:20818093:1 gene:B456_002G132700 transcript:KJB14590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKQQGVLVMGSLQQPNDVPQGVNRLPPQQKQPQDDHQQGVAEQVSAQVPLMAGIQTTERSPIPREPERINIQDSESQYAKLQKMSNQQAGGTEQPNNQVNRGKQVPFAVLLPSLLPQLDKDRAMQLHTLYGKLKKNEIAKDGFVRHMRDIVGDQMLRLAVNKLQVQMSSNQVPLQSQVAARSNTPRMPSVGGATQFGGPHTLAQLHQKGPNSPANSSHPSPQAVLMQTNSSYLSIENKVQKSQEMDRQPDSHFGLLGSQNPSSSSTTVNQERDRASIPVQGINMQQQHLNFAQTSFGMYGSSNYHTYSGSNVSNSGSCLKPQPHESQIRQIPHHQSMGSNPVGGATQTMNMMGGPKFERQNSTNDPNRLQGGTISHFSSNSVSWQASSSKELNPGPLSSMTFVKQESVDQVAEQQHGLYLSATHGSSTAIVEEGNAVSATPKDEFLEKQSSRVGFSTPTSMAPPNLLSPSITTQMDSNLPVISS >KJB14586 pep chromosome:Graimondii2_0_v6:2:20815218:20820494:1 gene:B456_002G132700 transcript:KJB14586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIVKFFEEDEDESMHSGADVEAFQAALNRDIEGDASNSQPPDSDTVLSQGSNRVSSQSLAQWPTVGQDGNANFQHQQPVQSAQQRSSEMEQKQQGVLVMGSLQQPNDVPQGVNRLPPQQKQPQDDHQQGVAEQVSAQVPLMAGIQTTERSPIPREPERINIQDSESQYAKLQKMSNQQAGGTEQPNNQVNRGKQVPFAVLLPSLLPQLDKDRAMQLHTLYGKLKKNEIAKDGFVRHMRDIVGDQMLRLAVNKLQVQMSSNQVPLQSQVAARSNTPRMPSVGGATQFGGPHTLAQLHQKGPNSPANSSHPSPQAVLMQTNSSYLSIENKVQKSQEMDRQPDSHFGLLGSQNPSSSSTTVNQERDRASIPVQGINMQQQHLNFAQTSFGMYGSSNYHTYSGSNVSNSGSCLKPQPHESQIRQIPHHQSMGSNPVGGATQTMNMMGGPKFERQNSTNDPNRLQGGTISHFSSNSVSWQASSSKELNPGPLSSMTFVKQESVDQVAEQQHGLYLSATHGSSTAIVEEGNAVSATPKDEFLEKQSSRVGFSTPTSMAPPNLLSPSITTQMDSNLPSPSVPATAGVNGKAPQKKPCASQKKPLEALGSSPPLSSKKQKVSGGFSDQSIEQLNDVTAVSGVNLREEEEQLFSGPKDESRISEASRRIVQEEEERVILQKIPLQKKLAEIMAKSGLKNISNDVERCVSLNAWAPMQFNQTIKTAG >KJB14583 pep chromosome:Graimondii2_0_v6:2:20816099:20820301:1 gene:B456_002G132700 transcript:KJB14583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKQQGVLVMGSLQQPNDVPQGVNRLPPQQKQPQDDHQQGVAEQVSAQVPLMAGIQTTERSPIPREPERINIQDSESQYAKLQKMSNQQAGGTEQPNNQVNRGKQVPFAVLLPSLLPQLDKDRAMQLHTLYGKLKKNEIAKDGFVRHMRDIVGDQMLRLAVNKLQVQMSSNQVPLQSQVAARSNTPRMPSVGGATQFGGPHTLAQLHQKGPNSPANSSHPSPQAVLMQTNSSYLSIENKVQKSQEMDRQPDSHFGLLGSQNPSSSSTTVNQERDRASIPVQGINMQQQHLNFAQTSFGMYGSSNYHTYSGSNVSNSGSCLKPQPHESQIRQIPHHQSMGSNPVGGATQTMNMMGGPKFERQNSTNDPNRLQGGTISHFSSNSVSWQASSSKELNPGPLSSMTFVKQESVDQVAEQQHGLYLSATHGSSTAIVEEGNAVSATPKDEFLEKQSSRVGFSTPTSMAPPNLLSPSITTQMDSNLPLGSQSPSVPATAGVNGKAPQKKPCASQKKPLEALGSSPPLSSKKQKVSGGFSDQSIEQLNDVTAVSGVNLREEEEQLFSGPKDESRISEASRRIVQEEEERVILQKIPLQKKLAEIMAKSGLKNISNDVERCVSLCVEERMHGLLCNLIRLSKQRVDVEKPRHQTHVISDVQQHIMIMNRNAREEWEKKQAEAEKLRKLNDPEAETTADDDKEKDDGRVKVVKANKEEDDKMRATAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTDSLSGCQAGKDVNCRPLSASGKNTTDYQESENRGSLSPPASGSSRKSGRNQGVSTHTRVARSISVKDVIAVLEREPQMSKSTLIYRLYEKTRSEAAAE >KJB14582 pep chromosome:Graimondii2_0_v6:2:20815858:20820494:1 gene:B456_002G132700 transcript:KJB14582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKQQGVLVMGSLQQPNDVPQGVNRLPPQQKQPQDDHQQGVAEQVSAQVPLMAGIQTTERSPIPREPERINIQDSESQYAKLQKMSNQQAGGTEQPNNQVNRGKQVPFAVLLPSLLPQLDKDRAMQLHTLYGKLKKNEIAKDGFVRHMRDIVGDQMLRLAVNKLQVQMSSNQVPLQSQVAARSNTPRMPSVGGATQFGGPHTLAQLHQKGPNSPANSSHPSPQAVLMQTNSSYLSIENKVQKSQEMDRQPDSHFGLLGSQNPSSSSTTVNQERDRASIPVQGINMQQQHLNFAQTSFGMYGSSNYHTYSGSNVSNSGSCLKPQPHESQIRQIPHHQSMGSNPVGGATQTMNMMGGPKFERQNSTNDPNRLQGGTISHFSSNSVSWQASSSKELNPGPLSSMTFVKQESVDQVAEQQHGLYLSATHGSSTAIVEEGNAVSATPKDEFLEKQSSRVGFSTPTSMAPPNLLSPSITTQMDSNLPLGSQSPSVPATAGVNGKAPQKKPCASQKKPLEALGSSPPLSSKKQKVSGGFSDQSIEQLNDVTAVSGVNLREEEEQLFSGPKDESRISEASRRIVQEEEERVILQKIPLQKKLAEIMAKSGLKNISNDVERCVSLCVEERMHGLLCNLIRLSKQRVDVEKPRHQTHVISDVQQHIMIMNRNAREEWEKKQAEAEKLRKLNDPEAETTADDDKEKDDGRVKVVKANKEEDDKMRATAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTDSLSGCQAGKDVNCRPLSASGKNTTDYQESENRGSLSPPASVSRLCDQDSSPCNQGRMVSVVSSLFALFLSIGSSRKSGRNQGVSTHTRVARSISVKDVIAVLEREPQMSKSTLIYRLYEKTRSEAAAE >KJB13388 pep chromosome:Graimondii2_0_v6:2:8364050:8366388:-1 gene:B456_002G071900 transcript:KJB13388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSYCDWLPSQMSSSTLTLFFVLLGTLCSFIYFFSSPNRNKNGRKLPPGPAPLPIIGNIHMLGNLPHHSLHYLAEKYGPIMSIMLGKVPTIVVSSPEAAELFLKVHDVVFASRPKVQSAKYLAYGGKAVGFTQYGSYWRTVRKWCILHFLSASKVECFAPIRKAEVGLLVESVRKVAAAGETINLSQAVAKVLEEMMSKVLFGRSMDDKIDFKPLVDEALHLSGVFNLSDYVPFLAPLDLQGYTRRLKKVSSDLHQFFEKMIDEYQQGINLDDQKPYRSFFQVMVSLLDTPINPNDKDQPYIIGRENIKAIMVDMVAASFDTTSTAIEWTFTELLKHPRVMVALQKELESVVGRNRMVEESDLPKLAYLDMVIKESFRLHPTAPLLVPHESTEDVTVNGYFIPKKSRLLVNTWTMGRNPKIWSSNAEEFFPERFKDRKIDLRGHDFELIPFGTGRRGCPGMQLALISMRLILAQLAHCFDWELLDGMLPNELDMTEKFGLSLPRANHLLVKPTYRLIA >KJB16889 pep chromosome:Graimondii2_0_v6:2:61618577:61619404:1 gene:B456_002G253100 transcript:KJB16889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 3 [Source:Projected from Arabidopsis thaliana (AT2G37380) UniProtKB/Swiss-Prot;Acc:Q9ZUS8] METTQASYNNGDEEDYIDIEVSSSSSNLLFYPITSPPSPQFEFQTCSSFYGEIASTTSPADELFYKGKLLPLHLPPRLQMLQSLLQTEEHCSYMASSSSSGFIGNNLKKSWCRKLKQIKQSTITQKLKSLFNKSGCSYECCCVKASVNTNGSHRRSFSGVIQRYSTPIMSLSTSSSSSSSSSSSSFSFGSSGFGDLHLLKRSNSSNSEVESSIQGAIVHCKMSQKLSSSKEKKMQNELWGL >KJB12156 pep chromosome:Graimondii2_0_v6:2:197857:199785:-1 gene:B456_002G003600 transcript:KJB12156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVKQLLARPIQVADMVTKAADEAQSFKQDCQEIKGKTEKLAGLLRQVARASNDIYERPTRRIVDETEQVLDKALTLVLKCGATGFLGRLFTIIPAAAFRKLSMQLENSIGDVSWLLAVSGDNRDDEYLGLPPIAANEPILCLIWEQIANLYTGTLEERADASASLVSLARDNDRYGKLIIEEGGVVPLLKLAKEGNLEGQENAARAIGLLGRDAESVEQIVNAGVCAVFAKILKEGQMKVQSIVAWAVSELAAHHPKCQDHFSQNNIIRFLVSHIAFETVEEHSKYTIVNKQGVSSIHSVLVASKGPDSHKKEETESNINRSLGNRAVPTQMQNVVANTLKGKNPTPPQPNNQANHPKGGQQVPHAKSNHHPHHVSISGTSIKGREFEDPATKAQMKAMAARALWQLCKGNLSICRSITESRALLCFAILLEKSTDDVKYYSAMALVEITAVAEQNADLRRSSFKPTSPAARAVVDQLLKIIEKTDTELLVPCIKTIGHLARTFRATETRIVTPLVKLLDETEPEVSMEAVMALNKFATPKNFLHVNHSKAIVEAGGVKHLIQLVYFGEQMVQFPSLTLLCYIAYNIPDSEVLAQDEVLIVLEWASKQAHLLGDPDIDDLLPEAKSRLELYQSRGKRFH >KJB15112 pep chromosome:Graimondii2_0_v6:2:36432885:36437796:1 gene:B456_002G161200 transcript:KJB15112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIYEAVDRAKRAIQQNCRYFTEYEKIIDNRWNFMHFDLHSAGYFLNPQFQFGVEHSENVLIETLEGTRSVIERLEPSMDTQVRMVNQLLLFRDKHETLGTSQAQRAWKQMNPVEWWMIYGTCVPELQKLAIKVLSQTTSASNCERNWSTFSYIHTKARNRLKYKKLEKLVFTYYNMRLKMRHQQKMSTGDINASFNPISLDYIFEGVDPLSEWLHEKENPLLDGENAGVLPLDTSDDEMDVDQSQQQILSHSSSSSTPSQSGDGPDGGGLSPIDEDDGYSGDRGEIRSSSQYGGEYGGGTTGGHFRDKSEFDGNMFPEPRRDRSEPRAPSKGKGKKHTSIGSSSGRRSSSSNLGYSDSSTSTQGFYPPEQPSHGYPQPYGYYPPFPNYGVPYQPQMHPPPPMYHPPPPFMYPPPQIYPPYQLNENQGENVTFFGYIFGQRARESSQERSQSEGEGFDLPRHSTNW >KJB12741 pep chromosome:Graimondii2_0_v6:2:2569523:2574317:1 gene:B456_002G034200 transcript:KJB12741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTISCSVLVALISCFYLQLQFGTASHTITASKSIKDPDVIISQNGVFRLGFFSLPNSSNRYVGILYHQIPLQTVVWVANRNRPLKGFSGILTISGDGNLVVSNGKAEILWSTNVTNLVPNATTAQLLDSGNLVLNNGENGGSSILWESFQHPSNVFLQTMKISTDVKTGRKVQTRSWKSPDDPSDGNFFQGIEPFSIPEGVIWNNNQIYFRTGPWNGRIYIGLIHVNRVYFDGFYVVADDVEKTYYETYEYSTDSRLIYYELESEGRFVERIWDAGKGEWINGCLKGFKPRNIEEWSRGNWSSGCFRTTPLHCQRYDNNGSEAGQGDDGFLKLKKVKVPAFPDQSSITNGECKDQCMKNCSCVAYAYDAGIGCMLWSGDLIDVQKFSNRGVDLYIRLPSSELDKGNSEIIVITTVISGMVVIIIISALFLLRRMGQQRGSNKRRAQIKGKFHSENMGESSIGVKLQQLPLFNFEELATATNNFHPQKKLGQGGFGPVYKGTLDDGKEIAVKRLSKASGQGLEEFMNEVVVISKLQHRNLVKLFGCCVEAEEKMLVYEFMPNKSLDSFLFDPVKQKLLDWRKRFNIIEGISRGLLYLHRDSRLKIIHRDLKASNVLLDQELNPKISDFGIARIFGGDENQANTKRVVGTYGYMSPEYVMHGRFSEKSDVFSYGVLLLEIVSGRRNKSFYNKDDLSLLGYVWKLWNEGNIWDLVGKVISKSESDLKNKKEIWRCIHVGLLCVQEYAKDRPTMSTIVSMLNSEISDLNTPKQPAFTQALLISNDFEDCVSFNDVTLTGFDGR >KJB12739 pep chromosome:Graimondii2_0_v6:2:2569523:2572841:1 gene:B456_002G034200 transcript:KJB12739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTISCSVLVALISCFYLQLQFGTASHTITASKSIKDPDVIISQNGVFRLGFFSLPNSSNRYVGILYHQIPLQTVVWVANRNRPLKGFSGILTISGDGNLVVSNGKAEILWSTNVTNLVPNATTAQLLDSGNLVLNNGENGGSSILWESFQHPSNVFLQTMKISTDVKTGRKVQTRSWKSPDDPSDGNFFQGIEPFSIPEGVIWNNNQIYFRTGPWNGRIYIGLIHVNRVYFDGFYVVADDVEKTYYETYEYSTDSRLIYYELESEGRFVERIWDAGKGEWINGCLKGFKPRNIEEWSRGNWSSGCFRTTPLHCQRYDNNGSEAGQGDDGFLKLKKVKVPAFPDQSSITNGECKDQCMKNCSCVAYAYDAGIGCMLWSGDLIDVQKFSNRGVDLYIRLPSSELDKGNSEIIVITTVISGMVVIIIISALFLLRRMGQQRGSNKRRAQIKGKFHSENMGESSIGVKLQQLPLFNFEELATATNNFHPQKKLGQGGFGPVYKSDF >KJB12740 pep chromosome:Graimondii2_0_v6:2:2569523:2573305:1 gene:B456_002G034200 transcript:KJB12740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTISCSVLVALISCFYLQLQFGTASHTITASKSIKDPDVIISQNGVFRLGFFSLPNSSNRYVGILYHQIPLQTVVWVANRNRPLKGFSGILTISGDGNLVVSNGKAEILWSTNVTNLVPNATTAQLLDSGNLVLNNGENGGSSILWESFQHPSNVFLQTMKISTDVKTGRKVQTRSWKSPDDPSDGNFFQGIEPFSIPEGVIWNNNQIYFRTGPWNGRIYIGLIHVNRVYFDGFYVVADDVEKTYYETYEYSTDSRLIYYELESEGRFVERIWDAGKGEWINGCLKGFKPRNIEEWSRGNWSSGCFRTTPLHCQRYDNNGSEAGQGDDGFLKLKKVKVPAFPDQSSITNGECKDQCMKNCSCVAYAYDAGIGCMLWSGDLIDVQKFSNRGVDLYIRLPSSELDKGNSEIIVITTVISGMVVIIIISALFLLRRMGQQRGSNKRRAQIKGKFHSENMGESSIGVKLQQLPLFNFEELATATNNFHPQKKLGQGGFGPVYKGTLDDGKEIAVKRLSKASGQGLEEFMNEVVVISKLQHRNLVKLFGCCVEAEEKMLVYEFMPNKSLDSFLFDPVKQKLLDWRKRFNIIEGISRGLLYLHRDSRLKIIHRDLKASNVLLDQELNPKISDFGIARIFGGDENQANTKRVVGT >KJB12134 pep chromosome:Graimondii2_0_v6:2:115366:125426:-1 gene:B456_002G002200 transcript:KJB12134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGSASWQPQEEGLKEICGLLEQQISPYSSADKSQIWQQLQHYSQFPDFNNYLVFILARAEGKSGEIRQAAGLLLKNNLRTAYKLMSPAHQQYIKSELLPCLGAADKHIRSTVGTIVTVVVQQGGILGWPELLQALVNCLDSSDLNHMEGAMDALSKICEDIPQVLDSDMPGLAERPIKIFLPRLFQFFQSPHTSLRKLSLDSVNQYIMLMPSALYTSMDKFLQGLFVLANDPAAEVRKLVCAAFVQLIEIHPSFLEPHLKNVIEYMLQVSKDTDDEVALEACEFWSVYCDAQLPYESLREFLPRLIPILLSNMVYADDDESLVDAEEDESLPDRDQDLKPRFHTPRFHGSDDAEDDDDDSYGIWNLRKCSAAALDVLSNVFGDEILPALMPIIEAKLSASGDEAWKDREAAVLTLGAIAEGCINGLYPHLSEIVAFLIPLLDDKFALIRSISCWTLSRFSKYIVQDSGNKKGYEQFDSVLTGLLRRILDTNKRVQEAACSAFATLEEEAAEELAPRLEVILQHLMCAFGKYQRRNLRIVYDAIGTLADAVGEKLNQPVYLEILMPPLIAKWQQVSNSDKDLLRLLECFTSIAQALGTGFSQFDQPVFQRCINIIQTQQLAKVDPISAGVQYDKEFIVCSLDLLSGLTEGLQGGIESLVAQSNLRDLLLQCCMDDDSDVRQSAFALVGDLAKVCPVHLRPRLSEFLDVATNQLTTPKLNETIAVANNACWAIGELAIKVRQEISPVVMTVISCLVPILQHAEQGVNKSVVENTAITLGRLAWVCPDLVSPHMEHFMQPWCISLSMIRDDIEKEDAFRGLCAVVRANPSAALSSLVLMCKAIASWDEIRNGELHNEVCQVLHGYKQMLRNGAWDQCMSALEPPEKDKLSKYQV >KJB12135 pep chromosome:Graimondii2_0_v6:2:115385:125390:-1 gene:B456_002G002200 transcript:KJB12135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGSASWQPQEEGLKEICGLLEQQISPYSSADKSQIWQQLQHYSQFPDFNNYLVFILARAEGKSGEIRQAAGLLLKNNLRTAYKLMSPAHQQYIKSELLPCLGAADKHIRSTVGTIVTVVVQQGGILGWPELLQALVNCLDSSDLNHMEGAMDALSKICEDIPQVLDSDMPGLAERPIKIFLPRLFQFFQSPHTSLRKLSLDSVNQYIMLMPSALYTSMDKFLQGLFVLANDPAAEVRKLVCAAFVQLIEIHPSFLEPHLKNVIEYMLQVSKDTDDEVALEACEFWSVYCDAQLPYESLREFLPRLIPILLSNMVYADDDESLVDAEEDESLPDRDQDLKPRFHTPRFHGSDDAEDDDDDSYGIWNLRKCSAAALDVLSNVFGDEILPALMPIIEAKLSASGDEAWKDREAAVLTLGAIAEGCINGLYPHLSEIVAFLIPLLDDKFALIRSISCWTLSRFSKYIVQDSGNKKGYEQFDSVLTGLLRRILDTNKRVQEAACSAFATLEEEAAEELAPRLEVILQHLMCAFGKYQRRNLRIVYDAIGTLADAVGEKLNQPVYLEILMPPLIAKWQQVSNSDKDLLRLLECFTSIAQALGTGFSQFDQPVFQRCINIIQTQQLAKVDPISAGVQYDKEFIVCSLDLLSGLTEGLQGGIESLVAQSNLRDLLLQCCMDDDSDVRQSAFALVGDLAKVCPVHLRPRLSEFLDVATNQLTTPKLNETIAVANNACWAIGELAIKVRQEISPVVMTVISCLVPILQHAEQGVNKSVVENTAITLGRLAWVCPDLVSPHMEHFMQPWCISLSMIRDDIEKEDAFRGLCAVVRANPSAALSSLVLMCKAIASWDEWRTT >KJB14211 pep chromosome:Graimondii2_0_v6:2:16153173:16157467:-1 gene:B456_002G114600 transcript:KJB14211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSWDGSYDPGSQSDDSHHFERLHIEPLYDAFVCPLTKQVMRDPVTLENGQTFEREAIEKWFKECRESGRRLVCPLTQKELKSADLNPSIALRNTIEEWTTRNEAAQLDMARRSLNMNSSEDDVLLSLRFIQRICQKNRSNKHAVRNADLIPMIVDMLKSSSRKVRCKALETLLAVVEEDEDNKAILAEGDTVRTIVKFLSHEQSKEREEAVSLLYELSKSEALCEKIGSINGAILILVGMTSSKSENISTVEKADKTLENLEKCENNVRQMAENGRLQPLLTQILEGPPETKHSMAAYLGDLVLNNDVKVHVAKTVGESLINIMKSGNMQSREAALKALNQISSFDASSKVLIEAGILPPLVRDLFTVGANLLPMRLKEVSATILSNVVNSGYDVDSIPIGLDHQTLVSEDNVHNLLHLISNTGPPIECKLLQVLVGLTNSPTTVLNVVAAIKSSGATISLVQFIEVPQKDLRMASIKLLQNLSPHMGQELADALRGTVGQLSSLIRVISENTGISEEQAAAAGLLAELPERDVGLTRQMLDEGAFQLIISRVVKIKQGDIRGTRFVTPFLEGLVRVLARVTFVLSDEPDAVALCREYGLAALFVDLLQTNGIDNVQMVSAAALENLSLESKNLTRLPEMPPPGFCATIFPCFSKQAVITGLCRVHRGTCSLKESFCLLEGQAVHKLVALLDHTNAKVVEAALAALSTLLDDGVDIEQGVSVLCEAEGIKPILDVLLEKQTENLRRRAVWVVERLLRNDDIAYEVSGDQNVSTALVDAFHHADYRTRQIAERALKHVDKIPNFSGIFPNMG >KJB14210 pep chromosome:Graimondii2_0_v6:2:16153173:16157440:-1 gene:B456_002G114600 transcript:KJB14210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSWDGSYDPGSQSDDSHHFERLHIEPLYDAFVCPLTKQVMRDPVTLENGQTFEREAIEKWFKECRESGRRLVCPLTQKELKSADLNPSIALRNTIEEWTTRNEAAQLDMARRSLNMNSSEDDVLLSLRFIQRICQKNRSNKHAVRNADLIPMIVDMLKSSSRKVRCKALETLLAVVEEDEDNKAILAEGDTVRTIVKFLSHEQSKEREEAVSLLYELSKSEALCEKIGSINGAILILVGMTSSKSENISTVEKADKTLENLEKCENNVRQMAENGRLQPLLTQILEGPPETKHSMAAYLGDLVLNNDVKVHVAKTVGESLINIMKSGNMQSREAALKALNQISSFDASSKVLIEAGILPPLVRDLFTVGANLLPMRLKEVSATILSNVVNSGYDVDSIPIGLDHQTLVSEDNVHNLLHLISNTGPPIECKLLQVLVGLTNSPTTVLNVVAAIKSSGATISLVQFIEVPQKDLRMASIKLLQNLSPHMGQELADALRGTVGQLSSLIRVISENTGISEEQAAAAGLLAELPERDVGLTRQMLDEGAFQLIISRVVKIKQGDIRGTRFVTPFLEGLVRVLARVTFVLSDEPDAVALCREYGLAALFVDLLQTNGIDNVQMVSAAALENLSLESKNLTRLPEMPPPGFCATIFPCFSKQAVITGLCRVHRGTCSLKESFCLLEGQAVHKLVALLDHTNAKVVEAALAALSTLLDDGVDIEQGVSVLCEAEGIKPILDVLLEKQTENLRRRAVWVVERLLRNDDIAYEVSGDQNVSTALVDAFHHADYRTRQIAERALKHVDKIPNFSGIFPNMG >KJB16156 pep chromosome:Graimondii2_0_v6:2:56482034:56484162:-1 gene:B456_002G215400 transcript:KJB16156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALSLVYVEIKNWALEKLPAPQELKEAWSEWEVRCLVLLNLTLQLLLMISAHVRQKFKGRHLPYVAATVWSIYLGADWVATLAMTTVLRGTLEKESAVVVLWVPFLLWHLGSPANITAYSLEDNELWLRHFLGLVSNGAEALYIYFKFRTAGVPIYGSLHYPDVMVVPLLVGGILKYAERIVALRSACSEQLRSAVYSEAENNQRESKGREMIRTGLYESAGTVKQFVEDPQVKFLQEARKSFEIFRPLFLDLPFKVSEKYLDHNVYLKDKSAKEAFRLVKIELQFFHDLLFTKNPIQYRHRKLNAFLRGFYILSALSVLIVLSTQNHIGVSKRDITGVDIAVTYLLLVGAILLDVLGALLHLLSYWTMIEFGIAGGKLYKLYHWLVASRLRSIKSMAGTPNIAQYDLVKDFCSKRGNGIFSGLINLVDTNKLRIKYRIISWVELEHYLQEFIYTGLEKERLNPSLSAEVLRIIGQDASLQPLLELGKGARKGMPVGLTRVIFVFHIATKLIYYNAVQRGRHARSSLCRISNILSDYMLYLVLVHPTMLPKDFGNTTKETIKLFTNGLLGIGDAEVFFSFEVTSNSSLTQVEDTEPNVLVEGLHVAHQLLGLLDQFRYDYDGIWEIVSDIWMKMLIHVAKYCSWKEHALALRQGGELLTYVSLLLAHFGLSHYIEPS >KJB13667 pep chromosome:Graimondii2_0_v6:2:11118023:11119949:-1 gene:B456_002G088200 transcript:KJB13667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSASGSFLRNRRLESFLETKSGPNVRETQKIPSKQVITRQRPNKTDAFVDDDGWIPTLISCVRIVTCYLAMMVTTFIWAIIMLMLLPWPSQRVRQGNIYGHVTGRLLMWILGNPLKIEGTEFSNERAIYICNHVSPIDIFLIMWLTPTGTVGIAKKEVTNHLRIDRSDPSTAIKSMKKAVQAVKKHGLSLIIFPEGTRSKNGRLLPFKKGFVHLAIESGLPIVPIILTGTHLAWRKGSLHVRPAPISVKYLPPIKTDSWTADNINDYIKMVHDIYVENLPEAQKPISTDDTKNSSRS >KJB16380 pep chromosome:Graimondii2_0_v6:2:58572940:58573263:-1 gene:B456_002G227300 transcript:KJB16380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQYNFFPTDFYYPRPQSLPDHAATVAAVAIHTPKKEVSISSNDLEWPRSVGFRVHQPKNTEPAALSMHQHQPTAYVEDQSKLVKYYPNPLSCFTFIPQHLSNSS >KJB16077 pep chromosome:Graimondii2_0_v6:2:55896203:55899468:-1 gene:B456_002G211800 transcript:KJB16077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDINLFREEKGHNPEIIRESLRRRYANVQDVDAIIDLDKVYRQLLYELENLRKEFNKINKQVAQLKIAKQDATETIAKTEEVKQKIAEKDVEVKDSWAVLKSKLEKIGNLVHDSVPVSDDEANNAVIRTWGEKRLEPKLKNHVELVELLGIADTKKGADVAGGRGYYLKGDGVRLNQALINFGLDFLEKRGYTALQTPFFMRKDVMAKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCSYHVDDWIQPSELPIRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITSPNGNESWDMHEEMIKNSEDFYQMLKLPYQVVVIVSGALNDAAAKKYDLEAWFPASQTYRELVSCSNCTDYQSRRLEIRYGQKKNNEQVKQYVHLLNSTLTATERTICCILENYQKEDGVEIPEVLRPFMGGKSFLPFKTKPTAETKGKKSKA >KJB13174 pep chromosome:Graimondii2_0_v6:2:7690559:7692352:1 gene:B456_002G065800 transcript:KJB13174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSRAKPPPKKRMDKLDTVFSCPFCNHGTGVECRLDMKNLIGEAICRICQESFCTTITALTEPIDIYSEWIDECEKVNNFEDHDA >KJB13175 pep chromosome:Graimondii2_0_v6:2:7690433:7692517:1 gene:B456_002G065800 transcript:KJB13175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSRAKPPPKKRMDKLDTVFSCPFCNHGTGVECRLDMKNLIGEAICRICQESFCTTITALTEPIDIYSEWIDECEKVNNFEDHDA >KJB12649 pep chromosome:Graimondii2_0_v6:2:2175662:2179403:1 gene:B456_002G029200 transcript:KJB12649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSSGGKGSMSTASSITTWKAGDVVPVPDQFPAGLRVLVVDDDPTCLIILEKMLRNCSYDVTKCNRAETALLKLRENRNGFDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKDVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKNEWKDLEQSGSVEEGDRQPKQSEDADYSSSVNEGNWKSSKKRKDDEDETEDRDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSPHSCNPNNSFMNPQDETFGPLSSVHGLDLQTLTATGQLPAQCLATLQAAVLGRSTAKSSIPMPLVNQRNIFSFENPKLRFGEGQQQHVNNNNKQVNLLHGIPTTMESKQLTSLRRTSQSIGNVSMQVAPHGAQSSQNNSSLIEMGQPLSRVQILNDSTVPLSVGQPIVPNGIAANVSTRNGIPENIRAPGYNLVSQTSSILNFPMNHASELPVDSFSLRSTPGMSDHTSKGAFHEDFNSEIKGSGGFLPTYDVFNDYQYKSQNWELQNAGMILEDSQHSNSLQGNLDLAQSVLVQQGFPSGQINGQNRSVPIVSKAMFSAGDSTEPGNLLNVNHHLNTIRAENTVRVKSGSVADGNPSNLFADHFGQEDLMIALLKQDLMNG >KJB12651 pep chromosome:Graimondii2_0_v6:2:2176341:2179726:1 gene:B456_002G029200 transcript:KJB12651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDMDGFKLLEHIGLEMDLPVIMMSADDGKDVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKNEWKDLEQSGSVEEGDRQPKQSEDADYSSSVNEGNWKSSKKRKDDEDETEDRDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSPHSCNPNNSFMNPQDETFGPLSSVHGLDLQTLTATGQLPAQCLATLQAAVLGRSTAKSSIPMPLVNQRNIFSFENPKLRFGEGQQQHVNNNNKQVNLLHGIPTTMESKQLTSLRRTSQSIGNVSMQVAPHGAQSSQNNSSLIEMGQPLSRVQILNDSTVPLSVGQPIVPNGIAANVSTRNGIPENIRAPGYNLVSQTSSILNFPMNHASELPVDSFSLRSTPGMSDHTSKGAFHEDFNSEIKGSGGFLPTYDVFNDYQYKSQNWELQNAGMILEDSQHSNSLQGNLDLAQSVLVQQGFPSGQINGQNRSVPIVSKAMFSAGDSTEPGNLLNVNHHLNTIRAENTVRVKSGSVADGNPSNLFADHFGQEDLMIALLKQQQGIAPAENEFDFDGYSLDNIPV >KJB12648 pep chromosome:Graimondii2_0_v6:2:2175631:2179751:1 gene:B456_002G029200 transcript:KJB12648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSSGGKGSMSTASSITTWKAGDVVPVPDQFPAGLRVLVVDDDPTCLIILEKMLRNCSYDVTKCNRAETALLKLRENRNGFDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKDVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKNEWKDLEQSGSVEEGDRQPKQSEDADYSSSVNEGNWKSSKKRKDDEDETEDRDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSPHSCNPNNSFMNPQDETFGPLSSVHGLDLQTLTATGQLPAQCLATLQAAVLGRSTAKSSIPMPLVNQRNIFSFENPKLRFGEGQQQHVNNNNKQVNLLHGIPTTMESKQLTSLRRTSQSIGNVSMQVAPHGAQSSQNNSSLIEMGQPLSRVQILNDSTVPLSVGQPIVPNGIAANVSTRNGIPENIRAPGYNLVSQTSSILNFPMNHASELPVDSFSLRSTPGMSDHTSKGAFHEDFNSEIKGSGGFLPTYDVFNDYQYKSQNWELQNAGMILEDSQHSNSLQGNLDLAQSVLVQQGFPSGQINGQNRSVPIVSKAMFSAGDSTEPGNLLNVNHHLNTIRAENTVRVKSGSVADGNPSNLFADHFGQEDLMIALLKQQQGIAPAENEFDFDGYSLDNIPV >KJB12650 pep chromosome:Graimondii2_0_v6:2:2175662:2179726:1 gene:B456_002G029200 transcript:KJB12650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSSGGKGSMSTASSITTWKAGDVVPVPDQFPAGLRVLVVDDDPTCLIILEKMLRNCSYDVTKCNRAETALLKLRENRNGFDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKDVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKNEWKDLEQSGSVEEGDRQPKQSEDADYSSSVNEGNWKSSKKRKDDEDETEDRDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSPHSCNPNNSFMNPQDETFGPLSSVHGLDLQTLTATGQLPAQCLATLQAAVLGRSTAKSSIPMPLVNQRNIFSFENPKLRFGEGQQQHVNNNNKQVNLLHGIPTTMESKQLTSLRRTSQSIGNVSMQVAPHGAQSSQNNSSLIEMGQPLSRVQILNDSTVPLSVGQPIVPNGIAANVSTRNGIPENIRAPGYNLVSQTSSILNFPMNHASELPVDSFSLRSTPGMSDHTSKGAFHEDFNSEIKGSGGFLPTYDVFNDYQYKSQNWELQNAGMILEDSQHSNSLQGNLDLAQSVLVQQGFPSGQINGQNRSVPIVSKAMFSAGDSTEPGNLLNVNHHLNTIRAENTVRVKSGSVADGNPSNLFADHFGQEDLMIALLKQVGFLSLLFTYQWEYSFIHTI >KJB14979 pep chromosome:Graimondii2_0_v6:2:31297001:31297570:-1 gene:B456_002G153100 transcript:KJB14979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPTNDPRTGKVEVATLESGPGAEAGAAPTEAELSGAPSGEEAGAVLAAMTALIEAAANMRVQEIFFFMSMVLERPALICCRKTLVFFYFSLCFLIFIFLQVRRRRVVRVVL >KJB16181 pep chromosome:Graimondii2_0_v6:2:56652855:56653989:-1 gene:B456_002G216600 transcript:KJB16181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEASLRRRAVISKQTSQSEPPTISSTTESERPKPQPRPPTLSQRAISQTLASTANLANLLPTGTLLAFQLLVPTFTNNGSCDAATRSMTLLLLLLLALSCFLASFTDSVKSSDGQVYYGFATFKGMFLFDYPDSKESDLPDLSKYRIKFMDGVHAVLSVLVFGVVALRDKNVLNCFYPTPKHETEEVLNIAPVGVGLVCSLLFVVFPTRRHGIGYPVTAGK >KJB12595 pep chromosome:Graimondii2_0_v6:2:1989054:1997513:1 gene:B456_002G026100 transcript:KJB12595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAVIGGGIKGLVSAYVLVKAGVDVVVYEKEEQLGGHAKTVNFDAVDLDLGFLFLNPARYATLLDIIDSLGVDVETPDVSFSISHDKGNNGYEWCSQYGFSNYFAQKKKLLNPFNWQNLREIIRFSNDVESYLGSLENNPDIDRTETLGQFIKSKGYSENFQNTYLAPTCGSMWSSSKEDVMSFSAFSILSFCRTHHLYQQFGQPQWLTIKGHSHFVKRVREVLETKGCQFKLGCEVQSVLPADNGTTMVCGDGFQETYNGCIMAVDAPTALKLLGNQATFEETRVLGAFQYATSDIFLHRDSTLMPQNKSAWSALNFLNSSKNNAFLTYWLNALQNIGKTSEPFFVTVNPDHTPKNTLLKWSTGHAIPSVAASKASLELGQIQGKRGIWFCGYDFNQDELKAGMDAAHGILGKHSSVLHSPKNMSPSFMETTARLFVTKFFQQYISMGCVIFLEEGGRIFTFKGNMEKCPLKTVLKVHNPQFYWRIMKEADIGLADAYIHGDFSFLDETEGLLNLFRILVANKENSAASGSNKRRTWWSPALLTASISSAKYFVKHLLRQNTITQARRNISRHYDLSNELFTLYLGKMMQYSSGVFRTGEEHLDVAQRRKISSLIEKARIEKWHEVLDIGCGWGSLAIETVKRTGCKYTGITLSEQQLKYAQEKVKEAGLQDNIKILLCDYRQLPKEHQFDRIISVEMVEHVGEEYIEEFYRCCDQLLKEDGLFVLQFISIPEELSKEIQQTAGFLKEYIFPGGTLLSLDRNLSAMAAATRFSVEHVENIGMSYYHTLRWWRKLFLENTSKVLALGFDEKFMRTWEYYFDYCAAGFKTGTLIDYQVVFSRAGNFGTLGDPYKGFPSAYSFMDD >KJB12596 pep chromosome:Graimondii2_0_v6:2:1989068:1997477:1 gene:B456_002G026100 transcript:KJB12596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAVIGGGIKGLVSAYVLVKAGVDVVVYEKEEQLGGHAKTVNFDAVDLDLGFLFLNPARYATLLDIIDSLGVDVETPDVSFSISHDKGNNGYEWCSQYGFSNYFAQKKKLLNPFNWQNLREIIRFSNDVESYLGSLENNPDIDRTETLGQFIKSKGYSENFQNTYLAPTCGSMWSSSKEDVMSFSAFSILSFCRTHHLYQQFGQPQWLTIKGHSHFVKRVREVLETKGCQFKLGCEVQSVLPADNGTTMVCGDGFQETYNGCIMAVDAPTALKLLGNQATFEETRVLGAFQYATSDIFLHRDSTLMPQNKSAWSALNFLNSSKNNAFLTYWLNALQNIGKTSEPFFVTVNPDHTPKNTLLKWSTGHAIPSVAASKASLELGQIQGKRGIWFCGYDFNQDELKAGMDAAHGILGKHSSVLHSPKNMSPSFMETTARLFVTKFFQQYISMGCVIFLEEGGRIFTFKGNMEKCPLKTVLKVHNPQFYWRIMKEADIGLADAYIHGDFSFLDETEGLLNLFRILVANKENSAASGSNKRRTWWSPALLTASISSAKYFVKHLLRQNTITQARRNISRHYDLSNELFTLYLGKMMQYSSGVFRTGEEHLDVAQRRKISSLIEKARIEKWHEVLDIGCGWGSLAIETVKRTGCKYTGITLSEQQLKYAQEKVKEAGLQDNIKILLCDYRQLPKEHQFDRIISVEMVEHVGEEYIEEFYRCCDQLLKEDGLFVLQFISIPEELSKEIQQTAGFLKEYIFPGGTLLSLDRNLSAMAAATRFSVEHVENIGMSYYHTLRWWRKLFLENTRYLHIVPILIRMKIVFGVQ >KJB15774 pep chromosome:Graimondii2_0_v6:2:52959888:52961605:1 gene:B456_002G195500 transcript:KJB15774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNGLGAAPPVTLAEFTLGTGGQDFYDVSLVDGYNIPMIVEGSGGSGLCASTGCTTDLNRQCPSELRVGDGDACKSACEAFGSPEYCCSGAYSTPATCKPSVYSEMFKAACPRSYSYAYDDATSTFTCTGADYTVTFCPSSPSQKSSRDATPATEATPVTGSTSQGSGTQPGFTYSGNGYGYSVSGYGYPGSGSSTGSGSGQTMLTDGSWLAGLAMGDSPRTIPSPTFLLPFALMASTALCFLFSLVHL >KJB15773 pep chromosome:Graimondii2_0_v6:2:52959638:52961874:1 gene:B456_002G195500 transcript:KJB15773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLHSFSSLALILYLIVLTLNSKGALGASFTFVNRCGYTVWPGILANAGSPTLDSTGFELPKDSSRSFQAPTGWSGRFWGRTGCTFYGSGSGTCVTGDCGSGQMECNGLGAAPPVTLAEFTLGTGGQDFYDVSLVDGYNIPMIVEGSGGSGLCASTGCTTDLNRQCPSELRVGDGDACKSACEAFGSPEYCCSGAYSTPATCKPSVYSEMFKAACPRSYSYAYDDATSTFTCTGADYTVTFCPSSPSQKSSRDATPATEATPVTGSTSQGSGTQPGFTYSGNGYGYSVSGYGYPGSGSSTGSGSGQTMLTDGSWLAGLAMGDSPRTIPSPTFLLPFALMASTALCFLFSLVHL >KJB16796 pep chromosome:Graimondii2_0_v6:2:61219773:61222311:1 gene:B456_002G248200 transcript:KJB16796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASYSKTVRFRYDPENNTCSSTEKGRLSKFMTKKNYNQKTATGHKKELSRVFSEDYEVVEKTIFDPRGPDISRWNKLFLVACLISLFVDPLFLYLPQAKKGLCVTVSLPLEIDLTIIRSVVDVFYVLQIFIRFRTAYVAPSSRVFGRGELVIDPSKIASRYLHKDFWLDIIAAQPLPQVLVWSVIPKLNGSPMVPTKNILRLIIIFQYLLRLYLIYPLSSQIIKTTGVVTATAWAGAAYNLMLYMLASHVLGATWYLLSLERQEECWRKVCSLPPLDCRYEYFDCRSVGDPARASWFNASNISSLCDPSSNFYQFGIYANALRIGITSEGFFEKYFYCLWWGLKTLSSLGQGLSTSTYVGEIIFAIIIAILGLVLFALLIGNMQTYLQSTTVRLEEWRIRRTDTEQWMHHRQLPHELRQNVRRYDQYKWVATRGVDEEMILKSLPVDLRRDIKRHLCLDLVRQVPLFDLMDDRMLDAICERLKPCLYTPSTSIVREGDPVNEMLFIVRGYLDSCTTNGGRTGFFNSSLIGPGDFCGEELLTWALDPRPGVVLPSSTRTVKAITEVEAFALVSEDLKFVASQFRKLHSKQLKHTFRFHSHQWRTWAACFIQAAWLRYKRRKEADALKKWESMVTGSPERMAEQTAAAPLSAAATGFASYAAKLAASTRSRGGSSRCGNDFDMLSTLQKPNEPDFTVER >KJB15509 pep chromosome:Graimondii2_0_v6:2:47914001:47918027:-1 gene:B456_002G182200 transcript:KJB15509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLEQNPVHKDDYNPDLLEPSPIQEEYAPVVFAQDIVSHVVSIDMLSGQEDSKNVLCARKSGKGVLTAPFRLLKTNRLGVILTFAVYKRDLPLNATPTERIQATDGYLGGVFDIESLVEKLLQQLASKQTILVNVLDTTNQSYPISMYGLNASNDGLEHVSLLNFGDPFRKHEMRCRFKQKPPWPWLGITTSIGILVIALLVGHIFHATVNRIAKVEDDCHKMMELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDVTQLDYVRTAQASGKALVALINEVLDQAKIESGKLELEEVQFDLRAILDDVLSLFSGKSQDKGVELAVYISDQVPQMLIGDPGRFRQIIINLMGNSIKFTEKGHILVTVHLVEEVIDSIEVETESSSENTLSGFPVADRRQSWKGFRTFSQEGFIHPFSHSINLIVSVEDTGEGIPLEAQSRVFTPFMQVGPSISRTHGGTGIGLSISKCLVNLMKGEIGFASIPKIGSTFTFTAIFTSGSSSSKKYKSQQINKMSNSVSSEFYGMRALVMDPRPVRAKVSKYQIRRLGIHVEVVSQWKQGLSSICRGSNAINMVIVEQEVWDKYLNNSTLFFDTLEKIDYGTPPKVFLLSNSISSSGANISTGVCNMTVIPKPLRSSMLAAALQRTMGVGNKGNPCNGQLNSLSLRNLLLGRKILIVDDNNVNLKVAAGAMKKYGADVVSATRGIEAIELLTPPHQFDACFMDIQMPEMDGFEATRRIRDMEHNINNRIHHGELSVEAYNNVSNWHVSILAMTADVIQATREECLRCGMDGYVSKPFEAEQLYREVSRFFQ >KJB15507 pep chromosome:Graimondii2_0_v6:2:47913279:47919379:-1 gene:B456_002G182200 transcript:KJB15507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLHVFGFGLKVGHLLWMLCCWVASMISVNWFINGDFKGAEAVLLGHSGSKMWLKCWDKFSNYSFNIHHQYYQYVGSKRISKTWWRKLLFSWIIGWILASISIFYYMSFQATEKRMETLTSMCDERARMLQDQFNVSMNHIQAISILISTFHHGKTPSAIDQRTFARYTERTAFERPLTSGVAYAVRVLHSEREQFEKQQGWTIKRMDTLEQNPVHKDDYNPDLLEPSPIQEEYAPVVFAQDIVSHVVSIDMLSGQEDSKNVLCARKSGKGVLTAPFRLLKTNRLGVILTFAVYKRDLPLNATPTERIQATDGYLGGVFDIESLVEKLLQQLASKQTILVNVLDTTNQSYPISMYGLNASNDGLEHVSLLNFGDPFRKHEMRCRFKQKPPWPWLGITTSIGILVIALLVGHIFHATVNRIAKVEDDCHKMMELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDVTQLDYVRTAQASGKALVALINEVLDQAKIESGKLELEEVQFDLRAILDDVLSLFSGKSQDKGVELAVYISDQVPQMLIGDPGRFRQIIINLMGNSIKFTEKGHILVTVHLVEEVIDSIEVETESSSENTLSGFPVADRRQSWKGFRTFSQEGFIHPFSHSINLIVSVEDTGEGIPLEAQSRVFTPFMQVGPSISRTHGGTGIGLSISKCLVNLMKGEIGFASIPKIGSTFTFTAIFTSGSSSSKKYKSQQINKMSNSVSSEFYGMRALVMDPRPVRAKVSKYQIRRLGIHVEVVSQWKQGLSSICRGSNAINMVIVEQEVWDKYLNNSTLFFDTLEKIDYGTPPKVFLLSNSISSSGANISTGVCNMTVIPKPLRSSMLAAALQRTMGVGNKGNPCNGQLNSLSLRNLLLGRKILIVDDNNVNLKVAAGAMKKYGADVVSATRGIEAIELLTPPHQFDACFMDIQMPEMDGFEATRRIRDMEHNINNRIHHGELSVEAYNNVSNWHVSILAMTADVIQATREECLRCGMDGYVSKPFEAEQLYREVSRFFQ >KJB15508 pep chromosome:Graimondii2_0_v6:2:47913393:47918932:-1 gene:B456_002G182200 transcript:KJB15508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPPRLLIRTFARYTERTAFERPLTSGVAYAVRVLHSEREQFEKQQGWTIKRMDTLEQNPVHKDDYNPDLLEPSPIQEEYAPVVFAQDIVSHVVSIDMLSGQEDSKNVLCARKSGKGVLTAPFRLLKTNRLGVILTFAVYKRDLPLNATPTERIQATDGYLGGVFDIESLVEKLLQQLASKQTILVNVLDTTNQSYPISMYGLNASNDGLEHVSLLNFGDPFRKHEMRCRFKQKPPWPWLGITTSIGILVIALLVGHIFHATVNRIAKVEDDCHKMMELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDVTQLDYVRTAQASGKALVALINEVLDQAKIESGKLELEEVQFDLRAILDDVLSLFSGKSQDKGVELAVYISDQVPQMLIGDPGRFRQIIINLMGNSIKFTEKGHILVTVHLVEEVIDSIEVETESSSENTLSGFPVADRRQSWKGFRTFSQEGFIHPFSHSINLIVSVEDTGEGIPLEAQSRVFTPFMQVGPSISRTHGGTGIGLSISKCLVNLMKGEIGFASIPKIGSTFTFTAIFTSGSSSSKKYKSQQINKMSNSVSSEFYGMRALVMDPRPVRAKVSKYQIRRLGIHVEVVSQWKQGLSSICRGSNAINMVIVEQEVWDKYLNNSTLFFDTLEKIDYGTPPKVFLLSNSISSSGANISTGVCNMTVIPKPLRSSMLAAALQRTMGVGNKGNPCNGQLNSLSLRNLLLGRKILIVDDNNVNLKVAAGAMKKYGADVVSATRGIEAIELLTPPHQFDACFMDIQMPEMDGFEATRRIRDMEHNINNRIHHGELSVEAYNNVSNWHVSILAMTADVIQATREECLRCGMDGYVSKPFEAEQLYREVSRFFQ >KJB12051 pep chromosome:Graimondii2_0_v6:2:44605805:44607095:-1 gene:B456_002G174500 transcript:KJB12051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSGSPPKQGKEAATTGIPLSSSNQYFNESCETNTRLQTKTRVPWSSGLCDCFSDWRNCCITCWCPCVTFGQIAEIVDKGSSSCGVNGALYTLIACVTGCACCYSCFYRSKMGQQYMLKKHPCGDCLVHCCCEYCALCQEYRELKTRGYDLSIGWHGNMEKRSREVAMTPIPPVVEDGMSR >KJB13228 pep chromosome:Graimondii2_0_v6:2:7435909:7436499:-1 gene:B456_002G063600 transcript:KJB13228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVGSSSRLVVMLSFIIIVLMLVTNTEARPYGHGPHGNPTMAEKIDGMTMLEKLGYDLSKIDYYRRMLSSSPERISPGGPDPQHHY >KJB16805 pep chromosome:Graimondii2_0_v6:2:61276784:61280237:1 gene:B456_002G248600 transcript:KJB16805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEGDHVLKNGEGTSSCNSNNTLNPPLQRFADSTEIIEETPHDQHRRQDDLVLEIPNRSVEDVREEFVRIDMTLTPTATPRRVNFSPMPSPIFNRFDESPIYSSPKSKPSLKGLLPKLSFKYRNTNLEIEKAAILALGGSSADIQGKPRIPRTFSLSKIFTPRAKNTSSLPGTPIAHSNPESTHGGQKGGITGPPIHRSHSVPTLNKDGSITQLDSFGGVFRVIPTTPRVVKGTVVTTSNIVIKSDMDGNDDDGEDIPEEEAVCRICLIELGEGAETLKMECSCKGELALAHQECAIKWFSIKGNKMCDVCKQEVQNLPVTLLRIQNAQAHNMRGPGVRIESARYRVWQDVPILVIVSMLAYFCFLEQLLVSKMKSGAIAISLPFSCILGLLASMTSTTMVRRKYVWLYATIQFALVVLSAHLFYSLAVLSVLLSAFVGFGSTMCGTSIIYEVSGAWERRHIESNQPLSSQEVPRPNQAPVMAPLQTQTDTNAHGNEPERRALESGNAN >KJB16802 pep chromosome:Graimondii2_0_v6:2:61276738:61280246:1 gene:B456_002G248600 transcript:KJB16802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEGDHVLKNGEGTSSCNSNNTLNPPLQRFADSTEIIEETPHDQHRRQDDLVLEIPNRSVEDVREEFVRIDMTLTPTATPRRVNFSPMPSPIFNRFDESPIYSSPKSKPSLKGLLPKLSFKYRNTNLEIEKAAILALGGSSADIQGKPRIPRTFSLSKIFTPRAKNTSSLPGTPIAHSNPESTHGGQKGGITGPPIHRSHSVPTLNKDGSITQLDSFGGVFRVIPTTPRVVKGTVVTTSNIVIKSDMDGNDDDGEDIPEEEAVCRICLIELGEGAETLKMECSCKGELALAHQECAIKWFSIKGNKMCDVCKQEVQNLPVTLLRIQNAQAHNMRGPGVRIESARYRVWQDVPILVIVSMLAYFCFLEQLLVSKMKSGAIAISLPFSCILGLLASMTSTTMVRRKYVWLYATIQFALVVLSAHLFYSLLHVQAVLSVLLSAFVGFGSTMCGTSIIYEVSGAWERRHIESNQPLSSQEVPRPNQAPVMAPLQTQTDTNAHGNEPERRALESGNAN >KJB16803 pep chromosome:Graimondii2_0_v6:2:61276784:61279640:1 gene:B456_002G248600 transcript:KJB16803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEGDHVLKNGEGTSSCNSNNTLNPPLQRFADSTEIIEETPHDQHRRQDDLVLEIPNRSVEDVREEFVRIDMTLTPTATPRRVNFSPMPSPIFNRFDESPIYSSPKSKPSLKGLLPKLSFKYRNTNLEIEKAAILALGGSSADIQGKPRIPRTFSLSKIFTPRAKNTSSLPGTPIAHSNPESTHGGQKGGITGPPIHRSHSVPTLNKDGSITQLDSFGGVFRVIPTTPRVVKGTVVTTSNIVIKSDMDGNDDDGEDIPEEEAVCRICLIELGEGAETLKMECSCKGELALAHQECAIKWFSIKGNKMCDVCKQEVQNLPVTLLRIQNAQAHNMRGPGVRIESARYRQVFRFEIIFNDCMFLT >KJB16806 pep chromosome:Graimondii2_0_v6:2:61277538:61280237:1 gene:B456_002G248600 transcript:KJB16806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTPTATPRRVNFSPMPSPIFNRFDESPIYSSPKSKPSLKGLLPKLSFKYRNTNLEIEKAAILALGGSSADIQGKPRIPRTFSLSKIFTPRAKNTSSLPGTPIAHSNPESTHGGQKGGITGPPIHRSHSVPTLNKDGSITQLDSFGGVFRVIPTTPRVVKGTVVTTSNIVIKSDMDGNDDDGEDIPEEEAVCRICLIELGEGAETLKMECSCKGELALAHQECAIKWFSIKGNKMCDVCKQEVQNLPVTLLRIQNAQAHNMRGPGVRIESARYRVWQDVPILVIVSMLAYFCFLEQLLVSKMKSGAIAISLPFSCILGLLASMTSTTMVRRKYVWLYATIQFALVVLSAHLFYSLLHVQAVLSVLLSAFVGFGSTMCGTSIIYEVSGAWERRHIESNQPLSSQEVPRPNQAPVMAPLQTQTDTNAHGNEPERRALESGNAN >KJB16804 pep chromosome:Graimondii2_0_v6:2:61276954:61279698:1 gene:B456_002G248600 transcript:KJB16804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEGDHVLKNGEGTSSCNSNNTLNPPLQRFADSTEIIEETPHDQHRRQDDLVLEIPNRSVEDVREEFVRIDMTLTPTATPRRVNFSPMPSPIFNRFDESPIYSSPKSKPSLKGLLPKLSFKYRNTNLEIEKAAILALGGSSADIQGKPRIPRTFSLSKIFTPRAKNTSSLPGTPIAHSNPESTHGGQKGGITGPPIHRSHSVPTLNKDGSITQLDSFGGVFRVIPTTPRVVKGTVVTTSNIVIKSDMDGNDDDGEDIPEEEAVCRICLIELGEGAETLKMECSCKGELALAHQECAIKWFSIKGNKMCDVCKQEVQNLPVTLLRIQNAQAHNMRGPGVRIESARYRVWQDVPILVIVSMLAYFCFLEQLLVSKMKSGAIAISLPFSCILGLLASMTSTTMVRRKYVWLYATIQFALVVLSAHLFYSLVKLRI >KJB16801 pep chromosome:Graimondii2_0_v6:2:61276631:61280307:1 gene:B456_002G248600 transcript:KJB16801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEGDHVLKNGEGTSSCNSNNTLNPPLQRFADSTEIIEETPHDQHRRQDDLVLEIPNRSVEDVREEFVRIDMTLTPTATPRRVNFSPMPSPIFNRFDESPIYSSPKSKPSLKGLLPKLSFKYRNTNLEIEKAAILALGGSSADIQGKPRIPRTFSLSKIFTPRAKNTSSLPGTPIAHSNPESTHGGQKGGITGPPIHRSHSVPTLNKDGSITQLDSFGGVFRVIPTTPRVVKGTVVTTSNIVIKSDMDGNDDDGEDIPEEEAVCRICLIELGEGAETLKMECSCKGELALAHQECAIKWFSIKGNKMCDVCKQEVQNLPVTLLRIQNAQAHNMRGPGVRIESARYRVWQDVPILVIVSMLAYFCFLEQLLVSKMKSGAIAISLPFSCILGLLASMTSTTMVRRKYVWLYATIQFALVVLSAHLFYSLLHVQAVLSVLLSAFVGFGSTMCGTSIIYEVSGAWERRHIESNQPLSSQEVPRPNQAPVMAPLQTQTDTNAHGNEPERRALESGNAN >KJB14502 pep chromosome:Graimondii2_0_v6:2:19779651:19783751:1 gene:B456_002G128300 transcript:KJB14502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRERERDRELLIPVSTISENGVAKSSTPTATPATPSSHGHEAFLKVIRSWAGKKFMTGCVILFPLAITFCITWGFIHFIDGFFSPIYDQLGINVFGLGFATSITFIFLIGIFMSSWLGASVLTLGEWFIKKMPLVSYIYSASKQISYIFITSTVVLQKGIGEEELCCVYVPTNHLYLGDLFLISSKDILSSNLSIREGISI >KJB12361 pep chromosome:Graimondii2_0_v6:2:943474:946633:1 gene:B456_002G014600 transcript:KJB12361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVAGTPWMRIRVIPEFAPPFIFRRHFRRNFSVRASIDSDAPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTRESEEAVLRDLAWLGLDWDEGPGVGGDYGPYRQSERNAMYKQYAEKLLESGHVYRCFCSNEELEKMKEIAELKKLPPVYSGKWATAADGEVEEELAKGTPYTYRFRVPKEGSLKINDLIRGEVSWKLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQYRDMGYLPQAMVNYLALLGWGDGTENEFFTIDQLVEKFSIGRVNKSGAVFDSTKLRWMNGQHLRAIPFEELSQLIGERWKSTGLLTESEGPFVEV >KJB12358 pep chromosome:Graimondii2_0_v6:2:943414:948591:1 gene:B456_002G014600 transcript:KJB12358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVAGTPWMRIRVIPEFAPPFIFRRHFRRNFSVRASIDSDAPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTRESEEAVLRDLAWLGLDWDEGPGVGGDYGPYRQSERNAMYKQYAEKLLESGHVYRCFCSNEELEKMKEIAELKKLPPVYSGKWATAADGEVEEELAKGTPYTYRFRVPKEGSLKINDLIRGEVSWKLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQYRDMGYLPQAMVNYLALLGWGDGTENEFFTIDQLVEKFSIGRVNKSGAVFDSTKLRWMNGQHLRAIPFEELSQLIGERWKSTGLLTESEGPFVEDAVQLLKDGIDLVTDSDTALSSLLSYPVHATLTSPEGRPIVEDKLSEVSAHLLAAYDSGELFGALEEGLAGWQKWVKGFGKTLKRKGKSLFMPLRVLLTGKLHGPDMGSSVILIYKAGNHGIVSPQAGFVTLKERFGILRQLDWEALNQDHPALESAVHLKVFFWQYNNCLSFFVLLKSLMRCSLV >KJB12357 pep chromosome:Graimondii2_0_v6:2:943433:946384:1 gene:B456_002G014600 transcript:KJB12357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVAGTPWMRIRVIPEFAPPFIFRRHFRRNFSVRASIDSDAPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTRESEEAVLRDLAWLGLDWDEGPGVGGDYGPYRQSERNAMYKQYAEKLLESGHVYRCFCSNEELEKMKEIAELKKLPPVYSGKWATAADGEVEEELAKGTPYTYRFRVPKEGSLKINDLIRGEVSWKLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQYRDMGYLPQAMVNYLALLGWGDGTENEFFTIDQLVEKFSIGRVNKSGAVFDSTKLR >KJB12359 pep chromosome:Graimondii2_0_v6:2:943433:948529:1 gene:B456_002G014600 transcript:KJB12359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVAGTPWMRIRVIPEFAPPFIFRRHFRRNFSVRASIDSDAPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTRESEEAVLRDLAWLGLDWDEGPGVGGDYGPYRQSERNAMYKQYAEKLLESGHVYRCFCSNEELEKMKEIAELKKLPPVYSGKWATAADGEVEEELAKGTPYTYRFRVPKEGSLKINDLIRGEVSWKLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQYRDMGYLPQAMVNYLALLGWGDGTENEFFTIDQLVEKFSIGRVNKSGAVFDSTKLRWMNGQHLRAIPFEELSQLIGERWKSTGLLTESEGPFVEDAVQLLKDGIDLVTDSDTALSSLLSYPVHATLTSFCLCSPEGRPIVEDKLSEVSAHLLAAYDSGELFGALEEGLAGWQKWVKGFGKTLKRKGKSLFMPLRVLLTGKLHGPDMGSSVILIYKAGNHGIVSPQAGFVTLKERFGILRQLDWEALNQDHPALESAATISN >KJB12360 pep chromosome:Graimondii2_0_v6:2:943433:948529:1 gene:B456_002G014600 transcript:KJB12360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVAGTPWMRIRVIPEFAPPFIFRRHFRRNFSVRASIDSDAPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTRESEEAVLRDLAWLGLDWDEGPGVGGDYGPYRQSERNAMYKQYAEKLLESGHVYRCFCSNEELEKMKEIAELKKLPPVYSGKWATAADGEVEEELAKGTPYTYRFRVPKEGSLKINDLIRGEVSWKLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQYRDMGYLPQAMVNYLALLGWGDGTENEFFTIDQLVEKFSIGRVNKSGAVFDSTKLRWMNGQHLRAIPFEELSQLIGERWKSTGLLTESEGPFVEDAVQLLKDGIDLVTDSDTALSSLLSYPVHATLTSPEGRPIVEDKLSEVSAHLLAAYDSGELFGALEEGLAGWQKWVKGFGKTLKRKAVTLMAGEVIVHAPEGFINRKTPWP >KJB12356 pep chromosome:Graimondii2_0_v6:2:943433:948529:1 gene:B456_002G014600 transcript:KJB12356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVAGTPWMRIRVIPEFAPPFIFRRHFRRNFSVRASIDSDAPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTRESEEAVLRDLAWLGLDWDEGPGVGGDYGPYRQSERNAMYKQYAEKLLESGHVYRCFCSNEELEKMKEIAELKKLPPVYSGKWATAADGEVEEELAKGTPYTYRFRVPKEGSLKINDLIRGEVSWKLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQYRDMGYLPQAMVNYLALLGWGDGTENEFFTIDQLVEKFSIGRVNKSGAVFDSTKLRWMNGQHLRAIPFEELSQLIGERWKSTGLLTESEGPFVEDAVQLLKDGIDLVTDSDTALSSLLSYPVHATLTSPEGRPIVEDKLSEVSAHLLAAYDSGELFGALEEGLAGWQKWVKGFGKTLKRKGKSLFMPLRVLLTGKLHGPDMGSSVILIYKAGNHGIVSPQAGFVTLKERFGILRQLDWEALNQDHPALESAATISN >KJB12584 pep chromosome:Graimondii2_0_v6:2:1821909:1822628:-1 gene:B456_002G025200 transcript:KJB12584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLEKRGNLFILTFTGEDQHRFNPNVIGSLLSLLSQVKAEATRGSALITQAQGKFFSNGFDLDWAQAAGSEQGAAERLHHMVDLFKPVIAALLSLPMPTVAAITGHAAAVGFVLALSHDYLIMRKDRGVLYMSEVDIALTIPDYFNALFREKIGDASVRRDFLLRGLKMKGDEAEKRGVVEAAYGGEAEVKQASVHLAENLGKRKWHGEVYAEIRKGLYPQLSAILGLTHKAYTIARL >KJB14690 pep chromosome:Graimondii2_0_v6:2:23364736:23371052:1 gene:B456_002G138000 transcript:KJB14690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSIFYNIPSPSSSSLSSFSCSSSCFLSIASSSIPFSNPKKPIQLSLSHTSASLSDMDVISSPGLYPLHRCKTLHLVRHAQGVHNVEGEKDHAAYLSESLFDAHLTPLGWQQVDHLGKHIHETGLSKKIELVIVSPLLRTMQTAVGVFGSEGYKDGIDVPPLMVENAGESNRPAISSLNCPPFVAVELCREHLGVHPCDRRKSISEYRPVFPAIDFSLIASDEDNLWEANSREKNEEVAVRGIKFLNWLWTRKEREIAIVTHSGFLYHTLSVFGNDCHPSVKSEICTHFANCELRSVVIVDRSMMGSDPATTNYPGKIPSGLDLPSDVADEKLSNDGEGK >KJB14691 pep chromosome:Graimondii2_0_v6:2:23364913:23369847:1 gene:B456_002G138000 transcript:KJB14691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSIFYNIPSPSSSSLSSFSCSSSCFLSIASSSIPFSNPKKPIQLSLSHTSASLSDMDVISSPGLYPLHRCKTLHLVRHAQGVHNVEGEKDHAAYLSESLFDAHLTPLGWQQVDHLGKHIHETGLSKKIELVIVSPLLRTMQTAVGVFGSEGYKDGIDVPPLMVENAGESNRPAISSLNCPPFVAVELCREHLGVHPCDRRKSISEYRPVFPAIDFSLIASDEDNLWEANSREKNEEVAVRGIKFLNWLWTRKEREIAIVTHSGFLYHTLSVFGNDCHPSVKSEICTQILCLYIMDRISPGPNSIVLWADFLC >KJB12764 pep chromosome:Graimondii2_0_v6:2:2779507:2784693:1 gene:B456_002G035600 transcript:KJB12764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVISDSVLLASEILLLSCFYLQSGTASDTITPSKSIKDPEIIISQSGVFRLGFFSFANSSNRYVGILYHQIPVQTVVWVANRNKPLKDSSGILNISDDGNLVVSNGKAEILWSSNITNLVPNATTAQLLDSGNLVLSNGEDGASSLWESFEDPSNAFLETMKIRTDVKKGRKVELKSWKSIDDPSDGSFSFGFEPFNIPELVIRNNNKLYFRSGPWNGNTFIGVIMKTVYIDGFHVVADYQQQTYYFTYEYSDNYRLKYYELDSQGKLFERTWDGGKGEWINRYSTTLTESCVYGQCGPFGICDRTKQPICSCLKGFKPRNIEEWSRGNWSGGCFRTTPLQCQRDKNNGSEAGQGDDDGFLKLQTMKVPAFPDRSSINNGECKDQCMKNCSCVAYAYDAGIGCMFWSGDLIDMQKFSTQRVNLYIRLPSSELDKGKSTKVIVITAVIVGIVTITIIMLFLWCWMAKRRGRKQKHKQIKLQLNKGNAMTKFSSENVGEYSIGVKLQQLRLFNFEELAIATSNFDHAKKLGQGGFGPVYRGILSDEKEIAVKRLSKASGQGLEEFMNEVEVISKIQHRNLVKLFGCCAEAEEKMLVYEYMPNKSLDTFVFDPIKKTVLDWRKRFNIIEGISRGLLYLHRDSRLKIIHRDLKAGNVLLDQELNPKIADFGMARIFGGDENQANTKRVVGT >KJB12763 pep chromosome:Graimondii2_0_v6:2:2779507:2784693:1 gene:B456_002G035600 transcript:KJB12763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVISDSVLLASEILLLSCFYLQSGTASDTITPSKSIKDPEIIISQSGVFRLGFFSFANSSNRYVGILYHQIPVQTVVWVANRNKPLKDSSGILNISDDGNLVVSNGKAEILWSSNITNLVPNATTAQLLDSGNLVLSNGEDGASSLWESFEDPSNAFLETMKIRTDVKKGRKVELKSWKSIDDPSDGSFSFGFEPFNIPELVIRNNNKLYFRSGPWNGNTFIGVIMKTVYIDGFHVVADYQQQTYYFTYEYSDNYRLKYYELDSQGKLFERTWDGGKGEWINRYSTTLTESCVYGQCGPFGICDRTKQPICSCLKGFKPRNIEEWSRGNWSGGCFRTTPLQCQRDKNNGSEAGQGDDDGFLKLQTMKVPAFPDRSSINNGECKDQCMKNCSCVAYAYDAGIGCMFWSGDLIDMQKFSTQRVNLYIRLPSSELDKGKSTKVIVITAVIVGIVTITIIMLFLWCWMAKRRGRKQKHKQIKLQLNKGNAMTKFSSENVGEYSIGVKLQQLRLFNFEELAIATSNFDHAKKLGQGGFGPVYRGILSDEKEIAVKRLSKASGQGLEEFMNEVEVISKIQHRNLVKLFGCCAEAEEKMLVYEYMPNKSLDTFVFDPIKKTVLDWRKRFNIIEGISRGLLYLHRDSRLKIIHRDLKAGNVLLDQELNPKIADFGMARIFGGDENQANTKRVVGTYGYMSPEYAIQGRFSEKSDVFSFGVLLLEIVSGRKNTTLFNNQDYFSLLGYVWKLWNDGNIWSLVDKVVLEPKSNLKNEKEIRRCIHIGLLCVQEYANDRPTMSTVVSMLNSEISNFTTPKQPAFTQTPLITHDDQNRVSVNDVTLTDFDGR >KJB14048 pep chromosome:Graimondii2_0_v6:2:14897806:14899883:1 gene:B456_002G108800 transcript:KJB14048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYKNAISNLENLEKLGACLLHDVDATKMKHHTDLANRKFDRIIFNFPHAGFHGKEDNPHMIRMHRNLVQGFFRTARGMLRANGEIHVNHKTNTPFSLWNLKKLASGCSLALIQRVDFNVEDYPGYHNNTFKFGFCPRAKKASKATKRWGSMCNKSQHFQTFPMPMQLHSTSDFNYHRRNHTVNRIPLRVKLHPIIPNQNQYSGDFDRNFYDLVRTCQANSLRSDFDARYDDLGSLRHGLDRQLVEVEVPRTLHGNLYYMHEHENSLDRQMIKMPRALNGNSYYMH >KJB14047 pep chromosome:Graimondii2_0_v6:2:14897082:14899883:1 gene:B456_002G108800 transcript:KJB14047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRSSPSIPPVIPYPRGTTGLQTRPFHQALPILFELDSQDFSRLRPFSSRFELELSSSELATDILMKKYKNAISNLENLEKLGACLLHDVDATKMKHHTDLANRKFDRIIFNFPHAGFHGKEDNPHMIRMHRNLVQGFFRTARGMLRANGEIHVNHKTNTPFSLWNLKKLASGCSLALIQRVDFNVEDYPGYHNNTFKFGFCPRAKKASKATKRWGSMCNKSQHFQTFPMPMQLHSTSDFNYHRRNHTVNRIPLRVKLHPIIPNQNQYSGDFDRNFYDLVRTCQANSLRSDFDARYDDLGSLRHGLDRQLVEVEVPRTLHGNLYYMHEHENSLDRQMIKMPRALNGNSYYMH >KJB15400 pep chromosome:Graimondii2_0_v6:2:45061597:45065984:-1 gene:B456_002G176300 transcript:KJB15400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSKDFFLNLIKPFKFISSKDRRSRDDLEKIAQQEQKHFPFEILVAATKDFHPHQKLGEGGFGPVYRGKLDDGREVAVKKLSHSSRQGKKEFENEARLLARVQHRNVVNLLGYCVHGAEKLLVYEYVTNESLDKLLFKSNRKMELDWKRRYEIISGVARGLLYLHEDSHNRIIHRDIKAGNILLDDKWVPKIADFGMARLFPEDQTHVNTRVAGTNGYMAPEYVMNGRLSVKADVFSFGVVVLELISGQRNSSFNLDVDAHSLLEWAYKLYKKGKSLEFMDPVLASSAVPEQVAMCIQIGLLCTQGDPQLRPDMRRVVILLSKRPGSLEEPTRPGAPGARHRRSHRPPGFSSTAGTSGVSDSHSSESSFNTYTASASASTSALASPLKSDRHGKRPIQS >KJB15399 pep chromosome:Graimondii2_0_v6:2:45061597:45066024:-1 gene:B456_002G176300 transcript:KJB15399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSKDFFLNLIKPFKFISSKDRRSRDDLEKIAQQEQKHFPFEILVAATKDFHPHQKLGEGGFGPVYRGKLDDGREVAVKKLSHSSRQGKKEFENEARLLARVQHRNVVNLLGYCVHGAEKLLVYEYVTNESLDKLLFKSNRKMELDWKRRYEIISGVARGLLYLHEDSHNRIIHRDIKAGNILLDDKWVPKIADFGMARLFPEDQTHVNTRVAGTNGYMAPEYVMNGRLSVKADVFSFGVVVLELISGQRNSSFNLDVDAHSLLEWAYKLYKKGKSLEFMDPVLASSAVPEQVAMCIQIGLLCTQGDPQLRPDMRRVVILLSKRPGSLEEPTRPGAPGARHRRSHRPPGFSSTAGTSGVSDSHSSESSFNTYTASASASTSALASPLKSDRHGKRPIQS >KJB14483 pep chromosome:Graimondii2_0_v6:2:19558917:19559637:1 gene:B456_002G127400 transcript:KJB14483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIALVIVLISMIVLVSIHSVVGDTAATSPTASTESNAAKAEAPGHEGHDNSNESWTDWAKDKISGIGGMFASSPSSEPASEPAPTPASSSAETPEVKV >KJB15714 pep chromosome:Graimondii2_0_v6:2:51954271:51954543:1 gene:B456_002G192100 transcript:KJB15714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTWSFRYEDYNNRRAFLRSYPLHWGEDEDNQETVAVTKENKTAKKPTKKIILSACHWSGEKVVTLRRFKHRLTAYIMACISIHFSHLLP >KJB12348 pep chromosome:Graimondii2_0_v6:2:920517:921072:-1 gene:B456_002G014100 transcript:KJB12348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAFIIIFIVFIIFSSFSRLFKENLGLCCFLNIIAVHYAILRFLPPTDSVATSTSDSGETAISSAKPECGPSTDSVATSTSDSGEAAISSAKSEVGPSTLWESSPAELLKFSTSLAAPELKAASSASGDAASSKAFSSCPTTNWIESTKANENTTKGTFTMFYVF >KJB14467 pep chromosome:Graimondii2_0_v6:2:19149768:19151215:1 gene:B456_002G126200 transcript:KJB14467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGQVIACHTVDSWNQQLEMGNQSKKLVVVDFTASWCGPCRFISPILVDLAKKLPNVIFLKVDVDELNVGLWLRSGLLRRCQLLCF >KJB14466 pep chromosome:Graimondii2_0_v6:2:19149676:19151215:1 gene:B456_002G126200 transcript:KJB14466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGQVIACHTVDSWNQQLEMGNQSKKLVVVDFTASWCGPCRFISPILVDLAKKLPNVIFLKVDVDELNTVAQEWAIEAMPTFVFLKEGTIIDKVVGARKEELQQKIAFHSSNPVQTA >KJB17036 pep chromosome:Graimondii2_0_v6:2:62232872:62233797:1 gene:B456_002G261800 transcript:KJB17036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRTTIKQVISSPDDDESPKTLLKHGGSGKRRGKRRRVVVVAMFTLRLVKRSFSPARLLRRLGDRVARALRLVSIRRKSLRKVSSSNLPRSRSLAESIDSHRAEAIEDCIEFLNSSSSLSRSNSVSTCSC >KJB14938 pep chromosome:Graimondii2_0_v6:2:29714694:29716044:-1 gene:B456_002G151200 transcript:KJB14938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLAVKQACTFAKEHALKNGPIVSLFIRRAAHIKNGTSFHSLCTQQLNRSNFADVVHAISMKSVFSIYYNPRYVAAPIRCFIANIMGILLEIL >KJB12909 pep chromosome:Graimondii2_0_v6:2:3662043:3664001:-1 gene:B456_002G044400 transcript:KJB12909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDLGIAFYITICCIFFIISKTILMILLYRRWKRKNTIYEHDFSGGKMVMFRSPLLQSLSSDVFLENTLKLSNKDIIGAGGYGIVYKLMINETMVFAVKRLNRGTTDRDKGFERELEAMADIKHRNIVTLHGYYTHPHYNLLIYELMPNGSLDSFLHGKSMDDKVLDWPTRSKIALGAARGIAYLHHDCIPHIIHRDIKSSNILLDQNMEARVSDFGLATLMEPDKTHVSTFVAGTFGYLAPEYFDTGRATAKGDVYSFGVVLLELLTGKKPMDEAFLEEGTKLVTWVKVVVEERKQEYVVDSNLGCCYPVDEIKTTFDIALMCLQTDPSKRPTMAEVVKMLEQINVRENCN >KJB13146 pep chromosome:Graimondii2_0_v6:2:6702632:6703477:-1 gene:B456_002G059300 transcript:KJB13146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKLQKSLQDYLSKIKKPSPNTHFLLNSFSSNKWIRKGCKNPQTLSFAVHQDGEAATLSDVDRFLFENFKSLYINDDDDDEEIKDDDGDGEVKSPGGICFESPRFIDPPPYLSGSNRFFVATGFSSSLIEEARNSGVTSNTFMSMSEDAGSSSTSTSNTSSTTANESNVYGGGSDNVKSQSIYNECIAVLRCSPNPYDDFRRSMQEMVEARLKHGSKIDWDFMEELLFCYLNLNDKKSYKFVLSAFVDLVVDLRQNDGKMSRNSNVKYKRSRRTRHNNVA >KJB16058 pep chromosome:Graimondii2_0_v6:2:59125131:59126680:1 gene:B456_002G231800 transcript:KJB16058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGHGLRSRTRDLFARPFRKKGYIPLSTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVGNRIIRKRIHVRVEHVQPSRCAEEFKLRKVKNDQLKAEAKAKGEVISTKRQPEGPRPGFMVDGATLETVTPIPYDVVNDLKGGY >KJB13300 pep chromosome:Graimondii2_0_v6:2:8011115:8017935:1 gene:B456_002G069100 transcript:KJB13300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHCPSNTRAVRRIAVHRHIHVQRIKSSIPLRSILFFWHPFDLNLEPCLSDEDDFCFLVSFVCVMLKWKRSWKYMFGKFKKLRVLSFEEGGEGYSGFKLSSAIGNLIQLRCLNLCGIAFNSPNIPSSLGNLRCLQTLDLRIHADDGAVNVPNIIWKLEQLRHYLPLTMTDKTKLKLHKLTNILTLINFNTRNCFVADLSKFTKLIKLGILGPFSIDDFKEELDKNLPIIASDCLRSLSIWNDEGIDPKVLAHLLSSCVNLCELILRVKIEKLPDFHHFSSSTAYVHLIGCMLVEDPMPTLEKLPNLRVMELYVYAFIGKEMVCSALRLPKLESLNLSGLRNLEEWKVEEGAVPALRHLKISGCEELRNLKIKWCKEEKISTKSNTFLPSYFMLSTMCEIYHFFLIKNL >KJB16083 pep chromosome:Graimondii2_0_v6:2:55960072:55960578:1 gene:B456_002G212200 transcript:KJB16083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRVKLGPEKPSSLKSDESSSDSEPHEHGKNEKDHPERSNKRHSRKHKSEEKARNRKKKRKDEKRSKHDK >KJB13702 pep chromosome:Graimondii2_0_v6:2:11338227:11342233:1 gene:B456_002G089700 transcript:KJB13702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKSKGLESNTKSFVTKKWTLLLCIGCFCAGMLFSDRMWTVPEAEDKVVSLETGIKDERLKLVTEGCDPIQKDVKRGSKNIVGEVSKTPNAIQTLDKTISNLEMELATARAMQESIINGSPISDDLKIPESSGKRKYLMVVGINTAFSSRKRRDSVRATWMPQGEERKKLEEEKGVVMRFVIGHSATSGGILDRAIEAEDRKHGDILRLEHVEGYLELSAKTKTYFATAAALWDADFYVKVDDDVHVNIATLGATLARHRSKPRVYIGCMKSGPVLAQKGVRYHEPEYWKFGEEGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGSWFIGLDVDHIDDRRLCCGTTDCEWKAQAGNICVASFDWTCSGICRSVDRMKDVHRRCGEGKNALRTATF >KJB13703 pep chromosome:Graimondii2_0_v6:2:11338308:11342167:1 gene:B456_002G089700 transcript:KJB13703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKSKGLESNTKSFVTKKWTLLLCIGCFCAGMLFSDRMWTVPEAEDKVVSLETGIKDERLKLVTEGCDPIQKDVKRGSKNIVGEVSKTPNAIQTLDKTISNLEMELATARAMQESIINGSPISDDLKIPESSGKRKYLMVVGINTAFSSRKRRDSVRATWMPQGEERKKLEEEKGVVMRFVIGHSATSGGILDRAIEAEDRKHGDILRLEHVEGYLELSAKTKTYFATAAALWDADFYVKVDDDVHVNIATLGATLARHRSKPRVYIGCMKSGPVLAQKGVRYHEPEYWKFGEEGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGSWFIGLDVDHIDDRRLCCGTTGNVIYSLFFFLFSYYHI >KJB13705 pep chromosome:Graimondii2_0_v6:2:11339278:11342167:1 gene:B456_002G089700 transcript:KJB13705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCHRMWTVPEAEDKVVSLETGIKDERLKLVTEGCDPIQKDVKRGSKNIVGEVSKTPNAIQTLDKTISNLEMELATARAMQESIINGSPISDDLKIPESSGKRKYLMVVGINTAFSSRKRRDSVRATWMPQGEERKKLEEEKGVVMRFVIGHSATSGGILDRAIEAEDRKHGDILRLEHVEGYLELSAKTKTYFATAAALWDADFYVKVDDDVHVNIATLGATLARHRSKPRVYIGCMKSGPVLAQKGVRYHEPEYWKFGEEGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGSWFIGLDVDHIDDRRLCCGTTDCEWKAQAGNICVASFDWTCSGICRSVDRMKDVHRRCGEGKNALRTATF >KJB13704 pep chromosome:Graimondii2_0_v6:2:11339116:11342211:1 gene:B456_002G089700 transcript:KJB13704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTVPEAEDKVVSLETGIKDERLKLVTEGCDPIQKDVKRGSKNIVGEVSKTPNAIQTLDKTISNLEMELATARAMQESIINGSPISDDLKIPESSGKRKYLMVVGINTAFSSRKRRDSVRATWMPQGEERKKLEEEKGVVMRFVIGHSATSGGILDRAIEAEDRKHGDILRLEHVEGYLELSAKTKTYFATAAALWDADFYVKVDDDVHVNIATLGATLARHRSKPRVYIGCMKSGPVLAQKGVRYHEPEYWKFGEEGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGSWFIGLDVDHIDDRRLCCGTTDCEWKAQAGNICVASFDWTCSGICRSVDRMKDVHRRCGEGKNALRTATF >KJB13178 pep chromosome:Graimondii2_0_v6:2:7012644:7021558:1 gene:B456_002G060400 transcript:KJB13178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGSLSPNTSLFRLQGLKRLDLSFNNFSGSIPSGFSQLVSLTYLDLSGCSFSGLVPSNISLLSKLISLNLSSNGLKFEIFQFRYLEYVDLSLNSLTGCLPSSNWSSHLKYIDLYDNQFRGSIPASIGNLTKITFLDLSNNEFQGQLPSSLFSLKQLTDLVLSNNSLEGFLPTHVRGFQDLKVLCLSNNLLTGAIPSWPFTLPSLEELDLSGNRLSGKIPPSICNLTSLDVLDMSRNYLGGVIPAYIKLEYKRSLPIFSGIDFSSNKFYGKIPDVIGELHAIHMLNLSHNNFIGHIPPSLGNLVELESLDLSSNKLSGRIPSQLTNLTFLAVLNFSDNNLVGPIPHGNQFDTFENDSYHGNLGLCGFPLTKQCDNGDEPKPPASKFKEDEVVFPQNLMGNTGLIMALMMAVLLLHFVVSFSTKTTDINTDRSTLLALKAHVSDPRNFLTTNWSVDISICNWVGVTCESRNQRVIALDLFNMSLSGTIPPDMGNLSFLTRLNIGYNNFQDLLPVQLTNLYRLKFISMSDNNFHGEISSWVGYFPELQYLSLSNNSFTGPIPSDMCDRLPTLKELYLSDNKLSGKIPIGLFKCKGNSMLRTLDLRRNQIQGVIPQQISNLTGLKVLYLSHNFLTGHIPVTLGNLRDLELLDLSENDLSGTLSSSERSFLSSLANCSGLTTLAFGSNPLISGYLPPSIANLSVSLQYFDASSCSISGSIPGEIGNWNVATYLDFSGNHFSGAISDRVCKRNNDLRYLAVNDNQLEGTLPLSLTNCSEIVFLNVADNNLSDTFPHWLGILPQLRVLILRSNRFHGSIQSYIATSSFSKLQIIDLSHNDFTGLLPTNFFQNLMAMKEAEYVDNGNWYSLVVNLTIKGLELEFTLKVRMPLLTCIDLSMNGFHGEIPKVVGELRLLQALNLSHNSLTGPIPPSFGTLAALESIDLSFNRLSGRIPSQLTNLTFLQVLRFWNNNLVGPIPHGKQFDTFENDSYRGNLGLCGFPLSKECNNDEIAEPAQDEEDNGNGNGNGNGIAFIWKVAMMGYGSGMVLGISIGYIVFTTGRPRWLVRKIERDLQNKVSSWFGKKRMSPRKDEVPQLVQEQGVCM >KJB14439 pep chromosome:Graimondii2_0_v6:2:18487898:18490937:-1 gene:B456_002G124900 transcript:KJB14439 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC6 [Source:Projected from Arabidopsis thaliana (AT5G42520) UniProtKB/TrEMBL;Acc:A0A178UIB6] MDDGGHRENGRLKADQYRTAQGQWLMHQPSMKQIMAIMAERDAAIQERNLALSEKKAAIAERDMAFLQRDAAIAERNNAIAERDNAIANLQYRENSLASGNISSCPPGFHISRGVKHMQHPQQHVHHLPHISEVPYNSREMHASDVLPVTPGTSEAAKSRQGKRAKEAKVIASNKKATKPPKKVKQENEDSDKLMSGKSHEWKGGQDVGGAGDDLNKQLVTTKSDWKGKDLGLNQVVFDDSTMAPPVCSCTGVLRQCYKWGNGGWQSSCCTTSLSMYPLPAVPNKRHARIGGRKMSGSAFNKLLTRLAAEGYDLSNPVDLKHHWAKHGTNRYITIK >KJB14437 pep chromosome:Graimondii2_0_v6:2:18488381:18489551:-1 gene:B456_002G124900 transcript:KJB14437 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC6 [Source:Projected from Arabidopsis thaliana (AT5G42520) UniProtKB/TrEMBL;Acc:A0A178UIB6] MDDGGHRENGRLKADQYRTAQGQIMAIMAERDAAIQERNLALSEKKAAIAERDMAFLQRDAAIAERNNAIAERDNAIANLQYRENSLASGNISSCPPGFHISRGVKHMQHPQQHVHHLPHISEVPYNSREMHASDVLPVTPGTSEAAKSRQGKRAKEAKVIASNKKATKPPKKVKQENEDSDKLMSGKSHEWKGGQDVGGAGDDLNKQLVTTKSDWKGKDLGLNQVVFDDSTMAPPVCSCTGVLRQCYKWGNGGWQSSCCTTSLSMYPLPAVPNKRHARIGGRKMSGSAFNKLLTRLAAEGYDLSNPVDLKHHWAKHGTNRYITIK >KJB14435 pep chromosome:Graimondii2_0_v6:2:18488381:18489551:-1 gene:B456_002G124900 transcript:KJB14435 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC6 [Source:Projected from Arabidopsis thaliana (AT5G42520) UniProtKB/TrEMBL;Acc:A0A178UIB6] MDDGGHRENGRLKADQYRTAQGQWLMHQPSMKQIMAIMAERDAAIQERNLALSEKKAAIAERDMAFLQRDAAIAERNNAIAERDNAIANLQYRENSLASGNISSCPPGFHISRGVKHMQHPQQHVHHLPHISEVPYNSREMHASDVLPVTPGTSEAAKSRQGKRAKEAKVIASNKKATKPPKKVKQENEDSDKLMSGKSHEWKGGQDVGGAGDDLNKQLVTTKSDWKGKDLGLNQVVFDDSTMAPPVCSCTGVLRQCYKWGNGGWQSSCCTTSLSMYPLPAVPNKRHARIGGRKMSGSAFNKLLTRLAAEGYDLSNPVDLKHHWAKHGTNRYITIK >KJB14434 pep chromosome:Graimondii2_0_v6:2:18487822:18491043:-1 gene:B456_002G124900 transcript:KJB14434 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC6 [Source:Projected from Arabidopsis thaliana (AT5G42520) UniProtKB/TrEMBL;Acc:A0A178UIB6] MDDGGHRENGRLKADQYRTAQGQIMAIMAERDAAIQERNLALSEKKAAIAERDMAFLQRDAAIAERNNAIAERDNAIANLQYRENSLASGNISSCPPGFHISRGVKHMQHPQQHVHHLPHISEVPYNSREMHASDVLPVTPGTSEAAKSRQGKRAKEAKVIASNKKATKPPKKVKQENEDSDKLMSGKSHEWKGGQDVGGAGDDLNKQLVTTKSDWKGKDLGLNQVVFDDSTMAPPVCSCTGVLRQCYKWGNGGWQSSCCTTSLSMYPLPAVPNKRHARIGGRKMSGSAFNKLLTRLAAEGYDLSNPVDLKHHWAKHGTNRYITIK >KJB14438 pep chromosome:Graimondii2_0_v6:2:18487822:18491043:-1 gene:B456_002G124900 transcript:KJB14438 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC6 [Source:Projected from Arabidopsis thaliana (AT5G42520) UniProtKB/TrEMBL;Acc:A0A178UIB6] MDDGGHRENGRLKADQYRTAQGQIMAIMAERDAAIQERNLALSEKKAAIAERDMAFLQRDAAIAERNNAIAERDNAIANLQYRENSLASGNISSCPPGFHISRGVKHMQHPQQHVHHLPHISEVPYNSREMHASDVLPVTPGTSEAAKSRQGKRAKEAKVIASNKKATKPPKKVKQENEDSDKLMSGKSHEWKGGQDVGGAGDDLNKQLVTTKSDWKGKDLGLNQVVFDDSTMAPPVCSCTGVLRQCYKWGNGGWQSSCCTTSLSMYPLPAVPNKRHARIGGRKMSGSAFNKLLTRLAAEGYDLSNPVDLKHHWAKHGTNRYITIK >KJB14440 pep chromosome:Graimondii2_0_v6:2:18488381:18489551:-1 gene:B456_002G124900 transcript:KJB14440 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC6 [Source:Projected from Arabidopsis thaliana (AT5G42520) UniProtKB/TrEMBL;Acc:A0A178UIB6] MDDGGHRENGRLKADQYRTAQGQWLMHQPSMKQIMAIMAERDAAIQERNLALSEKKAAIAERDMAFLQRDAAIAERNNAIAERDNAIANLQYRENSLASGNISSCPPGFHISRGVKHMQHPQQHVHHLPHISEVPYNSREMHASDVLPVTPGTSEAAKSRQGKRAKEAKVIASNKKATKPPKKVKQENEDSDKLMSGKSHEWKGGQDVGGAGDDLNKQLVTTKSDWKGKDLGLNQVVFDDSTMAPPVCSCTGVLRQCYKWGNGGWQSSCCTTSLSMYPLPAVPNKRHARIGGRKMSGSAFNKLLTRLAAEGYDLSNPVDLKHHWAKHGTNRYITIK >KJB14436 pep chromosome:Graimondii2_0_v6:2:18488381:18489551:-1 gene:B456_002G124900 transcript:KJB14436 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC6 [Source:Projected from Arabidopsis thaliana (AT5G42520) UniProtKB/TrEMBL;Acc:A0A178UIB6] MDDGGHRENGRLKADQYRTAQGQWLMHQPSMKQIMAIMAERDAAIQERNLALSEKKAAIAERDMAFLQRDAAIAERNNAIAERDNAIANLQYRENSLASGNISSCPPGFHISRGVKHMQHPQQHVHHLPHISEVPYNSREMHASDVLPVTPGTSEAAKSRQGKRAKEAKVIASNKKATKPPKKVKQENEDSDKLMSGKSHEWKGGQDVGGAGDDLNKQLVTTKSDWKGKDLGLNQVVFDDSTMAPPVCSCTGVLRQCYKWGNGGWQSSCCTTSLSMYPLPAVPNKRHARIGGRKMSGSAFNKLLTRLAAEGYDLSNPVDLKHHWAKHGTNRYITIK >KJB16543 pep chromosome:Graimondii2_0_v6:2:59555124:59562274:-1 gene:B456_002G235100 transcript:KJB16543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGSQTTAAVVATTTTTTSNSSAFSFISKGWREVRDSADADLQLMKDRANTFKNLAASFDREIENLIQSATPTFSVPAIRQSSEIDFMKKLQPKISEFRRVYSAPEISRRVMEKWGPRAKLGIDLSRIRSAIVAEVDETEEEDMYGIVEFNKFKKGRRARFTEEGQFGDWEPIRALKTRLREFEKKNASVEIFGGFKNSEFVEKVKSSLKAIIKEPQESKDVPALDVPELLAYLVRQSGPFLDQLGVRRDLCDKIVESLCSKRKNQLVLRSLAEGEPPTVDGDKINDELDLRIASVLQSTGHCYEGGFWTDFVKHDVSDGKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQKVTLLVPWLCRSDQELVYPNNLTFSSPEEQENYIRNWLEERTGFKADFKISFYPGKFSKERRSIIPAGDTSQFIPSKDADICILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQDLPKSVICNVHGVNPKFLKIGEKVAEERELGQQAFSKEAYFLGKMVWAKGYKELIDLLAKHKNDLDGFKLDVYGNGEDAHEVQSTAKRLNLNLNFLKGRDHADDSLHGYKIFINPSVSDVLCTATAEALAMGKFVVCADHPSNEFFRSFPNCLTYKSSEDFVAKVKEALANEPQPLTPEQIYNLSWEAATQRFVEYSELDRVLNNRHNPAKVGSKIIAKSSSLPNLSEIVDGGLAFAHYCLTGNELLRLCTGAIPGTRDYDKQHCKDLHLLPPQVENPIYGW >KJB13948 pep chromosome:Graimondii2_0_v6:2:13118219:13121552:-1 gene:B456_002G103000 transcript:KJB13948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALAAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQFVWESQAGGSFTVTRDTTGESIGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTIEKEISDDEDEEEKKDEEGKVEDVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKPNNIKLYVRRVFIMDNCEELIPEFLGFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRNKIAELLRYHSTKSGDEMTSLKDYVTRMKEGQDDIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKEALKEKFEGLCKVIKDVLGDKVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDNSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDAGDADADADMPPLEDADGEGSKMEEVD >KJB13949 pep chromosome:Graimondii2_0_v6:2:13118219:13121608:-1 gene:B456_002G103000 transcript:KJB13949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKTNNTLSIIDSGVGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQFVWESQAGGSFTVTRDTTGESIGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTIEKEISDDEDEEEKKDEEGKVEDVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKPNNIKLYVRRVFIMDNCEELIPEFLGFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRNKIAELLRYHSTKSGDEMTSLKDYVTRMKEGQDDIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKEALKEKFEGLCKVIKDVLGDKVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDNSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDAGDADADADMPPLEDADGEGSKMEEVD >KJB13470 pep chromosome:Graimondii2_0_v6:2:9078941:9082261:-1 gene:B456_002G076800 transcript:KJB13470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 4-1 [Source:Projected from Arabidopsis thaliana (AT5G54110) UniProtKB/Swiss-Prot;Acc:Q1ECE0] MAIVDRHQRSSERKMFSLCPFWQTSTTMNNSSSSSSTKNLNHNGSRHSETVAAKRSKTVSSIARSLLPPRRRLRLDPSNKLYFPYEPGTQARSAIRLKNTSKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVERPENNEVQVDLKSKVKFKIMSLKFDEQRDQVTIERILRVVFLDMGRPSPALDKLKRQLAEAEAAFEARKKPAPDTGPRVVGEGLVIDEWKERREKYLARQQVEAVDST >KJB13472 pep chromosome:Graimondii2_0_v6:2:9080696:9082256:-1 gene:B456_002G076800 transcript:KJB13472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 4-1 [Source:Projected from Arabidopsis thaliana (AT5G54110) UniProtKB/Swiss-Prot;Acc:Q1ECE0] MAIVDRHQRSSERKMFSLCPFWQTSTTMNNSSSSSSTKNLNHNGSRHSETVAAKRSKTVSSIARSLLPPRRRLRLDPSNKLYFPYEPGTQARSAIRLKNTSKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVERPENNEVQVDLKSKVKFKIMSLKVTAGVDYLPELVSLVMLLIPCIFDVLS >KJB13469 pep chromosome:Graimondii2_0_v6:2:9078941:9082256:-1 gene:B456_002G076800 transcript:KJB13469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 4-1 [Source:Projected from Arabidopsis thaliana (AT5G54110) UniProtKB/Swiss-Prot;Acc:Q1ECE0] MAIVDRHQRSSERKMFSLCPFWQTSTTMNNSSSSSSTKNLNHNGSRHSETVAAKRSKTVSSIARSLLPPRRRLRLDPSNKLYFPYEPGTQARSAIRLKNTSKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATERPENNEVQVDLKSKVKFKIMSLKVTAGVDYLPELFDEQRDQVTIERILRVVFLDMGRPSPALDKLKRQLAEAEAAFEARKKPAPDTGPRVVGEGLVIDEWKERREKYLARQQVEAVDST >KJB13468 pep chromosome:Graimondii2_0_v6:2:9079865:9082077:-1 gene:B456_002G076800 transcript:KJB13468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 4-1 [Source:Projected from Arabidopsis thaliana (AT5G54110) UniProtKB/Swiss-Prot;Acc:Q1ECE0] MAIVDRHQRSSERKMFSLCPFWQTSTTMNNSSSSSSTKNLNHNGSRHSETVAAKRSKTVSSIARSLLPPRRRLRLDPSNKLYFPYEPGTQARSAIRLKNTSKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVERPENNEVQVDLKSKVKFKIMSLKVTAGVDYLPELFDEQRDQVTIERILRVVFLDMGRPSPALDKLKRQLAEAEAAFEARKKPAPDTGPRVVGEGLVIDEWVIDCLYVLNHASFSFSSFLFYLY >KJB13471 pep chromosome:Graimondii2_0_v6:2:9079795:9082077:-1 gene:B456_002G076800 transcript:KJB13471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 4-1 [Source:Projected from Arabidopsis thaliana (AT5G54110) UniProtKB/Swiss-Prot;Acc:Q1ECE0] MAIVDRHQRSSERKMFSLCPFWQTSTTMNNSSSSSSTKNLNHNGSRHSETVAAKRSKTVSSIARSLLPPRRRLRLDPSNKLYFPYEPGTQARSAIRLKNTSKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVERPENNEVQVDLKSKVKFKIMSLKVTAGVDYLPELFDEQRDQVTIERILRVVFLDMGRPSPALDKLKRQLAEAEAAFEARKKPAPDTGPRVVGEGLVIDEWKERREKYLARQQVEAVDST >KJB14488 pep chromosome:Graimondii2_0_v6:2:19702650:19707518:1 gene:B456_002G127700 transcript:KJB14488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQRNALSIFLLAVILFLIQCVVAQKARLSSPIERRALLDLRASLGLRGKDWPIKSDPCSSWLGIECRNGSVSKISVSGLKRTRLGRLSPKFNVDSLVNLTRLVSFNASGFPLPGSIPDWFGNRLANLEVLDLRSCNISGSIPGTLGNLSRLTSLYLHDNNLAGLIPATLGLLRNLKFLDLSVNSLTGSIPSSFGSFGNMERLELASNYLSESIPPSLGSLRGLQVFNVSDNNLSGSIPIQFGNLSRLVKLDLSRNSFYGSLPEELKMLRSLKMMIMADNELEGRMPVDLFSSLVELQVVDLSRNKLDGALNATIWSMPKLQSLDVSSNNFTGPFPGLRSNISATTAAMFNLSNNFFYGTLNFSLGMFRFIDLSGNYFEGKVVVNNAKRYAIIDRNCLQALKQRTLEDCRLFYTDRGLSFDNFGAPDTTQPPPAESSSESRKRLIFILAGVVGGLGFIVLLVLILVLVLRHNKGIGNQRRNADVGPVPEGDSPQLPKDPTIAGAGDPFTYEQLLLATDNFSETNLIKQGHSGDLFRGILEAGIPVVIKRVSLSSFKKESYMMELDLFRKLSHPRFVLLLGHCLEHETEKLLVYKHMPNGDLANSFYRATNSDDDSLQSLDWITRLKVATGAAEGLFHLHHECNPSLVHRDVQASSILLDDKFEVRLGSLSEVRAQEGDTHQNVLKRLLRKPQTSEPGPSASGSSSTTCAYDVYCFGKVLLELITGKLGIGKAEDASTKEWLEQSLPCISIYEKELVTKIMDPSLIVDEDLLEEVWAMAIVARSCLNPKPSKRPSMKHILKALENPLKVVREESFSSARLRTTSSRRSWGSAFFGSWRQSSSESATIPGHQNREGFSGFRQAGRVGSHGSDGIEHSSSRKRLSNEVFPEPMEMQDMEMLDEN >KJB14486 pep chromosome:Graimondii2_0_v6:2:19702650:19707518:1 gene:B456_002G127700 transcript:KJB14486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQRNALSIFLLAVILFLIQCVVAQKARLSSPIERRALLDLRASLGLRGKDWPIKSDPCSSWLGIECRNGSVSKISVSGLKRTRLGRLSPKFNVDSLVNLTRLVSFNASGFPLPGSIPDWFGNRLANLEVLDLRSCNISGSIPGTLGNLSRLTSLYLHDNNLAGLIPATLGLLRNLKFLDLSVNSLTGSIPSSFGSFGNMERLELASNYLSESIPPSLGSLRGLQVFNVSDNNLSGSIPIQFGNLSRLVKLDLSRNSFYGSLPEELKMLRSLKMMIMADNELEGRMPVDLFSSLVELQVVDLSRNKLDGALNATIWSMPKLQSLDVSSNNFTGPFPGLRSNISATTAAMFNLSNNFFYGTLNFSLGMFRFIDLSGNYFEGKVVVNNAKRYAIIDRNCLQALKQRTLEDCRLFYTDRGLSFDNFGAPDTTQPPPAESSSESRKRLIFILAGVVGGLGFIVLLVLILVLVLRHNKGIGNQRRNADVGPVPEGDSPQLPKDPTIAGAGDPFTYEQLLLATDNFSETNLIKQGHSGDLFRGILEAGIPVVIKRVSLSSFKKESYMMELDLFRKLSHPRFVLLLGHCLEHETEKLLVYKHMPNGDLANSFYRATNSDDDSLQSLDWITRLKVATGAAEGLFHLHHECNPSLVHRDVQASSILLDDKFEVRLGSLSEVRAQEGDTHQNVLKRLLRKPQTSEPGPSASGSSSTTCAYDVYCFGKVLLELITGKLGIGKAEDASTKEWLEQSLPCISIYEKELVTKIMDPSLIVDEDLLEEVWAMAIVARSCLNPKPSKRPSMKHILKALENPLKVVREESFSSARLRTTSSRRSWGSAFFGSWRQSSSESATIPGHQNREGFSGFRQAGRVGSHGSDGIEHSSSRKRLSNEVFPEPMEMQDMEMLDEN >KJB14489 pep chromosome:Graimondii2_0_v6:2:19702516:19707664:1 gene:B456_002G127700 transcript:KJB14489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQRNALSIFLLAVILFLIQCVVAQKARLSSPIERRALLDLRASLGLRGKDWPIKSDPCSSWLGIECRNGSVSKISVSGLKRTRLGRLSPKFNVDSLVNLTRLVSFNASGFPLPGSIPDWFGNRLANLEVLDLRSCNISGSIPGTLGNLSRLTSLYLHDNNLAGLIPATLGLLRNLKFLDLSVNSLTGSIPSSFGSFGNMERLELASNYLSESIPPSLGSLRGLQVFNVSDNNLSGSIPIQFGNLSRLVKLDLSRNSFYGSLPEELKMLRSLKMMIMADNELEGRMPVDLFSSLVELQVVDLSRNKLDGALNATIWSMPKLQSLDVSSNNFTGPFPGLRSNISATTAAMFNLSNNFFYGTLNFSLGMFRFIDLSGNYFEGKVVVNNAKRYAIIDRNCLQALKQRTLEDCRLFYTDRGLSFDNFGAPDTTQPPPAESSSESRKRLIFILAGVVGGLGFIVLLVLILVLVLRHNKGIGNQRRNADVGPVPEGDSPQLPKDPTIAGAGDPFTYEQLLLATDNFSETNLIKQGHSGDLFRGILEAGIPVVIKRVSLSSFKKESYMMELDLFRKLSHPRFVLLLGHCLEHETEKLLVYKHMPNGDLANSFYRATNSDDDSLQSLDWITRLKVATGAAEGLFHLHHECNPSLVHRDVQASSILLDDKFEVRLGSLSEVRAQEGDTHQNVLKRLLRKPQTSEPGPSASGSSSTTCAYDVYCFGKVLLELITGKLGIGKAEDASTKEWLEQSLPCISIYEKELVTKIMDPSLIVDEDLLEEVWAMAIVARSCLNPKPSKRPSMKHILKALENPLKVVREESFSSARLRTTSSRRSWGSAFFGSWRQSSSESATIPGHQNREGFSGFRQAGRVGSHGSDGIEHSSSRKRLSNEVFPEPMEMQDMEMLDEN >KJB14487 pep chromosome:Graimondii2_0_v6:2:19703248:19705269:1 gene:B456_002G127700 transcript:KJB14487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQRNALSIFLLAVILFLIQCVVAQKARLSSPIERRALLDLRASLGLRGKDWPIKSDPCSSWLGIECRNGSVSKISVSGLKRTRLGRLSPKFNVDSLVNLTRLVSFNASGFPLPGSIPDWFGNRLANLEVLDLRSCNISGSIPGTLGNLSRLTSLYLHDNNLAGLIPATLGLLRNLKFLDLSVNSLTGSIPSSFGSFGNMERLELASNYLSESIPPSLGSLRGLQVFNVSDNNLSGSIPIQFGNLSRLVKLDLSRNSFYGSLPEELKMLRSLKMMIMADNELEGRMPVDLFSSLVELQVVDLSRNKLDGALNATIWSMPKLQSLDVSSNNFTGPFPGLRSNISATTAAMFNLSNNFFYGTLNFSLGMFRFIDLSGNYFEGKVVVNNAKRYAIIDRNCLQALKQRTLEDCRLFYTDRGLSFDNFGAPDTTQPPPAESSSESRKRLIFILAGVVGGLGFIVLLVLILVLVLRHNKGIGNQRRNADVGPVPEGDSPQLPKDPTIAGAGDPFTYEQLLLATDNFSETNLIKQGHSGDLFRGILEAGIPVVIKRVSLSSFKKESYMMELDLFRKLSHPRFVLLLGHCLEHETEKLLVYKHMPNGDLANSFYRATNSDDDSLQSLDWITRLKVATGAAEGLFHLHHECNPSLVHRYFRTCFLLLFSSILIVIVNNQCF >KJB13380 pep chromosome:Graimondii2_0_v6:2:8207844:8208539:1 gene:B456_002G071200 transcript:KJB13380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSAVFSSDFNNKPRREEHISYTLVIHGRNFSGEFKTDSFHLDGQSTRVTKDHLWIQFLPRNGIDLSSLVELECKETEVSGSSTDMLKERFEIEVAFEIWGINSMVKKCGARIVYEEDLEEMDQTIHEHSGSTSSNFDDMHSNSGSNGNNNGALVKRKWLNAKAGDQRNKTLFCRICQSYVVNHRLLS >KJB15209 pep chromosome:Graimondii2_0_v6:2:40163864:40166209:1 gene:B456_002G164900 transcript:KJB15209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKQFKGHVILLPYPSQGHINPLLQFAKRLASKGVKATLATTHYTVNSICAAHIGVEPISDGFDDGGFSQAGNVDFYLKSFKEEGSRSLSQLIQKFKDSSTSVTCVVYDSFLPWALDVAKQHGIYGASFFTNSAAVCSIFSRIHHGRLALPLTPESKPLMLPGLPPLNFRDLPTFLRFPDSYPAYLAMKLSQYSNLNEADWVFDNTFEDLEGKEAKGVSELWQAKLIGPMVPSAYLDERIKGDRGYGSSLWKPLSEECMEWLETKPSQSVVYISFGSMVSLTEEETEEIARALEESNLHYLWIVRETEQKKLPKWFLESNKEKGMVVTWCNQLEMLAHPAVGCFVTHCGWNSTLEGLSLGVPMVGVPKWADQLTDAKFVEEIWGIGVRAKEDEEGVVRREELIKCLKEVMEGERGRDIKSNANKWKELAKKAISEGGSSDECINKFVQHLMAIVEQIN >KJB15210 pep chromosome:Graimondii2_0_v6:2:40164030:40166209:1 gene:B456_002G164900 transcript:KJB15210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKQFKGHVILLPYPSQGHINPLLQFAKRLASKGVKATLATTHYTVNSICAAHIGVEPISDGFDDGGFSQAGNVDFYLKSFKEEGSRSLSQLIQKFKDSSTSVTCVVYDSFLPWALDVAKQHGIYGASFFTNSAAVCSIFSRIHHGRLALPLTPESKPLMLPGLPPLNFRDLPTFLRFPDSYPAYLAMKLSQYSNLNEADWVFDNTFEDLEGKVSKGVSELWQAKLIGPMVPSAYLDERIKGDRGYGSSLWKPLSEECMEWLETKPSQSVVYISFGSMVSLTEEETEEIARALEESNLHYLWIVRETEQKKLPKWFLESNKEKGMVVTWCNQLEMLAHPAVGCFVTHCGWNSTLEGLSLGVPMVGVPKWADQLTDAKFVEEIWGIGVRAKEDEEGVVRREELIKCLKEVMEGERGRDIKSNANKWKELAKKAISEGGSSDECINKFVQHLMAIVEQIN >KJB16070 pep chromosome:Graimondii2_0_v6:2:56279967:56282517:1 gene:B456_002G213800 transcript:KJB16070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein PDV2 [Source:Projected from Arabidopsis thaliana (AT2G16070) UniProtKB/Swiss-Prot;Acc:Q9XII1] MEEEGVGLALARATELRLKISNCITKATSGNPVSPYKQSQEGKQTDKNASLNGDKPHQTLGGTDDEEEAEETTERLLDIRDALESLESQLLALQQSYEKEVALAEIDYSRRVVLEKLKEYQGKDMEVILEASAFVSKTVENNSDLLLPPYPSRLPRSLVLDNGSLSHLQSTYKSSPNGVSISDPTNEAKNPKQHQDESKSLRKGFGYIIGSAVKMVLPLVGVIYILSLSNFVPNLGKGNRVKVFGTSQQRATEEKNSSDPCPPGKILVMENGEARCLVKERIEVPFESIVSKPDVNYGCG >KJB16068 pep chromosome:Graimondii2_0_v6:2:56279895:56282517:1 gene:B456_002G213800 transcript:KJB16068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein PDV2 [Source:Projected from Arabidopsis thaliana (AT2G16070) UniProtKB/Swiss-Prot;Acc:Q9XII1] MEEEGVGLALARATELRLKISNCITKATSGNPVSPYKQSQEGKQTDKNASLNGDKPHQTLGGTDDEEEAEETTERLLDIRDALESLESQLLALQNLQHQQSYEKEVALAEIDYSRRVVLEKLKEYQGKDMEVILEASAFVSKTVENNSDLLLPPYPSRLPRSLVLDNGSLSHLQSTYKSSPNGVSISDPTNEAKNPKQHQDESKSLRKGFGYIIGSAVKMVLPLVGVIYILSLSNFVPNLGKGNRVKVFGTSQQRATEEKNSSDPCPPGKILVMENGEARCLVKERIEVPFESIVSKPDVNYGCG >KJB16069 pep chromosome:Graimondii2_0_v6:2:56279967:56282517:1 gene:B456_002G213800 transcript:KJB16069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein PDV2 [Source:Projected from Arabidopsis thaliana (AT2G16070) UniProtKB/Swiss-Prot;Acc:Q9XII1] MEEEGVGLALARATELRLKISNCITKATSGNPVSPYKQSQEGKQTDKNASLNGDKPHQTLGGTDDEEEAEETTERLLDIRDALESLESQLLALQSYEKEVALAEIDYSRRVVLEKLKEYQGKDMEVILEASAFVSKTVENNSDLLLPPYPSRLPRSLVLDNGSLSHLQSTYKSSPNGVSISDPTNEAKNPKQHQDESKSLRKGFGYIIGSAVKMVLPLVGVIYILSLSNFVPNLGKGNRVKVFGTSQQRATEEKNSSDPCPPGKILVMENGEARCLVKERIEVPFESIVSKPDVNYGCG >KJB15175 pep chromosome:Graimondii2_0_v6:2:39249111:39260715:-1 gene:B456_002G163500 transcript:KJB15175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKRTESPSYTRRWSSHSGTGSTGGGVESPALSPAHNQPRHARSSSATGISSIKRTQNFAAKAAAQRLAQVMASQTTDDDDEEDDGDDLGFRYSAPPPLALSRKNTAATAGGAGNKTALNSTRIGRSPSPALARNLVEEAPSVRSTSTGRSSMSLRAAPPVPPPNKTSLRTAVSLPSESPKNRQPEKRFTSDIGFNLKDTGDYREASALRDELDMLQEENENVIDKLRLEEERCKEVEARVKELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKDAKQTKDVVDKEILSFRSEVENAKDEATAIVRQLHGAESEVKALRSMTQRMVLTQKEMEEVVLKRCWLARYWGLAVRYGICADIAVSKHEYWSSLAPLPFEVVVSAGQKAKEEFSEKGDDENEKRSKLVEDLSDLTGEGNIESMLSVEMGLKELASLKVEEAIVNALAQQRRASSVRISLSDIKSPRDPKFMEAFELSPEESEDVLFKEAWLTYIWRRAKSHGIEEDTAKERLKFWISRSVHSPSSHDAVDGNIYCSINFFKWKYWCNVSYSLNFELKNVNYFLAYTRPNPIFLASKHLSCFSLVEEGLMELRKLGIERRLWEASRRN >KJB15173 pep chromosome:Graimondii2_0_v6:2:39249106:39260715:-1 gene:B456_002G163500 transcript:KJB15173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRAAPPVPPPNKTSLRTAVSLPSESPKNRQPEKRFTSDIGFNLKDTGDYREASALRDELDMLQEENENVIDKLRLEEERCKEVEARVKELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKDAKQTKDVVDKEILSFRSEVENAKDEATAIVRQLHGAESEVKALRSMTQRMVLTQKEMEEVVLKRCWLARYWGLAVRYGICADIAVSKHEYWSSLAPLPFEVVVSAGQKAKEEFSEKGDDENEKRSKLVEDLSDLTGEGNIESMLSVEMGLKELASLKVEEAIVNALAQQRRASSVRISLSDIKSPRDPKFMEAFELSPEESEDVLFKEAWLTYIWRRAKSHGIEEDTAKERLKFWISRSVHSPSSHDAVDVEEGLMELRKLGIERRLWEASRRN >KJB15171 pep chromosome:Graimondii2_0_v6:2:39249047:39260815:-1 gene:B456_002G163500 transcript:KJB15171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKRTESPSYTRRWSSHSGTGSTGGGVESPALSPAHNQPRHARSSSATGISSIKRTQNFAAKAAAQRLAQVMASQTTDDDDEEDDGDDLGFRYSAPPPLALSRKNTAATAGGAGNKTALNSTRIGRSPSPALARNLVEEAPSVRSTSTGRSSMSLRAAPPVPPPNKTSLRTAVSLPSESPKNRQPEKRFTSDIGFNLKDTGDYREASALRDELDMLQEENENVIDKLRLEEERCKEVEARVKELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKDAKQTKDVVDKEILSFRSEVENAKDEATAIVRQLHGAESEVKALRSMTQRMVLTQKEMEEVVLKRCWLARYWGLAVRYGICADIAVSKHEYWSSLAPLPFEVVVSAGQKAKEEFSEKGDDENEKRSKLVEDLSDLTGEGNIESMLSVEMGLKELASLKVEEAIVNALAQQRRASSVRISLSDIKSPRDPKFMEAFELSPEESEDVLFKEAWLTYIWRRAKSHGIEEDTAKERLKFWISRSVHSPSSHDAVDVEEGLMELRKLGIERRLWEASRRN >KJB15174 pep chromosome:Graimondii2_0_v6:2:39250401:39260581:-1 gene:B456_002G163500 transcript:KJB15174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKRTESPSYTRRWSSHSGTGSTGGGVESPALSPAHNQPRHARSSSATGISSIKRTQNFAAKAAAQRLAQVMASQTTDDDDEEDDGDDLGFRYSAPPPLALSRKNTAATAGGAGNKTALNSTRIGRSPSPALARNLVEEAPSVRSTSTGRSSMSLRAAPPVPPPNKTSLRTAVSLPSESPKNRQPEKRFTSDIGFNLKDTGDYREASALRDELDMLQEENENVIDKLRLEEERCKEVEARVKELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKDAKQTKDVVDKEILSFRSEVENAKDEATAIVRQLHGAESEVKALRSMTQRMVLTQKEMEEVVLKRCWLARYWGLAVRYGICADIAVSKHEYWSSLAPLPFEVVVSAGQKAKEEFSEKGDDENEKRSKLVEDLSDLTGEGNIESMLSVEMGLKELASLKVEEAIVNALAQQRRASSVRISLSDIKSPRDPKFMEAFGKSNLFI >KJB15172 pep chromosome:Graimondii2_0_v6:2:39249106:39260715:-1 gene:B456_002G163500 transcript:KJB15172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKRTESPSYTRRWSSHSGTGSTGGGVESPALSPAHNQPRHARSSSATGISSIKRTQNFAAKAAAQRLAQVMASQTTDDDDEEDDGDDLGFRYSAPPPLALSRKNTAATAGGAGNKTALNSTRIGRSPSPALARNLVEEAPSVRSTSTGRSSMSLRAAPPVPPPNKTSLRTAVSLPSESPKNRQPEKRFTSDIGFNLKDTGDYREASALRDELDMLQEENENVIDKLRLEEERCKEVEARVKELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKDAKQTKDVVDKEILSFRSEVENAKDEATAIVRQLHGAESEVKALRSMTQRMVLTQKEMEEVVLKRCWLARYWGLAVRYGICADIAVSKHEYWSSLAPLPFEVVVSAGQKAKEEFSEKGDDENEKRSKLVEDLSDLTGEGNIESMLSVEMGLKELASLKVEEAIVNALAQQRRASSVRISLSDIKSPRDPKFMEAFEESEDVLFKEAWLTYIWRRAKSHGIEEDTAKERLKFWISRSVHSPSSHDAVDVEEGLMELRKLGIERRLWEASRRN >KJB12548 pep chromosome:Graimondii2_0_v6:2:1715513:1724249:1 gene:B456_002G023900 transcript:KJB12548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSAERRLNAIHGHLVPVVCGGAGSHSGLRVNPTAGEFLCEQGYSVVLPEKLKTGKWNVYRSARSPFKLVTRFPDHPDIGTLHDNFIHAVDTFRDYKYLGTRIQADGTVGEYKWMTYGEAGTARAAIGSGLIYHGIPKGSCIGLYFINRPEWLIVDHACSAYSLVSVPLYDTLGPDAVKYIVNHADVKAIFCVPQTLNSLLNFLSEIPSVRLVVVVGGKENEVPSLPPSTGIQIVTYTKLLSQGRRNVQPFSPPKPDDVATICYTSGTTGTPKGVVLTHGNLIANAAGCSTGTKFYPSDVYISYLPLAHIYERAYQVALLYFGVAVGFYQGDNMKLMDDLVALQPTIFCSVPRLYNRIYAGIVNAVKSSGPLKERLFNAAYNSKKHAIMNGKSPSPLWDKLVFNKIKAKLGGRIRFLSSGASPLSPDVLDFLKICFGCRVVEGYGMTETSCVISSMEEGDNLSGHVGSPSPACEIKLVDVPEMNYTSDDQPYPRGEICVRGPIVFQGYYKDEVQTREVLDEDGWLHTGDIGLWLSGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAIVSVDHDVLKAWAASEGIKYKDLGQLCNDPRARAAVLADMDALGREAQLRGFEFAKAVTLVLEPFTMENGLLTPTFKIKRPQAKEYFAKAISNMYAELATSDPFPQN >KJB12257 pep chromosome:Graimondii2_0_v6:2:549845:552724:-1 gene:B456_002G008500 transcript:KJB12257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANIENQNDISNPLIASNMGATVLEGGEIVTTTENEDYNNILIYSAATDGGAIAYEDSEFATMMEDVFNQNSTLSCFAAADGGATAYEVGQFFTQEEDIYNLNSVLNSTVASNIDVTAFEGGQFFTQEENIYDQINVLSSTVASNIETTAFEGGETVTTAENANNRNNMLNSSTASHGGRTVAEVKDYIQSFPPGVRFVPTDEELIQYYLLKKVNNELLPSNLIWEVDLYGTEPAKLTESYEPNGESEWYFFTSRRKKYPNGGRPDRSTENGFWKPTGMDKSIPEGGLKPIGSKKTLEFHTGRHPSGHKTEWKMHEYKVDPSLIKPQNKPQDGMTLDTCVLCKVYKTQRKGQNENYIASCDQNTNTSSSSQIFDAGASSEATNVSYPMTGFAGQPDSELYPLTTSPMPNYEYYSNTWFQPTVDGALNNEAFVPAANRNNTVFRNRNSDTTWPPYHPYYSNGLLLHRGVNNAASTSTVAGNYIDNNVRALQVRPPNAAMTQLTVNNGGPNSEDHSMAASRSNTMSRGRGEAQRGSRRGGNRGKIIMLPRG >KJB12528 pep chromosome:Graimondii2_0_v6:2:1628994:1632114:1 gene:B456_002G023000 transcript:KJB12528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLTTLSNVGLLSSPRTPPKNQPISTSCNSFNFPRTLSLSPKISSSSSSFSGSFRFPRFNSPQTNNHRVRRSVRATAEVAPIQSKITNKVFFDVSIGNPVGKLAGKIVIGLYGDDVPQTTENFRALCTGEKGFGYKGSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLSHAGPGVVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDTVKLIESQETDRGDRPRKKVVISDCGELPMSET >KJB12529 pep chromosome:Graimondii2_0_v6:2:1629125:1632114:1 gene:B456_002G023000 transcript:KJB12529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLTTLVYITSNVGLLSSPRTPPKNQPISTSCNSFNFPRTLSLSPKISSSSSSFSGSFRFPRFNSPQTNNHRVRRSVRATAEVAPIQSKITNKVFFDVSIGNPVGKLAGKIVIGLYGDDVPQTTENFRALCTGEKGFGYKGSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLSHAGPGVVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDTVKLIESQETDRGDRPRKKVVISDCGELPMSET >KJB12530 pep chromosome:Graimondii2_0_v6:2:1629125:1632114:1 gene:B456_002G023000 transcript:KJB12530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLTTLSNVGLLSSPRTPPKNQPISTSCNSFNFPRTLSLSPKISSSSSSFSGSFRFPRFNSPQTNNHRVRRSVRATAEVAPIQSKITNKVFFDVSIGNPVGKLAGKIVIGLYGEKGFGYKGSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLSHAGPGVVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDTVKLIESQETDRGDRPRKKVVISDCGELPMSET >KJB16003 pep chromosome:Graimondii2_0_v6:2:55465561:55468262:-1 gene:B456_002G208000 transcript:KJB16003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGKGRRRTASQQTPFLLHCRRQGISGDLHHRKCSKALDNRDWEDATCSVCMECPHNAVLLLCSSHDKGCRPYMCGTSFRYSNCLDQYKKFHTKIVPFNHEEPLHYSIDNSVLAAGSSWPVEKCEVTEISCPLCRGQVKGWTVVEPAREYLNAKKRSCMQDDCTFVGTFKELRKHMKADHPCAQPREADPTIEQKWRRLEREREQEDVISTIRSTMPGAMVFGDYVIERNHHGFETDEEGGLDTADAIERGGDFDLGLDNNVLNFFLFLHAFGPSGNDLGRRTRQPTHAADENAVGVHLTSPVGGHQDDDYFNDGGGNVSLVSRLRRHGRVLLGRSGRRRRRREDIGGQM >KJB16004 pep chromosome:Graimondii2_0_v6:2:55465665:55467978:-1 gene:B456_002G208000 transcript:KJB16004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGKGRRRTASQQTPFLLHCRRQGISGDLHHRKCSKALDNRDWEDATCSVCMECPHNAVLLLCSSHDKGCRPYMCGTSFRYSNCLDQYKKFHTKIVPFNHEEPGQVKGWTVVEPAREYLNAKKRSCMQDDCTFVGTFKELRKHMKADHPCAQPREADPTIEQKWRRLEREREQEDVISTIRSTMPGAMVFGDYVIERNHHGFETDEEGGLDTADAIERGGDFDLGLDNNVLNFFLFLHAFGPSGNDLGRRTRQPTHAADENAVGVHLTSPVGGHQDDDYFNDGGGNVSLVSRLRRHGRVLLGRSGRRRRRREDIGGQM >KJB16002 pep chromosome:Graimondii2_0_v6:2:55466041:55467099:-1 gene:B456_002G208000 transcript:KJB16002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGKGRRRTASQQTPFLLHCRRQGISGDLHHRKCSKALDNRDWEDATCSVCMECPHNAVLLLCSSHDKGCRPYMCGTSFRYSNCLDQYKKFHTKIVPFNHEEPLHYSIDNSVLAAGSSWPVEKCEVTEISCPLCRGQVKGWTVVEPAREYLNAKKRSCMQDDCTFVGTFKELRKHMKADHPCAQPREADPTIEQKWRRLEREREQEDVISTIRSTMPGAMVFGDYVIERNHHGFETDEEGGLDTADAIERGGDFDLGLDNNVLNFFLFLHAFGPSGNDLGRRTRQPTHAADENAVGVHLTSPVGGHQDDDYFNDGGGNVSLVSRLRRHGRVLLGRSGRRRRRREDIGGQM >KJB14716 pep chromosome:Graimondii2_0_v6:2:24100295:24101701:1 gene:B456_002G139700 transcript:KJB14716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g30950 (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G30950) UniProtKB/TrEMBL;Acc:C0SUY4] MDAFNTSMPLPFSYTFTITSSSCNTSTHTNPWMDVRIWSKLPERLLDRVIAFLPPPAFFRARSVCKRWTLKSYICRANTSSGGNGDNRTNCEGYLFDPCDAAWYRLSFNLVPSGFYPASSSGLTVSPTSVDVTVAGDDLISPYAVKNLSTESFHIDAGGFYSIWGTTSSLPRLCSLESGRMVHVDGKFYCMNYNPFSVLAYDMAANKWFKIQAPMRRFLRSPGLVESRGKLILVAAVEKSKLNVPKSLRLWGLQDCGTTWVEIERMPLQLYMQFAEVEGGNGFDPVGHGEFIVIMIRGSDKGLLFDICRKRWRWIPACPYLGSSGCANNGEDAELRGLAYEPRLATPVISLLSCFTG >KJB15128 pep chromosome:Graimondii2_0_v6:2:37556492:37560768:1 gene:B456_002G162100 transcript:KJB15128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVRREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEEVDLERIAKDTHGYVGADLAALCTEAAFQCIREKMDVIDLEDESIDAEILNSMAVSNDHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRHQIFKACLRKSPIAKEVDVKALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRSENPEAMEEDVEDEVAEIKPAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFAETGSRTAASDPFAASAGGADEDDLYS >KJB15126 pep chromosome:Graimondii2_0_v6:2:37555561:37560768:1 gene:B456_002G162100 transcript:KJB15126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQAESSDSKGTKRDFSTAILERKKAPNRVVVDEAINDDNSVVSLHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVRREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEEVDLERIAKDTHGYVGADLAALCTEAAFQCIREKMDVIDLEDESIDAEILNSMAVSNDHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRHQIFKACLRKSPIAKEVDVKALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRSENPEAMEEDVEDEVAEIKPAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFAETGSRTAASDPFAASAGGADEDDLYS >KJB15127 pep chromosome:Graimondii2_0_v6:2:37555756:37560768:1 gene:B456_002G162100 transcript:KJB15127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQAESSDSKGTKRDFSTAILERKKAPNRVVVDEAINDDNSVVSLHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVRREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEEVDLERIAKDTHGYVGADLAALCTEAAFQCIREKMDVIDLEDESIDAEILNSMAVSNDHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQEANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRHQIFKACLRKSPIAKEVDVKALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRSENPEAMEEDVEDEVAEIKPAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFAETGSRTAASDPFAASAGGADEDDLYS >KJB12918 pep chromosome:Graimondii2_0_v6:2:3986490:3988209:-1 gene:B456_002G047100 transcript:KJB12918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSACRRELEGPAISTNLLCKDDESKIHGNDAVCPVCDQVLSKRRMMFYIRQKELEIQYKMKRIALCWQKCEAMQEKFSEKLEQVHTAYQNLGKRCQMIEQEIESLSKEKQELQEKFSENSRPKRKVDEMYDPLRSKYQSLKRSTIQHANNFHARNEPDSFTNPTTNMMDSRGPIRKGPREDVWPARQNSSASSPF >KJB15475 pep chromosome:Graimondii2_0_v6:2:47181516:47181770:-1 gene:B456_002G179800 transcript:KJB15475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKYLTAEVLGLTLLPKPAEARMSRLEMKKKMMKKLEELREKAGVSKPKTEKNGMKKSAILPLPLPAPEGRVGALVEAKVNNAL >KJB15476 pep chromosome:Graimondii2_0_v6:2:47181321:47182677:-1 gene:B456_002G179800 transcript:KJB15476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPGYIVLKVKCEKGHEPSTTDGFAVSRRHMMKYLTAEVLGLTLLPKPAEARMSRLEMKKKMMKKLEELREKAGVSKPKTEKNGMKKSAILPLPLPAPEGRVGALVEAKVNNAL >KJB12868 pep chromosome:Graimondii2_0_v6:2:3430806:3434520:-1 gene:B456_002G041500 transcript:KJB12868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLKAKLMPAFAFFVILFPGVCFTRHLINQDCGSTFCGNLNISFPFRLKDQPPQCGHYGFEFECENNNRTILVDKEGKFSIQQIFYENYTIRMVDASLDTDDCNSLPLSSVYYYFHYPELLSFSLSSSSSNNYYYNKYYYDGRLEVNIIYVMNCTKPIKSSLYIEASRCTIKSNTSSSLPTSHFYFLNGNTRPSDINQACTIEAEVPIMIDNILGMSTLDIYKKLLVGFWVEWDRCDYQSCYYYQNKVSFKDILSSLKYAFRNYMDCFVHFLFHGYDSLSRPSSGIIFLRPFPGIICLLALVTYKWRRRHLSMDDTIEEFLQSQNNLVPIRYSFKEIKKMTKNFKDKLGEGGYGSVFKGKLRSGHHVAIKLLGKSKGNGQDFINEFASIGRIHHANVAKLIGFCVEGSKQALVYDFMSNGSLDKIIFTEENKNTLGWKKMFDIVLGVAQGIHYLHQGCDMQILHFDIKPHNILLDENFNPKVSDFGLAKLYSVDDSIVSLTTARGTIGYIAPELVYKNLGGISYKADVYSFGMLLMEIVGRRKNVNAFADHTSQIYFPSWIYDQLDQGEDLELGDVSDDEKAMVKKMIIIAFWCIQLLPYDRPSMNQVLKMLESDVELLEMPPKPFHQLPLETSMKVDSCEKSYDESSMSLDNVIITSSDTA >KJB15378 pep chromosome:Graimondii2_0_v6:2:44466703:44469546:1 gene:B456_002G174100 transcript:KJB15378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPTQVVALLKAQNVWHVRLYDADRAMLLALANTGIQVTISVPNEQLLGIGQSNATAANWVARNVIAHVPATNITAIAVGSEVLTALPNAAPVLVSALKLIHSALVASNLDSQIKVSTPHSSSIILDSFPPSQAFFNRSWDPVMVPLLKFLQSTGSYLMLNVYPYYDYMQSNNVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAIVDAAYFSMSYLNITNVPIVVTESGWPSKGDSSEPDATVDNANTYNSNLIKHVINNTGTPKHPGITVSTYIYELYNEDLRPSPLSEKNWGLFDANGTPVYILHLTAAGTVFANDTTNQTFCVAKDGADPKMLQAALDWACGPGKVDCSPLLQGQPCYEPDNVVSHSTYAFNAYFQQMGKSTGTCDFKGVATISTTDPSHGSCIFPGSFGKNGTITNGTSLAPSSNDTSLGCPTQYFYGSCSFTTSVIIGAFLMTAVFL >KJB15377 pep chromosome:Graimondii2_0_v6:2:44465462:44469534:1 gene:B456_002G174100 transcript:KJB15377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILLLLLPLLVSAVYGDEKAFIGVNIGTDLSDMPSPTQVVALLKAQNVWHVRLYDADRAMLLALANTGIQVTISVPNEQLLGIGQSNATAANWVARNVIAHVPATNITAIAVGSEVLTALPNAAPVLVSALKLIHSALVASNLDSQIKVSTPHSSSIILDSFPPSQAFFNRSWDPVMVPLLKFLQSTGSYLMLNVYPYYDYMQSNNVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAIVDAAYFSMSYLNITNVPIVVTESGWPSKGDSSEPDATVDNANTYNSNLIKHVINNTGTPKHPGITVSTYIYELYNEDLRPSPLSEKNWGLFDANGTPVYILHLTAAGTVFANDTTNQTFCVAKDGADPKMLQAALDWACGPGKVDCSPLLQGQPCYEPDNVVSHSTYAFNAYFQQMGKSTGTCDFKGVATISTTDPSM >KJB15376 pep chromosome:Graimondii2_0_v6:2:44465272:44469546:1 gene:B456_002G174100 transcript:KJB15376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILLLLLPLLVSAVYGDEKAFIGVNIGTDLSDMPSPTQVVALLKAQNVWHVRLYDADRAMLLALANTGIQVTISVPNEQLLGIGQSNATAANWVARNVIAHVPATNITAIAVGSEVLTALPNAAPVLVSALKLIHSALVASNLDSQIKVSTPHSSSIILDSFPPSQAFFNRSWDPVMVPLLKFLQSTGSYLMLNVYPYYDYMQSNNVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAIVDAAYFSMSYLNITNVPIVVTESGWPSKGDSSEPDATVDNANTYNSNLIKHVINNTGTPKHPGITVSTYIYELYNEDLRPSPLSEKNWGLFDANGTPVYILHLTAAGTVFANDTTNQTFCVAKDGADPKMLQAALDWACGPGKVDCSPLLQGQPCYEPDNVVSHSTYAFNAYFQQMGKSTGTCDFKGVATISTTDPSHGSCIFPGSFGKNGTITNGTSLAPSSNDTSLGCPTQYFYGSCSFTTSVIIGAFLMTAVFL >KJB13508 pep chromosome:Graimondii2_0_v6:2:9474738:9478223:1 gene:B456_002G078900 transcript:KJB13508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYFYTACCFLVIFSQASTAIDTLSQLDSLTDGMTLVSGDGSFQLGFFSPGSSKNRYLGIWYNNIPMQTVVWVANRVNPINDSTGLLKIQSNGKILLQVQNTTAVWCTNLTARVENPVLQLLDSGNLVVRDGKDSEPENYLWQSFDYPSDTMLPGMKIGIDLRTGLHRRLAAWKNWDDPSPGDLTYGVELEGTPEMVLRKGSEKFYRSGLWNGDGFSGTPNLRSNPIFDYDFVWNENEVYYIYSLKNKSVMSRFVLNQTQSVRQRYTWNPETQTWKLFSIMPSDYCDRSGLCGPNGDCDNNKLPACQCLKGFRPKWLERWNSSDWSDGCIHSKPLNCKSGDGFIRIGKVKTPDTTNSWVNKTMNLKECRAKCLRNCSCMAYTNLYVTRGGSGCAVWYGDLLDIKQFQSDGQDLYIRVSASEAERKKKAKVKLAIILGTVIAALLGFLLIVCYILRSRRKLKDEVKDKNLNDREDKDENEDMELAVFEFDTIAQATDSFSFNNKLGQGGFGPVYKGTLGNGQEIAVKRLSKSSGQGLHEFKNEVKLIAKLQHRNLVRLLGCCMQRDERILVYEYMPNRSLDLFIFDQTRHTVLTWSKRFQIICGIARGLLYLHQDSRLRIIHRDLKTSNVLLDSEMNPKISDFGMARTFGGDQAEANTNRVVGT >KJB13509 pep chromosome:Graimondii2_0_v6:2:9474738:9478223:1 gene:B456_002G078900 transcript:KJB13509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYFYTACCFLVIFSQASTAIDTLSQLDSLTDGMTLVSGDGSFQLGFFSPGSSKNRYLGIWYNNIPMQTVVWVANRVNPINDSTGLLKIQSNGKILLQVQNTTAVWCTNLTARVENPVLQLLDSGNLVVRDGKDSEPENYLWQSFDYPSDTMLPGMKIGIDLRTGLHRRLAAWKNWDDPSPGDLTYGVELEGTPEMVLRKGSEKFYRSGLWNGDGFSGTPNLRSNPIFDYDFVWNENEVYYIYSLKNKSVMSRFVLNQTQSVRQRYTWNPETQTWKLFSIMPSDYCDRSGLCGPNGDCDNNKLPACQCLKGFRPKWLERWNSSDWSDGCIHSKPLNCKSGDGFIRIGKVKTPDTTNSWVNKTMNLKECRAKCLRNCSCMAYTNLYVTRGGSGCAVWYGDLLDIKQFQSDGQDLYIRVSASEAERKKKAKVKLAIILGTVIAALLGFLLIVCYILRSRRKLKDEVKDKNLNDREDKDENEDMELAVFEFDTIAQATDSFSFNNKLGQGGFGPVYKGTLGNGQEIAVKRLSKSSGQGLHEFKNEVKLIAKLQHRNLVRLLGCCMQRDERILVYEYMPNRSLDLFIFDQTRHTVLTWSKRFQIICGIARGLLYLHQDSRFWHGKNFWRRSSRSQYQ >KJB13507 pep chromosome:Graimondii2_0_v6:2:9474738:9478223:1 gene:B456_002G078900 transcript:KJB13507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYFYTACCFLVIFSQASTAIDTLSQLDSLTDGMTLVSGDGSFQLGFFSPGSSKNRYLGIWYNNIPMQTVVWVANRVNPINDSTGLLKIQSNGKILLQVQNTTAVWCTNLTARVENPVLQLLDSGNLVVRDGKDSEPENYLWQSFDYPSDTMLPGMKIGIDLRTGLHRRLAAWKNWDDPSPGDLTYGVELEGTPEMVLRKGSEKFYRSGLWNGDGFSGTPNLRSNPIFDYDFVWNENEVYYIYSLKNKSVMSRFVLNQTQSVRQRYTWNPETQTWKLFSIMPSDYCDRSGLCGPNGDCDNNKLPACQCLKGFRPKWLERWNSSDWSDGCIHSKPLNCKSGDGFIRIGKVKTPDTTNSWVNKTMNLKECRAKCLRNCSCMAYTNLYVTRGGSGCAVWYGDLLDIKQFQSDGQDLYIRVSASEAERKKKAKVKLAIILGTVIAALLGFLLIVCYILRSRRKLKDEVKDKNLNDREDKDENEDMELAVFEFDTIAQATDSFSFNNKLGQGGFGPVYKGTLGNGQEIAVKRLSKSSGQGLHEFKNEVKLIAKLQHRNLVRLLGCCMQRDERILVYEYMPNRSLDLFIFDQTRHTVLTWSKRFQIICGIARGLLYLHQDSRFWHGKNFWRRSSRSQYQ >KJB13506 pep chromosome:Graimondii2_0_v6:2:9474738:9478131:1 gene:B456_002G078900 transcript:KJB13506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYFYTACCFLVIFSQASTAIDTLSQLDSLTDGMTLVSGDGSFQLGFFSPGSSKNRYLGIWYNNIPMQTVVWVANRVNPINDSTGLLKIQSNGKILLQVQNTTAVWCTNLTARVENPVLQLLDSGNLVVRDGKDSEPENYLWQSFDYPSDTMLPGMKIGIDLRTGLHRRLAAWKNWDDPSPGDLTYGVELEGTPEMVLRKGSEKFYRSGLWNGDGFSGTPNLRSNPIFDYDFVWNENEVYYIYSLKNKSVMSRFVLNQTQSVRQRYTWNPETQTWKLFSIMPSDYCDRSGLCGPNGDCDNNKLPACQCLKGFRPKWLERWNSSDWSDGCIHSKPLNCKSGDGFIRIGKVKTPDTTNSWVNKTMNLKECRAKCLRNCSCMAYTNLYVTRGGSGCAVWYGDLLDIKQFQSDGQDLYIRVSASEAERKKKAKVKLAIILGTVIAALLGFLLIVCYILRSRRKLKGNTNYGPHKCTNFVLLQIPVILLTRTYEVKDKNLNDREDKDENEDMELAVFEFDTIAQATDSFSFNNKLGQGGFGPVYKGTLGNGQEIAVKRLSKSSGQGLHEFKNEVKLIAKLQHRNLVRLLGCCMQRDERILVYEYMPNRSLDLFIFDQTRHTVLTWSKRFQIICGIARGLLYLHQDSRLRIIHRDLKTSNVLLDSEMNPKISDFGMARTFGGDQAEANTNRVVGT >KJB16702 pep chromosome:Graimondii2_0_v6:2:60763514:60767171:1 gene:B456_002G243500 transcript:KJB16702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREIVESWFGGSWWNPRKSASESTDKVVLGILAFEVAGLMSKVVNLWHSLDDREIFRLREEIANSIGIQRLVSDDESYVMDLALNEVIENFGHLATYVARLGKKCTDPVYHRFEAFINDPLLNNLEWFGWEYRWKKMEKKMKKMERFVAVTMQLTQELEVLAELEQTLRRMQRNPELDRVKLLEFQQKVILQRQEVKNLREMSPWVRTYDYIVRFLLRSLLTVLERIKNVFGTNQMVPVDRNDDFESVNSDYLSRSHSFSTVIPSSVYPSDHNLCGFSSGPLGRSFSKSMSITGKHRTNIKHLHSHYHSTALHGKQPHSRTKRAGHAAFGPFKGCMLAGSISPVLDSYKPIGTTSTRFSGAYPKNIDNLKMEPLSRSYNIYSKLSIFNTKRLLNAPISTLGGAALSLRYANLIILIEKLVSSPHLISVDARDDLYTMLPTTMRNALRVKLKPFAKSLASSIYDASLAAEWSLALVRILEWLAPLAHNTIRWQSEHNLEEQHIISGSNILLVQTLHFADQAKTEAAITELLVGLNYVYRIGGAHNEKSLPESAGNGCAQYLLKRDDKR >KJB16701 pep chromosome:Graimondii2_0_v6:2:60763667:60766677:1 gene:B456_002G243500 transcript:KJB16701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREIVESWFGGSWWNPRKSASESTDKVVLGILAFEVAGLMSKVVNLWHSLDDREIFRLREEIANSIGIQRLVSDDESYVMDLALNEVIENFGHLATYVARLGKKCTDPVYHRFEAFINDPLLNNLEWFGWEYRWKKMEKKMKKMERFVAVTMQLTQELEVLAELEQTLRRMQRNPELDRVKLLEFQQKVILQRQEVKNLREMSPWVRTYDYIVRFLLRSLLTVLERIKNVFGTNQMVPVDRNDDFESVNSDYLSRSHSFSTVIPSSVYPSDHNLCGFSSGPLGRSFSKSMSITGKHRTNIKHLHSHYHSTALHGKQPHSRTKRAGHAAFGPFKGCMLAGSISPVLDSYKPIGTTSTRFSGAYPKNIDNLKMEPLSRSYNIYSKLSIFNTKRLLNAPISTLGGAALSLRYANLIILIEKLVSSPHLISVDARDDLYTMLPTTMRNALRVKLKPFAKSLASSIYDASLAAEWSLALVRILEWLAPLAHNTIRWQSEHNLEEQHIISGSNILLVQTLHFADQAKTEAAITELLVGLNYVYRIGGAHNEKSLPESAGNGCAQYLLKRDDKR >KJB16700 pep chromosome:Graimondii2_0_v6:2:60763667:60766570:1 gene:B456_002G243500 transcript:KJB16700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREIVESWFGGSWWNPRKSASESTDKVVLGILAFEVAGLMSKVVNLWHSLDDREIFRLREEIANSIGIQRLVSDDESYVMDLALNEVIENFGHLATYVARLGKKCTDPVYHRFEAFINDPLLNNLEWFGWEYRWKKMEKKMKKMERFVAVTMQLTQELEVLAELEQTLRRMQRNPELDRVKLLEFQQKVILQRQEVKNLREMSPWVRTYDYIVRFLLRSLLTVLERIKNVFGTNQMVPVDRNDDFESVNSDYLSRSHSFSTVIPSSVYPSDHNLCGFSSGPLGRSFSKSMSITGKHRTNIKHLHSHYHSTALHGKQPHSRTKRAGHAAFGPFKGCMLAGSISPVLDSYKPIGTTSTRFSGAYPKNIDNLKMEPLSRSYNIYSKLSIFNTKRLLNAPISTLGGAALSLRYANLIILIEKLVSSPHLISVDARDDLYTMLPTTMRNALRVKLKPFAKSLASSIYDASLAAEWSLALVRILEWLAPLAHNTIRWQSEHNLEEQHIISGSNILLVQTLHFADQAKTEAAITELLVGLNYVYRIGGAHNEKSLPESAGNGCAQYLLKRDDKR >KJB15318 pep chromosome:Graimondii2_0_v6:2:42939036:42941039:1 gene:B456_002G170800 transcript:KJB15318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDKLEKSMVADNESGDSIESEVRTSSGMFLQKAQLKMIVVLFGIGLSFWDNYFPLMMYQISRVGLLITDLLLISSSAVLLFTGECVTALIILQFAPFSSLEFRSKCVLLNEVVADIEAGIAAWTFLPAENGESMQILHYENGQKYEPHFDYFHDKANQELGGHRIATVLMYFSDVESGGETVFPNVEGKLSQPKDDSWSDCAKNGYAVKPRKGDALLFFSLHLDATTDFDSLHGSCPVIKGEKWSATKWIHVRSFDTAKRQSVNRDCVDENENCANWASASECEKNPSYMIGSEDYYGYCRKSCKVCSS >KJB14864 pep chromosome:Graimondii2_0_v6:2:29440150:29441084:1 gene:B456_002G150400 transcript:KJB14864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPASMLLARRPILAARARSFSTDLPAAPFADATFTEACSKVIPNMEPPKTPLSFMQPHPPTPSSIPSKLTVHFVLPYASELATIEVTFGFFSLNSIWDISDLSSILFSGIRIVEMNIFMLLSGTRQNVKPLFFIFAKIMLVVFSIECNANYFYFVFSVCNGDCLNGSIIVRHYC >KJB14809 pep chromosome:Graimondii2_0_v6:2:26222389:26223576:-1 gene:B456_002G144400 transcript:KJB14809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESGWSTEDRIVTAFVSDYTYSSSTLFFKFKKKMSADHGLLQDLSQTKLPKLKIQSSNQTGNENTNPDDSSSSSKECCVTPTSKEHKIPAVLSCPGAPKKPRKTHVSCKRKLSSKFQFFDIINREEVDAFFNTGFDHSISKRRCPPT >KJB13785 pep chromosome:Graimondii2_0_v6:2:11873102:11876650:-1 gene:B456_002G094100 transcript:KJB13785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetate O-methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G55250) UniProtKB/Swiss-Prot;Acc:Q9FLN8] MAPMGDNVVVSNVNLEKLLSMKGGQGEASYANNSQAQALHARSMLHLLEEALDSVHLSSPEVPFVVVDLGCSCGSNTIYIVDVIIKHMVKRYESSGYEPPEFSAFFSDLPSNDFNTLFQLLPPLANNGGSSMEECLASNGHRSYFAAGVPGSFYRRLFPARSIDVFHSAFSLHWLSQMPESVLDRRSTAYNKGRVFIHGASDSSANAYKKQFQTDLAKFLRARSIEMKRGGSMFLVCLGRTSVDPTDQGGAGLLFGTHFQDAWDDLVQEGLISAEKRDNFNIPVYAPSLQDFKEVVEADGSFTINKLEVFKGGSPLVVSRPDDASEVGRALANSCRTVSGVLVDAHIGDKLSEELFLRVESRGTSHAKELLEQLQFFHIVASLSFA >KJB13843 pep chromosome:Graimondii2_0_v6:2:12235418:12242014:-1 gene:B456_002G097000 transcript:KJB13843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMALESSILSKPNFFSSAKSSAFLLFNRNFKYPPKFLSKTNHYPASIISSFPNPRVVKAFGMPKTGTFGSQARLGSLIEENEELGSYQNLIFVKRGILVAMVCGVLAFGCKRVFAVEGVVNAGYGVIGQSILLLKNAWPKLSMLLKVFKEQGLVLTALLGLSAFFSMAETAITTLWPWKIRELAEKESEDGVFKMLRSDVTRFLTTILIGTTVVNIGATALVTDAATAIFGEAGVSAATGVMTVAILLLTEITPKSIAVHNPTEVARFVVRPVAWLSVILYPVGRVVTFLSMGMLKIFGLKGKSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASSTLVEFHNLWLTHQYSRVPVFEQRVDNIVGIAYAMDLLDYVTKVSQFLGEVLESTTVGDMAHKPAYFVPDSMLVWNLLREFRIRKVHMAVVLNEYGGTVGLVTLEDVVEEIVGEIFDENDSNEEIQKKTGYIVMRADGIFDVDANTSIDQLSEDLNIKMPEEHQYETVSGFVCEAFGYIPRTGESIKVVLEKGNEEEDDENAEAGSDQQDMKERHQIYKLEILAGNARKVSAVRFERINEEALLDDTAVTPMISKIIKRKWSSDEDSNNGNYNEDTFEKRQEEDISDHYVIADYKEDKDSPNEQ >KJB13795 pep chromosome:Graimondii2_0_v6:2:12002267:12005992:-1 gene:B456_002G094800 transcript:KJB13795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEFVRNLAHQHEQVVPISVFVAILCLCLVIGHLLEENRWVNESITAILIGGMAGTVILFLNKGKSSHILRFSEELFFIYLLPPIIFNAGFQVKKKQFFQNFITIMLFGVIGVFISTSIITAGSWWLFPKLGFFGLTAREYLAVGTIFSSTDTVCTLQVLRQDENPLLYSLVFGEGVVNDATSVVLFNAIQKIDVSRINSRTSLQLIGDFIYLFSTSTALGVTFGLVTAYSLKTLYFGRHSTVRELAIMVLMAYLSYMLAELLDLSGILTVFFCGILMSHYAWYNVTESSRITTRHIFAMMSFVAETFIFLYVGMDALDMEKWKMTRLSVGTLMASFGTLVFLILVGRAAFVFPLSAFSNYLNKHPDRSKPLTFRHQVVIWWAGLMRGAVSIALAFKQFTFSGVTWDPVNAAMITNTIIVVLFTTLVFGFLTKPLILCLLPQHVTDTSDEGQGSKSPKEDMTLPLLSFEASASTNILRAKDSLSMLIERPVYTVHFYWRKFDDRYMRPIFGGPISSPPEC >KJB16995 pep chromosome:Graimondii2_0_v6:2:62090043:62093267:1 gene:B456_002G259400 transcript:KJB16995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSFFSLLFLNSFVSVTFIVNVGLVSAQCQSDQSRLLLQLESSFSYNNDSSGKLVPVKWNQNTDCCSWDGVSCDGGGHVIGLDLNSRSISSSIDDSSSLFRLQHLQWLNLAYNEFNPAFPSAFDKLENLSYLNLSHAGFDGQIPIEISRLTRLVTLDLSTFTFPGLKLEEPNLGTLVRNVTRLKFLYLDGVNLSATGNEWCQALSPLTELQVLNMSGCYLSGPIHSSLSMLRSLSVIHLDFNNLSASVPKFFAGFPNLTSLSLTYTNLSGRLPDEIFQIPTLQTLDLSDNDLLRGSFQKFSPNLSLQTLSLSRTNFEGQVPESLGNLGKLTRIELAECNFSGAIPKTMKKLTQLVYLDFSFNRFSGPIPSFSSSRNLTYLSLGYNQLNGGIHSTDWSSLSKLEIVDLQKNKFSGTIPPALFCIPSLQRLFLSQNQFKGNLSDLHGKASLLLEGLDLSSNKFQGQFPMSVFELHGLKLLSLSSNNYSGSIPMSAFQNLRNLSYLDLSYNRLSIDVNDTDISSISFPTFTTLKLASCNLMEFPDFLMNQSSLIELDLSKNQIHGKIPNWIWKATSLEHLNLSQNFFVEFQRPLENITSNVRFLDAHGNQLQGQIPILNAYDVFYLDYSDNNFSSILPPHIGDSLRSASFLSLANNNFHGSIPRSICNSTSLDVLDLSNNSLSGPIPQCLFQMTVSLGVLNLRGNNLSGIISDTFPESCKLQTLDLNQNRLEGKVPKSLGNCKMLEVLDIGNNQINGNFPCHLKNIATLHALVLRSNKFNGHIDCPGNNSGWPLLQIFDLASNNFSGKLHLSGLGTWEAMRPNQDKNQSKLKHLMFDLLEDDDQYYYQDAITVTIKGNELELVKILTVFTSIDISCNNFEGPIPEVIGKFNALYALNFSHNAFTGSIPSFFGKLQQLESLDLSSNSLRGEIPLQLANLNFLSFLNVSNNKLVGPIPTSTQLQSFSEASFENNAGLCGPPLKTKCGSSPAKEDSPSDSETGSSIEWNLLSVVIGFIFGLGIIIVPLIYWKRWRIWYFERVDRALSRLFPRLGRETKKHGRRAKQNQRRRT >KJB16500 pep chromosome:Graimondii2_0_v6:2:59279496:59280805:1 gene:B456_002G232900 transcript:KJB16500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSKQRQLGLKHSNKKNARGGRLGTSYPNFELDLLGEDGWVVVKKQRVTILIPPLPSSKKSKMANQGPGQLQGQKKEDVQKSPKSTPLAHKMGSMLLNRRLRVSNLERKLQQAGGLTRWLKSLGLGQFVRIFQAKSINKFQLVNLNMQKLKDMGADAVGPRRKLIHAIDCVCQPLCFKA >KJB16499 pep chromosome:Graimondii2_0_v6:2:59279358:59280838:1 gene:B456_002G232900 transcript:KJB16499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSKQRQLGLKHSNKKNARGGRLGTSYPNFELDLLGEDGWVVVKKQRVTILIPPLPSSKKSKMANQGPGQLQGQKKEDVQKSPKSTPLAHKMGMEIARQQISTSPTFPALGPGVEEPKKPGGVVALKSHNKIVKSVRSMHCPNAAFSGSMLLNRRLRVSNLERKLQQAGGLTRWLKSLGLGQFVRIFQAKSINKFQLVNLNMQKLKDMGADAVGPRRKLIHAIDCVCQPLCFKA >KJB17059 pep chromosome:Graimondii2_0_v6:2:62348038:62355502:1 gene:B456_002G263000 transcript:KJB17059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYALGSAFDSKSGQMIMVSLLLMFGSFFLGNLFGNNAPIYVSQVSETSSSYSSSAAISTFINKVSLTYRETPLVVPANGMNICPLKFNEYIPCHDISYVKQLARDLNLSKSEQLERHCPPLGKRLFCLVPPPQDYKIPIKWPVSRDYVWRSNVNHTHLAEVKGGQNWVHEKNQLWWFPGGGTHFKHGAAEYIQRLGNMTTDETGDLRSAGLVQVLDVGCGVASFSAYLLPLDIQTMSFAPKDGHENQIQFALERGIGAMISVIASKQLPYPSNSFEMVHCSRCRIDWHENDGILLKEVNRLLRPKGYFVYSAPPAYRKDKDYPVIWNKLVDLTTGMCWKLIARKVQTAIWIKEEDPSCLKHNAELKVIDICDAVDETKPSWKTPLMNCIQLAGATTASKKLPSRPERLSVYSESLSRIGISREEFSSDSNFWQDQVHYYWKLMNVSRTEIRNVMDMNALFGGFAAALNEYPVWVMNVVPSSMQNTLSAIYDRGLIGAFHDW >KJB17060 pep chromosome:Graimondii2_0_v6:2:62347573:62359806:1 gene:B456_002G263000 transcript:KJB17060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYALGSAFDSKSGQMIMVSLLLMFGSFFLGNLFGNNAPIYVSQVSETSSSYSSSAAISTFINKVSLTYRETPLVVPANGMNICPLKFNEYIPCHDISYVKQLARDLNLSKSEQLERHCPPLGKRLFCLVPPPQDYKIPIKWPVSRDYVWRSNVNHTHLAEVKGGQNWVHEKNQLWWFPGGGTHFKHGAAEYIQRLGNMTTDETGDLRSAGLVQVLDVGCGVASFSAYLLPLDIQTMSFAPKDGHENQIQFALERGIGAMISVIASKQLPYPSNSFEMVHCSRCRIDWHENDGILLKEVNRLLRPKGYFVYSAPPAYRKDKDYPVIWNKLVDLTTGMCWKLIARKVQTAIWIKEEDPSCLKHNAELKVIDICDAVDETKPSWKTPLMNCIQLAGATTASKKLPSRPERLSVYSESLSRIGISREEFSSDSNFWQDQVHYYWKLMNVSRTEIRNVMDMNALFGGFAAALNEYPVWVMNVVPSSMQNTLSAIYDRGLIGAFHDWCEPFSTYPRTYDLLHANHLFSQYKYSGEGCQFEDIMLEMDRILRPQGFVIIRDEDSIISRIQDLAPKFLWEFESHILENKEKQRETLLICRKKFWAVL >KJB17058 pep chromosome:Graimondii2_0_v6:2:62347573:62359694:1 gene:B456_002G263000 transcript:KJB17058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYALGSAFDSKSGQMIMVSLLLMFGSFFLGNLFGNNAPIYVSQVSETSSSYSSSAAISTFINKVSLTYRETPLVVPANGMNICPLKFNEYIPCHDISYVKQLARDLNLSKSEQLERHCPPLGKRLFCLVPPPQDYKIPIKWPVSRDYVWRSNVNHTHLAEVKGGQNWVHEKNQLWWFPGGGTHFKHGAAEYIQRLGNMTTDETGDLRSAGLVQVLDVGCGVASFSAYLLPLDIQTMSFAPKDGHENQIQFALERGIGAMISVIASKQLPYPSNSFEMVHCSRCRIDWHENDGILLKEVNRLLRPKGYFVYSAPPAYRKDKDYPVIWNKLVDLTTGMCWKLIARKVQTAIWIKEEDPSCLKHNAELKVIDICDAVDETKPSWKTPLMNCIQLAGATTASKKLPSRPERLSVYSESLSRIGISREEFSSDSNFWQDQVHYYWKLMNVSRTEIRNVMDMNALFGGFAAALNEYPVWVMNVVPSSMQNTLSAIYDRGLIGAFHDWCEPFSTYPRTYDLLHANHLFSQYKYSGEGCQFEDIMLEMDRILRPQGFVIIRDEDSIISRIQDLAPKFLWEFESHILENKEKQRETLLICRKKFWAVL >KJB16969 pep chromosome:Graimondii2_0_v6:2:61938743:61942098:-1 gene:B456_002G257500 transcript:KJB16969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACWSNQIKSIWSSTTVKSSSEARNDKNWSGSSSKVSSACTIPQQPSRSEGEILQSSNLKSFTFIELRASTRNFRPDSVLGQGGFGSVYKGWVDEHSLTATKPGSGILIAVKRLNQDGIQGHKEWLAEIYYLGQLDHPNLVKLIGYCLEDEHRLLVYEFMPRGSMENHLFRRGSHIQPLSWGVRMKLALGAAKGLAFLHNAKTQVIYRDFKTSNILLDSDYNAKLSDFGLARDGPTGDRSHVSTRVMGTHGYAAPEYLVTGHLTAKSDIYSFGVVLLEIICGRRAIDKNKPSGEHNLVEWAKPYLTNKRRVFRVLDTRLQGQYSLNRVQKAAHLALHCLAKEPKSRPSMDEVVKRLEQLQEPGGTPGNAM >KJB16970 pep chromosome:Graimondii2_0_v6:2:61939760:61941816:-1 gene:B456_002G257500 transcript:KJB16970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACWSNQIKSIWSSTTVKSSSEARNDKNWSGSSSKVSSACTIPQQPSRSEGEILQSSNLKSFTFIELRASTRNFRPDSVLGQGGFGSVYKGWVDEHSLTATKPGSGILIAVKRLNQDGIQGHKEWLAEIYYLGQLDHPNLVKLIGYCLEDEHRLLVYEFMPRGSMENHLFRRGSHIQPLSWGVRMKLALGAAKGLAFLHNAKTQVIYRDFKTSNILLDSDYNAKLSDFGLARDGPTGDRSHVSTRVMGTHGYAAPEYLVTGIAPCSVFFCKYFVHCLPILLKLRC >KJB14198 pep chromosome:Graimondii2_0_v6:2:16095898:16098445:1 gene:B456_002G113900 transcript:KJB14198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSNSLVLSTNPPLQLFSGSHGSASSTNLAFNSGHLRKLHISTSRRPLTVQASYSDGERSSSANAFVGGFVLGGLVVGALGCVFAPQISKALAVADSKDLMKRLPKFIYDEEKALEKTRKVLTEKIAQLNAAIDDVSGQLRSEDAPNGVAVNSDEIEAAI >KJB15343 pep chromosome:Graimondii2_0_v6:2:43589581:43593041:-1 gene:B456_002G171900 transcript:KJB15343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNSFKFFSHLKKKKKASKNFPESISYRTLSDSYFGSPLQFFSDLKKTSKYPDPYSFNKLLHKLTASDCGALSLKLLSFFLSKGYTPHPSSFNSTISFFCKLGQSSYAQKLVNLMPLYGCEPDIATYNSLIDGYFKCGEVVKACLIVNEIRVDKCKPDLVTFNVLFNGFCKMRKKKEAFVYMGLMWKCCLPNVVTYSTWIDMFCKVGDLNMGVKVFRDMKKDKVLLNSIVFTCLIDGYCKVGDFEAAFELCKEMKLVKLAVNVVTYTALIDGLCKKGMLERAECLFFRMLKDKVKPNSVVYTSIIDAHFKKSNVTDALKYLGKMYVQGLEFDMAAYGVIIAGLCNTGMFDKASIYMENMVKSGLRPDKLMLTTIMDAHFKAGNVKAALNVYGEILARGFDPDVIVLTSLMDGLCKHGCLNEAESYFCRGKANKISYTVLINGLAKKGDFTELNRVFREMLEAGFTADKYVYTSWIAGLCEQGNLIEAFRVKNRMVQEGFQPDLLTYSSLIFGLANKGLMIEAKQIFEDMLKRQITPDAAVYEIMIRGYLRQDNEAAVTGLLEEMEKRGFSKATCKVGGNEFQ >KJB15344 pep chromosome:Graimondii2_0_v6:2:43591168:43592919:-1 gene:B456_002G171900 transcript:KJB15344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNSFKFFSHLKKKKKASKNFPESISYRTLSDSYFGSPLQFFSDLKKTSKYPDPYSFNKLLHKLTASDCGALSLKLLSFFLSKGYTPHPSSFNSTISFFCKLGQSSYAQKLVNLMPLYGCEPDIATYNSLIDGYFKCGEVVKACLIVNEIRVDKCKPDLVTFNVLFNGFCKMRKKKEAFVYMGLMWKCCLPNVVTYSTWIDMFCKVGDLNMGVKVFRDMKKDKVLLNSIVFTCLIDGYCKVGDFEAAFELCKEMKLVKLAVNVVTYTALIDGLCKKGMLERAECLFFRMLKDKVKPNSVVYTSIIDAHFKKSNVTDALKYLGKMYVQGLEFDMAAYGVIIAGLCNTGMFDKASIYMENMVKSGLRPDKLMLTTIMDAHFKAGNVKAALNVYGEILARGFDPDVIVLTSLMDGLCKHGCLNEAESYFCRGKANKISYTVLINGLAKKGDFTELNRVFREMLEAGFTADKYVYTSWIAGLCEQGNLIEAFRVKNRMVQEGFQPDLLTYSSLIFGLANKGLMIEAKQIFEDMLKRQITPDAAVYEIMIRGYLRQDNEAAVTGLLEEMEKRGFSKATCKVGGNEFQ >KJB15877 pep chromosome:Graimondii2_0_v6:2:54184266:54190984:-1 gene:B456_002G201200 transcript:KJB15877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSISDGSLVDPALSNPSCGDSAQSDPKHVIRRSIHPPPAFGSSPNLEALALEADKSEDQDGDSSVHANSHFSRPMHEITFSTEDKPKLLSQLTALLAEIGLNIQEAHAFSTVDGYSLDVFVVDGWPYEKQSWLKQHSFSPKRDDEKMGTNAYQNYVAIPNDGTDVWEIDPRNLKFENKVASGSYGDLYKGTYCSQEVAIKVLKPERLNTDLQKEFAQEVFIMRKVRHKNVVQFLGACTQPPNLCIITEFMSGGSVYDYLHKQKGVFRLPSLLKVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKSQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYEYLTPLQAAVGVVQKNLRPTIPKHTNPKLAELLERCWQQDPAVRPDFSEIIEILQQIAKEVGDDGEDRRKDKSSGGFLSALRRGHH >KJB15879 pep chromosome:Graimondii2_0_v6:2:54184814:54192066:-1 gene:B456_002G201200 transcript:KJB15879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDDNESCVSKANDFSPLQSRQQRQKLQVYNEVLRRLRDSDIEEANRPGFDDELWAHFNRLPSRYALDVNVERAEDVLMHQRLLHLAHDPANRPAMEVRLVQVQSISDGSLVDPALSNPSCGDSAQSDPKHVIRRSIHPPPAFGSSPNLEALALEADKSEDQDGDSSVHANSHFSRPMHEITFSTEDKPKLLSQLTALLAEIGLNIQEAHAFSTVDGYSLDVFVVDGWPYEETEQLKVVLEKEVLKVEKQSWLKQHSFSPKRDDEKMGTNAYQNYVAIPNDGTDVWEIDPRNLKFENKVASGSYGDLYKGTYCSQEVAIKVLKPERLNTDLQKEFAQEVFIMRKVRHKNVVQFLGACTQPPNLCIITEFMSGGSVYDYLHKQKGVFRLPSLLKVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKSQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYEYLTPLQAAVGVVQKNLRPTIPKHTNPKLAELLERCWQQDPAVRPDFSEIIEILQQIAKEVGDDGEDRRKDKSSGGFLSALRRGHH >KJB15878 pep chromosome:Graimondii2_0_v6:2:54184814:54190966:-1 gene:B456_002G201200 transcript:KJB15878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSISDGSLVDPALSNPSCGDSAQSDPKHVIRRSIHPPPAFGSSPNLEALALEADKSEDQDGDSSVHANSHFSRPMHEITFSTEDKPKLLSQLTALLAEIGLNIQEAHAFSTVDGYSLDVFVVDGWPYEETEQLKVVLEKEVLKVEKQSWLKQHSFSPKRDDEKMGTNAYQNYVAIPNDGTDVWEIDPRNLKFENKVASGSYGDLYKGTYCSQEVAIKVLKPERLNTDLQKEFAQEVFIMRKVRHKNVVQFLGACTQPPNLCIITEFMSGGSVYDYLHKQKGVFRLPSLLKVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKSQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYEYLTPLQAAVGVVQKNLRPTIPKHTNPKLAELLERCWQQDPAVRPDFSEIIEILQQIAKEVGDDGEDRRKDKSSGGFLSALRRGHH >KJB15880 pep chromosome:Graimondii2_0_v6:2:54184266:54192267:-1 gene:B456_002G201200 transcript:KJB15880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDDNESCVSKANDFSPLQSRQQRQKLQVYNEVLRRLRDSDIEEANRPGFDDELWAHFNRLPSRYALDVNVERAEDVLMHQRLLHLAHDPANRPAMEVRLVQVQSISDGSLVDPALSNPSCGDSAQSDPKHVIRRSIHPPPAFGSSPNLEALALEADKSEDQDGDSSVHANSHFSRPMHEITFSTEDKPKLLSQLTALLAEIGLNIQEAHAFSTVDGYSLDVFVVDGWPYEKQSWLKQHSFSPKRDDEKMGTNAYQNYVAIPNDGTDVWEIDPRNLKFENKVASGSYGDLYKGTYCSQEVAIKVLKPERLNTDLQKEFAQEVFIMRKVRHKNVVQFLGACTQPPNLCIITEFMSGGSVYDYLHKQKGVFRLPSLLKVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKSQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYEYLTPLQAAVGVVQKNLRPTIPKHTNPKLAELLERCWQQDPAVRPDFSEIIEILQQIAKEVGDDGEDRRKDKSSGGFLSALRRGHH >KJB13609 pep chromosome:Graimondii2_0_v6:2:10661374:10662228:-1 gene:B456_002G084300 transcript:KJB13609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSMNSMFRPSSPVGDETPEESSWTMYFQDFSNDIQMDGNSSSCYCSSYINYQTSSLLSDAACSAAGPHALDHKSCKKSRLSFKKRKNNGSPAAGFVDDDLEDTASSPVNSPKICNTNMENQFDKNLKVKDAMDKPQVKKLSFRLD >KJB13608 pep chromosome:Graimondii2_0_v6:2:10661333:10662262:-1 gene:B456_002G084300 transcript:KJB13608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSMNSMFRPSSPVGDETPEESSWTMYFQDFSNDIQMDGNSSSCYCSSYINYQTSSLLSDAACSAAGPHALDHKSCKKSRLSFKKRKNNGSPAAGFVDDDLEDTASSPVNSPKICNTNMENQFDKNLKVKDAMDKPQKNKGSGSSGQTDERNDETQLKKKGLCLVPLSMVVQYLG >KJB14543 pep chromosome:Graimondii2_0_v6:2:20337211:20339988:-1 gene:B456_002G130300 transcript:KJB14543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTCKDCRDWEEEIFWTHFQSVHFTQFLHGDFLQRLEIPEKFAKNIKKKLPETVTLKGPSGIIWDVRLTVDDDDTLFFNGGWKTFVKDHSLVANDFLIFKYNGVSHFDVLMFDGKSLCEKASSYFVRKCMHTESDATRQTKRKVNENSDEILHNSSQCGLESGPEKSTNNDMDARPSRKPIKSAASKKIRNSDNGTQSIHAKQSLVGKELPTFPGEVKVERLETEYEHTTMDGDGDVFGLWHTTGKRFVTQFEKTNVILKAQEALTNEGFMIIMKPTHVGRRFYMAVPTAWVAKYLPKDNADVILRMNKRTWKTRFYYHRSRDCGGLSGGWRNFVNDNNLEEHDVCVFQPANIGRKPMILDVNIFHVLQKAVPLSQADPLPSSPELIASTPDMDS >KJB14545 pep chromosome:Graimondii2_0_v6:2:20337211:20340108:-1 gene:B456_002G130300 transcript:KJB14545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTCKDCRDWEEEIFWTHFQSVHFTQFLHGDFLQRLEIPEKFAKNIKKKLPETVTLKGPSGIIWDVRLTVDDDDTLFFNGGWKTFVKDHSLVANDFLIFKYNGVSHFDVLMFDGKSLCEKASSYFVRKCMHTESDATRQTKRKVNENSDEILHNSSQCGLESGPEKSTNNDMDARPSRKPIKSAASKKIRNSDNGTQSIHAKQSLVGKELPTFPGEVKVERLETEYEHTTMDGDGDVFGLWHTTGKRFVTQFEKTNVILKAQEALTNEGFMIIMKPTHVGRRFYMAVPTAWVAKYLPKDNADVILRMNKRTWKTRFYYHRSRDCGGLSGGWRNFVNDNNLEEHDVCVFQPANIGRKPMILDVNIFHVLQKAVPLSQADPLPSSPELIASTPDMDS >KJB14544 pep chromosome:Graimondii2_0_v6:2:20337124:20340108:-1 gene:B456_002G130300 transcript:KJB14544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLLPYGSSTQAQSQSQLHQLHPSLCSLWLKQMEDTCKDCRDWEEEIFWTHFQSVHFTQFLHGDFLQRLEIPEKFAKNIKKKLPETVTLKGPSGIIWDVRLTVDDDDTLFFNGGWKTFVKDHSLVANDFLIFKYNGVSHFDVLMFDGKSLCEKASSYFVRKCMHTESDATRQTKRKVNENSDEILHNSSQCGLESGPEKSTNNDMDARPSRKPIKSAASKKIRNSDNGTQSIHAKQSLVGKELPTFPGEVKVERLETEYEHTTMDGDGDVFGLWHTTGKRFVTQFEKTNVILKAQEALTNEGFMIIMKPTHVGRRFYMAVPTAWVAKYLPKDNADVILRMNKRTWKTRFYYHRSRDCGGLSGGWRNFVNDNNLEEHDVCVFQPANIGRKPMILDVNIFHVLQKAVPLSQADPLPSSPELIASTPDMDS >KJB14317 pep chromosome:Graimondii2_0_v6:2:16913556:16914570:1 gene:B456_002G118900 transcript:KJB14317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSGHVRSSSLPINTHPLVVSVEEQLTRLRASQQETSSSMSNRLGGLKELYHRVDDLFQLQFPRALCIEHLEDVLDGSLRVLDVCGTIRDDLSRMREGLQGLESSLRRRTGRESCLEGEIRAYMVTRKEMNKMIRNLKGMDRKCKSVVLDNGSEMVSVVNMLREAEEISLSVFDSLLSFLLLPKRRSKATGWPILSKLLHSKRALCDRMEATEAEKLEVELSLLKSSKDIKLEQVQKLLKDLEAFQATIKETEGDLECIFRQLLKTRVFLLNILNH >KJB17000 pep chromosome:Graimondii2_0_v6:2:62118397:62119148:-1 gene:B456_002G259900 transcript:KJB17000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESTRISIKLLIDQERNKVILAEAGNDFVDTLRSILMFPLGSIARLFGKHQIPEPGCLINLYNSVENLNSNICCTHAYKHMLLYPRTIHENRLKKMKLNMDVTEPTKIFMCENGESMFFIADGLVVMKGLPGNLIQFLHKLGIKNFHQIKEEVLEIDSYEMSNLLSYSLFSKTTLTDAFLRKQ >KJB13104 pep chromosome:Graimondii2_0_v6:2:6025844:6028671:1 gene:B456_002G057300 transcript:KJB13104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVLQTRCLLSLPPNPTRVRVRSLLNPSQGLKQRLFASKPLGLSGLSLSYKEVPSFVAKPNGLPPKGKNFICKAEAAAATDGQPLFGETQKPKFLGIELVTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLANVLSKKALFYTVIVPFIAFFGAFGFMLYPLSNYIHPEALADKLLAVLGPRFLGPLAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKRFYPLFGLGANIALIFSGRTVKYFSNLRKNLGPGVDGWAISLKGMMSIVVLMGLAICFLYWWVNKFVPLPTRSMKKKPKMGTMESLKFLVSSKYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQFIFDKYGWGAAARITPTVLLLTGVGFFSLILFGDPLGPTLAKFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLASSTPYLGGILLVIVLAWLAAAKSLDTQFTALRQEEELEKEMERAAVKIPVVSETRNGTFAGGSLLNQSAGDSTGSTSETSTPSNI >KJB13103 pep chromosome:Graimondii2_0_v6:2:6025607:6028671:1 gene:B456_002G057300 transcript:KJB13103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVLQTRCLLSLPPNPTRVRVRSLLNPSQGLKQRLFASKPLGLSGLSLSYKEVPSFVAKPNGLPPKGKNFICKAEAAAATDGQPLFGETQKPKFLGIELVTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLANVLSKKALFYTVIVPFIAFFGAFGFMLYPLSNYIHPEALADKLLAVLGPRFLGPLAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKRFYPLFGLGANIALIFSGRTVKYFSNLRKNLGPGVDGWAISLKGMMSIVVLMGLAICFLYWWVNKFVPLPTRSMKKKEKPKMGTMESLKFLVSSKYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQFIFDKYGWGAAARITPTVLLLTGVGFFSLILFGDPLGPTLAKFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLASSTPYLGGILLVIVLAWLAAAKSLDTQFTALRQEEELEKEMERAAVKIPVVSETRNGTFAGGSLLNQSAGDSTGSTSETSTPSNI >KJB16862 pep chromosome:Graimondii2_0_v6:2:61525366:61529551:-1 gene:B456_002G251700 transcript:KJB16862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQASKADLAKKQKIIEDKTFGLKNKNKSKNVQKYVQNLKQSVQPKPDPSKTAQKKKKEEEKAKEKELNDLFKIAVTQPKVPVGVDPKSVLCEFYKAGQCVKGFKCKFSHDLNVQRKGEKIDIYSDKRDQETMEDWDQATLEKVVESKGKEYQQNKPTDIVCKYFLEAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALIEEESEKTPIEDEIENQRAKVKTSTPMTPELFMEWKKKKIAERDDGLAAQRAERAKNDRMSGRELFMSDASLFVDDAEAYEKYQREEESDAPENKANSDSAAPTGPSTAGVPDDDDDELDIDELNELEASLSKTSIQIQEPSAET >KJB16863 pep chromosome:Graimondii2_0_v6:2:61526725:61529551:-1 gene:B456_002G251700 transcript:KJB16863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQASKADLAKKQKIIEDKTFGLKNKNKSKNVQKYVQNLKQSVQPKPDPSKTAQKKKKEEEKAKEKELNDLFKIAVTQPKVPVGVDPKSVLCEFYKAGQCVKGFKCKFSHDLNVQRKGEKIDIYSDKRDQETMEDWDQATLEKVVESKGKEYQQNKPTDIVCKYFLEAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALIEEESEKTPIEDEIENQRAKVKTSTPMTPELFMEWKKKKIAERDDGLAAQRAERAKNDRMSGRELFMSDASLFVDDAEAYEKYQREEESDAPENKVLFFLASSIFIFFKAPMNYINQSGES >KJB17193 pep chromosome:Graimondii2_0_v6:2:41934090:41935916:-1 gene:B456_002G1686004 transcript:KJB17193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QIYLEKCGESALERAAECFVLAGCYITAAEVYAKGNYISKCLSVCTKGKLFDMGLQYIQYWKQHAKADEEMVQRSKDLEELKQTFLENCARHYHEINDKRAMINYVRAFDSMNSRRKFLQSLECLDELLSLEEESGNFLEAANIAKLRGDLLLAADLLGKGAQYEEAVHLILWFVFANSLWLAGSTGWPLKQFKEKENLLSKAKSFAKNLSRWFFGLVCSEADILLNKPSNLFLMKQYLSASQMHKSTRGEMLVARIILDHHLHLNISNYEWIDELVFDLASYSEEQIYNNRVSSETLVYFWNFWKDKILKIFEYLEHAENQYGTNDSRRYGDFCLNYFGVWRQFNNLNPIYLLNSDAEWLRKLENKHVCRNQKQVSISIHQFVSAARSYWCSELFSVGLQVLKMLELLYNFSHRNSLSSFNQSRSLTHIYEVASFLLNSKFLNSQHAEKDLMKFVNLSTKHFFGYIFPLDWRESLRENMISLRETEISRKLLEEVILESTGSKCNSLSYGEIGKVALIILGSGELTTEFYGKIFECLHWNRAWKNFIVNLKEDKGSPTFSTTDNISEYVGENRSSGTTTESAACHIKQIGSIEPVILKFHEALQDTYK >KJB17192 pep chromosome:Graimondii2_0_v6:2:41934090:41935918:-1 gene:B456_002G1686004 transcript:KJB17192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QIYLEKCGESALERAAECFVLAGCYITAAEVYAKGNYISKCLSVCTKGKLFDMGLQYIQYWKQHAKADEEMVQRSKDLEELKQTFLENCARHYHEINDKRAMINYVRAFDSMNSRRKFLQSLECLDELLSLEEESGNFLEAANIAKLRGDLLLAADLLGKGAQYEEAVHLILWFVFANSLWLAGSTGWPLKQFKEKENLLSKAKSFAKNLSRWFFGLVCSEADILLNKPSNLFLMKQYLSASQMHKSTRGEMLVARIILDHHLHLNISNYEWIDELVFDLASYSEEQIYNNRVSSETLVYFWNFWKDKILKIFEYLEHAENQYGTNDSRRYGDFCLNYFGVWRQFNNLNPIYLLNSDAEWLRKLENKHVCRNQKQVSISIHQFVSAARSYWCSELFSVGLQVLKMLELLYNFSHRNSLSSFNQSRSLTHIYEVASFLLNSKFLNSQHAEKDLMKFVNLSTKHFFGYIFPLDWRESLRENMISLRETEISRKLLEEVILESTGSKCNSLSYGEIGKVALIILGSGELTTEFYGKIFECLHWNRAWKNFIVNLKEDKGSPTFSTTDNISEYVGENRSSGTTTESAACHIKQIGSIEPVILKFHEALQDTYK >KJB15997 pep chromosome:Graimondii2_0_v6:2:55414404:55416230:-1 gene:B456_002G207600 transcript:KJB15997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSEEKEMEIDLSLKLDTKQEHMEAVKDQPKMQRDDNQHKAVDLQDKEDQQTSMAATGEVEVEDSAPLQGHSKAEELSMLQMEMNHMKEENQVLRKEVERTLQDYNHLQMKFAVIQQNNPNKEPRIFLSLNGNESSSQEQQANQRNLNTVNHRKHGSPLLHENDDEEKNELGLSLRLETSSTQRGIGEEEHKKESQGAPNVESVQNKLHQSQPSAVTSHAVSTPNRKPRVSVRARCQTATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCLDDMSVLITTYEGTHNHPLPVGATAMASIASSASPSFVLLDSSSSRSNGIPNNHHINSLPTNLSDPSKGILHNLTNNHHFDHQMLPTVPSAHQQVFPWMPSRLNQHNGREWKSSEDEDKSLLAENVKAIATDPNFRVAVAAAITSLTNKEAQTNHHHPIPMGSSLVGRESESGSSSANNWVLESLLETSKPIHHSP >KJB15054 pep chromosome:Graimondii2_0_v6:2:34506093:34507986:1 gene:B456_002G158100 transcript:KJB15054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVREPKEENTTLGPAVRDGEHVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >KJB15055 pep chromosome:Graimondii2_0_v6:2:34506158:34507986:1 gene:B456_002G158100 transcript:KJB15055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVREPKEENTTLGPAVRDGEHVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKVIGYYSPSYKASSYWREQNQDTWSWCPVST >KJB12822 pep chromosome:Graimondii2_0_v6:2:2992745:2996497:1 gene:B456_002G037900 transcript:KJB12822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVSEYQEIAKQKLPKMVYDYYASGAEDQWTLQENRFAFTKILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDQADDSGLASYVAGQIDRSLSWKDVKWLQTITKLPILVKGVLTAEDTRIAIQAGAAGIIVSNHGARQLDYVPATIMALEEIGRPVVFSLAAEGEAGVRKVLSMLRDEFELTMALSGCRSLKEITRDHIVTEWDSPHPRPAPRL >KJB12821 pep chromosome:Graimondii2_0_v6:2:2992745:2996497:1 gene:B456_002G037900 transcript:KJB12821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVSEYQEIAKQKLPKMVYDYYASGAEDQWTLQENRFAFTKILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDQADDSGLASYVAGQIDRSLSWKDVKWLQTITKLPILVKGVLTAEDR >KJB12820 pep chromosome:Graimondii2_0_v6:2:2992582:2996498:1 gene:B456_002G037900 transcript:KJB12820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVSEYQEIAKQKLPKMVYDYYASGAEDQWTLQENRFAFTKILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDQADDSGLASYVAGQIDRSLSWKDVKWLQTITKLPILVKGVLTAEDTRIAIQAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLSMLRDEFELTMALSGCRSLKEITRDHIVTEWDSPHPRPAPRL >KJB16882 pep chromosome:Graimondii2_0_v6:2:61590082:61592604:1 gene:B456_002G252800 transcript:KJB16882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNLCANSNAQEQHFDTETLNGDSFLEGFLFGTAASAYQVEGMASEDSRGPSIWDAYVKVLDGTGEINWEGVDYYNRLIDYLLENGITPHANLYHYDLPLALQEKYLGLLDRQVIQDFADYAEFCFKTFGGRVKTWMTFNEPRVVAALGFDNGINPPNRCSKQFGNCTDGNSVTEHYIAAHHLILTHVEAVKRYREKYQDKQNGRIGIFLDFVWYKPLTRSKADNYAAQRARDFHIGWFLHPLVYGKHSRTMQKIVGERLPKFTKSEVFTFYYIYFYFLLLLFTFDVLCLNHYTFYYIYDPHRPPSINAIMSITLSTFLNPTSVNTNAQEMRTHSEWLYEVPWDMYKVVTYVKECYDNPNIVLFENGIDDPGNLTFPESLYDSNRVIIYRSYLKGLKRAMDDGANASGYFAWSVLDNFEWLLGYTSRFGLVYVDHNDLKRYSKLSAYWFKQTLERKDS >KJB11822 pep chromosome:Graimondii2_0_v6:2:61261799:61262808:1 gene:B456_002G2484001 transcript:KJB11822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GHINPAVTFGLFLARKVSLVRAILYMAAQCLGAICGCGLVKAFQKSYYNKYGGGANSLADGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVMFNQDKPWDDHWIFWVGPLIGAAIAAIYHQYILRAGAAKALGSFRSSSAM >KJB11823 pep chromosome:Graimondii2_0_v6:2:61261924:61262783:1 gene:B456_002G2484001 transcript:KJB11823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AFQKSYYNKYGGGANSLADGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVMFNQDKPWDDHWIFWVGPLIGAAIAAIYHQYILRAGAAKALGSFRSSSAM >KJB11821 pep chromosome:Graimondii2_0_v6:2:61261292:61262783:1 gene:B456_002G2484001 transcript:KJB11821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDVEVGGEFQAKDYHDPPPAPLVDAQELTQWSFYRAIIAEFIATLLFLYITVLTVIGYKSQVDPDKGGQDCDGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYMAAQCLGAICGCGLVKAFQKSYYNKYGGGANSLADGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVMFNQDKPWDDHWIFWVGPLIGAAIAAIYHQYILRAGAAKALGSFRSSSAM >KJB14009 pep chromosome:Graimondii2_0_v6:2:14213435:14213791:-1 gene:B456_002G106200 transcript:KJB14009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKQIYKYKSEKEVWDMLQNAHEATSVVKRFKLQILTTKFENLRMQENETIGEFYAKLCDFSNQAFAFGGDYSNAKLAKKVLRSLLDRFSIKATTIEEVKDIDTMCIDEPIGSLQTF >KJB15544 pep chromosome:Graimondii2_0_v6:2:48548783:48550171:-1 gene:B456_002G183700 transcript:KJB15544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVEFEKKKHALKHIAFVRVAAIRTLVYVSNLYGYAKQNSGPLRSTVGTVEGAVAAIVGPVYEKYKDVPDHLLVFLDSKVWVKLNRSSAFQSVAEKIVPTASNLSDKYNCLVKDMSGKGYPVFAYLPLIPVGELRKAVKQAEAKDKARVTADTRKSDSDSGSGSGSGSDSDSG >KJB15545 pep chromosome:Graimondii2_0_v6:2:48548783:48550171:-1 gene:B456_002G183700 transcript:KJB15545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVEFEKKKHALKHIAFVRVAAIRTLVYVSNLYGYAKQNSGPLRSTVGTVEGAVAAIVGPVYEKYKDVPDHLLVFLDSKVDEASQKYDEHVPPAGKQMVNQAKDLAHMAAQKAHKLIDEARTNGARGALHYAATEYKQLVLVSSTKVWVKLNRSSAFQSVAEKIVPTASNLSDKYNCLVKDMSGKGYPVFAYLPLIPVGELRKAVKQAEAKDKARVTADTRKSDSDSGSGSGSGSDSDSG >KJB15546 pep chromosome:Graimondii2_0_v6:2:48549066:48549938:-1 gene:B456_002G183700 transcript:KJB15546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVEFEKKKHALKHIAFVRVAAIRTLVYVSNLYGYAKQNSGPLRSTVGTVEGAVAAIVGPVYEKYKDVPDHLLVFLDSKVDEASQKYDEHVPPAGKQMVNQAKDLAHMAAQKAHKLIDEARTNGARGALHYAATEYKQLVLVSSTKVWVKLNRSSAFQSVAEKIVPTASNLSDKYNCLVKDMSGKGYPVFAYLPLIPVGELRKAVKQAEAKDKARVTADTRKSDSDSGSGSGSGSDSDSG >KJB15543 pep chromosome:Graimondii2_0_v6:2:48548064:48550171:-1 gene:B456_002G183700 transcript:KJB15543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVEFEKKKHALKHIAFVRVAAIRTLVYVSNLYGYAKQNSGPLRSTVGTVEGAVAAIVGPVYEKYKDVPDHLLVFLDSKVDEASQKYDEHVPPAGKQMVNQAKDLAHMAAQKAHKLIDEARTNGARGALHYAATEYKQLVLVSSTKVWVKLNRSSAFQSVAEKIVPTASNLSDKYNCLVKDMSGKGYPVFAYLPLIPVGELRKAVKQAEAKDKARVTADTRKSDSDSGSGSGSGSDSDSDSLALFYGAAAQAKDMVKLSS >KJB16708 pep chromosome:Graimondii2_0_v6:2:60802515:60804472:1 gene:B456_002G243900 transcript:KJB16708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLEMPLHPQPQQQPQNPQSVQNPHSLHHQQQHPQVVAYAHHETQHVKQGYHPFGSKPKQISTISDEDEPGFTLDDGGSKRKASPWQRMKWTDNMVRLLIMAVYYIGDDQASSEGGNNNDPSGKKKGGGGSGGLLQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNEILGKGTACEVVENQRLLESMDLSPKMKDEVKKLLNSKHLFFREMCAYHNSCGHVTQEVANETPPQMQQRCLHSSDNAQIGGNPAGGSTETLKLEESDDEDDDDDDDESDGDDDDDDDETMDGHDEDQNVENSSRKRPRKGGVSPSMQQLSNEVTKVIQDGSKNSWEKKHWLKMKLMQLEEQEVSYQCEAFELEKQRLKWVKFSGKKEREMEKSKLENQRKRLENERMVLIVRQQELELVDMSDVRQHASNKRGDLSSFTS >KJB16709 pep chromosome:Graimondii2_0_v6:2:60802666:60804375:1 gene:B456_002G243900 transcript:KJB16709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLEMPLHPQPQQQPQNPQSVQNPHSLHHQQQHPQVVAYAHHETQHVKQGYHPFGSKPKQISTISDEDEPGFTLDDGGSKRKASPWQRMKWTDNMVRLLIMAVYYIGDDQASSEGGNNNDPSGKKKGGGGSGGLLQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNEILGKGTACEVVENQRLLESMDLSPKMKDEVKKLLNSKHLFFREMCAYHNSCGHVTQEVANETPPQMQQRCLHSSDNAQIGGNPAGGSTETLKLEESDDEDDDDDDDESDGDDDDDDDETMDGHDEDQNVENSSRKRPRKGGVSPSMQQLSNEVTKVIQDGSKNSWEKKHWLKMKLMQLEEQEVSYQCEAFELEKQRLKWVKFSGKKEREMEKSKLENQRKRLENERMVLIVRQQELELVDMSDVRQHASNKRGDLSSFTS >KJB17129 pep chromosome:Graimondii2_0_v6:2:62590008:62591929:1 gene:B456_002G266700 transcript:KJB17129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPHSPISIPEASPKPYKKSFVTTLMEAASLRSPFKEDTYFTSHLKASEKKALQELKDKLMASYGPDGECTMWGIPLLAEDEKADVVLLKFLRARDFRVLDSFRMLQKCLAWRKEFKADSVGEEDLGFKELEGVVAYMHGYDREGHPVCYNAYGVFKDKDMYERIFGDEEKLNKFLRWRIQVLERGISLLHFKPGGINSIIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKVVNQLLSLVFQTVMEIALTFHHLPFWVLDFHQCPMVLQCAVLNVRSIFNSAN >KJB17128 pep chromosome:Graimondii2_0_v6:2:62589714:62592470:1 gene:B456_002G266700 transcript:KJB17128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPHSPISIPEASPKPYKKSFVTTLMEAASLRSPFKEDTYFTSHLKASEKKALQELKDKLMASYGPDGECTMWGIPLLAEDEKADVVLLKFLRARDFRVLDSFRMLQKCLAWRKEFKADSVGEEDLGFKELEGVVAYMHGYDREGHPVCYNAYGVFKDKDMYERIFGDEEKLNKFLRWRIQVLERGISLLHFKPGGINSIIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKIFINVPWYFSVLYSMFGPFLTQRTKSKFVISREGHAAETLYKFIRPEDVPVQYGGLSRPNDLQKPASEFTVKGGEKVNIQIEGIEAGATITWDLVVGGWDLEYSAEFVPNKEDSYTIAVEKPRKLSPTEEAIRNSYTSKESGKLMLSVDNTSSRRKKVAAYRYTVRKSTLE >KJB14218 pep chromosome:Graimondii2_0_v6:2:16205462:16214724:-1 gene:B456_002G114800 transcript:KJB14218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQTQDQFEVYFRKADLDGDGRISGAEAVSFFQGSGLSKQVLAQIWAYADQSHSGFLSKQEFFNALKLVTVAQRRELTPDIVKAALYGPAAAKIPAPQINFPATSAPQMGAAVPPSSPSVGFRGPGVPNAGMSQQHFPSEQNLSMRPQQTMPAATALHPSQGIAAPESSRGGNIVGQPQAMPAGSTPLSHQSMPTGATGPSLTNQNMSSNWLSGRPGGASTGPRGVAPSTAPRPQAAVSMSSQPTANDSKALVVSGNGLASGLAFGSDAFSATSFTPTQELSTQTFSPHSAPASPGITPVSSGAQPLVKSNSLDSLQSTFSMHSAGSQSQRLYSSPIQGQPVSSPSSSFTPSGISAGAVNAAPNSSQPPWPKMKQSDVQKYTKVFMEVDTDRDGKITGEQARNLFLSWRLPREVLKQVWDLSDQDSDSMLSLREFCFALYLMERYREGRPLPPALPRNVLFDETLLSMTGQPNVSYGNAAWGPNPGFGHQSGMAAQTVTPSAGVKPPVRPNASADTTTMSNQQKPREPVLDDSFGTQPNNNGQNLENGAAEDVMADGKKVDGTEKGILDSREKLEFYREKMQELVLYKSRCDNRLNEITERAIADKREAEMLAKKYEEKYKQVAEIASKLTVEEAKFREIQERKTELHHAIVNIEQGGSADGILQVRADRIQSDLEELMKALTERCKKHGYDVKSAAVIELPTGWQPGVSEGAALWDEEWDKFEDEGFGNELTIDVKKGAVSQRGKASPDGSLTPDSTSYADEKTANLFSASNRAIESESAYTHSEDESARSPRGSPTDRNSLESPSKPFSDDPFGKSTEADAERHHFDESGWGTFDNDDMDSVWGFNSLNTKDSVKARDFFGSSDFDVHTRTESPNAESFYDKKSPFTFEDSVPSTPLSKFGNSPSRFSEASRDQFDSFSRFDSFSMHDGGFSQQPDRLTRFDSINSSKDFGSGFSRFDSINNSKDFGSGFSPQPETLTRFDSISSSKDFGHGFSFDDSDPFGSSGPFKVSSDQQSPKKSSDNWRAF >KJB14221 pep chromosome:Graimondii2_0_v6:2:16205824:16214406:-1 gene:B456_002G114800 transcript:KJB14221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQTQDQFEVYFRKADLDGDGRISGAEAVSFFQGSGLSKQVLAQIWAYADQSHSGFLSKQEFFNALKLVTVAQRRELTPDIVKAALYGPAAAKIPAPQINFPATSAPQMGAAVPPSSPSVGFRGPGVPNAGMSQQHFPSEQNLSMRPQQTMPAATALHPSQGIAAPESSRGGNIVGQPQAMPAGSTPLSHQSMPTGATGPSLTNQNMSSNWLSGRPGGASTGPRGVAPSTAPRPQAAVSMSSQPTANDSKALVVSGNGLASGLAFGSDAFSATSFTPTQELSTQTFSPHSAPASPGITPVSSGAQPLVKSNSLDSLQSTFSMHSAGSQSQRLYSSPIQGQPVSSPSSSFTPSGISAGAVNAAPNSSQPPWPKMKQSDVQKYTKVFMEVDTDRDGKITGEQARNLFLSWRLPREVLKQVWDLSDQDSDSMLSLREFCFALYLMERYREGRPLPPALPRNVLFDETLLSMTGQPNVSYGNAAWGPNPGFGHQSGMAAQTVTPSAGVKPPVRPNASADTTTMSNQQKPREPVLDDSFGTQPNNNGQNLENGAAEDVMADGKKVDGTEKGILDSREKLEFYREKMQELVLYKSRCDNRLNEITERAIADKREAEMLAKKYEEKYKQVAEIASKLTVEEAKFREIQERKTELHHAIVNIEQGGSADGILQVRADRIQSDLEELMKALTERCKKHGYDVKSAAVIELPTGWQPGVSEGAALWDEEWDKFEDEGFGNELTIDVKKGAVSQRGKASPDGSLTPDSTSYADEKTANLFSASNRAIESESAYTHSEDESARSPRGSPTDRNSLESPSKPFSDDPFGKSTEADAERHQSFDESGWGTFDNDDMDSVWGFNSLNTKDSVKARDFFGSSDFDVHTRTESPNAESFYDKKSPFTFEDSVPSTPLSKFGNSPSRFSEASRDQFDSFSRFDSFSMHDGGFSQQPDRLTRFDSINSSKDFGSGFSRFDSINNSKDFGSGFSPQPETLTRFDSISSSKDFGHGFSFDDSDPFGSSGPFKVSSDQQSPKKSSDNWRAF >KJB14222 pep chromosome:Graimondii2_0_v6:2:16205074:16214922:-1 gene:B456_002G114800 transcript:KJB14222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQTQDQFEVYFRKADLDGDGRISGAEAVSFFQGSGLSKQVLAQIWAYADQSHSGFLSKQEFFNALKLVTVAQRRELTPDIVKAALYGPAAAKIPAPQINFPATSAPQMGAAVPPSSPSVGFRGPGVPNAGMSQQHFPSEQNLSMRPQQTMPAATALHPSQGIAAPESSRGGNIVGQPQAMPAGSTPLSHQSMPTGATGPSLTNQNMSSNWLSGRPGGASTGPRGVAPSTAPRPQAAVSMSSQPTANDSKALVVSGNGLASGLAFGSDAFSATSFTPTQELSTQTFSPHSAPASPGITPVSSGAQPLVKSNSLDSLQSTFSMHSAGSQSQRLYSSPIQGQPVSSPSSSFTPSGISAGAVNAAPNSSQPPWPKMKQSDVQKYTKVFMEVDTDRDGKITGEQARNLFLSWRLPREVLKQVWDLSDQDSDSMLSLREFCFALYLMERYREGRPLPPALPRNVLFDETLLSMTGQPNVSYGNAAWGPNPGFGHQSGMAAQTVTPSAGVKPPVRPNASADTTTMSNQQKPREPVLDDSFGTQPNNNGQNLENGAAEDVMADGKKVDGTEKGILDSREKLEFYREKMQELVLYKSRCDNRLNEITERAIADKREAEMLAKKYEEKYKQVAEIASKLTVEEAKFREIQERKTELHHAIVNIEQGGSADGILQVRADRIQSDLEELMKALTERCKKHGYDVKSAAVIELPTGWQPGVSEGAALWDEEWDKFEDEGFGNELTIDVKKGAVSQRGKASPDGSLTPDSTSYADEKTANLFSASNRAIESESAYTHSEDESARSPRGSPTDRNSLESPSKPFSDDPFGKSTEADAERHHFDESGWGTFDNDDMDSVWGFNSLNTKDSVKARDFFGSSDFDVHTRTESPNAESFYDKKSPFTFEDSVPSTPLSKFGNSPSRFSEASRDQFDSFSRFDSFSMHDGGFSQQPDRLTRFDSINSSKDFGSGFSRFDSINNSKDFGSGFSPQPETLTRFDSISSSKDFGHGFSFDDSDPFGSSGPFKVSSDQQSPKKSSDNWRAF >KJB14217 pep chromosome:Graimondii2_0_v6:2:16205824:16214406:-1 gene:B456_002G114800 transcript:KJB14217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQTQDQFEVYFRKADLDGDGRISGAEAVSFFQGSGLSKQVLAQIWAYADQSHSGFLSKQEFFNALKLVTVAQRRELTPDIVKAALYGPAAAKIPAPQINFPATSAPQMGAAVPPSSPSVGFRGPGVPNAGMSQQHFPSEQNLSMRPQQTMPAATALHPSQGIAAPESSRGGNIVGQPQAMPAGSTPLSHQSMPTGATGPSLTNQNMSSNWLSGRPGGASTGPRGVAPSTAPRPQAAVSMSSQPTANDSKALVVSGNGLASGLAFGSDAFSATSFTPTQELSTQTFSPHSAPASPGITPVSSGAQPLVKSNSLDSLQSTFSMHSAGSQSQRLYSSPIQGQPVSSPSSSFTPSGISAGAVNAAPNSSQPPWPKMKQSDVQKYTKVFMEVDTDRDGKITGEQARNLFLSWRLPREVLKQVWDLSDQDSDSMLSLREFCFALYLMERYREGRPLPPALPRNVLFDETLLSMTGQPNVSYGNAAWGPNPGFGHQSGMAAQTVTPSAGVKPPVRPNASADTTTMSNQQKPREPVLDDSFGTQPNNNGQNLENGAAEDVMADGKKVDGTEKGILDSREKLEFYREKMQELVLYKSRCDNRLNEITERAIADKREAEMLAKKYEEKYKQVAEIASKLTVEEAKFREIQERKTELHHAIVNIEQGGSADGILQVRADRIQSDLEELMKALTERCKKHGYDVKSAAVIELPTGWQPGVSEGAALWDEEWDKFEDEGFGNELTIDVKKGAVSQRGKASPDGSLTPDSTSYADEKTANLFSASNRAIESESAYTHSEDESARSPRGSPTDRNSLESPSKPFSDDPFGKSTEADAERHQSFDESGWGTFDNDDMDSVWGFNSLNTKDSVKARDFFGSSDFDVHTRTESPNAESFYDKKSPFTFEDSVPSTPLSKFGNSPSRFSEASRDQFDSFSRFDSFSMHDGGFSQQPDRLTRFDSINSSKDFGSGFSRFDSINNSKDFGSGFSPQPETLTRFDSISSSKDFGHGFSFDDSDPFGSSGPFKVSSDQQSPKKSSDNWRAF >KJB14219 pep chromosome:Graimondii2_0_v6:2:16206929:16214406:-1 gene:B456_002G114800 transcript:KJB14219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQTQDQFEVYFRKADLDGDGRISGAEAVSFFQGSGLSKQVLAQIWAYADQSHSGFLSKQEFFNALKLVTVAQRRELTPDIVKAALYGPAAAKIPAPQINFPATSAPQMGAAVPPSSPSVGFRGPGVPNAGMSQQHFPSEQNLSMRPQQTMPAATALHPSQGIAAPESSRGGNIVGQPQAMPAGSTPLSHQSMPTGATGPSLTNQNMSSNWLSGRPGGASTGPRGVAPSTAPRPQAAVSMSSQPTANDSKALVVSGNGLASGLAFGSDAFSATSFTPTQELSTQTFSPHSAPASPGITPVSSGAQPLVKSNSLDSLQSTFSMHSAGSQSQRLYSSPIQGQPVSSPSSSFTPSGISAGAVNAAPNSSQPPWPKMKQSDVQKYTKVFMEVDTDRDGKITGEQARNLFLSWRLPREVLKQVWDLSDQDSDSMLSLREFCFALYLMERYREGRPLPPALPRNVLFDETLLSMTGQPNVSYGNAAWGPNPGFGHQSGMAAQTVTPSAGVKPPVRPNASADTTTMSNQQKPREPVLDDSFGTQPNNNGQNLENGAAEDVMADGKKVDGTEKGILDSREKLEFYREKMQELVLYKSRCDNRLNEITERAIADKREAEMLAKKYEEKYKQVAEIASKLTVEEAKFREIQERKTELHHAIVNIEQGGSADGILQVRADRIQSDLEELMKALTERCKKHGYDVKSAAVIELPTGWQPGVSEGAALWDEEWDKFEDEGFGNELTIDVKKGAVSQRGKASPDGSLTPDSTSYADEKTANLFSASNRAIESESAYTHSEDESARSPRGSPTDRNSLESPSKPFSDDPFGKSTEADAERHQYG >KJB14220 pep chromosome:Graimondii2_0_v6:2:16206701:16214406:-1 gene:B456_002G114800 transcript:KJB14220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQTQDQFEVYFRKADLDGDGRISGAEAVSFFQGSGLSKQVLAQIWAYADQSHSGFLSKQEFFNALKLVTVAQRRELTPDIVKAALYGPAAAKIPAPQINFPATSAPQMGAAVPPSSPSVGFRGPGVPNAGMSQQHFPSEQNLSMRPQQTMPAATALHPSQGIAAPESSRGGNIVGQPQAMPAGSTPLSHQSMPTGATGPSLTNQNMSSNWLSGRPGGASTGPRGVAPSTAPRPQAAVSMSSQPTANDSKALVVSGNGLASGLAFGSDAFSATSFTPTQELSTQTFSPHSAPASPGITPVSSGAQPLVKSNSLDSLQSTFSMHSAGSQSQRLYSSPIQGQPVSSPSSSFTPSGISAGAVNAAPNSSQPPWPKMKQSDVQKYTKVFMEVDTDRDGKITGEQARNLFLSWRLPREVLKQVWDLSDQDSDSMLSLREFCFALYLMERYREGRPLPPALPRNVLFDETLLSMTGQPNVSYGNAAWGPNPGFGHQSGMAAQTVTPSAGVKPPVRPNASADTTTMSNQQKPREPVLDDSFGTQPNNNGQNLENGAAEDVMADGKKVDGTEKGILDSREKLEFYREKMQELVLYKSRCDNRLNEITERAIADKREAEMLAKKYEEKYKQVAEIASKLTVEEAKFREIQERKTELHHAIVNIEQGGSADGILQVRADRIQSDLEELMKALTERCKKHGYDVKSAAVIELPTGWQPGVSEGAALWDEEWDKFEDEGFGNELTIDVKKGAVSQRGKASPDGSLTPDSTSYADEKTANLFSASNRAIESESAYTHSEDESARSPRGSPTDRNSLESPSKPFSDDPFGKSTEADAERHQSFDESGWGTFDNDDMDSVWGFNSLNTKVTPNFLKHIFVFRLQRW >KJB16486 pep chromosome:Graimondii2_0_v6:2:59168150:59173474:1 gene:B456_002G232300 transcript:KJB16486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPTDSALDLDEQISQLMQCKPLSEQQVRALCDKAKEILMEESNVQPVKAPVTICGDIHGQFHDLQELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNVRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCKNQSFIQFEPAPRRGEPDVTRRTPDYFL >KJB16487 pep chromosome:Graimondii2_0_v6:2:59168170:59173474:1 gene:B456_002G232300 transcript:KJB16487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPTDSALDLDEQISQLMQCKPLSEQQVRALCDKAKEILMEESNVQPVKAPVTICGDIHGQFHDLQELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNVRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMDGFNWAHVIMISKF >KJB11867 pep chromosome:Graimondii2_0_v6:2:26942202:26964644:-1 gene:B456_002G146400 transcript:KJB11867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSKRQLKAMLRKNWLLKIRHPFITAAEILLPTIVILLLIGIRTRVDTQIHPAQPYIRKDMFVEIGKGISPNFQQVLELLLAKREYIAFAPDTEQTRQMVNLISIKFPLLQLVSKIYKDELELDTYIQSDLYGTCDFRNCSNPKIKGAVVFHNQGPQLFDYSIRLNHTWAFSGFPDVKSIMDTNGPYLNDLELGVNIIPTMQYSFSGFLTLQQVLDSFIIFAAQQTESGIASQDLEIRALRSTGVTSSLGLPWTKFSPSNIRIAPFPTREYTDDEFQSIIKSVLGLLYLLGFLYPISRLISYTVFEKEQKIREGLYMMGLKDGIFHLSWLITYAFQFAISSVIITVCTMDNLFKYSDKTVVFVYFFVFGLSAIMLSFLISTFFTRAKTAVAVGTLSFLGAFFPYYTVNDEAVAMALKVIASFLSPTAFALGSINFADYERAHVGLRWSNIWRGSSGVNFLVCLLMMLFDTLLYCVVGLYLDKVLPSENGVRYPWNFMFQKCFWKKRSAIKHHVSSYEVRVNDTISKRKNIFPRKDMSGPAVEAISLEMKQQEIDGRCIQIKDLHKVYATKKGKCCAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVLGKSILTDMKEIREGLGVCPQHDILFPELTVREHLEMFAILKGVKEDGLESAVTEMVDEVGLADKLNTVVRALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMADGSLKCCGSSLFLKHQYGVGYTLTLVKSAPTASIAADIVYRYVPSATCVSEVGTEISFKLPLAASSVFESMFREIESCIGRSVSNSETSISEDKNYFGIESYGISVTTLEEVFLRVAGCDFDEAESVQEGNNFVSIDSIPSGEQVPKRISYAKLSGSYKKIIEGISSIVTRFCGLFVSIFLSFMHFLSMQCCSCCMISRSIFWQHSKALLIKRAVSARRDRKTIVFQLLIPVIFLLFGLLFLKLKPHPEQQSVTFTTSLFNPLLSGSGGGGPIPFDLSWPIAKEVAKNVEGGWIQKFKPTSYKFPDSERALADAVEAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAVVMDEQYDDGSLGYTVLHNSSCQHAAPTFINLMNSAILRLATSDKNMTIRARNHPLPMTKSQRLQHHDLDAFSAAIIVNIAFSFIPASFAVPLVKEQEVKAKHQQLISGVSVISYWVSTYIWDFISFLFPSTFAIVLFYVFGLDQFIGRGFLPTVIMFLEYGLAIASSTYCLTFFFSDHSMAQNVVLLIHFFTGLILMVISFIMGLIKTTASANSFLKNFFRLSPGFCFADGLASLALLRQGMKDKSSDGIFDWNVTGASICYLGIEAIGYFFLTLGLELLPTCKLTPARLMEWWRKKPFQGDDSVLEPFLKSPSETSVHLDEDIDVRTERNRVLSGSIDNTILFLRNLQKVYPGGNHHRAKVAVDSLTFSVQAGECFGFLGTNGAGKTTTLSMLTGEESPTEGTAFIFGKDISSNPKAARRHIGYCPQFDALLEYLTVQEHLELYARIKGVSDYRMNDVVLEKLVEFDLLKHADKPSYTLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRQGKTAVILTTHSMNEAQALCTRIGIMVGGRLRCIGSPQHLKTRFGNHLELEIKPTEVSASDLENLCRIIQEMLFDIPSHPRSLLNDLEVCIGAIDSITSGNASVAEISLSKEMIIVVGRWLGNEERVKTLISSRSNSDGLVGEQLSEQLVREGGIPLPIFSEWWLAREKFSAIDSFILSSFPGATFHGCNGLSVKYQLPYGEGLSLADVFGHLERNRNFYVRNGSGIAEYSISQSTLETIFNHFASNS >KJB11869 pep chromosome:Graimondii2_0_v6:2:26941964:26962834:-1 gene:B456_002G146400 transcript:KJB11869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLKDGIFHLSWLITYAFQFAISSVIITVCTMDNLFKYSDKTVVFVYFFVFGLSAIMLSFLISTFFTRAKTAVAVGTLSFLGAFFPYYTVNDEAVAMALKVIASFLSPTAFALGSINFADYERAHVGLRWSNIWRGSSGVNFLVCLLMMLFDTLLYCVVGLYLDKVLPSENGVRYPWNFMFQKCFWKKRSAIKHHVSSYEVRVNDTISKRKNIFPRKDMSGPAVEAISLEMKQQEIDGRCIQIKDLHKVYATKKGKCCAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVLGKSILTDMKEIREGLGVCPQHDILFPELTVREHLEMFAILKGVKEDGLESAVTEMVDEVGLADKLNTVVRALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMADGSLKCCGSSLFLKHQYGVGYTLTLVKSAPTASIAADIVYRYVPSATCVSEVGTEISFKLPLAASSVFESMFREIESCIGRSVSNSETSISEDKNYFGIESYGISVTTLEEVFLRVAGCDFDEAESVQEGNNFVSIDSIPSGEQVPKRISYAKLSGSYKKIIEGISSIVTRFCGLFVSIFLSFMHFLSMQCCSCCMISRSIFWQHSKALLIKRAVSARRDRKTIVFQLLIPVIFLLFGLLFLKLKPHPEQQSVTFTTSLFNPLLSGSGGGGPIPFDLSWPIAKEVAKNVEGGWIQKFKPTSYKFPDSERALADAVEAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAVVMDEQYDDGSLGYTVLHNSSCQHAAPTFINLMNSAILRLATSDKNMTIRARNHPLPMTKSQRLQHHDLDAFSAAIIVNIAFSFIPASFAVPLVKEQEVKAKHQQLISGVSVISYWVSTYIWDFISFLFPSTFAIVLFYVFGLDQFIGRGFLPTVIMFLEYGLAIASSTYCLTFFFSDHSMAQNVVLLIHFFTGLILMVISFIMGLIKTTASANSFLKNFFRLSPGFCFADGLASLALLRQGMKDKSSDGIFDWNVTGASICYLGIEAIGYFFLTLGLELLPTCKLTPARLMEWWRKKPFQGDDSVLEPFLKSPSETSVHLDEDIDVRTERNRVLSGSIDNTILFLRNLQKVYPGGNHHRAKVAVDSLTFSVQAGECFGFLGTNGAGKTTTLSMLTGEESPTEGTAFIFGKDISSNPKAARRHIGYCPQFDALLEYLTVQEHLELYARIKGVSDYRMNDVVLEKLVEFDLLKHADKPSYTLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRQGKTAVILTTHSMNEAQALCTRIGIMVGGRLRCIGSPQHLKTRFGNHLELEIKPTEVSASDLENLCRIIQEMLFDIPSHPRSLLNDLEVCIGAIDSITSGNASVAEISLSKEMIIVVGRWLGNEERVKTLISSRSNSDGLVGEQLSEQLVREGGIPLPIFSEWWLAREKFSAIDSFILSSFPGATFHGCNGLSVKYQLPYGEGLSLADVFGHLERNRNGSGIAEYSISQSTLETIFNHFASNS >KJB11868 pep chromosome:Graimondii2_0_v6:2:26941964:26961152:-1 gene:B456_002G146400 transcript:KJB11868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLFKYSDKTVVFVYFFVFGLSAIMLSFLISTFFTRAKTAVAVGTLSFLGAFFPYYTVNDEAVAMALKVIASFLSPTAFALGSINFADYERAHVGLRWSNIWRGSSGVNFLVCLLMMLFDTLLYCVVGLYLDKVLPSENGVRYPWNFMFQKCFWKKRSAIKHHVSSYEVRVNDTISKRKNIFPRKDMSGPAVEAISLEMKQQEIDGRCIQIKDLHKVYATKKGKCCAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVLGKSILTDMKEIREGLGVCPQHDILFPELTVREHLEMFAILKGVKEDGLESAVTEMVDEVGLADKLNTVVRALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMADGSLKCCGSSLFLKHQYGVGYTLTLVKSAPTASIAADIVYRYVPSATCVSEVGTEISFKLPLAASSVFESMFREIESCIGRSVSNSETSISEDKNYFGIESYGISVTTLEEVFLRVAGCDFDEAESVQEGNNFVSIDSIPSGEQVPKRISYAKLSGSYKKIIEGISSIVTRFCGLFVSIFLSFMHFLSMQCCSCCMISRSIFWQHSKALLIKRAVSARRDRKTIVFQLLIPVIFLLFGLLFLKLKPHPEQQSVTFTTSLFNPLLSGSGGGGPIPFDLSWPIAKEVAKNVEGGWIQKFKPTSYKFPDSERALADAVEAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAVVMDEQYDDGSLGYTVLHNSSCQHAAPTFINLMNSAILRLATSDKNMTIRARNHPLPMTKSQRLQHHDLDAFSAAIIVNIAFSFIPASFAVPLVKEQEVKAKHQQLISGVSVISYWVSTYIWDFISFLFPSTFAIVLFYVFGLDQFIGRGFLPTVIMFLEYGLAIASSTYCLTFFFSDHSMAQNVVLLIHFFTGLILMVISFIMGLIKTTASANSFLKNFFRLSPGFCFADGLASLALLRQGMKDKSSDGIFDWNVTGASICYLGIEAIGYFFLTLGLELLPTCKLTPARLMEWWRKKPFQGDDSVLEPFLKSPSETSVHLDEDIDVRTERNRVLSGSIDNTILFLRNLQKVYPGGNHHRAKVAVDSLTFSVQAGECFGFLGTNGAGKTTTLSMLTGEESPTEGTAFIFGKDISSNPKAARRHIGYCPQFDALLEYLTVQEHLELYARIKGVSDYRMNDVVLEKLVEFDLLKHADKPSYTLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRQGKTAVILTTHSMNEAQALCTRIGIMVGGRLRCIGSPQHLKTRFGNHLELEIKPTEVSASDLENLCRIIQEMLFDIPSHPRSLLNDLEVCIGAIDSITSGNASVAEISLSKEMIIVVGRWLGNEERVKTLISSRSNSDGLVGEQLSEQLVREGGIPLPIFSEWWLAREKFSAIDSFILSSFPGATFHGCNGLSVKYQLPYGEGLSLADVFGHLERNRNGSGIAEYSISQSTLETIFNHFASNS >KJB11866 pep chromosome:Graimondii2_0_v6:2:26941850:26964903:-1 gene:B456_002G146400 transcript:KJB11866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSKRQLKAMLRKNWLLKIRHPFITAAEILLPTIVILLLIGIRTRVDTQIHPAQPYIRKDMFVEIGKGISPNFQQVLELLLAKREYIAFAPDTEQTRQMVNLISIKFPLLQLVSKIYKDELELDTYIQSDLYGTCDFRNCSNPKIKGAVVFHNQGPQLFDYSIRLNHTWAFSGFPDVKSIMDTNGPYLNDLELGVNIIPTMQYSFSGFLTLQQVLDSFIIFAAQQTESGIASQDLEIRALRSTGVTSSLGLPWTKFSPSNIRIAPFPTREYTDDEFQSIIKSVLGLLYLLGFLYPISRLISYTVFEKEQKIREGLYMMGLKDGIFHLSWLITYAFQFAISSVIITVCTMDNLFKYSDKTVVFVYFFVFGLSAIMLSFLISTFFTRAKTAVAVGTLSFLGAFFPYYTVNDEAVAMALKVIASFLSPTAFALGSINFADYERAHVGLRWSNIWRGSSGVNFLVCLLMMLFDTLLYCVVGLYLDKVLPSENGVRYPWNFMFQKCFWKKRSAIKHHVSSYEVRVNDTISKRKNIFPRKDMSGPAVEAISLEMKQQEIDGRCIQIKDLHKVYATKKGKCCAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVLGKSILTDMKEIREGLGVCPQHDILFPELTVREHLEMFAILKGVKEDGLESAVTEMVDEVGLADKLNTVVRALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMADGSLKCCGSSLFLKHQYGVGYTLTLVKSAPTASIAADIVYRYVPSATCVSEVGTEISFKLPLAASSVFESMFREIESCIGRSVSNSETSISEDKNYFGIESYGISVTTLEEVFLRVAGCDFDEAESVQEGNNFVSIDSIPSGEQVPKRISYAKLSGSYKKIIEGISSIVTRFCGLFVSIFLSFMHFLSMQCCSCCMISRSIFWQHSKALLIKRAVSARRDRKTIVFQLLIPVIFLLFGLLFLKLKPHPEQQSVTFTTSLFNPLLSGSGGGGPIPFDLSWPIAKEVAKNVEGGWIQKFKPTSYKFPDSERALADAVEAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAVVMDEQYDDGSLGYTVLHNSSCQHAAPTFINLMNSAILRLATSDKNMTIRARNHPLPMTKSQRLQHHDLDAFSAAIIVNIAFSFIPASFAVPLVKEQEVKAKHQQLISGVSVISYWVSTYIWDFISFLFPSTFAIVLFYVFGLDQFIGRGFLPTVIMFLEYGLAIASSTYCLTFFFSDHSMAQNVVLLIHFFTGLILMVISFIMGLIKTTASANSFLKNFFRLSPGFCFADGLASLALLRQGMKDKSSDGIFDWNVTGASICYLGIEAIGYFFLTLGLELLPTCKLTPARLMEWWRKKPFQGDDSVLEPFLKSPSETSVHLDEDIDVRTERNRVLSGSIDNTILFLRNLQKVYPGGNHHRAKVAVDSLTFSVQAGECFGFLGTNGAGKTTTLSMLTGEESPTEGTAFIFGKDISSNPKAARRHIGYCPQFDALLEYLTVQEHLELYARIKGVSDYRMNDVVLEKLVEFDLLKHADKPSYTLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRQGKTAVILTTHSMNEAQALCTRIGIMVGGRLRCIGSPQHLKTRFGNHLELEIKPTEVSASDLENLCRIIQEMLFDIPSHPRSLLNDLEVCIGAIDSITSGNASVAEISLSKEMIIVVGRWLGNEERVKTLISSRSNSDGLVGEQLSEQLVREGGIPLPIFSEWWLAREKFSAIDSFILSSFPGATFHGCNGLSVKYQLPYGEGLSLADVFGHLERNRNGSGIAEYSISQSTLETIFNHFASNS >KJB12203 pep chromosome:Graimondii2_0_v6:2:368781:370179:-1 gene:B456_002G005800 transcript:KJB12203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSMSASWTAKQNKDFERALAVYDKDTPDRWYNVAKAVGGKTVEEVKKHYELLLEDVRHIESGRVPFPDYWTVTGNRQA >KJB16666 pep chromosome:Graimondii2_0_v6:2:60538548:60541857:1 gene:B456_002G241600 transcript:KJB16666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRKHLHELLQEDQEPFVLKKYIADRRCELKKPSPKTHLQIKKRKPITQTSSFPSNFCKNACFFSTKTTTDFTKSPLFEFPSPVKRSPCKSPNAIFLHIPARTAAILLEAALRIQKQSKTKNNGGSSGLLGSILKRITHRNKSRNSEISNVEGAEVSVKDILRWDSSVGKNNQSGRPSSSAVWSETNEEKSMDLDLDTSCSCSQSEDFEEIFVTKDGLRSNAAFGSCDEHFCESPFHFVLQKSPSFTHRTPLFSSPTTSPSRRQKEDKENYQVENFKKLQVEEEEEEQCSPVSVLDPPFEDDDDRHVNDDNDDDNDDEKDGFDLECSYANVQRAKQQLLHKLRRFEKLAELDPIELEKRMLEDDDDDDDNEEEEVENESVYSDSEINIDVIIQQVLKSSFQNPERVPDCMKRLVSDLITEEEEEAEADSDLETVAKRVCKRIESWKDVESNTIDMMVDQDFKRLEVDGWKRNEEQIKEIGSQLEYAIFGLLMEELSEELVCLNGI >KJB11923 pep chromosome:Graimondii2_0_v6:2:8857400:8857645:1 gene:B456_002G075300 transcript:KJB11923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IISLGQFICENVCIAKSGPRLKSGQVFIVQADSIVIRSAKPYLATPGATVHGHYGEILYEGDTLVTFIYEKLIILPFFYYK >KJB12624 pep chromosome:Graimondii2_0_v6:2:2087573:2087810:1 gene:B456_002G027900 transcript:KJB12624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGSSRRDLLLNSQYFCHSILAGTENPLPSRLCYRRLWGSRNRRALILGWAYYLDAFNSYPLRTWLPSIYRGHDN >KJB15308 pep chromosome:Graimondii2_0_v6:2:42702655:42704754:1 gene:B456_002G170100 transcript:KJB15308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLKPYCLVVLFLFWISLNALVCDVGAAGECGRTPIRSAAASLSPCLGAARNARAKVPPACCAKVGALLRTSPRCLCAILLSPLAKQAGIMPGIAIAIPKKCNIRNRQAGKKCGRYTVP >KJB14472 pep chromosome:Graimondii2_0_v6:2:19257124:19257863:-1 gene:B456_002G126500 transcript:KJB14472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVVSEILRSGFMINSSLRRRTHLVQSFSVVFLHCGNSNSSGSTLLQISGSEEDLHRLMDERKRKRMESNRESARRSRMRKQKYLDDLMAQLTLLRKDNNQILTSINFITHHYFNIEAENSVLRAQFMELSQRLDSLNHILNYLNDPTLNNGIWVYETEPFETSADSFTNFTLNQPIVASADIFQY >KJB14650 pep chromosome:Graimondii2_0_v6:2:22408821:22409957:-1 gene:B456_002G135700 transcript:KJB14650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKGHSHNKLVRFITIPFRALGKARDLYVKSMTSCASRVSFGQGSGDYSGQYSGLPRSFSASSATSSHDNEDLRDLIRAASVRSLGHRNEIEMFMQEQLKQMRSSKGLPKSSSVGMGRIDEDKPCDDFEEKDEAPVDNKKQDSLFKRSKTYASVTKRTSVAFDSY >KJB11915 pep chromosome:Graimondii2_0_v6:2:3658714:3659862:-1 gene:B456_002G044300 transcript:KJB11915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVAAALSLSLLIFSFIPGGTLMMVNGQKSWCVAKPSSDQATLLANINFACSQVDCRVMQKGCPCFSPDNLMNHASIAMNLYYQAKGRNKWNCDFRGSGLVVITNPSYADCIYD >KJB11916 pep chromosome:Graimondii2_0_v6:2:3658746:3659719:-1 gene:B456_002G044300 transcript:KJB11916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVNGQKSWCVAKPSSDQATLLANINFACSQVDCRVMQKGCPCFSPDNLMNHASIAMNLYYQAKGRNKWNCDFRGSGLVVITNPSYADCIYD >KJB12032 pep chromosome:Graimondii2_0_v6:2:19351534:19355329:-1 gene:B456_002G126800 transcript:KJB12032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGKAIYTVGFWIRETGQALDRLGCRLQGNYFFQEQLSRHRTLMNLFDKSPLVDKDAFVAPSASVIGDVQVGRGSSIWYGCVLRGDVNSISVGSGTNIQDNSLVHVAKSNLSGKVLPTNIGNNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVVVEKHAMVAAGALVRQNTRIPAGEVWGGNPAKFLRKLTEEEISFISQSATNYTNLAQVHAAENAKPFDEIEFEKVLRKKFAKRDEEYDSMLGVVRETPPELILPDNVLPDKEQKSSQK >KJB12033 pep chromosome:Graimondii2_0_v6:2:19352505:19355329:-1 gene:B456_002G126800 transcript:KJB12033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGKAIYTVGFWIRETGQALDRLGCRLQGNYFFQEQLSRHRTLMNLFDKSPLVDKDAFVAPSASVIGDVQVGRGSSIWYGCVLRGDVNSISVGSGTNIQDNSLVHVAKSNLSGKVLPTNIGNNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVVVEKHAMVAAGALVRQNTRIPAGEVPQTPWSSGHVFKNI >KJB15366 pep chromosome:Graimondii2_0_v6:2:44287062:44289429:-1 gene:B456_002G173400 transcript:KJB15366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVKGKWSGFMKKVNNQFSSSGNFKGQGRVLGSSSSGPVNPILTRPSPPHTPSPKPIPPSSSSSSSTSKPSLPSKSSNSDQNTPSNPEPPQKPENGFDPYGSLITSSKVSKNGFTLNMFECPICGAPYVSEEEVSKHVETCIEINSSDREGGDTDTGLNENELQESSGIELEVCIGSYISGNPPDGSVQVFLRLLRNIVKEPGNDKFRKVRMSNPKIREAIGEVSGGVELLELVGFVLKEEGGEMWAVVDVLKKEMITLMNKAIMLLEQGKIEEGNKSEKEEMVEPKKIDRQIRVFFSVPESVAAKIELPDSFYSLSAEEVKREAELRKKKNAESQLLIPKSFKEKQAKAGRRRYRRTMIRIQFPDGVVLQAAFAPWEPTSSLYKFVSLSLKEPSLEFELLDPVLVKRRVIPSFPAAGQKAQTLDEEDLVPSALIKFKPIETDSVVFTGLSNELLELSEPLVTN >KJB13994 pep chromosome:Graimondii2_0_v6:2:13832304:13833508:1 gene:B456_002G105300 transcript:KJB13994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSSNPSIILPKPPHPLHQIAETPTHRLLLKQWLKEEELILNRISFKETQIDSVRKEITQLYIFFFLFHSISLLLLFNSSSRDSPLGACHRSWIPSLCSLLCSMGIIWAVRYKTDVEGHLEKLLEREKEDGKLLGKCVEELKKKGVEFDLLKEVDALRRAKSLRVEAKAVRKWSARDFVTLFFFTVSCLVLGLTRVILCS >KJB12569 pep chromosome:Graimondii2_0_v6:2:1778744:1781358:1 gene:B456_002G024600 transcript:KJB12569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFNKSVAKSPDGLTVADQSQAVSALKDGFLANHFGSVHPGSVTINLGSSGVMAYSREKQNPLLPRLFAVVDEIFCMFQGHIENVAVLKQQYGLNKTADEGIIVIEAYRTLRDRGPYPPDQVVRDIQGKFIFILFDSSSKSTFIASVSIPTFSRLTRWMSLMYAFIVEFLLPYDAGC >KJB12567 pep chromosome:Graimondii2_0_v6:2:1778696:1781381:1 gene:B456_002G024600 transcript:KJB12567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFNKSVAKSPDGLTVADQSQAVSALKDGFLANHFGSVHPGSVTINLGSSGVMAYSREKQNPLLPRLFAVVDEIFCMFQGHIENVAVLKQQYGLNKTADEGIIVIEAYRTLRDRGPYPPDQVVRDIQGKFIFILFDSSSKSTFIASDADGTVPFFWGTDVDGHLVLADDEETVKKGCGKSSAPFPKGCFFTSSGGLRSFEHPQNELKAVPRVDGSGQACGATYCVDTETKKESTGMKKVDSAANWSTNY >KJB12568 pep chromosome:Graimondii2_0_v6:2:1778744:1781358:1 gene:B456_002G024600 transcript:KJB12568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFNKSVAKSPDGLTVADQSQAVSALKDGFLANHFGSVHPGSVTINLGSSGVMAYSREKQNPLLPRLFAVVDEIFCMFQGHIENVAVLKQQYGLNKTADEGIIVIEAYRTLRDRGPYPPDQVVRDIQGKFIFILFDSSSKSTFIASVRC >KJB16986 pep chromosome:Graimondii2_0_v6:2:62058570:62058992:-1 gene:B456_002G259000 transcript:KJB16986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transporter 4 [Source:Projected from Arabidopsis thaliana (AT2G37925) UniProtKB/Swiss-Prot;Acc:Q8SAA5] MAETTSAWNTTGLHVHRKSLLHMSFYWGHKSEILFSGWPGCNSGMYALALILVFALAMIVEWLSYCSIIKPGANKVAAGFFQTAMHTVRAGLSYMVMLAVMSFNGGVFLAAVFGHAIGFLVFGSKAFRKAEKVPDLPPRK >KJB13903 pep chromosome:Graimondii2_0_v6:2:12850461:12854965:1 gene:B456_002G101000 transcript:KJB13903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKLASLRQVHGRLALRFLNFFINQPGLEHNHLTHVLSITTHILVRARMYDSAKSLLRQLCKLGVGSRFVFGALMDTYSLCNSNPSVFDLLIRVYLKDGMIDNALETFNLMRFRGFKPSVYTCNMMLGSMVKDGRVCSVWEFFKELLDLKICPNIATFNILINVLCVEGKLEKAVYLLRKMENSGYVPTIVTYNTLLHWFCKNGRYKSAFELIDRMGSKGIEADVCTYNMLIDDLCRNDRSAKAYLLLKKMRKRRISPNAITYNTLINGFVKVGSLGVATRIFDEMAYFNLSPNVATYNALIEGHSNEGNFEEALRLMDMMEKVGLRLNEVSYGVLLNGLCKHGKFDLVRRFFERMRTNGMGFGCIPYTEMIDGLCKKGLFDEAVQMFHEMFEEGVAPDIITFSVLINGFYQAGNIKCVKEIICKMYRAGFRPNKVIYSTLIYNSCKMGLVTEALKIYRIMNCSGHAADHFTCNTLVASLCRDGKVREAEEFMRHMSRIGPNPNSITFDCVISGYGNLGDGLKAFSLFDEMVKLGNTPSFFTYSSLLKGLCKGGNLVEAKKFLNKLHYIPSAVDNVVYNTILAGTCKSGNMWESVALFEEMVLFGVLPDSYTYTILLNGLCRKGKVAAALLFLGKLMDKGVFTPNQVTYTCLVDGLFKAGQSKAAYYFYKEMEQKSRYLDVIAFNAALDGTSRRGKLMKVNNLFSMMRSKSLCPSLPTYNILLHGYAKQKDIRTCSSLFKLMISSGLLPDRLTSHSLILGLCKTGMLDVGIKILKKMISEGVEVDRFTFNMLISKCCERGDTGKTFDLVNAMNFLGIFPDAETLNALVIGLNRNLALQESHIVLHEMAHKGYLPKGKQYITLINAMCRARNLQAALELKDEMTSLGITSPDVAESAIVRGLALSGKVEEAILVLDRMIRKQLVPTVATFTTLMHMLCKESNIAEALKLRNKMQLCGLKLDVVAYNVIISGVCANGDLAAAFELYQEMKQNGLWPNATTYTVLVDALLIEGSNPSTGDVLLEDLKGRGIISCDWDGSTEQFQKALIIAKKRFKYLKQNKRKWH >KJB13902 pep chromosome:Graimondii2_0_v6:2:12850461:12854965:1 gene:B456_002G101000 transcript:KJB13902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKLASLRQVHGRLALRFLNFFINQPGLEHNHLTHVLSITTHILVRARMYDSAKSLLRQLCKLGVGSRFVFGALMDTYSLCNSNPSVFDLLIRVYLKDGMIDNALETFNLMRFRGFKPSVYTCNMMLGSMVKDGRVCSVWEFFKELLDLKICPNIATFNILINVLCVEGKLEKAVYLLRKMENSGYVPTIVTYNTLLHWFCKNGRYKSAFELIDRMGSKGIEADVCTYNMLIDDLCRNDRSAKAYLLLKKMRKRRISPNAITYNTLINGFVKVGSLGVATRIFDEMAYFNLSPNVATYNALIEGHSNEGNFEEALRLMDMMEKVGLRLNEVSYGVLLNGLCKHGKFDLVRRFFERMRTNGMGFGCIPYTEMIDGLCKKGLFDEAVQMFHEMFEEGVAPDIITFSVLINGFYQAGNIKCVKEIICKMYRAGFRPNKVIYSTLIYNSCKMGLVTEALKIYRIMNCSGHAADHFTCNTLVASLCRDGKVREAEEFMRHMSRIGPNPNSITFDCVISGYGNLGDGLKAFSLFDEMVKLGNTPSFFTYSSLLKGLCKGGNLVEAKKFLNKLHYIPSAVDNVVYNTILAGTCKSGNMWESVALFEEMVLFGVLPDSYTYTILLNGLCRKGKVAAALLFLGKLMDKGVFTPNQVTYTCLVDGLFKAGQSKAAYYFYKEMEQKSRYLDVIAFNAALDGTSRRGKLMKVNNLFSMMRSKSLCPSLPTYNILLHGYAKQKDIRTCSSLFKLMISSGLLPDRLTSHSLILGLCKTGMLDVGIKILKKMISEGVEVDRFTFNMLISKCCERGDTGKTFDLVNAMNFLGIFPDAETLNALVIGLNRNLALQESHIVLHEMAHKGYLPKGKQYITLINAMCRARNLQAALELKDEMTSLGITSPDVAESAIVRGLALSGKVEEAILVLDRMIRKQLVPTVATFTTLMHMLCKESNIAEALKLRNKMQLCGLKLDVVAYNVIISGVCANGDLAAAFELYQEMKQNGLWPNATTYTVLVDALLIEGSNPSTGDVLLEDLKGRGIISCDWDGSTEQFQKALIIAKKRFKYLKQNKRKWH >KJB12793 pep chromosome:Graimondii2_0_v6:2:2912761:2914268:-1 gene:B456_002G036900 transcript:KJB12793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDDGNSLFNFVVRDGNGVKGMVDSGLSTVPQAYMQPPMERIDKDRARKHGHPPIDLSKLGGPEHDEVAKQIVRAAENLGFFQVVNHGVPVDLLESLKDTANDFFSLPAEKKAVYRDDVSPTPLVKYGTSFVPQKEKALEWKDYISMQYTNDAEALQHWPEEIRDVSLEYLRTSMSMVRKLLQVLLENLGVKPEDSMIDVLVDKKMVNMNYYPTCPNPDLTVGVGRHSDMGTLTILLQDGIGGLYVKIEDDSEFGKKGEWVEIPPVPSALVINVGDMVQVLSNGKYKSAEHRVRTTSTKSRVSIPIFTMPNATAKIAPLPEVVEKDGNTLYKEFVLADYMKNFFSNAHDGKKSLDFAKINSA >KJB15966 pep chromosome:Graimondii2_0_v6:2:55205140:55208062:1 gene:B456_002G206200 transcript:KJB15966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMQLKRAKRRTDTQDMELAMDMIVIFSKKDERNADIAILERLANKLELHTIAELKAEKTAIAKLVKQRGGYNETMHQIVDLLGKFKQIAGIDETVSLDGPISTRTAQTCQSPLVPHEFVCPITLEIMTDPVIVATGQTYERDSIRKWLNSNHRTCPKTGQTLEHLSLAPNFALRNLILQWCEKNNLELPKKDNYASSVNYSAEIMEEISSLVLNLSSSQPNVRRDAIVKIRMLSKENPENRIFIANDGGIPRLVQLLSYPDSNIQEHTVTALLNLSIDETNKRLIAREGAIPAIIEILQNGTDEARENSAAALFSLSMLDENKVLVGNFNGIPPLVALLKNGTMRGKKDAATALFNLSLNQANKSRAIKAGIIPPLLHLLDDKNLGMIDEALSILLLLASHPEGRNEIGRLSFIETLVEIIRNGTPKNKECAVSVLLELGLKNSSLILAALQFGVYEPLREISISGTNRAQRKANSLLQHMSKCEHIP >KJB15965 pep chromosome:Graimondii2_0_v6:2:55205038:55208156:1 gene:B456_002G206200 transcript:KJB15965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQIVMDSSSSSELVREMMEVIETVGSYAGFRVTQRKECLNLVRRLKLLVPLLEEIKELDYSVSGLGLAFNSLLNLKKALLGAKKLLKNCNYGSKIYLAMESEAVMCRFHAVYHKINQALDNIPFDKLGVSIEVKEQVELMRMQLKRAKRRTDTQDMELAMDMIVIFSKKDERNADIAILERLANKLELHTIAELKAEKTAIAKLVKQRGGYNETMHQIVDLLGKFKQIAGIDETVSLDGPISTRTAQTCQSPLVPHEFVCPITLEIMTDPVIVATGQTYERDSIRKWLNSNHRTCPKTGQTLEHLSLAPNFALRNLILQWCEKNNLELPKKDNYASSVNYSAEIMEEISSLVLNLSSSQPNVRRDAIVKIRMLSKENPENRIFIANDGGIPRLVQLLSYPDSNIQEHTVTALLNLSIDETNKRLIAREGAIPAIIEILQNGTDEARENSAAALFSLSMLDENKVLVGNFNGIPPLVALLKNGTMRGKKDAATALFNLSLNQANKSRAIKAGIIPPLLHLLDDKNLGMIDEALSILLLLASHPEGRNEIGRLSFIETLVEIIRNGTPKNKECAVSVLLELGLKNSSLILAALQFGVYEPLREISISGTNRAQRKANSLLQHMSKCEHIP >KJB15967 pep chromosome:Graimondii2_0_v6:2:55205140:55208062:1 gene:B456_002G206200 transcript:KJB15967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEAVMCRFHAVYHKINQALDNIPFDKLGVSIEVKEQVELMRMQLKRAKRRTDTQDMELAMDMIVIFSKKDERNADIAILERLANKLELHTIAELKAEKTAIAKLVKQRGGYNETMHQIVDLLGKFKQIAGIDETVSLDGPISTRTAQTCQSPLVPHEFVCPITLEIMTDPVIVATGQTYERDSIRKWLNSNHRTCPKTGQTLEHLSLAPNFALRNLILQWCEKNNLELPKKDNYASSVNYSAEIMEEISSLVLNLSSSQPNVRRDAIVKIRMLSKENPENRIFIANDGGIPRLVQLLSYPDSNIQEHTVTALLNLSIDETNKRLIAREGAIPAIIEILQNGTDEARENSAAALFSLSMLDENKVLVGNFNGIPPLVALLKNGTMRGKKDAATALFNLSLNQANKSRAIKAGIIPPLLHLLDDKNLGMIDEALSILLLLASHPEGRNEIGRLSFIETLVEIIRNGTPKNKECAVSVLLELGLKNSSLILAALQFGVYEPLREISISGTNRAQRKANSLLQHMSKCEHIP >KJB13988 pep chromosome:Graimondii2_0_v6:2:13622663:13624200:-1 gene:B456_002G104600 transcript:KJB13988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPQFYSDYSFSNDFSQFQNPLLIPQQNCIDAAIPPSVTCGEEISFPMFFDNGGLDVFQQQPNLTSSVPTALLPDNNSVVACGIDGRYRLRDVCDEFGDECNAVHQDFKPVEPAMTQISGIQGKLMLPLMEDNNQKVVRYSVEERKDRILRYLKKRNQRNFNKKIKYACRKSLADRRVRVRGRFARNNTELCEQEMVMRKEDGNSPIDKNLNYCDAVQTKHDEDEWLQEAMANLIYLPYVAS >KJB16529 pep chromosome:Graimondii2_0_v6:2:59489436:59493917:1 gene:B456_002G234400 transcript:KJB16529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQTLHVKEHDGIVHNPMGQLAPVPSQPWWSALGSQSSIYGESCGQLKNLLMEHPSNGDHLTCTKQAGRVTEQGLNKGNPAHFTIFPGDGKCSGDGQKSPTVISLQSVPSEQHSRFELGCGQPPMVCAKYPYMDQCYGVFSTYGPPVSGRVMLPLNIASEDGPIYVNAKQYNGILRRRQSRAKAVLENKLTKARKPYMHYSRHLHAMRRPRGCGGRFLNTKTGKDEKETKKYVEGKDLHITGSQNSEVLQSDSGTLNSSKEAIGGGLTLSGSEVTSMYSREELEHNFPINHLGLSFHSFPVMMENGSGSVMPNRWVAPADHCLQPIPRD >KJB16035 pep chromosome:Graimondii2_0_v6:2:55653252:55654215:-1 gene:B456_002G209400 transcript:KJB16035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRRANNKLRQRKCPLCNFLFISPSICCPWLCKVSTGTKRGPFTSHLHVLIKSKLQKEIGKHLFCKVHSFVLWCEFEYL >KJB13036 pep chromosome:Graimondii2_0_v6:2:4700325:4700930:1 gene:B456_002G0529002 transcript:KJB13036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGWLLLLYFLLFFLFITCNSSTLPLSSCPHDQSFALTQFSNSFSIDCPFFNPWCTVSRAKTISWKEGTNCCLWDGVKCDTETRNVIALDLSCSCLVGPFPSNSTLFLLRHLRQLNLAGNDFRFSPMASQFGQLTSLTHLNIYGSLFQGNIPLEISHLSKLLSLNLSKNYELIFEGHVFESVVKNLTQLRHLLLTGVNMSSA >KJB13657 pep chromosome:Graimondii2_0_v6:2:11079577:11082033:1 gene:B456_002G087400 transcript:KJB13657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSNSIVEERAELMVSPDNKNLELRTAHFITPSTGLTSIDGNLPNHCLSSLTKTYPKNRAMEVNFVGWRHPQKEWKAWVANMASLHESTWKEAGIFEAIMNSTYKIERNNDLVFGVAENWCHETNSFIFPWGEATITLEDIMILGGYSVLGSPVFTPVETEEMEETWEKLENARKEMYKSTTKKASHSLWIRKFMHSGSEIEHEAFLALWLSRFVLPSSFDVVVNIVFPIAIHLARGTRIALGPAALAKIYSDLSCLKQNIIASTQLDSKCDGGNVAVALEVTLCSQLTLVQVWVWERFLDLRPKPNLIENGDPRLALWHDLKCKVQDVRSVLDSSKERFDWRPYVNCGKFYGDTAIWISVDLSLDDELLSFAQCLRASELVGLECIEQYLPHRVALQFGMDQDIPCSVPRSNDSPEIAWSNYNNSVGGGKLYIPSKLFKGDVTAKYSNWWKQSVLILQEESIDVLLKQRRSTNFKMTPNGTMGITETDMFRGLKLIPMCLKRPREDDTYSGFKTMPKKFKGVGTHTSSLTRPGSSASSDHGSPMIKFKQLPKYPERKKEVCNAGFVARSSSIAIGSEVDTEVKDESTSSSSLSKLKPDFVIQKELNNSSFPPGFPPKGNMLQAKGSFNRDKVTVAVPVPPGFIPKSSITEDKINPPVPPGFPSKCNMVEVRDIVDARDFVEDKVTVTMGGNHSASHLGSLSLDSNEKNQLTISQMSKPYKKFGNIDRRDALENSSGQCSVADNVLPRCELITTLGAEEDAHGASIVPAIYCNACPNEQSWLHLEARISKLETLLGKMKAAREVKSLVTKGWVNLD >KJB15659 pep chromosome:Graimondii2_0_v6:2:50881514:50885882:-1 gene:B456_002G188900 transcript:KJB15659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKGGGLFRYADGVDKVLLFLGTLGSIGDGMMSSVNMYILSGALNDYGSSHQSFSNQIVDKLKSIFHFNCLYVYIPEGVCWTRSAERQASRMRIEYLKSVLRQQVGFFEDLTDSSSTFQVISTVTSDAHSIQDTIADKIPNCLAHLTSFILSLVVAFKLSWRLTLAALPFALMFITPGLGFGKALMSIGAEMKAAYGNAGGIAEQAISSIRTVYSYVAERETLENFSNALQKSMELGMKQGFTKGLLIGSMGIIYAAWAFQAWVGGVLVTEKGENGGDVFIAGICIILGGLSVMSALPNLSFISEARHSASKIFEMIDRNPNIHSENGKEKLLSHVRGEVEFKEVDFSYPSRPETLVLHGFNLKVQAGKMVGLVGGSGSGKSTAISLLERFYDPVNGDILLDGHNIKKLQLKWLRSQMGLVNQEPILFATSIKENILFGKEDASMELVIKAAKAASAHDFIVKLPNGYETQVGQLGLQLSGGQKQRVAIARALIRDPKILLLDEATSALDAQSEKIVQEALDHASHGRTTIIVAHRLSTIRKVDLIAVVQSGRVIESGSHDELIQMNNGAGGAYKKMVQLQQTATQNEESNGLFHLTEARHNLMNRTPGTPVSVRSSYQSSPAYPLSPAYAFSPVISYTIASSVEMHLNENRNDKNINKSSLSGWRLLQMNAPEWKRTLMGCFGAVSSGAIQPIYAYCMGTVASVYFLKDSSKLKSEIRLYSLIFLGLAVASFFANLLQHYNFAIMGERLVKRVREKTLAKVLTFEIGWFDEDENSSAAICARLSTEASTFRSFIADRMSLLVQVFFSASLAFLFSLIVTWRIAIVMIAFQPLLIGSFYSRSVLMRSMSEKAQKAQNEGSQLASEAIVNHRTITAFSSQKKILNLFVETMRGPRQQSIKQGYISGFGLFSSQFLTTASISLTFWYGGRLITQGLVTPKHLFQAFFILMSTGKNIADTGSMTSDLAKGGGAIKRIFAILDRRSEIEPHEDGKGIEVEESNKGEIELKGVFFAYPARPNQMIFRGLCLKIKAGKTLALVGQSGSGKSTIIGLIERFYDPQSGSIFIDGYDIKSYNLRNLRSHIALVSQEPTLFAGTIRQNIAYGKVEAKEAEIRKAAILANAHEFISSMKDGYETYCGERGIKLSGGQKQRIALARAILKNPMILLLDEATSALDSESESLVQKALEKMMVRRTSVVVAHRLSTIEKADSIAVIKNGKVVEQGSHSSLLGIGRAGAYYSLINLQTGHSPYR >KJB12469 pep chromosome:Graimondii2_0_v6:2:1350882:1352282:1 gene:B456_002G020100 transcript:KJB12469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVYDPSHHLTSKKLCFKDLEIPPRKKQLHCCHNAAAMELPHHEARLHKYLPSNEDDDGTDDPYGTDHFRMYEFKVRRCTRSRSHDWTDCPFAHPGEKARRRDPTRYQYSSTICSDFRRGGGCPRGDDCEFAHGVFECWLHPTRYRTEACKDGKNCKRKVCFFAHSSRELRLLPESQPPYKNSEKNYNHCCLFCRSVTSSSSLSPTSTLLGLSHFSRSPSLSPPLSPLGHQQRTPRYGGDRISKFGTGMTTSYDDVLLKEVMMSCLGTMNLSEVSSPMATTANTNIPWLDVSFKGAPSMESPSGSGEYFNGGGDDEKNNGNGVVLDHDLDLGWVNELLM >KJB17039 pep chromosome:Graimondii2_0_v6:2:62238545:62241931:-1 gene:B456_002G262000 transcript:KJB17039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKTQQISSRPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSSFKGTVDVSNSYAVPFEEDEKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHRLFHNYVPNPVLVIIDVQPKELGIPTKAYYDVEEVKENATQKSQKIFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEDVFNLLPNLNVNDLIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDAKPTTVPATS >KJB17040 pep chromosome:Graimondii2_0_v6:2:62238545:62241986:-1 gene:B456_002G262000 transcript:KJB17040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKTQQISSRPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSSFKGTVDVSNSYAVPFEEDEKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHRLFHNYVPNPVLVIIDVQPKELGIPTKAYYDVEEVKENATQKSQKIFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLTALKGLDARLKEIRSYLDLVIDEKLPLNHEILYHLQDVFNLLPNLNVNDLIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDAKPTTVPATS >KJB13346 pep chromosome:Graimondii2_0_v6:2:8063912:8068222:-1 gene:B456_002G069500 transcript:KJB13346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTPTKTPVEKSKRSHSHHHHSRDGSSFSSSLSRFEAYNRLQAAAVAFGEKLPIPEIVALGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDPSALEPRCRFQEEDSEEYGSPVVSASTIADIIKSRTEALLKKTKTSVSPKPIIMRAEFAHCPNLTIIDTPGFVLKAKKGEPENTPEEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDSIREIDPTFRRTIVVVSKFDNRLKEFSDRWEVDRYLSASGYLGENTRPFFVALPKERNTISNDEFRRQISQVDAEVLRHLHDGIKGGYDEEKFKPYIGFCSLREYLESELQKRYKEAAPATLALLEQRCSEVNIELARIDSKIQATSDVSHLRKSAMMHAAYISNHVGVLIDGAADPSPEQWGKTTEEERSESGLGSWPGVTTDIKPANAVLRLYGGAAFERVMHEFRCAAYSMECPPVSREKVANILLAHAGRGGGRGVTEAAAEIARTAARSWLAPLLDTACDRLAFVLGNLFDIALERNRCRESEYGKNTGNWDGYVGFHAALRHAYNRFIKDLAKQCKQLVRHHLDSVTSPYSQVCYENDFQGVFNSTASSYNKYNQASSASYCLELSDIEQVPHDEAKRDQENIPPEKNSKQTTPGKGTEAREALQETQLTVPETPSPDQPCDVVYARVKKELGNCIEVGPRKRIARMTGNRNAEQLAKVHNGGSLLFGNGDSGSKTGSSYSEICSSAAQHFARIREVLVERSVTSTLNSGFLTPW >KJB13345 pep chromosome:Graimondii2_0_v6:2:8063790:8068267:-1 gene:B456_002G069500 transcript:KJB13345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTPTKTPVEKSKRSHSHHHHSRDGSSFSSSLSRFEAYNRLQAAAVAFGEKLPIPEIVALGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDPSALEPRCRFQEEDSEEYGSPVVSASTIADIIKSRTEALLKKTKTSVSPKPIIMRAEFAHCPNLTIIDTPGFVLKAKKGEPENTPEEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDSIREIDPTFRRTIVVVSKFDNRLKEFSDRWEVDRYLSASGYLGENTRPFFVALPKERNTISNDEFRRQISQVDAEVLRHLHDGIKGGYDEEKFKPYIGFCSLREYLESELQKRYKEAAPATLALLEQRCSEVNIELARIDSKIQATSDVSHLRKSAMMHAAYISNHVGVLIDGAADPSPEQWGKTTEEERSESGLGSWPGVTTDIKPANAVLRLYGGAAFERVMHEFRCAAYSMECPPVSREKVANILLAHAGRGGGRGVTEAAAEIARTAARSWLAPLLDTACDRLAFVLGNLFDIALERNRCRESEYGKNTGNWDGYVGFHAALRHAYNRFIKDLAKQCKQLVRHHLDSVTSPYSQVCYENDFQGVFNSTASSYNKYNQASSASYCLELSDIEQVPHDEAKRDQENIPPEKNSKQTTPGKGTEAREALQETQLTVPETPSPDQPCDVVYARVKKELGNCIEVGPRKRIARMTGNRNAEQLAKVHNGGSLLFGNGDSGSKTGSSYSEICSSAAQHFARIREVLVERSVTSTLNSGFLTPCRDRLVVALGLDLFAVNDEKFMDMFIAPDAIEVQHKERQSLQKRQKILQSCLNEFKNVARAL >KJB12218 pep chromosome:Graimondii2_0_v6:2:421896:424037:1 gene:B456_002G006600 transcript:KJB12218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATKIPPPQQMEDKEEKESPEDEEGPKSGGGWGGWVFSTFSVLSDLQKAAAVAAEEIALNASGVAENAAKTIADIQAAKTIGRFPNISFADCISSLNMIFRHEIRFLWRGAAKVHHGGLPAGSVAPSLIKTRKPFTTKGMQVLDRLRHNHDMLYGSCIEQLYHCRESATVDSHEALPQASIQEKAKSFSEHLHDDRATAVSKI >KJB13400 pep chromosome:Graimondii2_0_v6:2:8463918:8467082:1 gene:B456_002G072400 transcript:KJB13400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLPIVNFLDESKNSTTLPRKKCEKAFSFQLSFFKAMGTRGVIGDKWSMRILWGCAIGSAVALYMVAVERQRQNRDQMMAESLKAMESEEINGEWDILSASTVLLDIGPVGEEKVPRPRKMKPVRMHSAEKRQQKH >KJB17095 pep chromosome:Graimondii2_0_v6:2:62491913:62492332:1 gene:B456_002G2648001 transcript:KJB17095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGLMTTLIKSLRSSFQRWVSLTHVLVTCRLRQMKILKKTRQKQMTMMKKTKTKKARKPRKNFAGDTVCFAPNLTITP >KJB13210 pep chromosome:Graimondii2_0_v6:2:7387128:7389098:-1 gene:B456_002G062500 transcript:KJB13210 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABCG10 [Source:Projected from Arabidopsis thaliana (AT1G53270) UniProtKB/TrEMBL;Acc:A0A178WBC4] MELPVKSPDSGGRKYPYRLETRDLSYKLSTKFEDYFRCDFCGGNEGRAAAKFILKGVNCEARPGQVTAIAGPSGAGKTTLLDILAGKIPPCEVSSGEVLVNGKPINVKVFRRVSGYVTQDDALFPLLTVEETLMYSALLRLPGGRKEAGSRVKELMKELGLEHVAGSRIREGSNNGISGGERRRVSIGVDLVHDPAVILIDEPTSGLDSASALHAVTLLKSMAVNQGKTIVLTIHQPGFRILELFDRIVLLSNGFVVHNGGLNLLEERLKFADHRIPRHVNVLEFAIDVIETLPVPNPGTLSNINREDRKITTPIRFERKLLVYPNSRFDEVLILGQRFCSNIFRTKQLFATRVIQALVAGFILGTIYFNVGNDKGRIALQTQTGFFAFTLTFLLSSTTEGLPIFLQERRILMRETSRGAYRVSSYVLSNTLVFLPFLLMIGILFTSPVYWLVGLRKDTVDGFLYFSLVVWMVLLMANSFVACFSALVPNFIMGNSVIAGLMGSFFLFSGYFIAKDKIPSYWVFMHYLSLFKYPFECFMINEYGGEQGERKCIEIENGECRLYGSSFLRQQDLKDSQKWTNVGVMLGFIVGYRVLGLVILWFRCYKARN >KJB11919 pep chromosome:Graimondii2_0_v6:2:4256177:4256596:1 gene:B456_002G049700 transcript:KJB11919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTLVLFILVVALIFHPTCFEARKLLSNIEKKQVPSFQSNFAGITLAKETTKMLPASDDDKGHAVANNERLFAIHLAKIDRILQSSHPSPGAGHH >KJB15842 pep chromosome:Graimondii2_0_v6:2:53796174:53798363:-1 gene:B456_002G199100 transcript:KJB15842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKIGRLIILIMCCSILKGAVSQVYSVGDESGWSSEVDYGSWSEKYNFTVGDVLEFTYNKGQHNVFEVTESTYRTCDASSGVLAKYESGDDKVELTESKKYWFICNVSGHCLGGMRFGVDVKAGNTSSTNLDPTPSANSGNAFDTWSLGLRIFAFQLLLRLFCD >KJB14946 pep chromosome:Graimondii2_0_v6:2:29221288:29221594:1 gene:B456_002G150200 transcript:KJB14946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLLSIPTPGSLPNYSLEKSYKLSSPPPTTRTKILSYHTMGFDAYACFSTRNITFLTKLRGALTLSYCSVLPSLTLC >KJB15134 pep chromosome:Graimondii2_0_v6:2:38517382:38525802:-1 gene:B456_002G162600 transcript:KJB15134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTPIPSLHLAMAALLGASLMAVSAFYIHKRSVDQVIDRLIEIRRECVPQARRPRSRLVSDEDEEDEEVDYEQDNRRLEIEEVDQCLDQKSSASKSFDEKMEVSRSSRISSSMPNVALRNEWFEEDAKFDQAVRERVQSCSASSLEKLNFIPSGLPPLQTSWRGESQTFSHGGSTMRLATYGRLMTPRSPGGNAAGDSDDEGTEPADEDEILFADKNIDVSADLLKDVATKVQNSFPLPFRGDSVNHARDKTYQASGNEERSCVNLVGKGNVDSASVGIFENDPVFTKTSLPLRSTLHDSTNVEEEEVRKMVRECLELRDNYVYREEIAPWTKEPVMEPSTPKASCDPFHFEPVEKTAHHFRMEDGVIHVYASESGTVELFPVASSTTFFTDMHHLLKVMSAGNVRSACHHRLRFLEEKFRLHLLVNADREFLAQKSAPHRDFYNIRKVDTHVHHSACMNQKHLLSFIKSKLRKEPDEVVIFRDGKYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKQVLSDLETSKYQMAEYRVSIYGRKQSEWDQLASWFINNEIYSETTVWLIQLPRLYNVYKQMGIVKSFQNILDNVFIPLFEVTVDPNSHPQLHVFLKMVVGFDLVDDESKPERRPTKHMPTPAEWTNEFNPAYSYYAYYFYANLYTLNKLRESKGMQTIKLRPHCGEAGDIDHLAAAFLLCNNISHGINLRKSPVLQYLYYLAQVVLQDFP >KJB15133 pep chromosome:Graimondii2_0_v6:2:38515701:38525824:-1 gene:B456_002G162600 transcript:KJB15133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTPIPSLHLAMAALLGASLMAVSAFYIHKRSVDQVIDRLIEIRRECVPQARRPRSRLVSDEDEEDEEVDYEQDNRRLEIEEVDQCLDQKSSASKSFDEKMEVSRSSRISSSMPNVALRNEWFEEDAKFDQAVRERVQSCSASSLEKLNFIPSGLPPLQTSWRGESQTFSHGGSTMRLATYGRLMTPRSPGGNAAGDSDDEGTEPADEDEILFADKNIDVSADLLKDVATKVQNSFPLPFRGDSVNHARDKTYQASGNEERSCVNLVGKGNVDSASVGIFENDPVFTKTSLPLRSTLHDSTNVEEEEVRKMVRECLELRDNYVYREEIAPWTKEPVMEPSTPKASCDPFHFEPVEKTAHHFRMEDGVIHVYASESGTVELFPVASSTTFFTDMHHLLKVMSAGNVRSACHHRLRFLEEKFRLHLLVNADREFLAQKSAPHRDFYNIRKVDTHVHHSACMNQKHLLSFIKSKLRKEPDEVVIFRDGKYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKQVLSDLETSKYQMAEYRVSIYGRKQSEWDQLASWFINNEIYSETTVWLIQLPRLYNVYKQMGIVKSFQNILDNVFIPLFEVTVDPNSHPQLHVFLKMVVGFDLVDDESKPERRPTKHMPTPAEWTNEFNPAYSYYAYYFYANLYTLNKLRESKGMQTIKLRPHCGEAGDIDHLAAAFLLCNNISHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPSFFQRGLNVSLSSDDPLQIHLTKEALVEEYSVAAQVWKLSACDLCEIARNSVYQSGFLHMSKLHWLGNKYFLRGPEGNDIQKTNVPNMRIAFRHETWIDEMQYLYSGRARIPEEIDPAM >KJB15135 pep chromosome:Graimondii2_0_v6:2:38517419:38525802:-1 gene:B456_002G162600 transcript:KJB15135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTPIPSLHLAMAALLGASLMAVSAFYIHKRSVDQVIDRLIEIRRECVPQARRPRSRLVSDEDEEDEEVDYEQDNRRLEIEEVDQCLDQKSSASKSFDEKMEVSRSSRISSSMPNVALRNEWFEEDAKFDQAVRERVQSCSASSLEKLNFIPSGLPPLQTSWRGESQTFSHGGSTMRLATYGRLMTPRSPGGNAAGDSDDEGTEPADEDEILFADKNIDVSADLLKDVATKVQNSFPLPFRGDSVNHARDKTYQASGNEERSCVNLVGKGNVDSASVGIFENDPVFTKTSLPLRSTLHDSTNVEEEEVRKMVRECLELRDNYVYREEIAPWTKEPVMEPSTPKASCDPFHFEPVEKTAHHFRMEDGVIHVYASESGTVELFPVASSTTFFTDMHHLLKVMSAGNVRSACHHRLRFLEEKFRLHLLVNADREFLAQKSAPHRDFYNIRKVDTHVHHSACMNQKHLLSFIKSKLRKEPDEVVIFRDGKYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKQVLSDLETSKYQMAEYRVSIYGRKQSEWDQLASWFINNEIYSETTVWLIQLPRLYNVYKQMGIVKSFQNILDNVFIPLFEVTVDPNSHPQLHVFLKMVVGFDLVDDESKPERRPTKHMPTPAEWTNEFNPAYSYYAYYFYANLYTLNKVCVIF >KJB16284 pep chromosome:Graimondii2_0_v6:2:57641788:57644172:1 gene:B456_002G221600 transcript:KJB16284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVWCLYISPCLKKKGGGGLLHFPLNSNTQRVQRLQMQLQNQELMKEDDGHATSTRRRQFILQAPLIAFSFPQLIMSTAIAVAEIDVPQDFRVYTDEVNKFKIFIPQDWQVGAGEPNNFKSITAFYPEEEANSNVSVAITGLGPDFTRMESFGKVDAFADTLVSGLDRSWQRPPGVAAKLIDCKASNGFYYIEYTLQNPGESRRHLFSAIGMASNGWYNRLYTVTGQFVEEEAEKYGSRIEKAVSSFRFI >KJB16285 pep chromosome:Graimondii2_0_v6:2:57642034:57644036:1 gene:B456_002G221600 transcript:KJB16285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVWCLYISPCLKKKGGGGLLHFPLNSNTQRVQRLQMQLQNQELMKEDDGHATSTRRRQFILQAPLIAFSFPQLIMSTAIAVAEIDVPQDFRVYTDEVNKFKIFIPQDWQVGAGEPNNFKSITAFYPEEEANSNVSVAITGLGPDFTRMESFGKVDAFADTLVSGLDRSWQRPPGVAAKLIDCKASNGFYYIEYTLQNPGESRRHLFSAIGMASNGWYNRLYTVTGQVSLHQI >KJB17004 pep chromosome:Graimondii2_0_v6:2:62138900:62141034:-1 gene:B456_002G260300 transcript:KJB17004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDPTKVSIKLLIDQESSKVIVAEAGSDIVDILRSLLKFPLGNIARLLGKHQCLQRAGCLNNLCNSVENLSLTSFRTDACKSMLLNPRSIYEDEYSKKLKLYMDVSEPTGYFLCGTYLCIKTGRWFSHFKTSRCSCGELMDNPTDMCLKVGTVAYKDESEGESMFFITDDLRVMHGLPGDLMNILLNLGINNVCQIEEKVVDISSNEMSNLLSHSLFSKTTLTDVFLRKQSTMFVQQFMLVAPNVKERTEKDSKTRVKIMLRKSDRKILYGEANEDFVDLLFSFLTIPLESALELLGGKGFTVGSISNLLKDLDTIFSITKQNSYQDGILPPFYSCPIELPSICSQQPTKIFHKSYGYLKQSDPKSPILEKPNSRGYFKRKITVSDHRRSCS >KJB13241 pep chromosome:Graimondii2_0_v6:2:7559922:7562290:1 gene:B456_002G064500 transcript:KJB13241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSHHQAATSSRLGIRHSGGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKPAIDELAELPPWNPETLNTTTSIAKTSNQEDQNATTATDNDKPYQFHINHSGNLVENLAAGSGTRRRTATIMGNEVQSLQQQEMGDNPNNNSGFLPPSLVSDEIADTIKSFFPVGASSETPSSSIQFQNYPPDLLSRTSSHSQDLRLSLQSFPEPILLHHHHHHAQAATAQAHHSEPVLFSGSSPLAGFDGSSAGWEHHHQHPAEIGRFQRLFAWNNSGAAADSSGGGGAGGITAAGFWPKQPIVFSEGTPSVQ >KJB13240 pep chromosome:Graimondii2_0_v6:2:7559171:7562527:1 gene:B456_002G064500 transcript:KJB13240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSHHQAATSSRLGIRHSGGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKPAIDELAELPPWNPETLNTTTSIAKTSNQEDQNATTATDNDKPYQFHINHSGNLVENLAAGSGTRRRTATIMGNEVQSLQQQEMGDNPNNNSGFLPPSLVSDEIADTIKSFFPVGASSETPSSSIQFQNYPPDLLSRTSSHSQDLRLSLQSFPEPILLHHHHHHAQAATAQAHHSEPVLFSGSSPLAGFDGSSAGWEHHHQHPAEIGRFQRLFAWNNSGAAADSSGGGGAGGSSGVGGGFIFGTPPPQSLPPAFGQNSQLFSQRGPLQSSNTPLVRAWIDQPISTTDQHQHHHHQQHHHHQIPENIHHHTALSGIGFTTPGVFSGFRVPARIQGEEDEHDSIANKLSSASSDSHH >KJB12544 pep chromosome:Graimondii2_0_v6:2:1684745:1690104:1 gene:B456_002G023600 transcript:KJB12544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPFLLFFSSIFLSFPSLSFSQQTPPKGYLINCGATRRSVIDGRVWLPDGNFISAGTSKSLTVPGLVPTLSTVRSFPLENKNLRRKFCYVVRVYRGARYLIRTTYYYGGVNGLDFDSPPVFDQIVDGTFWSVVNTTEDYGKGLSSYYEGVFEAKGNTMSVCVASNTYTVSDPFISSLEMLILGDSIYNTTKFDSHALHLVARHSFGHNGSIIRYPDDQFDRYWQPYEENVSVTASKKIPAVSGFWNIPPSKVFETALSTAQLESMELRWPPFSLPNSTYYIALYFADNSDSMSSNSRVIDMHINDVRYYSNLVLSSEGAAVFATRWPLGGLTKITLSPAANSNGSPLINAGEIFDVLRLGGRTHTRDVIALEELKNSLRNPPLDWNGDPCLPRDYTWTGVKCSEGERTRVISLNLTGMGLLGSLSPSIANLTALNGIWLSNNSLSGVIPDLSSLKLLEILHLQDNQLTGEIPSSLGEMKSLRELFLQNNNLTGRIPDSLIGRPGLEVRTSGNQFLSPPPS >KJB12545 pep chromosome:Graimondii2_0_v6:2:1684763:1689058:1 gene:B456_002G023600 transcript:KJB12545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPFLLFFSSIFLSFPSLSFSQQTPPKGYLINCGATRRSVIDGRVWLPDGNFISAGTSKSLTVPGLVPTLSTVRSFPLENKNLRRKFCYVVRVYRGARYLIRTTYYYGGVNGLDFDSPPVFDQIVDGTFWSVVNTTEDYGKGLSSYYEGVFEAKGNTMSVCVASNTYTVSDPFISSLEMLILGDSIYNTTKFDSHALHLVARHSFGHNGSIIRYPDDQFDRYWQPYEENVSVTASKKIPAVSGFWNIPPSKVFETALSTAQLESMELRWPPFSLPNSTYYIALYFADNSDSMSSNSRVIDMHINDVRYYSNLVLSSEGAAVFATRWPLGGLTKITLSPAANSNGSPLINAGEIFDVLRLGGRTHTRDVIALEELKNSLRNPPLDWNGDPCLPRDYTWTGVKCSEGERTRVISLNLTGMGLLGSLSPSIANLTALNGIWLSNNSLSGVIPDLSSLKLLEILHLQDNQLTGEIPSSLGEMKSLREL >KJB12546 pep chromosome:Graimondii2_0_v6:2:1685003:1690104:1 gene:B456_002G023600 transcript:KJB12546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYFFLNFVGYLINCGATRRSVIDGRVWLPDGNFISAGTSKSLTVPGLVPTLSTVRSFPLENKNLRRKFCYVVRVYRGARYLIRTTYYYGGVNGLDFDSPPVFDQIVDGTFWSVVNTTEDYGKGLSSYYEGVFEAKGNTMSVCVASNTYTVSDPFISSLEMLILGDSIYNTTKFDSHALHLVARHSFGHNGSIIRYPDDQFDRYWQPYEENVSVTASKKIPAVSGFWNIPPSKVFETALSTAQLESMELRWPPFSLPNSTYYIALYFADNSDSMSSNSRVIDMHINDVRYYSNLVLSSEGAAVFATRWPLGGLTKITLSPAANSNGSPLINAGEIFDVLRLGGRTHTRDVIALEELKNSLRNPPLDWNGDPCLPRDYTWTGVKCSEGERTRVISLNLTGMGLLGSLSPSIANLTALNGIWLSNNSLSGVIPDLSSLKLLEILHLQDNQLTGEIPSSLGEMKSLRELFLQNNNLTGRIPDSLIGRPGLEVRTSGNQFLSPPPS >KJB15942 pep chromosome:Graimondii2_0_v6:2:55141895:55143916:1 gene:B456_002G205600 transcript:KJB15942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRNMGFSKLFVIILGLLLALTLDHCTAQEFRCSSPSSCRALVGYITVNNTNLGAIQSLFNVSSFQSLLGANDLPLSTPRNRTIAARQLIRVPINCACYNGTGTSSGGPTYTIQPGDGLYHIAAEVFSQLVLFPQIAAANNISNPDLIAAGDTLQIPLPCSCDDIDGQKVVHYAHVVEPNSTLPEIAQEFGTNEATLARINGITAQNQLKAEQPIDVPLKACNSSVRSDSLDFPLLAANGTYVFTANGCVRCTCEAANNWT >KJB15941 pep chromosome:Graimondii2_0_v6:2:55141793:55144027:1 gene:B456_002G205600 transcript:KJB15941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRNMGFSKLFVIILGLLLALTLDHCTAQEFRCSSPSSCRALVGYITVNNTNLGAIQSLFNVSSFQSLLGANDLPLSTPRNRTIAARQLIRVPINCACYNGTGTSSGGPTYTIQPGDGLYHIAAEVFSQLVLFPQIAAANNISNPDLIAAGDTLQIPLPCSCDDIDGQKVVHYAHVVEPNSTLPEIAQEFGTNEATLARINGITAQNQLKAEQPIDVPLKACNSSVRSDSLDFPLLAANGTYVFTANGCVRCTCEAANNWTLQCEPSQNRPSRWERCPSMQCEDSQGLSLGNVTTSGCSRTTCSYAGFNNSTIFTTLVQDSSCTTSTPSNDVSRINLKWDIVIISVLLSLHLVMLETI >KJB15328 pep chromosome:Graimondii2_0_v6:2:43430867:43431848:-1 gene:B456_002G171500 transcript:KJB15328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDKRLTEKFCDICIKEILKGNRPGTHFTKDGWLKIMTNFEKETGKGFHKDNLKIGEDTGLGWNPIKRTVDTSDEWWEIVPEAKKFRISGIDPEFEGKLDQMFIGIVATGDKAWAPSSSTLRSDFFEDVNNEIPEEKKNPEMPSSHFKTGRKKSSKQIGGAARLSSQIEKLCNAADNMSQATSSLTSVMDPYGIPQAVKMLDSMSEEVPEASSLYFFALRLLLNKDKRIMFLSINPKIRALWLKTEMKDS >KJB13618 pep chromosome:Graimondii2_0_v6:2:10760531:10761969:1 gene:B456_002G084900 transcript:KJB13618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQVKMENVDRISNLPDSILGYILSFLSTKEAVATSLLSSKWRYLFALVSNLDFELDESSQMLKISTIKSFMCFVDRVLFFHNTSNINAFRLRCGKRVDSDRVYGWISAAIWRGVKHLGLSISLDNFTLPGVLFTCTTLITLKLKTNLVLNVPKDVCLPNLKILHLKSIMFPNDDSVESLVSSCISLVELIICNCSTKKCNISHNSLKVLEIIRSVLYGSLVIDTPALAYFNYTYSVAREYSLKNLQSLIRADIAFLDIRFDSHQTKATAFFEGISNVNILVLSSPSLKLLKCCEPLPIFPKLLHLNLYCDYLNFERGVANLLTDSGRLQSLFFYQEALTNLPERVPHCILYQLKVIEISGFMTNKDCIGKAKYFLENATVLMKLIIRTVPFLSEEQKSRIYQQLMASPSKSKQCCILVV >KJB11852 pep chromosome:Graimondii2_0_v6:2:12807557:12814840:1 gene:B456_002G100900 transcript:KJB11852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLVPDKRVFAFADLAYSILSKNSSSSNLPGTGCSPDIAKSMIEGGVVQCLTNILEVVDLDHSDAPKTVSLMLKALESLTRAANANEQVFKFECFNKKKSLSSNERHTDQVTISAAEETEHNQNGGGQQAVVDAETTEQQHQVTLQIEDNHNANSNDPIEQDMRVEVEPVASNRPVELGMDFMREEMEGGVLHNVDQIEMTFRVENRADDDMADEDDDMADDGEDDEDDDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDDMIDEEDDDFHERRVIEVRWREALDGLDHLQVLGQPGGASGLIDVAAEPFEGVNVDDLFGLRRPVGFERRRSNGRSSFDRSVTEVNGFQHPLLLRPSQAGDLSLMWSSGGNSSRDLEAFSSGSFDVTHFYMFDAPGLPFDHAPNSLFGDRLGSAAPPPLTDYPVGMDSLHLQGRRGPGDGRWTDDGQPQASAQAAAIAQAVEEQFVSHLRSTAPANNLAERQSQNSGVQESQPSDAPPSNDGKAVVEGENTSSQQSEDQLQENNNGISQELNPTGESVTCQGQLNPQSGDMAESIQRHEGILTQTFSLNNAPDEHDNMEIGDGNGTTAADQVEQISEMVNLPEGGSVVPENLSPQGMGDDGLSGGDGQAGNRILTGTGLEMPNPGDSNGSSVHERIDVDMNTANAEENQTDQSIPHEIGAEEPDTLDSQDANQADQASANIEGPGSNAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYVPPSADNIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLSNRRNGLGLDRQTVMDRGVGITLGRRPGATISDSLKVKEIEGEPLLNSNSLKALIRLLRLAQPLGKGLLQRLLLNLCAHSATRATLVKLLLDMIRSEVEGSSSGLSTINSQRLYGCHSKVVYGRSQVFDGLPPLVLRRVLEILTYLATNHSAVSNMLFHYDPSILSEPLSPQNPETKKDKGKEKIIDGDASKPLGNSQGDIPLILFLKLLNRPLFLLSTTHLEQVVGLLQVVVYTAASKLESWSLSHLAVDNSSSQNLLDEEASGDAHKDLPLTEQESNQEKRTNTESSGSKGNKNVDFHNIFLQLPESDLCNLCSLLGREGLSDKVYMLAGEVLKKLASVAVTHRKFFTSELSELAHGLSSSAVNELVTLRNTQMLGLSAGSMAGAAILRVLQVLSSLTSTNVGDDTPEGGDDEQEEQATMWKLNVSLEPLWEELSNCIGLTEAQLAQSSLCPTVSNINVGEHLQGASSSSPLPPGTQRLLPFIEAFFVLCEKLHANHSIMQQDHVNVTAQEVKESAECSVSLPSKCGGDSQKKLDGSVTFARFAEKHRRLLNAFVRQNPGLLEKPLSMLLKAPRLIDFDNKRAYFRSRIRQQHEQHLAGPLRISVRRAYVLEDSYNQLRMRPTQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGSNATFQPNSNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNTRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDCKLTSLYAFYACHCVCLWFFYHLKISSFCSG >KJB11848 pep chromosome:Graimondii2_0_v6:2:12800074:12814840:1 gene:B456_002G100900 transcript:KJB11848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKRRRYLEVPPKIRSFINSVTSAPLENIEEPLKDFVWEFDKGDFHHWVELFNHFDTFFEKHIKPRKDLQVEDKFFGSDPPFPREAVLQILRVIRLVLDNCTNKHFYSSYEHLSSLLASTDADVVEACLQTLAAFLKKTIGKYSVRDASLSSKLFALAQGWGGKEEGLGLIACAIQNGCDTVAYDLGCTLHFEFYASNEVSSSEQSTRGLQIIHLPNINTHPETDLELLNKLVGEYKVPANLRFSLLSRLRFARAFGSLTSRQQYTRIRLYAFIVLVQASSDTDDLVSFFNNEPEFVNELVTLLSYEDAVSEKIRILCLLSLVALCQDRSRQPAVLTAVTSGGHRGILSSLMQKAIDSVISNTSKWSVVFAEALLSLVTALVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVTTAINILEAFMDYSNPAAALFRDLGGLDDTISRLKLEVSYVENSPKQLVEDPDCSGRISQVVAGASTELDNMQPLYSEALVAYHRRLLMKALLRAISLGTYAPGNTARIYGSEESLLPQCLCIIFRRAKDFGGGVFALAATVMSDLIHKDPTCFPVLDAAGLPSAFLDAIMDGVLCSAEAITCIPQCLGAFCLNTNGLAAVKDRNALRCFVKIFTSRTYVRSLTGDTPTSLSSGLDELMRHASSLRAPGVDMVIEILNVILRIGTGADTSSFAAESSAPVPMETDAEERNLIQPDDRESSRSESSDQMSEVSPDTSLMNIELFLPDCISNVGRLLETILQNADTCRIFVEKKGIDAVLRLFTLPLLPLSASVGQSISVAFKSFSPQHSASLARAVCSFLREQLKLTNELLASIGGTQLATVETGNQTKVLRSLSSLEGILSLCNFLLKGTTSVVSELSTVDADVLKDLGRAYREIIWQISLSNDTMADEKRKADQESEGTDTGPSNAAVGRESDDDASTPAVRYMNPVSIRSGSQFLGSADREFLSLVRSGESLHRRSRHGLSRLRGGRNGRHLNALNIDSDSSHSLPETSSVQDLKTKSPGLLVIEILNKLAFTLRSFFTALVKGFTTPYRRRADVGSLSSASKTLGMALAKIFLEALGFSGYSSSSGLDTSLSVKCRYLGKVVDDMGALTFDSRRRTCYTGMVNNFYVHGTFKELLTTFEATSQLLWTLPYSIPTPAIEHEKAGDANKVSHGTWLLDTLQCYCRVLEYFVNSTSLLFGNSTSQTQLLVQPVAAGLSIGLFPVPRDPETFVRMLQSQVLDVILLIWNHPLFPNCSPGFVASVVSIVMHIYSGVGDVKRNRSSITGSTNQRFMPPAPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLISHADDPVQEDDELARALALSLGNSSETSKVDSVDKPMDVMTEEGRPTAPPIDDILNASVKLFQSSDNMAFSLTDLLVTLCNRNKGEDRPKVLSFLIQQLRLCPLDFSKDSSALCMISHIVALLLSEDGNTREIAGQNGVVPAVLDILIDFKAKNDAGNEIMAPKCISALLLILDNMLQSRPRLFSESVEGTQTVSQPDSSGDHASLTVPEPVTEKKSASDANEKEPITSFEKILGKSTGYLTVEESQNLLLLACELIKQHVPAMVMQAVLQLCARLTKTHALALQFLENGGLAALFSLPRTCFFPGYDTVASAIIRHLLEDPQTLQTAMELEIRQTLSGSRHAGRVSPRTFLTSMAPVICRDPVVFMKAATAVCQLESSGGRPFVVLLKEKEREKDKLKASGAEVGLASNEPVRIPENRVNDGTGKCSKGHKKIPANLAQVIDQLLEIVLKYPSAKGQEDSATGLISMEIDEPTSKVKGKSKVEETRKMESENERSAGLAKVTFVLKLLSDILLMYVHAVGVILRRDSEMGQLRVSNQSDTSGSPGIVHHILHRLLPLSVDKSSGLDEWRDKLSEKASWFLVVLCGRSSEGRKRVINELVKALSSLSNVESNSMNSSLVPDKRVFAFADLAYSILSKNSSSSNLPGTGCSPDIAKSMIEGGVVQCLTNILEVVDLDHSDAPKTVSLMLKALESLTRAANANEQVFKFECFNKKKSLSSNERHTDQVTISAAEETEHNQNGGGQQAVVDAETTEQQHQVTLQIEDNHNANSNDPIEQDMRVEVEPVASNRPVELGMDFMREEMEGGVLHNVDQIEMTFRVENRADDDMADEDDDMADDGEDDEDDDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDDMIDEEDDDFHERRVIEVRWREALDGLDHLQVLGQPGGASGLIDVAAEPFEGVNVDDLFGLRRPVGFERRRSNGRSSFDRSVTEVNGFQHPLLLRPSQAGDLSLMWSSGGNSSRDLEAFSSGSFDVTHFYMFDAPGLPFDHAPNSLFGDRLGSAAPPPLTDYPVGMDSLHLQGRRGPGDGRWTDDGQPQASAQAAAIAQAVEEQFVSHLRSTAPANNLAERQSQNSGVQESQPSDAPPSNDGKAVVEGENTSSQQSEDQLQENNNGISQELNPTGESVTCQGQLNPQSGDMAESIQRHEGILTQTFSLNNAPDEHDNMEIGDGNGTTAADQVEQISEMVNLPEGGSVVPENLSPQGMGDDGLSGGDGQAGNRILTGTGLEMPNPGDSNGSSVHERIDVDMNTANAEENQTDQSIPHEIGAEEPDTLDSQDANQADQASANIEGPGSNAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYVPPSADNIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLSNRRNGLGLDRQTVMDRGVGITLGRRPGATISDSLKVKEIEGEPLLNSNSLKALIRLLRLAQPLGKGLLQRLLLNLCAHSATRATLVKLLLDMIRSEVEGSSSGLSTINSQRLYGCHSKVVYGRSQVFDGLPPLVLRRVLEILTYLATNHSAVSNMLFHYDPSILSEPLSPQNPETKKDKGKEKIIDGDASKPLGNSQGDIPLILFLKLLNRPLFLLSTTHLEQVVGLLQVVVYTAASKLESWSLSHLAVDNSSSQNLLDEEASGDAHKDLPLTEQESNQEKRTNTESSGSKGNKNVDFHNIFLQLPESDLCNLCSLLGREGLSDKVYMLAGEVLKKLASVAVTHRKFFTSELSELAHGLSSSAVNELVTLRNTQMLGLSAGSMAGAAILRVLQVLSSLTSTNVGDDTPEGGDDEQEEQATMWKLNVSLEPLWEELSNCIGLTEAQLAQSSLCPTVSNINVGEHLQGASSSSPLPPGTQRLLPFIEAFFVLCEKLHANHSIMQQDHVNVTAQEVKESAECSVSLPSKCGGDSQKKLDGSVTFARFAEKHRRLLNAFVRQNPGLLEKPLSMLLKAPRLIDFDNKRAYFRSRIRQQHEQHLAGPLRISVRRAYVLEDSYNQLRMRPTQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGSNATFQPNSNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNTRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTAASPVIQWFWEVVKAFSKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKFQIHKAFGAPERLPSAHTCFNQLDLPEYSSKEQLQERLLLAIHEASEGFGFG >KJB11851 pep chromosome:Graimondii2_0_v6:2:12800074:12814848:1 gene:B456_002G100900 transcript:KJB11851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKRRRYLEVPPKIRSFINSVTSAPLENIEEPLKDFVWEFDKGDFHHWVELFNHFDTFFEKHIKPRKDLQVEDKFFGSDPPFPREAVLQILRVIRLVLDNCTNKHFYSSYEQHLSSLLASTDADVVEACLQTLAAFLKKTIGKYSVRDASLSSKLFALAQGWGGKEEGLGLIACAIQNGCDTVAYDLGCTLHFEFYASNEVSSSEQSTRGLQIIHLPNINTHPETDLELLNKLVGEYKVPANLRFSLLSRLRFARAFGSLTSRQQYTRIRLYAFIVLVQASSDTDDLVSFFNNEPEFVNELVTLLSYEDAVSEKIRILCLLSLVALCQDRSRQPAVLTAVTSGGHRGILSSLMQKAIDSVISNTSKWSVVFAEALLSLVTALVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVTTAINILEAFMDYSNPAAALFRDLGGLDDTISRLKLEVSYVENSPKQLVEDPDCSGRISQVVAGASTELDNMQPLYSEALVAYHRRLLMKALLRAISLGTYAPGNTARIYGSEESLLPQCLCIIFRRAKDFGGGVFALAATVMSDLIHKDPTCFPVLDAAGLPSAFLDAIMDGVLCSAEAITCIPQCLGAFCLNTNGLAAVKDRNALRCFVKIFTSRTYVRSLTGDTPTSLSSGLDELMRHASSLRAPGVDMVIEILNVILRIGTGADTSSFAAESSAPVPMETDAEERNLIQPDDRESSRSESSDQMSEVSPDTSLMNIELFLPDCISNVGRLLETILQNADTCRIFVEKKGIDAVLRLFTLPLLPLSASVGQSISVAFKSFSPQHSASLARAVCSFLREQLKLTNELLASIGGTQLATVETGNQTKVLRSLSSLEGILSLCNFLLKGTTSVVSELSTVDADVLKDLGRAYREIIWQISLSNDTMADEKRKADQESEGTDTGPSNAAVGRESDDDASTPAVRYMNPVSIRSGSQFLGSADREFLSLVRSGESLHRRSRHGLSRLRGGRNGRHLNALNIDSDSSHSLPETSSVQDLKTKSPGLLVIEILNKLAFTLRSFFTALVKGFTTPYRRRADVGSLSSASKTLGMALAKIFLEALGFSGYSSSSGLDTSLSVKCRYLGKVVDDMGALTFDSRRRTCYTGMVNNFYVHGTFKELLTTFEATSQLLWTLPYSIPTPAIEHEKAGDANKVSHGTWLLDTLQCYCRVLEYFVNSTSLLFGNSTSQTQLLVQPVAAGLSIGLFPVPRDPETFVRMLQSQVLDVILLIWNHPLFPNCSPGFVASVVSIVMHIYSGVGDVKRNRSSITGSTNQRFMPPAPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLISHADDPVQEDDELARALALSLGNSSETSKVDSVDKPMDVMTEEGRPTAPPIDDILNASVKLFQSSDNMAFSLTDLLVTLCNRNKGEDRPKVLSFLIQQLRLCPLDFSKDSSALCMISHIVALLLSEDGNTREIAGQNGVVPAVLDILIDFKAKNDAGNEIMAPKCISALLLILDNMLQSRPRLFSESVEGTQTVSQPDSSGDHASLTVPEPVTEKKSASDANEKEPITSFEKILGKSTGYLTVEESQNLLLLACELIKQHVPAMVMQAVLQLCARLTKTHALALQFLENGGLAALFSLPRTCFFPGYDTVASAIIRHLLEDPQTLQTAMELEIRQTLSGSRHAGRVSPRTFLTSMAPVICRDPVVFMKAATAVCQLESSGGRPFVVLLKEKEREKDKLKASGAEVGLASNEPVRIPENRVNDGTGKCSKGHKKIPANLAQVIDQLLEIVLKYPSAKGQEDSATGLISMEIDEPTSKVKGKSKVEETRKMESENERSAGLAKVTFVLKLLSDILLMYVHAVGVILRRDSEMGQLRVSNQSDTSGSPGIVHHILHRLLPLSVDKSSGLDEWRDKLSEKASWFLVVLCGRSSEGRKRVINELVKALSSLSNVESNSMNSSLVPDKRVFAFADLAYSILSKNSSSSNLPGTGCSPDIAKSMIEGGVVQCLTNILEVVDLDHSDAPKTVSLMLKALESLTRAANANEQVFKFECFNKKKSLSSNERHTDQVTISAAEETEHNQNGGGQQAVVDAETTEQQHQVTLQIEDNHNANSNDPIEQDMRVEVEPVASNRPVELGMDFMREEMEGGVLHNVDQIEMTFRVENRADDDMADEDDDMADDGEDDEDDDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDDMIDEEDDDFHERRVIEVRWREALDGLDHLQVLGQPGGASGLIDVAAEPFEGVNVDDLFGLRRPVGFERRRSNGRSSFDRSVTEVNGFQHPLLLRPSQAGDLSLMWSSGGNSSRDLEAFSSGSFDVTHFYMFDAPGLPFDHAPNSLFGDRLGSAAPPPLTDYPVGMDSLHLQGRRGPGDGRWTDDGQPQASAQAAAIAQAVEEQFVSHLRSTAPANNLAERQSQNSGVQESQPSDAPPSNDGKAVVEGENTSSQQSEDQLQENNNGISQELNPTGESVTCQGQLNPQSGDMAESIQRHEGILTQTFSLNNAPDEHDNMEIGDGNGTTAADQVEQISEMVNLPEGGSVVPENLSPQGMGDDGLSGGDGQAGNRILTGTGLEMPNPGDSNGSSVHERIDVDMNTANAEENQTDQSIPHEIGAEEPDTLDSQDANQADQASANIEGPGSNAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYVPPSADNIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLSNRRNGLGLDRQTVMDRGVGITLGRRPGATISDSLKVKEIEGEPLLNSNSLKALIRLLRLAQPLGKGLLQRLLLNLCAHSATRATLVKLLLDMIRSEVEGSSSGLSTINSQRLYGCHSKVVYGRSQVFDGLPPLVLRRVLEILTYLATNHSAVSNMLFHYDPSILSEPLSPQNPETKKDKGKEKIIDGDASKPLGNSQGDIPLILFLKLLNRPLFLLSTTHLEQVVGLLQVVVYTAASKLESWSLSHLAVDNSSSQNLLDEEASGDAHKDLPLTEQESNQEKRTNTESSGSKGNKNVDFHNIFLQLPESDLCNLCSLLGREGLSDKVYMLAGEVLKKLASVAVTHRKFFTSELSELAHGLSSSAVNELVTLRNTQMLGLSAGSMAGAAILRVLQVLSSLTSTNVGDDTPEGGDDEQEEQATMWKLNVSLEPLWEELSNCIGLTEAQLAQSSLCPTVSNINVGEHLQGASSSSPLPPGTQRLLPFIEAFFVLCEKLHANHSIMQQDHVNVTAQEVKESAECSVSLPSKCGGDSQKKLDGSVTFARFAEKHRRLLNAFVRQNPGLLEKPLSMLLKAPRLIDFDNKRAYFRSRIRQQHEQHLAGPLRISVRRAYVLEDSYNQLRMRPTQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGSNATFQPNSNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNTRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTAASPVIQWFWEVVKAFSKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKFQIHKAFGAPERLPSAHTCFNQLDLPEYSSKEQLQERLLLAIHEASEGFGFG >KJB11850 pep chromosome:Graimondii2_0_v6:2:12800074:12814840:1 gene:B456_002G100900 transcript:KJB11850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKRRRYLEVPPKIRSFINSVTSAPLENIEEPLKDFVWEFDKGDFHHWVELFNHFDTFFEKHIKPRKDLQVEDKFFGSDPPFPREAVLQILRVIRLVLDNCTNKHFYSSYEQHLSSLLASTDADVVEACLQTLAAFLKKTIGKYSVRDASLSSKLFALAQGWGGKEEGLGLIACAIQNGCDTVAYDLGCTLHFEFYASNEVSSSEQSTRGLQIIHLPNINTHPETDLELLNKLVGEYKVPANLRFSLLSRLRFARAFGSLTSRQQYTRIRLYAFIVLVQASSDTDDLVSFFNNEPEFVNELVTLLSYEDAVSEKIRILCLLSLVALCQDRSRQPAVLTAVTSGGHRGILSSLMQKAIDSVISNTSKWSVVFAEALLSLVTALVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVTTAINILEAFMDYSNPAAALFRDLGGLDDTISRLKLEVSYVENSPKQLVEDPDCSGRISQVVAGASTELDNMQPLYSEALVAYHRRLLMKALLRAISLGTYAPGNTARIYGSEESLLPQCLCIIFRRAKDFGGGVFALAATVMSDLIHKDPTCFPVLDAAGLPSAFLDAIMDGVLCSAEAITCIPQCLGAFCLNTNGLAAVKDRNALRCFVKIFTSRTYVRSLTGDTPTSLSSGLDELMRHASSLRAPGVDMVIEILNVILRIGTGADTSSFAAESSAPVPMETDAEERNLIQPDDRESSRSESSDQMSEVSPDTSLMNIELFLPDCISNVGRLLETILQNADTCRIFVEKKGIDAVLRLFTLPLLPLSASVGQSISVAFKSFSPQHSASLARAVCSFLREQLKLTNELLASIGGTQLATVETGNQTKVLRSLSSLEGILSLCNFLLKGTTSVVSELSTVDADVLKDLGRAYREIIWQISLSNDTMADEKRKADQESEGTDTGPSNAAVGRESDDDASTPAVRYMNPVSIRSGSQFLGSADREFLSLVRSGESLHRRSRHGLSRLRGGRNGRHLNALNIDSDSSHSLPETSSVQDLKTKSPGLLVIEILNKLAFTLRSFFTALVKGFTTPYRRRADVGSLSSASKTLGMALAKIFLEALGFSGYSSSSGLDTSLSVKCRYLGKVVDDMGALTFDSRRRTCYTGMVNNFYVHGTFKELLTTFEATSQLLWTLPYSIPTPAIEHEKAGDANKVSHGTWLLDTLQCYCRVLEYFVNSTSLLFGNSTSQTQLLVQPVAAGLSIGLFPVPRDPETFVRMLQSQVLDVILLIWNHPLFPNCSPGFVASVVSIVMHIYSGVGDVKRNRSSITGSTNQRFMPPAPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLISHADDPVQEDDELARALALSLGNSSETSKVDSVDKPMDVMTEEGRPTAPPIDDILNASVKLFQSSDNMAFSLTDLLVTLCNRNKGEDRPKVLSFLIQQLRLCPLDFSKDSSALCMISHIVALLLSEDGNTREIAGQNGVVPAVLDILIDFKAKNDAGNEIMAPKCISALLLILDNMLQSRPRLFSESVEGTQTVSQPDSSGDHASLTVPEPVTEKKSASDANEKEPITSFEKILGKSTGYLTVEESQNLLLLACELIKQHVPAMVMQAVLQLCARLTKTHALALQFLENGGLAALFSLPRTCFFPGYDTVASAIIRHLLEDPQTLQTAMELEIRQTLSGSRHAGRVSPRTFLTSMAPVICRDPVVFMKAATAVCQLESSGGRPFVVLLKEKEREKDKLKASGAEVGLASNEPVRIPENRVNDGTGKCSKGHKKIPANLAQVIDQLLEIVLKYPSAKGQEDSATGLISMEIDEPTSKVKGKSKVEETRKMESENERSAGLAKVTFVLKLLSDILLMYVHAVGVILRRDSEMGQLRVSNQSDTSGSPGIVHHILHRLLPLSVDKSSGLDEWRDKLSEKASWFLVVLCGRSSEGRKRVINELVKALSSLSNVESNSMNSSLVPDKRVFAFADLAYSILSKNSSSSNLPGTGCSPDIAKSMIEGGVVQCLTNILEVVDLDHSDAPKTVSLMLKALESLTRAANANEQVFKFECFNKKKSLSSNERHTDQVTISAAEETEHNQNGGGQQAVVDAETTEQQHQVTLQIEDNHNANSNDPIEQDMRVEVEPVASNRPVELGMDFMREEMEGGVLHNVDQIEMTFRVENRADDDMADEDDDMADDGEDDEDDDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDDMIDEEDDDFHERRVIEVRWREALDGLDHLQVLGQPGGASGLIDVAAEPFEGVNVDDLFGLRRPVGFERRRSNGRSSFDRSVTEVNGFQHPLLLRPSQAGDLSLMWSSGGNSSRDLEAFSSGSFDVTHFYMFDAPGLPFDHAPNSLFGDRLGSAAPPPLTDYPVGMDSLHLQGRRGPGDGRWTDDGQPQASAQAAAIAQAVEEQFVSHLRSTAPANNLAERQSQNSGVQESQPSDAPPSNDGKAVVEGENTSSQQSEDQLQENNNGISQELNPTGESVTCQGQLNPQSGDMAESIQRHEGILTQTFSLNNAPDEHDNMEIGDGNGTTAADQVEQISEMVNLPEGGSVVPENLSPQGMGDDGLSGGDGQAGNRILTGTGLEMPNPGDSNGSSVHERIDVDMNTANAEENQTDQSIPHEIGAEEPDTLDSQDANQADQASANIEGPGSNAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYVPPSADNIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLSNRRNGLGLDRQTVMDRGVGITLGRRPGATISDSLKVKEIEGEPLLNSNSLKALIRLLRLAQPLGKGLLQRLLLNLCAHSATRATLVKLLLDMIRSEVEGSSSGLSTINSQRLYGCHSKVVYGRSQVFDGLPPLVLRRVLEILTYLATNHSAVSNMLFHYDPSILSEPLSPQNPETKKDKGKEKIIDGDASKPLGNSQGDIPLILFLKLLNRPLFLLSTTHLEQVVGLLQVVVYTAASKLESWSLSHLAVDNSSSQNLLDEEASGDAHKDLPLTEQESNQEKRTNTESSGSKGNKNVDFHNIFLQLPESDLCNLCSLLGREGLSDKVYMLAGEVLKKLASVAVTHRKFFTSELSELAHGLSSSAVNELVTLRNTQMLGLSAGSMAGAAILRVLQVLSSLTSTNVGDDTPEGGDDEQEEQATMWKLNVSLEPLWEELSNCIGLTEAQLAQSSLCPTVSNINVGEHLQGASSSSPLPPGTQRLLPFIEAFFVLCEKLHANHSIMQQDHVNVTAQEVKESAECSVSLPSKCGGDSQKKLDGSVTFARFAEKHRRLLNAFVRQNPGLLEKPLSMLLKAPRLIDFDNKRAYFRSRIRQQHEQHLAGPLRISVRRAYVLEDSYNQLRMRPTQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGSNATFQPNSNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNTRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTAASPVIQWFWEVVKAFSKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKFQIHKAFGAPERLPSAHTCFNQLDLPEYSSKEQLQERLLLAIHEASEGFGFG >KJB11847 pep chromosome:Graimondii2_0_v6:2:12800074:12814840:1 gene:B456_002G100900 transcript:KJB11847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKRRRYLEVPPKIRSFINSVTSAPLENIEEPLKDFVWEFDKGDFHHWVELFNHFDTFFEKHIKPRKDLQVEDKFFGSDPPFPREAVLQILRVIRLVLDNCTNKHFYSSYEQHLSSLLASTDADVVEACLQTLAAFLKKTIGKYSVRDASLSSKLFALAQGWGGKEEGLGLIACAIQNGCDTVAYDLGCTLHFEFYASNEVSSSEQSTRGLQIIHLPNINTHPETDLELLNKLVGEYKVPANLRFSLLSRLRFARAFGSLTSRQQYTRIRLYAFIVLVQASSDTDDLVSFFNNEPEFVNELVTLLSYEDAVSEKIRILCLLSLVALCQDRSRQPAVLTAVTSGGHRGILSSLMQKAIDSVISNTSKWSVVFAEALLSLVTALVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVTTAINILEAFMDYSNPAAALFRDLGGLDDTISRLKLEVSYVENSPKQLVEDPDCSGRISQVVAGASTELDNMQPLYSEALVAYHRRLLMKALLRAISLGTYAPGNTARIYGSEESLLPQCLCIIFRRAKDFGGGVFALAATVMSDLIHKDPTCFPVLDAAGLPSAFLDAIMDGVLCSAEAITCIPQCLGAFCLNTNGLAAVKDRNALRCFVKIFTSRTYVRSLTGDTPTSLSSGLDELMRHASSLRAPGVDMVIEILNVILRIGTGADTSSFAAESSAPVPMETDAEERNLIQPDDRESSRSESSDQMSEVSPDTSLMNIELFLPDCISNVGRLLETILQNADTCRIFVEKKGIDAVLRLFTLPLLPLSASVGQSISVAFKSFSPQHSASLARAVCSFLREQLKLTNELLASIGGTQLATVETGNQTKVLRSLSSLEGILSLCNFLLKGTTSVVSELSTVDADVLKDLGRAYREIIWQISLSNDTMADEKRKADQESEGTDTGPSNAAVGRESDDDASTPAVRYMNPVSIRSGSQFLGSADREFLSLVRSGESLHRRSRHGLSRLRGGRNGRHLNALNIDSDSSHSLPETSSVQDLKTKSPGLLVIEILNKLAFTLRSFFTALVKGFTTPYRRRADVGSLSSASKTLGMALAKIFLEALGFSGYSSSSGLDTSLSVKCRYLGKVVDDMGALTFDSRRRTCYTGMVNNFYVHGTFKELLTTFEATSQLLWTLPYSIPTPAIEHEKAGDANKVSHGTWLLDTLQCYCRVLEYFVNSTSLLFGNSTSQTQLLVQPVAAGLSIGLFPVPRDPETFVRMLQSQVLDVILLIWNHPLFPNCSPGFVASVVSIVMHIYSGVGDVKRNRSSITGSTNQRFMPPAPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLISHADDPVQEDDELARALALSLGNSSETSKVDSVDKPMDVMTEEGRPTAPPIDDILNASVKLFQSSDNMAFSLTDLLVTLCNRNKGEDRPKVLSFLIQQLRLCPLDFSKDSSALCMISHIVALLLSEDGNTREIAGQNGVVPAVLDILIDFKAKNDAGNEIMAPKCISALLLILDNMLQSRPRLFSESVEGTQTVSQPDSSGDHASLTVPEPVTEKKSASDANEKEPITSFEKILGKSTGYLTVEESQNLLLLACELIKQHVPAMVMQAVLQLCARLTKTHALALQFLENGGLAALFSLPRTCFFPGYDTVASAIIRHLLEDPQTLQTAMELEIRQTLSGSRHAGRVSPRTFLTSMAPVICRDPVVFMKAATAVCQLESSGGRPFVVLLKEKEREKDKLKASGAEVGLASNEPVRIPENRVNDGTGKCSKGHKKIPANLAQVIDQLLEIVLKYPSAKGQEDSATGLISMEIDEPTSKVKGKSKVEETRKMESENERSAGLAKVTFVLKLLSDILLMYVHAVGVILRRDSEMGQLRVSNQSDTSGSPGIVHHILHRLLPLSVDKSSGLDEWRDKLSEKASWFLVVLCGRSSEGRKRVINELVKALSSLSNVESNSMNSSLVPDKRVFAFADLAYSILSKNSSSSNLPGTGCSPDIAKSMIEGGVVQCLTNILEVVDLDHSDAPKTVSLMLKALESLTRAANANEQVFKFECFNKKKSLSSNERHTDQVTISAAEETEHNQNGGGQQAVVDAETTEQQHQVTLQIEDNHNANSNDPIEQDMRVEVEPVASNRPVELGMDFMREEMEGGVLHNVDQIEMTFRVENRADDDMADEDDDMADDGEDDEDDDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDDMIDEEDDDFHERRVIEVRWREALDGLDHLQVLGQPGGASGLIDVAAEPFEGVNVDDLFGLRRPVGFERRRSNGRSSFDRSVTEVNGFQHPLLLRPSQAGDLSLMWSSGGNSSRDLEAFSSGSFDVTHFYMFDAPGLPFDHAPNSLFGDRLGSAAPPPLTDYPVGMDSLHLQGRRGPGDGRWTDDGQPQASAQAAAIAQAVEEQFVSHLRSTAPANNLAERQSQNSGVQESQPSDAPPSNDGKAVVEGENTSSQQSEDQLQENNNGISQELNPTGESVTCQGQLNPQSGDMAESIQRHEGILTQTFSLNNAPDEHDNMEIGDGNGTTAADQVEQISEMVNLPEGGSVVPENLSPQGMGDDGLSGGDGQAGNRILTGTGLEMPNPGDSNGSSVHERIDVDMNTANAEENQTDQSIPHEIGAEEPDTLDSQDANQADQASANIEGPGSNAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYVPPSADNIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLSNRRNGLGLDRQTVMDRGVGITLGRRPGATISDSLKVKEIEGEPLLNSNSLKALIRLLRLAQPLGKGLLQRLLLNLCAHSATRATLVKLLLDMIRSEVEGSSSGLSTINSQRLYGCHSKVVYGRSQVFDGLPPLVLRRVLEILTYLATNHSAVSNMLFHYDPSILSEPLSPQNPETKKDKGKEKIIDGDASKPLGNSQGDIPLILFLKLLNRPLFLLSTTHLEQVVGLLQVVVYTAASKLESWSLSHLAVDNSSSQNLLDEEASGDAHKDLPLTEQESNQEKRTNTESSGSKGNKNVDFHNIFLQLPESDLCNLCSLLGREGLSDKVYMLAGEVLKKLASVAVTHRKFFTSELSELAHGLSSSAVNELVTLRNTQMLGLSAGSMAGAAILRVLQVLSSLTSTNVGDDTPEGGDDEQEEQATMWKLNVSLEPLWEELSNCIGLTEAQLAQSSLCPTVSNINVGEHLQGASSSSPLPPGTQRLLPFIEAFFVLCEKLHANHSIMQQDHVNVTAQEVKESAECSVSLPSKCGGDSQKKLDGSVTFARFAEKHRRLLNAFVRQNPGLLEKPLSMLLKAPRLIDFDNKRAYFRSRIRQQHEQHLAGPLRISVRRAYVLEDSYNQLRMRPTQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGSNATFQPNSNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNTRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTAASPVIQWFWEVVKAFSKEDMARLLQFVTGTSKL >KJB11849 pep chromosome:Graimondii2_0_v6:2:12800074:12814840:1 gene:B456_002G100900 transcript:KJB11849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKRRRYLEVPPKIRSFINSVTSAPLENIEEPLKDFVWEFDKGDFHHWVELFNHFDTFFEKHIKPRKDLQVEDKFFGSDPPFPREAVLQILRVIRLVLDNCTNKHFYSSYEQHLSSLLASTDADVVEACLQTLAAFLKKTIGKYSVRDASLSSKLFALAQGWGGKEEGLGLIACAIQNGCDTVAYDLGCTLHFEFYASNEVSSSEQSTRGLQIIHLPNINTHPETDLELLNKLVGEYKVPANLRFSLLSRLRFARAFGSLTSRQQYTRIRLYAFIVLVQASSDTDDLVSFFNNEPEFVNELVTLLSYEDAVSEKIRILCLLSLVALCQDRSRQPAVLTAVTSGGHRGILSSLMQKAIDSVISNTSKWSVVFAEALLSLVTALVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVTTAINILEAFMDYSNPAAALFRDLGGLDDTISRLKLEVSYVENSPKQLVEDPDCSGRISQVVAGASTELDNMQPLYSEALVAYHRRLLMKALLRAISLGTYAPGNTARIYGSEESLLPQCLCIIFRRAKDFGGGVFALAATVMSDLIHKDPTCFPVLDAAGLPSAFLDAIMDGVLCSAEAITCIPQCLGAFCLNTNGLAAVKDRNALRCFVKIFTSRTYVRSLTGDTPTSLSSGLDELMRHASSLRAPGVDMVIEILNVILRIGTGADTSSFAAESSAPVPMETDAEERNLIQPDDRESSRSESSDQMSEVSPDTSLMNIELFLPDCISNVGRLLETILQNADTCRIFVEKKGIDAVLRLFTLPLLPLSASVGQSISVAFKSFSPQHSASLARAVCSFLREQLKLTNELLASIGGTQLATVETGNQTKVLRSLSSLEGILSLCNFLLKGTTSVVSELSTVDADVLKDLGRAYREIIWQISLSNDTMADEKRKADQESEGTDTGPSNAAVGRESDDDASTPAVRYMNPVSIRSGSQFLGSADREFLSLVRSGESLHRRSRHGLSRLRGGRNGRHLNALNIDSDSSHSLPETSSVQDLKTKSPGLLVIEILNKLAFTLRSFFTALVKGFTTPYRRRADVGSLSSASKTLGMALAKIFLEALGFSGYSSSSGLDTSLSVKCRYLGKVVDDMGALTFDSRRRTCYTGMVNNFYVHGTFKELLTTFEATSQLLWTLPYSIPTPAIEHEKAGDANKVSHGTWLLDTLQCYCRVLEYFVNSTSLLFGNSTSQTQLLVQPVAAGLSIGLFPVPRDPETFVRMLQSQVLDVILLIWNHPLFPNCSPGFVASVVSIVMHIYSGVGDVKRNRSSITGSTNQRFMPPAPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLISHADDPVQEDDELARALALSLGNSSETSKVDSVDKPMDVMTEEGRPTAPPIDDILNASVKLFQSSDNMAFSLTDLLVTLCNRNKGEDRPKVLSFLIQQLRLCPLDFSKDSSALCMISHIVALLLSEDGNTREIAGQNGVVPAVLDILIDFKAKNDAGNEIMAPKCISALLLILDNMLQSRPRLFSESVEGTQTVSQPDSSGDHASLTVPEPVTEKKSASDANEKEPITSFEKILGKSTGYLTVEESQNLLLLACELIKQHVPAMVMQAVLQLCARLTKTHALALQFLENGGLAALFSLPRTCFFPGYDTVASAIIRHLLEDPQTLQTAMELEIRQTLSGSRHAGRVSPRTFLTSMAPVICRDPVVFMKAATAVCQLESSGGRPFVVLLKEKEREKDKLKASGAEVGLASNEPVRIPENRVNDGTGKCSKGHKKIPANLAQVIDQLLEIVLKYPSAKGQEDSATGLISMEIDEPTSKVKGKSKVEETRKMESENERSAGLAKVTFVLKLLSDILLMYVHAVGVILRRDSEMGQLRVSNQSDTSGSPGIVHHILHRLLPLSVDKSSGLDEWRDKLSEKASWFLVVLCGRSSEGRKRVINELVKALSSLSNVESNSMNSSLVPDKRVFAFADLAYSILSKNSSSSNLPGTGCSPDIAKSMIEGGVVQCLTNILEVVDLDHSDAPKTVSLMLKALESLTRAANANEQVFKFECFNKKKSLSSNERHTDQVTISAAEETEHNQNGGGQQAVVDAETTEQQHQVTLQIEDNHNANSNDPIEQDMRVEVEPVASNRPVELGMDFMREEMEGGVLHNVDQIEMTFRVENRADDDMADEDDDMADDGEDDEDDDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDDMIDEEDDDFHERRVIEVRWREALDGLDHLQVLGQPGGASGLIDVAAEPFEGVNVDDLFGLRRPVGFERRRSNGRSSFDRSVTEVNGFQHPLLLRPSQAGDLSLMWSSGGNSSRDLEAFSSGSFDVTHFYMFDAPGLPFDHAPNSLFGDRLGSAAPPPLTDYPVGMDSLHLQGRRGPGDGRWTDDGQPQASAQAAAIAQAVEEQFVSHLRSTAPANNLAERQSQNSGVQESQPSDAPPSNDGKAVVEGENTSSQQSEDQLQENNNGISQELNPTGESVTCQGQLNPQSGDMAESIQRHEGILTQTFSLNNAPDEHDNMEIGDGNGTTAADQVEQISEMVNLPEGGSVVPENLSPQGMGDDGLSGGDGQAGNRILTGTGLEMPNPGDSNGSSVHERIDVDMNTANAEENQTDQSIPHEIGAEEPDTLDSQDANQADQASANIEGPGSNAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYVPPSADNIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLSNRRNGLGLDRQTVMDRGVGITLGRRPGATISDSLKVKEIEGEPLLNSNSLKALIRLLRLAQPLGKGLLQRLLLNLCAHSATRATLVKLLLDMIRSEVEGSSSGLSTINSQRLYGCHSKVVYGRSQVFDGLPPLVLRRVLEILTYLATNHSAVSNMLFHYDPSILSEPLSPQNPETKKDKGKEKIIDGDASKPLGNSQGDIPLILFLKLLNRPLFLLSTTHLEQVVGLLQVVVYTAASKLESWSLSHLAVDNSSSQNLLDEEASGDAHKDLPLTEQESNQEKRTNTESSGSKGNKNVDFHNIFLQLPESDLCNLCSLLGREGLSDKVYMLAGEVLKKLASVAVTHRKFFTSELSELAHGLSSSAVNELVTLRNTQMLGLSAGSMAGAAILRVLQVLSSLTSTNVGDDTPEGGDDEQEEQATMWKLNVSLEPLWEELSNCIGLTEAQLAQSSLCPTVSNINVGEHLQGASSSSPLPPGTQRLLPFIEAFFVLCEKLHANHSIMQQDHVNVTAQEVKESAECSVSLPSKCGGDSQKKLDGSVTFARFAEKHRRLLNAFVRQNPGLLEKPLSMLLKAPRLIDFDNKRAYFRSRIRQQHEQHLAGPLRISVRRAYVLEDSYNQLRMRPTQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGSNATFQPNSNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNTRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTAASPVIQWFWEVVKAFSKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKFQIHKAFGAPERLPSAHTCFNQLDLPEYSSKEQLQERLLLAIHEASEGFGFG >KJB16671 pep chromosome:Graimondii2_0_v6:2:60660278:60660637:1 gene:B456_002G242300 transcript:KJB16671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCNKCEKFGHNKRSCRGEVGQNPPVTRHTICVHNQHMLPTYQEVAPREKLPFKKKPARQPAIVKWMSSTKESSVSDPLMTPYGSSFTQY >KJB14564 pep chromosome:Graimondii2_0_v6:2:20601468:20603259:-1 gene:B456_002G131400 transcript:KJB14564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGQYIDPLDSISQRKDFYGKGTDYKRFSETEKRYVFSSQITDLIEKLVNIIEEAERSSPARAFDMQNSISTALKDMQKQLDLISKYDWDFDFGLAVVTTLASSLDYMSSRSSLKLLLPLADSAQVLNVPVSPIGTLLAATHPFAANPPYLLSWLSPQSSALDMLQPNLFEKLVTENFETVPAKLLLQLATAFEEGGLRDRSGTFFYKNHLSKSNVPVLAIVGDQDLICPPDAVYETVKLILEPLVTYKVFGEPGGPHFAHYDIVGAQLAVDLVYPCIIEFLKSS >KJB14563 pep chromosome:Graimondii2_0_v6:2:20601323:20603261:-1 gene:B456_002G131400 transcript:KJB14563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGQYIDPLDSISQRKDFYGKGTDYKRFSETEKRYVFSSQITDLIEKLVNIIEEAERSSPARAFDMQNSISTALKDMQKQLDLISKYDWDFDFGLAVVTTLASSLDYMSSRSSLKLLLPLADSAQVLNVPVSPIGTLLAATHPFAANPPYLLSWLSPQSSALDMLQPNLFEKLVTENFGRRSSVSLYNRISQIIMTQLEVVKTSSLFKLHSVFFSP >KJB15680 pep chromosome:Graimondii2_0_v6:2:51472536:51474312:-1 gene:B456_002G190700 transcript:KJB15680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTVAKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFAKVKKYGLPMLLTQDEGVKSFISNLTAQLSEWLEAGKLQRVVMVIMSKAANEVLERWNFSIETDSDVVEKGVSREKSDREIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTDTDVPVPFTWIESDPKLIANPQMVKLHSFDTKIHKVDTLVSYKNDEWDEQ >KJB15482 pep chromosome:Graimondii2_0_v6:2:47377211:47380675:-1 gene:B456_002G180200 transcript:KJB15482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGVISDASAAFLLSLVHDIVLGDLAAGMFKKDCTDLVRRIALFTHLLEEIRDFAASDHPHASSSSSSSSSSSSSSWSADLAVALQAAKRLLSAASAHHSVNSSDGAAKRISFQFQCVTWKLEKSLAKIPFDQFQISEEVQEQVALVRAQLRRATERYGSLNSRKVSNAISQLPEKERSDTNHEISAKLDSIPESCTTLGHAADKEPKILERVNSSSIPSEVCLSNEVDSKGQENLAAKGAEQTKKPDTLVIPVDFLCPISLELMRDPVIVATGQTYERSYIQRWIDCGNVTCPKTQQKLENLTLTPNYVLRSVINQWCAKHNIEQPSGLANGRLKKSNGSFCDVSGDMAAIQELVSKLSSRCLEERRAAVAEIRSLSKRSTDNRILIADTGAIPVLVNLLTTDDASIQEHAVTSILNLSIFENNKSLIMLAGAVPSIVQVLRAGSMEARENAAATLFSLSLADENKIIIGSSGAIPALVDLLQNGSNRGKKDAATALFNLCIYQGNKGRAVRAGIITALLKMLTDSRNCMVDEALTILSILASNHDAKAAIVKASTIPVLIDLLRTCLPRNKENAAAILLCLCKRDAENLACISRLGAAIPLTELTKSGTERAKRKATSLLEHLRKLQQL >KJB12562 pep chromosome:Graimondii2_0_v6:2:1759569:1761861:-1 gene:B456_002G024200 transcript:KJB12562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKSACLNHISRESSDIRRLANFYKEIFGFEEIESPDFGEFKVIWLNLPGSFPMHLIERNPLTKLPEGPYSSTAAVADPSNLPRGHHICFTVSNFDSFVQSLKDKGIQTFQRSLPNGKVRQIFFFDPDGNGLEVASREAP >KJB12563 pep chromosome:Graimondii2_0_v6:2:1763434:1763913:-1 gene:B456_002G024300 transcript:KJB12563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIHPSPKKRNIAIQYEMDPRSEAERPRKKLRRLPHLFGRVLELPFRSDADVTVEESSDRFKFVAETDERIGDVVRAHAMEIHPGVTRIVIRSENLVDFCSLDHIVLDMWRFRLPEMARPELASAIYEDGELIVTVPKGELGGGVRNGNTNNNRFVLVQ >KJB11920 pep chromosome:Graimondii2_0_v6:2:4266031:4266471:1 gene:B456_002G049800 transcript:KJB11920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTLVLLILIVALIFHPTCFEARKLLSNIEKKHVPSFKGNFAGITLSKETTKMLPTSGDDKGHAMANNERLFAIHLAKIERILQSSHPSPGAGHR >KJB13049 pep chromosome:Graimondii2_0_v6:2:4754253:4761485:1 gene:B456_002G053800 transcript:KJB13049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) UniProtKB/Swiss-Prot;Acc:B3DNN5] MREEEIERLRGVVRDCVSKHLYSSAIFFADKVAAITNDPADIYMQAQALFLGRHFRRAYHLLNASKIVFRDLRFRYLAAKCLEELKEWDQCLFMLGDEKLDEHGDAYETKDNSDMYLDKDGEDREMNISSAICFLRGKAYEALENRAQARLWYKAAIKADPLCYEALECLVENHMLTCEEETSLLSSLQFGPEDGWLSSFYSCLIKKYDKENVVEAKFKELEKESSKTDLSSQSLMCTLKDNTDLLACKAEYYHQCGEYQKCFELTSTLLEKDPFHLKCTLVHLAAAMELGNSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKYDQSRRYFSKSTSLDGTFAPAWIGFGNAYAVREEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTHSFKLAEQFIMQAKAICPADPLVYNELGVVAYHMKEYNQAVWWFEKTLALIPNPLSEMWEPTVVNLAHAYRKLKMFREAISFYEKALTLSTKSLSTYAGLAYTYHLQEEMKSLTYTYQCGW >KJB13048 pep chromosome:Graimondii2_0_v6:2:4754173:4762234:1 gene:B456_002G053800 transcript:KJB13048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) UniProtKB/Swiss-Prot;Acc:B3DNN5] MREEEIERLRGVVRDCVSKHLYSSAIFFADKVAAITNDPADIYMQAQALFLGRHFRRAYHLLNASKIVFRDLRFRYLAAKCLEELKEWDQCLFMLGDEKLDEHGDAYETKDNSDMYLDKDGEDREMNISSAICFLRGKAYEALENRAQARLWYKAAIKADPLCYEALECLVENHMLTCEEETSLLSSLQFGPEDGWLSSFYSCLIKKYDKENVVEAKFKELEKESSKTDLSSQSLMCTLKDNTDLLACKAEYYHQCGEYQKCFELTSTLLEKDPFHLKCTLVHLAAAMELGNSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKYDQSRRYFSKSTSLDGTFAPAWIGFGNAYAVREEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTHSFKLAEQFIMQAKAICPADPLVYNELGVVAYHMKEYNQAVWWFEKTLALIPNPLSEMWEPTVVNLAHAYRKLKMFREAISFYEKALTLSTKSLSTYAGLAYTYHLQDNFTSAITYYHKALWLKPDDPFCTEMLNIALADECRHGIEPKIDFH >KJB15639 pep chromosome:Graimondii2_0_v6:2:50385046:50387539:1 gene:B456_002G187800 transcript:KJB15639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWMMNEGGGHYCSKKTDDICGDVCGQESSRLSMSRIRCILRGIDLKTYIFLFILVPTCIFGIYIHGQKISYFLRPIWESPPKPFHDIPHYFHENVSMEALCELHGWRIREFPRRVYDAVLFSNEVDILTIRWKELYPYITHFVLLESNSTFTGIPKPMVFASHRDQFKFIEPRLTYGTIGGRFKKGENPFVEEALQRVALDYLLKIAGISDDDLLIMSDVDEIPSRHTINLLRWCDDIPQVLHLRLKNYLYSFEFLVDNNSWRASVHRYQTGKTRYAHYRQTDEILADAGWHCSFCFRHISEFIFKMKAYSHNDRVRFSHYLNPKRVQRVICKGADLFDMLPEEYTFKDIIGKMGPIPRSFSAVHLPSYLLENADKYKFLLPGNCLRENE >KJB17168 pep chromosome:Graimondii2_0_v6:2:62697846:62702760:-1 gene:B456_002G268200 transcript:KJB17168 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6B [Source:Projected from Arabidopsis thaliana (AT2G43970) UniProtKB/Swiss-Prot;Acc:O80567] MALPETLDSPQSSSSSDPQLFRNLSSSRLNAQAPEFVPTRSTPQQQSMRMMIPPPPPPGIMHVYSPPPAPAGAAFHLPVPIPPHLVPVPHHMSMRNHHHHPYQNHHHREQEVEAVAKKDHQEHKDHGSISSKNGLSEEATLKILNQVEYYFGDLNLATTDHLMRFINKDPDGYVPISVVASFKKIKALVTTHSQLATVLRNSAKLVVSEDGKKVRRQHPLTESDMEELQSRIIVAENLPEDHCHQNLMKIFSAVGSVKTIRTCQPQPSGGGMSSASRSAKSDGMHFSNKVHLLIMDVLSILVVSYGKISSLVLLHAFVEYETVELAEKAVAELNEEGNWRSGLRVRLMLRHVSKPAHARVKKGHDGEGQCEEDDVCISELPSNGKQQEDPSQLSDIHSHGNPASSNPILIGEEHSNEKECGQKKGRNRGRGKGRGRTQYHNNHHSSHGNHVGTPPSASSNWVSNEQATGAKQPPGPRMPDGTRGFAMGRGKPVAVNTA >KJB17169 pep chromosome:Graimondii2_0_v6:2:62697846:62702886:-1 gene:B456_002G268200 transcript:KJB17169 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6B [Source:Projected from Arabidopsis thaliana (AT2G43970) UniProtKB/Swiss-Prot;Acc:O80567] MALPETLDSPQSSSSSDPQLFRNLSSSRLNAQAPEFVPTRSTPQQQSMRMMIPPPPPPGIMHVYSPPPAPAGAAFHLPVPIPPHLVPVPHHMSMRNHHHHPYQNHHHREQEVEAVAKKDHQEHKDHGSISSKNGLSEEATLKILNQVEYYFGDLNLATTDHLMRFINKDPDGYVPISVVASFKKIKALVTTHSQLATVLRNSAKLVVSEDGKKVRRQHPLTESDMEELQSRIIVAENLPEDHCHQNLMKIFSAVGSVKTIRTCQPQPSGGGMSSASRSAKSDGMHFSNKLHAFVEYETVELAEKAVAELNEEGNWRSGLRVRLMLRHVSKPAHARVKKGHDGEGQCEEDDVCISELPSNGKQQEDPSQLSDIHSHGNPASSNPILIGEEHSNEKECGQKKGRNRGRGKGRGRTQYHNNHHSSHGNHVGTPPSASSNWVSNEQATGAKQPPGPRMPDGTRGFAMGRGKPVAVNTA >KJB12913 pep chromosome:Graimondii2_0_v6:2:3706720:3721512:1 gene:B456_002G044800 transcript:KJB12913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWELCIGTASSVVAALLLDYLVKPIERRIRYLFRAKLPNLDLVTSKNHVDSKSSYAAFNKIMEALKDDKVVGEAKGFDRVITVTVSETVNIEKLQNKIADDIDLNFEKNTEGGKATELWSRLRNGKFLVILDDLWNEWDDDGDLRKIGIPLVKDEKGCKIILTTRNCNVCQHMECEETVQVKVLEDDEAWALFEMNAGLKNADSRVIGEAKKIAKECKGLPLAIVTLAKALKGKALERWKDARKKLERSGLMEIPSIQTEREKNAYISLQISYEHLKDKMPQTCFLLCALYPEDHSINVEDLANSIEEIRIQVLSVIDHLKDCCLLEDGDDGRYVKLHDIVRDVALWIASEEKSGFMIKSRLELLNRSSESCKAISLLDSEKKNFPNSLILSKLEILLLKNCNVQGTCFLEMGELKVLSLTVVDGSTGVISLYALSSLQNLRALHLENFDDFSFLGNSRKLEILSLRRSNVNGLADELERLKDLKMLDLTDCSFSSSFFPNVIRRLSQLEELYLSIMTNDICLAIKSLTRLTRLNLWVTSLHFPPDFEFPKLENYKIRINHSSSGLDNRFEEARSLKVEKVFPYNADSQLLGKLESVVVSGIKDEYVECLTNKTQQKEVEENEAPLLSNLKILRLKHLPGLSCIWELPTQHVRLESLVELTIQHCPQLEGDEGEISAINSHTSLCFPKLTELYISTCDGLEYIFPTSLASHGLQGLTLDIRAFTHLETLFIAKMPRLEALCKGQPPQGFLKNLKHLGIVDCCKLKSLFSPSLIQSLVLLEQLEIRCCDELKTLFADPEIDGEIESKTSSLPLRLPKLNTLYIRACAKLEYVVPITLAQGLPALEWLWVSECDALKQVFGMPNEQNEVHHHSSLLLPSLQDLELDWLRNLTSFVPKNYIVKAPSLKRMKAKGCSKVMNLPIQQANNQLELTLEELAFIMEDIDSEDVNLSDIVNTQLIQKSPDFEYITLGNFEQLFLLQGGYIMSSLERMDLHNVITLQDIWKGPIHVETNLRELTVHYCNNLTYIFPETLIPYLPQLSILNIASCENLKQISGNDDILPSSSSSQGPQLEMKMVFPQLQKIELENLSKIESFSPVGYHLEFPYLHSLNIKKCSKMITIFSADYFTLTVHAKTDQ >KJB12914 pep chromosome:Graimondii2_0_v6:2:3706720:3721512:1 gene:B456_002G044800 transcript:KJB12914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWELCIGTASSVVAALLLDYLVKPIERRIRYLFRAKLPNLDLVTSKNHVDSKSSYAAFNKIMEALKDDKVVGEAKGFDRVITVTVSETVNIEKLQNKIADDIDLNFEKNTEGGKATELWSRLRNGKFLVILDDLWNEWDDDGDLRKIGIPLVKDEKGCKIILTTRNCNVCQHMECEETVQVKVLEDDEAWALFEMNAGLKNADSRVIGEAKKIAKECKGLPLAIVTLAKALKGKALERWKDARKKLERSGLMEIPSIQTEREKNAYISLQISYEHLKDKMPQTCFLLCALYPEDHSINVEDLANSIEEIRIQVLSVIDHLKDCCLLEDGDDGRYVKLHDIVRDVALWIASEEKSGFMIKSRLELLNRSSESCKAISLLDSEKKNFPNSLILSKLEILLLKNCNVQGTCFLEMGELKVLSLTVVDGSTGVISLYALSSLQNLRALHLENFDDFSFLGNSRKLEILSLRRSNVNGLADELERLKDLKMLDLTDCSFSSSFFPNVIRRLSQLEELYLSIMTNDICLAIKSLTRLTRLNLWVTSLHFPPDFEFPKLENYKIRINHSSSGLDNRFEEARSLKVEKVFPYNADSQLLGKLESVVVSGIKDEYVECLTNKTQQKEVEENEAPLLSNLKILRLKHLPGLSCIWELPTQHVRLESLVELTIQHCPQLEGDEGEISAINSHTSLCFPKLTELYISTCDGLEYIFPTSLASHGLQGLTLDIRAFTHLETLFIAKMPRLEALCKGQPPQGFLKNLKHLGIVDCCKLKSLFSPSLIQSLVLLEQLEIRCCDELKTLFADPEIDGEIESKTSSLPLRLPKLNTLYIRACAKLEYVVPITLAQGLPALEWLWVSECDALKQVFGMPNEQNEVHHHSSLLLPSLQDLELDWLRNLTSFVPKNYIVKAPSLKRMKAKGCSKVMNLPIQQANNQLELTLEELAFIMEDIDSEDVNLSDIVNTQLIQKSPDFEYITLGNFEQLFLLQGGYIMSSLERMDLHNVITLQDIWKGPIHVETNLRELTVHYCNNLTYIFPETLIPYLPQLSILNIASCENLKQISGNDDILPSSSSSQGPQLEMKMVFPQLQKIELENLSKIESFSPVGYHLEFPYLHSLNIKKCSKMITIFSADYFTLTVHAKTDQ >KJB15052 pep chromosome:Graimondii2_0_v6:2:34349243:34350098:1 gene:B456_002G157900 transcript:KJB15052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYPAVAFHTIVVFHTAASTPLQPSLVQSDASSTSRPMCPSVRTRIPQQQVCRLLCHTPSCTIRRLR >KJB12198 pep chromosome:Graimondii2_0_v6:2:340611:341320:1 gene:B456_002G005400 transcript:KJB12198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAFCIAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMRRQITHMIKYKYIPFSIGKQKYTGKKPSASSSGFRGD >KJB15278 pep chromosome:Graimondii2_0_v6:2:41920020:41921341:-1 gene:B456_002G168400 transcript:KJB15278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAAIFRAAATVMLLVLSFSACQAQLSSTFYGDTCPNALGTIRTSIRSAIARERRMAASLIRLHFHDCFVQGCDASILLDNSPSITSEKFVTQNNNSVRGFEVIDEAKSAVENVCPGVVSCADILAVAARDASEYVGGPSWTVKLGRKDSTTASASLASRDLPRFADGLQRLIDLFESKGLSERDMVALSGSHTIGQAQCVTFRDRIYSNGSDIDAGFASTRRRNCPATFPNGNGNLAPLDLVTPNSFDNNYFRNLLQKKGLLQSDQVLFSGGSTDSIVNDYSRNPSTFRSDFASAMIKMGDIEPLTGSAGIIRRICSRVN >KJB16226 pep chromosome:Graimondii2_0_v6:2:56990540:56993215:-1 gene:B456_002G218300 transcript:KJB16226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASDTGGGDEGLSSSPRNRMKFLCSYGGKILPRPGDGKLKYVGGETRMLAVPGDIKFSELMKKVNDMVEGDMILKFLIIPDELDALVTVKSDEDVRHMVDEYRRLESEGTPKLRAFLFPSNPLVAENQMNFVDPYAIEQRYIEAVNGIVRPSSNHTSGRLTPVNPNRPNFSISACTSPTAASPDASLPMEALVSNGNQHNKPPMPKVRSSPSLYSLSTLHPQSNNNHSNHQVHQPHHHSHQNHQQQHPYSGQSPRTSPDYRTEKAPGPYDFVRGAMGHSHVPVNRVYSVGRQNLGNGYPMYTCCCDECLVYASGGASRRGSLSTSGRLGKHDSPCPRNHMLE >KJB16227 pep chromosome:Graimondii2_0_v6:2:56990643:56993556:-1 gene:B456_002G218300 transcript:KJB16227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASDTGGGDEGLSSSPRNRMKFLCSYGGKILPRPGDGKLKYVGGETRMLAVPGDIKFSELMKKVNDMVEGDMILKFLIIPDELDALVTVKSDEDVRHMVDEYRRLESEGTPKLRAFLFPSNPLVAENQMNFVDPYAIEQRYIEAVNGIVRPSSNHTSGRLTPVNPNRPNFSISACTSPTAASPDASLPMEALVSNGNQHNKPPMPKVRSSPSLYSLSTLHPQSNNNHSNHQVHQPHHHSHQNHQQQHPYSGQSPRTSPDYRTEKAPGPYDFVRGAMGHSHVPVNRVYSVGRQNLGNGYPMYTCCCDECLVYASGGASRRGSLSTSGRLGKHDSPCPRNHMLE >KJB14301 pep chromosome:Graimondii2_0_v6:2:16757383:16762662:-1 gene:B456_002G118100 transcript:KJB14301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLTLKPSSFVLQSSFTVFSSYDKSKQSFPSVRFPTNIKSNTRLGLRIKAYDSSKSDDASKPNGDSKPPNGTLKNQQPKARRDILLEYVKNVQPEFMELFVKRAPQQVVEAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVMMTGYMFKNAQYRLELQQSLEQAALPEVQEKKDVPDYAPGTQKNVSGEVIRWNNVSGPEKIDAKKYIELLEAEIEELNRQVGRNSANGPNELLEYLKSLEPQNLKELTSSAGEDVVFAMNTFIKRLLAVSDPDQMKKSVTETSAPELAKLLYWLMVVGYSLRNIEVRFDMERVLGTPPKLAELPPGENI >KJB14300 pep chromosome:Graimondii2_0_v6:2:16757383:16762499:-1 gene:B456_002G118100 transcript:KJB14300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLTLKPSSFVLQSSFTVFSSYDKSKQSFPSVRFPTNIKSNTRLGLRIKAYDSSKSDDASKPNGDSKPPNGTLKNQQPKARRDILLEYVKNVQPEFMELFVKRAPQQVVEAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVMMTGYMFKNAQYRLELQQSLEQAALPEVQEKKDVPDYAPGTQKNVSGEVIRWNNVSGPEKIDAKKYIELLEAEIEELNRQVGRNSANGPNELLEYLKSLEPQNLKELTSSAGEDVVFAMNTFIKRLLAVSDPDQMKKSVTETSAPELAKLLYWLMVVGYSLRNIEVRFDMERVLGTPPKLAELPPGENI >KJB16900 pep chromosome:Graimondii2_0_v6:2:62335347:62336384:-1 gene:B456_002G262900 transcript:KJB16900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLHHHQYYHRQHHQQQHQQLAMNVNVDVDRLPQWSIQETKEFLMIRAELDPSFMETKRNKQLWEFISTTLKEKGYNRSAEQCKCKWKNLVTRYKGCEMVEEEAKRQQQFPFYNDLQAIFSARKQTTSKKLCSDEEEDKGRYRGKEKGKKGVSISGSTSESINDGNDTKEILKCFMRQQLELEIQWREALEVRENERRLKEMEWRRTMEALENERVMMDIRWREKEEQRRIREEARSERIDALVTTLLNKLTRDDHMK >KJB12020 pep chromosome:Graimondii2_0_v6:2:58020768:58021693:1 gene:B456_002G224800 transcript:KJB12020 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEA4-5 [Source:Projected from Arabidopsis thaliana (AT5G06760) UniProtKB/TrEMBL;Acc:A0A178UIZ0] MQSMKEKAANVAASAKSGLEKTKATMEEKVEKARSNDQMEKQIAKERKEERIHQAELNKLEARQHNAAAKQAGAEGGFTATGTGTYKATGTHSYSTTGERGQSTGAHQMSALPGHGSGQPAGQVVEGTAAAHPIGSNLGVGGAKSHNTRVEGNPHGYGTGGTTS >KJB12021 pep chromosome:Graimondii2_0_v6:2:58020972:58021934:1 gene:B456_002G224800 transcript:KJB12021 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEA4-5 [Source:Projected from Arabidopsis thaliana (AT5G06760) UniProtKB/TrEMBL;Acc:A0A178UIZ0] MQSMKEKAANVAASAKSGLEKTKATMEEKVEKARSNDQMEKQIAKERKEERIHQAELNKLEARQHNAAAKQAGAEGGFTATGTGTYKATGTHSYSTTGERGQSTGAHQMSALPGHGSGQPAGQVVEGTAAAHPIGSNLGVGGAKSHNTRVEGNPHGYGTGGTTS >KJB14260 pep chromosome:Graimondii2_0_v6:2:16504366:16505020:1 gene:B456_002G116300 transcript:KJB14260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIISKLQDDGMQGEHLKKIIADPNFVSRVDKLIEKFKSSVGDNSGSVDGTTFALADEVAASPESEEEIATEVSNGLLKMEKEGLVDLGDVTSDPKFKEEVAKAIRYFRSNAKDNANTENEKN >KJB13546 pep chromosome:Graimondii2_0_v6:2:10061609:10064200:-1 gene:B456_002G080500 transcript:KJB13546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDTATRQEYEELKQEITRMLMVATDGSSQKCHLIATIKRLGVSYLFEKEIEDALQTNFHHHEYKADQTLEYTSLQFRLLRENGFNAQSEIFNNFKDDKGNFKISLTSDVKGLLELYEASHLLVHGEHILDEALAFTTSHLELAQRIGIERPLSALVSHALKRPIRKALPRLEARQYISLYQEDDSHDKTLLKFAKLDFNLLQNLHKEELSKISKWWKELDFATKLPFARDRLVECYFWILGVYFEPQYSFAREIMTKAIVMASTMDDIYDVHGTYEELELFTNAIERWDTSFIDGLPAYMKVFYEALFDLYEEMEKVMTKQGKSYRVQYAKEAMKQLSQAYFIEAKWYHENYVPTVEEYMKNALVSSGYPMVTITSFVGMGDVVTEETFNWASNNPKIVRASSMIARLMDDIVSHKFEQERGHCASAVECYMKQHGVSEEKACEELKKLIDTAWKDINQELLFKPARAPFPVLTCVLNLAKVMDFLYKEGDGYTHVGNVTKAGITSLLVDPVPI >KJB15873 pep chromosome:Graimondii2_0_v6:2:54100333:54105173:1 gene:B456_002G200900 transcript:KJB15873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSEINIGVMRSIYFTVAGPSILVANYFRGKCLTQQLLPKELERERKKREMEKKLTALSHLFVTVFLSGFSTIIVAPAIIDVTMFSLCPGTDECSLAIYLSGFQQAIIGLGTALMMPIIGNLSDQYGRKALLTLPMTLSIIPLAILACNRTTNYFYAYFAFKTLTDMVCQGSINCLALAYLADNISYRQRASAFGILAGVTSAAYVCGTLAARFLSTGLAFQVATFVSLFAVVYMRIFLEESIPADQGEGITQPILKDGEEDNVIQNDDNAPTKVPPFKKIPSLGDVIYLMKNSPSFAQAAIVAFFNNLGEGGMESSSMYYLKARFHFNKNQFADLMLIGGIVATISQLFIMPLLVSPIGDGRLLSIGLFASCVYAILYSIAWSAWVPYAAAAVSTVMVFAPPSLRSIASKQAGPREQGKAQGCISGIISLANIMAPLIFSPLTSLFLSEGAPFHFPGFSIICIAITLMIAFIQSLMIGRAPSTSNAENSTEV >KJB15881 pep chromosome:Graimondii2_0_v6:2:54257148:54259425:-1 gene:B456_002G201300 transcript:KJB15881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSTVLTSVPNLYLTRKPKNIHIQIRCLSSPSVSISSQSNLTQFDLKAYWATLYAEITQKLEEAIPVKYPDQIYEAMRYSVLAKGAKRAPPVMCVAAYEIFGDNRLAAFPTACALEMVHAASLIHDDLPCMDDALERRGQPSNHKVFGDDMAILAGDALFPLGFHHIVMHTPPELVPESRLLRVIAEIARTVGSSGMAAGQFLDLKGGNTVDFIQEKKYGAMGECSAVCGGLLAGAKDDEIERLRRYGRAVGVLYEVVNDVLLSKSTEKEGTEGQEKKGKGYVRLYGIEKSMEIMEELRSKAKNELDGFEKYGDCVAPLYSFIDYAINRGFNVSDLSQ >KJB13700 pep chromosome:Graimondii2_0_v6:2:11292117:11293592:1 gene:B456_002G089400 transcript:KJB13700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLGANFPLQELVNKENIGKCTPCHHLIIASTTTIVPYPSKFPKIFQMKSISRSFKAEAFHRYLKPGALAQLRDSKINARSNKLKLFRLDSIPSLSPSQTQIQISDFDQIPRFLSKIYGGSCCLQRKKLLAPKSLLLVNFQASSQSLESRENDNNSESRSNRNGDNLLINVLNTDVVVAH >KJB14730 pep chromosome:Graimondii2_0_v6:2:24631138:24633165:1 gene:B456_002G140800 transcript:KJB14730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGGLACKNSRSGENSAECEKVLLAGSFTFLATHERPSLLSTLCPLLVLCPHLCPLPVLCPALCRFFVPLPSAGEFIFLFSSSFKIFQDLGFSTHDVADIVASDPWILTRSVDDRIAPSISDLKIVLGSNDDVVKLLRTSAWFLKSDLQKTMMPNIELLRNCGICSSQIVSYVFSFPRFFLLKPESIKQFVERADALGFDRKSNMFLAAIRMLSSMIFRKLGFSEDDIMYTFRRTPQVFAVSKRKIKQVTDFLLNRTNVGISFIISHPMVLICSLERRLKPRLLVIETLESKNSLRRKVSMTTIYKMPDKKFREKYVVPHLKELEEVSMSIVGT >KJB15829 pep chromosome:Graimondii2_0_v6:2:53689896:53692838:-1 gene:B456_002G198400 transcript:KJB15829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLLYSSSPTPLEISRKPSPSLSRLARFDSPTLGFVPSLTRPQLRRFISISCKADNNPSHFSYPLSNPSNELPSIGSPRVDSANGSTPTSNFLELVAIKASAQRKAITAGTYIALSAIIMFLIQPIFAPAAFATFQAGAKASGTAAAAVGGGVLRTELLSSAWTGFFAGCLHTLSGPDHLAALAPLSIGRTRMESAVVGALWGCGHDAGQVIFGLLFLLLKDRLHIEIIRTWGTRVVGLTLLVIGAMGIREASEVPTPCVALENGECDVSVYETLENPAVGKKKIGFATFATGIVHGLQPDALMMVLPALALPSRVAGAAFLIMFLLGTVVAMGSYTVFIGSCSQALKDRIPRITEKLTWASSLVAIALGVAIIVSQFFGFSLY >KJB13283 pep chromosome:Graimondii2_0_v6:2:7743140:7744557:-1 gene:B456_002G066500 transcript:KJB13283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETEALDLLEESWFFENLLDRRRRMSRCYSDPCTSSNFRQDVLSNDSCNNNQSSNGLVRAPSLPPCIGRGEQVEAKKNHGGKIKLNRQLSLQASKTTSTTTTTCSDHKTKTPDRESSSSRHSLQRTPSLPSSMEAKVSDIRMSKLIRQALADSPDILPPRHNHNKATNLPRCSIRPPRNQEVEAINNTNEASVTRYRHSNPKKMLQKSYSDLAFQELQGFKDLGFTFDKEDLSPDIVNILPGLQGDKIEDELQPDKVKKPYLSEAWLVQGPAPPPIPTCVSKNSAKDMKAQIKFWARAVATNVRQEC >KJB12618 pep chromosome:Graimondii2_0_v6:2:2050459:2052422:-1 gene:B456_002G027400 transcript:KJB12618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRRWHRASVHSFSARKSDSIFRANQELHRKPRTLMFNPVRLEEIVEEVKRMGIDSSRKKFLVAVYAFRSMRKSTLEKKIDVYRRWGWSDQAINEAFRRYPMCMTVSEDKIMSTMDFLVNKMGYSLTRIAKQPSFLTRSLEKRIMPRALFARELISQVEAEA >KJB12901 pep chromosome:Graimondii2_0_v6:2:3586026:3588231:1 gene:B456_002G043400 transcript:KJB12901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYLVIRDLVSLLVVGLLMIWGCRTLNWVWLAPKRLESCLRQQGFAGNPYRFLSGDIKELSTMSRQTRAKPMPLSDDIGPYVVPFQHQTANQYGKNSFTWFGPRPRVNITDPEKIREILNKFNDFQKIHTNPLLNLLVSGLANLDGDRWSKRRKIINPAFHQDKLKNMLPAFYQSCSDMLSKWEKMVCTKGYSELDVWPYLVDLTRDVISRSAFGSSFEEGKRIFQLLEDQLVHIIKLIQTVYIPGWRFSPTKTNREMKMKHRDIKELLMEMIKRREKAIKAGEESNEDLLDILVESNIREMEAKNMGMSIEDVIEECKLFYLAGQETTSVLLVWTMVLLARYPDWQSKAREEVLHVLGDSKPDADGLNRLKVVTMILYEVLRLYPPVVELERSVPKEIKLGNLLLPVGTEVSVPILLIHHDKDLWGDDAREFKPERFAEGVSKATKSQVTFLPFGWGPRICIGQNFAMMEAKMAMAMILQRFWFELSPSYAHSPYGRATLRPQHGAQIILHKLGCN >KJB12900 pep chromosome:Graimondii2_0_v6:2:3586091:3587589:1 gene:B456_002G043400 transcript:KJB12900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYLVIRDLVSLLVVGLLMIWGCRTLNWVWLAPKRLESCLRQQGFAGNPYRFLSGDIKELSTMSRQTRAKPMPLSDDIGPYVVPFQHQTANQYGKNSFTWFGPRPRVNITDPEKIREILNKFNDFQKIHTNPLLNLLVSGLANLDGDRWSKRRKIINPAFHQDKLKNMLPAFYQSCSDMLSKWEKMVCTKGYSELDVWPYLVDLTRDVISRSAFGSSFEEGKRIFQLLEDQLVHIIKLIQTVYIPGWRFSPTKTNREMKMKHRDIKELLMEMIKRREKAIKAGEESNEDLLDILVESNIREMEAKNMGMSIEDVIEECKLFYLAGQETTSVLLVWTMVLLARYPDWQSKAREEVLHVLGDSKPDADGLNRLKVVSNNDIVRGSKAVPTGG >KJB13498 pep chromosome:Graimondii2_0_v6:2:9303435:9304695:1 gene:B456_002G0784002 transcript:KJB13498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVLCLLLFFTRRTWAIDTLTQGQSIKDGETLVSAGGSFELGFFSPGNSKSRYVGIWYKKVSTGTVVWVANRENLVSDASGVLSINEKGILSIMNGTKGIVWSSNTSRNSAEEPIAQLLDSGNFVVKDRNDSDSENFLWQSFDHPGDTFLPGMKIGINFVTGSERHASSWKNTEDPAPGIYTYRVDSQGYPQVVVKKGADILFRAGSWNGLYLTGSPLPVNPLYSFELVLNENEVYYTYQVQNNSIYTRFLLNPSGLIQRTIWNERTNNWEVYATSQSDQCSIYAYCGSYATCSTNESPPCKCLEGFIHRSASPRDINSVDWSYGCSRRTPLACHGGDSFLRKTGLKLPDTSKTWANISIDLKECEKLCLKNCSCTAYANLDIREGGHGCLLWFGDLIDIIAFSEGGQDLYIRLATSDL >KJB14552 pep chromosome:Graimondii2_0_v6:2:20524519:20525911:-1 gene:B456_002G130900 transcript:KJB14552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVKPSSPIPSFDFNSAPPSPSRFGECFFSAPTTPLRISEFYSEFDRLSIMNHNQTSSSSAIPFDWEEKPKPVGKTKIDDDDEETFDFDFRQPLLEKTYQLSAEELFDGGKIKSFKPAQVVDEYDKKSPFLSSQGKKIIHAFSPSKKTNTEDGRGRGRERAHDMLSKNSSRRATRSLSPYRVSDEEDENDQISSNIKQSSSKGSSSRKWRSLRDLLLFRSASEGHNRDTFRKYSSSFIRKSEDHHHKSSSFKSVDSSGSARSKRKVSAHELHYTTNKAASDSMKKKTFLPYKQGILGRLAFIPAVGTPAKSYNNTM >KJB13384 pep chromosome:Graimondii2_0_v6:2:8328552:8330271:1 gene:B456_002G071600 transcript:KJB13384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFIIPFVKVWLLFFIVGTIFGAVNGWFNAHATFYGADQSPFSLGGACGYDNTLHAGFGANTAALSGALFRDGEACGACYQLRCDYRADPKWCLRRASVTITATNFCPSNNNGGWCNPPHHHFDMSLPAFLRIARHGDEGIVPVLYRRVPCKRRGGVRFTLKGQSSFNMVMITNVGGSGNVKAAWIRGSRTRTWLPMNRNWGANWQSSIDLRNQRLSFKLTLVDGKTLEFLNVVPSTWKFGQTFASIRQFF >KJB16324 pep chromosome:Graimondii2_0_v6:2:58016512:58017215:1 gene:B456_002G224600 transcript:KJB16324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAIKENASNATASAKSGLEKVKAIVEDKLVKTKGNNSNDAKQEETVSPKKEVRMEQAELDEEEARLHDAVTKHMGGDGGFTAAGTDLNSQGGWIPIYPTAAHYNGARSFSLK >KJB13729 pep chromosome:Graimondii2_0_v6:2:11519176:11521924:-1 gene:B456_002G091300 transcript:KJB13729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVDHHIVSLVQSSDGIKRVVNEMDFFADDRSSKQHDKADDDVHHHHHVKTESEHHGADQDDDVNTGLNLLTTNTASEKSSVAGDGITSSQNLKEKQRVNQLADVRAELDRINAENQRLKLTLNQVNSNYYALQMHLVSLTQRHRNRIAESSEANTLMNRPIEEKTHGEGMAARQFMDLVQTETKAENDELSESSSEDRCQELSGSPGNTIVESMERRQKNITSRNQREDTPEGLPHPAGWLSNKGPKFNTSKDNEQAQQTMAMIRKARVSVRARSEASMISDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRTILVTTYEGNHNHPLPPAAMAMASTTSAAASTLLSGSMPSADQGIMNSNIVPKGMLPGSPNLITLSASAPFPTVTLDLTHPPNQRPLHQLHPLSPNWPHNISSLPAHLLGHPIYNQSKLLGTYLSSQGNNELPVHLSQNQMQGHPMVDTAATAAAITADPNFTAALVAAITSIIGNSHRDNSGNNNSTTSRNTGDNNT >KJB16017 pep chromosome:Graimondii2_0_v6:2:55527867:55530590:-1 gene:B456_002G208600 transcript:KJB16017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNIYFGGNDLSTSMDNAKVPIMKTGMYNLFFIACDPKLKGTTMSGKTVWKNPDGYLPGRMAPLKKFYVFMMIAYLLLSAIWFSQYVRFWKDILLLQHCITAVIGLGLFEMILWYFDYSNFNSTGMRPVVITTWVVTVGAIRKTLSRLLILSVSMGYGVVRPTLGGLTSKVLLLGATYFLAAELLDITEYVGTINDISGRARLFLVLPDAFLDAFLILWIFTSLSKTLEQLQVKRISAKLDLYRKFSNALAVAVIASVAWITFEVYFKATDPFNERWQSAWIITAFWDILSFALLCVICYLWAPSQSSQRYAYSEDVKDEFDDEEAQSLTRGQSDGDVNLVKQERNNGNAGVSDPEDDSEEDKRE >KJB16016 pep chromosome:Graimondii2_0_v6:2:55527822:55531182:-1 gene:B456_002G208600 transcript:KJB16016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAYLISIFLLLSTALTTINASIHIYQNQLFNEVGNAYLLPGGSEGLAASRSSDNSVSDGRSFIRFENITFWRTQAAADEQSDKEHGTGLIQAVIFEAADRNNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRIPSSTDSKWPMVLNIYFGGNDLSTSMDNAKVPIMKTGMYNLFFIACDPKLKGTTMSGKTVWKNPDGYLPGRMAPLKKFYVFMMIAYLLLSAIWFSQYVRFWKDILLLQHCITAVIGLGLFEMILWYFDYSNFNSTGMRPVVITTWVVTVGAIRKTLSRLLILSVSMGYGVVRPTLGGLTSKVLLLGATYFLAAELLDITEYVGTINDISGRARLFLVLPDAFLDAFLILWIFTSLSKTLEQLQVKRISAKLDLYRKFSNALAVAVIASVAWITFEVYFKATDPFNERWQSAWIITAFWDILSFALLCVICYLWAPSQSSQRYAYSEDVKDEFDDEEAQSLTRGQSDGDVNLVKQERNNGNAGVSDPEDDSEEDKRE >KJB15996 pep chromosome:Graimondii2_0_v6:2:58729349:58730178:-1 gene:B456_002G228300 transcript:KJB15996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AFLKKPLFFIIKAFVAGVMLSIGFIHVLSDANENLTSPCLSENPWDKFQLAKLLAMAAKIGRLMVGVFATSHCTKSHLHKTHESNYVDEEKIGKTHGHDSVSMLERSDSAQLHRLQICIGMAHSMIIGIPLGASESPKAIKPLVAALTFHQFFEGMGLGGCISQVGLRFRAGFIGIRDNLGRSINRFSVQGSHR >KJB16126 pep chromosome:Graimondii2_0_v6:2:56168118:56169741:-1 gene:B456_002G213300 transcript:KJB16126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 10 [Source:Projected from Arabidopsis thaliana (AT2G14620) UniProtKB/Swiss-Prot;Acc:Q9ZVK1] MNNCLKCRALVISLLILNVFQVSVASVVSTGDFNKDFFVMWAPDHVNTSSNGRERSLKLDQVSGSGFASTQMFLFGQIDMQIKLVPGNSAGTVLAYYLASDQPNRDEIDFEFLGNLSGQPYIVQTNIYVDGFDNREERIYLWFDPTEDFHTYSILWNLHQIVFMIDSIPIRLYRNHADKGVAYPRWQPMSIKTSLWNGDSWATRGGLDKIDWSKSPFIASFKNYTIDACVWKGNPRFCRADSSANWWNKRSFSTLTRVQNRWFKWVRKYHMVYDYCQDNQRFQNNLPKECFLPKY >KJB15106 pep chromosome:Graimondii2_0_v6:2:36132498:36135321:-1 gene:B456_002G160700 transcript:KJB15106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELTFASNLDDSIVVVDKASEYVSIGEPDVSFFGCDGEDDKTSADYYFDSYSHFGIHEVSVQQLGHLNLVSFLSTYLWNGSVSEMLKDVVRTKAYQNVNYRNKFLFQNKVVLDVGAGTGILSLFCAKVGAAHVYAVECSHMADMAKQIVETNGLPDGEVNDGVVLPDEASLYLTAIEDAEYKDDKIEYDCIKKQAMMEPLVDTVDQKQIVTNYHLLKTMDISKMVPGDASFTAPFKLIAERDDYIHAFVAYFDVSFTKCHKLMGFFTVLYLEDVLTICEGETIIGSMTVAPNKKNPRDVDIMVKYSLSGRRCVVSRVQFYKMH >KJB13426 pep chromosome:Graimondii2_0_v6:2:8603180:8605749:1 gene:B456_002G073600 transcript:KJB13426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELDVEAANKSEDNMKPTAIFKSTNESVVLKFVDVVYSIKFGKSGHYFQKKSGSGEKVILNGITGVVEPGEMLAMLGPSGSGKTTLLTALGGRLGGCLSGTVTYNGKPFSNSVKRNTGFVTQDDVLYPHLTVTETLVFTALLRLPNSFSKQEKIMHAEAVINELGLVDCKNSIIGDPFTRGVSGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQRLVSTLSEFAKGGRTIVLTIHQPSSRLFYMFDKVLLLSEGNPLYFGRGSATMDYFSSIGYAPSVAMNPSDFLLDLSNGITSSNESPKEQTLVKTTLVSAYKSNIGEKLREELKDNSKHHHDQMESKTFERWPTTWWQQFTVLLQRGLKERKHESFSVFNTVEVLVVAVLLGLLWWQSDVAHLQDQIGFLFFILGFWGLFPLYQAIFTFPQERLMLEKERSAGLYRLSSYFMSRIISDLPMELTLPIVFITISYWMAGLKPTAGSFLYTLFALILCVLGSQGIGLAIGALVMNTKSAATLGSIIMLTFLLASGYYIQQFPGFMSWIKYIALTHYAYKLLLGSQYQPHDTYPCNEPGKVCLVGDFQPIKTVGLDGQLISAVALILMVLIYRLVAYLALMRIGVTQKLAK >KJB14458 pep chromosome:Graimondii2_0_v6:2:19024069:19027310:1 gene:B456_002G125800 transcript:KJB14458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKVEHKFDMKPHEQNLEEYGRLCRERTNKSMIKNRQIQVIDNDRGVHMRPMPGMVGLISSNTKEKKKTTPVKQPEMKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGSNQGTYELKPEYKKSVDDTAAE >KJB14454 pep chromosome:Graimondii2_0_v6:2:19019361:19026544:1 gene:B456_002G125800 transcript:KJB14454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDHSNSGFLETGKADRSVWLMKCPVVVAKSWKSQTASSSDSQPVAKVVLSLDPRKPDDPSSMQFTMEMAGSEIGNIPKSYALNMFKDFVPMSVFSETTQGRVAMEGKVEHKFDMKPHEQNLEEYGRLCRERTNKSMIKNRQIQVIDNDRGVHMRPMPGMVGLISSNTKEKKKTTPVKQPEMKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAVSLLPYILSSCHVYLFV >KJB14457 pep chromosome:Graimondii2_0_v6:2:19019361:19027383:1 gene:B456_002G125800 transcript:KJB14457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDHSNSGFLETGKADRSVWLMKCPVVVAKSWKSQTASSSDSQPVAKVVLSLDPRKPDDPSSMQFTMEMAGSEIGNIPKSYALNMFKDFVPMSVFSETTQGRVAMEGKVEHKFDMKPHEQNLEEYGRLCRERTNKSMIKNRQIQVIDNDRGVHMRPMPGMVGLISSNTKEKKKTTPVKQPEMKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGSNQGTYELKPEYKKSVDDTAAE >KJB14455 pep chromosome:Graimondii2_0_v6:2:19019361:19027309:1 gene:B456_002G125800 transcript:KJB14455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDHSNSGFLETGKADRSVWLMKCPVVVAKSWKSQTASSSDSQPVAKFTMEMAGSEIGNIPKSYALNMFKDFVPMSVFSETTQGRVAMEGKVEHKFDMKPHEQNLEEYGRLCRERTNKSMIKNRQIQVIDNDRGVHMRPMPGMVGLISSNTKEKKKTTPVKQPEMKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGSNQGTYELKPEYKKSVDDTAAE >KJB14459 pep chromosome:Graimondii2_0_v6:2:19021871:19027383:1 gene:B456_002G125800 transcript:KJB14459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKVEHKFDMKPHEQNLEEYGRLCRERTNKSMIKNRQIQVIDNDRGVHMRPMPGMVGLISSNTKEKKKTTPVKQPEMKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGSNQGTYELKPEYKKSVDDTAAE >KJB14456 pep chromosome:Graimondii2_0_v6:2:19019361:19027535:1 gene:B456_002G125800 transcript:KJB14456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDHSNSGFLETGKADRSVWLMKCPVVVAKSWKSQTASSSDSQPVAKVVLSLDPRKPDDPSSMQFTMEMAGSEIGNIPKSYALNMFKDFVPMSVFSETTQGRVAMEGKVEHKFDMKPHEQNLEEYGRLCRERTNKSMIKNRQIQVIDNDRGVHMRPMPGMVGLISSNTKEKKKTTPVKQPEMKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGSNQGTYELKPEYKKSVDDTAAE >KJB15946 pep chromosome:Graimondii2_0_v6:2:55147576:55149593:-1 gene:B456_002G205700 transcript:KJB15946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKVGIVGAGVSGLLACKYILSKGFHPIVFESQSSIGGVWTKALETTKLQTPKPFYQFSDFAWPSFVTEYFPDKHVVLDYVEAYAKHFHLLNHIKFNTKVAGILYEGPRDEEIQSWSLWGGTGEPFSSRGKWKLIVEDLNTLSTEIYQVDFVIFCVGRFSGLPNIPEFPPNKGPEAFHGKVIHSMDYAAMDDEKAAEFIKGKRVIVVGFQKSALDIAMECCSANGVENPCTVLYRTAHWHLPDYLPWGFSLAYMYLNRFSELMVHKPSEGFLLGLLATILTPLRWAYSKFVESDIKRKLHLAKHGMVPTHSFLHEISSCLTSTVPEKFYDKVEDGEIKLKKAPSFSFCDNGVMVEDETVPIDADVVILATGFKGGKKLKDMFVSQTFQNFIVGSPDAATPLYRST >KJB15945 pep chromosome:Graimondii2_0_v6:2:55147497:55149611:-1 gene:B456_002G205700 transcript:KJB15945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKVGIVGAGVSGLLACKYILSKGFHPIVFESQSSIGGVWTKALETTKLQTPKPFYQFSDFAWPSFVTEYFPDKHVVLDYVEAYAKHFHLLNHIKFNTKVAGILYEGPRDEEIQSWSLWGGTGEPFSSRGKWKLIVEDLNTLSTEIYQVDFVIFCVGRFSGLPNIPEFPPNKGPEAFHGKVIHSMDYAAMDDEKAAEFIKGKRVIVVGFQKSALDIAMECCSANGKYNLFKRTLRIHPYLFLLSIWFAGVENPCTVLYRTAHWHLPDYLPWGFSLAYMYLNRFSELMVHKPSEGFLLGLLATILTPLRWAYSKFVESDIKRKLHLAKHGMVPTHSFLHEISSCLTSTVPEKFYDKVEDGEIKLKKAPSFSFCDNGVMVEDETVPIDADVVILATGFKGGKKLKDMFVSQTFQNFIVGSPDAATPLYRECIQPRIPQLAVIGYSESIANLYTSEIRCRWLAELLDGTFKLPSIEEMEKDVRKWDEYLKQYSGGYYRRKCIGALHVWYNDQLCKDMGWNPRRKKGLFAEFFEPYGPLDYVPS >KJB15944 pep chromosome:Graimondii2_0_v6:2:55147497:55149593:-1 gene:B456_002G205700 transcript:KJB15944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKVGIVGAGVSGLLACKYILSKGFHPIVFESQSSIGGVWTKALETTKLQTPKPFYQFSDFAWPSFVTEYFPDKHVVLDYVEAYAKHFHLLNHIKFNTKVAGILYEGPRDEEIQSWSLWGGTGEPFSSRGKWKLIVEDLNTLSTEVGIYIHVTPSSMSIHHPSTFFLQIYQVDFVIFCVGRFSGLPNIPEFPPNKGPEAFHGKVIHSMDYAAMDDEKAAEFIKGKRVIVVGFQKSALDIAMECCSANGKYNLFKRTLRIHPYLFLLSIWFAGVENPCTVLYRTAHWHLPDYLPWGFSLAYMYLNRFSELMVHKPSEGFLLGLLATILTPLRWAYSKFVESDIKRKLHLAKHGMVPTHSFLHEISSCLTSTVPEKFYDKVEDGEIKLKKAPSFSFCDNGVMVEDETVPIDADVVILATGFKGGKKLKDMFVSQTFQNFIVGSPDAATPLYRECIQPRIPQLAVIGYSESIANLYTSEIRCRWLAELLDGTFKLPSIEEMEKDVRKWDEYLKQYSGGYYRRKCIGALHVWYNDQLCKDMGWNPRRKKGLFAEFFEPYGPLDYVPS >KJB15943 pep chromosome:Graimondii2_0_v6:2:55147387:55149729:-1 gene:B456_002G205700 transcript:KJB15943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKVGIVGAGVSGLLACKYILSKGFHPIVFESQSSIGGVWTKALETTKLQTPKPFYQFSDFAWPSFVTEYFPDKHVVLDYVEAYAKHFHLLNHIKFNTKVAGILYEGPRDEEIQSWSLWGGTGEPFSSRGKWKLIVEDLNTLSTEIYQVDFVIFCVGRFSGLPNIPEFPPNKGPEAFHGKVIHSMDYAAMDDEKAAEFIKGKRVIVVGFQKSALDIAMECCSANGVENPCTVLYRTAHWHLPDYLPWGFSLAYMYLNRFSELMVHKPSEGFLLGLLATILTPLRWAYSKFVESDIKRKLHLAKHGMVPTHSFLHEISSCLTSTVPEKFYDKVEDGEIKLKKAPSFSFCDNGVMVEDETVPIDADVVILATGFKGGKKLKDMFVSQTFQNFIVGSPDAATPLYRECIQPRIPQLAVIGYSESIANLYTSEIRCRWLAELLDGTFKLPSIEEMEKDVRKWDEYLKQYSGGYYRRKCIGALHVWYNDQLCKDMGWNPRRKKGLFAEFFEPYGPLDYVPS >KJB14460 pep chromosome:Graimondii2_0_v6:2:19112880:19114926:1 gene:B456_002G125900 transcript:KJB14460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTGGSASNTRMWCSVPERLQLHMAMLALQFGYAGFHVVSRAALDMGISKLVFPVYRNIIALLLLLPFAYFLEKKERPALTLNFLLQFFLLALVGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAAILRIEKVRLDRKDGISKVIGTILCVAGASVITLYKGPTIYSPVPPLNRPTPTFVSLGDANGKSWTLGCLYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGLIQFLIIALVFERDPQAWMFHSGGELFTILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASIALGEEFYLGGIIGAVLIIVGLYLVLWGKSEERKFAAKEKIAIESTAEQSNNSRTSGHVKPSLNQPLLHHSTENV >KJB14461 pep chromosome:Graimondii2_0_v6:2:19112888:19114865:1 gene:B456_002G125900 transcript:KJB14461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTGGSASNTRMWCSVPERLQLHMAMLALQFGYAGFHVVSRAALDMGISKLVFPVYRNIIALLLLLPFAYFLEKKERPALTLNFLLQFFLLALVGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAAILRIEKVRLDRKDGISKVIGTILCVAGASVITLYKGPTIYSPVPPLNRPTPTFVSLGDANGKSWTLGCLYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGLIQFLIIALVFERDPQAWMFHSGGELFTILYAVSIHYLKLMKTDEKI >KJB11924 pep chromosome:Graimondii2_0_v6:2:4286291:4286628:1 gene:B456_002G050100 transcript:KJB11924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSLVLFIAIVALFFHPTCFEARKLLNNFEKQQVPSFKGNFAGITLSKEPTKMLPASDDDKGHAKANNGRQSTIHVGKIDRILQKSSPSPGNGHH >KJB16822 pep chromosome:Graimondii2_0_v6:2:61334359:61336867:1 gene:B456_002G249300 transcript:KJB16822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEYEFGDKIIYETEAKGFNPGLIVLLVIGGLLITFLVGNYILYSYAQKTLPPRKKKPVSKKKMKRERLKQGVSAPGE >KJB16821 pep chromosome:Graimondii2_0_v6:2:61334211:61336867:1 gene:B456_002G249300 transcript:KJB16821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEYEFADKGDKIIYETEAKGFNPGLIVLLVIGGLLITFLVGNYILYSYAQKTLPPRKKKPVSKKKMKRERLKQGVSAPGE >KJB12030 pep chromosome:Graimondii2_0_v6:2:62437308:62439307:1 gene:B456_002G264100 transcript:KJB12030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPSVLWNVAKKCFTVGVISLTVSDLFASIVPVRGASMSPTFNPKTNSLLDSLSDDRVLVEKLCLRKYKFSHGDVIVFCSPDNHKEKHIKRIVGLPGDWVGTIYDVVHIPEGHCWVEGDNSASSLDSRSFGPVPLGLVKGRVTHILWPPHRVNSIERKTSRNRVSPS >KJB12031 pep chromosome:Graimondii2_0_v6:2:62436999:62439325:1 gene:B456_002G264100 transcript:KJB12031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPSVLWNVAKKCFTVGVISLTVSDLFASIVPVRGASMSPTFNPKTNSLLDSLSDDRVLVEKLCLRKYKFSHGDVIVFCSPDNHKEKHIKRIVGLPGDWVGTIYDVVHIPEGHCWVEGDNSASSLDSRSFGPVPLGLVKGRVTHILWPPHRVNSIERKTSRNRVSPS >KJB15845 pep chromosome:Graimondii2_0_v6:2:53875872:53877464:-1 gene:B456_002G199300 transcript:KJB15845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVEDVVIVGAGIAGLTTSLGLHRLGIRSLVLESSDELRITGFAFTTWSNAWKALDAVGIGESLRHQHYLTPSILVASTFLDQPASEISYKGHEIRCLQRRLLLETLAKELPSDTIRFSSKVVSIDESGFFKRLHLSDGTILKTKVLIGCDGVNSVVAKWLGFDKPVFTGRSAIRGSANFKGGHGFGLKFRQFLGKGLRSGFLPCNDENVYWFLTWIPSTKDEELEDDPVKLKQFVMSKLEDIPNEMKSVVGKTELDAIASSPLRYRRPWELLWGNISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCIAEALLKPGGEENNGKIVDEEEEYKRIEMGLKNYAQERRWRSIDLVSTASMVGYIQQNSGKIMNFLRDKFLSRFLPGMLLRKASFDCGTLRY >KJB13596 pep chromosome:Graimondii2_0_v6:2:10580403:10582395:1 gene:B456_002G083300 transcript:KJB13596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDIQIPTAFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVEDPELGQVIQLQGDQRKNVSTFLVQAGIVKKDNIKIHGF >KJB13598 pep chromosome:Graimondii2_0_v6:2:10580558:10582300:1 gene:B456_002G083300 transcript:KJB13598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDIQIPTAFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVEDPELGQVCYSASRRSKEECFHLPCSGRYCEEG >KJB13597 pep chromosome:Graimondii2_0_v6:2:10580558:10582300:1 gene:B456_002G083300 transcript:KJB13597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDIQIPTAFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVEDPELGQVLFSFKAIKGRMFPPSLFRPVL >KJB13838 pep chromosome:Graimondii2_0_v6:2:12217075:12224132:1 gene:B456_002G096700 transcript:KJB13838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPASSYPFPSQQGSYGPSPPVAAPLGYQLRDQMQHPGSAPNIGGAQSLAEDFSSLSLASTPGSIEPGLDYRTLPRPLDGDVEPSSFVEMYPMNCNPRYLRLTTSAIPNSQSLASRWHLPLGAVVCPLAEAPEGEEVPVINFASTGIIRCRRCRTYVNPYVTFTDGKEIVPGEYFANLDATGRRIDLDQRPELIKGSVEFVAPMEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGFPRTQIGFITFDSTIHFYNMKANLDDIFVPLPDDLLVNLSESRNVVETFLDSLPSMFQDNVNVESAFGSALKAAFMVMSKLGGKLLIFQNTLPSMGVGRLKLRGHDLHVYGTDKEHKLRLPEDTFYKQMAADLTKFQIGVNIYAFSDKYTDIASLGTVAKYTGGQGYYYPSFQSGIHGEKLRHELARDLTRETAWEAVMRIRCGKGIGFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSFEETLLTNQTVYFQVALLYTASCGERHIRVHTAAAPVVADLGAMYRLADTSAIVSLLCRLAIEKTLTNKLEDARNSLQLRILKALREYRNLYAVQHQLGARMIYPESLKFLCLYGLALSKSVPLKGGYADAQLDERCAAGFTMMALPVKKLLKLLYPSLIRIDEFLLKPSAQTDDFKNIVKRLPLVAESLDSRGLYVYDDSFRFVIWFGRMLSPDIARNLLGPDFASELSRVMLSRHDNEMSRRLMGILKKLRESDPSYYQLSYLVRQGEQPREGLLLLVNLHEDQMGSTGGYVNWIMQIHRQVQQNA >KJB13840 pep chromosome:Graimondii2_0_v6:2:12218216:12223792:1 gene:B456_002G096700 transcript:KJB13840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPGRPNFPMRPSSTRFASAPPTMRPFSSSGPVVGSEASNFRPTPPVASPTMMPSSSAGPSLPPRFGDPSVPSPPITSVPPSRGPHQQFLTPPFPSTSQTPPTHAPPVGQPPFQPPTSQVSALPVSFRPQTQVLPAPMRSLPQTAKFPPSSGNVPQPPNFQQNFPRPDSSYTATNSNFQPPFGGYPSKQPAGSQASPFPSQQGSYMPPPPASSYPFPSQQGSYGPSPPVAAPLGYQLRDQMQHPGSAPNIGGAQSLAEDFSSLSLASTPGSIEPGLDYRTLPRPLDGDVEPSSFVEMYPMNCNPRYLRLTTSAIPNSQSLASRWHLPLGAVVCPLAEAPEGEEVPVINFASTGIIRCRRCRTYVNPYVTFTDGKEIVPGEYFANLDATGRRIDLDQRPELIKGSVEFVAPMEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGFPRTQIGFITFDSTIHFYNMKANLDDIFVPLPDDLLVNLSESRNVVETFLDSLPSMFQDNVNVESAFGSALKAAFMVMSKLGGKLLIFQNTLPSMGVGRLKLRGHDLHVYGTDKEHKLRLPEDTFYKQMAADLTKFQIGVNIYAFSDKYTDIASLGTVAKYTGGQGYYYPSFQSGIHGEKLRHELARDLTRETAWEAVMRIRCGKGIGFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSFEETLLTNQTVYFQVALLYPLSGLLYTASCGERHIRVHTAAAPVVADLGAMYRLADTSAIVSLLCRLAIEKTLTNKLEDARNSLQLRILKALREYRNLYAVQHQLGARMIYPESLKFLCLYGLALSKSVPLKGGYADAQLDERCAAGFTMMALPVKKLLKLLYPSLIRIDEFLLKPSAQTDDFKNIVKRLPLVAESLDSRGLYVYDDSFRFVIWFGRMLSPDIARNLLGPDFASELSRVMLSRHDNEMSRRLMGILKKLRESDPSYYQLSYLVRQGEQPREGLLLLVNLHEDQMGSTGGYVNWIMQIHRQVQQNA >KJB13839 pep chromosome:Graimondii2_0_v6:2:12217877:12224180:1 gene:B456_002G096700 transcript:KJB13839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLLMGRKWRCNICSLLNDVPGEYFANLDATGRRIDLDQRPELIKGSVEFVAPMEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGFPRTQIGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRNVVETFLDSLPSMFQDNVNVESAFGSALKAAFMVMSKLGGKLLIFQNTLPSMGVGRLKLRGHDLHVYGTDKEHKLRLPEDTFYKQMAADLTKFQIGVNIYAFSDKYTDIASLGTVAKYTGGQGYYYPSFQSGIHGEKLRHELARDLTRETAWEAVMRIRCGKGIGFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSFEETLLTNQTVYFQVALLYTASCGERHIRVHTAAAPVVADLGAMYRLADTSAIVSLLCRLAIEKTLTNKLEDARNSLQLRILKALREYRNLYAVQHQLGARMIYPESLKFLCLYGLALSKSVPLKGGYADAQLDERCAAGFTMMALPVKKLLKLLYPSLIRIDEFLLKPSAQTDDFKNIVKRLPLVAESLDSRGLYVYDDSFRFVIWFGRMLSPDIARNLLGPDFASELSRVMLSRHDNEMSRRLMGILKKLRESDPSYYQLSYLVRQGEQPREGLLLLVNLHEDQMGSTGGYVNWIMQIHRQVQQNA >KJB15217 pep chromosome:Graimondii2_0_v6:2:40373651:40374646:1 gene:B456_002G165200 transcript:KJB15217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSNLVLLFTLFSFLLLFRSTQSKLSADYYNKTCPKFQNLMQTILAEKQLSAPTTAAATLRVFFHDCFVNGCDSSMLIASNAFNKSERDANVNLSVAGDAFDLITRVKTALELGCPGVVSCSDILAVSARDLVVMVGGPFYEVVLGRKDSRESNPSIVDKNLPKALTPMNELLSLFSSKGFSAEEMVALVGAHTIGFSHCKEFANRIFNFSKTSEFDPAYNPVFAQGLRKLCANYTKSPAMSAFNDVYTPGKFDNMYYKNLQNGLGLLSSDQAMVTDNRTKPFVDRFAANETAFFDTFARSMEKLSVYKVKENNDGDVRRRCDQFNTLQV >KJB14808 pep chromosome:Graimondii2_0_v6:2:26191261:26192967:-1 gene:B456_002G144300 transcript:KJB14808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTITMPTKLAAFAWRLCQSILHHLFSNGPHWLEFVSPEISNEDYERLLTILLALWSAKNGNLIPEKDRNEKENPDLDQAMMSKPTVFPTKNRWIPPPMGLHKVNFDGAFDGDGKKGGIGVIIRDNEGFVWGGAAIKIDNVTEGNINEAWAAVKALKVAQEMGYRRIILESDCFWVLNILLMEDVDDGSYIRCIVEEGKRVMGELEECYFHHIEREGNHVANLIARHGVIMSEGDFYWKHDYPNFIHQSIMCDAINL >KJB12305 pep chromosome:Graimondii2_0_v6:2:692013:692882:1 gene:B456_002G010800 transcript:KJB12305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGDAASSSAKAKVIPSTLSESSATELLNFSTSFDLKVGSSIILLKCSPMSDITSFGDAASSSFCPTTNWIASSSGDVASSSFCPTTNWIESNKANENTTKETFTIFFYKKKKEKDKQKK >KJB17065 pep chromosome:Graimondii2_0_v6:2:62384901:62394033:-1 gene:B456_002G263300 transcript:KJB17065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIMNPVSREKLESLSSSVKFAIDLGSKLELCRQLKHDLLEEDAADLSEFLPRIFDLYSDPSGPVRKFATEIIGEIGVKHLEFVPEIAPFLITVLEDATPAVARQSIACSIDLFRHTLEQIAIRGLYSSELDSDLESSWSWMLKLKEKIYSIAFQPGSGGIRLVALKFVEAVILLYTPNPNGSLEPPPNEGTSIEFNITWLRGGHPLLNVGDLSIEASQRLVLLLDQLRFPTVKSLTTSVIVVIINSLSAIAKKRPAYYGRILPVLLSLDPPSFVIKGVHVYGAHHALKNALLSCLKCTHPSAAPWRERILGALKEMKAGGLADLALNQVHKINGSVEEEKDDSLLIKEEKPLTKAYDAAGSNVGRKRSGTEGSSDLAEKDEVSGKRVKATPSVSEESTKELNRNITVSQGDISSTQSSTRKVDVDTGPVQQLVGMFGALVAQGEKAVGSLGILISNISADLLAEVVMANMCNLPPTHPHTDTDDELLEDMCIVGSDTQAKYPPSFLADVISLSSTFPPIASMLNSQQSVPNKIVKTEGEEEVDVVADPNSALAYAGMAHEDENALLATDLPVSSNIVLPGMKIDPPTPSDIHDTGNLESGIPGLDSSFRNDGLSDTQAASSLVSTDIEDASQEQATSFGGKSPLHVRPSISIDRSEELSPKAAVTDSSSMVSSTATSVVSSCPFVLPKMSAPVVNLSEDQKDDVQKLAFVRIVEAYKQIAVAGGSQVRSSLLAYLGVELPSELELQKVLREHILSDYINHEGHELTLRVLYRLFGKAEDESDFLSCTTAASAYETFLLAVAETLRDSFPPSDKSLSKLLGEAPRLPKSVLNLLGCLCSPGISEKAEESQSGDRVTQGLSTVWSLILLRPPIRDVCLKIALQSAVHHLEEVRMKAIRLVANKLYPLQSIAQQIEEFAREMLLSVVNVDATERTGAEGLISESHKDSDLEKSSNEHQSMSSISKDISADVHQSETSHSGSSPSVPEAQRCMSLYFALCTKKHSLFGQIFIIYGSASKEVKQAIHRHIPILVRTMGLSSDLLEIISDPPSGSENLLMQVLQTLTEGTVPSAELIFTIKKLFDSKLKDVEILVPVLPFLPGDEVLLLFPHLVNLPLDKFQAVLTRLLQGSAHSGPVLTPAEVLIAIHGIVPERDGIPLKKVTDACNACFEQRHIFTQQVLAKVLNQLVERIPLPLLFMRTVLQAIGAFPALVEFIMEILSRLVSKQIWQNPKLWVGFLKCALLTKPQSFSVLLQLPPPQLENALNRTAALKAPLVAHASQQNIRNSLPRSMLAVLGLAPDTQSSSQAQTSQAHTGDTSNSEKDAAVTEKSKESSSAI >KJB17064 pep chromosome:Graimondii2_0_v6:2:62384223:62394180:-1 gene:B456_002G263300 transcript:KJB17064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIMNPVSREKLESLSSSVKFAIDLGSKLELCRQLKHDLLEEDAADLSEFLPRIFDLYSDPSGPVRKFATEIIGEIGVKHLEFVPEIAPFLITVLEDATPAVARQSIACSIDLFRHTLEQIAIRGLYSSELDSDLESSWSWMLKLKEKIYSIAFQPGSGGIRLVALKFVEAVILLYTPNPNGSLEPPPNEGTSIEFNITWLRGGHPLLNVGDLSIEASQRLVLLLDQLRFPTVKSLTTSVIVVIINSLSAIAKKRPAYYGRILPVLLSLDPPSFVIKGVHVYGAHHALKNALLSCLKCTHPSAAPWRERILGALKEMKAGGLADLALNQVHKINGSVEEEKDDSLLIKEEKPLTKAYDAAGSNVGRKRSGTEGSSDLAEKDEVSGKRVKATPSVSEESTKELNRNITVSQGDISSTQSSTRKVDVDTGPVQQLVGMFGALVAQGEKAVGSLGILISNISADLLAEVVMANMCNLPPTHPHTDTDDELLEDMCIVGSDTQAKYPPSFLADVISLSSTFPPIASMLNSQQSVPNKIVIQKTEGEEEVDVVADPNSALAYAGMAHEDENALLATDLPVSSNIVLPGMKIDPPTPSDIHDTGNLESGIPGLDSSFRNDGLSDTQAASSLVSTDIEDASQEQATSFGGKSPLHVRPSISIDRSEELSPKAAVTDSSSMVSSTATSVVSSCPFVLPKMSAPVVNLSEDQKDDVQKLAFVRIVEAYKQIAVAGGSQVRSSLLAYLGVELPSELELQKVLREHILSDYINHEGHELTLRVLYRLFGKAEDESDFLSCTTAASAYETFLLAVAETLRDSFPPSDKSLSKLLGEAPRLPKSVLNLLGCLCSPGISEKAEESQSGDRVTQGLSTVWSLILLRPPIRDVCLKIALQSAVHHLEEVRMKAIRLVANKLYPLQSIAQQIEEFAREMLLSVVNVDATERTGAEGLISESHKDSDLEKSSNEHQSMSSISKDISADVHQSETSHSGSSPSVPEAQRCMSLYFALCTKKHSLFGQIFIIYGSASKEVKQAIHRHIPILVRTMGLSSDLLEIISDPPSGSENLLMQVLQTLTEGTVPSAELIFTIKKLFDSKLKDVEILVPVLPFLPGDEVLLLFPHLVNLPLDKFQAVLTRLLQGSAHSGPVLTPAEVLIAIHGIVPERDGIPLKKVTDACNACFEQRHIFTQQVLAKVERIPLPLLFMRTVLQAIGAFPALVEFIMEILSRLVSKQIWQNPKLWVGFLKCALLTKPQSFSVLLQLPPPQLENALNRTAALKAPLVAHASQQNIRNSLPRSMLAVLGLAPDTQSSSQAQTSQAHTGDTSNSEKDAAVTEKSKESSSAI >KJB17068 pep chromosome:Graimondii2_0_v6:2:62384254:62394180:-1 gene:B456_002G263300 transcript:KJB17068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIMNPVSREKLESLSSSVKFAIDLGSKLELCRQLKHDLLEEDAADLSEFLPRIFDLYSDPSGPVRKFATEIIGEIGVKHLEFVPEIAPFLITVLEDATPAVARQSIACSIDLFRHTLEQIAIRGLYSSELDSDLESSWSWMLKLKEKIYSIAFQPGSGGIRLVALKFVEAVILLYTPNPNGSLEPPPNEGTSIEFNITWLRGGHPLLNVGDLSIEASQRLVLLLDQLRFPTVKSLTTSVIVVIINSLSAIAKKRPAYYGRILPVLLSLDPPSFVIKGVHVYGAHHALKNALLSCLKCTHPSAAPWRERILGALKEMKAGGLADLALNQVHKINGSVEEEKDDSLLIKEEKPLTKAYDAAGSNVGRKRSGTEGSSDLAEKDEVSGKRVKATPSVSEESTKELNRNITVSQGDISSTQSSTRKVDVDTGPVQQLVGMFGALVAQGEKAVGSLGILISNISADLLAEVVMANMCNLPPTHPHTDTDDELLEDMCIVGSDTQAKYPPSFLADVISLSSTFPPIASMLNSQQSVPNKIVKTEGEEEVDVVADPNSALAYAGMAHEDENALLATDLPVSSNIVLPGMKIDPPTPSDIHDTGNLESGIPGLDSSFRNDGLSDTQAASSLVSTDIEDASQEQATSFGGKSPLHVRPSISIDRSEELSPKAAVTDSSSMVSSTATSVVSSCPFVLPKMSAPVVNLSEDQKDDVQKLAFVRIVEAYKQIAVAGGSQVRSSLLAYLGVELPSELELQKVLREHILSDYINHEGHELTLRVLYRLFGKAEDESDFLSCTTAASAYETFLLAVAETLRDSFPPSDKSLSKLLGEAPRLPKSVLNLLGCLCSPGISEKAEESQSGDRVTQGLSTVWSLILLRPPIRDVCLKIALQSAVHHLEEVRMKAIRLVANKLYPLQSIAQQIEEFAREMLLSVVNVDATERTGAEGLISESHKDSDLEKSSNEHQSMSSISKDISADVHQSETSHSGSSPSVPEAQRCMSLYFALCTKKHSLFGQIFIIYGSASKEVKQAIHRHIPILVRTMGLSSDLLEIISDPPSGSENLLMQVLQTLTEGTVPSAELIFTIKKLFDSKLKDVEILVPVLPFLPGDEVLLLFPHLVNLPLDKFQAVLTRLLQGSAHSGPVLTPAEVLIAIHGIVPERDGIPLKKVTDACNACFEQRHIFTQQVLAKVLNQLVERIPLPLLFMRTVLQAIGAFPALVEFIMEILSRLVSKQIWQNPKLWVGFLKCALLTKPQSFSVLLQLPPPQLENALNRTAALKAPLVAHASQQNIRNSLPRSMLAVLGLAPDTQSSSQAQTSQAHTGDTSNSEKDAAVTEKSKESSSAI >KJB17067 pep chromosome:Graimondii2_0_v6:2:62384901:62394033:-1 gene:B456_002G263300 transcript:KJB17067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIMNPVSREKLESLSSSVKFAIDLGSKLELCRQLKHDLLEEDAADLSEFLPRIFDLYSDPSGPVRKFATEIIGEIGVKHLEFVPEIAPFLITVLEDATPAVARQSIACSIDLFRHTLEQIAIRGLYSSELDSDLESSWSWMLKLKEKIYSIAFQPGSGGIRLVALKFVEAVILLYTPNPNGSLEPPPNEGTSIEFNITWLRGGHPLLNVGDLSIEASQRLVLLLDQLRFPTVKSLTTSVIVVIINSLSAIAKKRPAYYGRILPVLLSLDPPSFVIKGVHVYGAHHALKNALLSCLKCTHPSAAPWRERILGALKEMKAGGLADLALNQVHKINGSVEEEKDDSLLIKEEKPLTKAYDAAGSNVGRKRSGTEGSSDLAEKDEVSGKRVKATPSVSEESTKELNRNITVSQGDISSTQSSTRKVDVDTGPVQQLVGMFGALVAQGEKAVGSLGILISNISADLLAEVVMANMCNLPPTHPHTDTDDELLEDMCIVGSDTQAKYPPSFLADVISLSSTFPPIASMLNSQQSVPNKIVKTEGEEEVDVVADPNSALAYAGMAHEDENALLATDLPVSSNIVLPGMKIDPPTPSDIHDTGNLESGIPGLDSSFRNDGLSDTQAASSLVSTDIEDASQEQATSFGGKSPLHVRPSISIDRSEELSPKAAVTDSSSMVSSTATSVVSSCPFVLPKMSAPVVNLSEDQKDDVQKLAFVRIVEAYKQIAVAGGSQVRSSLLAYLGVELPSELELQKVLREHILSDYINHEGHELTLRVLYRLFGKAEDESDFLSCTTAASAYETFLLAVAETLRDSFPPSDKSLSKLLGEAPRLPKSVLNLLGCLCSPGISEKAEESQSGDRVTQGLSTVWSLILLRPPIRDVCLKIALQSAVHHLEEVRMKAIRLVANKLYPLQSIAQQIEEFAREMLLSVVNVDATERTGAEGLISESHKDSDLEKSSNEHQSMSSISKDISADVHQSETSHSGSSPSVPEAQRCMSLYFALCTKKHSLFGQIFIIYGSASKEVKQAIHRHIPILVRTMGLSSDLLEIISDPPSGSENLLMQVLQTLTEGTVPSAELIFTIKKLFDSKLKDVEILVPVLPFLPGDEVLLLFPHLVNLPLDKFQAVLTRLLQGSAHSGPVLTPAEVLIAIHGIVPERDGIPLKKVTDACNACFEQRHIFTQQVLAKVLNQLVERIPLPLLFMRTVLQAIGAFPALVEFIMEILSRLVSKQIWQNPKLWVGFLKCALLTKPQSFSVLLQLPPPQLENALNRTAALKAPLVAHASQQNIRNSLPRSMLAVLGLAPDTQSSSQAQTSQAHTGDTSNSEKDAAVTEKSKESSSAI >KJB17063 pep chromosome:Graimondii2_0_v6:2:62384223:62394180:-1 gene:B456_002G263300 transcript:KJB17063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIMNPVSREKLESLSSSVKFAIDLGSKLELCRQLKHDLLEEDAADLSEFLPRIFDLYSDPSGPVRKFATEIIGEIGVKHLEFVPEIAPFLITVLEDATPAVARQSIACSIDLFRHTLEQIAIRGLYSSELDSDLESSWSWMLKLKEKIYSIAFQPGSGGIRLVALKFVEAVILLYTPNPNGSLEPPPNEGTSIEFNITWLRGGHPLLNVGDLSIEASQRLVLLLDQLRFPTVKSLTTSVIVVIINSLSAIAKKRPAYYGRILPVLLSLDPPSFVIKGVHVYGAHHALKNALLSCLKCTHPSAAPWRERILGALKEMKAGGLADLALNQVHKINGSVEEEKDDSLLIKEEKPLTKAYDAAGSNVGRKRSGTEGSSDLAEKDEVSGKRVKATPSVSEESTKELNRNITVSQGDISSTQSSTRKVDVDTGPVQQLVGMFGALVAQGEKAVGSLGILISNISADLLAEVVMANMCNLPPTHPHTDTDDELLEDMCIVGSDTQAKYPPSFLADVISLSSTFPPIASMLNSQQSVPNKIVKTEGEEEVDVVADPNSALAYAGMAHEDENALLATDLPVSSNIVLPGMKIDPPTPSDIHDTGNLESGIPGLDSSFRNDGLSDTQAASSLVSTDIEDASQEQATSFGGKSPLHVRPSISIDRSEELSPKAAVTDSSSMVSSTATSVVSSCPFVLPKMSAPVVNLSEDQKDDVQKLAFVRIVEAYKQIAVAGGSQVRSSLLAYLGVELPSELELQKVLREHILSDYINHEGHELTLRVLYRLFGKAEDESDFLSCTTAASAYETFLLAVAETLRDSFPPSDKSLSKLLGEAPRLPKSVLNLLGCLCSPGISEKAEESQSGDRVTQGLSTVWSLILLRPPIRDVCLKIALQSAVHHLEEVRMKAIRLVANKLYPLQSIAQQIEEFAREMLLSVVNVDATERTGAEGLISESHKDSDLEKSSNEHQSMSSISKDISADVHQSETSHSGSSPSVPEAQRCMSLYFALCTKKHSLFGQIFIIYGSASKEVKQAIHRHIPILVRTMGLSSDLLEIISDPPSGSENLLMQVLQTLTEGTVPSAELIFTIKKLFDSKLKDVEILVPVLPFLPGDEVLLLFPHLVNLPLDKFQAVLTRLLQGSAHSGPVLTPAEVLIAIHGIVPERDGIPLKKVTDACNACFEQRHIFTQQVLAKVERIPLPLLFMRTVLQAIGAFPALVEFIMEILSRLVSKQIWQNPKLWVGFLKCALLTKPQSFSVLLQLPPPQLENALNRTAALKAPLVAHASQQNIRNSLPRSMLAVLGLAPDTQSSSQAQTSQAHTGDTSNSEKDAAVTEKSKESSSAI >KJB17066 pep chromosome:Graimondii2_0_v6:2:62384901:62394033:-1 gene:B456_002G263300 transcript:KJB17066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIMNPVSREKLESLSSSVKFAIDLGSKLELCRQLKHDLLEEDAADLSEFLPRIFDLYSDPSGPVRKFATEIIGEIGVKHLEFVPEIAPFLITVLEDATPAVARQSIACSIDLFRHTLEQIAIRGLYSSELDSDLESSWSWMLKLKEKIYSIAFQPGSGGIRLVALKFVEAVILLYTPNPNGSLEPPPNEGTSIEFNITWLRGGHPLLNVGDLSIEASQRLVLLLDQLRFPTVKSLTTSVIVVIINSLSAIAKKRPAYYGRILPVLLSLDPPSFVIKGVHVYGAHHALKNALLSCLKCTHPSAAPWRERILGALKEMKAGGLADLALNQVHKINGSVEEEKDDSLLIKEEKPLTKAYDAAGSNVGRKRSGTEGSSDLAEKDEVSGKRVKATPSVSEESTKELNRNITVSQGDISSTQSSTRKVDVDTGPVQQLVGMFGALVAQGEKAVGSLGILISNISADLLAEVVMANMCNLPPTHPHTDTDDELLEDMCIVGSDTQAKYPPSFLADVISLSSTFPPIASMLNSQQSVPNKIVIQKTEGEEEVDVVADPNSALAYAGMAHEDENALLATDLPVSSNIVLPGMKIDPPTPSDIHDTGNLESGIPGLDSSFRNDGLSDTQAASSLVSTDIEDASQEQATSFGGKSPLHVRPSISIDRSEELSPKAAVTDSSSMVSSTATSVVSSCPFVLPKMSAPVVNLSEDQKDDVQKLAFVRIVEAYKQIAVAGGSQVRSSLLAYLGVELPSELELQKVLREHILSDYINHEGHELTLRVLYRLFGKAEDESDFLSCTTAASAYETFLLAVAETLRDSFPPSDKSLSKLLGEAPRLPKSVLNLLGCLCSPGISEKAEESQSGDRVTQGLSTVWSLILLRPPIRDVCLKIALQSAVHHLEEVRMKAIRLVANKLYPLQSIAQQIEEFAREMLLSVVNVDATERTGAEGLISESHKDSDLEKSSNEHQSMSSISKDISADVHQSETSHSGSSPSVPEAQRCMSLYFALCTKKHSLFGQIFIIYGSASKEVKQAIHRHIPILVRTMGLSSDLLEIISDPPSGSENLLMQVLQTLTEGTVPSAELIFTIKKLFDSKLKDVEILVPVLPFLPGDEVLLLFPHLVNLPLDKFQAVLTRLLQGSAHSGPVLTPAEVLIAIHGIVPERDGIPLKKVTDACNACFEQRHIFTQQVLAKVLNQLVERIPLPLLFMRTVLQAIGAFPALVEFIMEILSRLVSKQIWQNPKLWVGFLKCALLTKPQSFSVLLQLPPPQLENALNRTAALKAPLVAHASQQNIRNSLPRSMLAVLGLAPDTQSSSQAQTSQAHTGDTSNSEKDAAVTEKSKESSSAI >KJB15672 pep chromosome:Graimondii2_0_v6:2:51279215:51282517:1 gene:B456_002G190200 transcript:KJB15672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IDQDSTNLHPLPSSENHGDASGHGSSSMSHSSSASGSSSHSSSSSHSGSFKSSGSFKGSSSPVDSNNSKDSGLGHGDSSSSTTSSSLSGSSHSKASNSLSHSSSSSHSGSWKGSSSFEDSNNSKDSSLGHGDSRSSGTSSSLSGSSHSKSSSSLSHSSSSSHYGSWKGSSSFGDSNKSKDSSSAMATHSSLGSGDNFSKSKGSDSSETSKSGNSSSLSGSINSMGSNSSKTFNSALGSTASNSSKSSSSNNSKDSSSATASGSSSSLGSSNSKASGLSAHSSFEKHGGATGHGSSSLSHSVSASGSSSHSGSYSHSSSSSHSSSFKHSNSGSSSSSTNSGSNNSKDSSSDAASHSSLSQGASNTVSSSSSHSNSLNHGGSTSHGSSSLSHSSSTSGSSSKSSLSSHSGSSATNSSTNSGSNNSKDSDSTTTSQSSLNHKASNSSASSSSLSGSSNYKVSGLSANSSSSSHSGSSAANSSINSGSNHSKDSDSTTASQSSLNHGASSSSTSSDSLSGSNSSKAFGSSSDSSSFKHSGSGASNSSTNIGSNNSNDSVSTAASQSTLNRGASNSSSSSGSLSGSSNYKAYGSSSNSISSSHSGSFNHFGSIAGNSSTNSGSNSSKGSDSTTASQSSLNHKASNSSASSGSLSGSRNYKASSSSSNSSLSSHSGSFKHSGSSAANSSTNSGLNNSKDSSPTTASKSSLEHEASGSSTSSGSLSGSSNSKASGFSSHSNSINHGGATGHSSFSLSHSSSASHSSSYSHSGSFEHSGSFKGSGSSVDSSNSKDSGSGATHSSSSSGASGSSTSSSSLSGSSNSKSSSFSKISKSTLNVCASNYSRNFDSLSGLNNSTNSKTSDSSLSHDASGSSTSLGSNNSKDSKSSSNSGTSSSSADSNSLSGFNNSKGSGSFQTSNSSSNLSASGSSTGSNSLSNSNNSKGSNNSKSSKTSSSSSDLDASSSSKGFGSDNSQSSDSSQTSQAGSSGSTTGSRSLSRFNNFKVFGSSNIFKLFI >KJB12736 pep chromosome:Graimondii2_0_v6:2:2555073:2556079:1 gene:B456_002G033900 transcript:KJB12736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEYAMQGQFSEKSDVFSFGVLLLEIVSGRRNTSFYNNQYALNLLGYAWKLWNEGDIWGLVDQVILELESDSKNEREIKRCIHVGLLCVQEYAKDRPTMSTVVSILNSEISNLDTPKQPAFTQTPLITHDVENKVSLNDVTLTSFDGR >KJB16043 pep chromosome:Graimondii2_0_v6:2:55694302:55695887:-1 gene:B456_002G210100 transcript:KJB16043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KICHLCNVPRLNHVWSETISNFMETDNFLFANDVACSSCSSYLCFFKSKMDTSRRNAFNTRKWTNILLAIHVLFYVAQLATQGKLLLWGDKINSLIEKGQIWRLATYSLLHANIRHLMVNCYSLNSVGPTVENLSGPRRFLAVYLTSAISSAATSYWFCKAPAVGASGAIFGLVGSVAVFVMRHRGMIRDAKEDLQHIAQVIFLNMVIGLMSSGIDNWGHLGGLLGGAAVSWLVGPAWKYESMASDGPRIFSDRPQLFHLTDRKRKPR >KJB16972 pep chromosome:Graimondii2_0_v6:2:61976069:61980926:-1 gene:B456_002G257800 transcript:KJB16972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTGFCAFCCRRKWVKRDWAIATVAITVIIAAVIIVILFFTVFSDSRNRKTSSPTFAPPSAGDFVPLTLLQSAKATGAFCLDGSLPGYHFQKGFGSGSSNWLLHIEGGGWCNSIESCNSRKGTSLGSSNYMEHQVQFSGILSQDPSQNPDFYNWNKVKLRYCDGASFAGHPESEFKNGTELFFRGQLIWEAMMNELLSLGLSKAKEALLTGCSAGGLATFIHCDDFRDQLPKDATVKCLADAGYFLDEPDILGNRTMLAFYRDVLQLQGVAKSLQQDCVGRMEPVKCIFPQEIIKNVKTPFFVVNPAYDSWQIENILVPIGSDPQGYWSSCRLSIKKCDATQIKRLQGYRDAMLKALSMFQRNEEGGMFINSCFSHCQTSYSAWHSPNSPRINNKTMAESVGDWYFNRKESKLIDCPYPCNPTCNNDDYT >KJB16971 pep chromosome:Graimondii2_0_v6:2:61975930:61980938:-1 gene:B456_002G257800 transcript:KJB16971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTGFCAFCCRRKWVKRDWAIATVAITVIIAAVIIVILFFTVFSDSRNRKTSSPTFAPPSAGDFVPLTLLQSAKATGAFCLDGSLPGYHFQKGFGSGSSNWLLHIEGGGWCNSIESCNSRKGTSLGSSNYMEHQVQFSGILSQDPSQNPDFYNWNKVKLRYCDGASFAGHPESEFKNGTELFFRGQLIWEAMMNELLSLGLSKAKEALLTGCSAGGLATFIHCDDFRDQLPKDATVKCLADAGYFLDEPDILGNRTMLAFYRDVLQLQGVAKSLQQDCVGRMEPVKCIFPQEIIKNVKTPFFVVNPAYDSWQIENILVPIGSDPQGYWSSCRLSIKKCDATQIKRLQGYRDAMLKALSMFQRNEEGGMFINSCFSHCQTSYSAWHSPNSPRINNKTMAESVGDWYFNRKESKLIDCPYPCNPTCNNDDYTSSVLSAAV >KJB16376 pep chromosome:Graimondii2_0_v6:2:58445882:58446597:1 gene:B456_002G226900 transcript:KJB16376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIYFWLFSFSYSQLFSSLCSACLLLHTASHFACLWQILTLPFQHLLLQKPSAHHYHHYQMYLLAFHHLQLIARVGHYPVVRKYPTDLYNTISSSYSIY >KJB17047 pep chromosome:Graimondii2_0_v6:2:62298028:62307885:1 gene:B456_002G262500 transcript:KJB17047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYFKNSINRYWRKRRDFSGISNEEKQHLKQKLLSHLREENYQIAQMLAVLISKIARFDYPREWPELFSFLAQQLPSADVLTSHRIFMILFRTLKELSTKRLTADQRNFAEISSHLYKYCWHIWQSDLQTISHGFSIITQSYNSNGKEQHHDDLYLTCERWLFCLKIICQLVISGFQSDAKCIQEIRPVKEVSPVLLNAAQSFLPYYTSLQNRHPKFWEFIKRACTKLMKVLVAIQQRHPYSFGDICVLQPVLNFCLNKITDPEPDILSFEQFLIKCMVMAKSVFECKEYKPSVTGRVMDENGVTLEQMKKNISNAVAGVLTRLLPNERIVLLCNVLIRRYFVLTTSDLEEWYENPEVFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLAPIVVSILQEAMNGCPTSTTEITPGLLLKDAAYGAAAYVYYELSNYLSFRDWFNGALSLELSNDHPNMRIIHRKVALILGQWVSEIKDDTKRAVYCALIRLLQDKDLSVGLAACRSLCLHVEDANFSERDFSDLLPVCWGSCFKLVKEVQEFDSKVQVLNLISVLLGHVNEVIPYASNLTQFFQMVWEESSGESLLRIQLLIALRNFVIALGYQSPSCYSMLLPILQKGIDINGPDELNLLEDSMLLWEATLSHAPAMVPQLLAYFPCLLEILERNFDHLQVAVDIIEDYIILGGREFLSMHASSVAKLLDLIVGNVNDRELLSILPIIDILILCFPMEVPPLISSTLQKLVVICLSGDDGDPSKTAVKASSASIIARILVMNTNYLAQLTSEPSLSSVLQQTGVAIEDNILLSLVDVWLDKVDNVSLPHKKAFGLALSIILTLRLPQVLDKLDQILSVCTSVILGGTDDLTEEESRSHDEDLLPSKELRRRQIKVSDPINRLSLENSVRDNLQTCAALHGESFNSAIAKIHPAAFAQLKQALKMP >KJB17046 pep chromosome:Graimondii2_0_v6:2:62298028:62307885:1 gene:B456_002G262500 transcript:KJB17046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYFKNSINRYWRKRRDFSGISNEEKQHLKQKLLSHLREENYQIAQMLAVLISKIARFDYPREWPELFSFLAQQLPSADVLTSHRIFMILFRTLKELSTKRLTADQRNFAEISSHLYKYCWHIWQSDLQTISHGFSIITQSYNSNGKEQHHDDLYLTCERWLFCLKIICQLVISGFQSDAKCIQEIRPVKEVSPVLLNAAQSFLPYYTSLQNRHPKFWEFIKRACTKLMKVLVAIQQRHPYSFGDICVLQPVLNFCLNKITDPEPDILSFEQFLIKCMVMAKSVFECKEYKPSVTGRVMDENGVTLEQMKKNISNAVAGVLTRLLPNERIVLLCNVLIRRYFVLTTSDLEEWYENPEVFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLAPIVVSILQEAMNGCPTSTTEITPGLLLKDAAYGAAAYVYYELSNYLSFRDWFNGALSLELSNDHPNMRIIHRKVALILGQWVSEIKDDTKRAVYCALIRLLQDKDLSVGLAACRSLCLHVEDANFSERDFSDLLPVCWGSCFKLVKEVQEFDSKVQVLNLISVLLGHVNEVIPYASNLTQFFQMVWEESSGESLLRIQLLIALRNFVIALGYQSPSCYSMLLPILQKGIDINGPDELNLLEDSMLLWEATLSHAPAMVPQLLAYFPCLLEILERNFDHLQVAVDIIEDYIILGGREFLSMHASSVAKLLDLIVGNVNDRELLSILPIIDILILCFPMEVPPLISSTLQKLVVICLSGDDGDPSKTAVKASSASIIARILVMNTNYLAQLTSEPSLSSVLQQTGVAIEDNILLSLVDVWLDKVDNVSLPHKKAFGLALSIILTLRLPQVLDKLDQILSVCTSVILGGTDDLTEEESSGDNMSYGRSHDEDLLPSKELRRRQIKVSDPINRLSLENSVRDNLQTCAALHGESFNSAIAKIHPAAFAQLKQALKMP >KJB17049 pep chromosome:Graimondii2_0_v6:2:62298028:62306781:1 gene:B456_002G262500 transcript:KJB17049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFRTLKELSTKRLTADQRNFAEISSHLYKYCWHIWQSDLQTISHGFSIITQSYNSNGKEQHHDDLYLTCERWLFCLKIICQLVISGFQSDAKCIQEIRPVKEVSPVLLNAAQSFLPYYTSLQNRHPKFWEFIKRACTKLMKVLVAIQQRHPYSFGDICVLQPVLNFCLNKITDPEPDILSFEQFLIKCMVMAKSVFECKEYKPSVTGRVMDENGVTLEQMKKNISNAVAGVLTRLLPNERIVLLCNVLIRRYFVLTTSDLEEWYENPEVFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLAPIVVSILQEAMNGCPTSTTEITPGLLLKDAAYGAAAYVYYELSNYLSFRDWFNGALSLELSNDHPNMRIIHRKVALILGQWVSEIKDDTKRAVYCALIRLLQDKDLSVGLAACRSLCLHVEDANFSERDFSDLLPVCWGSCFKLVKEVQEFDSKVQVLNLISVLLGHVNEVIPYASNLTQFFQMVWEESSGESLLRIQLLIALRNFVIALGYQSPSCYSMLLPILQKGIDINGPDELNLLEDSMLLWEATLSHAPAMVPQLLAYFPCLLEILERNFDHLQVAVDIIEDYIILGGREFLSMHASSVAKLLDLIVGNVNDRELLSILPIIDILILCFPMEVPPLISSTLQKLVVICLSGDDGDPSKTAVKASSASIIARILVMNTNYLAQLTSEPSLSSVLQQTGVAIEDNILLSLVDVWLDKVDNVSLPHKKAFGLALSIILTLRLPQVLDKLDQILSVCTSVILGGTDDLTEEESSGDNMSYGRSHDEDLLPSKELRRRQIKVSDPINRLSLENSVRDNLQTCAALHGESFNSAIAKIHPAAFAQLKQALKMP >KJB17048 pep chromosome:Graimondii2_0_v6:2:62298028:62307885:1 gene:B456_002G262500 transcript:KJB17048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYFKNSINRYWRKRRDFSGISNEEKQHLKQKLLSHLREENYQIAQMLAVLISKIARFDYPREWPELFSFLAQQLPSADVLTSHRIFMILFRTLKELSTKRLTADQRNFAEISSHLYKYCWHIWQSDLQTISHGFSIITQSYNSNGKEQHHDDLYLTCERWLFCLKIICQLVISGFQSDAKCIQEIRPVKEVSPVLLNAAQSFLPYYTSLQNRHPKFWEFIKRACTKLMKVLVAIQQRHPYSFGDICVLQPVLNFCLNKITDPEPDILSFEQFLIKCMVMAKSVFECKEYKPSVTGRVMDENGVTLEQMKKNISNAVAGVLTRLLPNERIVLLCNVLIRRYFVLTTSDLEEWYENPEVFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLAPIVVSILQEAMNGCPTSTTEITPGLLLKDAAYGAAAYVYYELSNYLSFRDWFNGALSLELSNDHPNMRIIHRKVALILGQWVSEIKDDTKRAVYCALIRLLQDKDLSVGLAACRSLCLHVEDANFSERDFSDLLPVCWGSCFKLVKEVQEFDSKVQVLNLISVLLGHVNEVIPYASNLTQFFQMVWEESSGESLLRIQLLIALRNFVIALGYQSPSCYSMLLPILQKGIDINGPDELNLLEDSMLLWEATLSHAPAMVPQLLAYFPCLLEILERNFDHLQVAVDIIEDYIILGGREFLSMHASSVAKLLDLIVGNVNDRELLSILPIIDILILCFPMEVPPLISSTLQKLVVICLSGDDGDPSKTAVKASSASIIARILVMNTNYLAQLTSEPSLSSVLQQTGVAIEDNILLSLVDVWLDKVDNVSLPHKKAFGLALSIILTLRLPQVLDKLDQILSVCTSVILGGTDDLTEEESSGDNMSYGRSHDEDLLPSKELRRRQIKVSDPINRLSLENSVRDNLQTCAALHGESFNSAIAKIHPAAFAQLKQALKMP >KJB17045 pep chromosome:Graimondii2_0_v6:2:62297807:62307971:1 gene:B456_002G262500 transcript:KJB17045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSDLSAIYSLLANSMSQDESNRKPAESALAQSESRPGFCSCLMEVITAKDLASQVDIRLMASVYFKNSINRYWRKRRDFSGISNEEKQHLKQKLLSHLREENYQIAQMLAVLISKIARFDYPREWPELFSFLAQQLPSADVLTSHRIFMILFRTLKELSTKRLTADQRNFAEISSHLYKYCWHIWQSDLQTISHGFSIITQSYNSNGKEQHHDDLYLTCERWLFCLKIICQLVISGFQSDAKCIQEIRPVKEVSPVLLNAAQSFLPYYTSLQNRHPKFWEFIKRACTKLMKVLVAIQQRHPYSFGDICVLQPVLNFCLNKITDPEPDILSFEQFLIKCMVMAKSVFECKEYKPSVTGRVMDENGVTLEQMKKNISNAVAGVLTRLLPNERIVLLCNVLIRRYFVLTTSDLEEWYENPEVFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLAPIVVSILQEAMNGCPTSTTEITPGLLLKDAAYGAAAYVYYELSNYLSFRDWFNGALSLELSNDHPNMRIIHRKVALILGQWVSEIKDDTKRAVYCALIRLLQDKDLSVGLAACRSLCLHVEDANFSERDFSDLLPVCWGSCFKLVKEVQEFDSKVQVLNLISVLLGHVNEVIPYASNLTQFFQMVWEESSGESLLRIQLLIALRNFVIALGYQSPSCYSMLLPILQKGIDINGPDELNLLEDSMLLWEATLSHAPAMVPQLLAYFPCLLEILERNFDHLQVAVDIIEDYIILGGREFLSMHASSVAKLLDLIVGNVNDRELLSILPIIDILILCFPMEVPPLISSTLQKLVVICLSGDDGDPSKTAVKASSASIIARILVMNTNYLAQLTSEPSLSSVLQQTGVAIEDNILLSLVDVWLDKVDNVSLPHKKAFGLALSIILTLRLPQVLDKLDQILSVCTSVILGGTDDLTEEESSGDNMSYGRSHDEDLLPSKELRRRQIKVSDPINRLSLENSVRDNLQTCAALHGESFNSAIAKIHPAAFAQLKQALKMP >KJB16719 pep chromosome:Graimondii2_0_v6:2:60882963:60886378:-1 gene:B456_002G244800 transcript:KJB16719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PURA MNCSSLVLDPTPVATSRCCYRRPTLHFQHHRRNFVFCSLKPVASSSLSVAESASSESLNRIGSLSQVSGVLGSQWGDEGKGKLVDILAQHFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEETLCVIGNGVVVHLPGLFKEIDGLEANGVSCKGRILVSDRAHLLFDFHQVVDGLREAELNKSFIGTTRRGIGPAYSSKMNRNGIRVSDLRHMDTFPQKLHNLLSDANSRFPDFNYTPEVLKEEVENYKRYAERLEPFIADTVHVMNESIAQKKRILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVVGDLIGVVKAYTTRVGSGPFPTEILGQGGDILRFAGQEFGTTTGRPRRCGWLDVVALKFCCQINGFSSLNLTKLDVLSDLPEIKLGVAYKHVDGTPVESFPADLQLLERLKVEYEVLPGWQSDISSIRNYSDLPKAARQYVERIEELVGVPIHYIGVGPGRDALIYK >KJB14877 pep chromosome:Graimondii2_0_v6:2:29464902:29468555:1 gene:B456_002G150500 transcript:KJB14877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPRWRNVFLLKSSLVPPFLSSKATATVATTHVASFHSTPITCEKWKSKWDFEERTQQPTKNHIRYTVRQKRAEQRDEVRTWKFDGAEGWDSDGSDKKWWSRYSGRHAGKSNCKKVKRKFKRESFSDDFDHPERIFQAKFGNKWYTWSSGGDKSFKNPESGFEWRGKSGWTNQRTKEWESTSDSESESESEEEKSYDVGSCSDREILGLPQSRPHKD >KJB14876 pep chromosome:Graimondii2_0_v6:2:29464902:29469042:1 gene:B456_002G150500 transcript:KJB14876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPRWRNVFLLKSSLVPPFLSSKATATVATTHVASFHSTPITCEKWKSKWDFEERTQQPTKNHIRYTVRQKRAEQRDEVRTWKFDGAEGWDSDGSDKKWWSRYSGRHAGKSNCKKVKRKFKRESFSDDFDHPERIFQAKFGNKWYTWSSGGDKSFKNPESGFEWRGKSGWTNQRTKEWESTSDSESESESEEEKSYDVGSCSDREILGLPQSRPHKD >KJB14875 pep chromosome:Graimondii2_0_v6:2:29464902:29469086:1 gene:B456_002G150500 transcript:KJB14875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPRWRNVFLLKSSLVPPFLSSKATATVATTHVASFHSTPITCEKWKSKWDFEERTQQPTKNHIRYTVRQKRAEQRDEVRTWKFDGAEGWDSDGSDKKWWSRYSGRHAGKSNCKKVKRKFKRESFSDDFDHPERIFQAKFGNKWYTWSSGGDKSFKNPESGFEWRGKSGWTNQRTKEWESTSDSESESESEEEKSYDVGSCSDREILVLNLIFERPVILKIGHYIFEFSLAPILFIRIFRVSALKWHPDKHQGPSQEMAEEKFKMCVEAYKSLCHALS >KJB14878 pep chromosome:Graimondii2_0_v6:2:29464902:29469042:1 gene:B456_002G150500 transcript:KJB14878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPRWRNVFLLKSSLVPPFLSSKATATVATTHVASFHSTPITCEKWKSKWDFEERTQQPTKNHIRYTVRQKRAEQRDEVRTWKFDGAEGWDSDGSDKKWWSRYSGRHAGKSNCKKVKRKFKRESFSDDFDHPERIFQAKFGNKWYTWSSGGDKSFKNPESGFEWRGKSGWTNQRTKEWESTSDSESESESEEEKSYDVGSCSDREILGLPQSRPHKD >KJB12760 pep chromosome:Graimondii2_0_v6:2:2739456:2740916:1 gene:B456_002G035400 transcript:KJB12760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKSLDTFLFDPAKQDVLDWRKRFNIIEGISRGLLYLHRDSRPKIIHRDLKTSNILLDKELNPKISDFGLAKIFGGDENQANTKRVVGT >KJB15491 pep chromosome:Graimondii2_0_v6:2:47561692:47562947:1 gene:B456_002G180800 transcript:KJB15491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLVEVSEELAEIDGQVAEIFQALSICDMRNLGVESRNLVWQITVYFMKLATPHTEEVIKLVDKVGDFEWLIQKSPLS >KJB15282 pep chromosome:Graimondii2_0_v6:2:41932360:41932918:-1 gene:B456_002G1686002 transcript:KJB15282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFVGKLVSIIDAGTKEYLLKKPAGGEDDDFSRDAMSVLNLMNQLHSELEPSKLKLECSVPIIGALCEELRSGWSIMMPLLQQQGYLVKDDNHASEESGDHCDVEESIRLRNVVRVRSQLQQLLSIPNPKETVNLRKTRRVEAEIGSR >KJB15283 pep chromosome:Graimondii2_0_v6:2:41932360:41932918:-1 gene:B456_002G1686002 transcript:KJB15283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFVGKLVSIIDAGTKEYLLKKPAGGEDDDFSRDAMSVLNLMNQLHSELEPSKLKLECSVPIIGALCEELRSGWSIMMPLLQQQGYLVKDDNHASEESGDHCDVEESIRLRNVVRVRSQLQQLLSIPNPKETVNLRKTRRVEAEIGSR >KJB14409 pep chromosome:Graimondii2_0_v6:2:17908605:17910831:-1 gene:B456_002G123800 transcript:KJB14409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHHHNSRFKRVCVFCGSSPGKNPSYQLAAIQLGQQLVERDIDLVYGGGSIGLMGLVSQAVFDGGRHVLGVIPKTLMPRELTGETVGEVRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVDEGFIAPAARSIIVSAQTAQDLMCKLEEYEPKHSGVASKLSWEMEQQLGFTAKSDIAR >KJB12619 pep chromosome:Graimondii2_0_v6:2:2057702:2058856:-1 gene:B456_002G027500 transcript:KJB12619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYFIIRTSFAYGRQTIAASQSSNLLIFKQSSGLRFFFNSSNGRSFAASYLINKCGFSPEMASRVSNYVHFETPEKPDSLIAFLESHGFSNTQIVNLIKRQPLLLVLDAEKSLSPKLEFLYSIGFSRPELAKLLSNYPRLLTSSLEKQIIPSFDLLRNLFQSHDKTIKAIKRYTGVLAYDPESYLYPNMNVLRGIGVPESNILTLLNCQPRSLLFNPVRLKEIVEEVKRMGIDSSRKKFLVAVYAFRSMSKSTLEKKIDVYRRWGWSDQAINEAFRRYPMCMTVSEDKIMSTMDFLVNKMGYSLTRIAKQPSFLTRSLEKRIMPRALFARELISQGLVNEFKLSVLFDASEKVFIRMYIDRFVNKAPELLKLYKEKLKISGKKT >KJB11931 pep chromosome:Graimondii2_0_v6:2:54854348:54855280:1 gene:B456_002G205200 transcript:KJB11931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRATPWEWFLAVVKPTYTEVTLGFCSTFFPTYSAIVRGRTTCDLILSWRHHSVFEHCRIWSHTFRRTLNR >KJB11932 pep chromosome:Graimondii2_0_v6:2:54854348:54854658:1 gene:B456_002G205200 transcript:KJB11932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRATPWEWFLAVVKPTYTEVTLGFCSTFFPTYSAIVRGRTTCDLILSWRHHSVFEHCRIWSHTLYHH >KJB16412 pep chromosome:Graimondii2_0_v6:2:58863673:58870317:1 gene:B456_002G229400 transcript:KJB16412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHNGDGKSKMEDYEVIEQIGRGAFGAAFLVLHKLEKIKYVLKRIRLAKQTEKFKRTAHQEMELIAKLNNPYIVEYKDAWVDKGNSICIVTSYCEGGDMAELIKKARGMHFPEEKICKWMTQLLLAVDYLHSNRVLHRDMKCSNIFLTKDNDIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLVNKINRSSISPLPILYSSTLKQIIKSMLRKNPEHRPTASELLRHSHLQPHVLRCRNPSTVYLPVKPTNSSKEKTPRKSFSNKPGIGKDRGFGIKDAGVSNGQRNIHPFPRMADVHVSCSPTHEKPASSASTKDNPVTKRVDPTSCAVEISNATSDSKDTSTDSEVSVSNGDKQAQLRSVPRKDADVESTLETAFNSKHDEQEEPTFEHTQNFPEADMKTKNKKDETICDVQVLEEAAKEVLDISRDSSKLTISSISCDDKNGYRDDGSSSSTIYETDVDRICSSTKTCSHNATTEGADTSYLSSESNAVHPSAGEAGAASENNTKDSARPVHLTASDVSLLSKLTAMSGDEIKSVWENPSQERADALESLLELCAQLLKQDKIDELAGVLRPFGEEVVSSRETAIWLTKSLMAAQKFTGAT >KJB16413 pep chromosome:Graimondii2_0_v6:2:58863422:58870317:1 gene:B456_002G229400 transcript:KJB16413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHNGDGKSKMEDYEVIEQIGRGAFGAAFLVLHKLEKIKYVLKRIRLAKQTEKFKRTAHQEMELIAKLNNPYIVEYKDAWVDKGNSICIVTSYCEGGDMAELIKKARGMHFPEEKICKWMTQLLLAVDYLHSNRVLHRDMKCSNIFLTKDNDIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLVNKINRSSISPLPILYSSTLKQIIKSMLRKNPEHRPTASELLRHSHLQPHVLRCRNPSTVYLPVKPTNSSKEKTPRKSFSNKPGIGKDRGFGIKDAGVSNGQRNIHPFPRMADVHVSCSPTHEKPASSASTKDNPVTKRVDPTSCAVEISNATSDSKDTSTDSEVSVSNGDKQAQLRSVPRKDADVESTLETAFNSKHDEQEEPTFEHTQNFPEADMKTKNKKDETICDVQVLEEAAKEVLDISRDSSKLTISSISCDDKNGYRDDGSSSSTIYETDVDRICSSTKTCSHNATTEGADTSYLSSESNAVHPSAGEAGAASENNTKDSARPVHLTASDVSLLSKLTAMSGDEIKSVWENPSQERADALESLLELCAQLLKQDKIDELAGVLRPFGEEVVSSRETAIWLTKSLMAAQKFTGAT >KJB15568 pep chromosome:Graimondii2_0_v6:2:49077654:49078305:1 gene:B456_002G184900 transcript:KJB15568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGIGIEVWMVSMRIRKTLDIPCNLKSITLLPPPLFLRNYNPQEYLWNWSKTRRCHSLKVWCRLITLLPSLASLLHSHQHSSSK >KJB11912 pep chromosome:Graimondii2_0_v6:2:2193023:2193733:1 gene:B456_002G029600 transcript:KJB11912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFSRPVSAVILLMLVLLATEMGPVAVEGGTTCKATSNIYKGLCLLDDSCDIVCKREGFHSGNCKGFLRKCVCTNPC >KJB13557 pep chromosome:Graimondii2_0_v6:2:10180085:10182754:1 gene:B456_002G080800 transcript:KJB13557 gene_biotype:protein_coding transcript_biotype:protein_coding description:SVR8 [Source:Projected from Arabidopsis thaliana (AT5G54600) UniProtKB/TrEMBL;Acc:A0A178U954] MAAMAALQSSMTALSLSSNSFLGQRLSIPPSLSPLHIKPRENPCLIVVKLKRWERKECKPNSLPVLHKMHVKVGDTIKVISGRDKGKIGEISKIFKHNSTIVVKDINLKTKHMKSRGEDQPGQIIKIEAPIHSSNVMLYSKEKEVTSRVGHKVLDDGKKVRYLIKTGEILDSDENWKKLKEAAKEKTEVAAAAPAADTGAAS >KJB13558 pep chromosome:Graimondii2_0_v6:2:10180383:10182754:1 gene:B456_002G080800 transcript:KJB13558 gene_biotype:protein_coding transcript_biotype:protein_coding description:SVR8 [Source:Projected from Arabidopsis thaliana (AT5G54600) UniProtKB/TrEMBL;Acc:A0A178U954] MEMIKPRENPCLIVVKLKRWERKECKPNSLPVLHKMHVKVGDTIKVISGRDKGKIGEISKIFKHNSTIVVKDINLKTKHMKSRGEDQPGQIIKIEAPIHSSNVMLYSKEKEVTSRVGHKVLDDGKKVRYLIKTGEILDSDENWKKLKEAAKEKTEVAAAAPAADTGAAS >KJB11877 pep chromosome:Graimondii2_0_v6:2:9002174:9003752:-1 gene:B456_002G076200 transcript:KJB11877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNRNNKKRNGVASIGVNDGTVPDLHQQWMLMRLEFKSLILLVQTKDEEGTTGEEIEKCSEHEDGGKSYC >KJB11876 pep chromosome:Graimondii2_0_v6:2:9000439:9003834:-1 gene:B456_002G076200 transcript:KJB11876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNRNNKKRNGVASIGVNDGTVPDLHQQWMLMRLEFKSLILLVQTKDEEGTTGEEIEKCSEHEDGGKSYC >KJB15660 pep chromosome:Graimondii2_0_v6:2:50914759:50920978:1 gene:B456_002G189000 transcript:KJB15660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVFHKFGWQGSGAFAIDLCLFRWRCSVHCKRIFDPNLLKKKLNDKELAKELYKKYSAIHRRKIRAWQMICVLSQFVDDDIVGEVTRCLQIALYVYSRCSCWTCQ >KJB13096 pep chromosome:Graimondii2_0_v6:2:5536798:5542744:-1 gene:B456_002G056600 transcript:KJB13096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKGWMVVEKGRRIVRTAFFMVAMLTSLLASSLPLLAAIGDIMIPFLLLSSFTCVTCYGLKEHFRRYAFKNSLTDIPLVSILRSIIIICVYSMCDGPALSHGPYLGTVALCSFVSILVLSVKACVFTVNSQIVADASSGYSLARQRLHFKKSWGMPVLFLSSVVFALGHIVVAYRTCCRARRKLLFHRVDPEAVLLCKNVFSSFQKVPRSPTPPIGKTPKSDGETRRKPFGQSGDDGEAPIRLLADVDSLFITLQGLTIHYKLCFPGSPPRALSSTTFLEPEVSSAPQVAPGKLKLDRQTLSVLSKTQYHHLQRSYSNQFHSSSLYAPLLDVSPTSPVISKDVPVFSLDDSVAQVETSNLKSGTLEKDIEANGQFGIILVHGFGGGVFSWRHVMGVLACHVGCPVAAFDRPGWGLTSRPSRKDWEGKQLPNPYKLETQVDLLLSFCSEVGFSSVVLVGHDDGGILALKAAQKVQASMKSYNIMIKAVVLLSVSLSREVVPAFARILLRTSLGKKHLVRPLLRTEITQVVNRRAWYDATKLTTDVLSLYKAPLCVEGWDEALHEIGRLSNETILSPQNATSLLKAVEEMPVLVITGAEDAHISLKSSQAMASKLVNSRLVAISGCGHLPHEECPKALLAAISPFLSRLLLKPELQRR >KJB13097 pep chromosome:Graimondii2_0_v6:2:5538538:5542492:-1 gene:B456_002G056600 transcript:KJB13097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKGWMVVEKGRRIVRTAFFMVAMLTSLLASSLPLLAAIGDIMIPFLLLSSFTCVTCYGLKEHFRRYAFKNSLTDIPLVSILRSIIIICVYSMCDGPALSHGPYLGTVALCSFVSILVLSVKACVFTVNSQIVADASSGYSLARQRLHFKKSWGMPVLFLSSVVFALGHIVVAYRTCCRARRKLLFHRVDPEAVLLCKNVFSSFQKVPRSPTPPIGKTPKSDGETRRKPFGQSGDDGEAPIRLLADVDSLFITLQGLTIHYKLCFPGSPPRALSSTTFLEPEVSSAPQVAPGKLKLDRQTLSVLSKTQYHHLQRSYSNQFHSSSLYAPLLDVSPTSPVISKDVPVFSLDDSVAQVETSNLKSGTLEKDIEANGQFGIILVHGFGGGVFSWRHVMGVLACHVGCPVAAFDRPGWGLTSRPSRKDWEGKQLPNPYKLETQVDLLLSFCSEVGFSSVVLVGHDDGGILALKAAQKVQASMKSYNIMIKAVVLLSVSLSREVVPAFARILLRTSLGKKHLVRPLLRTEITQVVNRRAWYDATKLTTDVLSLYKVYLL >KJB15087 pep chromosome:Graimondii2_0_v6:2:34894370:34894834:1 gene:B456_002G159300 transcript:KJB15087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRANVTLQSKNGDSMNLLHPQSEQTKLSSSESNTTSHSPPPTSQNPEYLQSSSRIFLFSVLPSPKREPPY >KJB13693 pep chromosome:Graimondii2_0_v6:2:11306126:11307016:-1 gene:B456_002G089600 transcript:KJB13693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALSHIHGNPIFHVPYTPIPTRQCPSNLPCRLFKVTMSSNQSYWTSINADIEAHLKQAIPVREPLSVFEPMNHLTFSAPRSTAPALCVAACELVGGHRDQALPAASALQLMYAASFAHEHLPLTQSCRPKSEIQHLYGPNIELLIGDAMIPFGLELLAVSNDSTQKNSDRVLRVMVEITRAIGSQGMVHGQYYEVEYESSCIRQNERASEKYEGTLHGCAAACGAILGGGSEVEIEKMRRYGVYIGKIQGMIRRDERKSKDLKELVEETRKLATNELSGFNEAKVEAISKLFGA >KJB12347 pep chromosome:Graimondii2_0_v6:2:881738:888088:-1 gene:B456_002G013600 transcript:KJB12347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDNEKDLDIIATYSDVSGTIRTCRVKMKDLSASWVLENPINVDKVQVLKLKDTYQTSTPFQENVEQSTDDQQFQEGEILSHRQTYWSPVKFKRQILRQKRRDLRTAELVRQDKEVDKEMQAMEAIESLKNMDTVVKGKYSIWRKDFENPNADSTLKLMRDQIIMAEVYANIALSKKQTVIYNSLLKQIMESLNVIGEANSDADLHPSSLDRAKEMGHALSVAKDELYDCCTVERKLRAMLLTTEDNLESQKKRSAFLIQLAAKTVPKPLHCLSLQLSADYYFHGYYQTKQEPYNEKLEDPSLYHYAIFSDNVLATSVVVNSTVLHANEPEKHVFHLVTDKLNFAAMKMWFLVNSPENATIQVDNIDDFKWMNSSYCSVLRQLESVRIKDYYFKANHPSTLSDGADHLKYRNPKYLSILNHLRFYLPEVHPKLDKILFLDDDIVVQKDLTPLWDVDLKGMVNGAVETCKESFHRFDKYLNFSNPIIIENFDPNACGWAFGMNIFDLKEWRKRNITAIYHHWQDLNDDRTLWKLGTLPPGLITFYNLTHPLDRKWHVLGLGYDPALNRTEIENAAVIHYNGNYKPWLDLAVSKYQSYWSKYVSSDNYYLQRCNISE >KJB15825 pep chromosome:Graimondii2_0_v6:2:53630477:53632281:1 gene:B456_002G198200 transcript:KJB15825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQAQLYSDNIGFPMCGSLDLIDNGCGLVGAAAGVNQQISSQLQQLQQFQHLQNQHQRDQTFSFGSTNNNRESIMFEKQRYEIDQFIKSQNERLRLLLQEQRKQQYEVLVKKIESKASFLLRQKDEEIVKARNKTMELQNMLKKLEMENQAWQRVAQENEAMVVSLNNRLEQVREEQASCRFNNGVDDAESCCECEDNNEGIMETKGNGGFAAVDSSSQRQEEQEEKTTMVCKCCYCRNSSVLFLPCRHLCSCKDCATFLDSCPVCRTPKKACIEALIS >KJB16756 pep chromosome:Graimondii2_0_v6:2:61004831:61006420:1 gene:B456_002G245900 transcript:KJB16756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRNIAVGRPEEATHPDALKAALAEFISTLIFVFAGSGSGMAFNKLTDGGATTPAGLVAASLAHGFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGILYWIAQLLGSTVACLLLKFATSGLVIPAFGLSSGVGALNAFVFEIVMTFGLVYTVYATAVDPKRGNLGVIAPLAIGLIVGANILAGGAFDGASMNPAVSFGPALVSWSWENHWVYWAGPLIGGGLAGLVYEFLFINQTHEQLPNH >KJB16755 pep chromosome:Graimondii2_0_v6:2:61004759:61006420:1 gene:B456_002G245900 transcript:KJB16755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRNIAVGRPEEATHPDALKAALAEFISTLIFVFAGSGSGMAFNKLTDGGATTPAGLVAASLAHGFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGILYWIAQLLGSTVACLLLKFATSGLGVPAFGLSSGVGALNAFVFEIVMTFGLVYTVYATAVDPKRGNLGVIAPLAIGLIVGANILAGGAFDGASMNPAVSFGPALVSWSWENHWVYWAGPLIGGGLAGLVYEFLFINQTHEQLPNH >KJB12639 pep chromosome:Graimondii2_0_v6:2:2152267:2153172:1 gene:B456_002G028900 transcript:KJB12639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSLSPSVSHSFPPTVSHIRAFPLRATISIPIAFSARTYPSTLNRRFLWIPYPIMSSNTKLAATQPELCQLNDSSDFEKLLSPSGYISICGFGSLLSERSARSTFPNLLNFRVAILKGFRRVFAHVAPIFFDRGIAKPETKEISSLSVEPCEGETLIVTVFEIQKAEIPAFMERELEFRFLAVTFFLLNFSC >KJB12641 pep chromosome:Graimondii2_0_v6:2:2152267:2156798:1 gene:B456_002G028900 transcript:KJB12641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSLSPSVSHSFPPTVSHIRAFPLRATISIPIAFSARTYPSTLNRRFLWIPYPIMSSNTKLAATQPELCQLNDSSDFEKLLSPSGYISICGFGSLLSERSARSTFPNLLNFRVAILKGFRRVFAHVAPIFFDRGIAKPETKEISSLSVEPCEGETLIVTVFEIQKAEVLPETLDGEPFANPAVLCTRYSDEEFFQIRCKGNKDIYFQHYGRYNIEKIWRDDILPCRVYLRHCVLAAKNLGDIAYNNFLDHTFLGDRKTTIRTYLATTGSGIMEEEPPESLKSRYGG >KJB12643 pep chromosome:Graimondii2_0_v6:2:2152267:2157188:1 gene:B456_002G028900 transcript:KJB12643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSLSPSVSHSFPPTVSHIRAFPLRATISIPIAFSARTYPSTLNRRFLWIPYPIMSSNTKLAATQPELCQLNDSSDFEKLLSPSGYISICGFGSLLSERSARSTFPNLLNFRVAILKGFRRVFAHVAPIFFDRGIAKPETKEISSLSVEPCEGETLIVTVFEIQKAEIPAFMERELEFRFLAVLPETLDGEPFANPAVLCTRYSDEEFFQIRCKGNKDIYFQHYGRYNIEKIWRDDILPCRVYLRHCVLAAKNLGDIAYNNFLDHTFLGDRKTTIRTYLATTGSGIMEEEPPESLKSRYGG >KJB12640 pep chromosome:Graimondii2_0_v6:2:2152267:2154616:1 gene:B456_002G028900 transcript:KJB12640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSLSPSVSHSFPPTVSHIRAFPLRATISIPIAFSARTYPSTLNRRFLWIPYPIMSSNTKLAATQPELCQLNDSSDFEKLLSPSGYISICGFGSLLSERSARSTFPNLLNFRVAILKGFRRVFAHVAPIFFDRGIAKPETKEISSLSVEPCEGETLIVTVFEIQKAEIPAFMERELEFRFLAVLPETLDGEPFANPAVLCTRYSDEEFFQIRCKGNKDIYFQHYGRYNIEKIWRDDILPCRVYLRHWYVLLQFLRCSPT >KJB12642 pep chromosome:Graimondii2_0_v6:2:2152267:2157188:1 gene:B456_002G028900 transcript:KJB12642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSLSPSVSHSFPPTVSHIRAFPLRATISIPIAFSARTYPSTLNRRFLWIPYPIMSSNTKLAATQPELCQLNDSSDFEKLLSPSGYISICGFGSLLSGRSARSTFPNLLNFRVAILKGFRRVFAHVAPIFFDRGIAKPETKEISSLSVEPCEGETLIVTVFEIQKAEIPAFMERELEFRFLAVLPETLDGEPFANPAVLCTRYSDEEFFQIRCKGNKDIYFQHYGRYNIEKIWRDDILPCRVYLRHCVLAAKNLGDIAYNNFLDHTFLGDRKTTIRTYLATTGSGIMEEEPPESLKSRYGG >KJB16140 pep chromosome:Graimondii2_0_v6:2:56285845:56286682:-1 gene:B456_002G214000 transcript:KJB16140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLDDEFSGGMRAVQSAENFQNKMAMEGVPGSLGTSVGFSLRIGQTLFSSASLLFMSLGVEFYSYSAFCYLVTTMGLVIPWSCTLAVLDGYSVVVKCPVRQPGILFIIAAGDWVLSVLTLAAACSTASVVDLLFQTSSSFCTPKCCSRYQLSAAMAFLTWFLSLASALLNLWLLPSS >KJB16114 pep chromosome:Graimondii2_0_v6:2:56153753:56156606:-1 gene:B456_002G213100 transcript:KJB16114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSLKAKLAIFVVTILATSMVIGDGVLTPSISVLSAVGGIDSLGQDAVVGISVVILVILFCVQRFGTDKLGYSFAPIICLWFTLLSGSGLYNLFTYGWGVLRAFNPLYIVGYFKRRGKNGWISLGEVVLCITGTEAMFADLGHFSVRAVQISFSTITLPSLLTVYCGQAAYLTKHPEHVGDTFYKSIPDPLYWPTFVVAVAASIIASQAMISGAFSIISQSLTLGCFPRVKVVHTSTEYEGQVYIPEVNYMLMIACVAVTVGFRTTVNIGHAYGIAVVAVMVITTCMVTLIMLVIWKTNILWIALFCVFFGTIETIYLSSVLYKFVEGGYLPLVFSLILMTIMGIWHYVHQKRYEFELNNKVSKEYIKQLVEDPKINRVPGIGLLYSELVQGIPPIFPHFISSIPSIHSVLVFVSIKKLPISKVTPEERFLFRHVEPREYRMFRCVVRYGYKDFMGTPVEFEQQLVEKLKEFIRHEYFMAEGEAVAVENSPQSSNILVNQGKDKGSSRRAVFVEETLNQLNQSRRSSASIQSFNMAKSNNSSSGIVSPAPPILGAEEEIQFVQKAKDEGIIYLLGEAEVMAKPNSSYTKRMVVDYGYNFLRRNFRQGEKVMMIPQAKLLRVGMTYEI >KJB16112 pep chromosome:Graimondii2_0_v6:2:56149159:56155692:-1 gene:B456_002G213100 transcript:KJB16112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFADLGHFSVRAVQISFSTITLPSLLTVYCGQAAYLTKHPEHVGDTFYKSIPDPLYWPTFVVAVAASIIASQAMISGAFSIISQSLTLGCFPRVKVVHTSTEYEGQVYIPEVNYMLMIACVAVTVGFRTTVNIGHAYGIAVVAVMVITTCMVTLIMLVIWKTNILWIALFCVFFGTIETIYLSSVLYKFVEGGYLPLVFSLILMTIMGIWHYVHQKRYEFELNNKVSKEYIKQLVEDPKINRVPGIGLLYSELVQGIPPIFPHFISSIPSIHSVLVFVSIKKLPISKVTPEERFLFRHVEPREYRMFRCVVRYGYKDFMGTPVEFEQQLVEKLKEFIRHEYFMAEGEAVAVENSPQSSNILVNQGKDKGSSRRAVFVEETLNQLNQSRRSSASIQSFNMAKSNNSSSGIVSPAPPILGAEEEIQFVQKAKDEGIIYLLGEAEVMAKPNSSYTKRMVVDYGYNFLRRNFRQGEKVMMIPQAKLLRVGMTYEI >KJB16113 pep chromosome:Graimondii2_0_v6:2:56152947:56157078:-1 gene:B456_002G213100 transcript:KJB16113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENVEKGEEIEMAAAEADKNQLTERKFSWAKLRRVDSLNLEAGRLSFSSTKSPHSKVDWMRTLSLAFQSIGVIYGDIGTSPLYVYASTFTDGIGHQDNLIAVLSLIIYSIVLIPFFKYAFLVLRANDNGEGGTFALYSLLCRHVKLSLLPNQQPEDRELSNYQLDTPSSQLNRAYKIRGKMENSLKAKLAIFVVTILATSMVIGDGVLTPSISVLSAVGGIDSLGQDAVVGISVVILVILFCVQRFGTDKLGYSFAPIICLWFTLLSGSGLYNLFTYGWGVLRAFNPLYIVGYFKRRGKNGWISLGEVVLCITGTEAMFADLGHFSVRAVQISFSTITLPSLLTVYCGQAAYLTKHPEHVGDTFYKSIPDPLYWPTFVVAVAASIIASQAMISGAFSIISQSLTLGCFPRVKVVHTSTEYEGQVYIPEVNYMLMIACVAVTVGFRTTVNIGHAYGIAVVAVMVITTCMVTLIMLVIWKTNILWIALFCVFFGTIETIYLSSVLYKFVEGGYLPLVFSLILMTIMGIWHYVHQKRYEFELNNKVSKEYIKQLVEDPKINRVPGIGLLYSELVQGIPPIFPHFISSIPSIHSVLVFVSIKKLPISKVTPEERFLFRHVEPREYRMFRCVVRYGYKDFMGTPVEFEQQLVEKLKEFIRHEYFMAEGEAVAVENSPQSSNILVNQGKDKGSSRRAVFVEETLNQLNQSRRSSASIQSFNMAKSNNSSSGIVSPAPPILGAEEEIQFVQKAKDEGIIYLLGEAEVMAKPNSSYTKRMVVDYGYNFLRRNFRQGEKVMMIPQAKLLRVGMTYEI >KJB12930 pep chromosome:Graimondii2_0_v6:2:3844866:3845981:1 gene:B456_002G046100 transcript:KJB12930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYKPRNILITGAAGFIASHVTNRLIRNYPSYKIVALDKLDYCSNLKNLHPSKSSPNFKFVEGDIGSADLVNYLLFTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPSNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGMVLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDICKLFLMDPEKSIEFVENRPFNDQRYFLDDQKLKNLGWSERVIWEEGLKKTIDWYTKHPNWWGDVSGALLPHPRMLMIPGGRHFDFEELNRMSHVNGPKLPNGKSKL >KJB15368 pep chromosome:Graimondii2_0_v6:2:44373892:44375840:1 gene:B456_002G173600 transcript:KJB15368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLTIVTIFFLWARSMLTVQGGDPTITFEWKVTYGTISPLGVPVKGILINGQFPGPNINSTTNNNVIVNVFNNLDEPFLLTWSGVQHRKNPWQDGVLGTNCPIPPGTNYTYKFQVKDQIGSYMYYPVTAMHKAAGGFGGLRINSRLLIPVPYADPADDYTLIAGDFFNKGYTSLKKVLESGRNLGRCDGVHINGKVAKGDGNDEPLFTMEAGKTYKYRICNAGIKTSLNVRFQGHTMKLVEMEGSHTVQNDYESLDVHVGQCFSVLVTANQEPKDYFVVASTRFTKRDVIATGVIRYNNGKGAPSPKLPPRPVSWAWSLNQFRTFRWNLTASAARPNPQGSYKYGSINITRTIKLANTAHKVDGKLRYALNGVSYVEPTTPLKLAEYYKVADKVFKYDNIPDDPPSEITKVTMEPIVLNMTHRNFVEIIFENRETAIQSYHLDGYSFFAVAIETGNWSPEKRKNYNLLDAVSRHTIQVFPKSWAAILLTFDNCGMWNIRSEVWDRRYLGQQLYASVVSPNKSLKDEYNMPDGALVCGVVENMPKPPPPYT >KJB15472 pep chromosome:Graimondii2_0_v6:2:47085171:47086557:1 gene:B456_002G179500 transcript:KJB15472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLSSGSRQQSKESKTLPYKDHFKTDTFRREKYCIKVALDLKFTSEGPECKHRDFIWMEKIIMAIFQDFEIEKKESLFSLSKRLRKRIKKPNLCEIKNISPTCCISTFSMATGILLTSLHFLPKISKKHQLGSQ >KJB13390 pep chromosome:Graimondii2_0_v6:2:8412489:8413373:-1 gene:B456_002G072100 transcript:KJB13390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEYQQGTNMDEQKPYTGFFQVMVSLLGTPMNPNDEDQPYIVGRENIKAIMLDMVVASFDTITTAIEWTLSELLRHP >KJB13021 pep chromosome:Graimondii2_0_v6:2:4525639:4526449:-1 gene:B456_002G051800 transcript:KJB13021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYVASALEYLHHDNATPVVHCDLKPNNVLLDKDMVAHLSDFGITKLLCEEVSMIQTMTIATFGYMAPEYGIEGIVSTKGDVYSFGILLMEIITRKKPTDEMFEGERSLKSWVIESKSSSLNQAVDPKLLSTIGREDLKVQNCALSILQLGLECCFELPNERLHMKEIVTKLKKIKVKLLRDIE >KJB15125 pep chromosome:Graimondii2_0_v6:2:37452379:37453133:1 gene:B456_002G162000 transcript:KJB15125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKPNNYGVVLKKRLKGLLKFISGKALQITTKMMREGHLVVIAVQGKEPKRFLIKLDHLYNPDVLKLLKQAEEEFGFSREGVLELPCQPHELQRILSNIKALP >KJB12159 pep chromosome:Graimondii2_0_v6:2:208627:209520:-1 gene:B456_002G003800 transcript:KJB12159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKVFFDMTIGGQPAGRIVMELFADCTPRTAENFRALCTGEKGVGRSGKPLHYKGSTFHRVIPNFMCQGGDFTAGNGTGGESIYGAKFEDENFIKKHTGPGILSMANAGPGTNGSQFFICTTKTEWLDGKHVVFGQVVEGMDVVRDIEKVGSSGGRTSKPVVIADCGQL >KJB13907 pep chromosome:Graimondii2_0_v6:2:12858545:12861576:-1 gene:B456_002G101200 transcript:KJB13907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGFLVFVAVALLAFLCSPNAVYGIRFVIDREECFSHDVKYEGDTIHVSFVVIKADSTWHNSHEGVDLVVKGPTGDQIQDFRDKISEKFEFVAHQKGIHRFCFSNKSPYYETVDFDVHESHFTYYDQHAKDEHFNPLLEQISKLEEALYNIQFEQHWLEAQTERQAIVNEAMSKRAVHKAFYESAALIGASVLQVYLLRRLFERKLGMSRV >KJB13908 pep chromosome:Graimondii2_0_v6:2:12858589:12861284:-1 gene:B456_002G101200 transcript:KJB13908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGFLVFVAVALLAFLCSPNAVYGIRFVIDREECFSHDVKYEGDTIHVSFVVIKADSTWHNSHEGVDLVVKGPTGDQIQDFRDKISEKFEFVAHQKGIHRFCFSNKSPYYETVDFDVHESHFTYYDQHAKDEHFNPLLEQISKLEEALYNIQFEQHWLEAQTERQAIVNEAMSKRAVHKAFYESAALIGASVLQVYLLRRLFERKLGMSRV >KJB12362 pep chromosome:Graimondii2_0_v6:2:998327:1001132:-1 gene:B456_002G015400 transcript:KJB12362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRGGGSQQVIRTGDMEKMSLDQLKAVKEQADIEVNLLQDSLNNIRAATGRLENASAALHDLSLRPQGKKMLVPLTASLYVPGTLDDADKVLVDVGTGYFVEKTMAEGKDYCERKINLLKSNFDQLIEVASKKKTLADEAGLILQAKLKQSSPSS >KJB12678 pep chromosome:Graimondii2_0_v6:2:2274862:2275551:1 gene:B456_002G030700 transcript:KJB12678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVMNPSTNMNYDRRKMKKKKKPMIKENHINQTLSQLSLENGSTPFPSAPRGGRAVREATDKALAITAKGKTRWSRAILTGRLKLMFRKRKSSRSKKPRVNVSKLKARSIPNVLRKVKALGRLVPGCRKEPLPVILEEATDYIAALEMQVRAMTTLAELLSGSAASSSSVPPPHSPPPTRQ >KJB16848 pep chromosome:Graimondii2_0_v6:2:61409532:61412651:1 gene:B456_002G250500 transcript:KJB16848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSNTLAEVLLSRRVQEMVVNGEQPVSLYICRDEKDAQNAPISPLAPIPIIDLSLLSSSSTEEELQKLKSALCCWGCFQAINHGIPSSFLDEIQQVTREFFRQSMEEKKKYSKGVVEEMEGYGGDPSPEQGQFLDWQDRLLLTVYPHDLRVPKFWPQNPQSFSEILENYTCKMRMVTKLVSKSMAKSLHLEENCFLEQFGEGATLQARFNHYPCCQRPDIVLGLKPHSDGTGYTIILQDIEGLQILQNQQWLTVPTVPNALFILMGDQMEIMTNRIFKSPVHRVITNKEKVRTSIAVFYTPEKNKEIGPQDGLVNEERPRLFKHVKDYEIIHWEHYQRGMRALHAAQV >KJB16849 pep chromosome:Graimondii2_0_v6:2:61409595:61411735:1 gene:B456_002G250500 transcript:KJB16849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSNTLAEVLLSRRVQEMVVNGEQPVSLYICRDEKDAQNAPISPLAPIPIIDLSLLSSSSTEEELQKLKSALCCWGCFQAINHGIPSSFLDEIQQVTREFFRQSMEEKKKYSKGVVEEMEGYGGDPSPEQGQFLDWQDRLLLTVYPHDLRVPKFWPQNPQSFSEILENYTCKMRMVTKLVSKSMAKSLHLEENCFLEQFGEGATLQARFNHYPCCQRPDIVLGLKPHSDGTGYTIILQDIEGLQILQNQQWLTVPTVPNALFILMGDQMEVYVHKYTTMVIKI >KJB12743 pep chromosome:Graimondii2_0_v6:2:2586810:2593399:1 gene:B456_002G0343002 transcript:KJB12743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPIIRAVPPISLAPLVPPIRTPPPGCSVLLSLISCFYLLFATALDTITPSKSIKDPEFIISQNGTFRLGFFSLANSSNRYVGILYHQIPVQTVVWVANRNRPLKDSSGILNISDDGNLVVLNGKTETLWSSNVTNTAPNVTTAHLSDLGNLVLSNGDDAGSSLWESFQHPSNAFIESMEISTDVKKGRKVEIKSWKSHDDPSDGNFSFGIEPFNVPEFVIWNNNQLYFRSGPWNGNMFIGLILPTVAFSWFSVVADNRQQTFFIGYEDSNHSMLTYCELDSQGKFSERRWDAEKGNWIKSYSIYHTDCDVYGKCGEFGMCNSKKRPICSCLKGFKPRNAEEWSRGNWSSGCFRTTPLQCQRDNNNGSGAGKGDDGFLEMKMMNVPAFPDRSSIVNGECKDQCLKNCSCVAYAYDAGIGCMMWSGDLIDVKESSRGVDLYIRLPASELENSIGVKLQQLPLFNFEELATATNNFNHAKMLGQGGFGPVYRGTLDDGKEIAVKRLSKASGQGLEEFMNEVVVISKLQHRNLVRLLGCCVEGEEKMLAYEYMPNKSLDALLFDPAKQDVLDWRKRFNIIEGISRGLLYLHRDSRLKIIHRDLKASNILLDEELNPKISDFGMARIFGGNQNQANTKRVVGTYGYMSPEYVMRGQFSEKSDVFSFGVLLLEVVSGRRNTSFCNDQYALSLLGYAWKLWREGDICGIVDKVILESETYSKNGNEKEIWRCIHDGLVCVQEFAKDRPTMPTVR >KJB12195 pep chromosome:Graimondii2_0_v6:2:331533:336088:1 gene:B456_002G005200 transcript:KJB12195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADTMMNVPNGNGNGNAQPDPQRTYQVVVAATKDMGIGKDGKLPWNLPSDLKFFKDITLTTSDSGKKNAVIMGRKTWESIPLKNRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQILRESLNAAGCDAIHITEIETNIECDTFMPAIDTAVFQPWYSSFPMVENDIRYCFTTYVRVRTSTIEHLCQNCDLVVDNKPDTGKFEVKKFSFLPKMIFRKHEEYLYLNMIQDIISGGNLKDDRTGTGTLSMFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAEVLQEKGIHIWDGNASRDFLDSIGLTSREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANEELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLIPGDFIHVLGDTHVYSTHVRPLQEQLQKLPKPFPILKINPEKKNIDSFVASDFKLIGYDPHEKIEMKMAV >KJB12196 pep chromosome:Graimondii2_0_v6:2:331633:336079:1 gene:B456_002G005200 transcript:KJB12196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADTMMNVPNGNGNGNAQPDPQRTYQVVVAATKDMGIGKDGKLPWNLPSDLKFFKDITLTTSDSGKKNAVIMGRKTWESIPLKNRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQILRESLNAAGCDAIHITEIETNIECDTFMPAIDTAVFQPWYSSFPMVENDIRYCFTTYVRVRTSTIEHLCQNCDLVVDNKPDTGKFEVKKFSFLPKMIFRKHEEYLYLNMIQDIISGGNLKDDRTGTGTLSMFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAEVLQEKGIHIWDGNASRDFLDSIGLTSREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANEELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCGMLSLRPISCLCLIDLLE >KJB13672 pep chromosome:Graimondii2_0_v6:2:11211455:11212729:-1 gene:B456_002G088700 transcript:KJB13672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMEWFSCITEVPFRCGDWVFAAMKHLPIGFFISVFKRTFFAASTCTFALGGSMVGTVVGAMKGQTTETGFLRGAGIGAVAGAITAVQLLESLVDGESLSKVYTYMVALLVSLVNGKVFIEWVSPAVLKAYQWQVSSNSVEPTYREISDIYDVNGAKGLSKHCIQRLPLHQFRSSDDIIIKSMEEPCCSICLQGLKEGEMGRNLPRCGHSFHLNCIDEWLSRQGTCPMCREHVLNDASHQL >KJB13674 pep chromosome:Graimondii2_0_v6:2:11211455:11212840:-1 gene:B456_002G088700 transcript:KJB13674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMEWFSCITEVPFRCGDWVFAAMKHLPIGFFISVFKRTFFAASTCTFALGGSMVGTVVGAMKGQTTETGFLRGAGIGAVAGAITAVQLLESLVDGESLSKVALLVSLVNGKVFIEWVSPAVLKAYQWQVSSNSVEPTYREISDIYDVNGAKGLSKHCIQRLPLHQFRSSDDIIIKSMEEPCCSICLQGLKEGEMGRNLPRCGHSFHLNCIDEWLSRQGTCPMCREHVLNDASHQL >KJB13673 pep chromosome:Graimondii2_0_v6:2:11211455:11212729:-1 gene:B456_002G088700 transcript:KJB13673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMEWFSCITEVPFRCGDWVFAAMKHLPIGFFISVFKRTFFAASTCTFALGGSMVGTVVGAMKGQTTETGFLRGAGIGAVAGAITAVQLLESLVDGESLSKVALLVSLVNGKVFIEWVSPAVLKAYQWQVSSNSVEPTYREISDIYDVNGAKGLSKHCIQRLPLHQFRSSDDIIIKSMEEPCCSICLQVRTNYNEKIIRKQ >KJB15796 pep chromosome:Graimondii2_0_v6:2:53226168:53227438:-1 gene:B456_002G196600 transcript:KJB15796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNLVERRWGIDEGRQTEVAIFTLIKVLCLNQQNPLGGLSLLRESCTHITNIKNLNLLMLCDVNTFLFVYPFFSQCLIQQLKELNDVKRNILKI >KJB12179 pep chromosome:Graimondii2_0_v6:2:918121:918719:1 gene:B456_002G014000 transcript:KJB12179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVPLVVFSLALVLTIQFVVGHDENALDEAASPEAENAVLSSGAAKLVENFKSSVGDDSQSVDGPTSDLADEIAASPESEVEAATESVNGPHSGLADEIAVSPESEVEVATESVGGRKRKMA >KJB15929 pep chromosome:Graimondii2_0_v6:2:54781841:54782185:-1 gene:B456_002G2049001 transcript:KJB15929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQTIHHARSNSFPLPSRPNPLVSELDEHLNRLRDSEATSTSSSISHKLNGLQDLYDCVDKLLRLPFSQQELAQEENKGPVDELLDGPLRLFDLCNTAKDILLQTKGSIQDIQS >KJB13802 pep chromosome:Graimondii2_0_v6:2:12017145:12018379:-1 gene:B456_002G095000 transcript:KJB13802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRRWDIFNHQREKTRLEILLQNVRKYLDNCNPYNGKDHEDALFSIYTKLEDHEDTILSNVHLFSWTLSHWLCEQTGLFLYRRPSNGTNVVQKTCMDRRCWVQTWGDTQ >KJB16569 pep chromosome:Graimondii2_0_v6:2:59858198:59860620:-1 gene:B456_002G236800 transcript:KJB16569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESSMIKRLDGKVALITGGASGLGECSARLFVKQGAKVLIADIQDELGHSICQELGTENISYVHCDVTCESDVENAVNLAVSKYGKLDIMFNNAGLIGDGEVRVTDASTDNFKRVFDINVLGGFLGAKYAAKVMVPAKKGCILFSSSISSKISIGLPHAYKASKHGVVGLTKSLAVELGEHGIRVNCISPHATVTPLFLTTLGMFDKKKGEEMIATSAVLKGTVLEPEDFAHAALYLASDEAKFISGVNLPVDGGYSLSNQSWKMGFAALFG >KJB16648 pep chromosome:Graimondii2_0_v6:2:60463573:60466896:-1 gene:B456_002G241300 transcript:KJB16648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSFKYVILGGGVAAGYAAREFAKQGVQPGELAIISKEAVAPYERPALSKAYLFPEGAARLPGFHVCVGSGGERLLPEWYKEKGIQLILSTEIVKADLPAKTVVSAAGETFKYQILIIATGSTVIRLTDFGVEGADAKNIFYLREIDDADKLLEAIKAKKNGKAVIVGGGYIGLELAAVMKINNFDVTMVYPEPWCMPRLFTPDIAAFYEEYYANKGVKIIKGTVAVGFTSDSNGEVKEVKLKDGRALEADIVVVGVGGRPLTTLFKGQVEEEKGGIKTDAFFKTSVPNVYAVGDLATFPLKLYNELRRVEHVDHARKSAEQAVKAIKAGEEGKSVDEYDYLPYFYSRSFDLSWQFYGDNVGDTVLFGDSNPKSQKAKFGSYWIKDGKVVGAFLEGGTAEENQAIAKVAKLQPSVQSLEAVKHEGLSFACKI >KJB12765 pep chromosome:Graimondii2_0_v6:2:2790533:2791377:-1 gene:B456_002G0357001 transcript:KJB12765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEGSSAAEWTHGEEFPHWLDQQPLETESIPDGKCWSSQPLANLDAKHLYRTSSYPEQQQQQQQLQQQQQYHQHFSSEPILVPKSSYISYPPPDGRSPQASPNQHSGHLNIPYMAGGPQMASSPNLSGFPNSQLQLPGLHHGPNYRGNMPQFAPGLSVSSQPSNQWGSRPKLYGDNSSVLNNMLQQQLTHQNGLIPPQLMPQLQSHQQRLQHPVQPSFSHFSGIQSQQFNPHLSPSPPVMNKVEAILGIGDLRDQRAKSAQKGRQSLRGFDSGALKSDFGWP >KJB13364 pep chromosome:Graimondii2_0_v6:2:8167632:8168198:-1 gene:B456_002G070900 transcript:KJB13364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPPSPDNNEHTNVTYDLQDFQTSIFVCLVGILLIVIFIQISERVVTWLRREVAVDHLDLELVQIANYQLENSDWPDEAMALNNVWVMEIFNGFMVYLAERQISGLTPEFLEQALPCVTYKSLGQTTLDECVICLDGFEDDEMCRVFPVCEHVFHFSCIDNWLRNHLTCPICRNCILDGVSIALTM >KJB15864 pep chromosome:Graimondii2_0_v6:2:54024964:54028170:1 gene:B456_002G200200 transcript:KJB15864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTESLLPEDQRQEPPPSFLLNYLYIAHFLARWGARMWEFSVGLYMISVWPDSLLLVAIYGVVESSSTALFGPILGRWVDRLTYVKVLQLWLVTQNLSFIIAGGAVVALLVFPSLKFTNIVAFIALVILTNISGAVGVLSTLAGTILIEREWLVVISEGHPPNLLTDMNSVIRRIDLTCKLVAPVISGFIISFVSLKASALMLALWTTISVWVEYWLFMSVYNGIPALGINSCRRRILQVSPSNHVEDTISTSQERNSLVSNDGENSATAGKSFAFKIIEWISKVPYIEAWKVYLQQDVVLPGVALALLFFTVLSFGTLMTAALEWQGIPAFVIGIARGISASIGIAATIVYRMLQSHISTLRTGLWSVWSQWICLLVSVASIWVKNSHISAYMLMAGVATSRLGLWMFDLSIIQQMQDAVPESDRCIVGGVQNSLQATFDLVAYVMGIVISNPQHFWKLNLVSFWAVTMAAFLYTWHLYRIRKHLFHFEKLSSLLISSAQPL >KJB16166 pep chromosome:Graimondii2_0_v6:2:56591530:56593622:1 gene:B456_002G216300 transcript:KJB16166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMALWRRVPHRLLLIRSFVDARIKWVRDPYLDIAVEREKNLKEILSLKNQILSSPSKSLPLSSLSPLKSHFNLPTSTSKLFQNYPSIFSPFQPSPSLPLHVKLTPLAMTLHKEELAIHNSPPHRNDVVNRLVKLLMLTKAVRLPLHILDKFKFDLGLPTNYITFLLSDYPDYFQICEHKNPSDGKETLFLELISWRNELAISEMEKRASFSDGVKLKKGLPLRFSMKLPNGFDLEKKVKNWVDTWQDLPYISPYENSFHLGPNSDQAEKWTVAVLHELLWLLVSKKTEGKNVFCLGEYLGFGNRFKKALMHFPGIFYVSNKIRTQTVVLKEAYRKDFLMEKHPLMGMRFRYIHLMNKSEKFRKRDGVPVFRRKRKVMSNADEGEVIKEDDKSVEENKDLNLLSGSGSNDGFDDEPSKAQVMDI >KJB16782 pep chromosome:Graimondii2_0_v6:2:61126834:61127546:-1 gene:B456_002G247300 transcript:KJB16782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKQDYWQILQKRLKKPTVGKKKVRKLKLIKATMVKMREEMTQLVNMADNCHRRIVEAREEIRAQLVAADTRDALINEFICAWIDKNDSD >KJB14082 pep chromosome:Graimondii2_0_v6:2:15103757:15106522:1 gene:B456_002G109800 transcript:KJB14082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGESLPEFNYPKDVLQPLLSASNSSSLQQALEILIKDSRAAVGRAELASKNILPTVLKLVESLHRASSREYLMQATKLLRNLCAGEVANQNSFVEHNGIETVLTVLRSAALLSDPDFGIIRLSLQVLANVSLAGAEHQQAIWLKLFPNEFFILASIRSLETSDPLCMILYTCCDGKPGLAIELCRDPGLPIVAGIIRTVASVGSREDWFKLLLSRLCLEDIHFPALFFKLCEGNASEDRGNTALGDNVFSSEQAFLLRIISEILNERINEVRVPNETALCVLGIFKRSVSIVDFGARAKSGLPTGSTSVDVMGYSLIILRDICAQDGLGDLKKDSVDVVDLLLSNDLTNVILSLLHDLEPPAIIRKTLKDSENRELNLGSTKLCPYKGFRRDLVAIIGNCAYRRKNVQDEIRQKSGILLLLQQCVTDDDNPYLREWGIWSLRNLLEGNAENQQIVADLQLQGSVDMPELARLGLKVEVDQNTHRAKLVNIPGSYTKPVE >KJB14083 pep chromosome:Graimondii2_0_v6:2:15103227:15106523:1 gene:B456_002G109800 transcript:KJB14083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGESLPEFNYPKDVLQPLLSASNSSSLQQALEILIKDSRAAVGRAELASKNILPTVLKLVESLHRASSREYLMQATKLLRNLCAGEVANQNSFVEHNGIETVLTVLRSAALLSDPDFGIIRLSLQVLANVSLAGAEHQQAIWLKLFPNEFFILASIRSLETSDPLCMILYTCCDGKPGLAIELCRDPGLPIVAGIIRTVASVGSREDWFKLLLSRLCLEDIHFPALFFKLCEGNASEDRGNTALGDNVFSSEQAFLLRIISEILNERINEVRVPNETALCVLGIFKRSVSIVDFGARAKSGLPTGSTSVDVMGYSLIILRDICAQDGLGDLKKDSVDVVDLLLSNDLTNVILSLLHDLEPPAIIRKTLKDSENRELNLGSTKLCPYKGFRRDLVAIIGNCAYRRKNVQDEIRQKSGILLLLQQCVTDDDNPYLREWGIWSLRNLLEGNAENQQIVADLQLQGSVDMPELARLGLKVEVDQNTHRAKLVNIPGSYTKPVE >KJB14081 pep chromosome:Graimondii2_0_v6:2:15103227:15107312:1 gene:B456_002G109800 transcript:KJB14081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGESLPEFNYPKDVLQPLLSASNSSSLQQALEILIKDSRAAVGRAELASKNILPTVLKLVESLHRASSREYLMQATKLLRNLCAGEVANQNSFVEHNGIETVLTVLRSAALLSDPDFGIIRLSLQVLANVSLAGAEHQQAIWLKLFPNEFFILASIRSLETSDPLCMILYTCCDGKPGLAIELCRDPGLPIVAGIIRTVASVGSREDWFKLLLSRLCLEDIHFPALFFKLCEGNASEDRGNTALGDNVFSSEQAFLLRIISEILNERINEVRVPNETALCVLGIFKRSVSIVDFGARAKSGLPTGSTSVDVMGYSLIILRDICAQDGLGDLKKDSVDVVDLLLSNDLTNVILSLLHDLEPPAIIRKTLKDSENRELNLGSTKLCPYKGFRRDLVAIIGNCAYRRKNVQDEIRQKSGILLLLQQCVTDDDNPYLREWGIWSLRNLLEGNAENQQIVADLQLQGSVDMPELARLGLKVEVDQNTHRAKLVNIPGSYTKPVE >KJB15963 pep chromosome:Graimondii2_0_v6:2:55399610:55401866:1 gene:B456_002G207500 transcript:KJB15963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease 2 [Source:Projected from Arabidopsis thaliana (AT1G68290) UniProtKB/Swiss-Prot;Acc:Q9C9G4] MGECRMQKMLAFLSLMLVTLPPIYGWGTDGHSIICKIAQTRLSEEAADAVKQLLPKWAEDDLGSVCSWADQVKFRYRWSSPLHFINTPEACSYQYKRDCKDEDGEAGRCVAGAINNYTSQLLTYNSAADKAEYNLTESLLFLVHFMGDIHQPLHVGFASDKGGNTIDVHWYKTKQVLHHVWDTNIIETAEERFYNSNVDGMVDAIQQNITICI >KJB15964 pep chromosome:Graimondii2_0_v6:2:55399610:55401866:1 gene:B456_002G207500 transcript:KJB15964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease 2 [Source:Projected from Arabidopsis thaliana (AT1G68290) UniProtKB/Swiss-Prot;Acc:Q9C9G4] MGECRMQKMLAFLSLMLVTLPPIYGWGTDGHSIICKIAQTRLSEEAADAVKQLLPKWAEDDLGSVCSWADQVKFRYRWSSPLHFINTPEACSYQYKRDCKDEDGEAGRCVAGAINNYTSQLLTYNSAADKAEYNLTESLLFLVHFMGDIHQPLHVGFASDKGGNTIDVHWYKTKQVLHHVWDTNIIETAEERFYNSNVDGMVDAIQQNITNEWADQVKRWETCSLNKTACPDIYASEGIKAACDWAYKGVKEESVLEDDYFVSRLPIIYWRLAEGGVRLAATLNRIFG >KJB17020 pep chromosome:Graimondii2_0_v6:2:62160634:62165101:-1 gene:B456_002G260800 transcript:KJB17020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLCNETILEQLGHGTAKFELVSSPVSSISIPSLSPSLSTTFPADNSHRFFARIGASLGGGSAATKKVEQYSVHKVTGDGRCLFRALVKGMAFNKGIALRPKEERDDADELRMAVKEILCDSAKDRQQYEEALIAITVEESLKRYCQRIQRPDFWGGESELLVLSRLCGQPIVVYIPEHEHRKGGWGFIPIAEYGSEFRKGFGKGKPKKVVRLLYSGRNHYDLLV >KJB17021 pep chromosome:Graimondii2_0_v6:2:62160649:62164998:-1 gene:B456_002G260800 transcript:KJB17021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLCNETILEQLGHGTAKFELVSSPVSSISIPSLSPSLSTTFPADNSHRFFARIGASLGGGSAATKKVEQYSVHKVTGDGRCLFRALVKGMAFNKGIALRPKEERDDADELRMAVKEILCDSAKDRQQYEEALIAITVEESLKRYCQRIQRPDFWGGESELLQSFVTFLLKQLR >KJB15410 pep chromosome:Graimondii2_0_v6:2:45267352:45268291:1 gene:B456_002G176800 transcript:KJB15410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNFKSTTTITVANSFPYSDPFLAKEIFDVTTTQPSFRHSYSSFLVLILKLGCSKNFSLIDDLLARLKSNQYRVTPTLFSYLIKIYVEADLLEKALNVFYKMLEFNVKPLPRHLNHILELIISHCNFIMPTFDLFKTTHKYGVFPNTKSYNILMGAFCLNGDLSIAYKLFNKMFERDVMPAAESYRILMQGLCRKSQVNRAVDLLEDMLNKGFVQDSLSYTTLLNSLCKKKKLKEAYKLLCRMKVKGCNVDIVHYNNVILGFFRKGRAMDAIKFFPQPLQWKKSYQLLRNFGFQDKFKRGYQ >KJB12255 pep chromosome:Graimondii2_0_v6:2:534217:539728:-1 gene:B456_002G008200 transcript:KJB12255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLRGSRTHEGLPISDASPISVSNKKRWSTLMPWLIALVVIAQLAFLGRLGNAKNATFFHSWPELFRTQHSSSGVKVAGVRNSGIRGLGGHRNSGVETCEEWLEREDTLVYSRDFNKDPIWILISGDKEDFKTCAVNCSFRSNWSRKADATFKLPKKSKAPSVHRSMESASYYEENNITQARRDGFEIVMTTSLSSDVPVGYFSWAEYDIMAPVIPKSEKALAAAFISNCMASNFRLEALMELEKENIKIDSYGRCHKNRDERVDKVEILKRYKFSLAFENSNEEDYVTEKFFQSLVAGSVPVVIGAPNIEDFAPSSDSYLHIKDLKDVRSIAEQMKYLAGNPDAYNRLLRWKQEGPTDTFKALVDMAAVHSSCRLCIHIATTIWEKEEKDSDAKKRPCKCNKGLETVYHLYVRERGRFEMDSIFLRSGNMTLKALEVAVLTHFKFRKHVPIWKPERPESLRGGDELKVHRIYPLGLTQRQALYTFKFKGDADLKNHIENNPCAKFEVIFV >KJB12254 pep chromosome:Graimondii2_0_v6:2:535474:539618:-1 gene:B456_002G008200 transcript:KJB12254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLRGSRTHEGLPISDASPISVSNKKRWSTLMPWLIALVVIAQLAFLGRLGNAKNATFFHSWPELFRTQHSSSGVKVAGVRNSGIRGLGGHRNSGVETCEEWLEREDTLVYSRDFNKDPIWILISGDKEDFKTCAVNCSFRSNWSRKADATFKLPKKSKAPSVHRSMESASYYEENNITQARRDGFEIVMTTSLSSDVPVGYFSWAEYDIMAPVIPKSEKALAAAFISNCMASNFRLEALMELEKENIKIDSYGRCHKNRDERVDKVEILKRYKFSLAFENSNEEDYVTEKFFQSLVAGSVPVVIGAPNIEDFAPSSDSYLHIKDLKDVRSIAEQMKYLAGNPDAYNRLLRDIHGTFTRILLTMRYEWV >KJB13120 pep chromosome:Graimondii2_0_v6:2:6338533:6350632:-1 gene:B456_002G058200 transcript:KJB13120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSVVLYCFMGFCSLLSETLSMKGLTLNCMDRKTEAYELVRLGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLAGFVETRQQLLTLKPNQRMNWIGFAVAHHLNSNGAKAAEILEAYEGTLEDDYPPDNERCEHGEMLLYKISLLEECGFHERALEELHKKEPKIVDRLTYKEQEVSLRTNIYKALLTLNPDNYRNVLVFILKNGKYSSDEIDRLDALYKSLAQQYTWSSAVKRMPLDFLQGDKFREAAVSYIQPLLTKGVPSLFSDLSPLYNHYGKHDFNTTDFPSLLTLKLADILEQLMLELEHSIRTTGRFPDRTEKEPPSTLLWTLFFLAQHYDRRGQYDMALSKIDEAIQHTPTVIDLYFVKSRILKHAGDLVAAASLADEARCMDLADRYINSESVKRMLQADQVALAEKTAALFTKEGDQHNNLHDMQCMWYELASGKSYTRQGYLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVEMLKFQDRLHSHSYFHKAAAGAIRCYLKLYDSPLNSPAEEEDHTLKTHQKKKMKKQRKAERTKKEADEKNEESSASGTSKSGKRHVKPVDPDPYGERLLKTEDPLSEATKYLKLLLKNSPDSLETHLLSFEVNMRKQKILLAFQAVKQLLRLDAEYPDSHRCLIKFFHKVGSMPAPATDAEKLVWSVLEAERPSISQFQEKTLSEANEIFLRKHQDSLMHRVVVAEMLYILDPTKKLEAVKLIEDSSNIVELTNAALGPIMAWKLKDYCSPPTLGKGSC >KJB15778 pep chromosome:Graimondii2_0_v6:2:53064753:53067887:1 gene:B456_002G195800 transcript:KJB15778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTEEDTTTSKPTSLYDAASSTEPLLFKPTTGSAYPSPPIEEPPQSGPSESDPTQSLQISFNYGPRPFKDLPFLILFLLFVLCTFAFGIFSIFHRNDNYSNVSAFTYDFNSTSCVQDTSFLSSQLWVSETSFFALSSSSLWTYLVWTLVITSVLSVPLCLLLLLLLKHYTKQIVYVLLPFFIIMPVFFDVYWFVACSLSSSCSDAFPLVYRILVLVFILLIVGVIVWIIVANWHRIELTVRIIGVASDALSRNLGLFLVMPLLTVGLLAYYASIVVFLVFSRFNGKIVAKESNGEYTCVWKQDSWVPAYFTLAILTMLWSLTSMVEAQVYVTSGTIAQWYFSKDDTKPHRSIRRSLRNAFGPSSGTICLSGLLVFVVRVVRAAVDSAREDVPGIVNLVLRCCVNALMSAIDFLNKFTINFAAITGEAYCTSARMTYELLRRNLLSAVFVETVSTRLLAGVIFVLSSVYAIAVCAILKGVSDLGVNMYTVAALAWVLLILVLGFFVHVLDNVIDTVYICYAIDKDRGEVYKHEVHDVYFQLPISRNSRSSYPSRTLDV >KJB15160 pep chromosome:Graimondii2_0_v6:2:39307123:39311600:-1 gene:B456_002G163600 transcript:KJB15160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKVAPLQFIVLVASFAVPLVASDTASNGWTAPSTNSSKYKECPSGWVLAPNKTKCFGYNESLESWDESDIHCKRYHGHLAALTSFEELNFAQHLCGQSVNGCWVGGRVSNSTISSGWKWSDNTSVWNESVLRSSAQSICPKSSCFVNNSVDLCTLVKNGSEPLVSKRCNASHAFLCMVDIEDKCYYMHCHKEYLIILAVVSGLVLFTTFAVVVWLLAYKRSKRRRRSRKLSNPATSALVPPSWKIFTSDELRSITKNFSEGNRLHGDAKTGSTYSGLLPDGSKVAVKRLKRSSFQRKKEFYSEIGRVAKLHHPNLVAVKGCCYDHGDRYIVYEFITNGPLDRWLYHIPRGGRSLDWTMRIKIATTLAQGIAFLHDKVKPHVVHRDIRASNVLLDEEFGAHLMGVGLSKIVPWEVMHERTVMAGGTYGYLAPEFVYRNELTTKSDVYSFGVLLLEIVSGRRPAQQVDSVGWQSIFEWATPLVQAHHYPELLDPLISSSTSDIPEAGDIQKVVDLVYACTQNVPSMRPRMSHVVHQLQQLAQPALAK >KJB15162 pep chromosome:Graimondii2_0_v6:2:39307299:39311402:-1 gene:B456_002G163600 transcript:KJB15162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKVAPLQFIVLVASFAVPLVASDTASNGWTAPSTNSSKYKECPSGWVLAPNKTKCFGYNESLESWDESDIHCKRYHGHLAALTSFEELNFAQHLCGQSVNGCWVGGRVSNSTISSGWKWSDNTSVWNESVLRSSAQSICPKSSCFVNNSVDLCTLVKNGSEPLVSKRCNASHAFLCMVDIEDKCYYMHCHKEYLIILAVVSGLVLFTTFAVVVWLLAYKRSKRRRRSRKLSNPATSALVPPSWKIFTSDELRSITKNFSEGNRLHGDAKTGSTYSGLLPDGSKVAVKRLKRSSFQRKKEFYSEIGRVAKLHHPNLVAVKGCCYDHGDRYIVYEFITNGPLDRWLYHIPRGGRSLDWTMRIKIATTLAQGIADIRASNVLLDEEFGAHLMGVGLSKIVPWEVMHERTVMAGGTYGYLAPEFVYRNELTTKSDVYSFGVLLLEIVSGRRPAQQVDSVGWQSIFEWATPLVQAHHYPELLDPLISSSTSDIPEAGDIQKVVDLVYACTQNVPSMRPRMSHVVHQLQQLAQPALAK >KJB15161 pep chromosome:Graimondii2_0_v6:2:39307864:39310840:-1 gene:B456_002G163600 transcript:KJB15161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKVAPLQFIVLVASFAVPLVASDTASNGWTAPSTNSSKYKGNEECPSGWVLAPNKTKCFGYNESLESWDESDIHCKRYHGHLAALTSFEELNFAQHLCGQSVNGCWVGGRVSNSTISSGWKWSDNTSVWNESVLRSSAQSICPKSSCFVNNSVDLCTLVKNGSEPLVSKRCNASHAFLCMVDIEDKCYYMHCHKEYLIILAVVSGLVLFTTFAVVVWLLAYKRSKRRRRSRKLSNPATSALVPPSWKIFTSDELRSITKNFSEGNRLHGDAKTGSTYSGLLPDGSKVAVKRLKRSSFQRKKEFYSEIGRVAKLHHPNLVAVKGCCYDHGDRYIVYEFITNGPLDRWLYHIPRGGRSLDWTMRIKIATTLAQGIAFLHDKVKPHVVHRDIRASNVLLDEEFGAHLMGVGLSKIVPWEVMHERTVMAGGTYGYLAPEFVYRNELTTKSDVYSFGVLLLEIVSGRRPAQQVDSVGWQSIFEWATPLVQAHHYPELLDPLISSSTSDIPEAGDIQKVVDLVYACTQNVPSMRPRMSHVVHQLQQLAQPALAK >KJB13480 pep chromosome:Graimondii2_0_v6:2:9183533:9185214:1 gene:B456_002G077500 transcript:KJB13480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLTLLTPNTDNPTTKPMEDEAKPAMEFVKSNKGRKSSGKGPYQKKQPQRGMGVAQLERLRKMSETRTTTTINQFGCEAMGASNVPVLHGVANYGVPPMMINGGSGGLWGWGADTDGLMMQRVVGNGGFGGFNSQVLVGNPGNVQVGCAAASVVEASKELSSMPKFQHCKPEHCDLCFKKKRCNMENGKFNGGLFNQFGQALPNKGTDFHGWNLENNQNTNEEMMKGFSARAARSAYAYAAAGQMNINETVDVVAIHRKGNSFGTGSYVMEYEFFPGKNGRNTASKEWEFPEEASSSAITVGGEASYANNAPNCVDLSLKLSY >KJB16758 pep chromosome:Graimondii2_0_v6:2:61015799:61018395:-1 gene:B456_002G246100 transcript:KJB16758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQTRPAFIHVLLIFSALFHSYSTSVSAQEVEDEQEFSYSEESGKGPNQWGNIKKEWSDCKTGKNQSPIDIPSRKTKVIKNPGRLDMLYKPTEFIVKNRGHDISLKIHWLKSAGSIKINGTEYFLQQAHWHSPSEHAIDGRRYALEVHLVHQAKDPKVKHNLAVVGLLYKYGKPDAFLSKLLGKISATNDEIHEKPLGFVDPTHIDTRMGGKAYYRYIGSLTVPPCTEGVIWSVQKQVKQVSEEQVHAIRVLVHDKAEENARPVQPLNQREVELYSPI >KJB16415 pep chromosome:Graimondii2_0_v6:2:58874911:58875444:-1 gene:B456_002G229600 transcript:KJB16415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKISCAVIVAAASMSAVLAADSAPAPAPASAPGAASASASAAAPGPDSNVATSTMPVLVSLVGATLVSFFSHYLQ >KJB13841 pep chromosome:Graimondii2_0_v6:2:12233848:12234598:-1 gene:B456_002G096800 transcript:KJB13841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDALSACCRELLASGEDDAPFCKLLDGNEMREKMVKKLKSSLPNPTILISNFANLQLSDFSQALPVKIGKLSDATFFKSILV >KJB12855 pep chromosome:Graimondii2_0_v6:2:3252001:3252673:1 gene:B456_002G039800 transcript:KJB12855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNEIILTESSWWFCPLKCRSHNKTYKGLEMSGRFPPRGWLKFNVCGVVFEDKAGGGGVLRDEGVARAFFSSPSEAKDAEFAELKSIGVALELYEGMGWATCCPLLIEVGSNVVFKWLSETESRPGKLYYFFAEIERSYLSFEKAEHMGNKMEEAEHMGNKMTFALAIAGVKHLDTFKVVRVLKKKLRGFVRFAFIGLGRVYCML >KJB12902 pep chromosome:Graimondii2_0_v6:2:3593469:3594067:1 gene:B456_002G043500 transcript:KJB12902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRREKAIKAGEESNEDLLDILVESNIREMEAKNMGMSIEDVIEECKLFYFADQKTTSVLLVWTMVLLARYPDWQSKAREEVLHVLGDSKPDADGLNRLKVLTMILYEVLRLYPPVIELGRSVPKEIKLGNLLLPAGTDVSVPILQIHHDKDL >KJB14369 pep chromosome:Graimondii2_0_v6:2:17456624:17457660:1 gene:B456_002G121700 transcript:KJB14369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVMVDHTQKLEETAVPNNLEQQDKQDEEQPQQSVQENAEYEDEELHKLLVPDITQLPLIPPSAVEFNFASYFAPDFMKPGNDQYVYRHANGLCVVGLASTHLAFNDKGGITAVDFNVGKSDRSGMKVTGKRKKNAQHFESNTALCKVCTNGDSYIVRWV >KJB14370 pep chromosome:Graimondii2_0_v6:2:17456538:17458765:1 gene:B456_002G121700 transcript:KJB14370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVMVDHTQKLEETAVPNNLEQQDKQDEEQPQQSVQENAEYEDEELHKLLVPDITQLPLIPPSAVEFNFASYFAPDFMKPGNDQYVYRHANGLCVVGLASTHLAFNDKGGITAVDFNVGKSDRSGMKVTGKRKKFRAGVVLKALFWK >KJB14371 pep chromosome:Graimondii2_0_v6:2:17456538:17458765:1 gene:B456_002G121700 transcript:KJB14371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVMVDHTQKLEETAVPNNLEQQDKQDEEQPQQSVQENAEYEDEELHKLLVPDITQLPLIPPSAVEFNFASYFAPDFMKPGNDQYVYRHANGLCVVGLASTHLAFNDKGGITAVDFNVGKSDRSGMKVTGKRKKNAQHFESNTALCKVCTNGDSYIVSFGQVLC >KJB14368 pep chromosome:Graimondii2_0_v6:2:17456494:17459182:1 gene:B456_002G121700 transcript:KJB14368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVMVDHTQKLEETAVPNNLEQQDKQDEEQPQQSVQENAEYEDEELHKLLVPDITQLPLIPPSAVEFNFASYFAPDFMKPGNDQYVYRHANGLCVVGLASTHLAFNDKGGITAVDFNVGKSDRSGMKVTGKRKKNAQHFESNTALCKVCTNGDSYIVRCCVKGSLLEVNERLIKQPELLHSSADREGYIAIIMPKPADWLKVKASLLSLEDFKKLRALC >KJB13985 pep chromosome:Graimondii2_0_v6:2:13414775:13420261:-1 gene:B456_002G104300 transcript:KJB13985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17220) UniProtKB/Swiss-Prot;Acc:Q9SHI1] MVIVVGTMPSSSLASLVNLGTLNATFINYFSEPISSSYYSCVRRVSLSKRSFSRKCKCKYSVAPTDFVAEANNSSSYKDSDEIVLKPAPKPVLKSEGVKNDKGLSWNAELSEGEDEDKEENERNKVIESLGEVLEKAEKLETSNVNVNVNVNKPKASGDGSGSGGGKKAKTLKSVWRKGDTVGIVQKVVKESPKVNDKKGEGKVESQGESAAAPLRPPQPPVRPQPKLQAKPAVAPPPVVKKPVILKDVGAGQKLESDTDGKSKERKPILIDKFASKKSVVDPVIAQAVLAPTKPGKGPAPGKFKDDYRKKNVSAGGPRRRIISDDLEIPDEETSELNVSIPGAANSRKGRKWSKARRKAARIQAAKEAAPVKVEILEVGEKGMSVEELAYNLAIGEGEILGYLYSKGIKPDGVQTLDKDMVKMVCKEYEVEVIDADPVKVEQMAKKKEIFDEDDLDKLQDRPPVLTIMGHVDHGKTTLLDVIRKSKVAASEAGGITQGIGAYKVLVPIDGKPQPCVFLDTPGHEAFGAMRARGARVTDIVIIVVAANDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQELSSVGLMPEVWGGDIPVVQISALKGQNIDDLLETVMLVAELQELKANPDRNAKGTIIEAGLHKSKGSVATFIVQNGTLKRGDVVVCGEAFGKVRALFDDGGNRVDEAGPSIPVQVIGLNNVPLAGDEFEVVDSLDVAREKAEACAELLRNERMSAKAGDGKVTLSSLASAVSAGKLSGLDLHQLNIILKVDLQVCSSDLHRGKHHARLSRS >KJB13984 pep chromosome:Graimondii2_0_v6:2:13411088:13420261:-1 gene:B456_002G104300 transcript:KJB13984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17220) UniProtKB/Swiss-Prot;Acc:Q9SHI1] MVIVVGTMPSSSLASLVNLGTLNATFINYFSEPISSSYYSCVRRVSLSKRSFSRKCKCKYSVAPTDFVAEANNSSSYKDSDEIVLKPAPKPVLKSEGVKNDKGLSWNAELSEGEDEDKEENERNKVIESLGEVLEKAEKLETSNVNVNVNVNKPKASGDGSGSGGGKKAKTLKSVWRKGDTVGIVQKVVKESPKVNDKKGEGKVESQGESAAAPLRPPQPPVRPQPKLQAKPAVAPPPVVKKPVILKDVGAGQKLESDTDGKSKERKPILIDKFASKKSVVDPVIAQAVLAPTKPGKGPAPGKFKDDYRKKNVSAGGPRRRIISDDLEIPDEETSELNVSIPGAANSRKGRKWSKARRKAARIQAAKEAAPVKVEILEVGEKGMSVEELAYNLAIGEGEILGYLYSKGIKPDGVQTLDKDMVKMVCKEYEVEVIDADPVKVEQMAKKKEIFDEDDLDKLQDRPPVLTIMGHVDHGKTTLLDVIRKSKVAASEAGGITQGIGAYKVLVPIDGKPQPCVFLDTPGHEAFGAMRARGARVTDIVIIVVAANDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQELSSVGLMPEVWGGDIPVVQISALKGQNIDDLLETVMLVAELQELKANPDRNAKGTIIEAGLHKSKGSVATFIVQNGTLKRGDVVVCGEAFGKVRALFDDGGNRVDEAGPSIPVQVIGLNNVPLAGDEFEVVDSLDVAREKAEACAELLRNERMSAKAGDGKVTLSSLASAVSAGKLSGLDLHQLNIILKVDLQGSIEAVRQALQVLPQDNVTLKFLLEATGDVSTSDVDLAVASKAIILGFNVKTPGPVKSYAENKGVEIRLYRVIYELIDDVRNAMEGLLEPVEEQVPIGSAEVRAVFSSGSGRVAGCMVTEGKIVDGCGIRVIRNGRTVHVGVLDSLRRVKEIVKEEV >KJB13983 pep chromosome:Graimondii2_0_v6:2:13411084:13420316:-1 gene:B456_002G104300 transcript:KJB13983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17220) UniProtKB/Swiss-Prot;Acc:Q9SHI1] MVIVVGTMPSSSLASLVNLGTLNATFINYFSEPISSSYYSCVRRVSLSKRSFSRKCKCKYSVAPTDFVAEANNSSSYKDSDEIVLKPAPKPVLKSEGVKNDKGLSWNAELSEGEDEDKEENERNKVIESLGEVLEKAEKLETSNVNVNVNVNKPKASGDGSGSGGGKKAKTLKSVWRKGDTVGIVQKVVKESPKVNDKKGEGKVESQGESAAAPLRPPQPPVRPQPKLQAKPAVAPPPVVKKPVILKDVGAGQKLESDTDGKSKERKPILIDKFASKKSVVDPVIAQAVLAPTKPGKGPAPGKFKDDYRKKNVSAGGPRRRIISDDLEIPDEETSELNVSIPGAANSRKGRKWSKARRKAARIQAAKEAAPVKVEILEVGEKGMSVEELAYNLAIGEGEILGYLYSKGIKPDGVQTLDKDMVKMVCKEYEVEVIDADPVKVEQMAKKKEIFDEDDLDKLQDRPPVLTIMGHVDHGKTTLLDVIRKSKVAASEAGGITQGIGAYKVLVPIDGKPQPCVFLDTPGHEAFGAMRARGARVTDIVIIVVAANDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQELSSVGLMPEVWGGDIPVVQISALKGQNIDDLLETVMLVAELQELKANPDRNAKGTIIEAGLHKSKGSVATFIVQNGTLKRGDVVVCGEAFGKVRALFDDGGNRVDEAGPSIPVQVIGLNNVPLAGDEFEVVDSLDVAREKAEACAELLRNERMSAKAGDGKVTLSSLASAVSAGKLSGLDLHQLNIILKVDLQGSIEAVRQALQVLPQDNVTLKFLLEATGDVSTSDVDLAVASKAIILGFNVKTPGPVKSYAENKGVEIRLYRVIYELIDDVRNAMEGLLEPVEEQVPIGSAEVRAVFSSGSGRVAGCMVTEGKIVDGCGIRVIRNGRTVHVGVLDSLRRVKEIVKEVNAGLECGMGVEDYDQWQEGDILEAFTTVQKKRTLEEASASMAAALEGVGVEL >KJB13986 pep chromosome:Graimondii2_0_v6:2:13417335:13420261:-1 gene:B456_002G104300 transcript:KJB13986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17220) UniProtKB/Swiss-Prot;Acc:Q9SHI1] MVIVVGTMPSSSLASLVNLGTLNATFINYFSEPISSSYYSCVRRVSLSKRSFSRKCKCKYSVAPTDFVAEANNSSSYKDSDEIVLKPAPKPVLKSEGVKNDKGLSWNAELSEGEDEDKEENERNKVIESLGEVLEKAEKLETSNVNVNVNVNKPKASGDGSGSGGGKKAKTLKSVWRKGDTVGIVQKVVKESPKVNDKKGEGKVESQGESAAAPLRPPQPPVRPQPKLQAKPAVAPPPVVKKPVILKDVGAGQKLESDTDGKSKERKPILIDKFASKKSVVDPVIAQAVLAPTKPGKGPAPGKFKDDYRKKNVSAGGPRRRIISDDLEIPDEETSELNVSIPGAANSRKGRKWSKARRKAARIQAAKEAAPVKVEILEVGEKGMSVEELAYNLAIGEGEILGYLYSKGIKPDGVQTLDKDMVKMVCKEYEVEVIDADPVKVEQMAKKKEIFDEDDLDKLQDRPPVLTIMGHVDHGKTTLLDVIRKSKVAASEAGGITQGIGAYKVLVPIDGKPQPCVFLDTPGHEAFGAMRARGARVTDIVIIVVAANDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQELSSVGLMPEVWGGDIPVVQISALKGQNIDDLLETVMLVAEVCTIIGFPAS >KJB14611 pep chromosome:Graimondii2_0_v6:2:21228995:21230988:1 gene:B456_002G133900 transcript:KJB14611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKLLFSTFTLLITCFFGFGIAQPCPRPRPCPSISPPPRPTRTVSYVRPRPPLYDPSLRLLPSQPNNNPGPLANRARILAITQELKRNITFDPQNYTPTWVGNNYCLFKGFICDTVPDLNITGLAGIDFNGARFGGNLNFYRFIQNLPDIAIFHANSNNFSGVINQGLGGLRYFYEIDLSNNKFIGGFPSNVLSAKNLTFVDLRFNNYLGTIPRSLFNFDTDVLFINNNVFGQGIPRNLGNTPALYLTLANNNFNGTIPRSIGAAWETMTEVLLLGNKLSGCLPFEIGYLNRTTVFDVGSNRLTGPIPESFGCMAKLQLLNMAHNRFYGAVPEVLCRLPNAFNFTLSNNYFTQVGPQCRRLIKLRRLNVNRNCIMGLPFQRSATDCANFFSKPRSCARESTFSIIPCRLPAASLRTRTVFQEDEVAPSPKTYNALEKPPH >KJB13057 pep chromosome:Graimondii2_0_v6:2:4821287:4825339:-1 gene:B456_002G054500 transcript:KJB13057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYDEKRLRDEVIYLHYLWEQGPPQTTNQTHQKRPRPSTQNPINRRKFAHSGSHPPPKPDPGLDWSVLLKPTSPSSPGWPEPKSKPDPMVRPVSIEDQARFANMQMQNKVLDGCKEFFKKRVRDEENDDGDDDDVDVDEEEEKNEVDMFFMRIFVNNSELRGYYEENHEKGEFFCLVCGGIGENLGKKFQGCVGLVQHCMSISKTKCKTGHRAFGLVVCKVLGWDIDRLPVILLKGEPLSRILANSSESQNTLQGEGSNKNVENLETGNSSGEVWEDASDSLGLGSSQVTTTGWPCIESIDVSTSTTPEWPSFEPCTASITHVISAEEQIRINMVQWQLNVFDACKQFLSTTAGSDSDEDDNEFDEDDLMDDDGSNDSNEFNFFLRLFTENNELRSYYETHCRDGDFWCLVCRGIGKKDWRIFKDCVGLIQHSTAISKTKRKQAHRAFGQVICKVLNWDVDHLPSIMLKNKPYSHSINHALTDKSKSESGSKEDVDAHQNNILLMNSENTLNEEPDKDANLENRALNIGANEDKPENPMKSSGENNTKSEADQ >KJB13054 pep chromosome:Graimondii2_0_v6:2:4821631:4825145:-1 gene:B456_002G054500 transcript:KJB13054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYDEKRLRDEVIYLHYLWEQGPPQTTNQTHQKRPRPSTQNPINRRKFAHSGSHPPPKPDPGLDWSVLLKPTSPSSPGWPEPKSKPDPMVRPVSIEDQARFANMQMQNKVLDGCKEFFKKRVRDEENDDGDDDDVDVDEEEEKNEVDMFFMRIFVNNSELRGYYEENHEKGEFFCLVCGGIGENLGKKFQGCVGLVQHCMSISKTKCKTGHRAFGLVVCKVLGWDIDRLPVILLKGEPLSRILANSSESQNTLQGEGSNKNVENLETGNSSGEVWEDASDSLDKGDDLNVILGDVEFVNADGNGSVKKEVSSDDESNGELMACNMSNNSLEEEVANENVESLEISDGEPIKGSVNDLGLGSSQVTTTGWPCIESIDVSTSTTPEWPSFEPCTASITHVISAEEQIRINMVQWQLNVFDACKQFLSTTAGSDSDEDDNEFDEDDLMDDDGSNDSNEFNFFLRLFTENNELRSYYETHCRDGDFWCLVCRGIGKKDWRIFKDCVGLIQHSTAISKTKRKQAHRAFGQVICKVLNWDVDHLPSIMLKNKPYSHSINHALTDKSKSESGSKEDVDAHQNNILLMNSENTLNEEPDKDANLENRALNIGANEDKPENPMKSSGENNTKSEADQ >KJB13056 pep chromosome:Graimondii2_0_v6:2:4821287:4825339:-1 gene:B456_002G054500 transcript:KJB13056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYDEKRLRDEVIYLHYLWEQGPPQTTNQTHQKRPRPSTQNPINRRKFAHSGSHPPPKPDPGLDWSVLLKPTSPSSPGWPEPKSKPDPMVRPVSIEDQARFANMQMQNKVLDGCKEFFKKRVRDEENDDGDDDDVDVDEEEEKNEVDMFFMRIFVNNSELRGYYEENHEKGEFFCLVCGGIGENLGKKFQGCVGLVQHCMSISKTKCKTGHRAFGLVVCKVLGWDIDRLPVILLKGEPLSRILANSSESQNTLQGEGSNKNVENLETGNSSGEVWEDASDSLDKGDDLNVILGDVEFVNADGNGSVKKEVSSDDESNGELMACNMSNNSLEEEVANENVESLEISDGEPIKGSVNDLVTTTGWPCIESIDVSTSTTPEWPSFEPCTASITHVISAEEQIRINMVQWQLNVFDACKQFLSTTAGSDSDEDDNEFDEDDLMDDDGSNDSNEFNFFLRLFTENNELRSYYETHCRDGDFWCLVCRGIGKKDWRIFKDCVGLIQHSTAISKTKRKQAHRAFGQVICKVLNWDVDHLPSIMLKNKPYSHSINHALTDKSKSESGSKEDVDAHQNNILLMNSENTLNEEPDKDANLENRALNIGANEDKPENPMKSSGENNTKSEADQ >KJB13055 pep chromosome:Graimondii2_0_v6:2:4821969:4825145:-1 gene:B456_002G054500 transcript:KJB13055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYDEKRLRDEVIYLHYLWEQGPPQTTNQTHQKRPRPSTQNPINRRKFAHSGSHPPPKPDPGLDWSVLLKPTSPSSPGWPEPKSKPDPMVRPVSIEDQARFANMQMQNKVLDGCKEFFKKRVRDEENDDGDDDDVDVDEEEEKNEVDMFFMRIFVNNSELRGYYEENHEKGEFFCLVCGGIGENLGKKFQGCVGLVQHCMSISKTKCKTGHRAFGLVVCKVLGWDIDRLPVILLKGEPLSRILANSSESQNTLQGEGSNKNVENLETGNSSGEVWEDASDSLDKGDDLNVILGDVEFVNADGNGSVKKEVSSDDESNGELMACNMSNNSLEEEVANENVESLEISDGEPIKGSVNDLGLGSSQVTTTGWPCIESIDVSTSTTPEWPSFEPCTASITHVISAEEQIRINMVQWQLNVFDACKQFLSTTAGSDSDEDDNEFDEDDLMDDDGSNDSNEFNFFLRLFTENNELRSYYETHCRDGDFWCLVCRGIGKKDWRIFKDCVGLIQHSTAISKTKRKQAHRAFGQVICKVLNWDVDHLPSIMLKNKPYSHSINHALTDKSKSESGSKEDVDAHQNNILLMNSEVSNAPILT >KJB13698 pep chromosome:Graimondii2_0_v6:2:11286826:11289478:-1 gene:B456_002G089200 transcript:KJB13698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLLSRIAGFFSNRTFIGVDKAGNRYFTRKEEIDGILKEKRWVEFKGEQDPTSISVEWICWLNGQRKVAPTPEEMMELEARRERVRLNVALLKKEEEERKAREGSRKAVSLGKVGGPDLKSFIRQFPSASEGIIFFY >KJB13697 pep chromosome:Graimondii2_0_v6:2:11286754:11289535:-1 gene:B456_002G089200 transcript:KJB13697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLLSRIAGFFSNRTFIGVDKAGNRYFTRKEEIDGILKEKRWVEFKGEQDPTSISVEWICWLNGQRKVAPTPEEMMELEARRERVRLNVALLKKEEEERKAREGSRKAVSLGKVGGPDLKSFIRQFPSASEGDKVEQASDGRIKETHEEKEEPIPESSEPTGSGATYKPGTWQPPT >KJB13637 pep chromosome:Graimondii2_0_v6:2:10939546:10942981:-1 gene:B456_002G086000 transcript:KJB13637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILFVHLPNLNTLHLESVGFLNDGSVENFLSGCSSLEDMQVKMENVDRISGLHDSILIHILSFLSAKQVIRTSILSTRWRYLFALLPNLHFDFEGDLWRRVYTTMIDPDRFNAWISAAVHRGVKHLDLNISPGKFTTRPAVLFTCRTLVTLKLCMEFDFVLDVPKGAHFPNLKTIYLEEVNFLNDDSVKSLLSGCTSLEDLVIEKFLMSNISNFNISHHLLKRLTLLYTYQSDYGWITIDAPNLVYLEYDDELVAGYSLQNLQSLVKADIDISNSLEIDGSTFFRGICNVRSLILSDTSLELLLSCEPLPVFANLVKLKIHCSNDLRPYYDQWEKGLETLLSSLPELEKLEFNQEALISLPEKVPSCLLSKLKSIKISGFTDERDCIGKAKYFLKNGRALEKLTIRTALRYSEERKSKISKVLSASPWESKHFCIFIF >KJB14683 pep chromosome:Graimondii2_0_v6:2:23125005:23126434:1 gene:B456_002G137300 transcript:KJB14683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDDICSSGLGLGLGWNVKENFSQSDHQQKKKKSLVRDEHFFPSLTLGPSSDDDARKAHGDDQQASSVTALSSFSNSSVKKEGDVEFERVSSRVGDEDDEGCHRKKLRLTKHQSAILEDRFKEHATLCPKQKQALAAKLDLRPRQVEVWFQNRRARTKLKQTEVDCELLKKCCETLTEENKRLQKELQELKSWKLTASYCMQLPAAATLTMCPSCERVANGGDGPPATTTSIPFTIGPKSHFINSLSHPSAAC >KJB15287 pep chromosome:Graimondii2_0_v6:2:42069078:42070016:1 gene:B456_002G168900 transcript:KJB15287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNIKESTVSMKKKGLFSAPLVKLKSPHGTLLICYMLIKYTITILYASQTTETTTKDSKKSRRKKVKDMGGEGSSRQSKTTQESDTLSEAGSLISCDSEFVEGEASLQKKDSLSQGSGSKTQKSKGRNKRSLLQKYRYHCNSAKISKIYNHVLNK >KJB15713 pep chromosome:Graimondii2_0_v6:2:51922925:51924581:1 gene:B456_002G192000 transcript:KJB15713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVKLAWVINVSFRRIGLKRKRFELLKKIGELSIQCSFKDGVIIYSLENNEPMVWPLPDEKKTPLVNDIEARYSFPSPGWVSRHHMTGVMILMADYFIGGFGVGVTTSEAFHRLLGLD >KJB12416 pep chromosome:Graimondii2_0_v6:2:1085989:1088433:1 gene:B456_002G016600 transcript:KJB12416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLPPSVPSQTAAAAARFARIPSSVSLKSTSSSFKIPHGQQQQGQLENLHLVSLSKQGKLKEARDFLKQMDEAGVLVNSHSYESLLEACGKMASLSDGRLIHRRLGNPSRYLENCVLKMYCACESFRDAEKLFDEMLEKDVASWGILISGYSQKGRLKEAFRLCTRMVELGIGLNSTVFTNLLKSLSDPSVLEIGEQLHSLVIRTGLSTNVSVITAISNMYVKCRQLQRAKLVFDQMVETNAVAWTGLMMGYTRAGKQKDALALFIRMLKEGVEMDQFVFSITLKACSGLEYLNLGRQIHGYIVKLGLESDVSVGTPVVDLYIKCSYFDSARRAFKRISEPNDASWSAIITGYCQIGEFEKSLQIFRSLRLKDMAMNSFIYTSIIQACSALADYNMGAQAHADAIKRGLVSYVHGESAMITFYSKCGRLDYANQAFESIDEPDTVAWTAIICGHAYHGNASEALKLFRRMQSSTARPNDVTFIGVLTACSHSGLVTEAKLYLESMSREYGVDPTIDHYDCMVDAYARAGLLQEAYELVKNMPFEPDAMSWKCLLGGCWIHRNLELGEIAAENLLQLDPDDTAGYILMFNLYGLHGKWDEAARVRSVMGVRKLKKELSCSWITVKGKVHRFVVGDKHHPRTDDIYRKLKELNCSVTDVESIHLTEEDVSFGLPERKQLLMEHSERLAIAFGLISVPNNVPIVIFKNLRACKHCHDFAKHVSVVTGHRITIRDSCRFHHFHLGKCSCDDYW >KJB16443 pep chromosome:Graimondii2_0_v6:2:59035541:59039149:1 gene:B456_002G231200 transcript:KJB16443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQNQNMKILCLHGFRTSGKFLQKQISKWDPSLFLHHFQMDFPDGLFSAGGKSDIEGIFPPPYFEWFQFNKEFTEYTNLEECISHLCQYITHNGPFHGLLGFSQGGKVLKEHPPFKMFVSISGSKFREPSICEVAYKDKINVKSVHFIGAKDWLKLPSEDLATAFHDPLIIRHPQGHTVPRLDEVSIEQLRKWIANILFDNNDGISKGEEHDEQETLKVDTPKELQNNIIDDGNNNQINAVEIATSEEEIPKEMQNKIIISNNQINASEFAGKELKEVIQDKSINTQIDASQDAL >KJB16442 pep chromosome:Graimondii2_0_v6:2:59035541:59037108:1 gene:B456_002G231200 transcript:KJB16442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQNQNMKILCLHGFRTSGKFLQKQISKWDPSLFLHHFQMDFPDGLFSAGGKSDIEGIFPPPYFEWFQFNKEFTEYTNLEECISHLCQYITHNGPFHGLLGFSQGATLGALLLGYKAQGKVLKEHPPFKMFVSISGSKFREPSICEVAYKDKINVKSVHFIGAKDWLKLPSEDLATAFHDPLIIRHPQGHTVPRLGTYLNARFCFAFSYTVLDSYF >KJB16441 pep chromosome:Graimondii2_0_v6:2:59035301:59039247:1 gene:B456_002G231200 transcript:KJB16441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQNQNMKILCLHGFRTSGKFLQKQISKWDPSLFLHHFQMDFPDGLFSAGGKSDIEGIFPPPYFEWFQFNKEFTEYTNLEECISHLCQYITHNGPFHGLLGFSQGATLGALLLGYKAQGKVLKEHPPFKMFVSISGSKFREPSICEVAYKDKINVKSVHFIGAKDWLKLPSEDLATAFHDPLIIRHPQGHTVPRLDEVSIEQLRKWIANILFDNNDGISKGEEHDEQETLKVDTPKELQNNIIDDGNNNQINAVEIATSEEEIPKEMQNKIIISNNQINASEFAGKELKEVIQDKSINTQIDASQDAL >KJB15392 pep chromosome:Graimondii2_0_v6:2:44929915:44932377:1 gene:B456_002G176100 transcript:KJB15392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLLALPFLITYFFTCVPLGNAAATITSCTQTPYPDVCNHFMGNGVNVATSSLALDQTRFSFRDLAIQVTLNQAVQAHRLVSTMDLTSFNERAKLAWNDCLELYQNTISHLNRSKSTNSPLDAQTWLSAAIANHQTCQNGFIDFNLASHLQTLPPMLANFSKLISNSLAINKPTISVTAKQVGNRRLFAHGFPTWLSGADRKLLQKIGAPLNADIVVAQDGSGNFKTISEAVAAAGGAKRTVIHVKAGVYNENVDIQRSARNIMLIGDGIGATVVTGNKNAQTTTTFRTATFAVVGDGFIARDITFENTAGPQKHQAVALRSGSDFSVFYRCSFEGYQDTLYVYSQRQFYRDCDIYGTVDFIFGDAVAVFQNCNIYIRRPMNSQINTITAQARTDPNENTGIIVHNSRVTAASDLRAVQGSFKNYLGRPWQKYSRTVFMKTGLDGLIEAEGWLPWSGNFGLSSLYYAEHMNTGVGASTGGRVKWGGYHVIDAVEAEKFTVGNFLAGNAWIPGTGVPFDVGL >KJB12622 pep chromosome:Graimondii2_0_v6:2:2078951:2084426:-1 gene:B456_002G027800 transcript:KJB12622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNERKTIELEQGWEFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSSVLPSLREKHDEFMLRELVKRWTNHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRELVYQEVNAKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEATMLKDTAAYYSRKAANWIVDDSCPDYMLKAEECLKREKDRVSNYLHSSSEPKLLEKVQHELLSVWANRLLDKEHSGCHALLRDDKVEDLSRMFRLFSKIPRGLDPVSSTFKQHVTAEGTALVKLAEDAASTKKAEKRDVVGLQEQVFVRKVIELHDKYLAYMNDCFQNHTLFHKALKEAFEAFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTNFEEYLSNNPNANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMIKCVEVFRDFYQTKTKHRKLTWMYSLGTCNLIGKFEPKTMELIVTTYQASALLLFNSSDRLSYSEIMIQLNLTDDDVVRLLHSLSCAKYKILNKEPSTKTISSTDQFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKVIKKRIEDLITRDYLERDKDNPNMFRYLA >KJB12623 pep chromosome:Graimondii2_0_v6:2:2078940:2084458:-1 gene:B456_002G027800 transcript:KJB12623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNERKTIELEQGWEFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSSVLPSLREKHDEFMLRELVKRWTNHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRELVYQEVNAKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEATMLKDTAAYYSRKAANWIVDDSCPDYMLKAEECLKREKDRVSNYLHSSSEPKLLEKVQHELLSVWANRLLDKEHSGCHALLRDDKVEDLSRMFRLFSKIPRGLDPVSSTFKQHVTAEGTALVKLAEDAASTKKAEKRDVVGLQEQVFVRKVIELHDKYLAYMNDCFQNHTLFHKALKEAFEAFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTNFEEYLSNNPNANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMIKCVEVFRDFYQTKTKHRKLTWMYSLGTCNLIGKFEPKTMELIVTTYQASALLLFNSSDRLSYSEIMIQLNLTDDDVVRLLHSLSCAKYKILNKEPSTKTISSTDQFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKVIKKRIEDLITRDYLERDKDNPNMFRYLA >KJB15066 pep chromosome:Graimondii2_0_v6:2:34574290:34577353:1 gene:B456_002G158400 transcript:KJB15066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLNPIPMSRSLIQRISPFFIARIKQNHKLLSSSFSSSSSALHEASSESPSPSLDAVHMTDNCIKRMKELQASEQSSDQMLRLSVETGGCSGFQYVFDLDDKTNPDDRVFVREGVKLVVDDISYDFVKGATIDYVEELIRSAFVVTTNPSAVGGCSCKSSFMVKQ >KJB13561 pep chromosome:Graimondii2_0_v6:2:10196634:10200058:1 gene:B456_002G080900 transcript:KJB13561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRGVVLKHLRVNATSLSLLRNPKPIPNGHGALTFNAIRRRFSDDVMGSFLDKSEVTDRVVSVVKNFQKIDPSKVTPNAHFQEDLGLDSLDTVEVVMALEEEFGFEIPDNEADKISTISHAVEFIASHPQAK >KJB13560 pep chromosome:Graimondii2_0_v6:2:10196742:10200010:1 gene:B456_002G080900 transcript:KJB13560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHLRVNATSLSLLRNPKPIPNGHGALTFNAIRRRFSDDVMGSFLDKSEVTDRVVSVVKNFQKIDPSKVTPNAHFQEDLGLDSLDTVEVVMALEEEFGFEIPDNEADKISTISHAVEFIASHPQAK >KJB13559 pep chromosome:Graimondii2_0_v6:2:10196742:10200010:1 gene:B456_002G080900 transcript:KJB13559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRGVVLKHLRVNATSLSLLRNPKPIPNGHGALTFNAIRRRFSDDVMGSFLDKSEVTDRVVSVVKNFQKIDPSKVTPNAHFQEDLGLDSLDTVEVVMALEEEFGFEIPDNEADKISTISHAVEFIASHPQAK >KJB15692 pep chromosome:Graimondii2_0_v6:2:51713648:51717450:1 gene:B456_002G191200 transcript:KJB15692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAVHPNSGFCSKTMTFHSLRPPVPLPPESAPVSLTDFIFSLLNSSPPSPTAAAIIDADTRRRVLYPELIFRLENLASSLRAQFGLSKGDCALVFSPNNIYTPILYLSLFSLGVVISPINPAAMVPEIQHQILLSKPVIAFASSNSAHKLPLLKHGIVNIDSVEFESLMENQRGKKERAGIKVKQSDVATILYSSGTTGPVKGVALTHRNWTANIAGGMRPVRTIQTVGYCTVPLFHAYGMALSLRLMASGECLVITGGNRRFDMRKMYSVIEEYRVSQLALAPPLVVTMAGDAEVMDGYDLSSLEVVICGGAHLSKSTIERLKNRLPKVQLAQSYGLTETTGRVFATLGPDETRTEGATGKLMANCEAKVVDPETGAALPPMKPGELWVRGDLVMKGYVDDEEATAAVLGREGWLRTGDLCYINNQGYLFFVDRIKELIKYKGYQVAPAELEHLLNGHPDVVEAAVVPYPDEEAGQVPVAFVVRQPGSNIVGSEIKDFVAKQVSHYKRIRRVIFIDSLPRNASGKVLRKELVIKLSTPTSKL >KJB12425 pep chromosome:Graimondii2_0_v6:2:1150209:1150984:-1 gene:B456_002G017300 transcript:KJB12425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFCFLVDQTKKVSTTKPAAGICSRCGGGARVADMKTSTRFCYVPFYKKSWRAIICTFCGAILRSYR >KJB16000 pep chromosome:Graimondii2_0_v6:2:55427424:55431107:-1 gene:B456_002G207800 transcript:KJB16000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGNVRGRATGSFHPPPKQQSRDSDASSRPSSIGMGRAISAAEPYSDRAFQVATIRSINAFLSSHSIHPISTKPSQAPSAKDLSNILTSLLSMIDFPCSKLEDDLSFLLKSLNCPFKFNKSTFRAPNSLHNWPNWLGILHWLVQLAIFNEHISQNSTSSFAQNNSMNEYALESYMRYIQGEDDILEVLDKEFMEKVEKERENVAESNRALEKSVGELEAKAEALRTGPTEREALEKEKNVLEEDVKKFHAMIAEFTGRIDAMEQVLEEKEKELNAKEEERKRICEENEGFEKRVELQTFNARDIERMKREMQAVERDISEAEIARNSWEDKSWDLDYTIGQKFKELVALAMDCNQAIRRLKLGKGFQYEVNPKGSTPAEVIGINYKATLKSELESYAEKIRESSKEKFEDVIILQQQSKEMDIKIENQKYRIVVLQSHIDEVEAQINLSKKEMQENGDRSTAEAKKMVEDIQIEAHKLDVTEREAAEILKASQLRLQEEIQQSEEETQMHARELFMLVDSLSKYKEHVESKISEMRISLSETTAAVSDAYRGTLPAQIHW >KJB16001 pep chromosome:Graimondii2_0_v6:2:55427522:55431107:-1 gene:B456_002G207800 transcript:KJB16001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGNVRGRATGSFHPPPKQQSRDSDASSRPSSIGMGRAISAAEPYSDRAFQVATIRSINAFLSSHSIHPISTKPSQAPSAKDLSNILTSLLSMIDFPCSKLEDDLSFLLKSLNCPFKFNKSTFRAPNSLHNWPNWLGILHWLVQLAIFNEHISQNSTSSFAQNNSMNEYALESYMRYIQGEDDILEVLDKEFMEKVEKERENVAESNRALEKSVGELEAKAEALRTGPTEREALEKEKNVLEEDVKKFHAMIAEFTGRIDAMEQVLEEKEKELNAKEEERKRICEENEGFEKRVELQTFNARDIERMKREMQAVERDISEAEIARNSWEDKSWDLDYTIGQKFKELVALAMDCNQAIRRLKLGKGFQYEVNPKGSTPAEVIGINYKATLKSELESYAEKIRESSKEKFEDVIILQQQSKEMDIKIENQKYRIVVLQSHIDEVEAQINLSKKEMQENGDRSTAEAKKMVEDIQIEAHKLDVTEREAAEILKASQLRLQEEIQQSEEETQMHARELFMLVDSLSKYKEHVESKISEMRISLSETTAAVSDAYRGTLPAQIHW >KJB13211 pep chromosome:Graimondii2_0_v6:2:7391046:7394051:1 gene:B456_002G062600 transcript:KJB13211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQALSRALLSKPKALSSLLFPSSRSFSSFSSSSSAASSTKTLITPSPPPSLSFLRRLRAPPYYSLLRGSLSPAVKSFSTRAARSSLNDPNPNYSNRPPKETILLDGCDFEHWLVVMEPPKEDATRDDIIDSYIKTLAQVVGSEDEARMKIYSVSTRHYYAFGALVSEEVSYKIKELPGVRWVLPDSYLDVKNKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNRRNDRPRNYDRSRNYERRRENMNTRDSQMPPQNQGMQNVAPKTAGMPPNNMGGMPPRNMGGMPPQNMGGMPPQNMGGMPPNNMGRMPPNNMGGMTPNQGWSGNMPGNAPNFQTGPNYGNAPGNAQNFQPGPGPNYGNTPYQGATPNAQYHQNNYPPNVGGGNMPGGNYQS >KJB16958 pep chromosome:Graimondii2_0_v6:2:61879161:61882206:1 gene:B456_002G256800 transcript:KJB16958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVPEYTKLGGHGLAFTIAASKDLKALPRQYLGILNATNMGNSSDNLVAVEFDTVQNLEFQDINDNHVGIDINSLNSTASVPAGYYIDGVGLVKQNVSLKSGETILVWIEYDSVEKLVNVTISLSSKKPSLPILQLKVGALK >KJB13006 pep chromosome:Graimondii2_0_v6:2:4397479:4398042:1 gene:B456_002G050800 transcript:KJB13006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQMIFAWAIIFCLAVAPVHGQFYSRTVKAGPRMEKITRLHFFFHDIRSGENATAIPIASPNTTQDTPTYGLYLDLSRERTQFTAIFYADFAFTTGRFNGSSFSLFSRFSAEDDPSTIREMAIVGGRGAFRMATGFALLRPVWSNTIGDAIVEFNVTLYHY >KJB12597 pep chromosome:Graimondii2_0_v6:2:1997506:1997998:-1 gene:B456_002G026200 transcript:KJB12597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLKDVETRKKEENDLENLFVLQCHMVDRIGVRIDQRLVIRCQTSIDETKDDLLYKSGHLREGDSLPSSSSRPPSALPFSSSSYTKEPDHITIIFCSLLVLSINELCSYR >KJB12284 pep chromosome:Graimondii2_0_v6:2:637927:638615:-1 gene:B456_002G009800 transcript:KJB12284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFAVAKTKILMLREAKRSFERPISKIAGAGRETIEKSRKSSSNSNSSNDIKDSWCWVPHPKSGIYFPEGHEWVMKDVPEGAASLNQTYWLRNVEGVDKLEPSEHEYFSSDHHYSHANM >KJB14814 pep chromosome:Graimondii2_0_v6:2:26604679:26605071:1 gene:B456_002G145300 transcript:KJB14814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSILSNFSSKVGIGVANKENIVGDDLGDNSVGPVDELDVNIKHTEASVIETDDLKKRPRSTVDDTVDGKPFGGDAVSSINTSVSTSFLSAGLADNNDLP >KJB13860 pep chromosome:Graimondii2_0_v6:2:12560022:12562197:-1 gene:B456_002G098500 transcript:KJB13860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSISSTLIPSLPIQNASSPKVKFTFLSHSPPLSFNHLKLCNNSQLSQSPTKLFASPEALEDTPEVGVSEVPSSSYVAEADKAAPKQKIRIKLRSYWVPLIEDSCKQIIDAARTTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >KJB11859 pep chromosome:Graimondii2_0_v6:2:55452302:55465118:1 gene:B456_002G207900 transcript:KJB11859 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MATTTESSLLSDLQTSDDSKGIHSLVSDYLHPISTLANSKKKKPDQAAVRSLAKQFLSFLSKSFPIIYKRIYIQNPNQQQHASLSYFFETYRLCITCLEFVSSQLAGGAHLVQIQRLKLVYCLQSWGRYDEAESEALRVLERLRGEVKSKGKFVPGIDIAGGDSKFGSIVVEAVASVVKSVAMGHCKDSGKYERVLALLEQVRPWCSDPSIASDVECKNSLPCKDKKACLVMYYNTLKFLCQPLAELVNSEKKRILAETEASSDSSSLCIIQDAFYQFCDSFFSLESCASETKREGFDDGEEVLVPSIIVAGFILSTCTKCKIPKSVCYIKQIIGSGRIQSQGLKYVYVSLYNIGVLMYRSKQIKEALKALKLSHRASWTNIQLLREMFIHKKSSADHLSEDVVRDLVTDACTRSAFLLEVLHACGNLKVEKIIVESLENWSALENLFRQLSGPMPLIKQWVKIQCKLHKNKDLEDSAPTLCCMLLSSAEVSKMAICKILEQELLAYQEMTHGYPDFCQRMQIKVINLLLQDAYAIEDSPLLKARMLIRKGRTIRANGIEALKDCIWCLSEAISIMKNFYSETSIAGTPACHQLAAAYCLLALCTQEAEPNSEQLYQDIYAALDLWLSIFIPDSCFVDDEFKMVSGNTLQLLYNVLDLLSVKDPVRSHSLFLAGYLYYDLCERQICRGRLFEGLSYAKEAFRLRSQLFKRKFTFSIEALVEKYNESGEIGEIAQTAINGPKTLQVHRIVASELWSFDSSSSNLCGCYLSPWNVLQCYIESILQVGCINEMIGNGVEAESFLLWGKSISCSQNLPLFEATFSSILGKLYRKKRLWNFAEQELQSAKRILVDSSSHCSCIRCRLMLEANLDQQLESNVDLAVRNTIINGEDVAGNAATQPEAIGARKGRKTKNVSKSVMKEQHVIPERRSSRVTRSRFRSSQNQSTTCTGEAQIEISKHSNGNVASKLSDTCWEKDSSLLGKGSCMEELTSEIACFCNQTKCWHCLPAEIMKSGLLIYFINMKWEYAHRKLLVRILTGTGKCLGYGDQTHEIHEVVWQSISVLVSRNAYTQTCSSAHRTFLLDLIGRETVGDTFAIERAAILYRIGWMTLKGFHSKVARIDCCDLSKVQLSKTVHWLKLAFVLSREVPVLFQKVSRLLSAIYLLSATSEHFALPSCKELSESHWASYFHQASLGTHLNNQFFPSTSGRSNAQRFVDSGDLNGVVSSCAHTETSTLLRLAPGSVNDLEQFVMNFYVGLPGTAIICISLLGHDYTNLLQELLLYPSSIHAWLLLSRLNSKNQPVVLLLPLDSVLEEVSDDAAPNDDNARACQELRQLMNSGKKWHCPWGSTVVDNVAPAFKMILEENFMTSSGCPLEDTKSTRSLWWMVRKKVDHQLGKLLSNLEDSWLGPWRHVLLGDCLDCRSLNTVHKKLVQDLKSKCKMDINESYLKLVLGAAKFDIEEACLSLRCLRKGCYTGKLEHHEQENSQTNGIDDVSALASQLIREAVNELHMEDAICREPIILVLDLEVQMLPWESIPILRQQEVYRMPSVGSISIILERSQRYHELACTNAAAFPLIDPLDAFYLLNPSGDLSSTQAEFENWFRDQNFEGKAGTVPTAEELATALKSHDLYLYFGHGSGEQYLSKDEIQGLEKCAATVLMGCSSGSLRLNGCYVPRGVSLSYIQAGSPVTIANLWEVTDKDIDRFGKAVLNAWLRERMDLVDCSQCNQLVKEFEAMKIKGRKGNSRKKSASSNLTETANSGSSTNACEHRPTVGSFVGRARESCTLPFLNGASPVCYGVPTGIMKKKDL >KJB11858 pep chromosome:Graimondii2_0_v6:2:55452302:55465118:1 gene:B456_002G207900 transcript:KJB11858 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MATTTESSLLSDLQTSDDSKGIHSLVSDYLHPISTLANSKKKKPDQAAVRSLAKQFLSFLSKSFPIIYKRIYIQNPNQQQHASLSYFFETYRLCITCLEFVSSQLAGGAHLVQIQRLKLVYCLQSWGRYDEAESEALRVLERLRGEVKSKGKFVPGIDIAGGDSKFGSIVVEAVASVVKSVAMGHCKDSGKYERVLALLEQVRPWCSDPSIASDVECKNSLPCKDKKACLVMYYNTLKFLCQPLAELVNSEKKRILAETEASSDSSSLCIIQDAFYQFCDSFFSLESCASETKREGFDDGEEVLVPSIIVAGFILSTCTKCKIPKSVCYIKQIIGSGRIQSQGLKYVYVSLYNIGVLMYRSKQIKEALKALKLSHRASWTNIQLLREMFIHKKSSADHLSEDVVRDLVTDACTRSAFLLEVLHACGNLKVEKIIVESLENWSALENLFRQLSGPMPLIKQWVKIQCKLHKNKDLEDSAPTLCCMLLSSAEVSKMAICKILEQELLAYQEMTHGYPDFCQRMQIKVINLLLQDAYAIEDSPLLKARMLIRKGRTIRANGIEALKDCIWCLSEAISIMKNFYSETSIAGTPACHQLAAAYCLLALCTQEAEPNSEQLYQDIYAALDLWLSIFIPDSCFVDDEFKMVSGNTLQLLYNVLDLLSVKGYTKLHSSIYKLIIRIYKLNNVELGICVANLWECRRLSHALCVSPVNESFITNLSEHCGGSSKSVDFWMHSLSGSQPGLLGFQQNLTCFFNNFNHGLKNPESDIQSAVSANNVNLVASELIASDPVRSHSLFLAGYLYYDLCERQICRGRLFEGLSYAKEAFRLRSQLFKRKFTFSIEALVEKYNESGEIGEIAQTAINGPKTLQVHRIVASELWSFDSSSSNLCGCYLSPWNVLQCYIESILQVGCINEMIGNGVEAESFLLWGKSISCSQNLPLFEATFSSILGKLYRKKRLWNFAEQELQSAKRILVDSSSHCSCIRCRLMLEANLDQQLESNVDLAVRNTIINGEDVAGNAATQPEAIGARKGRKTKNVSKSVMKEQHVIPERRSSRVTRSRFRSSQNQSTTCTGEAQIEISKHSNGNVASKLSDTCWEKDSSLLGKGSCMEELTSEIACFCNQTKCWHCLPAEIMKSGLLIYFINMKWEYAHRKLLVRILTGTGKCLGYGDQTHEIHEVVWQSISVLVSRNAYTQTCSSAHRTFLLDLIGRETVGDTFAIERAAILYRIGWMTLKGFHSKVARIDCCDLSKVQLSKTVHWLKLAFVLSREVPVLFQKVSRLLSAIYLLSATSEHFALPSCKELSESHWASYFHQASLGTHLNNQFFPSTSGRSNAQRFVDSGDLNGVVSSCAHTETSTLLRLAPGSVNDLEQFVMNFYVGLPGTAIICISLLGHDYTNLLQELLLYPSSIHAWLLLSRLNSKNQPVVLLLPLDSVLEEVSDDAAPNDDNARACQELRQLMNSGKKWHCPWGSTVVDNVAPAFKMILEENFMTSSGCPLEDTKSTRSLWWMVRKKVDHQLGKLLSNLEDSWLGPWRHVLLGDCLDCRSLNTVHKKLVQDLKSKCKMDINESYLKLVLGAAKFDIEEACLSLRCLRKGCYTGKLEHHEQENSQTNGIDDVSALASQLIREAVNELHMEDAICREPIILVLDLEVQMLPWESIPILRQQEVYRMPSVGSISIILERSQRYHELACTNAAAFPLIDPLDAFYLLNPSGDLSSTQAEFENWFRDQNFEGKAGTVPTAEELATALKSHDLYLYFGHGSGEQYLSKDEIQGLEKCAATVLMGCSSGSLRLNGCYVPRGVSLSYIQAGSPVTIANLWEVTDKDIDRFGKAVLNAWLRERMDLVDCSQCNQLVKEFEAMKIKGRKGNSRKKSASSNLTETANSGSSTNACEHRPTVGSFVGRARESCTLPFLNGASPVCYGVPTGIMKKKDL >KJB11857 pep chromosome:Graimondii2_0_v6:2:55452302:55464986:1 gene:B456_002G207900 transcript:KJB11857 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MATTTESSLLSDLQTSDDSKGIHSLVSDYLHPISTLANSKKKKPDQAAVRSLAKQFLSFLSKSFPIIYKRIYIQNPNQQQHASLSYFFETYRLCITCLEFVSSQLAGGAHLVQIQRLKLVYCLQSWGRYDEAESEALRVLERLRGEVKSKGKFVPGIDIAGGDSKFGSIVVEAVASVVKSVAMGHCKDSGKYERVLALLEQVRPWCSDPSIASDVECKNSLPCKDKKACLVMYYNTLKFLCQPLAELVNSEKKRILAETEASSDSSSLCIIQDAFYQFCDSFFSLESCASETKREGFDDGEEVLVPSIIVAGFILSTCTKCKIPKSVCYIKQIIGSGRIQSQGLKYVYVSLYNIGVLMYRSKQIKEALKALKLSHRASWTNIQLLREMFIHKKSSADHLSEDVVRDLVTDACTRSAFLLEVLHACGNLKVEKIIVESLENWSALENLFRQLSGPMPLIKQWVKIQCKLHKNKDLEDSAPTLCCMLLSSAEVSKMAICKILEQELLAYQEMTHGYPDFCQRMQIKVINLLLQDAYAIEDSPLLKARMLIRKGRTIRANGIEALKDCIWCLSEAISIMKNFYSETSIAGTPACHQLAAAYCLLALCTQEAEPNSEQLYQDIYAALDLWLSIFIPDSCFVDDEFKMVSGNTLQLLYNVLDLLSVKGYTKLHSSIYKLIIRIYKLNNVELGICVANLWECRRLSHALCVSPVNESFITNLSEHCGGSSKSVDFWMHSLSGSQPGLLGFQQNLTCFFNNFNHGLKNPESDIQSAVSANNVNLVASELIASDPVRSHSLFLAGYLYYDLCERQICRGRLFEGLSYAKEAFRLRSQLFKRKFTFSIEALVEKYNESGEIGEIAQTAINGPKTLQVHRIVASELWSFDSSSSNLCGCYLSPWNVLQCYIESILQVGCINEMIGNGVEAESFLLWGKSISCSQNLPLFEATFSSILGKLYRKKRLWNFAEQELQSAKRILVDSSSHCSCIRCRLMLEANLDQQLESNVDLAVRNTIINGEDVAGNAATQPEAIGARKGRKTKNVSKSVMKEQHVIPERRSSRVTRSRFRSSQNQSTTCTGEAQIEISKHSNGNVASKLSDTCWEKDSSLLGKGSCMEELTSEIACFCNQTKCWHCLPAEIMKSGLLIYFINMKWEYAHRKLLVRILTGTGKCLGYGDQTHEIHEVVWQSISVLVSRNAYTQTCSSAHRTFLLDLIGRETVGDTFAIERAAILYRIGWMTLKGFHSKVARIDCCDLSKVQLSKTVHWLKLAFVLSREVPVLFQKVSRLLSAIYLLSATSEHFALPSCKELSESHWASYFHQASLGTHLNNQFFPSTSGRSNAQRFVDSGDLNGVVSSCAHTETSTLLRLAPGSVNDLEQFVMNFYVGLPGTAIICISLLGHDYTNLLQELLLYPSSIHAWLLLSRLNSKNQPVVLLLPLDSVLEEVSDDAAPNDDNARACQELRQLMNSEDTKSTRSLWWMVRKKVDHQLGKLLSNLEDSWLGPWRHVLLGDCLDCRSLNTVHKKLVQDLKSKCKMDINESYLKLVLGAAKFDIEEACLSLRCLRKGCYTGKLEHHEQENSQTNGIDDVSALASQLIREAVNELHMEDAICREPIILVLDLEVQMLPWESIPILRQQEVYRMPSVGSISIILERSQRYHELACTNAAAFPLIDPLDAFYLLNPSGDLSSTQAEFENWFRDQNFEGKAGTVPTAEELATALKSHDLYLYFGHGSGEQYLSKDEIQGLEKCAATVLMGCSSGSLRLNGCYVPRGVSLSYIQAGSPVTIANLWEVTDKDIDRFGKAVLNAWLRERMDLVDCSQCNQLVKEFEAMKIKGRKGNSRKKSASSNLTETANSGSSTNACEHRPTVGSFVGRARESCTLPFLNGASPVCYGVPTGIMKKKDL >KJB13361 pep chromosome:Graimondii2_0_v6:2:8134088:8137079:1 gene:B456_002G070600 transcript:KJB13361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSIFSTSSKLLIFCTSLLFIIFFMCLNVDSVTATESDYGLHCDSVVHESKPVDEEFNISPFPGRQNGYYSGGDNVLNRSSDGYYYGPASKVLVFETHHVYTTNAEDVYKVEGNLIFETSYYYERSFSNGREYYHSYSSDSSSRGALEFGLHGFWSRTTGKLCMVGSGYTYSKEGNVLHLAAVVKFNNVKISSDINTLITGTMDSLNPADEPNYFEPISVLMFPQGSYKYAKVRKQFSQGCPGGTDVPKKASLGVSRTITVCDMFYRQTAFELEYASGCDSSKSCSPFSDGIGYLPRFMHLRMIQCSDDKLSLRFLIEFQDDAYTRYYASSNFSTSLIGEGSWDAKQNRLCIIACRIEDASSISLEKSHVGDCTTRLSVRFPAILSFRNTSTVVGEIWSDKHKNQSGFFDRIMFRNTDNNRGQFQLQGLKYEYMETDKVNKSCPKKSRNRNSTGEYLDGYSQDMAFSMSIKYQKRSIGWGSSKPLAVGDQPHQRFPLLIPSSSSRPKSAGVESIASGSLLNISYEMRIELNSLKLDHGLDPFNQSSNGYLEIRISAEGVYDAETGHLCMVGCRHLRSPNGSTDCDILVNVHFPPLDSDRKGSKIKGSIESTRAKTDHLHFETLEFSGRAYYGSWAMESFWRMDFEMIMSVISNTLAIVFVVLQIFHVRRHPAVCPSVSFLMLVILALGHLIPLVLNLEAMFNQDSERTVWARSGTWLEMNEVVIRAVTMVAFLMHFRLLMLSWTARCSEEKNEALWIAEKRGLYVCLPVYIAGGLITGTARQHSSYYIEQTILGSSRAYAGLILDAFLFPQIIFNMFLNSREPALSRFFYIGITLVRMVPHGYDLYRVHNYVDMNDSYIYADPTADYYSTAWDIIIPMLGLFFAAIIYFQQRLSGRCFLPKRFRESVTYDKLPIDSEDQSPLKSSP >KJB16936 pep chromosome:Graimondii2_0_v6:2:61782972:61784755:-1 gene:B456_002G255500 transcript:KJB16936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFSINNYVGENGNGNYSAQYKNLDKLVSNLDKEVISKLYGPVSTSGSSSNPSGLETGSRRNVNGDGVRINEPTGPQPHPSGVVIPPVNPVGGSDLFPGPGAGMYPTRGDFGGGDMLLGPNDPRWFGGLSGDHGFPGAQPGVPPGARFDPYGPPGVPGFEPNRFIRNPPRRPGGGTHPDLEHFGGGDFI >KJB16939 pep chromosome:Graimondii2_0_v6:2:61782972:61785448:-1 gene:B456_002G255500 transcript:KJB16939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNERSVMAVIRAARPSFRNDTDKIAFAVHAAFLSSGFVLTAVGPNALAENVISSPSTVGIDNWNQFEDHYAFVYANPEKGSNKVLVKCLLMNGKLLVDALAHGSSQPVHLEIDINNYVGENGNGNYSAQYKNLDKLVSNLDKEVISKLYGPVSTSGSSSNPSGLETGSRRNVNGDGVRINEPTGPQPHPSGVVIPPVNPVGGSDLFPGPGAGMYPTRGDFGGGDMLLGPNDPRWFGGLSGDHGFPGAQPGVPPGARFDPYGPPGVPGFEPNRFIRNPPRRPGGGTHPDLEHFGGGDFI >KJB16935 pep chromosome:Graimondii2_0_v6:2:61782962:61785479:-1 gene:B456_002G255500 transcript:KJB16935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNERSVMAVIRAARPSFRNDTDKIAFAVHAAFLSSGFVLTAVGPNALAENVISSPSTDEVGIDNWNQFEDHYAFVYANPEKGSNKVLVKCLLMNGKLLVDALAHGSSQPVHLEIDINNYVGENGNGNYSAQYKNLDKLVSNLDKEVISKLYGPVSTSGSSSNPSGLETGSRRNVNGDGVRINEPTGPQPHPSGVVIPPVNPVGGSDLFPGPGAGMYPTRGDFGGGDMLLGPNDPRWFGGLSGDHGFPGAQPGVPPGARFDPYGPPGVPGFEPNRFIRNPPRRPGGGTHPDLEHFGGGDFI >KJB16938 pep chromosome:Graimondii2_0_v6:2:61782972:61785448:-1 gene:B456_002G255500 transcript:KJB16938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSFFEDEVGIDNWNQFEDHYAFVYANPEKGSNKVLVKCLLMNGKLLVDALAHGSSQPVHLEIDINNYVGENGNGNYSAQYKNLDKLVSNLDKEVISKLYGPVSTSGSSSNPSGLETGSRRNVNGDGVRINEPTGPQPHPSGVVIPPVNPVGGSDLFPGPGAGMYPTRGDFGGGDMLLGPNDPRWFGGLSGDHGFPGAQPGVPPGARFDPYGPPGVPGFEPNRFIRNPPRRPGGGTHPDLEHFGGGDFI >KJB16937 pep chromosome:Graimondii2_0_v6:2:61783744:61785265:-1 gene:B456_002G255500 transcript:KJB16937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNERSVMAVIRAARPSFRNDTDKIAFAVHAAFLSSGFVLTAVGPNALAENVISSPSTDEVGIDNWNQFEDHYAFVYANPEKGSNKVLVKCLLMNGKLLVDALAHGSSQPVHLEIDINNYVGENGNGNYSAQYKNLDKLVSNLDKEVISKLYGPVSTSGSSSNPSGLETGSRRNVNGDGVRINEPTGPQPHPSGVVIPPVNPVGGSDLFPGPGAGMYPTRYTFIPNQCISNHRWLAGWF >KJB14309 pep chromosome:Graimondii2_0_v6:2:16835248:16839062:-1 gene:B456_002G118400 transcript:KJB14309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTDRGFATLFEFNLSKLYLSHGLAPRKKQRMCFRLTMLSRISCCYPDPVVPIRRGNGSANSNEKKGENWRLDSKNSLHRVRVLVSPAMSFASAQSRFSSKQQKFYPQCTPRNSGPQSRDTRPTRDTGIANEEDWGISLLNENVNESGTNEDGSTWYRQSGEDLGENGYLRRWTMMGGKSHDGSSEWMETWWETSDWSGYKELGVEKSGRNAEGDSWWETWQELLHQDEWSNLAHIEKSAQKQAKSGSENAGWHEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAKTEVGTRWGDKWEEKFFAGVGSRQGETWHVSASGDRILV >KJB14310 pep chromosome:Graimondii2_0_v6:2:16834397:16839576:-1 gene:B456_002G118400 transcript:KJB14310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTDRGFATLFEFNLSKLYLSHGLAPRKKQRMCFRLTMLSRISCCYPDPVVPIRRGNGSANSNEKKGENWRLDSKNSLHRVRVLVSPAMSFASAQSRFSSKQQKFYPQCTPRNSGPQSRDTRPTRDTGIANEEDWGISLLNENVNESGTNEDGSTWYRQSGEDLGENGYLRRWTMMGGKSHDGSSEWMETWWETSDWSGYKELGVEKSGRNAEGDSWWETWQELLHQDEWSNLAHIEKSAQKQAKSGSENAGWHEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAKTEVGTRWGDKWEEKFFAGVGSRQGETWHVSASGDRWSRTWGEEHFGNGKVHKYGKSTTGESWDLIVHEETYYEAEPHYGWADVVGDSSQLLTIQPRPRPPGVFPNLDFGSSPPQLDDQT >KJB13212 pep chromosome:Graimondii2_0_v6:2:7394559:7395126:1 gene:B456_002G062700 transcript:KJB13212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTETSKTTADYIAVSAAVEPPPEAALVVQSKNKNNKKKNRRCIFLCCSNMQFENEEEKAKALQFLNSGETKVGMVMCCSNFQIGSELEDAPPKIPK >KJB13090 pep chromosome:Graimondii2_0_v6:2:5414758:5415843:-1 gene:B456_002G056300 transcript:KJB13090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAPTDVITGILSRLPVKNLVRFKCVSKPWSSLIDGSYLSNLQLRRSFTSNANIKLLLDSYAEDDEYKAYSVDFDSLDNLEELPRPLSTTSFGVSSRIFSSCNGLLAISHDEAGIALWSPSTKECHYPPKLTIGDMYDVIILGFGYDVINNDYKVVRMLSSGKLVMIYSLKAKSWKRIKDCPYEITFHVSQDGAYANGSVHWVGVENDKDDDARVIFGLDIGIEEFHQLPGPESDISYKNFGYRSVGILGGSLCVFRDIYHQDNIVLWVMKEYGVRESWTEDFYVSRDECWQWCMYYTRAISYSRRGDRVLLDDGGRPRQPAWFNLDKRTREVVDIPGAPKHFNAIIFVESLASVLPGNH >KJB14319 pep chromosome:Graimondii2_0_v6:2:16927749:16929489:-1 gene:B456_002G119100 transcript:KJB14319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKAVKSSRFKRVCVFCGSSSGKRNCYRDAALELGKELVSRRLDLVYGGGSVGLMGLVSQEVHRGGGHVLGIIPKTLMSKEITGETVGEVKPVTDMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLINVDGYYNYLLTFLDKAVDDGFIKPPQRHIVVSAPTATELVQKLEEYVPMHDGVVAKASWEAEQLELNSSLQTEIAC >KJB12059 pep chromosome:Graimondii2_0_v6:2:44735201:44738012:1 gene:B456_002G175200 transcript:KJB12059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRLVADAIRWVHEDWITRNVADVVCMFALQVDELIQSLSSAKDILPPSYNFYDLSTIYLCFYMG >KJB12058 pep chromosome:Graimondii2_0_v6:2:44735201:44738012:1 gene:B456_002G175200 transcript:KJB12058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRLVADAIRWVHEDWITRNVADVVCMFALQVDELIQSLSSAKDILPPSYNFYDLSTIYLCFYMG >KJB14263 pep chromosome:Graimondii2_0_v6:2:19348808:19351516:1 gene:B456_002G126700 transcript:KJB14263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLGCVNKANSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRNECINYNFTYESPLPVGRLVVQLADRAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFENLADSSRDDLVKDALMAIRETLQGETLKSSICTVAVVGVGEPFHILDQETVQQMINAFEIVGEQEGPAAEPDDASGQEAAAEQGGSTDEGVAPMDI >KJB11871 pep chromosome:Graimondii2_0_v6:2:61543029:61544218:-1 gene:B456_002G252000 transcript:KJB11871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARGRRKHREIMEDLPQRYAEAIDPCLSEEEDGETLQGEALEVKNKTRLQEENIIALVNYVLTFFFFC >KJB13229 pep chromosome:Graimondii2_0_v6:2:7442462:7442859:-1 gene:B456_002G063700 transcript:KJB13229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKVLFYLSLQVLFLVSSSGARLFHPFSTADPALEMESKSQYKASSKHDEVEITYRHFESKQFSGTDQLALAVAGKQHSKATIIEANRPLIEEGREAIKASIQRNGGIPFESKRLSPGGPDPHHHK >KJB16842 pep chromosome:Graimondii2_0_v6:2:61392117:61396688:-1 gene:B456_002G250300 transcript:KJB16842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSEKQSDFNMKGLSLIDVSSEDDSLINSPLADPINLKSSEKGSETKTVRFAVDPESVEMAVGSIEEAGKVKEPSESSEQEKTSKNGKYNLRKSLAWDSAFFTSEGFLEPEELSSMLGGNEKGQLQALPGIQEDVNKSYDSLTTLDSETLTLESLEADLFEDVRASIQKSNKVSVTANSSGKKELKTTDTKTVSSSKKVELPTQDKIKQKATPKKPNVGVKDSGKTVKQVVVHPQISQTVWSVARAGESTSSLLKPPKVLSGVGHTPTKRASLGAKNVKMDKDAKTVTGRGTTVLKTPALGGSRNIVPRPKLSSKSSSCSPASSKTELTSSCSSLESCASFSSNRTNKSSLNLIKHKNGPRIVNPSSGSTISARSKIAPKGKIQAGSSKPPTCLKSSTKFSSSISPTSSISEWSSESSSSISATNRSSNVVRDSLGTGSRKGLTTKRDAHQVLDSQNLPTGSEGDDTEVNGSLDESENKVSAGTSRLLHPGSVKPSGLRMPSPKLGFFDGVRSSGHTPNGSMLSHSGVTSGLPKIGTKSTSQSGNSNKAKIGKLQPVRSLTVIQSPKVDVKQTSSAVKSRSSLSIQKSPVAATKVPSSLRNLKTCPGISPKLQSTSCPRTSRESYSKAQGIGSAGKVEIVPLDGVTESTADIASKTDVQNTIASEAENKTYSYPCFKKEEAPVEDRIVAVQKEPIADFENSMSNPTPTSPSPMISEVTCGSRIPFSVKDSFCNTDASLDVLSGSTVAAEKTTVLPLSESTLPENNI >KJB16841 pep chromosome:Graimondii2_0_v6:2:61392586:61396688:-1 gene:B456_002G250300 transcript:KJB16841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSEKQSDFNMKGLSLIDVSSEDDSLINSPLADPINLKSSEKGSETKTVRFAVDPESVEMAVGSIEEAGKVKEPSESSEQEKTSKNGKYNLRKSLAWDSAFFTSEGFLEPEELSSMLGGNEKGQLQALPGIQEDVNKSYDSLTTLDSETLTLESLEADLFEDVRASIQKSNKVSVTANSSGKKELKTTDTKTVSSSKKVELPTQDKKIQIKQKATPKKPNVGVKDSGKTVKQVVVHPQISQTVWSVARAGESTSSLLKPPKVLSGVGHTPTKRASLGAKNVKMDKDAKTVTGRGTTVLKTPALGGSRNIVPRPKLSSKSSSCSPASSKTELTSSCSSLESCASFSSNRTNKSSLNLIKHKNGPRIVNPSSGSTISARSKIAPKGKIQAGSSKPPTCLKSSTKFSSSISPTSSISEWSSESSSSISATNRSSNVVRDSLGTGSRKGLTTKRDAHQVLDSQNLPTGSEGDDTEVNGSLDESENKVSAGTSRLLHPGSVKPSGLRMPSPKLGFFDGVRSSGHTPNGSMLSHSGVTSGLPKIGTKSTSQSGNSNKAKIGKLQPVRSLTVIQSPKVDVKQTSSAVKSRSSLSIQKSPVAATKVPSSLRNLKTCPGISPKLQSTSCPRTSRESYSKAQGIGSAGKVEIVPLDGVTESTADIASKTDVQNTIASEAENKTYSYPCFKKEEAPVEDRIVAVQKEPIADFENSMSNPTPTSPSPMISEVTCGSRIPFSVKDSFCNTDASLDVLSGSTVAAEKTTVLPLSESTLPENNI >KJB14372 pep chromosome:Graimondii2_0_v6:2:17496450:17500854:1 gene:B456_002G121900 transcript:KJB14372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTADPEFHHQNLSRWAQVVQGESKATSASNHSPSSQPSSISSPVASVPERNISSECSPSQAPCFSSSSSPPLDISLSAEGGSDSNNNFAASSFKKPAWNKPSNGIIGVGPVMGAASWPALSESARGSQKSLADSSKDGLLSTSQGPIIPQSTQKQVTSNANPNSTPNCTMLNRQKSSKRGSNSSGSGLPQVASSHQHPPPPPPFAVPQMPPSSFGNFVPAMPYPSTRDPQYRDNSWETRPIGGFASQSHKDHRHSSRRGGNYGPRGDGGYNNFWGRREQDRGNYGNARDGHMQPPRAPPRGFPRPSPPAVHSFVPPQPVRPFMNPIGYPEYMYFTMEPFRGMPSFTPATPPMFMPVPEPPVSALLLHQIDYYFSDDNLVKDDFLKSNMDDQGWVAISLIAGFPRVKSLTSNIQLIVDSLRSSTVVEVQDDKVRRRNDWRKWVPYQVSTVPGSLSPGGSSSEMLASSFQQITVREESTNQSGAGNVNPHAEDALGRHPSHLSNGDGSERTCLN >KJB14375 pep chromosome:Graimondii2_0_v6:2:17496454:17500821:1 gene:B456_002G121900 transcript:KJB14375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTADPEFHHQNLSRWAQVVQGESKATSASNHSPSSQPSSISSPVASVPERNISSECSPSQAPCFSSSSSPPLDISLSAEGGSDSNNNFAASSFKKPAWNKPSNGIIGVGPVMGAASWPALSESARGSQKSLADSSKDGLLSTSQGPIIPQSTQKQVTSNANPNSTPNCTMLNRQKSSKRGSNSSGSGLPQVASSHQHPPPPPPFAVPQMPPSSFGNFVPAMPYPSTRDPQYRDNSWETRPIGGFASQSHKDHRHSSRRGGNYGPRGDGGYNNFWGRREQDRGNYGNARDGHMQPPRAPPRGFPRPSPPAVHSFVPPQPVRPFMNPIGYPEYMYFTMEPFRGMPSFTPATPPMFMPVPEPPVSALLLHQIDYYFSDDNLVKDDFLKSNMDDQGWVAISLIAGFPRVKSLTSNIQLIVDSLRSSTVVEDDKVRRRNDWRKWVPYQVSTVPGSLSPGGSSSEMLASSFQQITVREESTNQSGAGNVNPHAEDALGRHPSHLSNGDGSERTCLN >KJB14373 pep chromosome:Graimondii2_0_v6:2:17496454:17500821:1 gene:B456_002G121900 transcript:KJB14373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTADPEFHHQNLSRWAQVVQGESKATSASNHSPSSQPSSISSPVASVPERNISSECSPSQAPCFSSSSSPPLDISLSAEGGSDSNNNFAASSFKKPAWNKPSNGIIGVGPVMGAASWPALSESARGSQKSLADSSKDGLLSTSQGPIIPQSTQKQVTSNANPNSTPNCTMLNRQKSSKRGSNSSGSGLPQVASSHQHPPPPPPFAVPQMPPSSFGNFVPAMPYPSTRDPQYRDNSWETRPIGGFASQSHKDHRHSSRRGGNYGPRGDGGYNNFWGRREQDRGNYGNARDGHMQPPRAPPRGFPRPSPPAVHSFVPPQPSICISLWSHLGACHHLPPPRLQCSCLFQSLLSLHCYYIKLIIISVMIIWLKMTF >KJB14374 pep chromosome:Graimondii2_0_v6:2:17496454:17500821:1 gene:B456_002G121900 transcript:KJB14374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTADPEFHHQNLSRWAQVVQGESKATSASNHSPSSQPSSISSPVASVPERNISSECSPSQAPCFSSSSSPPLDISLSAEGGSDSNNNFAASSFKKPAWNKPSNGIIGVGPVMGAASWPALSESARGSQKSLADSSKDGLLSTSQVASSHQHPPPPPPFAVPQMPPSSFGNFVPAMPYPSTRDPQYRDNSWETRPIGGFASQSHKDHRHSSRRGGNYGPRGDGGYNNFWGRREQDRGNYGNARDGHMQPPRAPPRGFPRPSPPAVHSFVPPQPVRPFMNPIGYPEYMYFTMEPFRGMPSFTPATPPMFMPVPEPPVSALLLHQIDYYFSDDNLVKDDFLKSNMDDQGWVAISLIAGFPRVKSLTSNIQLIVDSLRSSTVVEVQDDKVRRRNDWRKWVPYQVSTVPGSLSPGGSSSEMLASSFQQITVREESTNQSGAGNVNPHAEDALGRHPSHLSNGDGSERTCLN >KJB12927 pep chromosome:Graimondii2_0_v6:2:3816743:3819556:-1 gene:B456_002G045800 transcript:KJB12927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G78560) UniProtKB/Swiss-Prot;Acc:Q93YR2] MQSSLPCPHATTTYFKSLEKPRPPYLLYPNPLLSFPKPSNSSSLCLTLRSQSHKQLPNRPINSLTSNSFQIHCGISSNSYNANNKKSVRDWIEWVGEVISTAFPLWVSLGCLLGLFKPSSFSWVTPRWSIFGLTLTMLGMGMTLTLDDLRGALAMPKELISGFVLQYSVMPLSGYFVSKLLNLPSHYAAGLILVGCCPGGTASNIVTYLARGNVALSVLMTAASTLSAVIMTPSLTSILAGKYVPVDAAALLNSTLQVVLLPVLGGAFLNQYFHGFVKFVSPLMPSIAVGTVGVLCGNAIAESSSAILASGLQVVLASSLLHASGFFFGYVLSRMLRLDVASSRTISIEVGMQNSVLGVFLATHHFQNPLTAVPCAVSSVCHSILGSILAGIWRRDVPKQNQD >KJB16835 pep chromosome:Graimondii2_0_v6:2:61386176:61389187:1 gene:B456_002G250100 transcript:KJB16835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKASRTSSVVVPRKKSPMTPQTARQLKIPGANSGSVSSPNTASKASKDRSPKVTERKALKSPVSEKNGLSRVTELEAQLDQLQGDLKKTKDQLTASESWKRLALQEAEAAKKEVLIMSAKLEESERQLMEISASEDDRVEELRKISKDRDRAWQSELEAVQKHHSMDSAALVSAVTEIQKLKVQLEKVYESESIQTKHAESAHAEIRNMKIELTETLSLVEKLKSELTDCRESEARGLELVSETRMQLEVANKTIEKLRSDATKETEVYNMLLLELEQSKGRVKSLEGLVSKLQVELVGNGCIKDPEQQNEENEEIKRVKTELNFAKLEISQLRSALDEAEVRYQEEYIKSTLKIRCAYEQVECIKSESSQKEAKLEAELTRTKANVEELRSQNEGLRESELAAELKKLEFDLKELKDNLTVKETELNTITEQNKMLKREIESKTKSDEPVVVLLEASKAAERAALMKVGYLTEETDKSNRRAARLTEELESAQAANTEMEAELKRLKVQANQWRKAAEAATAMLSNNGKYSDKTIPFDIAIGSPKWENIDDDDDDDPLKKKNNMLRKIGVLWKKGQK >KJB16836 pep chromosome:Graimondii2_0_v6:2:61385980:61389187:1 gene:B456_002G250100 transcript:KJB16836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKASRTSSVVVPRKKSPMTPQTARQLKIPGANSGSVSSPNTASKASKDRSPKVTERKALKSPVSEKNGLSRVTELEAQLDQLQGDLKKTKDQLTASESWKRLALQEAEAAKKEVLIMSAKLEESERQLMEISASEDDRVEELRKISKDRDRAWQSELEAVQKHHSMDSAALVSAVTEIQKLKVQLEKVYESESIQTKHAESAHAEIRNMKIELTETLSLVEKLKSELTDCRESEARGLELVSETRMQLEVANKTIEKLRSDATKETEVYNMLLLELEQSKGRVKSLEGLVSKLQVELVGNGCIKDPEQQNEENEEIKRVKTELNFAKLEISQLRSALDEAEVRYQEEYIKSTLKIRCAYEQVECIKSESSQKEAKLEAELTRTKANVEELRSQNEGLRESELAAELKKLEFDLKELKDNLTVKETELNTITEQNKMLKREIESKTKSDEPVVVLLEASKAAERAALMKVGYLTEETDKSNRRAARLTEELESAQAANTEMEAELKRLKVQANQWRKAAEAATAMLSNNGKYSDKTIPFDIAIGSPKWENIDDDDDDDPLKKKNNMLRKIGVLWKKGQK >KJB14572 pep chromosome:Graimondii2_0_v6:2:20702533:20704539:1 gene:B456_002G131900 transcript:KJB14572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-IV [Source:Projected from Arabidopsis thaliana (AT4G33350) UniProtKB/TrEMBL;Acc:A0A178UWE7] MEFPKPPPFPSNPLLSLSTFIHRLGAELATRLHHTNRLASNFAAGFSPSATSHHRPLFASVSQPKAAVAAAATLNPEQVAKTLAGTAVYTVSNSNNEFVLISDPNGAKSIGLLCFRQEDAEAFLAQVRLRRRELRSNAKVVPITLDQVYSLKVEGIAFRFLPDPIQIKNALELKSGDIKSGFDGVPVFQSDLLVVRKKSKRYCPIYFNKEDIEKELSKVSRASRGPGVSQQIMVSRMCVCVCIICLFWRFKLYMHAWIND >KJB14571 pep chromosome:Graimondii2_0_v6:2:20702533:20703848:1 gene:B456_002G131900 transcript:KJB14571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-IV [Source:Projected from Arabidopsis thaliana (AT4G33350) UniProtKB/TrEMBL;Acc:A0A178UWE7] MEFPKPPPFPSNPLLSLSTFIHRLGAELATRLHHTNRLASNFAAGFSPSATSHHRPLFASVSQPKAAVAAAATLNPEQVAKTLAGTAVYTVSNSNNEFVLISDPNGAKSIGLLCFRQEDAEAFLAQVRLRRRELRSNAKVVPITLDQVYSLKVEGIAFRFLPDPIQIKNALELKSGDIKSGFDGVPVFQVCYIFYSIYMHTMYRMVF >KJB17077 pep chromosome:Graimondii2_0_v6:2:62418935:62423221:1 gene:B456_002G263600 transcript:KJB17077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSREPWLLENGNLKGLSKEIRHGRTAHNMSSSSLRKKSHLTLVSKVPCRKLRQFLANLQEVILGTKLSVLFPAIPLAIVADCYGFARPWVFALSLLGLTPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIAIFALSQRKIDVVKYSLLGSVLSNLLLVLGTSLFCGGIANLRLEQKFDRRQADVNSLLLLLALLCHSLPMLFRMSGASDAVTVDPILQLSRASSIVMLIAYLSYLVFQLFTHRQLFEAHEESDNDENGGGEEEPVIGFWSGFIWLVGMTAVISLLSEYVVQTIEDASDSWGISVSFISIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQISMFVDGTSHYMKGLVLLLLYIVIGACFFVSKTPLNQLSTINSGTKAAIDTIFRA >KJB17076 pep chromosome:Graimondii2_0_v6:2:62418863:62423271:1 gene:B456_002G263600 transcript:KJB17076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSREPWLLENGNLKGLSKEIRHGRTAHNMSSSSLRKKSHLTLVSKVPCRKLRQFLANLQEVILGTKLSVLFPAIPLAIVADCYGFARPWVFALSLLGLTPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIAIFALSQRKIDVVKYSLLGSVLSNLLLVLGTSLFCGGIANLRLEQKFDRRQADVNSLLLLLALLCHSLPMLFRMSGASDAVTVDPILQLSRASSIVMLIAYLSYLVFQLFTHRQLFEAHEESDNDENGGGEEEPVIGFWSGFIWLVGMTAVISLLSEYVVQTIEDASDSWGISVSFISIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQISMFVVPLCVITAWIMGIKMDLNFNLLETGSLALSIIVVAFALQDGTSHYMKGLVLLLLYIVIGACFFVSKTPLNQLSTINSGTKAAIDTIFRA >KJB17078 pep chromosome:Graimondii2_0_v6:2:62418935:62423221:1 gene:B456_002G263600 transcript:KJB17078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSREPWLLENGNLKGLSKEIRHGRTAHNMSSSSLRKKSHLTLVSKVPCRKLRQFLANLQEVILGTKLSVLFPAIPLAIVADCYGFARPWVFALSLLGLTPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIAIFALSQRKIDVVKYSLLGSVLSNLLLVLGTSLFCGGIANLRLEQKFDRRQADVNSLLLLLALLCHSLPMLFRMSGASDAVTVDPILQLSRASSIVMLIAYLSYLVFQLFTHRQLFEAHEESDNDENGGGEEEPVIGFWSGFIWLVGMTAVISLLSEYVVQTIEDASDSWGISVSFISIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQISMFVMDLNFNLLETGSLALSIIVVAFALQDGTSHYMKGLVLLLLYIVIGACFFVSKTPLNQLSTINSGTKAAIDTIFRA >KJB16672 pep chromosome:Graimondii2_0_v6:2:60675284:60676284:1 gene:B456_002G242400 transcript:KJB16672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKFSGFITFLFLAFLLLCSSSVSARFLLQINNHGEKELKANEMMMIQADRKDDFSELMGAEECYEQDEECVKRRMMADAHLDYIYTQNHKP >KJB13859 pep chromosome:Graimondii2_0_v6:2:12523164:12524861:-1 gene:B456_002G098400 transcript:KJB13859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLGTSRPLPLPLYNNSPPFSHPHLSPSIFPSTHYSHKFSQSMAACIDISRTTDSSQLSQRPNISQPDEVLYEYGSFCRSTFPNNVSSVPLNKNHTKTIPTRKSVDFSLEEKEEVGALWLKMKEEARLDAEQEPILSNYYYSSIFSHNSFESALANHLSIKLGNPSLPSSTLFDIIMAVLVEDQGIMIAVKEDLKAAKERDPACISYVHCFLNFKGFLACQSHRVAHNLWCQGRKVLALLIQNRVSQVFDVDIHPGAKIGSGILFDHATGVIVGETAVIGNNVSILHNVTLGGTGKASGDRHPKIGDGVLIGAGTCILGNIKIGDGAKIGAGSVVLKDVPPRTTAVGNPARLVGGRENPIKLDKIPSFTMDHTSHIAEWSDYVI >KJB12443 pep chromosome:Graimondii2_0_v6:2:1240202:1243903:-1 gene:B456_002G018200 transcript:KJB12443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKEIENPTMVSVGSEKTSMDSKGKNIICYDKKWEDQLEDASGSDHGDTVSLAGSEDNYEFDLSYNYDDGHYDDYTDDVSDDCDNHEFLYEDDYWIMQSHFDNVDLSPGVEASLNLLKDSSLIEDMQQQLKAVAASFNLLGIKNEAILDVNDGLKSASTSTVMVPRESGFEQKKGNEEHIMQNFRSFKHFDVVDVFSSHRYCNLTSSGQTYPKEYAKRIQEEWKILESGLPETIYARVCEANMGLLRAVIMGPSGTPYHDGLFFFDCFFPPKYPNEPPMVYFYSGGLRLNPNLYSCGKVCLSLLGTWHGEETEMWVPGQSTMLQVLVSIQALILNAKPFFNEPGFEMSYVGAEGEKRSRKYNEDAFALSLKTMIYTLRRPPQHFEDFVAGHFRTRARDIIMACEAYKEGAAIGSVLVKDCVPDPIKTENSSSERFKRTMTKMIDAMVKVFVENGSTYEQFLNSST >KJB12444 pep chromosome:Graimondii2_0_v6:2:1240202:1244176:-1 gene:B456_002G018200 transcript:KJB12444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKEIENPTMVSVGSEKTSMDSKGKNIICYDKKWEDQLEDASGSDHGDTVSLAGSEDNYEFDLSYNYDDGHYDDYTDDVSDDCDNHEFLYEDDYWIMQSHFDNVDLSPGVEASLNLLKDSSLIEDMQQQLKAVAASFNLLGIKNEAILDVNDGLKSASTSTVMVPRESGFEQKKGNEEHIMQNFRSFKHFDVVDVFSSHRYCNLTSSGQTYPKEYAKRIQEEWKILESGLPETIYARVCEANMGLLRAVIMGPSGTPYHDGLFFFDCFFPPKYPNEPPMVYFYSGGLRLNPNLYSCGKVCLSLLGTWHGEETEMWVPGQSTMLQVLVSIQALILNAKPFFNEPGFEMSYVGAEGEKRSRKYNEDAFALSLKTMIYTLRRPPQHFEDFVAGHFRTRARDIIMACEAYKEGAAIGSVLVKDCVPDPIKTENSSSERFKRTMTKMIDAMVKVFVENGSTYEQFLNSST >KJB12767 pep chromosome:Graimondii2_0_v6:2:2795839:2796911:-1 gene:B456_002G035800 transcript:KJB12767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASENLKRFGDTSSGDAVFDASQYAFFGKDVLEEVELGGLDDEEDVPAVGLEDEEEFLFDRDEVNILVLFSSLSCFNYYCKFMQCRTKAMISCLKRKSFPLEIMLKNNPFEVILCVFFLYFS >KJB14376 pep chromosome:Graimondii2_0_v6:2:17508101:17509435:-1 gene:B456_002G122000 transcript:KJB14376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFTEKERELRTSSLTRKQPNTGSKETSLDEVLCRHKKRKPFAYGLCNECYEEFIKVSGGLDGGSDPPAFQRAEKERLAKLSIQENAKVCSVIILSKGLRTVKGRKS >KJB16402 pep chromosome:Graimondii2_0_v6:2:58791263:58794446:-1 gene:B456_002G228900 transcript:KJB16402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTHQSYQNQNNQSNSGLLRFRSAPSSLLATVDCGVNKGGFESRFINSSSGGDNETEDKSGLEAAVNYANSAPTYSGLPPQYPRQSSAMDNSYELLGLNHHSQGKPVTSSLMRQSSSPPGLFTNLSVQNGFSGMKGLGNYCGVNGTSGELSPSSNRLKNQISFSSRQPSSLGILSQISEIENENLGANSFDGGKPEYQCGSWNESAQLTEKHKLFTNNQNGDIGSGVHVLPRHLSLPKTSNEMAVMEKYLQFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVEYIKDLQKQFKTLSDSRANCKCLNTQKTVPNQIL >KJB15921 pep chromosome:Graimondii2_0_v6:2:54665331:54666197:-1 gene:B456_002G204100 transcript:KJB15921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPLNVQPSFHARSNSLPSRQHPITSQIDENLNRLRASQSASTSSSSTGHELTCLQDLYDYVDMLLQFPLTQQALAQDQQRKSVEQVLDASLVLLDVCGTAKDALLQIKESTQELQSVLRRRRGEVEGLVDEVRKYLTSKKEVRKAISKAFKNLKHMDNKLMSKDGETGAVISTLKQVVAATMGVLQSLLSFISGPEASSRWSLVSKLMHQKRVRCEEEEEKTNEIANAEAALRSFIKSGNVKHVENVQNELQNSELCIQDLEEGLESFFRRLIKARVTVLNIVNC >KJB13194 pep chromosome:Graimondii2_0_v6:2:7228860:7229258:1 gene:B456_002G061200 transcript:KJB13194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFQLIDIETDYYLTKFESFEAYTNFLSRGPWVIFEHYLTVQPWMPQFTHYIHTPTKSIFKLIKIQWDNLLDFSKPLVSKIWIAKRIHKVKYESLPTICY >KJB16371 pep chromosome:Graimondii2_0_v6:2:58300436:58309773:1 gene:B456_002G226500 transcript:KJB16371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEHSGVLRAWESTMRKTQAAKKRANNIFGITSMANVTNNDLEDDTDKGVSISGEAYFAEKILPNGDYYTGQWYDNFPDGQGKYLWTDGCMYLGEWHKGKTTGKGRFSWPSGASYEGEFKTGYMDGTGTYIGSNGDNYKGKWVMNMKHGHGILNYSNGDCYDGEWRRGLQEGHGKYQWQNKNYYIGEWKNGVIFGKGIFVWSNGNTYDGYWEDGMPKGNGTYQWPDGSFYVGNWSKDPDEQNGTYYPSESSLAANLEWDPTTVYNELAGCKICVGERVSIMPSQKRLATWYSSKGGDKPRRMSVDGRVSVGVERPLDKMSLWENDGNSNGLRQVRRDLDCELLGIPHNDANPKFNLGLPLKAPKLEKRQGETISKGHRNYELMLNLQLGIRFPREGSKYTPPHQSYEFKWKDYCPVVFRTLRKLFKVDPADYMISICGDNALRELSSPGKSGSFFYLTDDDRYMIKTMKKSEVKMFLRMLSAYYNHVRSFENTLVIKYYGLHCVKLPGTTQKKVRFIIMGNLLRSDYTIHRRFDLKGSSLGRITEKNEFETDNTTILKDLDLNFIFKLQKAWYQEFCWQIEKDCEFLVQERTMDYSLLVGLHFREISTNGELIPCQRRNSENESTDHISRPNADQLLLDPKRWASVKLGSNMPARVERTIRKPELELQLVGEQTGECYEVIMFFGIIDILQDYDITKKLEHAYKSIHYDPTSISAVDPKQYSKRFRDFIFKVFAEDT >KJB16370 pep chromosome:Graimondii2_0_v6:2:58300436:58308793:1 gene:B456_002G226500 transcript:KJB16370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEHSGVLRAWESTMRKTQAAKKRANNIFGITSMANVTNNDLEDDTDKGVSISGEAYFAEKILPNGDYYTGQWYDNFPDGQGKYLWTDGCMYLGEWHKGKTTGKGRFSWPSGASYEGEFKTGYMDGTGTYIGSNGDNYKGKWVMNMKHGHGILNYSNGDCYDGEWRRGLQEGHGKYQWQNKNYYIGEWKNGVIFGKGIFVWSNGNTYDGYWEDGMPKGNGTYQWPDGSFYVGNWSKDPDEQNGTYYPSESSLAANLEWDPTTVYNELAGCKICVGERVSIMPSQKRLATWYSSKGGDKPRRMSVDGRVSVGVERPLDKMSLWENDGNSNGLRQVRRDLDCELLGIPHNDANPKFNLGLPLKAPKLEKRQGETISKGHRNYELMLNLQLGIRFPREGSKYTPPHQSYEFKWKDYCPVVFRTLRKLFKVDPADYMISICGDNALRELSSPGKSGSFFYLTDDDRYMIKTMKKSEVKMFLRMLSAYYNHVRSFENTLVIKYYGLHCVKLPGTTQKKVRFIIMGNLLRSDYTIHRRFDLKGSSLGRITEKNEFETDNTTILKDLDLNFIFKLQKAWYQEFCWQIEKDCEFLVQERTMDYSLLVGLHFREISTNGELIPCQRRNSENESTDHISRPNADQLLLDPKSSYLIVTSEAYFSRGKVL >KJB13334 pep chromosome:Graimondii2_0_v6:2:7978507:7980704:1 gene:B456_002G068900 transcript:KJB13334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGAFFNSLYAFLFGGILGYHLYDQITLPGQPEIEFRQFSGYIDIDPDAGRSLFYYFVEAEKDPLDLPLTIWLTGGPGCSSVADSFVGIGPFTTTNNAHALKINPYAWNKVSNMLFIDTPIGSGWSYSNTSSDYQTGDFSTNKDLLTFFVKWFEKYPIFKFRDLYICGISYAGHFVTLLANSLLHFNNETKSTRFNLKGLALGSPVLRYKLDVIAQYELYASKGMISHKMYHKILKQCNETDEDNYSNDSPEWSESCEHVMNKALMTAFNVSSVREANKMRFDIVRNPCDGRIEDLIAGKEITMVVGGIDMCIPNRVDFYFGMPEVQQAFHGNRTHLGYKYSGCFQNSGLNYSIADQHVDMLPILKEILEHSVPITIFSGEDDGAVPMIGTLRHVKKLASEMNFTLTKDEAWNSENKEGGRLYKFGDLLTFMSVKGANHHVPLSKPSQSLYIFENHVVEQSD >KJB13483 pep chromosome:Graimondii2_0_v6:2:9266083:9269306:1 gene:B456_002G077900 transcript:KJB13483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNRFASLLSLLVFVFLAFQAIDGADTIEQGQHLTYPNTIISAGGEFELGIVWVANREYPFPTQFLNLSINGDGKLVISDDKSDYNVTDTTTSRNTEALLLDSGNLVLRDPSSLEVLWESFDDTTNTILPEMPFPDVDKLGHKWSLVSWRTSKDPAPGSFSLELEHGSLSIKQGSKTYWTDTQNLFWSPSPVSNRSPKFHNTSGVGNGYWEFPNTSAQIVLDALGQLKLQSWSEDDQRWHTSVSSKCSYHRCGVFSICSIPGEKACHCLEGFRPIGNRESEGCVRKTKLQCSNEEGHVDNDRFLLMLYVDYPSDGHVLKLVTNAADCKSECLDNCSCIAYAYESNLGCLVCSFSLQLSGEDLLKFDLEMSLQADDTDLNEANKPGTHRKNKWKLQLFSFASVSAATDNFSVANKLGEGGFGPVYKGVLLKGDEIAVKRLSRRSGQGWEELKNEAIVIAKLKHKNLVRLLGCCLERDEKILIYEYMPNKSLDFFLFDPIKRRVLDWETCVRITEGIAQGLLYLHQYSRLRIIHRDLKASNILLDSNMNPKISDFGMARIFGGEELQANTSRIVGTYGYMSPEYALEGLFSVKSDVFSFGVLLMEIVSGKKNTGFYQHNSVHLLAHAWNLCTSNREMDLIDPMLEEVPTRLALRYVNIGLLCIQETADDRPTMSDVVSMLSNESLDIPPPKQPASVNATSMEKDMLVQQRRSEVCSVNDATFSTLDA >KJB12797 pep chromosome:Graimondii2_0_v6:2:2945063:2950218:1 gene:B456_002G037200 transcript:KJB12797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPLNYGNKTLPLKSTPSPKITCFRTIRACSPRQDLKGRTVAVIGLGISGKAAARLALARGASVVGIDQDESLSSLEHDPSFMALNSTGFKTVLGNFDWNLLKDADVVVVSPGVPLEKYGLSYLLQSGKQVMSELDFAAEALPKSVKILAVTGTNGKSTTVTFAGQMLNHFGIDTFVGGNLGTSLSEAAIQHVTLPAQECKLKVAVVEVSSYQMAIPCVYFRPSVSVVLNLTPDHFERHKTMLDYAEHKCRLFSHMTNAKLGLLSFGNQHLDEAVKKYWNKFNPAWIGAFPGLEIDTEAKIATFKVPDIGIESQLQLGSMRAMGKHNYFNAAVAALSVAGLDVGVHIEDINSTIEKLRPPPHRMQVVHKDIHGVTWVDDSKATNVEATYAGLMGLKGQKSVILLGGLAKVLVGQASNGFEELIEPLTGHRCVITFGASGTMIHQELSDNGLDIPCIQATNLKDAVEQARKMAKHGDAIVLSPACASFDEFRNFEHRGMVFRELALSS >KJB12796 pep chromosome:Graimondii2_0_v6:2:2945063:2950064:1 gene:B456_002G037200 transcript:KJB12796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPLNYGNKTLPLKSTPSPKITCFRTIRACSPRQDLKGRTVAVIGLGISGKAAARLALARGASVVGIDQDESLSSLEHDPSFMALNSTGFKTVLGNFDWNLLKDADVVVVSPGVPLEKYGLSYLLQSGKQVMSELDFAAEALPKSVKILAVTGTNGKSTTVTFAGQMLNHFGIDTFVGGNLGTSLSEAAIQHVTLPAQECKLKVAVVEVSSYQMAIPCVYFRPSVSVVLNLTPDHFERHKTMLDYAEHKCRLFSHMTNAKLGLLSFGNQHLDEAVKKYWNKFNPAWIGAFPGLEIDTEAKIATFKVPDIGIESQLQLGSMRAMGKHNYFNAAVAALSVAGLDVGVHIEDINSTIEKLRPPPHRMQVVHKDIHGVTWVDDSKATNVEATYAGLMGLKGQKSVILLGGLAKVLVGQASNGFEELIEPLTGHRCVITVREMLLLDIFRGFRNHDSSGIVR >KJB12860 pep chromosome:Graimondii2_0_v6:2:3327935:3328796:-1 gene:B456_002G040400 transcript:KJB12860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVVQENSLRMSCDVEFNNALLMSFLEESPNEEYDSKELDSWMRSLEAEINPNPIGIRDLRMDPESLSDGEESNGTKPIDVEFQWGDLESMSSPSPSHDMNWHMDNQGKEVDVLIDYGGDYFDVSFEDAVLHEQMYTLSRIETDAIGIYS >KJB12864 pep chromosome:Graimondii2_0_v6:2:3385886:3389203:-1 gene:B456_002G041100 transcript:KJB12864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLKEKLPLFALFVILLPGVCFARHLINQDCGSTFCGNLNISFPFRIKGQPPQCGYINLELECENNNRTTLVGRGGKFSVQQIIYEDYTMRVVDASMDTDDCNSLPLSSVYDYYYDYINNYYEYKPFPFFVNDYYGRWLESSIIHVVNCTKPIKSSLYIEASRCTTKSNPPSSLPTFHFYFLNGNIHPSDINQACTVEAEVPIMVRNITGMFTLDIYKKLLEAGFFILRALLGIPCLFGLVIYKWNRRHLSMDNKIEEFLQSHSLAPIRYSFKEIKKMTKNFKYKLGEGGYGSVFKGKLRSGHYVAIKLLCKSKGNGQDFINEVASIGRIHHVNVAKLIGFCVKGSKQALVYEFMSNGSLDKIIFSEENKKTLGWKKMFDIVLGVARGVDYLHQGCDMQILHFDIKPHNILLDENFNPKVSDFGLAKLYSVKDSIVSLTAARGTIGYIAPELVYKNLGGISYKADVYSFGMLLMEMVGRRKNLNAFVDRTSQIYFPSWTYDRLDQGEDIELGDVSDDEKLLVKKMIITAFWCIKLLPIDRPSMSEVLKMLEGDVELLQMPPKPFHHLPLDTSM >KJB16437 pep chromosome:Graimondii2_0_v6:2:58925183:58925383:-1 gene:B456_002G230100 transcript:KJB16437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLFFTVAFSAVPLTLYVPPIRSLSLFVETIEDMLRQTTLYTLRVYPRIRLGFSRIFHSIFRLSR >KJB16436 pep chromosome:Graimondii2_0_v6:2:58924951:58925537:-1 gene:B456_002G230100 transcript:KJB16436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLFFTVAFSAVPLTLYVPPIRSLSLFVETIEDMLRQTTLYTLRVYPRIRLGFSRIFHSIFRLSR >KJB12504 pep chromosome:Graimondii2_0_v6:2:1523101:1526607:1 gene:B456_002G021800 transcript:KJB12504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRATVELDFFGMEKANSCKSQFQKFLDRRRSFRGIQGAISKMNPELIKSVIASGSTNRNPVDWRKSFSVPSSPKEDRSTSLPSLPLLNPALRSTPSEDSPETAPLTIFYNGTVSVINVPRDKAESIFKLAVEGSSKNIESVDSSKAANPSSDQQNLLEARNGDLPIARRKSLQRFLEKRKERLTSPYAW >KJB12475 pep chromosome:Graimondii2_0_v6:2:1371395:1374048:-1 gene:B456_002G020300 transcript:KJB12475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVSPLRNNTSKDDDEGEMESTFTISSDEFPDFADGNLLESIDFDDLFLSIDVDGDVLPDLEMDPEILAELPPAGEESEMNASGEKTEESDNQRKDEEENKVSTSKGDEESVSKREEPKAVKTGSKDGDKGRKSSIKGKSNNNNQGTRKLKVDWTPELHRRFVQAVEQLGVDKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAASWIHRRQIYGGATPVGGGGKRDVNHWLPPTMGFPPSASPMHHQNHLFRPLHVWGHPTMDQSLMHLWPKHLAPPPPPPRPAWGPPPPADPSFWHHQHHRVPNGQTPGTPCFPPPLTAAPTRFGAVPVPGIPPHHAMYKADTGIGVPASQLRRHPLVDLHPSKESIDAAIGDVLSKPWLPLPIGLKPPSTDGVLVELQRQGVPKIPPSCA >KJB14747 pep chromosome:Graimondii2_0_v6:2:25084454:25088643:1 gene:B456_002G141700 transcript:KJB14747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANLSMGCQKKLEIVDDQKLSTQYLIIIARGLQMWNLFSTAWPCSNTFFYAHRCDVNLLLATLCTRTIQTREGSIVKALDCNAVVASRDALAKTVYARLFDCLVDKINISVGKYPNSHVQIGVLDIYGFECFKHNRWGLENTIKGKTSDMVIPNQAVAS >KJB12210 pep chromosome:Graimondii2_0_v6:2:434739:438581:-1 gene:B456_002G006900 transcript:KJB12210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPAMGAGMDLPIMHDSDRYELVKDIGSGNFGVARLMRDKHTDELVAVKYIERGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTIYVMLVGAYPFEDPEDPRNFHKTIHRIIHVQYSIPDYVHISPECRHLISRIFVADPAKRISIPEIKNHEWFLKNLPADLMDENSMNDQFEEPDQPMQSVDEIMQIISEATIPATNMNNLDSYLTGSLDPDDDMEDLDNDSELDIDSSGELIYAM >KJB14813 pep chromosome:Graimondii2_0_v6:2:26262645:26263764:-1 gene:B456_002G144600 transcript:KJB14813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKDITIGYYHCLKASVVATLNLLVMILDQLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRNLLSMSSFDATC >KJB14811 pep chromosome:Graimondii2_0_v6:2:26262080:26263764:-1 gene:B456_002G144600 transcript:KJB14811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKDITIGYYHCLKASVVATLNLLVMILDQLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYWTVSYRFAPDINHWQAEAVVAIQEVW >KJB14812 pep chromosome:Graimondii2_0_v6:2:26262565:26263764:-1 gene:B456_002G144600 transcript:KJB14812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKDITIGYYHCLKASVVATLNLLVMILDQLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRNFDATC >KJB14905 pep chromosome:Graimondii2_0_v6:2:29712198:29712911:-1 gene:B456_002G151100 transcript:KJB14905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYEPTHHHHHQNQTIPKETALQALNTVIQLHFEKTLEKKRAIDLQKKELHKLFQLFFIFLGIIFMAQSQSSRLQCRHCWALITLLSLSHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATEKLREMKLRINNGEFVHGFGEEDEFEIHYQEPPENYFAKFKRNWALYFGFLILIYAFMVSSSVVLLCF >KJB14469 pep chromosome:Graimondii2_0_v6:2:19216822:19220445:-1 gene:B456_002G126400 transcript:KJB14469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSAHSRKALSKIACNRLQKELVEWQVNPPTGFKHKVTDNLQRWIIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFIPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTAKQRPADNDRYVKNCRNGRSPKETRWWFHDDKV >KJB14471 pep chromosome:Graimondii2_0_v6:2:19217019:19220192:-1 gene:B456_002G126400 transcript:KJB14471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSAHSRKVSIFFLKEFLCYLFALVEALSKIACNRLQKELVEWQVNPPTGFKHKVTDNLQRWIIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFIPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTAKQRPADNDRYVKNCRNGRSPKETRWWFHDDKV >KJB14470 pep chromosome:Graimondii2_0_v6:2:19217232:19220192:-1 gene:B456_002G126400 transcript:KJB14470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSAHSRKALSKIACNRLQKELVEWQVNPPTGFKHKVTDNLQRWIIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFIPPAPLHPHIYSNGHICLADGERLFQKFAERDMIICNHNYILYDSWSPAMTVSSICISILSMLSSSTAKQRPADNDRYVKNCRNGRSPKETRWWFHDDKV >KJB13882 pep chromosome:Graimondii2_0_v6:2:12661201:12662262:1 gene:B456_002G100000 transcript:KJB13882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLVNVSICVLFISVTGILVKADNAPSPSPKTTPVSPAKPPTTSSSPPITSPSKSPSSSPPSATPASSPSITSPPPATTPTAMPTPSQSPTATPPASSSPPAQSPATPSPAASSPPSMTPVGAPPVAEGPVGTPESSANIPSSSATPAESPAIFPSTSSPPMATPVGSSPESAEGPAVNDESGSKSGYEVGFVVLTASLVIGSALIL >KJB14939 pep chromosome:Graimondii2_0_v6:2:29717919:29719913:1 gene:B456_002G151300 transcript:KJB14939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G09820) UniProtKB/Swiss-Prot;Acc:Q5M755] MSAPISNKLIHPQPIHAPPLLTPISRINGKMKACCRAVSTIYPMAITTRFSPCFITKVAEPNAGLIGGEYDVLQDPNTLSHLKTELLQQVKGTNRGIFGVPSSKKSDIEALVKLLESHNPTPDPTLNLEKVGGWWKLVYSTITILGSKRTKLGLRDFITLGEFFQIIDIEKSKAVNVIKFNARGLKLLNGKLTIETSFKIASKSRVDVSYDNSTVTPDQLLNVFSKNYDVLLAIFNLEGWLEITYVDDRMRIGRDDKGNIFILERSEEGTV >KJB13807 pep chromosome:Graimondii2_0_v6:2:12049976:12052062:-1 gene:B456_002G095300 transcript:KJB13807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDLGRKKKTLAAATKKDGEEGETVAEEAKKSNHVLRKLEKRQQNRKLDPHIEDQFSSGRLLACISSRPGQCGRADGYILEGKELEFYMKKIQRRKGKGAA >KJB13805 pep chromosome:Graimondii2_0_v6:2:12050037:12053165:-1 gene:B456_002G095300 transcript:KJB13805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDLGRKKKTLAAATKKDGEEGETVAEEAKKSNHVLRKLEKRQQNRKLDPHIEDQFSSGRLLACISSRPGQCGRADGYILEGKELEFYMKKIQRRKGKGAA >KJB13806 pep chromosome:Graimondii2_0_v6:2:12050037:12051752:-1 gene:B456_002G095300 transcript:KJB13806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDLGRKKKTLAAATKKDGEEGETVAEEAKKSNHVLRKLEKRQQNRKLDPHIEDQFSSGRLLACISSRPGQCGRADGYILEGKELEFYMKKIQRRKGKGAA >KJB15763 pep chromosome:Graimondii2_0_v6:2:52649844:52651078:-1 gene:B456_002G194600 transcript:KJB15763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVIRSARSMTEKYAKAGARESSCGYQCTETKVDSTMSLLRGRFLHERPVEEERPPSMAVSELNQLSHYSEVKYPLACYFIRMCYISSTMSNLSL >KJB14548 pep chromosome:Graimondii2_0_v6:2:20426415:20429067:1 gene:B456_002G130600 transcript:KJB14548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRRVFPFLLFFLFSQILSFAFNPLQSNNPQIVQDVIEKIALKQKWELEGLNFSKLELSKVRFGTGKRYEFRIRFGKTYLLFKFPDEVSSLKKFTKRSSNDFLDFVNEINSSAVLEPFTMEGPFELRLSPGHQASLLFPLNTSHTDLKRVLVGHGITLQVNGAQEISLFHTFNFGLPANENGVKEKSSGYWPFSHSFCRSLLPVHVLGSVSLSAYRTRNPDAHIEAHFPSKDTIELLPEKCYGIDGYTKQPFPIESISLRIARLQKILRTFLGDRNNQKQFLGSLNVKTKASPIVHFQLELEKEIGNNESVRGMLAEWRTKPTVERLWFDVMTRVEGDKLKPLLIKKVRPFVSGDTVSWSNLLSNISFTKFPSILVPPEALTLDVKW >KJB14963 pep chromosome:Graimondii2_0_v6:2:30219589:30219625:-1 gene:B456_002G1516001 transcript:KJB14963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDLGELDGS >KJB12230 pep chromosome:Graimondii2_0_v6:2:482369:487869:1 gene:B456_002G007500 transcript:KJB12230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGSVYWGRNQEESKQVKGIVVIFAWVSIHEKHLKNYLDFYSSLGWNSLVSRADFLNVYYPERAMSLAFVLLNELLEPYSVLGFQKLKIRTCPVVFVAFSGSPKACMYKVFQIIQETGEGRLNPLIRNCVCGQIYDSGPVDFASDLNARFALHPSIRKMPVPSKLVSWIAKGVASGLDGLYLTRFESQRTEYWETLYSSVDLDAPYLILCSEKDDLAPYPVIRDFTQCLQDLGADVEIVKWNGSPHLEHYKHYPIQYKAAVASFLEKTTLVYSRRIRNLREMNGMHDEISELIYGLQKAAVDSNQSFRRVALGPSDHFFLPSSAKYHNSRESGSLQDERPISLPVQPSINAHSVLGQILFDACVPKNIEGWDIRYSGSSKGQPFASARRRSPPLGIKCNRRSRL >KJB12229 pep chromosome:Graimondii2_0_v6:2:482369:487869:1 gene:B456_002G007500 transcript:KJB12229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGSVYWGRNQEESKQVKGIVVIFAWVSIHEKHLKNYLDFYSSLGWNSLVSRADFLNVYYPERAMSLAFVLLNELLEKLKIRTCPVVFVAFSGSPKACMYKVFQIIQETGEGRLNPLIRNCVCGQIYDSGPVDFASDLNARFALHPSIRKMPVPSKLVSWIAKGVASGLDGLYLTRFESQRTEYWETLYSSVDLDAPYLILCSEKDDLAPYPVIRDFTQCLQDLGADVEIVKWNGSPHLEHYKHYPIQYKAAVASFLEKTTLVYSRRIRNLREMNGMHDEISELIYGLQKAAVDSNQSFRRVALGPSDHFFLPSSAKYHNSRESGSLQDERPISLPVQPSINAHSVLGQILFDACVPKNIEGWDIRYSGSSKGQPFASARRRSPPLGIKCNRRSRL >KJB12228 pep chromosome:Graimondii2_0_v6:2:482305:487885:1 gene:B456_002G007500 transcript:KJB12228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGSVYWGRNQEESKQVKGIVVIFAWVSIHEKHLKNYLDFYSSLGWNSLVSRADFLNVYYPERAMSLAFVLLNELLEKLKIRTCPVVFVAFSGSPKACMYKVFQIIQETGEGRLNPEIQLIRNCVCGQIYDSGPVDFASDLNARFALHPSIRKMPVPSKLVSWIAKGVASGLDGLYLTRFESQRTEYWETLYSSVDLDAPYLILCSEKDDLAPYPVIRDFTQCLQDLGADVEIVKWNGSPHLEHYKHYPIQYKAAVASFLEKTTLVYSRRIRNLREMNGMHDEISELIYGLQKAAVDSNQSFRRVALGPSDHFFLPSSAKYHNSRESGSLQDERPISLPVQPSINAHSVLGQILFDACVPKNIEGWDIRYSGSSKGQPFASARRRSPPLGIKCNRRSRL >KJB14993 pep chromosome:Graimondii2_0_v6:2:31528374:31534963:-1 gene:B456_002G153900 transcript:KJB14993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSNSTTLGRTKSDQLLETLAAVFKSPTSQSDLAPGTSDSGGTLSRKSSRRLVVGASPARSSGGGSRNAHIRKARSAQMKLDLEELSSGAALSRASSASLGFSFSFTGFTVPPDEIADSKLFSDDDIPEDIEAGICRPKFQAEPTLPIYLKFREVSYKVVIKGMTSSEERDILNGISGDVSPGEVVALMGPSGSGKTTLLNILGGRLTHSAVAGSVTYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLPLTLTKQQKEKRALDVIYELGLDRCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRTVQTLQDIAETGKTVLTTIHQPSSRLFHKFDKLIVLGKGSLLYFGKASEATDYFSSIGCSPLIAMNPAEFLLDLANGNLNDISVPPELEDRVQMENSETETRNGKPPPQVVHEYLVEAYELRFSENENKLMDPLHLDEEPKLKVLSSKRQWGASWWQQYCILFIRGIKERRHDYFSWLRITQVLSTAIILGLLWWQSDSKTSRGRQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFILVVYFMAGLRLSASPFFLSMLTVFLCIVAAQMACFLQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVEKVPVFISWIRYLSFNYHTYKLLLKVQYQDMVPPLKGIQTDNGSREVGALVAMIFGYRLLAYLSLRRMKLHS >KJB14995 pep chromosome:Graimondii2_0_v6:2:31528807:31534963:-1 gene:B456_002G153900 transcript:KJB14995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSNSTTLGRTKSDQLLETLAAVFKSPTSQSDLAPGTSDSGGTLSRKSSRRLVVGASPARSSGGGSRNAHIRKARSAQMKLDLEELSSGAALSRASSASLGFSFSFTGFTVPPDEIADSKLFSDDDIPEDIEAGICRPKFQAEPTLPIYLKFREVSYKVVIKGMTSSEERDILNGISGDVSPGEVVALMGPSGSGKTTLLNILGGRLTHSAVAGSVTYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLPLTLTKQQKEKRALDVIYELGLDRCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRTVQTLQDIAETGKTVLTTIHQPSSRLFHKFDKLIVLGKGSLLYFGKASEATDYFSSIGCSPLIAMNPAEFLLDLANGNLNDISVPPELEDRVQMENSETETRNGKPPPQVVHEYLVEAYELRFSENENKLMDPLHLDEEPKLKVLSSKRQWGASWWQQYCILFIRGIKERRHDYFSWLRITQVLSTAIILGLLWWQSDSKTSRGRQDQVYIYIHHLFHY >KJB14994 pep chromosome:Graimondii2_0_v6:2:31528374:31535314:-1 gene:B456_002G153900 transcript:KJB14994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSNSTTLGRTKSDQLLETLAAVFKSPTSQSDLAPGTSDSGGTLSRKSSRRLVVGASPARSSGGGSRNAHIRKARSAQMKLDLEELSSGAALSRASSASLGFSFSFTGFTVPPDEIADSKLFSDDDIPEDIEAGICRPKFQAEPTLPIYLKFREVSYKVVIKGMTSSEERDILNGISGDVSPGEVVALMGPSGSGKTTLLNILGGRLTHSAVAGSVTYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLPLTLTKQQKEKRALDVIYELGLDRCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRTVQTLQDIAETGKTVLTTIHQPSSRLFHKFDKLIVLGKGSLLYFGKASEATDYFSSIGCSPLIAMNPAEFLLDLANGNLNDISVPPELEDRVQMENSETETRNGKPPPQVVHEYLVEAYELRFSENENKLMDPLHLDEEPKLKVLSSKRQWGASWWQQYCILFIRGIKERRHDYFSWLRITQVLSTAIILGLLWWQSDSKTSRGRQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFILVVYFMAGLRLSASPFFLSMLTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVEKVPVFISWIRYLSFNYHTYKLLLKVQYQDMVPPLKGIQTDNGSREVGALVAMIFGYRLLAYLSLRRMKLHS >KJB14806 pep chromosome:Graimondii2_0_v6:2:26145521:26147439:1 gene:B456_002G144100 transcript:KJB14806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHNPISISQVELPTRSESEAVEEKDAEEASKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFVAKTPKQTTPRSVLPSPNQDNIMLDPKKAQNIVILLRVINVTVEEVCEALLEGNADTLGTELLESLLKMAPTKEEERKLKDYKDDSPVKLRPAEKFLKAVLDIPFAFKRVDAMLYMANFESEVEYLKKSLS >KJB15186 pep chromosome:Graimondii2_0_v6:2:42743180:42745968:1 gene:B456_002G170300 transcript:KJB15186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRNQEGNTMELVCVGGEVKQGLLLQKQEEKTSLRTLLRTWPRKGIQRGATPVATAAARRGYPGSPAVVGR >KJB12062 pep chromosome:Graimondii2_0_v6:2:44775670:44777242:1 gene:B456_002G175500 transcript:KJB12062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLWVIYPDFTLLFKPWHEIGWSPNISLTEERKTLILSLQRDERKGKLVFYFKARAEAGNYIIFMSLDKYENAELIKYGFIEDIWYKKHLSSVDLTKDFFFSYSYNVMCSLPKNLYNNEPGEVLYETMFVWNEFLTRGIRNHLKNTLWTVALVYGFFKQASFSVSGRSFKLLHIARRSRHYEPAKR >KJB15253 pep chromosome:Graimondii2_0_v6:2:41459171:41463625:1 gene:B456_002G167100 transcript:KJB15253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSGRGYPTNGSIYVCNLPEGTDESMLAEYFGTIGLLKKDKRTGRPKIWLYRDKVTNEPKGDATVTYEDPHAALAAVEWFNDKDFHGSTIGVFMAESKSSNAVVDVPTAAADDGGFEDDAAKDMDGGGGRGRGRVDASGKTWQQEGDWLCPNTSCSNVNFSFRGVCNRCGTARPAGASGGGGGAGGRGRGRGAPDSGGHGRAVAATGGLFGPNDWPCPMCGNINWAKRMKCNICNTNKPGHNEGGVRGGRAGGYKELDEEEIEETRRRRREAEDDGEMYDEFGNLKKKFRAKTQQAESARVLTGSGRAGWEVEELGIRFITLIITSYEDALFLDTDCHTENER >KJB15254 pep chromosome:Graimondii2_0_v6:2:41459171:41464168:1 gene:B456_002G167100 transcript:KJB15254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSGRGYPTNGSIYVCNLPEGTDESMLAEYFGTIGLLKKDKRTGRPKIWLYRDKVTNEPKGDATVTYEDPHAALAAVEWFNDKDFHGSTIGVFMAESKSSNAVVDVPTAAADDGGFEDDAAKDMDGGGGRGRGRVDASGKTWQQEGDWLCPNTSCSNVNFSFRGVCNRCGTARPAGASGGGGGAGGRGRGRGAPDSGGHGRAVAATGGLFGPNDWPCPMCGNINWAKRMKCNICNTNKPGHNEGGVRGGRAGGYKELDEEEIEETRRRRREAEDDGEMYDEFGNLKKKFRAKTQQAESARVLTGSGRAGWEVEELGIRCD >KJB15252 pep chromosome:Graimondii2_0_v6:2:41459084:41464174:1 gene:B456_002G167100 transcript:KJB15252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSGRGYPTNGSIYVCNLPEGTDESMLAEYFGTIGLLKKDKRTGRPKIWLYRDKVTNEPKGDATVTYEDPHAALAAVEWFNDKDFHGSTIGVFMAESKSSNAVVDVPTAAADDGGFEDDAAKDMDGGGGRGRGRVDASGKTWQQEGDWLCPNTSCSNVNFSFRGVCNRCGTARPAGASGGGGGAGGRGRGRGAPDSGGHGRAVAATGGLFGPNDWPCPMCGNINWAKRMKCNICNTNKPGHNEGGVRGGRAGGYKELDEEEIEETRRRRREAEDDGEMYDEFGNLKKKFRAKTQQAESARVLTGSGRAGWEVEELGVIDRDGRERSRDRGRERDDRESSKNREHEDRERRRSRSRERDRGKDRSRDYDYERDRDYGRDRDRGRYFY >KJB12506 pep chromosome:Graimondii2_0_v6:2:1537097:1538090:-1 gene:B456_002G022000 transcript:KJB12506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPPTAAITAALRNCSLNHETTTTGVGSLEEEGKIRRPMAPKDNDDVLQNGTVSGTTLDLNPHLSIPHYREQCLVLETGEDPKTAAEYGGDSYSENDDDGGGDDDDNNWYDSEESSPSSSFSSSRDYKNDHHSHGIEEENDDVLVVAGCKSCYMYFMVAKKTEGCPKCNGQLLRFDHHHE >KJB16549 pep chromosome:Graimondii2_0_v6:2:59576586:59580458:1 gene:B456_002G235300 transcript:KJB16549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHNKSVMRNKFASLLVLSLVTVVLSITDPGDLDVLMQFRDGLENPELLKWPENGGDPCGPPSWNHVFCAESRVTQIQAQGMGLKGSLPQNLNKLTMLNNIGLQRNQLNGKLPSFSGLSNLQYAYLDYNNFDSIPADFFDGLDDLEALALDHNNFNATTGWSIPKALQNSAQLTNFSCMSCNLIGSLPDFLGSMPSLTNLKLSDNKLSGEIPNTFNGSVLQMLWLNGNQFTGPIDVVATMESLTVLWLHGNLFSGPIPDNIGNLTLLQDLNLNTNNLVGLIPNSLANMKLDTIDLNNNQFMGPIPMFKASNVTCASNKFCKASQGLPCSPEVMALLRFLRGVNYPSRLVSSWTDNEPCNWVGIRCNGEKVSIINLPHYNLSGTLSPSVANLDSLSQIRLQSNNLSGPVPDNWTSLKSLETLDLSGNNISGPLPKFGSTVKLLIADNPLLNGDKKAPSTGDNAPSRSLGFPTNSRSTSSKGSGSSPTDSSVESTEPKGSKQSTFVSIVVPVASFVVLAFLVVPLSIYCCKKRQDSKLAPSLVIHPRDLSDSDNAVKVVVVSNTKGSTSALTGSGSASRNSSNIGESHIIEAGNLVVSVQVLRNVTKNFAPENELGRGGFGVVYKGELDDGTQIAVKRMEAGVITSKALDEFQSEIAVLSKVRHRHLVSLLGYSIEGNERILVYEYMSQGALSQHLFHWKSLKLEPLSWKRRLNIALDVARGMEYLHTLAHQSFIHRDLKSSNILLGDDFRAKVADFGLVKLAPDGEKSVVTRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELLTGLMALDDGRPEETQYLAAWFWHIKSDKEKLRAAIDPTLDIKDETFESISIIAELAGHCTAREPNQRPDMGHAVNVLAPLVEKWKPLDDDSDEYCGIDYSLPLNQMVKGWQEAEGKEFSYMDLEDSKGSIPARPTGFADSFTSADGR >KJB16548 pep chromosome:Graimondii2_0_v6:2:59576802:59580461:1 gene:B456_002G235300 transcript:KJB16548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHNKSVMRNKFASLLVLSLVTVVLSITDPGDLDVLMQFRDGLENPELLKWPENGGDPCGPPSWNHVFCAESRVTQIQAQGMGLKGSLPQNLNKLTMLNNIGLQRNQLNGKLPSFSGLSNLQYAYLDYNNFDSIPADFFDGLDDLEALALDHNNFNATTGWSIPKALQNSAQLTNFSCMSCNLIGSLPDFLGSMPSLTNLKLSDNKLSGEIPNTFNGSVLQMLWLNGNQFTGPIDVVATMESLTVLWLHGNLFSGPIPDNIGNLTLLQDLNLNTNNLVGLIPNSLANMKLDTIDLNNNQFMGPIPMFKASNVTCASNKFCKASQGLPCSPEVMALLRFLRGVNYPSRLVSSWTDNEPCNWVGIRCNGEKVSIINLPHYNLSGTLSPSVANLDSLSQIRLQSNNLSGPVPDNWTSLKSLETLDLSGNNISGPLPKFGSTVKLLIADNPLLNGDKKAPSTGDNAPSRSLGFPTNSRSTSSKGSGSSPTDSSVESTEPKGSKQSTFVSIVVPVASFVVLAFLVVPLSIYCCKKRQDSKLAPSLVIHPRDLSDSDNAVKVVVVSNTKGSTSALTGSGSASRNSSNIGESHIIEAGNLVVSVQVLRNVTKNFAPENELGRGGFGVVYKGELDDGTQIAVKRMEAGVITSKALDEFQSEIAVLSKVRHRHLVSLLGYSIEGNERILVYEYMSQGALSQHLFHWKSLKLEPLSWKRRLNIALDVARGMEYLHTLAHQSFIHRDLKSSNILLGDDFRAKVADFGLVKLAPDGEKSVVTRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELLTGLMALDDGRPEETQYLAAWFWHIKSDKEKLRAAIDPTLDIKDETFESISIIAELAGHCTAREPNQRPDMGHAVNVLAPLVEKWKPLDDDSDEYCGIDYSLPLNQMVKGWQEAEGKEFSYMDLEDSKGSIPARPTGFADSFTSADGR >KJB14553 pep chromosome:Graimondii2_0_v6:2:20531139:20534323:-1 gene:B456_002G131000 transcript:KJB14553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSQQLAFLLFILYVKCLFLSIESNTSLVTDKEALLSFKSQIKTSGFPNPLSQWDPNSSPCNWTGVVCNKHHTRVVELNLSGFHLEGSISPHVGNLSFLRSLQLQDNQLSGQLPDQMWNLFRLRDLNMSQNNLYGVIPSNISKLTELRSLDLMTNKITGAVPEDLDQLVQLQVLNLGRCLFTGTIPASIANISSLQTLNLGTNNLTGAIPTELSHLRKLKELDLTINHLTGTVPSSIYNMSSLVVLALASNHLWGRLPYDVGVTLPNLLVFNFCYNEFTGGIPGSLHNLTNIKIIRMAHNLLQGTVPPGLGNLPFLEMYNIGFNKIVTTGDDSLEFIITSLTNSSRLKFLALDGNLLEGEIPESIGNLSEVLSILYMGGNRISGNIPPSIAQLSGLTLLNLSYNSISGEIPPEMGKLVELQMLGLAGNQISGRIPTSLGDLRKLNQIDLSGNQLVGQIPSSFQNFQKLLSTDLSNNRLNGSIPKEILNIPSLSTVLNFSRNSLNGPLPEEIGLLESVVVIDLSMNHLSGNIPSSIEGCKSLEKLFMAENMLSGPIPGTIGELKGLEMLDLSSNQLSGSIPTDLQKLQDLESLNLSFNDLEGSLPSGGIFKNLSSVHLEGNRKLCLSLACKNTHGHHGRLVKIYVSIAVITTFALCFIMASLFHIKKGKPKATGSSEQLKEQHQMISYHEIRRATRNFNPGNLIGKGSFGSVYKGYLNDVHVAIKVLDVARTESWKSFRAECEALRNVRHRNLIKLITSCSSVDIKNVEFLALVYEFLANGNVQDWLKGNKRNADGDGLNVMERLDVAIDVASALDYLHHDCEVPVVHCDLKPSNILLDQDMTAKVGDFGLARLLMEKSSSQPSISSTNILKGSIGYIPPEYGFGEKPSTGGDVYSYGVMLLELFTGKSPTDESFVGELNLIKWTQSAFPSKVHQILDPELLLLLQNLQYDSQPINPETHHDCLTAVIGVGLSCTSVSPNGRITMRDVLCKLKKVKRTLTNPSPPVENRA >KJB13605 pep chromosome:Graimondii2_0_v6:2:10644473:10645102:-1 gene:B456_002G084100 transcript:KJB13605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTVKQRFFLAVLVLTFPLSAISGDPDILSDFVVPIGVNATLLGGNFFTYTGMRPLINSDPPTNFIVTKGTMAEFPALNGQTVSYAVLEYPAGSVNPPHTHPRAAELLFLTYGILEVGFVDTTNKLFTQILQAGDIFVFLKGLVHYQFNCAKSDFAVAVSAFGSAAAGTISVPSTVFVTNIDDEILAKSFKIDMQTIQKLKAGFAPKA >KJB16089 pep chromosome:Graimondii2_0_v6:2:55973178:55975814:1 gene:B456_002G212400 transcript:KJB16089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KIYNYFFVTIRSHNTLQSYHYYITMSRRPVNPARRFADGGSIPFVGSVQSKTRSSPFLSIGLLVVGAILLIGYLHYGSGGSTTVKEALSKVEGGSSCTLEVQRALPVLKKAYGDSMHKVLHVGPDTCSVVSKLLKEDDTQAWGVEPYDLDDADAGCKSLVHKGIVRVADIKFPLPYRAKSFSLVIVSDALDYLSPRYLNRTLPELARVSSDGVIIFSGYLGQQRAKVTELSKFGRPAKYRSSTWWIRYFVETKLEENEAAANKFEQASTKRSYKPACQVFHLRSLH >KJB16086 pep chromosome:Graimondii2_0_v6:2:55972036:55975814:1 gene:B456_002G212400 transcript:KJB16086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPVNPARRFADGGSIPFVGSVQSKTRSSPFLSIGLLVVGAILLIGYLHYGSGGSTTVKEALSKVEGGSSCTLEVQRALPVLKKAYGDSMHKVLHVGPDTCSVVSKLLKEDDTQAWGVEPYDLDDADAGCKSLVHKGIVRVADIKFPLPYRAKSFSLVIVSDALDYLSPRLSRSAES >KJB16088 pep chromosome:Graimondii2_0_v6:2:55971987:55975814:1 gene:B456_002G212400 transcript:KJB16088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPVNPARRFADGGSIPFVGSVQSKTRSSPFLSIGLLVVGAILLIGYLHYGSGGSTTVKEALSKVEGGSSCTLEVQRALPVLKKAYGDSMHKVLHVGPDTCSVVSKLLKEDDTQAWGVEPYDLDDADAGCKSLVHKGIVRVADIKFPLPYRAKSFSLVIVSDALDYLSPRYLNRTLPELARVSSDGVIIFSGYLGQQRAKVTELSKFGRPAKYRSSTWWIRYFVETKLEENEAAANKFEQASTKRSYKPACQVFHLRSLH >KJB16085 pep chromosome:Graimondii2_0_v6:2:55972036:55975814:1 gene:B456_002G212400 transcript:KJB16085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPVNPARRFADGGSIPFVGSVQSKTRSSPFLSIGLLVVGAILLIGYLHYGSGGSTTVKEALSKVEGGSSCTLEVQRALPVLKKAYGDSMHKVLHVGPDTCSVVSKLLKEDDTQAWGVEPYDLDDADAGCKSLVHKGIVRVADIKFPLPYRAKSFSLVIVSDALDYLSPRYLNRTLPELARVSSDGVIIFSGYLGQQRAKVTELSKFGRPAKYRSSTWWIRYFVETKLEENEAAANKFEQASTKRSYKPACQVFHLRSLH >KJB16087 pep chromosome:Graimondii2_0_v6:2:55973250:55974780:1 gene:B456_002G212400 transcript:KJB16087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPVNPARRFADGGSIPFVGSVQSKTRSSPFLSIGLLVVGAILLIGYLHYGSGGSTTVKEALSKVEGGSSCTLEVQRALPVLKKAYGDSMHKVLHVGPDTCSVVSKLLKEDDTQAWGVEPYDLDDADAGCKSLVHKGIVRVADIKFPLPYRAKSFSLVIVSDALDYLSPRYLNRTLPELARVSSDGVIIFSGNVLIVFVMFEIK >KJB13804 pep chromosome:Graimondii2_0_v6:2:12043040:12046125:1 gene:B456_002G095200 transcript:KJB13804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKHATLFKILLALFALNSITLYLYFSSSHHHHDNRRDTTAEGFPAIIPHRGPHLSKPWPIIPSYLPWSLTSNVPSKSCEAYFGTGFTKSANVLPAKAAVRSGSSWFRCHYSWTLRSSICEGGKIRMDPGKIKMSRGGEKLEDVIGRTEDEEMPEFEDGAFEVEAEGRGSRSKTKKLVGEEFLNEFLPVGNVMKHTMRELVRSIVVVGETDFTCQEWVEEPTLLITRFEYANLFHTVTDWYSAYVSSRVTGLPNRPHLVFVDGHCETQLEETWKALFSSLRYAKNFSGPVCFRHAVLSPLGYETALFKGLSEDIDCQGASAHDLWQSPDDKKTARLSEFGEMIRDSFGFPVNRHHSDKTVSGHYNILFVRREDYLAHPRHRGKVESRLSNEQEVFDSLQKWASDHQECKVNLVNGLFAHMSMKEQVRAIQDASVIIGAHGAGLTHIVSATPNTVILEIISSFFRRPHFQLIAQWKGLEYHAINLDGSYANPGVVIDRLNKIMRSLGC >KJB13803 pep chromosome:Graimondii2_0_v6:2:12038556:12040507:1 gene:B456_002G095100 transcript:KJB13803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKEGSCVGLNESRLVVRKFLARPQPEGVGAVVRRSIGRFELRYFDPFLVLDEFSVTAPAGFPDHPHRGFETVTYMLQGAVKHEDFDGHKGTIGAGDLQWMTAGRGIVHSEMPAAQGTQKGLQLWINLSSKYKMIEPRYQEISSKDIAEATKDGIKVRVIAGEALGTKSAVYTRTPTMYLDFTLEPGAHLQQPIPESWNAFVYVLEGEGIFGRSKSSPVNAHHLLLLGSGDGLEAWNKSSKLLRFILVGGEPLCEPLVQFGPFVMNTQEEIDRTINDFENYTNGFEKARHWRSESGLTLDF >KJB13088 pep chromosome:Graimondii2_0_v6:2:5384585:5387538:-1 gene:B456_002G056200 transcript:KJB13088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT6E [Source:Projected from Arabidopsis thaliana (AT1G80510) UniProtKB/Swiss-Prot;Acc:Q9M8L9] MDSNYSAIPKGSFVELQMHNEPHDFRSQQKSLLSDDGNRVNHPKVIDDFDDLNDDDDDDFDTDVDVDVDLDDYTLVLSKPNTGSGVSGAVFNLTTTIIGAGIMALPATMKVLGLVLGIFLIILIGILSEISVEMLIRFAVSCKARSYGEVVQIAMGRTARVLSEICIIVNNAGVLIVYLIIMGDVMSGSVRHIGVFDQWLGHGFWDHRKLLVLVVMVVFLAPLCVLDRIDSLSMTSAASVALAVVFVVVCFAVAFIKLIEGKIEAPRMSPDFGSKMAILDLLVVIPVMTNAYVCHFNVQPIYNELEGRSPQKMNQVGRITTVLCVVVYASTAISGYLLFGKDTEGDVLTNFDRNLGIRFSTALNYIVRVGYILHLVLVFPVIHFSLRQTVDNLVFEGSAPLTESKKRSLTLTVILLVLIYIGSTMIPNIWTAFKFTGATTAVSLGFTFPALIALRISQQEESMSLSRVEKFLSWLMLILAVIVSIAGIIGNIYTIQSSSE >KJB13089 pep chromosome:Graimondii2_0_v6:2:5384604:5387531:-1 gene:B456_002G056200 transcript:KJB13089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT6E [Source:Projected from Arabidopsis thaliana (AT1G80510) UniProtKB/Swiss-Prot;Acc:Q9M8L9] MDSNYSAIPKGSFVELQMHNEPHDFRSQQKSLLSDDGNRVNHPKVIDDFDDLNDDDDDDFDTDVDVDVDLDDYTLVLSKPNTGSGVSGAVFNLTTTIIGAGIMALPATMKVLGLVLGIFLIILIGILSEISVEMLIRFAVSCKARSYGEVVQIAMGRTARVLSEICIIVNNAGVLIVYLIIMGDVMSGSVRHIGVFDQWLGHGFWDHRKLLVLVVMVVFLAPLCVLDRIDSLSMTSAASVALAVVFVVVCFAVAFIKLIEGKIEAPRMSPDFGSKMAILDLLVVIPVMTNAYVCHFNVQPIYNELEGRSPQKMNQVGRITTVLCVVVYASTAISGYLLFGKDTEGDVLTNFDRNLGIRFSTALNYIVRVGYILHLVLVFPVIHFSLRQTVDNLVFEGSAPLTESKKRSLTLTVILLVLIYIGSTMIPNIWTAFKFTGATTAVSLGFTFPALIALRISQQEESMSLSRVEKFLSWLMLILAVIVSIAGIIGNIYTIQSSSE >KJB13115 pep chromosome:Graimondii2_0_v6:2:6209071:6212938:1 gene:B456_002G0578001 transcript:KJB13115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFRQYFALVLANQMASGLFRFIAATARNMIVANTVGSFALLVLFALSGFVLSRDDIKSWWIWGYWISPMMYGQTALMVNEFRGNQWSHSLPGSTEAAGIDVLKSRDFFQEPKWYWIGVGGLIGFIILFNIFFTVALTYLNPFGSSRAVISEQTESHEQTDGIGVGGSIQLTNNESSSNNVTSSAIQEEVQRSISSKSSSVTEATIGTISIKKKGMVLPFEPHSITFDNVVYSVDMPQEMKEQGITEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGNITISGFPKKQETFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLPAEVKAETRKMFIEEVMELVELNPLRQAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGHHSKHLIKYFEGIQGVSKIKDGYNPATWMLEVTTTAQELALGVDFTDIYKNSDLYRRNKVLIEDLSKPAPGSKELHFPTQYSQSFLTQCVACLWKQHWSYWRNPPYTAVRFLFTTVIALMFGTMFWDLGSKMNSGQDLTNAMGSMYAAVLFLGVQNSSSVQPVVVVERTVFYRERAAGMYSAMPYAISQVIIEMPYIFIQAATYGLIVYAMIGFEWTAAKFFWYLFFMYFTLLYFTFYGMMAVAVTPNYHIASIVSAAFYGIWNLFSGFIIPRPSMPVWWQWYYWACPVAWTLNGLFVSQFGDIKTPLEDGDFVGQSVEQYLRSRYGFRHDFLGVVVAVILGFAVLFASIFTVSIKLFNFQRR >KJB12222 pep chromosome:Graimondii2_0_v6:2:440625:443976:1 gene:B456_002G007000 transcript:KJB12222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15820, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15820) UniProtKB/Swiss-Prot;Acc:Q9XIL5] MDVQQMIVAANKAQCFVSPPLSTLIITINTPSLNPNTPAKTPMRTSLSFLRLFRPLSRPQTVLFRPLIPRYAAPRFSPSFSRHFSVSSAPFSASAVGFVEKEREEEERWDFSDNETEAKLVFEDNDGVFADNDMKHLVAPEIEVKELDELPEQWRRSKLAWLCKELPAHKAGTLVRILNAQRKWLKQEDATYLAVHCMRIRENETGFRVYKWMMQQHWYRFDFALATKLADYMGKERKFTKCREIFDDIINQGRVPSESTFHILIVAYLSTPVQGCLDEACGIYNRMIQLGGYQPRLSLHNSLFRALLNRPGGSSKYYLKQAEFIFHNLETCGLEMQKEIYTGLIWLHSYQDTVDKERIKLLRKMMRESGMEEGKEVLISILRACSKDGDVEEAERTWIKLLGSNDSIPSQAFVYKMEVYSKVGEITKSLEVFREMKKCLGYTSIASYHKIIEVLCESEQMDLAESFMKELIESGMKPLMPSYIKLTDTYLRLNYHDKLESTFLECLEKCRPNRTIYSIYLSSLVKVGNLGKAEEIFNHMGENVTIGVNAKSCNTILYGYLSSGDNSKAEKIYDLMCQKKFEIESPLMEKLESVLRSSRKEVKKPVSLKLSKEQREILMGLLLGGLRIDSDEERKNHMIRFEFNPSSIPHSILKRHIHDQYHEWLHPSSKLTAGNGDIPHKFNTISHSYFGFYADQFWPKGQPVIPKLIHRWLSPIVLAYWYMYGGYRTSAGDILLKLKGSSEGVKKVVKTLKSKSLNCRVKRKGRVFWIGFLRTDSMWFWKLVEPYVLDELKDFLKAGSETADDCAVKSRDINFDSASDSDEKGSSDYSEDDNP >KJB13873 pep chromosome:Graimondii2_0_v6:2:12611009:12613137:1 gene:B456_002G099300 transcript:KJB13873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNDENEPLLSGLATPRTQKTNGDRPKKRRFRRVRSAPFADFVPTDGNREAALPRSGSIFGRLNPSLKKVALFLTLYMGLGTICFYTARTQIKGDKTNGILDALYFCVVTMTTVGYGDLVPNSDLAKLLACAFVFTGMALVGLVLTKAADYLAEKQEILLVKALHMNQKVGELQVLKEIETNRVKYKFYTTLIILVVLILTGTIFLYEVENLGLVDSFYCVCSTITTLGYGDKSFSTEGGRIFAVFWILASTICLAQCFLYVAEVNTENRQRALVKWVLSRRTTNVDLEAADIDDDGVVGAAEFVLFKLKEMGKICQQDISVIMEEFENLDVDQSGTLSVSDISEAQSVETRMP >KJB13872 pep chromosome:Graimondii2_0_v6:2:12611288:12612926:1 gene:B456_002G099300 transcript:KJB13872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNDENEPLLSGLATPRTQKTNGDRPKKRRFRRVRSAPFADFVPTDGNREAALPRSGSIFGRLNPSLKKVALFLTLYMGLGTICFYTARTQIKGDKTNGILDALYFCVVTMTTVGYGDLVPNSDLAKLLACAFVFTGMALVGLVLTKAADYLAEKQEILLVKALHMNQKVGELQVLKEIETNRVKYKFYTTLIILVVLILTGTIFLYEVENLGLVDSFYCVCSTITTLGYGDKSFSTEGGRIFAVFWILASTICLAQCFLYVAEVNTENRQRALVKWVLSRRTTNVDLEAADIDDDGVVGAAEFVLFKLKEMGKICQQDISVIMEEFENLDVDQSGTLSVSDISEAQSVETRMP >KJB16274 pep chromosome:Graimondii2_0_v6:2:57434824:57436646:-1 gene:B456_002G220500 transcript:KJB16274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNITIKSGQQQYSLTHHGNQSPHSDHVKSDMPKTSGKLLVLKPGWENGVSSPTHKDVASPTTNMNSRGTTSQHAVAPVTSASSINSKNQKLSAGERKASTLNPVAGFTVEKKPSLAQTQNRNDFFNLLKKKTSMNSAAGLADSDPQNSSSTTEKSEVSKEVVTASAPACTNENHTAATSNGGTRHDAKRFSDDGENYMTSTSMVYPDEEEAAFLRSLGWEENSGEDEGPTKEEINAFYQEYMKLRPSLKLCSSMLPKLAHTFATNLDGASEPSSSDSVSEACLSLSLHSKSKEVPILMADL >KJB12448 pep chromosome:Graimondii2_0_v6:2:1259105:1261148:1 gene:B456_002G018500 transcript:KJB12448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIFSISLSLDPIMTRCWDCATGQAMEELKAIRRDLMSRVRVAEDEQQLKRLNQVEGWLSRAETLINDADQLIVQSPQHVENLCMGGCCSTHPRSSIKFGKKIAKKLLEVKNQKENGDFSDVASKPPLPSATERPSEPTVGLESNFNKVWSCLQKEQVGIIGIYGLGGVGKTSLLNQINNKFHDTTHDYHVIWAVASQDRPIERSLDEKAEGIFQVLCKKKFALLLDDIWEWFDLTRAGVPLPPKENGSKVIFTTRRRDVCCQMQPNMDNNIRVECLPPGEAFKLFEEKVGSETLRMHPKICKLAEAVVEECAGLPLALITIGRAMASKKTPREWEYAIEVLRQSAASVLPGVGKEMYPKLRFSYDCLPDERFRSCFLYCSLYPEDFLINEDELIDCWIGERLLDEHANLSNSRNQGHFIIGSLIDACLLEKGQYNDRVKMHDVIRDMALWIAGESENERFFVKSGVQLKEQPKAKKWEEVTRMSLRKNQIENLTEILECPNLQTLFLGRNDFKVIMDDFLNFMPMLRLVSLEHLNLSRRGIKKLPVEMKALAKLKYLNLEGTGDLKMIPQQLISSFSKLQVLKMEGCGYGCLLVLEEMEHLKYLNVLTLTFRSASELEKASRFNKFFSCAIEC >KJB12784 pep chromosome:Graimondii2_0_v6:2:2840895:2842484:1 gene:B456_002G036500 transcript:KJB12784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEARHLNLFPPQFTGNREMMNPIQGNGNMYQTEIGYGVPLSGTTTVAEGLLPLYNSTATAAMKSESSLTYNNNVLPLPRKRSRDSINPILSFPSPVQQQSNNNNGNNKTCFLGHDISFHMEQQQLDIDRIISQHMEKMRVEMEERRKRQARKIMEAIEGGVMKKLKAKEEEIEKIGKLNWALEERVKSLCVENQIWRDLAQTNEATANALRTNLEQVLAAAANNREEAEAAAADEVHDAQSCCGSNWEATAEKTVAGGGGRECRNCGKEEACVLLLPCRHLCLCTICGSTLHVCPVCKSTKNASVHVNL >KJB12786 pep chromosome:Graimondii2_0_v6:2:2841254:2842224:1 gene:B456_002G036500 transcript:KJB12786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPIQGNGNMYQTEIGYGVPLSGTTTVAEGLLPLYNSTATAAMKSESSLTYNNNVLPLPRKRSRDSINPILSFPSPVQQQSNNNNGNNKTCFLGHDISFHMEQQQLDIDRIISQHMEKMRVEMEERRKRQARKIMEAIEGGVMKKLKAKEEEIEKIGKLNWALEERVKSLCVENQIWRDLAQTNEATANALRTNLEQVLAAAANNREEAEAAAADEVHDAQSCCGSNWEATAEKTVAGGGGRECRNCGKEEACVLLLPCRHLCLCTICGSTLHVCPVCKSTKNASVHVNL >KJB12785 pep chromosome:Graimondii2_0_v6:2:2840944:2842447:1 gene:B456_002G036500 transcript:KJB12785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEARHLNLFPPQFTGNREMMNPIQGNGNMYQTEIGYGVPLSGTTTVAEGLLPLYNSTATAAMKSESSLTYNNNVLPLPRKRSRDSINPILSFPSPVQQQSNNNNGNNKTCFLGHDISFHMEQQQLDIDRIISQHMEKMRVEMEERRKRQARKIMEAIEGGVMKKLKAKEEEIEKIGKLNWALEERVKSLCVENQIWRDLAQTNEATTRCTMHNLVAGATGKQQRRKPSPVVEDGSVGIAGRKKRVCCCCRVGICACVRFVGLHFTFAPFVNPLKMLVFMLTCDKPLKEKKNTQKIFSRRNCWIPKFCN >KJB17044 pep chromosome:Graimondii2_0_v6:2:62286957:62292741:-1 gene:B456_002G262400 transcript:KJB17044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAFPTRFDKLENLRYLNLSEAGFTGQIPIEISRLTRLVTLDLSIDTLFRTPLKLEKPNLKTLVQNLTKLRILYLYGVNISAMGNEWCQALSPLTELNNLSGLIPQFFTKFPNLTCLSLSSGNFSGPIPSFSSSINLRDLILALNQLNGTIHSTDWSGLSKLEIIGLGNNKLSGTIPPTLFGIPSLRTLSLSHNQFNGSIGDFHGKASSLINTLDLSNNMLQGQFPMFVFELHGLTSLNLSSNNFSGLIPVSAFQNLRNLSALGLSYNRWSIDATTINISSLSFPTIAELDLASCNLTEFPGFLKNWISLHVLDLSKNQIHGKIPDWIWKPIDLARLNLSQNFLVGFERPLKNITSSVEILDLHGNQLQGEIPILSNAAYLDYSNNNFNSVLPAQIGDSLQYAFFFSLSNNNIQGSIPESICNSKYLEVLDLSNNSLSGPIPQCLFQMNRSLGVLNLRRNNLSGIISDTSSKSCQLQTLNLNQNRLEGKVPNSLVNCKMVKLLDIGNNHINDSFPCHLKNIATLRVVVLRSNKFNGYIDCPGNNSGWKMLQILNLASNNFSELQHLQCEDLRSGVKYQDAITMTIKGLVLELVKIPTVFTYIDISCNNFEGPIPEVIGKFNALYSLNVSHNAFTGPIPSSFGNLRELESLDLSSNSLRDFGDDDFKYYEDAIPVTIKGNKLELVKIPVNNFEGPKPEVIGKFEALDALNFSHNAFTGSIPTTFGNLRELGSYSLVGPIPASTQLQSFSEASFENNSGLCGPPLKTMCGLPPAKEDSPSDSETGSIIH >KJB13476 pep chromosome:Graimondii2_0_v6:2:9144204:9145947:1 gene:B456_002G077200 transcript:KJB13476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPPGCDKNGLKKGPWTAEEDQKLIDYIQKHGHGRWRTLPKNAGLKRCGKSCRLRWTNYLRPDIKRGKFSIEEDEVIIQLHSVLGNKWSAIAARLPGRTDNEIKNYWNTHIKKKLLRMGIDPVTHAPRLDLLSSLLSSSLYNSSLNPAGFVGSMFNPSFFSLATAFLSSNQTKNLEINNLAGNIQQIQNPYEPYQGNQVPVITQVAQADLNQFSANPGLPNLWQIDNNVSDSKGFLPTTMQNINGYNHGFYLGDQNLQQVCTFSEKIPNLVPFGSLLSTPSSSSTPLNNGSSTEDIERDSYCSSNNMLMFDVPKGLNVNGCM >KJB12339 pep chromosome:Graimondii2_0_v6:2:841044:846115:-1 gene:B456_002G012900 transcript:KJB12339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CQCICFFLSVVFIFVNLISCHNNKIELHHENHVALFIFGDSLLDNGNNNYISTILDFRANFWPYGETFFNYPTGRFSDGRLIPDFIAQFAGLPLIPPYLKPGNPEFRNGVNFASAGAGALLETHQGLVVDLGTQIKYFKKVETSLRHELGVAKAKNLLSKAVYLIGIGGNDYLTKNSTVVSNEEFVSMVIGNLTLAVKEIYKKGGRKFGFMEMMPLGCLPYIKAQNGGCCINGITQLAELHNSGFPKALNELKEKLNGFKYAHYNFFESVSERLNNPSKYGFKDATACCGYGEGRGVYSCGGKRGVTEFILCENPDDYLFFDAYHFSEKAYQQFAKLMWSGTIDIVWPYNFKTLFQANDQMF >KJB14173 pep chromosome:Graimondii2_0_v6:2:15978269:15978466:-1 gene:B456_002G113000 transcript:KJB14173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KWPNFIKFVNDVFLCPVTTSITIKKKVRNGTSNWRSPPINHLKFNVDRAVHRCFGEANVGEVLKD >KJB13701 pep chromosome:Graimondii2_0_v6:2:11302990:11304588:1 gene:B456_002G089500 transcript:KJB13701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDRYSYSRQGSGVWRSLRDGDFEEEDVWAVLKERKDSTNKLGQQSIESSVPVRRHLPSAARMIPGTFSTINNSSNIGSSSSSSSHEANGVKQQSAPVNIPDWSNISRNKSKKGPNGLWHDHDDGDGDGVLVGFADSDDDDDDDDDEYNSKLPPHEFLAKRLARSQISSFSVFEGVGRKLKGRDLRKVRNAVLTKTGFLE >KJB13653 pep chromosome:Graimondii2_0_v6:2:11025572:11026149:-1 gene:B456_002G087100 transcript:KJB13653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDFDIKDGDIRTELVGGIPSITFSDRLLDRKITFNALLNRVTLLWKTNSPFQLMDLENDYFLVRFSEEDGYNNVLTNGSWVIFGQYLTVRPWSSDFSMNQDEVRVQVVWVRLPGLSENYYSSCILKAIGKAIGSMVRINENIVSATRGRFARLAICVDLRKPLISKVRINGHL >KJB15004 pep chromosome:Graimondii2_0_v6:2:31666183:31669839:1 gene:B456_002G154200 transcript:KJB15004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIAKCLPFNGTTIKTEFKNLFSIVKTSRTLALFILSFVGFTLFLAFGPSSPWFTTSSDSYRSQFPSLFGYLFPNTSSPSSSNHTLQPLPNDKNKGTQPFNNSEQLPSSNNTNINYTQSSFLHANPPSTSSPGEKKDEPDNHSTIADANATVLANQSTNFPAKPENSNKVRFKKGKGNESLVESLKICDLFDGNWVKDSSYPLYEPGSCSFVDHQFSCFAHGRRDRGYLKWKWKPKVCTLPRLNGGHMLEILRGKRLVFVGDSLNRNMWESLLCILKSAAKNPKTVHEVPGGRYFRGEPSSSIIFNDYNCSVEFFVSPFLVRQWQMPDRNGTKKNTLRLDLIGRSSEIYKSADILVFNTGHWWNHEKTSKGEDYYQEGDHVYEELNVVEAFRRALTTWSKWVDDNVNPSKTMVFFRGYSATHFSGGQWNSGGVCDSEMEPIRNETLLRPYMPMMAVLESVLEGMKTHVTYLNITRLTDFRKDGHPSIYRRYHKHGITEKERTESVKYQDCSHWCLPGVPDTWNELLYSELLVKEYKMRQHQHKFR >KJB13034 pep chromosome:Graimondii2_0_v6:2:4682517:4685639:1 gene:B456_002G052800 transcript:KJB13034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWLIPSYFVIFSLFFTYNSSALTLPFCPHDQSAALIQFNSSFSIDCSGFRQPSISRAKTISWEEGTNCCLWDGVKCDTETGNVIALDLSFSCLFGPFPSNNTLFLLRHLRQLNLAGNDFRFSPMASQFGQLTSLTHLNISWSSFIGKVPPEISRLSKLLSLDLSMSGLMFEGHVFENMVANLTQLRHLLLSEVNMSQELPDSIGDLTSLEILDLPVNHLGGPIPASLGNLTQLKYLDLSDNNLSGVLPVSAFNLRQVQFVDFSGNKLAGSFPSQVSELSQLSILDLDRNFLSGRVPSWLFSLPSLVLLRLSNNKLTGPIDQFDKVTPLEEAYLQNNEIEGPVPGSIALLVNLIYLDLSSNKLSGNFDLDKLSKLKKLESLTLSNNALLSFTSESKANYSLPNLLSLNLSSCDIIDFPDFVRNLEGLRELDLSNNRIHVIEANMFVKLKELQRLDLSHNSLLSLSNSGNLSLFLPNLGYLSLSSCNLTAFANFLTIQESLRELYLSNNSIQGQITKQERTWGSNLVILDLSNNLLTVVEYYPWRNIKVLRLDSNLLEGPLLVPPPSISVFSISNNKLTGEVPYSICEFGTEIDAALDLSHNNLSGVIPKCAGLANIAYLDLHANNFHGNIPDFCVNENNMLSTLNLNDNDFDGPLPKSLANCLLLEVLILGNNKINDTFPYSLGNLPSLQVLVLRSNNFHGQVTNPDNQSYFSNLRILDLSHNNFSGYLPTNFFKSLEGMMGLADVDMAYMGDRFQYYTDSMVLTMKGEDIVLERILTIFAAIDMSSNKFEGTIPETVGNLISLQVLNFSHNHLTGHIPSSLGNLVALESLDLSCNELVGEIPSELTGLNFLEVLNLSENQLVGLIPQGKQFNTFLNDSYAGNIGLCGFPVSKSCGRSEPPPAIFDEEEVDSAFGLDWKFVMMGYGCGLVFGFSAGYIMMTIRKPKWLVVMIQRAGNRVLRRFKKYR >KJB14860 pep chromosome:Graimondii2_0_v6:2:26980556:26981499:1 gene:B456_002G146600 transcript:KJB14860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTLLIATSVFIIAFIAAPPIDIDGIREPVSRSLLYGNNIISGAIILTSAAIGLHFYPIWEAVSVDEWLYNGDPYELIVLHFLLGVACYMGREWELSFRLSMRP >KJB16613 pep chromosome:Graimondii2_0_v6:2:60280705:60281446:-1 gene:B456_002G239500 transcript:KJB16613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPSPCSCSSNGEEERPRFFDSKAKSKCWASAETVPGRHPERWHKDAADNIVCKCFCNCQGCICFE >KJB15107 pep chromosome:Graimondii2_0_v6:2:36167142:36181028:1 gene:B456_002G160800 transcript:KJB15107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAELREAIEQIEVVDSHAHNIRSLRDIAELYGTESSLDAVEQYRRSSGLQAISSKCFKAAGISAILIDDGLKLDKKHDIRWHKNFVPFVGRILRIELLAEEILDGEMPDGSNWTLGAFTETFLKIANESVGLKSIAAYRSGLEINPYVTKEDAEIGLSEVLQSGKPVCITNKSFIDYIFTRSLEVALQFDLPMQIHTVFGDKDLDLRLSNPLHLRTLLEDKRFSGCRIVLLHASYPFSQEASYLASVYAQVKILLPFAMLCSYPCSCLFFQVYLDFGLAIPKLSVRGMISSVKELLELAPLKKVMFSTDAYATPETYYIGAKRAREVVFSVLRDACIEHDLSISEAIEASNDIFAQNAIQLYKINIGRELFYSKASESPSYMIGTNVPEHGVSLVRIVWVDTSGQHRCRVVPKKRFDDVVKKNGVGLTFACMAMSSSIDSPADETNLTATGEIRLMPDLLTMRKIPWICIWLNGFYNEMVLADMHLRPGEPWEYCPREALRRVSKVLKDEFNLEMEECWVPIDSKPYCSSSGFDAVSTLFQEIIAAFNSLNVVVEQLHAEAGKGHFEIALAHTACTYAADSLIFAHEAVRAVANKQGLFATSVPKYALDDIGSGSHVHVSLWQNGRNVFTASNTSSQYGMSKVGEEFMAGVLAHLPSILAFTAPLPNSYDRIQPNTRSGAYQCWGNENREAPVRTACPPAVPNGFVSNFEIKPFDGCANPHLGLAAIVAAGIDGLRNHLPLPEPINENPATLNQKLLRLPTSLSESIEALENNNVLREMIGEKLFTAIKGVRKAEIQYYSKNKDAYKQLIHRY >KJB12332 pep chromosome:Graimondii2_0_v6:2:806272:809752:-1 gene:B456_002G012400 transcript:KJB12332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGNTTEAERWLTIAAKLLASGDFHGTRTFAIRARESAPVLADQILAVTDTLLTAQSNPRDWYGILQLAPLTQSMEVVESQYMKLAILLNPVKNTLSFADQAFRFVSEAWNVLSNPSNKLIYDNELRFLQFGHVSQLGQQHYQQEQPQVQQPQPQTQTLFMQQPPPPKETQTLFMQTPLKKTQAQVTQSLFVRSPRDNNSDGNATLGGGEQLGLNNYSSESTWTRQINQTGLTQPSQINQTGSVGSSQISWPEPTRTSQINQPEAVGANQINQTELTRMDQINHSAAPAPAPAPTPTPTTPTKENSRVEPTGASQETNSAQASQATELEGSTFWTACPHCYSRFEYPKMYENCTLRCQTKDCRKAFHAVVIPSPPVQTEPTDVTRANQAIESEEPTFWTACPYCYTLCEYLKVYEDCTLRCQTKNCRRAFHAVVIPPPPMNGKDTYICSWGFFPIGLSRNGNFPNWSPISTMFACPDNKNAGKQMNTKKSSPKLFYDEQDVYVEISDSSMSSENEDDDDDWRNEKREKKVNNSKGKGSRGRYAKKPQSERVKQGGDDQVNADHGGNSSGASMVPDSVSTAESSRRKQMKRGTKVLGKLDLNVEFRNEAEEPVAGRSKGNDAGNEEEDNNEGNGFFEGLDEFFSSLPILSVVGDDKVKASE >KJB12350 pep chromosome:Graimondii2_0_v6:2:930205:930640:1 gene:B456_002G014300 transcript:KJB12350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVPFVVFSLALVLSIQFVVGHDEKALDEAASPEAEDVVLSSGAAKLVENFKSFAGDDSQSVDGPTSDLADEIAASPESEVEFATESVGGSKRKMA >KJB12964 pep chromosome:Graimondii2_0_v6:2:3966123:3966885:1 gene:B456_002G046800 transcript:KJB12964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFPHCSGCGNPSLSCVLRKNILYSSNLGNSKSSIKWEEDIDKDNAVNLVRNFISRRISLLSSPMLEPFKYNSSSLDNLRMTLGGNLEENLHPVRSNIFRFTNLTNSSAKPSNFVPRKLRHSSVVSFPRNDKSSKKAKCRTRSFRRNVRAYKEITHEEKDVRLKTFNSFIP >KJB14866 pep chromosome:Graimondii2_0_v6:2:27284690:27293376:-1 gene:B456_002G147100 transcript:KJB14866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKVLDPAFQGVGQKPGTEIWRIENFQPVPLQKSDYGKFYMGDSYIVLHTIHSKAGSHMYDIHFWIGKDTSQDEAGAAAIKTVELDAALGGRAVQHRELQGHESDKFLSYFKPCIVPLEGGVASGFKTPEEEVFETRLYICKGKRVVKLKQIPFARSSLNHDDVFILDTENKIYQFNGANSNIQERAKALEIIQFLKEKYHGGVCNVAIVDDGKLDTESDSGEFWVLFGGFAPLGKKASSEDDVIPESTPAKLYSITEGEVKPIEGELSKSMLENSKCYLLDCGAEIFVWIGRATQVEERKVATQSAEDFVASHNRPKATRITRLIQGYETSSFKSYFDSWPAASATPGGDDGRGKVAALLKQQKVAVKGLTKTAPVQEEVPLLEGGGKMEVWCIDDSAKTPLPKEDIGKFCSGDCYILLYTYHSGERKEDYFLCCWIGKDSNEEDQKIAAELANTVFNSHKGRPVQGRVYEGKEPPQFVALFQPMVVLKGGISAGYRKSIEEKGLTDETYTADSVALFQISGNSMHNIKAQQVDAVATSLNSTECFLLQSGSSAIFTWYGTQSTHEQQHLAPKVAEFLKPGVTRKHCKEGTETSAFWSALGGKQDYTSTEKESHEIVRDPHLYTFYLNKGKFKVEEVYNFDQDDLLTEDILILDTRAEVFVWVGQCVDAKEKQQAFEFGQKYIDRAVSLEGMSPKITLYKVTEGNEPCFFITFFSWDSHRATVPGNSFQKKVALLFGASHAEDRSDGQGPRQRAEALAALTSAFNPSPEGKTSAPKPVCTGQGSQRAAAVAALSQVLTAEMKKSPDGSPRKSASSTPAVTSPTYEAKSEADPSGAHDSHETAEGETGETNGDNSEPITQSCTYSYDQLKSKSENPVTGIDFKRRETYLSDEEFETVFGMPKEAFYKLPKWKQDLQKKEVDLF >KJB16401 pep chromosome:Graimondii2_0_v6:2:58787871:58790217:1 gene:B456_002G228800 transcript:KJB16401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 712, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT2G42250) UniProtKB/TrEMBL;Acc:O48532] MALISIDVQYYFQCFIWFITVVLLHSFMKNILKHQDPEPPSPFSLPFIGHLHMVTSVLPKSFQALAKRYGPLMKIRLGASSCVVVSNAIVAKEIFKTQELNFCSRPEFGSSEYFIYRGSRFVLAQYGDYWRFMKKLCMTRLLAVPQLDKFADIRDQEKVKLVEYVMKRCKEGKPCDLSSELTTLTNNTICRMAMSTRCSGNDNDASEIEELIRTCLKLSGKISIGDVLGPLKVLDFSGNGKKLKAALLKYDRLVERIIQEHQEKAIKGYYDENQEQDLLDILLEVYRDPTAEVKISMKDIKSFLLDIFMAGTDTSSSAMQWAMGELINNPKAFHKLRDEINTIVGPNRLIKESDIPNLPYLRAVIRETLRLHPSAPLIIRECGEDCTVNGFMVKAKTRVLVNCYAVMRDPDSWKNPDEFDPERFLDSSDEKIGEHQMEFKGQNFRFLPFGSGRRGCPGASLAMLVMHAAVGSLVQCFDWEVKGGEKVDLNPGPGFASEMAQPLVCYPILRFNPF >KJB15925 pep chromosome:Graimondii2_0_v6:2:54706313:54707104:-1 gene:B456_002G204500 transcript:KJB15925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQTIHHARSNSFPLPSRPNPLVSELDEHLNRLRDSEATSTSSSISHKLNGLQDLYDCVDKLLRLPFSQQELAQEENKGPVDELLDGPLRLFDLCNTAKDILLQTKGSIQDIQSVMRRKPCGEVKLVGEVRMYFTSRKVVQKTIHKALKTVKGVETKCIFSSSNDHETKAMVSLLREAEAVTSSMFEYLFTLISGPMERSKCGSWALVSKLLHHKRIACEQTGRRDINEFEKVDAALRSVMSQKMSKSENVEMPRQLKELEL >KJB16753 pep chromosome:Graimondii2_0_v6:2:61345675:61346484:-1 gene:B456_002G249500 transcript:KJB16753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTIIKSRPISILLLLLLLLSIPYFSTGSFDVSEKEVYEIDYRGPETHTSIPPPGHGHRSSVHQQTDLPTHELRSGNKIHG >KJB13578 pep chromosome:Graimondii2_0_v6:2:10429022:10430788:1 gene:B456_002G082400 transcript:KJB13578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDGKGRNPLHYAVSLGDYEMVKKLLECNSSAAYQVDNNRQIPLHFSAKNGQVSLLKLLLNPCPDTVEMINNEQWNILHLSAKNGYVNVVLYVLDLPEAEDLVNGSDIAGNTPLHLAAMNFLSNVVYFLSRNSKVNIRVMNQNSQTALDVVYSIEDGGMELQKHLTLKALKSSYTKRAGDLLQDGGFVDTDVEKTNKIGQKSREMATTMLLMATLIATFTFTAAFTIPGGFKNNGPDEGMSTLLGKSAFKAFVVTDSIAFTSSMTAAVLVFWSSSYQVTESFMDTLPFAIAFTWIALVAMALAFVTGLFVVLSKTLWLAILVCFIGCASPAILYLFGPLFLLVFDRLSSSSTSLARRRNILEDNPFLFIFRLTKMIY >KJB13579 pep chromosome:Graimondii2_0_v6:2:10428734:10430822:1 gene:B456_002G082400 transcript:KJB13579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFGHKDLVEQIINWHPNLIHKTNLNGETPFHVAAKAGRFDVILLFKATVEDIARVRDKHGDTPLHCAVRNNHKLVIWLVVDGDQEALRLVNNAGESPLVVAIDLGLTNVAHSIIFGNPSTLDHRGNNGQTPLHRAVLSRDLAIVDRILVLKPELITMQDGKGRNPLHYAVSLGDYEMVKKLLECNSSAAYQVDNNRQIPLHFSAKNGQVSLLKLLLNPCPDTVEMINNEQWNILHLSAKNGYVNVVLYVLDLPEAEDLVNGSDIAGNTPLHLAAMNFLSNVVYFLSRNSKVNIRVMNQNSQTALDVVYSIEDGGMELQKHLTLKALKSSYTKRAGDLLQDGGFVDTDVEKTNKIGQKSREMATTMLLMATLIATFTFTAAFTIPGGFKNNGPDEGMSTLLGKSAFKAFVVTDSIAFTSSMTAAVLVFWSSSYQVTESFMDTLPFAIAFTWIALVAMALAFVTGLFVVLSKTLWLAILVCFIGCASPAILYLFGPLFLLVFDRLSSSSTSLARRRNILEDNPFLFIFRLTKMIY >KJB14580 pep chromosome:Graimondii2_0_v6:2:20736799:20738651:-1 gene:B456_002G132300 transcript:KJB14580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSLCFVFIFIFVFSPFATPTLLFQGFNWESSNKAGGWYNFLQSSVSDISNAGVTHVWLPPPSQSAAPQGYLPGRLYDLDASKYGSQAELKSLIDAFHQKGVKCVADIVINHRTAERQDNRGIYCLFEGGTSDDRLDWGPSFICKDDTAYSDGTGNPDTGLPYDPAPDIDHLNPRVQNELSDWLNWLKTEIGFDGWRFDFVRGYAPTITKIYMDRTSPDFAVGEKWEDFIDGQEDLHRAALKDWVEAAGGAVTAFDFTTKGVLNDAVQGKLWRLKDSSGKPPGMIGLLPQNAVTFIDNHDTGSTQNIWPFPSDKIMQGYAYILTHPGIPSIFYDHFFDLGLKDEISKLVEIRNRNGIQSTSTVTIRASESELYMASIDDKIIMKIGPKMDLGNLVPPNYQLATSGNNYAVWEKK >KJB15363 pep chromosome:Graimondii2_0_v6:2:43932294:43934841:1 gene:B456_002G172700 transcript:KJB15363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFRSSYNEFMYTALKIHFQNFGFPLVTNSYVHNFTRTLHSNSKFDVVLNELAELHPPKPSSHAAQSPSGMDVPFDHERPTKRNESAVQISHPWQEWVDLMECLLRRGYFDGDGNPFENGQLGSKEANSIRTACLNFARDRFSLIRYFSRKDIQVIVGCGCPSLDRKVVNSGKRLRAHVGIDEGNVCSSCNLRGSCDRAYVKAREDQGGRTVDVMRILLTYGLDSITSSVENKPCQNKSVKESVRALLKEMVDYGSKDQQADMPNTAPSRGDASLHDHSSTQGHIKVPMKPGDWLCPKCNFLNFARNIKCLRCDGLFEERLRQLREDQDHLPLKKGDWICERCNFLNFAKNTRCLQCKENPPKRHLNPGEWECESCNYINFRRNMVCLKCDHKRPKVPNASGTCTGEFEGSIGKSTRRDRKQSKDSDRWRFVHEDNEDEECLDSRTENSKFIDFPITRSRTTWSLNTGKCESTLEMEAKNKSLSTVMQNDGSKCTDSQRKLELLECSDDEEMSGWFRRR >KJB14383 pep chromosome:Graimondii2_0_v6:2:17600902:17602614:1 gene:B456_002G122400 transcript:KJB14383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLVIRVCLEEWELGVCIHSLIDLDRTLNQCEITPSPPNFNFLEAIVIFWSSIPACLNFILCCAIKLEREKVLPKTEQKLHKKREKEKKKERKEKDKTQGAIKKLKKLDDVLDAYKDDQLERSDLTEEHEPPVCYISDGSQNSHKRKRETASYNECRVDGNIIKIRFSLKKPRASDASISSEEPACSSSGRTDSIQEPSCTIASVPEQKLRHDDRRKEQGSSSSGTLLEAALQYKTLIEDWMPPLLEAELNDGGDEDWLLTKKQLGKPAAKRPDDNDHTCVASASLHPCAHFLPAAEIYALPYTVPF >KJB14382 pep chromosome:Graimondii2_0_v6:2:17600855:17602614:1 gene:B456_002G122400 transcript:KJB14382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPYQRPAGYLRQGLVESIKLEREKVLPKTEQKLHKKREKEKKKERKEKDKTQGAIKKLKKLDDVLDAYKDDQLERSDLTEEHEPPVCYISDGSQNSHKRKRETASYNECRVDGNIIKIRFSLKKPRASDASISSEEPACSSSGRTDSIQEPSCTIASVPEQKLRHDDRRKEQGSSSSGTLLEAALQYKTLIEDWMPPLLEAELNDGGDEDWLLTKKQLGKPAAKRPDDNDHTCVASASLHPCAHFLPAAEIYALPYTVPF >KJB12464 pep chromosome:Graimondii2_0_v6:2:1332815:1333585:-1 gene:B456_002G019700 transcript:KJB12464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVRSQLQIPIGFRFLPTKEEILCDYLKPIIKADPIPSGVMMFYGANREPWNIFDQDSAESFWVITKLKKRSKSRIERTAGDGCWLQQYVKEVKHKDGGEVIGFDKYFTLITSKKSKKSNGQWVMHDEYSLKDQEAASAGLSDSVICEIKNKDAAVVSSDYEESEGEIKKNKKRKLMEVPSDSTNDVAIVPEENQTFDYMAAEVGGFSPSPPFSACLNQQPNPWTWQVLKAILECLIIILTICYLPNPCTIFTCL >KJB12457 pep chromosome:Graimondii2_0_v6:2:1281439:1281711:-1 gene:B456_002G0189002 transcript:KJB12457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGHGFMLLGSLLTMLPDLSNGWICSQWWWFDVIFNCTPQIKCLEVSFHFNYPNVVVFSSKNWWWRRCKAHVAETSLTNTIFEFILKQKKT >KJB13442 pep chromosome:Graimondii2_0_v6:2:8781786:8784926:1 gene:B456_002G074700 transcript:KJB13442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGNLVALVLAVVLFEYLGGGIQASFTPVDNYLIVCGSSKNVTFQGRTYVPDSGHSSLTLKSASSVVSSNSSVPSSIYRSARIFSAVASYKFDIKQEGRHWVRLYFYPLPKSGQDLTSAPITVATDDFVLLGNFTFENYNGSFLFKEYAINVTSDTLTLTFVPSNNSVSFVNAIEVVSIPDAILPDQALALNPSAPISGLSEFAMETVYRLNMGGPLITAENDTLGRTWENDVKYLHVNSSALNVSVNPASIKYTTLVTPETAPNLVYSTAEVMGDANVPSMAFNVTWVLPVDPNFRYLVRAHFCDVMSSSLNTLVFNVYINDDIAVTSLDLSSLTGDLKVPYFKDFISNSSVESDTLTVSIGPDSMADITNGTMNGLEIMKISNDAGSLGGVSSVKNLLLGSSSKKNKIWIIIGCIVGAVGAVALIGFCYCCLVARKSKDTQQGHPWLPLPLYGNSLTMTKMSTTSQKSGTASCISLASSNLGRFFSFQEILDATNKFDESLLLGVGGFGRVYTGTLEDGTKVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTNLPPLSWKQRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMTWQKKGMLDQIMDSNLVGKVNPASLKKYGETAEKCLAEHGVDRPSMGDVLWNLEYALQLEETSSALMEPDDNSTNHIPAIQTPLEPFDNSVSMIDGNSGTDDDAEDAATSAVFSQLVNPRGR >KJB15289 pep chromosome:Graimondii2_0_v6:2:42341068:42345168:-1 gene:B456_002G169100 transcript:KJB15289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSLGPFGIREEDQHQHSTAAPTSAMGPAPPPPQRKKRNQPVLPNPDVEVIALSPKSLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQENARQPPSFNSIGNHLYGSTSNMSLGLSQVGTQMSSVQDQTSNNSGGRDIFKLGGVARSTQFDHLLLSPSMGSSSFRPQQSMVSSAAFFMPESNQEHHPQQGILGNNKQYHQGLMMQFPEDIQNNTTNTPSPPSFFNLSFLSNCGNGTNPDANLTSSGLLTPEHFDNETGGGGGGTSEASDPFSNTVMGNQITTNIPSVFAQSNNIAVQMSATALLQKAAQMGSTSSYKNASLMRSFGNSNFGGTLGDSTGNNLHELMNSIAGGGSNTFSFGHGQENPYANRSSVEQEKHVEQQPQILNVSGGGGSDRLTRDFLGVGQIVRSMSMGGVSQREQQQQQEAMGLSALGSERSNITAPTNHQCLGGNGNFQ >KJB15290 pep chromosome:Graimondii2_0_v6:2:42341136:42345040:-1 gene:B456_002G169100 transcript:KJB15290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQENARQPPSFNSIGNHLYGSTSNMSLGLSQVGTQMSSVQDQTSNNSGGRDIFKLGGVARSTQFDHLLLSPSMGSSSFRPQQSMVSSAAFFMPESNQEHHPQQGILGNNKQYHQGLMMQFPEDIQNNTTNTPSPPSFFNLSFLSNCGNGTNPDANLTSSGLLTPEHFDNETGGGGGGTSEASDPFSNTVMGNQITTNIPSVFAQSNNIAVQMSATALLQKAAQMGSTSSYKNASLMRSFGNSNFGGTLGDSTGNNLHELMNSIAGGGSNTFSFGHGQENPYANRSSVEQEKHVEQQPQILNVSGGGGSDRLTRDFLGVGQIVRSMSMGGVSQREQQQQQEAMGLSALGSERSNITAPTNHQCLGGNGNFQ >KJB15292 pep chromosome:Graimondii2_0_v6:2:42341735:42344212:-1 gene:B456_002G169100 transcript:KJB15292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITDPDVEVIALSPKSLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQENARQPPSFNSIGNHLYGSTSNMSLGLSQVGTQMSSVQDQTSNNSGGRDIFKLGGVARSTQFDHLLLSPSMGSSSFRPQQSMVSSAAFFMPESNQEHHPQQGILGNNKQYHQGLMMQFPEDIQNNTTNTPSPPSFFNLSFLSNCGNGTNPDANLTSSGLLTPEHFDNETGGGGGGTSEASDPFSNTVMGNQITTNIPSVFAQSNNIAVQMSATALLQKAAQMGSTSSYKNASLMRSFGNSNFGGTLGDSTGNNLHELMNSIAGGGSNTFSFGHGQENPYANRSSVEQEKHVEQQPQILNVSGGGGSDRLTRDFLGVGQIVRSMSMGGVSQREQQQQQEAMGLSALGSERSNITAPTNHQCLGGNGNFQ >KJB15291 pep chromosome:Graimondii2_0_v6:2:42341136:42345046:-1 gene:B456_002G169100 transcript:KJB15291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDPDVEVIALSPKSLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQENARQPPSFNSIGNHLYGSTSNMSLGLSQVGTQMSSVQDQTSNNSGGRDIFKLGGVARSTQFDHLLLSPSMGSSSFRPQQSMVSSAAFFMPESNQEHHPQQGILGNNKQYHQGLMMQFPEDIQNNTTNTPSPPSFFNLSFLSNCGNGTNPDANLTSSGLLTPEHFDNETGGGGGGTSEASDPFSNTVMGNQITTNIPSVFAQSNNIAVQMSATALLQKAAQMGSTSSYKNASLMRSFGNSNFGGTLGDSTGNNLHELMNSIAGGGSNTFSFGHGQENPYANRSSVEQEKHVEQQPQILNVSGGGGSDRLTRDFLGVGQIVRSMSMGGVSQREQQQQQEAMGLSALGSERSNITAPTNHQCLGGNGNFQ >KJB12617 pep chromosome:Graimondii2_0_v6:2:2044808:2048543:-1 gene:B456_002G027300 transcript:KJB12617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRRWHRASVHSFSARKSDSIFRENEEVKLASRISNCVHFETPEKPDSLIVFLENHGFSQTQIVNLIKRQPTLLLSDTEKTLSTKLEFLYSIGFSRPELAKLLSSNPLLLKSSLKKQIIPSFNLLRNLFQSNDKTIKAIKRFDGILVYHAYVFSNMKVLRGIGVPESNILMMFSNPPALLCHPVRLKEIVEEVKRMGFDSLTKKFVHVVIALSSMSKSTLEKKFDVYRRWGWSDQEIREAFQRYPSCITASEDKIMAIMDFLVNKMGYRSNLVAKQPKLLSLGLVGNLTLSVLFDTLEKVFVNRFVNIAPELLMLYEERRSFSRPELAKLLSNYPRLLISSLEKQIIPSFNLLRNLFQSHDKTIKAIKRYTGILVYDSKSYLYPNMNVLRGVGVPESNILMLLNYKARTLLCKPVRLKEIVEEVKGMGIDSSTTKFLAAVIALTSMSKSTLEKKFDVYRRWGWSDQEIREAFQRYPSCVTASEGKIMAIMDFLVNKMGYHSNLVAKQPSIFSQSLEKRIVPRALFARELLSLGLVSNLTLSALFDTSEKVFVNRFVNIAPELLILYEEKKKSV >KJB12783 pep chromosome:Graimondii2_0_v6:2:3370758:3371614:1 gene:B456_002G040900 transcript:KJB12783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFKEKPVLVDGSDAMEEIEVPKMDTAILMSLLDESHCEEYYNEEQVNSLMESFEAEIRMANTGSCSVEGDIQSNDCFEWTEMETVPSSPSDDMNWYLEDQVEGISMDELVQSGNDFAFNCYEIPFENGCASSLWQETYDTAIYN >KJB11998 pep chromosome:Graimondii2_0_v6:2:8881873:8883930:1 gene:B456_002G0754002 transcript:KJB11998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVPTLYMSKTTMREWRMCDEEFQPYFMLGDLWESFKECSAYGAGVPLILNCLDSVVRYYVPYLSGIRIYADSLKRSTKASRQQGEDSDSDFRDSCSDGSSDCEPERGSNPFREKRNQQIFQEDLSSNDNGES >KJB11999 pep chromosome:Graimondii2_0_v6:2:8881528:8883930:1 gene:B456_002G0754002 transcript:KJB11999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTGLEFRRVLPEDRFYNQAKARRSNLNQLSDQLRRAQCDVTSSQSNDKQAATHVNWQSEKRVDSTDLPKPVSVPSSESFTTMREWRMCDEEFQPYFMLGDLWESFKECSAYGAGVPLILNCLDSVVRYYVPYLSGIRIYADSLKRSTKASRQQGEDSDSDFRDSCSDGSSDCEPERGSNPFREKRNQQIFQEDLSSNDNGES >KJB12730 pep chromosome:Graimondii2_0_v6:2:2505703:2509122:-1 gene:B456_002G033300 transcript:KJB12730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASSRVVLQGLSISRIQKFIPKKWKQSVKPVDIQSNQLSESSDYRGNELKTIFTDDTSMLENLVISLKEFVTRGHILKAFKSYSLIQLHVSSTAYDVILHPVSSLLVSCTNLKLLLPGKQLHAQIISLGLEQHPLLVPKLVTFYTKFNLLDDARVVTENCKILHPLPWTLLISSFVKNEHFKEALSAYTKMLNRGIIPDNFTYPSVLKACGEELDVDFGRMVHSSITASCHDWNLYVHNALISMYGKFGKVDVARDLFNKMLERDDVSWNTMIGCYASKGMWQEAFELFDCMHAEGIEPNFITWNTIAGGCLRTRNFKRSLELLSQMKSNGFHLDQVSMIIGLGACSHIGALKLGKEIHGSAIRSCCNEFENVRNAMITMYSRCKDLEHAYILFQSIKERSIITWNSMLSGYTYMDKSEEASFLFQEMLLSGVEPNYVTIASILPLCARVANLQHGKEFHCYITRRKVFEDCLLLWNALVSMYARSGKLVAAKHVFDLMQKRDEVTYTSLIAGYGMQGEGHIAIKLFEEMISLQIKPDHLTMVAVLSACSHSGLVIEGQFWFEKMQSFYGLVPRLEHFSCMVDLYGRAGLLNKAKEIFTRMPYKATAAMWGTLLGACRIHGNTDIGEWAAENLLELRPDHSGYYVLIANMYAAAGCWNKLAKVRTLMRDSGVTKSPGCAWVDVGSVFSPFVVGDTSNPYAPEIYQALDGLTELMKDASYVSDGEVLLEGTG >KJB14817 pep chromosome:Graimondii2_0_v6:2:26326049:26342363:-1 gene:B456_002G144900 transcript:KJB14817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRPSRSVSARDISIGCERLDGAGSWDTLEWTKIEPVTRSVSHANFEFLLEAERVLDEGHGVVLVNTDEAGTLFVTNFRLLFLSDGTRNIVPLGTIPLATIEKFNKMVVKIQSTSRNTNKSSSRRLLQIIGKDMRIIVFCFRPRTKQRRAIFDALSRCTKPERIWDLYAFTCGPSKFCNLSPKVRLLNEYFRLLGKGFHHASMRMIEDGSFTMSNDSWRISDINFNYSLCQSYPFALLVPKNVSDDEIIQASNFRARSRVPAVSWCNPETGAVLARSSQPLVGIMNTRSTADEKLVAALCAQLIDEKDSRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFFGIDNIHAMRESFARFRDYLDTHGAASSDGMSSFLRHGGWTWGGGNLSSMSASVSTLGDSGWLIHVQSVLAGSAWIAARVALESAAVLVHCSDGWDRTSQLVSLANLMLDPYYRTFTGFQALVEKDWLAFGHPFSDRVGMPSISGSSFELSRNASSTGSFSSSPLRQSSGSSQASNSSHAQNNYSPIFLQWVDCVSQLLRIYPFAFEFSSNFLVDFLDCVLSCRFGNFLCNSEKERQICGVDESCGCLWAYLADMRSSEGRSHAHYNLFYDTLKHNGPLLPPAAALAPTLWPQFHLRWACPFESQAGELEAECRNMAIKFSELQKAKEVAEMKAKEYLAAMEILNVDLQNEKQVSSSAINLAKRASKENAAIQRAVQSLGCRVNFTNSSDSTVDVESSLMETSQRFSLPRRESEYTMEHNDRSDLSVSITVVADDVVPSSSPLGQVCETLCPLRTQGRGCQWPDAACAQLGSQFVGLKANFDAFDRLSIYDRYFKSE >KJB14816 pep chromosome:Graimondii2_0_v6:2:26326685:26339705:-1 gene:B456_002G144900 transcript:KJB14816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIIVFCFRPRTKQRRAIFDALSRCTKPERIWDLYAFTCGPSKFCNLSPKVRLLNEYFRLLGKGFHHASMRMIEDGSFTMSNDSWRISDINFNYSLCQSYPFALLVPKNVSDDEIIQASNFRARSRVPAVSWCNPETGAVLARSSQPLVGIMNTRSTADEKLVAALCAQLIDEKDSRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFFGIDNIHAMRESFARFRDYLDTHGAASSDGMSSFLRHGGWTWGGGNLSSMSASVSTLGDSGWLIHVQSVLAGSAWIAARVALESAAVLVHCSDGWDRTSQLVSLANLMLDPYYRTFTGFQALVEKDWLAFGHPFSDRVGMPSISGSSFELSRNASSTGSFSSSPLRQSSGSSQASNSSHAQNNYSPIFLQWVDCVSQLLRIYPFAFEFSSNFLVDFLDCVLSCRFGNFLCNSEKERQICGVDESCGCLWAYLADMRSSEGRSHAHYNLFYDTLKHNGPLLPPAAALAPTLWPQFHLRWACPFESQAGELEAECRNMAIKFSELQKAKEVAEMKAKEYLAAMEILNVDLQNEKQVSSSAINLAKRASKENAAIQRAVQSLGCRVNFTNSSDSTVDVESSLMETSQRFSLPRRESEYTMEHNDRSDLSVSITVVADDVVPSSSPLGQVCETLCPLRTQGRGCQWPDAACAQLGSQFVGLKANFDAFDRLSIYDRYFKSE >KJB14815 pep chromosome:Graimondii2_0_v6:2:26326685:26342383:-1 gene:B456_002G144900 transcript:KJB14815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRPSRSVSARDISIGCERLDGAGSWDTLEWTKIEPVTRSVSHANFEFLLEAERVLDEGHGVVLVNTDEAGTLFVTNFRLLFLSDGTRNIVPLGTIPLATIEKFNKMVVKIQSTSRNTNKSSSRRLLQIIGKDMRIIVFCFRPRTKQRRAIFDALSRCTKPERIWDLYAFTCGPSKFCNLSPKVRLLNEYFRLLGKGFHHASMRMIEDGSFTMSNDSWRISDINFNYSLCQSYPFALLVPKNVSDDEIIQASNFRARSRVPAVSWCNPETGAVLARSSQPLVGIMNTRSTADEKLVAALCAQLIDEKDSRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFFGIDNIHAMRESFARFRDYLDTHGAASSDGMSSFLRHGGWTWGGGNLSSMSASVSTLGDSGWLIHVQSVLAGSAWIAARVALESAAVLVHCSDGWDRTSQLVSLANLMLDPYYRTFTGFQALVEKDWLAFGHPFSDRVGMPSISGSSFELSRNASSTGSFSSSPLRQSSGSSQASNSSHAQNNYSPIFLQWVDCVSQLLRIYPFAFEFSSNFLVDFLDCVLSCRFGNFLCNSEKERQICGVDESCGCLWAYLADMRSSEGRSHAHYNLFYDTLKHNGPLLPPAAALAPTLWPQFHLRWACPFESQAGELEAECRNMAIKFSELQKAKEVAEMKAKEYLAAMEILNVDLQNEKQVSSSAINLAKRASKENAAIQRAVQSLGCRVNFTNSSDSTVDVESSLMETSQRFSLPRRESEYTMEHNDRSDLSVSITVVADDVVPSSSPLGQVCETLCPLRTQGRGCQWPDAACAQLGSQFVGLKANFDAFDRLSIYDRYFKSE >KJB15779 pep chromosome:Graimondii2_0_v6:2:53106669:53107972:1 gene:B456_002G195900 transcript:KJB15779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSGIFLPCSLYKAHHHSVLITFSFKSLHFTDQTDSTAMAMAFKMATTGMWVTDECKNSFMEMKWKKVHRYIVFKIDEKSRLVTVDKVGGPGESYDDLAASLPTDDCRYAVFDFDFVTVDNCRKSKIFFIAWSPTASRIRAKMLYATSKDGLRRVLDGISYEVQATDPTEMGIDVIKDKAN >KJB15780 pep chromosome:Graimondii2_0_v6:2:53106797:53107399:1 gene:B456_002G195900 transcript:KJB15780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFKMATTGMWVTDECKNSFMEMKWKKVHRYIVFKIDEKSRLVTVDKVGGPGESYDDLAASLPTDDCRYAVFDFDFVTVDNCRKSKIFFIAWSVAFSTYSVTTIHFLIGTHYLYKGNMVYGIHKEQ >KJB16869 pep chromosome:Graimondii2_0_v6:2:61546110:61549297:-1 gene:B456_002G252100 transcript:KJB16869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MGLASAVHHGGGIRISLNFHLRVASVSPTVQLPRWNGFRFSNHRVSLVHSVSHNRRTICFPVSRSKGMEQEDHLSREEERRASNSYADSQEKLQKSEAYASSVKTVAFWVCSAVAFGVGLGLKEGVGKASEFFAGYILEQSLSVDNLFVFVLIFKYFKVPPMYQNRVLSYGIAGAVFFRLSLILLGTATLQRFEAVNLLLAAVLLFSSFKLFSNDEDDTDLSDNFIVKTCQRFIPVTSNYDGNKFITKRDNIWQATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFTSNIFAILGLRSLYTLIAEGMADLEYLQPSIAVVLGFIGCKMILDFFGLYLGSVLFDINSFLV >KJB16867 pep chromosome:Graimondii2_0_v6:2:61545525:61549476:-1 gene:B456_002G252100 transcript:KJB16867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MGLASAVHHGGGIRISLNFHLRVASVSPTVQLPRWNGFRFSNHRVSLVHSVSHNRRTICFPVSRSKGMEQEDHLSREEERRASNSYADSQEKLQKSEAYASSVKTVAFWVCSAVAFGVGLGLKEGVGKASEFFAGYILEQSLSVDNLFVFVLIFKYFKVPPMYQNRVLSYGIAGAVFFRLSLILLGTATLQRFEAVNLLLAAVLLFSSFKLFSNDEDDTDLSDNFIVKTCQRFIPVTSNYDGNKFITKRDNIWQATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFTSNIFAILGLRSLYTLIAEGMADLEYLQDFIYLRRRHLVLLQLVLVPEYC >KJB16868 pep chromosome:Graimondii2_0_v6:2:61545525:61549526:-1 gene:B456_002G252100 transcript:KJB16868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MGLASAVHHGGGIRISLNFHLRVASVSPTVQLPRWNGFRFSNHRVSLVHSVSHNRRTICFPVSRSKGMEQEDHLSREEERRASNSYADSQEKLQKSEAYASSVKTVAFWVCSAVAFGVGLGLKEGVGKASEFFAGYILEQSLSVDNLFVFVLIFKYFKVPPMYQNRVLSYGIAGAVFFRLSLILLGTATLQRFEAVNLLLAAVLLFSSFKLFSNDEDDTDLSDNFIVKTCQRFIPVTSNYDGNKFITKRDNIWQATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFTSNIFAILGLRSLYTLIAEGMADLEYLQPSIAVVLGFIGCKMILDFFGFHISTEASLGFVATSLSAGVLLSLAKKAD >KJB16870 pep chromosome:Graimondii2_0_v6:2:61545580:61549476:-1 gene:B456_002G252100 transcript:KJB16870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MGLASAVHHGGGIRISLNFHLRVASVSPTVQLPRWNGFRFSNHRVSLVHSVSHNRRTICFPVSRSKGMEQEDHLSREEERRASNSYADSQEKLQKSEAYASSVKTVAFWVCSAVAFGVGLGLKEGVGKASEFFAGYILEQSLSVDNLFVFVLIFKYFKVPPMYQNRVLSYGIAGAVFFRLSLILLGTATLQRFEAVNLLLAAVLLFSSFKLFSNDEDDTDLSDNFIVKTCQRFIPVTSNYDGNKFITKRDNIWQATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFTSNIFAILGHFTHSLLRVWQIWNIYSLPLPLF >KJB13336 pep chromosome:Graimondii2_0_v6:2:8021706:8028299:1 gene:B456_002G069200 transcript:KJB13336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSAISSVVQTVGRLLTQEVTSLMGVKDQVEDLQKELEWIQSFLKEADARKVDNEVVRTSINEIRELAYDAEDVIESFALKVAPRRKGGFSNVIKRFACILNEGGLLCQTKCEIQKITARITRLTRRLQTYDVKQPRDGAGTSSSNQRRELRRPYPHIVDDNVVGLHDDIKKLVSILVDEESGCKVVSICGMGGIGKTTLAKKIFRHSQVTGHFNRLAWVYVSQQCQKVRVWKDILSSLQIVNNADRDEELAEILHNFLKDNKCLVILDDIWSIDAWDSLKSAFPIAMDSNSKLLLTSRNKEIVPHADRRGYYLHELQCLNDDESWELFQRIAFSHPHSTENITDAKMKDLGKKMVHQCAGLPLAIIVLGGILATKSSIHEWQIVHKNTKSYLKKGKGGAQHIEHVLALSYDDLPPYLRPCFLYLSQFPEDYQIPAERLIQLWVAEGLVSSTEDEGNGGEVMEDVAEGFLDELVERCMVQVGERDPTLKIETCHMHDLMRDLCLSIAKQENFLSIINDSSLSPSNTRAVRRIVVHQHIHVQRIKSSIPLRSILFFWSPFDLESEPRERDEDDFCCVVWFVCVMLKWKRSWKYMFGKFKKLRVLSFEGDMLGYSGCKLSSAIGNLIHLRFLNLCGIEFIWSKIPSSLGNLRCLQTLDLRISGHADDGAMNVPNIIWKLEQLRHLYLPMMTDKTKLKLHTLTNILTLINFNTRNCFIADLSKFTKLRKLGILGPFNIDDFKEELDKNLPIIASDCLRSLSIWNDKGIDPKVLAHLLSSCVNLCELILEVKIEKLPDFHHFSSSIAYVHLTECKLVEDPMPTLEKLPNLRWKVEEGAMPALRYLEIRRCEKLKMLPDGLRCIVTLQELKIEYMPKEFKDKMVQGREDFYKVQHIPSIIFSNCDI >KJB15523 pep chromosome:Graimondii2_0_v6:2:49442964:49444942:-1 gene:B456_002G185900 transcript:KJB15523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein [Source:Projected from Arabidopsis thaliana (AT1G23420) TAIR;Acc:AT1G23420] MSTLNHLFDLPEQICYVQCGFCTTILLVSVPCSSLSMVVTVRCGHCTSLLSVNMMKASFVPLHLLASFAHDDEPKEGAVSEEVGGHRKTSDRRSPSLMSSSDNGEEDIVRVNPTVNKPPEKRQRAPSAYNRFIKFIHSWWQRRDQETENSKSQHSAQRSLQHCCKKLGPLSSYSQAQQRRRREL >KJB15524 pep chromosome:Graimondii2_0_v6:2:49443764:49445059:-1 gene:B456_002G185900 transcript:KJB15524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein [Source:Projected from Arabidopsis thaliana (AT1G23420) TAIR;Acc:AT1G23420] MYIKSPPHHLHCLSLSPPHFLTHKLSETDKLTSTKKKMSTLNHLFDLPEQICYVQCGFCTTILLVSVPCSSLSMVVTVRCGHCTSLLSVNMMKASFVPLHLLASFAHDDEPKEGAVSEEVGGHRKTSDRRSPSLMSSSDNGEEDIVRVNPTVNKRASFLSFHFKTWM >KJB16964 pep chromosome:Graimondii2_0_v6:2:61900263:61905211:1 gene:B456_002G257200 transcript:KJB16964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSRIGRSLSRSSRSNFRINVISRKLLRYESNVPSPVTNTCISRVNKGLGLVRGYFAPAGVGKQLSTNTRLSNLDSILANPRIRRFFCSEGPKKRNYENYFPKNKKDIPKANEQKSGSKEDSGAGEPGNSQNIQRLVQNIVTTLLLVGITYSSLSGPHEQQEISFQEFKNKLLEPGMVDKIVVSNKSVAKVYVRSSPRNASQTTDDPVEAPMNGAPARTKTSQYKYYFNIGSVESFEEKLEEAQEALGIDPHNHVPVTYVSEVNWFQELMRFGPTLLILGSLWFMGRKMQSGFGVGGPGGRGGRGLFNMGKAQITKMDKNAKDKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPRGALLVGPPGTGKTLLAKATAGESGVPFLSMSGSDFMEMFVGVGPSRVRSLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDRALLRPGRFDRQITIDKPDIKGRDQIFQIYLKKLKLDNEPSYYSQRLAALTPGFAGADIANVCNEAALIAARNESAVITMEHFEGAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDVTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQRDDAFEMTKPYSSKTGAIIDSEVREWVGKAYNRTVQLIEEHKEHVAQIAELLLEKEVLHQEDLVRVLGERPFKSSEPTNYDRFKKGFQEEDKSSKDTSTESKTVDDNGSTPLEPEVVPA >KJB16965 pep chromosome:Graimondii2_0_v6:2:61900263:61908151:1 gene:B456_002G257200 transcript:KJB16965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSRIGRSLSRSSRSNFRINVISRKLLRYESNVPSPVTNTCISRVNKGLGLVRGYFAPAGVGKQLSTNTRLSNLDSILANPRIRRFFCSEGPKKRNYENYFPKNKKDIPKANEQKSGSKEDSGAGEPGNSQNIQRLVQNIVTTLLLVGITYSSLSGPHEQQEISFQEFKNKLLEPGMVDKIVVSNKSVAKVYVRSSPRNASQTTDDPVEAPMNGAPARTKTSQYKYYFNIGSVESFEEKLEEAQEALGIDPHNHVPVTYVSEVNWFQELMRFGPTLLILGSLWFMGRKMQSGFGVGGPGGRGGRGLFNMGKAQITKMDKNAKDKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPRGALLVGPPGTGKTLLAKATAGESGVPFLSMSGSDFMEMFVGVGPSRVRSLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDRALLRPGRFDRQITIDKPDIKGRDQIFQIYLKKLKLDNEPSYYSQRLAALTPGFAGADIANVCNEAALIAARNESAVITMEHFEGAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDVTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKAGLLSFPRTHYAFEMTMPYSSTTGAIIDSEVREWVGKAYDRTVQLIEEHKEHVAQITELLLEKDILHQEDLVRVLGERPFKSSEPTNYDRFN >KJB14880 pep chromosome:Graimondii2_0_v6:2:27540199:27561206:-1 gene:B456_002G147500 transcript:KJB14880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGREDVEILKPRIDKREYRRIVLRNSLQVLLISDPDTDKCAASMNVGVGSFCDPVGLDGLAHFLEHMLFYASEKYPLEDSYSKYITEHGGSRNAFTDYEMTNYFFDVNTDCFEEALDRFAQFFIKPLMSADATMREIKAVDSENKKNLLSDAWRMNQLQKHLSLESHPFHKFSTGNWDTLYVRPKAKGVDTRQELLKFYEDKYSANLMHLVVYSKESLDKIQCLVEDKFQEIQNSDRSHFQFPGQPCTSEHLQILVRAVPVKQGHKLRIVWPITPSILHYKDGPCKYLGHLIGHKGEGSLFYVLKRLGWATGLSAGEGVCTSEFSFFKVVIGLTDAGQDRMQDVIGLLFRYIQLLQESGVCKWIFDELSAICETGFHYKDKISPMYYVLNISSNMQRYPEKDWLVGSSLPSDFNPTTIQKILNELSPESVRIFWESKKFEGLTDKVEPWYGTAYSIEKVSPSTIQAWMSSAPNENLHLPAPNVFIPTDLSIKNAQEKIKLPVSLRKSSYSKLWYKPDTVFFTPKAYVKINFDCPHAINSPETEVLTDLFTRLLMDYLNEYAYYAQVAGLRYHVRCTDGGFQVTLVGYNHKLRILLETIVDKIAKFEVKPDRFSVIKEMAIKDYQNWKFQQPYEQAKDYFSLILEDQSWPWMEQLEVLPCLNAEDLAKFAPIMLSRAFLECYIAGNIVHEEAVSMIHHVEDVFFKGSNAICQPLFPSQILRNRVVKLGKGMNYCYSKEGLNPSDENSALVHYIQVHRDDFKLNVKLQLVALIAEQAAFHQLRSVEQLGYITLLRLRNDSGIHGLQFIIQSTVKDPGHIDIRVEAFLKMFESKLYEMTKDDFKSNVNALINMKLEKHKNLSEESQFYWTEIISGAPKFDRREAEASQSILIFF >KJB14881 pep chromosome:Graimondii2_0_v6:2:27539586:27561384:-1 gene:B456_002G147500 transcript:KJB14881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGREDVEILKPRIDKREYRRIVLRNSLQVLLISDPDTDKCAASMNVGVGSFCDPVGLDGLAHFLEHMLFYASEKYPLEDSYSKYITEHGGSRNAFTDYEMTNYFFDVNTDCFEEALDRFAQFFIKPLMSADATMREIKAVDSENKKNLLSDAWRMNQLQKHLSLESHPFHKFSTGNWDTLYVRPKAKGVDTRQELLKFYEDKYSANLMHLVVYSKESLDKIQCLVEDKFQEIQNSDRSHFQFPGQPCTSEHLQILVRAVPVKQGHKLRIVWPITPSILHYKDGPCKYLGHLIGHKGEGSLFYVLKRLGWATGLSAGEGVCTSEFSFFKVVIGLTDAGQDRMQDVIGLLFRYIQLLQESGVCKWIFDELSAICETGFHYKDKISPMYYVLNISSNMQRYPEKDWLVGSSLPSDFNPTTIQKILNELSPESVRIFWESKKFEGLTDKVEPWYGTAYSIEKVSPSTIQAWMSSAPNENLHLPAPNVFIPTDLSIKNAQEKIKLPVSLRKSSYSKLWYKPDTVFFTPKAYVKINFDCPHAINSPETEVLTDLFTRLLMDYLNEYAYYAQVAGLRYHVRCTDGGFQVTLVGYNHKLRILLETIVDKIAKFEVKPDRFSVIKEMAIKDYQNWKFQQPYEQAKDYFSLILEDQSWPWMEQLEVLPCLNAEDLAKFAPIMLSRAFLECYIAGNIVHEEAVSMIHHVEDVFFKGSNAICQPLFPSQILRNRVVKLGKGMNYCYSKEGLNPSDENSALVHYIQVHRDDFKLNVKLQLVALIAEQAAFHQLRSVEQLGYITLLRLRNDSGIHGLQFIIQSTVKDPGHIDIRVEAFLKMFESKLYEMTKDDFKVDALKKLTRQELIYFFDENLKVGATRKKTLSVRVYGSQHLAEYNSQKSEAVQPNTVQIDDIFSFRRSRPLYASVR >KJB16593 pep chromosome:Graimondii2_0_v6:2:60211818:60216460:1 gene:B456_002G238500 transcript:KJB16593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLKISKALGSGFSCNGNTVAYLCGSNGPLNGYGLDKKQLSKIPIQARPGPSISSSYSSSLGFTIQSAVLPTAYPYLLFKGITTFGWPTGYWFFHGIIDKLKHLIGSKSSQAMVLLAMGHDKSDGKIILDKETNKIIFSPPHDPLLPQKVKAFQKITRKLGGILFMSRFRSASVHLLGGCNASSDSSDGVCDPNGQVFDPEVPGLVHQGLYVCDASLIPCSVGVNPCLTIAAAAEHVCKDLVNNVLKYKTKTCTNSVSKVVDQNPPIETHDTLKSSETSYVSVKETLRGYIGGMPCTATLRMKINLQNSNDFDDWNYSIMRKSLLTLKGKVGGYVVFRAIEKDKLHVIDGEVKMFEVNYRTPYTQYMHYRLLLAAASGSRYVLEGKKIMNPYLFAIFSRKETTTLYVTFKRVSGNFAEDHHVGLNLKGKLKVSMIQLLKTLLSLEGNGKGRFLHLFLLHLLRTYILQIPQGIHKEYTPTDSYNNSYPISTFHELETGDGCIISCRRWNCGHSRLKFNEEKHPVLLLNGHSTESFCLPTEPNDLIRTLLTEGHEIWLLQPRLHPLNPSNNFTIEDLGRYDIPAVISKICEFHGPSMKVHVVAHCVGGLAIHIAVMGGHVSPTRIASLCCTNSSMFFKLSTLATVKMWLPLVSISMALLGKNKTLTLLDTSKASLRHRLLMYIARWIPRYERCTCNECEVFSGIFGNTFWHQNLTPTMHHWLNKQSTTKLPMAAFPHLRKICKSGFIVDSKGNNSYLIHPERMAVSTLYISGGRSLLVTPETTFLANKYVKLHQPGFRHERVVVDGFGHSDLLIGEESCKEVFPYIVSHIRLCEEGKDIVMINKEKKDGKEALDWAADPYRVASFSTWSSMSKLRLLGC >KJB15783 pep chromosome:Graimondii2_0_v6:2:53139647:53142236:-1 gene:B456_002G196200 transcript:KJB15783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRSRTMPSRIHHGVVEERHDIKHYLQVEVQPKAQNESNATNSLPDYSKCFDDDGRLKRTGTFWTATSHIITAVIGSGVLSLAWAIAQLGWVAGPTVLVLFAFVNLYTSNLLAECYRSGDLVTGQRNYTYMEAVKAHLGGWKVKLCGWIQYLNLFGVAIGYTIAASVSMMAIKRSNCFHKSGGKDPCHMSSNGYMISFGIAEVIFSQIPNFSHIGWLSIVAAIMSFTYSSVGLGLGIGKVAEHERFDGSLLGISIGTVTHAGTVTGMQKIWRTLQALGAIAFAYSYSVILIEIQDTVKSPPAEYTTMKKATLFSITVTTVFYLLCGCFGYAAFGDLSPGNLLTGFGFYNPYWLLDIANLAIVIHLVGAYQVFCQPLFAFVEKWCAQKWPNCNFVTAEYEIPTPFGGVYELNLFRLVWRTIFVAITTIIAMLMPFFNDVVGFLGAMGFWPLTVYFPIEMYISHKKVGRGTSRWLALQIISVACFFVTVAAAVGSIAGVVLDLKTYKPFKTSY >KJB16161 pep chromosome:Graimondii2_0_v6:2:56532510:56533846:-1 gene:B456_002G215900 transcript:KJB16161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQVPNPSSKPPKDYRSNVDTSRPFRSVKEAVAVFGERLLVGEIYTPKPYTYSRPPSQEITWFSPSPLSRKEDDQESIHHQGVLDTLKKLETELEETKAELKLLKERESETEVALASLNAELHKNMSKLARAEAAAAKMAAETTTPRTVSMEMGNKSEDRAREEERRKELMKRMENNPTLSQILSIGEKEGYFGGKRERKLMKKKPIVPLVGDWLFKKKGSPTTLHNPLYAS >KJB13333 pep chromosome:Graimondii2_0_v6:2:7971895:7976018:1 gene:B456_002G068800 transcript:KJB13333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKESYFASAESLLSEVNHHDDDDDQQRKRVLDMEEAKNQVVFSLPMIVSSVSFLSITMVSVMFAGHLGELQLAAATLANSWATVTGLAFMTGLSGALETLCGQGFGAKLYRTLGIYLQSSCIISCFFSIIISILWFYTEPILILLQQDAQISAAAALYIKYLIPGLFAYGFVQNILRFLQTQSILMPLVWFSVLPMGIHLGIAYALVYCTEMGFKGAPLAASISLWISFLLLSVYVFFAEEFKQTWDGLSFESFRHVPTNLKLALPSAAMVCLEYWAFELLVLLAGLMPNSEVNTSLIAMCVNTEAIAYMITYGLSAAASTRVSNELGAGHPIRAKNAMGVTLRLSILQALAVVLALAFGHNIWAAFFSNSPSIINQFASMTPLLLISITIDSFQGVLSGVARGSGWQLLAMWVNMGTFYFIGMPLACLLGFKFKLYAKGLWIGLICGLSCQAGALLLITLSRKWINIEISEDVDRETRIFV >KJB16034 pep chromosome:Graimondii2_0_v6:2:55652016:55654575:1 gene:B456_002G209300 transcript:KJB16034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPTFHTILTTSLSAPSPKLPPSISSPQRFPFLIKPLHPNAFNLSTSSSAPDQKWRVNVSFFPAFLNKGKDAKVLKQDLLDCIAPLDRGADATPEDQQRVDQLASKLEAVNPTKQPLKSDLLNGKWELIYTTSKSILQTQRPKFLRSSTNYQAINVDTLRAQNMESWPFFNQVTADLTPLNARKVAVKFDYFKIGGLIPIKAPGRARGELEITYLDEELRISRGDLGNLFILKMIDPSYRVPV >KJB16674 pep chromosome:Graimondii2_0_v6:2:60680008:60680949:1 gene:B456_002G242500 transcript:KJB16674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVGFISFLSLAFLLLCCFSTSARLLMQINHGEKEFKANEMIIQADAKDDFLNLIGAEKCYDQKDEECLERRMIADAGLDYIYSQSNKP >KJB16673 pep chromosome:Graimondii2_0_v6:2:60679931:60680949:1 gene:B456_002G242500 transcript:KJB16673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVGFISFLSLAFLLLCCFSTSARLLMQINHETGEKEFKANEMIIQADAKDDFLNLIGAEKCYDQKDEECLERRMIADAGLDYIYSQSNKP >KJB14357 pep chromosome:Graimondii2_0_v6:2:17339635:17344327:-1 gene:B456_002G121100 transcript:KJB14357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKNFIDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLDDQEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRES >KJB14356 pep chromosome:Graimondii2_0_v6:2:17339528:17344375:-1 gene:B456_002G121100 transcript:KJB14356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKNFIDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLDDQEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRES >KJB14424 pep chromosome:Graimondii2_0_v6:2:18311235:18313024:-1 gene:B456_002G124500 transcript:KJB14424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSLKIGDGTARFKRATLCSSAVNILMLFSVITTNLFALYAFTFSPKQHLDNPFHHPHRNISLISQHVSLIIKEIDSSQRKLAQMEKELLGYDTLDLSRPNLATELKLFLQHHQLPLGKDSRTGITEMVASVGHSCEKSADLLSQYMSYKVSGPCPDDWSLAQKLILKGCEPLPRRRCFAKTVPKPGLTSLPVSLWKPVSDKIVTWSGLGCKNFNCLNSKKLSRGCVGCFNLTNGYETQKYVKARSKNDFVMDDVLALGSGGIRIGFDIGGGSGTFAARMAERNVTVITNTLNVDAPHSEFIAARGLFPLFLSLDHRFPFYDNVFDLVHATSGLDVEGKPEKLEFLMFDLDRILRVGGLFWLDNFYCSDDEKKSALTRLIERFGYKKLKWVVGEKTDAAGSGKPQVYLSAVLQKPVRI >KJB11917 pep chromosome:Graimondii2_0_v6:2:3795025:3795162:-1 gene:B456_002G045600 transcript:KJB11917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEASNVGSSLLVPSVQELAKQPLSAIPYRYLRPELEQDVIARF >KJB12460 pep chromosome:Graimondii2_0_v6:2:1315891:1316493:1 gene:B456_002G019300 transcript:KJB12460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGNLLKRKPQTSSTSYVKRSLHCCWMIYGNGLISQELGCLFQHNKMALKSFSQLVVVMCAVKCNRTWIIISEWNVYHQEKLSNCSRRRLDQRPFKCIQIFAS >KJB13502 pep chromosome:Graimondii2_0_v6:2:9363650:9367877:1 gene:B456_002G078600 transcript:KJB13502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFLCLLLFFTTTLALNTITPGQSIKDGETLVSAGGSFELGFFSPQNSKSRYVGIWYKKVSTGTVVWVANRETPVSDASGVLSINHNGILSIMNRTKGIVWSSSTSRNASEEAIAQLLDSGNFVVKDRNDGDPTNLLWQSFDYPCDTFLPGMKLGRNFVTGFDWHISSWKSMQNPAPGLYSLRTDPQGLPQFVLKKGPEILFRAGPWNGAYLTGRTLATVNPIYSFEFVWNENEIYYEYEVQNHSVYTRYLLNPSGLIQRTIWNERKNDWEVFATSQADQCSIYAYCGPYATCNTNESPPCKCLEGFMHRSASPEDINSVDWSNGCTRRTPLACEGGDSFLKQTGLRIPDTSKSWADLSIDLKECEKLCLKNCSCTAYTNLDIREGGRGCLLWYGDLTDISELNEGGQDLYIRLATCDLNEHGKEDLELPAFDFATVAMATNNFSGNNILGQGGFGPVYKGTLIEGQEIAVKRLSKNSGQGLEEFKNEVTLISKLQHRNLVKLFGCCVRKDEKILIYEYMPNKSLDYFIFDQTRSKLLDWRIRMHIIDGIARGVLYLHHDSRLRIIHRDLKASNILLDNNMNPKISDFGLARKFGVHQTQAKTKRVIGTYGYMSPEYALDGLFSTKSDVFSFGVLVLEILSGKKNRGFSHPEHDHNLLGHAWTLWMGKRPLELIDTAFGDLYNATEVLRCINVALLCVQQSPPDRPNMSLVLLMLCGDSVLPQPKQPGFFIERNLPMTDSISEKDEMFSIYESTITSLEPR >KJB13995 pep chromosome:Graimondii2_0_v6:2:13856227:13857070:1 gene:B456_002G105400 transcript:KJB13995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSTKSLIRLLQKIDMRKSESNHFPFSYVFGLNFIPNMSACFSWANMHIQTEKLRKKIMKKGGLFVIPLQSNVTGSRYSYTWMSLVQENGWHVLLDAIALGAKEMETLRLSLFDPDFHICSFFRVFCENPSGFCFLFIKKSIGLSVSKGSTTNVGIVSLVPPLKLESPKGKAPLHEIEEIIDLRTSNTLQYRALDHANSLGLVLISSRTRSLIN >KJB15556 pep chromosome:Graimondii2_0_v6:2:48851438:48854833:-1 gene:B456_002G184100 transcript:KJB15556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGKAAICLSFVILFLISCSIYVGTVDLRSYFFPLLQSPQVPRSLCASGPPLRVYMYDLPRKFHVGMMDRRSFEGPAPVTADNLPPWPSNSGIKKQHSVEYWLMASLLYGGNGNEDREAVRVSDPESAEAFFVPFFSSLSFNTHGHNMTDPETEVDRRLQVELLEFLQKSKYYQRSGGRDHVIPMTHPNAFRFLRQELNASILIVVDFGRYPKTMSSLSKDVVAPYVHVVDSFTDDDALDPYESRTTLLFFRGNTVRKDQGKIRIKLAKILSGIDDVHYEKSVATPKNIIMSTEGMRSSKFCLHPAEALEPGYLVNQLRQFPKDRWVEMWKQLKNISHHFEFQYPPKKEDGVNMLWRQVKRKLPRAQLAIHRSRRLKVPDWWQRRR >KJB15555 pep chromosome:Graimondii2_0_v6:2:48852796:48854737:-1 gene:B456_002G184100 transcript:KJB15555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGKAAICLSFVILFLISCSIYVGTVDLRSYFFPLLQSPQVPRSLCASGPPLRVYMYDLPRKFHVGMMDRRSFEGPAPVTADNLPPWPSNSGIKKQHSVEYWLMASLLYGGNGNEDREAVRVSDPESAEAFFVPFFSSLSFNTHGHNMTDPETEVDRRLQVELLEFLQKSKYYQRSGGRDHVIPMTHPNAFRFLRQELNASILIVVDFGRYPKTMSSLSKDVVAPYVHVVDSFTDDDALDPYESRTTLLFFRGNTVRKDQGKIRIKLAKILSGIDDVHYEKSVATPKNIIMVCCLPWP >KJB15557 pep chromosome:Graimondii2_0_v6:2:48851438:48854982:-1 gene:B456_002G184100 transcript:KJB15557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGKAAICLSFVILFLISCSIYVGTVDLRSYFFPLLQSPQVPRSLCASGPPLRVYMYDLPRKFHVGMMDRRSFEGPAPVTADNLPPWPSNSGIKKQHSVEYWLMASLLYGGNGNEDREAVRVSDPESAEAFFVPFFSSLSFNTHGHNMTDPETEVDRRLQVELLEFLQKSKYYQRSGGRDHVIPMTHPNAFRFLRQELNASILIVVDFGRYPKTMSSLSKDVVAPYVHVVDSFTDDDALDPYESRTTLLFFRGNTVRKDQGKIRIKLAKILSGIDDVHYEKSVATPKNIIMSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDKIELPYEDEIDYSEFSIFFSMKEALEPGYLVNQLRQFPKDRWVEMWKQLKNISHHFEFQYPPKKEDGVNMLWRQVKRKLPRAQLAIHRSRRLKVPDWWQRRR >KJB15554 pep chromosome:Graimondii2_0_v6:2:48851397:48855044:-1 gene:B456_002G184100 transcript:KJB15554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDLPRKFHVGMMDRRSFEGPAPVTADNLPPWPSNSGIKKQHSVEYWLMASLLYGGNGNEDREAVRVSDPESAEAFFVPFFSSLSFNTHGHNMTDPETEVDRRLQVELLEFLQKSKYYQRSGGRDHVIPMTHPNAFRFLRQELNASILIVVDFGRYPKTMSSLSKDVVAPYVHVVDSFTDDDALDPYESRTTLLFFRGNTVRKDQGKIRIKLAKILSGIDDVHYEKSVATPKNIIMSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDKIELPYEDEIDYSEFSIFFSMKEALEPGYLVNQLRQFPKDRWVEMWKQLKNISHHFEFQYPPKKEDGVNMLWRQVKRKLPRAQLAIHRSRRLKVPDWWQRRR >KJB12485 pep chromosome:Graimondii2_0_v6:2:1578895:1582888:-1 gene:B456_002G022500 transcript:KJB12485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIVRQKMLEQSLKKIRPAVSVLRSYSSAAKQMTVREALNSALDEEMSADSKVFLMGEEVGEYQGAYKITKGLLDKYGPERVLDTPITEAGFTGIAVGAAYYGVKPVVEFMTFNFSMQAIDHIINSAAKTNYMSSGRISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLAPYSSEDARGLLKAGIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERKGKDVTIAAYSKMVGYALKAAETLEKDGIDAEVINLRSIRPLDRSTINASVRKTNRLVTVEEAFPQHGVGAEICASIVEESFAYLDAPVERIAGADVPMPYAANLERMAVPQVIYHFSLFSYNYQYIYTHICIYMHANTHNFIHL >KJB12483 pep chromosome:Graimondii2_0_v6:2:1578511:1583349:-1 gene:B456_002G022500 transcript:KJB12483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIVRQKMLEQSLKKIRPAVSVLRSYSSAAKQMTVREALNSALDEEMSADSKVFLMGEEVGEYQGAYKITKGLLDKYGPERVLDTPITEAGFTGIAVGAAYYGVKPVVEFMTFNFSMQAIDHIINSAAKTNYMSSGRISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLAPYSSEDARGLLKAGIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERKGKDVTIAAYSKMVGYALKAAETLEKDGIDAEVINLRSIRPLDRSTINASVRKTNRLVTVEEAFPQHGVGAEICASIVEESFAYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRTCYRSVS >KJB12482 pep chromosome:Graimondii2_0_v6:2:1577986:1583386:-1 gene:B456_002G022500 transcript:KJB12482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIVRQKMLEQSLKKIRPAVSVLRSYSSAAKQMTVREALNSALDEEMSADSKVFLMGEEVGEYQGAYKITKGLLDKYGPERVLDTPITEAGFTGIAVGAAYYGVKPVVEFMTFNFSMQAIDHIINSAAKTNYMSSGRISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLAPYSSEDARGLLKAGIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERKGKDVTIAAYSKMVGYALKAAETLEKDGIDAEVINLRSIRPLDRSTINASVRKTNRLVTVEEAFPQHGVGAEICASIVEESFAYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRTCYRSVS >KJB12484 pep chromosome:Graimondii2_0_v6:2:1578001:1583056:-1 gene:B456_002G022500 transcript:KJB12484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIVRQKMLEQSLKKIRPAVSVLRSYSSAAKQMTVREALNSALDEEMSADSKVFLMGEEVGEYQGAYKITKGLLDKYGPERVLDTPITEAGFTGIAVGAAYYGVKPVVEFMTFNFSMQAIDHIINSAAKTNYMSSGRISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLAPYSSEDARGLLKAGIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERKGKDVTIAAYSKMVGYALKAAETLEKDGIDAEVINLRSIRPLDRSTINASVRKTNRLVTVEEAFPQHGVGAEICASIVEESFAYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRTCYRSVS >KJB12481 pep chromosome:Graimondii2_0_v6:2:1578001:1583177:-1 gene:B456_002G022500 transcript:KJB12481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIVRQKMLEQSLKKIRPAVSVLRSYSSAAKQMTVREALNSALDEEMSADSKVFLMGEEVGEYQGAYKITKGLLDKYGPERVLDTPITEAGFTGIAVGAAYYGVKPVVEFMTFNFSMQAIDHIINSAAKTNYMSSGRISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLAPYSSEDARGLLKAGIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERKGKDVTIAAYSKMVGYALKAAETLEKDGIDAEVINLRSIRPLDRSTINASVRKTNRLVTVEEAFPQHGVGAEICASIVEESFAYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRTCYRSVS >KJB15750 pep chromosome:Graimondii2_0_v6:2:52279853:52281367:1 gene:B456_002G193600 transcript:KJB15750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAKRTKNITNLLLLLRNLSIITMASNPRVFFDMTIGGQPAGRIVMELFADCTPRTAENFRSSFHRVIPNFMCQGGDFTAGNGTGGESIYGSKFADENFIKKHTGPGILSMANAGPGTNGSQFFICTAKTEWLDGKHVVFGQVVEGMDVVKAVEKVGSSSGRTSKPVVVADCGQLS >KJB15749 pep chromosome:Graimondii2_0_v6:2:52279853:52281367:1 gene:B456_002G193600 transcript:KJB15749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAKRTKNITNLLLLLRNLSIITMASNPRVFFDMTIGGQPAGRIVMELFADCTPRTAENFRALCTGEKGVGRSGGDFTAGNGTGGESIYGSKFADENFIKKHTGPGILSMANAGPGTNGSQFFICTAKTEWLDGKHVVFGQVVEGMDVVKAVEKVGSSSGRTSKPVVVADCGQLS >KJB14475 pep chromosome:Graimondii2_0_v6:2:19379859:19381951:-1 gene:B456_002G127000 transcript:KJB14475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDHESLVKAIKQVDVVISVVGQMQLADQVKIIAAIKEAGNVKRFFPSEFGMDVDKNNAVEPAKSTFAIKAQIRRAVEAEGIPYTYVPANCFAGYFLPTLSQPGATSPPRDKVVILGDGNPKAVFNHEADIGTYTIKAVDDPRTANKTLFIKPPKNTYSFNELIALWEKLIGKALEKTYVPEDQLLKQIQESPIPINIVLAISHSIFVNGDCTNFEIDPSFGYEASELYPEVKYTTVEEGFSRFV >KJB14477 pep chromosome:Graimondii2_0_v6:2:19379859:19381951:-1 gene:B456_002G127000 transcript:KJB14477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFFPSEFGMDVDKNNAVEPAKSTFAIKAQIRRAVEAEGIPYTYVPANCFAGYFLPTLSQPGATSPPRDKVVILGDGNPKAVFNHEADIGTYTIKAVDDPRTANKTLFIKPPKNTYSFNELIALWEKLIGKALEKTYVPEDQLLKQIQESPIPINIVLAISHSIFVNGDCTNFEIDPSFGYEASELYPEVKYTTVEEGFSRFV >KJB14478 pep chromosome:Graimondii2_0_v6:2:19379859:19382037:-1 gene:B456_002G127000 transcript:KJB14478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSKVLIIGGTGYLGKFIVEASAKEGHPTFVFVRESTVSDPVKGKLIDNFKNLGVHLLLGDMYDHESLVKAIKQVDVVISVVGQMQLADQVKIIAAIKEAGNVKRFFPSEFGMDVDKNNAVEPAKSTFAIKAQIRRAVEAEGIPYTYVPANCFAGYFLPTLSQPGATSPPRDKVVILGDGNPKAVFNHEADIGTYTIKAVDDPRTANKTLFIKPPKNTYSFNELIALWEKLIGKALEKTYVPEDQLLKQIQESPIPINIVLAISHSIFVNGDCTNFEIDPSFGYEASELYPEVKYTTVEEGFSRFV >KJB14474 pep chromosome:Graimondii2_0_v6:2:19380094:19381607:-1 gene:B456_002G127000 transcript:KJB14474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDMYDHESLVKAIKQVDVVISVVGQMQLADQVKIIAAIKEAGNVKRFFPSEFGMDVDKNNAVEPAKSTFAIKAQIRRAVEAEGIPYTYVPANCFAGYFLPTLSQPGATSPPRDKVVILGDGNPKAVFNHEADIGTYTIKAVDDPRTANKTLFIKPPKNTYSFNELIALWEKLIGKALEKTYVPEDQLLKQIQESPIPINIVLAISHSIFVNGDCTNFEIDPSFGYEASELYPEVKYTTVEEGFSRFV >KJB14476 pep chromosome:Graimondii2_0_v6:2:19380094:19380855:-1 gene:B456_002G127000 transcript:KJB14476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDKNNAVEPAKSTFAIKAQIRRAVEAEGIPYTYVPANCFAGYFLPTLSQPGATSPPRDKVVILGDGNPKAVFNHEADIGTYTIKAVDDPRTANKTLFIKPPKNTYSFNELIALWEKLIGKALEKTYVPEDQLLKQIQESPIPINIVLAISHSIFVNGDCTNFEIDPSFGYEASELYPEVKYTTVEEGFSRFV >KJB14473 pep chromosome:Graimondii2_0_v6:2:19379859:19380963:-1 gene:B456_002G127000 transcript:KJB14473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDKNNAVEPAKSTFAIKAQIRRAVEAEGIPYTYVPANCFAGYFLPTLSQPGATSPPRDKVVILGDGNPKAVFNHEADIGTYTIKAVDDPRTANKTLFIKPPKNTYSFNELIALWEKLIGKALEKTYVPEDQLLKQIQESPIPINIVLAISHSIFVNGDCTNFEIDPSFGYEASELYPEVKYTTVEEGFSRFV >KJB17194 pep chromosome:Graimondii2_0_v6:2:41936861:41939215:-1 gene:B456_002G1686006 transcript:KJB17194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYPLVITLQKFLIMLDGTIGNSFFEKFYDARELSNMEVVNAPTLVRNCIRTKEVTYEKFCSIYWPHFNANLTNKLDSSRVFTEIMSHIKGGLRSGNSYDGRLNAEDYVKLSEGRASALSSHERQMIYDIFQDYEKMKGENGEFDMADVVVDLHDRLQNERYEGDIMDFVYIDEVQDLTMRQIALFKHVCKNVSEGFVFCGDTAQTIARGIDFRFEDIRSLFYNEFVLESKCETNHGKKEKGQISKNFHLSQNFRTHDGVLRLAQSVIDLLYNFFPSFVDILCPETSLIYGEAPIWLESDNEDNAVAKIFTNSGNAGAHMVGFGAEQVILVRDDPAKNEILKYVGKQALVLTIVECKGLEFQDVLLYNFFGSSPLKNQWRVVYEFMKEQGLLDASCPSPSFKQAKHNIMCSELKQLYVAITRTRQRLWICENVKEFSEPVFNYWKRKCLVQVRKLDDSLAQAMQVASSSEEWKSRGYKLLHQDNYEMATICFERANDTYGEKLAKALGLRANADRLHGSNPEMASIAR >KJB14971 pep chromosome:Graimondii2_0_v6:2:30564829:30565185:1 gene:B456_002G152200 transcript:KJB14971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNVHRGGKIWVRIFPDKPVTIRPTETRMVSGQGSTGYWVAVVKPGRILYEMSGVAKNIARKAISIAA >KJB15469 pep chromosome:Graimondii2_0_v6:2:47053561:47055109:-1 gene:B456_002G179300 transcript:KJB15469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKTHRWINPHQILSSLTIFFAITIFVYPINGMESRRLDDSTVPGDQGVKCTPSCIQSPPPPSLPPPCPPPPSPPALPPPTPKKPPTQYCPPPPIPPSPPSFIYIPGSPGNLYSIDQNFGGANRNVAVGLLGLVCGLSLLFAF >KJB13204 pep chromosome:Graimondii2_0_v6:2:7341980:7344955:-1 gene:B456_002G062200 transcript:KJB13204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEIWYVWVFIISFLSVSVGNWIYRWRNPKCKGKLPPGSMGFPLIGETLSFFVTSNSIDMHPFVNERLKRYGPLFKTNIAGRPVAVSSDPEFNYFVLQQEGKLIELYYMDSFSQTVHNDNLSNLGGYFQRYLRRSILRHFGHEPLKRKLLSELEDVINHEVHKWTNLPEVDVKLQTVPMLFDLTSQILMSYKPEKNLGEDLNNMLQSIMTFPLYIPGTAFYKCIQKKRKAIKLTTWVLEERMKGYSYDNSAEGCNKKGDFLDEVIGDIGKEAFLTKEFVPYLLFGLLLATVETISPTVTLATMYLLDNPSALQQLTEEHEEILKNREDANSGLVWEEYKSMTFTRYVINETLRLENVLPGMLRKVIADIHVDGYTIPKGWILLVMPTALHLNPNIYEDPLTFNPSRWKNIGSNGMAKNFMPFGGGDRPCAGAEFSKVLMAVFLHVWVTKFRFTKVKGGNIVRAPILGFPDGFYVNVSRKHC >KJB15031 pep chromosome:Graimondii2_0_v6:2:33650920:33653209:1 gene:B456_002G156400 transcript:KJB15031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVASTSPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGISLDSRDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFEQELEIAKTSSSVEQSYELPDGQVITIGSERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIPKDLYGNIALSGGTTMFPGIGDRMSKEITALSPSSMKIKVVAPPERKCSV >KJB15032 pep chromosome:Graimondii2_0_v6:2:33651192:33654330:1 gene:B456_002G156400 transcript:KJB15032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQIMFETFNAPAMYVAIQAVLSLYASGRTTGISLDSRDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFEQELEIAKTSSSVEQSYELPDGQVITIGSERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIPKDLYGNIALSGGTTMFPGIGDRMSKEITALSPSSMKIKVVAPPERKCSV >KJB12109 pep chromosome:Graimondii2_0_v6:2:41916:43690:1 gene:B456_002G000800 transcript:KJB12109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHTFVVGQEFPDVKAFRNAIKEAAIAQHFELRIVKSDLIRYFAKCASEGCPWRIRAVKLPSAPTFTIRSLEGTHTCGKNAQNGHHQASVDWIVSFIEERLRDNINYKPKDILHDIHKQYGIIIPYKQAWRAKERGLAAIYGSSEEGYCMLPTFCEQIKKTNPGSIAEVFTTGADNRFQRLFISFHASICGFLSGCLPIVGLGGIQLKSKYLGTLLSATAFDADGGLFPLAFGIVDKENDDSWIWFLSELHKALEMNSEKMPQLTFLSDGQKGTLDAVRRKFPNSCQAFCMRYLSESISKEFKNSRLRDASPEAAKWIQQFPPSRWALLYFEGTRYGHLSSNIEEFNRWILDARELPIIQVVEQIHNKLMSEFEDRRTRSHSWFSVLAPSAETRMREVISRASTYQVLRSDEVEFEVISAERSDIVNIGKHSCSCRDWQLYGIPCAHAAAAIMSCRKDVYAFAEKCFTVASYCEAYSEEIYPIPQKIDWNKFGEAPSTLNEDAQVVRPPKFRRPPGRPEKKRICVEELNREKHTVHCSRCNQTGHYKTTCKADIMKGIEQFEPCRI >KJB13612 pep chromosome:Graimondii2_0_v6:2:10742238:10743669:1 gene:B456_002G084700 transcript:KJB13612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEFHQCTPLIPARQSYFARYCKKHTNGTWGVVDVSLENLFPYPQVQFRRRPSGCVIQEVGNRGSKVTWIEHVEVDNKSLHPLFRPIVSSGFAFSAKRWIATINRHCQWLTTSMARTAPTTDGVLIPQEGRGSLLKLAEKMTKNFFNNINSCSENVWSGLPQNFAAQDVRLRYGNILKVPGKPSGNIVIFTTSIQIPVPMEVLFDFLRHERTRNRWDLLSNQRHVRELVYVSNGENPGNRVSIMQVNSSPNKIEILYLQESYTDETGSYIVYAPMDIMAMSKILNGGNPKFVSILPSGFSIMPDKAPGQGDGAGGSILTLAFQSVDRLSNKEYMRPSTLKIIDAILSTTVASIKDAMLFGIR >KJB16279 pep chromosome:Graimondii2_0_v6:2:57613655:57615999:1 gene:B456_002G221400 transcript:KJB16279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQTPYAALRNMLNKVKPYLSMVSLQFGYAGMFIISMVSLKHGMSNFILATYRHLAATIIIAPFAFLLERKIRPKMTLPIFLMIVILGFLEPVLDQNLYFLGMKYTSATYVSAFLNIIPAVTFTMAMICRLEKINVKKIRSMAKIIGTAITVMGAMVMNLYKGPIIDFVKSGGAIHHGTTTESADKHGVAGTIMLLGSVFCGSSFFILQSFTLKKYPAELSLTAWICFMGMLEGAGVSLVMVRDLSPWKIGWDSRLLAATYSGVVCSGITFYVKGVMIRKRGPVFVTSFSPLCMIITAALGATILAEKLHLGSILGAIIIVLGLYTVVWGKSKDGKNTETDEKSNGLQELPLTNNDKTISVDVGIGGADKIVNIPTSKNPF >KJB14018 pep chromosome:Graimondii2_0_v6:2:14471183:14473643:-1 gene:B456_002G107100 transcript:KJB14018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPHILIFSLLLSCSSAVSSLSRGLKEGSSISVEQANDVLTSADGTFSAGFHPVGNNAYCFAIWFNKPPCTTHNCTIVWMANRDFPVNGKHSKLTLLRSGNLVLKDTGHVIVWKADAVSNLTSKIWQSFDSPTDTLLPLQPLNKNTKLVSSRSKSNFSSGFVTLYFDPNNALNLVYQRGFNGIAVLSSFGDFSSADGINFFPTDFGSMIQRILKLDFDGNLRLYSRKGEENWVVSWQAFSQPCRIHGSCGPNSVCSYVPNLGRQCSCIPGYKMMNPTDWTFGCEPKFDLPFHVEFYGYDYGLYPNYTIKMCEDLCLSMCDCKGFQFKHFEVHRSDGDMYLKLPKVSLSSSKKASDQDYKLDCSTKFEELGREYPKSHESESLKLALWSAGAIGIVEVLSFFFFVLWLLIRTRQNSGPVQGYFLATSSIRRFTYAELKKVTKSFTEEIGRGAGGVVFQGKLSDGRTAAIKRLIDANHQGEAEFLVEVDTIGRLHQMNVIEMWGYCMEGKHRLLIYEYMEHGSLAKSLSFQSIDWRNRFEIAIGTAKGLAYLHEECLEWVLHCDIKPENILLDSSYQPRVSDFGLSWLLNRGDVKYSGFSRIRGTRGYMAPEWVSNHPITSKVDVYSYGIVVLVLVTGRNPGMGAHSSEDGEGGEDQTSLVNWVKEQMKRSTEAETWTGCKDILDPALDGKCDIDEMLILVTIALKFVQEDKDDRPTMGQVVEMLVCCENNFAAKALSIARSLTHAL >KJB12107 pep chromosome:Graimondii2_0_v6:2:22554:23461:-1 gene:B456_002G0006002 transcript:KJB12107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NEGFSFVDVGHSYQPKDVAFGLLDEDIFQSLLHERHDTMKVVKSVREFGSSKSFGCI >KJB12128 pep chromosome:Graimondii2_0_v6:2:98230:99008:1 gene:B456_002G001800 transcript:KJB12128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSHARSISLPSRSNPKILQIQELLFRLKPVEIVSLSMSEISTKLGGCNEKWADDLLDGPVLLLDVCGIAKDVLSQSKEHIRGLRSMFRRRKANEFELTKEISHYLASRKKSNKLIHKVSKDLNTKRSCSTSYNKAGNDNTMATADMSRQIQQVVLTTLKSLLLYITGFKTQSKVGMWSLVSNLIRSKHVDRNEFEKKHSNQNLQTELGKLELSFEDVEQ >KJB13710 pep chromosome:Graimondii2_0_v6:2:11409955:11415546:1 gene:B456_002G090300 transcript:KJB13710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSFVSRARIAFHSAAAKAERVLTDLKSDLDSDRLSPKEFKNESPTREAESKSIHEVKHSKWMPANLGTKQEWQERFKNIRLGKKGVEDSEKVENSTMAVACYDENLYLLKVKNDVEAKASEAVPSVDILNSINTNNIPPTSVIKQLAIAAEAGRTFKSLKDLLASSGNSSPLRERTGLSFSAVKSLVLRDKEDKLASGFDDDARVLALIHSLFDADGNFLRRNLISDSNTSTTTISLPRDIHGAPPPSFVVKLSEVIGSFRTLRKMALFWCRVVIEFRRFWSEERYLPGIPVDEAPDLNSCLLYQQLQVINCCLSRKRRRSIATESFDSEMEEARSNVEESDVAIGTLAPSSALYARVSSGELVLRQGANQLAENLTMLETGEPIYSPITQEGPLLTEDLIKETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTDTERNDEVNSSVSRGQLSSRMQKEGNLWRELWDTAKPVPAIKQTPLFDEDLAVEGILNFLEDIPTSELFQQLFVSLLSLGFVLAEEKVSADENLSKLFYECKDYVVSTCQRSLWDDKMDDLCQVYETVEKMVATPEEVMKTMKQAEETPTMENGNAAGELKRRFKKLSLNFGGKDKQQQRNSPPKDQNNSDESSSRSFASFFDSKSSLFSKLSPKSENLSVIEKLRSLEESDWTLV >KJB13728 pep chromosome:Graimondii2_0_v6:2:11483333:11483641:1 gene:B456_002G091200 transcript:KJB13728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHGQLQTTINQTRYSLNNPFFQAISVFYEYIHSITLFFRQFQFSTILKPKYHTIFLPKKCKITTKRTLKKKSKAYIRTNNREKEREIT >KJB16236 pep chromosome:Graimondii2_0_v6:2:57218239:57220778:1 gene:B456_002G219000 transcript:KJB16236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQQNVVAVDVKSLGLRNLLPPVTKSLSPTELKMLFMKRFEAEKGDNKINGLVDSMRASSPTRIKSSSPSIVHHPSALSMLEQIVGASKGKQIVMFLDYDGTLSPIVEDPDQAFMSTEMRAAVRDVARYFPTAIVTGRCRDKVYSFVKLPGLYYAGSHGMDIKGPSKRCKNKKGNPGILFQPASEFLPMIDEVYKALVERTKSIGGAKVENNKFCVSVHFRRVDEKSWGALAEQVRSVLNQYPKLKLTQGRKVLEIRPTIKWDKGRALEFLLAALGYGNSNDVLPIYIGDDRTDEDAFKVLRDRGQGFGILVSKVPKETNASYTLQEPSEVKEFLRRLVDWKKYAQGGGAAP >KJB16871 pep chromosome:Graimondii2_0_v6:2:61557665:61560819:-1 gene:B456_002G252300 transcript:KJB16871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETVESLPPTLEANAEQPPLFDGTTRLYTSYTCPFAQRVWITRNYKGLQDEIKLVPLNLQNRPAWYKEKVYPVNKVPSLEHNGKIIGESLDLIKYVDSNFEGPSLVPNDPDKKRTLEELLSYADKFMEMLFASFKGDPEKEAGAAFNYLEDALKKYDDGPFLLGRDFSLADIAYIPFVERFQIFLSEVFKYDTIAGRPKLAAWIEELNKIDAYKQTKTVDPKQLVEYYKQRFMVPKLSTPLRL >KJB13114 pep chromosome:Graimondii2_0_v6:2:6065396:6067176:-1 gene:B456_002G057700 transcript:KJB13114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLESEMKLPVINFSEENLKPGTSGWASAFKDVRRALEEHGCFEAKFDKLPGQLHDAVFATAEELFQLPTEVKMRNTSDMPFFGYFGQYKTVPLYESLAIDHPTSLDGTQRFTNLMWPAGNDRFRESAQRYSEVVAELHRTVMRMLFESYGVGNCYDYYIKITRYLLRYLRYSEPKMGESNAGLLPHTDKTFLSILHQGDISGLQVQLKDGQWVAPPPSPTSFVVMAGDALMAWSNDRIPSCNHQVIMKEKGIRYSLGMFTFMDGIIHILEEVGDETHPIKYKSFHHFELLQFMNSNLKTNPNMCFIKAFCGV >KJB14147 pep chromosome:Graimondii2_0_v6:2:15699707:15700152:-1 gene:B456_002G111900 transcript:KJB14147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEVRMKILEDKVDNETINWMMENFKLSVKQQIEAVLTKDELQHLAFLCKSEVDSIGRLTAGILWLLKLQKCAALITESSNSESSLVNLTNIKELKQKLDNMHSLLLQLRVQI >KJB17150 pep chromosome:Graimondii2_0_v6:2:62638525:62639484:-1 gene:B456_002G267500 transcript:KJB17150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDDEGGIVGLPSLIIRKSRCQDRVSLKETTHTVVNSHFCFQCAHIALFRVLCCLRCFHVRNPPPFS >KJB14282 pep chromosome:Graimondii2_0_v6:2:16704209:16704646:1 gene:B456_002G117500 transcript:KJB14282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHIQHKQGEYINPTSSTPHHFATHKLSFLDQFVHSSYVPIVFFYVNQETNILLTDIIASNSMRSQLLKESLSQTLTLFYPFVGMIKDHLSISCNDEGAYYVEARVNLPLCVFLNLPYSSYVSQLLIFSSYVSRDLRHIQDQWRL >KJB15424 pep chromosome:Graimondii2_0_v6:2:46093441:46100136:1 gene:B456_002G178200 transcript:KJB15424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKNRVPNSGQSTPSPPASPLRSPRYRPGRKSGRCSPFQPGRTIAHRFSCLLLSVLLRRQRIFLFAPLIYISGMLLYMGTASVDIIPVVKHRPSPGSVYRSPQVYEKLKIYMNADNSSADAILTVWKNSYKGGQWRPCVNKSYEGLPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLLIPNFHFHSIWRDPSKFKDIYDEEYFISTLKNDVRVVDKIPEYIMERFDHNLTNVYNFRIKAWSSIRYYRDVVLPKLLEEKVIRISPFANRLSFDAPPAVQRLRCLANYEALRFSSPILRLGETLVARMKERSANSGGKYLSVHLRFEEDMVAFSCCVFDGGELEKEDMKKARERGWKGKFTKPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFDNNTYIFLASGKIYNSEKTMAPLLEMFPNLQTKEMLASEEELVPYKRFSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYSGHSKTIRPDKRRLALLFDNPNIGWRTFKRQMLNMRSHSDTKGFELKRPNDSIYTFPCPDCMCRTNKSEAARSSSAT >KJB15425 pep chromosome:Graimondii2_0_v6:2:46093441:46100136:1 gene:B456_002G178200 transcript:KJB15425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKNRVPNSGQSTPSPPASPLRSPRYRPGRKSGRCSPFQPGRTIAHRFSCLLLSVLLRRQRIFLFAPLIYISGMLLYMGTASVDIIPVVKHRPSPGSVYRSPQVYEKLKIYMNADNSSADAILTVWKNSYKGGQWRPCVNKSYEGLPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLLIPNFHFHSIWRDPSKFKDIYDEEYFISTLKNDVRVVDKIPEYIMERFDHNLTNVYNFRIKAWSSIRYYRDVVLPKLLEEKVIRISPFANRLSFDAPPAVQRLRCLANYEALRFSSPILRLGETLVARMKERSANSGGKYLSVHLRFEEDMVAFSCCVFDGGELEKEDMKKARERGWKGKFTKPGRVIRPGAIRINGKCPLTPLEIYNSEKTMAPLLEMFPNLQTKEMLASEEELVPYKRFSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYSGHSKTIRPDKRRLALLFDNPNIGWRTFKRQMLNMRSHSDTKGFELKRPNDSIYTFPCPDCMCRTNKSEAARSSSAT >KJB15426 pep chromosome:Graimondii2_0_v6:2:46093379:46100572:1 gene:B456_002G178200 transcript:KJB15426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKNRVPNSGQSTPSPPASPLRSPRYRPGRKSGRCSPFQPGRTIAHRFSCLLLSVLLRRQRIFLFAPLIYISGMLLYMGTASVDIIPVVKHRPSPGSVYRSPQVYEKLKIYMNADNSSADAILTVWKNSYKGGQWRPCVNKSYEGLPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLLIPNFHFHSIWRDPSKFKDIYDEEYFISTLKNDVRVVDKIPEYIMERFDHNLTNVYNFRIKAWSSIRYYRDVVLPKLLEEKVIRISPFANRLSFDAPPAVQRLRCLANYEALRFSSPILRLGETLVARMKERSANSGGKYLSVHLRFEEDMVAFSCCVFDGGELEKEDMKKARERGWKGKFTKPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFDNNTYIFLASGKIYNSEKTMAPLLEMFPNLQTKEMLASEEELVPYKRFSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYSGHSKTIRPDKRRLALLFDNPNIGWRTFKRQMLNMRSHSDTKGFELKRPNDSIYTFPCPDCMCRTNKSEAARSSSAT >KJB14738 pep chromosome:Graimondii2_0_v6:2:25689753:25699056:-1 gene:B456_002G142700 transcript:KJB14738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIKIKKIDNLTARQVTFSKRRRGLFKKAEELSVLCDAEVALIIFSATGKLFEFASSSMKDMLGRYNLHSNKLDQPSLELQLENTNEISLSKEVADKTHQLRQMRGEDLQGLNIDELQQLEKLLESGLTRGLETKGERIMNEISSLETKVSTMDLIFFLKYLVRSNI >KJB14739 pep chromosome:Graimondii2_0_v6:2:25689753:25699798:-1 gene:B456_002G142700 transcript:KJB14739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIKIKKIDNLTARQVTFSKRRRGLFKKAEELSVLCDAEVALIIFSATGKLFEFASSSMKDMLGRYNLHSNKLDQPSLELQLENTNEISLSKEVADKTHQLRQMRGEDLQGLNIDELQQLEKLLESGLTRGLETKGERIMNEISSLETKVSTMDLIFFLKYLVRSNI >KJB13599 pep chromosome:Graimondii2_0_v6:2:10584820:10585851:1 gene:B456_002G083400 transcript:KJB13599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESEYSSSENASMDSDSIEDQSKQDLELQFSEDEETLVIRMFNLVGERWGLIAGRIPGRTAEEIEKYWNTRYSTSQ >KJB12693 pep chromosome:Graimondii2_0_v6:2:2358085:2362903:1 gene:B456_002G031700 transcript:KJB12693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAPPNPVRSEKPEPIELPVIDLSADRSEVSKLIVKACEQYGFFKAVHHGVSDDVIAAMEEEGLGFFTKPVCEKQRAGPAGPFGYGIKSIGFNGDSGEVEYLLLHTNPSSISQLSHTISDDPKKFSSAVSGYIEAVKRLACEILDLMAEGLGVHDPSLLSNMIRDVDSDSMFRLNRYPPSSFHTPPPPHRATTEIGFGEHTDPQMLTILRSNDVGGLQICVAGGTWVPVTPDPTAFCINVGDVLQAMTNGRFMSVRHRALTNGFKYRMSMAYFGAPPPHAWLSVPPELVTPHRPLLYRPFNWAEYKKASYSLRLGDSRLQLFLK >KJB12694 pep chromosome:Graimondii2_0_v6:2:2358167:2361827:1 gene:B456_002G031700 transcript:KJB12694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAPPNPVRSEKPEPIELPVIDLSADRSEVSKLIVKACEQYGFFKAVHHGVSDDVIAAMEEEGLGFFTKPVCEKQRAGPAGPFGYGIKSIGFNGDSGEVEYLLLHTNPSSISQLSHTISDDPKKFSSAVSGYIEAVKRLACEILDLMAEGLGVHDPSLLSNMIRDVDSDSMFRLNRYPPSSFHTPPPPHRATTEIGFGEHTDPQMLTILRSNDVGGLQICVAGGTWVPVTPDPTAFCINVGDVLQVGPSSLSFITI >KJB14031 pep chromosome:Graimondii2_0_v6:2:14749571:14751201:-1 gene:B456_002G108100 transcript:KJB14031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPIVGLMGRKRKIAKRSRRRRLARLKAEIEEIREEQKSINEGQRQVGKRMEAIGEECQQLSIETSKVIRQTAVTQIRLAIMFNILK >KJB14032 pep chromosome:Graimondii2_0_v6:2:14749831:14751120:-1 gene:B456_002G108100 transcript:KJB14032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPIVGLMGRKRKIAKRSRRRRLARLKAEIEEIREEQKSINEGQRQVGKRMEAIGEECQQLSIETSKVIRQTAVTQIRLAIMFNILKARQDGDIAKASHLTHLLRETMGRA >KJB14945 pep chromosome:Graimondii2_0_v6:2:29207188:29212535:-1 gene:B456_002G150100 transcript:KJB14945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLYEVWKGKNKFIFRGRLIFGPDAKSLIITLLLIIVPVIIFCTNVARNLFDETSGNIAGYAILMVTVVFTIYVLLLLLLTSARDPGIVPRNLHPPTEEICYDSSASIDVGRQTPTPRLPPTKEVIVNGVPVRVKYCITCQLYRPPRCSHCSVCDNCVERFDHHCPWVGQCIGMRNYRSFFLFISSSTILCIFIFGMSALNIKFLTNDYGTVWKAIKESPLSVVLMVYCFIFLWFVGGLTCFHLYLIGTNQTTYETFRYRGVERPQVYDRGCLNNFREVFCSKIKPSRNNFHAYVQENERGFTRGMNSEGYIGNLDGNRREKVEDDREIGGDLLKISQRREAEDA >KJB14268 pep chromosome:Graimondii2_0_v6:2:16589062:16591593:1 gene:B456_002G116900 transcript:KJB14268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKNKYSIIVPTYNERLNIALIVYLIFKHLRDVDFEIIVVDDGSPDGTQEVVKKLQTLYGEDRILLRPRPKKLGLGTAYIHGLKHASGNFVVIMDADLSHHPKYLPSFIKKQLETGASIVTGTRYVKGGGVHGWNLIRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDVISSCVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVFGSSKLGGSEIVEYLKGLVYLLMTT >KJB14269 pep chromosome:Graimondii2_0_v6:2:16589082:16591557:1 gene:B456_002G116900 transcript:KJB14269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKNKYSIIVPTYNERLNIALIVYLIFKHLRDVDFEIIVVDDGSPDGTQEVVKKLQTLYGEDRILLRPRPKKLGLGTAYIHGLKHASGNFVVIMDADLSHHPKYLPSFIKKQLETGASIVTGTRYVKGGGVHGWNLIRKLTSRGANVLAQTLLWPGVSDLTGSFSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVFGSSKLGGSEIVEYLKGLVYLLMTT >KJB12439 pep chromosome:Graimondii2_0_v6:2:1197580:1199001:-1 gene:B456_002G017800 transcript:KJB12439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSMAGSRSWIGGVLNRLSNKRSDKFLDYPLTPIQEQRLQKLQKRIQIPFDETRPDHQEALKELWHIAFPNVALKGLVSEQWKEMGWQGPNPSTDFRACGFISLENLLFYGRTYPASFRRVLLKQDGTRATWEYPFAVAGINISFMLIQMLDLYSEKPSNLPGFNFVKLLGEDEEAFDVLYCTAFEMVDAQWLAMRASYMEFNKVLQATSSQLERELCSDNVRRIQDLSAYSLLYQ >KJB15108 pep chromosome:Graimondii2_0_v6:2:36197344:36199132:1 gene:B456_002G160900 transcript:KJB15108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGKSSGSYDLSFKILLIGDSGVGKSSLLVSFISASAEDLAPTIGVDFKIKFLTVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLSTVWAKEVELYSTNQDCVKMLVGNKVDRVSLPFSLRSVNLVFEEKIQSLHT >KJB15109 pep chromosome:Graimondii2_0_v6:2:36197344:36200766:1 gene:B456_002G160900 transcript:KJB15109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGKSSGSYDLSFKILLIGDSGVGKSSLLVSFISASAEDLAPTIGVDFKIKFLTVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLSTVWAKEVELYSTNQDCVKMLVGNKVDRDSERAVSREEGMELAKELGCMFLECSAKTRKNVEQCFEGLSLKIMEVPSFLEEGSAIGKRNMLKHKPEYRGHQGGGCCS >KJB11795 pep chromosome:Graimondii2_0_v6:2:8327:10126:-1 gene:B456_002G0001001 transcript:KJB11795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTLGNVIDPLDTIKVFCTDALRFTLALGTSGQDLNLSTERLTANKAFTNKLWNAGKFVLQVLPNRYNVSSWQNIEACKGF >KJB13265 pep chromosome:Graimondii2_0_v6:2:7674365:7675959:1 gene:B456_002G065500 transcript:KJB13265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGYSKLHIAMFPWVAYGHFIPFLHLSNKLAHKGHQISFILPKGVQPKLENMNQYPNLIQFVPLVIPHVDGLPPGAETTSVVPLNQQKYLAFSVDQTRDQVEGILGALKPDMVFYDFWFWIPDLARQLGIQPIFYVVVSSMIMSLGSNIRTLTKEMTVEEVTKLPPDYPSSTVKFKAEEAAALLFEAEDFGSGLSFGERIRTAVSGSDAIAFRTCRETEGPFCDYVARGFGKPVLLSGPCLPETKTQQLDEKWVSWLSQFEPGSVVFCSLGSQSVLQKDEFQELLLGFELCGLPFLLALKPPQGCSTVEEALPEGFQDRVGGRGLVYGGWVPQEQLLHHPNIGCFVNHCGYGTMWEFLLSDCQVVLIPEIGDQILNTRLMANELKIGVEVERGKNREVPKESLSEAIKFVMDKDNETANMMKRNHAKLKQMLSNRDLQEGYINNFIQALQDLSNRKSKLQNKMNKPDDVW >KJB14399 pep chromosome:Graimondii2_0_v6:2:17686265:17687428:-1 gene:B456_002G122900 transcript:KJB14399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLFFSIYLLSLISFFHGTSGTTFTLVNKCDYTVWPGILGNTQLDCTGFELPSGGSRSFQAPPSWSGRFWGRTGCASDQTTGQLICQTGDCGSTQIECNGRGATPPATLAEFTTGSGTQDFYDVSLVDGFNLPMIVEPSGGLGMCFSTGCINDLNRQCPTELRVDSGEACKSACEAFGTPEYCCSGPYATPDTCKPSVYSEMFKAACPKAYSYAYDDATSTFTCTGADYTITFCPSSTSQKSESNAIPTTATTYGSITGSGEVSSEEKGSWLPYLLTGDSSKSLSDAVFHTTLLAIFLVFLA >KJB14468 pep chromosome:Graimondii2_0_v6:2:19210091:19213476:1 gene:B456_002G126300 transcript:KJB14468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILRLGIDGQLSVDPSDVETASLDFGLLTRGQPLAVLHPVSAQDISQVVKAVYGSNQGMTVSARGHGHSINGQAQTTNGVVIQMSGRKGGNKPPRPRVWSEERYVDVWGGELWIDVLKSTLEYGLAPKSWTDCLYLSVGGTLSNAGISGQAFNHGPQISNVYELDVVTGKGEVLTCSEDENTELFHAVLGGLGQFGIITRARISLEPAPKMVRWIRVLYSNFSAFTSDQEHLISLHAEPSNQKFDYVEGFVIVDEGLINNWRSSLLSPQNPVKISTLFPSGGVLYCLEIAKNYHESTAQTIDQEVEFLLKKLNFISTSGFTTDLLYVDFLDRVHKAELKLRSKGLWEVPHPWLNLFIPSSKIAEFDKGLFKGILGNKTSGPILIYPMNKNKWDHRSSVVTPDEGVFYLVALLRSALDNEEETQSLEYLNNQNRQILRYCDEAGIKVKRYLPHYTTQQEWMDHFGNKWDRFYEMKMEFDPRHILASGQRIFTPTFPSSSNMPS >KJB13067 pep chromosome:Graimondii2_0_v6:2:4872305:4873341:1 gene:B456_002G055000 transcript:KJB13067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDHLSRWQVLYLGKNKPSGKIPLGLFKCKELEDISLADNRLEGILPKEIGNLTILRSLYLDNNLFEGKREAARCYFNLLSFSDCNICFVW >KJB12287 pep chromosome:Graimondii2_0_v6:2:646330:648346:-1 gene:B456_002G010000 transcript:KJB12287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQDHVRIDTLELKSLIVRKIGHQRAEKYFDQLRKLFGLKIGKSEFDKSCVKIIGRESIPLHNRLIRSIIKNACVSKLPPSKTIRKVGSNLQVGNGYQRNCLQSLYGDAFPPSPRKGRSPVSRDRKFRDRPSPLGPLGKTQSIVPEESISKAQDQSATELLSLGSRPPPDVASVEDGEEVEQVAGSPGVQSRSPVTAPLGISINFSGARKSLPSYRPETCQTKGELPDTRSLINRLQKKLETEGINVPVDCVNLLNNGLDAYLKRLIEPSVRLAGLRSRSRNCSQRAGERSFYVSTMDFRAAMELNPRVLGEDWPMQLEKISLSSLED >KJB12286 pep chromosome:Graimondii2_0_v6:2:646330:648245:-1 gene:B456_002G010000 transcript:KJB12286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQDHVRIDTLELKSLIVRKIGHQRAEKYFDQLRKLFGLKIGKSEFDKSCVKIIGRESIPLHNRLIRSIIKNACVSKLPPSKTIRKVGSNLQVGNGYQRNCLQSLYGDAFPPSPRKGRSPVSRDRKFRDRPSPLGPLGKTQSIVPEESISKAQDQSATELLSLGSRPPPDVASVEDGEEVEQVAGSPGVQSRSPVTAPLGISINFSGARKSLPSYRPETCQTKGELPDTRSLINRLQKKLETEGINVPVDCVNLLNNGLDAYLKRLIEPSVRLAGLRSRSRNCSQRAGERSFYVSTMDFRAAMELNPRVLGEDWPMQLEKISLSSLED >KJB16167 pep chromosome:Graimondii2_0_v6:2:56617136:56618433:-1 gene:B456_002G216400 transcript:KJB16167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNIDLFRKYLLSKSENHTVGKTNKEESAVMKHRSSPPLTPLIMPPKFASSISRPLSLLERRLLQPTRYLQKSSTTQLTIFYAGVVNVYDNVPTDKAQAIMLLAGESCLTKPTAKEKTLVEAKASPNQQQAVGNNFDRH >KJB12522 pep chromosome:Graimondii2_0_v6:2:1609744:1614873:-1 gene:B456_002G022800 transcript:KJB12522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSCFDSKEEEKLNTVNETNDPKRPQPIVSSNISRLSSGGDRLRSRSNGGSKREIPSLRDGPGVQIAAQTFSFRELAAATKNFRPESFLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPSKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLEEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSSQPHGEQNLITWARPLFNNRRKLSKLADPLLQGRFPMRGLYQALAVASMCIQEEAATRPLIGDVVTALSYLANQAYDPNTTGNGHRGSGETDEKRYRDDRGGRVSKNDEGGVSGRKWDLEGSEKEDSPRETARMLNRDLDRERAVAEAKMWGENWREKRRQSAQGSSDGSNG >KJB15661 pep chromosome:Graimondii2_0_v6:2:50977944:50978866:1 gene:B456_002G189100 transcript:KJB15661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVATYFGMSLGAFVFWQSMEKVHVWIALHQDEKKERLNSEAEIRIMREELLHQAKQNERLA >KJB17118 pep chromosome:Graimondii2_0_v6:2:62580768:62582881:-1 gene:B456_002G266400 transcript:KJB17118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLVLHKLAISGPALSSMIQRLSSSPADVDGLLFGHVTYIAPSTLSDESAQSFSDSQLVATISGFLCFPSLLSFYDSLGRVDSSRLSPTHLNHKPLIGWFSSRRKTPLRPSMREFSVTRSLSSTPNLSLPIQNADFNSLFAPSIFLLFTTPLHDQCIQTNQYRAFQFRSSKPSFNPLSIDIVNIGPAFRGHYGSFSPNSTLPFLKCDLRNLTAMNEDRNEENVTGTKETEKDQALLDTCAEGMQVGRLGLLIGPGATNYTAGLEDLYEKMLSKIESLARLVEISSAKVLEQENLNRKLRYKVARSTGVE >KJB17121 pep chromosome:Graimondii2_0_v6:2:62580805:62582869:-1 gene:B456_002G266400 transcript:KJB17121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLVLHKLAISGPALSSMIQRLSSSPADVDGLLFGHVTYIAPSTLSDESAQSFSDSQLVATISGFLCFPSLLSFYDSLGRVDSSRLSPTHLNHKPLIGWFSSRRKTPLRPSMREFSVTRSLSSTPNLSLPIQNADFNSLFAPSIFLLFTTPLHDQCIQTNQYRAFQFRSSKPSFNPLSIDIVNIGPAFRGHYGSFSPNSTLPFLKCDLRNLTAMNEDRNEENVTGTKETEKDQALLDTCAEGMQVGRLGLLIGPGATNYTAGLEDLYEKMLSKIESLARLVEISSAKVLEQENLNRKLRYKVARSTGVE >KJB17119 pep chromosome:Graimondii2_0_v6:2:62581726:62582751:-1 gene:B456_002G266400 transcript:KJB17119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLVLHKLAISGPALSSMIQRLSSSPADVDGLLFGHVTYIAPSTLSDESAQSFSDSQLVATISGFLCFPSLLSFYDSLGRVDSSRLSPTHLNHKPLIGWFSSRRKTPLRPSMREFSVTRSLSSTPNLSLPIQNADFNSLFAPSIFLLFTTPLHDQCIQTNQYRAFQFRSSKPSFNPLSIDIVNIGPAFRGHYGSFSPNSTLPFLKCDLRNLTAMNEDRNEENVTGTKETEKDQALLDTCAEGMQVGRLGLLIGPGATNYTAGLEDLYEKMLSKIESLARLVEISSAKVLEQENLNRKLRYKVARSTGVE >KJB17120 pep chromosome:Graimondii2_0_v6:2:62581207:62582869:-1 gene:B456_002G266400 transcript:KJB17120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLVLHKLAISGPALSSMIQRLSSSPADVDGLLFGHVTYIAPSTLSDESAQSFSDSQLVATISGFLCFPSLLSFYDSLGRVDSSRLSPTHLNHKPLIGWFSSRRKTPLRPSMREFSVTRSLSSTPNLSLPIQNADFNSLFAPSIFLLFTTPLHDQCIQTNQYRAFQFRSSKPSFNPLSIDIVNIGPAFRGHYGSFSPNSTLPFLKCDLRNLTAMNEDRNEENVTGTKETEKDQALLDTCAEGMQVGRLGLLIGPGATNYTAGLEDLYEKMLSKIESLARLVEISSAKVLEQENLNRKLRYKVARSTGVE >KJB12852 pep chromosome:Graimondii2_0_v6:2:3236131:3236761:1 gene:B456_002G0396001 transcript:KJB12852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRDSFSTVDYTDTSNGGDPFSIFNNLYTPESSSCNTVSCSMFSTSRPHTRYVLEEKGYVLDSYSSAQLVNQDQVNFNQVCDSLTDFSLDTEQGMFVKYGSNLKNAFNRTSSAHCEW >KJB16438 pep chromosome:Graimondii2_0_v6:2:59022733:59024713:1 gene:B456_002G230900 transcript:KJB16438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFILAISTIAIILAYKLYQRLRFKLPPGPRRWPVVGNLYDIKPVRFRCYAEWARAYGPIISVWFGSTLNVIVSNTELAREVLKECDQQLADRHRTRSAAKFSRDGKDLIWADYGPHYVKVRKVCTLELFSPKRLEALRPIREDEVTAMVESIFLHCSNPENQGRSLVVRKYLGAVAFNNITRLAFGKRFVNHEDIMDEQGHEFKAIVANGLKLGASLAMAEHIPWLRWMFPLEEEAFAKHGARRDRLTRAIMEEHIVARQKSGDTKQHFVDALLTLQDKYDLSEDTIIGLLWDMITAGMDTTAISVEWAMAELIRNPRVQQKAQEELDLVIGSERVMSETDFSNLPYLLSVAKEALRLHPPTPLMLPHRANANVKIGGYDIPKGSNVHVNVWTIAHDPVVWKDPESFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLGINLVTSMLGHLLHHFCWTPPEAMKVEEIDMLENPGLVAYMRTPLQAMATPRLPYHLYKRIAVDM >KJB15365 pep chromosome:Graimondii2_0_v6:2:44234813:44237404:1 gene:B456_002G173300 transcript:KJB15365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATKTTSLFLLLLAVWCFFITPSNSATDSFVFGGCSQLKYTSGSPYESNVNSILTSLVNSAMYTSYSNFTLPGASNQDTVYGLFQCRGNLNSGDCGRCVAKAVSQLGTLCLDSTGGALQLEGCFVKYDNTTFLGVEDKTVVVKKCGPSISSYSDALGRRDEVLGYLGASDGTYKPFRVSGSGDVQGVAQCVGDLSPSECQDCLSEAIGRLKTDCGAAKWGDMYLAKCYARYSEGGDHSHSQKDTNNNDDEIEKTLAILIGLIAAVALIILFLSFLSKLCDNGKGGK >KJB15364 pep chromosome:Graimondii2_0_v6:2:44234726:44237555:1 gene:B456_002G173300 transcript:KJB15364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATKTTSLFLLLLAVWCFFITPSNSATDSFVFGGCSQLKYTSGSPYESNVNSILTSLVNSAMYTSYSNFTLPGASNQDTVYGLFQCRGNLNSGDCGRCVAKAVSQLGTLCLDSTGGALQLEGCFVKYDNTTFLGVEDKTVVVKKCGPSISSYSDALGRRDEVLGYLGASDGTYKPFRVSGSGDVQGVAQCVGDLSPSECQDCLSEAIGRLKTDCGAAKWGDMYLAKCYARYSEGGDHSHSQKDTNNNDDEIEKTLAILIGLIAAVALIILFLSFLSKLCDNGKGGK >KJB15089 pep chromosome:Graimondii2_0_v6:2:35161273:35162225:-1 gene:B456_002G159500 transcript:KJB15089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQVNFFCYNYTFKMVVSAERGKMVPQKEGTQWCGSADGTFDEEVAVNDATVVVTGDDRLKIGRGRPREGMRLNNRVKYGAKISERRRKRWLINGVSKKWWTTIHCFSVSFNCVCAFGSV >KJB13634 pep chromosome:Graimondii2_0_v6:2:10880516:10881872:-1 gene:B456_002G085700 transcript:KJB13634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPCTFQIPFTSKPVNSLPSLPKLSSKFLGSQKTLSWSRPSRIGPSNGSRTQCWFKFGKNGVDAEGAGIYGSQGRDDFDRDDVEQYFNYMGMLAVEGSYDKMEALLNQNIHPVDILLMLAASEGDKPKIEELLRAGAKYDVKDADGRTALERAVNEEIKDFILGFSVQKA >KJB13610 pep chromosome:Graimondii2_0_v6:2:10691432:10692986:-1 gene:B456_002G084400 transcript:KJB13610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHWSGTNFAPLSFTAHSDFNQIISIYVENVPITINWRWFTMQCQKVGRVVHTFAPMKRNRKGTKFGFVRVTNMHDAKRINNNINGMWAEGSIVKVGDPRHRDEAFIKDAYWERLIVFFIKIEPWTRASYSCKRAAWVEIHGAPIHAWNLVTFQRVAEMWGSFLSLSSNKHNDNDSDCSVMRLLILTDRLKRIEETLELDCGEESFPIRVQKFQARKLMALGLQSP >KJB15806 pep chromosome:Graimondii2_0_v6:2:53357895:53361587:-1 gene:B456_002G197100 transcript:KJB15806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPRRLLMIFFLSTLLIAYSNANVEEIQKDTEEGDEEGKVGGQKAQGVTYDARSLIINGKRELLFSGAIHYPRSTPDMWPDLIKKAKQGGINTIETYVFWNGHEPVEGQYNFEGEFDLVKFIKLIHEHKLYAVVRVGPFIQAEWNHGGLPYWLREVPDIIFRSDNEPFKKHMKRFVTMIVDKLKQEKLFAPQGGPIILAQIENEYNTIQRAFREKGDSYVQWAGKLALSLNANVPWIMCKQRDAPDPVINTCNGRHCGDTFYGPNKRNKPALWTENWTAQYRVFGDPPSQRSAEDLAYSVARFFSKNGSMVNYYMYYGGTNFGRTSASFTTTRYYDEAPLDEFGLQREPKWGHLKDVHRALSLCKRALFWGVPTTLKLGPDQQAIVWQQPGTSACAAFLANNNTRLAQHVNFRGQDIRLPARSISVLPDCKTVVFNTQLVTTQHNSRNFVRSEIANKNFNWEMYREVPPVGLGFKFDVPRELFHLTKDTTDYAWYTTSLKLGRRDLPMKKNVSPVLRVASLGHGIHAYVNGEYAGSAHGSKVEKSFVFQRAVSLKEGENHIALLGYLVGLPDSGAYMEKRFAGPRSITILGLNTGTLDISQNGWGHQVGIDGEKKKLFTEEGSKSVQWTKPDQGGPLTWYKGYFDAPEGDNPVAIVMTGMGKGMVWINGRSIGRYWNNYLSPLKKPTQSEYHIPRAYLKPKNLIVLLEEEEGNPKDVHIVTVNTDTICSAVSEIHPPSPRLIETKMYSPTQSE >KJB14350 pep chromosome:Graimondii2_0_v6:2:17309433:17314587:-1 gene:B456_002G120800 transcript:KJB14350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDVSDPVVFLHGDLDLKIIEARCLPNMDLMAERLRRCFTVFDVCKAPCTRGKKKNHRHHNIITSDPYVTVCLAGATVARTRVISNSQNPIWNERFKLALAHPTSQIEFHVKDNDLFGADLIGVATVPAVKVLSGETISGWFPIIGSYGKPPKPDCAVHLEMRFIKCEEMPSYRYGMAANPTEFGIRNCYFPVRHGGSVTLYQDAHIQESMVPEIELENGTLFKHESCWEDICHAILEAHHLVYIAGWSIFSHVKLVREPTRPLPNGGNLSLGDLLKYKSEEGVRVLLLVWDDKTSHSKFFINTAGVMQTHDEETRKFFKHSSVSCVLSPRYASSKLSIFKQQVVGTLFTHHQKCVIVDSQASGNNRKITAFVGGLDLCDGRYDTPEHRLFRDLDTVFQNDYHNPTFSAGTKGPRQPWHDLHCKVEGPAAYDILTNFEQRWRKATKWSEFGLKFKRVSRWHDDSLIKLERISWILSPSAKIANDDPSLWVSKEEDPENWHTQVFRSIDSGSVKGFPKNVFEAESQNLVCAKNLVIDKSIQTAYVQAIRAAQHFIYIENQYFIGSSYGWPSYKDSGAQNLIPMELALKITSKIRAKERFAVYIVIPMWPEGDPTSASVQEILCWQAQTIQMMYGVVAQELKSMGIENSHPQDYLNFYCLGNREEVPKSSGPSSSQCNHSETVSASQKFQRFMVYVHAKGMIVDDEYVILGSANINERSLAGSRDTEIAVGAYQPYYTWGERKRHPHGQIYGYRMSLWAEHMEKVDDLFKEPESLDCVKAVNNIAEENWKSYTSEAFTQLQGHLLKYPIEVDSNGKVSHLPGQETFPDVGGKILGTRTTLPRSLTT >KJB14349 pep chromosome:Graimondii2_0_v6:2:17310003:17314270:-1 gene:B456_002G120800 transcript:KJB14349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDVSDPVVFLHGDLDLKIIEARCLPNMDLMAERLRRCFTVFDVCKAPCTRGKKKNHRHHNIITSDPYVTVCLAGATVARTRVISNSQNPIWNERFKLALAHPTSQIEFHVKDNDLFGADLIGVATVPAVKVLSGETISGWFPIIGSYGKPPKPDCAVHLEMRFIKCEEMPSYRYGMAANPTEFGIRNCYFPVRHGGSVTLYQDAHIQESMVPEIELENGTLFKHESCWEDICHAILEAHHLVYIAGWSIFSHVKLVREPTRPLPNGGNLSLGDLLKYKSEEGVRVLLLVWDDKTSHSKFFINTAGVMQTHDEETRKFFKHSSVSCVLSPRYASSKLSIFKQQDVVWHVIQVVGTLFTHHQKCVIVDSQASGNNRKITAFVGGLDLCDGRYDTPEHRLFRDLDTVFQNDYHNPTFSAGTKGPRQPWHDLHCKVEGPAAYDILTNFEQRWRKATKWSEFGLKFKRVSRWHDDSLIKLERISWILSPSAKIANDDPSLWVSKEEDPENWHTQVFRSIDSGSVKGFPKNVFEAESQNLVCAKNLVIDKSIQTAYVQAIRAAQHFIYIENQYFIGSSYGWPSYKDSGAQNLIPMELALKITSKIRAKERFAVYIVIPMWPEGDPTSASVQEILCWQAQTIQMMYGVVAQELKSMGIENSHPQDYLNFYCLGNREEVPKSSGPSSSQCNHSETVSASQKFQRFMVYVHAKGMIVDDEYVILGSANINERSLAGSRDTEIAVGAYQPYYTWGERKRHPHGQIYGYRMSLWAEHMEKVDDLFKEPESLDCVKAVNNIAEENWKSYTSEAFTQLQGHLLKYPIEVDSNGKVSHLPGQETFPDVGGKILGTRTTLPRSLTT >KJB11960 pep chromosome:Graimondii2_0_v6:2:51156348:51163732:-1 gene:B456_002G189800 transcript:KJB11960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTGQCLRNRRIRMEMTIESSIKVYSNPRVNWRLLNQQVSSLRIYALLQQRIHLSGITQHKDNCPIHAMGTSTKSSERVQLKPPIVVKFLLLQDSLCTHEDLFLDQYRTAGAFSIHPTLALK >KJB14174 pep chromosome:Graimondii2_0_v6:2:15993651:15994762:1 gene:B456_002G113100 transcript:KJB14174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRCLLLKVSFLLLNLSILLAFNFSSSFMLFLFVVDLLDSVPCIINWFDILSAFSWAVSFRFLLLLSLYVQSLTLF >KJB13293 pep chromosome:Graimondii2_0_v6:2:7767928:7769807:-1 gene:B456_002G066700 transcript:KJB13293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVRVQILSQGISEVPSQYIQPPKNRPGSVTENIADLSCKHVPAVDLSSEKESVLPAIREACRDWGAFHVINHGVPTKLLDDLRRACFSFFNDFPMEDKLKYACNPNFAASEGYGSRLLVSSENETVLDWRDYFDHHTLPLSRRNPSAWPHFPPEYRELMANYSDEMKALVQRLLALMSESLGLKASCIEDAVGEFYQNITASYYPPCPRPDLTLGLQSHSDIGAITLLMQDNVGGLQVLKDGEWLLVDPLPNAILVLVADQTEIITNGQYKTCVHRAVTNASKARLSIATFHDPAKTVRISPVSDLLLFCTVCNKEEE >KJB13291 pep chromosome:Graimondii2_0_v6:2:7768401:7769598:-1 gene:B456_002G066700 transcript:KJB13291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVRVQILSQGISEVPSQYIQPPKNRPGSVTENIADLSCKHVPAVDLSSEKESVLPAIREACRDWGAFHVINHGVPTKLLDDLRRACFSFFNDFPMEDKLKYACNPNFAASEGYGSRLLVSSENETVLDWRDYFDHHTLPLSRRNPSAWPHFPPEYRELMANYSDEMKALVQRLLALMSESLGLKASCIEDAVGEFYQNITASYYPPCPRPDLTLGLQSHSDIGAITLLMQDNVGGLQVLKDGEWLLVDPLPNAILVLVADQTEIITNGQYKTCVHRAVTNASKARLSIATFHDPAKTVRISPVSDLVSKSSPPLYSSVLYRDYLSSWYSNAPEGKRNLDKLLLDTSK >KJB13295 pep chromosome:Graimondii2_0_v6:2:7767860:7769963:-1 gene:B456_002G066700 transcript:KJB13295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVRVQILSQGISEVPSQYIQPPKNRPGSVTENIADLSCKHVPAVDLSSEKESVLPAIREACRDWGAFHVINHGVPTKLLDDLRRACFSFFNDFPMEDKLKYACNPNFAASEGYGSRLLVSSENETVLDWRDYFDHHTLPLSRRNPSAWPHFPPEYRELMANYSDEMKALVQRLLALMSESLGLKASCIEDAVGEFYQNITASYYPPCPRPDLTLGLQSHSDIGAITLLMQDNVGGLQVLKDGEWLLVDPLPNAILVLVADQTEIITNGQYKTCVHRAVTNASKARLSIATFHDPAKTVRISPVSDLVSKSSPPLYSSVLYRDYLSSWYSNAPEGKRNLDKLLLDTSNYCSALYAIKKRNKHSQVLFHLWH >KJB13289 pep chromosome:Graimondii2_0_v6:2:7768401:7769598:-1 gene:B456_002G066700 transcript:KJB13289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVRVQILSQGISEVPSQYIQPPKNRPGSVTENIADLSCKHVPAVDLSSEKESVLPAIREACRDWGAFHVINHGVPTKLLDDLRRACFSFFNDFPMEDKLKYACNPNFAASEGYGSRLLVSSENETVLDWRDYFDHHTLPLSRRNPSAWPHFPPEYRELMANYSDEMKALVQRLLALMSESLGLKASCIEDAVGEFYQNITASYYPPCPRPDLTLGLQSHSDIGAITLLMQDNVGGLQVLKDGEWLLVDPLPNAILVLVADQTEIITNGQYKTCVHRAVTNASKARLSIATFHDPAKTVRISPVSDLVSKSSPPLYSSVLYRDYLSSWYSNAPEGKRNLDKLLLDTSK >KJB13290 pep chromosome:Graimondii2_0_v6:2:7767860:7770093:-1 gene:B456_002G066700 transcript:KJB13290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVRVQILSQGISEVPSQYIQPPKNRPGSVTENIADLSCKHVPAVDLSSEKESVLPAIREACRDWGAFHVINHGVPTKLLDDLRRACFSFFNDFPMEDKLKYACNPNFAASEGYGSRLLVSSENETVLDWRDYFDHHTLPLSRRNPSAWPHFPPEYRELMANYSDEMKALVQRLLALMSESLGLKASCIEDAVGEFYQNITASYYPPCPRPDLTLGLQSHSDIGAITLLMQDNVGGLQVLKDGEWLLVDPLPNAILVLVADQTEIITNGQYKTCVHRAVTNASKARLSIATFHDPAKTVRISPVSDLLLFCTVCNKEEE >KJB13292 pep chromosome:Graimondii2_0_v6:2:7768401:7769598:-1 gene:B456_002G066700 transcript:KJB13292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVRVQILSQGISEVPSQYIQPPKNRPGSVTENIADLSCKHVPAVDLSSEKESVLPAIREACRDWGAFHVINHGVPTKLLDDLRRACFSFFNDFPMEDKLKYACNPNFAASEGYGSRLLVSSENETVLDWRDYFDHHTLPLSRRNPSAWPHFPPEYRELMANYSDEMKALVQRLLALMSESLGLKASCIEDAVGEFYQNITASYYPPCPRPDLTLGLQSHSDIGAITLLMQDNVGGLQVLKDGEWLLVDPLPNAILVLVADQTEIITNGQYKTCVHRAVTNASKARLSIATFHDPAKTVRISPVSDLVSKSSPPLYSSVLYRDYLSSWYSNAPEGKRNLDKLLLDTSK >KJB13294 pep chromosome:Graimondii2_0_v6:2:7767860:7770153:-1 gene:B456_002G066700 transcript:KJB13294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVRVQILSQGISEVPSQYIQPPKNRPGSVTENIADLSCKHVPAVDLSSEKESVLPAIREACRDWGAFHVINHGVPTKLLDDLRRACFSFFNDFPMEDKLKYACNPNFAASEGYGSRLLVSSENETVLDWRDYFDHHTLPLSRRNPSAWPHFPPEYRELMANYSDEMKALVQRLLALMSESLGLKASCIEDAVGEFYQNITASYYPPCPRPDLTLGLQSHSDIGAITLLMQDNVGGLQVLKDGEWLLVDPLPNAILVLVADQTEIITNGQYKTCVHRAVTNASKARLSIATFHDPAKTVRISPVSDLLQKHGGIR >KJB12910 pep chromosome:Graimondii2_0_v6:2:3676992:3682180:1 gene:B456_002G044500 transcript:KJB12910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVLPICEALKCFGHLTCTYMEHHRKLERRMNDLLAKQRQLNAIKSDVEMKIKTELRLGRCVRQEVENWLLDVQTINGKIQNIDERTQNLSCFSRGHLGKQVSQTVEEVKEIIEQGRFTGALVIDDPSTVGVPFELEHLEGETAVLADIWKHLMSDEIGMVGVCGMGGIGKTTIMKHIYNQLLEETKSKPLFEKIIWVTVSQDFNITRLQQDIADAMNIEDLPKPEQKRAAVLRNELLQIRHVLILDDVWEGFVLEKVGIPIPIFSNGSRLVLTSRSNVVCRFIGCSEIVEVPPLSNEESMNLFLAHTGRGILKVPSLEEILGDIVGECDGLPLAIAVIAGSMKGIYDVVEWRNALTELGDHVTSVKGTDKEIYGRLKFSFDRLEDSNIQNCFLYCSLYPEDYRIPRVELIEYWIDEGFLERGSRQQLHDRGHTILNRLVNNCLLEKAGDDVKMHDVIRDMALYIKHPYFMVKAGTGLKELPGKQEWKDVERVSFMMNMVSEIPPSLSPNCENLSTLLLQNNESLERISESFFQHMHSLSILDLSYTSIEQLPNSVSNLKTLNALVLRGCKELRYVPSLEKLKALRKLDLRGTGIEKVPKGLEMLANLTYLNLCTESLKELPIAILPRLSCLQCLVLYVKLPSVKMNGLEVARLRKLEVFEGRFNELIDFNAYIKSIQGRELTSYLLVMASPKAKFDARPLEQEPPFLPRKRVVILSGCPIGREDPVELPSDVRCLRIFECPDIRSLSDMPFFQQTNKLGFCSIHHCRGIESVLDLSSTSQPCNSFQNLELLWLENLDNLHMLVKVAEEASVVSTSSSLPMPGIFSHLESFVIKGCPNMKQLFPCKLAHDLQNLKKLVVCHCVQMEEIISSEEERHKGKGINTRTRFSLPKLQELVLAYLPELKSICSSNRAVICDSLWDIEVRECMKLKRMPLYLPHFRDTHQSVPSEDICISPREWWESVEWDYPKAKEVLRPWLYFV >KJB15909 pep chromosome:Graimondii2_0_v6:2:54450585:54452311:1 gene:B456_002G203200 transcript:KJB15909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLCVLFLLLSSASACDRCLHQSKAAYFSKASALSAGACGYGSLALGLSGGHLAAGVSSLYKDGAGCGACFQIRCKNSTLCSSEGTRITLTDLNHNNETDFVLSSRAFMAMANKGMGRDILKLGIVDVEYKRIPCEYKNQNLAVRVEESSQKPNYLAIKLMYQGGQTEVVAMDVAQVGSANWNFMSRNHGAVWDTSRVPNGALQFRFVVTSGFDGKWIWAKSVLPAEWKTGVIYDSGVQITDIAKEGCSPCDDSHWR >KJB15911 pep chromosome:Graimondii2_0_v6:2:54450621:54451203:1 gene:B456_002G203200 transcript:KJB15911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLCVLFLLLSSASACDRCLHQSKAAYFSKASALSAGACGYGSLALGLSGGHLAAGVSSLYKDGAGCGACFQIRCKNSTLCSSEGTRITLTDLNHNNETDFVLSSRAFMAMANKGMGRDILKLGIVDVEYKR >KJB15912 pep chromosome:Graimondii2_0_v6:2:54450586:54452043:1 gene:B456_002G203200 transcript:KJB15912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLCVLFLLLSSASACDRCLHQSKAAYFSKASALSAGACGYGSLALGLSGGHLAAGVSSLYKDGAGCGACFQIRCKNSTLCSSEGTRITLTDLNHNNETDFVLSSRAFMAMANKGMGRDILKLGIVDVEYKR >KJB15910 pep chromosome:Graimondii2_0_v6:2:54450586:54452045:1 gene:B456_002G203200 transcript:KJB15910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLCVLFLLLSSASACDRCLHQSKAAYFSKASALSAGACGYGSLALGLSGGHLAAGVSSLYKDGAGCGACFQIRCKNSTLCSSEGTRITLTDLNHNNETDFVLSSRAFMAMANKGMGRDILKLGIVDVEYKRIPCEYKNQNLAVRVEESSQKPNYLAIKLMYQGGQTEVVAMDVAQVSLFSPRVFKL >KJB14280 pep chromosome:Graimondii2_0_v6:2:16638762:16640657:-1 gene:B456_002G117300 transcript:KJB14280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHNQQQPNVDHITGNKIRKSSVFPRGKLPGPLRQLQMDLRKLMLPYTALKLKIYCLSLFNGPMCVTHFLVLSKTETSPVLRVAKTPQGPTLTFKIHEYSLAIVLSGFAAADEQLRLTTMVFKNIFPDIDINTVKLSSCQRIVLLNYNKNTKLIDFRHYSIRLKPVGVSRRIRKFVQNYQVPDLRNLQDMSDFVTKAGYGSESEADEEAATVNLTSDLSRVNCASTKSAVKLQEIGPRMTLQLTKIEGRLCSGEAMFSEYGNGGNKKEPGNEGNEKEDGENDGQMEDSDEDDEADNEEDMEESEED >KJB12115 pep chromosome:Graimondii2_0_v6:2:73151:75230:1 gene:B456_002G001200 transcript:KJB12115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSISSIFISHTFNSFASFTNCSKNNKNHTTPPSQHKNHRLFSSSPGKVSFAAATGELFLGIASRFIKSSSNYEDSGSTIPVFSRPRKRINGSEAGNNDKDRIGLVMEDEIEPEVIWEQRVKDIEAEKERRVVTSPGFSFSAAGLLFPYHLGVAQFLIEKGYIKETTPLAGSSAGAIICAVIASGASMDEALKATKDLADDCRLKGTAFRLGAVLRDILDKFLPDDIHTRSNGRVRGEYDYLKTN >KJB12116 pep chromosome:Graimondii2_0_v6:2:73151:77039:1 gene:B456_002G001200 transcript:KJB12116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSISSIFISHTFNSFASFTNCSKNNKNHTTPPSQHKNHRLFSSSPGKVSFAAATGELFLGIASRFIKSSSNYEDSGSTIPVFSRPRKRINGSEAGNNDKDRIGLVMEDEIEPEVIWEQRVKDIEAEKERRVVTSPGFSFSAAGLLFPYHLGVAQFLIEKGYIKETTPLAGSSAGAIICAVIASGASMDEALKATKDLADDCRLKGTAFRLGAVLRDILDKFLPDDIHTRSNGRVRVAVTQILWRPRGLLVDQFDSKEDLINAVITSSFIPGYLAPRPATMFRNRLCIDGGLTLFMPPTSASKTVRVCAFPASQLGLQGIGISPDCNPENRASGREVIP >KJB12114 pep chromosome:Graimondii2_0_v6:2:73044:77109:1 gene:B456_002G001200 transcript:KJB12114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSISSIFISHTFNSFASFTNCSKNNKNHTTPPSQHKNHRLFSSSPGKVSFAAATGELFLGIASRFIKSSSNYEDSGSTIPVFSRPRKRINGSEAGNNDKDRIGLVMEDEIEPEVIWEQRVKDIEAEKERRVVTSPGFSFSAAGLLFPYHLGVAQFLIEKGYIKETTPLAGSSAGAIICAVIASGASMDEALKATKDLADDCRLKGTAFRLGAVLRDILDKFLPDDIHTRSNGRVRVAVTQILWRPRGLLVDQFDSKEDLINAVITSSFIPGYLAPRPATMFRNRLCIDGGLTLFMPPTSASKTVRVCAFPASQLGLQGIGISPDCNPENRASGRELFNWALEPADDEILDRLFEFGYIDAAVWGEQNPVKDIVADNSPLVGNGSAK >KJB14377 pep chromosome:Graimondii2_0_v6:2:17511310:17512325:-1 gene:B456_002G122100 transcript:KJB14377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMPSALYASVDKYLHGLFGLANDPAAEVRKLVCAAFVQLIEVRPSVLELHMKNVIEYMLQVNKDTDDEAALEACEF >KJB15625 pep chromosome:Graimondii2_0_v6:2:50561819:50566425:-1 gene:B456_002G188100 transcript:KJB15625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPWGGIGAWAAEAEREEAEERAAAEAAATAAAGAPTAESQSFPSLKEAVSAKPKKKKMTLSEFNMGTYSSSGGGGLGSARVTENNKLTPEEMMLLPTRPKERSTEELQFGQLGGGFSSYGRSGPPAGRVMRDREDSDGSWGFGRRQQGGFNEERRGPPSRVSDYDQPSRADEVDNWAIAKKASPSLDSGRQNRYGGLGTGGGGGFSKADEADNWTALKRSIPSRSSTFGSGFRDSGPEPDRWSRGGGGGGIREERPRLVLDPLRGDVNELVVKTNKSNPFGAARPREEVLAEKGLDWKKLDSEIEAKKETSRPTSAHSSRPSSGQSSRSEGPLQQAIENVVKPRPKVNPFGDAKPREVLLEGRGQDWRKIDLELDRRRIDRPETEEEKILKEEIDNLKKELEKDLTPNSESGDQHSLHERLLHKERELEMLILDLENKARFGQKVVERPGSGAGRTGSFTDRPPSQSGSIDGSRSVEFMDRPRSRGTADAWTRPGDDRRGFQAGRDRGFVGNRDMDRPRSRERW >KJB15901 pep chromosome:Graimondii2_0_v6:2:54395734:54397385:-1 gene:B456_002G202500 transcript:KJB15901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQLYTTWLLVNLHEPVPGIRCSRFVRMSILAFGPKLGKLLAIFPVMYLSGGSCVMLIITAGSSMEQLFNIICEEGTMCGSMSLSGTQWFLIFTCIALIIAQLFPNLNSIARVSLTGAITSIGYCTMIWALSISKGRPNDVSYGIPVADRTGMTGFGNILNAIGIIMLAFRGHNLILEIQGTLPSDSKHPSRKSMWRGVMVSYMIIAMCLFPLAIVGFWAYGNEIPEEGILTAFTKFHGQDTSKYVIGLIYIWLTISCITSYQIYAMPAFDNLEFIYASSKKRRCPGWVRAGIRLFFGGVTFFVAVAFPFLGKLAPLIGGMAAVPLTFVYPCFMWISIRKPRPKGAMWSLNLGVGSIGIVLSLLLIVAAVWKLDDKGLNANFFRP >KJB15902 pep chromosome:Graimondii2_0_v6:2:54395734:54398380:-1 gene:B456_002G202500 transcript:KJB15902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVVVPIEDKSKHVLPLYLPPTPVPFQIITIKDTPGAAAGVSPPPQGVVNLSELESWLPLTESRNGSTFSSILHLLCSGIGFQVLFLPVAFASLGWAWGIICLSIAFMWQLYTTWLLVNLHEPVPGIRCSRFVRMSILAFGPKLGKLLAIFPVMYLSGGSCVMLIITAGSSMEQLFNIICEEGTMCGSMSLSGTQWFLIFTCIALIIAQLFPNLNSIARVSLTGAITSIGYCTMIWALSISKGRPNDVSYGIPVADRTGMTGFGNILNAIGIIMLAFRGHNLILEIQGTLPSDSKHPSRKSMWRGVMVSYMIIAMCLFPLAIVGFWAYGNEIPEEGILTAFTKFHGQDTSKYVIGLIYIWLTISCITSYQIYAMPAFDNLEFIYASSKKRRCPGWVRAGIRLFFGGVTFFVAVAFPFLGKLAPLIGGMAAVPLTFVYPCFMWISIRKPRPKGAMWSLNLGVGSIGIVLSLLLIVAAVWKLDDKGLNANFFRP >KJB13641 pep chromosome:Graimondii2_0_v6:2:10974889:10975294:1 gene:B456_002G086400 transcript:KJB13641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFPALNGQSVSYAVLQYPACSLNPHHTRPSATELFFLTYGILEVGFIDTTNKLFTQIYSCSIVPRMISQSAFGSSVAGTVSVPAKVLVTVVDDEKILAKCFKTDVDTIQKLKAGFALKA >KJB14961 pep chromosome:Graimondii2_0_v6:2:30764866:30768518:1 gene:B456_002G152400 transcript:KJB14961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLTLVTDDTFASLLELAANNDVEGFKRWIEHDPFSVDEVGLWYGRQKGSKQMVNEERTPLMVASTYGSIDVIKLIISSSDADVNRVCGRDKSTALHCAASGGADNVIDVVKLLLAAGADVNMVDANGHPPVDVIVVPPKLRDVKLTLEKLLATESSCFDSRVAAVANSDSPPLSPSQENGSLFSGSDSPIKSRPSDAPISSVSEKKEYPIDPSLPDIKNSIYSTDELRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTADELRPLYVSTGSAVPSPRSSASGATAMDFAAAMSLLPGSPSSVSVMSPSPFTPPMSPSVNGMPHSNVGWPQPNVPALHLPGSNLQSSRLRSSLNARDIQAEDFNLLPDFDLQQHQLINELSSLTQPSMSSSSFNRSGRMKTLTPSNLDDLFSAESSSPRYSDQALAAAVFSPTHKSAVLNQFQQQQSMLSPINTNYSPKSIEHPLLQASLSGRMSSRNVEPISPMSSRVSMLAQWEKQQEFRSLSSRELGSGLAAIVGSPVNSWSKWGSSNGKPDWAVNADGVGKHRRSSSFEHGNGDEPDLSWVQSLVKESPTEIKDKIAAPVSGEGSSRNSDTVDNAMLGAWLEQMQLDQLVAQQN >KJB14960 pep chromosome:Graimondii2_0_v6:2:30764822:30768595:1 gene:B456_002G152400 transcript:KJB14960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLTLVTDDTFASLLELAANNDVEGFKRWIEHDPFSVDEVGLWYGRQKGSKQMVNEERTPLMVASTYGSIDVIKLIISSSDADVNRVCGRDKSTALHCAASGGADNVIDVVKLLLAAGADVNMVDANGHPPVDVIVVPPKLRDVKLTLEKLLATESSCFDSRVAAVANSDSPPLSPSQENGSLFSGSDSPIKSRPSDAPISSVSEKKEYPIDPSLPDIKNSIYSTDELRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTADELRPLYVSTGSAVPSPRSSASGATAMDFAAAMSLLPGSPSSVSVMSPSPFTPPMSPSVNGMPHSNVGWPQPNVPALHLPGSNLQSSRLRSSLNARDIQAEDFNLLPDFDLQQHQLINELSSLTQPSMSSSSFNRSGRMKTLTPSNLDDLFSAESSSPRYSDQALAAAVFSPTHKSAVLNQFQQQQSMLSPINTNYSPKSIEHPLLQASLSGRMSSRNVEPISPMSSRVSMLAQWEKQQEFRSLSSRELGSGLAAIVGSPVNSWSKWGSSNGKPDWAVNADGVGKHRRSSSFEHGNGDEPDLSWVQSLVKESPTEIKDKIAAPVSGEGSSRNSDTVDNAMLGAWLEQMQLDQLVAQQN >KJB15534 pep chromosome:Graimondii2_0_v6:2:48232211:48233086:1 gene:B456_002G183200 transcript:KJB15534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREDNVMNRHYFADGRKLSLVAIAGLIAGVILILSFRKPGDYSLLCSLAKFRAQPIHEGEFSDMETQLQAIVHYATSKIVPQQNYGEISITFDVLKKRAPCNFLVFGLGYDSLMWNSLNPHGKTTFIEEDPKWVEIVLKTAPDLHAYPVKYRTELKEADDLLSHYRSEPSCFPSKAYLRGNDQCRLALTGFPNEFYDTEWDLIMIDAPRGYFPEAPGRMAAIFSAAVMARNRKGSGVTHVFLHDIDRRVEKLFANEFLCKKYLVKGFGRLWHFEIPSAVNMTTHDGSGFC >KJB13260 pep chromosome:Graimondii2_0_v6:2:7653163:7654663:1 gene:B456_002G065300 transcript:KJB13260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSGCLKLHIAMFPWVVYGHFIPFLHLSNKLAHKGHKISFILPKGVQPKLENMNQYPNLIQFVPLVIPHVDGLPPGILGALKPDMVFYDFWFWIPDLARQLGIHSICYVVVSSMIMSLGSNIRTITKETTVEEVAKLPPDYPSSTVKFKGEEAAALLFEANDFGSGLSFGERTRTAVSGSDAIAFRTCRETEGPFCDYFARGIGKSVLLTGPCLPETNTQQLDEKWVSWLSQFEPGSVVFCSFGSQSVLQKDEFQELVLGFELCGLPFLVALKPPQGFSTVEEALPEGFQDRVGGRGLVYGGWVPQEQLLHHPNIGCFVNHCGYGTMWEFLLSDCQIVLIPEIGDQILNTRLMVEELKIGVEVERGKKREVSKESLSEVIKFVMDKDNETANMMKRNHAKLKQILSNRDLQEGYINNFIQALQDLVK >KJB15034 pep chromosome:Graimondii2_0_v6:2:33701510:33702810:-1 gene:B456_002G156600 transcript:KJB15034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPTSPKKPEFNSLKRVPYSKPPFTLSEIKKAIPPHCFQRSVLRSFSYLLYDFILASLFYHVATNYFPNLPQLLSNVAWPLYWAMQGCILTGVWVIAHECGHHAFSDYQWLDDTVGLILHSSLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKKKSGLRWWAKHFNNPPGRFLSITIQLTLGWPLYLAFNVAGRPYDRFACHYDPYGPIFSDRERLQIYISDAGVLAVAYALYRLVLAKGVGWVISVYGVPLLVVNAFLVMITYLQHTHPSLPHYDSSEWDWMRGALSTVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMVATKAIKPILGEYYQFDGMPVYKAIWREAKECLYVEPDEGDKDKGVFWFRNKL >KJB14970 pep chromosome:Graimondii2_0_v6:2:30560894:30562209:-1 gene:B456_002G152100 transcript:KJB14970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRPIIPNNACILRITTATEVHDPWAFYLHAILLRQAFAHCRKFPTTVSHRSLGRVSVLVCLLLPHQLANQTRAPPRADSSFYSLAHWVLVAVSSCCSLPSGRFLRVTHPSATENTTSCPTSSLFIEKASSELSFIPRHNLYPCASYLSRGAWQNRKTHIRFRDNQARTNDFHHVKAFLSHYYGYENNRKIWIQLSTAPIRNKIDYGFESYTHDLTISKGTGVTSLFQFHSRVLMCFYDPLGPRNFDKFLFFS >KJB16832 pep chromosome:Graimondii2_0_v6:2:61379376:61379663:1 gene:B456_002G250000 transcript:KJB16832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKYEEVMNDSELYVKKLAESIGYPFSFEEEQGGLVKKLIDLCSFENLSNLDVNKTGNDMKTTMRQRTRRSSEKARLEIGKTILHPRWLIGSTI >KJB16833 pep chromosome:Graimondii2_0_v6:2:61377042:61379966:1 gene:B456_002G250000 transcript:KJB16833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFEHKPDIRQPAKQRPRENEHISIEEAFELFCQGRSVFGPAWDHILAIGKLVKNDRKSFEEEQGGLVKKLIDLCSFENLSNLDVNKTGNDMKTTMRQRTRRSSEKARLEIGKTILHPRWLIGSTI >KJB16834 pep chromosome:Graimondii2_0_v6:2:61378258:61379663:1 gene:B456_002G250000 transcript:KJB16834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPGDIFLCSAPKSGTTWLKALSFAIVTRNLYDTSNSPLLTKGPHYCVPNMVGFEHKPDIRQPAKQRPRENEHISIEEAFELFCQGRSVFGPAWDHILAIGKLVKNDRKSFEEEQGGLVKKLIDLCSFENLSNLDVNKTGNDMKTTMRQRTRRSSEKARLEIGKTILHPRWLIGSTI >KJB14265 pep chromosome:Graimondii2_0_v6:2:16583915:16587129:1 gene:B456_002G116700 transcript:KJB14265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDENNPGVIGPSQEVGLRAGARGKFAAATGQNRRALSIVNRDVVGAPPFPCAVKKRPLSQRNAVSNKIPSTHVHRPITRKFAADMASKQQMKPEVPNESDDFTIIDLDDPKESNDTEVPMFERHVEAMLEEIDRMEEVEMEDIAEGPFLDIDNGDKKNPLAVVEYIDDLYKFYRKAECTGCVPPNYMAQQHDINEKMRAILIDWLIEVHYKFELMEETLYLTVNVIDRFLTVHQVVRKKLQLVGITAMLLACKYEEVSVPVVEDLILISDKAYSRKEVLDMEKLMINTLQFNLSSPTPYVFMRRFLKAAQSNKKLELLSFFMIELCLVEYEMLKFPPSLLAAAAIFTAQCTIGGFKHWSKTCEWYTNYKEEQLVDCSRMMVTFHQKAGTGKLTGVQRKYCTSKYGYAAKTEPATFLLEAKS >KJB14266 pep chromosome:Graimondii2_0_v6:2:16583972:16587104:1 gene:B456_002G116700 transcript:KJB14266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDENNPGVIGPSQEVGLRAGARGKFAAATGQNRRALSIVNRDVVGAPPFPCAVKKRPLSQKFAADMASKQQMKPEVPNESDDFTIIDLDDPKESNDTEVPMFERHVEAMLEEIDRMEEVEMEDIAEGPFLDIDNGDKKNPLAVVEYIDDLYKFYRKAECTGCVPPNYMAQQHDINEKMRAILIDWLIEVHYKFELMEETLYLTVNVIDRFLTVHQVVRKKLQLVGITAMLLACKYEEVSVPVVEDLILISDKAYSRKEVLDMEKLMINTLQFNLSSPTPYVFMRRFLKAAQSNKKLELLSFFMIELCLVEYEMLKFPPSLLAAAAIFTAQCTIGGFKHWSKTCEWYTNYKEEQLVDCSRMMVTFHQKAGTGKLTGVQRKYCTSKYGYAAKTEPATFLLEAKS >KJB13842 pep chromosome:Graimondii2_0_v6:2:12235007:12235279:1 gene:B456_002G096900 transcript:KJB13842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQEREVLRLLSEAERINPAAPHEASQLFFHSELILQPRPPLQGSIYTEPSMHTQYHVIQTSSLSNLLQRDTVMDTSTFNDSDVDTSLHL >KJB12083 pep chromosome:Graimondii2_0_v6:2:52964388:52965002:-1 gene:B456_002G1956002 transcript:KJB12083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TAHQSDPLATLFTNEFNVVETCSDVKSTVDTYIERIRELERGGMYMDGIGLESHFTVPNLPLMRAVIDKLATLRLPIWLTEVDISSSVGKELQGVYLEQVLREGFSHPSVNGIMLWTALHPKGCYEMCLTDENFKNLPAGDVVDKLLKEWESGEMKGVTGEHGSYSFYGFLGEYKVNVGYGDRAANFTFSLPRSGETKHFSIHL >KJB14742 pep chromosome:Graimondii2_0_v6:2:24821663:24822088:1 gene:B456_002G141300 transcript:KJB14742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTFACFVLLALIVSCALGQAPSSAPTKSPPSSNPTPAPKVSPTMSPTTTPTASPPSTSTPPSSAPESSPTSSPTTSPPAPPAGSPAGSPSGSPASAVSPTNSISDTPGSSPTSPTSAAALNRVTVAGSAVAVVFAALLI >KJB11872 pep chromosome:Graimondii2_0_v6:2:3645909:3646727:1 gene:B456_002G044200 transcript:KJB11872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSRSMSSLVLLMLVLLATEMGPMTVEGRTCETKSSEYKGICLFDANCDSICKIEPGFDGGHCHGFFRRCYCTKPC >KJB15657 pep chromosome:Graimondii2_0_v6:2:50871500:50874718:-1 gene:B456_002G188700 transcript:KJB15657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSLHRFATITRLSQKQPFADLADPTNRIHPFLRNRTKIQAREYVRLPNVVRKVREYEISPALFSSFSSSFSSSTASFGKMGFVGWYLGIVKSWPVLTKSVTSSAIYVAVDLSSQVECEVVLGGNDARTMPKKRGIGLLCI >KJB13711 pep chromosome:Graimondii2_0_v6:2:11414643:11416016:-1 gene:B456_002G090400 transcript:KJB13711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWARCDGMKPKSQADPNPANCLFLCVGTNYPTLLSSSSPNNLAHRYSAQDCKSKFSILSIAMSCLHCYSPFLPLNSQLKHKQKLKAKRYLRLFSTESAPNQVLQTRNVNSRTNATSNPLFIAAKVPPSQSGDITVFLETSALLLVVYFLANFVAPYFISKYFEFDKIGEDQKGSENNDNKGR >KJB17018 pep chromosome:Graimondii2_0_v6:2:62156545:62160115:-1 gene:B456_002G260600 transcript:KJB17018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGASNGGMLYHEVQESKLCAVHCVNTVLQGPFFSEIDLAALASDLDSKERQMMLQGTTTAAAGDFLSEESHNVSLGGDFSIQVLEKALEVWDLQVIPLDCPVAEPAQIDPELENAFICHLHDHWFCIRKVNGEWYNFDSLYAAPQHFSKFYLSAYLDSLKGSGWSIFLVRGNFPKECPISSSEASNGYGQWLSPEDAERITKSCNLTQAPPQRNNMSQQQHTERLPSLAETDLQMLSDMEDEDLRAAIAASLMDSSPATASGESSIPKTEIAASLMDSSPATASGESSIPKTENKDISEKTA >KJB13736 pep chromosome:Graimondii2_0_v6:2:11615841:11616278:-1 gene:B456_002G091700 transcript:KJB13736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTKLVFVSVLIVVIVLSTSAASNLDHQQDTTDFNDAIMDSSEYSSAQGRWLLQNKRTRRVTCKKFPGICDAKGSPGPQCCKKKCVNILTDRHNCGKCGKKCKYNEICCKGKCVNPSFNRKHCGGCNNRCSNGEFCVFGLCNYA >KJB16614 pep chromosome:Graimondii2_0_v6:2:60293384:60295098:1 gene:B456_002G239600 transcript:KJB16614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGNLETLVSACACGSCDNKIVCETLTTTEGDYDDCLHTAEKLLEEETPPDFPPESFLLSKDAELVWFDSNAFLERKDSQKRNSVSNSTNLNPNLNSVPNSQRFSLRKSKASILGLPKPQKSCFVETKNRKPGNTRLFPKRSGSVKSDPPVIEPSSPKVSCMGRVRSKRDRNRRLKKNRQKSAGTETVKKKTTRTGSGFFSSFRAIFRCNSKARESHALPLTLSPPRDSDIRSRLPPHDGDDVLNVAPEIAESGPVAEPVSLGGMKRFSSGRRSETLI >KJB11835 pep chromosome:Graimondii2_0_v6:2:3235663:3235944:1 gene:B456_002G0396002 transcript:KJB11835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVMSEDAYILFYMRSYPRPQRAVSEKIKQVPARHLTSKMEKPTRPAQSKSGSHSVGPKLYPDS >KJB13600 pep chromosome:Graimondii2_0_v6:2:10615084:10616788:1 gene:B456_002G083700 transcript:KJB13600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:THI1 MASSIATTLTSSSKLCRNTSLFGSSFHGVPIKPLSFHFKTKSSPCNASISMSAASPPPYDLNNFRFDPIKESIVSREMTRRYMMDMITYADTDVVVVGAGSAGLSCAYELSKNPSVQIAIVEQSVSPGGGAWLGGQLFSAMVVRKPAHRFLDELAIEYDEQDDYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKEGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLKSIGMIDSVPGMKALDMNTAEDAIVRLTREIVPGMIVTGMEVAEIDGSPRMGPTFGAMMISGQKAAHLALKSLGLPNAIDGTYVGSIQPELILAAADSAETADA >KJB16110 pep chromosome:Graimondii2_0_v6:2:56033548:56034158:1 gene:B456_002G212900 transcript:KJB16110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQFSVAICLMAFTLAYVSLAQNPSNEDYLNVHNAARAKVGVGPMTWDATVAAYAQQYASKRIADCDLIHSTGPYGENLAEASYALSGAEAVTLWVDEKPHYNYDANQCVGGECLHYTQVVWRNSTRLGCARVKCNSGWWFVTCNYDPPGNIVGERPY >KJB14485 pep chromosome:Graimondii2_0_v6:2:19690194:19690898:-1 gene:B456_002G127600 transcript:KJB14485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGIILVKFGNVEDRKRILNLSPWLFDECLFAMLPYVKDKEIESYTFNLSPFWVRIFNIPFDCMDRSVAMDVGCAIGELIAINWRDRDGGWTKYIQLRVIIDISEPLRRVVQFVNREGVTTVYAIKYERLPTFCYNCGLISHSTQKYEKTVTKNKSNETSNQYGNWLRASIGIANQDRGNWRNGIEVIEVKRIVVSERKGKIQGNTELNVRDEPKEKEKEKFKLNVEELGSIP >KJB13481 pep chromosome:Graimondii2_0_v6:2:9199193:9200518:-1 gene:B456_002G077700 transcript:KJB13481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCELCGGIARMHCESDQANLCWDCDVKVHEANFLVAKHTRTLLCHVCQNPTPWLASGQHLSPAVSVCESCVANKNTTCEMTTEQEESSEGEYEDEEEDYDDDDDEGEGGEEEDDAENQVVPWSEVSSSFSMSKPAASSDSLSSSECGLKRMKESPSFCSEDEIGCSSSHGEASSSMSSTRLFKLPRLAEGNHSGRNQDHGDSESGSTAIISSLRRLQKHMITNDDDASSIISGICRLSRDQSR >KJB12627 pep chromosome:Graimondii2_0_v6:2:2087987:2090817:1 gene:B456_002G028000 transcript:KJB12627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLDEKETTAVFEKIFKFTGNNLKNIVENPSHEGPDKEPGRYCFRLHKNKVYYVSDSLVKRATNVARTKLASIGTCVGRFTHGGNFHLTIECLNLLAANAKHKVWLKPTSEMSFLYANHVLKGGLGRITESIAPGDGVVVFSMSDLPLGFGVAAKSTQDCRKLDPNAIVVLHQADIGEYLRMENQHEQIIEE >KJB12625 pep chromosome:Graimondii2_0_v6:2:2087987:2089516:1 gene:B456_002G028000 transcript:KJB12625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLDEKETTAVFEKIFKFTGNNLKNIVENPSHEGPDKEPGRYCFRLHKNKVYYVSDSLVKRATNVARTKLASIGTCVGRFTHGGNFHLTIECLNLLAANAKHKVWLKPTSEMSFLYANHVLKGGLGRITESIAPGDGVVVFSMSDLPLGFGVAAKSTQDCRKLDPNAIVVLHQADIGEYLRMENQHEQIIEE >KJB12626 pep chromosome:Graimondii2_0_v6:2:2087987:2090196:1 gene:B456_002G028000 transcript:KJB12626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLDEKETTAVFEKIFKFTGNNLKNIVENPSHEGPDKEPGRYCFRLHKNKVYYVSDSLVKRATNVARTKLASIGTCVGRFTHGGNFHLTIECLNLLAANAKHKVWLKPTSEMSFLYANHVLKGGLGRITESIAPGDGVVVFSMSDLPLGFGVAAKSTQDCRKLDPNAIVVLHQADIGEYLRMENQHEQIIEE >KJB14286 pep chromosome:Graimondii2_0_v6:2:16750414:16751760:-1 gene:B456_002G117900 transcript:KJB14286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEMMFKESIKPSCPTPYHLRTYRLSLLDQLMPSAHVPMIFFYSPINTDSNHMNIVGERLERLKQSLSETLSIFYPFAGTIKDGLYIDCNDNGVQYVEAKVSCCLSEILSNPDILMIRKLLPSNICRLVSSDAGIPVAMIQVNILKCGGIVIGTQTSHKIIDGPTSTTFLKAWAASARGSGEVPRPSFIAPLLFPQNNLLPRDTMLAIWPSLLKFGKCVTSRFVFHASAIATLKAKASSSSVVPNPTSVESVSAFIWICVTTASRIRYGFRGPSVLSHIVNLRGKTATSLPEHSIGNLLWIATAQCDAEVNLELQSLVGLLRKSIMETSGEFVEELQGERGFQKVLKCLTDMCKVGIYEAEFGWGKPMWVSPGGIDGLVFQNLVFLIETRNGDGIEALVTLDEQDMAVLQSQTEILSFAALDPSPFQPQY >KJB12696 pep chromosome:Graimondii2_0_v6:2:2370882:2373841:-1 gene:B456_002G031800 transcript:KJB12696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMSNLRCRAQTETLPYVYCTPSYGYAQSPYNPYNPYISSAVMGDSPFVGAQQYYSFPPYSNPVTPTAYVPVVIQPDGIPNNSTEFLLDTRASIASRPDGRGVKHNFASASAAFSRNSSKSAPNQTDSFTRVSDGQSKHSANRGNFPDSSSPAAARTQQGRVASGSIQSIGNIPGGKLPSHCNQLKMDLPAGNTFSDYRSSAPGRGALDTLRPNISVGRVLNDAHGYPDTLGEQNRGPRTNRLKNQFMVKAYTTKAGNSDVEGNIVIYTDQYNKDDFPIDYVDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLDSAFEDAQRIAAGKPRGCSIFLFFSVNASGQFCGVAEMIGSVDFQKAMDFWQQDKWSGSFPVKWHIIKDVPNSHFRHIIVENNENKPVTNSRDTQEIMFKQGVEMLKVFKNHTMKTSLLDDFMYYENRQRIMQEEKARLLIRSFQSPVQASTLDAATKLKFELHLNGNEKATKQSDPDMLKRTVPSSSQQVSFGSDITNARNMNDNIDQISVEANNDASTLKIGLLTKNPKQDESKPSVDADAVETVTVGSMPVKVNGFTESSGYLTFGTLPHNPKTLQPKGGTAKKGSNRG >KJB12697 pep chromosome:Graimondii2_0_v6:2:2370882:2374888:-1 gene:B456_002G031800 transcript:KJB12697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQEGAPEFVIDQGSMYYPTGTSIGYYFTGFESPVEWEEHQNIFSADGADVQFTRAQTETLPYVYCTPSYGYAQSPYNPYNPYISSAVMGDSPFVGAQQYYSFPPYSNPVTPTAYVPVVIQPDGIPNNSTEFLLDTRASIASRPDGRGVKHNFASASAAFSRNSSKSAPNQTDSFTRVSDGQSKHSANRGNFPDSSSPAAARTQQGRVASGSIQSIGNIPGGKLPSHCNQLKMDLPAGNTFSDYRSSAPGRGALDTLRPNISVGRVLNDAHGYPDTLGEQNRGPRTNRLKNQFMVKAYTTKAGNSDVEGNIVIYTDQYNKDDFPIDYVDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLDSAFEDAQRIAAGKPRGCSIFLFFSVNASGQFCGVAEMIGSVDFQKAMDFWQQDKWSGSFPVKWHIIKDVPNSHFRHIIVENNENKPVTNSRDTQEIMFKQGVEMLKVFKNHTMKTSLLDDFMYYENRQRIMQEEKARLLIRSFQSPVQASTLDAATKLKFELHLNGNEKATKQSDPDMLKRTVPSSSQQVSFGSDITNARNMNDNIDQISVEANNDASTLKIGLLTKNPKQDESKPSVDADAVETVTVGSMPVKVNGFTESSGYLTFGTLPHNPKTLQPKGGTAKKGSNRG >KJB12695 pep chromosome:Graimondii2_0_v6:2:2370851:2374924:-1 gene:B456_002G031800 transcript:KJB12695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQEGAPEFVIDQGSMYYPTGTSIGYYFTGFESPVEWEEHQNIFSADGADVQFTRAQTETLPYVYCTPSYGYAQSPYNPYNPYISSAVMGDSPFVGAQQYYSFPPYSNPVTPTAYVPVVIQPDGIPNNSTEFLLDTRASIASRPDGRGVKHNFASASAAFSRNSSKSAPNQTDSFTRVSDGQSKHSANRGNFPDSSSPAAARTQQGRVASGSIQSIGNIPGGKLPSHCNQLKMDLPAGNTFSDYRSSAPGRGALDTLRPNISVGRVLNDAHGYPDTLGEQNRGPRTNRLKNQFMVKAYTTKAGNSDVEGNIVIYTDQYNKDDFPIDYVDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLDSAFEDAQRIAAGKPRGCSIFLFFSVNASGQFCGVAEMIGSVDFQKAMDFWQQDKWSGSFPVKWHIIKDVPNSHFRHIIVENNENKPVTNSRDTQEIMFKQGVEMLKVFKNHTMKTSLLDDFMYYENRQRIMQEEKARLLIRSFQSPVQASTLDAATKLKFELHLNGNEKATKQSDPDMLKRTVPSSSQQVSFGSDITNARNMNDNIDQISVEANNDASTLKIGLLTKNPKQDESKPSVDADAVETVTVGSMPVKVNGFTESSGYLTFGTLPHNPKTLQPKGGTAKKGSNRG >KJB13363 pep chromosome:Graimondii2_0_v6:2:8165225:8165791:-1 gene:B456_002G070800 transcript:KJB13363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPPSPNDNELPNVTYDLQDFQMPIVICLLGVMFIILFIQIFDRFHNWLRYEASIDQLDIEWGQVADYQLENSDWSHEAMAQNNFWMVEIFNGFMEYLAERQGTGLTPEFLEHASPCVSYKSLRETTLDECVICLEDFEDDELCRVFPVCEHVFHFSCIDNWLRKHLTCPICRNCIVDSMSMTLRM >KJB15379 pep chromosome:Graimondii2_0_v6:2:44469579:44473300:-1 gene:B456_002G174200 transcript:KJB15379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAKSMELATLSHKQSSFAGPPHEALFLVLAYLPLFELLSMSEVCRSLRDAVEKDVLPWLNVIVERPLSLRLSDEILMKIASKANGRLRTLVLMYCAKITDDGLQRVIDQNPLISKLHIPGCTGLTPDGVIRALQKLSDCQDGLKSLWINGIANMKKEHLERLQFYLQTNQKLPQIQQTRQPLLYHNYRRLRAYRWEELGRVIDVELCPKCNEVRVVFDCPREECKRKRENSMTGCRMCMFCIPRCEECGKCVENGDLEETVCADTLCLDCWIQLPKCNFCNKPCCTQHANMQIASTGSIGWICSVCHDKSDDFE >KJB11913 pep chromosome:Graimondii2_0_v6:2:2197487:2202018:1 gene:B456_002G029700 transcript:KJB11913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFSRSVSTVVLLILILLATEMGPVVADGDKICESPSNAFKGLCLRDDNCDIVCKTEGFPNGDCKGFLRKCVCTKPC >KJB11914 pep chromosome:Graimondii2_0_v6:2:2201083:2202018:1 gene:B456_002G029700 transcript:KJB11914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFSRSISIVVLLMLVLLTTEMGPVVADGDKICESPSNAFKGLCLRDDNCDIVCKTEGFPNGDCKGFLRKCVCTKPC >KJB16084 pep chromosome:Graimondii2_0_v6:2:55960193:55963639:-1 gene:B456_002G212300 transcript:KJB16084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEVRCCGTDFIIHILIIVLLVLFAGMMSGLTLGLMSLSLVDLEVLAKSGTPTHRKHAAKILPVVKNQHLLLCTLLLCNAAAMEALPIFLDSLVTAWGAILISVTLILVFGEIIPQAVCSRYGLAIGATVAPIVQVLVWICFPVAYPISKLLDFLLGHRHVALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELTEKTAKDAMTPISETFAIDINAKFDGELMSLVLEKGHSRVPVYYEQPTNIIGVILVKNLLTIHPEDEVPVKRVTIRRIPRIQEALPLYDILNEFQKGHSHMAVIVRQCDKTEQSPSTNSAKGPLPEVKVDFDGEKHPRESALRRKHSFKKWKSLPVTSNSFKSGSRSRKWTKGLNSDILHLNGNPLPTHSEEEAVGIITMEDVIEELLKEEIFDETDHHYDDW >KJB13025 pep chromosome:Graimondii2_0_v6:2:4593830:4598814:1 gene:B456_002G052300 transcript:KJB13025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFAKFSLKLVFLPNNMASFNISATSSSLVFFIIIILLLAQVPTLSSSSNDNYMVCSKQFHCGNLKNVSYPFWGGDRPENCGQPGLKLTCLEDEETQITIMSVSYKVIEINMDIQAFTVARTDYLQNLCPQTLLNTTLNFNLLSYAWNLENITLYYHCPLISNISSGFPSLFNCSTGNGTDMVNYYVIASVLGNLSTEVKDGLRSCDSHVIVPAFYTAVETIKSNPTPDTLVLPLGNGFGLKWDANIASKCEDCNGSGGRCGYNKSSNQFTCYCPNHTDASTCLLSGSSEGAGLKIKLIIGFAVVGVTVMVICLVAFALKLKKGSLSSGKLMNLQPNISKNTKRVEAFIMRYGSELAPKRYSDSDIKKITKSFKDKLGEGGFGTVYKGKLPDGRLGFCYESSMRALFYEFMPNGSLDKFIYQHGSPDKICMLNRKTLFEIAVGIARGLEYLHRGCNTRILHLDIKPHNILLDENFVPKISDFGLAKLCERKENILSSITARGTIGYIAPELFCRNFGGISYKSDVYSYGMMVLEMVGAKESVHAGGSLTSEMNFHSWIYKHLPQDAFNLEGITAEDEEITKKMVIVSLWCIQTNPSDRPSMTKVLEMLQGNLQSLAIPPRPFLFSPQQSPPNTSSTISLFASSITMNTE >KJB11939 pep chromosome:Graimondii2_0_v6:2:2121470:2125981:1 gene:B456_002G028600 transcript:KJB11939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGSLQNLLKLGTKIVGVGRNYAAHAKELGNAVPKEPVLFLKPTSSYLENGGTIEVPHPLNSLDYEVELAVVIGKTARDVPENTAMNYVGGYALALDMTAREIQSVAKSAGLPWTVAKGQDTFTPISSVFSVSMVPNPDNLELWLKVDDEIRQKGSTKDMIFKIPYLISHISSIMTLFEGDTILTGTPQGVGPVKVGQKITAGITGLVDVCFDVGKRQKPGST >KJB11941 pep chromosome:Graimondii2_0_v6:2:2121555:2124350:1 gene:B456_002G028600 transcript:KJB11941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGSLQNLLKLGTKIVGVGRNYAAHAKELGNAVPKPTSSYLENGGTIEVPHPLNSLDYEVELAVVIGKTARDVPENTAMNYVGGYALALDMTAREIQSVAKSAGLPWTVAKGQDTFTPISSVFSVSMVPNPDNLELWLKVDDEIRQKGSTKDMIFKIPYLISHISSIMTLFEGDTILTG >KJB11940 pep chromosome:Graimondii2_0_v6:2:2121500:2125623:1 gene:B456_002G028600 transcript:KJB11940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGSLQNLLKLGTKIVGVGRNYAAHAKELGNAVPKEPVLFLKPTSSYLENGGTIEVPHPLNSLDYEVELAVVIGKTARDVPENTAMNYVGGYALALDMTAREIQSVAKSAGLPWTVAKGQDTFTPISSVALHKVLAL >KJB17042 pep chromosome:Graimondii2_0_v6:2:62278751:62280110:1 gene:B456_002G262200 transcript:KJB17042 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S31, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38140) UniProtKB/Swiss-Prot;Acc:O80439] MASLLLGAAPISAQSLNLSSVSRISSSHSQTLGTSLSVSNSSFSLSAASSPSIPYVYCGRGDKKTERGKRFNHSFGNARPRDKKKGRGPPRVPVPPAPPRKDKFDDDEKIKIEIDESLFTS >KJB14923 pep chromosome:Graimondii2_0_v6:2:28779924:28783987:-1 gene:B456_002G149300 transcript:KJB14923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALACCVDAAAVAPPGYAAFIAGDSSLPSAVPFSASTADAADSNSTHWSPAHSSALYRIDGWGAPYFSVNNAGNITVRPYGTDTLAHQEIDLLKIVKKVSDPKSVGGLGLQLPLIVRVPDVLKNRLESLQSAFESAIQAQGYESHYQGVYPVKCNQDRFVVQDIVKFGAPFRFGLEAGSKPELLLAMSCLCKGNPEALLVCNGFKDAEYIFLALLARKLALNTVIVLEEEEEVDLVIEISKKLSVRPVIGVRAKLRTKHSGHFGSTSGEKGKFGLTTIQVLRVVKKLQDSGMLDCLQLLHFHIGSQIPSTALLQAGVVEAAQIYSELARLGADMKVIDIGGGLGIDYDGSKSGNSDLSVSYGLQEYASAVVNAVRFVCDRKSIKHPIICSESGRAIVSHHSILIFEAISATAPTTPAMNQVDLPFILEGLSEDARVDCWNLSQAAMRHETETCFVYADQLKQRCVEQFKEGTLGIEQLAAVDGLCDLVSKVVDASEPARTYHVNLSIFTSIPDFWSIGQIFPIVPIHRLDERPEVRGILSDLTCDSDGKIDKFIGGETSLPLHGLEGNGGGSSGGANGRYYLGMFLGGAYQESLGGVHNLFGGPSVVSVSQSDGPYSFAVTRAAPGPSCGDVLRVMQHEPELMFETLKHRAEEFCGQGHGNEGTHAALVSTIARSFHNMPYLVVASPCSLTAMNNNGFYYCNEEDYNAAVDSGASEDEQWSYCYA >KJB15033 pep chromosome:Graimondii2_0_v6:2:33659045:33660196:-1 gene:B456_002G156500 transcript:KJB15033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRTSVPPSPKKPEFNPLKRVPCSKPPFTLCQIKKAIPPHCFKRFVLRSFSYLIYDLVLASLFYYVATNYFPSLPQPLPYVAWPLYWAMQGCIFTAFWALAHECGHQAFSDYQWLDDTIGFILHTFLLTPYFSLKYSHRRHHSNTGSLERDEVFVPKKKSALKWWAKHFNNPLGRFLEISIQLTLGWPLYLLFNITGPPYDRLACHYDPYGPIFSDRQRLQIYVSDAGVLAVTYALYLLVLAKGVGWVISVYGVPLLVVNAFLVMITYLQHTHPSLPHYESSEWDWLRGALSTVDRDYGILNKVFHDITRIHVAHHLFPTIPHYHAMEATKVIKPILGEYYQFDGTPVYKAIWRELKECVYVEPDAGDNDKGVLWFRNKL >KJB16330 pep chromosome:Graimondii2_0_v6:2:57903232:57903923:1 gene:B456_002G223500 transcript:KJB16330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFIRFHGVFVYFKAILDTHTYTYILIEWDRNFDLSEDEDKSFVFNDGDPLPFPIQILLQTAPVGFALTNTIEPDHPIVYVNTSIHLDFLYNIAGDEGWIEDKGSWR >KJB11921 pep chromosome:Graimondii2_0_v6:2:4269722:4270015:1 gene:B456_002G049900 transcript:KJB11921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSLVLVIVMVALIFHPTCFEARKLLNNFEKKQIPSFEGNFVVITLSKEPTKNFPASDYDEGHAMGNNERLFAIHLAKIDRILQSSSPSPGAGHR >KJB12111 pep chromosome:Graimondii2_0_v6:2:55908:57043:-1 gene:B456_002G001000 transcript:KJB12111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLSEFTFLSDQALQDKNFDPSNIEDLMKLFEIESYKAWAAMELEQEEEVKEAETSMQQAEGYLDSVMEAAMDEFRRLEEEMERMAKAELKDLEDTADKARKMGNLMEKAAAIASKKYVEAALNSATASMKSAWKGLSSKKVHPS >KJB16590 pep chromosome:Graimondii2_0_v6:2:60152304:60159213:-1 gene:B456_002G238200 transcript:KJB16590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTEKDVNGREKDLIAENGFLKDRQSSPGPSTSTVDVIPPPKAFEGKDALSYANILRSRNKFVDALAIYNSVLEKDSGCVEAYIGKGICLQMQNMGRPAFESFAEAIKLDPQNACALTHCGILYKDEGRLVDAAESYQKALKADASYKPAAECLAIVLTDLGTSLKLAGNTQEGIQKYYEALKIDPHYAPAYYNLGVVYSEMMQYDTALSCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAVVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIIANPTYAEAYNNLGVLYRDAGNITMAVTAYEQCLKIDPDSRNAGQNRLLAMNYINEGDDDKLFEAHRDWGRRFMRLYPQYDSWDNPKDPERPLVIGYISPDYFTHSVSYFIEAPLIYHDYGKYQVVVYSAVVKADAKTNRFRERVVKKGGLWRDIYGIDEKKVASMIRDDKIDILVELTGHTANNKLGTMACRPAPVQVTWIGYPNTTGLPTIDYRITDSLADPPGTKQKHVEELVRLPECFLCYTPSSEAGLVSPTPALSNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSVRQKFLTTLEQLGLESLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLSKVGLGHLIAKNEDEYVQLALQLASDVTALQNLRASLRDLMSKSPVCDGQNFISGLEATYRGMWRRYCKGDVPSSRYMEMLKKEGVPEGVTNETSKPERVTMSKDTSSVSVESNGFNQAPLSTPNLTTSEDNENQSSQTTNSGKLS >KJB16591 pep chromosome:Graimondii2_0_v6:2:60152274:60159929:-1 gene:B456_002G238200 transcript:KJB16591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTEKDVNGREKDLIAENGFLKDRQSSPGPSTSTVDVIPPPKAFEGKDALSYANILRSRNKFVDALAIYNSVLEKDSGCVEAYIGKGICLQMQNMGRPAFESFAEAIKLDPQNACALTHCGILYKDEGRLVDAAESYQKALKADASYKPAAECLAIVLTDLGTSLKLAGNTQEGIQKYYEALKIDPHYAPAYYNLGVVYSEMMQYDTALSCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAVVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIIANPTYAEAYNNLGVLYRDAGNITMAVTAYEQCLKIDPDSRNAGQNRLLAMNYINEGDDDKLFEAHRDWGRRFMRLYPQYDSWDNPKDPERPLVIGYISPDYFTHSVSYFIEAPLIYHDYGKYQVVVYSAVVKADAKTNRFRERVVKKGGLWRDIYGIDEKKVASMIRDDKIDILVELTGHTANNKLGTMACRPAPVQVTWIGYPNTTGLPTIDYRITDSLADPPGTKQKHVEELVRLPECFLCYTPSSEAGLVSPTPALSNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSVRQKFLTTLEQLGLESLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLSKVGLGHLIAKNEDEYVQLALQLASDVTALQNLRASLRDLMSKSPVCDGQNFISGLEATYRGMWRRYCKGDVPSSRYMEMLKKEGVPEGVTNETSKPERVTMSKDTSSVSVESNGFNQAPLSTPNLTTSEDNENQSSQTTNSGKLS >KJB15298 pep chromosome:Graimondii2_0_v6:2:42506033:42506461:-1 gene:B456_002G169400 transcript:KJB15298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEEKSATLKLPFGEVRLISKNCSATVEHVGNVRVNQKSLGRVGSKCWLGKRPVVRGVVMNPIDHPHGDGEGRAPIGRKKPATSWGYPALGRRSRKRNKYSDNLILRRRSK >KJB13225 pep chromosome:Graimondii2_0_v6:2:7420662:7424240:-1 gene:B456_002G063400 transcript:KJB13225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYMDPTKKRKLDENGTVSAQSEPDPITKLTPQDGRKLIERFTVDQLLDILQDAVCRHVDVLSAVRSIADQDPSQRKLFIRGLGWDTTTDGLRSLFSVYGELEEAVVILDKTTGKSKGYGFVTFKHVDGALLALKEPSKKIDGRVTVTQLAAAGNSATNSNPVDIHMRKIYVANVPYDMPADKLLGHFSQYGEIEEGPLGFDKQTGKSRGFALFVYKTAEGAQAALAEPVKNIDGRQMNCKLAIEGKKGKQGQDGMMQSGGGVPGNAEMGMGGHGGGYGGPGGYGGFSGGMQGPPGPMGHPHPLNSSGVGVGALSGNGGVAAGGYSGLGGPYGGYGGQGSTGYGGPGSAGYGGPGSTVYGGLTGAGAGGGLGAASGGSSLYRMPPSSAGMPTGGYPETAHYSLSSSAAFPSQLHQGAGTSPAPRVPPGAMYPNGPPFY >KJB13850 pep chromosome:Graimondii2_0_v6:2:14952426:14953163:1 gene:B456_002G109300 transcript:KJB13850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKNEIVNSIDLGDNEIGNISTWSIPKMIYLAKSIFRSNDFAMLEIESLEQVKDSFSYKFEEEGLEKMGFTNNLRKCKKELEDRRDEVLKLREKVRGRGKFAEENYKRLLEEVESMYEKEHEIIDLISKNCKLENEKAKTESNLEILRKRFEELDKRVSCLETDFNTLQDEDDSKNNGIVEEIGVSENGRLKYVEANGHAPNANKNSTFLNFFCFVFVLIYYILYAFQNAC >KJB16932 pep chromosome:Graimondii2_0_v6:2:61772368:61773501:-1 gene:B456_002G255200 transcript:KJB16932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KJB16928 pep chromosome:Graimondii2_0_v6:2:61744823:61746432:1 gene:B456_002G2549001 transcript:KJB16928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELDLSSSTLSTFHLGTRKLLGKQTVNSLNIHDDLLYAGGSTVDGVSGKVFCRSSKKVMGTFPTGFDIQRIAVNNDFIFTATKLGMIEVWLKERIGRVGAIKMNSKGHAKVTSLVSDMDGGMLFAASSDGKIQAWALD >KJB12216 pep chromosome:Graimondii2_0_v6:2:416244:418781:-1 gene:B456_002G006500 transcript:KJB12216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPFFHKLILSSTLQDKKLRIPDNFVKKFKDELSVAAALTVPDGHVWRVGIRKGDNKVWFQEGWPEFLDRYYIRIGYFLIFRYEGNSAFSVSIFNLYNSEINYQSNALLGSQYNHGKSYPFDELEDDECMSSAMQHLFGGSKLNHCVNWSGEVNLNAAKSANNQPIRGSETPPPKKPGRKKQKFDPNDQDLSVGHEDDSEMRYRFYESASARKRTVTAEERERAMNAAKAFEPSNPFCRVVLRPSYLYRGCIMYLPSCFAEHLSGVSGFIKLQLPDGKQWSVRCLYRGGKAKFSQGWYEFTVENNLGEGDVCVFELLRSREFVLKVTVFRVMDGAGLMHRSQYNAN >KJB12217 pep chromosome:Graimondii2_0_v6:2:416595:418553:-1 gene:B456_002G006500 transcript:KJB12217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPFFHKLILSSTLQDKKLRIPDNFVKKFKDELSVAAALTVPDGHVWRVGIRKGDNKVWFQEGWPEFLDRYYIRIGYFLIFRYEGNSAFSVSIFNLYNSEINYQSNALLGSQYNHGKSYPFDELEDDECMSSAMQHLFGGSKLNHCVNWSGEVNLNAAKSANNQPIRVKLRTSGSETPPPKKPGRKKQKFDPNDQDLSVGHEDDSEMRYRFYESASARKRTVTAEERERAMNAAKAFEPSNPFCRVVLRPSYLYRGCIMYLPSCFAEHLSGVSGFIKLQLPDGKQWSVRCLYRGGKAKFSQGWYEFTVENNLGEGDVCVFELLRSREFVLKVTVFRVMDGAGLMHRSQYNAN >KJB16589 pep chromosome:Graimondii2_0_v6:2:60148785:60151568:1 gene:B456_002G238100 transcript:KJB16589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASSEGGAPEVTLETSMGAFTVELYYKHAPRTCRNFIELSRRGYYDNVKFHRIIKDFIVQGGDPTGTGKGGESIYGPVFDDEIRSELKHTGAGILSMANAGPNSNGSQFFITLSPAPSLDGKHTIFGRVCRGMEIIKRLGSVQTDNNDRPIHDVKILRTSVKD >KJB16754 pep chromosome:Graimondii2_0_v6:2:60992779:60993648:-1 gene:B456_002G245800 transcript:KJB16754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQDDDVVCCKDDDHQLQIIKGKRTKRPRAPPSSPPLTSVVASTTTTTTSSGGGGGAVGVSPTITSSFDLAESSTEEEEREQDMANCLLVLSQGQTRKVKPPSPPPSEPSTEAAVETDVHQCKTCNRCFPSFQALGGHRASHKKFKVVNDQDINNNRNKEDHRHYDQFNEKATTLSLHITSKKSRVHECSICGAEFSSGQALGGHMRRHRTLTNAPTMTTPATATTTLDTVVRTSEQRKKPRTVLQLDLNLPAPEDDLHKEPNNKVLSFASEGKLLGFSASSLVDCHY >KJB12863 pep chromosome:Graimondii2_0_v6:2:3373558:3376952:-1 gene:B456_002G041000 transcript:KJB12863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLKPKLPLLGHLMATLALFLLVFPGACLARHRCQDCGSVFCGNLNISYPLRLKNQPLHCGYQNLELECEKNNRTTLVLGKGKFFVQQIFYKNDSMRAVDASLDMDDCNSLPLNQDTPPSDFNQFCTVEAVVPIMVDNIIGMSTLDIYNKISKGVVVSWSTYNRCSYELGVILFRPFSGIFCLLALVMYKWRRRHLSMDDIIKDFLQSQNNLVPIRYSFKEIRKMTRNFKEKLGEGGYGSVFKGKLRSGHHVAVKLLDKIHHANVTKLIGFCVERSKQALVYDFMSNGSLDKIIFSEENTNTLGWKKMFDIPHNILLDENFIPKVSDFGLAKLYSVDDSFVSLTAARGTIGYIAPELIYKNLGGISYKADVYSFGMLLMEMVGRRKNVNAFADRSCQIYFPTWIYDRLDHGGGHRVRRCF >KJB15658 pep chromosome:Graimondii2_0_v6:2:50875252:50876949:-1 gene:B456_002G188800 transcript:KJB15658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGSCLPTCLTSDDSAVPFPRSPSHSDNRGSSTSSSNSSSDTSYGVLHTNPSLQTLPSVPSLQYLFPETLGFSVSHVTFTSINPRPEHPITCIAVQGNFLYAASINEVNVYDRQTSTLLDVFNSQRSSSGSVKSITFCDGKIFTAHQDCKIRVWQMTMAKKHKFLTALPTVNDRLRRFILPKNYVNERRHVKRLWIEHADAVTGLAVNKCLIYSVSWDKTLKIWRASDMRCLQSIKAHDDAINAVTVSGDATVYTGSADRRIRVWAKRTGEKQYSLMATLEKHKSAVNALALNADGSVLFSGACDRSILVWEREDSSNYMAVTGAMRGHGKAILCLTSVSDLLMSGSTDQTVRIWQRGVEGKYWCLAVLEGHLKPVKSLTAVKDEEESDVIWISSGSLDGEIRTCKVWLSKPSSPLSSTTDILKWTL >KJB14847 pep chromosome:Graimondii2_0_v6:2:60598123:60598656:-1 gene:B456_002G241800 transcript:KJB14847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYNKGSSSGGGSAATGRHPVYKGVRRRANGKWVSEIREPRKPTRIWLGTFPTPEMAAIAYDVAALALKGRHAELNFPNSAASLPVPASTSPTDIQAAATSAATALGAANDALVGSNSPVSAERPSTGNDFVDEDMIFDMPNVLVNMAEGMLLSPPRFDHPPPADESGDSENLWKFP >KJB17107 pep chromosome:Graimondii2_0_v6:2:62539112:62540504:1 gene:B456_002G265700 transcript:KJB17107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESTRMIDVEKLISYSDDLVEVLKDKRDINSLTQCFQHFNDLRSHCDADSNEVHRLLREYEEKIEACKKKTEQAKLEVADGAEMEYLQKEYQEELEKEPVSNEIIELERQRVSIEERKKNLRKYEQDKLKEQRKLSMYASITNIIPDLEDQSRISGHIVDRDKKVIKKFEFDTSKMTAFDACDSLWKMINSR >KJB14334 pep chromosome:Graimondii2_0_v6:2:17142332:17153422:1 gene:B456_002G119900 transcript:KJB14334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELILIDDLLFALVGIEGRYISIKRVHGKADVVSFQVDASMDLALQEFAKRIFPLCESYLLIDEFVESRSQFKHGLVNHAFAAALRAFLLDYQAMVAQLEHQFRLRRLSIQGLWFYCQPMMGSMQALSTVIRKVSANNYAGSAVLNLLQSQAKAMAGDSAVKSLLEKMTHSASNAYLSILERWIYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWRQRYSLKKDIPSFLANIAGTILTTGKYLNVMRECGYNVQVPVSENSKLMTFGSNHQYLECVKAAYEFASGELLSLIKEKYDLIGKLRSIKHYLLLDQGDFLVHFMDIAREELLKKHDEISVEKLQSLLDLALRTTAAAADPCHEDLTCCVERSSVLKGLSRLKDLDIKNVSHGNDLEEPISITGLETFSLSYKIQWPLSIVITRKALTKYQLIFRFLFHCKHVERQLCGAWQMHQGVRALNSHGTAISRSSLLCRSMLRFINSLLHYLTFEVLEPNWHVMHGRLQTAKSIDEVIQHHDFFLDKCLKECLLLLPELIKKVEKLKSLCLQYAAATQWLISLSIDIPKLDEQSDGSVGSGKLKSKNPSQVQKVMTRNSAVTDSILKFEREFNAELQSLRPILSSSSQAEPYLTHLAQWILGAGNDQ >KJB11968 pep chromosome:Graimondii2_0_v6:2:24687299:24687787:-1 gene:B456_002G141100 transcript:KJB11968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLRTSSKDGRRLKPNEGCERHPKHKQSPGVCSLCLAQKLSHLSSTHSSTPASSSSSSCSSSSCSSSPFHPYRFIADSKGTSFSFLLFNGKNLFTKSRSVAWPSRMISKEGDDHKKKKGGFLSKLLHPKNTKTKGRCSSMAVFV >KJB11853 pep chromosome:Graimondii2_0_v6:2:13297128:13299109:-1 gene:B456_002G104000 transcript:KJB11853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKLEAKMVEAMQRRASVGTAMKSFNSVILKFPKIDESLRNCKAVFEQFDEDSNGAIDNEELKKCFNKLQISFTEEEISDLFKACDFNQNMGIKFNEFIVLLCLVYLLKDNAPGHEGKSRMGMPNLEATFETFVDAFVFLDKNKDGFVSKNEMVQAINESGERSSGRIAMKRFEEMDWDKNGSVSFKEFLFAFTNWVGIDDAEDDEDEEEGTV >KJB15673 pep chromosome:Graimondii2_0_v6:2:51284759:51285664:1 gene:B456_002G190300 transcript:KJB15673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKLQAPHQVLKDISLLRVILQCLVILRLQVLKMKVLPWVPMLQRLMVLRLLFLRALPQVLTDLSLLQVTHQALVLQ >KJB12108 pep chromosome:Graimondii2_0_v6:2:36303:37990:1 gene:B456_002G000700 transcript:KJB12108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPLTLHRHPMCAEIIEEFQKCHLEHPITKFFGECTELKIKLDRCFRQEKALKRKANFEQSKKLKERLHALRKETAENDS >KJB14929 pep chromosome:Graimondii2_0_v6:2:29062341:29066845:1 gene:B456_002G149700 transcript:KJB14929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKRKSDATRLDEVDRSMYTTFCSAANSLSQLYSQAMNHQRLSFQAGERHALEKLFQWILRQQEEGSRVTTTDIVSYLQVDFGAEESPMSPRLSFQLQQHPQTATQLNTSSAPFSSTPISAAKNSVFSNALSSPVRRSLQHYHSVQVGYHSNNVLSSANGSRNTETNYCHQQIREVNSPSPNDCMDMHADSPAGDFLL >KJB14930 pep chromosome:Graimondii2_0_v6:2:29062341:29066845:1 gene:B456_002G149700 transcript:KJB14930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKRKSDATRLDEVDRSMYTTFCSAANSLSQLYSQAMNHQRLSFQAGERHALEKLFQWILRQQEEGSRVTTTDIVSYLQNEVDFGAEESPMSPRLSFQLQQHPQTATQLNTSSAPFSSTPISAAKNSVFSNALSSPVRRSLQHYHSVQVGYHSNNVLSSANGSRNTETNYCHQQIREVNSPSPNDCMDMHADSPAGDFLL >KJB13565 pep chromosome:Graimondii2_0_v6:2:10290574:10291688:1 gene:B456_002G081600 transcript:KJB13565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCIKLEVQTDDQTPQKWCISLHEDVLKRLLSQHGGPITMHKVFGEGSLFSPLLFGKFFDPSDAFPLWDFDSDTLLYNLRNSGKTTVDWFHTDQAYVLKAPLPGVGKTNIQIHVEKGKIMEISGQVKQQREGKAKDWRSCNWWLYGYVRRLELPEDADCRKIEAFLTNGVVLEIRIPRNPLYFGTLEMKIQQPKISE >KJB15668 pep chromosome:Graimondii2_0_v6:2:51123543:51124132:-1 gene:B456_002G189600 transcript:KJB15668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQIVVLALVTMALFALVSTAAAAPAPAPGGSPSGSPGDASSPPSQASAPSPSSGAALEISAIVGVGAAAVAGYFMF >KJB16230 pep chromosome:Graimondii2_0_v6:2:57101040:57102442:1 gene:B456_002G218600 transcript:KJB16230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAMPPINTKNMSTPLEEESELRRGPWTLEEDTLLTHYIARHGEGRWNMLAKCAGLKRTGKSCRLRWLNYLKPDIKRGNLTPQEQLLILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARQLNIESNSQRFLDAVRCFWMPRLVQKVEQASPSSSSSSSSSYLKEMCTQSSVPSQLSSCCTVPSFPTVSPLANKTTDISNSSSVTTQNICPTDSINNISDQTEIPQHLTGSNVYGHTTLDQCYNIDSNDYGMEGISFASMSGVGFYEGTPSEGNWMCNEMSDDLWNMDDIWQLRSI >KJB15592 pep chromosome:Graimondii2_0_v6:2:49348191:49349783:1 gene:B456_002G1856001 transcript:KJB15592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVGDAEFRSHSNNARITRVSSDKDYFPSQSMNQTVKTVRDKLNKIQSSSEHLQAGPTPKKTRVDNRIRI >KJB12488 pep chromosome:Graimondii2_0_v6:2:1437593:1439269:-1 gene:B456_002G020900 transcript:KJB12488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVYKLHVRLIKTGLQNDPVSLRRLLLSFAAAAPASLPHAHSVFARVPFPDTFAYNTLIRAHAHSSPSHSVSLFSAMRRDGVSPDNFTFPFVLKACARLHIGHDAHALIIKLGLGSDIYVQNGLISLYGSLGSVAGAFDVFDEMPERDLVSWSSMISCFANNNFGYEALGLFQYMQLVGNLKPDEVTMLSVISAVSSLGALELGKWVDAYISRTGLKRTVSLGTALIDMYSRCGSVDDSIKIFNAIPVKNVLTWTVLINGLAVHGRSEEALKVFHEMKNAGLKPDHITFNGVLVACSHGGLVDDGWRVFESIKSDYGMEPTVEHYGCIVDLLGRAGLLNKAFEFVDTMPIKPNAVIWRTLLGACVKHNDLKLSEKAKVKIHELDPNHDGDYVLLSNVYGQVGKWDEKANVRNSMREKKVGKKPGYSFLNEGDMLHEFVSGDDFHPKSNEIKRFLVSIIDDLRLDGYTFFTCNALHDVEDEEKEQSLSFHSEKLAVAFALLRFKDRRTIRVIKNLRICYDCHCFMKHVSNKFDREIVVRDRNRFHHFSKGSCSCKDYW >KJB12296 pep chromosome:Graimondii2_0_v6:2:725411:726616:1 gene:B456_002G011100 transcript:KJB12296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSQAAISILKVNINCCDKCRSKAKEKLQKIDGVEFIEYDSERVLKISGKINPMNIVKKVEKWGKNAEVLSLQQSLNSTDSVHHESKSEIHNDGCCHCDLISDSDFENQDGEVCVLNETNPGITLNKQNTKSKLKKRCLWLFGLFRKKKSDEVTMSREPSMGNGPLKWQFPRIPMLEYGGPRPYYQPFQSCYPPMMGRPLGPSYYPFAVMRSPPLYGVFNSRPPPKVNPMIHYTSYADNYSPW >KJB12297 pep chromosome:Graimondii2_0_v6:2:725499:726622:1 gene:B456_002G011100 transcript:KJB12297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKKVEKWGKNAEVLSLQQSLNSTDSVHHESKSEIHNDGCCHCDLISDSDFENQDGEVCVLNETNPGITLNKQNTKSKLKKRCLWLFGLFRKKKSDEVTMSREPSMGNGPLKWQFPRIPMLEYGGPRPYYQPFQSCYPPMMGRPLGPSYYPFAVMRSPPLYGVFNSRPPPKVNPMIHYTSYADNYSPW >KJB13137 pep chromosome:Graimondii2_0_v6:2:6553906:6554510:-1 gene:B456_002G058800 transcript:KJB13137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLYESLVFLSWAFSLIHMVSYLKFKKRKNNLSAITTPSAIFTQGFATSSLLTKMHQSEILTHALQSQWLMMHVSYKDYCYCIISLGFIFLTIGIHSGAVWANEVWGSYWNWDPKETWAFITWTVFTIYFHT >KJB13081 pep chromosome:Graimondii2_0_v6:2:5186115:5187538:-1 gene:B456_002G055900 transcript:KJB13081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFSWFSKTGLAPSLVYEYALAFSHNELEEEDIVYFNHEFLQSMGISIAKHRLEILKLARKERGVTPRPVSRLLLAIKRTKRSLGKYIRTWVRRQEHSALVVVNPRSRSGYANKWKGAMLKRNKKLMVGTQGRLLLTNGTPLLVSGTARVESFSSPMVFDYQKEKMDGEDEDGYWSTAVEDIRWDTMFQDLKPT >KJB12445 pep chromosome:Graimondii2_0_v6:2:1246883:1247735:-1 gene:B456_002G018300 transcript:KJB12445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQEHEEQPPTSPTSSSSSYTLFLKIMSKRKTWVFLFVLVYAILLDSSWNFLKSILSWYHQNQARYQSPGWPALYASVLLGGVFGLISMAAAVAVAVPATMVTWITVVVLLAFFGKPKRTLVAEGKKITREIAGSVLKTLLTEGNVVALVCAVLGYYVLVSNNNGE >KJB14095 pep chromosome:Graimondii2_0_v6:2:16311993:16313388:-1 gene:B456_002G115200 transcript:KJB14095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAAFIWQAHPLYPLLLLQNRDEYHNRPTKPAAWWDVDGCEILGGRDEVAGGTWLACSRQGRVACLTNVLELHHLPNAKTRGDLPILFLKSTKSPMEFAEQLATDAHQYNGFNLIVADIPSKSMVYISNRPKGEPINIQQVSPGLHVLSNAKLDSPWHKALRLRKSFKQMLNKYGNNEVMVKEMVEKLMEDKVKADKSKLPGICALEWEFELSSIFVETDTPLGLCGTRSTIALTISAGEEVGFYEKYLEKGVWFEKTINYNLQKQI >KJB13110 pep chromosome:Graimondii2_0_v6:2:6052196:6055580:1 gene:B456_002G057400 transcript:KJB13110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g15510, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15510) UniProtKB/Swiss-Prot;Acc:Q9M9E2] MAFSAKTPRSLIKSDLPSPIHRKLRKHKFLYISNRRKTHQISSTQPQQQLSFLNTNSLNSSDPNSHLHLLCINGKLQEALNYLDSMQELQIPLDEDTAIGMVRLCEWKRAFEEGSKVYCYVSNSSNSLSLRLGNAFLSMFVRFGKLGDAWYVFSKMVERDVFSWNVLISGYAKKGFFDEALCLYHRMLWVGFKPDVYTFPCVLRTCGAVPNLERGKEVHVHVIRFGFEADVDVINALITMYVKCGDLPKARLLFDKMARRDRISWNAIISGYFENGEYLEGIRLFFKMREHWFDPDLMTMTSVISACESLGNERLGREIHGYVIVTGMSADVSVCNSLIQMYFSLGCWETAEKVFDRMEWRDVVSWTAMISGYENNVLPDKSLDTYRMMELHGFAPDEITLASVLSACAYLGKLDMGIKLHELAKRTGFISYIIVANTLVDMYSKCKCVDKALEVFHSIPDKDVISWTAIILGLRLNNRCFEALIFFRQMKVSLKPNSVTLVSVLSACARIGGLMCGKEIHAYALRTGMALDGFLPNALLDMYVRCGRMGPAWNQFNSQKKDVSAWNILLTGYAQRGQGKLAVEFFNRMIKSNVSPDEITFIPLLCACSKSEMVTEGLKYFNSMELKYGVTPNLKHYACVVDLLGCAGQLEEAYEFIQEMPIKPDAAIWGALLNACRIHRQVELGEFAAQRIFESDRRSVGYYVLLCNLYANSGKWDEVAKVRKMMKDNGLVIDPGCSWVEVKGKIHAFLSGDDFHPQINEINALLEGIYEKMRVAGLGGPKCDSMDGVEISKAEIFCGHSERLAVAFGLINTVPGTPIWVTKNLYMCQSCHSTIKFISKIVRREISVRDTEEFHHFKDGICSCGDVEILRKALTNTTSERN >KJB14657 pep chromosome:Graimondii2_0_v6:2:22696213:22696590:-1 gene:B456_002G136100 transcript:KJB14657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGNVPFSWENKPGVCKETSQQQHYFLQKLPPPPSTSIHGTKIPPPPPFALSGSYSRRGFKKSNDPFLAAYMECTKSTSNVKLGEKHGGGSGLKKGLFNFSCKESCSVRNGSLVRISKLVLMEE >KJB12333 pep chromosome:Graimondii2_0_v6:2:816663:820662:1 gene:B456_002G012600 transcript:KJB12333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKIVCLRCRQKLTAPAYAEKITCPQCGELNPIIKKPSPATSREQGKIIPPLIGKSMEKLRKLLLGNTQRLSGSSGSSSSPPSKLCALDHSGNQLPAKKRAVLCGVSYKKWKHKLKGTINDVMNMKTLLTQTYGFLERNILVLTEEESDTRLIPTKANIENCLKWLVNGCQKGDSLVFYYSGHGLRQPDFNQDELDGFDETICPVDFLREGMIVDNDIYATIVKPLSEGVTLHAIVDACHSGTILDLEHVYEIRDKGKWIDNRPPSGVRKKTSGGIAYSISACEDNQAAADTSALNPNTMNGAMTYILLDVVRGNPDITYGDLLDQIETRIEDANQQGCFGGSRILSKLFGPNLSQKPLLSASEEFPVYERKFKL >KJB14142 pep chromosome:Graimondii2_0_v6:2:16141110:16144331:-1 gene:B456_002G114400 transcript:KJB14142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPMLWLLSIFSILSIAACIDDKCAACNAVAAELEIQLSKEKPRNHLDMRHRLDSKGQRQGKVIDYRMSELRAVELLDGLCEKMQDYTLEKLDSSRREWIKVHNWDILTIDKQEAKAYSKDISSYCGRLLEETEDELTGLIKKGSVKQGDVSKVLCEDLSEHCSGSSDRDSDNDEL >KJB14144 pep chromosome:Graimondii2_0_v6:2:16141415:16143785:-1 gene:B456_002G114400 transcript:KJB14144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRLDSKGQRQGKVIDYRMSELRAVELLDGLCEKMQDYTLEKLDSSRREWIKVHNWDILTIDKQEAKAYSKDISSYCGRLLEETEDELTGLIKKGSVKQGDVSKVLCEDLSEHCSGSSDRDSDNDEL >KJB14143 pep chromosome:Graimondii2_0_v6:2:16141113:16144248:-1 gene:B456_002G114400 transcript:KJB14143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRLDSKGQRQGKVIDYRMSELRAVELLDGLCEKMQDYTLEKLDSSRREWIKVHNWDILTIDKQEAKAYSKDISSYCGRLLEETEDELTGLIKKGSVKQGDVSKVLCEDLSEHCSGSSDRDSDNDEL >KJB14145 pep chromosome:Graimondii2_0_v6:2:16141113:16144248:-1 gene:B456_002G114400 transcript:KJB14145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRLDSKGQRQGKVIDYRMSELRAVELLDGLCEKMQDYTLEKLDSSRREWIKVHNWDILTIDKQEAKAYSKDISSYCGRLLEETEDELTGLIKKGSVKQGDVSKVLCEDLSEHCSGSSDRDSDNDEL >KJB12220 pep chromosome:Graimondii2_0_v6:2:431837:434309:1 gene:B456_002G006800 transcript:KJB12220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIDQAFVQEPEQRPKPSTIEVDGIPVIDMSVSGTGGIEKLASEIGNACRKWGFFQVINHGVPLELRQRVEKVAKAFFDLPIEEKRKAKRDDLNFTGYHDEEHTKNVRDWKEVFDMLIEDPTFLPASPDPDDEEIRTYSNKWPENPPEFRETCQEYCREVEKLAFKLLELISLSLGLPANRLSDFFKHQTGMLRLNYYPPCPSPELALGVGRHRDGGALTVLAQDDVGGLQIRRQSDGEWIPIKPIPNAYIINIADALQVWSNDLYVSAEHRVVVNSEQERFSIPLFFFPSHYVKVEPLEELVNEQNPAKYKEYSWGKYYINRTGSNYKKLEAENLQIDHFKTPESK >KJB12221 pep chromosome:Graimondii2_0_v6:2:431876:434309:1 gene:B456_002G006800 transcript:KJB12221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIDQAFVQEPEQRPKPSTIEVDGIPVIDMSVSGTGGIEKLASEIGNACRKWGFFQVINHGVPLELRQRVEKVAKAFFDLPIEEKRKAKRDDLNFTGYHDEEHTKNVRDWKEVFDMLIEDPTFLPASPDPDDEEIRTYSNKWPENPPEFRETCQEYCREVEKLAFKLLELISLSLALGVGRHRDGGALTVLAQDDVGGLQIRRQSDGEWIPIKPIPNAYIINIADALQVWSNDLYVSAEHRVVVNSEQERFSIPLFFFPSHYVKVEPLEELVNEQNPAKYKEYSWGKYYINRTGSNYKKLEAENLQIDHFKTPESK >KJB12873 pep chromosome:Graimondii2_0_v6:2:3458847:3462164:-1 gene:B456_002G042000 transcript:KJB12873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLKAKLPLLGHLMPAFALFVILFPAVCFARRLINQDCGSTFCGNLNISFPFRLKNQPPQCGHYGFEFECENNNRTILVDKEGKFSVQQIFYENYTIRMVDASLDTDDCNSLPLTSISIIYVMNCTKPIKSSLYIEASRCTIKYNISSSLPTSHFYFLNGNTHSSDINQACTIEAEVPIMTDNIIGMSTLNIYKKLLEVGVISSRSLPAIIFLLALVTYKWRRRHLSMDDMIEEFLQSQNNLVPIRYSYKEIKKMTKSFKDKLGEGGYGSVFKGKLRSGHHVAVKLLGKSKGNGQDFINEVASIGRIHHANVAKLIGFCVEGSKQALVYDFMSNGSLDKIIFTEENKNTLGWKKMFDIVLGVAQGIHYLHQGCDMQILHFDIKPHNILLDENFNPKVSDFGLAKLYSVDDSIFSLTAARGTIGYIAPELVYKNLGGISYKADVYSFGMLLMEMVGRRKNVNAFADHTSQIYFPSWIYDRLDQGEDIELGDVSDDEKVMVKKMIITAF >KJB13661 pep chromosome:Graimondii2_0_v6:2:11099296:11101879:-1 gene:B456_002G087900 transcript:KJB13661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIITVLLFLIPVFLLLTKRKRSPQRVPPGSLGLPLIGQSLGLLRAMRSNTAEEWLRKRIRKYGPISKMRLFGKPAVFIYGQAANKFVFASDSSNIVNQQVKSVSSILGDRCILELTGEDHKRVRDALVTFLKPESLKQYVGKMDEEVRNHLEMHWHGKQQVTVLPLMKTLTFNIICSLLFGVERGTRRDKLANDFRYMIEGMWSVPVNLPFTRYNRSLQASARAQKLLKVLIGEKRVDLEKGASPRQDLITCLLSIRNEKDEQVISEKEIIHNVMLIMVAGYDTSSVLLTFLLRLFANYPTIYAAVLQEQDEIARRKPNGELLTWEDLAKMKYTWKVAMETLRMFPPIFGGFRKVVKDIEYGGYFIPKDWLIFWVTGITQMDDTIFPEPSKFNPSRFENPASLPPYCFIPFGGGPRICPGYEFARIETLVSIHYLITRFTWKLLCSDNSFSRDPMPVPTKGLPVQISPRKLP >KJB15511 pep chromosome:Graimondii2_0_v6:2:47969825:47970172:1 gene:B456_002G182400 transcript:KJB15511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGSRSIIDKRNIYEKYKSEFEEDEGEGVLDPQQIEEDLFNHIVWAPKIWCHWGFLFDCIERPNELGFPYWAKSFRGKRIICDEKNELQENDSTFLHGGTMHYQAQDRSSKEHS >KJB12851 pep chromosome:Graimondii2_0_v6:2:3231806:3234493:1 gene:B456_002G039500 transcript:KJB12851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLKAKLPLFSHLMPTFSLFLILFPCASLARHLINQDCGSTFCGNLNISYPFRLKNQPPQCGCHDLELECENNNYTTLVLREGKFFVQNIFYENKTIQVMDSNLDKNDCNSLPLSSIYFNRESEASIMYVVNCTKPIRSSQYIDTSRCTTKSNTSSPPTSFFYFLDENTVLNLNQACTVEAVVPIMVKNISGMSTLTIYNKLYEGVIILRLFIGIPCLLVLVIYKWRRRHLSMDDKIEEFLQSHHLAPIRYSFKQVKKMTQNFKDKLGEGGYGSVFKGKLRSGHHVAIKLLCTSKGKGQDFINEVASIGRIHHANVTKLIGFCVEGSKQALVYDFMSNGSLDKIIFSEEKRNTLGWKKLFDIVLGVAQGIDYLHQGCDMQNFQF >KJB15014 pep chromosome:Graimondii2_0_v6:2:31850486:31851562:1 gene:B456_002G154900 transcript:KJB15014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIPRNELFLPYYMQGCNSYSLMDHKDGYYGCHQIIKEKLCTILSPLKIANKPNIKSWRKLEIKEGATENSNARAQLVGKIKKKKLNKPFRVLKKAQLQNPYLCCRCFDFFPSRVNRPKHFLS >KJB12838 pep chromosome:Graimondii2_0_v6:2:3085749:3086317:1 gene:B456_002G038600 transcript:KJB12838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGRQPMKVVIINTKYVQTDATSFKSVVQELTGRDSKVATNPPRLRSRFYEEQVKKKEQAGVQTAAGVGSSTSSRPGDSILMKNLSFKELERLLKEMPPGDDLWWNMD >KJB14977 pep chromosome:Graimondii2_0_v6:2:31264324:31264910:-1 gene:B456_002G152900 transcript:KJB14977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVSMLKAFLLAFVMAILTVASAQVSEAPSPSPDAGAGFSVGVSTAAVGFSLIVSLLAFFKH >KJB15131 pep chromosome:Graimondii2_0_v6:2:37715989:37718058:-1 gene:B456_002G162400 transcript:KJB15131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHHHRFGQIRSTSQVLRQAAVHFTTHPFTFLFLSLLLFSFRCLVESGSLLLTSFIERDPSFKSLLSRLDLHPSHPHARLHPTRRPFLHLTRVGTLDDDFFSSDDDHPDRSLFGSFPNRPINSTPVILSNFDTRLGFSHSVADNGILLPEIVRHGVKFKTSPFVYERNEGEQQEERVVDFQFVYKGFELGRQDAATLFFLVSFLSFSYGWLILGFTTIYSLILGVLFVTVVNDLIGRFVSFIGAFLDGSKVGLKRLTGFVLMKWAVRDAVTQLLGLWYFGEIEDHYSFFKLFVRLKLMPFSVMSPWIRGFEKEISGFLFTWFLVDTLVAFAFSLAAWIAIVDSRRTGREIIEEGCYLMSTLLNQAIQIKCYEAIVMWVLTYIGKLVQRVEGMGGENWRFWLMDLHDNQLGKNGLNMPLQMVISTVTLKIRLKIQITVSSASCLPGFLQ >KJB16997 pep chromosome:Graimondii2_0_v6:2:62102856:62103884:-1 gene:B456_002G259600 transcript:KJB16997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLPIFLFISSLSLHASMAEIICEDLPKDVCAFSIASSGKRCVLETAADKDDDVEHQCRTSEVVVERMADYIESDECVAACGVNRNSIGISSDSLLDQQFTVKLCAPACYQNCPNVIDLYFNLAAGEGVFLPDLCNAQWSNPRRSMVDLILSSGAAPGPVSSQATGLFAVEAPAPAPM >KJB13024 pep chromosome:Graimondii2_0_v6:2:4575947:4586508:1 gene:B456_002G052100 transcript:KJB13024 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 [Source:Projected from Arabidopsis thaliana (AT1G16970) UniProtKB/TrEMBL;Acc:A0A178WAS1] MDLDPEDVFKDEEDDLDNEFFQQSESSKEYVVYLVDASPKMFNTTCAGNDQKDETHFHTAVSCVAGSLKTQIISRSYDEVAICFFNTREKKNLQDLNGVFVFNVAEREHLDRPTARLIKEFDCIEESFMREIGSQYGIVPGSRENSLYNALWVAQALLRKGSIKTADKRILLFTNEDDPFGSLQDAAKKDMTRTTLQRAKDAQDLGISIELLPLSRPDSEFNVSTFYADLIGLDGEDLAQFMPSASQKLEDMKDQLRKRMFTKRVVRRIEFQIANGLSIELNTYALIRPTVPGAITWLDSVTNHPLKTERSLICEDTGALIQEPPKLFQPYRNENVKFLPEEIAEIKRISPGKLRLLGFKPLSCLKDYYNLRPSTFVYPSDQEVVGSTCIFIALHRSMLKHNRFAVAYYGASYHPQLVALVAQDEITSAGAQMEPPGMHMIYLPYADDIRDDEEIFPDTEEDAPRADEDQIQKAAALIKRIYVKDFSAFQFANPALQRHYAVLQALALAEDDIPETIDETAPDEEGLTRPAVVRAAEAFKHSVYGDSYDEESDMGKEKMSEASRKRKAIAENAAKDYDWGNLADKGQLKNLTVGDLKVYLNAHNLPVSGKKEALISRILTHMGK >KJB11834 pep chromosome:Graimondii2_0_v6:2:3169332:3170524:1 gene:B456_002G039200 transcript:KJB11834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVPCKHVLLIVFIFLCFISTTPIARSLPSEMKKGLDDRVVTTENEGGAPPDVDELEAMDYTPARRKPPIHN >KJB12984 pep chromosome:Graimondii2_0_v6:2:4129769:4135402:-1 gene:B456_002G048600 transcript:KJB12984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLTSLLLNSNNFIGELPETFARLTTLKTFRIGDNNFTGKIPSFIFQNWKKLEDIRIVASGLSGPIPDIGSSGNLKYIMITDLNGVESTFPRLSNLSKLEYLILSSCNLIGKLPTSLQNMPNLETLDLSFNKLSGEIRISLPEVKQLFLTGNMFTGAIPQWILNTNKKIDLSYNNFTSTGGVDDCQKSGLNLFASTSRINNSGVVSCLGNLNCPVEPSHYLYINCGGKEETINNITYQADSDPAGPSRFYRSTTHWAFSSTGIFLDDGTEKDVLILEKNQLSSSIGQLYINARLSPSSLTYYAFCLHNATYNVSLHFAEIEFTDGKNYSSLGRRIFDVYIQGKRELKDFNIKDEAGGAGKPILKNFTANVSDGTLEIHLQWTGKGTTVIPVRGVYGPLISAVSVFDPVYKPRSESGGGISAVAKVGIVAAAAFATFLLVVGILWWSGCLRRRSTLERDLKGIELQTGSFTLRQIKDATNNFDAANKIGEGGFGPVYKGILADGKEIAVKQLSARSKQGNREFVTEIGMISAVQHPHLVKLYGCCIEGNQLMLIYEYLENNSLARALFGPEESQLTLDWPTRRKICIGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNPKISDFGLAKLDEEDNIHISTRIAGTYGYMAPEYAMHGHLTDKADVYSFGIVSLEIVSGMCNTKQGRGKEESFYLLDLAITLKQEVKLLDLIDPRVAYHCNAEEAMVMIDVALLCTNPTAAARPSMSMVVSMLEGKALVADILMESSIYASKFDAKRLYGRAEGNDAENNSQTKSILGDGQWTLSSDLYPASSISSYTQNTNSTSTK >KJB14952 pep chromosome:Graimondii2_0_v6:2:29470572:29475336:1 gene:B456_002G150600 transcript:KJB14952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKHVHLRTLLSFSPSPPSPSLYSFSIFISHFSTSHNQPLHSYSLSWRHEEVSRHVKVSVWWDFENCNPPVGFNVYKIAHMITSAVRANGIKGPVQITAFGDILQLSRTNQEALSSTGVNLAHVPQGGKNSADRSLLVDLLYWVSQNPPPAHLFLISGDRDFASVLHRLRMSNYNILLATSDSAPSVLCGAASIMWNWNALLKGENLTGKHYNHPPDGPYGSWYGHCKGPLDDPFLVEQPACTQTEEFSESCSDSVPRTVPKAVIKHIRQILNSYPNGISIMDLRSELKKSNVSLDKNFYGYKKFSCFLSSMPHILRLQSERDGNYLIHGIFPKAGEPSKTSPCLSTRPVCRTGDELTVSSRSSGDGRRVDSGLNEKSRLHHFPEVNSEVAPGKIQQTPSANGNLVKVNAEKPQEEVQQPLPVDQKTTEASNDQVPESLHNHVLEQDSASKGSFIRKVWQRWFGGSDYTRAGKDHDNLAGKDYHLPGKPGDSADITEKQNNNPLKKCIEVSSDREGMKVECEEKSHVVPYSLTISSSSNDSTFDTKATDEASENPSGKRAGLFNWIASRCKFWRSSKDSGVSSDQSYEKLNQTNTNILKHEVFKQGSFWEDMEILIDSIRGSLFVTQSRTREEMAENLLKEGPFVLRSLSNTDLLHLVDLLISDKKWIEECPSQASPFKITKAAGKSPSLGHSHASNGLRSIFMRTPSQANLQTEHEGEKKLQNIPHSGVSSTILDKNSSDRSRFQVLSDCQNLVKDILKEHPEGHNVANFRKLFLERYGYPLDIQRLGCKKLVSVLKNVPGIKIESTYILPANIQENASHALGELPDDATTKGDALDTTWDELGPVSNTTSTRNESQSGLGSKRMGTKATYPDCPLSDDEFSDSEREISSAERSGLQQKPGVDEEDSSLLQILDSWYSSKEGKDKTDNSENSEGLVDCSEYDVKPSGAAGESTKTGKCLEGYGKKQRMQKKYSFVADPVGNDRDKLINVMLGSLKKISESRMKA >KJB14449 pep chromosome:Graimondii2_0_v6:2:18664630:18666719:-1 gene:B456_002G125400 transcript:KJB14449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSTALRELQRDLENRANDLSKLQKDIAKNRQVRKKYTTQLGENELELDLLNEDANVYKLICPVLVKQDLAEANANVRKRIEYISAELKRLDGSLQDLDEKQHSKREAILKVQQRIQSH >KJB14721 pep chromosome:Graimondii2_0_v6:2:24350426:24354199:1 gene:B456_002G140200 transcript:KJB14721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQPCDSERELLPGTELKEEWKLANAPKNDKFQYTHFVHKINSFDTAPNKLLASDSRLRPDRYALEQGDLSKAGFEKSSDGETFISADDLRINLLNLEISNQSFNIVDVKPANMEDLTGKPILFWYFSLLLSLLKAYASSVLFNIFAKVMVIVMSIIAKVITSADFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHAKLQVFYRF >KJB17187 pep chromosome:Graimondii2_0_v6:2:62749721:62751787:1 gene:B456_002G268800 transcript:KJB17187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLCVTRRPTIPSQLSRFPALHLLENTVLNNTMSSFSSFAHSNRFGGRGQDMKYDRERSRGRSGSGSKDKIDALGRLLTRTLRQMATELNLNMRSDGYVKVEDLLKLNMKAFANIPLRSQTVDDIKEAVRKDNKQRFSLLEENGELQIRANQGHTVMSERLLKQIFSADEVQFCVHGTDKRNLESILESGLKRMKRLHVHFSSGLLTDGEVISGMGRDVTVLIYLDVRKALEKGMKLYISDNKAILTEGFDGVVRVKCFEKIESWPDRKPIPFSNV >KJB16006 pep chromosome:Graimondii2_0_v6:2:55479687:55484714:-1 gene:B456_002G208200 transcript:KJB16006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLFLGVASDLVGTLLENYLVNPIVDRIRYLFLFRKTVQELHQKKWDLAAKETQMKEDVEEAKLHIQSQVINDGVREWLTKAENSLKDVEVLDSKIEENKRCFEIKNKILEIADLIEEYSKFNRLGHRAKLPNLDLVTSKNHVDLKSSDAALKKIMEALKDDKVKKVGVWGMGGVGKTTLVKKVGGEVKGFDRVITVTVSETVDIEKIQNKIAEDLVWTFEKKTEGGKAAELWNNLAGGKFLVILDDLWNEWNYNDEDLKKIGIPLVENDKGCKIILTTRNYNVCQHMSCEEIVHVNVLEDDEAWTLFEMNADLKKADSRVIGEAKKIAKECKGLPLAIVTLARALKGKALDRWKDARKKLERSGLMEIPNIQKVREKKAYISLMISYEHLEDKMAQTCFLLCALYREDHSINVEDLVRYAWGLNLYDKANSIEEMRTQVSNVIEYLKNCCLLEDGDVGRYVDRDENFDKYDSGKAAHTHIYIYTLSLVRDVALWIASKEKSGFIIKSRLELLNKSSECCKAISLLDNEEKNLPNRLILLKLEILLLNNYEVQGICFLRMTELKVLSLTVADGSKRIISLYALTFLPKLRALHLENFEDFSFLGNLRTLEILSLHGSKSEGLADELGRLENLKMLDLKRLDNMNFPPNVIRRLSQLEELYLPGLEYREISNYIFLEIKFLTRLTRLFLEVSSLHFPLEFEFPELKYYNICINYISSIHYSRFEEARSLKVEKVFPYNAVSQLLGNLESLQVSRIEDEYVECLTNKTQQKVSVSMILRDLKVVRIEYCKNLKVVFQMEEVEENEASLLSNLKILHLQNLLDLSCIWELPTQH >KJB16007 pep chromosome:Graimondii2_0_v6:2:55479687:55486643:-1 gene:B456_002G208200 transcript:KJB16007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLFLGVASDLVGTLLENYLVNPIVDRIRYLFLFRKTVQELHQKKWDLAAKETQMKEDVEEAKLHIQSQVINDGVREWLTKAENSLKDVEVLDSKIEENKRCFEIKNKILEIADLIEEYSKFNRLGHRAKLPNLDLVTSKNHVDLKSSDAALKKIMEALKDDKVKKVGVWGMGGVGKTTLVKKVGGEVKGFDRVITVTVSETVDIEKIQNKIAEDLVWTFEKKTEGGKAAELWNNLAGGKFLVILDDLWNEWNYNDEDLKKIGIPLVENDKGCKIILTTRNYNVCQHMSCEEIVHVNVLEDDEAWTLFEMNADLKKADSRVIGEAKKIAKECKGLPLAIVTLARALKGKALDRWKDARKKLERSGLMEIPNIQKVREKKAYISLMISYEHLEDKMAQTCFLLCALYREDHSINVEDLVRYAWGLNLYDKANSIEEMRTQVSNVIEYLKNCCLLEDGDVGRYVDRDENFDKYDSGKAAHTHIYIYTLSLVRDVALWIASKEKSGFIIKSRLELLNKSSECCKAISLLDNEEKNLPNRLILLKLEILLLNNYEVQGICFLRMTELKVLSLTVADGSKRIISLYALTFLPKLRALHLENFEDFSFLGNLRTLEILSLHGSKSEGLADELGRLENLKMLDLKRLDNMNFPPNVIRRLSQLEELYLPGLEYREISNYIFLEIKFLTRLTRLFLEVSSLHFPLEFEFPELKYYNICINYISSIHYSRFEEARSLKVEKVFPYNAVSQLLGNLESLQVSRIEDEYVECLTNKTQQKVSVSMILRDLKVVRIEYCKNLKVVFQMEEVEENEASLLSNLKILHLQNLLDLSCIWELPTQH >KJB13117 pep chromosome:Graimondii2_0_v6:2:6227879:6232556:-1 gene:B456_002G057900 transcript:KJB13117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KATNA1 MVGNSLAGLQDHLKLAREYALEGLYDTSIIFFDGAIAQINKHLTNLDDPLIRAKWMNVKKALSEETEVVKQLDAERRSFKEAPNGRRPSSPPIHAKSSFVFQPLDEYPTSSGAPVDDPDVWRPPSRDTSSRRPARAGQVGTRKSPQDGAWGRGNTRTGTTGRGAKAGGSSRTNTGARASTTGKKGTGSGKSTKGDSANGDAEDGKSKRSQYEGPDPDLAAMLERDVLETTPGVRWDDVAGLTEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNTGTNEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIRINLKTVEVAADVDIDEVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMSKDEISKDPVAMCDFEEALAKVQRSVSQADIEKHEKWFTEFGSA >KJB13118 pep chromosome:Graimondii2_0_v6:2:6229015:6232400:-1 gene:B456_002G057900 transcript:KJB13118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KATNA1 MVGNSLAGLQDHLKLAREYALEGLYDTSIIFFDGAIAQINKHLTNLDDPLIRAKWMNVKKALSEETEVVKQLDAERRSFKEAPNGRRPSSPPIHAKSSFVFQPLDEYPTSSGAPVDDPDVWRPPSRDTSSRRPARAGQVGTRKSPQDGAWGRGNTRTGTTGRGAKAGGSSRTNTGARASTTGKKGTGSGKSTKGDSANGDAEDGKSKRSQYEGPDPDLAAMLERDVLETTPGVRWDDVAGLTEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNTGTNEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIRINLKTVEVNILTNL >KJB12995 pep chromosome:Graimondii2_0_v6:2:4247547:4248695:1 gene:B456_002G049500 transcript:KJB12995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLNLALLVIVFVLIYQVPSMQSRKLFNGEMKAAVISPKDNLVPSDVPKKPTTDKDSIMADNERLFSVHLGKIDRLLQSAIPSPGAGH >KJB15433 pep chromosome:Graimondii2_0_v6:2:46144297:46146324:-1 gene:B456_002G178400 transcript:KJB15433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYPHITDLNLSHSFNIAKPSPLDPNPTNTTNLLALNHGDLASNANEGSHTTELNTQQQQPLGSSRWNPTPEQLLTLEELYRRGTRTPSAAQIQQIATRLRRFGKIEGKNVFYWFQNHKARERQKRRRQLKTEQQQQQQCDTESLEKKESVFSLPIGCCEIKPTNKGSPSNEFIWTHGFAKSEGNEWMEIEEKELRMELCCTPIDLTNTTITASLDTQKNLCSFKPHQEKITTLEDENREDQTLELFPLGSNDGNGINISNKDTQVPIRAISTTPNKYFEFLPLKN >KJB15432 pep chromosome:Graimondii2_0_v6:2:46143726:46146324:-1 gene:B456_002G178400 transcript:KJB15432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYPHITDLNLSHSFNIAKPSPLDPNPTNTTNLLALNHGDLASNANEGSHTTELNTQQQQPLGSSRWNPTPEQLLTLEELYRRGTRTPSAAQIQQIATRLRRFGKIEGKNVFYWFQNHKARERQKRRRQLKTEQQQQQQCDTESLEKKESVFSLPIGCCEIKPTNKGSPSNEFIWTHGFAKSEGNEWMEIEEKELRMELCCTPIDLTNTTITASLDTQKNLCSFKPHQEKITTLEDENREDQTLELFPLGSNDGNGINISNKDTQVPIRAISTTPNKYFEFLPLKN >KJB15434 pep chromosome:Graimondii2_0_v6:2:46144770:46146117:-1 gene:B456_002G178400 transcript:KJB15434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYPHITDLNLSHSFNIAKPSPLDPNPTNTTNLLALNHGDLASNANEGSHTTELNTQQQQPLGSSRWNPTPEQLLTLEELYRRGTRTPSAAQIQQIATRLRRFGKIEGKNVFYWFQNHKARERQKRRRQLKTEQQQQQQCDTESLEKKESVFSLPIGCCEIKPTNKGSPSNVSKFSEEFIWTHGFAKSEGNEWMEIEEKELRMELCCTPIDLTNTTITASLDTQKNLCSFKPHQEKITTLEDENREDQTLELFPLGSNDGNGINISNKDTQVPIRAISTTPNKYFEFLPLKN >KJB14168 pep chromosome:Graimondii2_0_v6:2:15883636:15884426:1 gene:B456_002G112600 transcript:KJB14168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDIRDKWVVLKYWQWKLVWLSFQKDNEVVEVVGAYRNFNAYSHKSSLRRPSIRLTGQCFDPRIGWTLVEAFLRSSRAQRSLHTAKPDSCREEMVISFSIRMMTKWSGVINMLHSLSLSLFSIENDFGRQLEVSKRMSKQTYSKEYQLIIL >KJB15105 pep chromosome:Graimondii2_0_v6:2:36103345:36104154:-1 gene:B456_002G160600 transcript:KJB15105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQVIFALSLAIFFFNEAQSSTFTFKNNCPYTVWPGILTGAGPQLSSTGFELATQASLNLNVPPPWSGRFWVPMATADCGSGQITCNGGGAIPPASLIEFTLAPNNGKDFYDISLVDGFNLPLSVTPHGRLLGCNTTSCAADVNTVCPSELQVKGLGGGVIACKSACLAFKQPQYCCTGAYNSPATCQPTKYSKIFKSQCPQAYSYAYDDKTSTFTCTGGANYLVTFCP >KJB16046 pep chromosome:Graimondii2_0_v6:2:55730108:55730221:-1 gene:B456_002G210400 transcript:KJB16046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVFIFGIVLGLIPITLVGLFVTTYLQYRCNDQLNL >KJB16743 pep chromosome:Graimondii2_0_v6:2:60934295:60942388:-1 gene:B456_002G245400 transcript:KJB16743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELDYGVDGSLGQTREAVIQSQTQTAGLRGIITDGGILCSCSLCKGRRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRGPLHTLEATIQNIIRAVPEQKCFTCRRCKGSFPVIHVGQVGPLCNSCVELKKSQFITMSSPSVGTRSQEPVSMLQSFGSASLSVSPQNRSQRKKANKSSELDLTSNSPQCSSSSISLQNRRPWKTTRKLTKPGLFTKSLKSASVHISSQDKGHWRTKKKPVKPVLMSKMFKGASSPIYSPNGSQWKMTTKDQRLHKLVFEEDGLPDGTEVAYYARGQRLLEGYKKGFGIICRCCNCEVSPSQFEAHAGWASRRKPYAYIYTSNGVSLHELAISLSKGRLYSAKDNDVACIICADGGNLLLCDGCPRAFHKECASLPTIPHGRWYCKYCQNMLMREKCAEHNANAAAAGRILGVDAIEQITSRCVRIVKNIETELSGCSLCRACDFSKSGFGPRTVILCDQCEKEYHIGCLRTHKMADLREIPKGKWFCCSDCGRIHSTLQKLLIHGAERLPDSLLDVLKKKYAEKGLDADINIDVRWRLLSSKFASPETRLLLSQAVGIFHECFNPIVDATTGRDLIPCMVYGRNLKGQEYGGMYCAVLTINSFVVSAGIIRVFGQEIAEIPLVATSIANHGKGYFQLLFSCIEKLLAFLNVKNIILPAAEEAESIWTDKFGFKKLRPDQLSEYRKSCCQMVIFQGTSMLQKEVPIHQLISSIERRELYEHLNQGRSDFLE >KJB16741 pep chromosome:Graimondii2_0_v6:2:60934232:60942403:-1 gene:B456_002G245400 transcript:KJB16741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELDYGVDGSLGQTREAVIQSQTQASSSSSCCKRVNSTQVNGYIVYTRVKKSRIDCRVSENLDNQKLKDFNEPINGFKVSLIDEDQENRILADANGVNNNLIESRSGNGNLAGDKVVIENVVDESLVVRDIVKGGPFIEALIEESHTIGENAIVGNLVVEAIGVDGKPVVQSCQSMDELETRPVEKGGFDSSDGNDDDLLLKTLRRPKKSLWRPKVEAEESLGCEQQNVENVLVSNFGGEEAAEESGLTTPRKNLELKMSKKISLNKCPMTVKELFDTGLLDGVPVVYMGTISSKTAGLRGIITDGGILCSCSLCKGRRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRGPLHTLEATIQNIIRAVPEQKCFTCRRCKGSFPVIHVGQVGPLCNSCVELKKSQFITMSSPSVGTRSQEPVSMLQSFGSASLSVSPQNRSQRKKANKSSELDLTSNSPQCSSSSISLQNRRPWKTTRKLTKPGLFTKSLKSASVHISSQDKGHWRTKKKPVKPVLMSKMFKGASSPIYSPNGSQWKMTTKDQRLHKLVFEEDGLPDGTEVAYYARGQRLLEGYKKGFGIICRCCNCEVSPSQFEAHAGWASRRKPYAYIYTSNGVSLHELAISLSKGRLYSAKDNDVACIICADGGNLLLCDGCPRAFHKECASLPTIPHGRWYCKYCQNMLMREKCAEHNANAAAAGRILGVDAIEQITSRCVRIVKNIETELSGCSLCRACDFSKSGFGPRTVILCDQCEKEYHIGCLRTHKMADLREIPKGKWFCCSDCGRIHSTLQKLLIHGAERLPDSLLDVLKKKYAEKGLDADINIDVRWRLLSSKFASPETRLLLSQAVGIFHECFNPIVDATTGRDLIPCMVYGRNLKGQEYGGMYCAVLTINSFVVSAGIIRVFGQEIAEIPLVATSIANHGKGYFQLLFSCIEKLLAFLNVKNIILPAAEEAESIWTDKFGFKKLRPDQLSEYRKSCCQMVIFQGTSMLQKEVPIHQLISSIERRELYEHLNQGRSDFLE >KJB16742 pep chromosome:Graimondii2_0_v6:2:60934233:60942466:-1 gene:B456_002G245400 transcript:KJB16742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELDYGVDGSLGQTREAVIQSQTQASSSSSCCKRVNSTQVNGYIVYTRVKKSRIDCRVSENLDNQKLKDFNEPINGFKVSLIDEDQENRILADANGVNNNLIESRSGNGNLAGDKVVIENVVDESLVVRDIVKGGPFIEALIEESHTIGENAIVGNLVVEAIGVDGKPVVQSCQSMDELETRPVEKGGFDSSDGNDDDLLLKTLRRPKKSLWRPKVEAEESLGCEQQNVENVLVSNFGGEEAAEESGLTTPRKNLELKMSKKISLNKCPMTVKELFDTGLLDGVPVVYMGTISSKTAGLRGIITDGGILCSCSLCKGRRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRGPLHTLEATIQNIIRAVPEQKCFTCRRCKGSFPVIHVGQVGPLCNSCVELKKSQFITMSSPSVGTRSQEPVSMLQSFGSASLSVSPQNRSQRKKANKSSELDLTSNSPQCSSSSISLQNRRPWKTTRKLTKPGLFTKSLKSASVHISSQDKGHWRTKKKPVKPVLMSKMFKGASSPIYSPNGSQWKMTTKDQRLHKLVFEEDGLPDGTEVAYYARGQRLLEGYKKGFGIICRCCNCEVSPSQFEAHAGWASRRKPYAYIYTSNGVSLHELAISLSKGRLYSAKDNDVACIICADGGNLLLCDGCPRAFHKECASLPTIPHGRWYCKYCQNMLMREKCAEHNANAAAAGRILGVDAIEQITSRCVRIVKNIETELSGCSLCRACDFSKSGFGPRTVILCDQCEKEYHIGCLRTHKMADLREIPKGKWFCCSDCGRIHSTLQKLLIHGAERLPDSLLDVLKKKYAEKGLDADINIDVRWRLLSSKFASPETRLLLSQAVGIFHECFNPIVDATTGRDLIPCMVYGRNLKGQEYGGMYCAVLTINSFVVSAGIIRVFGQEIAEIPLVATSIANHGKGYFQLLFSCIEKLLAFLNVKNIILPAAEEAESIWTDKFGFKKLRPDQLSEYRKSCCQMVIFQGTSMLQKEVPIHQLISSIERRELYEHLNQGRSDFLE >KJB11794 pep chromosome:Graimondii2_0_v6:2:44062246:44062859:1 gene:B456_002G1730002 transcript:KJB11794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPMEFVRDADDQGSAMEVDGVDTPEIFREGVIASPISSTTSKTILMTPILTKKIKKNRLYVSSLLCLSFFLFFGLAMSLLSL >KJB12746 pep chromosome:Graimondii2_0_v6:2:2642014:2645383:1 gene:B456_002G034600 transcript:KJB12746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQESLGVIWNGRIYIGLINVNRIYFDGFYVVADDVEKIYYETYEYSTDSRLIYFELESDGRYLERIWDAGKGDWINGYSSYLTDCDVYGKCGAFGICDSTKRPICSCLKGFKPRNIEEWSRGKWSSGCFRTTPLHCQRENNNGNEAGQGDDGFLKLKKMKVPAFPDQFDCVQKYFSNRGVDLYIRLPSSELGKLVFHIDHVENIGESSIGVKLQQPPLFNFQELATATNNFHPPKKLGQGGFGPVYKGTLDDGKEIAVKRLSKPSGQGLEEFMNEVVKMLVYEFMPNKSLDAFLFEIIRGLLYLHRDSRLKIIHRDLKASNVLLDQELNPKISNFGIARIFGGDENQANTKRVVGTYGYMSPEYAMHRRFSEKSDVFSYGVLLLEIVSGRRNTSFYNKDDLSLLGFVIWKLWNEGNIWDLVDKVISKSESDLKNKKEIWRCIHVELLCVQEYAKDRPTMSTIASMLNSEISDLNIPKQPAFTQTLLISDDFEDCVSFNDVTLTGFDGR >KJB12518 pep chromosome:Graimondii2_0_v6:2:1574889:1577900:1 gene:B456_002G022400 transcript:KJB12518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHNLVQALVFVAVFFNTLAPTVANVEGDALYALRRSVKDPKNVLKSWDPTLVDPCTWFHVTCDADNRVTRLDLGNAKLKGRLVPQLGKLERLQYLELYMNNLEGSIPEEIGGLKSLVSLDLYNNNLTGSIPASLSKLSNLNFLRLNGNRLTGRIPRQLTKLPNLKIFDVSNNDLCGTIPTTGSFSKFSEESFKNNSRLEGPELMGFVRYDTGRCK >KJB12519 pep chromosome:Graimondii2_0_v6:2:1574959:1577848:1 gene:B456_002G022400 transcript:KJB12519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHNLVQALVFVAVFFNTLAPTVANVEGDALYALRRSVKDPKNVLKSWDPTLVDPCTWFHVTCDADNRVTRLDLGNAKLKGRLVPQLGKLERLQYLELYMNNLEGSIPEEIGGLKSLVSLDLYNNNLTGSIPASLSKLSNLNFLDVSNNDLCGTIPTTGSFSKFSEESFKNNSRLEGPELMGFVRYDTGRCK >KJB14709 pep chromosome:Graimondii2_0_v6:2:23830821:23835908:1 gene:B456_002G139000 transcript:KJB14709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQNTKKQKKGSISEEDISTLLQRYTATTVLALLQEVAQFPGVKLDWNALVKKTSTGISNAREYQMLWRHLAYRDVLLEKLEDGADPLDDDSDLEYELEPCPSVSSETSAEAAACVKVLIASGLPNDSSLANSSMVDAPLTINIPNARSFRVSSENLQPSCSMPGTNITVPVSVQKKILPSVTSAETMEGNGPAGANLPARRKRKPWSEAEDLELIAAVQKCGVGNWANILRGDFKGDRTASQLAQRWTVIKKRCGNLNVEGNSAIPQLSEAQLATRSALSLALDMPDKNLTAACTNNPGLKIMSSSAPPTAGGEASARAQSQVQQGPLASVEAQIQSQQGPIASVSSRNRSQEGPITSASPQNPSQQGPVASVQVPNQSQQGSMPTKTSPRGSSGSTLKSRVTLKKAPAKPFSTTGSILDATAVAAGARIGSPEAAASLLKAAQSKNAIHIMTTAGSSVKPVIPSGTSSQYVCTGLTAEAHSSPVTSSTLHPGSVKPATQRVEHTSSVSLSINAPMQQCNAVTSGTAVEVSPKEDLEIKGSVSDSLPKEQVRENRAYVSKNERGEEVKEHKEALTNPGSELKNIEAVAEHPNEKLMVDGDQVGVKANLVEESVNASDNKDCLLVKKSTTQPTAEESCRNHSMTEMTAKAGCLSDGCAKNLEVLSTAETG >KJB13660 pep chromosome:Graimondii2_0_v6:2:11089599:11090534:-1 gene:B456_002G087700 transcript:KJB13660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILKQHRCPSRSLTHIPTSPRLHLVARLTCSATTTTTKPKSSQNQLVIEPRLETKPDSITPIIPSVGPPLSNDPSLQSTWSHRAWVASGCTTLFFSLAKTVTGAADTHMWFEPIVAGYVGYVLADLLSGVYHWGIDNYGDATTPIFGSQIEGFQGHHKWPWTITRRQFANNLHALARSITLTVLPIEILCNDPVIQGFVIVCAGCIMFSQQFHAWSHGTKSKLPPLVVALQDAGVLVSRSQHSAHHRPPYNSNYCIVSGVCNEFLDKNKVFEALEMIIFFKLGVRPRSWSEPGAEWIEEMDEAQSQVTVH >KJB11989 pep chromosome:Graimondii2_0_v6:2:28725703:28727208:1 gene:B456_002G148800 transcript:KJB11989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLSWSPSLNPKLLFGLVLEFLDGKIYLCLRELYPATVKKYARRAQLGEIFELDRATLKSDGVFPSSLRCWFTFKHTPFTFLFFFGHI >KJB16724 pep chromosome:Graimondii2_0_v6:2:60902520:60910968:1 gene:B456_002G245000 transcript:KJB16724 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MEEPRKQQVSLRGASAREISRDALLEKVSQEREHRNYARKAASASIFIQKVWRSYGETRKVAMKFQEEWESLVKYQAGILTGELISSSVLRPFIFFITRLSIRQRKILARELKCMQTCFGILLESINSTDSRKNICSLIVGTTEQRRTSMYQMRKLISLCSFILSECDTSRAGSQDIVVLTSLALRFVVVLTDLKSWKIVNDENIGVADAAVKNFVSFMGSYRSGLYASLRRYISRMDASFSAKVKSIVQTDDKFLISASAITIAIRPFSLTTFNPADCIKFDVHSAAEQYCLYLLTIPWLTQRVPAVLLPALKHKSTLLPCLQLLLTSKDKIVRMMSDIDQFSMDCSLNAVPPIGWALANIIGLAAGSENDFLHSGALNQGLEYASYVHVVTILADNLLSWLHDAGWNEKGNQNLEGNDGAYEPPVSIQENKTICGSLKTSFIDLFRPVCQQWHLKKLLEKSKTYAYTDESKTKILPPNNLESLENLRLLDIAYFYSYMLRIFAAFNPMIGPLPILNMLSFTPGFLGNLWGVLESSIFLGNSHTIGDANYARSKVSGKKKGVDKKLKQASNDGVSKWANVLQKLTGKSQVDFSDPADDHQVDEDASDVWDVEPLRHGPRGISKDMSCLLHLFCATYSHLLLVLDDIEFYEKQVPFTLEQQQRIASMLNTLVYNGLSCSVGQQNASLMDSAIRCLHLIYERDCRHQFCPPALWLSPARRSRPPIAVAARTHEVVSANIRSEDAVVVHSTGSVITSMPHVFPFQERVQMFREFISMDKVSRRMAGEVAGPGSRSIEIVIRRGHVIEDGFRQLNSLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDISKAAFAPEYGLFSQTSTSDRLLIPNAAARFLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNLMYVKHYEGNVEDLCLDFTVTEESFGKRHVIELKPGGKDVCVTNANKMQYVHAMAFYKLNRQMLPFSNAFYRGLTDLISPSWLKLFNASEFNQLLSGGDHDIDVDDLKNNTRYTGGYSEGSRTVKLFWEVMNDFEPKERCMLLKFVTSCSRAPLLGFKYLQPAFTIHKVASDAPLWAAIGGSDVERLPSASTCYNTLKLPTYKRSSTLKAKLRYAINSNAGFELS >KJB16723 pep chromosome:Graimondii2_0_v6:2:60902520:60907722:1 gene:B456_002G245000 transcript:KJB16723 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MEEPRKQQVSLRGASAREISRDALLEKVSQEREHRNYARKAASASIFIQKVWRSYGETRKVAMKFQEEWESLVKYQAGILTGELISSSVLRPFIFFITRLSIRQRKILARELKCMQTCFGILLESINSTDSRKNICSLIVGTTEQRRTSMYQMRKLISLCSFILSECDTSRAGSQDIVVLTSLALRFVVVLTDLKSWKIVNDENIGVADAAVKNFVSFMGSYRSGLYASLRRYISRMDASFSAKVKSIVQTDDKFLISASAITIAIRPFSLTTFNPADCIKFDVHSAAEQYCLYLLTIPWLTQRVPAVLLPALKHKSTLLPCLQLLLTSKDKIVRMMSDIDQFSMDCSLNAVPPIGWALANIIGLAAGSENDFLHSGALNQGLEYASYVHVVTILADNLLSWLHDAGWNEKGNQNLEGNDGAYEPPVSIQENKTICGSLKTSFIDLFRPVCQQWHLKKLLEKSKTYAYTDESKTKILPPNNLESLENLRLLDIAYFYSYMLRIFAAFNPMIGPLPILNMLSFTPGFLGNLWGVLESSIFLGNSHTIGDANYARSKVSGKKKGVDKKLKQASNDGVSKWANVLQKLTGKSQVDFSDPADDHQVDEDASDVWDVEPLRHGPRGISKDMSCLLHLFCATYSHLLLVLDDIEFYEKQVPFTLEQQQRIASMLNTLVYNGLSCSVGQQNASLMDSAIRCLHLIYERDCRHQFCPPALWLSPARRSRPPIAVAARTHEVVSANIRSEDAVVVHSTGSVITSMPHVFPFQERVQMFREFISMDKVSRRMAGEVAGPGSRSIEIVIRRGHVIEDGFRQLNSLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDISKAAFAPEYGLFSQTSTSDRLLIPNAAARFLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNLMYVKVSPHEDHMCYQFDLYSFLKTTLLVWLNYLLTRQIAL >KJB16726 pep chromosome:Graimondii2_0_v6:2:60902520:60910968:1 gene:B456_002G245000 transcript:KJB16726 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MEEPRKQQVSLRGASAREISRDALLEKVSQEREHRNYARKAASASIFIQKVWRSYGETRKVAMKFQEEWESLVKYQAGILTGELISSSVLRPFIFFITRLSIRQRKILARELKCMQTCFGILLESINSTDSRKNICSLIVGTTEQRRTSMYQMRKLISLCSFILSECDTSRAGSQDIVVLTSLALRFVVVLTDLKSWKIVNDENIGVADAAVKNFVSFMGSYRSGLYASLRRYISRMDASFSAKVKSIVQTDDKFLISASAITIAIRPFSLTTFNPADCIKFDVHSAAEQYCLYLLTIPWLTQRVPAVLLPALKHKSTLLPCLQLLLTSKDKIVRMMSDIDQFSMDCSLNAVPPIGWALANIIGLAAGSENDFLHSGALNQGLEYASYVHVVTILADNLLSWLHDAGWNEKGNQNLEGNDGAYEPPVSIQENKTICGSLKTSFIDLFRPVCQQWHLKKLLEKSKTYAYTDESKTKILPPNNLESLENLRLLDIAYFYSYMLRIFAAFNPMIGPLPILNMLSFTPGFLGNLWGVLESSIFLGNSHTIGDANYARSKVSGKKKGVDKKLKQASNDGVSKWANVLQKLTGKSQVDFSDPADDHQVDEDASDVWDVEPLRHGPRGISKDMSCLLHLFCATYSHLLLVLDDIEFYEKQVPFTLEQQQRIASMLNTLVYNGLSCSVGQQNASLMDSAIRCLHLIYERDCRHQFCPPALWLSPARRSRPPIAVAARTHEVVSANIRSEDAVVVHSTGSVITSMPHVFPFQERVQMFREFISMDKVSRRMAGEVAGPGSRSIEIVIRRGHVIEDGFRQLNSLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDISKAAFAPEYGLFSQTSTSDRLLIPNAAARFLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNLMYVKHYEGNVEDLCLDFTVTEESFGKRHVIELKPGGKDVCVTNANKMQYVHAMAFYKLNRQMLPFSNAFYRGLTDLISPSWLKLFNASEFNQLLSGGDHDIDVDDLKNNTRYTGGYSEGSRTVKLFWEVMNDFEPKERCMLLKFVTSCSRAPLLGFKYLQPAFTIHKVASDAPLWAAIGGSDVERLPSASTCYNTLKLPTYKRSSTLKAKLRYAINSNAGFELS >KJB16725 pep chromosome:Graimondii2_0_v6:2:60902520:60910968:1 gene:B456_002G245000 transcript:KJB16725 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MEEPRKQQVSLRGASAREISRDALLEKVSQEREHRNYARKAASASIFIQKVWRSYGETRKVAMKFQEEWESLVKYQAGILTGELISSSVLRPFIFFITRLSIRQRKILARELKCMQTCFGILLESINSTDSRKNICSLIVGTTEQRRTSMYQMRKLISLCSFILSECDTSRAGSQDIVVLTSLALRFVVVLTDLKSWKIVNDENIGVADAAVKNFVSFMGSYRSGLYASLRRYISRMDASFSAKVKSIVQTDDKFLISASAITIAIRPFSLTTFNPADCIKFDVHSAAEQYCLYLLTIPWLTQRVPAVLLPALKHKSTLLPCLQLLLTSKDKIVRMMSDIDQFSMDCSLNAVPPIGWALANIIGLAAGSENDFLHSGALNQGLEYASYVHVVTILADNLLSWLHDAGWNEKGNQNLEGNDGAYEPPVSIQENKTICGSLKTSFIDLFRPVCQQWHLKKLLEKSKTYAYTDESKTKILPPNNLESLENLRLLDIAYFYSYMLRIFAAFNPMIGPLPILNMLSFTPGFLGNLWGVLESSIFLGNSHTIGDANYARSKVSGKKKGVDKKLKQASNDGVSKWANVLQKLTGKSQVDFSDPADDHQVDEDASDVWDVEPLRHGPRGISKDMSCLLHLFCATYSHLLLVLDDIEFYEKQVPFTLEQQQRIASMLNTLVYNGLSCSVGQQNASLMDSAIRCLHLIYERDCRHQFCPPALWLSPARRSRPPIAVAARTHEVVSANIRSEDAVVVHSTGSVITSMPHVFPFQERVQMFREFISMDKVSRRMAGEVAGPGSRSIEIVIRRGHVIEDGFRQLNSLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDISKAAFAPEYGLFSQTSTSDRLLIPNAAARFLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNLMYVKHYEGNVEDLCLDFTVTEESFGKRHVIELKPGGKDVCVTNANKMQYVHAMAFYKLNRQMLPFSNAFYRGLTDLISPSWLKLFNASEFNQLLSGGDHDIDVDDLKNNTRYTGGYSEGSRTVKLFWEVMNDFEPKERCMLLKFVTSCSRAPLLGFKYLQPAFTIHKVASDAPLWAAIGGSDVERLPSASTCYNTLKLPTYKRSSTLKAKLRYAINSNAGFELS >KJB13075 pep chromosome:Graimondii2_0_v6:2:4985474:4985722:-1 gene:B456_002G0553002 transcript:KJB13075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLNSMNLLIYMAPIAVVFLLPATLIMEENVVGITLALARDDVKIIWYLLFNSALAYFVNLTNFLVTKHTNALTLQVWHTSAF >KJB16125 pep chromosome:Graimondii2_0_v6:2:56164568:56165981:-1 gene:B456_002G213200 transcript:KJB16125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSKDLLRFEHHKYSSSPLESALLVCKKKDSEPQNHEPDSSKKPPFTPFPKSQVLGKVKDFLGVMAEANKRLELDAKNNSQAYDIEVLNGNDSEVIEMVTLKLNLLNCAHRIVYEFVNMFWLHIVKQDLMLGVADLHTPQALAAAESAIAGNQPPIMVAGNSSSSETESDDSSDEESDDDGNDDKETSCPTEHETSNTVKEDAVREATGKSRSKKRTRIVELS >KJB16124 pep chromosome:Graimondii2_0_v6:2:56164483:56166062:-1 gene:B456_002G213200 transcript:KJB16124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKENKSNRSSNSSLHKPYRLRRSKEPVVSQRNIEMGSSSKDLLRFEHHKYSSSPLESALLVCKKKDSEPQNHEPDSSKKPPFTPFPKSQVLGKVKDFLGVMAEANKRLELDAKNNSQAYDIEVLNGNDSEVIEMDLMLGVADLHTPQALAAAESAIAGNQPPIMVAGNSSSSETESDDSSDEESDDDGNDDKETSCPTEHETSNTVKEDAVREATGKSRSKKRTRIVELS >KJB12819 pep chromosome:Graimondii2_0_v6:2:2985894:2987544:-1 gene:B456_002G037800 transcript:KJB12819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRSNPIEVPPAASSSEEDEEVTSSEEEEEGSSTEEEDEEDPKTESTPLIQKSPPPRKPETATPGVVNDESDESGSDSESESDTATPNVKPLATKPMEESLNAKKPRSNKPLTSPIRASSSKRPGELELDAKEAKRPKKKVGEEGLATTPAVEEVKKTGEDAKKQLFQRLFSEDDEIALLKGMLDYSAKKGADPCADMNAFYNFVKKSIHTDVTKAQMMDKIRRLKKKFENNAGKGKKGEDRTFSKAHEQNAFELSKLIWGKEGISGKVESSAAKSNGKAKGNNKAVVALKAEFSSPDKKTYDAVPIEADKVVSKSSGSLFDKRFGVSDMEEAVVKLGLDMVDGEKKAALEAKWRKLQIAQLELFVERSELVTEQAKLVLEYYKSEEK >KJB13773 pep chromosome:Graimondii2_0_v6:2:11843631:11845689:-1 gene:B456_002G093500 transcript:KJB13773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGSTRLGRASARYGGSTAVFNGPVRKWKKKWVHVSPSSNAKLSQSNGNGSAASSILLCRWTPLSFADSGSSAVDGEDEEQPPKRKYRYTPVAVLEEERRRAAAAKQVENEAKTDENKTKRSPDWLSSKTDNELNMNNILKKETQDSSMGNLDLGLCLKGHDGSHNSVGEKVDQVKPASLTGFWAIG >KJB16214 pep chromosome:Graimondii2_0_v6:2:56956725:56959825:1 gene:B456_002G218000 transcript:KJB16214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLPLFPGIKFPTPRNTVLARSSPNNLPQFSSNASSSTSISVKPPTKTVPGKPEADVVVIGSGIGGLCCAGLLARYNQDVLVLESHDLPGGAAHSFEIKGYKFDSGPSLFSGFQSRGPQANPLAQVLDALGESIPCAKYDSWMVYIPEAEFLSRIGPTEFLKDLEKYASQNAVQEWKKLLEAILPLSAAAMALPPLSIRGDLGVISTAAARYAPSLLKSFVEMGPQGAFGAPKLLRPFSEIMDSLELRDPFIRNWVDLLAFLLAGVKSNGILSAEMVYMFAEWYKPGCTLEYPLNGSGAIIDALVRGIQKFGGRISLGSHVEKIIVENGKATGVKLKGGQFIRAKMAVVSNASMWDTLNLLPKDQLPKSYIDRVKTTPQCESFMHLHLGFDAEQDVREDLGIHHIVVNEWERGVDADQNVVLISVPSVLSPNLAPPGKHVLHAYTPGTEPFELWEGLDRRSAEYKKLKAERSEVMWKAVERALGSGFNRDKCEVKLVGTPLTHQRFLRRNRGTYGPAIQAGQGTFPGHSTPIPQLYCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSQLLDAIGI >KJB16213 pep chromosome:Graimondii2_0_v6:2:56956704:56959825:1 gene:B456_002G218000 transcript:KJB16213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLPLFPGIKFPTPRNTVLARSSPNNLPQFSSNASSSTSISVKPPTKTVPGKPEADVVVIGSGIGGLCCAGLLARYNQDVLVLESHDLPGGAAHSFEIKGYKFDSGPSLFSGFQSRGPQANPLAQVLDALGESIPCAKYDSWMVYIPEAEFLSRIGPTEFLKDLEKYASQNAVQEWKKLLEAILPLSAAAMALPPLSIRGDLGVISTAAARYAPSLLKSFVEMGPQGAFGAPKLLRPFSEIMDSLELRDPFIRNWVDLLAFLLAGVKSNGILSAEMVYMFAEWYKPGCTLEYPLNGSGAIIDALVRGIQKFGGRISLGSHVEKIIVENGKATGVKLKGGQFIRAKMAVVSNASMWDTLNLLPKDQLPKSYIDRVKTTPQCESFMHLHLGFDAEDVREDLGIHHIVVNEWERGVDADQNVVLISVPSVLSPNLAPPGKHVLHAYTPGTEPFELWEGLDRRSAEYKKLKAERSEVMWKAVERALGSGFNRDKCEVKLVGTPLTHQRFLRRNRGTYGPAIQAGQGTFPGHSTPIPQLYCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSQLLDAIGI >KJB13383 pep chromosome:Graimondii2_0_v6:2:8324202:8326064:1 gene:B456_002G071500 transcript:KJB13383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEPNFFEQESHFESDGDISLKNKWNPIPEPTKDLEKDSSCFDCSICFESAQEPVVTLCGHLYCWPCIYKWLNVQTSSLDTDPRQKNCPVCKASISSGSLVPLYGHGTSSHSQPKNPQSDLNIPQRPPPSTSNMTAPSSHLNQQLHENFFHSQSQAFHNQQYFPPYGGYATLASSDLSGIPMTNFFHPMIGTLGEMVYARIFGSSNTSMFAYPNQASYPLIRNNNLRMRRQEIQVDKSLSRVSMFLFCCIILCLLLF >KJB14501 pep chromosome:Graimondii2_0_v6:2:19755039:19758915:1 gene:B456_002G128200 transcript:KJB14501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHEWRGAYSENNSDTESIASERTTFSEPLPLNAAPFSNKRGSKKSATFNLPPEITTMPNSAAEDDEDEGYVEITLDIRDNSVAVYSVQGGNEDPELALLALSTMENKTMSLRSSLFSNTSARIKQVSQELKRVVSRRSSNRKIDRTKSAAAHALKGLKFITSKTGACGNGWSFVEKRFNDLTSSANGLLHRSQFAECIGMNQSKEFADELFQALARRHNVNGDSIHKIQLKRFWDQICDESFDSRLQTFFDMVDKDADGRITEEEVKEIISLSASANELATIQKQAEEYAALIMEELDPDNVGYIMVYNLETLLLQAPTQSVRVGDSRVLSQMLSQKLKPTQERNPFKRLYQKMKYFIMDNWQRVWVMMLWLGIVSGLFAYKFVQYRNKAVYGVMGYCVCIAKGGAETLKFNMALILLPVCRNTITWLRNKTKLGVVVPFDDNLNFHKVIAVGITVGVILHGGAHLTCDFPRLLHATEEEYEPMEPFFGEEQPENYWWFVRGVEGVTGIIMVVLMAIAFTLATPWFRRNKLNLPKFLEKLTGFNAFWYSHHLSVIVYVLLIVHGIYLYLTKKWYQKTTWMYLAVPITLYACERLIRAFRSSIKAVKILKVAVYPGNVLSLHMSKPQGFKYKSGQFMFVNCSAVSPFEWHPFSITSSPGDDYLSVHIRTLGDWTRHLKTVFSQGCQPPAAGKSGLLRAEGTNTSFPKILIDGPYGAPAQDYKKYDVVLLVGLGIGATPMISIVKDIINNMKMEEDSIRKDQQWRMGITVTRTTKGSKQEKPTFTG >KJB16618 pep chromosome:Graimondii2_0_v6:2:60322051:60322801:1 gene:B456_002G239800 transcript:KJB16618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLVLEEKVVRVMKTDGKILEYRRPIKVQQVLSDFSDHALSESFSACRNLHPDTKLLPGMLYYLVPSPSIKSKKKKVRFSSTPEVKDDEEGSHGVVRIKLIISKKELEKLVQKDGVSVHEMVSKIQSKQSINGVDDDDDGDDDSCRKWKPALESIAEVN >KJB13296 pep chromosome:Graimondii2_0_v6:2:7786451:7789561:-1 gene:B456_002G066900 transcript:KJB13296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLTRIPVGRIFGFWSRSFSSPIKNPFFSSTLSHVPSYKISTTAHYSSPSPSPPPPLSAAMNTQVFSSSSRWRPMCLYFTQGKCTQMDDPSHLEKFNHDCSKDLQVNGTDIEKKCSQNVDFLLVIDLEGKVEILEFPVLLIDAKSLSLVDFFHRVWHDTAQPFKEVMQQFEAWLSQHNLWEKEKGSRLTRAAFVTCGNWDLKTKIPQQCEVSGIKLPPYFMEWINLKDVYLNFYGREARGMMSMMKQLEIPLLGCHHLGIDDSKNIARVLQRMLVDGALMQVTAKRNPGSQKVEFLFENRIQHPSRQRR >KJB13297 pep chromosome:Graimondii2_0_v6:2:7786451:7789642:-1 gene:B456_002G066900 transcript:KJB13297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLTRIPVGRIFGFWSRSFSSPIKNPFFSSTLSHVPSYKISTTAHYSSPSPSPPPPLSAAMNTQVFSSSSRWRPMCLYFTQGKCTQMDDPSHLEKFNHDCSKDLQVNGTDIEKKCSQNVDFLLVIDLEGKVEILEFPVLLIDAKSLSLVDFFHRFVRPTKMSEQAVNKYIEGKYGEIGVDRVWHDTAQPFKEVMQQFEAWLSQHNLWEKEKGSRLTRAAFVTCGNWDLKTKIPQQCEVSGIKLPPYFMEWINLKDVYLNFYGREARGMMSMMKQLEIPLLGCHHLGIDDSKNIARVLQRMLVDGALMQVTAKRNPGSQKVEFLFENRIQHPSRQRR >KJB14318 pep chromosome:Graimondii2_0_v6:2:16915597:16916489:1 gene:B456_002G119000 transcript:KJB14318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPITAMKAKSHARSNSLPSESHPVVADVEDKLRRLRALEATSSSPSSLCKNLATLKEMYEYDMKLVDDMLDGSLKLLDTCSSSKDALSQIKGCVRDFESSLRRKIRCKSSLVNEIREYFISRKQVNKIVCNCFGNMKRMQKSNATLVESDNDLVALASMLNEVEAVKLEEGLECGFRCLIKTRMSLLNLLNH >KJB14033 pep chromosome:Graimondii2_0_v6:2:14776353:14781603:-1 gene:B456_002G108200 transcript:KJB14033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNESGIHNVNDDYNTELLPQRDERSSSSWRLNLDEFRLPQQSLSSQDDPFSRLLCTPKKQRKVSEYYKKQERLLAGFNEMETMNEMGRLPDSLTEDEMKQLARSERMAVHASNAANLVLFGAKVFASIESKSLAVIASTLDSLLDLLSGFILWFTAHAMRTPNQYHYPIGKKRMQPVSHPEINHMQEKWMIGIMVFVTVVKFVLMVYCRQFKNEIVRAYAQDHFFDVVTNSVGLATAVLAIHFRWWIDPTGAIIIALYTMSTWARTVIENVWSLIGRSAPPDFLAKLTYLIWNHHEEIQHIDTVRAYTFGSHYFVEVDIVLPEDMLLNKAHNIGEKLQEKLEQLPEVERAFVHIDFEFTHRPEHKTMV >KJB14034 pep chromosome:Graimondii2_0_v6:2:14776353:14781856:-1 gene:B456_002G108200 transcript:KJB14034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNESGIHNVNDDYNTELLPQRDERSSSSWRLNLDEFRLPQQSLSSQDDPFSRLLCTPKKQRKVSEYYKKQERLLAGFNEMETMNEMGRLPDSLTEDEMKQLARSERMAVHASNAANLVLFGAKVFASIESKSLAVIASTLDSLLDLLSGFILWFTAHAMRTPNQYHYPIGKKRMQPVGIIVFASVMATLGLQILLESVRELIAKSHPEINHMQEKWMIGIMVFVTVVKFVLMVYCRQFKNEIVRAYAQDHFFDVVTNSVGLATAVLAIHFRWWIDPTGAIIIALYTMSTWARTVIENVWSLIGRSAPPDFLAKLTYLIWNHHEEIQHIDTVRAYTFGSHYFVEVDIVLPEDMLLNKAHNIGEKLQEKLEQLPEVERAFVHIDFEFTHRPEHKTMV >KJB13466 pep chromosome:Graimondii2_0_v6:2:9066932:9074583:1 gene:B456_002G076600 transcript:KJB13466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEHEFYPSRATPMQYFTDEDEGEEGGGEIEEESTDDEVCKEEEKENSSDWRSLYLMCGGRRGGGRRRKSWSLGQVFFDPKAKWVQEWNRVFLLVCATGLFVDPLFFYALSISDTCMCLFVDGWFAITVTALRCMTDALHVWNMCLQLKMIKRSSSSYGLGNDKRSGSESEGEGGDGGEGSSNRPRAANGRHVAFQCLKAKKGLFFDLLVILPLPQIVLWVAIPSLLEKGSVTLVMTVFLIIFLFQYLPKIYHSVCLLRRMQNLSGYIFGTVWWGIALNLIAYFVASHAAGACWYLLGIQRSAKCLKEQCRRIENCDLRLLACKDPIYYGTRSMVRDRARLVWAENRQARSACIDSPDSYDYGAYKWTVQLVTNDSRLEKILFPIFWGLMTLSTFGNLESTTEWLEVVFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNIEWWMRKRRLPSGFKQRVRNYERQRWAAMRGVDECQMIRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFTKGETITREGDPVQRMLFVVRGHLQSSQVLRDGVKSCCMLGPGNFSGDELLSWCLRRPFIERLPPSTSTLVTLETTEAFGLDAEDVKYVTQHFRYTFVNERVKRSARYYSPGWRTWAAVAIQLAWRRYKHRLTLTSLSFIRPRRPLSRSNSLGEDRLRLYTAMLTSPKPNQDDFDF >KJB15972 pep chromosome:Graimondii2_0_v6:2:55242566:55245692:1 gene:B456_002G206500 transcript:KJB15972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQTLDPKFSEYGMLKPENNSPICDEQPPVGVKKTPLRDLQNENRIVPNSTGSSPFPKDRGPGIDPIKVSGTKRPSPECPVSPSQCQSPSSCAANGHLVYVRRKCEAELGKSSVFDCTSTSNCQQMRQVRQPEESNQLKSQIKELRVPCFPTLAPLPMASLTSSSAKPSVPLPPGKSAMKLTPSESSQHPVVTPAPFLDSLKGIRKLHWEERYYQLQMLLKKLDQSDQEDYTQMLRCLSAVELSRHAIKLEKRSIQLSLEEAKELQRVNILNVMGRTMKMVKAPSTQPDQSYK >KJB15973 pep chromosome:Graimondii2_0_v6:2:55242824:55245653:1 gene:B456_002G206500 transcript:KJB15973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQTLDPKFSEYGMLKPENNSPICDEQPPVGVKKTPLRDLQNENRIVPNSTGSSPFPKDRGPGIDPIKVSGTKRPSPECPVSPSQCQSPSSCAANGHLVYVRRKCEAELGKSSVFDCTSTSNCQQMRQVRQPEESNQLKSQIKELRVPCFPTLAPLPMASLTSSSAKPSVPLPPGKSAMKLTPSESSQHPVVTPAPFLDSLKGIRKLHWEERYYQLQMLLKKLDQSDQEDYTQMLRCLSAVELSRHAIKLEKRSIQLSLEEAKELQRVNILNVMGRTMKMVKAPSTQPDQSYK >KJB14006 pep chromosome:Graimondii2_0_v6:2:14004508:14005911:1 gene:B456_002G106000 transcript:KJB14006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLIRRLSRVADASSQYSLLRSDTASTRHRITRRAESFRIAVASLKKPARRSVPEGHVPVYVGEEMERFLVNAELLNHPVFVSLLNKSAQEYGYDQKGVLHIPCHVLVFERVMEALRLGADLRGLQDLLRSFSDDCFLDL >KJB15916 pep chromosome:Graimondii2_0_v6:2:54524882:54526482:-1 gene:B456_002G203600 transcript:KJB15916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLQALSVFLLFLSYVVVGSAEQCGRQAGGALCPGGLCCSQFGWCGSTADYCTVPGCQSQCSGSGPAPGPGGLTNLISRETFNRMLLHRNDGACPARGFYTYDAFIAAARSFPAFATTGDQATRKREIAAFLAQTSHETTGGAGWAAPDGPYAWGYCYNRELNPPSSYCASDPNYPCSPGKQYFGRGPMQLSWNYNYGPCGRAIGVDLLNNPDLLSSDPTISFKSAFWFWMTPQSPKPSCHNVIIGAWSPSSSDRAAGRATGYGVITNIINGGLECGKGWNAQVEDRIGFYKRYCDILGVSYGNNLDCYNQRPFGNGVSVDSM >KJB15332 pep chromosome:Graimondii2_0_v6:2:43442364:43454457:-1 gene:B456_002G171700 transcript:KJB15332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMESLIGLVNKIQRACTVLGDHGGQGMSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTQSGAEYAEFLHAPKRRFTDFAAVRKEISDETDRITGKTKQISNIPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQSDSIVEDIENMVRSYVEKPNCIILAITPANQDIATSDAIKLAREVDPSGERTFGVLTKLDLMDKGTNATEVLEGRAYRLQHPWVGIVNRSQADINKNVDMIVARRKEREYFETSPDYGHLASKMGSEYLAKLLSQHLELVIRQRIPSIISMINKTIDELNAELDRIGRPVAADGGAQLYMILELCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDRHLSLKNVQKVVTEADGYQPHLIAPEQGYRRLIDGSITYFKGPAEASVDAVHFVLKELVRKSIAETEELKRFPSLQNDIATAANEALERFREESRKTVVRLVEMESSYLTVDFFRKLHAAPEKKPEKNGNPPGPNNDHFHDTSLARIGSNVSAYIAMVSDTLKNTIPKAVVYCQVREAKRSLLTHFYAQVGRKEKERLSAMLDEDPQLMEKRTQIAKRLELYKAARDEIDAVAWK >KJB15330 pep chromosome:Graimondii2_0_v6:2:43443191:43454246:-1 gene:B456_002G171700 transcript:KJB15330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMESLIGLVNKIQRACTVLGDHGGQGMSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTQSGAEYAEFLHAPKRRFTDFAAVRKEISDETDRITGKTKQISNIPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQSDSIVEDIENMVRSYVEKPNCIILAITPANQDIATSDAIKLAREVDPSGERTFGVLTKLDLMDKGTNATEVLEGRAYRLQHPWVGIVNRSQADINKNVDMIVARRKEREYFETSPDYGHLASKMGSEYLAKLLSQHLELVIRQRIPSIISMINKTIDELNAELDRIGRPVAADGGAQLYMILELCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDRHLSLKNVQKVVTEADGYQPHLIAPEQGYRRLIDGSITYFKGPAEASVDAVHFVLKELVRKSIAETEELKRFPSLQNDIATAANEALERFREESRKTVVRLVEMESSYLTVDFFRKLHAAPEKKPEKNGNPPGPNNDHFHDTSLARIGMIKC >KJB15333 pep chromosome:Graimondii2_0_v6:2:43445351:43454444:-1 gene:B456_002G171700 transcript:KJB15333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMESLIGLVNKIQRACTVLGDHGGQGMSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTQSGAEYAEFLHAPKRRFTDFAAVRKEISDETDRITGKTKQISNIPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQSDSIVEDIENMVRSYVEKPNCIILAITPANQDIATSDAIKLAREVDPSGERTFGVLTKLDLMDKGTNATEVLEGRAYRLQHPWVGIVNRSQADINKNVDMIVARRKEREYFETSPDYGHLASKMGSEYLAKLLSQHLELVIRQRIPSIISMINKTIDELNAELDRIGRPVAADGGAQLYMILELCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDRHLSLKNVQKVVTEADGYQPHLIAPEQGYRRLIDGSITYFKGPAEASVDAVMFLLVLLLV >KJB15331 pep chromosome:Graimondii2_0_v6:2:43442364:43454444:-1 gene:B456_002G171700 transcript:KJB15331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSFCCCCWWPGIVTRRPLVLQLHKTQSGAEYAEFLHAPKRRFTDFAAVRKEISDETDRITGKTKQISNIPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQSDSIVEDIENMPNCIILAITPANQDIATSDAIKLAREVDPSGERTFGVLTKLDLMDKGTNATEVLEGRAYRLQHPWVGIVNRSQADINKNVDMIVARRKEREYFETSPDYGHLASKMGSEYLAKLLSQHLELVIRQRIPSIISMINKTIDELNAELDRIGRPVAADGGAQLYMILELCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDRHLSLKNVQKVVTEADGYQPHLIAPEQGYRRLIDGSITYFKGPAEASVDAVHFVLKELVRKSIAETEELKRFPSLQNDIATAANEALERFREESRKTVVRLVEMESSYLTVDFFRKLHAAPEKKPEKNGNPPGPNNDHFHDTSLARIGSNVSAYIAMVSDTLKNTIPKAVVYCQVREAKRSLLTHFYAQVGRKEKERLSAMLDEDPQLMEKRTQIAKRLELYKAARDEIDAVAWK >KJB14339 pep chromosome:Graimondii2_0_v6:2:17193992:17197591:-1 gene:B456_002G120200 transcript:KJB14339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGFFEEPFGPLKYPKARRDESVVDDYHGFKVADPYRWLEDCDAEEVKEFVKKQVALTESVLEKCETRGKLHNKITNLFDHPRYTVPFKRGNKYFYFHNTGLQAQNVLYMQVGLEGKPEVLLDPNTLSEDGTVSFKALSISEDAKYLAYGLSSSGSDWVTIKVMRIEDKTVEPDSLSWVKFSDISWTHDSKGFFYSRYPAPKDGENFYSGIQTNVNVNHELYYHFLGTNQSEDILCWRDPENPKHMFSGKVTDDGKYLVLYIDEGCGPVNKLYLCDMSTLPGGLQGFRERNGPLPFVKLIDKFDAQYINVANDDSWFTFMTNKDAPKYKLVRVDLKEPGKWIDVIPENEKDVLESACVVNGNQIITSYLSDVKYVIQVRDLETGSMLHNLPIDIGTVYGISARREDSIVFIGFTSFLTPGIIYQCNLGTKFPEMKIFREISVLGFDRSEFKVNQVFVPSNDGTKIPMFIVGRKDLNLDGSHPCLLYGYGGFNVSLTPSFSVSRIVLARHLGAFFCIANIHGGGEYGEEWHKAGALSKKQTCFDDFISAAEYLISSGYTMPEKLCIEGGSNGGLLVGACINQRPELFGCALAHVGVMDMLRFHKFTIGHAWTCDFGCSDKEEDFNWLIKYSPLHNVRRPWEKLPGRMLQYPSTMLLTADHDDRVVPLHSLKMLATMQHVLCTSLENSPQTNPIVGRIECKAGHGCGRPTHKLIDEAADRYSFMAKVLGASWIE >KJB14338 pep chromosome:Graimondii2_0_v6:2:17194876:17197505:-1 gene:B456_002G120200 transcript:KJB14338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGFFEEPFGPLKYPKARRDESVVDDYHGFKVADPYRWLEDCDAEEVKEFVKKQVALTESVLEKCETRGKLHNKITNLFDHPRYTVPFKRGNKYFYFHNTGLQAQNVLYMQVGLEGKPEVLLDPNTLSEDGTVSFKALSISEDAKYLAYGLSSSGSDWVTIKVMRIEDKTVEPDSLSWVKFSDISWTHDSKGFFYSRYPAPKDGENFYSGIQTNVNVNHELYYHFLGTNQSEDILCWRDPENPKHMFSGKVTDDGKYLVLYIDEGCGPVNKLYLCDMSTLPGGLQGFRERNGPLPFVKLIDKFDAQYINVANDDSWFTFMTNKDAPKYKLVRVDLKEPGKWIDVIPENEKDVLESACVVNGNQIITSYLSDVKYVIQVRDLETGSMLHNLPIDIGTVYGISARREDSIVFIGFTSFLTPGIIYQCNLGTKFPEMKIFREISVLGFDRSEFKVNQVFVPSNDGTKIPMFIVGRKDLNLDGSHPCLLYGYGGFNVSLTPSFSVSRIVLARHLGAFFCIANIHGGGEYGEEWHKAGALSKKQTCFDDFISAAEYLISSGYTMPEKLCIEGGSNGGLLVGACINQRPELFGCALAHVGVMDMLRFHKFTIGHAWTCDFGCSDKEEDFNWLIK >KJB13588 pep chromosome:Graimondii2_0_v6:2:10530616:10532770:-1 gene:B456_002G082800 transcript:KJB13588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEPPFFEKYKAILQSSANEKEKPSTVEGFEELELPLIDLSHLNLGPLERQECIEKMGQAAIEWGFFQIVNHAVPDELLNRLKQEQIKVFQQPFDKKSENNFLNLSVQSYRWGNPLATSLRNLSWSEALHISLKDISKMDEYNKLRSTIEEYAEKANFLAQRLAEYLAQNLGIKANYFQENCSPSSSSLRMNRYPPCPYPSMMFGIIPHTDTDFLTIVSQDQVGGLQLKRNGRWVSVKPNPKALVVNIGDFYQALSNGVYKSITHRVIANQETERYSAAYFYCPTYETVIESCSKPSLYKKFSFKEYREQIQKDVKATGDKVGLSRFLL >KJB12959 pep chromosome:Graimondii2_0_v6:2:4006829:4007515:-1 gene:B456_002G047400 transcript:KJB12959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPKAETPIDEKEIRISSQGSMRNYISHALTLLQEKGSNQIVFKAMGKAINKAVAIVELIKKRIVGLHQITSIGSTDITDMWEPSEEGLVPLETTRHVSIIIITLSKIELNMSSAGYQPPLPANQVKAGSHNDRDGRRMPRSRGNAEYEDGGRNHNRGYDRGRGRGSRGRGRGRGGYNGQQADRMEDGGYNYEAPPQGGRGKGYRGRGRGFTSNRPIQAAA >KJB12859 pep chromosome:Graimondii2_0_v6:2:3317155:3325102:1 gene:B456_002G040300 transcript:KJB12859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLELKSFLKQEVVDGSDHVVLPSWVDDPRSDCCGWERVSCNSTTRRIVKLSLSLIGGFLYGYLITLGRLELLDLRRNYFNGSIPQNLGKLSSLKALYLAGNLFKGSLPVPGLCELRRLQVLDISHNRLEGTLPSCLSNLTSLKVLDVHDNLLSGSITPNLIPSQKFLQFIDISNNVFEGSFSFSSMFNLSKLEHRLIWVDISHNKLSGAIPSWLLQNNTDLKFLNLRNNSFTGKLDPFPQHPLSSMVHMDASYNHIDGHLPKDLGIVLPNLQYLNLSYNFFKGELPSSVGAMRKLLLLDLSFNNLSGKLPNELVKICTDLGVLKLNNNNFRGDFFSTDFNLSDLRALELGNNEFTGGLMTKEEFYAEMRIFDVSNNKMTGKIPNGIDAKVLLLQKNSFEGQIPCEGFFNAQVVDISHNFLSGQIPSCLISKAFSNVKLLNLRDNRLSGNIPAEISFFPSLRILLLGNNRFNGLIPRQLCQLRDISIMDLSNFFSWSIPSCLSNVSFGNYFSYSWGNLMFSYWNIRHEDGYSDLYPLVRLYDFTSFWDNVKLEDQYVFNIDFVTKNNLLSFKGNILDYMSGLDLSCNNLTGAIPRSLGKLFSIRALNLSHNHLTGHIPVSLSNLSQIESLDFSYNNLSGRIPSELVDLNFFAVFSVAHNNLSGRIPDKGQFATFGINRPILSCLSLSMDPSNVWLLNLQNNRLSGNIPIQIDLLSAINFLLLGNNHFSGLIPRQLCQLRDIRIIDFCNNSFSELIYSFSWSEA >KJB13747 pep chromosome:Graimondii2_0_v6:2:11724509:11727062:-1 gene:B456_002G092400 transcript:KJB13747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRRCFSLSQNKFLQHWCVILFISSLAILPLTQSFNYGKALSKSLLYFESQRSGRLPYNQRVTWRHHSGLTDGLEQGVDLVGGYYDAGDNVKFGLPMAFTITMLSWGVLEYGDEISSAGEYTHALEAIKWGTDYFIKAHTHPNVLWVEVGDGDTDHYCWQRPEDMTTSRQAYKVDEKNPGSDVAGETAAAMAAASIVFRKTNPHYSHLLLQHAEQLFEFGDKFRGKYDESVRVVKGYYPSVSGFKDELLWAALWLYKATDKEDYLSYALEKANEFGGITWAITEFSWDVKFPALQIIASMLLTEENHREMGFKLVFEQYRSKAEYYLCACLNKNNGSNVNRTPAGLLYIRQWNNMQYVSNAAFLLTVYSDYLRASNQRLRCDRGKVGPEEVLLFARSQADYILGANPMGMSYLVGYGSRYPQRMHHRGASIESYRENKGFIGCTQGYDYWYPRNDPNPNVVVGALVGGPDHMDRFSDDRKNFMQTEACTYNTASLVGVLAKLHGVEEEDGYLNTPLLASS >KJB13746 pep chromosome:Graimondii2_0_v6:2:11724509:11726528:-1 gene:B456_002G092400 transcript:KJB13746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCISNLYEQVDLVGGYYDAGDNVKFGLPMAFTITMLSWGVLEYGDEISSAGEYTHALEAIKWGTDYFIKAHTHPNVLWVEVGDGDTDHYCWQRPEDMTTSRQAYKVDEKNPGSDVAGETAAAMAAASIVFRKTNPHYSHLLLQHAEQLFEFGDKFRGKYDESVRVVKGYYPSVSGFKDELLWAALWLYKATDKEDYLSYALEKANEFGGITWAITEFSWDVKFPALQIIASMLLTEENHREMGFKLVFEQYRSKAEYYLCACLNKNNGSNVNRTPAGLLYIRQWNNMQYVSNAAFLLTVYSDYLRASNQRLRCDRGKVGPEEVLLFARSQADYILGANPMGMSYLVGYGSRYPQRMHHRGASIESYRENKGFIGCTQGYDYWYPRNDPNPNVVVGALVGGPDHMDRFSDDRKNFMQTEACTYNTASLVGVLAKLHGVEEEDGYLNTPLLASS >KJB16941 pep chromosome:Graimondii2_0_v6:2:61792211:61794005:-1 gene:B456_002G255700 transcript:KJB16941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSFSSHPWLLIFITLSTVHAQLPGSWELLVSNAGVASMHTAVTRFNTVVLLDRTNIGPSRKMLPKGHCRYDRHDDVLKKDCYAHSVVFDLQTNEIRPLMILTDTWCSSGQFLPDGTLLQTGGDLDGFKKIRKFEPCEPDRFCDWVELKDVELINGRWYATNQILPDGTVIIVGGRGTNTVEYYPPTKTQNGAVELKFLAEVEDNQMDNLYPYVHLLPNSHLFIFANNKAVMYDHEDNKVIHEYPELLGGPRNYPSAGSSVMLALDGDFKTAVILICGGAEYGAFIERSTDTPAHGSCGRIIATDQNPVWEMEDMPFGRVMGDMVMLPTGDVLIINGAQSGTQGFEMGSNPCLNPVLYRPDQPIGLRFMTLNPGIPETVRYGEAFDVFVTVPLPVVGVVEVNFGNAPFATHSFSQGQRLVKLTVTPSVPVDGRYRIKCTAPPNGAVAPPGYYMAFAVNQGVPSVARWVHLVP >KJB15757 pep chromosome:Graimondii2_0_v6:2:52442221:52445006:1 gene:B456_002G194000 transcript:KJB15757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKSSPLTIPLFLTWMILFLQPMVSSGNHAFSIKEATVQDLQLAFEKNQLTSRQLVEFYMGEIHRLNVLLRAVIEVNPDALYQADEADRERKAKAPGSLSGLHGLPILLKDNIATKDKMNTTAGSLALLGSIVPRDAGVVSKLRKAGAIILGKVSLSEWAHFRDGSVPSGWCARSGQGKNPYNISKDPCGSSSGSAIAAAANLAAVTLGTETDGSILCPSNNNAIVGIKPTVGLTSRAGVVPITPRQDTVGPMCRTVADAVYVLDAIAGLDYNDKATIKASKYIPRGGYKQFLKIDGLKGKRLGLFKNEFFNVGEGSVYAKVFERHFSTLRRRGAVLVENVNASKYLEAYSTSIDYETLAMTAEFKLAINSYLKQLVVSKVRSLKDLIAFNNKFSKLEKTKEYGQQFFLDTEATNGIGQKEKEALLNLAKMSRDGFEKLMEENKLDALLSPFSVASSILGRGQYPGIIVPAGYDREGLPFGLCFGGLKGSEPTLIEIAYAFEQATKIRRPPSFKH >KJB15903 pep chromosome:Graimondii2_0_v6:2:54414524:54417360:-1 gene:B456_002G202600 transcript:KJB15903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKEIGSFPATPRTGSAAPTPPISAPPSQLHSPSLTRSPLLYTDDHIQPASKTPKSSTPRIRTPRFITPLGSPIRRALKLTRLDPHDAWLPITESRNGNAYYAAFHTLCSGIGIQALVLPVAFTFLGWSWGIITLTVTFIWQLYTLYLLVHLHESPETGMRYSRYLQLCNATFGEKLAKWFALFPILYLSAGTCITLIIIGAQSCRLFFNTVCGGTCSAQPPTNAEWYLIFTSAAVLLSQLPNLNSIAGVSLVGAITAIAYCTLIWVISVVEGRMPGVSYNPVKGRTEVIRIFDILNALGIIAFAFRGHNLILEIQATMPSDEKHPSRVPMWKGVKIAYTLVAMCLFPLAIAGYWAYGQMIPVDGGMLTALFAFHGQDTSQFLLGLTSLCVIISSLSSFQIYGMPAFDDMESLYVKKKKEPSPWWLRLIFRALFGYLCFFAAVAIPFLASFAGLIGGITLPVTLAYPCFMWVKVKKPKVYGPNWWLNWSLGLFGMALSGLLIAASLFVIIDNGVEFSFFNPA >KJB16331 pep chromosome:Graimondii2_0_v6:2:57905940:57909034:-1 gene:B456_002G223600 transcript:KJB16331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGNACKEVIESHSPVADYDIASAKSESNNATSTTTTATMKTSVNLVGKHGIHSNNGVYELLECPVCTNLMYPPIHQCPNGHTLCSNCKIRVHNCCPTCRYDLGNIRCLALEKVAESLELPCKYQNLGCQDIFPYYSKLKHEQHCRFRPYNCPYAGSDCSVTGDIPTLVSHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDNEAKKFSYSLEVGANGRKLIWQGIPRSIRDSHRKVRDSQDGLVIQRNLALYFSGGDRQELKLRVTGRIWKEE >KJB12415 pep chromosome:Graimondii2_0_v6:2:1077311:1079422:-1 gene:B456_002G016500 transcript:KJB12415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDPSENSNWLLDYGIPDFPPPAAAFAWPCQSTLNAPSNVSATVDCSFADSDCLKDVVSRKRLKSESCGGSGSKAWREKLRRDRLNDRFLELGAVLEPERPMKADKVAILSDAVRMVRQLRSEAQRLKDSNEELQAKIKELKEEKNELRDEKQRLKADKEQLEQQVKAMSAQPGFLTQPPSISAALAAQRQAAGNKLMPVIGFPSLAMWQFMPPAAVDTSQDHVLRPPVA >KJB13934 pep chromosome:Graimondii2_0_v6:2:13062533:13067976:1 gene:B456_002G102300 transcript:KJB13934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKGSGGGVGEGSAVLIPDNAKNTIQSIREITGKQHSDEEIYAVLNECFMDPNETAQKLLYLDTFHEVKRKREKKKEIAGTQGPAGRGRRGNYGKDVVGARNASAPKENGVIHTSDRGSASFPASQKVKNNAAPCMTKTPTAIPNGTKTLPNGISNQGHGLPLSVAGVNSETKDGLPLVGPTTISVQLTVTEAPANISAQSFSSLTRDQEKFSSISGASPTSATSTTLSGVYSSASDPLLVPTESWHVGDVGTTEQESGCQLESAETDHIQGNKNAPFDINLSNTEKTASEIRSSMHEKNPPRKSKVAEQTKQSKPIEPALLQVVTSEIAAVTDKANSQLLADSNFPNGQHVTFPTHFRVSEALTDGLTFGSFDASFGQVTRHGIGTSAEITPAYPIETSQGSDETAEEPSSRSKGMLSAMEGDSADQPQPPPDFEKAPKSDDIISSDANLKVDQSSQEMRLHSEGNQSVIPNDPRYGFGLMPASASHFVQFDGLEAEAHDVSRPANFVNGNSSDPSGNSAPPVQSTVTAAPPAVHLYRQPFPPNYFPYLHYFPPFYMHYPPHQFLNSSGVPQQPSTGNMYMPPGVKFPLSQLKPGSNAGNPALLTIPSGYGQLTSPPVGFNLSVPSVTSGSSASKEDLAASQLKENHIYTTGSLNEGSALWMPALGQDLTNLQVNSLYNLSLHGQQVPFSPAAQAGHGAFAGLYQPPQTLAAPSNVNNLLQQSQPIAAAVETASALTGAYQQPQLAQMNRNSNY >KJB13935 pep chromosome:Graimondii2_0_v6:2:13062695:13067949:1 gene:B456_002G102300 transcript:KJB13935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKGSGGGVGEGSAVLIPDNAKNTIQSIREITGKQHSDEEIYAVLNECFMDPNETAQKLLYLDTFHEVKRKREKKKEIAGTQGPAGRGRRGNYGKGARNASAPKENGVIHTSDRGSASFPASQKVKNNAAPCMTKTPTAIPNGTKTLPNGISNQGHGLPLSVAGVNSETKDGLPLVGPTTISVQLTVTEAPANISAQSFSSLTRDQEKFSSISGASPTSATSTTLSGVYSSASDPLLVPTESWHVGDVGTTEQESGCQLESAETDHIQGNKNAPFDINLSNTEKTASEIRSSMHEKNPPRKSKVAEQTKQSKPIEPALLQVVTSEIAAVTDKANSQLLADSNFPNGQHVTFPTHFRVSEALTDGLTFGSFDASFGQVTRHGIGTSAEITPAYPIETSQGSDETAEEPSSRSKGMLSAMEGDSADQPQPPPDFEKAPKSDDIISSDANLKVDQSSQEMRLHSEGNQSVIPNDPRYGFGLMPASASHFVQFDGLEAEAHDVSRPANFVNGNSSDPSGNSAPPVQSTVTAAPPAVHLYRQPFPPNYFPYLHYFPPFYMHYPPHQFLNSSGVPQQPSTGNMYMPPGVKFPLSQLKPGSNAGNPALLTIPSGYGQLTSPPVGFNLSVPSVTSGSSASKEDLAASQLKENHIYTTGSLNEGSALWMPALGQDLTNLQVNSLYNLSLHGQQVPFSPAAQAGHGAFAGLYQPPQTLAAPSNVNNLLQQSQPIAAAVETASALTGAYQQPQLAQMNRNSNY >KJB15464 pep chromosome:Graimondii2_0_v6:2:47303953:47308416:1 gene:B456_002G179900 transcript:KJB15464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGILSFSSIVLPDWCSTSSCRRGVRMAAAHTTHAGLSLEAMAIKPPSHPTYDLNGIIKLALAEDAGNRGDVTCMATIPVDMEVEACFLAKEDGIIAGIALAEMVFQEVDPSLKVEWSRKDGDYVCKGLQFGKVYGRAHSIVVAERIALNFMQRMSGIATLTKAMADAAYPAYILETRKTAPGLRLVDKWAVLIGGGRNHRLGLFDMVLIKDNHISIAGGISNALRSVDQYLERENLQMEVEVETRTLVEVKEVLQYASQMKTSLTRIMLDNMVIPLPNGDVDMSMLKEAVELINGKFETEASGNVTLKTVHKIGQTGVTYVSSGALTHSVTALDISLKIDTELALEVGRRTKRA >KJB15462 pep chromosome:Graimondii2_0_v6:2:47303932:47308416:1 gene:B456_002G179900 transcript:KJB15462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGILSFSSIVLPDWCSTSSCRRGVRMAAAHTTHAGLSLEAMAIKPPSHPTYDLNGIIKLALAEDAGNRGDVTCMATIPVDMEVEACFLAKEDGIIAGIALAEMVFQEVDPSLKVEWSRKDGDYVCKGLQFGKVYGRAHSIVVAERIALNFMQRMSGIATLTKAMADAAYPAYILETRKTAPGLRLVDKWAVLIGGGRNHRLGLFDMVLIKDNHISIAGGISNALRSVDQYLERENLQMEVEVETRTLVEVKEVLQYASQMKTSLTRIMLDNMVIPLPNGDVDMSMLKEAVELINGKFETEASGNVTLKTVHKIGQTGVTYVSSGALTHSVTALDISLKIDTELALEVGRRTKRA >KJB15466 pep chromosome:Graimondii2_0_v6:2:47303933:47308416:1 gene:B456_002G179900 transcript:KJB15466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGILSFSSIVLPDWCSTSSCRRGVRMAAAHTTHAGLSLEAMAIKPPSHPTYDLNGIIKLALAEDAGNRGDVTCMATIPVDMEVEACFLAKEDGIIAGIALAEMVFQEVDPSLKVEWSRKDGDYVCKGLQFGKVYGRAHSIVVAERIALNFMQRMSGIATLTKAMADAAYPAYILETRKTAPGLRLVDKWAVLIGGGRNHRLGLFDMVLIKDNHISIAGGISNALRSVDQYLERENLQMEVEVETRTLVEVKEVLQYASQMKTSLTRIMLDNMVIPLPNGDVDMSMLKEAVELINGKFETEASGNVTLKTVHKIGQTGVTYVSSGALTHSVTALDISLKIDTELALEVGRRTKRA >KJB15463 pep chromosome:Graimondii2_0_v6:2:47304393:47306095:1 gene:B456_002G179900 transcript:KJB15463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGILSFSSIVLPDWCSTSSCRRGVRMAAAHTTHAGLSLEAMAIKPPSHPTYDLNGIIKLALAEDAGNRGDVTCMATIPVDMEVEACFLAKEDGIIAGIALAEMVFQEVDPSLKVEWSRKDGDYVCKGLQFGKVYGRAHSIVVAERIALNFMQRMSGIATLTKAMADAAYPAYILETRKTAPGLRLVDKWAVLIGGGRNHRLGLFDMVLIKDNHISIAGGISNALRSVDQYLERENLQMEVEVISAYVKNIVN >KJB15461 pep chromosome:Graimondii2_0_v6:2:47303933:47308416:1 gene:B456_002G179900 transcript:KJB15461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGILSFSSIVLPDWCSTSSCRRGVRMAAAHTTHAGLSLEAMAIKPPSHPTYDLNGIIKLALAEDAGNRGDVTCMATIPVDMEVEACFLAKEDGIIAGIALAEMVFQEVDPSLKVEWSRKDGDYVCKGLQFGKVYGRAHSIVVAERIALNFMQRMSGIATLTKAMADAAYPAYILETRKTAPGLRLVDKWAVLIGGGRNHRLGLFDMVLIKDNHISIAGGISNALRSVDQYLERENLQMEVEVETRTLVEVKEVLQYASQMKTSLTRIMLDNMVIPLPNGDVDMSMLKEAVELINGKFETEASGNVTLKTVHKIGQTGVTYVSSGALTHSVTALDISLKIDTELALEVGRRTKRA >KJB15465 pep chromosome:Graimondii2_0_v6:2:47304048:47308416:1 gene:B456_002G179900 transcript:KJB15465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGILSFSSIVLPDWCSTSSCRRGVRMAAAHTTHAGLSLEAMAIKPPSHPTYDLNGIIKLALAEDAGNRGDVTCMATIPVDMEVEACFLAKEDGIIAGIALAEMVFQEVDPSLKVEWSRKDGDYVCKGLQFGKVYGRAHSIVVAERIALNFMQRMSGIATLTKAMADAAYPAYILETRKTAPGLRLVDKWAVLIGGGRNHRLGLFDMVLIKDNHISIAGGISNALRSVDQYLERENLQMEVEVETRTLVEVKEVLQYASQMKTSLTRIMLDNMVIPLPNGDVDMSMLKEAVELINGKFETEASGNVTLKTVHKIGQTGVTYVSSGALTHSVTALDISLKIDTELALEVGRRTKRA >KJB12050 pep chromosome:Graimondii2_0_v6:2:44145857:44148755:1 gene:B456_002G173200 transcript:KJB12050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSMPPEALLEGVGDAGAAKPSGSEQSVLEKHVAFFDRNHDGIVYPWETFEGFRAIGAGYLLSMTGAFLIHFALSSKTRPGKCPSPFFPIEVKNIHLAKHGSDSGVYDSDGRFISLKFEEIFRKFARTHGNALTSGELMAMLKANREPQDYKGWIGSWTEWMTLYNLCKDNNGLLRKEIVKGVYDGSLFERMERDRKPHRK >KJB13544 pep chromosome:Graimondii2_0_v6:2:10237549:10240157:1 gene:B456_002G081300 transcript:KJB13544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDLSAPEEKTEVAASPTCCVTGATGYIGSWLVKLLLERGYKVHATVRNPEKALHLLQLWNGGDRLRLFKADLLEEGSFDEAVKGCNGVFHVAASMEFDANTNENIESYVRSNIINPAIKGTENLLKSCLKSKTVKRVVFTSSISTITAKDSNGNWRSVVDESCQTTIDPVLNAKASGWVYVLSKLLTEEAAFKFANENAIDLVSVITTTVAGPFLTTTIPSSIQVLLSPITGDPKYLSILSAVKARMGSIALVHIEDICSAHIFLMEHDRAEGKYICCACSSPMYELINHLAQENPSLDIQRLEAGEKSTKPPEISSRKLKDLGFTYKHGIEDIIHQTITACVDYGFLKI >KJB13543 pep chromosome:Graimondii2_0_v6:2:10237647:10240232:1 gene:B456_002G081300 transcript:KJB13543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDLSAPEEKTEVAASPTCCVTGATGYIGSWLVKLLLERGYKVHATVRNPEKALHLLQLWNGGDRLRLFKADLLEEGSFDEAVKGCNGVFHVAASMEFDANTNENIESYVRSNIINPAIKGTENLLKSCLKSKTVKRVVFTSSISTITAKDSNGNWRSVVDESCQTTIDPVLNAKASGWVYVLSKLLTEEAAFKFANENAIDLVSVITTTVAGPFLTTTIPSSIQVLLSPITGDPKYLSILSAVKARMGSIALVHIEDICSAHIFLMEHDRAEGKYICCACSSPMYELINHLAQENPSLDIQRLEAGEKSTKPPEISSRKLKDLGFTYKHGIEDIIHQTITACVDYGFLKI >KJB13545 pep chromosome:Graimondii2_0_v6:2:10237741:10239855:1 gene:B456_002G081300 transcript:KJB13545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDLSAPEEKTEVAASPTCCVTGATGYIGSWLVKLLLERGYKVHATVRNPEKALHLLQLWNGGDRLRLFKADLLEEGSFDEAVKGCNGVFHVAASMEFDANTNENIESYVRSNIINPAIKGTENLLKSCLKSKTVKRVVFTSSISTITAKDSNGNWRSVVDESCQTTIDPVLNAKVYVLSKLLTEEAAFKFANENAIDLVSVITTTVAGPFLTTTIPSSIQVLLSPITGDPKYLSILSAVKARMGSIALVHIEDICSAHIFLMEHDRAEGKYICCACSSPMYELINHLAQENPSLDIQRLEAGEKSTKPPEISSRKLKDLGFTYKHGIEDIIHQTITACVDYGFLKI >KJB13232 pep chromosome:Graimondii2_0_v6:2:7476514:7476891:-1 gene:B456_002G064000 transcript:KJB13232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKVLFCLSLHVLFLVSSSGTRLFHPFSIADPALKMESKSQYKANTHDEEITYRHFESKQFSGIDQLALAVADKQHPEAAIIESKRALIEEGREAIKASIERNGGIPYETKRRSPGGPDPHHH >KJB16859 pep chromosome:Graimondii2_0_v6:2:61478039:61478950:-1 gene:B456_002G251300 transcript:KJB16859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHTNSKASFEFEAYMAMKAKKINKMLDEAIPLRHPLKLNEAMRYSLLAGGKRVRPILCIASCELEAGDESLAMPIACAIEMIRTASLIHDDLPCMDNDDLRRGKPRNHKVFGESTAVLAGDAFLSFAFEHIACNTNNVSPDRLVRIFAELSSAIGSKGIVAGQFVDIESEGKVVSLKELEYIHVHKTAKLLEACVVCGVIIGGGNDNDIEKVRIYARFIGLLFQVVDDILDVTKSSVELGKTAGKGLVSNKAAYPKVMGIDEAKNFAYHLMNQVVQQLACFDTAKAAPLYHLAYCIANRQN >KJB15422 pep chromosome:Graimondii2_0_v6:2:45630873:45631118:-1 gene:B456_002G177400 transcript:KJB15422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSLVDPINHSVSYGLIEGDLKNEFSSFTAKFEATPLGEGCKVGLSVVYVKLNEDVAPSQSLFDEGIQLIKLIGAYLAQA >KJB15478 pep chromosome:Graimondii2_0_v6:2:47886224:47888720:-1 gene:B456_002G182100 transcript:KJB15478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNLDSKVILRWQSLINSDNKKSILIQTHFLKSTRHYCCLSSLRSSPSTPRRPPDGCYSKTHTMLLVETYHQHWRLRALIEKLEKEGSCPMQILGDDGDWTKNDFWAAIKFLRHAFRSNEIL >KJB15067 pep chromosome:Graimondii2_0_v6:2:34584033:34587124:-1 gene:B456_002G158600 transcript:KJB15067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKISAASARAHTRKSKQNTSFKLLSGIFTKTLLVLFVGALAWAYQAIQPPPPKTCGSPDGPPITASRIKLRDGRHLAYKEHGVPLGAAKYKIVKVHGFDSCRLDAVPLSPELVERLGIYFVSFDRPGYGESDPNPKRTVKSMALDIEELADQLRLGSKFYVIGISMGGQVVWSCLKYIPHRLAGATLVAPAVNYWWSGFPTNLSNQAYQQMLPQDQWVLRVSHYAPWLTYWWNTQKWFPSSSVIANSIDIFSSEDRKLLMKILSTRNHAAQVRQQGEYESLHRDLIVGFGAWEFSPLELDNPFPNNEGSVHVWHGDEDRFVPVMLQRYIAEQLPWIRYHELPGAGHLFMVADGMWDNIVKTLLVGEK >KJB15068 pep chromosome:Graimondii2_0_v6:2:34584406:34585770:-1 gene:B456_002G158600 transcript:KJB15068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLILVGLMLFLCPRYLFVKVILAFSYNMLERVSFEFVVVSAFYQELVERLGIYFVSFDRPGYGESDPNPKRTVKSMALDIEELADQLRLGSKFYVIGISMGGQVVWSCLKYIPHRLAGATLVAPAVNYWWSGFPTNLSNQAYQQMLPQDQWVLRVSHYAPWLTYWWNTQKWFPSSSVIANSIDIFSSEDRKLLMKILSTRNHAAQVRQQGEYESLHRDLIVGFGAWEFSPLELDNPFPNNEGSVHVWHGDEDRFVPVMLQRYIAEQLPWIRYHELPGAGHLFMVADGMWDNIVKTLLVGEK >KJB15069 pep chromosome:Graimondii2_0_v6:2:34584079:34586106:-1 gene:B456_002G158600 transcript:KJB15069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSCFIDYVLSFAIYFTWICLNYTQNIAGIFTKTLLVLFVGALAWAYQAIQPPPPKTCGSPDGPPITASRIKLRDGRHLAYKEHGVPLGAAKYKIVKVHGFDSCRLDAVPLSPELVERLGIYFVSFDRPGYGESDPNPKRTVKSMALDIEELADQLRLGSKFYVIGISMGGQVVWSCLKYIPHRLAGATLVAPAVNYWWSGFPTNLSNQAYQQMLPQDQWVLRVSHYAPWLTYWWNTQKWFPSSSVIANSIDIFSSEDRKLLMKILSTRNHAAQVRQQGEYESLHRDLIVGFGAWEFSPLELDNPFPNNEGSVHVWHGDEDRFVPVMLQRYIAEQLPWIRYHELPGAGHLFMVADGMWDNIVKTLLVGEK >KJB13196 pep chromosome:Graimondii2_0_v6:2:7273681:7279140:1 gene:B456_002G061400 transcript:KJB13196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNNNNSKLEKLASIDAQLRALVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLRETVQECYELSAEYEGKSTPKKLEELGNVLTSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSATTESDIEETLKRLVVDLKKSPEEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETVWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSDELRVRADELHRSSRRDAKHYIEFWKKVPPNEPYRVILGDVRDKLYQTRERSRQMLSHGISDIPEEETFTNIEQFLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKHLEIGSYREWSEEQKQEWLLSELSGRRPLFGPDLPKTEEIADVLDTFSVLAELPADNFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELINVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVVATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIQKDIKNLLMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEELWSFGERLRTNFEETKSLLLQIAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVKLRPHISKEIMESSKPADELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >KJB13542 pep chromosome:Graimondii2_0_v6:2:9989413:9989663:-1 gene:B456_002G0803002 transcript:KJB13542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLRFAACVFNLLCALLCCDSVSQICVVHLGMFVPIM >KJB14665 pep chromosome:Graimondii2_0_v6:2:22842656:22844388:-1 gene:B456_002G136600 transcript:KJB14665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQNPHSEAGIHAVKIYGPKVMFDRGPTVAFNVFDWKGERIDPALVQKLTNRNNISLCIGCLQHIWFSVKHEEIKEKDEFQPRIDVTATIGFLTSFEDIYRLWVFVSRFLDANFLEKEKWRYKAINKKND >KJB12917 pep chromosome:Graimondii2_0_v6:2:3738542:3740172:1 gene:B456_002G0449001 transcript:KJB12917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KIGREDPAELPSDVRTLKIFGCHNIRSLSDMPFFQQTTELGFCMIHDCRGIESVLDLSSPSQSCTPFENLDLLWLENLENLHVLVKLAEASVVSTLSSQSIPAIFSHLKSFYIEGCSNMKQLFPFDLVHDFQNLENLIVRGCGQIEEIIGPKEEEENHKGNGTQAPTKFSLPKVKELELTCLPELKSICSSNREMVCNSLRKIKVRDCTKLKRMPLYLPLFQDTHQSAPSAHPFERIRICPKEWWESVEWDYPNAKEVLRPWLNSY >KJB16713 pep chromosome:Graimondii2_0_v6:2:60852082:60854077:-1 gene:B456_002G244300 transcript:KJB16713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGAKIYPGKGIRFVRGDSQVFLFSNSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRAAKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAAKQQKTQGKGNVPKGGAPKGPKLGGGGGKR >KJB16472 pep chromosome:Graimondii2_0_v6:2:59100465:59102613:1 gene:B456_002G231500 transcript:KJB16472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGGGTTASVSSGGGCNGSNEAAAPVAVFDTNDGNSNNSGKDDRSKVDEGDRSFGGNRWPRQETLALLKLRSDMDVTFREASVKGPLWEEVSRKLAELGYHRSAKKCKEKFENVYKYHKRTKDGRSGKADGKTYRFCDQLEAFQNQPSIQWPPPPPVAAAATINQSISAVQMSNSTSSSTSSDLELQGRKKRKRKWKDFFERLMKEVIQKQQVMQKTFLEAIEKHERERIVRDEAWKVQEMSRLNREREILAQERSIAAAKDAAIMAFLQKLSEKQNLGQSQNSPLPPPAVVPAAVAPPPDNGNQIQTHTPSSSRWPKVEIEALIKIRTSLDSKYQDNSPKGPLWEEISNGMKKLGYNRNAKRCKEKWENINKYFKKVKESNKQRPVDSKTCPYFHQLDVLYREKNKHDCSSKSNPLMVRPEKQWPPPLEPHQQHHDTIMEDMMESDQNDDEEEDEGGSYELVASKPVSMGTAE >KJB14326 pep chromosome:Graimondii2_0_v6:2:17009337:17012574:1 gene:B456_002G119500 transcript:KJB14326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISSLRIDPRSGFCSSNSTFYSKRVPFPLPSNHALDITTFISSYPHHGKTAFIDASTGRHLSFSQLWQSVDSVSSCLSELGIRKGNVVIIIAPNSIFLPIVCLSVMSLGAIITTSNPLNTSREFAVQMADSKPVLVFTTAQVVPKLAGSPLPIVLLEEQVATEKTGQVKIVTTISEMLKKETKDKIRVKDRVYQDDAATLLYSSGTTGASKGVISSHRNLMALTQSFSHLSNPEKGEQTHICAVPMFHIYGFGAFAIGKLTQGSKVVILSKFDMKEMLSAVEKYRVTCLPLVPPILLVMVKEADVIRKKYDLSSLQSIVCGGAPLSKDLINRFREKFPSIDIRQGYAMTESTGFGASMETPEECLKYGSVGLLSPNLEAKIVDPTTGTALEVNQKGELWLRGPSIMKGYLNNAEATAATLDSQGWLKTGDLCYLDDDGYVYVVDRLKELIKYKGYQVPPAELEALLLSHPQISDAAVIPFPDEEVGQYPMAYVVRKSGSNISDTPLLDFVAKQVAPYKRIRKVAFVTSIPKNPSGKILRRELIRLAISKI >KJB13572 pep chromosome:Graimondii2_0_v6:2:10361551:10364614:-1 gene:B456_002G082100 transcript:KJB13572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHISPSLRHVTVLPGKGVREFIKVKVGSRKVSYRMLFYSLLFFTFLLRFVFVLSTIDSIDGDHPKCSTIGCLGKRIGPRILGRRIESNVPEVIYRILEEPVSKDEVLGKTDVPQTLQEFMSEMKQSRSDAKAFALRLREMIVLLEQRTRTAKIQEYLYRHVASSSIPKQLHCLALKLANEHSNNAAARLQLPSAELVPALVDNSYFHFVLASDNVLAASVVAASLVKNALRPEKFVLHIITDRKTYSPMQAWFSLHPLDPAIIEVKALHQFDWLSKGKVPVLEAMEKDQRVRSQFRGGSSAIVANNTEKPQVIAAKLQALSPKYNSLMNHIRIHLPEVINELLLLV >KJB13573 pep chromosome:Graimondii2_0_v6:2:10361551:10364614:-1 gene:B456_002G082100 transcript:KJB13573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHISPSLRHVTVLPGKGVREFIKVKVGSRKVSYRMLFYSLLFFTFLLRFVFVLSTIDSIDGDHPKCSTIGCLGKRIGPRILGRRIESNVPEVIYRILEEPVSKDEVLGKTDVPQTLQEFMSEMKQSRSDAKAFALRLREMIVLLEQRTRTAKIQEYLYRHVASSSIPKQLHCLALKLANEHSNNAAARLQLPSAELVPALVDNSYFHFVLASDNVLAASVVAASLVKNALRPEKFVLHIITDRKTYSPMQAWFSLHPLDPAIIEVKALHQFDWLSKGKVPVLEAMEKDQRVRSQFRGGSSAIVANNTEKPQVIAAKLQALSPKYNSLMNHIRIHLPELFPSLNKVVFLDDDIVIQTDLSPLWDIDMNGKVNGAVETCRGEDSFVMSKRFKSYLNFTHPLIAKNFDPNECAWAYGMNIFDLEAWRKTNISLTYYHWLEEVIYIHIYTLILVYIR >KJB13571 pep chromosome:Graimondii2_0_v6:2:10361510:10364854:-1 gene:B456_002G082100 transcript:KJB13571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHISPSLRHVTVLPGKGVREFIKVKVGSRKVSYRMLFYSLLFFTFLLRFVFVLSTIDSIDGDHPKCSTIGCLGKRIGPRILGRRIESNVPEVIYRILEEPVSKDEVLGKTDVPQTLQEFMSEMKQSRSDAKAFALRLREMIVLLEQRTRTAKIQEYLYRHVASSSIPKQLHCLALKLANEHSNNAAARLQLPSAELVPALVDNSYFHFVLASDNVLAASVVAASLVKNALRPEKFVLHIITDRKTYSPMQAWFSLHPLDPAIIEVKALHQFDWLSKGKVPVLEAMEKDQRVRSQFRGGSSAIVANNTEKPQVIAAKLQALSPKYNSLMNHIRIHLPELFPSLNKVVFLDDDIVIQTDLSPLWDIDMNGKVNGAVETCRGEDSFVMSKRFKSYLNFTHPLIAKNFDPNECAWAYGMNIFDLEAWRKTNISLTYYHWLEENFKSDLSLWQLGTLPPGLIAFYGHVHVIDPFWHMLGLGYQDNTTLADAETAGVIHFNGRAKPWLDIAFPQLRPLWAKYIDFSDKFIKGCHIRAS >KJB13852 pep chromosome:Graimondii2_0_v6:2:12408087:12410230:1 gene:B456_002G097900 transcript:KJB13852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVQLFRSVREEQVSSLIRSIFSKTGKEINLGEMLCNLSYNITLRTAFAGRCKKHEAFISFLMKFVEAMAGFNIADLFPSIKFLPDLSGMRAELERFHHDIDTMLESIIQEHRDSSANPEDSVDVTEDLVDVLLNLQDHGGLEFPLTINNIKAVILDILMGGTETSSTLAEWAMLEMMKNPRILGKAQAEVRELYDKTGDVNESNLHELKYLKLVIKETLRLHPPLPLLIPRENSERCEINGYEIPAKTRVIVNAWAIGRDSNYWNEAERFYPERFIDGSVDYKGTNFEFIPLGAGRRICPGMSYGMAVVELSLAKLLSHFDWKLPNGMKNEDLDMTEAFGVSVKRKNELHVIPIPYCQ >KJB13851 pep chromosome:Graimondii2_0_v6:2:12408087:12410230:1 gene:B456_002G097900 transcript:KJB13851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVEAMAGFNIADLFPSIKFLPDLSGMRAELERFHHDIDTMLESIIQEHRDSSANPEDSVDVTEDLVDVLLNLQDHGGLEFPLTINNIKAVILDILMGGTETSSTLAEWAMLEMMKNPRILGKAQAEVRELYDKTGDVNESNLHELKYLKLVIKETLRLHPPLPLLIPRENSERCEINGYEIPAKTRVIVNAWAIGRDSNYWNEAERFYPERFIDGSVDYKGTNFEFIPLGAGRRICPGMSYGMAVVELSLAKLLSHFDWKLPNGMKNEDLDMTEAFGVSVKRKNELHVIPIPYCQ >KJB17043 pep chromosome:Graimondii2_0_v6:2:62280505:62284265:-1 gene:B456_002G262300 transcript:KJB17043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILLLSWLLFSSYFAIFLSISNLVLVSGQCRNDQKQLLLDLNLTSSSDLFISPIPLGKLMKWNQAMDCCSWDGVSCDGGGHVIGLDLSNRAISSSIDGSSSLFRLQHLQRLNLASNQFMTAFPAGFDKLENLSYLNLSKAGFTGQIPAKISRLTRLVTLDLSTDSLNGEPLKLEKPNLEMLAQNLTRLRFLYLDSVNISAMGNEWCRALSPLTELQVLSMSNCYLSGPIHSSLSKLQSLSVICLDYNNLSASVPQFFAEFPNLTSLSLSSTGLKGRLPDEIFQIPTLQTLYLSYNMLLKGSFPNFPLNASLQALALSGTKFGGQIPESLDNLGRLTIIELADCNFSGPIPKAVEKLTQLVSLDFSNNNFSGPIPSFSSSRNLTNLSLAHNKLVGTIHSTDWSSLSKLENADLGGNKLSGTIPPTLFGIPSLQRLDLSHNQFNGSIGDFHDKASSLLNTLDLSNNKLQGQFPTSLFELRGLETLHLSSNNFNGLIPMNAFQNLGNLFSLDLSHNRLSIDATATNISLLSFPTFTGLGLASCNLTEFPGFLKNQSSLMYLDLSNNHIHGKIPDWIWKPIDLARLNLSDNFLVGFERPLKNITSSVEIIDLHVNQLQGEIPIPTLDATFLDYSDNNFSSVLPAHIGDSLQHVSFFSISNNNIHGSIPPSICSSTSLRVLDLSNNSLSGPIPQCLFQMSGSLGVLDLRQNNLSGIISDTFSKSCKLQTLKLNQNRLEGKVPKSLGNCKMLEVLDIGNNQINDSFPWHLKNIAKLHVLVLRSNKFNGHIDCSGNNGGWSMLQIFDLASNNFSGKLHLTCLGTWDAMQHNPYSNLLELKHLHFVDSGSGGGTRYQDAITITTKGLELELVKILPVFTSIDISWNNFEGLIPEVIGKFKELHGLNFSHNAFTGPIPSSFGNLRELESLDLSSNSLRGEIPLQLANLNFLSCLNVSNNKLVGPIPTSTQLQSFPEASFENNAGLCGPPLKTKCGLPPAKEDSPSDSETGSIIHWNHLSIEIGFIFGLGTIIVPLIYWKRWRIWYFERIDCALSRLFPRLGCETKKHGRRAKQSQRGRTQQRLGLRSRE >KJB14659 pep chromosome:Graimondii2_0_v6:2:22730032:22732338:1 gene:B456_002G136300 transcript:KJB14659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEGPSLIKQLAACDKSTRDKAVRSVINTWLPSQTQLSDEEMKRLWKGLFFCVWHADKLPVQSDLIEKLSSVVPKLEPPLSLQYFSVFLLTMRREWTGIDKLRLDKFYLLIRKFLHFFFAMLKRWSWDLAFTRRSIRVLVDGTFLADDKFQRNGVNYHIASVFLEELRPFLPVKKEVLEILLEPFVGIMGRVSDKILVGKIRINVFDEFVKMGRRLLELKTSIEEVDQGDDVVVLGTISLVMGFSKKFYELGSSVDCCQGNRKILLGVHEEFLKLEKDLTSLGIDISIPECNEGDEEDEVPELVPIASEMELNISNGASELVEANGNGSVRKASKKCKKVKKATRNSGKKTKKNNNAKCTVADEEIDVMVPAESASSNIEKNGEGDSITFTESVISNLQLQFEKVAEEVGLNSDVASACDLPKVNGTVSKKRKRGKHMDGKKSQNGEETNQGEDEIDATAKSCENSTKRVRFSMKNNIVWKPHSPLPPLSLRIPPSVTPRGSALKKGLPPGPIREMPLMTKKVKKAKSVKKVRKVVKGMHPLVKRTKKLKSKSP >KJB15915 pep chromosome:Graimondii2_0_v6:2:54514214:54515854:-1 gene:B456_002G203500 transcript:KJB15915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRPLSVFILFLSYVVIGSAEQCGRQAGGALCPGGLCCSQFGWCGSTANYCTVPGCQSQCSGSGPAPGPGGLTNLISREKFDRMLLHRNDGGCPARGFYTYDAFIAAARSFPAFATTGDQATRKREIAAFLAQTSHETTGGAGWAAPDGHYAWGYCYNRELNPSPYCAWNPNYPCAPGKQYFGRGPMQLTWNYNYGQCGRSIGVDLLNNPDLLSSDPIISFKSAFWFWMTPQSPKPSCHDVVVGAWSPSGSDQAAGRVPGYGVITNIINGGLECGKGWDAKVEDRIGFYKRYCDMLGVGYGNNLDCYNQRPFGNGVLVDSM >KJB13233 pep chromosome:Graimondii2_0_v6:2:7489936:7491930:-1 gene:B456_002G064100 transcript:KJB13233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPKVRFALFFSFAALIPGLWANIAEFDDFWKQREEEAWNITLATYEPNPENVTNHFNYNVNKFLKETSANQTIDFEDGITNNTRRYLRGKHKKYTGPCMATNPIDRCWRCKKNWAKNRKRLAKCVLGFGHRTHGGSKGKYYVVTDNSDHDVLNPKPGTLRHAVIQKRPLWIIFARTMHIKLSQELIVQSHKTIDGRGADVHIAYGAGFTLQFVHNVIIHNIHIHRIVPSSGGLIRDSEDHFGYRTVGDGDGISIFGSSNIWLDHISMSECHDGLIDAIQGSTAITISNCHFTHHDAVMLLGASDSYSKDQFMQVTLAFNHFGKELLQRMPRCRWGFFHVVNNDYTHWKMYAIGGSMHPTIISQGNRFIAPHDPNAKEVTYRLYASESEWKNWIWRSEGDVLLYGAIFRTSGPPSPPHLKFNRKEMIKSKPGAYVRRLTRFAGTLNCKKRIKC >KJB16211 pep chromosome:Graimondii2_0_v6:2:56902177:56903308:-1 gene:B456_002G217800 transcript:KJB16211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCSQNCIIRPCLQWIKSPDSQANATLFLAKFYGRAGLLNLIEAGPENLRPAIFMSLLYEACGRVVNPVYGSVGMLWSRNWVECQAAVDAVLKGSQITETSSSESLAQQSISPLKTYDIRHVFKDPNTIDIDKVKSRTSFKRSATKSKRHVDSKEDASMFSVETEEGSLATQTKKGPLLKLGNQTEEIADIRLELTLGLAPATMQLPRL >KJB13013 pep chromosome:Graimondii2_0_v6:2:4587439:4590520:1 gene:B456_002G052200 transcript:KJB13013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFISAISYPLLVFFTIILLSVQVPPLLSSSNEHYVGCSKQFHCGKIKNVSYPFWGGDRPEYCGQPGLKLTCLEDEETQITIMSVSYKVIEININLQAFTVARIDYLQSLCPQTLLNTTLNFNLLSYAWNLENITLYYHCPLISNISSGFPSLFNCSTSNGTDMVNYYVIASVLGNLSTEVKDGLGSCDSHVIVPAFYTAVETIKRNPTPDTLVLPLGNGFGLKWDANIASKCEDCNGSGGRCGYNNSLNQFTCYCPNHTDASTCLQPGSSVGTSLKIKLIIGFTVVGATIMVVCFMVFALRLKKGSLSSGMLMSLQRNKRKNSERIEAFIMRYGSDLAPKRYSYSDIKKITKSFKDKLGEGGFGSVYKGKLPGGRLVAVKVLSESREDGEEFINEVASISRTSHVNVVTFLGFCYESSIRALLYEFMPNGSLDKYIYHHRSPDKSCILNRETMFEIAVGVARGLEYLHRGCNTRILHLDIKPHNILLDENFVPRISDFGLAKLCERKGSILSSITARGTIGYIAPELFCRNFGGVSYKSDVYSYGMMVLEMVGAKENTHVGGSLTSEMNFPSWIYEHLKQEAFNLEGITVEDEEITRKMIIVSLWCIQTNPSDRPSMTKVLEMLQGNLQSLATPPRPFLFSPQRSPPNSLSAISFFASSITMNIE >KJB13190 pep chromosome:Graimondii2_0_v6:2:7190156:7197144:1 gene:B456_002G060900 transcript:KJB13190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFSPLLFFLPLLFLDPLFCKANLHHSKTFLRSSLISQPLTNATILPTLFFEVTKPINVPTTTPCTLSVLQHDFGFTYGKPPVLANYSFPSDCPYQEFSKIVLEWNATCKGRQFDRIFGVWLSGVELLRSCTAEPRATGIIWSVKKDITRNLGSGFASKADLIIPFSRDLPLNDGLWYEIENSTDVIVKEFEIPQNVYRAVLEVYVSFHENDEFWYGNLPNEYIAANNITGFAGNGPFREVVVSLDDEVVGAIWPFTVVYTGGINPLLWRPISGIGSFDLPTYDIEISPFLGSLLDGKKHKLSFGVTNALNVWYIDANLHLWLDSNSAKTEGKLLQHKVAPLAVSSVLDFKGLNGTFVTNTSRFVSSTGWVKSTYGTVTTESIQDLRYSNSMLMGRDGNLQIVNQTIHFEDSVYAKLPASNVESKKSLKRFHLYLYTDDVDQGNGTLSMVVNVTLGFNEKKFKDADARSPSSSLRNLQKGKGVIVIKDNLVVSGVGSTQQSYNYDSSKFCYSRNISSSNYTILHDEVRNTCNKRAKSHFGYGLSRRWSFPARRAFLTSHNLFFLILFYFFFYFSCSACGSLGVQSSSLTVQLGFHSNENNNFLGAFVSSPKRTKIKKFSIACSSTTTAARGEPVSRPPAWMMRQAGRYMSVYRKLAEKHPSFRERSETTDLIVEISLQPWEAFRPDGVIIFSDILTPLPAFGVLFDIEEVRGPVIQSPICSEDCLKALHPIDLEKLHFVGDSLKILRQEVGDHAAVLGFVGAPWTIATYIVEGGTTRTYTTVKSMCHTAPNLLRALLSHLTKAISEYIIYQVESGAHCIQIFDSWGGQLPPDMWEQWSKPYITEIVSMVQNKCPKIPLVLYINGNGGLLERMKGTGVDVIGLDWTVDMADGRKRLGNDICVQGIVDPAYLFSPLPAVTEEIQRFFTSIELCV >KJB15634 pep chromosome:Graimondii2_0_v6:2:50093807:50095613:1 gene:B456_002G187500 transcript:KJB15634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAFRRLKGVVNVPDLDPRDATTYGKKSAVSTTTSATSTTTTSTTPTTTNKRSLKENGGTGGTMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGIKARTNFVYPVSEPHSASDHLLPQFNFSRQSQPSIRDLNRKHHHFGHSSKWPPFANSQVGDFSLGPGGHQRNASLNMLLLRDLLNSSSYLSFQAPPPFLVDQFQLINGTSSAASSLPSSFSIPTILPGGTSLNSPTSCSLRASGNFADSFIDSTMTLPRKEKNSSHKTSVPALSNIQADDMEFFRSEPSDSGLLQEIIQGFLPKPSLKKSDTDCIQHSIVPPVTEMSSSQSLSGLKESKKSEHLGGYIDYCQGVPQQFESFNGITGSQLVPYSNEIPVNHLQLGQDCMVDDICQYPDIMRTLATRVQNG >KJB13278 pep chromosome:Graimondii2_0_v6:2:8049046:8049798:-1 gene:B456_002G069400 transcript:KJB13278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSRLVQDNKNLNFPSSSSSSSPLNSPHSNGTNHNNSNGGVQIPTPPLTPIPISRSETNPYPTTFVQADTTTFKQVVQMLTGSSETAKQASSKPPTQHHHHHHHQQDPALPSKSSFPIPPMKTNSPKKQNFKLYERRNSNLKNNLMINTFLPSNNGGGGGAFYSPRNAEILSPSLLDFPKLALSPVTPLNEDPFNKSSPSLGNSSEEEKAIAEKGFYLHPSPMSTPRDTEPQLLPLFPVTSPRVSGSS >KJB13277 pep chromosome:Graimondii2_0_v6:2:8048653:8050042:-1 gene:B456_002G069400 transcript:KJB13277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSRLVQDNKNLNFPSSSSSSSPLNSPHSNGTNHNNSNGGVQIPTPPLTPIPISRSETNPYPTTFVQADTTTFKQVVQMLTGSSETAKQASSKPPTQHHHHHHHQQDPALPSKSSFPIPPMKTNSPKKQNFKLYERRNSNLKNNLMINTFLPSNNGGGGGAFYSPRNAEILSPSLLDFPKLALSPVTPLNEDPFNKSSPSLGNSSEEEKAIAEKGFYLHPSPMSTPRDTEPQLLPLFPVTSPRVSGSS >KJB13276 pep chromosome:Graimondii2_0_v6:2:8048444:8050064:-1 gene:B456_002G069400 transcript:KJB13276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSRLVQDNKNLNFPSSSSSSSPLNSPHSNGTNHNNSNGGVQIPTPPLTPIPISRSETNPYPTTFVQADTTTFKQVVQMLTGSSETAKQASSKPPTQHHHHHHHQQDPALPSKSSFPIPPMKTNSPKKQNFKLYERRNSNLKNNLMINTFLPSNNGGGGGAFYSPRNAEILSPSLLDFPKLALSPVTPLNEDPFNKSSPSLGNSSEEEKAIAEKGFYLHPSPMSTPRDTEPQLLPLFPVTSPRVSGSS >KJB12462 pep chromosome:Graimondii2_0_v6:2:1324523:1327255:1 gene:B456_002G019500 transcript:KJB12462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSNSLSLFFSISLSLDPIITRCSDCATGQASYICNLEDNLHAFQAEVAGLKELRSDLMSRVRIAEDEQQLKPLNQVEGWLSRAETLINDADQLIVQSPQHVENLCMGDCWSTHPRSSIKFGKKIAKKLQEVKDQKENGDFSDVASKPPLPSATERPSEPTVGLESNFNKVWSCLQKEQVGIIGIYGLGGVGKTTLLNQINNKFHDTTHDYHVIWAVASQDRPIERVQDQIAERIGLSNEGWKSKSLDEKAEGIFQVLCKKKFALLLDDIWEWFDLTRAGVPLPTQQNGSKVIFTTRRLDVCCQMQPNMDNNIRVECLPPGKAFKLFEEKVGSETLRMHPDISKLAEAVVEECAGLPLALITIGRAMASKKTPREWEYAIEVLRQSAASVLPGVGKEMYPKLKFSYDCLPDERFRSCFLYCSLYPEDYLIDDDELVDCWIGEGLLDEHTYLRNARNQGHFIIGSLIDACLLEKGPYGRVKMHDVIRDMALWIAGESENERFFVKSGVQLKEQPKAKKWEEVTRMSLRKNQIENLTEILECPNLRTLFLSSNDLKVIMDDFFNSMPMLRVLDLFNNMNLEELPVGIAKLVSLEHLNLSQTGIKKLPVELKALAKLKYLNLERTCDLKMIPQQLISSFSKLQVLKMEECGYGCLLVLEEMEHLKYLNVLTLTFRSASELEKASRFNKFFSCAIEHVSLQHFRDSRSLNIMALAKLQHLCVLSLSSCMDLEEVKIERNITEGAGCFHSLRSVTLSDCNHLRDVSWVIFAPHLEHLWIYGCIGLEEIISEEKLGEVAELKGNLNLFSKLKRLLLHRLPKLKTMYHHALPFPQLKQVCIMECPMLKKLPLNSNSAKGQRLIIEGKEGWWKDVEWEDESTKIAFLPTFIPRIIKG >KJB15484 pep chromosome:Graimondii2_0_v6:2:47480871:47486440:1 gene:B456_002G180400 transcript:KJB15484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-interacting protein 1-1 [Source:Projected from Arabidopsis thaliana (AT2G01330) UniProtKB/Swiss-Prot;Acc:Q9ZU34] MSKKNNKISDQLVQKAPTSIPALFLSTKILENSLFANHTHTQMASLIETYACAPSTERGRGILISGDPKTNSILYCNARSVFIRYLDRPLHVAVYGDHSYPVTVARYSPNGEWIASADVSGIIRIWGTHNDFVLKNEFKVLSGRIDDLQWSPDGLRIVACGDGKGKSFVRAFMWDSGSTVGDFDGHSKRVLSCHFKPTRPFRIASCGEDFLVNFYEGPPFKFKLSHREHSNFVNCIRFSPDGSKLISVSSDKKGIIYDAKTGDTMGQLSSENGHTGSIYAVSWSGDSKHVLTVSADKTAKIWEIFEDGTGKVSKTLECSGSGGVEDMLVGCLWQNGHLITVSLSGTINLYSASDPDKQPLCLSGHMKNVNTLTLIESNEKIILSSSFDGSILRWTPGTGHSGKLQRKDSSQIKCLVATKEEIMTSGYDNKVWRISLDQDKAGEAEHIDVGSQPKDLSQAINSPDLALVSLDSGVVLLKDMQILSNINLGFSVTASVIAPDGSEAIVGGQDGKLHIYSVSGDSLTEEATLEKHRGPISVIRYSPDFSMFASADLNREAVVWDRTSKEDH >KJB15485 pep chromosome:Graimondii2_0_v6:2:47480871:47486440:1 gene:B456_002G180400 transcript:KJB15485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-interacting protein 1-1 [Source:Projected from Arabidopsis thaliana (AT2G01330) UniProtKB/Swiss-Prot;Acc:Q9ZU34] MSKKNNKISDQLVQKAPTSIPALFLSTKILENSLFANHTHTQMASLIETYACAPSTERGRGILISGDPKTNSILYCNARSVFIRYLDRPLHVAVYGDHSYPVTVARYSPNGEWIASADVSGIIRIWGTHNDFVLKNEFKVLSGRIDDLQWSPDGLRIVACGDGKGKSFVRAFMWDSGSTVGDFDGHSKRVLSCHFKPTRPFRIASCGEDFLVNFYEGPPFKFKLSHREHSNFVNCIRFSPDGSKLISVSSDKKGIIYDAKTGDTMGQLSSENGHTGSIYAVSWSGDSKHVLTVSADKTAKIWEIFEDGTGKVSKTLECSGSGGVEDMLVGCLWQNGHLITVSLSGTINLYSASDPDKQPLCLSGHMKNVNTLTLIESNEKIILSSSFDGSILRWTPGTGHSGKLQRKDSSQIKCLVATKEEIMTSGYDNKVWRISLDQDKAGEAEHIDVGSQPKDLSQAINSPDLALVSLDSGVVLLKDMQILSNINLGFSVTASVIAPDGSEAIVGGQDGKLHIYSVSGDSLTEEATLEKHRGPISVIRYSPDFSMFASADLNREAVVWDRTSKEVKLNNMLFHTARINCLAWSPNSTMVATGSLDTCVIIYEVGKPASSRITVKNAHPGGVYGLVFIDDCNVASSGEDACIRVWKIVQQ >KJB14209 pep chromosome:Graimondii2_0_v6:2:16327169:16328847:-1 gene:B456_002G115300 transcript:KJB14209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAAFIWQAHPLYPLLLLQNRDEYHNRPTKALAWWDVDGCEILGGRDEVAGGTWLACSRQGRVAFLTNVLELHPRPDAKTRGDLPLLFLESTKSPMEFAEQLATDAHQYNGFNLIVADIPSKSMVYISNRPKGEPINIQQVSPGLHVLSNAKLDSPWHKAQRLGKGFKQMLNRYGKNEVNVKEMVEKLMKDKVKADKSKLPGICALDMEFNLSSIFVEMDTPLGLYGTRSTAAMTVGAGGEVSFYDKYLEKGVWFERTVNYHIQKLK >KJB15656 pep chromosome:Graimondii2_0_v6:2:50782382:50785382:1 gene:B456_002G188600 transcript:KJB15656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFISSSILLLFLLFPTIIQHAKPAMSLPLSTNSRWIVDEEGRRVKLACVNWVSHLEPVVAEGLSKQPMDMIAKRIVTMGFNCVRFTWPLFLITNDSLASITVRQSFQRLGLLESIAGIQSNNPSIIDVSLIKAYQAVVSSLGKNDVMVILDNHLSKPGWCCSNFDGNGFFGDQYFNPDLWITGLTRMATLFNGVTHVVGMSLRNELRGPKQNVNDWYRYMQKGAEAVHSANPDVLVILSGLSYDSDLSFIQNRPVQLSFSGKLVFEVHWYGFSDGQAWVTGNPNQVCGRVAKDMMRRSGFLVDQGYPLFVSEYGVDQRGTNVNDNRYLNCFLGVAAELDLDWALWTLVGSYYLREGVIGLNEYYGVLNYNWCETRNSSFIERISALQSPFRGPGLSETKLHKVIFHPSTGLCVIRKSFLDPLCLGPCADSESWSYSSDKTLVVKGTYFCLQADESGTLVKLGIFCSDSNSKWEMIADSKMHLSSKLRNGKSICLDVDSSNAIVTNSCKCISKDNTCDPASQWFKLVDSTRSRSEERSFLHFDSIFDLPGKGFLSNLMVGSI >KJB16740 pep chromosome:Graimondii2_0_v6:2:60918585:60922686:-1 gene:B456_002G245300 transcript:KJB16740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALRLLLVLGFLTVLSVASCVVIPLERAFPLNGRVELNQLVARDQLRHSRMLQGLVGGVVDFSVQGTSDPYLVGLYFTKVKLGSPPREFNVQIDTGSDILWVTCSSCTDCPQSSGLGIQLGLFDTTSSSSARMVPCSDPMCSSEYQTTATQCSQSNQCSYSFQYGDGSGTSGYYVVDMLSFDAVMGQSSIANSSALIVFGCSTYQSGDLTKTDKAVDGIFGFGRGDLSVISQLSSRGITPRVFSHCLKGDGSGGGIMVLGAIMEPSIVYSPLVPSQPHYNLMLQSIAVNGQLLQIDPSVFATSSNRGTIVDSGTTLAYLVQEAYDPFVIAITATVSPSVTPTISKGNQCYLVAASVSQIFPPVSLNFASGASMMLKPEDYLIRSGFYDGATMWCIGFQKVQGGISILGG >KJB16739 pep chromosome:Graimondii2_0_v6:2:60918534:60922736:-1 gene:B456_002G245300 transcript:KJB16739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALRLLLVLGFLTVLSVASCVVIPLERAFPLNGRVELNQLVARDQLRHSRMLQGLVGGVVDFSVQGTSDPYLVGLYFTKVKLGSPPREFNVQIDTGSDILWVTCSSCTDCPQSSGLGIQLGLFDTTSSSSARMVPCSDPMCSSEYQTTATQCSQSNQCSYSFQYGDGSGTSGYYVVDMLSFDAVMGQSSIANSSALIVFGCSTYQSGDLTKTDKAVDGIFGFGRGDLSVISQLSSRGITPRVFSHCLKGDGSGGGIMVLGAIMEPSIVYSPLVPSQPHYNLMLQSIAVNGQLLQIDPSVFATSSNRGTIVDSGTTLAYLVQEAYDPFVIAITATVSPSVTPTISKGNQCYLVAASVSQIFPPVSLNFASGASMMLKPEDYLIRSGFYDGATMWCIGFQKVQGGISILGDLVLKDKIFVYDLAHQRIGWANYDCSLSVNVSITSSKDFINEGQVSVSSSTKEILFKLIPLSFITFLIQLLELVEFQFL >KJB14267 pep chromosome:Graimondii2_0_v6:2:16587189:16588950:-1 gene:B456_002G116800 transcript:KJB14267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGIPVKLLHEASGHIVTVELKSGELYRGSMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDAKIKGKSSSLGVGRGRAVAMRAKAQAAGRGTTAGRGVVPSIRR >KJB12162 pep chromosome:Graimondii2_0_v6:2:228560:229162:1 gene:B456_002G004100 transcript:KJB12162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEKKHASERDLAQKIESYIAELEEVAFDANRSRSESGVIVRNRIGEIRVLKTTLHSNVSSLFLAEAFTCLQAIKLGISLGLRSVTIRGDSKTIIKKCQSAEMDKSIIGAIMKDIKSHSSLFQEIDFQFIQKSKNFQAHKIAKEALANGEERYLVRDESIYNEGAMEEEWSRNPD >KJB12850 pep chromosome:Graimondii2_0_v6:2:3195243:3195674:1 gene:B456_002G039400 transcript:KJB12850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRFPPRGWLKFNVCGVVFEDKAGGGGVLRDENGVARALFSSPSEAKGMGWVTCCPLLIEVGSNVVFKWLSEIESRPGKLYYFFAKIERRSYLSFEKAEHMGNEMTFALAIAGVKRLDMFKAWW >KJB15700 pep chromosome:Graimondii2_0_v6:2:51822514:51825904:1 gene:B456_002G191700 transcript:KJB15700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFVREKKRITEPNMCLSSPLMQDHSKGNHRSPSQATDEIESLLLPGLPDDVAKYCLALVPRSSFPSMGGVCKRWRSFIQSKEFLMERKIAGMLEEWLYVLTMDSEGKESHWEVLDCLGQKHRLLPPMPGPEKVGFGTTVLNGKLLVLAGYSVINGTASASADVYQYDSCLNCWSKLANLNVARYDFACAEVNGVVYVVGGYGVEGESLSTAEVYDPDTDKWTLIESLRRPRWGCFACGLNGKLYVLGGRSSFTIGNSRFVDVYNPEKQTWCEMKNGCVMVTAHAVLEKKLFCMEWKNQRKLAIFNPDDNSWKMVSVPLTGSTSIGFRFGILDGKLLLFSVEGDPGYHTLLYDPNAAPGSEWRTSEIKPSGLCLCSVTIKA >KJB15701 pep chromosome:Graimondii2_0_v6:2:51823579:51825904:1 gene:B456_002G191700 transcript:KJB15701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFVREKKRITEPNMCLSSPLMQDHSKGNHRSPSQATDEIESLLLPGLPDDVAKYCLALVPRSSFPSMGGVCKRWRSFIQSKEFLMERKIAGMLEEWLYVLTMDSEGKESHWEVLDCLGQKHRLLPPMPGPEKVGFGTTVLNGKLLVLAGYSVINGTASASADVYQYDSCLNCWSKLANLNVARYDFACAEVNGVVYVVGGYGVEGESLSTAEVYDPDTDKWTLIESLRRPRWGCFACGLNGKLYVLGGRSSFTIGNSRFVDVYNPEKQTWCEMKNGCVMVTAHAVLEKKLFCMEWKNQRKLAIFNPDDNSWKMVSVPLTGSTSIGFRFGILDGKLLLFSVEGDPGYHTLLYDPNAAPGSEWRTSEIKPSGLCLCSVTIKA >KJB16300 pep chromosome:Graimondii2_0_v6:2:57698963:57701126:-1 gene:B456_002G222100 transcript:KJB16300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSVFMNHIQHLNGNLLYSFSPASLGHVTYSCGSCGYELNLSSSSRNTTTIGSKYGKSIKRGIISFFSIDESRFTQVDEFQCVPYFSRHSWGLFRHRTKLLCRKCGNHIGNAYDEKTSGYPLVLDKSNSSPCNEVSSHRKYDVRIRALQPSSAQELGTPHFM >KJB16127 pep chromosome:Graimondii2_0_v6:2:56174288:56176650:-1 gene:B456_002G213400 transcript:KJB16127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRILVGNLALRFPLSTKPSLSRIHPSTSPCYCKIKLKNFPHQVGSIPLIHANTQNPESCNFSLQKSLAACFSLSKSQVDRIISKGGSSYKLSIEVYADPDGSTCGLASGKLLGRVTVPLDLRGAESRSSVAHNGWIDIGRNKSNKNGSSAQMFLTVRTEPDPRFVFQFGGEPECSPQVFQVQGSVKQAVFTCKFGFRSSSDRNLGSRTSLPESNTSRNWLPSLKTDKDQSAKERKGWSITVHDLSGSPVAMASMVTPFVPSPGSDRVSRSNPGAWSILRPECGTWKPWGRLEAWREPGFTDALGYRFDLFHDDIVATGTTTTVASSTLSTKLGGKFTMDMTTNITTPSVSPQSSCDLGSGSRPGSRPGSGSGSDFGFGLPLSPQSMYRGGFVMSSTVEGVGKCSKPEVEVGVKHVTCTEDAAAFVALAAAMDLSMDACQSFSQKLRKELRQQSQNFVD >KJB14957 pep chromosome:Graimondii2_0_v6:2:29594142:29595429:-1 gene:B456_002G150800 transcript:KJB14957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT2G18800) UniProtKB/TrEMBL;Acc:A0A178VQ29] MDTLSSLSNALGMLLITFLMVETFMVASAGNFNKDVDITWGDSRGKILNNGQLLTLSLDKASGSGFQSRNEYLYGKFDMQLKLVPGNSAGTVTAYYLKSQGSSWDEIDFEFLGNLSGDPYVVHTNVYTKGKGGREQQFYLWFDPTADFHTYSILWNPGHVIFYVDGRPIREFKNLESVGIPYPKNQPMRMYCSLWNADDWATRGGLVKTDWSQAPFTASFRNFTANACVLSNGVSSCSSNASSNNAWFSQELDSSSQKVLKWVQKNYMVYNYCKDAKRFPEGLPVECTVRNRKQIKI >KJB13534 pep chromosome:Graimondii2_0_v6:2:9851566:9853876:-1 gene:B456_002G080000 transcript:KJB13534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCRTRYNGDADHHQRRKKFTFPARLLCGDCFEVRLDKVLANDAPFDICSCQFAMHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIIKKLREAEGMAFGNSVYWIQFDEEFSDKKFKSSSPFGIKYKFHLEDAVDCPEWIVPFHLFKSLAEEYGFELVFVKNSHEFVHEYMKRPEFLELMRRLGALGDGNQDQTTLSPEEWEVAYLYLAFVLKKRGQPERTQANSRKDKGQMQISKEDIMYISSDV >KJB13533 pep chromosome:Graimondii2_0_v6:2:9851288:9855669:-1 gene:B456_002G080000 transcript:KJB13533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGYPESLSSSLGPPQSRFKHNPQGDAQFPEDESTKIFARKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYARKGDAVLDLACGKGGDLIKWDKAKVEYYVGVDIAEGSMEDCRTRYNGDADHHQRRKKFTFPARLLCGDCFEVRLDKVLANDAPFDICSCQFAMHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIIKKLREAEGMAFGNSVYWIQFDEEFSDKKFKSSSPFGIKYKFHLEDAVDCPEWIVPFHLFKSLAEEYGFELVFVKNSHEFVHEYMKRPEFLELMRRLGALGDGNQDQTTLSPEEWEVAYLYLAFVLKKRGQPERTQANSRKDKGQMQISKEDIMYISSDV >KJB13535 pep chromosome:Graimondii2_0_v6:2:9851566:9855471:-1 gene:B456_002G080000 transcript:KJB13535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGYPESLSSSLGPPQSRFKHNPQGDAQFPEDESTKIFARKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYARKGDAVLDLACGKGGDLIKWDKAKVEYYVGVDIAEGSMEDCRTRYNGDADHHQRRKKFTFPARLLCGDCFEFAMHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIIKKLREAEGMAFGNSVYWIQFDEEFSDKKFKSSSPFGIKYKFHLEDAVDCPEWIVPFHLFKSLAEEYGFELVFVKNSHEFVHEYMKRPEFLELMRRLGALGDGNQDQTTLSPEEWEVAYLYLAFVLKKRGQPERTQANSRKDKGQMQISKEDIMYISSDV >KJB13536 pep chromosome:Graimondii2_0_v6:2:9851715:9855422:-1 gene:B456_002G080000 transcript:KJB13536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGYPESLSSSLGPPQSRFKHNPQGDAQFPEDESTKIFARKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYARKGDAVLDLACGKGGDLIKWDKAKVEYYVGVDIAEGSMEDCRTRYNGDADHHQRRKKFTFPARLLCGDCFEVRLDKVLANDAPFDICSCQFAMHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIIKKLREAEGMAFGNSVYWIQFDEEFSDKKFKSSSPFGIKYKFHLEDAVDCPEWIVPFHLFKSLAEEYGFELVFVKNSHEFVHEYMKRPEFLELMRRLGALGDGNQDQTTLSPEEWEVAYLYLAFVLKKVGPICSYLCICYVHHAFSSCLSNSFTIRSY >KJB13537 pep chromosome:Graimondii2_0_v6:2:9852206:9855471:-1 gene:B456_002G080000 transcript:KJB13537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGYPESLSSSLGPPQSRFKHNPQGDAQFPEDESTKIFARKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYARKGDAVLDLACGKGGDLIKWDKAKVEYYVGVDIAEGSMEDCRTRYNGDADHHQRRKKFTFPARLLCGDCFEVRLDKVLANDAPFDICSCQFAMHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIIKKLREAEGMAFGNSVYWIQFDEEFSDKKFKSSSPFGIKYKFHLEV >KJB12800 pep chromosome:Graimondii2_0_v6:2:2971217:2973262:-1 gene:B456_002G037500 transcript:KJB12800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSEKGNGAAPATRSPMALMGSSRNENQEVNTSMRTAETMLRLVPMALGVAALVVMLKNSQSNDFGSVSYSDLGAFRYLVHANGICAGYSLLSAIIAAVPRPSTMPRAWTFFLLDQILTYIILGAAAVSTEVLYLANKGDSAITWSAACGTFAGFCHKATIAVVITFVAVICYAVLSLVSSYRLFTKFDAPVNYPTTERVGWRLGRERSPESDDNNKDGC >KJB12802 pep chromosome:Graimondii2_0_v6:2:2971988:2973262:-1 gene:B456_002G037500 transcript:KJB12802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSEKGNGAAPATRSPMALMGSSRNENQEVNTSMRTAETMLRYLVHANGICAGYSLLSAIIAAVPRPSTMPRAWTFFLLDQILTYIILGAAAVSTEVLYLANKGDSAITWSAACGTFAGFCHKATIAVVITFVAVICYAVLSLVSSYRLFTKFDAPVNYPSKTIEATVFHG >KJB12801 pep chromosome:Graimondii2_0_v6:2:2971940:2973262:-1 gene:B456_002G037500 transcript:KJB12801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSEKGNGAAPATRSPMALMGSSRNENQEVNTSMRTAETMLRLVPMALGVAALVVMLKNSQSNDFGSVSYSDLGAFRYLVHANGICAGYSLLSAIIAAVPRPSTMPRAWTFFLLDQILTYIILGAAAVSTEVLYLANKGDSAITWSAACGTFAGFCHKATIAVVITFVAVICYAVLSLVSSYRLFTKFDAPVNYPSKTIEATVFHG >KJB15168 pep chromosome:Graimondii2_0_v6:2:39012776:39014119:1 gene:B456_002G163200 transcript:KJB15168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKGSQALNFKVLLLGVFFAFLLLFVLRSSISSSQYDTSDLQTFAAAKTSNGVKESKSGANCSPSCDKIPRSLAQALIHYATSSITPQQTLKEISVTAKILEKKSPCNFLVFGLGHDSLMWSSLNYGGRTVFLEEDEAWIEQIRRRFPMLESYHVTYDSKVNQAENLMDVSRGPECTAIADPKYSMCQLALKGLPSEVYEMKWDLIMVDAPTGYYEDAPGRMTAIYTAGMMARNKEKGETDVFVHDVNRVVEDKFSMAFLCQGYMKKQEGRLRHFRIPSHKDSLERPLCPE >KJB15699 pep chromosome:Graimondii2_0_v6:2:51807617:51808279:1 gene:B456_002G191600 transcript:KJB15699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEPPTNDKIHIEAFSTSSRIGLLHPTESLGYVTISLADVVNNKRINERYHLIDSKNGRIQIEMQWRTS >KJB12211 pep chromosome:Graimondii2_0_v6:2:397513:400037:-1 gene:B456_002G006100 transcript:KJB12211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHSHSDRRRRRHDHEDDHDQDRDEDHNHDRHRSNTSGIIGLSCKAYKSIVNKWCSDKNSPKKEEGKIKDHDDSSKKGNKKEQEDKSKKGEKHSKREKQNRLSADESMFSRQFSFLSKSSSRRSHTPTPVPTYLSKSSIRTSNSPSRFSLSRTFSKKGRDEDETPQKQQQKRSVSANRASTLSRSLSRKGNSETEKPNLSRSTSQRSTTPIIFSHSIARRKPLPVEKTLECTLEELCHGGLKKINIVKDVISEERMIVKQEETLTINVKPGWTKGTKVTFEGKGDEKPGYLPADIIFTIQEKRHELFKRTGDDLEIVVEIPLVKALTGCSLSVPLLGGETMSIHVSEVIYPGYEKVIHGQGMPNVKGDKRGDLRITFLVKFPAELSDEQRSETCSILEGCC >KJB13971 pep chromosome:Graimondii2_0_v6:2:14654919:14655092:1 gene:B456_002G1078002 transcript:KJB13971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATRRNFKLAVAARMQYRTSQRQNQ >KJB13970 pep chromosome:Graimondii2_0_v6:2:14654952:14655092:1 gene:B456_002G1078002 transcript:KJB13970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATRRNFKLAVAARMQYRTSQRQNQ >KJB14928 pep chromosome:Graimondii2_0_v6:2:28972597:28976252:-1 gene:B456_002G149600 transcript:KJB14928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSLASTTYFSLLILTFTVTFLISLEAAASASSKNRDSQLLLKFKASLLDPSLLQSWVANQDPCSFKGVTCQDSKVSSINLSYTALSTEFHIVAAFLLSLQNLESLSLLKANISGNISLPSGSKCSSLLTTLDLSQNTLSGPLSTVSNLGSCTNLKVLNLSSNSLEFSRKESRGLKLSLEALDLSFNKLSGGNVVPWILYGGCSELKLLALKGNKISGEINVSNCGRLQFLDFSSNNFSMGTPSFGDCLALEHLDVSTNKLSGDISHAISSCVNLKFLNLSNNQFSGTIPALPTSKLRRLYLTSNKFEGEIPVYLTEGCSGLVELDLSSNKLSGMVPSGFGSCSSMESFHVSSNNFTGELPIEIFQNMSSLKELDLAFNYFSGPLPESLSSLSNLTVLDLSSNNFSGSIPAFLCENPTNRLKVLYLQNNILTGSIPPTLSNCSQLVSLHLSFNYLTGTIPLSLGSLSNLKDLKLWMNQLHGEIPQQLGNIQTLETLILDFNELTGTMPSGLSNCTKLNWISLSNNRLTGEIPAWLGKLSILAILKLSNNSFYGRIPLELGDCKSLIWLDLNTNNLNGTIPPMLFKQSGKIAVNFIAGKRFTYIKNDGSPECHGSGNLLEFAGIREQQLDRISARNPCNFTTRVYGGLTQPTFNNNGSMIFLDLSYNLLSGTIPNEIGTMPYLFILNLGHNNISGTIPQDIGKLKGLGILDLSYNRLEGSIPQSLTGITMLSEIHLSNNLLSGMIPEMGQLLTFPANDFLNNSGLCGVPLAACGRDRSASSNAEHREPHNRKATLAESVGMGLLVSLFCILGLIVAVIETKKRRKKGNALDVHMDSHSHSGSVNTSWKLTGAREALSINLATFEKPLWRLTFADLLEATNGFHDDSLIGSGGFGDVYKAQLKDRSIVAIKKLIHISGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMRYGSLEDVLHDQKKSGIKLNWAARRKIAIGAARGLAFLHHNCTPHIIHRDMKSSNVLLDENLEARISDFGMARLMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSAKGDVYSYGVVLLELLTGKRPTDSVDFGDNNLVGWVKQHAKLKISDIFDLELMKEEPSLEIELLQHLNVACACLDDRPWRRPTMIQVMAKFKEIQAGSGLESQSTIATDDGGFSAVEMVGMTIKEIPEGKQ >KJB14574 pep chromosome:Graimondii2_0_v6:2:20725711:20728984:1 gene:B456_002G132000 transcript:KJB14574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKPDPNAAQVEPIIDNAALASSVVIDQTEIDLESRMMEGSRSGRIYESSDIAPASELSSPPIDVTTVAIEGTHGVSDGQKPQQAVVDGDNDMILPSASSNDSADGSIKDTLDGQQSQGNGSLGSSPRVNDSEVEDAKKGDHLVQVRNLVLPRKRIITSSFESPKSGTSTPTKEGDLNKVHIDTAATFESVKEAGSKFGGIVRWKSNRTQTVERRKPAVQDLEKLQEDMVVYKNRLVDAEEAKMQVLQELDSTNRRINELKLSLEKAQNEENQARQDSELAMLRAEEMEQGIGDEASVAAKQQLEVAKARHAAAVAELESVNEELETLRKGYASMVTERDIAIKEAEEAKSSSKEVERTVEGMTIDMMATKESLESAQATHVGAEEKRIVAAMARDQDTHYWEKELKQAEEELQRLNQQIQSAKDMKPKLDTASALLLDLKAELAAYMESKSKLENNGYLSDASQTQERRTHTDIPATVASAKKELEEVKLKIEKATTEVDSLKVIAVSLESELEKEKSALASMKQRESMKEKEARDKMEVTKLLQQAAQEADEAKSVVEMANQELSKLKEEAEQAKAAAGTLESRLLAVEKEIEAAKASKESALVAIETLQDTNNVDSSDSVILSLEEYYDLRKRAYEVEEQASMIAAAAVSQIEEAKQSQSRSLVKLEEVNREMAERKEALEIALEKAEKAKEGKLGVEQELRQWRAKHEKRRKASGFSHGGNPPRSSFEGKRQSNKVEPVTMSPSSTLDTSKAIYGHNKESESSPETVVVKKKKKSLFPKIFMFFSRKKASFSKTKSKTKSKT >KJB14573 pep chromosome:Graimondii2_0_v6:2:20725711:20729051:1 gene:B456_002G132000 transcript:KJB14573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKPDPNAAQVEPIIDNAALASSVVIDQTEIDLESRMMEGSRSGRIYESSDIAPASELSSPPIDVTTVAIEGTHGVSDGQKPQQAVVDGDNDMILPSASSNDSADGSIKDTLDGQQSQGNGSLGSSPRVNDSEVEDAKKGDHLVQVRNLVLPRKRIITSSFESPKSGTSTPTKEGDLNKVHIDTAATFESVKEAGSKFGGIVRWKSNRTQTVERRKPAVQDLEKLQEDMVVYKNRLVDAEEAKMQVLQELDSTNRRINELKLSLEKAQNEENQARQDSELAMLRAEEMEQGIGDEASVAAKQQLEVAKARHAAAVAELESVNEELETLRKGYASMVTERDIAIKEAEEAKSSSKEVERTVEGMTIDMMATKESLESAQATHVGAEEKRIVAAMARDQDTHYWEKELKQAEEELQRLNQQIQSAKDMKPKLDTASALLLDLKAELAAYMESKSKLENNGYLSDASQTQERRTHTDIPATVASAKKELEEVKLKIEKATTEVDSLKVIAVSLESELEKEKSALASMKQRESMKEKEARDKMEVTKLLQQAAQEADEAKSVVEMANQELSKLKEEAEQAKAAAGTLESRLLAVEKEIEAAKASKESALVAIETLQDTNNVDSSDSVILSLEEYYDLRKRAYEVEEQASMIAAAAVSQIEEAKQSQSRSLVKLEEVNREMAERKEALEIALEKAEKAKEGKLGVEQELRQWRAKHEKRRKASGFSHGGNPPRSSFEGKRQSNKVEPVTMSPSSTLDTSKAIYGHNKESESSPETVVVKKKKKSLFPKIFMFFSRKKASFSKTKSKTKSKT >KJB13019 pep chromosome:Graimondii2_0_v6:2:4483820:4488923:1 gene:B456_002G051600 transcript:KJB13019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLFGSNPSSSDPANDTVPSPSSSCSSSSSPDMWNYFFIPILLHLTKKLSLAKAQTSTGLLLPSQRGDGSADDSLISFSRCANADPKLYYRPVIGILSHPVGGASGGRSYIVASYVKFVEAAGGRVIPLIYNEPEEILFQLELVNGVLLPGGRSKSGLYYDIAEKIFKKVIEKNDRGDHFPLYAICLGFELLTMIISEDKKILERFSALNRASSLQFVENVNIEGTVFQRFPPNLLQKLGTDLLVMQNHCWGISPEKLQNTPNLSRFFKILTTSTDKNNKVYVSTAQAHRYPVTAFQWHPEKNAFESGLSMIPHSDDAIEVTQHVANFFIREARRSLNRPAAQKVRDSLIYNYRPKYYGKAGKGFDQVYIFTQRQARN >KJB15380 pep chromosome:Graimondii2_0_v6:2:44545881:44546153:-1 gene:B456_002G174300 transcript:KJB15380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFCDPLAFLGNCIFRELFKMSEEEFGLPSDGLITLLCDSVVMNYIVSLVKRGLAKDIERAVLNSITTYSCKSNSCSNQLHADQQSLVCGF >KJB13359 pep chromosome:Graimondii2_0_v6:2:8127238:8132483:1 gene:B456_002G070500 transcript:KJB13359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGPNLQGNFVWLEQVLHCYKKYTGCRSSKKCLPGDGVIANLPCSISLSAINCTGVLKRVRIFLKFDDNIKHSRHDKRFDPSTTLIGEGIWDDKKNQLHVLLCRFLDIENSWSNAHVGDCTTGLSLRFPTIWSIKETSTIMGKIWTNKTEDDSGYFENIVFRSTENHMHALPDLKYEYTEHYRVRNLCPEKKLGRNRKRRYPSPNSIGMKFNMLVESSEGRTGWGSANALTVNSQLYMHARLSFASIRDDFSKPTRWEPQGRANISYRIDIKWHTPPKLTDEDNASIVPDEKMEITAEGIYDADTGGLCMIGCRKLDQPLGNASIDCGILLNFQFAPVKGLENGGYIRGEIKSIREKSDLLYFDHLDVSSVAYNREQARHTIWTMDLEIALVVISQTLVCLSVRSQLYHSKRHPNRLPFTSLVMLVILALGQLIPLVLNYEALFYHKNDQGTVLFQTGGWLEANEVIIRITSMVAFLLYFRILQQAFSSRSKDGNGKGLWFAEKMTLLVTLPSYVSGAIIVLLVDRANYKRDIVLLPISPVDYWQRSTLDDLKSYAGLISDGFLFPQILFNIFSNSRENALRPSFYIGTSLVRLLPHVYDLYCDHSYVEYKGTFIYANPAENFFSTVWDVIIPIGVLLFAAIIYLQQQFGGCCIVPKRFRWSESYENIPVVSES >KJB13360 pep chromosome:Graimondii2_0_v6:2:8127515:8132479:1 gene:B456_002G070500 transcript:KJB13360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGSFELQGLLESILYGSGSSQLSVPPRVPPAVADYSNPFILKLHGFWSESSGELCMVGTGSALLQEGTLLTPVVVLKLYNIKTSSSITTLITGTLESLSPSNDNNYFETISILMPPQLNYKFTIVSGDSVDEISSESHFKENFPIDSVLQGRSFCSKFTSLVFRNVFNLQYTGCRSSKKCLPGDGVIANLPCSISLSAINCTGVLKRVRIFLKFDDNIKHSRHDKRFDPSTTLIGEGIWDDKKNQLHVLLCRFLDIENSWSNAHVGDCTTGLSLRFPTIWSIKETSTIMGKIWTNKTEDDSGYFENIVFRSTENHMHALPDLKYEYTEHYRVRNLCPEKKLGRNRKRRYPSPNSIGMKFNMLVESSEGRTGWGSANALTVNSQLYMHARLSFASIRDDFSKPTRWEPQGRANISYRIDIKWHTPPKLTDEDNASIVPDEKMEITAEGIYDADTGGLCMIGCRKLDQPLGNASIDCGILLNFQFAPVKGLENGGYIRGEIKSIREKSDLLYFDHLDVSSVAYNREQARHTIWTMDLEIALVVISQTLVCLSVRSQLYHSKRHPNRLPFTSLVMLVILALGQLIPLVLNYEALFYHKNDQGTVLFQTGGWLEANEVIIRITSMVAFLLYFRILQQAFSSRSKDGNGKGLWFAEKMTLLVTLPSYVSGAIIVLLVDRANYKRDIVLLPISPVDYWQRSTLDDLKSYAGLISDGFLFPQILFNIFSNSRENALRPSFYIGTSLVRLLPHVYDLYCDHSYVEYKGTFIYANPAENFFSTVWDVIIPIGVLLFAAIIYLQQQFGGCCIVPKRFRWSESYENIPVVSES >KJB14547 pep chromosome:Graimondii2_0_v6:2:20397018:20398859:1 gene:B456_002G130500 transcript:KJB14547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKTIAFLQDASSCFPVLLLISSLTLASPSYFSLALSDSETAFIARRQLLSLPEGGKLPDDYESHVKLKFTFENPRLRRAYIALQAWKSAVHSDPLKTTHSWEGPNVCDYKGVFCAQALDDRKLIVVAGIDINHADIAGYLPVELGLLTDLALFHINTNRFCGIIPKSFSELTILHELDVSNNRFVGPFPEVVISLPALKFLDLRYNNFEGELPSALFEKDLDALFLNNNRFVSIIPETFGSSPASVIVVANNKLSGCIPKSIGKMFNTLNEIVLQNNNLTGCLPVEMGMLGNVTVLDISSNSFSGVLGKTFKGLGKVEELNVANNMLTGFASDDICRLPSLKNFTFSSNYFNGEAESCQPSKREDCVFDDVSNCIPDRPKQKSAKECHPVLSRPVECSQSMCGGGSPQPRPKPQLPKQKPLKPKPPKEEESPVTRERPPPLKLPPPVVKPPTPVSKPPKQEESPVTRERSPPPKLPPPVIKPPTPVPKPPTQEESPITRERSPPVIKPPPPVEKPPKQEESPVTRERSPPPKLPPPVVKPLTPPVHSPPPPPIHSPPPPVHSPPPPVHSPPPLSPPPAPVVSKPPPKKEVILPPTIGFQYSSPPPPKFPGY >KJB14672 pep chromosome:Graimondii2_0_v6:2:22965375:22968022:1 gene:B456_002G136900 transcript:KJB14672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSKASCSSHGEDSSYFLGWQLYDNNPFHGVVNPTGIIQMGLAENQLSFVLVESWLRRNPDAMGLKKDGESVFRELALFQDYHGLPAFKNELVEYMAKIRGNKVTFDPNNLVLAAGATSANETLIFCRFDPGQAILLPTPYYPGFNRDLKWRTGVEIVPNAYQSAQTLNLKVKGVLITNPSNPLGTTMTREELNHLITFAIAKNIHIISDEVFAGTVFDSPGFISIMEATMDRRLENENPDLRNRIHIVYSLSKDLGLPGFRVGMIYSNNETVVSAATRMSSFGLVSSQTQYLLSNMLANKKFTSKYMKENQKRLKRKREMLVSGLRTSGMECLKSNAGLFYWLWKTILCEVGLNITAGESCHCSEPGWFRVCFASMSQATLQIAMRRLRDFSERSSCSGRIGNKISSQQQNMSSLRRKLLSNWVHKLSSSECDEQHL >KJB12384 pep chromosome:Graimondii2_0_v6:2:992435:997721:-1 gene:B456_002G015300 transcript:KJB12384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYLCVFYHRLLDYRKPEFESLADLFGAFGDKRSSDFNTQDKVLQWRLPKHHHPDSPFHFVHLPYEDVARKIANRSILVKGIYELWGEGNDFEELEEAVKSYPDERKLPYLEYESTFKITVDSFGKVLSLQEQNERIQGLSYIPFKGRVNLKNPDHNFWLMETDENETNNGLPPVVKRRIFFGREIGGADRKLIPMYQLKTRNYLGPTAMDAEMAFLMANQAQAAPGKLVYDPFVGTGSILVSAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPMPIGLLRADNNLPPWRPGLKEVFDGIICDPPYGVRAGGRKSGGRKLLKGIVSPYTVPDDKRADHIPSTATYTLVECVHDLLDLAARMLVMGGRLVFFYPVLREDGSVETHFPEHPCFKLVATSEQMLSSRYSRVLLTMVKTSLYMEELALAARIKHLEFRENHLKWLENGNLHSSVFSPADAESGDSKP >KJB12385 pep chromosome:Graimondii2_0_v6:2:993967:997721:-1 gene:B456_002G015300 transcript:KJB12385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYLCVFYHRLLDYRKPEFESLADLFGAFGDKRSSDFNTQDKVLQWRLPKHHHPDSPFHFVHLPYEDVARKIANRSILVKGIYELWGEGNDFEELEEAVKSYPDERKLPYLEYESTFKITVDSFGKVLSLQEQNERIQGLSYIPFKGRVNLKNPDHNFWLMETDENETNNGLPPVVKRRIFFGREIGGADRKLIPMYQLKTRNYLGPTAMDAEMAFLMANQAQAAPGKLVYDPFVGTGSILVSAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPMPIGLLRADNNLPPWRPGLKEVFDGIICDPPYGVRAGGRKSGGRKLLKGIVSPYTVPDDKRADHIPSTATYTLVECVHDLLDLAARMLVMGGRLVFFYPVLREDGSVETHFPEHPCFKLVATSEQMLSSRYSRVLLTMVKTSLYMEELALAARIKHLEFRENHLKWLENGNLHSSVFSPADAESGDSKVSKGSKLKYRGKYV >KJB16996 pep chromosome:Graimondii2_0_v6:2:62097052:62100287:1 gene:B456_002G259500 transcript:KJB16996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFSLLFLNSFVSVMLIVNVVFVSAQCQSDQRQLLLQLESSFSYNQTSSGKLVPVKWNQSTDCCSWDGVSCDGGGHVIGLDLNSRSISSSIDDSSSLFLLQRLQWLNLAYNEFKLAFPTAFDKLENLSYLNLSYAGFEGQIPIEISRLTRLVTLDLSVSSLLGRSLKLEKPNLEMLVQNLTRLRFLYLDGVNISATVNEWCKALLPLTELQELSMSRCYLSGPIHSSLSNLRSLSVIRLDNNNLSASVPQFFTEFENLTSLRLSATGLRSFPNFPLNASLRTLALSGTNYGGQVPESIGNLEQLTRIELGSCNFSGAIPKTMKKLTQLVYLDFSFNRFSGPIPSFSSARNLIYLSLGYNQLNGAIHSTDWSSLSKLEIVGLGNNKLRGTIPPALFCIPSLQRLFLSQNQFKGNLSDLHGRASSLLYDLDLSSNKLQGQFPMSLFELRGLKFLSLSSNNFSGLIPMRALQNLRNLSFLDLSYNRLSIDATDTNISSLSFPNISTLKLTSCNLTEFPDFLKYQSRLSYLDLSNNQIQGRIPNWIWKVRSLNYLNLSQNFLVEFERSLENIDSSLSVLDLHGNQLQGQIQILPPCATYLDYSNNKFSSVLPAEIGDFLQFAYFFSVSGNNFNGSIPKSICSSLYLRVLDMSDNYLSGPIPQCLTQMSASLGVLNLRQNNLSGIISDTFTKSCSLQTLDLNRNQVEGKVLDIGNNQISGSFPCHLENISKLRVLVLRSNKFNGSIHCPKNNTGWPMLQIFDLACNNFSGKLHQTWLATWKGMQVVDDEAQSKVKDIQFQFLEINPYRYQDAITVTIKGLERELVKILTVFTTIDISCNNFEGPIPEVIGTFKELYGLNFSHNAFTGPMPSFLGNLRQLESLDLSSNYLSGEIPLQLVNLNFLSFLNVSNNKLVGQIPTGTQLQSFSKASFENNPGLYGPPLTVKCVNASRPKNDSPSDSETGSIIEWNLLSVEIGLIFGLGIIIVPLIYWKRWRIWYFERIHRALSRFFPSLSRETKKHGRRANRNERRRL >KJB13014 pep chromosome:Graimondii2_0_v6:2:4430273:4432880:1 gene:B456_002G051100 transcript:KJB13014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIIPHEIGNLNNLNWLNLAFNNIAGSIPPQVFNISTLRMISLDTNQLSGHLPSNMGPFLPNMEQLYLDFNHLAGSIPMYISNASQLTVLDMSNNYFSGSIPDNLGNLRNLKFLDLAGNNLTSSGMSFLFSLTNCRVLEKFLFDSNPFISGELPRVVGNVSISLEEFSAYSCNIRGSIPNEIGNLSHLISIDLGGNKLIGQIPTTIGGLEELQSLSLEDNKLEGSIPSQLCHLNKLAFLFLTNNQLSGPIPACLGDLTSLRQLGLDSNMFSSSIPSTLTRLNYLLILYLSSNSLSGPLPIDIGKWKVLTSLDLSNNQFSGDIPTGVADLKDLTHFSLSNNRIMGSIPESFDELLSLEFLDLSRNNLSGEIPKSLEKLRYLKYFSVSFNRLEGEIPEGGSFGNYTIESFKGNEALCGAARLHVPNCKTRPLRNSKAKTKLITYVAPPIASTILVVVLVIIILQNSRRKDKLSPQEDMIPLGTWRRFSFHELRQATDGFNDSRLLGNGSYGSVFQGTLPDGMIIAVKVFKLELEGAFKSFDVECDVLRNTRHRNLVKVISSCSNDLNFKALVLEFMPNGSLDKWLYFNKQYLDILQRLNIMIDVASALEYLHHGNATPVVHCDLKPNNVLLDEDMVAHLSDFGIAKLLCEEVSMIQTMTMATFGYMAPEYGTEGVVSTKGDVYSFGIFLMEIITRKKPTDEMFAGERSLKSWVKESISSSVNRVVDTNLLSTIGKEHFAAKNCVLSILEVGLECCVELPNERLHMKEIVTKLKKIKVKLLRDME >KJB14402 pep chromosome:Graimondii2_0_v6:2:17710917:17713561:-1 gene:B456_002G123100 transcript:KJB14402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLIRSLRQWPPLQLQQQYHCCSRILTVSTKTPSHCFSFATSTSSRRNARLRPRGTRLPNAPSTSDLRENEISDDSESDVKKSRNQKKREARRAVRWGMDLASFSTPQIKLILRASSLEQDVFDALMLVKRLGPDVREGKRRQFNYIGKLLREVEPELMEVLIQATKVGDQKTLQALAGSETQIIEEEDEEEDDDDDDQFEYSSQEFVNTANRWFDGLISKDIEITNEVFSVSSVDFDRQELRKLVRRVQTSQEQSQNVSEEEKAKIEAAVRSARKSLTRFLRTLARQLTTEESFD >KJB14403 pep chromosome:Graimondii2_0_v6:2:17711235:17713273:-1 gene:B456_002G123100 transcript:KJB14403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLIRSLRQWPPLQLQQQYHCCSRILTVSTKTPSHCFSFATSTSSRRNARLRPRGTRLPNAPSTSDLRENEISDDSESDVKKSRNQKKREARRAVRWGMDLASFSTPQIKLILRASSLEQDVFDALMLVKRLGPDVREGKRRQFNYIGKLLREVEPELMEVLIQATKVGDQKTLQALAGSETQIIEEEDEEEDDDDDDQFEYSQSQEFVNTANRWFDGLISKDIEITNEVFSVSSVDFDRQELRKLVRRVQTSQEQSQNVSEEEKAKIEAAVRSARKSLTRFLRTLARQLTTEESFD >KJB16976 pep chromosome:Graimondii2_0_v6:2:62009186:62009729:1 gene:B456_002G258200 transcript:KJB16976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESIRLEDSSPLATVEDIQKRLLRPASLHSPPEVSNSLALKVNSKQSGRTVKKRLEDYLDPVILAGISSKISRSKKAKLETNFKGFEWPVDELKVFVEEDSRNSNGGSWRNKAIDLNDDFDVLRDGSDGDEEIGSPFQRVGKKTALKKFEH >KJB15312 pep chromosome:Graimondii2_0_v6:2:42788642:42792248:1 gene:B456_002G170500 transcript:KJB15312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFGNSTAFVILGVFILSCVSHVRSDASDHRYKEGDPVPLYANKVGPFHNPSETYRYFDLPFCSPDHVKEKKEALGEVLNGDRLVSAPYKLNFREEKDSSVVCKKKLSKDEVVFFRKAVEKDYYFQMYYDDLPIWGFIGKVDKEGKADPSEYKYYLYKHIQFDVLYNKDRVIEISARMDPHLLVDLTEDKEVDAEFMYTVKWKETGTPFEKRMERYSMSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYGQDEEAADDQEETGWKYIHGDVFRFPKYKSLFAAALGSGTQLFTLTVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYVATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSRAEFQAPCRTTKYPREIPPLPWYRSAIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLLLGTVGFRASLFFVRHIYRSIKCE >KJB15310 pep chromosome:Graimondii2_0_v6:2:42788719:42792139:1 gene:B456_002G170500 transcript:KJB15310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFGNSTAFVILGVFILSCVSHVRSDASDHRYKEGDPVPLYANKVGPFHNPSETYRYFDLPFCSPDHVKEKKEALGEVLNGDRLVSAPYKLNFREEKDSSVVCKKKLSKDEVVFFRKAVEKDYYFQMYYDDLPIWGFIGKVDKEGKADPSEYKYYLYKHIQFDVLYNKDRVIEISARMDPHLLVDLTEDKEVDAEFMYTVKWKETGTPFEKRMERYSMSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYGQDEEAADDQEETGWKYIHGDVFRFPKYKSLFAAALGSGTQLFTLTVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYVATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSRAEFQAPCRTTKYPREIPPLPWYRSAIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLLLGTVGFRASLFFVRHIYRSIKCE >KJB15315 pep chromosome:Graimondii2_0_v6:2:42790143:42792133:1 gene:B456_002G170500 transcript:KJB15315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRTVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYVATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSRAEFQAPCRTTKYPREIPPLPWYRSAIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLLLGTVGFRASLFFVRHIYRSIKCE >KJB15311 pep chromosome:Graimondii2_0_v6:2:42788803:42791032:1 gene:B456_002G170500 transcript:KJB15311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFGNSTAFVILGVFILSCVSHVRSDASDHRYKEGDPVPLYANKVGPFHNPSETYRYFDLPFCSPDHVKEKKEALGEVLNGDRLVSAPYKLNFREEKDSSVVCKKKLSKDEVVFFRKAVEKDYYFQMYYDDLPIWGFIGKVDKEGKADPSEYKYYLYKHIQFDVLYNKDRVIEISARMDPHLLVDLTEDKEVDAEFMYTVKWKETGTPFEKRMERYSMSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYGQDEEAADDQEETGWKYIHGDVFRFPKYKSLFAAALGSGTQLFTLTVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYVATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSRAEFQAPCRTTKYPREIPPLPWYRSAIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRYINSIFVFISPMLFALLF >KJB15313 pep chromosome:Graimondii2_0_v6:2:42788719:42792139:1 gene:B456_002G170500 transcript:KJB15313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFGNSTAFVILGVFILSCVSHVRSDASDHRYKEGDPVPLYANKVGPFHNPSETYRYFDLPFCSPDHVKEKKEALGEVLNGDRLVSAPYKLNFREEKDSSVVCKKKLSKDEVVFFRKAVEKDYYFQMYYDDLPIWGFIGKVDKEGKADPSEYKYYLYKHIQFDVLYNKDRVIEISARMDPHLLVDLTEDKEVDAEFMYTVKWKETVLLLTGFLATILMRVLKNDFIKYGQDEEAADDQEETGWKYIHGDVFRFPKYKSLFAAALGSGTQLFTLTVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYVATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSRAEFQAPCRTTKYPREIPPLPWYRSAIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLLLGTVGFRASLFFVRHIYRSIKCE >KJB15314 pep chromosome:Graimondii2_0_v6:2:42788719:42792139:1 gene:B456_002G170500 transcript:KJB15314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALVGVFYPYNRGALFTALVVIYALTSGIAGYVATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSRAEFQAPCRTTKYPREIPPLPWYRSAIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLLLGTVGFRASLFFVRHIYRSIKCE >KJB16210 pep chromosome:Graimondii2_0_v6:2:56876088:56876860:1 gene:B456_002G217700 transcript:KJB16210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGHSYSKIKGTDVVDQSSRSMESSDLIVPFPQTSKSTSNPIPEESIKNSNPNTQCPSVQDDDDEAQGTNGEMPVPTLRRNSSVSAAYALQLQAAVKRAFPMRRSSSVSESYCRIHDQYVTLAPPLGDDDESDITGTRRSVKKKNNNYKNRRDKILKAWKKIFGL >KJB13082 pep chromosome:Graimondii2_0_v6:2:5561684:5565776:-1 gene:B456_002G056800 transcript:KJB13082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVQSLIRKETGYVKFCLIFDESKEISENKHMAIVVRYVDEQGFVRERFLDLVHVKETTFLTSKFEICVVLSHHNLNLHNLRGQGYDGASKMCEEWNGLQGLTKGVNQIGTIQRLGDTRWSSHYKSLCSLLRMYGATREVLTDIATQGATNDGWEILLQNVKEFCERYGIVISDMHVPYFDLNNRFSEQATELFILSASLNPRDGNKSFNAEHICNLVEKFYPEDFSKQEKDQLKYELQHYGVDVPIHPNLKDLSTLGDLCRGLVTTRKT >KJB12317 pep chromosome:Graimondii2_0_v6:2:770805:772913:1 gene:B456_002G011600 transcript:KJB12317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGPENGGVSTQQAAFVKKPKMFAVACAVMASLTSMLLGYDIGVMSGAIMFIKKDLKINDVQVEILVGVLNLYCLVGSIVAGKIADWIGRRYTIAMANVIIFAGSLFMGFSVNYAFLMVSRFVAGIGVGFALMSAVLYTSEISPASSRGFLTSFPEVCINSGILLGYVSNYAFSKLPMKIGWRLMLGVGAIPSIFLAFAVLAMPESPRWLVMQGRLDEAKVVLDKTLDTKEEAQLRLDDIKEAAKIPQDSTTGNGEGVWRQLLLHPTPAVKHVLICAIGIHFFQQSSGIAAVVSYNGKLLATIAIGFFKTIFVLVATLLLDKIGRRVLLLSSVGGMVVSLATIGFSLTIADHSETKLTWAIVLCMTMVLAFVSFFAIGMGPITNVYMSEIFPLRLRAQGVSMGVAVNRVVSGIISMTFISLYKAITIGGAFFLFAGIATVGWVFFYVCMPETRGKTLEEMECLFGKLVGWKEASKKMNDVTVEG >KJB16688 pep chromosome:Graimondii2_0_v6:2:60734924:60737571:-1 gene:B456_002G243300 transcript:KJB16688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYQCQKSNMAAKRVTLLSQLSFTSQKKPKPLSTLSTLSSSQAANTNEKHETFVLHHHECHHHIQELTTLLQQGQTEAAQTLTKSLLSSKSPSDLFNLFSFTSYSLKLSFSNILFSLLAQSKMHSEAMELYKAIRKEGMQPSITSLNLLLDSLVSLNKFDGTLNLFEEIIGSGFRPNRFMYGKAIQAAVKLGDLKRAYEFLDDMKQKGVNPSLFIYNVLISGFCKERRIREAEKGFNEILERKLVPSLVTYNTLIDGYCKVGDLEKAFGLKERMVKENVEPNIVTFNTLISGLCHAQRMEEAKGVLKEMEARGFVGDGYTFSILFDGFLKSGNGESAMALYEEMKGKGFGINRYALSNWLNHLCKEGDFEKAEEVLQNEIEKGFVPNEVVFNTIVKGYCQIGDMNRAISTVEHMEKLGLRPDYVTFNTLIGKFFEMKEVENAEEWVKKMREKGVSPKVETYNVLINGYGKMNVLDRCFAVLEEMERDGIKPNVVTYGSLVNCLCKNGMLLEAEITFKDMISRGVLPNVLVYNTLIAGNCAAGNLTDAFKYFDEMVKGETRPTIVTYNTLISGLCKKGRITEAEALLPKITSNGCSPDVITYNTLLSGYSNEGNAEKCLDLYENMKSLGIKPTLNTYHPLISGCSKKGIELAERLFREMEEMHLTPDLLTYNVLIHLYAEHGDVQNAVSLHREMIDRGICPDKMTYNSLILGQFKNGKLLEIKDVVDDMKAKGLVPKADTYTLLIKGYCEHKDFIGAYVWYREMFENHFLPRFTTCKELVIGLKEQGKSQEAQIICLEMKAKGMDNWNSDEDVSELAV >KJB13005 pep chromosome:Graimondii2_0_v6:2:4392966:4393529:1 gene:B456_002G050700 transcript:KJB13005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQMIFAWAIIFCLAVAPVHGQYYSRTVKAGPRVEKITRLHFFFHDIRSGENATAIPIASPNTTQDTPTYGTLYAMDDPLTMGSELTSTLIGNSQGLYLDLSRERTQFTAIFYADFAFTTGRFNGSSFSLFSRFSAEADPSTIREMAIVGGRGAFRMATGFALLRPIWSNTIGDAIVEFNVTLYHY >KJB15296 pep chromosome:Graimondii2_0_v6:2:42361594:42372535:1 gene:B456_002G169200 transcript:KJB15296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 3 [Source:Projected from Arabidopsis thaliana (AT1G75010) UniProtKB/Swiss-Prot;Acc:Q6F6B5] MPASSMEFPVLTGSHVVSRISLLTRFSSKPVFFNSFFGPKSLCCKRLCYNPTSVVKISMSMEKQSSSLNGYGQNMERNSGVLEVIAIGSRKDALLEFCLDSPFQSSSLRFWNVLMKDSSNVQLHQRFLGKDLTPRIVEAAIFMQSCPKTIILVAGAGYGSDHIAVMEILKTIRASNGFSVAIILKPFTFEGQRRLDEVKDLAGKLQELTNFCIEIDTDSLLKNELVTLDEALKTANNAVFLAINAISVVISEVQIKLIDAVHDNMKELGVSEVINILGKYKEAKLGFGAGYNIRTSILQAMYDCPFIGAGVKDLDGMVICVVASSNVINNNDVQAFLHTFRQTTEYTKDIIISVVHEPKLEPNLLVTTVVILGHLEEQASKKSSIFTRLAQHFPFVFNLLRRHPSRSNDTNDVKVMNRTDSDEMGKEVALEGISGGFDDRHGEIQDTLNDKSSDIYSLRNYDSGSDQNEIALLDGKTDFSSYYDDITEGIPTFSREPLSHWNLGPGNQLAKEWAKERAADSEATPVLDNLRIFCLPVGVRSSEEPEEGISTLNAMEFSESKSENVVKAPALPSSSRSLGAFSDASFEAMKEFYNSGSTLLKGKTGVPKKQGVLSARAASMLEAERDSPKKWSPIVEMHYRGGVYRGRCQGGLPEGKGRLILGDGNIYDGMWRYGKRSGVGTFYFSNGDVFQGSWRDDLMHGKGWFYFHTGDRWFANFWKGKANGEGRFYSKSGDVFFGHFEDGWRHGHFLCITVDGTRCIEIWNEGVLMSRQQLDADAVL >KJB15360 pep chromosome:Graimondii2_0_v6:2:43781026:43782184:1 gene:B456_002G172400 transcript:KJB15360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGSIFNRKKKLRSRNLTDSPTVSSSGSLFLQSPVRNFTQTQLQEIEEVFKKFDANGDGKISASELGSIMKSLGQQPSDEELHNMIKEFDADGDGFINFNEFIELNTKGVDSEEVLENLKDAFSVYDIDGNGWISAEELHEVLKSLGEECSIAECRKMISGVDNDGNGMIDFEEFKVMMMAGATFVSMDSKRDVAV >KJB17091 pep chromosome:Graimondii2_0_v6:2:62518340:62520213:-1 gene:B456_002G265400 transcript:KJB17091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEENSGTGCSKTVKAETESEENEGESRPKNGGSSSNSTVEENEKKPSVRPYVRSKLPRLRWTPDLHLRFVHAVERLGGQDRATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKIDDSGQVISDHRHLVESGDRNIYNLSQLPMLQGYSNLHHHHHHHQGDSSSSFYRYGDASWGNREYSMSRPYTSTSFINESRPGLHRTVTEKIFSSNWASYDFKCNQVQPRLGPIETSSMSQNTSLSIHNSNLISQDLKSTKRKTFDCDLDLDLSLRITAKEDKQQNSEQDDVVSELSLSLYSPSSSSSKLSRLKSEEDHCTKKNARRVSTLDLTI >KJB13087 pep chromosome:Graimondii2_0_v6:2:5372200:5376166:1 gene:B456_002G056100 transcript:KJB13087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRPKKLKQFYNIPALFLILSTLFSVSHGRQNENVDLQSDGIMRLVKRKMEETESRNSSIILAKEETERPDPLNKFKDYKGGWDITSKHYLASVGYSSAPFALMAIVWFMLFGIFLLCACICCCCCHRNKPYGYSRLAYACSLIFLILFTIAAVAGCALMFTGEGKFLASVNDVSHYIVTQGLSIYDNIIGIQNFLISAKNVVLNNKFVPDDLKGEVDKANKLIDSVGSLPRIKSQDITDEIRKLLKQINSALITITVFMLLLEFLGFCKLFCSQKLVVIGWIVITLTFFLCGLFLVFHNIVSDTCIAMDEWIQDPMADSAMGEVLPCFDMAFGEEIKEAGKGVTTNVNDLLNQFITVLANNNTANNQSGPLVPLICDPYKHGDSQESCGDEVPLKNATEEWEKYVCQVSEDGDCTTPGRLTPDMYTEMIKAVNISNGLYDYNPFIAGVADCSIIQDTLRNITTNHCPGLKKYSEWVYCGLVAATGSVMFSLFFWVLYARERRHRKYTKRINKGYDESPLVGGKKL >KJB14874 pep chromosome:Graimondii2_0_v6:2:27609004:27610140:1 gene:B456_002G147600 transcript:KJB14874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRG >KJB12729 pep chromosome:Graimondii2_0_v6:2:2496048:2498358:1 gene:B456_002G033200 transcript:KJB12729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACVNNIGVSPESFQPKTSYPSFGWLSPRISFSREEESSSKSKSKTDPDTTEIQDVPPGEFEFRLEDPVAMLPADELFSDGKLVPLHLSTVKQLQQQQQQRQEQEQHRALNGLAEIRSRETGSSMEVSGSDHYLFSPKAPRCSSRWRELLGFKKCSLSTNNQPSKPESQSSKVSLLSNNNPKSLKHFLHRSSKSSSDSSLNLPLLKSSDSESVSISSSRLSLSSSSSGHEHDDLPRLSLDSDKPSPNPFAPCRNINPNPNPPRMRMVKPRPGSGSDQTSTGGARMGRSPIRREPMTSRGVSVDSPRMNSSGKIVFQSLERSSSSPSSFNGGPRFKQRGMERSYSANVRITPVLNVPVCSLRGSSKSGSVFGFGQLFSSSPQKNPNGSLSSSSSAASSKGHQISCNRNRTTDRNH >KJB12844 pep chromosome:Graimondii2_0_v6:2:3119589:3121430:-1 gene:B456_002G038900 transcript:KJB12844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVSKPAIEQFSSYLKNNKPTTLFTQIPLVDLSKPDSKHQIIKACEEFGFFKVINHGVPMESISMLESEATKFFSLPLCEKQKTGQPQPYGYGHKRIGIHGDVGWVEYLLLTTNQDPSLHSFQTFRVALNSYMKSVKKMACEILEMMADGLKIQPRNVLSKLLMDEESDSVFRVNHYPPCPNVQPLNGNGNGNGDVIGFGEHTDPQIISVLRSNNTSGLQISLREGSWISVPPDQTSFFINVGDSLQVMTNGRFKSVKHRVVTNSVKSRLSMIYFCGPPLSEKIAPLPSLMRGDQQSLYKEFTWFEYKKSAYNSRLADNRLIHFEKIAAS >KJB14237 pep chromosome:Graimondii2_0_v6:2:16367042:16368769:-1 gene:B456_002G115500 transcript:KJB14237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKAPTSIFLIFLCLLLIAFYSLLLSRSAISLSPTHLRFRPRNLTTRYDAPDSFNHVIREDINELPHRTRLVTSIQGPVESVSVLLPNWEVLVLVSHDTPLTSNLGVGGFYCFFPRNETSPANFSGVLPFTSATTFKCTLPNRNRRLQPFHQPVLTRFPERETNVISAAPVMPRWDFLAYECFSTETDVVLFVKGVNNRQGINKSPEEFRCVFGNDINNAVKTPVTSSKQEVFRCLHPNLMELSISPSTTAAAKRIKVSIEINREKLVVPSVAYYTPPRRHMPSNPNPTSLLCATTMVYNVAKFLREWVMYYSKIGVDKFILYDNGSDDDLERVIKELNEEGDYNIERIFWVWPKTQEAGFSHSAVYAKDSCTWMMYVDVDEFIFSPSWLKNSSQPSKTMLKSLLSISSNGSIGQVSIKCNDFGPSDQKKHPAMGVIQGYNCRRQAEQRHKSILLLEAVDHSLLNVIHHFDLNNNHYNWKELPLEAAVINHYKYQAWPEFMTKFRRRVSAFVADWRTRVNPMSKDRTPGLGFQPIKPEGWENMFCDVKDDRLKLWTKKWFGSQTPQGLKMAWQL >KJB13751 pep chromosome:Graimondii2_0_v6:2:11770271:11770926:1 gene:B456_002G092800 transcript:KJB13751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGDSLSVIRKVNSFSPDFSAIGAYIRDVQVLVASFHSCCFPYVLCTGNTVAHLLATIGLHTGGTSFMRNGVPSFVVLVVDGDRRVFGMVAVD >KJB13083 pep chromosome:Graimondii2_0_v6:2:5271025:5280111:-1 gene:B456_002G056000 transcript:KJB13083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWVKVIVSFILTLSFLSFPSCAFQSDELLVDDEEFGLEGAPQHRSPEPIPTRSPPVTSPTRKRHSDLDSDSKIQFSLDHAFGDSDFSPAGTFSARLKTWSHGGQRCLPRESLDEHFVIHMEGVNVLAVNYGSPGSCPYPRNLKLPAKWSFSSHTVLKNSEQAPRAPVFTEEILGGENAEGEVVQPAERSFWAKYWMYLIPLGLIVMNAITQAMNMPEEQATGQVPAQGQPSAGAVQRGPSSAVRRR >KJB13085 pep chromosome:Graimondii2_0_v6:2:5271025:5280111:-1 gene:B456_002G056000 transcript:KJB13085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWVKVIVSFILTLSFLSFPSCAFQSDELLVDDEEFGLEGAPQHRSPEPIPTRSPPVTSPTRKRHSDLDSDSKIQFSLDHAFGDSDFSPAGTFSARLKTWSHGGQTLTKLRFSRNSFSDVEKEKFKNLLESDDFYRIRLPSNVLSPPGRDFIISSVKAEGVNVLAVNYGSPGSCPYPRNLKLPAKWSFSSHTVLKNSEQAPRAPVFTEEILGGENAEGEVVQPAERSFWAKYWMYLIPLGLIVMNAITQAMNMPEEQATGQVPAQGQPSAGAVQRGPSSAVRRR >KJB13086 pep chromosome:Graimondii2_0_v6:2:5271025:5280116:-1 gene:B456_002G056000 transcript:KJB13086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWVKVIVSFILTLSFLSFPSCAFQSDELLVDDEEFGLEGAPQHRSPEPIPTRSPPVTSPTRKRHSDLDSDSKIQFSLDHAFGDSDFSPAGTFSARLKTWSHGGQTLTKLRFSRNSFSDVEKEKFKNLLESDDFYRIRLPSNVLSPPGRDFIISSVKARCLPRESLDEHFVIHMEGVNVLAVNYGSPGSCPYPRNLKLPAKWSFSSHTVLKNSEQAPRAPVFTEEILGGENAEGEVVQPAERSFWAKYWMYLIPLGLIVMNAITQAMNMPEEQATGQVPAQGQPSAGAVQRGPSSAVRRR >KJB13084 pep chromosome:Graimondii2_0_v6:2:5271479:5279875:-1 gene:B456_002G056000 transcript:KJB13084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWVKVIVSFILTLSFLSFPSCAFQSDELLVDDEEFGLEGAPQHRSPEPIPTRSPPVTSPTRKRHSDLDSDSKIQFSLDHAFGDSDFSPAGTFSARLKTWSHGGQFCQTLTKLRFSRNSFSDVEKEKFKNLLESDDFYRIRLPSNVLSPPGRDFIISSVKARCLPRESLDEHFVIHMEGVNVLAVNYGSPGSCPYPRNLKLPAKWSFSSHTVLKNSEQAPRAPVFTEEILGGENAEGEVVQPAERSFWAKYWMYLIPLGLIVMNAITQAMNMPEEQATGQVPAQGQPSAGAVQRGPSSAVRRR >KJB13282 pep chromosome:Graimondii2_0_v6:2:7731842:7734596:-1 gene:B456_002G066400 transcript:KJB13282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSFHLLSSPSLLSLPPLHNPSYSPLFLLRKPTFLSAHSKPHLGPFLSHAQGPITTIAETQEEEEGPFELPPSSTSTSIFATNDDPTPLQTATSVLLTGAIGVFLFRSLRRRAKRAKELRLRSSDTKKSLKEEALDNLKAISSASIDTKSSTPSAVQALLGSIAAGVITLILYKFTTTIEASLNRQTVSDNFSVRQITITIRTIVNGLCYLATFVFGINSLGLFLYSGQLALNSFMDGSSSKENESKSQENVGSVKEDVAEGMELTSRREDQSPDDKQ >KJB11860 pep chromosome:Graimondii2_0_v6:2:61241219:61245424:1 gene:B456_002G248300 transcript:KJB11860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESETKQSKFKRICVFCGSSQGKKRSYQDAAIELGRELVTRNIDLVYGGGSIGLMGLVSQAVHNGGRHVIGVIPKTLMPRELTGETIGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKSVGLLNVDGYYNSLLSFMDKAVEEGFISPSARQIIVSAPTAKELVKKLEEYVPCHERVASKLSWEMEQLGYSKTYDISR >KJB15169 pep chromosome:Graimondii2_0_v6:2:39073757:39077332:1 gene:B456_002G163300 transcript:KJB15169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSIRLVHEVAQHLGENMVRTIAMDGMEGLVRGQPVLNTGSPITVMLHVANVATSEVSALLGRIPSAVGYQPTLATDLGGLQEHITTTKKGSITSVQAIYVSADDLTDPAPVTTFAHLDATTVLSRKISELSIYPAVDPLDSTSRMLSPHILGEEHYSTARGVQKVLQNYKNLQDIIAILRMDELSEDDKLTVARARKIQRFLSQPFHVAEAFTGAPGKYVELKASITSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKADKNAKEFAA >KJB15477 pep chromosome:Graimondii2_0_v6:2:47744403:47744744:1 gene:B456_002G181800 transcript:KJB15477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATRKRGFFKGKLAKSLSRVTKVVPCPPSSIPRVSKYSYAAISKHQPSRFHEHKSVADLPSSMPKDSTASYPNSLPFDALGDENVDSKATSFISNVREGFKLDRN >KJB17159 pep chromosome:Graimondii2_0_v6:2:62648313:62661185:1 gene:B456_002G267700 transcript:KJB17159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVCEGKDFSFPSQEEHILSYWSQIDAFKGQLARTQNLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRFQSMTGHHVTRRFGWDCHGLPVENEIDRKLGIKRRDQILEMGIDKYNEECRSIVTRYVEEWETIITRTGRWIDFKNDYKTMDLKFMESVWWVFAQLYQKGLIYKGFKVMPYSTGCKTPLSNFEAGENYKLVPDPEIMVAFPIVGDPDNAAFVAWTTTPWTLPSNLALCVNANFVYVKVRNKYSGKIYVVAESRLSELPTEKPKPNAANGPSGDSKKSKSKGSSGEKTKDSAADSFEVLEKFSGASLVGKKYEPLFNYFLEFSDAAFRVIADNYVTDDSGTGIVHCAPAFGEDDYRVCIGNQIINKGENLIVAVDDDGCFTGKITDFSGCYVKDADKDIIEALKAKGRLVKQGTFTHSYPFCWRSDTPLIYRAVPSWFVRVEQLKEQLLDNNKQTYWVPDYVKDKRFHNWLENARDWAISRSRFWGTPIPVWISEDGEEVIVMDSVEKLERLSGAKVFDLHRHNIDHITVPSSRGPEFGVLRRIDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKRLKNYPSPMEVINDYGADALRLYLINSPVVRAETLRFKKDGVFGVVKDVFLPWYNAYRFLVQNAKRLEYEGSAPFVPIAVAVIQKSSNVLDQWINSATQSLVHFVREEMDAYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEEDCRMALSTLYNVLLTSCKVMAPFTPFFTEVLYQNMRKICDGAEESIHYCSFPQEEGKRGERIEESVARMMKIIDLARNIRERHNKPLKTPLREMVVVHPDEDFLGDIVGKLREYVLEELNIRSLVTCKDTLKYASIRAEPDFSVLGKRLGKAMGAVAKEIKAMSQEDILALTKAGEVTIATHCLKLTEIKLVREFKHPDGMTDKEMDAAGDGDVLVVLDIRPDESLFEAGVAREVVNRIQKSRKKAGLEPTDMVEVYFESLDEDKSVIQQVLNSQENYIKDAIGSPLLSFDIMPLHAVVLSEEIFHGIYNMSFKISLVRPALVFKANSILALYAGNSKFAQGLQIYLLSRDHSCLKSEFQLGRGKSGFVLCCR >KJB17158 pep chromosome:Graimondii2_0_v6:2:62648189:62661185:1 gene:B456_002G267700 transcript:KJB17158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVCEGKDFSFPSQEEHILSYWSQIDAFKGQLARTQNLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRFQSMTGHHVTRRFGWDCHGLPVENEIDRKLGIKRRDQILEMGIDKYNEECRSIVTRYVEEWETIITRTGRWIDFKNDYKTMDLKFMESVWWVFAQLYQKGLIYKGFKVMPYSTGCKTPLSNFEAGENYKLVPDPEIMVAFPIVGDPDNAAFVAWTTTPWTLPSNLALCVNANFVYVKVRNKYSGKIYVVAESRLSELPTEKPKPNAANGPSGDSKKSKSKGSSGEKTKDSAADSFEVLEKFSGASLVGKKYEPLFNYFLEFSDAAFRVIADNYVTDDSGTGIVHCAPAFGEDDYRVCIGNQIINKGENLIVAVDDDGCFTGKITDFSGCYVKDADKDIIEALKAKGRLVKQGTFTHSYPFCWRSDTPLIYRAVPSWFVRVEQLKEQLLDNNKQTYWVPDYVKDKRFHNWLENARDWAISRSRFWGTPIPVWISEDGEEVIVMDSVEKLERLSGAKVFDLHRHNIDHITVPSSRGPEFGVLRRIDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKRLKNYPSPMEVINDYGADALRLYLINSPVVRAETLRFKKDGVFGVVKDVFLPWYNAYRFLVQNAKRLEYEGSAPFVPIAVAVIQKSSNVLDQWINSATQSLVHFVREEMDAYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEEDCRMALSTLYNVLLTSCKVMAPFTPFFTEVLYQNMRKICDGAEESIHYCSFPQEEGKRGERIEESVARMMKIIDLARNIRERHNKPLKTPLREMVVVHPDEDFLGDIVGKLREYVLEELNIRSLVTCKDTLKYASIRAEPDFSVLGKRLGKAMGAVAKEIKAMSQEDILALTKAGEVTIATHCLKLTEIKLVREFKHPDGMTDKEMDAAGDGDVLVVLDIRPDESLFEAGVAREVVNRIQKSRKKAGLEPTDMVEVYFESLDEDKSVIQQVLNSQENYIKDAIGSPLLSFDIMPLHAVVLSEEIFHGIYNMSFKISLVRPALVFKANSILALYAGNSKFAQGLQIYLLSRDHSCLKSEFQLGRGKIKVDCIENLAAVEVKLGEHVFLTVGDYYLTERKSH >KJB14546 pep chromosome:Graimondii2_0_v6:2:20348159:20348380:-1 gene:B456_002G130400 transcript:KJB14546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQIQTRHTSSLPPFPPKPKFLQAKPKPLFKLCSSLSTVSVPPTKTSHKSFPVEVSKTIMELFCIGTLSTLA >KJB17122 pep chromosome:Graimondii2_0_v6:2:62583073:62584811:-1 gene:B456_002G266500 transcript:KJB17122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAVPPVIPVSSVQSVESQPPAASPAFLSFVSRLNESLRNGLSQRRPWAELVDRSAFSKPESFSDALVRVRKNYSYFRVNYLSVIGLILAFSLLSHPFSLLLLLGLLCSWIFLYLFRPADQPLILFGRTFSDRETLGFLIIFSVFVVFLTTVGSLLISALMVGVGLVCAHGAFRAPEDLFLDEQEQVSTGFLSFLGGAATNAAVAAAPAVAARV >KJB14197 pep chromosome:Graimondii2_0_v6:2:16089695:16093460:-1 gene:B456_002G113800 transcript:KJB14197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MATPKERSLEETPTWAVAVVCAIFVIISILIEHGIHSLGKWFQKRQKKAMMEALEKIKAAICIPEKIGYTMLPCKRKPGYAGDSGKDGNKGGGGGGGDEDRRRKLLSYAEDMVWRRVLASSKGKDSCPKGKVALITQSGMHDLHIFIFALAVFHVLYSVATILMAKAKMKKWESWESETKTLEYQYRNDPSRFRLTHQTSFVKRHSGFSTIPGMRWIVAFFRQFFGSVSKVDYLTMRNGFINAHFAANTKFDFHKYIKRSMEDDFKVVVGISTPLWAFAIIFLLLNVYKWQTLTWLSLVPLTILVLVGTKLELIIMEMAEEIQERSAVVRGAPVVEPNNKYFWFNRPRWILFLIHYTLFQNAFQMAYFLWVVFKYSLGSCIHEKPYFVVGRVVVGLFLQILCSYITFPLYSLVTQMGSHMKTAIFEEQTAKALKNWRKAAKKRNKQKEKGGGGMSSPMSVSMSGNTTPSRGTSPLHFLHNHKHRSTASDQTDGVLNSPTHSNFSYPSDTDLSDIEAAALSPPQHSTQLDDDDDHHHQHNIDFSFDKP >KJB15913 pep chromosome:Graimondii2_0_v6:2:54492261:54492615:1 gene:B456_002G203300 transcript:KJB15913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHPSTLLLHFFPSFQLGPNFSHPLHWSIPPTCVPSFIHRPQQCRGFFQLWIASVTFWTSRFPTTCMSSTEPD >KJB13324 pep chromosome:Graimondii2_0_v6:2:7906453:7907148:-1 gene:B456_002G068100 transcript:KJB13324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDRRKRSSSGEIFSFPSTPNQDLDSEFEFGCFTPDSPSQDPCRTSPADHLFFNGRLLPHAFPLQPGTTIAGSCSRGTSRTSSINSKDSLMSSRSNSTNSRSSCSSARTSSSDNSERRLLYHSKIHACNKASKMVTAQLYGDSQRWQYITQVPVLKREVSRRKNSGVEGKEALRAKKQGEHHQKRSSSGRGRSGPCLKFFRLFLFACRVCHAMEPSRKQDVMHGNLKNAV >KJB16485 pep chromosome:Graimondii2_0_v6:2:59155386:59158764:-1 gene:B456_002G232200 transcript:KJB16485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSWADSVANSASETVVSDNNSLRRPTRSTYVPPHLRNRPSSDTHNLSGPVTERTSFNGTTGVSQWSRPSIGGGGGGTKPEIGRQGQVYGSSGRGGGGWNNRSGGWNRREREVNPFDDNDEADVKDQACGEQENSGINFDAYEDIPVEISGENVPPPVNTFVDIDLGELLNQNIRRCKYVKPTPVQRHAIPIVLGGRDLMACAQTGSGKTAAFCFPIISGIMREQYVQRPRVARTVYPLALILSPTRELSCQIHDEAKKFSYQTGVKVVVAYGGAPITQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPNRGVRQTMLFSATFPREIQRLASDFLANYIFLAVGRVGSSTDLIVQRVEFVQESDKRSHLMDLLHAQRENGAHGKQALTLVFVETKKGADSLEHWLCMNGFPATTIHGDRTQQVIVRNYVARYDNLS >KJB16484 pep chromosome:Graimondii2_0_v6:2:59155342:59159290:-1 gene:B456_002G232200 transcript:KJB16484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSWADSVANSASETVVSDNNSLRRPTRSTYVPPHLRNRPSSDTHNLSGPVTERTSFNGTTGVSQWSRPSIGGGGGGTKPEIGRQGQVYGSSGRGGGGWNNRSGGWNRREREVNPFDDNDEADVKDQACGEQENSGINFDAYEDIPVEISGENVPPPVNTFVDIDLGELLNQNIRRCKYVKPTPVQRHAIPIVLGGRDLMACAQTGSGKTAAFCFPIISGIMREQYVQRPRVARTVYPLALILSPTRELSCQIHDEAKKFSYQTGVKVVVAYGGAPITQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPNRGVRQTMLFSATFPREIQRLASDFLANYIFLAVGRVGSSTDLIVQRVEFVQESDKRSHLMDLLHAQRENGAHGKQALTLVFVETKKGADSLEHWLCMNGFPATTIHGDRTQQERELALRSFKSGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNEGNLSMARPLAELMQEANQEVPAWLTRYASRAPYGGNKNRRYGGGGGRFGGRDFRREGSVGRNLDHYGGGNSGTAYGVPGNYGGGGYAPGMTSAWD >KJB15027 pep chromosome:Graimondii2_0_v6:2:32570178:32572712:-1 gene:B456_002G155800 transcript:KJB15027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELALSLGDPSKQFSLLGNTPKLSRSKDLGFCMGLGDGFKSQHKIDAFEAQSKGGDSDEKRVPSDLPLYRHLLPSSQTQLRIPCLTHKYGDGRGLDVNQLPPAAAAEEDDEESEEGAGISSPNSTVSSFRMDFGIRNGKNKGKRDLEVERVSDDDDENGSTRKKLRLSKEQSAFLEESFKEQNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRSCETLREENKRLQKELQELRVLKTCQPFYMQSPATTLTMCPSCERLATKGSAATAATGYPPFFSSANTCDPETSPSPPGNFFKSLDVTSEKT >KJB16943 pep chromosome:Graimondii2_0_v6:2:61862951:61864348:1 gene:B456_002G256600 transcript:KJB16943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRPAGKNKSSNKSHVSRHTKSSSSLSLSSSSSSSSSSCSPTLQILAFETAKCMVRLVALYKSLSDDEFHRLRNGIMKSPGVVTLNSDDETYLLSLACEEMLENLDQAAATVSRLGKKCIDVELSKFDTAYHNMKQGIIDARTLDFNTEHVVKTIDQMEKYACSTSVLYASLVGVDEMEISKRKKWRQNSGSKIDYFNEEISSRRKQVIHFRKISLWNQTFDKIVALMAQIVAVIFVRICTVFEPFVSSLPCITAKNRNFNRLHYNSNPKSPKGVTFRLSSITKIDKFITKIRYMDVNTEKNQMLVQSAPENTVGAAGLALRYANVIIMAEAYFYSSKMITNDAREYMFEMLPMNLKQMLRGKLKSHWHKDAEAREGQQGVAEGWKAALEEIFEWLAPVAHDTLQWQQERNLEQQNLDAKPTVLLLQTLHFSDLERTEAAIVEILVGLSCIYRYENRGKRGGIC >KJB12219 pep chromosome:Graimondii2_0_v6:2:425627:428476:1 gene:B456_002G006700 transcript:KJB12219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVDQAFIQSIEHRANLDTIEIEGIPLIDLSLSHTTDINLLVSEIGNACKNWGFFQVINHGVPLELLEKIKVAAKAFFDQPMEEKRKVKRDEVNPMGYYESEHTKNVRDWKEVFDFLIKDPTFLPASPELEDDEMRTLSNQWPENPPQFREVCQEYAREVEKLGYKLLELIALSLGLPSNRFNGYFEDQLSRMRLNLYPPCPCPELALGVGRHKDAGALTIVAQDDVGGLQVKRKSDGVWVPVKPTPNALVINVGDIIQVWSNEAYESVEHRVVVNSERERFSIPIFFFPSHYVMVKPLEELVNEGNPPKYKPYNFGKFNVARNRSNYKKLEVQNIQISDFKII >KJB15716 pep chromosome:Graimondii2_0_v6:2:52033449:52036688:1 gene:B456_002G192300 transcript:KJB15716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLDIGAREKQGNPKVDDGFKVAKEKITCIAASVDVDEGFESSGVEETRSTVTNGSRSHTNRRYHDKTASVTTNSGHSSKTALIRKQIRA >KJB15717 pep chromosome:Graimondii2_0_v6:2:52033679:52035390:1 gene:B456_002G192300 transcript:KJB15717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFASSLAASLSVSCSCTSINLGFGGLDIGAREKQGNPKVDDGFKVAKEKITCIAASVDVDEGFESSGVEETRSTVTNGSRSHTNRRYHDKTASVTTNSGHSSKTALIRKQIRA >KJB12142 pep chromosome:Graimondii2_0_v6:2:149946:151365:-1 gene:B456_002G002800 transcript:KJB12142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQEAQFYSDNVCFGGGGGSGSGDNGGLGSGAFNQFRFDHQQQQLQHRHEIDQFIISQNERLRLLLEQQRNQQIAMFLNKIESRALILLNQKDEEIQKAKNKLIELQNLLKKLEMDNQGWKKVAYEKEAMAMCLNNKLEVLKDRASRCFINGVDDAESCCEDKDTRMVCKCCNSRSSCVLFLPCRHLCSCKNCEALLDSCPVCKTAKKASIEALVS >KJB12289 pep chromosome:Graimondii2_0_v6:2:654394:659771:1 gene:B456_002G010200 transcript:KJB12289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVIRTYSEWVIDGEYNWPPKCCKCQAVLEEGAGSETTRLGCLHVIHTNCLISHIKSFPPHTAPAGYTCPSCSTTIWPPKNVKDSASRLHSLLKEAIMQTGMEKNLFGNHPPPAFASDPLVNVNSTGQRDRDGNSLPSVAIDEGYSTVAGPSKLSVTEIMEIDGPSSAENYIKASSPVAPVATTRKSTVHADRQNSEISYYADDEDGNRKKYSRRGPLRHKFLRALIPFWSSALPTLPVTAPPRKDASNADDVPEGRLKHQRSSRMDPRKILLFMAIMACMATMGILYYRIAQRALGEGLVDDEPIVTN >KJB15926 pep chromosome:Graimondii2_0_v6:2:54743562:54744088:-1 gene:B456_002G204600 transcript:KJB15926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSYHNRSNSFPSRAHPLASEVDEHLSRLASSESASISSSLNQKLDVFTTAKDVVLQVKERTVELQSILSRKRGATKGFANKVRKYLSSKKAAKRAILKTLKNLKHEESTSLNGTCAMVSVLREVQAVTLSMLESFFSFTFC >KJB17105 pep chromosome:Graimondii2_0_v6:2:62532075:62536290:1 gene:B456_002G265500 transcript:KJB17105 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETO1 [Source:Projected from Arabidopsis thaliana (AT3G51770) UniProtKB/TrEMBL;Acc:A0A384KPH2] MQRNKFTTMRSLKVLDRCKGAQVYALHTTGGGGGDVGDKLYHQLQDHLSVNPARPISMHNYQSSNVPLAYVNETLLPYGLPVSDLLEPQIESCLKFVDFIGTLADLYRRIENCPQFEKTGMYLEQCAIFKGLSDPKLFRRTLRAARQHTVDVHSKVVLAAWLRYERREDELRGTNSMNCCGRNIECPKATLVPGYNPEFIYDPCVCSKNPQGESVDDYSIEDVECSTSDEYRDMSFCIGDNEIRCIRYCIASLSTPFRTMLYGGFRESRKEKINFTQNGISIECMKAAELYSRIRRVDSFDPRIILELLTFSNRFCCDDLKLACDSYLASLVNDVENALLLIEYGLEENAYLLVAACLQVFLRELPNSMHSPNVMKYFCSPEAKERLALVGHASFLLYFFLSQIAMEEDMKANTTVMLLERLADCATESWQKQLAYHQLGVAMYARKEYKDAQSWFEEAYESGHIYSKVGIARTKCKRGHKYSAYKMINSLISEYKPVGWMHQERSLYCDGKEKMSDLEMATQLDPTLCFPYKYRAVLLLETNKIGAAISEISKIISFKVSPDCLELRAWISIAMEDYERALRDVRALLTLEPNYMMFHGKMHGDHLVELLRPFVQQWSQADCWMQLYDRWSSVDDLGSLAVVHHMLANDPGKSLLWFRQSLLLLRLNCQKAAMRSLRLARNHSTSDHERLVYEGWILYDTGHCEEALAKAEESISIRRSFEAFFLKAYALADTSLDQESSAYVIQLLLDALRCPSDGLRKGQALNNLGSVYVDCEKLDLAADCYMNALNIKHTRAHQGLARVFHLKNQRKAAYDEMTKLIEKARNNASAYEKRSEYSDRDMAKSDLAMATQLDPLRTYPYRYRAAVLMDDHKENEAIAELTRALAFKPDLQLLHLRAAFHDSMGDYASTVRDCEAALCLEPNHADTLELYNKVRELVKEQ >KJB13164 pep chromosome:Graimondii2_0_v6:2:6838086:6838850:-1 gene:B456_002G059800 transcript:KJB13164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMPLMVRTLARLVTALVTQPAASFTTMLYYSHLLPRNLNLERLVRPQLLHPENYLFHFLINLLRCFW >KJB16303 pep chromosome:Graimondii2_0_v6:2:57714818:57717011:1 gene:B456_002G222300 transcript:KJB16303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSATGASPEAPKIVWNETQRRFETEDEEAYIEYVLRHDGKVMDIIHTFVPSSKRGMGLASHLCDAAFHHANSHSLSIIPSCSYDTFLLRNPSWNSLLYSEDLRSNI >KJB16302 pep chromosome:Graimondii2_0_v6:2:57714818:57717011:1 gene:B456_002G222300 transcript:KJB16302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSATGASPEAPKIVWNETQRRFETEDEEAYIEYVLRHDGKVMDIIHTFVPSSKRGMGLASHLCDAAFHHANSHSLSIIPSCSYVSDTFLLRNPSWNSLLYSEDLRSNI >KJB13568 pep chromosome:Graimondii2_0_v6:2:10355942:10359445:1 gene:B456_002G081900 transcript:KJB13568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPENSNYWSHFDYATLIDDIPVPDDPYAGFAWSTQPIDAPSNVVSVEIDGSFGDSDGLKESGSKKRVRSESCNASSSKACREKLRRDRLNDKFMELGSILEPGRPPKTDKSAILIDAVRMVTQLRGEAQKLKDSNTSLQERIKELKSEKNELRDEKQRLKAEKERLEQQLKAMNAQPSFMPPAPPAIPAAFAAAPGQAPGNKLVPLIGYPGVAMWQFMPPAAVDTSQDHVLRPPVA >KJB13569 pep chromosome:Graimondii2_0_v6:2:10356058:10359430:1 gene:B456_002G081900 transcript:KJB13569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPENSNYWSHFDYATLIDDIPVPDDPYAGFAWSTQPIDAPSNVVSVEIDGSFGDSDGLKESGSKKRFMELGSILEPGRPPKTDKSAILIDAVRMVTQLRGEAQKLKDSNTSLQERIKELKSEKNELRDEKQRLKAEKERLEQQLKAMNAQPSFMPPAPPAIPAAFAAAPGQAPGNKLVPLIGYPGVAMWQFMPPAAVDTSQDHVLRPPVA >KJB15452 pep chromosome:Graimondii2_0_v6:2:46586467:46589615:1 gene:B456_002G178800 transcript:KJB15452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTFYLLKINRYLSLLCSSATFMAVLPTGSSHLELTISVPGFASSPSFPSSGDQGGCTVRDLDINQVPAEDEWITASMEDEEESCNNGAPPRKKLRLTKEQSRLLEESFRLNHTLNPKQKGALALQLKLRPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRAMKVAPPTVISPHSCEPLPASTLTMCPRCERVTTTTTAAIEKGSAKMTAATNPTATTLSSKVGTSALQSRPSSAAC >KJB12745 pep chromosome:Graimondii2_0_v6:2:2632980:2637040:1 gene:B456_002G034500 transcript:KJB12745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTISCSVLLALISCFYLLFATALDTITPSKSIKDPDVIISQKGLFRLGFFSLVNSSNRYVGILPLKDSSGILTISDDGNLVVSNGKAEILWSTNVNNTAPNATTAQLLDSGNLVLSNGEDGASSLWESFEDPSNAFIETMKISTDVKTGRKVELKSWKSIDDPSDGNFSLSLEPFNSPEGIIRKNNQLYYRTGPWNGNTFIGLIDKYAAYLEGFYVADNPQQPYYMTYEFSNDSMLIYSELDSQGKFIEWKWDEGKGNWINKYSSYQTNCDVYGYCGAFGICDSSKRTICSCLKGFKPRNIEEWSRGNWSSGCSRTTLLHCQRDNNGSGASQGDDGFLEMKMMKVPAFPHLSSISNGQCKDQCMNMKNCSCVAYAYDDGIGCMLWSGDLIDVQKFSASGVDLYIRLPSSELDKEKSSKVIVITTVTAGIVVITISALFLWCGMAKQRGTNKRHKQIKHKSYSENVRESLIGVKLQQLPLFNFEELATATNNFHPEKRLGQGGFGPVYKGTLDDGKEIAVKRLSKASGQGLEEFMNEVVVISKLQHRNLVRLLGCCVEAEEKILVYEFMPNKSLDAFLFDPIRQRLLDWRKRFNIIEGISRGLLYLHRDSRLKIIHRDLKASNVLLDQDLNPKISDFGMARIFGGDENQANTKRVVGTYGYMSPEYAMQGQFSEKSDVFSYGVLLLEIVSGRRNTSFYNNKDDLSLLGYAWKLWREGNIWGLVDKVILESKSYSNNEKEIWRCIHVGLLCVQEYTKDRPTISTVISMLNSEISDLNTPKQPAFTQAPLMSHDVEDRGSLNGVTLTNLDGR >KJB15389 pep chromosome:Graimondii2_0_v6:2:44894991:44896180:1 gene:B456_002G175900 transcript:KJB15389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKHPLSPLFLTFLFFHLHPIPTLCSANYDANTTTPSNATDFIRISCSATLYPDLCFASLSGYANAIQQDPARLARTAIGVSLSRARHMAAFVSNLSREADYGADQRASSALHDCFSNIGDAVDEIRGSLNEMRRLVNPGSESFRFQMGNVQTWMSAALTDEETCTDGFEDVGDGPMKTAVCERAANVKKFTSNALALANSYAEKGTHKLLNEKNV >KJB13824 pep chromosome:Graimondii2_0_v6:2:12157902:12162232:1 gene:B456_002G096200 transcript:KJB13824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 20 [Source:Projected from Arabidopsis thaliana (AT4G26640) UniProtKB/Swiss-Prot;Acc:Q93WV0] MEEQKLASSSSSSSSSSSAVAAATMQHDLSGSAGSGGARYKLVAPAKLPISRSACITIPPGLSPSSFLESPVLLSDVKAEPSPTTGSLIKPQAVHASVACSTYSATTACSNAFDERNPSTFEFRPHPRSNMAPADLDPQRSEQSQQIQIQYQAVSFNSSATVKSEMAGSSNVLSLSVPVHTATSMASAHAEVDAEELNQIGNPNGGMPSGQSDHRGVGPVSSEDGYNWRKYGQKHVKGCEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYSSGNIMSGQEERSDKVSSLNGRDDKSYCVYGQMAHSIEPNSTADISPVTANDDNLDEIEDDDPFSKRRKMDGGIDITPVVKPIREPRVVVQTVSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTAKTGSHDTAGAVAMCGPSRIRSEENDAISLDLGVGISSVPEHASNEHQQLHSELVQSRPQTGGSSFKFVQPTMMAYYGALNGSMNQYVSRENPSEGRGVEITPLNHSYAFPQSMGRILTGP >KJB13825 pep chromosome:Graimondii2_0_v6:2:12157611:12162232:1 gene:B456_002G096200 transcript:KJB13825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 20 [Source:Projected from Arabidopsis thaliana (AT4G26640) UniProtKB/Swiss-Prot;Acc:Q93WV0] MAPADLDPQRSEQSQQIQIQYQAVSFNSSATVKSEMAGSSNVLSLSVPVHTATSMASAHAEVDAEELNQIGNPNGGMPSGQSDHRGVGPVSSEDGYNWRKYGQKHVKGCEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYSSGNIMSGQEERSDKVSSLNGRDDKSYCVYGQMAHSIEPNSTADISPVTANDDNLDEIEDDDPFSKRRKMDGGIDITPVVKPIREPRVVVQTVSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTAKTGSHDTAGAVAMCGPSRIRSEENDAISLDLGVGISSVPEHASNEHQQLHSELVQSRPQTGGSSFKFVQPTMMAYYGALNGSMNQYVSRENPSEGRGVEITPLNHSYAFPQSMGRILTGP >KJB13823 pep chromosome:Graimondii2_0_v6:2:12158936:12162232:1 gene:B456_002G096200 transcript:KJB13823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 20 [Source:Projected from Arabidopsis thaliana (AT4G26640) UniProtKB/Swiss-Prot;Acc:Q93WV0] MAPADLDPQRSEQSQQIQIQYQAVSFNSSATVKSEMAGSSNVLSLSVPVHTATSMASAHAEVDAEELNQIGNPNGGMPSGQSDHRGVGPVSSEDGYNWRKYGQKHVKGCEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYSSGNIMSGQEERSDKVSSLNGRDDKSYCVYGQMAHSIEPNSTADISPVTANDDNLDEIEDDDPFSKRRKMDGGIDITPVVKPIREPRVVVQTVSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTAKTGSHDTAGAVAMCGPSRIRSEENDAISLDLGVGISSVPEHASNEHQQLHSELVQSRPQTGGSSFKFVQPTMMAYYGALNGSMNQYVSRENPSEGRGVEITPLNHSYAFPQSMGRILTGP >KJB16933 pep chromosome:Graimondii2_0_v6:2:61774742:61776697:1 gene:B456_002G255300 transcript:KJB16933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRSGNLRRFLSTTSSLFDRPLSAAKAARVTEQIPPSTTLFVSGLSGRTTNQKLREAFANFGDVIQAKVVTDRSSLSSHSKGYGFVRYSNLEDAEKGMEGMDGQFLDGRVIFTEYAKPKDTL >KJB13989 pep chromosome:Graimondii2_0_v6:2:13670282:13673418:-1 gene:B456_002G104800 transcript:KJB13989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASFNKIERAHQMYREGRYNEALGYYTEALAVAKTKPQKIALHSNRAACYLKLHHFKKAAEECTSVLELDHKHTGALMLRAQTLVTLKEYNSALFDVNQLIELDPSSEVYHNLQARLRTQVALAPIPESEAELEEEEEEHEQPDTSEKEVRQDDKKEDLVVPAIGKDLNAAELNEYPIKEKIIPSEKSEVKEYAEQETDCNNMPERNPGVTALQKPNEKDSKGYQAIPKPKEYSGQKNVPQRVGVADPLGEGIKDSKGWQAIPKPKGHSALDYARWDRVENDSSEDDDDDDDDEDSQPQYRFRVRTVGIRSVK >KJB17200 pep chromosome:Graimondii2_0_v6:2:12652087:12652940:1 gene:B456_002G099800 transcript:KJB17200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNKCKMDLQEDIIAKLIDFLEAPHATTAILLAEKDKVLNFNCSFCQLSIFTHFLRYSFCLLSSLVGVESGKGLPGKMVAKGKDKAKKRKVKPSDYELRTTICGILKEVDFNTVRSATNLVPIMAESVT >KJB12459 pep chromosome:Graimondii2_0_v6:2:1299602:1299934:1 gene:B456_002G019100 transcript:KJB12459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSLEEIISEEKLGEVTELKGNLNLFSKLERLLLEDLPKLKTIYHHALPFPQLKEVSIRGCPMLKKLPLNSNSAKGQRLIIEGEEGWWKDVEWEDESTQIAFLSTFKPR >KJB15698 pep chromosome:Graimondii2_0_v6:2:51772477:51774128:1 gene:B456_002G191500 transcript:KJB15698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWQGQKLAEQIMQVMLLVFAVVSFGAGYVLGSFQMMMLVYAGGVTLTALITIPNWPFFNRHPLKWLDPSEAEKHPKPQVAVSSKKKSSKK >KJB15697 pep chromosome:Graimondii2_0_v6:2:51772609:51774128:1 gene:B456_002G191500 transcript:KJB15697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWQGQKLAEQIMQVMLLVFAVVSFGAGYVLGSFQMMMLVYAGGVTLTALITIPNWPFFNRHPLKWLDPSEAEKHPKPQVAVSSKKKSSKK >KJB13656 pep chromosome:Graimondii2_0_v6:2:11042157:11044833:-1 gene:B456_002G087300 transcript:KJB13656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCFSCCMSEEKINSKRSASLEKSGTNEAKTVPMPSFANISFKSDASRKRYISEEILKRGKSNITAKTFNYRDLCTATNNFNPENQLGEGGFGRVYKGQVEPNQQVVAIKQLDRNGYQGNREFLVEVLMLSLLNHPNLVTLVGYCADGDQRILVYEYMANGSLESHLLDIPPDKKPLDWNTRIQVAIGAAKGLEYLHETADPPVIYRDFKASNILLDQDFNPKLSDFGLAKIGPTGDKSHVSTRVMGTYGYCAPEYALTGQLTAKSDVYSFGVVFLEMITGRRVIDNSRPTEEQNLVNWATPLFKDRRNFQLMADPLLEGNYPSKGLHQALAVAAMCLQDDAAARPAMSDVVTALEYLTNGGGPEGEEEDDDEEEEDEEKKKSS >KJB15670 pep chromosome:Graimondii2_0_v6:2:51218855:51220035:1 gene:B456_002G190000 transcript:KJB15670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVATMAMLNAKCLSISSTKMSSPTKPTPKPFSLLSMQNLPKGLTISKPANNSNLPSSLAGSAIAGAIFSTLSSCDPALAAQQIAEIAEGDNRGLALLLPIIPAIAWVLFNILQPALNQLNRMRSSKGVIIGLGLGGLAASGFMSTPEASASEIAMVADAASSDNRGTLLLFVVAPALLWVAYNILQPALNQLNRMRSQ >KJB14840 pep chromosome:Graimondii2_0_v6:2:26752235:26754642:1 gene:B456_002G146000 transcript:KJB14840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRRRPSKALRPAPKEPSSAPEPTGAKASDALPLPLYFTNALFFTLFFSVAYYLLLRWRDKIRNSVPLHVVTFSELAAILSLIASFIYLLGFFGIDFVQSFIARASNEAWDLVDEEEGEDHRHRLMACSPSMADHIIPAVSSAEDEEIVDLVVKGTIPSYALEEQLGDCKRAVRIRREALQRITRRSLQGLPLDGFDYGSILKQCCEMPVGYVQIPVGVAGPLLLDSFEYIVPMATTEGCIVASTNRGFKGIYASGGATSTILRDGMTRAPVVRFPSASRACHLKFFLDNPSNFQTLADEFNKSSNFARLQGVQCSIAGKNLYMRFSCTTGDAMGMNMVSKGVENVLAYLRNEYPDMDIISISGNFCSDKKPAAINWIEGRGKSAVCEAVIKEEVLKKVFRTSVATLVELNMLKNLAGSAVAGALGGFNAQASNIVSAIFIATGQDPAQNVESSHCITMMEAVNDGKDLHISVTMPSIEVGTIGGGTQLASQSACLDLLGVKGASKELPGANSRLLAKIVAGSVLAGELSLMAAIASGQLVQSHMKYNRSTKDVSKVGS >KJB13846 pep chromosome:Graimondii2_0_v6:2:12265286:12267258:-1 gene:B456_002G097300 transcript:KJB13846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQFKMLPLSFTFLLFIFMVLKLWMRSKKKDSPKNLPPAPLKLPLIGHLHLLMVSLPHHRLTELAKRHGSLMHLQLGELSHIVVSSPEAAKEVMKTHDINFANRPYLLAAEIMLYNFSDIGFTPYGSHWRQLRKVCTLELLSTKRVQSFRSIREEEVSSLITSIFCNTGSEINFGELLCNLSYNITLRTTLVGRCKLQHETFIPIVKEFVEALGGFSITDLFPSIKLLPMISGMRTKLERCHHDLDMMLESIIEEHRASHANLGNSDDETDDLLDVLLNLQDHGGLEFPLTTENIKAVILDMLIAGTETSSTAVEWAMSEMMKNPRILEKAQAEVRQVYDRTGEVNESDLHELKYLKLVIKETLRLHPPLPLLIPRENSERCEINGYEIPAKTKVIVNAWAIGRDSNYWSEAERFNPERFIHSSVDYKGANFEFIPFGAGRRMCPGMSYGMAVVELSLAQLLYHFDWKLPNGMKNEDLDMTEAFGASVRRKSNLYLIPIPYRPACAQ >KJB14976 pep chromosome:Graimondii2_0_v6:2:31262031:31263778:-1 gene:B456_002G152800 transcript:KJB14976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELCFSKGIMIMFVAVVLSLTTTASAQDSAMAPAPSMDTGAAFSPAVSGIAVMFSLIVSLVALLKH >KJB13708 pep chromosome:Graimondii2_0_v6:2:11394413:11396784:1 gene:B456_002G090200 transcript:KJB13708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSHLHLPTLNQSFFFNKGMDDGRGFRVKNLLLFLLFFCLLSLRMEFSRAQMVPAVFVFGDSLVDVGNNNYLPVSIAKADFPHNGIDFPTKKPTGRFSNGKNAADLLAEKLGLPSSPPYLSLLKKTDESAYINGVSFASGGAGIFNGTDQTYGQSIPLLKQVDNYIVVYKSLVQQMGPSGAEKHLSKALFTIVIGSNDMLDYFGSSDLRKKSTPQQFVDLMANTLKGQLKRLYETGARKFVLTGVGVIGCIPAERVKNKTHECNEECNFWSVKYNEELKAMLKGLKSERQGINYSYFDTYSIMQNVIQKPSSYGFNEIESACCGLGDLKAKVPCMPISKYCSNRKDHVFWDLYHPTEATARIFVDTLFDGPSQYCIPMNVRQLVSA >KJB13709 pep chromosome:Graimondii2_0_v6:2:11394595:11396763:1 gene:B456_002G090200 transcript:KJB13709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGRGFRVKNLLLFLLFFCLLSLRMEFSRAQMVPAVFVFGDSLVDVGNNNYLPVSIAKADFPHNGIDFPTKKPTGRFSNGKNAADLLAEKLGLPSSPPYLSLLKKTDESAYINGVSFASGGAGIFNGTDQTYGQSIPLLKQVDNYIVVYKSLVQQMGPSGAEKHLSKALFTIVIGSNDMLDYFGSSDLRKKSTPQQFVDLMANTLKGQLKRLYETGARKFVLTGVGVIGCIPAERVKNKTHECNEECNFWSVKYNEELKAMLKGLKSERQGFNEIESACCGLGDLKAKVPCMPISKYCSNRKDHVFWDLYHPTEATARIFVDTLFDGPSQYCIPMNVRQLVSA >KJB13020 pep chromosome:Graimondii2_0_v6:2:4492946:4497985:-1 gene:B456_002G051700 transcript:KJB13020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTFLNLALLIIFHFSMPTFSMKLTTILTDQSALLALKDHVIQDPENVLTTNWSASAPVCNWFGVSCGSKHRRVTALNLTRLGLVGTLPPHLGNLSFLSLLHVTNNSFYGGLPVQLSNLRRLKYVSFGNNSFSGEIPSWLGSLTELRRLFLDQNNFKGVIPFSLAYLSKLEVLYLSGNQISGSIPSTIFNISSLQEIYLSYNMLSGSIPSVPRDLLLLEVIHFSSNNLTGHIPKDMFDHLPNLKGLYWSRNLLSGRIPASLFKCKELQMLSLAYNQMEGSLPIEIGNLSMLQYIYIGRNHFDGEIPKQIVNLTLLMEFDCSHSNFTGIIPQEIGNLKNLNWLNLQFNNIAGSIPPQLFNISTLRMISLATNQLSGHLPSNMGLFLPNMEELYLGFNHLAGSIPMYISNASQLTLLDMSSNYFSGSIPDNLGNLRNLKILNLANNNLTSSGMSFLFSLTNCRVLESLFFEINSFISGELPRVVGNLSSSLEEFSASACNIRGSIPSEIGNLSHLISLELGGNKLIGQIPTTVGGLEELQSLSLKDNKLEGSIPTELCHLNKLAFLFLTNNKLSGPIPTCLGDLTSLRQLFLDSNMFSSSIPSTLTRLNYLLILYLSSNSLSGPLPIDIGKWKVLSSLDLSNNQFSGDIPTGVADLKDLTHFSLSNNRITGSIPESFDESLSLEFLDLSRNNLFGEIPKSLEKLRYLKYFNVSFNRLEGEIPEGGSFENYTIESFKGNEALCGAARLHVPNCKTRPLRNSKAKTKLILYVALPIASTILVVALIIIILQNRRRKDKLPTQEDMIPLGTWRRFSYHELRQATDGFNDSRLLGNGSYGAVFQGTLPDGTIIAVKVFKFELEGAFKSFDVECDVLRNTRHRNLVKVISSCSNDLNFKALVLEFMPNGSLDKWLYSNNQYLDTLQRLNIMIDVASALEYLHHGNATPVIHCDLKPNNVLLDEDMVAHLSDFGIAKLLCEEVSMIQTMTMATFGYMAPEYGMEGIVSTKGDVYSFGILLMEIITRKKPTDEMFAGERSLKSWVIESISSSRNQVVDPKLLSTIGREDLKVKNCALSILQVGLECCVELPNERLHMKEIVTKLKKIKVTLLRDMERVR >KJB12288 pep chromosome:Graimondii2_0_v6:2:650903:653330:1 gene:B456_002G010100 transcript:KJB12288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKTYGPGSRACRVCGNPHAIIRKYGLMCCRQCFRSNAKEIGFIKYR >KJB13445 pep chromosome:Graimondii2_0_v6:2:8804113:8804918:-1 gene:B456_002G075000 transcript:KJB13445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKPNCNSPGSTCYDPRFIGGDGIVFYFHGKSNEHFSLVSNTSLQINGRFIGHRPAGQTRDFTWIQALGILFNSHSISLEATKAATWNSEVDHLKFSYNGEELVVPEGALSSWYSPEKEVKVKRDTAEIMVNIVPVTKEDDRVHHYKVPSDDCFAHLEVQFRFFSLSPNVDGALGRTYRPDFENPAKPGVAMPVVGGEDKYRTTSLLSLDYSTCLFSPETGSNQETTSQAKILTLDCSRGAAAEYDIICKK >KJB12251 pep chromosome:Graimondii2_0_v6:2:525301:527885:1 gene:B456_002G008000 transcript:KJB12251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFEINGNTLSLALFADVTNSKELLDSMQAGTLDPEVAFLNASLIPDVFPVLAAAHKTLISKSRESLTTRTLHSELVYNYSGSKHITESLKRCGISESSRYVLAARFNASPDEMKAVEKLINGKEIDLDEFEGRADQAQIQKHYKISGPELGISTLADAITCRIAARDAL >KJB16367 pep chromosome:Graimondii2_0_v6:2:58217813:58226229:1 gene:B456_002G226100 transcript:KJB16367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MGKKGIIKKAMEVGEIGFSKDGGAINWFPGHMAAATRAIRSRLKLSDLVIEVRDARIPFSSANQDLQPQLSAKRRVIALNKKDLANSNVLNKWVRYFDSCKQDCLPISAHSRSSVRKLLDLVELKLKEVISREPTLLVMVVGVPNVGKSALINSIHQIASTRFPLQEKMKRATVGPLPGVTQDIAGYKIAHQPSIYVLDTPGVLVPNIPNIEIGLKLALAGSVKDSVVGEDRIVQYLLTVLNTRGTPLHWKHLANPIEETTQISEDKPDYNPKDIRPKMKKLPDASDLLYVKDLVAEVRHALYVTLSEFGGSIEDENDMECLVEHQYEVLQKVLRIPHKSSESRLMVSKKFLTLFRSGKLGSFVLDDVPES >KJB16866 pep chromosome:Graimondii2_0_v6:2:61535263:61542443:-1 gene:B456_002G251900 transcript:KJB16866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLLSRRSLEADSRDAYGFAVRPQNIQTYREYLDIYKQEEEERIEKWKIFLKRNSEEEDGETLQRDAPEVKNKARLHEENKETLPAEAHEVNEDASIEASEETASKKQYEVTLQTEATQLNKDAASEECKETLQGEVAEVKEEVDSSEKSKDTSETEATELKEEADTAGLSESETGTPNSHSMENAREKEMKLTEETKTRKVNRWAKTRPALRAIENLMSLRVKRKNMKNRNMNGSECHLPSVKEARSSERESEVEFEEKVCVNEISATEGSNADNEAYDESFFPWKEELQSLVHGGVPKDLRGEVRLKLLCLLLFSSVLIENALSAIIRSLQVWQAFVGVKARRVKRYYNDLLAQENNDDDDQHSNSPGVFRKWRKQIEKDLPRTFPGHPALNDRGRDTLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYYTEEMIESQVDQLVFEELMRERFPKLDYLGVQVAWISTPWFISIFVNMLPWESVLRIWDVILFEGNRVMLFRTALALMELHVPALVTTKDAGDVITMLQSLAGSTFDSSQLVLTACMGFLAVTEGKLQNLREKHRPTVLMIVKERAQGAQVSKNSKGIATKLYSFKQNRGQEETNPAEDDGNGCKLEPRSSDLDKLLCSLNADLEVGSLPSLRDQVDRMKVELCRLLEEKRAAILRADELEIALMELTKEDNRQELNEKIEQLEQEVADQHQALAFKREQEAAMLKVLEQLEQEQRIVEEARKKAEKEAAALREANAELQEKYEKALASNAEMQKRVVMAESMLEATLQYESGQAKPQTSQTQRANSGRKGGGILSFGLGLRDKIKGIHNVEEPTDGKSNQSATEEETNTEDGEKSSS >KJB16951 pep chromosome:Graimondii2_0_v6:2:61833935:61839034:1 gene:B456_002G256200 transcript:KJB16951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRAFVTNVKRLIVKVGTAVVTRGDGRLAVGRLGALCEQLKELNSQGYEIVLVTSGAVGIGLQRLRYRKLVNSSLAELQNAQFELDGKACAAVGQSSLMALYDTLFSQLDVTSSQYLVTDTEFRDTSFRKQLSETVKSLLSLKVIPIFNENDAVSTRRAPYEDSSGIFWDNDSLAGLLAMELKADLLVMLSDVEGLYSGPPSDPNSKLIHTYIKEKHQCEITFGDKSRLGRGGMTAKVNAAVCAAYAGIPSVITSGYATDSIIKVLQGKCVGTLFHRDAHLWTLVKELGVREMAVTARKCSRQLQAMNSEDRRKILLDIADALEANESLIKIENEADVTAAQNDGYEKSLISRLSLKPGKISSLAKSIRVLADMEDPIAQVLKRTELADGLILEKTSSPLGVLLVIFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPENIGEKLIGLVTSREDIPDLLKLDDVIDLVIPRGSNKLITQIKNSTKIPVLGHADGICHVYVDKSAKVDMAKQIVRDAKIDYPAACNAMVYSVPIGSQETLLLHKDLSSNGLLNELVSDLQHEGVTVYGGPRASSLLNIPETHSFHHEYSSMACTIEIVDDVQAAINHIHQHGSSHTDCIITENHEVAETFLHGVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNRWILRGSGQVVNGDKGVIYTHKDLPLQTPL >KJB16950 pep chromosome:Graimondii2_0_v6:2:61833813:61839261:1 gene:B456_002G256200 transcript:KJB16950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRAFVTNVKRLIVKVGTAVVTRGDGRLAVGRLGALCEQLKELNSQGYEIVLVTSGAVGIGLQRLRYRKLVNSSLAELQNAQFELDGKACAAVGQSSLMALYDTLFSQLDVTSSQYLVTDTEFRDTSFRKQLSETVKSLLSLKVIPIFNENDAVSTRRAPYEDSSGIFWDNDSLAGLLAMELKADLLVMLSDVEGLYSGPPSDPNSKLIHTYIKEKHQCEITFGDKSRLGRGGMTAKVNAAVCAAYAGIPSVITSGYATDSIIKVLQGKCVGTLFHRDAHLWTLVKELGVREMAVTARKCSRQLQAMNSEDRRKILLDIADALEANESLIKIENEADVTAAQNDGYEKSLISRLSLKPGKISSLAKSIRVLADMEDPIAQVLKRTELADGLILEKTSSPLGVLLVIFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPENIGEKLIGLVTSREDIPDLLKLDDVIDLVIPRGSNKLITQIKNSTKIPVLGHADGICHVYVDKSAKVDMAKQIVRDAKIDYPAACNAMETLLLHKDLSSNGLLNELVSDLQHEGVTVYGGPRASSLLNIPETHSFHHEYSSMACTIEIVDDVQAAINHIHQHGSSHTDCIITENHEVAETFLHGVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNRWILRGSGQVVNGDKGVIYTHKDLPLQTPL >KJB13564 pep chromosome:Graimondii2_0_v6:2:10257381:10258046:1 gene:B456_002G081500 transcript:KJB13564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGAFVCLLIVTLDFVAGFLSIQAHITKDKCEQPNNKAFKLGLIAVVLLASSHIFSKLLGGCLCMCCTEKLETSSASRKFWFGCLVLSWIVVAVGFPSLVVGMMENAKLKGSCLVLHHHFLFVGGILCFVHGILSVGLYISANVSFQNEVAVG >KJB14201 pep chromosome:Graimondii2_0_v6:2:16098679:16101304:1 gene:B456_002G114000 transcript:KJB14201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNAALAPSRIPANTRLPSKTTHSFPTQCPSKRLEVTEFSGLRSSSCVTFAKNVRDASFFDVLAAQLSPKGVGVPSTSVRGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLEVVVVNDSGGVKNASHLLKYDSMLGTFKADVKIVDNETISVDGKPIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYDHEVSNIISNASCTTNCLAPFVKVIDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVEKKGITAEDVNAAFRKSAEGPLKGVLEVCDIPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGMPVAGSGDPLEDYCKTNPADEECKVYEA >KJB13437 pep chromosome:Graimondii2_0_v6:2:8729329:8732827:-1 gene:B456_002G074400 transcript:KJB13437 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP-specific phosphatase HAL2-like [Source:Projected from Arabidopsis thaliana (AT5G54390) UniProtKB/Swiss-Prot;Acc:Q38945] MAEKNDKYGKELDLAVRIVHMACSLCQKVQQSLVSAASGHVLAKDDDSPVTIADWSVQATVSWLLSEFLEGRSVSIVAEEDVQTLSKPEAASLLSAVVNTVNECLAEAPKYGLQCPKKVLGTSQILEAISRCNSTGGPTGRHWVLDPVDGTLGFVRGDQYAVALGLIEDGKLVLGVLGCPNYPMKKELLNYNHQCNQIKPTSPPSSDIWQKGCVMYARRGTGQAWMQPLIHGDTKFEWPNSATLLQASPVDDPSQATFCEPVEKANSNHLFTEGLASSIGLKNRPMRVHSMVKYAAIARGDAEVYIKFARSGYKEKIWDHAAGVVIVEEAGGVVTDAGGRPLDFSRGLYLEGLDRGIVACSGPILHDKIIGAVYASWDSSNL >KJB13436 pep chromosome:Graimondii2_0_v6:2:8729154:8732959:-1 gene:B456_002G074400 transcript:KJB13436 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP-specific phosphatase HAL2-like [Source:Projected from Arabidopsis thaliana (AT5G54390) UniProtKB/Swiss-Prot;Acc:Q38945] MAEKNDKYGKELDLAVRIVHMACSLCQKVQQSLVSAASGHVLAKDDDSPVTIADWSVQATVSWLLSEFLEGRSVSIVAEEDVQTLSKPEAASLLSAVVNTVNECLAEAPKYGLQCPKKVLGTSQILEAISRCNSTGGPTGRHWVLDPVDGTLGFVRGDQYAVALGLIEDGKLVLGVLGCPNYPMKKELLNYNHQCNQIKPTSPPSSDIWQKGCVMYARRGTGQAWMQPLIHGDTKFEWPNSATLLQASPVDDPSQATFCEPVEKANSNHLFTEGLASSIGLKNRPMRVHSMVKYAAIARGDAEVYIKFARSGYKEKIWDHAAGVVIVEEAGGVVTDAGGRPLDFSRGLYLEGLDRGIVACSGPILHDKIIGAVYASWDSSNL >KJB16620 pep chromosome:Graimondii2_0_v6:2:60331409:60333452:-1 gene:B456_002G240000 transcript:KJB16620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYASRRSQGGLFEGLYRVIMRRNSVYVTFVIAGAFLGERAVDYGVHKLWEYNNVGKRYEDISVLGQRQSEE >KJB16621 pep chromosome:Graimondii2_0_v6:2:60331409:60335537:-1 gene:B456_002G240000 transcript:KJB16621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYASRRSQGGLFEGLYRVIMRRNSVYVTFVIAGAFLGERAVDYGVHKLWEYNNVGKRYEDISVLGQRQSEE >KJB16148 pep chromosome:Graimondii2_0_v6:2:56359171:56359545:-1 gene:B456_002G214600 transcript:KJB16148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTASATLSPPTLAPASVGNPRRRTKVNVNYITGLNSFGGLKAHNNVVSLGQPVCIEQSFAKVVSSLRAPSKGKGSGGGALSSTCNAVGEIFRIAAIMNGLVLVGVAVGFVLLRIEASLEEAE >KJB16707 pep chromosome:Graimondii2_0_v6:2:60793342:60798916:1 gene:B456_002G243800 transcript:KJB16707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSVEVICGCLKAGMSVARFDFSWHDPEYHQETLENLKAAVKLTKKLCAVMLDTVGAEMQVVNKSEKAISLEADATVVLTPDAGQEASSELLPINFDGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHGSQIRIGLPTLTDKDKEVISTWGVQNKIDFLSLSYTRHAEDVRQAREFLSKLGDLYQTQIFAKIENIEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICSEAEKVFNQDMFFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSASNESVLKVALDHGKAAGVIKSHDRVVVCQKVGDASVVKIIELED >KJB14521 pep chromosome:Graimondii2_0_v6:2:19975253:19979068:-1 gene:B456_002G128900 transcript:KJB14521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALANALAIVDQRQKMEQYKHILSTVFSSNDIVQAKKFIDHMLSDDVPLMVSRQLLQTFAHELGRLKPDAQKAISHYTLDQIQPRVVSFEEQVLFIREKLAELYESEQQWSKAAQILSGIDLDSGMRVIDDTFRLSKCVQIARLYLEDDDAVNAEAFINKASFLISNSQHEVLILQYKVCYARILDMKRKFLEAALRYYDISQIEKRQIGDEIIDEDALEEALSAAVTCTILAAAGPQRSHVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELKPHEKAVLPDNFTVLDRAMVEHNLLSASKLYTNISFDELGTLLGIPPHKAEKIASRMIYEDRMRGSIDQVEAVIHFEDETEELQQWDQQIVGVCQALNDILDSMAKKGMAIPV >KJB16335 pep chromosome:Graimondii2_0_v6:2:57953895:57955832:1 gene:B456_002G224000 transcript:KJB16335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSPLPSYFLILLVFLIHCSIQVSSFSFKFSTFEIDHIKQLILSNSYIVVHALQVTPDLGRSSIKNTSGRAVYKKPFRLWKDSHTIASFNTTFVFNIQNRTSPGGEGLAFIIAGDSTLPSYSEGRWLGIVNSDPNGSPVVAVEFDTRKSDDQDLDDNHIGLDINSINSNASVSLTHFGFNISGGHDLWVLLQYDGQNLTVRVNETLVLSQRLDLSSYLPKKVFVGFSASTSNETQLNCVKSWEFSGTDIGGEGNLLWVAWIMIPVVILVLFIGVRFYLYRRTGPIEEDFKGAQRNIEDEIRRSDFAPKKFRFSELKQATGNFSPKNKLGKGGFGTVYKGSWGNQDVAVKRVSKKSNQGKQEFIAEVTTIGNLNHKNLVKLIGWCYERRELLLVYEYMPNGSLDKFIFYDEKASMVESRLNWEQRQNIIRGAAQALEYLHNGCQKRVLHRDIKASNIMLDSEFIAKLGDFGLARTIHEKEKAYISTVEIAGTPGYMAPETFLISRATVETDVYSFGVLVLEVVCGRKPGNKSEQNNYNNSIVNWLWEHYKNGKITAAVDARMDGNFVENEAERVLILGLACCHPNPHYRPCMRAVLQVLLGEIDPPEVPQERPSFVWPAMPQSFTQMDYSLKGSQLNPFTELAGR >KJB13503 pep chromosome:Graimondii2_0_v6:2:9399685:9400628:1 gene:B456_002G078700 transcript:KJB13503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIPPSASQNSSSSGFQDFLPVMADKLGGDGLIGELCNGFNLLMDREKGVITFDSLKKNSALLGLQDLTDDDLKCMMEEGDFDGDGALNQMEFCVLMFRLSPELMEASRFLFEEAFQHEFKDFH >KJB15995 pep chromosome:Graimondii2_0_v6:2:55356238:55358854:-1 gene:B456_002G207200 transcript:KJB15995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIIKILHGLKHAMLMVVIQVIFAGVNVLYKLAANDGMSLRIIVAYRFLFATAVMVPLALLVDRERPKLTWTILLQAFLCALLGGTLSQNLYIESMALTSATFVSAMANLTPAITFIMAIIMGLEKLGFRTMAGRAKVLGTVIGIGGAMLLTFYKGLQINMGSTHFHLLLSHGPISSNAPSTNHHLLGALLALTSCISYSLWLNIQAKMSENYPCYYSSTALICIIGTIQAVVFALCMEKDMSQWKLGWNIRLLTVAYSGILASGLVFSVVSWCVRMKGPLYVSVFSPLMVVLVALAGSLFLEEKLYLGSIIGAVLIVMGLYVVLWGKGKETKVVNKLVASITSPEKKTIEIVVSSSLDNNTCITNNGNSVFVSKDSPMK >KJB13356 pep chromosome:Graimondii2_0_v6:2:8961644:8963125:1 gene:B456_002G075800 transcript:KJB13356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLMLSLKTALISTGVISVAILLKVSAPSVTQFVTSGIPSIYGLILSFLRPPYLYLLINGIIISIVASSKLQAQKPESTEEINPSPEIVSPALKVPSEVFSNEYSYGTPAATVLVAEEIKRTVEEEQVKVVTEAAPAPLRTESMELISLMAEKPPVARRFGQRKAVKAATEGKALRVSKPKRHDTLEATWKTITEGRPMPLTRHLKKSDTWEQRTQKDHNTPPPPLPNTMKKSDTFNEHSREPPLARSSGSGKLKKDPSLSQDELNRRVEAFITKFNEEMRLQRQESLNQYQEMLRRGAQ >KJB14230 pep chromosome:Graimondii2_0_v6:2:16334904:16336423:-1 gene:B456_002G115400 transcript:KJB14230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAAFIWQAHPLYPLLLLQNRDEYYNRPTKALAWWDVDGCEILGGRDEVAGGTWLACSRQGRVAFLTNVLELHHLSGAKTRGDLPLLFLKSTKSPMEFADQLLTNAHQYNGFNLIVADIATKSMVYISNRPKGEAIHIQQVSPGLHVLSNAKLDSPVPKALRLRKSIRQMMNKYGKNEVNVKEMIEKLMKDKVKADKSKLPGICALDMEFNLSSIFVEMDTPQGLCGTRSTAALTIRASGEVSFCDKYLEKGVWFEKTINYHIQNETRDFSEVKLSML >KJB16676 pep chromosome:Graimondii2_0_v6:2:60684967:60690830:-1 gene:B456_002G242700 transcript:KJB16676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGFLLQALIPSWNSVAVLLIFFAYLAIAGSILPGKLVPGVTLQDGSRLYYRCNGLRALLLLVGLLGIGSKMNFVSPTVISNRGLELLSTTFIFSFLTMVVLYAAGCRSCSKGSSLKPHITGNLIHDWWYGIQLNPQFMGIDLKFFFVRAGMMGWLIINLSVLAKSVQDGSLSQSMILYQLFCAFYILDYFVHEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLNNKVELTTAAAIANCFVFLIGYLVFRGANKQKHIFKQNPKALIWGKPPKVIGGKLLASGYWGIARHSNYLGDLLLALSFSLPCGLSSPIPYFYPIYLFILLVWRERRDEARCAEKYKDIWAEYCRLVPWRIFPYLY >KJB16677 pep chromosome:Graimondii2_0_v6:2:60685573:60690687:-1 gene:B456_002G242700 transcript:KJB16677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGFLLQALIPSWNSVAVLLIFFAYLAIAGSILPGKLVPGVTLQDGSRLYYRCNGLRALLLLVGLLGIGSKMNFVSPTVISNRGLELLSTTFIFSFLTMVVLYAAGCRSCSKGSSLKPHITGNLIHDWWYGIQLNPQFMGIDLKFFFVRAGMMGWLIINLSVLAKSVQDGSLSQSMILYQLFCAFYILDYFVHEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLNNKVELTTAAAIANCFVFLIGYLVFRGANKQKHIFKQNPKALIWGKPPKVIGGKLLASGYCAATLSWTHIRHLR >KJB14396 pep chromosome:Graimondii2_0_v6:2:17621892:17624515:-1 gene:B456_002G122700 transcript:KJB14396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFSMSSYNQLNNIPKRNIGVNFVSKRSEYLASTCTIHGGGFLFAPPSSLTFSHPPSPSILNPILLYQNHHQLPPRLQPPLLPLPTPTKPLHVSLPCRTRSLSSSSCKNKNNKIRDQFLTPKSSKSKQLIISAGKVEDRPKKDNHLKPKQATETQTISKSSFDMAMAPANPLGSDPNDLPIALPSSYRAAGDDAKKDLDEFSGSILPLSPPPSSLPLPKFSLKSKLSCNPEAAGIDCGATDNLRRLLCLP >KJB16759 pep chromosome:Graimondii2_0_v6:2:61027411:61032210:1 gene:B456_002G246200 transcript:KJB16759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHTVTKTNPKTPKHTCVVIQTMLPINCIVLFFLLSSFFPFTISTFNLTFPIPHPNPNEVAQEVQRRVNASISRRETLQTTQKDISSCLTGNPIDDCWKCDPDWPNNRQRLADCAIGFGQYAKGGKGGEYYIVTDSSDDDAVTPKPGTLSYKTLDGRGANVHITGGGCITLQYISNVIIHNIHIHHCYQSGEANVRSSPTHFGWRTESDGDGISIFGAKDIWIDHCSLSHCKDGLIDAVMGSTGITISNNFFSHHNEVMLLGHSDEYEPDSGMQVTIAFNHFGEKLVQRMPRCRRGYIHVVNNDFTQWEMYAIGGSGNPTINSQGNRYTAPTNPNAKQVTKRVDTDEGDWKGWNWRSEGDVMVNGAFFVASGEGVEFKYEKAYSVEPKSAVLIDQLTMHSGVLGVGGRDNNLGKWSSGVNGDGSGFGSGDDEDDDYSDDMSGSNIPLSTAFLPLFIAMSSFLLLCFEAITSPML >KJB16760 pep chromosome:Graimondii2_0_v6:2:61027658:61032210:1 gene:B456_002G246200 transcript:KJB16760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHTVTKTNPKTPKHTCVVIQTMLPINCIVLFFLLSSFFPFTISTFNLTFPIPHPNPNEVAQEVQRRVNASISRRETLQTTQKDISSCLTGNPIDDCWKCDPDWPNNRQRLADCAIGFGQYAKGGKGGEYYIVTDSSDDDAVTPKPGTLRYAVIQEEPLWIVFPSNMHVKLKQELIFNSYKTLDGRGANVHITGGGCITLQYISNVIIHNIHIHHCYQSGEANVRSSPTHFGWRTESDGDGISIFGAKDIWIDHCSLSHCKDGLIDAVMGSTGITISNNFFSHHNEVMLLGHSDEYEPDSGMQVTIAFNHFGEKLVQRMPRCRRGYIHVVNNDFTQWEMYAIGGSGNPTINSQGNRYTAPTNPNAKQVTKRVDTDEGDWKGWNWRSEGDVMVNGAFFVASGEGVEFKYEKAYSVEPKSAVLIDQLTMHSGVLGVGGRDNNLGKWSSGVNGDGSGFGSGDDEDDDYSDDMSGSNIPLSTAFLPLFIAMSSFLLLCFEAITSPML >KJB11987 pep chromosome:Graimondii2_0_v6:2:28639774:28640214:-1 gene:B456_002G148700 transcript:KJB11987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGSGMNMPMSNSSMKSMNMQMSFYWGKDVIVLFSGWPDSNPGMYVLALFFVLFLGAAIEMMTMLQAAVKPGTRPILGAFIQAGVYIVRMCFAYMVMLSVMSYNVGIFIAALAGHGIGFFVIKIRALTKETEQQYSSPDCIPSKI >KJB15467 pep chromosome:Graimondii2_0_v6:2:46993232:46994562:1 gene:B456_002G179100 transcript:KJB15467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQLNNNNNWTPYLVTQPKWVMRRKQNFKRTKKKKLGN >KJB17209 pep chromosome:Graimondii2_0_v6:2:33631874:33633786:1 gene:B456_002G156300 transcript:KJB17209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKRQAQLPFSSISPPPLSSDPNFNRSPVVQHPPLRKPPPPADHPNHPIRRQKPTQGRDSSAFPETTTSHGGEELKKKEDYLVLKQEGEEERGENGEKSNYTARKKNDFDEELGTGSLSLQGVGKWCEGEKAFPLKKRRGSFERIMQKKDDIINDHNYNSGKKKGRNGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSITSVRSRSLGSNNNNNAGKKEEQPPLSKQEEKPLMTTTIKKRVKLGMVKARSISSLLGQNDNVIAVTDDNNINN >KJB14550 pep chromosome:Graimondii2_0_v6:2:20471317:20472307:-1 gene:B456_002G130800 transcript:KJB14550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIHSSPYFQMDNPAIQSLLRPTPGEKHRKSTSSSGGLLRMFKLFPMLTSGCKMVALLGRPRKPMLKDSATTGTIFGYRKGRVCLAIQDDPHSVPIFVIELPMLTSLLQKEMASDIVRIALESETKTHKKKVLEEFVWAVFCNGRKMGYSIRRKQLSDDELHVMQLLRGVSMGAGVLPSPNDKETVTADGELTYMRARFERVVGSKDSEAFYMINPDGAASGPELSIFFVRSN >KJB13943 pep chromosome:Graimondii2_0_v6:2:13112814:13113765:1 gene:B456_002G102800 transcript:KJB13943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKDEEANPPKVELKKQQLNLTEMNLEEIKRHPTVHHVSPRAGKAAMTAGVRSSCLCSPTTHAGSFRCRYHRVSNMPRPSSVGSNLNMLHDH >KJB16473 pep chromosome:Graimondii2_0_v6:2:59112375:59118713:1 gene:B456_002G231600 transcript:KJB16473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEYCVTGGTGFIAAYLIKSLLEKGCFVRTTVRDPENVEKVSFLKELSGAKERLKIMKADLMEEGSFDEAIDGVDGVFHTACPVLVPYDDNVQATLIDPCIKGTLNVLRSCSKASSVKRVVLTSSCSSIRYRFDVQNQQISSLNESHWSDPNYCKRYNLFYAYAKTIGEQEAWRVAKESGMDLVVVNPSFVVGPLLAPQPTSTLLLILSIVKGMKGEYPNTTVGFVHIDDVIAAHILAMEESKASGRLVCSGPVAHWEQIIEMLRAKCPSYPFESKCSSQEGDNNAHSMDTSKIQQLGFPEFKSLPQMFDDCIKSFHDQGFL >KJB16488 pep chromosome:Graimondii2_0_v6:2:59185540:59185980:1 gene:B456_002G232400 transcript:KJB16488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIIDGSTVKNFVTDEEHFNKSMDESFAALDLNEDGVLCRSELRKAFESLRLIESHFGVDVATTPEELNQLYDSIFDKFDLDGSNTIDPQEFKSEMKKILLAIADGLGSCPIQMALEDDDRSNFLKKAADLEAAKLVANHGDGS >KJB13332 pep chromosome:Graimondii2_0_v6:2:7945279:7945877:1 gene:B456_002G068700 transcript:KJB13332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLFQCPCCSCFCFMKPKKGKPKMKDDKAETKKEVKAEKEKEAKAE >KJB16891 pep chromosome:Graimondii2_0_v6:2:61643396:61646904:1 gene:B456_002G253300 transcript:KJB16891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLHKQSSINHRRDEEILIPQTPPYSPKSLKHPRSLPRSINYLFKEQRLLFIFIGILIGSTFFILQPTLSRLGPTETHPSIPKSFSNNVVSHTQEFSVSNQNPIHGKMGRVPVGIGRRRMRIVVTGGAGFVGSHLVDKLIGRGDEVIVIDNFFTGRKENVVHLFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGERSCYDEGKRTAETLTMDYHRGDGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPMTVYGDGKQTRSFQYVSDLVDGLVALMEGEHIGPFNLGNPGEFTMLELAEVVKDTIDPSATIEYKPNTADDPHMRKPDISKAKELLNWEPKIPLREGLPLMVNDFRNRILNEDEGKGA >KJB16892 pep chromosome:Graimondii2_0_v6:2:61643499:61646235:1 gene:B456_002G253300 transcript:KJB16892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLHKQSSINHRRDEEILIPQTPPYSPKSLKHPRSLPRSINYLFKEQRLLFIFIGILIGSTFFILQPTLSRLGPTETHPSIPKSFSNNVVSHTQEFSVSNQNPIHGKMGRVPVGIGRRRMRIVVTGGAGFVGSHLVDKLIGRGDEVIVIDNFFTGRKENVVHLFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGERSCYDEGKRTAETLTMDYHRGDGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPMTVYGDGKQTRSFQYVSDLVCLPVYYHNFFWYTAVFLVTSIGICSSIVKLKEYMYNTI >KJB16893 pep chromosome:Graimondii2_0_v6:2:61643499:61646900:1 gene:B456_002G253300 transcript:KJB16893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLHKQSSINHRRDEEILIPQTPPYSPKSLKHPRSLPRSINYLFKEQRLLFIFIGILIGSTFFILQPTLSRLGPTETHPSIPKSFSNNVVSHTQEFSVSNQNPIHGKMGRVPVGIGRRRMRIVVTGGAGFVGSHLVDKLIGRGDEVIVIDNFFTGRKENVVHLFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGERSCYDEGKRTAETLTMDYHRGDGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPMTVYGDGKQTRSFQYVSDLVCLPVYYHNFFWLMDSLL >KJB15727 pep chromosome:Graimondii2_0_v6:2:52211450:52213840:1 gene:B456_002G193200 transcript:KJB15727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGLDANATDRLLRRSSKPSLYTNIDCNALSAAVICRQISIVRSLLQAGIKTGLKVRVGAWSWDIDTGEETRVGAGLAEAYSITWCAVEYFEDLIHRAILSNNVSAVEVLLNCGADIEFPVKTTSKTALRPIHLSAKLGFVEVLQCLIVAGCDIDSRTAFGDSLLMICARYKHGDCLKVLASAGADFGLVNSAGQSASSIAGLTRWNHGFQQAVQDVILAGKTPQSSNPSVFSPLMFTARGNEIEALKKLLERADVDLYEQDDDGNSALMIAASGGHLEAFELLLRAGANIKLSNKYGDTSISLLELNQKGDAFDQLMLEYAHEDGNGPIWFYALHRAVKRGDLNLVHILTSRGYDVNAFDADGYTPLTLAARGGYIKNARHETTLLLARKKWYGNDTEIVILNELARALVVDGSQVKKHSRGGKGSPHSNVLRMMETRGIPRGGKSSRRNVICKAAEVGPGEKFRWNRRRKFDVEESGMFHVVTTKNNEVHFVCDGGIQMAELWVRGILLVTSEAIFGKPKQV >KJB13826 pep chromosome:Graimondii2_0_v6:2:12163131:12167081:-1 gene:B456_002G096300 transcript:KJB13826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSSSTASFSPDGSPVSQQNYTYPLDLHSPENTCASPISGSCVIDNENDLGLMIRQLETAMLGIDSGDLDLHAIAASGRATKVSVEAERWKYMKEMIARGDLKELLCTCAKAIESNDMYMTDCLIAQLRQMVSVSGEPMQRLGAYLLEGLVARLASSGSSIYKALRCKEPASPELLSYMHILYEICPYFKFGYMSANGAIAEAMKEESRVHIIDFQIAQGSQWLTLINALAGRSRGPPSIRITGIDDPTSAFARGGGLEIVGQRLRKLAESCKVPFEFHAAAISGTEVQLENLGIQPGEAIAVNFAMMLHHMPDESVDTQNHRDRLLRLAKSLSPKVVTLVEHEANTNTAPFIPRFLETMGYFSSIFESIDVSLPREHKERINVEQHCLAREIVNIIACEGQERVERYELFGKWRSRFIMAGFTPSPLSPFVNATIKTLLQSYCDKYTLEERDGVLYLGWMDRAIIASCAWRC >KJB13827 pep chromosome:Graimondii2_0_v6:2:12163131:12167429:-1 gene:B456_002G096300 transcript:KJB13827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSQKHKITGKCIDWPVQELESYCWPPNQSLEPYQSGSDDGSNSVQHSVPNLERYCTLDSSSSMQNSSSTASFSPDGSPVSQQNYTYPLDLHSPENTCASPISGSCVIDNENDLGLMIRQLETAMLGIDSGDLDLHAIAASGRATKVSVEAERWKYMKEMIARGDLKELLCTCAKAIESNDMYMTDCLIAQLRQMVSVSGEPMQRLGAYLLEGLVARLASSGSSIYKALRCKEPASPELLSYMHILYEICPYFKFGYMSANGAIAEAMKEESRVHIIDFQIAQGSQWLTLINALAGRSRGPPSIRITGIDDPTSAFARGGGLEIVGQRLRKLAESCKVPFEFHAAAISGTEVQLENLGIQPGEAIAVNFAMMLHHMPDESVDTQNHRDRLLRLAKSLSPKVVTLVEHEANTNTAPFIPRFLETMGYFSSIFESIDVSLPREHKERINVEQHCLAREIVNIIACEGQERVERYELFGKWRSRFIMAGFTPSPLSPFVNATIKTLLQSYCDKYTLEERDGVLYLGWMDRAIIASCAWRC >KJB12160 pep chromosome:Graimondii2_0_v6:2:211331:215337:-1 gene:B456_002G003900 transcript:KJB12160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITLNNGFKMPVVGLGVWRMDGKDVRDLIINSIKLGYRHFDCAADYKNEAEVGEALSEAFKTGLVKREDLFITTKLWNSDHGHVLEACKDSLKKLQLDYLDLYLVHFPVAVKHTGVGQTDSPLDKDGVLDIDTTISLETTWHAMEDLVSKGLVRSIGISNYDIFLTRDCLAYSKVKPAVNQIETHPYFQRDCLVKFCQKHGICVTAHTPLGGAAANAEWFGTVSCLDDPVLKALAEKYKKTVAQIVLRWGIQRNTVVIPKTSKFERLKENFEVFDFELAKEDMDKIKAIDRKYRTNQPAKFWGIDLYA >KJB16149 pep chromosome:Graimondii2_0_v6:2:56388953:56389990:-1 gene:B456_002G214800 transcript:KJB16149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFGYEQLVKATQSFCPSRLIGKGSHGAVYQGVLQDNRVVAVKRSSINGVEAQRDNLKKLENEISILSSLRQSCNVISFLGASHDSVQNDKLLVMELMPNGSLHDLLHVAATPPSWPQRVEIALQIARAVQFLHESKPSVIHRDIKSANILFDSNWTAKLCDFGLAISPADSLSQATQPAGTIGYLDPSYTAPNKLSTKNDVFSFGVVLLEIISCRKVIDVTKAPASIVEWAIPLVKKQRVVEICDSRIPFPMYMESRIRRILSVASRCLSDKEERRPSIGEIIVAMETCSIEPVRSITTWNSVIQYLVQLKRRRKLSGEYCIRSAVYSTRQGEDVNSHVSTGK >KJB14479 pep chromosome:Graimondii2_0_v6:2:19417275:19419090:-1 gene:B456_002G127100 transcript:KJB14479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLSGWDSPTSDPSSVILKRNRSLTKEEIEAFWRSKRKTEEEHLNAIYGSPDSCNQQYGREYMRSSSMPIPNRNQGFIDMDTETSLENIVKQSGWWTRSTWAFLNEPPVLDRPTNNYQPQFHVASLATSKFNKNSGISAN >KJB15887 pep chromosome:Graimondii2_0_v6:2:54282831:54290408:-1 gene:B456_002G201600 transcript:KJB15887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQEQRSGHKTKRNEGKVASLKVFGSSSINGDDASSLVGSIIEKGIVSNNDISKPIQPPRLSVLPFPVARHRSHGPHWTPRSDNRNVVEEDDEDETGFANFDPISVFAEPIRRKEKKNLDLSHWKEAMQGDDLSQRKGRETNQSGVGKTERQMMDGKAMKDLGNKSMLINSFGAHADVASMDVESHLNAHRPLAKAEEAMRSELSDSSVTGMDLDDSLQLQKEEHVKDHDSETFSKESGTMAVDGQVMAKRMCHNDSTNVEFRRMENIDTMVPEQFCNLGNERGSMSLESEIDAENRARLENMSPEEIKEAQAEIMLKMDPALLNLLKKRGQEKLKKQIDTHSNQAAESQLGIRRENQSNNAMKAPNLDSNNPTVTTSSNITKSGLDNGVKQNVDSASGSLWDAWSQRVEAVRELRFSLDGTVVENDFVQIPEIRGDNVAERDFLRTEGDPGALGYTIKEAVALTRSTIPGQRALALHLLASVLDKALRNIYLNPIGSTLADKDNVDSTVDWEAVWAFALGPEPELILSLRMSLDDNHNSVVLATAKVIQCVLSCDINQSFFDLLEKTAIDMRGTYTAPIFRSKPEIDVGFLHGGFWKYSAKPSNVLLYGDNIVEDETEGKHTIQDDIVVAGQDFAAGLVRMGILPRIRYLLEIEPTAPLEECLISVLVAIARHSPMGVNAIMKCQRLVQTVVHRFTANSNMDVYLSKIKSVCLLKVLAQSDRKNCAEFVENGIFQAMTWQLYKNAYSLEQWLKLGRENCKLSSALMVEQLRFWKVCIQYGYCVSYFSNILPALYLWLNPPTIRKLVENNVLGEFASISVEAYLILESLARTLPNFYSHKILSDGIAEGADDNVETWSWSHARPMVDLALKWISFKSRLIDSQDEIIGISIFHDKSSSPLLWVYSAVMHMLSRVLEKVIPEDAMGLQDDGHVPWLPDFVPKVGLEIIRNGFLSFTRVNTAEYGANLAAGSFFIEQLCSLRKQSAFETSFASLCCLHGFFQVFIYINNLIQLAKPVVCNPSQACSLSQEENILSKGILVESLFELRCVFDIFSKLVASEWHLVQSVEIFGRGGPAPGVGLGWGASGGGFWSKSVLLAQTDAWLLSLLLDIFQTVSIEVLSLDYERTFTREIIFSALGLCLISGPRDKVIVEKALDVMLQVPVLKYLDLCIQHFIQGNGRIKLYGWEYKEDDYMLFSEILASHFRNRWLSNKNKLKASSVDRTSRSNASLETIPEDLDTSMMSRDNNCTSLMMEWAHQRLPFPVHWFLSPISTLCDSKHAGLGRVSDIQNIVQDPGDIVEVSKAGMFFLLGLEALSTFLSADVVSPIRSVPVIWKLHSLSIILLIGMAVLEDEKTRDVYESLQELYGQLLDEIRSKGRSQTISNMSTSLTPETENKINVEFLRFQSEIHESYSTFIDTLVEQYAAVSFGDLTYGRQVAIYLHRCVEAPVRLAAWNALSNSHVLELLPPLQKCLAEAEGYLEPVENEAILEAYVKSWVSGALDKAATRGSVAFTLVLHHLSTFVFISHKSYKPLLRNKLVKSLLRDYARKKQHEGMMLQFIEYTKPSSVTKAEKEEGLTMESSNVEGRLERLKEACEGNPSLLTLVDKLKSSCLKQ >KJB15886 pep chromosome:Graimondii2_0_v6:2:54282824:54290984:-1 gene:B456_002G201600 transcript:KJB15886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQEQRSGHKTKRNEGKVASLKVFGSSSINGDDASSLVGSIIEKGIVSNNDISKPIQPPRLSVLPFPVARHRSHGPHWTPRSDNRNVVEEDDEDETGFANFDPISVFAEPIRRKEKKNLDLSHWKEAMQGDDLSQRKGRETNQSGVGKTERQMMDGKAMKDLGNKSMLINSFGAHADVASMDVESHLNAHRPLAKAEEAMRSELSDSSVTGMDLDDSLQLQKEEHVKDHDSETFSKESGTMAVDGQVMAKRMCHNDSTNVEFRRMENIDTMVPEQFCNLGNERGSMSLESEIDAENRARLENMSPEEIKEAQAEIMLKMDPALLNLLKKRGQEKLKKQIDTHSNQAAESQLGIRRENQSNNAMKAPNLDSNNPTVTTSSNITKSGLDNGVKQNVDSASGSLWDAWSQRVEAVRELRFSLDGTVVENDFVQIPEIRGDNVAERDFLRTEGDPGALGYTIKEAVALTRSTIPGQRALALHLLASVLDKALRNIYLNPIGSTLADKDNVDSTVDWEAVWAFALGPEPELILSLRMSLDDNHNSVVLATAKVIQCVLSCDINQSFFDLLEKTAIDMRGTYTAPIFRSKPEIDVGFLHGGFWKYSAKPSNVLLYGDNIVEDETEGKHTIQDDIVVAGQDFAAGLVRMGILPRIRYLLEIEPTAPLEECLISVLVAIARHSPMGVNAIMKCQRLVQTVVHRFTANSNMDVYLSKIKSVCLLKVLAQSDRKNCAEFVENGIFQAMTWQLYKNAYSLEQWLKLGRENCKLSSALMVEQLRFWKVCIQYGYCVSYFSNILPALYLWLNPPTIRKLVENNVLGEFASISVEAYLILESLARTLPNFYSHKILSDGIAEGADDNVETWSWSHARPMVDLALKWISFKSRLIDSQDEIIGISIFHDKSSSPLLWVYSAVMHMLSRVLEKVIPEDAMGLQDDGHVPWLPDFVPKVGLEIIRNGFLSFTRVNTAEYGANLAAGSFFIEQLCSLRKQSAFETSFASLCCLHGFFQVFIYINNLIQLAKPVVCNPSQACSLSQEENILSKGILVESLFELRCVFDIFSKLVASEWHLVQSVEIFGRGGPAPGVGLGWGASGGGFWSKSVLLAQTDAWLLSLLLDIFQTVSIEVLSLDYERTFTREIIFSALGLCLISGPRDKVIVEKALDVMLQVPVLKYLDLCIQHFIQGNGRIKLYGWEYKEDDYMLFSEILASHFRNRWLSNKNKLKASSVDRTSRSNASLETIPEDLDTSMMSRDNNCTSLMMEWAHQRLPFPVHWFLSPISTLCDSKHAGLGRVSDIQNIVQDPGDIVEVSKAGMFFLLGLEALSTFLSADVVSPIRSVPVIWKLHSLSIILLIGMAVLEDEKTRDVYESLQELYGQLLDEIRSKGRSQTISNMSTSLTPETENKINVEFLRFQSEIHESYSTFIDTLVEQYAAVSFGDLTYGRQVAIYLHRCVEAPVRLAAWNALSNSHVLELLPPLQKCLAEAEGYLEPVEENEAILEAYVKSWVSGALDKAATRGSVAFTLVLHHLSTFVFISHKSYKPLLRNKLVKSLLRDYARKKQHEGMMLQFIEYTKPSSVTKAEKEEGLTMESSNVEGRLERLKEACEGNPSLLTLVDKLKSSCLKQ >KJB12256 pep chromosome:Graimondii2_0_v6:2:5069637:5070152:-1 gene:B456_002G055600 transcript:KJB12256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFRDKYGNVAQLLFVKPDDALMKAMKQNVNFRGSLTNLMGTCRYGESKIKRKNGPCIPWSDIRDAIGKASGDKHLALFVFSVYGLIVFPKAVGYVSVELADFLFQIEKWVNPTPTVLAETIISLNSSKG >KJB13777 pep chromosome:Graimondii2_0_v6:2:11850957:11853831:-1 gene:B456_002G093700 transcript:KJB13777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKDNSAPSTPTSARARHRRRSNEFPADESKTNGHLLLVNDHNKYRLMWIRACSSLWMLGFFLLVIYLGHLYVLAMVVIIQIFMARELFNLLRKAHEDKHLPGFRLLNWHFYFTAMLFVYGRILSHRLVNTVTSDKIFYRLVSRLIKYQMVICYFSYIAGFMWFILTLKKKMYKYQFGQFAWTHMILIVVFTQSAFTVANIFEGIFWFLLPASLIAVNDVAAYFFGFFFGKTPLIKISPKKTWEGFIGASVATTISAFVLANIYGSFQWLTCPRKDLSTGWLHCDPGPLFKPEYYPLLPWLPSTEIAILPVQWHALWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGLTDRMDCQASC >KJB13776 pep chromosome:Graimondii2_0_v6:2:11849920:11854355:-1 gene:B456_002G093700 transcript:KJB13776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKDNSAPSTPTSARARHRRRSNEFPADESKTNGHLLLVNDHNKYRLMWIRACSSLWMLGFFLLVIYLGHLYVLAMVVIIQIFMARELFNLLRKAHEDKHLPGFRLLNWHFYFTAMLFVYGRILSHRLVNTVTSDKIFYRLVSRLIKYQMVICYFSYIAGFMWFILTLKKKMYKYQFGQFAWTHMILIVVFTQSAFTVANIFEGIFWFLLPASLIAVNDVAAYFFGFFFGKTPLIKISPKKTWEGFIGASVATTISAFVLANIYGSFQWLTCPRKDLSTGWLHCDPGPLFKPEYYPLLPWLPSTEIAILPVQWHALWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGLTDRMDCQMVMAVFAYIYHQSFVVPQDYTVETIMSEILSSLTLEEQQILYMKLGQILQERMFGRN >KJB13775 pep chromosome:Graimondii2_0_v6:2:11849916:11854396:-1 gene:B456_002G093700 transcript:KJB13775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKDNSAPSTPTSARARHRRRSNEFPADESKTNGHLLLVNDHNKYRLMWIRACSSLWMLGFFLLVIYLGHLYVLAMVVIIQIFMARELFNLLRKAHEDKHLPGFRLLNWHFYFTAMLFVYGRILSHRLVNTVTSDKIFYRLVSRLIKYQMVICYFSYIAGFMWFILTLKKKMYKYQFGQFAWTHMILIVVFTQSAFTVANIFEGIFWFLLPASLIAVNDVAAYFFGFFFGKTPLIKISPKKTWEGFIGASVATTISAFVLPSTEIAILPVQWHALWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGLTDRMDCQMVMAVFAYIYHQSFVVPQDYTVETIMSEILSSLTLEEQQILYMKLGQILQERMFGRN >KJB14264 pep chromosome:Graimondii2_0_v6:2:16578832:16581970:-1 gene:B456_002G116600 transcript:KJB14264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDVGERDGDGEDGGWENIGEDNGTKSPTDLVDETVSMIEYVARFGDYRRAQRKECYNLARRMKILLPFFDEIRHHGSPISHNCVASLSRLKKAIRLAMKLLKTCNEGSKIHLALESEAIMIKFHNAYVKLTQALEELPFDELEVSDEVREQIELMLTQLTRAKKRTDTQDIELAVDLMAVSSKTDERNADIAIVERLAKKLDLHTVEDLKIETIAVKKLSKERGSTAESIQQIIQLLNKFKQVLGMEITNVLDDTDTPQKLSKCHSLVIPHEFLCPITLEIMRDPVIVASGQTFERESIQKWFDSNHRTCPKTRQTLAHLSVAPNYALKNLITQWCEKNNFHLPKKEDQASSRKFFVDHEDEISCLVEQLSSSQLEVQRKAVKNIRVLSKENPETRVLIAKHGAIPRLVKLLSYPDSKIQEHAVTALLNLSIDESNKRLITDEHAIPAIIEVLQNGSMESKENSAAALFSLSMLDENKVTIALENGIPPLVDLLQDGTIRGKRDAVTALFSLSLNQLNKVRAIEAGIVPPLMLLLEDKKLGMVDEALSILLLLATHAEGRHEMGQLSFIQTLVDIIKDGTPKNKECATSVLLELSSNNYSHILAALQFGVYEHLVEIAESGTNRAQRKANGLLQLMSKSEQIP >KJB13879 pep chromosome:Graimondii2_0_v6:2:12645686:12646988:1 gene:B456_002G099600 transcript:KJB13879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITRTQSHKRRFTAFSLSASPPCSISGSILQFTILCYLLNMVETATENQRCSPFDLFKPYLLCVSILVPSLVLTSFLGLGFYVILFTTTILIISTSFLVKFSKKSNGVLVENPSSTKLQVEDEVLTPLLMNKTVSDAAIEADNGIVNEFQVDDSLDLTSEREYVEMKWMISGNVLERNREISDEYSTSEEDDEEGLIEIAIPSNDTTGLNEEPKPNLQPNLPTLLPEPVSHQQDLVELLEEINEANEEENLIEIDISMGSIKFPTFEIQA >KJB13197 pep chromosome:Graimondii2_0_v6:2:7280089:7280711:1 gene:B456_002G061500 transcript:KJB13197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTSILALVISLVICLISSLLGFSHAKHGLLVVIGKVYCDTCRVEFETKLSQPINAKVHLECKNRTDERIVYSKDAVSDKLGMYSIPVEGDHEDELCEVRLIESPRNNCNEMMESWRKARVVLTRRDGVTDLTRQTNNLGFKIKPEDVDTKACVKVLEEMGFVVDGKTRMVEIPS >KJB16608 pep chromosome:Graimondii2_0_v6:2:60271390:60272637:-1 gene:B456_002G239300 transcript:KJB16608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFLERVKEHMEADQYTLLLQCVDEFNNGGITVYRLNEIVEVLLREYPGFFTQFQFVLSLANGVTRRVQSADSSNKGKRKLASDEDRKIDGLNETKDQLAEAMEFCEKVMKQTGYDKYLDLLKHLYAYGTGKIIMVDLKTAIAENFHAFGEEFDHLFEFYTNISRPTSSSSSESEGKKRNQEVDIVKESCKPRQKGPSLESKIGNKKKKPEEEIEKVTESYKVKREGPSSSSLTKPKKEINKPEEEELEKVTENYYLLSENLSGVYNTEIDEIGKQVLNFSTFSKGVYNTNKQKRPEVTKQEMVMNKIEDEVFVRDMQMEWLRSTKKNAMKLFQDISDGKIKEPTMADVDEYFTSSNYRYLVKMYNGFGSWLVDRLRQAPETILPVIIKRLKQKDTVPDCELCQQHQQMLEDQQ >KJB15758 pep chromosome:Graimondii2_0_v6:2:52449140:52451508:1 gene:B456_002G194100 transcript:KJB15758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAVLFANSKGNILVERPDSLDFFTKLPSLFAWSGLFTLFTWCLEMSASMLLCKDEYDELALAELIFVNLSCKGCTWEATNIAPFSG >KJB13580 pep chromosome:Graimondii2_0_v6:2:10447546:10449832:1 gene:B456_002G082500 transcript:KJB13580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNALHNAARYGHKLLVREIIKERPSLTFKINHKGETPVHVTTKFGRRDIIEFLIKEMKDYKGIHIGKMRDKFGNTPSHGIARNEISRQWRQSPLSIAISMRLINFAARIIDFNPETLDDIGHNGQTPLHVAIKRNDFDSIVAYIKDNNKKIPLYLAAEKGSIGMVDESQHNLLDLAAMNGNVDAVKYSLNLVEMEDLMNSPDVDGNTALHLAATNYHSDVVTVLSEKTNVKVRAVKFLQFSLPNTTTQLSRRMADMIGIMFTLLATFTFTAAFNIPGRFKNDEPNAGMAVLISKAAFKAFVISDSIAMTSSITAAVIVFWSSSPMAIAFVSGLFVVLQKTLWLATLVCVIGCAAPVFLYIFAPIFLLVLERVKKSGTSHRQRQFIFV >KJB14524 pep chromosome:Graimondii2_0_v6:2:20005048:20007805:1 gene:B456_002G129000 transcript:KJB14524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIEFPLANCCSKSQRISSLSIRSSEPLTAPAPVRFRGMNWVQRKIFLYNVTFGLYMLDWWERCLFNILVIVLMWFIFYNSSRYVTVFLKGDASEGWNCVCYTVVSLVKTLPAFILRMQ >KJB14525 pep chromosome:Graimondii2_0_v6:2:20005063:20007772:1 gene:B456_002G129000 transcript:KJB14525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIEFPLANCCSKSQRISSLSIRSSEPLTAPAPVRFRGMNWVQRKIFLYNVTFGLYMLDWWERCLFSILFSVSLA >KJB14522 pep chromosome:Graimondii2_0_v6:2:20004993:20007828:1 gene:B456_002G129000 transcript:KJB14522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIEFPLANCCSKSQRISSLSIRSSEPLTAPAPVRFRGMNWVQRKIFLYNVTFGLYMLDWWERCLFNILVIVLMWFIFYNSSRYVTVFLKGHLS >KJB14523 pep chromosome:Graimondii2_0_v6:2:20004993:20007828:1 gene:B456_002G129000 transcript:KJB14523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIEFPLANCCSKSQRISSLSIRSSEPLTAPAPVRFRGMNWVQRKIFLYNVTFGLYMLDWWERCLFNILVIVLMWFIFYNSSRYVTVFLKGDASEGWNCVCYTVVSLVKTLPAFILRFGVALL >KJB13475 pep chromosome:Graimondii2_0_v6:2:10036239:10038783:1 gene:B456_002G080400 transcript:KJB13475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIVSFIVFMDIDTTNQLRYEQLKEEVRRMLMAPMDTSIRKLPLIDAIQRLEAFYKFKDEEGNFKSSLTNDVQGLLELYEVSYMCVHGEDILDEAISFTTTHLTLAAATLDYPLSEQVTHALKQSIQKGLPRVEARRYIFIYQDIESHNNIVGLLHRKELSEICRWWKDLDFTRKLPFARDRVVEGYFWIMGVYFEPQYSLDRKMITKVIAMESIVDDTYDSYATYDELIPYTDAIESMKRLISSNNCWTHQNYKPTFEEFRDNALPTSSYAMLAITAFMGVGDVITPETFTWAAGDPKIIKASTIICRFTDDIAEHKFKHRREDDCSAIECYIEQYGVTAQEAYYGFNKHIENSWKEINKEFLKPTEIPIPVLNRSLNLARVRDVLYREGDGYIHVGKAPKGGITSLLIEPVPL >KJB14651 pep chromosome:Graimondii2_0_v6:2:22507418:22509782:1 gene:B456_002G135800 transcript:KJB14651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQLVNNALTFSRRKKQWLILLAICGVSGYGVYKVYNLPSVVKKRKRLFKLFGALISLAELVSESAETINVVSNELKEFLQSDSDQAPNSLKQISKIVRSEEFSQSLISVTEALTVGVLRGYKLESANEKEFAAGSENSSITDRVLDRVFSNAGTGFVSVVVGSFARNLVLGFYSSVGEVEGLSGNNGSSDVPKWINVLYDDNSKELIADCIQRFVSTAVAVYLDKTMDINTYEEIFAGMTNPKHQKHVRDTLVSVCNGAVETLVRTSHQVLTSSKLNSASTCSIFYQSAGAGGMRDGFEKEVSLKGVKRGSSFDGIQNNGWVDKVSSTWAVPSNRKFVLDVTGRVTFETLRSLVLFILWMLSEGLKRSVHIVHEEVVERGLDVIRYVGAKSSIIVTVCLALYLHITGGSRVLMPA >KJB14652 pep chromosome:Graimondii2_0_v6:2:22507540:22509235:1 gene:B456_002G135800 transcript:KJB14652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIRGYKLESANEKEFAAGSENSSITDRVLDRVFSNAGTGFVSVVVGSFARNLVLGFYSSVGEVEGLSGNNGSSDVPKWINVLYDDNSKELIADCIQRFVSTAVAVYLDKTMDINTYEEIFAGMTNPKHQKHVRDTLVSVCNGAVETLVRTSHQVLTSSKLNSASTCSIFYQSAGAGGMRDGFEKEVSLKGVKRGSSFDGIQNNGWVDKVSSTWAVPSNRKFVLDVTGRVTFETLRSLVLFILWMLSEGLKRSVHIVHEEVVERGLDVIRYVGAKSSIIVTVCLALYLHITGGSRVLMPA >KJB13585 pep chromosome:Graimondii2_0_v6:2:10603804:10606503:1 gene:B456_002G083500 transcript:KJB13585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEILALFLSMFLVLALVPLYIWKRRQDTRPTVEHVEERQAPRRENVVRGGAGARSRMRRRPTAGGASSSSAATAEETADGSEEEEEGDGYYEAKASKKKEKKRQERDAQRRAEEAARESRLTKQDRYAEMRRKKDEEREAEERRLEEEAQARKAKEEEAAALEFEKWKGAFSVDAEGTTENELQDGSQDLLSNFVEYIKNHKCIPLEDLAGEFKLRTQECINRITSLESMGRLSGVMDDRGKYIYISMEEMKAVADYIKRQGRVSISHLASKSNQFIDLEPKVQFTEEISTAEEITVA >KJB13586 pep chromosome:Graimondii2_0_v6:2:10603495:10606599:1 gene:B456_002G083500 transcript:KJB13586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEILALFLSMFLVLALVPLYIWKRRQDTRPTVEHVEERQAPRRENVVRGGAGARSRMRRRPTAGGASSSSAATAEETADGSEEEEEGDGYYEAKASKKKEKKRQERDAQRRAEEAARESRLTKQDRYAEMRRKKDEEREAEERRLEEEAQARKAKEEEAAALEFEKWKGAFSVDAEGTTENELQDGSQDLLSNFVEYIKNHKCIPLEDLAGEFKLRTQECINRITSLESMGRLSGVMDDRGKYIYISMEEMKAVADYIKRQGRVSISHLASKSNQFIDLEPKVQFTEEISTAEEITVA >KJB12566 pep chromosome:Graimondii2_0_v6:2:1772628:1774743:1 gene:B456_002G024500 transcript:KJB12566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKWRKIWGLIGLLLLNFVNNVSSLSLTITKVDCVYEIVRSEGDIVSGNFVVVDHHIFWSSDHPGIDFSITSPGGDILHNLKGTSGDKFSFKAPRSGLYQFCFHNPLSTPETIAFYIHIGHIPNEHNLAKNEHLDPINVKIAELREALEAVTTEQKYLKARDAVHRRTNESTKTRVIGYTVGQYILLTIASVLQIVYIRRLFSKSVGYNRI >KJB15339 pep chromosome:Graimondii2_0_v6:2:43946034:43947140:1 gene:B456_002G172800 transcript:KJB15339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVTEELWQALPNRREALIISSWPQTSLPRSTDLVKIFENFQALTRAIQNARAEYSVEPAKLITASIVGSEEVIQYISEEKEVLALLSKLDLDNIHFNDEVVLGFSSLLANINFNLLILAACYLIRLLVMLA >KJB15341 pep chromosome:Graimondii2_0_v6:2:43945915:43947140:1 gene:B456_002G172800 transcript:KJB15341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVTEELWQALPNRREALIISSWPQTSLPRSTDLVKIFENFQALEEKEVLALLSKLDLDNIHFNDEVVLGFSSLLANINFNLLILAACYLIRLLVMLA >KJB15340 pep chromosome:Graimondii2_0_v6:2:43944399:43947359:1 gene:B456_002G172800 transcript:KJB15340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILGTKASCISFKDSTCRCFGYMVSKKKYIYTIDDDCFALPNRREALIISSWPQTSLPRSTDLVKIFENFQALEEKEVLALLSKLDLDNIHFNDEVVLGFSSLLANINFNLLILAACYLIRLLVMLA >KJB14972 pep chromosome:Graimondii2_0_v6:2:30629237:30632790:1 gene:B456_002G152300 transcript:KJB14972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCGSERLNSKLTPRTSNSSFEDNRLPRVDMNHLTVATEDTFASLLELAANNDVEGFKRSMEHDPSGVDEVGLWYGRQKGSKQMVNEERTPLMVASMYGSIDVIKLILSSSDADVNRLCGRDKSTALHCAASGGADNVIDVVKLLLAAGADVNTVDANGHLPVDVIVVPPKLGAVKSTLEELLAIEGSGFDWNSQLAAVANSDSFPLLPLKENGSLSSGSDSPMKSKPIDAPISSASEKKEYPIDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGTCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTADELRPLYVSTGSAVPSPRSSASGATAMDFAAAMSLLPGSPSSVSVMSPSPFTPPMSPSMNGMSHSNVGWPQPNVPALHLLGSNLQSSRLRSSLNARDIQAEDFNLLSDFDVQQLRLMNELPGLTQPSMSSSSFNRSGRMKTLTPSNLDDLFSAESSSPRYSDQAMAAAVFSPTHKSAVLNQFQQQQNMLSPINTNFSPKSIEHPLLQASLSGRMSPRNVEPISPMSSRVSMLAQREKQQQFRSLSSRELGSGSAAIVGSPVNSWSKWGSSNGKPDWAVNADGLDKHRRSSSFELGNGDEPDLSWVQSLVKESPTEIKEKIAAPVSGEVSSMNADPVDNAVLGAWLEQMQLDQLVAQKN >KJB14706 pep chromosome:Graimondii2_0_v6:2:23706854:23714885:1 gene:B456_002G138800 transcript:KJB14706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSLECPVKGEMLSSVVPAKATGGNKDLELTSMDLAMKLHYIKGVYFFQPEAAQGLSIHDLKEPMFQCLELYYAASGRIRRSESGRPFIKCNDGGVRIVEAQCDKSVDEWLAMARNNDHMLAHDQVLGPDLGFSPLVFVEFTRFKCGGVSVGLSWAHVLGDAFSASNFLNLWGQIMAGKQVPLQPNSPAHNISQFPTSISRKPFSLKKVDPVGDYWLTPNNSKMVTHSFRITAKQLHYYITTYCIHDPNKISDFEIISAMIWQSLSKAREDSGPNIVTICSNNSADKMAMLPSNGMTLSTVEADFCVSKVEIGELAKLIAEKRMDENGLIGELIKGDEVRSDFIVYGANLTFVNLEGMNVYGIEMKGLKPVCVNYMMNGVGEEGTVVVLPSNEKDGGNNGKMVTITLPQHLLLKLNNRLQIDWNIVI >KJB13357 pep chromosome:Graimondii2_0_v6:2:8114421:8118942:1 gene:B456_002G070300 transcript:KJB13357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSIFLWLCTLKISLLFISLSVKPVSSVIDSYSDHCLTTVPEPVPNSEPLDYEFGPFGPSHSGFYYYGNLRVVSTNITRYTNSFSFYTTEVSQTDKDGVLMIEGSFELQNPFVLHSFLYRVGPSNGSVPTPHTPPVVADFTNPFILKLHGFWSKSSGELCMVGTGSSYFKDGNLLTPAAVLKLHNIKNSSNITTLITGTLKSLSPINDNNYFEPISLMTVPQLNYNFTFASGDSGDEFSSESDLEENLSMYNILRGRSFCSKFSNLAIKDVFNLQYTGCRSNKKCLPVGGVVQNLPGSISFGVINCTDVGKRVWIFLKFDDHTKLSRLDLRFNPNTTLIGEGMWDDKKNRLHVLLCRFLGTANSWSNAHVGDCTTRLSLRYPAILSIKETSTIMGKIWTNKTVHDSGYFEKIVFRSTEFLIEGLYSLKYEYTELDRVRNECPERKLDRNRRQRYPRPYSSEMKFDMSVRSSEGRTARGSADTLTVNNQFSKQAGIALMVIRDDDFERPTRWEPQGRANISYKIDIKWRTSQKLANKNYISVVPDEKMEITAEGVYDADTGGLCMIGCRKFALTDRVPGNTSIDCEILLNFQLAPLKGFENGGYIRGRIESTRDKSDDLYFDPLDVSSVAYSREQARHTIWAMDLEIVMVVISQTLICLFLRSQVYHAKRHPKTLPFTSLVMFVILTMGQLIPLVLNYEALFYRKRDQDTMLFQTGGWLEVNEVIIRITSMVAFLLQFRILQRAFSSRSNDGNGKGLWFAEKMTLLVILSLYGSGALIVLLVDKGNYKREIVLLPTRPVDYWQRSTLDDLKSFAGLISDGFLLPQILFNMFSNSREYVLSPLFYIGTSLVRLLPHAYDLYCDHTYVEYKGTFIYVKPAEEFFSTAWDVIIPIGVLLFAATIYLQQQFGGCCIVPKRFRWRESYEEIPVSLHPYSVGPLNPLFGSMYCPNTGLLHYR >KJB13195 pep chromosome:Graimondii2_0_v6:2:7238703:7245444:1 gene:B456_002G061300 transcript:KJB13195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHSSLTMSLLSFSFLLLFTFSAKAIVPPSETFRFVNDGEFGPYVVEYGANYRVISIANAPFQLAFYNTTSNAFTLALRMATTRSESLFRWVWEANRGNPVRENATFSLGTDGNLVLADADGRIAWQSNTANKAVVGFQLLPNGNMVLHDSNGKFIWQSFDHPTDTLLVGQSLRAGGATKLVSRASAQNNVNGAYSLVMEPKQLVLQYKGMNSSKPLVYFKSSVWPNTQDGTLQTVTLNVEETNDGFAYDVLLDYTVANSSIGTGNLILTRPKYNSTLSILRLGIDGNLRVFTYYDKVDSQAWEETFTLFSRDSVWGNECELPERCGNFGLCEENQCVACPSPNGLLGWSRNCQQKKVNCRPNDFGYYKLEGVNHFMSQYNEGEGIKESDCGRKCTSDCNCLGYFYHRETSKCWIANELKTLAKTSNSSHVGYIKAPNK >KJB14148 pep chromosome:Graimondii2_0_v6:2:15707954:15708673:-1 gene:B456_002G112000 transcript:KJB14148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMMIDLGSQRGPLHINTIDMATSVECGREVRFRRSLWSLVECMVPCCGLPPSMSGTVSSDTESSHGSITTSTSISTSTSTITGTFFGYRKGRVSFCLQDDSRSSPLLLLELGVPTAYLAREMQYGLLRIALECDDKSKERCGLYNVPVWSMYCNGRKVGFAIKRKMSSNDAAVLKLMQSVSVGAGVLPVSPKCEEDGELMYMRASFQRVIGSPHSHSFHMLNPLGSTAQELSIFLFRS >KJB16525 pep chromosome:Graimondii2_0_v6:2:59392773:59396482:1 gene:B456_002G234100 transcript:KJB16525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKMMRWRPWPPLVSKKYEVKLMVRRLEGWDLKREGEEKPEKLTVEIRWKGPKASLGSLRRTVKRNFTKEVDGGVGQNGVIIWDEEFQTPCSLSAYKDNVFHPWEIAFSVLNGLNQGPKNKATVVGTASLNLAEYASVAEHKEFEIKIPLTLSTGAAEPSPQLCISLSLLELRTTQETSEPEQRAVVPIASPLQSGESVTMEKDELSAIKAGLRKVKIFTEYVSTRRAKKACREDEGSEGRCSARSDDGEYPLDTDSLDDFEEGESDEVKDDSTIRKSFSYGTLAHANYAGGSFYSSVGISEDWVYYSNRKSDAGCSNFEDSAASMSEPSLLLTSKRSILPWRKRKLTFRSPKVKGEPLLKKAYGEEGGDDIDFDRRQLSSDESLGWHKTDEDSSVNRTSVSEFGEDNFAVGSWEQKEVVSRDGLLKLQSHVFFASIDQRSERAAGESACTALVAVIADWFQKNCDLMPIKSQFDSLIREGSLEWRYLCENEIYRERFPDKHFDLETVLQAKIRPLSAVPRKSFIGFFHPEGMDEGQFDFLHGAMSFDNIWDEISRAECLNSPEPQVFIVSWNDHFFILKVEPEAYYIIDTLGERLYEGCNQAYILKFDCDTIIHKLQPNVSQPSDDKSNGNQLVPTAAAEPKNVQVQDGSIAGAVVTKPEEPIKTEGNEEVVCRGKESCKEYIKSFLAAIPIRELQADIKKGLMASTPLHRRLQIEFHYSELLQPLPKTSSAPHLDEVQLTEVTA >KJB16526 pep chromosome:Graimondii2_0_v6:2:59392892:59396582:1 gene:B456_002G234100 transcript:KJB16526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKMMRWRPWPPLVSKKYEVKLMVRRLEGWDLKREGEEKPEKLTVEIRWKGPKASLGSLRRTVKRNFTKEVDGGVGQNGVIIWDEEFQTPCSLSAYKDNVFHPWEIAFSVLNGLNQGPKNKATVVGTASLNLAEYASVAEHKEFEIKIPLTLSTGAAEPSPQLCISLSLLELRTTQETSEPEQRAVVPIASPLQSGESVTMEKDELSAIKAGLRKVKIFTEYVSTRRAKKACREDEGSEGRCSARSDDGEYPLDTDSLDDFEEGESDEVKDDSTIRKSFSYGTLAHANYAGGSFYSSVGISEDWVYYSNRKSDAGCSNFEDSAASMSEPSLLLTSKRSILPWRKRKLTFRSPKVKGEPLLKKAYGEEGGDDIDFDRRQLSSDESLGWHKTDEDSSVNRTSVSEFGEDNFAVGSWEQKEVVSRDGLLKLQSHVFFASIDQRSERAAGESACTALVAVIADWFQKNCDLMPIKSQFDSLIREGSLEWRYLCENEIYRERFPDKHFDLETVLQAKIRPLSAVPRKSFIGFFHPEGMDEGQFDFLHGAMSFDNIWDEISRAECLNSPEPQVFIVSWNDHFFILKVEPEAYYIIDTLGERLYEGCNQAYILKFDCDTIIHKLQPNVSQPSDDKSNGNQLVPTAAAEPKNVQVQDGSIAGAVVTKPEEPIKTEGNEEVVCRGKESCKEYIKSFLAAIPIRELQADIKKGLMASTPLHRRLQIEFHYSELLQPLPKTSSAPHLDEVQLTEVTA >KJB13044 pep chromosome:Graimondii2_0_v6:2:4729693:4735093:1 gene:B456_002G053400 transcript:KJB13044 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO RNA-binding protein (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) UniProtKB/TrEMBL;Acc:F4I896] MLQTLSTASSLWNPSQRSACLEIVEFQRSQLSSLSPCPFYLKFGSKSVQKGRALVSGTFPYACQRPKQYPASKKPGEYPQNMDLPPVLPKKKKKPYPIPFKEIQKAARKDKKLAERGVEKPLQPPKNGLLVPELIPVAYEVLDAWKLLIEGLAQLLHVIPVYGCSDCSEVHVAHSGHNIQDCKGPRSSKRRGLHSWVKGSINDILIPIESYHLYDPFGRRIKHETRFDYDRIPAVVELCIQAGVDIPEYPSRRRTNPIRMIGKKIIDRGGYVEEPKPWRAVDPSSSSIIDLDTCGACERFPPPSPEDVPIIAQETMNAHETVQTGVTKLMKKYTVKACGYCSEVHVGPWGHNAKLCGEFKHQWRDGKHGWQDATVSEVFPPNYVWHVQDPKGPPMRSALKRYYGKAPAVVELCMQAGAQVPRRYKPMMRLDIIVPESEEASLVA >KJB15820 pep chromosome:Graimondii2_0_v6:2:53568031:53570439:1 gene:B456_002G197900 transcript:KJB15820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSEYPLSSDKHPRRFQSSWFCKFPWLEYSPTKDAIYCFSCYLFSKHIVRHETDAFTVKGFNNWKKVNDGKMRAFLNHVGKYPSSPHNNAKKSCQDLLNQSWHIDKTSFDVVRWLTLQGCAFRGHDETSNSRNQGNFLELMTLLASYNDKVSKVVLENAPRNAKYTSHMIQKETLHILANKAHDESKRKQMIIVLRDEKGFIKEHLFDLVHVQDIATITLKEEICVVLFWHCLDAQNIRGQGYDGASNMRGEWNSLQSLFLNDWPYICYVIPVHNFFSNLNFIVNIISAFCKRHDQLLVAQAIEIANMLEIDELENDYNFDFVFILYLVREIIGIIDILCQHLQKKNPKIKEDDWDNLLEVVKAFCEKRNIEVLDMDSPYVIKCCRHHVDFNLEHHYGVEVFNAAIDSQLLELNSRFNERTISLLILSSALDPKDAYKSFNMESAFSAMKIVKTRLLNKMEDEFLTNNLVYIEREIVETFDSDSIFSDFISLKERRAQF >KJB16301 pep chromosome:Graimondii2_0_v6:2:57704040:57705553:-1 gene:B456_002G222200 transcript:KJB16301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTMLVMSSTSVSTSHVVNLKRDHTLLHFQAQGLKPKPSSHFLFNPHSNTVAASSSKAFTTFALFKPKTKAAPKKAAPKPKPKVEDGIFGTSGGIGFTKQNELFVGRVAMIGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGKFVDEPPTGIEGAVIPPGKGIRGALGLKEGGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGIPISDIEPLVLFNVAFFFFAAINPGTGKFVTDEAEED >KJB17148 pep chromosome:Graimondii2_0_v6:2:62613474:62615190:1 gene:B456_002G267100 transcript:KJB17148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPAQVIPDKTLQGLHGLGRENSSSPHPRRLEGKVAIVTGGARGIGEATVRLFARHGAKVVIADVEDTLGTALANSLSPCVTFVHCDVSLEEDIENLVSSTVSRYGRLDILFNNAGVLGNQRKHKSIVDFDVDEFDNIMRVNVRGMALGIKHAARAMIPRGGGCIISTASVAGVMGGLGPHAYTASKHAIVGLTKNAACELGRYGIRVNCISPFGVATPMLVNAWRSHDDEEEETGFNFGIPCQQETEKTEEFVRGLANLKGPTLRAKDIAEAALYLAGDESKYVSGHNLVVDGGVTTSRNCVGL >KJB13540 pep chromosome:Graimondii2_0_v6:2:9914739:9918159:-1 gene:B456_002G080200 transcript:KJB13540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSPGSNPISHGSSLGAPLLVPSKEPGWDSGPGSEPQKVCIDDMLQNYCGEFGYWQLKHFVLTSLAWALEAFHTMVMIFADRDPGWRCVGGLGCDEKESNVCGLEPGSWEWEGGPGSSTVAQWGLVCGQKYKVGLVQALFFGGCMIGAGVFGHLSDSKLGRKGSLTAVCILNAIFGCLTSLAPDYSTYLLLRFVTGFSTGGVGLCSFVLATEPVGPTKRGVAGMSTFYFFSTGIALLSGIAYIFPSWRALYVASSIPSILFLVLVVPFISESPRWYLVRGKMNEAMKIMRTIANANGHHLPDGVSLALDDEANDASNGNQIWKAATVEKEAISGSIVDVIRSPITRIRLFLAVGINFTCSVVYYGLSLNVVNLETNLYLTVLLNAVAEMPAFTITAVLLDKFGRKPLAIGTQWFSAIFCFMGSLTGNVGISKVIRMCCGLLGIFGMAGTYNLLFIYTAELFPTVVRNAALGCATQAAQMGAILAPFVVILGGGFPFLVFGVCGLIGGMLASYLPETLNKPLYDTMTGLEEGELA >KJB13539 pep chromosome:Graimondii2_0_v6:2:9914315:9918472:-1 gene:B456_002G080200 transcript:KJB13539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSPGSNPISHGSSLGAPLLVPSKEPGWDSGPGSEPQKVCIDDMLQNYCGEFGYWQLKHFVLTSLAWALEAFHTMVMIFADRDPGWRCVGGLGCDEKESNVCGLEPGSWEWEGGPGSSTVAQWGLVCGQKYKVGLVQALFFGGCMIGVFGHLSDSKLGRKGSLTAVCILNAIFGCLTSLAPDYSTYLLLRFVTGFSTGGVGLCSFVLATEPVGPTKRGVAGMSTFYFFSTGIALLSGIAYIFPSWRALYVASSIPSILFLVLVVPFISESPRWYLVRGKMNEAMKIMRTIANANGHHLPDGVSLALDDEANDASNGNQIWKAATVEKEAISGSIVDVIRSPITRIRLFLAVGINFTCSVVYYGLSLNVVNLETNLYLTVLLNAVAEMPAFTITAVLLDKFGRKPLAIGTQWFSAIFCFMGSLTGNVGISKVIRMCCGLLGIFGMAGTYNLLFIYTAELFPTVVRNAALGCATQAAQMGAILAPFVVILGGGFPFLVFGVCGLIGGMLASYLPETLNKPLYDTMTGLEEGELA >KJB14789 pep chromosome:Graimondii2_0_v6:2:25676307:25677548:-1 gene:B456_002G142600 transcript:KJB14789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSTIAGRKKLLKEKLVSFFKGKRLALVDSEVATQEEGMSSQSVNNVYSCSSGPPLEDDSSDTYLKLGLPFS >KJB14520 pep chromosome:Graimondii2_0_v6:2:19971040:19974993:1 gene:B456_002G128800 transcript:KJB14520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEDLELVSPSDYTSSPIQDRKFKRLKKLKTVSENPSHFEALDLDSPDAQVVEEPKLGSGIGSDSGFHGFDEGNELSFGFDELGVDGNGSGSVAKRVLDFDSMTEEVDGTGKDQNKKMETRDLEQKPDEKQPSSDEMRSKETKKKKRAKGVGDGDMPVLPERRTAKERREHLVQLRAESQRLLRETRDAAFKPAPIVQKPISSVLEKIRRRKLEVSKKTYFAIDDHDGNSSKDMGETGSENVGEDERGNDRAVMESEETIVKHGISDTSCSDEIKNAENVSSHENLSPQIAASEEPKPAFRAPVNDTQDLFSDSQTSDSKDELADETPNSPLEEVLAPSVLAMNLKLDSAPLDDIFSDEEDNDKENIDPHPHESVDLSPASADPVKAFVDEEAEEEDDSDNDLLRFQDDDNEEDEDSEDLEELRDMIATGFEEKQSDIDRRMELHQKLLDQQDAAKTAQLLRKWGPKQRETDLLDDEGFEEDDEEDGDDEEDFFENEDSRPVNLRLHIKKIKEMIPQMFTDKDMYISSDDEEVEKKLVEKSLYEKANQQAELLPPTKDARSTELFGYIKKVNNMPETRRKAKTSSFSNMLFMGKKGNEPSKSSFIGRGSNCSIPSSTKHGPGVLRSFVFEREDNNSSTTSIAESSSDVIQKENRPKKNAPSAKFSNSQIKSSSQPRKDEMETSSGAQLLEILRRSSSAQTSECTGKRCIVGQTESIFAAFKLEKKSIGTKRPNVSVKTL >KJB12928 pep chromosome:Graimondii2_0_v6:2:3820070:3823203:-1 gene:B456_002G045900 transcript:KJB12928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINDDARWFFWSSLQTCTQQIVCFSNYPLMSEFHEFIAPIGKRLTLEGTGGAQHREVLNWNQGAAER >KJB16286 pep chromosome:Graimondii2_0_v6:2:57644447:57647638:-1 gene:B456_002G221700 transcript:KJB16286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRNPTSFSSYVALCFMIFSNVALVTSKSTIEPCSNSDSCNALLGYNLYTDLKVAEVASLFQVDPISILTANAIDISYPDVENHILPSNLFLKIPILCSCVDGIRKSVSTKYKTRPQDTLSSIADSIYAGLVSADQIKEANSISDPSVLDVGENLVVPLPCTCFNGTDNGLPAIYLSYVVKAVDTLAGIAASYSTTITDLMNVNAMGSTSIKAGDILAVPLSACASNFPRYASDYGMIVPNGSYAITASHCVQCSCGPGSRNLYCMPSSLAVSCSSMQCKSSNLKLGNVTVQQSSAGCNVTSCAYGGYANGTIITWLSSSLQPRCPGPQQFPPLLAPPTHVTRDSAFAPAPAPQSDGGSTTTVPKTVPSTGSLPGLAPAGAPIGSTSDASTLVNLVAAVPTALMIFLLIKLIPPFSL >KJB16716 pep chromosome:Graimondii2_0_v6:2:60863949:60866523:-1 gene:B456_002G244500 transcript:KJB16716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRFKTCCSSIKTSSPSSSMKVVLLSVAIPLILLTSFLSFSLVSKRSFGGFSYTNPYSKIIKEGFSNETPIKNNSVSSSSSSSSSVAQQQQLLHVQYTLNDDRPLKHRRRKWYWSDLDRLERGLQRARAAIKEAMNGSRLKDPDYSPDGPIYWNAKVFHRSYLEMEIQFKIFVYKEGEVPLFHDGPCRMIYTIEGQFINKMEINTKFRTHNPHHAHAFFLPYSVTRMRHFLHDLRSFGRIVADYVNIITAKHPHWNRSLGADHFILACHDWVTLPFVSFMILG >KJB17081 pep chromosome:Graimondii2_0_v6:2:62443004:62450579:1 gene:B456_002G264200 transcript:KJB17081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCRYLFDCFKGKHRQEQIQGQEFATANVRLFSYNSLRSATSDFHPSNRIGGGGYGVVYRGVLRDGTQVAIKTLSAESKQGSREFVTEIDMISNIRHPNLVELIGCCVDDNHRILVYEYLENNSLASVLLGSRSKYIALDWPKRAAICLGTASGIAFLHYEAVPRIVHRDIKASNILLDGDFHPKIGDFGLAKLFPDNVTHLSTRVAGTVGYLAPEYALLGQLTKKADVYSFGVLILEIVSGKSSTKAAFGVELMLLVEWTWKLKQEERLLDIVDPELTEYPEDEVLRFIKVALFCTQASAHQRPTMNQVVHMLSKDVHLNDKLLTEAGVYKGYRRTSSELTSSSLKTKGKQSMEPSSSTNIVSSHSITEMLPR >KJB17083 pep chromosome:Graimondii2_0_v6:2:62443429:62447871:1 gene:B456_002G264200 transcript:KJB17083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCRYLFDCFKGKHRQEQIQGQEFATANVRLFSYNSLRSATSDFHPSNRIGGGGYGVVYRGVLRDGTQVAIKTLSAESKQGSREFVTEIDMISNIRHPNLVELIGCCVDDNHRILVYEYLENNSLASVLLGSRSKYIALDWPKRAAICLGTASGIAFLHYEAVPRIVHRDIKASNILLDGDFHPKIGDFGLAKLFPDNVTHLSTRVAGTVGYLAPEYALLGQLTKKADVYSFGVLILEIVSGKSSTKAAFGVELMLLVEWVSF >KJB17082 pep chromosome:Graimondii2_0_v6:2:62443222:62450484:1 gene:B456_002G264200 transcript:KJB17082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNIRHPNLVELIGCCVDDNHRILVYEYLENNSLASVLLGSRSKYIALDWPKRAAICLGTASGIAFLHYEAVPRIVHRDIKASNILLDGDFHPKIGDFGLAKLFPDNVTHLSTRVAGTVGYLAPEYALLGQLTKKADVYSFGVLILEIVSGKSSTKAAFGVELMLLVEWTWKLKQEERLLDIVDPELTEYPEDEVLRFIKVALFCTQASAHQRPTMNQVVHMLSKDVHLNDKLLTEAGVYKGYRRTSSELTSSSLKTKGKQSMEPSSSTNIVSSHSITEMLPR >KJB12340 pep chromosome:Graimondii2_0_v6:2:847444:849691:-1 gene:B456_002G013000 transcript:KJB12340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSYIFLTMAVTLLSMPATGFGQGTTRVGFYSKTCPRAESIVKSTVESHFRSNPAIAPGLLRMHFHDCFVNGCDGSVLIDGPNTERKAVQNSLLRGFDVIDEAKAKLEAACPGVVSCADILALAARDSVVLTKGINWKVPTGRRDGKVSLQSEAAKLPGFTDSIESQKQQFARFGLNTQDLVTLVGGHTIGTAACLVFNYRLNNFTNGGPDPTINPSFLPQLRSLCPQNGNNFRRVDLDTGSANKFDTSFFTNLKNGRGVLESDQKLWTDASTRTFVQQFLGEKGVRKLNFGKEFAKSMVKMSNIGVKTGSNSEIRRVCSAIN >KJB12046 pep chromosome:Graimondii2_0_v6:2:24672730:24674244:1 gene:B456_002G141000 transcript:KJB12046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTPSSREEFVYMAKLAEQAERYDEMVEFMEKVSASAESEELSVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRGNEDHVTLIRDYRAKIESELSSICGGILKLLDSRLIPSAAAKDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKSAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDLQVNKIYLFLYFYKRLIHIYPGKQEYNLIENTVEY >KJB12047 pep chromosome:Graimondii2_0_v6:2:24672730:24675678:1 gene:B456_002G141000 transcript:KJB12047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTPSSREEFVYMAKLAEQAERYDEMVEFMEKVSASAESEELSVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRGNEDHVTLIRDYRAKIESELSSICGGILKLLDSRLIPSAAAKDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKSAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDLQDDGADEIKEAPKQEEEQQKQPEQPKQ >KJB12045 pep chromosome:Graimondii2_0_v6:2:24672656:24675787:1 gene:B456_002G141000 transcript:KJB12045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTPSSREEFVYMAKLAEQAERYDEMVEFMEKVSASAESEELSVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRGNEDHVTLIRDYRAKIESELSSICGGILKLLDSRLIPSAAAKDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKSAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDLQDDGADEIKEAPKQEEEQQKQPEQPKQ >KJB12048 pep chromosome:Graimondii2_0_v6:2:24672730:24675649:1 gene:B456_002G141000 transcript:KJB12048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTPSSREEFVYMAKLAEQAERYDEMVEFMEKVSASAESEELSVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRGNEDHVTLIRDYRAKIESELSSICGGILKLLDSRLIPSAAAKDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKSAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDLQDDGADEIKEAPKQEEEQQKQPEQPKQ >KJB12049 pep chromosome:Graimondii2_0_v6:2:24672730:24675787:1 gene:B456_002G141000 transcript:KJB12049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTPSSREEFVYMAKLAEQAERYDEMVEFMEKVSASAESEELSVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRGNEDHVTLIRDYRAKIESELSSICGGILKLLDSRLIPSAAAKDSKVFYLKMKGDYHRTLPTPSWLQLTRSVSDWLSISLFSTMRFSILLIALAISQNRHLMKQSLSWIL >KJB12000 pep chromosome:Graimondii2_0_v6:2:8889709:8891421:-1 gene:B456_002G075500 transcript:KJB12000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQCLSLLRRVNIMNLEIVAVEGLINPAYLELLLWPHPVPFINAVAAARSCQLNLECNGAIGSIGEIVMRINKIQIMKLHYLPMICVFFKTIM >KJB14086 pep chromosome:Graimondii2_0_v6:2:15142629:15153439:1 gene:B456_002G110000 transcript:KJB14086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQNKIFMEHSRVSKQYNSVEHGNGEFPHATQAFMPDPMTSLNMSIRPPELKGSDVKPVLNYSIQTGEEFSFEFMRDRLNPRKHFIQNSLGEPSYATGYMDLKGLLGISRTESESGSDISMLNTVEKGPRGFERKDSVHENQSNYGSHQSMRQTTLGYENNRGLLYMSIGTSDGTSTQMKVLCSFGGKILPRPSDRKLRYVGGETRIIRIRKDISWQELKQKILAIYDQTEVIKYQLPGEDFDALVSVSSDEDLQNMMEECNELLDKEAFQKLRMFLFSLSDLEDTQFGLGNMDGDSEIQYVVAVNGMDFGTRTSTTLHGLTSFSANNLTEPVGSSINRETSRVAGDSVVISSSNIPGIMVSSSTFQSSQPVLPSSSGAYETRPEFYHGQRMGYPLQYGHNSSNYSYIAEFSNSVPPNVFMNQHERLTEVPPYNGLQQQNLQMLATEFKPKPDGSGHQGNDLEKHRPSETDHPVSSRLHEGKVINHFQCEEVPVAVVPQDVPHFTLKNEAKNQDNEKVASSVDAVNEVLVPKQGNDDHHSTSSYADSESNPTDLSYHEPTVPPHKVYYSERIPREQLDLLNRLSKSDDSLGSQLLLAHPQSDMAQQCPNTETVGNLCDTNIASHIEKSAAKPSNKIIDDEISQRQKHKEFPAAVSQMNSKPSEEVLDTGLKQAVSNPMDNIQAPNKDGVRVGFPKDNLPVDEKKPTFDVKAETGPGLPVGNESAFALPHDANLTSKNPPVHFQVDLRTESSTKDDSKENHSSGIIRAEQGDILIDINDRFPRDFLSDIFSKAMLSEESSGVSPLQTDGAGLSLNMENHEPKRWSYFQKLAQDFGEKDGSLINQDHVSDQFAPVGVVPLSQAESDKKIVEDNPKDGQPQVQISESMQFDAMIENLRTPESEYEKMKSEKRNIGLPPLDPSLGEFDINTLQLIMNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSEQERLTIEFWREADILSKLHHPNVVAFYGVVQDGPGGTMATVTEFMVDGSLRHVLLRKDRLLDRRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGGSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPTIPSFCDPEWRKLMEQCWSPNPAARPSFTEIASRLRTMSAAASQSKVQGHKASK >KJB14087 pep chromosome:Graimondii2_0_v6:2:15142629:15153439:1 gene:B456_002G110000 transcript:KJB14087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQNKIFMEHSRVSKQYNSVEHGNGEFPHATQAFMPDPMTSLNMSIRPPELKGSDVKPVLNYSIQTGEEFSFEFMRDRLNPRKHFIQNSLGEPSYATGYMDLKGLLGISRTESESGSDISMLNTVEKGPRGFERKDSVHENQSNYGSHQSMRQTTLGYENNRGLLYMSIGTSDGTSTQMKVLCSFGGKILPRPSDRKLRYVGGETRIIRIRKDISWQELKQKILAIYDQTEVIKYQLPGEDFDALVSVSSDEDLQNMMEECNELLDKEAFQKLRMFLFSLSDLEDTQFGLGNMDGDSEIQYVVAVNGMDFGTRTSTTLHGLTSFSANNLTEPVGSSINRETSRVAGDSVVISSSNIPGIMVSSSTFQSSQPVLPSSSGAYETRPEFYHGQRMGYPLQYGHNSSNYSYIAEFSNSVPPNVFMNQHERLTEVPPYNGLQQQNLQMLATEFKPKPDGSGHQGNDLEKHRPSETDHPVSSRLHEGKVINHFQCEEVPVAVVPQDVPHFTLKNEAKNQDNEKVASSVDAVNEVLVPKQGNDDHHSTSSYADSESNPTDLSYHEPTVPPHKVYYSERIPREQLDLLNRLSKSDDSLGSQLLLAHPQSDMAQQCPNTETVGNLCDTNIASHIEKSAAKPSNKIIDDEISQRQKHKEFPAAVSQMNSKPSEEVLDTGLKQAVSNPMDNIQAPNKDGVRVGFPKDNLPVDEKKPTFDVKAETGPGLPVGNESAFALPHDANLTSKNPPVHFQVDLRTESSTKDDSKENHSSGIIRAEQGDILIDINDRFPRDFLSDIFSKAMLSEESSGVSPLQTDGAGLSLNMENHEPKRWSYFQKLAQDFGEKDGSLINQDHVSDQFAPVGVVPLSQAESDKKIVEDNPKDGQPQVQISESMQFDAMIENLRTPESEYEKMKSEKRNIGLPPLDPSLGEFDINTLQLIMNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSEQERLTIEFWREADILSKLHHPNVVAFYGVVQDGPGGTMATVTEFMVDGSLRHVLLRKDRLLDRRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVTLGHLPWTNLGWLNLVLIITFLRYYFSFQVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGGSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPTIPSFCDPEWRKLMEQCWSPNPAARPSFTEIASRLRTMSAAASQSKVQGHKASK >KJB14088 pep chromosome:Graimondii2_0_v6:2:15142629:15153440:1 gene:B456_002G110000 transcript:KJB14088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQNKIFMEHSRVSKQYNSVEHGNGEFPHATQAFMPDPMTSLNMSIRPPELKGSDVKPVLNYSIQTGEEFSFEFMRDRLNPRKHFIQNSLGEPSYATGYMDLKGLLGISRTESESGSDISMLNTVEKGPRGFERKDSVHENQSNYGSHQSMRQTTLGYENNRGLLYMSIGTSDGTSTQMKVLCSFGGKILPRPSDRKLRYVGGETRIIRIRKDISWQELKQKILAIYDQTEVIKYQLPGEDFDALVSVSSDEDLQNMMEECNELLDKEAFQKLRMFLFSLSDLEDTQFGLGNMDGDSEIQYVVAVNGMDFGTRTSTTLHGLTSFSANNLTEPVGSSINRETSRVAGDSVVISSSNIPGIMVSSSTFQSSQPVLPSSSGAYETRPEFYHGQRMGYPLQYGHNSSNYSYIAEFSNSVPPNVFMNQHERLTEVPPYNGLQQQNLQMLATEFKPKPDGSGHQGNDLEKHRPSETDHPVSSRLHEGKVINHFQCEEVPVAVVPQDVPHFTLKNEAKNQDNEKVASSVDAVNEVLVPKQGNDDHHSTSSYADSESNPTDLSYHEPTVPPHKVYYSERIPREQLDLLNRLSKSDDSLGSQLLLAHPQSDMAQQCPNTETVGNLCDTNIASHIEKSAAKPSNKIIDDEISQRQKHKEFPAAVSQMNSKPSEEVLDTGLKQAVSNPMDNIQAPNKDGVRVGFPKDNLPVDEKKPTFDVKAETGPGLPVGNESAFALPHDANLTSKNPPVHFQVDLRTESSTKDDSKENHSSGIIRAEQGDILIDINDRFPRDFLSDIFSKAMLSEESSGVSPLQTDGAGLSLNMENHEPKRWSYFQKLAQDFGEKDGSLINQDHVSDQFAPVGVVPLSQAESDKKIVEDNPKDGQPQVQISESMQFDAMIENLRTPESEYEKMKSEKRNIGLPPLDPSLGEFDINTLQLIMNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSEQERLTIEFWREADILSKLHHPNVVAFYGVVQDGPGGTMATVTEFMVDGSLRHVLLRKDRLLDRRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGGSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPTIPSFCDPEWRKLMEQCWSPNPAARPSFTEIASRLRTMSAAASQSKVQGHKASK >KJB14085 pep chromosome:Graimondii2_0_v6:2:15142629:15153439:1 gene:B456_002G110000 transcript:KJB14085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQNKIFMEHSRVSKQYNSVEHGNGEFPHATQAFMPDPMTSLNMSIRPPELKGSDVKPVLNYSIQTGEEFSFEFMRDRLNPRKHFIQNSLGEPSYATGYMDLKGLLGISRTESESGSDISMLNTVEKGPRGFERKDSVHENQSNYGSHQSMRQTTLGYENNRGLLYMSIGTSDGTSTQMKVLCSFGGKILPRPSDRKLRYVGGETRIIRIRKDISWQELKQKILAIYDQTEVIKYQLPGEDFDALVSVSSDEDLQNMMEECNELLDKEAFQKLRMFLFSLSDLEDTQFGLGNMDGDSEIQYVVAVNGMDFGTRTSTTLHGLTSFSANNLTEPVGSSINRETSRVAGDSVVISSSNIPGIMVSSSTFQSSQPVLPSSSGAYETRPEFYHGQRMGYPLQYGHNSSNYSYIAEFSNSVPPNVFMNQHERLTEVPPYNGLQQQNLQMLATEFKPKPDGSGHQGNDLEKHRPSETDHPVSSRLHEGKVINHFQCEEVPVAVVPQDVPHFTLKNEAKNQDNEKVASSVDAVNEVLVPKQGNDDHHSTSSYADSESNPTDLSYHEPTVPPHKVYYSERIPREQLDLLNRLSKSDDSLGSQLLLAHPQSDMAQQCPNTETVGNLCDTNIASHIEKSAAKPSNKIIDDEISQRQKHKEFPAAVSQMNSKPSEEVLDTGLKQAVSNPMDNIQAPNKDGVRVGFPKDNLPVDEKKPTFDVKAETGPGLPVGNESAFALPHDANLTSKNPPVHFQVDLRTESSTKDDSKENHSSGIIRAEQGDILIDINDRFPRDFLSDIFSKAMLSEESSGVSPLQTDGAGLSLNMENHEPKRWSYFQKLAQDFGEKDGSLINQDHVSDQFAPVGVVPLSQAESDKKIVEDNPKDGQPQVQISESMQFDAMIENLRTPESEYEKMKSEKRNIGLPPLDPSLGEFDINTLQLIMNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSEQERLTIEFWREADILSKLHHPNVVAFYGVVQDGPGGTMATVTEFMVDGSLRHVLLRKDRLLDRRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGGSNKVSEKEEL >KJB14089 pep chromosome:Graimondii2_0_v6:2:15142629:15153444:1 gene:B456_002G110000 transcript:KJB14089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQNKIFMEHSRVSKQYNSVEHGNGEFPHATQAFMPDPMTSLNMSIRPPELKGSDVKPVLNYSIQTGEEFSFEFMRDRLNPRKHFIQNSLGEPSYATGYMDLKGLLGISRTESESGSDISMLNTVEKGPRGFERKDSVHENQSNYGSHQSMRQTTLGYENNRGLLYMSIGTSDGTSTQMKVLCSFGGKILPRPSDRKLRYVGGETRIIRIRKDISWQELKQKILAIYDQTEVIKYQLPGEDFDALVSVSSDEDLQNMMEECNELLDKEAFQKLRMFLFSLSDLEDTQFGLGNMDGDSEIQYVVAVNGMDFGTRTSTTLHGLTSFSANNLTEPVGSSINRETSRVAGDSVVISSSNIPGIMVSSSTFQSSQPVLPSSSEFSNSVPPNVFMNQHERLTEVPPYNGLQQQNLQMLATEFKPKPDGSGHQGNDLEKHRPSETDHPVSSRLHEGKVINHFQCEEVPVAVVPQDVPHFTLKNEAKNQDNEKVASSVDAVNEVLVPKQGNDDHHSTSSYADSESNPTDLSYHEPTVPPHKVYYSERIPREQLDLLNRLSKSDDSLGSQLLLAHPQSDMAQQCPNTETVGNLCDTNIASHIEKSAAKPSNKIIDDEISQRQKHKEFPAAVSQMNSKPSEEVLDTGLKQAVSNPMDNIQAPNKDGVRVGFPKDNLPVDEKKPTFDVKAETGPGLPVGNESAFALPHDANLTSKNPPVHFQVDLRTESSTKDDSKENHSSGIIRAEQGDILIDINDRFPRDFLSDIFSKAMLSEESSGVSPLQTDGAGLSLNMENHEPKRWSYFQKLAQDFGEKDGSLINQDHVSDQFAPVGVVPLSQAESDKKIVEDNPKDGQPQVQISESMQFDAMIENLRTPESEYEKMKSEKRNIGLPPLDPSLGEFDINTLQLIMNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSEQERLTIEFWREADILSKLHHPNVVAFYGVVQDGPGGTMATVTEFMVDGSLRHVLLRKDRLLDRRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGGSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPTIPSFCDPEWRKLMEQCWSPNPAARPSFTEIASRLRTMSAAASQSKVQGHKASK >KJB16340 pep chromosome:Graimondii2_0_v6:2:57981061:57982500:-1 gene:B456_002G224400 transcript:KJB16340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTSPHYVRISRATTKTDCWTSYEVEKKRLNRLLKTVDRISITTDMWKLDCSIEGKVCSIFVENASYNDAVVTILKDSLSFHKRLPLNGKLFHVRCCAHILSLLVHDGHSKIEDVIDNVRECVKHIKASTVHLTMFSDIVKQLQLPNKRLILDCCTWWNATYVMLSCVLEFKDVFPRYAQRDASYNYLLTANVGTSMENDVQESGVSNASTTSRIGKGKVMVGRSEFERYIRSVDTIDNVKSELDIYLEEGVFICKENCGDFNALEW >KJB15865 pep chromosome:Graimondii2_0_v6:2:54037986:54039529:1 gene:B456_002G200300 transcript:KJB15865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLREAKIRWDAFSSYPLRTWLPSAYCGHDNWYIRGASFPVLSY >KJB12977 pep chromosome:Graimondii2_0_v6:2:4084655:4087622:-1 gene:B456_002G048200 transcript:KJB12977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRHGYQMSFCSIAFALIFLVSWLNQVELSEVDGGCDFFEGSWIEDDTYPLYNTSNCPFISKGFDCQANGRPDQFYLHYKWKPTACSLPRFNGEDFLRKMKGKKILFVGDSISLNMWQSLLCMVHASVPLSKYSLMSKGNHSTFALPEYEISLEYSRNVYLVDLVKEKIGTVLKLDSIENGDYSWKGYDVLIFNTWHWWLHTTKGQNQPWDFIEWSRKILKDMDRLEAFKVGLTTWSKWVDSNVDPRTTQVYFQGISPTHYDGRQWNGSISATCKGETTPLNGTTYPGGLPPAVDVVKQVLKNMSKGVTLLDITTLSLLRKDGHPSVYFGKEGNDCSHWCLAGVPDSWNEILYALLTNAQGGTLV >KJB12978 pep chromosome:Graimondii2_0_v6:2:4084776:4087588:-1 gene:B456_002G048200 transcript:KJB12978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRHGYQMSFCSIAFALIFLVSWLNQVELSEVDGGCDFFEGSWIEDDTYPLYNTSNCPFISKGFDCQANGRPDQFYLHYKWKPTACSLPRFNGEDFLRKMKGKKILFVGDSISLNMWQSLLCMVHASVPLSKYSLMSKGNHSTFALPYEISLEYSRNVYLVDLVKEKIGTVLKLDSIENGDYSWKGYDVLIFNTWHWWLHTTKGQNQPWDFIEWSRKILKDMDRLEAFKVGLTTWSKWVDSNVDPRTTQVYFQGISPTHYDGRQWNGSISATCKGETTPLNGTTYPGGLPPAVDVVKQVLKNMSKGVTLLDITTLSLLRKDGHPSVYFGKEGNDCSHWCLAGVPDSWNEILYALLTNAQGGTLV >KJB15824 pep chromosome:Graimondii2_0_v6:2:53580727:53581472:1 gene:B456_002G198100 transcript:KJB15824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSGPLIIDWMIGQHTHILEWTRRALELEDWEPLSFHQRQAASIVEVFRILEK >KJB14661 pep chromosome:Graimondii2_0_v6:2:22812523:22820325:-1 gene:B456_002G136400 transcript:KJB14661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMGSEMLIYVLLLMAGVLASGQSSPVKIWPMPASVSYGHTHLYLTSDFGFSSNYGSEILKDAFDRMLALIKLDHVIDANFSAFNSSSLLQGLHIIISSPNGQLQYGVDESYKLIVPSPEKPAYAHLEAKTVYGALQGLQTFSQLCYFSVTSRVLQIDMSPWTIIDQPRFSYRGLLIDTSRHYLPVPVIKKVIDSMSYAKLNVMHWHIVDTQSFPLEIPSYPKLWDGAYSPSERYTVADAADIVSYAQKRGINVLAEIDVPGHALSWLSRNCSYSSLKGTGYPSLWPSKDCQQPLDISNEFTFKVIDGILSDFSKIFNFKFVHLGGDEVNTSCWTTTPRIRNWLKKHGMNESQAYQYFVLRAQNIALSHGYEIINWEETFNDFGNKLSRKTVVHNWLGGGVAQRVVASGLRCIVSNQDKWYLDHLDTPWQEFYANEPLTNITNLKQQKLVIGGEVCMWGETVDGSDIEQTIWPRAAAAAERLWTPFDKLAKNPRDVTGRLAHFRCLLNQRGVAAAPVAGLGRAAPEGPGSCYRQ >KJB14660 pep chromosome:Graimondii2_0_v6:2:22812439:22820371:-1 gene:B456_002G136400 transcript:KJB14660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMGSEMLIYVLLLMAGVLASGQSSPVKIWPMPASVSYGHTHLYLTSDFGFSSNYGSEILKDAFDRMLALIKLDHVIDANFSAFNSSSLLQGLHIIISSPNGQLQYGVDESYKLIVPSPEKPAYAHLEAKTVYGALQGLQTFSQLCYFSVTSRVLQIDMSPWTIIDQPRFSYRGLLIDTSRHYLPVPVIKKVIDSMSYAKLNVMHWHIVDTQSFPLEIPSYPKLWDGAYSPSERYTVADAADIVSYAQKRGINVLAEIDVPGHALSWGTGYPSLWPSKDCQQPLDISNEFTFKVIDGILSDFSKIFNFKFVHLGGDEVNTSCWTTTPRIRNWLKKHGMNESQAYQYFVLRAQNIALSHGYEIINWEETFNDFGNKLSRKTVVHNWLGGGVAQRVVASGLRCIVSNQDKWYLDHLDTPWQEFYANEPLTNITNLKQQKLVIGGEVCMWGETVDGSDIEQTIWPRAAAAAERLWTPFDKLAKNPRDVTGRLAHFRCLLNQRGVAAAPVAGLGRAAPEGPGSCYRQ >KJB14662 pep chromosome:Graimondii2_0_v6:2:22814937:22820325:-1 gene:B456_002G136400 transcript:KJB14662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMGSEMLIYVLLLMAGVLASGQSSPVKIWPMPASVSYGHTHLYLTSDFGFSSNYGSEILKDAFDRMLALIKLDHVIDANFSAFNSSSLLQGLHIIISSPNGQLQYGVDESYKLIVPSPEKPAYAHLEAKTVYGALQGLQTFSQLCYFSVTSRVLQIDMSPWTIIDQPRFSYRGLLIDTSRHYLPVPVIKKVIDSMSYAKLNVMHWHIVDTQSFPLEIPSYPKLWDGAYSPSERYTVADAADIVSYAQKRGINVLAEIDVPGHALSWGTGYPSLWPSKDCQQPLDISNEFTFKVIDGILSDFSKIFNFKFVHLGGDEVNTSCWTTTPRIRNWLKKHGMNESQAYQYFVLRAQNIALSHGYEIINWYSSYTSYLAKQQYYTSYSHIEHHREETFNDFGNKLSRKTVVHNWYLLIFITQT >KJB15288 pep chromosome:Graimondii2_0_v6:2:42103003:42105142:-1 gene:B456_002G169000 transcript:KJB15288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPLPPPPPPSTHHHQHQPLNIITPATTSATTMTSAPNREYRKGNWTIQETLTLITAKRLDDERRAKPSTSSPSKPGELRWKWIENYCWDHGCFRSQNQCNDKWDNLLRDYKKVRQYQSSHSQSQSSHPFLSYWSMERHQRKLHNLPTNMSPEVFEALNDVLQRKLNTQQQPHLHQPSFSQSPEQLPLKTDQNPPEVDAPVTVSEESDSSETESSENLDSKTKRKKVRKIGSSIMRSASVLAQTLKSCEENKEKRHQQVMELEHRRLQIEETRNEVNRKGITDLVAAMTNLSGAIQSLIANH >KJB12867 pep chromosome:Graimondii2_0_v6:2:3411780:3414499:-1 gene:B456_002G041400 transcript:KJB12867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKLASHEHSMGYHCLDDETDDKWCEKCTEKICGAAYACVRCELWLHELCAKAIQYLPREITHPLHSHHHLMLDWSGPFAPFTCDLCLKISSGTNYTCCRCAFELDLVCAFASSDDHVARKKRQRSNADRKQAMQHYCHIHPLVLYKYSNEGEHDYNCRWCDKPLAGIFYGFPPLAKHRYHRHPLLLNQPIREDEIGEYYCDICEKERDPTHEVYYCQKCTYIAHIECVLNQEETSTKQDSSSSPPISMDAKASELKEMEQTETILVRPVFHKHPLKFCEVTENLGERVCGACRLELSGPGYICKGCLYILHENCAKLPDVIQHPLHPQHHLNLYATSMSLDQNICDKCQDLYFGFFYLCEHCDFKLDLKCATRAPSESGRTTLKESERETELFHFTHKHKLLFCNFTDPLVKRQCNLCRLQIFGPTYHCMSCGWVLHESCLRLPQEMQVPFHSQHTLTLSYTRYGGCHACSLKLLPSGYHKSYNYGCKDCGVNYHIACAISLTRPLKLDSHMHHLYYFGTDFDRFFAMYRDFIDIYAGLFCSHCGEICSGQSFYRCLECFINFHLECLSLPQIIKSKCHIHPLTLKDSYIEDDSDEHYCDACEEKRHPNHHVYYCEECPGIFVAHIDCALSKEEEVVSFLVPREMKKRRSWTRGI >KJB12057 pep chromosome:Graimondii2_0_v6:2:44715279:44716692:1 gene:B456_002G175100 transcript:KJB12057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSGKPHDEAPWSVGFCDCFSDMKTCCMACLCPCIAFGRISEILDKGSTSCGASGARYILIMCITGFPGLYSCFYRSKLRKQYRLKGGCCGDCMRHLYCEICALTQEYRELQNRGLDMSIGISTLNHLNC >KJB12157 pep chromosome:Graimondii2_0_v6:2:206029:206601:-1 gene:B456_002G0037001 transcript:KJB12157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLAILLLVIFLVAMPMAYCVKEGAEEVDEWFDKLPYAKQKVTKLHFFFHDRFAGKHNRTAVRVAHGPDTDKSPTSFGLVFIMDDPLTERMNPTSKELGRAQGLYAFSGQDELSLLMSFNLVFTTGEFNGSTLTVLGRNPAVPHREMPIVGGSGVFRMARGVASAKLRSFNMTT >KJB16260 pep chromosome:Graimondii2_0_v6:2:57391220:57394398:-1 gene:B456_002G220100 transcript:KJB16260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVEIAKALARPASYTTKYFGCELGAQSKFDEKTGTSHVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKMQMITLKCAACGFVSDVDMRDKLTTFILKNPPEAKKSTKDKKAMRRAEKERLKEGEAADEELKKIKKESKKKGSSTTSKVVASKGAVTKKKSKHSDEDHSPSQSQVDENEQVASNDDDDVQWQTDTSLAAAQQRIQEQLSAVTADMVMLSTNEEKKKSVKKTPEPDVKVHEKCFNTHEKLVNEIKEHLKKGSSPTQLKSFLGSLSGTSQEIMDALFTALFEDVGKGFAKEVTKKKNYLAAGAAAAAAANEEGCQMMLLHSMELFCGKASPEAAKEVALVIKVLYDDDILEEEFIMEWYQKGIAGSNKSSQIWKNVKPFIEWLQNAESETEEE >KJB16259 pep chromosome:Graimondii2_0_v6:2:57391220:57394230:-1 gene:B456_002G220100 transcript:KJB16259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVEIAKALARPASYTTKYFGCELGAQSKFDEKTGTSHVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKMQMITLKCAACGFVSDVDMRDKLTTFILKNPPEAKKSTKDKKAMRRAEKERLKEGEAADEELKKIKKESKKKGSSTTSKVVASKGAVTKKKSKHSDEDHSPSQSQVDENEQVASNDDDDVQWQTDTSLAAAQQRIQEQLSAVTADMVMLSTNEEKKKSVKKTPEPDVKVHEKCFNTHEKLVNEIKEHLKKGSSPTQLKSFLGSLSGTSQEIMDALFTALFEDVGKGFAKEVTKKKNYLAAGASPEAAKEVALVIKVLYDDDILEEEFIMEWYQKGIAGSNKSSQIWKNVKPFIEWLQNAESETEEE >KJB13331 pep chromosome:Graimondii2_0_v6:2:7941086:7944536:1 gene:B456_002G068600 transcript:KJB13331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKNLGVVGSGQMGSGIAQLGAMHGLHVWLLDTDPTALYRANKSISSSLQRFVSKGQISQAACTEALRRLEYTSNIDELRSADFIVEAIVESEDVKKKLFIELDKITKSSAILASNTSSISITRLASATSRPCQVIGMHFMNPPPIMKLVEIVRGADTSDETFHATKALAERFHKTIICSQDYSGFIVNRILMPMINEAFFTLYTGVATKEDIDTAMKLGTNHPIGPLELADFIGLDVCLSIMKVLHAGLGDSKYAPCPLLVRYVDAGRVGRKCGVGVYDYRKGSEPMKASPRL >KJB15273 pep chromosome:Graimondii2_0_v6:2:41724347:41724634:1 gene:B456_002G168000 transcript:KJB15273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LALLAIDEYVDDILDWAIFEELSISCKLILNVVGMEETEALEVLKSVLCVSGFDSSSIFNLLKVALVLPISKEWLGAFWVAY >KJB14002 pep chromosome:Graimondii2_0_v6:2:13876444:13889602:-1 gene:B456_002G105800 transcript:KJB14002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLFRSEQVLGLSLCALCVLVLPFAAEAQNQSQPTTDPAEVRALNSIFEDWGITARQDQWNTTGDPCSGAALDSDSTDIDNVAYNPFIKCDCSFSNGSTCHITRLKVYALNVVGVIPDELWTLTFLTNLRLGQNYLTGPLSTSIGNLTRMQWLDIGINALSGELPKEIGLLTDLQSFAFGTNNFSGPLPSELGNCSMLQQLYFDSSGVSGEIPSTFANLQNLETVWASDTELTGRIPDFIGNWSKLTVLRFQGNSFEGPIPSTFANLTSLTELRISGLSNGSSLAFIKDMKSLGVLDLRNNNISGTIPSSMGEYQSLTQLDLSFNNITGQIPDSLFNLSSLTHLFLGNNKLNGTLPAEKSSSLRNIDVSYNNLAGNFPSWISEPNLLINLVANNFTIGESNSSVLPSGLSCLQRNFPCNRGSGIYFNFSINSGGQQITSSDSILFERDNETLGPASYYVTNTNRWAVSNVGYFTASNNPQYIITSGSQFTNTLDSELFQTARISASSLRYYGLGLENGNYTVRLEFAETQIVETNRWEGLGRRVFDIYIQGSRVLEDFDIRREAGGVSRRAVTRQFTAVVSENYLEVHLFWAGKGTCCVPVQGTYGPSISAISATPDFIPTVSNNAPTGEESRTGLIVGIVVGVGVVCLLSIAAFFIFRRRRRVPMKDDEEFLGIDARPYTFSYAELKAATEDFSPANKLGEGGFGPVYKGRLDDGRAIAVKQLSAVSRQGKSQFVTEIATISAVQHRSLVKLYGCCIEAEQRLLVYEYLENKSLDQILFGKSLDLNWSTRYDICLGVARGLAYLHEESRVRIVHRDVKSSNILLDSDLTPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKTDAFAFGIVCLEIVSGRPNADSGLEEQQMYLLEWAWHLHEADREVELVDSNLSEFDEEEVKRVIGIALLCTQTSPLQRPSMSRVVAMLSGDTDVSREVSKPGYLTDWKVDDTSSFISNEATRASDTSYGSTSIAAGAENSPVNLTKPMLHSIIGEGR >KJB14405 pep chromosome:Graimondii2_0_v6:2:17798030:17801706:1 gene:B456_002G123400 transcript:KJB14405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQGGQCGNQIGSKFWEVICGEHGVDPTGRYNADGESSDNHLERINVYYNESSGGRYVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRQEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISGTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYISLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKNLRMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDAAVDDEGEYEDDVDGVEDDYES >KJB12912 pep chromosome:Graimondii2_0_v6:2:3696591:3698618:1 gene:B456_002G044700 transcript:KJB12912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRFMVKAGIRLKELPSTQEWKEDFERVSFMMNKVSDIPPSLSPNCENLLTLLLQNNKSLGRISESFFQNMHSLSILDLSYTNIQQLPNSVSNLEKLNALVLHGCEMLRYLPSLEKLKALRKLDLRDTSIEKVPEGLEMLVNLTYLDLATKSLKDLPIAILPRLSYLQCLILYVESYTVKMDGLEAAGLRKLEIFEGRFSELLDFNTYCKSIKGQRLASYLLVMAPAEAKFDVNERRQLLEYDGCRVEAPEVMKVVVADKGVGVWETVEFKLRQHGYYGVISKAESSQFPVFLPKKEVILSGCHIQKEDPMVLPTDLRVLRISKCHNVRSLNDISLFFLQTNELRLCSVEDCKGMESVLDLSSSSSPCSSFEKLEYLWLKRLDNLRVLVKIEASVSIPKSQPLPGIFSPLKSILIKGCSNIKQLFPFELAHDLQNLEKLVVCECWQMEEIIGPKKEEERSEGKGTKARTEFSLPKLKKLVLKNLPMLKSLCSSSGTMICKSPMEIQVLECRNLKRMPLYPSPFHDTDQSTTFFIGVIYIYPKEWWESVEWDHPNAKQDLLPYLVML >KJB12282 pep chromosome:Graimondii2_0_v6:2:628473:629317:-1 gene:B456_002G009600 transcript:KJB12282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQIKQTNQKMANHPVFFLVYLLALATSLHSSTAVDYTVTNEVPTTPGGTVFDKELGVEYTRQQMESASQFIWNLFRQPDPASRKNVQSVSLFIVDNLDERVVALASNNNINVSDKSIQGLTGDELKRSFNGVLYHEMTHIWQWNGNGQIGDGHLGGLTEGIADFVRLKADYVPGEWPRPGDGEHWYDGYAVTAYFLDYCEGLKSGFVAELNAKLKDGYSPDFFFQILGKTVDQLWTDYKNIPRT >KJB12887 pep chromosome:Graimondii2_0_v6:2:3542863:3547687:1 gene:B456_002G042800 transcript:KJB12887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMEISIMLHVGLVLFLLWTLSQFNLCHPVAYFVSLIYLYLVHERYVARLRKKLEFEEKRQQFRRRVLSDSESVRWLNHAVEKIWPICMEQIASQKILLPIIPWFLAKYKPWTAKRAVVQHLYLGRNPPLITEVRVLRECSDDDHLVLELGMNFLTADDMSAILAVKLRKRLGFGMWAKLHVTGMHVEGKVLVGVKFIRKWPFIGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSIAFEQTLVEPNMLVVDVEKFASPIPENWFSVDEKQPIAHAKVEVIEGSDMKPSDLNGLADPYVKGTLGPYRFRTKTHKKTLSPKWHEEFMIPICSWEAPNVLIIEVRDKDHLYDDSLGKCSVNITELRGGQRHDMWLPLQNIKMGRLHLAITVLEENAKFTQVSDAAAVDAFDEEALNTEDIQNSFANIDVNNGLFSPTSSKKSPTLPDHLEPIDVEGQEETGIWVHHPGSEVSQTWEPRKGKGRSLETQVHKVPNSSFGSTISAASGSSKSDDSSAEENHNPKNRVNRVKQGLRKIGSVFHRSPKNEGHSGNLGEVPSPHENLRAVNDKEILVKFVVDDNLSADKVSNEGSSSPGAESPGKMKDMAKSILKHAEKSARSIKHVLSRKGSKKSRNLSSVEEQEFPIESDDSSDDDESLSSPGVKGIPILSNHMPGSIGNDDTQEHVILTDSNYQLNPETNVKEQVEKTSVEGHNSIDDEDVNNPIKLGNGTSNIDDEDVNNPIKLSNGTNEPPLKPNPSESGKKVEDTPQTDTKV >KJB17094 pep chromosome:Graimondii2_0_v6:2:62484401:62486906:1 gene:B456_002G264700 transcript:KJB17094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSLFSLLFLNSFVSVMLIVDVVLVSAQCQSDQSQLLLQLESSFSYNQTSSGKLVPVKWNQSTDCCSWDGVRCDGDGHVISLDLNSRSISSSIDNSSSLFRLQHLQRLNLAYNKFKPVFPTVFDKLENLSYLNLSNAGFKGQIPIEISRLTRLVTLDLSVSSLLGRSLKLEKPNLEMLVQNLTRLRFLYLDGVNISATGNEWCKALLPLTELQELSMSNCYLSGPIDSSLSNLRSLSVVRLDNNNLSASVPQFFTDFENLTSLRLSATGLNGRLPEQIFQVSTLQILDLSTNKLLEGSFPIFPLKASLRTLALSGTNFGGQVPESIGNLEQLTRIELASCNFSGAIPKTMKKLTQLVYLDFSFNRFSGPIPSFSSSKNLTQLNLAHNQLNDKIDSTNWSGLSKLVSVDLQNNKLSGTIPPTLFCIPSLRRLFLSQNQFKGNLSDLQGRASSLLDTFDLSSNKLQGQFPMSVFELRGLKFLSLSSNNFSGLIPMRALQNLKNLSSLDLSYNSLSIDATDTNVSSLSFPNITTLKLTSCNLTEFPNFLTYQSRLSYLDLSNNQIQGKIPNWIWKVRSLRYLNLSQNFLVKFERSLENINSSLNVLDLHGNQLQGQIQILPPCATYLDYSNNKFSSVLPAQIGDFLQFAYFFSVSGNNFNGSIPKSICSSLYLRVLDMSDNYLSGPIPKCLIQMSASLGVLNLRQNNLSGIISDTFPKNCSLQTLDINQNQVEGE >KJB11819 pep chromosome:Graimondii2_0_v6:2:56823697:56824758:-1 gene:B456_002G2175002 transcript:KJB11819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVPPTGASSQASRGSKRKWVPEEDAALVSCMVDLHNVGTFNADTGFKAGYLNELEKMLEKALPRAMLKANPNIESRIRCLKREWSVVYDMLNGQNNSGFGWDEHRQLVVAEDAIWESYVKSHKEASQFRHRSFPYYNQLTAIYARDRATGKDAQTATDVLEEIHCEDERTTDMNEERNTFYDCEADVSLDDMDVFGTDPLGDRDQGGSSSSNKRKKKSDARDNVYSSFEEAATLLREKIQAVGDQISRSIASEVVVQQKPEEHQKMEEKASNLYSALWSIEGLTDNQQYDALSKIPDHPTQMIVFFSLPSVGRLEWVRRFLSHH >KJB12345 pep chromosome:Graimondii2_0_v6:2:873854:876175:1 gene:B456_002G013400 transcript:KJB12345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKIRRALGHVKDQTSISLAKVGGSTSLSDLDVAIVKATRHEEYPAEERHIREILTLTSYSRAYVSACVNTLSRRLNKTKNWTVALKTLILIHRLLTEGDPTYEQEIFFSTRRGTRILNMSDFRDTSRSNSWDFSAFVRTFALYIDESLEFRMQGKNTKRNVYKCEEDFGEDYNNSLVAVKPTPVNEMKTEQLFQRMQQLQQLLERFLACRPTGEAKCNRVVTVALHPIVKESFNLYYDITETMGALIDRFMEVDMTDTMKIYEIFCRQSKQFDELEAFYSWCRSIGIARPSEFPEIEKITQKKLDLLDELILDKSALAQGNVNRDEPEREPEIKELEPEPEPEEDINAIKALPPPPENFFEEEEKVEDDNSKAIVVQQEVDFLNLGENAMSSEDHADKLALALFDGAVPAATSSGSGWDTLKADAGWETALVQSASNLNNLKPTLGGGFDHLLLDGMYQQGQMMAAVTSSAATGSASSVAFGSAGRSAATLALPAPPSSNGNKNNEPVAVDPFAGSLTVAPPSYVQMSDMEKKQKLLMEEQVMWDQFKRNGMRGGNVAVSNVQQQTYPYNQGGWYTHNYY >KJB15299 pep chromosome:Graimondii2_0_v6:2:42581285:42581958:1 gene:B456_002G169500 transcript:KJB15299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNTIFFIYKEIYSLEEKIAKHYMWTILGTASSVAFSGITASGEYENLCIPRGKPVAYFARPSATRLLPQYTWVTVTAQYRLSISQIALMIICG >KJB13774 pep chromosome:Graimondii2_0_v6:2:11846115:11850647:1 gene:B456_002G093600 transcript:KJB13774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCVNSTKLLNLNQNTRLFVPRYSISHSFKNPILQFNNNVQKRPLNYPLNQRSCFSRQIRSLQRPISSRIKASPASSATSGAETDKLPADIMVTETQEPNSRVRLSVEVPAAVGEDCYKRVLKEFMKQAKIPGFRPGKIPESVLLNYVGEESVQKATVESILKRTLPHAMSTVTGRALRDSVRIITKFSDMEKSYSSLSSLSYDVIVDVAPEVKWISENGYKSMKIVVEIDHDIEAEKACEEEIKRRHKSLGTLKIVTDRGLQVGDLAVLDISATTIDQDESNVQKVPAAESKGFQFDTEDDDKVLPGFLDSIIGIRQGETKSFPYVFPETWQQENLRGVEAQFTVECKELFYRDLPELNDSIADKLFPGCTDLNQVKESLLQKCQEMEQAAKDQATDNAILDQLCKMVEIDIPQSLFEEQGRQLYGARLLEIQANVKLNEQQLATLSSPKAVNEFLENQRENIINLIKQNLAVGDIFKRENLQFSTEELVKEVQNSVAEFKRHKQEYDEERVREQVQDVLEGAKVLEWLKEHADIQYVTR >KJB12594 pep chromosome:Graimondii2_0_v6:2:2128636:2130077:1 gene:B456_002G028700 transcript:KJB12594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETDNGFGGSSDIPMDNNNSYALSGRIMLSTIVVLFFVVILAFIFHLYARWYILRSRRRRSSGRRNRRLTQFVFYVDPNSNAAANRGLDARVLKSLPVFTFSSETHPDSALECAVCLSEFEENESGRVLPKCNHSFHLACIDMWFHSHSTCPLCRTPVEEYKPVSDNPGDLVLTINEPSEGESGSDRSSDLCATCRHEEGHEDSSAVGYRRKLSIEISRNTEGFVGESSGCNSGPSPAYRSPMTRMLSFKRILSRDRRGSGTISVPESDIERGGDETPQTQG >KJB14820 pep chromosome:Graimondii2_0_v6:2:26604230:26604586:1 gene:B456_002G145200 transcript:KJB14820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLEDIDFHLADFWVEVHHLPSSFSSESLAKNLGNVLGSFLDYGTEVNRNRLNSYMRIRVRLDIRQPLLRWKKIRKQGKGCLDASFNNERIPTICYLCGLICYSESNCRKLIDIGEGEVV >KJB15759 pep chromosome:Graimondii2_0_v6:2:52452125:52452379:1 gene:B456_002G194200 transcript:KJB15759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLDGHCDILALIAYQFEDINVLYCYFHGLTNLRLYITQIIWYCVFPVTHMYITCYVVSTGIIGIMRLLHLHELLMKLYQLRF >KJB13486 pep chromosome:Graimondii2_0_v6:2:9331294:9333817:1 gene:B456_002G078500 transcript:KJB13486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNKEPIAQLLDSGNFVVKDRNDNDPTKFLWQSFDYPYVLFNARSWNGVYFTGRSLPKDYTVYSYEFVLNKDEVYYKFGPRNKFIFSRYLLNPSGLVQRSIWNDGKNDWEVFSSTQSDQCYIYAYFGPYSSCSIDKSPSCKCLEGFMGRLASPGDLGSVDWSKGCTRRTPLACDGRDSFLKQTRLKILDTSKSWSDISINLKGYHIRSKGKLKEKQKAAIIASTVIIASRMTILASVLYVRKMKLKNTGEEDLELPVFDFATISTTTNNFSSDNKLGQGGFGPIYRGTLIEGQEIAVKRLSKNSGQGIEEFKNEVTLIAKLQHRNFVKLFGCCIRKAERMLIYEYMPNKSLDYFIFRLSLQSPKSSFAPYLSGFLRKLLDWRIRMHIIDGIARGIIHRYLKASNILLDNEMNPKISDFGLARKFGVDQIQAKTKRVVGTYGYMSLEYALDGLFSMKSDVFSFGVLVLEIISGKKNRGFSHPDHDHNLFRHAWRLWAEKRPLEQIDKALGDSYDATQVLRCINVALLCVQQSPSDRPNMSLVLLMLCGESILPQP >KJB12094 pep chromosome:Graimondii2_0_v6:2:58848403:58850843:-1 gene:B456_002G229200 transcript:KJB12094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLDETGRQASEGPILCVNNCGFFGSAATMNMCSKCHKAMILKQEQAQLVASSIDSIVNGSTSGNGKEPSVAAALAVQCGNFGSKIESSIDPSHMTFSGMKTKEGPNRCNACHKRVGLTGFSCKCGNIFCAAHRYSDKHNCPFDYRTAARDAIAKANPVVRAEKLDKI >KJB12093 pep chromosome:Graimondii2_0_v6:2:58848340:58851053:-1 gene:B456_002G229200 transcript:KJB12093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLDETGRQASEGPILCVNNCGFFGSAATMNMCSKCHKAMILKQEQAQLVASSIDSIVNGSTSGNGKEPSVAAALAVQCGNFGSKIESSIDPSHMTFSGMKTKEGPNRCNACHKRVGLTGFSCKCGNIFCAAHRYSDKHNCPFDYRTAARDAIAKANPVVRAEKLDKI >KJB12096 pep chromosome:Graimondii2_0_v6:2:58848403:58851003:-1 gene:B456_002G229200 transcript:KJB12096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLDETGRQASEGPILCVNNCGFFGSAATMNMCSKCHKAMILKQEQAQLVASSIDSIVNGSTSGNGKEPSVAAALAVQCGNFGSKIESSIDPSHMTFSGMKTKEGPNRCNACHKRVGLTGFSCKCGNIFCAAHRYSDKHNCPFDYRTAARDAIAKANPVVRAEKLDKI >KJB12095 pep chromosome:Graimondii2_0_v6:2:58848403:58851003:-1 gene:B456_002G229200 transcript:KJB12095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLDETGRQASEGPILCVNNCGFFGSAATMNMCSKCHKAMILKQEQAQLVASSIDSIVNGSTSGNGKEPSVAAALAVQCGNFGSKIESSIDPSHMTFSGMKTKEGPNRCNACHKRVGLTGFSCKCGNIFCAAHRYSDKHNCPFDYRTAARDAIAKANPVVRAEKLDKI >KJB14975 pep chromosome:Graimondii2_0_v6:2:31245715:31246712:-1 gene:B456_002G152700 transcript:KJB14975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELCFSNGIMIMFVAVVLSLTTTASAQDSAMAPAPSMDTGAAFSPVVSGVAVMFSLIISLVALLKH >KJB12926 pep chromosome:Graimondii2_0_v6:2:3790065:3792076:-1 gene:B456_002G045500 transcript:KJB12926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTLFRCWIRVRGVTQDVVADSGGPVLEIPVIDMQKLVSEESINSELDKLDFACKEWGFFQLVNHGVSLTLLEKVNTQIQDFFNLPKEEKNKFWQYPGQVEGFRQSFVVSEDQKLDWADIFYMLTLPLHLRKPHLFHNLPLPLRDTLESYSSELNNLFKAILAKMVEALSMKTEEMEEFIGEGRQAIRVNYYPPCHLPKQVIGLTPHSDASIITILLQLNEVEGLQIRKDGKWVTVKPLPNAFIVNIGDLLEIITNGTYRSIEHRATVNSERERLSFATFCGPRNDGEIGPAQSLISEQRPAMFRRVKVKEYYKGLFARKLQGKSYLDFMRIQHD >KJB15057 pep chromosome:Graimondii2_0_v6:2:34564846:34566819:-1 gene:B456_002G158200 transcript:KJB15057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSHSREHVISRHKQFIRAIRGQINDVEKSLEDMEMGNPMKKSKWGNLNQQDRDGLALFLSGGNHNEHDVGFDLDPVSASCSTDAGIVANGCGEIEEVNTNGVAYSSHYYGSMKENNLRKVGSHYSIKLGVDAANSCNGKSQDRSMDLEASESKPKSSLHENKLRSSSSQINLFRFFNNLWSRVPSNYTKRLKDGEEVHTPLHIDTSLAAQGQHIGLSSASGDNSGFLVKVMHLRRRLGACDARFARFSYLFKLNRRSVQMILTIVFAFMLLGILVSHVT >KJB15059 pep chromosome:Graimondii2_0_v6:2:34564258:34567932:-1 gene:B456_002G158200 transcript:KJB15059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICIQKKMESSLLQWESDPLFSAAEVVQDSADRMESFFRLLLHEKTLLQSDLPDPKLLTSIGYHTRDLATVLETAKWQLEDFERAVNSSARMDQSHSREHVISRHKQFIRAIRGQINDVEKSLEDMEMGNPMKKSKWGNLNQQDRDGLALFLSGGNHNEHDVGFDLDPVSASCSTDAGIVANGCGEIEEVNTNGVAYSSHYYGSMKENNLRKVGSHYSIKLGVDAANSCNGKSQDRSMDLEASESKPKSSLHENKLRSSSSQINLFRFFNNLWSRVPSNYTKRLKDGEEVHTPLHIDTSLAAQGQHIGLSSASGDNSGFLVKVYWSPMSLENGCLQFDKIIIACLK >KJB15056 pep chromosome:Graimondii2_0_v6:2:34564846:34567601:-1 gene:B456_002G158200 transcript:KJB15056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICIQKKMESSLLQWESDPLFSAAEVVQDSADRMESFFRLLLHEKTLLQSDLPDPKLLTSIGYHTRDLATVLETAKWQLEDFERAVNSSARMDQSHSREHVISRHKQFIRAIRGQINDVEKSLEDMEMGNPMKKSKWGNLNQQDRDGLALFLSGGNHNEHDVGFDLDPVSASCSTDAGIVANGCGEIEEVNTNGVAYSSHYYGSMKENNLRKVGSHYSIKLGVDAANSCNGKSQDRSMDLEASESKPKSSLHENKLRSSSSQINLFRFFNNLWSRVPSNYTKRLKDGEEVHTPLHIDTSLAAQGQHIGLSSASGDNSGFLVKVMHLRRRLGACDARFARFSYLFKLNRRSVQMILTIVFAFMLLGILVSHVT >KJB15058 pep chromosome:Graimondii2_0_v6:2:34564312:34567723:-1 gene:B456_002G158200 transcript:KJB15058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICIQKKMESSLLQWESDPLFSAAEVVQDSADRMESFFRLLLHEKTLLQSDLPDPKLLTSIGYHTRDLATVLETAKWQLEDFERAVNSSARMDQSHSREHVISRHKQFIRAIRGQINDVEKSLEDMEMGNPMKKSKWGNLNQQDRDGLALFLSGGNHNEHDVGFDLDPVSASCSTDAGIVANGCGEIEEVNTNGVAYSSHYYGSMKENNLRKGQHIGLSSASGDNSGFLVKVMHLRRRLGACDARFARFSYLFKLNRRSVQMILTIVFAFMLLGILVSHVT >KJB13330 pep chromosome:Graimondii2_0_v6:2:7919344:7923685:-1 gene:B456_002G068500 transcript:KJB13330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G53890) UniProtKB/TrEMBL;Acc:C0LGV8] MLVMGFIPMAFLNWVFLALSICSSLSLETVGQPCDPNDLLALEEFAGNLTEGSIITSWSNVSNCCQWDGVVCGSKSNNVSVSNKVTSLILPKRGLKGKISISLTKLDQLKKLDLSCNHLMGVLPLELSNLKQLVFLDLSYNLLSGPVSKPVSGLTSIQWLNISSNLFKGELSEFGRFPDVAVFNLSNNSFTGQVSSQICSYSKQIQVLDLSMNHLVGSLEGLSNCSVSLHQLHLDYNSLSGDLPDSLYSMSSLERFSILGNNFSGQLSNKLSKLSSLKYLVISGNHFSGRIPDVFGNLAQLELFCAHSNFFSGPLPSSLSLCSKLRVLDLRNNSLSGPLDLNFTGMPNLSALDLATNHLSGSLPASLSDCKELQVLSLAKNEFGGRIPKSFANLRSLVFLSLSNNSFVDLSGALSVLQQCKNLTILILTKNLYGDEIPRNVSGFESLTVLALGNCALKGQIPDWLSGCKKLEVLDLSWNHLNGSIPTWIGQMESLFYLDFSNNSLTGEIPKSLTQLKSLISSNSSLATFSSSAGIPLYVKRNQSSSGLQYNQLSSFPPSLYLSNNRLNGTIFPEIGLLKQLHVLDLSRNNITGVIPASISDMKNLEILDLSYNELHGSIPLSFAELTFLSKFSVAYNHLQGVIPTGGQFYSFSSSSFEGNPGLCGKIVSPCHVMDGMLKPAIPSGSNNKFGRSSILGITISIGVGIVLLLAIVLLRMSKRDVGSTVDNLDEELSRSHRLSEALGSSKLVLFQSSNCKELTVTDLLKSTNNFNQANIIGCGGFGLVYKAYLPDGTNAAVKRLSGDCGQMEREFRAEVEALSRAQHKNLVSLQGYCKHGNDRLLIYSYMENGSLDYWLHESVDGSSVLKWDVRLKIAQGAARGLAYLHKVCEPHIVHRDVKSSNILLDEKFEAHLADFGLSRLLRPYDTHVTTDLVGTLGYIPPEYSQTLTATCRGDVYSFGVVLLELLTGRRPVEVCKGKNCRDLVSWVFQMKFEKRESEIIDSSLWDKELEKQLLDMLEIACRCLDQDPRRRPLIDEVVSWLNSIGNEVVRQ >KJB13329 pep chromosome:Graimondii2_0_v6:2:7919649:7922807:-1 gene:B456_002G068500 transcript:KJB13329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G53890) UniProtKB/TrEMBL;Acc:C0LGV8] MLVMGFIPMAFLNWVFLALSICSSLSLETVGQPCDPNDLLALEEFAGNLTEGSIITSWSNVSNCCQWDGVVCGSKSNNVSVSNKVTSLILPKRGLKGKISISLTKLDQLKKLDLSCNHLMGVLPLELSNLKQLVFLDLSYNLLSGPVSKPVSGLTSIQWLNISSNLFKGELSEFGRFPDVAVFNLSNNSFTGQVSSQICSYSKQIQVLDLSMNHLVGSLEGLSNCSVSLHQLHLDYNSLSGDLPDSLYSMSSLERFSILGNNFSGQLSNKLSKLSSLKYLVISGNHFSGRIPDVFGNLAQLELFCAHSNFFSGPLPSSLSLCSKLRVLDLRNNSLSGPLDLNFTGMPNLSALDLATNHLSGSLPASLSDCKELQVLSLAKNEFGGRIPKSFANLRSLVFLSLSNNSFVDLSGALSVLQQCKNLTILILTKNLYGDEIPRNVSGFESLTVLALGNCALKGQIPDWLSGCKKLEVLDLSWNHLNGSIPTWIGQMESLFYLDFSNNSLTGEIPKSLTQLKSLISSNSSLATFSSSAGIPLYVKRNQSSSGLQYNQLSSFPPSLYLSNNRLNGTIFPEIGLLKQLHVLDLSRNNITGVIPASISDMKNLEILDLSYNELHGSIPLSFAELTFLSKFSVAYNHLQGVIPTGGQFYSFSSSSFEGNPGLCGKIVSPCHVMDGMLKPAIPSGSNNKFGRSSILGITISIGVGIVLLLAIVLLRMSKRDVGSTVDNLDEELSRSHRLSEALGSSKLVLFQSSNCKELTVTDLLKSTNNFNQANIIGCGGFGLVYKAYLPDGTNAAVKRLSGDCGQMEREFRAEVEALSRAQHKNLVSLQGYCKHGNDRLLIYSYMENGSLDYWLHESVDGSSVLKWDVRLKIAQGAARGLAYLHKVCEPHIVHRDVKSSNILLDEKFEAHLADFGLSRLLRPYDTHVTTDLVGTLGYIPPEYSQTLTATCRGDVYSFGVVLLELLTGRRPVEVCKGKNCRDLVSWVFQMKFEKRESEIIDSSLWDKELEKQLLDMLEIACRCLDQDPRRRPLIDEVVSWLNSIGNEVVRQ >KJB16926 pep chromosome:Graimondii2_0_v6:2:61723251:61725892:1 gene:B456_002G254700 transcript:KJB16926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVHDDCKLKFLELKTKRTHRFIVFKIEEKQKQVIVEKLGEPTESYEDFTKCLPADECRYAVYDFDFLTAENVPKSRIFFIAWSPDTSRIRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVFKSRAN >KJB12922 pep chromosome:Graimondii2_0_v6:2:3766251:3769378:1 gene:B456_002G045100 transcript:KJB12922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPPRARINGAAPGRNYRLYWCYHCHQSVRIASTNPSEIVCPRCFGQFVCEMEINRPRMVVDFTAFDPSPEARLLEALSLIMDPPIRLFSLGNSDNQEPRGRPWLRHRNNLLESGGENRPLERLRRHRSRSIDGTDDRDQGPESLGHPRTWIIVPPPGPFGLGQAGPTFPHEIPGRPALDPRSFFSGPGLNELIDQLTQNDRPGVPPAPESTIDAIPTVKITKSHLRNDSQCPVCKEEFKVGEEARELPCNHIYHSDCIVPWLRLHNTCPVCRHELPVRSTDEQSSNDSFSEPEVSSSRRGWRLRQLASNLWPFHRRHQRINPETYGTPAVESRSHGCCIL >KJB12921 pep chromosome:Graimondii2_0_v6:2:3766251:3769115:1 gene:B456_002G045100 transcript:KJB12921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPPRARINGAAPGRNYRLYWCYHCHQSVRIASTNPSEIVCPRCFGQFVCEMEINRPRMVVDFTAFDPSPEARLLEALSLIMDPPIRLFSLGNSDNQEPRGRPWLRHRNNLLESGGENRPLERLRRHRSRSIDGTDDRDQGPESLGHPRTWIIVPPPGPFGLGQAGPTFPHEIPGRPALDPRSFFSGPGLNELIDQLTQNDRPGVPPAPESTIDAIPTVKITKSHLRNDSQCPVCKEEFKVGEEARELPCNHIYHSDCIVPWLRLHNTCPVCRHELPVRSTDEQSSNDSFSEPEVSSSRRGWRLRQLASNLWPFHRRHQRINPETYGTPGN >KJB11918 pep chromosome:Graimondii2_0_v6:2:4251027:4251314:1 gene:B456_002G049600 transcript:KJB11918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSIVLIIFLFSFIIQSPSSAEARKLMMNLQETAEALPLNKNVVVSVEQIEPTPAVSFRNKDHEMVDEERLFALHLAKIDRILQSVPSPGAGH >KJB15053 pep chromosome:Graimondii2_0_v6:2:34459236:34459692:-1 gene:B456_002G158000 transcript:KJB15053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAIEHLSDSGTEIASSNFNFITAFSHSFNFLVAQDHFDLITEGGEYILDITNHMSHVNAGQLYEFHGKGKIRHQQ >KJB15924 pep chromosome:Graimondii2_0_v6:2:54704376:54705242:-1 gene:B456_002G204400 transcript:KJB15924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPLNVQPSFHARSNSLPSRQHPITSQIDENLNRLRASQSASTSSSSTGHELTCLQDLYDYVDMLLQFPLTQQALAQDQQRKLVEQVLDASLVLLDVCGTAKDALLQIKESTQELQSVLRRRRGEVEGLGDEVRKYLTSKKEVRKAISKAFKNLKHMDNKLMSKDGETGAVISTLKQVVAATMGVLQSLLSFISGPEASSRWSLVSKLMHQKRVRCEEEEEKTNEIANAEAALRSFIKSGNMKHVENVQNELQNSELCIQDLEEGLESFFRRLIKARVTVLNIVNC >KJB16399 pep chromosome:Graimondii2_0_v6:2:58744794:58747084:-1 gene:B456_002G228600 transcript:KJB16399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFQAYFLVFFLWLLSFILFRTIFTKNRTTTRLPPSPIALPIIGHLHLLAPIPHQALHKLSTKHGPLIHIRLGSVPCVVASSPEIAKQFLKTHESSFSNRPITAVVDYLTYGSADFSFAPYGPYWKFMKKICMSELLSGRMLDQFLLVRREELIRFLQFMLKTANASEKVDVGGELVRLTNNIVSRMIMNDRCCNNEDEAEEVRKLVEVIAKLSGQFNLSDFIWFCKNLDLQGMNKKLKQVRDKFDSMMDRIIKEHEEARKTNKDDNTVKDLLDVLLDISQDESSEMRLTRENIKAFILDLFVAGTDTSAVTIEWALSELINHPNIMEKARQEIDIVVGKDKIVQESDITNLPYLQAIVKETLRLHPAGPMIVRESSEDCNIEGYEIPAKTRLFINVWAIGRDRDHWENPLEFRPERFVNGRSSQMDVRGQHFHLLPFGSGRRSCPGTSMALQVVQTSLAIMIQCFDWKVDGSVDMEEGPGLTLPRAHPLICAPILRLNPFPSC >KJB13784 pep chromosome:Graimondii2_0_v6:2:11871625:11872176:1 gene:B456_002G094000 transcript:KJB13784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRCSCLGQSSPQRLSDQQETEHENEHKASSWSVLSLLMLVCMWKNEAKERQLLQVKEKQQQKEVNKAKKEPTLEDWLIASPGLQKINGTTSGGEYHVFKHYSSKRVFPSCVGENENGSSSSSSSKARGSFSKERLLKGEESEVSFSMTRSGKSKKRVSFRLPEEDDIFIFHSSSPEAAAPE >KJB15329 pep chromosome:Graimondii2_0_v6:2:43432712:43434612:1 gene:B456_002G171600 transcript:KJB15329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFCDICIKEILKGNRPGTHFTKDGWLKIMTNFEKETGKGFHKDNLKIGGMPSKKNGKLGRNLKAKILVVPEAIKFRTSDIDPEFEGKLDQMFMAIVATGDKAWAPSSGTLRSDFFEDVNNEIPEESEEENMRNDVHISNDVQIDGNGQKRKNPEMSSSHFKTGRKKSSKQIRVAARLSSQIEKLCNATDNMSQAKSSLTSVMDPYGIPQAVKMLDSMSEEVPEASSLYFFALRLLLNKDKRIMFLSINPKIRALWLKTEMKDS >KJB16973 pep chromosome:Graimondii2_0_v6:2:61983417:61986776:-1 gene:B456_002G257900 transcript:KJB16973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFEQELETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KJB12874 pep chromosome:Graimondii2_0_v6:2:3481977:3485044:-1 gene:B456_002G042100 transcript:KJB12874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLKAILPLFVHLMAAFASSCENHNRTTLVERGGKFSVQQIFYENYIIRKVDASLDTDDCNSLPLRTYILCLAITGGIIFLRSFPGIICLFALVTYKWRRRHLFMDDKIEEFLQSQNNLVLIRYSFKEVKKMTKNFKDKLGEGGYGSVFKGKLRSVHHVAIKLLGKSKGNGQDFINEVASIGTIHHANVGKLIGFCVEGSKQAQVYDFMSNGSLDKIIFTEENKNTLGWKKMFDIVLGVVQGIHYLHQGRDMQILHFDIKPHNILLHENFNPKVSDFGTIGYIAPELVYKNLGGISYKADAYSFGMLLMEMIGMRKNVNAFADHTSQIYFPSWIYDRLDQGEDLELGDVSDDEKAMVKKMVITAFWSIQLWPSDRPSMSKVLKMLESNVELLEMPPKPFHQLPLETSMEVHSCENCNDEPSKSLDIVTITS >KJB14988 pep chromosome:Graimondii2_0_v6:2:32253843:32256488:1 gene:B456_002G155400 transcript:KJB14988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLVPSPLLLPSLSRSFLPKLQSQPQYISPRISLCNQYPFRLSFQSSFPRKSLCSHRSLAGAFNTSSEGTVSVINIEDFNEKDWSFLDSEELNSEQAKRNIDRITSAGEISESSRVLVSVGSEGFVDHLVESSNTQLLLVVHDSIFFLAGIKEQYDEVKCWQGELIYVPEKWTPFDVIFLYFLPALPIELDQIFAALAKRCSSGARVVISHPQGREVLEQQRKEFPDIVNGNLPDKTTLQKVAADHSFEMTEFVDEPGFYLAVLKFSEAN >KJB14565 pep chromosome:Graimondii2_0_v6:2:20607110:20610210:1 gene:B456_002G131500 transcript:KJB14565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFGGRYYWGRKESVERRKNGGGIVVVFAWMSSQDKHLKNYVQLYASLGWDSLVCHSEFLNMFFPDKAAALALHLLKELVQELKIRPCPVVFASFSGGPKACMYKVLQMIEGLCEVQVNPDDLQLLKECFSGHVFDSSPVDFTSDLGARFVVHPTVLQMSHPPRMASWIANGIASGLDALFLSRFESHRAEYWQTLYGSIRMGAPYLILCSETDDLAPYQIICNFAQRLQQLGGDIKLVKFNGSPHVGHYRHYPVDYKAAVTELLGKAAALYSRNIQQFEGERIGSAGAQDEISEPVSNISKIALSPNKSFQGTPLVQSSNFLLPSLIEYYEGRDLGSVQDEQKEGLIHLAHPPSINLHGVLGQILFDACVPKNVEGWDLKSSDSSSKHPYTSRRNSHFNPIKCIRRSRL >KJB16888 pep chromosome:Graimondii2_0_v6:2:61612991:61613489:1 gene:B456_002G253000 transcript:KJB16888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVCISNCLNDAKDPKKPMRATYMNLYKWPGSDAEFLRSRTSSVPSSMDYCRPSVRVIDSISCRQMYLRSYRFSKKETVPEKTMKCFGKVKEKMGHGGRKKKKSSHRRVRVVIKRKCLVWRKVKVVLFRVFNRLLSCYASVDVLDQRNV >KJB14273 pep chromosome:Graimondii2_0_v6:2:16598749:16602913:-1 gene:B456_002G117100 transcript:KJB14273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g42310, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42310) UniProtKB/Swiss-Prot;Acc:Q8L844] MLLLPPTLPVRFPSIQLSSPIIRLHFSHHTSLCTSAAAAETSITLSFDKERDRDRYGDENDDENDVLSLHKRRYDFTPLLNYLSRSNSASDLDSDSASPTSLDPIEFQLAESYRAVPAPLWHSLLKSLCASSSSSSSSSINLAYAVVSWLQRHNLCFSYELLYSILIHALGRSEKLYEAFLLSQRQSLTPLTYNALINACARNDDLEKALNLMSRMRQDGYQSDFVNYSLIIQSLTRNNKIDSSLLQKLYGEIECDRIEVDGQLLNDIIVGFAKANDPSRALKFLAMAQAIGLSPKTATLVAVIYSLGCCGRIAEAEAVFEEMKGSGLKPRTRAYNALLKGYVKSGSLKDAELVVSEMERSGVSPDEHTYSLLIDAYSNAGRWESARIVLKEMEANNVKPNSFVYSRILASYRNKGEWQRSFQVLKEMKSNGIQPDRHFYNVMIDTFGKYNCLDHAMATFDRMLSEGIEPDTVTWNTLIDCHCKAGWHDRAEQLFEEMKEKGYSPCTTTYNIMINSLGEQERWDDVKSLLGKMQGEGLLPNIVTYTTLVDIYGKSGRFSDAIECLELMKSAGLKPSSTMYNALINAYAQRGLSEQAMNALRVMGADGLKPNLLALNSLINAFGEDRRDVEAFAVLQYMKENGVKPDVVTYTTLMKALIRVDKFHKVPAVYEEMILSGCTPDRKARAMLRSALRYMKQAVKS >KJB12971 pep chromosome:Graimondii2_0_v6:2:4037416:4039092:-1 gene:B456_002G047700 transcript:KJB12971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLCDLSSLSQAYVFYKLSQTQVISFYKFKPILQYHGTFLFLKNEIKDYFKEYGVSHSRLKHHYGLRQKIRIEIKKKKEPISEGGFVPDAQKQGNFKSVHSNQEKDVEEDYDKSDKKNRKKKQYKSSTEAELDFFLKRYLRFQLIWDDSLNQRIINNIKVYCLLLRLINLNEIVISSIQRGEMNLDILMIQKDLTLRELIKKKGILIINLVRLSVKNGQFCWEYGGRGRGFTSNRQIQAAA >KJB16536 pep chromosome:Graimondii2_0_v6:2:59691033:59694085:1 gene:B456_002G236100 transcript:KJB16536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKSRFKRICVFCGSSSGKKASYQEAAVELGKELVERRIDLVYGGGSVGLMGLISQAVHDGGRHVLGVIPRTLMPREITGVTVGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGFYNSLLCFIDKAVDEGFISPLARRIIVSAPTAKQLVRQLEEYVPQQDKITSKLVWEEVDRFSYVSDSEIAI >KJB12283 pep chromosome:Graimondii2_0_v6:2:631263:637316:1 gene:B456_002G009700 transcript:KJB12283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLSSHFPLSFFPSQSPSFGKPFLFSSSISFPALRFLSYTNLTKLPRTSLLAFSSNNSGSSPFNDPSGSNSSPSKKSVLTNLIQEIEPLDISLIQKDVPPSTVDAMKRTISDMLGLLPSDRFQVFIEALWEPLSKLLISSMMTGYTLRNAEYRLCLERSLGCEGCLGKTPDKWNFDLQEVLLDSTKISDCSGKDYVSSEFEETTEDKVEDIEFQGIGEISPETRKYILLLQSRLTSMKKELREIKGENAALQMQQIAGEEKNDLLNYLRSLQPDKVAELSEPTSPELKETIDSVVHNLLTTLSPKMHSKIPPLSENNATGTVNNIEIEDCTELVENTSLQLQPIISLTRDYLARLLFWCMLLGHYLKGLEYRMELIERV >KJB16771 pep chromosome:Graimondii2_0_v6:2:61077195:61083223:1 gene:B456_002G246800 transcript:KJB16771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDSEEIKRIEQWKWSEMQGLELVSDPSLNPKIQTEEASSSSCSKLESDNNGGNEEKLQQEVPSIGFNELFRFADGLDYVLMGIGSLGALVHGCSLPIFLRFFADLVNSFGSNANNMDKMTQEVLKYAFYFLVVGAAIWASSWAEISCWMWTGERQTTKMRIKYLEAALNQDIQYFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLGVVPLIAVIGAIHTITLAKLSAKTQEALSQGGNIVEQTIVQIRVVSAFVGESKALQAYSSALKVAQKIGYKTGFGKGMGLGATYFVVFCSYALLLWYGGYLVRHHYTNGGLAIATMFAVMIGGLGLGQSAPSMSAFAKAKVAAAKIFRIIDHKPGIDRDSESGLELESVTGLVELRNVDFAYPSRPDVKILNNVSLCVPSGKTIALVGSSGSGKSTVVSLIERFYDPTSGEVMLDGHDIKTLKLRWLRSQIGLVSQEPALFATTIKENILLGRPDANDIEIEEAARVANAHSFIVKLPDGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQEGSVSEIGTHDELMAKGENGAYAKLIRMQEAAHETALNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLEGYHPNYRMEKLDFKEQAGSFWRLVKMNSPEWIYALFGSIGSIVCGSLSAFFAYVLSAVLSVYYNPDHAYMIREIGKYCYLLIGLSSAALLFNTLQHSFWDIVGENLTKRVREKMLTAVLKNEMAWFDQEENESARIAARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLIAVFPVVVAATVLQKMFMKGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSENKIVRLFSSNLQTPLKHCFWKGQIAGSGFGVAQFALYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMQSVFELLDRKTEIEPDDPDATQVPDRLRGEVELKHVDFSYPSRPDIPIFRDLNLRARAGKTLALVGPSGCGKSSVIALIQRFYEPSSGRVMIDGKDIRKYNLKSLRKHISIVPQEPCLFATTIYENIGYGHESATEAEIIEAATLANAHKFISSMPDGYKTFVGERGVQLSGGQKQRIAIARALVRKAELMLLDEATSALDAESERSVQEALHRACSGKTTIVVAHRLSTIRNAHVIAVIDDGKVAEQGSHSHLLKNYPDGCYARMIQLQRFTNSQVFEMTSGSSSSKQKEVNEREG >KJB12285 pep chromosome:Graimondii2_0_v6:2:642344:646078:1 gene:B456_002G009900 transcript:KJB12285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKSKGSKRKLGLATTLFLCSLAFLAGLFTSTFFSQDVPIIKPRLRKVEVVHVEGDKYRDLMPVGETGESSIDSIHFQVLSWKPRAYYFPDFATAEQCEHIIGMAKLNLKPSTLALRKGETEENTKGTRTSSGTFISASEDESGTLDLIEKKIAKVTSIPQSHGEAFNVLRYEIGQKYDSHYDAFNPSEYGPQSSQRVASFLLYLSDVEEGGETMFPFENGMSVKGYDYRQCVGLKVKPQRGDGLLFYSLFLNSTIDPTSLHGSCPVIKGEKWVATKWIRDQQQFD >KJB14750 pep chromosome:Graimondii2_0_v6:2:25215132:25220651:1 gene:B456_002G141900 transcript:KJB14750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPSHLEKMGRELKCPICLSLLNSAVSLTCNHVFCNVCILKSMKSGSDCPVCKVPCRRREVRPAPHMDNLVSIYKSMEVASGFNIFVTQDAPLDTSADSGKRVKNDLNCCERMDNQGANKGRGSREAKSTETSDPISVKPSFPTNKRVQVPQYPLSETSMLPEKNGGGLIEITEDESKMSSDILKENNLGEKGEQILSPFFWLREEDVERPSQLSDGDQCLYITPPGLPSFSDMKDSDDEGFSKEEVHGNRDHLNLFDSEMFEWTQGACSPELLSSPDKMQVADTYEPASQGASTAEPCNNGTCMITGHDASEEMLPNMESLRIQCVSSNKIRSCKSKELGKKQRKTAQKNIADRTSSHISEDHVNLGKGSEDFNKKQKDDCSSSSYLAKTRKNCKVAGLDHHVTEPMASHFSAKATKQEDTPLAAIVGMGKCGDENENLRMVKRCRKITAQCQNKSYSKLKKQKLSLVKVDTPKEVLTVCGQTNEYMIQQKSSLPVPLAHENAAEQFRKGLSKHTREVKLAPTLKSEKNSGCKKKIKVSFSDDMKSGLPEDQQQQGGNANISLERVSEKVHGRTTVGNSESSIVKKLPLANGVALHKCETITIKIQCAFCLSMEETEASGEMVHYYEGSSVPLDCNGGSKVIHSHKNCTEWAPNVYFENDKAINLEAELSRSQKIKCSCCGLKGAALGCYEKSCRKSFHVPCAKLVSQCRWDADNFVILCPLHASSKLPNEKSESQGIRKRRNLRGQLLIHHNEIPINDEVSVQKKWNPCESGNKLVLCCSGLTVEEKEIMSEFEKLAGVTVLKRWDSNITHIIASTDENGACKRTLKILMGILEGKWILNIKWVKACIKAMKLVDELKYEITIDVHGIRDGPRLGRLRLQNKQPKLFDGCKFYIMGDFEPSYKGDLQDVLIAAGGTILHRKPISGDPGARLLGSPAFPIFIIYSVELPVKCDPSKKHMILSRRQLNAEALASSTGAKAVSNSWVLSSISACKLLSLGE >KJB14749 pep chromosome:Graimondii2_0_v6:2:25214972:25220651:1 gene:B456_002G141900 transcript:KJB14749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMKKGGHGYGRKDNSFPKMGDPSHLEKMGRELKCPICLSLLNSAVSLTCNHVFCNVCILKSMKSGSDCPVCKVPCRRREVRPAPHMDNLVSIYKSMEVASGFNIFVTQDAPLDTSADSGKRVKNDLNCCERMDNQGANKGRGSREAKSTETSDPISVKPSFPTNKRVQVPQYPLSETSMLPEKNGGGLIEITEDESKMSSDILKENNLGEKGEQILSPFFWLREEDVERPSQLSDGDQCLYITPPGLPSFSDMKDSDDEGFSKEEVHGNRDHLNLFDSEMFEWTQGACSPELLSSPDKMQVADTYEPASQGASTAEPCNNGTCMITGHDASEEMLPNMESLRIQCVSSNKIRSCKSKELGKKQRKTAQKNIADRTSSHISEDHVNLGKGSEDFNKKQKDDCSSSSYLAKTRKNCKVAGLDHHVTEPMASHFSAKATKQEDTPLAAIVGMGKCGDENENLRMVKRCRKITAQCQNKSYSKLKKQKLSLVKVDTPKEVLTVCGQTNEYMIQQKSSLPVPLAHENAAEQFRKGLSKHTREVKLAPTLKSEKNSGCKKKIKVSFSDDMKSGLPEDQQQQGGNANISLERVSEKVHGRTTVGNSESSIVKKLPLANGVALHKCETITIKIQCAFCLSMEETEASGEMVHYYEGSSVPLDCNGGSKVIHSHKNCTEWAPNVYFENDKAINLEAELSRSQKIKCSCCGLKGAALGCYEKSCRKSFHVPCAKLVSQCRWDADNFVILCPLHASSKLPNEKSESQGIRKRRNLRGQLLIHHNEIPINDEVSVQKKWNPCESGNKLVLCCSGLTVEEKEIMSEFEKLAGVTVLKRWDSNITHIIASTDENGACKRTLKILMGILEGKWILNIKWVKACIKAMKLVDELKYEITIDVHGIRDGPRLGRLRLQNKQPKLFDGCKFYIMGDFEPSYKGDLQDVLIAAGGTILHRKPISGDPGARLLGSPAFPIFIIYSVELPVKCDPSKKHMILSRRQLNAEALASSTGAKAVSNSWVLSSISACKLLSLGE >KJB12794 pep chromosome:Graimondii2_0_v6:2:2924536:2925942:-1 gene:B456_002G037000 transcript:KJB12794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTFDNESSLFNFVVRDGNGVKGIVDSGISKVPQAYIQPHAEQIDKKSASKCEIPPIDLSKLDGPDHDEVVNQIVRASETLGFFQVVNHGVPVQLLDSLKQTAHNFFSLPAERKAVYRKEVSPSPLVKYGTSFVPEKERALEWKDYISMAYTNDDEALQQWPIECRDVALQFLKTSHEMVRNLLEALLGNLGEELDDSKIDAFIGKKMVNMNFYPTCPNPELTVGVGRHSDMGTLTILLQDGIGGLYVKVPEDVDMEKKGEWVEIPPIPGALVINVGDMLQIWSNGRYKSAEHRVRTTSTKSRVSIPIFTSPLPTQKVAPLPQVVKKDGVARYREFVFSNYMNSFFGNAHDGKKSLEFAQINSN >KJB13601 pep chromosome:Graimondii2_0_v6:2:10617232:10619601:1 gene:B456_002G083800 transcript:KJB13601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPGLKLICLAFLFIHTRILVCYGAPDDAVDDYTDETPAKPPPPEMDNCNGVFLSYAFTSRTKALPLLKNVSAQPWTFKAMATVVNSGTEEVKGWKMYIGFQHKEILVSATNAVLVDGDVDFPAAVGNGTTLAGYPNADLKTSIDTAGDFNQIAVQVELKGSMFGLGEKATPMPKTISLRNDGWRCPTPTKYKTYMHACCKRDPKFKLNSNKKSKFAARQNGDLTFTYDVVKSYEGSYEAQVTMDNSSPIGRLDRWNLTWEWMRGEFIYSMKGAYTPRFDISDCVYGLAGRYLTGFDFTNVMNCEKKPTITDLPLTKANDTQIGKIPYCCKNASLLPPQMDPSRARAIFQLRVYKLPPDTPPTILYPPQRWNITGVINARYHCGPPIRVDPSEFPNPKGFDAKVYAIASWQVVCNMTRAEKRKTRCCVSFSAYYSDGAIPCSTCACGCDNIDTDKCNPDKPAMHLPPDALLLPSENRTAKTKAFAKLKKKGIPRKLPCPDNCGVSINWHINTDHKAGWSARMTLFNWQEAPFVDWFVAVEMKKAYPDYDNVFSFNGTKKLKGIKNTIFFQSLKDLNYLVEMKNGSKPSDPKVPGKQQSVISFIKKKTPNIKIRKGDGFPSKVYFNGEECALPRSFPSSGHRSPLSIASFILISITTTFLLITNRFI >KJB12468 pep chromosome:Graimondii2_0_v6:2:1347703:1348460:1 gene:B456_002G020000 transcript:KJB12468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLFACITVLFLIIGSAVGQSSYDCSEVADNFIPCVTYLVELESRPADRCCSGLQVLNQMAKINDKGPRNICQCIEDLAYTMNAPYVASRIQSLSRECHIHFSFPISIAMDCYRI >KJB11929 pep chromosome:Graimondii2_0_v6:2:11722242:11723662:1 gene:B456_002G092300 transcript:KJB11929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRPQPSPFFLSFILLNEKKKNTFLNPCFFPLDRRHSLFAPSPDFCFAGVAIVSTYNRFHQQAASIASKLAHGMFSDLGSNQSCSGLSD >KJB11928 pep chromosome:Graimondii2_0_v6:2:11722239:11723686:1 gene:B456_002G092300 transcript:KJB11928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLRPQPSPFFLSFILLNEKKKNTFLNPCFFPLDRRHSLFAPSPDFCFAGVAIVSTYNRFHQQPPRYFRDDDFSVFFLTRYFRQPA >KJB13714 pep chromosome:Graimondii2_0_v6:2:11454539:11459723:-1 gene:B456_002G090700 transcript:KJB13714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVGPHTIINGKEVVNFASANYLKFVGHDKLLESCNFVLEKYGVGSCGPRGFYGTIGKITKFLGTHDSILYSYGLSSLFSTISCFCKKDDIIVVSTIVYFKHNDMESLERTLEKITVQNKRVKKLRRYVVVEFVYQNSGQIAPLDKIIKSKEKYRFRVLLDETNSFGVLGRTGRGLTGYCGVPIEKIDIVTAAMGHALATEGGFCTGNARVIDHQRLSSSGYVFSASLPPYLASAAITAIDILEQNPGLTSKLKENIAILCKSNPESPIVFLVLEKSTGSVKSDLQLLEGIADRALKQEPIFVVASKRSTLDKCHLHVGIQSLTCLRHVNHSSESQQRCLGE >KJB15390 pep chromosome:Graimondii2_0_v6:2:44920616:44920931:-1 gene:B456_002G1760001 transcript:KJB15390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLLALPFLITYFFTCVPLGNAAATITSCTQTPYPDVCNHFMGNGV >KJB12757 pep chromosome:Graimondii2_0_v6:2:2711597:2716127:1 gene:B456_002G035100 transcript:KJB12757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTISCSVLLALISCFYLLFATALDTITPSKSIKDPEFIISQNGTFRLGFFSLANSSNRYVGILYNQIPVQTVVWVANRNRPLKDSSGILTISDDGNLVVSNGKAEILWSTNVTNLVPNATTAQLLDSGNLVLNNGDNGGSSSLWESFQHPSNVFLQTMKMGVDVKTGRKVHTRSWKGPDDPSDGNFSQGLEPFNNPEGVIRNNNQIYFRTGPWNGHIYIGLIHMNSVYLDGLYVVADDEGKSYYETFEYSNKSMLTYYELNYEGRFVQRNWDAGKGDWINSCHMLQNDCDFYGKCGAFGICDPTKQPICSCSKGFKPRNAEEWSRGNWSSGCFRTTALQCQRDNNNGSGAGQSDDGFLEMKMMNVPAFPDRSSIINGECKDQCMKNCSCVAFAYDAGIGCMFWSGDLIDVKESSRGVDLYIRLPASELGESSIGVKLQQLPLFNFQELATATNNFHPEKKLGQGGFGPVYKGTLEDGKEIAVKRLSKASGQGLEEFMNEVVVISKLQHRNLVRLFGCCVEAEEKILVYELMPNKSLDAFLFDPVKQRLLDWRKRFNIIEGISRGLLYLHRDSRLRIIHRDLKASNVLLDQDLNPKISDFGMARIFGGDENQANTKRVVGTYGYMSPEYAMQGQFSEKSDVFSYGVLLLEIVSGRRNTSFYNKDDLSLLGYKEILRCIHVGLLCVQEYAKDRPTISTVISMLNSEISDLNTPKQPAFTQAPLMSHDLEDCVSFNDVTLTGFDGR >KJB16856 pep chromosome:Graimondii2_0_v6:2:61443690:61444193:-1 gene:B456_002G251000 transcript:KJB16856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGSWWLCHSKCRPSRNKVHEVVMHWTPPPPGWATFNVAGVVKEDEAECSGVLRDEEGVAHSLFFGPIIAGESEIVEVWAIKTALEIYIGLGWHVKTPLVIEFSSSVVLEWLLNGKAKLWSLRNLLIGNDRYINQLVSVKFALVYMQGNGMATALAIAGVRRPTIFKA >KJB12449 pep chromosome:Graimondii2_0_v6:2:1261505:1268600:-1 gene:B456_002G018600 transcript:KJB12449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIFSISLDPIITRCWDCATGQASYIYNLEANLHALQAEVAGLKELRTDLMSRVRIAEDEQQLKRLNQVEGWLSRAETLINDADQLIVQSPQHVENLCMGGCCSTHPRSSIKFEKQIAKKLQEENGDFSDVASKPPILSTTKRPSEPTVGLEANFNQVWNCLQKEQVGIIGIYGLGGVGKTTFLNQINSKFHDMSHDYHVIWAVASQDRPIEKVQDQIAKRIGLLAEGLKSIEEKAEDIFKVLCKKKFALLLDDIWEWFDLTRAGVPLPPKENGSKVIFTTRRRDVCCQMQPNMDNNIRVECLPPGEAFKLFEEKVGSETLRMHPKICKLAEAAVEECAGLPLALITIGRAMASKKTPREWEYATEVLRQSAASVLPGVGKEMYPKLRFSYDCLPDERFRSCFLYCSLYPEDFLINEDELIDCWIGERLLDEHANLSNSRNQGHFIIGSLIDACLLEKGQYNDRVKMHDVIRDMALWIAGESENERFFVKSGVQLKEQPKAKKWEEVTRMSLMENQIENLTEILECPNLQTLFLGRNDFKVIMDDFFNFMPMLRVLDLSENMNLEELPVGIAKLVSLEHLNLSGRGIKKLPVEMKALAKLKYLNLEGTGDLKMIPQQLISSFSKLQVLKMEGCGYGCSLVLEEMEHLKYLNVLTLTFGVSRSLNLMALAKLQHLCTFSLWYCMDLEEVKIERNIIEGAGCFHSLRYVTVGGCNHLRDVSWATFAPHLEDLWILGCSSLEEIISEEKLGEVAELKGNSNLFSKLERLMLHRVHKLSTIYCHALPFPQLKQVYIRGCPMLKKLPLNSNSAKGQRLIIEGEEGWWKDVEWEDESTQTAFLPSFKPLIS >KJB13699 pep chromosome:Graimondii2_0_v6:2:11289577:11291111:1 gene:B456_002G089300 transcript:KJB13699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSNLPIWVSSKPSNSNKNILLYQFNQNPFLQFSKRLPPKSLSQSPSPFTRIRCRLLELRKRIETVKSTQKITEAMKLVAAAKVRRAQEAVINGRPFTEALVELLYTINESLQCDDVDCPLTVIRPVKKVALVVTTGDRGLCGSFNSAVIKKAESRISNLRGLGLDYTVISVGKKGNSYFSRKDDVSVERFVEGVGFPTAKEAQMIADDVFSLFVTEEVDKVELVYTKFVSLVKSDPVIRTLLPLSVRGEVFDVNGNCVDAVEDELFMLTTKEGKLAVERDKVRVEGGPISPLMQFEQDPVQILDAMMPLYLNSQILRALQESLASELAARMNAMSNATDNAVELKKNLSIVYNRERQAKITGEILEIVAGAEALT >KJB15006 pep chromosome:Graimondii2_0_v6:2:31696534:31697544:-1 gene:B456_002G154400 transcript:KJB15006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNRIRNDQNTQIRNLKSLGHIRQSKRFAYITTTHLGFAYARPLQNSQEKQPLLLPSPKQGSAIMDSQIKFAVVVKIMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >KJB16490 pep chromosome:Graimondii2_0_v6:2:59210875:59217571:1 gene:B456_002G232600 transcript:KJB16490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKDTKLEVTLPKATTITGVVVNSTKNENEVKLFNCWSFQDLEIGDISLSDYIGVHASKHATYVPHTAGRYLVKRFRKAQCPIVKRLAHSLMMHGRNNGKKLIAVRIIKHAMEIVYLLTDQNPIQVIADAIVNSGPREDATSIGSAGVVRRQAVDISPLRRVNQAIYLITTGAREPAFRNIKSIAGCLADELINAAKGSSNSYAIKKKDEIERVAKANR >KJB13831 pep chromosome:Graimondii2_0_v6:2:12177112:12178108:-1 gene:B456_002G096500 transcript:KJB13831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSLCISPSLTPPVSLPQSKPTFTRYSNPTVKVTSIQRCKSLIRNSQRLTTKVAANIHDVSVVADPARVDITWQIVVGALDCTKKMW >KJB13829 pep chromosome:Graimondii2_0_v6:2:12176898:12178200:-1 gene:B456_002G096500 transcript:KJB13829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSLCISPSLTPPVSLPQSKPTFTRYSNPTVKVTSIQRCKSLIRNSQRLTTKVAANIHDVSVVADPARVDITWQIVVGALAGVTPFIVAGIEFSKRIIAQRRCGECRGSGLVFRGNDYFKCPECGGFLPWQSWKRFFTG >KJB13832 pep chromosome:Graimondii2_0_v6:2:12177819:12178079:-1 gene:B456_002G096500 transcript:KJB13832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSLCISPSLTPPVSLPQSKPTFTRYSNPTVKVTSIQRCKSLIRNSQRLTTKVAANIHDVSVVADPARVDITWQIVVGALGTQLT >KJB13830 pep chromosome:Graimondii2_0_v6:2:12177112:12178103:-1 gene:B456_002G096500 transcript:KJB13830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSLCISPSLTPPVSLPQSKPTFTRYSNPTVKVTSIQRCKSLIRNSQRLTTKVAANIHDVSVVADPARVDITWQIVVGALAGVTPFIVAGIEFSKRIVSQIIQSIN >KJB15826 pep chromosome:Graimondii2_0_v6:2:53687424:53689895:1 gene:B456_002G198300 transcript:KJB15826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNNKSKPRPSRMSVYLYIPNIIGYIRILLNCYAFAICFSSKRLFAALYFLSFVCDAVDGWCARKFNQASTFGAVLDMVTDRISTACLLVILSQVYRPSLVFLSLLALDIASHWLQMYSSFLVGKASHKDVKDSSNWLFKLYYGNRMFMGYCCVACEVLYIALFLISSKHTENLMDVVVTTLKQGSPLSLLVAISLLGCSIKQVINIIQMKTAADACVLYDTEKKQKR >KJB15828 pep chromosome:Graimondii2_0_v6:2:53687736:53689895:1 gene:B456_002G198300 transcript:KJB15828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSILGSLSFMRFQILIVGYIRILLNCYAFAICFSSKRLFAALYFLSFVCDAVDGWCARKFNQASTFGAVLDMVTDRISTACLLVILSQVYRPSLVFLSLLALDIASHWLQMYSSFLVGKASHKDVKDSSNWLFKLYYGNRMFMGYCCVACEVLYIALFLISSKHTENLMDVVVTTLKQGSPLSLLVAISLLGCSIKQVINIIQMKTAADACVLYDTEKKQKR >KJB15827 pep chromosome:Graimondii2_0_v6:2:53687146:53689895:1 gene:B456_002G198300 transcript:KJB15827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNNKSKPRPSRMSVYLYIPNIIGYIRILLNCYAFAICFSSKRLFAALYFLSFVCDAVDGWCARKFNQASTFGAVLDMVTDRISTACLLVILSQVYRPSLVFLSLLALDIASHWLQMYSSFLVGKASHKDVKDSSNWLFKLYYGNRMFMGYCCVACEVLYIALFLISSKHTENLMDVVVTTLKQGSPLSLLVAISLLGCSIKQVINIIQMKTAADACVLYDTEKKQKR >KJB14175 pep chromosome:Graimondii2_0_v6:2:15994251:15996796:1 gene:B456_002G113200 transcript:KJB14175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQDYAESKLKPSVDLTGSAKECSNGPSIGVIDIGVSQSAFLFRVALPGIRSDQSKLKCEIQRDGKVLIQGIVTQGSEVLQGLSSVCQMTVEKLCSPGPFTISFNLPGPVDPRLFSPKFRPDGILEVVVMRYRRPSDPVDAWAPPS >KJB15957 pep chromosome:Graimondii2_0_v6:2:55193164:55196096:1 gene:B456_002G206000 transcript:KJB15957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLRQKQTECIIRMLNLNQPVNSTGTANDEVYKILIYDKFCQNILSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPAVYFVQPNQSNIQRIVADASRSLYDSFHLNFSASIPRPLLEDLASGTLNSDSIHKISKVHDQYLEFVSLEDNLFSLSQKSTYVQLNDPSAGDKEIEDIIERIVSGLFCVLATLAVVPIIRYPRSGPAEMVALALDQKLRDHLLSKNNLFSESGSFASSFQRPILCMFDRNFELSAAIQHDFRYCPLVHDILRLKLNRLSVPGEKGRMKSYELDRSDPFWMANGSLEFPEVAVEIETQLNTYKKDVDEVNRTGGTAGAEFDGTDLIGNTKHLMKAVNSLPELTERKQVIDKHTNIATVLLGEIKERSLDSYVKKENDMMLRGGIYRNELLAVLKGKGTKMDKLRFAIMYIISSETINPAEAEAVEAAIVESEVNTSAFQYVKKIKTLNVSLASANSASRNNIVDWAEKLYGQSISAVTAGVKNLLSSDRQLALTRTVEALMEGKPNPEIDSYLVFDPRAPKSSSGTSSSHLKGPFKKLLCS >KJB15956 pep chromosome:Graimondii2_0_v6:2:55192862:55195980:1 gene:B456_002G206000 transcript:KJB15956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSERSCTPSSKLHTSMTVKQAVHKLGLYRKNVSFITKDKKCIIRMLNLNQPVNSTGTANDEVYKILIYDKFCQNILSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPAVYFVQPNQSNIQRIVADASRSLYDSFHLNFSASIPRPLLEDLASGTLNSDSIHKISKVHDQYLEFVSLEDNLFSLSQKSTYVQLNDPSAGDKEIEDIIERIVSGLFCVLATLAVVPIIRYPRSGPAEMVALALDQKLRDHLLSKNNLFSESGSFASSFQRPILCMFDRNFELSAAIQHDFRYCPLVHDILRLKLNRLSVPGEKGRMKSYELDRSDPFWMANGSLEFPEVAVEIETQLNTYKKDVDEVNRTGGTAGAEFDGTDLIGNTKHLMKAVNSLPELTERKQVIDKHTNIATVLLGEIKERSLDSYVKKENDMMLRGGIYRNELLAVLKGKGTKMDKLRFAIMYIISSETINPAEAEAVEAAIVESEVNTSAFQYVKKIKTLNVSLASANSASRNNIVDWAEKLYGQSISAVTAGVKNLLSSDRQLALTRTVEALMEGKPNPEIDSYLVFDPRAPKSSSGTSSSHLKGPFKKLLCS >KJB16071 pep chromosome:Graimondii2_0_v6:2:55842445:55844727:-1 gene:B456_002G211300 transcript:KJB16071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTVAAPSSCMLLKTPFSLKRGSKALSLNNGRRLSKRLFSCNAIYNPQVRIKREGQPETLNYRVFFEDASGKKISPWHDVPLHLGNGVFNFIVEIPKESSAKMEVATVELYTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSFANSEVEGAFGDNDPVDVVEIGDSRGKIGEILKVKPLAALAMIDEGELDWKIVAISLDDPRASLVNDVNDVEKHFPGTLTAIRNWFRDYKIPDGKPANKFGLGNKAASKEYALKVIKETNESWAKLVKRSIPAGDLSLV >KJB15536 pep chromosome:Graimondii2_0_v6:2:48287499:48288901:-1 gene:B456_002G183300 transcript:KJB15536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMTVLRSVPVTEPWRPLQRNGNSHSVKTLRSFEISTAKRGCRGAVVRVRNLHFWKRTQKLNCSDNETSPSSTSEEDDLEQGPPQEAVLKAISEVSKTEGRVGQTTNVVIGGTVADDSTNEWLALDQKVNSYPTVRGFTAIGTGGDDFVQAMIVAVESVIQQPIPEVPIRLYQSLLLKVNCGSRCPFVYAFENRQQNKF >KJB15535 pep chromosome:Graimondii2_0_v6:2:48286038:48288912:-1 gene:B456_002G183300 transcript:KJB15535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMTVLRSVPVTEPWRPLQRNGNSHSVKTLRSFEISTAKRGCRGAVVRVRNLHFWKRTQKLNCSDNETSPSSTSEEDDLEQGPPQEAVLKAISEVSKTEGRVGQTTNVVIGGTVADDSTNEWLALDQKVNSYPTVRGFTAIGTGGDDFVQAMIVAVESVIQQPIPEGRVRQKLSSRGKYVSVNIGPVQVVSSEQVQAVYNAMKRDDRMKYFL >KJB13402 pep chromosome:Graimondii2_0_v6:2:8475917:8477869:-1 gene:B456_002G072600 transcript:KJB13402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRLLSHELSDLCLGKPALRSLSVTSTIAEALEVLKTSDDNFVSVWSCDHKAKTSSGFESAAGFCDNYDDDDCRCVGKVCMVDVICYLCKDENLVSPSVALKEPVSVLLPKIPGLVLHVEPSCSLLKAIDLILEGAQNLVVPIKTKLSNKRKQHQKPSPTVTVHKGREFCWLTQEDVIRFLLSSIGLFSPVPTFSIDTLGIISSEILTIEYHSPASAAIGAISRTLVDQTSVAVVDSEGCLIGEISPFTLACCDETVAAAVKTLSSGDLMAYIDCGGPPEDLVRVVSSRLKERNLNGMLEHFSMSMSCGGFSSSSSDEESMAASPLPRSGRYSRSMSYSARMVRTAEAIVCHPKSSLVAVMIQAISHRVNYVWVIEDDCRLVGIVTFSDMLKVFREHLETIA >KJB12991 pep chromosome:Graimondii2_0_v6:2:4182643:4190820:1 gene:B456_002G049000 transcript:KJB12991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVFSIVKVVSVLFLGFLALNCFTEFGSNAQLLPESEVQTLQTVFSKMQHPNASTIDRTLCTGSSWNYTTTDLVESHIVCNCSDGNNTICHVTQILIKGHNLTGILPPELGNLTHLEVIDLTRNYLNGSLPSNLSQLPLTSLSLLGNRLSGPIPREIGDIPTLEGLVLEDNLFSGSLPSNLGNLDRLSRLLLSSNNFTGTIPESFGKLKNLTDFRIDGSSLSGKIPDFIGNWTKLTRLDMQGTSMEGPIPSTISELKNLTDLRITDLSGRSSAVPNLEAMKDMKELVLRNCLLTGSIPPYIGDMKSLKTLDLSFNRLTGQIPQELRSLTKLNYLFLTNNSLTGPVPDWILESDENIDLSYNNFTSSSQTSCQQAKVNLVSSSSFSADSNSVPWCLRKDLPCPRKPNHHSLFINCGGEHINVDGNDYEEDLSTIGPSNFFNSANKWAYSSTGVYLGKASAHYTAKASSALTGPALYNSARLAPQSLKYYGLCLLRGNYHVKLHFAEIMYSDNKTFESHGRRLFDVSIQGRIVLEDFNIMEEAGGVGRGIVREFDVDVNGSTLEIHLFWRGKGTTAIPDRGVYGPLISAITITPNFSVDTGNGLSAGAIAGIVIGSCVILILLLIILRLTGYLGGKHDENSELHGLELQTGYFSLRQIKAATNNFDSANKIGEGGFGPVYKGVLPDGRVVAVKQLSSKSKQGNREFVTEIGMISGLQHPNLVKLYGCCIEGNQLLLIYEYLENNCLARALFGRDEQRLNLDWSTRKKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVLLEIVSGKSNTNYKPKEEFVFLLDWAYVQQEQGNLLELVDPCLGSKYSKEEALRMLNLALLCTNPSPTLRPLMSSVVSMIEGKAPVQAPLIKRKDADQDARFKAFEMLSHDSQTNVSTFSHDSQAPRSTVMGGPWTDSSMSIPDETRDHSSSSMLLNNNP >KJB13982 pep chromosome:Graimondii2_0_v6:2:13374577:13377738:-1 gene:B456_002G104200 transcript:KJB13982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGYHSPTFNLLLCFHFISVLCSPSSPTHLCSPNQSAALFQFKTHFSINQTASKDCEYSYPKAKTTSWKKGTDCCLWDGVSCDNITGEVISLDLSCSCLSGTFPSNTTFFLLSSLQRLDLFFNDFRKSKISSNFGRFPSLTHLNLSYSWFSGPVPHEISYLSNLVSLHLSDRPISDLIGFQAPGPILKLEKSAVNGIVRNLTKVREIYLDGIDMSSIDPNSFVNLSYSLTSLSLFGCHLRGKLPDNIFKLPNIEYLSLDENSKLTGQFPKSNWSSPLVILSASFTSLSGELPESFGDLKCLAGLDLLSSNFSGSIPRSLGNLTQLGFLFLSHNYFSGKIPSSLTNLAKLSLLSLEWNQLEGSLPDTPNAFPNLGFLDLSNNLLSGTTPSWLYTIPLVLLNLGNNQFIGHISEFQNRLLSLNMLVLKNNSFRGPILSSISKLVNLSFLDLSSNKLNGTISSDMFSELHSLQYLDLSSNTLSLSSNNSVTYVLRNLEHVNLSSNIFNELPRFLQGSKNLSVLDFSNNRIRGRLSKFPWKDIELLNLRSNFIEGDLPELPPNIRFLSVSNNSLTGTLSGICNTKFIEILDLSHNDFSGVIPQCIGSFSQSLSSLNLKMNKLHGAIPSTFAKGCALKNLNLNSNHLEGPLKPSITNCKDLQVLDVGNNMINDSFPHWIEALSELQVLVLRSNKFHGPITAPTSPQSLLKLRIVDLSCNNFFGPLPTGYIKNFKGMINILDDGKGVRYMGERNYSYDYSVAIAVKGFEIELMKILTIFTSIDLSSNNFEGQIPRDIGELSSLRGLNLSHNNLVGHIPSSLRNMTRLEWLDLSSNKLSGQIPTGLLDLTFLSSFNVSYNQLVGPIPKGKQFNTFENGSYHENEGLCGVPLSRGCNNNVLGQPPPAPWMNSEEDDGSKLEFGWKAVAIGYGFGFIFGAAIGCARLRTGKPKWLLLPL >KJB16365 pep chromosome:Graimondii2_0_v6:2:58189567:58193665:-1 gene:B456_002G226000 transcript:KJB16365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKELSDLKDLLLTAKREFEDLQSQLQIDLKNIGSQVEEMSTAAVQYHKVVEENRKLYNMVQDLKGNIRVFCRIRPAFCAGTRNVIDFIGEDGSLVISDPLKPKKDGRKVFQFNRVFGPSATQDDVFNDTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPSGGSTKDLGINYLALNDLFEISNQRKDIISYEIQVQMVEIYNEQIRDLLSEDSSSTKYPFLLNSLEIRSCSNDNGLSLPDATLHTVKSTSDVLNLMKYGEVNRVVCSTAINNRSSRSHSILTVHVHGKYTSGNMLRSCLHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSCLGDVVTALAQKNSHIPYRNSKLTLLLQDSLGCGHAKTLMFAHVSPEEDSFGETLSTLKFARRVSTVELGAARLNKESSEVMQLKEQIENLKKALANKEAPSTPSYKMKEPKSPFEKQMAAIEKTPPRTRRLSIENGSTMKSEKAMNAEDRRGPKIPSSITRARRLSSEGSRNEDNSQIKVSADVSRSLHASTVSVQKYSQFQDEEAVTKQFGNLSNGSSVMEAYHSKPPRSPTSSSFQKQALKTDCRTQIPRLELPSTPEPKVYTKNDIQNLMQTVISTESRTANGKGSQVRKSLRTTIGKLISGSEKRNLQKTLELKSPVRGVGNVHDLKSPPVTAHAKAARRESLTGVQTSGSNRSRRSSLGGKPIELSTPMSNNRNARTPPPVHPSSAKTTKRWL >KJB16366 pep chromosome:Graimondii2_0_v6:2:58189567:58196203:-1 gene:B456_002G226000 transcript:KJB16366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKVVESNSHAQSLNREFQPPAAYQYFENVRNFLVAIEDLKLPAFGACDLERDNLDAGSSAKVVDCILALKSYHECKQINCGTANGYYKLTRSPMVMHSSTKINSRASSESCRRLDMPAACDKRPPANGEVYKLEDTIVKLLADYMVDTKENFDDNFLGSFHSRNPDSVKVLSKMISSCLEEQLVNKIPEGSRACTKKANQNHINLLKMQEKELSDLKDLLLTAKREFEDLQSQLQIDLKNIGSQVEEMSTAAVQYHKVVEENRKLYNMVQDLKGNIRVFCRIRPAFCAGTRNVIDFIGEDGSLVISDPLKPKKDGRKVFQFNRVFGPSATQDDVFNDTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPSGGSTKDLGINYLALNDLFEISNQRKDIISYEIQVQMVEIYNEQIRDLLSEDSSSTKYPFLLNSLEIRSCSNDNGLSLPDATLHTVKSTSDVLNLMKYGEVNRVVCSTAINNRSSRSHSILTVHVHGKYTSGNMLRSCLHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSCLGDVVTALAQKNSHIPYRNSKLTLLLQDSLGCGHAKTLMFAHVSPEEDSFGETLSTLKFARRVSTVELGAARLNKESSEVMQLKEQIENLKKALANKEAPSTPSYKMKEPKSPFEKQMAAIEKTPPRTRRLSIENGSTMKSEKAMNAEDRRGPKIPSSITRARRLSSEGSRNEDNSQIKVSADVSRSLHASTVSVQKYSQFQDEEAVTKQFGNLSNGSSVMEAYHSKPPRSPTSSSFQKQALKTDCRTQIPRLELPSTPEPKVYTKNDIQNLMQTVISTESRTANGKGSQVRKSLRTTIGKLISGSEKRNLQKTLELKSPVRGVGNVHDLKSPPVTAHAKAARRESLTGVQTSGSNRSRRSSLGGKPIELSTPMSNNRNARTPPPVHPSSAKTTKRWL >KJB15167 pep chromosome:Graimondii2_0_v6:2:39005575:39012142:1 gene:B456_002G163100 transcript:KJB15167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICSKGSRANEYVENNHGKRDKAHKNSRKTAKKLSVSFKRDNVVVEADATARLISNQQPNHGAVSGPSSSSDDEEKKAALAVFERSKGTPSELQRRPTTEADGRGGQGRQRMSRIINATGGERGAQVVAGWPSWLAAVAGEAINGWIPRKAESFEKLEKIGQGTYSSVYKARDLESNKIVALKKVRFVNMDPESVCFMAREIIILRRLDHPNVMKLEGLITSRASGSLYLIFEYMEHDLAGLLATPEVKFTEAQIKCYMQQLLRGLDHCHSRGVLHRDIKGSNLLIDCNGNLKIGDFGLATFFHPNQKQPLTSRVVTLWYRPPELLLGSTNYGVAVDLWSSGCILAELFAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWKRSKLPHATIFKPQHPYKSCVLETFKDFPTSALVLLEVLLAIEPECRGTASSALQSEFFTTLPLPCNPSSLPKYPPSKEFDAKLREEESRRQRAGGKVHAVDPVRKFSRESIAIPAPDFNAELQASIQKWRGQFDAKSISEVWYPEEDRGAGFPIEPSKGTERVVYNSHSGQLMHPGKFESSRNKKTTEIESMKASARAFGFPRKPEELKTQTCVHRGAVELSRFSNSIAVRGSSRFDMTKENNINPHWPEERFNSGYNHLDNAESSEKHDLSHGLLDRPKASNVKDEQPSSKESAVGYVSMNNRVHYSGPLMPRGGNLEEMLKEHERRIQNAVRRARSNKTKAKINFDDNGQTESLL >KJB14150 pep chromosome:Graimondii2_0_v6:2:15781998:15788204:-1 gene:B456_002G112200 transcript:KJB14150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAGAQVAPPLYIHQALASRFCDPPSLPRKRDLSYQASDFLYQNPSQQRVANPRDNWNPKQWEWDAVRFIAKPLNTGILQAGTATAEQRKKGHVNGNENSITSKNATAANGDDERLQLNLGGGLNSVEEPVSRPNKKVRGGSPGSTSYPMCQVDNCKEDLSNAKDYHRRHKVCEIHSKATKALVEKQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPEDVTSRLLLPVNRDNAGNGSLDIVNLLTLLARTQGKTEEKSINPSPVPNRDQLLQILSKINSLPLPMELAAKLPNVGVLNRKSQEQPSLGNQNQLNGKNTSSPSTVDLLAALSASLTSSSSDALAMLSQRSSQSSDSQKTKSICPDNVAASSSLNRAPLEFTSVGGERSSTSYQSPVEDSECQIQETRANLPLQLFSSSPEDDSPPMLASSRKYFSSDSSNPMEERSPSSSPVVQKFFPMHSTPEAVKYEKVPIGRHANTNAETSRAHGSIIPLELFSGSKRGTGHGSFQHFPSQAGYTSSSGSDHSPPSLNSDAQDRTGRIIFKLFDKDPSHFPGTLRTQIYNWLSNSPSEMESYIRPGCVVLSVYVSMPAAAWEQLEGNLLRYVNCLLQDSDSNFWRKARFLVHTGNRQLASHKDGKIHLCKSWLSWSSPELISVSPLAVVSGQETSLLVRGRNLTNPGTEIHCAYMGGYSSMQINGSTDKGASYDEVNMGSFKIQVPSPKALGRCFIEVENGFKGNSFPIIIADAAICKELRLLESELDTEAKASDIISEEHAYDSHRPRSREEVLHFLNELGWLFQRSTAPLPKSSDHSLRRFKFLLMFSVESDYCALVKVLLDMLVESNLDLDDLSKDSLAMLSEIQLLTRAVKRRCRKMADLLIHYSISSNDGNSKKYIFPPNLEGAGGITPLHLAACTSGSDDMVDVLTNDPQEIGLTCWSSLLDANGQSPYAYAMMRNNHSYNKLVAGKYADRRNGQFSLTIGVEDQHSGVSAVQLNKISLQFRQDRRSCAKCAVVATRSNNRFPGSQGLLQRPYVHSMLAIAAVCVCVCLFLRGSPNIGRVSPFKWENLDFGTI >KJB15494 pep chromosome:Graimondii2_0_v6:2:47580557:47581339:-1 gene:B456_002G181100 transcript:KJB15494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAWSEKASYVEVLSRPPSLSPPVILTQGELKKIAAYKAVEFVESGMVLGLGTGSTAKHAVDRIGELLRLGKLKNIVGIPTSKKTQEQAVSLGIPLSDLDHHSTIDLAIDGADEVDPHLNLVKGRGGSLLREKMVEGTCKKFICIVDESKLVKHLGGSGLAMPVEVVPFCWKFTANKLQNLFEDSGCVAKLRNDSKGKSFVTDNGNYIVDLYLKKDIGDLQVASDAILRIAGVVEHGMFLDMATTVIVAGELGITIKNK >KJB16341 pep chromosome:Graimondii2_0_v6:2:58018294:58020017:1 gene:B456_002G224700 transcript:KJB16341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKCAGKTQLHNNQAFDLSVLPQGGSKCFDDDGRLKRTGTVWTASAHIITAVIGSGILSLAWATAQLGWIAGPAVMFFFSFVTYYTSTLLSACYRRDDPVNGKRNYTYMDAVRSNLANGKIRESLTRTSVGTVTQTQKTFRFQGLGDIAFCLSYSLILIEIQDTLNAPPSESKTMTKATLLGVGVTTLFCMLCGCMGYATFRDLSPGNYEAPTWLFRHRTGVIRVSSDMYKTRWDTTKRKSWTQSRNRSWKTDTGDEEEKGRRSSSTAGSFFGFILNASFSLQVSF >KJB11875 pep chromosome:Graimondii2_0_v6:2:3637917:3638577:1 gene:B456_002G044100 transcript:KJB11875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSVLVAFLLLSFLLATEMGPMSAEAKTCEVESGKFKGMCMSSTNCASVCKSEPGFDGGHCQGFRRRCLCTKPC >KJB17190 pep chromosome:Graimondii2_0_v6:2:41933517:41933882:-1 gene:B456_002G1686003 transcript:KJB17190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTFVEWVVYQDGRPSSTSSFVAVDKQSLEDIVKFVIDMVQQFLFCKRETIDWIKKSRIAVKEYHSLLVLRLVLITCFLHLNFGKGLDLLFDLLGQKNITELLPWEFYDALRRRRRNNRLNID >KJB17191 pep chromosome:Graimondii2_0_v6:2:41933517:41933882:-1 gene:B456_002G1686003 transcript:KJB17191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTFVEWVVYQDGRPSSTSSFVAVDKQSLEDIVKFVIDMVQQFLFCKRETIDWIKKSRIAVKEYHSLLVLRLVLITCFLHLNFGKGLDLLFDLLGQKNITELLPWEFYDALRRRRRNNRLNID >KJB16200 pep chromosome:Graimondii2_0_v6:2:56753272:56754493:1 gene:B456_002G217100 transcript:KJB16200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAPIRTKSLFAILLKNSYLNLFYRNRLCQIDLSISRKTFPLPFEDFLLTILEALPCNSFAAITTSNSLSNELKFYFMNIGIQTSNFFLS >KJB15775 pep chromosome:Graimondii2_0_v6:2:54078987:54079833:1 gene:B456_002G200600 transcript:KJB15775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSMIKDQNKNAEAKVSDIELISIQSVSYTSLKDLFSSPSLQPGISSASNNNSSCNEISIKNPLVKHAALAYLKPMLSPTEMVERGSFGKIKEMCSGESGCLFWSYDVLWRHVKDAFWESKEEVDDDDSYEDEEYKVD >KJB15583 pep chromosome:Graimondii2_0_v6:2:52180190:52181305:1 gene:B456_002G192800 transcript:KJB15583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHHIRSRGDSILDSFSLTPLPYPVLLILSLTSVFLGKSSYLNYESAVESADQQMSWVLFATPVVLILLARWLSSMEVSGMLFGLSPWERIRRTHHHPSEGTSPWAVAAFIVLLLVLLQYQSIFRESWLV >KJB16377 pep chromosome:Graimondii2_0_v6:2:58542613:58554057:-1 gene:B456_002G227000 transcript:KJB16377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIFQTISWEEPHPSQKLPCESCSDADEDVIKSEGFFSQNWRSASPSLQPWDGSFLYPDWAQSHNQPGISSGVVPNQISNHSPSLKELTNNHSILKRNLLSVSGSERALRKKRIDQAYRERCKNHKMEMQSNVENLKDENDSLKKENTSLLKDYSLMNQTLRDQEKEIEQLRNDLLQLKREHEKQNVLVLTLSGLLADPMRLENEKLKDENASLRKNANPNCNLPQLAEENAKLRIENKVLKVQNDALCGKIISDDDKKHEQEQ >KJB15882 pep chromosome:Graimondii2_0_v6:2:54266760:54267456:-1 gene:B456_002G2014001 transcript:KJB15882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKLRDKGKGKIEESSKTQITSKPIKSWYEICNEEDEKSSSSSKSSKNTIIQYAQDPNEIGSPIKKWIDSLSQSPEVTLVFSQMKEETPLKQIAAEAEKVSKNKKIVLHKPKSLKNVLKETSLQNVFPKEIAVSLLTATQKSSQYFPNKYFEKILVMEEEFSEKPPHILAKELFNGWHFKPLDSQKPQQCYENILVQTGSVLFKHYTDPKDPNFITHSTSQILKILRPRDW >KJB16944 pep chromosome:Graimondii2_0_v6:2:61818600:61820462:-1 gene:B456_002G256000 transcript:KJB16944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSNSFLDDPCLSPSSKKPPGGWRAVKYILANETFEKLASMSLVANMTVYLKTKYNMEGVLLVNVINIWSGTSSFMSIGGALMSDMFLGRYLMLLYGSISSLLGMMILTLTAIVPKLRPPTCIGQINCIDPNLWQLSTLYAGLTLMAIGAGGIRPCNIAFGADQFNTTTKEGRSQLESFFNWWYFSFTFALVIALTAVVYIQTNISWVIGFAIPTSCLLVSIVIFVIGHHAYIIMKPHGSVFVDLVKVITAATRKHRLDCSRCSFYDPDFHPRTKQLRCLEKAAVIANQNELDEDGKPKNGWRLCSIQQVENLKLLLGMMPVCLAGIGCFMTMDQQTTIGILQAIQSNNVLRSGFKIPPGWMGLSPMIALSIWISTYEILWVLQTKRLTGKAKRLTMTQRINIGLISAMACSIVASFIEKKRRTAALKHGSFKSPMSILMLLPQFALSGLVEAFAAVAIMEYLTTQLPESMRTVAGAIFFISLSMASYLNSMLVNIVHKTTKKGGKTSWLGGHNLNNGKLENFYYLAVSIEALNFLYFNLYARRFIATSCTINKESKEDQHNNEDQI >KJB12249 pep chromosome:Graimondii2_0_v6:2:504596:511499:-1 gene:B456_002G007800 transcript:KJB12249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALVTGGASGIGKALSLALARKGLFVTIVDFAEEKGKEVACLVEKENSGFHEKLEFHSAIFVKCDVTNPRDITLAFEKHVATFGGLDICINSAGIGNPVPFKDDQTDGTKTWRHTINVNLVAVIDCTRLAIKTMQAQQKPGVIINVASSAGLYPLFLGPVYSASKGGVIMFTRSLTPYKRQGIRVNVLCPEFVRTEMVEKMDPKLISLMGGFVPMEMVVKGAFELITDESRAGSCLWITNRRGLEYWPTPAEEAKYTVRSSSSSRNDMISFQAPLGFRLPHNFKKLVVHTLSFHFRDSTHIISAPLKLPLELDQVLLKVIYAGVNAGDVNFSAGRYFQGTKEEISSLLPFDAGFEAVGIIAAIGDSVRNLKVGTPAALMSFGCYAEFVKVPSKHILPIPRPDPEIVALLTSGLTASVALEKVGQMKSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKARLLKELGVDRVIDYKAEDVKTALKEFPKGVDIVYESVGGNMFDLCLDALAIRGRLIVIGLISQYKRKNGWTPLNYPRLEKLLSKSQNVSGFVLVQYGHLWKEHLLRLFHLYSSGKLKVVIDQKRFSGLHSVADAVEYLHSGKSTGKVVVCIDPSFEHQMAKL >KJB12248 pep chromosome:Graimondii2_0_v6:2:504020:511591:-1 gene:B456_002G007800 transcript:KJB12248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALVTGGASGIGKALSLALARKGLFVTIVDFAEEKGKEVACLVEKENSGFHEKLEFHSAIFVKCDVTNPRDITLAFEKHVATFGGLDICINSAGIGNPVPFKDDQTDGTKTWRHTINVNLVAVIDCTRLAIKTMQAQQKPGVIINVASSAGLYPLFLGPVYSASKGGVIMFTRSLTPYKRQGIRVNVLCPEFVRTEMVEKMDPKLISLMGGFVPMEMVVKGAFELITDESRAGSCLWITNRRGLEYWPTPAEEAKYTVRSSSSSRNDMISFQAPLGFRLPHNFKKLVVHTLSFHFRDSTHIISAPLKLPLELDQVLLKVIYAGVNAGDVNFSAGRYFQGTKEEISSLLPFDAGFEAVGIIAAIGDSVRNLKVGTPAALMSFGCYAEFVKVPSKHILPIPRPDPEIVALLTSGLTASVALEKVGQMKSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKARLLKELGVDRVIDYKAEDVKTALKEFPKGVDIVYESVGGNMFDLCLDALAIRGRLIVIGLISQYKRKNGWTPLNYPRLEKLLSKSQNVSGFVLVQYGHLWKEHLLRLFHLYSSGKLKVCIRLRMLLSICIRVKVLARLSFASIQALNIKWLSYDLKPMKLSFIVHPYRVQS >KJB11890 pep chromosome:Graimondii2_0_v6:2:8593:9399:1 gene:B456_002G000300 transcript:KJB11890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLIDYNSISLPIHLKDYNSISLHVRLGNTDEYNSIFNQNPSNSPHGYINNHTKLPIQLIYRDTMGYNIYVLVPSYLC >KJB12981 pep chromosome:Graimondii2_0_v6:2:4111777:4113516:-1 gene:B456_002G048400 transcript:KJB12981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPVLVFASIFSACCLATSTFGATLAIDEVEALKSIGRTLGKTNWNFSVDPCSSGDESWANFAESNAYYVNNVTCVCSSIICHVVRMYSPTTVDQTPLPPRH >KJB12982 pep chromosome:Graimondii2_0_v6:2:4111756:4113812:-1 gene:B456_002G048400 transcript:KJB12982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPVLVFASIFSACCLATSTFGATLAIDEALKSIGRTLGKTNWNFSVDPCSSGDESWANFAESNAYYVNNVTCVCSSIICHVVRMYSPTTVDQTPLPPRH >KJB15688 pep chromosome:Graimondii2_0_v6:2:51600218:51601893:-1 gene:B456_002G190900 transcript:KJB15688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRASMLLACRPILAARAPPFSTDLPAAPSADATFTEAWTKVIPNMDPPKTPLSVMQPRPPTSFSIPSKLTVNFVLLYAAELTTKEVPTPKATKKSPIILKM >KJB12477 pep chromosome:Graimondii2_0_v6:2:1398626:1401432:1 gene:B456_002G020500 transcript:KJB12477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDYYKILKVSSNVNDEDLKKAYKRLAMKWHPDKNPLNNEDAEAKFKQIVEAYEVLSDPQKRAVYDRYGEEGLKGVAPPPESGGASLFSTGYGIPTTFQFNQQNVADEIFSELFGAFGGGLRGRRFSSSLFGDDIFGGGGGGRGGVRFSGSVFGDDIFGSTNQGALRKAAPMENWLHCSLEELYKGTTKKMKISREIVHISGNIMQVEEILTINVRPGWKKGTKITFAEKGNERPNVIPADLIFIIEEKPHNVFTREGNDLIVAQNALYGCTVHLTTLDGRNLTIPIHNVIHPCYEEVVPREGMPIQKDPSKRGNLRIKFDVKFPTQLTPEQKSGIKKLLGP >KJB16381 pep chromosome:Graimondii2_0_v6:2:58606261:58607340:-1 gene:B456_002G227400 transcript:KJB16381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCETRSKNLPPWEALVMVAHYLDPQTLALASCVSKSWCQAMSLDVVWQPLCSSSFPSLSNLKISYPSVPYHRLYATGLAAFKRRHKPPSKPRLSIDNIVFTIQLSTKGVPIFTIAEAASNMNNNQVFKFDVDVKHGSFKGIKGLEEMKVTWNVVLKGWEAIFTMMDWQGKLSCRPVEGWFSEELPCAGCCSSEVGSGIVADLKVDMEVEKVSVGILRVVDWRYVSIEDGLRYLQHFLLPCS >KJB15070 pep chromosome:Graimondii2_0_v6:2:34596132:34601405:-1 gene:B456_002G158700 transcript:KJB15070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12 [Source:Projected from Arabidopsis thaliana (AT3G10070) UniProtKB/Swiss-Prot;Acc:Q9SR71] MDQQQPPPPTPNAPPPSSAVDPPPQPQLQPQIQQQTTPTPPSSTSSTAIPNPNPNPNPIPKPLPSAIPPPQQPPQPKPALPSHQPRPAAAFSRPWQQHSSQFTHFSSSSPSASSSPSPSLSSQPRGSIALGVPSSHSGPSPPSPSPSQSTPFTGSFGHSFGGTSSSNVSQARPMMRGIGMGSSVGSSSQMRPGGISAQHQQRPLQSSLRPTSSPTSQSPSTQNFQGHGLMRVSAVGSSSSTPSTLQTPQSPNQPWLSSGAQGKPPLPPPWHRPQLRAHIPQQHHSLQTVSQQQHVSSPQVPPQNLSSIQQQEHFEQQFSQSRASQSLPHQQQESRSQGSANQKPSSLAMVQPSTVQVTQNKAAITESDDSGGRILSKRSIHDIVNQIDPSEKLDPEVEDILVDIAEDFVDSITTFGCSLAKHRKSDTLEAKDILLHLERNWNMTLPGFSGDEIKTYKKPITNEVHKERLAVIKKSILAREAANAKHIIGQTAVNTKGNVGKVAANILGSPNVKIREVS >KJB15071 pep chromosome:Graimondii2_0_v6:2:34597143:34601302:-1 gene:B456_002G158700 transcript:KJB15071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12 [Source:Projected from Arabidopsis thaliana (AT3G10070) UniProtKB/Swiss-Prot;Acc:Q9SR71] MDQQQPPPPTPNAPPPSSAVDPPPQPQLQPQIQQQTTPTPPSSTSSTAIPNPNPNPNPIPKPLPSAIPPPQQPPQPKPALPSHQPRPAAAFSRPWQQHSSQFTHFSSSSPSASSSPSPSLSSQPRGSIALGVPSSHSGPSPPSPSPSQSTPFTGSFGHSFGGTSSSNVSQARPMMRGIGMGSSVGSSSQMRPGGISAQHQQRPLQSSLRPTSSPTSQSPSTQNFQGHGLMRVSAVGSSSSTPSTLQTPQSPNQPWLSSGAQGKPPLPPPWHRPQLRAHIPQQHHSLQTVSQQQHVSSPQVPPQNLSSIQQQEHFEQQFSQSRASQSLPHQQQESRSQGSANQKPSSLAMVQPSTVQVTQNKAAITESDDSGGRILSKRSIHDIVNQIDPSEKLDPEVEDILVDIAEDFVDSITTFGCSLAKHRKSDTLEAKDILLHLERNWNMTLPGFSGDEIKTYKKPITNEVHKERLAVIKKSILAREAANAKHIIGQTAVNTKGNVGKVAANILGSPNVKIREVS >KJB17041 pep chromosome:Graimondii2_0_v6:2:62269644:62274862:1 gene:B456_002G262100 transcript:KJB17041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQKQSEEAIVSNYNESEQHHVENRDEEKEEENQSLFNVKNLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGIIGSWTAYLISVLYIEYRSRKEKENVNFKNHVIQWFEVLDGLLGPYWKALGLAFNCTFLLFGSVIQLIGCASNIYYVNDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALVHGQAEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSAAAVYWAFGDELLNHSNAFSLLPKNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKASARQNAAEKPPFFMPSWTGMYALNAFIVVWVLVIGFGFGGWASMTNFIRQIDSFGLFAKCYQCKPPTPTSPAAAMHH >KJB12489 pep chromosome:Graimondii2_0_v6:2:1443580:1449157:1 gene:B456_002G021000 transcript:KJB12489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPQELQPRSFRPYISSSISAPSFTSFTNASSVHSSDDPDPNPTNSKFKSSFPSLSSSSSSSSRSLKNSRFSPSSFAHNARLAIALVPCAAFLLDLGGTPVVATLTLGLMIAYIVDSLNFKSGAFFGVWFSLIAAQIAFFFSASLYYSLSSIPLSILAAFLCAETNFLIGAWASLQFKWIQIENPTIVLALERLLFACVPFAASSIFTWATVSAVGMNNASYYLMAFNCVFYWVFAIPRVSSFKTKQEVKYHGGEVPDDNLILGPLESCLHTLNLLFFPLVFHIASHYSVMFSSAASISDLLLLFFIPFLFQLYASTRGALWWVTKNPLQLRSIQLVNGAIALIVVVICLEIRVVFRSFGRYIQVPPPLNYLLVTTTMLGGAAGAGFYALGMVSDAFSSLAFTSLAVVVSAAGAIVVGFPILFIPLPSVAGFYLARFFTKKSLTSYFAFVVLGSLMVMWFVMHNFWDLNVWLAGMSLKSFCKLIVADVVLAIAIPGLALLPSKLHFLTEVGLICHALLLCHIENRFFSYSSIYYYGLDDDVMYPSYMVILTTIIGFALVRRLSVDHRIGPKAVWILTCLYSSKLSMLFLTSKSVVWVSAILLLAISPPLLLYRDKSRTASKMKAWQGYAHATVVALSVWFCRETIFEALQWWNGRPPSDGLLLGFCILLTGLACVPIVALHFSHVMSAKRCIVLVVATGLLFILMQPPIPLSWTYRSEIIRAARQSADDISIYGFMASKPTWPSWLLILAILLTLAAATSVIPIKYIVELRAFYAIAMGIALGVYISAEFFLQAAVLHALIVVTMVCASFFVVFTHFPSASSTKLLPWVFALLVALFPVTYLLEGQVRIKSFLAENEFGDTGEEDRKLTTLLAVEGARTSLLGLYAAIFMLIALEIKYELASLIREKSFDKGAIRHNQSGQSNSVGFPPRMRFMQQRRASSVSSFTIKRMAAEGAWMPAVGNVATVMCFAICLILNVNLTGGSNQAIFFLAPILLLLNQDSDFVAGFGDKQRYFPVAVTISIYLVLTSVYSIWEDVWHGNAGWGIDIGGPGWFFAVKNLALLILTFPSHILFNRFVWTYSKTTDSTPLLTLPLNLPPIVISDLVKIRVLGLLGIIYSVAQYIISRQQYISGMKYI >KJB13299 pep chromosome:Graimondii2_0_v6:2:7797565:7798098:-1 gene:B456_002G067100 transcript:KJB13299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-52 [Source:Projected from Arabidopsis thaliana (AT5G53980) UniProtKB/Swiss-Prot;Acc:Q9FN29] MDFQSQRLYSPKYNKKRLNQEQVRLLERSFSANKKLEPELKLQLANQLGVPPRQVAIWYQNKRARWKTQSMELDCNTLQVKLENALSEKRRLEKDVKYLQEELRKAQETMFAMNNNQRANHHHHQQQQDQPLNYFVSCNSTGSSEEGGSSSFHEDHEVLQIDELYACLIGGDRSTWS >KJB13488 pep chromosome:Graimondii2_0_v6:2:9280382:9281688:-1 gene:B456_002G078100 transcript:KJB13488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKSLNKILHSRIEAMHIQFAEKDRGSALAELVTVSGIGNNWCEGFSTYPRTYDLIHSNGVFSLYQDKYFSYPYFSSVPCFIRNQSCHSAYNSIHTSTVAMLHHLSLLKLRNNKW >KJB13487 pep chromosome:Graimondii2_0_v6:2:9280382:9280787:-1 gene:B456_002G078100 transcript:KJB13487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIQFAEKDRGSALAELVTVSGIGNNWCEGFSTYPRTYDLIHSNGVFSLYQDKYFSYPYFSSVPCFIRNQSCHSAYNSIHTSTVAMLHHLSLLKLRNNKW >KJB13490 pep chromosome:Graimondii2_0_v6:2:9279220:9282484:-1 gene:B456_002G078100 transcript:KJB13490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKSLNKILHSRIEAMHIQFAEKDRGSALAELVTVSGIGNNWCEGFSTYPRTYDLIHSNGVFSLYQDKYFSYPYFSSVPCFIRNQSCHSAYNSIHTSTVAMLHHLSLLKLRNNKW >KJB13489 pep chromosome:Graimondii2_0_v6:2:9279220:9282484:-1 gene:B456_002G078100 transcript:KJB13489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIQFAEKDRGSALAELVTVSGIGNNWCEGFSTYPRTYDLIHSNGVFSLYQDKYFSYPYFSSVPCFIRNQSCHSAYNSIHTSTVAMLHHLSLLKLRNNKW >KJB13745 pep chromosome:Graimondii2_0_v6:2:11671729:11672664:-1 gene:B456_002G092100 transcript:KJB13745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFKKSQILTLSIVAALLFIAPLLSSSLRPTYLYFILNLLIISLGAEAGLGLVFSRPSYAAARPDTTQEVKGSVESTDVAAHTASTEKKGNKVVEKSVSEKNIIVGSIKVDKVKKCPSTPSLFFIGSGETEAEAVNREELDMEEEEEGDVGGLSGPELFTKAEIFIGNFYKQLKMQREESWKKIHGFYQKAF >KJB13189 pep chromosome:Graimondii2_0_v6:2:7172911:7182017:1 gene:B456_002G060800 transcript:KJB13189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNDPILLCFYNILQSFIFLHANTIKTLECTHSSPSSIIQRFPSDHKIPLPQNFMGNARFIPALTVAVLLLNFVVSFSTKITTNISTDQSALLALKAHVIDRQNLLTTNWSSAFNICKWIGVTCGSRHRRVIALDLSNMSLSGIVPPHIGNLSSLTWLNMRNNNFHGSLPVQLVNLHRLKYIRLSFNSFFGEIPPWFGSFPRLQYLSLSYNNFIGLFECKELQDIDLADNSLEGILPKEIGNLTMLNTLHLHNNMIEGIPEQIGDLLNLETFGLSSNQLKGHLPSSIGNLTCLRILKLYNNSLTGIIPHQIGNLVNLEIFNIHSNMLKGHLPSFNNLTRLRTLRLFNNSLTGDIPITLGNLRDLQVLDISDNDLSGTLSSSKISFLSSLANCTDLSFLSLGRNPSISGYLPSSMGNDLLVSLQYFYAWSCNISGNIPGEIGNLTDLVVLDLSDNNLVGSIPTTLTRLKHIQWLDLSSNFLSGPLQIEIGNWNVVEYVDFSGNHFSGAIPDKVCDRNNDLRYLAFSGNLLAGILPRSLINCGELVVFNVVDNKLSDTFPHWLGMLPKLRVLILRSNRFHGSIQSSIPTSFFSKLQIIDLSHNHFTGLLTTQFFQNLKALKKVEYRNGSYWYNVNVTVKGLELEFPITVRKPIFTTIDLSMNGFHGEIPEVVGELSLLQALNLSHNNLIGPIPPSFGNLVAVESLDLSFNKLTGKIPSQLTNLTFLAVLKFQNNNLVGPIPHGKQFDTFDNDSYRGNWGLCGFPLSKQCSNDERLAKDEEGNGNGIAFIWKVAVMGYGCGMVLGISMAYIVFTTGKPQWLVRMIEKDLQNKVSSWFQKKRN >KJB16718 pep chromosome:Graimondii2_0_v6:2:60878611:60881268:-1 gene:B456_002G244700 transcript:KJB16718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G03800) UniProtKB/TrEMBL;Acc:W8PUG9] MSTIIHPQNHPVPVPHHRHLFPTKPPPYPPCSSSLSFPKPKPKSHHHRPLLLASTTAVSCHTLYYKPYICNDVNSLLTLLNLSVQYSDAELAKAVHACSLKLQEDTHLGNSLVLAYLKLGLFNHSLNVFASLSCPNLVTYSSLISGFAKSNQGSKAIELFMKMRTEGIEPNEFTFVAILSACIRVLESELGFQIHGLVVKMGFLDSTFVANALMGFYWKFTGNLRLVFKVFDEMPQRDVASWNTVISCLVKEGMYEKAFELFRVMQGIGCFRADFFTISSILSACEGSNAFMKGKEVHAHAIRIGLEGNLSVNNVLIGLYTQFGRVRDVAVLFESMPIRDVITWTQMITAYMEFGLVDLAMKVFNEMLEKNCISYNALMAGFCKNGEGLKAVKLFIEMVEEGLELTDFSLSSVINACALVMDVKASEQIQGFCLKFGFGSNVCVEAALLDMCIRCGRMADAEKMFRIWPVEHDNSVVCTSMLCGYARNGQPDEAILFFLRCRLEGTMDLDEVTLTSILGACGTLGFEKMGEQIHCYALKTGFVADLGVLNSIISMYAKCGNMNDAIKVFDIMPVRDVVSWNALIAGHILHRQGDEALAVWSAMEEAGIRPDTITLFLVLSAYRHTNLDLVDDCRKLFLSMRTDYDIEPTSQHHASFVSVLGQWGLLEEAEETIENMPVEPKASVWRALLDSCRIRLNTTIGKRVAKRILAMKPQDPSTYILVSNLYSASGRWHCSETVREDMREKGFRKNPARSWIIHQNNIHPFYTRDKSHPQTKDIYRGLEILVMECQKAGYVPDTSFVLHEVEEHQKKEFLLYHSAKLAATYGILMTKHGEPIRIVKNIHMCGDCHTFMKYVSIITKREILVRDASGFHCFRNGQCCCKDYW >KJB16082 pep chromosome:Graimondii2_0_v6:2:55959242:55960090:1 gene:B456_002G212100 transcript:KJB16082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLPLGKKKLHLLMKSGYEQCYFCITENRIAAILLKEAAERRRQAERDGIHVFLQHPKVRGRSNSRFPAATVLGVQ >KJB15415 pep chromosome:Graimondii2_0_v6:2:45519382:45522054:1 gene:B456_002G177000 transcript:KJB15415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDHNHLQPNPDPSSLLDAPSTGKAKVWDEEAAQQDCGMDELLAVLGYKVKTSDMAEVAQKLEQLEEVMCNVQDDGISHLASETVHYNPSDLSTWLESMLSELNPPSTFDPFGAAGTAAAALDDSFLGPAESSTLTTLDFDNINRKHQKSGQQIFEEASCSDYDLKAIPGKAIYSQNTQPQPQTHDSSSSSTPTNVKSEKRFKSTSGPPSPSDIFPPPPPAAASYGIPTDSTRPVVLVDSQENGIRLVHALMACAEAVQQNNLNLAEALVKQIGFLAISQAGAMRKVATYFAEALARRIYRFYPQNPLDHSFSDVLHMHFYETCPYLKFAHFTANQAILEAFEGKKRVHVIDFSMNQGMQWPALMQALALRVGGPPAFRLTGIGPPSHDNSDHLQEVGWKLAQFAEKIHVEFEYRGFVANSLADLDASMLDLRPSEVEAVAVNSVFELHKLLARPAAIDKVFSVVKQMKPELVTIVEQEANHNGPVFLDRFTESLHYYSTLFDSLEGSVSSQDKVMSEVYLGKQICNVVACEGVDRIERHESLTQWRNRLSTAGFSPVHLGSNAFKQASMLLALFAGGDGYGVEENNGCLMLGWHNRPLITTSAWKLTKKTTAISQ >KJB13920 pep chromosome:Graimondii2_0_v6:2:13004789:13008391:1 gene:B456_002G101800 transcript:KJB13920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSSREREESLTFPTNPSSTSSPITVSDHLDRYLQDPSSHIGSASGSYSNEGLLAAETASPSNSDVEFGFSRPDFRQQSPISGTVQFYQRHVFLCYKSPSVWPPRIEAAEFDRLPRLLSAAVLARKADMIKETRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKNGEWLPGTPEKLEGSFVFVCSHGSRDRRCGVCGPPLVSRFKEEIVLYGLQGRVSVSPCSHIGGHKYAGNVIIFGSNFNGEVTGHWYGYVTPDDVPTLLEWHIGKGEIIDALWRGQMGLSEEEQKKFQELRRLANGETTKEATQRQMDEMNITACQSQDNLASCCQRNSSSCCHDPPKSDITDEGVAKLTPEKKKSGKKLISRINSGKGASVRKVCAKPTWFESWEREDTYAALAVVCAAASIAVAYSCYKQLS >KJB16040 pep chromosome:Graimondii2_0_v6:2:55685785:55686936:1 gene:B456_002G209800 transcript:KJB16040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRGRRCSYCGHNGHNSRTCNGKGDYVKLFGVNIAAMGESFSHNNGAQPQIHSKKHNAAHKFKRGNWKGISENYVTSRTPIQVASHAQKYFLRLQHASNNKEKRRPDFPTYQSRIQPMAEEVTPNVMQSLPFLHTMNYAGPRHGYIGKAHVAVCAPADHPSPRLVQDNMFRAGPGASSTEKDLLELKIAPPQSSNSTTLQSQPFIRVIN >KJB14146 pep chromosome:Graimondii2_0_v6:2:15656947:15660654:-1 gene:B456_002G111800 transcript:KJB14146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQFKPEARNFFIFFESSPNWRQSSSLKVSNYINPLRNMLKKEPPTWPSPQTRVVQRLKEMFQNLPPLQIPSDGKRILQTNASDKYWSAILFEEKQRKKSLCEFKSGRFSEAEIHYHSTSRKSWQLKKLFRWAEWFSKFSFDTKHIKRKDNILANFLSRPKTEIFAFKRTSSSWPKPIMMYKLSSSSSTLPISYPITHNLNSKFPPEVMNIIHQKTFHQKTKEMAFEYQFQVITNFEYPFIHPIRLEFAEQPEELKWFLWYITHLYHITIQSFVPDLLYNLAKAIRRNIKPEHQIFFTFLNVTAPWDTWPVQHKPCHEEILKAIQEYHESIPDPTEWSQDYP >KJB12492 pep chromosome:Graimondii2_0_v6:2:1462826:1465981:-1 gene:B456_002G021400 transcript:KJB12492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVLSTTSVTPLCSSTTFNKPKIKPSTNKAKPFLFSSSSSSLGFRNRKIKCNAVSESSQGSIDRTVYQGAFGPWTIDPEDVREVLLYRSGLVTAASSFVIASSAAFLPDNFTLKEIIENNLNLIYLIGAGGLGLSLYLIHIYITELKRTLQAFWALGVVGSLATYLALAQPAGENLVQYVIENPMAVWFVGPLFAALTGLVFKEGLCYGKLEAAILTFIIPTLLLGHLTGLMDDGMKLTLLGSWMALFVIFAGRKFTQPVKDDIGDKSVFVFNSLPEDKKEALIEKLEKQKLQSDSQF >KJB14027 pep chromosome:Graimondii2_0_v6:2:14510202:14512878:-1 gene:B456_002G107400 transcript:KJB14027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLPMKILSLTFDRIANGEPSNILLAESVLEFFGSSGTSGGQPKLIPVTAETLKLLPISSALLTAVMKKHFGNLDQAVKSQEFQFAKEETETPCGLKARAATTSMYKNNDYRNIISKHHTSPIEAIFCSDTNQSMYCQLLADLIQPDEVVMVGSQFATALLRAIKFLEGYWKELCSNIRSGQISDWITDSGCKNAASSIMKPNPQLADSIHKICSCESSEGIIKKLWPNAKFIRAITTGVMSQYVETLEFYSGGLPLVSNTYVCSEAFCRINLEPLSGPSYVSYTFLPTTAYFECLPVNNNSLSLSQEVQFNYASQHEPVEKKSNNENIEPIDLVHVKLGQYYELLVTSYARLYRYKVGDVLKVTGFHNNTPQFQFVGSQNVILSIDTDKTSEADLLNAVREAKTLLDPLGFILTGFTSYADTCSIPGHYVLFWELKAKQGNDSIELDPKIMEECCYRMEEALYYIYRSCRKRNAIAALEIRVVKQGRFDTLMDYYVSRGVSTSQYKTPSCIKSKEAINILESKVIRKFFSPKIPM >KJB16356 pep chromosome:Graimondii2_0_v6:2:58149986:58150976:1 gene:B456_002G225600 transcript:KJB16356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSPYTIKMVVGPSYLKTLHPLQAFSLKSPSSTLGFMKIKALIHTLVYAQFYRLIRAFSKAKSTFILICKQSKPIQYLISNSKTTRKKYKHKKLFFGSFRLHYNWCSSHVTPVPAPVLEATHSTWNSAVSTDQQCDEDTVESELSGYLQWLEEKKGNGNSTVETDINEIDKLAEMFIANCHEKFRLEKQESYRRFQEMLARSM >KJB16403 pep chromosome:Graimondii2_0_v6:2:58817733:58821460:-1 gene:B456_002G229000 transcript:KJB16403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLVLKVVSFLFLFTSLVSSLNSDGLSLLALKAAVESDPTRCLESWSESDATPCHWLGIGCTRKRVTSIFLPNKGLTGYIPSELGLLDSLTRLSLAQNNFSKLIPYHLFNATNLVYIDLSHNSLSGSVPPQIKSLKNLAHLDLSSNSLNGSLPESLVELKSLRGTLNLSFNKFSGEIPASYGEFPVMISLDLRHNNLTGKVPQVGSLVNQGPTAFTGNPNLCGFPLGNLCPEAQNPRAILNPEENPENPQGLTPVFYDGNGDKHKEKIGSVAAPLISGVSAVIGAVSVLVWLVRRKWKTGEDKMGKEKKREEVEEEGQNGKFMVVDEGFALELEDLLRASAYVVGKSRSGIVYKVVAGRGSGTLGSTVVAVRRLSEGDAPLKFKEFEAEVEAIGRVNHPNIVRLRAYYYADDEKLLVTDFIRNGSLYAALHGGPTDNLPPLSWAARLRVIQGTARGLMYIHESSPRKYAHGNLKSTKILLDNELQPYISGFGLTRLVSGTSKYASLITKKLISTQTVAVGSRISTPNSYLAPEARVYGSKFTQKCDVYSFGIVLLEVLTGQLPDAGPENDEEGLEGLVRKAFREERPLSEIIDSTLLTEVYAKKQVVAAFHIALNCTELDPELRPRMRTVSESLDRIKLQ >KJB14614 pep chromosome:Graimondii2_0_v6:2:21373092:21375132:-1 gene:B456_002G134200 transcript:KJB14614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVVQADKDEKTCTKCVDLKCNSPKDSGCFEASTMTFSNEDQVLLVVKETPVVNASICVASPGSVTKDANSGSTIIQASTNTPDQFLFHPMSSTLVYY >KJB13202 pep chromosome:Graimondii2_0_v6:2:7328013:7328390:1 gene:B456_002G061900 transcript:KJB13202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFEKCLTEVDVNKQVAIPSDFVQHLPDYEGGRTITFPVHDVSGNLWENFGYYIRKGDDYPKPVFQKDWRKYVRVKGLRPGDKIIFRVERNGDNGAPIYTIAAQKKIELLGFPIWGPEF >KJB15771 pep chromosome:Graimondii2_0_v6:2:52881431:52883482:1 gene:B456_002G195300 transcript:KJB15771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATQEGVVCRAKAEWTPSRDAFLVELFIEQHNCGRTAYNEFKNDVIRSVTRDFNNKFGMNLEENQIKNRYNVMKKDYGVVKTLLGHNGFGWDETRQMVVADDKVWDNYIAVRSEARPFRRKSFPLYKQMSIIFEGERTTGKSMPNTAPIITEEGNSNTETVRSSEPTNVPTQVVEGTLDSDSIIRISDKQPKKRKSVAPRASAHKKKVCYDAGEPIENAIYEMFSAVKMRALQRDASNERTLYHKCLEELQHLEELDDAAFTKSVNVLKDDKNAIAFMTIKGPRRLIWLRSLWQAS >KJB13205 pep chromosome:Graimondii2_0_v6:2:7355573:7356011:1 gene:B456_002G062300 transcript:KJB13205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGKKATAYPAMCDKLSDQSHIHNRVVVDGNLITSRGPGTSMEFALGTVEKFFGRPKALELAKALLVVRQ >KJB11802 pep chromosome:Graimondii2_0_v6:2:10718587:10721746:1 gene:B456_002G0845001 transcript:KJB11802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDYFAQSNENSGSPLLYNPPSPQNSPGSESSTNAKLDHMNRASDLLMAVSIGADLNKNKIIEQAVEAMEELFKLAIMGEPLWQHNGNDGMETLNGFQYLREFGSFDATMEQIMRMVEVGEPQCFLSYDCNCNFDQDVPSSFSKLNFEPLNIETSRETAFVEMNPMNILQLLMDTKQWGTTFYSIVSRTTLLGVILENVDGSYNGVLQVMSAEFHQCTPLIPARQSYFARYCKKHTNGTWGVVDVSLENLFPYPQVQFRRRPSGCVIQEVGNRGSKVTWIEHVEVDNKSLHPLFRPIVSSGFAFSAKRWIATINRHCQWLTTSMARTAPTTDGVLIPQEGRGSLLKLAEKMTKNFFNNINSCSENVWSGLPQNFAAQDVRLRYGNILKVPGKPSGNIVIFTTSIQIPVPMEVLFDFLRHERTRNRWDLLSNQRHVRELVYVSNGENPGNQVSIMQVNLSPNKIEILYLQESYTDETGSYIVYAPMDIMAMSKILNGGNPKFVSILPSGFSIMPDKAPGQGDGAGGSILTLAFQSVDRLSNKEYMRPSTLKIIDAILSTTVASIKDAMLFGIR >KJB11804 pep chromosome:Graimondii2_0_v6:2:10718587:10721746:1 gene:B456_002G0845001 transcript:KJB11804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDYFAQSNENSGSPLLYNPPSPQNSPGSESSTNAKLDHMNRASDLLMAVSIGADLNKNKIIEQAVEAMEELFKLAIMGEPLWQHNGNDGMETLNGFQYLREFGSFDATMEQIMRMVEVGEPQCFLSYDCNCNFDQDVPSSFSKLNFEPLNIETSRETAFVEMNPMNILQLLMDTKQWGTTFYSIVSRTTLLGVILENVDGSYNGVLQVMSAEFHQCTPLIPARQSYFARYCKKHTNGTWGVVDVSLENLFPYPQVQFRRRPSGCVIQEVGNRGSKVTWIEHVEVDNKSLHPLFRPIVSSGFAFSAKRWIATINRHCQWLTTSMARTAPTTDGVLIPQEGRGSLLKLAEKMTKNFFNNINSCSENVWSGLPQNFAAQDVRLRYGNILKVPGKPSGNIVIFTTSIQIPVPMEVLFDFLRHERTRNRWDLLSNQRHVRELVYVSNGENPGNQVSIMQVNLSPNKIEILYLQESYTDETGSYIVYAPMDIMAMSKILNGGNPKFVSILPSGFSIMPDKAPGQGDGAGGSILTLAFQSVDRLSNKEYMRPSTLKIIDAILSTTVASIKDAMLFGIR >KJB11803 pep chromosome:Graimondii2_0_v6:2:10718587:10722062:1 gene:B456_002G0845001 transcript:KJB11803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDYFAQSNENSGSPLLYNPPSPQNSPGSESSTNAKLDHMNRASDLLMAVSIGADLNKNKIIEQAVEAMEELFKLAIMGEPLWQHNGNDGMETLNGFQYLREFGSFDATMEQIMRMVEVGEPQCFLSYDCNCNFDQDVPSSFSKLNFEPLNIETSRETAFVEMNPMNILQLLMDTKQWGTTFYSIVSRTTLLGVILENVDGSYNGVLQVMSAEFHQCTPLIPARQSYFARYCKKHTNGTWGVVDVSLENLFPYPQVQFRRRPSGCVIQEVGNRGSKVTWIEHVEVDNKSLHPLFRPIVSSGFAFSAKRWIATINRHCQWLTTSMARTAPTTDGVLIPQEGRGSLLKLAEKMTKNFFNNINSCSENVWSGLPQNFAAQDVRLRYGNILKVPGKPSGNIVIFTTSIQIPVPMEVLFDFLRHERTRNRWDLLSNQRHVRELVYVSNGENPGNQVSIMQVNLSPNKIEILYLQESYTDETGSYIVYAPMDIMAMSKILNGGNPKFVSILPSGFSIMPDKAPGQGDGAGGSILTLAFQSVDRLSNKEYMRPSTLKIIDAILSTTVASIKDAMLFGIR >KJB13845 pep chromosome:Graimondii2_0_v6:2:12258027:12262349:1 gene:B456_002G097200 transcript:KJB13845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSLTIQYTSGKVKINSNLHDSFYAVISQREFKVILLLKLKENINQISFNPLMICLVSLLFMFINNVFKDLIRSLV >KJB13482 pep chromosome:Graimondii2_0_v6:2:9222315:9222659:-1 gene:B456_002G077800 transcript:KJB13482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDIAVDFEDYFPSMMESLGAEGFIGELCNGFRLLMDCERGLITFESLKKNSIMLGLHDMRDDEIICMLSEGDLDGDGALNQVEFCILMLRLSPGLMDNKGSTTQWVEEYDVI >KJB15542 pep chromosome:Graimondii2_0_v6:2:48545340:48547369:1 gene:B456_002G183600 transcript:KJB15542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRRGISYPRAHVCLDDIVFERRDFAGDNMACRKRRRLSPGIAGKRDFFDVLPDDLVISILSKLSSTAACPSDFANVLIVCKRLNSLAVRPLVLSKASPKMFAIKAKSWSESAHRFLKNCADAGNVEACYTLGMILFYCLENRESGASLMAKAAISSHAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRQNVAKGRRFLVQANARELATVLSSAAASNNFTRSWLTWSPHPIPHPNPRHNHRHQAVPGCPLLSDFGCNVPAPEAHPSSKFLAEWFGARGGIPGPGLRLCSHVGCGRPETRKHEFRRCSVCGAVNYCSRACQALDWKLRHKAECAPVERWLDEEGDGGEGNGAGHGNDDVIAES >KJB14686 pep chromosome:Graimondii2_0_v6:2:23224559:23227582:1 gene:B456_002G137600 transcript:KJB14686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIRRTLSPAFHGRSTQNGAAGFSSSPSNKLLHKHFPSSASSTLLNLVYRRGWRRSFCRCLFFFLIGLLFGITPFGQMDTDIRPKDFASPDLRPPHVHLQSDDPIFSYVALGVNTQLEQHNEVTDSIEPLKQLIVVTPTYNRGFQVYFLNRLGQVLRLVKPPLVWIVVEEKTVSLETAQILRKTGVMYRHVVSTRNSSDVKDRGVHQRNAALEHIERHKLDGIVFFADDDNVYTLELFESLRTTSRFGTWPVAMLEQSKNKAIVEGPVCNASRVIGWHTNEKSKRLRRFHVDMSGFAFSSTILWDPKRWGRPFSNPIRQLDTVKEGFQETTFIEQVVEDESQMEGIPPGCSKVMNWHLHLDTGNVVYPKGWLLEKNLEVILPIK >KJB12759 pep chromosome:Graimondii2_0_v6:2:2737349:2749067:1 gene:B456_002G035300 transcript:KJB12759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTISCSVLLALISCFYLLFATALDIITPSKSIKDPDVIISQNGVFRLGFFSLANSTNRYVGILYNQIPVQTVVWVANRNRPLKDSSGILNISDDGNLVVSNGKAEVLWSSHVNNTAPNATTAQLLDSGNLVLSNGEDGASSLWESFEDPSNAFIETMKISTDVKTGRKVELKSWKSIDDPSDGNFSFGIEPFNIRELVIRNNNQLYFRSGPWNGNIFIGLLYMYTDNLDGFETVYIDGFYIVADNQQQTYYITYEFSDNSRLRYYELDSQGKFFERKWDAGKGDWINRYSASQTESSVYGQCGAFGICDLTKQPICSCLKGFKPRNIEEWSRGNWSSGCFRTTPLQCQRDKNNGSEAGQGDNDGFLKLKTMKVPVFPNRSSINNGECKDQCMKNCSCVAYAYDAGIGCMFWSGDLIDMQKFSTHGVDLYIRLPSSELDKGKSTKVIVITAVIVGIVTITIIMLFLWCWMAKRRGRKPKHKQIKLQLNKGNAMTKFSSENVVENPIGVKLQQLRLFNFDELATATSNFDHAKKLGQGGFGPVYRGTLGDEKEIAVKRLSKASGQGLEEFMNEVEVISRLQHRNLVKLLGCCVEAEEKMLVYEYMPNKSLDAFVFDPIKQNVLDWSKRFKIIEGISRGLLYLHRDSRLKIIHRDLKPSNVLLDQELNPKISDFGMARIFGGDENQANTKRVVGTYGYMSPEYAIQGRFSEKSDVFSFGVLLLEIVSGRKNTSFFNNPDYFSLLEYVWKLWNEGNIWSLVDKVVLEPKSNSKKEKEIKRCIHIGLLCVQEYANDRPTMSVVVSMLNNEIPNFKTPKQPAFTQTPLITHNVQNRASLNDVTLTDFDGR >KJB14446 pep chromosome:Graimondii2_0_v6:2:18658283:18661366:-1 gene:B456_002G125300 transcript:KJB14446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G54350) UniProtKB/TrEMBL;Acc:F4JCV6] MGALAPVLSTWIPEDDLLLKNAIEAGASLESLAKGAVQFSRKFTVRELQDRWHSLLYDPVVSEEASSRIIEFERSASSLSLKFGRTGNSKDNKSLGGKRKSESVRSCYYALRKRICNEPFNTMDLSFLIAPNDGNYVGLEDEPLPGNCMLGNPISDQFGVQETNMNIMSCSFPQMLADDGAGVGDECTTDGFQTTNHNPDDSSFPVEPVNLHKEIPHMLRENQFLLESGSGIEELHGSKELPVSSLFSANDLMVKPSSTFDQINNDPENICSEFEGNQVFNSPVMDCGLSIWGTDEGLSASAIPTDGHEEKDMQGDVYALPGDIDTKSDHAAEHDVTTDSKLEPDMPCAEMGHQTADTEGYLVEITNTLMNDEPFFMDVDAKDVIGKSYFDGLSSFLASSPNNCDQDQMPDVTEAMASEAQDNLANASSCLGELDEVAGSSAADGPVSCDSEALMLSSVLTSNCQFPELTNGIICCTLNTEDPEIPCNEDVFSKQLCPSVVSSTQHVFKEAGNPLSASFKDFSGGQKTDGGPFLVQRDQRDPGQSIGVSQTKESQMVPEMGQLHPVNNCRVKCKDSSCVAPKTDGFLANVSPQTNSKNITEGTLQPTLLKERSESIISGKHLGHTSADSLREKPGLCSDNHNSLYSFAIKQEVDALEKIKDHQATSAEVGPMDIISPEPVIDHPPPDLEELMIESDDDVPYFSDIEAMILDMDLDPDDQDLWDQEGSMLTE >KJB14448 pep chromosome:Graimondii2_0_v6:2:18656886:18661581:-1 gene:B456_002G125300 transcript:KJB14448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G54350) UniProtKB/TrEMBL;Acc:F4JCV6] MGALAPVLSTWIPEDDLLLKNAIEAGASLESLAKGAVQFSRKFTVRELQDRWHSLLYDPVVSEEASSRIIEFERSASSLSLKFGRTGNSKDNKSLGGKRKSESVRSCYYALRKRICNEPFNTMDLSFLIAPNDGNYVGLEDEPLPGNCMLGNPISDQFGVQETNMNIMSCSFPQMLADDGAGVGDECTTDGFQTTNHNPDDSSFPVEPVNLHKEIPHMLRENQFLLESGSGIEELHGSKELPVSSLFSANDLMVKPSSTFDQINNDPENICSEFEGNQVFNSPVMDCGLSIWGTDEGLSASAIPTDGHEEKDMQGDVYALPGDIDTKSDHAAEHDVTTDSKLEPDMPCAEMGHQTADTEGYLVEITNTLMNDEPFFMDVDAKDVIGKSYFDGLSSFLASSPNNCDQDQMPDVTEAMASEAQDNLANASSCLGELDEVAGSSAADGPVSCDSEALMLSSVLTSNCQFPELTNGIICCTLNTEDPEIPCNEDVFSKQLCPSVVSSTQHVFKEAGNPLSASFKDFSGGQKTDGGPFLVQRDQRDPGQSIGVSQTKESQMVPEMGQLHPVNNCRVKCKDSSCVAPKTDGFLANVSPQTNSKNITEGTLQPTLLKERSESIISGKHLGHTSADSLREKPGLCSDNHNSLYSFAIKQEVDALEKIKDHQATSAEVGPMDIISPEPVIDHPPPDLEELMIESDDDVPYFSDIEAMILDMDLDPDDQDLWDQEVARYQHEDYKKAIIRLEQVSHSYMQRAIASHGAFAILYGRHSKHYIKKPEILLGRTTEDFVVDIDLGREGCANKVSRRQAIFNLEDDGSFHLKNLGKCSVSINNKEIGPGQSLSLDSGCLIEVLF >KJB14447 pep chromosome:Graimondii2_0_v6:2:18656886:18661581:-1 gene:B456_002G125300 transcript:KJB14447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G54350) UniProtKB/TrEMBL;Acc:F4JCV6] MGALAPVLSTWIPEDDLLLKNAIEAGASLESLAKGAVQFSRKFTVRELQDRWHSLLYDPVVSEEASSRIIEFERSASSLSLKFGRTGNSKDNKSLGGKRKSESVRSCYYALRKRICNEPFNTMDLSFLIAPNDGNYVGLEDEPLPGNCMLGNPISDQFGVQETNMNIMSCSFPQMLADDGAGVGDECTTDGFQTTNHNPDDSSFPVEPVNLHKEIPHMLRENQFLLESGSGIEELHGSKELPVSSLFSANDLMVKPSSTFDQINNDPENICSEFEGNQVFNSPVMDCGLSIWGTDEGLSASAIPTDGHEEKDMQGDVYALPGDIDTKSDHAAEHDVTTDSKLEPDMPCAEMGHQTADTEGYLVEITNTLMNDEPFFMDVDAKDVIGKSYFDGLSSFLASSPNNCDQDQMPDVTEAMASEAQDNLANASSCLGELDEVAGSSAADGPVSCDSEALMLSSVLTSNCQFPELTNGIICCTLNTEDPEIPCNEDVFSKQLCPSVVSSTQHVFKEAGNPLSASFKDFSGGQKTDGGPFLVQRDQRDPGQSIGVSQTKESQMVPEMGQLHPVNNCRVKCKDSSCVAPKTDGFLANVSPQTNSKNITEGTLQPTLLKERSESIISGKHLGHTSADSLREKPGLCSDNHNSLYSFAIKQEVDALEKIKDHQATSAEVGPMDIISPEPVIDHPPPDLEELMIESDDDVPYFSDIEAMILDMDLDPDDQDLWDQEVARYQHEDYKKAIIRLEQVSHSYMQRAIASHGAFAILYGRHSKHYIKKPEVFYN >KJB14445 pep chromosome:Graimondii2_0_v6:2:18656847:18661724:-1 gene:B456_002G125300 transcript:KJB14445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G54350) UniProtKB/TrEMBL;Acc:F4JCV6] MGALAPVLSTWIPEDDLLLKNAIEAGASLESLAKGAVQFSRKFTVRELQDRWHSLLYDPVVSEEASSRIIEFERSASSLSLKFGRTGNSKDNKSLGGKRKSESVRSCYYALRKRICNEPFNTMDLSFLIAPNDGNYVGLEDEPLPGNCMLGNPISDQFGVQETNMNIMSCSFPQMLADDGAGVGDECTTDGFQTTNHNPDDSSFPVEPVNLHKEIPHMLRENQFLLESGSGIEELHGSKELPVSSLFSANDLMVKPSSTFDQINNDPENICSEFEGNQVFNSPVMDCGLSIWGTDEGLSASAIPTDGHEEKDMQGDVYALPGDIDTKSDHAAEHDVTTDSKLEPDMPCAEMGHQTADTEGYLVEITNTLMNDEPFFMDVDAKDVIGKSYFDGLSSFLASSPNNCDQDQMPDVTEAMASEAQDNLANASSCLGELDEVAGSSAADGPVSCDSEALMLSSVLTSNCQFPELTNGIICCTLNTEDPEIPCNEDVFSKQLCPSVVSSTQHVFKEAGNPLSASFKDFSGGQKTDGGPFLVQRDQRDPGQSIGVSQTKESQMVPEMGQLHPVNNCRVKCKDSSCVAPKTDGFLANVSPQTNSKNITEGTLQPTLLKERSESIISGKHLGHTSADSLREKPGLCSDNHNSLYSFAIKQEVDALEKIKDHQATSAEVGPMDIISPEPVIDHPPPDLEELMIESDDDVPYFSDIEAMILDMDLDPDDQDLWDQEVARYQHEDYKKAIIRLEQVSHSYMQRAIASHGAFAILYGRHSKHYIKKPEILLGRTTEDFVVDIDLGREGCANKVSRRQAIFNLEDDGSFHLKNLGKCSVSINNKEIGPGQSLSLDSGCLIEIRGMPFIFETNQTCVKQYLNSKLRKSGGPC >KJB14964 pep chromosome:Graimondii2_0_v6:2:30213986:30219383:-1 gene:B456_002G1516002 transcript:KJB14964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKKKENDEEDVKPPMVAEPKAEQSISNGAVKMEIDEETKEDEILTEANREEDQGEEEDMVVREIDVFFTPSIDADAQLYVLQYPLRPCWRPYELDERCKEVRVKPDSGEVEIDMSVDVDSNNYDSESANKLKMTKQTLSSSWLPPRPSGYAVGVLMGDKLHLNPIRAVVQLRPSLAHLKSGVSKRKDTVAAEAEVTVKVEPNDGKAAGPSAKQNQKVQSSTEQKAEDKECWVPLKYHSSKSDFSAQYLQKMMAEQSSPIEFTLNPYDYVDSLCPLPSNNNKAQRLSRRLLCSIPLEDRLKKLLTEGRPFHHFNTLKRHYAPDDPMEEVFEVLQKHALLVQGLWVPKSSLLFPGDPSKSLARDYVLLLFSKNPFISYDKVNHLSTSRKEEVKGFLKILAIESPPLKGWKYIVKKQEQIWKAGEDNVTNHIWRGAKGGPGRTKPGTVIKSEKAGNSDKVARKVAPGAQAGRTMSDETREAIPKALKKVFQTYKVCSLQLIRKGLRDLALSQSTLPKADARLVVKAAYGADAPERELQDVVSQVAVELHGGLFVMKSSQENPECDPLREVVINLLRVKDKLKKAEVTAAAQVSLKKDITNNEYNKVMSDFCEYKGNWWVLKSGDGKPS >KJB15722 pep chromosome:Graimondii2_0_v6:2:52183079:52186920:-1 gene:B456_002G192900 transcript:KJB15722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVSTYCPHFSGLRRSSPNLHNAPSQSFLQHFGSQLRLPSSPKPCRAVIAMSGSGKFFVGGNWKCNGTKDSITKLVSDLNSAKLESDVDVVVAPPFVYLDQVTSSLTDRIEVSAQNSWIGKGGAFTGEISVEQLKDIGCKWVILGHSERRHVIGEDDQFIGKKAAFALNEGLGVIACIGELLEEREAGKTFDVCFQQLKAFADVVPSWDNIVIAYEPVWAIGTGKVATPQQAQEVHVAVRDWLKKNVSEEVASKTRIIYGGSVNGSNCGELAKEEDIDGFLVGGASLKGPEFATIVNSVTTKKVAA >KJB15724 pep chromosome:Graimondii2_0_v6:2:52183094:52186847:-1 gene:B456_002G192900 transcript:KJB15724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVSTYCPHFSGLRRSSPNLHNAPSQSFLQHFGSQLRLPSSPKPCRAVIAMSGSGKFFVGGNWKCNGTKDSITKLVSDLNSAKLESDVDVVVAPPFVYLDQVTSSLTDRIEVSAQNSWIGKGGAFTGEISVEQLKDIGCKWVILGHSERRHVIGEDDQFIGKKAAFALNEGLGVIACIGELLEEREAGKTFDVCFQQLKAFAGYLAKTEDGSAVT >KJB15723 pep chromosome:Graimondii2_0_v6:2:52183094:52186787:-1 gene:B456_002G192900 transcript:KJB15723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVSTYCPHFSGLRRSSPNLHNAPSQSFLQHFGSQLRLPSSPKPCRAVIAMSGSGKFFVGGNWKCNGTKDSITKLVSDLNSAKLESDVGILQPLFFNPYVVVAPPFVYLDQVTSSLTDRIEVSAQNSWIGKGGAFTGEISVEQLKDIGCKWVILGHSERRHVIGEDDQFIGKKAAFALNEGLGVIACIGELLEEREAGKTFDVCFQQLKAFADVVPSWDNIVIAYEPVWAIGTGKVATPQQAQEVHVAVRDWLKKNVSEEVASKTRIIYGGSVNGSNCGELAKEEDIDGFLVGGASLKGPEFATIVNSVTTKKVAA >KJB12883 pep chromosome:Graimondii2_0_v6:2:3522060:3523599:-1 gene:B456_002G042600 transcript:KJB12883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMIEEFLQSQNNFVPIRYSYREIKKMTKNFNDKLGEGDYGSVFKGKLRSGHHVAIKLLGKSKGNGQDFINEVASIGRIHHANVAKLIGFCVEGSKQALVYDFMPNGSLDKIIFTEENKNTLSWKKMFDIVLGVAQGIHYLHQGCDMQILHFDIKPHNILLDEHFNPKVSDFGLAKLYSVDDSIVSLTAARGTIGYIAPELVYKNLGGISYKADVYSFGMLLMEMVGRRKNVNAFADHTSQIYFPSWIYDRLDQGEDIELGDVSDDEKVMVKKMIITAFWCIQLLPSDRPSMNKVLKMLESNVELLEMPPKPFHQLPLDENSNDEPSTPLDSVTIASSNIA >KJB12769 pep chromosome:Graimondii2_0_v6:2:2805920:2807117:1 gene:B456_002G036000 transcript:KJB12769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKINLLLRKCKSLSRQLGRSSSYSSLRSKSAREDLRHYIWDHIRRRTRRNEDGDNDDNDDGHQCYETIYVGSTRKRYVVSSKYLKHPLLDALIERSKQKHGGEDHILVKCEVVLFDHLLWMIENSDPNLILDSLEELTDLYVF >KJB15453 pep chromosome:Graimondii2_0_v6:2:46772991:46773920:1 gene:B456_002G178900 transcript:KJB15453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSIYLTHFLILSSHQKLDFLTFSHQPNTKKKLFASLYKASTIFSFSSFNCFYHLKAFNLLFFFIQFFPYTMIRKTHKF >KJB15669 pep chromosome:Graimondii2_0_v6:2:51168507:51170306:-1 gene:B456_002G189900 transcript:KJB15669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLSLFLLVFSFLAPSIFSASPVQDPEQVVRQVNESIRNATAARRKLGFLSCGTGNPIDDCWRCDPNWAKNRKRLADCGIGFGKRAIGGRNGKFYVVTDPSDRDPVNPKPGTLRHAVIQDKPLWIIFARDMVIKLKAELLMNSFKTIDGRGVSVHIAGGPCITIQYATNIIIHGINIHDCKRGGNLYVRDSPTHYGWRTTSDGDGVSIFGSSHVWVDHCSLSNCNDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWIMYAIGGSANPTINSQGNRFLAPDKMNNKEVTKRENTPRKKWKKWNWRSRGDLMLNGAFFTPSGTGALSSYAKASSLSARPSSLVSSVTAGAGALVCRKGRRC >KJB15832 pep chromosome:Graimondii2_0_v6:2:53771190:53772541:1 gene:B456_002G198700 transcript:KJB15832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSRKIMLRSSDGETFEVEEAVAVESQTIKHMIEDDCADNEIPLPNVTSKILSKVLEYCKKHVDAVADKEKTPDDELKAWDADFVKVDQNTLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >KJB15855 pep chromosome:Graimondii2_0_v6:2:53962778:53966452:1 gene:B456_002G199800 transcript:KJB15855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASKLEEEEEVVSICRERKRLIKLAVDRRYALAEAHFRYCQALYAVSAAIKLFVARHSSPPSPFLITFPPPCPPTPPATDQNVITNPMLLQQRPSESTHEAIACESCGSSTSSDISDEETEPEVVREEQQQQQKQQHQHQHQHQHQPPPPCGYFYMQMPPPMPSPQRDFGWDFFNPFDVVRPEIISGYNRCSDDDLRAVREQEGIPELEEEGDTKEEEKKVVLVEEKDTKREQEESESGLLKVKEETHVSQGEQKGLTVIDSPEKGRELLEALKDIEDYFIRAYDSGKDVSRMLEANMVHLQSGLEGIKENSTKLIQAITWHRSTLSKPQSCKSLVASSSKSSSAWTEYKNDLFDQYGGMDSGSHSLTLERLYAWEKKLYEEVKAGDSTRKIYERKCSRLRNQDVKGYDELTMDKTRAAVKDLYARILIAIRSAESISKRIQNLRDEELLPQIIELLKGLTRTWKVMLESHETQNKILSEVKTFACPLYGKFCNDSHRLATLQLEAELQNWRACFTEYVAAQRAYIQALHGWLTKFLVPEVEFYSRGRSSGAPYGANGPPLLVICYNWLTSMDELPDKAVTFSLKSFSKDVKALWVQQGEEQQQKRKVDGMAKELDRRTMAFQKAETRFLESKLTEYKSEMETEQQNEYLTEKKDQLEMMRKRLDVEREKHHNHMQETQRITLNGLQTGFSTVFESLIEFSKASMKIYNDIVTLSEKMGNVSYIEGSSQVEENSSR >KJB14790 pep chromosome:Graimondii2_0_v6:2:25826235:25827982:-1 gene:B456_002G142900 transcript:KJB14790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGDKPQCYRHERHSKKTAVKQWSHRFHILDVSSNGQCIVQRGTNFANNSERITLNSHLLQTEFQSQMNGVRACQSLCYKSCRYVRGGHRL >KJB12955 pep chromosome:Graimondii2_0_v6:2:3995440:3999439:1 gene:B456_002G047200 transcript:KJB12955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQCNVCEAAEAKVLCCADEAALCWACDEKIHAANKLASKHQRVPLSSSSSQMPKCDICQEASGFFFCLQDRALLCRKCDVAIHTANPYVSSHQRFLLTGVKVGLETTDPGASSSDVKSPSSEKTSETKTNSTSRRAAPMAFTGGYNEVLPSNLGDVNNELTKVTYAGGSTAGSIQSWQMDDIFGLTDFNQSYGYMDDGSSKADSGKRGDSDSSSILRSADDEVDDDERLGQVPDSSWAVPQLPSPPTASGLYWPNDSRDQCDSVVFVPDICCSVMKNPFNSWRSGSNRKRRRHI >KJB15500 pep chromosome:Graimondii2_0_v6:2:47619046:47619794:1 gene:B456_002G181400 transcript:KJB15500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSYKHVRDNHEKSALHVYVPGDFIKSDEQFQLRPRGGRKRTCPTVEMLIRKRVAPLQMDTMMDPGPIQGCS >KJB11911 pep chromosome:Graimondii2_0_v6:2:2188879:2189816:1 gene:B456_002G029500 transcript:KJB11911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQFSRSISAVVLLMLVLLATEMGPVTADGDKICESRSNAFKGLCLRDNNCDIVCKTEGFPNGGCKGFIRKCVCTKPC >KJB15884 pep chromosome:Graimondii2_0_v6:2:54270139:54270980:-1 gene:B456_002G201500 transcript:KJB15884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKEQKRLYYPKITALDLNIEEKPVFQNKYNANTIYEWNIDGMSKYNMLSLLQQMKMVSNVYKIQNQNRLISDHAIANLLVAGFTGRNFKINKKDDQDRIILDEQGREIQDAVATLIFSISKHFIGDPSHLKDRNSKLLSNLKCKKLTDFKWYKDVFMTRVMQRFDNQQPFWKEKFLAGLPTLLGEKVRNQIRENYKGIIPYEKLTYGELISFTQKEGLKICQDIKLQKQLKKERYQCRKELGSFCHQFDIRNEPSASNHVTL >KJB16603 pep chromosome:Graimondii2_0_v6:2:60251440:60254367:1 gene:B456_002G239100 transcript:KJB16603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIRCCQRNFSSALPPLVPIQLPSPRETHFWYILPDEVKSVALLNRYSELLSPCERENVNRMSGDQLKKRALLARALVRTTIARYQTNCEINPRSLKFRKNIYGKPEVEWHRDDNFSPPPLHFNISHTSSMIACGVTINAPIGIDVEEKQRKLKNDIIAFAQRYFSPYEVKLLTSISDPEVRRQEFIKLWTLKEAYVKALGKGFSAMPFKTFTIQFRTSTLRCFHLPGNSVSEASEVIVESSNDPGNLTNNCLFALLEVAGSHYAAICVENDEIVRGEANTPMKLTVRKTIPFVEDVCVSGTDAVLPLRGIIEQ >KJB16445 pep chromosome:Graimondii2_0_v6:2:58966620:58968765:1 gene:B456_002G230400 transcript:KJB16445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPEFPDLGRHCSVQHCKQIDFLPFTCDRCDLSYCLEHRSYIKHQCSKAGNNDVTVVICPLCAKGVRLIPNEDPNISWENHVNTECDPSNYDKVTKKKKCPVRRCKEVLTFSNTIKCKDCSVDHCLKHRFGLDHDCPGPKKPATTSSSFWATSLLNVASSFRENRQVARDGAGLRRSSSGSAGQMEECPQCRVKFSSVTALVEHVKKVHERNSQSRVLKMSIDVCPKCGRGFRDPVSLVEHVEKDHGGTSKA >KJB12920 pep chromosome:Graimondii2_0_v6:2:3752298:3756203:1 gene:B456_002G0450002 transcript:KJB12920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIGKTTIVKHIYYQLLKETKPLFDKIIWVTVSKELSIPKLQQNLANAMNIVGLPESEPERVAVLMDKLGQRRYVLILDDVWKEFSLADVGIPKPTSSNGSKLVLTSRLIEVCRSMDCKVVKVPPLSNEESMNLFLKHAGRGVLKVPSLKEILDNVVKECDGLPLAIAVIAGSMKGIYNVEEWRNALRELRDHVRSVKDTDVEIYERLKFSFDRLGDLKIQSCFLYCSLYPEDYIIPRKELIECWIDEEFLGNGSRQELHDKGHTILNRLENNCLLEKVGRDIVKMHDVMRDTALYIKGSGSRFKVQSGIGLKELPSKQEWGEDLEKVSFMVNNVSEIPPHLSPNCEVLSTLLLQKNESLQRISESFFQHMHRLSILDLSYTNVKQLPTSVSNLEKLKALVLRRCYNLRYVPSLEKLEALRKLDLNRTAIEKVPKGLEMLSNLTYLNLCTESLKELPVAILPRLSCLQCLMLYVESSRIRMNGFDAARLTKLERFEGRFTELIDFNAYTKSIQCQQLTSYLLVMAPLEFKFNVKQRIKGINCVYRFKLLNVDSDKGRQKAMMLASGLQGAESVCLISKDRTILEVSGDSEMGPTKIAANWKKRGIEVQLMDERWDPTSLPMKYVILSGCPIGREDPAELPSDVRTLKIFGCHNIRSLSDMPFFQQTNELGFCSIHDCRGIESVLDLSSPSQSCTPFENLDLLWLENLENLHVLVKLAEASVVSTL >KJB14045 pep chromosome:Graimondii2_0_v6:2:14821900:14824373:-1 gene:B456_002G108600 transcript:KJB14045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDITIKKKLRSATFNVKKSLQRSMMDITIKEKGGLPGDVDNSYKEPDAKIQATAENQKKRRRLTTWIGSEASMETNRDTNPKLESKEKDVTDDDGDLCYSTSSSVSPCIFKTPFPGLQFQEPQLASIGPYHYTNRNLPLDKYKYSFLDRFISRTGKDLRFYVQQMMSLEWHSRTCYSHKFSMSSPQFVEMMLLDGCFIMEVLHHFGVSEQQPWVFPIEPWQLPILVQDLLILDNQIPFFVLEMLFESLESQEGTPTPSLCTKALKFFDLAFPLSMDIACPLKPHHLLDLLLNSIRSSSPSQMVSPNFVYSFLKKISTRNQADTMRDQLEQQPPFYLTKNAMELQASGIELRSTTAARFTNINFNNGGLEIPSVTINDIFIAILNNCVAFEHCSETSSKDFTAYVSFMSKLIRHPSDAELLCSNGIISRFSHNDQKVVQSFHMLWMNILDLDVQHSYLSKRLKELEWYYTQDGKTRPWRRLVSRYWKICFFCITNLLLGFNRLRSGVNLMIHFVEQVKFNGINGEFQGDITCPQDHTSFICDSVFRMAGFIYNSARGRVKSIDLSLRNSKFMAFFFLNLVIAIIILIGHEIPPPPPPSPRQLDEKVDYSRELEEEADEFLRKFKKITLEAKNQYIKVEDTVAADKEGIEVEDSTKRKEKSGGDTSRVPWSIVDKNEMIVDCVSNEEYYNEAWSKILEHKVIGKLLSALSPVPWD >KJB15781 pep chromosome:Graimondii2_0_v6:2:53128305:53128655:-1 gene:B456_002G196000 transcript:KJB15781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRFHKQHRGRMKGISYRGNRICFGRYALQALEPAWITSRQIEAGCRAMTRNVRRGGKIWVHIFPDKPVTVRPTETRMGSGKGSLEILYEMSGVAENIARKAISIAA >KJB12871 pep chromosome:Graimondii2_0_v6:2:3452762:3456092:-1 gene:B456_002G041800 transcript:KJB12871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHFALFVILFPGVCLARQLINQDCGSTFCGNLNISFPFRLKNQPPQCGLYDFELVCENNNRTTFVAREGKFFVQLIFYENYTIQVVDTSLDTDDCNSLPLSSLYYIGICTIMYVVNCTEPINSSLYIGASRCTTKSNTSSSLPTSHFYFLDAKTRPSDFNQADFNQACTIEAKAPIKVKNIIGMSTLDIYKKLLEGTYILCLGITGGIIFLRSFPGIICLFALVTYKWRRRHLSMDDTIEEFLQSQNNLVPIRYYFNEIKKMSTNFKDKLGEGGYGSVFKGKLRSGHHVAIKLLGKSKGNGQDFINEVASIGRIHHANVAKLIGFCVEGSKQALVYDFMSNGSLDKIIFTEENKNTLGRKKMFDIVLGVAQGIHYYQGCDMQILHFDIKPHNILLDENFNPKVSDFGLAKLYSVDDSIVSLTAARGTIGYIAPELVYKNLGGISYKADVYSFGMLLMEMVGRRKNVNAFADHTSQIYFPSWIYDRLDQGEDIELGDVSDDEKVMVKKMIITAFWCIQLLPSDRPSMSKVLKMLESDVELLEMPPKPFHQLPLETSCENSNDESSKSLDIGTITS >KJB12869 pep chromosome:Graimondii2_0_v6:2:3441011:3442144:1 gene:B456_002G041600 transcript:KJB12869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKLHILLKFRFLVSASNRHFTQSDSVLRITATYWTICPNQVESPNEEAIEHPRGSIDIFKQWGCFENDLLKIFSRQPSLRNAQATPLLSKLNLLSSLGLTGSDIVKMVNCRPRFFCSRINNCFDEGIEFLVNLLGSREMLHKALVRNPSLLTYDFYNTMKPVIALYEEIDISGNDLIAMLISEKMEYIKKTGVSKGSKMYKYIVSLIGISRIETIREKVTNLEKFGCSEEEIWSLLGSSPLILTLSVDKVQRNMTFVLVVLEHPFLLFSNLEAVLKPRISLARKLKKMELDPQIKGPTMLTALRMTENRFLNVFIKCHPQDVANELLVFYKHAKGLKPLAESSKKILRKGFPF >KJB14340 pep chromosome:Graimondii2_0_v6:2:17459044:17460261:-1 gene:B456_002G121800 transcript:KJB14340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ENLCPGKKMIRFTKITSGRSEMRRGSNLSDKTNVNEEYKEAFRTKSYVEMWSQVHGQFNQITSFDTLPSTSSIPGHQINLSEYLLKPRQETLDKIESLNVHHLLLDYFDAGLEACNLCELLLRSIHQTRVYYQKIRKVIKISKRVQDFSDEQCSVILKELKGFALLKNPLSIITPPQFRKIHENNLDLFRKLTSKREKIKRKAKSKKISKQIGSLCLVISNTTLVIALLILAFHSMIGIVAAPGVAAWFIGIRKKKKKTGSSSIQQGHNTSLLERLGEQLDISAKGIYILINDFDTISRLVWRLHDEIEHLKAIANMCIRNGKIEVLKEVVREFRMHNSSFLEQLKELEEHTKLCFHTINRSRRHVIEEIVDSLP >KJB17061 pep chromosome:Graimondii2_0_v6:2:62373229:62375341:1 gene:B456_002G263100 transcript:KJB17061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNCLQSWPEPVVRVQSLSESGIRAIPDRYIKPPSDRPGFENQEHVDIPVVDLKNLFSHDRTLHEETLKLISSACKEWGFFQAVNHGISHELMKRTREVWREFFNSPLEVKQEYANAPTTYEGYGSRLGVQKGAILDWSDYFFLHYLPVHLRNPSKWPSLPSSCRDLISEYGAEVVKLCGRLLKAMSISLGLKEDYLQNAFGGEDIGACLRVNFYPKCPQPDLTLGLSSHSDPGGMTLLLPDHDIAGLQVRKNGKWITVKPVPNAFIVNVGDQIQVMSNAIYKSVEHRVIVNSVKDRVSLAFFCNPQSDLLIEPAKELVSEDRPALYSPMTFDEYRLYIRTYGPRGKTQVEFSGSNR >KJB15831 pep chromosome:Graimondii2_0_v6:2:53747121:53750411:1 gene:B456_002G198600 transcript:KJB15831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPVSIEVWNPNGKYRVISTKPMPGTRWINLLIEQDCRVEICTEKKTILSVEDIISLIGNKCDGVIGQLTEDWGETLFAALSRAGGKAFSNMAVGYNNVDVNAANKYGVAVGNTPGVLTETTAELAASLSLAAARRIVEADEFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYYDLYQSTRLEKFVTAYGEFLKSNGEQPVYWKRASSMDEVLREADVISLHPVLDKTTYHLINKESLATMKKEAILVNCSRGPVIDEVALVEHLKQNPMFRVGLDVFEDEPFMKPGLAEMKNAVIVPHIASASKWTREGMATLAALNVLGKIKGYPIWGDSNRVEPFLNESVPPPAACPSIVNAKALGLPVSKL >KJB14848 pep chromosome:Graimondii2_0_v6:2:26869302:26873031:1 gene:B456_002G146200 transcript:KJB14848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTQQPSSKKQTLFIASLIILWYSSNIGVLLLNKYLLSNYGFKFPIFLTMCHMSACAFLSYISIVFIKLVPLQPIKSRPQFLKIATLSVVFCCSVVGGNISLRYLPVSFNQAVGATTPFFTALFAYLMTFKREAWVTYAALVPVVTGVVIASGGEPGFHWFGFIMCISATAARAFKSVLQGILLSSEGETLNSMNLLLYMSPIAVLVLVPTALVMEPNVLEVIISLGRQHRYMWLLLFINSTLAYSANLLNFLVTKHTSALTLQVLGNAKGAVAVVISILLFRNPVTAVGIGGFTMTVLGVVAYGEAKRRFR >KJB16598 pep chromosome:Graimondii2_0_v6:2:60227913:60234345:-1 gene:B456_002G238800 transcript:KJB16598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRHEREKGVNVQVLLRCRPFSEDELRNNAPQVVTCNEFQREVAVSQNIAGKHIDRVFTFDKVFGPTAQQKDLYEQAVVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRGKAGHNGELPADAGVIPRAVQQIFDTLEGQNAEYSVKVTFLELYNEEITDLLAPEEISKVVLEEKQKKTLPLMEDGKGGVLVRGLEEEIVTTSSEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVISALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKHIKNKPEVNQKMMKSTLIKDLYGEIERLKGEVYAAREKNGVYVPKERYYQEESERKAMADQIEKMGVLLENHQKQLEELQDKYVAQVRQCSDLSGKLEKTEKNLNETSKLLVNSEEELKKCRYVLKEKEFIISQQKQAENALAHQACALRSDLEKAVKDNALLFLKIGREDKLNADNRVVVNNYQVELAQQIGSICNLVSSLMSQQNEHLESVEKLCRSFTDTHQKAILDMKKKVTAARTLHVSQMEAVQNIVRLHKGSSNAALDEISTLAASNAHSIEEFLKSEAGKAASIFNDLQGTLATHQGEMALFARELRQRFHASIEQTKNISDYTNGILDKLSEESVKVQNHAVQADEVQMKSIASFQKVYEEQSKSDSEKLIADMTNLVYNHVCRQKELVDARLLDIRESAMANKTFLDGHVSSMVGITTDAKRKWREFVMQAENDAKDSSDYSAAKHCRMEALLQQCVSTAESAFKHSKDAQESVNEMAYKHVSDMASLIRNASDANEQHDAEINCARISAEQDSLKSTDDTLKYIDSMSEQEHGIKSRILDSVKAHGKTLKIFHDDHSSQATSIKQRAEETFQQTYMNYEPSGTTPLRSEQDVPTKGTIESLRAMPMEALVEEFRENNSYESFEPKLLKSSVIPTRSPLTEIN >KJB15015 pep chromosome:Graimondii2_0_v6:2:31921360:31924309:-1 gene:B456_002G155000 transcript:KJB15015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFGWFPQMRNRDSVLNALNGRSDHGRIEIKKILPKISFTLSLQMRSKLLLLSQHYTFRFGDKVQQMKIY >KJB16073 pep chromosome:Graimondii2_0_v6:2:55870280:55871652:1 gene:B456_002G211500 transcript:KJB16073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSIRELPEFMSNPQGIMEDPNLFHQWHMNSLDELSFLPVTAAVDNYPDYSGAIGRPPKQLKTNCWGGSCNASNLEASVFSPDALSFAASNHVINPMGILKPKEEEAASSKSMEPFPSEILFSQNPFGTQNYVLKGCHGSKRLGISQTQDHIMAERKRREKLSQRFIALSAIVPGLKKMDKASVLGDAIKYLKQLQEKVKTLEEQTRKKSMESVVFVKKSHLSAADNIDDFHQPLPEIEARFCDKSVLVRIHSEKRKGLPEKIMSELEKSHLTVINSNTVTFGSSALDITIVAQMDIEFCMTVKDLVKKLRSAFESLM >KJB13655 pep chromosome:Graimondii2_0_v6:2:11026816:11028523:1 gene:B456_002G087200 transcript:KJB13655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQTQQPHFVLFPSMAQGHLIPMVDIGRLLAQRNVIVTIVTTPHNASRVQKTIDRAVESGRAIRLVQLRFPGKEAGLPDGVENIDMISSMEDLFKFFTAANSMDEAVQELFEKLTPRPICIISDMFLHYTLKIATKFQVPRISFHGICCFCYLCVHNLKSSKILDNVTSDYECFKVPGLAEKVEFTKPQLPLNLDESWKDVFDTTTKADEASYGVVINSFEELESPYVKEYRKITKAWCIGPVSLSHKNELDKAERGKKASINEQQYLKWLDSQEPNSVIYACLGSMSTMKSPELIELGLGLEASNKAFIWILRGNNDASNQVMKWIEEDGFEERIKGRGFVVVGWAPQVLILSHPAIGGFLTHCGWNSTIEGISAGVPLLTLPLFADQFTNERLVVQILKIGVSVGANEPTAWGDEKSGFMLKKEHVKNAIDQLMNEGNEGIERRKRAKVFGEKANKAVEVGGSSYLNMTLLIQDIIQQSSKMGVDMIPTSHRHEN >KJB12787 pep chromosome:Graimondii2_0_v6:2:2857700:2861458:-1 gene:B456_002G036600 transcript:KJB12787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRAIMDRKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMSEDSLKSYKGERHGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSDEKDLMGKALMKRVMQTWLPASDALLEMMVFHLPSPSKAQKYRVENLYEGPLDDIYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIKSDPVVSFRETVLERSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILAEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRAICFEVCDVVLHADAIHRGGGQIIPTARRVFYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEPGSQAAAHVAEIRKRKGLKEQMTPLSEFEDKL >KJB12419 pep chromosome:Graimondii2_0_v6:2:1109778:1111387:-1 gene:B456_002G016900 transcript:KJB12419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIESNLPNTPHFNPLNPREFRKQAHEMVDFIADYYQNIESYPVLSQVQPGYLRASLPQKAPSLPESLETILEDVKNQIIPGMTHWLSPNFFAFFPSTVSTAGFLGEMLCTCFNSVGFNWIASPAATELEMVVIDWLADMLKLPKSFMFQGTGGGVIQNTTSEAILVTLIAARDKALDVYGSGNLNKLVVYASDQTHSTFAKACKMVGISPRNIRLIPTTIDAGFSLSPVQLKAAVEADMADGLVPLYLCVTLGTTSTTAVDPIELLAGVAKEHGMWVHVDAAYAGSACICPEFRHHLNGVERVDSLSLSPHKWLLSGLDCCCLWVKNPTALVKALSTNPEYLRNKQSESDSVVDFKDWQVGTGRRFKSLRLWLIFRTYGVVNLQGHIRSDVGMAKIFEEFVRSDPRFEIVVPREFGLVCFRLNPDETFGSDYTELLNRKLLDWVNSTGRVYMTHTKVGGIYVLRFAVGATLTADNHVVAAWKLIKEGADALLKTV >KJB16824 pep chromosome:Graimondii2_0_v6:2:61351284:61353126:1 gene:B456_002G249600 transcript:KJB16824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINLVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARFDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHESLPEAFPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKSAAKKK >KJB16823 pep chromosome:Graimondii2_0_v6:2:61350423:61353126:1 gene:B456_002G249600 transcript:KJB16823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINLVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARFDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHESLPEAFPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKSAAKKK >KJB16825 pep chromosome:Graimondii2_0_v6:2:61350313:61353126:1 gene:B456_002G249600 transcript:KJB16825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINLVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARFDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHESLPEAFPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKSAAKKK >KJB16208 pep chromosome:Graimondii2_0_v6:2:56851914:56853889:-1 gene:B456_002G217600 transcript:KJB16208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFSRQMSERFNHELSLSGKIPSGLFNAMFDFSGCSHKDAVSTKTLAFDGIFITLYTVLLDKSQMVLCDHVKKAVPSSWEPAALARFIKTFGTHIIVGVKMGGKDVIYMKQQHSSTVQPTDAYTKLKKLADDKFLKNNEQSRINSEQVKLYNQMQDISIIYKRRGGREEMNLSHAEWLQTVDVHPEVVSMSFIPITSLLSGVPMSGFLNHAIDLYLRYKPSLEELHLFLEFSLPRQWAPVFKEFPRGLQHKRQSNSFLQFKFLGPKLYVNTIPVDVGMRPVTGLRLYLEGKRSNRLAIYMQHLSSLPTYFQLVDEPNDTLPDEESYDKRYLVKVRWKNFSRVCTAPVECYNNNSIVTGAQVQVEKHGLKSILFLRLHFSTVLGTVILRHSEWDGSFGIAPQSGILSDLLSKPLTPPPPQRKPDTSSSAYPGGPPMPNYTPKLLKYVDTAEMKRGPQDPPGYWVVSGARLVVEEGKIALRVKYSLLIDIPDEIPEEH >KJB16209 pep chromosome:Graimondii2_0_v6:2:56846928:56854136:-1 gene:B456_002G217600 transcript:KJB16209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKISAQEAAEIAIRSIGSGYNLALDLRLKYCQEEEKGSPLIEFEQVGCYDVALPCGISIPNVSKLIKCYQGKGTRNMCDVISFQQMSERFNHELSLSGKIPSGLFNAMFDFSGCSHKDAVSTKTLAFDGIFITLYTVLLDKSQMVLCDHVKKAVPSSWEPAALARFIKTFGTHIIVGVKMGGKDVIYMKQQHSSTVQPTDAYTKLKKLADDKFLKNNEQSRINSEQVKLYNQMQDISIIYKRRGGREEMNLSHAEWLQTVDVHPEVVSMSFIPITSLLSGVPMSGFLNHAIDLYLRYKPSLEELHLFLEFSLPRQWAPVFKEFPRGLQHKRQSNSFLQFKFLGPKLYVNTIPVDVGMRPVTGLRLYLEGKRSNRLAIYMQHLSSLPTYFQLVDEPNDTLPDEESYDKRYLVKVRWKNFSRVCTAPVECYNNNSIVTGAQVQVEKHGLKSILFLRLHFSTVLGTVILRHSEWDGSFGIAPQSGILSDLLSKPLTPPPPQRKPDTSSSAYPGGPPMPNYTPKLLKYVDTAEMKRGPQDPPGYWVVSGARLVVEEGKIALRVKYSLLIDIPDEIPEEH >KJB15618 pep chromosome:Graimondii2_0_v6:2:50724443:50725348:-1 gene:B456_002G188500 transcript:KJB15618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVKHRLQLSLQLTVPEVKNCKPIMNATQEVADLLHLEKLCVLGHGNYGTVYKVRHRQTSAIYALKIIRGGDPSHEIKIMHSTDSPFIIECLGTYESVSGEKAIVMKYMDAGTLDTLVKANGPFSESSISHIAYQVLSGLNYLHAFSIVHLDIKPSNLLVDQSMNVKICDFGVSKIIRENESPEFWDIYVGTYAYMSPERLDSNGYNSRYVFAADIWSLGVTLLEFYVGHFPFLPTGEKPNWMQLVLLMRFGDAPSLPKEASEDFRSFINCCLQKEPSKRWTASQLLSHPFICMNSRFQE >KJB17072 pep chromosome:Graimondii2_0_v6:2:62395447:62399140:-1 gene:B456_002G263400 transcript:KJB17072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTGVVAVYGNGAMTETHNKSTFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTPADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRVLRNMDDDEVFSFAKKIQSPYDLVMQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPARRARAIVQAVTHYSDPEVLAEVSCGLGEAMVGINLNDKNVERFAARSD >KJB15638 pep chromosome:Graimondii2_0_v6:2:50290867:50294846:-1 gene:B456_002G187700 transcript:KJB15638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSRPDTFYSAESVRTVSSEVSSDLIIEVKGCKYLLHKFPLLSKCLRLQRICCESPERSQHQIIQLPDFPGGIEAFELCAKFCYGITITLSAYNIVAAQCAAEYLQMTEDVEKGNLIYKLEVFFNSCILQGWRDSIVTLQSTKAFPLWSEDLGITSRCIESIASKVLTHPSKVSLSHSHSRRVRDDISCNGAESQRHKSTTKGWWAEDMAELGIDLYWRAMIAIKSGGKIPTNLIGQALQIYASRWLPNISRQVKANQGATSDSDSDSTGEVSSKHRLLLESIVSLIPSDKGDVSCSFLLKLLKAANILNASSSSKMELARRVALQLEEARVSDLLIPSLSYSSDTLYDVDIVLTILEEFMLQGQSPPTSPPRSRLGFERRRRSRSAENIDFEFQENRRSSSASHSSKLKVAKIMDGYLQEIARDINLPLSKFIAIAEKIPDFSRLDHDDLYRAIDIYLKAHPDLNKSERKKLCRILDCKKLSVEACMHAAQNEKLPLRVVVQVLFFEQARAATVGGKVAELPSNIKALLAAHNIDPSRPPRTLSTTTSIRGDDQWSVSGLKSPKSRTSTLRMKLAEDDLDEYDMNPDGLGRSSKFKAFCALPTGPKKMFSKLLSISRSGNEKN >KJB16042 pep chromosome:Graimondii2_0_v6:2:55691321:55694024:-1 gene:B456_002G210000 transcript:KJB16042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFTGCIHNLTVEESNELTVMRYNVRHWKEKKLDSEERRRQEGCPMTPREAAMFLKATCYPSSTPIYIVAGEIYGSNSMAAFHYCILENVKF >KJB14627 pep chromosome:Graimondii2_0_v6:2:21617607:21619184:1 gene:B456_002G134700 transcript:KJB14627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFYSRPNLFPSNFSHTRNTSTFPSQISQKFSQIKNPSLHNFHDLKLPLLPSLVHRRTRRPSQASTVALLYRRRNRYILNYALVLNYGSAFSIFLCLFYVLLDKKAGSLATLLCLACWVGASFIAAELLYSLAWKIKCLEEKCEI >KJB14985 pep chromosome:Graimondii2_0_v6:2:31384867:31385890:1 gene:B456_002G153400 transcript:KJB14985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIGNGIPTGDKLILRGLKFHGFHGVKPEEKKLGQKFLVDVDAWMDLRKAGKSDNLSDTLSYTDIYRIVKEVVEGPSHDLLESVAQMIASKTFTNHSQISAVRVKVGKPHVAVHGCLDYLGVEIMRYRTIDAPN >KJB13347 pep chromosome:Graimondii2_0_v6:2:8081179:8083955:1 gene:B456_002G069600 transcript:KJB13347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRTRLLLPLYVLVFSFSSFETYVSIEMDTLYPGQSLSGNQTISSRNGRFELGFFKPGNSTGYYVGIWYKNLKVKTVVWVANRDEPLLDHSVSKLEISEKGSLVLYNQSEIPVWSAEPSPSNTMNSTVAVLEDSGNLVLRNGSSPSVTAWESFDHPTDTWLPGAKLGRSKVSKKGLIYVSWSSPNDPSPGLFSLGLDTNGTGWYHILKNGIRHWTCGYWLQRVSSFSTETVTTNYITMGYVSNKEENYYFYSVTTSSVLVRFLMDVTGKVQQLIWKDGSQEWETIWEKPKETCEIYAFCGANGACNQFGGPKCKCLPGFEPKIPGEWKTGNYTNGCLRKSLLKCNKDVKHDFQVIENIRLPPNEVLTNNKSLRECKSACLRDCSCIAYTIGYYGNCSIWREDLLNIQYLTFGDHIGRDLYLRLPMTELAASKVKKKLRIERLTICAAAAIVILIPILVLMSWVAHSKSVTLVSICRMANFSGTKPTDDALVLFKFGDLKSATKNFSEKLAEGGFGSVYKGTLPNSAVIAVKSLKGQDHEDKQFRAEVSTIGTIHHVNLVHLLGFCLKGTKRFLVYEYMPNGSLDSHLFYKDSKILDWKTRHHIALGVARGLAYLHEKCRVCIIHCDIKPENILLDADYNPLLSDFGLAKLFGRDFSRVLTTMKGTRGYLAPEMISGDPITPKSDVFSYGMLLLEIISGRRNWEIKGDETDNYFPARAAICVSNGGDVLSLLDPKLQGNANAEEVIRACRVACWCIQDEEQNRPSMGHVVQILEGVQEINMPPIPWKISKFLKYNQLNQNCLVLASVSIATEQPATL >KJB11986 pep chromosome:Graimondii2_0_v6:2:5559998:5561096:-1 gene:B456_002G056700 transcript:KJB11986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVKTALFKRHSNYSISVDEISMKLDFIWAPYVLNLTHLLKGFKTKKKYPDVMVMRAGLWHMLHVSNPSNYELVLQTLKSSLVSLFPFSTDIANAYGIAEVVVMLVTTTLVTLVMLLICVLKNSLFLKKLVINYFYAFLRRNCRVGAANMSVPHMNILQVGMAYMV >KJB15391 pep chromosome:Graimondii2_0_v6:2:44918778:44919085:-1 gene:B456_002G1760002 transcript:KJB15391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFKNYLGRPWQKYSRTVFMKTGLDGLIEAEGWLPWSGNFGLSSLYYAEHMNTGVGASTGGRVKWGGYHVIDAVEAEKFTVGNFLAGNAWIPGTGVPFDVGL >KJB13717 pep chromosome:Graimondii2_0_v6:2:11471210:11472634:-1 gene:B456_002G091000 transcript:KJB13717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWIRGPAIGRGSTATVSLATAVPSGELFAVKSTELCHSMFLQRENYVLSKLSCPHIVKYIGYDITNETNNKPTYNLCMEYVTGGTLSEEIKRVGGRLGEERVRLYTQQILQGLSYLHVNGVAHCDIKSQNILIGKEGAKIADLGCAKLMGKDGENQVFDTSAISGTPAFMAPEVARGEEQGFEADIWALGCTIIEMSTGNSPWPELNDPVSALYKIGFSDEVPEIPSWFSEKGKDFLGKCLKRDLKERWTAKELLQHPFLEESDTLLKEVKESTMDSPNTVLDQGFWDSFNVVESPKNLIPKEISMNSPTDRIKKLLQSILSPPSSNASNWTFSEDWMTVRSDNITEDDFSSPTVSFMESFEEQLETETPILDEDFFSANSAENTIYFSSILAFNSVTDDYFVSDNLNFETVNEISCSIIPMIQPHLFHIRIKSSL >KJB13446 pep chromosome:Graimondii2_0_v6:2:8811061:8811757:-1 gene:B456_002G075100 transcript:KJB13446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQCLTLLGGINLLYHVAVECLINPAYNLPRSSPVYPRIIDTTINFHLNLECAGEATGIGSIGEFVMEYI >KJB12737 pep chromosome:Graimondii2_0_v6:2:2558621:2559741:1 gene:B456_002G034000 transcript:KJB12737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LISCFYLLFATALDTITPSKSIKDPEFVISQNGVFQLGFFSLANSSNRYVGILHNQIPVQTVVWVANRNRPLKDSSGILNISDDGNLVVSNGKAEVLWSSHVTNTAPNATTAQILDSGNLISTDVKKGRKVEIKSWKSPDDPSDGNFSLGIEPFNILEVVIWNNNELYFRSEPWNGNMFLGLMLPTTVDIDGFSVVADNQQQTFYMAYEFSSDSMLIYFKLDSQGKFIEWRWDAGKGNWTNRYSIYLTDCDVYGKCGAFGIFDSTKRPICSCLEGFKARNIEEWSRGNWSSGCFRTTPLQCQRDNNNGSGAGQGDDGFLEMKMMKVPAFPDRSSIINRDCKDQCMDF >KJB14653 pep chromosome:Graimondii2_0_v6:2:22612255:22613105:1 gene:B456_002G135900 transcript:KJB14653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAEEAVGSQLPVHESGFTPEELNELLSFFESNEPVSSNSSSENSSRAIYSPDERKQRRKISNRESAKRSRWRKKRYLENLTNQVNKMNIENRRLKNRLHLVLNQCHVVWGDNEQLRSESFALWAKLLDLYWTLAAMQCNHDNSRHSLSCFNSNKLSSHSIN >KJB14788 pep chromosome:Graimondii2_0_v6:2:25560533:25574516:-1 gene:B456_002G142500 transcript:KJB14788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGLEVFDFKEEDEISELAADKYLSKLKSPNFDDAATLKCQFLECVARGAAVQRKGMDNIPCVDVDAIDGDYSFDGATTAASLGAVEKEFSKEGNTDLDVSPESKSTSSEQQPDLQNDIHEFELRDSFSEAPSPGKRQVNDSLSNSPLRIEPVDLASDANESMNERSPSSPASDIAADNVSLNGNMLDHCVGNIPVDNIDGTVVICLDYVLYQENCYTGVSAIFSPDGIKIECSSLSDHHGTFSFERGIDDIISIHCQWFQRVGYMTVNLKVLSKGAAEAESECKTSAIEELKFAVFDPRWSEKQEAITSLNVKYLAMWSTLFDPLMEMDGNDSPVRESYFPNFEEPFEEVIYPKGDFDAVSISKRDVELLQPETFINDTIIDFYTKYLKNQIQPEERQRFHFFNSFFFRKLADLDKDPSSISDGRAAFLRVHKWTRKLDIFGKDYIFIPVNFNLHWSLLVICHLGEAASFKDEDLVNLSKVPCILHMDSIRGNHAGLKNLVQSYLWEEWKERHKETSEDLSSKFLNLRFVSLELPQQENSFDCGLFLLHYLELFLAEAPPNFNPFKINKFSKFLNLDWFPPTEASLKRTLIQKLIFELLEIRSRDISSSHCSDENISSKSSENIENENGVEFITESFSPEVAYNGNLDSQVGQGIEMTLLASSSMRNTGSVNDSGLVLREFFDPGDTAGPLLGQFQSFDHPPYYNLNGAISPTEEVQTGQPFAYLASGETGFPQFSVITPQACEAPYSSSGFAKGSTWNPGISMQGEHKVDTSFETSSASGDEEEEEDDVGIIECNPSQIKMDRIEKQDTDQEQSHLVENVECQRKVFMPASIRVLETSITEVYGTSEDTIKICISSENADLRSKDDFPVLLHQNLGAAVNQLDQDPQLIEKNAEMVQNEARGEDVRTLGEDLPSEDNSTLSSYKSPNLLLNQLDQDSERLKDKEARGDDVQTINDDIPSEDNSTVSSHQNPSTMLNQLYQDSNVVENKETIDDDVQITSDGMLAELAGQPVAKRMRFTTSFEEKVDS >KJB13198 pep chromosome:Graimondii2_0_v6:2:7283626:7284796:1 gene:B456_002G061600 transcript:KJB13198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYQLLFLMLYLALCVNQSHQRILSQKHDAKKIDEQFLHQKQNVIVSKDYELINLVKVTGPESIAFDCKGEGPYVGVSDGRILKWHGPKLGWKEFAIPSSIRRRELCDGSTNPNLEPICGRPLGLKFNPVTCDLYIGDAYFGLLMIGPNGGIAQTLVSSVKRIPFKFINGLDIDSSTGVIYFTDSSTTFQRRYADFLSKSTDNSGRLLKYDLHTKNTSVIYTGLMFPNGVALNKNHSFLLVAETTRRRILKFYLGANNLEPEVFAKLPRVPDNIKMNDKGEFWVALNAGRLGKIDDDVPDPIGIKYDQEGRILKQLDGNNEDVFSSIRG >KJB16177 pep chromosome:Graimondii2_0_v6:2:56579617:56582907:1 gene:B456_002G216100 transcript:KJB16177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSFRLLFLFSLLVTHLSFSHVLADSHFEGFDAEEDDPVEDDILHHHSIPSPPVTQSDSQPLSDLETKSHPDPNPVPTSDSPSQSDLQKPSTTSFDYWDEDEFEGLPIEQPPPEPPKVTETATPDDPESETTSKPQNATVPKKSFTVEIACGSFLIVFIVNYFTGKRENENLALAWAAKFATKGSIFEKNFSLLGVGEGEDSPLLLKEGQTVFKFYASGRRYCQGLLATMELKSRHDLISRLFNLVVPCKDEITFEVYMNDEAMDQVVFAVAKKKAAKGMQKEVRDLQRFAGLMPTPSGRKWVVDELSVISESKEVAGDLITETVLEQVFGDKAFEKYGKNFISMHFSDQHPGLLRKMLLFKFALPDANHMADITRLVALVPYYIDLIGRYKLSSQVLQLGH >KJB16176 pep chromosome:Graimondii2_0_v6:2:56579586:56582929:1 gene:B456_002G216100 transcript:KJB16176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSFRLLFLFSLLVTHLSFSHVLADSHFEGFDAEEDDPVEDDILHHHSIPSPPVTQSDSQPLSDLETKSHPDPNPVPTSDSPSQSDLQKPSTTSFDYWDEDEFEGLPIEQPPPEPPKVTETATPDDPESETTSKPQNATVPKKSFTVEIACGSFLIVFIVNYFTGKRENENLALAWAAKFATKGSIFEKNFSLLGVGEGEDSPLLLKEGQTVFKFYASGRRYCQGLLATMELKSRHDLISRLFNLVVPCKDEITFEVYMNDEAMDQVVFAVAKKKAAKGMQKEVRDLQRFAGLMPTPSGRKWVVDELSVISESKEVAGDLITETVLEQVFGDKAFEKYGKNFISMHFSDQHPGLLRKMLLFKFALPDANHMADITRLVALVPYYIDLIGRYKLSSQARSKTEAARVKAAQEAYKELQNARQEALQRKKAEKKKMLEEAEAKLSAEAARKREAKDRARQMKKAMPRMKMTRAH >KJB12958 pep chromosome:Graimondii2_0_v6:2:3999441:4002941:-1 gene:B456_002G047300 transcript:KJB12958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVETADRKETIVKVDDGSNKGSIAAASDIVSGGGGKFWRESSYDFLQDSGKISSDWKKEIVDMNSSSSGSSSNRSEGFDFMQSKQGAMEDPPSKLIGQFLHKQKASGEISLDMDLEMDELQQQPPDHGGSLPTVAESPSPSATTFPRVSFENNPLRRRQSKGSQLKEESDGVVKCSSNSSFTRSEGGSFKRKSNLLVTKTKSRLIDPPTPEKGEPRSARAGTGKSGRRSGFLGKTMEEEEDDPWLEEDLPDEYKKDKLSIWVLLEWLSLIVIIACLICSLTIHYLREKRLWDLMLWKWEVLVLVLICGRLVSGWIIRIVVFFIERNFLLRKRVLYFVYGVRKAVQNCLWLGLVLIAWHYLLDKKVQRETKSKFLKYVTRVLVCLVVGVMLWLVKTLLVKVLASSFHVSTYFDRIQESLFNQYVIESLSGPPFIEIQRAEEEEERLAKEVMNLQNAGAKVPPGLKPSTTSSPLSARTIGSGRILKSPRGKSPRLSRVLSSEKGEKDDMGITIDRLHKLNHKNVSAWNMKRLMNIVRHGALSTLDEQIQDSTHDDEAGTNISNEREAKVAARKIFQNVAKPGSKFIYLEDIGRFLQEDEALKTMSLFEDALESRRISKKSLKNWVVNAFRERRALAFTLNDTKTAVNRLHHIVDIIVGIIIVVIWLLILEIATSKVLVFISSQLLVVAFVFGNTCKTVFEAIIFLFVMHPFDVGDRCEIDGIQMVVEEMNILTTIFLRYDNQKIMIPNSVLATKAIHNYYRSPDMGDAVEFCIHVKTPADKIGLMKQRILSYIEHKSDHWCPTPMVIFKELEELNRVRIAIWLQHKMNHQDMGERWARRALLVEEMVKIFNDLDIKYRLYPIDINVCSMPTVTSDHLPPNWTVPNS >KJB13435 pep chromosome:Graimondii2_0_v6:2:8718154:8720225:-1 gene:B456_002G074300 transcript:KJB13435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTNSSRDWTQIYAIYGMDQWQTLVFLLFHAVFFSLLSVLFLLYFESIFHFFQTFLSSPGAARFAAGFSGGVTAISAVCLFFAAANFFYSAGPLHYDMAQRMVGSVNDWSTVKLALDIGCGRGILLNAVATQLKKTGSSGRVVGLDPSKRTTLSTLRTANVEGVGEYVTCREGDVRSLPFGDNYFDVVVSAVFVHTVGKEYGHRTVEAAAERMRVLGEMVRVLKPGGVGVLWDLLHVPEYVRRLQELKMEDIRVSERVTAFMVSSHMVSFRKPSQHVVGPGEVRLDWRC >KJB16249 pep chromosome:Graimondii2_0_v6:2:57331063:57334067:1 gene:B456_002G219500 transcript:KJB16249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKSVSLVGLVLLCCHASLTEQRLQGIFKDPKEPIDERVKDLLGKMNLEEKVGQMTQIERKNASAEVLKSYFIGSVLSGGGSTPKVNATVKEWVDMVNGMQQASLSTRLGIPMIYGIDAVHGHNNVMNATIFPRNVGLGVTRDPQLLKEIGAATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDHRIVQQLTEIINGLQGEAKTDMKGMPYVNGKTKVAGSSKHYVGDGGTVKGINENNTIVDDSALYGMHMAAYVNSVAKGIATIMASYSSINGVKMHAHKQLLTDYHKGKLGFKGFIISDWEGIDRITSPAHSNYSYSIQASINAGIDMVMVPYNYTEFYDGLIDLVKKNAIPMSRIDDAVSRILRVKFAMGLFENPLGDYSLINEVGSQKHRELARQAVRKSLVLLKNGKPGNAPILPLPKNAGKIVVAGSHANNLGYQCGGWTIEWQGVSGNNWTAGTTILDGIKATVDKRTKVVYKKKPSDTYSSKGKMDYGIVVVGEVPYAETNGDSQNLTIPAPGPAVIENVCKETKCVVIIVSGRPVVIEPYVDKIDALVAAWLPGSEGQGQAGSYLV >KJB16959 pep chromosome:Graimondii2_0_v6:2:61882550:61883121:-1 gene:B456_002G256900 transcript:KJB16959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNECRKVSNPSPTPSYILDGFKTSGNSASPSRYLTACLMLGRAIGASFEQIRPNLSTTNISSSLYLSLSLMSTTSKSLPCVNFSQTQSINTSSSCDTLDSMGLRPHATSSKKAPKA >KJB16109 pep chromosome:Graimondii2_0_v6:2:56030205:56030718:1 gene:B456_002G212800 transcript:KJB16109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSHLSRAQISLQDFANAHNVIRAKAGVGPLVSNQTLASYAQNYANKRIVGGDDCLHYTQVVWSKSVNLGCARAKCANGWVFVICSYDTVGNVEGQRPY >KJB15375 pep chromosome:Graimondii2_0_v6:2:45742479:45743304:-1 gene:B456_002G177500 transcript:KJB15375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PIRCAEPDADADRKVSARLSQMQQLLQEAKEQASSAGNEPTPQITLDHVTVSFARSGGPSGQNVNKVNTEVDMRFNVKQAYWLSDRIRERIMQMVCHCHLLSFKEFMILLIICLRDKN >KJB14894 pep chromosome:Graimondii2_0_v6:2:45945228:45945745:-1 gene:B456_002G177900 transcript:KJB14894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLIGKLETDMELNASAGRFMICSATGLPKFPRLLMIRCEHVLYMKVNGAKEGFIISRNYDHEWLNGLKSITSRVIEGGLLKDFKSVVFKSQVSPKSQDCILHWTLEYEKLHEGIPNPETMLRFVIQICKDMDSHIIQGN >KJB15050 pep chromosome:Graimondii2_0_v6:2:34269266:34270273:-1 gene:B456_002G157700 transcript:KJB15050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGDHAAIDVPAESSAAVKGKAPLIASQREEKRGLNRGFGIADFLLRLGAIISTIAAAATMGTSDETLPLFTQFFQFEASFDDLPTFLYFVIAMALVGGYLLLSLPFSIVTIVRPIAVAPRLLLFILDTVTLTFATAASGAAAAIVYLAETGNPNTNWLAICDQFEDFCSTVSGAVVASFVTVVVFVVLVILSGFALKRQ >KJB12592 pep chromosome:Graimondii2_0_v6:2:1936262:1940588:1 gene:B456_002G025900 transcript:KJB12592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQSHREYFSGHVHGASTAISTTSTSTSISDDNNNTDLVWPFGKLEDLDHDDIREAAYEIFFTACRSSPGFGGRNALSFYSSQDHHGNGDGGSMHGSGGRVSAVVTMTPTSKVKKALGLRMLKRSPSRRMSMSSVNGGGSTPSVSPISHHGHSNSVSFYSSGGFSTVPTRPRRPLTSAEIMRQQMRVTEQSDNRLRKTLMRTLVGQLGRRSETIILPLELLRQLKPSEFNDMSDYHFWQRRQLKILEAGLLNHPSIPLEKSNSLAKRLREIIRASKSKPIDTCKNSDTMRTLCNCVVSLSWRSANETSSDACHWADGFPLNIHIYISLLQAIFDIRDETLVLDEVDELLELMKKTWSTLGINRSIHNVCFTWVLFQQYVATNQMEPDLLCAAYNMLTEVAIDAKKIDREAAYVKLLMSMLVSMQKWAEMRLLHYHEYFNKTTVGGMENLLPLALSSMKILGEDVTIKEGEGSKKGDTMLVDSTSDCVDHYIRSSLKNAFAKIIANENLKNAKVGERAEVSEALLQLAKETEDLAVRERELFSPILKRWHLTAAGVAAVTLHQCYGAVLKQYLAGTSILNSEIVEVLQRAAKLEKVLVQMVVEDSEECEDGGKGIVREMMPYEVDSIIMKLLRQWLDERLNQAKELLCRVKETETWNPKSKSEPYAQSVVELMSIAKEMVNGFFEIPIGITDDSVLDLAEGLEQLIQEYTTFVASCGSKQSYLPSLPPLTRCNGDSKFFKLWRKANPCTTRVAEITQFMKTEGQHPRPSTSRGTQRLYIRINSLHYLASHLHSLDKTLSLSPRVSTRNRFSMHLGVGCTSSSYFEHVNASIQAACDHVSEVAAYRLIFLDSCPVFYETLYVGDVANSRLRPAIRILKQNLALLTAILTDHAQALAMKEVMKASFEAFLMVLLAGGPSRTFQRSDHEMIEDDFDSLKRVFCTCGEGLLSEDIVQREAEVVEGVISLMGQTTEQLTEDFSIVTCEASGIGSISGGQKLPMPPTTGRWNRADPNTILRVLCHRNDRAANNFLKKSFQLAKRN >KJB13213 pep chromosome:Graimondii2_0_v6:2:7396412:7396705:1 gene:B456_002G062800 transcript:KJB13213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTENTNVKDSVTVPPKELEAVPEVPALDPTVDHGSMSEKNDNKNRKRSCFLLCFSNVELGNEDGKRKAFSFLENSNRRCMAICFSNFEVGPKMKG >KJB15729 pep chromosome:Graimondii2_0_v6:2:52234239:52237871:1 gene:B456_002G193400 transcript:KJB15729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMESFRVFFFVLLLAASPLLQVARCQSEADVDVAEAVEGGELGIVGEDFQDFGDGNFGPAPGVETVCVFLKTSAKLVVAGEETELLVGMENVASVDLPFDHRMLVQNLTAQTFNKASVSPSVQATFPYMFAVSRYLQPGTFDLVGTIVYEIDQKPYQNTFYNGTVEVVEAGGFLSVESVFLVTLGIALLVLLALWLHGQFQRTTKKTKKAPKVEVGIGTTDASLDEWLQGTAYTQSASKSKKKK >KJB12994 pep chromosome:Graimondii2_0_v6:2:4240077:4241264:1 gene:B456_002G049300 transcript:KJB12994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSMKLTTIVTDQLALLALKEHVIHDPENVLTTNWSASTHVCNWFGVSCGSKHRRVVALNITGLGLVGTLPPHLGNLSFLSLLSISDNSFDGELPVQLSNLRRLKHINFRNNNLSGEIPSWLGSLPELQRLFLYHNHFKGVIPFSLGNLSKLEMLDLFQNQLSGSIPSSIFNISSLQKINLRNNYLFGSIPSVSHDILSLELIDFTFNNLTGHFPSDMFDHLPNLKKLSLSDNMLSGKIPASLCKCKELEILSLSYNQLGGSLPVEIGNLSMLRILFIGRNHFEGEIPQQIGNLTLLMVLDTADNNLTGE >KJB13053 pep chromosome:Graimondii2_0_v6:2:4813713:4816261:-1 gene:B456_002G054400 transcript:KJB13053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGPLTQDWEPVVIRKKPPNAATKKDEKVVNAARRAGAEIESVKKSNAGTNKAASSSTTLNTRKLDEETENLAHERVPTELKKAIMHARMEKKLTQSQLAQMINEKPQIIQEYESGKAIPNQQIIGKLERALGAKLRGKK >KJB12589 pep chromosome:Graimondii2_0_v6:2:1871589:1877788:1 gene:B456_002G025600 transcript:KJB12589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVRYGNSERDIEQAIITLKKGTQLLKYSRKGKPKFRAFRLSPDETTLIWLSRGEEKNLKLSSVTRILPGQRTAVFRRFLRPEKEYLSFSLLYNNGDRSLDLICKDKAEAEVWLACLKALIGQNRNRRAKSDLSDLQDGFFQNGRPFGVALDFSPSIASSSASIDLGGSDAGSERASMQVRSSGADGFRISVSSTPSCSSVGSGGPDDIESLGDVYVWGDVWADGSDGTVSAVSPKIDVLTPKPLESNVVLDVHQIACGVRHIALVTKQGEVFTWGEESGGRLGHGIEKDFSRPRLVEFLAVTNVEFVASGEYHTCVVSTAGDLFTWGDGTHNARLLGHGTDVSHWIPKRVSGALEGLQVLSIACGTWHSALATSNGKLFTFGDGTFGVLGHGDRESAAYPKEVQLLNGLKTIKVACGVWHTAAIVEVSGQSGANISSRKLFTWGDGDKHRLGHGNKETYLLPTCVSSLIDYNFHQLACGHTITVALTSSGHVFTMGGTAYGQLGNPGSDGKLPCLVQEKLVGEFVEEISCGAYHVAVLTSRSEVFTWGRGANGRLGHGDIEDRRTPTLIESLKDRHVKNISCGSNFTSCICIHKWVSGADQSVCSGCRQAFGFTRKRHNCYNCGLVHCHACSSKKALKAALAPTPGKPHRVCDACYIKLKSAEVGNASNLNRKSAGPRPSIDGRERLDRGEIRSSRLLLSPNTELVKYLEIMTGKPGTRFDAASQMRGSQVPSTLQLREVGLPSTFVAFQNAFRPATPPATPPAQSPINSRSSSPYSRRPSPPRSSTNTFSKNVIESLRKSNELLNQEVSKLQNQLKSLKQKCGTQDTEILKLRKNAQEHASYAAKESSKFKEAKEVVKSITDQLKEIIERLPSEVSESETLKAINTQAEAFLKTHGTNEASMESSNDQRMEYSVDTTAVPSNYSSENESRSSEASAVRGGVEKEITEQFEPGVYITYVNHRNGGKIFRRVRFSKRRFDETQAEEWWSKNKDRVLKRYSPHATKSASSTASSLTQPPPPPPPVVDETTEAAPPP >KJB15005 pep chromosome:Graimondii2_0_v6:2:31675630:31677834:-1 gene:B456_002G154300 transcript:KJB15005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAVAATETSKDPTQPTSEVKLFNRWSFEDVQVTDISLSDYIGVQASKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIYLLTDQNPIQVIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KJB13116 pep chromosome:Graimondii2_0_v6:2:6200507:6203930:1 gene:B456_002G0578002 transcript:KJB13116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGNIYEASSSLRRSIGSGSSSSSIRRNNGVEVFSRSSRDEDDEEALKWAALEKLPTVARLRKGILASSQGGASEIDVLDIGWQERKALLERLIKVAEEDNDKFLFKLKNRIDRVGIDLPTIEVRFQRLNIEAQAYVGSDALPTVINFVTNIFESCLIEMGLLSSRKKKLTILKDVSGIIKPGRMTLLLGPPSSGKTTLLLALAGKLDTALKCSGTVTYNGHEMNEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGDRYDMLAELSRREKQANIKPDPDIDVFMKAAATEGQEANVITDYILKVLGLEICADTMLGDEMLRGISGEMLVGPARALFMDEISTGLDSSTTFLIVNSLKQTVHILNGTAVISLLQPAPETYDLFDDIILISDGRIVYQGPREHVLSFFESMGFRCPERKGIADFLQEVTSRKDQMQYWARRDQPYRFVTTDEFAEAFQSFHVGLQLEDELRTPFEKTKSHPAALTTKKYGVGKWELLKACISREFLLMKRNSFVYIFKFIQLTFMAIVTMTLFLRTEMNRDSIAEGGIYMGAIFFGLIMVMFNGMSELSMTIAKLPVFYKQRDLLFFPAWAYALPSWILKIPITFVEVALWVFLTYYVIGFDPNVQ >KJB16147 pep chromosome:Graimondii2_0_v6:2:56323008:56323232:-1 gene:B456_002G214400 transcript:KJB16147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVVGGWMGEVAAKLKEKVEARKPFLSKRAKKDQPFPTKEGHVEDKDASKDDTNETTMSEATVCLLMDRFVPW >KJB12313 pep chromosome:Graimondii2_0_v6:2:720454:724026:1 gene:B456_002G011000 transcript:KJB12313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALCFTSHASLSSCNLHTHPYKKKHGFNFNNFGSNLSTIINKTQSSNPRISIHFSVNRRQFPLKSSVKDEQATETEPTAHSPVAVESKEPSDETHGPNSEETEGKEDQQEMDWKTDEEFKRFMGNPSIEAAIKLEKKRADSKLKELDRESNGNPIVGLFNKVVRDNLAREKERLEQAEETFKALDLNKLKSCFGFDTFFATDVRRFGDGGIFIGNLRRPIEEVIPILEKKLTKAAGREVVLWFMEEKTNDITKQACVVQPKAEIDLQFESTKLSTPWGYVSATALCIATRVTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSLVLAIAAFISDGSFNGGDNALFIRPQFFYNNPLLSFVQFVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQAMFGRSTAMLLSFATSLLLGIGGLSGSVLCLAWGLFATFFRGGEEMPAKDEITPLGDNRFAWGIVLGLICFLTLFPNGGGTFSNPFLSDPFFRGDL >KJB15029 pep chromosome:Graimondii2_0_v6:2:33046216:33047084:-1 gene:B456_002G1561001 transcript:KJB15029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAISSIEDKFNSTFSVQELVKESIITIPQHYVRLDQQHPSPGAATPFQMLPIIDMNQLIFGEDFDLQLEKLHSTCQEWGFFQLVNHGIKSSILERLKHEVEGFYKLPLEEKMKYKIREGEFEGYGTQERGGGKFDWCDKLYMMTNPILRRKPHLFPKLPSSL >KJB14615 pep chromosome:Graimondii2_0_v6:2:21392237:21393040:-1 gene:B456_002G134300 transcript:KJB14615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKYFKDFLNSSTSMSKFVIQLTQEKSSEALEAYTRKIFRKFQDELINAKQYIAKNINVKKQAYCYRMREFDKEEFEYILTFVRSSTTTTCSCHMFDFLGIMCRHELIILIKKGLSSLLNHCILNRWTKDVKKGENITLLDPSHVIIKGCP >KJB12421 pep chromosome:Graimondii2_0_v6:2:1321492:1321844:1 gene:B456_002G019200 transcript:KJB12421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRIAECNGLEEIISEEKLGEVAELKGNSNLFSKLENLCLHNLAKLKTIYHHALPFPLLKKIRIVKCGMLKKLPLNSNSTKGQRLVIEGEEGWWENVEWKDESTRIAFLPSFKPYVI >KJB15922 pep chromosome:Graimondii2_0_v6:2:54667181:54668059:-1 gene:B456_002G204200 transcript:KJB15922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQTIHHARSNSFPLPSRPNPLVSELDEHLNRLRDSEATPTSSSISHKLNGLQDLYDCVDKLLRLPFSQQELTQEENKGPVDELLDGSLRLFDLCNTAKDILLQTKGSIQDIQSVMRRRPCGEVKLVGEVRKYFTSRKVVQKTIHKALKTVKGVETKCIFSSSNDHETKAMVSLLREAEAVTSSMFEYLFTLISGPMERSKCGSWALVSKLLHHKRIACEQTGRRDINEFEKVDAALRSVMSQKMSKSENVEMPRQLKELELCVQDLEDGLECLFRCMIKARVSLLNALNH >KJB16414 pep chromosome:Graimondii2_0_v6:2:58870423:58870953:-1 gene:B456_002G229500 transcript:KJB16414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKISCAVIVAAASMSGVLAAGAAPAPSPASAPAAAAASASASAPGPDSSVAISTMPVIESLVGATLVSFFAYFLQ >KJB12061 pep chromosome:Graimondii2_0_v6:2:44767704:44769778:-1 gene:B456_002G175400 transcript:KJB12061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSSPLDIGQQAPVTGIPVAPTNQQYPTQRYTPTAVKVPWSTGLYDCTADVPNCCLTCWCPCITFGHIADIVSQGSISCRASGAFFVLLSILTVCISNRIYSYTYRARLRSQYILEESPCRDCYLHFWCEACALCQEYRELKNRGFDVSLGWHGNWERQKILGVPMPMPMAMAPAEMETGMRR >KJB12598 pep chromosome:Graimondii2_0_v6:2:1998122:1999336:-1 gene:B456_002G026300 transcript:KJB12598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLETHLLASLFFTPIGIRRFLCSSSHFLKNPSLFKSKPWYFSNPKWKNLDLYILAVALPLASFAEIFIFSSLSGHSTYRFSFFQQFSSLSLFWILIIFLVLYDSLDHLLFNESFIFVYACIAFLVEYYFIGVGITGLGTIVYNLLAQLTLLCAGCCLVLSVKRTAFFAEFLLCFGLVFKGTWLLQTGLCLYTDAFVFKGCKKMEVLPRSPNADVHCELEDDSMRGVALVNLLFAVHGILIMIISFVGFGILSSFKNLRCEDTSGPLLAQLESTDNLLMRPVHELEME >KJB17134 pep chromosome:Graimondii2_0_v6:2:62594444:62597152:-1 gene:B456_002G266900 transcript:KJB17134 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 3 [Source:Projected from Arabidopsis thaliana (AT5G01720) UniProtKB/Swiss-Prot;Acc:Q8RWU5] LVNRTLKAPHLLLKFSPTHKTPKLSTLTMKKQKPDNLKGFNPFNQLPQEIIFTILDLLNSNPLDIKSFSLACKSFYAAESKHRTSLKPLRQEHLPSILSRYPNIAHLHLTRCSRVSDTCLSFVSHACASSLRSIDLSRTHLFSASGLLGLALNCNNLVEIDLSNATELKDSAMAAVSQAKNLEKLWLARCKSVTDLGVGCVAVGCRKLKSICLKWCLGVGDLGVGLLAVKCNHICYLDLSYLPITSKCLSPILKLQHLEDLVLEGCFGIDDDSLAVLKHGCNSLKSLDVSTCQNISHNGLSSLVSGAVGLQQLTLAHGSPVTSSLADSLKKFSMLQSVKLDGCLISYDGLKTIGNWCASLRELSLSKCSGVTDEGISSIVTRHKDLRKLDITCCRKITDVSISRITNSCNSLTSLRMESCTLVSREAFVLIGQRCHLLEELDLTDNEIDDKGLKYISICSQLSNLKLGICLNITDEGLIHIGRGCSKLLELDLYRSVEITDSGIIAIAQGCPGLEMINIAYCKDITDHSLLSLSKCSRLKTLESRGCSMITSLGLTAVAVGCKELTKLDVKKCPNIDDAGMLPLAHFSQNLRQINLSHSSVTGIGLLSLAGISCLQNITILHLKSLTPTGLAAVLLACGGLTKVKLQAEFKWLLPHRLIEHLEARGCTFQWRDKVLQVELDPKCWKLRLEDLMP >KJB12197 pep chromosome:Graimondii2_0_v6:2:337312:339624:1 gene:B456_002G005300 transcript:KJB12197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEAPKYKLITPSILSDRMRINGSLARKAIRELMARGSIRLVSAHSSQQIYTRATNT >KJB12458 pep chromosome:Graimondii2_0_v6:2:1291928:1294678:-1 gene:B456_002G019000 transcript:KJB12458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLAEGLKSIEEKAEDIFKVLYKKKFALLLDDIWEWFDLTRAGVPLPTQENGSKVIFTTRRLDVCCQMQPNMDNNIRVECLPPGEAFKLFKEKVGSETLQMHPDICKLAEAVVEECARLPLALITIGRAMASKKTPREWEYAIEALRQSAASAFPRVGKEMYPKLKFSYDCLADEKVKSCFLYCSLYPEDELIQKDELIHCWIGDGILDKHTNLSNARNQGHFIVGSLLEACLLEKGPNNNGVKMHDVIRDMALWIGGESKKFFVKSGVQLKELPEADKWEEVIRMSLMDNQIENLTEILGCPNLQTLFLGRNRLRHLEELSVGVAKLVSLEHLNLSFSGIRKLPAELKALKKLKYLNLEVTVHLEMIPQQLISSFSKLQVLKMEGCGYGCSLVLEEMEHLKYLNVLTLTFRSASELEKTLGFNKFFSRAIERATLKHFRDSRSLNILDLTNVQHLQSLSLEDLQEVKIECNIIEGAGCFQSLGFVFLFDCNQLRDASWLVFAPQLEVLMIINCKSLEEIISEEKLGEVTKSKANTNLFSKLEAFYLYYLPKMKTIYRHALPFPQLEEIRIRECQILKKLPLNFNSAKGQRLVIEGKEGWWKDVEWEDESTRITFLPSFKPRYINAQVPVVKRSKLDKRAQAGIVIGYSTVKKGYRILDPSTKEILVSRDVMFNEKGCWNWEKDEPEAATEDLALDQAENDQNTSEMDVDDEPVRGTRSMADVYEMTQVAIAEPSCFEEAEGHRGWKQAMTDEIRMIEKNETWSLVERPANRKIIGVK >KJB16074 pep chromosome:Graimondii2_0_v6:2:55889815:55893325:1 gene:B456_002G211600 transcript:KJB16074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMYIASAAKLWWCSKFVNDVKMKSEGAVRARSKKALLKYSHGLGAKVFTWFIAQHGNGAHANPNQSHFLFASFSASNWLCNATSPPLTSSTTHLLTSHTTHTFNPKDYRNIQPQSSNLDSDLGFLFSFTVVVCGGWTTIMIADPKSCDVLFVFVLFAIISSSNALVSTPYPKAISDLKEAVVKGLGFQADDFKISGFDLRDALVGHSVAYEFDIEIDNKVIPFKLLEDVNRWEYVDLPIFRVEEPARPGVENGLVEHKRISDNGLPVLAPFQLAGPMELWIQDAKDMRISLPHDVDAGVLKKVMLADGAVVTVKGARSVSLRHPIDLPLPLSRTHNGFASGLMAIAEHLRRASCSQDAPLLSLRIVGPTSLTVPSSSNNKLKLKRLAPGLVELSSMSKTKTMDALSTIDLQGEAATVLTPKHFSTMWPFASINGSNANLIGFERLLSSVLDSKANKKGYFKLLKADVSAQTFVKIGFGIEKMLKEGDGFNLEGFPEWRTKPEIVSMHFEVLAKVDGENVIPERIMQVNPVAVEDAIAPHVLARNMTMSSTPVVYTPSNPFTL >KJB15271 pep chromosome:Graimondii2_0_v6:2:41686263:41687058:-1 gene:B456_002G167800 transcript:KJB15271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKSGYGGQTKLVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >KJB17038 pep chromosome:Graimondii2_0_v6:2:62235202:62237788:1 gene:B456_002G261900 transcript:KJB17038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED6 MATPPVAAGGNFEAPPPPPMQPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDWTCNNEQLRMRSIHPLDLSQLSKMTGMEYMLSEVMEPHLFVIRKQKRDSAEKVTPMLAYYILDGSIYQAPQLCNVFAARVGRALYYISKAFTTAASKLEKIGYVDTENESETVEPKGGKEAINIKEVKRVDHILASLQRKNLFCS >KJB17037 pep chromosome:Graimondii2_0_v6:2:62235158:62238274:1 gene:B456_002G261900 transcript:KJB17037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED6 MATPPVAAGGNFEAPPPPPMQPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDWTCNNEQLRMRSIHPLDLSQLSKMTGMEYMLSEVMEPHLFVIRKQKRDSAEKVTPMLAYYILDGSIYQAPQLCNVFAARVGRALYYISKAFTTAASKLEKIGYVDTENESETVEPKGGKEAINIKEVKRVDHILASLQRKLPPAPLPPPFPDGFVPPSTAEAEKDPENQQTAEPQPSAVDPIIDQGPAKRMKF >KJB13929 pep chromosome:Graimondii2_0_v6:2:14990942:14992581:-1 gene:B456_002G109400 transcript:KJB13929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATKLLRNLCAGEVANKNSFVEHNGIGTVLTVLRSAALLSDPDFGIIRLSLQVLANVSLAGAEHQQAIWLKLFTNEFFILASIRSLETSDPLCMILYTCCDGKPGLAIELCRDPGLPIVAGIIRTVASDVVDLLLSNDLTNVILSLLHDLEPPAIIRKTLKDSENGELNLGSTKLCPYKGFRRDLVAIIGNCAYRRKNVQDEIRQKSGILLLLQQRVTNDDNPYLREWGIWSLRNLLEGNAENQQIVADLQLQGSVDMPELARLGLKVEVDQNTHRAKLVISQEATQSLSSKLFLAILV >KJB15693 pep chromosome:Graimondii2_0_v6:2:51724409:51726450:-1 gene:B456_002G191300 transcript:KJB15693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSAVALEDVASVDIMTELLRRFKCSSKPDKRLILIGPPGSGKGTQSPMIKDDYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLGKQGAKIDKVLNFAIDDSILEERITGRWIHPSSGRTYHTKFAPPKVPGLDDVTGEPLIQRKDDTPAVLKSRLEAFHRQTEPVIDYYGSKGILANLPAEKPPKEVTSEVQKVLSS >KJB15890 pep chromosome:Graimondii2_0_v6:2:54343072:54343338:1 gene:B456_002G201900 transcript:KJB15890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLCPHSRRHPSLSRGFMACQALIRFFTLHRIKPHAPPLVRPPSIPLSFILVNVLPMQDKVKNYFLINQRSHRKLGLFFTKLTTLID >KJB14281 pep chromosome:Graimondii2_0_v6:2:16663327:16669418:1 gene:B456_002G117400 transcript:KJB14281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSRAQVNKPHKTRFSSKSSRNIHKISQKDKNRIAKSNRNVTQGARAARLQRSKMLREQKKEALLKEKSASSGSASPPRVILLFPLSASVNVSSLAEDILRLLSADVSRALSSTVASSEYKLRATVLHAPHGDLLSCMEMAKVADLIAFVASATEQSTCDYIDSFGSQCLSVFRSLGLPSTVVFIRDLPTELKRRNDAKKIVTSSLTSEFPEDCKFYPADTKDDLHKFMWLFKEQRLTTPHWRNQRPYLIAQKVDMVPDDSSPEKCTLLLTGYTRAHSLSVNQLVHVSGAGDFQLSRIDIMKDPIPLNARKDHNAMDSDDIEDAEIIRSLAADPSSQEPLLVENVPDPLAGEQTWPTEAEMAEAERNQKQKRLRKRALPRGTSEYQAAWIVDDTDGEDSGVENDGDDDEDDDGMLLDEGESGFPSQEDTNNPDFEEDQASLHLRDSDEETENDSVMMEGDNMTREQIEDEIKKIKEAHAEDEEFPDEVDTPLDVPARKRFAKYRGLKSFRTSSWDPKESLPPEYARIFAFDSFARTQKHVVAKALKVEQEGRDDCAPVGSFARFYIKEVPFHAASNLCAASRTAPIVLCGLLQHESKMSVLHFSIKKHDSYDAPIKSKEELIFHVGFRQFVARPIFSTDNINSDKHKMERFLHAGRFSIASIYAPISFPPLPLIALKNAAGADTPAVAAVGSLRSIDPDRIILKKIILTGYPQRVSKLKATVRYMFHNPEDVRWFKPVEVWTKCGRRGRVKEPIGTHGGMKCIFNGGLQQHDTVCMSLYKRAYPKWPEHRFPANV >KJB12301 pep chromosome:Graimondii2_0_v6:2:675002:675597:1 gene:B456_002G010500 transcript:KJB12301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRCSRSGILVFDIVQLSQQLDLRYGAKRSLADPPRLTLALKSCDISSTRPHFLLVGGNDAFARLYDRRMLPPLTSCQKRMLPPTCVTQCISPTVLVLKLFVSSYS >KJB12300 pep chromosome:Graimondii2_0_v6:2:674564:675597:1 gene:B456_002G010500 transcript:KJB12300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLDLRYGAKRSLADPPRLTLALKSCDISSTRPHFLLVGGNDAFARLYDRRMLPPLTSCQKRMLPPTCVTQCISPTVLVLKLFVSSYS >KJB12872 pep chromosome:Graimondii2_0_v6:2:3456698:3458126:1 gene:B456_002G041900 transcript:KJB12872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDFGLWRKGVKSCDFCGSRDFSVLIVLEMGLMEISIMLHVGLVLLLLWMLSQFNLCHPLPYFLSLIYLYLGS >KJB14195 pep chromosome:Graimondii2_0_v6:2:16080218:16082069:-1 gene:B456_002G113700 transcript:KJB14195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MGSGSATILQRWILDFHKLIFCPKCTANQTLNTNHLVVSVPISRRSAILLSSVPTLTLIPLPHFSEAGARERRNKKTIPIEDYLTTPEGLKYYDIVEGKGPVAEKGSTVQVHFDCIYRGITAVSSRESKLLAGNRIIAQPYEFKVGATPGKERKREYVDNPNGLFSAQAAPKPPPAMYYITEGMKVGGKVWLKNPLFSVTLVVWDLFPFFFCHHHLMVLV >KJB14196 pep chromosome:Graimondii2_0_v6:2:16080218:16082163:-1 gene:B456_002G113700 transcript:KJB14196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MGSGSATILQRWILDFHKLIFCPKCTANQTLNTNHLVVSVPISRRSAILLSSVPTLTLIPLPHFSEAGARERRNKKTIPIEDYLTTPEGLKYYDIVEGKGPVAEKGSTVQVHFDCIYRGITAVSSRESKLLAGNRIIAQPYEFKVGATPGKERKREYVDNPNGLFSAQAAPKPPPAMYYITEGMKVGGKRTVIVTPEAGYGQKGMNEIPPGAEFELNIELLQVMPPPEN >KJB14922 pep chromosome:Graimondii2_0_v6:2:28762197:28762715:-1 gene:B456_002G149200 transcript:KJB14922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIQWCGTVARRVVMKQWPPLSSASPIEVMASPRAPTLCGRGDKKTKKGKRFKGSYGNARPKKEKKIERIKDKVEVPRSTPWPLPFKLI >KJB14604 pep chromosome:Graimondii2_0_v6:2:45894823:45895915:1 gene:B456_002G177800 transcript:KJB14604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVGFEPRLSMMEALMPNQIHKNETIFEFYVKLYDLTNQIFTLEDEYFNSKLTRKVLYSFPERFNIKVTTIKEAKNIDTMCIDELIRSIQNFEINLDETKRNKGKGEKGITL >KJB16444 pep chromosome:Graimondii2_0_v6:2:58952759:58956155:1 gene:B456_002G230300 transcript:KJB16444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSAILSLWSNMGKLPLLMFFKDSRNVLKRDELGSEIVNIAVPATLALLADPIASLIDTAFIGRIGAVELASVGVSVAIFNQVSRITMFPLVSITTSFVAEEEATSKPSTEAEPDANPETESDVNQELVPLTGNGNVKKSQPDGNSKKHVPSASSALVIGSMLGILQTLFLIFAAKPLLGYMGVNSESPMLNIAQQYLTLRSLGAPAILLSLAAQGVFRGLKDTKTPLYAIIIGDSMNVILDPILMFVLRLGIKGAGIAHVVSQYLIFLILIWRLAGKIELCPPNFKELQFSRFLKSGFHLLVKVISTTSCVTVAASLAARQGPNSMAAFQVCLQIWLATSLLADGLAVAGQAILASSFAKKDYEKIVATSSRVLQIGVLLGFLLSCTLAALSQFAAQLFTNDRDVMQIMNLSFPFIAVTQPINTLAFVFDGVNYGASDFAYSAYSMVLVAVVSIFCLFILSSSTGYIGIWIALTIFMNLRVFAGLLRVGTGTGPWGFLRN >KJB16541 pep chromosome:Graimondii2_0_v6:2:59542431:59542817:-1 gene:B456_002G2350001 transcript:KJB16541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKKKSVAELKEKQTTGTETVNHLRERLKQRRQQLPDID >KJB16515 pep chromosome:Graimondii2_0_v6:2:59339247:59340041:1 gene:B456_002G233600 transcript:KJB16515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPVIRMNQTTEPRRRSRLPSRSLSSSNIVDCDGCVSNNNSRRSRSMDSASFGEICGGATAECTAICCCAPFGIANFLVLAMYKIPAGLCRRAIRQRQRRKLVKKGLIQPWDHRGCEGLQIRPVEEIFPIVNGSEETEKAVNELEKEMWQRFYGTGFWRSPSQREDDSPRIK >KJB14613 pep chromosome:Graimondii2_0_v6:2:21322109:21323752:-1 gene:B456_002G134100 transcript:KJB14613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVKQDNRRQLSARILMRFEQDQKETEDACRFVEQNAATQRYSVEVLQITNYFIFACLVAAIGDLFLDMILVFLLLTLFTSSLYFAGLFLHGASYVTRNKGRRASILVGAVSFFLGGAINAGAVNITMLIIGCILLDAGI >KJB12675 pep chromosome:Graimondii2_0_v6:2:2238051:2240078:-1 gene:B456_002G030300 transcript:KJB12675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGDGGVDRVVDSKDLQQQSKAFDKLTDRVEDRQLDSSRAQSAMASIAASAEAEKNAMRLREKELAAVKINAAEVDIIANELELDKKVAERTLREHKGDAVAAIRSLLH >KJB14974 pep chromosome:Graimondii2_0_v6:2:31012511:31014442:1 gene:B456_002G152600 transcript:KJB14974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNHYSNFFSGWFKFNPLDQHYPSPIHPLQKPNPHPQNSHKNGTNNLNPFLHYRTTYSSPPPSPPVREALPLLSLSPTRHYKDEDGVYQDQDQEQHSCTAMDVNGEDDDETVTVTVALHIGLPSASASELASVLSASSEMTEQDDEYPINRLKKRQYWIPTPSQILIGPSQFSCPVCCKTFNRYNNMQMHMWAHGSQYRKGTESLRGTQPTAMLRLPCYCCAPGCRNNIDHPRPKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGDGHQVYATDDLEEDDDEPASEVEQHNGSLH >KJB16981 pep chromosome:Graimondii2_0_v6:2:62042857:62043916:1 gene:B456_002G258600 transcript:KJB16981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSQAPWRLRLGSALRTVLACSIVGCTTLYGPESVRHTITFPAFSYVTTILIVSDATLGDALRGCWHVLCASIQVILPSMLILRLIGPSRFNFGLAAVAVALSSFLIALPGSTHLTAKRIAFGQTVIIYVGAVIQGAKTGIIIHPIHVAASTALGAVASILAMLFPYPHLAYREVSTELEYELALFCMDLHLFCIKIYVLHKHNEIVQCLGSSFMVFILT >KJB16861 pep chromosome:Graimondii2_0_v6:2:61521312:61524440:-1 gene:B456_002G251600 transcript:KJB16861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARPLVSVQNLESDMATDATPTVPLADVMKASIRPDLVTFVHDNISKNSRQPYAVSKKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVASAIAASAVPSLVMARGHRIESVPEMPLVISDSVESVEKTSAAIKVLKQVGAYPDVEKAKDSQGIRPGKGKMRNRRYISRKGPLIVYGTEGSKLVKAFRNIPGVEVANVERLNLLKLAPGGHLGRFIIWTKSAYEKLDSVYGSFEKPSEKKKRYVLPRSKMVNADLGRIINSDEVQSVVKPIKKEIKRAPLKKNPLKNLNAMLKLNPYAKTARRMSLLAEAQRVKAKKEKLDKKRKPISKEEATAIKSAGKAWYQTMISDSDYTEFENFSKWLGVSQ >KJB15776 pep chromosome:Graimondii2_0_v6:2:52971190:52974379:-1 gene:B456_002G195700 transcript:KJB15776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRESHTFSAWKWLGFVSAVWVQTISGNNYTFSNYSDAIKTLMNLTQLQLNNLSVAKDVGKAFGLLAGLASDRLPTPVILLIGAIEGLIGYGAQWLVVSQKIHPLPYWQMCIFMCLGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDLCSALFSDDPARFLVMLAVIPFAVCLVAILFLREIPQSTSVAAEKEEARYFAIFNIVAVVVAVYLLTYDLIGSTNQVFSLVFAVILLILLASPLAVPIYAFVKSWRLVGFEADMERQQPLLKEETTSPPAETKEIITEVAAAASADDATVLVEKTKPVIGEEHTIMEAMQTWDFWVLFTSFLCGVGTGMAVINNMAQIGLALGHADVSIFISLTSIWGFFGRIISGSVSEYFLKSIFHVH >KJB15777 pep chromosome:Graimondii2_0_v6:2:52971190:52974449:-1 gene:B456_002G195700 transcript:KJB15777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRESHTFSAWKWLGFVSAVWVQTISGNNYTFSNYSDAIKTLMNLTQLQLNNLSVAKDVGKAFGLLAGLASDRLPTPVILLIGAIEGLIGYGAQWLVVSQKIHPLPYWQMCIFMCLGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDLCSALFSDDPARFLVMLAVIPFAVCLVAILFLREIPQSTSVAAEKEEARYFAIFNIVAVVVAVYLLTYDLIGSTNQVFSLVFAVILLILLASPLAVPIYAFVKSWRLVGFEADMERQQPLLKEETTSPPAETKEIITEVAAAASADDATVLVEKTKPVIGEEHTIMEAMQTWDFWVLFTSFLCGVGTGMAVINNMAQIGLALGHADVSIFISLTSIWGFFGRIISGSVSEYFLKKAGTPRPLWNAASQILMAVGLLLMALALPGCLYIGSIVVGICYGVRLAVTVPVASELFGLKYYGLLYNILILNLPIGSFLFSGLLAGYLYDAQATPTPGGGNTCVGAHCYGLVFIIMAMASLIGFGLDVLLAIRTKNIYTKIFNSRKPKKSLAASNGQ >KJB13362 pep chromosome:Graimondii2_0_v6:2:8137089:8137971:-1 gene:B456_002G070700 transcript:KJB13362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative RING-H2 finger protein ATL19 [Source:Projected from Arabidopsis thaliana (AT1G53010) UniProtKB/Swiss-Prot;Acc:Q9C919] MRMITLSFAFHSLNLPLIISSLTALGIAIVLLSCIVGACIIATSFVFIFIYVICECLSWPIFEKLFSDILRRGIHRVRTATYRAIVVNYVQPLDMLEGFPESINGRLLLRQQALEKLLPPMAYGVDKHALKSSECPICLDDYVVGESCRVFPDCKHMFHLSCIDHWLKNHLTCPVCRKCI >KJB11930 pep chromosome:Graimondii2_0_v6:2:27700037:27700282:1 gene:B456_002G147700 transcript:KJB11930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARDSSRYLHRSVETLIVVVAVITIICVIVGIIARLCGGRRWVGNGENHIEGWIEKKCRSCIDGGVTAEEPKPAIEEERK >KJB15632 pep chromosome:Graimondii2_0_v6:2:49972199:49973061:1 gene:B456_002G187300 transcript:KJB15632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRSIEFASKFPDQILDKLQSQRFLGSLNYVIYFYPGLSKLSDPNASKIVETDAFEIRYGGILKQIFARWQTILSIFDFDIEYIK >KJB12250 pep chromosome:Graimondii2_0_v6:2:517408:523799:-1 gene:B456_002G007900 transcript:KJB12250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPIVLLSLVSIPFLAHSAPSQPRGTLVSCGSSTKQSIPDTSLSYIPDDGFINVGNKTTLESNDLLPILSTLRYFPQKSARKYCYTFQVIRGGKYLVRTIYFYGGFDGGKQPPVFDQIIGGTKWSVVNTTEDYANGMSSYYEIIIGAHAKTLSVCVARSNQTAANSSPFISAIEVLSLEDSMYNSTDFRTEALVAVARSAFGNEDSISFPDDPYYRLWQPFTDKNEVVSTQTSVSSSDFWNKPPEKAFSKAIAAGVGKKLEIQWPSGSLQSTRYYVSLYFQDNRAASANSWRVFSVAVNGKTFYNNLNVSTGGVTIYSAEWPLSGPTKITLTPDAKSSAGPLINAGEVYQILPFGTRTLAKDVAVMEELARNLDNPPLDWVGDPCLPQENSWTGVSCSIKDTVARIISLDLTNAGISGTLPLTIDNLSTLHHLWLGGNKFSGSIPEMNSLLKLETLYVL >KJB12531 pep chromosome:Graimondii2_0_v6:2:1633133:1636333:-1 gene:B456_002G023100 transcript:KJB12531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCWSSSLTVDEEFEKLVIRMNPPRVTVDNATSRNATLIKVDSANKRGSLLEVVQVLTDLDLLIQRAYISSDGEWFMDVFHVTDQHGNKLSEVDVAEKIQQQLGPRSYSFRSLTRSVGVQAASKQTIIELTGRDRPGLLSEIFAVLTDLKCNVVAAEVWTHNSRMASVVYIADETTGLSIDNPERLTKIKQLLLYVLKGDRDKMNANTAVSLGSMHKERRLHQMMYADRDYDMSDANSGSTSDRSKPLVTVENCEHKGYTVVNLRCPDRPKLLFDTVCTLTDMQYVVYHATVIAEGPEAYQEYYIRHMDGCPISSEAEQQRVIHCLEAAIKRRASEGIRLELCSDDRVGLLSDVTRIFRENGLSVTRAEVKTRGSQAVNIFYVTDASGNPVKSETIEAVRKEIGLTILHVKDDAYSKSPLQESRIFSLGTLFKSRSEKILYNLGLIKSCS >KJB13231 pep chromosome:Graimondii2_0_v6:2:7467501:7475043:-1 gene:B456_002G063900 transcript:KJB13231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYLAVCVKQSHQRILYQKHDVEKIDEQFLDQKQNVTISKDYELINLVRVTGPESITFDCKGEGPYVGVFDGPILKWHGPKLGWKEFAIPSSIKKKELCDGSTNPNLEPICGRPLGWKFNPITCDLYVGDAYFGLLMIRPNGGIAQTLVTSIEGIPFKFINGLDIESSTGVIYFTDSNTTFQRRYADFLSRSIDISERLLKYDLHTKNASVIYTSLMFPNGVALSKNHSFLLVAETIRTRILKFNLGTNNFEPEVFVVLLRVPDNIKMNHKGEFWVALNAGRFGEIDDDAPDPIGINSITEINEVNRTLYNIGSVTKPYVAILKF >KJB16115 pep chromosome:Graimondii2_0_v6:2:60341181:60342484:-1 gene:B456_002G240200 transcript:KJB16115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIEPTKMTATHYQNPSQFLDQANETQQPDEESSLKGRCSARVDEVAKEGTVRGVEKLMEEDNGRERLKKHRIEMGKSKVWIPDIWGQEELLKDWIDCSGFDDCLVPSGIMLAREALVEEVRTGSGGVRIENRC >KJB11798 pep chromosome:Graimondii2_0_v6:2:3725991:3732329:1 gene:B456_002G0449002 transcript:KJB11798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGPICEALKCLGDPTCTYIEHHRKLEDRMNDLLAKQRQLKAMKRDVELRKNAELRLGRCIRQEVENWLLEVQTVNGKIQNVDEKMQNVSCFSRGRLGKQVSQTVEEVKEIIEQGRFTGALVIDDPSTAGVPFQLEHLEGETAVIADIWKHLMSDEIGMVGVCGMGGIGKTTIMKHIHDQLLEETKSKPLFEKIIWVTVSQDFNIANLQEDIADAMNIEDLPKSEQKRAAVLRNKLRQIRHVLILDDVWEGFVLEKVGIPEPISSNRSKLVLTSRLKEFCKSIGCHEIVEVPLLSNEESMNLFLVHTGHEVLKVPSLEKILGDIVRECDRLPLAIKVIASSMKGINDVVEWRNALTELRNHVTSVKDTDKKIYGRLKFSFDRLKDSNIQNCFLYCSLYPEDYRIPRVELIEYWIDEGFLEMGSRQQLHDRGHTILNRLINNCLLEKAGDDVKMHDVMRDMALYIKHLHFIVKAGTGLEELPSKLEWKKDVERASFMMNKVSEIPLSLSPNCGNLSTLLLKKNMSLKRISESFFQHMHSLSIFDLSYTGIEQLPNSVSNLETLNALVLRGCNKLRYVPSLEKLEALRKLDLRGTGIEKVPKGLEMLANLTYLNLCTESLKELPIAILPRLSCLQCLVLYVKSTCIKMNGLELARLRKLEVFEGRFNELIDFNAYTKSIQCQQLTSYLLVMAPLEAKFNVKQRVKGINCIYRFKLLNVDSNKGRQKAMLLASGLQGTDAIKSIKIQ >KJB11799 pep chromosome:Graimondii2_0_v6:2:3729826:3731934:1 gene:B456_002G0449002 transcript:KJB11799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGPICEALKCLGDPTCTYIEHHRKLEDRMNDLLAKQRQLKAMKRDVELRKNAELRLGRCIRQEVENWLLEVQTVNGKIQNVDEKMQNVSCFSRGRLGKQVSQTVEEVKEIIEQGRFTGALVIDDPSTAGVPFQLEHLEGETAVIADIWKHLMSDEIGMVGVCGMGGIGKTTIMKHIHDQLLEETKSKPLFEKIIWVTVSQDFNIANLQEDIADAMNIEDLPKSEQKRAAVLRNKLRQIRHVLILDDVWEGFVLEKVGIPEPISSNRSKLVLTSRLKEFCKSIGCHEIVEVPLLSNEESMNLFLVHTGHEVLKVPSLEKILGDIVRECDRLPLAIKVIASSMKGINDVVEWRNALTELRNHVTSVKDTDKKIYGRLKFSFDRLKDSNIQNCFLYCSLYPEDYRIPRVELIEYWIDEGFLEMGSRQQLHDRGHTILNRLINNCLLEKAGDDVKMHDVMRDMALYIKHLHFIVKAGTGLEELPSKLEWKKDVERASFMMNKVSEIPLSLSPNCGNLSTLLLKKNMSLKRISESFFQHMHSLSIFDLSYTGIEQLPNSVSNLETLNALVLRGCNKLRYVPSLEKLEALRKLDLRGTGIEKVPKGLEMLANLTYLNLCTESLKELPIAILPRLSCLQCLVLYVKSTCIKMNGLELARLRKLEVFEGRFNELIDFNAYTKSIQCQQLTSYLLVMAPLEAKFNVKQR >KJB13447 pep chromosome:Graimondii2_0_v6:2:8851220:8851732:-1 gene:B456_002G075200 transcript:KJB13447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDSSVGSSSPDISPSAAPSYAYALGLTQFQGFWIPSRHVPTSSIISFQKYFQALDEDIIVVSKPKAGTTWLKALENPNPDLFKIPSPRLFSTHLPYSMLADSIKCSNCRIVYITRNPFDIIASLRHFFLFIV >KJB15772 pep chromosome:Graimondii2_0_v6:2:52883682:52886236:1 gene:B456_002G195400 transcript:KJB15772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRHLSSTFVLLLFISFFSEVNPASFKIVNKCRHTIWPGFLSGANTAHLTTTGFVLKPGKSRIVTIPKSWSGRLWGRTLCRVDSSRKFVCQSGDCGSGQIECSGNGAKPPATLAEFTLNGAGGLDFYDVSLVDGYNLPMLIVVKGGKGGNCSATGCLLDLNGACPSELRVARQNGGGGVGCRSACEAFGDPEYCCSGAFGTPNVCRPSRYSLFFKHACPRAYSYAYDDTTSTYTCAGADYVIMFCPPPYTSQKVFGARKDGALLPLVNKSMIYISSLHANGASLSGK >KJB15525 pep chromosome:Graimondii2_0_v6:2:48112765:48115106:1 gene:B456_002G182800 transcript:KJB15525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPSHVFRSSPSVKLCCVHWNRRVKLLSLEGCSLLTTEGLEAVILSWQDLENLIVVSCKNINESDISPALATLFSILKELFLQLHLDPASSNTLPTSGNGSITQSMKVTNSQHGKKSLVMRIRIAYKINNKDVVEEGQVSNFPRYL >KJB16234 pep chromosome:Graimondii2_0_v6:2:57134077:57135960:1 gene:B456_002G218900 transcript:KJB16234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPIIRSLIAFSLNKSCRSQKPISGYSISSVFFSSSPTQESRASLPLADHLVEKHSFSPELAVKTASSLTYVKDPRKCDTIISFLKESGFSKSHIEAVVKRKPNLLYSSLEKTIKPKFKIFQDLGFSTHDVADIVASDPWILTRSVDDRIAPSISDLKTVLGSNDDVVKLLKTSAWFLKSDLQKTMMPNIEFLRNCGICSSQIVSYVFSFPRFFLLKPESIKQFVERADALGFDRKSNMFLAAIRMLSSMSEENWELKLKLFRKLGFSEDDIMSTFRRTPQVFAVSERKIKQVTDFLLNRTNVGISFIISHPMVLICSLERRLKPRLLVIKTLESKNSLRRKVSMTTIYKMPDKKFREKYVVPYLKELEEVSMSIVGT >KJB17106 pep chromosome:Graimondii2_0_v6:2:62536424:62537343:1 gene:B456_002G265600 transcript:KJB17106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKRPRKLNLNAPLLSTRRPAGCHVIDREVSWKDSSNGIPFCWEHAPGKPKDSERSNNVDEAETPRPKPPPGRWRPPKEATTRDYHDEGCDADVDDYDNDKYDVFSDAVEVLSLTEAIDIVEKTEAIEHSDLDGFNLAMSLEHSDCPSPSFIIDRFLPDAIALAASSALNISKTKLPYIYSDQSQAVMKRTSLSSPKGCGLEMLLPWRMKHKLCSVRNPIKERSIATNVMPPKTSTKQKKLVPSIVAASAEWRCK >KJB14991 pep chromosome:Graimondii2_0_v6:2:31524245:31528780:1 gene:B456_002G153800 transcript:KJB14991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGCYQTVLFPTEGKSDFSSWNPLKNSQLKGNKISGVKFSNFQVSQRRKCIPMKLKSLLSVDSAVEIEGKEGVNTGSKYDAIVIGSGIGGLVAATQLAVKGAKVLVLEKYVIPGGSSGYYQRDGYTFDVGSSVMFGFSDKGNLNLITQALAAVGREMEVIPDPTTVHFHLPNNLSVQVHREYSEFINELMSKFPHEKEGILKFYGECWKIFNALNSLQLKSLEEPIYLFGQFFQKPLECLTLAYYLPQNAGDIARKYVKDPDLLSFIDAECFIVSTVNALRTPMINAGMVLCDRHFGGINYPVGGVGGIAKSLAEGLIDQGSEILYKANVTNIIIDQGKAVGVKISDGREFFAQTIISNATRWDTFGKLLKAENLPKEEENFQKVYVKAPSFLSIHMGVKADVLPPDTDCHHFVLENDWTRLEEPYGSIFLSIPTVLDSSLAPKGRHILHIFTTSPLEDWEGLPPKDYEAKKELIADEIIGRLEKKLFPGLKSSIVFKEVGTPKTHRRYLARDMGTYGPMPRQIPKGLLGMPFNTTGISGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIGLEKKSPILDAALLGLLGWLRTLA >KJB14992 pep chromosome:Graimondii2_0_v6:2:31524492:31527575:1 gene:B456_002G153800 transcript:KJB14992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGCYQTVLFPTEGKSDFSSWNPLKNSQLKGNKISGVKFSNFQVSQRRKCIPMKLKSLLSVDSAVEIEGKEGVNTGSKYDAIVIGSGIGGLVAATQLAVKGAKVLVLEKYVIPGGSSGYYQRDGYTFDVGSSVMFGFSDKGNLNLITQALAAVGREMEVIPDPTTVHFHLPNNLSVQVHREYSEFINELMSKFPHEKEGILKFYGECWKIFNALNSLQLKSLEEPIYLFGQFFQKPLECLTLAYYLPQNAGDIARKYVKDPDLLSFIDAECFIVSTVNALRTPMINAGMVLCDRHFGGINYPVGGVGGIAKSLAEGLIDQGSEILYKANVTNIIIDQGKAVGVKISDGREFFAQTIISNATRWDTFGKLLKAENLPKEEENFQKVYVKAPSFLSIHMGVKADVLPPDTDCHHFVLENDWTRLEEPYGSIFLSIPTVLDSSLAPKGRHILHIFTTSPLEDWEVSSGHIY >KJB16572 pep chromosome:Graimondii2_0_v6:2:59943642:59945144:1 gene:B456_002G237100 transcript:KJB16572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGQIEIQPSDDSNSQEIRLSLLSAFENISFILSFNPGFLVLSSPRQEHAGPDLLPSGKLFPLCQEHFLPTYVHASSLIY >KJB16052 pep chromosome:Graimondii2_0_v6:2:55820752:55821690:-1 gene:B456_002G211000 transcript:KJB16052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLFFFFIFCSFLLYGNLNHARKEPRDYWKSVMKDQPIPEAIQGLLHQDEASAMDSENFVKDFDSRHSFIIYHSNLKHKEEEDKTYVKDLKNQKEHKSDKKNQTEKY >KJB16498 pep chromosome:Graimondii2_0_v6:2:59238804:59247042:1 gene:B456_002G232800 transcript:KJB16498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPATIPRRSSSDPDLTVTTSKSKTLIVTPPSSRSSASVSRGKKSSYWISWSNISKLGDFIDRRNKESDLTEESLHEHNKLHGIYSHKFRLNNFIGDDDVDEKYNKCSPYYKGLTDSTLRINREKNVSGADSPGRESQTTTVFSTSSSSLSILFGKVQELGSSCFTCTRTGDYYKDPDVLKPVKATNPSLPVPCSNTTSMKDIKPEIKEAEDNPPDVQLVFKEKPLRERVREPSAAPPTVTKTVVERKEAEVNQKFILADKYRPKALKDFICNRSEALRVQDLVKSGEFSHIIFEGPAGVGKRTMMRAVVREIFGSDRVQTRDECKPYYLKGESVRRMDVNIRESSKHVEVNLSDLRGYEKHVIVELMKETQPNKPFSYDPQNYKAIVLCEADKLSTDALLYIRWLLERYKAGNKVFFCCSDISKLQPIRSLCTVIKLLPPSKQEIVEVVEFIAKQEGIVLPPKFGERIANSSKNNLRQAIRSFEACWQSSYPFKEDQIILTGWEEDIANIAKNMVEERSPKQLYIIRGKLQILIEHDVSPDFIFMSLVGEVKKHLHENLHPQVDVLYDEYNRDDENMIESEDEMGTKVIDPVKKNSRIFSRIEEFIARFMSWYNNQSKMANAAATFFWPPVKGLQCSSHILLAADEGTSP >KJB13464 pep chromosome:Graimondii2_0_v6:2:9028301:9029627:-1 gene:B456_002G076400 transcript:KJB13464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLSSGTVLRPTPFLGQNRNANPLRDVVSVGTAKYTMGNELWYGPDRVKYLGPFSAQIPSYLNGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWLRVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLPGVGEGNDLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGS >KJB12758 pep chromosome:Graimondii2_0_v6:2:2730892:2735065:1 gene:B456_002G035200 transcript:KJB12758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGTALDIITPSKSIKDPEFIISQNGTFRLGFFSLANSSNRYGRKVEIKSWKSHDDPSDGNFSFGIEPFNIPEGIIRKNNQLYFRTGPWNGNMFLGSILMTAVYTDGFYVVADNQQQTFYMAFEYSNDSMLIYCELDSQGKFIERRWDAGKGNWINRYSSFQTDCDIYGYCGAFGICDSSKRCSCIKGFKPRNIEEWSRGNGSSGCFRTTPLQCQRDNTNGSGGAGQGDDGFLKMMVKKVPAFPVRSSIINGDCKDQCLENCSCVAYAYDAGIGCMFWSGDLIDVQKFSTSGVDLYNLYIRLRSSELDKGKNTKVIVITTVIAGIIVITISALFLWCRMAKQRERNEKRKHIKHKIYRENSIGVKLQQLPLFNFKQLAIATNNFNHAKKLGQGGFGLVYKGILDDGKEIAVKRLSKASGQGLEEFVNEVVVISKLQHRNLVRLFGCCVDREEKMLVYEYMPNKSLDSFIFDPVKQKILDWKKRFNIIEGISRGLLYLHRDSRLRIIHRDLKASNVLLDRELNPKISDFGMARIFGGNENQANTKRVVGTYGYMSPEYAMRGQFSEKSDVFSYGVLLLEIISGRRNISFHNRDDLGLLGYVWKLWNEGNIWDLVDKVISESESDSKNEKEIWRCINVGLLCVQEYANDRPTMSTVVSMLNSEISDLNTPKQPAFTQAPLIIQVVKNTDSINDVTLTKVNGR >KJB12853 pep chromosome:Graimondii2_0_v6:2:3239017:3239435:-1 gene:B456_002G0397001 transcript:KJB12853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFIPFKLSLLLSLLSNIGFLPETSKNDVGIFPPTCNRIECPSFDLIEVGNGYEIRRYNSTIWVTTSPIQDISLVEATRTGFL >KJB13022 pep chromosome:Graimondii2_0_v6:2:4542973:4546337:-1 gene:B456_002G051900 transcript:KJB13022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGKIPKQIVNLTLLVDFDCSNSNFTGIIPNEIGNLKNLQVLNLELNNIAGSIPPQVFNISTLRTISLSTNQLSGHLPSNMGLFLPNMERLYLNFNHLVGSIPVVLENLLFGINSFISGELPRVVGNLSSSLEEFSASACNIRGSIPSEIGNLSHLINLELGGNKLIGQIPTTVGGLEELQSLSLEDNKLEGSIPPELCHLNKLAFLFLTNNKLSGPIPACFGDLTSLRQLFLDSNMFSSSIPSTLTKLNYLLILYLSSNSLSGPLPIDIGKWQVLTSLDLSNNQFSGDIPTGVADLKDLTHFSLSNNRIMGSILESFDELLSLEFLDLSRNNLSGEIPKSLVKLRYLKYFNVSFNRLEGEIPDGGSFENYTIESFKGNEALCGAARLHVPNCKTRPLRNSKAKTKLLIYVALPIASTILVVALIIIILQYRRKDKLPTQEDMIPLGTWRRFSYHELRQATDGFNDSRLLGNGSYGSVFQGNLQDGTVIAVKVFKLELEGAFKSFDVECDVLCNTRHRNLVKVISSCSNDLNFKALVLEFMPSGSLDKWLYSNNQYLDILQRLNIMIDVASALEYLHHGNATPVVHCDLKPNNVLLDEDMVAHLSDFGIAKLLREEVSMIQTMTMATFGYMAPEYGIEGIVSTKGDVYSFGILLMEIITRKKPTDEMFEGERSLKSWVIESISSSRNQVVDPQLLSTIGREDLKVKNCALSILQVGLECSTELPNERLHMKEVVTKLKKIKVKLSRDMQRVR >KJB14308 pep chromosome:Graimondii2_0_v6:2:16782817:16783798:-1 gene:B456_002G118300 transcript:KJB14308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIYFSSSGALLVFPCLQDEGVGETAKLRRLKRRAEDTEFYDVDMAKKLKSITQGEFISRREKDFLSIMVSVYSTRSPTSNALELFKDEETYNLELLNDESVTSLDQMKETLEFRKNVSIASKFGKSPWEAMASYTEHLLSKLSDEGQGSHFHPELDKAVCTEIRKVGDQGSSIEDVYSLVRMPGEKAPEIIILALQEFVRDQIVYYSVMVKAANNLDQEI >KJB13239 pep chromosome:Graimondii2_0_v6:2:7515331:7521286:-1 gene:B456_002G064400 transcript:KJB13239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCYSPFHHSPPLITKWKPQLLFFKPNFKSQFSPLNFYPNQNFPHFFTKRISGYPIKSPAFSSSLEDEFDVELGRLLSLLPEEMRRRIREHEELRELIEVVMDIGRKPLARFPSGDFVLSDCPITVQDIEHATSQVGDFAIDNRAGISRTLHRISAIRNRKGTIIGLTCRVGRAISGSADLLRDLVQDGASLLLIGPPGVGKTTIIRDIARMLANDYKKRVMIVDTSNEIGGDGDIPHAGIGCARRMQVPDSDMQHKVLIEAVENHMPQVIVIDEIGTKLEAMAACTIAQRGIQLVATAHGVTIENLIMNPSLEILIGGIQSVTLGDEEANRRGVQKTVLERKGPSTFSCGVEIVSKTELRVHRCLEATVDAVLAGRFPRIEIRKMNSLGLEESSLTFTDISSDKEDLVVTGDLSETSDENSTGNHFPSDLSSKMADDSTEDRDLLHMYVYGIQEASVIQGLKKLKMDAAVQLTDNISEAGVLFAVLSKLRKNSGIQAAAKSHKIPVYVAKTSSSMQITKALEALKDDYPYGLKNPGSENDMNILEKVDALEEARIAIEQVVIPKEEPAELLPRPTQIISLQTELIEKYQLQSEKIGKGSDVRLRILPFKLVKDEDKSSGEDANANDFENFEPYGANGSPHGVDRLPLLPD >KJB12018 pep chromosome:Graimondii2_0_v6:2:14947151:14948965:-1 gene:B456_002G109200 transcript:KJB12018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTDFLGKVAKMQQRLSASGRPSGTDGYDFSYRMVVDSRYQKVARTKSILRSFFLVQAITLLLGLVLLIFQSASEGLASRVLEISTTACGIISLKIGELGRKRSRVNMLRFFMVASSIAVSLLMFCAIRKCSGFMAAKSPSFWETILELPEVALAVVGLVFHLFIIGYTVHLIANMSVPKRAS >KJB12903 pep chromosome:Graimondii2_0_v6:2:3801710:3806011:-1 gene:B456_002G045700 transcript:KJB12903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNSKASNVGSSVLVPSVQELAKQPLSAIPDGYLRPELEGDAVAHGGGDQVLEIPVIDMQRLVCEESMNSEIHKLDFACKEWGFFQLVNHGVNLRLLEKVKAELEELFKLPMEEKKKLWQCPGNVEGFGQAFVVSEGQKLDWCDLFNMLTHPLHLRNPRLFPNLPLPLRDIMELYTSELNKLSTAILEKIVEAIGMKTEEMKELVGEGRQTIRVNYYPPCHLSDQVLGLTPHSDATLITILLQLNDVHGLQVRKDGNWVPVKPLPNAFILNVGDILEIITNGTYSSIEHRATVNPKKERLSFATFIGVGAGGEIGPAPSLVSKQKPAMFRRVKVEEYYKGMFSRKLQGKSYLDYMKIEQE >KJB12761 pep chromosome:Graimondii2_0_v6:2:2773866:2774658:-1 gene:B456_002G0355001 transcript:KJB12761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSAAEWTHGEEFPHWLDQQPLETESIPDDKRWSSQPLANLDAKHLYRTSSYPEQQQQLQQQQQYHQHFSSEPILVPKSSYISYPPPDGRSPQASPNQHSGHLNIPYMAGGPQMASSPNLSGLPNSQLQLPGLHHGPNYRGNMPQFAPGLPVSSQPSSKWGSQPKLYGDNSSVLNNMLQQQLTRQNGLIPPQLMPQLQSHQQRLQHPVQPSFSHFSGIQSQQFNPHLSPSPPVMNKVEAILGIGDLRDQRAKSAQKGRQSLRGFD >KJB14345 pep chromosome:Graimondii2_0_v6:2:17304867:17305401:1 gene:B456_002G120500 transcript:KJB14345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYKLCASKHLTSLFYFAAKNQSMHQSSITTIPSKVGARKAAYHEPRFIGGDGIMSYFHGRKDKEFHLVSDMFISMLILLARETRREEKNVPTCLLFSSSKIYERMLSLCI >KJB13226 pep chromosome:Graimondii2_0_v6:2:7424968:7428684:-1 gene:B456_002G063500 transcript:KJB13226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKTEGEESKNDNKVYTRKTHNKPKNPSFFPHQSSEQTLPTTTTADYHNSSRQLPPQHFDAVASDDSSSHNQLQRGAQNATNGTATSGHVRYDNLVKINLSVLSKNDVRVLQRKLTRELEQVRGLLKKFDAKEGRFSGGYSNSRVLGNEDVDRCGGSLVRMNSDVGSVGLPSSRPFHGLSVSVEENDRSNNGNGGGNGSEFVEKEKRTPKANQYYKNSDFVLGKEKLKPVENKKKMKPNVGKNNVRQVGDGVASEKLSSRMFKSCSNLLGKLMKHKFGWVFNKPVDVKGLGLHDYYSIVKHPMDLGTVKTRLNKNWYKSPREFAEDVRLTFSNAILYNPKGQDVHVMADTLSGLFEEKWAAIGSESNLNRRFERSHDYSLPTPTSRRIPAPAPAPAPIQSHGPPALAHSSLPLEARTLERSESMTMPVDPKSRDVDLTPSDRIGVPKKSKIKDPEKRDMTYEEKQRLSVDLQNLPSEELDSIVQIIKRRNAALFQQEDEIEVDIDSVDPETLWELDRFVTNYKKSLSKIQKNAEVALQANTEDDHNIQETNLEPPANKVSKVAETAERIVPTSPPIHGKRQQNEESGSSSSSSSSSDSGSSSSGSDSDNSS >KJB13227 pep chromosome:Graimondii2_0_v6:2:7424968:7428713:-1 gene:B456_002G063500 transcript:KJB13227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKTEGEESKNDNKVYTRKTHNKPKNPSFFPHQSSEQTLPTTTTADYHNSSRQLPPQHFDAVASDDSSSHNQLQRGAQNATNGTATSGHVRYDNLVKINLSVLSKNDVRVLQRKLTRELEQVRGLLKKFDAKEGRFSGGYSNSRVLGNEDVDRCGGSLVRMNSDVGSVGLPSSRPFHGLSVSVEENDRSNNGNGGGNGSEFVEKEKRTPKANQYYKNSDFVLGKEKLKPVENKKKMKPNVGKNNVRQVGDGVASEKLSSRMFKSCSNLLGKLMKHKFGWVFNKPVDVKGLGLHDYYSIVKHPMDLGTVKTRLNKNWYKSPREFAEDVRLTFSNAILYNPKGQDVHVMADTLSGLFEEKWAAIGSESNLNRRFERSHDYSLPTPTSRRIPAPAPAPAPIQSHGPPALAHSSLPLEARTLERSESMTMPVDPKSRDVDLTPSDRIGVPKKSKIKDPEKRDMTYEEKQRLSVDLQNLPSEELDSIVQIIKRRNAALFQQEDEIEVDIDSVDPETLWELDRFVTNYKKSLSKIQKNAEVALQANTEDDHNIQETNLEPPANKVSKVAETAERIVPTSPPIHGKRQQNEESGSSSSSSSSSDSGSSSSGSDSDNSS >KJB15237 pep chromosome:Graimondii2_0_v6:2:41261400:41264396:-1 gene:B456_002G166600 transcript:KJB15237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESGGGSSPPAMSGSSPPASGAGNETNKNTPTAPSPTSTSTSSPPPPPDDSSNNQSSSEKKSPETSPPPAPQENESPPPPSSSSTPSSSKPPPSPQTPKQQQSPPATPQTQNPPKQQQQKHQSPPAPNTHSKESSQSSSGSSSSSSSSPDNSTKSSPSPPRPSPGTSQSQSSANSTSSSPQISSSAPANGSSTTSSSTSSPKALGPTTLSTSKSPSSTSSDGSSPSGGSNNSKQNNVSGHDKTLNYEAVIAATVVGVLVVVFIILFFYLRGRKKNRKSPYANYNRPPPTNFLVTSDAQMGHSPQHDTFHYNSQLHCQESSMVNSPQKEQNYHGPDSGIMAGSKTYFTYEELMEMTNGFARQNIIGEGGFGCVFKGWMADGRVVAVKQLKAGSGQGEREFRAEVEIISRVHHRHLVSLVGYSMAEKQRLLIYEFVPNNTLEHHLHAKELSLLEWDKRVKIALGAAKGLAYLHEDCHPKIIHRDIKSANILLEDNFEAKVADFGLARLNDTSQTHVSTRVMGTFGYLAPEYASSGKLTDRSDVYSFGVVLLELITGRKPIDSTQPLGDESLVEWARPLLIQALESGDFGELIDPRLKKHYVKSEMVRMVEAAAACVRHSSSKRPRMALVVRALDFEGDPDLSNGVKYGDSIAYDSGKYSEEIAEFRRMGLSSTENSSEIDMYGSEYNSKEMERGQSDFWKSQNSSGDYTSGELETRALKTR >KJB16141 pep chromosome:Graimondii2_0_v6:2:56289451:56290644:-1 gene:B456_002G214100 transcript:KJB16141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTTWAPSPSPSLTPSPSPLSSSSPPSSSSSSPSLSPPSKLPVDFSPPLIAMVVVVAAAFLVVTYSRLISKRLVPLILRLLSFFRRRRRRRWFLPSTTTDLDSLPPSDPFDLPLSPYGLDASAIKTLPLSLYTSKTRPNNSPKDCAVCLLEFEDNEYVRTLPVCSHAFHVDCIDVWLKSHANCPLCRAGIFATESPFTPLMAARIRPSLDDPVFENNTLESLTETPLQYFPNNTITEITEEPSPRRRNGNSNCEERFNFVLKRSYSFGFERSLAAERMITEPNTASPWRYRRGGNGFWSRRTSPFGSLMMKPRVFSFRYYRGIKASPFFRRRGFFPLSESSVRFTAAGGGSSRRSKSMASPMFLRSAASSVAAFSSSRLRCGDPEALLSPERFNRR >KJB14208 pep chromosome:Graimondii2_0_v6:2:16149379:16152540:1 gene:B456_002G114500 transcript:KJB14208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSESVSEEALRQDFETLTVSKRLVRSVSQKLRKKNHRGEGGEEDKVRGVSLKCLTLYGRGGGCKVGADTGEELGDPSYRRRSSASEEGKVYKSICGIEDNNFDCFSYGVREKFWKKNNRKDLDLEESVRNSRMHIFLPDDILEMCLVRLPLTSLMNARLVCKKWRHLTTTPRFLQMRREGSCQKPWLFLFGAVKDGYCSGEIHALDMSQDQWHRISADILRGRFMFSVASMQDDIYIVGGCSSLTNFGRVDRSSFKTHKGVLVFSPLTKSWRKASSMKFARSMPILGISEVCSDFSVIQSHQNRHDRQFPRSRVGGVSDVYEDPHRLSLRRQYRNAFDENGPSLLPNRRSYKFIRQRSEQANMKGCKRFVLIAVGGLGSWDEPLNSGEIYDSLSNKWTEIQKLPIDFGVVCAGVVCNGMFYVCSENDKLAGYDIERGFWIGIQTSAFPPHVHEYYPKLVSCNGSLFLLSVSWCEGDGQIGRRNKAVRKLWELDLMYLTWTEVSVHPDAPMDWNPVFVADRNLIFGVEMFKIFGQVLDFFTVCDVSDVEMNWSHISRNHMAHELDASSCMTKTMAVLHL >KJB14207 pep chromosome:Graimondii2_0_v6:2:16149379:16152498:1 gene:B456_002G114500 transcript:KJB14207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSESVSEEALRQDFETLTVSKRLVRSVSQKLRKKNHRGEGGEEDKVRGVSLKCLTLYGRGGGCKVGADTGEELGDPSYRRRSSASEEGKVYKSICGIEDNNFDCFSYGVREKFWKKNNRKDLDLEESVRNSRMHIFLPDDILEMCLVRLPLTSLMNARLVCKKWRHLTTTPRFLQMRREGSCQKPWLFLFGAVKDGYCSGEIHALDMSQDQWHRISADILRGRFMFSVASMQDDIYIVGGLGSWDEPLNSGEIYDSLSNKWTEIQKLPIDFGVVCAGVVCNGMFYVCSENDKLAGYDIERGFWIGIQTSAFPPHVHEYYPKLVSCNGSLFLLSVSWCEGDGQIGRRNKAVRKLWELDLMYLTWTEVSVHPDAPMDWNPVFVADRNLIFGVEMFKIFGQVLDFFTVCDVSDVEMNWSHISRNHMAHELDASSCMTKTMAVLHL >KJB12570 pep chromosome:Graimondii2_0_v6:2:1783610:1787499:1 gene:B456_002G024700 transcript:KJB12570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETFFDLMELLMKQSITETVVDVLIYAVPIWLAVTIGLAIGWSWRPRWTGLVLLGFRSKFRFIWTAPPGFGARRLWLAFTALSAFSVFRTIFSNFIKRRFKEAASDSSVPLQSPPPTAWISPESVADAVSPGGRAEEREQDIVTENDLAHLLHLLEGKDGEMEWQRMMERTTSNMSYQAWRQEPVSGPAIYRSRTVFEDATPEVVRDFFWDDEFRPKWDTMLAYFKTLDECPCTGSMIVHWIRKFPFFCSDRDYIIGRRIWEDGKTYYCVTKGVPYPGLPKRDKPRRVELYFSSWIIRPVESRKGDGQLSACEVTLVHYEDMGIPKDVAKLGVRHGMWGAVKKLHSGMRAYQSARKTETSLSKCALMARMTTKISCDENISSPGRVLREDENDQSMGIIKRKNDNSLNWKWIAIAGTVALAFGLRSGVIGKALLVGAGQRIGRR >KJB12141 pep chromosome:Graimondii2_0_v6:2:147509:148374:-1 gene:B456_002G002700 transcript:KJB12141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSKKIIVKSSDGETFEVDEAVALKLQPIKCMIEDDCADGEIPIPIVTGKILAKVLEYCNKHVDVKYGVMNTEFEDWEADFVKVDQNTLFDLILAANFLEIKSLLDLTCKTVANMMKGKSPVEIRKTFNIKNDFTPEEEEEIRRENAWAFD >KJB13980 pep chromosome:Graimondii2_0_v6:2:13237618:13240656:-1 gene:B456_002G103800 transcript:KJB13980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMKPIDYDTVIAECTMGLQVQPRFVRALLRRARAFEAIKKYEMAMQDVQLLLGADPNHKDALEIARRLRTALGPRQEAQQDLQSRPSPAALGASAVRGAPIAGLGPCLPARPVSKKTTSPPGGSVGSPSNKLEKIQMNVATENGPENRAQMPRLVLKPSSGSLKTTGNLNKGGPRAQSISGFVQEQVAIEWRPLKLVYDHDIRLSQMPVNCSFKVLREIVSKRFPSSKSVLIKYKDNDGDLVTITCTTELRLAESSVDALIPIEPEADKASGLGMLRLHIVEVNPEQEPPLPDEEEEKPLETEGAKADESGSHSSPGDNGSEGVDTEIEKTEKEASKEKAGATEDPECKEVEMDDWLFEFAQLFRTHVGIDPDAHIDLHELGMELCSEALEETVTSDEAQILFDKAAAKFQEVAALAFFNWGNVHMCAARKRIPLDESASKEVMSAQLQVAYDWVREKYSLAREKYSEALLIKPDFYEGLLALGQQQFEMAKLNWSFALAKKIDLSSWDPAETFQLFGSAEEKMKAATEMWEKLEEQRANELKDPNSIKKDDLLKRRKKPGSVAESELLGTGNQGELSPEEAAEQAAVMRSQIHLFWGNMLFERSQVECKLGMDGWKRNLDTAVERFKLAGASESDISTVLKNHCSNGDAVEGDEKKVGGEAESSDVNQTSDK >KJB13979 pep chromosome:Graimondii2_0_v6:2:13237957:13239981:-1 gene:B456_002G103800 transcript:KJB13979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMKPIDYDTVIAECTMGLQVQPRFVRALLRRARAFEAIKKYEMAMQDVQLLLGADPNHKDALEIARRLRTALGPRQEAQQDLQSRPSPAALGASAVRGAPIAGLGPCLPARPVSKKTTSPPGGSVGSPSNKLEKIQMNVATENGPENRAQMPRLVLKPSSGSLKTTGNLNKGGPRAQSISGFVQEQVAIEWRPLKLVYDHDIRLSQMPVNCSFKVLREIVSKRFPSSKSVLIKYKDNDGDLVTITCTTELRLAESSVDALIPIEPEADKASGLGMLRLHIVEVNPEQEPPLPDEEEEKPLETEGAKADESGSHSSPGDNGSEGVDTEIEKTEKEASKEKAGATEDPECKEVEMDDWLFEFAQLFRTHVGIDPDAHIDLHELGMELCSEALEETVTSDEAQILFDKAAAKFQEVAALAFFNWGNVHMCAARKRIPLDESASKEVMSAQLQVAYDWVREKYSLAREKYSEALLIKPDFYEGLLALGQQQFEMAKLNWSFALAKKIDLSSWDPAETFQLFGSAEEKMKAATEMWEKLEEQRANELKDPNSIKKDDLLKRRKKPGSVAESELLGTGNQGELSPEEAAEQAAVMRSQIHLFWGNMLFERSQVECKLGMDGWKRNLDTAVERFKLAGASESDISTVLKNHCSNGDAVEGDEKKVGGEAESSDVNQTSDK >KJB13764 pep chromosome:Graimondii2_0_v6:2:11822846:11824881:1 gene:B456_002G093100 transcript:KJB13764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGQYIDPLDSISQRKDFYGKGTDYKRFSETEKRYAFSSQITDLIVKLVNIIEEAERSSPVRAFDMQKSISTALKDMQKQLDLISKYDWDFDNYLEEDVLAAMEYIKDKSEPNDGKLLAIGHSMGGILLYAMLSRCGKDSGLAAVTTLASSLDYMSSRSSLKLLLPLADPAQVLNVPVIPIGTLLAAAHPFAANLPYLLSWLSPQISAPDMLQPKLFEKLVTENFGKKICFTFGFQDNAQMLNVDSGIDAFNCHIAHYDIVGAQRAVDLVYPCKIEFLNHHDAA >KJB12034 pep chromosome:Graimondii2_0_v6:2:19376810:19379363:-1 gene:B456_002G126900 transcript:KJB12034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHTYNQQNRHQGGVEKESEQVKFYLSFLDLDLALLEDKSVAITDSSNEEEIFYHKQWERSNRLSIMFLRMIIANNIKTTIPQIENAKEYIMFVVERFCSTDKSLTSTLMARLTTMKHDGSSVGPVP >KJB15320 pep chromosome:Graimondii2_0_v6:2:42955766:42959380:-1 gene:B456_002G171000 transcript:KJB15320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKPVQLSYHLPLILIFTVYISVSAAEQTVVPSIKTDAVALLGFKKMIDNDPTGVLSGWKLETSPCLWYGVKCSSGRVVQLDLNQCSLYGTIFFNPLASLDMLSSLDLSGNMFTVNSTTLLLLPYGLKRLQLSDTGLFGLFPDTIFSKLPNLEYVNLSHNNLTGTLPDNLFSSNPDKLQVLDLSANNITGSISGLKLENSCNSLLVLDLSLNRFVDSIPVYLSNCTKLTALNLSLNSLTGEIPSSLGELKSLQRLDLSFNHLTGWIPSELGKACDSLLALRLSFNNFSGPVPSSLSSCSYLQLLDLSNNNITGPFPDSILENLGALEALLLSNNIIFAPFPSSISYCKSLRIVDLSSNKFFGTIPPDICPGAAALEELKIPDNLVAGQIPPQLSQCPQLRTVDFSLNYLNGSIPTELGALANLEQLIAWFNDLEGQIPKELGKCSKLKDLILNNNRLSGEIPVELFNCSNLEWISLTSNELTGSIPREFGLLSRLAVLQLANNSLSGEIPGELGNCTSLVWLDLNSNKLTGEIPPRLGRQLGAKSLSGILSGNTLVFVRNVGNSCKGVGGLLEFAGIRPERLLQISNLKSCDFTRMYSGAVLSLFTQYQTLEYLDLSYNQLRGKIPDEIGEMVALQVLELAHNQLSGEIPSSLGQLRNLGPIPQRGQLSTLPATQYANNPGLCGVPLPECRNGNNQAATSSDLDGGKRRRKPAAVSWANSIVLGILISIASICILIVWAIAMRARRREAEEVKMLNRLQAVHAATTWKIEKEKEPLSINVATFQRQLRKLKFSTLIEATNGFSAASLIGCGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHRNLVPLLGYCMIGEERLLVYEFMEYGSLEEMLHGRAKARDRRILTWEERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHEMEARVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTVRGDVYSFGVVLLELLTGKRPTDKEDFGDTNLVGWVKMKVREQKHMEVIDPEILLVTKGTDEAEAEEVKEMMRYLEITLQCVDDFPSKRPSMLQVVAMLRELMPGSANGSSNSA >KJB13474 pep chromosome:Graimondii2_0_v6:2:9097839:9098839:-1 gene:B456_002G077000 transcript:KJB13474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAVSASKAWIVAATIGAVEALKDQGICRWNYTMRSLHQHAKKNIRSSSFFSSSSSSSSAAAVSNKLREEKMRKAEQTTKKVMDLNCWGPNTVRF >KJB12314 pep chromosome:Graimondii2_0_v6:2:748807:755439:-1 gene:B456_002G011300 transcript:KJB12314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLEVEEKPLEVKQNTIWGESKKMWAIAGPAILVSVSQFSIGFVTVAFAGHLGELELAAVTITTNVIEGFVFGIMLGMGSALETLCGQAVGAGQHNMLGIYLQRSWIITGITALCLTPCYLLATPILNLLRQDKAMSELAGKYCKMVIPQFFAYAMNFPIQKFLQSQTKVWVMTIISIIGLGCHVLLNWALVTKLELGLLGAAMAGNISWWLQVIAMVIYVVAGFFPDSWTGLSLLAFKSLWGFVKLSLASAVMLCLELWYFTAIILMVGYLKDPTLAVDSISICLNLQLWTSMVTLGFNIAASVRVSNELGAGRPKAAKFSIVVVVLTSLAVGIIFMAIILATKHDFPKLFTDKQLVIKAASKLGYFLAATVFLNSILPVLHGVAVGAGWQAYVGLINITCYYLLGIPAGALLGFKFKLRVQGIWFGMLIGTVLQTTILLFVMLRATWRNEAVQAEERLRTWGGPTETPNISLESNSAS >KJB13301 pep chromosome:Graimondii2_0_v6:2:7809281:7812240:-1 gene:B456_002G067200 transcript:KJB13301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSAVSSVVKTIGNLITEEARLLGGKDQVESSLGELKLMRSFLKEADSRKVYNDVLRECVAEIRELAYDAEDVIETFAPKVTLKKKGGISNAIKRSACFLKEGRVLHETKSKIEKITARMKELTRELRTYNLRRLGERGDSKWQMVFENVKTYLKRGKGLCIEDVSCFLYLSIFPEDYEIPVERLIQLWVAEGLVSSAEGMLQKVAEGCLVELVERCMVQVGERGPTLKIKTYRMHDLMRGLCLSVAKKENFVCIIDDLHPLDNDDCSLLMVVLGVFLLWKRQGCWTYLFNNFKLLRVLECEACENVAGCKFLNLRAFDFIIPKIPSSLGNLRCLQTLDLKYPAYSGFCCVPDFNTYNCFLADRSKFTKLRKLEIFGSLHIEDCKEGLDKNLSIITSKYLQSLSIDGGGIDPKLLVRLLSSCVYLCELILDGKMRNCYQSITVSLQDSLTLKELVNLEEWKVEEAAMPALHHLKIEYCETLKLEY >KJB16241 pep chromosome:Graimondii2_0_v6:2:57249521:57253869:1 gene:B456_002G219100 transcript:KJB16241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEQLCLVSLTLFIHVASTTTPPFSCDSSDPTTKNYPFCQSTLPVTQRARDLVSRLTLDEKISQLVNSAPAIPRLGIPAYEWWSEALHGVSNVGPGIKFDGTIKAATSFPQVILAAASFDAYQWYRIGQAIGREARAIYNAGEAKGLTFWAPNINIFRDPRWGRGQETPGEDPLVAGKYAASYIRGIQGDTFEGGKLGQHLQASACCKHFTAYDLDNWKGMNRFVFDARVTVQDLADTYQPPFEKCVREGGGSCMMCAYNRVNGVPSCADPNLLSKTVRGEWDFKGYIASDCDAVAIIYDAQGYAKSPQDAVADVLRAGMDVNCGSYLQKYTKSAILQKKLPESQVDRALHNLFAIRMRLGLFNGNPLHNPFGNIRADQICSPEHQILALEAARNGIVLLKNHAKLLPLPKSAMSLAVIGPNAKSPQTLVGNYAGPPCESTTPLQALQSYVKDTVYHRGCDTVSCSSIAIDEAVDIAKRAHFVVLIMGLDQTQEREALDRVDLLLPGRQQELITSVAKSAKKPVVLVLLSGGPIDVSFAKDDHRIGAILWAGYPGQGGGIALAEIIFGDHNPGGRLPGTWYPQDYTKVPMTDMRMRPDSFSDYPGRTYRFYEGDKVFEFGYGLSYSKYSYKFTHVSRKNLYLNHSSSLHTTRSWDSVGYKLVSEVGTQVCDENKFKVGVGVKNDGEMSGKHPVLLFARQGKVGDGRVKKQLIGFQSVVLSGGERGEIEFEVSPCEDLSRANEYGVMVMDEGRHFLVVGDDKLPVTIII >KJB15593 pep chromosome:Graimondii2_0_v6:2:49346761:49347348:1 gene:B456_002G1856002 transcript:KJB15593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYKEDPPAVCVYTVCDESRYLIVRNVPALGCGDDLLKLFANYGDVEECKPMDAEDCEQFTD >KJB16786 pep chromosome:Graimondii2_0_v6:2:61187896:61190608:1 gene:B456_002G247700 transcript:KJB16786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQCLAVASSAAYKKWEKAAIAGVSLVANAAEHLERTTSDKEVEHEQDTPDPEHKILEPVDNNQLPLPAFSQNPFAESNVHATVKLKLQLFPIDDSTRRALEMDKHNPYLELTLSIRKKISSVLEHLNRKWGNSSVASGVLMLFPYGVQRENLRAYQRWTQAAIVSAADVYAMIGRPQVFRLRYGWVSDAEVESLTRQAPVSPSYLSNVHNMNAESRKSCVMEEAQVSASSNNDVSKKLDDPCKNQPILQKENNALEPPRTGFPTMTEKKLATGLKDNFGDSPIPARNASSDRSETCNLAIMRWLEDADDQRLKNSNAALSVGEWADSLTNISVGDLLAEVPHDLDGNCVDRAVTENSQCLQQIPFSCDSFDAAIAAHISMHQNKMDIPSIPSHASSIWDAEDTCDAFLFRKNPIPCTDVPRFSGITSQASCKQIARQNLAELSTHSKESPDPEEPMENSACEDLTDKCPSDPRITDTTENDFNGLTDIYWPESLGPLDLDIPTCKYHTEELIFSDSLGGLSRLIASSLDAFQNCSFFGTDKKEPTSTVGAQDTFSSAFKISSDN >KJB15132 pep chromosome:Graimondii2_0_v6:2:38137030:38142162:1 gene:B456_002G162500 transcript:KJB15132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLESPVIFIDGIRRAIPNFMLSKQAYASAANAEETFLCITVFSTNKSPFWSHPTSPDADLKFHLSKAASNWIAAFGRLPD >KJB13473 pep chromosome:Graimondii2_0_v6:2:9090175:9091562:-1 gene:B456_002G076900 transcript:KJB13473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAWIVAATIGAVEALKDQGICRWNYTIRSLHQHARTNIRSFARHPNILSSPASYKQVNNKCEENMRKVMDLSCFGPNTIRF >KJB16983 pep chromosome:Graimondii2_0_v6:2:62045801:62048899:-1 gene:B456_002G258800 transcript:KJB16983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDDQDLGFFASFLGIFIFVLVIAYHFVMADPKYENY >KJB15676 pep chromosome:Graimondii2_0_v6:2:51471227:51472546:1 gene:B456_002G1906001 transcript:KJB15676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDCLPYGGRVITVKYGDYTQRIGIDGTTEAIREAIKSAFRLRTKRAFWLEDEDHIVRSLDREMPLGNYKLHLDEGLAVKVCLYDESDHIPVHTEEKIFYTEDDYREYLVLRGYAGLREIDGYRNIDSMDDLQTNTIYRGVS >KJB15677 pep chromosome:Graimondii2_0_v6:2:51471227:51472005:1 gene:B456_002G1906001 transcript:KJB15677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDCLPYGGRVITVKYGDYTQRIGIDGTTEAIREAIKSAFRLRTKRAFWLEDEDHIVRSLDREMPLGNYKLHLDEGLAVKVCLYDESDHIPVHTEEKIFYTEDDYREYLVLRGYAGLREIDGYRNIDSMDDLQTNTIYRGVS >KJB14519 pep chromosome:Graimondii2_0_v6:2:19962898:19964549:-1 gene:B456_002G128700 transcript:KJB14519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMMIRKTCPIPNLHNQDGLETVLEVPILEEMFTQLGTDAALLPWLNMRALMNDNISSTPHLQAKSDTEFLALLKIVGAPLIPFQVHLLNYTLSSPLRHCPFESSSAKYIVQQFVAASGGHLALSSATSMCAMGRVKMKGSEMQAGYDSVQLRRNCEVGDFVLWQKNPDLWYLELVVAGFKVSAGSDGKVAWNQSTSQPGHAHRGPPRPLRRFFQGLDPRSTASLFKEAVCVGERTINNEDCFILRLETASNVLKEQSSAQTEIIHHTIWGYFSQRSGLLMKFEDRKLVMMKPPNRKDRVFWETTVESVVQDYKQIDGIKVAHSGNTMTTLYRYGKSHNHKRKIEETWRIDEVDFNICGLSDETFLPPPDLKRELQ >KJB16310 pep chromosome:Graimondii2_0_v6:2:58000003:58000651:-1 gene:B456_002G224500 transcript:KJB16310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLRMMRNQTRMSPSNSSPSSSLSIHKDSQTITKPKIRIIHIFAPEIIKTDAANFRELVQRLTGKPPQQKGCKRKPRIGGKDDKPMELRTGFLAGIETRERVKEEGGGFSSGFGDLDDFIQEMGEFPLLPLDDSHHMHGFEEAQLMA >KJB12858 pep chromosome:Graimondii2_0_v6:2:3287202:3288171:1 gene:B456_002G040100 transcript:KJB12858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKIEEFLQNYNLAPIRYSFKEFKKMTKIFKDKLGEGGFVKLLGKSNGNGQDFINEVASIGRIHHANVAKLIGFCVEGSKQALIYNFMSNGSLDKIIFTEENKNTLGIHYLHQGCDMQILHFDIKPHNILLDESFNPKISDFGLAKLYSINDSIVSLTAARGTIGYIAPTLVYKNLVGISYKADLGDVSDDEKVMVKKMIITAFWCIQLIPSDRPSMSKVLKMLEFDVELLEMPPKPFHQLPLET >KJB16245 pep chromosome:Graimondii2_0_v6:2:57267973:57270626:-1 gene:B456_002G219300 transcript:KJB16245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAVAWRSLLPVIMISIFMVYEEWVSFPSCKLLPSTTYSPDKHVENVDDSLEDLKVMMVANLLLLGSEAGFVNLYFRDYYMSKFFKKSFQSLNPDMLLVLGDVSAKGSELSRTKWLSVLDQFDNMLGPFLDLPLHVILGDRDVGECSGLCANSVTWIARNFPGLDSSGCGAFEISNISFVSLNAVALLCGNNKLRFDVEKVIERESVGRQMEIEGMDEANNGFGMFSEMSNDFRWRVNAMKSGSGPVLLLHFPLYRSGKTHIWEGSTFKSIIDPSGKVPASAQREGFSGTGPYDLSQTVPPNATEYIFHALKPRIIFSAHTQEFSDHTHPDGTREVTIPAMTWKVRDDPGFIVATFQRNKSAVSVTYCSVARESRILIAYSSALVLFLFFIVVSNTLEPKGLS >KJB16246 pep chromosome:Graimondii2_0_v6:2:57267903:57270946:-1 gene:B456_002G219300 transcript:KJB16246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAVAWRSLLPVIMISIFMVYEEWVSFPSCKLLPSTTYSPDKHVENVDDSLEDLKVMMVANLLLLGSEAGFVNLYFRDYYMSKFFKVIERESVGRQMEIEGMDEANNGFGMFSEMSNDFRWRVNAMKSGSGPVLLLHFPLYRSGKTHIWEGSTFKSIIDPSGKVPASAQREGFSGTGPYDLSQTVPPNATEYIFHALKPRIIFSAHTQEFSDHTHPDGTREVTIPAMTWKVRDDPGFIVATFQRNKSAVSVTYCSVARESRILIAYSSALVLFLFFIVVSNTLEPKGLS >KJB13715 pep chromosome:Graimondii2_0_v6:2:11460092:11464733:-1 gene:B456_002G090800 transcript:KJB13715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSCTSCGVWSSHRRAFICGSSSFGGHSLSAFQKSYKPPKRPLTKKEIDELCDEWVLESLIPPITQNMLSEHPVLER >KJB12733 pep chromosome:Graimondii2_0_v6:2:2524679:2525686:1 gene:B456_002G033600 transcript:KJB12733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEYAMQGQFSERSDVFSFGVLLLEIVSGRRNTSFYNNQYALSLLGYAWKLWNEGNIWGLVDKVILELESDSKNEREIKRCIHVGLLCVQEYAKDRPTMSTVVSILNSEISNLDTPKQPAFTETPLMTHDVENKVSLNDVTLTNFDGR >KJB13636 pep chromosome:Graimondii2_0_v6:2:10903493:10903915:-1 gene:B456_002G085900 transcript:KJB13636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRERGRSSGNKFKMSLGLPVGIKGRLNQLPPACIGDMDGFYMYFEDTVGVIVNPKGEMKGSAVTSPIGKECVDLCPRMQLPPIPLKLK >KJB13529 pep chromosome:Graimondii2_0_v6:2:9822566:9825636:-1 gene:B456_002G079700 transcript:KJB13529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIIQVVAGKLIDALKEHSGRVLEFRSQFMELKTQLDFMKSFLADANKLKRKEETVKTTLSMIRELTYDAEDILTDCLLRAEFRDHVFRCNHFLPREMIFQHRTSKRLKDINGRIEKMHKVLKTYLKTIGQQGVHDDVSSVVHRRWTSPAFDESSIVGLAEDTMKIIGWILPTKKQLHQVGIIGMGGLGKTTITQKIFNNHAILERFEERIWVSISQTVNEEETMKTMLKQLGEDTYGLDMGQMLPKIKQALEGKDYLIVMDDVWSVHGWWERLLAGLPKREGQSSAVIITTRKESVAIEMGVEKARIHQPRVLNEEEGWALFCRIAFSSEKEAKPHYELEELGKDIVKKCCGLPLALKTVGGLLKSKTLSTDVWRRIHNNFHDELATREGESSVMASLQLSYDELPTRLKQCLLCFSIYPEDSVISAEQLVHWWVGEGFVQGKDTRTAIELAFDYLSELISRCLVEVVKHRGFDGRVYTCKMHDLVRDLTIKIAREESFCSFDEHGKQRPSIQSRRLGFTGEEDVKSQNKKSKLRAFLMMNSSPVSPDKTIPLFRVRSLRVLDFSLNKLENIPIHKLLHWIISLQRLSYLNLRGVASLKELPQLIGELRNLQLLVLNGCNNLQKLPSSITNLQKLNVLDLGYCPMMRYLPQGLGRLSNLQELSGFTVPSEADRNGCRLGELQWLSKLKVLRVNINEESDIAEEELTVLSHLKQLKVLSINTEGCEKEEIFRKLDGLSPPPHLEELYLRYYRGVTTPMWMNPKSLRDLHYLCIENGDLQFVHPSFEGGKTITWKVEGLCLKFLARLQVEWDLVQSVMPRIRYVEVSHCYMLKSFPCNTEKLGVWRK >KJB15042 pep chromosome:Graimondii2_0_v6:2:34020069:34021616:1 gene:B456_002G1571002 transcript:KJB15042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSSSPSLPPQLLADDPHSAATRLPILSPSPASMLPPLSSPSHVSPLQSPHKPPFSTLPLTNSSPWKAVDVGSMNEAVNYIKHLEKKVKDLDAKRHELKRVSDLASVGSRTKPAAASISHHCFIIRPCLIGIEIMFRCGVEYQDLSSSRVLVILVDEGLHVVSYFSTKSEEFLFHSTIQTEVNDPTSVNISRLQQKLPQSSE >KJB16050 pep chromosome:Graimondii2_0_v6:2:55783183:55783911:-1 gene:B456_002G210800 transcript:KJB16050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WYECRSAIKEALRCYRRLLSDKDYRESISKDHGMGLERGKPSGIGQHMRLAKLVRCLGKWVNTAKQIGCVAGIEVGDGFHWRGELCIVGLHSEFRKGIDCITSLNGSKIWATSIVDSGRYDSCTRKVSSDEFTYCGEGENPSFCGFKKLKDQKLVGGNRALMNNMIDRKPVRVIRRFDNIGNTNESGYKFVYEGLYQVNHCWKEIRMDSGKYVYKFNLVKLEDHLQYEPQWKVNNVRTRRYH >KJB14943 pep chromosome:Graimondii2_0_v6:2:29202356:29204891:-1 gene:B456_002G150000 transcript:KJB14943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLKLPLSQTQRVRLQRAFEKLQSLSAMANSDASVTVADTIPVNYEDAFLKGHGTTDLNGELVATVCGVVERVNKLVYVRSLRARYKPEVGDVVVGRVVEVAQKRWRLEINFSQDAVLMLSSMNMPDGIQAEVRNFQHDGSLQLQARSQKYGKLEKGQLLIIDPYLVKKSKQHFHHLEEFGIGLILGRNGYIWIGEHVEARDSMVIDQAKSIEQSTVVEGINQAYTPLEMRQNICRIGNAIRVLTSLGFNVDLNLIMETVELSSSLKIDIHDMLGSEFHVLVAEMEAERRSLTTKRKR >KJB14944 pep chromosome:Graimondii2_0_v6:2:29202356:29204965:-1 gene:B456_002G150000 transcript:KJB14944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLKLPLSQTQRVRLQRAFEKLQSLSAMANSDASVTVADTIPVNYEDAFLKGHGTTDLNGELVATVCGVVERVNKLVYVRSLRARYKPEVGDVVVGRVVEVAQKRWRLEINFSQDAVLMLSSMNMPDGIQRRRTALDELNMRSIFEENDIVCAEVRNFQHDGSLQLQARSQKYGKLEKGQLLIIDPYLVKKSKQHFHHLEEFGIGLILGRNGYIWIGEHVEARDSMVIDQAKSIEQSTVVEGINQAYTPLEMRQNICRIGNAIRVLTSLGFNVDLNLIMETVELSSSLKIDIHDMLGSEFHVLVAEMEAERRSLTTKRKR >KJB13433 pep chromosome:Graimondii2_0_v6:2:8976208:8978244:-1 gene:B456_002G076000 transcript:KJB13433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNRNKKKRSGVSSMDVNEGPVPDLPQAMDTSETGGQKPDSATAKLKTKKGRPMKRSKNVRKMKAVEKAIAKNEKYAEKSSKTESKKSRTQSAKLLYD >KJB14101 pep chromosome:Graimondii2_0_v6:2:15202401:15204437:1 gene:B456_002G110400 transcript:KJB14101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKTAFSMPPNLNPDQASPDWMNKGDNAWQLTAATLVGLQSIPGLLILYGGSVKKKWAVNSAFMVLYAFACVILCWAVWGYRFSFGDKMIPFWGKPNFALDGHYLMEQTFLGKFPNATMVYFQGVFAAITLVLIAGALLGRMNFYAWMLFVPLWLTFSYTITAFSIWCPDGFLAKMGIIDYSGGYVIHLSSGVAGFTAAYWVGPRLTQDRQRFPPNNILLMLFGAGLLWIGWTGFNGGDPYVVSVDASLAVINTHICTAASLLTWLLLDIVFFRKPSVIGAVQGMITGLVCITPAAGVVQGWAAVIMGICSGSIPWFTMMVIHKRSEMFQKVDDTMAVLHTHAIAGSLGGILSGIFAVPKLNRLFYGTSGHYIGLFYGLADKRTHDGFRQIGVQLVGVVFVVVLNIVSTSIICVVVQIFMPLRMSEEEMEIGDEAAHGEEAYAIWGDEEEKLDPKYELAKSKAGGQVEMV >KJB17027 pep chromosome:Graimondii2_0_v6:2:62193317:62195039:-1 gene:B456_002G261400 transcript:KJB17027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVMAEEGIYKEDGTTDFRNKPAVKHKTGTWKACPYILGNECCERLAFYGINTNLVNYLKFQLNQRNVTAVSNVMNWLGMCYVMPSIGAFLLMRIWVDAGRLLASPSAMFSCVSSLQGMMILTMSVSIHGLKPTCDENNICHPTGLQIGVFFLGLYLIALGTGGIKPCASSFGADQFDDSDEIEKKQKSSFFNWFYFSINIGALVASSVLVWIQTNIGWGWGFGIPAVAMAIAVVSFFSGTKLYRNQRPGGSPLTRIFQVMVASVGKAPVEVPNDNFFDKAAVVTSNDQTKGSINPWKLCTITQIEELKSIIRLLLIWATGIIFSAVYSQMGTLFVLQDNTMYLHMSPSFEIPSASLSLFDTTNIIFWVPVYDRLIVPLSPKLTGHKNRFTQFQRMAIDLVI >KJB15317 pep chromosome:Graimondii2_0_v6:2:42891069:42892753:1 gene:B456_002G170700 transcript:KJB15317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSTIAGQSIPTFPLCSTDEKAIPLLGFGTAEYPFGASIHTLKETILEAIKLGYRHFDTAAIYLSEQPLGEAISDALRLGLIKSRDELFITSKLWCSDAHHDFVLPALKKTLQNLKLEYINLFLIHWPLSLKPGKYEFPFQKEDIVAFDPKSVWEAMEECQGLGLTKSIGVSNFSCKKLETILSTAKIPPAVNQVEMNPLWQQKKLRKFCEEKGILVEAYSPLGAKGTAWGTNRVMECEVLKEIAQAKGKSLAQVCLRWAHEQGVCALVKSFNKERMKQNLDIFDWKLTADESHKISQLPQCKGFPGAAFLSDDGPYKSVEELWDGEI >KJB12755 pep chromosome:Graimondii2_0_v6:2:2696413:2701993:1 gene:B456_002G034900 transcript:KJB12755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPKAEDPALISCFYLLFATALDTITPSKSIKDPDVIISQNGVFRLGFFSLANSSNRYVGILYNQIPVQTVVWVANRNRPLKDSSGILTISDDGNLVVSNGKAEVLWSSHVNNTAPNATTAQLLDSGNLVLSNGEDGASSLWESFEDPSNAFIETMKISTDVKTGRKVELKSWKSIDDPSDGNFSLSLEPFNSPEVIIRKNNQLYHRTGPWNGNSFIGLIDEYTAYLEGFDVVADNPQQAYYMTYDFSNDSMLIYIELDSQGKFIERKWDEGKGNWINKYSSYQTNCDVYGYCGAFGICDSSKRPICSCLKGFKPRNIEEWSRGNWSSGCFRTTPLQCKRDNNNGSGAGQGDDGFLEMKMMKVPAFPHPSSISNGQCKDQCMNMKNCSCVAYAHDDGIGCMLWSGDLIDVQKFSASGVDLYIRLPSSELDKGKSSKVIVITTVTAGIVAITISALFLWCGMAKQRGTNKRHKQIKHKSYSENVGESLIGVKLQQLPLFNFEELATATNNFHPEKKLGQGGFGPVYKGTLDDGKEIAVKRLSKASGQGLEEFMNEVVVMSKLQHRNLVRLFGCCVEAEEKILVYEFMPNKSLDAFLFDPVKRRLLDWRKRFNIIEGISRGLLYLHRDSRLRIIHRDLKASNVLLDQDLNPKISDFGMARIFGGGENQANTKRVVGTYCNWCCFSEKSDVFSYGVLLLEIVSGRRNTSFYNKDDLSLLGYAWRLWREGNIWRLVDEVIFESESDSNNEKEIWRCIHVGLLCVQEYTKDRPTISTVISMLNSEISDLNTPKQPAFTQAPLMSHNVKDRGSLNDVTLTNLDGR >KJB12138 pep chromosome:Graimondii2_0_v6:2:134781:135553:-1 gene:B456_002G002400 transcript:KJB12138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSGSRFKEFVKKYGKVALGVHFSVSAASITGLYVAIKNNVDVESLFDKFHLPDFSKGQNPPPGLTNPDGFLIDESTVEETRRNRTAELAASTGGALALAVICNKALFPVRVPITIALTPPLARFLARRRIVK >KJB17001 pep chromosome:Graimondii2_0_v6:2:62120117:62121336:1 gene:B456_002G260000 transcript:KJB17001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKTFTASIKLLIDQERNKVLLAEAGNDFIDTLRSLLKLPLGNIGLLFRKNKCLLTSCLDNLNNSVENLSLNSFRTHACKRMLLYPRSIHKDKPVQYFTCKKRGCGLLSYYETSRCSCGKLMNSEIYLEEMERDGVKDENEGGFCKVESRFFITDDLRVMKGLPGDLIQFLNKLGIKDVNMNAVKELEIGSKEIFNLLSHSLISKTTLTDVFLRKQGTMLVERPLLIGPSTKETTAKDGKTRVKIMERKSDRKILYAEANEDFVDLLFSLLTIPLESVLQLVGDRYIIAGS >KJB15626 pep chromosome:Graimondii2_0_v6:2:49765220:49770666:1 gene:B456_002G186900 transcript:KJB15626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQEKATHKNNTPSPSSSSSAQVLQNWSLAAPSEDPIPKAASMAALIRPAETIADPPATKTTTSKGISIMPRAQTSHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFIEVVLVEPDKHVVALADAYFFPPFQPSLLPRTKGGPVIPSKLPPRKARLVVYNKRSNETSIWIVELSEVHAATRGGHHRGKVISSKVVPNVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLLMVDPWCAGYHSSADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDMQNMVVIEFEDRKLVPLPPADPLRNYTAGETRGGVDRSDVKPLQIIQTEGPSFRINGNFIAWQKWNFRIGFTPREGLVIYSVAYIDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEIKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVNLKVEEPGKDNVHNNAFYAEEELLRSELQAMRDCNPLTARHWIVRNTRNVNRTGQLTGFKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYAHDEMYPGGEFPNQNPRVGEGLATWVKQNRSLEEADVVLWYVFGVIHIPRLEDWPVMPVDRIGFMLMPHGFFNCSPAVDVPPSTTDLELKDNDIATKPVQNVIIAKL >KJB15016 pep chromosome:Graimondii2_0_v6:2:31928881:31930318:-1 gene:B456_002G155100 transcript:KJB15016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLFYRSHFRPILATFLFPFFSLLPRFDFPLQFSSVQPKEKEAATSMEKETRDFMNVESFSQLPFIRPSPVKEKGAIRLFGKDFGCGDSATEATESYNNNNNEDTTKDNENGDNNNNSSRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRQHNNSFSLSDAHNDIYGFFNYRLPSPSMPSMPAYPSWNNTINARFSNPPPPINGNPLGVWRIPATLQTNSCNFNRDRSSAHPLPLFAGDEFLPSSQAGGGGDSSSESHRYVYETKASVKDNVSLDLHL >KJB17062 pep chromosome:Graimondii2_0_v6:2:62379247:62382541:-1 gene:B456_002G263200 transcript:KJB17062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKILQVDRNAKDEDLKKAYRKLAMKWHPDKNPNNKKDAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGQMPPPGAGGFGGGDSGGPTTFRFNTRNPDDIFSEIFGFSSPFGMGDMGGPRAGASGFPRGMFGEDIFGSFRGGAGEGSTTMPRKGAPIDRPLPCSLEDLYKGTTKKMKISRDVIDGSGRPTTVEEILTIDIKPGWKKGTKITFPEKGNEQRGVIPSDLVFIIDEKPHSVFKRDGNDLIITQKISLVEALTGYTAQLTTLDGRNLTVPINNIISPTYEEVVKGEGMPIPKDPSKKGNLRIKFNIKFPTKLTAEQKTGIKRLIASP >KJB13857 pep chromosome:Graimondii2_0_v6:2:12462824:12466220:1 gene:B456_002G098200 transcript:KJB13857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCVKFFFQVIRTRSQVRLAVDAILMAEGAERKGHMGIKGLTKLLADNAPKAMKEQKLESYFGRKIAT >KJB12647 pep chromosome:Graimondii2_0_v6:2:2171465:2173451:1 gene:B456_002G029100 transcript:KJB12647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIGFESLLDSKSQLVLEICSISTTNPIACSHRHHIEHLVKSPFIDWYRLLGVAEDAGSELIKRRYHKLALQLHPDKNKHPKADVAFKLVSEAYSCLSDNVKRRAFNSERWKHVCTECSNNNPHPNPNPLINNTQNPSKPKSQQHPINSSKPGKSLQILTDIRSRLKEEIRVIEHCLKVNSRKESPVFNPSNNHHCHNGIKHRIQRETPIFEPSEYAFHGYPHLRSEVYRESDRFRHLKRGSLKGKRGSYGTPIFEPVKGRFGDVFKTQIC >KJB16784 pep chromosome:Graimondii2_0_v6:2:61171124:61172902:-1 gene:B456_002G247500 transcript:KJB16784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTKINLTGYGVKGTLHLFPFLSLPNLAELDLSTNELYGIIPPKISQLSKLTYLDLSFNQFSGQIPPEISHLVHLQTLHLAGNQLNGSIPREIGQLKFLTDLALCSNKLNGCIPASLGKLSQLVSLLLYNNSLSGPIPPELGNLRNLVEVYLDTNSLTGPIPSTFGNLRKLSVLSMFKNSLSGSIPSELGNMESLSEISLYHNNLSGLIPTSFGDLRHLTVARLYENKLSGPIPEEIGNLNSLVYLELSENQLNGSIPASLGSLSNLEILHLRDNRLSGSIPNEIGNLMKLIVLELDHNNLTGNLPQGICRGGSLENFTAADNQLTGPIPQGLKNCTSLKRVYLERNRLRGNLSEDLGVYPNLKFIELSDNEFYGEVSSNWGLCRSLWSLSIARNNLSGKIPAEIGNSRQIQRLDLSSNHLVGEIPKEIAKLISLLDLRLNGNQLSGSVPLELGLMSKLLYLDLSANQLSKSIPETIGNLSMSFYLNLSINQFSQRIPIQVGKLTRLFHLDLSHNMLSGEIPGEFQSLQSLETLNLSHNNLSGEIPASLEKLRGLYTVDISYNELQGPIPNCQAFLNASVQELGRNKGLCGNC >KJB15300 pep chromosome:Graimondii2_0_v6:2:42585841:42586150:-1 gene:B456_002G169600 transcript:KJB15300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKGSSKKMIATEAEMVENKARIPYRDECAHLLFKCEYELVRERMLQMQKIHEEEAKLKQSGKQAGSIPLIPKTANA >KJB15628 pep chromosome:Graimondii2_0_v6:2:49872585:49875469:-1 gene:B456_002G187100 transcript:KJB15628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASIKSLLAEKEELAMQLTNSLLEMEEENAIQCAREKASIEAIEEKRKLYNSQITSLSEKLSEES >KJB13878 pep chromosome:Graimondii2_0_v6:2:12615521:12616049:-1 gene:B456_002G099500 transcript:KJB13878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITEKLLKLKYPIIVILTLSLTLSALIIFAPSFLTILAYFWPLLLSTALFLVAVIFFGKTSAHTAASDSDPADKAGEVLLDYVAGQPQLAVDTSYKPE >KJB12547 pep chromosome:Graimondii2_0_v6:2:1703747:1710970:1 gene:B456_002G023800 transcript:KJB12547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSIAERRLNAIHGHLVPVVVVGAASHFNDLRSNPTAGEFLCEKGYSVVLPEKLQTGKWNVYRSACSPFKLVTRFPDHPDIGTLHENFVHAVDTFRDYKYLGTRIRVDGTIGEYKWMTYGEAGTARAAIGSGLLFHGIPKGSCVGFYFINRPEWLIVDYACSAYSLVSVPLYDTLGPDAVKYIVNHADVKAIFCVPQTLNSLRGFFNEIPSVHLIVVVGGMDNELPSLPPSTDIQIVTYAKLLSEGRGNPQPFSPPNPNDVATICYTSGTTGTPKGVVLTHGNLIANAAGCSIATKFYPSDIYISYLPLAHIYERGYQVLLAYFGVAVGFYQGDNMELMNDLVALRPTIFCSVPRLYNRIYAGILSAVKSSGSLKERLFNAAYNSKRQAIMNGIHLRLDICVFPFCPLLEGNNPSPLWDKLVFNKIKAKLGGRVRFFSSGASPLSPDILDFLKICFGGRVLEGYGMTESSCVISMMDEGDNLSGHVGSPNPACEIKLVDVPEMNYTSDDEPHPRGEICVRGPIIFQGYYKDEVHTKEVLDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYISPEKIENVYAKCRFISQCFIYGDSLNSSLVAIVSVDQDVLKTWAATEGIKYKDLEQLCNDRTARAAVLSDMDAIGREAQLRGFEFAKAVTLELEQFTMENGLLTPTSKIKRHQAKEYFAKAISNMYAELTTSDTSS >KJB14919 pep chromosome:Graimondii2_0_v6:2:28741628:28748151:1 gene:B456_002G148900 transcript:KJB14919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIGGEELKQVEKEQKAQMAGAREERILVVVRLRPLSDKEIIANEVADWECINDNTILYRNTLREGSTFPSAYTFDRVFRGDCLTKQVYEEGAKEVAISVVSGINYNSGKTYTMTGITEYTVADIFDYINKHKERAFVLKLLSSENTQLKLRDDPERGIIMEKVTEEVLRDWNHLKELLSICEGKTIESSAREFLGKENSTTLAASVNFVDLAGSERASQSLSTGARLKEGCHINRSLLTLSTVIRKLRCSKGRQGHINYRDSKPTLILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFSCCAKEVATKAQVNVVMSDKALVKHLQREVARLESELKSPVPPPSNSDYAALLRRKDLQIQQMENDIRELTKQRDLAQSRVEDLLWVIRNDKHSGQSARINYHPNQQERDAWEDDYSASESSCLADSNRLDVHVGKFNSIHCSDTESGSNIEQPYHQPLNNHEDHSMSVSGQSLDETLGETADDPDEYCKEVQCIETEEPARDYNSDSRGLPNGENEGTLALTLYGDGDGTDQEAMSTSMNRDREANHIQNGIAFDLLEQRLHHIQNTIYSFVSPDKSSPYPQLADLSSSRSLNLSRSLSCRADVMRGTSSHYADQKHFESTPPNGWEKIFPGRPHGYGKKFPLLNYGANNGVLSRNNSQSSVGSASIKSAMDEDITSIQSFVAGLKKQLANGQVQSTGLEVDESRKSMKDVGLDPMHDASSTPLHWPQEFERLQRAILELWQACNVSLVHRTYFFLLFKGDPADSIYMEEFPVTWQLDLGPRALPVSLTFLKESFSEGNQAFKDGRTLILASSVRSLRREKQTLSRLLRKRLKEEEREKLYKKWGIELNSKQRRLQLVN >KJB12407 pep chromosome:Graimondii2_0_v6:2:1223765:1226290:-1 gene:B456_002G018100 transcript:KJB12407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANERYIEEAEVRSCLLESKNSMEKWVNSPETVTGSDDNPSAGFDCNICLDTVQDPVVTLCGHLYCWPCIYKWLHCQSISTESHDQKQPQCPVCKAEVSASTIVPLYGRGQMSMASRGKAPQLGIVIPKRPVGPDFGTPRSPNSATSPQFTHQIHHHHHGYSYQPQVSDYPSSPMLSPGGTTMNVPDPVTRIFGEMVYARVFGESITNLYAYPNSYNLTGSTPRIRRHLKQADKALNRISFFLFCCLIICLLLF >KJB11793 pep chromosome:Graimondii2_0_v6:2:12095:12802:-1 gene:B456_002G0004003 transcript:KJB11793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEALFEVLDEIPQQSVVLSSRPSVSSIGSVPAPNEVVELLPVKIYNKSHKLHNDEAG >KJB11792 pep chromosome:Graimondii2_0_v6:2:12095:12249:-1 gene:B456_002G0004003 transcript:KJB11792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLDEIPQQSVVLSSRPSVSSIGSVPAPNEVVELLPVKIYNKSHKLHNDEAG >KJB13068 pep chromosome:Graimondii2_0_v6:2:4928757:4929330:1 gene:B456_002G055100 transcript:KJB13068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNPELLRSKKNNEIMEVNILAFIATALFILVPTAFLLIIYVKTVSQSD >KJB13604 pep chromosome:Graimondii2_0_v6:2:10636834:10637463:-1 gene:B456_002G084000 transcript:KJB13604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTVKQRFFLTILVLAFPLSAISGDPDIISDFVVPMEVNNTLLDGNFFTYTGMRPLINSDPPTNFTVTKATMAEFPALNGQSVSYAVVQYPAGSVNPPHTHPRATELLFLTYGVLEVGFVDTTNKLFTQRLQAGDIFAFPKGLVHYQFNCAENDFAVAVSAFGSAAAGTVSVPSTVLATGIDDEILAKSFKTDVYTIQKLKAGFAPKA >KJB16910 pep chromosome:Graimondii2_0_v6:2:61677745:61679808:-1 gene:B456_002G254000 transcript:KJB16910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSKVKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYSQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKNEKAAAKEPKSPSKATKSPKKSPKKA >KJB12487 pep chromosome:Graimondii2_0_v6:2:1425427:1429397:-1 gene:B456_002G020800 transcript:KJB12487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMDFNIGIEDVGVAILQELWNRVTLQAVELAKETRDVVIEKDSFREFSRSITELDVLLKALNVRTIEAAMGTESTKVALEKLNDKLQRARKIIKNYKAGSRLRFLLHSHSVLTEMSGLAKDIATTISSFQLSNLDMALNLKSMNDEVIEKLNSMEFSVAAATEKIALEIENSVSQSSGNRENAVKLLEKIAEAVGADANASLVQTELAFLKQEKEEMEVQKKQAEALQLSQLMQLLHSTEIVPSPRNEEASTYHKQYPIGSFICPLCKEIMVDPVAVFCGHSFERNAIQEYFESGNKNCPTCKEELRSLELTPNVNLRSSIEEWKKNDMDWRFQAAVSGINSDDHIRKNQALDDMQVLVEISQYAVRAAEEGLIPKFVESLKDTTLNTMAAVKCLYCLATYCDDRKREIIEAGAVRRIVKRIYNGETEPNTIAILLELSKTEAFVEKIGNTKDCIPLLVYLVGNSNPEIALKAQDVLRNLSSNTHFVVKMAESGFFQSFVARFNQAVGHQETRALMASALIEMQLKENSINDLKDKQFVHNLVHMLAANAPACKSACIKCVKKLIQYPKMVKRFLSDPATIPLVLNVISFRSDPILKQEAAEILALLVQACKQPQFQIYQGLQELQSEHSVSLFLQLVEKSEREFKIQFLHLLIELSNKSKTAQNLIRDNVDAVTHLFSCLDSNQPLVRRWTMKLIYCVSEGDGVPLPPSPGKETAINNLASILICSPDFEERSIAAGIISQLPKDDIDVDEILCKTETLKAIHEVICSSDEEFGGIGAHNNQDKSLLENALAALLRFTGSSKPELQNQVGKLELYPALVRVLSTGNSLAKQRTATALEHLSRSTSSLVSEANIRVRHEDSRSLFNTINLFPNMSWCCSASAENKISCPVHGIACSQRHTFCLVKADAVKPLLQTLSDTNSGVAEASLKALETLLEDHSTLSHATAAIVESQGVEAILQVLEKGTLSAKTIALDLFHKIVNHSRISGPSFQRSEGILIQLLHEDAIRKKVALVLKQMKVLPEQSSYF >KJB15272 pep chromosome:Graimondii2_0_v6:2:41714551:41718963:1 gene:B456_002G167900 transcript:KJB15272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAATPQQQQQQQKQAAVATTTVTVAGATKPSAEEEALKRNTDCVYFLASPLTCKKGSECEYRHSEYARVNPRDCYFWLNGNCLNPKCGFRHPPLDGLLGTQATTPTGSSKPSSHTAVTTAPASHMPYNQGASYSSSKQAVPCIFFLKGLCIKGDRCAFLHGPTTSNKATQPAATTTAREPHSLKKTFGAVEASQSQKFPPTNVSNTVGLAPESKPSAKVKAAFTRSGTGTEKSVPSPVGLDEELPRHKATKTTSIVNGGSMGHSGWLHQPHASDEQTFHGSKDTEEFLRESSPGFDVLVDDELRDSDFYHGEDQYGGTRGHEGRNVNEYDIGRPANYDAMVDVDQEMFHDARGYDSFDNVQGNYDWDQQRASSERIPLGSSTLERRGYSRVDSSDHVEESDLRHRLSKRRRVNGLKSVVSHDNALENYEGERNYRAGSHRDSHRLPPNERSLGSRLQGRIKLPGRSVNGSDLHSEREIERGRNWGRHSPGKPQTSSQGRLRDRIKGKVEGDFNNNEGRNFGGLRIRREITDERNADFAGPKSLAELKVGKNSDNKQHQSLGKRRSVGDHQQIEGDLSFKGPMPLSEILKRKRQSEGATSGDGVASVNKEDVDQKQSKGSLISGSNNKEVSVTVKEANKDEESKVAATDIGITEATHGESSQPLNMSEHEADADAEDGIIGDERIEDHEVEADDQRDGEYYYEQVDEGEYNYEEDENVDPEEEYIEEEDGDDFAKKLGVMFS >KJB13288 pep chromosome:Graimondii2_0_v6:2:7766223:7767725:1 gene:B456_002G066600 transcript:KJB13288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G54060) UniProtKB/TrEMBL;Acc:W8Q6A1] MAETDSSEFRMLMFPWFAFGHMTPYLHLANKLAQKGYRITFATPQKAINRLRHLNLFPDFISFHVITVPSVTGLPPGAETASDVPIFLTHFLAIALDLTRDQLESLVTSIKPKFIIYDAAYWIPEVAKPLGIKTINFNVVSAASIAIVLVPARNVPKDRPITMEELATPPPGYPSTSVVLRGTELETLLFVTNPFGEEMTFYERITLSMKNCDVISIRTCYEVEGKLCDYISSQYQKQVLLTGPVLPEDSKSSLDERWSNWLAGFEPGSVVFCAFGSQLILEMAQFRELVLAFELTGLPFFIALKPPLGAEKVEEALPEGFEERVKGRGVVYGAWVQQPLVLAHPSVGCFVSHCGFGSMWESLLSDCQIVLVPHLGDQILNTRLLADEMKVAVEVKKEENGWISKENLSEAIKSVMDKESEVGKLVMENHKKWREVINPNLMNGYIDKFLQGMRQPVS >KJB12346 pep chromosome:Graimondii2_0_v6:2:876528:877314:-1 gene:B456_002G013500 transcript:KJB12346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSIHVNGGTEQKQFQEPVENPEFDYSQRGQWLRAAVLGANDGLVSVSSLLMGVGSVKQDVKAMVLAGFAGLVAGACSMAIGEFVSVYTQRDVEMAQMKRDGEKRSPVVDENDRRMEKKERLPSPGLAAGASALAFSVGAVLPLLAAAFIRDHKVRMAVVVVVASVALMVFGVVGALLGGAPVAKSGGRVLVGGWIAMGITFGLTKLIGLNGM >KJB12585 pep chromosome:Graimondii2_0_v6:2:1841360:1842477:1 gene:B456_002G025300 transcript:KJB12585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g23130 (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G23130) UniProtKB/TrEMBL;Acc:C0SVC6] MERKTAAIKDQTSCYRKRNTNVKESSPWSCCNNQGFGSGGEDYLSGFSWPPRSYTCSFCKREFRSAQALGGHMNVHRRDRARLRQSPPPPPKDHHHHHHGQPPFLNLNLNPNPNPNFSPPSSLTLSTFPPPSPSLSPAKKWLFIDPLNSKPSDSRKGVERDRHSSLGSRPILGVKELKDYVTLDLEIGLVNDSKQDLDLELRLGYS >KJB16546 pep chromosome:Graimondii2_0_v6:2:59562769:59565513:-1 gene:B456_002G235200 transcript:KJB16546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDYIELHRKRHGYRHDFFEKKRKKEARQVHERSAKAQKALGIKGKMIAKKNYAEKALMKKTLAMHEESSTRRKVDDEVQDGAIPAYLMDRENTTRAKVLSNTIKQKRKEKAGKWEVPIPKVRPVAEDEMFKVLRTGKRKTKQWKRMVTKCTFVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >KJB16544 pep chromosome:Graimondii2_0_v6:2:59562732:59565513:-1 gene:B456_002G235200 transcript:KJB16544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDYIELHRKRHGYRHDFFEKKRKKEARQVHERSAKAQKALGIKGKMIAKKNYAEKALMKKTLAMHEESSTRRKVDDEVQDGAIPAYLMDRENTTRAKVLSNTIKQKRKEKAGKWEVPIPKVRPVAEDEMFKVLRTGKRKTKQWKRMVTKCTFVGPGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFNLDIIGVKKNPNGPMYTSLGVMTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >KJB16545 pep chromosome:Graimondii2_0_v6:2:59562760:59565531:-1 gene:B456_002G235200 transcript:KJB16545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDYIELHRKRHGYRHDFFEKKRKKEARQVHERSAKAQKALGIKGKMIAKKNYAEKALMKKTLAMHEESSTRRKVDDEVQDGAIPAYLMDRENTTRAKVLSNTIKQKRKEKAGKWEVPIPKVRPVAEDEMFKVLRTGKRKTKQWKRMVTKCTFVGPGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFNLDIIGVKKNPNGPMYTSLGVMTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >KJB14341 pep chromosome:Graimondii2_0_v6:2:17282507:17284651:1 gene:B456_002G120300 transcript:KJB14341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPRYRSCFSELVQCTKQKNLLRGRAVHARIVKDGSACCVYLSNSLVNLYVKCGDLFHAKRVFDNIQDKDVVSWNCLINGYSQQGLTGSSLVMELFQRMRAENVLPNSHTFAGVFTAASSLSDVLSGLQAHTLAIKTDSFGDVFVGSSLLNMYCKSGAMAEARKVFDGMPERNSVSWATMISGYAMQRSAVEAFEIFKLMRLKDEKENEYALSSILSALADPEFLSTGSQIHCLTVKNGLLVFVSVGNALVTMYAKCGSLDDALKTFELSGDKNSITWSAIITGYSQSGDSCKALKLFSNMHLAGIIPSKFTLVGVLNACSDTAAVGEGKQVHGYLLKLGYESQVYIMTALVDMYAKCGSTLDARKGFDYLQEPDMVLWTSMIGGYVQNGENENAMNLYARMRIDHIMPNELTMASILKACSCLAALEQGKQIHACTIKHGFGLEIPIGSALSTMYAKCGSLEDGSIVFSRMPRRDIVSWNAMISGLAQNGHGNEALELFEDMQLEGTEPDYVTFVNILSACSHMGLVKRGRAYFDMMSDKFGIVPRVEHYACMVDMLGRAGKLDEAKEFIESATIDHGMCLWRILLSACRNFRNYELGAYAGEKLMELGSQESSAYVLLSSIYIALGRLEDVERVRRMMRFRGVNKEPGCSWIELKDGVHVFVVGDQMHPEIKMIREELRILSKQIKDEGYHPPDSVSANTCNDEELVAAFT >KJB12386 pep chromosome:Graimondii2_0_v6:2:1009059:1012186:1 gene:B456_002G015600 transcript:KJB12386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ C76, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23240) UniProtKB/Swiss-Prot;Acc:Q9FMX6] MPAICLPLYTPSTSIINNTLTSKPNSKNLPYHHHRRRQSLACKASADSPSSILNFDLYDLLGIESSSDQSQIKTAYRALQKRCHPDIAGPTGHDMAIILNEAYSVLSDPGSRLAYDKEQAKMAELRGYTGKPLYSVWLGSESEQRAVFVDEIKCVGCLKCALFAEKTFAIESVYGRARVIGQWADPEHKILEAIEACPVDCISMVERSDLAALEFLMSKQPRGNVRVGVGNTVGARVSNIFVEVKKFQTRYFDAMDKGSNKESKEADRRREARMSAIHAIKSISRWWYWQSPNTGTPSAKSELSLTHISRKSSEPNINKIRDAAAARKQVRESSKTTRSRAPSSYLYDDEYWIPSRHALPASVENNSSSRVAPKPPKTNPRNETDNRHYGKDRRTRNSIEWGIPTVAAMVAAVIVRLQVGDRVAGEITEHVGGSLALTMVNSSWSQVILAGITWYLIASTIVELIETIRNR >KJB15565 pep chromosome:Graimondii2_0_v6:2:49025338:49027221:1 gene:B456_002G184600 transcript:KJB15565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLKNIPSTSSILSTYTTFTASAMLIRSMATEVQAIINQLIPEQLRNLLLSKLGGLYSNPSSQMTLLINDYDGYSINELYEASHTYLQTKITPSMKRLKVSKAPRDKKVIVNIHKGQKVVDMYEGIQVKWEMTCVDTKDDEQGKVEIRVLELSFHNKFMETVINSYLPYVTERSKAIKEENKAVKLFSLGNFCGDYDGAWGSTNLDHPATFDKLAMDPAVKKELVDDLDRFVRRRDYYKRVGKAWKRGYLLYGPPGTGKSSLIAAIANYLKFDIYDLELTSVSSNSQLRGLLVSTRNRSMLVIEDIDCSIELQNRQAGPGGYGQGTNDELTLSGLLNFIDGLWSSCGDERIIVFTTNHKEKIDPALLRPGRMDMHIHMSYCTPSAFRVLASNYLCITNHDLFNEIDQLMLEVEVTPAQVAEELMKSEDTDIALQGLINFLRDRKLKSENCNSGGREADLEEQNESKARERVCAIDKIGKINKKPIRKRKYGNKRKN >KJB15640 pep chromosome:Graimondii2_0_v6:2:50418636:50419115:-1 gene:B456_002G187900 transcript:KJB15640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNFPSAAEGVLPVLVMNTVLSVALLKNIVRSLLQVVGASTTSNLDIEDSNEDPEEMSKARERRISITQFKSLCHIRHSSVSTSYEDSAPADGGYGSSNSSRGDGWVNSVECCVCLCGFEADEEVSELSCKHFFHKGCLEKWFGNKHSTCPLCRSIH >KJB14720 pep chromosome:Graimondii2_0_v6:2:24341489:24345350:-1 gene:B456_002G140100 transcript:KJB14720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HVLTVFTRNIRPSFFLSQLNRNPTLLFLKPFTIPVFTTNLAAKVLVSSTAFVETHPTICKQQNLYQWEPVVVEKEDSGSDNVLACPICYDPLTWTVGSPSYVGSKSGSNLQSKTCKKSYFGNQTHLDLVASGGSKQYDNSMPLATELFRTPVVSFLYERGWRQNFIFGGFPGSIMKLFWNIYQNIRIFEASSSYPKVEGRSACLDFEMAKKYLKPVLGGNIVDASCGSGLFSRLFAKSGLFSQVVALDYSENMLRQCYEKRKTSPKMTLVRADISRLPFKSSSVDALHAGAALHCWPSPSTACLLYAGQLQQVLRPGGLFVATTYILDGPFIPFLRTFHQNVMGIAGSHVFLSERELEDLCRTCGLIGFTCIRNSCFVMISARKRS >KJB14346 pep chromosome:Graimondii2_0_v6:2:17305667:17307240:-1 gene:B456_002G120600 transcript:KJB14346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g40410, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G40410) UniProtKB/Swiss-Prot;Acc:Q9FND6] MRKTGFCSAFSFFLNPGALCFSCLSYQIRELPHGFIGEQLVTKYAKSGFPEDAQNLSGEKPEQDSVSWNSLISGLSRSGFTTRCMMNILNALLREACSLFEATPFLNLVSGNSMIAIYAQNGLAEEIMGIFIMMRRAGIVFDQATMLTVLQACGNMGVRNIVGSIHGFVSRFGLIANVTIATALLNLYVKLGCLAASSKVFGEIIYLDKVAWTAIAQPDHVTFTRLLSACSHSGLVNEGKHYFKIMCEVYGVEQKLDHYSCMADLLGNSGLLTDGYDVIKCMPREPNSGVWGAPLGACRMYGNTELAKEVAERLFSLDTSYARNYIMMSNIYCAAGMWRDASKVRTSMKEMGLNRTAGCSFIEHGNKFHRFVVGDKSHPEADGIYKKLKELIGKIRKADFMSETEFVLHDVDEELKENMIKT >KJB13909 pep chromosome:Graimondii2_0_v6:2:12862007:12862735:1 gene:B456_002G101300 transcript:KJB13909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLFFFFFFLALFLYNLSPLLAGSCGGGYRSALGRLFFKTNDMVQAIEAPDSVRNKVSFIFGFLDGEVSLKGKLKVLDHQWIQVIFEPPELRVGAIDFRYGGESEVKLQITYIDENTRLGKGSRGSLFVFRMRQGGSTNT >KJB13862 pep chromosome:Graimondii2_0_v6:2:12565669:12570462:-1 gene:B456_002G098700 transcript:KJB13862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGHCFVEWKEEFVSQERGNRVVHYFLKDSAGESIRAVIGTERSVRHMFYIVAEEFVKVYGAEHSIHAGFKWRSRREVVDWLTSMLSKQHLEGDRSKSPKHDTLLALRSPDCAMIEISARKALQDDMSHFSRNLNGQSSDIVWSGSAWTCGKHLKHFPAFCRSGTTIAVQSFVFVMAKGENHYLAYLEDMYEDKRGQKKVKVRWFHHTKEVKGVIPVRNPHPKEVFITPYSQVISAECVDGLASVLTREHYEKCSAVFPDALLARVHVCSRQFRSNKVKPFDLSKLRGYFDQPILSCLSSTLSSEPESMSHGLHEEEEEQSAGANVKLGNKRTRTNNRTSSNHLVTYETSCKKIKYALSGKRLPSLKHAESQYCYGSLFKVDEKIELLCQDSGIRGCWFRCTVLQVSRKQMKVQYDDVQDEDGYGNLEEWVPIFKLAMPDKLGMRYSGRQTVRPAPPSSKKELAVELGVAVDAWWSDGWWEGVVTGVNSSGDDNFQVYFPGENLSMNIHKKGLRISRDWDGDHWIDIDARPDILSLISDVISPDMDTKVSMSTTIVMDAKHDGGTMPVEAVAAKIIAEGEKPELAFQDCFDNLVEDADCKEPASSKDEKDGDASDCKKPPPSENGKNADADADDASTIHDKLNGMDGNDEINNNDDDEEGKIEAEDGKEQNSKSMELMEAAT >KJB12438 pep chromosome:Graimondii2_0_v6:2:1192663:1195582:1 gene:B456_002G017700 transcript:KJB12438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILDSVAKQSNLDCFLQCTTPTVRSQLLPKSEFGSLNRLWHPWERENVEYFTLNDLWDCYDEWSAYGAGVPIVLNDNETLVQYYVPYLSAIQIFTSNLREEIESGDGDCFSDSWSTDESESDKLWRWDGCCSSEDGGSDQDSVCHLNNRLGYLYFQYFETSTPYGRVPLMDKINGLSRSYPGLMSLRSIDLSPASWMAVSWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQEIDPEDDIKLAEKNQKEKEGNISLPPFGLATYKMQGDVWLSSSSCGGGDQERLLSLLSVADSWLKQLSVQHHDFNYFSGIRRG >KJB16228 pep chromosome:Graimondii2_0_v6:2:57033477:57035219:-1 gene:B456_002G218400 transcript:KJB16228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSKKMANAVGAKTVRACDSCIKKRARWYCAADDAFLCQACDSSVHSANPLARRHKRVRLKTASVKSSGDELPLESFSPSWDRGFTRKARTRRPVKGSIHRKPLKAKNNPVPVVPEVGIDEIFNEENEEEQLLYRVPIFDPFVAELCTSTTSTEAAVSAVGNEAETAATESKAFMGQDVDGSHGLFPSEMDLAEFAADVESLLGKGLENESFTMVELGLTGSKDLREYSYGNGQVKIEDEESFEGGMAREPFELSFNYDSPPSCGGVDELVKEEVEVKSKEGGECQENTAKKKKRKTLLSLDYEAVITAWATQGSPWTSGGKPDLDPDECWPDCMGNGGTEVQQSYSGLMGMGGQQQAMGDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASFLTGPAFPLLNK >KJB13484 pep chromosome:Graimondii2_0_v6:2:9270173:9273642:1 gene:B456_002G078000 transcript:KJB13484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKTQFVYPVLLLMFSSHIFKYSNAVVDTISPGQQLNISQTIVSAGQMFELGFFPPTNSTNYYMGIWYKNIPEKTVVWIANRDYPVTGSAVLSISVDSNLVIRHGNLVVRDEKSNTLWQSFDFPCNTFLPGMRLGYENRNGKNWSYVSWKSDDDPSPGNFTMKLDSEQGNRILILNGDDEYWRSKLWEEDSNVFESPQEVRLNMFNFSFVSYLSMAYLTYNLYREDLISRLMIDSNGRLRQFSWSGNEWDVLNSQPRNACDVFAYCGGNSSCTEVPSPNCSCLPGFKPNSPEYWNKANYKFGCSRISSLQCGNDTNIKGAEDGFRMLSKVVLPKDPLPLHVQTLSDCRSSCLNNCSCAGFSYINQSCSIWIGELRNLQQLSADDISGTDFFLKLSAADLGTGKRTPSKRKQLIIIISVTISASILASALFIWKVKMKMHKRTGEDLLSFDLSISPEPTKNEQSGVKQRQKHKKEVEIPIFSFSSVSAATNNFSVSNKLGEGGFGPVYKGKLLKGNEVAVKRLSRKSGQGTNNIFALAWGTRIRIVDGIAQGLLYLHQFSRLQIIHRDLKASNILLDEDMNPKISDFGMARSFGGTEPRATDRIVGTYGYMAPEYALEGVFSVKSDIFSFGVLLLEILSGMKNTGFYQSSSLNLLGYAWDLWISSRPLELMDIVMKDSSCANAAIRYINIALLCVQEHAADRPTMSEVVLMLSNELTCLPSPKRPAFSNVRSMVDSIPNPSHWKPETCSENELTISAMDAR >KJB13485 pep chromosome:Graimondii2_0_v6:2:9270173:9273642:1 gene:B456_002G078000 transcript:KJB13485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKTQFVYPVLLLMFSSHIFKYSNAVVDTISPGQQLNISQTIVSAGQMFELGFFPPTNSTNYYMGIWYKNIPEKTVVWIANRDYPVTGSAVLSISVDSNLVIRHGNLVVRDEKSNTLWQSFDFPCNTFLPGMRLGYENRNGKNWSYVSWKSDDDPSPGNFTMKLDSEQGNRILILNGDDEYWRSKLWEEDSNVFESPQEVRLNMFNFSFVSYLSMAYLTYNLYREDLISRLMIDSNGRLRQFSWSGNEWDVLNSQPRNACDVFAYCGGNSSCTEVPSPNCSCLPGFKPNSPEYWNKANYKFGCSRISSLQCGNDTNIKGAEDGFRMLSKVVLPKDPLPLHVQTLSDCRSSCLNNCSCAGFSYINQSCSIWIGELRNLQQLSADDISGTDFFLKLSAADLGTGKRTPSKRKQLIIIISVTISASILASALFIWKVKMKMHKRTGEDLLSFDLSISPEPTKNEQSGVKQRQKHKKEVEIPIFSFSSVSAATNNFSVSNKLGEGGFGPVYKGKLLKGNEVAVKRLSRKSGQGWNELKNEAVLIAKLQHKNLVKLLGCCIEGDEKILVYEYLPNKSLDLFLFGTNNIFALAWGTRIRIVDGIAQGLLYLHQFSRLQIIHRDLKASNILLDEDMNPKISDFGMARSFGGTEPRATDRIVGTYGYMAPEYALEGVFSVKSDIFSFGVLLLEILSGMKNTGFYQSSSLNLLGYAWDLWISSRPLELMDIVMKDSSCANAAIRYINIALLCVQEHAADRPTMSEVVLMLSNELTCLPSPKRPAFSNVRSMVDSIPNPSHWKPETCSENELTISAMDAR >KJB15753 pep chromosome:Graimondii2_0_v6:2:52372304:52384886:1 gene:B456_002G193800 transcript:KJB15753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKALLFLQWIIVSVLNLNPLLRSVLEINPHARVQAEEADRQRRSNSHHPRSFSHGVPMLLKDTIATDDKMNTTAGSYALLGSVVGQDAGVVEKLMNVGAVILGMASLTEWYSFRALAKIPNGWCARGGQAQLLQDPCGSSSGSAISMAANMVTVSLGSETHGSILYPADYNSVVRLKPTVGLTSRAGVVPISSRQDTIGPMARTVADAVNLLDAIVGFDPEDSEATSEAAQFIPDGGYKQFLNEDGIIGKDWGLITWNYSDSIRSGELMLLQAEFKSSVNEYLKELAISSVRSLADIIDFNQNNPELEKLEEYGQQTLIESERTNGIWEKERKAAEYLEKLSRDGFEKKMKDYKLDALVTPGISLAMTVLAIGGYPGISVPAGYQSVGMPFGIYFGGLKGSEPKLIEMAYAFEQATRLRKPPPQFFQLTNHFLFGTFWVLKGMVGFDFKDLLLTQPNILDPARSNTCLRGVRLKLKFRG >KJB14810 pep chromosome:Graimondii2_0_v6:2:26255374:26259957:-1 gene:B456_002G144500 transcript:KJB14810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAIKGGWVGQTFALAKCNESGGKKTRVRRSKEERKSMVESFIKKYQESNNGNFPSLNLTHKEVGGSFYIIREIVREIIQENRVLGPAKLTEGEHDIDRFLQQNPLGSISTPPEPLLSEQSNGSSFVPSHHEDESDESVMITNGNSMESENKEFGSEKIINGNLVDVTNGTDKAALVEVQVIEPPESDKSKKETYVFTSKVIQVEADIVVETFPLRPVAKPTDSIDGISSEVGKLNENLDKTENGKLNVSQENGSFKLDGMNSSEVSVLTDDGKEVENNVDLLLEKNSNLTDKKMVESISDPLSESSECSTGGTAKLGTPNGAALEVSRTDTLMSDTNEQSKAIVGEAINVSNGVHPKNHGTYESTSERAVAVESKVDAQHVNSKKGSSKTLDRINLESWEGTSKSSAESETNPLWTIFKSFVTACINFWSE >KJB14285 pep chromosome:Graimondii2_0_v6:2:16748400:16749767:-1 gene:B456_002G117800 transcript:KJB14285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEIVSKEFIKPTSPTPHHLRTHLLSFLDQFLPSIYVPMVLFYMDQERSIPAATSNSRRSQLLKESLSETLTLFYPLAGRIKDHLSIDCNDEGAYYVEARVNHPLREFLNHTDSSYVSQLLPAEATWTATTAGGYIAMIQVTTFACGGISLGAFLSHIIFDGPAATTFISSWAALTRKCGEEAGSANFDASFVFPQSVAYPREATLSALFSPFLKKGICRSMRIVFDASAIDLLKVKTASSSVRDPTRVEVVSALLCKCIMATFKAKSGIQKSTLITHAVNLRQRAVPQFSKHSMGNFLCMAAALVRANETGLDNLVCHLRKAIRKADGNFVTALQGDGGWLKFSEYIKEIGKEWNGEAGEIDFIGFTSWCNFGLYEIDFGWGKPTWVTCTASTKSEAVFMNPIVLMDTKMGNGIEAWVFLEEQHMVMLEQNQELLAFGNSERSPLSLKVDYSV >KJB14639 pep chromosome:Graimondii2_0_v6:2:22284616:22285371:1 gene:B456_002G135400 transcript:KJB14639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSVYVASLASSMVVNLLFMIINIYVGAEWSLSWSSKAAAEAEAVAEIACSGHRRAYLDGLVGDGNEPVCECNTCYTGPNCSHFIPHCTADADRYHFATYDMNTSSFSCFSVKF >KJB12845 pep chromosome:Graimondii2_0_v6:2:3158504:3160277:-1 gene:B456_002G039000 transcript:KJB12845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGHIDDFHVNKSQLSYVDLSNNKLHGPIPRSLFGLVHLTGLILSSNNMTGIFDTEMISELKELRDLGLSKIQGPLPDCFWGLGEASLTYLNLSHNFLTRSLPDAVLWSGYVLLSNNKLTGEIPFSICNNSDMIILDLSINSYYLTVSDLWMNNLQGVIPTKFANCESLRILSLNGNQLEGPLPHSLLNCKDLEVLDVGNNTISGEFPHWLGTLPKLRVLLALKPKLRFPMSRIMDISHNEFIGSLPTRKCNMWDKKTYTLMGKFQATLELKSLEERNFSHNNLVGCIPPSIGISTNLEWLDLSSNQLACRIPQKLLDQTFLEVLNLSCYKLEGPIPEGKQFNTFSNESYLGNLGLSGFPLSKLCYQSETPQPPQQNVSGSIIEFGWQVVLLGYGCGVIFGLIMGYIVFSIGKPQWLVMLAEQIQPRKLKRSKFGARRRLRGN >KJB13467 pep chromosome:Graimondii2_0_v6:2:9074689:9075331:-1 gene:B456_002G076700 transcript:KJB13467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKAVVGETDMLQTMQQVALDVAAKALDFFDVTEATEIARFIKKEFDRAYGSGWQCIVGTDFGSFVTHCSGCFIYFCIGSLAILLFRGSAATEPDPHHFSALETLKA >KJB15417 pep chromosome:Graimondii2_0_v6:2:45552543:45552695:-1 gene:B456_002G177200 transcript:KJB15417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETIWSCSILMLSLCKSRASTLLPLSAASAFAFGSCKEGWYYRCLGLDP >KJB13389 pep chromosome:Graimondii2_0_v6:2:8405998:8408149:-1 gene:B456_002G072000 transcript:KJB13389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISYCDWLPSQMSSSTLTLLFVLLGTLCSFIYFFSSPNRNKNGRKLPPGPAPLPIIGNIHMLGNLPHQSLHYLAKKYGPIMSIMLGKVPTIVVSSPEAAELFLKVHDVVFASRPKVQSAKYFTYGGKALAFTQYGSYWRTVRKWCILHFLSASEVECFAPIRKAEVGLLVESVRKVAAVGETINLSLAVAKVLEEMMSKVLFGRSMDDKIDFKPLVDEALHLSGVFNLSDYVPFLAPLDLQGYTRRLKKASSGLHEFFDKMIDEYQQGINMDDQNPYRSFFQLMVSMLDTPINPNDEDQPYIFGRENIKAIMVDMVAASFDTTSTTIEWTFTELLKHPRVMVALQKELESVVGRNRMVEESDLPKLAYLDMVIKESFRLHPVAPLLIPHESTEDVTVNGYFIPKKSRLLVNTWSMGRNPKIWSSNAEEFFPERFKDRKIDLRGHDFELIPFGTGRRGCPGMQLALVNMRIILAQLAHCFDWELPDGMLPNELDMTEKFGLSLPRANRLLVKSTYRLIA >KJB14793 pep chromosome:Graimondii2_0_v6:2:25828154:25839065:-1 gene:B456_002G143000 transcript:KJB14793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVGMEMNNSQQHSSDPLPFARSYQLEALEKAIKQNTIAYLETGSGKTLIAIMLLRRYAYLIRKPSPFNAVFLVPQVVLVEQQADAVEMHTDLNVGKYWGDMEVDFWDDAKWKQEIDKYEVLVMTPQILLNGLRHGFFKINMIKVLIIDECHHARGKHPYASIMTEFYHRQLEAGVSDLPRIFGMTASPINTKGANSADSYWQKIHELETIMNSKVYTCVSESVLAQFVPFSTPKFKFYQDMEIPYVLYARLVQELNVLKVKHECSLDNLDLEASAAESTRRKISKIHSALIHCLDELGVWLALKAAEYLSCYESEFLMWGKLDVFGDKIVRSYSVDAFHAIETCIPSDPDWTIVNDVKASVDAGFLTTKVLCLIESLSEYRVLRDIRCIIFVERIITAVVLQSLFSELLPRYGNWKTKYIAGNNSGLQNQTRKKQNEIVEEFRKGMVNIIVATSILEEGLDVQACNLIIRFDPSPTVCSFIQSRGRARMQNSDYLLMVKSGDFSTHSRLKNYLTSGDVMRKESLCHASDPCSPLSNDLCDEEFYHVASTGAFMTLSSSVGLIYFYCSRLPADGYFKCTPRCIIDKQMGVCTLHLPKSSPIRTVCVKGNFKSLKQKACFEACKQLHQIGALTDNLVPDIVVEENDAEEIGKESYNDDQPIFFPPELVNQDSQESMTKYYCYLMELKQNFGYEFPVQNIILLVRSQLEMEAKSVGIELEVDRGTLTVNLKYIGLIRLSSDQVILCRRFQIALFRVLMDHKAEKLTELSDLTSGNNSEIDYLLLPSNYMGQNPVIDWLSISSILFSYEKVWKNHVNCNAGMIQTKSGLVCTCMIKNSLVSTPHNGRTYIIDGLLNNINANSLLTLSDGGVMTYKEYYEQRHGIHLCFSRVSFLAGRHIFPVQKHIQRCKKQKEKESSNAFVELPPELCDVIMSPISISTFYSFTFLPSIMHRLESLLLATILKKMLLDHCVHDVAIPTMKVLEAITTKKCLESFHLESLETLGDSFLKYAVCQQLFKKHQNHHEGLLSIRKDKMISNTTLCMLGCDKKLPGFIRDEPFDPKGWMVPGYNCGRHTLNEEKLNTRKIYVSGRRKLKSKKVADVVEALIGAYLSTGGEVAALLFMNWIGITIDFRNIPYERHFEVQAEKIVNVQHLESLLNYSFQDPSLLVEALTHGSYMLAEIPGCYQRLEFLGDSVLDYLITRHLYNKYPGISPGLLTDLRSASVNNNCYALSAVKAGLHKHILQSSQKLYKHIKETVESFQELSLDCTFGWESEKSFPKVLGDVMESIAGAIFVDSGYNKETVFRSIRPLLEPLITLETMTVHPVKELNELCQKKHYEQRKPIVSHGNGVSSVTIEVEANGEVLKHTSTACDKKMAKKLASKEILKSLKLANFC >KJB12303 pep chromosome:Graimondii2_0_v6:2:684942:685037:-1 gene:B456_002G0107001 transcript:KJB12303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGLELVFHILAS >KJB12754 pep chromosome:Graimondii2_0_v6:2:2657345:2662171:1 gene:B456_002G034800 transcript:KJB12754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPVKQKLLDWRKRFNIIEGISRGLLYLHRDSRLKIIHRDLKVRKLWNEGNIWNLVDKTQNRAVTISKSESNLKNKKEIWRCIHVGLLCVQEYAKDRPTICLLLFQCSYYMSTIVSMLNSEISDLNTPKQPAFTQALLISNDFEDCISFNDVTLTGFDGK >KJB12830 pep chromosome:Graimondii2_0_v6:2:3022101:3024070:-1 gene:B456_002G038100 transcript:KJB12830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAASVASGSCCVKVKLPVSTPQSASSSSSSCIGFKKRYISCSLQTPSILHLPKQQSPAFPPSPSSTPSTKNTEKTTQSQQWNPLQRAAAMALDAVENALVSHERQHPLPKTADPGVQISGNFAPVPEQPVKQRLPVIGTIPDCIQGVYARNGANPLHEPVAGHHFFDGDGMVHAVQFKNGSASYACRFTETNRLVQERAFGRPVFPKAIGELHGHSGIARLLLFYARGLCGLVDPSHGTGVANAGLVYFNGHLLAMSEDDLPYHVRITPSGDLKTIGRYDFDGQLKSTMIAHPKVDPQTGEFFALSYDVIQKPHLKYFKISPDGKKSPDVEIPVDGPTMMHDFAITENFVVIPDQQVVFKLGEMVHGGSPVVYDKNKVSRFGVLNKNAIDASGIKWIEAPDCFCFHLWNAWEEPETNEVVVIGSCMTPPDSIFNECEENLKSVLSEIRLNLKTGKSTRRAIISESEQVNLEAGMVNKNLLGRKTRFAYLALAEPWPKVSGFAKVDLSTGEVNKYIYGDQRYGGEPLFFPRNPNSENEDDGYILAFVHDEKTWKSELQIVNAMDLKLEAAVQLPSRVPYGFHGTFISSKDLEKQA >KJB12012 pep chromosome:Graimondii2_0_v6:2:53497274:53499792:1 gene:B456_002G197600 transcript:KJB12012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQRDPPASCSAGPVGENMFHWQATIIGPNDSPYAGGVFLVTIHFPPDYPFKPPKIAFRTKVFHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIARMCKADKLKYESTARSWTHKYAMG >KJB16633 pep chromosome:Graimondii2_0_v6:2:60375165:60375926:-1 gene:B456_002G240700 transcript:KJB16633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEFTVPPVVFPSGSGVNPSVGNINQRRVPTAPFQPSRPPSSSSALPFMSFDMGSATSSSVSLGGSISSSAATGSASFEDEEPLLDELGIHPDQIWYKTRSILNPLRVNSTAHKDSDLSGPIFLYLALCLFQLLAGKIQFGVILGWIVVSSIFLYVVFNMLAGRNGNLDLHTCTSVVGYCMLPVVIFSAASLFIPQGTSVARYAVAGVFVVWATRACTNLMVALADGGEEHRGLISYACFLIYTLFSLLVIF >KJB12865 pep chromosome:Graimondii2_0_v6:2:3391329:3395199:-1 gene:B456_002G041200 transcript:KJB12865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLKAILPLFVHLMAAFASSCENHNRTTLVERGGKFSVQQIFYENYIIRKVDASLDTDDCNSLPLSSIVKLEICLQKLYGQLCIFPFPRPPCLLRYQRFYAKKKMTKNFKNKLGQGGYGSVFKGKLHSKHHVAVKSLGKSKGNGKISQMNIWLHMSNGSLDKIIFTEENKNTLGWKKMFDVLLGVAQGIHYLHQGCNMQILHFDIKLHNILLDENFNPKFSNFGLAKLYSVDDSIISLTASRGTIGYIAPELVYKNLGGISYKADVYSFGMLLMEMVGRRKNVNGLADHTSQIYFPSWIYDKLDQGEDLELGDVFDDEKVMMLESNVELLEMPPKPFHQLLLVTTMEVHNCENSNDEPSTSLDTVTITSSNIA >KJB14084 pep chromosome:Graimondii2_0_v6:2:15138700:15141678:-1 gene:B456_002G109900 transcript:KJB14084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISFVYLLIDNERSIGGIASHGSPTDTDPNEIDLEAGPADQIQCRICLEIDGRDFIAPCKCKGTSKYVHRECLDHWRAVKMLRMRLRSSHGLKKFIRRWLS >KJB16038 pep chromosome:Graimondii2_0_v6:2:55661220:55662554:-1 gene:B456_002G209600 transcript:KJB16038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS MDRIYSSLQYWLVNHPKILHFQWTEGQTLGSTPLFLALTVLSYLTLTLLLSRSTLPSLGPNILKPITALHSLNLFLISLIMAVGCTLSIISLPSPLPHIICFPPNTPPTGPLFFWANIFYLSKLLEFIDTLLIILSNSKQRLTFLHVYHHGTVVVMCYLWLRTSQTLFPVALVTNATVHVIMYFYYLLSAMGIRPKWKRLVTNCQIVQFMFSFMVSVLMLYYHFSGLGCSGMLGWCFNAVFNASLLALFLDFHGKSYAAKQKKVAVVVDKDKRS >KJB13335 pep chromosome:Graimondii2_0_v6:2:7990116:7992578:1 gene:B456_002G069000 transcript:KJB13335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGAFFNIIYTFIFGGIIGYHLSDQIGTLPGQPNVNFRQFSGYIDVDPNAGRSLFYYFVEAENDPLSLPLTIWLTGGPGCSSVGDSFVGIGPFTTTNNARGLKRNPYAWTKVSNMLFIDSPIGSGWSYSNTNSDYQAGDVSTNDDLVVFILKWFQKYPIFKFRDLYLGGTSYAGHFVPNLAYTLLQCNTESKSLRFNVKGVVLGDPLLRYKLDILAEYELYASKGMIPKKLYKQILKHFNGNDEDNYYDNPTQWSEAFQQAMNKAEMIAFNVSSVVEAKQRQFDLFRTPCDGKFEDLYSGKEVTKIINEVDMCIPLRADFYFTLPEVQKTFHGNRTNLSYQYTGCFEKSGLNYSLADKHIDMFPILKQILEQSVPITIFSGEDDGAVPMIGTLRHVKKLANDMSFNLTKNEAWNHENKEGGWLYKFGDSLTFMSVKGANHHVPLSKPSQALYIFTNHVIDPSN >KJB13098 pep chromosome:Graimondii2_0_v6:2:5677594:5679112:1 gene:B456_002G056900 transcript:KJB13098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQFNCVSSMLILVLLSISSVEATLDGPCKAPIEIQVEGTVKAPADPGSFKEPKWITFNRIENFKLSGGGLFDGQGTTAYKREGCKNHDYCGSLPINLRFDFLTNAMIQDITTKDSKQFHVNVLGCKNITFEHFTVSARDESPNTDGIHIGRSDGVNVLNSEIKTGDDCVSIGDGSKNLVINGVTCGPGHGISIGSLELFKNEEPVDGVTVKNCTFTNTSNGVRIKTWPGAEPGTCSNIHFEDITVTNVSSPIIIDQKYCPWNKCKINEESKVKLRNISFKNIHGTSALPEAVKIICSATLPCENVEHADIEITHSGPTGPAVSQCSNVKPKVSGKQNPAPWSAPIPAKPTPTA >KJB16111 pep chromosome:Graimondii2_0_v6:2:56062501:56065882:1 gene:B456_002G213000 transcript:KJB16111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKPGMAENVEKGEEIEMAVAEADKNRLKERKFSWAKLHRVDSLNLEAGRLSFSSTKSPHSKVDWMRTLSLAFQSIGVIYGDIGTSPLYVYASTFTDGIGHQDNLIAVLSLIIYSIVLIPFFKYAFLVLRANDNGEGGTFALYSLLCRHVKLSLLPNQQPEDRELSNYQLDTPSSQLNRAYKIRGKMENSLKAKLTIFVVTILATSMVIGDGVLTPSISVLSAVGGIDSLGQDAVVGISVAILVILFCVQRFGTDKVGYSFAPVICLWFTLLSGIGLYNLFTYDWGVLRAFNPLYIVDYFKRRGKDGWISLGGVVLCITGTEAMFADLGHFNVRAVQISFSTITLPALLTVYSGQAAYLTKHPEHVGDTFYKSIPDPIYWPTFVVAVAASIIASQAMISGAFSIISQSLTLGCFPRVKVVHTSTEYEGQVYIPEVNYMLMIACVAVTVGFRTTENIGHAYGIAVVAVMVITTCMVTLIMLVIWKTNILWIALFCVFFGTIETIYLSSVLYKFVEGGYLPLVFSLILMTIMGIWHYVHQKRYEFELNNKVSKEYIKQLVEDPRINRVPGIGLLYSELVQGIPPIFPHFISSIPSIHSVLVFVSIKKLPISKVTLEERFLFRHVEPREYRMFRCVVRYGYKDFMGTPVEFEQQLVEKLKEFIRHEYFMAEGEAAAVENSPQSSNILANQGKDKGSSRRAVFVEETLNQLNQCHRSSASIQSFNVAKSNNSSSGIISAAPPIPGAEEEIQFVQKAKDEGIIYLLGEAEVMAKPNSSYTKRMIVDYGYKFLRRNFSQGEKVMMIPQTRLLRVGMAYEI >KJB16576 pep chromosome:Graimondii2_0_v6:2:60017951:60021215:-1 gene:B456_002G237500 transcript:KJB16576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSPAQSSVAKRLEGKVALITGGASGIGESTARLFLKHGAKVLIADIQDELGQSLCKELGTPDIISYIHCDVTCETDVQNAVDLAVSRYGKLDIMFNNAGIAGEDEVRAIASEGDNFRKVLDVNVVGGFLGAKHAARVMVPAQKGCILFTASVASLLYVGVPHAYITSKHAVVGLAKSLSGELGEYGIRVNCISPHGIATPMLFKTLKTSDKRKVEEIILRNGVLKGTILEAEDIAQAALFLASDEAKYVSGVNLPVDGAYSINNQTWKRV >KJB14364 pep chromosome:Graimondii2_0_v6:2:17420715:17423178:1 gene:B456_002G121500 transcript:KJB14364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKSAAKKK >KJB16929 pep chromosome:Graimondii2_0_v6:2:61738060:61743319:1 gene:B456_002G2549002 transcript:KJB16929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNYRFAMDQKDLVRTLITTVGSFIQDRLIDKEQRVQHKEQCAERLAAEDGSSGNDVEVRYSDQAVLANLDWGIEALEEAINTSNIETKHARFDYAEKMLQVCAMLVSNQKTAGVPNSYLSAWAYLNLSYLWKLRNDVRNSVIYVLEMFIVEPFFSRIDFAPELWKNLFLPHMSSIAGWYAEARHKLIMEVVPDSNDISFTADLDQFFNESLVFSMRPDQIEKMKKLEQLYSESLDENTRLFAKYYKDCMNSDSGSGVNKKVMAPMLPIAEPPMTPLHEVSRSIPDYVKFGPILPKSAGFSPVLKSKHGGREVNRVNLNSSVFSHSIDDSAAWDPQDGVPEENEDDSDYEAIGSTVQQPVKLTNQTPSPSVFSPVDSPRTSSSKNSSPRSDVTSKRESKSLLRLLSCRIADTSDVISLPISPRKSTDHSISSVDSDGEAIVGHNSERTKSCRKIRGRPSSTSNDHVNIRSLEHSYQNESDEGSNSCISLPLSEKLTSQSRPPKDFVCPITGQIFNDPVTLETGQTYERKAIEEWLNRGSTTCPITRQPLSSNTLPKTNYVLKRLITSWKEQHPDLAQEFSYSETPRTRFNSPLGKEIVLVSPSNQTSELSNKGLDDYVNQRGKRFTRAAVATSPTSVISQATVETIINGLKPVVSCLCTSNNLQECESAVLEIAKLWKDSKGDAAIHSYLSKLTIVNGFMEILSSSFDREVLRASIYILSELIFGDENIGETLTSVDSDFDCLAALLKNGLAEAAVLIYQLQPTFAQLSCYDLVPSLIQIILRKTEESDDLPLMIKPKDAAIAILEQILMNGDENSRSLNALSIVSGNAIPSLVKCMHRVDSRRSIISILLCCMKVDKSCKYLIVTGTELSYVLELFHASNDTIRGICIEFLSELVQLNRRTLCNQILEMIKTEGAFSTMHTFLVYLQMAPMEHQPAIATLLLQLDLLVEPRKMSIYREEAIEALIESLRKKEFPNQQTIVLDALLSLPGRITSSGESYIEAWLLKIAGFDQPYNGTQDWTETMESEEKAICTWEKRVAFVLCNHEKGCIFKALEECFKSNSLKMAKSCLVITTWLIYMLSILPDTGVRDAARESLLDEFINVLQSSKNLEEKILAVLALKAFINDPTAIEELGQYANCIYKTLRKLKRNSFMATDILKALMNLSSVNATEFWSYTDIGETDSSSNGEVLCMSYHKGCLISSHSDGTIKVWEAGKRGLRLVQEAHEHIKGVTCLYVPSSGDRLYSGSLDKTIRVWALKPEEIQCMQVHDVKEAVYELTANAKFACFVSQGNGVKVYNWAGIPKHINFNKNVRCLAMSGDKIYCGCSGYNIQ >KJB13881 pep chromosome:Graimondii2_0_v6:2:12658654:12660052:1 gene:B456_002G099900 transcript:KJB13881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDSESGGAQNNASNAAGNNNHLFSPKEQDRFLPIANVGRIMKKALPANAKISKEAKETVQECVSEFISFITGEASDKCQKEKRKTINGDDLLWAMTTLGFEDYVEPLKVYLQRFREMEGEKTTVARDKDAPLVAASGGGGGGVYGMMVHQHQGHVYGSTGFHQMGSGLGKGGPPNNLGRPK >KJB12043 pep chromosome:Graimondii2_0_v6:2:24041292:24042453:-1 gene:B456_002G139500 transcript:KJB12043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTGLGFRRVRGEDRFYNPAKARRSYQKQQTDQLRRAQSDYTVSQSNVTWESEKGLGSTDPPKPVLAPVSEPVVSPLSNLERFLDSVIPSVPALYLSKRTMRGWRTYDGEFQPYFVLGDLWESFKEWSAYGAGVPLILNDCDSVVQYYVPYLSGIQIYVDSVKLSAKSR >KJB16579 pep chromosome:Graimondii2_0_v6:2:60057829:60059763:-1 gene:B456_002G237700 transcript:KJB16579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g37570 [Source:Projected from Arabidopsis thaliana (AT5G37570) UniProtKB/Swiss-Prot;Acc:Q9FHR3] MPISLHHFPHTLPSLPTLLRSCKSQNQLHQIHAHIIKKGLHQDQYLISHCISLSTSLSLSTSIFNSFLQPSTFLWNCLLNGYSKNSSFFQTLSLFIRMKSEGGLPDKYTYPLVIKACSSEVKAWEGKMLHGLALKSGCEKDVFVGTSLVGFYAKIKEILNAKKVFDEMSERNVVSWTALVVGHINVGDIVEAKRVFDLMPERNVATWNALMGWWVKTGDLVTARKVFDEMPERNVISYTMMIDGYAKAGDMASAMFLFEQAPERDIFVWSAMISGYEQNGQPNEAVKMFIRMSEMDVKPDKYILVSLMSACSQVGSLELAMWVDGYLSRSDIDVSQAHVLAALIDMNAKCGNMARAAKLFEEMPKRDLVSYCSMIQGLAIHGQEAQAIELFNRMISEGLVPDEVAFTIILNACSGAGLVEEGWHYFEIMKNEYSMVPTPDHYACMVDLLSRTGRLKAAYDLIKSMPVEPHAGAWGALLGACKLHCDVELGELTAARLHELEPLNAANYVLLSNIYAAAEQWVNVSRLRNKMKERGLRKVPGCSWI >KJB13379 pep chromosome:Graimondii2_0_v6:2:8181633:8182055:1 gene:B456_002G071100 transcript:KJB13379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLDVYAALVILAIALSGAVIVDSGKTHSCGNMTLRCIDEVYTSIFRNGTVSDECCHKLVKIGRPCHEALVRRDLEDPFFKNHTNIKQEILSKAKQIWNKCTSIVDALSVSPNASP >KJB14594 pep chromosome:Graimondii2_0_v6:2:20820495:20822719:-1 gene:B456_002G132800 transcript:KJB14594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKQESRSRAKSPARTASPMSNLLRRRRKNYSHHPEPFFFRSGGLRPAEALSPLKEGPDPDGTDAENSRMEGRWAQWMKGQLARGGPSVSTSTCKRSDLRLLLGVLGAPLAPVHVSALDSFSHLTIKDTPIESSSAQYILQQYTAASGGQKLQNSIHNAYAMGRVRMIASEFQTANKVTKNRNSTKVAESGGFVLWQMNPDMWYVELALGASKVHAGCNGKLVWRHTPWLGAHATRGPVRPLRRALQGLDPRTTASMFTNARCSGEKQINGEDCFILKLCADPATLKARSEGPAEIVRHVLFGYFSQKTGLLVHLEDSHLTRIQNNGGDAVYWETTIDSSLEDYRLVEGIMIAHSGRSVVTLFRFGDTAMSHTRTRMEEAWAIEEVAFNVPGLSAECFIPPDEIRLASMDEACEFSQGPTLKTSLATAPYRPKVGKLDKSS >KJB15761 pep chromosome:Graimondii2_0_v6:2:52496405:52497231:1 gene:B456_002G194400 transcript:KJB15761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTLTLTWILMVCLCQVAVQSQYYSESLPYDPRPVKVTNLHFFLHETLRGKNPTVVMVAQANNTSNDNYSSVPFGTLYAIDDPLKIGPGDNSEVIGNALGLGILAGKNSTTVVMYLDFGFTTGKFKGSSISIFSRNPLIETERELSVVGGRGEFRMAEGYARLKNYFFDGTTVIIEYNVTVIHY >KJB16872 pep chromosome:Graimondii2_0_v6:2:61561762:61563746:-1 gene:B456_002G252400 transcript:KJB16872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHITALPSFEIVCSAHKVGRKGKKTAPKTKPSKDTMGFSSGRKEIWRCVENCGACCKLAKGPAFATPEEIFSDPSDIELYRSLIGPDGWCIHYEKNTRTCSIYPDRPYFCRVEPDIFKKLYGIENRKFNKEACSCCRDTIKAIYGPNSKELNNFNTSINDSSSALSTGV >KJB13837 pep chromosome:Graimondii2_0_v6:2:12382000:12383445:-1 gene:B456_002G097800 transcript:KJB13837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTLPHHRLTELAKRHGSLMHLQLGELSHIFVSSPEAAKEVMKTHHISFATRPYLLGAEIVAYNFSDIGFAPYGDYWRQLRKVCTLELLSMKRVQSFRSIREEQVSSLIRSIYSNTGLEINLGEMLCNSPYNITLRTAFATRCKQHDAFISITRKLVEAASGFSITDLFPSIKLLPMISGMRAKLERAGNANPEDSDYVTDDLVDVLLNLQDHGGLEFPLRTDNIKAVILDMLIAGTETSSTAVEWAMSEMIKNPRILEKAQAEVRQVYDRTGDVNEEDLHELKYLKLVMKETLRERCEINGYEIPAKTKVIVNAWAIGRDSNYWKEAERFYPERFIDSSVDYKGTNFEFIPFGAGRRICPGMSYEEKEICALFLFLTTHHHLFNS >KJB16924 pep chromosome:Graimondii2_0_v6:2:61709178:61709826:1 gene:B456_002G254500 transcript:KJB16924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQHSTKHHLPRWPVSHLVHRHLQHRQGLLKMWPKMMRNQTSLFLGPLVTCQVTYSIIQHSTKHHLPRWPVSHLLHLHLQHRQGLLKMWPKMMRNQKMLKLEINVVCLFGLCFV >KJB16982 pep chromosome:Graimondii2_0_v6:2:62045050:62047033:1 gene:B456_002G258700 transcript:KJB16982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQVRKICRFYAENASNRFNLLVEAFCAKGDMAAHNLITDARLLSKAGAKLIGSIKDKHEGMLWEKPWLRFLKPKRSDPGEKLQEMDMPIQGMEWALTTCTSFPVRMMDEELVDVLQIEKKQIALKLEQAMRSVPFDAATTPDMKGENTDRSPWTQKAISTNREDLSSFFFLYCMELLQDGPACILKNGEEAKIQESSQPKKQGKSRMKQMQSFHRENFVFAIKCSLSLGLAVLFGLIYNKENGYWSGLTIAISFVTERQATFMVANARAQGTAMGSVYGILCCFIFKKLTDLRFLLLLPWIIFTSFLRHSRMYGQAGGIAAVIAASLILGRKNYGTPSEFAIARTAEATIGLLCFVAVEILFHPSRSATLAKTELSRTLRAVQDCFKVISLHTDRKENLMELMREKQKKLKYHVRELQNFIAEAELEPNFWFLPFHCGCYNKLLISICKMTDLLHFTIHLIGFLSAASQMLGVTWEEIQEQIKNILEHLVDKTGSLSKCLDKVLLVKSLEEIEKQLQMESVSQDLELGKSPNADVSTRLGYEETSISEIEKSFLQYTIRVADKTERNEVEEMLKSQMVLCLSSLGYCLNDLKREATETEKEIAELLKWENPTRHVNFPELLSKLHAT >KJB14176 pep chromosome:Graimondii2_0_v6:2:16009912:16011854:1 gene:B456_002G113300 transcript:KJB14176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHSFQSQQLAFTLNKMLAVEEVLSELGGEEVNEQGLPPGFRFHPTDEELITFYLASKVFNGSFCGVDIAEVDLNRCEPWELPDVAKMGAREWYFFSLRDRKYPTGVRTNRATGAGYWKATGKDREVYSASTGALLGMKKTLVFYNGRAPRGVKTMWVMHEYRLDGDFSCRHTCKEEWVICRIIHKTGEKKNGVAAAQGLGYILELSSLSSTTKTTNCLRPLLETPTPLLESQTQISMQAAHNSFLENDLKSLINPVVYPANGFQPSFTATPTTFSSTPDKNASSNSSAAASMLFKSLLSHQECVLREQAAATIPKQCKTEANFSNFQLPDSTLSWTEKMHPNPCQDPMFFDMDYNNSVLGFAEL >KJB17052 pep chromosome:Graimondii2_0_v6:2:62308189:62310885:-1 gene:B456_002G262600 transcript:KJB17052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDDKAGPEPHCREDDDNAPAAAVDDEDTGAQVAPIVKLEEVAVTTGEEDEDPILDLKAKLYRFDKEGNQWKERGVGNVKLLKHKVNGKVRLVMRQSKTLKICANHFVIPTMTVQEHSGNDKSCVWHAADFADGNLKEEMFCIRFASVENCKTFMETVQEVAESQGKKEENNDATVTADLLDKLSVGESKSDSKEDTVVASNTKEAVKDEAKTDEDKKDEAASKA >KJB15871 pep chromosome:Graimondii2_0_v6:2:54082749:54085160:-1 gene:B456_002G200700 transcript:KJB15871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g35130, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G35130) UniProtKB/Swiss-Prot;Acc:O49619] MAATLLLHYFNAPRIVSLNHSEPPKTNPFAVTRPRFSKPNQLQTTHSKASNILAEPTHFTLIDALCSFIDSGSIENALYLFEEMNHSDTFTWNIIIKGLAHKGLFKEAVSYFRRMEFEGARPDKFTYPFVLKACAGLLSLKEGEKVHAKLIKVGLDLDVYVCNSLISMYMKVGCVELGENVFKEMPVRDLVSWNSIISGYQFVGDGLSSLVCLREMVLIGIRPDKFSFISGLGACSIEGCQRNGKEIHCQVIKNGFEMDFMVETSLIDMYGKCGNAVYAERVFDMIVNKNTVAWNAMVAGYVSNACFSESFSCVKKMQEVGKLRPDGITMINLLPACAQMGALLMGKSIHASAIRKGFLPHVVLETALVDFYGRCGTLKLAKHVFTRINEKNLASWNAMIAAYVQNGQYSEALDMFQDIWYKSVKPDVITFASILPAYAELTSLSEGRQIHAVITKLGLTSSNTIISNTIIYLYAKCGDLQTARRCFDGMFCKDVVSWNTIIMAYAIHGFGRISIQLFHEMIEHGIKPNKSTFVSLLSSCSISGMVDEGWEYFNSMKRDYGIDPGIEHFGCMLDLIGRTGNLDLAKRFIEEMPLVPTARIWGSLLAASRKANDIELAEVAAKHALSLEHDNTGCYILLSNMYAEAGRWEDVEKIKTLMIQEGLAKTMGCSTVETDYKIHRFVDQDRSNAQMSMVNDVMDIISKMTADKEDAYAHRITKLKRTDLTRKRPNLPENHSVRWAISFGLISTELGSPVLVRKNIRICEDCHNVAKKISKITKREIIVGDSKVFHHFQDGNCFCGDYW >KJB15401 pep chromosome:Graimondii2_0_v6:2:45173482:45174290:1 gene:B456_002G176400 transcript:KJB15401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVKKETGKDYSQKQFKIMWDALKKEWKAWKKLKGRDTGLGWNLIKRTVDASDDWWESRLKVVPKAQKFRTSVATDDKAWTPSSGTFHSEFFEDVGNDIPEKNEEENVRNDVHISNDVHIDGNDQKMKTSEISTSHFKIGKKKSSKQIGGATILSSQIEKLCNATDNMTIKMFDSMLKEVPKASPLYFFSLKLLLNKDKQIMF >KJB13018 pep chromosome:Graimondii2_0_v6:2:4470208:4472311:-1 gene:B456_002G051500 transcript:KJB13018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISNASQLTLLDMSSNYFSGSIPDNLGNLRNLNILNLASNNLTSSGMSFLFSLTNCRVLKNLFFDINSFISGELPRVVGNLSSSLEEFSASACNIRGSIPSEIGNLSHLINLELGGNKLIGQIPTTDNKLEGSIPSELCHLNKLAFLFLTNNKMSGPIPTCLDSNMFSSSIPSTLTRLNYLLILYSSSNSLSGSLPIDIGKWKVLTSLDLSNNQFSSDIPTGVADLKDLTHFSLSNNRIMGSIPESFDELLSLEFLELSRNNLSGEIPKSLVKLRYLKYFNVSFNRLEGEIPDGGSFGNYTIESFKGNEALCGAARLHVPNCKTRSLRNSKAKTKLIIYVSMIQTMTMATFGYMAPEYGMEGIVSTKGDVYSFGILLMEIITRK >KJB12326 pep chromosome:Graimondii2_0_v6:2:792736:794818:1 gene:B456_002G012000 transcript:KJB12326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLGQFNQQHYHHQPELQQQQQPQTQMLFMQPQPPPPPKETQTLFMQTPQKETQTQVTQSLFVRSPRSNNKDGNAELEGGRQLGLSNTLPESTRPAESAWTRQIYQLGLAEPSQVNQTGSVGSSHISWPEPIRPSQINRPEPIRASQVNQPGTSSSSQFNLTQPTRTGQINNTASPSPPPNPSPPTSTTERSRAEPTSVTQKTNLTRPDEATESEGPAFWTPTSVTQKTNSTRPNEAIESEGPAFWTPTSVTQKTNSARPNQATESEGPAFWTACPYCYVLYEYPNAYKDCTLRCQTKNCRRAFHAMVIPSPPFNGNDTYFCCWGFYPLGFSGNGKNMGRKFPSWSPISSVFTCSNNKDEGKQKNPKKSAPRVFYDENDVYVEISDPSMGSEDNDE >KJB12325 pep chromosome:Graimondii2_0_v6:2:792666:794818:1 gene:B456_002G012000 transcript:KJB12325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSNSTEAERWLTIAEKLLASRDFHGTRTFAIRARESAPVLADQILAVTDTLLAAQANPHDWYGILQLIPLTQSMEVVASQYRKLAILLNPAENTLSFADQAFRLVSEAWNVLSNPSKKLIYDNELRFLQFGPVSQMNQLGQFNQQHYHHQPELQQQQQPQTQMLFMQPQPPPPPKETQTLFMQTPQKETQTQVTQSLFVRSPRSNNKDGNAELEGGRQLGLSNTLPESTRPAESAWTRQIYQLGLAEPSQVNQPGTSSSSQFNLTQPTRTGQINNTASPSPPPNPSPPTSTTERSRAEPTSVTQKTNLTRPDEATESEGPAFWTPTSVTQKTNSTRPNEAIESEGPAFWTPTSVTQKTNSARPNQATESEGPAFWTACPYCYVLYEYPNAYKDCTLRCQTKNCRRAFHAMVIPSPPFNGNDTYFCCWGFYPLGFSGNGKNMGRKFPSWSPISSVFTCSNNKDEGKQKNPKKSAPRVFYDENDVYVEISDPSMGSEDNDE >KJB12593 pep chromosome:Graimondii2_0_v6:2:1942315:1946032:-1 gene:B456_002G026000 transcript:KJB12593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLLKGLRYISDIFEQEKEQEMQIGNPTDVKHVAHIGMDGPSANKPSWMNEFNSAEELSSDTLANNLQETPSAAGDHESLPPTSNEKPKKTRRKASIENGTAVESSKVSEKGSRGHRSSNNSMGSPARESSSQGRRHSNRSINGSDSPSQDLPDIPKKSRRKKSKESSGGSDGSSISSRTKEGSLPDVTELES >KJB12139 pep chromosome:Graimondii2_0_v6:2:136509:137908:-1 gene:B456_002G002500 transcript:KJB12139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEVSEEGMSRKDYVDPPPAPLIDVAEIKLWSFYRALIAEFIATLLFLYVTIATVIGHKKQHDACDGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVAYMVAQCLGAICGVGLVKAFMKSEYNSLGGGVNTVATGYNKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNDKAWDDQWIFWVGPMVGALAAAAYHQYILRAAAIKALGSFRSNPSN >KJB14581 pep chromosome:Graimondii2_0_v6:2:20812595:20813968:1 gene:B456_002G132600 transcript:KJB14581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEALNSPTNAAAPFHFQDTNLHCLDSFTKRKRSKRPRFDDDHVPTEEEYLALCLVMLARGGAPRTTSTTLTHQKLGTYKCSVCNKAFNSYQALGGHKASHRKLSGGNDDHSTPTTSSAVGSNPSGRSHECSICHKSFPTGQALGGHKRRHYEGGAANTGSITSEGVSSTSTNTTHRDFDLNIPALPAFSPANLFVSGGDEEVESPHPSKKPCLLMQKATQN >KJB13381 pep chromosome:Graimondii2_0_v6:2:8303990:8308366:1 gene:B456_002G071300 transcript:KJB13381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFDNETSSSSSSSSSSSSSSSSSSSLESSSSVSRGKYDVFLSFRGEDTRRNFTDHLYAAFKRRGITTFRDDEKLKTGEPIAPELFKAIHESWCSVIVLSEGYCFSSWCLEELSEIIQQKNDKGHNVFPIFYYVDPSDLRKQTGKVLEAFIKHENNFQDNKEKTQRWRSALTQVANIKGWHLSNRHESELIGEIIEKVSTKLCQTFASAPNDMIGIESRLEELNCKIGIGEEDDSVRIIGICGMGGLGKTTLARSVYTQISSHFEGQSFLADVREVAEKHGLVCLQKQLLSQIFPEESFNFFNVHDGNDIIRHMLSHKRIFVVIDDVDNIQHLKRLLGKRAWFGLGSRIIITTRDEHLLQIYGVDDVYNPTKLNAKEALRLFSLKAFKSETPAMEFFELSKRVVEYANGLPLALEVFGSFLSGRSDEAQWRSAIERLKKKSNKEILDRLQISFDGLGQSEKDIFLDIACFFKGEDKDMVTKILDGCGFFPDIGIDVLIKKSLVTIDEDNKLSMHDLLQEMGRKIVYQESPNEPGKRSRLWDEKDTNYVLIENTATEAVQGLVIDSIRKQNTWTLSADAFLTINRLRLLRVFNVPNSRGFKYLSNELRLLEWHGYPFKSFPSSFQPENLVALLLPYSRIEKLWKPNMPLYKLKLVDLKGSKNLVKTPDFSMAPNLESLILEGTGMVDFDPTVKFLRRLKLLNLRNCKRLRIFPSKIGNGSLETLILSGCSNIERIPEIVGEMECLKELCLDGTGIKELPSSIGHLRRLMLLNLKDCSKLESLPSSIGGCEFLKTLILSGCSKLKNFPESLQQLESLEELNLSETAITTPPSFIFHMKNLKFLSLQGCKGPPYRVRSRWRFISRPTQRLSSNSMTLTLPAILSGLSSLKELNLDDCNLYDGAIPDDIIRLSSLEALRLSDNNFRTLPTTLGGLSKLTSLVLNNCKRLKSLPELPASIKLWLDGCGSLEAVANSTTAFNSRVDGYICAFNCFKLAEGNDAVAMLKRCIQEGAKVRTTNYDIIILGSEIPKWFTNQTDDPSDSIIKIQFVESWTAMQHGARSMLLSSSAHFAWCARMVFGPNEATGIAVLFCCNLVQFNCN >KJB13620 pep chromosome:Graimondii2_0_v6:2:10814738:10816144:-1 gene:B456_002G085100 transcript:KJB13620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIPVFTIAILLFFIASFQASSATSKPTGLSMKLIRRDLLFPGNLTTFQRIRRLVQLSVRAQNFDSFPSRNQSEDNVLVRLPIGRVPESYAYVVEFKIGSQFHPCEPCIQCYPQKVPIYDSRTSTSYSKLPCTHELCQGENNRFRCYNNECVYNIQYGATSRRNSARTKGVASFEAFQFPVDTVHTTVINDMIFGCSNDNPNIDFANGQISGILGLSRGPDGLASQLAKRGIIENRFSYCLVPFHDVLRQLTILRFGDHIPLPVGNLQYTDFILYPGRNHYYVELLDISVGFQKKGFESIPGMFELRQDGLGGSLIDSGTVVSTIDENTIGRNAYKEVMAEFQAYYDKKNFGRKVGQVEEKFELCYYNKAGFRDFVTMTLHFEGGDYFIDGKYMHYFADEEEEGEGYFCVALFKSSKTILGAWQQQNMRIIYDMNGGGFQWITETCANDHFP >KJB15931 pep chromosome:Graimondii2_0_v6:2:54792831:54793698:-1 gene:B456_002G205000 transcript:KJB15931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLNQKSNFHARSNSLPSRPHPLVTQIDEHLCRLKANESASSSSSSMSQKLSGLRNLYELVDNLLQLPLTQKSLAQQCNDKQVNELLNGSLKLLGAKEDTQQLPSILRRRRGDEAGFTYEAKEYFASRKKAKKLRNKSQEACKCGFALLENDAEAAFSMLGEVQRVAFTVSKRVTHEGEATETNEIEKMDAVLCTLIGNNTRKSGKMSHDDAQIELQKLESSIQDLGDGVECLVKTRVSVLNILSH >KJB14122 pep chromosome:Graimondii2_0_v6:2:21646972:21648504:1 gene:B456_002G134800 transcript:KJB14122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMKMHQLACGFWEQEPLLSLGCKRLRPLAPKLHSNSTYTTVSSFDLKSFIRPDSGPRKLGNGPSEDNNRHSPPVETHPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEQITEQLGKYGKIEGKNVFYWFQNHKARERQKQKRSSLGLTHCPRSSAPITPITLDTRVETMEREEDSPCKRKCRSWSFEEEDSRSSSKEDENRTLELFPLHPESR >KJB15510 pep chromosome:Graimondii2_0_v6:2:47967860:47969332:1 gene:B456_002G182300 transcript:KJB15510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRRLADRKVLKFEKNITKRGAVPETSTKKGKDYPVGPMLLGFFVFVVIGSSLFQIIRTATSGSMA >KJB13222 pep chromosome:Graimondii2_0_v6:2:7410347:7412872:-1 gene:B456_002G063200 transcript:KJB13222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTTSEATSPSSRMDSSSMYNQSNQTYQFRSQQQMSYSQATQDRFLQGEALQQPRRGCCRGKMSEDERKARKRQTDRDYRQNKKAKFVEMTSQNQRLQDQNRELMNENRQLKEEISGLKGKGQLPVEVMRRQDSYISHPPSQVDQNVQLQDHYGMEFTNGDDVYNFDGFWLDELQLPPDDGMTTNGRIALNEPAIEHPESSQSGLCPAKVDKNKLHMFLTKLHPEVGSNVDPSGWTGLLKEEQRRMGRFSFPLSLIPTVERIKVAYGDVSETCLISPSVSEKSYVFFCAMIRDMEHLRLDQVTEDIMLNWGDVIKDALGLGFKVQFAVEHLKKVAYAFFGQSGCKWLNDVDSKISTLEAEVNCWKKKRAEIYEESKMSINAVESFNGVPISTGLFP >KJB12731 pep chromosome:Graimondii2_0_v6:2:2511596:2516463:1 gene:B456_002G033400 transcript:KJB12731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTISCSVLLTLISCFSLQFGTALDTITPSKSIKDPESIISQSGVFRLGFFSFANSSNRYVGILYNQIPVQTVVWVANRNKPLKDSSGILNISDDGNLVFLNGKAEILWSSNVTNLVPNATTAQLLNSGNLVLNNGGGSSLWESFQDPSNAFIETMEIGTDVKKGRKVELKSWKSIDDPSDGNFSFAIEPFNIPEGIIRKNNKLYFRTGPWNGNIFLGVILTGYLDGFYMAADNQQQTYYLTYKFSNNSMSMYYELNSQGKFVERQWDAGKGDWINRYPILQTDCDDYGKCGPFGICDSTKRPICSCLKGFKPRNIEGWSKGNWSSGCLRTTPLQCQRDSNNGSETGQGDDGFLKLKMMKVPAFPDRSSLINRDCKDQCLKNCSCVAYAYDDGIGCMFWGGDLIDVQKFSTRGVDLYIRLPSSELDKGKSKKLIVFTTAIAGIVIITISAFFLWCRMAKQRGRNKIWKQIKLQFYSENVEENLIGVKLQQLPLFNFEELATATDNFHDTKKLGQGGFGPVYRGTLDDGKEIAVKRLSKASGQGLEEFMNEVVVISKLQHRNLVRLFGCCVEGEEKMLVYEYMPNTSLNAFLFDSSKPDVLDWRKCFNIIEGISRGLLYLHRDSRLKIIHRDLKASNILLDEELNPKISDFGMARIFGANENQANTKRIVGTYGYMSPEYAMRGQFSEKSDVFSFGVLLLEIVSGKKNTSFYNNEYDLSLLGYAWKLWNEGSIWDLVDKDISEFESDSKNEKEIRRCIHVGLLCVQEYAKDRPNMSTVVSILNSEISNLDTPKQPAFTQIPLITHDVGNKISLNDVTLTNFDGR >KJB14818 pep chromosome:Graimondii2_0_v6:2:26507703:26510985:-1 gene:B456_002G145000 transcript:KJB14818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTESWFRNLWKIPRRQDGISEKVEIGVLAFEVASLMSKLVHLWQCLSDKQVFRLREEITNSVGIKKLVSEDEEYIGRLICAEMVENVTHVAKSVARLAKKCSDPGLKSFELAFTELIQYGVDSYGWIFSLKKMEKKVKKMERFISVNATLYQEMELLADLEQTLKRMKSGDSAQESLLEFHKKVIWKQHEVKSLRASSLWNKTYDYTIRLLARSIFTIFSRIKHVFGFEHKVDVGDSKVMDSDFMHRSQSVSALMHSSVHPTENTSLPRFSSGPLGIFSTKSGPIPAPDKTNYFHSGPLVGSTPKSGSISGKNRNFNFHSGPLGRTAGRSGPLFGIDKISKIWQTNNHSAAVSGKKPHLRSNRLTQVGPFKGCMVDSGAVRNCYISSNGIHSGIPNGTKDGNLNLPEGNAVQSSSSVFRSQCRLLDAPPETLGASALALHYANVIIVIEKLAASPHLIGHDAREDLYNMLPASVRAALRVRLKPYAKSLASSIYDTELAGEWTEAMSSILEWLAPLAHNMIRWQSERSFEQQSFVSRTNMLLVQTLYFANREKTEAAITEILVGLNYVWRHGRELNVKALQECVGSRMSDECLDLEK >KJB14819 pep chromosome:Graimondii2_0_v6:2:26507718:26510903:-1 gene:B456_002G145000 transcript:KJB14819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTESWFRNLWKIPRRQDGISEKVEIGVLAFEVASLMSKLVHLWQCLSDKQVFRLREEITNSVGIKKLVSEDEEYIGRLICAEMVENVTHVAKSVARLAKKCSDPGLKSFELAFTELIQYGVDSYGWIFSLKKMEKKVKKMERFISVNATLYQEMELLADLEQTLKRMKSGDSAQESLLEFHKKVIWKQHEVKSLRASSLWNKTYDYTIRLLARSIFTIFSRIKHVFGFEHKVDVGDSKVMDSDFMHRSQSVSALMHSSVHPTENTSLPRFSSGPLGIFSTKSGPIPAPDKTNYFHSGPLVGSTPKSGSISGKNRNFNFHSGPLGRTAGRSGPLFGIDKISKIWQTNNHSAAVSGKKPHLRSNRLTQVGPFKGCMVDSGAVRNCYISSNGIHSGIPNGTKDGNLNLPEGNAVQSSSSVFRSQCRLLDAPPETLGASALALHYANVIIVIEKLAASPHLIGHDAREDLYNMLPASVRAALRVRLKPYAKSLASSIYDTELAGEWTEAMSSILEWLAPLAHNMIRWQSERSFEQQSFVSRTNMLLVQTLYFANREKTEAAITEILVGLNYVWRHGRELNVKALQECVGSRMSDECLDLEK >KJB13769 pep chromosome:Graimondii2_0_v6:2:11837092:11839542:-1 gene:B456_002G093300 transcript:KJB13769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKVFSFFFFVYLLVEMHEISAMVPAVIVFGDSTVDPGNNNQISTVLKSNFAPYGRDYFGGQPTGRFSNGRIATDFISEAYGIKPAIPAYLDPKFDIRDFATGVSFASAGTGYDKATSDVLSVIPFWKEMVYYKEFQDKLRGYLGNDIANHHLSESLHLISIGTNDFLENYYPFPTRSSEYPVDKYEIFLIGIASNFIRELYNLGARKIAISGLPPMGCLPLERTTNIMHGSACIEEYNDVAKDFNQKLQDSVTELNRELGGIQLVMSNPYYKLLEMIQNPILFGFENVATACCGTGYFEMSYMCDRMNPFTCSDANKYIFWDSFHPTEKANFILADHAFKTTLTVFR >KJB12279 pep chromosome:Graimondii2_0_v6:2:606111:607372:1 gene:B456_002G009300 transcript:KJB12279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDIDRVRGPWSPEEDELLRKLVQRYGPRNWTVISTSIPGRSGKSCRLRWCNQLSPEVEHRAFTAEEDRIIRKAHALYGNKWATIARLLNGRTDNAVKNHWNSTLKRKFIEDSESEKKPAKSPRTASSSSPSRSDANDLGLGVVADELSQCSRVSTKLTLRSSWNESVDLNNDDDHLSKENDLKLTSEKHENDSSATVAAAMLPPEILAAAMLPPEILAAIKEMIKKEVRGYMEEFGFRSESVKNAIGNIE >KJB15846 pep chromosome:Graimondii2_0_v6:2:53887119:53888892:-1 gene:B456_002G199400 transcript:KJB15846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVEDVVIVGAGIAGLTTSLGLHRLGIRSLVLESSDELRITGFAFTTWSNAWKALDAVGIGESLRHQHYLTPSILVASTFLDQPASEISYKGHEIRCLQRRLLLETLAKELPSDTIRFSSKVVSIDESGFFKRLHLSDGTILKTKVLIGCDGVNSVVAKWLGFDKPVFTGRSAIRGSANFKGGHGFGLKFRQFLGKGLRSGFLPCNDENVYWFLTWIPSTKDEELEDDPVKLKQFVMSKLEDIPNEMKSVVGKTELDAIASSPLRYRRPWELLWGNISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCIAEALLKPGGEENNGKIVDEEEEYKRIEMGLKNYAQERRWRSIDLVSTASMVGYIQQNSGKIMNFLRDKFLSRFLPGMLLRKASFDCGTLRY >KJB15009 pep chromosome:Graimondii2_0_v6:2:31749386:31751134:-1 gene:B456_002G154700 transcript:KJB15009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIPFLPHPNRMMRISLLFSLFLLALASAEFGPSMGIKGGNAARIPEHTCHKVIETNTCELQKCIHECSKEPAGVGDCTDYNVCYCTFYCKDPPL >KJB15883 pep chromosome:Graimondii2_0_v6:2:54265972:54266513:-1 gene:B456_002G2014002 transcript:KJB15883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WWNWYGPSSFEILPEKIQNLWPKFFDKFHPEPDQKHIYRTIHFFLKLCISWIVSWNYSYEQDQHTGIPLLVCKYRIKWWDKFNDEKYDSKYLDNFFNKNPKLCKFAAPDQTTAKFLQAKSTASAMLAQAKTKKEYKKLMAEMLRSLDSESEDESSTSSIKTVDLVDDTTSVTIIRTKKK >KJB14010 pep chromosome:Graimondii2_0_v6:2:14282313:14284944:1 gene:B456_002G106300 transcript:KJB14010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRAPCCDKDKVKRGPWSPQEDLRLITFIQKHGHENWRALPKQAGLLRCGKSCRLRWINYLRPDVKRGNFSKEEEETIIRLHETLGNKWSKIASHLPGRTDNEIKNMWNTHLKKRLAPKNGKIPQNDESKETCMVSSSCSSITFVSSPCGKRNLEVELEQQWHEGSPSKKPREGFPVSDKAEDYKTEVPSHNSRPFEEPKEFPSSSISSSNSNITNSSQVNVPNPENHGDSLLNFVGVCDWKNNTSEEVNKPEILNTAFDIPLESDSDFWDMLDSLGSFQPDEIQSNEVEGNQSPDFGEEYSKENENNKWLQYLEIELGLEVTKNENHNNLSNTAAEPLVPEMYDMLLKP >KJB12371 pep chromosome:Graimondii2_0_v6:2:976977:981024:1 gene:B456_002G015000 transcript:KJB12371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQWISKVKEGQHLLEDELQLLCEYVKEILIEESNVQPINSPVTVCGDIHGQFHDLMKLFRTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTIDQIRVIDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVRCGPHRIIATVVGT >KJB12370 pep chromosome:Graimondii2_0_v6:2:976977:981024:1 gene:B456_002G015000 transcript:KJB12370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQWISKVKEGQHLLEDELQLLCEYVKEILIEESNVQPINSPVTVCGDIHGQFHDLMKLFRTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTIDQIRVIDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVRHSTFHYHRFCLMVPRD >KJB12369 pep chromosome:Graimondii2_0_v6:2:976974:981024:1 gene:B456_002G015000 transcript:KJB12369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQWISKVKEGQHLLEDELQLLCEYVKEILIEESNVQPINSPVTVCGDIHGQFHDLMKLFRTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTIDQIRVIDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRSGVPYFL >KJB13242 pep chromosome:Graimondii2_0_v6:2:7595981:7598449:1 gene:B456_002G064700 transcript:KJB13242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEDQTQRCSNNSNTSGGNNNIIGRSSKKQRPKKVPQRGLGVAQLEKIRLEEQQKKDPGASLILPLIPSFHPPNHPSSSSSSSSSSIADVSPPPTSFFRPQNIDGNTSAAVPLTSSVNGHKFWGSCCEFNIEKGCPGLDPGWIFRTNLSLPYESESGWSLPSLMQRGQPFHQHQPPPPMMNLSSRTSSSTSVMNIQTEPPSNQKYYKNYTPLLPEEEKVIGMKRPYPFSLDNAPGPPLHTKYPPIVHSIYGQIETTSSTKDTTFNFEPDASNFSSKEGPSCSTSNGVFTRDFLTLGPPATTSMCSTSKSKHPPSNPISYELPDLDSLAYHQASFGDSITKQGGGGFTQHRPYYSFFPPAMAQIEGAKTVTTANCKSGEVAGHVDLNLKL >KJB13243 pep chromosome:Graimondii2_0_v6:2:7596264:7598449:1 gene:B456_002G064700 transcript:KJB13243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEDQTQRCSNNSNTSGGNNNIIGRSSKKQRPKKVPQRGLGVAQLEKIRLEEQQKKDPGASLILPLIPSFHPPNHPSSSSSSSSSSIADVSPPPTSFFRPQNIDGNTSAAVPLTSSVNGHKFWGSCCEFNIEKGCPGLDPGWIFRTNLSLPYESESGWSLPSLMQRGQPFHQHQPPPPMMNLSSRTSSSTSVMNIQTEPPSNQKYYKNYTPLLPEEEKVIGMKRPYPFSLDNAPGPPLHTKYPPIVHSIYGQIETTSSTKDTTFNFEPDASNFSSKEGPSCSTSNGVFTRDFLTLGPPATTSMCSTSKSKHPPSNPISYELPDLDSLAYHQASFGDSITKQGGGGFTQHRPYYSFFPPAMAQIEGAKTVTTANCKSGEVAGHVDLNLKL >KJB13904 pep chromosome:Graimondii2_0_v6:2:60558559:60559167:1 gene:B456_002G241700 transcript:KJB13904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSSKSHEISSSSSQSEAGSTSLGQSQKRKAGRKKFKETRHPIFKGVRTRKGKWVSEVREPNKKSRIWLGTFSCPGMAAKAYDVAALALRGDAASLNFPESAHTLPHPKSLSVKDIQIAAMEAAATLIDDKTLPDSVSSAVPAFPENMVFEDEDEVFNMPGILESMAEGLMITPPSMQKGYYPDDDDDDGNDYVEVNLWDD >KJB15797 pep chromosome:Graimondii2_0_v6:2:53227891:53229513:-1 gene:B456_002G196700 transcript:KJB15797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDDRLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLSRGIDPATHRPLNEASQDVTTISFSGAKEEKEKINTNSNNNPIGFITKDEKKIPVQERCPDLNLDLRISPPYYQQTQPESFKTGGRTLCFICSLGVKNSKDCTCSTITTAAGSSSSSSSSHSNSNNSSGYDFLGLKSGILEYRSLEMK >KJB13168 pep chromosome:Graimondii2_0_v6:2:6927749:6929320:1 gene:B456_002G060000 transcript:KJB13168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAFPAARKRTYNWSVKAIRRKTTGTGRMRYLRHVPRRFKTGFREGTEAAPRKKVATASA >KJB12521 pep chromosome:Graimondii2_0_v6:2:1603074:1608096:-1 gene:B456_002G022700 transcript:KJB12521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPCFDSKEEEKLNTVNESNDPKQAQPTVSSNISSLSSGGDRLRSRSNGGSKRELPCPRDGPGVQIAAHIFSFRELAAATKNFRPESFLGEGGFGHVYKGQLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPGKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLEEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTRPHGEQNLITWARPLFTNRRKLSKLADPLLQGRFPMRGLYQALAVASMCIQEEAAARPHIGDVVTALSYLANQAYDPNASGHGHSGSGETDEKRYRDDRGGRVSKNDEGGVSGCRWDLEGSEKDDSPKETARMLNRDLDRERAVAEAKMWGENWREKRRQSAQGSSDGSNG >KJB15847 pep chromosome:Graimondii2_0_v6:2:53899574:53906994:-1 gene:B456_002G1995001 transcript:KJB15847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVEDIVIVGAGIAGLTTSLGLHRLGIRSLVLESSDRLRITGFALSTWNNAWKALDAIGIGESLRHQHHLTPSILFASTNLDQPVSEISYKGHEVRCLQRRLLLETLANELPSDTIRFSSKVVSIEESGFLKRVHLSDGTILKTKGLRSGFLPCNDENVYWFLTWIPSTK >KJB16041 pep chromosome:Graimondii2_0_v6:2:55687555:55690123:1 gene:B456_002G209900 transcript:KJB16041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTLPQPFPFKWDLPTEEPTFHLITTAASLRLGNLLRHQLHLGFHLRSSFKKLCHLCNVPRLNHVWSETISNFMGIGNFRFANDVVCSSCSSYLCFFNGGEQRKYFGNEGNSGSSKMNTSRRNSFNTRKWTNILLAINVLIYVAQLATQGKLLLWGAKINSLIDKGQIWRLATYSLLHANIGHLMVNCYSLNSIGPTVENLSGPRRFLAVYLTSAISSAATSYWFCKAPAVGASGAIFGLVGSVAVFVMRHRYMIRDAKEDLQHIAQVIFLNMVIGLMSRGIDNWGHLGGLLGGAAVSWLIGPAWKYESMASDGRRIFSDQPPLFYLTDRKWKP >KJB16501 pep chromosome:Graimondii2_0_v6:2:59279421:59281558:-1 gene:B456_002G233000 transcript:KJB16501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRNHYSMLNILFGKCMFLQRNKDEIVLDFNATNGTKIAQFKISRVHQTSVARTRLNGCHTQDMCPILPKLVLGFEEEAVAVVDQKKKVKAVRLKKEGRRRRTSGGGEEMKTRN >KJB15297 pep chromosome:Graimondii2_0_v6:2:42466648:42470897:-1 gene:B456_002G169300 transcript:KJB15297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCGRSGAVRQYIRSKVPRLRWTPELHQCFVQAIERLGGQDKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRSDLSRQDRSSTHQRRQSFEKHDGCVDDVIDIMGFHSTSKPIEESDSHLIHSPLPSKRARIETKSSISDQNLQCSQGVCERVSNPYFYDDYLQTMAVYKGIKEGNGAFIWEHTQTHPQAQGQSTTFSLPHDLYNLNSFKYSVEESDFLKVSKVDVEDHKNVGKHVDDHPNARRHAGKDDEVEDKGGGCELSLSLSLHHPSSQSEISSSSEALSLVSGSNYKDCSGSSCTLRSINLDLSIALCGN >KJB16323 pep chromosome:Graimondii2_0_v6:2:57829166:57835191:-1 gene:B456_002G223100 transcript:KJB16323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENSSNRQVTYSKRRNGIMKKAKEITILCDAKVSLIIFGSSGKMHEYCSPSTNLVDILDQYQKTSGKKLWDAKHEAISLKAQNNNGCNRSQCKIYLVHDGSSRNLSNEIDRIKKENDNMQIELRHLKGEDITSLPYKELMAIEDALENGLTYVRGKQDIKAYQSLFSFSFVQMDVLDKTWKNTKFLEEDYKQLSFILNQQQVAYESAREQMDHGYQRARDYNSQMSSTFQVQPMQPNLQERM >KJB15111 pep chromosome:Graimondii2_0_v6:2:36429613:36431008:1 gene:B456_002G161100 transcript:KJB15111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFGLVSAWNKRRRSKPQDHTDPWIYKPVEYWQIEDQTSRPSKRRHGSSVFTLKEMEVATCSFSDENLLGKGGFGGVYKGSLRSGEVVAIKKMELPSFKEAEGEDEFRVEVDILSRLDHPNLVSLIGYCADGKHRFLVYEYMQKGKLQDHLNGRFSTQICFQTSCSPIQHLSKLNCSIRYWGNKNGLALKALIGTWCCQGTCLSPL >KJB13002 pep chromosome:Graimondii2_0_v6:2:4379628:4380386:1 gene:B456_002G050400 transcript:KJB13002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQMIFAWAMIFCLAMAPVYGQYYSRTVKAGPRVEKITHLHFYFHDIRSGSNPTAFLIASPNITQDPPSYGTLYAMDDPLTTEFELTSTLVGNAQGLYLALSRDLTKFSAVFYADFTFTTGRFNGSSFSLFSRFPPTDVVPAPGTIREMAIVGGTGKFRMATGFALLRPTSSSNTVGDANVEFNVTLYHY >KJB15367 pep chromosome:Graimondii2_0_v6:2:44291043:44292005:-1 gene:B456_002G173500 transcript:KJB15367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEWVVLGYTAGAEAIMLLLLTVPGLDGLRKGLIAVTRNLLKPFMSVVPFCLFLLMDIYWKYETRPTCDADSCTPSEYLRHQKSIMKSQRNALLIAAALILYWLLYSVTNLVVKIEQLNQRVERLKNRD >KJB16489 pep chromosome:Graimondii2_0_v6:2:59189571:59195732:1 gene:B456_002G232500 transcript:KJB16489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKFSSPINSLHNLENQVQALFTKSISFKDVNVKTVMQSFSFNRLVSNPKGLEPNGCGTGSMQLEEPSSFDKKEILSHIKENNTVFVKPESCNNFSQRSNTEEKSGPGFAEHGGRRYQAALKLQKVYKSFRTRRQLADCAVVAEQRWWKLLDFAELKRSSISFFEIEKPETAVSRWSRARTRAAKVGKGLSQDEKARKLALQHWLEAIDPRHRYGHNLQFYYAQWLHCDSRQPFFYWLDIGDGREVNLEKCPRPKLQQQCIKYLGPAERDAFEVEIRDGKFYYKQSGEVLDTTGGPTDAKWIFVLSAFKTLYVGMKNKGTFQHSSFLAGGATLAAGRLVLEDGVLKAIWPHSGHYLPTEENFQEFVSFLQEHEVDLTNVEQSPSEDEAISWKNSSILENNEPKDEGLQPIEATNNNENSIQGNTDSNDQDSKAVQNANKSTSRSSVWSKISKLKIPAKDDVFDIFKKETLPLSCRNERPKPTAEGGYETEEEYLSDEDFLFTKINLFGDDDDDNEEDKKPVPKDKIMRRIASHKEPKSYQLAHQLSTKWSTGAGPRISCMRDYPSELQSRVLQEAHLSPRSANALPRTPSRFVLTPTSLGTETSSLRSPIAPPPTASVS >KJB15091 pep chromosome:Graimondii2_0_v6:2:35515138:35516216:-1 gene:B456_002G159700 transcript:KJB15091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIASCYNEHAIKVSDSYCSRPSNQPCLTPSFTPSTPTTVKCIYKAKISSQRNLLIALTWCNNLLGRGLTIAVGETPSKFDPNSHHLSKNKGSKTFKACNSEIEVFWDVSNAQYMNGPEPSTRFSLFVLVDSQLCLSLGDIIHTNNEEKATSNFSLVSRTEVFIGTTSVFSTKAQFCEEGLVHEILIKCNEEYEEKQKVWRNPELCVTIDKKKVFQVKRLRWNFRGNQVIFLDGLLIDMMWDLHDWLFNRTSKCAVFMFRTRSGLDSRLWLEEKNAKFGEHQERGEFSLLICACKNLD >KJB16588 pep chromosome:Graimondii2_0_v6:2:60141416:60143416:1 gene:B456_002G2380002 transcript:KJB16588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLRTQTINQLPSGAALIKRELCYTICFIFILISSIIALNLGSSSRSLPLFRFDHFFPRKLPPPFPHTHPLRACDYSYGKWVRVDKKNPVLLYDEDCPFLDPGFRCHRNGRKDIEFLKWRWQPHGCYLPRFNASDFLERSRNGRIVFAGDSMGRNQWESLLCMLAQAVSNKSTIFEVNGSPITKHKGFLSMKFQDYNLTVEYYRTPFLAVLGRRPITTPPQVKLIVKVDQLHWRSKQWKGANVIVLNTGHWWNKEKIAKMGCYFQEGGTINMTMDVMEGFRRFIKTLVSWTTKNLNPEISHVFIRNHSPIHY >KJB15767 pep chromosome:Graimondii2_0_v6:2:52786495:52787903:1 gene:B456_002G195000 transcript:KJB15767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENRMTGKVKWFDDQKGYGFISPDDGGDDLFVHQSSIRSEGFRSLADGEEVEYVVESSEGRPKAVEVTGPNGNPVRGSSRSGRGGGGGSGYGGGSGGYGGGGRRSGYGGGGGGGGGGGAGCYKCGEMGHLARECGQGGGGGGGGGRYGGGGGGGACYNCGGSGHFARDCPNSGR >KJB16322 pep chromosome:Graimondii2_0_v6:2:57822338:57822862:-1 gene:B456_002G223000 transcript:KJB16322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSKNDLRRIFEKLDKNGDGLVSLEELNWLLERIGVQFSLEELESLVGKPCLGFEEFLFFYDSISNHNTKIEAAFDDEEEEEEIRMVHGGGDDDDDDDDHGDSDLAKAFKVFDLNGDGFISCEELQSVLVRLGLWDEKNGKDCRNMICFYDTNLDGMIDFEEFKNMMLRSVS >KJB17019 pep chromosome:Graimondii2_0_v6:2:62158948:62159515:1 gene:B456_002G260700 transcript:KJB17019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPNMILPKMNPIEPINATNTGCNKLTPKYKIKTKLATLKHNRKVTGILGLHLLVQPFNKALYQIFKLFMA >KJB15118 pep chromosome:Graimondii2_0_v6:2:37200111:37203079:-1 gene:B456_002G161800 transcript:KJB15118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSTIFLCSFSFSSFPSLSRWRETNSFLPSPFRFSNRPSPFLRFSSKPSDSGNFLGDDSFGFFPWSPDDNDLEWVQEERVTLFTSDGLIQIGGSMVPRRLSSSDKKQGKLKSSQRFQRFQESDYMDPNQGLCLGALFDIAATNGLDMGRRLCIIGFCRSIEMLSDVVEDTVLEHGGEVVAAEKAIKGGLHEKLSMTVAVPYLWGVPPASDTLHLAVRSGGGIVEKVYWQWDFL >KJB12140 pep chromosome:Graimondii2_0_v6:2:145202:146047:-1 gene:B456_002G002600 transcript:KJB12140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSKKVTLRSSDGVIFVVDEAVALKSQTIKNMIEDDCADGEIPTPGVTGNTLAKVLEFCTKHVDDKDDELPTKFKDWDANFAKVDQNTLFYLTLAANFLNIKSLLGLMCQTVADMIKGKSPEEIRKTFNIENDFTPEEEEEIRRENAWAFN >KJB14791 pep chromosome:Graimondii2_0_v6:2:26110071:26114779:1 gene:B456_002G143900 transcript:KJB14791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFQALQQKPDSSNDATAEFERGLEELMRGHLDDCMSFASCSSNRNPDDEDDEGDQLIRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTIERRNRESELMALAGLHTVSTLDSSFLRESPSANSRRQGGTVERPNTRASSILQMWRELEDEHMLNRARERVRQQRNADGNTTMSSTTLSESHESENHGSVVDASDSENEYGTWSNEQGVSQNEVEDNNGSSREQSPDLGEVERERVRQIVRGWMESGISDHSSSRVSRVGGPRAEWLGETERERVRIVREWVQMTSQQRGVRGGRREDHQAAATVGTQVNQPREGSVADHDEGQPEHIRRDLLRLRGRQAVIDLLMRIERERQRELQSLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPPSMAASELIQLRQRHTVSGLREGFRNRLETIVRGQASSNPETTSSNVIDDSRNELSQSNTLQDAQHERSEHTQPRSSESDVSQSQTGDMVSTMAAESISWQGNANQGGNWQEPTTNDERGNWQQPPYAQFNEWREGSAEELDTNWQEGSVSEYRQENPGNVNGEESHSQGAQRVWREDGSREAVDNWSEGPSGPPRPRRSIPVRRFNRFHPPEDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIHSYVERQGRSPIDWDLHRNLPTPASPERDQEQQRDEINDDQNNAISRLSLVLPSPPVPPPQPLWHQDLHHTSWSRPSMHRSEIEWEMVNDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRSVGEKVLSVETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >KJB14792 pep chromosome:Graimondii2_0_v6:2:26110573:26114724:1 gene:B456_002G143900 transcript:KJB14792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFQALQQKPDSSNDATAEFERGLEELMRGHLDDCMSFASCSSNRNPDDEDDEGDQLIRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTIERRNRESELMALAGLHTVSTLDSSFLRESPSANSRRQGGTVERPNTRASSILQMWRELEDEHMLNRARERVRQQRNADGNTTMSSTTLSESHESENHGSVVDASDSENEYGTWSNEQGVSQNEVEDNNGSSREQSPDLGEVERERVRQIVRGWMESGISDHSSSRVSRVGGPRAEWLGETERERVRIVREWVQMTSQQRGVRGGRREDHQAAATVGTQVNQPREGSVADHDEGQPEHIRRDLLRLRGRQAVIDLLMRIERERQRELQSLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPPSMAASELIQLRQRHTVSGLREGFRNRLETIVRGQASSNPETTSSNVIDDSRNELSQSNTLQDAQHERSEHTQPRSSESDVSQSQTGDMVSTMAAESISWQGNANQGGNWQEPTTNDERGNWQQPPYAQFNEWREGSAEELDTNWQEGSVSEYRQENPGNVNGEESHSQGAQRVWREDGSREAVDNWSEGPSGPPRPRRSIPVRRFNRFHPPEDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIHSYVERQGRSPIDWDLHRNLPTPASPERDQEQQRDEINDDQNNAISRLSLVLPSPPVPPPQPLWHQDLHHTSWSRPSMHRSEIEWEMVNDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRSVGEKETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >KJB13441 pep chromosome:Graimondii2_0_v6:2:8979427:8986397:-1 gene:B456_002G076100 transcript:KJB13441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITGMEIKGGVAEIQKDRNTPLVPKVSQLSNEKETIYGALDKWVAWETEFPLIAAAKALQIFKKRSQWLCVIQVYSVYWYSCFVFSSF >KJB13440 pep chromosome:Graimondii2_0_v6:2:8979398:8981087:-1 gene:B456_002G076100 transcript:KJB13440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITGMEIKGGVAEIQKDRNTPLVPKVSQLSNEKETIYGALDKWVAWETEFPLIAAAKALQIFKKRSQWLCVIQVYSVYWYSCFVFSSF >KJB13465 pep chromosome:Graimondii2_0_v6:2:9029958:9037246:-1 gene:B456_002G076500 transcript:KJB13465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSRREDVSNTLRILVATDCHLDYMEKDEIRRHDSFQAFDEICSIAEEKQVDILLLGGDLFHKNKLSRSTLFQVVSDQTVNFPNTFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAIDILSVCNLVNYFGKMVLAGSGVGQITLYPILIRKGSTAVALYGLGNIRDERLNRMFQTPQAVQWTRPEPQEGCEVSDWFTILVLHQNRVKMNAKNAINEPFLPRFLDFIVWGHEHGCLIDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNLYRPTKIPLTAVRPFEYIEVVLKDEPDIDPNDQNSILENLDKVASQWSNRKAVNGSEPKLPLVRVKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKTSKRSQKEEELNQQNIEALVAENNLKMEILPVNDLDVALHNFVNKDEKLAFYNRVQYNLEETPNKIGKDSGALKCEEDLVLKVGECLEERVKERSSHPKDTLQFTSRVQSFENVWSKNDKGIGSAASFSDDEDTAQILSSANRGRKGPLTASRSSGDASELVKGKTSTRGRGRGRGRGRGRGSSGLNQTTLDATLGFRHSQRSASVSASAAVQSIADDEENVNSASSEEHGINEVDNNLEKDEIVQGKGRKRAGPRGRGRGSTSKGGRKSEDSLVRKVLMNKHDDEEEDDGEEIIRRFNKPQPRVTKSYGALRR >KJB14551 pep chromosome:Graimondii2_0_v6:2:20784937:20786345:-1 gene:B456_002G132400 transcript:KJB14551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTELKSDNLFEMMKIHLASDAGKELIKNIGLVYQINIAPKKIGFDEVSYIVDLKKGEVTKGKYEGGKPDATFSFKDDDFIKVATGKMNPQIAFMRGAMKIKGSLSAAQKFTPDIFPKPAKM >KJB12316 pep chromosome:Graimondii2_0_v6:2:764996:768264:1 gene:B456_002G011500 transcript:KJB12316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFEADNHGVSISVAVDNKTLADFEVLKKPKRNKFAFACAILASLASILLGYDIGVMSGAILYIKDDLKISDVQVEILVGILNLYCLIGAYVAGRTSDWIGRRYTIVVAASIFFVGALLMGFATNYTFLMVGRFVTGIGVGFALLIAPVYTAEVSPASARGFLNSFPEVFINAGLLLGYVSNYAFSKLRADLGWRVMLGAGAILSVFLAVGVLAMPESPRWLIMQGRLGEAKTVLDKTSDTKEEARGRLSDIKAAAGIPEDCTDGIVQVQKQTHGEGVWRELILHPTPAVRHVLICAIGIHFFQQAIGLESVVLYSPRIFEKAGITSSDHKLLATMAVGFSKTTFILVATFLLDKIGRRPLLLISSGGMVVILATLGLSLTVIGHSDKKQTWAVGLCITMVLSSVAVFSIGMGPITWVYSTEIFPLRLRAQGASLGVAVNRVMSGVISMSFISLYKAITIGGAFFLYAGVALVAFFFFFTFLPETRGKTLEEMEGLFGKLVGWREEAKKMKRKRNEANGDGIGNDQIQLGKI >KJB15705 pep chromosome:Graimondii2_0_v6:2:51826722:51836736:-1 gene:B456_002G191800 transcript:KJB15705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGRRSARSRTKPSSDVNEDDDAFNKAKPISKLRRVKTKDHGTALRILNADLKIILGFGIIAALIIFFLVNHLISIAEEARRPRVVTPFPAPRIMDLPQFQGEHKESLYWGTYRPHVYFGIRARTPLSLVAGLMWLGVKDGRYFIRHICQDSDDLSTYGWTSHNGRDFGHQVLVDQDMTLATSFLKYKEDDSGYGGDWAVRINVQNQGWNEEMQKNVHLFFYLAEEDGNALSLGGDILDIHENSLLASGSRTDIGEWQLHLKSKADLEVHYSGLRTPHIHNLSDLVQENLASQLIRFNRLQLPDIYQSSSNILVFQISGRIPLETDIVFVSGAGENSRVEERISNLAGVSLTNQLNKKQREFDVKFESCFQLADKLDSNSIHVGKAAIGNMLGGIGYFYGQSKISIPKNSNVKSHDDFLLYWPAELYTAVPSRPFFPRGFLWDEGFHQLLIWRWDLHISLDIVGHWLDLINIDGWIPREQILGAEALSKVPAEFVLQHSSNGNPPTLFLVLRDLVNGIKKNKFTASESSEIISFLQQAFVRLEAWFQWFNTTQLGKDVGSYYWHGRDNLTIRELNPKTLSSGLDDYPRASHPTEDERHLDLRCWMLLAADCMNSVAELIWKENKPGKDYASTSNLLSDFDTLNQMHFDHASGAYFDFGNHTEKVRLSWKENMIGNNYVNRELVREVLERPELKLVPHVGYVSLFPFMTRIIPSESWILEKQLDLISNRSILWTNYGLRSLSKTSSMYMKRNTEHDAPYWRGPIWMNMNYMILSSLRHYSLENGPYRDKARAIYEELRDNLIRNVVQNYHQTGFLWEQYDQKQGKGKGARVFTGWTSLVLLIMAEAYNEM >KJB15704 pep chromosome:Graimondii2_0_v6:2:51827094:51836733:-1 gene:B456_002G191800 transcript:KJB15704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGRRSARSRTKPSSDVNEDDDAFNKAKPISKLRRVKTKDHGTALRILNADLKIILGFGIIAALIIFFLVNHLISIAEEARRPRVVTPFPAPRIMDLPQFQGEHKESLYWGTYRPHVYFGIRARTPLSLVAGLMWLGVKDGRYFIRHICQDSDDLSTYGWTSHNGRDFGHQVLVDQDMTLATSFLKYKEDDSGYGGDWAVRINVQNQGWNEEMQKNVHLFFYLAEEDGNALSLGGDILDIHENSLLASGSRTDIGEWQLHLKSKADLEVHYSGLRTPHIHNLSDLVQENLASQLIRFNRLQLPDIYQSSSNILVFQISGRIPLETDIVFVSGAGENSRVEERISNLAGVSLTNQLNKKQREFDVKFESCFQLADKLDSNSIHVGKAAIGNMLGGIGYFYGQSKISIPKNSNVKSHDDFLLYWPAELYTAVPSRPFFPRGFLWDEGFHQLLIWRWDLHISLDIVGHWLDLINIDGWIPREQILGAEALSKVPAEFVLQHSSNGNPPTLFLVLRDLVNGIKKNKFTASESSEIISFLQQAFVRLEAWFQWFNTTQLGKDVGSYYWHGRDNLTIRELNPKTLSSGLDDYPRASHPTEDERHLDLRCWMLLAADCMNSVAELIWKENKPGKDYASTSNLLSDFDTLNQMHFDHASGAYFDFGNHTEKVRLSWKENMIGNNYVNRELVREVLERPELKLVPHVGYVSLFPFMTRIIPSESWILEKQLDLISNRSILWTNYGLRSLSKTSSMYMKRNTEHDAPYWRGPIWMNMNYMILSSLRHYSLENGPYRDKARAIYEELRDNLIRNVVQNYHQTGFLWEQYDQKQGKGKGARVFTGWTSLVLLIMAEAYNEM >KJB14131 pep chromosome:Graimondii2_0_v6:2:15567708:15569992:1 gene:B456_002G111300 transcript:KJB14131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHFNFCKTFSGNSSLSPPSFPLPLPHLKEGNMTTPPVGNVIAPHRDWKRTEFFLNHEALQQVIKAEQKQVSRSLSGTIAEVTNEENSTIRVEIPDIMSVSLCADLTLPPGAGLCIDTTYGPIFCIVCSSFQVADLWESLDGWLDAIHLVYTIYARVRIHSIILVISLEATAASVRSAFFVFFCAK >KJB16847 pep chromosome:Graimondii2_0_v6:2:61398352:61401460:-1 gene:B456_002G250400 transcript:KJB16847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKVSEEIDLNCDAVSVDRENGDNDVNIRDSGTCLKESVNKAGEESKIESVKDEEVNLEADIGSLGEEVAFGSGEVSKMEVDGELKGNGDGECVSVEDKKPVVAEEMAVKSSSEVAEDLNVGMCRSGDPLLEGTSVRSISIVDYATHSGDERLNSRDLSHENSLPCGDQKESLNDRSSCGLESRDMEIDAHDDTKKKRNAENRSSVLNDGVDSNQCTSTDEDEDEDEDEDEDEDEDEDEDVKPEEPEFCVSDLVWGKVRSHPWWPGQIFDYSDASSKAKKYFKKGCYLIAYYGDQTFAWNEESRIKPFRPHFSRMEKQNNMEEFHNAVDCALDEVSRRVEFGLACSCISKEAYAEVKTEIIVNAGILEESSRRVGGDRFSTAASFDPSELVETMKALALSPLYSEVDRLQFTTSQAQLLAFHRWKGYSQLPEFLNVGGLLETDAEILLFEEVETEVPSSKVEKEKPENQDKIPAGSCKKEKSLSEFLAERRLSLQKVKRKLNNNAGDKLTSSPAKKLKAVDTLHDDSASKLKKSYVSPGSGDKSLQSKQTFRVGASILRVASLLNGSTVSSPLFKHCDGKSQKSAVNNKKKGKSMSGKSPGKVSRTDASSPTGIHSQLCSAATDPLKDQGTDDITREKSTGSKVTGRSELASIKDSSCSDRKIRCLPEEQSPVDKDNETSEVSPNTQPTVQASVNTDSEPKHTVEGEILGTETEKQQIADSDESFTKDQTPTALILNFSDIDSVPSVEDLNKTFSHYGPLVSPGAEVFKKSTRAKVVFKRRADAETAFSSSGKYSIFGPSLVSYRLKRLAVTPIKASTGSTKRFRVGEAREDENTT >KJB12476 pep chromosome:Graimondii2_0_v6:2:1390225:1390950:1 gene:B456_002G020400 transcript:KJB12476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCRPLGFLLGLPFALIALVLSVVGAVIWVLGTILSCLCPCCICCAGLANFAVSLIKLPIKVLRWFTNQIPC >KJB13409 pep chromosome:Graimondii2_0_v6:2:8519840:8520750:-1 gene:B456_002G073000 transcript:KJB13409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQVSFIFFLIFSSYMRAESQDLKHLTNELEVNVAASRVWELYRHLGISMLTAQQLKNVIQNAQVLEGDGGVGTMLKLTFAPGNLSYTERFIEVNDEKKVKVAKGLEGGCLAIGCSVQIVRFDIVEKTSDSCIIKSDIAYAVKEEFEANDPKPNIQLLAAAAQIAKRFLESSKNDA >KJB14408 pep chromosome:Graimondii2_0_v6:2:17903504:17906293:1 gene:B456_002G123700 transcript:KJB14408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKESKSRGFFGWLLVLVILALVVGAVVYIIKKKLDHGNDNKPTPVPGPPGAIDHKYAYALEIAMQFFDVQKSGRLVDNKISWRGDSALTDGSEAKLDLSKGMYVAGDHVKFGFPMAFTATVLSWAILEYRDQMEAVNQLEPAQQSLKWITDFLLNAHPSPNVLYIQVGDPVTDHKCWDRPEDMTEKRPLTQVNASVPGTEIAAETAAAMASASLVFKTADSTYSSTLLTHAKQLFTFADNNRGSYSEKIPEVATYYNSTGYGDELLWAASWLYHATADQSYLEYVTGENGKEFAQWGSPTWFSWDNKLAGAQVLLSRLSFFGASGNSVIENYRKSAEDVMCGLLPQSPTATSSRTESGLIWVSEWNSLQHPVASAFLAALYGDYMLTTQTAKLTCGDHSFEPSDLRKFAKLQADYVLGSNPLKMSFLVGYGDKYPQYVHHRGASIPVNATTGCTDGFQWLNSTKPNPNVAVGALVGGPFLNETFFDSRNNTMQTEPTTYNSAVIVGLLSSLVTTSAAVNRSVKSRHSL >KJB13727 pep chromosome:Graimondii2_0_v6:2:11629477:11629902:-1 gene:B456_002G091800 transcript:KJB13727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRKLVFVSVLAIVLALSISAASDDRKDDVNDATIESSDYSSAQGRWLLQTKRTRRVTCKKFPGICDAKGSPGPQCCKKKCVNILTDRQNCGKCGKKCKYNEICCKGKCVNPSFNKKHCGGCNNRCGNGEYCVFGLCNYA >KJB16160 pep chromosome:Graimondii2_0_v6:2:56529282:56532012:-1 gene:B456_002G215800 transcript:KJB16160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAIVIIPTYCCNAHQSPEPSSSRPLTTKPSSYFGIRVLLEKGRNPARRCSWRCVRVRSPALTRRKVVKAVATPDSALELPLTAANVESVLDEVRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVTTMKMGIEKRLMEKIPEIVAVEPITDEETGLELNEENIEKVLEEIRPYLVGAAGGSLELVAIEEPIVKVRITGPAAGVMTVRVAVTQKLREKIPTIAAVQLL >KJB14499 pep chromosome:Graimondii2_0_v6:2:20018502:20024781:1 gene:B456_002G129100 transcript:KJB14499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYPGNSQDMAVPPVEGVAGGGTAYGWNDGGLNVSNPLKGSIDPAEVPTADLVHVWCLPSTANVGPQEVPRNLEPVNLLAARNERESVQLAIRPKVSWSGSSVAGVVQVQCCDLCSASGDRLIVGQSLKLRRVVPILGVPDALVPLDLPISQISLQPGETTAVWVSIDVPNEQPPGLYEGEIIINATKADTESSSQCLGKAEKHQLFTELRNCLDALEPIDGKPLDEVVERVKSSTTSLRKVLLSSSFSEFFSDNGPVDMMDEDAISNLSVRVKLSLTVWDFIIPTTPSLPAVFGISDTVIEDRFGVGHGSSEWYDALEQHFKWLLQYRISPYFCRWGDSMRVLTYTSPWPADHPKSDEYFSDPRLAAYAVPHSPVVSCNDAAKDYLQKEIEILRTKSHWKKAYFYLWDEPLNMEQYESLCNMASEVHAYAPDARVLTTYYCGPSDAPLAPTPFEAFLKVPKFLRPHTQIYCTSEWVFGNREDLVKDVISELHPENGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEIRFRRGLPPGDGVLYYPGEVFSSSKQPVASLRLERILSGLQDFEYLKLYASRYGKEEALTLLEKTGVYLGPERYTHEHMAIDIMRGEIFSSCRSCS >KJB14500 pep chromosome:Graimondii2_0_v6:2:20018871:20024758:1 gene:B456_002G129100 transcript:KJB14500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPPVEGVAGGGTAYGWNDGGLNVSNPLKGSIDPAEVPTADLVHVWCLPSTANVGPQEVPRNLEPVNLLAARNERESVQLAIRPKVSWSGSSVAGVVQVQCCDLCSASGDRLIVGQSLKLRRVVPILGVPDALVPLDLPISQISLQPGETTAVWVSIDVPNEQPPGLYEGEIIINATKADTESSSQCLGKAEKHQLFTELRNCLDALEPIDGKPLDEVVERVKSSTTSLRKVLLSSSFSEFFSDNGPVDMMDEDAISNLSVRVKLSLTVWDFIIPTTPSLPAVFGISDTVIEDRFGVGHGSSEWYDALEQHFKWLLQYRISPYFCRWGDSMRVLTYTSPWPADHPKSDEYFSDPRLAAYAVPHSPVVSCNDAAKDYLQKEIEILRTKSHWKKAYFYLWDEPLNMEQYESLCNMASEVHAYAPDARVLTTYYCGPSDAPLAPTPFEAFLKVPKFLRPHTQIYCTSEWVFGNREDLVKDVISELHPENGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEIRFRRGLPPGDGVLYYPGEVFSSSKQPVASLRLERILSGLQDFEYLKLYASRYGKEEALTLLEKTGVYLGPERYTHEHMAIDIMRGEIFSSCRSCS >KJB16634 pep chromosome:Graimondii2_0_v6:2:60376523:60377324:-1 gene:B456_002G240800 transcript:KJB16634 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZPR3 [Source:Projected from Arabidopsis thaliana (AT3G52770) UniProtKB/TrEMBL;Acc:A0A178VBM1] MEKLNLELYIKNCYILKENERLRKKAKLLNEENQALLSELNQKFSNPTYSKPKNSAITITDHLNLSFNSNPSSSYYNNGEP >KJB12923 pep chromosome:Graimondii2_0_v6:2:3771146:3771804:1 gene:B456_002G045200 transcript:KJB12923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTLVLTWILIIYLSQIAVQSQYYSEILPYDPEPVKVTNLHFYLHETLNGQNPTAVNIAQANITNNSSVPFATLAAVDDILKIGPEDNSEVIGNAQGLGLLLAGNTTTGVMYSDFGFTAGKFNGSSISIFSRNTIIEPGREVAVVGGRGKFRMAKGFALLTTYFLNATAVIIEFNVTVIHY >KJB12060 pep chromosome:Graimondii2_0_v6:2:44742180:44743436:1 gene:B456_002G175300 transcript:KJB12060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSGQPHDEAPWSVGFCDCFSDMKTCCIACWCPCITFGQIAEIVDKGSTSCGASGALYTLIMFITGLPCFYSCFYRSKLRKQYKLKGGGCGDCMLHFCCETCALTQEYRELQNRGFDMSIGWHANVEKNQGLAMAPAVEKGMSK >KJB13354 pep chromosome:Graimondii2_0_v6:2:8101003:8101730:1 gene:B456_002G070000 transcript:KJB13354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPGFFIICMLHSMVAITCGALMVFYTKEVSVFGHGHEIASKLQGSTPHDQLLIQTSQSFSGLLLFTIGLVLFMVAFVEDREFQSFFAKGCVLLHVSMAVWRVCFEGKLEDLAHQWLRQALGDIMLSLSWVLLLACSWREKYD >KJB13587 pep chromosome:Graimondii2_0_v6:2:10485607:10486929:1 gene:B456_002G082700 transcript:KJB13587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSDGGCCRCCCSFIFTLGLTALFMWLSLRTSNPKCSIENFYLPSLDTTLKNPNDTALNLTLKLENTNKDKGIKYDAVKVAVSDSQNRNHVVANVSVPGFYQGHKKKAEKNGTGTANLTVASQVTSNNGTRVFWVDLSTSVKFKIMFWYTKKHKIRVGANVTVNATGVKVERKGIKLKSMAPRMGSFCVVMGSLLNFLVFSLLNF >KJB16547 pep chromosome:Graimondii2_0_v6:2:59628429:59630118:1 gene:B456_002G235800 transcript:KJB16547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKECGNHGKYRRKIFRRIIAGILIFILIVLITILLIWAILRPSKPRFILQDTTVYAFNASTPNLLTSNFQVTLSSRNPNDRIGIYYDRLDVYATYQNQQITLRTSIPPTYQGHKEINVWSPFVNGNSVPIAPEYSASLGSDQSAGSVFLMIKIDGRVRWKVGTFISGRYHLYVRCPAFITFGSKSNGVLVGENAIKYQLVTRCSVSV >KJB15373 pep chromosome:Graimondii2_0_v6:2:44452660:44453562:-1 gene:B456_002G173900 transcript:KJB15373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNDFPVYPSPSEQSAADDAQKQKLDDADKKITIFLFHNSIPFSAAKSMYLHVEFYISKLSDRFTNAGKKQMSCAELGRRKMTVHTLPQKCFLSC >KJB14602 pep chromosome:Graimondii2_0_v6:2:20974019:20975179:1 gene:B456_002G133200 transcript:KJB14602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETESTTTAQDSATAETEPHQTQAQSQIDETAAKLNNLTLRIWPPTQRTRDAVINRLVETLSSQSVLSKRYGTIPEDEASSLAKSIEGEAFSVAGAAFSPDDDGIEILQMYSKEISKRMLDTVKSRAATAAAADAPSATEAANGEEVSSSSVKAEA >KJB16791 pep chromosome:Graimondii2_0_v6:2:61200426:61200704:-1 gene:B456_002G247900 transcript:KJB16791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSVKLLVLFLVAMMVSSSCMTTRPTRAVIGGTYGWREQQRYHVVRKENEVERRNMVEDSSDKNIDNHHNIPRQRYDDWGNNSPNIGDEGNE >KJB13713 pep chromosome:Graimondii2_0_v6:2:11440131:11440908:1 gene:B456_002G090600 transcript:KJB13713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFLSLLLLSEMTLLFALVFSSSKLRELITMMLDKIVEGKGGAISLPVLATLTVVFISMVYDAKGIWTEDVVLNAADEVLMVNLVLKATLMGFALYLALITYGFHKYIKGSRPWEAAKEKKSI >KJB17160 pep chromosome:Graimondii2_0_v6:2:62661172:62664208:-1 gene:B456_002G267800 transcript:KJB17160 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase small subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G05610) TAIR;Acc:AT1G05610] MPQTSLMMTLQFSSSGTPVNVQAKHFLIPGLGRYDHKYSPKLPSKFPKTSIFNSHQIPNPILHQPPVNQSVAAIVFGDGSESRLYPLTKRRSEGAIPIASNYRLIDAVVSNCINSNINKIYALTQFNSTSLNSHLSRAYSGASLGKEGFVEVIAAYQSPEDQGWFQGTADAIRRCLWVLEEYPVAEFLVLPGHHLYKMDYQRVIESHRNRKSDITIVASNGITKRDRDPGVGVLKINTENQVMQYSLKSNKEPVNYAVAETLTRCNDSSYSYIPSMGIYLINRATMVNLLNNHFPKAMDFGTEVIRGALSLGMKVEAYLFEGYWEDMRSIEAFYQANMECIKKLNTGYNFCDRDSPVYTIPRNLPPTVVNDAMITDSVIGDGCILNRCKIKSTVVGMRTKIRDGAIIEDSVIMGSDVYQIEDGRESSIKSTSVPIGIGENSEIRKAIVDKNARIGKNVKIINKDNVEEGDREANGYIISGRIMVVLRSAEIPDNSIL >KJB13438 pep chromosome:Graimondii2_0_v6:2:8740231:8740842:1 gene:B456_002G074500 transcript:KJB13438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFCFLVDQRHMLMSSKPAAGTCLRCRSGASVGLMKTVTRFCYVPIYWKSWKAIICTFCGAVLKSYR >KJB14515 pep chromosome:Graimondii2_0_v6:2:19868844:19877338:1 gene:B456_002G128500 transcript:KJB14515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTSASDSRRHLTVNPHHPISKDVQGSDNPIPLSPQWLLSKPGENKPGMGTMENHPVPYPAHGGRSEVIKPSGNGEGMHGTPKKDVFRPSLLDMETGRRDRWRDEERDTHSALRKDHWRDGDKELSDNRRMDRWADNLPSRQFGEARRAPTERWTDSGNRDSNYDQKRESKWNSRWGPDDKNNEKSRDKWVDSGRDGDMLLDKGLPHLSSHGKDVKEGDHYRPWRSTSSQSRGRGEPPHHQALTPSKQVPTFSYGRGRGESHSSPFSAGHGRGSSGGNTGASIPSYNQTLGNISNRSEIDHGEPSPLRYSRKKLLDLYMRTDMRIYQNLVEELLSVPSLTQNESLEPLALCAPSSDEMLVLKGIDKGDITSSGAPQMPKDGSASRNSTEFTHSRRNKIGSREDLPPAVDDCKEETSDIPNSSYSQLEKHKGYPDAKFISEDNKVLFKRDEELPISRESSIQVTNSVNPGTMWRTSSFGERSPTVTHDLKEIPNDARLRTPDMSWSQPQKDMINQRESNVLNSSYARAEANWQSSEDPILKRQPSGVLAREPEPRKLPAPEDLVLHYKDPQGEIQGPFSGIDIISWFEAGYFGIDLEVRLASAPKDSLFSLLGDVMPHLRAKARPPPGFGVPKQGELSDLSSRPNYSSPGKVHAGASEINMIRNELRPATEAENRFLESLMSGGMSNPSQGLQGYVPQFSCSGSQRLQAPTPPSLTSLLGQTMDKSSGILKPEKFIPSTLSQDSQLLNMLQQQYLMQQLQPQTPVPTPEMLLLEKIMLLKQQQKLEEQQQLLRQQQLLSQVLQEHQSKQHFGEPSYVHLQTTTIPTGHSSMDPSRLQPSLQNVIQIGSQIPGNQDEHAHNFMNLPPQVSWDSSYAVSSGSPPVLLPHEIVSSINCQKSWGTNAPELVNGIQPPLPVTTIVESSPSLEVNLSSQEASLVQEPLASECHALPMEQPLDYTPKIDEIAPVVPTVDDATCGTLEHHEIATARTSKTDIPINEGVLSTDSIDELPVGRDKGNDQPSVVREAKNVEAHEVRKASEKKSRRQKSSKSQASDLAKGLPKASTLVQLKPSETEEPVVGDSNTAGHNIDGMSQGKTEENKSRNSPMDSSYAKSFSAANIGVLDDETKETKGEAKLSSSPLQNPAAVRAWKPAPGFKAKSLLEIQQEEQRKAQTEVAVSELTSSVNSLSLSTPWAGVVSSLEPKVSRVSKKDADISESAIVKPESSLNSVSKKSPLHDLLAEEVLAKSRERDADVPGTISTSSAHVTTTNVEPTDNDNFIEAKETKRSRKKSAKSKGTGAKVSGPPSTADAVLVSASTIEKVKSSRPAHVEMELLPSIPSGPSLGDFVPWKEEQVNPSPAPAWSADSKKLPKPTSLRDIQKEQKRNFSVQPTNPIPTPHKSQPSQSTPAGVSSRSFTASSPSKTASPIQINSHATQSKHKGDDDLFWGPIDQTKQETKQGDFPLLANVGGWGTKSTPAKGTASGALSRQKSSGRAIERTLSSSPASAQSFLKGKSDMLTKHSEAMDFRDWCESECVRLIGTKDTSVLEFCLKQSRSEAEILLVENLGSFDPNHEFIEKFLNYKELLPADVIEIAFQSRHDRKFSELGSGYVNSGNSSIANFDAEVGVGLDGSSKGGGKKKGKKGKKVSPAVLGFNVVSNRIMMGEIQTVED >KJB12916 pep chromosome:Graimondii2_0_v6:2:4778837:4781217:-1 gene:B456_002G054000 transcript:KJB12916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKKPTDSNSNSKLNIAIIHPDLGIGGAERLIVDAAVELASHGHNVHIFTAHHDKNRCFEETRAGIFPVTVYGDFLPRHIFYRLHAVCAYLRCIFVALCVLFMWPSFDVILADQVSVVVPLLKLKRSTKVVFYCHFPDLLLAQHTTLLRRIYRKPIDIIEELTTGMADMILVNSRFTASTFAKTFKHLHARGIRPAVLHPAVNVNQFDKPCSFKLNFLSINRFERKKNIELAVSAFAMLRTLDGNVDRGSNFADADLTIAGGFDKRLRENVEYLDELKSLAERNGVAGQVNFVTSCSTAERNSLLSECLCVLYTPTDEHFGIVPLEAMAAHKPVIACNSGGPVETIKNGETGFLCDPSPKDFALAMAKFIQDPEMAKRMGEQARQHVNESFSTTTFGQRLNQFLLDVARTKWD >KJB12915 pep chromosome:Graimondii2_0_v6:2:4778453:4781381:-1 gene:B456_002G054000 transcript:KJB12915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKKPTDSNSNSKLNIAIIHPDLGIGIFPVTVYGDFLPRHIFYRLHAVCAYLRCIFVALCVLFMWPSFDVILADQVSVVVPLLKLKRSTKVVFYCHFPDLLLAQHTTLLRRIYRKPIDIIEELTTGMADMILVNSRFTASTFAKTFKHLHARGIRPAVLHPAVNVNQFDKPCSFKLNFLSINRFERKKNIELAVSAFAMLRTLDGNVDRGSNFADADLTIAGGFDKRLRENVEYLDELKSLAERNGVAGQVNFVTSCSTAERNSLLSECLCVLYTPTDEHFGIVPLEAMAAHKPVIACNSGGPVETIKNGETGFLCDPSPKDFALAMAKFIQDPEMAKRMGEQARQHVNESFSTTTFGQRLNQFLLDVARTKWD >KJB12687 pep chromosome:Graimondii2_0_v6:2:2308837:2309367:1 gene:B456_002G031200 transcript:KJB12687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQNLKAPLLFSLILGFLATQAISITNKKTMDLIEQTCRQSGFFALCHSTLRSDPRSSNANLEGLAKISVEIVIDKANATLNFIVDLFKNVSDPVLYRSYGTCIDSYGASVQRLLPEAIAALGSKDYATSRHDVATVATNVNACDEQFSEKTPFSDRNRLVHDLSLMSAGIIELLG >KJB15560 pep chromosome:Graimondii2_0_v6:2:48976540:48983180:1 gene:B456_002G184400 transcript:KJB15560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLRTKNRKGNSYQVSYIVYVKEINPWTPLQSLPAAQSLLLQWENGDKNSGSSVSSFRNGKIEFGESFRLQVTLSLESSRKSTNRDGFQKNCLEFYLYEPRKDKVAKGQLLGSAVVNLADYGIIRETISISTPINLKKSSRNIEQPVLYLTIQPFDKGSSSSSSKGSLPKEVSLEKDGSESVSGSINEGNDEETEIASFTDDGDDGLSSHSSRTVSSSVFDSSRESHSQHEKIGLESANGGIGRLGLSLPSDGTSANSGVSPAVEAFKQVNGNTSHLSSMYLSSNPENPVSHPMGKVSSSEACVAVPVDVNLNHVKDKDSHSKREGARKAWRHDQCHVNRHLSSNSRVGHWKGNAEKSPWEDELDNQILGPEEYSLQDRLGFRPPQDSTRKQVALRSNTFASSSTATEVKGGFLANDRQKRVTPVQLHVDKGTSNGLSKIQFMEKAKENYIPEKTSNGTTSDILGSREETANSFSNRKVDSGNSYGLLKKTEFAKKAKENDIPEKIHCGSTSDTCNKSKENRKSFSNSKVELESKIEMLEEELREAAVVEASLYSVVAEHGSSTNKVHAPARRLSRFYLHACKANTQDKRASAARAAISGLVLVSKACGNDVPRLTFWLSNSIVLRATVSHAIGEMQLFSEGSDDWVDPQTFLLALQKFEAWIFSRIVESVWWQTLTPYMQSAAAKSSCSKKNSSRRYGLGDQEQGSFSVELWKKAFKDACERLCPIRACGHECGCLAVLAKLVMEQLVGRLDVAMFNAILRESAEEMPTDPVSDPISDSKVLPIPASKSSFGAGVQLKNAIGNWSRWLTDLFGIDDNESPEDSNEVGDCKNAACEGSFKSFCLLNALSDLMMLPSEMLADRSTRKEVSPKFSVSLIIRVLNDFVPDEFNPNPVSQAVFEALEKDLSEGGEESITNFPCMATPIVYSPPSATSLTDIIGSQVMERSKSLVLRKSYTSDDELDELDSPMTSIMIENPRSSPKTNWMRTGKGGRKVVRYELIREIWKDGE >KJB12341 pep chromosome:Graimondii2_0_v6:2:854127:854569:1 gene:B456_002G013100 transcript:KJB12341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRISLTHVFILALLFTVISMIANVEAQKRCTEVLSPSSCLLVECKQECFQKYPSGVGQCVQSGGTPLQPTFECLCVYNCPL >KJB15095 pep chromosome:Graimondii2_0_v6:2:35680475:35685781:-1 gene:B456_002G160000 transcript:KJB15095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKESTISGSLGNSETDSPPAPVSSLQVLPQVMNINNMSSETATTMTSTVIPGPGGRTLSDSLGKKKRGRPRKYDADGNLRVPYQIVTTTTNSPPGFTLSPSSPTEFSSHKRGKGRSPRNWQLLASLGELFAYTAGGDFTAHVVKVNTGEDVAGKVVSLSQKGPRGICILSANGAVSNVTLRQPGSSGGILTFEGRFEILSLTGSFTFSENGGVKSRTGGLSVSLAGSDGRVIGGGLAGVLLAASPIQIVVGSFMLNGYKVHKRKPYREQHTVAASAVATAPSAMAMTEEGRPIISQAKLDCENNVKPTSPFQVGSLGEASNNITDHKNIANIAAASIVSGWNNGSEPASIHRPSPDINVSVPSE >KJB14760 pep chromosome:Graimondii2_0_v6:2:26023836:26026661:1 gene:B456_002G143700 transcript:KJB14760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVRFARSFQRHPKNRSSTQTMLEARKAGLAPAEFDEDGKEINPYIRQYISSAPWYLNAERPSLKHQRKWRSDPNYTKSWYDRGAKIFQAEKYRKGACENCGAMTHDAKSCIERPRKKRAKWTNMHIATDEKIETFEQDYDGKRDRGNGYDASTYARVIERNLCTWEDTVKYLLNLDVNSAYYDPKTRSILCDDPLPDADPNELYGGDNQYRMSGQALEFKQLNIHAWEAFDKGQDIICRLLHPKLNSSSGIIRS >KJB16564 pep chromosome:Graimondii2_0_v6:2:59798901:59800960:-1 gene:B456_002G236500 transcript:KJB16564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKIKFPFLSNAKNNIVRQPKSSWQWPSCHQPRTLSFRTDNNTTLFKTINSAYLDAITIADENETPPSDDCLETVIRGLRSDRLFFKPGETSSIMEEATKPSEEDEEEEEEEDGLPFKESVVLSMESRDPYVDFRESMEEMVEAQGLNDWEELEQLLCWYLKANGKCNHGFIIGAFIDLLVGKLTIVSSNPKSDNCSPSSPLSFYTSSSSSSSSSSSEDCSSATINEAVKEIDDNSCHCLFEADNKKEMIIIEGL >KJB13538 pep chromosome:Graimondii2_0_v6:2:9883202:9885031:1 gene:B456_002G080100 transcript:KJB13538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFLDWISCWSTTPQVSIREEVETPPSKEETNSLMPLDTEDLRKKKIRVRTGTSPQWKPTLRVITEDNVKAKKTPSEATKTTVDKVGKRKTTGGSRSKVHVRSYSNDTGRKSVPVVLPGLSPTPFMF >KJB13037 pep chromosome:Graimondii2_0_v6:2:4707562:4709667:1 gene:B456_002G053000 transcript:KJB13037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGILMDMSSNRFEGTIPETVGNLISLDVLNFSHNHLTGHIPSSLGNLAALESLDLSCNKLVGEIPSELTDLNFLEVINLSENQLVGLIPQGKQFNTFLNDSYVGNTGLCGFPVSKSCGRGDPPPAILEEEEVNSAFGLDWKFVMMGYGCGLVFGFSAGYIMMTIRRPKWLVGMVQLAGNRVLRRIKKNH >KJB12854 pep chromosome:Graimondii2_0_v6:2:3237152:3237945:-1 gene:B456_002G0397002 transcript:KJB12854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFDYIQGKNKYKQQIEMTAPVITEVLPSDGPFCESSFRISFYLPKVNQANPPPAEGLHIQKWKSTYLAVRQFSGFVTDYNVGVEAAALEASLADTVWSPAIKKSQKDETTSVYLVAQYNSPFEFSGRVNEIWMLADLEDELLPV >KJB15908 pep chromosome:Graimondii2_0_v6:2:54442712:54443320:-1 gene:B456_002G203100 transcript:KJB15908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTKKLIRMERKWRKMAAIGRKTITSTGSTRRKVADEDHSSESSVGDKGHFVVYTADKKRFVIPLSYLNNSIFQELFKMSEEEFGLSSDGPITFPCDSVIMSYIVLLVRRGLAKDLEKAVLNSITSNSFSSYSTFFLEGHAEKQLPVCGF >KJB17002 pep chromosome:Graimondii2_0_v6:2:62121540:62123038:-1 gene:B456_002G260100 transcript:KJB17002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESTRISIKLLIDQERNKVILVEAGNDFVDTLGSILIVENLNTNIFRTHACKFMLLYPRTIHENKLKKMKLNMDVTEPTKTFMCENLMNRDVPGREMDVVKDETKGESMFFTTDGLVVMKGLPGNLIQFLHKLGIKNFHQIKEEVLEIDSYEMSNLLSYSLVSKTTLTDALLRKQRILSGEKSLLVAPNMEETVEIDVKTRVKIILRKSNRKILFAETSEDFVDLLFSFLTIPFDSVLELLLVRFSSFLDPKSPNPNSPFSSGYVLKNSSFLVTDDLVVKSLSSVSIISVLKELNIPLVDVEQQVISIGQVEALALLKACLCSSSPLSALKNYIVKKRKREPLQ >KJB11854 pep chromosome:Graimondii2_0_v6:2:13608296:13612039:1 gene:B456_002G104500 transcript:KJB11854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENGRKNGALSPCAACKLLRRRCAPDCVFAPYFPADEPQKFASVHKVFGASNVNKMLQELPEHQRRDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDSLQNQLALAQAEVVQMRMRQFGSTSSNPGTNSADENIASVADAPSKFMPSHHQSKSFFCVDMVDQPNMAESLWSY >KJB14719 pep chromosome:Graimondii2_0_v6:2:24300823:24301909:1 gene:B456_002G140000 transcript:KJB14719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYQKVEKPKAETPINENDLRIIVQGRMRNYISYAMTLLQEKGAKKIVLKATSRAINKTVMIAELIMVYGALRMTVKIFLMWNSKMVIDGGEDTTVATSWLEASNLVAVPCIWLLLS >KJB12803 pep chromosome:Graimondii2_0_v6:2:2975734:2977590:-1 gene:B456_002G037600 transcript:KJB12803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQQASISLQPKLLSSSQHPRSLPSLNLSFSATFPSLKLSTTRPLHGGAKMSASAASSYATALADVAKSNNTLDATSSDVEKVEKIFSDPQVLQFFTNPTIDVLKKRQVLDEIVSSSELQPHTANFLNILVDAKRIDLIKEIVKEFELVYNELTDTELAVVSSVVKLESQHLAQIAKQVQKLTGAKNVRIKTMIDPSLVAGFTIRYGSSGSKLIDMSVKKQLEEIAAQLDLGDIQLAV >KJB12417 pep chromosome:Graimondii2_0_v6:2:1091313:1093568:-1 gene:B456_002G016700 transcript:KJB12417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLSRNKFLLCFRPVVDMDLMRLESKPAAAVVNRPAFTCVAGVQSKEDFSKPSTANSSVSDEEKSIAVHSGGKKTLSKVIKAVVFETVLAHRIRDRNRKNESKNRVLTGKLIQSTISSSSSSSSSSSSSSPLTPNTIQKTETKHQQSGCNESKPKQERIDKGFSCSKNRAMSLFLICLAMTIFWGKLVAIMLTSIWLYFLHHSGVDVKMETIKRRGEKEPEEKVVINGSKNRERVLNFGG >KJB16785 pep chromosome:Graimondii2_0_v6:2:61175876:61179741:1 gene:B456_002G247600 transcript:KJB16785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPDAPSCSTAVSCGNHVYVIGGIRKNDATPFDVNDVFQLDLKDLERGWRKTTSMLFPRSLPLVAAAEGKIFVFENMGSESFGEVYNISGDIWEPLSPPPEDIDLCVPVLDSSRSRILVHCSANDTLYAYYYDRKSWVCLEQKFCYWSDAATIVDDVLYTAFDPVFNQKNGVNTAIYNYSDKLRSLEAYNLLDKKHLPVKWSSEFSVDPHGTLYRLGNGKCIFGWVNHNHIHKSFKYIRFNIWCNEQGGIHAAAEHQSAISVPYPKDISHIMLF >KJB15047 pep chromosome:Graimondii2_0_v6:2:34065676:34066176:1 gene:B456_002G157400 transcript:KJB15047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFHLLSKKIQREMKMNLQIQTQSLSTHFPLPPFPPKPKFLQTKPKPSFKLCSSLSTVSVPPTKTSHKPFPAEVSRTIMELSSIGTLSTLAQDGWPLGVGVRFAVDAEGTPVLCLPQPSPDAMWVTDSSVYNTGQSCQ >KJB13425 pep chromosome:Graimondii2_0_v6:2:8583412:8584077:1 gene:B456_002G073500 transcript:KJB13425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMQRYSSAAMKMMNFHRNFLALQTPTNPLVTNKACDVFINHRGIDTKRTIATLLYDHLSRLNLQPFLDNKNMKPGDKLFDNIDNAIKNCKVGITVFSPNYCKSYFCLHELALIMESKKKVIPIFCDIKPSQLALVNNGTVPDKDLERFKLAIEEAKNTVGLTFDSLKGNWSDVVTSASEIVIESLIEMKSEEI >KJB14832 pep chromosome:Graimondii2_0_v6:2:26657020:26658216:1 gene:B456_002G145700 transcript:KJB14832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YIGEFEYVDDHRSGKIVVELNERLSKCGVISPRFDVRVKEIEAWTARLIPLRQIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KJB14011 pep chromosome:Graimondii2_0_v6:2:14289908:14291704:-1 gene:B456_002G106400 transcript:KJB14011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSINSTYKILEQLKNYKNETIYFEQQRAINQVRQHVIQQALQEVLGTLNRSLNNELNLCTITANIDLFGVIKEITY >KJB16207 pep chromosome:Graimondii2_0_v6:2:56792152:56797640:-1 gene:B456_002G217400 transcript:KJB16207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSTAPKAAEIAIGSIGCGYDIGMDLRLKYCKGNSKDSCLIEIHEDGRHEIVLPGGVSIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQEISLTGKIPSGLFNSMFEFSGCWQKDAANTKTLAFDGVFITLYSVALEKSQIVLRDHVKKAVPSTWEPAALARFIDTYGTHIIVGVKMGGKDVIYIKQQHSSTLQPADIQKRLKDMADKRFLDASGHYNLAPEQVFQSDKFEIREQRLRFASNSPSSSYAQKEDIISIYKRRGGSDNRNLSHNDWLQTVQSEPDVISMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPVEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSTASLQFSFMGPKLFVNTTPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLVDDPKGNFCQESHDRKYYERVYWKNYSHVCTAPIESQEELSIVTGAQLQVENHGFKNILFLRLRFSTVLGAMSIKHPEWDGSPRLAPKSGLISTLISHHFTTVQKPPPGPADVNINSAVYPGGPPVPVQAPKLLKFVDTTEITRGPQEVPGYWVVSGARLVVKKGRISLLVKYSLLTAILPDEDDIDEH >KJB13305 pep chromosome:Graimondii2_0_v6:2:7873750:7874827:1 gene:B456_002G067600 transcript:KJB13305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQTVRFELILHYITTSLSFPSSLTHSFAAQGRKKNMAPKSQPVNPNPNTTMNEIRYRGVRKRPWGRYAAEIRDPRKKARVWLGTFDTAEEAARAYDAAAREFRGAKAKTNFTYTNINDFTLSPSQSSTVESSSPPPLDLTLASGSISLPMTANHPVFFVDVFASAGKRLSDAGGAQSDSDSSSSVVDFERGVQPRVFDFDLNQLPAEMI >KJB13528 pep chromosome:Graimondii2_0_v6:2:9774365:9777022:1 gene:B456_002G079600 transcript:KJB13528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPKNTLKPTTDYNLELKNKKTLQFIEDVTSNADEVQKKVLEEILSRNAHVEYLQTRGLNGHTDRETFKKTMPVITYEDIQPHIDRIANGDTSPILSSNPISEFLTSSGTSGGERKLMPTIEEELGRRSLLYSLLMPVMSQFVPGLDEGKGMYFLFIKSEAKTPGGLVARPVLTSYYKSTHFKNRPYDPYTNYTSPNETILCPDSYQSMYSQMLCGLCQHKEVLRVGAVFASGFIRAIKFLEKHWRLLCNDIRTGTIDSQITDQSVREAVMKILKPDPKLADFIEAECSKDSWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLNPLCKPSEVSYTLVPTMAYFEFLPVQRNNGVTSSISVPKTLNEKEQQELVDLVDVKLGQEYELVVTTYSGLYRYRVGDVLRVAGFKNNAPQFNFICRKNVVLCIDSDKTDEVELQNAVKNAVNHLLPFGATLAEYTSYADTTTIPGHYVLYWELSLNGNTPIPPSVFEDCCLTIEESLNSVYRQGRVCDKSIGPLEIKVVEPGTFDKLMDYAISLGASINQYKTPRCVKFAPIVELLNSRAVSSYFSPKCPKWFPGHKQWSNNN >KJB14191 pep chromosome:Graimondii2_0_v6:2:16033714:16034283:-1 gene:B456_002G113500 transcript:KJB14191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPFRLLGAANSSATTEPPPEQAATVDSDFVVILAALLCALICVLGLIAVARCAWLRRLSGAFAPNPSASSRPTPPANKGLKKKILKSLPKATFSPDFSAKFSDCAICLTEFAAGDEIRVLPQCGHGFHVVCIDTWLGSHSSCPSCRQILVARCQKCGGLPGPGASTSGTNTEARLKEREDDVNRFLP >KJB15567 pep chromosome:Graimondii2_0_v6:2:49062653:49071884:-1 gene:B456_002G184800 transcript:KJB15567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTQFVRVRVQDSRIRFQKEAISNIPTSSNTTEEAMDVDVFESNFKNIKQILIRNSVAQNINNLVTLAQTVYERAKAETDSVMGPGPKLKSWCKVWVGFDKFEEDGKQVAKCKHFPKVLTRSSKNGTTHLKVCPGKKNQNQESQSHLDLLKMDIIHQHPLDLAGQEAFKNFVKGLQSMFEFQSRDKLEVRQGFDKLACKFNFRVSLWKNGLDDEWKLKMKILSFKILKHIYDTKALSEIIRRSVSESNLETCVRDQCSLSSTHWFISCSFLENGYHEMYSILHKFWESIEHVTETTHGRLKFQEVVDQLEKSIHAFLTLMKRKFDCYWSLCNLELAIASSKHEDYLGESELALPLNGDILGWWRVNSLRFPTLSKMGRDHLAITMIMPSSYNDLDPNIMEALLCNQNWLENLKEMKSCKNQCYRETGSGNKSKASNKMDVKLYLLSRFTSKDHKQLDKWQRNELNGYFKLMGNEFAHLFMVPQDDETRKKYYINDSVIVNAFFELLKKRFENFPNAYINHYSFSSHLAVKTFILSKSIEHEVLAWFKAEKLTGEQKLFLPLCLSSHWVLLYVDIKGKKFHVLQCFKSFFTPNDANEWPFVVRNNIPKQKNLVDCGVFVMKYSDCLTHSECFPFKQEMDHFCRRIFLDIYRKLHQT >KJB14807 pep chromosome:Graimondii2_0_v6:2:26184404:26184841:-1 gene:B456_002G144200 transcript:KJB14807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGFDLKDGDVITEVVDEILLITFSDRVHQFIEWKNQLMDLENEFFLVRFHDIDDYNNVLAGGPWVVYGNYLTVRPWSPNFSTTCTDVNVQVVWICLPGLLEGLYSEVFIWAIGQTIELIIKLDINTNLAKRCRFARLATCIDL >KJB15245 pep chromosome:Graimondii2_0_v6:2:41370934:41371707:-1 gene:B456_002G166900 transcript:KJB15245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQNKVLLLGIWASPYTKRVELALKLKGVSYEYVEEDMFNKSPLVFKYNPIHQRLPILVHNGNPIVESIVIIEYISETWKNGPPILPADPYKKSQLRFWATFIHQSQLFETMVKVLKSDGEEQEKDINKMLETIKVLEEGVKDIFPDDNNVGYLDFVICSVFSFHVAMEEVLGIKMVDSEKTPLLHSWVTKLNHLALVKETMAPNHILVEVLKVVRQKALKAASAT >KJB16471 pep chromosome:Graimondii2_0_v6:2:59082050:59084231:1 gene:B456_002G231400 transcript:KJB16471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLSRGIIPGGSSFGGLDLQGSMMVHHRAQNPHNMHHHHHHPNPRRGTSAHPRFPLTVGTMQNSDQPVTVIDYNKMEIGKCSVSDEDEPSFAEEGVDGHNDGNKGKKGSPWQRVKWTDKMVRLLITAVSYIGEDMAGDCGGGIRRKFAVLQKKGKWKSVSKVIAERGYHVSPQQCEDKFNDLNKRYKKLYDMLGRGISCQVVENPALLDVIDYLTEKEKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDLKLQRSLQLALRRRDEDENDDVRRHQHDDLDDDDHDMETDDHDELEENHASHGDNRAIFGAPGGSTKRSRQSQVHEDACFQKFLNSQDCNKSSFSCPPVAQADTNQVLPDYSRAAWLQKQWTESRSLQLEEQKLQIQVEMLELEKQRFKWQRFSKKSDCELEKIRMENERMKLENERMALELKRKELAAD >KJB11874 pep chromosome:Graimondii2_0_v6:2:3633591:3634416:1 gene:B456_002G044000 transcript:KJB11874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLLATEMGPMTVEGRTCETKSSEYKGICLFDANCDSICKVEPGFDGGHCHGFFRRCYCTKPC >KJB15092 pep chromosome:Graimondii2_0_v6:2:35533641:35534048:1 gene:B456_002G159800 transcript:KJB15092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQTSHLCFFSIRITDLGCEYSNSKLVRKVLRSLFKKFSIKVISIEEAKKIKTMRIDELLGSLQTFEMNLEEAKTRKLKFEKNISFSVAKTVPTEQSTVIKEMKEQLTLLTQRFNKITKNQFGKNKISESSKTI >KJB14105 pep chromosome:Graimondii2_0_v6:2:34577249:34583954:-1 gene:B456_002G158500 transcript:KJB14105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTSRRRGQGGLFEGLYRVIMRRNSVYVTFVIAGAFLGERAVDYGVHRLWEYNNVGKRYEDIPVLGQRQSEE >KJB13230 pep chromosome:Graimondii2_0_v6:2:7456106:7456489:-1 gene:B456_002G063800 transcript:KJB13230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKVLFYLSLQVLFLVSSSGTRLFHPFSTADPALEMESKPQYKASSTDDEVEITYRFESKQFSGTDQLALAVAGKQHSKATIIEANRPLIEEGREAIKASIQRNGGIPFESKRLSPGGPDPHHHK >KJB13526 pep chromosome:Graimondii2_0_v6:2:10223474:10224380:-1 gene:B456_002G081100 transcript:KJB13526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSLLKAPSGQVKGFEEVRYQFKMTFISSQTNSRNVFLTLLFLQFQLLSKLVVQPCFFLLLLFSP >KJB17114 pep chromosome:Graimondii2_0_v6:2:62562916:62565660:1 gene:B456_002G266200 transcript:KJB17114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKQQQQHQVMQQVPSVGGIRSSFQSLVALLIAILVVATIYIRQSNEQLFQYWTTYDKTINGLSSSCNLFAGKWVFDNRSYPLYKEKECTFMSDQLACEKFGRKDLKYQFWRWQPHQCDLPRFNATALLEKLRNKRLVYVGDSLNRNQWVSMVCLVDSVISPTFKSMHNNGSINIFKAIEYNATIEFYWSPLLVESNSDDPISHRVPDRIVRVQAIEKHARHWTDADYLVFNTYLWWRRRQMKVLWGSFESPEDGVYKAVKLPRVYEMALQTWAQWLEVHVDRNKTQLFFMSMSPTHQKANKWGGIKGENCYSETEPVTEEGYAGDGASPRMMHVVDSVLGELKTRGLNVQMINITQLSDYRKDGHPSIYRKHWETITEEQLLNPKNYSDCIHWCLPGVPDVWNELLYACILEL >KJB12766 pep chromosome:Graimondii2_0_v6:2:2789301:2789824:-1 gene:B456_002G0357002 transcript:KJB12766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATKLMTDSSAAGNYNTTNLSLWKASFDEFFNLLTKYCINKYDTVMQSLRMQAKPNMAIDESDSAKAIKREMPVDLLHACLPHLNDQQKKQLLDLSQRPMLVGQS >KJB13004 pep chromosome:Graimondii2_0_v6:2:4385211:4385774:1 gene:B456_002G050600 transcript:KJB13004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQMTLAWAIIFCLAVAPVHGQYYSRTVKAGPRVEKITRLHFFFDDIRSGKNATAIPIASPNTTQDPPTYGTLYAMDDPLTMESEPTSTLIGNSQGLYLDLSRERTQFTAIIYADFAFTTGRFNGSSFSLFSRFSAADAPSTIREMAIVGGRGAFRMATGFALLRVTWSNTMGDAIVEFNVTLYHY >KJB13639 pep chromosome:Graimondii2_0_v6:2:10963125:10964870:-1 gene:B456_002G086200 transcript:KJB13639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVVRYVDRVSDLPDSILCHILSFLSTKDAVVTSILSTRWRYLFTLLSNLDFDLGEFTSSDRRPKSSSSKIFLSFVGKMLFFHKTNVDKFRLKCGIRVDCCHVYGWILAAVWRGVKHLDLNISYTNVKTLPDALFACRTLVALKLDIHFVLDVPKGVHFPSLKTLFKILFSSCTNLEVVIIEKCNMENINNFNISHHLLQSLSILHSNDSSNCWLIIDFPNLAYFKYIDHVVGGYSLENLQSIVSVDIHFIIEDDDLQADATALFREISNVRSLLLSSTSLKVCFLHNCLV >KJB11922 pep chromosome:Graimondii2_0_v6:2:4278551:4278989:1 gene:B456_002G050000 transcript:KJB11922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTLVLVIVIVVLAFHPTCFEARKLLNNFEKQQVPSFKGNFVGITLAKEPTKMLPASDDKGHAMANNERLFAIHLAKIDRKLQTSPPSPGNGHH >KJB15025 pep chromosome:Graimondii2_0_v6:2:32682045:32684349:-1 gene:B456_002G155900 transcript:KJB15025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAPESMNLDLNLDPTPEPGPGLVSVEGVNSNAFVDSRPVTRIMEAVRRRRCQQIFGSPAENVELNQSIGSSGDLNTLQVSECSLVTDESTIDVPKASENTIGFSEDEVSEKKVNVEKGVSSDGSFFDCNICLGLAWEPVVTCCGHLFCWSCLYRWLNVHSKAKECPVCKGEVTVKSVIPIYGQGKITYESEEDSGLKIPPRPTAWRVDSWRQIIQRSAFNLPIGEMIRSIGSRFHLTRDPTPSQLANTAQETAERTHSVLNRIMASRRLHRAQNTVASLDDVDLMHSSSTSTDVMSSRIHSLFLERQSQLRRSARITSLSSALTSAERLVEAYFRRNLVGRNQEQPPPVNDRDSLSSDAAVLNTESQLDAAVEIDSAISVSTSSSSRRNNVSRVLDVDGVNPRPVRRRLN >KJB15483 pep chromosome:Graimondii2_0_v6:2:47412504:47414102:-1 gene:B456_002G180300 transcript:KJB15483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPCCDKRDTNKGAWSMQEDEKLLNYIRKNGEAGCWRTLPQAAGLLRCGKSCRLRWINYLRPDVKRGNFGEDEDDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLRRKLINMGIDPNKHSLTRHNRPHHNSNTNTSASATLISSASKLPKSHKCIAPPNNKPWRDNDQASDAASCLLEDEDDDNLPCSTTQYQLPDLNLELTISVSAPTAKLEQHIHQIQEPNHKQCSNISSDLRFSPTPTLLLFH >KJB13401 pep chromosome:Graimondii2_0_v6:2:8465244:8471475:-1 gene:B456_002G072500 transcript:KJB13401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MTSPEDVSLMALPKTLHSARSHNLKTLLSDSELKQASTLIDAKTWVFSHKFESRENKMNLDGENEEERSVAITPTWALATVLSVFIAVSLIVERSIHQLTNWLRRTDKKSLLAALEKMKEELMMLGFMSLLLTATSRSIANICIPSKFYDDSSFAPCSWSDIVEENEHDSSKELQLSITSASRSFPRILKGMNTITCKEIRSWRAWENEAHKDQFGFLTGQDRQSLMERQMAFIVNHRANPLTQNRVVIWVICFFRQFGCSVVRADYLTLRKGFIVNHSLILKYDFHSYMVRSMEEEFQKIVGVSFTLWGFVVIFILFNVKGSNLYFWIAIIPITFVLLVGAKLQNVMATLTLESAGITGYFTGTKLTPRDELFWFKKPEWLLSLIHFILFQWEFGYNSCLISNHTLVFIRVIMGFIAQFICSYITLPLYALVTQMGTNFKAALLPQGIRNTIHGWGKEARRRRRRRLARFTDRSTIDTETCSIIMTDTNTITSVEEDYHHLIDTHEPRNSTFNDIELQPVAKVKSRRTIGSF >KJB12327 pep chromosome:Graimondii2_0_v6:2:797368:799072:1 gene:B456_002G012100 transcript:KJB12327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQAAWNQCSWMEQQQLCFGLLHLDACNDSTSKRVMALELGQKNLYGTICDSIVGLNQLRILNLSHNQLHGSLPTELFCMEKLEILDISDNSSMGENLCKNSSSSNIEVLDMSMNFFGEVQQGLSNCTSLQYLMINGNNFRSLPRVLHLQNNSFSGTLDHGIGHLSNLLELDISSNSFTGVFLNVFGILGKLEKFSASSNNFNGALPTSLPNSPSITTLDLHNNSLNDPINLNCTAMTQLRSLHLGSNKLYGSILHSLSPCKSLNISNLGHNNLDGQIPESFKNLNALTVLSLSRTNLGEQIPGDAYFQFRSLKVLSIAYGELRGSIPPWLLGCNGLQFLDLLTNHLTGTITCFTWICQTIHSQALIDIDNSLLGTSLGFPLFSTGIDGATFLYNNIISFLPTLDLSYNMSGTIWPSFGNLRRLHVLNLNKNRLRGSIPEPLLGMKNLETLDLSRNKLSGKYQNHLCSSVFCPSSVWHIMNFMGKSPWEVSS >KJB14498 pep chromosome:Graimondii2_0_v6:2:19744524:19745483:1 gene:B456_002G128100 transcript:KJB14498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTLIVEVSSEKVKEMWDNRLIEIFCDLCIKEILKGNRPGTHFTKEGWLKIMNNFEKETDKTYSKRQFKNRTLGIDPEFEGKLDQMFIGIAATSDKAWDVDNDILKKNEKENAINDVHISSQVGCDFDRNNQKRKKTLEAGTSHFKIGRKKFSKQIEGAVRLFSQIEKLCSATNNMSQATSSLTFIMDPYGIPQTIKLLDSLSEEVPGASLLQFFSLKLLINKDK >KJB14628 pep chromosome:Graimondii2_0_v6:2:21501901:21502502:1 gene:B456_002G134600 transcript:KJB14628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWGKWVAEIREPNKRSRIWLGSYSTPIVRDLNSAAARAYDTAVFYLRGPSARLNFPDLILQEDDELKDISPASIRKKATEVGAKVDALHTTSLHHHHASNSSESSNPPQVFSKPDLNKYPETFDED >KJB13099 pep chromosome:Graimondii2_0_v6:2:5712860:5714774:-1 gene:B456_002G057000 transcript:KJB13099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYQKVEKPKAETPLNENELRIIVQGRNELSLEMTTPSVKKNNLMIGVVISVAFKTQINSWNSSIYSTGSSGAVRV >KJB16975 pep chromosome:Graimondii2_0_v6:2:62002002:62007417:1 gene:B456_002G258100 transcript:KJB16975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRKLERRKTMTMNWDGLGDDDDEFFEPSNRVSSAVPRDLTDEDSDDFDDCRHSFSSNGSPIHRAKAVATVAPPIVAPPIMPPMSPNYDIWKSSPGSIKHRRQQLFQGMGLSANKELLSFKHLDTNKVLNGSVRTTLPPPPPPPPPKVTTTVTTDSKDEKNKKDGSKESKPRSGSVGGSRSDGEMELLSIEKKRKKQLLGSVSKQSLRRTSSLMSTPRAQSYPNKEATAKKASTKDATAVASSGSNNNNNNNVSTKQNDGFTSAFSDNNFEAFFLIKNLDNGKEFIVNEFDQDGMWNKLSDIQTGKQLTMDEFEKSVGYSPVVKDLMSRDENVNRMVTNQHGSDRKLNSYFSKSLKVSKKRGAAVLKSIKGVATSMTLRGEKEKEQNLFNVDQKKNNGNSNNNQWVKVRQTGKSYKELSALHLCQEIQAHEGSIWSIKFSTNARFLASAGEDTMIYVWEVQECEVKPMNEACSSPEDKKKKGKGSSSSKKGNQQLLSSSMDKTVRLWDLDTKSCLKLFAHNDYVTCIHFNPMDDDYFISGSLDTKVRIWNIPKRQVVDWTDINEMVTAVCYTPDGQGAIIGTHKGSCRLYSTEDCRLTQLDQITTQNKKKDNAKKITGFQYCPINPTELLITSADSRIRVLGGPEVFYKFKGFKNTNSQIAATFTSDGTYVISASEDSQVFIWRTEEPRNTGTGKRSVITARGHEYFPCKDVSVAIPWPGTIKSEPLSMTGSSHLKRSSKSLQVPNGESPTKNDHNKKILPLPPLPKKKSNHHLGKIITDEDGEMADSMSRSSTASASSRSSSVSNDDSSSSTSTSSMSRSSTLSGASNLNSSGSNRVSGSIRYGDSPSISSFIGSSPWSWFNVSSHGHNAAAWGLVIVTATLSGEIRIYQNFGLPRRVSRL >KJB13035 pep chromosome:Graimondii2_0_v6:2:4701861:4704029:1 gene:B456_002G0529001 transcript:KJB13035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELPDSIGNLRSLEILDLSHSNLRWPTPVSLGNLTQLEYLDLSHNNLSGPIPWSVFNLTQVQFLDFSKNKLEGSLPSQVSGLSQLSILLFDHNFLSGRVPTWLFSLPSLVKLRLNNNKLNGNFELDKPSERSKLEQLSLSDNALSSFTSASNANYSLPNLVSLELSSCSISEFPNFVRNLEGLTYLDLSNNRTHVLEKDMFLKLKSLKTLDLHNSPLSVSDNSNLSVVLPHLDTLWLSSCNITEFSNFLTMQESLTYLDISNNSIQGQITQKENNWKSYLQYLDLSNNVLTALKYYPWKNIRFLKLGSNLLEGPLLVPPISTTVFSISKNRLNGEIPSSICNLVDSEFGVLLDLAHNNLSGAIPKCLGLRNIFILDLQMNRFHGNIPDFWVEENHRLQTLNLNNNDFDGSLPKSLANCVDLEVLNLGNNKINDIFPHWLGNLPRLQVLALRSNYFHGQITHFENESHFSTLRILDLSHNKFSGLMPTTYFTSFQGMMSLADVQMGYIGAEDTYYRVSVAVTMKGVDIELEKILTVFAAIDMSSNKFEGTIPETVGNLISLQVLNFSHNHLTGHIPSSLGNLVALESLDLSCNELVGEIPSELTGLNFLEVLNLSENQLVGLIPQGKQFNTFLNDSYAGNIGLCGFPVSKSCGRSEPPPAIFDEEEVDSAFGLDWKFVMMGYGCGMAFGFSAGYIMMTIRKPKWLVGMVQRVGNKILKRFKKYR >KJB16028 pep chromosome:Graimondii2_0_v6:2:55583491:55585673:-1 gene:B456_002G208800 transcript:KJB16028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMGFVFLLLAFFAIGVVQGQLRVGFYSNTCPDAESIVSSVVRNAAQSISNIPPVLLRLHFHDCFVEGCDGSILIENGPKAERHAFGHQGVGGFEVIEQAKAQLEATCPGVVSCADIVALAARDAIALANGPSYEVPTGRRDGRVSDVSLAAKMPDVSDSIQQLKAKFLQKGLSEKDLVLLSAAHTIGTTACFFMTKRLYKFSPAGGSDPAISPDFLPQLQSICPQNGDVNVRLPMDRGSERTFDKQILDNIRNGFAVLESDARLYDDETTRMVVDSYFGILTPIFGPSFESDFVDSIVKMGQIGVKTGSKGEIRRVCTAFN >KJB13663 pep chromosome:Graimondii2_0_v6:2:11102186:11104214:-1 gene:B456_002G088000 transcript:KJB13663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFCFTIPYGLILVAGGVIGYLKKGSIASLGGGVGTGLILILAANLSLKAFEKRKNSYFALVLETVALWNLRISLDIVDPLWCY >KJB13662 pep chromosome:Graimondii2_0_v6:2:11102092:11104214:-1 gene:B456_002G088000 transcript:KJB13662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFCFTIPYGLILVAGGVIGYLKKGSIASLGGGVGTGLILILAANLSLKAFEKRKNSYFALVLETVTAAVLTWVMGQRYYQTSKIMPAGIVAGISFLMTGFYLYKLATGGNHIPAKAE >KJB12708 pep chromosome:Graimondii2_0_v6:2:2424280:2426719:1 gene:B456_002G032400 transcript:KJB12708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MSFIVSKFKCKGITFFSLLHVTHYSSRFSTAAVASIEPKIPPDLSSCIVQDKDLLLKSLNPSGTGLHVLDLVDEGSLEPHPTLYHLLLKKCTFLRKPKLGKIVHAHISNSHFRGDVVILNTLLNMYAKCGCLDDARNLFDEMSVKDMVTWTTLITAYSQHDRPLDALLLFPQMLSLGFFPNEFTLSSLLKASAALSDTPQIYFHGAQLHGFSFKCGFHSNVYVGSSLLDMYARCGCMDEAHFVFDVLPTKNEVSWNALIADHARKGQLDRALSLFLKMLRQDFQPTHFTFSSIFGACASTGSLEQGKWVHAHVIKSGGEIIAFMGNTLLHMYAKSGSIQDAKMIFDRLVKRDLVSWNSMLTAYAQHGLGKEAVQCFQKMLNIGLVPNDITFLCLLTACSRAGLLEEGQYYFKSMNRYNIEPGISHYVTIVDLLGRAGLLNEALRFINEMPIQPTAAVWGALLGACRMHKNVEMGSFAAERVFELDPHDSGPHVLLSNIYASGNRWRDAAKVRKLMKESGVKKEPACSWVEIQNTVHMFVASDDSHPQMGAIYKKWEEISARIKEIGYVPDTSHVLFYMDEQEREVKLQYHSEKLALAFALLNSPDGSTIRIKKNIRVCGDCHSAFKFVSKVVGREIIVRDTNRFHHFYDGSCSCGNFW >KJB13066 pep chromosome:Graimondii2_0_v6:2:4866426:4867853:1 gene:B456_002G054900 transcript:KJB13066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNLRIFTFQVIDFAGRIPFTLGNLRDLQVLDISDNDLSSTLSSSKSSFLSSLENCKSLLYLSFARNPLISGYLPDSIGNQSSSLRHFDASSCNISGRIPEDIGKWDLVEYVDFSENQFSGTIPDRVCVRENYLRYLSLHENQLEGMLPLSLTNCSNLQILNVANNNLSDTFPHWLGKLPVLRVLVLRSNRFHGSIPDTTNFSFPKFQISDLSHNYSTKFSFSNLQMVDLSHNDFTGLLPTEFFESLESLKEQANYVYGGYQYSVNLTIKGLEREFKIKESKPIFTCIDLSDNGFHGEIPEVVGELRSLHALNLSHNSLTGPIPPSFGNLASLESLDLSFNKLSGRIPSQLTNLTFLEVLRFSNNNFVGPIPHGKQFDTFENDSYQGNLGLCGFPLSKECSNDKISETAQDEEDNGNGIAFIWKVVMMGYGCGMVLGISMAYIVFTTGRPWWLVRMIERDLRNKVSTWFGKKRK >KJB13716 pep chromosome:Graimondii2_0_v6:2:11469737:11470415:1 gene:B456_002G090900 transcript:KJB13716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLSMLVLAETVLVLGLIFSSPLRELVMRILDIITVGKATLILKTVAATLLLVFTSMLYDVIEIKKCWSEGAVPNPADEILMAKQVLKASMWFALFLALATYGLHYCIKGLEGSRRLEAAEDNKQLMLEPPKNNATSSK >KJB14834 pep chromosome:Graimondii2_0_v6:2:26672065:26673602:1 gene:B456_002G145900 transcript:KJB14834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKSKTVDGYERWMMKASNNGAAAFGEVEKIDEKETAAAGGRGHKKSTGEEEEGHVSDRGEEDEEEEAARKNRLGLNKKSGDDDEEGPRGGDLDMDDDDIEKGYDWEHEEIFTDDDEAVGNDPEERDLAPEVPAPPEIKEDEDEEDEEQGGGLSKSGKELKKLLGKASGLNDISFLRHLFYYCKNIFNIVTFNIIVRIF >KJB12463 pep chromosome:Graimondii2_0_v6:2:1328175:1331322:-1 gene:B456_002G019600 transcript:KJB12463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSEVKGMVTSLSSMFPAEEVQKAAKMVEEKLSEKQNEMNQLKEFIADNTSLINLVQKLPDELHHDIMVPFGKAAFFPGRLIHTNEFLVLLGESYYTERTAKQTVEILKRRGKSLESKVDALKAVMQDLKAEASFFDSTASEAAEGLVEIREYEEDNSTERASQSGSLELETPSVAEADNKMGASEDDEYERIMSRLEELEKEELAAEDNEENLEDEDEDANAVESDSNEDELTKAAFHRKKDECYSYLDHGQRYSESQSRKQLQQFNGKEPIEEMSDKYKHQDLTNQFASTGLTEEPVTKGEISHGRIIQQDTKTLSPSINASAPFEKKSVQTSKTEFDSSKAFTGSIVEHTQNLDKSSVGTNTTSLQSSGSQPSKPVSRFKMQRK >KJB12019 pep chromosome:Graimondii2_0_v6:2:56472459:56473026:-1 gene:B456_002G215200 transcript:KJB12019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSLNGLQKDDEKAGRNSDLAGLILCEMQGIHSLVSTDQSNNKVKNDETLGSSYVKTDQPVSAATNKCSGNRKKDELMESSCCNSFQSSCQANGDLRSPTPVSKGTVSSMLGKGYMKSVPLANGSYAGTKPMKVDSNEMPIFVLG >KJB16329 pep chromosome:Graimondii2_0_v6:2:57882200:57882916:-1 gene:B456_002G223400 transcript:KJB16329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNASSAETSNPITPSVSSETSQEITHPFVCYRCPKRFTSTYALGGHQNAHKKERNEELRLYNERRLALSRQSTVPPDGRAKTKRALAVLTNQSSTPSYGPMVQFLPVVPLPGSMCMYAHPKSLVFVPAGFEYGQTRAGLNGKEVPYEGSHRENEMHHPYNRPLDIKPMAMKLYPNEERVFWPKAKEDNGCSKEYYSVSATNNVGPHGEALINESREDDDADKKSSKVEELDLTLRL >KJB14605 pep chromosome:Graimondii2_0_v6:2:21120512:21124118:1 gene:B456_002G133700 transcript:KJB14605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSVSAAKLRNRRDWNHRQRSKPKSTALICPMQAEETEELERSNTDGSSDFISDLPDECLACIFQSLSPADRKRCSLVCRRWLLIEGQSRHRLSLNAQSDLQPLIPSIFSRFDAVTKLALKCDRRSVSIGDEALVLISERCRNLSRLKLRACRDLTDAGMLAFAKNCKGLKKLSCGSCAFGAKGMNAVLDNCPALEELSVKRLRGITDGAAAEPIGPGVAAAALKTICLKELYNGQCFGPLIIGSKNLKSLKLFRCSGDWDKLFPLIVERVAGMVEIHMERIQVSDVGLAAISNCSNLEILHLVKTPECTNAGLGAVAEKCNLLRKLHIDGWKANRIGDQGLIAVAKSCPNLQELVLIGVNPTKLSLEMLASNCPNLERLALCGSDTVGDAEISCIAVKCIALKKLCIKNCPVSDHGMEALASGCPNLVKVKVKKCRGVTSEGADWLRATRGSLVVNLDINLDMGEHLDASASDGGAQDNGIEFPPMVGSQIGASSMASSSTGRSTSFKLLGLMSGRSFVACTLRRLASSSRS >KJB16770 pep chromosome:Graimondii2_0_v6:2:61063059:61064319:1 gene:B456_002G246700 transcript:KJB16770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36890) TAIR;Acc:AT2G36890] MGRAPCCDKSNVKKGPWSPEEDAMLKDFIHKHGTGGNWISLPQKAGLRRCGKSCRLRWLNYLRPNIKHGKFSDDEDRIICNLFASIGSRWSIIAANLPGRTDNDIKNYWNTKLKKKLFGMVPHSYSSSSFSNITTAGSVLQSQQHRGFSANRLGRNKDSEFGYGGGSGGNHGDQQIGNLESFFYNEGDKNDHHNLMYSSRDIANGFSSSVGSISSSFDSNFLYGETTSLDYGIERINQQISSTSSSCEGFGFYQHNANDYPC >KJB15165 pep chromosome:Graimondii2_0_v6:2:38653062:38654169:1 gene:B456_002G162900 transcript:KJB15165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITELEIKCVVTEIQKDRNTPLVPKVSRLPNEKETIYGALDKWVAWEIEFPLIAAAKALRIFKKRSQ >KJB15642 pep chromosome:Graimondii2_0_v6:2:50571001:50571294:1 gene:B456_002G188200 transcript:KJB15642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGLIEELCKFSKIKYIEQEIEFQLFMETYQSVESLIKERVAVYESLTYSSELYVSAELIWKTSKDMQEQSIFIGNIPLMNSLKTSKVNGMLEILV >KJB16212 pep chromosome:Graimondii2_0_v6:2:56938621:56939193:-1 gene:B456_002G217900 transcript:KJB16212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQGSNIEAMAQAAGKHQVRKKSRRGLDADEGEVALCSMQSTSVWWEEWAYVDEQMSWASIWSPFWDVDFVDMAYGALFNDVAWDDDIWDLKNVMVIPKQ >KJB13928 pep chromosome:Graimondii2_0_v6:2:13031471:13033365:-1 gene:B456_002G102000 transcript:KJB13928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSVIHSPEKLRKPFGSETGEGNSCRLSPIQITKTSDLAPVTPRIVPKKQNSVVITEGMQPSKPHKLESVDSLTKKVDSGLSLSGGSLEDSREQEKKASEHGINSASDKSSDGATGLAKTSGSAKVGDHPDYNESGKSSICRGSTSSDVSDESTCSSLSSSINKPHKANDIRWEAIQAVRAKDGVLGLNHFRLLKRLGCGDIGSVYLAELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTELAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAFNPTLVKLSTPESEPLQKNSTYCVQPACIEPSCIQPSCVVPTCFSPRFFSSKSKKDRKPKNEMGNQVSPLPELIAEPTNARSMSFVGTHEYLAPEIVKGQGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVEIERIPVPTSSAGDKPAVPVTKDQKNYLEFDFF >KJB13927 pep chromosome:Graimondii2_0_v6:2:13031190:13034544:-1 gene:B456_002G102000 transcript:KJB13927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSVIHSPEKLRKPFGSETGEGNSCRLSPIQITKTSDLAPVTPRIVPKKQNSVVITEGMQPSKPHKLESVDSLTKKVDSGLSLSGGSLEDSREQEKKASEHGINSASDKSSDGATGLAKTSGSAKVGDHPDYNESGKSSICRGSTSSDVSDESTCSSLSSSINKPHKANDIRWEAIQAVRAKDGVLGLNHFRLLKRLGCGDIGSVYLAELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTELAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAFNPTLVKLSTPESEPLQKNSTYCVQPACIEPSCIQPSCVVPTCFSPRFFSSKSKKDRKPKNEMGNQVSPLPELIAEPTNARSMSFVGTHEYLAPEIVKGQGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVEIERIPVPTSSAGDKPAVPVTKDQKNYLEFDFF >KJB13926 pep chromosome:Graimondii2_0_v6:2:13031471:13033365:-1 gene:B456_002G102000 transcript:KJB13926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSVIHSPEKLRKPFGSETGEGNSCRLSPIQITKTSDLAPVTPRIVPKKQNSVVITEGMQPSKPHKLESVDSLTKKVDSGLSLSGGSLEDSREQEKKASEHGINSASDKSSDGATGLAKTSGSAKVGDHPDYNESGKSSICRGSTSSDVSDESTCSSLSSSINKPHKANDIRWEAIQAVRAKDGVLGLNHFRLLKRLGCGDIGSVYLAELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTELAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAFNPTLVKLSTPESEPLQKNSTYCVQPACIEPSCIQPSCVVPTCFSPRFFSSKSKKDRKPKNEMGNQVSPLPELIAEPTNARSMSFVGTHEYLAPEIVKGQGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVEIERIPVPTSSAGDKPAVPVTKDQKNYLEFDFF >KJB16649 pep chromosome:Graimondii2_0_v6:2:60617916:60619929:1 gene:B456_002G241900 transcript:KJB16649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVEIRKSFWLISIPAACWIVWLARNGMVFERRMMTMENLIFQSKMRALLWIRSVYDESMLQENFWWICPNRCRIDSIKSKLTALIWHPPPHGCLKFNVCGIANEYRVGCEGVLRDNEGVAIALFSGSVTANDTDLAEIGAMMVALDVFLAMKWKLNDSLFIELGSLVVFYWCANKSMRPWSLQETFTNIERDIEKVRNIVFSTEEKNGNEMASSLAVVGINREEMFKAWW >KJB16482 pep chromosome:Graimondii2_0_v6:2:59135658:59136323:1 gene:B456_002G232000 transcript:KJB16482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENLRQQAELLRRNNEKRETINRLRVKLEQVESENKILQLQKCLYCSKVGVKRNHSQNSRSTGLFLGKFFNGGCS >KJB15474 pep chromosome:Graimondii2_0_v6:2:47179858:47181141:1 gene:B456_002G179700 transcript:KJB15474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIKTSFISLVIIVSMGVAVAGKTHVIDFRWPNLYPEGMAWDPLAQHFIVGSLNHRTIHSVSDAGVVETLISDPTLPENVTVLGLTVDFTKNRLLACLHSVSPLPPFNALVAYDLRTRRRLFLSLLPSDPDSPIASIGRGRDVANDVAVDFKGNAYVTNSVGNFIWKVNGEGEAWIFSRSPAFSRYPSVMGENEPFNDCGLNGIAYVSKGYLLVVQSNTGKMFKVDEENGKARTVLLNQDLVMPDGIAIRRDGVVLVVSTQKLWFLKSDDSWGEGVVYDKTALEEEGFATSVVVGEEGRAYVLYGHVMEGINGKEREGFKIVEVRSERESGEEHVWIYVLLGLGLAYFLIWRFQMKQLVNNMDKKIN >KJB12212 pep chromosome:Graimondii2_0_v6:2:400763:405597:1 gene:B456_002G006200 transcript:KJB12212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAVFSAVRSFSVFVFVLLFLSLAFASESDHKYQPDDPITLWVNKVGPYNNPQETYNYYSLPFCQPGTNPAHKWGGLGEVLGGNELIDSQIDMKFQKNVDRGTICQLELDEAKVRQFKDAIESSYWFEFFVDDLPLWGFVGELHPDRNSENGKHVLYTHKNIVIKYNKDQIIHVNLTQESPKQLEAGRTLDMTYSVKWLPTNVTFARRFDIYLDYPFFEHQIHWFSVFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEECGWKLVHGDVFRPPSNLALLSAVVGTGAQLALLVLLVILLAIVGTLYVGRGAIVTTFILCYAFTSFISGYVSGGMYSRNGGKNWIKSMILTASLFPFLCFGIGFLLNTVAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSMMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAIYVYLYSVYYYSVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGFLGSNLFVRRIYRNIKCD >KJB11893 pep chromosome:Graimondii2_0_v6:2:21522:21899:-1 gene:B456_002G0004001 transcript:KJB11893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEALF >KJB13444 pep chromosome:Graimondii2_0_v6:2:8787916:8789042:-1 gene:B456_002G074900 transcript:KJB13444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRSSSFFIILIVFVSTVIQAKADSVYCSNPASRCYGKYIHCPYECPSTSYENRKAKVCHVNCDSPVCKSHCKYRKPNCNSPGSACYDPRFIGGDGIVFYFHGKSNEHFSLVSDSSLQINGRFIGHRPAGRTRDFTWIQALGILFNSHSISLEATKAATWNSEVDHLKFSYNGEELVVPEGALSSWYSPEKEVKVERVENKNSVIVTLKDTAEIMVNVVPVTKEDDRVHHYKVPSDDCFAHLEVQFRFFSLSPNVDGVLGRTYRPDFENPAKPGVAMPVVGGEDKYRTTSLLSPDCSTCMFSPETGSNEETTSQTEVLTLDCTRGASAGYGIVCKKESHGMVAVIS >KJB12486 pep chromosome:Graimondii2_0_v6:2:1408936:1416027:-1 gene:B456_002G020700 transcript:KJB12486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAFQRTQVLTNHLLQSSSPLPSQTLSSNACLSYSPPELSENCAFDVKEMRKLIDGHNLEERDWLFGLMKQSELFNPKVRGGKVFVSPDYNQSMEQQREMTLKRIKYLQERGVFKGWLTEKGEEIEMRKFAGFEVFSIYDHSLFTKLGVHFPMWGGAIQFCGTKHHHDKWLRDTENYSIKGCFAMTELGHGSNIRSLETVTTYDSNTGEFVINTPCESAQKFWIGGAAKHATHTVVFSQLHINGINQGVHAFIVQIRDVDGNICPNIRIADCGHKVGLNGVDNGRIWFDNVRVPRENLLNSVADVSPDGKYLSSIKNPDQRFAAFMAPMTVGRVNIAIGAVYQSKVALAITIRYALTRRAFSLKPNEPEVLLLDYPSHQRRLFPLVAKTYAMSFAANYLKVLYAKRTPQSNKAIHIVSSSFKATFTWNNMQILQECREACGGQGLRTENRVGHLKGEYDVQSTFEGDNFLLMQLVSKALFAEYMAAQKRNKVFKGLGLEHMNKPCPVIPSQLTSTTVRCSQFQMDALCLRERDLLNRFVANVLKCKAKGENTEQAFNSCYELAKDLGRAFSERAIFQTFVEAESTLPAGSLKDVLGTLRSLYASICIEDVSFLRYGYLSVDNGANVRREITKLCNELRPHALALISSFGIPDAFLSPIAFNWIDTNSWSLV >KJB16049 pep chromosome:Graimondii2_0_v6:2:55761593:55764691:1 gene:B456_002G210700 transcript:KJB16049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCYSLNSVGPTMENLSGPRRSLAVYFTSALSNRGMIRDAQEDLQHMAQVTSQTRYVASWRSSSVLAYRTCME >KJB13443 pep chromosome:Graimondii2_0_v6:2:8784953:8786253:-1 gene:B456_002G074800 transcript:KJB13443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIISNASSLIILFLFISAASVQIHGQGVPERRTCFSRRSPCFLKTMTCPSQCPSTKPSDRKAKVCYVNCDSPICKAECKNRKPNCNAPGAACLDPRFIGGDGIVFYFHGKSNEHFSLVSDPNLQINGRFIGLRPAGRTRDYTWIQAIGVLSGSETFSLEAKRAATWDEEFDHLRFSYNGNVIVVPEGHLSSWKSPESDLTVERTSDKNSVLVTFPDIAEISVNVVPVTREDDPIHNYQIPSDDCFAHLELQFKFYGLSSKVEGVLGRTYQPDFMNPAKPGTAMPIVGGEDKYRTSSLLTADCYTCKFSPARASDQRDSSLMDYSPLDCTSRASSGSGIVCRR >KJB12247 pep chromosome:Graimondii2_0_v6:2:547368:548369:-1 gene:B456_002G008400 transcript:KJB12247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKAVESVQCFGRKKTAVAVTHCKRGRGLIKINGCPIELVEPEILRFKAVEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKDIKDVLVTYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >KJB12104 pep chromosome:Graimondii2_0_v6:2:3638:7465:-1 gene:B456_002G000200 transcript:KJB12104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFPASWESHFSRKNSKTHYTVIFSAVSVFGIFGFPCFIRFYACLFGRKKEQIDVLFVGAEERDKWAMLVEFNEETLLFIRKKVLSKNLAQIDDSFF >KJB14276 pep chromosome:Graimondii2_0_v6:2:20805848:20807535:-1 gene:B456_002G132500 transcript:KJB14276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESTSTEWTDEKHSLYLKSMEASFVNQLYDSWNFLGRSSKLSRQTHGTSSDQYKVLRGGRWKKINFERPGFRLNKRDRPSCFMASPWIQHLRSGSKSRVLASCSHLQDNVTSKEVSDQNFVDEEQGKKTVAVETPCNDEVIL >KJB14277 pep chromosome:Graimondii2_0_v6:2:20805848:20808118:-1 gene:B456_002G132500 transcript:KJB14277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLLIFTTQGHSFSLCYYFCMEGFIRTESWTSSEANGLESPRFALHQQVPCSESLMTESTSTEWTDEKHSLYLKSMEASFVNQLYDSWNFLGRSSKLSRQTHGTSSDQYKVLRGGRWKKINFERPGFRLNKRDRPSCFMASPWIQHLRSGSKSRVLASCSHLQDNVTSKEVSDQNFVDEEQGKKTVAVETPCNDEVIL >KJB17108 pep chromosome:Graimondii2_0_v6:2:62540661:62541502:1 gene:B456_002G265800 transcript:KJB17108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIYKICLPVPFSLQPLLYTDGLCRVVSSSRLKEPLSINIVMCKSPFLKLTLGNILEGTSHFCKANIIGDGHFGSCLVERLIHKGALDVFDWSKCFKIAIGAAQGLEFLHHAFIPRIIQRDIKASNI >KJB15228 pep chromosome:Graimondii2_0_v6:2:40945589:40946771:-1 gene:B456_002G165900 transcript:KJB15228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMTKLFQAPIEPEASLVSKREGRVIHISFDGQRFPRGKIEMSPILPIICGKECTSIDMPLGTAIHNIEITLGKDGQLARAAGAVVKLIANEGKSATLKLPSGEVRLISKNCSATKSLGRVGSKCWLGKCPIVRGVVMNPIDHPHGGGEGRDPIGRKKNRNPLGLSCTWKK >KJB13325 pep chromosome:Graimondii2_0_v6:2:7908667:7910677:1 gene:B456_002G068200 transcript:KJB13325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGREILHKMKEKVQEKVGLGSTAESGKGKSKASKNIKHGYHLVEGKSLHPMEDYVVAEFKHVGDSELGLFAIFDGHLSQVIPDYLKSHLFDNILNEPDFWIEPENAIRKGYRITDQDILEKAVDLGQGGSTAVTAILINGQKLVIANVGDSRAVICKNSEAKQLSVDHEPTTEKESIENRGGFVSNFPGDVARVDGQLAVARAFGDKSLKEHLSSEPDVTVEIIDDDTELLILASDGLWKVMTNQEAIDAIRNVKDAWSAAKLLTDEAVKRNSTDDISCIVVTF >KJB12686 pep chromosome:Graimondii2_0_v6:2:2301733:2302785:-1 gene:B456_002G031100 transcript:KJB12686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHSLKLLLILLTITFPSTQCDDDLVDQICKKTPFYDLCISTLKSNSNGKDVKGLASVMADTMLSNATDTLSYIRAEINRTPDPKIERALAYCAELYIPVVKYNLPQAIDALSKGQFEFAADGISDAAKEADSCEKMISWSQELAALSDRNKLIHSLSDVAVAIVKILLKG >KJB15569 pep chromosome:Graimondii2_0_v6:2:49087735:49090801:-1 gene:B456_002G185000 transcript:KJB15569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEDNYPVKYFKSLNLEETKSIEDIANDSNNIDEPVGEKAEIKEALVCNQNRIEMSQKGMETVKGCKNLYYGDTSSGDKSKASNKMVGN >KJB16783 pep chromosome:Graimondii2_0_v6:2:61160963:61166235:1 gene:B456_002G247400 transcript:KJB16783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLKIIKEVLHADSMVAESCSLCSSDIGGNWNEIFLKNLLSKLNESQKKSLVGCLNKMLCNHKSHVELIWGPPGTGKTKTVSVLLFALLRMKYRTLACAPTNIAITEVVARVLKLVKEAKKACSVADDQFCSLGDILLFGSKERLKVDSEIEEVFLDYRVKRLTECFGPLGWWHCFTSMITFLEDCVPQYHIFLENESTKKQEHASEDENQEKGCCSETDDKKGIHKSFLEYARERFATTALPLRRCVSILHTHIPKIYFQAHNFKDLETLSGLLNSLDTCLFFDGLASEEVEELLLRSKDDKLLPQNLCDPSRLLCSIRSQCLSVLRRLRDSLGQLKLPRARNKDSLVQFCFQTASLFFSTACNSYKLYKLKMKPLNVLVIDEAAQLKECESAIPMQLPGIVHSILIGDEWQLPATVQSNVSNEAGFGRSLFQRLTTLGHSKHLLNIQYRMHPSISLFPNACFYNKRILDAAGVKHKSYEKHYLPWPMFGPYSFINVSGREEKDDAGSSHRNMVEVALVQRLVQTLFKAWNSSRERLSVGIISPYAAQVVAIQEKLGRKYEKTDGFAVKVKSVDGFQGGEEDIIIISTVRSNSSGALGFVSNAQRTNVALTRARHCLWILGDGRTLAKHESVWQGLVHDAKMRHCFFNADEEKGLAKAIFDARKEFGQLDDLLNPDSVLFKNARWKVLFSDNFRKSFGKVKSAQTQKSVLNLLLKLSCGWRPKNRNVDLICESSSMVLKQFKFEGLYIVCSIDVVKEQRYTQVLKAWDLLPLEDIVGTMAAAKRNRSCKTEFAKLKQEVSKQKRTSKAKTVNKMNIQQFVTEHYYLSFKI >KJB15559 pep chromosome:Graimondii2_0_v6:2:48970089:48972830:-1 gene:B456_002G184300 transcript:KJB15559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVHGGSRGGLVFPINYEEEVSQRLVDALHGNDFKLASECLADPFVDVNFIGTVSLKSKKTEILLHEEAAHQVLVDYEEFKTEVTPLFLAAHVGNMFLVKKLLSLGANVDHKLFRGYATTAATREGHMDVLEVLLNAGACQAACEEALLESSYLGYAKLAKRLMATDMIRPQAALRALVSACCRGFVDVVDMLIKCGVDANATDRLLLRSSKPSLYTNIDCNALSATVICRQTSIVRSLLLAGIKMDLKVRVGAWSWDIDTGEETRVGAGLADAYSITWCAVEYFEVSGSILRLLLRHISPNSLHCGRTLIHHAILCNNASAVEVLLNCGADIEFPVKTTSKTALRPIHLSAKLGFVEVLQCLIVGGCDIDSRTAFGDSALMICARYKHGDCLKVLASAGADFGLVNSAGQSASSIAGLARWNHGFQQAVQDVILAGKTPQSSNPSVFSPLMFTVHGNEIEALKKLLEKADVDLHEQDDDGNSALMIAASEGHLEAFELLLRAGANIKLSNKYGDTAISLLELNQKGDVFDQLMLEYALEDANGPIGFYALHRAVKRGDLNLVHILTSRGYDVNAFDADGYTPLMLAARGGYGGVCELLISCGAKCNIENARHETALLLARKKGYGNDAENIILNELARALVVDGSQVKKHTRGGKGSPHSKVLRMMETRGILRWGKSSRRNVICKAADVGPSEKFRWNRRRKFDVEESGMFHVVTTKNKEVHFVCDGGIQMAELWVRGIRLVTSEAIFGKQKQL >KJB12154 pep chromosome:Graimondii2_0_v6:2:184082:187684:-1 gene:B456_002G003400 transcript:KJB12154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-1 [Source:Projected from Arabidopsis thaliana (AT4G34960) UniProtKB/Swiss-Prot;Acc:O49605] MRREISILIQPRCLLLFISLSILLIFAFSGAKREEEKIVEEEHEITHRVYLDVDIDGQRVGRIVIGLYGEVVPKTVENFRALCTGEKGKGAKGKALHYKGTPFHRIISGFVVQGGDIIHGDGRGSESIYGGTFADENFKIKHSHAGVVSMANSGPDSNGSQFYITTVKASWLDGEHVVFGKVIQGMDIVYMIEGGAGTYSGKPRKKVIIADSGEIPKSKWDEET >KJB16710 pep chromosome:Graimondii2_0_v6:2:60816695:60817565:1 gene:B456_002G244000 transcript:KJB16710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWDGTIRPFISRLEPSLNFPSNYNYNQYPEGVEDMNNQGFEEAGNGLVPDLNMNGFMNNGKGNNNKKKRLTSDQLDSLERSFQEENKLDPDRKMKLSKELGLQPRQIAVWFQNRRARWKAKQLEHSYNTLKHEYDIISIEKQKLQDEVMELKGMLVEQATRNQVSTVYKEISGGEIIESSSIRSSNKTSIAGNDYIR >KJB16328 pep chromosome:Graimondii2_0_v6:2:57880232:57880888:-1 gene:B456_002G223300 transcript:KJB16328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPGESDSKGKAVVVDSEEPKAEYECPSCFKIFNSTPALCGHQNAHRLVKEPMKMSPVLAAVLNNPLPPFHKPFLIPPKTKPAANKELISKQPTANQSPRHHPYKRHDEEANATDQLQDKLMKQTTQEGEDFLRQLIRNEAQYEYNDQEEYTDTDTQFLTMDLLGEWMPISGFGDDGHHLGTPRKYPMEMERETDLQIGLSATASTGDLDLELKLGF >KJB13886 pep chromosome:Graimondii2_0_v6:2:12711374:12712310:-1 gene:B456_002G100300 transcript:KJB13886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAFVILVALWISAFNLDYMVFGGEDSSALLPSPSLSGYPSYGAPPSPQSFSGYPSYGAPPSPQSFSGYPSYGAPPPPPPPSSISTYPSYRAPPPPSRPAQANCPPPPVPVQCCKYPSHPNPNEYYQPVVDHSPTPYSPVSTFSIVILCCFILALSMR >KJB13095 pep chromosome:Graimondii2_0_v6:2:5533880:5536720:1 gene:B456_002G056500 transcript:KJB13095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGAKGLITAGKSTKDKDKDKKKPVSRSSRAGLQFPVGRVHRLLKTRVSANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >KJB15096 pep chromosome:Graimondii2_0_v6:2:35771331:35772128:1 gene:B456_002G160100 transcript:KJB15096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTHKTIQLIKLHNDDCIHTILHFSTNLICFVILSGYSILGNEELLSLNSWVQEFLYNLSNTIKAISILLLTDLCIGFHFPHGWELMIGSIYKDFRFSHNDQIIYGLVSTFPVILDTIFKYWIFRYLNSKQWILCNPIITVGLLNCN >KJB16817 pep chromosome:Graimondii2_0_v6:2:61294560:61295079:1 gene:B456_002G249000 transcript:KJB16817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSQRFTCLCHFLMELEDPLSFLSFLFFFFCKKRDLTIQNYYPPCSRSDFVLGISPHPDSDIGRSLWQDDEVPGLQIRHKDE >KJB16233 pep chromosome:Graimondii2_0_v6:2:57131829:57133215:-1 gene:B456_002G218800 transcript:KJB16233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEVLPEISSPRISFSDDLDQEDGVDSIEECHHQRLDTSLLNPTSDLDFCFVNNSFVQELPSADELFSNGKIRPIEIKQKAGVANQVRRQLQPGAVVRSSPQQFKTDNREKKRLKEFLLMSIDEDDKPASSKSFWHFNRSSSLNCESSRSKSLIRSLQFLTRSNSTGSTPIPKETQKQPSLTRRSSVSSSSSGTFYYTYYGNSTQKPALKKSCGAHGNGVRVSPILNLPNPIISNATVSFFGFGSLFCNGKTKTKKR >KJB13642 pep chromosome:Graimondii2_0_v6:2:10975368:10977000:-1 gene:B456_002G086500 transcript:KJB13642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEVNRKKADRISDLPDSILTHILSFLSTNEAVRTSILSTRWRYLFALLPNLHFDLEDVLRRKNFNIHRGVKYLDLNIYLDKFITLPDVLFTCRTLVSLKVDKDFVLDVPRGVVHLPNLNTLHLESVKFLNDDSIKNLLSGCSNLEDMILKQCYMENISKFNISHQLLKRLTIDYLYDGYHSYFKYLDSIKARYSIEYLQSLVKADIYLFKTNYTLQGGATTLFRGICNVPSLILWVTSLELLLSCKPLPVFATFFKLKIPCYDLFREYSYIRGGKGLETLLSSLPALEKLEFSQEVLCFLPENVPSCLLYKLKAIKITNFTDEKHCIGKAKYFLKNGGALQKLTILTAPDVSVEKQLKISNVLSASPRESKQLCILIV >KJB12908 pep chromosome:Graimondii2_0_v6:2:3621013:3621632:1 gene:B456_002G043800 transcript:KJB12908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWFHCINNSLSLSVNKSCSLISSIIKFQMDRSSLSVSVTFFLLLILLTTEMGPMSAEAKTCETQSGKFKGMCMSSTNCASVCKSEPGFDGGHCQGFRRQCVCTKPC >KJB14262 pep chromosome:Graimondii2_0_v6:2:16514401:16516802:1 gene:B456_002G116500 transcript:KJB14262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEKVDFSLKETSPNIGSDRVFGGEKLTSSFDLVEKMEFLFVRIVRARDLPLKAVNGIIDPYVEIKIGNYNATTKYFEKKPDFEWNQVFAFGQDRLQATTMEITMRDKELIIGDNMIGKIAVALHEVPLCLPPDSPLASQWYKLEDKNGFTLRKGELMLAMWYSTQADRVFTDAWHSDSAIVSGESLLNTRSKAYLLPRLWYLRVNVIQARDLVPGSKDRNPQVYVKAVVGDVILRTRVSPDKNVNPQWNEDLMFVVAKPFFDSLIVTVEDRLENNTIRCLGKCVIRLSNVEQRLLPLPADPLWYTLEDIVFEDGMEKEVNFFSKLNMCVSLDGEYHVFDESVHNGSDYRPTAKMLWTAMIGVLELGIINASGLQPIKLRDGRETTDAYCLAKYGPKWVKTRTVVDSFDPKWNEQYSWDVYDSYTMLTIGVFDDCHLHGGDAVGDRKDPSLEKVRNQLSSLATNKIYTYSYPLLVLQPNGAKKMGELQRAIRFTCSSYLSLFLVYTMNPLLPQMHHIYPLSIYQLNILRKQAVRILCSSLSRNEPPLRQEVVEYMLDGGSQIILATFKCFSNARQWFDEIRKWNNSAVTVLVMAIYCIIVFKPDLILPTVTLYSIQVSIQVMIPQWRKRPRRPTHIDVNLSVARSVTADELDEEFDTFPSSRQFDVLRMRYDRLRSIAGRAVTVVSDIATQVERFHSLLNWRDPRITVLFLVCCLVGSFMLYYLISLKVFLIFGGFYVMRPPFLGKDVLNAPQDFFSRLPSKADYML >KJB16858 pep chromosome:Graimondii2_0_v6:2:61470824:61473621:-1 gene:B456_002G251200 transcript:KJB16858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLVILLSFTFFSTTLYANSNLQQHFDTGGLSRESFPEGFLFGTAASAYQVEGMASEDGRGPSIWDAFVKTPGHIANNETGEVSIDQYHRYKEDVDLMQMLNFDAYRFSISWSRIFPNGTGEVNWKGVDYYNRLIDYLLEKGITPHANLYHYDLPLALQEKYLGLLDRQVIQDFADYAEFCFKTFGDRVKTWMTFNEPRVVAALGFDNGINPPNRCSKKFGNCTDGNSATEPYIAAHHLILSHAEAVKRYHEKYQAKQNGRIGIFLDFVWYEPLTRSKADNYAAQRARDFHIGWFLHPLVYGKYPRTMQKIVRERLPKFTKSEVEKVKNSFDVLCLNHYTSYYIYEPHQPPSNVTGYQQDWNAGFAYERNGVPIGHRAHSEWLYEVPWGMYKAVTYVKKHYGSPNIILSENGMDDPGNLTFPESLYDINRVSFYRSYLKELKRAMDDGANVTGYFAWSILDNFEWLLGYTSRFGLVYVDHNDLKRYPKLSAYWFKQMLERKNS >KJB16978 pep chromosome:Graimondii2_0_v6:2:62019468:62022075:1 gene:B456_002G258400 transcript:KJB16978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLLTTFSMENHHPSTLLSMDSSAHDEFDLDKNRQSILSRPPDINLPLSAERSPPPQQPWNSDQCDILDVGLCSQAYETESYLPVSKAGRKCTKRVDSIWGAWLFFSFYFKPALKEKSKAKIVRDSNGVSGFDKSDLKLDVFMVQHDMENMYMWVFKEKPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFIRSHRMQRKHYRGLSNPQCVHGIELVPSPNLMALSEEDRKKWIELTGRDLNFTIPPEASDFSSWRNLPNTDFELERPQIIKNIPHSHSRKLLNGSGLNLSTQSSSHTYGDGTDLSLVSNKRRKDDDCFLPVIPPPDRIPDMEIHPSEPHWLNDFSGVMKSTYGPVTAAKAIYEDEAGYLIIISLPFVDLQRVKVSWRNTLTHGIIKLSCLSTSGMPLIKRHNRTFKLTDPSPEHCPPGEFVREIPLSTRIPEDADIEAYHDGPGSVLEIMVPKLRMVPEEHEIRVCLRPKHVGNDLMLT >KJB15218 pep chromosome:Graimondii2_0_v6:2:40555017:40556853:1 gene:B456_002G165300 transcript:KJB15218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSKLDDLPAVALCRERCSFLDEAIQQRFPSWERSFGSKLNVCVNGRCRAISVTMYNFGSPRVGNRRFAEVYNEKVKDSWRIINHRDIIHTVPRLMGYCHVAQPVYLTAGELKDGLENMELWKDGYQGDVIGEYTPDVLVTEFRCQVLKSLLLGGFYLNTILGP >KJB14723 pep chromosome:Graimondii2_0_v6:2:24400486:24404084:1 gene:B456_002G140400 transcript:KJB14723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTPVRKPHTSTADLLTWSETPQPDSSASAARPTRPHQPSDGIRKVVFGGQVTDEEFENLNKRKPCSGYKMKEMTGSGIFAANGENDESELGSANPTPNSKTGLRMYQQALAGISHISFAEEESISPKKPTTLPEVAKQRELSGTLDSEDAKLKKQLCDAKCKDLSGHDIFAPPPEILPRPTTVRALALKDNFDMGEPDTRNPAGSGLPSEEASVKTAKKIHNQKFSELSGNDIFKGDVPPGSAEKPLSAAKLREMSGSNIFADGKVESRDYFGGVRKPPGGESSIALV >KJB14996 pep chromosome:Graimondii2_0_v6:2:31609529:31609955:1 gene:B456_002G154000 transcript:KJB14996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSNRGRELQGPRPSSLRVGNSSSTTMIKKPSLDNRSRNNKAVHPVVIYLRPPKIIHVRPEEFMSLVQRLTGKDSTNDPASDNESIKGVSKRDNLLDAGDQIIGMSPTWFRFSACV >KJB14149 pep chromosome:Graimondii2_0_v6:2:15718268:15720196:-1 gene:B456_002G112100 transcript:KJB14149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACFSYEIPEFSESGSRPTAHVVSLNGDLHKYNPPVCASQVLHAEASSSSSSSSSSSSSIFLCNSDSLSYDDYVPALNADHQLQPNQIYFVLPVSKLQQRLASKDMAALAVKASVAIQNDSRNDSNRRKKARINPVMVVAQSVVDPVGASTASAPTPSSTKSFTKSQTQPQPAGMSRSASFRKLQRYTSRRAKLAVRSFKLRLSTIYEGSVL >KJB16860 pep chromosome:Graimondii2_0_v6:2:61495496:61496392:-1 gene:B456_002G251400 transcript:KJB16860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPFQGFEFEAYMAMKAKNINKMLDVAIPLRHPLKINEAMRYSLLAGGKRVRPILCIASCELVGGDESLAMPIACAIEMIRTASLIHDDLPCMDNDDLRRGKPTNHKVFGESTAVLAGDALLSLAFEYIAFFSELSSAIGSKGLGAGQIMDIESEGKTVSLKELEYIHVHKTAKLLEACVVCGVIIGGGNDNDIEKSSMELGKTAGKDLVSNKATYPKVMGIDEAKNFAYHLMNQAAQQLACFDTAKAAPLYHLAYYIANRQN >KJB12715 pep chromosome:Graimondii2_0_v6:2:2437483:2438790:-1 gene:B456_002G032600 transcript:KJB12715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEEPYFPDTDTDLSFTSAITDTTLSSSSRSSSASSTLSLSFKEPHLSSPTIHHRKWDPRFSPIKLVTTDDGNLQLHHLKLIRHLGTGNLARVFLCQLKDHDGAFFALKVIDTEALTAEKLSHVQTEGQILSMVNHPFLPRLYAHIQASHYTCFLIDFCPNGDLFSLLRKQPGNRFSLPAVRFFAAEVLVALEYLHALGIVYRDLKPENVLLGEDGHIMLTDFDLCFVADVVPAFKFVRRRSGKPNRNRLSGGCFGPAAGLNDKGEVVPVAEPVTAFSRSCVGTHEYLAPEVVSGDGHGNGVDWWAFGVFVYELLYGTTPFKGASNEITLRNIASSSRQMRFAHAPGVEEAGISEAKDLIEKLLVKDPSRRLGCTSGAQDIKRHPFFDGINLPLIRQYKPPEVVGGAARERGQVDRVKRRRWLRKGLNCMMMRN >KJB12110 pep chromosome:Graimondii2_0_v6:2:45641:47003:-1 gene:B456_002G000900 transcript:KJB12110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPLTLHRHPMCAEEFQKCHLEHPITKFFGECTELKIKLDRCFRQEKALKRKANFEQSKKLKERLQALRKETAENDS >KJB12454 pep chromosome:Graimondii2_0_v6:2:1268220:1273766:1 gene:B456_002G018700 transcript:KJB12454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVRIAEDEQQLKRLNQVEGWLSRAETLINDADQLIVQSPQHVENLCMGGCCSTHPRSSIKFGKQIAKKLQEVKDQKENGDFSGVASKPPLPSATERPSEPTVGLESNFNKVWSCLQKEQTSLLNQINNKFHDTTHDYHVIWAVASQDRPIERVQDQIAERIGLSNEAEGIFQVLCKKKFALLLDDIWEWFDLTRAGVPLPPKENGSKVIFTTRRFDVCCQMQPNMDNNIRVECLPPGEAFNLFEEKVGSETFRMHPDICKLAEAVPMASKKTPLEWEYATEVLRQSAASVLPGVGKEMYPKLKFSYDCLADEKLKFCFLYCSLYPEDYSIVKDELVDCWIGGGLLDEHTNLNNARNQGHFIIGSLIDACLLGKGPINVDVKMHDLIRDMALWIAGESENEKFFAKSGVQLKEQPKAKKWEEVTRMSLMENQIENLTEILECPNLQTLFLGRNDLKVIMGDFFNFMPMLRVLDLSLNKKLEELPVGIAKLVSLEHLNLSWTGIKKLPVELKTLAKLKYLNLEWTADLKMIPQQLISSFSKLQVLKMEGCGYGCLLVLEEIEHLKYLNVLTLTFRCVSELEKASRFNKFFSCAIEAVNLEDFRDSRSLNIMALAKLQHLCVLSLSSCMDLEEVKIERNIIEGAGCFHSLRSVTLSGCNHLRALKALFLRNLPKLKTIYCHALPFPQLKEVYIIGCPMLKKLPLNSNNAKGQRLIIEGEEGWWKDVEWEDESTKIAFLPFFKPR >KJB14172 pep chromosome:Graimondii2_0_v6:2:15969350:15971806:1 gene:B456_002G112900 transcript:KJB14172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQLEKVSNHNTSGIEGKNSDEVLVVGIAFMKKGDLLMDQLENIIEKEQVNSSQEFKESKWGQQSQGLLTKPKKIVLGKDFWKKVNDLIKVYIPLVKVLRLVDSDEKPTMGFIYKAVDRAKRAIQQDYRYFTEYEKIINNRWNFMHSDLHSAASNCEQHWSTFSYIHTKARNRLKYKKLEKLVFTYYNMRLQIRHQKRMSTDDINTSFNPISLDHIFEDVDLLSKWLHEKKNPLLDGENVGVLPMDTSDDEIDVDQSQQQNLSHSSSSSTPSQSDDGPDGGGLSPIDEDDGYSGDRGEIRSSS >KJB15423 pep chromosome:Graimondii2_0_v6:2:46041450:46043804:1 gene:B456_002G178100 transcript:KJB15423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHLNLRDVKAKVVPEAALDAVAETLRTSSSLRFLKMVRKKVGRSTALLKPKELLEQLDCMTIAASPFEFNVKMEDVEAFFGQYAKVNSVRLPRHVANKKYFCGTALIEFSAEEDVQKVLEQSLVYAGAELELKPKKDFDAIREEAEEYEDNHPVTGSNGDNRSNAEDKCPKGLVVAFALKNILGGDSAEKNGSNEPAKDSATEKSVEKTIENDEDNKDKVDDKQPVPGDETENKSPVQKDEGTEHKNTASVFKDDMNVVLREDLKEAFQKFGTVKYIDFKAGEEKGYIRFDEPKAAQKARATLVLGNEGCPVVKNFIATLEPVTGDAEREYWSLLRGNQGKHRGNKRFQGRGGKHFRGRKHGRGRENYSPNKARRT >KJB14012 pep chromosome:Graimondii2_0_v6:2:14385455:14388038:1 gene:B456_002G106500 transcript:KJB14012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-humulene/(-)-(E)-beta-caryophyllene synthase [Source:Projected from Arabidopsis thaliana (AT5G23960) UniProtKB/Swiss-Prot;Acc:Q84UU4] MQCKEECQVFRPIATFSQNIWRYQFPPFSSADELSQVFDSLTKETAPLKEKVKDILMGSTPDPVEKVKFIDTLLRLGVSYHFEDDIENQLETIFTSHHNLFSGNHHDLNSTSIVFRVFRQYGFKMSCDVFNKFKDTDGKFKETLIDDVRGMLSLYEAAYLRVHGEDILEEALAFTTVHLKSMENKSNPHLAKQIANALDQPLNKCPPRLAARTYISFYEEEDFRIETLLKFAKLDFNRVQVLHKQEISQIARFWEDSKLSELSYARERYVETYTWGNSVFYEPRYAQGRIFLTKMILLVSILDDTFDAYGTPQELQRLIDALKRCEISAIDELEDYTKVICKAVLVLFDEIAEEVRKEGRSYSVPYAKDALIRLVNNYQAEVKWSHDGYVPAFEEYMSIATKTSTYDTFITTSFIGMGKMAAIEAFIWLRKEPKSMKALNVIGRLMNDIVSHKFERLRHHCPSSVECYMNQHGLSKKLTLKDFEKILEDAWKDLNEECMRPTDCPRDLLLRILNIARATYLFYKHEDGYTKPEYVKDDVRALFLDPIPV >KJB16537 pep chromosome:Graimondii2_0_v6:2:59527012:59528299:1 gene:B456_002G234700 transcript:KJB16537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGWKPRMEISPNCPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSLRLPTDGAQTKSLSCDSFRHSYASTESNSSSSMSDGSHIDLALVYANFLSNQQPENKSGFVVPELRTEFDPSLEFSRILNTNMASSIQLPEENGLDLSTENHLSNNGQIYCSGGNHGLPPLPGDDLPWPNSQSTMSPSLQATQEPVLEPETHDPNQLFGNWNPFDLYSDDTFSRT >KJB12382 pep chromosome:Graimondii2_0_v6:2:983043:987561:1 gene:B456_002G015100 transcript:KJB12382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVPPVTTTIPADASKTKKADEKTDYMNLPCPIPFEEIHREALMSLKPETFEGLRFDFTKGLNQKFSLSHSVSMGPTEIPSQSAETIKIPTSHYEFGANYIDPNLMLIGRVLTDGRLNARVKWDLTDNLTVKANAQLTNEPHMSHGMFNFDYKGKDYRSQFQIGNGALFGASYFQSVTPHLSMGGEVFWAGQHRKSGIGYAGRYETDKMVATGQVASTGMVALSYVQKVSEKVSLASDFMYNYMSKDVTASVGYDYILRQCRLRGKIDSNGCTTAFLEERLNMGLNFILSAEIDHKKKDYKFGFGLTVG >KJB15208 pep chromosome:Graimondii2_0_v6:2:40106361:40107390:-1 gene:B456_002G164800 transcript:KJB15208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPNLVLLFKLFSFLLLFRSTQSKLSADYYNKTCPKFQNIMQTILAEKQLSAPTTAAATLRVFFHDCFVNGCDSSMLIASNAFNKSERDANVNLSVAGDAFDLITRVKTALELECPGVVSCSDILAVSARDLVVMVGGPFYEVVLGRKDSRESNPSIVDKNLPKALTPMNELLSLFSSKGFSAEEMVALVGAHTIGFSHCKEFANRIFNFSKTSEFDPAYNPVFAQGLRKLCANYTKSPAMSAFNDVYTPGKFDNMYYKNLQKGLGLLSSDQAMVTDNRTKPFVDRFAANETAFFDMFARSMEKLSVYKVKENNDGDVRRRCDQFNTLQV >KJB17164 pep chromosome:Graimondii2_0_v6:2:62670477:62671992:-1 gene:B456_002G268000 transcript:KJB17164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDALIEGWTSIKNIKDPHVTEITEFAVDEHNTQLKGSLKLEEVVKGETQVISGINYKLVLQAKDGTADNSYEAVVWEKAWLKFRKLTSFTLVKG >KJB12689 pep chromosome:Graimondii2_0_v6:2:2315740:2318342:1 gene:B456_002G031400 transcript:KJB12689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLREGRSLLLKVKKPSIPFVLNTNPVSTPMKEPQSDQTQSQIEESQVLGALKQEPNISLALNYFKSIANSNSFKHTLLTYQCMIRKLAIEPEIDGIQYLLQQMKLDGISCSEDLFVILIDCYHQKGLGEQALKMFYRVKEFGCEPTVRIYNRVLDALLSENRLSMIGPVYGNMKRDGLVPDLHTYNILLKALCMNDKIDSACMLLDEMASVGYSPDAMSYATIVSSMCKLGKVEEARELVMRFRSYVSVYNALISGYCAEYKLKEAFGVLEDMLVEGLEPDVRSYSMIISSLSSTGNIELSLAVFAKMFLRGCSPNIYTFSSLIKGYLMEGRVHEAFDLWNRMVREGIETNMVFFNTVIHGLCSNGKVGEALSVSYQMEENGLSPNVVSYTSLINGFAKVGDLIGASETWNRMMANGCHPNVVAYTSMVDVLCRHNMFDRAHFLIEKMVLENCAPNTVTFNAFIKGLCSNGQVDWAIKALEEMRHYGCAPNIVTYNELLDGLFKADRLEDVFGLIREIEEKGIEWNLVTYNTILSGFCHAGKLEEALQLLGKMVARGIKPDAITYNIIIFTYCRQGKVKTAVRILDCVRASGDWHPDVVSYTSLIWGLCNWVGIEEAICYLNKMINEGICPNVATWHVFVQCLFNSLGHLGPIRVLDDILGNG >KJB16276 pep chromosome:Graimondii2_0_v6:2:57508879:57513101:1 gene:B456_002G220700 transcript:KJB16276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYVDNRQFRRLEPALNGVFAPLKPGPSTQIHRPVKHVFIDHEYDDSSSDDEGNEINGHYKELIIKSGNELEPSILDPRDEATADNWVERNASMVRLTGKHPFNSEPPLNRLMHHGFITPVPLHYVRNHGQVPKASWDDWTVEVAGLVKRPVKLTMDQLVNEFQSREFPVTLVCAGNRRKEQNMIKQTIGFNWGAAGISTSVWRGVPLCDVLKRCGILSKKHDALNVCFEGAEDLPGGGGSKYGTSIKKEFAMDPSRDIILAYMQNGKLLTPDHGFPVRMIIPGFIGGRMVKWLKRIIVTTQESDNYYHYKDNRVLPSHVDAELANAEGWWYKPEYIINELNINSAITTPCHEEILPINAWTTQRPYTLRGYAYSGGGKKVTRVEVTMDGGETWQVCTVDHPEQPNKYGKYWCWCFWSLEVEVLDLLGAKEIAVRAWDQTLNTQPEKLIWNVMGMMNNCWFRVKTNVCKPHKGEIGMVFEHPTLPGNQTGGWMAKERHLEKSISDANPTLKKSVSSPFMNTASKTFSMSEVKKHNSADSAWIVVHGHIYDCTRFLKDHPGGADSILINAGTDCTEEFDAIHSDKAKKLLEDYRIGELTTSGYASDSSTSSPNSSVHGASNVMSFLAPIKEAVPTKPVALVPREKIPCKLIQKTSISHDVRVFRFALPSEDQVLGLPVGKHIFLCATIDDKLCMRAYTPTSAIDEVGHFDLVVKVYFKGVHPKFPNGGLMSQYLDSLPLGSFLDVKGPLGHIEYTGRGNFQVHGKPKFANKLAMLAGGTGITPIYQVIQAILKDPEDKTEMYVVYANRTEDDILLKEELDGWAKKHDRLKVWYVVQESIREGWQYSLGFITESILREHIPVGSNDTLALACGPPPMIQFAVQPNLEKMNYDVKDSLLVF >KJB16551 pep chromosome:Graimondii2_0_v6:2:59714041:59716480:-1 gene:B456_002G236300 transcript:KJB16551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDFLTRLLVLILGYAYPAFECFKTVEKNKVGIEELRFWCQYWILMAFLIVFERVGEVFISWLPMYGEMKIALLVYLWYPKTKGTGYVYDTLFRPFMARHETEVDRKIQEVKARIWDFISKYCQNFAEMGQGKFFEMLQYIANQSNKFKQQAQRPDNPVPAAANGHRSQSGGSETRTSTTINRSALDSPRLRPRPVQT >KJB16999 pep chromosome:Graimondii2_0_v6:2:62115695:62117599:1 gene:B456_002G259800 transcript:KJB16999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERIRLYVRGAILGYKRSKSNQYPNTSLIQIEGVNTKEEVGWYCGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMGDKVRVFMYPSNI >KJB16521 pep chromosome:Graimondii2_0_v6:2:59369239:59371182:-1 gene:B456_002G233800 transcript:KJB16521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWVWKDEPNDAVESTARDGDHCSTRKVIQSKCKTEEVEPGKFVRKCEKTEEVLRECFGRPVEVLQSTKEYTEDDVTEQMLKGSFSSGSHVEGSFDFPGLRSDMEAIERQFFGGINRFFDAAEEMKNNFFDIFGDVYGRGSSSAPSIRRGLPIEDHKQKEDSPKPTESGHIDLSGLAKDI >KJB17017 pep chromosome:Graimondii2_0_v6:2:62152573:62155659:1 gene:B456_002G260500 transcript:KJB17017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMIPWTTIWIVFSWYGSNIGVLLLNKYLLTYCGFKYPIFLTMCHMLASSLLSYVAIAWLKVVPMQTIGSKKQFIKIFGLSSVFCFSVVLGNVSLRYLPVSFNQAIGATTPFFTAVFAYVMMKKTESWVTYLTLLPVVAGVIIASGAEPSFHLFGFLMCVSATAARASKSVLQAILLSSEEEKLNSMNLLMYMAPIAVVILLPATLLMERNVIAITMALARKDTIVVYYLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSIIIFKNPVSLMGMLGYSLTVVGVLLYNESKKGNK >KJB14542 pep chromosome:Graimondii2_0_v6:2:20304658:20306540:1 gene:B456_002G130200 transcript:KJB14542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFHSFSSLPPIFALSIFLFALVWILKTSLKSITQKREAPEAGNSWPVIGHLHLLRGPKPPHIILSNMAEKCGPIFTIKMGVHRALIVSDHKTAKECLTINDKAFASRPSTLAMEYLSYNHAMFAFAPYGNHWRQLRKLATLELLSTHRLEQLRHVRESEIKTSLKELYQFWEEKKDGSDTVLVEMKKWFKSATLNVILRMIVGKRIPSSTNDAESEKWKTSLKEFFDLSGKFVVSDALPYLRWFDIGGDERLMKKVRKELDEVAEGWLKDHKGKRSSDKLNKSNDGFMDVMLSIATDTEKHDADTINKATCLALILAASDTTMVTLTWALSLLLNNKDELRKLQEELDIHVGKDKLVEESDMENLVYLKAIIKETLRLYPAAPLLVPHESMEDCTVSGYHIPAGTDYL >KJB11997 pep chromosome:Graimondii2_0_v6:2:6062215:6064596:-1 gene:B456_002G057600 transcript:KJB11997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNDDYSLSRFQAQADAISLICGAKTQSNPKNTVGILTMVGKGVRVLATPTSELGKILSCIHANLYHDLCKACSSVRNPCLITSVKDEQLFIRLALKHRQNKNQGQRIIVFAGNLRFSFEMIGKCLMWVVGTELIIAGLYLVILGKSEESKYLSENEPIYSVSENNDMESTFIRPLLGNKLQS >KJB14658 pep chromosome:Graimondii2_0_v6:2:22713548:22713982:-1 gene:B456_002G136200 transcript:KJB14658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNEFYSQVNVPFSWELKPGVSKVTSEDSSIDISHFTVKLAPPPCLSKSDRFCVNNLQGVLNVPPCQLRPKPTRSSAKKGNVNSQDDPFVAAYRKCTEQSADDSTDDENDASSGTTMRTRKNICTLSCKYSCTVSSDNVVRVS >KJB16072 pep chromosome:Graimondii2_0_v6:2:55855703:55862210:1 gene:B456_002G211400 transcript:KJB16072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEIEVVPSESEPKTTQQQNSTQQIAIIDVFSASAYGDFDKLRKFVEEDGASLATPDGNSYYALQWAALNNFSDIAQYIIDHGGNVNATDNNQQTALHWAAVKGSIAVADVLLQNGARVEAADLNGYRAVHIAAQYGQTAFLNHIVAKYHAEYDAPDNCGRSPLHWAAFKGFSDTIRLLLFRDAFQGKQDIEGCTPLHWAALRGNVEACMVLVHAGTKQELLVKDKAGNTPLKLASDKGHRQIALLLSKAERANSKRLFDKIHGGKMGEVGYAPILFCVIIVLIILFINSVLATPTLPKVTAIVGLWGWTGVSLGIGSLIMFYRCCSKDPGYIKKSRRLDGYEDTEDPLLNIELNNASVWTGNWDQLCPTCKIIRPVRSKHCPICKHCIEQFDHHCPWISNCVGKRNKWDFFVFICMGTLTSFIGAFVAVQRIWTAIPALSAGKTWIHLVIDHHPGIVAFLLLDALVLTSATTLTIIQATQIARNITTNEVSNAIRYRYLHGPEGRFRNPYNHGCRKNCTDFFIRGYTDDEIAWPPLQSVAS >KJB15266 pep chromosome:Graimondii2_0_v6:2:41573158:41575050:-1 gene:B456_002G167600 transcript:KJB15266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCDFSNQAFALEKEYSNAKLMRQVLKSLIKRFSIKVTTIEEAKDLERLVIDELIGSLQTFEMILDGAKRNRGKRGKNITLQKNKDLKDENVVLVKQVATKETLLAKELNSMEKIKELVEIKLMLENLSSSSNKLNEIFAAGRRDQGRGCLSFVNKGKSIEESTIRVVRYEVVRWISKYQKMTHVQNKTPLELMHIDLFGPMQIESLVGKRYAFVCVDEYSRYTWSKIF >KJB13256 pep chromosome:Graimondii2_0_v6:2:7627686:7630284:1 gene:B456_002G065100 transcript:KJB13256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRADDDYDYLFKLVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHITFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVSTEEAKAFAEREKTYFMETSALESLNVENAFTEVLTQIYHVVSRKALEVGDDPAALPKGQTINVGKDDVSALKKIGCCSA >KJB16857 pep chromosome:Graimondii2_0_v6:2:61446939:61448875:-1 gene:B456_002G251100 transcript:KJB16857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGITPDANLYHYDLPLAFQEKYLGLLDKQVIVVAALGFDNGINPPNRCSKKFGNCTDGNSAIEPYIAAHHLILSHAEAVKRYREKYQAKQNGRIGIFLDFVWYEPLTRSKADNYAAQRARDFHIGWFLHPLVYGKYPRTMQKIVRERLPKFTKSEVEKVKNSFDVLCLNHYTSYYIYEPHQPPSNVTGYQQDWNAGFAYERNGVPIGRRAHSEWLYEVPWGMYKAVTYVKKRYGSPNIILSENGMDDPGNLTFPESLYDINRVSFYRSYLKELKRAMDDGANVTGYFAWSILDNFEWLLGYTSRFGLVYVDHNDLKRYPKLSAYWFKQMLERKNS >KJB13589 pep chromosome:Graimondii2_0_v6:2:10541380:10542104:-1 gene:B456_002G082900 transcript:KJB13589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMNTVQVQVSVQPQMMNQFHQLMASATHSQVMNQLAVSQSQPINFGPQMMTQPPPPMMLNRSYKPWQSQDPNQNLNPNKKFSSFNHNNNWKGKKFHSSGGIASLVSPCPVTPAVLFMPIFSPSREVLGDMAKEEWGVDGYGLMKELIQLRPPKAGQ >KJB11808 pep chromosome:Graimondii2_0_v6:2:14811321:14812383:-1 gene:B456_002G1085002 transcript:KJB11808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVPQSHASSQASRGTKRKWVPEEDAALVSCMVDLHNLGTFNADTGFKAGYLNELEKMLEKALPNAILKAKPNIESRISGFGWDEHRQLVVAEDAVWDSYLKSHKEAAQFRHRTFPYYDQLTAIYARDRATGKDAQTAADVLEEINAEDVPTTDMNEERNTFYDCEANVSLDDMNIFGTEPRGDRDQGGSSSSNKKKKKSDARDNMSSSFNEASTLLAENVRAIGDQISRSIASDVVVQQKSEEFQIIQEKATNLYSTLWETEGLTDDERYRALSKIPDHPTQMLVFFSLPYAARMEWVRRFLSDH >KJB16826 pep chromosome:Graimondii2_0_v6:2:61364118:61367299:1 gene:B456_002G249700 transcript:KJB16826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVKWLSSLKKAFNPESKEKKNQILDKQVHLGPSSSNAAAPTLETVNLSPPPQPEDEKIIKPETVAEATDDDVVVAPAVPTPAAVTVVQRRLNTDSRFAGKSMEEVAAIKIQTAFRVYMAKRALRALRGLVRLKSLMEGPAVKRQATSTLRCMQTLSRVQCQIRMRRIRMTEENQALQRQILQKHAKELVNLQMGEDWDDSLQSKEQIEASLLSKHEAAMRRERAMAYSFTHQQTWKNSSKSMNPLFMDMNNPTWGWSWLERWMAARPWEGRGVTGKEPNNDQSSVKNAHFEGEISKAYARYQLNLDNKQSPKSSQKPNRTSSHLSPSPKPPSTPSRKLKSASPSGSIIALDDDTRSIVSAQSERNRRHSIAGSSVRDDESLASSPSLPSYMVPTKSARAKTRLQSPLGLEANGTPDKGPIMSTKKRLSYPPSPAKPRRHSGPPRVDTSIITNTEVGAVNDGVI >KJB15206 pep chromosome:Graimondii2_0_v6:2:39875814:39877780:-1 gene:B456_002G164600 transcript:KJB15206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHIYFIKGHFGIELCFVYVIATETGSCKCMELFTEAKVVKLRSHLEKYLVADDDQETVRQSQNSSGKTARWLVELVPDKPYVIRLKSCHGKYLAATDIPFLLGMTGKMVLQTVPDKMDWKLQWKPIREGFKIKLKSWCGKFLRANGGTPPWRNSITHGESRTGATNKWVLWDVEVGEYSESLSYSRVFMEYLLSTSSFFPESEEVLEAFSDDSLASSPPSPVSVVSSLKSPRSSVVPTGSRESAKLSSDFYLDSPPKSKGRTICYHVADDSGEVDDEAMEGHSFSFKGNGVDELTHKLKQETGLEDVVVCARSPLNGELFPLRLRLPPNNLDMHVVVVPLA >KJB16375 pep chromosome:Graimondii2_0_v6:2:58444229:58445861:1 gene:B456_002G226800 transcript:KJB16375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEELIKIASLSCWEFLSVVGRLQVEQQKQVQDFQEEVLERAKRAKEQAAREELEAQRLIPKSTSLSTSMGSGATANNGAAAKASPSTANNGVSTTPSSYNPPNPAAANTDPGPDTKKE >KJB15017 pep chromosome:Graimondii2_0_v6:2:32215628:32220321:1 gene:B456_002G155200 transcript:KJB15017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLKVQDNLRKMAWKASSSQASVGGLGSGALSHVFIQYPPLRCNIPGSRGFYYDDGNKLLISPTSDQIFSWKTAPFSPLAPITDSITEGPICSVRFSLDEKIIAVQRSNISIQFWHRETGETFTHRCKSESESILGFFWTDCPSCDIVIVKTSGLDLFAYDSASKSLILVETKKLAVSWYVYTHESRLILLASGMQCKTFHGFQLSSAGIIRLPKFEMVMAKPEANSKPVLASEDVYIVTVYGRIYCLQVDRVAMVLHSYRFYRDAIIQQGSLPIYSSKVAVSVVDNVLLVHQVDAKVVILYDIFADSRAPISAPLPVLLRGFQRSDISNSRPSTKESESSEASDSNDNEAIIYGADWTFLVPDLICDVANKLLWKVHLDLEAISASSSEVPSVLEFLQRRKLEANKAKQLCLAIMQTMILERRPITMVAKAMDVLVTSYSLSLKTGSYFKGIKTERTPSSVPNVSGPGQATDVFTSRTDGKSVQHEPSTGVNSVSFSRPSTYSSSETEDNCIFEPSKISSNDTQFVGGKVDASADSSTTDNPLNASVSEQQESQLTSPAISPDEMYRSVFAPIEEEMTVEPSYLVAIILEFFRWYGND >KJB15018 pep chromosome:Graimondii2_0_v6:2:32215641:32222506:1 gene:B456_002G155200 transcript:KJB15018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKASSSQASVGGLGSGALSHVFIQYPPLRCNIPGSRGFYYDDGNKLLISPTSDQIFSWKTAPFSPLAPITDSITEGPICSVRFSLDEKIIAVQRSNISIQFWHRETGETFTHRCKSESESILGFFWTDCPSCDIVIVKTSGLDLFAYDSASKSLILVETKKLAVSWYVYTHESRLILLASGMQCKTFHGFQLSSAGIIRLPKFEMVMAKPEANSKPVLASEDVYIVTVYGRIYCLQVDRVAMVLHSYRFYRDAIIQQGSLPIYSSKVAVSVVDNVLLVHQVDAKVVILYDIFADSRAPISAPLPVLLRGFQRSDISNSRPSTKESESSEASDSNDNEAIIYGADWTFLVPDLICDVANKLLWKVHLDLEAISASSSEVPSVLEFLQRRKLEANKAKQLCLAIMQTMILERRPITMVAKAMDVLVTSYSLSLKTGSYFKGIKTERTPSSVPNVSGPGQATDVFTSRTDGKSVQHEPSTGVNSVSFSRPSTYSSSETEDNCIFEPSKISSNDTQFVGGKVDASADSSTTDNPLNASVSEQQESQLTSPAISPDEMYRSVFAPIEEEMTVEPSYLVAIILEFFRCANLERVKVHPSLYVLTIQLLARSEQYAELSLFIINKVIEPSKEVALQLLESGRQSLQIRKLGSDMLRQLSLNHDYVLLLVQDGYYLEALRYARKHKVTTIRPSLFLEAAFTSNDSQHLAAVLRFFSDFIPGFRSTSDFFTYYNVLNEMSSSVTA >KJB16159 pep chromosome:Graimondii2_0_v6:2:56523312:56524166:1 gene:B456_002G215700 transcript:KJB16159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIKLFSKLLTDTDTRKRLAVPAKILPALPDFNGSYAMKLHLMYGTKAWPIVCSVRKNGYKKPVFSGGWRKFVICNNFRVGDKLTLYKVNDIDEAGSSYYKVEVEKPAKPSRDISLNHRVDNGTTGSGTYPTKVPNFECEKKLLSKDADAPIKEEGAPFMEPAYDAAPVPFASHVVSKPPCRVLGIDLSEEGSSEAQFKTEMKSSGITMCMGGEPPLHSSYYMIKEEKEINFIGPAFGIGTSEACCRSGTQRHSLNLAPHAEEMNLDLTLAPRSIVETMVGC >KJB15166 pep chromosome:Graimondii2_0_v6:2:38830938:38834417:-1 gene:B456_002G163000 transcript:KJB15166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRYSAETFGVLTICLVALLILLGLVCIGYSFYLHSRVLRQGFIQLSYFTGPWIIRITFILFAIWWGFGEITRLNFLRRQGRVLNALNLKWQENICKCYIVSNLGFAEPCLFLTLVFLLRAPLQNIDTGILSRKWNGKTAGYVLLYCFPLSVLQLILILIGPELHKERRELPPCFTRTATRVMENSDSIALCTYPLLNTILLGVFATVMSVYLFWLGRQILNLVINKGLQKRVYTLILSVSSFLPLRVLLLGLSVLSKPEQFVFEVLAFSAFVVLLCCVGVCICMLICCPVVDCIALGNLLDVEGRSDDQNDAAVSLIGNQNHLVSSPERNSRNGSISFRTFEKDGTAATGALVELSLTSSFMDSKSKQETE >KJB16505 pep chromosome:Graimondii2_0_v6:2:59288427:59291905:1 gene:B456_002G233200 transcript:KJB16505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEIFSPPRGLLKCCNCSCTTCALIGAPSKTWFRSVKRKYDEFETGNRFYVPGVDIFSNARVQIENECAALRETVSSQQGTIQDLFTDLEEERSASSTAATEAMSMILKLQSEKAEIEMEARQFKRFAEEKIAHDQQEIMILEDLLYKREQTIQALTCQAQAYKHRMMSYGFTESEVEGEKDEQVWHPSVAEDFDAEAEVDLPAYDYPPLKCNLNENPGNDVEDVEDVEDVEKYAFGETPRAREHLRNLEERISQMERIPSSNQLDGETPGTRNVFEKVVVGHSPRRPRHSRKFSMDSTNSFISREMGSEFISDSPRFNFGSPKFNTSFKKMELVSEMDEISSTRRMDNASEVGDDMSDRVYTIDSVHNGVPYNGTADHKSGFGISDNYASTPREAFNLPDVCDPDIKKLYMRLQNLEADRESMRQALLSMRTDKAQLVLLKEIAQHLCKEMPPERQATVGKPSMLGGLFKWVASSVSWSRRARRSKYLYGLSPNNVGLLMLLDKGPRLRQWRCLSSTQV >KJB17197 pep chromosome:Graimondii2_0_v6:2:44919265:44920483:-1 gene:B456_002G1760003 transcript:KJB17197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAKLAWNDCLELYQNTISHLNRSKSTNSPLDAQTWLSAAIANHQTCQNGFIDFNLASHLQTLPPMLANFSKLISNSLAINKPTISVTAKQVGNRRLFAHGFPTWLSGADRKLLQKIGAPLNADIVVAQDGSGNFKTISEAVAAAGGAKRTVIHVKAGVYNENVDIQRSARNIMLIGDGIGATVVTGNKNAQTTTTFRTATFAVVGDGFIARDITFENTAGPQKHQAVALRSGSDFSVFYRCSFEGYQDTLYVYSQRQFYRDCDIYGTVDFIFGDTVAVFQN >KJB16416 pep chromosome:Graimondii2_0_v6:2:58886296:58886637:-1 gene:B456_002G229700 transcript:KJB16416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LREKQKTKSKINKFCFSSQQVSRLSVSLLFFNTMDMKKISCAVIVAVAASMSEVMAAGAPSPAPASAPGAASASASASAAAPGPDSSVAISTMPVLGSLVGATLVSFFAYYLQ >KJB14663 pep chromosome:Graimondii2_0_v6:2:23042435:23043154:-1 gene:B456_002G137200 transcript:KJB14663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYEVKCSTCGKTTWGGCGRHVPSVYNRLPETQRCNCKEWPGVNAPQPSTSCTIL >KJB16032 pep chromosome:Graimondii2_0_v6:2:55634374:55635422:1 gene:B456_002G209100 transcript:KJB16032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGRCSAVAATVLLCCLLLHFEVAQSASFTVGGRGGWTFNAAAWPKGKRFKAGDTLVFNYNPSIHNVVAVNRAGYKSCKAPKGAKVFKSGKDQIKLKKGQNFFICNYIGHCQAGMKIAVTAA >KJB13382 pep chromosome:Graimondii2_0_v6:2:8313722:8314736:1 gene:B456_002G071400 transcript:KJB13382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLYDFHLEGKSTRVGEDHLWLHFMPCNQLRLFSLAFECKGKEISEFITYVVKESSEIEVFFKIWGIHSKLKKCGVRMVYKQDLEEMDQTGDEQQIRPASSNFSDASSNNGSTGNNISSPIERKWLNDKASLQWTKPFFCRDCQAYIGNDRLLTQF >KJB13234 pep chromosome:Graimondii2_0_v6:2:7502591:7502950:-1 gene:B456_002G064200 transcript:KJB13234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DIINNDFLLYKSINYQNKRDPYAYGSPFQVNKREESSYHYNMDKQNFFDTLRDISIHNYLGEGDILDAMGNFLHRKVFNWRIIRFCLRNKVDIESWVDISTKSNKNIKTVVKNYQIIDK >KJB16880 pep chromosome:Graimondii2_0_v6:2:61568386:61570517:-1 gene:B456_002G252600 transcript:KJB16880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQKHPKKSNSTLAELLMRVDDAHSDVSGNNTPADNRVVDVGFGSTSLPLSNPFVLSFTNLSYSVKVRKKWGKKVENSKVLLNNISGEAREGEIMAVLGASGSGKSTLIDALANRISKESLKGSITLNGETLESKLLRVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSKKKKKARVQALIDQLGLRKAAQTVIGDEGHRGVSGGERRRVSIGTDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSIHQPSYRIMNLLDRLIFLSRGNTVYNGSPSSLPRFFAEFGHPIPENENKTEFALDLIRELEENSPGGTKALVEFNKQWQARRKPRTIITRKPNFSLKEAIIASISRGKLVSGATNESSLPDFANPFWIEIIVIAKRSITNSKRMPELFAIRLGAVLITGFILATMFWQLDDSPKGIQERLGFFAFAMSTTFYTCAEAIPVFLQEKYIFMRETAYNAYRRSSYVLAQSLISIPSLIILSITFAGTTFFSVGLAGGSAGFFFFFLTILTSFWAGSSFVTFLSGIVPHVMLGFTIVVAILAYFLLFSGFFISKNRIPLYWLWFHYISLVKYPYEGVLRNEFDDPNKCFVRGVQMFDGSPLGTASEAMKLKLLESMSGVLGVNISGSTCVTTGKDLLVQQGISDISKWDCLWIIVGWGFFFRVLFYFTLLLGSKNKRR >KJB15486 pep chromosome:Graimondii2_0_v6:2:47488037:47493135:-1 gene:B456_002G180500 transcript:KJB15486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKKWLFTLFSTTIFSILLLLLYSISVFSSPRLFPSLVQHGLHSPPAFAYYLFGGKGDKDRIFRLLLAVYHPRNRYLLQLGADASDEERYRLVLALKSVPAIRSFENVDVIGKPDRFSSMGSTHIASTLHAAAMLMKLDRGWDWFIALSALDYPLVTQDDLSHVFSSVRRDLNFIDHTSDLGWKEDQRVLPIVVDPGIYLARRTKIFHATQKRLMPDAFKVFTGSPWVVLSRSFLEFCLFGWDNLPRTLLMYFNNVMLSEESYFHSVICNSPEFKNTTVNGDLRYMIWDSPPKTEPHFLNGSDYDQMVQSGAAFARQFQKDDPVLDMIDEKILKCGRNRAVPGAWCTGRRSWWVDPCSQWGDVNVLKPGPQAKKLEETILNLLDDWNSQSNQCT >KJB16051 pep chromosome:Graimondii2_0_v6:2:55792805:55795754:1 gene:B456_002G210900 transcript:KJB16051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPDAIVQYILSHLNNARDVALCNCVSKRWKESLPYLKSLYFPRNSFDGYTGSDSPDIVIWKMLSSITCLEELVVYSPFTSSGLASWLLLVHSSLKHLELRMDNITDYKACVDSPSKLDCVSAAKNLESLKLWGVLMANPPKWDVFKNLKSLEIVGARLEDSALSAALRACPKLTNLVLLGCEGVRSVSVELPYLEQCKLDFYGLGNCSLSLTCPKIELLEVQGCSWLRVRETTCLRKLLIANNSGRVYMVDFGKLSALESLSMRGVQWCWDAISKMLEWSSEVKHLYMKVEFTGDLESLLPFPEVDFVEFFNSHPKLQKFDIHGAMFAALCQKNSLKNVEQGFVIPCLEEVVVQVRSPLNAEQKMSTLESLLKYGKNLKTMVIRILQMKSNHSSSDDFFDEICRLRFMNRKIVRIE >KJB12956 pep chromosome:Graimondii2_0_v6:2:4709777:4710728:-1 gene:B456_002G053100 transcript:KJB12956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSSFPSAFSTLFGELPKETWCCSDLYNWEGFWYASSHLPAAMAARLNFQAKDTDVFLTSSMKTGTTWLKAIIPTIMNPIGCMNDDNNDPLLKRHPNELMPSLEFQLFKENPNPDLSYMPSPRLFRTHVPYPMLPESVKNSTCKIVYITRHPKDTFVSLWHFLNSLVTTHGNDPWPMNEAFDSFCRGVHAFGPFHDHVLSYWKESIKRPEKILFLRYEDMKKDPKVESRRMALLWRCSLERLKNLEVNKHGADPWLGFEYKFYFRRGSVGDWKNNMSNEMKEKLDHITAMKFEGSGLGFGN >KJB12172 pep chromosome:Graimondii2_0_v6:2:252342:254540:-1 gene:B456_002G004500 transcript:KJB12172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRTDQNFPHKMEIGCFSLNPEAQEFFPTRYTLVPAPSFPILQTINPPYNLLYYYLPLQYPFAFTAPPPPRHQNAVLPHSSSLETDVVLVTEPFKAKKGFNRNKYHWKGRRGCGGAARAARKKEWRAKPCFNGDDDDDDQASFECTRKVQYWAGGEKQPLIPLQSGGSETTIMIKNIPVRYTREMLKEFLDQHCMVTNREAKSNANNEEPSLSAYDFLYLPIDFVTRSNKGYAFVNFTTPIAARKFYDACDDKQWECFMSNKIRQIYCAKLQGINELLKHFEKMGFPCEDFQPLCFNPARDGSEQPVEETVVGRCIGSWCTNSKS >KJB16044 pep chromosome:Graimondii2_0_v6:2:55696559:55697876:-1 gene:B456_002G210200 transcript:KJB16044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSHRKPVKGRALSKSNQARSVGKKKRNVKASYASEDEDTTFTSEWNNCRRNIIDALRCYKKLWKDKRSRDKFSNQHKMSPEQGRCRGLALDGRVANILKVSGKWVNTIKQIGCFPGIKIGDEYHWRGELCVFGLHYNFQRGIDYISSVEGETLATSIVDSCRYDGGIISRVPCPNLTYTGEGENRDQMLEGGNLALKNNIHYKKPVRVIRKYNSDNNANASRYKFVYEGLYRVTRFDKEIESGFSVYKFQLDMLEDHQQYDSKWKQKRIWRH >KJB15211 pep chromosome:Graimondii2_0_v6:2:40241368:40243375:-1 gene:B456_002G165000 transcript:KJB15211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSSLLFRTLFGTLIVLGFILGISVGFLKSEGNEKKTTITSDDHQSSTTTAKVQHEEEQVKVLGQGKSNVAHPKQDLNYMSKRRVPNGPDPIHNRRAGNSGRPPGQV >KJB14745 pep chromosome:Graimondii2_0_v6:2:24927887:24929590:-1 gene:B456_002G141500 transcript:KJB14745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVKESEPNTTTMRKALLVINCIILSIGNCAGPLMMRLYFIHGGKRVWFSSWLETGGWPIILFPIACGHFYRSRTQPSSENKLFFMKPHLFIAAAVIGILTGLDDYLYAYGVARLPVSTSSLIIASQLAFTAGFAFVLVKQKFTSYSINAVFLLTIGSGVLAMHTSSDRPANESNREYVLGFLMTVGASALYGFILPLVELTYKKAKQEISYALVMEIQMVMCLFATGVCTIGMLVNNDFKVIPREAREYELGETKYYIVAICSAMIWQCFFLGAIGVIFCASSLLSGIIIAVLLPVTEILAVIFYNESFHAEKGVALALSLWGFLSYFYGEIKQSKENKPALETEMASVPNRQESV >KJB12615 pep chromosome:Graimondii2_0_v6:2:2039782:2041559:-1 gene:B456_002G027100 transcript:KJB12615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYSIFRTSLLHGRQAMASSQSFNLSVTKISTTLRFFSISSNEHSFTVTYLINKCGFSPESASRTSNYVHFETPEKPDSLIIFLENHGFSKTQIKNLIKRQPKLLYSDTEKTLLPKLEFLYSIGFSRPELAKLLSDYPTLLRASLEKRIIPSFNLLRNLFQSDDKAIKSIKRFAGILVSDFEKNLLPNMNILRGIGVPESNILLQLHRKPRTLMFNPVRLEEIVEEVKRMGIDTSRKKFLDVVFAMKSMTKSTLEKKIDVYKRWGWSDQEIHEAFRRYPLCMTVSEDKIMAIMDFLVNKMGYSSTLVAKQSSVLSRSLEKRIVPRALFAQELSSKGLVNDFKLSVLFDTSEKTFNKMFGDCFVKKAPELLKLYKENVEK >KJB13261 pep chromosome:Graimondii2_0_v6:2:7656247:7657429:1 gene:B456_002G0654002 transcript:KJB13261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSGCSKLHIAMFPWVAYGHFIPYLHLSNKLAHKGHKISFFLPKGVQPKLENLNQYPNLIRFFPLHIPHVDGLPPGAETTSVVPMNQQKYLAFAVDQTRDQVEGILKVIKPDMVFYDFWFWIPDLARQLGILPIFYVVVSSMIMSIGPSTKTLTKEMTVEEVTKLPPDYPSSIVKFKAEEAAVLLFGAEDFGSELSFGERIRTAVSGSDAIAFRTCRETEGPFCDYVARGYGKPVLLSGPCFPETKTQQLDEKWVSWLSQFEPGSVVFCSFGSQSVLQKDEFQELVLGFELCGLPFLVALKPPQGFPTVEEALPEGFQDRVGGRGLVYGGWVPQEQLLHHPNIGCFVNHCGYGTMWEFLLSDCQIVLIPEIGDQILNTRLMVEELKIGVEVER >KJB12744 pep chromosome:Graimondii2_0_v6:2:2603064:2606238:1 gene:B456_002G034400 transcript:KJB12744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISTDVKTGCKVQTKSWKSPDDPSNGNFVQGIEPFSIPKGVIWNNNQIYFRTGPWNGRIYIGLINVNRVYFDGFYVVADDVEKTYYETYEYSTDSRLIYFELESNGRYVERIWDAGKADWINGYSSYLTDCDVYGKCGAFGICDSTKRPICSCLKGFKPRNIEEWSRGNWSSGCFRTTPLHCQRDNNNGSEAGQGDDGFLKLKKMKVPAFPDQSSITNGECKDQCMKNCSCVAYAYDAGIGCMLWSGDLIDVQKFSNRGVDLYIRLPSSELGKLVFHIDHVCFINHVSGLADKWNRESSIGVKLQQLPLFNFEELATATNFHPQKKLGQGGFGPVYRGTLDDGKEIAVKRLSKASGQGLEEFMNEVAVISKLQHRNLVKLFGCCVEAEEKMLVYEFMPNKSLDAFLFDPVKQKLLDWRKRFNIIEGISRGLLYLHRDSRLKIIHRDLKASNVLLDQELNSKISDFGIARIFGGDENQANTKRVVGTYGYMSPEYAMHGRFLEKSDVFSYGVLLLEIVSGRRNTSFYNKDDLSLLGYHYSKIYF >KJB13871 pep chromosome:Graimondii2_0_v6:2:12596577:12598505:1 gene:B456_002G099200 transcript:KJB13871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNQPLVSGSATPKTQTSNVDRPKKRRVRRVRSAPLAHFAPTDANGDDDALLRSESIFGRFNPTLKKVALSLTVIVYMGLGTICFYTIRNQIKGKKTNAILDALYFCVVTMTTVGYGDLGPNSNLEKLLACAFVFKGMALIGLILAKAADYLVEKQEKLLFRALHMYKKDGEIEVLKEVEHNRVKYKFYTTSILLVVFVVTGTFFLYKVEKLDLVDTFYCVCSTITTLGYGDISFSSKGGRVFAVFWTLTGTICVAKFFLYVAEVNTENRQRALVKWVLSRRTTSLDLEAADLDNDGVVGAAEFVLFKLKEMGKICQQDISVIMEEFEELDLDQSGTLTVSDIALAQSVETRSS >KJB13188 pep chromosome:Graimondii2_0_v6:2:7044216:7047195:1 gene:B456_002G060600 transcript:KJB13188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFIWLCQIRSLLLVLFFLSVVGCCLGLSISSLKPTPLCLPEDASAFLQFKNTMSIDYSSYDSSCYPKTSYWNESTNCCSWKGVNCDKASGQVFGLDLSCSLLVGSLSPNTSPFRLQGLKRLNLASNDFNASPIQSGFRSIPASIGNLTKITFLDLSLNEFEASLPTHVTGLQNLNEFFLNDNSLTGGITSWPFTLPSLKYLDLSNNSLTGPIDKIQKPNSVQEVYLAYNHMHGEIQSSFFNLANLIELDLSSNNFSGLIKSDMLLKLKNLETLVLSSNNFNGAIKLDVLSKLKNLSMLELSHNKLLSLSSDDGVNSTFQKLETLYFSSCNVQQFPNILRSAKSLRYLDLSNNAIKGSIFKWESEEFWISNNNFTGEIPPPICNLTFPQILDLSRNYLGGIILKCLGSSSYGSRIINLQKNIFKGKIPDFCADYNGLINLALNDNQLEGPLPRSLINCTFLKFLNLANNKLNDTFPRWLGALPALQVLILRFNRFHGLLNISGDIRPSFSSLQIVDLSGNEFNGVLPTTFFQNLNSLKHARNLSELVQESDKEGLTPLYALHFYDQVSVNVTWKKSEMELEYIRTLPIFTAIDFSNNRFSGKIPEAIGELRTLEVLNLSHNSFTGNIPPSLGNLVELESLDLSSNNLSGEIPFQMTKLTFLEVLTFSHNNLVGPIPHGNQFNTFENDSYYGNLGLCGFPLTKQCGNGEGSKPPAPKRKEAKGSPVAFIWKLVMMGYGCGVVLGLSTGYLVFTTGRPWWFVRMVERYWKPNVTRWICRIRGKRNKH >KJB15416 pep chromosome:Graimondii2_0_v6:2:45551800:45552349:-1 gene:B456_002G177100 transcript:KJB15416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYKQKKSQGPKGNRLLISVTVLGSAGPIRFVVNEEELVAAVIDTALKSYAREGRLPVLGSNLNDFLLYCPSAGSDALSPWETIGSQGARNFMLCKKPRTEKVKDDGKAAESINRKASGNWKAWFNKSLNLKISSH >KJB15988 pep chromosome:Graimondii2_0_v6:2:55304459:55307818:1 gene:B456_002G206900 transcript:KJB15988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQKVEKPKAETPINENELRITAQGRMRNYISYAMTLLQEKGANEIVLKATGRAINKTVMIAELIKRRIAGLHQNTSTGSIDITDTWEPLEEGLLPLETTRHVSIITITLSKKVLDSSSIGYQPPIPTDQVKASAEIEGNEGEDSADTQGKGHVGQGKYGGNINGGMVDHRNGGWDGGRGYGGRGWGRGRGRGSRGRGRGYGGGNMQRDSGYYNGNDPSGPLPGQGRGGRGRGRGRGRGRGPPGQGFRSDGPFQKAA >KJB15989 pep chromosome:Graimondii2_0_v6:2:55304528:55307818:1 gene:B456_002G206900 transcript:KJB15989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQKVEKPKAETPINENELRITAQGRMRNYISYAMTLLQEKGANEIVLKATGRAINKTVMIAELIKRRIAGLHQNTSTGSIDITDTWEPLEEGLLPLETTRHVSIITITLSKKVLDSSSIGYQPPIPTDQVKASAEIEGNEGGNINGGMVDHRNGGWDGGRGYGGRGWGRGRGRGSRGRGRGYGGGNMQRDSGYYNGNDPSGPLPGQGRGGRGRGRGRGRGRGPPGQGFRSDGPFQKAA >KJB15766 pep chromosome:Graimondii2_0_v6:2:52689128:52689661:-1 gene:B456_002G194900 transcript:KJB15766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEHKIFALTITFFLTITLGYGQYCSETVMRAVQQTGENSSAVMIARPTITQASSFQFGRLFAIDDPLILEPEPTLKLIGTAQGLYVSSSRDPVVFTLVMYADFTFTSGRFNRSYFSLFLRNLFLDKVRELAIVGGRGVFRMARGFSLTLFRINSIYGSPY >KJB13065 pep chromosome:Graimondii2_0_v6:2:4856977:4864312:1 gene:B456_002G054800 transcript:KJB13065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVPRFILGLTMAVLLPNFVVSFSTKTKIDTSTDQSALQALKAHVVSDPQKILETNWSITTSVCNWAGVTCGSQHQRVIALNLSNMLLTGTLPPQIGNLSFLTSLNLMNNSFHGSLPIQLANLHRLRFIELGENYFYEEIPSWFGSFPELQYLSLSANKFTGQIPSDMFERLPKLQSLNLGMNNLSGKIPIDLLKCKELQFINLESNRLEGILPEEIGNLTKLRSLHLDNNRIQGEIPQQIGDLLNLEQLTMSNNGLTGVIPHQIRNLLNLEQLSINSNRLKGPISPFISNLTRLTFLHLFNNSLTGQIPSDMFERLPKLQELHLGINNLEGILPKEIGNMTMLRSLYLDGNRIKGVIPHQIGNLLNLEELSISSGLLKDFLPPYHIFPVVFVPLSGDIIYLEEVNISRGLLEGYLPPSIGNLTRLRILDLSHNSLT >KJB13892 pep chromosome:Graimondii2_0_v6:2:12756220:12758376:1 gene:B456_002G100600 transcript:KJB13892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLPRILLVSLFLLFTSAENTSFDFSFFTVRNLTLLGDSHLKNGGLIGLTREIGVPSSSSGSLIYENPIRFFDQESNITASFSTSFSFSISNLNPTSFGDGLTFFLSSDNLTLGSPGGYLGLVNSSQPTKTRFLAVEFDSKLDTEFNDPNGNHVGLDINELDSIITADALLQNIDLKSGNLITAWIDYKNDLRVLNVFLSYSTVKPPTPLLSLEIDLSHYLKDDMFVGFSASTEGSTEVHLIYNWSFRTFGFLPVRSRSHPHNVSDSSVSAITGIPVSNSTKKHHKRFGLGLGIAGPAFFFVVLAVFGYVSVKKWKGMKVEKCLKAEILTGPREFSYKELYAATRGFHSSRIIGRGAFGNVYKAVFFSSGAIGAVKRSKHSHEGKTEFLAELSIIAGLRHKNLVQLQGWCAEKGELLLVYDFMPNGSLDKLLHPEPENGILLTWSHRQNIAIGLASVLAYLHQECEQQVIHRDIKTSNIMLDGNFNPRLGDFGLARLMDHDKSPVSTLTAGTMGYLAPEYLQYGKATEKTDVFSYGVVILEVACGRRPIEREVNSQKMVNLVDWVWGLYGQGKIIEAADKRLNGAFKEQDMRKLLLVGLSCAHPDSAERPSIRRVLQILNNEADPIPVPKMKPSLTFSCSLTVEDLVSDDEESKTTA >KJB15110 pep chromosome:Graimondii2_0_v6:2:36247713:36249003:-1 gene:B456_002G161000 transcript:KJB15110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSGQLHVEVELKSLAEKVWETIRDSTKIFPQALSHDYKSIEVLEGDGKAPRSIRLINYAEGSPIVKVSKERIESVDEAEKIYVYSIFDGDLMKYYKTFIAKINVIPKGESSLVKWSCEFEKASEEIPDPSVIKEFAVKNFVEIDDYLHTKA >KJB16977 pep chromosome:Graimondii2_0_v6:2:62015703:62018198:1 gene:B456_002G258300 transcript:KJB16977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLLTTFSMENHHPSTLLSMDSSAHDEFDLDKSRQSILSRPPDINLPLSAERSPPPQQPWNSDQCDILDVGLCSQAYETESYLPVSKAGRKCAKRVDSIWGAWLFFSFYFKPALNEKSKAKIVRDSNGVSGFDKLDLKLDVFMVQHDMENMYMWVFKEKPENALGKMQLRSYMNGHSRQGERLFPFSVDKGFIRSHRMQRKHYRGLSNPQCVHGIELVPSPNLMALSEEDRKKWVELTGRGLNFTIPPEASDFSSWRNLPNTDFELERPPIIKSVLNLSTQSSSHMDSDGTDLSLVSNKRRKDDDCYLPVIPPSDRIPDMEIHPSEPHWLNDFSGVMKNAYGPVTAAKAIYEDKAGYLIIISLPFVDLQRVKVSWRNTLTHGIIKLSCVSTTGMPFIKRHNRTFKLTDPAPEHCPPGEFVREIPLSTRIPEDADIEAYHDGPGSVLEIMVPKLRMVPEEHEIRVCLRPKHVGNDLMLT >KJB15227 pep chromosome:Graimondii2_0_v6:2:40942196:40945016:1 gene:B456_002G165800 transcript:KJB15227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAGFAVIVEIPFSLRLISYLQGAEVAKSRNLQSIHSIFPFLEDKFSHLNYVLVALIPHPIHLDILVEALRYLVKDASSLHLLRFSLYQEKSILASKDTSLLINKWKYSFVDLWQYYFYFWSQSGRVRIDQLSKYSLDFLGYLSSVQLNPSVVRSQMQENSFLIDNAVKTLDTRIPITSLIGSLSKVKFCNTLRHPISKPTWVDSPNFDFIDRFVRISRNLSPYHKRRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATFIFTIAFITAPTIDIDGIREPVYRNNIISGAIIPTSVVIGLHFYSIREAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSIHLGMRPWIAVAYSTLIVTATTVFLIYPISRGSFSDGMPLGISGTFKFMIVFQAEHNILMHPFHMLGVASVFGSSHSVLCMVPCCSWLFWSLIFQYASFNNSRSLHFFLAAWPRVGIWFTVLGISTMAFNLNGFNFNQSIVDSQSRVINTWADIINCANLGMEVMHERNAHNFPLDLAAIEAPSTNG >KJB12856 pep chromosome:Graimondii2_0_v6:2:3263375:3266831:1 gene:B456_002G039900 transcript:KJB12856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFVLFLILFPGASVARHPFNQDCGSTFCGNLNISFPFRLKNQPPQCGCHDLELECENNNHTSLVLREGKFSVQNIFYENETIQVVDSSLDKNDCNSLPLSSVTYHYNTYSSYNNKRESQPSIMNVVNCTKLIRSSQYIDASRCTTKSNTSSPPTSFFYFLDENTVLNLNQACTVEAVVPIMVKNISGMSTLAIYNKLSEGISIILRPFFGIPCLLVLVIYKWSRRHLSMDDKIEEFLQSHNLAPIRYSFKQVKKMTKNFKDKLGEGGYGSVFKGKLCSGHHVAIKLLCTSKGKGEDFINEVASIGRIHHANVTKLIGFCVEGSKQALVYDFMSNGSLDKIIFSEEKRNTLGWKKLFDIVLGVAQGIDYLHQGCDMQILHFDIKPHNILLDENFNPKVSDFGLAKLYSVDDNIVSLTAARGTIGYIAPELVYKNLGGISYKADVYSFGMLVLEMVGRRKNLNAFANHTSQIYFPSWIYDRLDQGEDMELGDISDDEKVMIRKMIITAFWCIQLLPSDRPSMNKVLKMLESNVELFEMPPKPFHQVPLETSTEVDNCENSNDEESRSLDVVTITSFNVV >KJB15721 pep chromosome:Graimondii2_0_v6:2:52087595:52089121:-1 gene:B456_002G192500 transcript:KJB15721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNGIVADEENNFTHNRVLPVASTPTPGQIKEESDAKVFLSTKVLGLEELLSLEVWRASLAELLGTAVLVFALDTIVISTLQTETKTPNLVMSILIAFVVAVLLLATYPISGGHINPIVTFAALLTGLISISKAAIYILAQCVGGILGSLALKAVVNSAIERTYSLAGCTVTIVVPGTDGPTVIGMGNSQALWLEIICSFVFLFASVWMAFDRRQAKAVGRVIICIILGVVLGLLVFISTTVTATKGYGGAGLNPARCFGPAVVRGGHLWNGHWIFWIGPTIGCVAFALYVKMIPREHTHSY >KJB15918 pep chromosome:Graimondii2_0_v6:2:54618213:54618512:-1 gene:B456_002G203800 transcript:KJB15918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLQSLLSFISGPEALSRWSLVSKLMHQKRVRCEEEEEKTNEIENAKATLRSFIKSGHVKHVENVQNELQNSELYIQDLEEGLESFFRHLIKARVLAL >KJB13818 pep chromosome:Graimondii2_0_v6:2:12121983:12123813:-1 gene:B456_002G096000 transcript:KJB13818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRREGFNMLVKGLICIAVVPLLATVCMGGELSESQSLLSFIRSVDPQRKLGAYQWNEFSTSPCLVKSEVVKCNLQGTSIIEIRLESLNLSGVINADALCKLQSLEVLSLARNQIHGTIPRSISYCKRLRYLNLSSNSLSGRVSWSTLTKLKYLKSLDISNNHFTNQEFEHVYKYSQLNATVQNEIVAGTPGESNNNKSNTLLWTLVPLFLGLGFFFMFLYCMGKILGKRRKANEIPMAIKESPLKHVPVNAIQELKPEGRHQELVFFVEDHERFKLDDLLDASANLRSQSLYTSLYKVILKNNATYAVKRLKKLHVSFKEFEQTMRRIGNLKHRNVLPLVGYSCADTEKLLFYKYQSNGSLLSLLQGYIEGKKEFPWRFRLTIATGIARGLAFIYQSSNDQDSIPHGNLKLSNILLGENMEPLISEYGISRLLDPKKNCLVSSNGYMAPEKSLSEQGDVFSFGIILLELLTGKTVEKTGVDLPKWVGSMVREEWTGEVFGKDVTKDSMQWAFPLLNIALKCVSHSPKDRPTTAEVLQKIDEALFAHEDRTVSSMSSWESGPRDCCMLHSVIPETWDTPGSNY >KJB14562 pep chromosome:Graimondii2_0_v6:2:20569991:20571913:-1 gene:B456_002G131300 transcript:KJB14562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTEGNEISNAQDTAHERPTPKYAECLDDIEVATYTRRNRKKTSIVWQELTVVKFADGIEKVQCNYCKIKLAKNKDGTTTQYKRHLNGWTGLFKEKVCSISVDDASYNDAAVKMFKGNLSFHKRLSLNGKLFHVCCCAHILNLLVHDGLSKIEDVKESVKHMTTFTVRLTMFNDIIKQLQLPNKRLILDYCTWWNATYLMLSCVLEFKDVFPWYAQRDVSYKYFSSDEDWVRVKEVCSFLTLFNEVTNIISSSEYPTSNLFLFELWSIKELLMEKSLSKKLWIRQMTNKMSVDTVDNLKSELNIYLEGVFICKENCGDFDALEWWKVNNLKF >KJB12698 pep chromosome:Graimondii2_0_v6:2:59761095:59762401:1 gene:B456_002G236400 transcript:KJB12698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNKKKLVLNTVSVSLGCSSSCLKPKLTSFFNPKPKLKLKIKPKSHSLCNNYSSSSSSTKKTTHCSLSENETGTSFSPGTDTAMYWDMDIDTDGPDSASKCSMATVRGFGHVGGDSLAVEKDSDDPYLDFRQSMLQMILEKEIYSKDDLKELLNCFLQLNSPYHHGIIVRAFTEIWNGVFSVKPGSGTGTSPEMRFGLRPRQF >KJB15874 pep chromosome:Graimondii2_0_v6:2:54105440:54106698:-1 gene:B456_002G201000 transcript:KJB15874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRGADLSAITSFRKIPKRGLFRLLSFAAFNEDDDYNFFLGGGVKGTDDEEDDSDDEYLDFYDDIHDGDGDYNDDSDNDDGDYDNEDAESGDDDDCNDDGDNDDGSNEC >KJB12536 pep chromosome:Graimondii2_0_v6:2:1664413:1665468:1 gene:B456_002G023300 transcript:KJB12536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIRAKKIQAMKKYRKTQFSSHNFLHFVAVFGILILSSFWSVNPSLCSSMKQFVIISLPCIWSSFFNPKCLFIVVNVIVVFLVGESGLVGSKVSPGRDVYDEYVERNRRVKGVSVSTTVPREVGDYKAMDSEEGKGGFDQTEEEEEKGLFEVKEVITSEAESGEEEQTREVEEEEEKGSFEVKDVITSKVDPLEEERTCEIKEEKGLIEVKETKTWKVEHGRSIVEDHQEIELPEFNKRVEEFIARVNKQRWMEAQSLGSCKV >KJB13525 pep chromosome:Graimondii2_0_v6:2:9621997:9624525:1 gene:B456_002G079500 transcript:KJB13525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPKNTHKPTTDYNLELKNKKILQFIEDVTSNADEVQKKVLQEILSRNAHVEYLQTHGLNGHTDRETFKTIMPVITYEDIQPYVDRIANGDTSPILSSHPISEFLTSSGTSGGERKLMPTIEEELGRRSLLYSLLMPVMSQFVPGLDKGKGMYFLFIKSEAKTPGGLVARPVLTSYYKSTHFKDRPYDPYTNYTSPNETILCPDSYQSMYSQMLCGLCQHKEVLRVGAVFASGFIRAIKFLEKRWRFLCNDIRTGTIDSQITDQSVREAVMKILKPDPQLADFIEAECSKDSWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYTNGLPLVCTMYASSECYFGVNLNPLCKPSEVSYTLIPTMAYFEFLPVQRNNGVNSSISVPKTLNEKEQQELVDLVDVKLGQEYELVVTTYSGLYRYRVGDVLRVAGFKNNAPQFNFICRKNVVLCIDSDKTDEVELQNAVKNAVNHFLPFDATLAEYTSYADTTTIPGHYVLYWELSLNGTTPIPPSVFEDCCLTIEESLNSVYRQGRVCDKSIGPLEIKIVEPGTFDKLMDYAISLGASINQYKTPRCVKFAPIVELLNSRAVSSFFSPKCPKWFPGHKQWINMN >KJB13415 pep chromosome:Graimondii2_0_v6:2:8527466:8528195:1 gene:B456_002G073200 transcript:KJB13415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPISNWTNEPSKPSQTKSGFGLDQRMGRGKTVFGGMERERKSDPKERKRRHGLGGGRKESWGLVMSLCGRSEGEN >KJB12682 pep chromosome:Graimondii2_0_v6:2:2288086:2292765:1 gene:B456_002G030900 transcript:KJB12682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHGELPIPIYSSLEPVYGEGSQLEEAKLRFGKLKSRFLEVFGHPPEVFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKRDKGEAEKLLRIANVNDKYTTCTYPADPNQAIDLKNHRWGHYFICGYKGYYEYAKSKGVDVGVPVGLDVLIDGTVPTGSGLSSSAAFVCSSTIAIMAAFGVNFPKKEIAQVTCDCERHIGTQSGGMDQAISVMARNGFAELIDFNPIRATDVQLPAGGTFVIANSLAESKKAVTAATNYNNRVVECRLAAIVLGIKLGMKSQEAIAKVKTLSDVEGLCVKFAKGHGSNDPVLAVKEYLKEKPYTAEEIEKITEKHLPSILGDNPTSLDVLKAAKHFKLHQRAAHVFSEANRVHAFKETVESKLSEEEKLKKLGDLMNDSHHSCGVLYECSCPELEELVKVCRNNGALGARLTGAGWGGCTVALVKESIVPQFIAKLTEQFYKSRIDKGMINKNDLGLYVFASKPSSGAAIIKF >KJB12302 pep chromosome:Graimondii2_0_v6:2:676114:678520:-1 gene:B456_002G010600 transcript:KJB12302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEKVDFSLKETSPNIGSDRVSGGEKLTSSFDLVEKMEFLFVRIVRARELPLKAVNGIIDPYVEIKIGNYNATTKYFEKKPDFEWNQVFAFGQDRLQATTMEITVRDKELIIGDNMIGKITVALHEVPLCLPPDSPLASQWYKLEDKNGFTLRKGELMLAMWYSTQADRVFTDAWHSDSAIVSGESLLNTRSKAYLSPRLWYLRVNVIQAQDLVPGSKDRNPQVYVKAVVGDVILRTRVSPDKNVNPQWNEDLMFVVAEPFFDSLIVTVEDRLENNTVRCLGKCVIRLSNVERRLLPLPADPLWYTLEDIVFEDGMEKEVNFFGKLNMCVNLDGGYHVFDESVHNGSDYRPTAKMLWTAMIGVLELGIINASSLQPMKLRDGRETTDAYCVAKYGPKWVKTRTVVDSFDPIWNEQYSWDVYDPYTMLTIGVFDDCHLHGRDAVGDGKDPSLGKVRIRLSTLATNKIYTYSYPLLVLQPSGAKKMGELQLAIRFTCSSYLSLFLVYTMNPLLPQMHHIYPLSIYQLDILRKQAVRILCSSLSRNEPPLRQEVVEHMLDGGSQMWSLRKAKANFQRVLATFKCFSNARQWFDEIRKWNNSAATVLVMAIYCIIVFKPDLILPTVTLYSIQVMILQWRKRPRRPTHIDVNLSVAGFVTADELDEEFDTFPSSRQFDVLRMRYDRLRSIAGRVVTVISDIATQVERFHSLLNWRDPRITMMFLVCCLVGSFMLYYLISLKVFLIFGGFYVMRPPFLGKDVLNAPQNFFSRLPTKADYML >KJB12318 pep chromosome:Graimondii2_0_v6:2:774241:777209:-1 gene:B456_002G011700 transcript:KJB12318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGHENGAVSIRPADNLAVFEVSKKPKKSKFALGCAMLASLTSMLLGYDIGVMSGAIIFIKKDLKISDGQIEILVGILNLYCLVGSIVAGTIADWIGRRYTILLANVIIFVGSLFMGFSVNYAFLMVGRFIAGIGVGFAIMGAVLYNAEISPASSRGFLTSFPEVCINVGILLGYVSNYAFSKLPTRIGWRLMLGAGATPSIFLVFAVLVMPESPRWLVMQGKLGEAKVVLEKTLDTKEEAQLRLNDIKEAAGIPQDSTGDVVVVQKQTNSNGVWRELLLHPTPTVKHILICTVGIHFFQQSSGVDAVVVYSPRIFEKAGITSSNEQLLTTVAVGICKTIFILVATFLLDKSGRRALLLISTGGMVVSLATLGFSLTIINHSEAKLTWAIALCITMVLSFAAFFAIGMGPIAGIYTSEIFPLKLRAQGISLGVAVNRVTSGIISMTFLSLYQAITIGGAFFLFAGIAAVGWLFFNICLPETRGKTLEETERLFGNLVGWREVDKKMKEDNTKGTTHGQEGSDG >KJB11861 pep chromosome:Graimondii2_0_v6:2:20975322:20975887:1 gene:B456_002G133300 transcript:KJB11861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSSWKLHVLMNWILLPSQCSQISVGFLDPTPTATILIEVIQIPNFSVDVYNLGGWPFQLFIFVGK >KJB13828 pep chromosome:Graimondii2_0_v6:2:12172927:12176732:-1 gene:B456_002G096400 transcript:KJB13828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCRSWSELPKELISYIAKCLDTRTDVLRFRAVCCSWRASVPLPPKSPKLPMHFSLPVNDPRKPIVSAVISQTVVYRIAQPGFHAHPNRKSCFIRVEETEQENKFHLVNPFSERLIKHMPANFPKEINHLNFRVEELTKGFSIRLLKDDGSSDLHDNNFWTRKLKLASDFDSSYKAISISSGQLRRARLDTYTTDIHWCSLDTEVHYRDITNFDGKFFMVNIYGVILGMDESFYTLDEVHTSLEQKDWECERYFVESCGHLYLVVRDFLICPTLVQYNDTLDAFMTEAKDANVPVKFKVYKRIPSGDQRSRFCWVEVNDLGDRAFFVSTDCSFSFLTRDYTGCGGNCIIYVDEIDNVERLRKFEPIEADNDKEGLKQLKNGKVRLYNLADQSSAPLALFPHYLDMFWPPPTWLKWDQGPSNA >KJB11955 pep chromosome:Graimondii2_0_v6:2:60717322:60718341:-1 gene:B456_002G2429001 transcript:KJB11955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGFLLQALIPSWNSVAVLLIFFAYLAIAGSILPGKLVPGATLQDGSRLYYRCNGLRALILLVGLLGIGSKMNFVSPTVISDRGLELLSATFIFSFL >KJB13358 pep chromosome:Graimondii2_0_v6:2:8123840:8127154:1 gene:B456_002G070400 transcript:KJB13358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRSCVRVFPHRSFCTNTCFVQKKLFDLVSIYSLNQSIECGELVTRLTFFSVKPVSSVLHSYSDHCFSIVPEPVPISEPPGYEFGSFGPSQSGFYYSDGDFRVVSSNITRYTNSFSFYTAAVSQTDKDGVFMIEGSFELQSPFVLQSLLYGSGSSKGSVSTPHIPPTVADYTNPFILKLHGFWYESLGELCMVGTGSSYLKEGTLLTPVAVLKLHNIKTSSSITSLITGTLESLSPSNDSNYFEPISILLFPQLNYKFTFVSGDSADELSDGSDPEKNLPVYDVLPGRSFCSKFTSLVFRNVYNLQYTGCRSNKKCLPRDGVIANLPGSMSLSAVDCSDVLKRVQILLTFDDNIKLSRHEKRFDPNTTLIGEGIWDDKKNQLHVLLCRFLDIGNSWSNAHVGDCTTRLSLRFPAIWSIKETSTIMGQIWTNKTVDDSGYFEKVVFRSTENRIDTLYRSKYEYTELDRVSNLCTEKKLARNKRQRYPSPKFSGMRFSISVKSSKGRTGWGFADALTVNNQLYKHTHLMFAAINDDFERLTRWEPQGRVNISYIIDIKWHTPPKLTNEGSASIALDEKMEITAEGIYDADTGGLCMVGCRKIASIDQFGNASMDCDIILNFQFAPVKGFKNERYIRGRIRSIREKSDLLYFHPLDVSSVAYSREQARHTIWTMDLEIAMVVISQTLVCLFVRSQLYHSKRQPNRLPFTSLVMLVIFTLGQLIPLVLNYEALFHQKNDQGTVLFQTGGWLEVNEIIVRITSMVAFLLQLRILQQAFLSRSNNRNGKGLWFAEKMTLLVTLPLYLYGAFVVLLADRGNYRCDTVLLPTRPVDYWQRSTWDDLKSYAGLISDGFLLPQILFNIFSSSRENALSPSFYIGTSLVRWLPHAYDLYCDHSYVEYKGTSIYANPAKDFFSTAWDVVILIGVLLLAAIIYLQQQFGGCCIVPKRFSWRKSYEKIPA >KJB14635 pep chromosome:Graimondii2_0_v6:2:21865976:21867723:1 gene:B456_002G135000 transcript:KJB14635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELETVAVAEVGQKRSRKGGLKQGKANGGGDHGGDQSEHEMHIWTERERRKKMRNMFSSLHALLPQLPAKADKSTIVDEAVTYIKNLQQTLQTLEKQKLEKLRNSAKVDYDQSSIITSQVQPPESREAFFTDNQGPTNNYPVAIDMSQTFPAQASQACFQTWFSSNVVISMCGDDAQINVCSPRKPGTFATILYILEKHQLEVVSAHISSDQYRTMYMIHVHAGGASEQFPELLSIEETFKLAAGEMNLCLFSC >KJB11910 pep chromosome:Graimondii2_0_v6:2:2184999:2186124:-1 gene:B456_002G029400 transcript:KJB11910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPSEKGSNSKDPNRKPPETISPKITQNQIICNEQIKKTCANCGTSKTPLWRGGPAGPKSLCNACGIKSRKKRRAVLDKKSKNPKNLGDNLKQRLISLGRKVLMQKSTVENQMRKLGEEEQAALLLMALSYGSVYA >KJB12129 pep chromosome:Graimondii2_0_v6:2:100627:101826:-1 gene:B456_002G001900 transcript:KJB12129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQSNPEVELPGFRFHPTEEELLEFYLRNIVYGKMLSYDVIGFLNIYHHDPWDLPGLSKVGEREWYFFVPIDRKHGKGGRPNRTTKNGFWKATGSDRKIVSLSDQKKMIGFKKTLVFYKGRAQRGCKTDWVMNEYRLPDATLPKDIVMCKVYRKATSLKVLEQRAAMEEELKNTSLPSSSSFSSMDTISFCTPKQDLVVFKKEEDEEAMVKEKRSDGIAKEQKKISSASLQLPLGNENMGQLQIPKMLTDWTQDQFWAQLNSPWFQNLTPYVANILNF >KJB14861 pep chromosome:Graimondii2_0_v6:2:26982267:26982712:1 gene:B456_002G146700 transcript:KJB14861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPPFTIPWERSTGFITTPLTTGHLPSQHLDPTLPKLFWFTPTFPTCPTVAEQFLDIKWTSPEGYFNVANFPSFVISFATAPAALVNCPPLPSVISILCMAVLKGISVEVDSFLIDQSKPLPKLYKLLPKHMTFWM >KJB15715 pep chromosome:Graimondii2_0_v6:2:51954919:51959821:-1 gene:B456_002G192200 transcript:KJB15715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALFNFHSFLMVVLLGICTCTFLKMQFPAILEQRTGFRGFFWKAARIGERLSPWVAVGCFTMGVSIIFF >KJB12215 pep chromosome:Graimondii2_0_v6:2:413839:414344:1 gene:B456_002G006400 transcript:KJB12215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHILHMELIFSKLLTQTDIERRLSVPTRILYLFPYTATDHRCFDLQVKDTGDVVWSFRCIRRDGVYAKPVFSKGWLQFVYAKGLKAYDKIVVYKAKGVVEGDVPYRIEVKRKILRLMGEDIWIEIEHLHLYS >KJB14684 pep chromosome:Graimondii2_0_v6:2:23147335:23151294:-1 gene:B456_002G137400 transcript:KJB14684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQMQKTSVFIEQSPGDYENGDIQKNLDDDGRPKRTGTWVTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVLMAFSFITYFTSTLLADCYRAPDPVHGKRNYTYMDVVRAYLGGRKVQLCGLAQYANLVGITIGYTITASISMVAVKRSNCFHKHGHHVKCQTSNYPFMIIFACIQLVLSQIPNFDKLSWLSIVAAIMSFAYSSIGLGLSIAKVAGGEHVRTSLTGVTVGVDVSGSEKVWRTFQAIGDIAFAYAYSTVLIEIQDTIKSSPPENKSMKRASSIGVSTTTLFYVLCGLIGYAAFGNDAPGNFLTGFGFYEPFWLIDFANICIAIHLIGAYQVFAQPLFGFVEGWWSRNWPNSKFITSEHAVDVPFYGIYYLNFFRLVWRTAYVIITAVVAMIFPFFNDFLGLIGAGSFWPLTVYFPIEMHIAQSKTPKYSFRWIWLKILSWVCLVVSLIAAAGSVQGLIQSLKTYKPFQTQE >KJB13844 pep chromosome:Graimondii2_0_v6:2:12243374:12245335:-1 gene:B456_002G097100 transcript:KJB13844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNIISMFLPFNILLTILLFSFIITRLVKTLKAKKPSPRLPPGPWKLPLIGNIHQLVAVSIPHFTLRNLALNHGPLMHLQLGEVSTVVISSPEFAEEAMRKNDIIFASRPYQLAPSIISYDCTNIVFSPYGSYWRHLRKICVTELLSAQRVRSFRRVREEMVSNLIKTISSSQGSPINLSDQIFSLTYRITAAMAFGSKCSEEEKFKSIITKVTELSTGLTLADFFPSIKAVEVISGIRPKLEKLHGEADRILENIISEHKERKIEGNGSGEDEKDIVDMLLDLQQHGNLDFPLSSNNIKSVILDMFAAGSETSSISVEWAMSQLLKNPSLMEKATAEVRQVFNGKGYVDEARFGELKFLKLVIKETLRLHNPVPLIPRECRENCKLGGYDIPAKTKVLINSWAIARDSRYWSEPESFNPERFLDSSLDYKGTDFQYIPFGAGRRICPGITFGLANVEQQLAQLVYHFDWKLPNGMKCEDLDITSGPGLTTRRKHDLFVIPVPYHPSPLQ >KJB16854 pep chromosome:Graimondii2_0_v6:2:61432957:61434935:-1 gene:B456_002G250800 transcript:KJB16854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDGRGPSIWDAFVNTPGHIANNETGEVSIDQYHRYKEDVDLMQMLNFDAYRFSISWSRIFPNGTGEVNWKGVDYYNRLIDYLLEKGITPHANLYHYDLPLALQEKYLGLLDRQIIQDFADYAEFCFKTFGDRVKTWMTFNEPRVVAALGFDNGINPPNRCSKKFGNCTDGNSATEPYIAAHHLVLSHAEAVKRYREKYQAKQNGRIGIFLDFVWYEPLTRSKADNYAAQRARDFHIGWFLHPLVYGKYPRTMQKIVRERLPKFTKSEVEKVKNSFDVLCLNHYTSYYIYEPHQPPSNVTGYQQDWNAGFAYERNGVPIGRRVIIMQLYHELFQSIGAFYKT >KJB13012 pep chromosome:Graimondii2_0_v6:2:4410230:4415377:-1 gene:B456_002G051000 transcript:KJB13012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQIETLGILEEIQAIVSDKLQVVSYKWLSRNFLVSSNVAKRLLAEFVEKHGSGLEVVYSLSGWLKNSPSNYHIQLVTRHKLSEAKQEYDGNCRADVYSVQVCIPEDPAAIWNTEFLQAEELFKQPAPVENCLRDNRFCGISASFVKRNVDGTPARVAAAQPNNAGISGPSKQNSSQTNAALPSQQNKVLQSSSKVAQHTPIMVKDVKSDGIGEVHNLAVKPSTDKKKTTSLPSNKNKDQNGKSSTGNGGSLVTLLGRACTKPKCSSVPEVGSDSIQNFNGTVDAQICAREAVEDENSDLDAYEVNFRRASYGEGNKKRRVVFDFSDEDENEDAVNLASPDLPKRKSLLGSEQDSKTLVSERPNSIVDKPNKDEVNIKEETMTDGEPNRSLREETSLVSKRTNGRNSVVKLESQLPETAPNKMDEVTDAAPNSPKRRKVIKTHIDDHGREVNEVVWEGEETEVKKVENHMPKKVGSGTTNAEANTVTNTTNRPTAPKRSAVGSTAPSNPGGKAGNKKAGNAKDPKQGNILSFFKKA >KJB12770 pep chromosome:Graimondii2_0_v6:2:2807747:2810649:-1 gene:B456_002G036100 transcript:KJB12770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLILFEDIFVVDKLDPDGKKFDKVTRIEARSQNCDMFMHLDVNTEIYPMHVGDKFTMALSHTLNLDGTPDTGYFNPGRKSLADKYEYVMHGKLYKISDDSSGKGLKAEIYVSYGGLLMLLRGEASHVSHFELDQRLFLLIRKL >KJB15728 pep chromosome:Graimondii2_0_v6:2:52228520:52228960:1 gene:B456_002G193300 transcript:KJB15728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEKFVESDNVEDVLAKIENKSLKIEYKPIEAIKTMLKCYPPQDERCKSMNWIRVHEALMVIKDVDGMIRSLDPQYYDILMK >KJB12149 pep chromosome:Graimondii2_0_v6:2:159089:159540:-1 gene:B456_002G003100 transcript:KJB12149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQLYCIVRIHVVYLKEVDLFASFMNCLFCIIFPVPLLPRALHNRLDHIDISSTQDRSSKGSRRLTKARKLGSFRKWIPIRRVHNCMR >KJB15170 pep chromosome:Graimondii2_0_v6:2:39119838:39122646:1 gene:B456_002G163400 transcript:KJB15170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKEHSFISSLFICLLYLPVLVTAECSCENEVLSKDTGNKTKALKYKFVAISSILMAGAVGVSLPIMAKKIPTFGPQNNIFFLIKAFAGGVILATAFVHILPDAYQSFTSPCLSEKPWGVFPFTGFLAMVSVILTMMMDTFATSFYKSSHFDKALPVNGDEEMLGEHEGHVHVHTHPTHGHAHGSAESSHHLMITQRIISQVLEVGIVVHSVIIGVALGASQSAKTIKPLVAALTFHQFFEGMGLGGCISQAKFKSRALAMMLVIFSLTTPVGIALGMGISKIYNENSPKALVVEGIFNSLSAGILIYMSLVDLLGVDFMNPLMQTNLKLLLGSNLSLLLGAASMSLLAKWS >KJB16711 pep chromosome:Graimondii2_0_v6:2:60818675:60821101:-1 gene:B456_002G244100 transcript:KJB16711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLCCTIIPFHIIEMHPRVLRSFSLLLCVAIQVFVASQTANISLGSSTVASEDSPPWHSPSKEFAFRFRRINNQNLFLLAIWFDTIPDKTIICYDIGRFQLRLLTDGNLALNPVALPTKTAYSAYYVSGTNDAANESNSGFRLVLDELGYLNVIRRNGNIENLTTGSIPLPKDFFHRATLDVDGIFTRYAHPKFPTNGSNWVKFWTHLWSKPNDICTDFSNDLGGGICGIICHCLLGFSLSDPDDKFSGCKQDYVQICDPNASNPKELYEKKTLKLLVWRTSVIYEALIPSNKDDCRDACISDCNCVITITYSGSCWKKKLPLTSEWEDISTYGTVFIKIPKANRPLLKRIKQLQSILSGSSVFLNFLLKAASLIAFFCWYHKRPKHTAVSSILETNLQCFGFEYLEKATEGFREELGRGAFGLYTKGSTTLIAKEFVAEASAIAKTHHRYLVRLVGFCNEGRHRLLVYEFVSNGTLAIQIAFGIARGLAYLHEECGTQIIHYDIKPQNILLDDSFTARISDFRLAKILMIEQAKTLTAIRGTRGYVAPEWFKNMPITEKVYVYSFGVMLLDIMFCRKSLETERENEDEIILLVENDEEAKLDIRRIERLVMVGIWCIQKDLSLRRSMKIVTQMLGGVVQVSVPPCPSPFAFSSV >KJB15833 pep chromosome:Graimondii2_0_v6:2:53773074:53774011:-1 gene:B456_002G198800 transcript:KJB15833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEKKTWFWLDRTLLMCLLYFAVDGNKQHFIATLVALILHDFVVYMVKLLLQDIYALFSTLLHNLKLRSLNKSGTIEGGNYNSMREKEEVEIRTTVKKSEKEGEEGSMQVQVACETCRMFKEEEAMKAKGKYKDKYKKKLMQKEENFDRVKSTLKENYNEDRDKWEEERKLLKIKYEEMRWT >KJB14123 pep chromosome:Graimondii2_0_v6:2:15488147:15489904:1 gene:B456_002G111000 transcript:KJB14123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMTMLGAVQLGAAAACVVVVLIPMVMAGWHLSRNRILLYCGVLFITLAVCLHLTPYFPSVSDFVTSVSSVVVFDHRSTCINLVNDIAWEVKPNVSLHHFNPTHNVSSSLNLYEKRWDWSKSPKHDACDFQKLSKSDASDLLNGSWVVVAGDSQARFFTLSLLNLILGPQAKRMGSVRADLFKRHSDYSILVHEIGMKLDFVWAPYVVNLTNSMMGFKTKKSYPDVMVMGAGLWHMLHVNNASNYDFALRMLKSSVVSLLPLTASASIKSPHLFWLGMPMLINGMLNTQEKREKMSDRIWHAYDRALGDSRLLRQTGGPLVLLDIQSMTWNCGPRCTSDGMHYDLVIYEAAVQVMLNALLIESHQSL >KJB12837 pep chromosome:Graimondii2_0_v6:2:3077722:3079801:-1 gene:B456_002G038500 transcript:KJB12837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQNIFPCIFFSILFCVSSTVAQGARTFNVKYYGAIADGRTDNRKAFLRAWMDACDQKGVSIVYIPKGVYMLGSVEFAGPCRGVTMFFMKGDLRAPRGAFLNVETWLGFRYVDNLIMKGGGTLDGQGQSAWPFNQCHKNNNCQTLPISVKFDFVTNSRIKSIRSIHSKNAHFSFFGCTNINISNVELLAPDYSPNTDGIKMGSSSNIRISYSKISTGDDCIAILSNTSNIDISNVYCGPGHGISIGSLGKYTNEKNVNGVSVRKCTLNGTDNGIRIKSWESPISITASNFLFQDIFMYNVRNPIIIDQTYCPHPPCNRQTASHVQIRDVTYRNIFGTSSSEIAVSMQCSKKFPCKNIVMTDIKFGHHGTKKSLKSYCSYVNGRSFGRQYPPPCF >KJB13847 pep chromosome:Graimondii2_0_v6:2:12300680:12302857:-1 gene:B456_002G097400 transcript:KJB13847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQFFSFPILFTFSIFLLMLVRLGKRLRSNKTLNLPPGPWKLPVIGSLHHLAAGPLPHQTLRDMAKRYGSLAYLQLGELPTIVVSCPQTAAEVMRTHDIIFASRPSLLTSTILTYNATDVAFAPYGDYWRQLRKICVLEMLSLKRVQSFTPIREEEVSKLVRAISSKAGSPINLSQMLYFLTFEIVLKTAFGGKCKERDEFTVLFRDVLRLGAGFNVADLFPSLKFFEYLTGLRPKLERLHQKLDKIFASVINEHKASKGVEGEADDLVDVLLNLQENGDLEFPLTTDNIKAVILDLFIAGSDTSFTTLEWAMSEMLKNPRVMKKAQAEVRQVFNRKGNVDSEGLHELEYLKLVISETLRLHPPLPLLLPRECSRGCKINGYDIPVKSRVIINAWAIARNTEYWSEAETFYPERFVNSPIDYKGANFELIPFGAGRRMCPGMLYGMANVELPLAHLLYHFNWKLAGGVKMEDLDMDEVFGAVVKRKNDLCLVPTPYSSPKS >KJB15409 pep chromosome:Graimondii2_0_v6:2:45828554:45830782:1 gene:B456_002G177700 transcript:KJB15409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSQIHLIPRFQLLFLIKIMEAASLSGVLSYTKLCGSSLCYSDDRFSRNLHLQAICRVGVYTLGENDVVESHHSHAMEEKIGVLLLNLGGPETLKDIQPFLYNLFADPDIIRLPGLFKLLQRPLAKLISVLRALKSEEGYAAIGGGSLLRKITDEQENALRMALEAKNVNVSVYVGMRYWYPFTEEVIEQVDTLLDGIKWDDKGLAVAIAQNVDTGAILMQGFVNRGALATTITSGKATFFSRSRATLWTKGETSNNFINIYDKRRNKLCVQFSSRGFLLGHVRLLLVKLLEIQEQIGSSNIKMSNLVLI >KJB14601 pep chromosome:Graimondii2_0_v6:2:20970440:20972887:-1 gene:B456_002G133100 transcript:KJB14601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKSSTRKKSRLNLRPWHRSFIFWVRASDIYTGYKVFHLRVSFVKDPQKQHIAQIIGKPNLAPAAWVKRLVTLCDQAPTTPFDDVQLVLEKEFGRSIDEIFENFDVNPLSLASIAHVHRARLRGDKNDVVVKVQHPGIQDLMMTGIHNLQAFALYIQKTDIKFDLFSVTKVMGKHIGYEFDFLRETSAMERICCFFYENNKKTPVLVLKVLRGLVIRQVHFVVILHIACTGLS >KJB15770 pep chromosome:Graimondii2_0_v6:2:52846403:52850636:-1 gene:B456_002G195200 transcript:KJB15770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDKVVETVIVGNYVEMETEGKPKGMKSKIPNLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGLLSGILFQLFYGLMGSWTAYLISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLIMTTYTAWYLTIASLLHGQVEGVKHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLIATLYVLTLTLPVAAAVYWAFGDMLLDHSNAFSLLPRTPFRDMAVILMLIHQFITFGFACTPLYFVWEKAIGMHECKSLCKRAAARLPVVVPIWFLAIIFPFFGPINSTVGSLLVSFTVYVIPALAHIFTFRSATARENAVEQPSKYFGRWVGTYTINVFVVVWVLIVGFGFGGWASMTNFIHQIDTFGLFTKCYQCPPPASAVSPPPHGLNATTAAPLHHPFNHTHSP >KJB16304 pep chromosome:Graimondii2_0_v6:2:57717638:57720863:-1 gene:B456_002G222400 transcript:KJB16304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRLKKSMNSINSSWIRPIEHPICGYGLKNFGKVKQPETGAEKETMSQEDQEEEVGKLAVRLANAVVLPMVLKSALELNIIDTISAAGDGAFLSPSQIASALPSKNPDAPVLLDRMLRLLASHSILKCSVKAKEKGEIERLYGAGPLCKFLVKNQDGGSIAPLLLLHHDQVFMQSWYHLNDAILEGGVPFSKAYGMTAFEYPGTDQRFNRVFNQAMSNHTALIMRKIVDVYKGFDGLKVLVDVGGGIGVALSFITSKYPQIKGINFDLPHVLADAPTYSGVEHVGGDMFESVPKGDAIFLKWILHDWSDEHCLKLVKNCWEALPNGGKVIIVESILPEVPDTSVSSNIVCEQDLFMLAQNPGGKERTLKEYEALALKTGFSGCEVICCAYNSWVMQMEKRAIY >KJB16339 pep chromosome:Graimondii2_0_v6:2:57977607:57978906:-1 gene:B456_002G224300 transcript:KJB16339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATPNPNDVQVQHVTKKSSDELLRKFAELDDDDGGGNKGAAFTKELRVMVVKRRKRSGFPRSRRESLGHCESPSNNGTTGLVERKWLLPPPATRRSTFLKQLGIGRSQIRAREIRNRSIFGTIEKTWRKTIEGASKVFMEKHYNRHRRLINDVV >KJB16670 pep chromosome:Graimondii2_0_v6:2:60653732:60654973:-1 gene:B456_002G242200 transcript:KJB16670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSITSCYIEKAIKVSDSYCSWPSINQAYISPNHVPSTPNTVTCIYKAKTSPQTHLFITLTWCNISQGLSIKVSDNLNRISEPYQLKNNKGNKGFKACNSEIEVIWDVSNAEFINGSPEPTSKYSLIVLVDSRICFLLGDNIDEKADPSFNLISRTETFIANTVYSTKARFCESGLAHDILIEYCNGGELCVSIDKKEVFQVKRLKWNFRGNQIIFLDGLLVDMMWDLHDWVFKQTNGRAVFMFRTRSGFDSRLWLEEKSGCLEHSDKGRGHEFSLLICACKNPR >KJB15113 pep chromosome:Graimondii2_0_v6:2:36980855:36983098:1 gene:B456_002G161400 transcript:KJB15113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLWNLLFLSFVHFSSFSVTLGGVSGNPFTPKSSFIRYWNKEVHKEIPNTHFLLSKVSPLTAVDSASFSKLAAHNNLASRLPSFCSSAKLFCFPDLSSSLEKHSKDVNFAFYLDRNFTNYGSDRLAGLDSFKNYSENDNVVVDSFRRYSRDSAGHKDQFSNYASASNVVDQSFNTYGGAATGGSGNFNNYNHEVNVPNLRFTSYSDDSNGHGQTFTHYTEETNAGQQSFSNYGKNGNGVPNDFTSYGKDSNVMGSDFSGYGKTANGAKDTFTSYAFDSNNPVNGFKSYGDGGNAAVDSFSSYRDQSNVGDSSFQSYAKSSNGAKVDFDNYGQSFNEGTEKFTGYGQGADGQSIGFKIYGRNTTFKDYTKKGVTFSRYSNESSTASGKVVVSKWVEPGKFFREKMLKRGKVIPMPDIKDKMPARSFLPRTILSKIPFSSSEIDQLKWIFHAGDNSTLGTIMLDALKECERPPSPGETKRCVGSAEDMVDFATSVLGRNVEVRTTQNVNGSKKNIMIGSVGKINGGKVTKSVSCHQSLYPYLLYYCHSVPKVRVYEADILDPNSMEKINHGVAICHLDTSSWSSGHGAFLALGSGPGRIEVCHWIFENDMTWTIADS >KJB14612 pep chromosome:Graimondii2_0_v6:2:21299039:21300693:1 gene:B456_002G134000 transcript:KJB14612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIISFMLLVCLISCTTARDSSREALQVTIGIGNGNLSKGCNDKHHNLPFKLNCKLPTTPLANEVLKFADQRLALVHPIIQKFKSLITSDPLGITQTWVGSDICSYKGFYCDNPPDNKSAIAVASIDFNGFQLSAPSLDGFLDQLPDIALFHANSNNFSGSLSPNIAKLRYLYELDISNNRFSGPFPDAVLNMPGLTFLDIRFNFFTGSVPSQIFTQNLDALFINNNEFMIHLPDNIVNTHIFYLTLANNKFNGPLPRTIFKAFSSLAEVLLLNDQLTGCIPYEIGLLKEAVVFDAGNNQLTGHLPFSLACMENLEQLNFAGNLLFGAVPEVVCELGKLMNLSLSENYFIHVGPMCRILIERGVLDVRNNCIPDLPFQRSVEECANFFAHPRFCPRMWSYNYIPCYHFPSSSSIPEMAPSP >KJB14616 pep chromosome:Graimondii2_0_v6:2:21416035:21418806:1 gene:B456_002G134400 transcript:KJB14616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLNRRQQQPPLLQTFSIFFLLSCSLLVYPEASKLHLASPEAKALLGFQSKADLRNHLGFSQNATLHFCEWKGVTCYQQTVVRLIIEDLHLGGIFAPDTLSHLDQLRVLSLQNNSLSGPIPDLSSLINLKALFLDHNFFTGSFPSSILSLHRIRTLDLSYNNLTGSIPTSLASLDRLYYLRLDWNRFNGTIPPFNQSSLETFNISGNNLTGAIPVTPTLLRFGFSSFSWNPGLCGEIIHKECHPRPPLFAPPPTVTLVQSAQVHGMELAEPSSKKHRRTAVIIGFSTGFFVLVGSLLCFVMAVRKQKDEKQSTAVIECNDAAAAAAIQMEQENELEEKVKRVQGMQVGKSGSLAFCAGEAQLYTLDQLMRASAELLGRGTMGSTYKAVLDNRLIVTVKRLDYVKLAGTTNEEAFEQHMESVGGLRHPNLVPLRAYFQAREERLLIYDYQPNGSLFSLIHGSKSTRAKPLHWTSCLKIAEDVAQGLAYIHQAWRLVHGNLKSSNVLLGPDFEACLTDYSLAALITPTHDEDPDSMACKPPETRHSTHQATSKSDVYAFGVLLLELLTGKPPSKHPVVAPNEMMHWLRSCREGDGGAGERLGMLLEVAIACCSSCSEQRPTMWQVLKMLQEIKEAVLKEDGGLDPLSGMS >KJB18330 pep chromosome:Graimondii2_0_v6:3:6328606:6332398:-1 gene:B456_003G047200 transcript:KJB18330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTAVCVTSYENLAIPSVRNDAAFLFTVVGTTGFLGVLAGQLPGDWGFFTPYLIGSISLVVLAVGSISPGLLQAAIGGFSSFFPDYQERIARHEAAHFLIGYLLGLPILGYSLDIGKEHVNLIDEKLEKLIYSGQLDAKELDRLAVVAMAGLAAEGLKYDKVVGQSADLFTLQRFINRSQPKLSNDQQQNLTRWAVLFAGSLLKNNKVIHEALISAMSKKATVLECIQAIENAA >KJB18329 pep chromosome:Graimondii2_0_v6:3:6328606:6332434:-1 gene:B456_003G047200 transcript:KJB18329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVNSMASLSNSKLPFQYFHYYNKHSSKCFKVLTPRKSELQLQIIRASSSAAAPDVDLNTLESAISKKDSEAVKEALNQLSEVGWAKKWSSQPYVSRRTAAFLFTVVGTTGFLGVLAGQLPGDWGFFTPYLIGSISLVVLAVGSISPGLLQAAIGGFSSFFPDYQERIARHEAAHFLIGYLLGLPILGYSLDIGKEHVNLIDEKLEKLIYSGQLDAKELDRLAVVAMAGLAAEGLKYDKVVGQSADLFTLQRFINRSQPKLSNDQQQNLTRWAVLFAGSLLKNNKVIHEALISAMSKKATVLECIQAIENAA >KJB18331 pep chromosome:Graimondii2_0_v6:3:6328837:6331607:-1 gene:B456_003G047200 transcript:KJB18331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTAVCVTSYGQSPISSLKLWEKVITQMLACMLICIPVHTSLRELTSLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLGVLAGQLPGDWGFFTPYLIGSISLVVLAVGSISPGLLQAAIGGFSSFFPDYQERIARHEAAHFLIGYLLGLPILGYSLDIGKEHVNLIDEKLEKLIYSGQLDAKELDRLAVVAMAGLAAEGLKYDKVVGQSADLFTLQRFINRSQPKLSNDQQQNLTRWAVLFAGSLLKNNKVIHEALISAMSKKATVLECIQAIENAA >KJB18328 pep chromosome:Graimondii2_0_v6:3:6328587:6332493:-1 gene:B456_003G047200 transcript:KJB18328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVNSMASLSNSKLPFQYFHYYNKHSSKCFKVLTPRKSELQLQIIRASSSAAAPDVDLNTLESAISKKDSEAVKEALNQLSEVGWAKKWSSQPYVSRRTTSLRELTSLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLGVLAGQLPGDWGFFTPYLIGSISLVVLAVGSISPGLLQAAIGGFSSFFPDYQERIARHEAAHFLIGYLLGLPILGYSLDIGKEHVNLIDEKLEKLIYSGQLDAKELDRLAVVAMAGLAAEGLKYDKVVGQSADLFTLQRFINRSQPKLSNDQQQNLTRWAVLFAGSLLKNNKVIHEALISAMSKKATVLECIQAIENAA >KJB18193 pep chromosome:Graimondii2_0_v6:3:4116656:4118165:1 gene:B456_003G038300 transcript:KJB18193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDYFPCAVFSIDFPFFFFFVLHQMRKTVRKLAKCFPTAIVSGRCRDKVYNFVKLAELYYAGSHGMDIKGPEKGSKSNKDTESVLFQPASEFLPMIDEVYKQLVETTKSTPGAKVENNKFCLSVHFRCVDEKKWSELAQQVKSVLKDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFANCTDVFPVYIGDDRTDEDAFKILRDRGQGFGILVSKFPKDTNASYSLQEPDEVMDFLRRLVEWKELSLRTQSRM >KJB18194 pep chromosome:Graimondii2_0_v6:3:4115747:4118444:1 gene:B456_003G038300 transcript:KJB18194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFIEERPKNIAAGQNMVSDPKSAQKPPAPPGFISISRKKLLQNLEINAGARVNSWVDSMRASSPTHMKSAPSIADDQGSWNLNHPSALDMFEQIIDASKGKQIVMFLDYDGTLSPIVADPDRAFMSKKMRKTVRKLAKCFPTAIVSGRCRDKVYNFVKLAELYYAGSHGMDIKGPEKGSKSNKDTESVLFQPASEFLPMIDEVYKQLVETTKSTPGAKVENNKFCLSVHFRCVDEKKWSELAQQVKSVLKDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFANCTDVFPVYIGDDRTDEDAFKILRDRGQGFGILVSKFPKDTNASYSLQEPDEVMDFLRRLVEWKELSLRTQSRM >KJB18191 pep chromosome:Graimondii2_0_v6:3:4116001:4117362:1 gene:B456_003G038300 transcript:KJB18191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFIEERPKNIAAGQNMVSDPKSAQKPPAPPGFISISRKKLLQNLEINAGARVNSWVDSMRASSPTHMKSAPSIADDQGSWNLNHPSALDMFEQIIDASKGKQIVMFLDYDGTLSPIVADPDRAFMSKKMRKTVRKLAKCFPTAIVSGRCRDKVYNFVKLAELYYAGSHGMDIKGPEKGSKSNKDTESVLFQPASEFLPMIDEVYKQLVETTKSTPGAKVENNKFCLSVHFRCVDEKKWSELAQQVKSVLKDYPKLRLTQGRKVSHLELTEYKFIHVYQ >KJB18190 pep chromosome:Graimondii2_0_v6:3:4115747:4118444:1 gene:B456_003G038300 transcript:KJB18190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFIEERPKNIAAGQNMVSDPKSAQKPPAPPGFISISRKKLLQNLEINAGARVNSWVDSMRASSPTHMKSAPSIADDQGSWNLNHPSALDMFEQIIDASKGKQIVMFLDYDGTLSPIVADPDRAFMSKKMRKTVRKLAKCFPTAIVSGRCRDKVYNFVKLAELYYAGSHGMDIKGPEKGSKSNKDTESVLFQPASEFLPMIDEVYKQLVETTKSTPGAKVENNKFCLSVHFRCVDEKKWSELAQQVKSVLKDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFANCTDVFPVYIGDDRTDEDAFKILRDRGQGFGILVSKFPKDTNASYSLQEPDEVMDFLRRLVEWKELSLRTQSRM >KJB18192 pep chromosome:Graimondii2_0_v6:3:4115747:4118444:1 gene:B456_003G038300 transcript:KJB18192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFIEERPKNIAAGQNMVSDPKSAQKPPAPPGFISISRKKLLQNLEINAGARVNSWVDSMRASSPTHMKSAPSIADDQGSWNLNHPSALDMFEQIIDASKGKQIVMFLDYDGTLSPIVADPDRAFMSKKMRKTVRKLAKCFPTAIVSGRCRDKVYNFVKLAELYYAGSHGMDIKGPEKGSKSNKDTESVLFQPASEFLPMIDEVYKQLVETTKSTPGAKVENNKFCLSVHFRCVDEKKWSELAQQVKSVLKDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGKFSILSLL >KJB18195 pep chromosome:Graimondii2_0_v6:3:4115747:4118444:1 gene:B456_003G038300 transcript:KJB18195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFIEERPKNIAAGQNMVSDPKSAQKPPAPPGFISISRKKLLQNLEINAGARVNSWVDSMRASSPTHMKSAPSIADDQGSWNLNHPSALDMFEQIIDASKGKQIVMFLDYDGTLSPIVADPDRAFMSKKMRKTVRKLAKCFPTAIVSGRCRDKVYNFVKLAELYYAGSHGMDIKGPEKGSKSNKDTESVLFQPASEFLPMIDEVYKQLVETTKSTPGAKVENNKFCLSVHFRCVDEKKWSELAQQVKSVLKDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFANCTDVFPVYIGDDRTDEDAFKILRDRGQGFGILVSKFPKDTNASYSLQEPDEVSSELL >KJB18196 pep chromosome:Graimondii2_0_v6:3:4116035:4118444:1 gene:B456_003G038300 transcript:KJB18196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLYLFIAVSFIEERPKNIAAGQNMVSDPKSAQKPPAPPGFISISRKKLLQNLEINAGARVNSWVDSMRASSPTHMKSAPSIADDQGSWNLNHPSALDMFEQIIDASKGKQIVMFLDYDGTLSPIVADPDRAFMSKKMRKTVRKLAKCFPTAIVSGRCRDKVYNFVKLAELYYAGSHGMDIKGPEKGSKSNKDTESVLFQPASEFLPMIDEVYKQLVETTKSTPGAKVENNKFCLSVHFRCVDEKKWSELAQQVKSVLKDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFANCTDVFPVYIGDDRTDEDAFKILRDRGQGFGILVSKFPKDTNASYSLQEPDEVMDFLRRLVEWKELSLRTQSRM >KJB17589 pep chromosome:Graimondii2_0_v6:3:366659:370765:1 gene:B456_003G006500 transcript:KJB17589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFTRYSRVLLLMVLGLSAGACYGFGTFGFEFHHRYSDPVKQILAVDELPAKGSLEYYSAMIHRDKIIKGRRLAAENDQTPVTFLDGNATYRLNSLGYLHYANVTVGTPALWFLVALDTGSDLFWLPCDCSSCVHGIRSPGGPTIEFNIYSPNTSSTSSKVPCSSDKCEQHRKCSSPTNTCPYQVIYLSNGTSSIGVLVEDVLRLTTDDDKTNKPVEAKITFGCGKIQTGSFLNGAAPNGLFGLGMDNISVPSILAKENVTSNSFSMCFRSDGIGRITFGDKGSSDQGETPINPRQSRPTYNISITQISVGGKTGDLEFDAVFDSGTSFTYLNDPAYTLISETFNNLALDKRHTSKSTDDLPFEYCYDLSANQTSLKYPVVNLTMKGGDQLLVNDPIAVLPMQGGAIYCLAVVKSDSVNIIGQNFMTGYRIVFDREKMVLGWKASDCKQLLFNLVH >KJB17587 pep chromosome:Graimondii2_0_v6:3:366659:367310:1 gene:B456_003G006500 transcript:KJB17587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFTRYSRVLLLMVLGLSAGACYGFGTFGFEFHHRYSDPVKQILAVDELPAKGSLEYYSAMIHRDKIIKGRRLAAENDQTPVTFLDGNATYRLNSLGYLHYANVTVGTPALWFLVALDTGSDLFWLPCDCSSCVHGIRSPGGPVI >KJB17591 pep chromosome:Graimondii2_0_v6:3:366659:370765:1 gene:B456_003G006500 transcript:KJB17591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFTRYSRVLLLMVLGLSAGACYGFGTFGFEFHHRYSDPVKQILAVDELPAKGSLEYYSAMIHRDKIIKGRRLAAENDQTPVTFLDGNATYRLNSLGYLHYANVTVGTPALWFLVALDTGSDLFWLPCDCSSCVHGIRSPGGPTIEFNIYSPNTSSTSSKVPCSSDKCEQHRKCSSPTNTCPYQVIYLSNGTSSIGVLVEDVLRLTTDDDKTNKPVEAKITFGFVSFTSFVLKSHLAVVENSIGLSSTRHAFCGKIQTGSFLNGAAPNGLFGLGMDNISVPSILAKENVTSNSFSMCFRSDGIGRITFGDKGSSDQGETPINPRQSRPTYNISITQISVGGKTGDLEFDAVFDSGTSFTYLNDPAYTLISETFNNLALDKRHTSKSTDDLPFEYCYDLSANQTSLKYPVVNLTMKGGDQLLVNDPIAVLPMQGGAIYCLAVVKSDSVNIIGQNFMTGYRIVFDREKMVLGWKASDCYNIESSNTLPVIPPSAVPPATAVKPEATARNSSNAGAPGSSPPPMTNKSTQHKALSYVFTIALVLYFALI >KJB17586 pep chromosome:Graimondii2_0_v6:3:366584:370765:1 gene:B456_003G006500 transcript:KJB17586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFTRYSRVLLLMVLGLSAGACYGFGTFGFEFHHRYSDPVKQILAVDELPAKGSLEYYSAMIHRDKIIKGRRLAAENDQTPVTFLDGNATYRLNSLGYLHYANVTVGTPALWFLVALDTGSDLFWLPCDCSSCVHGIRSPGGPTIEFNIYSPNTSSTSSKVPCSSDKCEQHRKCSSPTNTCPYQVIYLSNGTSSIGVLVEDVLRLTTDDDKTNKPVEAKITFGCGKIQTGSFLNGAAPNGLFGLGMDNISVPSILAKENVTSNSFSMCFRSDGIGRITFGDKGSSDQGETPINPRQSRPTYNISITQISVGGKTGDLEFDAVFDSGTSFTYLNDPAYTLISETFNNLALDKRHTSKSTDDLPFEYCYDLSANQTSLKYPVVNLTMKGGDQLLVNDPIAVLPMQGGAIYCLAVVKSDSVNIIGQNFMTGYRIVFDREKMVLGWKASDCYNIESSNTLPVIPPSAVPPATAVKPEATARNSSNAGAPGSSPPPMTNKSTQHKALSYVFTIALVLYFALI >KJB17588 pep chromosome:Graimondii2_0_v6:3:366659:370765:1 gene:B456_003G006500 transcript:KJB17588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFTRYSRVLLLMVLGLSAGACYGFGTFGFEFHHRYSDPVKQILAVDELPAKGSLEYYSAMIHRDKIIKGRRLAAENDQTPVTFLDGNATYRLNSLGYLHYANVTVGTPALWFLVALDTGSDLFWLPCDCSSCVHGIRSPGGPTIEFNIYSPNTSSTSSKVPCSSDKCEQHRKCSSPTNTCPYQVIYLSNGTSSIGVLVEDVLRLTTDDDKTNKPVEAKITFGCGKIQTGSFLNGAAPNGLFGLGMDNISVPSILAKENVTSNSFSMCFRSDGIGRITFGDKGSSDQGETPINPSPTYNISITQISVGGKTGDLEFDAVFDSGTSFTYLNDPAYTLISETFNNLALDKRHTSKSTDDLPFEYCYDLSANQTSLKYPVVNLTMKGGDQLLVNDPIAVLPMQGGAIYCLAVVKSDSVNIIGQNFMTGYRIVFDREKMVLGWKASDCYNIESSNTLPVIPPSAVPPATAVKPEATARNSSNAGAPGSSPPPMTNKSTQHKALSYVFTIALVLYFALI >KJB17590 pep chromosome:Graimondii2_0_v6:3:366683:369864:1 gene:B456_003G006500 transcript:KJB17590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFTRYSRVLLLMVLGLSAGACYGFGTFGFEFHHRYSDPVKQILAVDELPAKGSLEYYSAMIHRDKIIKGRRLAAENDQTPVTFLDGNATYRLNSLGYLHYANVTVGTPALWFLVALDTGSDLFWLPCDCSSCVHGIRSPGGPTIEFNIYSPNTSSTSSKVPCSSDKCEQHRKCSSPTNTCPYQVIYLSNGTSSIGVLVEDVLRLTTDDDKTNKPVEAKITFGCGKIQTGSFLNGAAPNGLFGLGMDNISVPSILAKENVTSNSFSMCFRSDGIGRITFGDKGSSDQGETPINPRQSRPTYNISITQISVGGKTGDLEFDAVFDSGTSFTYLNDPAYTLISETFNNLALDKRHTSKSTDDLPFEYCYDLSTEFFYLTAPIKRA >KJB18255 pep chromosome:Graimondii2_0_v6:3:5488645:5489980:1 gene:B456_003G042900 transcript:KJB18255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSRSLTQPISPLPTISFFTPHPSFRPRLRPLPPSRTTAYRLHSRVLCFNNNPLLKAKASKETSDGEAALEEVAAVEKNVYDEKLTVEKLKEEPLAFQFSEKLNIKLDPEDAYSITLYGSATVVALWLASALVGAVDSIPLFPSLMEIVGLGYTCWFSSRYLLFKKNREELAAQIQDLKQRLVGLNDELRDRISSGSNLDF >KJB18253 pep chromosome:Graimondii2_0_v6:3:5488539:5489173:1 gene:B456_003G042900 transcript:KJB18253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSRSLTQPISPLPTISFFTPHPSFRPRLRPLPPSRTTAYRLHSRVLCFNNNPLLKAKASKETSDGEAALEEVAAVEKNVYDEKLTVEKLKEEPLAFQFSEKLNIKVDFSYFLFP >KJB18254 pep chromosome:Graimondii2_0_v6:3:5488547:5489704:1 gene:B456_003G042900 transcript:KJB18254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSRSLTQPISPLPTISFFTPHPSFRPRLRPLPPSRTTAYRLHSRVLCFNNNPLLKAKASKETSDGEAALEEVAAVEKNVYDEKLTVEKLKEEPLAFQFSEKLNIKLDPEDAYSITLYGSATVVALWLASALVGAVDSIPLVSFECII >KJB19067 pep chromosome:Graimondii2_0_v6:3:20846691:20847685:1 gene:B456_003G083600 transcript:KJB19067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKRSLLAIFLLLSFLSISTAAPTRKTRTRKPCKSMVFYFHDIIYNGHNAKNATSAIVGSPQWGNKTILTGQNHFGDLVVFDDPITLDNNLHSKPVGRAQGFYIYDKKDIFTAWLGFSFVFNSTQHKGSINFVGADPLMNKTRDISVIGGTGDFFMARGVATLMTDAFEGEVYFRLRTDINLYECW >KJB17441 pep chromosome:Graimondii2_0_v6:3:46248:51952:1 gene:B456_003G000900 transcript:KJB17441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILDLFVVASMPIMKVLMLTALGSFLALDRIDVMGESARKQLNNVVFFVFNPALVSSSLAKTVTFESIVLLWFMPFNILLTFIIGTAMGWLLVKITKAPQHIRGLILSCCAAGNVGHLPLIVVPAICREKGSPFGAPDICHTYGTAYASLSMAIGAIYLWSFVYNVVRVSSAKVNKEVNGDDSTRVMKSTGTGGMPSPTLPDQQNYSEPLLPSKNCSISVYTHELSFSCAKSKGTVKTTALCSFNIWKISRVVSDFDKTWQYLRIISRKLNLKAIFAPSTTAAFIGFTMGLVPQIRNLVIGGNAPFHVVQDSASLLGDAAIPIVTLIVGGNLLRGLKGPAGICMSLVIGVIAVRYVLLPLLGIVIIKTAVRFGLVHSDPLYQFILLLHYALPPAMNIGTITQLFRAGESECSVIMLWTYGLASISLTLWSTAFLWLVS >KJB17438 pep chromosome:Graimondii2_0_v6:3:46248:51952:1 gene:B456_003G000900 transcript:KJB17438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILDLFVVASMPIMKVLMLTALGSFLALDRIDVMGESARKQLNNVVFFVFNPALVSSSLAKTVTFESIVLLWFMPFNILLTFIIGTAMGWLLVKITKAPQHIRGLILSCCAAGNVGHLPLIVVPAICREKGSPFGAPDICHTYGTAYASLSMAIGAIYLWSFVYNVVRVSSAKVNKEVNGDDSTRVMKSTGTGGMPSPTLPDQQNYSEPLLPSKNCSISVYTHELSFSCAKSKGTVKVSDFDKTWQYLRIISRKLNLKAIFAPSTTAAFIGFTMGLVPQIRNLVIGGNAPFHVVQDSASLLGDAAIPIVTLIVGGNLLRGLKGPAGICMSLVIGVIAVRYVLLPLLGIVIIKTAVRFGLVHSDPLYQFILLLHYALPPAMNIGTITQLFRAGESECSVIMLWTYGLASISLTLWSTAFLWLVS >KJB17440 pep chromosome:Graimondii2_0_v6:3:45913:52009:1 gene:B456_003G000900 transcript:KJB17440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILDLFVVASMPIMKVLMLTALGSFLALDRIDVMGESARKQLNNVVFFVFNPALVSSSLAKTVTFESIVLLWFMPFNILLTFIIGTAMGWLLVKITKAPQHIRGLILSCCAAGNVGHLPLIVVPAICREKGSPFGAPDICHTYGTAYASLSMAIGAIYLWSFVYNVVRVSSAKVNKEVNGDDSTRVMKSTGTGGMPSPTLPDQQNYSEPLLPSKNCSISVYTHELSFSCAKSKGTVKVSDFDKTWQYLRIISRKLNLKAIFAPSTTAAFIGFTMGLVPQIRNLVIGGNAPFHVVQDSASLLGDAAIPIVTLIVGGNLLRGLKGPAGICMSLVIGVIAVRYVLLPLLGIVIIKTAVRFGLVHSDPLYQFILLLHYALPPAMNIGTITQLFRAGESECSVIMLWTYGLASISLTLWSTAFLWLVS >KJB17442 pep chromosome:Graimondii2_0_v6:3:46248:51952:1 gene:B456_003G000900 transcript:KJB17442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILDLFVVASMPIMKVLMLTALGSFLALDRIDVMGESARKQLNNVVFFVFNPALVSSSLAKTVTFESIVLLWFMPFNILLTFIIGTAMGWLLVKITKAPQHIRGLILSCCAAGNVGHLPLIVVPAICREKGSPFGAPDICHTYGTAYASLSMAIGAIYLWSFVYNVVRVSSAKVNKEVNGDDSTRVMKSTGTGGMPSPTLPDQQNYSEPLLPSKNCSISVYTHELSFSCAKSKGTVKTTALCSFNIWKISRVVSDFDKTWQYLRIISRKLNLKAIFAPSTTAAFIGFTMGLVPQIRNLVIGGNAPFHVVQDSASLLGDAAIPIVTLIVGGNLLRGLKGPAGICMSLVIGVIAVRYVLLPLLGIVIIKTAVRFGLVHSDPLYQFILLLHYALPPAMNIGTITQLFRAGESECSVIMLWTYGLASISLTLWSTAFLWLVS >KJB17439 pep chromosome:Graimondii2_0_v6:3:46239:52009:1 gene:B456_003G000900 transcript:KJB17439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILDLFVVASMPIMKVLMLTALGSFLALDRIDVMGESARKQLNNVVFFVFNPALVSSSLAKTVTFESIVLLWFMPFNILLTFIIGTAMGWLLVKITKAPQHIRGLILSCCAAGNVGHLPLIVVPAICREKGSPFGAPDICHTYGTAYASLSMAIGAIYLWSFVYNVVRVSSAKVNKEVNGDDSTRVMKSTGTGGMPSPTLPDQQNYSEPLLPSKNCSISVYTHELSFSCAKSKGTVKVSDFDKTWQYLRIISRKLNLKAIFAPSTTAAFIGFTMGLVPQIRNLVIGGNAPFHVVQDSASLLGDAAIPIVTLIVGGNLLRGLKGPAGICMSLVIGVIAVRYVLLPLLGIVIIKTAVRFGLVHSDPLYQFILLLHYALPPAMNIGTITQLFRAGESECSVIMLWTYGLASISLTLWSTAFLWLVS >KJB17980 pep chromosome:Graimondii2_0_v6:3:2479778:2482204:-1 gene:B456_003G027400 transcript:KJB17980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLIIIFLFFLPFSSAYTRPNEHFINCGSDFNFTGNDDRNFVAIVLRVHNQNKRHLLSSGFELLSNFSVKNSPAGSPVIKEFLVNIDEGRFKINFIPSQGSNIAFVNAIEVFLAPESFVPDTAPLAGRRRGSFDGLRSRVLHTVYRINVGGATITTDEDTLWRNWIPDDQFLSDPTSAKNSSFFSGEPAYTSGRANEYTAPSLVYKTAKELNVEDNTSRQFFNITWSFNVSKSSRHFVRFHFNDIVSSSIDSVKFDLYIYDKFDKLVYPYNETGQLEVPFYFDFVVDSDKSGVMNVSVGPRNDSENQMAFLNGIEIMEFMKESSFVVPEERSKKRTNLVPIVGSVVGAVVFVVGFIVAMVMFLKCRKRKPLSEKTSNMSPLDLALRISYYEIEQATKNFDSGLLIGEGGFGRVYEGLFRGKKVAVKRSEPGHGQGLLEFQTEIVVLSRIRHRHLVSLIGYCDERSEMILVYEFMEKGTLRDHLYNRSSDVEKPYFARSDLSWKQRLEICIGAAKGLNYLHTGSDGGIIHRDVKSTNILLDERLVAKVADFGVSKFNLPDAEHSVDVKGTLGYLDPEYFLSLQLTDKSDVYSFGVVLLEVLCARPAVMASNRREEVNLAEWGMLWLRKGQLEKIIDPMLVDTINPNSLRKFVETTEKCLRPSGNERPMMRDVLWDLEYALQLQLIPMNNGTFDDSTTNASLEFSMMPVLHRLPSNSFPAVEEEDATVLSVDAFDVTASDVFSLLSVGEAR >KJB18058 pep chromosome:Graimondii2_0_v6:3:2915208:2919630:-1 gene:B456_003G031800 transcript:KJB18058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVYQPYIDPEVQSLLDRIHPPRVCIDNDAFHDCTLVKVDSANRHGILLEMVQVLTDLDLVISKSYVSSDGGWLMDVFHVTDHLGSKVTDETLILYIQQALTDPRRRGEIPKELQTCLTRDVKPCHVSTEHTALETTGRDRPGLMSEISAALYELRCHVTAAVAWTHNARVACIIHIEDGLMRGPIMASKKLAQAHEKLKSVVEAHHESGERWSVRLTAPAVGRTHTERRLHQLMYADRDYKQCQGSDGSCRQWNGCTNTHVTIEACREKGYLVVNIRCRDRTKLLFDTVCALTDMQYVVFHAAISSKGTMADQEYFIRRQDGFSSNTQSEREKLAQCLIAAIERRESHGLRLDICTQNKMGLLSDVTRVFRENGLSITRVEIGTQGERATGTFHVTDASGHEADLRTVELVRQEIGGSVLRVYRSPNGTSRASSSSISRNSSGEVEERAKFSLGNLLWSQLERFRRILGSLNHKQFLIMYIIHYL >KJB18060 pep chromosome:Graimondii2_0_v6:3:2915204:2919657:-1 gene:B456_003G031800 transcript:KJB18060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVYQPYIDPEVQSLLDRIHPPRVCIDNDAFHDCTLVKVDSANRHGILLEMVQVLTDLDLVISKSYVSSDGGWLMDVFHVTDHLGSKVTDETLILYIQQALTDPRRRGEIPKELQTCLTRDVKPCHVSTEHTALETTGRDRPGLMSEISAALYELRCHVTAAVAWTHNARVACIIHIEDGLMRGPIMASKKLAQAHEKLKSVVEAHHESGERWSVRLTAPAVGRTHTERRLHQLMYADRDYKQCQGSDGSCRQWNGCTNTHVTIEACREKGYLVVNIRCRDRTKLLFDTVCALTDMQYVVFHAAISSKGTMADQEYFIRRQDGFSSNTQSEREKLAQCLIAAIERRESHGLRLDICTQNKMGLLSDVTRVFRENGLSITRVEIGTQGERATGTFHVTDASGHEADLRTVELVRQEIGGSVLRVYRSPNGTSRASSSSISRNSSGEVEERAKFSLGNLLWSQLERFRRILGSLNHKQFLIMYIIHYL >KJB18059 pep chromosome:Graimondii2_0_v6:3:2915233:2918975:-1 gene:B456_003G031800 transcript:KJB18059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVYQPYIDPEVQSLLDRIHPPRVCIDNDAFHDCTLVKVDSANRHGILLEMVQVLTDLDLVISKSYVSSDGGWLMDVFHVTDHLGSKVTDETLILYIQQALTDPRRRGEIPKELQTCLTRDVKPCHVSTEHTALETTGRDRPGLMSEISAALYELRCHVTAAVAWTHNARVACIIHIEDGLMRGPIMASKKLAQAHEKLKSVVEAHHESGERWSVRLTAPAVGRTHTERRLHQLMYADRDYKQCQGSDGSCRQWNGCTNTHVTIEACREKGYLVVNIRCRDRTKLLFDTVCALTDMQYVVFHAAISSKGTMADQEYFIRRQDGFSSNTQSEREKLAQCLIAAIERRESHGLRLDICTQNKMGLLSDVTRVFRENGLSITRVEIGTQGERATGTFHVTDASGHEADLRTVELVRQEIGGSVLRVYRSPNGTSRASSSSISRNSSGEVEERAKFSLGNLLWSQLERFRRILGSLNHKQFLIMYIIHYL >KJB18661 pep chromosome:Graimondii2_0_v6:3:12716433:12723279:-1 gene:B456_003G064700 transcript:KJB18661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKALLLFYVMVFYVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLFVLAFSVGAGPVPSLLLSEMFPGRIRAKAMSVCLASHWSC >KJB18648 pep chromosome:Graimondii2_0_v6:3:12716426:12723279:-1 gene:B456_003G064700 transcript:KJB18648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISESSVFSPGEEGVYSNVAMRGRHADAYSMYKRGTRDYINAYDKEPVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGLVVSICLGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLFVLAFSVGAGPVPSLLLSEMFPGRIRAKAMSVCLASHWVVNFFVGLLFLRLLEQIGPLVLNTIFATFCLVAVIFVKRNVLETKGKSLQEIEITLLPPE >KJB18659 pep chromosome:Graimondii2_0_v6:3:12717139:12721872:-1 gene:B456_003G064700 transcript:KJB18659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKALLLFYVMVFYVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGLVVSICLGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLFVLAFSVGAGPVPSLLLSEMFPGRIRAKAMSVCLASHWVVNFFVGLLFLRLLEQIGPLVLNTIFATFCLVAVIFVKRNVLETKGKSLQEIEITLLPPE >KJB18652 pep chromosome:Graimondii2_0_v6:3:12717139:12721872:-1 gene:B456_003G064700 transcript:KJB18652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKALLLFYVMVFYVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLFVLAFSVGAGPVPSLLLSEMFPGRIRAKAMSVCLASHWVVNFFVGLLFLRLLEQIGPLVLNTIFATFCLVAVIFVKRNVLETKGKSLQEIEITLLPPE >KJB18650 pep chromosome:Graimondii2_0_v6:3:12717940:12723142:-1 gene:B456_003G064700 transcript:KJB18650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHADAYSMYKRGTRDYINAYDKEPVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGLVVSICLGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLLNA >KJB18657 pep chromosome:Graimondii2_0_v6:3:12716433:12723279:-1 gene:B456_003G064700 transcript:KJB18657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHADAYSMYKRGTRDYINAYDKEPVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLLNA >KJB18654 pep chromosome:Graimondii2_0_v6:3:12717941:12722441:-1 gene:B456_003G064700 transcript:KJB18654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHADAYSMYKRGTRDYINAYDKEPGSVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGLVVSICLGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLLNA >KJB18655 pep chromosome:Graimondii2_0_v6:3:12716433:12723279:-1 gene:B456_003G064700 transcript:KJB18655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKALLLFYVMVFYVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLLNA >KJB18647 pep chromosome:Graimondii2_0_v6:3:12717139:12722818:-1 gene:B456_003G064700 transcript:KJB18647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISESSVFSPGEEGVYSNVAMRGRHADAYSMYKRGTRDYINAYDKEPGSVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGLVVSICLGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLFVLAFSVGAGPVPSLLLSEMFPGRIRAKAMSVCLASHWVVNFFVGLLFLRLLEQIGPLVLNTIFATFCLVAVIFVKRNVLETKGKSLQEIEITLLPPE >KJB18666 pep chromosome:Graimondii2_0_v6:3:12718825:12722818:-1 gene:B456_003G064700 transcript:KJB18666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISESSVFSPGEEGVYSNVAMRGRHADAYSMYKRGTRDYINAYDKEPVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGLVVSICLGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGINGSITTYPTCASW >KJB18651 pep chromosome:Graimondii2_0_v6:3:12716433:12723279:-1 gene:B456_003G064700 transcript:KJB18651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKALLLFYVMVFYVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGLVVSICLGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLFVLAFSVGAGPVPSLLLSEMFPGRIRAKAMSVCLASHWSC >KJB18662 pep chromosome:Graimondii2_0_v6:3:12716433:12723279:-1 gene:B456_003G064700 transcript:KJB18662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHADAYSMYKRGTRDYINAYDKEPGSVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLFVLAFSVGAGPVPSLLLSEMFPGRIRAKAMSVCLASHWVVNFFVGLLFLRLLEQIGPLVLNTIFATFCLVAVIFVKRNVLETKGKSLQEIEITLLPPE >KJB18665 pep chromosome:Graimondii2_0_v6:3:12716445:12723279:-1 gene:B456_003G064700 transcript:KJB18665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISESSVFSPGEEGVYSNVAMRGRHADAYSMYKRGTRDYINAYDKEPVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGLVVSICLGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLFVLAFSVGAGPVPSLLLSEMFPGRIRAKAMSVCLASHWVVNFFVGLLFLRLLEQIGPLVLNTIFATFCLVAVIFVKRNVLETKGKSLQEIEITLLPPE >KJB18658 pep chromosome:Graimondii2_0_v6:3:12716433:12723279:-1 gene:B456_003G064700 transcript:KJB18658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHADAYSMYKRGTRDYINAYDKEPVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLFVLAFSVGAGPVPSLLLSEMFPGRIRAKAMSVCLASHWVVNFFVGLLFLRLLEQIGPLVLNTIFATFCLVAVIFVKRNVLETKGKSLQEIEITLLPPE >KJB18663 pep chromosome:Graimondii2_0_v6:3:12716455:12723142:-1 gene:B456_003G064700 transcript:KJB18663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHADAYSMYKRGTRDYINAYDKEPVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGLVVSICLGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLFVLAFSVGAGPVPSLLLSEMFPGRIRAKAMSVCLASHWVVNFFVGLLFLRLLEQIGPLVLNTIFATFCLVAVIFVKRNVLETKGKSLQEIEITLLPPE >KJB18656 pep chromosome:Graimondii2_0_v6:3:12717941:12721872:-1 gene:B456_003G064700 transcript:KJB18656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKALLLFYVMVFYVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGLVVSICLGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLLNA >KJB18649 pep chromosome:Graimondii2_0_v6:3:12716433:12723279:-1 gene:B456_003G064700 transcript:KJB18649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHADAYSMYKRGTRDYINAYDKEPGSVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLLNA >KJB18660 pep chromosome:Graimondii2_0_v6:3:12717139:12722441:-1 gene:B456_003G064700 transcript:KJB18660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHADAYSMYKRGTRDYINAYDKEPGSVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGLVVSICLGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLFVLAFSVGAGPVPSLLLSEMFPGRIRAKAMSVCLASHWVVNFFVGLLFLRLLEQIGPLVLNTIFATFCLVAVIFVKRNVLETKGKSLQEIEITLLPPE >KJB18653 pep chromosome:Graimondii2_0_v6:3:12717139:12722441:-1 gene:B456_003G064700 transcript:KJB18653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHADAYSMYKRGTRDYINAYDKEPVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGLVVSICLGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLFVLAFSVGAGPVPSLLLSEMFPGRIRAKAMSVCLASHWVVNFFVGLLFLRLLEQIGPLVLNTIFATFCLVAVIFVKRNVLETKGKSLQEIEITLLPPE >KJB18664 pep chromosome:Graimondii2_0_v6:3:12717139:12722441:-1 gene:B456_003G064700 transcript:KJB18664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHADAYSMYKRGTRDYINAYDKEPGSVRSLNGVSKEIGNPSWKRSFLYILVASLASFLYGYHLGVINETLESISNDLHFNGNTMAEGLVVSICLGGGFLGSTFSGLIVDRVGFRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGTGMGLGPTLATLYVTEVSPAYVRGTYGSFTQIATSLGLMSSLLIGFPAKETEGWWRICFWVSAVPAALLALFMEFSPESPHWLFKKGRAADAEAAFEKLLGGPYVKGAMAELSKSERGGEAETVKLSELLFGHHRKVVFIGSTLFALQQLSGINSVFFFSSTVFKSAGVSSQSANICVGIANFLGSFAALLLMDKLGRKVLLIGSFSGMIVAMGLQAASASSLVSSSNEVYLSVGGMLLFVLAFSVGAGPVPSLLLSEMFPGRIRAKAMSVCLASHWVVNFFVGLLFLRLLEQIGPLVLNTIFATFCLVAVIFVKRNVLETKGKSLQEIEITLLPPE >KJB21232 pep chromosome:Graimondii2_0_v6:3:41835094:41839923:-1 gene:B456_003G150800 transcript:KJB21232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQSKRRPQPLSPDSSPNPFDILTEEIVCKILDHLHNDPFATKAFSLTCKAFYFIESRHRRILKPLRPELLPRIFHRYPFVSHLDLSMCPRVDDNTLNVISSTWKATLQSINLSRSRFFTNAGLSSLFVNCSGLVEVDLCNATQLTDLAASAIAEAKNLERLSLARCKSITDMGIGCIAVGCRKLRSLCLKWCLRVGDLGVELIALKCKQIRSLDLSYLPITEKSLNSVLQLQHLEDLVLEGCHGIDDDGLSTLDQSCKSLKMLNLSNCQNVTHTGLSSLINGTEQLQQIILAYGSSVTSDLVKCLNAYSKLQSIKLDGCTVTWSGIKAMASLNAPVKELSLSKCLGLTDDGLSFLVQSHKDLRKLDITCCRKITYTSIDIITNSCTSLTSLRMESCSLVPKEAFVLIGARCSFLEELDATDNEIDDEGLKSISRCSKLSILKLGICSNISDEGLAKVGSSCSMLKEVDLYRSVAISDEGIAAIGEGCPALEMINIAYNDKITDNSLISLSKCRLLKALEIRGCLGVSSIGLSAIAVGCKQLTVLDIKKCFNINDNGMLPLAQFSQNLKQINLSYCSVTDVGLVALASISRLQNMTILHLAGLTPNGLAAALLACRALTKVKLHASFRPLLPQSILGYMEAHGCVFHWRDKAFQEMDPKGWKLHFGRSSSEVP >KJB21231 pep chromosome:Graimondii2_0_v6:3:41835975:41839717:-1 gene:B456_003G150800 transcript:KJB21231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQSKRRPQPLSPDSSPNPFDILTEEIVCKILDHLHNDPFATKAFSLTCKAFYFIESRHRRILKPLRPELLPRIFHRYPFVSHLDLSMCPRVDDNTLNVISSTWKATLQSINLSRSRFFTNAGLSSLFVNCSGLVEVDLCNATQLTDLAASAIAEAKNLERLSLARCKSITDMGIGCIAVGCRKLRSLCLKWCLRVGDLGVELIALKCKQIRSLDLSYLPITEKSLNSVLQLQHLEDLVLEGCHGIDDDGLSTLDQSCKSLKMLNLSNCQNVTHTGLSSLINGTEQLQQIILAYGSSVTSDLVKCLNAYSKLQSIKLDGCTVTWSGIKAMASLNAPVKELSLSKCLGLTDDGLSFLVQSHKDLRKLDITCCRKITYTSIDIITNSCTSLTSLRMESCSLVPKEAFVLIGARCSFLEELDATDNEIDDEGLKSISRCSKLSILKLGICSNISDEGLAKVGSSCSMLKEVDLYSTPLASTLIMTEILLVQTTKCSYCQAEGSEGLKDIRSVAISDEGIAAIGEGCPALEMINIAYNDKITDNSLISLSKCRLLKALEIRGCLGVSSIGLSAIAVGCKQLTVLDIKKCFNINDNGMLPLAQFSQNLKQINLSYCSVTDVGLVALASISRLQNMTILHLAGLTPNGLAAALLACRALTKVKLHASFRPLLPQSILGYMEAHGCVFHWRDKAFQVCYYSHLKGNHDFQGFELL >KJB21240 pep chromosome:Graimondii2_0_v6:3:41835620:41839717:-1 gene:B456_003G150800 transcript:KJB21240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQSKRRPQPLSPDSSPNPFDILTEEIVCKILDHLHNDPFATKAFSLTCKAFYFIESRHRRILKPLRPELLPRIFHRYPFVSHLDLSMCPRVDDNTLNVISSTWKATLQSINLSRSRFFTNAGLSSLFVNCSGLVEVDLCNATQLTDLAASAIAEAKNLERLSLARCKSITDMGIGCIAVGCRKLRSLCLKWCLRVGDLGVELIALKCKQIRSLDLSYLPITEKSLNSVLQLQHLEDLVLEGCHGIDDDGLSTLDQSCKSLKMLNLSNCQNVTHTGLSSLINGTEQLQQIILAYGSSVSPKLPKVTSDLVKCLNAYSKLQSIKLDGCTVTWSGIKAMASLNAPVKELSLSKCLGLTDDGLSFLVQSHKDLRKLDITCCRKITYTSIDIITNSCTSLTSLRMESCSLVPKEAFVLIGARCSFLEELDATDNEIDDEGLKSISRCSKLSILKLGICSNISDEGLAKVGSSCSMLKEVDLYRSVAISDEGIAAIGEGCPALEMINIAYNDKITDNSLISLSKCRLLKALEIRGCLGVSSIGLSAIAVGCKQLTVLDIKKCFNINDNGMLPLAQFSQNLKQINLSYCSVTDVGLVALASISRLQNMTILHLAGLTPNGLAAALLACRALTKVKLHASFRPLLPQSILGYMEAHGCVFHWRDKAFQV >KJB21233 pep chromosome:Graimondii2_0_v6:3:41835975:41839717:-1 gene:B456_003G150800 transcript:KJB21233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQSKRRPQPLSPDSSPNPFDILTEEIVCKILDHLHNDPFATKAFSLTCKAFYFIESRHRRILKPLRPELLPRIFHRYPFVSHLDLSMCPRVDDNTLNVISSTWKATLQSINLSRSRFFTNAGLSSLFVNCSGLVEVDLCNATQLTDLAASAIAEAKNLERLSLARCKSITDMGIGCIAVGCRKLRSLCLKWCLRVGDLGVELIALKCKQIRSLDLSYLPITEKSLNSVLQLQHLEDLVLEGCHGIDDDGLSTLDQSCKSLKMLNLSNCQNVTHTGLSSLINGTEQLQQIILAYGSSVTSDLVKCLNAYSKLQSIKLDGCTVTWSGIKAMASLNAPVKELSLSKCLGLTDDGLSFLVQSHKDLRKLDITCCRKITYTSIDIITNSCTSLTSLRMESCSLVPKEAFVLIGARCSFLEELDATDNEIDDEGLKSISRCSKLSILKLGICSNISDEGLAKVGSSCSMLKEVDLYRSVAISDEGIAAIGEGCPALEMINIAYNDKITDNSLISLSKCRLLKALEIRGCLGVSSIGLSAIAVGCKQLTVLDIKKCFNINDNGMLPLAQFSQNLKQINLSYCSVTDVGLVALASISRLQNMTILHLAGLTPNGLAAALLACRALTKVKLHASFRPLLPQSILGYMEAHGCVFHWRDKAFQVCYYSHLKGNHDFQGFELL >KJB21238 pep chromosome:Graimondii2_0_v6:3:41835094:41840132:-1 gene:B456_003G150800 transcript:KJB21238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQSKRRPQPLSPDSSPNPFDILTEEIVCKILDHLHNDPFATKAFSLTCKAFYFIESRHRRILKPLRPELLPRIFHRYPFVSHLDLSMCPRVDDNTLNVISSTWKATLQSINLSRSRFFTNAGLSSLFVNCSGLVEVDLCNATQLTDLAASAIAEAKNLERLSLARCKSITDMGIGCIAVGCRKLRSLCLKWCLRVGDLGVELIALKCKQIRSLDLSYLPITEKSLNSVLQLQHLEDLVLEGCHGIDDDGLSTLDQSCKSLKMLNLSNCQNVTHTGLSSLINGTEQLQQIILAYGSSVSPKLPKVTSDLVKCLNAYSKLQSIKLDGCTVTWSGIKAMASLNAPVKELSLSKCLGLTDDGLSFLVQSHKDLRKLDITCCRKITYTSIDIITNSCTSLTSLRMESCSLVPKEAFVLIGARCSFLEELDATDNEIDDEGLKSISRCSKLSILKLGICSNISDEGLAKVGSSCSMLKEVDLYRSVAISDEGIAAIGEGCPALEMINIAYNDKITDNSLISLSKCRLLKALEIRGCLGVSSIGLSAIAVGCKQLTVLDIKKCFNINDNGMLPLAQFSQNLKQINLSYCSVTDVGLVALASISRLQNMTILHLAGLTPNGLAAALLACRALTKVKLHASFRPLLPQSILGYMEAHGCVFHWRDKAFQKEMDPKGWKLHFGRSSSEVP >KJB21235 pep chromosome:Graimondii2_0_v6:3:41835692:41839717:-1 gene:B456_003G150800 transcript:KJB21235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQSKRRPQPLSPDSSPNPFDILTEEIVCKILDHLHNDPFATKAFSLTCKAFYFIESRHRRILKPLRPELLPRIFHRYPFVSHLDLSMCPRVDDNTLNVISSTWKATLQSINLSRSRFFTNAGLSSLFVNCSGLVEVDLCNATQLTDLAASAIAEAKNLERLSLARCKSITDMGIGCIAVGCRKLRSLCLKWCLRVGDLGVELIALKCKQIRSLDLSYLPITEKSLNSVLQLQHLEDLVLEGCHGIDDDGLSTLDQSCKSLKMLNLSNCQNVTHTGLSSLINGTEQLQQIILAYGSSVTSDLVKCLNAYSKLQSIKLDGCTVTWSGIKAMASLNAPVKELSLSKCLGLTDDGLSFLVQSHKDLRKLDITCCRKITYTSIDIITNSCTSLTSLRMESCSLVPKEAFVLIGARCSFLEELDATDNEIDDEGLKSISRCSKLSILKLGICSNISDEGLAKVGSSCSMLKEVDLYRSVAISDEGIAAIGEGCPALEMINIAYNDKITDNSLISLSKCRLLKALEIRGCLGVSSIGLSAIAVGCKQLTVLDIKKCFNINDNGMLPLAQFSQNLKQINLSYCSVTDVGLVALASISRLQNMTILHLAGLTPNGLAAALLACRALTKVKLHASFRPLLPQSILGYMEAHGCVFHWRDKAFQEEPSKVTP >KJB21239 pep chromosome:Graimondii2_0_v6:3:41835094:41840132:-1 gene:B456_003G150800 transcript:KJB21239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQSKRRPQPLSPDSSPNPFDILTEEIVCKILDHLHNDPFATKAFSLTCKAFYFIESRHRRILKPLRPELLPRIFHRYPFVSHLDLSMCPRVDDNTLNVISSTWKATLQSINLSRSRFFTNAGLSSLFVNCSGLVEVDLCNATQLTDLAASAIAEAKNLERLSLARCKSITDMGIGCIAVGCRKLRSLCLKWCLRVGDLGVELIALKCKQIRSLDLSYLPITEKSLNSVLQLQHLEDLVLEGCHGIDDDGLSTLDQSCKSLKMLNLSNCQNVTHTGLSSLINGTEQLQQIILAYGSSVTSDLVKCLNAYSKLQSIKLDGCTVTWSGIKAMASLNAPVKELSLSKCLGLTDDGLSFLVQSHKDLRKLDITCCRKITYTSIDIITNSCTSLTSLRMESCSLVPKEAFVLIGARCSFLEELDATDNEIDDEGLKSISRCSKLSILKLGICSNISDEGLAKVGSSCSMLKEVDLYRSVAISDEGIAAIGEGCPALEMINIAYNDKITDNSLISLSKCRLLKALEIRGCLGVSSIGLSAIAVGCKQLTVLDIKKCFNINDNGMLPLAQFSQNLKQINLSYCSVTDVGLVALASISRLQNMTILHLAGLTPNGLAAALLACRALTKVKLHASFRPLLPQSILGYMEAHGCVFHWRDKAFQKEMDPKGWKLHFGRSSSEVP >KJB21234 pep chromosome:Graimondii2_0_v6:3:41835673:41839717:-1 gene:B456_003G150800 transcript:KJB21234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQSKRRPQPLSPDSSPNPFDILTEEIVCKILDHLHNDPFATKAFSLTCKAFYFIESRHRRILKPLRPELLPRIFHRYPFVSHLDLSMCPRVDDNTLNVISSTWKATLQSINLSRSRFFTNAGLSSLFVNCSGLVEVDLCNATQLTDLAASAIAEAKNLERLSLARCKSITDMGIGCIAVGCRKLRSLCLKWCLRVGDLGVELIALKCKQIRSLDLSYLPITEKSLNSVLQLQHLEDLVLEGCHGIDDDGLSTLDQSCKSLKMLNLSNCQNVTHTGLSSLINGTEQLQQIILAYGSSVTSDLVKCLNAYSKLQSIKLDGCTVTWSGIKAMASLNAPVKELSLSKCLGLTDDGLSFLVQSHKDLRKLDITCCRKITYTSIDIITNSCTSLTSLRMESCSLVPKEAFVLIGARCSFLEELDATDNEIDDEGLKSISRCSKLSILKLGICSNISDEGLAKVGSSCSMLKEVDLYRSVAISDEGIAAIGEGCPALEMINIAYNDKITDNSLISLSKCRLLKALEIRGCLGVSSIGLSAIAVGCKQLTVLDIKKCFNINDNGMLPLAQFSQNLKQINLSYCSVTDVGLVALASISRLQNMTILHLAGLTPNGLAAALLACRALTKVKLHASFRPLLPQSILGYMEAHGCVFHWRDKAFQLLCMFWTNARKNPRKLHLEICSLS >KJB21237 pep chromosome:Graimondii2_0_v6:3:41835094:41839793:-1 gene:B456_003G150800 transcript:KJB21237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQSKRRPQPLSPDSSPNPFDILTEEIVCKILDHLHNDPFATKAFSLTCKAFYFIESRHRRILKPLRPELLPRIFHRYPFVSHLDLSMCPRVDDNTLNVISSTWKATLQSINLSRSRFFTNAGLSSLFVNCSGLVEVDLCNATQLTDLAASAIAEAKNLERLSLARCKSITDMGIGCIAVGCRKLRSLCLKWCLRVGDLGVELIALKCKQIRSLDLSYLPITEKSLNSVLQLQHLEDLVLEGCHGIDDDGLSTLDQSCKSLKMLNLSNCQNVTHTGLSSLINGTEQLQQIILAYGSSVTSDLVKCLNAYSKLQSIKLDGCTVTWSGIKAMASLNAPVKELSLSKCLGLTDDGLSFLVQSHKDLRKLDITCCRKITYTSIDIITNSCTSLTSLRMESCSLVPKEAFVLIGARCSFLEELDATDNEIDDEGLKSISRCSKLSILKLGICSNISDEGLAKVGSSCSMLKEVDLYRSVAISDEGIAAIGEGCPALEMINIAYNDKITDNSLISLSKCRLLKALEIRGCLGVSSIGLSAIAVGCKQLTVLDIKKCFNINDNGMLPLAQFSQNLKQINLSYCSVTDVGLVALASISRLQNMTILHLAGLTPNGLAAALLACRALTKVKLHASFRPLLPQSILGYMEAHGCVFHWRDKAFQV >KJB21236 pep chromosome:Graimondii2_0_v6:3:41835692:41839717:-1 gene:B456_003G150800 transcript:KJB21236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQSKRRPQPLSPDSSPNPFDILTEEIVCKILDHLHNDPFATKAFSLTCKAFYFIESRHRRILKPLRPELLPRIFHRYPFVSHLDLSMCPRVDDNTLNVISSTWKATLQSINLSRSRFFTNAGLSSLFVNCSGLVEVDLCNATQLTDLAASAIAEAKNLERLSLARCKSITDMGIGCIAVGCRKLRSLCLKWCLRVGDLGVELIALKCKQIRSLDLSYLPITEKSLNSVLQLQHLEDLVLEGCHGIDDDGLSTLDQSCKSLKMLNLSNCQNVTHTGLSSLINGTEQLQQIILAYGSSVSPKLPKVTSDLVKCLNAYSKLQSIKLDGCTVTWSGIKAMASLNAPVKELSLSKCLGLTDDGLSFLVQSHKDLRKLDITCCRKITYTSIDIITNSCTSLTSLRMESCSLVPKEAFVLIGARCSFLEELDATDNEIDDEGLKSISRCSKLSILKLGICSNISDEGLAKVGSSCSMLKEVDLYRSVAISDEGIAAIGEGCPALEMINIAYNDKITDNSLISLSKCRLLKALEIRGCLGVSSIGLSAIAVGCKQLTVLDIKKCFNINDNGMLPLAQFSQNLKQINLSYCSVTDVGLVALASISRLQNMTILHLAGLTPNGLAAALLACRALTKVKLHASFRPLLPQSILGYMEAHGCVFHWRDKAFQEEPSKVTP >KJB17845 pep chromosome:Graimondii2_0_v6:3:1500561:1502260:1 gene:B456_003G019700 transcript:KJB17845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFHCLPSFFILLHLGVTLFYGLPFRWIIYLGFVTSLFCMESIRVKKRGAFNVLFEETGSVVSRKLAKIKCSIAGEEEVKDSKGSSPTTSCDDNGGGSRLTNCNDYFSLGSSPSGDSTPSSYVNSPWSTTALVVYDSSIDDESTCSKELIGSLVREEGHVYSLAVIGDLLYTGSDSKNIRVWMKQKEFSWFKSRGGLVKAIVVVGNKIFTGHRDGKIRVWKVSTKMDNNGVHKRVGSLPTIRDYIKAAIQPRRYFETEPCRRDVWLRHTDAVSCLSFNEDKTLLYSASWDKTFKVWRVSDWKCLESVQAHDDAVNSIVVGCDGLVFTGSADGTVKVWRRELEGKGTMHLLSQTLLKQDCAITALAVSPASKFVYCGSSDGLVNYWECENHFSHGGAFRGHKLAVLCLVTAGNLVISGSADKSISIWKRTAGNEHRWLSTLKGHKGPIKCLAIEKDQESTENEWILYSGSLDKSVKIWRVSEEEQSIQSSNEIDSSDDKRTPTSSSSST >KJB19110 pep chromosome:Graimondii2_0_v6:3:21678248:21687294:1 gene:B456_003G085400 transcript:KJB19110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAEGGEISMDKESQNRKPPKCTVVIVDTYTLTIVQTVFHGNLSIGPLKFMDVVSSVDDGEIHCSLLADSFGKLQLVPLSKDFHQGSEGETRLQKSSKQEIEAWEDGLVEAGQVVSIATCRTTVATVLKDRSIFRLLDGAITIGVILFMNNVLCVEDDHGQSHVVGAMFLESKNYGNAQITGVTHESEIFLVWNNRGSAVLYAISYLDNTFNYEPLCEIPATSFPLGARLSFSFVHLSQILLRVESVCFTIEDSFQWKPRVTIWSLQQRCDRGKLFDECKMLGQGISFLGWTPTAGLDRKSESLGGFNTKLTSIQSSVSVSETVDSIHVDDSCYSVPKGQTVSSSMVISENLYAPSAIVYGFSSGQIQVVWFNLFRGLDSPAGSPRLEVDSHISKQNFAGHTGAILCLAAHRMMGAAKGWSFSQVLVSGSMDCTIRIWDLDSGNLVTVMHQHVGPVRQIILPPARTERPWSDCFLSVGEDSCVALTSLETLRVERMFPGHPDYPAKLVWDGARGYIACLCRHHSRVSDAIDVLYIWDVKTGSRERVLRGTASHSMFDHFCKEISVTSISGSSLSGNTSVSSLLLPIHEDGNLSQHRLNSSESGVSLSKMTGSSTLLANNSKLNSGKAPFDSRTRKQPIKCFCPYPGIATLSFDLAALIDPCQKRKRISKNGDGRENSYIKEHLSEAFSPRHLNSDDGFNTDQSSTDAIEEHDWIKSLEEYLVRFSLSFLHLWDVDCGLDDLLIADIKLKRPNGFIVSSGLQGDKGSLTLTFPGFTSSLELWKSSSEFCAMRSLTMVSLAQHMISLSHPSSSASSALAAFYTRNFADKYPDIKPPLLQLLVSFWQDESEHVRMAARSLFHCAASRAIPAPLCSQQATKHAKLLRSLTGIEESENEISRKEETIVGLSSECLLETEGTSQVEKAKLLGWLESYEIQDWISCVGGTSQDAMTSHIIVAAALVIWYPSLVKPSLATLVVQPLVKLVMAMNEKYSSTAAELLAEGMESTWKACIGTEIPRLISDIFFQIECVSGPSANSAGENPAVPVSIRETLVGTLLPSLAVADILGFLTVIESQIWSTASDSPVHLVSLATLIRVVRSSPRSLVQYLDKVINFILQTMDPGNSVMRKTCHPRSMTTLREVIRVFPMVAMNESSTKLAFGDAIGEINGASIRVYDMQSATKIKVLDASGPPGLPSLLLRAPEMSVTTVISALSFSPDGEGLVAFSEHGLMIRWWSLGSVWWEKLSRNLNPVQCTKVIFVPPGEGFSPKTSRSSIMGSVLGHDTEAHSQEAAACYSDKLKLLIHNLDLSYRLQWVGERKVLLTRHGLEIGSFPL >KJB19111 pep chromosome:Graimondii2_0_v6:3:21678248:21687294:1 gene:B456_003G085400 transcript:KJB19111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCRSVACIWSGTPPVHRVTATAALNHPPTLYTGGSDGSILWWNLSNSDSHSEIRPIAMLCGHAAPIADLAICCPIVVSGEQSMDYSSNVAFNSSFDNGGALLSACTDSMLCVWSRSSGHCRRRRKLPPWVGSPSIIRTLPWNPRYACVGCCFIDAAHLTDHQLMESAEGGEISMDKESQNRKPPKCTVVIVDTYTLTIVQTVFHGNLSIGPLKFMDVVSSVDDGEIHCSLLADSFGKLQLVPLSKDFHQGSEGETRLQKSSKQEIEAWEDGLVEAGQVVSIATCRTTVATVLKDRSIFRLLDGAITIGVILFMNNVLCVEDDHGQSHVVGAMFLESKNYGNAQITGVTHESEIFLVWNNRGSAVLYAISYLDNTFNYEPLCEIPATSFPLGARLSFSFVHLSQILLRVESVCFTIEDSFQWKPRVTIWSLQQRCDRGKLFDECKMLGQGISFLGWTPTAGLDRKSESLGGFNTKLTSIQSSVSVSETVDSIHVDDSCYSVPKGQTVSSSMVISENLYAPSAIVYGFSSGQIQVVWFNLFRGLDSPAGSPRLEVDSHISKQNFAGHTGAILCLAAHRMMGAAKGWSFSQVLVSGSMDCTIRIWDLDSGNLVTVMHQHVGPVRQIILPPARTERPWSDCFLSVGEDSCVALTSLETLRVERMFPGHPDYPAKLVWDGARGYIACLCRHHSRVSDAIDVLYIWDVKTGSRERVLRGTASHSMFDHFCKEISVTSISGSSLSGNTSVSSLLLPIHEDGNLSQHRLNSSESGVSLSKMTGSSTLLANNSKLNSGKAPFDSRTRKQPIKCFCPYPGIATLSFDLAALIDPCQKRKRISKNGDGRENSYIKEHLSEAFSPRHLNSDDGFNTDQSSTDAIEEHDWIKSLEEYLVRFSLSFLHLWDVDCGLDDLLIADIKLKRPNGFIVSSGLQGDKGSLTLTFPGFTSSLELWKSSSEFCAMRSLTMVSLAQHMISLSHPSSSASSALAAFYTRNFADKYPDIKPPLLQLLVSFWQDESEHVRMAARSLFHCAASRAIPAPLCSQQATKHAKLLRSLTGIEESENEISRKEETIVGLSSECLLETEGTSQVEKAKLLGWLESYEIQDWISCVGGTSQDAMTSHIIVAAALVIWYPSLVKPSLATLVVQPLVKLVMAMNEKYSSTAAELLAEGMESTWKACIGTEIPRLISDIFFQIECVSGPSANSAGENPAVPVSIRETLVGTLLPSLAVADILGFLTVIESQIWSTASDSPVHLVSLATLIRVVRSSPRSLVQYLDKVINFILQTMDPGNSVMRKTCHPRSMTTLREVIRVFPMVAMNESSTKLAFGDAIGEINGASIRVYDMQSATKIKVLDASGPPGLPSLLLRAPEMSVTTVISALSFSPDGEGLVAFSEHGLMIRWWSLGSVWWEKLSRNLNPVQCTKVIFVPPGEGFSPKTSRSSIMGSVLGHDTEAHSQEAAACYSDKLKLLIHNLDLSYRLQWVGERKVLLTRHGLEIGSFPL >KJB18210 pep chromosome:Graimondii2_0_v6:3:4224738:4227712:-1 gene:B456_003G039300 transcript:KJB18210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQDAHEFLNFLLNELVDILEKEAPTAKNEAETSPPEKVANGPKNPQANGVQKEPLVTWVHKNFQGILTNETRCLRCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADSEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEIIDESAVQTFFGSAQEYSSNTDHGYILFYESLGANKNS >KJB18209 pep chromosome:Graimondii2_0_v6:3:4224728:4229533:-1 gene:B456_003G039300 transcript:KJB18209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYSNYKNGADAEENLLICLADLFTQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEAPTAKNEAETSPPEKVANGPKNPQANGVQKEPLVTWVHKNFQGILTNETRCLRCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADSEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEIIDESAVQTFFGSAQEYSSNTDHGYILFYESLGANKNS >KJB18208 pep chromosome:Graimondii2_0_v6:3:4224738:4229485:-1 gene:B456_003G039300 transcript:KJB18208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYSNYKNGADAEENLLICLADLFTQFKFCREDDAFLFLANVIDVLISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEAPTAKNEAETSPPEKVANGPKNPQANGVQKEPLVTWVHKNFQGILTNETRCLRCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADSEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEIIDESAVQTFFGSAQEYSSNTDHGYILFYESLGANKNS >KJB18211 pep chromosome:Graimondii2_0_v6:3:4225142:4227136:-1 gene:B456_003G039300 transcript:KJB18211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQDAHEFLNFLLNELVDILEKEAPTAKNEAETSPPEKVANGPKNPQANGVQKEPLVTWVHKNFQGILTNETRCLRCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADSEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEIIDESAVQTFFGSAQEYSSNTDHGYILFYESLGANKNS >KJB18756 pep chromosome:Graimondii2_0_v6:3:14445843:14453454:-1 gene:B456_003G068300 transcript:KJB18756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVVFNGQAIDKIKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKVFFASMVVDAVIAIGNDDRLNMIGIKKVPGGNMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVKSGAKVVLSRLAIGDLATQYFADRDIFCAGRVAEEDLQRVAAATGGTVQTSVNNIIDEVLGTCEVFEEKQVGNERFNIFSGCPSGRTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVAGGGAIDMEISRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHALPSGEGASYGVDINTGGIADSFTNFVWEPSVVKSNAINAATEAACLILSVDETVKNPKSESAQGEAAASAMAGRGRGRGRGMRRR >KJB18755 pep chromosome:Graimondii2_0_v6:3:14445757:14453583:-1 gene:B456_003G068300 transcript:KJB18755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMLQPQIILLKEGTDTSQGKAQLVSNINACTAVADVVRTTLGPRGMDKLIHDDKGNVTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFVEDGVHPQNLIRSYRTACYLAIDKIKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKVFFASMVVDAVIAIGNDDRLNMIGIKKVPGGNMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVKSGAKVVLSRLAIGDLATQYFADRDIFCAGRVAEEDLQRVAAATGGTVQTSVNNIIDEVLGTCEVFEEKQVGNERFNIFSGCPSGRTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVAGGGAIDMEISRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHALPSGEGASYGVDINTGGIADSFTNFVWEPSVVKSNAINAATEAACLILSVDETVKNPKSESAQGEAAASAMAGRGRGRGRGMRRR >KJB20701 pep chromosome:Graimondii2_0_v6:3:42896137:42898422:-1 gene:B456_003G159900 transcript:KJB20701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFLRRTNSSASFVSNQSQESDQTSNNTVNEQEEHYENIPQRFDNWTLPRVPTNQVYKKTTVENLNAFCSYVIKTKEMSLPIQKEYETIQLLDKVVINKLKEQRYKYIHFGLVQVGVKPLSVEPTKNTSILVVLRDQRHIMFNDSLLGTIETSLCTGSIHFNCYPNFMVSLIDKNILQSLTLQIHTHNYKMLPGTEVLTLAYRLHFKAMYSVVNTKALFQSPKGETLLIETCTTRSHTTIPRTIQWHEINFLDKWKLEGATDPVAPTPIRNTSLSEISQHQDGTVELKFNRPQRMPPRHSFKIGSTSTAFRRLNIEEESNPETQTEESPQNSPNMSLTYSSMTNNARQRENSEIFVLEKLFEINKEWCRKHFYSNKNKQKREDYFKNYNDKKESILQEYYGFMNTHKNIGIKANPLRMRAPDAEQISSKDIKMIVEQNNYTNINLHTIGKQLDYIETLVESQPIKKEPVKEIIEKSSKEPIFTPYEIPKAFQKSQNDFLTEIQNRLNALENYKSRLIAPNTPIQTQYSVNTLHQSSQSDSDQSDEQQINKMAWKEPKRLYYPKITAPDLNIEEKPVFQNKYNANTIYEWNIDEISEYNILSLLQQMTMVSNVYKTQNQNGLINDHAIANLLVVGFTGQLKGWWDHALTKTQQEEILKAIKKDDQDRIILDEQGR >KJB20729 pep chromosome:Graimondii2_0_v6:3:43040466:43041581:-1 gene:B456_003G161400 transcript:KJB20729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRSMSKRSKYDLDSIPQDPPYLQPGALVEIQPPEFGYEGPWHIATIAQRATPIHSNRFVVRFTHLFQDEKTGNRPLRMFNLGDIRPHPPPQRPRKFKNGDHADAYHNNGWWDGVIVQELKNGNYLFRFNSDNQWPKFVEFGVNKLRLHRTWFNGHWIPPLEASEIAVEEVQREEEPTKKTMEMEEYNERALVEVANDEDGPNRAWYAAIIVTPVGNKRYLIQYTTMRTDDNSGFFGKVMDTLHIRPRPPDIEVPDQFVMLDQVDAFYKGGWWKGVIIKVLSDDSKYHVYLATHEEMEFKHSELRLHQDWIDGKWTKPSPGVHL >KJB19300 pep chromosome:Graimondii2_0_v6:3:29524641:29525124:1 gene:B456_003G094100 transcript:KJB19300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVYVHDTLYIHFYTMLWVSNLSKFNYVVYLNRKGWTSHTGQRRVNSYYYPRVPNISVPLRTPELCNW >KJB18832 pep chromosome:Graimondii2_0_v6:3:16584048:16585713:-1 gene:B456_003G071300 transcript:KJB18832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGVIVIMLELSHIVSRLLWLGPFMAEIGAQTSFFYIFRERELIYDLFEAAIDMRMMHNYFHISRVVVDLPYGWIDKCLDFCNYFLTGSVEYQKLITRNLIFLERVEGIGIIGGEEVINWVDHFECYDEFDWEIQWQKERDSLSHYLVQISEMTESIKIIQQALEGISGGPYLFHHTAGLSSKGNITNKNGD >KJB18459 pep chromosome:Graimondii2_0_v6:3:8385225:8386226:1 gene:B456_003G054200 transcript:KJB18459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHVSQDTPVGVPAALIWDVYRGLELGRLVDKLAPEVLGRVEFLEGDGGVGTIAKLTLPPGTPGRGYMKERFTKIDDENRVKETEVLEGGYKDLGFDVVRIRLEIVEKDSESCMVRSTIEYEGDEKLADVVSHVNVKPLEMMAEIIGKHLCQNKSTH >KJB17572 pep chromosome:Graimondii2_0_v6:3:340901:344834:-1 gene:B456_003G006300 transcript:KJB17572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGDDHDTNMSQSEKNSFRMINTPTASNERELLEALKDVEDHFIRAYESGLDVCRMLEANRVHLQSGLEELKESSNKLIRSITWSRSPLSRSSSCKSLASCSSRSSSTWTELKTDVFDDYGGMESGSHSLTLGRLYAWEKKLYEEVKAAEQTRKTYEQKCSQMRNRNTKRDGLYSGDKTRAEVKDLHSRILVAIRSAETISERIEKLRDEELQPQLIELLHGLMRNWQIMLESHETQNRIMFEVKSFNCPTYGKFCNDSHRLATLQLVAELHNWRSCFVAFLCSQKSYIEALSGWLAKFIAPEVELCSKKRSSAPTLRVNGPPLLATCHEWLASLEKLPKEAVSCALKSFGKDMRALWVQQGEEQKHKRKVDGLAKELERKVLAFQRTESRILGSKLSEKDIEVNVRNRIEYLAERKNMLDMLRKRIDAEKLKHITVMEQTQQITVKGFQTGFYSVFGSLVEFSKASIKMYADLVTYCETTKVPEKEDEDEPSYVEEMSSYLWG >KJB17573 pep chromosome:Graimondii2_0_v6:3:342030:344584:-1 gene:B456_003G006300 transcript:KJB17573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCATSKKEEEDGVVSLCKERKRLLKLAVERRYALADAQCKYNQSLYAVAAAIRLFVARHSSPSSPFLITFPVGSTNEAVETPLANPMFFKQRLSEPTHETIPRQASMSTVFVKRGNEGQVQGGGDEGCVESSEGEQESEGEEDEVICEHFYGEKAPPPAMPSPEEFAWDFFNPFDEVRREEVVSSSFSGSSQEELRVVREKEGIPDLEEDGERVMSERKVENVTNAGDGGGKSENKNTDMRNGDDHDTNMSQSEKNSFRMINTPTASNERELLEALKDVEDHFIRAYESGLDVCRMLEANRVHLQSGLEELKESSNKLIRSITWSRSPLSRSSSCKSLASCSSRSSSTWTELKTDVFDDYGGMESGSHSLTLGRLYAWEKKLYEEVKAAEQTRKTYEQKCSQMRNRNTKRDGLYSGDKTRAEVKDLHSRILVAIRSAETISERIEKLRDEELQPQLIELLHGYESKRQKRYFRYITFIIVIIFHFILLL >KJB17570 pep chromosome:Graimondii2_0_v6:3:340839:345107:-1 gene:B456_003G006300 transcript:KJB17570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCATSKKEEEDGVVSLCKERKRLLKLAVERRYALADAQCKYNQSLYAVAAAIRLFVARHSSPSSPFLITFPVGSTNEAVETPLANPMFFKQRLSEPTHETIPRQASMSTVFVKRGNEGQVQGGGDEGCVESSEGEQESEGEEDEVICEHFYGEKAPPPAMPSPEEFAWDFFNPFDEVRREEVVSSSFSGSSQEELRVVREKEGIPDLEEDGERVMSERKVENVTNAGDGGGKSENKNTDMRNGDDHDTNMSQSEKNSFRMINTPTASNERELLEALKDVEDHFIRAYESGLDVCRMLEANRVHLQSGLEELKESSNKLIRSITWSRSPLSRSSSCKSLASCSSRSSSTWTELKTDVFDDYGGMESGSHSLTLGRLYAWEKKLYEEVKAAEQTRKTYEQKCSQMRNRNTKRDGLYSGDKTRAEVKDLHSRILVAIRSAETISERIEKLRDEELQPQLIELLHGLMRNWQIMLESHETQNRIMFEVKSFNCPTYGKFCNDSHRLATLQLVAELHNWRSCFVAFLCSQKSYIEALSGWLAKFIAPEVELCSKKRSSAPTLRVNGPPLLATCHEWLASLEKLPKEAVSCALKSFGKDMRALWVQQGEEQKHKRKVDGLAKELERKVLAFQRTESRILGSKLSEKDIEVNVRNRIEYLAERKNMLDMLRKRIDAEKLKHITVMEQTQQITVKGFQTGFYSVFGSLVEFSKASIKMYADLVTYCETTKVPEKEDEDEPSYVEEMSSYLWG >KJB17571 pep chromosome:Graimondii2_0_v6:3:340901:344834:-1 gene:B456_003G006300 transcript:KJB17571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCATSKKEEEDGVVSLCKERKRLLKLAVERRYALADAQCKYNQSLYAVAAAIRLFVARHSSPSSPFLITFPVGSTNEAVETPLANPMFFKQRLSEPTHETIPRQASMSTVFVKRGNEGQVQGGGDEGCVESSEGEQESEGEEDEVICEHFYVVREKEGIPDLEEDGERVMSERKVENVTNAGDGGGKSENKNTDMRNGDDHDTNMSQSEKNSFRMINTPTASNERELLEALKDVEDHFIRAYESGLDVCRMLEANRVHLQSGLEELKESSNKLIRSITWSRSPLSRSSSCKSLASCSSRSSSTWTELKTDVFDDYGGMESGSHSLTLGRLYAWEKKLYEEVKAAEQTRKTYEQKCSQMRNRNTKRDGLYSGDKTRAEVKDLHSRILVAIRSAETISERIEKLRDEELQPQLIELLHGLMRNWQIMLESHETQNRIMFEVKSFNCPTYGKFCNDSHRLATLQLVAELHNWRSCFVAFLCSQKSYIEALSGWLAKFIAPEVELCSKKRSSAPTLRVNGPPLLATCHEWLASLEKLPKEAVSCALKSFGKDMRALWVQQGEEQKHKRKVDGLAKELERKVLAFQRTESRILGSKLSEKDIEVNVRNRIEYLAERKNMLDMLRKRIDAEKLKHITVMEQTQQITVKGFQTGFYSVFGSLVEFSKASIKMYADLVTYCETTKVPEKEDEDEPSYVEEMSSYLWG >KJB18433 pep chromosome:Graimondii2_0_v6:3:8112339:8115689:-1 gene:B456_003G053100 transcript:KJB18433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLRSQVAYVTFKDPQGAETAVLLSGATIVDQSVTIELAPDYKPPATASATPATQNKEAGQAESAVQKAEDVVTSMLAKGFILGKDAVNKAKAFDEKHQFTSTATAKVASLDQKIGFTEKISAGTTMVNDKVREMDQKFQVSEKTKSAIAAAEQTVSSAGSAIMKNRYVLTGTSWVTGAFNRVAKAAGDVGQKTKEKVLAEEEEAHKSKGYARMDECESPKAGAPSSAQGLIL >KJB18431 pep chromosome:Graimondii2_0_v6:3:8112609:8114683:-1 gene:B456_003G053100 transcript:KJB18431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGNNERSQVAYVTFKDPQGAETAVLLSGATIVDQSVTIELAPDYKPPATASATPATQNKEAGQAESAVQKAEDVVTSMLAKGFILGKDAVNKAKAFDEKHQFTSTATAKVASLDQKIGFTEKISAGTTMVNDKVREMDQKFQVSEKTKSAIAAAEQTVSSAGSAIMKNRYVLTGTSWVTGAFNRVAKAAGDVGQKTKEKVLAEEEEAHKSKGYARMDECESPKAGAPSSAQGLIL >KJB18429 pep chromosome:Graimondii2_0_v6:3:8112325:8115876:-1 gene:B456_003G053100 transcript:KJB18429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQSVKVGNVSLGASEQDIKEFFSFSGDIEHVEMHGNNERSQVAYVTFKDPQGAETAVLLSGATIVDQSVTIELAPDYKPPATASATPATQNKEAGQAESAVQKAEDVVTSMLAKGFILGKDAVNKAKAFDEKHQFTSTATAKVASLDQKIGFTEKISAGTTMVNDKVREMDQKFQVSEKTKSAIAAAEQTVSSAGSAIMKNRYVLTGTSWVTGAFNRVAKAAGDVGQKTKEKVLAEEEEAHKSKGYARMDECESPKAGAPSSAQGLIL >KJB18432 pep chromosome:Graimondii2_0_v6:3:8112609:8114683:-1 gene:B456_003G053100 transcript:KJB18432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGNNERSQVAYVTFKDPQGAETAVLLSGATIVDQSVTIELAPDYKPPATASATPATQNKEAGQAESAVQKAEDVVTSMLAKGFILGKDAVNKAKAFDEKHQFTSTATAKVASLDQKIGFTEKISAGTTMVNDKVREMDQKFQVSEKTKSAIAAAEQTVSSAGSAIMKNRYVLTGTSWVTGAFNRVAKAAGDVGQKTKEKVLAEEEEAHKSKGYARMDECESPKAGAPSSAQGLIL >KJB18434 pep chromosome:Graimondii2_0_v6:3:8112339:8115689:-1 gene:B456_003G053100 transcript:KJB18434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQSVKVGNVSLGASEQDIKEFFSFSGDIEHVEMHGNNERSQVAYVTFKDPQGAETAVLLSGATIVDQSVTIELAPDYKPPATASATPNKEAGQAESAVQKAEDVVTSMLAKGFILGKDAVNKAKAFDEKHQFTSTATAKVASLDQKIGFTEKISAGTTMVNDKVREMDQKFQVSEKTKSAIAAAEQTVSSAGSAIMKNRYVLTGTSWVTGAFNRVAKAAGDVGQKTKEKVLAEEEEAHKSKGYARMDECESPKAGAPSSAQGLIL >KJB18430 pep chromosome:Graimondii2_0_v6:3:8112339:8115689:-1 gene:B456_003G053100 transcript:KJB18430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGNNERSQVAYVTFKDPQGAETAVLLSGATIVDQSVTIELAPDYKPPATASATPNKEAGQAESAVQKAEDVVTSMLAKGFILGKDAVNKAKAFDEKHQFTSTATAKVASLDQKIGFTEKISAGTTMVNDKVREMDQKFQVSEKTKSAIAAAEQTVSSAGSAIMKNRYVLTGTSWVTGAFNRVAKAAGDVGQKTKEKVLAEEEEAHKSKGYARMDECESPKAGAPSSAQGLIL >KJB20824 pep chromosome:Graimondii2_0_v6:3:43688570:43691376:-1 gene:B456_003G167100 transcript:KJB20824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREIVTTNTATGGVKVVGSDAPSDYHIAPRSENPTQNPTPGSALPSQPQPQSAAQPVPPPVSVAGMPLKKKRGRPRKYGPDGSVTMALSPKPISTAAPPPVIDFSAAKRGKVKSPTPVSKAKFEVENIGEWVACSVGANFTPHIITVNAGEDVTMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPNDTGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAAGPVQVVVGSFLAGNQHEQNPKKQKFEPVSAATVMAAIPISCADPKSNISTSFRGNNDTWPTMPSDTTNKPADINVSLPGI >KJB20825 pep chromosome:Graimondii2_0_v6:3:43688570:43691415:-1 gene:B456_003G167100 transcript:KJB20825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREIVTTNTATGGVKVVGSDAPSDYHIAPRSENPTQNPTPGSALPSQPQPQSAAQPVPPPVSVAGMPLKKKRGRPRKYGPDGSVTMALSPKPISTAAPPPVIDFSAAKRGKVKSPTPVSKAKFEVENIGEWVACSVGANFTPHIITVNAGEDVTMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPNDTGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAAGPVQVVVGSFLAGNQHEQNPKKQKFEPVSAATVMAAIPISCADPKSNISTSFRGNNDTWPTMPSDTTNKPADINVSLPGI >KJB18415 pep chromosome:Graimondii2_0_v6:3:7801736:7813716:-1 gene:B456_003G051900 transcript:KJB18415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERLRDLSQPIDVSLLDATVAAFYGTGSKQERAHADQILRDLQNNPDMWLQVVHILQQTKSLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRAERLYVNKLNIILVQILKHDWPARWRSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESTLLETLLKFFPVPSYRNLTLQCLTEVAALNFGDYYNVQYVKMYNIFVVQLQTILPPTTDIPEAYAHGTSEEQAFIQNLALFFTSFYKFHIRVLETAQENISALLVGLEYLINISYVDDTEVFKVCLDYWNSLVLELFDANHNMDNSAVTANMMGLQVPLLPGLVDGLGAQLLQRRQLYAGTMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKSMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGENEPFVSELLSALATTVADLEPHQIHSFYESVGHMIQAESDPHKRDEYLQRLMELPNQKWGEIIGQARQSVDFLKDQDVIRTVLNILQTNTSVASSLGTHFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKVAMIDDVPRLFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFAALIRLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRTYFLSIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLSLTLFSG >KJB18416 pep chromosome:Graimondii2_0_v6:3:7801736:7814074:-1 gene:B456_003G051900 transcript:KJB18416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERLRDLSQPIDVSLLDATVAAFYGTGSKQERAHADQILRDLQNNPDMWLQVVHILQQTKSLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRAERLYVNKLNIILVQILKHDWPARWRSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESTLLETLLKFFPVPSYRNLTLQCLTEVAALNFGDYYNVQYVKMYNIFVVQLQTILPPTTDIPEAYAHGTSEEQAFIQNLALFFTSFYKFHIRVLETAQENISALLVGLEYLINISYVDDTEVFKVCLDYWNSLVLELFDANHNMDNSAVTANMMGLQVPLLPGLVDGLGAQLLQRRQLYAGTMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKSMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGENEPFVSELLSALATTVADLEPHQIHSFYESVGHMIQAESDPHKRDEYLQRLMELPNQKWGEIIGQARQSVDFLKDQDVIRTVLNILQTNTSVASSLGTHFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKVAMIDDVPRLFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFAALIRLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRTYFLSIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGLLTEPLWDAATVPYPYPNNGMFVREYTIKLLSTSFPNMTAAEVTQFVNGLFDSRNDLSTFKNHIRDFLVQSKEFSAQDNKDLYAEEAALQRERERQRMLSIPGLIAPNEIQDEMLDS >KJB18414 pep chromosome:Graimondii2_0_v6:3:7801736:7813716:-1 gene:B456_003G051900 transcript:KJB18414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERLRDLSQPIDVSLLDATVAAFYGTGSKQERAHADQILRDLQNNPDMWLQVVHILQQTKSLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRAERLYVNKLNIILVQILKHDWPARWRSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESTLLETLLKFFPVPSYRNLTLQCLTEVAALNFGDYYNVQYVKMYNIFVVQLQTILPPTTDIPEAYAHGTSEEQAFIQNLALFFTSFYKFHIRVLETAQENISALLVGLEYLINISYVDDTEVFKVCLDYWNSLVLELFDANHNMDNSAVTANMMGLQVPLLPGLVDGLGAQLLQRRQLYAGTMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKSMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGENEPFVSELLSALATTVADLEPHQIHSFYESVGHMIQAESDPHKRDEYLQRLMELPNQKWGEIIGQARQSVDFLKDQDVIRTVLNILQTNTSVASSLGTHFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKVAMIDDVPRLFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFAALIRLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRTYFLSIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVKYFAVS >KJB18413 pep chromosome:Graimondii2_0_v6:3:7802972:7813605:-1 gene:B456_003G051900 transcript:KJB18413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERLRDLSQPIDVSLLDATVAAFYGTGSKQERAHADQILRDLQNNPDMWLQVVHILQQTKSLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRAERLYVNKLNIILVQILKHDWPARWRSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESTLLETLLKFFPVPSYRNLTLQCLTEVAALNFGDYYNVQYVKMYNIFVVQLQTILPPTTDIPEAYAHGTSEEQAFIQNLALFFTSFYKFHIRVLETAQENISALLVGLEYLINISYVDDTEVFKVCLDYWNSLVLELFDANHNMDNSAVTANMMGLQVPLLPGLVDGLGAQLLQRRQLYAGTMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKSMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGENEPFVSELLSALATTVADLEPHQIHSFYESVGHMIQAESDPHKRDEYLQRLMELPNQKWGEIIGQARQSVDFLKDQDVIRTVLNILQTNTSVASSLGTHFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKVAMIDDVPRLFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFAALIRLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRTYFLSIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVKYFAVS >KJB20612 pep chromosome:Graimondii2_0_v6:3:42554719:42558972:-1 gene:B456_003G156200 transcript:KJB20612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRGSSNRLSNSGGSPFRSRISALLLAMFATMATVYVAGRMWQEAESRVYLIKELDRRTGQGHSSVSVSETLKIVTCKEQLKKLSAIQMDLAAAKQEGYVSKEHSGNDGTRSKKRLLGVIGIITTFGRKKNRDAIRKAWMQSGAALRKLEEEKGIVVRFVIGRSANRGDSLDREINDEHSQTNDFIILDDVEAPEERSKKIKLFFVRAVESWDAEFYVKVNDDVYVNIDALGAKLSAHLDTPRIYLGCMKSGEVFSDPTHKWHEPDWWKFGDGKSYFRHASGEIFAISRALAQFISINKSLLQVYAHDDVSAGSWFIGLDVQHVHEGKFCCNTWSTGSVCAAV >KJB20614 pep chromosome:Graimondii2_0_v6:3:42554729:42558888:-1 gene:B456_003G156200 transcript:KJB20614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRGSSNRLSNSGGSPFRSRISALLLAMFATMATVYVAGRMWQEAESRVYLIKELDRRTGQGHSSVSVSETLKIVTCKEQLKKLSAIQMDLAAAKQEGYVSKEHSGNDGTRSKKRLLGVIGIITTFGRKKNRDAIRKAWMQSGAALRKLEEEKGIVVRFVIGRSLDREINDEHSQTNDFIILDDVEAPEERSKKIKLFFVRAVESWDAEFYVKVNDDVYVNIDALGAKLSAHLDTPRIYLGCMKSGEVFSDPTHKWHEPDWWKFGDGKSYFRHASGEIFAISRALAQFISINKSLLQVYAHDDVSAGSWFIGLDVQHVHEGKFCCNTWSTGSVCAAV >KJB20613 pep chromosome:Graimondii2_0_v6:3:42555879:42558712:-1 gene:B456_003G156200 transcript:KJB20613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRGSSNRLSNSGGSPFRSRISALLLAMFATMATVYVAGRMWQEAESRVYLIKELDRRTGQGHSSVSVSETLKIVTCKEQLKKLSAIQMDLAAAKQEGYVSKEHSGNDGTRSKKRLLGVIGIITTFGRKKNRDAIRKAWMQSGAALRKLEEEKGIVVRFVIGRSANRGDSLDREINDEHSQTNDFIILDDVEAPEERSKKIKLFFVRAVESWDAEFYVKVNDDVYVNIDALGAKLSAHLDTPRIYLGCMKSGEVFSDPTHKWHEPDWWKFGDGKS >KJB20619 pep chromosome:Graimondii2_0_v6:3:42616815:42617258:-1 gene:B456_003G156700 transcript:KJB20619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAKLLDLFHYLAKLISNILHSLRLPGFSQPYIPWRDTLDTDTSTFSFALLIGEHLPVSDLIDPPDNCPVCLCEFEEEDEIRQLMSCRHIFHGRCLDRWMGYDQQTCPLCRTQFAMGPSRTIEFFVDYHQSNAL >KJB20062 pep chromosome:Graimondii2_0_v6:3:38551122:38553456:1 gene:B456_003G131000 transcript:KJB20062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVNVISVLIFISSMWMMGEARIPGVYSGGAWQNAHATFYGGADASGTMGGACGYGNLYSQGYGVSTAALSTALFNNGLSCGACFEIKCASDPKWCHSGSPSIFITATNFCPPNYALPNDNGGWCNPPRTHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKRGGIRFTINGFRYFNLVLISNVAGAGDIVKVSVKGSRTGWMSMSRNWGQNWQSNAVLVGQSLSFRVTGSDRRTSTSWNIVPAHWQFGQTFTGKNFRV >KJB20063 pep chromosome:Graimondii2_0_v6:3:38551134:38552052:1 gene:B456_003G131000 transcript:KJB20063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVNVISVLIFISSMWMMGEARIPGVYSGGAWQNAHATFYGGADASGTMGGACGYGNLYSQGYGVSTAALSTALFNNGLSCGACFEIKCASDPKWCHSGSPSIFITATNFCPPNYALPNDNGGWCNPPRTHFDLAMPMFLKIAEYRAGIVPVSYRRYVLCFSFGFWLIRPEWLNFTLVLQLQKISIGCI >KJB20019 pep chromosome:Graimondii2_0_v6:3:41862031:41864202:-1 gene:B456_003G151100 transcript:KJB20019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSAPVYPANTPIPFDLFVSKKHRALPRGVLGFADSSGNIVFKVNRQDSKSSFSHAKAILLDSAGNPLISLYPHNDGSWQGFKGDDGDKNLIFKVQRVLTKFTRTELEVFLVSENQGQGELTCDFKVIGCHFQRSCTIYKVDSIVAQTSLMHKLRKICVSRSKFRLTMFPSLEDPSLVVALVVIFLFSGPKKFQVLDKIPISI >KJB20016 pep chromosome:Graimondii2_0_v6:3:41860847:41864320:-1 gene:B456_003G151100 transcript:KJB20016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSAPVYPANTPIPFDLFVSKKHRALPRGVLGFADSSGNIVFKVNRQDSKSSFSHAKAILLDSAGNPLISLYPHNDGSWQGFKGDDGDKNLIFKVQRVLTKFTRTELEVFLVSENQGQGELTCDFKVIGCHFQRSCTIYKVDSIVAQL >KJB20020 pep chromosome:Graimondii2_0_v6:3:41863499:41864274:-1 gene:B456_003G151100 transcript:KJB20020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSAPVYPANTPIPFDLFVSKKHRALPRGVLGFADSSGNIVFKVNRQDSKSSFSHAKAILLDSAGNPLISLYPHNDGSWQGFKGDDGDKNLIFKVQRVLTKFTRTELEVFLVSENQGQGELTCDFKVIGCHFQRSCTIYKVDSIVAQVL >KJB20017 pep chromosome:Graimondii2_0_v6:3:41860993:41864274:-1 gene:B456_003G151100 transcript:KJB20017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSAPVYPANTPIPFDLFVSKKHRALPRGVLGFADSSGNIVFKVNRQDSKSSFSHAKAILLDSAGNPLISLYPHNDGSWQGFKGDDGDKNLIFKVQRVLTKFTRTELEVFLVSENQGQGELTCDFKVIGCHFQRSCTIYKTSLMHKLRKICVSRSKFRLTMFPSLEDPSLVVALVVIFLFSGPKKFQVLDKIPISI >KJB20013 pep chromosome:Graimondii2_0_v6:3:41860828:41864342:-1 gene:B456_003G151100 transcript:KJB20013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSAPVYPANTPIPFDLFVSKKHRALPRGVLGFADSSGNIVFKVNRQDSKSSFSHAKAILLDSAGNPLISLYPHNDGSWQGFKGDDGDKNLIFKVQRVLTKFTRTELEVFLVSENQGQGELTCDFKVIGCHFQRSCTIYKVDSIVAQTSLMHKLRKICVSRSKFRLTMFPSLEDPSLVVALVL >KJB20018 pep chromosome:Graimondii2_0_v6:3:41860993:41864274:-1 gene:B456_003G151100 transcript:KJB20018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSAPVYPANTPIPFDLFVSKKHRALPRGVLGFADSSGNIVFKVNRQDSKSSFSHAKAILLDSAGNPLISLYPHNDGSWQGFKGDDGDKNLIFKVQRVLTKFTRTELEVFLVSENQGQGELTCDFKVIGCHFQRSCTIYKVDSIVAQSYAQTSEDLC >KJB20014 pep chromosome:Graimondii2_0_v6:3:41862031:41864202:-1 gene:B456_003G151100 transcript:KJB20014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSAPVYPANTPIPFDLFVSKKHRALPRGVLGFADSSGNIVFKVNRQDSKSSFSHAKAILLDSAGNPLISLYPHNDGSWQGFKGDDGDKNLIFKVQRVLTKFTRTELEVFLVSENQGQGELTCDFKVIGCHFQRSCTIYKVDSIVAQTSLMHKLRKICVSRSKFRLTMFPSLEDPSLVVALVVIFLFSGPKKFQVLDKIPISI >KJB20015 pep chromosome:Graimondii2_0_v6:3:41860993:41864280:-1 gene:B456_003G151100 transcript:KJB20015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSAPVYPANTPIPFDLFVSKKHRALPRGVLGFADSSGNIVFKVNRQDSKSSFSHAKAILLDSAGNPLISLYPHNDGSWQGFKGDDGDKNLIFKVQRVLTKFTRTELEVFLVSENQGQGELTCDFKVIGCHFQRSCTIYKVDSIVAQTSLMHKLRKICVSRSKFRLTMFPSLEDPSLVVALVVIFLFSGPKKFQVLDKIPISI >KJB18357 pep chromosome:Graimondii2_0_v6:3:6627264:6633002:-1 gene:B456_003G048300 transcript:KJB18357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLVSTTLTGLHFGTTTLLTVLLRWLGYIQGSYLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVFDKVRYSRDTKLSILLVLVGVAVCTVTDVSVNLKGFLAAVIAIWSTALQQYYVHFLQRKHSLGSFDLLGHTAPVQAASLLLVGPFVDYWLTEKKVYAYDYSVISMFFLIMSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLLLGFIFFGKEGLNLHVILGMVIAVLGMVWYGNASSKPGGKERRIYSAPSNKLHKQELSDSTETEEV >KJB18355 pep chromosome:Graimondii2_0_v6:3:6627264:6633002:-1 gene:B456_003G048300 transcript:KJB18355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSLMWNSVGFYQIAKLSMIPVSCFLEVVFDKVRYSRDTKLSILLVLVGVAVCTVTDVSVNLKGFLAAVIAIWSTALQQYYVHFLQRKHSLGSFDLLGHTAPVQAASLLLVGPFVDYWLTEKKVYAYDYSVISMFFLIMSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLLLGFIFFGKEGLNLHVILGMVIAVLGMVWYGNASSKPGGKERRIYSAPSNKLHKQELSDSTETEEV >KJB18354 pep chromosome:Graimondii2_0_v6:3:6627195:6633045:-1 gene:B456_003G048300 transcript:KJB18354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSKADRKAALDVASWFFNVVTSVGIIMVNKALMATYGFGFATTLTGLHFGTTTLLTVLLRWLGYIQGSYLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVFDKVRYSRDTKLSILLVLVGVAVCTVTDVSVNLKGFLAAVIAIWSTALQQYYVHFLQRKHSLGSFDLLGHTAPVQAASLLLVGPFVDYWLTEKKVYAYDYSVISMFFLIMSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLLLGFIFFGKEGLNLHVILGMVIAVLGMVWYGNASSKPGGKERRIYSAPSNKLHKQELSDSTETEEV >KJB18356 pep chromosome:Graimondii2_0_v6:3:6627264:6629336:-1 gene:B456_003G048300 transcript:KJB18356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVSCFLEVVFDKVRYSRDTKLSILLVLVGVAVCTVTDVSVNLKGFLAAVIAIWSTALQQYYVHFLQRKHSLGSFDLLGHTAPVQAASLLLVGPFVDYWLTEKKVYAYDYSVISMFFLIMSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLLLGFIFFGKEGLNLHVILGMVIAVLGMVWYGNASSKPGGKERRIYSAPSNKLHKQELSDSTETEEV >KJB19484 pep chromosome:Graimondii2_0_v6:3:32975633:32978180:-1 gene:B456_003G107800 transcript:KJB19484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHSGGSGTNKNKQMVEQLQRYGVISSKKVVEVMETIDRALFVPDGTPAYVDSPMAIGYNATISAPHMHATCIQLLEENLQPGMHALDVGSGTGYLTACFATMVGPQGRAIGVEHIPELVASSIKNIEKSAAASLLKGGSLSVHAGDGREGWPEHAPYDAIHVGAAAPEIPQPLIDQLKPGGRMVIPVGKIFQDLQVVDKNLDGSVSIRNKTAVRYVPLTSRDAQLKGY >KJB19483 pep chromosome:Graimondii2_0_v6:3:32975639:32978040:-1 gene:B456_003G107800 transcript:KJB19483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPVCQVAWGCYVLLAISIGAHCAMSSDNLACPSTSDLRTQPVAALDKEGIGPAMMSIPMIACSTSLSEHHSGGSGTNKNKQMVEQLQRYGVISSKKVVEVMETIDRALFVPDGTPAYVDSPMAIGYNATISAPHMHATCIQLLEENLQPGMHALDVGSGTGYLTACFATMVGPQGRAIGVEHIPELVASSIKNIEKSAAASLLKGGSLSVHAGDGREGWPEHAPYDAIHVGAAAPEIPQPLIDQLKPGGRMVIPVGKIFQDLQVVDKNLDGSVSIRNKTAVRYVPLTSRDAQLKGY >KJB19485 pep chromosome:Graimondii2_0_v6:3:32975861:32977058:-1 gene:B456_003G107800 transcript:KJB19485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQLQRYGVISSKKVVEVMETIDRALFVPDGTPAYVDSPMAIGYNATISAPHMHATCIQLLEENLQPGMHALDVGSGTGYLTACFATMVGPQGRAIGVEHIPELVASSIKNIEKSAAASLLKGGSLSVHAGDGREGWPEHAPYDAIHVGAAAPEIPQPLIDQLKPGGRMVIPVGKIFQDLQVVDKNLDGSVSIRNKTAVRYVPLTSRDAQLKGY >KJB19195 pep chromosome:Graimondii2_0_v6:3:23914082:23934725:-1 gene:B456_003G088100 transcript:KJB19195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKELFKENGEGEEVCKADFPPNFVFGVATAAYQIEGACNEGSRGASIWDSFSHTEGKIIDGSSGDVAVDHYHRYKEDVNLIHKFGFDAYRFSISWSRIFPDGVGTKVNEEGIAFYNNLINALIEKGIQPFITLYHWDLPLHLQETIGGWLDKQIVNYFAIYADTCFAHFGDRVKNWITINEPLQTAVNGYDTGIFAPGRREGSSEEPYLAAHHQILAHATAGSIYRSKYKDKQGGQIGLVLDCEWAEANSDKIEDKSAASRRLDFQLGWYLCPLYYGDYPAVMLERLGDRLPKFSEEEKKLLLNSLDFLGLNHYTSRFISHATSCSEESYFYKAQEMERIVEWEGGEKIGEKAASEWLYIVPWGLRKVLNYIARKYNNPPIYITENGMDDEEDNSLPLSEILDDKLRVHYFKGYLAAVAQAIKDGADVRGYFAWSLLDNFEWAQGYTKRFGLVYVDYKNSLTRHPKSSAYWFSRFLKGGEKKNGKEN >KJB19196 pep chromosome:Graimondii2_0_v6:3:23914150:23931225:-1 gene:B456_003G088100 transcript:KJB19196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAYRILCTLSLVTNEIVILFYVVPVDGVGTKVNEEGIAFYNNLINALIEKGIQPFITLYHWDLPLHLQETIGGWLDKQIVNYFAIYADTCFAHFGDRVKNWITINEPLQTAVNGYDTGIFAPGRREGSSEEPYLAAHHQILAHATAGSIYRSKYKDKQGGQIGLVLDCEWAEANSDKIEDKSAASRRLDFQLGWYLCPLYYGDYPAVMLERLGDRLPKFSEEEKKLLLNSLDFLGLNHYTSRFISHATSCSEESYFYKAQEMERIVEWEGGEKIGEKAASEWLYIVPWGLRKVLNYIARKYNNPPIYITENGMDDEEDNSLPLSEILDDKLRVHYFKGYLAAVAQAIKDGADVRGYFAWSLLDNFEWAQGYTKRFGLVYVDYKNSLTRHPKSSAYWFSRFLKGGEKKNGKEN >KJB19197 pep chromosome:Graimondii2_0_v6:3:23914150:23934708:-1 gene:B456_003G088100 transcript:KJB19197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKELFKENGEGEEVCKADFPPNFVFGVATAAYQIEGACNEGSRGASIWDSFSHTEVDHYHRYKEDVNLIHKFGFDAYRFSISWSRIFPDGVGTKVNEEGIAFYNNLINALIEKGIQPFITLYHWDLPLHLQETIGGWLDKQIVNYFAIYADTCFAHFGDRVKNWITINEPLQTAVNGYDTGIFAPGRREGSSEEPYLAAHHQILAHATAGSIYRSKYKDKQGGQIGLVLDCEWAEANSDKIEDKSAASRRLDFQLGWYLCPLYYGDYPAVMLERLGDRLPKFSEEEKKLLLNSLDFLGLNHYTSRFISHATSCSEESYFYKAQEMERIVEWEGGEKIGEKAASEWLYIVPWGLRKVLNYIARKYNNPPIYITENGMDDEEDNSLPLSEILDDKLRVHYFKGYLAAVAQAIKDGADVRGYFAWSLLDNFEWAQGYTKRFGLVYVDYKNSLTRHPKSSAYWFSRFLKGGEKKNGKEN >KJB20767 pep chromosome:Graimondii2_0_v6:3:43307946:43308286:1 gene:B456_003G163600 transcript:KJB20767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFYFACLYACYFGFAGILCCECKGYKKTFDTSVISISLDDRVIDVAPLRSYIDHDEYLRDHGLNYDTSSLNSSFVMFL >KJB18090 pep chromosome:Graimondii2_0_v6:3:3263208:3267536:1 gene:B456_003G033500 transcript:KJB18090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNQQARCRELAKSSSFYSTVYSEIEEVGWDHLVRAGGDLSFLIFRVLDKKGRVHVMEIQLDKAYPRVPPMVSADVPYIFNLKWSMNSRLKNLVQQFEKHLEKLQGFWSTLDEIDRSLQIVDSKQASRAIPSRQIHVGNDCFIILFIDINDPRSLPESFNVLFGNCPYCSEPIAVKINATKN >KJB18087 pep chromosome:Graimondii2_0_v6:3:3263208:3267051:1 gene:B456_003G033500 transcript:KJB18087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFGEGGRRSKFPYFSCFVNKKGRVHVMEIQLDKAYPRVPPMVSADVPYIFNLKWSMNSRLKNLVQQFEKHLEKLQGFWSTLDEIDRSLQIVDSKQASRAIPSRQIHVGNDCFIILFIDINDPRSLPECRFMGLGNTVNSLRKTWKRNVDKWERDKAFLENLECLLNTQLPRLADEETNNHLDECGICYAQYLPIGDELGPRTGSATDYTCENNSCSKAFHSVCLVDWLRSITTTRQSFNVLFGNCPYCSEPIAVKINATKN >KJB18088 pep chromosome:Graimondii2_0_v6:3:3263208:3267051:1 gene:B456_003G033500 transcript:KJB18088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEEVGWDHLVRAGGDLSFLIFRVLDKKGRVHVMEIQLDKAYPRVPPMVSADVPYIFNLKWSMNSRLKNLVQQFEKHLEKLQGFWSTLDEIDRSLQIVDSKQASRAIPSRQIHVGNDCFIILFIDINDPRSLPECRFMGLGNTVNSLRKTWKRNVDKWERDKAFLENLECLLNTQLPRLADEETNNHLDECGICYAQYLPIGDELGPRTGSATDYTCENNSCSKAFHSVCLVDWLRSITTTRQSFNVLFGNCPYCSEPIAVKINATKN >KJB18089 pep chromosome:Graimondii2_0_v6:3:3263208:3267051:1 gene:B456_003G033500 transcript:KJB18089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNQQARCRELAKSSSFYSTVYSEIEEVGWDHLVRAGGDLSFLIFRVLDKKGRVHVMEIQLDKAYPRVPPMDVPYIFNLKWSMNSRLKNLVQQFEKHLEKLQGFWSTLDEIDRSLQIVDSKQASRAIPSRQIHVGNDCFIILFIDINDPRSLPECRFMGLGNTVNSLRKTWKRNVDKWERDKAFLENLECLLNTQLPRLADEETNNHLDECGICYAQYLPIGDELGPRTGSATDYTCENNSCSKAFHSVCLVDWLRSITTTRQSFNVLFGNCPYCSEPIAVKINATKN >KJB18086 pep chromosome:Graimondii2_0_v6:3:3263208:3267051:1 gene:B456_003G033500 transcript:KJB18086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNQQARCRELAKSSSFYSTVYSEIEEVGWDHLVRAGGDLSFLIFRVLDKKGRVHVMEIQLDKAYPRVPPMVSADVPYIFNLKWSMNSRLKNLVQQFEKHLEKLQGFWSTLDEIDRSLQIVDSKQASRAIPSRQIHVMSLDLGLEVQLITLVRITVVVRLSTACA >KJB18085 pep chromosome:Graimondii2_0_v6:3:3263187:3267536:1 gene:B456_003G033500 transcript:KJB18085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNQQARCRELAKSSSFYSTVYSEIEEVGWDHLVRAGGDLSFLIFRVLDKKGRVHVMEIQLDKAYPRVPPMVSADVPYIFNLKWSMNSRLKNLVQQFEKHLEKLQGFWSTLDEIDRSLQIVDSKQASRAIPSRQIHVGNDCFIILFIDINDPRSLPECRFMGLGNTVNSLRKTWKRNVDKWERDKAFLENLECLLNTQLPRLADEETNNHLDECGICYAQYLPIGDELGPRTGSATDYTCENNSCSKAFHSVCLVDWLRSITTTRQSFNVLFGNCPYCSEPIAVKINATKN >KJB17741 pep chromosome:Graimondii2_0_v6:3:857145:862768:-1 gene:B456_003G013400 transcript:KJB17741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSHSRKPNRVVSQAAATLNKRTATSNGANNFSGRTVYDDVFGGVQRFGTGGGPTLSPRPEDYSEIFGGFHASRGASIPVLDLPLIDSSNGAMFDVRNPRFNYAEVFGGFDGSDFAATYEELIRQADGGDRHDYDGDSSDEAWMQDETEFLSEGSDHSGNYQYFSNGDYYEPIDSSMEFNISYHKANVRSNRDMSNAVTHVAELHAEPEYAYIIETSLGKTENKSPILHTTDDINLEFTGGVVKKKHLRKTVSHPANGAGEQTFAYDSTQRRYQGKDSCSNESFITISEINLRTQPSHVPPPARPPPHVRVNNGDHQSVKHAVSGEGMGDSSPPFFDVEIDASSAAVASAAAMKEAMDKAQAQLKSAKELLERKREGIENSTKLGSKSDGKGKKERTSKAIDESSDIKDDKVLGIKGKEDNGTKISVREERQKAVKTLAPDSMEGEKLFNVSKYFVVEKHGKESRSIEECGEVDGADEWQEETQFFELVRTDKSRVGFQHTNTDKVFVQSMKFNEPQYKSQKASIGAVEQLESDMKVEAVREDHELEKVERDMKMAKEAKEARRHKGHEKKVKSAQEVGAEENGQSITARKLSGNGKKPNGADELGIREKRVNAQEKENKVEVQRAMEQKERAQQEKEISKYIPNPKRVEGCEEREDEEKSWREVSKQEENDIILERVLVQAENETMLRDAVQQEEKEKKLKEAHEREERRKKEKVARELEEKEKKLKEAHEREENERRLREAREREEIEKKLKEAREREENEKRLREAREREEKEKEKEAHGREEKEKKLKEARELEEKEKKLKEAREREENERRLKEIREREEIEKKEKEVREREEKEKKLKEAREQEENEKRLKEAREREENEKRLKEAREREEKEKEAREREEKEKKEKEARELEESEKIWRMALEQIENEKRLKQARLQEENERRQRMLEEAVEQNDCSKPVKAVQDTEDEVNQKVVEQEVTEELQGVNYVYQQTARGENGKKQKIAKETHRHGEGEDPVISNKVNKQDHINNHKENQLDGNNDQNFEELEETDELVLEGNGKMEAEFRDCERRTEAMGQGGVDGKFNASRTVPCDLEVKANQLRKDDISVLRHQNKGVKKADEAVIGIGQTNAENINSVSEMDSNNDKQRLKSAYEWRERARNIKEAQVSSSLEENKDKYVSAQVVNESVETGRKPEAAKASVVDGKGSTQRTVHQVKVSQNMERKDKNIIESLTPEDKEAERLKRERELELERLRKIEEEIEREREREKDRMAVDSTVLEARERGYAEARERAEKAALERATTEARQRAMADARERLEKACAEAREKSSMEARLRAERAAVERATAEARERAVEKIMAERAASEARERVERSMSDKFSTSSRNSGMRSSYSSSDLKDQHFQSTNSFGGLRYPYASAYNGVEGESAQRCKARLERYQRTAERAAKALEEKNMRDLVAQREQAERNRLAESLDADVKRWSSGKEGNLRALLSTLQYVCIIIQILSNQAFIIISFVYWVV >KJB17739 pep chromosome:Graimondii2_0_v6:3:856369:861840:-1 gene:B456_003G013400 transcript:KJB17739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYIYILWGSRSLLLHCNEKCMMQDETEFLSEGSDHSGNYQYFSNGDYYEPIDSSMEFNISYHKANVRSNRDMSNAVTHVAELHAEPEYAYIIETSLGKTENKSPILHTTDDINLEFTGGVVKKKHLRKTVSHPANGAGEQTFAYDSTQRRYQGKDSCSNESFITISEINLRTQPSHVPPPARPPPHVRVNNGDHQSVKHAVSGEGMGDSSPPFFDVEIDASSAAVASAAAMKEAMDKAQAQLKSAKELLERKREGIENSTKLGSKSDGKGKKERTSKAIDESSDIKDDKVLGIKGKEDNGTKISVREERQKAVKTLAPDSMEGEKLFNVSKYFVVEKHGKESRSIEECGEVDGADEWQEETQFFELVRTDKSRVGFQHTNTDKVFVQSMKFNEPQYKSQKASIGAVEQLESDMKVEAVREDHELEKVERDMKMAKEAKEARRHKGHEKKVKSAQEVGAEENGQSITARKLSGNGKKPNGADELGIREKRVNAQEKENKVEVQRAMEQKERAQQEKEISKYIPNPKRVEGCEEREDEEKSWREVSKQEENDIILERVLVQAENETMLRDAVQQEEKEKKLKEAHEREERRKKEKVARELEEKEKKLKEAHEREENERRLREAREREEIEKKLKEAREREENEKRLREAREREEKEKEKEAHGREEKEKKLKEARELEEKEKKLKEAREREENERRLKEIREREEIEKKEKEVREREEKEKKLKEAREQEENEKRLKEAREREENEKRLKEAREREEKEKEAREREEKEKKEKEARELEESEKIWRMALEQIENEKRLKQARLQEENERRQRMLEEAVEQNDCSKPVKAVQDTEDEVNQKVVEQEVTEELQGVNYVYQQTARGENGKKQKIAKETHRHGEGEDPVISNKVNKQDHINNHKENQLDGNNDQNFEELEETDELVLEGNGKMEAEFRDCERRTEAMGQGGVDGKFNASRTVPCDLEVKANQLRKDDISVLRHQNKGVKKADEAVIGIGQTNAENINSVSEMDSNNDKQRLKSAYEWRERARNIKEAQVSSSLEENKDKYVSAQVVNESVETGRKPEAAKASVVDGKGSTQRTVHQVKVSQNMERKDKNIIESLTPEDKEAERLKRERELELERLRKIEEEIEREREREKDRMAVDSTVLEARERGYAEARERAEKAALERATTEARQRAMADARERLEKACAEAREKSSMEARLRAERAAVERATAEARERAVEKIMAERAASEARERVERSMSDKFSTSSRNSGMRSSYSSSDLKDQHFQSTNSFGGLRYPYASAYNGVEGESAQRCKARLERYQRTAERAAKALEEKNMRDLVAQREQAERNRLAESLDADVKRWSSGKEGNLRALLSTLQYVCIIIQILSNQAFIIISFVYWVV >KJB17742 pep chromosome:Graimondii2_0_v6:3:856369:862785:-1 gene:B456_003G013400 transcript:KJB17742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNISYHKANVRSNRDMSNAVTHVAELHAEPEYAYIIETSLGKTENKSPILHTTDDINLEFTGGVVKKKHLRKTVSHPANGAGEQTFAYDSTQRRYQGKDSCSNESFITISEINLRTQPSHVPPPARPPPHVRVNNGDHQSVKHAVSGEGMGDSSPPFFDVEIDASSAAVASAAAMKEAMDKAQAQLKSAKELLERKREGIENSTKLGSKSDGKGKKERTSKAIDESSDIKDDKVLGIKGKEDNGTKISVREERQKAVKTLAPDSMEGEKLFNVSKYFVVEKHGKESRSIEECGEVDGADEWQEETQFFELVRTDKSRVGFQHTNTDKVFVQSMKFNEPQYKSQKASIGAVEQLESDMKVEAVREDHELEKVERDMKMAKEAKEARRHKGHEKKVKSAQEVGAEENGQSITARKLSGNGKKPNGADELGIREKRVNAQEKENKVEVQRAMEQKERAQQEKEISKYIPNPKRVEGCEEREDEEKSWREVSKQEENDIILERVLVQAENETMLRDAVQQEEKEKKLKEAHEREERRKKEKVARELEEKEKKLKEAHEREENERRLREAREREEIEKKLKEAREREENEKRLREAREREEKEKEKEAHGREEKEKKLKEARELEEKEKKLKEAREREENERRLKEIREREEIEKKEKEVREREEKEKKLKEAREQEENEKRLKEAREREENEKRLKEAREREEKEKEAREREEKEKKEKEARELEESEKIWRMALEQIENEKRLKQARLQEENERRQRMLEEAVEQNDCSKPVKAVQDTEDEVNQKVVEQEVTEELQGVNYVYQQTARGENGKKQKIAKETHRHGEGEDPVISNKVNKQDHINNHKENQLDGNNDQNFEELEETDELVLEGNGKMEAEFRDCERRTEAMGQGGVDGKFNASRTVPCDLEVKANQLRKDDISVLRHQNKGVKKADEAVIGIGQTNAENINSVSEMDSNNDKQRLKSAYEWRERARNIKEAQVSSSLEENKDKYVSAQVVNESVETGRKPEAAKASVVDGKGSTQRTVHQVKVSQNMERKDKNIIESLTPEDKEAERLKRERELELERLRKIEEEIEREREREKDRMAVDSTVLEARERGYAEARERAEKAALERATTEARQRAMADARERLEKACAEAREKSSMEARLRAERAAVERATAEARERAVEKIMAERAASEARERVERSMSDKFSTSSRNSGMRSSYSSSDLKDQHFQSTNSFGGLRYPYASAYNGVEGESAQRCKARLERYQRTAERAAKALEEKNMRDLVAQREQAERNRLAESLDADVKRWSSGKEGNLRALLSTLQYILGPESGWQPIPLTEVITSAAVKKAYRKATLCVHPDKLQQRGASIHQKYISEKVFDLLKEAWNRFNSEER >KJB17738 pep chromosome:Graimondii2_0_v6:3:856315:862939:-1 gene:B456_003G013400 transcript:KJB17738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSHSRKPNRVVSQAAATLNKRTATSNGANNFSGRTVYDDVFGGVQRFGTGGGPTLSPRPEDYSEIFGGFHASRGASIPVLDLPLIDSSNGAMFDVRNPRFNYAEVFGGFDGSDFAATYEELIRQADGGDRHDYDGDSSDEAWMQDETEFLSEGSDHSGNYQYFSNGDYYEPIDSSMEFNISYHKANVRSNRDMSNAVTHVAELHAEPEYAYIIETSLGKTENKSPILHTTDDINLEFTGGVVKKKHLRKTVSHPANGAGEQTFAYDSTQRRYQGKDSCSNESFITISEINLRTQPSHVPPPARPPPHVRVNNGDHQSVKHAVSGEGMGDSSPPFFDVEIDASSAAVASAAAMKEAMDKAQAQLKSAKELLERKREGIENSTKLGSKSDGKGKKERTSKAIDESSDIKDDKVLGIKGKEDNGTKISVREERQKAVKTLAPDSMEGEKLFNVSKYFVVEKHGKESRSIEECGEVDGADEWQEETQFFELVRTDKSRVGFQHTNTDKVFVQSMKFNEPQYKSQKASIGAVEQLESDMKVEAVREDHELEKVERDMKMAKEAKEARRHKGHEKKVKSAQEVGAEENGQSITARKLSGNGKKPNGADELGIREKRVNAQEKENKVEVQRAMEQKERAQQEKEISKYIPNPKRVEGCEEREDEEKSWREVSKQEENDIILERVLVQAENETMLRDAVQQEEKEKKLKEAHEREERRKKEKVARELEEKEKKLKEAHEREENERRLREAREREEIEKKLKEAREREENEKRLREAREREEKEKEKEAHGREEKEKKLKEARELEEKEKKLKEAREREENERRLKEIREREEIEKKEKEVREREEKEKKLKEAREQEENEKRLKEAREREENEKRLKEAREREEKEKEAREREEKEKKEKEARELEESEKIWRMALEQIENEKRLKQARLQEENERRQRMLEEAVEQNDCSKPVKAVQDTEDEVNQKVVEQEVTEELQGVNYVYQQTARGENGKKQKIAKETHRHGEGEDPVISNKVNKQDHINNHKENQLDGNNDQNFEELEETDELVLEGNGKMEAEFRDCERRTEAMGQGGVDGKFNASRTVPCDLEVKANQLRKDDISVLRHQNKGVKKADEAVIGIGQTNAENINSVSEMDSNNDKQRLKSAYEWRERARNIKEAQVSSSLEENKDKYVSAQVVNESVETGRKPEAAKASVVDGKGSTQRTVHQVKVSQNMERKDKNIIESLTPEDKEAERLKRERELELERLRKIEEEIEREREREKDRMAVDSTVLEARERGYAEARERAEKAALERATTEARQRAMADARERLEKACAEAREKSSMEARLRAERAAVERATAEARERAVEKIMAERAASEARERVERSMSDKFSTSSRNSGMRSSYSSSDLKDQHFQSTNSFGGLRYPYASAYNGVEGESAQRCKARLERYQRTAERAAKALEEKNMRDLVAQREQAERNRLAESLDADVKRWSSGKEGNLRALLSTLQYILGPESGWQPIPLTEVITSAAVKKAYRKATLCVHPDKLQQRGASIHQKYISEKVFDLLKEAWNRFNSEER >KJB17740 pep chromosome:Graimondii2_0_v6:3:856369:862768:-1 gene:B456_003G013400 transcript:KJB17740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSHSRKPNRVVSQAAATLNKRTATSNGANNFSGRTVYDDVFGGVQRFGTGGGPTLSPRPEDYSEIFGGFHASRGASIPVLDLPLIDSSNGAMFDVRNPRFNYAEVFGGFDGSDFAATYEELIRQADGGDRHDYDGDSSDEAWMQDETEFLSEGSDHSGNYQYFSNGDYYEPIDSSMEFNISYHKANVRSNRDMSNAVTHVAELHAEPEYAYIIETSLGKTENKSPILHTTDDINLEFTGGVVKKKHLRKTVSHPANGAGEQTFAYDSTQRRYQGKDSCSNESFITISEINLRTQPSHVPPPARPPPHVRVNNGDHQSVKHAVSGEGMGDSSPPFFDVEIDASSAAVASAAAMKEAMDKAQAQLKSAKELLERKREGIENSTKLGSKSDGKGKKERTSKAIDESSDIKDDKVLGIKGKEDNGTKISVREERQKAVKTLAPDSMEGEKLFNVSKYFVVEKHGKESRSIEECGEVDGADEWQEETQFFELVRTDKSRVGFQHTNTDKVFVQSMKFNEPQYKSQKASIGAVEQLESDMKVEAVREDHELEKVERDMKMAKEAKEARRHKGHEKKVKSAQEVGAEENGQSITARKLSGNGKKPNGADELGIREKRVNAQEKENKVEVQRAMEQKERAQQEKEISKYIPNPKRVEGCEEREDEEKSWREVSKQEENDIILERVLVQAENETMLRDAVQQEEKEKKLKEAHEREERRKKEKVARELEEKEKKLKEAHEREENERRLREARELEESEKIWRMALEQIENEKRLKQARLQEENERRQRMLEEAVEQNDCSKPVKAVQDTEDEVNQKVVEQEVTEELQGVNYVYQQTARGENGKKQKIAKETHRHGEGEDPVISNKVNKQDHINNHKENQLDGNNDQNFEELEETDELVLEGNGKMEAEFRDCERRTEAMGQGGVDGKFNASRTVPCDLEVKANQLRKDDISVLRHQNKGVKKADEAVIGIGQTNAENINSVSEMDSNNDKQRLKSAYEWRERARNIKEAQVSSSLEENKDKYVSAQVVNESVETGRKPEAAKASVVDGKGSTQRTVHQVKVSQNMERKDKNIIESLTPEDKEAERLKRERELELERLRKIEEEIEREREREKDRMAVDSTVLEARERGYAEARERAEKAALERATTEARQRAMADARERLEKACAEAREKSSMEARLRAERAAVERATAEARERAVEKIMAERAASEARERVERSMSDKFSTSSRNSGMRSSYSSSDLKDQHFQSTNSFGGLRYPYASAYNGVEGESAQRCKARLERYQRTAERAAKALEEKNMRDLVAQREQAERNRLAESLDADVKRWSSGKEGNLRALLSTLQYVCIIIQILSNQAFIIISFVYWVV >KJB19256 pep chromosome:Graimondii2_0_v6:3:27729875:27731819:-1 gene:B456_003G091800 transcript:KJB19256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLVEFVSGGPQPGGFYFAIPRVAHAARLGNEASISQKVEVKAGYVYSLTFGATRTCAQDEVLRISVPGQTTDISIQTLHSTDGGDTIALAFKAIAPIVRVTFHNPGIQEDPTCGPLLDAIAIKLMPPATYTRGNLVKNGGFETGPHTFKNFSTGVLLPPKKLDRISPLVGWIIESLKPVKYIDKKHFSVPSGLFAVELVAGRESAIAQIIRTVPNKFYTLTFTVGDAKNGCHGSMMVQAFAGKETLQVPYVSQGKGGLKTASFRFQAISARTRITFFSAYYHTKLDDYGHICGPVLDDVIVRPVF >KJB19257 pep chromosome:Graimondii2_0_v6:3:27729966:27731503:-1 gene:B456_003G091800 transcript:KJB19257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIFKQCNFEQAPKKENLNKTVIVGKYSLPGWEMKGLVEFVSGGPQPGGFYFAIPRVAHAARLGNEASISQKVEVKAGYVYSLTFGATRTCAQDEVLRISVPGQTTDISIQTLHSTDGGDTIALAFKAIAPIVRVTFHNPGIQEDPTCGPLLDAIAIKLMPPATYTRGNLVKNGGFETGPHTFKNFSTGVLLPPKKLDRISPLVGWIIESLKPVKYIDKKHFSVPSGLFAVELVAGRESAIAQIIRTVPNKFYTLTFTVGDAKNGCHGSMMVQAFAGKETLQVPYVSQGKGGLKTASFRFQAISARTRITFFSAYYHTKLDDYGHICGPVLDDVIVRPVF >KJB20657 pep chromosome:Graimondii2_0_v6:3:42760604:42761786:-1 gene:B456_003G158100 transcript:KJB20657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVKLGANKFSERQPIGTSAQTDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITVLTVMGVSQSKTKCTTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLTRAVFYMIMQCLGAICGAGVVKGFEGDSRYEMLGGGANVVNHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPVCTMFLSLFLLAS >KJB20655 pep chromosome:Graimondii2_0_v6:3:42759333:42761863:-1 gene:B456_003G158100 transcript:KJB20655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVKLGANKFSERQPIGTSAQTDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITVLTVMGVSQSKTKCTTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLTRAVFYMIMQCLGAICGAGVVKGFEGDSRYEMLGGGANVVNHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWDDHWIFWVGPFIGAALAAIYHQIIIRAIPFKTRA >KJB20656 pep chromosome:Graimondii2_0_v6:3:42759410:42761786:-1 gene:B456_003G158100 transcript:KJB20656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVKLGANKFSERQPIGTSAQTDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITVLTVMGVSQSKTKCTTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLTRAVFYMIMQCLGAICDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWDDHWIFWVGPFIGAALAAIYHQIIIRAIPFKTRA >KJB18625 pep chromosome:Graimondii2_0_v6:3:12408885:12415785:-1 gene:B456_003G064100 transcript:KJB18625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMKRRIGTPVWKPVCTQASSLEEPVVKDVGVESEIGSQMQEVNESTIEVMDATVSPKAFEDDIEDEASKENPVLSAAKHSLSVEVGASVIRFVRGKDGSTKEKIEKETGVQIILPSSKQEDAIIIEGTSAVSVAKASKEIQHIINEAVKTPSLDYSHFVSLPLAIHPELVAKLVNFQNSILGISDANAGENLEGNSDGDGYEGDAQDEQLDEGSAVAVERKVANDRESVKVDVRGIPLVSYAPKEPKDSKSSSLSDLRIEKSIFIKPKTFHLTVLMLKLWNKERVNLATEVLKSISPKVMDALDNRPLFVRLKGLDCMRGSLDKACVVYARVEEIGSENRLSRACLIIDAFVEAGLVLERDIRHELKLHATVMNVKHRERRGNRKVKSDFFNACGIFKQFGSEEWGEYLIREAHLSQRFKYDENGYYHCCASIPFPENMQVD >KJB18622 pep chromosome:Graimondii2_0_v6:3:12408885:12415822:-1 gene:B456_003G064100 transcript:KJB18622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMKRRIGTPVWKPVCTQASSLEEPVVKDVGVESEIGSQMQEVNESTIEVMDATVSPKAFEDDIEDEASKENPVLSAAKHSLSVEVGASVIRFVRGKDGSTKEKIEKETGVQIILPSSKQEDAIIIEGTSAVSVAKASKEIQHIINEAVKTPSLDYSHFVSLPLAIHPELVAKLVNFQNSILGISDANAGENLEGNSDGDGYEGDAQDEQLDEGSAVAVERKVANDRESVKVDVRGIPLVSYAPKEPKDSKSSSLSDLRIEKSIFIKPKTFHLTVLMLKLWNKERVNLATEVLKSISPKVMDALDNRPLFVRLKGLDCMRGSLDKACVVYARVEEIGSENRLSRACQVIIDAFVEAGLVLERDIRHELKLHATVMNVKHRERRGNRKVKSDFFNACGIFKQFGSEEWGEYLIREAHLSQRFKYDENGYYHCCASIPFPENMQVD >KJB18629 pep chromosome:Graimondii2_0_v6:3:12409016:12414917:-1 gene:B456_003G064100 transcript:KJB18629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMKRRIGTPVWKPVCTQASSLEEPVVKDVGVESEIGSQMQEVNESTIEVMDATVSPKAFEDDIEDEASKENPVLSAAKHSLSVEVGASVIRFVRGKDGSTKEKIEKETGVQIILPSSKQEDAIIIEGTSAVSVAKASKEIQHIINEAVKTPSLDYSHFVSLPLAIHPELVAKLVNFQNSILGISDANAGENLEGNSDGDGYEGDAQDEQLDEGSAVAVERKVANDRESVKVDVRGIPLVSYAPKEPKDSKSSSLSDLRIEKSIFIKPKTFHLTVLMLKLWNKERVNLATEVLKSISPKVMDALDNRPLFVRLKGLDCMRGSLDKACVVYARVEEIGSENRLSRACQVIIDAFVEAGLVLERDIRHELKLHATVMNVKHRERRGNRKVKSDFFNACGIFKQFGSEEWGEYLIREAHLSQRFKYDENGYYHCCASIPFPENMQVD >KJB18624 pep chromosome:Graimondii2_0_v6:3:12409134:12414316:-1 gene:B456_003G064100 transcript:KJB18624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMKRRIGTPVWKPVCTQASSLEEPVVKDVGVESEIGSQMQEVNESTIEVMDATVSPKAFEDDIEDEASKENPVLSAAKHSLSVEVGASVIRFVRGKDGSTKEKIEKETGVQIILPSSKQEDAIIIEGTSAVSVAKASKEIQHIINEAVKTPSLDYSHFVSLPLAIHPELVAKLVNFQNSILGISDANAGENLEGNSDGDGYEGDAQDEQLDEGSAVAVERKVANDRESVKVDVRGIPLVSYAPKEPKDSKSSSLSDLRIEKSIFIKPKTFHLTVLMLKLWNKERVNLATEVLKSISPKVMDALDNRPLFVRLKGLDCMRGSLDKACVVYARVEEIGSENRLSRACQVIIDAFVEAGLVLERDIRHELKLHATVMNVKHRERRGNRKVKSDFFNACGIFKQFGSEEWGEYLIREAHLSQRFKYDENGYYHCCASIPFPENMQVD >KJB18628 pep chromosome:Graimondii2_0_v6:3:12409015:12415757:-1 gene:B456_003G064100 transcript:KJB18628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMKRRIGTPVWKPVCTQASSLEEPVVKDVGVESEIGSQMQEVNESTIEVMDATVSPKAFEDDIEDEASKENPVLSAAKHSLSVEVGASVIRFVRGKDGSTKEKIEKETGVQIILPSSKQEDAIIIEGTSAVSVAKASKEIQHIINEAVKTPSLDYSHFVSLPLAIHPELVAKLVNFQNSILGISDANAGENLEGNSDGDGYEGDAQDEQLDEGSAVAVERKVANDRESVKVDVRGIPLVSYAPKEPKDSKSSSLSDLRIEKSIFIKPKTFHLTVLMLKLWNKERVNLATESISPKVMDALDNRPLFVRLKGLDCMRGSLDKACVVYARVEEIGSENRLSRACQVIIDAFVEAGLVLERDIRHELKLHATVMNVKHRERRGNRKVKSDFFNACGIFKQFGSEEWGEYLIREAHLSQRFKYDENGYYHCCASIPFPENMQVD >KJB18623 pep chromosome:Graimondii2_0_v6:3:12409134:12415671:-1 gene:B456_003G064100 transcript:KJB18623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLACKSLFRVDRLLKLTNTYVKSKPVNYFQGLYLNKKLSLDLRIGGVKDKPSTMDKMKRRIGTPVWKPVCTQASSLEEPVVKDVGVESEIGSQMQEVNESTIEVMDATVSPKAFEDDIEDEASKENPVLSAAKHSLSVEVGASVIRFVRGKDGSTKEKIEKETGVQIILPSSKQEDAIIIEGTSAVSVAKASKEIQHIINEAVKTPSLDYSHFVSLPLAIHPELVAKLVNFQNSILGISDANAGENLEGNSDGDGYEGDAQDEQLDEGSAVAVERKVANDRESVKVDVRGIPLVSYAPKEPKDSKSSSLSDLRIEKSIFIKPKTFHLTVLMLKLWNKERVNLATEVLKSISPKVMDALDNRPLFVRLKGLDCMRGSLDKACVVYARVEEIGSENRLSRACQVIIDAFVEAGLVLERDIRHELKLHATVMNVKHRERRGNRKVKSDFFNACGIFKQFGSEEWGEYLIREAHLSQRFKYDENGYYHCCASIPFPENMQVD >KJB18626 pep chromosome:Graimondii2_0_v6:3:12409134:12415671:-1 gene:B456_003G064100 transcript:KJB18626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLACKSLFRVDRLLKLTNTYVKSKPVNYFQGLYLNKKLSLDLRIGGVKDKPSTMDKMKRRIGTPVWKPVCTQASSLEEPVVKDVGVESEIGSQMQEVNESTIEVMDATVSPKAFEDDIEDEASKENPVLSAAKHSLSVEVGASVIRFVRGKDGSTKEKIEKETGVQIILPSSKQEDAIIIEGTSAVSVAKASKEIQHIINEAVKTPSLDYSHFVSLPLAIHPELVAKLVNFQNSILGISDANAGENLEGNSDGDGYEGDAQDEQLDEGSAVAVERKVANDRESVKVDVRGIPLVSYAPKEPKDSKSSSLSDLRIEKSIFIKPKTFHLTVLMLKLWNKERVNLATEVLKSISPKVMDALDNRPLFVRLKGLDCMRGSLDKACVVYARVEEIGSENRLSRACLIIDAFVEAGLVLERDIRHELKLHATVMNVKHRERRGNRKVKSDFFNACGIFKQFGSEEWGEYLIREAHLSQRFKYDENGYYHCCASIPFPENMQVD >KJB18630 pep chromosome:Graimondii2_0_v6:3:12409016:12415757:-1 gene:B456_003G064100 transcript:KJB18630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMKRRIGTPVWKPVCTQASSLEEPVVKDVGVESEIGSQMQEVNESTIEVMDATVSPKAFEDDIEDEASKENPVLSAAKHSLSVEVGASVIRFVRGKDGSTKEKIEKETGVQIILPSSKQEDAIIIEGTSAVSVAKASKEIQHIINEAVKTPSLDYSHFVSLPLAIHPELVAKLVNFQNSILGISDANAGENLEGNSDGDGYEGDAQDEQLDEGSAVAVERKVANDRESVKVDVRGIPLVSYAPKEPKDSKSSSLSDLRIEKSIFIKPKTFHLTVLMLKLWNKERVNLATEVLKSISPKVMDALDNRPLFVRLKGLDCMRGSLDKACVVYARVEEIGSENRLSRACQVIIDAFVEAGLVLERDIRHELKLHATVMNVKHRERWEIGR >KJB18627 pep chromosome:Graimondii2_0_v6:3:12408338:12415826:-1 gene:B456_003G064100 transcript:KJB18627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMKRRIGTPVWKPVCTQASSLEEPVVKDVGVESEIGSQMQEVNESTIEVMDATVSPKAFEDDIEDEASKENPVLSAAKHSLSVEVGASVIRFVRGKDGSTKEKIEKETGVQIILPSSKQEDAIIIEGTSAVSVAKASKEIQHIINEAVKTPSLDYSHFVSLPLAIHPELVAKLVNFQNSILGISDANAGENLEGNSDGDGYEGDAQDEQLDEGSAVAVERKVANDRESVKVDVRGIPLVSYAPKEPKDSKSSSLSDLRIEKSIFIKPKTFHLTVLMLKLWNKERVNLATEVLKSISPKVMDALDNRPLFVRLKGLDCMRGSLDKACVVYARVEEIGSENRLSRACQVIIDAFVEAGLVLERDIRHELKLHATVMNVKHRERRGNRKVKSDFFNACGIFKQFGSEEWGEYLIREAHLSQRFKYDENGYYHCCASIPFPENMQVD >KJB19064 pep chromosome:Graimondii2_0_v6:3:20795672:20798289:-1 gene:B456_003G083400 transcript:KJB19064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLIDTGDPDDYNGLDSSTKDQNYQNTIVRIATPLIDDLLGDGIGTNLSTSELKNDDDPFPDVSFHTTKGTKNVDDLFSGMSVDDKSAMSDNCVTCIPIFCMEHERPFMLICGLPFFLYVGWRGTLTPFINFILSTCFTFEVKGCLCSFFFRAGSFPIYGST >KJB19065 pep chromosome:Graimondii2_0_v6:3:20795672:20798177:-1 gene:B456_003G083400 transcript:KJB19065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLIDTGDPDDYNGLDSSTKDQNYQNTIVRIATPLIDDLLGDGIGTNLSTSELKNDDDPFPDVSFHTTKGTKNVDDLFSGMSVDDKSAMSDNCVTCIPIFCMEHERPFMLICGLPFFLYVGWRGTLTPFINFILSTCFTFEVKGCLCSFFFRAGSFPIYGST >KJB19721 pep chromosome:Graimondii2_0_v6:3:35554984:35560432:1 gene:B456_003G116900 transcript:KJB19721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSDVGGGMAAVSGATSSAATGNQNDAVDMYLRSHGIHGLFSQIELSFSATSLRDRDVFSKSDPMVVVYIKERDGAINEVFRTEVVLNSSTPTWIAKYTITYHFEVVQNLLFKVFDVDTQYHNVEVKMLKLEEQQSLGEACCTLSELVTKPNRSLTLDLIRREESVSSTHSQHCGKLTVHAEECFSSKSTADIILRCIDLESKDLFSKSDPFLVISKLVESGISIPVCKTEVLKNDHNPTWKPVFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKLHLGREGENLYLPTLVGHNYENKILNSKLLVDKFSETVQHTFLDYLAGGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRLNAYQKAIYEVGKVLQFYDADKRYPAWGFGARPIDGPVSHCFNLNGSSNYCEVQGIQGIMMAYTSALFNVSLAGPTLFGHVINRASVVACDSLSTGAKKYFALLIITDGVITDLQETKDALVKASDLPLSILIVGVGGADFKEMEVLTTCTISYPFEG >KJB19725 pep chromosome:Graimondii2_0_v6:3:35554984:35560531:1 gene:B456_003G116900 transcript:KJB19725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSDVGGGMAAVSGATSSAATGNQNDAVDMYLRSHGIHGLFSQIELSFSATSLRDRDVFSKSDPMVVVYIKERDGAINEVFRTEVVLNSSTPTWIAKYTITYHFEVVQNLLFKVFDVDTQYHNVEVKMLKLEEQQSLGEACCTLSELVTKPNRSLTLDLIRREESVSSTHSQHCGKLTVHAEECFSSKSTADIILRCIDLESKDLFSKSDPFLVISKLVESGISIPVCKTEVLKNDHNPTWKPVFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKLHLGREGENLYLPTLVGHNYENKILNSKLLVDKFSETVQHTFLDYLAGGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRLNAYQKAIYEVGKVLQFYDADKRYPAWGFGARPIDGPVSHCFNLNGSSNYCEVQGIQGIMMAYTSALFNVSLAGPTLFGHVINRASVVACDSLSTGAKKYFALLIITLLI >KJB19723 pep chromosome:Graimondii2_0_v6:3:35554984:35560432:1 gene:B456_003G116900 transcript:KJB19723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSDVGGGMAAVSGATSSAATGNQNDAVDMYLRSHGIHGLFSQIELSFSATSLRDRDVFSKSDPMVVVYIKERDGAINEVFRTEVVLNSSTPTWIAKYTITYHFEVVQNLLFKVFDVDTQYHNVEVKMLKLEEQQSLGEACCTLSELVTKPNRSLTLDLIRREESVSSTHSQHCGKLTVHAEECFSSKSTADIILRCIDLESKDLFSKSDPFLVISKLVESGISIPVCKTEVLKNDHNPTWKPVFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKLHLGREGENLYLPTLVGHNYENKILNSKLLVDKFSETVQHTFLDYLAGGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRLNAYQKAIYEVGKVLQFYDADKRYPAWGFGARPIDGPVSHCFNLNGSSNYCEVQGIQGIMMAYTSALFNVSLAGPTLFGHVINRASVVACDSLSTGAKKYFALLIITVRSIKETDCFPSSQLKLI >KJB19720 pep chromosome:Graimondii2_0_v6:3:35554717:35560453:1 gene:B456_003G116900 transcript:KJB19720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSDVGGGMAAVSGATSSAATGNQNDAVDMYLRSHGIHGLFSQIELSFSATSLRDRDVFSKSDPMVVVYIKERDGAINEVFRTEVVLNSSTPTWIAKYTITYHFEVVQNLLFKVFDVDTQYHNVEVKMLKLEEQQSLGEACCTLSELVTKPNRSLTLDLIRREESVSSTHSQHCGKLTVHAEECFSSKSTADIILRCIDLESKDLFSKSDPFLVISKLVESGISIPVCKTEVLKNDHNPTWKPVFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKLHLGREGENLYLPTLVGHNYENKILNSKLLVDKFSETVQHTFLDYLAGGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRLNAYQKAIYEVGKVLQFYDADKRYPAWGFGARPIDGPVSHCFNLNGSSNYCEVQGIQGIMMAYTSALFNVSLAGPTLFGHVINRASVVACDSLSTGAKKYFALLIITDGVITDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESTTGCIASRDIVQFVPFRDLQSGEISMVGALLAELPTQFLTYMRRRDIKPST >KJB19718 pep chromosome:Graimondii2_0_v6:3:35554629:35560456:1 gene:B456_003G116900 transcript:KJB19718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSDVGGGMAAVSGATSSAATGNQNDAVDMYLRSHGIHGLFSQIELSFSATSLRDRDVFSKSDPMVVVYIKERDGAINEVFRTEVVLNSSTPTWIAKYTITYHFEVVQNLLFKVFDVDTQYHNVEVKMLKLEEQQSLGEACCTLSELVTKPNRSLTLDLIRREESVSSTHSQHCGKLTVHAEECFSSKSTADIILRCIDLESKDLFSKSDPFLVISKLVESGISIPVCKTEVLKNDHNPTWKPVFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKLHLGREGENLYLPTLVGHNYENKILNSKLLVDKFSETVQHTFLDYLAGGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRLNAYQKAIYEVGKVLQFYDADKRYPAWGFGARPIDGPVSHCFNLNGSSNYCEVQGIQGIMMAYTSALFNVSLAGPTLFGHVINRASVVACDSLSTGAKKYFALLIITDGVITDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESTTGCIASRDIVQFVPFRDLQSGEISMVGALLAELPTQFLTYMRRRDIKPST >KJB19724 pep chromosome:Graimondii2_0_v6:3:35554984:35560432:1 gene:B456_003G116900 transcript:KJB19724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNHVTQLSFSATSLRDRDVFSKSDPMVVVYIKERDGAINEVFRTEVVLNSSTPTWIAKYTITYHFEVVQNLLFKVFDVDTQYHNVEVKMLKLEEQQSLGEACCTLSELVTKPNRSLTLDLIRREESVSSTHSQHCGKLTVHAEECFSSKSTADIILRCIDLESKDLFSKSDPFLVISKLVESGISIPVCKTEVLKNDHNPTWKPVFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKLHLGREGENLYLPTLVGHNYENKILNSKLLVDKFSETVQHTFLDYLAGGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRLNAYQKAIYEVGKVLQFYDADKRYPAWGFGARPIDGPVSHCFNLNGSSNYCEVQGIQGIMMAYTSALFNVSLAGPTLFGHVINRASVVACDSLSTGAKKYFALLIITDGVITDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESTTGCIASRDIVQFVPFRDLQSGEISMVGALLAELPTQFLTYMRRRDIKPST >KJB19722 pep chromosome:Graimondii2_0_v6:3:35555078:35559418:1 gene:B456_003G116900 transcript:KJB19722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSDVGGGMAAVSGATSSAATGNQNDAVDMYLRSHGIHGLFSQIELSFSATSLRDRDVFSKSDPMVVVYIKERDGAINEVFRTEVVLNSSTPTWIAKYTITYHFEVVQNLLFKVFDVDTQYHNVEVKMLKLEEQQSLGEACCTLSELVTKPNRSLTLDLIRREESVSSTHSQHCGKLTVHAEECFSSKSTADIILRCIDLESKDLFSKSDPFLVISKLVESGISIPVCKTEVLKNDHNPTWKPVFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKLHLGREGENLYLPTLVGHNYENKILNSKLLVDKFSETVQHTFLDYLAGGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRLNAYQKAIYEVGKVLQFYDADKRYPAWGFGARPIDGPVSHCFNLNGSSNYCEVQGIQGIMMAYTSALFNVSLAGPTLFGHVINRASVVACDSLSTGAKKYFALLIITVRSIKETDCFPSSQLKLI >KJB19719 pep chromosome:Graimondii2_0_v6:3:35554773:35561368:1 gene:B456_003G116900 transcript:KJB19719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSDVGGGMAAVSGATSSAATGNQNDAVDMYLRSHGIHGLFSQIELSFSATSLRDRDVFSKSDPMVVVYIKERDGAINEVFRTEVVLNSSTPTWIAKYTITYHFEVVQNLLFKVFDVDTQYHNVEVKMLKLEEQQSLGEACCTLSELVTKPNRSLTLDLIRREESVSSTHSQHCGKLTVHAEECFSSKSTADIILRCIDLESKDLFSKSDPFLVISKLVESGISIPVCKTEVLKNDHNPTWKPVFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKLHLGREGENLYLPTLVGHNYENKILNSKLLVDKFSETVQHTFLDYLAGGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRLNAYQKAIYEVGKVLQFYDADKRYPAWGFGARPIDGPVSHCFNLNGSSNYCEVQGIQGIMMAYTSALFNVSLAGPTLFGHVINRASVVACDSLSTGAKKYFALLIITDGVITDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESTTGCIASRDIVQFVPFRDLQSGEISMVGALLAELPTQFLTYMRRRDIKPST >KJB19827 pep chromosome:Graimondii2_0_v6:3:36148775:36154621:1 gene:B456_003G120400 transcript:KJB19827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSGSTRRSSSIVNLSSSRKKTPENGGSDSSRKFLQSSRPMGLAGERTVKKLRLSKALTVPDSTSIYEACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIARELNLEETPVSKVMTKNPVFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGTSFSGHSTFIETLRERMFRPSLSTIITDNPKIVTVSPDDTVLAAAKKMLESRLNSAVVTVENKPRGILTSKDILMRVIAQNLPPETTLVEKVMTPNPECATIDTPIVDALHTMHDGKFLHLPVVDRDGEIVAVFDVIHITHAAVATLSQVGSTSGVNNEATTTMMQKFWDSAMALPPTEEDDETQSRSDGAETGRSLPYPSSNMPNTFGFKIQDKRGRMHRFTCETRRLTDLITAVLQRLEDDIDRNNLPQILYEDEDHDKVVLASDSDLAAAVEHAKLVGWKGLKLHLDYSGTKTRRRGSDSGSMDYANSDAWSAAYSTVAAGAAVVAGFGLLAYLRKAGN >KJB19828 pep chromosome:Graimondii2_0_v6:3:36148782:36152625:1 gene:B456_003G120400 transcript:KJB19828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSGSTRRSSSIVNLSSSRKKTPENGGSDSSRKFLQSSRPMGLAGERTVKKLRLSKALTVPDSTSIYEACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIARELNLEETPVSKVMTKNPVFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGTSFSGHSTFIETLRERMFRPSLSTIITDNPKIVTVSPDDTVLAAAKKMLESRLNSAVVTVENKPRGILTSKDILMRVIAQNLPPETTLVEKVMTPNPECATIDTPIVDALHTMHDGKFLHLPVVDRDGEIVAVFDVIHITHAAVATLSQVGSTSGVNNEATTTMMQKFWDSAMALPPTEEDDETQSRSDGAETGRSLPYPSSNMPNTFGFKIQDKRGRMHRFTCGMLLILFTN >KJB19829 pep chromosome:Graimondii2_0_v6:3:36148796:36154548:1 gene:B456_003G120400 transcript:KJB19829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSGSTRRSSSIVNLSSSRKKTPENGGSDSSRKFLQSSRPITSNGTYCINMLLERGLAGERTVKKLRLSKALTVPDSTSIYEACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIARELNLEETPVSKVMTKNPVFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGTSFSGHSTFIETLRERMFRPSLSTIITDNPKIVTVSPDDTVLAAAKKMLESRLNSAVVTVENKPRGILTSKDILMRVIAQNLPPETTLVEKVMTPNPECATIDTPIVDALHTMHDGKFLHLPVVDRDGEIVAVFDVIHITHAAVATLSQVGSTSGVNNEATTTMMQKFWDSAMALPPTEEDDETQSRSDGAETGRSLPYPSSNMPNTFGFKIQDKRGRMHRFTCETRRLTDLITAVLQRLEDDIDRNNLPQILYEDEDHDKVVLASDSDLAAAVEHAKLVGWKGLKLHLDYSGTKTRRRGSDSGSMDYANSDAWSAAYSTVAAGAAVVAGFGLLAYLRKAGN >KJB18764 pep chromosome:Graimondii2_0_v6:3:16669055:16672154:1 gene:B456_003G071400 transcript:KJB18764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTSSSQKKNLQSLLASNLKKLTITPPSNHEFDFGDVFGPLTPHHPDPTLHSPSSTSPPSAFLGDPQVIHTRSHSYVGPSPRYTVSSSLPLQIQTETEYEAEEQDDDEEEAKPKIGPEDFEILRVVGQGAFGKVFQVRNKGRNNEDGDGLFAMKVMKKVTIIKKNHVDYMRAERDILTKVVHPFIVQLRYSFQTKSKLYLILDFINGGHLFFHLYRQGIFSEDQARFYTAEIVSAVSHLHNCGIVHRDLKPENILVDADGHVMLTDFGLAKEIDESSRSNSMCGTTEYMAPEILQSKGHNKDADWWSVGILLYEMLTGQPPYTHANRQKLQQRIIQEKLKLPPFLTTEAHSLLKGLLQKEPSRRLGSGPGGGDEIKKHKWFWSINWKKLEAREIQPKFKPDVSGKECTANFDKCWTTMPADDSPASTPTAGEHFQGYTYVAPNPWLSSK >KJB18767 pep chromosome:Graimondii2_0_v6:3:16669055:16672154:1 gene:B456_003G071400 transcript:KJB18767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTSSSQKKNLQSLLASNLKKLTITPPSNHEFDFGDVFGPLTPHHPDPTLHSPSSTSPPSAFLGDPQVIHTRSHSYVGPSPRYTVSSSLPLQIQTETEYEAEEQDDDEEEAKPKIGPEDFEILRVVGQGAFGKVFQVRNKGRNNEDGDGLFAMKVMKKVTIIKKNHVDYMRAERDILTKVVHPFIVQLRYSFQTKSKLYLILDFINGGHLFFHLYRQGIFSEDQARFYTAEIVSAVSHLHNCGIVHRDLKPENILVDADGHVMLTDFGLAKEIDESSRSNSMCGTTEYMAPEILQSKGHNKDADWWSVGILLYEMLTGQPPYTHANRQKLQQRIIQEKLKLPPFLTTEAHSLLKGGMR >KJB18763 pep chromosome:Graimondii2_0_v6:3:16669345:16671176:1 gene:B456_003G071400 transcript:KJB18763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTSSSQKKNLQSLLASNLKKLTITPPSNHEFDFGDVFGPLTPHHPDPTLHSPSSTSPPSAFLGDPQVIHTRSHSYVGPSPRYTVSSSLPLQIQTETEYEAEEQDDDEEEAKPKIGPEDFEILRVVGQGAFGKVFQVRNKGRNNEDGDGLFAMKVMKKVTIIKKNHVDYMRAERDILTKVVHPFIVQLRYSFQTKSKLYLILDFINGGHLFFHLYRQGIFSEDQARFYTAEIVSAVSHLHNCGIVHRDLKPENILVDADGHVMLTDFGLAKEIDESSRSNSMCGTTEYMAPEILQSKGHNKDADWWSVGILLYEMLTGQLEVQRF >KJB18766 pep chromosome:Graimondii2_0_v6:3:16669156:16672154:1 gene:B456_003G071400 transcript:KJB18766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTSSSQKKNLQSLLASNLKKLTITPPSNHEFDFGDVFGPLTPHHPDPTLHSPSSTSPPSAFLGDPQVIHTRSHSYVGPSPRYTVSSSLPLQIQTETEYEAEEQDDDEEEAKPKIGPEDFEILRVVGQGAFGKVFQVRNKGRNNEDGDGLFAMKVMKKVTIIKKNHVDYMRAERDILTKVVHPFIVQLRYSFQTKSKLYLILDFINGGHLFFHLYRQGIFRLCLRISDWPRKLMNRADRIQCAGQQSTWLQKFYSPKVTIKMQIGGVLGYCYMKC >KJB18768 pep chromosome:Graimondii2_0_v6:3:16669240:16672133:1 gene:B456_003G071400 transcript:KJB18768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTSSSQKKNLQSLLASNLKKLTITPPSNHEFDFGDVFGPLTPHHPDPTLHSPSSTSPPSAFLGDPQVIHTRSHSYVGPSPRYTVSSSLPLQIQTETEYEAEEQDDDEEEAKPKIGPEDFEILRVVGQGAFGKVFQVRNKGRNNEDGDGLFAMKVMKKVTIIKKNHVDYMRAERDILTKVVHPFIVQLRYSFQTKSKLYLILDFINGGHLFFHLYRQGIFSEDQARFYTAEIVSAVSHLHNCGIVHRDLKPENILVDADGHVMLTDFGLAKEIDESSRSNSMCGTTEYMAPEILQSKGHNKDADWWSVGILLYEMLTGQVIIYRDFQYV >KJB18770 pep chromosome:Graimondii2_0_v6:3:16669240:16672133:1 gene:B456_003G071400 transcript:KJB18770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTSSSQKKNLQSLLASNLKKLTITPPSNHEFDFGDVFGPLTPHHPDPTLHSPSSTSPPSAFLGDPQVIHTRSHSYVGPSPRYTVSSSLPLQIQTETEYEAEEQDDDEEEAKPKIGPEDFEILRVVGQGAFGKVFQVRNKGRNNEDGDGLFAMKVMKKVTIIKKNHVDYMRAERDILTKVVHPFIVQLRYSFQTKSKLYLILDFINGGHLFFHLYRQGIFSEDQARFYTAEIVSAVSHLHNCGIVHRDLKPENILVDADGHVMLTDFGLAKEIDESSRSNSMCGTTEYMAPEILQSKGHNKDADWWSVGILLYEMLTGQVIIYRDFQYV >KJB18765 pep chromosome:Graimondii2_0_v6:3:16669165:16672143:1 gene:B456_003G071400 transcript:KJB18765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTSSSQKKNLQSLLASNLKKLTITPPSNHEFDFGDVFGPLTPHHPDPTLHSPSSTSPPSAFLGDPQVIHTRSHSYVGPSPRYTVSSSLPLQIQTETEYEAEEQDDDEEEAKPKIGPEDFEILRVVGQGAFGKVFQVRNKGRNNEDGDGLFAMKVMKKVTIIKKNHVDYMRAERDILTKVVHPFIVQLRYSFQTKSKLYLILDFINGGHLFFHLYRQGIFRLCLRISDWPRKLMNRADRIQCAGQQSTWLQKFYSPKVTIKMQIGGVLGYCYMKC >KJB18769 pep chromosome:Graimondii2_0_v6:3:16669240:16672133:1 gene:B456_003G071400 transcript:KJB18769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTSSSQKKNLQSLLASNLKKLTITPPSNHEFDFGDVFGPLTPHHPDPTLHSPSSTSPPSAFLGDPQVIHTRSHSYVGPSPRYTVSSSLPLQIQTETEYEAEEQDDDEEEAKPKIGPEDFEILRVVGQGAFGKVFQVRNKGRNNEDGDGLFAMKVMKKVTIIKKNHVDYMRAERDILTKVVHPFIVQLRYSFQTKSKLYLILDFINGGHLFFHLYRQGIFSEDQARFYTAEIVSAVSHLHNCGIVHRDLKPENILVDADGHVMLTDFGLAKEIDESSRSNSMCGTTEYMAPEILQSKGHNKDADWWSVGILLYEMLTGQEYDS >KJB18920 pep chromosome:Graimondii2_0_v6:3:17948726:17950154:-1 gene:B456_003G074900 transcript:KJB18920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLYQQMGFGQYYNNTAASIAAGPSSVAPNSHGTKAGVEINSAAGFLGTNHDLPEFDVTTNHNNLFNYELGAGIVPDSSVGSSLGAANPEVENDGNPPPHTLFQGHFGNDLHKTP >KJB18919 pep chromosome:Graimondii2_0_v6:3:17948430:17951315:-1 gene:B456_003G074900 transcript:KJB18919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLYQQMGFGQYYNNTAASIAAGPSSVAPNSHGVEINSAAGFLGTNHDLPEFDVTTNHNNLFNYELGAGIVPDSSVGSSLGAANPEVENDGNPPPHTLFQGHFGNDLHKTP >KJB20072 pep chromosome:Graimondii2_0_v6:3:38653277:38656011:1 gene:B456_003G131600 transcript:KJB20072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEGLYMFETGLSSKYDSGDGKDVSSESSNSKVSSFSAPLTPRSEGEILQSPNLKSFSFADLKMATRNFRPDSVLGEGGFGSVFKGWVDENSFTATKPGSGIVIAVKRLNQDGFQGHKEWLAEVNYLGQLYHPNLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKVALGAAKGLAFLHSAETRVIYRDFKTSNILLDTNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLSARSDVYSFGVVLLEILSGRRVVDKNRPSGEHKLVEWAKPYLANKRKIFHVLDNRLEGQYTIEGAFKAATLALRCLSIAAKFRPSMNEVVTALEQLQDSNDSRINHNNTNSVPRRRRQSADDATGGRSTTAYPQPSASPLYA >KJB20071 pep chromosome:Graimondii2_0_v6:3:38653082:38656011:1 gene:B456_003G131600 transcript:KJB20071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACIFQVFDAIGNCLSAGIKAESHSNTGLSSKYDSGDGKDVSSESSNSKVSSFSAPLTPRSEGEILQSPNLKSFSFADLKMATRNFRPDSVLGEGGFGSVFKGWVDENSFTATKPGSGIVIAVKRLNQDGFQGHKEWLAEVNYLGQLYHPNLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKVALGAAKGLAFLHSAETRVIYRDFKTSNILLDTNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLSARSDVYSFGVVLLEILSGRRVVDKNRPSGEHKLVEWAKPYLANKRKIFHVLDNRLEGQYTIEGAFKAATLALRCLSIAAKFRPSMNEVVTALEQLQDSNDSRINHNNTNSVPRRRRQSADDATGGRSTTAYPQPSASPLYA >KJB20070 pep chromosome:Graimondii2_0_v6:3:38652969:38656011:1 gene:B456_003G131600 transcript:KJB20070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACIFQVFDAIGNCLSAGIKAESHSNTGLSSKYDSGDGKDVSSESSNSKVSSFSAPLTPRSEGEILQSPNLKSFSFADLKMATRNFRPDSVLGEGGFGSVFKGWVDENSFTATKPGSGIVIAVKRLNQDGFQGHKEWLAEVNYLGQLYHPNLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKVALGAAKGLAFLHSAETRVIYRDFKTSNILLDTNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLSARSDVYSFGVVLLEILSGRRVVDKNRPSGEHKLVEWAKPYLANKRKIFHVLDNRLEGQYTIEGAFKAATLALRCLSIAAKFRPSMNEVVTALEQLQDSNDSRINHNNTNSVPRRRRQSADDATGGRSTTAYPQPSASPLYA >KJB19648 pep chromosome:Graimondii2_0_v6:3:34361193:34365102:-1 gene:B456_003G112300 transcript:KJB19648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDVATDEVCSSESVNGSRDVWSCKDSFSSSADHLVIMVHGILGSSSNWKYGAEQFVRRLPDKVFVHCSERNMAKLTLDGVDVMGERLANEVLDVIQEKPNLSKISFVAHSVGGLVARYAIGKLYRPPKEEVKDDISGNGCKEEPRATIGGLEAINFITVATPHLGSRGNKQVPFLFGVTAFEKAASYVIHWIFRRTGRHLFLTDDDEEKPQLQPPLLKRMLEDYEECYFMSALRLFKRRVLYANVGYDHIVGWRTSSIRRESELPKWGESLNEKYPHIVYEEHCKACDSEQYETISTEDDGSSDKLEEELVRGLSRVSWEKVDVSFHNSRLRFAAHSVIQVKDEFMHTEGADVIQHLIDHFHT >KJB21118 pep chromosome:Graimondii2_0_v6:3:45475184:45477286:1 gene:B456_003G183500 transcript:KJB21118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGVKKGDVLPIPCGSVSSWYNYGDSDVVIIFMADATKAYIAGEITYFLLTGQQGHLRAFSPEFIAKTYQINADKAKNLVGSQKSVLLIKLSEEEARKIPNPNEEVSNILVHNMDASQPDVEVDNGGKLTTIKGTEFPLLEQVGIDVSRLVLESCATRAPSYATEPQVCYIAKGSGEVQIVGINGKLVLNTKVETGQLFVVPKLFAVVVSADEQGIELVSIVTSTRAAVGEIGSKNSVLKTIPSILQMSFNVSQESTQHFLQMLETGTIVVPAMNLY >KJB21116 pep chromosome:Graimondii2_0_v6:3:45474308:45477286:1 gene:B456_003G183500 transcript:KJB21116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMDLSPKFPARFTETEAGVYYHWAAADSQVLGEAKVAAGKLVLKPQGFVLPHYADCSKVGYVLEGKCGVALTVPGINTKENMGYIGVKKGDVLPIPCGSVSSWYNYGDSDVVIIFMADATKAYIAGEITYFLLTGQQGHLRAFSPEFIAKTYQINADKAKNLVGSQKSVLLIKLSEEEARKIPNPNEEVSNILVHNMDASQPDVEVDNGGKLTTIKGTEFPLLEQVGIDVSRLVLESCATRAPSYATEPQVCYIAKGSGEVQIVGINGKLVLNTKVETGQLFVVPKLFAVVVSADEQGIELVSIVTSTRAAVGEIGSKNSVLKTIPSILQMSFNVSQESTQHFLQMLETGTIVVPAMNLY >KJB21117 pep chromosome:Graimondii2_0_v6:3:45475016:45477286:1 gene:B456_003G183500 transcript:KJB21117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYNASTNLLTDLGKCGVALTVPGINTKENMGYIGVKKGDVLPIPCGSVSSWYNYGDSDVVIIFMADATKAYIAGEITYFLLTGQQGHLRAFSPEFIAKTYQINADKAKNLVGSQKSVLLIKLSEEEARKIPNPNEEVSNILVHNMDASQPDVEVDNGGKLTTIKGTEFPLLEQVGIDVSRLVLESCATRAPSYATEPQVCYIAKGSGEVQIVGINGKLVLNTKVETGQLFVVPKLFAVVVSADEQGIELVSIVTSTRAAVGEIGSKNSVLKTIPSILQMSFNVSQESTQHFLQMLETGTIVVPAMNLY >KJB17969 pep chromosome:Graimondii2_0_v6:3:2438182:2440879:-1 gene:B456_003G027000 transcript:KJB17969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKLIETLQTTKKKEKPIKRRGYSQLLSFRWRVLSTRRKWANLLPSFWAEKTPSAIPSPSYPLLLLSFLGRDFATKSWWRSWIIPLSYPMSVWLSFSSSLDPVIGTGVHSSASGGFALTVGAATVYLSTLNLKSFLLCLLVTRFDSVTKLALRCSRKSISLTDDALLTISIRCRNLTRLKLRGCREITDEGMSTFAKNSKKLRKLSCGSCMFGAKALNAVLDHCANLEELSVKRLRGIHDGAEPIGPGAAASSLKMICLKELVNGQSFAPLVLGSKNLKTVKIIHCLGDWDSVLQLIGNRNRNYKENLNCDINYGSSDSNRSPLMEIHLERLQVSDIGLSAISKCTKIENLHIVKTPECSNYGLVCVAENCKLLRKLHIDGWRTNRIGDEGLIAVAKHCSNLQELVLIGVNATHVSLSAIACNCSKLERLALCGSGTIGDTEIACIAAKCMALKKLCIKGCPISDIAIEALGSGCPNLVKIKVRKCRGVSSDAGEWLREQRGSMLINMDACDTDCGFEASVSDRVHETGEEVGQVTAVGASTSSNVEFELH >KJB17972 pep chromosome:Graimondii2_0_v6:3:2438182:2440879:-1 gene:B456_003G027000 transcript:KJB17972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKLIETLQTTKKKEKPIKRRGYSQLLSFRWRVLSTRRKWANLLPSFWAEKTPSAIPSPSYPLLLLSFLGRDFATKSWWRSWIIPLSYPMSVWLSFSSSLDPVIGTGVHSSASGGFALTVGAATVYLSTLNLKSFLLCLLVTRFDSVTKLALRCSRKSISLTDDALLTISIRCRNLTRLKLRGCREITDEGMSTFAKNSKKLRKLSCGSCMFGAKALNAVLDHCANLEELSVKRLRGIHDGAEPIGPGAAASSLKMICLKELVNGQSFAPLVLGSKNLKTVKIIHCLGDWDSVLQLIGNRNRNYKENLNCDINYGSSDSNRSPLMEIHLERLQVSDIGLSAISKCTKIENLHIVKTPECSNYGLVCVAENCKLLRKLHIDGWRTNRIGDEGLIAVAKHCSNLQELVLIGVNATHVSLSAIACNCSKLERLALCGSGTIGDTEIACIAAKCMALKKLCIKGCPISDIAIEALGSGCPNLVKIKVRKCRGVSSDAGEWLREQRGSMLINMDACDTDCGFEASVSDRVHETGEEVGQVTAVGASTSSNVEFELH >KJB17970 pep chromosome:Graimondii2_0_v6:3:2438182:2440879:-1 gene:B456_003G027000 transcript:KJB17970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKLIETLQTTKKKEKPIKRRGYSQLLSFRWRVLSTRRKWANLLPSFWAEKTPSAIPSPSYPLLLLSFLGRDFATKSWWRSWIIPLSYPMSVWLSFSSSLDPVIGTGVHSSASGGFALTVGAATVYLSTLNLKSFLLCLLVTRFDSVTKLALRCSRKSISLTDDALLTISIRCRNLTRLKLRGCREITDEGMSTFAKNSKKLRKLSCGSCMFGAKALNAVLDHCANLEELSVKRLRGIHDGAEPIGPGAAASSLKMICLKELVNGQSFAPLVLGSKNLKTVKIIHCLGDWDSVLQLIGNRNRNYKENLNCDINYGSSDSNRSPLMEIHLERLQVSDIGLSAISKCTKIENLHIVKTPECSNYGLVCVAENCKLLRKLHIDGWRTNRIGDEGLIAVAKHCSNLQELVLIGVNATHVSLSAIACNCSKLERLALCGSGTIGDTEIACIAAKCMALKKLCIKGCPISDIAIEALGSGCPNLVKIKVRKCRGVSSDAGEWLREQRGSMLINMDACDTDCGFEASVSDRVHETGEEVGQVTAVGASTSSNGRLALLRSKLGHFAGRNLVACTLRRWSNFALNRTLADYLTRLLILVIEFDTSLSRKKTTIALIQAVFAVNAEINI >KJB17967 pep chromosome:Graimondii2_0_v6:3:2438752:2440891:-1 gene:B456_003G027000 transcript:KJB17967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPPPKLIETLQTTKKKEKPIKRRGYSQLLSFRWRVLSTRRKWANLLPSFWAEKTPSAIPSPSYPLLLLSFLGRDFATKSWWRSWIIPLSYPMSVWLSFSSSLDPVIGTGVHSSASGGFALTVGAATVYLSTLNLKSFLLCLLVTRFDSVTKLALRCSRKSISLTDDALLTISIRCRNLTRLKLRGCREITDEGMSTFAKNSKKLRKLSCGSCMFGAKALNAVLDHCANLEELSVKRLRGIHDGAEPIGPGAAASSLKMICLKELVNGQSFAPLVLGSKNLKTVKIIHCLGDWDSVLQLIGNRNRNYKENLNCDINYGSSDSNRSPLMEIHLERLQVSDIGLSAISKCTKIENLHIVKTPECSNYGLVCVAENCKLLRKLHIDGWRTNRIGDEGLIAVAKHCSNLQELVLIGVNATHVSLSAIACNCSKLERLALCGSGTIGDTEIACIAAKCMALKKLCIKGCPISDIAIEALGSGCPNLVKIKVRKCRGVSSDAGEWLREQRGSMLINMDACDTDCGFEASVSDRVHETGEEVGQVTAVGASTSSNGRLALLRSKLGHFAGRNLVACTLRS >KJB17966 pep chromosome:Graimondii2_0_v6:3:2438752:2440891:-1 gene:B456_003G027000 transcript:KJB17966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPPPKLIETLQTTKKKEKPIKRRGYSQLLSFRWRVLSTRRKWANLLPSFWAEKTPSAIPSPSYPLLLLSFLGRDFATKSWWRSWIIPLSYPMSVWLSFSSSLDPVIGTGVHSSASGGFALTVGAATVYLSTLNLKSFLLCLLVTRFDSVTKLALRCSRKSISLTDDALLTISIRCRNLTRLKLRGCREITDEGMSTFAKNSKKLRKLSCGSCMFGAKALNAVLDHCANLEELSVKRLRGIHDGAEPIGPGAAASSLKMICLKELVNGQSFAPLVLGSKNLKTVKIIHCLGDWDSVLQLIGNRNRNYKENLNCDINYGSSDSNRSPLMEIHLERLQVSDIGLSAISKCTKIENLHIVKTPECSNYGLVCVAENCKLLRKLHIDGWRTNRIGDEGLIAVAKHCSNLQELVLIGVNATHVSLSAIACNCSKLERLALCGSGTIGDTEIACIAAKCMALKKLCIKGCPISDIAIEALGSGCPNLVKIKVRKCRGVSSDAGEWLREQRGSMLINMDACDTDCGFEASVSDRVHETGEEVGQVTAVGASTSSNGRLALLRSKLGHFAGRNLVACTLRS >KJB17971 pep chromosome:Graimondii2_0_v6:3:2439134:2440879:-1 gene:B456_003G027000 transcript:KJB17971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKLIETLQTTKKKEKPIKRRGYSQLLSFRWRVLSTRRKWANLLPSFWAEKTPSAIPSPSYPLLLLSFLGRDFATKSWWRSWIIPLSYPMSVWLSFSSSLDPVIGTGVHSSASGGFALTVGAATVYLSTLNLKSFLLCLLVTRFDSVTKLALRCSRKSISLTDDALLTISIRCRNLTRLKLRGCREITDEGMSTFAKNSKKLRKLSCGSCMFGAKALNAVLDHCANLEELSVKRLRGIHDGAEPIGPGAAASSLKMICLKELVNGQSFAPLVLGSKNLKTVKIIHCLGDWDSVLQLIGNRNRNYKENLNCDINYGSSDSNRSPLMEIHLERLQVSDIGLSAISKCTKIENLHIVKTPECSNYGLVCVAENCKLLRKLHIDGWRTNRIGDEGLIAVAKHCSNLQELVLIGVNATHVSLSAIACNCSKLERLALCGSGTIGDTEIACIAAKCMALKKLCIKGCPISDIAIEALGSGCPNLVKIKVRKCRGVSSDAGEWLREQRGSMLINMDACDTDCGFEASVSDRVHETGEEVGQVTAVGASTSSNGRLALLRSKLGHFAGRNLVACTLRRWSNCDDSLNINL >KJB17964 pep chromosome:Graimondii2_0_v6:3:2438115:2440882:-1 gene:B456_003G027000 transcript:KJB17964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPKLIETLQTTKKKEKPIKRRGYSQLLSFRWRVLSTRRKWANLLPSFWAEKTPSAIPSPSYPLLLLSFLGRDFATKSWWRSWIIPLSYPMSVWLSFSSSLDPVIGTGVHSSASGGFALTVGAATVYLSTLNLKSFLLCLLVTRFDSVTKLALRCSRKSISLTDDALLTISIRCRNLTRLKLRGCREITDEGMSTFAKNSKKLRKLSCGSCMFGAKALNAVLDHCANLEELSVKRLRGIHDGAEPIGPGAAASSLKMICLKELVNGQSFAPLVLGSKNLKTVKIIHCLGDWDSVLQLIGNRNRNYKENLNCDINYGSSDSNRSPLMEIHLERLQVSDIGLSAISKCTKIENLHIVKTPECSNYGLVCVAENCKLLRKLHIDGWRTNRIGDEGLIAVAKHCSNLQELVLIGVNATHVSLSAIACNCSKLERLALCGSGTIGDTEIACIAAKCMALKKLCIKGCPISDIAIEALGSGCPNLVKIKVRKCRGVSSDAGEWLREQRGSMLINMDACDTDCGFEASVSDRVHETGEEVGQVTAVGASTSSNVEFELH >KJB17965 pep chromosome:Graimondii2_0_v6:3:2438116:2440891:-1 gene:B456_003G027000 transcript:KJB17965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPPPKLIETLQTTKKKEKPIKRRGYSQLLSFRWRVLSTRRKWANLLPSFWAEKTPSAIPSPSYPLLLLSFLGRDFATKSWWRSWIIPLSYPMSVWLSFSSSLDPVIGTGVHSSASGGFALTVGAATVYLSTLNLKSFLLCLLVTRFDSVTKLALRCSRKSISLTDDALLTISIRCRNLTRLKLRGCREITDEGMSTFAKNSKKLRKLSCGSCMFGAKALNAVLDHCANLEELSVKRLRGIHDGAEPIGPGAAASSLKMICLKELVNGQSFAPLVLGSKNLKTVKIIHCLGDWDSVLQLIGNRNRNYKENLNCDINYGSSDSNRSPLMEIHLERLQVSDIGLSAISKCTKIENLHIVKTPECSNYGLVCVAENCKLLRKLHIDGWRTNRIGDEGLIAVAKHCSNLQELVLIGVNATHVSLSAIACNCSKLERLALCGSGTIGDTEIACIAAKCMALKKLCIKGCPISDIAIEALGSGCPNLVKIKVRKCRGVSSDAGEWLREQRGSMLINMDACDTDCGFEASVSDRVHETGEEVGQVTAVGASTSSNVEFELH >KJB17968 pep chromosome:Graimondii2_0_v6:3:2438116:2440894:-1 gene:B456_003G027000 transcript:KJB17968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPPPPPKLIETLQTTKKKEKPIKRRGYSQLLSFRWRVLSTRRKWANLLPSFWAEKTPSAIPSPSYPLLLLSFLGRDFATKSWWRSWIIPLSYPMSVWLSFSSSLDPVIGTGVHSSASGGFALTVGAATVYLSTLNLKSFLLCLLVTRFDSVTKLALRCSRKSISLTDDALLTISIRCRNLTRLKLRGCREITDEGMSTFAKNSKKLRKLSCGSCMFGAKALNAVLDHCANLEELSVKRLRGIHDGAEPIGPGAAASSLKMICLKELVNGQSFAPLVLGSKNLKTVKIIHCLGDWDSVLQLIGNRNRNYKENLNCDINYGSSDSNRSPLMEIHLERLQVSDIGLSAISKCTKIENLHIVKTPECSNYGLVCVAENCKLLRKLHIDGWRTNRIGDEGLIAVAKHCSNLQELVLIGVNATHVSLSAIACNCSKLERLALCGSGTIGDTEIACIAAKCMALKKLCIKGCPISDIAIEALGSGCPNLVKIKVRKCRGVSSDAGEWLREQRGSMLINMDACDTDCGFEASVSDRVHETGEEVGQVTAVGASTSSNVEFELH >KJB18587 pep chromosome:Graimondii2_0_v6:3:11199207:11204744:-1 gene:B456_003G061800 transcript:KJB18587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLHRSCFLNNSGISGSSSLFSYKLNKLPPASVKATALGPNSDTNASVLIENNNAFKEITQDGTSSAADVDINLTVAGGDREVYYDDAATEDQLVTPWSVSVASGYSLLRDPHHNKGLAFTEKERDAHYLRGLLPPTVISQDLQVKKMMYSIRKYKVPLQKYMAMMDLQETNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLFISLKEKGNIHEVLRNWPQKDIQVIVVTDGERILGLGDLGCQGMGIPIGKLSLYTALGGVCPSACLPVTIDVGTNNEQMLNDEFYIGLRRRRATGLEYAELMDEFMTAVKQNYGEKVLIQFEDFANHNAFDLLTNMPLEEARKKISLVDSKGLIVKSRIDSLQHFKKPWAHDHEPIKKLVDAVNGTKPTVLIGTSGVGRTFTKEVVEAMAALNDRPIIFSLSNPTSQSECTAEEAYTWSKGRAIFASGSPFDPVEYEGRVFVPGQANNAYIFPGFGLGLIMSGAIRVHDDMLLEAAEALAAQVTQENFDKGLIYPPFKNIRQISANIAANVAAKAYELGLATRQPRPKDLVKYAESCMYNPAYRSYR >KJB18586 pep chromosome:Graimondii2_0_v6:3:11199132:11204946:-1 gene:B456_003G061800 transcript:KJB18586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLHRSCFLNNSGISGSSSLFSYKLNKLPPASVKATALGPNSDTNASVLIENNNAFKEITQDGTSSAADVDINLTVAGGDREVYYDDAATEDQLVTPWSVSVASGYSLLRDPHHNKGLAFTEKERDAHYLRGLLPPTVISQDLQVKKMMYSIRKYKVPLQKYMAMMDLQETNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLFISLKEKGNIHEVLRNWPQKDIQVIVVTDGERILGLGDLGCQGMGIPIGKLSLYTALGGVCPSACLPVTIDVGTNNEQMLNDEFYIGLRRRRATGLEYAELMDEFMTAVKQNYGEKVLIQFEDFANHNAFDLLAKYGTTHLVFNDDIQGTASVVLAGLVAALKVVGGTLADHRFLFLGAGEAGTGIAELIALEISKQTNMPLEEARKKISLVDSKGLIVKSRIDSLQHFKKPWAHDHEPIKKLVDAVNGTKPTVLIGTSGVGRTFTKEVVEAMAALNDRPIIFSLSNPTSQSECTAEEAYTWSKGRAIFASGSPFDPVEYEGRVFVPGQANNAYIFPGFGLGLIMSGAIRVHDDMLLEAAEALAAQVTQENFDKGLIYPPFKNIRQISANIAANVAAKAYELGLATRQPRPKDLVKYAESCMYNPAYRSYR >KJB17824 pep chromosome:Graimondii2_0_v6:3:1231623:1232054:-1 gene:B456_003G017500 transcript:KJB17824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRFPPRGWLKFNVCGVVFKDKAGGGGVLRDEDGVARALFSSPSEVKDAELSIGVALELYEGMGWATCCPLFIEVGSNEVFKWLSEIESRLGKLHYFFAEIERRSYLSFRKAEHMGNEMAFALAIVGVKRSDTFKAWW >KJB18801 pep chromosome:Graimondii2_0_v6:3:15429899:15433160:1 gene:B456_003G069700 transcript:KJB18801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKAMDFSLRINPSICFTQNPPKPSSKLRFLTVRCSLPLTSDDAATGLAERPWKVADARLVLEDGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESRQCFLAGLVIRSLSITTSNWRCAETLGDYLAERNIMGIYDVDTRAITRRLRQDGSLIGVLSTEQSRSDEELLEMSRSWDIVGIDLISGITCNAPYEWVDKTKSDWDFNSNGRDQETYRVVAYDFGIKHNILRRLASYGCKITVVPSTWPAAETLKVKPDGVLFSNGPGDPSAVPYAVETVKEILGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVCNLRNGRVEISAQNHNYAVDPASLPEGVEVTHVNLNDGSCAGLAYPALNVMSLQYHPEASPGPHDSDCAFREFIELMKSTKQAA >KJB18803 pep chromosome:Graimondii2_0_v6:3:15429917:15432974:1 gene:B456_003G069700 transcript:KJB18803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKAMDFSLRINPSICFTQNPPKPSSKLRFLTVRCSLPLTSDDAATGLAERPWKVADARLVLEDGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESRQCFLAGLVIRSLSITTSNWRCAETLGDYLAERNIMGIYDVDTRAITRRLRQDGSLIGVLSTEQSRSDEELLEMSRSWDIVGIDLISGITCNAPYEWVDKTKSDWDFNSNGRDQETYRVVAYDFGIKHNILRRLASYGCKITVVPSTWPAAETLKVKPDGVLFSNGPGDPSAVPYAVETVKEILGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVCNLRNGRVEISAQCLSGCHHLRFYDMAEPQLCS >KJB18805 pep chromosome:Graimondii2_0_v6:3:15429917:15432974:1 gene:B456_003G069700 transcript:KJB18805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKAMDFSLRINPSICFTQNPPKPSSKLRFLTVRCSLPLTSDDAATGLAERPWKVADARLVLEDGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESRQCFLAGLVIRSLSITTSNWRCAETLGDYLAERNIMGIYDVDTRAITRRLRQDGSLIGVLSTEQSRSDEELLEMSRSWDIVGIDLISGITCNAPYEWVDKTKSDWDFNSNGRDQETYRVVAYDFGIKHNILRRLASYGCKITVVPSTWPAAETLKVKPDGVLFSNGPGDPSAVPYAVETVKEILGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVCNLRNGRVEISAQIL >KJB18804 pep chromosome:Graimondii2_0_v6:3:15429917:15432974:1 gene:B456_003G069700 transcript:KJB18804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKAMDFSLRINPSICFTQNPPKPSSKLRFLTVRCSLPLTSDDAATGLAERPWKVADARLVLEDGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESRQCFLAGLVIRSLSITTSNWRCAETLGDYLAERNIMGIYDVDTRAITRRLRQDGSLIGVLSTEQSRSDEELLEMSRSWDIVGIDLISGITCNAPYEWVDKTKSDWDFNSNGRDQETYRVVAYDFGIKHNILRRLASYGCKITVVPSTWPAAETLKVKPDGVLFSNGPGDPSAVPYAVETVKEILGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVCNLRNGRVEISAQNHNYAVDPASLPEGVEVTHVNLNDGSCAGLAYPALNVMSLQYHPEASPGPHDSDCGKNFFPTSLDFCLFT >KJB18802 pep chromosome:Graimondii2_0_v6:3:15429917:15432974:1 gene:B456_003G069700 transcript:KJB18802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKAMDFSLRINPSICFTQNPPKPSSKLRFLTVRCSLPLTSDDAATGLAERPWKVADARLVLEDGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESRQCFLAGLVIRSLSITTSNWRCAETLGDYLAERNIMGIYDVDTRAITRRLRQDGSLIGVLSTEQSRSDEELLEMSRSWDIVGIDLISGITCNAPYEWVDKTKSDWDFNSNGRDQETYRVRNIFESLCQCI >KJB17503 pep chromosome:Graimondii2_0_v6:3:153919:158622:1 gene:B456_003G002600 transcript:KJB17503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWGSGSSDTDATTHVYSSTNLPTTPGTSNNCSNNMESSATSSISNSRSQFSVSVSEIVEEEVPDGQILETSNLKVFTFAELKAATKSFNTLLGEGGFGKVYKGWLDERTLTPSKVGFGMIVAIKKLKQESVQGFEEWQSEVNFLGRLSHPNLVKLLGYCWEDKELLLVYEFMQKGSLENHLFRRNPSVEPLSWETRLKIINGAARGLAFLHFSEKVIFRDFKASNILLDGHYNAKISDFGLAKLGPSGGDSHVTTRVMGTYGYAAPEYIATGHLYVKSDVYGFGVLLLEIMTGLRALDTKRPDGQQNLVDWLKPTLSHKRKFKTFMDARIEGQYSSKAATQTAELTLKCLEHDPKNRPSMKQVVEALERIESLNKERPKESSKSGSIHSSTTPRHRQQSSSQRPQFHSRQHVGNYSSTGNGVHKPFFDDITNFLRRFLFRVLSVGPIPTHLAFIMDGNRRYAKKQNLKEGEGHKAGYLALMSLLRYCYELGIKYVTIYAFSIENFKRRPDEVQSLMDLMLEKMEALLMEESIVNQYGIRVCFIGNLRLLSEPVRAAAEKVMKVTSNNSKAMLLVCVAYTASNEIVHAVEGSCKEKEKEFRLCNLNNNVMDDVKEGEKVNGMVVHDIQDFYEDTSVESQGLKQGKGCNGTPYHACESFESNWDQVLTSKASKSGEVSEGFRNLRQEVPLIKLVDIEKHMYMAVAPDPDMLIRSSGESRLSNFLLWQTSNCQLYSPAALWPEIGLSQLVWAILKFQQSHSYLEKKMK >KJB18015 pep chromosome:Graimondii2_0_v6:3:2696994:2699563:-1 gene:B456_003G029500 transcript:KJB18015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDSFQTDGDHIRYVATELATDIVVNVGDVKFYCHKFPLLSKSARLQKLVATSNDENGDELHIQDIPGGPGAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYETVEKGNLIYKIDVFLNSSIFRSWKDSITVLQSTKSLLPWSEELKVVSRSLDSIASKASIDTSMVEWSYTYNRKKLPSENGNSPQWNGVRKQQTVPKDWWVEDLCELRIDLYKRVITTIKTKGRVSGDVIGEALNAYTMRRLPGFSKGMIPNNDIVKYRSLVETIVWLLPAEKGTVSCSFLLRLLRAAILLDCGETERNELMRRISQQLPEATVTDLLIRAPAEEATIYDVDIVHNLVASFMTHISQTDPVKKEFLESTRSPKFGPDASKVLVAKLIDGYLAEIARDPNLPVSKFVNLAEIVSSVSRPCHDGLYRGIDMYLKEHPGISKSERKRICRLMDCKKLSAEACMHAVQNERLPLRVVVQVLFFEQARATTSSGSSTPDLPGSIRALLPGGSHGSSRSTTTNTEEDWDSVPTAEDIKALKGELTTLRLSGETNRNGTAKTDIERVAVSRMKGLAMSKIFSKLKSSKERNGEISSSDTSESPEETKSTPSVSRKHSLS >KJB18016 pep chromosome:Graimondii2_0_v6:3:2696440:2700618:-1 gene:B456_003G029500 transcript:KJB18016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDSFQTDGDHIRYVATELATDIVVNVGDVKFYCHKFPLLSKSARLQKLVATSNDENGDELHIQDIPGGPGAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYETVEKGNLIYKIDVFLNSSIFRSWKDSITVLQSTKSLLPWSEELKVVSRSLDSIASKASIDTSMVEWSYTYNRKKLPSENGNSPQWNGVRKQQTVPKDWWVEDLCELRIDLYKRVITTIKTKGRVSGDVIGEALNAYTMRRLPGFSKGMIPNNDIVKYRSLVETIVWLLPAEKGTVSCSFLLRLLRAAILLDCGETERNELMRRISQQLPEATVTDLLIRAPAEEATIYDVDIVHNLVASFMTHISQTDPVKKEFLESTRSPKFGPDASKVLVAKLIDGYLAEIARDPNLPVSKFVNLAEIVSSVSRPCHDGLYRGIDMYLKEHPGISKSERKRICRLMDCKKLSAEACMHAVQNERLPLRVVVQVLFFEQARATTSSGSSTPDLPGSIRALLPGGSHGSSRSTTTNTEEDWDSVPTAEDIKALKGELTTLRLSGETNRNGTAKTDIERVAVSRMKGLAMSKIFSKLKSSKERNGEISSSDTSESPEETKSTPSVSRKHSLS >KJB18014 pep chromosome:Graimondii2_0_v6:3:2696404:2699950:-1 gene:B456_003G029500 transcript:KJB18014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDSFQTDGDHIRYVATELATDIVVNVGDVKFYCHKFPLLSKSARLQKLVATSNDENGDELHIQDIPGGPGAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYETVEKGNLIYKIDVFLNSSIFRSWKDSITVLQSTKSLLPWSEELKVVSRSLDSIASKASIDTSMVEWSYTYNRKKLPSENGNSPQWNGVRKQQTVPKDWWVEDLCELRIDLYKRVITTIKTKGRVSGDVIGEALNAYTMRRLPGFSKGMIPNNDIVKYRSLVETIVWLLPAEKGTVSCSFLLRLLRAAILLDCGETERNELMRRISQQLPEATVTDLLIRAPAEEATIYDVDIVHNLVASFMTHISQTDPVKKEFLESTRSPKFGPDASKVLVAKLIDGYLAEIARDPNLPVSKFVNLAEIVSSVSRPCHDGLYRGIDMYLKEHPGISKSERKRICRLMDCKKLSAEACMHAVQNERLPLRVVVQVLFFEQARATTSSGSSTPDLPGSIRALLPGGSHGSSRSTTTNTEEDWDSVPTAEDIKALKGELTTLRLSGETNRNGTAKTDIERVAVSRMKGLAMSKIFSKLKSSKERNGEISSSDTSESPEETKSTPSVSRKHSLS >KJB18607 pep chromosome:Graimondii2_0_v6:3:12165422:12168926:1 gene:B456_003G063600 transcript:KJB18607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMKHNPNNQIPIQPFPFPSIMNVSDMGESNHAVLDDSYRPLPALYLSFLFIWFFSACSWTFNTYKNRHFQINNLQWTLASVPLIKALQLTLSFLFWYSCFYLQLCSLWMSFGVYVTGVLFQTVSFVSFLLISHGYCITCERLSVTERRTTAALGCVFYLTLIGYRASVPYFTVLLLLNYMISFYVIFHHISQNLLVLREQLTFIEDEDVQPMRDAVYMKYRMLKKFQGAMQIVAMAEIVIYINIDDSSENYWLRLLVREWAQFCIFLYIGWTFRSQELAPRFSVMPTLKSKVDLMVPPIYRIEMDATTFREFQSHEWHIGVPTSVRDESSRDSVLVIIQHPNAYRPTTILSSRKQPV >KJB18608 pep chromosome:Graimondii2_0_v6:3:12165493:12168895:1 gene:B456_003G063600 transcript:KJB18608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMKHNPNNQIPIQPFPFPSIMNVSDMGESNHAVLDDSYRPLPALYLSFLFIWFFSACSWTFNTYKNRHFQINNLQWTLASVPLIKALQLTLSFLFWYSCFYLQLCSLWMSFGVYVTGVLFQTVSFVSFLLISHGYCITCERLSVTERRTTAALGCVFYLTLIGYRASVPYFTVLLLLNYMISFYVIFHHISQNLLVLREQLTFIEDEDVQPMRDAVYMKYRMLKKFQGAMQIVAMAEIVIYINIDDSSENYWLRLLVREWAQFCIFLYIGWTFRSQELAPRFSVMPTLKSKVDLMVPPIYRIVS >KJB18609 pep chromosome:Graimondii2_0_v6:3:12165493:12168895:1 gene:B456_003G063600 transcript:KJB18609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMKHNPNNQIPIQPFPFPSIMNVSDMGESNHAVLDDSYRPLPALYLSFLFIWFFSACSWTFNTYKNRHFQINNLQWTLASVPLIKALQLTLSFLFWYSCFYLQLCSLWMSFGVYVTGVLFQTVSFVSFLLISHGYCITCERLSVTERRTTAALGCVFYLTLIGYRASVPYFTVLLLLNYMISFYVIFHHISQNLLVLREQLTFIEDEDVQPMRDAVYMKYRMLKKFQGAMQIVAMAEIVIYINIDDSSENYWLRLLVREWAQFCIFLYIGWTFRSQELAPRFSVMPTLKSKVDLMVPPIYRIVS >KJB20453 pep chromosome:Graimondii2_0_v6:3:41681319:41685438:1 gene:B456_003G149400 transcript:KJB20453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQDGDEAAPPSYGGGYGVSGGYGGGGGGYGGGGRGGSGGHGGRGGGGRGGGGGYGGGYQNRGGGGGYQGGERAGRGGGGRGGGRGGSGRDGDWLCPNPSCGNLNFARRVECNKCGAPSPAGAGGDRGSGSTGGGYSRGGGGGYGGNRGGRDGGRGGYDSGRNNNYDGGRGGNNDNRSSGSRGGSFGSSQGVEDSGYGQVPPPAPNSYGGNYPPAPNTYGGNANYGMDSVPPPTSYTGGPTSYPPSYGGPAGGYAGEGLSDARTGGRGGPPGGYDGGYGSGGPRNQGGGYGGPPVEAPAKIKQCDGNCGDSCDNSRIYITNLPPDVTIEELRDLFGGIGQVGRIKQKRGYKDQWPWNIKIYTDEKGNQKGDAVLSYEDPQAAHSAGGFFHNHVMRGYTIGVAMAEKTAPKVYDHGGGKGGYGGGGDRRRDSYRDGGSGPDRHHHGGNRSRPY >KJB20452 pep chromosome:Graimondii2_0_v6:3:41681355:41685388:1 gene:B456_003G149400 transcript:KJB20452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQDGDEAAPPSYGGGYGVSGGYGGGGGGYGGGGRGGSGGHGGRGGGGRGGGGGYGGGYQNRGGGGGYQGGERAGRGGGGRGGGRGGSGRDGDWLCPNPSCGNLNFARRVECNKCGAPSPAGAGGDRGSGSTGGGYSRGGGGGYGGNRGGRDGGRGGYDSGRNNNYDGGRGGNNDNRSSGSRGGSFGSSQGVEDSGYGQVPPPAPNSYGGNYPPAPNTYGGNANYGMDSVPPPTSYTGGPTSYPPSYGGPAGGYAGEGLSDARTGGRGGPPGGYDGGYGSGGPRNQGGGYGGPPVEAPAKIKQCDGNCGDSCDNSRIYITNLPPDVTIEELRDLFGGIGQVGRIKQKRGYKDQWPWNIKIYTDEKGNQKGDAVLSYEDPQAAHSAGGFFHNHVMRGYTIGVAMAEKTAPKVYDHGGGKGGYGGGGDRRRDSYRDGGSGPDRHHHGGNRSRPY >KJB20454 pep chromosome:Graimondii2_0_v6:3:41681370:41685154:1 gene:B456_003G149400 transcript:KJB20454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQDGDEAAPPSYGGGYGVSGGYGGGGGGYGGGGRGGSGGHGGRGGGGRGGGGGYGGGYQNRGGGGGYQGGERAGRGGGGRGGGRGGSGRDGDWLCPNPSCGNLNFARRVECNKCGAPSPAGAGGDRGSGSTGGGYDSGRNNNYDGGRGGNNDNRSSGSRGGSFGSSQGVEDSGYGQVPPPAPNSYGGNYPPAPNTYGGNANYGMDSVPPPTSYTGGPTSYPPSYGGPAGGYAGEGLSDARTGGRGGPPGGYDGGYGSGGPRNQGGGYGGPPVEAPAKIKQCDGNCGDSCDNSRIYITNLPPDVTIEELRDLFGGIGQVGRIKQKRGYKDQWPWNIKIYTDEKGNQKGDAVLSYEDPQAAHSAGGFFHNHVMRGYTIGVAMAEKTAPKVYDHGGGKGGYGGGGDRRRDSYRDGGSGPDRHHHGGNRSRPY >KJB20975 pep chromosome:Graimondii2_0_v6:3:44723883:44733731:1 gene:B456_003G175600 transcript:KJB20975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSQENTFTVDPVLPSLLCSDMENFGYFGLCGLSDNEICGLSGEGTSDARANQLNMKLSQENTFTVQGCADIQNSLPAVAEVHHNPVMHGLTKKSAEAKGKRSVEEIKDEAYIKKGKTKVVNDN >KJB18223 pep chromosome:Graimondii2_0_v6:3:4389623:4394190:1 gene:B456_003G040000 transcript:KJB18223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLRASNSGLFARDSLPLLSFVRPSQTSHHRRGARFIVRAETDYYTVLGVSRNASKSEIKSAYRKLARSYHPDVNKDPGAETKFKEISNAYEVLSDDEKRSLYDKYGEAGLKGAGMGMGDFSNPFDLFESLFEGMGGMGGMGMGGRSSRNRAVDGQDEYYSLVLNFKDAVFGVEKEIEITRLESCGTCNGSGAKPGTTPSKCTTCGGQGQVISSARTPLGVFQQVMTCSSCGGTGEISTPCNTCSGDGRVRRTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGSAGDLFVVIEVIPDPVLKRDDTNILYTCKVSYIDAILGTTIKVPTVDGMVDLKIPAGTQPNTTLVMAKKGVPVLNKTNMRGDQLVRVQVEIPKRLSSEEKKLIEELADLSKGKTASSRR >KJB18222 pep chromosome:Graimondii2_0_v6:3:4389488:4394209:1 gene:B456_003G040000 transcript:KJB18222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIPCGSTFVAQWHIRPQLTTRSYVPNRIMTARLGVTSTMSYLRASNSGLFARDSLPLLSFVRPSQTSHHRRGARFIVRAETDYYTVLGVSRNASKSEIKSAYRKLARSYHPDVNKDPGAETKFKEISNAYEVLSDDEKRSLYDKYGEAGLKGAGMGMGDFSNPFDLFESLFEGMGGMGGMGMGGRSSRNRAVDGQDEYYSLVLNFKDAVFGVEKEIEITRLESCGTCNGSGAKPGTTPSKCTTCGGQGQVISSARTPLGVFQQVMTCSSCGGTGEISTPCNTCSGDGRVRRTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGSAGDLFVVIEVIPDPVLKRDDTNILYTCKVSYIDAILGTTIKVPTVDGMVDLKIPAGTQPNTTLVMAKKGVPVLNKTNMRGDQLVRVQVEIPKRLSSEEKKLIEELADLSKGKTASSRR >KJB20004 pep chromosome:Graimondii2_0_v6:3:38081247:38084933:1 gene:B456_003G129000 transcript:KJB20004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSATIQPLLNFELSHSHQPKRPYSLPLCSFIKKPRLSPKHFFSQSKDYTKINIFSSPSLFSRQKPGSHVAAQSDYSSGEEAESLLPDSAYQEPFSWSSVILPFLFPALGGLLFGYDIGATSGATISLQVSGSLYGALLGSLLVYPVADSLGRRRELLIAALLYVLGGVLTAFAPGLSVLLVGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLISLKELFIVLGILLGYFVGSFQINAVGGWRYMYGCSAPVALLMGLGMWSLPPSPRWLILRAVQGKGSLQELKEKAILALSKLRGRAPGDEASEKQIEDTLISVKSAYMEQESEGNILEVFQGPSLKAFIIGGGLVLFQQITGQPSVLYYAGPILQSAGFSAAADATRLSVVVGLFKLLMTWIAVAKVDDLGRRPLLIGGVGGIALSLFLLCAYYKFLGEFPYVAVAALLLYVGCYQISFGPISWLMVSEIFPLRTRGKGISLAVLTNFGSNAIVTFAFSPLKELLGAENLFLLFGVIALISLLFVALYVPETKGLSLEEIESKLLK >KJB20000 pep chromosome:Graimondii2_0_v6:3:38081159:38085346:1 gene:B456_003G129000 transcript:KJB20000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSATIQPLLNFELSHSHQPKRPYSLPLCSFIKKPRLSPKHFFSQSKDYTKINIFSSPSLFSRQKPGSHVAAQSDYSSGEEAESLLPDSAYQEPFSWSSVILPFLFPALGGLLFGYDIGATSGATISLQSPELSGTTWFNLSAIQLGLVVSGSLYGALLGSLLVYPVADSLGRRRELLIAALLYVLGGVLTAFAPGLSVLLVGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLISLKELFIVLGILLGYFVGSFQINAVGGWRYMYGCSAPVALLMGLGMWSLPPSPRWLILRAVQGKGSLQELKEKAILALSKLRGRAPGDEASEKQIEDTLISVKSAYMEQESEGNILEVFQGPSLKAFIIGGGLVLFQQITGQPSVLYYAGPILQSAGFSAAADATRLSVVVGLFKLLMTWIAVAKVDDLGRRPLLIGGVGGIALSLFLLCAYYKFLGEFPYVAVAALLLYVGCYQISFGPISWLMVSEIFPLRTRGKGISLAVLTNFGSNAIVTFAFSPLKELLGAENLFLLFGVIALISLLFVALYVPETKGLSLEEIESKLLK >KJB20003 pep chromosome:Graimondii2_0_v6:3:38081247:38084259:1 gene:B456_003G129000 transcript:KJB20003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSATIQPLLNFELSHSHQPKRPYSLPLCSFIKKPRLSPKHFFSQSKDYTKINIFSSPSLFSRQKPGSHVAAQSDYSSGEEAESLLPDSAYQEPFSWSSVILPFLFPALGGLLFGYDIGATSGATISLQSPELSGTTWFNLSAIQLGLVVSGSLYGALLGSLLVYPVADSLGRRRELLIAALLYVLGGVLTAFAPGLSVLLVGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLISLKELFIVLGILLGYFVGSFQINAVGGWRYMYGCSAPVALLMGLGMWSLPPSPRWLILRAVQGKGSLQELKEKAILALSKLRGRAPGDEASEKQIEDTLISVKSAYMEQESEGNILEVFQGPSLKAFIIGGGLVLFQQITGQPSVLYYAGPILQSAGFSAAADATRLSVVVGLFKLLMTWIAVAKVDDLGRRPLLIGGVGGIALSLFLLCAYYKFLGEFPYVAVAALLLYVGCYQANIFWAHQLADGV >KJB20002 pep chromosome:Graimondii2_0_v6:3:38081247:38083820:1 gene:B456_003G129000 transcript:KJB20002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSATIQPLLNFELSHSHQPKRPYSLPLCSFIKKPRLSPKHFFSQSKDYTKINIFSSPSLFSRQKPGSHVAAQSDYSSGEEAESLLPDSAYQEPFSWSSVILPFLFPALGGLLFGYDIGATSGATISLQSPELSGTTWFNLSAIQLGLVVSGSLYGALLGSLLVYPVADSLGRRRELLIAALLYVLGGVLTAFAPGLSVLLVGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLISLKELFIVLGILLGYFVGSFQINAVGGWRYMYGCSAPVALLMGLGMWSLPPSPRWLILRAVQGKGSLQELKEKAILALSKLRGRAPGDEASEKQIEDTLISVKSAYMEQESEGNILEVFQGPSLKAFIIGGGLVLFQQITGQPSVLYYAGPILQSAGFSAAADATRLSVVVGLFKLLMTWIAVAKVDDLGRRPLLIGGVGGIVCNLYPWVLFNCFSRWMP >KJB20001 pep chromosome:Graimondii2_0_v6:3:38081239:38084933:1 gene:B456_003G129000 transcript:KJB20001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSATIQPLLNFELSHSHQPKRPYSLPLCSFIKKPRLSPKHFFSQSKDYTKINIFSSPSLFSRQKPGSHVAAQSDYSSGEEAESLLPDSAYQEPFSWSSVILPFLFPALGGLLFGYDIGATSGATISLQSPELSGTTWFNLSAIQLGLVVSGSLYGALLGSLLVYPVADSLGRRRELLIAALLYVLGGVLTAFAPGLSVLLVGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLISLKELFIVLGILLGYFVGSFQINAVGGWRYMYGCSAPVALLMGLGMWSLPPSPRWLILRAVQGKGSLQELKEKAILALSKLRGRAPGDEASEKQIEDTLISVKSAYMEQESEGNILEVFQGPSLKAFIIGGGLVLFQQITGQPSVLYYAGPILQSAGFSAAADATRLSVVVGLFKLLMTWIAVAKVDDLGRRPLLIGGVGGIALSLFLLCAYYKFLGEFPYVAVAALLLYVGCYQISFGPISWLMVSEIFPLRTRGKGISLAVLTNFGSNAIVTFAFSPLKELLGAENLFLLFGVIALISLLFVALYVPETKGLSLEEIESKLLK >KJB20262 pep chromosome:Graimondii2_0_v6:3:40425026:40429057:1 gene:B456_003G141100 transcript:KJB20262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIGSEEKAMEEEHSLKQVEDSLEITEETACVHNRRRSGLVLEIPSRTLEEPFQESVAIKIPPTPSLTPRRVNFFLTPSPSDARITGSSGPSSSRGKSSLKSLLPKVSFKHRNPNSDIEKAANFEPESSSSSQREKPVIASTLSVTKIFTPQMKRTSSMPVTRIAHLNSESAITGSLSVSNRKGSMWQFSRSLSLPLNCKEGNLRRMDSFFRVVPSTPRVKDGEINSNASVGPDAENSDLDGEDIPEEEAVCRICLVELCEGGETLKMECSCRGELALAHKDCAVKWFTIKGNKNCDVCNQEVQNLPVTLLRIQSTRPQNVGAGVPGYRVWHEVPILVFLSMLSYFCFLEQLLIVKMGTGAIAISLPFACVIGLLSSMTSSTMATRRFVWIYASIQFVLVVIFAHIFYSQVKVQAILSVLLATFSGFGVTMSGSSIIVEIQRCRRRWQRARLEQQQHSSQVITRAPVQSPRAVNSPRTDPNNVNRQNTETVGWELN >KJB20267 pep chromosome:Graimondii2_0_v6:3:40425362:40429057:1 gene:B456_003G141100 transcript:KJB20267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDSLEITEETACVHNRRRSGLVLEIPSRTLEEPFQESVAIKIPPTPSLTPRRVNFFLTPSPSDARITGSSGPSSSRGKSSLKSLLPKVSFKHRNPNSDIEKAANFEPESSSSSQREKPVIASTLSVTKIFTPQMKRTSSMPVTRIAHLNSESAITGSLSVSNRKGSMWQFSRSLSLPLNCKEGNLRRMDSFFRVVPSTPRVKDGEINSNASVGPDAENSDLDGEDIPEEEAVCRICLVELCEGGETLKMECSCRGELALAHKDCAVKWFTIKGNKNCDVCNQEVQNLPVTLLRIQSTRPQNVGAGVPGYRVWHEVPILVFLSMLSYFCFLEQLLIVKMGTGAIAISLPFACVIGLLSSMTSSTMATRRFVWIYASIQFVLVVIFAHIFYSQVKVQAILSVLLATFSGFGVTMSGSSIIVEIQRCRRRWQRARLEQQQHSSQVITRAPVQSPRAVNSPRTDPNNVNRQNTETVGWELN >KJB20264 pep chromosome:Graimondii2_0_v6:3:40425247:40427892:1 gene:B456_003G141100 transcript:KJB20264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIGSEEKAMEEEHSLKQVEDSLEITEETACVHNRRRSGLVLEIPSRTLEEPFQESVAIKIPPTPSLTPRRVNFFLTPSPSDARITGSSGPSSSRGKSSLKSLLPKVSFKHRNPNSDIEKAANFEPESSSSSQREKPVIASTLSVTKIFTPQMKRTSSMPVTRIAHLNSESAITGSLSVSNRKGSMWQFSRSLSLPLNCKEGNLRRMDSFFRVVPSTPRVKDGEINSNASVGPDAENSDLDGEDIPEEEAVCRICLVELCEGGETLKMECSCRGELALAHKDCAVKWFTIKGNKNCDVCNQEVQNLPVTLLRIQSTRPQNVGAGVPGYRVWHEVPILVFLSMLSYFCFLEQLLIVKMGTGAIAISLPFACVIGLLSSMTSSTMG >KJB20268 pep chromosome:Graimondii2_0_v6:3:40425362:40429057:1 gene:B456_003G141100 transcript:KJB20268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDSLEITEETACVHNRRRSGLVLEIPSRTLEEPFQESVAIKIPPTPSLTPRRVNFFLTPSPSDARITGSSGPSSSRGKSSLKSLLPKVSFKHRNPNSDIEKAANFEPESSSSSQREKPVIASTLSVTKIFTPQMKRTSSMPVTRIAHLNSESAITGSLSVSNRKGSMWQFSRSLSLPLNCKEGNLRRMDSFFRVVPSTPRVKDGEINSNASVGPDAENSDLDGEDIPEEEAVCRICLVELCEGGETLKMECSCRGELALAHKDCAVKWFTIKGNKNCDVCNQEVQNLPVTLLRIQSTRPQNVGAGVPGYRLLKWAQVQLLYLFHSPV >KJB20261 pep chromosome:Graimondii2_0_v6:3:40425026:40429057:1 gene:B456_003G141100 transcript:KJB20261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIGSEEKAMEEEHSLKQVEDSLEITEETACVHNRRRSGLVLEIPSRTLEEPFQESVAIKIPPTPSLTPRRVNFFLTPSPSDARITGSSGPSSSRGKSSLKSLLPKVSFKHRNPNSDIEKAANFEPESSSSSQREKPVIASTLSVTKIFTPQMKRTSSMPVTRIAHLNSESAITGSLSVSNRKGSMWQFSRSLSLPLNCKEGNLRRMDSFFRVVPSTPRVKDGEINSNASVGPDAENSDLDGEDIPEEEAVCRICLVELCEGGETLKMECSCRGELALAHKDCAVKWFTIKGNKNCDVCNQEVQNLPVTLLRIQSTRPQNVGAGVPGYRLLKWAQVQLLYLFHSPV >KJB20263 pep chromosome:Graimondii2_0_v6:3:40425133:40429037:1 gene:B456_003G141100 transcript:KJB20263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIGSEEKAMEEEHSLKQVEDSLEITEETACVHNRRRSGLVLEIPSRTLEEPFQESVAIKIPPTPSLTPRRVNFFLTPSPSDARITGSSGPSSSRGKSSLKSLLPKVSFKHRNPNSDIEKAANFEPESSSSSQREKPVIASTLSVTKIFTPQMKRTSSMPVTRIAHLNSESAITGSLSVSNRKGSMWQFSRSLSLPLNCKEGNLRRMDSFFRVVPSTPRVKDGEINSNASVGPDAENSDLDGEDIPEEEAVCRICLVELCEGGETLKMECSCRGELALAHKDCAVKWFTIKGNKNCDVCNQEVQNLPVTLLRIQSTRPQNVGAGVPGYRVWHEVPILVFLSMLSYFCFLEQLLIVKMGTGAIAISLPFACVIGLLSSMTSSTMGEGTSNTISSPCNVFRVWCHNEWEFHHR >KJB20265 pep chromosome:Graimondii2_0_v6:3:40425237:40428382:1 gene:B456_003G141100 transcript:KJB20265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIGSEEKAMEEEHSLKQVEDSLEITEETACVHNRRRSGLVLEIPSRTLEEPFQESVAIKIPPTPSLTPRRVNFFLTPSPSDARITGSSGPSSSRGKSSLKSLLPKVSFKHRNPNSDIEKAANFEPESSSSSQREKPVIASTLSVTKIFTPQMKRTSSMPVTRIAHLNSESAITGSLSVSNRKGSMWQFSRSLSLPLNCKEGNLRRMDSFFRVVPSTPRVKDGEINSNASVGPDAENSDLDGEDIPEEEAVCRICLVELCEGGETLKMECSCRGELALAHKDCAVKWFTIKGNKNCDVCNQEVQNLPVTLLRIQSTRPQNVGAGVPGYRVWHEVPILVFLSMLSYFCFLEQLLIVKMGTGAIAISLPFACVIGLLSSMTSSTMGKCLSCIKGHSSFRNINEMVSGTSPFMGGCMNEMS >KJB20266 pep chromosome:Graimondii2_0_v6:3:40425237:40428693:1 gene:B456_003G141100 transcript:KJB20266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIGSEEKAMEEEHSLKQVEDSLEITEETACVHNRRRSGLVLEIPSRTLEEPFQESVAIKIPPTPSLTPRRVNFFLTPSPSDARITGSSGPSSSRGKSSLKSLLPKVSFKHRNPNSDIEKAANFEPESSSSSQREKPVIASTLSVTKIFTPQMKRTSSMPVTRIAHLNSESAITGSLSVSNRKGSMWQFSRSLSLPLNCKEGNLRRMDSFFRVVPSTPRVKDGEINSNASVGPDAASRYSENSDLDGEDIPEEEAVCRICLVELCEGGETLKMECSCRGELALAHKDCAVKWFTIKGNKNCDVCNQEVQNLPVTLLRIQSTRPQNVGAGVPGYRVWHEVPILVFLSMLSYFCFLEQLLIVKMGTGAIAISLPFACVIGLLSSMTSSTMATRRFVWIYASIQFVLVVIFAHIFYSQVKVQAILSVLLATFSGFGVTMSGSSIIVEIQRCRRRWQRARLEQQQHSSQVITRAPVQSPRAVNSPRTDPNNVNRQNTETVGWELN >KJB18705 pep chromosome:Graimondii2_0_v6:3:13575807:13576875:-1 gene:B456_003G066700 transcript:KJB18705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNSSVLACNYAISGTGSSELNAKLASAPSVASPVMCGGHKLPVIRAQQAKFSDSKGSGASEGRRAAMLYLAAGLFTTAVASSANAGVIDEYLEKSKANKELNDKKRLATSGANFSRAYTVQFGTCKFPENFTGCQDLAKQKVQNLTSAFFLS >KJB18704 pep chromosome:Graimondii2_0_v6:3:13575807:13576875:-1 gene:B456_003G066700 transcript:KJB18704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNSSVLACNYAISGTGSSELNAKLASAPSVASPVMCGGHKLPVIRAQQAKFSDSKGSGASEGRRAAMLYLAAGLFTTAVASSANAGVIDEYLEKSKANKVN >KJB18707 pep chromosome:Graimondii2_0_v6:3:13576371:13576754:-1 gene:B456_003G066700 transcript:KJB18707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNSSVLACNYAISGTGSSELNAKLASAPSVASPVMCGGHKLPVIRAQQAKFSDSKGSGASEGRRAAMLYLAAGLFTTAVASSANAGVIDEYLEKSKANKVCFAMKSEKISTIMLQRNFCICRTT >KJB18703 pep chromosome:Graimondii2_0_v6:3:13575752:13577001:-1 gene:B456_003G066700 transcript:KJB18703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNSSVLACNYAISGTGSSELNAKLASAPSVASPVMCGGHKLPVIRAQQAKFSDSKGSGASEGRRAAMLYLAAGLFTTAVASSANAGVIDEYLEKSKANKELNDKKRLATSGANFSRAYTVQFGTCKFPENFTGCQDLAKQKKVPFISDDLALECEGKDKYKCGSNVFWKW >KJB18706 pep chromosome:Graimondii2_0_v6:3:13575807:13576875:-1 gene:B456_003G066700 transcript:KJB18706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNSSVLACNYAISGTGSSELNAKLASAPSVASPVMCGGHKLPVIRAQQAKFSDSKGSGASEGRRAAMLYLAAGLFTTAVASSANAGVIDEYLEKSKANKINLLLLQELNDKKRLATSGANFSRAYTVQFGTCKFPENFTGCQDLAKQKKVPFISDDLALECEGKDKYKCGSNVFWKW >KJB18244 pep chromosome:Graimondii2_0_v6:3:5275261:5278723:1 gene:B456_003G042200 transcript:KJB18244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSKTFPSVETPDEGQLFQYHGFWISSFGIKGSLLIHDHFKPRSTDIIVATYPKYGTTWLKAFVFSIINKAPFDFSDHPLHKVNPQDLVPFFEGITCKDGSTSFVDGIPSPRLLSTHLPYSLFPTRMTDDTMACRFLYVCRYPKDVLVSKWHFVNKLRPKKLPPLSLEETFDLFCKGDHILGYWKASVELPKKVLFLKYEDVKKEPLGCVRKVAEFLGVPFTPEEENNEIVEQIVKLCSFESMSNQDVNKLDKRYQQRPVSNSDFFKKGEVGDWVNHLSPEMAEKLDQITEEKLQDTGFNFH >KJB20477 pep chromosome:Graimondii2_0_v6:3:41825754:41828418:-1 gene:B456_003G150700 transcript:KJB20477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYDTSANSLPQNAFGFSTHPFMTTSFSDLLASGTTDDDNSSSAVNHGKRGGGLSLSDRIAERTGSGVPKFKSLPPPSLPISPPPVSPSSYFAIPAGLSPAELLDSPVLLNSSNILPSPTTGTFPAQAFNWKPSSGNNQQNVKRVDKSYTDFSFQTFQSSTNTNQTAQQEAWSFKESVKEADFSSGKNMVKSEYNQMQSFSPEIANIQSCQSDYTNYQHQQSQSLRGNRKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRRSSSSSSSSIAIQGEMSLMKTSPTPKDGGEKVKLKEFQHLGVEP >KJB20469 pep chromosome:Graimondii2_0_v6:3:41827078:41828395:-1 gene:B456_003G150700 transcript:KJB20469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYDTSANSLPQNAFGFSTHPFMTTSFSDLLASGTTDDDNSSSAVNHGKRGGGLSLSDRIAERTGSGVPKFKSLPPPSLPISPPPVSPSSYFAIPAGLSPAELLDSPVLLNSSNILPSPTTGTFPAQAFNWKPSSGNNQQNVKRVDKSYTDFSFQTFQSSTNTNQTAQQEAWSFKESVKEADFSSGKNMVKSEYNQMQSFSPEIANIQSCQSDYTNYQHQQSQSLRGNRKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRRSSSSSSSSIAIQGEMSLMKTSPTPKDGMFYLTEFLSNT >KJB20471 pep chromosome:Graimondii2_0_v6:3:41827097:41828395:-1 gene:B456_003G150700 transcript:KJB20471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYDTSANSLPQNAFGFSTHPFMTTSFSDLLASGTTDDDNSSSAVNHGKRGGGLSLSDRIAERTGSGVPKFKSLPPPSLPISPPPVSPSSYFAIPAGLSPAELLDSPVLLNSSNILPSPTTGTFPAQAFNWKPSSGNNQQNVKRVDKSYTDFSFQTFQSSTNTNQTAQQEAWSFKESVKEADFSSGKNMVKSEYNQMQSFSPEIANIQSCQSDYTNYQHQQSQSLRGNRKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRRSSSSSSSSIAIQASSAATSEIPDHSFISHGSGHMDSAATPENSSISVGDDDFDRGSQKSKSGGDEFDEDEPDAKRWYVLFN >KJB20474 pep chromosome:Graimondii2_0_v6:3:41825754:41828418:-1 gene:B456_003G150700 transcript:KJB20474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSEYNQMQSFSPEIANIQSCQSDYTNYQHQQSQSLRGNRKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRRSSSSSSSSIAIQASSAATSEIPDHSFISHGSGHMDSAATPENSSISVGDDDFDRGSQKSKSGGDEFDEDEPDAKRWRGEGETEGISAPGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCPVRKHVERASHDLKAVITTYEGKHNHDVPAARGSGGHSVNRPLPDNNNNNGAMAIRPSPLNNPMSNNQALRGLRQSTTEGESPFMLEMFQGNGNLGFSGFGNSGGSIMNQQHDVHNMFSRTKEEPRDEAFIESLLY >KJB20475 pep chromosome:Graimondii2_0_v6:3:41826847:41828395:-1 gene:B456_003G150700 transcript:KJB20475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYDTSANSLPQNAFGFSTHPFMTTSFSDLLASGTTDDDNSSSAVNHGKRGGGLSLSDRIAERTGSGVPKFKSLPPPSLPISPPPVSPSSYFAIPAGLSPAELLDSPVLLNSSNILPSPTTGTFPAQAFNWKPSSGNNQQNVKRVDKSYTDFSFQTFQSSTNTNQTAQQEAWSFKESVKEADFSSGKNMVKSEYNQMQSFSPEIANIQSCQSDYTNYQHQQSQSLRGNRKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRRSSSSSSSSIAIQASSAATSEIPDHSFISHGSGHMDSAATPENSSISVGDDDFDRGSQKSKSGGDEFDEDEPDAKRWRGEGETEGISAPGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPR >KJB20473 pep chromosome:Graimondii2_0_v6:3:41825754:41828418:-1 gene:B456_003G150700 transcript:KJB20473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSEYNQMQSFSPEIANIQSCQSDYTNYQHQQSQSLRGNRKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRRSSSSSSSSIAIQASSAATSEIPDHSFISHGSGHMDSAATPENSSISVGDDDFDRGSQKSKSGGDEFDEDEPDAKRWRGEGETEGISAPGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCPVRKHVERASHDLKAVITTYEGKHNHDVPAARGSGGHSVNRPLPDNNNNNGAMAIRPSPLNNPMSNNQALRGLRQSTTEGESPFMLEMFQGNGNLGFSGFGNSGGSIMNQQHDVHNMFSRTKEEPRDEAFIESLLY >KJB20470 pep chromosome:Graimondii2_0_v6:3:41827097:41828395:-1 gene:B456_003G150700 transcript:KJB20470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYDTSANSLPQNAFGFSTHPFMTTSFSDLLASGTTDDDNSSSAVNHGKRGGGLSLSDRIAERTGSGVPKFKSLPPPSLPISPPPVSPSSYFAIPAGLSPAELLDSPVLLNSSNILPSPTTGTFPAQAFNWKPSSGNNQQNVKRVDKSYTDFSFQTFQSSTNTNQTAQQEAWSFKESVKEADFSSGKNMVKSEYNQMQSFSPEIANIQSCQSDYTNYQHQQSQSLRGNRKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRRSSSSSSSSIAIQASSAATSEIPDHSFISHGSGHMDSAATPENSSISVGDDDFDRGSQKSKSGGDEFDEDEPDAKRWYVLFN >KJB20472 pep chromosome:Graimondii2_0_v6:3:41826186:41827663:-1 gene:B456_003G150700 transcript:KJB20472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSEYNQMQSFSPEIANIQSCQSDYTNYQHQQSQSLRGNRKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRRSSSSSSSSIAIQASSAATSEIPDHSFISHGSGHMDSAATPENSSISVGDDDFDRGSQKSKSGGDEFDEDEPDAKRWRGEGETEGISAPGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCPVRKHVERASHDLKAVITTYEGKHNHDVPAARGSGGHSVNRPLPDNNNNNGAMAIRPSPLNNPMSNNQALRGLRQSTTEGESPFMLEMFQGNGNLGFSGFGNSGGSIMNQQHDVHNMFSRTKEEPRDEAFIESLLY >KJB20478 pep chromosome:Graimondii2_0_v6:3:41825754:41828702:-1 gene:B456_003G150700 transcript:KJB20478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYDTSANSLPQNAFGFSTHPFMTTSFSDLLASGTTDDDNSSSAVNHGKRGGGLSLSDRIAERTGSGVPKFKSLPPPSLPISPPPVSPSSYFAIPAGLSPAELLDSPVLLNSSNILPSPTTGTFPAQAFNWKPSSGNNQQNVKRVDKSYTDFSFQTFQSSTNTNQTAQQEAWSFKESVKEADFSSGKNMVKSEYNQMQSFSPEIANIQSCQSDYTNYQHQQSQSLRGNRKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRRSSSSSSSSIAIQASSAATSEIPDHSFISHGSGHMDSAATPENSSISVGDDDFDRGSQKSKSGGDEFDEDEPDAKRWRGEGETEGISAPGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCPVRKHVERASHDLKAVITTYEGKHNHDVPAARGSGGHSVNRPLPDNNNNNGAMAIRPSPLNNPMSNNQALRGLRQSTTEGESPFMLEMFQGNGNLGFSGFGNSGGSIMNQQHDVHNMFSRTKEEPRDEAFIESLLY >KJB20476 pep chromosome:Graimondii2_0_v6:3:41825754:41828418:-1 gene:B456_003G150700 transcript:KJB20476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFFTYRFCHLLQLEHFQLKLSIGNPVLAQQEAWSFKESVKEADFSSGKNMVKSEYNQMQSFSPEIANIQSCQSDYTNYQHQQSQSLRGNRKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRRSSSSSSSSIAIQASSAATSEIPDHSFISHGSGHMDSAATPENSSISVGDDDFDRGSQKSKSGGDEFDEDEPDAKRWRGEGETEGISAPGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCPVRKHVERASHDLKAVITTYEGKHNHDVPAARGSGGHSVNRPLPDNNNNNGAMAIRPSPLNNPMSNNQALRGLRQSTTEGESPFMLEMFQGNGNLGFSGFGNSGGSIMNQQHDVHNMFSRTKEEPRDEAFIESLLY >KJB18541 pep chromosome:Graimondii2_0_v6:3:10134296:10142445:1 gene:B456_003G058700 transcript:KJB18541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEECHSIQLIDGDGLFNDVGTDRLIKEIKLAECGLSYAVVAIMGPQSSGKSTLLNHLFYTNFREMDAFKGRSQTTKGIWMAKCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHKETPLSEFFNVEVVALSSYEEKEEQFKEQVANLRQRFFHSIAPGGLAGDRRGVVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYAGFTANESWCLLEEAVQSGPVAGFGKKLNSILYTSLTEYDAEATYFDEGVRSAKRKQLEEKLLQLVQPAHHAMLGHLRSGTLEKFKEAFDKALNGGEGFSVAARNCTDACMALFDEGYADAVVELANWDSSKVRDKLCRDIDAHVASVRAAKLSELTSSYEAKLNEALSGPVEALLDGANNDTWPSIKKLLQRETESAVSGLSDALSGFDMDEKTKEKMLTSLEDHARGVVEAKAREEAGRALIRMKDRFSTLFSHDSDSMPRVWTGKEDIRAITKTARSASLKLLSVMAAIRLDDNVDNIENTLTSALVDTKNNAAVTDRSITTFDPLASSTWEQVPPAKTLITPVQCKSLWRQFRVETEYTVTQAISAQEANKRNNNWLPPPWAIVALIVLGFNEFMTLLRNPLYLGVIFVGFLIIKALWVQLDISGEFRNASWASFFIYQVPSHRYEPSQKVS >KJB18544 pep chromosome:Graimondii2_0_v6:3:10134296:10142445:1 gene:B456_003G058700 transcript:KJB18544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVICCGCYHGTSEQREMDAFKGRSQTTKGIWMAKCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHKETPLSEFFNVEVVALSSYEEKEEQFKEQVANLRQRFFHSIAPGGLAGDRRGVVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYAGFTANESWCLLEEAVQSGPVAGFGKKLNSILYTSLTEYDAEATYFDEGVRSAKRKQLEEKLLQLVQPAHHAMLGHLRSGTLEKFKEAFDKALNGGEGFSVAARNCTDACMALFDEGYADAVVELANWDSSKVRDKLCRDIDAHVASVRAAKLSELTSSYEAKLNEALSGPVEALLDGANNDTWPSIKKLLQRETESAVSGLSDALSGFDMDEKTKEKMLTSLEDHARGVVEAKAREEAGRALIRMKDRFSTLFSHDSDSMPRVWTGKEDIRAITKTARSASLKLLSVMAAIRLDDNVDNIENTLTSALVDTKNNAAVTDRSITTFDPLASSTWEQVPPAKTLITPVQCKSLWRQFRVETEYTVTQAISAQEANKRNNNWLPPPWAIVALIVLGFNEFMTLLRNPLYLGVIFVGFLIIKALWVQLDISGEFRNGALPGLLSLSTKFLPTVMNLLRKLAEEGQMPATNSSRTNQAVASKSFQNGSSSSNMSSSASSGITASENSTTKGE >KJB18543 pep chromosome:Graimondii2_0_v6:3:10134296:10142445:1 gene:B456_003G058700 transcript:KJB18543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEECHSIQLIDGDGLFNDVGTDRLIKEIKLAECGLSYAVVAIMGPQSSGKSTLLNHLFYTNFREMDAFKGRSQTTKGIWMAKCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHKETPLSEFFNVEVVALSSYEEKEEQFKEQVANLRQRFFHSIAPGGLAGDRRGVVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYAGFTANESWCLLEEAVQSGPVAGFGKKLNSILYTSLTEYDAEATYFDEGVRSAKRKQLEEKLLQLVQPAHHAMLGHLRSGTLEKFKEAFDKALNGGEGFSVAARNCTDACMALFDEGYADAVVELANWDSSKVRDKLCRDIDAHVASVRAAKLSELTSSYEAKLNEALSGPVEALLDGANNDTWPSIKKLLQRETESAVSGLSDALSGFDMDEKTKEKMLTSLEDHARGVVEAKAREEAGRALIRMKDRFSTLFSHDSDSMPRVWTGKEDIRAITKTARSASLKLLSVMAAIRLDDNVDNIENTLTSALVDTKNNAAVTDRSITTFDPLASSTWEQVPPAKTLITPVQCKSLWRQFRVETEYTVTQAISAQEANKRNNNWLPPPWAIVALIVLGFNEFMTLLRNPLYLGVIFVGFLIIKALWVQLDISGEFRNGALPGLLSLSTKFLPTVMNLLRKLAEEGQMPATNSSRTNQAVASKSFQNGSSSSNMSSSASSGITASENSTTKGE >KJB18542 pep chromosome:Graimondii2_0_v6:3:10134296:10142445:1 gene:B456_003G058700 transcript:KJB18542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEECHSIQLIDGDGLFNDVGTDRLIKEIKLAECGLSYAVVAIMGPQSSGKSTLLNHLFYTNFREMDAFKGRSQTTKGIWMAKCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHKETPLSEFFNVVALSSYEEKEEQFKEQVANLRQRFFHSIAPGGLAGDRRGVVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYAGFTANESWCLLEEAVQSGPVAGFGKKLNSILYTSLTEYDAEATYFDEGVRSAKRKQLEEKLLQLVQPAHHAMLGHLRSGTLEKFKEAFDKALNGGEGFSVAARNCTDACMALFDEGYADAVVELANWDSSKVRDKLCRDIDAHVASVRAAKLSELTSSYEAKLNEALSGPVEALLDGANNDTWPSIKKLLQRETESAVSGLSDALSGFDMDEKTKEKMLTSLEDHARGVVEAKAREEAGRALIRMKDRFSTLFSHDSDSMPRVWTGKEDIRAITKTARSASLKLLSVMAAIRLDDNVDNIENTLTSALVDTKNNAAVTDRSITTFDPLASSTWEQVPPAKTLITPVQCKSLWRQFRVETEYTVTQAISAQEANKRNNNWLPPPWAIVALIVLGFNEFMTLLRNPLYLGVIFVGFLIIKALWVQLDISGEFRNGALPGLLSLSTKFLPTVMNLLRKLAEEGQMPATNSSRTNQAVASKSFQNGSSSSNMSSSASSGITASENSTTKGE >KJB20012 pep chromosome:Graimondii2_0_v6:3:38113698:38120304:-1 gene:B456_003G129200 transcript:KJB20012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELGRRPMIGSGEGSFGDDLEKEIGLLLREQRSRQDADDLERELNLYRSGSAPPTVEGSLSAVGGLFGGGAATAAAGNPTFSAFAQAKSGNSFIPEEELRSDPAYHSYYYSNVNLNPRLPPPLLSKEDWKFAQRLKGGSSVVGGIGDRRKLNRADNGSNRSLFSMPPGFNSRKQENEVEVEKVHSSGDWGGDGLIGLPGIGLGSKQKSLAEIFQDDLGHPAPIARIPSRPASRNAFDENFDNVGSSESELAHLQHELTSSDTLRSSASVQGLSAVHNIGSPSSYTYAAAVGGSLSRSTTPDPQLGARAPSPGLTQTVGGRVGNSDKRSISSPSPLGGVAPGVNDSANLVAALSGMHLTSNGVIDEGNQLPSQIEQDAENRQNYLFGLNDSQNHIKQQLYLKKSESVHMPSTKSNGGRSDFKNPSLLSDRQAEFQKSAVSSNTSYMKGSPTSTLNGAGSFPARFQHGDGANSSFSNYGLSGNSLNPALASMMASQLGTSNMPPLFGNVTASSAVPVLGMDSRVLGGGLGSGQNISNAASESYNLGRVGSQIAGNALQAPFVDPMYLQYLRTSDYTAAQLAALSDPSMDRNFLGNSYMNLLELQKAYLGALLSPQKSQYGGVPLGAKSGSSNLHGFYGSPTFGTGMSYPGSPLASPLIPNSPVGPGSPIRHTDLNMHFPSGMRNLAGAVMGPWHLDAGYNMDESFASSLLEEFKSNKTKCFELSDISGHIVEFSADQYGSRFIQQKLETATTEEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPAQRRELAGKLFGHVLALSLQMYGCRVIQKAIEVVDLDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEENIQFIVTTFFDQVVTLSTHPYGCRVIQRILEHCKDPKTQSKVMDEILGSVSMLAQDQYGNYVVQHVLEHGKPDERSVIIKELAGKIVQMSQQKFASNVVEKCLTFGGPDERQLLVNEMLGSTDENEPLQVYLFLVPIFFFKM >KJB20010 pep chromosome:Graimondii2_0_v6:3:38114609:38120304:-1 gene:B456_003G129200 transcript:KJB20010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELGRRPMIGSGEGSFGDDLEKEIGLLLREQRSRQDADDLERELNLYRSGSAPPTVEGSLSAVGGLFGGGAATAAAGNPTFSAFAQAKSGNSFIPEEELRSDPAYHSYYYSNVNLNPRLPPPLLSKEDWKFAQRLKGGSSVVGGIGDRRKLNRADNGSNRSLFSMPPGFNSRKQENEVEVEKVHSSGDWGGDGLIGLPGIGLGSKQKSLAEIFQDDLGHPAPIARIPSRPASRNAFDENFDNVGSSESELAHLQHELTSSDTLRSSASVQGLSAVHNIGSPSSYTYAAAVGGSLSRSTTPDPQLGARAPSPGLTQTVGGRVGNSDKRSISSPSPLGGVAPGVNDSANLVAALSGMHLTSNGVIDEGNQLPSQIEQDAENRQNYLFGLNDSQNHIKQQLYLKKSESVHMPSTKSNGGRSDFKNPSLLSDRQAEFQKSAVSSNTSYMKGSPTSTLNGAGSFPARFQHGDGANSSFSNYGLSGNSLNPALASMMASQLGTSNMPPLFGNVTASSAVPVLGMDSRVLGGGLGSGQNISNAASESYNLGRVGSQIAGNALQAPFVDPMYLQYLRTSDYTAAQLAALSDPSMDRNFLGNSYMNLLELQKAYLGALLSPQKSQYGGVPLGAKSGSSNLHGFYGSPTFGTGMSYPGSPLASPLIPNSPVGPGSPIRHTDLNMHFPSGMRNLAGAVMGPWHLDAGYNMDESFASSLLEEFKSNKTKCFELSDISGHIVEFSADQYGSRFIQQKLETATTEEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPAQRRELAGKLFGHVLALSLQMYGCRVIQKAIEVVDLDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEENIQFIVTTFFDQVVTLSTHPYGCRVIQRILEHCKDPKTQSKVMDEILGSVSMLAQDQYGNYVVQHVLEHGKPDERSVIIKELAGKIVQMSQQKFASNVVEKCLTFGGPDERQLLVNEMLGSTDENEPLQVYLFLVPIFFFKM >KJB20009 pep chromosome:Graimondii2_0_v6:3:38115291:38120074:-1 gene:B456_003G129200 transcript:KJB20009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELGRRPMIGSGEGSFGDDLEKEIGLLLREQRSRQDADDLERELNLYRSGSAPPTVEGSLSAVGGLFGGGAATAAAGNPTFSAFAQAKSGNSFIPEEELRSDPAYHSYYYSNVNLNPRLPPPLLSKEDWKFAQRLKGGSSVVGGIGDRRKLNRADNGSNRSLFSMPPGFNSRKQENEVEVEKVHSSGDWGGDGLIGLPGIGLGSKQKSLAEIFQDDLGHPAPIARIPSRPASRNAFDENFDNVGSSESELAHLQHELTSSDTLRSSASVQGLSAVHNIGSPSSYTYAAAVGGSLSRSTTPDPQLGARAPSPGLTQTVGGRVGNSDKRSISSPSPLGGVAPGVNDSANLVAALSGMHLTSNGVIDEGNQLPSQIEQDAENRQNYLFGLNDSQNHIKQQLYLKKSESVHMPSTKSNGGRSDFKNPSLLSDRQAEFQKSAVSSNTSYMKGSPTSTLNGAGSFPARFQHGDGANSSFSNYGLSGNSLNPALASMMASQLGTSNMPPLFGNVTASSAVPVLGMDSRVLGGGLGSGQNISNAASESYNLGRVGSQIAGNALQAPFVDPMYLQYLRTSDYTAAQLAALSDPSMDRNFLGNSYMNLLELQKAYLGALLSPQKSQYGGVPLGAKSGSSNLHGFYGSPTFGTGMSYPGSPLASPLIPNSPVGPGSPIRHTDLNMHFPSGMRNLAGAVMGPWHLDAGYNMDESFASSLLEEFKSNKTKCFELSDISGHIVEFSADQYGSRFIQQKLETATTEEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPAQRRELAGKLFGHVLALSLQMYGCRVIQKAIEVVDLDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEENIQFIVTTFFDQVVTLSTHPYGCRVIQRILEHCKDPKTQSKVMDEILGSVSMLAQDQYGNYVVQHVLEHGKPDERSVIIKELAGKIVQMSQQKFASNVVEKCLTFGGPDERQLLVNEMLGSTDENEPLQVYLFLVPIFFFKM >KJB20011 pep chromosome:Graimondii2_0_v6:3:38113698:38120853:-1 gene:B456_003G129200 transcript:KJB20011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELGRRPMIGSGEGSFGDDLEKEIGLLLREQRSRQDADDLERELNLYRSGSAPPTVEGSLSAVGGLFGGGAATAAAGNPTFSAFAQAKSGNSFIPEEELRSDPAYHSYYYSNVNLNPRLPPPLLSKEDWKFAQRLKGGSSVVGGIGDRRKLNRADNGSNRSLFSMPPGFNSRKQENEVEVEKVHSSGDWGGDGLIGLPGIGLGSKQKSLAEIFQDDLGHPAPIARIPSRPASRNAFDENFDNVGSSESELAHLQHELTSSDTLRSSASVQGLSAVHNIGSPSSYTYAAAVGGSLSRSTTPDPQLGARAPSPGLTQTVGGRVGNSDKRSISSPSPLGGVAPGVNDSANLVAALSGMHLTSNGVIDEGNQLPSQIEQDAENRQNYLFGLNDSQNHIKQQLYLKKSESVHMPSTKSNGGRSDFKNPSLLSDRQAEFQKSAVSSNTSYMKGSPTSTLNGAGSFPARFQHGDGANSSFSNYGLSGNSLNPALASMMASQLGTSNMPPLFGNVTASSAVPVLGMDSRVLGGGLGSGQNISNAASESYNLGRVGSQIAGNALQAPFVDPMYLQYLRTSDYTAAQLAALSDPSMDRNFLGNSYMNLLELQKAYLGALLSPQKSQYGGVPLGAKSGSSNLHGFYGSPTFGTGMSYPGSPLASPLIPNSPVGPGSPIRHTDLNMHFPSGMRNLAGAVMGPWHLDAGYNMDESFASSLLEEFKSNKTKCFELSDISGHIVEFSADQYGSRFIQQKLETATTEEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPAQRRELAGKLFGHVLALSLQMYGCRVIQKAIEVVDLDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEENIQFIVTTFFDQVVTLSTHPYGCRVIQRILEHCKDPKTQSKVMDEILGSVSMLAQDQYGNYVVQHVLEHGKPDERSVIIKELAGKIVQMSQQKFASNVVEKCLTFGGPDERQLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSPNPA >KJB17529 pep chromosome:Graimondii2_0_v6:3:233315:235326:-1 gene:B456_003G003900 transcript:KJB17529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIISPEIILPGFRFHPTEEELVNYYLKETVLGKNLYCDIIGFLNIYNHYPWDLPGMAKIGEREWYFFVQRDTRSGHGGKKPNRTTERGYWKATGSDRQIRRLTEPKIIMGLRKTLVFYTGKAPNGNRTDWVMNEYRLSDTSILREDIVLCKIYRKATSLRVFEQRAEEQALSSYVAQNNNITVPLPLQHHDYGTNMAQADSDEHGPSSYSAWTTMTECFTQYGP >KJB17528 pep chromosome:Graimondii2_0_v6:3:233992:235308:-1 gene:B456_003G003900 transcript:KJB17528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIISPEIILPGFRFHPTEEELVNYYLKETVLGKNLYCDIIGFLNIYNHYPWDLPGMAKIGEREWYFFVQRDTRSGHGGKKPNRTTERGYWKATGSDRQIRRLTEPKIIMGLRKTLVFYTGKAPNGNRTDWVMNEYRLSDTSILRVQTICSSTELTNLLMS >KJB17527 pep chromosome:Graimondii2_0_v6:3:232933:235368:-1 gene:B456_003G003900 transcript:KJB17527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIISPEIILPGFRFHPTEEELVNYYLKETVLGKNLYCDIIGFLNIYNHYPWDLPGMAKIGEREWYFFVQRDTRSGHGGKKPNRTTERGYWKATGSDRQIRRLTEPKIIMGLRKTLVFYTGKAPNGNRTDWVMNEYRLSDTSILREDIVLCKIYRKATSLRVFEQRAEEQALSSYVAQNNNITVPLPLQHHDYGTNMAQADSDEHGPSSYSAWTTMTECFTQSKKNL >KJB17363 pep chromosome:Graimondii2_0_v6:3:5330817:5334481:1 gene:B456_003G042500 transcript:KJB17363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAKTETQPNSSSAPIREPNLKDSDPAMDAEGFVVVPTSEPQEKEEKETQNPKVSQFQSEQSPVQSPSGSRKSVHWSPELISESRAVDHSSTMSAPDGSNPYVTHSPPTESSSASFKEKMDTVKDVLGRWGRKVAEATRRAEDLAGNTWQHLKTSPSFAEAAMGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLQNSFACYLSTSAGPVMGVLYVSTAKLAYCSDSPLSYQNGSKTEWSYYKVVIPLHQLKAINPSTSRVNPSEKYIQVSSVDSHEFWFMGFLNYESAVKCLQEALQQHSLQSV >KJB17362 pep chromosome:Graimondii2_0_v6:3:5330817:5334460:1 gene:B456_003G042500 transcript:KJB17362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAKTETQPNSSSAPIREPNLKDSDPAMDAEGFVVVPTSEPQEKEEKETQNPKVSQFQKATRRAEDLAGNTWQHLKTSPSFAEAAMGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLQNSFACYLSTSAGPVMGVLYVSTAKLAYCSDSPLSYQNGSKTEWSYYKVVIPLHQLKAINPSTSRVNPSEKYIQVSSVDSHEFWFMGFLNYESAVKCLQEALQQHSLQSV >KJB17359 pep chromosome:Graimondii2_0_v6:3:5330817:5334460:1 gene:B456_003G042500 transcript:KJB17359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVKDVLGRWGRKVAEATRRAEDLAGNTWQHLKTSPSFAEAAMGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLQNSFACYLSTSAGPVMGVLYVSTAKLAYCSDSPLSYQNGSKTEWSYYKVVIPLHQLKAINPSTSRVNPSEKYIQVSSVDSHEFWFMGFLNYESAVKCLQEALQQHSLQSV >KJB17360 pep chromosome:Graimondii2_0_v6:3:5331395:5333849:1 gene:B456_003G042500 transcript:KJB17360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVKDVLGRWGRKVAEATRRAEDLAGNTWQHLKTSPSFAEAAMGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLQNSFACYLSTSAGPVMGVLYVSTAKLAYCSDSPLSYQNGSKTEWSYYKVVIPLHQLKAINPSTSRVNPSEKYIQVSSVDSHEFWFMGFLNYESAVKCLQEALQQHSLQSV >KJB17361 pep chromosome:Graimondii2_0_v6:3:5330817:5334460:1 gene:B456_003G042500 transcript:KJB17361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAKTETQPNSSSAPIREPNLKDSDPAMDAEGFVVVPTSEPQEKEEKETQNPKVSQFQSEQSPVQSPSGSRKSVHWSPELISESRAVDHSSTMSAPDGSNPYVTHSPPTESSSASFKEKMDTVKDVLGRWGRKVAEATRRAEDLAGNTWQHLKTSPSFAEAAMGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLQNSFACYLSTSAGPVMGVLYVSTAKLAYCSDSPLSYQNGSKTEWSYYKVCLH >KJB18351 pep chromosome:Graimondii2_0_v6:3:6521134:6523842:1 gene:B456_003G048000 transcript:KJB18351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVLEPPPPPLSKSKTGAPLKRCFTSIRTALPPPNHRFRASRFPLHPTSSSRPTVTVVLKTEKEKNAVTEQKDPPVRIVAVVGQGSVSPLKCTPWEEVMMHTAKRLKWVDEGYEMVVVTDNFHQSNDQTAMDLQKELACADILLVVAVTNQDSVKWIGTNSGNIPNVICFESDPGLVNKLGGSYVHSEMKGSIFDKVVGISQLKKTDETKEVVQTVSEAWDRCNSDDIRFCLLVIINAYIQPVPTLKNLRSKGFSTLNCMVKNCGPQILDCLMDPNCRKALQCLNKCSPVDQVCNYRCIASFESPKLEAFSLCVLQKNNCLDLDAKIPEKPYVQPMLKFRGKDLCHETAEDLFVGWLGSLDWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPVFQVKTLEGELVWRRRKYRVKRANVPGTFYFSVLDNGVVSKEYWTIVDVSEDFSWGLFHYHGAARVAGQSYTGAVLVSPDGTYPKQTQSNRLSSALEKCGIKEWELYTVDNCACQDPPLGIPEGSSLHSMLDAKDQSWSSV >KJB18857 pep chromosome:Graimondii2_0_v6:3:17225388:17229318:-1 gene:B456_003G072700 transcript:KJB18857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSEYTPCQDKVRGRKFDRNMLKYRERHCPAKEELLRCLIPAPPQYKTPFKWPQSRDYAWYSNIPHRELSIEKAIQNWIQLEGNRFRFPGGGTMFPRGADAYIDDIGRLIPLTDGTIRTAIDTGCGVASFGAYLLNRNILTMSFAPRDTHEAQVQFALERGVPAMIGIMGSQRLPYPARAFDLAHCSRCLIPWKKYDGLYLAEVDRILRPGGYWVLSGPPIHWKKFWRGWERTREDLKQEQDAIEDVAKSLCWKKVIEKNDLSVWQKPINHVECFRSKKVLKAPHMCESDDPDTAWYRNLEACVIPLPEVSSSDDVAGGAIEKWPERAYAVPPRIRSGSTPGVTAERFVEDNKLWKERVAHYKRIISPLPTGRYRNIMDMNAYLGGFAAAMLNYPVWVMNVVPINSNHDTLGVIYERGLIGTYQDWCEAFSTYPRTYDLIHASGLFTLYQNRCDITYILLEMDRILRPEGTVIFRDTVELLVKIQSITDGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEATQKQL >KJB18851 pep chromosome:Graimondii2_0_v6:3:17225388:17229784:-1 gene:B456_003G072700 transcript:KJB18851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSEYTPCQDKVRGRKFDRNMLKYRERHCPAKEELLRCLIPAPPQYKTPFKWPQSRDYAWYSNIPHRELSIEKAIQNWIQLEGNRFRFPGGGTMFPRGADAYIDDIGRLIPLTDGTIRTAIDTGCGVASFGAYLLNRNILTMSFAPRDTHEAQVQFALERGVPAMIGIMGSQRLPYPARAFDLAHCSRCLIPWKKYDGLYLAEVDRILRPGGYWVLSGPPIHWKKFWRGWERTREDLKQEQDAIEDVAKSLCWKKVIEKNDLSVWQKPINHVECFRSKKVLKAPHMCESDDPDTAWYRNLEACVIPLPEVSSSDDVAGGAIEKWPERAYAVPPRIRSGSTPGVTAERFVEDNKLWKERVAHYKRIISPLPTGRYRNIMDMNAYLGGFAAAMLNYPVWVMNVVPINSNHDTLGVIYERGLIGTYQDWCEAFSTYPRTYDLIHASGLFTLYQNRCDITYILLEMDRILRPEGTVIFRDTVELLVKIQSITDGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEATQKQL >KJB18848 pep chromosome:Graimondii2_0_v6:3:17225388:17229922:-1 gene:B456_003G072700 transcript:KJB18848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEYSGSPKHHHRLEAKRNPLTWILGVSFLCILFYVLGAWQRSSNPNTTQFSAYNRGGCDSHVTTSDNGNAVVGHPSSTNLDFASHHQVVLNSSKTVSQFPSCDMLFSEYTPCQDKVRGRKFDRNMLKYRERHCPAKEELLRCLIPAPPQYKTPFKWPQSRDYAWYSNIPHRELSIEKAIQNWIQLEGNRFRFPGGGTMFPRGADAYIDDIGRLIPLTDGTIRTAIDTGCGVASFGAYLLNRNILTMSFAPRDTHEAQVQFALERGVPAMIGIMGSQRLPYPARAFDLAHCSRCLIPWKKYDGLYLAEVDRILRPGGYWVLSGPPIHWKKFWRGWERTREDLKQEQDAIEDVAKSLCWKKVIEKNDLSVWQKPINHVECFRSKKVLKAPHMCESDDPDTAWYRNLEACVIPLPEVSSSDDVAGGAIEKWPERAYAVPPRIRSGSTPGVTAERFVEDNKLWKERVAHYKRIISPLPTGRYRNIMDMNAYLGGFAAAMLNYPVWVMNVVPINSNHDTLGVIYERGLIGTYQDWCEAFSTYPRTYDLIHASGLFTLYQNRCDITYILLEMDRILRPEGTVIFRDTVELLVKIQSITDGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEATQKQL >KJB18852 pep chromosome:Graimondii2_0_v6:3:17226249:17228376:-1 gene:B456_003G072700 transcript:KJB18852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSEYTPCQDKVRGRKFDRNMLKYRERHCPAKEELLRCLIPAPPQYKTPFKWPQSRDYAWYSNIPHRELSIEKAIQNWIQLEGNRFRFPGGGTMFPRGADAYIDDIGRLIPLTDGTIRTAIDTGCGVASFGAYLLNRNILTMSFAPRDTHEAQVQFALERGVPAMIGIMGSQRLPYPARAFDLAHCSRCLIPWKKYDGLYLAEVDRILRPGGYWVLSGPPIHWKKFWRGWERTREDLKQEQDAIEDVAKSLCWKKVIEKNDLSVWQKPINHVECFRSKKVLKAPHMCESDDPDTAWYRNLEACVIPLPEVSSSDDVAGGAIEKWPERAYAVPPRIRSGSTPGVTAERFVEDNKLWKERVAHYKRIISPLPTGRYRNIMDMNAYLGGFAAAMLNYPVWVMNVVPINSNHDTLGVIYERGLIGTYQDWCEAFSTYPRTYDLIHASGLFTLYQNRCDITYILLEMDRILRPEGTVIFRDTVELLVKIQSITDGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEATQKQL >KJB18850 pep chromosome:Graimondii2_0_v6:3:17226249:17228376:-1 gene:B456_003G072700 transcript:KJB18850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSEYTPCQDKVRGRKFDRNMLKYRERHCPAKEELLRCLIPAPPQYKTPFKWPQSRDYAWYSNIPHRELSIEKAIQNWIQLEGNRFRFPGGGTMFPRGADAYIDDIGRLIPLTDGTIRTAIDTGCGVASFGAYLLNRNILTMSFAPRDTHEAQVQFALERGVPAMIGIMGSQRLPYPARAFDLAHCSRCLIPWKKYDGLYLAEVDRILRPGGYWVLSGPPIHWKKFWRGWERTREDLKQEQDAIEDVAKSLCWKKVIEKNDLSVWQKPINHVECFRSKKVLKAPHMCESDDPDTAWYRNLEACVIPLPEVSSSDDVAGGAIEKWPERAYAVPPRIRSGSTPGVTAERFVEDNKLWKERVAHYKRIISPLPTGRYRNIMDMNAYLGGFAAAMLNYPVWVMNVVPINSNHDTLGVIYERGLIGTYQDWCEAFSTYPRTYDLIHASGLFTLYQNRCDITYILLEMDRILRPEGTVIFRDTVELLVKIQSITDGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEATQKQL >KJB18856 pep chromosome:Graimondii2_0_v6:3:17225388:17229928:-1 gene:B456_003G072700 transcript:KJB18856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEYSGSPKHHHRLEAKRNPLTWILGVSFLCILFYVLGAWQRSSNPNTTQFSAYNRGGCDSHVTTSDNGNAVVGHPSSTNLDFASHHQVVLNSSKTVSQFPSCDMLFSEYTPCQDKVRGRKFDRNMLKYRERHCPAKEELLRCLIPAPPQYKTPFKWPQSRDYAWYSNIPHRELSIEKAIQNWIQLEGNRFRFPGGGTMFPRGADAYIDDIGRLIPLTDGTIRTAIDTGCGVASFGAYLLNRNILTMSFAPRDTHEAQVQFALERGVPAMIGIMGSQRLPYPARAFDLAHCSRCLIPWKKYDGLYLAEVDRILRPGGYWVLSGPPIHWKKFWRGWERTREDLKQEQDAIEDVAKSLCWKKVIEKNDLSVWQKPINHVECFRSKKVLKAPHMCESDDPDTAWYRNLEACVIPLPEVSSSDDVAGGAIEKWPERAYAVPPRIRSGSTPGVTAERFVEDNKLWKERVAHYKRIISPLPTGRYRNIMDMNAYLGGFAAAMLNYPVWVMNVVPINSNHDTLGVIYERGLIGTYQDWCEAFSTYPRTYDLIHASGLFTLYQNRCDITYILLEMDRILRPEGTVIFRDTVELLVKIQSITDGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEATQKQL >KJB18853 pep chromosome:Graimondii2_0_v6:3:17225388:17229716:-1 gene:B456_003G072700 transcript:KJB18853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSEYTPCQDKVRGRKFDRNMLKYRERHCPAKEELLRCLIPAPPQYKTPFKWPQSRDYAWYSNIPHRELSIEKAIQNWIQLEGNRFRFPGGGTMFPRGADAYIDDIGRLIPLTDGTIRTAIDTGCGVASFGAYLLNRNILTMSFAPRDTHEAQVQFALERGVPAMIGIMGSQRLPYPARAFDLAHCSRCLIPWKKYDGLYLAEVDRILRPGGYWVLSGPPIHWKKFWRGWERTREDLKQEQDAIEDVAKSLCWKKVIEKNDLSVWQKPINHVECFRSKKVLKAPHMCESDDPDTAWYRNLEACVIPLPEVSSSDDVAGGAIEKWPERAYAVPPRIRSGSTPGVTAERFVEDNKLWKERVAHYKRIISPLPTGRYRNIMDMNAYLGGFAAAMLNYPVWVMNVVPINSNHDTLGVIYERGLIGTYQDWCEAFSTYPRTYDLIHASGLFTLYQNRCDITYILLEMDRILRPEGTVIFRDTVELLVKIQSITDGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEATQKQL >KJB18858 pep chromosome:Graimondii2_0_v6:3:17226559:17228691:-1 gene:B456_003G072700 transcript:KJB18858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEYSGSPKHHHRLEAKRNPLTWILGVSFLCILFYVLGAWQRSSNPNTTQFSAYNRGGCDSHVTTSDNGNAVVGHPSSTNLDFASHHQVVLNSSKTVSQFPSCDMLFSEYTPCQDKVRGRKFDRNMLKYRERHCPAKEELLRCLIPAPPQYKTPFKWPQSRDYAWYSNIPHRELSIEKAIQNWIQLEGNRFRFPGGGTMFPRGADAYIDDIGRLIPLTDGTIRTAIDTGCGVASFGAYLLNRNILTMSFAPRDTHEAQVQFALERGVPAMIGIMGSQRLPYPARAFDLAHCSRCLIPWKKYDGLYLAEVDRILRPGGYWVLSGPPIHWKKFWRGWERTREDLKQEQDAIEDVAKSLCWKKVIEKNDLSVWQKPINHVECFRSKKVLKAPHMCESDDPDTAWYRNLEACVIPLPEVSSSDDVAGGAIEKWPERAYAVPPRIRSGSTPGVTAERFVEDNKLWKERVAHYKRIISPLPTGRYRNIMDMNAYLGGFAAAMLNYPVWVMNVVPINSNHDTLGVIYERGLIGTYQDWCEAFSTYPRTYDLIHASGLFTLYQNR >KJB18854 pep chromosome:Graimondii2_0_v6:3:17225388:17229152:-1 gene:B456_003G072700 transcript:KJB18854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEYSGSPKHHHRLEAKRNPLTWILGVSFLCILFYVLGAWQRSSNPNTTQFSAYNRGGCDSHVTTSDNGNAVVGHPSSTNLDFASHHQVVLNSSKTVSQFPSCDMLFSEYTPCQDKVRGRKFDRNMLKYRERHCPAKEELLRCLIPAPPQYKTPFKWPQSRDYAWYSNIPHRELSIEKAIQNWIQLEGNRFRFPGGGTMFPRGADAYIDDIGRLIPLTDGTIRTAIDTGCGVASFGAYLLNRNILTMSFAPRDTHEAQVQFALERGVPAMIGIMGSQRLPYPARAFDLAHCSRCLIPWKKYDGLYLAEVDRILRPGGYWVLSGPPIHWKKFWRGWERTREDLKQEQDAIEDVAKSLCWKKVIEKNDLSVWQKPINHVECFRSKKVLKAPHMCESDDPDTAWYRNLEACVIPLPEVSSSDDVAGGAIEKWPERAYAVPPRIRSGSTPGVTAERFVEDNKLWKERVAHYKRIISPLPTGRYRNIMDMNAYLGGFAAAMLNYPVWVMNVVPINSNHDTLGVIYERGLIGTYQDWCEAFSTYPRTYDLIHASGLFTLYQNRCDITYILLEMDRILRPEGTVIFRDTVELLVKIQSITDGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEATQKQL >KJB18847 pep chromosome:Graimondii2_0_v6:3:17225388:17227816:-1 gene:B456_003G072700 transcript:KJB18847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAPRDTHEAQVQFALERGVPAMIGIMGSQRLPYPARAFDLAHCSRCLIPWKKYDGLYLAEVDRILRPGGYWVLSGPPIHWKKFWRGWERTREDLKQEQDAIEDVAKSLCWKKVIEKNDLSVWQKPINHVECFRSKKVLKAPHMCESDDPDTAWYRNLEACVIPLPEVSSSDDVAGGAIEKWPERAYAVPPRIRSGSTPGVTAERFVEDNKLWKERVAHYKRIISPLPTGRYRNIMDMNAYLGGFAAAMLNYPVWVMNVVPINSNHDTLGVIYERGLIGTYQDWCEAFSTYPRTYDLIHASGLFTLYQNRCDITYILLEMDRILRPEGTVIFRDTVELLVKIQSITDGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEATQKQL >KJB18859 pep chromosome:Graimondii2_0_v6:3:17225388:17229986:-1 gene:B456_003G072700 transcript:KJB18859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEYSGSPKHHHRLEAKRNPLTWILGVSFLCILFYVLGAWQRSSNPNTTQFSAYNRGGCDSHVTTSDNGNAVVGHPSSTNLDFASHHQVVLNSSKTVSQFPSCDMLFSEYTPCQDKVRGRKFDRNMLKYRERHCPAKEELLRCLIPAPPQYKTPFKWPQSRDYAWYSNIPHRELSIEKAIQNWIQLEGNRFRFPGGGTMFPRGADAYIDDIGRLIPLTDGTIRTAIDTGCGVASFGAYLLNRNILTMSFAPRDTHEAQVQFALERGVPAMIGIMGSQRLPYPARAFDLAHCSRCLIPWKKYDGLYLAEVDRILRPGGYWVLSGPPIHWKKFWRGWERTREDLKQEQDAIEDVAKSLCWKKVIEKNDLSVWQKPINHVECFRSKKVLKAPHMCESDDPDTAWYRNLEACVIPLPEVSSSDDVAGGAIEKWPERAYAVPPRIRSGSTPGVTAERFVEDNKLWKERVAHYKRIISPLPTGRYRNIMDMNAYLGGFAAAMLNYPVWVMNVVPINSNHDTLGVIYERGLIGTYQDWCEAFSTYPRTYDLIHASGLFTLYQNRCDITYILLEMDRILRPEGTVIFRDTVELLVKIQSITDGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEATQKQL >KJB18860 pep chromosome:Graimondii2_0_v6:3:17225388:17230217:-1 gene:B456_003G072700 transcript:KJB18860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEYSGSPKHHHRLEAKRNPLTWILGVSFLCILFYVLGAWQRSSNPNTTQFSAYNRGGCDSHVTTSDNGNAVVGHPSSTNLDFASHHQVVLNSSKTVSQFPSCDMLFSEYTPCQDKVRGRKFDRNMLKYRERHCPAKEELLRCLIPAPPQYKTPFKWPQSRDYAWYSNIPHRELSIEKAIQNWIQLEGNRFRFPGGGTMFPRGADAYIDDIGRLIPLTDGTIRTAIDTGCGVASFGAYLLNRNILTMSFAPRDTHEAQVQFALERGVPAMIGIMGSQRLPYPARAFDLAHCSRCLIPWKKYDGLYLAEVDRILRPGGYWVLSGPPIHWKKFWRGWERTREDLKQEQDAIEDVAKSLCWKKVIEKNDLSVWQKPINHVECFRSKKVLKAPHMCESDDPDTAWYSHWCPSCSCFLLDTYSDIHIVHCGLIFLLSFVLKRYRNLEACVIPLPEVSSSDDVAGGAIEKWPERAYAVPPRIRSGSTPGVTAERFVEDNKLWKERVAHYKRIISPLPTGRYRNIMDMNAYLGGFAAAMLNYPVWVMNVVPINSNHDTLGVIYERGLIGTYQDWCEAFSTYPRTYDLIHASGLFTLYQNRCDITYILLEMDRILRPEGTVIFRDTVELLVKIQSITDGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEATQKQL >KJB18855 pep chromosome:Graimondii2_0_v6:3:17225388:17230217:-1 gene:B456_003G072700 transcript:KJB18855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEYSGSPKHHHRLEAKRNPLTWILGVSFLCILFYVLGAWQRSSNPNTTQFSAYNRGGCDSHVTTSDNGNAVVGHPSSTNLDFASHHQVVLNSSKTVSQFPSCDMLFSEYTPCQDKVRGRKFDRNMLKYRERHCPAKEELLRCLIPAPPQYKTPFKWPQSRDYAWYSNIPHRELSIEKAIQNWIQLEGNRFRFPGGGTMFPRGADAYIDDIGRLIPLTDGTIRTAIDTGCGVASFGAYLLNRNILTMSFAPRDTHEAQVQFALERGVPAMIGIMGSQRLPYPARAFDLAHCSRCLIPWKKYDGLYLAEVDRILRPGGYWVLSGPPIHWKKFWRGWERTREDLKQEQDAIEDVAKSLCWKKVIEKNDLSVWQKPINHVECFRSKKVLKAPHMCESDDPDTAWYRNLEACVIPLPEVSSSDDVAGGAIEKWPERAYAVPPRIRSGSTPGVTAERFVEDNKLWKERVAHYKRIISPLPTGRYRNIMDMNAYLGGFAAAMLNYPVWVMNVVPINSNHDTLGVIYERGLIGTYQDWCEAFSTYPRTYDLIHASGLFTLYQNRCDITYILLEMDRILRPEGTVIFRDTVELLVKIQSITDGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEATQKQL >KJB18849 pep chromosome:Graimondii2_0_v6:3:17225388:17229995:-1 gene:B456_003G072700 transcript:KJB18849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSEYTPCQDKVRGRKFDRNMLKYRERHCPAKEELLRCLIPAPPQYKTPFKWPQSRDYAWYSNIPHRELSIEKAIQNWIQLEGNRFRFPGGGTMFPRGADAYIDDIGRLIPLTDGTIRTAIDTGCGVASFGAYLLNRNILTMSFAPRDTHEAQVQFALERGVPAMIGIMGSQRLPYPARAFDLAHCSRCLIPWKKYDGLYLAEVDRILRPGGYWVLSGPPIHWKKFWRGWERTREDLKQEQDAIEDVAKSLCWKKVIEKNDLSVWQKPINHVECFRSKKVLKAPHMCESDDPDTAWYRNLEACVIPLPEVSSSDDVAGGAIEKWPERAYAVPPRIRSGSTPGVTAERFVEDNKLWKERVAHYKRIISPLPTGRYRNIMDMNAYLGGFAAAMLNYPVWVMNVVPINSNHDTLGVIYERGLIGTYQDWCEAFSTYPRTYDLIHASGLFTLYQNRCDITYILLEMDRILRPEGTVIFRDTVELLVKIQSITDGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEATQKQL >KJB19618 pep chromosome:Graimondii2_0_v6:3:34064008:34067121:-1 gene:B456_003G111300 transcript:KJB19618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSRVLAVSYTIKPSIPCNTCSLSSRFPQKKPKLNSKWRSMATEPDSSSFAPSIDSDSSADKVTAGFCIIEGPETVQDFANMELQEIRDNIRSRRNKVFLQMEEVRRLRIQQRIKSAELGILKEEREIELPNFPSFIPFLPPLTSANLKVYYATCYSLIAGIILFGGLIAPTLELKLGLGGTTYADFISSMHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSNTGSLVLIEPVSTGNGGDLPLSTPKTERCSNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >KJB19619 pep chromosome:Graimondii2_0_v6:3:34064192:34067017:-1 gene:B456_003G111300 transcript:KJB19619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSRVLAVSYTIKPSIPCNTCSLSSRFPQKKPKLNSKWRSMATEPDSSSFAPSIDSDSSADKVTAGFCIIEGPETVQDFANMELQEIRDNIRSRRNKVFLQMEEVRRLRIQQRIKSAELGILKEEREIELPNFPSFIPFLPPLTSANLKVYYATCYSLIAGIILFGGLIAPTLELKLGLGGTTYADFISSMHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGNGGDLPLSTPKTERCSNCSGSGKVWLLKTCSLNSLLSTYAYQLGNFNEWKDIFLIQFGNFRVRFDGFH >KJB19621 pep chromosome:Graimondii2_0_v6:3:34064502:34066866:-1 gene:B456_003G111300 transcript:KJB19621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSRVLAVSYTIKPSIPCNTCSLSSRFPQKKPKLNSKWRSMATEPDSSSFAPSIDSDSSADKVTAGFCIIEGPETVQDFANMELQEIRDNIRSRRNKVFLQMEEVRRLRIQQRIKSAELGILKEEREIELPNFPSFIPFLPPLTSANLKVYYATCYSLIAGIILFGGLIAPTLELKLGLGGTTYADFISSMHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSNTGSLVLIEPVSTGNGGDLPLSTPKTERCSNCSGSGKVWLLKTCSLNSLLSTYAYQLGNFNEWKDIFLIQFGNFRVRFDGFH >KJB19620 pep chromosome:Graimondii2_0_v6:3:34064192:34067090:-1 gene:B456_003G111300 transcript:KJB19620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSRVLAVSYTIKPSIPCNTCSLSSRFPQKKPKLNSKWRSMATEPDSSSFAPSIDSDSSADKVTAGFCIIEGPETVQDFANMELQEIRDNIRSRRNKVFLQMEEVRRLRIQQRIKSAELGILKEEREIELPNFPSFIPFLPPLTSANLKVYYATCYSLIAGIILFGGLIAPTLELKLGLGGTTYADFISSMHLPMQLSWW >KJB19623 pep chromosome:Graimondii2_0_v6:3:34065844:34066866:-1 gene:B456_003G111300 transcript:KJB19623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSRVLAVSYTIKPSIPCNTCSLSSRFPQKKPKLNSKWRSMATEPDSSSFAPSIDSDSSADKVTAGFCIIEGPETVQDFANMELQEIRDNIRSRRNKVFLQMEEVRRLRIQQRIKSAELGILKEEREIELPNFPSFIPFLPPLTSANLKVYYATCYSLIAGIILFGGLIAPTVSHSCFQLL >KJB19622 pep chromosome:Graimondii2_0_v6:3:34064192:34067017:-1 gene:B456_003G111300 transcript:KJB19622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSRVLAVSYTIKPSIPCNTCSLSSRFPQKKPKLNSKWRSMATEPDSSSFAPSIDSDSSADKVTAGFCIIEGPETVQDFANMELQEIRDNIRSRRNKVFLQMEEVRRLRIQQRIKSAELGILKEEREIELPNFPSFIPFLPPLTSANLKVYYATCYSLIAGIILFGGLIAPTLELKLGLGGTTYADFISSMHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGMYFF >KJB17346 pep chromosome:Graimondii2_0_v6:3:30442190:30444166:1 gene:B456_003G0981001 transcript:KJB17346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYCFFYLNIESSYETCGPRRVPTDVPRLFIIRYERNATEDTFKVDKKAKSIWEFHQQEVDPAAQLSVTYNGSAEVSQIIQWMSNIIEDGDSRKLPFYRVKTPELVPEDAEPFWSRGPQGLLSKSMGTKQKIQRTIIRIYDYLGDPRIGPALLLGALMSFGSIWLMRTQQNRSVQSSQPSQADNDVG >KJB17343 pep chromosome:Graimondii2_0_v6:3:30442190:30443578:1 gene:B456_003G0981001 transcript:KJB17343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYCFFYLNIESSYETCGPRRVPTDVPRLFIIRYERNATEDTFKVDKKAKSIWEFHQQEVDPAAQLSVTYNGSAEVSQIIQWMSNIIEDGDSRKLPFYRVKTPELVPEDAEPFWSRGPQGLLSKSMGTKQKIQRTIIRIYDYLGDPRIGPALLLGALMSFGSIWLMRTQQNRSVQSSQPSQADNDVGDNLRMHLFYSLQVM >KJB17349 pep chromosome:Graimondii2_0_v6:3:30442190:30444592:1 gene:B456_003G0981001 transcript:KJB17349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYCFFYLNIESSYETCGPRRVPTDVPRLFIIRYERNATEDTFKVDKKAKSIWEFHQQEVDPAAQLSVTYNGSAEVSQIIQWMSNIIEDGDSRKLPFYRVKTPELVPEDAEPFWSRGPQGLLSKSMGTKQKIQRTIIRIYDYLGDPRIGPALLLGALMSFGSIWLMRTQQNRSVQSSQPSQADNDDKLKPRERRRERNASKRNLPPSITDFEPKDSYQLPLSDSD >KJB17352 pep chromosome:Graimondii2_0_v6:3:30442190:30444592:1 gene:B456_003G0981001 transcript:KJB17352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYFPKAWEQSKKSNAL >KJB17345 pep chromosome:Graimondii2_0_v6:3:30442190:30442837:1 gene:B456_003G0981001 transcript:KJB17345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYCFFYLNIESSYETCGPRRVPTDVPRLFIIRYERNATEDTFKVDKKAKSIWEFHQQEVDPAAQLSVTYNGSAEVSQIIQWMSNIIEDGDSRKLPFYVSTVLFLLRNFGKAYIHAYSLFQFFMSTFLIINLWFGANSTPTGNLLFS >KJB17344 pep chromosome:Graimondii2_0_v6:3:30442190:30442837:1 gene:B456_003G0981001 transcript:KJB17344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYCFFYLNIESSYETCGPRRVPTDVPRLFIIRYERNATEDTFKVDKKAKSIWEFHQQEVDPAAQLSVTYNGSAEVSQIIQWMSNIIEDGDSRKLPFYVSTVLFLLRNFGKAYIHAYSLFQFFMSTFLIINLWFGANSTPTGNLLFS >KJB17351 pep chromosome:Graimondii2_0_v6:3:30442190:30444598:1 gene:B456_003G0981001 transcript:KJB17351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYCFFYLNIESSYETCGPRRVPTDVPRLFIIRYERNATEDTFKVDKKAKSIWEFHQQEVDPAAQLSVTYNGSAEVSQIIQWMSNIIEDGDSRKLPFYRVKTPELVPEDAEPFWSRGPQGLLSKSMGTKQKIQRTIIRIYDYLGDPRIGPALLLGALMSFGSIWLMRTQQNRSVQSSQPSQADNDDKLKPRERRRERNASKRNLPPSITDFEPKDSYQLPLSDSD >KJB17350 pep chromosome:Graimondii2_0_v6:3:30442190:30444592:1 gene:B456_003G0981001 transcript:KJB17350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYCFFYLNIESSYETCGPRRVPTDVPRLFIIRYERNATEDTFKVDKKAKSIWEFHQQEVDPAAQLSVTYNGSAEVSQIIQWMSNIIEDGDSRKLPFYRVKTPELVPEDAEPFWSRGPQGLLSKSMGTKQKIQRTIIRIYDYLGDPRIGPALLLGALMSFGSIWLMRTQQNRSVQSSQPSQADNDDKLKPRERRRERNASKRNLPPSITDFEPKDSYQLPLSDSD >KJB17347 pep chromosome:Graimondii2_0_v6:3:30442190:30444632:1 gene:B456_003G0981001 transcript:KJB17347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYCFFYLNIESSYETCGPRRVPTDVPRLFIIRYERNATEDTFKVDKKAKSIWEFHQQEVDPAAQLSVTYNGSAEVSQIIQWMSNIIEDGDSRKLPFYRVKTPELVPEDAEPFWSRGPQGLLSKSMGTKQKIQRTIIRIYDYLGDPRIGPALLLGALMSFGSIWLMRTQQNRSVQSSQPSQADNDDKLKPRERRRERNASKRNLPPSITDFEPKDSYQLPLSDSD >KJB17348 pep chromosome:Graimondii2_0_v6:3:30442190:30444592:1 gene:B456_003G0981001 transcript:KJB17348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYCFFYLNIESSYETCGPRRVPTDVPRLFIIRYERNATEDTFKVDKKAKSIWEFHQQEVDPAAQLSVTYNGSAEVSQIIQWMSNIIEDGDSRKLPFYRVKTPELVPEDAEPFWSRGPQGLLSKSMGTKQKIQRTIIRIYDYLGDPRIGPALLLGALMSFGSIWLMRTQQNRSVQSSQPSQADNDDKLKPRERRRERNASKRNLPPSITDFEPKDSYQLPLSDSD >KJB17633 pep chromosome:Graimondii2_0_v6:3:524790:530564:-1 gene:B456_003G008600 transcript:KJB17633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHEIFTVYVVLAVVVVVCFNAGTVYAKYDTGARIVPGKLNVHLVPHSHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSVVDALLRDPNRKFVFAEMAFFQRWWTEQSSEIQEQVKELVDAGRLEFVNGGWCMHDEAATHYIDMIDQTTLGHRAIKEQFNNVPRAGWQIDPFGHSAVQSYLLGAEVGFDSMHFARIDYQDRAQRKNKKSLEVIWQGSNTFGSSSQIFANAFPIHYSPPTGFHFEVDDDSAPVQDNPLLFDYNVEQRVNDFINAAMIQANVTRTNHIMWTMGDDFQYQYAETWFRQMDKFIHYVNKDGRVNALYSTPSIYTDAKNAANETWPLKTDDYFPYADSQNAYWTGYFTSRPAFKRFVRKLSGYYLAARQLEFSVGRRSNGSNTFSLGDALGIAQHHDAITGTAKQHTTNDYSKRLAIGVTEAETVVSSALSCLTKNNSGDKCEESGNIFSQCQLVNISYCPPTEQDIPQGKSLVVVVYNSLAWNRTDIVRIPVNDTNLVVQDSSGNNIDTQFIALDNVTINVREFYTKAYLGLPSDSVPKYWLLFQVSIPALGWNTYFISKGTKKGRGTVEMVSAMPQEGTIEIGQGNLKMSFSTSSGQLQRMYNSRTGVDVPLQQSYLWYGSSSGGSDFQASGAYIFRPDGESPTVVSRSVPLNVTRGPLVDEVYQQFNEWIYQVTRLYKDKEHAEIEFTIGPIPLDDGVGKEIITQLTANMVTNKEFYTDSNGRDFLKRVRDFREDWNLTVTQPVAGNYYPINLGIYTVDKKSELSVLVDRATGGSSIKDGEIELMLHRRMLYDDSRGVGEALDESVCVGNTCEGLTIRGNYYVSIDRKGEGARWRRTTGQEVYSPVLLAFTYENMETWKSSHLTKATAMDPGYTLPLNVALITLQVTVFRTHFLR >KJB17632 pep chromosome:Graimondii2_0_v6:3:522389:530601:-1 gene:B456_003G008600 transcript:KJB17632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHEIFTVYVVLAVVVVVCFNAGTVYAKYDTGARIVPGKLNVHLVPHSHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSVVDALLRDPNRKFVFAEMAFFQRWWTEQSSEIQEQVKELVDAGRLEFVNGGWCMHDEAATHYIDMIDQTTLGHRAIKEQFNNVPRAGWQIDPFGHSAVQSYLLGAEVGFDSMHFARIDYQDRAQRKNKKSLEVIWQGSNTFGSSSQIFANAFPIHYSPPTGFHFEVDDDSAPVQDNPLLFDYNVEQRVNDFINAAMIQANVTRTNHIMWTMGDDFQYQYAETWFRQMDKFIHYVNKDGRVNALYSTPSIYTDAKNAANETWPLKTDDYFPYADSQNAYWTGYFTSRPAFKRFVRKLSGYYLAARQLEFSVGRRSNGSNTFSLGDALGIAQHHDAITGTAKQHTTNDYSKRLAIGVTEAETVVSSALSCLTKNNSGDKCEESGNIFSQCQLVNISYCPPTEQDIPQGKSLVVVVYNSLAWNRTDIVRIPVNDTNLVVQDSSGNNIDTQFIALDNVTINVREFYTKAYLGLPSDSVPKYWLLFQVSIPALGWNTYFISKGTKKGRGTVEMVSAMPQEGTIEIGQGNLKMSFSTSSGQLQRMYNSRTGVDVPLQQSYLWYGSSSGGSDFQASGAYIFRPDGESPTVVSRSVPLNVTRGPLVDEVYQQFNEWIYQVTRLYKDKEHAEIEFTIGPIPLDDGVGKEIITQLTANMVTNKEFYTDSNGRDFLKRVRDFREDWNLTVTQPVAGNYYPINLGIYTVDKKSELSVLVDRATGGSSIKDGEIELMLHRRMLYDDSRGVGEALDESVCVGNTCEGLTIRGNYYVSIDRKGEGARWRRTTGQEVYSPVLLAFTYENMETWKSSHLTKATAMDPGYTLPLNVALITLQELSDGTALLRLAHLYEEGEDSTYSHLAKVELKKMFNGRTIKEVQEMSLTTNQVKSEMKKLSWKVEGDNGKQPSSPIRGGPVTTSTLIVELGPMEIRTFLLKF >KJB18783 pep chromosome:Graimondii2_0_v6:3:14886273:14890142:-1 gene:B456_003G069200 transcript:KJB18783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMIESAMAVRFPTPTNFCSSSAFHNYRPMCNSGEVTSCHVSCRRLFCHGGFGITWKGFRRLNRASLSRRTLVKNNIRATAEHLGSASDPAKHKGRSHYHPFEDIGEATSKKSNDATLTAAETSRTIIEVNSKATVMFTGMINDEVHENIMWPDLPYATDEHGNVYLQVKSDEDILQSLTVENNFVQVIIGFDTTEIMKEIELSGPSEVDFGIEEIDNEDVDIEDDDDDDDDDDDDDDDDEEDYDEEWVAALEDEDDQDDSDGTLGDWAKLDTMRSSHPMYFAKKLTEAASDDPVDWMEQPSDGLAIQGLLRPALTEEHSEIQKHMSTNQSHGSDTNQAEKDVGDKVEDLGIINGYGNESELSRKSSSSERLGKNEISTNGSSFYKLEMIKIQLITAHGHQTDVELEDFKQAQPDAIAHLAAKIISRLKAGGEKTTQALKSLCWRCKGIQVEEVAIISVDSLGFVLRICSGTQIETLRFAFNARVCHTLATSEYSAERQLNDMLFPRSHQRPQKQITGSSK >KJB18779 pep chromosome:Graimondii2_0_v6:3:14886822:14890142:-1 gene:B456_003G069200 transcript:KJB18779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMIESAMAVRFPTPTNFCSSSAFHNYRPMCNSGEVTSCHVSCRRLFCHGGFGITWKGFRRLNRASLSRRTLVKNNIRATAEHLGSASDPAKHKGRSHYHPFEDIGEATSKKSNDATLTAAETSRTIIEVNSKATVMFTGMINDEVHENIMWPDLPYATDEHGNVYLQVKSDEDILQSLTVENNFVQVIIGFDTTEIMKEIELSGPSEVDFGIEEIDNEDVDIEDDDDDDDDDDDDDDDDEEDYDEEWVAALEDEDDQDDSDGTLGDWAKLDTMRSSHPMYFAKKLTEAASDDPVDWMEQPSDGLAIQGLLRPALTEEHSEIQKHMSTNQSHGSDTNQAEKDVGDKVEDLGIINGYGNESELSRKSSSSERLGKNEISTNGSSFYKLEMIKIQLITAHGHQTDVELEDFKQAQPDAIAHLAAKIISRLKAGGEKTTQALKSLCWRCKGIQVEVKSRNHQMCKSLYFAKSTLSRAKLFRF >KJB18785 pep chromosome:Graimondii2_0_v6:3:14885960:14890316:-1 gene:B456_003G069200 transcript:KJB18785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMIESAMAVRFPTPTNFCSSSAFHNYRPMCNSGEVTSCHVSCRRLFCHGGFGITWKGFRRLNRASLSRRTLVKNNIRATAEHLGSASDPAKHKGRSHYHPFEDIGEATSKKSNDATLTAAETSRTIIEVNSKATVMFTGMINDEVHENIMWPDLPYATDEHGNVYLQVKSDEDILQSLTVENNFVQVIIGFDTTEIMKEIELSGPSEVDFGIEEIDNEDVDIEDDDDDDDDDDDDDDDDEEDYDEEWVAALEDEDDQDDSDGTLGDWAKLDTMRSSHPMYFAKKLTEAASDDPVDWMEQPSDGLAIQGLLRPALTEEHSEIQKHMSTNQSHGSDTNQAEKDVGDKVEDLGIINGYGNESELSRKSSSSERLGKNEISTNGSSFYKLEMIKIQLITAHGHQTDVELEDFKQAQPDAIAHLAAKIISRLKAGGEKTTQALKSLCWRCKGIQVEEVAIISVDSLGFVLRICSGTQIETLRFAFNARATSEYSAERQLNDMLFPRSHQRPQKQITGSSK >KJB18780 pep chromosome:Graimondii2_0_v6:3:14885960:14890142:-1 gene:B456_003G069200 transcript:KJB18780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMIESAMAVRFPTPTNFCSSSAFHNYRPMCNSGEVTSCHVSCRRLFCHGGFGITWKGFRRLNRASLSRRTLVKNNIRATAEHLGSASDPAKHKGRSHYHPFEDIGEATSKKSNDATLTAAETSRTIIEVNSKATVMFTGMINDEVHENIMWPDLPYATDEHGNVYLQVKSDEDILQSLTVENNFVQVIIGFDTTEIMKEIELSGPSEVDFGIEEIDNEDVDIEDDDDDDDDDDDDDDDDEEDYDEEWVAALEDEDDQDDSDGTLGDWAKLDTMRSSHPMYFAKKLTEAASDDPVDWMEQPSDGLAIQGLLRPALTEEHSEIQKHMSTNQSHGSDTNQAEKDVGDKVEDLGIINGYGNESELSRKSSSSERLGKNEISTNGSSFYKLEMIKIQLITAHGHQTDVELEDFKQAQPDAIAHLAAKIISRLKAGGEKTTQALKSLCWRCKGIQVEPLSVWIPLDLS >KJB18778 pep chromosome:Graimondii2_0_v6:3:14885960:14890131:-1 gene:B456_003G069200 transcript:KJB18778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIELSGPSEVDFGIEEIDNEDVDIEDDDDDDDDDDDDDDDDEEDYDEEWVAALEDEDDQDDSDGTLGDWAKLDTMRSSHPMYFAKKLTEAASDDPVDWMEQPSDGLAIQGLLRPALTEEHSEIQKHMSTNQSHGSDTNQAEKDVGDKVEDLGIINGYGNESELSRKSSSSERLGKNEISTNGSSFYKLEMIKIQLITAHGHQTDVELEDFKQAQPDAIAHLAAKIISRLKAGGEKTTQALKSLCWRCKGIQVEEVAIISVDSLGFVLRICSGTQIETLRFAFNARATSEYSAERQLNDMLFPRSHQRPQKQITGSSK >KJB18784 pep chromosome:Graimondii2_0_v6:3:14885960:14890181:-1 gene:B456_003G069200 transcript:KJB18784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMIESAMAVRFPTPTNFCSSSAFHNYRPMCNSGEVTSCHVSCRRLFCHGGFGITWKGFRRLNRASLSRRTLVKNNIRATAEHLGSASDPAKHKGRSHYHPFEDIGEATSKKSNDATLTAAETSRTIIEAVACHFQVNSKATVMFTGMINDEVHENIMWPDLPYATDEHGNVYLQVKSDEDILQSLTVENNFVQVIIGFDTTEIMKEIELSGPSEVDFGIEEIDNEDVDIEDDDDDDDDDDDDDDDDEEDYDEEWVAALEDEDDQDDSDGTLGDWAKLDTMRSSHPMYFAKKLTEAASDDPVDWMEQPSDGLAIQGLLRPALTEEHSEIQKHMSTNQSHGSDTNQAEKDVGDKVEDLGIINGYGNESELSRKSSSSERLGKNEISTNGSSFYKLEMIKIQLITAHGHQTDVELEDFKQAQPDAIAHLAAKIISRLKAGGEKTTQALKSLCWRCKGIQVEEVAIISVDSLGFVLRICSGTQIETLRFAFNARATSEYSAERQLNDMLFPRSHQRPQKQITGSSK >KJB18774 pep chromosome:Graimondii2_0_v6:3:14885960:14890052:-1 gene:B456_003G069200 transcript:KJB18774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSGEVTSCHVSCRRLFCHGGFGITWKGFRRLNRASLSRRTLVKNNIRATAEHLGSASDPAKHKGRSHYHPFEDIGEATSKKSNDATLTAAETSRTIIEQVIIGFDTTEIMKEIELSGPSEVDFGIEEIDNEDVDIEDDDDDDDDDDDDDDDDEEDYDEEWVAALEDEDDQDDSDGTLGDWAKLDTMRSSHPMYFAKKLTEAASDDPVDWMEQPSDGLAIQGLLRPALTEEHSEIQKHMSTNQSHGSDTNQAEKDVGDKVEDLGIINGYGNESELSRKSSSSERLGKNEISTNGSSFYKLEMIKIQLITAHGHQTDVELEDFKQAQPDAIAHLAAKIISRLKAGGEKTTQALKSLCWRCKGIQVEEVAIISVDSLGFVLRICSGTQIETLRFAFNARATSEYSAERQLNDMLFPRSHQRPQKQITGSSK >KJB18775 pep chromosome:Graimondii2_0_v6:3:14886273:14888984:-1 gene:B456_003G069200 transcript:KJB18775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINDEVHENIMWPDLPYATDEHGNVYLQVKSDEDILQSLTVENNFVQVIIGFDTTEIMKEIELSGPSEVDFGIEEIDNEDVDIEDDDDDDDDDDDDDDDDEEDYDEEWVAALEDEDDQDDSDGTLGDWAKLDTMRSSHPMYFAKKLTEAASDDPVDWMEQPSDGLAIQGLLRPALTEEHSEIQKHMSTNQSHGSDTNQAEKDVGDKVEDLGIINGYGNESELSRKSSSSERLGKNEISTNGSSFYKLEMIKIQLITAHGHQTDVELEDFKQAQPDAIAHLAAKIISRLKAGGEKTTQALKSLCWRCKGIQVEEVAIISVDSLGFVLRICSGTQIETLRFAFNARATSEYSAERQLNDMLFPRSHQRPQKQITGSSK >KJB18781 pep chromosome:Graimondii2_0_v6:3:14885960:14890142:-1 gene:B456_003G069200 transcript:KJB18781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMIESAMAVRFPTPTNFCSSSAFHNYRPMCNSGEVTSCHVSCRRLFCHGGFGITWKGFRRLNRASLSRRTLVKNNIRATAEHLGSASDPAKHKGRSHYHPFEDIGEATSKKSNDATLTAAETSRTIIEVNSKATVMFTGMINDEVHENIMWPDLPYATDEHGNVYLQVKSDEDILQSLTVENNFVQVIIGFDTTEIMKEIELSGPSEVDFGIEEIDNEDVDIEDDDDDDDDDDDDDDDDEEDYDEEWVAALEDEDDQDDSDGTLGDWAKLDTMRSSHPMYFAKKLTEAASDDPVDWMEQPSDGLAIQGLLRPALTEEHSEIQKHMSTNQSHGSDTNQAEKDVGDKVEDLGIINGYGNESELSRKSSSSERLGKNEISTNGSSFYKLEMIKIQLITAHGHQMLN >KJB18776 pep chromosome:Graimondii2_0_v6:3:14886273:14889829:-1 gene:B456_003G069200 transcript:KJB18776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSGEVTSCHVSCRRLFCHGGFGITWKGFRRLNRASLSRRTLVKNNIRATAEHLGSASDPAKHKGRSHYHPFEDIGEATSKKSNDATLTAAETSRTIIEVNSKATVMFTGMINDEVHENIMWPDLPYATDEHGNVYLQVKSDEDILQSLTVENNFVQVIIGFDTTEIMKEIELSGPSEVDFGIEEIDNEDVDIEDDDDDDDDDDDDDDDDEEDYDEEWVAALEDEDDQDDSDGTLGDWAKLDTMRSSHPMYFAKKLTEAASDDPVDWMEQPSDGLAIQGLLRPALTEEHSEIQKHMSTNQSHGSDTNQAEKDVGDKVEDLGIINGYGNESELSRKSSSSERLGKNEISTNGSSFYKLEMIKIQLITAHGHQTDVELEDFKQAQPDAIAHLAAKIISRLKAGGEKTTQALKSLCWRCKGIQVEEVAIISVDSLGFVLRICSGTQIETLRFAFNARATSEYSAERQLNDMLFPRSHQRPQKQITGSSK >KJB18777 pep chromosome:Graimondii2_0_v6:3:14886273:14889829:-1 gene:B456_003G069200 transcript:KJB18777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSGEVTSCHVSCRRLFCHGGFGITWKGFRRLNRASLSRRTLVKNNIRATAEHLGSASDPAKHKGRSHYHPFEDIGEATSKKSNDATLTAAETSRTIIEAVACHFQVNSKATVMFTGMINDEVHENIMWPDLPYATDEHGNVYLQVKSDEDILQSLTVENNFVQVIIGFDTTEIMKEIELSGPSEVDFGIEEIDNEDVDIEDDDDDDDDDDDDDDDDEEDYDEEWVAALEDEDDQDDSDGTLGDWAKLDTMRSSHPMYFAKKLTEAASDDPVDWMEQPSDGLAIQGLLRPALTEEHSEIQKHMSTNQSHGSDTNQAEKDVGDKVEDLGIINGYGNESELSRKSSSSERLGKNEISTNGSSFYKLEMIKIQLITAHGHQTDVELEDFKQAQPDAIAHLAAKIISRLKAGGEKTTQALKSLCWRCKGIQVEEVAIISVDSLGFVLRICSGTQIETLRFAFNARATSEYSAERQLNDMLFPRSHQRPQKQITGSSK >KJB18782 pep chromosome:Graimondii2_0_v6:3:14886822:14890142:-1 gene:B456_003G069200 transcript:KJB18782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMIESAMAVRFPTPTNFCSSSAFHNYRPMCNSGEVTSCHVSCRRLFCHGGFGITWKGFRRLNRASLSRRTLVKNNIRATAEHLGSASDPAKHKGRSHYHPFEDIGEATSKKSNDATLTAAETSRTIIEVNSKATVMFTGMINDEVHENIMWPDLPYATDEHGNVYLQVKSDEDILQSLTVENNFVQVIIGFDTTEIMKEIELSGPSEVDFGIEEIDNEDVDIEDDDDDDDDDDDDDDDDEEDYDEEWVAALEDEDDQDDSDGTLGDWAKLDTMRSSHPMYFAKKLTEAASDDPVDWMEQPSDGLAIQGLLRPALTEEHSEIQKHMSTNQSHGSDTNQAEKDVGDKVEDLGIINGYGNESELSRKSSSSERLGKNEISTNGSSFYKLEMIKIQLITAHGHQTDVELEDFKQAQPDAIAHLAAKIISRLKAGGEKTTQALKSLCWRCKGIQVEVKSRNHQMCKSLYFAKSTLSRAKLFRF >KJB17474 pep chromosome:Graimondii2_0_v6:3:166842:170155:-1 gene:B456_003G002800 transcript:KJB17474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKFRPSSSFDSPFWTTNSGAPVWNNNSSLTVGARGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISQLTCADFLRAPGVQTPLIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDIGVPQDYRHMDGSGVHTYTLINKAGKAHYVKFHWKPTCGVKSLLEDEAIRVGGANHSHATQDLYDSIAAGNYPEWKLFIQIMDPLHEDRFDFDPLDVTKTWPEDIFPLQPVGRMVLNKNIDNFFAENEQLAFCPSLIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLQLPANAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRYDPVRHAEKHPIPSTVYSGKREKCIIGKENNFKQPGERYRSFSADRFFFFTLLGD >KJB17473 pep chromosome:Graimondii2_0_v6:3:166803:170155:-1 gene:B456_003G002800 transcript:KJB17473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKFRPSSSFDSPFWTTNSGAPVWNNNSSLTVGARGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISQLTCADFLRAPGVQTPLIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDIGVPQDYRHMDGSGVHTYTLINKAGKAHYVKFHWKPTCGVKSLLEDEAIRVGGANHSHATQDLYDSIAAGNYPEWKLFIQIMDPLHEDRFDFDPLDVTKTWPEDIFPLQPVGRMVLNKNIDNFFAENEQLAFCPSLIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLQLPANAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRYDPVRHAEKHPIPSTVYSGKREKCIIGKENNFKQPGERYRSFSADRQERFINRWIDALSDSRVTHEIRSIWISYWSQADKSLGQKIASRLNVRPSI >KJB19909 pep chromosome:Graimondii2_0_v6:3:37108692:37109258:-1 gene:B456_003G124200 transcript:KJB19909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWATSSSRADKAALWPNSLRVELTQNPVLPLPSLDSLLQHHSTTIINPHRSSVNLILPLSQHQNHHTQLP >KJB19910 pep chromosome:Graimondii2_0_v6:3:37108692:37109410:-1 gene:B456_003G124200 transcript:KJB19910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRWFGLPHPPGQIRLLYGPTHSELTQNPVLPLPSLDSLLQHHSTTIINPHRSSVNLILPLSQHQNHHTQLP >KJB20417 pep chromosome:Graimondii2_0_v6:3:41428117:41431192:-1 gene:B456_003G147200 transcript:KJB20417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTPTSISLTVGAIKAMSPCVTDAARTLGCRDSAAASHLKLVSLVVIFISSIVGISSPIFLARYFQGKPVYDKAILVIKCFAAGVILSTSLVHVLPEAFAALSDCHVASRHPWKDFPFAGLVTLLGALLALFVDLTASSHVEHGQSKPTGDYLPVVTQEGTTGKKVENDLPNEELVKLKQKLVSQVLEIGIIFHSVVIGATMGMSQNQCTIRPLVAALAFHQVFEGMGLGGCIAQAEFKTGTVAYMCFMFSATTPMGIVLGMVVFAVTGYGDSSPNALIMEGLLGSMSSGILIYMAMVDLIALDFFHNKLMSSQMWLKKASFIALVLGSTSMSILALWA >KJB20416 pep chromosome:Graimondii2_0_v6:3:41428703:41431082:-1 gene:B456_003G147200 transcript:KJB20416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTPTSISLTVGAIKAMSPCVTDAARTLGCRDSAAASHLKLVSLVVIFISSIVGISSPIFLARYFQGKPVYDKAILVIKCFAAGVILSTSLVHVLPEAFAALSDCHVASRHPWKDFPFAGLVTLLGALLALFVDLTASSHVEHGQSKPTGDYLPVVTQEGTTGKKVENDLPNEELVKLKQKLVSQVLEIGIIFHSVVIGATMGMSQNQCTIRPLVAALAFHQVFEGMGLGGCIAQVTKKEGKNCGIQNGNSGIHVLYVFSDDTNGNSLGNGSICSDGVRRQQP >KJB17604 pep chromosome:Graimondii2_0_v6:3:422735:425214:-1 gene:B456_003G007300 transcript:KJB17604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGRKITLKSSDGESFEVDEAVALESQTIKHMIEDDCADNGIPLPNVTSKILAKVIEYCKKHVEAPKTDDRSADDELKSWDADFVKVDQATLFDLILGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >KJB17605 pep chromosome:Graimondii2_0_v6:3:422735:425242:-1 gene:B456_003G007300 transcript:KJB17605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGRKITLKSSDGESFEVDEAVALESQTIKHMIEDDCADNGIPLPNVTSKILAKVIEYCKKHVEAPKTDDRSADDELKSWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >KJB18493 pep chromosome:Graimondii2_0_v6:3:8884136:8888342:-1 gene:B456_003G055800 transcript:KJB18493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKTKSGLFETPTKVSPATPKVATKVSRGLAKPEPDSPSPLQSTRHSVERSPRTSLNSKPTIDRRSPKVATTPDKPQTRVAKGSELQAQLNSVQEDLKKAKEQISLIEKEKAQAIDELKEAQKAVDDANEKLREALVAQKRAEESSEIEKFRAVELEQAGIEAAQKKDEEWQKEIESVRNQHALDVAALLSTTQELQRVKQELAMTCDAKNQALNHADDATKIAEIHAEKVEILSAELVRLKSLIDSKCEMETNENMEMEFKLKAEIESLKQELEKAKTYEEKLMGKEAQIEQLNVDLEAARMAESYARNVVEEWKNRVNELEMQIEEAKKLERSASESLDSVMKQLESNNDSLHDAESEIGALKEKVGLLEMTIVRQRGDLEESEHQINMAKEETAEVEKLVESLKSELETVKEEKTQALNNEKLAASSVQTLLEEKNKLINELENSRDEEEKSKKAMESLASALHEVTAEAREAKEKLLCSEKEHENYETQLDDIRLLLKATNGKYESMLDDAKNEIDLLKNIIEQSKNEHANSKAMWEQEEVHLVDCLKKSEEENSSLEKEINRLVNLLKQSEEEVSASKEEEAQLKESLKEVESEVIYLQETLKEVKTESLKLKESLLDKESELQSVIQENEELRAREGASLKKVEELSKLLEEATMKKRSEENGELTDSEKDYDLLPKVVEFSEENGHGSEEKPKLELPSEQPKEPKNENSLEVNDDSKDESLRDEGAKVENVNGELKEDEKKGKGDDSVEFEFKMWESCKIEKKEFSPEREAEQESLEEEVESKVEGSESVDINGSTENIDDGGNSPSKQQQQKKKKPLLRKFGSLLKKKGSSNQK >KJB17831 pep chromosome:Graimondii2_0_v6:3:1294637:1296808:-1 gene:B456_003G018000 transcript:KJB17831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPYCVRENKPCTGWVQRYFKDCLCNLKDEFSFGFGIISLVCWGVAEIPQIITNFKTKSAHGVSLLFLLTWVAGDVFNLVGCLLEPATLPTQFYTALLYTISTVVLVLQSVYYDYINRWWKCRRIKTNDVVEDEKEPLKPGKHEPGIPIPKSSSKPHPRREFYYTSARSLAGSGTPPFRTYLRVAKSGPSALGLDGDSSSDDETVSVLSKKSGTQPRPIPRAAKSYGTFLAASLNLPFGSKALMEVKTGFTNRRLLQEHSMEHSAFGQWLGWLMAAIYMGGRIPQIWLNIKRGNVEGLNPLMFIFALIANATYVARFDL >KJB17830 pep chromosome:Graimondii2_0_v6:3:1293476:1296957:-1 gene:B456_003G018000 transcript:KJB17830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSTHTHTHTKKKPSPTSTLFHHIDSFSAKEKALSMSQPYCVRENKPCTGWVQRYFKDCLCNLKDEFSFGFGIISLVCWGVAEIPQIITNFKTKSAHGVSLLFLLTWVAGDVFNLVGCLLEPATLPTQFYTALLYTISTVVLVLQSVYYDYINRWWKCRRIKTNDVVEDEKEPLKPGKHEPGIPIPKSSSKPHPRREFYYTSARSLAGSGTPPFRTYLRVAKSGPSALGLDGDSSSDDETVSVLSKKSGTQPRPIPRAAKSYGTFLAASLNLPFGSKALMEVKTGFTNRRLLQEHSMEHSAFGQWLGWLMAAIYMGGRIPQIWLNIKRGNVEGLNPLMFIFALIANATYVASILVRTTEWGPIKANMPWLLDAVVCVALDLFIILQYIYYKYFRQTDNSDGEDYGDYKEANKEVNP >KJB17832 pep chromosome:Graimondii2_0_v6:3:1293575:1296892:-1 gene:B456_003G018000 transcript:KJB17832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPYCVRENKPCTGWVQRYFKDCLCNLKDEFSFGFGIISLVCWGVAEIPQIITNFKTKSAHGVSLLFLLTWVAGDVFNLVGCLLEPATLPTQFYTALLYTISTVVLVLQSVYYDYINRWWKCRRIKTNDVVEDEKEPLKPGKHEPGIPIPKSSSKPHPRREFYYTSARSLAGSGTPPFRTYLRVAKSGPSALGLDGDSSSDDETVSVLSKKSGTQPRPIPRAAKSYGTFLAASLNLPFGSKALMEVKTGFTNRRLLQEHSMEHSAFGQWLGWLMAAIYMGGRIPQIWLNIKRGNVEGLNPLMFIFALIANATYVASILVRTTEWGPIKANMPWLLDAVVCVALDLFTDRQQ >KJB19934 pep chromosome:Graimondii2_0_v6:3:37470370:37475826:1 gene:B456_003G125500 transcript:KJB19934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWWWYLWFSIISLFGASLGNWIHRWRNPKCNGKLPPGSMGLPLIGETLSFFVTSNSIDIHPFVAQRMKRYGSLFKTSLAGRPVVVSLDPDFNYFVLQQEDKLVELYYMDSLAKLVHQDDMKNIGGDFHKYFRRVILSHFGHEPLKHKLLSQFEDVINHELQDWSKLPQVDLKHQTASMLFNTASKILMSCVPEENLGHDLSDILQGLMTFPVYFPGTAFYKCLKKKEKALKLTSGVLEERMNLYPTDKGDLLEKMVGDMGKEAGLTKQFVSHALFGLLIATIETIAPTITLAAKYLLDNPSALQHLTEEHERIVKKREDAKSGVSWDDYKSMTFTHYVINETLMLGNFLPGIFRRTIADIPVNGYTIPKGWVLLIIPAVLHLDPNTYEDPLAFNPWRWKNIERNTMAKKFIPFGGGNRACAGAEFSRVLVAVFLHVWFSKFRLTKIKGGDVARAPLLMFKNVFYVKVSEK >KJB19933 pep chromosome:Graimondii2_0_v6:3:37470370:37474910:1 gene:B456_003G125500 transcript:KJB19933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWWWYLWFSIISLFGASLGNWIHRWRNPKCNGKLPPGSMGLPLIGETLSFFVTSNSIDIHPFVAQRMKRYGSLFKTSLAGRPVVVSLDPDFNYFVLQQEDKLVELYYMDSLAKLVHQDDMKNIGGDFHKYFRRVILSHFGHEPLKHKLLSQFEDVINHELQDWSKLPQVDLKHQTASMLFNTASKILMSCVPEENLGHDLSDILQGLMTFPVYFPGTAFYKCLKKKEKALKLTSGVLEERMNLYPTDKGDLLEKMVGDMGKEAGLTKQFVSHALFGLLIATIETIAPTITLAAKYLLDNPSALQHLTEEHERIVKKREDAKSGVSWDDYKSMTFTHYVINETLMLGNFLPGIFRRTIADIPVNGYTIPKGWVLLIIPAVLHLDPNTYEDPLAFNPWRWKGFTYGVTCEPTSHNPMCDLTCDLMGGCRLPSYKHLVSCELDT >KJB19939 pep chromosome:Graimondii2_0_v6:3:37470471:37475676:1 gene:B456_003G125500 transcript:KJB19939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWWWYLWFSIISLFGASLGNWIHRWRNPKCNGKLPPGSMGLPLIGETLSFFVTSNSIDIHPFVAQRMKRYGSLFKTSLAGRPVVVSLDPDFNYFVLQQEDKLVELYYMDSLAKLVHQDDMKNIGGDFHKYFRRVILSHFGHEPLKHKLLSQFEDVINHELQDWSKLPQVDLKHQTASMLFNTASKILMSCVPEENLGHDLSDILQGLMTFPKKEKALKLTSGVLEERMNLYPTDKGDLLEKMVGDMGKEAGLTKQFVSHALFGLLIATIETIAPTITLAAKYLLDNPSALQHLTEEHERIVKKREDAKSGVSWDDYKSMTFTHYVINETLMLGNFLPGIFRRTIADIPVNGYTIPKGWVLLIIPAVLHLDPNTYEDPLAFNPWRWKNIERNTMAKKFIPFGGGNRACAGAEFSRVLVAVFLHVWFSKFRLTKIKGGDVARAPLLMFKNVFYVKVSEK >KJB19937 pep chromosome:Graimondii2_0_v6:3:37470471:37475305:1 gene:B456_003G125500 transcript:KJB19937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWWWYLWFSIISLFGASLGNWIHRWRNPKCNGKLPPGSMGLPLIGETLSFFVTSNSIDIHPFVAQRMKRYGSLFKTSLAGRPVVVSLDPDFNYFVLQQEDKLVELYYMDSLAKLVHQDDMKNIGGDFHKYFRRVILSHFGHEPLKHKLLSQFEDVINHELQDWSKLPQVDLKHQTASMLFNTASKILMSCVPEENLGHDLSDILQGLMTFPVYFPGTAFYKCLKKKEKALKLTSGVLEERMNLYPTDKGDLLEKMVGDMGKEAGLTKQFVSHALFGLLIATIETIAPTITLAAKYLLDNPSALQHLTEEHERIVKKREDAKSGVSWDDYKSMTFTHYVINETLMLGNFLPGIFRRTIADIPVNGYTIPKGWVLLIIPAVLHLDPNTYEDPLAFNPWRWKV >KJB19935 pep chromosome:Graimondii2_0_v6:3:37470381:37474892:1 gene:B456_003G125500 transcript:KJB19935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWWWYLWFSIISLFGASLGNWIHRWRNPKCNGKLPPGSMGLPLIGETLSFFVTSNSIDIHPFVAQRMKRYGSLFKTSLAGRPVVVSLDPDFNYFVLQQEDKLVELYYMDSLAKLVHQDDMKNIGGDFHKYFRRVILSHFGHEPLKHKLLSQFEDVINHELQDWSKLPQVDLKHQTASMLFNTASKILMSCVPEENLGHDLSDILQGLMTFPVYFPGTAFYKCLKKKEKALKLTSGVLEERMNLYPTDKGDLLEKMVGDMGKEAGLTKQFVSHALFGLLIATIETIAPTITLAAKYLLDNPSALQHLTEEHERIVKKREDAKSGVSWDDYKSMTFTHYVISFLGSSEEP >KJB19938 pep chromosome:Graimondii2_0_v6:3:37470471:37475676:1 gene:B456_003G125500 transcript:KJB19938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWWWYLWFSIISLFGASLGNWIHRWRNPKCNGKLPPGSMGLPLIGETLSFFVTSNSIDIHPFVAQRMKRYGSLFKTSLAGRPVVVSLDPDFNYFVLQQEDKLVELYYMDSLAKLVHQDDMKNIGGDFHKYFRRVILSHFGHEPLKHKLLSQFEDVINHELQDWSKLPQVDLKHQTASMLFNTASKILMSCVPEENLGHDLSDILQGLMTFPVYFPGTAFYKCLKKKEKALKLTSGVLEERMNLYPTDKGDLLEKMVGDMGKEAGLTKQFVSHALFGLLIATIETIAPTITLAAKYLLDNPSALQHLTEEHERIVKKREDAKSGVSWDDYKSMTFTHYVINETLMLGNFLPGIFRRTIADIPVNGYTIPKGWVLLIIPAVLHLDPNTYEDPLAFNPWRWKEQSSAGF >KJB19940 pep chromosome:Graimondii2_0_v6:3:37470471:37475676:1 gene:B456_003G125500 transcript:KJB19940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWWWYLWFSIISLFGASLGNWIHRWRNPKCNGKLPPGSMGLPLIGETLSFFVTSNSIDIHPFVAQRMKRYGSLFKTSLAGRPVVVSLDPDFNYFVLQQEDKLVELYYMDSLAKLVHQDDMKNIGGDFHKYFRRVILSHFGHEPLKHKLLSQFEDVINHELQDWSKLPQVDLKHQTASMLFNTASKILMSCVPEENLGHDLSDILQGLMTFPVYFPGTAFYKCLKKKEKALKLTSGVLEERMNLYPTDKGDLLEKMVGDMGKEAGLTKQFVSHALFGLLIATIETIAPTITLAAKYLLDNPSALQHLTVINETLMLGNFLPGIFRRTIADIPVNGYTIPKGWVLLIIPAVLHLDPNTYEDPLAFNPWRWKNIERNTMAKKFIPFGGGNRACAGAEFSRVLVAVFLHVWFSKFRLTKIKGGDVARAPLLMFKNVFYVKVSEK >KJB19936 pep chromosome:Graimondii2_0_v6:3:37470471:37471627:1 gene:B456_003G125500 transcript:KJB19936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWWWYLWFSIISLFGASLGNWIHRWRNPKCNGKLPPGSMGLPLIGETLSFFVTSNSIDIHPFVAQRMKRYGSLFKTSLAGRPVVVSLDPDFNYFVLQQEDKLVELYYMDSLAKLVHQDDMKNIGGDFHKYFRRVILSHFGHEPLKHKLLSQFEDVINHELQDWSKLPQVDLKHQTASMLFNTASKILMSCVPEENLGHDLSDILQGLMTFPVYFPGTAFYKCLKANISIHGKLHPYFLIQFLSWYMNK >KJB18722 pep chromosome:Graimondii2_0_v6:3:13636797:13638164:-1 gene:B456_003G067000 transcript:KJB18722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFGKVFLFLKDYGSKRRMDTNDSLRVASLWHSMHAISQQLSPNTGCSEIELLEADTFDLHCFQSINGTKFFVVCEPGTQHMEALLKVVYELYTDYVLNNPFYETKMPIRCELFDINLTHAV >KJB18723 pep chromosome:Graimondii2_0_v6:3:13636797:13639260:-1 gene:B456_003G067000 transcript:KJB18723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNDSLRVASLWHSMHAISQQLSPNTGCSEIELLEADTFDLHCFQSINGTKFFVVCEPGTQHMEALLKVVYELYTDYVLNNPFYETKMPIRCELFDINLTHAV >KJB17413 pep chromosome:Graimondii2_0_v6:3:894051:896221:-1 gene:B456_003G013900 transcript:KJB17413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 10 [Source:Projected from Arabidopsis thaliana (AT2G18290) UniProtKB/Swiss-Prot;Acc:Q9ZPW2] MATESSESEEEGKITGGNQHLIVEDDLREMGKKAAWSVSSCKTGNGVSSLRDDNLETYWQSDGAQPHLVNIQFQKKVKLQLDESYTPSKISIRAGDGFHNLKEIKTVELVKPTGWVYLSLSGNDPRETFVSTFMLQIVVLSNHLNGRDTHVRQIKVYGPRPNPIPHQPFQFTSREFITYSTVR >KJB17412 pep chromosome:Graimondii2_0_v6:3:894392:896097:-1 gene:B456_003G013900 transcript:KJB17412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 10 [Source:Projected from Arabidopsis thaliana (AT2G18290) UniProtKB/Swiss-Prot;Acc:Q9ZPW2] MATESSESEEEGKITGGNQHLIVEDDLREMGKKAAWSVSSCKTGNGVSSLRDDNLETYWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTVELVKPTGWVYLSLSGNDPRETFVSTFMLQIVVLSNHLNGRDTHVRQIKVYGPRPNPIPHQPFQFTSREFITYSTVR >KJB17754 pep chromosome:Graimondii2_0_v6:3:878671:881212:1 gene:B456_003G013600 transcript:KJB17754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSENDGNVTPIATWKTEFSRKFQYFLDRSTPHTMERWLGTLAVAMIYVLRVYYVQGFYVISYGLGIYVLNLLIGFLSPKVDPELEALDGASLPTKGSDEFKPFIRRLPEFKFWYAITKAFVVAFVLTFFSMLDVPVFWPILLCYWIFLFFLTMKRQILHMIKYKYVPFDIGKQRYTNKKSASSSGTPRN >KJB17753 pep chromosome:Graimondii2_0_v6:3:878799:880576:1 gene:B456_003G013600 transcript:KJB17753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSENDGNVTPIATWKTEFSRKFQYFLDRSTPHTMERWLGTLAVAMIYVLRVYYVQGFYVISYGLGIYVLNLLIGFLSPKVDPELEALDGASLPTKGSDEFKPFIRRLPEFKFWYAITKAFVVAFVLTFFSMLDVPVFWPILLCYWIFLFFLTMKRQILHMIKYKYVPFDIGKQVLV >KJB18687 pep chromosome:Graimondii2_0_v6:3:13077483:13080274:1 gene:B456_003G065200 transcript:KJB18687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAVEQEQESKKLTSTILDFKGSNDNKGEGDNGLNSNVSIEEMVAAFQAREKQLLNEMDQMKEKFAKLLLGEDMSGGGTGVSSALALSNAITNLAASVFGEQSRLEPMSTERKARWRKEMAWLLSVTDHIVELVPSHQKNKDGSDMEIMVTKQRNDLLMNIPALRTLDRMLIDGLDTFKDQTEFYYLSKDASDSEKGNNKREDDKWWLPTVKVPPDGLSEAARKNLQVQKECVTQVLKAAMAINAQLLSEMEIPESYIESLPKNGRASLGDSIYKSITDEFFDPDQFLSSMDLSSEHKILDLKNRIEASIVIWKRKMHQKDSKSWGSGVSMEKRELFEERVEIILHLIKHRFPGLPQSSLDISKIEYNKDVGQAVLESYSRILESLAHTVMSRIEDVIRADLLTQDPPESNPTKDDSRPNSVHAGDDSESTNAQTLSDLLTWTTDQNDNEDQTEPQLETPKENNDQKHLTKLNMLITKKISYLESLGGLRSPTSRH >KJB18619 pep chromosome:Graimondii2_0_v6:3:12180667:12185304:-1 gene:B456_003G063800 transcript:KJB18619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRRAVESYWRSRMIDGATSDEDKVTPVYKLEEICELLRSSHVSIVKEVSEFILKRLDHKSPIVKQKALRLIKYAVGKSGVEFRREMQRNSAVVRELFHYRGQPNPLKGDALNKAVRDTAHESISAIFAEENNASKPPPADDLNKRIQGFGNTNFEMPSDDKKSFLSEVVGIGSASLKQGISSFTQGHSLRKNDNGNNKSPTLRRSLTKEIDHSDRYEPVTLHTDTQRVSGNSASGPWGQDSIVLQTETTNGESNSNNESKTREERLLETIVTSGGVRLQPTRDAIQAFLVEAAKLDALALSHALESKLLSPMWQVRMKAVCVLESILRKKEDEHFLVVASYFTENKEVVLRCSESPQASLREKANKVLVLLNGEQPGGLASTSEKFLKAETTPVQMPDLIDTGDPDDYNGLDSSTKDQNDQNTAVRTATPLIDDLLGDGIGTNLSTSELKNDDDPFADVSFHTTEGRENVDDLFSGMSVDDKSAMSDNCVTANEKSELIDIFGTNPAAPIEPANKKTDINDLMAGLSMNENPPSLKQQGIFSEAHHEDIFADVNAHSSYQASNDALSGLLGSQATGMNSNTTFRLGNMPFAIPPGLMLNPALSQPMNYGAMGSFFAQQQLLATMSNLQHFGNLNAQNAGFNNVSSGSNGGSPLPDIFQSNFPSQTPSSMMNSSKKEDTRAFDFISDHLAAARDPKRTV >KJB18620 pep chromosome:Graimondii2_0_v6:3:12176378:12185304:-1 gene:B456_003G063800 transcript:KJB18620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRRAVESYWRSRMIDGATSDEDKVTPVYKLEEICELLRSSHVSIVKEVSEFILKRLDHKSPIVKQKALRLIKYAVGKSGVEFRREMQRNSAVVRELFHYRGQPNPLKGDALNKAVRDTAHESISAIFAEENNASKPPPADDLNKRIQGFGNTNFEMPSDDKKSFLSEVVGIGSASLKQGISSFTQGHSLRKNDNGNNKSPTLRRSLTKEIDHSDRYEPVTLHTDTQRVSGNSASGPWGQDSIVLQTETTNGESNSNNESKTREERLLETIVTSGGVRLQPTRDAIQAFLVEAAKLDALALSHALESKLLSPMWQVRMKAVCVLESILRKKEDEHFLVVASYFTENKEVVLRCSESPQASLREKANKVLVLLNGEQPGGLASTSEKFLKAETTPVQMPDLIDTGDPDDYNGLDSSTKDQNDQNTAVRTATPLIDDLLGDGIGTNLSTSELKNDDDPFADVSFHTTEGRENVDDLFSGMSVDDKSAMSDNCVTANEKSELIDIFGTNPAAPIEPANKKTDINDLMAGLSMNENPPSLKQQGIFSEAHHEDIFADVNAHSSYQASNDALSGLLGSQATGMNSNTTFRLGNMPFAIPPGLMLNPALSQPMNYGAMGSFFAQQQLLATMSNLQHFGNLNAQNAGFNNVSSGSNGGSPLPDIFQSNFPSQTPSSMMNSSKKEDTRAFDFISDHLAAARDPKRTV >KJB18618 pep chromosome:Graimondii2_0_v6:3:12180157:12185401:-1 gene:B456_003G063800 transcript:KJB18618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRRAVESYWRSRMIDGATSDEDKVTPVYKLEEICELLRSSHVSIVKEVSEFILKRLDHKSPIVKQKALRLIKYAVGKSGVEFRREMQRNSAVVRELFHYRGQPNPLKGDALNKAVRDTAHESISAIFAEENNASKPPPADDLNKRIQGFGNTNFEMPSDDKKSFLSEVVGIGSASLKQGISSFTQGHSLRKNDNGNNKSPTLRRSLTKEIDHSDRYEPVTLHTDTQRVSGNSASGPWGQDSIVLQTETTNGESNSNNESKTREERLLETIVTSGGVRLQPTRDAIQAFLVEAAKLDALALSHALESKLLSPMWQVRMKAVCVLESILRKKEDEHFLVVASYFTENKEVVLRCSESPQASLREKANKVLVLLNGEQPGGLASTSEKFLKAETTPVQMPDLIDTGDPDDYNGLDSSTKDQNDQNTAVRTATPLIDDLLGDGIGTNLSTSELKNDDDPFADVSFHTTEGRENVDDLFSGMSVDDKSAMSDNCVTANEKSELIDIFGTNPAAPIEPANKKTDINDLMAGLSMNENPPSLKQQGIFSEAHHEDIFADVNAHSSYQASNDALSGLLGSQATGMNSNTTFRLGNMPFAIPPGLMLNPALSQPMNYGAMGSFFAQQQLLATMSNLQHFGNLNAQNAGFNNVSSGSNGGSPLPDIFQSNFPSQTPSSMMNSSKKEDTRAFDFISDHLAAARDPKRTV >KJB18621 pep chromosome:Graimondii2_0_v6:3:12180713:12185304:-1 gene:B456_003G063800 transcript:KJB18621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRRAVESYWRSRMIDGATSDEDKVTPVYKLEEICELLRSSHVSIVKEVSEFILKRLDHKSPIVKQKALRLIKYAVGKSGVEFRREMQRNSAVVRELFHYRGQPNPLKGDALNKAVRDTAHESISAIFAEENNASKPPPADDLNKRIQGFGNTNFEMPSDDKKSFLSEVVGIGSASLKQGISSFTQGHSLRKNDNGNNKSPTLRRSLTKEIDHSDRYEPVTLHTDTQRVSGNSASGPWGQDSIVLQTETTNGESNSNNESKTREERLLETIVTSGGVRLQPTRDAIQAFLVEAAKLDALALSHALESKLLSPMWQVRMKAVCVLESILRKKEDEHFLVVASYFTENKEVVLRCSESPQASLREKANKVLVLLNGEQPGGLASTSEKFLKAETTPVQMPDLIDTGDPDDYNGLDSSTKDQNDQNTAVRTATPLIDDLLGDGIGTNLSTSELKNDDDPFADVSFHTTEGRENVDDLFSGMSVDDKSAMSDNCVTANEKSELIDIFGTNPAAPIEPANKKTDINDLMAGLSMNENPPSLKQQGIFSEAHHEDIFADVNAHSSYQASNDALSGLLGSQATGMNSNTTFRLGNMPFAIPPGLMLNPALSQPMNYGAMGSFFAQQQLLATMSNLQHFGNLNAQNAGFNNVSSGSNGGSPLPDIFQSNFPSQTPSSMMNSSKKEDTRAFDFISVSLSSSIYYFQIVLYQFPLHMMSYILEVIVPLLIKIYEVLPGITFNRYASPIGLFVDYTYNNLVSGFNLAGPSCSCS >KJB20159 pep chromosome:Graimondii2_0_v6:3:39382664:39383584:1 gene:B456_003G135700 transcript:KJB20159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKLLIALFVFQVFFPCHMSIQAAPENSDLFREYIGAEFNNVKFTDVPIDSNVEFHFIRSFAIDYDSTSGSPSPTNGKFNVFCDSDNLSPSQVSSIKSTHSNVKVALSLGGDSVGDSYAYFDPSSVDSWVSNAVSSLTDIIQEYHLDGIDIDYEHFNADPDTFAECIGKLVKTLKDNGVISFASIAPFDDDDVQSHYKALWQSYGDLIDYVNFQFYAYDQGTTVSQFISYFNTQSSNYNGGKVLASFISDGSGGLTPENGFFTACSRLKGENKLHGIFVWSADDSKRNGFRYEKQSQALLAMSH >KJB20516 pep chromosome:Graimondii2_0_v6:3:42120599:42121311:1 gene:B456_003G152900 transcript:KJB20516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRAGPLRALVGVQFREGGDADSVPRVFIKTLQDRVLKQEQQEAMLKRWPPALVFALESDHSPFFSMPTLLFAFLLKAVASIKAAT >KJB18745 pep chromosome:Graimondii2_0_v6:3:14293346:14299687:1 gene:B456_003G068000 transcript:KJB18745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRKRGSKWNSKEEQQYSLENVRDKAWSAKGSAPFHDRESEHGYFSAEVGRKDKWSVVGVSDMMKSKHGMPSRESLHGSRVGEKDDNNDFVKNWKTATPWDGDETYSMKMSPGLDDWRLKKRHSSPKGDWNGSHRSRSRSWSRSRSISRSRSPVRSVRWQSGLHERTINRSGVSTQLCKDFMAGRCRRGSQCHFLHQDIQSREDGWDNRQKRAGVSKYITHDDCKDYLVKSGRSTDCCTDYLKGNCRRGASCRFAHDGATDGFSRRTTNEISSERENTKRNRIRTPDRDGEREARRSSDIPCKFFASGNCHNGKYCRFSHHGQARASPDRRSRGDRGVWCPSSINVDRLQDGPTLRDTDASFNVNKSWNAPERSYANVINEAEIPWTGPKWSDLDASNDANNSWTGSKWSDAEASNDANNSWTGSKWSDTGAYLGATKFSKDTIGKKGASEPRFSNWSMDEKWQHNYDVSGKNIEADVQYKKVDIDKDEQTPRKIENSGVNIGVSEPKGAEESLGDMEMSPEWNYKIQSSIKKELSHGSKPALVETLLHSQERNITEAASDQVHDGLAALPMLTEKSNVHQDHLMRCSSGVALPCVSNALNTTSNSHIVLNFSINNTPLPSFDQPGPSPSTLPCSNLNAVGQSQVTIPSNEVTMEDAQNGLLFQEEKRSNELNIGDANVLHGNSGSKSTQTMVSNEQLTQLTNLSASLAQLFGQGQQLPLLHAALNAHNGMQVTSIANSGGHIEPDSMPNLQPDQDITFPKQYDPISDSIEPAKKQGTDTKPLGFSIQPVAEKNTDGQPELSANKLLPSSLLCSNNDDDYHNDLSSRREPDFNSHKPNQLEPVASSEAKKKNEGVEETKKAEGENGPSESGDADDKTDEAKKNKDTKGIRAFKFALVEFVKDLLKPTWKEGQIGKEAYKNIVKKVVDKVIATVQGTNIPQTPEKIDQYLSFSKPKLSKLVQAYVEKFQKS >KJB18750 pep chromosome:Graimondii2_0_v6:3:14294637:14299687:1 gene:B456_003G068000 transcript:KJB18750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRKRGSKWNSKEEQQYSLENVRDKAWSAKGSAPFHDRESEHGYFSAEVGRKDKWSVVGVSDMMKSKHGMPSRESLHGSRVGEKDDNNDFVKNWKTATPWDGDETYSMKMSPGLDDWRLKKRHSSPKGDWNGSHRSRSRSWSRSRSISRSRSPVRSVRWQSGLHERTINRSGVSTQLCKDFMAGRCRRGSQCHFLHQDIQSREDGWDNRQKRAGVSKYITHDDCKDYLVKSGRSTDCCTDYLKGNCRRGASCRFAHDGATDGFSRRTTNEISSERENTKRNRIRTPDRDGEREARRSSDIPCKFFASGNCHNGKYCRFSHHGQARASPDRRSRGDRGVWCPSSINVDRLQDGPTLRDTDASFNVNKSWNAPERSYANVINEAEIPWTGPKWSDLDASNDANNSWTGSKWSDAEASNDANNSWTGSKWSDTGAYLGATKFSKDTIGKKGASEPRFSNWSMDEKWQHNYDVSGKNIEADVQYKKVDIDKDEQTPRKIENSGVNIGVSEPKGAEESLGDMEMSPEWNYKIQSSIKKELSHGSKPALVETLLHSQERNITEAASDQVHDGLAALPMLTEKSNVHQDHLMRCSSGVALPCVSNALNTTSNSHIVLNFSINNTPLPSFDQPGPSPSTLPCSNLNAVGQSQVTIPSNEVTMEDAQNGLLFQEEKRSNELNIGDANVLHGNSGSKSTQTMVSNEQLTQLTNLSASLAQLFGQGQQLPLLHAALNAHNGMQVTSIANSGGHIEPDSMPNLQPDQDITFPKQYDPISDSIEPAKKQGTDTKPLGFSIQPVAEKNTDGQPELSANKLLPSSLLCSNNDDDYHNDLSSRREPDFNSHKPNQLEPVASSEAKKKNEGVEETKKAEGENGPSESGDADDKTDEAKKNKDTKGIRAFKFALVEFVKDLLKPTWKEGQIGKEAYKNIVKKVVDKVIATVQGTNIPQTPEKIDQYLSFSKPKLSKLVQAYVEKFQKS >KJB18744 pep chromosome:Graimondii2_0_v6:3:14290666:14299687:1 gene:B456_003G068000 transcript:KJB18744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRKRGSKWNSKEEQQYSLENVRDKAWSAKGSAPFHDRESEHGYFSAEVGRKDKWSVVGVSDMMKSKHGMPSRESLHGSRVGEKDDNNDFVKNWKTATPWDGDETYSMKMSPGLDDWRLKKRHSSPKGDWNGSHRSRSRSWSRSRSISRSRSPVRSVRWQSGLHERTINRSGVSTQLCKDFMAGRCRRGSQCHFLHQDIQSREDGWDNRQKRAGVSKYITHDDCKDYLVKSGRSTDCCTDYLKGNCRRGASCRFAHDGATDGFSRRTTNEISSERENTKRNRIRTPDRDGEREARRSSDIPCKFFASGNCHNGKYCRFSHHGQARASPDRRSRGDRGVWCPSSINVDRLQDGPTLRDTDASFNVNKSWNAPERSYANVINEAEIPWTGPKWSDLDASNDANNSWTGSKWSDAEASNDANNSWTGSKWSDTGAYLGATKFSKDTIGKKGASEPRFSNWSMDEKWQHNYDVSGKNIEADVQYKKVDIDKDEQTPRKIENSGVNIGVSEPKGAEESLGDMEMSPEWNYKIQSSIKKELSHGSKPALVETLLHSQERNITEAASDQVHDGLAALPMLTEKSNVHQDHLMRCSSGVALPCVSNALNTTSNSHIVLNFSINNTPLPSFDQPGPSPSTLPCSNLNAVGQSQVTIPSNEVTMEDAQNGLLFQEEKRSNELNIGDANVLHGNSGSKSTQTMVTSIANSGGHIEPDSMPNLQPDQDITFPKQYDPISDSIEPAKKQGTDTKPLGFSIQPVAEKNTDGQPELSANKLLPSSLLCSNNDDDYHNDLSSRREPDFNSHKPNQLEPVASSEAKKKNEGVEETKKAEGENGPSESGDADDKTDEAKKNKDTKGIRAFKFALVEFVKDLLKPTWKEGQIGKEAYKNIVKKVVDKVIATVQGTNIPQTPEKIDQYLSFSKPKLSKLVQAYVEKFQKS >KJB18746 pep chromosome:Graimondii2_0_v6:3:14290741:14299687:1 gene:B456_003G068000 transcript:KJB18746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRKRGSKWNSKEEQQYSLENVRDKAWSAKGSAPFHDRESEHGYFSAEVGRKDKWSVVGVSDMMKSKHGMPSRESLHGSRVGEKDDNNDFVKNWKTATPWDGDETYSMKMSPGLDDWRLKKRHSSPKGDWNGSHRSRSRSWSRSRSISRSRSPVRSVRWQSGLHERTINRSGVSTQLCKDFMAGRCRRGSQCHFLHQDIQSREDGWDNRQKRAGVSKYITHDDCKDYLVKSGRSTDCCTDYLKGNCRRGASCRFAHDGATDGFSRRTTNEISSERENTKRNRIRTPDRDGEREARRSSDIPCKFFASGNCHNGKYCRFSHHGQARASPDRRSRGDRGVWCPSSINVDRLQDGPTLRDTDASFNVNKSWNAPERSYANVINEAEIPWTGPKWSDLDASNDANNSWTGSKWSDAEASNDANNSWTGSKWSDTGAYLGATKFSKDTIGKKGASEPRFSNWSMDEKWQHNYDVSGKNIEADVQYKKVDIDKDEQTPRKIENSGVNIGVSEPKGAEESLGDMEMSPEWNYKIQSSIKKELSHGSKPALVETLLHSQERNITEAASDQVHDGLAALPMLTEKSNVHQDHLMRCSSGVALPCVSNALNTTSNSHIVLNFSINNTPLPSFDQPGPSPSTLPCSNLNAVGQSQVTIPSNEVTMEDAQNGLLFQEEKRSNELNIGDANVLHGNSGSKSTQTMVSNEQLTQLTNLSASLAQLFGQGQQLPLLHAALNAHNGMQVTSIANSGGHIEPDSMPNLQPDQDITFPKQYDPISDSIEPAKKQGTDTKPLGFSIQPVAEKNTDGQPELSANKLLPSSLLCSNNDDDYHNDLSSRREPDFNSHKPNQLEPVASSEAKKKNEGVEETKKAEGENGPSESGDADDKTDEAKKNKDTKGIRAFKFALVEFVKDLLKPTWKEGQIGKEAYKNIVKKVVDKVIATVQGTNIPQTPEKIDQYLSFSKPKLSKLVQAYVEKFQKS >KJB18743 pep chromosome:Graimondii2_0_v6:3:14293355:14299687:1 gene:B456_003G068000 transcript:KJB18743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRKRGSKWNSKEEQQYSLENVRDKAWSAKGSAPFHDRESEHGYFSAEVGRKDKWSVVGVSDMMKSKHGMPSRESLHGSRVGEKDDNNDFVKNWKTATPWDGDETYSMKMSPGLDDWRLKKRHSSPKGDWNGSHRSRSRSWSRSRSISRSRSPVRSVRWQSGLHERTINRSGVSTQLCKDFMAGRCRRGSQCHFLHQDIQSREDGWDNRQKRAGVSKYITHDDCKDYLVKSGRSTDCCTDYLKGNCRRGASCRFAHDGATDGFSRRTTNEISSERENTKRNRIRTPDRDGEREARRSSDIPCKFFASGNCHNGKYCRFSHHGQARASPDRRSRGDRGVWCPSSINVDRLQDGPTLRDTDASFNVNKSWNAPERSYANVINEAEIPWTGPKWSDLDASNDANNSWTGSKWSDAEASNDANNSWTGSKWSDTGAYLGATKFSKDTIGKKGASEPRFSNWSMDEKWQHNYDVSGKNIEADVQYKKVDIDKDEQTPRKIENSGVNIGVSEPKGAEESLGDMEMSPEWNYKIQSSIKKELSHGSKPALVETLLHSQERNITEAASDQVHDGLAALPMLTEKSNVHQDHLMRCSSGVALPCVSNALNTTSNSHIVLNFSINNTPLPSFDQPGPSPSTLPCSNLNAVGQSQVTIPSNEVTMEDAQNGLLFQEEKRSNELNIGDANVLHGNSGSKSTQTMVTSIANSGGHIEPDSMPNLQPDQDITFPKQYDPISDSIEPAKKQGTDTKPLGFSIQPVAEKNTDGQPELSANKLLPSSLLCSNNDDDYHNDLSSRREPDFNSHKPNQLEPVASSEAKKKNEGVEETKKAEGENGPSESGDADDKTDEAKKNKDTKGIRAFKFALVEFVKDLLKPTWKEGQIGKEAYKNIVKKVVDKVIATVQGTNIPQTPEKIDQYLSFSKPKLSKLVQAYVEKFQKS >KJB18751 pep chromosome:Graimondii2_0_v6:3:14295942:14299687:1 gene:B456_003G068000 transcript:KJB18751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRCRRGSQCHFLHQDIQSREDGWDNRQKRAGVSKYITHDDCKDYLVKSGRSTDCCTDYLKGNCRRGASCRFAHDGATDGFSRRTTNEISSERENTKRNRIRTPDRDGEREARRSSDIPCKFFASGNCHNGKYCRFSHHGQARASPDRRSRGDRGVWCPSSINVDRLQDGPTLRDTDASFNVNKSWNAPERSYANVINEAEIPWTGPKWSDLDASNDANNSWTGSKWSDAEASNDANNSWTGSKWSDTGAYLGATKFSKDTIGKKGASEPRFSNWSMDEKWQHNYDVSGKNIEADVQYKKVDIDKDEQTPRKIENSGVNIGVSEPKGAEESLGDMEMSPEWNYKIQSSIKKELSHGSKPALVETLLHSQERNITEAASDQVHDGLAALPMLTEKSNVHQDHLMRCSSGVALPCVSNALNTTSNSHIVLNFSINNTPLPSFDQPGPSPSTLPCSNLNAVGQSQVTIPSNEVTMEDAQNGLLFQEEKRSNELNIGDANVLHGNSGSKSTQTMVSNEQLTQLTNLSASLAQLFGQGQQLPLLHAALNAHNGMQVTSIANSGGHIEPDSMPNLQPDQDITFPKQYDPISDSIEPAKKQGTDTKPLGFSIQPVAEKNTDGQPELSANKLLPSSLLCSNNDDDYHNDLSSRREPDFNSHKPNQLEPVASSEAKKKNEGVEETKKAEGENGPSESGDADDKTDEAKKNKDTKGIRAFKFALVEFVKDLLKPTWKEGQIGKEAYKNIVKKVVDKVIATVQGTNIPQTPEKIDQYLSFSKPKLSKLVQAYVEKFQKS >KJB18748 pep chromosome:Graimondii2_0_v6:3:14290730:14299687:1 gene:B456_003G068000 transcript:KJB18748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRKRGSKWNSKEEQQYSLENVRDKAWSAKGSAPFHDRESEHGYFSAEVGRKDKWSVVGVSDMMKSKHGMPSRESLHGSRVGEKDDNNDFVKNWKTATPWDGDETYSMKMSPGLDDWRLKKRHSSPKGDWNGSHRSRSRSWSRSRSISRSRSPVRSVRWQSGLHERTINRSGVSTQLCKDFMAGRCRRGSQCHFLHQDIQSREDGWDNRQKRAGVSKYITHDDCKDYLVKSGRSTDCCTDYLKGNCRRGASCRFAHDGATDGFSRRTTNEISSERENTKRNRIRTPDRDGEREARRSSDIPCKFFASGNCHNGKYCRFSHHGQARASPDRRSRGDRGVWCPSSINVDRLQDGPTLRDTDASFNVNKSWNAPERSYANVINEAEIPWTGPKWSDLDASNDANNSWTGSKWSDAEASNDANNSWTGSKWSDTGAYLGATKFSKDTIGKKGASEPRFSNWSMDEKWQHNYDVSGKNIEADVQYKKVDIDKDEQTPRKIENSGVNIGVSEPKGAEESLGDMEMSPEWNYKIQSSIKKELSHGSKPALVETLLHSQERNITEAASDQVHDGLAALPMLTEKSNVHQDHLMRCSSGVALPCVSNALNTTSNSHIVLNFSINNTPLPSFDQPGPSPSTLPCSNLNAVGQSQVTIPSNEVTMEDAQNGLLFQEEKRSNELNIGDANVLHGNSGSKSTQTMVTSIANSGGHIEPDSMPNLQPDQDITFPKQYDPISDSIEPAKKQGTDTKPLGFSIQPVAEKNTDGQPELSANKLLPSSLLCSNNDDDYHNDLSSRREPDFNSHKPNQLEPVASSEAKKKNEGVEETKKAEGENGPSESGDADDKTDEAKKNKDTKGIRAFKFALVEFVKDLLKPTWKEGQIGKEAYKNIVKKVVDKVIATVQGTNIPQTPEKIDQYLSFSKPKLSKLVQAYVEKFQKS >KJB18749 pep chromosome:Graimondii2_0_v6:3:14290666:14299687:1 gene:B456_003G068000 transcript:KJB18749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRKRGSKWNSKEEQQYSLENVRDKAWSAKGSAPFHDRESEHGYFSAEVGRKDKWSVVGVSDMMKSKHGMPSRESLHGSRVGEKDDNNDFVKNWKTATPWDGDETYSMKMSPGLDDWRLKKRHSSPKGDWNGSHRSRSRSWSRSRSISRSRSPVRSVRWQSGLHERTINRSGVSTQLCKDFMAGRCRRGSQCHFLHQDIQSREDGWDNRQKRAGVSKYITHDDCKDYLVKSGRSTDCCTDYLKGNCRRGASCRFAHDGATDGFSRRTTNEISSERENTKRNRIRTPDRDGEREARRSSDIPCKFFASGNCHNGKYCRFSHHGQARASPDRRSRGDRGVWCPSSINVDRLQDGPTLRDTDASFNVNKSWNAPERSYANVINEAEIPWTGPKWSDLDASNDANNSWTGSKWSDAEASNDANNSWTGSKWSDTGAYLGATKFSKDTIGKKGASEPRFSNWSMDEKWQHNYDVSGKNIEADVQYKKVDIDKDEQTPRKIENSGVNIGVSEPKGAEESLGDMEMSPEWNYKIQSSIKKELSHGSKPALVETLLHSQERNITEAASDQVHDGLAALPMLTEKSNVHQDHLMRCSSGVALPCVSNALNTTSNSHIVLNFSINNTPLPSFDQPGPSPSTLPCSNLNAVGQSQVTIPSNEVTMEDAQNGLLFQEEKRSNELNIGDANVLHGNSGSKSTQTMVSNEQLTQLTNLSASLAQLFGQGQQLPLLHAALNAHNGMQVTSIANSGGHIEPDSMPNLQPDQDITFPKQYDPISDSIEPAKKQGTDTKPLGFSIQPVAEKNTDGQPELSANKLLPSSLLCSNNDDDYHNDLSSRREPDFNSHKPNQLEPVASSEAKKKNEGVEETKKAEGENGPSESGDADDKTDEAKKNKDTKGIRAFKFALVEFVKDLLKPTWKEGQIGKEAYKNIVKKVVDKVIATVQGTNIPQTPEKIDQYLSFSKPKLSKLVQAYVEKFQKS >KJB18742 pep chromosome:Graimondii2_0_v6:3:14290730:14299687:1 gene:B456_003G068000 transcript:KJB18742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRKRGSKWNSKEEQQYSLENVRDKAWSAKGSAPFHDRESEHGYFSAEVGRKDKWSVVGVSDMMKSKHGMPSRESLHGSRVGEKDDNNDFVKNWKTATPWDGDETYSMKMSPGLDDWRLKKRHSSPKGDWNGSHRSRSRSWSRSRSISRSRSPVRSVRWQSGLHERTINRSGVSTQLCKDFMAGRCRRGSQCHFLHQDIQSREDGWDNRQKRAGVSKYITHDDCKDYLVKSGRSTDCCTDYLKGNCRRGASCRFAHDGATDGFSRRTTNEISSERENTKRNRIRTPDRDGEREARRSSDIPCKFFASGNCHNGKYCRFSHHGQARASPDRRSRGDRGVWCPSSINVDRLQDGPTLRDTDASFNVNKSWNAPERSYANVINEAEIPWTGPKWSDLDASNDANNSWTGSKWSDAEASNDANNSWTGSKWSDTGAYLGATKFSKDTIGKKGASEPRFSNWSMDEKWQHNYDVSGKNIEADVQYKKVDIDKDEQTPRKIENSGVNIGVSEPKGAEESLGDMEMSPEWNYKIQSSIKKELSHGSKPALVETLLHSQERNITEAASDQVHDGLAALPMLTEKSNVHQDHLMRCSSGVALPCVSNALNTTSNSHIVLNFSINNTPLPSFDQPGPSPSTLPCSNLNAVGQSQVTIPSNEVTMEDAQNGLLFQEEKRSNELNIGDANVLHGNSGSKSTQTMVSNEQLTQLTNLSASLAQLFGQGQQLPLLHAALNAHNGMQVTSIANSGGHIEPDSMPNLQPDQDITFPKQYDPISDSIEPAKKQGTDTKPLGFSIQPVAEKNTDGQPELSANKLLPSSLLCSNNDDDYHNDLSSRREPDFNSHKPNQLEPVASSEAKKKNEGVEETKKAEGENGPSESGDADDKTDEAKKNKDTKGIRAFKFALVEFVKDLLKPTWKEGQIGKEAYKNIVKKVVDKVIATVQGTNIPQTPEKIDQYLSFSKPKLSKLVQAYVEKFQKS >KJB18747 pep chromosome:Graimondii2_0_v6:3:14295037:14299687:1 gene:B456_003G068000 transcript:KJB18747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRKRGSKWNSKEEQQYSLENVRDKAWSAKGSAPFHDRESEHGYFSAEVGRKDKWSVVGVSDMMKSKHGMPSRESLHGSRVGEKDDNNDFVKNWKTATPWDGDETYSMKMSPGLDDWRLKKRHSSPKGDWNGSHRSRSRSWSRSRSISRSRSPVRSVRWQSGLHERTINRSGVSTQLCKDFMAGRCRRGSQCHFLHQDIQSREDGWDNRQKRAGVSKYITHDDCKDYLVKSGRSTDCCTDYLKGNCRRGASCRFAHDGATDGFSRRTTNEISSERENTKRNRIRTPDRDGEREARRSSDIPCKFFASGNCHNGKYCRFSHHGQARASPDRRSRGDRGVWCPSSINVDRLQDGPTLRDTDASFNVNKSWNAPERSYANVINEAEIPWTGPKWSDLDASNDANNSWTGSKWSDAEASNDANNSWTGSKWSDTGAYLGATKFSKDTIGKKGASEPRFSNWSMDEKWQHNYDVSGKNIEADVQYKKVDIDKDEQTPRKIENSGVNIGVSEPKGAEESLGDMEMSPEWNYKIQSSIKKELSHGSKPALVETLLHSQERNITEAASDQVHDGLAALPMLTEKSNVHQDHLMRCSSGVALPCVSNALNTTSNSHIVLNFSINNTPLPSFDQPGPSPSTLPCSNLNAVGQSQVTIPSNEVTMEDAQNGLLFQEEKRSNELNIGDANVLHGNSGSKSTQTMVSNEQLTQLTNLSASLAQLFGQGQQLPLLHAALNAHNGMQVTSIANSGGHIEPDSMPNLQPDQDITFPKQYDPISDSIEPAKKQGTDTKPLGFSIQPVAEKNTDGQPELSANKLLPSSLLCSNNDDDYHNDLSSRREPDFNSHKPNQLEPVASSEAKKKNEGVEETKKAEGENGPSESGDADDKTDEAKKNKDTKGIRAFKFALVEFVKDLLKPTWKEGQIGKEAYKNIVKKVVDKVIATVQGTNIPQTPEKIDQYLSFSKPKLSKLVQAYVEKFQKS >KJB20770 pep chromosome:Graimondii2_0_v6:3:43350088:43353449:-1 gene:B456_003G163800 transcript:KJB20770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPGGLGMMSSGGGSETIGGLSSGEVSVSGDQNRHLKAEIATHPLYEQLLAAHVSCLRVATPIDQLSLIDAQLAESHNILRSYASQQQGHSLSPHERQELDNFLAQYLIVLCTFKEQLQQHVRVHAVEAVMACREIENNLQALTGVTLGEGTGATMSDDEDELQMDFSLDQSGSDGHDLMGFGPLLPTESERSLMERVRQELKIELKQGFKSKIEDVREEILRKRRAGKLPGDTTTVLKNWWQQHSKWPYPTVTN >KJB20769 pep chromosome:Graimondii2_0_v6:3:43349533:43353585:-1 gene:B456_003G163800 transcript:KJB20769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPGGLGMMSSGGGSETIGGLSSGEVSVSGDQNRHLKAEIATHPLYEQLLAAHVSCLRVATPIDQLSLIDAQLAESHNILRSYASQQQGHSLSPHERQELDNFLAQYLIVLCTFKEQLQQHVRVHAVEAVMACREIENNLQALTGVTLGEGTGATMSDDEDELQMDFSLDQSGSDGHDLMGFGPLLPTESERSLMERVRQELKIELKQGFKSKIEDVREEILRKRRAGKLPGDTTTVLKNWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSQSVTSLKSKRKR >KJB18741 pep chromosome:Graimondii2_0_v6:3:14188072:14192905:1 gene:B456_003G067900 transcript:KJB18741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVHIFLPVSLVIFLAIFSSAHHDHEKSTPSQMYHACQATRFPELCETSLTQLSHLPPNTTSLQIIQSTISVSDHNLKTGQSMVKSVLDTSKGNLNRTNIATICLDILSYSSYRIKSAHYALTHGNIKDARAWMSAALGYQQNCWGALKYVNDTKLVVDTMLFLDSLVHHSSNALSMMVAYDNYGEDTTVWTPPKTERDGFYEKGSGGKLELRFNGGFPSNLKVDVTVCKNHRKCYKTVQEAVDAAPKNKKGRDQYVIKIRKGVYEETVRVPFEKKNVVFLGEGMGKTIITGALNVHQPGMNTYNSATVGVLGDGFMASGLTIRNTAGSDAHQAVAFRSDSDHSVIENCEFLGNQDTLYAQSLRQFYKNCRIQGNVDFIFGNSASVFQDCEILVGPRQTNPESSENNAVTAHGRTDPAQSTGLVFHNCVINGTEEYMRYYKKNPEVHKNYLGRPWKEYSRTIFINCKLEKIISPDGWMPWSGDVGLKTVFYGEFRNSGPGSDVSKRVPWSTQIPSQNVPTYSVQNFIQGDQWIPKSH >KJB18092 pep chromosome:Graimondii2_0_v6:3:3275335:3276940:-1 gene:B456_003G033600 transcript:KJB18092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMATLSSAFLFIFSCFLACSISVSGRPATFLEDFRITWSDSHIKQIDGGRAIQLILDQNSGCGFASKRRYLFGRVSMKIKLIPGDSAGTVTAFYMNSDTDTVRDELDFEFLGNRTGQPYTVQTNIYAHGKGDREQRVNLWFDPAADFHTYAIMWNHHHIVFYVDEVPIRVYKNNEAKNIPYPKFQPMGVYSTLWEADDWATRGGLEKIDWSKAPFLAYYKDFDIEGCPVPGPANCASNPRNWWEGTAYQALNAMEARRYRWVRMNHMIYDYCTDKSRYPVTPPECMAGI >KJB18091 pep chromosome:Graimondii2_0_v6:3:3275335:3276934:-1 gene:B456_003G033600 transcript:KJB18091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDTDTVRDELDFEFLGNRTGQPYTVQTNIYAHGKGDREQRVNLWFDPAADFHTYAIMWNHHHIVFYVDEVPIRVYKNNEAKNIPYPKFQPMGVYSTLWEADDWATRGGLEKIDWSKAPFLAYYKDFDIEGCPVPGPANCASNPRNWWEGTAYQALNAMEARRYRWVRMNHMIYDYCTDKSRYPVTPPECMAGI >KJB17631 pep chromosome:Graimondii2_0_v6:3:517045:521411:-1 gene:B456_003G008500 transcript:KJB17631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQYPTRRSSLYPEVIQTNPGIPQYSSSSSSNNLYPTIDKHDFVDNLFPDYPQYSVRHRNDHSAPSFAPTAESFQYSVSHHNGYSDPSFAPTAPSFEYSVSGHNGYSDPSPPLAPTAPPEVVEEVLIKIPGAIVHLIDKSYSVELACGEFSVIRLWQDNEIVAVLARVADEIQWPITKQGTSVKLDDSHYFFSLQFPKEADSIEDGDRKVKKSDDDGSDLLSYGLTIASKGQEDLLSQFDVILQCYCCFTVQKVNEKGEEVLDGAVSAAKNTSPEDLNSGSKKEAMEGKCAAYWTTLAPNVEEYSGKAAKMIAAGSGQLIKGILWCGDVTVDRLNRGNEVLKTRMTPAEKDAEISPETLKRIQRVKKVTTVTHKVAKGLLSGAVKVSGYFSSSVANSKLGKKIFKLLPGEMVIATLDGFDKICDAVEAAGKNVMSTSSTVTTEFVNQKYGEKAAEAASEGLDAAGHAVGTAWAAFKIRKALNPKNSFKATVLAKAAAKATVEEAKAKGKK >KJB17499 pep chromosome:Graimondii2_0_v6:3:8182884:8184360:1 gene:B456_003G053400 transcript:KJB17499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPPVENAIALYRDWKRTEFFLNHEALQQVIKAEEKQVSRSLSGTIAEVTNEENSAIRVEIPDIMSVSSCADLTLPPGAGLCIDTTHGPVFLIADSWESLNGWLDAIRLVYTIYARGKTDVLASIITS >KJB17498 pep chromosome:Graimondii2_0_v6:3:8182198:8184374:1 gene:B456_003G053400 transcript:KJB17498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLPHLKEGNMTAPPVENAIALYRDWKRTEFFLNHEALQQVIKAEEKQVSRSLSGTIAEVTNEENSAIRVEIPDIMSVSSCADLTLPPGAGLCIDTTHGPVFLIADSWESLNGWLDAIRLVYTIYARGKTDVLASIITS >KJB19958 pep chromosome:Graimondii2_0_v6:3:37564818:37572641:1 gene:B456_003G125900 transcript:KJB19958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNECILNIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSMPLVESNQALAETIGKITVHCLYHRSGCTWQGPLSECTAHCSGCVFGNSPVVCNRCGVQIVHRQVQEHAQNCLMVQPQAQKAEGGQEISASGTAAAADHTQVASQAQASQTTTSNTPVQDLNQQANPNPQSHAISQVAMVTSEQWSQQQQQQFQQYYQHYSGYDPYYQHYYPYQQQALPQQQPLQVNAKQMTGHNPVYLQTQPLPQPEVQSQPQAQPHSHLPDQVPVAAQPQNQAPTNEQQQPQLTMPPHSQIPAQTYPTTQGHSQPQVQPLPQAHPHQQYGHIPQYQQLHSQMQLPQPQLHPASQAQPQAQQLQPRPPPQPQLQRHPQPSQPLNPNLLTQTQHPAAQAVSGHQSYSQSQPHQQMQLVTLQNPLHMPAQGGLHPLQHPAEKQNSYPQQPPQIRPPQSHAPIPNQQQPGLLPLPGPMLQQAHYHSLQHPHSVQPQSVMQPPGSIMPQQYMEQQPLSTQPMGLVQPQMHQQGPFVQQQQSLQSQICPPGPPQSFLQPPHAYPQPQQNVAELHAVQPYQRPNLAGRPLTPNHGLQSQPYPLSAPGMLVKPLQLGVNQLSSYQNNMLGTNNQSGLTLQPMSEVPGDHGTLNVVEQEADVSSQGIAKKEANVLDVASSLGANMVNPNTSKYNADLKSIDEKPAGDVGDNTSGFDISTKLIQESRWTDLVLNRDTLSKNMAKGEAIEDQKDVVNGEHKVEEKANLGEEQNGKMLKERNKSQDEGTAKGPAGNELTGIPPCSQVQPGSFLQPSHSVPVVDQGILQPLQMPYGSNSNQQKPAASATLQAHPPGLPSYAQAPGLPPNQVRPQASGQTSVPPENFASSFGRGPSSYGPQGPYNQGPASGAPRMPRSETLVCPAFGTPSYGPEAHLVQQRSANMLDYHADSERLKPRQDEHLNPYPLDHVHPAGDRGQFDEDLKLFSRPSQLDTEPVPKYGSYFSSSRPLDRGPHGFAKDMGPWAHEKETRGLSFDPMIGSGHPRFFPPYHPDDAGERSVGLPEDTLGRPEFLGTVPGYGRRRMDGFVSRSPGREYSGVSSHRFGGYPGDEIDGKECRFNGFPGHIHRGGFESSDHMAEHFGPDIRPPHFRRGEHFGRNNLPGQLQMEGPIGFGDFSSHEQMGEFDGPGNFRQPRLGEPGFRSSYSLPEFPNDGGIYTGGMDSFEILRTRKPLSMGWCRICKVDCETVEGLDLHSQTGEHQKTAMDMVAIIKQNAKKQKQTSSDHSLHNDSNKSKNAKFESRSNNIKS >KJB19957 pep chromosome:Graimondii2_0_v6:3:37564105:37572043:1 gene:B456_003G125900 transcript:KJB19957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNECILNIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSMPLVESNQALAETIGKITVHCLYHRSGCTWQGPLSECTAHCSGCVFGNSPVVCNRCGVQIVHRQVQEHAQNCLMVQPQAQKAEGGQEISASGTAAAADHTQVASQAQASQTTTSNTPVQDLNQQANPNPQSHAISQVAMVTSEQWSQQQQQQFQQYYQHYSGYDPYYQHYYPYQQQALPQQQPLQVNAKQMTGHNPVYLQTQPLPQPEVQSQPQAQPHSHLPDQVPVAAQPQNQAPTNEQQQPQLTMPPHSQIPAQTYPTTQGHSQPQVQPLPQAHPHQQYGHIPQYQQLHSQMQLPQPQLHPASQAQPQAQQLQPRPPPQPQLQRHPQPSQPLNPNLLTQTQHPAAQAVSGHQSYSQSQPHQQMQLVTLQNPLHMPAQGGLHPLQHPAEKQNSYPQQPPQIRPPQSHAPIPNQQQPGLLPLPGPMLQQAHYHSLQHPHSVQPQSVMQPPGSIMPQQYMEQQPLSTQPMGLVQPQMHQQGPFVQQQQSLQSQICPPGPPQSFLQPPHAYPQPQQNVAELHAVQPYQRPNLAGRPLTPNHGLQSQPYPLSAPGMLVKPLQLGVNQLSSYQNNMLGTNNQSGLTLQPMSEVPGDHGTLNVVEQEADVSSQGIAKKEANVLDVASSLGANMVNPNTSKYNADLKSIDEKPAGDVGDNTSGFDISTKLIQESRWTDLVLNRDTLSKNMAKGEAIEDQKDVVNGEHKVEEKANLGEEQNGKMLKERNKSQDEGTAKGPAGNELTGIPPCSQVQPGSFLQPSHSVPVVDQGILQPLQMPYGSNSNQQKPAASATLQAHPPGLPSYAQAPGLPPNQVRPQASGQTSVPPENFASSFGRGPSSYGPQGPYNQGPASGAPRMPRSETLVCPAFGTPSYGPEAHLVQQRSANMLDYHADSERLKPRQDEHLNPYPLDHVHPAGDRGQFDEDLKLFSRPSQLDTEPVPKYGSYFSSSRPLDRGPHGFAKDMGPWAHEKETRGLSFDPMIGSGHPRFFPPYHPDDAGERSVGLPEDTLGRPEFLGTVPGYGRRRMDGFVSRSPGREYSGVSSHRFGGYPGDEIDGKECRFNGFPGHIHRGGFESSDHMAEHFGPDIRPPHFRRGEHFGRNNLPGQLQMEGPIGFGDFSSHEQMGEFDGPGNFRQPRLGEPGFRSSYSLPEFPNDGGIYTGGMDSFEILRTRKPLSMGWCRICKVDCETVEGLDLHSQTGEHQKTAMDMVAIIKQNAKKQKQTSSDHSLHNDSNKSKNAKFESRSNNIKS >KJB19959 pep chromosome:Graimondii2_0_v6:3:37564818:37572641:1 gene:B456_003G125900 transcript:KJB19959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNECILNIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSMPLVESNQALAETIGKITVHCLYHRSGCTWQGPLSECTAHCSGCVFGNSPVVCNRCGVQIVHRQVQEHAQNCLMVQPQAQKAEGGQEISASGTAAAADHTQVASQAQASQTTTSNTPVQDLNQQANPNPQSHAISQVAMVTSEQWSQQQQQQFQQYYQHYSGYDPYYQHYYPYQQQALPQQQPLQVNAKQMTGHNPVYLQTQPLPQPEVQSQPQAQPHSHLPDQVPVAAQPQNQAPTNEQQQPQLTMPPHSQIPAQTYPTTQGHSQPQVQPLPQAHPHQQYGHIPQYQQLHSQMQLPQPQLHPASQAQPQAQQLQPRPPPQPQLQRHPQPSQPLNPNLLTQTQHPAAQAVSGHQSYSQSQPHQQMQLVTLQNPLHMPAQGGLHPLQHPAEKQNSYPQQPPQIRPPQSHAPIPNQQQPGLLPLPGPMLQQAHYHSLQHPHSVQPQSVMQPPGSIMPQQYMEQQPLSTQPMGLVQPQMHQQGPFVQQQQSLQSQICPPGPPQSFLQPPHAYPQPQQNVAELHAVQPYQRPNLAGRPLTPNHGLQSQPYPLSAPGMLVKPLQLGVNQLSSYQNNMLGTNNQSGLTLQPMSEVPGDHGTLNVVEQEADVSSQGIAKKEANVLDVASSLGANMVNPNTSKYNADLKSIDEKPAGDVGDNTSGFDISTKLIQESRWTDLVLNRDTLSKNMAKGEAIEDQKDVVNGEHKVEEKANLGEEQNGKMLKERNKSQDEGTAKGPAGNELTGIPPCSQVQPGSFLQPSHSVPVVDQGILQPLQMPYGSNSNQQKPAASATLQAHPPGLPSYAQAPGLPPNQVRPQASGQTSVPPENFASSFGRGPSSYGPQGPYNQGPASGAPRMPRSETLVCPAFGTPSYGPEAHLVQQRSANMLDYHADSERLKPRQDEHLNPYPLDHVHPAGDRGQFDEDLKLFSRPSQLDTEPVPKYGSYFSSSRPLDRGPHGFAKDMGPWAHEKETRGLSFDPMIGSGHPRFFPPYHPDDAGERSVGLPEDTLGRPEFLGTVPGYGRRRMDGFVSRSPGREYSGVSSHRFGGYPGDEIDGKECRFNGFPGHIHRGGFESSDHMAEHFGPDIRPPHFRRGEHFGRNNLPGQLQMEGPIGFGDFSSHEQMGEFDGPGNFRQPRLGEPGFRSSYSLPEFPNDGGIYTGGMDSFEILRTRKPLSMGWCRICKVDCETVEGLDLHSQTGEHQKTAMDMVAIIKQNAKKQKQTSSDHSLHNDSNKSKNAKFESRSNNIKS >KJB17617 pep chromosome:Graimondii2_0_v6:3:497305:500218:1 gene:B456_003G008200 transcript:KJB17617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVMDPQYLKEIEKARRDLRALISSNQCAPIMLRLAWHDAGTYDVKTKTGGPNGSIRLGDELQHAANSGLKKAVDLCEQVKERHPQITYADLYQLAGGVAVEVTGGPPIDFVPGRKDSMASPEEGRLPDANRGAKHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFEGAWTKEPLKFDNSYYKELLNNESDPELLKLRSDKALVEDPKFKRYVELYAKDEDAFFRDYAASHKKLSELGFISPPSKLSLKVALGFVIAIVAILSHYYEGHFSWNDLSSQFHKRFNLTDLASQFQKRM >KJB17618 pep chromosome:Graimondii2_0_v6:3:497135:500305:1 gene:B456_003G008200 transcript:KJB17618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVMDPQYLKEIEKARRDLRALISSNQCAPIMLRLAWHDAGTYDVKTKTGGPNGSIRLGDELQHAANSGLKKAVDLCEQVKERHPQITYADLYQLAGGVAVEVTGGPPIDFVPGRKDSMASPEEGRLPDANRGAKHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFEGAWTKEPLKFDNSYYKELLNNESDPELLKLRSDKALVEDPKFKRYVELYAKDEDAFFRDYAASHKKLSELGFISPPSKLSLKVALGFVIAIVAILSHYYEGHFSWNDLSSQFHKRFNLTDLASQFQKRM >KJB20346 pep chromosome:Graimondii2_0_v6:3:41005997:41009108:1 gene:B456_003G144000 transcript:KJB20346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQYGEDQDEATVIGFEVPRSPDSSYNNAYPGNEDDARDPPVVPPHLHHSLFSYPTSMNTSGNLPLPENVILNHLYIENREAPRSVVALGFTHRFRSKYVTVVLYKPVPRRGSSHT >KJB20347 pep chromosome:Graimondii2_0_v6:3:41005997:41009099:1 gene:B456_003G144000 transcript:KJB20347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQYGEDQDEATVIGFEVPRSPDSSYNNAYPGNEDDARDPPVVPPHLHHSLFSYPTSMNTSGNLPLPENVILNHLYIENREAPRSVVALGFTHRFRSKYVTVVLYKPVPRRGSSHT >KJB20350 pep chromosome:Graimondii2_0_v6:3:41006307:41009070:1 gene:B456_003G144000 transcript:KJB20350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQYGEDQDEATVIGFEVPRSPDSSYNNAYPGNEDDARDPPVVPPHLHHSLFSYPTSMNTSGNLPLPENVILNHLYIENREAPRSVVALGFTHRFRSKYVTVVLYKPVPRRGSSHT >KJB20349 pep chromosome:Graimondii2_0_v6:3:41008044:41009070:1 gene:B456_003G144000 transcript:KJB20349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQYGEDQDEATVIGFEVPRSPDSSYNNAYPGNEDDARDPPVVPPHLHHSLFSYPTSMNTSGNLPLPENVILNHLYIENREAPRSVVALGFTHRFRSKYVTVVLYKPVPRRGSSHT >KJB20348 pep chromosome:Graimondii2_0_v6:3:41007089:41009070:1 gene:B456_003G144000 transcript:KJB20348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQYGEDQDEATVIGFEVPRSPDSSYNNAYPGNEDDARDPPVVPPHLHHSLFSYPTSMNTSGNLPLPENVILNHLYIENREAPRSVVALGFTHRFRSKYVTVVLYKPVPRRGSSHT >KJB17736 pep chromosome:Graimondii2_0_v6:3:852105:853541:-1 gene:B456_003G013300 transcript:KJB17736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFTAIALDRLIEPGPSRSVNNSDPNSKPPIPNPKPIPSTRMKRSSSTSVTSKVNRPQISPALYATPEATPLPDSPSSFPPSPYIINHKRRGPRLLKSFSEDNVSSWEKKAHEEDEVNGNAKLAEGNSVDLLKDCSVTFSIHEPNEEEHENGAHNGPINVERANSVHGGSIKEEHMNCFHDGEVGSSQMNNGLAIDASVLKPGALNLEKGGDSEDFFDPNESMSVASNTEGGDDAAAESAARFATQGVEFFDAWDELSSESLPQSGPHDIEAELREIRLSLLTEIEKRKQAEEALNKMQSKWRRIGQEFGDVGLSLPVDPLVVTEDELVNPAEELRQQMGIARFVSLSMGRGIAKAELETEMEAQIESKNFEIARLLDRLHYYEAVNREMSQRNQEAVGKDFVPHS >KJB17737 pep chromosome:Graimondii2_0_v6:3:851502:855468:-1 gene:B456_003G013300 transcript:KJB17737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFTAIALDRLIEPGPSRSVNNSDPNSKPPIPNPKPIPSTRMKRSSSTSVTSKVNRPQISPALYATPEATPLPDSPSSFPPSPYIINHKRRGPRLLKSFSEDNVSSWEKKAHEEDEVNGNAKLAEGNSVDLLKDCSVTFSIHEPNEEEHENGAHNGPINVERANSVHGGSIKEEHMNCFHDGEVGSSQMNNGLAIDASVLKPGALNLEKGGDSEDFFDPNESMSVASNTEGGDDAAAESAARFATQGVEFFDAWDELSSESLPQSGPHDIEAELREIRLSLLTEIEKRKQAEEALNKMQSKWRRIGQEFGDVGLSLPVDPLVVTEDELVNPAEELRQQMGIARFVSLSMGRGIAKAELETEMEAQIESKNFEIARLLDRLHYYEAVNREMSQRNQEARWHGVKDNVRNGNKDGSGVRLPLQLPSGQQPWHGLTSQQEKHHLLLALQKVQIPIIQQPSK >KJB17735 pep chromosome:Graimondii2_0_v6:3:851508:855406:-1 gene:B456_003G013300 transcript:KJB17735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFTAIALDRLIEPGPSRSVNNSDPNSKPPIPNPKPIPSTRMKRSSSTSVTSKVNRPQISPALYATPEATPLPDSPSSFPPSPYIINHKRRGPRLLKSFSEDNVSSWEKKAHEEDEVNGNAKLAEGNSVDLLKDCSVTFSIHEPNEEEHENGAHNGPINVERANSVHGGSIKEEHMNCFHDGEVGSSQMNNGLAIDASVLKPGALNLEKGGDSEDFFDPNESMSVASNTEGGDDAAAESAARFATQGVEFFDAWDELSSESLPQSGPHDIEAELREIRLSLLTEIEKRKQAEEALNKMQSKWRRIGQEFGDVGLSLPVDPLVVTEDELVNPAEELRQQMGIARFVSLSMGRGIAKAELETEMEAQIESKNFEIARLLDRLHYYEAVNREMSQRNQEAVEMARRERQRKKRKQRWVWGSVATAITLGAAALAWSYFPTGKASSSASIAKGPDPDNPTAK >KJB17993 pep chromosome:Graimondii2_0_v6:3:2539623:2546479:1 gene:B456_003G028100 transcript:KJB17993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSLLKTLLSNTSSFLNLSSFKNINSEPVQKYYQRAEEILKLLKPIILNAIVDSEIISDEVLDKAFEELGLFVEELREQFESCQPLSGKVYFVLQVEALISRIQNSCLDIFQYLKSSDQHLPDELSSTSLEVFTKMRNHILEWHLTYKALRREKINTCHFDSISEEAVRDQVDSVGLSSEILMKIFESLSLNSNQEILNAEQAEKTAEVVFIDQLIALVSHMHHRLVLIKQSHTSSPVLIPADFCCPLSLELMTDLKALITNWCESNNVKLPDPMKSINLNQSHLLLVHESKSAESGLRKDSNSFLHSRNSQSMSPQSWSPSQSSKNLITFSGLHREATSQIHPRSTSEGSLAVGQPPMSPSRKEFHNTGHSSQNHTRSDSTSSSLSNLGFPRGDVGDNSETSERSTHVAASSNDISGAILPKYQTMWRRPTERLLPRIVSSPATQNRAELSGIETQVKKLVEDLKSTSVDAQREAASQLRLLAKHNMDNRIIIANCGAISLLVDLLHSPDAKTQENAPLIRVLKTGSPEAKENSAATLFSLRVIEDNKVRTGRSGAIRPLVDLLGNGTPRGKKDAATALFNLSIFHKNKAQIVEDDAVRYLVDLMDPAAGMVDKAVAVLANLATIPEGRTTIGQEGGIPVLVEVVELGSARGKEYAAAALLQLCTNSSRFCIMVLQGGAVPPLVALSQSGTPRAKEKALLGYFRSQRHGNAGRG >KJB17992 pep chromosome:Graimondii2_0_v6:3:2540194:2545423:1 gene:B456_003G028100 transcript:KJB17992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSLLKTLLSNTSSFLNLSSFKNINSEPVQKYYQRAEEILKLLKPIILNAIVDSEIISDEVLDKAFEELGLFVEELREQFESCQPLSGKVYFVLQVEALISRIQNSCLDIFQYLKSSDQHLPDELSSTSLEVFTKMRNHILEWHLTYKALRREKINTCHFDSISEEAVRDQVDSVGLSSEILMKIFESLSLNSNQEILNAEQAEKTAEVVFIDQLIALVSHMHHRLVLIKQSHTSSPVLIPADFCCPLSLELMTDLKALITNWCESNNVKLPDPMKSINLNQSHLLLVHESKSAESGLRKDSNSFLHSRNSQSMSPQSWSPSQSSKNLITFSGLHREATSQIHPRSTSEGSLAVGQPPMSPSRKEFHNTGHSSQNHTRSDSTSSSLSNLGFPRGDVGDNSETSERSTHVAASSNDISGAILPKYQTMWRRPTERLLPRIVSSPATQNRAELSGIETQVKKLVEDLKSTSVDAQREAASQLRLLAKHNMDNRIIIANCGAISLLVDLLHSPDAKTQENAVTALLIY >KJB18632 pep chromosome:Graimondii2_0_v6:3:12306200:12307613:-1 gene:B456_003G064000 transcript:KJB18632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP2-1 [Source:Projected from Arabidopsis thaliana (AT3G16240) UniProtKB/Swiss-Prot;Acc:Q41951] MAGIAFGRFDDSFSLGSVKAYLAEFISTLVFVFAGVGSAIAYNKLTTDAALDPDGLVAIAVCHGFALFVAVAIGANISGGHVNPAVTFGLALGGQITILTGIFYWIAQLLGSIVACFLLKAVTGGLTVPIHGLGAGVGAIQGVVMEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDFNGIWIYWVGPLIGGGLAGLIYGNVFMNSDHAPLSNDF >KJB18633 pep chromosome:Graimondii2_0_v6:3:12306214:12307687:-1 gene:B456_003G064000 transcript:KJB18633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP2-1 [Source:Projected from Arabidopsis thaliana (AT3G16240) UniProtKB/Swiss-Prot;Acc:Q41951] MAFCFIAFLFFFFGADKLTTDAALDPDGLVAIAVCHGFALFVAVAIGANISGGHVNPAVTFGLALGGQITILTGIFYWIAQLLGSIVACFLLKAVTGGLTVPIHGLGAGVGAIQGVVMEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDFNGIWIYWVGPLIGGGLAGLIYGNVFMNSDHAPLSNDF >KJB20735 pep chromosome:Graimondii2_0_v6:3:43059198:43063784:-1 gene:B456_003G162000 transcript:KJB20735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASKWFRSILGFKKPDPHNQPSPSSSKPTSHKDKRRWSFVKSYREKDSSTNSSNAKLPSSSQQQKDSVSFVERKGDNEVTDPSKHAIAVAAATAAVAEAAVAAAQAAAAVVRLTSNSGRCARESAAVYVCNNNSYIAHDESSAIKIQSAFRGYLARRALRALKGLVRLQALVRGHIERKRTAEWLRRMQALLRAQARARAGRAQISESSQSSCKSSHFHHPDPATPEKFEHVIRSKGTKYEQSLMLKRNGSKSSGRTVDNQEKLHSGWYRRVDEQTWVHSTRIGPNDDEKNDKILEVDTGKPNFISKRRNLFHSTHLSLNSDLYSCSFTNSRDSHQTAPSPSSGEVQSLTPLMLSHSEAIQESPFCGAVDDNSPQFYSASSKGASSKRSPFTPAKSDGTRSYLSGYSDHPNYMSYTKSSKAKVRSFSAPKQRPHYERSSSTKRYSIHGFGELKSTTQRSAMHANFASKAYPGSGRLDRLGMPLGYRY >KJB19334 pep chromosome:Graimondii2_0_v6:3:30077147:30078181:-1 gene:B456_003G096700 transcript:KJB19334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPANQHPEPRDEIEEGEIGVFGAEKYFNMELDDGECPKLYDKYSNQIDLHHMTLSASSETSWNIQSVSLPNFTRSRSENRRTKVDGRSFFSSLGSSGSSSDGKSVYLNQNVVHGKDYSKEHIRADHRPIDMDGTKLVQAKFKVEDEFDTQSFDPRKSLEVFGSSGMNKGDIAKNLERKLCKLTWDAFPNAPTISSIYKSRLLGDDDDEHSEGSSDLFEIGNILGDGEMTPYAPSETSIQWSVVTASTAADCSFVSGYDDETKSAKSISAPASKTTVKEAQRSRSGGILGCRRHKAVMVAESTAYRKRCRMA >KJB19335 pep chromosome:Graimondii2_0_v6:3:30076881:30078297:-1 gene:B456_003G096700 transcript:KJB19335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPANQHPEPRDEIEEGEIGVFGAEKYFNMELDDGECPKLYDKYSNQIDLHHMTLSASSETSWNIQSVSLPNFTRSRSENRRTKVDGRSFFSSLGSSGSSSDGKSVYLNQNVVHGKDYSKEHIRADHRPIDMDGTKLVQAKFKVEDEFDTQSFDPRKSLEVFGSSGMNKGDIAKNLERKLCKLTWDAFPNAPTISSIYKSRLLGDDDDEHSEGSSDLFEIGNILGDGEMTPYAPSETSIQWSVVTASTAADCSFVSGYDDETKSAKSISAPASKTTVKEAQRSRSGGILGCRRHKAVMVAESTAYRKR >KJB19496 pep chromosome:Graimondii2_0_v6:3:32639629:32650069:-1 gene:B456_003G106100 transcript:KJB19496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGRRKKQHFSRIHAFTCGKAYFRGDHSLIGGPGFSRVVYCNDPECFEASLLNYGGNYVRSTKYTLATFFPKSLFEQFRRVANFYFLICAILSFTPLSPYSSISNVLPLVVVIGATMGKEAVEDWKRKKQDIEMNNRKVKVHQGDGRFEHTKWMDLKVGDIVKVEKDEFFPADLILLSSSYEEAICYVETMNLDGETNLKLKQALEATSSLHEDSSFQNFKAVIRCEDPNANLYSFVGSLEFRKEQYPLSPQQLLLRDSKLRNTDYIFGAVIFTGHDTKVIQNSTEPPSKRSKIERRMDKIVYFLFALLVLLSVIGSIFFGITTREDLENGKMTRWYLRPDETTIYYDPERATVAAILQFLTALMLYSYLIPISLYVSIEVVKVLQSIFINQDLHMYYEEADKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTSYGRGITEVEKALAWRKVSPLAQDLTEEEGQVEEFKKEKPSVKGFNFLDERIMNGNWIKEPRADVIQKFLLLLAVCHTAIPEVDEEAGRTSYEAESPDEAAFVVAARELGFEFYERTQTSISFYEFDPLSGKKVERSYNLLNILEFSSSRKRMSVIVRNEEGKLLLLCKGADSVMFERLAKSGQEFAEQTKEHIAEYADAGLRTLVIAYREIDEQEYVEFNEQFTEAKNLVSADREEMIEEVAGKIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIVINPETPEIKALEKSDDKSAAAAAFKASVLQQIAEGKRLLSSSNKNSEAVALIVDGKSLTSALEDDVKDNFLELAIGCASVICCRSSPKQKALVTRLVKSKTGSTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTIFFYEIYASFSGQAVYNDWFLSLYNVFFTSLPVIALGVFDQDVSSRLCLKVSLRFLEWHY >KJB19495 pep chromosome:Graimondii2_0_v6:3:32639604:32650236:-1 gene:B456_003G106100 transcript:KJB19495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGRRKKQHFSRIHAFTCGKAYFRGDHSLIGGPGFSRVVYCNDPECFEASLLNYGGNYVRSTKYTLATFFPKSLFEQFRRVANFYFLICAILSFTPLSPYSSISNVLPLVVVIGATMGKEAVEDWKRKKQDIEMNNRKVKVHQGDGRFEHTKWMDLKVGDIVKVEKDEFFPADLILLSSSYEEAICYVETMNLDGETNLKLKQALEATSSLHEDSSFQNFKAVIRCEDPNANLYSFVGSLEFRKEQYPLSPQQLLLRDSKLRNTDYIFGAVIFTGHDTKVIQNSTEPPSKRSKIERRMDKIVYFLFALLVLLSVIGSIFFGITTREDLENGKMTRWYLRPDETTIYYDPERATVAAILQFLTALMLYSYLIPISLYVSIEVVKVLQSIFINQDLHMYYEEADKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTSYGRGITEVEKALAWRKVSPLAQDLTEEEGQVEEFKKEKPSVKGFNFLDERIMNGNWIKEPRADVIQKFLLLLAVCHTAIPEVDEEAGRTSYEAESPDEAAFVVAARELGFEFYERTQTSISFYEFDPLSGKKVERSYNLLNILEFSSSRKRMSVIVRNEEGKLLLLCKGADSVMFERLAKSGQEFAEQTKEHIAEYADAGLRTLVIAYREIDEQEYVEFNEQFTEAKNLVSADREEMIEEVAGKIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIVINPETPEIKALEKSDDKSAAAAAFKASVLQQIAEGKRLLSSSNKNSEAVALIVDGKSLTSALEDDVKDNFLELAIGCASVICCRSSPKQKALVTRLVKSKTGSTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTIFFYEIYASFSGQAVYNDWFLSLYNVFFTSLPVIALGVFDQDVSSRLCLKFPPLYQEGIQNVLFSWLRILAWLFNGVLSATIIFFFCIRAMQHQAFRIGGEVVGLEILGATMYTCVVWVVNCQMALSISYFTYIQHLFIWGGIVFWYIFLIAYGAIDPDISTSAYQVFIEACAPSGLYWLLTLLVLIASLLPYFAYSAIQMRFFPLYHQMIQWIRSDGQTDDPEYCHIVRQRSLSHTTVGYTARFEAKSKSSKERARDH >KJB19497 pep chromosome:Graimondii2_0_v6:3:32639629:32650069:-1 gene:B456_003G106100 transcript:KJB19497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLGFVNRLLMTLQDIEMNNRKVKVHQGDGRFEHTKWMDLKVGDIVKVEKDEFFPADLILLSSSYEEAICYVETMNLDGETNLKLKQALEATSSLHEDSSFQNFKAVIRCEDPNANLYSFVGSLEFRKEQYPLSPQQLLLRDSKLRNTDYIFGAVIFTGHDTKVIQNSTEPPSKRSKIERRMDKIVYFLFALLVLLSVIGSIFFGITTREDLENGKMTRWYLRPDETTIYYDPERATVAAILQFLTALMLYSYLIPISLYVSIEVVKVLQSIFINQDLHMYYEEADKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTSYGRGITEVEKALAWRKVSPLAQDLTEEEGQVEEFKKEKPSVKGFNFLDERIMNGNWIKEPRADVIQKFLLLLAVCHTAIPEVDEEAGRTSYEAESPDEAAFVVAARELGFEFYERTQTSISFYEFDPLSGKKVERSYNLLNILEFSSSRKRMSVIVRNEEGKLLLLCKGADSVMFERLAKSGQEFAEQTKEHIAEYADAGLRTLVIAYREIDEQEYVEFNEQFTEAKNLVSADREEMIEEVAGKIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIVINPETPEIKALEKSDDKSAAAAAFKASVLQQIAEGKRLLSSSNKNSEAVALIVDGKSLTSALEDDVKDNFLELAIGCASVICCRSSPKQKALVTRLVKSKTGSTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTIFFYEIYASFSGQAVYNDWFLSLYNVFFTSLPVIALGVFDQDVSSRLCLKFPPLYQEGIQNVLFSWLRILAWLFNGVLSATIIFFFCIRAMQHQAFRIGGEVVGLEILGATMYTCVVWVVNCQMALSISYFTYIQHLFIWGGIVFWYIFLIAYGAIDPDISTSAYQVFIEACAPSGLYWLLTLLVLIASLLPYFAYSAIQMRFFPLYHQMIQWIRSDGQTDDPEYCHIVRQRSLSHTTVGYTARFEAKSKSSKERARDH >KJB19999 pep chromosome:Graimondii2_0_v6:3:38053312:38055329:-1 gene:B456_003G128900 transcript:KJB19999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIYRGVMNEGEASSTGCKPSSRATTSRRRMKIRRIKFVDVTPSEAENGRRRHKFQVYAASFSLDRENREGNSISDEGEKQTVIHKKFKSKTKGSPSLLMPVIGSEMYPKFGAASVCGRRRDMEDAVAIRPSFHRQGQDSADVGFHYFGVYDGHGCSHVATRCRERLHELVKEELECEQQWKSAMERSFKRMDNEVIKWNESVVGANCRCELQSPECDAVGSTAVVSIVTSDKIVVANCGDSRAVLCRKGKPVPLSSDHKPDRPDELDRIQEAGGRVIYWDGPRVLGVLAMSRSIGDTYLKPYVSCEPEVTVTDRTVDDECLIIASDGLWDVVSNDTACRVARMCLRGKVDVRAPPCLVKGMEGEVVVGSTKGGEGGEISDKTCSDASLLLTKLALARHSTDNVSVVVVDLKRAT >KJB19926 pep chromosome:Graimondii2_0_v6:3:37227016:37227979:-1 gene:B456_003G124800 transcript:KJB19926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVKELLQLDKEAEETVSRLTIHPHRVGYERSFYNDFGLRGIRVDKVEPGFVSCTFKVPPRLTDKNGNLATGAIANIVDEVGGSAVFVIGVPMKVSVDMSISFLGTAKLGVSNLFS >KJB19925 pep chromosome:Graimondii2_0_v6:3:37226295:37228045:-1 gene:B456_003G124800 transcript:KJB19925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVKELLQLDKEAEETVSRLTIHPHRVGYERSFYNDFGLRGIRVDKVEPGFVSCTFKVPPRLTDKNGNLATGAIANIVDEVGGSAVFVIGVPMKVSVDMSISFLGTAKLGDELEITSKALGERGGYAGTLVHIRNKATGELIAEGRHSLYGNESSKLLFGNQSSKL >KJB18841 pep chromosome:Graimondii2_0_v6:3:17210208:17217082:-1 gene:B456_003G072400 transcript:KJB18841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVEESTNEMEEEVGKVMEQARELQEAGAGLISMISNDEQSLRLKANSLDSSIRHLRSSITTVLSQKLLDPKLADKLEEDLQTAICIITDGDAAGFLPANAQGWYLRMFLGPISVRASRKEIQLKAKEEYNSYRDRTALMFILFPLILLILRSWIWEGCLPAFPVQLYEAWLLFLNTGLALRENILRANGSDIRPWWIYHHYFAMATALVSLTWEIKGQPNCGQKQRGVELFLQWAMMQGAALLLLNRYQRRRLYTRISLGKSNSMNVARRETTGVDCQLWVLCPILFVMQVFK >KJB18842 pep chromosome:Graimondii2_0_v6:3:17209509:17217208:-1 gene:B456_003G072400 transcript:KJB18842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVEESTNEMEEEVGKVMEQARELQEAGAGLISMISNDEQSLRLKANSLDSSIRHLRSSITTVLSQKLLDPKLADKLEEDLQTAICIITDGDAAGFLPANAQGWYLRMFLGPISVRASRKEIQLKAKEEYNSYRDRTALMFILFPLILLILRSWIWEGCLPAFPVQLYEAWLLFLNTGLALRENILRANGSDIRPWWIYHHYFAMATALVSLTWEIKGQPNCGQKQRGVELFLQWAMMQGAALLLLNRYQRRRLYTRISLGKSNSMNVARRETTGVDCQLWVLCPILFVMQGFEAYVGLLLLKTAFARVVTEWQIIFCGIILVILAVGNFINTAQTLMAKSRFKGTMKKSKSRRELY >KJB18843 pep chromosome:Graimondii2_0_v6:3:17209509:17217113:-1 gene:B456_003G072400 transcript:KJB18843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILFPLILLILRSWIWEGCLPAFPVQLYEAWLLFLNTGLALRENILRANGSDIRPWWIYHHYFAMATALVSLTWEIKGQPNCGQKQRGVELFLQWAMMQGAALLLLNRYQRRRLYTRISLGKSNSMNVARRETTGVDCQLWVLCPILFVMQGFEAYVGLLLLKTAFARVVTEWQIIFCGIILVILAVGNFINTAQTLMAKSRFKGTMKKSKSRRELY >KJB20928 pep chromosome:Graimondii2_0_v6:3:44362468:44363013:-1 gene:B456_003G1727002 transcript:KJB20928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLYDYGIISTFDPLPFDYSGHYYGHQAGKTEVSISVPPNSSRKISCFLNLIIIFSAKNDKTYGFLPCLEIVNETKGTKWTYSKHFMGIPETKNTLYWTTCWNFKGDELEAGDHITLRVLSDLSVLEFGIDLVYDYELNDNPNFFSQLPWMSKCFKYLLGIFVYISSKSLKNLYRFQSLVKC >KJB20927 pep chromosome:Graimondii2_0_v6:3:44362440:44363013:-1 gene:B456_003G1727002 transcript:KJB20927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLYDYGIISTFDPLPFDYSGHYYGHQAGKTEVSISVPPNSSRKISCFLNLIIIFSAKNDKTYGFLPCLEIVNETKGTKWTYSKHFMGIPETKNTLYWTTCWNFKGDELEAGDHITLRVLSDLSVLEFGIDLVYDYELNDNPNFFSQLPWMSKCFKYLLGIFVYISSKSLKNLYRFQSLVKC >KJB18025 pep chromosome:Graimondii2_0_v6:3:2752800:2753625:-1 gene:B456_003G030000 transcript:KJB18025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSTDLRSKYNVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGINASKVVITKLRLDKDRKSLLDRKAKGRAAADKDKGTKFSAEDIMQSVD >KJB19125 pep chromosome:Graimondii2_0_v6:3:22991419:22992973:-1 gene:B456_003G087000 transcript:KJB19125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKALKLKPRIRSPIPVMILGCITAIALLFLFSSLNSTNRFWFSSAKTHLKKKAREHSLHDKYLYWGDRIDCPGKHCDSCEGLGHQESSLRCALEEAIFLNRTFVMPSRMCINPNHNNKGILHKVDNVTAEERWAASSCTMDSLYDIDLISETVPVILDNSDAWHLILSTSMKLGDRGVAHVEGLTRVDLKEDSHYSNLLLINRTASPLSWYLMAYDSILSD >KJB19124 pep chromosome:Graimondii2_0_v6:3:22990815:22993116:-1 gene:B456_003G087000 transcript:KJB19124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKALKLKPRIRSPIPVMILGCITAIALLFLFSSLNSTNRFWFSSAKTHLKKKAREHSLHDKYLYWGDRIDCPGKHCDSCEGLGHQESSLRCALEEAIFLNRTFVMPSRMCINPNHNNKGILHKVDNVTAEERWAASSCTMDSLYDIDLISETVPVILDNSDAWHLILSTSMKLGDRGVAHVEGLTRVDLKEDSHYSNLLLINRTASPLSWFAECKDRKNHSAIILPYSFLPSMAAEKLRIAADQVFVT >KJB19121 pep chromosome:Graimondii2_0_v6:3:22986753:22993188:-1 gene:B456_003G087000 transcript:KJB19121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKALKLKPRIRSPIPVMILGCITAIALLFLFSSLNSTNRFWFSSAKTHLKKKAREHSLHDKYLYWGDRIDCPGKHCDSCEGLGHQESSLRCALEEAIFLNRTFVMPSRMCINPNHNNKGILHKVDNVTAEERWAASSCTMDSLYDIDLISETVPVILDNSDAWHLILSTSMKLGDRGVAHVEGLTRVDLKEDSHYSNLLLINRTASPLSWFAECKDRKNHSAIILPYSFLPSMAAEKLRIAADQIKRVLGDYDAIHVRRGDKIKTRKDRYGVKRSLHPHLDRDTRAEFILHRIQKWVPSGRTLFIASNERTPGFFSPLSVRYKLAYSSNYSHILDPLIDNNYQLFMIERLILMGAKTFIKTFKEDDADLSLTDDPKKNTKLWQIPVYTLDGEES >KJB19123 pep chromosome:Graimondii2_0_v6:3:22990346:22993188:-1 gene:B456_003G087000 transcript:KJB19123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKALKLKPRIRSPIPVMILGCITAIALLFLFSSLNSTNRFWFSSAKTHLKKKAREHSLHDKYLYWGDRIDCPGKHCDSCEGLGHQESSLRCALEEAIFLNRTFVMPSRMCINPNHNNKGILHKVDNVTAEERWAASSCTMDSLYDIDLISETVPVILDNSDAWHLILSTSMKLGDRGVAHVEGLTRVDLKEDSHYSNLLLINRTASPLSWFAECKDRKNHSAIILPYSFLPSMAAEKLRIAADQVHD >KJB19120 pep chromosome:Graimondii2_0_v6:3:22989238:22992973:-1 gene:B456_003G087000 transcript:KJB19120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKALKLKPRIRSPIPVMILGCITAIALLFLFSSLNSTNRFWFSSAKTHLKKKAREHSLHDKYLYWGDRIDCPGKHCDSCEGLGHQESSLRCALEEAIFLNRTFVMPSRMCINPNHNNKGILHKVDNVTAEERWAASSCTMDSLYDIDLISETVPVILDNSDAWHLILSTSMKLGDRGVAHVEGLTRVDLKEDSHYSNLLLINRTASPLSWFAECKDRKNHSAIILPYSFLPSMAAEKLRIAADQH >KJB19122 pep chromosome:Graimondii2_0_v6:3:22988614:22993116:-1 gene:B456_003G087000 transcript:KJB19122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKALKLKPRIRSPIPVMILGCITAIALLFLFSSLNSTNRFWFSSAKTHLKKKAREHSLHDKYLYWGDRIDCPGKHCDSCEGLGHQESSLRCALEEAIFLNRTFVMPSRMCINPNHNNKGILHKVDNVTAEERWAASSCTMDSLYDIDLISETVPVILDNSDAWHLILSTSMKLGDRGVAHVEGLTRVDLKEDSHYSNLLLINRTASPLSWFAECKDRKNHSAIILPYSFLPSMAAEKLRIAADQIKRVLGDYDAIHVRRGDKIKTRKDRYGVKRSLHPHLDRDTRAEFILHRIQKWVPSGRTLFIASNERTPGFFSPLSVR >KJB18864 pep chromosome:Graimondii2_0_v6:3:17299194:17307131:-1 gene:B456_003G072900 transcript:KJB18864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTTNPFGQSSSSPFASQSVFGQTSASSNPFAPKPSFGSPNPFGSQTGGSVFGGTSTGVFGAAQSSSPFSSTTTFGASSSPAFGSSTPTFGSSSSSFGGSSVFGQKPAFGFGSSPTQSNPFGSTNQQSQPAFGSGIFGSSTPFGSTQSAFGSSPAFGSTSSPAFGATSTLAFGASSTPGFGATSTPAFGASSTPAFGATSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGGTFGSSSSPAFGTSTTPAFGASSSAFGGSSTPAFGASSTPSFSFGSSPAFGQSAPAFGSSPFGTTSFGAQSSPFGSQSSAPAFGSTSLGQSPFGGQRGGSRVAPYTPTTDADSGSGQPGAKLESISAMPVYKDKSHEELRWEDYQLGDKGGPQPAAQATGGIGFGASTVSTSPFGSSTFGQTSANPFSSSSTNPFSLKPPSFNSTGFATSTTTSNPFQPTSSSLFGQASSTSLFSSSSTPTFGTGSSLFGSSVTPSFSSSPSIFGTGAAPATTSAFATGSNFGSSQTSPLFNSSPAIGQAGNAFGQMTSTFGQNTGNFGQTSIFNTPSTGFSGNMFSSSLSLAPSNPAAFGPTTPSFASPFQPAQTSGAFSFSNFGQTQPGGGSGIFGQSNIGLSSATQTAAVVQPGTIINPYGTLPAMPQMSIGQAGTAPSVQYGISSMPVVDKPAPARISPLLTSRYLSQRRIRLPARKYHPNNNGPKVPFFNDDEETPHTPKADAVFIPRENPRALIIRPTESWPSRASAEKASSLKVTSAAVHENGKISDGSSNAEDKNENPAENGHVKERIHPVKGNQKANGVHDDHSAQKESYMTLNGHRAGEAAIVYEHGADIEALMPKLRRSDYYTEPRIQELAAKERAEPGYCRHVKDFVVGRHGYGSIKFLGETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKRTGQQYTDGPKIEKYKEMLKRKAKDQGAEFISYDPIKGEWKFRVNHFSRYKLEDEDDVKMWGVADS >KJB18865 pep chromosome:Graimondii2_0_v6:3:17299194:17307131:-1 gene:B456_003G072900 transcript:KJB18865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTTNPFGQSSSSPFASQSVFGQTSASSNPFAPKPSFGSPNPFGSQTGGSVFGGTSTGVFGAAQSSSPFSSTTTFGASSSPAFGSSTPTFGSSSSSFGGSSVFGQKPAFGFGSSPTQSNPFGSTNQQSQPAFGSGIFGSSTPFGSTQSAFGSSPAFGSTSSPAFGATSTLAFGASSTPGFGATSTPAFGASSTPAFGATSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGGTFGSSSSPAFGTSTTPAFGASSSAFGGSSTPAFGASSTPSFSFGSSPAFGQSAPAFGSSPFGTTSFGAQSSPFGSQSSAPAFGSTSLGQSPFGGQRGGSRVAPYTPTTDADSGSGQPGAKLESISAMPVYKDKSHEELRWEDYQLGDKGGPQPAAQATGGIGFGASTVSTSPFGSSTFGQTSANPFSSSSTNPFSLKPPSFNSTGFATSTTTSNPFQPTSSSLFGQASSTSLFSSSSTPTFGTGSSLFGSSVTPSFSSSPSIFGTGAAPATTSAFATGSNFGSSQTSPLFNSSPAIGQAGNAFGQMTSTFGQNTGNFGQTSIFNTPSTGFSGNMFSSSLSLAPSNPAAFGPTTPSFASPFQPAQTSGAFSFSNFGQTQPGGGSGIFGQSNIGLSYVSATQTAAVVQPGTIINPYGTLPAMPQMSIGQAGTAPSVQYGISSMPVVDKPAPARISPLLTSRYLSQRRIRLPARKYHPNNNGPKVPFFNDDEETPHTPKADAVFIPRENPRALIIRPTESWPSRASAEKASSLKVTSAAVHENGKISDGSSNAEDKNENPAENGHVKERIHPVKGNQKANGVHDDHSAQKESYMTLNGHRAGEAAIVYEHGADIEALMPKLRRSDYYTEPRIQELAAKERAEPGYCRHVKDFVVGRHGYGSIKFLGETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKRTGQQYTDGPKIEKYKEMLKRKAKDQGAEFISYDPIKGEWKFRVNHFSRYKLEDEDDVKMWGVADS >KJB18863 pep chromosome:Graimondii2_0_v6:3:17298855:17307953:-1 gene:B456_003G072900 transcript:KJB18863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAFGQSSSSPFASQSVFGQTSASSNPFAPKPSFGSPNPFGSQTGGSVFGGTSTGVFGAAQSSSPFSSTTTFGASSSPAFGSSTPTFGSSSSSFGGSSVFGQKPAFGFGSSPTQSNPFGSTNQQSQPAFGSGIFGSSTPFGSTQSAFGSSPAFGSTSSPAFGATSTLAFGASSTPGFGATSTPAFGASSTPAFGATSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGGTFGSSSSPAFGTSTTPAFGASSSAFGGSSTPAFGASSTPSFSFGSSPAFGQSAPAFGSSPFGTTSFGAQSSPFGSQSSAPAFGSTSLGQSPFGGQRGGSRVAPYTPTTDADSGSGQPGAKLESISAMPVYKDKSHEELRWEDYQLGDKGGPQPAAQATGGIGFGASTVSTSPFGSSTFGQTSANPFSSSSTNPFSLKPPSFNSTGFATSTTTSNPFQPTSSSLFGQASSTSLFSSSSTPTFGTGSSLFGSSVTPSFSSSPSIFGTGAAPATTSAFATGSNFGSSQTSPLFNSSPAIGQAGNAFGQMTSTFGQNTGNFGQTSIFNTPSTGFSGNMFSSSLSLAPSNPAAFGPTTPSFASPFQPAQTSGAFSFSNFGQTQPGGGSGIFGQSNIGLSSATQTAAVVQPGTIINPYGTLPAMPQMSIGQAGTAPSVQYGISSMPVVDKPAPARISPLLTSRYLSQRRIRLPARKYHPNNNGPKVPFFNDDEETPHTPKADAVFIPRENPRALIIRPTESWPSRASAEKASSLKVTSAAVHENGKISDGSSNAEDKNENPAENGHVKERIHPVKGNQKANGVHDDHSAQKESYMTLNGHRAGEAAIVYEHGADIEALMPKLRRSDYYTEPRIQELAAKERAEPGYCRHVKDFVVGRHGYGSIKFLGETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKRTGQQYTDGPKIEKYKEMLKRKAKDQGAEFISYDPIKGEWKFRVNHFSRYKLEDEDDVKMWGVADS >KJB18866 pep chromosome:Graimondii2_0_v6:3:17298883:17307776:-1 gene:B456_003G072900 transcript:KJB18866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTTNPFGQSSSSPFASQSVFGQTSASSNPFAPKPSFGSPNPFGSQTGGSVFGGTSTGVFGAAQSSSPFSSTTTFGASSSPAFGSSTPTFGSSSSSFGGSSVFGQKPAFGFGSSPTQSNPFGSTNQQSQPAFGSGIFGSSTPFGSTQSAFGSSPAFGSTSSPAFGATSTLAFGASSTPGFGATSTPAFGASSTPAFGATSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGGTFGSSSSPAFGTSTTPAFGASSSAFGGSSTPAFGASSTPSFSFGSSPAFGQSAPAFGSSPFGTTSFGAQSSPFGSQSSAPAFGSTSLGQSPFGGQRGGSRVAPYTPTTDADSGSGQPGAKLESISAMPVYKDKSHEELRWEDYQLGDKGGPQPAAQATGGIGFGASTVSTSPFGSSTFGQTSANPFSSSSTNPFSLKPPSFNSTGFATSTTTSNPFQPTSSSLFGQASSTSLFSSSSTPTFGTGSSLFGSSVTPSFSSSPSIFGTGAAPATTSAFATGSNFGSSQTSPLFNSSPAIGQAGNAFGQMTSTFGQNTGNFGQTSIFNTPSTGFSGNMFSSSLSLAPSNPAAFGPTTPSFASPFQPAQTSGAFSFSNFGQTQPGGGSGIFGQSNIGLSSATQTAAVVQPGTIINPYGTLPAMPQMSIGQAGTAPSVQYGISSMPVVDKPAPARISPLLTSRYLSQRRIRLPARKYHPNNNGPKVPFFNDDEETPHTPKADAVFIPRENPRALIIRPTESWPSRASAEKASSLKVTSAAVHENENPAENGHVKERIHPVKGNQKANGVHDDHSAQKESYMTLNGHRAGEAAIVYEHGADIEALMPKLRRSDYYTEPRIQELAAKERAEPGYCRHVKDFVVGRHGYGSIKFLGETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKRTGQQYTDGPKIEKYKEMLKRKAKDQGAEFISYDPIKGEWKFRVNHFSRYKLEDEDDVKMWGVADS >KJB19025 pep chromosome:Graimondii2_0_v6:3:19897614:19898538:-1 gene:B456_003G081200 transcript:KJB19025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGIRGIRNLIIYSLPERKEFYYEIVNMLEGSDDLACTVLFSQYDKLQLERIVGTAPAKRMIKSEKGVFVFC >KJB19765 pep chromosome:Graimondii2_0_v6:3:35747513:35750210:-1 gene:B456_003G118300 transcript:KJB19765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSGVAEEVAASLCTFVQTPHRIVGASNCDLIRMPGGNQIQVDLPRSAFGDLQIKTTGELQKERETLNVNKDGRSNIYKLKIGTVEQNGWLTHKNGKNIQAPVPRILGFEARALHSPVDVFNGVQTSTVVSINGNVAESNGSVARKRLLSPLSGMLGPDQFNHDALDIGGGISQSGFNSGTKSCSIYVSQEHKKAHVSNSSSLDLAICSTSCFQEWKNSLVDNSQANSVVLSDVPLIKNKELQYGSHLVPPSGGLSYFGETNKRRNQTPAITIPIKKAGSPPLSLSPLGPKSIERTKYAEGCKDMVEQLDDDYMTFKELEQSLNGTVSVQTEEDFRLYGKSFHHFDDLQNKSDPCSPDLTWETALKWGQNLNHAPKSGKLVRSLSSGPSARRSLVGSFEESLLSGRLLSGKVSQRIDGFLAILSIAGGNFSPQSQKLPFAVTSVEGDNYLLYYSSIDLVRHTSTNKSRGPQMKRSLSIDNSQAEKSRLRVPMKGRIQLVLSNPEKTPIHTCLCNYDLTDMPAGTKTFMRQRITLAPAAATNMPGKENRKCTETKTDPNTGILHTPRYPERDMKDIESDLDSGSGVFNIRNKESSSSDNSNKCSKAVRRCKSDPLSAPAGNMNMEGERRFYLYNDMRVVFPQRQSDADEGQLHVEYDFPSNPKYFDISS >KJB19755 pep chromosome:Graimondii2_0_v6:3:35747313:35751676:-1 gene:B456_003G118300 transcript:KJB19755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSGVAEEVAASLCTFVQTPHRIVGASNCDLIRMPGGNQIQVDLPRSAFGDLQIKTTGELQKERETLNVNKDGRSNIYKLKIGTVEQNGWLTHKNGKNIQAPVPRILGFEARALHSPVDVFNGVQTSTVVSINGNVAESNGSVARKRLLSPLSGMLGPDQFNHDALDIGGGISQSGFNSGTKSCSIYVSQEHKKAHVSNSSSLDLAICSTSCFQEWKNSLVDNSQANSVVLSDVPLIKNKELQYGSHLVPPSGGLSYFGETNKRRNQTPAITIPIKKAGSPPLSLSPLGPKSIERTKYAEGCKDMVEQLDDDYMTFKELEQSLNGTVSVQTEEDFRLYGKSFHHFDDLQNKSDPCSPDLTWETALKWGQNLNHAPKSGKLVRSLSSGPSARRSLVGSFEESLLSGRLLSGKVSQRIDGFLAILSIAGGNFSPQSQKLPFAVTSVEGDNYLLYYSSIDLVRHTSTNKSRGPQMKRSLSIDNSQAEKSRLRVPMKGRIQLVLSNPEKTPIHTCLCNYDLTDMPADIYAPKDYFGTCCCN >KJB19760 pep chromosome:Graimondii2_0_v6:3:35747513:35750210:-1 gene:B456_003G118300 transcript:KJB19760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSGVAEEVAASLCTFVQTPHRIVGASNCDLIRMPGGNQIQVDLPRSAFGDLQIKTTGELQKERETLNVNKDGRSNIYKLKIGTVEQNGWLTHKNGKNIQAPVPRILGFEARALHSPVDVFNGVQTSTVVSINGNVAESNGSVARKRLLSPLSGMLGPDQFNHDALDIGGGISQSGFNSGTKSCSIYVSQEHKKAHVSNSSSLDLAICSTSCFQEWKNSLVDNSQANSVVLSDVPLIKNKELQYGSHLVPPSGGLSYFGETNKRRNQTPAITIPIKKAGSPPLSLSPLGPKSIERTKYAEGCKDMVEQLDDDYMTFKELEQSLNGTVSVQTEEDFRLYGKSFHHFDDLQNKSDPCSPDLTWETALKWGQNLNHAPKSGKLVRSLSSGPSARRSLVGSFEESLLSGRLLSGKVSQRIDGFLAILSIAGGNFSPQSQKLPFAVTSVEGDNYLLYYSSIDLVRHTSTNKSRGPQMKRSLSIDNSQAEKSRLRVPMKGRIQLVLSNPEKTPIHTCLCNYDLTDMPAGTKTFMRQRITLAPAAATNMPGKENRKCTETKTDPNTGILHTPRYPERDMKDIESDLDSGSGVFNIRNKESSSSDNSNKCSKAVRRCKSDPLSAPAGNMNMEGERRFYLYNDMRVVFPQRQSDADEGQLHVEYDFPSNPKYFDISS >KJB19758 pep chromosome:Graimondii2_0_v6:3:35747783:35750210:-1 gene:B456_003G118300 transcript:KJB19758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSGVAEEVAASLCTFVQTPHRIVGASNCDLIRMPGGNQIQVDLPRSAFGDLQIKTTGELQKERETLNVNKDGRSNIYKLKIGTVEQNGWLTHKNGKNIQAPVPRILGFEARALHSPVDVFNGVQTSTVVSINGNVAESNGSVARKRLLSPLSGMLGPDQFNHDALDIGGGISQSGFNSGTKSCSIYVSQEHKKAHVSNSSSLDLAICSTSCFQEWKNSLVDNSQANSVVLSDVPLIKNKELQYGSHLVPPSGGLSYFGETNKRRNQTPAITIPIKKAGSPPLSLSPLGPKSIERTKYAEGCKDMVEQLDDDYMTFKELEQSLNGTVSVQTEEDFRLYGKSFHHFDDLQNKSDPCSPDLTWETALKWGQNLNHAPKSGKLVRSLSSGPSARRSLVGSFEESLLSGRLLSGKVSQRIDGFLAILSIAGGNFSPQSQKLPFAVTSVEGDNYLLYYSSIDLVRHTSTNKSRGPQMKRSLSIDNSQAEKSRLRVPMKGRIQLVLSNPEKTPIHTCLCNYDLTDMPAGTKTFMRQRITLAPAAATNMPGKENRKCTETKTDPNTGILHTPRYPERDMKDIESDLDSGSGVFNIRNKESSSSDNSNET >KJB19756 pep chromosome:Graimondii2_0_v6:3:35748136:35750210:-1 gene:B456_003G118300 transcript:KJB19756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSGVAEEVAASLCTFVQTPHRIVGASNCDLIRMPGGNQIQVDLPRSAFGDLQIKTTGELQKERETLNVNKDGRSNIYKLKIGTVEQNGWLTHKNGKNIQAPVPRILGFEARALHSPVDVFNGVQTSTVVSINGNVAESNGSVARKRLLSPLSGMLGPDQFNHDALDIGGGISQSGFNSGTKSCSIYVSQEHKKAHVSNSSSLDLAICSTSCFQEWKNSLVDNSQANSVVLSDVPLIKNKELQYGSHLVPPSGGLSYFGETNKRRNQTPAITIPIKKAGSPPLSLSPLGPKSIERTKYAEGCKDMVEQLDDDYMTFKELEQSLNGTVSVQTEEDFRLYGKSFHHFDDLQNKSDPCSPDLTWETALKWGQNLNHAPKSGKLVRSLSSGPSARRSLVGSFEESLLSGRLLSGKVSQRIDGFLAILSIAGGNFSPQSQKLPFAVTSVEGDNYLLYYSSIDLVRHTSTNKSRGPQMKRSLSIDNSQAEKSRLRVPMKGRIQLVLSNPEKTPIHTCLCNYDLTDMPADIYAPKDYFGTCCCN >KJB19761 pep chromosome:Graimondii2_0_v6:3:35747313:35751676:-1 gene:B456_003G118300 transcript:KJB19761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSGVAEEVAASLCTFVQTPHRIVGASNCDLIRMPGGNQIQVDLPRSAFGDLQIKTTGELQKERETLNVNKDGRSNIYKLKIGTVEQNGWLTHKNGKNIQAPVPRILGFEARALHSPVDVFNGVQTSTVVSINGNVAESNGSVARKRLLSPLSGMLGPDQFNHDALDIGGGISQSGFNSGTKSCSIYVSQEHKKAHVSNSSSLDLAICSTSCFQEWKNSLVDNSQANSVVLSDVPLIKNKELQYGSHLVPPSGGLSYFGETNKRRNQTPAITIPIKKAGSPPLSLSPLGPKSIERTKYAEGCKDMVEQLDDDYMTFKELEQSLNGTVSVQTEEDFRLYGKSFHHFDDLQNKSDPCSPDLTWETALKWGQNLNHAPKSGKLVRSLSSGPSARRSLVGSFEESLLSGRLLSGKVSQRIDGFLAILSIAGGNFSPQSQKLPFAVTSVEGDNYLLYYSSIDLVRHTSTNKSRGPQMKRSLSIDNSQAEKSRLRVPMKGRIQLVLSNPEKTPIHTCLCNYDLTDMPADIYAPKDYFGTCCCN >KJB19767 pep chromosome:Graimondii2_0_v6:3:35748276:35750210:-1 gene:B456_003G118300 transcript:KJB19767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSGVAEEVAASLCTFVQTPHRIVGASNCDLIRMPGGNQIQVDLPRSAFGDLQIKTTGELQKERETLNVNKDGRSNIYKLKIGTVEQNGWLTHKNGKNIQAPVPRILGFEARALHSPVDVFNGVQTSTVVSINGNVAESNGSVARKRLLSPLSGMLGPDQFNHDALDIGGGISQSGFNSGTKSCSIYVSQEHKKAHVSNSSSLDLAICSTSCFQEWKNSLVDNSQANSVVLSDVPLIKNKELQYGSHLVPPSGGLSYFGETNKRRNQTPAITIPIKKAGSPPLSLSPLGPKSIERTKYAEGCKDMVEQLDDDYMTFKELEQSLNGTVSVQTEEDFRLYGKSFHHFDDLQNKSDPCSPDLTWETALKWGQNLNHAPKSGKLVRSLSSGPSARRSLVGSFEESLLSGRLLSGKVSQRIDGFLAILSIAGGNFSPQSQKLPFAVTSVEGDNYLLYYSSIDLVRHTSTNKSRGPQMKRSLSIDNSQAEKSRLRVPMKGRIQLVLSNPEKTPIHTCLCNYDLTDMPAGTKVHCRDK >KJB19764 pep chromosome:Graimondii2_0_v6:3:35747341:35751639:-1 gene:B456_003G118300 transcript:KJB19764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSGVAEEVAASLCTFVQTPHRIVGASNCDLIRMPGGNQIQVDLPRSAFGDLQIKTTGELQKERETLNVNKDGRSNIYKLKIGTVEQNGWLTHKNGKNIQAPVPRILGFEARALHSPVDVFNGVQTSTVVSINGNVAESNGSVARKRLLSPLSGMLGPDQFNHDALDIGGGISQSGFNSGTKSCSIYVSQEHKKAHVSNSSSLDLAICSTSCFQEWKNSLVDNSQANSVVLSDVPLIKNKELQYGSHLVPPSGGLSYFGETNKRRNQTPAITIPIKKAGSPPLSLSPLGPKSIERTKYAEGCKDMVEQLDDDYMTFKELEQSLNGTVSVQTEEDFRLYGKSFHHFDDLQNKSDPCSPDLTWETALKWGQNLNHAPKSGKLVRSLSSGPSARRSLVGSFEESLLSGRLLSGKVSQRIDGFLAILSIAGGNFSPQSQKLPFAVTSVEGDNYLLYYSSIDLVRHTSTNKSRGPQMKRSLSIDNSQAEKSRLRVPMKGRIQLVLSNPEKTPIHTCLCNYDLTDMPAGTKTFMRQRITLAPAAATNMPGKENRKCTETKTDPNTGILHTPRYPERDMKDIESDLDSGSGVFNIRNKESSSSDNSNET >KJB19763 pep chromosome:Graimondii2_0_v6:3:35747334:35751639:-1 gene:B456_003G118300 transcript:KJB19763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSGVAEEVAASLCTFVQTPHRIVGASNCDLIRMPGGNQIQVDLPRSAFGDLQIKTTGELQKERETLNVNKDGRSNIYKLKIGTVEQNGWLTHKNGKNIQAPVPRILGFEARALHSPVDVFNGVQTSTVVSINGNVAESNGSVARKRLLSPLSGMLGPDQFNHDALDIGGGISQSGFNSGTKSCSIYVSQEHKKAHVSNSSSLDLAICSTSCFQEWKNSLVDNSQANSVVLSDVPLIKNKELQYGSHLVPPSGGLSYFGETNKRRNQTPAITIPIKKAGSPPLSLSPLGPKSIERTKYAEGCKDMVEQLDDDYMTFKELEQSLNGTVSVQTEEDFRLYGKSFHHFDDLQNKSDPCSPDLTWETALKWGQNLNHAPKSGKLVRSLSSGPSARRSLVGSFEESLLSGRLLSGKVSQRIDGFLAILSIAGGNFSPQSQKLPFAVTSVEGDNYLLYYSSIDLVRHTSTNKSRGPQMKRSLSIDNSQAEKSRLRVPMKGRIQLVLSNPEKTPIHTCLCNYDLTDMPAGTKTFMRQRITLAPAAATNMPGKENRKCTETKTDPNTGILHTPRYPERDMKDIESDLDSGSGVFNIRNKESSSSDNSNVSLNKLVHSSSRVNESSVGSGVLRYALHLRFLCSSTKKCSKAVRRCKSDPLSAPAGNMNMEGERRFYLYNDMRVVFPQRQSDADEGQLHVEYDFPSNPKYFDISS >KJB19762 pep chromosome:Graimondii2_0_v6:3:35748136:35750210:-1 gene:B456_003G118300 transcript:KJB19762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSGVAEEVAASLCTFVQTPHRIVGASNCDLIRMPGGNQIQVDLPRSAFGDLQIKTTGELQKERETLNVNKDGRSNIYKLKIGTVEQNGWLTHKNGKNIQAPVPRILGFEARALHSPVDVFNGVQTSTVVSINGNVAESNGSVARKRLLSPLSGMLGPDQFNHDALDIGGGISQSGFNSGTKSCSIYVSQEHKKAHVSNSSSLDLAICSTSCFQEWKNSLVDNSQANSVVLSDVPLIKNKELQYGSHLVPPSGGLSYFGETNKRRNQTPAITIPIKKAGSPPLSLSPLGPKSIERTKYAEGCKDMVEQLDDDYMTFKELEQSLNGTVSVQTEEDFRLYGKSFHHFDDLQNKSDPCSPDLTWETALKWGQNLNHAPKSGKLVRSLSSGPSARRSLVGSFEESLLSGRLLSGKVSQRIDGFLAILSIAGGNFSPQSQKLPFAVTSVEGDNYLLYYSSIDLVRHTSTNKSRGPQMKRSLSIDNSQAEKSRLRVPMKGRIQLVLSNPEKTPIHTCLCNYDLTDMPADIYAPKDYFGTCCCN >KJB19757 pep chromosome:Graimondii2_0_v6:3:35747313:35751676:-1 gene:B456_003G118300 transcript:KJB19757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSGVAEEVAASLCTFVQTPHRIVGASNCDLIRMPGGNQIQVDLPRSAFGDLQIKTTGELQKERETLNVNKDGRSNIYKLKIGTVEQNGWLTHKNGKNIQAPVPRILGFEARALHSPVDVFNGVQTSTVVSINGNVAESNGSVARKRLLSPLSGMLGPDQFNHDALDIGGGISQSGFNSGTKSCSIYVSQEHKKAHVSNSSSLDLAICSTSCFQEWKNSLVDNSQANSVVLSDVPLIKNKELQYGSHLVPPSGGLSYFGETNKRRNQTPAITIPIKKAGSPPLSLSPLGPKSIERTKYAEGCKDMVEQLDDDYMTFKELEQSLNGTVSVQTEEDFRLYGKSFHHFDDLQNKSDPCSPDLTWETALKWGQNLNHAPKSGKLVRSLSSGPSARRSLVGSFEESLLSGRLLSGKVSQRIDGFLAILSIAGGNFSPQSQKLPFAVTSVEGDNYLLYYSSIDLVRHTSTNKSRGPQMKRSLSIDNSQAEKSRLRVPMKGRIQLVLSNPEKTPIHTCLCNYDLTDMPAGTKTFMRQRITLAPAAATNMPGKENRKCTETKTDPNTGILHTPRYPERDMKDIESDLDSGSGVFNIRNKESSSSDNSNET >KJB19766 pep chromosome:Graimondii2_0_v6:3:35747388:35750694:-1 gene:B456_003G118300 transcript:KJB19766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSGVAEEVAASLCTFVQTPHRIVGASNCDLIRMPGGNQIQVDLPRSAFGDLQIKTTGELQKERETLNVNKDGRSNIYKLKIGTVEQNGWLTHKNGKNIQAPVPRILGFEARALHSPVDVFNGVQTSTVVSINGNVAESNGSVARKRLLSPLSGMLGPDQFNHDALDIGGGISQSGFNSGTKSCSIYVSQEHKKAHVSNSSSLDLAICSTSCFQEWKNSLVDNSQANSVVLSDVPLIKNKELQYGSHLVPPSGGLSYFGETNKRRNQTPAITIPIKKAGSPPLSLSPLGPKSIERTKYAEGCKDMVEQLDDDYMTFKELEQSLNGTVSVQTEEDFRLYGKSFHHFDDLQNKSDPCSPDLTWETALKWGQNLNHAPKSGKLVRSLSSGPSARRSLVGSFEESLLSGRLLSGKVSQRIDGFLAILSIAGGNFSPQSQKLPFAVTSVEGDNYLLYYSSIDLVRHTSTNKSRGPQMKRSLSIDNSQAEKSRLRVPMKGRIQLVLSNPEKTPIHTCLCNYDLTDMPAGTKTFMRQRITLAPAAATNMPGKENRKCTETKTDPNTGILHTPRYPERDMKDIESDLDSGSGVFNIRNKESSSSDNSNVSLNKLVHSSSRVNESSVGSGVLRYALHLRFLCSSTKKCSKAVRRCKSDPLSAPAGNMNMEGERRFYLYNDMRVVFPQRQSDADEGQLHVEYDFPSNPKYFDISS >KJB19768 pep chromosome:Graimondii2_0_v6:3:35747619:35750694:-1 gene:B456_003G118300 transcript:KJB19768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSGVAEEVAASLCTFVQTPHRIVGASNCDLIRMPGGNQIQVDLPRSAFGDLQIKTTGELQKERETLNVNKDGRSNIYKLKIGTVEQNGWLTHKNGKNIQAPVPRILGFEARALHSPVDVFNGVQTSTVVSINGNVAESNGSVARKRLLSPLSGMLGPDQFNHDALDIGGGISQSGFNSGTKSCSIYVSQEHKKAHVSNSSSLDLAICSTSCFQEWKNSLVDNSQANSVVLSDVPLIKNKELQYGSHLVPPSGGLSYFGETNKRRNQTPAITIPIKKAGSPPLSLSPLGPKSIERTKYAEGCKDMVEQLDDDYMTFKELEQSLNGTVSVQTEEDFRLYGKSFHHFDDLQNKSDPCSPDLTWETALKWGQNLNHAPKSGKLVRSLSSGPSARRSLVGSFEESLLSGRLLSGKVSQRIDGFLAILSIAGGNFSPQSQKLPFAVTSVEGDNYLLYYSSIDLVRHTSTNKSRGPQMKRSLSIDNSQAEKSRLRVPMKGRIQLVLSNPEKTPIHTCLCNYDLTDMPAGTKTFMRQRITLAPAAATNMPGKENRKCTETKTDPNTGILHTPRYPERDMKDIESDLDSGSGVFNIRNKESSSSDNSNVSLNKLVHSSSRVNESSVGSGVLRYALHLRFLCSSTKKCSKAVRRCKSDPLSAPAGNMNMEGERRFYLYNDMRVVFPQRQSDADEGQVSCVFFFVFEKTSISCACSEES >KJB19759 pep chromosome:Graimondii2_0_v6:3:35747513:35750210:-1 gene:B456_003G118300 transcript:KJB19759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSGVAEEVAASLCTFVQTPHRIVGASNCDLIRMPGGNQIQVDLPRSAFGDLQIKTTGELQKERETLNVNKDGRSNIYKLKIGTVEQNGWLTHKNGKNIQAPVPRILGFEARALHSPVDVFNGVQTSTVVSINGNVAESNGSVARKRLLSPLSGMLGPDQFNHDALDIGGGISQSGFNSGTKSCSIYVSQEHKKAHVSNSSSLDLAICSTSCFQEWKNSLVDNSQANSVVLSDVPLIKNKELQYGSHLVPPSGGLSYFGETNKRRNQTPAITIPIKKAGSPPLSLSPLGPKSIERTKYAEGCKDMVEQLDDDYMTFKELEQSLNGTVSVQTEEDFRLYGKSFHHFDDLQNKSDPCSPDLTWETALKWGQNLNHAPKSGKLVRSLSSGPSARRSLVGSFEESLLSGRLLSGKVSQRIDGFLAILSIAGGNFSPQSQKLPFAVTSVEGDNYLLYYSSIDLVRHTSTNKSRGPQMKRSLSIDNSQAEKSRLRVPMKGRIQLVLSNPEKTPIHTCLCNYDLTDMPAGTKTFMRQRITLAPAAATNMPGKENRKCTETKTDPNTGILHTPRYPERDMKDIESDLDSGSGVFNIRNKESSSSDNSNKCSKAVRRCKSDPLSAPAGNMNMEGERRFYLYNDMRVVFPQRQSDADEGQLHVEYDFPSNPKYFDISS >KJB19830 pep chromosome:Graimondii2_0_v6:3:36162359:36164192:-1 gene:B456_003G120500 transcript:KJB19830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVSQSPAPQITPSVQFSSTAASNSPQFGRAARMMKFPGKKLLPLLVFILACLSILRLVKIAINTSHSSSPAAAFSSSVEQKVPSNAAGVHIGSHKTSLNATLLAPKEFMFLSDLIRRKAPCNLLIFGLQPQYLNLSSINAGGITIFLEDDPYKISEINADSNGTHIYEVEYKVPAKKAYELLKHARESPACVPATSLLQQSTCKLALRSLPKQVYQLKWDVVVVDGPIGDAPEAPGRMSTIYTASMLARAGTTTHVLVHDVHRTIEKWFSWEFLCEENLVSSKGKFWNFSISNHSNSTRFCSSDTVRIVN >KJB17437 pep chromosome:Graimondii2_0_v6:3:36206:42086:1 gene:B456_003G000800 transcript:KJB17437 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G17410) UniProtKB/Swiss-Prot;Acc:Q940Y3] MEFEITDTKENENKERELVVPVLGASNLNGGDHPVSPPLQQHPSSPSPVPMEANSITSHTGHANAGRNIQPHSTPAHHSTCLLPVSNDQSSLKPDVETTSNVKSESSSIFHFKTHTDSTSDHIQAAEATTDVKTETSSVSPPKLTENAKTETSSALTQSKTNYDCNPIPTCLDEPEPLTPSPLFSDMELLKEKVEDLKNGDNKTELPTTPVNGNNNSELSFFLDDIHFSDGNESGTEEEQSVFMKQLEIFFKERGMEFKPPKFYGEGLNCLKLWRAVTKLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKTRGAELNIPISSTPGNKR >KJB17432 pep chromosome:Graimondii2_0_v6:3:36846:40422:1 gene:B456_003G000800 transcript:KJB17432 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G17410) UniProtKB/Swiss-Prot;Acc:Q940Y3] MEFEITDTKENENKERELVVPVLGASNLNGGDHPVSPPLQQHPSSPSPVPMEANSITSHTGHANAGRNIQPHSTPAHHSTCLLPVSNDQSSLKPDVETTSNVKSESSSIFHFKTHTDSTSDHIQAAEATTDVKTETSSVSPPKLTENAKTETSSALTQSKTNYDCNPIPTCLDEPEPLTPSPLFSDMELLKEKVEDLKNGDNKTELPTTPVNGNNNSELSFFLDDIHFSDGNESGTEEEQSVFMKQLEIFFKERGMEFKPPKFYGEGLNCLKLWRAVTKLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKTRGAELNIPISSQAEAMNVDNQASGSGRARRDAAARAMRGWHSRHFRNCEVSEPIIKDKNSISQQKREKQLKSLGLLKRKKPSYLDCAVKASCTKVLKAHVETAVVDIGSPADWVKINVQKTVSFYAPDLQKWYGFWFLKV >KJB17433 pep chromosome:Graimondii2_0_v6:3:36206:42086:1 gene:B456_003G000800 transcript:KJB17433 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G17410) UniProtKB/Swiss-Prot;Acc:Q940Y3] MEFEITDTKENENKERELVVPVLGASNLNGGDHPVSPPLQQHPSSPSPVPMEANSITSHTGHANAGRNIQPHSTPAHHSTCLLPVSNDQSSLKPDVETTSNVKSESSSIFHFKTHTDSTSDHIQAAEATTDVKTETSSVSPPKLTENAKTETSSALTQSKTNYDCNPIPTCLDEPEPLTPSPLFSDMELLKEKVEDLKNGDNKTELPTTPVNGNNNSELSFFLDDIHFSDGNESGTEEEQSVFMKQLEIFFKERGMEFKPPKFYGEGLNCLKLWRAVTKLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKTRGAELNIPISSQAEAMNVDNQASGSGRARRDAAARAMRGWHSRHFRNCEVSEPIIKDKNSISQQKREKQLKSLGLLKRKKPSYLDCAVKASCTKVLKAHVETAVVDIGSPADWVKINVQKTKDCFEVYALVPGLLREEVRVQSDPAGRLVISGEPEHPDNPWGVTPFKKVLSTCPRGLIHIRHQRL >KJB17428 pep chromosome:Graimondii2_0_v6:3:36032:42136:1 gene:B456_003G000800 transcript:KJB17428 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G17410) UniProtKB/Swiss-Prot;Acc:Q940Y3] MEFEITDTKENENKERELVVPVLGASNLNGGDHPVSPPLQQHPSSPSPVPMEANSITSHTGHANAGRNIQPHSTPAHHSTCLLPVSNDQSSLKPDVETTSNVKSESSSIFHFKTHTDSTSDHIQAAEATTDVKTETSSVSPPKLTENAKTETSSALTQSKTNYDCNPIPTCLDEPEPLTPSPLFSDMELLKEKVEDLKNGDNKTELPTTPVNGNNNSELSFFLDDIHFSDGNESGTEEEQSVFMKQLEIFFKERGMEFKPPKFYGEGLNCLKLWRAVTKLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKTRGAELNIPISSQAEAMNVDNQASGSGRARRDAAARAMRGWHSRHFRNCEVSEPIIKDKNSISQQKREKQLKSLGLLKRKKPSYLDCAVKASCTKVLKAHVETAVVDIGSPADWVKINVQKTKDCFEVYALVPGLLREEVRVQSDPAGRLVISGEPEHPDNPWGVTPFKKVVNLPSRIDPHQTSAVVTLHGQLFVRVPFEQS >KJB17430 pep chromosome:Graimondii2_0_v6:3:36206:39524:1 gene:B456_003G000800 transcript:KJB17430 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G17410) UniProtKB/Swiss-Prot;Acc:Q940Y3] MEFEITDTKENENKERELVVPVLGASNLNGGDHPVSPPLQQHPSSPSPVPMEANSITSHTGHANAGRNIQPHSTPAHHSTCLLPVSNDQSSLKPDVETTSNVKSESSSIFHFKTHTDSTSDHIQAAEATTDVKTETSSVSPPKLTENAKTETSSALTQSKTNYDCNPIPTCLDEPEPLTPSPLFSDMELLKEKVEDLKNGDNKTELPTTPVNGNNNSELSFFLDDIHFSDGNESGTEEEQSVFMKQLEIFFKERGMEFKPPKFYGEGLNCLKLWRAVTKLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKTRGAELNIPISSQAEAMNVDNQVI >KJB17436 pep chromosome:Graimondii2_0_v6:3:36206:42086:1 gene:B456_003G000800 transcript:KJB17436 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G17410) UniProtKB/Swiss-Prot;Acc:Q940Y3] MEFEITDTKENENKERELVVPVLGASNLNGGDHPVSPPLQQHPSSPSPVPMEANSITSHTGHANAGRNIQPHSTPAHHSTCLLPVSNDQSSLKPDVETTSNVKSESSSIFHFKTHTDSTSDHIQAAEATTDVKTETSSVSPPKLTENAKTETSSALTQSKTNYDCNPIPTCLDEPEPLTPSPLFSDMELLKEKVEDLKNGDNKTELPTTPVNGNNNSELSFFLDDIHFSDGNESGTEEEQSVFMKQLEIFFKERGMEFKPPKFYGEGLNCLKLWRAVTKLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKTRGAELNIPISSQAEAMNVDNQASGSGRARRDAAARAMRGWHSRHFRNCEVSEPIIKDKNSISQQKREKQLKSLAGFLHINAGLLKRKKPSYLDCAVKASCTKVLKAHVETAVVDIGSPADWVKINVQKTKDCFEVYALVPGLLREEVRVQSDPAGRLVISGEPEHPDNPWGVTPFKKVVNLPSRIDPHQTSAVVTLHGQLFVRVPFEQS >KJB17429 pep chromosome:Graimondii2_0_v6:3:36206:40763:1 gene:B456_003G000800 transcript:KJB17429 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G17410) UniProtKB/Swiss-Prot;Acc:Q940Y3] MEFEITDTKENENKERELVVPVLGASNLNGGDHPVSPPLQQHPSSPSPVPMEANSITSHTGHANAGRNIQPHSTPAHHSTCLLPVSNDQSSLKPDVETTSNVKSESSSIFHFKTHTDSTSDHIQAAEATTDVKTETSSVSPPKLTENAKTETSSALTQSKTNYDCNPIPTCLDEPEPLTPSPLFSDMELLKEKVEDLKNGDNKTELPTTPVNGNNNSELSFFLDDIHFSDGNESGTEEEQSVFMKQLEIFFKERGMEFKPPKFYGEGLNCLKLWRAVTKLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKTRGAELNIPISSQAEAMNVDNQASGSGRARRDAAARAMRGWHSRHFRNCEVSEPIIKDKNSISQQKREKQLKSLGLLKRKKPSYLDCAVKASCTKVLKAHVETAVVDIGSPADWVKINVQKTKDCFEVYALVPGLLREELY >KJB17435 pep chromosome:Graimondii2_0_v6:3:36053:42086:1 gene:B456_003G000800 transcript:KJB17435 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G17410) UniProtKB/Swiss-Prot;Acc:Q940Y3] MEFEITDTKENENKERELVVPVLGASNLNGGDHPVSPPLQQHPSSPSPVPMEANSITSHTGHANAGRNIQPHSTPAHHSTCLLPVSNDQSSLKPDVETTSNVKSESSSIFHFKTHTDSTSDHIQAAEATTDVKTETSSVSPPKLTENAKTETSSALTQSKTNYDCNPIPTCLDEPEPLTPSPLFSDMELLKEKVEDLKNGDNKTELPTTPVNGNNNSELSFFLDDIHFSDGNESGTEEEQSVFMKQLEIFFKERGMEFKPPKFYGEGLNCLKLWRAVTKLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKTRGAELNIPISSQAEAMNVDNQASGSGRARRDAAARAMRGWHSRHFRNCEVSEPIIKDKNSISQQKREKQLKSLGLLKRKKPSYLDCAVKASCTKVLKAHVETAVVDIGSPADWVKINVQKTKDCFEVYALVPGLLREEDAW >KJB17434 pep chromosome:Graimondii2_0_v6:3:36206:42086:1 gene:B456_003G000800 transcript:KJB17434 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G17410) UniProtKB/Swiss-Prot;Acc:Q940Y3] MEFEITDTKENENKERELVVPVLGASNLNGGDHPVSPPLQQHPSSPSPVPMEANSITSHTGHANAGRNIQPHSTPAHHSTCLLPVSNDQSSLKPDVETTSNVKSESSSIFHFKTHTDSTSDHIQAAEATTDVKTETSSVSPPKLTENAKTETSSALTQSKTNYDCNPIPTCLDEPEPLTPSPLFSDMELLKEKVEDLKNGDNKTELPTTPVNGNNNSELSFFLDDIHFSDGNESGTEEEQSVFMKQLEIFFKERGMEFKPPKFYGEGLNCLKLWRAVTKLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKTRGAELNIPISSQAEAMNVDNQASGSGRARRDAAARAMRGWHSRHFRNCEVSEPIIKDKNSISQQKREKQLKSLVWRQQWLILDPQQIGLRSMCRKLCVFNLILQDAW >KJB17431 pep chromosome:Graimondii2_0_v6:3:36206:40775:1 gene:B456_003G000800 transcript:KJB17431 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT2G17410) UniProtKB/Swiss-Prot;Acc:Q940Y3] MEFEITDTKENENKERELVVPVLGASNLNGGDHPVSPPLQQHPSSPSPVPMEANSITSHTGHANAGRNIQPHSTPAHHSTCLLPVSNDQSSLKPDVETTSNVKSESSSIFHFKTHTDSTSDHIQAAEATTDVKTETSSVSPPKLTENAKTETSSALTQSKTNYDCNPIPTCLDEPEPLTPSPLFSDMELLKEKVEDLKNGDNKTELPTTPVNGNNNSELSFFLDDIHFSDGNESGTEEEQSVFMKQLEIFFKERGMEFKPPKFYGEGLNCLKLWRAVTKLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKTRGAELNIPISSQAEAMNVDNQASGSGRARRDAAARAMRGWHSRHFRNCEVSEPIIKDKNSISQQKREKQLKSLGLLKRKKPSYLDCAVKASCTKVLKAHVETAVVDIGSPADWVKINVQKTKDCFEVYALVPGLLREEVSEQTRKTM >KJB18927 pep chromosome:Graimondii2_0_v6:3:18196340:18200451:1 gene:B456_003G075300 transcript:KJB18927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYLEEEDDKFFDSREEISSASEECGPSPDLALVNDFLDSFDHFEFWSAFPQSVDKRRHYFRRWMDLNFDGNSITGEVPGSSDRDELELGISRISLDSGAVLRTSGLEHGVSSNQSLVSSRIDDAQQPEDRFACQADNLDGQVESVTVEQYQNGIKSCLPSSSSSRSVCSQVSERTTMLSPLVERHLDGEVNGRPAIDVKRKVKRSWLRKLGAMAHIVDRHVDVSSKPDNHDSVPGERMKRVRPHPSSKHSKELSSLYCGQEFVAHEGSILTMKFSLDGEFLATAGEDCIVRVWKIVEDDSLDKFDIQDLDPSCLYFRMNHLSQLIPLNVDKENIDKIKRLRRSSYSTCVIFPPKVFRVLEKPVHEFQGHSAEILALSWSKKGFLLSSSVDMTVRLWQVGYYGCLRVFSHNNYVTSVAFNPVDDNYFISGSIDGKVRIWEVLRCRVIDYTDVRDIVTAVCYRPDGKGGIVGSMTGSCRFYDVIGTRLQLDEPIYLQGKKKLPGKRITGFEFSPTDPSKVIITSADSLVRVLSGRDVICKVKASGFRVVTSQISATFSQDGKQIISASEDSNIYIWNYANQEKNSSKLKSISSCESFLSHNASVAIPWRGIETIPATLTSLELDGGDVRRNSHPSGQKHQSPKVEPEQPMPNSSSDCFSLTRVLLESLTKGSPTWPEETLPNASPVTVASDMCKFELKVLKSAYQSMLSSHKWGLVIVTADWDGRIRTYLNYGLPIRL >KJB20133 pep chromosome:Graimondii2_0_v6:3:39230897:39233455:1 gene:B456_003G134500 transcript:KJB20133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKGYKGNLVVSSNPKALPRSSYRQITAIRNRRNVKGAAGHVAIFLLKVAALETVRRVSKAKCPFLWRGFQALQVICYPPFNFFQKWVPFKGLVKGMQMLSRPLLVLSIATSLSDQEELVGEASVRRSGPLVNTESHSEEPSLQPALDASNHEASQNLECESWLGKLHKELENQGISLPERINYEDLRRFYTAANGDFVVFLSSIKKTIKWRETYRILSPEELETWANMVFWHGYDLMHRPCLIVRLGLACSCLPSHDRPRFAQAVSMFLAPVVLFTFPFCCHLKFNETSSVIGFKYLIPLFNEL >KJB20136 pep chromosome:Graimondii2_0_v6:3:39230870:39234835:1 gene:B456_003G134500 transcript:KJB20136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKGYKGNLVVSSNPKALPRSSYRQITAIRNRRNVKGAAGHVAIFLLKVAALETVRRVSKAKCPFLWRGFQALQVICYPPFNFFQKWVPFKGLVKGMQMLSRPLLVLSIATSLSDQEELVGEASVRRSGPLVNTESHSEEPSLQPALDASNHEASQNLECESWLGKLHKELENQGISLPERINYEDLRRFYTAANGDFVVFLSSIKKTIKWRETYRILSPEELETWANMVFWHGYDLMHRPCLIVRLGLACSCLPSHDRPRFAQAVISQVEHGIMYLVSPENPEVTVLVDCEGLSPFKIPMQVMRSCSSLLQDHYPNRLGCLFVIRLPPVVRVIAQTFIQVLKPTTRKKLKIGGETYRKILLENVQTLPSYLGGDCRCTKCLNIGMDVKRPRIDQLNKLQTNEGVSDSEDTSELDLICQDDIDPSWSYDQVLRTAIIGLLMFWVLIAVMAGLSDPEFQSQ >KJB20134 pep chromosome:Graimondii2_0_v6:3:39231148:39234018:1 gene:B456_003G134500 transcript:KJB20134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKGYKGNLVVSSNPKALPRSSYRQITAIRNRRNVKGAAGHVAIFLLKVAALETVRRVSKAKCPFLWRGFQALQVICYPPFNFFQKWVPFKGLVKGMQMLSRPLLVLSIATSLSDQEELVGEASVRRSGPLVNTESHSEEPSLQPALDASNHEASQNLECESWLGKLHKELENQGISLPERINYEDLRRFYTAANGDFVVFLSSIKKTIKWRETYRILSPEELETWANMVFWHGYDLMHRPCLIVRLGLACSCLPSHDRPRFAQAVISQVEHGIMYLVSPENPEVTVLVDCEGLSPFKIPMQVMRSCSSLLQDHYPNRLGCLFVIRLPPVVRVIAQTFIQVNFPPLTKT >KJB20132 pep chromosome:Graimondii2_0_v6:3:39230897:39234757:1 gene:B456_003G134500 transcript:KJB20132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKGYKGNLVVSSNPKALPRSSYRQITAIRNRRNVKGAAGHVAIFLLKVAALETVRRVSKAKCPFLWRGFQALQVICYPPFNFFQKWVPFKGLVKGMQMLSRPLLVLSIATSLSDQEELVGEASVRRSGPLVNTESHSEEPSLQPALDASNHEASQNLECESWLGKLHKELENQGISLPERINYEDLRRFYTAANGDFVVFLSSIKKTIKWRETYRILSPEELETWANMVFWHGYDLMHRPCLIVRLGLACSCLPSHDRPRFAQAVISQVEHGIMYLVSPENPEVTVLVDCEGLSPFKIPMQVMRSCSSLLQDHYPNRLGCLFVIRLPPVVRVIAQTFIQVLKPTTRKKLKIGGETYRKILLENVQTLPSYLGGDCRCTKCLNIGMDVKRPRIDQLNKLQTNEGVSDSEDTSELDLICQDDIDPSWSYDQVLRTAIIGLLMFWVLIAVMAGLSDPEFQSQ >KJB20135 pep chromosome:Graimondii2_0_v6:3:39230897:39234757:1 gene:B456_003G134500 transcript:KJB20135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKGYKGNLVVSSNPKALPRSSYRQITAIRNRRNVKGAAGHVAIFLLKVAALETVRRVSKAKCPFLWRGFQALQVICYPPFNFFQKWVPFKGLVKGMQMLSRPLLVLSIATSLSDQEELVGEASVRRSGPLVNTESHSEEPSLQPALDASNHEASQNLECESWLGKLHKELENQGISLPERINYEDLRRFYTAANGDFVVFLSSIKKTIKWRETYRILSPEELETWANMVFWHGYDLMHRPCLIVRLGLACSCLPSHDRPRFAQAVNHYPNRLGCLFVIRLPPVVRVIAQTFIQVLKPTTRKKLKIGGETYRKILLENVQTLPSYLGGDCRCTKCLNIGMDVKRPRIDQLNKLQTNEGVSDSEDTSELDLICQDDIDPSWSYDQVLRTAIIGLLMFWVLIAVMAGLSDPEFQSQ >KJB18424 pep chromosome:Graimondii2_0_v6:3:7953077:7958739:-1 gene:B456_003G052200 transcript:KJB18424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSFKGTRSSLSISSDATESQKPPIPPTVTFGRRTSSGRYVSYSRDDLDSELSSSDFMNYTVHIPPTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEANHPQMAGAKGSSCAVPGCDAKIMSDERGADILPCECDFKICRDCYIDAVKSGDGLCPGCKEPYKNTDLDEAAVDNSFRPLPLPPPATMSKMERRLSLMKSMKSGLMRSQTGDFDHNRWLFETRGTYGYGNAIWPKDGNIGNGKDDEVAEPTELMNKPWRPLTRKLKIPAAVLSPYRLLIFLRIVVLGLFLAWRVNHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPINRSTDLNVLKDKFETPTLNNPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHNIEPRNPESYFNLKRDPYKNKVKPDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAFHAREEIKAMKLQRQNREDEPVEAVKIPKATWMADGTHWPGTWLNPANEHSRGDHAGIIQVMLKPPSDEPLHGTADDRLIDLTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANNNTVFFDVNMRALDGLMGPVYVGTGCLFRRVALYGFDPPRSKEHHPGCCSCCFFGRNKKHSSMAHSPEENRALRMGDSDDEEMNLSLLPKRFGNSTFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGHRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKILQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITVTLCLLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDLDDEFADLYVVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLMAITISLLWVAINPPSTTNQIGGSFQFP >KJB20076 pep chromosome:Graimondii2_0_v6:3:38662856:38665167:-1 gene:B456_003G131800 transcript:KJB20076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSLTLIPKLAKNPPLKALSLFNSSILQGLQHTPESISFTLHILLSSNLRFHSQSLLLQIISGRISSPFFTPSSLFHYLTQHCFSPNSMNQIRLYESIINAHVQSQLPDQAIYYFNQMVDKNFVVGPNTFNGIMDFLIKFYCFEKAWTLFQESKGRVKLDVYSFGILIKGCCEAGDLGKSFELLDQIEELGLAPNVVIYTTLIDGCCKNGDLEQAKLLFAKMEELGLVPNEYTYTVLINGLFKRGLENDGLELYEKMQLMKVYCSEGKVGKAFEMFDEMRERGVACNVVTYNILIGGLCREKRLREAEKLVDQMKRTGLSPNLITYNSLIDGFCNVGKLEKARYLFGQLKTKGQSPSLVTYNILISAFSRAKDSTTIAKLVKEMEERGIRPSKKAGLGPDVYTYGVLIHGLCIKGNMKDAWKLFTSMDEMQLKPNDVIYNTMVHGYCKQGSSYRALRLLQEMKEKRLVPNVASYNSTIGVLCKDGKWQEAEALVTEMVESGFKPTVSIYNLISEIKNNT >KJB20077 pep chromosome:Graimondii2_0_v6:3:38662673:38665167:-1 gene:B456_003G131800 transcript:KJB20077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSLTLIPKLAKNPPLKALSLFNSSILQGLQHTPESISFTLHILLSSNLRFHSQSLLLQIISGRISSPFFTPSSLFHYLTQHCFSPNSMNQIRLYESIINAHVQSQLPDQAIYYFNQMVDKNFVVGPNTFNGIMDFLIKFYCFEKAWTLFQESKGRVKLDVYSFGILIKGCCEAGDLGKSFELLDQIEELGLAPNVVIYTTLIDGCCKNGDLEQAKLLFAKMEELGLVPNEYTYTVLINGLFKRGLENDGLELYEKMQLMKVYCSEGKVGKAFEMFDEMRERGVACNVVTYNILIGGLCREKRLREAEKLVDQMKRTGLSPNLITYNSLIDGFCNVGKLEKARYLFGQLKTKGQSPSLVTYNILISAFSRAKDSTTIAKLVKEMEERGIRPSKKAGLGPDVYTYGVLIHGLCIKGNMKDAWKLFTSMDEMQLKPNDVIYNTMVHGYCKQGSSYRALRLLQEMKEKRLVPNVASYNSTIGVLCKDGKWQEAEALVTEMVESGFKPTVSIYNLISEIKNNT >KJB20274 pep chromosome:Graimondii2_0_v6:3:40440664:40443474:-1 gene:B456_003G141300 transcript:KJB20274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRFFIAISLVSLILISTLTPSISKTAKNDNVELDDDEDLRFLEETEGKKDAAPSHSHFNEEDDGDDEYPDLDDEEFGNYHDFDDSDSDPYKEPEIDDKDVVVLKEGNFSDFIEKNKFVMVEFYAPWCGHCQALAPEYAAAATELKGEEVVLAKVDATEENELAQEYDVQGFPTVYFFVDGEHKPYPGARNKEAIVTWIKKKIGPGISNITTIDEAERILTSESKVALGYLNSLVGPESEEIAAASRLQDDVSFYQTVNPDVAKLFHLDPQVKRPALVLVKMEAEKINYFDGRFVKTAISEFVFSNKLPLITIFTRESAPSIFESDIKKQILMFAALNISEKYLPSFQEAAKSFKGKLIFVYVQVDNEDFGRPVADYFGVSGDGPKLLAYTGNDDARKFVFDGEVTLDKIKAFGEDFLEDRLKPFYKSEPIPETNDGDVKVVVGNNFDEIVLDESKDVLLEIYAPWCGHCQALEPTYNKLAKHLRGIDSLVIAKMDGTTNEHPRAKVKINSNLRFNSSLSS >KJB20273 pep chromosome:Graimondii2_0_v6:3:40440377:40443556:-1 gene:B456_003G141300 transcript:KJB20273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRFFIAISLVSLILISTLTPSISKTAKNDNVELDDDEDLRFLEETEGKKDAAPSHSHFNEEDDGDDEYPDLDDEEFGNYHDFDDSDSDPYKEPEIDDKDVVVLKEGNFSDFIEKNKFVMVEFYAPWCGHCQALAPEYAAAATELKGEEVVLAKVDATEENELAQEYDVQGFPTVYFFVDGEHKPYPGARNKEAIVTWIKKKIGPGISNITTIDEAERILTSESKVALGYLNSLVGPESEEIAAASRLQDDVSFYQTVNPDVAKLFHLDPQVKRPALVLVKMEAEKINYFDGRFVKTAISEFVFSNKLPLITIFTRESAPSIFESDIKKQILMFAALNISEKYLPSFQEAAKSFKGKLIFVYVQVDNEDFGRPVADYFGVSGDGPKLLAYTGNDDARKFVFDGEVTLDKIKAFGEDFLEDRLKPFYKSEPIPETNDGDVKVVVGNNFDEIVLDESKDVLLEIYAPWCGHCQALEPTYNKLAKHLRGIDSLVIAKMDGTTNEHPRAKSDGFPTILFFPAGNKSFDPVSTI >KJB20271 pep chromosome:Graimondii2_0_v6:3:40439755:40443417:-1 gene:B456_003G141300 transcript:KJB20271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRFFIAISLVSLILISTLTPSISKTAKNDNVELDDDEDLRFLEETEGKKDAAPSHSHFNEEDDGDDEYPDLDDEEFGNYHDFDDSDSDPYKEPEIDDKDVVVLKEGNFSDFIEKNKFVMVEFYAPWCGHCQALAPEYAAAATELKGEEVVLAKVDATEENELAQEYDVQGFPTVYFFVDGEHKPYPGARNKEAIVTWIKKKIGPGISNITTIDEAERILTSESKVALGYLNSLVGPESEEIAAASRLQDDVSFYQTVNPDVAKLFHLDPQVKRPALVLVKMEAEKINYFDGRFVKTAISEFVFSNKLPLITIFTRESAPSIFESDIKKQILMFAALNISEKYLPSFQEAAKSFKGKLIFVYVQVDNEDFGRPVADYFGVSGDGPKLLAYTGNDDARKFVFDGEVTLDKIKVAFGEDFLEDRLKPFYKSEPIPETNDGDVKVVVGNNFDEIVLDESKDVLLEIYAPWCGHCQALEPTYNKLAKHLRGIDSLVIAKMDGTTNEHPRAKSDGFPTILFFPAGNKSFDPITVDTDRTVVAFYKFLKKHASIPFKLQKPVSAPKAEAASTPETKGEESKESDKSSNGGLKDEL >KJB20270 pep chromosome:Graimondii2_0_v6:3:40439404:40443556:-1 gene:B456_003G141300 transcript:KJB20270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRFFIAISLVSLILISTLTPSISKTAKNDNVELDDDEDLRFLEETEGKKDAAPSHSHFNEEDDGDDEYPDLDDEEFGNYHDFDDSDSDPYKEPEIDDKDVVVLKEGNFSDFIEKNKFVMVEFYAPWCGHCQALAPEYAAAATELKGEEVVLAKVDATEENELAQEYDVQGFPTVYFFVDGEHKPYPGARNKEAIVTWIKKKIGPGISNITTIDEAERILTSESKVALGYLNSLVGPESEEIAAASRLQDDVSFYQTVNPDVAKLFHLDPQVKRPALVLVKMEAEKINYFDGRFVKTAISEFVFSNKLPLITIFTRESAPSIFESDIKKQILMFAALNISEKYLPSFQEAAKSFKGKLIFVYVQVDNEDFGRPVADYFGVSGDGPKLLAYTGNDDARKFVFDGEVTLDKIKAFGEDFLEDRLKPFYKSEPIPETNDGDVKVVVGNNFDEIVLDESKDVLLEIYAPWCGHCQALEPTYNKLAKHLRGIDSLVIAKMDGTTNEHPRAKSDGFPTILFFPAGNKSFDPITVDTDRTVVAFYKFLKKHASIPFKLQKPVSAPKAEAASTPETKGEESKESDKSSNGGLKDEL >KJB20272 pep chromosome:Graimondii2_0_v6:3:40439442:40443474:-1 gene:B456_003G141300 transcript:KJB20272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRFFIAISLVSLILISTLTPSISKTAKNDNVELDDDEDLRFLEETEGKKDAAPSHSHFNEEDDGDDEYPDLDDEEFGNYHDFDDSDSDPYKEPEIDDKDVVVLKEGNFSDFIEKNKFVMVEFYAPWCGHCQALAPEYAAAATELKGEENELAQEYDVQGFPTVYFFVDGEHKPYPGARNKEAIVTWIKKKIGPGISNITTIDEAERILTSESKVALGYLNSLVGPESEEIAAASRLQDDVSFYQTVNPDVAKLFHLDPQVKRPALVLVKMEAEKINYFDGRFVKTAISEFVFSNKLPLITIFTRESAPSIFESDIKKQILMFAALNISEKYLPSFQEAAKSFKGKLIFVYVQVDNEDFGRPVADYFGVSGDGPKLLAYTGNDDARKFVFDGEVTLDKIKAFGEDFLEDRLKPFYKSEPIPETNDGDVKVVVGNNFDEIVLDESKDVLLEIYAPWCGHCQALEPTYNKLAKHLRGIDSLVIAKMDGTTNEHPRAKSDGFPTILFFPAGNKSFDPITVDTDRTVVAFYKFLKKHASIPFKLQKPVSAPKAEAASTPETKGEESKESDKSSNGGLKDEL >KJB20566 pep chromosome:Graimondii2_0_v6:3:42325071:42329936:-1 gene:B456_003G154400 transcript:KJB20566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCFCSQNVRDGIHACLRDFDRLQNLAVVLIYIQIGCSLIGSLGALYNGVSLINLGIALFALVAIESSSQSLGRTYAVLLFCAILLDISWFILFSHDIWNMSSERNGMLFTFSLRLSLAMEIVGFCVRFCSSLLWIQIYRQGISYVNSGANPRDPDFDLRTSFLSPATLPNSRQCSHNDSDDALGASIYDPAYYSSLFEDRQQPSRHSFLVENDPKVLQIVRIQAHI >KJB20569 pep chromosome:Graimondii2_0_v6:3:42327929:42329833:-1 gene:B456_003G154400 transcript:KJB20569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCFCSQNVRDGIHACLRDFDRLQNLAVVLIYIQIGCSLIGSLGALYNGVSLINLGIALFALVAIESSSQSLGRTYAVLLFCAILLDISWFILFSHDIWNMSSERNGMLFTFSLRLSLAMEIVGFCVRFCSSLLWIQIYRQGISYVNSGANPRDPDFDLRTSFLSPATLPNSRQCSHNDSDDALGASIYDPAYYSSLFEDRQQPSRHSFLGQNNAVSRTGSTAGAEVS >KJB20568 pep chromosome:Graimondii2_0_v6:3:42327929:42329833:-1 gene:B456_003G154400 transcript:KJB20568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCFCSQNVRDGIHACLRDFDRLQNLAVVLIYIQIGCSLIGSLGALYNGVSLINLGIALFALVAIESSSQSLGRTYAVLLFCAILLDISWFILFSHDIWNMSSERNGMLFTFSLRLSLAMEIVGFCVRFCSSLLWIQIYRQGISYVNSGANPRDPDFDLRTSFLSPATLPNSRQCSHNDSDDALGASIYDPAYYSSLFEDRQQPSRHSFLGQNNAVSRTGSTAGAEVS >KJB20564 pep chromosome:Graimondii2_0_v6:3:42325071:42329936:-1 gene:B456_003G154400 transcript:KJB20564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCFCSQNVRDGIHACLRDFDRLQNLAVVLIYIQIGCSLIGSLGALYNGVSLINLGIALFALVAIESSSQSLGRTYAVLLFCAILLDISWFILFSHDIWNMSSERNGMLFTFSLRLSLAMEIVGFCVRFCSSLLWIQIYRQGISYVNSGANPRDPDFDLRTSFLSPATLPNSRQCSHNDSDDALGASIYDPAYYSSLFEDRQQPSRHSFLVENDPKVLQIVRIQAHI >KJB20570 pep chromosome:Graimondii2_0_v6:3:42328779:42329507:-1 gene:B456_003G154400 transcript:KJB20570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCFCSQNVRDGIHACLRDFDRLQNLAVVLIYIQIGCSLIGSLGALYNGVSLINLGIALFALVAIESSSQSLGRTYAVLLFCAILLDISWFILFSHDIWNMSSERNGMLFTFSLRLSLAMEIVGFCVRFCSSLLWIQIYRQGISYVNSGANPRDPDFDLRTSFLSPATLPNSRQCSHNDSDDALGASIYDPAYYSSLFEDRQQPSRHSFLV >KJB20565 pep chromosome:Graimondii2_0_v6:3:42325648:42329507:-1 gene:B456_003G154400 transcript:KJB20565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCFCSQNVRDGIHACLRDFDRLQNLAVVLIYIQIGCSLIGSLGALYNGVSLINLGIALFALVAIESSSQSLGRTYAVLLFCAILLDISWFILFSHDIWNMSSERNGMLFTFSLRLSLAMEIVGFCVRFCSSLLWIQIYRQGISYVNSGANPRDPDFDLRTSFLSPATLPNSRQCSHNDSDDALGASIYDPAYYSSLFEDRQQPSRHSFLLQVENDPKVLQIVRIQAHI >KJB20562 pep chromosome:Graimondii2_0_v6:3:42325055:42329833:-1 gene:B456_003G154400 transcript:KJB20562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCFCSQNVRDGIHACLRDFDRLQNLAVVLIYIQIGCSLIGSLGALYNGVSLINLGIALFALVAIESSSQSLGRTYAVLLFCAILLDISWFILFSHDIWNMSSERNGMLFTFSLRLSLAMEIVGFCVRFCSSLLWIQIYRQGISYVNSGANPRDPDFDLRTSFLSPATLPNSRQCSHNDSDDALGASIYDPAYYSSLFEDRQQPSRHSFLVENDPKVLQIVRIQAHI >KJB20567 pep chromosome:Graimondii2_0_v6:3:42328033:42329936:-1 gene:B456_003G154400 transcript:KJB20567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCFCSQNVRDGIHACLRDFDRLQNLAVVLIYIQIGCSLIGSLGALYNGVSLINLGIALFALVAIESSSQSLGRTYAVLLFCAILLDISWFILFSHDIWNMSSERNGMLFTFSLRLSLAMEIVGFCVRFCSSLLWIQIYRQGISYVNSGANPRDPDFDLRTSFLSPATLPNSRQCSHNDSDDALGASIYDPAYYSSLFEDRQQPSRHSFLGQNNAVSRTGSTAGAEVS >KJB20563 pep chromosome:Graimondii2_0_v6:3:42325648:42329507:-1 gene:B456_003G154400 transcript:KJB20563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCFCSQNVRDGIHACLRDFDRLQNLAVVLIYIQIGCSLIGSLGALYNGVSLINLGIALFALVAIESSSQSLGRTYAVLLFCAILLDISWFILFSHDIWNMSSERNGMLFTFSLRLSLAMEIVGFCVRFCSSLLWIQIYRQGISYVNSGANPRDPDFDLRTSFLSPATLPNSRQCSHNDSDDALGASIYDPAYYSSLFEDRQQPSRHSFLLQVENDPKVLQIVRIQAHI >KJB20561 pep chromosome:Graimondii2_0_v6:3:42325648:42329507:-1 gene:B456_003G154400 transcript:KJB20561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCFCSQNVRDGIHACLRDFDRLQNLAVVLIYIQIGCSLIGSLGALYNGVSLINLGIALFALVAIESSSQSLGRTYAVLLFCAILLDISWFILFSHDIWNMSSERNGMLFTFSLRLSLAMEIVGFCVRFCSSLLWIQIYRQGISYVNSGANPRDPDFDLRTSFLSPATLPNSRQCSHNDSDDALGASIYDPAYYSSLFEDRQQPSRHSFLLQVENDPKVLQIVRIQAHI >KJB20571 pep chromosome:Graimondii2_0_v6:3:42327385:42329936:-1 gene:B456_003G154400 transcript:KJB20571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCFCSQNVRDGIHACLRDFDRLQNLAVVLIYIQIGCSLIGSLGALYNGVSLINLGIALFALVAIESSSQSLGRTYAVLLFCAILLDISWFILFSHDIWNMSSERNGMLFTFSLRLSLAMEIVGFCVRFCSSLLWIQIYRQGISYVNSGANPRDPDFDLRTSFLSPATLPNSRQCSHNDSDDALGASIYDPAYYSSLFEDRQQPSRHSFLGQNNAVSRTGSTAGAEVS >KJB19174 pep chromosome:Graimondii2_0_v6:3:24074444:24076281:-1 gene:B456_003G088400 transcript:KJB19174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVYMACQVGRINLQAGLSISENDVVASSCLTLATCAIQRWSNELSLSGQSSKIGLKSLTFPKKSSTQRHGWKIALALDTGGVPGNGEDSLNSDSSNLGGTRLGRIVSAGGRQLLEKLNSARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKSPSLKTGRLQSIVVMVNYWKAGVCLGLFVDAFKLGS >KJB19178 pep chromosome:Graimondii2_0_v6:3:24074685:24076166:-1 gene:B456_003G088400 transcript:KJB19178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQVGRINLQAGLSISENDVVASSCLTLATCAIQRWSNELSLSGQSSKIGLKSLTFPKKSSTQRHGWKIALALDTGGVPGNGEDSLNSDSSNLGGTRLGRIVSAGGRQLLEKLNSARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKSPSLKTGRLQSIVVMVNYWKAGVCLGLFVDAFKLGS >KJB19177 pep chromosome:Graimondii2_0_v6:3:24074947:24075728:-1 gene:B456_003G088400 transcript:KJB19177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQVGRINLQAGLSISENDVVASSCLTLATCAIQRWSNELSLSGQSSKIGLKSLTFPKKSSTQRHGWKIALALDTGGVPGNGEDSLNSDSSNLGGTRLGRIVSAGGRQLLEKLNSARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKSPSLKTGRLQSIVVMVNYWKAGVCLGLFVDAFKLGS >KJB19175 pep chromosome:Graimondii2_0_v6:3:24074947:24075728:-1 gene:B456_003G088400 transcript:KJB19175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQVGRINLQAGLSISENDVVASSCLTLATCAIQRWSNELSLSGQSSKIGLKSLTFPKKSSTQRHGWKIALALDTGGVPGNGEDSLNSDSSNLGGTRLGRIVSAGGRQLLEKLNSARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKSPSLKTGRLQSIVVMVNYWKAGVCLGLFVDAFKLGS >KJB19173 pep chromosome:Graimondii2_0_v6:3:24074444:24076166:-1 gene:B456_003G088400 transcript:KJB19173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVLSIGDLQLTAETFDLFCFDTLILWVLCLQYMACQVGRINLQAGLSISENDVVASSCLTLATCAIQRWSNELSLSGQSSKIGLKSLTFPKKSSTQRHGWKIALALDTGGVPGNGEDSLNSDSSNLGGTRLGRIVSAGGRQLLEKLNSARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKSPSLKTGRLQSIVVMVNYWKAGVCLGLFVDAFKLGS >KJB19176 pep chromosome:Graimondii2_0_v6:3:24074947:24075830:-1 gene:B456_003G088400 transcript:KJB19176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYMACQVGRINLQAGLSISENDVVASSCLTLATCAIQRWSNELSLSGQSSKIGLKSLTFPKKSSTQRHGWKIALALDTGGVPGNGEDSLNSDSSNLGGTRLGRIVSAGGRQLLEKLNSARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKSPSLKTGRLQSIVVMVNYWKAGVCLGLFVDAFKLGS >KJB21011 pep chromosome:Graimondii2_0_v6:3:44934610:44938230:-1 gene:B456_003G177900 transcript:KJB21011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPISLSLSSLASKSQQLVRNERRMFVTSDDGAMMKQIQSSHAPDGRVVDVKPILQVIENVLHHIIPNVDHAMNGGTGHIDALDDRKNSSAVGGALDALAYIVHKICCEVSCKCSGGGDVHATTMGILNMLSSYSWDAKVVLTLAAFAVNFGEFWLIVQLCTSNSLAKSVALLKQLPDLLEHSPTLKPHFDALHKLINAMIDVTKCIVEFTELPSEFISIDVPPLSTAMAHIPTATYWIIWSVVACAAQITGLAWELSSLAHKVSSIHEHLQSLLRLCYQRIDEKKLMEAFEDFKRTIETPQMDNLKILLKIFRKEETCYLMNPDKTEVLIDVLRRKHVLLLISDLDISHEEIRVLEALYKGERVSSELNYEILWLPIVDRSTWNDGFEQKFLSLKSIMSWYTVKHPFAIEPAVIKYIMEVWGFVKKPIAVTLDPQGKVLCPNALNMMWIWGNSAFPFSSEKEESFWKAEAWTLELLVDRLEPNLPTWVSQQKVVCFYGGVQMEWIESFTTATKEVAKALDIGLEMVYVGKNNAKERVKKITGLIKEKQLSHAWEDDNVWFFWNRSMLYSKTQHGKTIENDVIKQEVMTMLAYDGSENGWAVFFTGSYEMVRANGDKVLSSMKSFDEWEKLAKQMGFIPAFRKHLDGITDDHHCTRLILPGNGGRIPERVQCAECGRPMEMYFMYRCCVE >KJB19705 pep chromosome:Graimondii2_0_v6:3:35276778:35283617:1 gene:B456_003G116000 transcript:KJB19705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKKKTTLHSSSSSSPSSCCASHSVVSAAPPASSSASATASNLLHEWTLGSTDRRDDSSATKAAMASLIRPVDSLPDTSTKGIQIFTRPQTSHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFVEVVLLEPDKHVVALADAYFFPPFQPSLLPRTKNGPVIPTKLPLRRARLVVYNKKSNETSIWIVELSEVHAVTRGGHHRGKVISSQIVPDVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSDADSPSRRLAKPLIFCRTESDCPMENGYARPVEGIYVLVDMQKMKVIEFEDRKFVPLPPADPLRNYTSGETRGGVDRSDVKPLQIVQPEGPSFRVNGCFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQPGESRKYGTMIAPGLYAPVHQHFFVARMDMAIDCKPGEAFNQVVEVNAKVEEPGENNIHNNAFYAEETLLKTELQAMRDCNPLTARHWIVRNTRTVNRSGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTQCAPNEMFPGGEFPNQNPRAGEGLATWVKRDRSLEETDIVLWLLNWYVFGITHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPNACELDTKDNDIKENVVAKSIQNGLMSKL >KJB19704 pep chromosome:Graimondii2_0_v6:3:35276778:35282419:1 gene:B456_003G116000 transcript:KJB19704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKKKTTLHSSSSSSPSSCCASHSVVSAAPPASSSASATASNLLHEWTLGSTDRRDDSSATKAAMASLIRPVDSLPDTSTKGIQIFTRPQTSHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFVEVVLLEPDKHVVALADAYFFPPFQPSLLPRTKNGPVIPTKLPLRRARLVVYNKKSNETSIWIVELSEVHAVTRGGHHRGKVISSQIVPDVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSDADSPSRRLAKPLIFCRTESDCPMENGYARPVEGIYVLVDMQKMKVIEFEDRKFVPLPPADPLRNYTSGETRGGVDRSDVKPLQIVQPEGPSFRVNGCFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQPGESRKYGTMIAPGLYAPVHQHFFVARMDMAIDCKPGEAFNQVVEVNAKVEEPGENNIHNNAFYAEETLLKTELQAMRDCNPLTARHWIVRNTRTVNRSGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTQCAPNEMFPGGEFPNQNPRAGEGLATWVKRDRSLEETDIVLWLLNWSFLLKHLLFNTLCFTAVCQ >KJB19706 pep chromosome:Graimondii2_0_v6:3:35276778:35283617:1 gene:B456_003G116000 transcript:KJB19706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKKKTTLHSSSSSSPSSCCASHSVVSAAPPASSSASATASNLLHEWTLGSTDRRDDSSATKAAMASLIRPVDSLPDTSTKGIQIFTRPQTSHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFVEVVLLEPDKHVVALADAYFFPPFQPSLLPRTKNGPVIPTKLPLRRARLVVYNKKSNETSIWIVELSEVHAVTRGGHHRGKVISSQIVPDVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSDADSPSRRLAKPLIFCRTESDCPMENGYARPVEGIYVLVDMQKMKVIEFEDRKFVPLPPADPLRNYTSGETRGGVDRSDVKPLQIVQPEGPSFRVNGCFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQPGESRKYGTMIAPGLYAPVHQHFFVARMDMAIDCKPGEAFNQVVEVNAKVEEPGENNIHNNAFYAEETLLKTELQAMRDCNPLTARHWIVRNTRTVNRSGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTQCAPNEMFPGGEFPNQNPRAGEGLATWVKRDRSLEETDIVLWYVFGITHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPNACELDTKDNDIKENVVAKSIQNGLMSKL >KJB19707 pep chromosome:Graimondii2_0_v6:3:35276778:35286115:1 gene:B456_003G116000 transcript:KJB19707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKKKTTLHSSSSSSPSSCCASHSVVSAAPPASSSASATASNLLHEWTLGSTDRRDDSSATKAAMASLIRPVDSLPDTSTKGIQIFTRPQTSHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFVEVVLLEPDKHVVALADAYFFPPFQPSLLPRTKNGPVIPTKLPLRRARLVVYNKKSNETSIWIVELSEVHAVTRGGHHRGKVISSQIVPDVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSDADSPSRRLAKPLIFCRTESDCPMENGYARPVEGIYVLVDMQKMKVIEFEDRKFVPLPPADPLRNYTSGETRGGVDRSDVKPLQIVQPEGPSFRVNGCFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQPGESRKYGTMIAPGLYAPVHQHFFVARMDMAIDCKPGEAFNQVVEVNAKVEEPGENNIHNNAFYAEETLLKTELQAMRDCNPLTARHWIVRNTRTVNRSGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTQCAPNEMFPGGEFPNQNPRAGEGLATWVKRDRSLEETDIVLWYVFGITHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPNACELDTKDNDIKENVVAKSIQNGLMSKL >KJB19703 pep chromosome:Graimondii2_0_v6:3:35276778:35281127:1 gene:B456_003G116000 transcript:KJB19703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKKKTTLHSSSSSSPSSCCASHSVVSAAPPASSSASATASNLLHEWTLGSTDRRDDSSATKAAMASLIRPVDSLPDTSTKGIQIFTRPQTSHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFVEVVLLEPDKHVVALADAYFFPPFQPSLLPRTKNGPVIPTKLPLRRARLVVYNKKSNETSIWIVELSEVHAVTRGGHHRGKVISSQIVPDVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSDADSPSRRLAKPLIFCRTESDCPMENGYARPVEGIYVLVDMQKMKVIEFEDRKFVPLPPADPLRNYTSGETRGGVDRSDVKPLQIVQPEGPSFRVNGCFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQPGESRKYGTMIAPGLYAPVHQHFFVARMDMAIDCKPGEAFNQVVEVNAKVEEPGENNIHNNAFYAEETLLKTELQAMRDCNPLTARHWIVSFCVFGVELPLCVACLALVLFLVCLGCSI >KJB19032 pep chromosome:Graimondii2_0_v6:3:19958763:19961427:-1 gene:B456_003G081600 transcript:KJB19032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESKARLVRCLRCDSLIKELPRYSFFKCGSCGAVLQAKKKEQVSNVREHRLNPGRIEKRDGYVDDYMSQSKNPMNYWAHQRHDHHDLNINRSRSVNSSRESKFGVYSPRLDNSSRSLRLKGVDRDGFGGFYKRSLETIDEGPSSYRSASAYGYCKPVNRFECLDVPNGVRNFQRDRAEVLKKLDELKDQLSRSHDMPQRPTELVPSDNKMDSSDHLGSSIGMSSAHLRSGQYHYSMNNDENVVNFYGNYHSVKHARPHPQQRTYGYEHILASYMNQEEALYHLTACSCLYCYDKNRKGPLRVTPAAFGNRGSLKDPCNSTSNHYVSSNRVGQHYLPRPQSNFEDTPVHLWPSDIGSDIDGFGRRCIRKVVLTERKNRLCHPIASGAPFITCYNCLELLKLPRKFRKMMDNEQRLQCGSCSTVIVFEMRKKGLIISVPGNLKQTPTEAEEASNGCFNAGGTIQQISKEDQSPANVIDWRDSPGSSELPFSSDISTTVSSLPFRDRTKPEHQEKVILVKSASQAVSGKNSLRGATEAEFSFNRCRSSSSCRYSLEGSKEQYQLKLHKGSRSFLGLIKKSFRDFSRSNDDIKNERPNVSVNGHPISENGVRRAEKQAGQVHPGNYWYDSRAGFWGVMGKPCSGIIPPFIEEFNYPMTKNCAAGNTGVFINGRELHQKDLDLLACKGLPTASDKSYIIEFSGRVLDEDTGVELYTLGKLAPTVEKEKRGFGMRVPGAVE >KJB19033 pep chromosome:Graimondii2_0_v6:3:19958435:19961477:-1 gene:B456_003G081600 transcript:KJB19033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESKARLVRCLRCDSLIKELPRYSFFKCGSCGAVLQAKKKEQVSNVREHRLNPGRIEKRDGYVDDYMSQSKNPMNYWAHQRHDHHDLNINRSRSVNSSRESKFGVYSPRLDNSSRSLRLKGVDRDGFGGFYKRSLETIDEGPSSYRSASAYGYCKPVNRFECLDVPNGVRNFQRDRAEVLKKLDELKDQLSRSHDMPQRPTELVPSDNKMDSSDHLGSSIGMSSAHLRSGQYHYSMNNDENVVNFYGNYHSVKHARPHPQQRTYGYEHILASYMNQEEALYHLTACSCLYCYDKNRKGPLRVTPAAFGNRGSLKDPCNSTSNHYVSSNRVGQHYLPRPQSNFEDTPVHLWPSDIGSDIDGFGRRCIRKVVLTERKNRLCHPIASGAPFITCYNCLELLKLPRKFRKMMDNEQRLQCGSCSTVIVFEMRKKGLIISVPGNLKQTPTEAEEASNGCFNAGGTIQQISKEDQSPANVIDWRDSPGSSELPFSSDISTTVSSLPFRDRTKPEHQEKVILVKSASQAVSGKNSLRGATEAEFSFNRCRSSSSCRYSLEGSKEQYQLKLHKGSRSFLGLIKKSFRDFSRSNDDIKNERPNVSVNGHPISENGVRRAEKQAGQVHPGNYCRSSKNSITP >KJB17291 pep chromosome:Graimondii2_0_v6:3:2708410:2711086:1 gene:B456_003G029600 transcript:KJB17291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESANAHLSSTVGIALIFRFGDETSRVSLSTIKEGIFKVEEEMLMRKFHELMKAQAVNKKNENNGNISTALVDPACIEGDETGNSAPSVLVKSKEQL >KJB17289 pep chromosome:Graimondii2_0_v6:3:2708395:2711086:1 gene:B456_003G029600 transcript:KJB17289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRYTAEIFKHLEMQNELLLESHSSVSHQLHKLQVEEEMLMRKFHELMKAQAVNKKNENNGNISTALVDPACIEGDETDNNFDVECECG >KJB17292 pep chromosome:Graimondii2_0_v6:3:2708561:2711086:1 gene:B456_003G029600 transcript:KJB17292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEKQITARAVSSILVVKMRESANAHLSSTVGIALIFRFGDETSRVSLSTIKEGIFKVEEEMLMRKFHELMKAQAVNKKNENNGNISTALVDPACIEGDETGNSAPSVLVKSKEQL >KJB17288 pep chromosome:Graimondii2_0_v6:3:2708324:2711419:1 gene:B456_003G029600 transcript:KJB17288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRYTAEIFKHLEMQNELLLESHSSVSHQLHKLQVEEEMLMRKFHELMKAQAVNKKNENNGNISTALVDPACIEGDETGNSAPSVLVKSKEQL >KJB17290 pep chromosome:Graimondii2_0_v6:3:2708410:2711086:1 gene:B456_003G029600 transcript:KJB17290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEKQITARAVSSILVVKMRESANAHLSSTVGIALIFRFGDETSRVSLSTIKEGIFKVEEEMLMRKFHELMKAQAVNKKNENNGNISTALVDPACIEGDETDNNFDVECECG >KJB17293 pep chromosome:Graimondii2_0_v6:3:2709329:2710575:1 gene:B456_003G029600 transcript:KJB17293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEKQITARAVSSILVVKMRESANAHLSSTVGIALIFRFGDETSRVSLSTIKEGIFKVEEEMLMRKFHELMKAQAVNKKNENNGNISTALVDPACIEGDETGNSAPSVLVKSKEQL >KJB17294 pep chromosome:Graimondii2_0_v6:3:2708410:2711086:1 gene:B456_003G029600 transcript:KJB17294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSGVNDETEIIANFDDVINIEGTKDLIPIPSSTFKSTEKQITARAVSSILVVKMRESANAHLSSTVGIALIFRFGDETSRVSLSTIKEGIFKVEEEMLMRKFHELMKAQAVNKKNENNGNISTALVDPACIEGDETDNNFDVECECG >KJB20092 pep chromosome:Graimondii2_0_v6:3:38751816:38755576:-1 gene:B456_003G132400 transcript:KJB20092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLAYIALDFEQELETSKTSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KJB19696 pep chromosome:Graimondii2_0_v6:3:35148717:35149682:-1 gene:B456_003G115200 transcript:KJB19696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEFSEKPPHILAKELFNGWHFKPLDSQKPQQYYENILVQTGSVLFKHYTDPKDPNFITHSTAQILKILRPKDWSENPNSIMKFPAKFTTKIDHHPYFTYWDYQMAWYNAFLMNNQCMRHSWLIYFKYCTQFKIPNWFQEWWNWYGPSSFEILSEKIQNLWPKFFDKFQPEPDPKHIYRTIHFFSKLCISWIVSWNYSFEQDQYTGILLLIRNYKTKWWDKFNDEKYDSKYLDNFFNMNPRLCESAAPDQTTKKFLQAKSTANTMLVQAKTKKEYKKLMAKMLSSLDSESEDEKCSASSIKMVDLANDTTSVTIIKTKKK >KJB18482 pep chromosome:Graimondii2_0_v6:3:8670566:8671944:-1 gene:B456_003G055100 transcript:KJB18482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STKVGVKDTRTWLQNPLGVYMTKLAYSWMILKKVSFGPHRFFWRAIWKHKMLPKIKIFSWRIGHNILLTFDNIARIRQGFNNTCPRCKSSEETLIHVMKDCPKAREILAAADFLTLLWNNWNDKNNMVFKGKMGAAVMIWERAQTLSKDFRIFNLTEPSIISPNQVKKGWTKPLTGYVKVNVDASVSNGCRVVRVVARDHDGFVIRGYYNFKENSMDVIWAELEAFQEGLKLAEKLKVAQLIVESDSATLVNTVKKRMKDIIIMGQRIRQECKAFNNFDSVQVKWINHNSNNVADSLCNLAIRNKSDLYFDMNYPLDIHNIIIHEAIN >KJB21029 pep chromosome:Graimondii2_0_v6:3:45049618:45081936:-1 gene:B456_003G179200 transcript:KJB21029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDQVAYLLQRYLGNYVIGLNKEALNISVWQGDVELTNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVYLDRIFLLAEPATSVEGCSEDAIQEAKKSRVREMETKLLERMHQLKPEMNKSWLGSLISTIIGNLKLSISNIHIRYEDLESNPGHPFAAGLTLEKLSAVTVDDSGKETFVTGGALDRIQKSVELDRLALYLDSDISPWHIKEPWEDLLPAEWVQVFRFGTKDGRPADHPTEEHSYILQPVTGDAKYMKLRQNESSNSDEPLQKAAVSLENVTLCLSKDGYRDILKLADNFTAFNQRLKYAHYRPSFTLKSDPRSWWKYAYKAVSDQMKKASGKLSWEQVLKYTRLRKKYISLYASLLKSDVNRPVVDDNKEIEELDRELDIELILQWRMLAHKFLEQSIESEDYLKKQKAKQSWWSFGWGSQSFKDETESLHFSEEEWQQLNKIIGYKEDEDGQSLMIDENPDILQTVLEIHMKHNASKLLDGAHTCLADLSCEGLDCSIKLYPETKVFGVKLGSYQLSSPNGLLAQSATTADSLVGVFCYKPFDAKVDWSMVAKASPCYVTYLKDSLDEIAKFFESNTAVSHTIALETATAVQMTIDEVKRSAQQQVNRALKDHTRFLLDLDIAAPKITIPTEFQPDNKHFTKLLLDLGNLVIRSQDDNALTSPEELDLYSQFDLVLSDVSAFLVDGDYHWSQTSLKKSAASANTDGLSFLPVIDKCGVILKLQQIRLENPSYPTTRLAIQLPSLGFHFSPARYHRLMQVIKIFQEEENDSPDLLYAWNQADFEGWLSVLSRKGVGNREAVWQQRYLCLVGPFLYVLESPVSKSYKQYISLRGKHVYFVPAELVGGVESVLAVGDAARTNSKAVVEDANALILRCDNDDSRKAWHSRLQGVIYHTSDSAAITGLSETSSDSETERNDKNDTTDLSKKESVFITGVLDELKVDFSYNHQHERSFIKVLLAEEHPLFEFRALGGLVELSIKGNDMFIGTVLKSLEIEDLICCNPVSQPCYLARSVVRSADAQSLDDAGNRCFERNDMSPIEGDDKFYEAPEDLVDSFEFATPTSQKASELASLESFLSSEKTLFMTHSFSRVTGLLPDDNLLPRSEAIEPSDTLDSFVKAQIVIYDQNSPLYNNIDMKVTVTLSTLSFFCRRPTILAIMDFANAVTIEDETCESFSDGSSAVGVKHDISSEDPVDNQQATNFDEPVVKGLLGKGKSRIIFNLTLNMAHAQILLMNENETKLATLSQENLLTDIKVFPSSFSIKASLGNLRISDDSLPSSHMYFWICDMRDPGGTSFVELVFTSFSIDDEDYEGYEYSLFGQLSEVRIVYLNRFVQEVTSYFMGLVPNDSKDVKLKDQVTDSEKWFTTSEIEGSPAIRLDLSLRKPIILMPRRTDSLDYLKLDVVHITVKNTFQWFSGSKSDLNAVHLEIMTILVQDINLNVGTKSKLSESIIKDVKGVSIVIQRSLRDLMHQVPSIEAVIKIEELKADLSNRDYQIVTECALSNISETPHNVPPLNSDFLSSSVDVVEHVSPQSTVSIEPRTPNGETWTVLKVSVIINLVELGLYVGEEWGSPLATVQASGAWLLYKSNTLGEGFLSASLKSFSVIDDRMGTEEEFRLAIGMPKNPLVSVDDTMGQLISNANVTKENNIKPFPTMLLLDAKFGQFSTSLSVCVQRPQLLVALDFLLAVVEFFVPTVGSMLSNEEDKKSLRMLDAIILDKSTFTQPSAQFSLSPLKPLIADDEKYDNFIYDGNGGILHLKDREGFDLSAPSNEAMIYVGNGKKLQFKNVIIKNGQYIDSCISLGTNSCYSASKDDLVYLEGGQESQQADASREIANDMAPQNAMVDRSAEFIVEFQAIGPELTFYNASKDVGESPVLSNKLLHGQLDAFGRLVTKGDTMEMTANALGLTMESNGIRILEPFDTSIKYSNASGKKNMHLSVSNIFMNFSFSILRLFLAVEEDILAFLSTTSKEMTVHCSQFDKVGTIKYPKTDQIYAFWRARAPVGFAVLGDYLTPLDKPPTKGVLAVNINYLRVKRPVSFKRIWPPLGSGGISDEGEITSNTLSKDEEESSCSVWFPEAPEGYVALGCVVSPGKLQPSLSSTFCILASFVSPCSLRDCITISDTNHLAFWRVDNSLGTFLPAEPTTLRLLATAYELRHVIIRSPEVYPKTSRVSDTQTFPNGRVNNQRSESSKVVNSGRRFEAVASFRLVWWNRGSSSRKQLSIWRPVVPLGMVYFGDIAVQGYEPPNTCTVLHDTGDDELFKAPVGFQRVGQIKKQRGMENISFWLPQAPPGYVSVGCIACKGPPKRQDFCTLRCMRSDMVSGDQFLEESVWDTSDAKFCTEPFSIWVVANDLGTFVVRGGFRKPPRRFALKLVDPDLHSASDDTVIDAEIGTFSVAVFDDYCGLMVPLFNISLSGIAFSLHGRRDYSNSIVSFSLAARSYNDKYESWEPIVEPVDAVLRYQYDPNAPGAASQLRFTSTKDLNLNISVSNANMIIQAYASWNNLSDIHQYHKRPEAFFPTSATRSVIDVHHKRSYYIIPQNKLGQDIFIRATEMRRLADVIRMPSGDMKPIKVPVSKNMLDSHLNGKLCRKIRTMVTIIIADATLPRVEGLTSHHYTVAVRLSPDQSLPSESLIRQQSARTCGRISSYLSSDTEFVDWSEIFFFKVDSPDTYIVELIVSDVGKGEAIGFFSAPLNHIAMYIPDDSPQYNQTNSSMWMDLSLSASMNTAQADRRGKKSSGKLKCAVILSPKSNTDETNEYFVGGRKSRFIQISPSMEGPWTTVRLNYAAPSACWRLGNYVVASQVTVKDGNRYVNIRSLVSVHNNTDFVLDLYLVSKASSEMMERPTDLSMPEGMQHDGNRIQTDEFFETEIYDPNTGWIGSNAQLNQDQTYAGGSQQATSGLELPSGWEWVDDWHLDTSSANTNGGWVYAPNVESLKWPESDDSLISSNSVRRRKWIRNMKQTSPNAKNDIFVGQLKPDDTVPLPLSALTQSAPFVFQLRPSHFDGPDKYSWSSVVRKPGQLEVSGKSTETSEIYVSALTESEELLCCTLLSETSSNNSSRKIWFCLDIQATEISKDIHSDPILDWSILVKSPLSITNYLPLTAEYSILEMPASGHFIPCSRGISRPGRTVNIYNANICNPLFFSLLPQRGWLPLHEAVLISHPHGIPSKTISLRSSISGRIVQLIIEQDYDKEQKMMSKKIKVYAPYWFSVSRCPPLTYRLVNVGEKKRTSKIRFPRYSKKKTEEIIEEITDEEMYAGHTIASAVNFNLLGLSVAITESSKEHFGPIKDLYPLGDMDGSLDIYAYNADGKCIRLFVSAKPCPYQSVPTKVVTVRPYMTFTNRLGRDIYIKLSSEDEPKVLRTSDSRMSFLHCENDGIDKLQVRLEDTEWSFPVQIVKEDTISLVLRRHDSLRTFLQVEIRGYEEGSRFIVVFRVGSTKGPVRIENRTFDKTICIRQSGFGEYAWTTLEALSTTIFSWENPYGQKSIDAKIDGDGNNRVWKVNLERAGQFSADEGELGMHLHVSKIGNIKIVRFTDDWTWKSSHEDMSLLAAGKPQMDITPVEIIIELGVVGVSVVDHMPKELFYLYLDRVFVSYSTGYDGGTTSRFKLILGYLQMDNQLPLTLMPVLLAPEKMSDIRHPVFKMTITMQNATTDGIQVYPYVYIRVTDKCWRLNIHEPIIWALVDLYNNLHLEQIPQSSNVTQVDPEIRVDLIDISEVRLKVSLETAPAQRPHGVLGVWSPILSAVGNAFRIQVHLRRVMRKDRFMRRSSIARAVVNRIWQDLIHNPLHLLFSVDVLGMTSSTLASLSKGFAELSTDGQFLQMRSKQISSRRITGVGDGIIQGAEALAQGVAFGVVGVVRKPVENARQYGLLGLAQGIGRAFLGIFVQPVSGVLDFFSLTVDGIGASCSKCLEVLNNKSTFQRIRNPRAIRADGILREYSEKEATGQMVLYLAQASQHFGCTEIFKVPSKFAWSDHYEEHFLLTNHKIVLVTNKRVMLLQCSSLDKMDKKPCKIMWDVPWKELMALELANQGNQLPSHLLLHLKNFKRSESFVRVIKCNVEEVEGIEPQAVKICSVARKMWRMYQADVNSIMLKVPSSQRYVYFSGSENDRKSVHALKKSIIKSRELSSSSSALEETKFVRHSVNFMKIWSSERELKGRCSLCRKKASDDGGLCSIWRPICPDGYVSVGDIARVGNHPPNVAAVYRSIDKLFALPVGYDLVWRNCMDDYTTPLSIWYPRAPEGFTSLGCVAVPGFEEPEPNLVQCVAEVILEETTFEEQKIWSAPESYPWGCHVYQVKSEALHFVALRESKENKDWKPRKIPDHFQPQQSLEAH >KJB20867 pep chromosome:Graimondii2_0_v6:3:44026878:44029087:-1 gene:B456_003G170000 transcript:KJB20867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINYFSRCAKMNISAINLAMPPTSLSVKSRHVAVIGAGAAGLVAARELRREGHSVVVFERGHEVGGTWVYTPQVEPDPLGLDPDRPIIHSSLYSSLRTNLPREVMGYIDFPFVTRPGENRDPRRYPGHREVLLYLKDFAREFGVEEMVRFETDVIKVGILGDGKWKVRSKKSSFNDNNEIISKSNAEFDDEIYDAVVVCNGHYTEPRIADIPGINLWPGKQMHSHNYRIPEPFRDQVVIVIGSSASAVDICRDIAPFAKEVHVASRSVADETYTKQPGYNNLWFHSMIDHAHEDGAVVFRNGKTVLADLIMHCTGYKYHFPFLDTKGIVTVDDNCLGPLYKHVFPPALAPYLSFIGIPWKIVPFPLFEFQSKWIASILSGRITLPSQKEMMEDILAFYSTLEVSSIPKRYTHCIGQSQFEYNNWLATQCGCQGVEKWREAMYSMASVNRSLRPEMYRDEWDDHHLVSEAYEDFMKYPSASNL >KJB20866 pep chromosome:Graimondii2_0_v6:3:44026534:44029138:-1 gene:B456_003G170000 transcript:KJB20866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINYFSRCAKMNISAINLAMPPTSLSVKSRHVAVIGAGAAGLVAARELRREGHSVVVFERGHEVGGTWVYTPQVEPDPLGLDPDRPIIHSSLYSSLRTNLPREVMGYIDFPFVTRPGENRDPRRYPGHREVLLYLKDFAREFGVEEMVRFETDVIKVGILGDGKWKVRSKKSSFNDNNEIISKSNAEFDDEIYDAVVVCNGHYTEPRIADIPGINLWPGKQMHSHNYRIPEPFRDQVVIVIGSSASAVDICRDIAPFAKEVHVASRSVADETYTKQPGYNNLWFHSMIDHAHEDGAVVFRNGKTVLADLIMHCTGYKYHFPFLDTKGIVTVDDNCLGPLYKHVFPPALAPYLSFIGIPWKQMDSQYFVRSYYTSITKGNDGRYSSILLDTRSL >KJB19700 pep chromosome:Graimondii2_0_v6:3:35238461:35242691:1 gene:B456_003G115800 transcript:KJB19700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNLVIKVKYGNTLRRFNARIVDGEHLDLNMTGLRAKIMGLFNFPFDSELTLTYIDEDGDIVTLVDDDDLCDVMRQRLKFLRINVQLNNDKLSKPYTRSSGGSTPLRSPSVQPPLPSFNTASADALNSVPEPLRDALSEVFSKLSVEVASKAASASPLLGDLLESLSKMGQSYLSPASQPGVGADSGIPVGSSESPSGPSAPTGVLPKFTAVDSSFKTGKEANTGNAADVVDVPVGFYPGTVDLNAGPPYDANLSGYTTMPSGPSAPNICAHNDKKNTKENNGRNKGKSVSMDAATPFVDTTRKDYPSNECPFSGVPVANGPTVPPFSYYPFSPSKRSFVSTDGNVLFGTFHKGVQCDGCGVLPITGPRFKSKVNDNYDLCSICFSKMGNEADYIRMDKPMHYRHPWCFRASNDHVPRVGPALPHVLRNRVLKLSRPKLESHFILDVNVLDGTVMAPSTPFTKIWRMRNNGTLPWYRGMQLVWIGGDKLTNAISVDIDIPAEGVPLDGDLDIVVDFTAPELPGRYVSYWRMASESGIKFGQRVWVLIHVDSSLKDSSCDNLQGFNLNLPPESSGQKDSHIADMNADFVTELCSSGAGPVPVKHMVTEQSTKEQTVNNSDVPDPVPTSSFASYPIIDQSVVVPVSQPPIQSSSEAYPISDQGISVPAVPQTPPSSVSYPIIDFSEAAPVGPSQVPHPAISVQAPSQGESENIVEQSLLKELEDMGFKQVDLNKDILRRNEYDLEKSVDDLCGVAEWDPILEELQEMGFCDAETNKKLLKKNNGSIKGVVMDLLTGEGA >KJB19701 pep chromosome:Graimondii2_0_v6:3:35238585:35242550:1 gene:B456_003G115800 transcript:KJB19701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNLVIKVKYGNTLRRFNARIVDGEHLDLNMTGLRAKIMGLFNFPFDSELTLTYIDEDGDIVTLVDDDDLCDVMRQRLKFLRINVQLNNDKLSKPYTRSSGGSTPLRSPSVQPPLPSFNTASADALNSVPEPLRDALSEVFSKLSVEVASKAASASPLLGDLLESLSKMGQSYLSPASQPGVGADSGIPVGSSESPSGPSAPTGVLPKFTAVDSSFKTGKEANTGNAADVVDVPVGFYPGTVDLNAGPPYDANLSGYTTMPSGPSAPNICAHNDKKNTKENNGRNKGKSVSMDAATPFVDTTRKDYPSNECPFSGVPVANGPTVPPFSYYPFSPSKRSFVSTDGNVLFGTFHKGVQCDGCGVLPITGPRFKSKVNDNYDLCSICFSKMGNEADYIRMDKPMHYRHPWCFRASNDHVPRVGPALPHVLRNRVLKLSRPKLESHFILDVNVLDGTVMAPSTPFTKIWRMRNNGTLPWYRGMQLVWIGGDKLTNAISVDIDIPAEGVPLDGDLDIVVDFTAPELPGRYVSYWRMASESGIKFGQRVWVLIHVDSSLKDSSCDNLQGFNLNLPPESSGQKDSHIADMNADFVTELCSSGAGPVPVKHMVTEQSTKEQTVNNSDVPDPVPTSSFASYPIIDQKAAPVGPSQVPHPAISVQAPSQGESENIVEQSLLKELEDMGFKQVDLNKDILRRNEYDLEKSVDDLCGVAEWDPILEELQEMGFCDAETNKKLLKKNNGSIKGVVMDLLTGEGA >KJB19048 pep chromosome:Graimondii2_0_v6:3:19973716:19976051:-1 gene:B456_003G081800 transcript:KJB19048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVEVIKPDVEKRVERILKLIKRKSRKREPELIGHVEEFYRQYQSLSAQYEHLKRESVEKVLKGKGKGNESHPYYSSGSDSEYYSPTDRENDTDTTFDNDRRFHRWMADNIKEELNRAYEEDADLKHQLASKTEESEALASGRLSASSKTEEIETINKDEPKRDSEVGIDGKTAETKQQGETNTAMYVPVWEEGDEVTKLMKQLKENEKNLTSRINNSMAQVCNLKKEVDYLRAQQCEARGNVMKPELEVQVKTLKEENQGLQVQVIDLESEVDALRKQKITSKDELRSNVHEINRLKEENAHLNSRILGLEALFRERRLEDCQTKREKQTTQMSTEVKLDHVTEKNQVELQIADQQRMMKEIEEHTRKTMERNPKLIKQLSAGNKLNYIERKMGNLAQEFYQKLNDNIRLLCLRIAVAEKTHYENKENYKNIKESLEQENKELKQKLVTCETELTKLIDNAEKKRENDKVSNSEGQKLKLLKAVSVLEKKVGELEKINKEKDATLLSREEEKREAIRQLCLLIDYHRTNCDYLKELVSKLTVRIKKKI >KJB19047 pep chromosome:Graimondii2_0_v6:3:19973716:19977490:-1 gene:B456_003G081800 transcript:KJB19047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVEVIKPDVEKRVERILKLIKRKSRKREPELIGHVEEFYRQYQSLSAQYEHLKRESVEKVLKGKGKGNESHPYYSSGSDSEYYSPTDRENDTDTTFDNDRRFHRWMADNIKEELNRAYEEDADLKHQLASKTEESEALASGRLSASSKTEEIETINKDEPKRDSEVGIDGKTAETKQQGETNTAMYVPVWEEGDEVTKLMKQLKENEKNLTSRINNSMAQVCNLKKEVDYLRAQQCEARGNVMKPELEVQVKTLKEENQGLQVQVIDLESEVDALRKQKITSKDELRSNVHEINRLKEENAHLNSRILGLEALFRERRLEDCQTKREKQTTQMSTEVKLDHVTEKNQVELQIADQQRMMKEIEEHTRKTMERNPKLIKQLSAGNKLNYIERKMGNLAQEFYQKLNDNIRLLCLRIAVAEKTHYENKENYKNIKESLEQENKELKQKLVTCETELTKLIDNAEKKRENDKVSNSEGQKLKLLKAVSVLEKKVGELEKINKEKDATLLSREEEKREAIRQLCLLIDYHRTNCDYLKELVSKLTVRIKKKI >KJB19046 pep chromosome:Graimondii2_0_v6:3:19973716:19977515:-1 gene:B456_003G081800 transcript:KJB19046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVEVIKPDVEKRVERILKLIKRKSRKREPELIGHVEEFYRQYQSLSAQYEHLKRESVEKVLKGKGKGNESHPYYSSGSDSEYYSPTDRENDTDTTFDNDRRFHRWMADNIKEELNRAYEEDADLKHQLASKTEESEALASGRLSASSKTEEIETINKDEPKRDSEVGIDGKTAETKQQGETNTAMYVPVWEEGDEVTKLMKQLKENEKNLTSRINNSMAQVCNLKKEVDYLRAQQCEARGNVMKPELEVQVKTLKEENQGLQVQVIDLESEVDALRKQKITSKDELRSNVHEINRLKEENAHLNSRILGLEALFRERRLEDCQTKREKQTTQMSTEVKLDHVTEKNQVELQIADQQRMMKEIEEHTRKTMERNPKLIKQLSAGNKLNYIERKMGNLAQEFYQKLNDNIRLLCLRIAVAEKTHYENKENYKNIKESLEQENKELKQKLVTCETELTKLIDNAEKKRENDKVSNSEGQKLKLLKAVSVLEKKVGELEKINKEKDATLLSREEEKREAIRQLCLLIDYHRTNCDYLKELVSKLTVRIKKKI >KJB20238 pep chromosome:Graimondii2_0_v6:3:40255326:40259130:1 gene:B456_003G139800 transcript:KJB20238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLNVASPESTQGLDSVAPFTDELINEGSRNQMDESGTSNSSIVNEDGESCSTRASGEAFTLSFDILKVGRESRNHEAALVVTRELFPVKGIGADFGSSEGQSSGNSSNKSKWIDLSFERKEAAAEPRVMQQQPLQTVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSLCDYEDDMKQTKDLSKEEFVHVLRRQSAGFRGKLEISRSNVAQMRQVGSTDGAVPRQKVRYLFLGKRAYDRAAIKSNGREAVTNFELSTYEGEMVFDTRNKGNSHNLDLNLGISPPVSDGPKEKENAGHLQMNNGKSLRAENPAAATFSVPSFQGLAGTSDQPIFWNSAYPRFFPSEERTMVKPVEFDPPQRVPDWPWQMHGQVSTTLMPLFSHAASSRFSFSATPPSTATAILPSKPQNTTAVHSLCFAASISDTINTPQHSRS >KJB20237 pep chromosome:Graimondii2_0_v6:3:40255627:40257831:1 gene:B456_003G139800 transcript:KJB20237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLNVASPESTQGLDSVAPFTDELINEGSRNQMDESGTSNSSIVNEDGESCSTRASGEAFTLSFDILKVGRESRNHEAALVVTRELFPVKGIGADFGSSEGQSSGNSSNKSKWIDLSFERKEAAAEPRVMQQQPLQTVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSLCDYEDDMKQTKDLSKEEFVHVLRRQSAGFRGKLEISRSNVAQMRQVGSTDGAVPRQKVRYLFLGKRAYDRAAIKSNGREAVTNFELSTYEGEMVFDTRNKGNSHNLDLNLGISPPVSDGPKEKENAGHLQMNNGKSLRVTFESNYFEFTCMFHHIGNLVLQRTIEY >KJB20601 pep chromosome:Graimondii2_0_v6:3:42471047:42474929:1 gene:B456_003G155700 transcript:KJB20601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKESSSEGSNIKGVHTHGGQYVQYNVYGNLFEVSSKYVPPLRPIGRGAYGIVCAAVNSQTREEVAIKKIGNAFDNRIDAKRTLREIKLLCHMDHENVIAIKDIIRPPKKDAFNDVYIVYELMDTDLHQIIRSDQPLTDDHCQLIGSPDDASLGFLRSDNARRYVRQLPQCRKQQFSARFPNMSAGAVDLLEKMLVFDPDRRITVDEALSHPYLASLHDINDEPVCARPFIFDFEQLSCSEDHIKELIWRESVKFNPDPIH >KJB20598 pep chromosome:Graimondii2_0_v6:3:42473138:42474729:1 gene:B456_003G155700 transcript:KJB20598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDLHQIIRSDQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLSCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSDNARRYVRQLPQCRKQQFSARFPNMSAGAVDLLEKMLVFDPDRRITVDEALSHPYLASLHDINDEPVCARPFIFDFEQLSCSEDHIKELIWRESVKFNPDPIH >KJB20600 pep chromosome:Graimondii2_0_v6:3:42471047:42474929:1 gene:B456_003G155700 transcript:KJB20600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKESSSEGSNIKGVHTHGGQYVQYNVYGNLFEVSSKYVPPLRPIGRGAYGIVCAAVNSQTREEVAIKKIGNAFDNRIDAKRTLREIKLLCHMDHENVIAIKDIIRPPKKDAFNDVYIVYELMDTDLHQIIRSDQPLTDDHCQPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLSCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSDNARRYVRQLPQCRKQQFSARFPNMSAGAVDLLEKMLVFDPDRRITVDEALSHPYLASLHDINDEPVCARPFIFDFEQLSCSEDHIKELIWRESVKFNPDPIH >KJB20599 pep chromosome:Graimondii2_0_v6:3:42473579:42474729:1 gene:B456_003G155700 transcript:KJB20599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLSCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSDNARRYVRQLPQCRKQQFSARFPNMSAGAVDLLEKMLVFDPDRRITVDEALSHPYLASLHDINDEPVCARPFIFDFEQLSCSEDHIKELIWRESVKFNPDPIH >KJB20597 pep chromosome:Graimondii2_0_v6:3:42470910:42474929:1 gene:B456_003G155700 transcript:KJB20597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKESSSEGSNIKGVHTHGGQYVQYNVYGNLFEVSSKYVPPLRPIGRGAYGIVCAAVNSQTREEVAIKKIGNAFDNRIDAKRTLREIKLLCHMDHENVIAIKDIIRPPKKDAFNDVYIVYELMDTDLHQIIRSDQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLSCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSDNARRYVRQLPQCRKQQFSARFPNMSAGAVDLLEKMLVFDPDRRITVDEALSHPYLASLHDINDEPVCARPFIFDFEQLSCSEDHIKELIWRESVKFNPDPIH >KJB20602 pep chromosome:Graimondii2_0_v6:3:42471047:42474929:1 gene:B456_003G155700 transcript:KJB20602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKESSSEGSNIKGVHTHGGQYVQYNVYGNLFEVSSKYVPPLRPIGRGAYGIVCAAVNSQTREEVAIKKIGNAFDNRIDAKRTLREIKLLCHMDHENYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLSCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSDNARRYVRQLPQCRKQQFSARFPNMSAGAVDLLEKMLVFDPDRRITVDEALSHPYLASLHDINDEPVCARPFIFDFEQLSCSEDHIKELIWRESVKFNPDPIH >KJB20603 pep chromosome:Graimondii2_0_v6:3:42472091:42474929:1 gene:B456_003G155700 transcript:KJB20603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHENVIAIKDIIRPPKKDAFNDVYIVYELMDTDLHQIIRSDQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLSCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSDNARRYVRQLPQCRKQQFSARFPNMSAGAVDLLEKMLVFDPDRRITVDEALSHPYLASLHDINDEPVCARPFIFDFEQLSCSEDHIKELIWRESVKFNPDPIH >KJB20189 pep chromosome:Graimondii2_0_v6:3:39650473:39651557:-1 gene:B456_003G137300 transcript:KJB20189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMDIFCASQASTAICSSLDHRSMVRRGHRPLDRQRSKPYAPIVPGLPHHDKSRKSSAKPSDVRRKSSADIHDLNSPPGSSRYLLSDTRFVDWLSDSDHVSPAVPSRGVSTEDSLALKSSSSARSHDQVVVLRVSIHCKGCEGKVRKHISKMEGVTSFSIDLATKKVTVIGDVTPSNVLASVSRVKNAQFWPAETVAAAPPLLKTPLQIKNKKQY >KJB20191 pep chromosome:Graimondii2_0_v6:3:39650909:39651496:-1 gene:B456_003G137300 transcript:KJB20191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMDIFCASQASTAICSSLDHRSMVRRGHRPLDRQRSKPYAPIVPGLPHHDKSRKSSAKPSDVRRKSSADIHDLNSPPGSSRYLLSDTRFVDWLSDSDHVSPAVPSRGVSTEDSLALKSSSSARSHDQQVVVLRVSIHCKGCEGKVRKHISKMEGN >KJB20190 pep chromosome:Graimondii2_0_v6:3:39650666:39651496:-1 gene:B456_003G137300 transcript:KJB20190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMDIFCASQASTAICSSLDHRSMVRRGHRPLDRQRSKPYAPIVPGLPHHDKSRKSSAKPSDVRRKSSADIHDLNSPPGSSRYLLSDTRFVDWLSDSDHVSPAVPSRGVSTEDSLALKSSSSARSHDQQVVVLRVSIHCKGCEGKVRKHISKMEGVTSFSIDLATKKVTVIGDVTPSNVLASVSRVKNAQFWPAETVAAAPPLLKTPLQIKNKKQY >KJB18023 pep chromosome:Graimondii2_0_v6:3:2743276:2746687:-1 gene:B456_003G029900 transcript:KJB18023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFWNESFDLKPKHSSEEALEKWRKVVGFVKNPKRRFRFTANLSKRYEAAAMRRSNHEKLRIAVLVSKAALQFISGVKPSESDYVVPEEVKAAGFELCAEELGSIVEGQDVKKLKIHGGVDGIAEKLSTSTTDGLSSDSVLLNKRQEVYGINKFAEAEAKGFLVFVWEALQDMTLMILGVCALVSLIVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITIQVTRNACRQKMSIYDLLPGDIVHLNIGDQVPADGLFVSGFSVLIDGSSLTGESEPVMVNADNPFMLSGTKLQDGSCKMMVTSVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKVGLFFAVVTFAVMVQGLFMSKLQEGTIWSWSGDEALKLLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATNICSDKTGTLTTNHMTVVKSCICMDVREVGNNNKASLCSEIPESAVKLLLQSIFTNTGGEIVINKDGKREILGTPTETALLEFGLSLGGDSRAERLASKLVKVEPFNSTKKRMGVILELPEGGLRAHTKGASEIVLAGCDKVINSNGEVVPLDVESINHLNATINQFANEALRTLCLAYMELENDFSPDNAIPLSGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKSQEEMLALIPKIQVMARSSPMDKHTLVRQLRSIDEVVAVTGDGTNDAPALHEADIGLAMGISGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNIVALIVNFSSACLTGMKAINFVYIYFKLMF >KJB18022 pep chromosome:Graimondii2_0_v6:3:2742213:2746833:-1 gene:B456_003G029900 transcript:KJB18022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMILGVCALVSLIVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITIQVTRNACRQKMSIYDLLPGDIVHLNIGDQVPADGLFVSGFSVLIDGSSLTGESEPVMVNADNPFMLSGTKLQDGSCKMMVTSVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKVGLFFAVVTFAVMVQGLFMSKLQEGTIWSWSGDEALKLLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATNICSDKTGTLTTNHMTVVKSCICMDVREVGNNNKASLCSEIPESAVKLLLQSIFTNTGGEIVINKDGKREILGTPTETALLEFGLSLGGDSRAERLASKLVKVEPFNSTKKRMGVILELPEGGLRAHTKGASEIVLAGCDKVINSNGEVVPLDVESINHLNATINQFANEALRTLCLAYMELENDFSPDNAIPLSGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKSQEEMLALIPKIQVMARSSPMDKHTLVRQLRSIDEVVAVTGDGTNDAPALHEADIGLAMGISGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNIVALIVNFSSACLTGTAPLTAVQLLWVNMIMDTLGALALATEPPTDELMKRAPVGKKGNFISNVMWRNILGQSFYQFMVIWYLQVKGKGMFSLEGPDSDLTLNTIIFNSFVFCQVFNEISSRNMEEINVFKGILNNYVFVAVLGCTAVFQVIIIEFLGTFASTTPLTCLQWFVSVFIGFLGMPVAAALKTIPV >KJB18021 pep chromosome:Graimondii2_0_v6:3:2742199:2746923:-1 gene:B456_003G029900 transcript:KJB18021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFWNESFDLKPKHSSEEALEKWRKVVGFVKNPKRRFRFTANLSKRYEAAAMRRSNHEKLRIAVLVSKAALQFISGVKPSESDYVVPEEVKAAGFELCAEELGSIVEGQDVKKLKIHGGVDGIAEKLSTSTTDGLSSDSVLLNKRQEVYGINKFAEAEAKGFLVFVWEALQDMTLMILGVCALVSLIVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITIQVTRNACRQKMSIYDLLPGDIVHLNIGDQVPADGLFVSGFSVLIDGSSLTGESEPVMVNADNPFMLSGTKLQDGSCKMMVTSVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKVGLFFAVVTFAVMVQGLFMSKLQEGTIWSWSGDEALKLLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATNICSDKTGTLTTNHMTVVKSCICMDVREVGNNNKASLCSEIPESAVKLLLQSIFTNTGGEIVINKDGKREILGTPTETALLEFGLSLGGDSRAERLASKLVKVEPFNSTKKRMGVILELPEGGLRAHTKGASEIVLAGCDKVINSNGEVVPLDVESINHLNATINQFANEALRTLCLAYMELENDFSPDNAIPLSGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKSQEEMLALIPKIQVMARSSPMDKHTLVRQLRSIDEVVAVTGDGTNDAPALHEADIGLAMGISGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNIVALIVNFSSACLTGTAPLTAVQLLWVNMIMDTLGALALATEPPTDELMKRAPVGKKGNFISNVMWRNILGQSFYQFMVIWYLQVKGKGMFSLEGPDSDLTLNTIIFNSFVFCQVFNEISSRNMEEINVFKGILNNYVFVAVLGCTAVFQVIIIEFLGTFASTTPLTCLQWFVSVFIGFLGMPVAAALKTIPV >KJB18024 pep chromosome:Graimondii2_0_v6:3:2742213:2746833:-1 gene:B456_003G029900 transcript:KJB18024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFWNESFDLKPKHSSEEALEKWRKVVGFVKNPKRRFRFTANLSKRYEAAAMRRSNHEKLRIAVLVSKAALQFISGVKPSESDYVVPEEVKAAGFELCAEELGSIVEGQDVKKLKIHGGVDGIAEKLSTSTTDGLSSDSVLLNKRQEVYGINKFAEAEAKGFLVFVWEALQDMTLMILGVCALVSLIVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITIQVTRNACRQKMSIYDLLPGDIVHLNIGDQVPADGLFVSGFSVLIDGSSLTGESEPVMVNADNPFMLSGTKLQDGSCKMMVTSVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKVGLFFAVVTFAVMVQGLFMSKLQEGTIWSWSGDEALKLLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATNICSDKTGTLTTNHMTVVKSCICMDVREVGNNNKASLCSEIPESAVKLLLQSIFTNTGGEIVINKDGKREILGTPTETALLEFGLSLGGDSRAERLASKLVKVEPFNSTKKRMGVILELPEGGLRAHTKGASEIVLAGCDKVINSNGEVVPLDVESINHLNATINQFANEALRTLCLAYMELENDFSPDNAIPLSGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKSQEEMLALIPKIQVMARSSPMDKHTLVRQLRSIDEVVAVTGDGTNDAPALHEADIGLAMGISGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNIVALIVNFSSACLTGTAPLTAVQLLWVNMIMDTLGALALATEPPTDELMKRAPVGKKGNFISNVMWRNILGQSFYQFMVIWYLQVKGKGMFSLEGPDSDLTLNTIIFNSFVFCQVTFYYEHLDTAGKAYIVISMKLPYKTMLRNFPQVFNEISSRNMEEINVFKGILNNYVFVAVLGCTAVFQVIIIEFLGTFASTTPLTCLQWFVSVFIGFLGMPVAAALKTIPV >KJB18373 pep chromosome:Graimondii2_0_v6:3:6940147:6946788:-1 gene:B456_003G049300 transcript:KJB18373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGRLIAGSHNRNEFVLINADENARIKSVQELSGQTCQICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEEEDGIDDLDNEFDYDASDPQQVAEAMLNARLNTGRGTHQNASGMPASSELDSSLPSSQIPLLTYGEEDSEISADHHALIVPQFMGNGNRAHPMPCSDPSVPLQPRPMVPKKDIAVYGYGSVAWKDRMEEWKKRQNDKLQVVKHEGGNDGGNFDGKELDDADLPMMDEGRQPLSRKLPIPSSKINPYRMIIILRLAILGLFFHYRLLHPVRDAYGLWLTSVICEIWFAVSWILDQFPKWCPIERETYLDRLSLRYEKEGKPSELASVDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETAEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLRNKVHPAFVRERRAMKREYEEFKVQINGLVATAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGDNGVRDVEGNELPSLVYVSREKRPGFEHHKKAGAMNALIRVSAVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGFDAPVTKKPPGKTCNCLPKWCCFLCCCSRKNKKQKQKKEKTKKSKQREASKQIHALENIEGAISESNSQSSVTSQMKLEKKFGQSPVFVASTLPEDGGVPQNASPASLLREAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSIPLLVYCTLPAICLLTGKFIVPEISNYASLVFMGLFISIAATGILEMQWGGVGIDDWWRNEQFWGLLKVLAGVSTSFTVTSKAADDGEFSELYLFKWTSLLIPPTTLLIINIVGVVVGISDAINNGYDSWGPLFGRLFFAFWVIIHLYPFLKGLLGKQDRMPTIILVWSILLASILTLMWVRINPFVSKDGPVLEICGLNCDD >KJB18370 pep chromosome:Graimondii2_0_v6:3:6940605:6946392:-1 gene:B456_003G049300 transcript:KJB18370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGRLIAGSHNRNEFVLINADENARIKSVQELSGQTCQICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEEEDGIDDLDNEFDYDASDPQQVAEAMLNARLNTGRGTHQNASGMPASSELDSSLPSSQIPLLTYGEEDSEISADHHALIVPQFMGNGNRAHPMPCSDPSVPLQPRPMVPKKDIAVYGYGSVAWKDRMEEWKKRQNDKLQVVKHEGGNDGGNFDGKELDDADLPMMDEGRQPLSRKLPIPSSKINPYRMIIILRLAILGLFFHYRLLHPVRDAYGLWLTSVICEIWFAVSWILDQFPKWCPIERETYLDRLSLRYEKEGKPSELASVDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETAEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLRNKVHPAFVRERRAMKREYEEFKVQINGLVATAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGDNGVRDVEGNELPSLVYVSREKRPGFEHHKKAGAMNALIRVSAVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGFDAPVTKKPPGKTCNCLPKWCCFLCCCSRKNKKQKQKKEKTKKSKQREASKQIHALENIEGAISESNSQSSVTSQMKLEKKFGQSPVFVASTLPEDGGVPQNASPASLLREAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSIPLLVYCTLPAICLLTGKFIVPEISNYASLVFMGLFISIAATGILEMQWGGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVSTSFTVTSKAADDGEFSELYLFKWTSLLIPPTTLLIINIVGVVVGISDAINNGYDSWGPLFGRLFFAFWVIIHLYPFLKGLLGKQDRMPTIILVWSILLASILTLMWVRINPFVSKDGPVLEICGLNCDD >KJB18372 pep chromosome:Graimondii2_0_v6:3:6940139:6946788:-1 gene:B456_003G049300 transcript:KJB18372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGRLIAGSHNRNEFVLINADENARIKSVQELSGQTCQICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEEEDGIDDLDNEFDYDASDPQQVAEAMLNARLNTGRGTHQNASGMPASSELDSSLPSSQIPLLTYGEEDSEISADHHALIVPQFMGNGNRAHPMPCSDPSVPLQPRPMVPKKDIAVYGYGSVAWKDRMEEWKKRQNDKLQVVKHEGGNDGGNFDGKELDDADLPMMDEGRQPLSRKLPIPSSKINPYRMIIILRLAILGLFFHYRLLHPVRDAYGLWLTSVICEIWFAVSWILDQFPKWCPIERETYLDRLSLRYEKEGKPSELASVDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETAEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLRNKVHPAFVRERRAMKREYEEFKVQINGLVATAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGDNGVRDVEGNELPSLVYVSREKRPGFEHHKKAGAMNALIRVSAVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGFDAPVTKKPPGKTCNCLPKWCCFLCCCSRKNKKQKQKKEKTKKSKQREASKQIHALENIEGAISESNSQSSVTSQMKLEKKFGQSPVFVASTLPEDGGVPQNASPASLLREAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSIPLLVYCTLPAICLLTGKFIVPEISNYASLVFMGLFISIAATGILEMQWGVDDTLFFVLQCFLLLFSINSFIKICMGFRSFFLMYSFGGSVFLKCTWDRVSQNLLPLKYPQFYWHNLDFWSMFTQCVLL >KJB18371 pep chromosome:Graimondii2_0_v6:3:6941329:6946392:-1 gene:B456_003G049300 transcript:KJB18371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGRLIAGSHNRNEFVLINADENARIKSVQELSGQTCQICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEEEDGIDDLDNEFDYDASDPQQVAEAMLNARLNTGRGTHQNASGMPASSELDSSLPSSQIPLLTYGEEDSEISADHHALIVPQFMGNGNRAHPMPCSDPSVPLQPRPMVPKKDIAVYGYGSVAWKDRMEEWKKRQNDKLQVVKHEGGNDGGNFDGKELDDADLPMMDEGRQPLSRKLPIPSSKINPYRMIIILRLAILGLFFHYRLLHPVRDAYGLWLTSVICEIWFAVSWILDQFPKWCPIERETYLDRLSLRYEKEGKPSELASVDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETAEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLRNKVHPAFVRERRAMKREYEEFKVQINGLVATAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGDNGVRDVEGNELPSLVYVSREKRPGFEHHKKAGAMNALIRVSAVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGFDAPVTKKPPGKTCNCLPKWCCFLCCCSRKNKKQKQKKEKTKKSKQREASKQIHALENIEGAISESNSQSSVTSQMKLEKKFGQSPVFVASTLPEDGGVPQNASPASLLREAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSIPLLVYCTLPAICLLTGKFIVPEVSYPDIKAVITDNCSIYLCWHHN >KJB21010 pep chromosome:Graimondii2_0_v6:3:44915995:44918882:-1 gene:B456_003G177800 transcript:KJB21010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPISLSISSLASKSQQMVRNERRMLAASDDGAMMKQIQSTHAPDGRVVDVKPILQVIDNVLRNIIPNIDHAMNGGTGHIDALDDRTNSSAVDGALDALAYIVHKICCEVSCKCSGGGDAHATTMGILNMLSSYSWDAKVVLTLAAFAVNFGEFWLIVQLCTSNSLAKSVALLKQLPDILEHSQTLKPHFDALNKLINAMIDVTKCIVEFTELPSEFISIDVPPLSTAMAHIPTATYWIIWSVVACAAQITGLVGMRHEFITSTSEAWELSSLAHKVSSIHEHLQSLLRLCYQRIDEKKLLEAFEDFKRTIETPQMDNLRILLKIFRKEETYYLLNPDNTKQVLIDVLRRKHVLLLISDLDISHEEIRVLEALYKGERVSSELNYEILWLPIVERSTWNDDYEQKFLSLKSIMSWYTVNHPFAIEPAVIKYIMEVWGFVKKPIAVTLDPQGKVLCPNALNMMWIWGNSAFPFSSEKEESFWKAEAWTLELLVDRLEPNLSTWVSQQKVVCFYGGVQMEWIESFATATKGVAKALDIGIEMVYVGKNNARERVKKITGLIKEKKLSHAWEDGNVWFFWNRLESMLYSKTQHGKAIENDVIKQEVMTLLAYDGSENGWAVFFTGSDEMVRANGDKVLSSMKSFEEWEKLAKQMGFIPALRKHLEGITDEHHCTRLILPGNSGGIPERVQCAECGRPMEMYFMYRCCVE >KJB21009 pep chromosome:Graimondii2_0_v6:3:44915664:44918960:-1 gene:B456_003G177800 transcript:KJB21009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPISLSISSLASKSQQMVRNERRMLAASDDGAMMKQIQSTHAPDGRVVDVKPILQVIDNVLRNIIPNIDHAMNGGTGHIDALDDRTNSSAVDGALDALAYIVHKICCEVSCKCSGGGDAHATTMGILNMLSSYSWDAKVVLTLAAFAVNFGEFWLIVQLCTSNSLAKSVALLKQLPDILEHSQTLKPHFDALNKLINAMIDVTKCIVEFTELPSEFISIDVPPLSTAMAHIPTATYWIIWSVVACAAQITGLVGMRHEFITSTSEAWELSSLAHKVSSIHEHLQSLLRLCYQRIDEKKLLEAFEDFKRTIETPQMDNLRILLKIFRKEETYYLLNPDNTKVLIDVLRRKHVLLLISDLDISHEEIRVLEALYKGERVSSELNYEILWLPIVERSTWNDDYEQKFLSLKSIMSWYTVNHPFAIEPAVIKYIMEVWGFVKKPIAVTLDPQGKVLCPNALNMMWIWGNSAFPFSSEKEESFWKAEAWTLELLVDRLEPNLSTWVSQQKVVCFYGGVQMEWIESFATATKGVAKALDIGIEMVYVGKNNARERVKKITGLIKEKKLSHAWEDGNVWFFWNRLESMLYSKTQHGKAIENDVIKQEVMTLLAYDGSENGWAVFFTGSDEMVRANGDKVLSSMKSFEEWEKLAKQMGFIPALRKHLEGITDEHHCTRLILPGNSGGIPERVQCAECGRPMEMYFMYRCCVE >KJB17254 pep chromosome:Graimondii2_0_v6:3:10297049:10301437:-1 gene:B456_003G0595002 transcript:KJB17254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKAQMRRFTKMIVNMMKAERLFESQGGPIILSQIENEYGPVEYEIGEPGKAYTDWAAKMAVRLGTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTEFGGAVPYRPAEDMAFSVARFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCESALVSGDPTVMQLGVHQEAHVFNYKSGGCAAFLANYDPKSFAKVAFRDMHYNLPPWSISILPDCKNTVYNTARVGAQIARKKMVPVPLHGGFSWQAYNEETASDADSSFTMVGLLEQINTTRDSTDYLWYTTDIEIDPNEEFFRNGKSPILTILSAGHALHVFVNGQLSGSSYGSLEFPKLTFSQGVNMRAGINKIALLSIAVGLPNVGPHFETWNAGVLGPVTLNGLNEGRRDLSWQKWSYKIGLKGEALNLHSLSGSSSVEWAEGSLVAQRQPLTWYKTTFNAPAGNAPLALDMRSMGKGQIWINGQSIGRHWPAYKASGNCSVCNYAGTYDENKCRTNCEEASQRWYHVPRSWLNPTGNLLVVIEEWGGDPNAISLVRRETDSVCADIYEWQPTLMNYQMHASGKADKPLRPKVHLECDMGQKISAVKFVSFGTPEGVCGSYREGSCHAYHSYDAFNRLCVGQNFCSVTVAPEMFGGDPCPNVMKKLSVEVICS >KJB17253 pep chromosome:Graimondii2_0_v6:3:10296576:10301457:-1 gene:B456_003G0595002 transcript:KJB17253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKAQMRRFTKMIVNMMKAERLFESQGGPIILSQIENEYGPVEYEIGEPGKAYTDWAAKMAVRLGTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTEFGGAVPYRPAEDMAFSVARFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCESALVSGDPTVMQLGVHQEAHVFNYKSGGCAAFLANYDPKSFAKVAFRDMHYNLPPWSISILPDCKNTVYNTARVGAQIARKKMVPVPLHGGFSWQAYNEETASDADSSFTMVGLLEQINTTRDSTDYLWYTTDIEIDPNEEFFRNGKSPILTILSAGHALHVFVNGQLSGSSYGSLEFPKLTFSQGVNMRAGINKIALLSIAVGLPNVGPHFETWNAGVLGPVTLNGLNEGRRDLSWQKWSYKIGLKGEALNLHSLSGSSSVEWAEGSLVAQRQPLTWYKTTFNAPAGNAPLALDMRSMGKGQIWINGQSIGRHWPAYKASGNCSVCNYAGTYDENKCRTNCEEASQR >KJB17252 pep chromosome:Graimondii2_0_v6:3:10296576:10301425:-1 gene:B456_003G0595002 transcript:KJB17252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFTKMIVNMMKAERLFESQGGPIILSQIENEYGPVEYEIGEPGKAYTDWAAKMAVRLGTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTEFGGAVPYRPAEDMAFSVARFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCESALVSGDPTVMQLGVHQEAHVFNYKSGGCAAFLANYDPKSFAKVAFRDMHYNLPPWSISILPDCKNTVYNTARVGAQIARKKMVPVPLHGGFSWQAYNEETASDADSSFTMVGLLEQINTTRDSTDYLWYTTDIEIDPNEEFFRNGKSPILTILSAGHALHVFVNGQLSGSSYGSLEFPKLTFSQGVNMRAGINKIALLSIAVGLPNVGPHFETWNAGVLGPVTLNGLNEGRRDLSWQKWSYKIGLKGEALNLHSLSGSSSVEWAEGSLVAQRQPLTWYKTTFNAPAGNAPLALDMRSMGKGQIWINGQSIGRHWPAYKASGNCSVCNYAGTYDENKCRTNCEEASQRWYHVPRSWLNPTGNLLVVIEEWGGDPNAISLVRRETDSVCADIYEWQPTLMNYQMHASGKADKPLRPKVHLECDMGQKISAVKFVSFGTPEGVCGSYREGSCHAYHSYDAFNRVCYICLIAYMQVTRHLHLLAKLTLLHLHFSCCCLS >KJB17255 pep chromosome:Graimondii2_0_v6:3:10296576:10302076:-1 gene:B456_003G0595002 transcript:KJB17255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AQMRRFTKMIVNMMKAERLFESQGGPIILSQIENEYGPVEYEIGEPGKAYTDWAAKMAVRLGTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTEFGGAVPYRPAEDMAFSVARFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCESALVSGDPTVMQLGVHQEAHVFNYKSGGCAAFLANYDPKSFAKVAFRDMHYNLPPWSISILPDCKNTVYNTARVGAQIARKKMVPVPLHGGFSWQAYNEETASDADSSFTMVGLLEQINTTRDSTDYLWYTTDIEIDPNEEFFRNGKSPILTILSAGHALHVFVNGQLSGSSYGSLEFPKLTFSQGVNMRAGINKIALLSIAVGLPNVGPHFETWNAGVLGPVTLNGLNEGRRDLSWQKWSYKIGLKGEALNLHSLSGSSSVEWAEGSLVAQRQPLTWYKTTFNAPAGNAPLALDMRSMGKGQIWINGQSIGRHWPAYKASGNCSVCNYAGTYDENKCRTNCEEASQRWYHVPRSWLNPTGNLLVVIEEWGGDPNAISLVRRETDSVCADIYEWQPTLMNYQMHASGKADKPLRPKVHLECDMGQKISAVKFVSFGTPEGVCGSYREGSCHAYHSYDAFNRLCVGQNFCSVTVAPEMFGGDPCPNVMKKLSVEVICS >KJB18733 pep chromosome:Graimondii2_0_v6:3:14133727:14137982:1 gene:B456_003G067800 transcript:KJB18733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKVLLSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYFETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVTEYSYSLDEEGIGKTAFELIFAFDEVICLGHKENVTVTQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIDKNRSDKGGFMSMGSGRIETSFSEMSISSSGSGFGSGSGFGGITTDLDAFSTKPKGRQPSAATAPPKGFGMQLGKSQKTNQFLESLKAEGELIVEDLQPKVGQSRVAAAPPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDGLIQVQIETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGPAGDAAGVGLLKWRMQSADESMVPLSINCWPSVSGNETYVSIEYEASAMFDLRNVVISVPLPALREAPNVRQIDGEWRYDSRNSILEWSILLIDNSNRSGSMEFVVPPADSSVFFPISVRFSATSLYSDLKVVNIIPLRGGATPKFSQRTNLSTENYQVV >KJB18735 pep chromosome:Graimondii2_0_v6:3:14133810:14137982:1 gene:B456_003G067800 transcript:KJB18735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKVLLSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYFETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVTEYSYSLDEEGIGKTAFELIFAFDEVICLGHKENVTVTQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIDKNRSDKGGFMSMGSGRIETSFSEMSISSSGSGFGSGSGFGGITTDLDAFSTKPKGRQPSAATAPPKGFGMQLAPPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDGLIQVQIETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGPAGDAAGVGLLKWRMQSADESMVPLSINCWPSVSGNETYVSIEYEASAMFDLRNVVISVPLPALREAPNVRQIDGEWRYDSRNSILEWSILLIDNSNRSGSMEFVVPPADSSVFFPISVRFSATSLYSDLKVVNIIPLRGGATPKFSQRTNLSTENYQVV >KJB18739 pep chromosome:Graimondii2_0_v6:3:14133810:14137982:1 gene:B456_003G067800 transcript:KJB18739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKVLLSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYFETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVTEYSYSLDEEGIGKTAFELIFAFDEVICLGHKENVTVTQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIDKNRSDKGGFMSMGSGRIETSFSEMSISSSGSGFGSGSGFGGITTDLDAFSTKPKGRQPSAATAPPKGFGMQLGKSQKTNQFLESLKAEGELIVEDLQPKVGQSRVAAAPPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDGLIQVQIETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGPAGDAAGVGLLKWRMQSADESMVPLSINCWPSVSGNETYVSIEYEASAMFDLRNVVISVPLPALREAPNVRQIDGEWRYDSRNSILEWSILLIDNSNRSGSMEFVVPPADSSVFFPISVRFSATSLYSDLKVVNIIPLRGGATPKFSQRTNLSTENYQVV >KJB18736 pep chromosome:Graimondii2_0_v6:3:14134361:14136950:1 gene:B456_003G067800 transcript:KJB18736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKVLLSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYFETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVTEYSYSLDEEGIGKTAFELIFAFDEVICLGHKENVTVTQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIDKNRSDKGGFMSMGSGRIETSFSEMSISSSGSGFGSGSGFGGITTDLDAFSTKPKGRQPSAATAPPKGFGMQLGKSQKTNQFLESLKAEGELIVEDLQPKVGQSRVAAAPPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDGLIQVQIETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGPAGDAAGVGLLKWRMQSADESMVPLSINCWPSVSGNETYVSIEYEASAMFDLRNVVISVPLPALREAPNVRQIDGEWR >KJB18738 pep chromosome:Graimondii2_0_v6:3:14133816:14137982:1 gene:B456_003G067800 transcript:KJB18738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIRIEGLLAAFPKLVGTGKQHTYFETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVTEYSYSLDEEGIGKTAFELIFAFDEVICLGHKENVTVTQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIDKNRSDKGGFMSMGSGRIETSFSEMSISSSGSGFGSGSGFGGITTDLDAFSTKPKGRQPSAATAPPKGFGMQLGKSQKTNQFLESLKAEGELIVEDLQPKVGQSRVAAAPPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDGLIQVQIETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGPAGDAAGVGLLKWRMQSADESMVPLSINCWPSVSGNETYVSIEYEASAMFDLRNVVISVPLPALREAPNVRQIDGEWRYDSRNSILEWSILLIDNSNRSGSMEFVVPPADSSVFFPISVRFSATSLYSDLKVVNIIPLRGGATPKFSQRTNLSTENYQVV >KJB18737 pep chromosome:Graimondii2_0_v6:3:14133815:14137982:1 gene:B456_003G067800 transcript:KJB18737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKVLLSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYFETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLENVTVTQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIDKNRSDKGGFMSMGSGRIETSFSEMSISSSGSGFGSGSGFGGITTDLDAFSTKPKGRQPSAATAPPKGFGMQLGKSQKTNQFLESLKAEGELIVEDLQPKVGQSRVAAAPPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDGLIQVQIETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGPAGDAAGVGLLKWRMQSADESMVPLSINCWPSVSGNETYVSIEYEASAMFDLRNVVISVPLPALREAPNVRQIDGEWRYDSRNSILEWSILLIDNSNRSGSMEFVVPPADSSVFFPISVRFSATSLYSDLKVVNIIPLRGGATPKFSQRTNLSTENYQVV >KJB18734 pep chromosome:Graimondii2_0_v6:3:14134361:14137407:1 gene:B456_003G067800 transcript:KJB18734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKVLLSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYFETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVTEYSYSLDEEGIGKTAFELIFAFDEVICLGHKENVTVTQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIDKNRSDKGGFMSMGSGRIETSFSEMSISSSGSGFGSGSGFGGITTDLDAFSTKPKGRQPSAATAPPKGFGMQLGKSQKTNQFLESLKAEGELIVEDLQPKVGQSRVAAAPPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDGLIQVQIETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGPAGDAAGVGLLKWRMQSADESMVPLSINCWPSVSGNETYVSIEYEASAMFDLRNVVISVPLPALREAPNVRQIDGEWRYDSRNSILEWSILLIDNSNRSYFLSTEILAVDLWSLWCLQRTHQCSSQYLLDFQPLVYTVT >KJB18740 pep chromosome:Graimondii2_0_v6:3:14133810:14137982:1 gene:B456_003G067800 transcript:KJB18740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKVLLSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYFETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVTEYSYSLDEEGIGKTAFELIFAFDEVICLGHKENVTVTQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIDKNRSDKGGFMSMGSGRIETSFSEMSISSSGSGFGSGSGFGGITTDLDAFSTKPKGRQPSAATAPPKGFGMQLGKSQKTNQFLESLKAEGELIVEDLQPKVGQSRVAAAPPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDGLIQVQIETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGPAGDAAGVGLLKWRMQSADESMVPLSINCWPSVSGNETYVSIEYEASAMFDLRNVVISVPLPALREAPNVRQIDGEWRYDSRNSILEWSILLIDNSNRSGSMEFVVPPADSSVFFPISVRFSATSLYSDLKVVNIIPLRGGATPKFSQRTNLSTENYQVV >KJB19415 pep chromosome:Graimondii2_0_v6:3:31145347:31148224:1 gene:B456_003G101500 transcript:KJB19415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSVSQDAISTILANPSPDSSSYIPEIVVQVLDLKLTGNRYTFNASDGKMKLRAIFPSNMSSEIITGSVQNKGLVRILDYTLNDIPNKSEKYLIVTKCEVVSPALETEIKAEVETEEHDTVLKKPKLEYEARYEAKADVSGIILKPKQEIVSKSAAQIVHEQRTNMAPSSRMAMTRRVHPLVSLNPYQGNWMIKVRLTSKGNMRTYKNARGEGCVFNVELTDEDGTQIQATMFNEAARKFYEKFQLGKVYYISRGTLKVANKQFKTVQNDYEMTLNENSVVEEASNEETFIPETKFNFVPIDQLGPYVNGRELVDIIGVVQSVNPVSNIKRRIDNENIPKRDLIVADETKKTVVVSLWNELANNVGQELFDNADKSPIVAIKSLKVGDFQGVSLSTLGKSSVMINPDIPEAKKLRSWYDSEGKGSSMASIGLGLSPSSKTGARSMYTDRVSLMHITSNPSLGDEKPAFFSIKAFVSLIRPEQAMWYRACKTCNKKVTEAVGSGYWCEGCQKNDEECNLRLDIEIYIKLLYN >KJB19414 pep chromosome:Graimondii2_0_v6:3:31145196:31148808:1 gene:B456_003G101500 transcript:KJB19414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSVSQDAISTILANPSPDSSSYIPEIVVQVLDLKLTGNRYTFNASDGKMKLRAIFPSNMSSEIITGSVQNKGLVRILDYTLNDIPNKSEKYLIVTKCEVVSPALETEIKAEVETEEHDTVLKKPKLEYEARYEAKADVSGIILKPKQEIVSKSAAQIVHEQRTNMAPSSRMAMTRRVHPLVSLNPYQGNWMIKVRLTSKGNMRTYKNARGEGCVFNVELTDEDGTQIQATMFNEAARKFYEKFQLGKVYYISRGTLKVANKQFKTVQNDYEMTLNENSVVEEASNEETFIPETKFNFVPIDQLGPYVNGRELVDIIGVVQSVNPVSNIKRRIDNENIPKRDLIVADETKKTVVVSLWNELANNVGQELFDNADKSPIVAIKSLKVGDFQGVSLSTLGKSSVMINPDIPEAKKLRSWYDSEGKGSSMASIGLGLSPSSKTGARSMYTDRVSLMHITSNPSLGDEKPAFFSIKAFVSLIRPEQAMWYRACKTCNKKVTEAVGSGYWCEGCQKNDEECNLRYIMVSKISDTRGEAWVSAFNEEAEKIVGCSADELDKLKSEQGDIDGYQQKLKEATWVPHLFKVSVTQNEYNNEKRQRITVKAVAPINFAEESRFLLEEIKKMRNPQ >KJB19416 pep chromosome:Graimondii2_0_v6:3:31145347:31148671:1 gene:B456_003G101500 transcript:KJB19416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSVSQDAISTILANPSPDSSSYIPEIVVQVLDLKLTGNRYTFNASDGKMKLRAIFPSNMSSEIITGSVQNKGLVRILDYTLNDIPNKSEKYLIVTKCEVVSPALETEIKAEVETEEHDTVLKKPKLEYEARYEAKADVSGIILKPKQEIVSKSAAQIVHEQRTNMAPSSRMAMTRRVHPLVSLNPYQGNWMIKVRLTSKGNMRTYKNARGEGCVFNVELTDEDGTQIQATMFNEAARKFYEKFQLGKVYYISRGTLKVANKQFKTVQNDYEMTLNENSVVEEASNEETFIPETKFNFVPIDQLGPYVNGRELVDIIGVVQSVNPVSNIKRRIDNENIPKRDLIVADETKKTVVVSLWNELANNVGQELFDNADKSPIVAIKSLKVGDFQGVSLSTLGKSSVMINPDIPEAKKLRSWYDSEGKGSSMASIGLGLSPSSKTGARSMYTDRVSLMHITSNPSLGDEKVLLCRLKYAMIVVF >KJB19738 pep chromosome:Graimondii2_0_v6:3:35588175:35589854:1 gene:B456_003G117000 transcript:KJB19738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGSEKMNNSMEIEDENIDKTKISLMRILVEKQDPSSKEVEDGALRRFLRARDLDVEKASNMFLKYLNWKRNFVPNGSISPSEIRHEIQQNKMFLQGWDKKGRPIALLLAARHFQHEGGVDEFKRTYFTFQTSTNSQNVLVDDDFEFSLPGFIVYLFDKIITRMPPGQEKFIVIGDLKGWGYANSDIRAYLAALSLVQDYYPERLEKLFVVHAPYIFMTAWKVVYPFIDPKTRKKMIFVDNKSLKSTLLEEIDESQLPATLGGKLELVPIHNS >KJB19737 pep chromosome:Graimondii2_0_v6:3:35588175:35589854:1 gene:B456_003G117000 transcript:KJB19737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGSEKMNNSMEIEDENIDKTKISLMRILVEKQDPSSKEVEDGALRRFLRARDLDVEKASNMFLKYLNWKRNFVPNGSISPSEIRHEIQQNKMFLQGWDKKGRPIALLLAARHFQHEGGVDEFKLYLFDKIITRMPPGQEKFIVIGDLKGWGYANSDIRAYLAALSLVQDYYPERLEKLFVVHAPYIFMTAWKVVYPFIDPKTRKKMIFVDNKSLKSTLLEEIDESQLPATLGGKLELVPIHNS >KJB19736 pep chromosome:Graimondii2_0_v6:3:35588174:35589854:1 gene:B456_003G117000 transcript:KJB19736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGSEKMNNSMEIEDENIDKTKISLMRILVEKQDPSSKEVEDGALRRFLRARDLDVEKASNMFLKYLNWKRNFVPNGSISPSEIRHEIQQNKMFLQGWDKKGRPIALLLAARHFQHEGGVDEFKRFIVYLFDKIITRMPPGQEKFIVIGDLKGWGYANSDIRAYLAALSLDYYPERLEKLFVVHAPYIFMTAWKVVYPFIDPKTRKKMIFVDNKSLKSTLLEEIDESQLPATLGGKLELVPIHNS >KJB19739 pep chromosome:Graimondii2_0_v6:3:35588444:35589854:1 gene:B456_003G117000 transcript:KJB19739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKYLNWKRNFVPNGSISPSEIRHEIQQNKMFLQGWDKKGRPIALLLAARHFQHEGGVDEFKRFIVYLFDKIITRMPPGQEKFIVIGDLKGWGYANSDIRAYLAALSLVQDYYPERLEKLFVVHAPYIFMTAWKVVYPFIDPKTRKKMIFVDNKSLKSTLLEEIDESQLPATLGGKLELVPIHNS >KJB19735 pep chromosome:Graimondii2_0_v6:3:35587987:35589896:1 gene:B456_003G117000 transcript:KJB19735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGSEKMNNSMEIEDENIDKTKISLMRILVEKQDPSSKEVEDGALRRFLRARDLDVEKASNMFLKYLNWKRNFVPNGSISPSEIRHEIQQNKMFLQGWDKKGRPIALLLAARHFQHEGGVDEFKRFIVYLFDKIITRMPPGQEKFIVIGDLKGWGYANSDIRAYLAALSLVQDYYPERLEKLFVVHAPYIFMTAWKVVYPFIDPKTRKKMIFVDNKSLKSTLLEEIDESQLPATLGGKLELVPIHNS >KJB17595 pep chromosome:Graimondii2_0_v6:3:4129007:4132256:-1 gene:B456_003G038600 transcript:KJB17595 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MPISRFIFFSAKHRFAHASNLLPRFGSSKRIQSCGYTKSAKNNYYKKPTGHPVVNVETQASRSSGAYIIPPIILGFGGLLAFLHYNDERRAVKIGKDSYTGSDTAAAPIIGGPFTLVNSENQIVNEQDFLGNWVLLYFGYTSSPDIGPDQVRIMAKAIDTLESKENLKVLPVFVTIDPQRDTPAQLRAYLKVQSLIQE >KJB17594 pep chromosome:Graimondii2_0_v6:3:4129659:4132060:-1 gene:B456_003G038600 transcript:KJB17594 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MPISRFIFFSAKHRFAHASNLLPRFGSSKRIQSCGYTKSAKNNYYKKPTGHPVVNVETQASRSSGAYIIPPIILGFGGLLAFLHYNDERRAVKIGKDSYTGSDTAAAPIIGGPFTLVNSENQIVNEQDFLGNWVLLYFGYTSSPDIGPDQVRIMAKAIDTLESKENLKVLPVFVTIDPQRDTPAQLRAYLKGSYNL >KJB17593 pep chromosome:Graimondii2_0_v6:3:4129237:4130594:-1 gene:B456_003G038600 transcript:KJB17593 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MTLEFRGKDSYTGSDTAAAPIIGGPFTLVNSENQIVNEQDFLGNWVLLYFGYTSSPDIGPDQVRIMAKAIDTLESKENLKVLPVFVTIDPQRDTPAQLRAYLKEFDPRIVGLGGPVSAVRQMAQEYRVYFKKVEEEGDDYLVESSHSMYLINPKMKVVRCFGVEYNAEQLSKEILKELKKHQVNA >KJB17592 pep chromosome:Graimondii2_0_v6:3:4129007:4132256:-1 gene:B456_003G038600 transcript:KJB17592 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MTLEFRGKDSYTGSDTAAAPIIGGPFTLVNSENQIVNEQDFLGNWVLLYFGYTSSPDIGPDQVRIMAKAIDTLESKENLKVLPVFVTIDPQRDTPAQLRAYLKEFDPRIVGLGGPVSAVRQMAQEYRVYFKKVEEEGDDYLVESSHSMYLINPKMKVVRCFGVEYNAEQLSKEILKELKKHQVNA >KJB17596 pep chromosome:Graimondii2_0_v6:3:4129007:4132261:-1 gene:B456_003G038600 transcript:KJB17596 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MPISRFIFFSAKHRFAHASNLLPRFGSSKRIQSCGYTKSAKNNYYKKPTGHPVVNVETQASRSSGAYIIPPIILGFGGLLAFLHYNDERRAVKIGKDSYTGSDTAAAPIIGGPFTLVNSENQIVNEQDFLGNWVLLYFGYTSSPDIGPDQVRIMAKAIDTLESKENLKVLPVFVTIDPQRDTPAQLRAYLKEFDPRIVGLGGPVSAVRQMAQEYRVYFKKVEEEGDDYLVESSHSMYLINPKMKVVRCFGVEYNAEQLSKEILKELKKHQVNA >KJB17685 pep chromosome:Graimondii2_0_v6:3:691654:694398:1 gene:B456_003G011200 transcript:KJB17685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILCDACESAPAIVFCAADEAALCHSCDEKVHMCNKLASRHVRVGLANPSAVPRCDICENAPAFFYCEIDGSSLCLQCDVIVHVGGKKTHGRYLLLRQRVEVLVLCSFRVIRRLNRVKTGEAKIN >KJB17680 pep chromosome:Graimondii2_0_v6:3:691640:694398:1 gene:B456_003G011200 transcript:KJB17680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILCDACESAPAIVFCAADEAALCHSCDEKVHMCNKLASRHVRVGLANPSAVPRCDICENAPAFFYCEIDGSSLCLQCDVIVHVGGKKTHGRYLLLRQRVEFPGDTSVEPSENGRGQNQLTIGENQQNHKVSPFKTDSTKMIDLNMKPPHRIHGQASNNQEQ >KJB17682 pep chromosome:Graimondii2_0_v6:3:691487:694914:1 gene:B456_003G011200 transcript:KJB17682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILCDACESAPAIVFCAADEAALCHSCDEKVHMCNKLASRHVRVGLANPSAVPRCDICENAPAFFYCEIDGSSLCLQCDVIVHVGGKKTHGRYLLLRQRVEFPGDTSVEPSENGRGQNQLTIGENQQNHKVSPFKTDSTKMIDLNMKPPHRIHGQASNNQEQ >KJB17687 pep chromosome:Graimondii2_0_v6:3:691882:694914:1 gene:B456_003G011200 transcript:KJB17687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNKLASRHVRVGLANPSAVPRCDICENAPAFFYCEIDGSSLCLQCDVIVHVGGKKTHGRYLLLRQRVEFPGDTSVEPSENGRGQNQLTIGENQQNHKVSPFKTDSTKMIDLNMKPPHRIHGQASNNQEQ >KJB17681 pep chromosome:Graimondii2_0_v6:3:691640:693937:1 gene:B456_003G011200 transcript:KJB17681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILCDACESAPAIVFCAADEAALCHSCDEKVHMCNKLASRHVRVGLANPSAVPRCDICENAPAFFYCEIDGSSLCLQCDVIVHVGGKKTHGRYLLLRQRVEFPGDTSVEPSENGRGQNQLTIGENQQNHKVSPFKTDSTKMIDLNMKPPHRIHGQASNNQVQNRF >KJB17686 pep chromosome:Graimondii2_0_v6:3:691654:694398:1 gene:B456_003G011200 transcript:KJB17686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILCDACESAPAIVFCAADEAALCHSCDEKVHMCNKLASRHVRVGLANPSAVPRCDICENAPGMHTAFFYCEIDGSSLCLQCDVIVHVGGKKTHGRYLLLRQRVEFPGDTSVEPSENGRGQNQLTIGENQQNHKVSPFKTDSTKMIDLNMKPPHRIHGQASNNQEQ >KJB17683 pep chromosome:Graimondii2_0_v6:3:691654:693937:1 gene:B456_003G011200 transcript:KJB17683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILCDACESAPAIVFCAADEAALCHSCDEKVHMCNKLASRHVRVGLANPSAVPRCDICENAPAFFYCEIDGSSLCLQCDVIVHVGGKKTHGRYLLLRQRVEVLSSLLLSLKVKSNNVFVVPPRVFIRFWFYVVSG >KJB17684 pep chromosome:Graimondii2_0_v6:3:691654:694398:1 gene:B456_003G011200 transcript:KJB17684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILCDACESAPAIVFCAADEAALCHSCDEKVHMCNKLASRHVRVGLANPSAVPRCDICENAPAFFYCEIDGSSLCLQCDVIVHVGGKKTHGRYLLLRQRVEVLSSLLLSLKVKSNNVFVVPPRVFIRFWFYVVSG >KJB18925 pep chromosome:Graimondii2_0_v6:3:18066888:18069062:-1 gene:B456_003G075100 transcript:KJB18925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEAKQRGAKSEEERRARHAATCRKSRQRIKGKREQLEQEIPMLKTESGKMKMEFENYKSKTELELQQLRRTIVEQKASEELMKQLFIHLMAYCVRKFGHNFGSFDQAVNWFASQNYAGGDQVSRASGAGLQNVNELVGSVPVPTIDRQHSMVDFDQQMFFGQDYTNVAASNAAGSAYTANHAVSSAAVWINSAADSSLGANHNLPEYGAMNNHNNLCNRDLAAGVSTITGSSSGVNPTLVFGSINNEKNDDLFDIVKFKLQS >KJB18923 pep chromosome:Graimondii2_0_v6:3:18066625:18068961:-1 gene:B456_003G075100 transcript:KJB18923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTESGKMKMEFENYKSKTELELQQLRRTIVEQKASEELMKQLFIHLMAYCGHNFGSFDQAVNWFASQNYAGGDQVSRASGAGLQNVNELVGSVPVPTIDRQHSMVDFDQQMFFGQDYTNVAASNAAGSAYTANHAVSSAAVWINSAADSSLGANHNLPEYGAMNNHNNLCNRDLAAGVSTITGSSSGVNPTLVFGSINNEKNDDLFDIVKFKLQS >KJB18924 pep chromosome:Graimondii2_0_v6:3:18066625:18069320:-1 gene:B456_003G075100 transcript:KJB18924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEAKQRGAKSEEERRARHAATCRKSRQRIKGKREQLEQEIPMLKTESGKMKMEFENYKSKTELELQQLRRTIVEQKASEELMKQLFIHLMAYCGHNFGSFDQAVNWFASQNYAGGDQVSRASGAGLQNVNELVGSVPVPTIDRQHSMVDFDQQMFFGQDYTNVAASNAAGSAYTANHAVSSAAVWINSAADSSLGANHNLPEYGAMNNHNNLCNRDLAAGVSTITGSSSGVNPTLVFGSINNEKNDDLFDIVKFKLQS >KJB19811 pep chromosome:Graimondii2_0_v6:3:36030640:36033123:1 gene:B456_003G119900 transcript:KJB19811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQITERLGSKVPVVTNAACGIIGRDAITNTMREVRWHMIPAEDGSVSQESEEFNRGIVLIVGYLPGLKVDAIPLLRPKMEHRVTMVDRFMMDIRNYTVSVSGSVIPAGVIMFGDQHIDLTPVLAELDCVMPEETVIVGEASSRFVCKTARNSEEYNPDLYFFDALALVFAKDKNKPPGIGETRFHATLSTGVMPFGPELKAISVTAKGTECSWLTASMNGYHQILDSQRLLDDISEEMDDEAADLYIGVIQKRPSSLEHEKMKLRTYLAFYEVLGGDEEYLVVDGVGIKPGDTFLFYHSDSATASSSCLNAFEKLKVLKPAASSSRNPYSNMDSNGGVFGGLLFSSHYRGETYFDSFPIYSNFPGTPLAGIVCNREIGRDSTAASMWQEAKEESPARCSLHVCTTVYLVFVYVPPARNLYIN >KJB19810 pep chromosome:Graimondii2_0_v6:3:36030038:36033311:1 gene:B456_003G119900 transcript:KJB19810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFAAVNDDILQNILFRLPASSFASAACVSKSWNKVCDRVLTCPKLASALSLNPSLPDAVKEALDKVLSKPIRPQFAIASIGLQFSLEAAHQIITERLGSKVPVVTNAACGIIGRDAITNTMREVRWHMIPAEDGSVSQESEEFNRGIVLIVGYLPGLKVDAIPLLRPKMEHRVTMVDRFMMDIRNYTVSVSGSVIPAGVIMFGDQHIDLTPVLAELDCVMPEETVIVGEASSRFVCKTARNSEEYNPDLYFFDALALVFAKDKNKPPGIGETRFHATLSTGVMPFGPELKAISVTAKGTECSWLTASMNGYHQILDSQRLLDDISEEMDDEAADLYIGVIQKRPSSLEHEKMKLRTYLAFYEVLGGDEEYLVVDGVGIKPGDTFLFYHSDSATASSSCLNAFEKLKVLKPAASSSRNPYSNMDSNGGVFGGLLFSSHYRGETYFDSFPIYSNFPGTPLAGIVCNREIGRDSTAASMWQEAKEESPARCSLHVCTTVYLVFVYVPPARNLYIN >KJB19813 pep chromosome:Graimondii2_0_v6:3:36030096:36033245:1 gene:B456_003G119900 transcript:KJB19813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFAAVNDDILQNILFRLPASSFASAACVSKSWNKVCDRVLTCPKLASALSLNPSLPDAVKEALDKVLSKPIRPQFAIASIGLQFSLEAAHQIITERLGSKVPVVTNAACGIIGRDAITNTMREVRWHMIPAEDGSVSQESEEFNRGIVLIVGYLPGLKVDAIPLLRPKMEHRVTMVDRFMMDIRNYTVSVSGSVIPAGVIMFGDQHIDLTPVLAELDCVMPEETVIVGEASSRFVCKTARNSEEYNPDLYFFDALALVFAKDKNKPPGIGETRFHATLSTGVMPFGPELKAISVTAKGTECSWLTASMNGYHQILDSQRLLDDISEEMDDEAADLYIGVIQKRPSSLEHEKMKLRTYLAFYEVLGG >KJB19814 pep chromosome:Graimondii2_0_v6:3:36031116:36033245:1 gene:B456_003G119900 transcript:KJB19814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRFMMDIRNYTVSVSGSVIPAGVIMFGDQHIDLTPVLAELDCVMPEETVIVGEASSRFVCKTARNSEEYNPDLYFFDALALVFAKDKNKPPGIGETRFHATLSTGVMPFGPELKAISVTAKGTECSWLTASMNGYHQILDSQRLLDDISEEMDDEAADLYIGVIQKRPSSLEHEKMKLRTYLAFYEVLGGDEEYLVVDGVGIKPGDTFLFYHSDSATASSSCLNAFEKLKVLKPAASSSRNPYSNMDSNGGVFGGLLFSSHYRGETYFDSFPIYSNFPGTPLAGIVCNREIGRDSTAASMWQEAKEESPARCSLHVCTTVYLVFVYVPPARNLYIN >KJB19812 pep chromosome:Graimondii2_0_v6:3:36030101:36032652:1 gene:B456_003G119900 transcript:KJB19812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFAAVNDDILQNILFRLPASSFASAACVSKSWNKVCDRVLTCPKLASALSLNPSLPDAVKEALDKVLSKPIRPQFAIASIGLQFSLEAAHQIITERLGSKVPVVTNAACGIIGRDAITNTMREVRWHMIPAEDGSVSQESEEFNRGIVLIVGYLPGLKVDAIPLLRPKMEHRVTMVDRFMMDIRNYTVSVSGSVIPAGVIMFGDQHIDLTPVLAELDCVMPEETVIVGEASSRFVCKTARNSEEYNPDLYFFDALALVFAKDKNKPPGIGETRFHATLSTGVMPFGPELKAISVTAKGTECSWLTASMNGYHQILDSQRLLDDISEEVTISIKIV >KJB20406 pep chromosome:Graimondii2_0_v6:3:41407609:41417476:1 gene:B456_003G146900 transcript:KJB20406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGTSRNADGGLHGGEEREESLDQAGGQLYVSLKMENYKKKGDLIPHIYGSVPLVGSWDSTKALSMERESASMWELSFVVPPNHETLDFKFLLKPKHGYMPCIVEEGPNRLLTGGTLQGDARHARLALFKLDNEEVLEYRVFIKADRVSPFDLAASWRAYQDNLRPSTVRGIPDVSINAAPETGHENSSSASLELDLEHYVVPAPSTSANSGLVYAANLAENPRSLTSSGVSGDRPATIKEMEVIIPDPSKVYSGSGMVESKSVGTFSPLQKQDSHRGLFVDRGVGSPRLVKSSSSSTFSFDLKLDSKNKNSMPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAVKLTRYLRWLGHDTKHFNVGKYRRLKHGTNQSADFFRADNPEGMEARNEVAALAMDDMIAWMQEGGQVGIFDATNSSRSRRNMLMKMAEGRCKIIFLETICNDERIIERNIRLKIQQSPDYAEEPDFEAGLQDFTTRLANYEKVYEPVDEGSYIKMIDMASGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDSVLSDTGEIYMKKLANFVEKRLKSERTASIWTSTLQRTILTASPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPHIEVPLHTIIEIQMGVTGVQEKRYKLMD >KJB20411 pep chromosome:Graimondii2_0_v6:3:41407701:41417476:1 gene:B456_003G146900 transcript:KJB20411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGTSRNADGGLHGGEEREESLDQAGGQLYVSLKMENYKKKGDLIPHIYGSVPLVGSWDSTKALSMERESASMWELSFVVPPNHETLDFKFLLKPKHGYMPCIVEEGPNRLLTGGTLQGDARHARLALFKLDNEEVLEYRVFIKADRVSPFDLAASWRAYQDNLRPSTVRGIPDVSINAAPETGHENSSSASLELDLEHYVVPAPSTSANSGLVYAANLAENPRSLTSSGVSGDRPATIKEMEVIIPDPSKVYSGSGMVESKSVGTFSPLQKQDSHRGLFVDRGVGSPRLVKSSSSSTFSFDLKLDSKNKNSMPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAVKLTRYLRWLGHDTKHFNVGKYRRLKHGTNQSADFFRADNPEGMEARNEVAALAMDDMIAWMQEGGQVGIFDATNSSRSRRNMLMKMAEGRCKIIFLETICNDERIIERNIRLKIQQSPDYAEEPDFEAGLQDFTTRLANYEKVYEPVDEGSYIKMIDMASGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDSVLSDTGEIYMKKLANFVEKRLKSERTASIWTSTLQRTILTASPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQVIFNSKILCFV >KJB20408 pep chromosome:Graimondii2_0_v6:3:41407701:41414846:1 gene:B456_003G146900 transcript:KJB20408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGTSRNADGGLHGGEEREESLDQAGGQLYVSLKMENYKKKGDLIPHIYGSVPLVGSWDSTKALSMERESASMWELSFVVPPNHETLDFKFLLKPKHGYMPCIVEEGPNRLLTGGTLQGDARHARLALFKLDNEEVLEYRVFIKADRVSPFDLAASWRAYQDNLRPSTVRGIPDVSINAAPETGHENSSSASLELDLEHYVVPAPSTSANSGLVYAANLAENPRSLTSSGVSGDRPATIKEMEVIIPDPSKVYSGSGMVESKSVGTFSPLQKQDSHRGLFVDRGVGSPRLVKSSSSSTFSFDLKLDSKNKNSMPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAVKLTRYLRWLGHDTKHFNVGKYRRLKHGTNQSADFFRADNPEGMEARNEVAALAMDDMIAWMQEGGQVGIFDATNSSRSRRNMLMKMAEGRCKIIFLETICNDERIIERNIRLKIQQSPDYAEEPDFEAGLQDFTTRLANYEKVYEPVDEGSYIKMIDMASGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDSVLSDTGEIYMKKLANFVEKRLKSERTASIWTSTLQRTILTASPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYE >KJB20413 pep chromosome:Graimondii2_0_v6:3:41407701:41417476:1 gene:B456_003G146900 transcript:KJB20413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCIVEEGPNRLLTGGTLQGDARHARLALFKLDNEEVLEYRVFIKADRVSPFDLAASWRAYQDNLRPSTVRGIPDVSINAAPETGHENSSSASLELDLEHYVVPAPSTSANSGLVYAANLAENPRSLTSSGVSGDRPATIKEMEVIIPDPSKVYSGSGMVESKSVGTFSPLQKQDSHRGLFVDRGVGSPRLVKSSSSSTFSFDLKLDSKNKNSMPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAVKLTRYLRWLGHDTKHFNVGKYRRLKHGTNQSADFFRADNPEGMEARNEVAALAMDDMIAWMQEGGQVGIFDATNSSRSRRNMLMKMAEGRCKIIFLETICNDERIIERNIRLKIQQSPDYAEEPDFEAGLQDFTTRLANYEKVYEPVDEGSYIKMIDMASGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDSVLSDTGEIYMKKLANFVEKRLKSERTASIWTSTLQRTILTASPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPHIEVPLHTIIEIQMGVTGVQEKRYKLMD >KJB20412 pep chromosome:Graimondii2_0_v6:3:41407701:41417476:1 gene:B456_003G146900 transcript:KJB20412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGTSRNADGGLHGGEEREESLDQAGGQLYVSLKMENYKKKGDLIPHIYGSVPLVGSWDSTKALSMERESASMWELSFVVPPNHETLDFKFLLKPKHGYMPCIVEEGPNRLLTGGTLQGDARHARLALFKLDNEEVLEYRVFIKADRVSPFDLAASWRAYQDNLRPSTVRGIPDVSINAAPETGHENSSSASLELDLEHYVVPAPSTSANSGLVYAANLAENPRSLTSSGVSGDRPATIKEMEVIIPDPSKVYSGSGMVESKSVGTFSPLQKQDSHRGLFVDRGVGSPRLVKSSSSSTFSFDLKLDSKNKNSMPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAVKLTRYLRWLGHDTKHFNVGKYRRLKHGTNQSADFFRADNPEGMEARNEVAALAMDDMIAWMQEGGQVGIFDATNSSRSRRNMLMKMAEGRCKIIFLETICNDERIIERNIRLKIQQSPDYAEEPDFEAGLQDFTTRLANYEKVYEPVDEGSYIKMIDMASGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDSVLSDTGEIYMKKLANFVEKRLKSERTASIWTSTLQRTILTASPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPHIEVTTRQIEIGVSLLISCCG >KJB20407 pep chromosome:Graimondii2_0_v6:3:41407667:41417723:1 gene:B456_003G146900 transcript:KJB20407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGTSRNADGGLHGGEEREESLDQAGGQLYVSLKMENYKKKGDLIPHIYGSVPLVGSWDSTKALSMERESASMWELSFVVPPNHETLDFKFLLKPKHGYMPCIVEEGPNRLLTGGTLQGDARHARLALFKLDNEEVLEYRVFIKADRVSPFDLAASWRAYQDNLRPSTVRGIPDVSINAAPETGHENSSSASLELDLEHYVVPAPSTSANSGLVYAANLAENPRSLTSSGVSGDRPATIKEMEVIIPDPSKVYSGSGMVESKSVGTFSPLQKQDSHRGLFVDRGVGSPRLVKSSSSSTFSFDLKLDSKNKNSMPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAVKLTRYLRWLGHDTKHFNVGKYRRLKHGTNQSADFFRADNPEGMEARNEVAALAMDDMIAWMQEGGQVGIFDATNSSRSRRNMLMKMAEGRCKIIFLETICNDERIIERNIRLKIQQSPDYAEEPDFEAGLQDFTTRLANYEKVYEPVDEGSYIKMIDMASGHGGQIQVNNISGYLPGRIVFFL >KJB20405 pep chromosome:Graimondii2_0_v6:3:41407701:41417476:1 gene:B456_003G146900 transcript:KJB20405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERESASMWELSFVVPPNHETLDFKFLLKPKHGYMPCIVEEGPNRLLTGGTLQGDARHARLALFKLDNEEVLEYRVFIKADRVSPFDLAASWRAYQDNLRPSTVRGIPDVSINAAPETGHENSSSASLELDLEHYVVPAPSTSANSGLVYAANLAENPRSLTSSGVSGDRPATIKEMEVIIPDPSKVYSGSGMVESKSVGTFSPLQKQDSHRGLFVDRGVGSPRLVKSSSSSTFSFDLKLDSKNKNSMPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAVKLTRYLRWLGHDTKHFNVGKYRRLKHGTNQSADFFRADNPEGMEARNEVAALAMDDMIAWMQEGGQVGIFDATNSSRSRRNMLMKMAEGRCKIIFLETICNDERIIERNIRLKIQQSPDYAEEPDFEAGLQDFTTRLANYEKVYEPVDEGSYIKMIDMASGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDSVLSDTGEIYMKKLANFVEKRLKSERTASIWTSTLQRTILTASPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPHIEVPLHTIIEIQMGVTGVQEKRYKLMD >KJB20410 pep chromosome:Graimondii2_0_v6:3:41407701:41417476:1 gene:B456_003G146900 transcript:KJB20410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGTSRNADGGLHGGEEREESLDQAGGQLYVSLKMENYKKKGDLIPHIYGSVPLVGSWDSTKALSMERESASMWELSFVVPPNHETLDFKFLLKPKHGYMPCIVEEGPNRLLTGGTLQGDARHARLALFKLDNEEVLEYRVFIKADRVSPFDLAASWRAYQDNLRPSTVRGIPDVSINAAPETGHENSSSASLELDLEHYVVPAPSTSANSGLVYAANLAENPRSLTSSGVSGDRPATIKEMEVIIPDPSKVYSGSGMVESKSVGTFSPLQKQDSHRGLFVDRGVGSPRLVKSSSSSTFSFDLKLDSKNKNSMPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAVKLTRYLRWLGHDTKHFNVGKYRRLKHGTNQSADFFRADNPEGMEARNEVAALAMDDMIAWMQEGGQVGIFDATNSSRSRRNMLMKMAEGRCKIIFLETICNDERIIERNIRLKIQQSPDYAEEPDFEAGLQDFTTRLANYEKVYEPVDEGSYIKMIDMASGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDSVLSDTGEIYMKKLANFVEKRLKSERTASIWTSTLQRTILTASPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLRVSQHTELSIVCK >KJB20409 pep chromosome:Graimondii2_0_v6:3:41407852:41416385:1 gene:B456_003G146900 transcript:KJB20409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGTSRNADGGLHGGEEREESLDQAGGQLYVSLKMENYKKKGDLIPHIYGSVPLVGSWDSTKALSMERESASMWELSFVVPPNHETLDFKFLLKPKHGYMPCIVEEGPNRLLTGGTLQGDARHARLALFKLDNEEVLEYRVFIKADRVSPFDLAASWRAYQDNLRPSTVRGIPDVSINAAPETGHENSSSASLELDLEHYVVPAPSTSANSGLVYAANLAENPRSLTSSGVSGDRPATIKEMEVIIPDPSKVYSGSGMVESKSVGTFSPLQKQDSHRGLFVDRGVGSPRLVKSSSSSTFSFDLKLDSKNKNSMPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAVKLTRYLRWLGHDTKHFNVGKYRRLKHGTNQSADFFRADNPEGMEARNEVAALAMDDMIAWMQEGGQVGIFDATNSSRSRRNMLMKMAEGRCKIIFLETICNDERIIERNIRLKIQQSPDYAEEPDFEAGLQDFTTRLANYEKVYEPVDEGSYIKMIDMASGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDSVLSDTGEIYMKKLANFVEKRLKSERTASIWTSTLQRTILTASPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLRVSQHTELSIVCK >KJB18988 pep chromosome:Graimondii2_0_v6:3:19569070:19571951:1 gene:B456_003G079300 transcript:KJB18988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTYLSFIFFSIFLHNPTTAQRCPTSCPGSELELKFPFGRNPNGNQNGSCSYPGFGLSCSRATGEPILKLPESGEFSVRYIDYEAQQIWIDDPDSCLPKRMLENFNLSGTPFGTEFWYTLTFFNCSTSDVTQKGLRSISCLSDSNYSVLVSTMISTVDSAESLPSTCQAIKAVTIPFVLDGIRLGWNEPNCRSCVERRGDCGFTDRNSLETGCFNLPSQGGGLPRGAKYGIIIGAGIPGLLCLIGLVAFAGSRMRHRRHQRNLRNIEFSTSVSPSVAIVMSGLDGQTIESYPKTKLGDSGRLPKPNDNTCPICLSEYQPKETLRTIPECNHYFHADCIDEWLKMNGSCPLCRNSPSGSAPITPSISSSGSSSSSSQLSP >KJB19693 pep chromosome:Graimondii2_0_v6:3:35103560:35105665:1 gene:B456_003G115000 transcript:KJB19693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSTAAATAASSKLRYPHASPFPTHSSTTTSAFFSSIPSKLTPTHLSSSFLPPFLTTVATTSVFPRRRGSFTVKAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALASMGNSAPKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNVVVFLNKQDQVDDEELLQLVELEVRELLSSYEFPGDDVPIISGSALLALEALMAKPSIPRGENQWVDKIYELMDAVDSYIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKTVGAGVIQSIIE >KJB19694 pep chromosome:Graimondii2_0_v6:3:35103560:35105665:1 gene:B456_003G115000 transcript:KJB19694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSTAAATAASSKLRYPHASPFPTHSSTTTSAFFSSIPSKLTPTHLSSSFLPPFLTTVATTSVFPRRRGSFTVKAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALASMGNSAPKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNVVVFLNKQDQVDDEELLQLVELEVRELLSSYEFPGDDVPIISGSALLALEALMAKPSIPRGENQWVDKIYELMDAVDSYIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKVGETVDIVGLKDTRNVTVTGVEMFQKTLDDAMAGDNVGLLLRGVQKADIQRGMVLAKPGTITPHTKFSAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGRGCGLLSEKEGRRLEPGLFSLLLSDLRILWRCRICYLLLQ >KJB17848 pep chromosome:Graimondii2_0_v6:3:1436823:1440710:1 gene:B456_003G018900 transcript:KJB17848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQSSVESKMLGLKFTSSKHKRSKSFPEKRRVEEDSLDGSLEASNRIKLDMRHLKDSVKTRNKQSPSPGTEVQQNSLKQEILQLEKRLQDQFEVRRALETALGYRTSSHDNRNETSVSITKPATELIKEIAVLELEVVYLEQYLLLLYRKAFDQQVSSISLSKRDERIKTPLDSPRGRFSKVSRPDDVSKVENLAVRSSYCENPCEEPSVHRCHSSLSQHLVLSSRTSPLDENLDRAIRACHSQPLSMTEYAQNASNIISLAEHLGTRISDHVPETPNKLSEDMIKCMAAIYCKLADPPLIQNGFSSPNSSMSSASAFSPPQQHDMWSPGFRNNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVPWIFRDSQKLGDVEHLLQNFRSLICRLEEIDPMNLKHEEKLAFWINIHNSLVMHAFLAYGIPQNNVKRLFLLLRAAYNIGGHTISADTIQSSILGCRISRPGQWLRLLLSSKTKFKTGDEKQAYAIKQPEPLLYFALCTGNHSDPAIRAYTPKRVFQELETAKEEYIRATFGVRKDQKILLPKIIESFAKDSSLDSVGIIEMVEHALPESLRRSIRKCQLGKSRKNIAWIPRNFTFRYLISKELLR >KJB17978 pep chromosome:Graimondii2_0_v6:3:2465778:2467688:-1 gene:B456_003G027300 transcript:KJB17978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFFSLKLHLHSAIFIVLTFLAVQGSGINADGLLLLSFKNSILSDPLQALRNWNSTDQTPCSWTGVFCSNTNGGGGEGGSRVTALSLPSSQLVGSIPSDLGSIQHLESLDLSNNSLNGSVLESVFNATELRFLDLSNNLMSGAMPETIGRLQSLQFLNLSDNELGGTLPKTLTTIQNLTVVSLKNNYFSGDLPAGFRSLQVLDLSSNLIDGSLPKNFGGDSLTYLNVSYNRLFGKIPSQFAEKIPTNATIDLSFNNLTGEIPDSDVFNNQEPKSFSGNPHLCGEITGRSCPITSSPSSSPPAIAAFPKTTEFADTPGPSPIGEKPQRNRLKPGTIVAIIIGDTAGIGFFLMVFFIIHKLKNKKRVETTTVTQTANDTVNDNWSTTSSSSESRGFTRWSCLRNTKEEYDEESETPSEEDQSQGNQRQGMHEHDKKGTLVTVDGENQLELETLLKASAYILGATGSSIMYKAVLEDGTCLAVRRIGENSVVRFKDFDTQVRVIAKMVHPNLVKIRGFYWGVDEKLVIYDFVPNGSVANSRYRKHMRTLAKLTWVFAKLRSIARAVTVE >KJB17979 pep chromosome:Graimondii2_0_v6:3:2464494:2467770:-1 gene:B456_003G027300 transcript:KJB17979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFFSLKLHLHSAIFIVLTFLAVQGSGINADGLLLLSFKNSILSDPLQALRNWNSTDQTPCSWTGVFCSNTNGGGGEGGSRVTALSLPSSQLVGSIPSDLGSIQHLESLDLSNNSLNGSVLESVFNATELRFLDLSNNLMSGAMPETIGRLQSLQFLNLSDNELGGTLPKTLTTIQNLTVVSLKNNYFSGDLPAGFRSLQVLDLSSNLIDGSLPKNFGGDSLTYLNVSYNRLFGKIPSQFAEKIPTNATIDLSFNNLTGEIPDSDVFNNQEPKSFSGNPHLCGEITGRSCPITSSPSSSPPAIAAFPKTTEFADTPGPSPIGEKPQRNRLKPGTIVAIIIGDTAGIGFFLMVFFIIHKLKNKKRVETTTVTQTANDTVNDNWSTTSSSSESRGFTRWSCLRNTKEEYDEESETPSEEDQSQGNQRQGMHEHDKKGTLVTVDGENQLELETLLKASAYILGATGSSIMYKAVLEDGTCLAVRRIGENSVVRFKDFDTQVRVIAKMVHPNLVKIRGFYWGVDEKLVIYDFVPNGSVANSRYRKVGSSPCHLPWEARLKIAKGVARGLAYLHDKKHVHANLKPSNILLGPDMEPKIGDFGLERLVTCDTSTKVGVSARNFGSKRSTASRDSLQDLTGPSPSPSPSSFGLSPYRAPESLRILKPNPKWDVYAFGVIFLELLTGKVIVVDESGQGNGIVIEDKIKALRMADAPIRGELEGKEEGLLACFTLGYNCASPVPQKRPSMKEAVQILDKIPSSTSFSQHYFGY >KJB19237 pep chromosome:Graimondii2_0_v6:3:27113738:27116642:1 gene:B456_003G090600 transcript:KJB19237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGCSVRKNMIPVLGYLEKVGIPKSKLGEFVKNYPQVLHTSVVVELAPVIKFLRGLDVEKQDIGYVLQKYPELLGFKLEGTMSTSVAYLVSIGVSPRDIGPMVTQYPYFLGMRVGTVIKPLVDYLVSLGLPKKILARMLEKRAYIVGYDLEETVKLNVDCLISFGIRREAIASVIAQYPQILGLPLKAKLSSQQYFFNLKLKIDPDGFACIIEKMPQIASLNQHVIMKPIEFLLGRGLPLEDVAIMVMKCPQLVALRVELMKKSYYYFKSEMGRPVKELVEFPEYFTYSLECRIKPRYTKLQSKGIRCSLNWFLNCSDQRFEERLQGDYIESESLGPSFCMGGKLELPGSDVVSDEEDESDDEVLYRRTVSL >KJB19238 pep chromosome:Graimondii2_0_v6:3:27113786:27115957:1 gene:B456_003G090600 transcript:KJB19238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSAVLRRQKLLKLIKNLHIFHPWCPKHKTLQNPCAQIQNPSRVFGVSQYSTQSTKFPEYQMPSVTWGVVQGKKEKLVNRVKICDYLKTLGIIPDELENLELPSTVEVMSERVQFLQKLGLSIDDINEYPLMLGCSVRKNMIPVLGYLEKVGIPKSKLGEFVKNYPQVLHTSVVVELAPVIKFLRGLDVEKQDIGYVLQKYPELLGFKLEGTMSTSVAYLVSIGVSPRDIGPMVTQYPYFLGMRVGTVIKPLVDYLVSLGLPKKILARMLEKRAYIVGYDLEETVKLNVDCLISFGIRREAIASVIAQYPQILGLPLKAKLSSQQYFFNLKLKIDPDGFACIIEKMPQIASLNQHVIMKPIEFLLGRGLPLEDVAIMVMKCPQLVALRVELMKKSYYYFKSEMGRPVKELVEFPEYFTYSLECRIKPRYTKLQSKGIRCSLNWFLNCSDQRFEERLQGDYIESESLGPSFCMGGKLELPGSDVVSDEEDESDDEVLYRRTVSL >KJB19236 pep chromosome:Graimondii2_0_v6:3:27113738:27116642:1 gene:B456_003G090600 transcript:KJB19236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVLGYLEKVGIPKSKLGEFVKNYPQVLHTSVVVELAPVIKFLRGLDVEKQDIGYVLQKYPELLGFKLEGTMSTSVAYLVSIGVSPRDIGPMVTQYPYFLGMRVGTVIKPLVDYLVSLGLPKKILARMLEKRAYIVGYDLEETVKLNVDCLISFGIRREAIASVIAQYPQILGLPLKAKLSSQQYFFNLKLKIDPDGFACIIEKMPQIASLNQHVIMKPIEFLLGRGLPLEDVAIMVMKCPQLVALRVELMKKSYYYFKSEMGRPVKELVEFPEYFTYSLECRIKPRYTKLQSKGIRCSLNWFLNCSDQRFEERLQGDYIESESLGPSFCMGGKLELPGSDVVSDEEDESDDEVLYRRTVSL >KJB17396 pep chromosome:Graimondii2_0_v6:3:8088235:8094249:1 gene:B456_003G053000 transcript:KJB17396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLSWLSAILVGAGCLALGYCIGRHRPTCLFLSSRGAKDTTISKVNKKIKEPLEIEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMCAQPKVVVKIDGEEDMLVLQERAKSLNIPTHITIDAGRTQIAPNSRTVMAILGPIEMVDDVTGGLKLL >KJB17397 pep chromosome:Graimondii2_0_v6:3:8089336:8093702:1 gene:B456_003G053000 transcript:KJB17397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLSWLSAILVGAGCLALGYCIGRHRPTCLFLSSRGAKDTTISKVNKKIKEPLEIEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMCAQPKVVVKIDGEEDMLVLQERAKSLNIPTHITIDAGRTQIAPNSRTVMAILGKSIML >KJB17407 pep chromosome:Graimondii2_0_v6:3:8088485:8094249:1 gene:B456_003G053000 transcript:KJB17407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVAFRTKGELSLYFKMVDLSWLSAILVGAGCLALGYCIGRHRPTCLFLSSRGAKDTTISKVNKKIKEPLEIEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMCAQPKVVVKIDGEEDMLVLQERAKSLNIPTHITIDAGRTQIAPNSRTVMAILGPIEMVDDVTGGLKLL >KJB17401 pep chromosome:Graimondii2_0_v6:3:8088235:8094249:1 gene:B456_003G053000 transcript:KJB17401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLSWLSAILVGAGCLALGYCIGRHRPTCLFLSSRGAKDTTISKVNKKIKEPLEIEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMCAQPKERAKSLNIPTHITIDAGRTQIAPNSRTVMAILGPIEMVDDVTGGLKLL >KJB17404 pep chromosome:Graimondii2_0_v6:3:8088235:8094249:1 gene:B456_003G053000 transcript:KJB17404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVAFRTKGELSLYFKMVDLSWLSAILVGAGCLALGYCIGRHRPTCLFLSSRGAKDTTISKVNKKIKEPLEIEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMCAQPKVVVKIDGEEDMLVLQERAKSLNIPTHITIDAGRTQIAPNSRTVMAILGPIEMVDDVTGGLKLL >KJB17399 pep chromosome:Graimondii2_0_v6:3:8088235:8094249:1 gene:B456_003G053000 transcript:KJB17399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVAFRTKGELSLYFKMVDLSWLSAILVGAGCLALGYCIGRHRPTCLFLSSRGAKDTTISKVNKKIKEPLEIEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMCAQPKVVVKIDGEEDMLVLQERAKSLNIPTHITIDAGRTQIAPNSRTVMAILGPIEMVDDVTGGLKLL >KJB17400 pep chromosome:Graimondii2_0_v6:3:8089336:8093819:1 gene:B456_003G053000 transcript:KJB17400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLSWLSAILVGAGCLALGYCIGRHRPTCLFLSSRGAKDTTISKVNKKIKEPLEIEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMCAQPKVVVKIDGEEDMLVLQERAKSLNIPTHITIDAGRTQIAPSEFEDSDGYSWSY >KJB17405 pep chromosome:Graimondii2_0_v6:3:8088235:8094249:1 gene:B456_003G053000 transcript:KJB17405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLSWLSAILVGAGCLALGYCIGRHRPTCLFLSSRGAKDTTISKVNKKIKEPLEIEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMCAQPKVVVKIDGEEDMLVLQERAKSLNIPTHITIDAGRTQIAPNSRTVMAILGPIEMVDDVTGGLKLL >KJB17403 pep chromosome:Graimondii2_0_v6:3:8088235:8094249:1 gene:B456_003G053000 transcript:KJB17403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLSWLSAILVGAGCLALGYCIGRHRPTCLFLSSRGAKDTTISKVNKKIKEPLEIEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMCAQPKVVVKIDGEEDMLVLQERAKSLNIPTHITIDAGRTQIAPNSRTVMAILGPIEMVDDVTGGLKLL >KJB17402 pep chromosome:Graimondii2_0_v6:3:8088202:8094291:1 gene:B456_003G053000 transcript:KJB17402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLSWLSAILVGAGCLALGYCIGRHRPTCLFLSSRGAKDTTISKVNKKIKEPLEIEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMCAQPKVVVKIDGEEDMLVLQERAKSLNIPTHITIDAGRTQIAPNSRTVMAILGPIEMVDDVTGGLKLL >KJB17398 pep chromosome:Graimondii2_0_v6:3:8088235:8094249:1 gene:B456_003G053000 transcript:KJB17398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLSWLSAILVGAGCLALGYCIGRHRPTCLFLSSRGAKDTTISKVNKKIKEPLEIEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMCAQPKVVVKIDGEEDMLVLQERAKSLNIPTHITIDAGRTQIAPNSRTVMAILGPIEMVDDVTGGLKLL >KJB17406 pep chromosome:Graimondii2_0_v6:3:8088485:8094249:1 gene:B456_003G053000 transcript:KJB17406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVAFRTKGELSLYFKMVDLSWLSAILVGAGCLALGYCIGRHRPTCLFLSSRGAKDTTISKVNKKIKEPLEIEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMCAQPKVVVKIDGEEDMLVLQERAKSLNIPTHITIDAGRTQIAPNSRTVMAILGPIEMVDDVTGGLKLL >KJB18280 pep chromosome:Graimondii2_0_v6:3:5686168:5688352:1 gene:B456_003G044300 transcript:KJB18280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLAFLLFFNLISCFPPSHAQNTDLKLPLRAVNLGNWLVTEGWMKPSRFDGIINKDLLDGAHVQFLSTKLNKFLCAENGGGTVLVANRISPSDWETFRLWRVNESYFHLRVLNKQFVGLGNQGVKAVSNTPTHSETFQIARKDDAPNRVRLKASNGFFLQVQSETLVTADYTGSSWDDSDPSVFNIAIVNTLQGEYQITNGYGPVKAPQVMQAHWDSYITEQDFKFISANGLSAVRIPVGWWIAQDPNPPKPFVGGSLKALDNAFTWAEKYGMKVIVDLHAAKASQNRFEHSGARDGFLEWGDSNIDETVAVIEFLATRYGGSPSLGAIELMNEPWAPDVTLDALTKYYKAGYDAIRKHTNAYVILSARLGPADPKELFSFARSLNRVAIDVHWYNLFTDMFITMTVQQNIDYIYDQRSSDLDSWISANGPPILIGEWTGEFGAKKGSLEDYKRYTKAELDVYGGATFGWAYWSYKCEENHWSLKWMIDNNFIQLNMR >KJB19118 pep chromosome:Graimondii2_0_v6:3:21697759:21700919:-1 gene:B456_003G0856002 transcript:KJB19118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGLDKPNPWAFDTAQFDSILKGLKVQAVQTNDEAEKNENQVETKSDEPNDTEEQVVKATRPQGRYKKRERGKLVHAYSSRDLEGILAKKVEESLQVNPDVVGEMEIIETTETNDFSTEGNKVESVSPEWWGFKYGFVSGGFLGETTRRKSIKTGESKNSTERTVFYEDDQENLYKLVQDKATTGKQGLGIKDRPKKVAGVHFQGKKTSFSDSDGEDSDDVGPPAKRMRENALETEKADEPKLRLKKLCKRLLRQVPGDSLKLKQLKVLIDEQSTLVFSSFSSKKDAIAYLKRKLEGSSKFSVEGKRVSLTSRSG >KJB19117 pep chromosome:Graimondii2_0_v6:3:21697679:21700919:-1 gene:B456_003G0856002 transcript:KJB19117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGLDKPNPWAFDTAQFDSILKGLKVKQAVQTNDEAEKNENQVETKSDEPNDTEEQVVKATRPQGRYKKRERGKLVHAYSSRDLEGILAKKVEESLQVNPDVVGEMEIIETTETNDFSTEGNKVESVSPEWWGFKYGFVSGGFLGETTRRKSIKTGESKNSTERTVFYEDDQENLYKLVQDKATTGKQGLGIKDRPKKVAGVHFQGKKTSFSDSDGEDSDDVGPPAKRMRENALETEKADEPKLRLKKLCKRLLRQVPGDSLKLKQLKVLIDEQSTLVFSSFSSKKDAIAYLKRKLEGSSKFSVEGKRVSLTSRSG >KJB19119 pep chromosome:Graimondii2_0_v6:3:21697759:21700922:-1 gene:B456_003G0856002 transcript:KJB19119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIGLDKPNPWAFDTAQFDSILKGLKVKQAVQTNDEAEKNENQVETKSDEPNDTEEQVVKATRPQGRYKKRERGKLVHAYSSRDLEGILAKKVEESLQVNPDVVGEMEIIETTETNDFSTEGNKVESVSPEWWGFKYGFVSGGFLGETTRRKSIKTGESKNSTERTVFYEDDQENLYKLVQDKATTGKQGLGIKDRPKKVAGVHFQGKKTSFSDSDGEDSDDVGPPAKRMRENALETEKADEPKLRLKKLCKRLLRQVPGDSLKLKQLKVLIDEQSTLVFSSFSSKKDAIAYLKRKLEGSSKFSVEGKRVSLTSRSG >KJB19116 pep chromosome:Graimondii2_0_v6:3:21698230:21700917:-1 gene:B456_003G0856002 transcript:KJB19116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGLDKPNPWAFDTAQFDSILKGLKVKQAVQTNDEAEKNENQVETKSDEPNDTEEQVVKATRPQGRYKKRERGKLVHAYSSRDLEGILAKKVEESLQVNPDVVGEMEIIETTETNDFSTEGNKVESVSPEWWGFKYGFVSGGFLGETTRRKSIKTGESKNSTERTVFYEDDQENLYKLVQDKATTGKQGLGIKDRPKKVAGVHFQGKKTSFSDSDGEDSDDVGPPAKRMRENALETEKADEPKLRLKKLCKRLLRQVPGDSLKLKQLKVLIDEQSTLVFSSFSSKKDAIAYLKRKLEGSSKFSVEGKRVSLTSRSG >KJB17246 pep chromosome:Graimondii2_0_v6:3:37905150:37906128:-1 gene:B456_003G1279001 transcript:KJB17246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKDTVKLISADEMEFVIDKDAVMVSQTIRNLLTSPGGFAETKLGEVTFPEISAVILERICQYFYWALQYSRGKETEFHIEPELTLELMMAANYLHT >KJB17247 pep chromosome:Graimondii2_0_v6:3:37905124:37906250:-1 gene:B456_003G1279001 transcript:KJB17247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKDTVKLISADEMEFVIDKDAVMVSQTIRNLLTSPGGFAETKLGEVTFPEISAVILERICQYFYWALQYSRGKETEFHIEPELTLELMMAANYLHT >KJB17245 pep chromosome:Graimondii2_0_v6:3:37904723:37906220:-1 gene:B456_003G1279001 transcript:KJB17245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKDTVKLISADEMEFVIDKDAVMVSQTIRNLLTSPGGFAETKLGEVTFPEISAVILERICQYFYWALQYSR >KJB20303 pep chromosome:Graimondii2_0_v6:3:40728077:40733021:-1 gene:B456_003G142700 transcript:KJB20303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine sulfotransferase [Source:Projected from Arabidopsis thaliana (AT1G08030) UniProtKB/Swiss-Prot;Acc:Q3EDG5] MDSTLKCTILLILLGLVVNAFSVTDYEHCENVVKKWATNSLKHESKEDKHALKDLLFFLHVPRTGGRTYFHCFLKKLYSSSLECPRSYDKLRFDPSKEKCRLLVTHDDYSISSKLPRGRTSVVTILRNPIDRVFSTYEFSVEVAARFLVHPNLTSATQMAGRLRSKNKGVSTLDIWPWKYLVPWMREDLFARRDARKTRGTSDFTSGDPYNMEDIVMPLLNYINNPIAHEIVHNGATFQIAGLTNNSYLPESHEVRHCVDKYNNLGDYVLQVAKKRLDNMLYVGLTEDHRESATMFANVVGQQVISQLVNSNAIGKGANVNNSEQGTSFSDSELDQNTNSDEKGNETTSSDDNEVNQDNMTVEKLMDTYEVCISGLRKTQTRRRIASLKRISPANFTKEARNRVPQMVLQRIQSLNKLDVELYEYAQGIFAKQHKQAAEKLFDAVKH >KJB20302 pep chromosome:Graimondii2_0_v6:3:40727808:40733264:-1 gene:B456_003G142700 transcript:KJB20302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine sulfotransferase [Source:Projected from Arabidopsis thaliana (AT1G08030) UniProtKB/Swiss-Prot;Acc:Q3EDG5] MDSTLKCTILLILLGLVVNAFSVTDYEHCENVVKKWATNSLKHESKEDKHALKDLLFFLHVPRTGGRTYFHCFLKKLYSSSLECPRSYDKLRFDPSKEKCRLLVTHDDYSISSKLPRGRTSVVTILRNPIDRVFSTYEFSVEVAARFLVHPNLTSATQMAGRLRSKNKGVSTLDIWPWKYLVPWMREDLFARRDARKTRGTSDFTSGDPYNMEDIVMPLLNYINNPIAHEIVHNGATFQIAGLTNNSYLPESHEVRHCVDKYNNLGDYVLQVAKKRLDNMLYVGLTEDHRESATMFANVVGQQVISQLVNSNAIGKGANVNNSEQGTSFSDSELDQNTNSDEKGNETTSSDDNEVNQDNMTVEKLMDTYEVCISGLRKTQTRRRIASLKRISPANFTKEARNRVPQMVLQRIQSLNKLDVELYEYAQGIFAKQHKQAAEKLFDARTLGSIFIYSGGIKLWDACLWTMPFVLLFIFLFVNAKRRTLKLKI >KJB20304 pep chromosome:Graimondii2_0_v6:3:40729767:40733021:-1 gene:B456_003G142700 transcript:KJB20304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine sulfotransferase [Source:Projected from Arabidopsis thaliana (AT1G08030) UniProtKB/Swiss-Prot;Acc:Q3EDG5] MDSTLKCTILLILLGLVVNAFSVTDYEHCENVVKKWATNSLKHESKEDKHALKDLLFFLHVPRTGGRTYFHCFLKKLYSSSLECPRSYDKLRFDPSKEKCRLLVTHDDYSISSKLPRGRTSVVTILRNPIDRVFSTYEFSVEVAARFLVHPNLTSATQMAGRLRSKNKGVSTLDIWPWKYLVPWMREDLFARRDARKTRGTSDFTSGDPYNMEDIVMPLLNYINNPIAHEIVHNGATFQIAGLTNNSYLPESHEVRHCVDKYNNLGDYVLQVAKKRLDNMLYVGLTEDHRESATMFANVVGQQVISQLVNSNAIGKGANVNNSEQGTSFSDSELDQNTNSDEKGNETTSSDDNEVNQDNMTVEKLMDTYEVCISGLRKTQTRRRIASLKRISPANFTKEVS >KJB20979 pep chromosome:Graimondii2_0_v6:3:44751028:44755604:-1 gene:B456_003G176100 transcript:KJB20979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSCSSLLSSNSMETIYSTQFSSFKPLFDLKSIPKLTSFKGISAKASYDTCVPKKGLSSIDEQKLGAADLVYHVNGKINPSYLTRDLRILDGFDDEYGGVIVDSERLPANPNVFASMLRFSVSHWKAKEKKGIWLKLPVEKSDLVPVAVKQGFEYHHAEKGYVMLTYWLPEGPSMLPANASHQVGVGGFVVNDKNEVLVVQEKHCAPSFVGLWKIPTGFIDESEEIYAGAVREVKEETGIDTEFLEVVAFRHVHNVAFEKSDLFFICMLKPLSTRIIVDVHEIQAAKFG >KJB20980 pep chromosome:Graimondii2_0_v6:3:44751262:44755815:-1 gene:B456_003G176100 transcript:KJB20980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSCSSLLSSNSMETIYSTQFSSFKPLFDLKSIPKLTSFKGISAKASYDTCVPKKGLSSIDEQKLGAADLVYHVNGKINPSYLTRDLRILDGFDDEYGGVIVDSERLPANPNVFASMLRFSVSHWKAKEKKGIWLKLPVEKSDLVPVAVKQGFEYHHAEKGYVMLTYWLPEGPSMLPANASHQVGVGGFVVNDKNEVLVVQEKHCAPSFVGLWKIPTGFIDESEEIYAGAVREVKEETGIDTEFLEVVAFRHVHNVAFEKSDLFFICMLKPLSTRIIVDVHEIQAAKWMPLIEFVEQPFIQQDSMFKKVIDICKARLGGCYCGLSVHQLASRFDGKISTLYYNCIDN >KJB20981 pep chromosome:Graimondii2_0_v6:3:44751374:44755604:-1 gene:B456_003G176100 transcript:KJB20981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSCSSLLSSNSMETIYSTQFSSFKPLFDLKSIPKLTSFKGISAKASYDTCVPKKGLSSIDEQKLGAADLVYHVNGKINPSYLTRDLRILDGFDDEYGGVIVDSERLPANPNVFASMLRFSVSHWKAKEKKGIWLKLPVEKSDLVPVAVKQGFEYHHAEKGYVMLTYWLPEGPSMLPANASHQVGVGGFVVNDKNEVLVVQEKHCAPSFVGLWKIPTGFIDESEEIYAGAVREVKEETGIDTEFLEVVAFRHVHNVAFEKSDLFFICMLKPLSTRIIVDVHEIQAAKVVDATNRVCGATIYPTRFYV >KJB19150 pep chromosome:Graimondii2_0_v6:3:22541749:22554907:1 gene:B456_003G086400 transcript:KJB19150 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MGSKRRSFSNSSSIAGQNIDKKLREAIDNDNNTISDNPLLQDIKDDPSKIEAMTIQQLRTALRTAGIPPKGLKRELVSALQSYLAKEIDGESSLLADKQDPSSNSDKRIYMMMETKSVEDQVQDANSFSKVSKVQQSRRTVKQLQIKGKTVDVNAKMVATEQKKSACASGRMTSLTKKKASSDVDSKYVSAKNRDTLPVNLSEPWTILAHKKPQKGWIAYNPRTMRRSLPTENTKFVKILSWNVNGLRALLKLEGFSAMELAKQENFDVLCLQETKLQEKDVESIKQSLIEGYENSFWACSNTRLGYSGTAIISRIKPLSVRYGLGISDHDSEGRVVTAEFDSFYLLSVYVPNSGDGLRRLELEKSKAVILTGDFNCAHEEIDIYNPAGNRRSAGFTIEERQSFSTNFLSSGFVDTFRKQNPDVVGYTYWGYRHGARKGNKGWRLDYFLVSDAIADDVHDSFILPDVIGSDHCPIGLVLKL >KJB19149 pep chromosome:Graimondii2_0_v6:3:22541749:22554907:1 gene:B456_003G086400 transcript:KJB19149 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MGSKRRSFSNSSSIAGQNIDKKLREAIDNDNNTISDNPLLQDIKDDPSKIEAMTIQQLRTALRTAGIPPKGLKRELVSALQSYLAKEIDGESSLLADKQDPSSNSDKRIYMMMETKSVEDQVQDANSFSKVSKVQQSRRTVKQLQIKGKTVDVNAKMVATEQKKSACASGRMTSLTKKKASSDVDSKYVSAKNRDTLPVNLSEPWTILAHKKPQKGWIAYNPRTMRRSLPTENTKFVKILSWNVNGLRALLKLEGFSAMELAKQENFDVLCLQETKLQEKDVESIKQSLIEGYENSFWACSNTRLGYSGTAIISRIKPLSVRYGLGISDHDSEGRVVTAEFDSFYLLSVYVPNSGDGLRRLTYRITEWDPSLSNYIKELEKSKAVILTGDFNCAHEEIDIYNPAGNRRSAGFTIEERQSFSTNFLSSGFVDTFRKQNPDVVGYTYWGYRHGARKGNKGWRLDYFLVSDAIADDVHDSFILPDVIGSDHCPIGLVLKL >KJB19147 pep chromosome:Graimondii2_0_v6:3:22541749:22554907:1 gene:B456_003G086400 transcript:KJB19147 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MGSKRRSFSNSSSIAGQNIDKKLREAIDNDNNTISDNPLLQDIKDDPSKIEAMTIQQLRTALRTAGIPPKGLKRELVSALQSYLAKEIDGESSLLADKQDPSSNSDKRIYMMMETKSVEDQVQDANSFSKVSKVQQSRRTVKQLQIKGKTVDVNAKMVATEQKKSACASGRMTSLTKKKASSDVDSKYVSAKNRDTLPVNLSEPWTILAHKKPQKGWIAYNPRTMRRSLPTENTKFVKILSWNVNGLRALLKLEGFSAMELAKQENFDVLCLQETKLQEKDVESIKQSLIEGYENSFWACSNTRLGYSGTAIISRELEKSKAVILTGDFNCAHEEIDIYNPAGNRRSAGFTIEERQSFSTNFLSSGFVDTFRKQNPDVVGYTYWGYRHGARKGNKGWRLDYFLVSDAIADDVHDSFILPDVIGSDHCPIGLVLKL >KJB19152 pep chromosome:Graimondii2_0_v6:3:22541749:22554907:1 gene:B456_003G086400 transcript:KJB19152 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MGSKRRSFSNSSSIAGQNIDKKLREAIDNDNNTISDNPLLQDIKDDPSKIEAMTIQQLRTALRTAGIPPKGLKRELVSALQSYLAKEIDGESSLLADKQDPSSNSDKRIYMMMETKSVEDQVQDANSFSKVSKVQQSRRTVKQLQIKGKTVDVNAKMVATEQKKSACASGRMTSLTKKKASSDVDSKYVSAKNRDTLPVNLSEPWTILAHKKPQKGWIAYNPRTMRRSLPTENTKFVKILSWNVNGLRALLKLEGFSAMELAKQENFDVLCLQETKLQEKDVESIKQSLIEGYENSFWACSNTRLGYSGTAIISRIKPLSVRYGLGISDHDSEGRVVTAEFDSFYLLSVYVPNSGDGLRRLTYRITEWDPSLSNYIKELEKSKAVILTGDFNCAHEEIDIYNPAGNRRSAGFTIEERQSFSTNFLSSGFVDTFRKQNPDVVGYTYWGYRHGARKGNKGWRLDYFLVSDAIADDVHDSFILPDVIGSDHCPIGLVLKL >KJB19145 pep chromosome:Graimondii2_0_v6:3:22541663:22554973:1 gene:B456_003G086400 transcript:KJB19145 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MNQALQLGFRTFINLSSFPVDPRKLGIGRLAPLRGKVMGSKRRSFSNSSSIAGQNIDKKLREAIDNDNNTISDNPLLQDIKDDPSKIEAMTIQQLRTALRTAGIPPKGLKRELVSALQSYLAKEIDGAGESSLLADKQDPSSNSDKRIYMMMETKSVEDQVQDANSFSKVSKVQQSRRTVKQLQIKGKTVDVNAKMVATEQKKSACASGRMTSLTKKKASSDVDSKYVSAKNRDTLPVNLSEPWTILAHKKPQKGWIAYNPRTMRRSLPTENTKFVKILSWNVNGLRALLKLEGFSAMELAKQENFDVLCLQETKLQEKDVESIKQSLIEGYENSFWACSNTRLGYSGTAIISRIKPLSVRYGLGISDHDSEGRVVTAEFDSFYLLSVYVPNSGDGLRRLTYRITEWDPSLSNYIKELEKSKAVILTGDFNCAHEEIDIYNPAGNRRSAGFTIEERQSFSTNFLSSGFVDTFRKQNPDVVGYTYWGYRHGARKGNKGWRLDYFLVSDAIADDVHDSFILPDVIGSDHCPIGLVLKL >KJB19151 pep chromosome:Graimondii2_0_v6:3:22541749:22554907:1 gene:B456_003G086400 transcript:KJB19151 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MGSKRRSFSNSSSIAGQNIDKKLREAIDNDNNTISDNPLLQDIKDDPSKIEAMTIQQLRTALRTAGIPPKGLKRELVSALQSYLAKEIDGESSLLADKQDPSSNSDKRIYMMMETKSVEDQVQDANSFSKVSKVQQSRRTVKQLQIKGKTVDVNAKMVATEQKKSACASGRMTSLTKKKASSDVDSKYVSAKNRDTLPVNLSEPWTILAHKKPQKGWIAYNPRTMRRSLPTENTKFVKILSWNVNGLRALLKLEGFSAMELAKQENFDVLCLQETKLQEKDVESIKQSLIEGYENSFWACSNTRLGYSGTAIISRIKPLSVRYGLGISDHDSEGRVVTAEFDSFYLLSVYVPNSGDGLRRLTYRITEWDPSLSNYIKELEKSKAVILTGDFNCAHEEIDIYNPAGNRRSAGFTIEERQSFSTNFLSSGFVDTFRKQNPDVVGYTYWGYRHGARKGWRLDYFLVSDAIADDVHDSFILPDVIGSDHCPIGLVLKL >KJB19148 pep chromosome:Graimondii2_0_v6:3:22541749:22554907:1 gene:B456_003G086400 transcript:KJB19148 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MGSKRRSFSNSSSIAGQNIDKKLREAIDNDNNTISDNPLLQDIKDDPSKIEAMTIQQLRTALRTAGIPPKGLKRELVSALQSYLAKEIDGAGESSLLADKQDPSSNSDKRIYMMMETKSVEDQVQDANSFSKVSKVQQSRRTVKQLQIKGKTVDVNAKMVATEQKKSACASGRMTSLTKKKASSDVDSKYVSAKNRDTLPVNLSEPWTILAHKKPQKGWIAYNPRTMRRSLPTENTKFVKILSWNVNGLRALLKLEGFSAMELAKQENFDVLCLQETKLQEKDVESIKQSLIEGYENSFWACSNTRLGYSGTAIISRIKPLSVRYGLGISDHDSEGRVVTAEFDSFYLLSVYVPNSGDGLRRLTYRITEWDPSLSNYIKELEKSKAVILTGDFNCAHEEIDIYNPAGNRRSAGFTIEERQSFSTNFLSSGFVDTFRKQNPDVVGYTYWGYRHGARKGNKGWRLDYFLVSDAIADDVHDSFILPDVIGSDHCPIGLVLKL >KJB19143 pep chromosome:Graimondii2_0_v6:3:22541663:22554973:1 gene:B456_003G086400 transcript:KJB19143 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MNQALQLGFRTFINLSSFPVDPRKLGIGRLAPLRGKVMGSKRRSFSNSSSIAGQNIDKKLREAIDNDNNTISDNPLLQDIKDDPSKIEAMTIQQLRTALRTAGIPPKGLKRELVSALQSYLAKEIDGAGESSLLADKQDPSSNSDKRIYMMMETKSVEDQVQDANSFSKVSKVQQSRRTVKQLQIKGKTVDVNAKMVATEQKKSACASGRMTSLTKKKASSDVDSKYVSAKNRDTLPVNLSEPWTILAHKKPQKGWIAYNPRTMRRSLPTENTKFVKILSWNVNGLRALLKLEGFSAMELAKQENFDVLCLQETKLQEKDVESIKQSLIEGYENSFWACSNTRLGYSGTAIISRELEKSKAVILTGDFNCAHEEIDIYNPAGNRRSAGFTIEERQSFSTNFLSSGFVDTFRKQNPDVVGYTYWGYRHGARKGNKGWRLDYFLVSDAIADDVHDSFILPDVIGSDHCPIGLVLKL >KJB19146 pep chromosome:Graimondii2_0_v6:3:22541680:22554938:1 gene:B456_003G086400 transcript:KJB19146 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MNQALQLGFRTFINLSSFPVDPRKLGIGRLAPLRGKVMGSKRRSFSNSSSIAGQNIDKKLREAIDNDNNTISDNPLLQDIKDDPSKIEAMTIQQLRTALRTAGIPPKGLKRELVSALQSYLAKEIDGAGESSLLADKQDPSSNSDKRIYMMMETKSVEDQVQDANSFSKVSKVQQSRRTVKQLQIKGKTVDVNAKMVATEQKKSACASGRMTSLTKKKASSDVDSKYVSAKNRDTLPVNLSEPWTILAHKKPQKGWIAYNPRTMRRSLPTENTKFVKILSWNVNGLRALLKLEGFSAMELAKQENFDVLCLQETKLQEKDVESIKQSLIEGYENSFWACSNTRLGYSGTAIISRIKPLSVRYGLGISDHDSEGRVVTAEFDSFYLLSVYVPNSGDGLRRLTYRITEWDPSLSNYIKGNRRSAGFTIEERQSFSTNFLSSGFVDTFRKQNPDVVGYTYWGYRHGARKGNKGWRLDYFLVSDAIADDVHDSFILPDVIGSDHCPIGLVLKL >KJB19144 pep chromosome:Graimondii2_0_v6:3:22541680:22554938:1 gene:B456_003G086400 transcript:KJB19144 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MNQALQLGFRTFINLSSFPVDPRKLGIGRLAPLRGKVMGSKRRSFSNSSSIAGQNIDKKLREAIDNDNNTISDNPLLQDIKDDPSKIEAMTIQQLRTALRTAGIPPKGLKRELVSALQSYLAKEIDGAGESSLLADKQDPSSNSDKRIYMMMETKSVEDQVQDANSFSKVSKVQQSRRTVKQLQIKGKTVDVNAKMVATEQKKSACASGRMTSLTKKKASSDVDSKYVSAKNRDTLPVNLSEPWTILAHKKPQKGWIAYNPRTMRRSLPTENTKFVKILSWNVNGLRALLKLEGFSAMELAKQENFDVLCLQETKLQEKDVESIKQSLIEGYENSFWACSNTRLGYSGTAIISRIKPLSVRYGLGISDHDSEGRVVTAEFDSFYLLSVYVPNSGDGLRRLTYRITEWDPSLSNYIKELEKSKAVILTGDFNCAHEEIDIYNPAGNRRSAGFTIEERQSFSTNFLSSGFVDTFRKQNPDVVGYTYWGYRHGARKGNKGWRLDYFLVSDAIADDVHDSFILPDVIGSDHCPIGLVLKL >KJB19787 pep chromosome:Graimondii2_0_v6:3:35837002:35840043:1 gene:B456_003G119100 transcript:KJB19787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASINGVGGVGFGGLTCSTKTPLPSSRSSSFRVQMSVPVEDKKRNYTLQKSQEAFNAALNLMPGGVNSPVRAFKSVGGQPIFMDSVKGSHMWDIDGNEYIDYVGSWGPAIIGHADDEVLEALAETMKKGTSFGSPCLLESDLAEMVISAVPSIEMVRFVNSGTEACMGALRLARTFTGQEKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKATTSGTLTAPYNDIAAVESLFNSNEGEIAAIILEPAVGNSGFITPKPDFLEAIRRLTKENGALLIFDEVMTGFRLSYGGAQEYFGITPDLTTLGKVIGGGLPVGAYGGRREIMEMVAPAGPMYQAGTLSGNPLAMTAGIQTLKRLKAPGTYEYLDRITRELVQGILNAGKKTGHAICGGHIRGMFGFFFTEGPVHNFDDAKKSDAAKFVRFYQGMLRKGVYFAPSQFEAGFTSLAHSPEDIQKTVAAAENVLSKI >KJB19788 pep chromosome:Graimondii2_0_v6:3:35837016:35840043:1 gene:B456_003G119100 transcript:KJB19788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGVNSPVRAFKSVGGQPIFMDSVKGSHMWDIDGNEYIDYVGSWGPAIIGHADDEVLEALAETMKKGTSFGSPCLLESDLAEMVISAVPSIEMVRFVNSGTEACMGALRLARTFTGQEKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKATTSGTLTAPYNDIAAVESLFNSNEGEIAAIILEPAVGNSGFITPKPDFLEAIRRLTKENGALLIFDEVMTGFRLSYGGAQEYFGITPDLTTLGKVIGGGLPVGAYGGRREIMEMVAPAGPMYQAGTLSGNPLAMTAGIQTLKRLKAPGTYEYLDRITRELVQGILNAGKKTGHAICGGHIRGMFGFFFTEGPVHNFDDAKKSDAAKFVRFYQGMLRKGVYFAPSQFEAGFTSLAHSPEDIQKTVAAAENVLSKI >KJB19789 pep chromosome:Graimondii2_0_v6:3:35837016:35840043:1 gene:B456_003G119100 transcript:KJB19789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGVNSPVRAFKSVGGQPIFMDSVKGSHMWDIDGNEYIDYVGSWGPAIIGHADDEVLEALAETMKKGTSFGSPCLLESDLAEMVISAVPSIEMVRFVNSGTEACMGALRLARTFTGQEKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKATTSGTLTAPYNDIAAVESLFNSNEGEIAAIILEPAVGNSGFITPKPDFLEAIRRLTKENGALLIFDEVMTGFRLSYGGAQEYFGITPDLTTLGKVIGGGLPVGAYGGRREIMEMVAPAGPMYQAGTLSGNPLAMTAGIQTLKRLKAPGTYEYLDRITRELVQGILNAGKKTGHAICGGHIRGMFGFFFTEGPVHNFDDAKKSDAAKFVRFYQGMLRKGVYFAPSQFEAGFTSLAHSPEDIQKTVAAAENVLSKI >KJB20318 pep chromosome:Graimondii2_0_v6:3:40848271:40851386:-1 gene:B456_003G143400 transcript:KJB20318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEFSNSSGANKWTCTCSSLYPGKQSEVLKSNCSRSCNCSPVQPNGDRWKCFCDSDGFPKVAVDNRDTTCFTACNCTAGSFPEAPVRKNYANRVVVIVLLLCVILTTLAFLASLTCYFYQKDKCRKCPIQPSTFSSDKGTSCNSATNLISNKTSSAETKANISFATKPIAGCFQKASILCWRKTGNMLGTICQFAYSELENATNKFSNSNLIGLGGSSYVYRGQLKDGRIVAVKRLKIQGGPDADSIFSTEVELLSRLHHCHVVPLLGYCSEFSGKHAERLLVFEYMPNGNLRDCLDGISGETTSWETRIAIAIGAARGLEYLHEAAAPRILHRDVKSSNILLDKNWRAKITDLGMAKRLRADGLPSCSNSPARMQGTFGYFAPEYAIVGKASPMSDVFSFGVVLLELITGRQPIHKSKNKEESLVIWATPRLQDSKRVTSELPDTRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNIPVNFYQMPSAYKTNNEAFLERHQTVIEDLYDAEEHMPSTSKSAESSRPSSMNDIRIAGKQTVTLPAECMERLVFLSSSTRSLHVHDDEAVDLIEPRFESFRMANLKSQ >KJB20313 pep chromosome:Graimondii2_0_v6:3:40848010:40853065:-1 gene:B456_003G143400 transcript:KJB20313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEVEAVLACFVTLILIQQVLCDEFSNSSGANKWTCTCSSLYPGKQSEVLKSNCSRSCNCSPVQPNGDRWKCFCDSDGFPKVAVDNRDTTCFTACNCTAGSFPEAPVRKNYANRVVVIVLLLCVILTTLAFLASLTCYFYQKDKCRKCPIQPSTFSSDKGTSCNSATNLISNKTSSAETKANISFATKPIAGCFQKASILCWRKTGNMLGTICQFAYSELENATNKFSNSNLIGLGGSSYVYRGQLKDGRIVAVKRLKIQGGPDADSIFSTEVELLSRLHHCHVVPLLGYCSEFSGKHAERLLVFEYMPNGNLRDCLDGISGETTSWETRIAIAIGAARGLEYLHEAAAPRILHRDVKSSNILLDKNWRAKITDLGMAKRLRADGLPSCSNSPARMQGTFGYFAPEYAIVGKASPMSDVFSFGVVLLELITGRQPIHKSKNKEESLVIWATPRLQDSKRVTSELPDTRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNIPVNFYQMPSAYKTNNEAFLERHQTVIEDLYDAEEHMPSTSKSAESSRPSSMNDIRIAGKQTVTLPAECMERLVFLSSSTRSLHVHDDEAVDLIEPRFESFRMANLKSQ >KJB20315 pep chromosome:Graimondii2_0_v6:3:40848271:40851724:-1 gene:B456_003G143400 transcript:KJB20315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEVEAVLACFVTLILIQQVLCDEFSNSSGANKWTCTCSSLYPGKQSEVLKSNCSRSCNCSPVQPNGDRWKCFCDSDGFPKVAVDNRDTTCFTACNCTAGSFPEAPVRKNYANRVVVIVLLLCVILTTLAFLASLTCYFYQKDKCRKCPIQPSTFSSDKGTSCNSATNLISNKTSSAETKANISFATKPIAGCFQKASILCWRKTGNMLGTICQFAYSELENATNKFSNSNLIGLGGSSYVYRGQLKDGRIVAVKRLKIQGGPDADSIFSTEVELLSRLHHCHVVPLLGYCSEFSGKHAERLLVFEYMPNGNLRDCLDGISGETTSWETRIAIAIGAARGLEYLHEAAAPRILHRDVKSSNILLDKNWRAKITDLGMAKRLRADGLPSCSNSPARMQGTFGYFAPEYAIVGKASPMSDVFSFGVVLLELITGRQPIHKSKNKEESLVIWATPRLQDSKRVTSELPDTRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNIPVNFYQMPSAYKTNNEAFLERHQTVIEDLYDAEEHMPSTSKSAESSRPSSMNDIRIAGKQTVTLPAECMERLVFLSSSTRSLHVHDDEAVDLIEPRFESFRMANLKSQ >KJB20316 pep chromosome:Graimondii2_0_v6:3:40848010:40853065:-1 gene:B456_003G143400 transcript:KJB20316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEVEAVLACFVTLILIQQVLCDEFSNSSGANKWTCTCSSLYPGKQSEVLKSNCSRSCNCSPVQPNGDRWKCFCDSDGFPKVAVDNRDTTCFTACNCTAGSFPEAPVRKNYANRVVVIVLLLCVILTTLAFLASLTCYFYQKDKCRKCPIQPSTFSSDKGTSCNSATNLISNKTSSAETKANISFATKPIAGCFQKASILCWRKTGNMLGTICQFAYSELENATNKFSNSNLIGLGGSSYVYRGQLKDGRIVAVKRLKIQGGPDADSIFSTEVELLSRLHHCHVVPLLGYCSEFSGKHAERLLVFEYMPNGNLRDCLDGISGETTSWETRIAIAIGAARGLEYLHEAAAPRILHRDVKSSNILLDKNWRAKITDLGMAKRLRADGLPSCSNSPARMQGTFGYFAPEYAIVGKASPMSDVFSFGVVLLELITGRQPIHKSKNKEESLVIWATPRLQDSKRVTSELPDTRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNIPVNFYQMPSAYKTNNEAFLERHQTVIEDLYDAEEHMPSTSKSAESSRPSSMNDIRIAGKQTVTLPAECMERLVFLSSSTRSLHVHDDEAVDLIEPRFESFRMANLKSQ >KJB20319 pep chromosome:Graimondii2_0_v6:3:40848028:40853141:-1 gene:B456_003G143400 transcript:KJB20319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEVEAVLACFVTLILIQQVLCDEFSNSSGANKWTCTCSSLYPGKQSEVLKSNCSRSCNCSPVQPNGDRWKCFCDSDGFPKVAVDNRDTTCFTACNCTAGSFPEAPVRKNYANRVVVIVLLLCVILTTLAFLASLTCYFYQKDKCRKCPIQPSTFSSDKGTSCNSATNLISNKTSSAETKANISFATKPIAGCFQKASILCWRKTGNMLGTICQFAYSELENATNKFSNSNLIGLGGSSYVYRGQLKDGRIVAVKRLKIQGGPDADSIFSTEVELLSRLHHCHVVPLLGYCSEFSGKHAERLLVFEYMPNGNLRDCLDGISGETTSWETRIAIAIGAARGLEYLHEAAAPRILHRDVKSSNILLDKNWRAKITDLGMAKRLRADGLPSCSNSPARMQGTFGYFAPEYAIVGKASPMSDVFSFGVVLLELITGRQPIHKSKNKEESLVIWATPRLQDSKRVTSELPDTRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNIPVNFYQRNTCQVHRSQQRARGHQA >KJB20314 pep chromosome:Graimondii2_0_v6:3:40848028:40851903:-1 gene:B456_003G143400 transcript:KJB20314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEVEAVLACFVTLILIQQVLCDEFSNSSGANKWTCTCSSLYPGKQSEVLKSNCSRSCNCSPVQPNGDRWKCFCDSDGFPKVAVDNRDTTCFTACNCTAGSFPEAPVRKNYANRVVVIVLLLCVILTTLAFLASLTCYFYQKDKCRKCPIQPSTFSSDKGTSCNSATNLISNKTSSAETKANISFATKPIAGCFQKASILCWRKTGNMLGTICQFAYSELENATNKFSNSNLIGLGGSSYVYRGQLKDGRIVAVKRLKIQGGPDADSIFSTEVELLSRLHHCHVVPLLGYCSEFSGKHAERLLVFEYMPNGNLRDCLDGISGETTSWETRIAIAIGAARGLEYLHEAAAPRILHRDVKSSNILLDKNWRAKITDLGMAKRLRADGLPSCSNSPARMQGTFGYFAPEYAIVGKASPMSDVFSFGVVLLELITGRQPIHKSKNKEESLVIWATPRLQDSKRVTSELPDTRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNIPVNFYQMPSAYKTNNEAFLERHQTVIEDLYDAEEHMPSTSKSAESSRPSSMNDIRIAGKQTVTLPAECMERLVFLSSSTRSLHVHDDEAVDLIEPRFESFRMANLKSQ >KJB20317 pep chromosome:Graimondii2_0_v6:3:40848017:40853192:-1 gene:B456_003G143400 transcript:KJB20317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEVEAVLACFVTLILIQQVLCDEFSNSSGANKWTCTCSSLYPGKQSEVLKSNCSRSCNCSPVQPNGDRWKCFCDSDGFPKVAVDNRDTTCFTACNCTAGSFPEAPVRKNYANRVVVIVLLLCVILTTLAFLASLTCYFYQKDKCRKCPIQPSTFSSDKGTSCNSATNLISNKTSSAETKANISFATKPIAGCFQKASILCWRKTGNMLGTICQFAYSELENATNKFSNSNLIGLGGSSYVYRGQLKDGRIVAVKRLKIQGGPDADSIFSTEVELLSRLHHCHVVPLLGYCSEFSGKHAERLLVFEYMPNGNLRDCLDGISGETTSWETRIAIAIGAARGLEYLHEAAAPRILHRDVKSSNILLDKNWRAKITDLGMAKRLRADGLPSCSNSPARMQGTFGYFAPEYAIVGKASPMSDVFSFGVVLLELITGRQPIHKSKNKEESLVIWATPRLQDSKRVTSELPDTRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNIPVNFYQMPSAYKTNNEAFLERHQTVIEDLYDAEEHMPSTSKSAESSRPSSMNDIRIAGKQTVTLPAECMERLVFLSSSTRSLHVHDDEAVDLIEPRFESFRMANLKSQ >KJB18791 pep chromosome:Graimondii2_0_v6:3:15437016:15440164:-1 gene:B456_003G069800 transcript:KJB18791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KJB18793 pep chromosome:Graimondii2_0_v6:3:15437926:15439336:-1 gene:B456_003G069800 transcript:KJB18793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KJB18792 pep chromosome:Graimondii2_0_v6:3:15437016:15440133:-1 gene:B456_003G069800 transcript:KJB18792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KJB18794 pep chromosome:Graimondii2_0_v6:3:15437926:15439336:-1 gene:B456_003G069800 transcript:KJB18794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KJB18789 pep chromosome:Graimondii2_0_v6:3:15437926:15439094:-1 gene:B456_003G069800 transcript:KJB18789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KJB18790 pep chromosome:Graimondii2_0_v6:3:15437007:15440164:-1 gene:B456_003G069800 transcript:KJB18790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KJB18788 pep chromosome:Graimondii2_0_v6:3:15437016:15440133:-1 gene:B456_003G069800 transcript:KJB18788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KJB19803 pep chromosome:Graimondii2_0_v6:3:35927357:35931802:-1 gene:B456_003G119500 transcript:KJB19803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGEKMNKEALVVCSDKSTGIGITVWDLETGEQLLHIPTCAAAPHGLFCLRNQFIVASQSNRHGSFGGGSIFIWPLNKPQSPLRSYTLEAMGPISCTKDGLYIAGGAPSGNAYLWEVTSGRLLRTWHAHHKSLKCMVFSDDDSLLIFGCTDGIISVWSMISLVDVEDSGSSPSLLYYSSEHRSSITGLVTMSAGARSIFISSSLDGTCKVWELVSGRHLQTLVYPMSVTAIALHPLERLLFSGSVDGNIFVNVLDIGAVEDCFITTEDQAFVLKVHNESITALTFSGFHLISASEDFTFCLWDTINRVVLRRFNNRKGAVTNMVVIKQSSLFPISNHQRVTHQFQVSLLQKYPQPSNPGKGMATFLSFPASHSKPPPTHFLGNNLLDHLISARRTNTCYAANEIGEKHRRSTMGNKHDKACNGDKQAFAMSFAGYDAVPVAMQPV >KJB19804 pep chromosome:Graimondii2_0_v6:3:35927806:35931300:-1 gene:B456_003G119500 transcript:KJB19804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGEKMNKEALVVCSDKSTGIGITVWDLETGEQLLHIPTCAAAPHGLFCLRNQFIVASQSNRHGSFGGGSIFIWPLNKPQSPLRSYTLEAMGPISCTKDGLYIAGGAPSGNAYLWEVTSGRLLRTWHAHHKSLKCMVFSDDDSLLIFGCTDGIISVWSMISLVDVEDSGSSPSLLYYSSEHRSSITGLVTMSAGARSIFISSSLDGTCKVWELVSGRHLQTLVYPMSVTAIALHPLERLLFSGSVDGNIFVNVLDIGAVEDCFITTEDQAFVLKVHNESITALTFSGFHLISASEDFTFCLWDTINRVVLRRFNNRKGAVTNMVVIKQSSLFPISNHQRVTHQFQVSLLQKYPQPSNPGKGMATFLSFPASHSKPPPTHFLGNNLLDHLISGSEQEEQTPAMLQTKLEKNIDDRLWVTSMTKHVMEISKHLQCRLLDMMQCRLLCNPYEPDSSPKKKRHKIQSQNQTPTEQSQ >KJB19802 pep chromosome:Graimondii2_0_v6:3:35927806:35929851:-1 gene:B456_003G119500 transcript:KJB19802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSDDDSLLIFGCTDGIISVWSMISLVDVEDSGSSPSLLYYSSEHRSSITGLVTMSAGARSIFISSSLDGTCKVWELVSGRHLQTLVYPMSVTAIALHPLERLLFSGSVDGNIFVNVLDIGAVEDCFITTEDQAFVLKVHNESITALTFSGFHLISASEDFTFCLWDTINRVVLRRFNNRKGAVTNMVVIKQSSLFPISNHQRVTHQFQVSLLQKYPQPSNPGKGMATFLSFPASHSKPPPTHFLGNNLLDHLISGSEQEEQTPAMLQTKLEKNIDDRLWVTSMTKHVMEISKHLQCRLLDMMQCRLLCNPYEPDSSPKKKRHKIQSQNQTPTEQSQ >KJB19643 pep chromosome:Graimondii2_0_v6:3:34218787:34220022:1 gene:B456_003G111700 transcript:KJB19643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSLTLTTSSSSSSLVAKPFFSHSPKLPHSFSPPSLPFPQTRRSISLHTRPMNILKNLGFGANNKPSPSMEGSSIAQGPDDDVPAPGQQFAQFGAGCFWGVELAFQRVPGVTKTEVGYSQGFMHNPSYEDVCTGSTNHNEVVRVQYDPKECSFDTLLDVFWARHDPTTLNRQYRSGIYFYTPEQEMAARESMEKQQKLLNRKIVSEILPAKKFYRAEEYHQQYLAKGGRFGFKQSAEKGCNDPIRCYG >KJB19641 pep chromosome:Graimondii2_0_v6:3:34218772:34220439:1 gene:B456_003G111700 transcript:KJB19641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSLTLTTSSSSSSLVAKPFFSHSPKLPHSFSPPSLPFPQTRRSISLHTRPMNILKNLGFGANNKPSPSMEGSSIAQGPDDDVPAPGQQFAQFGAGCFWGVELAFQRVPGVTKTEVGYSQGFMHNPSYEDVCTGSTNHNEVVRVQYDPKECSFDTLLDVFWARHDPTTLNRQGNDVGTQYRSGIYFYTPEQEMAARESMEKQQKLLNRKIVSEILPAKKFYRAEEYHQQYLAKGGRFGFKQSAEKGCNDPIRCYG >KJB19642 pep chromosome:Graimondii2_0_v6:3:34218896:34219502:1 gene:B456_003G111700 transcript:KJB19642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSLTLTTSSSSSSLVAKPFFSHSPKLPHSFSPPSLPFPQTRRSISLHTRPMNILKNLGFGANNKPSPSMEGSSIAQGPDDDVPAPGQQFAQFGAGCFWGVELAFQRVPGVTKTEVGYSQGFMHNPSYEDVCTGSTNHNEVVRVQYDPKECSFDTLLDVFWARHDPTTLNRQLAGE >KJB20792 pep chromosome:Graimondii2_0_v6:3:43510350:43513300:1 gene:B456_003G165100 transcript:KJB20792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTAYTLYPWLLDLSKPKDGLFRAILSFVMVILAISLWHLWFVKKSKKIVAQLPPGPRGLPIVGYLPFLGTDNLHLSFTELAATYGPSFKLWLGNKLCVVISSPELAKEVVRDHDVTFSERDPPIAAQVASFGCNDISFDSYSNPRWKNKRKVLATELLTNARLNACYGLRREQVMNGLKDVYENVGKPIDIGKWTYLVALNAAISMILGGELPGEKGAAIEGNLKENSSESMVLMGKPNVSDIFPAIARFDIQGIERRMRKISQQFNRLLESVIEMAIDKEKDKKSSEQKLGFLELLLHLERNNNEDNASPLTMDEVKGLLVDILVGGTDTTTTMVEWTMAELMQRPEIMEEVKKELSDVVGVNNTVEEFHLSNLSYLNAVIKETFRLHPALPLLVPRCPARSIHLNGYTIPKGSRLFINMWCIHRDPGIWENPLEF >KJB18155 pep chromosome:Graimondii2_0_v6:3:3707912:3711920:-1 gene:B456_003G036200 transcript:KJB18155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQLKYVSEEMEMEKEQGLEWIEAQKIEISLDLAGAAKNQLEFLAAVDRNRWLYDGPTLHRAIYRYNAYWLPLLAKCYEEPILEGPLVVPLDCEWIWHCHRLNPVRYKSDCEELYGKILDNTNVVSSLRGACKRKTEEIWNRLYPNEAYDFDFTKALSENASETLSGLEKPTEYDLVSAVKRQSPFFYQVSRAHMNNNIFIEEAVARYKGFLHLIKRNRENSTKRFCVPTYDIDLIWHTHQLHPVSYCKDLNTVLGKILEHDDTDSDRTKGKKLDVGFSGTTKQWENTFGKRYSKSGAMYRGNSPSPLAAIPCIPDILPKKIDATNEFPNIIKLPEMRIVEVLLEIVAVKNLPDEKKGNLFVLLSKTQPDVFCNTKQKLTILSESGKKQVLLFQCEPTGELHFELVSNSASTLPVTKTSKTLGTASLSIEEFLDPVSKLAVEKWLDLVPPSGNGSSKPIGLRVAASFTVPTAAPHVLHMVRSHPFSKGSCFQLPLTGTVPSGKSYTRVIDETQAEVIRLQMRESGKAKMKENSISSKQVIGITKHGETHTVAEFLGTHWNLMNSQWVLHNAEVGENGHLFDLKGNRTVKVFSGRKLDYEPKHCEKRKNEGDFMTAVEFSVEHPYGKAVALLNLKSRCLKAKEGWFVLPGLVSMFILSHILKKEGRFGFTVDGKSTKEIDGATRKVIVDNDQHASMETEVHSDVTLENAVIPKKDGSCNGGCGSEKGNTVSSGGCGGCGAGCGNVVKSGGCGSGCGSGCGGGCGAGCGNMVKSGGCGGGCGGGCGSGCGGGCGSIVNSGSCGSGCGGCGGGCGSMVNSSGCGSGCGGCGGGCGSMVNSSGCGLKEESSGCGGCGGCGGGCGNLINTCVGTGGVVKSNGCENPTYMEAAIKA >KJB18154 pep chromosome:Graimondii2_0_v6:3:3707527:3711953:-1 gene:B456_003G036200 transcript:KJB18154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQLKYVSEMEMEKEQGLEWIEAQKIEISLDLAGAAKNQLEFLAAVDRNRWLYDGPTLHRAIYRYNAYWLPLLAKCYEEPILEGPLVVPLDCEWIWHCHRLNPVRYKSDCEELYGKILDNTNVVSSLRGACKRKTEEIWNRLYPNEAYDFDFTKALSENASETLSGLEKPTEYDLVSAVKRQSPFFYQVSRAHMNNNIFIEEAVARYKGFLHLIKRNRENSTKRFCVPTYDIDLIWHTHQLHPVSYCKDLNTVLGKILEHDDTDSDRTKGKKLDVGFSGTTKQWENTFGKRYSKSGAMYRGNSPSPLAAIPCIPDILPKKIDATNEFPNIIKLPEMRIVEVLLEIVAVKNLPDEKKGNLFVLLSKTQPDVFCNTKQKLTILSESGKKQVLLFQCEPTGELHFELVSNSASTLPVTKTSKTLGTASLSIEEFLDPVSKLAVEKWLDLVPPSGNGSSKPIGLRVAASFTVPTAAPHVLHMVRSHPFSKGSCFQLPLTGTVPSGKSYTRVIDETQAEVIRLQMRESGKAKMKENSISSKQVIGITKHGETHTVAEFLGTHWNLMNSQWVLHNAEVGENGHLFDLKGNRTVKVFSGRKLDYEPKHCEKRKNEGDFMTAVEFSVEHPYGKAVALLNLKSRCLKAKEGWFVLPGLVSMFILSHILKKEGRFGFTVDGKSTKEIDGATRKVIVDNDQHASMETEVHSDVTLENAVIPKKDGSCNGGCGSEKGNTVSSGGCGGCGAGCGNVVKSGGCGSGCGSGCGGGCGAGCGNMVKSGGCGGGCGGGCGSGCGGGCGSIVNSGSCGSGCGGCGGGCGSMVNSSGCGSGCGGCGGGCGSMVNSSGCGLKEESSGCGGCGGCGGGCGNLINTCVGTGGVVKSNGCENPTYMEAAIKA >KJB20065 pep chromosome:Graimondii2_0_v6:3:38579280:38581985:1 gene:B456_003G131200 transcript:KJB20065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACFFDTLKAQPFWVIFLFTLGSLSLLKFSFVFLKWVWINFLRPGKNLKKYGSWGLVTGPTDGIGKGFAFQLARKGLNLVLVGRNPDKLKDVSDSILAKYAKIQIKTVVVDFTGDLDEGVKKIKETVEGLDVGVLINNVGISYPYARYFHEVDEELLVNLIKVNVEGTTKVTQAVLPGMVKRKKGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKNSGIDVQCQVPLYVATKMASIKRSSFFVPSTDGYARAAMRWIGYEPRCTPYWPHSILWGLAYSLPESVVDAWRLRFCLGIRKRGQMKDSRKKE >KJB20066 pep chromosome:Graimondii2_0_v6:3:38579448:38581856:1 gene:B456_003G131200 transcript:KJB20066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACFFDTLKAQPFWVIFLFTLGSLSLLKFSFVFLKWVWINFLRPGKNLKKYGSWGLVTGPTDGIGKGFAFQLARKGLNLVLVGRNPDKLKDVSDSILAKYAKIQIKTVVVDFTGDLDEGVKKIKETVEGLDVGVLINNVGISYPYARYFHEVDEELLVNLIKVNVEGTTKVTQAVLPGMVKRKKGAIVNIGSGAAIVIPSDPLYAVYAATKA >KJB20621 pep chromosome:Graimondii2_0_v6:3:42633681:42638210:-1 gene:B456_003G156800 transcript:KJB20621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYKCCAGYMPCSGKCKENKCPEFCLCTEVFCCFGNSVASTRFLLQDELNIQTTECDNCIIAFMLCLQQVACIFSFVAMIIGNDEIREAAHILNCLADIAYCSVCPCMQTQHKIEMDKRDRMFGPRPMTIPPVQFMLRTYYHQNPPMDGYPPPYQQAYAPGYVVQDYPPSVPPPPAQYYSSPVPPPPNYPSSVPPPPNYRPSC >KJB20620 pep chromosome:Graimondii2_0_v6:3:42633681:42638411:-1 gene:B456_003G156800 transcript:KJB20620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEIQMKNKQLRQNYQNFWQTDLMNTLFVWYSGPCASYLLRRRALYNDMKRYKCCAGYMPCSGKCKENKCPEFCLCTEVFCCFGNSVASTRFLLQDELNIQTTECDNCIIAFMLCLQQVACIFSFVAMIIGNDEIREAAHILNCLADIAYCSVCPCMQTQHKIEMDKRDRMFGPRPMTIPPVQFMLRTYYHQNPPMDGYPPPYQQAYAPGYVVQDYPPSVPPPPAQYYSSPVPPPPNYPSSVPPPPNYRPSC >KJB20622 pep chromosome:Graimondii2_0_v6:3:42633681:42638327:-1 gene:B456_003G156800 transcript:KJB20622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYKCCAGYMPCSGKCKENKCPEFCLCTEVFCCFGNSVASTRFLLQDELNIQTTECDNCIIAFMLCLQQVACIFSFVAMIIGNDEIREAAHILNCLADIAYCSVCPCMQTQHKIEMDKRDRMFGPRPMTIPPVQFMLRTYYHQNPPMDGYPPPYQQAYAPGYVVQDYPPSVPPPPAQYYSSPVPPPPNYPSSVPPPPNYRPSC >KJB18888 pep chromosome:Graimondii2_0_v6:3:17791764:17793309:-1 gene:B456_003G074500 transcript:KJB18888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYVLLILCKWPSCNARCRASDFPREYDGECLQMRMSYSPTAHLFLFLVQWTDFHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYGMMKMILLPR >KJB18891 pep chromosome:Graimondii2_0_v6:3:17792051:17793213:-1 gene:B456_003G074500 transcript:KJB18891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSYSPTAHLFLFLVQWTDFHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAGMMKMILLPR >KJB18892 pep chromosome:Graimondii2_0_v6:3:17791800:17794754:-1 gene:B456_003G074500 transcript:KJB18892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSYSPTAHLFLFLVQWTDFHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAGMMKMILLPR >KJB18890 pep chromosome:Graimondii2_0_v6:3:17791800:17794393:-1 gene:B456_003G074500 transcript:KJB18890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSYSPTAHLFLFLVQWTDFHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYGMMKMILLPR >KJB18889 pep chromosome:Graimondii2_0_v6:3:17792458:17793309:-1 gene:B456_003G074500 transcript:KJB18889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYVLLILCKWPSCNARCRASDFPREYDGECLQMRMSYSPTAHLFLFLVQWTDFHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYDCFDS >KJB17925 pep chromosome:Graimondii2_0_v6:3:2167869:2170339:-1 gene:B456_003G024700 transcript:KJB17925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEETSSIFARLFAFALLLLLLSSKSKCNTVGDAHQIQLQFNHSHGKVKPIDIEDHYQNYVAIKNLTSHRLPILCTVTNYETTFSAETNVFTRRVKANVLCALPAKGKATTGNESSTSYMSRHKYGIASELASLIGGYSWLKPETVVYGQCDRISDLDIVAFDLNSCNPTPNAGGIVEMAATLSFSTSKPVHYYTELGISAPVRSNLSEFVSISPDEKNHKNTQLTGFWIDTFKKATAIMATDTTRKKKMFRLCNASIDESLNEVSGKDYDAAIGLPVIRDYQRMVTDQIYVGLSSPYFEKGSDQLRKGDLELNQVFSFMMPFTNVMWSTLSAMTVFNVFVIWLVESTTSHESGSLPFGQVGAILWFPIATLFYGGHRESLRNNLTYFVLAPWFILILVVSSTYTQSFTSMITSSDTEASPCWLDIKNLKKTNAIVGCDMKHSIMFQYLVKVIGFQKKNIKHIAQSSFDDYAKALSTGNIKAAFFWTPDDRFFLAKYCKSFGNPNCNRHGSSIVFQRGSSFVNEMLEAVLGFKQMKEEDLDMLSLSDCSSSTSDGTMKRGIGPGPFSGLFFLSGSASTIALLITVFRLLRRGWERLVQGMLMDRGIWVWLSTLFCRSQRGNQLQIQLVRI >KJB20311 pep chromosome:Graimondii2_0_v6:3:40785975:40790359:-1 gene:B456_003G143200 transcript:KJB20311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISQAVFDGGRHVIGVIPKTLMPKEITGETVGEVKAVSDMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPNARHIILSAPTAQDLVKYMEEYEPQHERVAPKLNWEIEQLGYPAKYEVSR >KJB20309 pep chromosome:Graimondii2_0_v6:3:40785975:40790359:-1 gene:B456_003G143200 transcript:KJB20309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDMEMKQSKFKRICVFCGSSPGKKNSYREAAIDLGRELVSRNIDLVYGGGSIGLMGLISQAVFDGGRHVIGVIPKTLMPKEITGETVGEVKAVSDMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPNARHIILSAPTAQDLVKYMEEYEPQHERVAPKLNWEIEQLGYPAKYEVSR >KJB20310 pep chromosome:Graimondii2_0_v6:3:40788131:40790110:-1 gene:B456_003G143200 transcript:KJB20310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDMEMKQSKFKRICVFCGSSPGKKNSYREAAIDLGRELVSRNIDLVYGGGSIGLMGLISQAVFDGGRHVIGVIPKTLMPKEITGETVGEVKAVSDMHQRKAEMARQSDAFIALPGWWLWNS >KJB17860 pep chromosome:Graimondii2_0_v6:3:1509138:1512769:-1 gene:B456_003G019900 transcript:KJB17860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 4 [Source:Projected from Arabidopsis thaliana (AT2G23470) UniProtKB/Swiss-Prot;Acc:Q67YT8] MSLACYMATSPPIHRSFAIADNLAEVSAKSQIQSVCFDNLGLMLAAVLNMLLKNNQRLQTGLPFILYPIFSAIDLFGIYQGLKHVHLQTLTKDRLEIIIGSWISSGYVPSPEEVSKDEEINFMWSKGKEPLRIRIGCLNPKAQLSKLSVMTMQSVSNEDHYFICTEIFYQGLAKTREQGILLCIREGARTADVIMGLLQACYVCKALRSSMWESTTKASDSSDLILKEWFKLIDDSKRYVQQQFGPLNEQMMVRGWALKNILLNTEEQTRYSYMDD >KJB17861 pep chromosome:Graimondii2_0_v6:3:1508257:1512769:-1 gene:B456_003G019900 transcript:KJB17861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 4 [Source:Projected from Arabidopsis thaliana (AT2G23470) UniProtKB/Swiss-Prot;Acc:Q67YT8] MQSTIYYKPSTSHNFQLPWKSTKNPAFKTFRLSSKTLTLTNSLRPQITFELEGGANDGFQPSNPVKLPVVIQRHGKVSRYFWDGSRVRLLRVDGDGVDGGGSGVPFCFDLDKVVEASSLAIRNFFIPKQVSENYIGYVKWKFLHRVFSSTLQVLATQAMFRAIGIGYSRSLTSAAALNWVLKDGLGRLSRCIYTASLASAFDTNLKRVRFTTSMLFTFSIGVELLTPVFPHHFLLLASLANVAKQMSLACYMATSPPIHRSFAIADNLAEVSAKSQIQSVCFDNLGLMLAAVLNMLLKNNQRLQTGLPFILYPIFSAIDLFGIYQGLKHVHLQTLTKDRLEIIIGSWISSGYVPSPEEVSKDEEINFMWSKGKEPLRIRIGCLNPKAQLSKLSVMTMQSVSNEDHYFICTEIFYQGLAKTREQGILLCIREGARTADVIMGLLQACYVCKALRSSMWESTTKASDSSDLILKEWFKLIDDSKRYVQQQFGPLNEQMMVRGWALKNILLNTEEQTRYSYMDD >KJB18872 pep chromosome:Graimondii2_0_v6:3:17454136:17456106:1 gene:B456_003G073200 transcript:KJB18872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLGEFPDLNILEPKGGVVNAVNNNQMQNGGSNEASSRAGGLSGNLHEPDLEMHGNIPLPQEEIQPGSVGDSACEANPTSATNVVTKAESHLAQQPIAKLSKDAESTKEDLPHAASPKMGYFSRTTSAHEQCRVCQQEKEEGLIDLGCQCKGGLAKAHQSCIVTWFHTKGSNKCEICQVVAVNVTAPQPERSRNYWVWRINPRLVALNRERVRA >KJB18875 pep chromosome:Graimondii2_0_v6:3:17453595:17456771:1 gene:B456_003G073200 transcript:KJB18875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNNHSSVNNNQMQNGGSNEASSRAGGLSGNLHEPDLEMHGNIPLPQEEIQPGSVGDSACEANPTSATNVVTKAESHLAQQPIAKLSKDAESTKEDLPHAASPKMGYFSRTTSAHEQCRVCQQEKEEGLIDLGCQCKGGLAKAHQSCIVTWFHTKGSNKCEICQVVAVNVTAPQPERSRNYWVWRINPRLVALNRERGCFSPLWLALSILIGGLLLDMLISLTLGVSALPVNLVIVVIVVIGLGTALRLAVEFYHEWSTRRALQRVETNATIGYHTTL >KJB18870 pep chromosome:Graimondii2_0_v6:3:17453565:17456812:1 gene:B456_003G073200 transcript:KJB18870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGGSNEASSRAGGLSGNLHEPDLEMHGNIPLPQEEIQPGSVGDSACEANPTSATNVVTKAESHLAQQPIAKLSKDAESTKEDLPHAASPKMGYFSRTTSAHEQCRVCQQEKEEGLIDLGCQCKGGLAKAHQSCIVTWFHTKGSNKCEICQVVAVNVTAPQPERSRNYWVWRINPRLVALNRERGCFSPLWLALSILIGGLLLDMLISLTLGVSALPVNLVIVVIVVIGLGTALRLAVEFYHEWSTRRALQRVETNATIGYHTTLVLFWEGNPMFVTAQICTP >KJB18874 pep chromosome:Graimondii2_0_v6:3:17453595:17456771:1 gene:B456_003G073200 transcript:KJB18874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGGSNEASSRAGGLSGNLHEPDLEMHGNIPLPQEEIQPGSVGDSACEANPTSATNVVTKAESHLAQQPIAKLSKDAESTKEDLPHAASPKMGYFSRTTSAHEQCRVCQQEKEEGLIDLGCQCKGGLAKAHQSCIVTWFHTKGSNKCEICQVVAVNVTAPQPERSRNYWVWRINPRLVALNRERGCFSPLWLALSILIGGLLLDMLISLTLGVSALPVNLVIVVIVVIGLGTALRLAVEFYHEWSTRRALQRVETNATIGYHTTL >KJB18873 pep chromosome:Graimondii2_0_v6:3:17453595:17456771:1 gene:B456_003G073200 transcript:KJB18873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLGEFPDLNILEPKGGVVNAVNNNQMQNGGSNEASSRAGGLSGNLHEPDLEMHGNIPLPQEEIQPGSVGDSACEANPTSATNVVTKAESHLAQQPIAKLSKDAESTKEDLPHAASPKMGYFSRTTSAHEQCRVCQQEKEEGLIDLGCQCKGGLAKAHQSCIVTWFHTKGSNKCEICQVVAVNVTAPQPERSVGILCLSERVSNEFYICPNL >KJB18869 pep chromosome:Graimondii2_0_v6:3:17453505:17456775:1 gene:B456_003G073200 transcript:KJB18869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLGEFPDLNILEPKGGVVNAVNNNQMQNGGSNEASSRAGGLSGNLHEPDLEMHGNIPLPQEEIQPGSVGDSACEANPTSATNVVTKAESHLAQQPIAKLSKDAESTKEDLPHAASPKMGYFSRTTSAHEQCRVCQQEKEEGLIDLGCQCKGGLAKAHQSCIVTWFHTKGSNKCEICQVVAVNVTAPQPERSRNYWVWRINPRLVALNRERGCFSPLWLALSILIGGLLLDMLISLTLGVSALPVNLVIVVIVVIGLGTALRLAVEFYHEWSTRRALQRVETNATIGYHTTLVLFWEGNPMFVTAQICTP >KJB18871 pep chromosome:Graimondii2_0_v6:3:17453595:17456771:1 gene:B456_003G073200 transcript:KJB18871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLGEFPDLNILEPKGGVVNAVNNNQMQNGGSNEASSRAGGLSGNLHEPDLEMHGNIPLPQEEIQPGSVGDSACEANPTSATNVVTKAESHLAQQPIAKLSKDAESTKEDLPHAASPKMGYFSRTTSAHEQCRVCQQEKEEGLIDLGCQCKGGLAKAHQSCIVTWFHTKGSNKCEICQVVAVNVTAPQPERSRNYWVWRINPRLVALNRERGCFSPLWLALSILIGGLLLDMLISLTLGVSALPVNLVIVVIVVIGLGTALRLAVEFYHEWSTRRALQRVETNATIGYHTTL >KJB18958 pep chromosome:Graimondii2_0_v6:3:18916317:18917870:-1 gene:B456_003G077400 transcript:KJB18958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTFSKLLSSTFFFLSTLLLFSIKLASAKPHGFSNSLSPKHFGLKRQKLSHLHFYFHDVVSGRNPTAIRVASAALTNKSETGFDTVVMVHDPLTATPDRNSKLVGKAQGIYASAAQDVVGLLMVMNLAFVEGKYNGSALSLLGRNTVFSTVREMPIVGGSGLFQFARGYAQAKTHTFNIKTGDAVGKTYLGERNKI >KJB21110 pep chromosome:Graimondii2_0_v6:3:45461085:45466187:-1 gene:B456_003G183400 transcript:KJB21110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPNASRASISSYRVVTMFITCLCILAVDFRIYPRDYAKTETYGTSLMDLGVGSFVLMNAVTSRQARNIKSSMSWWKAAFKSTTPLLLLGFARLASTLSLDYQVHVGEYGVNWNFFFTLAGVSILTSILNVPAEYSGILGSVILVGYQSWLTNGLNVYLLSNERGTDVISRNKEGIFSLFGYWGMYLVGVQVSYYLFFENHTTKQRSKHETRIRICLLTIMFWILTLLIDRYVERISRRMCNLAYVTWVVAQNLQLLALRLLADNIIGHKTLCLERAFDRNLLASFLVANLLTGLVNLSVDTIFVSPLSAVLILVSYSLTLCVVMVLIDFSGVKYKFW >KJB21113 pep chromosome:Graimondii2_0_v6:3:45461279:45467136:-1 gene:B456_003G183400 transcript:KJB21113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFPKSLNPNKHLREQFVSNLPGSSMLEVSALLNNVALLMLLRHTFCSQTVNDACRSLKSYLASVALDYVFLVLPTLLIFTVLAEWVYICMIGLLLLLVFFTAVKRTYSLPYMEGPNASRASISSYRVVTMFITCLCILAVDFRIYPRDYAKTETYGTSLMDLGVGSFVLMNAVTSRQARNIKSSMSWWKAAFKSTTPLLLLGFARLASTLSLDYQVHVGEYGVNWNFFFTLAGVSILTSILNVPAEYSGILGSVILVGYQSWLTNGLNVYLLSNERGTDVISRNKEGIFSLFGYWGMYLVGVQVSYYLFFENHTTKQRSKHETRIRICLLTIMFWILTLLIDRYVERISRRMCNLAYVTWVVAQNLQLLALRLLADNIIGHKTLCLERAFDRNLLASFLVANLLTGLVNLSVDTIFVSPLSAVLILVSYSLTLCVVMVLIDFSGVKYKFW >KJB21105 pep chromosome:Graimondii2_0_v6:3:45461073:45467503:-1 gene:B456_003G183400 transcript:KJB21105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPNPFIIVESTRFSAFDPFKVKNVVWLKALIFCNKFTTAFSNSLSMDSFPKSLNPNKHLREQFVSNLPGSSMLEVSALLNNVALLMLLRHTFCSQTVNDACRSLKSYLASVALDYVFLVLPTLLIFTVLAEWVYICMIGLLLLLVFFTAVKRTYSLPYMEGPNASRASISSYRVVTMFITCLCILAVDFRIYPRDYAKTETYGTSLMDLGVGSFVLMNAVTSRQARNIKSSMSWWKAAFKSTTPLLLLGFARLASTLSLDYQVHVGEYGVNWNFFFTLAGVSILTSILNVPAEYSGILGSVILVGYQSWLTNGLNVYLLSNERGTDVISRNKEGIFSLFVQPGLCYLGGGSKSTAISTAIACR >KJB21111 pep chromosome:Graimondii2_0_v6:3:45462039:45467136:-1 gene:B456_003G183400 transcript:KJB21111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFPKSLNPNKHLREQFVSNLPGSSMLEVSALLNNVALLMLLRHTFCSQTVNDACRSLKSYLASVALDYVFLVLPTLLIFTVLAEWVYICMIGLLLLLVFFTAVKRTYSLPYMEGPNASRASISSYRVVTMFITCLCILAVDFRIYPRDYAKTETYGTSLMDLGVGSFVLMNAVTSRQARNIKSSMSWWKAAFKSTTPLLLLGFARLASTLSLDYQVHVGEYGVNWNFFFTLAGVSILTSILNVPAEYSGILGSVILVGYQSWLTNGLNVYLLSNERGTDVISRNKEGIFSLFGYWGMYLVGVQTDMLKEFHVECATWLMLLGWWLKIYSY >KJB21108 pep chromosome:Graimondii2_0_v6:3:45462439:45467389:-1 gene:B456_003G183400 transcript:KJB21108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPNPFIIVESTRFSAFDPFKVKNVVWLKALIFCNKFTTAFSNSLSMDSFPKSLNPNKHLREQFVSNLPGSSMLEVSALLNNVALLMLLRHTFCSQTVNDACRSLKSYLASVALDYVFLVLPTLLIFTVLAEWVYICMIGLLLLLVFFTAVKRTYSLPYMEGPNASRASISSYRVVTMFITCLCILAVDFRIYPRDYAKTETYGTSLMDLGVGSFVLMNAVTSRQARNIKSSMSWWKAAFKSTTPLLLLGFARLASTLSLDYQVHVGEYGVNWNFFFTLAGVSILTSILNVPAEYSGILGSVILVGYQSWLTNGLNVYLLSNERGTDVISRNKEGIFSLFVQPGLCYLGGGSKSTGI >KJB21115 pep chromosome:Graimondii2_0_v6:3:45461635:45467249:-1 gene:B456_003G183400 transcript:KJB21115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFPKSLNPNKHLREQFVSNLPGSSMLEVSALLNNVALLMLLRHTFCSQTVNDACRSLKSYLASVALDYVFLVLPTLLIFTVLAEWVYICMIGLLLLLVFFTAVKRTYSLPYMEGPNASRASISSYRVVTMFITCLCILAVDFRIYPRDYAKTETYGTSLMDLGVGSFVLMNAVTSRQARNIKSSMSWWKAAFKSTTPLLLLGFARLASTLSLDYQVHVGEYGVNWNFFFTLAGVSILTSILNVPAEYSGILGSVILVGYQSWLTNGLNVYLLSNERGTDVISRNKEGIFSLFGYWGMYLVGVQVSYYLFFENHTTKQRSKHETRIRICLLTIMFWILTLLIDRYVERISRRMCNLAYVTWVVAQNLQLLALRLLADNIIGHKTLCLERAFDRNLLASFLVVN >KJB21106 pep chromosome:Graimondii2_0_v6:3:45462432:45467389:-1 gene:B456_003G183400 transcript:KJB21106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPNPFIIVESTRFSAFDPFKVKNVVWLKALIFCNKFTTAFSNSLSMDSFPKSLNPNKHLREQFVSNLPGSSMLEVSALLNNVALLMLLRHTFCSQTVNDACRSLKSYLASVALDYVFLVLPTLLIFTVLAEWVYICMIGLLLLLVFFTAVKRTYSLPYMEGPNASRASISSYRVVTMFITCLCILAVDFRIYPRDYAKTETYGTSLMDLGVGSFVLMNAVTSRQARNIKSSMSWWKAAFKSTTPLLLLGFARLASTLSLDYQVHVGEYGVNWNFFFTLAGVSILTSILNVPAEYSGILGSVILVGYQSWLTNGLNVYLLSNERGTDVISRNKEGIFSLFGYWGMYLVGVQVSYYLFFENHTTKQRSKHETRIRICLLTIMFWILTLLIDRYVERISRRMCNLAYVTWVVAQNLQVSED >KJB21112 pep chromosome:Graimondii2_0_v6:3:45461085:45467249:-1 gene:B456_003G183400 transcript:KJB21112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFPKSLNPNKHLREQFVSNLPGSSMLEVSALLNNVALLMLLRHTFCSQTVNDACRSLKSYLASVALDYVFLVLPTLLIFTVLAEWVYICMIGLLLLLVFFTAVKRTYSLPYMEGPNASRASISSYRVVTMFITCLCILAVDFRIYPRDYAKTETYGTSLMDLGVGSFVLMNAVTSRQARNIKSSIWWKAAFKSTTPLLLLGFARLASTLSLDYQVHVGEYGVNWNFFFTLAGVSILTSILNVPAEYSGILGSVILVGYQSWLTNGLNVYLLSNERGTDVISRNKEGIFSLFGYWGMYLVGVQVSYYLFFENHTTKQRSKHETRIRICLLTIMFWILTLLIDRYVERISRRMCNLAYVTWVVAQNLQLLALRLLADNIIGHKTLCLERAFDRNLLASFLVANLLTGLVNLSVDTIFVSPLSAVLILVSYSLTLCVVMVLIDFSGVKYKFW >KJB21107 pep chromosome:Graimondii2_0_v6:3:45461073:45467503:-1 gene:B456_003G183400 transcript:KJB21107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPNPFIIVESTRFSAFDPFKVKNVVWLKALIFCNKFTTAFSNSLSMDSFPKSLNPNKHLREQFVSNLPGSSMLEVSALLNNVALLMLLRHTFCSQTVNDACRSLKSYLASVALDYVFLVLPTLLIFTVLAEWVYICMIGLLLLLVFFTAVKRTYSLPYMEGPNASRASISSYRVVTMFITCLCILAVDFRIYPRDYAKTETYGTSLMDLGVGSFVLMNAVTSRQARNIKSSMSWWKAAFKSTTPLLLLGFARLASTLSLDYQVHVGEYGVNWNFFFTLAGVSILTSILNVPAEYSGILGSVILVGYQSWLTNGLNVYLLSNERGTDVISRNKEGIFSLFGYWGMYLVGVQVSYYLFFENHTTKQRSKHETRIRICLLTIMFWILTLLIDRYVERISRRMCNLAYVTWVVAQNLQLLALRLLADNIIGHKTLCLERAFDRNLLASFLVANLLTGLVNLSVDTIFVSPLSAVLILVSYSLTLCVVMVLIDFSGVKYKFW >KJB21109 pep chromosome:Graimondii2_0_v6:3:45461085:45465305:-1 gene:B456_003G183400 transcript:KJB21109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGVGSFVLMNAVTSRQARNIKSSMSWWKAAFKSTTPLLLLGFARLASTLSLDYQVHVGEYGVNWNFFFTLAGVSILTSILNVPAEYSGILGSVILVGYQSWLTNGLNVYLLSNERGTDVISRNKEGIFSLFGYWGMYLVGVQVSYYLFFENHTTKQRSKHETRIRICLLTIMFWILTLLIDRYVERISRRMCNLAYVTWVVAQNLQLLALRLLADNIIGHKTLCLERAFDRNLLASFLVANLLTGLVNLSVDTIFVSPLSAVLILVSYSLTLCVVMVLIDFSGVKYKFW >KJB21114 pep chromosome:Graimondii2_0_v6:3:45461085:45467249:-1 gene:B456_003G183400 transcript:KJB21114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFPKSLNPNKHLREQFVSNLPGSSMLEVSALLNNVALLMLLRHTFCSQTVNDACRSLKSYLASVALDYVFLVLPTLLIFTVLAEWVYICMIGLLLLLVFFTAVKRTYSLPYMEGPNASRASISSYRVVTMFITCLCILAVDFRIYPRDYAKTETYGTSLMDLGVGSFVLMNAVTSRQARNIKSSMSWWKAAFKSTTPLLLLGFARLASTLSLDYQVHVGEYGVNWNFFFTLAGVSILTSILNVPAEYSGILGSVILVGYQSWLTNGLNVYLLSNERGTDVISRNKEGIFSLFVQPGLCYLGGGSKSTAISTAIACR >KJB18282 pep chromosome:Graimondii2_0_v6:3:5748402:5750085:-1 gene:B456_003G044600 transcript:KJB18282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGKRSRQPIKRTTSMTGITVDVVDHHQDPIISHPPPQIHPLHESPDANSRYDQRFLAMVSPRNPASFTSHVIDSGTTAAAPFLRACWLCNRRLAPGRDIYMYRKKTAMPIPLQPPPPPRLLKRSL >KJB18283 pep chromosome:Graimondii2_0_v6:3:5749131:5749850:-1 gene:B456_003G044600 transcript:KJB18283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGKRSRQPIKRTTSMTGITVDVVDHHQDPIISHPPPQIHPLHESPDANSRYDQRFLAMVSPRNPASFTSHVIDSGTTAAAPFLRACWLCNRRLAPGRDIYMYRGDTAFCSQECREKQMKQDERKEKLNG >KJB19941 pep chromosome:Graimondii2_0_v6:3:37524261:37526589:-1 gene:B456_003G125600 transcript:KJB19941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSIRKTRPEPELPTMDDDTVICLKVVVDKTKDRVVFVESDDKFVDVLFSFLTMPMGTIVRLTRNRGPTTNIGCMNNLYNSVEILDALYLRTKACKTMLLYPRNGAAAQCKNLKLAIHDGASLRYFLCSRTDCRNSCFKLLSHYPDAICWCGEQMYPVDLVENKTRRVSSDDKDRGVFVKGPIRLMISDELRIMPPSTAASFSLFSELGIEDMSVVDDRTFSMGKLEALNLLKCLLVSKTPLTEALLDHIPVLSLNDTEDLERVSIGRLKGEASNEDGKMYVKLMVSKSKNRVCYCEASENFVDLLFSFLTVPLGFIVKEMQKGSSTGCINHLYDSIQDLDARNYLVSKETTAMLISPKLAPGFRYEGQALDIEEYMHQPYYLTRSQNILMPTSDETLIPPGETVYSSALTVMDPKSHHNDNSSSTGFIKGPAMFTITDDLIISPVSSVTGLSAVRKLKIPFTDIEERVVYVGKEEASCLMVAALISESALTNTFLLKEMEQGS >KJB19943 pep chromosome:Graimondii2_0_v6:3:37524181:37526662:-1 gene:B456_003G125600 transcript:KJB19943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSIRKTRPEPELPTMDDDTVICLKVVVDKTKDRVVFVESDDKFVDVLFSFLTMPMGTIVRLTRNRGPTTNIGCMNNLYNSVEILDALYLRTKACKTMLLYPRNGAAAQCKNLKLAIHDGASLRYFLCSRTDCRNSCFKLLSHYPDAICWCGEQMYPVDLVENKTRRVSSDDKDRGVFVKGPIRLMISDELRIMPPSTAASFSLFSELGIEDMSVVDDRTFSMGKLEALNLLKCLLVSKTPLTEALLDHIPVLSLNDTEDLERVSIGRLKGEASNEDGKMYVKLMVSKSKNRVCYCEASENFVDLLFSFLTVPLGFIVKEMQKGSSTGCINHLYDSIQDLDARNYLVSKETTAMLISPKLAPGFRYEGQALDIEEYMHQPYYLTRSQNILMPTSDETLIPPGETVYSSALTVMDPKSHHNDNSSSTGFIKGPAMFTITDDLIISPVSSVTGLSAVRKLKIPFTDIEERVVYVGKEEASCLMVAALISESALTNTFLLKEMEQGS >KJB19942 pep chromosome:Graimondii2_0_v6:3:37524261:37526216:-1 gene:B456_003G125600 transcript:KJB19942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSIRKTRPEPELPTMDDDTVICLKVVVDKTKDRVVFVESDDKFVDVLFSFLTMPMGTIVRLTRNRGPTTNIGCMNNLYNSVEILDALYLRTKACKTMLLYPRNGAAAQCKNLKLAIHDGASLRYFLCSRTDCRNSCFKLLSHYPDAICWCGEQMYPVDLVENKTRRVSSDDKDRGVFVKGPIRLMISDELRIMPPSTAASFSLFSELGIEDMSVVDDRTFSMGKLEALNLLKCLLVSKTPLTEALLDHIPVLSLNDTEDLERVSIGRLKGEASNEDGKMYVKLMVSKSKNRVCYCEASENFVDLLFSFLTVPLGFIVKEMQKGSSTGCINHLYDSIQDLDARNYLVSKETTAMLISPKLAPGFRYEGQALDIEEYMHQPYYLTRSQNILMPTSDETLIPPGETVYSSALTVMDPKSHHNDNSSSTGFIKGPAMFTITDDLIISPVSSVTGLSAVRKLKIPFTDIEERVVYVGKEEASCLMVAALISESALTNTFLLKEMEQGS >KJB20646 pep chromosome:Graimondii2_0_v6:3:42750059:42755233:-1 gene:B456_003G157900 transcript:KJB20646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKGCKIRQTLKKMQLPRYNISWWSHLRLMRLPRYNIKAGGCFLSLMMKKRPNEVMARIYNGKMQIPKSSMALRGAAMAGGACIGRQRATPFVLSSSPLFFRVRSLHSPSHPLLRFTSFPNPKTGFKYQIRAIQEVTADTVKSQKGKEDDEQSSPQNWKIKMLYDGDCPLCMREVDMLRERNKQYGTIKFVDISSDDYSPEENQGLDYKTVMGRIHAILSDGTVVTDVEAFRKLYEQVGLGWVYAITKYEPIAAIADSIYGVWAKYRLQITGRPPLEEVLETRRKKKICCLFDG >KJB20652 pep chromosome:Graimondii2_0_v6:3:42753893:42755233:-1 gene:B456_003G157900 transcript:KJB20652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKGCKIRQTLKKMQLPRYNISWWSHLRLMRLPRYNIKAGGCFLSLMMKKRPNEVMARIYNGKMQIPKSSMALRGAAMAGGACIGRQRATPFVLSSSPLFFRVRSLHSPSHPLLRFTSFPNPKTGFKYQIRAIQEVTADTVKSQKGKEDDEQSSPQNWKIKMLYDGDCPLCMREVDMLRERNKQYGTIKFVDISSDDYSPEENQGLDYKTVCSSSTAFF >KJB20649 pep chromosome:Graimondii2_0_v6:3:42752691:42755233:-1 gene:B456_003G157900 transcript:KJB20649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKGCKIRQTLKKMQLPRYNISWWSHLRLMRLPRYNIKAGGCFLSLMMKKRPNEVMARIYNGKMQIPKSSMALRGAAMAGGACIGRQRATPFVLSSSPLFFRVRSLHSPSHPLLRFTSFPNPKTGFKYQIRAIQEVTADTVKSQKGKEDDEQSSPQNWKIKMLYDGDCPLCMREVDMLRERNKQYGTIKFVDISSDDYSPEENQGLDYKTVMGRIHAILSDGTVVTDVEAFRKLYEQVGLGWVYAITKYEPIAAIADSIYGVWAKYRLQITGKTYVVLESFKC >KJB20651 pep chromosome:Graimondii2_0_v6:3:42752270:42755233:-1 gene:B456_003G157900 transcript:KJB20651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKGCKIRQTLKKMQLPRYNISWWSHLRLMRLPRYNIKAGGCFLSLMMKKRPNEVMARIYNGKMQIPKSSMALRGAAMAGGACIGRQRATPFVLSSSPLFFRVRSLHSPSHPLLRFTSFPNPKTGFKYQIRAIQEVTADTVKSQKGKEDDEQSSPQNWKIKMLYDGDCPLCMREVMGRIHAILSDGTVVTDVEIAAIADSIYGVWAKYRLQITGRPPLEEVLETRRKKKVRIR >KJB20647 pep chromosome:Graimondii2_0_v6:3:42750255:42755233:-1 gene:B456_003G157900 transcript:KJB20647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKGCKIRQTLKKMQLPRYNISWWSHLRLMRLPRYNIKAGGCFLSLMMKKRPNEVMARIYNGKMQIPKSSMALRGAAMAGGACIGRQRATPFVLSSSPLFFRVRSLHSPSHPLLRFTSFPNPKTGFKYQIRAIQEVTADTVKSQKGKEDDEQSSPQNWKIKMLYDGDCPLCMREVDMLRERNKQYGTIKFVDISSDDYSPEENQGLDYKTVMGRIHAILSDGTVVTDVEAFRKLYEQVGLGWVYAITKYEPIAAIADSIYGVWAKYRLQITGRPPLEEVLETRRKKKVRIRLTYAMTTIARYEVSY >KJB20650 pep chromosome:Graimondii2_0_v6:3:42752267:42755233:-1 gene:B456_003G157900 transcript:KJB20650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKGCKIRQTLKKMQLPRYNISWWSHLRLMRLPRYNIKAGGCFLSLMMKKRPNEVMARIYNGKMQIPKSSMALRGAAMAGGACIGRQRATPFVLSSSPLFFRVRSLHSPSHPLLRFTSFPNPKTGFKYQIRAIQEVTADTVKSQKGKEDDEQSSPQNWKIKMLYDGDCPLCMREVDMLRERNKQYGTIKFVDISSDDYSPEENQGLDYKTVMGRIHAILSDGTVVTDVEAFRKLYEQVGLGWVYAITKYEPIAAIADSIYGVWAKYRLQITGRPPLEEVLETRRKKKVRIR >KJB20648 pep chromosome:Graimondii2_0_v6:3:42750107:42755233:-1 gene:B456_003G157900 transcript:KJB20648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKGCKIRQTLKKMQLPRYNISWWSHLRLMRLPRYNIKAGGCFLSLMMKKRPNEVMARIYNGKMQIPKSSMALRGAAMAGGACIGRQRATPFVLSSSPLFFRVRSLHSPSHPLLRFTSFPNPKTGFKYQIRAIQEVTADTVKSQKGKEDDEQSSPQNWKIKMLYDGDCPLCMREVDMLRERNKQYGTIKFVDISSDDYSPEENQGLDYKTVMGRIHAILSDGTVVTDVEAFRKLYEQVGLGWVYAITKYEPIAAIADSIYGVWAKYRLQITGRPPLEEVLETRRKKKADICNDNNCKI >KJB17496 pep chromosome:Graimondii2_0_v6:3:128932:134163:-1 gene:B456_003G002300 transcript:KJB17496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFFHFFEFNHSNMARKILAHKRHVGGLEAPRNSLELQLENSQNYCAVDDLPCSYEVEEDWAAKNYCRTEASMKKLINEEMSKQSNTRQNTPSIVARLMGMDALPLDSKPIVVPSVEKKNDHQQRGKNAKGSDVYLSSNSNYSKKMDVDSVYGSRDRDAERWSTGQEFGKPRPCEHPQEEELQKFKKEFEAWQAARLRECSKVVDVGSISMAQEKLSKEKMAHYSGSERAKHEKPLESKRFAVKENMYEMGLHRRHKSEPFTAEQKESRSRCTNKDFQLPSMIHYNEKVDAAPTRIVILKPGPDRIYDHEESWTSSSGTLDERASIEDFLEEVRERLKLELQGKTLKRSSVIRGSGIETPFNEKPSDPRQIAKHIAEQVKENVSKDLKMNLVRSESTKSYRSSVQFNGPGSPEFINKDTRTFLSERLKNVQKQETQLDIPIVSTGRCRLPVFENGRDRLKQIEDFPKSGNEQSYWEIVKDEQEMQTRSFRHGDDVGLLNRESSTRNLIRSLSAPVSGTSFGKLLLEDRHILTGAQIRRKHEGIENVQVDVEKRKREKFNLKEKVSNIKYGLTLRRRLFGRKIQSMVDTYGAYDGPVKDILSGPTVINFSERHENSTEVPPSPASVCSSTNEEFWRQVDYPSPISTPDVTLGEENAVQQVFKEISSNLNELRRQLNELESDGVDDISIEKESTESEMGDIDDQAEGYVRDLLVASGLYGGSCDKSLSRWDPLAKPIGDAVFEQVEESYRKSGKENDENDKKVDRKVLLDLLNEALSTILGPPVTLSRFRRKLVGSSILPPPRGRKLLNSVWEIIQTNLYPPNDRCYYSLDSMVARDLGSTPWSSLMDEETNVLVREVECYIIGNLVEEIVKDMH >KJB17495 pep chromosome:Graimondii2_0_v6:3:128932:134456:-1 gene:B456_003G002300 transcript:KJB17495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFFHFFEFNHSNMARKILAHKRHVGGLEAPRNSLELQLENSQNYCAVDDLPCSYEVEEDWAAKNYCRTEASMKKLINEEMSKQSNTRQNTPSIVARLMGMDALPLDSKPIVVPSVEKKNDHQQRGKNAKGSDVYLSSNSNYSKKMDVDSVYGSRDRDAERWSTGQEFGKPRPCEHPQEEELQKFKKEFEAWQAARLRECSKVVDVGSISMAQEKLSKEKMAHYSGSERAKHEKPLESKRFAVKENMYEMGLHRRHKSEPFTAEQKESRSRCTNKDFQLPSMIHYNEKVDAAPTRIVILKPGPDRIYDHEESWTSSSGTLDERASIEDFLEEVRERLKLELQGKTLKRSSVIRGSGIETPFNEKPSDPRQIAKHIAEQVKENVSKDLKMNLVRSESTKSYRSSVQFNGPGSPEFINKDTRTFLSERLKNVQKQETQLDIPIVSTGRCRLPVFENGRDRLKQIEDFPKSGNEQSYWEIVKDEQEMQTRSFRHGDDVGLLNRESSTRNLIRSLSAPVSGTSFGKLLLEDRHILTGAQIRRKHEGIENVQVDVEKRKREKFNLKEKVSNIKYGLTLRRRLFGRKIQSMVDTYGAYDGPVKDILSGPTVINFSERHENSTEVPPSPASVCSSTNEEFWRQVDYPSPISTPDVTLGEENAVQQVFKEISSNLNELRRQLNELESDGVDDISIEKESTESEMGDIDDQAEGYVRDLLVASGLYGGSCDKSLSRWDPLAKPIGDAVFEQVEESYRKSGKENDENDKKVDRKVLLDLLNEALSTILGPPVTLSRFRRKLVGSSILPPPRGRKLLNSVWEIIQTNLYPPNDRCYYSLDSMVARDLGSTPWSSLMDEETNVLVREVECYIIGNLVEEIVKDMH >KJB17497 pep chromosome:Graimondii2_0_v6:3:128932:133517:-1 gene:B456_003G002300 transcript:KJB17497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFFHFFEFNHSNMARKILAHKRHVGGLEAPRNSLELQLENSQNYCAVDDLPCSYEVEEDWAAKNYCRTEASMKKLINEEMSKQSNTRQNTPSIVARLMGMDALPLDSKPIVVPSVEKKNDHQQRGKNAKGSDVYLSSNSNYSKKMDVDSVYGSRDRDAERWSTGQEFGKPRPCEHPQEEELQKFKKEFEAWQAARLRECSKVVDVGSISMAQEKLSKEKMAHYSGSERAKHEKPLESKRFAVKENMYEMGLHRRHKSEPFTAEQKESRSRCTNKDFQLPSMIHYNEKVDAAPTRIVILKPGPDRIYDHEESWTSSSGTLDERASIEDFLEEVRERLKLELQGKTLKRSSVIRGSGIETPFNEKPSDPRQIAKHIAEQVKENVSKDLKMNLVRSESTKSYRSSVQFNGPGSPEFINKDTRTFLSERLKNVQKQETQLDIPIVSTGRCRLPVFENGRDRLKQIEDFPKSGNEQSYWEIVKDEQEMQTRSFRHGDDVGLLNRESSTRNLIRSLSAPVSGTSFGKLLLEDRHILTGAQIRRKHEGIENVQVDVEKRKREKFNLKEKVSNIKYGLTLRRRLFGRKIQSMVDTYGAYDGPVKDILSGPTVINFSERHENSTEVPPSPASVCSSTNEEFWRQVDYPSPISTPDVTLGEENAVQQVFKEISSNLNELRRQLNELESDGVDDISIEKESTESEMGDIDDQAEGYVRDLLVASGLYGGSCDKSLSRWDPLAKPIGDAVFEQVEESYRKSGKENDENDKKVDRKVLLDLLNEALSTILGPPVTLSRFRRKLVGSSILPPPRGRKLLNSVWEIIQTNLYPPNDRCYYSLDSMVARDLGSTPWSSLMDEETNVLVREVECYIIGNLVEEIVKDMH >KJB20891 pep chromosome:Graimondii2_0_v6:3:44233828:44235965:-1 gene:B456_003G170900 transcript:KJB20891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGDLLSIHPLELKFPFALRKQISCSLQLSNKTDNYVAFKVKTTNPKKYCVRPNAGVVLPRSTSDVIVTMQAQKEAPPDMNCRDKFLLQSVKVNDGITAKDITSELFNKEAGHVVEECKLKVVYLSPPQPPSPVHEGSEEGSSPRGSVSDNGHVNAAEFSTAAKAFNEQLEAQDLSPEQTRTHITKLTEEKKSALQQCNKIRHELELLKREGNKSGGGVSFMFVIIIGLLGIFMGYMMKS >KJB20897 pep chromosome:Graimondii2_0_v6:3:44233828:44235034:-1 gene:B456_003G170900 transcript:KJB20897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFFYFSQFNKEAGHVVEECKLKVVYLSPPQPPSPVHEGSEEGSSPRGSVSDNGHVNAAEFSTAAKAFNEQLEAQDLSPETRTHITKLTEEKKSALQQCNKIRHELELLKREGNKSGGGVSFMFVIIIGLLGIFMGYMMKS >KJB20890 pep chromosome:Graimondii2_0_v6:3:44231377:44236079:-1 gene:B456_003G170900 transcript:KJB20890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGDLLSIHPLELKFPFALRKQISCSLQLSNKTDNYVAFKVKTTNPKKYCVRPNAGVVLPRSTSDVIVTMQAQKEAPPDMNCRDKFLLQSVKVNDGITAKDITSELFNKEAGHVVEECKLKVVYLSPPQPPSPVHEGSEEGSSPRGSVSDNGHVNAAEFSTAAKAFNEQLEAQDLSPETRTHITKLTEEKKSALQQCNKIRHELELLKREGNKSGGGVSFMFVIIIGLLGIFMGYMMKS >KJB20894 pep chromosome:Graimondii2_0_v6:3:44233457:44236079:-1 gene:B456_003G170900 transcript:KJB20894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGDLLSIHPLELKFPFALRKQISCSLQLSNKTDNYVAFKVKTTNPKKYCVRPNAGVVLPRSTSDVIVTMQAQKEAPPDMNCRDKFLLQSVKVNDGITAKDITSELFNKEAGHVVEECKLKVVYLSPPQPPSPVHEGSEEGSSPRGSVSDNGHAAKAFNEQLEAQDLSPETRTHITKLTEEKKSALQQCNKIRHELELLKREGNKSGGGVSFMFVIIIGLLGIFMGYMMKS >KJB20896 pep chromosome:Graimondii2_0_v6:3:44234756:44235965:-1 gene:B456_003G170900 transcript:KJB20896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGDLLSIHPLELKFPFALRKQISCSLQLSNKTDNYVAFKVKTTNPKKYCVRPNAGVVLPRSTSDVIVTMQAQKEAPPDMNCRDKFLLQSVKVNDGITAKDITSELFNKEAGHVVEECKLKVVYLSPPQPPSPVHEGSEEGSSPRGSVSDNGHVNAAEFSTVRSCCIYLSFLLLSAVHSTDANGVHFCC >KJB20892 pep chromosome:Graimondii2_0_v6:3:44233457:44236079:-1 gene:B456_003G170900 transcript:KJB20892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQKEAPPDMNCRDKFLLQSVKVNDGITAKDITSELFNKEAGHVVEECKLKVVYLSPPQPPSPVHEGSEEGSSPRGSVSDNGHVNAAEFSTAAKAFNEQLEAQDLSPETRTHITKLTEEKKSALQQCNKIRHELELLKREGNKSGGGVSFMFVIIIGLLGIFMGYMMKS >KJB20895 pep chromosome:Graimondii2_0_v6:3:44234756:44235965:-1 gene:B456_003G170900 transcript:KJB20895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGDLLSIHPLELKFPFALRKQISCSLQLSNKTDNYVAFKVKTTNPKKYCVRPNAGVVLPRSTSDVIVTMQAQKEAPPDMNCRDKFLLQSVKVNDGITAKDITSELFNKEAGHVVEECKLKVVYLSPPQPPSPVHEGSEEGSSPRGSVSDNGHVNAAEFSTVRSCCIYLSFLLLSAVHSTDANGVHFCC >KJB20889 pep chromosome:Graimondii2_0_v6:3:44233451:44236093:-1 gene:B456_003G170900 transcript:KJB20889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGDLLSIHPLELKFPFALRKQISCSLQLSNKTDNYVAFKVKTTNPKKYCVRPNAGVVLPRSTSDVIVTMQAQKEAPPDMNCRDKFLLQSVKVNDGITAKDITSELFNKEAGHVVEECKLKVVYLSPPQPPSPVHEGSEEGSSPRGSVSDNGHVNAAEFSTAAKAFNEQLEAQDLSPETRTHITKLTEEKKSALQQCNKIRHELELLKREGNKSGGGVSFMFVIIIGLLGIFMGYMMKS >KJB20893 pep chromosome:Graimondii2_0_v6:3:44233457:44235671:-1 gene:B456_003G170900 transcript:KJB20893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQKEAPPDMNCRDKFLLQSVKVNDGITAKDITSELFNKEAGHVVEECKLKVVYLSPPQPPSPVHEGSEEGSSPRGSVSDNGHVNAAEFSTAAKAFNEQLEAQDLSPETRTHITKLTEEKKSALQQCNKIRHELELLKREGNKSGGGVSFMFVIIIGLLGIFMGYMMKS >KJB20987 pep chromosome:Graimondii2_0_v6:3:44782688:44784114:1 gene:B456_003G176400 transcript:KJB20987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIGVNSTVPAQNLLVFQTKRASFKCRKSPLNSLSTESTRKHLSNLEKLLQKTNQTDSDRVNKPLTNGSISIKRKGLFEGLKLWDIKPEMKAAEEMSPSHLNRLQRLLSKTMEYSPRNNLGSRWREYHGCNDWSGLLDPLDENLRREVVRYGEFVQAAYHGFHSNPAMPVDEAPLPRHVALPDRSYKVTKSLYATSSVGLPKWVDDMAPNLGWMTQRSSWIGFVAVCDDRREIQRMGRRDIVIALRGTATCLEWTENFRAQLVGIPGSDDSNQRVECGFLSLNETRGAHVPSLAESVVQEVQRLIEMYKGETLSITITGHSLGAALSLLVADEISSCAPHVPPVAVFSFGGPRVGNKSFVQRLNQKNVKVLRIVNNQDLITRVPGVFIGDNNSWAYTHVGTELKVDTKMSPYLKPDADVACCHDLEAYLHLVDGFLSSNCPFRSNAKRSLVKLVNEQRSNVKQLYTHKA >KJB18825 pep chromosome:Graimondii2_0_v6:3:16360353:16361345:1 gene:B456_003G070700 transcript:KJB18825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKGGATSACAACKYQRRKCIPECLLAPYFPADQTKVFQNAHKLFGVSNIVKILRSLDPSQHAEAMRSIKYQANVRDRFPVYGCLGVIRQLYYQIQMLEEEMHTVLTQLEMYRQHHHHQQQQQQHQHQHQISSMADDVISQLELGMAPSNNALPLFNQVTHQPYTMQNTYSSSDIDSPPKDNVENNSLWIQHLFFDTNNHGTSNNDSPIAIQSQLLVPNSEPLADTQHQVVQDYDEIHPFFDSIDDRQSYIDTKDAEDSSSSEESLKETTQLMVGNVGSKDLKSAAACFSLTSVS >KJB17331 pep chromosome:Graimondii2_0_v6:3:31231879:31233766:-1 gene:B456_003G102200 transcript:KJB17331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPGKKVVDVAFKASKNINWEGMAKLLVSDEACKEFATLRRTFDKVNSTLQTKFSQEPEPIDWEYYRKGIGSRLVDMYKEAYESVEIPKFVDTVTPQYKPKFDALLVELKEAEEKSLKKSERLEKEIAEVQELKQKISTMTADEYFEKHPELKKKFDDEIRNDYWGY >KJB17330 pep chromosome:Graimondii2_0_v6:3:31231643:31233766:-1 gene:B456_003G102200 transcript:KJB17330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPGKKVVDVAFKASKNINWEGMAKLLVSDEACKEFATLRRTFDKVNSTLQTKFSQEPEPIDWEYYRKGIGSRLVDMYKEAYESVEIPKFVDTVTPQYKPKFDALLVELKEAEEKSLKKSERLEKEIAEVQELKISTMTADEYFEKHPELKKKFDDEIRNDYWGY >KJB19403 pep chromosome:Graimondii2_0_v6:3:30926458:30933589:-1 gene:B456_003G100700 transcript:KJB19403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDRIHNFLGGESLSQGQHHSHVFDGTWSGLNNNQWVGSQRQVGGPLVSGLKNFSLHQLADADRGQSGQSSSLQHGLNFTQSGLRPEGVRSQSQNQSSNVNGYMQGHQAFQTRQNETNFLGVDTASRGPDLHKKNPMRLDAAESPVNYDFLGGQQQINGQHHGMIQPLPRQQAERTDMQLLQQHAMLKKMQELQRQQLPNSQFQQPEARQLSSVNQVSSVVKQGSDSVFLAPINGVPVHNASNYSWQTENVNVNVNANWLQDGATPAMQGSSSGFLFSPEQGQVHLMGLVPRQVDQSFYGISTSGPIVDQYQYSSVQMDEPLMQQVQARSNSFPGNQYAMFQDQVSLQDGSLVSGQGDQGKNVFGAAAGQGLNNGFLSENLQQTTILPKNAVMQESSGKQGQPGPSETSLRKSSIQADPPQAVATLDPTEEKILFGSDDSVWDMFGKSANMGSVLDGMDSSGAFPSLQSGSWSALMQSAVAETSSNDIGIQEEWSGLGMQHNEPPSGNMPSSIVNYGSKQESAWADNKFPPASTLNSKPSPMSQRFVKELTEDSSKRLDCSSLRKHVAESAEFLGNASHSPGMQVTANSISGHQQGLAIHSPHCQPQNEPNGWNSIDSASHSVGTTSKSQDIQRSLQPSQSSDQRGAMYKERGHSSGFDLTNIKSGNVNSGLGSLQVNGEASDLDNFAEITDKRTTRVTKESSQLPNSRNLHLWKSVDSQVNRGLSRVPVEYQQIQERSPENLDSSGNHCLDKGASGVNVSGDLNVKETSNDSFCSNLPQYTTTGGMRDNVWLDVNDLRGGKQKSSAHISHKPSVTRKFQYHPMGDLDVEIEPSYGTKSVAHSRATSQHVSQGLKGHDKGYSGQSQLTGHAGGEATEVEKGCFPGLKVDKIPSKSSNPGSAPDRSFGGFVPNKTSPTSQNILELFQKADPPKEHGTATRLSSSEHNQSSEMPDAETSNGSVDQFQHNRPIASQGFGLQLGPPSQKFTIPDRAISLQSFPQGVNSLNLVHGSSEIGRKGHTWLDTIASVQSSTHGASHGDRSNVSSVSGQTSNKASQNTMEASVSAGFTSYNPHSKSHLQSQHVSSVGSQGTPKEYVNAPFGVLASQMKRTDDSSVIVQASQGRKSAPCMPKTAPGENLASSEASWPSSSNKNNARDPGQHFPVLEASLPSTTSESSQGAFTKNMPNLHASVSAPQHLLGAQSALASQNLFKPNHQSNIKSEASHPGSKKLDDQIAQVVGSGRSEFPADCAKPQSFVGEKQPANDQQVLRENDASQNLAAMQRDIEAFGRSLRPNNAANQNNLLLHQVQALENVEIDPSYRSVKRFKGPDSGLDAQLVGSHGQEERSYGSGTVMRDAAVNFSSDPSGNSKMPSFSSNTGDDNERQLSSSDMLASYQNDSQDFPNANNPAAVLKGEHSQISPQMAPSWFDRYGMFKNGQMMPVYDARKIAMMKATEKIFTVGRPPDSLHPLHSSEQVNAAAAASQLDNAQQSSNLLPVASDHFSPHSQCPGVTNQSLVVVRAKKRKSMTFELLSWHREVTQGSQKPQNISVAEAEWAHAANRLIEKVEDEPETIEEWSPVLRTRRRLILTTQLMQQLLRAPPRVVFSTDASENQETVAYFVARSVLGDACCTSYVPESDTADPPDGGCILSEKLKEEKNESILKAAEEIIVRTKKLENYLQSLDKRASILDLRIECQDLEKVSVINRFAKFHSRGQGDGAETSSSYAIASSHKFFPQRYVTALPMPRNLPDRVQCHSL >KJB19400 pep chromosome:Graimondii2_0_v6:3:30926458:30933127:-1 gene:B456_003G100700 transcript:KJB19400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHQAFQTRQNETNFLGVDTASRGPDLHKKNPMRLDAAESPVNYDFLGGQQQINGQHHGMIQPLPRQQAERTDMQLLQQHAMLKKMQELQRQQLPNSQFQQPEARQLSSVNQVSSVVKQGSDSVFLAPINGVPVHNASNYSWQTENVNVNVNANWLQDGATPAMQGSSSGFLFSPEQGQVHLMGLVPRQVDQSFYGISTSGPIVDQYQYSSVQMDEPLMQQVQARSNSFPGNQYAMFQDQVSLQDGSLVSGQGDQGKNVFGAAAGQGLNNGFLSENLQQTTILPKNAVMQESSGKQGQPGPSETSLRKSSIQADPPQAVATLDPTEEKILFGSDDSVWDMFGKSANMGSVLDGMDSSGAFPSLQSGSWSALMQSAVAETSSNDIGIQEEWSGLGMQHNEPPSGNMPSSIVNYGSKQESAWADNKFPPASTLNSKPSPMSQRFVKELTEDSSKRLDCSSLRKHVAESAEFLGNASHSPGMQVTANSISGHQQGLAIHSPHCQPQNEPNGWNSIDSASHSVGTTSKSQDIQRSLQPSQSSDQRGAMYKERGHSSGFDLTNIKSGNVNSGLGSLQVNGEASDLDNFAEITDKRTTRVTKESSQLPNSRNLHLWKSVDSQVNRGLSRVPVEYQQIQERSPENLDSSGNHCLDKGASGVNVSGDLNVKETSNDSFCSNLPQYTTTGGMRDNVWLDVNDLRGGKQKSSAHISHKPSVTRKFQYHPMGDLDVEIEPSYGTKSVAHSRATSQHVSQGLKGHDKGYSGQSQLTGHAGGEATEVEKGCFPGLKVDKIPSKSSNPGSAPDRSFGGFVPNKTSPTSQNILELFQKADPPKEHGTATRLSSSEHNQSSEMPDAETSNGSVDQFQHNRPIASQGFGLQLGPPSQKFTIPDRAISLQSFPQGVNSLNLVHGSSEIGRKGHTWLDTIASVQSSTHGASHGDRSNVSSVSGQTSNKASQNTMEASVSAGFTSYNPHSKSHLQSQHVSSVGSQGTPKEYVNAPFGVLASQMKRTDDSSVIVQASQGRKSAPCMPKTAPGENLASSEASWPSSSNKNNARDPGQHFPVLEASLPSTTSESSQGAFTKNMPNLHASVSAPQHLLGAQSALASQNLFKPNHQSNIKSEASHPGSKKLDDQIAQVVGSGRSEFPADCAKPQSFVGEKQPANDQQVLRENDASQNLAAMQRDIEAFGRSLRPNNAANQNNLLLHQVQALENVEIDPSYRSVKRFKGPDSGLDAQLVGSHGQEERSYGSGTVMRDAAVNFSSDPSGNSKMPSFSSNTGDDNERQLSSSDMLASYQNDSQDFPNANNPAAVLKGEHSQISPQMAPSWFDRYGMFKNGQMMPVYDARKIAMMKATEKIFTVGRPPDSLHPLHSSEQVNAAAAASQLDNAQQSSNLLPVASDHFSPHSQCPGVTNQSLVVVRAKKRKSMTFELLSWHREVTQGSQKPQNISVAEAEWAHAANRLIEKVEDEPETIEEWSPVLRTRRRLILTTQLMQQLLRAPPRVVFSTDASENQETVAYFVARSVLGDACCTSYVPESDTADPPDGGCILSEKLKEEKNESILKAAEEIIVRTKKLENYLQSLDKRASILDLRIECQDLEKVSVINRFAKFHSRGQGDGAETSSSYAIASSHKFFPQRYVTALPMPRNLPDRVQCHSL >KJB19401 pep chromosome:Graimondii2_0_v6:3:30925780:30933263:-1 gene:B456_003G100700 transcript:KJB19401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHQAFQTRQNETNFLGVDTASRGPDLHKKNPMRLDAAESPVNYDFLGGQQQINGQHHGMIQPLPRQQAERTDMQLLQQHAMLKKMQELQRQQLPNSQFQQPEARQLSSVNQVSSVVKQGSDSVFLAPINGVPVHNASNYSWQTENVNVNVNANWLQDGATPAMQGSSSGFLFSPEQGQVHLMGLVPRQVDQSFYGISTSGPIVDQYQYSSVQMDEPLMQQVQARSNSFPGNQYAMFQDQVSLQDGSLVSGQGDQGKNVFGAAAGQGLNNGFLSENLQQTTILPKNAVMQESSGKQGQPGPSETSLRKSSIQADPPQAVATLDPTEEKILFGSDDSVWDMFGKSANMGSVLDGMDSSGAFPSLQSGSWSALMQSAVAETSSNDIGIQEEWSGLGMQHNEPPSGNMPSSIVNYGSKQESAWADNKFPPASTLNSKPSPMSQRFVKELTEDSSKRLDCSSLRKHVAESAEFLGNASHSPGMQVTANSISGHQQGLAIHSPHCQPQNEPNGWNSIDSASHSVGTTSKSQDIQRSLQPSQSSDQRGAMYKERGHSSGFDLTNIKSGNVNSGLGSLQVNGEASDLDNFAEITDKRTTRVTKESSQLPNSRNLHLWKSVDSQVNRGLSRVPVEYQQIQERSPENLDSSGNHCLDKGASGVNVSGDLNVKETSNDSFCSNLPQYTTTGGMRDNVWLDVNDLRGGKQKSSAHISHKPSVTRKFQYHPMGDLDVEIEPSYGTKSVAHSRATSQHVSQGLKGHDKGYSGQSQLTGHAGGEATEVEKGCFPGLKVDKIPSKSSNPGSAPDRSFGGFVPNKTSPTSQNILELFQKADPPKEHGTATRLSSSEHNQSSEMPDAETSNGSVDQFQHNRPIASQGFGLQLGPPSQKFTIPDRAISLQSFPQGVNSLNLVHGSSEIGRKGHTWLDTIASVQSSTHGASHGDRSNVSSVSGQTSNKASQNTMEASVSAGFTSYNPHSKSHLQSQHVSSVGSQGTPKEYVNAPFGVLASQMKRTDDSSVIVQASQGRKSAPCMPKTAPGENLASSEASWPSSSNKNNARDPGQHFPVLEASLPSTTSESSQGAFTKNMPNLHASVSAPQHLLGAQSALASQNLFKPNHQSNIKSEASHPGSKKLDDQIAQVVGSGRSEFPADCAKPQSFVGEKQPANDQQVLRENDASQNLAAMQRDIEAFGRSLRPNNAANQNNLLLHQVQALENVEIDPSYRSVKRFKGPDSGLDAQLVGSHGQEERSYGSGTVMRDAAVNFSSDPSGNSKMPSFSSNTGDDNERQLSSSDMLASYQNDSQDFPNANNPAAVLKGEHSQISPQMAPSWFDRYGMFKNGQMMPVYDARKIAMMKATEKIFTVGRPPDSLHPLHSSEQVNAAAAASQLDNAQQSSNLLPVASDHFSPHSQCPGVTNQSLVVVRAKKRKSMTFELLSWHREVTQGSQKPQNISVAEAEWAHAANRLIEKVEDEPETIEEWSPVLRTRRRLILTTQLMQQLLRAPPRVVFSTDASENQETVAYFVARSVLGDACCTSYVPESDTADPPDGGCILSEKLKEEKNESILKAAEEIIVRTKKLENYLQSLDKRASILDLRIECQDLEKVSVINRFAKFHSRGQGDGAETSSSYAIASSHKFFPQRYVTALPMPRNLPDRVQCHSL >KJB19405 pep chromosome:Graimondii2_0_v6:3:30925907:30936522:-1 gene:B456_003G100700 transcript:KJB19405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDRIHNFLGGESLSQGQHHSHVFDGTWSGLNNNQWVGSQRQVGGPLVSGLKNFSLHQLADADRGQSGQSSSLQHGLNFTQSGLRPEGVRSQSQNQSSNVNGYMQGHQAFQTRQNETNFLGVDTASRGPDLHKKNPMRLDAAESPVNYDFLGGQQQINGQHHGMIQPLPRQQAERTDMQLLQQHAMLKKMQELQRQQLPNSQFQQPEARQLSSVNQVSSVVKQGSDSVFLAPINGVPVHNASNYSWQTENVNVNVNANWLQDGATPAMQGSSSGFLFSPEQGQVHLMGLVPRQVDQSFYGISTSGPIVDQYQYSSVQMDEPLMQQVQARSNSFPGNQYAMFQDQVSLQDGSLVSGQGDQGKNVFGAAAGQGLNNGFLSENLQQTTILPKNAVMQESSGKQGQPGPSETSLRKSSIQADPPQAVATLDPTEEKILFGSDDSVWDMFGKSANMGSVLDGMDSSGAFPSLQSGSWSALMQSAVAETSSNDIGIQEEWSGLGMQHNEPPSGNMPSSIVNYGSKQESAWADNKFPPASTLNSKPSPMSQRFVKELTEDSSKRLDCSSLRKHVAESAEFLGNASHSPGMQVTANSISGHQQGLAIHSPHCQPQNEPNGWNSIDSASHSVGTTSKSQDIQRSLQPSQSSDQRGAMYKERGHSSGFDLTNIKSGNVNSGLGSLQVNGEASDLDNFAEITDKRTTRVTKESSQLPNSRNLHLWKSVDSQVNRGLSRVPVEYQQIQERSPENLDSSGNHCLDKGASGVNVSGDLNVKETSNDSFCSNLPQYTTTGGMRDNVWLDVNDLRGGKQKSSAHISHKPSVTRKFQYHPMGDLDVEIEPSYGTKSVAHSRATSQHVSQGLKGHDKGYSGQSQLTGHAGGEATEVEKGCFPGLKVDKIPSKSSNPGSAPDRSFGGFVPNKTSPTSQNILELFQKADPPKEHGTATRLSSSEHNQSSEMPDAETSNGSVDQFQHNRPIASQGFGLQLGPPSQKFTIPDRAISLQSFPQGVNSLNLVHGSSEIGRKGHTWLDTIASVQSSTHGASHGDRSNVSSVSGQTSNKASQNTMEASVSAGFTSYNPHSKSHLQSQHVSSVGSQGTPKEYVNAPFGVLASQMKRTDDSSVIVQASQGRKSAPCMPKTAPGENLASSEASWPSSSNKNNARDPGQHFPVLEASLPSTTSESSQGAFTKNMPNLHASVSAPQHLLGAQSALASQNLFKPNHQSNIKSEASHPGSKKLDDQIAQVVGSGRSEFPADCAKPQSFVGEKQPANDQQVLRENDASQNLAAMQRDIEAFGRSLRPNNAANQNNLLLHQVQALENVEIDPSYRSVKRFKGPDSGLDAQLVGSHGQEERSYGSGTVMRDAAVNFSSDPSGNSKMPSFSSNTGDDNERQLSSSDMLASYQNDSQDFPNANNPAAVLKGEHSQISPQMAPSWFDRYGMFKNGQMMPVYDARKIAMMKATEKIFTVGRPPDSLHPLHSSEQVNAAAAASQLDNAQQSSNLLPVASDHFSPHSQCPGVTNQSLVVVRAKKRKSMTFELLSWHREVTQGSQKPQNISVAEAEWAHAANRLIEKVEDEPETIEEWSPVLRTRRRLILTTQLMQQLLRAPPRVVFSTDASENQETVAYFVARSVLGDACCTSYVPESDTADPPDGGCILSEKLKEEKNESILKAAEEIIVRTKKLENYLQSLDKRASILDLRIECQDLEKVSVINRFAKFHSRGQGDGAETSSSYAIASSHKFFPQRYVTALPMPRNLPDRVQCHSL >KJB19404 pep chromosome:Graimondii2_0_v6:3:30926458:30933589:-1 gene:B456_003G100700 transcript:KJB19404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDRIHNFLGGESLSQGQHHSHVFDGTWSGLNNNQWVGSQRQVGGPLVSGLKNFSLHQLADADRGQSGQSSSLQHGLNFTQSGLRPEGVRSQSQNQSSNVNGYMQGHQAFQTRQNETNFLGVDTASRGPDLHKKNPMRLDAAESPVNYDFLGGQQQINGQHHGMIQPLPRQQAERTDMQLLQQHAMLKKMQELQRQQLPNSQFQQPEARQLSSVNQVSSVVKQGSDSVFLAPINGVPVHNASNYSWQTENVNVNVNANWLQDGATPAMQGSSSGFLFSPEQGQVHLMGLVPRQVDQSFYGISTSGPIVDQYQYSSVQMDEPLMQQVQARSNSFPGNQYAMFQDQVSLQDGSLVSGQGDQGKNVFGAAAGQGLNNGFLSENLQQTTILPKNAVMQESSGKQGQPGPSETSLRKSSIQADPPQAVATLDPTEEKILFGSDDSVWDMFGKSANMGSVLDGMDSSGAFPSLQSGSWSALMQSAVAETSSNDIGIQEEWSGLGMQHNEPPSGNMPSSIVNYGSKQESAWADNKFPPASTLNSKPSPMSQRFVKELTEDSSKRLDCSSLRKHVAESAEFLGNASHSPGMQVTANSISGHQQGLAIHSPHCQPQNEPNGWNSIDSASHSVGTTSKSQDIQRSLQPSQSSDQRGAMYKERGHSSGFDLTNIKSGNVNSGLGSLQVNGEASDLDNFAEITDKRTTRVTKESSQLPNSRNLHLWKSVDSQVNRGLSRVPVEYQQIQERSPENLDSSGNHCLDKGASGVNVSGDLNVKETSNDSFCSNLPQYTTTGGMRDNVWLDVNDLRGGKQKSSAHISHKPSVTRKFQYHPMGDLDVEIEPSYGTKSVAHSRATSQHVSQGLKGHDKGYSGQSQLTGHAGGEATEVEKGCFPGLKVDKIPSKSSNPGSAPDRSFGGFVPNKTSPTSQNILELFQKADPPKEHGTATRLSSSEHNQSSEMPDAETSNGSVDQFQHNRPIASQGFGLQLGPPSQKFTIPDRAISLQSFPQGVNSLNLVHGSSEIGRKGHTWLDTIASVQSSTHGASHGDRSNVSSVSGQTSNKASQNTMEASVSAGFTSYNPHSKSHLQSQHVSSVGSQGTPKEYVNAPFGVLASQMKRTDDSSVIVQASQGRKSAPCMPKTAPGENLASSEASWPSSSNKNNARDPGQHFPVLEASLPSTTSESSQGAFTKNMPNLHASVSAPQHLLGAQSALASQNLFKPNHQSNIKSEASHPGSKKLDDQIAQVVGSGRSEFPADCAKPQSFVGEKQPANDQQVLRENDASQNLAAMQRDIEAFGRSLRPNNAANQNNLLLHQVQALENVEIDPSYRSVKRFKGPDSGLDAQLVGSHGQEERSYGSGTVMRDAAVNFSSDPSGNSKMPSFSSNTGDDNERQLSSSDMLASYQNDSQDFPNANNPAAVLKGEHSQISPQMAPSWFDRYGMFKNGQMMPVYDARKIAMMKATEKIFTVGRPPDSLHPLHSSEQVNAAAAASQLDNAQQSSNLLPVASDHFSPHSQCPGVTNQSLVVVRAKKRKSMTFELLSWHREVTQGSQKPQNISVAEAEWAHAANRLIEKVEDEPETIEEWSPVLRTRRRLILTTQLMQQLLRAPPRVVFSTDASENQETVAYFVARSVLGDACCTSYVPESDTADPPDGGCILSEKLKEEKNESILKAAEEIIVRTKKLENYLQSLDKRASILDLRIECQDLEKVSVINRFAKFHSRGQGDGAETSSSYAIASSHKFFPQRYVTALPMPRNLPDRVQCHSL >KJB19402 pep chromosome:Graimondii2_0_v6:3:30925780:30936522:-1 gene:B456_003G100700 transcript:KJB19402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDRIHNFLGGESLSQGQHHSHVFDGTWSGLNNNQWVGSQRQVGGPLVSGLKNFSLHQLADADRGQSGQSSSLQHGLNFTQSGLRPEGVRSQSQNQSSNVNGYMQGHQAFQTRQNETNFLGVDTASRGPDLHKKNPMRLDAAESPVNYDFLGGQQQINGQHHGMIQPLPRQQAERTDMQLLQQHAMLKKMQELQRQQLPNSQFQQPEARQLSSVNQVSSVVKQGSDSVFLAPINGVPVHNASNYSWQTENVNVNVNANWLQDGATPAMQGSSSGFLFSPEQGQVHLMGLVPRQVDQSFYGISTSGPIVDQYQYSSVQMDEPLMQQVQARSNSFPGNQYAMFQDQVSLQDGSLVSGQGDQGKNVFGAAAGQGLNNGFLSENLQQTTILPKNAVMQESSGKQGQPGPSETSLRKSSIQADPPQAVATLDPTEEKILFGSDDSVWDMFGKSANMGSVLDGMDSSGAFPSLQSGSWSALMQSAVAETSSNDIGIQEEWSGLGMQHNEPPSGNMPSSIVNYGSKQESAWADNKFPPASTLNSKPSPMSQRFVKELTEDSSKRLDCSSLRKHVAESAEFLGNASHSPGMQVTANSISGHQQGLAIHSPHCQPQNEPNGWNSIDSASHSVGTTSKSQDIQRSLQPSQSSDQRGAMYKERGHSSGFDLTNIKSGNVNSGLGSLQVNGEASDLDNFAEITDKRTTRVTKESSQLPNSRNLHLWKSVDSQVNRGLSRVPVEYQQIQERSPENLDSSGNHCLDKGASGVNVSGDLNVKETSNDSFCSNLPQYTTTGGMRDNVWLDVNDLRGGKQKSSAHISHKPSVTRKFQYHPMGDLDVEIEPSYGTKSVAHSRATSQHVSQGLKGHDKGYSGQSQLTGHAGGEATEVEKGCFPGLKVDKIPSKSSNPGSAPDRSFGGFVPNKTSPTSQNILELFQKADPPKEHGTATRLSSSEHNQSSEMPDAETSNGSVDQFQHNRPIASQGFGLQLGPPSQKFTIPDRAISLQSFPQGVNSLNLVHGSSEIGRKGHTWLDTIASVQSSTHGASHGDRSNVSSVSGQTSNKASQNTMEASVSAGFTSYNPHSKSHLQSQHVSSVGSQGTPKEYVNAPFGVLASQMKRTDDSSVIVQASQGRKSAPCMPKTAPGENLASSEASWPSSSNKNNARDPGQHFPVLEASLPSTTSESSQGAFTKNMPNLHASVSAPQHLLGAQSALASQNLFKPNHQSNIKSEASHPGSKKLDDQIAQVVGSGRSEFPADCAKPQSFVGEKQPANDQQVLRENDASQNLAAMQRDIEAFGRSLRPNNAANQNNLLLHQVQALENVEIDPSYRSVKRFKGPDSGLDAQLVGSHGQEERSYGSGTVMRDAAVNFSSDPSGNSKMPSFSSNTGDDNERQLSSSDMLASYQNDSQDFPNANNPAAVLKGEHSQISPQMAPSWFDRYGMFKNGQMMPVYDARKIAMMKATEKIFTVGRPPDSLHPLHSSEQVNAAAAASQLDNAQQSSNLLPVASDHFSPHSQCPGVTNQSLVVVRAKKRKSMTFELLSWHREVTQGSQKPQNISVAEAEWAHAANRLIEKVEDEPETIEEWSPVLRTRRRLILTTQLMQQLLRAPPRVVFSTDASENQETVAYFVARSVLGDACCTSYVPESDTADPPDGGCILSEKLKEEKNESILKAAEEIIVRTKKLENYLQSLDKRASILDLRIECQDLEKVSVINRFAKFHSRGQGDGAETSSSYAIASSHKFFPQRYVTALPMPRNLPDRVQCHSL >KJB21023 pep chromosome:Graimondii2_0_v6:3:45005045:45008321:-1 gene:B456_003G178600 transcript:KJB21023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSTFLLCFSTVINLVFAEAKVYMVLMEDKPTFSLTTKQKYMSDKDYTVHKEQISSSHTIFLESHLQKGSYTKLYSYTHLLNGFAIHVTSKEVLSILRNTTGVRSVHEDVKIEKLTTHTPDFLGLPAHIWPRLGGVEGAGEGVVIGFIDTGINPNHPSFMTSSSSGFLNSTKFKGKCDTGELFPSTACNGKIIGAQYFAHGAIANGEFNATRDVSSPYDADGHGSHTASIAAGNHDIRVIVDGFDYGAASGMAPGARIAVYKALYTFGGYMSDVVAAVDQAVEDGVDILSLSAGPSSVPSGDSAFLDVLELQLLFATKAGVLVVQAAGNGGPSSSSVISFSPWITSVAASITDRKYNTTIVLGNGQSFTGTGLSPPTDGEIDYNIAAAADVCVRNATIITVDSCQNPEPFIRPLVYRRLIICTYTFEYEYEAASIATVADTMMEIGAAGFIITLDPNIGPEVVKGTMLTLQIPAIVLNDMQASSALWEYYNANTIRDKRGQAVAFAAKARILDGRQALYTGQAPVVASYSSRGPDVKNALMQTVDVLKPNVMAPGTSIWAAWSPDSEGDKYVKGQSFALISGTSMATPHIAGIAALIKQRHPKWGPDAITSAMMTTADRTGHSGAPILAESSNELIQATPFDFGAGSINVSQAMKPGLIFNVTFKHYVQFLCAVPGIDVKSVKKAVGVACPTKNKFKCSDLNLASVTISNLVGKRKVIRYIKNVSHKEKYTVHIKEPLGVNVTVVPESFRIKRRGSRRLRLVFEAIEATNAYTFGEMVLKGKKHIVRVPLAVYVGSASSS >KJB21255 pep chromosome:Graimondii2_0_v6:3:30424782:30427547:-1 gene:B456_003G098000 transcript:KJB21255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTGNLCIKEVQDYLKTLCPDLHITRGEYNEDTRYPETKTLTIGQFKLGLCHAMAIRQRSCSLFLKLLKDARRIYSFMHL >KJB21260 pep chromosome:Graimondii2_0_v6:3:30425385:30426930:-1 gene:B456_003G098000 transcript:KJB21260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTGNLCIKEVQDYLKTLCPDLHITRGEYNEDTRYPETKTLTIGQFKLGLCHAMAIRQRSCSLFLKLLKDARRIYSFMHL >KJB21259 pep chromosome:Graimondii2_0_v6:3:30424782:30427021:-1 gene:B456_003G098000 transcript:KJB21259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTGNLCIKEVQDYLKTLCPDLHITRGEYNEDTRYPETKTLTIGQFKLGLCHAMAIRQRSCSLFLKLLKDARRIYSFMHL >KJB21264 pep chromosome:Graimondii2_0_v6:3:30424782:30427547:-1 gene:B456_003G098000 transcript:KJB21264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTGNLCIKEVQDYLKTLCPDLHITRGEYNEDTRYPETKTLTIGQFKLGLCHAMAIRQRSCSLFLKLLKDARRIYSFMHL >KJB21254 pep chromosome:Graimondii2_0_v6:3:30425385:30426930:-1 gene:B456_003G098000 transcript:KJB21254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTGNLCIKEVQDYLKTLCPDLHITRGEYNEDTRYPETKTLTIGQFKLGLCHAMAIRQRSCSLFLKLLKDARRIYSFMHL >KJB21253 pep chromosome:Graimondii2_0_v6:3:30425385:30426930:-1 gene:B456_003G098000 transcript:KJB21253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTGNLCIKEVQDYLKTLCPDLHITRGEYNEDTRYPETKTLTIGQFKLGLCHAMAIRQRSCSLFLKLLKDARRIYSFMHL >KJB21261 pep chromosome:Graimondii2_0_v6:3:30424809:30427480:-1 gene:B456_003G098000 transcript:KJB21261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTGNLCIKEVQDYLKTLCPDLHITRGEYNEDTRYPETKTLTIGQFKLGLCHAMAIRQRSCSLFLKLLKDARRIYSFMHL >KJB21256 pep chromosome:Graimondii2_0_v6:3:30424805:30427546:-1 gene:B456_003G098000 transcript:KJB21256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTGNLCIKEVQDYLKTLCPDLHITRGEYNEDTRYPETKTLTIGQFKLGLCHAMAIRQRSCSLFLKLLKDARRIYSFMHL >KJB21262 pep chromosome:Graimondii2_0_v6:3:30425385:30426930:-1 gene:B456_003G098000 transcript:KJB21262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTGNLCIKEVQDYLKTLCPDLHITRGEYNEDTRYPETKTLTIGQFKLGLCHAMAIRQRSCSLFLKLLKDARRIYSFMHL >KJB21263 pep chromosome:Graimondii2_0_v6:3:30425385:30426930:-1 gene:B456_003G098000 transcript:KJB21263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTGNLCIKEVQDYLKTLCPDLHITRGEYNEDTRYPETKTLTIGQFKLGLCHAMAIRQRSCSLFLKLLKDARRIYSFMHL >KJB21257 pep chromosome:Graimondii2_0_v6:3:30424805:30427481:-1 gene:B456_003G098000 transcript:KJB21257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTGNLCIKEVQDYLKTLCPDLHITRGEYNEDTRYPETKTLTIGQFKLGLCHAMAIRQRSCSLFLKLLKDARRIYSFMHL >KJB21258 pep chromosome:Graimondii2_0_v6:3:30425385:30426930:-1 gene:B456_003G098000 transcript:KJB21258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTGNLCIKEVQDYLKTLCPDLHITRGEYNEDTRYPETKTLTIGQFKLGLCHAMAIRQRSCSLFLKLLKDARRIYSFMHL >KJB20734 pep chromosome:Graimondii2_0_v6:3:43056029:43057189:1 gene:B456_003G161900 transcript:KJB20734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENGKAIDAPATEDPPYLQPGSIVEVNPQDDDCPRAWYTAIIIERRATSTNHKRYVVHCTDLFQERNRETKPFMEYNSVDIRPLPPPLPPRKFKVGDIVEAYCGDGWYEGKIAIVLHDDTYIFQMSPMCLLVGVNQLRLHRTWFNGNWIQPLDESELAVEAEDSTEKVTEMDSNKGVILESEADNAEASKSGTGNILEGSGQITIEEEVFGEGQLVEVANDEDDFNQTWWSATIVKPVGNNRYLIRYETLGTEGDTGFLEKEMNSLHIRPPPPHIPVPHQFKMFDNVNALYKGGWCMGVIIQVLSGGSKYEVYLDNQEKMEFKHSDLRLHQDWINGKWTKPSPGVCISKPFCISV >KJB19277 pep chromosome:Graimondii2_0_v6:3:28129022:28135488:-1 gene:B456_003G092600 transcript:KJB19277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATADSVAKSGKIGGQVCQICSDNVGSNADGESFVACHICAFPVCRPCYEYERKDGTQSCPQCKTKYKRHKGSPAIGGEEVEDAVANNVANNLNQTSRTQGERYKKAERTLSWDTNYSRGSDMAPPIYDKEVPLNHIPFLTNGSSVSGELSAASPARTSMASPESGIRGKAGNIRLVDPAREFGSSGFGNVAWKERIDGWKIKPEKNAVPMSVSNAPSEGRGGGDFDASTDVVMDDSILNDEARQPLSRKVSIPSSRINPYRMVIVLRLVILSIFLHYRITNPVPNAYVLWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFALKIDYLKDKVQPDFVKERRAMKREYEEFKVRINGLVAKAQKVPDEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDSDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINSSKALREAMCFLMDPNLGKSVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGLFSSCFGGSQKKSSKSSKKDSSNKKSGKHVNLTVPINNLEDIEGVEGAGFDDENSHLMSQMTLEKRFGQSAVFVASTLMENGGVPQSATPESLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYSGRLKWLERFAYVNTTIYPITAIPLLAYCTLPAVCLLTGKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIINLVGVVAGVSYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRMPTIVVVWAILLASIFSLLWVRIDPFTTKVTGPDVEQCGINC >KJB19276 pep chromosome:Graimondii2_0_v6:3:28128710:28135947:-1 gene:B456_003G092600 transcript:KJB19276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATADSVAKSGKIGGQVCQICSDNVGSNADGESFVACHICAFPVCRPCYEYERKDGTQSCPQCKTKYKRHKGSPAIGGEEVEDAVANNVANNLNQTSRTQGERYKKAERTLSWDTNYSRGSDMAPPIYDKEVPLNHIPFLTNGSSVSGELSAASPARTSMASPESGIRGKGNIRLVDPAREFGSSGFGNVAWKERIDGWKIKPEKNAVPMSVSNAPSEGRGGGDFDASTDVVMDDSILNDEARQPLSRKVSIPSSRINPYRMVIVLRLVILSIFLHYRITNPVPNAYVLWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFALKIDYLKDKVQPDFVKERRAMKREYEEFKVRINGLVAKAQKVPDEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDSDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINSSKALREAMCFLMDPNLGKSVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGLFSSCFGGSQKKSSKSSKKDSSNKKSGKHVNLTVPINNLEDIEGVEGAGFDDENSHLMSQMTLEKRFGQSAVFVASTLMENGGVPQSATPESLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYSGRLKWLERFAYVNTTIYPITAIPLLAYCTLPAVCLLTGKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIINLVGVVAGVSYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRMPTIVVVWAILLASIFSLLWVRIDPFTTKVTGPDVEQCGINC >KJB19278 pep chromosome:Graimondii2_0_v6:3:28128732:28135488:-1 gene:B456_003G092600 transcript:KJB19278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATADSVAKSGKIGGQVCQICSDNVGSNADGESFVACHICAFPVCRPCYEYERKDGTQSCPQCKTKYKRHKGSPAIGGEEVEDAVANNVANNLNQTSRTQGERYKKAERTLSWDTNYSRGSDMAPPIYDKEVPLNHIPFLTNGSSVSGELSAASPARTSMASPESGIRGKGNIRLVDPAREFGSSGFGNVAWKERIDGWKIKPEKNAVPMSVSNAPSEGRGGGDFDASTDVVMDDSILNDEARQPLSRKVSIPSSRINPYRMVIVLRLVILSIFLHYRITNPVPNAYVLWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFALKIDYLKDKVQPDFVKERRAMKREYEEFKVRINGLVAKAQKVPDEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDSDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINSSKALREAMCFLMDPNLGKSVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGLFSSCFGGSQKKSSKSSKKDSSNKKSGKHVNLTVPINNLEDIEGVEGAGFDDENSHLMSQMTLEKRFGQSAVFVASTLMENGGVPQSATPESLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYSGRLKWLERFAYVNTTIYPITAIPLLAYCTLPAVCLLTGKFIIPQVSTMSISASWKKKFDCLVSADTNLSLTTL >KJB20431 pep chromosome:Graimondii2_0_v6:3:41550419:41552435:-1 gene:B456_003G148000 transcript:KJB20431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRPWGSSKKSPVRRIHDTSSPFSCSSFKDILHLCAEDYSPSSSSLPLSSAAKRTANVFHRVRIANSILRAWGETRPESNRKLLDSVSDRSVSVSIPDADKRIVVYYTSLRVVRSTFEDCKAVRSILRGFRVSIDERDLSMDSRFLDELKGILGKSKLSLPRVFIGGRYLGGAEEIKQLHETGELKKLMEGLSAAEPATCGVCGGYRFVLCDECNGSRKIYTEKSGFKICTDCNENGLISIKKQ >KJB21209 pep chromosome:Graimondii2_0_v6:3:44574776:44578348:-1 gene:B456_003G1744003 transcript:KJB21209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEISRCSYHVFLSFRGEDTRKSFTGHLYTALVHLGIQTFRDDEEIERGNNIKDEIEKAILHHSKISIVVFSKNYAASTWCLNELVMILEHKKSSKHIVLPVFYDVDPSQVKNQTGSYAEAFTQHEQNFKSETNMVQRWRNALKEVAAIGGMVLQDRHESQFIQDIVKEVQNKLHLISLYVPPYLVGIDSLVTQINQWLEQDGANKVGIATICGIGGIGKTTIAKVVYNQNIPRFEGYSFLADVRETSQDCNGLVRLQSQLLSDILKGKSHKIYNIDNGINKIKEIVCCRRVLLVLDDVDELEKTRKLMGTQIPFHPGSKIIITSRNRCLLNAHFISQMFDLEASTSCGGLSKLFEVKELASSESLQLSNWYAFGHNSVPESSMAYARSLVKHCGGLPLALQILGSSLSTKSVSSWKSALEKLEEIPDSKIQEILRISYDSLEDDHDKNLFLDIVSLFIGKDRDYTTTILDGCDFYTTIGIENLVGRSLLIINEKNKLMMHQMIRDMGREIIRQESSNFGKRSRLWHKNAFDKVFLMQGSRTVKCLTIDLKGLLEDKAERTNTTLHFPKHSKSQFLMANDVDMETQAFAKMKRLKLLQLDYVRLKGDFKDFPKRLRWLRWHGFCMQSFPVDFDINELVVLDMRNSKLKQVWKDTECLPNLKILNLNHSHSLLKTPSFSGLPSLEKLMLKDCINLVEVDQSI >KJB21208 pep chromosome:Graimondii2_0_v6:3:44574776:44578350:-1 gene:B456_003G1744003 transcript:KJB21208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEISRCSYHVFLSFRGEDTRKSFTGHLYTALVHLGIQTFRDDEEIERGNNIKDEIEKAILHHSKISIVVFSKNYAASTWCLNELVMILEHKKSSKHIVLPVFYDVDPSQVKNQTGSYAEAFTQHEQNFKSETNMVQRWRNALKEVAAIGGMVLQDRHESQFIQDIVKEVQNKLHLISLYVPPYLVGIDSLVTQINQWLEQDGANKVGIATICGIGGIGKTTIAKVVYNQNIPRFEGYSFLADVRETSQDCNGLVRLQSQLLSDILKGKSHKIYNIDNGINKIKEIVCCRRVLLVLDDVDELEKTRKLMGTQIPFHPGSKIIITSRNRCLLNAHFISQMFDLEASTSCGGLSKLFEVKELASSESLQLSNWYAFGHNSVPESSMAYARSLVKHCGGLPLALQILGSSLSTKSVSSWKSALEKLEEIPDSKIQEILRISYDSLEDDHDKNLFLDIVSLFIGKDRDYTTTILDGCDFYTTIGIENLVGRSLLIINEKNKLMMHQMIRDMGREIIRQESSNFGKRSRLWHKNAFDKVFLMQGSRTVKCLTIDLKGLLEDKAERTNTTLHFPKHSKSQFLMANDVDMETQAFAKMKRLKLLQLDYVRLKGDFKDFPKRLRWLRWHGFCMQSFPVDFDINELVVLDMRNSKLKQVWKDTECLPNLKILNLNHSHSLLKTPSFSGLPSLEKLMLKDCINLVEVDQSI >KJB17563 pep chromosome:Graimondii2_0_v6:3:311790:318843:-1 gene:B456_003G005700 transcript:KJB17563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIRHNENLRRQERNGSPAHIISEFAQLLQFHVATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDYVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVAELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPTILKPRPLWTGKQVFNLIIPKQINLMRTSAWHSESETGFITPGDTQVRIEKGEVLSGTLCKKALGSSAGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSMGIGDTIADAATMEKINETISKAKEDVKQLIIKAQNKDLEPEPGRTMMDSFENKVNQVLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFVDRTLPHFTKDDFGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKTEFDRVFRYKIDDENWNPTSYMLPEHIEDLRTIQELRDVFDAEVNKLEADRCQLGTEIAVTGDSNWPLPVNLKRLIWNAQKTFKVDFRRVSDLHPVEIVDSVDKLQERLKVVPGTDPLSVEAQKNATLFFCILLRSTLASKRVLEEYRLTKEAFEWVIGEIESRFLQSLVAAGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLSAEARKTKEKAKNVQCALEYTTLRSVTHATEVWYDPDPMSTLIEEDMDFVKSYYEMPDEEVSPDKISPWLLRIELNREMMVDKKLSMADIAEKINQEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELTDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHGKASKFDEADGYKTGEEWVLDTEGVNLLAVMCHEDVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGECALYLNDEMLKNAIELQLPSYMEGLEFGMTPARSPVSGTPYHEGMMSPSYLLSPNLRLSPISDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYGPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSHSPTSPSYSPSNPTYSPSSPYNSGGNPDYSPSSPQYSPSAGYSPSAPGYSPSSTGQYSPSNKDDRAAKDGKHDRS >KJB17566 pep chromosome:Graimondii2_0_v6:3:312078:320562:-1 gene:B456_003G005700 transcript:KJB17566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRFPFSPAEVAKVRMVQFGILSPDEIRQMSVVHIEHSETTERGKPKVGGLSDTRLGTIDRKMKCETCTANMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKVLADEEDHKFKQALKIKNPKNRLKKILDACKNKTKCEGGDEIDVQGQDTEEPIKKSRGGCGAQQPKLSIDGMKMIAEYKAQRKKNDDQEQLPEPVERKQTLTAERVLSVLKRISDEDCQLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHALAMIIRHNENLRRQERNGSPAHIISEFAQLLQFHVATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDYVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVAELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPTILKPRPLWTGKQVFNLIIPKQINLMRTSAWHSESETGFITPGDTQVRIEKGEVLSGTLCKKALGSSAGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSMGIGDTIADAATMEKINETISKAKEDVKQLIIKAQNKDLEPEPGRTMMDSFENKVNQVLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFVDRTLPHFTKDDFGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKTEFDRVFRYKIDDENWNPTSYMLPEHIEDLRTIQELRDVFDAEVNKLEADRCQLGTEIAVTGDSNWPLPVNLKRLIWNAQKTFKVDFRRVSDLHPVEIVDSVDKLQERLKVVPGTDPLSVEAQKNATLFFCILLRSTLASKRVLEEYRLTKEAFEWVIGEIESRFLQSLVAAGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLSAEARKTKEKAKNVQCALEYTTLRSVTHATEVWYDPDPMSTLIEEDMDFVKSYYEMPDEEVSPDKISPWLLRIELNREMMVDKKLSMADIAEKINQEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELTDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHGKASKFDEADGYKTGEEWVLDTEGVNLLAVMCHEDVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGECALYLNDEMLKNAIELQLPSYMEGLEFGMTPARSPVSGTPYHEGMMSPSYLLSPNLRLSPISDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYGPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSHSPTSPSYSPSNPTYSPSSPYNSGGNPDYSPSSPQYSPSAGYSPSAPGYSPSSTGQYSPSNKDDRAAKDGKHDRS >KJB17564 pep chromosome:Graimondii2_0_v6:3:312497:320293:-1 gene:B456_003G005700 transcript:KJB17564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRFPFSPAEVAKVRMVQFGILSPDEIRQMSVVHIEHSETTERGKPKVGGLSDTRLGTIDRKMKCETCTANMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKVLADEEDHKFKQALKIKNPKNRLKKILDACKNKTKCEGGDEIDVQGQDTEEPIKKSRGGCGAQQPKLSIDGMKMIAEYKAQRKKNDDQEQLPEPVERKQTLTAERVLSVLKRISDEDCQLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHALAMIIRHNENLRRQERNGSPAHIISEFAQLLQFHVATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDYVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVAELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPTILKPRPLWTGKQVFNLIIPKQINLMRTSAWHSESETGFITPGDTQVRIEKGEVLSGTLCKKALGSSAGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSMGIGDTIADAATMEKINETISKAKEDVKQLIIKAQNKDLEPEPGRTMMDSFENKVNQVLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFVDRTLPHFTKDDFGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKTEFDRVFRYKIDDENWNPTSYMLPEHIEDLRTIQELRDVFDAEVNKLEADRCQLGTEIAVTGDSNWPLPVNLKRLIWNAQKTFKVDFRRVSDLHPVEIVDSVDKLQERLKVVPGTDPLSVEAQKNATLFFCILLRSTLASKRVLEEYRLTKEAFEWVIGEIESRFLQSLVAAGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLSAEARKTKEKAKNVQCALEYTTLRSVTHATEVWYDPDPMSTLIEEDMDFVKSYYEMPDEEVSPDKISPWLLRIELNREMMVDKKLSMADIAEKINQEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELTDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHGKASKFDEADGYKTGEEWVLDTEGVNLLAVMCHEDVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGECALYLNDEMLKNAIELQLPSYMEGLEFGMTPARSPVSGTPYHEGMMSPSYLLSPNLRLSPISDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYGPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSHSPTSPSYSPSNPTYSPSSPYNSGGNPDYSPSSPQYSPSAGYSPSAPGYSPSSTGQYSPSNKDDRAAKDGKHDRS >KJB17567 pep chromosome:Graimondii2_0_v6:3:311790:320447:-1 gene:B456_003G005700 transcript:KJB17567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRFPFSPAEVAKVRMVQFGILSPDEIRQMSVVHIEHSETTERGKPKVGGLSDTRLGTIDRKMKCETCTANMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKVLADEEDHKFKQALKIKNPKNRLKKILDACKNKTKCEGGDEIDVQGQDTEEPIKKSRGGCGAQQPKLSIDGMKMIAEYKAQRKKNDDQEQLPEPVERKQTLTAERVLSVLKRISDEDCQLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHALAMIIRHNENLRRQERNGSPAHIISEFAQLLQFHVATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDYVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVAELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPTILKPRPLWTGKQVFNLIIPKQINLMRTSAWHSESETGFITPGDTQVRIEKGEVLSGTLCKKALGSSAGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSMGIGDTIADAATMEKINETISKAKEDVKQLIIKAQNKDLEPEPGRTMMDSFENKVNQVLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFVDRTLPHFTKDDFGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKTEFDRVFRYKIDDENWNPTSYMLPEHIEDLRTIQELRDVFDAEVNKLEADRCQLGTEIAVTGDSNWPLPVNLKRLIWNAQKTFKVDFRRVSDLHPVEIVDSVDKLQERLKVVPGTDPLSVEAQKNATLFFCILLRSTLASKRVLEEYRLTKEAFEWVIGEIESRFLQSLVAAGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLSAEARKTKEKAKNVQCALEYTTLRSVTHATEVWYDPDPMSTLIEEDMDFVKSYYEMPDEEVSPDKISPWLLRIELNREMMVDKKLSMADIAEKINQEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELTDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHGKASKFDEADGYKTGEEWVLDTEGVNLLAVMCHEDVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGECALYLNDEMLKNAIELQLPSYMEGLEFGMTPARSPVSGTPYHEGMMSPSYLLSPNLRLSPISDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYGPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSHSPTSPSYSPSNPTYSPSSPYNSGGNPDYSPSSPQYSPSAGYSPSAPGYSPSSTGQYSPSNKDDRAAKDGKHDRS >KJB17565 pep chromosome:Graimondii2_0_v6:3:312505:320293:-1 gene:B456_003G005700 transcript:KJB17565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRFPFSPAEVAKVRMVQFGILSPDEIRQMSVVHIEHSETTERGKPKVGGLSDTRLGTIDRKMKCETCTANMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKVLADEEDHKFKQALKIKNPKNRLKKILDACKNKTKCEGGDEIDVQGQDTEEPIKKSRGGCGAQQPKLSIDGMKMIAEYKAQRKKNDDQEQLPEPVERKQTLTAERVLSVLKRISDEDCQLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHALAMIIRHNENLRRQERNGSPAHIISEFAQLLQFHVATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDYVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVAELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPTILKPRPLWTGKQVFNLIIPKQINLMRTSAWHSESETGFITPGDTQVRIEKGEVLSGTLCKKALGSSAGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSMGIGDTIADAATMEKINETISKAKEDVKQLIIKAQNKDLEPEPGRTMMDSFENKVNQVLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFVDRTLPHFTKDDFGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKTEFDRVFRYKIDDENWNPTSYMLPEHIEDLRTIQELRDVFDAEVNKLEADRCQLGTEIAVTGDSNWPLPVNLKRLIWNAQKTFKVDFRRVSDLHPVEIVDSVDKLQERLKVVPGTDPLSVEAQKNATLFFCILLRSTLASKRVLEEYRLTKEAFEWVIGEIESRFLQSLVAAGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLSAEARKTKEKAKNVQCALEYTTLRSVTHATEVWYDPDPMSTLIEEDMDFVKSYYEMPDEEVSPDKISPWLLRIELNREMMVDKKLSMADIAEKINQEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELTDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHGKASKFDEADGYKTGEEWVLDTEGVNLLAVMCHEDVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGECALYLNDEMLKNAIELQLPSYMEGLEFGMTPARSPVSGTPYHEGMMSPSYLLSPNLRLSPISDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYGPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSHSPTSPSYSPSNPTYSPSSPYNSGGNPDYSPSSPQYSPSAGYSPSAPGYSPSSTGQYSPSNKDDRAAKDGKHDRS >KJB21026 pep chromosome:Graimondii2_0_v6:3:45033751:45037067:1 gene:B456_003G178900 transcript:KJB21026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGERAAGGSKGEVGSVDRKRINEALDKQLERSSPSTSRAINGKDKSLQSLQMGKHPPDHYRDSCSASLPKPNASGDESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHDMFTEEQNELVESAAEMLYGLIHSRYILTGKGIAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPRCEDIFYPRSKYQGNTDGAYFGTTFPNLFLMTYQHLKPQKASQSYVPRVFGFKIHKP >KJB21025 pep chromosome:Graimondii2_0_v6:3:45033675:45037073:1 gene:B456_003G178900 transcript:KJB21025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGERAAGGSKGEVGSVDRKRINEALDKQLERSSPSTSRAINGKDKSLQSLQMGKHPPDHYRDSCSASLPKPNASGDESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHSRYILTGKGIAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPRCEDIFYPRSKYQGNTDGAYFGTTFPNLFLMTYQHLKPQKASQSYVPRVFGFKIHKP >KJB20246 pep chromosome:Graimondii2_0_v6:3:40361151:40362500:-1 gene:B456_003G140500 transcript:KJB20246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTKKNWPKLPLGIRLLIAAHSMSVDAFSRNDGSAVNRRLMNLFDPKASPSRKPDNGVVSSDIVVDTTRKLWFRLYSNTTTAGKMFVPIVVYFHGGGFAYMAANSMSYDDLCKRLAREIPAVVVSVNYRLSPEHRYPSQYEDGFDVLKFIDNPDFEGFSAFGNTDTSSSKAFFIAGDSAGGNLAHHVALKACQHQFSRLKLRGVIALQPFFGGEERTESELKLAGAPLISVKRTDWMWKAFLPHGYNRDHQVVLILYKIGRENMWRD >KJB20247 pep chromosome:Graimondii2_0_v6:3:40361151:40364233:-1 gene:B456_003G140500 transcript:KJB20247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFDPKASPSRKPDNGVVSSDIVVDTTRKLWFRLYSNTTTAGKMFVPIVVYFHGGGFAYMAANSMSYDDLCKRLAREIPAVVVSVNYRLSPEHRYPSQYEDGFDVLKFIDNPDFEGFSAFGNTDTSSSKAFFIAGDSAGGNLAHHVALKACQHQFSRLKLRGVIALQPFFGGEERTESELKLAGAPLISVKRTDWMWKAFLPHGYNRDHQVVLILYKIGRENMWRD >KJB21173 pep chromosome:Graimondii2_0_v6:3:45663320:45670614:-1 gene:B456_003G186200 transcript:KJB21173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLFPRFSVGKAGHRRSQSALVEGEVVPPIPDVATVAAATAAPTTAHGIEVAVEFKPVEHPIEPLDNDQPIQCPLPEPSILNDGRIWKERVSASVRRRADLPVMQDGGTLQSQSESEATVGTKPRPTSNLESGSMAALQYLESLRNEHPELADWYSSLADLYQKKLWHQLTLKLEQFVALTVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYSDKEASTSYLEGVIEKLQATKEQRIEEPIIYIKMQIAKFKLEQGDRKECKKLLDDGKSTLDSMTDIDPSVYASYYWVSSQYHKFRQEFADFYRSALLYLAYTSVASLSESFKLDLAFDLSLSALLGDDIYNFGELLAHPIITSLLGTRVEWLYHILQAFNSGDLARYQELCHVHNAALRAQPALVENEKKLSEKINILCLMEIIFSRPSEDRTIPLKVIAERTKLSIEDVEHLLMKSLSVHLIEGTIDQVEGTVHVSWVQPRVLGIPQIKSLRDRLDNWVGKVQTAWLSIEAETPDLVAA >KJB21170 pep chromosome:Graimondii2_0_v6:3:45663277:45667678:-1 gene:B456_003G186200 transcript:KJB21170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLESLRNEHPELADWYSSLADLYQKKLWHQLTLKLEQFVALTVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYSDKEASTSYLEGVIEKLQATKEQRIEEPIIYIKMQIAKFKLEQGDRKECKKLLDDGKSTLDSMTDIDPSVYASYYWVSSQYHKFRQEFADFYRSALLYLAYTSVASLSESFKLDLAFDLSLSALLGDDIYNFGELLAHPIITSLLGTRVEWLYHILQAFNSGDLARYQELCHVHNAALRAQPALVENEKKLSEKINILCLMEIIFSRPSEDRTIPLKVIAERTKLSIEDVEHLLMKSLSVHLIEGTIDQVEGTVHVSWVQPRVLGIPQIKSLRDRLDNWVGKVQTAWLSIEAETPDLVAA >KJB21174 pep chromosome:Graimondii2_0_v6:3:45665896:45670614:-1 gene:B456_003G186200 transcript:KJB21174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLFPRFSVGKAGHRRSQSALVEGEVVPPIPDVATVAAATAAPTTAHGIEVAVEFKPVEHPIEPLDNDQPIQCPLPEPSILNDGRIWKERVSASVRRRADLPVMQDGGTLQSQSESEATVGTKPRPTSNLESGSMAALQYLESLRNEHPELADWYSSLADLYQKKLWHQLTLKLEQFVALTVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYSDKEASTSYLEGVIEKLQATKEQRIEEPIIYIKMQIAKFKLEQGDRKECKKLLDDGKSTLDSMTDIDPSVYASYYWVSSQYHKFRQEFADFYRSALLYLAYTSVASLSESFKLVRFYGFMCSLAGKLYFLVILLELVSFTY >KJB21171 pep chromosome:Graimondii2_0_v6:3:45663320:45670614:-1 gene:B456_003G186200 transcript:KJB21171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLFPRFSVGKAGHRRSQSALVEGEVVPPIPDVATVAAATAAPTTAHGIEVAVEFKPVEHPIEPLDNDQPIQCPLPEPSILNDGRIWKERVSASVRRRADLPVMQDGGTLQSQSESEATVGTKPRPTSNLESGSMAALQYLESLRNEHPELADWYSSLADLYQKKLWHQLTLKLEQFVALTVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYSDKEASTSYLEGVIEKLQATKEQRIEEPIIYIKMQIAKFKLEQGDRKECKKLLDDGKSTLDSMTDIDPSVYASYYWVSSQYHKFRQEFADFYRSALLYLAYTSVASLSESFKLDLAFDLSLSALLGDDIYNFGELLAHPIITSLLGTRVEWLYHILQAFNSGDLARYQELCHVHNAALRAQPALVENEKKLSEKINILCLMEIIFRSAHPKTEPYL >KJB21172 pep chromosome:Graimondii2_0_v6:3:45663971:45670255:-1 gene:B456_003G186200 transcript:KJB21172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLFPRFSVGKAGHRRSQSALVEGEVVPPIPDVATVAAATAAPTTAHGIEVAVEFKPVEHPIEPLDNDQPIQCPLPEPSILNDGRIWKERVSASVRRRADLPVMQDGGTLQSQSESEATVGTKPRPTSNLESGSMAALQYLESLRNEHPELADWYSSLADLYQKKLWHQLTLKLEQFVALTVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYSDKEASTSYLEGVIEKLQATKEQRIEEPIIYIKMQIAKFKLEQGDRKECKKLLDDGKSTLDSMTDIDPSVYASYYWVSSQYHKFRQEFADFYRSALLYLAYTSVASLSESFKLDLAFDLSLSALLGDDIYNFGELLAHPIITSLLGTRVEWLYHILQAFNSGDLARYQELCHVHNAALRAQPALVENEKKLSEKINILCLMEIIFSRPSEDRTIPLKVIAERTKLSIEDVEHLLMKSLSVSCIFVQVQSLHFLLI >KJB17663 pep chromosome:Graimondii2_0_v6:3:636123:638478:1 gene:B456_003G010200 transcript:KJB17663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVWALLASIALIRTTLYNYLPEKLRLYISARFEEWTRRFNTDETMVFKDYQSSKMNQLFQAANLYLGESLPTISIPRVTVEKTENVRNLTFSMEKNTEMIDVYENIPMKWKYFSDYSQGMSKHEIRWYELSFHKEYKSLVTKCYLPYILERANKIKERNRVVQLHTAAHDFWTPKPVIIQHPMTFETLAMDGNLKKELVEDLNRFMNSKEYYQQIGKVWKRGYLLYGPPGTGKSSLIAAMANHLNFDIYNLNLSAVSSDFVLQNLLLSTANRSILVIEDIDCSIKFQNRESGIEQPIKYQQQNKVTLSGLLNFFDGILSCCGEGKILVATTNYKDRIDPALLRAGRMDMHIYLTYCTFSAFKQLALRYLEISDHSLFHHIQKLLPKVKVSPAEVAGELMKTRDPKASIEGLIKHLEEKLIADGNSEVSPKHHSSLSQTSNYQVHESSISLPLACNGASMDNLKSSGPKPDVSVKSKLTADGEGDKNFEKTDSVRDRSSGHNILQVIEDMEKELLSYKEAIIALQKKSCGTLNKLAEIKATI >KJB17664 pep chromosome:Graimondii2_0_v6:3:636320:638461:1 gene:B456_003G010200 transcript:KJB17664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVWALLASIALIRTTLYNYLPEKLRLYISARFEEWTRRFNTDETMVFKDYQSSKMNQLFQAANLYLGESLPTISIPRVTVEKTENVRNLTFSMEKNTEMIDVYENIPMKWKYFSDYSQGMSKHEIRWYELSFHKEYKSLVTKCYLPYILERANKIKERNRVVQLHTAAHDFWTPKPVIIQHPMTFETLAMDGNLKKELVEDLNRFMNSKEYYQQIGKVWKRGYLLYGPPGTGKSSLIAAMANHLNFDIYNLNLSAVSSDFVLQNLLLSTANRSILVIEDIDCSIKFQNRESGIEQPIKYQQQNKVTLSGLLNFFDGILSCCGEGKILVATTNYKDRIDPALLRAGRMDMHIYLTYCTFSAFKQLALRYLEISDHSLFHHIQKLLPKVKVSPAEVAGELMKTRDPKASIEGLIKHLEEKMATLKLVPSTIHLFLRHQITKSMNLQYHFLWHVMELVWTI >KJB17666 pep chromosome:Graimondii2_0_v6:3:636340:638461:1 gene:B456_003G010200 transcript:KJB17666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVWALLASIALIRTTLYNYLPEKLRLYISARFEEWTRRFNTDETMVFKDYQSSKMNQLFQAANLYLGESLPTISIPRVTVEKTENVRNLTFSMEKNTEMIDVYENIPMKWKYFSDYSQGMSKHEIRWYELSFHKEYKSLVTKCYLPYILERANKIKERNRVVQLHTAAHDFWTPKPVIIQHPMTFETLAMDGNLKKELVEDLNRFMNSKEYYQQIGKVWKRGYLLYGPPGTGKSSLIAAMANHLNFDIYNLNLSAVSSDFVLQNLLLSTANRSILVIEDIDCSIKFQNRESGIEQPIKYQQQNKVTLSGLLNFFDGILSCCGEGKILVATTNYKDRIDPALLRAGRMDMHIYLTYCTFSAFKQLALRYLEISDHSLFHHIQKLLPKVKVSPAEVAGELMKTRDPKASIEGLIKHLEEKVNVFDCRWQL >KJB17665 pep chromosome:Graimondii2_0_v6:3:636340:638461:1 gene:B456_003G010200 transcript:KJB17665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVWALLASIALIRTTLYNYLPEKLRLYISARFEEWTRRFNTDETMVFKDYQSSKMNQLFQAANLYLGESLPTISIPRVTVEKTENVRNLTFSMEKNTEMIDVYENIPMKWKYFSDYSQGMSKHEIRWYELSFHKEYKSLVTKCYLPYILERANKIKERNRVVQLHTAAHDFWTPKPVIIQHPMTFETLAMDGNLKKELVEDLNRFMNSKEYYQQIGKVWKRGYLLYGPPGTGKSSLIAAMANHLNFDIYNLNLSAVSSDFVLQNLLLSTANRSILVIEDIDCSIKFQNRESGIEQPIKYQQQNKVSLSCKLS >KJB20615 pep chromosome:Graimondii2_0_v6:3:42583380:42584504:1 gene:B456_003G156300 transcript:KJB20615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGNAILTLTDIGLKVGVEIEFDVKEELSLYLNENVRANTLSKCHCGVSKQNDAKMNAKTKKDDGPVRIQLVILPLSRKRGLRCSVKPASRSTLYFRNHEAQSLHSSPLAQLSTWNGQARAIEF >KJB18907 pep chromosome:Graimondii2_0_v6:3:17822629:17826239:1 gene:B456_003G074600 transcript:KJB18907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWRASGSLVALAIVLSGCFFAISIAKEEAAKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDKGRLSQEEIERMVREAEEFAEEDKKVKERIDARNSLETYIYNMKNQINDKDKLADKLESDEKEKVETAVKEALEWLDDNQSAEKEDYEEKLKEVEAVCNPIITAVYQRSGGAPGGGSTEEEDDSHDEL >KJB18912 pep chromosome:Graimondii2_0_v6:3:17822783:17824859:1 gene:B456_003G074600 transcript:KJB18912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWRASGSLVALAIVLSGCFFAISIAKEEAAKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKGK >KJB18909 pep chromosome:Graimondii2_0_v6:3:17822650:17826239:1 gene:B456_003G074600 transcript:KJB18909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWRASGSLVALAIVLSGCFFAISIAKEEAAKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKGKYSSP >KJB18910 pep chromosome:Graimondii2_0_v6:3:17822650:17826239:1 gene:B456_003G074600 transcript:KJB18910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWRASGSLVALAIVLSGCFFAISIAKEEAAKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVHLDMLAYM >KJB18916 pep chromosome:Graimondii2_0_v6:3:17822783:17824859:1 gene:B456_003G074600 transcript:KJB18916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWRASGSLVALAIVLSGCFFAISIAKEEAAKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKGK >KJB18908 pep chromosome:Graimondii2_0_v6:3:17822783:17824859:1 gene:B456_003G074600 transcript:KJB18908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWRASGSLVALAIVLSGCFFAISIAKEEAAKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKGK >KJB18911 pep chromosome:Graimondii2_0_v6:3:17822650:17826239:1 gene:B456_003G074600 transcript:KJB18911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWRASGSLVALAIVLSGCFFAISIAKEEAAKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVHLDMLAYMSLKVKGVSQKIVGCWENSILLEFLQPQEEPLKLKSHLKSMQMAS >KJB18915 pep chromosome:Graimondii2_0_v6:3:17822650:17826239:1 gene:B456_003G074600 transcript:KJB18915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWRASGSLVALAIVLSGCFFAISIAKEEAAKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKNHI >KJB18917 pep chromosome:Graimondii2_0_v6:3:17822650:17826239:1 gene:B456_003G074600 transcript:KJB18917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDKGRLSQEEIERMVREAEEFAEEDKKVKERIDARNSLETYIYNMKNQINDKDKLADKLESDEKEKVETAVKEALEWLDDNQSAEKEDYEEKLKEVEAVCNPIITAVYQRSGGAPGGGSTEEEDDSHDEL >KJB18913 pep chromosome:Graimondii2_0_v6:3:17822650:17826239:1 gene:B456_003G074600 transcript:KJB18913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWRASGSLVALAIVLSGCFFAISIAKEEAAKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLTGIPPAPRNPSN >KJB18914 pep chromosome:Graimondii2_0_v6:3:17822783:17824859:1 gene:B456_003G074600 transcript:KJB18914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWRASGSLVALAIVLSGCFFAISIAKEEAAKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKGK >KJB20514 pep chromosome:Graimondii2_0_v6:3:42095049:42102299:-1 gene:B456_003G152700 transcript:KJB20514 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription repressor VAL1 [Source:Projected from Arabidopsis thaliana (AT2G30470) UniProtKB/Swiss-Prot;Acc:Q8W4L5] MGSKICMNSSCGAATSNEWKKGWPLRSGGFAHLCYPCGSAYEDGVYCDTFHLEESGWRECRICGNHLHCGCIASNYLLELLDYGGVGCISCAKSSRLHTVKRIQTHGDEIPEGVGAVPMNNAGSSAVEGKAVSDHVDERTLAPLCKSMEANECNLLPQSQRGDANASLGQHRGEEVICPTEKVGAGFSNATQPYVRPANFAKLDNARSALDVRDIQDSLPQPYLSMSLGGSSANPNFLLPFSSGLADGKEPSKTWSSFQQGQQSRRILPKPSKNGLTTSSELNKGMIPQARITRPPVEGRGKNHLLPRYWPRITDQELQKLSGDLKSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQSEGLPLRIQDVNGKEWTFQFRFWPNNNSRMYVLEGVTPCIQSMQLRAGDTVTFSRIDPGGKLVMGFRKAANSDTQTPKGGKDLLGNALSEHFGLPDGNISRSRDEDHGVRANENSVHQLAMNAEKKKTRNIGSKSKRLLMHSVDALELRLTWEEAQDLLRPPPSVRPSIVTIEDHEFEEYDEPPVFGKRTIFGAWPSGEQEQWAQCDDCSKWRRLPVDVLLPSKWKCSDNVWDSSRCACSAPEETGPKELENILKVGTDLKKAKVQESPKVAPEPEPTGLDALASAAVLGDKMGDVGESSVGATTKHPRHRPGCTCIVCIQPPSGKGKHKANCTCNVCLTVKRRFKTLMLRKKKRQSEREAEISERDNKKQMDETELKDTRNDHSENEGSRSRIEGEVAEASTGEIDLNCHPIREDVQLEGGLNMMSFVEATSLPVENYIKQNGIESLKTEQQQQGSLGSHFQSKVNRENERPLSDEEFLASVGWEHVGHKEPCLERNSLQ >KJB20513 pep chromosome:Graimondii2_0_v6:3:42095007:42101735:-1 gene:B456_003G152700 transcript:KJB20513 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription repressor VAL1 [Source:Projected from Arabidopsis thaliana (AT2G30470) UniProtKB/Swiss-Prot;Acc:Q8W4L5] MTLKGDLILIFDFDLSSLCWMGSKICMNSSCGAATSNEWKKGWPLRSGGFAHLCYPCGSAYEDGVYCDTFHLEESGWRECRICGNHLHCGCIASNYLLELLDYGGVGCISCAKSSRLHTVKRIQTHGDEIPEGVGAVPMNNAGSSAVEGKAVSDHVDERTLAPLCKSMEANECNLLPQSQRGDANASLGQHRGEEVICPTEKVGAGFSNATQPYVRPANFAKLDNARSALDVRDIQDSLPQPYLSMSLGGSSANPNFLLPFSSGLADGKEPSKTWSSFQQGQQSRRILPKPSKNGLTTSSELNKGMIPQARITRPPVEGRGKNHLLPRYWPRITDQELQKLSGDLKSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQSEGLPLRIQDVNGKEWTFQFRFWPNNNSRMYVLEGVTPCIQSMQLRAGDTVTFSRIDPGGKLVMGFRKAANSDTQTPKGGKDLLGNALSEHFGLPDGNISRSRDEDHGVRANENSVHQLAMNAEKKKTRNIGSKSKRLLMHSVDALELRLTWEEAQDLLRPPPSVRPSIVTIEDHEFEEYDEPPVFGKRTIFGAWPSGEQEQWAQCDDCSKWRRLPVDVLLPSKWKCSDNVWDSSRCACSAPEETGPKELENILKVGTDLKKAKVQESPKVAPEPEPTGLDALASAAVLGDKMGDVGESSVGATTKHPRHRPGCTCIVCIQPPSGKGKHKANCTCNVCLTVKRRFKTLMLRKKKRQSEREAEISERDNKKQMDETELKDTRNDHSENEGSRSRIEGEVAEASTGEIDLNCHPIREDVQLEGGLNMMSFVEATSLPVENYIKQNGIESLKTEQQQQGSLGSHFQSKVNRENERPLSDEEFLASVGWEHVGHKEPCLERNSLQ >KJB21197 pep chromosome:Graimondii2_0_v6:3:45743374:45746212:1 gene:B456_003G187200 transcript:KJB21197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQELPDNGNKGFRYMLLRIAFALLFPIFAVFSLSFLVGLLAIFMGELSISNPISIPTQCKIVSSSVDIRSSKVCELGLLNYKAKHVFYPFERSKFRCRYDYYWTSVFKVEYRDHSLGQTQLAFTEAPNEALPLSCRPNFGAAWLTKDKFKVNETYDCWYILGISTVKLYDDGFFSCQAKHPSSIEMIKRYLIISTKILRSWFLGEGRARYWRWETIAGVITGFSTSIITISFVRILQHMKSLFPEAINTAPIKRVCFLVVYFSVICWLASQYWKRLNIPLIRVYKYYY >KJB21196 pep chromosome:Graimondii2_0_v6:3:45743374:45746212:1 gene:B456_003G187200 transcript:KJB21196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQELPDNGNKGFRYMLLRIAFALLFPIFAVFSLSFLVGLLAIFMGELSISNPISIPTQCKIVSSSVDIRSSKVCELGLLNYKAKHVFYPFERSKFRCRYDYYWTSVFKVEYRDHSLGQTQLAFTEAPNEALPLSCRPNFGAAWLTKDKFKVNETYDCWYILGISTVKLYDDGFFSCQAKHPSSIEMIKRYLIIAYSGTNSSRALSEVWGREILIRSTKILRSWFLGEGRARYWRWETIAGVITGFSTSIITISFVRILQHMKSLFPEAINTAPIKRVCFLVVYFSVICWLASQYWKRLNIPLIRVYKYYY >KJB21198 pep chromosome:Graimondii2_0_v6:3:45743744:45745127:1 gene:B456_003G187200 transcript:KJB21198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQELPDNGNKGFRYMLLRIAFALLFPIFAVFSLSFLVGLLAIFMGELSISNPISIPTQCKIVSSSVDIRSSKVCELGLLNYKAKHVFYPFERSKFRCRYDYYWTSVFKVEYRDHSLGQTQLAFTEAPNEALPLSCRPNFGAAWLTKDKFKVNETYDCWYILGISTVKLYDDGFFSCQAKHPSSIEMIKRYLIIAEVITLFWM >KJB21199 pep chromosome:Graimondii2_0_v6:3:45743513:45746114:1 gene:B456_003G187200 transcript:KJB21199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQELPDNGVDIRSSKVCELGLLNYKAKHVFYPFERSKFRCRYDYYWTSVFKVEYRDHSLGQTQLAFTEAPNEALPLSCRPNFGAAWLTKDKFKVNETYDCWYILGISTVKLYDDGFFSCQAKHPSSIEMIKRYLIISTKILRSWFLGEGRARYWRWETIAGVITGFSTSIITISFVRILQHMKSLFPEAINTAPIKRVCFLVVYFSVICWLASQYWKRLNIPLIRVYKYYY >KJB18819 pep chromosome:Graimondii2_0_v6:3:16845795:16848510:-1 gene:B456_003G071900 transcript:KJB18819 gene_biotype:protein_coding transcript_biotype:protein_coding description:TORTIFOLIA1-like protein 3 [Source:Projected from Arabidopsis thaliana (AT5G62580) UniProtKB/Swiss-Prot;Acc:Q6NPR6] MAQPFKLKVNTLLNKLGDRDTFSLAAAELDSIARNLDATSLPTFISCILAVDSSDKSGVRKQCVKLISVLAVTYPNSLPHFLPKILSSLLRRLRDPNSVVRSACVDAVSALSVNLTKCNFSSSFLKPLSDALFTEQEPNAQIGAALCLAAAIDGSPDPDPVRLGKMLTKLEKLVKVEGYKAKAAVLVVIGSVIGSGGASNLGDEMMKALVGCLIGFLSSHDWAARKGAAEALGRLAVVETDSLAALKAGCMKVFEARRFDKVKAAREVMSQMLEAWKQVPDVSEEASPPPRSQASSRENASDGCRRPPGAKISSNADIAVKKPTSTKRSTPPDSSFATPGRKRSPLKATTQETNGKKPQDWRVDIASPLAATVPGARENGFKERRNNENARSAKSEIRRSLFSNKNSDDKTHKISGSKSGSRVAPCQEEIPESTVVVSNASENLSSNHKDCEDLSLIRTQLVQIEKQQSSLLDLLQRFICSSQNGMNSLETRVHGLELALDEISYDLAVSTGRMSTSSRTTCCLLPAAGFLRSKFWRKKGMNTNGTSRFSTSSCTPSAAATHYRAYRNCNETFENHRLRLQGGGGFITNPLAEIR >KJB18817 pep chromosome:Graimondii2_0_v6:3:16844898:16848732:-1 gene:B456_003G071900 transcript:KJB18817 gene_biotype:protein_coding transcript_biotype:protein_coding description:TORTIFOLIA1-like protein 3 [Source:Projected from Arabidopsis thaliana (AT5G62580) UniProtKB/Swiss-Prot;Acc:Q6NPR6] MAQPFKLKVNTLLNKLGDRDTFSLAAAELDSIARNLDATSLPTFISCILAVDSSDKSGVRKQCVKLISVLAVTYPNSLPHFLPKILSSLLRRLRDPNSVVRSACVDAVSALSVNLTKCNFSSSFLKPLSDALFTEQEPNAQIGAALCLAAAIDGSPDPDPVRLGKMLTKLEKLVKVEGYKAKAAVLVVIGSVIGSGGASNLGDEMMKALVGCLIGFLSSHDWAARKGAAEALGRLAVVETDSLAALKAGCMKVFEARRFDKVKAAREVMSQMLEAWKQVPDVSEEASPPPRSQASSRENASDGCRRPPGAKISSNADIAVKKPTSTKRSTPPDSSFATPGRKRSPLKATTQETNGKKPQDWRVDIASPLAATVPGARENGFKERRNNENARSAKSEIRRSLFSNKNSDDKTHKISGSKSGSRVAPCQEEIPESTVVVSNASENLSSNHKDCEDLSLIRTQLVQIEKQQSSLLDLLQRFICSSQNGMNSLETRVHGLELALDEISYDLAVSTGRMSTSSRTTCCLLPAAGFLRSKFWRKKGMNTNGTSRFSTSSCTPSAAATHYRAYRNCNETFENHRLRLQGGGGFITNPLAEIR >KJB18816 pep chromosome:Graimondii2_0_v6:3:16844485:16848732:-1 gene:B456_003G071900 transcript:KJB18816 gene_biotype:protein_coding transcript_biotype:protein_coding description:TORTIFOLIA1-like protein 3 [Source:Projected from Arabidopsis thaliana (AT5G62580) UniProtKB/Swiss-Prot;Acc:Q6NPR6] MAQPFKLKVNTLLNKLGDRDTFSLAAAELDSIARNLDATSLPTFISCILAVDSSDKSGVRKQCVKLISVLAVTYPNSLPHFLPKILSSLLRRLRDPNSVVRSACVDAVSALSVNLTKCNFSSSFLKPLSDALFTEQEPNAQIGAALCLAAAIDGSPDPDPVRLGKMLTKLEKLVKVEGYKAKAAVLVVIGSVIGSGGASNLGDEMMKALVGCLIGFLSSHDWAARKGAAEALGRLAVVETDSLAALKAGCMKVFEARRFDKVKAAREVMSQMLEAWKQVPDVSEEASPPPRSQASSRENASDGCRRPPGAKISSNADIAVKKPTSTKRSTPPDSSFATPGRKRSPLKATTQETNGKKPQDWRVDIASPLAATVPGARENGFKERRNNENARSAKSEIRRSLFSNKNSDDKTHKISGSKSGSRVAPCQEEIPESTVVVSNASENLSSNHKDCEDLSLIRTQLVQIEKQQSSLLDLLQRFICSSQNGMNSLETRVHGLELALDEISYDLAVSTGRMSTSSRTTCCLLPAAGFLRSKFWRKKGMNTNGTSRFSTSSCTPSAAATHYRAYRNCNETFENHRLRLQGGGGFITNPLAEIR >KJB18818 pep chromosome:Graimondii2_0_v6:3:16845795:16848510:-1 gene:B456_003G071900 transcript:KJB18818 gene_biotype:protein_coding transcript_biotype:protein_coding description:TORTIFOLIA1-like protein 3 [Source:Projected from Arabidopsis thaliana (AT5G62580) UniProtKB/Swiss-Prot;Acc:Q6NPR6] MAQPFKLKVNTLLNKLGDRDTFSLAAAELDSIARNLDATSLPTFISCILAVDSSDKSGVRKQCVKLISVLAVTYPNSLPHFLPKILSSLLRRLRDPNSVVRSACVDAVSALSVNLTKCNFSSSFLKPLSDALFTEQEPNAQIGAALCLAAAIDGSPDPDPVRLGKMLTKLEKLVKVEGYKAKAAVLVVIGSVIGSGGASNLGDEMMKALVGCLIGFLSSHDWAARKGAAEALGRLAVVETDSLAALKAGCMKVFEARRFDKVKAAREVMSQMLEAWKQVPDVSEEASPPPRSQASSRENASDGCRRPPGAKISSNADIAVKKPTSTKRSTPPDSSFATPGRKRSPLKATTQETNGKKPQDWRVDIASPLAATVPGARENGFKERRNNENARSAKSEIRRSLFSNKNSDDKTHKISGSKSGSRVAPCQEEIPESTVVVSNASENLSSNHKDCEDLSLIRTQLVQIEKQQSSLLDLLQRFICSSQNGMNSLETRVHGLELALDEISYDLAVSTGRMSTSSRTTCCLLPAAGFLRSKFWRKKGMNTNGTSRFSTSSCTPSAAATHYRAYRNCNETFENHRLRLQGGGGFITNPLAEIR >KJB19520 pep chromosome:Graimondii2_0_v6:3:32928401:32931321:-1 gene:B456_003G107300 transcript:KJB19520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMERVTGFPHTHMDRRPRKRPRLGWDVTQLPPQAQVGMFCAQEVGRVTGFASSTAKAPADYASTITTSSSVVLKGGVAQNGSPPWRDDDKDGHYMFELGDNLTSRYKIQSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEMLQQLRKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLNLIHTDLKPENILLVSPEYVKVPDYKGTLRSPKDSYFRRLPKSSAIKVIDFGSTTYERPDQNYIVSTRHYRAPEVILGMYFSSCIDALEYGRMMDVIVVFCFIGLGWSYACDIWSVGCILVELCTVCSENWLFGFHKEISIYMSLLLFILYL >KJB19517 pep chromosome:Graimondii2_0_v6:3:32927536:32931424:-1 gene:B456_003G107300 transcript:KJB19517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMERVTGFPHTHMDRRPRKRPRLGWDVTQLPPQAQVGMFCAQEVGRVTGFASSTAKAPADYASTITTSSSVVLKGGVAQNGSPPWRDDDKDGHYMFELGDNLTSRYKIQSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEMLQQLRKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLNLIHTDLKPENILLVSPEYVKVPDYKGTLRSPKDSYFRRLPKSSAIKVIDFGSTTYERPDQNYIVSTRHYRAPEVILGLGWSYACDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQHMLKRVDRHAEKYVRRGRLDWPDGATSRESIKAVLKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPIDRLTAREALRHPFFSRDQFRRLSLASIGWPGMNEYLRK >KJB19516 pep chromosome:Graimondii2_0_v6:3:32927405:32931494:-1 gene:B456_003G107300 transcript:KJB19516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMERVTGFPHTHMDRRPRKRPRLGWDVTQLPPQAQVGMFCAQEVGRVTGFASSTAKAPADYASTITTSSSVVLKGGVAQNGSPPWRDDDKDGHYMFELGDNLTSRYKIQSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEMLQQLRKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLNLIHTDLKPENILLVSPEYVKVPDYKGTLRSPKDSYFRRLPKSSAIKVIDFGSTTYERPDQNYIVSTRHYRAPEVILG >KJB19514 pep chromosome:Graimondii2_0_v6:3:32927405:32931486:-1 gene:B456_003G107300 transcript:KJB19514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVATVMHDLNLIHTDLKPENILLVSPEYVKVPDYKGTLRSPKDSYFRRLPKSSAIKVIDFGSTTYERPDQNYIVSTRHYRAPEVILGLGWSYACDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQHMLKRVDRHAEKYVRRGRLDWPDGATSRESIKAVLKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPIDRLTAREALRHPFFSRDQFRRLSLASIGWPGMNEYLRK >KJB19519 pep chromosome:Graimondii2_0_v6:3:32927536:32931424:-1 gene:B456_003G107300 transcript:KJB19519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMERVTGFPHTHMDRRPRKRPRLGWDVTQLPPQAQVGMFCAQEVGRVTGFASSTAKAPADYASTITTSSSVVLKGGVAQNGSPPWRDDDKDGHYMFELGDNLTSRYKIQSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEMLQQLRKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLNLIHTDLKPENILLVSPEYVKVPDYKGTLRSPKDSYFRRLPKSSAIKVIDFGSTTYERPDQNYIVSTRHYRAPEVILGMYFSSCIDALEYGRMMDVIVVFCFIGLGWSYACDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQHMLKRVDRHAEKYVRRGRLDWPDGATSRESIKAVLKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPIDRLTAREALRHPFFSRDQFRRLSLASIGWPGMNEYLRK >KJB19518 pep chromosome:Graimondii2_0_v6:3:32928079:32931321:-1 gene:B456_003G107300 transcript:KJB19518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMERVTGFPHTHMDRRPRKRPRLGWDVTQLPPQAQVGMFCAQEVGRVTGFASSTAKAPADYASTITTSSSVVLKGGVAQNGSPPWRDDDKDGHYMFELGDNLTSRYKIQSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEMLQQLRKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLNLIHTDLKPENILLVSPEYVKVPDYKGTLRSPKDSYFRRLPKSSAIKVIDFGSTTYERPDQNYIVSTRHYRAPEVILGLGWSYACDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQHMLKRVDRHAEKYVRRGRLDWPDGATSRESIKAVLKLPRLQVIFVAL >KJB19515 pep chromosome:Graimondii2_0_v6:3:32927790:32929857:-1 gene:B456_003G107300 transcript:KJB19515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEMLQQLRKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLNLIHTDLKPENILLVSPEYVKVPDYKGTLRSPKDSYFRRLPKSSAIKVIDFGSTTYERPDQNYIVSTRHYRAPEVILGLGWSYACDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQHMLKRVDRHAEKYVRRGRLDWPDGATSRESIKAVLKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPIDRLTAREALRHPFFSRDQFRRLSLASIGWPGMNEYLRK >KJB19800 pep chromosome:Graimondii2_0_v6:3:35861223:35862634:-1 gene:B456_003G119400 transcript:KJB19800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWIYYALLKKDAMLLITINTFCVFIQTFYIVVYFYYGPKKEKLVTLKLILLFNVFGFGAIFFSTFFLKNPLIRLQILGYICMGFALCVFVAPLGILRKVIKTKSVEYMPFTLSVFLTLGAVMWFFYGLLLKDMNIAVPNVLGFIFGILQMILYAIYKNYPKKMVEDPKLQLSAQQVVVDVVKLGSTTVCLEVNAVGPNPNNGEGTDEAQNIKTNNTTDASNEV >KJB19799 pep chromosome:Graimondii2_0_v6:3:35861175:35862851:-1 gene:B456_003G119400 transcript:KJB19799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHVSWAFVFGILGNVVSFLVSLAPLPTFYQIYKKRTSEGYQSIPYVVSLFSAMLWIYYALLKKDAMLLITINTFCVFIQTFYIVVYFYYGPKKEKLVTLKLILLFNVFGFGAIFFSTFFLKNPLIRLQILGYICMGFALCVFVAPLGILRKVIKTKSVEYMPFTLSVFLTLGAVMWFFYGLLLKDMNIAVPNVLGFIFGILQMILYAIYKNYPKKMVEDPKLQLSAQQVVVDVVKLGSTTVCLEVNAVGPNPNNGEGTDEAQNIKTNNTTDASNEV >KJB19801 pep chromosome:Graimondii2_0_v6:3:35861223:35862793:-1 gene:B456_003G119400 transcript:KJB19801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHVSWAFVFGILGNVVSFLVSLAPLPTFYQIYKKRTSEGYQSIPYVVSLFSAMLWIYYALLKKDAMLLITINTFCVFIQTFYIVVYFYYGPKKEKVLYYILLYIVIYLFNFPYFDCLQMVGFQLVTLKLILLFNVFGFGAIFFSTFFLKNPLIRLQILGYICMGFALCVFVAPLGILRKVIKTKSVEYMPFTLSVFLTLGAVMWFFYGLLLKDMNIAVPNVLGFIFGILQMILYAIYKNYPKKMVEDPKLQLSAQQVVVDVVKLGSTTVCLEVNAVGPNPNNGEGTDEAQNIKTNNTTDASNEV >KJB20284 pep chromosome:Graimondii2_0_v6:3:40459127:40459720:-1 gene:B456_003G141500 transcript:KJB20284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHTRAPWPFPPSAYDFFHPKTPCVASTCSPLPVAAQVDGSKALASKVSSGQGVGAGGVAAGIVFGLAFAVLLAMGVYYVLNTRRVNANRANSVQPDA >KJB18453 pep chromosome:Graimondii2_0_v6:3:8191137:8193277:-1 gene:B456_003G0536002 transcript:KJB18453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASCDNKHRSGRSRYWFLTRCRVIELQSFWVCVHAIFGHDTQANLEMSFGCSQTMTLGRD >KJB19785 pep chromosome:Graimondii2_0_v6:3:35833508:35836127:1 gene:B456_003G119000 transcript:KJB19785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISNGTKGFAVKQGEPTRVLPAEETKKGLYYLGNLDQNIAVIIRTIYLFKSESKGNEDAAEQVKNGLSRILVHHHPLAGRLTISSEGKLIVDCTGEGAVFVEAEANCGIEEMGDITKPDPNILGKLVYEISGAQNLLEIPLLVIQVTKFKCGGFSIGMSMNHCMLDGIAAMEFVNGWGEVTRGLPLKTPPFLDRTILKPRNPPMIEFEHHEFDEIEDISNTNKLYEEEEMVYKTFLFDPDKLGQLKEQALEDGVLSKCTTFEVLAGFVWRARCKALNLVPQQKTKLLFAADGRKRFIPPLPEGFAGNGIVLTYSISTAGELAEKPLSFAVGLIQQAVKLATDSFMRSAIDYFEVTRARPSLDGTVLITAWSKLSFYTIDFGWGEPISTGPVALPEKPVLIFLPHQKDKKSINLLLGLPVSAMNSFEELMQV >KJB19786 pep chromosome:Graimondii2_0_v6:3:35833298:35836185:1 gene:B456_003G119000 transcript:KJB19786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISNGTKGFAVKQGEPTRVLPAEETKKGLYYLGNLDQNIAVIIRTIYLFKSESKGNEDAAEQVKNGLSRILVHHHPLAGRLTISSEGKLIVDCTGEGAVFVEAEANCGIEEMGDITKPDPNILGKLVYEISGAQNLLEIPLLVIQVTKFKCGGFSIGMSMNHCMLDGIAAMEFVNGWGEVTRGLPLKTPPFLDRTILKPRNPPMIEFEHHEFDEIEDISNTNKLYEEEEMVYKTFLFDPDKLGQLKEQALEDGVLSKCTTFEVLAGFVWRARCKALNLVPQQKTKLLFAADGRKRFIPPLPEGFAGNGIVLTYSISTAGELAEKPLSFAVGLIQQAVKLATDSFMRSAIDYFEVTRARPSLDGTVLITAWSKLSFYTIDFGWGEPISTGPVALPEKPVLIFLPHQKDKKSINLLLGLPVSAMNSFEELMQV >KJB17928 pep chromosome:Graimondii2_0_v6:3:2213387:2214621:-1 gene:B456_003G025000 transcript:KJB17928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKGSELLEFSYPYFEVGPMLVMKEKPEPNQVFSFMMPFTNEMWCTLAAMTMFNAFVIWLVESRTGHESVGAIFWFPLATLFYGGHSKIYVNFKHFTSLLSMFYMDYMNFFCNAGESPRSNLTYFVLAPWLVLILVVSSTYTQSFTSMITSSDTESSSCLDIEDLKKTNAIVGCDMEDSIMLQHLVEYIGFQRKNIKHIAQSSIDDYAKALSTGKIKAAFFWAPYSGLFLAKYCKGFRSWGPNHNLRGSSVIFPRDSPFAPYMSEAMVRLCGSGKFKRMKDDLLSFPECSSSTIDVTMKRGIGPGPFSGLFILSGTASAVAILITVIRPMRRRWERLVQGMLMGRGLWVWLTTLFSRDQRGNQLQVQLARISFTSQTQLTSS >KJB17930 pep chromosome:Graimondii2_0_v6:3:2213230:2215718:-1 gene:B456_003G025000 transcript:KJB17930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKIFAFVLLLILSSKCSGIRDGDDQKDTEDDSCLMSCNTASDAHHIQLKFNHSPGNVTPIDLYDDYQNYVAIKNLTSHRLPILCTVTSYETAFSAEINAFTRRAKANVLCALPAIDKATMGNESSTSYMSRHMYEIAREIASLIGDYLWLKPGTTVYEESDRISDLDIVTFNLNSRNPTPNTGGIIQMAATLSFSTSKPVHYYTEKSIAVPVRSIPMQFLNISQDEKNHNEAQITGFWTDLFKEAVAVMPINTTYKLVPFYGSDDQLFKALARRTFDAAIGLTVMTRKGSELLEFSYPYFEVGPMLVMKEKPEPNQVFSFMMPFTNEMWCTLAAMTMFNAFVIWLVESRTGHESVGAIFWFPLATLFYGGHRESPRSNLTYFVLAPWLVLILVVSSTYTQSFTSMITSSDTESSSCLDIEDLKKTNAIVGCDMEDSIMLQHLVEYIGFQRKNIKHIAQSSIDDYAKALSTGKIKAAFFWAPYSGLFLAKYCKGFRSWGPNHNLRGSSVIFPRDSPFAPYMSEAMVRLCGSGKFKRMKDDLLSFPECSSSTIDVTMKRGIGPGPFSGLFILSGTASAVAILITVIRPMRRRWERLVQGMLMGRGLWVWLTTLFSRDQRGNQLQVQLARISFTSQTQLTSS >KJB17929 pep chromosome:Graimondii2_0_v6:3:2213235:2215718:-1 gene:B456_003G025000 transcript:KJB17929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNESSTSYMSRHMYEIAREIASLIGDYLWLKPGTTVYEESDRISDLDIVTFNLNSRNPTPNTGGIIQMAATLSFSTSKPVHYYTEKSIAVPVRSIPMQFLNISQDEKNHNEAQITGFWTDLFKEAVAVMPINTTYKLVPFYGSDDQLFKALARRTFDAAIGLTVMTRKGSELLEFSYPYFEVGPMLVMKEKPEPNQVFSFMMPFTNEMWCTLAAMTMFNAFVIWLVESRTGHESVGAIFWFPLATLFYGGHRESPRSNLTYFVLAPWLVLILVVSSTYTQSFTSMITSSDTESSSCLDIEDLKKTNAIVGCDMEDSIMLQHLVEYIGFQRKNIKHIAQSSIDDYAKALSTGKIKAAFFWAPYSGLFLAKYCKGFRSWGPNHNLRGSSVIFPRDSPFAPYMSEAMVRLCGSGKFKRMKDDLLSFPECSSSTIDVTMKRGIGPGPFSGLFILSGTASAVAILITVIRPMRRRWERLVQGMLMGRGLWVWLTTLFSRDQRGNQLQVQLARISFTSQTQLTSS >KJB21183 pep chromosome:Graimondii2_0_v6:3:45706999:45711317:-1 gene:B456_003G186700 transcript:KJB21183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MDKFLKQAFVAAAIKFPSALFGMFCIFSVLVILDTTIPAAATSLMNFFEPALMFIQRWLPLFYVPALVVLPLSVRDIPAASGLKICFIIAGGWLASLCVAGFTAIAIRKIVNTEMVDAEPMAKPSSFAPIEFWTWGGIFLASFVSAIFYPTALGTTARTCLPFLLASTVLGYMVGSGLPSAVKKVLHPIICCALSADLAAVAFGYISQSGVDAVLGDYLTKVSSNPGAGDVLMGFLGSVILSFAFSMFKQRKLVKRHAAEIFISIILSSLFSLYSTALVGRLVGLEPSLTVSILPRCITVALALSIVSLFEGANSSLTAAAVVVTGLIGANFVQATLDKLRFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLFCSVPVIRQSLLAIVG >KJB21188 pep chromosome:Graimondii2_0_v6:3:45705912:45711233:-1 gene:B456_003G186700 transcript:KJB21188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MATPFLTTSTTLSLSLSTHLHRLSSSLSSTCFPFKPNLHRVRRSPSLLASYGNPHLFNHEDHHSTYKSLLSTRILGPKSNFLQMGPSETSCSREILVKSSASDSSNTVISTLSQKVFGVLHLVVSLGIVLAMDKFLKQAFVAAAIKFPSALFGMFCIFSVLVILDTTIPAAATSLMNFFEPALMFIQRWLPLFYVPALVVLPLSVRDIPAASGLKICFIIAGGWLASLCVAGFTAIAIRKIVNTEMVDAEPMAKPSSFAPIEFWTWGGIFLASFVSAIFYPTALGTTARTCLPFLLASTVLGYMVGSGLPSAVKKVLHPIICCALSADLAAVAFGYISQSGVDAVLGDYLTKVSSNPGAGDVLMGFLGSVILSFAFSMFKQRKLVKRHAAEIFISIILSSLFSLYSTALVGRLVGLEPSLTVSILPRCITVALALSIVSLFEGANSSLTAAAVVVTGLIGANFVQATLDKLRFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLFCSVPVIRQSLLAIVG >KJB21184 pep chromosome:Graimondii2_0_v6:3:45705912:45711233:-1 gene:B456_003G186700 transcript:KJB21184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MATPFLTTSTTLSLSLSTHLHRLSSSLSSTCFPFKPNLHRVRRSPSLLASYGNPHLFNHEDHHSTYKSLLSTRILGPKSNFLQMGPSETSCSREILVKSSASDSSNTVISTLSQKVFGVLHLVVSLGIVLAMDKFLKQAFVAAAIKFPSALFGMFCIFSVLVILDTTIPAAATSLMNFFEPALMFIQRWLPLFYVPALVVLPLSVRDIPAASGLKICFIIAGGWLASLCVAGFTAIAIRKIVNTEMVDAEPMAKPSSFAPIEFWTWGGIFLASFVSAIFYPTALGTTARTCLPFLLASTVLGYMVGSGLPSAVKKVLHPIICCALSADLAAVAFGYISQSGVDAVLDYLTKVSSNPGAGDVLMGFLGSVILSFAFSMFKQRKLVKRHAAEIFISIILSSLFSLYSTALVGRLVGLEPSLTVSILPRCITVALALSIVSLFEGANSSLTAAAVVVTGLIGANFVQATLDKLRFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLFCSVPVIRQSLLAIVG >KJB21185 pep chromosome:Graimondii2_0_v6:3:45707382:45709090:-1 gene:B456_003G186700 transcript:KJB21185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MVDAEPMAKPSSFAPIEFWTWGGIFLASFVSAIFYPTALGTTARTCLPFLLASTVLGYMVGSGLPSAVKKVLHPIICCALSADLAAVAFGYISQSGVDAVLGDYLTKVSSNPGAGDVLMGFLGSVILSFAFSMFKQRKLVKRHAAEIFISIILSSLFSLYSTALVGRLVGLEPSLTVSILPRCITVALALSIVSLFEGANSSLTAAAVVVTGLIGANFVQATLDKLRFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLFCSVPVIRQSLLAIVG >KJB21187 pep chromosome:Graimondii2_0_v6:3:45705912:45711233:-1 gene:B456_003G186700 transcript:KJB21187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MATPFLTTSTTLSLSLSTHLHRLSSSLSSTCFPFKPNLHRVRRSPSLLASYGNPHLFNHEDHHSTYKSLLSTRILGPKSNFLQMGPSETSCSREILVKSSASDSSNTVISTLSQKVFGVLHLVVSLGIVLAMDKFLKQAFVAAAIKFPSALFGMFCIFSVLVILDTTIPAAATSLMNFFEPALMFIQRWLPLFYVPALVVLPLSVRDIPAASGLKICFIIAGGWLASLCVAGFTAIAIRKIVNTEMVDAEPMAKPSSFAPIEFWTWGGIFLASFVSAIFYPTALGTTARTCLPFLLASTVLGYMVGSGLPSAVKKVLHPIICCALSADLAAVAFGYISQSGVDAVLGDYLTKVSSNPGAGDVLMGFLGSVILSFAFSMFKQRKLVKRHAAEIFISIILSSLFSLYSTALVGRLVGLEPSLTVSILPRCITVALALSIVSLFEGANSSLTAAAVVVTGLIGANFVQATLDKLRFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLFCSVPVIRQSLLAIVG >KJB21182 pep chromosome:Graimondii2_0_v6:3:45705912:45709467:-1 gene:B456_003G186700 transcript:KJB21182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MVGSGLPSAVKKVLHPIICCALSADLAAVAFGYISQSGVDAVLGDYLTKVSSNPGAGDVLMGFLGSVILSFAFSMFKQRKLVKRHAAEIFISIILSSLFSLYSTALVGRLVGLEPSLTVSILPRCITVALALSIVSLFEGANSSLTAAAVVVTGLIGANFVQATLDKLRFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLFCSVPVIRQSLLAIVG >KJB21186 pep chromosome:Graimondii2_0_v6:3:45707382:45710465:-1 gene:B456_003G186700 transcript:KJB21186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MLHHSVLDSSAAGGWLASLCVAGFTAIAIRKIVNTEMVDAEPMAKPSSFAPIEFWTWGGIFLASFVSAIFYPTALGTTARTCLPFLLASTVLGYMVGSGLPSAVKKVLHPIICCALSADLAAVAFGYISQSGVDAVLGDYLTKVSSNPGAGDVLMGFLGSVILSFAFSMFKQRKLVKRHAAEIFISIILSSLFSLYSTALVGRLVGLEPSLTVSILPRCITVALALSIVSLFEGANSSLTAAAVVVTGLIGANFVQATLDKLRFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLFCSVPVIRQSLLAIVG >KJB21181 pep chromosome:Graimondii2_0_v6:3:45705912:45709213:-1 gene:B456_003G186700 transcript:KJB21181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MVDAEPMAKPSSFAPIEFWTWGGIFLASFVSAIFYPTALGTTARTCLPFLLASTVLGYMVGSGLPSAVKKVLHPIICCALSADLAAVAFGYISQSGVDAVLGDYLTKVSSNPGAGDVLMGFLGSVILSFAFSMFKQRKLVKRHAAEIFISIILSSLFSLYSTALVGRLVGLEPSLTVSILPRCITVALALSIVSLFEGANSSLTAAAVVVTGLIGANFVQATLDKLRFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLFCSVPVIRQSLLAIVG >KJB18994 pep chromosome:Graimondii2_0_v6:3:22943977:22947030:-1 gene:B456_003G086800 transcript:KJB18994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57280) UniProtKB/Swiss-Prot;Acc:Q93V66] MATAAAATKTISQISCFSSINRRFQLYHRSTFRPVTRSKSFVVTSVDGHGAETTGNQLKTTPSYIAGESKAFIEDMPRTYPNAEEHVPENTSDLEEEHGIIQQKRAAKIHDFCFGIPYGGLVLSGGLIGFIFSRNTTTLLFGGALLALSTFSLKIWRQGKSSLPFILGQAEYSSTSVYLFSTGGMHFVEVQIFNKDESNTYSYHANM >KJB18993 pep chromosome:Graimondii2_0_v6:3:22939659:22947030:-1 gene:B456_003G086800 transcript:KJB18993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57280) UniProtKB/Swiss-Prot;Acc:Q93V66] MATAAAATKTISQISCFSSINRRFQLYHRSTFRPVTRSKSFVVTSVDGHGAETTGNQLKTTPSYIAGESKAFIEDMPRTYPNAEEHVPENTSDLEEEHGIIQQKRAAKIHDFCFGIPYGGLVLSGGLIGFIFSRNTTTLLFGGALLALSTFSLKIWRQGKSSLPFILGQAEYSSTSVYLFSTGGMHFVEVQIFNKDESNTYSYHANIISSSPFLDELSDLLIDKETIS >KJB18992 pep chromosome:Graimondii2_0_v6:3:22939659:22947030:-1 gene:B456_003G086800 transcript:KJB18992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57280) UniProtKB/Swiss-Prot;Acc:Q93V66] MATAAAATKTISQISCFSSINRRFQLYHRSTFRPVTRSKSFVVTSVDGHGAETTGNQLKTTPSYIAGESKAFIEDMPRTYPNAEEHVPENTSDLEEEHGIIQQKRAAKIHDFCFGIPYGGLVLSGGLIGFIFSRNTTTLLFGGALLALSTFSLKIWRQGKSSLPFILGQAALAAVLFWMNFQTYSSTKKLFPNAFYAAIRY >KJB18991 pep chromosome:Graimondii2_0_v6:3:22939481:22947094:-1 gene:B456_003G086800 transcript:KJB18991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57280) UniProtKB/Swiss-Prot;Acc:Q93V66] MATAAAATKTISQISCFSSINRRFQLYHRSTFRPVTRSKSFVVTSVDGHGAETTGNQLKTTPSYIAGESKAFIEDMPRTYPNAEEHVPENTSDLEEEHGIIQQKRAAKIHDFCFGIPYGGLVLSGGLIGFIFSRNTTTLLFGGALLALSTFSLKIWRQGKSSLPFILGQAALAAVLFWMNFQTYSSTKKLFPNAFYAAISAAMFFFYSYVVISGGNPPPKKMKLSAAH >KJB19808 pep chromosome:Graimondii2_0_v6:3:35986362:35986586:1 gene:B456_003G119700 transcript:KJB19808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENDEKEKLKDLRQAFGMHDEDGCGFITPKGLKKMLKNLGESKSIDECKVMIKHFDINGDGVLSFEEFRVMMK >KJB20172 pep chromosome:Graimondii2_0_v6:3:39551225:39552974:1 gene:B456_003G136400 transcript:KJB20172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEQEETRKGPWTEQEDAVLVNFVHLFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKREKMSLQEQRLVLELHAKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQEKKKAMPKSLSPSSSSSSSSSSITLSSSSSTTTTTTTTTTVDSLPFSGTGKVSFYDTGGPKMAALGDKSSTDFKDEKGYYSMDDIWKDIDMSEENMIKPLSHNYSEEGCNIFCPSMASPSLDYCWDSLWKMDDEDSKMFLPFSQSISCFEYGTSFFNKIG >KJB20291 pep chromosome:Graimondii2_0_v6:3:40587813:40591500:1 gene:B456_003G142100 transcript:KJB20291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEYLLQHLQRFIKRPNQIKQIHSLLITSAFLFNTASKWKPTLLYNTLIRAYLNIIPHRSLTLFTLMLHHQAPPNGHTFTSLFKAASTSHSLASLSCSPLHAQALKRCILTDSFVQTSLLGLYAKLGSLSDVCKVFEEILNPCIVACNAMLDAFGRNGDMGSALFLFDRMIEKDVVSWTSVMNGFIRGKQFAKAFWVFEKMIEFSVKPSEATYVNALSCCANLEKQGGFYLGRQIHGYIFRNEGFMTVFMGTALIDFYGKRGHLEFAFRVFNQMLDREVCTWNAMISSLACNGREKEALDVFETMKEDGMCPNEVTFVAVLTACARTKRVELGLQFFQSMWCQYGIVPIMEHYGCMVDLLGRAGLLTQATEFVDTMPFQPDASVLGALLGACKIHGAIELGNKVGRRLLELQPRHCGLYVALSTINADKERWDRAADLRKAMVEAGIRKVPAYSFIDPM >KJB18224 pep chromosome:Graimondii2_0_v6:3:4542300:4543888:-1 gene:B456_003G040100 transcript:KJB18224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAIKAAEQLGIPEVQFWTASACSFMGYLHFSELVKRGIIPFQSETFLNEPIDWVPGMSNIRLRDFPSFVKANDPNDILFDYFGSEAQNCLKASAIIFNAFEEFEHEVLDTIAAKFPRIYTIGPLHLLARHLHADPSKSMNSSLWKEDTSCIEWLNKREPNSVVYVNYGSITVMSAKHLKEFAWGLANSKHPFLWIVRPDVVMGDSAILDLEFLKEIKERGLITSWCNQYEVLSHPSVGVFLTHCGWNSTVETISGGVPVVCWPFFADQQTNCRYACTHWGIGMEVDHDVKRENIEFLVKEMMEGGEGKKKKEKALEWKKKAEEAVEVGGSSYIDFDRFVKKALKHGYLEILLSVGNYLNNEWGSFNVSISASSFFFFLYK >KJB19387 pep chromosome:Graimondii2_0_v6:3:30735599:30736327:1 gene:B456_003G099600 transcript:KJB19387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGCIVCANCHLVNKLVDIEVPQVVLPDIVFEAVVRIPNDMQLKQVLANGKKGALNVSAVLILPEGFELASPDSISPEMKEKIGNLSFQNYRSTKKNILVIGPVPGKRYSEITFPILSPDPASNKDVHVTPLTRILDWNR >KJB17509 pep chromosome:Graimondii2_0_v6:3:170933:174850:-1 gene:B456_003G002900 transcript:KJB17509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDINASKEAASKDVGDAVTVSINCSSGSKFSVQTNLDSTVDSFKALLARNCGVPADQQRLIYKGRILKDDQTLRSYGLEADHTVHLVRSVAPTAPPLTTNAAGSIGSGALSTSQTNTTAVDLDDAGALGAGGAGLGASLFPGLGDSAGLFGAGLPNFEQLQQQLTRIPNIMREISNMPAIQNLMNNPEILYNLIMNNPQTREIIDRNPELAHILNDPSVLLQTFEAARNPELMREVIRNTDRAMSNIESSPEGFNMLRRMYETVQEPLLNATTMTGTTGNGGSNPFSSLLGTQGGNQARDGSTNQPTSDSGTTPNANPLPNPWSSSEVGGSQTNTRRSDPGADARPQAPAGLGGLGLPAFEGLFGAMQDSNSLNQLMQNPAISQMMRSLLSSPQYLNQVLSLSPQLQNMLGSNSQPREMMQNSQFLHQLTSPEMMQGACPDWWRCRNAQHGFGSNDDHV >KJB17510 pep chromosome:Graimondii2_0_v6:3:170933:174850:-1 gene:B456_003G002900 transcript:KJB17510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDINASKEAASKDVGDAVTVSINCSSGSKFSVQTNLDSTVDSFKALLARNCGVPADQQRLIYKGLEADHTVHLVRSVAPTAPPLTTNAAGSIGSGALSTSQTNTTAVDLDDAGALGAGGAGLGASLFPGLGDSAGLFGAGLPNFEQLQQQLTRIPNIMREISNMPAIQNLMNNPEILYNLIMNNPQTREIIDRNPELAHILNDPSVLLQTFEAARNPELMREVIRNTDRAMSNIESSPEGFNMLRRMYETVQEPLLNATTMTGTTGNGGSNPFSSLLGTQGGNQARDGSTNQPTSDSGTTPNANPLPNPWSSSEVGGSQTNTRRSDPGADARPQAPAGLGGLGLPAFEGLFGAMQDSNSLNQLMQNPAISQMMRSLLSSPQYLNQVLSLSPQLQNMLGSNSQPREMMQNSQFLHQLTSPEMMQQLLTLQQTLFSQLSRPQSTQEPAQTGGGAGTLNMGLEAMMTMFSGLGTGSLGVPNRSDVPPEQLYATQLSQLQEMGFIDTRENIQALIASAGNVHAAVERLLGNPGQ >KJB17511 pep chromosome:Graimondii2_0_v6:3:171286:174662:-1 gene:B456_003G002900 transcript:KJB17511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDINASKEAASKDVGDAVTVSINCSSGSKFSVQTNLDSTVDSFKALLARNCGVPADQQRLIYKGRILKDDQTLRSYGLEADHTVHLVRSVAPTAPPLTTNAAGSIGSGALSTSQTNTTAVDLDDAGALGAGGAGLGASLFPGLGDSAGLFGAGLPNFEQLQQQLTRIPNIMREISNMPAIQNLMNNPEILYNLIMNNPQTREIIDRNPELAHILNDPSVLLQTFEAARNPELMREVIRNTDRAMSNIESSPEGFNMLRRMYETVQEPLLNATTMTGTTGNGGSNPFSSLLGTQGGNQARDGSTNQPTSDSGTTPNANPLPNPWSSSEVGGSQTNTRRSDPGADARPQAPAGLGGLGLPAFEGLFGAMQDSNSLNQLMQNPAISQMMRSLLSSPQYLNQVLSLSPQLQNMLGSNSQPREMMQNSQFLHQLTSPEMMQQLLTLQQTLFSQLSRPQSTQEPAQTGGGAGTLNMGLEAMMTMFSGLGTGSLGVPNRSDVPPEQLYATQLSQLQEMGFIDTRENIQALIASAGNVHAAVERLLGNPGQ >KJB17512 pep chromosome:Graimondii2_0_v6:3:172526:174850:-1 gene:B456_003G002900 transcript:KJB17512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDINASKEAASKDVGDAVTVSINCSSGSKFSVQTNLDSTVDSFKALLARNCGVPADQQRLIYKGRILKDDQTLRSYGLEADHTVHLVRSVAPTAPPLTTNAAGSIGSGALSTSQTNTTAVDLDDAGALGAGGAGLGASLFPGLGDSAGLFGAGLPNFEQLQQQLTRIPNIMREISNMPAIQNLMNNPEILYNLIMNNPQTREIIDRNPELAHILNDPSVLLQTFEAARNPELMREVIRNTDRAMSNIESSPEGFNMLRRMYETVQEPLLNATTMTGTTGNGGSNPFSSLLGTQGGNQARDGSTNQPTSDSGTTPNANPLPNPWSSSEVGGSQTNTRRSDPGADARPQAPAGLGGLGLPAFEGLFGAMQDSNSLNQLMQNPAISQMMRSLLSSPQYLNQVLSLSPQLQNMLGSNSQPREMMQNSQFLHQLTSPEMMQVIVSNLQFNLLFLMHENILKCVSICQQADLHAKVKFGVFCCITLFAFPLGRSYLFHV >KJB17508 pep chromosome:Graimondii2_0_v6:3:170908:174773:-1 gene:B456_003G002900 transcript:KJB17508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDINASKEAASKDVGDAVTVSINCSSGSKFSVQTNLDSTVDSFKALLARNCGVPADQQRLIYKGRILKDDQTLRSYGLEADHTVHLVRSVAPTAPPLTTNAAGSIGSGALSTSQTNTTAVDLDDAGALGAGGAGLGASLFPGLGDSAGLFGAGLPNFEQLQQQLTRIPNIMREISNMPAIQNLMNNPEILYNLIMNNPQTREIIDRNPELAHILNDPSVLLQTFEAARNPELMREVIRNTDRAMSNIESSPEGFNMLRRMYETVQEPLLNATTMTGTTGNGGSNPFSSLLGTQGGNQARDGSTNQPTSDSGTTPNANPLPNPWSSSEVGGSQTNTRRSDPGADARPQAPAGLGGLGLPAFEGLFGAMQDSNSLNQLMQNPAISQMMRSLLSSPQYLNQVLSLSPQLQNMLGSNSQPREMMQNSQFLHQLTSPEMMQQLLTLQQTLFSQLSRPQSTQEPAQTGGGAGTLNMGLEAMMTMFSGLGTGSLGVPNRSDVPPEQLYATQLSQLQEMGFIDTRENIQALIASAGNVHAAVERLLGNPGQ >KJB19252 pep chromosome:Graimondii2_0_v6:3:27507432:27521821:1 gene:B456_003G091200 transcript:KJB19252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSKVLDSAFQGVGQKPGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTTPSKGGSYLYDIHFWIGKDTSQDEAGTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVASGFKTAEEEEFETRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQFLKEKYHEGTCNVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVTSEDDVIPETTPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGAEIFVWVGRVTQVEDRKAASQVAEDFIAGQNRPKTTRITRVIQGYETNSFKSNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGVKGMSKSAPMNEEVPPLLDGGGKMEVWCINSSAKTPLPKEDIGKFYSGDCYIVLYTYHSGDRKEDYFLCCWIGKDSVEEDQKMATRLANTMCNSLKGRPVQGRVFDGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTADCVSLFRISGTSVHNNKTLQVDAVATSLNSIDCFLLQSGSSMFTWHGNQSTYEQQQLVARVAEFLKPGVALKHAKEGKESSAFWFALGGKLSYTSKTASTEIVRDPHLFTFSLNKGKFEVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQCVDPKEKQNAFEIGQKYIDMAASLEGLSPHVPLYKVTEGNEPCFFTTFFSWDSTQATVQGNSFQKKVALLFGASHAVEAQDRSNGNQGGPTQRASALAALSSAFNPSSASKASTPKPSSTSQGSQRAAAVAALSSVLTAEKKKQSPDASPIKSTSSTPAVTSPPPEAKSEVDPSELADSQEVPEAKETGVVSETSGEDSEPKQEREQDENGNGSTQSTFSYEQLKAKSENPVTGIDFKRREAYLSDEEFQAVFGMEKEAFYKLPKWKQDMLKKKVDLF >KJB19255 pep chromosome:Graimondii2_0_v6:3:27507432:27522004:1 gene:B456_003G091200 transcript:KJB19255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSKVLDSAFQGVGQKPGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTTPSKGGSYLYDIHFWIGKDTSQDEAGTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVASGFKTAEEEEFETRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQFLKEKYHEGTCNVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVTSEDDVIPETTPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGAEIFVWVGRVTQVEDRKAASQVAEDFIAGQNRPKTTRITRVIQGYETNSFKSNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGVKGMSKSAPMNEEVPPLLDGGGKMEVWCINSSAKTPLPKEDIGKFYSGDCYIVLYTYHSGDRKEDYFLCCWIGKDSVEEDQKMATRLANTMCNSLKGRPVQGRVFDGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTADCVSLFRISGTSVHNNKTLQVDAVATSLNSIDCFLLQSGSSMFTWHGNQSTYEQQQLVARVAEFLKPGVALKHAKEGKESSAFWFALGGKLSYTSKTASTEIVRDPHLFTFSLNKGKFEVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQCVDPKEKQNAFEIGQKYIDMAASLEGLSPHVPLYKVTEGNEPCFFTTFFSWDSTQATVQGNSFQKKVALLFGASHAVEAQDRSNGNQGGPTQRASALAALSSAFNPSSASKASTPKPSSTSQGSQRAAAVAALSSVLTAEKKKQSPDASPIKSTSSTPAVTSPPPEAKSEVDPSELADSQEVPEAKETGVVSETSGEDSEPKQEREQDENGNGSTQSTFSYEQLKAKSENPVTGIDFKRREAYLSDEEFQAVFGMEKEAFYKLPKWKQDMLKKKVDLF >KJB19250 pep chromosome:Graimondii2_0_v6:3:27507236:27521847:1 gene:B456_003G091200 transcript:KJB19250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSKVLDSAFQGVGQKPGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTTPSKGGSYLYDIHFWIGKDTSQDEAGTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVASGFKTAEEEEFETRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQFLKEKYHEGTCNVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVTSEDDVIPETTPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGAEIFVWVGRVTQVEDRKAASQVAEDFIAGQNRPKTTRITRVIQGYETNSFKSNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGVKGMSKSAPMNEEVPPLLDGGGKMEVWCINSSAKTPLPKEDIGKFYSGDCYIVLYTYHSGDRKEDYFLCCWIGKDSVEEDQKMATRLANTMCNSLKGRPVQGRVFDGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTADCVSLFRISGTSVHNNKTLQVDAVATSLNSIDCFLLQSGSSMFTWHGNQSTYEQQQLVARVAEFLKPGVALKHAKEGKESSAFWFALGGKLSYTSKTASTEIVRDPHLFTFSLNKGKFEVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQCVDPKEKQNAFEIGQKYIDMAASLEGLSPHVPLYKVTEGNEPCFFTTFFSWDSTQATVQGNSFQKKVALLFGASHAVEAQDRSNGNQGGPTQRASALAALSSAFNPSSASKASTPKPSSTSQGSQRAAAVAALSSVLTAEKKKQSPDASPIKSTSSTPAVTSPPPEAKSEVDPSELADSQEVPEAKETGVVSETSGEDSEPKQEREQDENGNGSTQSTFSYEQLKAKSENPVTGIDFKRREAYLSDEEFQAVFGMEKEAFYKLPKWKQDMLKKKVDLF >KJB19253 pep chromosome:Graimondii2_0_v6:3:27507432:27521821:1 gene:B456_003G091200 transcript:KJB19253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSKVLDSAFQGVGQKPGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTTPSKGGSYLYDIHFWIGKDTSQDEAGTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVASGFKTAEEEEFETRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQFLKEKYHEGTCNVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVTSEDDVIPETTPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGAEIFVWVGRVTQVEDRKAASQVAEDFIAGQNRPKTTRITRVIQGYETNSFKSNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGVKGMSKSAPMNEEVPPLLDGGGKMEVWCINSSAKTPLPKEDIGKFYSGDCYIVLYTYHSGDRKEDYFLCCWIGKDSVEEDQKMATRLANTMCNSLKGRPVQGRVFDGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTADCVSLFRISGTSVHNNKTLQVDAVATSLNSIDCFLLQSGSSMFTWHGNQSTYEQQQLVARVAEFLKPGVALKHAKEGKESSAFWFALGGKLSYTSKTASTEIVRDPHLFTFSLNKGKFEVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQCVDPKEKQNAFEIGQKYIDMAASLEGLSPHVPLYKVTEGNEPCFFTTFFSWDSTQATVQGNSFQKKVALLFGASHAVEAQDRSNGNQGGPTQRASALAALSSAFNPSSASKASTPKPSSTSQGSQRAAAVAALSSVLTAEKKKQSPDASPIKSTSSTPAVTSPPPEAKSEVDPSELADSQEVPEAKETGVVSETSGEDSEPKQEREQDENGNGSTQSTFSYEQLKAKSENPVTGIDFKRREAYLSDEEFQAVFGMEKEAFYKLPKWKQDMLKKKVDLF >KJB19251 pep chromosome:Graimondii2_0_v6:3:27507015:27521848:1 gene:B456_003G091200 transcript:KJB19251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSKVLDSAFQGVGQKPGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTTPSKGGSYLYDIHFWIGKDTSQDEAGTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVASGFKTAEEEEFETRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQFLKEKYHEGTCNVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVTSEDDVIPETTPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGAEIFVWVGRVTQVEDRKAASQVAEDFIAGQNRPKTTRITRVIQGYETNSFKSNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGVKGMSKSAPMNEEVPPLLDGGGKMEVWCINSSAKTPLPKEDIGKFYSGDCYIVLYTYHSGDRKEDYFLCCWIGKDSVEEDQKMATRLANTMCNSLKGRPVQGRVFDGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTADCVSLFRISGTSVHNNKTLQVDAVATSLNSIDCFLLQSGSSMFTWHGNQSTYEQQQLVARVAEFLKPGVALKHAKEGKESSAFWFALGGKLSYTSKTASTEIVRDPHLFTFSLNKGKFEVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQCVDPKEKQNAFEIGQKYIDMAASLEGLSPHVPLYKVTEGNEPCFFTTFFSWDSTQATVQGNSFQKKVALLFGASHAVEAQDRSNGNQGGPTQRASALAALSSAFNPSSASKASTPKPSSTSQGSQRAAAVAALSSVLTAEKKKQSPDASPIKSTSSTPAVTSPPPEAKSEVDPSELADSQEVPEAKETGVVSETSGEDSEPKQEREQDENGNGSTQSTFSYEQLKAKSENPVTGIDFKRREAYLSDEEFQAVFGMEKEAFYKLPKWKQDMLKKKVDLF >KJB19249 pep chromosome:Graimondii2_0_v6:3:27508927:27521821:1 gene:B456_003G091200 transcript:KJB19249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSKVLDSAFQGVGQKPGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTTPSKGGSYLYDIHFWIGKDTSQDEAGTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVASGFKTAEEEEFETRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQFLKEKYHEGTCNVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVTSEDDVIPETTPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGAEIFVWVGRVTQVEDRKAASQVAEDFIAGQNRPKTTRITRVIQGYETNSFKSNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGVKGMSKSAPMNEEVPPLLDGGGKMEVWCINSSAKTPLPKEDIGKFYSGDCYIVLYTYHSGDRKEDYFLCCWIGKDSVEEDQKMATRLANTMCNSLKGRPVQGRVFDGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTADCVSLFRISGTSVHNNKTLQVDAVATSLNSIDCFLLQSGSSMFTWHGNQSTYEQQQLVARVAEFLKPGVALKHAKEGKESSAFWFALGGKLSYTSKTASTEIVRDPHLFTFSLNKGKFEVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQCVDPKEKQNAFEIGQKYIDMAASLEGLSPHVPLYKVTEGNEPCFFTTFFSWDSTQATVQGNSFQKKVALLFGASHAVEAQDRSNGNQGGPTQRASALAALSSAFNPSSASKASTPKPSSTSQGSQRAAAVAALSSVLTAEKKKQSPDASPIKSTSSTPAVTSPPPEAKSEVDPSELADSQEVPEAKETGVVSETSGEDSEPKQEREQDENGNGSTQSTFSYEQLKAKSENPVTGIDFKRREAYLSDEEFQAVFGMEKEAFYKLPKWKQDMLKKKVDLF >KJB19254 pep chromosome:Graimondii2_0_v6:3:27508588:27521848:1 gene:B456_003G091200 transcript:KJB19254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSKVLDSAFQGVGQKPGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTTPSKGGSYLYDIHFWIGKDTSQDEAGTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVASGFKTAEEEEFETRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQFLKEKYHEGTCNVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVTSEDDVIPETTPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGAEIFVWVGRVTQVEDRKAASQVAEDFIAGQNRPKTTRITRVIQGYETNSFKSNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGVKGMSKSAPMNEEVPPLLDGGGKMEVWCINSSAKTPLPKEDIGKFYSGDCYIVLYTYHSGDRKEDYFLCCWIGKDSVEEDQKMATRLANTMCNSLKGRPVQGRVFDGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTADCVSLFRISGTSVHNNKTLQVDAVATSLNSIDCFLLQSGSSMFTWHGNQSTYEQQQLVARVAEFLKPGVALKHAKEGKESSAFWFALGGKLSYTSKTASTEIVRDPHLFTFSLNKGKFEVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQCVDPKEKQNAFEIGQKYIDMAASLEGLSPHVPLYKVTEGNEPCFFTTFFSWDSTQATVQGNSFQKKVALLFGASHAVEAQDRSNGNQGGPTQRASALAALSSAFNPSSASKASTPKPSSTSQGSQRAAAVAALSSVLTAEKKKQSPDASPIKSTSSTPAVTSPPPEAKSEVDPSELADSQEVPEAKETGVVSETSGEDSEPKQEREQDENGNGSTQSTFSYEQLKAKSENPVTGIDFKRREAYLSDEEFQAVFGMEKEAFYKLPKWKQDMLKKKVDLF >KJB18511 pep chromosome:Graimondii2_0_v6:3:9394535:9397106:1 gene:B456_003G057000 transcript:KJB18511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTNSHVITCKGIVSWGKGEPLKVEEILVEPPKSNEVRVKMLYASVCRTDLLFANGFPIPAFPRVMGHEGVGVVESIGEGVTGLREGDLVIPTYIADCKTCETCMSEKTNLCLKYPLSYKELMQDGSSRMSIRGQTASHAFSCSTWCQYLVINVNFLLKIDPKTPLPDASFLSCGFSTGYGATWKEAMVQNGSSVAVFGLGAVGLGAIKGAKSHGAIKVIGIDNNPMKAAKGRAFGMTDFINPGESDKSIAELVKDLTAGMGVDYSFECTGVPPLINEAIQSTKLGTGKIIQMGVEEPNVNINIIELLIGRTLKGSIFGGLKAKTDLPIIYSKCKNREIQLDELLSHEIKLEEVNKVFELLKQPDCVKILIKI >KJB19290 pep chromosome:Graimondii2_0_v6:3:29707859:29710478:1 gene:B456_003G094800 transcript:KJB19290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKVVKIVLFLGLIVYSPIVKGNIGHFDEVWQERAENAKKGAFKAYNPNPENVTSDFNRETEKVLEGQNNTRRGLYKHHGPCLATNPIDRCWRCDPNWAKDRKKLVNCVLGFGRKTTGGKAGRYYVVTDPSDNDMVNPKPGTLRHAVIQKEPLWIIFAHHMVIRLNQELIMAGDKTIDGRGFQIHIVGGAQITLQFINNVIIHGLHIRNSVQAHGGMIRDSVDHYGQRTMSDGDGITIFGSHNIWIDHLSMSKCYDGLIDILMASTAITISNCHFTNHNDVFLFGSSDSFSDDQIMQVTVAFNHFGKGLVQRMPRVRWGFVHVVNNDYTHWLMYAIGGSKNPTILSEGNRFIAPPDKRAKEITKREYSPESVWKSWKWRSVNDLMMNGAFFVESGGPISRGNEKDVINAKTGASAGRLTRFAGALNCVEHKPC >KJB19302 pep chromosome:Graimondii2_0_v6:3:29577093:29583197:1 gene:B456_003G094300 transcript:KJB19302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKNEVLEAVLKETVDLENIPIEEVFENLRCSREGLTTEAAEERLTIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVMYPIQDRDYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDADTVVLMAARASRTENQDAIDSAIVGMLADPKEARAGIREVHFLPFNPTDKRTALTYIDSDGKMHRVSKGAPEQILHLAHNKADIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAVMTVIFFWAAYKTNFFPRVFGVATLEKTAHDDIKKLASAVYLQVSIISQALIFVTRSRSWSFVERPGLLLLAAFVIAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNIIFYIPLDFIKFFIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQAPDTKMFTERTHFTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKNLDIDTIQQAYTV >KJB19304 pep chromosome:Graimondii2_0_v6:3:29577312:29583064:1 gene:B456_003G094300 transcript:KJB19304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKNEVLEAVLKETVDLENIPIEEVFENLRCSREGLTTEAAEERLTIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVMYPIQDRDYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDADTVVLMAARASRTENQDAIDSAIVGMLADPKEARAGIREVHFLPFNPTDKRTALTYIDSDGKMHRVSKGAPEQILHLAHNKADIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAVMTVIFFWAAYKTNFFPRVFGVATLEKTAHDDIKKLASAVYLQVSIISQALIFVTRSRSWSFVERPGLLLLAAFVIAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNIIFYIPLDFIKFFIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQAPDTKMFTERTHFTELNQMAEEAKRRAEIAR >KJB19307 pep chromosome:Graimondii2_0_v6:3:29577312:29583064:1 gene:B456_003G094300 transcript:KJB19307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKNEVLEAVLKETVDLENIPIEEVFENLRCSREGLTTEAAEERLTIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVMYPIQDRDYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDADTVVLMAARASRTENQDAIDSAIVGMLADPKEARAGIREVHFLPFNPTDKRTALTYIDSDGKMHRVSKGAPEQILHLAHNKADIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAVMTVIFFWAAYKTNFFPRVFGVATLEKTAHDDIKKLASAVYLQVSIISQALIFVTRSRSWSFVERPGLLLLAAFVIAQLVSQLFENTMMFLVSTGKNSSFLLTMNRLLLLLLCMPIGALLPLKGSDGVGLVLSGFIILSSISHLISSSSSFAML >KJB19305 pep chromosome:Graimondii2_0_v6:3:29577480:29582695:1 gene:B456_003G094300 transcript:KJB19305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKNEVLEAVLKETVDLENIPIEEVFENLRCSREGLTTEAAEERLTIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVMYPIQDRDYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDADTVVLMAARASRTENQDAIDSAIVGMLADPKEARAGIREVHFLPFNPTDKRTALTYIDSDGKMHRVSKGAPEQILHLAHNKADIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAVMTVIFFWAAYKTNFFPRVFGVATLEKTAHDDIKKLASAVYLQVSIISQALIFVTRSRSWSFVERPGLLLLAAFVIAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNIIFYIPLDFIKFFIRYALSGRAWDLVIEQRVCIPLSYRVHCYCCCLIRHIGLSDEFLFDAQIAFTRQKDFGKEQRELQWAHAQRTLHGLQAPDTKMFTERTHFTELNQMAEEAKRRAEIAR >KJB19303 pep chromosome:Graimondii2_0_v6:3:29577312:29583064:1 gene:B456_003G094300 transcript:KJB19303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKNEVLEAVLKETVDLENIPIEEVFENLRCSREGLTTEAAEERLTIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVMYPIQDRDYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDADTVVLMAARASRTENQDAIDSAIVGMLADPKEARAGIREVHFLPFNPTDKRTALTYIDSDGKMHRVSKGAPEQILHLAHNKADIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAVMTVIFFWAAYKTNFFPRVFGVATLEKTAHDDIKKLASAVYLQVSIISQALIFVTRSRSWSFVERPGLLLLAAFVIAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNIIFYIPLDFIKFFIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQAPDTKMFTERTHFTELNQMAEEAKRRAEIAR >KJB19306 pep chromosome:Graimondii2_0_v6:3:29577312:29583064:1 gene:B456_003G094300 transcript:KJB19306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKNEVLEAVLKETVDLENIPIEEVFENLRCSREGLTTEAAEERLTIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVMYPIQDRDYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDADTVVLMAARASRTENQDAIDSAIVGMLADPKEARAGIREVHFLPFNPTDKRTALTYIDSDGKMHRVSKGAPEQILHLAHNKADIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAVMTVIFFWAAYKTNFFPRVFGVATLEKTAHDDIKKLASAVYLQVSIISQALIFVTRSRSWSFVERPGLLLLAAFVIAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNIIFYIPLDFIKFFIRYALSGRAWDLVIEQRVCIPLSYRVHCYCCCLIRHIGLSDEFLFDAQIAFTRQKDFGKEQRELQWAHAQRTLHGLQAPDTKMFTERTHFTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKNLDIDTIQQAYTV >KJB18836 pep chromosome:Graimondii2_0_v6:3:16794549:16794896:-1 gene:B456_003G071700 transcript:KJB18836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSGPCLKSSQVFIVQADSIVIRLAKPYFVTLGATVHGHYGEILYEGDMLVTFIYEKSRYGDIMQGLPKVEQVLEVRLIDSILMNLEKRIEGWNECITRIIGIPWGFVIGAELTIV >KJB18026 pep chromosome:Graimondii2_0_v6:3:2774777:2776410:-1 gene:B456_003G030200 transcript:KJB18026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRYCYNDVAPLIAMVTAVCTNEGANILFKEATPKGMNQYIFITYSYVVAALIVPSWAYWVCVHIFSFANLKMEMFLAQICAYKGIAYSSPTLASAMSNLGPAFTFILAVLFRKIDFMGK >KJB20170 pep chromosome:Graimondii2_0_v6:3:39490609:39491082:-1 gene:B456_003G136200 transcript:KJB20170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGRDIDAMDMADCLMLLSRVGETDQVAGRVFACKTCNKKFSSFQALGGHRASHKKPKLTVGDNEELAVSPTKPKTHECSICGLEFAIGQALGGHMRRHRAALNDGLVTRDLLPEMNKSTGDGRDPSLDLSLTSWGVDLELKLGKVTPTPVVHCFI >KJB19273 pep chromosome:Graimondii2_0_v6:3:28765894:28769328:1 gene:B456_003G093200 transcript:KJB19273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPAFFVDNLQNGFSNGFGLKSKGFETFTDVGDKTFVIGGANSDSTSSVGIQIYDKSTGKWVIPTVLGTKPKTCKGHSAILLNEDRIMISIRGSTPDDCIWFLEVDTEYVKEQKKDFGTEVVAWSKGVTGEAEKPVVISGPSGVGKGTLINMLMKEFPSMFGFSVSHTTRAPRGMEKNGVHYHFTERNVMENDIKHGKFLEFASVHGNLYGTSIEAVEAVADSGKRCILDIDVQGARSVRASYLDAVFIFICPPSMRELEERLRARGTETEDQILKRLRNAEAEIEQGESSGIFDYILYNDNLEECYENLMELLGLGGSAPIKQKPSSKVIDPPSNHSVSKINKKMVINCETKEVGNEAKNLIILDVSSLKGGAPGRTRGLNIHAIDLFSDGLNGIRELR >KJB19270 pep chromosome:Graimondii2_0_v6:3:28766397:28769328:1 gene:B456_003G093200 transcript:KJB19270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPAFFVDNLQNGFSNGFGLKSKGFETFTDVGDKTFVIGGANSDSTSSVGIQIYDKSTGKWVIPTVLGTKPKTCKGHSAILLNEDRIMISIRGSTPDDCIWFLEVDTEYVKEQKKDFGTEVVAWSKGVTGEAEKPVVISGPSGVGKGTLINMLMKEFPSMFGFSVSHTTRAPRGMEKNGVHYHFTERNVMENDIKHGKFLEFASVHGNLYGTSIEAVEAVADSGKRCILDIDVQGARSVRASYLDAVFIFICPPSMRELEERLRARGTETEDQILKRLRNAEAEIEQGESSGIFDYILYNDNLEECYENLMELLGLGGSAPIKQKPSSKVIDPPSNHSVSKINKKMVINCETKEVGNEAKNLIILDVSSLKGGAPGRTRGLNIHAIDLFSDGLNGIRELR >KJB19272 pep chromosome:Graimondii2_0_v6:3:28766380:28769213:1 gene:B456_003G093200 transcript:KJB19272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPAFFVDNLQNGFSNGFGLKSKGFETFTDVGDKTFVIGGANSDSTSSVGIQIYDKSTGKWVIPTVLGTKPKTCKGHSAILLNEDRIMISIRGSTPDDCIWFLEVDTEYVKEQKKDFGTEVVAWSKGVTGEAEKPVVISGPSGVGKGTLINMLMKEFPSMFGFSVSHTTRAPRGMEKNGVHYHFTERNVMENDIKHGKFLEFASVHGNLYGTSIEAVEAVADSGKRCILDIDVQGARSVRASYLDAVFIFICPPSMRELEERLRARGTETEDQILKRLRNAEAEIEQGESSGIFDYILYNDNLEECYENLMELLGLGGSAPIKQKPSSKVIDPPSNHSVSKINKKMVINCETKEVGNEAKNLIILDVSSLKGGAPGRTRGLNIHAIDLFSDGLNGIRELR >KJB19274 pep chromosome:Graimondii2_0_v6:3:28766859:28769213:1 gene:B456_003G093200 transcript:KJB19274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIRGSTPDDCIWFLEVDTEYVKEQKKDFGTEVVAWSKGVTGEAEKPVVISGPSGVGKGTLINMLMKEFPSMFGFSVSHTTRAPRGMEKNGVHYHFTERNVMENDIKHGKFLEFASVHGNLYGTSIEAVEAVADSGKRCILDIDVQGARSVRASYLDAVFIFICPPSMRELEERLRARGTETEDQILKRLRNAEAEIEQGESSGIFDYILYNDNLEECYENLMELLGLGGSAPIKQKPSSKVIDPPSNHSVSKINKKMVINCETKEVGNEAKNLIILDVSSLKGGAPGRTRGLNIHAIDLFSDGLNGIRELR >KJB19271 pep chromosome:Graimondii2_0_v6:3:28765956:28769213:1 gene:B456_003G093200 transcript:KJB19271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPAFFVDNLQNGFSNGFGLKSKGFETFTDVGDKTFVIGGANSDSTSSVGIQIYDKSTGKWVIPTVLGTKPKTCKGHSAILLNEDRIMISIRGSTPDDCIWFLEVDTEYVKEQKKDFGTEVVAWSKGVTGEAEKPVVISGPSGVGKGTLINMLMKEFPSMFGFSVSHTTRAPRGMEKNGVHYHFTERNVMENDIKHGKFLEFASVHGNLYGTSIEAVEAVADSGKRCILDIDVQGARSVRASYLDAVFIFICPPSMRELEERLRARGTETEDQILKRLRNAEAEIEQGESSGIFDYILYNDNLEECYENLMELLGLGGSAPIKQKPLSKINKKMVINCETKEVGNEAKNLIILDVSSLKGGAPGRTRGLNIHAIDLFSDGLNGIRELR >KJB19638 pep chromosome:Graimondii2_0_v6:3:34291582:34302319:1 gene:B456_003G112000 transcript:KJB19638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIPLVARETSSYSRSTDQMCREDSRLHLSAEEEIAAEESLSIYCKPVELYNILQRRAVRNPLFLQRCLRYKIQAKHKMRIQMSVSISRIVNEGVLTQSLFPLYILLARVVSDVAVAEYSAVYRFRRACILTSFTGIEGSDQAQANFVLPEINKLAMEAKSGSLAILLVSFANGGCCLWGRIPLESLYLSWEKAPNLSLGQRAEMIFPVDMHSCLLKLTSLNEDKCILIQNSSNSLLMNESLQLQVIISAEEVGAKENSPYNSYTCSGVSSSSSLSHIIRLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKVGLRHHLPASHDLFNFEFWVTEEYQAVNVSVKTDSWRSEIVADGVDPKQQTFFFCSKQLRRRRPKNLDQNARRLHPVFMESKLPAGGCELLDKAHGGTILRNATIGALEYAQHVPSSFNVPGVSGAAGQLYSDSEHVQSVSGNNLAPPALLQFAKTRKISMERSDPRNRTLLQKRQFFHSHRAQPMAMDQVVSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHIPWACEAFSNLHGRNLVKAPALIWCWRLFMIKLWNHGILDARTMNNCNIILEQYEKQDLHPIKG >KJB19635 pep chromosome:Graimondii2_0_v6:3:34292083:34300991:1 gene:B456_003G112000 transcript:KJB19635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIPLVARETSSYSRSTDQMCREDSRLHLSAEEEIAAEESLSIYCKPVELYNILQRRAVRNPLFLQRCLRYKIQAKHKMRIQMSVSISRIVNEGVLTQSLFPLYILLARVVSDVAVAEYSAVYRFRRACILTSFTGIEGSDQAQANFVLPEINKLAMEAKSGSLAILLVSFANGGCCLWGRIPLESLYLSWEKAPNLSLGQRAEMIFPVDMHSCLLKLTSLNEDKCILIQNSSNSLLMNESLQLQVIISAEEVGAKENSPYNSYTCSGVSSSSSLSHIIRLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRHHLPASHDLFNFEFWVTEEYQAVNVSVKTDSWRSEIVADGVDPKQQTFFFCSKQLRRRRPKNLDQNARRLHPVFMESKLPAGGCELLDKAHGGTILRNATIGALEYAQHVPSSFNVPGVSGAAGQLYSDSEHVQSVSGNNLAPPALLQFAKTRKISMERSDPRNRTLLQKRQFFHSHRAQPMAMDQVVSDRDSEDEVDDDVADFEDRRVSFCLFE >KJB19637 pep chromosome:Graimondii2_0_v6:3:34291582:34302319:1 gene:B456_003G112000 transcript:KJB19637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIPLVARETSSYSRSTDQMCREDSRLHLSAEEEIAAEESLSIYCKPVELYNILQRRAVRNPLFLQRCLRYKIQAKHKMRIQMSVSISRIVNEGVLTQSLFPLYILLARVVSDVAVAEYSAVYRFRRACILTSFTGIEGSDQAQANFVLPEINKLAMEAKSGSLAILLVSFANGGCCLWGRIPLESLYLSWEKAPNLSLGQRAEMIFPVDMHSCLLKLTSLNEDKCILIQNSSNSLLMNESLQLQVIISAEEVGAKENSPYNSYTCSGVSSSSSLSHIIRLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRHHLPASHDLFNFEFWVTEEYQAVNVSVKTDSWRSEQLRRRRPKNLDQNARRLHPVFMESKLPAGGCELLDKAHGGTILRNATIGALEYAQHVPSSFNVPGVSGAAGQLYSDSEHVQSVSGNNLAPPALLQFAKTRKISMERSDPRNRTLLQKRQFFHSHRAQPMAMDQVVSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHIPWACEAFSNLHGRNLVKAPALIWCWRLFMIKLWNHGILDARTMNNCNIILEQYEKQDLHPIKG >KJB19640 pep chromosome:Graimondii2_0_v6:3:34294328:34302319:1 gene:B456_003G112000 transcript:KJB19640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTGIVNCNAFHSVMIENYINFQISELWVILFFLVQLTSLNEDKCILIQNSSNSLLMNESLQLQVIISAEEVGAKENSPYNSYTCSGVSSSSSLSHIIRLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRHHLPASHDLFNFEFWVTEEYQAVNVSVKTDSWRSEIVADGVDPKQQTFFFCSKQLRRRRPKNLDQNARRLHPVFMESKLPAGGCELLDKAHGGTILRNATIGALEYAQHVPSSFNVPGVSGAAGQLYSDSEHVQSVSGNNLAPPALLQFAKTRKISMERSDPRNRTLLQKRQFFHSHRAQPMAMDQVVSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHIPWACEAFSNLHGRNLVKAPALIWCWRLFMIKLWNHGILDARTMNNCNIILEQYEKQDLHPIKG >KJB19634 pep chromosome:Graimondii2_0_v6:3:34291582:34302319:1 gene:B456_003G112000 transcript:KJB19634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCREDSRLHLSAEEEIAAEESLSIYCKPVELYNILQRRAVRNPLFLQRCLRYKIQAKHKMRIQMSVSISRIVNEGVLTQSLFPLYILLARVVSDVAVAEYSAVYRFRRACILTSFTGIEGSDQAQANFVLPEINKLAMEAKSGSLAILLVSFANGGCCLWGRIPLESLYLSWEKAPNLSLGQRAEMIFPVDMHSCLLKLTSLNEDKCILIQNSSNSLLMNESLQLQVIISAEEVGAKENSPYNSYTCSGVSSSSSLSHIIRLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRHHLPASHDLFNFEFWVTEEYQAVNVSVKTDSWRSEIVADGVDPKQQTFFFCSKQLRRRRPKNLDQNARRLHPVFMESKLPAGGCELLDKAHGGTILRNATIGALEYAQHVPSSFNVPGVSGAAGQLYSDSEHVQSVSGNNLAPPALLQFAKTRKISMERSDPRNRTLLQKRQFFHSHRAQPMAMDQVVSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHIPWACEAFSNLHGRNLVKAPALIWCWRLFMIKLWNHGILDARTMNNCNIILEQYEKQDLHPIKG >KJB19636 pep chromosome:Graimondii2_0_v6:3:34291582:34302319:1 gene:B456_003G112000 transcript:KJB19636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCREDSRLHLSAEEEIAAEESLSIYCKPVELYNILQRRAVRNPLFLQRCLRYKIQAKHKMRIQMSVSISRIVNEGVLTQSLFPLYILLARVVSDVAVAEYSAVYRFRRACILTSFTGIEGSDQAQANFVLPEINKLAMEAKSGSLAILLVSFANGGCCLWGRIPLESLYLSWEKAPNLSLGQRAEMIFPVDMHSCLLKLTSLNEDKCILIQNSSNSLLMNESLQLQVIISAEEVGAKENSPYNSYTCSGVSSSSSLSHIIRLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRHHLPASHDLFNFEFWVTEEYQAVNVSVKTDSWRSEIVADGVDPKQQTFFFCSKQLRRRRPKNLDQNARRLHPVFMESKLPAGGCELLDKAHGGTILRNATIGALEYAQHVPSSFNVPGVSGAAGQLYSDSEHVQSVSGNNLAPPALLQFAKTRKISMERSDPRNRTLLQKRQFFHSHRAQPMAMDQVVSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHIPWACEAFSNLHGRNLVKAPALIWCWRLFMIKLWNHGILDARTMNNCNIILEQYEKQDLHPIKG >KJB19639 pep chromosome:Graimondii2_0_v6:3:34291582:34303080:1 gene:B456_003G112000 transcript:KJB19639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIPLVARETSSYSRSTDQMCREDSRLHLSAEEEIAAEESLSIYCKPVELYNILQRRAVRNPLFLQRCLRYKIQAKHKMRIQMSVSISRIVNEGVLTQSLFPLYILLARVVSDVAVAEYSAVYRFRRACILTSFTGIEGSDQAQANFVLPEINKLAMEAKSGSLAILLVSFANGGCCLWGRIPLESLYLSWEKAPNLSLGQRAEMIFPVDMHSCLLKLTSLNEDKCILIQNSSNSLLMNESLQLQVIISAEEVGAKENSPYNSYTCSGVSSSSSLSHIIRLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRHHLPASHDLFNFEFWVTEEYQAVNVSVKTDSWRSEIVADGVDPKQQTFFFCSKQLRRRRPKNLDQNARRLHPVFMESKLPAGGCELLDKAHGGTILRNATIGALEYAQHVPSSFNVPGVSGAAGQLYSDSEHVQSVSGNNLAPPALLQFAKTRKISMERSDPRNRTLLQKRQFFHSHRAQPMAMDQVVSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHIPWACEAFSNLHGRNLVKAPALIWCWRLFMIKLWNHGILDARTMNNCNIILEQYEKQDLHPIKG >KJB17823 pep chromosome:Graimondii2_0_v6:3:1218539:1220236:1 gene:B456_003G017400 transcript:KJB17823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLITILFGKGDGSDKLAVGSDLDSLTKKYIGIIEKNLELRTAIDDCLRHTRSNHEIIRSAVKCFGEDFRLEVRTDEKKFFKTLSELKRFKAAEKLFLEESLVPQGAIRGQQESMLGGLRAQNERLDNALTVAIAPAWKWCDGRWKRNVEKVKQQKLTTRMKHNALLHTTTIHIMACVTNLEEKIRSLSKSIHVVLGEEYVLKVAMDDININSKAIRETIENLL >KJB19002 pep chromosome:Graimondii2_0_v6:3:19721979:19725896:1 gene:B456_003G080300 transcript:KJB19002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRSLSRRATLIARRYQPSFAYIVHEDDRKNHPLNESYSQPKPSNLFQQISFGTGFSNSSSGFGVLFQDRRCSKLSLIPSTGVSFFRYMSTDGADKIEFMTDGADRIGLMTDISEALKDSSFEAVASQAPAVNEVAVAAADSGLPVAAIQYVIDAVHSSTGLNWWSSIVVTTLLVRGLTLPFLISQLKATAKMTLLRPRLEEIKERMQRTGMDPQAVAEGQNEMQKLFKEYGVTPFTPLKGFFIQMPIFISFFLGISNMAEKMPSFKSGGAFWFIDLSTPDGLCIFPVLTALTFWITVECNMLEGTEGNPSSGTTKNVARVFAALSVPLTMNFSKAIFCYWITSNVFSLAYGLVLKAPGVKAALGVPLIPKPPAGTTPRPSINLYSAFKQPERTASHQSTSPPDEPTKASHKKISSSSTMDQRIKILERQLKGRKKNKKR >KJB19000 pep chromosome:Graimondii2_0_v6:3:19721979:19725878:1 gene:B456_003G080300 transcript:KJB19000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRSLSRRATLIARRYQPSFAYIVHEDDRKNHPLNESYSQPKPSNLFQQISFGTGFSNSSSGFGVLFQDRRCSKLSLIPSTGVSFFRYMSTDGADKIEFMTDGADRIGLMTDISEALKDSSFEAVASQAPAVNEVAVAAADSGLPVAAIQYVIDAVHSSTGLNWWSSIVVTTLLVRGLTLPFLISQLKATAKMTLLRPRLEEIKERMQRTGMDPQAVAEGQNEMQKLFKEYGVTPFTPLKGFFIQMPIFISFFLGISNMAEKMPSFKSGGAFWFIDLSTPDGLCIFPVLTALTFWITVECNMLEGTEGNPSSGTTKNVARVFAALSVPLTMNFSKAIFCYWITSNVFSLAYGLGSWGEGGFRCSANT >KJB19001 pep chromosome:Graimondii2_0_v6:3:19721979:19725878:1 gene:B456_003G080300 transcript:KJB19001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRSLSRRATLIARRYQPSFAYIVHEDDRKNHPLNESYSQPKPSNLFQQISFGTGFSNSSSGFGVLFQDRRCSKLSLIPSTGVSFFRYMSTDGADKIEFMTDGADRIGLMTDISEALKDSSFEAVASQAPAVNEVAVAAADSGLPVAAIQWSSIVVTTLLVRGLTLPFLISQLKATAKMTLLRPRLEEIKERMQRTGMDPQAVAEGQNEMQKLFKEYGVTPFTPLKGFFIQMPIFISFFLGISNMAEKMPSFKSGGAFWFIDLSTPDGLCIFPVLTALTFWITVECNMLEGTEGNPSSGTTKNVARVFAALSVPLTMNFSKAIFCYWITSNVFSLAYGLVLKAPGVKAALGVPLIPKPPAGTTPRPSINLYSAFKQPERTASHQSTSPPDEPTKASHKKISSSSTMDQRIKILERQLKGRKKNKKR >KJB20790 pep chromosome:Graimondii2_0_v6:3:43457790:43459286:-1 gene:B456_003G164900 transcript:KJB20790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNHGDKLMVLASEESGRGKLENRSSTFSHIFVSATPYNVYKDDQSLESPFITFKFNIKMSYVLDKPDKSVPSYISKHDSWHEFEHPVDELTRGFICSLFVDAKIPFALKNLHWKKHDFDKESIPLVSTDCVVSSILDVCSDMINAARESGRKKLFLLVMIKKQVVVPRDEYLAMLKAKEGQEVLCNVEDMIRLQARGWNFQRSDWEDMANVVRRAGLGDSIKKRFGFSSRTCYERIDKTRFKSTRRQVMCPICRFMLPTT >KJB17613 pep chromosome:Graimondii2_0_v6:3:473080:476185:1 gene:B456_003G007900 transcript:KJB17613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPYPPPGYVKNGIRDEALIESIKREEEKAKKERKKEKKEKKEKKREKKERDKSRDSGEAESKKHGHKKRHKDEGSKEDQKGGDRQKKREYEVECFEKSTLTEEHGQAVGPQNSSDSTLNSSKRQKLSSPPDSGQNPGSIIRIRLPSQRHKDPEVLPSKEQPCSTSGNTDEAFVQRVHEHAPRPGKELEEQPCSTSDIKRPELTFKLGKEKACSSSRTSETLAHNTKAPTLSNLCTTCPPKLALQFKNLVEDWVMPTPQSELTSSGDDDWLFQKKQNLNTEVKTHKDGNLNSNQMSSATWPRACFLPEADIYALPFTVPF >KJB17614 pep chromosome:Graimondii2_0_v6:3:473080:476301:1 gene:B456_003G007900 transcript:KJB17614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPYPPPGYVKNGIRDEALIESIKIKREEEKAKKERKKEKKEKKEKKREKKERDKSRDSGEAESKKHGHKKRHKDEGSKEDQKGGDRQKKREYEVECFEKSTLTEEHGQAVGPQNSSDSTLNSSKRQKLSSPPDSGQNPGSIIRIRLPSQRHKDPEVLPSKEQPCSTSGNTDEAFVQRVHEHAPRPGKELEEQPCSTSDIKRPELTFKLGKEKACSSSRTSETLAHNTKAPTLSNLCTTCPPKLALQFKNLVEDWVMPTPQSELTSSGDDDWLFQKKQNLNTEVKTHKDGNLNSNQMSSATWPRACFLPEADIYALPFTVPF >KJB18106 pep chromosome:Graimondii2_0_v6:3:3412885:3416117:1 gene:B456_003G034300 transcript:KJB18106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETNNSRVNQRIATISAHLNPPSFSHMEGSSGLGRADCRAKGGSTGFKVAILGAAGGIGQPLSLLMKMNPLVSVLHLYDVVNTPGVTADISHMDTGAVVRGFLGQQQLEEALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEGIAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTFDPNRLLGVTMLDAVRANTFVAEVMGLDPREVDVPVIGGHAGVTILPLLSQVKPPCSFTQKEIDYLTNRIQNGGTEVVEAKAGAGSATLSMAYAAVQFADACLRGLRGDADIVRCAFVASHVTELPFFASKVRLGRCGVEEIYPLGPLNEYERVGLEKAKKELETSIGKGVAFVKK >KJB18107 pep chromosome:Graimondii2_0_v6:3:3413007:3416035:1 gene:B456_003G034300 transcript:KJB18107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETNNSRVNQRIATISAHLNPPSFSHMEGSSGLGRADCRAKGGSTGFKVAILGAAGGIGQPLSLLMKMNPLVSVLHLYDVVNTPGVTADISHMDTGAVVRGFLGQQQLEEALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEGIAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTFDPNRLLGVTMLDAVRANTFVAEVMGLDPREVDVPVIGGHAGVTILPLLSQVKPPCSFTQKEIDYLTNRIQNGGTEVVEAGAGSATLSMAYAAVQFADACLRGLRGDADIVRCAFVASHVTELPFFASKVRLGRCGVEEIYPLGPLNEYERVGLEKAKKELETSIGKGVAFVKK >KJB19030 pep chromosome:Graimondii2_0_v6:3:19954418:19957348:-1 gene:B456_003G081500 transcript:KJB19030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRINWKSWSLNKDHLVKLAIGILLVGFACKLLVFRSKGFGADLEFPEVEKEYVQKPDLKPTVPVQIPENDDQMPLDVVIEKCDLFTGDWVPNPSGPTYTNESCPLIEGHQNCMRNGRPDSGYLHWKWKPHDCQLPRFNAERFLELTRNKAWALIGDSISRNHVQSLLCMLATVERPVEVYHDEQYKSKRWHFASYNFTVSNIWSPFLVKAAVFEDNDGVSTAEVQLHLDKLDKTWTDLYPSLDYMIISTGKWFLKAAIYHENDTEVGCHICPGKNLTELGFVYAYNKTLHYVMDFIANSKHKGLIFFRTSTPDHFENGEWHNGGSCPKTTPAKEGEVEIKDLSRILRNVELEEFEKAAAKAADNGVNLKLLDFTNLLLMRPDGHPGPYRQFQPFAENQTAVVQNDCLHWCLPGPMDFWNDVIMEMVVRG >KJB19031 pep chromosome:Graimondii2_0_v6:3:19954419:19957300:-1 gene:B456_003G081500 transcript:KJB19031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRINWKSWSLNKDHLVKLAIGILLVGFACKLLVFRSKGFGADLEFPEVEKEYVQKPDLKPTVPVQIPENDDQMPLDVVIEKCDLFTGDWVPNPSGPTYTNESCPLIEGHQNCMRNGRPDSGYLHWKWKPHDCQLPRFNAERFLELTRNKAWALIGDSISRNHVQSLLCMLATVERPVEVYHDEQYKSKRWHFASYNFTVSNIWSPFLVKAAVFEDNDGVSTAEVQLHLDKLDKTWTDLYPSLDYMIISTGKWFLKAAIYHENDTEVGCHICPGKNLTELGFVYAYNKTLHYVMDFIANSKHKGCPKTTPAKEGEVEIKDLSRILRNVELEEFEKAAAKAADNGVNLKLLDFTNLLLMRPDGHPGPYRQFQPFAENQTAVVQNDCLHWCLPGPMDFWNDVIMEMVVRG >KJB19652 pep chromosome:Graimondii2_0_v6:3:34399173:34401460:-1 gene:B456_003G112600 transcript:KJB19652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMPSALYASVDKYLHGLFGLANDPAAEVRKLVCAAFVQLIEVRPSVLEPHMKNVIEYMLQVNKDTDDEVALEACEFWDQSFMEQFGCRLNRLSFKVPKTKWHFRVSTSGNSYTYIYGAGNSFGTRQHRPYEWSIHLQVKIAYWKNFFQIYA >KJB19653 pep chromosome:Graimondii2_0_v6:3:34398004:34401587:-1 gene:B456_003G112600 transcript:KJB19653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFSFLANTALYASVDKYLHGLFGLANDPAAEVRKLVCAAFVQLIEVRPSVLEPHMKNVIEYMLQVNKDTDDEVALEACEFWDQSFMEQFGCRLNRLSFKVPKTKWHFRVSTSGNSYTYIYGAGNSFGTRQHRPYDICLAIWTTTPWTAPANADGLCNECYEEMSSHVPTSRSYLLLPATIVPLETVLSLKLKFMLLLAGAVHEGFRRARWRIRSTCIPAC >KJB19651 pep chromosome:Graimondii2_0_v6:3:34398004:34401501:-1 gene:B456_003G112600 transcript:KJB19651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMPSALYASVDKYLHGLFGLANDPAAEVRKLVCAAFVQLIEVRPSVLEPHMKNVIEYMLQVNKDTDDEVALEACEFWDQSFMEQFGCRLNRLSFKVPKTKWHFRVSTSGNSYTYIYGAGNSFGTRQHRPYDICLAIWTTTPWTAPANADGLCNECYEEMSSHVPTSRSYLLLPATIVPLETVLSLKLKFMLLLAGAVHEGFRRARWRIRSTCIPAC >KJB19654 pep chromosome:Graimondii2_0_v6:3:34399173:34401539:-1 gene:B456_003G112600 transcript:KJB19654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFSFLANTALYASVDKYLHGLFGLANDPAAEVRKLVCAAFVQLIEVRPSVLEPHMKNVIEYMLQVNKDTDDEVALEACEFWDQSFMEQFGCRLNRLSFKVPKTKWHFRVSTSGNSYTYIYGAGNSFGTRQHRPYEWSIHLQVKIAYWKNFFQIYA >KJB19867 pep chromosome:Graimondii2_0_v6:3:36698165:36699012:-1 gene:B456_003G122200 transcript:KJB19867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLGPRLYSCYNCGNHVSLHDDIISKSFQGRSGRAFLFSHAMNIRVGAKADRHLITGLHTVADIYCGDCGELLGWKYERAYKASQKYKEGKFILEKAKIAKENW >KJB19864 pep chromosome:Graimondii2_0_v6:3:36697931:36699186:-1 gene:B456_003G122200 transcript:KJB19864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLGPRLYSCYNCGNHVSLHDDIISKSFQGRSGRAFLFSHAMNIRVGAKADRHLITGLHTVADIYCGDCGELLGWKYERAYKASQKYKEGKFILEKAKIAKENW >KJB19868 pep chromosome:Graimondii2_0_v6:3:36698165:36699012:-1 gene:B456_003G122200 transcript:KJB19868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLGPRLYSCYNCGNHVSLHDDIISKSFQGRSGRAFLFSHAMNIRVGAKADRHLITGLHTVADIYCGDCGELLGWKYERAYKASQKYKEGKFILEKAKIAKENW >KJB19865 pep chromosome:Graimondii2_0_v6:3:36697931:36699754:-1 gene:B456_003G122200 transcript:KJB19865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSTTISFPSLFRSGRAFLFSHAMNIRVGAKADRHLITGLHTVADIYCGDCGELLGWKYERAYKASQKYKEGKFILEKAKIAKENW >KJB19866 pep chromosome:Graimondii2_0_v6:3:36697931:36699754:-1 gene:B456_003G122200 transcript:KJB19866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSTTISFPSLFRSGRAFLFSHAMNIRVGAKADRHLITGLHTVADIYCGDCGELLGWKYERAYKASQKYKEGKFILEKAKIAKENW >KJB20110 pep chromosome:Graimondii2_0_v6:3:39088057:39091277:1 gene:B456_003G133400 transcript:KJB20110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSEGSAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDASVQSDMKLWPFTVTPGAGDKPMITVAYKGEDKMFAAEEISSMVLMKMKETAEAYLGSSVKNGVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDEKIGAKLNPADKKRIEDAVEQTINWLDSNQLAEADEFEDKMKELENMCNPIIAKMYQGAGGEPAAGKAGEAPPAGGSGAGPKIEEVD >KJB18595 pep chromosome:Graimondii2_0_v6:3:11811101:11813649:1 gene:B456_003G063100 transcript:KJB18595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVVHRTPKSGRQSLFYQDLASPISARRGKFSSPGQAAAVSALWQENFGGSDLPPPPMYTLEDRSDFSPESGILDYPMSPEIKSDPKSPVQSSGHDFSTPAKSKSGASTSFAILNGQQNQQSPASLSWWSPAKNSSNEQDDKGKGSPVEGVVQPGALITLPPPREVARPEIQRNSVPAGNLDEEEWVTVYGFSPADTNLVLREFEKCGVILKHVPGPRDANWMHILYLNRSDAQRALSKNGMQINGALIIGVKPVDPMQREALNERINNQGFMTLPPPSSRTPELSNFRPSRPYYLQNGNTNARQSGSAAIANPTKSLGTKIMEYMFGY >KJB18593 pep chromosome:Graimondii2_0_v6:3:11810784:11813663:1 gene:B456_003G063100 transcript:KJB18593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVVHRTPKSGRQSLFYQDLASPISARRGKFSSPGQAAAVSALWQENFGGSDLPPPPMYTLEDRSDFSPESGILDYPMSPEIKSDPKSPVQSSGHDFSTPAKSKSGASTSFAILNGQQNQQSPASLSWWSPAKNSSNEQDDKGKGSPVEGVVQPGALITLPPPREVARPEIQRNSVPAGNLDEEEWVTVYGFSPADTNLVLREFEKCGVILKHVPGPRDANWMHILYLNRSDAQRALSKNGMQINGALIIGVKPVDPMQREALNERINNQGFMTLPPPSSRTPELSNFRPSRPYYLQNGNTNARQSGSAAIANPTKSLGTKIMEYMFGY >KJB18594 pep chromosome:Graimondii2_0_v6:3:11810706:11813688:1 gene:B456_003G063100 transcript:KJB18594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVVHRTPKSGRQSLFYQDLASPISARRGKFSSPGQAAAVSALWQENFGGSDLPPPPMYTLEDRSDFSPESGILDYPMSPEIKSDPKSPVQSSGHDFSTPAKSKSGASTSFAILNGQQNQQSPASLSWWSPAKNSSNEQDDKGKGSPVEGVVQPGALITLPPPREVARPEIQRNSVPAGNLDEEEWVTVYGFSPADTNLVLREFEKCGVILKHVPGPRDANWMHILYLNRSDAQRALSKNGMQINGALIIGVKPVDPMQREALNERINNQGFMTLPPPSSRTPELSNFRPSRPYYLQNGNTNARQSGSAAIANPTKSLGTKIMEYMFGY >KJB17881 pep chromosome:Graimondii2_0_v6:3:1626123:1626539:-1 gene:B456_003G021600 transcript:KJB17881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKDDPKQVFPPPPPTETSDFGDSVEGYKEDFRPTTPGNSPGVGHSCPEDDEDIAERQPGSYSLQGNGKHISKQGNGKHSIAGHSPGVGHAYPNQHSEPNA >KJB18821 pep chromosome:Graimondii2_0_v6:3:16326337:16335532:1 gene:B456_003G070500 transcript:KJB18821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRKKNVAPRSKQAPELTPNNAKIDVLALPLESDYGSPSSSPSSYAAVKGECERALTALRRGNHTKALRLMKDSCTRHENSVHAALIHRVQGTVCVKVASIIDDSNAKQRHLKNAIDSAKKAVELSPNSIEFAHFYANLLYELANDAKEYEVVIQECERALAIENPVDPAKESLQEESQQKISTAEARILHVQSELKSLIQKSNIASISNWMKNLGSGEEKYRVIPLRRLPEDPMEVRLVQARRPNEIKKATKTPEERRKEIEVRVAAARLLQQQKSDAASSSPVLQGEGERNGLDFTSGGGQRGGADRRRKNSSTAERRDWVRSFWNSMSVDSKKDLLKIRVSDLKAYFGLLKDGLASEVLSEALAFAEVNKTFKFWVCCRCSEKFADSESHMQHVVQEHMGNLIPKMQTVLPQSVDKEWIEMLLNCSWDPLDISAAVKMIGNQPKFGEPEFSHDFYSRNHNEDSDNCLKDVSGKENFRDPYNCGSFKGDDCDKVHNIECKECDGNQGSVAYPLMNSWPTVDDAERARLLERIRATFELLIRHNYLAAGHLNKVTQFTMDELQSMVSGSQLLNYGVDQSPMCIRFLGATQLRKILKLLQDISHSCGLARYSEKTATMDDVNGAAEVLEVKEKIILSADASCLLLDEHLLPDAAIEDATQGNANGSNGNGVLQDADALLSWIFAGPSSGDQLASWMRVKEEKTQQGLEILQMLEKEFYHLQSLCERKCDHISYEEALQAVEDLCLEEGKKRETSTEFVHRSYESVLRKRREELVENESDVMFLSGRFELDAISNILKEAEALNVNQFGYGDTYAGLTSQLCDLESGEDDDWGAKDYLHQVDTCIEVAIQRQKEQLSVELSKIDARIMRNVTGMQQLEVKLEPVSAHDYQSVLLPLVKSYLRVHLEDLAEKDATEKSDAAREAFLAELARDSKKGIRGGNDNSRHSQDKSKDKKKNKEFRKSKDSKVSGGNELHILTDETAEQVSLAVASDGDHLGSEVVSVNSDDLKQQEEELRRKIELEAEERKLEETLEYQRRIENVAKQKHLAEQNKKTNQAYAKNARDGLHDAYLEVGDLDIKEHLARRNGVVNNWNSIPVSNANGSVVPVTHNKFKQGLSNGSVSEDGLLPSERRTGRKGRRHKSSNKFLDGKSPVVSSEKESIQVGSSHVHVEEQVRYVDGVPTDSVVSVSGEGNTKTLGQLQAQEDDEERFQADLKKAVRQSLDTYQAQRVPLQVNNHIVSPNDVSNEGLNETDVFGTGLQNEVGEYNCFLNVIIQSLWHLRRFRDEFLRRSTSDHVHVGDPCVVCSLYEIFIALNIASTDARKEPVAPTSLRIALSNLYPDSNFFQEAQMNDASEVLAVIFDCLHRSFTSGSSDCDADSGDSHCTGSWDCANNACIVHSLFGMDIFERMNCYSCGLESRHLKYTTFFHNINASALRTMKVMCAESSFDEVLNLVEMNHQLACDAEAGGCGKLNYIHHILSNSPRVFATVLGWQNTCESADDIAATLAALNTEIDISVLYRGLDPKNKHNLVSVVCYYGQHYHCFAYSHDRERWIMYDDKIVKVILCYFMMSSSVSCCLWCYNLIFDVQVIGSWADVITMCERGHLQPQVLFFEAVN >KJB18820 pep chromosome:Graimondii2_0_v6:3:16326214:16335532:1 gene:B456_003G070500 transcript:KJB18820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRKKNVAPRSKQAPELTPNNAKIDVLALPLESDYGSPSSSPSSYAAVKGECERALTALRRGNHTKALRLMKDSCTRHENSVHAALIHRVQGTVCVKVASIIDDSNAKQRHLKNAIDSAKKAVELSPNSIEFAHFYANLLYELANDAKEYEVVIQECERALAIENPVDPAKESLQEESQQKISTAEARILHVQSELKSLIQKSNIASISNWMKNLGSGEEKYRVIPLRRLPEDPMEVRLVQARRPNEIKKATKTPEERRKEIEVRVAAARLLQQQKSDAASSSPVLQGEGERNGLDFTSGGGQRGGADRRRKNSSTAERRDWVRSFWNSMSVDSKKDLLKIRVSDLKAYFGLLKDGLASEVLSEALAFAEVNKTFKFWVCCRCSEKFADSESHMQHVVQEHMGNLIPKMQTVLPQSVDKEWIEMLLNCSWDPLDISAAVKMIGNQPKFGEPEFSHDFYSRNHNEDSDNCLKDVSGKENFRDPYNCGSFKGDDCDKVHNIECKECDGNQGSVAYPLMNSWPTVDDAERARLLERIRATFELLIRHNYLAAGHLNKVTQFTMDELQSMVSGSQLLNYGVDQSPMCIRFLGATQLRKILKLLQDISHSCGLARYSEKTATMDDVNGAAEVLEVKEKIILSADASCLLLDEHLLPDAAIEDATQGNANGSNGNGVLQDADALLSWIFAGPSSGDQLASWMRVKEEKTQQGLEILQMLEKEFYHLQSLCERKCDHISYEEALQAVEDLCLEEGKKRETSTEFVHRSYESVLRKRREELVENESDVMFLSGRFELDAISNILKEAEALNVNQFGYGDTYAGLTSQLCDLESGEDDDWGAKDYLHQVDTCIEVAIQRQKEQLSVELSKIDARIMRNVTGMQQLEVKLEPVSAHDYQSVLLPLVKSYLRVHLEDLAEKDATEKSDAAREAFLAELARDSKKGIRGGNDNSRHSQDKSKDKKKNKEFRKSKDSKVSGGNELHILTDETAEQVSLAVASDGDHLGSEVVSVNSDDLKQQEEELRRKIELEAEERKLEETLEYQRRIENVAKQKHLAEQNKKTNQAYAKNARDGLHDAYLEVGDLDIKEHLARRNGVVNNWNSIPVSNANGSVVPVTHNKFKQGLSNGSVSEDGLLPSERRTGRKGRRHKSSNKFLDGKSPVVSSEKESIQVGSSHVHVEEQVRYVDGVPTDSVVSVSGEGNTKTLGQLQAQEDDEERFQADLKKAVRQSLDTYQAQRVPLQVNNHIVSPNDVSNEGLNETDVFGTGLQNEVGEYNCFLNVIIQSLWHLRRFRDEFLRRSTSDHVHVGDPCVVCSLYEIFIALNIASTDARKEPVAPTSLRIALSNLYPDSNFFQEAQMNDASEVLAVIFDCLHRSFTSGSSDCDADSGDSHCTGSWDCANNACIVHSLFGMDIFERMNCYSCGLESRHLKYTTFFHNINASALRTMKVMCAESSFDEVLNLVEMNHQLACDAEAGGCGKLNYIHHILSNSPRVFATVLGWQNTCESADDIAATLAALNTEIDISVLYRGLDPKNKHNLVSVVCYYGQHYHCFAYSHDRERWIMYDDKIVKVIGSWADVITMCERGHLQPQVLFFEAVN >KJB17536 pep chromosome:Graimondii2_0_v6:3:256700:258497:1 gene:B456_003G004500 transcript:KJB17536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTCFHGVFVSIAVTSLLLLQAEAPSPPPPAVYIFGDSTLDVGTNNFIPECAARADFYFNGIDFPYLKPTGRFSNGLNTADQIVRLLGLKKSPPPFLYLVNDPSNFQKNILQGANFASGGSGILRDTGKAKRVIPLEEQIQQFSTIRSNITNMTGSEEATDKILSKAFILISIGSNDMFEYLLDLSKPMSLAEFNATLISTYEYHIKTLYELGARTFGILTVPPIGCTPFARAVFTGNNSCSEPAQAMAVQFYFDVASSLEQFSSTVQDMKYSVGNTFLMTSVLTGDKLAFGLKNIAAACCGNGTYGCNQTASFCSNRDEYLFWDQFHPTQRASELVALTLFGAAEPIMVPMNFSQLLGVNI >KJB19727 pep chromosome:Graimondii2_0_v6:3:35471604:35479495:-1 gene:B456_003G116600 transcript:KJB19727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMHDEAAPHYIDMIDQTTLGHRFIKREFNITPRIGWQIDPFGHSAVQAYLLSAEVGFDSLFFARIDYQDRAKRKDQKSLEVVWRGSKSLGSSSQIFAGAFPENYEPPSNFYYEVNDDSPIVQDNMELFDYNVPQRVNEFVAAAFSQANITRTNHVMWTMGTDFKYQYAHTWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYATNEAWPLKTDDYFPYADRINAYWTGYFTSRPALKGYVRTMSGYYLAARQLEFLKGRSKAGPNTDYLADALALAQHHDAVSGTSKQHVANDYAKRLSIGYEEAAKVVETSLTSITRSSSKTDSGNKVTKFQQCLLLNISYCPSSEVDLSNGKNLVVVVYNPLGWKREDIIRIPVIDETVIVKDHRGNEIESQIVPLLNASLAIRNYHSMAYLGKFPSVTPKYWLAFSASAPPLGLNTYFISSGKQKAIAAPSKNQVVYSSKEKQKDVIEVGPGDLKLVFSAKQRKLIGYINSRTKVKETVRQSYSFYTGATDIKQASGAYIFRPNGTNSLKPDHQALTVLRGPILDEVHQRINSWIYQITRVYKGKEHAEFEFIVGPIPISDGIGKEVVTKILTHMKTSKTFYTDSSCRDFLERIRNYRKDWNLDVNQPVAGNYYPINLGMYVKDDDKELSVLVDRSMGGASIKDGELELMLHRRLLHDDGRGVAETLNETVCVQNKCSGLTIVGKYYLRIDPLGEAAKWRRSFGQEIYSPFLLAFTQQEGDGWTNSHVSSFSGMDPSYVLPDNVAMITLQELDNGQVLLRLAHLYEVGEDKDLSVMASVQLKKVFAHKKINKVTEMSLSANQGRVEMEKKRLVWKVKGSPEEVPKVVRGGPVDPAALVVELAPMEIRTFVIDFN >KJB19726 pep chromosome:Graimondii2_0_v6:3:35471572:35481151:-1 gene:B456_003G116600 transcript:KJB19726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHGAWILILVTLMSIWCVEPKYIIYNTTSKIVSGKLNVHLVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSIVPALLADKNRKFIYVEQAFFQRWWRDQSETVQETVKRLLNSGQLELINGGMCMHDEAAPHYIDMIDQTTLGHRFIKREFNITPRIGWQIDPFGHSAVQAYLLSAEVGFDSLFFARIDYQDRAKRKDQKSLEVVWRGSKSLGSSSQIFAGAFPENYEPPSNFYYEVNDDSPIVQDNMELFDYNVPQRVNEFVAAAFSQANITRTNHVMWTMGTDFKYQYAHTWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYATNEAWPLKTDDYFPYADRINAYWTGYFTSRPALKGYVRTMSGYYLAARQLEFLKGRSKAGPNTDYLADALALAQHHDAVSGTSKQHVANDYAKRLSIGYEEAAKVVETSLTSITRSSSKTDSGNKVTKFQQCLLLNISYCPSSEVDLSNGKNLVVVVYNPLGWKREDIIRIPVIDETVIVKDHRGNEIESQIVPLLNASLAIRNYHSMAYLGKFPSVTPKYWLAFSASAPPLGLNTYFISSGKQKAIAAPSKNQVVYSSKEKQKDVIEVGPGDLKLVFSAKQRKLIGYINSRTKVKETVRQSYSFYTGATDIKQASGAYIFRPNGTNSLKPDHQALTVLRGPILDEVHQRINSWIYQITRVYKGKEHAEFEFIVGPIPISDGIGKEVVTKILTHMKTSKTFYTDSSCRDFLERIRNYRKDWNLDVNQPVAGNYYPINLGMYVKDDDKELSVLVDRSMGGASIKDGELELMLHRRLLHDDGRGVAETLNETVCVQNKCSGLTIVGKYYLRIDPLGEAAKWRRSFGQEIYSPFLLAFTQQEGDGWTNSHVSSFSGMDPSYVLPDNVAMITLQELDNGQVLLRLAHLYEVGEDKDLSVMASVQLKKVFAHKKINKVTEMSLSANQGRVEMEKKRLVWKVKGSPEEVPKVVRGGPVDPAALVVELAPMEIRTFVIDFN >KJB21065 pep chromosome:Graimondii2_0_v6:3:45314044:45317484:1 gene:B456_003G181400 transcript:KJB21065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNLKQTLGDLNKESFISLLTKIIGESKFLQNNPPELIPEEDRVIKHVLDCLLPYSTTTGGPLIVNHVTYFPGRGNLIVEYPGTQPGKILSFVGMHMDVVTANPNDWDFDPFSLSIDGDKLRGRGTTDCLGHVALVTELMKRLAETKPKLESTVVAVFIANEENSAITGVGVDALVKDGLLDKLKGGPLFWIDTADKQPCIGTGGMIPWKLQVTGKLFHSGLPHKAINALELGMEALKEIQLRFYKDFPPHPDEQVYGFATPSTMKPTQWNYPGGGINQIPGECTISGDVRLTPFYNVKDVMSKLQEYVDYINENLNKLDTRGPVSKYILHDENLRGSLTLTFDEAMSGVACDLNSRGFHVLCKATEEAVGHVKPYSITGSLPLIRELQV >KJB21068 pep chromosome:Graimondii2_0_v6:3:45314044:45318275:1 gene:B456_003G181400 transcript:KJB21068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNLKQTLGDLNKESFISLLTKIIGESKFLQNNPPELIPEEDRVIKHVLDCLLPYSTTTGGPLIVNHVTYFPGRGNLIVEYPGTQPGKILSFVGMHMDVVTANPNDWDFDPFSLSIDGDKLRGRGTTDCLGHVALVTELMKRLAETKPKLESTVVAVFIANEENSAITGVGVDALVKDGLLDKLKGGPLFWIDTADKQPCIGTGGMIPWKLQVTGKLFHSGLPHKAINALELGMEALKEIQLRFYKDFPPHPDEQVYGFATPSTMKPTQWNYPGGGINQIPGECTISGDVRLTPFYNVKDVMSKLQEYVDYINENLNKLDTRGPVSKYILHDENLRGSLTLTFDEAMSGVACDLNSRGFHVLCKATEEAVGHVKPYSITGSLPLIRELQDEGFDVQTAGYGLMATYHAKNEYCLLSDMCQGYHVFTGIIAQLED >KJB21067 pep chromosome:Graimondii2_0_v6:3:45314044:45318275:1 gene:B456_003G181400 transcript:KJB21067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLAETKPKLESTVVAVFIANEENSAITGVGVDALVKDGLLDKLKGGPLFWIDTADKQPCIGTGGMIPWKLQVTGKLFHSGLPHKAINALELGMEALKEIQLRFYKDFPPHPDEQVYGFATPSTMKPTQWNYPGGGINQIPGECTISGDVRLTPFYNVKDVMSKLQEYVDYINENLNKLDTRGPVSKYILHDENLRGSLTLTFDEAMSGVACDLNSRGFHVLCKATEEAVGHVKPYSITGSLPLIRELQDEGFDVQTAGYGLMATYHAKNEYCLLSDMCQGYHVFTGIIAQLED >KJB21066 pep chromosome:Graimondii2_0_v6:3:45314044:45317598:1 gene:B456_003G181400 transcript:KJB21066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNLKQTLGDLNKESFISLLTKIIGESKFLQNNPPELIPEEDRVIKHVLDCLLPYSTTTGGPLIVNHVTYFPGRGNLIVEYPGTQPGKILSFVGMHMDVVTANPNDWDFDPFSLSIDGDKLRGRGTTDCLGHVALVTELMKRLAETKPKLESTVVAVFIANEENSAITGVGVDALVKDGLLDKLKGGPLFWIDTADKQPCIGTGGMIPWKLQVTGKLFHSGLPHKAINALELGMEALKEIQLRFYKDFPPHPDEQVYGFATPSTMKPTQWNYPGGGINQIPGECTISGDVRLTPFYNVKDVMSKLQEYVDYINENLNKLDTRGPVSKYILHDENLRGSLTLTFDEAMSGVACDLNSRGFHVLCKATEEAVGHVKPYSITGSLPLIRELQDEGFDVQTAGYGMRTYCVFHNHIVYFRFKLLKSTVDSESIYGILRVIN >KJB18886 pep chromosome:Graimondii2_0_v6:3:17661683:17662050:1 gene:B456_003G073900 transcript:KJB18886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHEKKIYTVFNIGVRTSKGKPVFIFIIFWRNRIKLPSIKMVSFRIWEKWGEKDKTQIPDIRCYKKTKLKSKKMKENKIRPWIGVIFEVVKVLTMAVAPPDCNEAKGKEKNNSFLVQN >KJB18995 pep chromosome:Graimondii2_0_v6:3:19652260:19654620:1 gene:B456_003G079600 transcript:KJB18995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDRCQTRYGYKEINFPFQLKNQPNEYRCRYPGLDVSCNNQTDTSIAFPSSGNFTAQIIGNVEQIIEIADPNGCLAKRLLEGFDLSGTPFLTVYLQNFMLLNCSNDVPFSTVSVSSLLNWEYLTDTMWLTWNEPDCKRCLKSGVCKYNKNTGFDDGCSVDLDPGSSNLAKYFAIFLAVSVFFIVGLVICISRMKCCLHKWRNTNTETFTYGSTILQSNAVKKGLDQRVIEMYPTMVVDTLKTIPSCMHYFHANCEFFLESLNGLFKLLLGTPVIVIVVCIVYYYVRVHCYDHGQHPNVEIFSLSAEQQLSSLPRPNDNTCSICLSEYQAKETIRTIPYSSHYFHANCMSGLS >KJB20955 pep chromosome:Graimondii2_0_v6:3:44564478:44564996:-1 gene:B456_003G174300 transcript:KJB20955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYQRRYHNCRERYYSSKFTRPKQNDNGNSWEPLPAWEKKFCIKVGAMPWKRFVESKNNLFKTDKVFEWDDSAGLKAFQEAKQRFWEYYHRFPCTNKLPSNAADMYIDDIDWNSKIDPKLFLEIKSISDDEEEEKEEVKRIDSFSIPLEQIKATGWEYDEPTSRFPTIVGS >KJB20200 pep chromosome:Graimondii2_0_v6:3:39776707:39777771:-1 gene:B456_003G138000 transcript:KJB20200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KJB20778 pep chromosome:Graimondii2_0_v6:3:43419158:43429373:-1 gene:B456_003G164500 transcript:KJB20778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKEPQQPKELPIVLVHRLPSYEIPLDPSFRDQLRSQFHMLDPHESPEPYDSFLARHAPFIRVLMTIGYSPISAEFLERLPVLELIVATSAGLNHIDLPACWSRGIVVTNVSLAFAEDVADCAVGLLIDVLRRISAADRFVRGRLWPVKENYPLGFKLGGKRVGIVGLGSIGSEVAKRLLAFGCTIAYTSRNKKQSVSFPFYANVRDLAANSDVLVLCFALTKETYHMINKDVMVALGKDGVIINVGRGSLINEKELVQCLVGGEMGGAGLDVYENEPNVPKELFGLDNVVLSPHCAVMTPESFEALDQLIVVNLKAFFSNKPLVSVVSNE >KJB20777 pep chromosome:Graimondii2_0_v6:3:43419158:43421554:-1 gene:B456_003G164500 transcript:KJB20777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKEPQQPEELPIVLVHRLPSFKIPSGSSFRDQLRSQFHMLDPHESPEPYDSFLARHAPFIRVLMATGPSPVSAEFLERLPFLELIVATSAGLNHIDLPACWSRGIVVTNASLAFAEDVADCAVGLLIDVLRRISAADRFVRGRLWPVKENYPLGFKLGGKRVGIVGLGSIGSEVAKRLLAFGCTIAYTSRNKKQSVSFPFYANVRDLAANSDVLVLCFALTKETYHMINKDVMVALGKDGVIINVGRGSLINEKELVQCLVGGEMGGAGLDVYENEPNVPKELFGLDNVVLSPHCAVMTPESFEALDQLIVVNLKAFFSNKPLVSVVSNE >KJB18464 pep chromosome:Graimondii2_0_v6:3:8422962:8425243:1 gene:B456_003G054400 transcript:KJB18464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHVSQDTPVGVPAALIWDVYRGLELGRLVDKLAPEVLGRVEFLEGDGGVGTIAKLTLPPGTPGRGYMKERFTKIDDENRVKETEVLEGGYKDLGFDVVRIRLEIVEKDSESCMVRSTIEYEGDEKLADVVSHVNVKPLEMMAEIIGKHLCQNKSTP >KJB18467 pep chromosome:Graimondii2_0_v6:3:8424610:8425026:1 gene:B456_003G054400 transcript:KJB18467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALEPLPNSHFHQARSPGRGYMKERFTKIDDENRVKETEVLEGGYKDLGFDVVRIRLEIVEKDSESCMVRSTIEYEGDEKLADVVSHVNVKPLEMMAEIIGKHLCQNKSTP >KJB18463 pep chromosome:Graimondii2_0_v6:3:8423178:8425219:1 gene:B456_003G054400 transcript:KJB18463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHVSQDTPVGVPAALIWDVYRGLELGRLVDKLAPEVLGRVEFLEGDGGVGTIAKLTLPPGSPGRGYMKERFTKIDDENRVKETEVLEGGYKDLGFDVVRIRLEIVEKDSESCMVRSTIEYEGDEKLADVVSHVNVKPLEMMAEIIGKHLCQNKSTP >KJB18468 pep chromosome:Graimondii2_0_v6:3:8423172:8425219:1 gene:B456_003G054400 transcript:KJB18468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHVSQDTPVGVPAALIWDVYRGLELGRLVDKLAPEVLGRVEFLEGDGGVGTIAKLTLPPGSPGRGYMKERFTKIDDENRVKETEVLEGGYKDLGFDVVRIRLEIVEKDSESCMVRSTIEYEGDEKLADVVSHVNVKPLEMMAEIIGKHLCQNKSTP >KJB18465 pep chromosome:Graimondii2_0_v6:3:8423046:8425219:1 gene:B456_003G054400 transcript:KJB18465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHVSQDTPVGVPAALIWDVYRGLELGRLVDKLAPEVLGRVEFLEGDGGVGTIAKLTLPPGTPGRGYMKERFTKIDDENRVKETEVLEGGYKDLGFDVVRIRLEIVEKDSESCMVRSTIEYEGDEKLADVVSHVNVKPLEMMAEIIGKHLCQNKSTP >KJB18466 pep chromosome:Graimondii2_0_v6:3:8422779:8425266:1 gene:B456_003G054400 transcript:KJB18466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHVSQDTPVGVPAALIWDVYRGLELGRLVDKLAPEVLGRVEFLEGDGGVGTIAKLTLPPGSPGRGYMKERFTKIDDENRVKETEVLEGGYKDLGFDVVRIRLEIVEKDSESCMVRSTIEYEGDEKLADVVSHVNVKPLEMMAEIIGKHLCQNKSTP >KJB18462 pep chromosome:Graimondii2_0_v6:3:8422779:8425266:1 gene:B456_003G054400 transcript:KJB18462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHVSQDTPVGVPAALIWDVYRGLELGRLVDKLAPEVLGRVEFLEGDGGVGTIAKLTLPPGSPGRGYMKERFTKIDDENRVKETEVLEGGYKDLGFDVVRIRLEIVEKDSESCMVRSTIEYEGDEKLADVVSHVNVKPLEMMAEIIGKHLCQNKSTP >KJB20169 pep chromosome:Graimondii2_0_v6:3:39473314:39474646:1 gene:B456_003G136100 transcript:KJB20169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFFLLMIGIEGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KJB20165 pep chromosome:Graimondii2_0_v6:3:39473521:39473945:1 gene:B456_003G136100 transcript:KJB20165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQVSIETF >KJB20168 pep chromosome:Graimondii2_0_v6:3:39473291:39474646:1 gene:B456_003G136100 transcript:KJB20168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAVERMVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KJB20166 pep chromosome:Graimondii2_0_v6:3:39473196:39474646:1 gene:B456_003G136100 transcript:KJB20166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KJB20164 pep chromosome:Graimondii2_0_v6:3:39473185:39474646:1 gene:B456_003G136100 transcript:KJB20164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KJB20163 pep chromosome:Graimondii2_0_v6:3:39473248:39474646:1 gene:B456_003G136100 transcript:KJB20163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KJB20167 pep chromosome:Graimondii2_0_v6:3:39473182:39474660:1 gene:B456_003G136100 transcript:KJB20167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KJB20830 pep chromosome:Graimondii2_0_v6:3:43716675:43721665:1 gene:B456_003G167300 transcript:KJB20830 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G12060) UniProtKB/Swiss-Prot;Acc:Q8GW78] MAVAQSLSNPSSTTSLISQSRNQSKPTSPPSFLKPHSLQSPWLGFKISFQPSQTRPYLPNHRTITATISLSLPTSKTDRVASAGKVPKWSRRAIKSFAMAELEARKLKYPTTGTESLLMGILIEGTNLAAKFLRANGITLSKVRDETVKLLGKGDMFFFSPEHPPLTEDAQRALDWAVDEKLKSGGDGEITTTHLLLGIWSEVESPGHKIMAALGFNDAKSKELTSSSEPDSVDGFPSEASICALSASSCHLILTTIINNNAKHVAIPTKNPSNNPLSPSAALKASTTPNGKAKR >KJB20829 pep chromosome:Graimondii2_0_v6:3:43716768:43719096:1 gene:B456_003G167300 transcript:KJB20829 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G12060) UniProtKB/Swiss-Prot;Acc:Q8GW78] MAVAQSLSNPSSTTSLISQSRNQSKPTSPPSFLKPHSLQSPWLGFKISFQPSQTRPYLPNHRTITATISLSLPTSKTDRVASAGKVPKWSRRAIKSFAMAELEARKLKYPTTGTESLLMGILIEGTNLAAKFLRANGITLSKVRDETVKLLGKGDMFFFSPEHPPLTEDAQRALDWAVDEKLKSGGDGEITTTHLLLGIWSEVESPGHKIMAALGFNDAKSKELTSSSEPDSVDG >KJB19509 pep chromosome:Graimondii2_0_v6:3:32852111:32853547:-1 gene:B456_003G1069001 transcript:KJB19509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLSRDSSLQKKALENRCKAEADQQHVPDLTDFINDMFFGTVDNDKKAHYNLTGKSTDEEDEDFDSSTRSNSSKLTQEWLEEARRMMASSPSRSDSPSRLVGSPKFAAAQPGRLSLSSSFERRDPLSRSARRNRQLEGFSEEILTKSAKHSRNKSETPDTVNSPSPTDNISTAEAVHKWFSNILKPTNHTPPSSAPPSPTRNDLTSSLPPRQSTFRRSRFQADPSARVPVPSSTTLKTQLSLQDTQLLSPPKKLVESTHRRSISSSTCFFEQNKPLSPPRNLVESAQRRSISKSTCSLEKIAPRKSNANGWSKEDDGTREISLNKFLKDQRSKFEMILNGEVGSKAKIVLSGPSNSTSSMVAAICYAWLLDNRGKKSKGGEEEGCIVVPVMNVKRERMWKHRQAAWLFHHVGLDATSLLFAEE >KJB17913 pep chromosome:Graimondii2_0_v6:3:1986746:1987794:-1 gene:B456_003G023600 transcript:KJB17913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKICVVHLFSGMQQYRPIREGEVARLIEKISKLSVDSKPVNLSESMMCLSSTIICRIAFGKRYDEEGAERSRFHELLNESQAIFSSFSCSDYFPYMGWLDRFTGLHSRLEKTFKELDTFYQQLIDEHLDPNRLKPQQEDILDVLLQTWKDHDFSFDLTIDQIKAILMNVFIGGTDTSSATVIWVMSFLMKNPKCLKKTQAEIRNLVGQKGFVNEDDTRDLTYLKAVIKETFRLQSIAPLLVPRETLRKCNIGGYDIPAKTLVYVNAWAIGKDPETWENPEEFYPERFIGSPIDYKGQHFELMELVEGFVLECIWELQ >KJB18483 pep chromosome:Graimondii2_0_v6:3:8672740:8673540:1 gene:B456_003G055200 transcript:KJB18483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFTPKKKGKTKLISIGRKFKESKTKLCPLIPFEQITCELKDFEFLLISCVSAATTTTISELFHYRQQKSNLHSSKKMKRKNNKNHKKRGINQQPIGPKQHVAAKKQPHISSLSFIASREEDERHRRLVQCRFDNERQKGRKSKMNAGHWCWELLLAGGKH >KJB21098 pep chromosome:Graimondii2_0_v6:3:45437105:45438899:1 gene:B456_003G183000 transcript:KJB21098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGPDEEDNKNDKWPPWLKPLLKEQFFVQCKLHIDSHKSECNMYCLDCMNGSLCSFCLAYHRDHNYIQIRRSSYHDVIRVSEIQKYLDISGVQTYVINSAKVVFLNERPQPRPGKGVINTCEVCDRSLVDNFRFCSLGCKVNFFFLIFHLLFNCSSPFFLTASNFIRLCNQQ >KJB21097 pep chromosome:Graimondii2_0_v6:3:45436947:45438924:1 gene:B456_003G183000 transcript:KJB21097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGPDEEDNKNDKWPPWLKPLLKEQFFVQCKLHIDSHKSECNMYCLDCMNGSLCSFCLAYHRDHNYIQIRRSSYHDVIRVSEIQKYLDISGVQTYVINSAKVVFLNERPQPRPGKGVINTCEVCDRSLVDNFRFCSLGCKIVGTSKDFQKKKRQLAMVSSDSEDSYSSSSHGKPRKNDDNSNKVRSFSPSTPPPTSVNYRNAKRRKGIPQRAPMAGLIIQY >KJB18048 pep chromosome:Graimondii2_0_v6:3:2844970:2847529:1 gene:B456_003G031200 transcript:KJB18048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLSLYCNEAPNEIVVSCEACGDDDDDNESDHCSVDYDDDLLINLFETEVDQMLESKVVSSRFHHSIVTARKDAIQWMLKVHCFYRFRPETAYLSINYMDRFLSARPLPQGKGWPMQLLSVSCLSLAAKMEETTVPFLLDLQIMKPRFLFKPKTVQRMEVLVMQTLNWRLRIITPFDFVHCFIARISSCFSDSHQPNRLCHLFSLASDLIINTCIAAIDSLDYPPSAIAAAVALWITNHSVDEQNLGHLHNGVNQVINTGMVKKIYKVIEGKRSSLKLMPQSPTCVLEAALLCKEIAKY >KJB21223 pep chromosome:Graimondii2_0_v6:3:44618837:44622983:-1 gene:B456_003G174700 transcript:KJB21223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFDGETSNKDKRSRESAKDCHELLADLICKILDGDDKYRTTRRWEDKQVIVEEAMGRLRDPHLLQQWLRKLQSALQEEEVPGEAIEDQIEQLISEAKDFRMVARVLNKVWASYVAKQQLTDPVRIQDLVQTDDESFIFHFPLTPNSSRCAKIRINLRGYHEVDPENRHLPGSSDARANQENVKLSNENISPVQGHADFQNILTNSPAEADHKIESNPERLGQKDTTIEDYSLLRLFDFGKYDMENRHLPDFVGHQYPGEGSSDARANQENMKLSHENTSPAQGHADFQNILTSSLAEADHKIESNPERPGLRDITNTEVMMQNKRSNLEKPRVWKKLEEETKKNKANITKGKRKVEKGEADLKENRLKVVKGEAGLKEKRMKLR >KJB21225 pep chromosome:Graimondii2_0_v6:3:44619578:44622697:-1 gene:B456_003G174700 transcript:KJB21225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFDGETSNKDKRSRESAKDCHELLADLICKILDGDDKYRTTRRWEDKQVIVEEAMGRLRDPHLLQQWLRKLQSALQEEEVPGEAIEDQIEQLISEAKDFRMVARVLNKVWASYVAKQQLTDPVRIQDLVQTDDESFIFHFPLTPNSSRCAKIRINLRGYHEVDPENRHLPDFFGHQYPGEGSSDARANQENVKLSNENISPVQGHADFQNILTNSPAEADHKIESNPERLGQKDTTIEDYSLLRLFDFGKYDMENRHLPDFVGHQYPGEGSSDARANQENMKLSHENTSPAQG >KJB21224 pep chromosome:Graimondii2_0_v6:3:44619188:44622697:-1 gene:B456_003G174700 transcript:KJB21224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFDGETSNKDKRSRESAKDCHELLADLICKILDGDDKYRTTRRWEDKQVIVEEAMGRLRDPHLLQQWLRKLQSALQEEEVPGEAIEDQIEQLISEAKDFRMVARVLNKVWASYVAKQQLTDPVRIQDLVQTDDESFIFHFPLTPNSSRCAKIRINLRGYHEVDPENRHLPDFFGHQYPGEGSSDARANQENVKLSNENISPVQGHADFQNILTNSPAEADHKIESNPERLGQKDTTIEDYSLLRLFDFGKYDMENRHLPDFVGHQYPGEGSSDARANQENMKLSHENTSPAQGHADFQNILTSSLAEADHKIESNPERPGLRDITNTEVMMQNKRSNLEKPRVWKKLEEETKKNKANITKGKRKVEKGEADLKENRLKVVKGEAGLKEKRMKLR >KJB21222 pep chromosome:Graimondii2_0_v6:3:44618794:44622983:-1 gene:B456_003G174700 transcript:KJB21222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFDGETSNKDKRSRESAKDCHELLADLICKILDGDDKYRTTRRWEDKQVIVEEAMGRLRDPHLLQQWLRKLQSALQEEEVPGEAIEDQIEQLISEAKDFRMVARVLNKVWASYVAKQQLTDPVRIQDLVQTDDESFIFHFPLTPNSSRCAKIRINLRGYHEVDPENRHLPDFFGHQYPGEGSSDARANQENVKLSNENISPVQGHADFQNILTSSLAEADHKIESNPERPGLRDITNTEVMMQNKRSNLEKPRVWKKLEEETKKNKANITKGKRKVEKGEADLKENRLKVVKGEAGLKEKRMKLR >KJB21226 pep chromosome:Graimondii2_0_v6:3:44619556:44622983:-1 gene:B456_003G174700 transcript:KJB21226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFDGETSNKDKRSRESAKDCHELLADLICKILDGDDKYRTTRRWEDKQVIVEEAMGRLRDPHLLQQWLRKLQSALQEEEVPGEAIEDQIEQLISEAKDFRMVARVLNKVWASYVAKQQLTDPVRIQDLVQTDDESFIFHFPLTPNSSRCAKIRINLRGYHEVDPENRHLPDFFGHQYPGEGSSDARANQENVKLSNENISPVQGHADFQNILTNSPAEADHKIESNPERLGQKDTTIEDYSLLRLFDFGKYDMENRHLPDFVGHQYPGEGSSDARANQENMKLSHENTSPAQG >KJB21221 pep chromosome:Graimondii2_0_v6:3:44619188:44622697:-1 gene:B456_003G174700 transcript:KJB21221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFDGETSNKDKRSRESAKDCHELLADLICKILDGDDKYRTTRRWEDKQVIVEEAMGRLRDPHLLQQWLRKLQSALQEEEVPGEAIEDQIEQLISEAKDFRMVARVLNKVWASYVAKQQLTDPVRIQDLVQTDDESFIFHFPLTPNSSRCAKIRINLRGYHEVDPENRHLPDFFGHQYPGEGSSDARANQENVKLSNENISPVQGHADFQNILTSSLAEADHKIESNPERPGLRDITNTEVMMQNKRSNLEKPRVWKKLEEETKKNKANITKGKRKVEKGEADLKENRLKVVKGEAGLKEKRMKLR >KJB20517 pep chromosome:Graimondii2_0_v6:3:42124107:42128559:-1 gene:B456_003G153000 transcript:KJB20517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFHNHNHCHIPLCRQQPMKFCMSSVFYFLVIITIFLPLIVADLNSDKQALLEFHAAVPHRRNLNWNSTNPICTTWVGITCAQDNSSVLALRLPGVGFIGRIPSNTLGKLGALKILSLRSNRFIGDLPSDITTLPSLQYLYLQHNNFTGDLPASFSLQLNVLDLSFNSFTGNISETIQNLTSLTRLNLQNNNLSGAIPNLNLTRLKQLNLSYNQLSGPIPSSLQRFPSSSFIGNSLLCGPPLQACSPSPSPSPSPSPTFSPPPPEFPKKQGSKKKLSLGVIIAIAVGGSVVLLLLALIVLCCCLKKKDNGGSSVLKGKASGGGRSEKPKEEFGSGVQEPEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLKEVVVGKKDFEQQMEIIGRVGQHPNVVPLRAYYYSKDEKLLVYDYIPGGSLSTLLHGDRGGGRSPLDWESRVKISLGIARGISHVHSMGGPKFTHGNIKSSNVLINQEHDGCISDLGLTPLMNVPATPSRSVGYRAPEVIETRKHTHKSDVYSFGVLLLEMLTGKAPLQSPRRDDMVDLPRWVQSVVREEWTAEVFDVELMRFQNIEEEMVQMLQIAMACVAKVADMRPNMDEVVRMIEEVRQSDSENRPSSEENKSKDSNVQTP >KJB20520 pep chromosome:Graimondii2_0_v6:3:42124014:42128708:-1 gene:B456_003G153000 transcript:KJB20520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFHNHNHCHIPLCRQQPMKFCMSSVFYFLVIITIFLPLIVADLNSDKQALLEFHAAVPHRRNLNWNSTNPICTTWVGITCAQDNSSVLALRLPGVGFIGRIPSNTLGKLGALKILSLRSNRFIGDLPSDITTLPSLQYLYLQHNNFTGDLPASFSLQLNVLDLSFNSFTGNISETIQNLTSLTRLNLQNNNLSGAIPNLNLTRLKQLNLSYNQLSGPIPSSLQRFPSSSFIGNSLLCGPPLQACSPSPSPSPSPSPTFSPPPPEFPKKQGSKKKLSLGVIIAIAVGGSVVLLLLALIVLCCCLKKKDNGGSSVLKGKASGGGRSEKPKEEFGSGVQEPEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLKEVVVGKKDFEQQMEIIGRVGQHPNVVPLRAYYYSKDEKLLVYDYIPGGSLSTLLHGDRGGGRSPLDWESRVKISLGIARGISHVHSMGGPKFTHGNIKSSNVLINQEHDGCISDLGLTPLMNVPATPSRSVGYRAPEVIETRKHTHKSDVYSFGVLLLEMLTGKAPLQSPRRDDMVDLPRWVQSVVREEWTAEVFDVELMRFQNIEEEMVQMLQIAMACVAKVADMRPNMDEVVRMIEEVRQSDSENRPSSEENKSKDSNVQTP >KJB20519 pep chromosome:Graimondii2_0_v6:3:42125124:42126506:-1 gene:B456_003G153000 transcript:KJB20519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFHNHNHCHIPLCRQQPMKFCMSSVFYFLVIITIFLPLIVADLNSDKQALLEFHAAVPHRRNLNWNSTNPICTTWVGITCAQDNSSVLALRLPGVGFIGRIPSNTLGKLGALKILSLRSNRFIGDLPSDITTLPSLQYLYLQHNNFTGDLPASFSLQLNVLDLSFNSFTGNISETIQNLTSLTRLNLQNNNLSGAIPNLNLTRLKQLNLSYNQLSGPIPSSLQRFPSSSFIGNSLLCGPPLQACSPSPSPSPSPSPTFSPPPPEFPKKQGSKKKLSLGVIIAIAVGGSVVLLLLALIVLCCCLKKKDNGGSSVLKGKASGGGRSEKPKEEFGSGVQEPEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLKEVVVGKKDFEQQMEIIGRVGQHPNVVPLRAYYYSKDEKLLVYDYIPGGSLSTLLHGTYFIFLFFLSL >KJB20518 pep chromosome:Graimondii2_0_v6:3:42124107:42128439:-1 gene:B456_003G153000 transcript:KJB20518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFHNHNHCHIPLCRQQPMKFCMSSVFYFLVIITIFLPLIVADLNSDKQALLEFHAAVPHRRNLNWNSTNPICTTWVGITCAQDNSSVLALRLPGVGFIGRIPSNTLGKLGALKILSLRSNRFIGDLPSDITTLPSLQYLYLQHNNFTGDLPASFSLQLNVLDLSFNSFTGNISETIQNLTSLTRLNLQNNNLSGAIPNLNLTRLKQLNLSYNQLSGPIPSSLQRFPSSSFIGNSLLCGPPLQACSPSPSPSPSPSPTFSPPPPEFPKKQGSKKKLSLGVIIAIAVGGSVVLLLLALIVLCCCLKKKDNGGSSVLKGKASGGGRSEKPKEEFGSGVQEPEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLKEVVVGKKDFEQQMEIIGRVGQHPNVVPLRAYYYSKDEKLLVYDYIPGGSLSTLLHGDRGGGRSPLDWESRVKISLGIARGISHVHSMGGPKFTHGNIKSSNVLINQEHDGCISDLGLTPLMNVPATPSRSVGYRAPEVIETRKHTHKSDVYSFGVLLLEMLTGKAPLQSPRRDDMVDLPRWVQSVVREEWTAEVFDVELMRFQNIEEEMVQMLQIAMACVAKVADMRPNMDEVVRMIEEVRQSDSENRPSSEENKSKDSNVQTP >KJB20521 pep chromosome:Graimondii2_0_v6:3:42124014:42128714:-1 gene:B456_003G153000 transcript:KJB20521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFHNHNHCHIPLCRQQPMKFCMSSVFYFLVIITIFLPLIVADLNSDKQALLEFHAAVPHRRNLNWNSTNPICTTWVGITCAQDNSSVLALRLPGVGFIGRIPSNTLGKLGALKILSLRSNRFIGDLPSDITTLPSLQYLYLQHNNFTGDLPASFSLQLNVLDLSFNSFTGNISETIQNLTSLTRLNLQNNNLSGAIPNLNLTRLKQLNLSYNQLSGPIPSSLQRFPSSSFIGNSLLCGPPLQACSPSPSPSPSPSPTFSPPPPEFPKKQGSKKKLSLGVIIAIAVGGSVVLLLLALIVLCCCLKKKDNGGSSVLKGKASGGGRSEKPKEEFGSGVQEPEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLKEVVVGKKDFEQQMEIIGRVGQHPNVVPLRAYYYSKDEKLLVYDYIPGGSLSTLLHGDRGGGRSPLDWESRVKISLGIARGISHVHSMGGPKFTHGNIKSSNVLINQEHDGCISDLGLTPLMNVPATPSRSVGYRAPEVIETRKHTHKSDVYSFGVLLLEMLTGKAPLQSPRRDDMVDLPRWVQSVVREEWTAEVFDVELMRFQNIEEEMVQMLQIAMACVAKVADMRPNMDEVVRMIEEVRQSDSENRPSSEENKSKDSNVQTP >KJB18068 pep chromosome:Graimondii2_0_v6:3:3148599:3150156:1 gene:B456_003G032500 transcript:KJB18068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKAATLPNAKLEQGTVTSLLEEKGTIIGVQYKTKDGEELRAYAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCELPFSNHGHVILADPSPILFYPISSTEVRCLVDVPGKKVPSVANGEMANHLKTVVAQQVPPELRDAFIAAVDKGNIRTMPNRSMPADPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLHNLHDAASLTSYLESFYTLRKPVASTINTLAGALYKVFSASPDEARKEMRQACFDYLSLGGVFSTGPVALLSGLNPQPLSLVLHFFAVAIYGVGRLILPFPSFQRMWIGARLIWSASGIIFPIIKAEGVRQMFFPATVPAVYRAPPVVN >KJB18064 pep chromosome:Graimondii2_0_v6:3:3147095:3150373:1 gene:B456_003G032500 transcript:KJB18064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMFFDNYIVGTFLVSLFAFLFLFILRRYNNNNNNNNDNNTRKKRFETGYSREIKTQTGAGKGECSPGNGSGDDVIIVGAGVAGAALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLVELGLEDCVEDIDAQRVLGYALFKDGRNTKLSYPLGKFQADVSGRSFHNGRFIQRMREKAATLPNAKLEQGTVTSLLEEKGTIIGVQYKTKDGEELRAYAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCELPFSNHGHVILADPSPILFYPISSTEVRCLVDVPGKKVPSVANGEMANHLKTVVAQQVPPELRDAFIAAVDKGNIRTMPNRSMPADPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLHNLHDAASLTSYLESFYTLRKPVASTINTLAGALYKVFSASPDEARKEMRQACFDYLSLGGVFSTGPVALLSGLNPQPLSLVLHFFAVAIYGVGRLILPFPSFQRMWIGARLIWSASGIIFPIIKAEGVRQMFFPATVPAVYRAPPVVN >KJB18065 pep chromosome:Graimondii2_0_v6:3:3147665:3150306:1 gene:B456_003G032500 transcript:KJB18065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKAATLPNAKLEQGTVTSLLEEKGTIIGVQYKTKDGEELRAYAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCELPFSNHGHVILADPSPILFYPISSTEVRCLVDVPGKKVPSVANGEMANHLKTVVAQQVPPELRDAFIAAVDKGNIRTMPNRSMPADPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLHNLHDAASLTSYLESFYTLRKPVASTINTLAGALYKVFSASPDEARKEMRQACFDYLSLGGVFSTGPVALLSGLNPQPLSLVLHFFAVAIYGVGRLILPFPSFQRMWIGARLIWSASGIIFPIIKAEGVRQMFFPATVPAVYRAPPVVN >KJB18066 pep chromosome:Graimondii2_0_v6:3:3147167:3150304:1 gene:B456_003G032500 transcript:KJB18066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMFFDNYIVGTFLVSLFAFLFLFILRRYNNNNNNNNDNNTRKKRFETGYSREIKTQTGAGKGECSPGNGSGDDVIIVGAGVAGAALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLVELGLEDCVEDIDAQRVLGYALFKDGRNTKLSYPLGKFQADVSGRSFHNGRFIQRMREKAATLPNAKLEQGTVTSLLEEKGTIIGVQYKTKDGEELRAYAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCELPFSNHGHVILADPSPILFYPISSTEVRCLVDVPGKKVPSVANGEMANHLKTVVAQQVPPELRDAFIAAVDKGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLHNLHDAASLTSYLESFYTLRKPVASTINTLAGALYKVFSASPDEARKEMRQACFDYLSLGGVFSTGPVALLSGLNPQPLSLVLHFFAVAIYGVGRLILPFPSFQRMWIGARLIWSASGIIFPIIKAEGVRQMFFPATVPAVYRAPPVVN >KJB18067 pep chromosome:Graimondii2_0_v6:3:3147191:3150304:1 gene:B456_003G032500 transcript:KJB18067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMFFDNYIVGTFLVSLFAFLFLFILRRYNNNNNNNNDNNTRKKRFETGYSREIKTQTGAGKGECSPGNGSGDDVIIVGAGVAGAALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLVELGLEDCVEDIDAQRVLGYALFKDGRNTKLSYPLGKFQADVSGRSFHNGRFIQRMREKAATLPNAKLEQGTVTSLLEEKGTIIGVQYKTKDGEELRAYAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCELPFSNHGHVILADPSPILFYPISSTEVRCLVDVPGKKVPSVANGEMANHLKTVVAQQVSCIDCPLQTLFYHGLMEESVLFQVPPELRDAFIAAVDKGNIRTMPNRSMPADPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLHNLHDAASLTSYLESFYTLRKPVASTINTLAGALYKVFSASPDEARKEMRQACFDYLSLGGVFSTGPVALLSGLNPQPLSLVLHFFAVAIYGVGRLILPFPSFQRMWIGARLIWSASGIIFPIIKAEGVRQMFFPATVPAVYRAPPVVN >KJB17775 pep chromosome:Graimondii2_0_v6:3:982449:988480:1 gene:B456_003G014800 transcript:KJB17775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRSFGTGSHAVDALKEKKWDALVIGGGHNGLTAAAYLARGGLSVAVLERRHVIGGAAVTEELIPGFKFSRCSYLQSLLRPSVISELELARHGLKLLKRNPSSFTPCLDGRYLLLGPTKVLNHREISKFSKRDADAYPKYESQLERFCTLMDPLLDSSPPESLQGISSLQDRLKNKVHNSVFWTRLLHQAASLGQKDMVDFMDLLLSPASKVLNNWFETDVLKATLATDAVIGSMASVNTPGSGYVLLHHVMGETDGDRGIWSYVEGGMGSVSMAIGNAAREAGAHIVTSAGVSQLIVDDSGKANGVLLADGTVVQSSNILSNATPYKTFMELVPQNVLPDDFTRSIKYSDYSSGTTKINVAVDKLPDFHCCKSIDGLQYNGTIHIGSESMEEIDSACQDAVNGLPSRRPVIEMTIPSILDKTISPPGKHVINLFIQYTPYNPSNGCWDDPVYRESFAQRCFNLIDEYAPGFSSSVIGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSNYRTPLPGLYLCGSGAHPGGGVMGAPGRNAAKLVLEDFKKKMN >KJB17776 pep chromosome:Graimondii2_0_v6:3:982449:988480:1 gene:B456_003G014800 transcript:KJB17776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRSFGTGSHAVDALKEKKWDALVIGGGHNGLTAAAYLARGGLSVAVLERRHVIGGAAVTEELIPGFKFSRCSYLQSLLRPSVISELELARHGLKLLKRNPSSFTPCLDGRYLLLGPTKVLNHREISKFSKRDADAYPKYESQLERFCTLMDPLLDSSPPESLQGISSLQDRLKNKVHNSVFWTRLLHQAASLGQKDMVDFMDLLLSPASKVLNNWFETDVLKATLATDAVIGSMASVNTPGSGYVLLHHVMGETDGDRGIWSYVEGGMGSVSMAIGNAAREAGAHIVTSAGLIVDDSGKANGVLLADGTVVQSSNILSNATPYKTFMELVPQNVLPDDFTRSIKYSDYSSGTTKINVAVDKLPDFHCCKSIDGLQYNGTIHIGSESMEEIDSACQDAVNGLPSRRPVIEMTIPSILDKTISPPGKHVINLFIQYTPYNPSNGCWDDPVYRESFAQRCFNLIDEYAPGFSSSVIGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSNYRTPLPGLYLCGSGAHPGGGVMGAPGRNAAKLVLEDFKKKMN >KJB17383 pep chromosome:Graimondii2_0_v6:3:17730289:17732631:1 gene:B456_003G074200 transcript:KJB17383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMNSPTSVPVPQQSSHRDEVLMQQSLVFADNLKDLKNLREQLYSAAEYFAMAYTKEMQKQIVEDTLKDYAIKALVNTVDHLGSIAYKINTFLDEKINDYSVMELRLFCLEQRLRTCQDYVNLGGLSQQSLVLEAPKHHKHYIFPGIQAKPADTPSERAGDGYFMLRSSRHFPRQRPQLLTSV >KJB17387 pep chromosome:Graimondii2_0_v6:3:17730032:17732631:1 gene:B456_003G074200 transcript:KJB17387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMNSPTSVPVPQQSSHRDEVLMQQSLVFADNLKDLKNLREQLYSAAEYFAMAYTKEMQKQIVEDTLKDYAIKALVNTVDHLGSIAYKINTFLDEKINDYSVMELRLFCLEQRLRTCQDYVNLGGLSQQSLVLEAPKHHKHYIFPGIQAKPADTPSERAGDGYFMLRSSRHFPRQRPQLLTSV >KJB17379 pep chromosome:Graimondii2_0_v6:3:17730292:17732631:1 gene:B456_003G074200 transcript:KJB17379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMNSPTSVPVPQQSSHRDEVLMQQSLVFADNLKDLKNLREQLYSAAEYFAMAYTKEMQKQIVEDTLKDYAIKALVNTVDHLGSIAYKINTFLDEKINDYSVMELRLFCLEQRLRTCQDYVNLGGLSQQSLVLEAPKHHKHYIFPGIQAKPADTPSERAGDGYFMLRSSRHFPRQRPQLLTSV >KJB17382 pep chromosome:Graimondii2_0_v6:3:17730165:17732568:1 gene:B456_003G074200 transcript:KJB17382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMNSPTSVPVPQQSSHRDEVLMQQSLVFADNLKDLKNLREQLYSAAEYFAMAYTKEMQKQIVEDTLKDYAIKALVNTVDHLGSIAYKINTFLDEKINDYSVMELRLFCLEQSLVLEAPKHHKHYIFPGIQAKPADTPSERAGDGYFMLRSSRHFPRQRPQLLTSV >KJB17378 pep chromosome:Graimondii2_0_v6:3:17730165:17732568:1 gene:B456_003G074200 transcript:KJB17378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMNSPTSVPVPQQSSHRDEVLMQQSLVFADNLKDLKNLREQLYSAAEYFAMAYTKEMQKQIVEDTLKDYAIKALVNTVDHLGSIAYKINTFLDEKINDYSVMELRLFCLEQRLRTCQDYVNLGGLSQQSLVLEAPKHHKHYIFPGIQAKPADTPSERAGDGYFMLRSSRHFPRQRPQLLTSV >KJB17384 pep chromosome:Graimondii2_0_v6:3:17730289:17732568:1 gene:B456_003G074200 transcript:KJB17384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMNSPTSVPVPQQSSHRDEVLMQQSLVFADNLKDLKNLREQLYSAAEYFAMAYTKEMQKQIRVEDTLKDYAIKALVNTVDHLGSIAYKINTFLDEKINDYSVMELRLFCLEQRLRTCQDYVNLGGLSQQSLVLEAPKHHKHYIFPGIQAKPADTPSERAGDGYFMLRSSRHFPRQRPQLLTSV >KJB17388 pep chromosome:Graimondii2_0_v6:3:17730495:17732460:1 gene:B456_003G074200 transcript:KJB17388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPTSVPVPQQSSHRDEVLMQQSLVFADNLKDLKNLREQLYSAAEYFAMAYTKEMQKQIVEDTLKDYAIKALVNTVDHLGSIAYKINTFLDEKINDYSVMELRLFCLEQRLRTCQDYVNLGGLSQQSLVLEAPKHHKHYIFPGKLKSHRTSMFARLDLHLYKNLGIQAKPADTPSERAGDGYFMLRSSRHFPRQRPQLLTNKRSSSPRHIPLPRTVSLMPRSTSPNAKKRYPSEPRRTVSLSMVGEDVDQQYSTKSKRLFKAMLSLRKSKKDLSLHKFLDDN >KJB17385 pep chromosome:Graimondii2_0_v6:3:17730165:17732568:1 gene:B456_003G074200 transcript:KJB17385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMNSPTSVPVPQQSSHRDEVLMQQSLVFADNLKDLKNLREQLYSAAEYFAMAYTKEMQKQIVEDTLKDYAIKALVNTVDHLGSIAYKINTFLDEKINDYSVMELRLFCLEQRLRTCQDYVNLGGLSQQSLVLEAPKHHKHYIFPGIQAKPADTPSERAGDGYFMLRSSRHFPRQRPQLLTSV >KJB17381 pep chromosome:Graimondii2_0_v6:3:17730165:17732568:1 gene:B456_003G074200 transcript:KJB17381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMNSPTSVPVPQQSSHRDEVLMQQSLVFADNLKDLKNLREQLYSAAEYFAMAYTKEMQKQIVEDTLKDYAIKALVNTVDHLGSIAYKINTFLDEKINDYSVMELRLFCLEQRLRTCQDYVNLGGLSQQSLVLEAPKHHKHYIFPGIQAKPADTPSERAGDGYFMLRSSRHFPRQRPQLLTSV >KJB17386 pep chromosome:Graimondii2_0_v6:3:17730032:17732631:1 gene:B456_003G074200 transcript:KJB17386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMNSPTSVPVPQQSSHRDEVLMQQSLVFADNLKDLKNLREQLYSAAEYFAMAYTKEMQKQIVEDTLKDYAIKALVNTVDHLGSIAYKINTFLDEKINDYSVMELRLFCLEQRLRTCQDYVNLGGLSQQSLVLEAPKHHKHYIFPVNETLTDVAIANPRLKSHRTSMFARLDLHLYKNLGIQAKPADTPSERAGDGYFMLRSSRHFPRQRPQLLTSV >KJB17377 pep chromosome:Graimondii2_0_v6:3:17730165:17732568:1 gene:B456_003G074200 transcript:KJB17377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMNSPTSVPVPQQSSHRDEVLMQQSLVFADNLKDLKNLREQLYSAAEYFAMAYTKEMQKQIVEDTLKDYAIKALVNTVDHLGSIAYKINTFLDEKINDYSVMELRLFCLEQRLRTCQDYVNLGGLSQQSLVLEAPKHHKHYIFPVNETLTDVAIANPRLKSHRTSMFARLDLHLYKNLGIQAKPADTPSERAGDGYFMLRSSRHFPRQRPQLLTSV >KJB17380 pep chromosome:Graimondii2_0_v6:3:17730486:17731340:1 gene:B456_003G074200 transcript:KJB17380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMNSPTSVPVPQQSSHRDEVLMQQSLVFADNLKDLKNLREQLYSAAEYFAMAYTKEMQKQIVEDTLKDYAIKALVNTVDHLGSIAYKINTFLDEKINDYSVMELRLFCLEQRLRTCQDYVNLGGLSQQSLVLEAPKHHKHYIFPVNETLTDVAIANPRLKSHRTSMFARLDLHLYKNLGII >KJB18760 pep chromosome:Graimondii2_0_v6:3:14653060:14667566:1 gene:B456_003G068400 transcript:KJB18760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRNVLRKTTLSSGELEFLTWRRGYSSSTSGHPARRFAAVWGNGDFGRLGVGTLDSQWSPKPINCSSFHHQSLKSIACGGAHTLFLTETGRVYATGLNDFGQLGSSDPIKYSREPIEVLGLAKGIVHIAAGYHHSCAITVDGEVYMWGKNSSGQLGLGKKAAKAVHRPTKVECLSGLTIKLAALGSEHTVAVTDGGEALSWGAVASGRLGHGLESSIFGFLTSSSEYTPRLIKKLEGIRVKRVAAGLLHSACIDETGSLFVFGDKVVANLGFGEAKKATMPSMINTLPYSEEVACGGYHTCVVTRGGELYTWGSNENGCLGIGSTDVFHAPERIQDPFLKSPVSKVSCGWKHTAAISGKNLRGIKNFINDTFLSITHGKVFTWGWGGSHGTFSVDGHSSGGQLGHGSDVDYIKPTMVHVGENVKALEISCGFNHTGAIFGYM >KJB18761 pep chromosome:Graimondii2_0_v6:3:14653060:14667566:1 gene:B456_003G068400 transcript:KJB18761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRNVLRKTTLSSGELEFLTWRRGYSSSTSGHPARRFAAVWGNGDFGRLGVGTLDSQWSPKPINCSSFHHQSLKSIACGGAHTLFLTETGRVYATGLNDFGQLGSSDPIKYSREPIEVLGLAKGIVHIAAGYHHSCAITVDGEVYMWGKNSSGQLGLGKKAAKAVHRPTKVECLSGLTIKLAALGSEHTVAVTDGGEALSWGAVASGRLGHGLESSIFGFLTSSSEYTPRLIKKLEGIRVKRVAAGLLHSACIDGIAETGSLFVFGDKVVANLGFGEAKKATMPSMINTLPYSEEVACGGYHTCVVTRGGELYTWGSNENGCLGIGSTDVFHAPERIQDPFLKSPVSKVSCGWKHTAAISDGKVFTWGWGGSHGTFSVDGHSSGGQLGHGSDVDYIKPTMVHVGENVKALEISCGFNHTGAIFGYM >KJB18758 pep chromosome:Graimondii2_0_v6:3:14653043:14667566:1 gene:B456_003G068400 transcript:KJB18758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRNVLRKTTLSSGELEFLTWRRGYSSSTSGHPARRFAAVWGNGDFGRLGVGTLDSQWSPKPINCSSFHHQSLKSIACGGAHTLFLTETGRVYATGLNDFGQLGSSDPIKYSREPIEVLGLAKGIVHIAAGYHHSCAITVDGEVYMWGKNSSGQLGLGKKAAKAVHRPTKVECLSGLTIKLAALGSEHTVAVTDGGEALSWGAVASGRLGHGLESSIFGFLTSSSEYTPRLIKKLEGIRVKRVAAGLLHSACIDETGSLFVFGDKVVANLGFGEAKKATMPSMINTLPYSEEVACGGYHTCVVTRGGELYTWGSNENGCLGIGSTDVFHAPERIQDPFLKSPVSKVSCGWKHTAAISDGKVFTWGWGGSHGTFSVDGHSSGGQLGHGSDVDYIKPTMVHVGENVKALEISCGFNHTGAIFGYM >KJB18757 pep chromosome:Graimondii2_0_v6:3:14653043:14667562:1 gene:B456_003G068400 transcript:KJB18757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEVYMWGKNSSGQLGLGKKAAKAVHRPTKVECLSGLTIKLAALGSEHTVAVTDGGEALSWGAVASGRLGHGLESSIFGFLTSSSEYTPRLIKKLEGIRVKRVAAGLLHSACIDETGSLFVFGDKVVANLGFGEAKKATMPSMINTLPYSEEVACGGYHTCVVTRGGELYTWGSNENGCLGIGSTDVFHAPERIQDPFLKSPVSKVSCGWKHTAAISDGKVFTWGWGGSHGTFSVDGHSSGGQLGHGSDVDYIKPTMVHVGENVKALEISCGFNHTGAIFGYM >KJB18759 pep chromosome:Graimondii2_0_v6:3:14653060:14657706:1 gene:B456_003G068400 transcript:KJB18759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRNVLRKTTLSSGELEFLTWRRGYSSSTSGHPARRFAAVWGNGDFGRLGVGTLDSQWSPKPINCSSFHHQSLKSIACGGAHTLFLTETGRVYATGLNDFGQLGSSDPIKYSREPIEVLGLAKGIVHIAAGYHHSCAITVDGEVYMWGKNSSGQLGLGKKAAKAVHRPTKVECLSGLTIKLAALGSEHTVAVTDGGEALSWGAVASGRLGHGLESSIFGFLTSSSEYTPRLIKKLEGIRVKRVAAGLLHSACIDDLNLSRYCRNWVFVCVWG >KJB18762 pep chromosome:Graimondii2_0_v6:3:14654789:14667562:1 gene:B456_003G068400 transcript:KJB18762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGKNSSGQLGLGKKAAKAVHRPTKVECLSGLTIKLAALGSEHTVAVTDGGEALSWGAVASGRLGHGLESSIFGFLTSSSEYTPRLIKKLEGIRVKRVAAGLLHSACIDETGSLFVFGDKVVANLGFGEAKKATMPSMINTLPYSEEVACGGYHTCVVTRGGELYTWGSNENGCLGIGSTDVFHAPERIQDPFLKSPVSKVSCGWKHTAAISDGKVFTWGWGGSHGTFSVDGHSSGGQLGHGSDVDYIKPTMVHVGENVKALEISCGFNHTGAIFGYM >KJB20300 pep chromosome:Graimondii2_0_v6:3:40723479:40726586:-1 gene:B456_003G142600 transcript:KJB20300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSWFDEGFDGVNDDFFDDVIKYLDFPLEDVEGTDGGDGSSGEGVIDFHLPLDDDVEQNNSGGGEEWDCNFQNLEPPPANVLAGMSSGFSGDFFNDSSPKCLTVSCDGSSQLNQWSSVTEASSGRSVTLHSESTDVKGSIRFQTSSPVSVLESSSTCSAANPVPINPKLGFLVKRGRSKRRRASAFNVHFTLPFTSSTSSTSRGSNSSVGSESESESHLTEEPAKKRLKKKMNLTWLSDFSEMKKSPSRQPIEVRKCLHCEVTKTPQWREGPMGPKTLCNACGVRFRSGRLLPEYRPAASPTFVPALHSNSHKKVVEMRKQANLPMTGTPPMLSIPQAPISM >KJB20301 pep chromosome:Graimondii2_0_v6:3:40723492:40726516:-1 gene:B456_003G142600 transcript:KJB20301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPACLPDFLCDGSSQLNQWSSVTEASSGRSVTLHSESTDVKGSIRFQTSSPVSVLESSSTCSAANPVPINPKLGFLVKRGRSKRRRASAFNVHFTLPFTSSTSSTSRGSNSSVGSESESESHLTEEPAKKRLKKKMNLTWLSDFSEMKKSPSRQPIEVRKCLHCEVTKTPQWREGPMGPKTLCNACGVRFRSGRLLPEYRPAASPTFVPALHSNSHKKVVEMRKQANLPMTGTPPMLSIPQAPISM >KJB20776 pep chromosome:Graimondii2_0_v6:3:43416607:43418405:-1 gene:B456_003G164400 transcript:KJB20776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKETQQPEELPVVFLHRPPSFILPFGDHLRSQFHVLDPYESPEPYDSFLARHAPSIRALMTMGPTLVSAEFLDRLPALELIVATSAGLDHIDLPACRSRGIVVTNASSAFAEDVADCAVGLLIDVLRRISAADRFVRGRMWPVKETYPLGFKLGGKRVGIVGLGSIGSEVAKRLSAFGCTIAYTSRNKKQSVPFPFYANVRDLAANSDVLVLCCALTKETYHLVNKDVMVALGKEGVIINVGRGSLINEKELVQCLVGGEIGGAGLDVYENEPNVPKELFGLDNVVLSPHSAGGTPEGFEAVLQLTVGNLKAFFSNKPLVSVVSNE >KJB17926 pep chromosome:Graimondii2_0_v6:3:2186226:2188956:-1 gene:B456_003G024800 transcript:KJB17926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFVVEINTFTRRAKASALCAFPGKHKATIGNESSTSYMSCHMYKIAREIASVIGNYLWMKPERSVYEEYDRISNLDIVTFNLNSRNPTPNTGGIIQMAATLLFSTSKPFLNISQDEKNHNEAQITGFWIDLFKEAIAVMPINTTYKLVPFYDSDDQLFMALARRTLDVAIGLTVMTKKGSELIEFSYPYFEVGPILVMKEKPEPNQVFSFMMPFTNEMWFTLVAMTVFNAIVIRLVESRTGHESVGAIFWFPLATLFYRGHRESPKSNLTYFVLAPWLVLILVVSSTYTQSFTSMITTSSDTESSSCLDIEDLKKTNAIVGCDMEDSIMLQHLVESIGFQTKNIKHIAQSSIDDYAKALSTGNIKAAFLWAPYSSLFLIFSWGSPIVSDMSEAILRLYESGKIKQMKDYLLSFPECQSSTIDGTMKRGIGLGPFSGLFILSGTTSAIVMLIVVIRQMRKRWEEVIQGMLMGKELWVVLIKY >KJB18893 pep chromosome:Graimondii2_0_v6:3:17827515:17831827:-1 gene:B456_003G074800 transcript:KJB18893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQKGKKQHRAKMQGTGGGAEAGSHSKRPRTDPERHKLYREIKNWMFEELQLVEIDYHEKLQELAENKNELERCRSELGDKENEIQQHRATLEAQKASMELMEQTVVHIMAHLGLNFTSFKEMEEWFLAQKYVGDHQGSATVTANFQTSMADFCQPMGLGQYQTSIAACTAAGSSSSAGVWINSAARSPFGTNDILSEYGDPNNIGNHFGSDLAAGLDYTSIVASSTAAGTSSAPPNGHGKAGVGINSDAVNHDSCEFGVPNNEDFLLSDFCLSIDDLDGNDHENDGGPFPPFP >KJB18898 pep chromosome:Graimondii2_0_v6:3:17827515:17831827:-1 gene:B456_003G074800 transcript:KJB18898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQKGKKQHRAKMQGTGGGAEAGSHSKRPRTDPERHKLYREIKNWMFEELQLVEIDYHEKLQELAENKNELERCRSELGDKENEIQQHRATLEAQKASMELMEQTVVHIMAHLGLNFTSFKEMEEWFLAQKYVGDHQGSATVTANFQTSMADFCQPMGLGQYQTSIAACTAAGSSSSAGVWINSAARSPFGTNDILSEYGDPNNIGNHFGSDLAAGLDYTSIVASSTAAGTSSAPPNGHGVGINSDAVNHDSCEFGVPNNEDFLLSDFCLSIDDLDGNDHENDGGPFPPFP >KJB18895 pep chromosome:Graimondii2_0_v6:3:17828694:17831652:-1 gene:B456_003G074800 transcript:KJB18895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQKGKKQHRAKMQGTGGGAEAGSHSKRPRTDPERHKLYREIKNWMFEELQLVEIDYHEKLQELAENKNELERCRSELGDKENEIQQHRATLEAQKASMELMEQTVVHIMAHLGLNFTSFKEMEEWFLAQKYVGDHQGSATVTANFQTSMADFCQPMGLGQYQTSIAACTAAGSSSSAGVWINSAARSPFGTNDILSEYGDPNNIGNHFGSDLAAGLDYTSIVASSTAAGTSSAPPNGHGVGINSDAVNHDSCEFGVPNNEDFLLSDFCLSIDDLDGNKLKV >KJB18896 pep chromosome:Graimondii2_0_v6:3:17828624:17831652:-1 gene:B456_003G074800 transcript:KJB18896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQKGKKQHRAKMQGTGGGAEAGSHSKRPRTDPERHKLYREIKNWMFEELQLVEIDYHEKLQELAENKNELERCRSELGDKENEIQQHRATLEAQKASMELMEQTVVHIMAHLGLNFTSFKEMEEWFLAQKYVGDHQGSATVTANFQTSMADFCQPMGLGQYQTSIAACTAAGSSSSAGVWINSAARSPFGTNDILSEYGDPNNIGNHFGSDLAAGLDYTSIVASSTAAGTSSAPPNGHGVGINSDAVNHDSCEFGVPNNEDFLLSDFCLSIDDLDGNKLKGNDHENDGGPFPPFP >KJB18899 pep chromosome:Graimondii2_0_v6:3:17829628:17831827:-1 gene:B456_003G074800 transcript:KJB18899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQKGKKQHRAKMQGTGGGAEAGSHSKRPRTDPERHKLYREIKNWMFEELQLVEIDYHEKLQELAENKNELERCRSELGDKENEIQQHRATLEAQKASMELMEQTVVHIMAHLGLNFTSFKEMEEWFLAQKYVGDHQGSATVTANFQTSMADFCQPMGLGQYQTSIAACTAAGSSSSAGVWINSAARSPFGTNDILSEYGDPNNIGNHFGSDLAAGNKFSFLHLSHWFILFNVFSLNQPSNWQRN >KJB18894 pep chromosome:Graimondii2_0_v6:3:17827515:17831866:-1 gene:B456_003G074800 transcript:KJB18894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQKGKKQHRAKMQGTGGGAEAGSHSKRPRTDPERHKLYREIKNWMFEELQLVEIDYHEKLQELAENKNELERCRSELGDKENEIQQHRATLEAQKASMELMEQTVVHIMAHLGLNFTSFKEMEEWFLAQKYVGDHQGSATVTANFQTSMADFCQPMGLGQYQTSIAACTAAGSSSSAGVWINSAARSPFGTNDILSEYGDPNNIGNHFGSDLAAGLDYTSIVASSTAAGTSSAPPNGHGVGINSDAVNHDSCEFGVPNNEDFLLSDFCLSIDDLDGNDHENDGGPFPPFP >KJB18897 pep chromosome:Graimondii2_0_v6:3:17828624:17831652:-1 gene:B456_003G074800 transcript:KJB18897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQKGKKQHRAKMQGTGGGAEAGSHSKRPRTDPERHKLYREIKNWMFEELQLVEIDYHEKLQELAENKNELERCRSELGDKENEIQQHRATLEAQKASMELMEQTVVHIMAHLGLNFTSFKEMEEWFLAQKYVGDHQGSATVTANFQTSMADFCQPMGLGQYQTSIAACTAAGSSSSAGVWINSAARSPFGTNDILSEYGDPNNIGNHFGSDLAAGLDYTSIVASSTAAGTSSAPPNGHGKAGVGINSDAVNHDSCEFGVPNNEDFLLSDFCLSIDDLDGNKLKGNDHENDGGPFPPFP >KJB18000 pep chromosome:Graimondii2_0_v6:3:2591867:2592826:-1 gene:B456_003G028600 transcript:KJB18000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYEEKREVEDEEQEEALSLCDLPVNLIEEKELKNEENGEPSQEEDFNFGSWPGHGGSFRSEPEMCVADEVFFQGQILPLRHSVSSDTGFRRHDSHNMSRSLSRSESMDHGSLSRFTSVSSSSTRSSSHYSTSSTNSKSGMKIRNNFNTHPSPKPQIRSTRTAVNSRIQRSSSMWDFFKIGLVRAPELGLQDLKMKPRNKNSVSRNSSCNSSNSSSSTKLVNNGSSTAEVSKNQQESNKGLVEKRMGLFSGCTCSVNAVETVPLNNNNNIKNSDKDKTTSHAAAAIEDKKKKKQALSRHRTFEWIKELSHATSYVDEA >KJB19951 pep chromosome:Graimondii2_0_v6:3:37543565:37553406:1 gene:B456_003G125700 transcript:KJB19951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNECILNIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSKPLVESNKALADTIGKISVHCLYHRSGCTWQGPLSECTAHCSGCVFGNSPVVCNRCGVQIVHRQVQEHAQNCPRVQPQAQQAEGGQEISASGTTAAADQTQVASQAQASQATTSSTPVQGLNPQANPNPQSQAASQVAVVSSEQWSQQQSQQYYQQYSGYDPYYQQYYPYQQQTFPQQQPLQVNASQMAGHNPIYPQTQPQPQPQPQLQAQTHSHLSVQVPVAAQPQNQAQANQQKQTHHTMPPHSQIPAQTYPTTQGHSQPQVQPLTQAQPHPQVQTIQPQLQHGLMPQYQQHHSQIQLPQPQLHPVPQAQPHSQAQPQAQQLQPLPPPPPQPLLQPHPQPSQPLNPNLLPQTQHPAAQAVTGHQSYSQSQPHQQMQLVTPQNPMHMPAQGGLHPQQHPAEMQNSYPQQPPQMRPPQSHSQIPNQQQPGLLPLPGPMLQQAHHHSLQHPLSVQTQSVMQPPTSLLSQQYMQQQQSLQPPSTQPMGLVQPQMHQQGPFVQQQQSLQSQIRPPGPPQSFLQPPHAYPQPQQNVAGSHAVQPYPTPTLTGRPMTPNHGLQSQPYPQSAPGMLVKPMQLGVNQPSSYQNNVLRTNNQSGLNSQPISEVPGDHGTLHVAEQKADLSSQGFAKKEDNELDVASSLGSDVVKTNSSKSNSDMKSIDEKPAGDVGDNSSGFDISTKLTQESRRTDLVLNRDTFSKNMVKGEAIEDQKDVDNVERKVEENKFKDGPLLKTPTLQEAKLGEEQNGKMQRERIQPQDQGTAKGPTGNEFTGIPPSSQVQPGSFPQQPLQMPYGSNSNQQKSAASAMLQAPPPGLPPNQVRPQGPGQTLVPPENFAPSFGRGPSYGPQGPYNQGPVSGAPRIPQGETLLHPPFGPPSLNAFDSHGAPSYGPEGHLVQQRPANMLNFDQGQFDEDLKQFSRPSHLDTEPVPKYGSYFSSTRSIDRGPHGFAKDAGPWAHDKEPRGLNFDPMIGSGPSRFLPPYHPDDAGERPVGLPEDTLGRPDFLGTVTGYGRHRMDGFISRSPGREYSGISSHRFGGYPGDEIDGRERRFNDRFSGFPGHIHRGGFESSDHMAEHFGPDIRPPHFRRGEHFGRNNMPGQLRMEGPIGFGDFSSHEQMGEFDGPGNFRQPRLGEPGFRSSYSLREFPIDGGIYTGDMDSFENLRKRKPVSMGWCRICKVDCETVEGLDLHSQTREHQKMAMDMVAIIKQNAKKQKQTSSDHSLRNDSNKSRNAKFESRSNKIKS >KJB19954 pep chromosome:Graimondii2_0_v6:3:37543565:37548878:1 gene:B456_003G125700 transcript:KJB19954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNECILNIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSKPLVESNKALADTIGKISVHCLYHRSGCTWQGPLSECTAHCSGCVFGNSPVVCNRCGVQIVHRQVQEHAQNCPRVQPQAQQAEGGQEISASGTTAAADQTQVASQAQASQATTSSTPVQGLNPQANPNPQSQAASQVAVVSSEQWSQQQSQQYYQQYSGYDPYYQQYYPYQQQTFPQQQPLQVNASQMAGHNPIYPQTQPQPQPQPQLQAQTHSHLSVQVPVAAQPQNQAQANQQKQTHHTMPPHSQIPAQTYPTTQGHSQPQVQPLTQAQPHPQVQTIQPQLQHGLMPQYQQHHSQIQLPQPQLHPVPQAQPHSQAQPQAQQLQPLPPPPPQPLLQPHPQPSQPLNPNLLPQTQHPAAQAVTGHQSYSQSQPHQQMQLVTPQNPMHMPAQGGLHPQQHPAEMQNSYPQQPPQMRPPQSHSQIPNQQQPGLLPLPGPMLQQAHHHSLQHPLSVQTQSVMQPPTSLLSQQYMQQQQSLQPPSTQPMGLVQPQMHQQGPFVQQQQSLQSQIRPPGPPQSFLQPPHAYPQPQQNVAGSHAVQPYPTPTLTGRPMTPNHGLQSQPYPQSAPGMLVKPMQLGVNQPSSYQNNVLRTNNQSGLNSQPISEVPGDHGTLHVAEQKADLSSQGFAKKEDNELDVASSLGSDVVKTNSSKSNSDMKSIDEKPAGDVGDNSSGFDISTKLTQESRRTDLVLNRDTFSKNMVKGEAIEDQKDVDNVERKVEENKFKDGPLLKTPTLQEAKLGEEQNGKMQRERIQPQDQGTAKGPTGNEFTGIPPSSQVQPGSFPQQPLQMPYGSNSNQQKSAASAMLQAPPPGLPPNQVRPQGPGQTLVPPENFAPSFGRGPSYGPQGPYNQGPVSGAPRIPQGETLLHPPFGPPSLNAFDSHGAPSYGPEGHLVQQRPANMLNFDQGQFDEDLKQFSRPSHLDTEPVPKYGSYFSSTRSIDRGPHGFAKDAGPWAHDKEPRGLNFDPMIGSGPSRFLPPYHPDDAGERPVGLPEDTLGRPDFLGTVTGYGRHRMDGFISRSPGREYSGISSHRFGGYPGDEIDGRERRFNDRFSGFPGHIHRGGFESSDHMAEHFGPDIRPPHFRRGEHFGRNNMPGQLRMEGPIGFGDFSSHEQMGEFDGPGNFRQPRLGEPGFRSSYSLREFPIDGGIYTGDMDSFENLRKRKPVSMGWCRICKVDCETVEGLDLHSQTREHQKMAMDMVAIIKQNAKKQKQTSSDHSLRNDSNKSRNAKFESRSNKIKS >KJB19952 pep chromosome:Graimondii2_0_v6:3:37543565:37550957:1 gene:B456_003G125700 transcript:KJB19952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNECILNIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSKPLVESNKALADTIGKISVHCLYHRSGCTWQGPLSECTAHCSGCVFGNSPVVCNRCGVQIVHRQVQEHAQNCPRVQPQAQQAEGGQEISASGTTAAADQTQVASQAQASQATTSSTPVQGLNPQANPNPQSQAASQVAVVSSEQWSQQQSQQYYQQYSGYDPYYQQYYPYQQQTFPQQQPLQVNASQMAGHNPIYPQTQPQPQPQPQLQAQTHSHLSVQVPVAAQPQNQAQANQQKQTHHTMPPHSQIPAQTYPTTQGHSQPQVQPLTQAQPHPQVQTIQPQLQHGLMPQYQQHHSQIQLPQPQLHPVPQAQPHSQAQPQAQQLQPLPPPPPQPLLQPHPQPSQPLNPNLLPQTQHPAAQAVTGHQSYSQSQPHQQMQLVTPQNPMHMPAQGGLHPQQHPAEMQNSYPQQPPQMRPPQSHSQIPNQQQPGLLPLPGPMLQQAHHHSLQHPLSVQTQSVMQPPTSLLSQQYMQQQQSLQPPSTQPMGLVQPQMHQQGPFVQQQQSLQSQIRPPGPPQSFLQPPHAYPQPQQNVAGSHAVQPYPTPTLTGRPMTPNHGLQSQPYPQSAPGMLVKPMQLGVNQPSSYQNNVLRTNNQSGLNSQPISEVPGDHGTLHVAEQKADLSSQGFAKKEDNELDVASSLGSDVVKTNSSKSNSDMKSIDEKPAGDVGDNSSGFDISTKLTQESRRTDLVLNRDTFSKNMVKGEAIEDQKDVDNVERKVEENKFKDGPLLKTPTLQEAKLGEEQNGKMQRERIQPQDQGTAKGPTGNEFTGIPPSSQVQPGSFPQQPLQMPYGSNSNQQKSAASAMLQAPPPGLPPNQVRPQGPGQTLVPPENFAPSFGRGPSYGPQGPYNQGPVSGAPRIPQGETLLHPPFGPPSLNAFDSHGAPSYGPEGHLVQQRPANMLNFDQGQFDEDLKQFSRPSHLDTEPVPKYGSYFSSTRSIDRGPHGFAKDAGPWAHDKEPRGLNFDPMIGSGPSRFLPPYHPDDAGERPVGLPEDTLGRPDFLGTVTGYGRHRMDGFISRSPGREYSGISSHRFGGYPGDEIDGRERRFNDRFSGFPGHIHRGGFESSDHMAEHFGPDIRPPHFRRGEHFGRNNMPGQLRMEGPIGFGDFSSHEQMGEFDGPGNFRQPRLGEPGFRSSYSLREFPIDGGIYTGDMDSFENLRKRKPVSMGWCRICKVDCETVEGLDLHSQTREHQKMAMDMVAIIKQNAKKQKQTSSDHSLRNDSNKSRNAKFESRSNKIKS >KJB19953 pep chromosome:Graimondii2_0_v6:3:37543565:37550957:1 gene:B456_003G125700 transcript:KJB19953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNECILNIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSKPLVESNKALADTIGKISVHCLYHRSGCTWQGPLSECTAHCSGCVFGNSPVVCNRCGVQIVHRQVQEHAQNCPRVQPQAQQAEGGQEISASGTTAAADQTQVASQAQASQATTSSTPVQGLNPQANPNPQSQAASQVAVVSSEQWSQQQSQQYYQQYSGYDPYYQQYYPYQQQTFPQQQPLQVNASQMAGHNPIYPQTQPQPQPQPQLQAQTHSHLSVQVPVAAQPQNQAQANQQKQTHHTMPPHSQIPAQTYPTTQGHSQPQVQPLTQAQPHPQVQTIQPQLQHGLMPQYQQHHSQIQLPQPQLHPVPQAQPHSQAQPQAQQLQPLPPPPPQPLLQPHPQPSQPLNPNLLPQTQHPAAQAVTGHQSYSQSQPHQQMQLVTPQNPMHMPAQGGLHPQQHPAEMQNSYPQQPPQMRPPQSHSQIPNQQQPGLLPLPGPMLQQAHHHSLQHPLSVQTQSVMQPPTSLLSQQYMQQQQSLQPPSTQPMGLVQPQMHQQGPFVQQQQSLQSQIRPPGPPQSFLQPPHAYPQPQQNVAGSHAVQPYPTPTLTGRPMTPNHGLQSQPYPQSAPGMLVKPMQLGVNQPSSYQNNVLRTNNQSGLNSQPISEVPGDHGTLHVAEQKADLSSQGFAKKEDNELDVASSLGSDVVKTNSSKSNSDMKSIDEKPAGDVGDNSSGFDISTKLTQESRRTDLVLNRDTFSKNMVKGEAIEDQKDVDNVERKVEENKFKDGPLLKTPTLQEAKLGEEQNGKMQRERIQPQDQGTAKGPTGNEFTGIPPSSQVQPGSFPQQPLQMPYGSNSNQQKSAASAMLQAPPPGLPPNQVRPQGPGQTLVPPENFAPSFGRGPSYGPQGPYNQGPVSGAPRIPQGETLLHPPFGPPSLNAFDSHGAPSYGPEGHLVQQRPANMLNFDQGQFDEDLKQFSRPSHLDTEPVPKYGSYFSSTRSIDRGPHGFAKDAGPWAHDKEPRGLNFDPMIGSGPSRFLPPYHPDDAGERPVGLPEDTLGRPDFLGTVTGYGRHRMDGFISRSPGREYSGISSHRFGGYPGDEIDGRERRFNDRFSGFPGHIHRGGFESSDHMAEHFGPDIRPPHFRRGEHFGRNNMPGQLRMEGPIGFGDFSSHEQMGEFDGPGNFRQPRLGEPGFRSSYSLREFPIDGGIYTGDMDSFENLRKRKPVSMGWCRICKVDCETVEGLDLHSQTREHQKMAMDMVAIIKQNAKKQKQTSSDHSLRNDSNKSRNAKFESRSNKIKS >KJB19955 pep chromosome:Graimondii2_0_v6:3:37543565:37553406:1 gene:B456_003G125700 transcript:KJB19955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNECILNIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSKPLVESNKALADTIGKISVHCLYHRSGCTWQGPLSECTAHCSGCVFGNSPVVCNRCGVQIVHRQVQEHAQNCPRVQPQAQQAEGGQEISASGTTAAADQTQVASQAQASQATTSSTPVQGLNPQANPNPQSQAASQVAVVSSEQWSQQQSQQYYQQYSGYDPYYQQYYPYQQQTFPQQQPLQVNASQMAGHNPIYPQTQPQPQPQPQLQAQTHSHLSVQVPVAAQPQNQAQANQQKQTHHTMPPHSQIPAQTYPTTQGHSQPQVQPLTQAQPHPQVQTIQPQLQHGLMPQYQQHHSQIQLPQPQLHPVPQAQPHSQAQPQAQQLQPLPPPPPQPLLQPHPQPSQPLNPNLLPQTQHPAAQAVTGHQSYSQSQPHQQMQLVTPQNPMHMPAQGGLHPQQHPAEMQNSYPQQPPQMRPPQSHSQIPNQQQPGLLPLPGPMLQQAHHHSLQHPLSVQTQSVMQPPTSLLSQQYMQQQQSLQPPSTQPMGLVQPQMHQQGPFVQQQQSLQSQIRPPGPPQSFLQPPHAYPQPQQNVAGSHAVQPYPTPTLTGRPMTPNHGLQSQPYPQSAPGMLVKPMQLGVNQPSSYQNNVLRTNNQSGLNSQPISEVPGDHGTLHVAEQKADLSSQGFAKKEDNELDVASSLGSDVVKTNSSKSNSDMKSIDEKPAGDVGDNSSGFDISTKLTQESRRTDLVLNRDTFSKNMVKGEAIEDQKDVDNVERKVEENKFKDGPLLKTPTLQEAKLGEEQNGKMQRERIQPQDQGTAKGPTGNEFTGIPPSSQVQPGSFPQQPLQMPYGSNSNQQKSAASAMLQAPPPGLPPNQVRPQGPGQTLVPPENFAPSFGRGPSYGPQGPYNQGPVSGAPRIPQGETLLHPPFGPPSLNAFDSHGAPSYGPEGHLVQQRPANMLNFDQGQFDEDLKQFSRPSHLDTEPVPKYGSYFSSTRSIDRGPHGFAKDAGPWAHDKEPRGLNFDPMIGSGPSRFLPPYHPDDAGERPVGLPEDTLGRPDFLGTVTGYGRHRMDGFISRSPGREYSGISSHRFGGYPGDEIDGRERRFNDRFSGFPGHIHRGGFESSDHMAEHFGPDIRPPHFRRGEHFGRNNMPGQLRMEGPIGFGDFSSHEQMGEFDGPGNFRQPRLGEPGFRSSYSLREFPIDGGIYTGDMDSFENLRKRKPVSMGWCRICKVDCETVEGLDLHSQTREHQKMAMDMVAIIKQNAKKQKQTSSDHSLRNDSNKSRNAKFESRSNKIKS >KJB18145 pep chromosome:Graimondii2_0_v6:3:3644569:3647579:1 gene:B456_003G035800 transcript:KJB18145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRNSVSETPQPTSLRRSARLLNKKTPIKPIDLDTPRSKSKETPARSTVEVSRKLITSSYGSSDCENLKSRLRRSPRLSSASSALSKSCVNSTSGLRKSSRLNNGSLSNEPEDTEIFETKKDESRKKKSVKGEPLDEEKKETNVGLKAVSVEGRESERNEDFSVRRKRKPLVEADGNVQGWSREQESILQKAYFSAKPTPNFWKKVSKLVPGKSAQDCFDKIHSDHLTPNQPQPRSRSKRKNLSPVEHLSFSASKLLKSVSSASKGSRSSKHKSHLVQKRTVRHLLQKQCYVDQGDEADLFPILEPNTTASMHALPNATFSTPEKLMVKQGFLRKCPEKSSSGTKKHRSKLGNSSTGALISPPVLKQIKNRALHEKYIDQLHCREAKRKAESSRAGKQVLGKENRGSQLHGIDKVRAAKNTLVSDTKYVINQLQNLQNSSVDNSLDLDNDDGEVGSSDNEGDLQL >KJB18146 pep chromosome:Graimondii2_0_v6:3:3644569:3648932:1 gene:B456_003G035800 transcript:KJB18146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRNSVSETPQPTSLRRSARLLNKKTPIKPIDLDTPRSKSKETPARSTVEVSRKLITSSYGSSDCENLKSRLRRSPRLSSASSALSKSCVNSTSGLRKSSRLNNGSLSNEPEDTEIFETKKDESRKKKSVKGEPLDEEKKETNVGLKAVSVEGRESERNEDFSVRRKRKPLVEADGNVQGWSREQESILQKAYFSAKPTPNFWKKVSKLVPGKSAQDCFDKIHSDHLTPNQPQPRSRSKRKNLSPVEHLSFSASKLLKSVSSASKGSRSSKHKSHLVQKRTVRHLLQKQCYVDQGDEADLFPILEPNTTASMHALPNATFSTPEKLMVKQGFLRKCPEKSSSGTKKHRSKLGNSSTGALISPPVLKQIKNRALHEKYIDQLHCREAKRKAESSRAGKQVLGKENRGSQLHGIDKVRAAKNTLVSDTKYVINQLQNLQNSSVDNSLDLDNDDGEVGSSDNEDPHL >KJB18338 pep chromosome:Graimondii2_0_v6:3:6437453:6438625:-1 gene:B456_003G047400 transcript:KJB18338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAVELEDDLFFADLSKQISLLIMDDEDPVSTCPSVSFQTFSAANYPITQSPYLQEQICRRESKGTGVFIPKASHPRRKHRQGRYSSFNRKSNRHHDNTTKMASQPSYDNSFYPRKG >KJB19690 pep chromosome:Graimondii2_0_v6:3:34862302:34863076:-1 gene:B456_003G114700 transcript:KJB19690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSFPLVFIFCFSILLLLMSTSMQTVSAATTNKACLKTYKKFIKSACNSTTYPKVCYKALSPSASAIKTDTNKLCSIALSFTLNATYNASSSIDSLSKMKGLSPSEKQIINDCAETTGEAIYELENSLKALANLQGSDHKADEMSDLKTWVSAALTDEYTCTDEFDGQKVSKAVKNTIKKKVLNLAKLTSNCLALFNLLDY >KJB20719 pep chromosome:Graimondii2_0_v6:3:43000500:43003699:-1 gene:B456_003G161000 transcript:KJB20719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTTPTNRSSADDITNTTPFLSSTTTVSRSDSATRPSVRRQSLREAARFMRRATSRRTMREPSMLVRETAAEQLEERQSDWAYSKPVVILDVIWNFAFVAVAVGVLFLSRDENPEMPLRLWIIGYAFQCLLHMVCVCVEYKRRRRRQSMDYSPFNAGEDGVLSSGSGVESEQYVTFAQLEEDNGSNVAKHLESANTMFSFVWWITGFYWVSLGGQALARGSPQLYWLCIIFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVADQVTFESYLHEGASKEEIDQLSKFKFQKNGDNEKPAGDVQGPVGGIMIECGTDSPMEQVLSQDDAECCICLSAYEDGVELRELPCRHHFHCACVDKWLYINATCPLCKYNILKSSSQEEV >KJB20720 pep chromosome:Graimondii2_0_v6:3:43000500:43003821:-1 gene:B456_003G161000 transcript:KJB20720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTTPTNRSSADDITNTTPFLSSTTTVSRSDSATRPSVRRQSLREAARFMRRATSRRTMREPSMLVRETAAEQLEERQSDWAYSKPVVILDVIWNFAFVAVAVGVLFLSRDENPEMPLRLWIIGYAFQCLLHMVCVCVEYKRRRRRQSMDYSPFNAGEDGVLSSGSGVESEQYVTFAQLEEDNGSNVAKHLESANTMFSFVWWITGFYWVSLGGQALARGSPQLYWLCIIFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVADQEGASKEEIDQLSKFKFQKNGDNEKPAGDVQGPVGGIMIECGTDSPMEQVLSQDDAECCICLSAYEDGVELRELPCRHHFHCACVDKWLYINATCPLCKYNILKSSSQEEV >KJB20721 pep chromosome:Graimondii2_0_v6:3:43001616:43003699:-1 gene:B456_003G161000 transcript:KJB20721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTTPTNRSSADDITNTTPFLSSTTTVSRSDSATRPSVRRQSLREAARFMRRATSRRTMREPSMLVRETAAEQLEERQSDWAYSKPVVILDVIWNFAFVAVAVGVLFLSRDENPEMPLRLWIIGYAFQCLLHMVCVCVEYKRRRRRQSMDYSPFNAGEDGVLSSGSGVESEQYVTFAQLEEDNGSNVAKHLESANTMFSFVWWITGFYWVSLGGQALARGSPQLYWLCIIFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVADQVTFESYLHVRFS >KJB21022 pep chromosome:Graimondii2_0_v6:3:45002374:45003765:-1 gene:B456_003G178500 transcript:KJB21022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLMYRKVAQDPYILDRIDRLPIADTPLHEAARSDKPHFAMEVANLKPSLSSKLNHMGLSPVHLALQHNCSHMVRGLITINSELIRVKAKGMITPLHYLAQIDDADLLAEFLFACPSSIEDTTVKFETAVHIAVKNRSIRALKVLLGWLNRVNKEDILNWKDEDGNTALHIAVSTNQPQVVKLLVKHVNVNVKNSNGMTAMDTFHLQGTMQNLEIGKILSRARAKTASNLTSNMTLRDYLSRNLSLIDIRDKYLGIYSRNNCSDIRAVVLVVAILIVTATYQAGLQPPGGYWQDNYKPATTNNGSSSANNTNTTLGQDQRPHNAGQIIMKPFNLFYFFTLNSLAFYISVWTILVVITGLPFSMILYICTFFLLLSYYASLVSIFPSQPNSSSFTTARTSFIFFIYVSAVAVYAFPSIALFKHGRVKNRVVSMRGNR >KJB18500 pep chromosome:Graimondii2_0_v6:3:9078646:9080369:1 gene:B456_003G0564001 transcript:KJB18500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTSSTINYDSCLAGIVSKTNIFVVEGYLFELPDTIKTIIRACEEARRSGALIAVTASDVSCIERHYDDYWEILGNYADILFANSDEARALCHFSSKESPISATRCKRRSCIYSSFSMCTSGHMWCW >KJB18499 pep chromosome:Graimondii2_0_v6:3:9078646:9080087:1 gene:B456_003G0564001 transcript:KJB18499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTSSTINYDSCLAGIVSKTNIFVVEGYLFELPDTIKTIIRACEEARRSGALIAVTASDVSCIERHYDDYWEILGNYADILFANSDEARALCHFSSKESPISATRYLSHFVPLVSVTDGPKGSYIGVKGEAVYIPPSPCVPVDTCGAGDAYASGVLYGILRGVSDLKGMGTLAARIAATVVGQQGTRLRVQDAVVLAESFAFNLKGSSSSVSSDVGSDHISSL >KJB18501 pep chromosome:Graimondii2_0_v6:3:9078646:9080369:1 gene:B456_003G0564001 transcript:KJB18501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTSSTINYDSCLAGIVSKTNIFVVEGYLFELPDTIKTIIRACEEARRSGALIAVTASDVSCIERHYDDYWEILGNYADILFANSDEARALCHFSSKESPISATRYLSHFVPLVSVTDGPKGSYIGVKGEAVYIPPSPCVPVDTCGAGDAYASGVLYGILRGVSDLKGMGTLAARIAATVVGQQGTRLRVQDAVVLAESFAFNLKGSSSSVSSDVGSDHISSL >KJB18488 pep chromosome:Graimondii2_0_v6:3:8857775:8859197:1 gene:B456_003G055600 transcript:KJB18488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRTVCVMDASGHLGSALVHLLLHRGYTVHAALQPHPNGFQSVEELLPSSNKNLKIFDADPFDYHSITAALKGCCGLFYCFQPPSDHSTYDLWHALSKTLAEKSAWALAMDRDINMVTINGGLLMTPGLTITNPYLKGAAEMYEDGVFVTVDLRFIADAHICVFEDVSSYGRYLCFNHVINCSHDADKLAQMLLPSSDPSPPQSWEKASIYHQRISNKKLNNLMMEFENDQLLSN >KJB18489 pep chromosome:Graimondii2_0_v6:3:8857823:8858815:1 gene:B456_003G055600 transcript:KJB18489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRTVCVMDASGHLGSALVHLLLHRGYTVHAALQPHPNGFQSVEELLPSSNKNLKIFDADPFDYHSITAALKGCCGLFYCFQPPSDHSTYDEFMAEIEARAAHNVLEACAQTDTIEKVVFTSSVTAVIWNESRTGSDLDEKNWTDINFCKKFKLWHALSKTLAEKSAWALAMDRDINMVTINGGLLMTPGLTITNPYLKGAAEMYEDGVFVTVDLRFIADAHICVFEDVSSYGRYLCFNHVINCSHDADKLAQMLLPSSDPSPPQRLVLG >KJB18487 pep chromosome:Graimondii2_0_v6:3:8857595:8859197:1 gene:B456_003G055600 transcript:KJB18487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRTVCVMDASGHLGSALVHLLLHRGYTVHAALQPHPNGFQSVEELLPSSNKNLKIFDADPFDYHSITAALKGCCGLFYCFQPPSDHSTYDEFMAEIEARAAHNVLEACAQTDTIEKVVFTSSVTAVIWNESRTGSDLDEKNWTDINFCKKFKLWHALSKTLAEKSAWALAMDRDINMVTINGGLLMTPGLTITNPYLKGAAEMYEDGVFVTVDLRFIADAHICVFEDVSSYGRYLCFNHVINCSHDADKLAQMLLPSSDPSPPQSWEKASIYHQRISNKKLNNLMMEFENDQLLSN >KJB18490 pep chromosome:Graimondii2_0_v6:3:8857775:8859197:1 gene:B456_003G055600 transcript:KJB18490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRTVCVMDASGHLGSALVHLLLHRGYTVHAALQPHPNGFQSVEELLPSSNKNLKIFDADPFDYHSITAALKGCCGLFYCFQPPSDHSTYDEFMAEIEARAAHNVLEACAQTDTIEKVVFTSSVTAVIWNESRTGSDLDEKNWTDINFCKKFKLWHALSKTLAEKSAWALAMDRDINMVTINGGLLMTPGLTITNPYLKGAAEMYEDGVFVTVDLRFIADAHICVFEDVSSYGRYLCFNHVINCSHDADKLAQMLLPSSDPSPPQRLLGEGEHLPSKNKQ >KJB17674 pep chromosome:Graimondii2_0_v6:3:654030:657716:-1 gene:B456_003G010700 transcript:KJB17674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCVPPGFRFHPTDEELVGYYLRKKIASKKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKAVYDKSRLIGMRKTLVFYKGRAPTGQKTDWIIHEYRLESDENGPPREEGWVVCRAFKKRTSSQNKTIQGWDTTIFQDERSGITSSAIFDPLADISRQTQNFKQEIIEANNLNFIDHYDQFLQLPQLESPSMPLIKKLPNTKEVTDWRDLDKFVASQLSQEIRYGGGDEGGSSFGADTNGEISDMAVLLLQCSNNGGDEEGNKLNELLSSDIGICLFDN >KJB19241 pep chromosome:Graimondii2_0_v6:3:29716847:29718774:1 gene:B456_003G094900 transcript:KJB19241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVMCNFYFTFKSLSFLITSFCIYFLVKCISFVFAGEEFFLRRDLKVRAFRTYHVIPSQGYVVYSVKQKLKDEYMGLSGNEIKNLKSSGVEITYTTTTPEVAFTGDTMSDFIVDEANLDVLRAKILVVESTFVDNSVSVEHARDYGHVHLSEIINYADKFKNRAILLIHFSARYALETIQEAIAALPSPLAGRVFALTEGF >KJB19239 pep chromosome:Graimondii2_0_v6:3:29715834:29719098:1 gene:B456_003G094900 transcript:KJB19239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRGAKSKSPEPGNQGNDEKKSKKGLEIEGYRVEGLSIGGHETCIIFPTLNLAFDIGRCPQRALSQDFLFISHGHMDHIGGLPMYVATRGLYGMKPPTIVVPTCIKEDVEKLFEVHRKMDQSELKHNLIGLDVGEEFFLRRDLKVRAFRTYHVIPSQGYVVYSVKQKLKDEYMGLSGNEIKNLKSSGVEITYTTTTPEVAFTGDTMSDFIVDEANLDVLRAKILVVESTFVDNSVSVEHARDYGHVHLSEIINYADKFKNRAILLIHFSARYALETIQEAIAALPSPLAGRVFALTEGF >KJB19240 pep chromosome:Graimondii2_0_v6:3:29716580:29718958:1 gene:B456_003G094900 transcript:KJB19240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVATRGLYGMKPPTIVVPTCIKEDVEKLFEVHRKMDQSELKHNLIGLDVGEEFFLRRDLKVRAFRTYHVIPSQGYVVYSVKQKLKDEYMGLSGNEIKNLKSSGVEITYTTTTPEVAFTGDTMSDFIVDEANLDVLRAKILVVESTFVDNSVSVEHARDYGHVHLSEIINYADKFKNRAILLIHFSARYALETIQEAIAALPSPLAGRVFALTEGF >KJB19511 pep chromosome:Graimondii2_0_v6:3:32900026:32901681:1 gene:B456_003G107000 transcript:KJB19511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGVLNQGFLRREGKCRYVKCKRAIEATHGQNPQSLHLLGVPRIYRTHCKHLRRESMSNRLRVKIGPTSRRGGP >KJB18968 pep chromosome:Graimondii2_0_v6:3:19068915:19072656:-1 gene:B456_003G078000 transcript:KJB18968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRGGVFSQTNNVSSEGNGGDDLYMELWKLCAGPLVEAPRARERVYYFPQGHMEQLEASTNQELNQRIPLFNLPSKILCSVVHIQFLAEQETDEVYAQITLMPEPNQPEPTTPDACPPEPPKPTVCSFCKVLTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGESGELRVGVRRVARQQSSMPTSVISSQSMHLGVLATASHAVSTQTLFVVYYKPRTSQFIIGLNKYLEALNNKFTVGMRFKMRFEGEDSPERRFSGTIVGVEDFSPYWKDSKWRSLKVQWDEPASIPRPDRVSPWEIEPFAAPIPPTLGQPVAAKNKRPRPTAEIPALELPSTASAPWNSGVMHTHDLMRRNITAEANRNENHVIWHMQTEMSSSCSSVLKTQNEGSWLSSPCMSVSKHRFPDARDDSKCASGWPVLSGLSNPQVNNDSTFDPIEKVKKSETASSCRLFGIELINHSASSRQLERTPTQLSTMTASTAEGHHTLSPNNSCQKSEISKDSNEKQEQLQLQAKEIQSRQSCPSSTRSRTKVQMQGVAVGRAVDLAMLEGYDQLIDELEEMFDIKGELRPRNKWEIVYTDDEGDMMLVGDDPWQEFCSMVRRIFICLSQDVKKLSTGSKLPMACIEGGEGTVISSESIEN >KJB18966 pep chromosome:Graimondii2_0_v6:3:19067976:19073153:-1 gene:B456_003G078000 transcript:KJB18966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRGGVFSQTNNVSSEVKGNGGDDLYMELWKLCAGPLVEAPRARERVYYFPQGHMEQLEASTNQELNQRIPLFNLPSKILCSVVHIQFLAEQETDEVYAQITLMPEPNQPEPTTPDACPPEPPKPTVCSFCKVLTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGESGELRVGVRRVARQQSSMPTSVISSQSMHLGVLATASHAVSTQTLFVVYYKPRTSQFIIGLNKYLEALNNKFTVGMRFKMRFEGEDSPERRFSGTIVGVEDFSPYWKDSKWRSLKVQWDEPASIPRPDRVSPWEIEPFAAPIPPTLGQPVAAKNKRPRPTAEIPALASAPWNSGVMHTHDLMRRNITAEANRNENHVIWHMQTEMSSSCSSVLKTQNEGSWLSSPCMSVSKHRFPDARDDSKCASGWPVLSGLSNPQVNNDSTFDPIEKVKKSETASSCRLFGIELINHSASSRQLERTPTQLSTMTASTAEGHHTLSPNNSCQKSEISKDSNEKQEQLQLQAKEIQSRQSCPSSTRSRTKVQMQGVAVGRAVDLAMLEGYDQLIDELEEMFDIKGELRPRNKWEIVYTDDEGDMMLVGDDPWQEFCSMVRRIFICLSQDVKKLSTGSKLPMACIEGGEGTVISSESIEN >KJB18971 pep chromosome:Graimondii2_0_v6:3:19069130:19072447:-1 gene:B456_003G078000 transcript:KJB18971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWKLCAGPLVEAPRARERVYYFPQGHMEQLEASTNQELNQRIPLFNLPSKILCSVVHIQFLAEQETDEVYAQITLMPEPNQPEPTTPDACPPEPPKPTVCSFCKVLTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGESGELRVGVRRVARQQSSMPTSVISSQSMHLGVLATASHAVSTQTLFVVYYKPRTSQFIIGLNKYLEALNNKFTVGMRFKMRFEGEDSPERRFSGTIVGVEDFSPYWKDSKWRSLKVQWDEPASIPRPDRVSPWEIEPFAAPIPPTLGQPVAAKNKRPRPTAEIPALELPSTASAPWNSGVMHTHDLMRRNITAEANRNENHVIWHMQTEMSSSCSSVLKTQNEGSWLSSPCMSVSKHRFPDARDDSKCASGWPVLSGLSNPQVNNDSTFDPIEKVKKSETASSCRLFGIELINHSASSRQLERTPTQLSTMTASTAEGHHTLSPNNSCQKSEISKDSNEKQEQLQLQAKEIQSRQSCPSSTRSRTKVQMQGVAVGRAVDLAMLEGYDQLIDELEEMFDIKGELRPRNKWEIVYTDDEGDMMLVGDDPWQ >KJB18970 pep chromosome:Graimondii2_0_v6:3:19068915:19072447:-1 gene:B456_003G078000 transcript:KJB18970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWKLCAGPLVEAPRARERVYYFPQGHMEQLEASTNQELNQRIPLFNLPSKILCSVVHIQFLAEQETDEVYAQITLMPEPNQPEPTTPDACPPEPPKPTVCSFCKVLTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGESGELRVGVRRVARQQSSMPTSVISSQSMHLGVLATASHAVSTQTLFVVYYKPRTSQFIIGLNKYLEALNNKFTVGMRFKMRFEGEDSPERRFSGTIVGVEDFSPYWKDSKWRSLKVQWDEPASIPRPDRVSPWEIEPFAAPIPPTLGQPVAAKNKRPRPTAEIPALELPSTASAPWNSGVMHTHDLMRRNITAEANRNENHVIWHMQTEMSSSCSSVLKTQNEGSWLSSPCMSVSKHRFPDARDDSKCASGWPVLSGLSNPQVNNDSTFDPIEKVKKSETASSCRLFGIELINHSASSRQLERTPTQLSTMTASTAEGHHTLSPNNSCQKSEISKDSNEKQEQLQLQAKEIQSRQSCPSSTRSRTKVQMQGVAVGRAVDLAMLEGYDQLIDELEEMFDIKGELRPRNKWEIVYTDDEGDMMLVGDDPWQEFCSMVRRIFICLSQDVKKLSTGSKLPMACIEGGEGTVISSESIEN >KJB18969 pep chromosome:Graimondii2_0_v6:3:19067976:19073171:-1 gene:B456_003G078000 transcript:KJB18969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRGGVFSQTNNVSSEGNGGDDLYMELWKLCAGPLVEAPRARERVYYFPQGHMEQLEASTNQELNQRIPLFNLPSKILCSVVHIQFLAEQETDEVYAQITLMPEPNQPEPTTPDACPPEPPKPTVCSFCKVLTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGESGELRVGVRRVARQQSSMPTSVISSQSMHLGVLATASHAVSTQTLFVVYYKPRTSQFIIGLNKYLEALNNKFTVGMRFKMRFEGEDSPERRFSGTIVGVEDFSPYWKDSKWRSLKVQWDEPASIPRPDRVSPWEIEPFAAPIPPTLGQPVAAKNKRPRPTAEIPALASAPWNSGVMHTHDLMRRNITAEANRNENHVIWHMQTEMSSSCSSVLKTQNEGSWLSSPCMSVSKHRFPDARDDSKCASGWPVLSGLSNPQVNNDSTFDPIEKVKKSETASSCRLFGIELINHSASSRQLERTPTQLSTMTASTAEGHHTLSPNNSCQKSEISKDSNEKQEQLQLQAKEIQSRQSCPSSTRSRTKVQMQGVAVGRAVDLAMLEGYDQLIDELEEMFDIKGELRPRNKWEIVYTDDEGDMMLVGDDPWQEFCSMVRRIFICLSQDVKKLSTGSKLPMACIEGGEGTVISSESIEN >KJB18967 pep chromosome:Graimondii2_0_v6:3:19068477:19072783:-1 gene:B456_003G078000 transcript:KJB18967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRGGVFSQTNNVSSEVKGNGGDDLYMELWKLCAGPLVEAPRARERVYYFPQGHMEQLEASTNQELNQRIPLFNLPSKILCSVVHIQFLAEQETDEVYAQITLMPEPNQPEPTTPDACPPEPPKPTVCSFCKVLTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGESGELRVGVRRVARQQSSMPTSVISSQSMHLGVLATASHAVSTQTLFVVYYKPRTSQFIIGLNKYLEALNNKFTVGMRFKMRFEGEDSPERRFSGTIVGVEDFSPYWKDSKWRSLKVQWDEPASIPRPDRVSPWEIEPFAAPIPPTLGQPVAAKNKRPRPTAEIPALELPSTASAPWNSGVMHTHDLMRRNITAEANRNENHVIWHMQTEMSSSCSSVLKTQNEGSWLSSPCMSVSKHRFPDARDDSKCASGWPVLSGLSNPQVNNDSTFDPIEKVKKSETASSCRLFGIELINHSASSRQLERTPTQLSTMTASTAEGHHTLSPNNSCQKSEISKDSNEKQEQLQLQAKEIQSRQSCPSSTRSRTKVQMQGVAVGRAVDLAMLEGYDQLIDELEEMFDIKGELRPRNKWEIVYTDDEGDMMLVGDDPWQEFCSMVRRIFICLSQDVKKLSTGSKLPMACIEGGEGTVISSESIEN >KJB20418 pep chromosome:Graimondii2_0_v6:3:41447117:41448873:-1 gene:B456_003G147300 transcript:KJB20418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGELNIRSYNPQKDRARVEDLERRCEVGPAERGFLFTDTLGDPICRIRNSPIYNMIVAELNNQLVGVIQGSIKLVTIHKPPKNLARVGYILGLRVAPLFRRRGIGSSLVVRLEEWFIASGVDYAYMATEKDNDASFKLFVEKLGYVKFRTPAILVNPVNCPMYRISSKYEFEKLKVEEAESLYRKFMSSMEFFPSDIGKILRNKLSLGTWVAYPRGESWGEILPSSWAMVSVWNSGEVFKLRLGNAPTSCLMYTKSSQLMGNLVPCFKWRGIPDFMHPFGFYFIYGVYREGPMSGKLVRTLCRLVHNMAAKCSDCKAVVTEVGGSDTLRRHIPHWRLLSCPEDMWCIKGLKNEERESFYELIKTPTTRTLFVDPREV >KJB19904 pep chromosome:Graimondii2_0_v6:3:37006392:37009847:1 gene:B456_003G123800 transcript:KJB19904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRALLSSSNFPKSPPETISTRAKPNPTRCLIRSPVNSPHGCRLSRLSATEGRRRSGKVYADVREIADSLRELVKFGDSLSDAVASEDDLDSAVPWWEQFPKRWVIVILCFSAFLLCNMDRVNMSIAILPMSAEFNWNPATVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVVWWSIATALTPVAAKLGLPFLLVVRAFMGIGEGVAMPAMNNILSKWVPVAERSRSLALVYSGMYLGSVTGLAFSPFLIHQFGWPSVFYSFGSLGTVWFALWLNKAYSSPIEDPELLPQEKKLIVTNCISKQPVKTIPWKLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYHQVLKFNLTDSGLICVLPWLTMAFSANLGGWIADTLVSKGLSVTTVRKIMQSIGFLGPAFFLTQLSHVNSPAMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVFGTAATGYILQHGKKSLLDLSSG >KJB19905 pep chromosome:Graimondii2_0_v6:3:37006392:37010676:1 gene:B456_003G123800 transcript:KJB19905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRALLSSSNFPKSPPETISTRAKPNPTRCLIRSPVNSPHGCRLSRLSATEGRRRSGKVYADVREIADSLRELVKFGDSLSDAVASEDDLDSAVPWWEQFPKRWVIVILCFSAFLLCNMDRVNMSIAILPMSAEFNWNPATVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVVWWSIATALTPVAAKLGLPFLLVVRAFMGIGEGVAMPAMNNILSKWVPVAERSRSLALVYSGMYLGSVTGLAFSPFLIHQFGWPSVFYSFGSLGTVWFALWLNKAYSSPIEDPELLPQEKKLIVTNCISKQPVKTIPWKLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYHQVLKFNLTDSGLICVLPWLTMAFSANLGGWIADTLVSKGLSVTTVRKIMQSIGFLGPAFFLTQLSHVNSPAMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDNVFEVSVGLYLVGTVVWNLFSTGEKILD >KJB20676 pep chromosome:Graimondii2_0_v6:3:42800747:42808015:1 gene:B456_003G158800 transcript:KJB20676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMKESGPANGLHGTHNVDIEQMGSFEGDWLSSLVDWLNAIFPDLRLPLDMTEDELRGCLIDGTIFCTILNKLHPGSVEMDGDSDCGLANVKKFLIAMDEMGLPSFELSDIEQGHMMPVLECLKTLRSCFNPNDEANSFQHPSRKRWDISGEFESIQMKQGCYADLSDATVLELLKSSSLDNVSTQSLFSIIYKIMDESIERKKGDVPHRVACLLRKIVEEIEWRVSTRARNLKNQNKLFRAQEEKYQSRIRALETLAKGTMEENMVVLKNLQHIKFEKSKLEEKGKVEEQDVLQLKKEKVQKDLEISRLKEELESSKKMHEIQCLQLEAQAEDNKVLLEKKLKEVEYLLSDSRKKVDELQSFSESKQKRWKDRERSYQSFIDQQFRALKELRDASKSVKREVLKTKKSYSEDLNFLGIKLKGVVDAAENYHLVLSENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKQTAIEYVGENGELVVSNPSKLGKDTHRLFKFNKVFSPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNLSSKEDWGVNYRALNDLFQISQSRKSSIIYEVGVQMVEIYNEQVRDLLVIDSSQRRLGIWSTTQPNGLAVPEASMHSVKSTTDVLELMNIGLMNRAVGATALNERSSRSHSILTVHVRGTDIKTNAVLRGSLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNSHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVESYAETISTLKFAERVSGVELGAARTNREGRDIRELMEQVSSLKETITKKDQEIERLQLLKGNGNGTKRGMSSLRYESSSPRGRSSGTPRQSLGLSRRPSLGSFEKADVDADIFSANGDKHSESGSHWSIDESRHQNESLAHTNMIGRDLGPNLTDDIELLGFGNADSEERLSDISDGDLSMGATDTDGSICSAVEFTLFPEVSKPADKPENVEKASKSEKSDNIGKSIAPSLPSKLPKLSQKVLQTKPTARLSLSRSTSKIPSSSKKITAATTSNSSIKPPKRRP >KJB20675 pep chromosome:Graimondii2_0_v6:3:42800076:42808036:1 gene:B456_003G158800 transcript:KJB20675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMKESGPANGLHGTHNVDIEQMGSFEGVIRRDWLSSLVDWLNAIFPDLRLPLDMTEDELRGCLIDGTIFCTILNKLHPGSVEMDGDSDCGLANVKKFLIAMDEMGLPSFELSDIEQGHMMPVLECLKTLRSCFNPNDEANSFQHPSRKRWDISGEFESIQMKQGCYADLSDATVLELLKSSSLDNVSTQSLFSIIYKIMDESIERKKGDVPHRVACLLRKIVEEIEWRVSTRARNLKNQNKLFRAQEEKYQSRIRALETLAKGTMEENMVVLKNLQHIKFEKSKLEEKGKVEEQDVLQLKKEKVQKDLEISRLKEELESSKKMHEIQCLQLEAQAEDNKVLLEKKLKEVEYLLSDSRKKVDELQSFSESKQKRWKDRERSYQSFIDQQFRALKELRDASKSVKREVLKTKKSYSEDLNFLGIKLKGVVDAAENYHLVLSENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKQTAIEYVGENGELVVSNPSKLGKDTHRLFKFNKVFSPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNLSSKEDWGVNYRALNDLFQISQSRKSSIIYEVGVQMVEIYNEQVRDLLVIDSSQRRLGIWSTTQPNGLAVPEASMHSVKSTTDVLELMNIGLMNRAVGATALNERSSRSHSILTVHVRGTDIKTNAVLRGSLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNSHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVESYAETISTLKFAERVSGVELGAARTNREGRDIRELMEQVSSLKETITKKDQEIERLQLLKGNGNGTKRGMSSLRYESSSPRGRSSGTPRQSLGLSRRPSLGSFEKADVDADIFSANGDKHSESGSHWSIDESRHQNESLAHTNMIGRDLGPNLTDDIELLGFGNADSEERLSDISDGDLSMGATDTDGSICSAVEFTLFPEVSKPADKPENVEKASKSEKSDNIGKSIAPSLPSKLPKLSQKVLQTKPTARLSLSRSTSKIPSSSKKITAATTSNSSIKPPKRRP >KJB20679 pep chromosome:Graimondii2_0_v6:3:42800147:42807993:1 gene:B456_003G158800 transcript:KJB20679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMKESGPANGLHGTHNVDIEQMGSFEGVIRQDELRGCLIDGTIFCTILNKLHPGSVEMDGDSDCGLANVKKFLIAMDEMGLPSFELSDIEQGHMMPVLECLKTLRSCFNPNDEANSFQHPSRKRWDISGEFESIQMKQGCYADLSDATVLELLKSSSLDNVSTQSLFSIIYKIMDESIERKKGDVPHRVACLLRKIVEEIEWRVSTRARNLKNQNKLFRAQEEKYQSRIRALETLAKGTMEENMVVLKNLQHIKFEKSKLEEKGKVEEQDVLQLKKEKVQKDLEISRLKEELESSKKMHEIQCLQLEAQAEDNKVLLEKKLKEVEYLLSDSRKKVDELQSFSESKQKRWKDRERSYQSFIDQQFRALKELRDASKSVKREVLKTKKSYSEDLNFLGIKLKGVVDAAENYHLVLSENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKQTAIEYVGENGELVVSNPSKLGKDTHRLFKFNKVFSPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNLSSKEDWGVNYRALNDLFQISQSRKSSIIYEVGVQMVEIYNEQVRDLLVIDSSQRRLGIWSTTQPNGLAVPEASMHSVKSTTDVLELMNIGLMNRAVGATALNERSSRSHSILTVHVRGTDIKTNAVLRGSLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNSHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVESYAETISTLKFAERVSGVELGAARTNREGRDIRELMEQVSSLKETITKKDQEIERLQLLKGNGNGTKRGMSSLRYESSSPRGRSSGTPRQSLGLSRRPSLGSFEKADVDADIFSANGDKHSESGSHWSIDESRHQNESLAHTNMIGRDLGPNLTDDIELLGFGNADSEERLSDISDGDLSMGATDTDGSICSAVEFTLFPEVSKPADKPENVEKASKSEKSDNIGKSIAPSLPSKLPKLSQKVLQTKPTARLSLSRSTSKIPSSSKKITAATTSNSSIKPPKRRP >KJB20678 pep chromosome:Graimondii2_0_v6:3:42800147:42807993:1 gene:B456_003G158800 transcript:KJB20678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMKESGPANGLHGTHNVDIEQMGSFEGVIRRDWLSSLVDWLNAIFPDLRLPLDMTEDELRGCLIDGTIFCTILNKLHPGSVEMDGDSDCGLANVKKFLIAMDEMGLPSFELSDIEQGHMMPVLECLKTLRSCFNPNDEANSFQHPSRKRWDISGEFESIQMKQGCYADLSDATVLELLKSSSLDNVSTQSLFSIIYKIMDESIERKKGDVPHRVACLLRKIVEEIEWRVSTRARNLKNEEKYQSRIRALETLAKGTMEENMVVLKNLQHIKFEKSKLEEKGKVEEQDVLQLKKEKVQKDLEISRLKEELESSKKMHEIQCLQLEAQAEDNKVLLEKKLKEVEYLLSDSRKKVDELQSFSESKQKRWKDRERSYQSFIDQQFRALKELRDASKSVKREVLKTKKSYSEDLNFLGIKLKGVVDAAENYHLVLSENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKQTAIEYVGENGELVVSNPSKLGKDTHRLFKFNKVFSPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNLSSKEDWGVNYRALNDLFQISQSRKSSIIYEVGVQMVEIYNEQVRDLLVIDSSQRRLGIWSTTQPNGLAVPEASMHSVKSTTDVLELMNIGLMNRAVGATALNERSSRSHSILTVHVRGTDIKTNAVLRGSLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNSHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVESYAETISTLKFAERVSGVELGAARTNREGRDIRELMEQVSSLKETITKKDQEIERLQLLKGNGNGTKRGMSSLRYESSSPRGRSSGTPRQSLGLSRRPSLGSFEKADVDADIFSANGDKHSESGSHWSIDESRHQNESLAHTNMIGRDLGPNLTDDIELLGFGNADSEERLSDISDGDLSMGATDTDGSICSAVEFTLFPEVSKPADKPENVEKASKSEKSDNIGKSIAPSLPSKLPKLSQKVLQTKPTARLSLSRSTSKIPSSSKKITAATTSNSSIKPPKRRP >KJB20677 pep chromosome:Graimondii2_0_v6:3:42800147:42807993:1 gene:B456_003G158800 transcript:KJB20677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMKESGPANGLHGTHNVDIEQMGSFEGVIRRDWLSSLVDWLNAIFPDLRLPLDMTEDELRGCLIDGTIFCTILNKLHPGSVEMDGDSDCGLANVKKFLIAMDEMGLPSFELSDIEQGHMMPVLECLKTLRSCFNPNDEANSFQHPSRKRWDISGEFESIQMKQGCYADLSDATVLELLKSSSLDNVSTQSLFSIIYKIMDESIERKKGDVPHRVACLLRKIVEEIEWRVSTRARNLKNQNKLFRAQEEKYQSRIRALETLAKGTMEENMVVLKNLQHIKFEKSKLEEKGKVEEQDVLQLKKEKVQKDLEISRLKEELESSKKMHEIQCLQLEAQAEDNKVLLEKKLKEVEYLLSDSRKKVDELQSFSESKQKRWKDRERSYQSFIDQQFRALKELRDASKSVKREVLKTKKSYSEDLNFLGIKLKGVVDAAENYHLVLSENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKQTAIEYVGENGELVVSNPSKLGKDTHRLFKFNKVFSPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNLSSKEDWGVNYRALNDLFQISQSRKSSIIYEVGVQMVEIYNEQVRDLLVIDSSQRRLGIWSTTQPNGLAVPEASMHSVKSTTDVLELMNIGLMNRAVGATALNERSSRSHSILTVHVRGTDIKTNAVLRGSLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNSHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVESYAETISTLKFAERVSGVELGAARTNREGRDIRELMEQVSSLKETITKKDQEIERLQLLKGNGNGTKRGMSSLRYESSSPRGRSSGTPRQSLGLSRRPSLGSFEKADVDADIFSANDSEERLSDISDGDLSMGATDTDGSICSAVEFTLFPEVSKPADKPENVEKASKSEKSDNIGKSIAPSLPSKLPKLSQKVLQTKPTARLSLSRSTSKIPSSSKKITAATTSNSSIKPPKRRP >KJB20680 pep chromosome:Graimondii2_0_v6:3:42800076:42808040:1 gene:B456_003G158800 transcript:KJB20680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMKESGPANGLHGTHNVDIEQMGSFEGDWLSSLVDWLNAIFPDLRLPLDMTEDELRGCLIDGTIFCTILNKLHPGSVEMDGDSDCGLANVKKFLIAMDEMGLPSFELSDIEQGHMMPVLECLKTLRSCFNPNDEANSFQHPSRKRWDISGEFESIQMKQGCYADLSDATVLELLKSSSLDNVSTQSLFSIIYKIMDESIERKKGDVPHRVACLLRKIVEEIEWRVSTRARNLKNQNKLFRAQEEKYQSRIRALETLAKGTMEENMVVLKNLQHIKFEKSKLEEKGKVEEQDVLQLKKEKVQKDLEISRLKEELESSKKMHEIQCLQLEAQAEDNKVLLEKKLKEVEYLLSDSRKKVDELQSFSESKQKRWKDRERSYQSFIDQQFRALKELRDASKSVKREVLKTKKSYSEDLNFLGIKLKGVVDAAENYHLVLSENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKQTAIEYVGENGELVVSNPSKLGKDTHRLFKFNKVFSPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNLSSKEDWGVNYRALNDLFQISQSRKSSIIYEVGVQMVEIYNEQVRDLLVIDSSQRRLGIWSTTQPNGLAVPEASMHSVKSTTDVLELMNIGLMNRAVGATALNERSSRSHSILTVHVRGTDIKTNAVLRGSLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNSHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVESYAETISTLKFAERVSGVELGAARTNREGRDIRELMEQVSSLKETITKKDQEIERLQLLKGNGNGTKRGMSSLRYESSSPRGRSSGTPRQSLGLSRRPSLGSFEKADVDADIFSANGDKHSESGSHWSIDESRHQNESLAHTNMIGRDLGPNLTDDIELLGFGNADSEERLSDISDGDLSMGATDTDGSICSAVEFTLFPEVSKPADKPENVEKASKSEKSDNIGKSIAPSLPSKLPKLSQKVLQTKPTARLSLSRSTSKIPSSSKKITAATTSNSSIKPPKRRP >KJB21215 pep chromosome:Graimondii2_0_v6:3:45341646:45343914:-1 gene:B456_003G181800 transcript:KJB21215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSKNRLNDHLGVNKIGKNIKKSPLHQPNFANNATRQQPQPQVYNISKNDFRNIVQQLTGSPSHNDPLPRPPQNPPKPQSMRLQRIRPPPLTPINRPHIPPPAPVPAPVPAHAPAPVPPPGPYNNPGLVRPGPPGQYGQPPSTMMQPMVPGDAPWANTADSPISAYMRYLQTSLIDPSPVGNQVQPQLHPLVPGQPHLPPPSSGLLPNPPMPALPSPRGVNGPVPMAPNLPHPRMNGPITPMSNLPSPRMNGPGLLPSPTSQFLLSSPTGYMNLLSPRSPYGLLSPGVQFPPLSPNFAFSSMGQPGVLGPGPQPPPSPGFFPLSPSGFFPYPSPRWRDQ >KJB21213 pep chromosome:Graimondii2_0_v6:3:45341646:45343844:-1 gene:B456_003G181800 transcript:KJB21213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSKNRLNDHLGVNKIGKNIKKSPLHQPNFANNATRQQPQPQVYNISKNDFRNIVQQLTGSPSHNDPLPRPPQNPPKPQSMRLQRIRPPPLTPINRPHIPPPAPVPAPVPAHAPAPVPPPGPYNNPGLVRPGPPGQYGQPPSTMMQPMVPGDAPWANTADSPISAYMRYLQTSLIDPSPVGNQVQPQLHPLVPGQPHLPPPSSGLLPNPPMPALPSPRGVNGPVPMAPNLPHPRMNGPITPMSNLPSPRMNGPGLLPSPTSQFLLSSPTGYMNLLSPRSPYGLLSPGVQFPPLSPNFAFSSMGQPGVLGPGPQPPPSPGFFPLSPSGFFPYPSPRWRDQ >KJB21214 pep chromosome:Graimondii2_0_v6:3:45342143:45343165:-1 gene:B456_003G181800 transcript:KJB21214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSKNRLNDHLGVNKIGKNIKKSPLHQPNFANNATRQQPQPQVYNISKNDFRNIVQQLTGSPSHNDPLPRPPQNPPKPQSMRLQRIRPPPLTPINRPHIPPPAPVPAPVPAHAPAPVPPPGPYNNPGLVRPGPPGQYGQPPSTMMQPMVPGDAPWANTADSPISAYMRYLQTSLIDPSPVGNQVQPQLHPLVPGQPHLPPPSSGLLPNPPMPALPSPRGVNGPVPMAPNLPHPRMNGPITPMSNLPSPRMNGPGLLPSPTSQFLLSSPTGYMNLLSPRSPYGLLSPGVQFPPLSPNFAFSSMGQPGVLGPGPQPPPSPGFFPLSPSGFFPYPSPRWRDQ >KJB17231 pep chromosome:Graimondii2_0_v6:3:30431771:30437051:1 gene:B456_003G0981002 transcript:KJB17231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNLPRIFYYSKESLGPRFLAKSSPHKVKVIFFSKTGERATPAIRQAARDYWNYATFACVLWREEEFSVWWNTFGVESAPAVVFLKDPGLKPLVYHGSVNDSWFLDVLEQNKQQELPQLRSLTSEELGCDARGYSRAGRDTLTWYCAILAGRLGPELDSMRETMRRVQETLSKSSELKAASEDEHSITAAVALKSKRLTLSWLDGETQK >KJB17236 pep chromosome:Graimondii2_0_v6:3:30427709:30437051:1 gene:B456_003G0981002 transcript:KJB17236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSAAKIKAYAVPVVLFSLSMLYQLVLLPRAFPPSHYDVLGLKTYCSMEEVKEAYENLESKWNSGLEVPTTTEFIKIRYAYELLTNSVWKRNYDVFGINEQDHVLEKLSQQYAGEKFSNIALPLLRTVASDTGDYAFNVITSKEFQSMFQDSKPWLLQVYSSGSNQSAQFANSWKRIAALLNGVANIGMVELGEVQVAAYLSERKPMGRFFFRNGLPSVVAFPSGCKTSDCLIRFEGELSVDAVTDWFAMAVLNLPRIFYYSKESLGPRFLAKSSPHKVKVIFFSKTGERATPAIRQAARDYWNYATFACVLWREEEFSVWWNTFGVESAPAVVFLKDPGLKPLVYHGSVNDSWFLDVLEQNKQQELPQLRSLTSEELGCDARGYSRAGRDTLTWYCAILAGRLGPELDSMRETMRRVQETLSKSSELKAASEDEHSITAAVALKSKRLTLSWLDGETQK >KJB17232 pep chromosome:Graimondii2_0_v6:3:30427834:30437051:1 gene:B456_003G0981002 transcript:KJB17232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSAAKIKAYAVPVVLFSLSMLYQLVLLPRAFPPSHYDVLGLKTYCSMEEVKEAYENLESKWNSGLEVPTTTEFIKIRYAYELLTNSVWKRNYDVFGINEQDHVLEKLSQQYAGEKFSNIALPLLRTVASDTGDYAFNVITSKEFQSMFQDSKPWLLQVYSSGSNQSAQFANSWKRIAALLNGVANIGMVELGEVQVAAYLSERKPMGRFFFRNGLPSVVAFPSGCKTSDCLIRFEGELSVDAVTDWFAMAVLNLPRIFYYSKESLGPRFLAKSSPHKVKVIFFSKTGERATPAIRQAARDYWNYATFACVLWREEEFSVWWNTFGVESAPAVVFLKDPGLKPLVYHGSVNDSWFLDVLEQNKQQELPQLRSLTSEELGCDARGYSRAGRDTLTWYCAILAGRLGPELDSMRETMRRVQETLSKSSELKAASEDEHSITAAVALKSKRLTLSWLDGETQK >KJB17238 pep chromosome:Graimondii2_0_v6:3:30427730:30437051:1 gene:B456_003G0981002 transcript:KJB17238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSAAKIKAYAVPVVLFSLSMLYQLVLLPRAFPPSHYDVLGLKTYCSMEEVKEAYENLESKWNSGLEVPTTTEFIKIRYAYELLTNSVWKRNYDVFGINEQDHVLEKLSQQYAGEKFSNIALPLLRTVASDTGDYAFNVITSKEFQSMFQDSKPWLLQVYSSGSNQSAQFANSWKRIAALLNGVANIGMVELGEVQVAAYLSERKPMGRFFFRNGLPSVVAFPSGCKTSDCLIRFEGELSVDAVTDWFAMAVLNLPRIFYYSKESLGPRFLAKSSPHKVKVIFFSKTGERATPAIRQAARDYWNYATFACVLWREEEFSVWWNTFGVESAPAVVFLKDPGLKPLVYHGSVNDSWFLDVLEQNKQQELPQLRSLTSEELGCDARGYSRAGRDTLTWYCAILAGRLGPELDSMRETMRRVQETLSKSSELKAASEDEHSITAAVALKSKRLTLSWLDGETQK >KJB17235 pep chromosome:Graimondii2_0_v6:3:30427730:30437051:1 gene:B456_003G0981002 transcript:KJB17235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSAAKIKAYAVPVVLFSLSMLYQLVLLPRAFPPSHYDVLGLKTYCSMEEVKEAYENLESKWNSGLEVPTTTEFIKIRYAYELLTNSVWKRNYDVFGINEQDHVLEKLSQQYAGEKFSNIALPLLRTVASANEWTLPADTGDYAFNVITSKEFQSMFQDSKPWLLQVYSSGSNQSAQFANSWKRIAALLNGVANIGMVELGEVQVAAYLSERKPMGRFFFRNGLPSVVAFPSGCKTSDCLIRFEGELSVDAVTDWFAMAVLNLPRIFYYSKESLGPRFLAKSSPHKVKVIFFSKTGERATPAIRQAARDYWNYATFACVLWREEEFSVWWNTFGVESAPAVVFLKDPGLKPLVYHGSVNDSWFLDVLEQNKQQELPQLRSLTSEELGCDARGYSRAGRDTLTWYCAILAGRLGPELDSMRETMRRVQETLSKSSELKAASEDEHSITAAVALKSKRLTLSWLDGETQK >KJB17233 pep chromosome:Graimondii2_0_v6:3:30427730:30436503:1 gene:B456_003G0981002 transcript:KJB17233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSAAKIKAYAVPVVLFSLSMLYQLVLLPRAFPPSHYDVLGLKTYCSMEEVKEAYENLESKWNSGLEVPTTTEFIKIRYAYELLTNSVWKRNYDVFGINEQDHVLEKLSQQYAGEKFSNIALPLLRTVASDTGDYAFNVITSKEFQSMFQDSKPWLLQVYSSGSNQSAQFANSWKRIAALLNGVANIGMVELGEVQVAAYLSERKPMGRFFFRNGLPSVVAFPSGCKTSDCLIRFEGELSVDAVTDWFAMAVLNLPRIFYYSKESLGPRFLAKSSPHKVKVIFFSKTGERATPAIRQAARDYWNYATFACVLWREEEFSVWWNTFGVESAPAVVFLKDPGLKPLVYHGSVNDSWFLDVLEQNKQQELPQLRSLTSEELGCDARGYSRAGRDTLTWYCAILAGRLGPELDSMREVSFCALDYIPYVQFSSYIFPVALSASAFPSTSYNSSNIV >KJB17234 pep chromosome:Graimondii2_0_v6:3:30427730:30436958:1 gene:B456_003G0981002 transcript:KJB17234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSAAKIKAYAVPVVLFSLSMLYQLVLLPRAFPPSHYDVLGLKTYCSMEEVKEAYENLESKWNSGLEVPTTTEFIKIRYAYELLTNSVWKRNYDVFGINEQDHVLEKLSQQYAGEKFSNIALPLLRTVASDTGDYAFNVITSKEFQSMFQDSKPWLLQVYSSGSNQSAQFANSWKRIAALLNGVANIGMVELGEVQVAAYLSERKPMGRFFFRNGLPSVVAFPSGCKTSDCLIRFEGELSVDAVTDWFAMAVLNLPRIFYYSKESLGPRFLAKSSPHKVKVIFFSKTGERATPAIRQAARDYWNYATFACVLWREEEFSVWWNTFGVESAPAVVFLKDPGLKPLVYHGSVNDSWFLDVLEQNKQQELPQLRSLTSEELGCDARGYSRAGRDTLTWYCAILAGRLGPELDSMRETMRRVQETLSKSSELK >KJB17239 pep chromosome:Graimondii2_0_v6:3:30427834:30437051:1 gene:B456_003G0981002 transcript:KJB17239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSAAKIKAYAVPVVLFSLSMLYQLVLLPRAFPPSHYDVLGLKTYCSMEEVKEAYENLESKWNSGLEVPTTTEFIKIRYAYELLTNSVWKRNYDVFGINEQDHVLEKLSQQYAGEKFSNIALPLLRTVASDTGDYAFNVITSKEFQSMFQDSKPWLLQVYSSGSNQSAQFANSWKRIAALLNGVANIGMVELGEVQVAAYLSERKPMGRFFFRNGLPSVVAFPSGCKTSDCLIRFEGELSVDAVTDWFAMAVLNLPRIFYYSKESLGPRFLAKSSPHKVKVIFFSKTGERATPAIRQAARDYWNYATFACVLWREEEFSVWWNTFGVESAPAVVFLKDPGLKPLVYHGSVNDSWFLDVLEQNKQQELPQLRSLTSEELGCDARGYSRAGRDTLTWYCAILAGRLGPELDSMRETMRRVQETLSKSSELKAASEDEHSITAAVALKSKRLTLSWLDGETQK >KJB17241 pep chromosome:Graimondii2_0_v6:3:30430276:30437051:1 gene:B456_003G0981002 transcript:KJB17241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIGLPSVVAFPSGCKTSDCLIRFEGELSVDAVTDWFAMAVLNLPRIFYYSKESLGPRFLAKSSPHKVKVIFFSKTGERATPAIRQAARDYWNYATFACVLWREEEFSVWWNTFGVESAPAVVFLKDPGLKPLVYHGSVNDSWFLDVLEQNKQQELPQLRSLTSEELGCDARGYSRAGRDTLTWYCAILAGRLGPELDSMRETMRRVQETLSKSSELKAASEDEHSITAAVALKSKRLTLSWLDGETQK >KJB17237 pep chromosome:Graimondii2_0_v6:3:30427730:30437051:1 gene:B456_003G0981002 transcript:KJB17237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSAAKIKAYAVPVVLFSLSMLYQLVLLPRAFPPSHYDVLGLKTYCSMEEVKEAYENLESKWNSGLEVPTTTEFIKIRYAYELLTNSVWKRNYDVFGINEQDHVLEKLSQQYAGEKFSNIALPLLRTVASDTGDYAFNVITSKEFQSMFQDSKPWLLQVYSSGSNQSAQFANSWKRIAALLNGVANIGMVELGEVQVAAYLSERKPMGRFFFRNVVAFPSGCKTSDCLIRFEGELSVDAVTDWFAMAVLNLPRIFYYSKESLGPRFLAKSSPHKVKVIFFSKTGERATPAIRQAARDYWNYATFACVLWREEEFSVWWNTFGVESAPAVVFLKDPGLKPLVYHGSVNDSWFLDVLEQNKQQELPQLRSLTSEELGCDARGYSRAGRDTLTWYCAILAGRLGPELDSMRETMRRVQETLSKSSELKAASEDEHSITAAVALKSKRLTLSWLDGETQK >KJB17240 pep chromosome:Graimondii2_0_v6:3:30427719:30437051:1 gene:B456_003G0981002 transcript:KJB17240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSAAKIKAYAVPVVLFSLSMLYQLVLLPRAFPPSHYDVLGLKTYCSMEEVKEAYENLESKWNSGLEVPTTTEFIKIRYAYELLTNSVWKRNYDVFGINEQDHVLEKLSQQYAGEKFSNIALPLLRTVASDTGDYAFNVITSKEFQSMFQDSKPWLLQVYSSGSNQSAQFANSWKRIAALLNGVANIGMVELGEVQVAAYLSERKPMGRFFFRNGLPSVVAFPSGCKTSDCLIRFEGELSVDAVTDWFAMAVLNLPRIFYYSKESLGPRFLAKSSPHKVKVIFFSKTGERATPAIRQAARDYWNYATFACVLWREEEFSVWWNTFGVESAPAVVFLKDPGLKPLVYHGSVNDSWFLDVLEQNKQQELPQLRSLTSEELGCDARGYSRAGRDTLTWYCAILAGRLGPELDSMRETMRRVQETLSKSSELKAASEDEHSITAAVALKSKRLTLSWLDGETQK >KJB18103 pep chromosome:Graimondii2_0_v6:3:3336098:3338732:-1 gene:B456_003G034000 transcript:KJB18103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASVRSGLLRAALRGGSRPTAPPKRGFASSSHHDDAYETAKWEKITYLGVATCTVLAIYNLSKGHPHHEEPPAYPYLHIRNKEFPWGPDGLFETKEHH >KJB18102 pep chromosome:Graimondii2_0_v6:3:3336098:3338628:-1 gene:B456_003G034000 transcript:KJB18102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASVRSGLLRAALRGGSRPTAPPKRGFASSSHHDDAYETAKWEKITYLGVATCTVLAIYNLSKGIPIFAHSQ >KJB20962 pep chromosome:Graimondii2_0_v6:3:44601089:44602279:-1 gene:B456_003G1746002 transcript:KJB20962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GYHEVDSLEKGLNVDPDLLSLPFFDVEDFLLSDDKIYNDLTEGSGDVRANQDDMSTVQDHADVQRIIMNSLAEVYHKIESNPEILELKVITTMEAMVQDIMNKLMKAREMEYELEEKRFEKKKANVRKDKLKLEKDEADLKEKKLKLDQDEADLKEKKLKLDQDEAELKENQLKLARLQKKPRVE >KJB18035 pep chromosome:Graimondii2_0_v6:3:2807958:2810463:1 gene:B456_003G030700 transcript:KJB18035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLSGIPLLLLGNKIDKPEALSKQALTDDMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >KJB18036 pep chromosome:Graimondii2_0_v6:3:2808205:2810463:1 gene:B456_003G030700 transcript:KJB18036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLSGIPLLLLGNKIDKPEALSKQALTDDMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >KJB18033 pep chromosome:Graimondii2_0_v6:3:2808205:2810463:1 gene:B456_003G030700 transcript:KJB18033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLSGIPLLLLGNKIDKPEALSKQALTDDMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >KJB18032 pep chromosome:Graimondii2_0_v6:3:2807925:2810463:1 gene:B456_003G030700 transcript:KJB18032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLSGIPLLLLGNKIDKPEALSKQALTDDMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >KJB18034 pep chromosome:Graimondii2_0_v6:3:2808141:2810463:1 gene:B456_003G030700 transcript:KJB18034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIGLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLSGIPLLLLGNKIDKPEALSKQALTDDMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >KJB19671 pep chromosome:Graimondii2_0_v6:3:34556766:34563669:1 gene:B456_003G113400 transcript:KJB19671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKEICLEGFKSYATRTVVPGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRAANLQELVYKQGQAGITKATVSIIFDNSDRSRSPLGYADHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEFALKTLEKKQSKVDEINKLLDQEILPALEKLRKERMQYMQWANGNAELDRLKRFCVAYEYVQAETIRDSAVGEVERVKAKITEIDNDAERTKVEIQDMETNISKLTAQKEATLGGEVKTLSDEVDSLSKSLVQEVSVLESKEDTLKGEKENAEKIIHNIEDLKQSIEGKAIALQKSEQGAADLNKRFEDLSKSLEDLEKEHQAVLAGKSSGNEEKCLEDQLGDAKVAVGTAETELKQLKTKISHCEKELGEKTRQLKSKRAEAVDVKNELNSRRKGLEKVEIELENLPYKEGQMEALQKDRASELELIQKLKDEVRDHSAQLANVQFNYRDPVKNFDRTKVKGVVAKLIKVKDSSAMTALEVTAGGKLFNVVVDSENTGKQLLQNGELRRRVTIIPLNKIQPNNVHPRVQQSATRLVGKENAKLALSLVGFDKELESAMEYVFGGTFVCRTTEAAKEVAFNREIRTPSVTLEGDIFQPSGLLTGGSRRGGGDLLRRLHDLAEAESKLAVHQKKLSEVEAKIADLLPLQKKFTDLKAQLELKMHDLSLFQNRAEKNEHHKLAEMVKSIEQELELARSTVKEKQILYEKLVSTVSELEKSIRDNDNNREGRLKDLERKIKATKAKMQSASKDLKGHINEKEKLVMEREAFIQEQSSLENQLTSLKVQINNLNVEMQEQVAKVDSLKKNHDQLLSKLDSARLKMKECDSEISSILKQQQKLQHKLSNVKLERKKLENEVKQMEMEQKDCSTKVDKLIEKHAWIATERQLFGRSGTDYDFASRDPHKAREELDKLQTEQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNTVENDKSKIKKTIEELDEKKKETLKVTWVKVNHDFGSIFSTLLPGTMAKLEPPEGCSFLDGLEVRVAFGGVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQV >KJB19669 pep chromosome:Graimondii2_0_v6:3:34556577:34564369:1 gene:B456_003G113400 transcript:KJB19669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKEICLEGFKSYATRTVVPGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRAANLQELVYKQGQAGITKATVSIIFDNSDRSRSPLGYADHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEFALKTLEKKQSKVDEINKLLDQEILPALEKLRKERMQYMQWANGNAELDRLKRFCVAYEYVQAETIRDSAVGEVERVKAKITEIDNDAERTKVEIQDMETNISKLTAQKEATLGGEVKTLSDEVDSLSKSLVQEVSVLESKEDTLKGEKENAEKIIHNIEDLKQSIEGKAIALQKSEQGAADLNKRFEDLSKSLEDLEKEHQAVLAGKSSGNEEKCLEDQLGDAKVAVGTAETELKQLKTKISHCEKELGEKTRQLKSKRAEAVDVKNELNSRRKGLEKVEIELENLPYKEGQMEALQKDRASELELIQKLKDEVRDHSAQLANVQFNYRDPVKNFDRTKVKGVVAKLIKVKDSSAMTALEVTAGGKLFNVVVDSENTGKQLLQNGELRRRVTIIPLNKIQPNNVHPRVQQSATRLVGKENAKLALSLVGFDKELESAMEYVFGGTFVCRTTEAAKEVAFNREIRTPSVTLEGDIFQPSGLLTGGSRRGGGDLLRRLHDLAEAESKLAVHQKKLSEVEAKIADLLPLQKKFTDLKAQLELKMHDLSLFQNRAEKNEHHKLAEMVKSIEQELELARSTVKEKQILYEKLVSTVSELEKSIRDNDNNREGRLKDLERKIKATKAKMQSASKDLKGHINEKEKLVMEREAFIQEQSSLENQLTSLKVQINNLNVEMQEQVAKVDSLKKNHDQLLSKLDSARLKMKECDSEISSILKQQQKLQHKLSNVKLERKKLENEVKQMEMEQKDCSTKVDKLIEKHAWIATERQLFGRSGTDYDFASRDPHKAREELDKLQTEQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNTVENDKSKIKKTIEELDEKKKETLKVTWVKVNHDFGSIFSTLLPGTMAKLEPPEGCSFLDGLEVRVAFGGVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVASKSSR >KJB19670 pep chromosome:Graimondii2_0_v6:3:34556766:34562768:1 gene:B456_003G113400 transcript:KJB19670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKEICLEGFKSYATRTVVPGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRAANLQELVYKQGQAGITKATVSIIFDNSDRSRSPLGYADHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEFALKTLEKKQSKVDEINKLLDQEILPALEKLRKERMQYMQWANGNAELDRLKRFCVAYEYVQAETIRDSAVGEVERVKAKITEIDNDAERTKVEIQDMETNISKLTAQKEATLGGEVKTLSDEVDSLSKSLVQEVSVLESKEDTLKGEKENAEKIIHNIEDLKQSIEGKAIALQKSEQGAADLNKRFEDLSKSLEDLEKEHQAVLAGKSSGNEEKCLEDQLGDAKVAVGTAETELKQLKTKISHCEKELGEKTRQLKSKRAEAVDVKNELNSRRKGLEKVEIELENLPYKEGQMEALQKDRASELELIQKLKDEVRDHSAQLANVQFNYRDPVKNFDRTKVKGVVAKLIKVKDSSAMTALEVTAGGKLFNVVVDSENTGKQLLQNGELRRRVTIIPLNKIQPNNVHPRVQQSATRLVGKENAKLALSLVGFDKELESAMEYVFGGTFVCRTTEAAKEVAFNREIRTPSVTLEGDIFQPSGLLTGGSRRGGGDLLRRLHDLAEAESKLAVHQKKLSEVEAKIADLLPLQKKFTDLKAQLELKMHDLSLFQNRAEKNEHHKLAEMVKSIEQELELARSTVKEKQILYEKLVSTVSELEKSIRDNDNNREGRLKDLERKIKATKAKMQSASKDLKGHINEKEKLVMEREAFIQEQSSLENQLTSLKVQINNLNVEMQEQVAKVDSLKKNHDQLLSKLDSARLKMKECDSEISSILKQQQKLQHKLSNVKLERKKLENEVKQMEMEQKDCSTKVDKLIEKHAWIATERQLFGRSGTDYDFASRDPHKAREELDKLQTEQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNTVENDKSKIKKTIEELDEKKKETLKVTWVKVNHDFGSIFSTLLPGTMAKLEPPEGCSFLDGLEVRVAFGGVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVCFFIFLPIYFTAHTTICIHPKLSDFIFL >KJB20363 pep chromosome:Graimondii2_0_v6:3:41136583:41138463:-1 gene:B456_003G144800 transcript:KJB20363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKNGNKKGGNEGEKKEKGSSNFVFKVDCLCEGCSFKILKCIREFQGVETVKTESNSNKVTVQGAVDPAAIKEKLVKKTRKNVDLVSPQPKKDDNKEEKKEKKPEKNQASDDNNNKKPEKKPKEAPVTTADLKVQLKCQCQGCIVKIRKIVSDTKGVHEFKVDEVKELVTVKGTMDIKALAEALKDKLKKSVEIVPPKKEKDGKNEGGENGGGGDGGKKKNKGGNGGAEDGGHGGGGGVKMEGSKMEFMVQPQFGYMPAYPGYEHPGYGFGYGHGYSGYVPGYPVSVHPPHHMFNDENPNACAIM >KJB20361 pep chromosome:Graimondii2_0_v6:3:41136583:41138235:-1 gene:B456_003G144800 transcript:KJB20361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYTPSLYLVSLLQKKNGNKKGGNEGEKKEKGSSNFVFKVDCLCEGCSFKILKCIREFQGVETVKTESNSNKVTVQGAVDPAAIKEKLVKKTRKNVDLVSPQPKKDDNKEEKKEKKPEKNQASDDNNNKKPEKKPKEAPVTTADLKVQLKCQCQGCIVKIRKIVSDTKGVHEFKVDEVKELVTVKGTMDIKALAEALKDKLKKSVEIVPPKKEKDGKNEGGENGGGGDGGKKKNKGGNGGAEDGGHGGGGGVKMEGSKMEFMVQPQFGYMPAYPGYEHPGYGFGYGHGYSGYVPGYPVSVHPPHHMFNDENPNACAIM >KJB20362 pep chromosome:Graimondii2_0_v6:3:41136968:41138177:-1 gene:B456_003G144800 transcript:KJB20362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRKEGMKEKRRKRVLRTSFSKSIVFVKDVPSKSSNAFVNFKVLFMFLHFKFLFSRLVFPLFTLGILCFFMEGVETVKTESNSNKVTVQGAVDPAAIKEKLVKKTRKNVDLVSPQPKKDDNKEEKKEKKPEKNQASDDNNNKKPEKKPKEAPVTTADLKVQLKCQCQGCIVKIRKIVSDTKGVHEFKVDEVKELVTVKGTMDIKALAEALKDKLKKSVEIVPPKKEKDGKNEGGENGGGGDGGKKKNKGGNGGAEDGGHGGGGGVKMEGSKMEFMVQPQFGYMPAYPGYEHPGYGFGYGHGYSGYVPGYPVSVHPPHHMFNDENPNACAIM >KJB20868 pep chromosome:Graimondii2_0_v6:3:44036346:44037438:-1 gene:B456_003G170100 transcript:KJB20868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWVKSLHCKSRAFEDVYHPNPKHLIPSSSCRRSSQSIKDVIKATKKMPKNSNPKLFPRSNSQPESDSNNPPPRPRRSSSTSTTTTRSVRNPDPVLPSLTELPEGHPSRNVVEIIFHTSWSPKAFTGRIEMIFKVQNGPRTVTRFDEYRETVKARSGSGGLVSGDEENARCVADGNEVMRFHCLGPTPGNCSMNEAWLFSSGKGAAICTYAGSGEAHESAGGGKGRKAMLVCRVIAGRVSKRVGFGYESLKDGRIGYDSVSGDDGELLVFDPRAVLPCFLIIYKL >KJB20706 pep chromosome:Graimondii2_0_v6:3:42900449:42904799:-1 gene:B456_003G160000 transcript:KJB20706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSARWRSDKNRSKAVFKLQFHATQVTQLNVQALMISVVPGDGGKPRTKLEKATVLDGNCRWENPVYETVKFARDPKTGKINERIYHFIISSGLGKGGGLVGEASIDFAAYADAIKISTVSLPFKNSNSKAILHVSIQRLQENADQREVEEIENASIESQDRSLKVDENIKNESTEDVTFSKITHNVELLGNCRGSSGSDITISSSDSISGLNTPQELGMRNNNINQDPYLSSMNSTSVIPKATSITSTAIYEEWSAGSDLGMSTDDSNSSQDTFPREISQHGSDNEIEKLKNEIISLSRQVDVSDLELQALRKRIVKESKRGQDLSREVVTLKGERDALKLECKKLKVCEKRMDDAKVKSRLQIESGDPWVLVEEIRQELNHEKALNSSLQLQMQKTQESNAELILAVHNLEEMLDAKNMEASNPPNNKSGSHVSAEELRVIISGNHTDDDDDDEQKELEQLVKEYRDTKETSVLEQKIMDLHREMEVYKRDKDDLEAQMEQLALDYEILKQENHNISYKLEQSQLQDQLNMQYDCPSSFANINELEARIECLESELNKKSKESSDSLTTINELETHINSLEEELEKQAQVYEMDLESIIQAKVEQEQRAIRAEEALRMTRWKNANTAESLQEEFRRLSMQMAFTSDANQKVATKALTEASELRLQKNELEELLKKANEELQSVKEDYQAKLCYFFNQVNLKSNQIVQMLKEIDDKSKQLEHQKKHEEEVSGTFSQEIQNLKAEIDKLTTENKCLREQAQQTEKLTLELDHIKAFAEEIEVQNQRGNLERNELVSTIALMRVEKEAAAESLQSELDTLKKQCTELKWSVYEVEVEKEKLRKQVVELKDDLKKKEDAVTTMEKKLKESNERAAVSDGTKTPLEDDKSAMVPLSPIEVASLREKVMLLEGQIKSKETALETSTNVFLEMEKDLQKKIDELESRVEELSERSTSFCKCQLQKVCKYSEDTSNDIISMPFAKR >KJB20702 pep chromosome:Graimondii2_0_v6:3:42899667:42904860:-1 gene:B456_003G160000 transcript:KJB20702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSARWRSDKNRSKAVFKLQFHATQVTQLNVQALMISVVPGDGGKPRTKLEKATVLDGNCRWENPVYETVKFARDPKTGKINERIYHFIISSGLGKGGGLVGEASIDFAAYADAIKISTVSLPFKNSNSKAILHVSIQRLQENADQREVEEIENASIESQDRSLKVDENIKNESTEDVTFSKITHNVELLGNCRGSSGSDITISSSDSISGLNTPQELGMRNNNINQDPYLSSMNSTSVIPKATSITSTAIYEEWSAGSDLGMSTDDSNSSQDTFPREISQHGSDNEIEKLKNEIISLSRQVDVSDLELQALRKRIVKESKRGQDLSREVVTLKGERDALKLECKKLKVCEKRMDDAKVKSRLQIESGDPWVLVEEIRQELNHEKALNSSLQLQMQKTQESNAELILAVHNLEEMLDAKNMEASNPPNNKSGSHVSAEELRVIISGNHTDDDDDDEQKELEQLVKEYRDTKETSVLEQKIMDLHREMEVYKRDKDDLEAQMEQLALDYEILKQENHNISYKLEQSQLQDQLNMQYDCPSSFANINELEARIECLESELNKKSKESSDSLTTINELETHINSLEEELEKQAQVYEMDLESIIQAKVEQEQRAIRAEEALRMTRWKNANTAESLQEEFRRLSMQMAFTSDANQKVATKALTEASELRLQKNELEELLKKANEELQSVKEDYQAKLCYFFNQVNLKSNQIVQMLKEIDDKSKQLEHQKKHEEEVSGTFSQEIQNLKAEIDKLTTENKCLREQAQQTEKLTLELDHIKAFAEEIEVQNQRGNLERNELVSTIALMRVEKEAAAESLQSELDTLKKQCTELKWSVYEVEVEKEKLRKQVVELKDDLKKKEDAVTTMEKKLKESNERAAVSDGTKTPLEDDKSAMVPLSPIEVASLREKVMLLEGQIKSKETALETSTNVFLEMEKDLQKKIDELESRVEELSERSTSFCKCQLQKVCKYSEDTSNDIISMPFAKSKYDSLSEKEQSIVDKDGNQDELIAELESLRERNKSMENELKDMQERYSEISLKFAEVEGARQQLVMTVRTLKNTKRS >KJB20703 pep chromosome:Graimondii2_0_v6:3:42900868:42904465:-1 gene:B456_003G160000 transcript:KJB20703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSARWRSDKNRSKAVFKLQFHATQVTQLNVQALMISVVPGDGGKPRTKLEKATVLDGNCRWENPVYETVKFARDPKTGKINERIYHFIISSGLGKGGGLVGEASIDFAAYADAIKISTVSLPFKNSNSKAILHVSIQRLQENADQREVEEIENASIESQDRSLKVDENIKNESTEDVTFSKITHNVELLGNCRGSSGSDITISSSDSISGLNTPQELGMRNNNINQDPYLSSMNSTSVIPKATSITSTAIYEEWSAGSDLGMSTDDSNSSQDTFPREISQHGSDNEIEKLKNEIISLSRQVDVSDLELQALRKRIVKESKRGQDLSREVVTLKGERDALKLECKKLKVCEKRMDDAKVKSRLQIESGDPWVLVEEIRQELNHEKALNSSLQLQMQKTQESNAELILAVHNLEEMLDAKNMEASNPPNNKSGSHVSAEELRVIISGNHTDDDDDDEQKELEQLVKEYRDTKETSVLEQKIMDLHREMEVYKRDKDDLEAQMEQLALDYEILKQENHNISYKLEQSQLQDQLNMQYDCPSSFANINELEARIECLESELNKKSKESSDSLTTINELETHINSLEEELEKQAQVYEMDLESIIQAKVEQEQRAIRAEEALRMTRWKNANTAESLQEEFRRLSMQMAFTSDANQKVATKALTEASELRLQKNELEELLKKANEELQSVKEDYQAKLCYFFNQVNLKSNQIVQMLKEIDDKSKQLEHQKKHEEEVSGTFSQEIQNLKAEIDKLTTENKCLREQAQQTEKLTLELDHIKAFAEEIEVQNQRGNLERNELVSTIALMRVEKEAAAESLQSELDTLKKQCTELKWSVYEVEVEKEKLRKQVVELKDDLKKKEDAVTTMEKKLKESNERAAVSDGTKTPLEDDKSAMVPLSPIEVASLREKVMLLEVNFHIRVLFKILFGTVCCTRFIFFSGAEYEKGEFVFI >KJB20704 pep chromosome:Graimondii2_0_v6:3:42899844:42904799:-1 gene:B456_003G160000 transcript:KJB20704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSARWRSDKNRSKAVFKLQFHATQVTQLNVQALMISVVPGDGGKPRTKLEKATVLDGNCRWENPVYETVKFARDPKTGKINERIYHFIISSGLGKGGGLVGEASIDFAAYADAIKISTVSLPFKNSNSKAILHVSIQRLQENADQREVEEIENASIESQDRSLKVDENIKNESTEDVTFSKITHNVELLGNCRGSSGSDITISSSDSISGLNTPQELGMRNNNINQDPYLSSMNSTSVIPKATSITSTAIYEEWSAGSDLGMSTDDSNSSQDTFPREISQHGSDNEIEKLKNEIISLSRQVDVSDLELQALRKRIVKESKRGQDLSREVVTLKGERDALKLECKKLKVCEKRMDDAKVKSRLQIESGDPWVLVEEIRQELNHEKALNSSLQLQMQKTQESNAELILAVHNLEEMLDAKNMEASNPPNNKSGSHVSAEELRVIISGNHTDDDDDDEQKELEQLVKEYRDTKETSVLEQKIMDLHREMEVYKRDKDDLEAQMEQLALDYEILKQENHNISYKLEQSQLQDQLNMQYDCPSSFANINELEARIECLESELNKKSKESSDSLTTINELETHINSLEEELEKQAQVYEMDLESIIQAKVEQEQRAIRAEEALRMTRWKNANTAESLQEEFRRLSMQMAFTSDANQKVATKALTEASELRLQKNELEELLKKANEELQSVKEDYQAKLCYFFNQVNLKSNQIVQMLKEIDDKSKQLEHQKKHEEEVSGTFSQEIQNLKAEIDKLTTENKCLREQAQQTEKLTLELDHIKAFAEEIEVQNQRGNLERNELVSTIALMRVEKEAAAESLQSELDTLKKQCTELKWSVYEVEVEKEKLRKQVVELKDDLKKKEDAVTTMEKKLKESNERAAVSDGTKTPLEDDKSAMVPLSPIEVASLREKVMLLEVNFHIRVLFKILFGTVCCTRFIFFSGAEYEKGEFVFI >KJB20705 pep chromosome:Graimondii2_0_v6:3:42900868:42904465:-1 gene:B456_003G160000 transcript:KJB20705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSARWRSDKNRSKAVFKLQFHATQVTQLNVQALMISVVPGDGGKPRTKLEKATVLDGNCRWENPVYETVKFARDPKTGKINERIYHFIISSGLGKGGGLVGEASIDFAAYADAIKISTVSLPFKNSNSKAILHVSIQRLQENADQREVEEIENASIESQDRSLKVDENIKNESTEDVTFSKITHNVELLGNCRGSSGSDITISSSDSISGLNTPQELGMRNNNINQDPYLSSMNSTSVIPKATSITSTAIYEEWSAGSDLGMSTDDSNSSQDTFPREISQHGSDNEIEKLKNEIISLSRQVDVSDLELQALRKRIVKESKRGQDLSREVVTLKGERDALKLECKKLKVCEKRMDDAKVKSRLQIESGDPWVLVEEIRQELNHEKALNSSLQLQMQKTQESNAELILAVHNLEEMLDAKNMEASNPPNNKSGSHVSAEELRVIISGNHTDDDDDDEQKELEQLVKEYRDTKETSVLEQKIMDLHREMEVYKRDKDDLEAQMEQLALDYEILKQENHNISYKLEQSQLQDQLNMQYDCPSSFANINELEARIECLESELNKKSKESSDSLTTINELETHINSLEEELEKQAQVYEMDLESIIQAKVEQEQRAIRAEEALRMTRWKNANTAESLQEEFRRLSMQMAFTSDANQKVATKALTEASELRLQKNELEELLKKANEELQSVKEDYQAKLCYFFNQVNLKSNQIVQMLKEIDDKSKQLEHQKKHEEEVSGTFSQEIQNLKAEIDKLTTENKCLREQAQQTEKLTLELDHIKAFAEEIEVQNQRGNLERNELVSTIALMRVEKEAAAESLQSELDTLKKQCTELKWSVYEVEVEKEKLRKQVVELKDDLKKKEDAVTTMEKKLKESNERAAVSDGTKTPLEDDKSAMVPLSPIEVASLREKVMLLEVNFHIRVLFKILFGTVCCTRFIFFSGAEYEKGEFVFI >KJB20046 pep chromosome:Graimondii2_0_v6:3:38400601:38403059:1 gene:B456_003G130300 transcript:KJB20046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRELSSTLRGLKFMQRAAQREDKVKKEEVKPEESSAITRKCVFIMEGDPHPGAVVGLMSFLSFNPSIDKLNEEASNACRPKASGGRTLSSENGSVSEAANCSKVGTESTDSGDLKRKQSEMDSEPHKSRKRDHGVQSSPSTSKASKKQSKREKLD >KJB20045 pep chromosome:Graimondii2_0_v6:3:38400558:38403059:1 gene:B456_003G130300 transcript:KJB20045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRELSSTLRGLKFMQRAAQREDKVKKEEVKPEESSAITRKCVFIMEGDPHPGAVVGLMSFLSFNPSIDKLNEEASNACRPKASGGRTLSSENGSVSEAANCSKVGTESTDSGDLKRKQSEMDSEPHKSRKRDHGVQSSPSTSKASKKQSKREKLD >KJB20050 pep chromosome:Graimondii2_0_v6:3:38401214:38402278:1 gene:B456_003G130300 transcript:KJB20050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAAQREDKVKKEEVKPEESSAITRKCVFIMEGDPHPGAVVGLMSFLSFNPSIDKLNEEASNACRPKASGGRTLSRKIRCFAIPRDIECKKYGDVEMGML >KJB20048 pep chromosome:Graimondii2_0_v6:3:38400698:38402891:1 gene:B456_003G130300 transcript:KJB20048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRELSSTLRGLKFMQRAAQREDKVKKEEVKPEESSAITRKCVFIMEGDPHPGAVVGLMSFLSFNPSIDVSINYKGQATFEFFPMIILFL >KJB20044 pep chromosome:Graimondii2_0_v6:3:38400601:38402972:1 gene:B456_003G130300 transcript:KJB20044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRELSSTLRGLKFMQRAAQREDKVKKEEVKPEESSAITRKCVFIMEGDPHPGAVVGLMSFLSFNPSIDKLNEEASNACRPKASGGRTLSSENGSVSEAANCSKVGTESTDSGDLKRKQSEMDSEPHKSRKRDHGVQSSPSTSKASKKQSKREKLD >KJB20047 pep chromosome:Graimondii2_0_v6:3:38400670:38402972:1 gene:B456_003G130300 transcript:KJB20047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRELSSTLRGLKFMQRAAQREDKVKKEEVKPEESSAITRKCVFIMEGDPHPGAVVGLMSFLSFNPSIDKLNEEASNACRPKASGGRTLSRKIRCFAIPRDIECKKYGDVEMGML >KJB20049 pep chromosome:Graimondii2_0_v6:3:38400993:38402972:1 gene:B456_003G130300 transcript:KJB20049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAAQREDKVKKEEVKPEESSAITRKCVFIMEGDPHPGAVVGLMSFLSFNPSIDKLNEEASNACRPKASGGRTLSSENGSVSEAANCSKVGTESTDSGDLKRKQSEMDSEPHKSRKRDHGVQSSPSTSKASKKQSKREKLD >KJB18284 pep chromosome:Graimondii2_0_v6:3:5771292:5774654:-1 gene:B456_003G044700 transcript:KJB18284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLEKNESEISPSTLYAIACVLENVPFINGSPQNTFVPGLIDLAIQRNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQFKADGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRASIGLAPENNMILEYK >KJB18286 pep chromosome:Graimondii2_0_v6:3:5771292:5774654:-1 gene:B456_003G044700 transcript:KJB18286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIENFKVESPNVKYTENEIQSVYNYETTELVHENKNGTYQWVVKPKTVKYEFKTDTHVPKLGVMLVGWGGNNGSTLTGGVIANKEGISWATKDKVQQANYFGSLTQASTIRIGSYNGEEIYAPFKSLLPMVNPNDIVFGGWDISDMNLADAMARAKVFDMDLQKQLRPYMESMVPLPGIYDPDFIAANQGERANNVIKGTKKEQVQQVIKDIKEFKEKNKVDKVVVLWTANTERYSNVIVGLNDTVESLMASLEKNESEISPSTLYAIACVLENVPFINGSPQNTFVPGLIDLAIQRNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQFKADGEGKFHSFHPVATILSYLTKAPLVSPKHTGGERTVQAACNAGEHTKGQHWLGS >KJB18288 pep chromosome:Graimondii2_0_v6:3:5771292:5774654:-1 gene:B456_003G044700 transcript:KJB18288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLADAMARAKVFDMDLQKQLRPYMESMVPLPGIYDPDFIAANQGERANNVIKGTKKEQVQQVIKDIKEFKEKNKVDKVVVLWTANTERYSNVIVGLNDTVESLMASLEKNESEISPSTLYAIACVLENVPFINGSPQNTFVPGLIDLAIQRNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQFKADGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRASIGLAPENNMILEYK >KJB18287 pep chromosome:Graimondii2_0_v6:3:5771642:5774542:-1 gene:B456_003G044700 transcript:KJB18287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIENFKVESPNVKYTENEIQSVYNYETTELVHENKNGTYQWVVKPKTVKYEFKTDTHVPKLGVMLVGWGGNNGSTLTGGVIANKEGISWATKDKVQQANYFGSLTQASTIRIGSYNGEEIYAPFKSLLPMVNPNDIVFGGWDISDMNLADAMARAKVFDMDLQKQLRPYMESMVPLPGIYDPDFIAANQGERANNVIKGTKKEQVQQVIKDIKEFKEKNKVDKVVVLWTANTERYSNVIVGLNDTVESLMASLEKNESEISPSTLYAIACVLENVPFINGSPQNTFVPGLIDLAIQRNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQFKADGEGKFHSFHPVATILSYLTKAPLVSSTRHTGGERTVQAACNAGEHTKGQHWLGS >KJB18289 pep chromosome:Graimondii2_0_v6:3:5771292:5774697:-1 gene:B456_003G044700 transcript:KJB18289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIENFKVESPNVKYTENEIQSVYNYETTELVHENKNGTYQWVVKPKTVKYEFKTDTHVPKLGVMLVGWGGNNGSTLTGGVIANKEGISWATKDKVQQANYFGSLTQASTIRIGSYNGEEIYAPFKSLLPMVNPNDIVFGGWDISDMNLADAMARAKVFDMDLQKQLRPYMESMVPLPGIYDPDFIAANQGERANNVIKGTKKEQVQQVIKDIKEFKEKNKVDKVVVLWTANTERYSNVIVGLNDTVESLMASLEKNESEISPSTLYAIACVLENVPFINGSPQNTFVPGLIDLAIQRNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQFKADGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRASIGLAPENNMILEYK >KJB18285 pep chromosome:Graimondii2_0_v6:3:5772226:5774542:-1 gene:B456_003G044700 transcript:KJB18285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIENFKVESPNVKYTENEIQSVYNYETTELVHENKNGTYQWVVKPKTVKYEFKTDTHVPKLGVMLVGWGGNNGSTLTGGVIANKEGISWATKDKVQQANYFGSLTQASTIRIGSYNGEEIYAPFKSLLPMVNPNDIVFGGWDISDMNLADAMARAKVFDMDLQKQLRPYMESMVPLPGIYDPDFIAANQGERANNVIKGTKKEQVQQVIKDIKEFKEKNKVDKVVVLWTANTERYSNVIVGLNDTVESLMASLEKNESEISPSTLYAIACVLENVPFINGSPQNTFVPGLIDLAIQRNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQFKADGEGKFHSFHPVATILSYLTKAPLVSPKHPFVFSCNSGLLKI >KJB20590 pep chromosome:Graimondii2_0_v6:3:42395358:42404736:-1 gene:B456_003G155100 transcript:KJB20590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSSMTMCKSVTSPPGLFLRRNTSSRSFQCTFKVGSRINFPRQKAQVTQVRCKSSKRGGALGATCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAALSRNCTMLHPGYGFLAENAVFVEMCRDHRINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEAIKLAHEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMIFSVDLIEEQIRVAMGEKLHYKQEDIVLRGHSIECRINAEDAFKGFRPGPGRITSYLPSGGPFVRMDSHVYPDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTVITGVPTTIEYHKLILDIEDFRNGKVDTAFIPKHEEELAAPQKMVLATAAKELTSASATA >KJB20589 pep chromosome:Graimondii2_0_v6:3:42395606:42404724:-1 gene:B456_003G155100 transcript:KJB20589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSSMTMCKSVTSPPGLFLRRNTSSRSFQCTFKVGSRINFPRQKAQVTQVRCKSSKRGGALGATCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAALSRNCTMLHPGYGFLAENAVFVEMCRDHRINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEAIKLAHEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMIFSVDLIEEQIRVAMGEKLHYKQEDIVLRGHSIECRINAEDAFKGFRPGPGRITSYLPSGGPFVRMDSHVYPDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTVITGVPTTIEYHKLILDIEDFRNGKVDTAFIPKHEEELAAPQKMVLATAAKELTSASATA >KJB17364 pep chromosome:Graimondii2_0_v6:3:9466202:9466606:-1 gene:B456_003G057300 transcript:KJB17364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEQEIGGVQDIHKLKEVIFDLHYRNAMDVEQILNYPSENESLMESSTDDKITQGIMDLPADDEQDPDDSSVLPHVSPKEAFLPVDTLKNYLIQHEKNITDLIYTLLNVKDEIVFDSYAKKKQITIDAYFSKE >KJB18474 pep chromosome:Graimondii2_0_v6:3:8582551:8586933:-1 gene:B456_003G055000 transcript:KJB18474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTGKVVCVTGASGYIASWLVKFLLQRGYTVKATVRDPSDRKKSEHLLALDGAKERLRLFKAQLLDEGAFDSVVDGCVGVFHTASPFYHNIKDPQAEMLDPAVKGTLNVLRSCAKVPSIKRVVITSSIAATVYNGRPLGPDVVVDETWFSDPAFCEKSKLWYMLSKTLAEEAAWKFAKENGIDMVTINPGLVIGPLLQPTVNTSVEPILKLINGAKTFPNATFRLIDVRDVANAHVLSFENSSACGRYLLVESAVHCSEVVLALRKLYPALSLPENCADEKLSTPIFQVSKERAKSLGVNFTLLEVSLKDTVESLKEKNIFSG >KJB18480 pep chromosome:Graimondii2_0_v6:3:8583453:8586674:-1 gene:B456_003G055000 transcript:KJB18480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTGKVVCVTGASGYIASWLVKFLLQRGYTVKATVRDPSDRKKSEHLLALDGAKERLRLFKAQLLDEGAFDSVVDGCVGVFHTASPFYHNIKDPQAEMLDPAVKGTLNVLRSCAKVPSIKRVVITSSIAATVYNGRPLGPDVVVDETWFSDPAFCEKSKLWYMLSKTLAEEAAWKFAKENGIDMVTINPGLVIGPLLQPTVNTSVEPILKLINGAKTFPNATFRLIDVRDVANAHVLSFENSSACGRYLLVESAVHCSEVVLALRKLYPALSLPEK >KJB18476 pep chromosome:Graimondii2_0_v6:3:8582155:8586892:-1 gene:B456_003G055000 transcript:KJB18476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTGKVVCVTGASGYIASWLVKFLLQRGYTVKATVRDPSDRKKSEHLLALDGAKERLRLFKAQLLDEGAFDSVVDGCVGVFHTASPFYHNIKDPQAEMLDPAVKGTLNVLRSCAKVPSIKRVVITSSIAATVYNGRPLGPDVVVDETWFSDPAFCEKSKLWYMLSKTLAEEAAWKFAKENGIDMVTINPGLVIGPLLQPTVNTSVEPILKLINGAKTFPNATFRLIDVRDVANAHVLSFENSSACGRYLLVESAVHCSEVVLALRKLYPALSLPENCADEKLSTPIFQVSKERAKSLGVNFTLLEVSLKDTVESLKEKNIFSG >KJB18477 pep chromosome:Graimondii2_0_v6:3:8582685:8586892:-1 gene:B456_003G055000 transcript:KJB18477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPAVKGTLNVLRSCAKVPSIKRVVITSSIAATVYNGRPLGPDVVVDETWFSDPAFCEKSKLWYMLSKTLAEEAAWKFAKENGIDMVTINPGLVIGPLLQPTVNTSVEPILKLINGAKTFPNATFRLIDVRDVANAHVLSFENSSACGRYLLVESAVHCSEVVLALRKLYPALSLPENCADEKLSTPIFQVSKERAKSLGVNFTLLEVSLKDTVESLKEKNIFSG >KJB18475 pep chromosome:Graimondii2_0_v6:3:8581993:8586892:-1 gene:B456_003G055000 transcript:KJB18475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTGKVVCVTGASGYIASWLVKFLLQRGYTVKATVRDPSDRKKSEHLLALDGAKERLRLFKAQLLDEGAFDSVVDGCVGVFHTASPFYHNIKDPQAEMLDPAVKGTLNVLRSCAKVPSIKRVVITSSIAATVYNGRPLGPDVVVDETWFSDPAFCEKSKLWYMLSKTLAEEAAWKFAKENGIDMVTINPGLVIGPLLQPTVNTSVEPILKLINGAKTFPNATFRLIDVRDVANAHVLSFENSSACGRYLLVESAVHCSEVVLALRKLYPALSLPENCADEKLSTPIFQVSKERAKSLGVNFTLLEVSLKDTVESLKEKNIFSG >KJB18479 pep chromosome:Graimondii2_0_v6:3:8582705:8586892:-1 gene:B456_003G055000 transcript:KJB18479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTGKVVCVTGASGYIASWLVKFLLQRGYTVKATVRDPSDRKKSEHLLALDGAKERLRLFKAQLLDEGAFDSVVDGCVGVFHTASPFYHNIKDPQAEMLDPAVKGTLNVLRSCAKVPSIKRVVITSSIAATVYNGRPLGPDVVVDETWFSDPAFCEKSKLWYMLSKTLAEEAAWKFAKENGIDMVTINPGLVIGPLLQPTVNTSVEPILKLINGAKTFPNATFRLIDVRDVANAHVLSFENSSACGRYLLVESAVHCSEVVLALRKLYPALSLPEK >KJB18478 pep chromosome:Graimondii2_0_v6:3:8582685:8586652:-1 gene:B456_003G055000 transcript:KJB18478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPAVKGTLNVLRSCAKVPSIKRVVITSSIAATVYNGRPLGPDVVVDETWFSDPAFCEKSKLWYMLSKTLAEEAAWKFAKENGIDMVTINPGLVIGPLLQPTVNTSVEPILKLINGAKTFPNATFRLIDVRDVANAHVLSFENSSACGRYLLVESAVHCSEVVLALRKLYPALSLPENCADEKLSTPIFQVSKERAKSLGVNFTLLEVSLKDTVESLKEKNIFSG >KJB18117 pep chromosome:Graimondii2_0_v6:3:3521334:3523465:-1 gene:B456_003G034700 transcript:KJB18117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSAKWLSELGMDEYDIIHQCHMNSLAELTAGEDITATAFTRGNFKQSSFSSESYSSYPNFTTKNNSVSSINEASDRPIKQLKTGTSWNSSTITNINHVPVPKKPSSPTSHILSFEKPAASLPANSKQLYGIDNIVKPKDETVCSGNNMNYFGQFQSTNYTAKNSRSYSMTRSPSHAQDHIMAERKRREKLNQRFIALSAIVPGLKKMDKASVLGDAIKYVKQLQERLKVLEEQTKKRTVESVVFVKKCQLLSADDESSSCEENSDGQSSDAALPEIEAKVSDNDVLIRIHCEKHKGFIAKILSEIENLRLSIVNTNALPFGNSTLDITIIAEKDAEFNMTVKDLVKDLRMALLKFM >KJB18674 pep chromosome:Graimondii2_0_v6:3:13089837:13094913:-1 gene:B456_003G065300 transcript:KJB18674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor-like protein kinase PERK15 [Source:Projected from Arabidopsis thaliana (AT1G52290) UniProtKB/Swiss-Prot;Acc:Q9C821] MATPPSPSPTSPPSTPNTTSSPPSPSLSPPVTNTSTPVSPPPPVADSPNATLPPPPSPSGGLPPGTLAGLIVGAGMGALIVLIGVGIFVIFYRRRKKKLAGAHPQGVDPQHWQQSVPQIAVLPKPTTPPGITPHVYNYVDPPSTSTSLGSEKPSNPSPSSGLAMGRSLGTFTYEDLALATDNFSDSNLIGQGGFGYVHKGVLKDGKVVAIKQLKAGSGQGEREFQAEVDIISCVHHRHLVSLVGYCIIGEKRLLVYEFVPNNTLEFHLHGKERPVMNWSTRMKIAFGAAKGLAYLHEDCKPKIIHRDIKAANILLDESFEARVADFGLAKSSLDTDTHVSTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRRPVDKTQPFFDDSIVDWVCILYIRISSFNICI >KJB18677 pep chromosome:Graimondii2_0_v6:3:13089837:13094932:-1 gene:B456_003G065300 transcript:KJB18677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor-like protein kinase PERK15 [Source:Projected from Arabidopsis thaliana (AT1G52290) UniProtKB/Swiss-Prot;Acc:Q9C821] MATPPSPSPTSPPSTPNTTSSPPSPSLSPPVTNTSTPVSPPPPVADSPNATLPPPPSPSGGLPPGTLAGLIVGAGMGALIVLIGVGIFVIFYRRRKKKLAGAHPQGVDPQHWQQSVPQIAVLPKPTTPPGITPHVYNYVDPPSTSTSLGSEKPSNPSPSSGLAMGRSLGTFTYEDLALATDNFSDSNLIGQGGFGYVHKGVLKDGKVVAIKQLKAGSGQGEREFQAEVDIISCVHHRHLVSLVGYCIIGEKRLLVYEFVPNNTLEFHLHGKERPVMNWSTRMKIAFGAAKGLAYLHEDCKPKIIHRDIKAANILLDESFEARVADFGLAKSSLDTDTHVSTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRRPVDKTQPFFDDSIVDWARPLLSQALEHGNFDAFVDSRLQKDYDSDEMTRMVACAAACVRHSARNRPRMSQVLRVLEGNMPLDNLNEGITPGHSRVFGSFESSDYSSAQYKEDMKKFRKIALESQELPSSEYSVVTSDYGVNPSSSSTEGQQSTQIETSKGEKETKDVSGSS >KJB18675 pep chromosome:Graimondii2_0_v6:3:13091507:13094794:-1 gene:B456_003G065300 transcript:KJB18675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor-like protein kinase PERK15 [Source:Projected from Arabidopsis thaliana (AT1G52290) UniProtKB/Swiss-Prot;Acc:Q9C821] MATPPSPSPTSPPSTPNTTSSPPSPSLSPPVTNTSTPVSPPPPVADSPNATLPPPPSPSGGLPPGTLAGLIVGAGMGALIVLIGVGIFVIFYRRRKKKLAGAHPQGVDPQHWQQSVPQIAVLPKPTTPPGITPHVYNYVDPPSTSTSLGSEKPSNPSPSSGLAMGRSLGTFTYEDLALATDNFSDSNLIGQGGFGYVHKGVLKDGKVVAIKQLKAGSGQGEREFQAEVDIISCVHHRHLVSLVGYCIIGEKRLLVYEFVPNNTLEFHLHGKERPVMNWSTRMKIAFGAAKGLAYLHEDCKPKIIHRDIKAANILLDESFEARVADFGLAKSSLDTDTHVSTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRRPVDKTQPFFDDSIVDWVCILYIRISSFNICI >KJB18676 pep chromosome:Graimondii2_0_v6:3:13091122:13094794:-1 gene:B456_003G065300 transcript:KJB18676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor-like protein kinase PERK15 [Source:Projected from Arabidopsis thaliana (AT1G52290) UniProtKB/Swiss-Prot;Acc:Q9C821] MATPPSPSPTSPPSTPNTTSSPPSPSLSPPVTNTSTPVSPPPPVADSPNATLPPPPSPSGGLPPGTLAGLIVGAGMGALIVLIGVGIFVIFYRRRKKKLAGAHPQGVDPQHWQQSVPQIAVLPKPTTPPGITPHVYNYVDPPSTSTSLGSEKPSNPSPSSGLAMGRSLGTFTYEDLALATDNFSDSNLIGQGGFGYVHKGVLKDGKVVAIKQLKAGSGQGEREFQAEVDIISCVHHRHLVSLVGYCIIGEKRLLVYEFVPNNTLEFHLHGKERPVMNWSTRMKIAFGAAKGLAYLHEDCKPKIIHRDIKAANILLDESFEARVADFGLAKSSLDTDTHVSTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRRPVDKTQPFFDDSIVDWARPLLSQALEHGNFDAFVDSRLQKDYDSDEMTRMVACAAACVRHSARNRPRMSQVL >KJB18673 pep chromosome:Graimondii2_0_v6:3:13089837:13094913:-1 gene:B456_003G065300 transcript:KJB18673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor-like protein kinase PERK15 [Source:Projected from Arabidopsis thaliana (AT1G52290) UniProtKB/Swiss-Prot;Acc:Q9C821] MATPPSPSPTSPPSTPNTTSSPPSPSLSPPVTNTSTPVSPPPPVADSPNATLPPPPSPSGGLPPGTLAGLIVGAGMGALIVLIGVGIFVIFYRRRKKKLAGAHPQGVDPQHWQQSVPQIAVLPKPTTPPGITPHVYNYVDPPSTSTSLGSEKPSNPSPSSGLAMGRSLGTFTYEDLALATDNFSDSNLIGQGGFGYVHKGVLKDGKVVAIKQLKAGSGQGEREFQAEVDIISCVHHRHLVSLVGYCIIGEKRLLVYEFVPNNTLEFHLHGKERPVMNWSTRMKIAFGAAKGLAYLHEDCKPKIIHRDIKAANILLDESFEARVADFGLAKSSLDTDTHVSTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRRPVDKTQPFFDDSIVDWVTEGL >KJB18678 pep chromosome:Graimondii2_0_v6:3:13091804:13094913:-1 gene:B456_003G065300 transcript:KJB18678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor-like protein kinase PERK15 [Source:Projected from Arabidopsis thaliana (AT1G52290) UniProtKB/Swiss-Prot;Acc:Q9C821] MATPPSPSPTSPPSTPNTTSSPPSPSLSPPVTNTSTPVSPPPPVADSPNATLPPPPSPSGGLPPGTLAGLIVGAGMGALIVLIGVGIFVIFYRRRKKKLAGAHPQGVDPQHWQQSVPQIAVLPKPTTPPGITPHVYNYVDPPSTSTSLGSEKPSNPSPSSGLAMGRSLGTFTYEDLALATDNFSDSNLIGQGGFGYVHKGVLKDGKVVAIKQLKAGSGQGEREFQAEVDIISCVHHRHLVSLVGYCIIGEKRLLVYEFVPNNTLEFHLHGKERPVMNWSTRMKIAFGAAKGLAYLHEDCKPKIIHRDIKAANILLDESFEARVADFGLAKSSLDTDTHVSTRVMGTFG >KJB19233 pep chromosome:Graimondii2_0_v6:3:26822219:26830176:1 gene:B456_003G090300 transcript:KJB19233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEESRTTCCDSVSTTLRATSSDLTLSNLLTSKRIIEVSGVSRLRFSIGEVGSRVVNLCNKKSLYFQVWSHQTLVVLFSLWFGL >KJB19615 pep chromosome:Graimondii2_0_v6:3:34027440:34029449:1 gene:B456_003G111100 transcript:KJB19615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFKKKTSPKGEIEGALLKYLGVERNEVTNDGLFSVGEMECMVRCCVNAPMIAVADYTNGSEGYMYNYYEDVTTQRVVEIVEIVAMGFCQEN >KJB19614 pep chromosome:Graimondii2_0_v6:3:34027440:34029449:1 gene:B456_003G111100 transcript:KJB19614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFKKKTSPKGEIEGALLKYLGVERNEVTNDGLFSVGEMECMVRCCVNAPMIAVADYTNGSEGYMYNYYEDVTTQRVVEIVEIVAMGFCQEN >KJB19616 pep chromosome:Graimondii2_0_v6:3:34027440:34028907:1 gene:B456_003G111100 transcript:KJB19616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFKKKTSPKGEIEGALLKYLGVERNEVTNDGLFSVGEMECMVRCCVNAPMIAVADYTNGSEGYMYNYYEDVTTQRVVEIVEIVAMGFCQEN >KJB18056 pep chromosome:Graimondii2_0_v6:3:4809346:4811606:-1 gene:B456_003G040400 transcript:KJB18056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAGAEQISVLVRSMVESKASKNVLRLFYSLGYKLDHELLRVGFTFHFERAAQITVTVSSVNKMLKLHATDEAVPVTPGIQMVEVTAPATSENYNEVVASVSSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDGGGTTL >KJB18055 pep chromosome:Graimondii2_0_v6:3:4809338:4811656:-1 gene:B456_003G040400 transcript:KJB18055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVVQGIIETQYVEALEILLQGLCGVNRERLRVHEICLKSGPNLGFVTSEVRLLCDLEQSEPTWTVKHVGGAMRGAGAEQISVLVRSMVESKASKNVLRLFYSLGYKLDHELLRVGFTFHFERAAQITVTVSSVNKMLKLHATDEAVPVTPGIQMVEVTAPATSENYNEVVASVSSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDGGGTTL >KJB18057 pep chromosome:Graimondii2_0_v6:3:4809370:4811606:-1 gene:B456_003G040400 transcript:KJB18057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVVQGIIETQYVEALEILLQGLCGVNRERLRVHEICLKSGPNLGFVTSEVRLLCDLEQSEPTWTVKHVGGAMRGAGAEQISVLVRSMVESKASKNVLRLFYSLGYKLDHELLRVGFTFHFERAAQITVTVSSVNKMLKLHATDEAVPVTPGIQMVEVTAPATSENYNEVVASVSSFCEYLAP >KJB19419 pep chromosome:Graimondii2_0_v6:3:31032096:31041795:1 gene:B456_003G101100 transcript:KJB19419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEGSGGGRSNYNHMNDAKNERRVGLIYDQRMCKHRTPYGDDHPEKPDRITVIWNKLELAGIPQRCVILNAKEAKDEYICAVHSKNHVNLIRNISSKEYSKRNRIASKLNSIYLNEGSSESAYLAAGSVIEVAEKVAKGELNSAFAIVRPPGHHAEYDEAMGFCLFNNIAIAASFLLDQRPELGINKILIVDWDVHHGNGTQKTFWSDPRVLFFSVHRHEFGRFYPANFDGFYTMVGEGPGAGYNINVPWENGRCGDADYLAVWDHVLVPVAKEFNPDIILVSAGFDAAVGDPLGGCRLTPHGYSVLLKKLMDFAQGRIVLALEGGYNLDSLANSALACMEVLLEDKPISELSEAYPFESTWRVIQAVRQMLSAYWPTLADKLPTKLTDQKAPPHILLSSSESDDEDDDASKIISKDLVQAIADVVEPILNLKIEDYHGNEVKNEVNSALWRSKLSKTDIWYAGFGSNMWKSRFLCYIEGGQVIGMKKLCSGSVDRNPPKETRWKTFPHRLFFGRDFTQTWGPGGVAFLDPRSNSEDKAYMCLYKITLEQFNDVLLQENVPDHDMNSPLFDLNALDSILNEGSIPVEAVKRGWYHNVVYLGMEDDIPILTMTCPLSAMESFKSGEIPLCAPSKDYADTLVRGLVEGKQLSEEEATTYIQVASTKPL >KJB19421 pep chromosome:Graimondii2_0_v6:3:31032260:31041791:1 gene:B456_003G101100 transcript:KJB19421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEGSGGGRSNYNHMNDAKNERRVGLIYDQRMCKHRTPYGDDHPEKPDRITVIWNKLELAGIPQRCVILNAKEAKDEYICAVHSKNHVNLIRNISSKEYSKRNRIASKLNSIYLNEGSSESAYLAAGSVIEVAEKVAKGELNSAFAIVRPPGHHAEYDEAMGFCLFNNIAIAASFLLDQRPELGINKILIVDWDVHHGNGTQKTFWSDPRVLFFSVHRHEFGRFYPANFDGFYTMVGEGPGAGYNINVPWENGRCGDADYLAVWDHVLVPVAKEFNPDIILVSAGFDAAVGDPLGGCRLTPHGYSVLLKKLMDFAQGRIVLALEGGYNLDSLANSALACMEVLLEDKPISELSEAYPFESTWRVIQAVRQMLSAYWPTLADKLPTKLTDQKAPPHILLSSSESDDEDDDASKIISKDLVQAIADVVEPILNLKIEDYHDEVNSALWRSKLSKTDIWYAGFGSNMWKSRFLCYIEGGQVIGMKKLCSGSVDRNPPKETRWKTFPHRLFFGRDFTQTWGPGGVAFLDPRSNSEDKAYMCLYKITLEQFNDVLLQENVPDHDMNSPLFDLNALDSILNEGSIPVEAVKRGWYHNVVYLGMEDDIPILTMTYA >KJB19423 pep chromosome:Graimondii2_0_v6:3:31033720:31041791:1 gene:B456_003G101100 transcript:KJB19423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEGPGAGYNINVPWENGRCGDADYLAVWDHVLVPVAKEFNPDIILVSAGFDAAVGDPLGGCRLTPHGYSVLLKKLMDFAQGRIVLALEGGYNLDSLANSALACMEVLLEDKPISELSEAYPFESTWRVIQAVRQMLSAYWPTLADKLPTKLTDQKAPPHILLSSSESDDEDDDASKIISKDLVQAIADVVEPILNLKIEDYHDEVNSALWRSKLSKTDIWYAGFGSNMWKSRFLCYIEGGQVIGMKKLCSGSVDRNPPKETRWKTFPHRLFFGRDFTQTWGPGGVAFLDPRSNSEDKAYMCLYKITLEQFNDVLLQENVPDHDMNSPLFDLNALDSILNEGSIPVEAVKRGWYHNVVYLGMEDDIPILTMTCPLSAMESFKSGEIPLCAPSKDYADTLVRGLVEGKQLSEEEATTYIQVASTKPL >KJB19420 pep chromosome:Graimondii2_0_v6:3:31032096:31041836:1 gene:B456_003G101100 transcript:KJB19420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEGSGGGRSNYNHMNDAKNERRVGLIYDQRMCKHRTPYGDDHPEKPDRITVIWNKLELAGIPQRCVILNAKEAKDEYICAVHSKNHVNLIRNISSKEYSKRNRIASKLNSIYLNEGSSESAYLAAGSVIEVAEKVAKGELNSAFAIVRPPGHHAEYDEAMGFCLFNNIAIAASFLLDQRPELGINKILIVDWDVHHGNGTQKTFWSDPRVLFFSVHRHEFGRFYPANFDGFYTMVGEGPGAGYNINVPWENGRCGDADYLAVWDHVLVPVAKEFNPDIILVSAGFDAAVGDPLGGCRLTPHGYSVLLKKLMDFAQGRIVLALEGGYNLDSLANSALACMEVLLEDKPISELSEAYPFESTWRVIQAVRQMLSAYWPTLADKLPTKLTDQKAPPHILLSSSESDDEDDDASKIISKDLVQAIADVVEPILNLKIEDYHDEVNSALWRSKLSKTDIWYAGFGSNMWKSRFLCYIEGGQVIGMKKLCSGSVDRNPPKETRWKTFPHRLFFGRDFTQTWGPGGVAFLDPRSNSEDKAYMCLYKITLEQFNDVLLQENVPDHDMNSPLFDLNALDSILNEGSIPVEAVKRGWYHNVVYLGMEDDIPILTMTCPLSAMESFKSGEIPLCAPSKDYADTLVRGLVEGKQLSEEEATTYIQVASTKPL >KJB19422 pep chromosome:Graimondii2_0_v6:3:31032260:31041791:1 gene:B456_003G101100 transcript:KJB19422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEGSGGGRSNYNHMNDAKNERRVGLIYDQRMCKHRTPYGDDHPEKPDRITVIWNKLELAGIPQRCVILNAKEAKDEYICAVHSKNHVNLIRNISSKEYSKRNRIASKLNSIYLNEGSSESAYLAAGSVIEVAEKVAKGELNSAFAIVRPPGHHAEYDEAMGFCLFNNIAIAASFLLDQRPELGINKILIVDWDVHHGNGTQKTFWSDPRVLFFSVHRHEFGRFYPANFDGFYTMVGEGPGGYNLDSLANSALACMEVLLEDKPISELSEAYPFESTWRVIQAVRQMLSAYWPTLADKLPTKLTDQKAPPHILLSSSESDDEDDDASKIISKDLVQAIADVVEPILNLKIEDYHDEVNSALWRSKLSKTDIWYAGFGSNMWKSRFLCYIEGGQVIGMKKLCSGSVDRNPPKETRWKTFPHRLFFGRDFTQTWGPGGVAFLDPRSNSEDKAYMCLYKITLEQFNDVLLQENVPDHDMNSPLFDLNALDSILNEGSIPVEAVKRGWYHNVVYLGMEDDIPILTMTCPLSAMESFKSGEIPLCAPSKDYADTLVRGLVEGKQLSEEEATTYIQVASTKPL >KJB18944 pep chromosome:Graimondii2_0_v6:3:18590056:18592849:-1 gene:B456_003G076500 transcript:KJB18944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLYLSQPYSASSFSLIPSLIPSSIPKFKPAFHCFPKTHKKKKKINRGICRAEFSPDAPLAAAIGACMLSSLLLPAADTGEEDGGSSIIDAGDTRFAAMGIISFIPYFNWLSWVFAWLDTGKRRYAVYSVVYLVPYLRSNFSLSPEDSWLPIASILFCIVHVQLEASIRNGDLQGFQIFSEAAKHLSSRSREEDEHFKGYNEPEVKKREHRNLPDAEEHSRNEIPHWGIPKRPSQHHEQVNDLEDDGKSEH >KJB18945 pep chromosome:Graimondii2_0_v6:3:18590067:18592838:-1 gene:B456_003G076500 transcript:KJB18945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLYLSQPYSASSFSLIPSLIPSSIPKFKPAFHCFPKTHKKINRGICRAEFSPDAPLAAAIGACMLSSLLLPAADTGEEDGGSSIIDAGDTRFAAMGIISFIPYFNWLSWVFAWLDTGKRRYAVYSVVYLVPYLRSNFSLSPEDSWLPIASILFCIVHVQLEASIRNGDLQGFQIFSEAAKHLSSRSREEDEHFKGYNEPEVKKREHRNLPDAEEHSRNEIPHWGIPKRPSQHHEQVNDLEDDGKSEH >KJB18943 pep chromosome:Graimondii2_0_v6:3:18590056:18592838:-1 gene:B456_003G076500 transcript:KJB18943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLYLSQPYSASSFSLIPSLIPSSIPKFKPAFHCFPKTHKKKKKINRGICRAEFSPDAPLAAAIGACMLSSLLLPAADTGEEDGGSSIIDAGDTRFAAMGIISFIPYFNWLSWVFAWLDTGKRRYAVYSVVYLVPYLRSNFSLSPEDSWLPIASILFCIVHVQLEASIRNGDLQGFQIFSEAAKHLSSRSREEDEHFKGYNEPEKREHRNLPDAEEHSRNEIPHWGIPKRPSQHHEQVNDLEDDGKSEH >KJB17983 pep chromosome:Graimondii2_0_v6:3:2493495:2499900:1 gene:B456_003G027600 transcript:KJB17983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITSVELNYLVFRYLQESGFTHSAFTLGYEAGINKCTIDGSLVPPGALITFVQKGLQYLEMEANLSNNDAEMDEDFSFLQPLDIITKDVNQLRQMVKEKRKNIQKDRIKDRDKDKDKEVEREHEGERGRIREKERTEKEKEREKEREKEREKEREKEREKAESNKEREKQPEELAYRDMVLDQVDKDVARHEENGTLAGPEPMDIATTSTFASHDACEIHSSEVTILEGHTSEVCACAWSPTGSLLASGSGDSTARIWTISDGLFKSGGKNSPLNVLVLKHVKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWTTTGDLRTTLSKHKGPIFSLKWNKKGDYLLTGSCDKTAIVWDVKAEEWKQQFEFHTGPTLDVDWRNNVSFATSSTDHMIYVCRIGETRPIKTFAGHQGEVNCVKWDPTGSLLASCSDDITAKIWCMKQDKYVHDLREHSKEIYAIRWSPTGPGTNNPNQQLVLASASFDSTVKLWDVEQGKLLYSLNGHRYRPQICSLFVSCSTNIL >KJB17985 pep chromosome:Graimondii2_0_v6:3:2493318:2500792:1 gene:B456_003G027600 transcript:KJB17985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITSVELNYLVFRYLQESGFTHSAFTLGYEAGINKCTIDGSLVPPGALITFVQKGLQYLEMEANLSNVRMLIVVVFVIIIDNFLVYGGNQVIAKSICIYSSRFVLSLQNDAEMDEDFSFLQPLDIITKDVNQLRQMVKEKRKNIQKDRIKDRDKDKDKEVEREHEGERGRIREKERTEKEKEREKEREKEREKEREKEREKAESNKEREKQPEELAYRDMVLDQVDKDVARHEENGTLAGPEPMDIATTSTFASHDACEIHSSEVTILEGHTSEVCACAWSPTGSLLASGSGDSTARIWTISDGLFKSGGKNSPLNVLVLKHVKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWTTTGDLRTTLSKHKGPIFSLKWNKKGDYLLTGSCDKTAIVWDVKAEEWKQQFEFHTGPTLDVDWRNNVSFATSSTDHMIYVCRIGETRPIKTFAGHQGEVNCVKWDPTGSLLASCSDDITAKIWCMKQDKYVHDLREHSKEIYAIRWSPTGPGTNNPNQQLVLASASFDSTVKLWDVEQGKLLYSLNGHRDPVYSVAFSPNGEYLASGSLDKSMHVWSLKEGKIVKTYTGNGGIFEVCWNKEGDKIAACFANNTLCVLDFRM >KJB17982 pep chromosome:Graimondii2_0_v6:3:2493318:2500792:1 gene:B456_003G027600 transcript:KJB17982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITSVELNYLVFRYLQESGFTHSAFTLGYEAGINKCTIDGSLVPPGALITFVQKGLQYLEMEANLSNNDAEMDEDFSFLQPLDIITKDVNQLRQMVKEKRKNIQKDRIKDRDKDKDKEVEREHEGERGRIREKERTEKEKEREKEREKEREKEREKEREKAESNKEREKQPEELAYRDMVLDQVDKDVARHEENGTLAGPEPMDIATTSTFASHDACEIHSSEVTILEGHTSEVCACAWSPTGSLLASGSGDSTARIWTISDGLFKSGGKNSPLNVLVLKHVKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWTTTGDLRTTLSKHKGPIFSLKWNKKGDYLLTGSCDKTAIVWDVKAEEWKQQFEFHTGPTLDVDWRNNVSFATSSTDHMIYVCRIGETRPIKTFAGHQGEVNCVKWDPTGSLLASCSDDITAKIWCMKQDKYVHDLREHSKCII >KJB17984 pep chromosome:Graimondii2_0_v6:3:2493318:2500792:1 gene:B456_003G027600 transcript:KJB17984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITSVELNYLVFRYLQESGFTHSAFTLGYEAGINKCTIDGSLVPPGALITFVQKGLQYLEMEANLSNNDAEMDEDFSFLQPLDIITKDVNQLRQMVKEKRKNIQKDRIKDRDKDKDKEVEREHEGERGRIREKERTEKEKEREKEREKEREKEREKEREKAESNKEREKQPEELAYRDMVLDQVDKDVARHEENGTLAGPEPMDIATTSTFASHDACEIHSSEVTILEGHTSEVCACAWSPTGSLLASGSGDSTARIWTISDGLFKSGGKNSPLNVLVLKHVKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWTTTGDLRTTLSKHKGPIFSLKWNKKGDYLLTGSCDKTAIVWDVKAEEWKQQFEFHTGPTLDVDWRNNVSFATSSTDHMIYVCRIGETRPIKTFAGHQGEVNCVKWDPTGSLLASCSDDITAKIWCMKQDKYVHDLREHSKEIYAIRWSPTGPGTNNPNQQLVLASASFDSTVKLWDVEQGKLLYSLNGHRDPVYSVAFSPNGEYLASGSLDKSMHVWSLKEGKIVKTYTGNGGIFEVCWNKEGDKIAACFANNTLCVLDFRM >KJB18390 pep chromosome:Graimondii2_0_v6:3:7202196:7204005:-1 gene:B456_003G050200 transcript:KJB18390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNEEKAQSMLNRFIALKAEEKKKPKERRPFLASECRDLAEADKWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKSHWERRIVELGGPNYAKHAPKMTDLEGNIVDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRIDASYYGYRDEEDGVLARVEGPAEAKMRAEAEEEWRRVEEIRREARRGAKEVVSVGAAAREVLFEEEEDVGICRARAIA >KJB18391 pep chromosome:Graimondii2_0_v6:3:7202209:7204005:-1 gene:B456_003G050200 transcript:KJB18391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNEEKAQSMLNRFIALKAEEKKKPKERRPFLASECRDLAEADKWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKSHWERRIVELGGPNYAKHAPKMTDLEGNIVDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRIDASYYGYRDEEDGVLARVEGPAEAKMRAEAEEEWRRVEEIRREARRGAKEVVSVGAAAREVLIRRGNLSCTCHCLMRRRLRGWLLKGRRWSF >KJB18389 pep chromosome:Graimondii2_0_v6:3:7202474:7203373:-1 gene:B456_003G050200 transcript:KJB18389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNEEKAQSMLNRFIALKAEEKKKPKERRPFLASECRDLAEADKWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKSHWERRIVELGGPNYAKHAPKMTDLEGNIVDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRIDASYYGYRDEEDGVLARVEGPAEAKMRAEAEEEWRRVEEIRREARRGAKEVVSVGAAAREVLFEEEEDVVEEERREREEKERKDKEREFVVHVPLPDEKEIERMVVERKKMELLSKYASEGLLEEQSEAKDMLNIHR >KJB18388 pep chromosome:Graimondii2_0_v6:3:7201844:7204090:-1 gene:B456_003G050200 transcript:KJB18388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNEEKAQSMLNRFIALKAEEKKKPKERRPFLASECRDLAEADKWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKSHWERRIVELGGPNYAKHAPKMTDLEGNIVDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRIDASYYGYRDEEDGVLARVEGPAEAKMRAEAEEEWRRVEEIRREARRGAKEVVSVGAAAREVLFEEEEDVVEEERREREEKERKDKEREFVVHVPLPDEKEIERMVVERKKMELLSKYASEGLLEEQSEAKDMLNIHR >KJB17889 pep chromosome:Graimondii2_0_v6:3:1694340:1694624:-1 gene:B456_003G022200 transcript:KJB17889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNKQLSFLVLLLLVSFLQIQCIVGRPLILDQKETGTEGNKAVVANTQSLAPPSPPTPSVAVGATETPPPKNANDFRPTAPGHSPGVGHSLQN >KJB19598 pep chromosome:Graimondii2_0_v6:3:33975145:33978523:1 gene:B456_003G110800 transcript:KJB19598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFRLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLATYVGFRIQHDNARGPMKGGIRYHPEVEPDEVNALAQLMTWKTAVADIPYGGAKGGIGCNPRDLSKSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYATEALLAEYGKSIKGMTFGIQGFGNVGSWASRLIHEKGGKVIAVSDITGALKNPNGIDIPELLKHKEATGSLKSFNGGDSMDPNELLVHECDVLIPCALGGVLNRENAADVKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNQELKKYMTKAFHNIKNMCQSHDCNLRMGAFTLGVNRVARATILRGWEA >KJB19599 pep chromosome:Graimondii2_0_v6:3:33975405:33977340:1 gene:B456_003G110800 transcript:KJB19599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFRLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLATYVGFRIQHDNARGPMKGGIRYHPEVEPDEVNALAQLMTWKTAVADIPYGGAKGGIGCNPRDLSKSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYATEALLAEYGKSIKGMTFGIQGFGNVGSWASRLIHEKGGKVIAVSDITGALKNPNGIDIPELLKHKEATGSLKSFNGGDSMDPNELLVHECDVLIPCALGGVLNRLGSIAEHLGPINYCAVCCMLPYFDFI >KJB19600 pep chromosome:Graimondii2_0_v6:3:33975215:33978481:1 gene:B456_003G110800 transcript:KJB19600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFRLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLATYVGFRIQHDNARGPMKGGIRYHPEVEPDEVNALAQLMTWKTAVADIPYGGAKGGIGCNPRDLSKSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYATEALLAEYGKSIKGMTFGIQGFGNVGSWASRLIHEKGGKVIAVSDITGALKNPNGIDIPELLKHKEATGSLKSFNGGDSMDPNELLVHEWVTVSYFEWVQNIQGFMWDEEKVNQELKKYMTKAFHNIKNMCQSHDCNLRMGAFTLGVNRVARATILRGWEA >KJB20327 pep chromosome:Graimondii2_0_v6:3:40924831:40928526:-1 gene:B456_003G143700 transcript:KJB20327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSEQEHDFVKWGLQLFNSDPYANCGYCGVLTQENGEYYTGNSFKEDDHYDAGECCNVENDEAIAHTLQLQELSKLAVVGSPSQGEEEELQLQVSGYTRDCINQSVGDFGSGQGCGEEEQDEITTSSSCSSPEEKLLCEEDRSYSLELTDEFALDGEVGKRLNQMVPVPHIPRINGEIPSVDEATLDHQRLLERLQVYNLVELKVEGDGNCQLKSCPDIYEGYVPMAYGDYLEKMSKSGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPNVQKSKRVIFLSFWAEVHYNSIYPVGDVPSFGMKKKKRWRMLRNKHLESTDGYQ >KJB20329 pep chromosome:Graimondii2_0_v6:3:40924590:40929353:-1 gene:B456_003G143700 transcript:KJB20329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSEQEHDFVKWGLQLFNSDPYANCGYCGVLTQENGEYYTGNSFKEDDHYDAGECCNVENDEAIAHTLQLQELSKLAVVGSPSQGEEEELQLQVSGYTRDCINQSVGDFGSGQGCGEEEQDEITTSSSCSSPEEKLLCEEDRSYSLELTDEFALDGEVGKRLNQMVPVPHIPRINGEIPSVDEATLDHQRLLERLQVYNLVELKVEGDGNCQAAADSYGVKIFVITSFKDTCYIEILPNVQKSKRVIFLSFWAEVHYNSIYPVGDVPSFGMKKKKRWRMLRNKHLESTDGYQ >KJB20328 pep chromosome:Graimondii2_0_v6:3:40924831:40928526:-1 gene:B456_003G143700 transcript:KJB20328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSEQEHDFVKWGLQLFNSDPYANCGYCGVLTQENGEYYTGNSFKEDDHYDAGECCNVENDEAIAHTLQLQELSKLAVVGSPSQGEEEELQLQVSGYTRDCINQSVGDFGSGQGCGEEEQDEITTSSSCSSPEEKLLCEEDRSYSLELTDEFALDGEVGKRLNQMVPVPHIPRINGEIPSVDEATLDHQRLLERLQVYNLVELKVEGDGNCQFRALSHQVYRTPEHHEFVRQQVVDQLKSCPDIYEGYVPMAYGDYLEKMSKSGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPNVQKSKRVIFLSFWAEVHYNSIYPVGDVPSFGMKKKKRWRMLRNKHLESTDGYQ >KJB20332 pep chromosome:Graimondii2_0_v6:3:40926599:40929353:-1 gene:B456_003G143700 transcript:KJB20332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSEQEHDFVKWGLQLFNSDPYANCGYCGVLTQENGEYYTGNSFKEDDHYDAGECCNVENDEAIAHTLQLQELSKLAVVGSPSQGEEEELQLQVSGYTRDCINQSVGDFGSGQGCGEEEQDEITTSSSCSSPEEKLLCEEDRSYSLELTDEFALDGEVGKRLNQMVPVPHIPRINGEIPSVDEATLDHQRLLERLQVYNLVELKVEGDGNCQFRALSHQVYRTPEHHEFVRQQVVDQVGL >KJB20331 pep chromosome:Graimondii2_0_v6:3:40925841:40929353:-1 gene:B456_003G143700 transcript:KJB20331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSEQEHDFVKWGLQLFNSDPYANCGYCGVLTQENGEYYTGNSFKEDDHYDAGECCNVENDEAIAHTLQLQELSKLAVVGSPSQGEEEELQLQVSGYTRDCINQSVGDFGSGQGCGEEEQDEITTSSSCSSPEEKLLCEEDRSYSLELTDEFALDGEVGKRLNQMVPVPHIPRINGEIPSVDEATLDHQRLLERLQVYNLVELKVEGDGNCQFRALSHQVYRTPEHHEFVRQQVVDQLKSCPDIYEGYVPMAYGDYLEKMSKSGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPNVQKSKRGIIYSISFY >KJB20330 pep chromosome:Graimondii2_0_v6:3:40924590:40929353:-1 gene:B456_003G143700 transcript:KJB20330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSEQEHDFVKWGLQLFNSDPYANCGYCGVLTQENGEYYTGNSFKEDDHYDAGECCNVENDEAIAHTLQLQELSKLAVVGSPSQGEEEELQLQVSGYTRDCINQSVGDFGSGQGCGEEEQDEITTSSSCSSPEEKLLCEEDRSYSLELTDEFALDGEVGKRLNQMVPVPHIPRINGEIPSVDEATLDHQRLLERLQVYNLVELKVEGDGNCQFRALSHQVYRTPEHHEFVRQQVVDQLKSCPDIYEGSGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPNVQKSKRVIFLSFWAEVHYNSIYPVGDVPSFGMKKKKRWRMLRNKHLESTDGYQ >KJB20325 pep chromosome:Graimondii2_0_v6:3:40924552:40929425:-1 gene:B456_003G143700 transcript:KJB20325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSEQEHDFVKWGLQLFNSDPYANCGYCGVLTQENGEYYTGNSFKEDDHYDAGECCNVENDEAIAHTLQLQELSKLAVVGSPSQGEEEELQLQVSGYTRDCINQSVGDFGSGQGCGEEEQDEITTSSSCSSPEEKLLCEEDRSYSLELTDEFALDGEVGKRLNQMVPVPHIPRINGEIPSVDEATLDHQRLLERLQVYNLVELKVEGDGNCQFRALSHQVYRTPEHHEFVRQQVVDQLKSCPDIYEGYVPMAYGDYLEKMSKSGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPNVQKSKRVIFLSFWAEVHYNSIYPVGDVPSFGMKKKKRWRMLRNKHLESTDGYQ >KJB20326 pep chromosome:Graimondii2_0_v6:3:40924553:40929203:-1 gene:B456_003G143700 transcript:KJB20326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSEQEHDFVKWGLQLFNSDPYANCGYCGVLTQENGEYYTGNSFKEDDHYDAGECCNVENDEAIAHTLQLQELSKLAVVGSPSQGEEEELQLQVSGYTRDCINQSVGDFGSGQGCGEEEQDEITTSSSCSSPEEKLLCEEDRSYSLELTDEFALDGEVGKRLNQMVPVPHIPRINGEIPSVDEATLDHQRLLERLQVYNLVELKVEGDGNCQLKSCPDIYEGYVPMAYGDYLEKMSKSGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPNVQKSKRVIFLSFWAEVHYNSIYPVGDVPSFGMKKKKRWRMLRNKHLESTDGYQ >KJB20628 pep chromosome:Graimondii2_0_v6:3:42642325:42647039:-1 gene:B456_003G156900 transcript:KJB20628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGERGRPNKKFKFAKDDHRGSAMEDDYSFYPDEADDEPRDGENEGKKRDFTKLELKLDHGNRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPKEMIDFIHASTANYGKVKLVLKKNRYFIESPFPEVLKKLLQDEVIGRARLVSENAQGSDGFTISKTAGEIESGHSGLLMESELAAAAEEKETHAFEIDPAQVENVKQRCLPNALNYPMLEEYDFRNDSVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDENICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHERERGDKIIVFADNLFALVEYAMKLRKPMIYGATSHLERTKILQAFKTSRDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKVCVTVLFCI >KJB20625 pep chromosome:Graimondii2_0_v6:3:42640922:42644888:-1 gene:B456_003G156900 transcript:KJB20625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDENICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHERERGDKIIVFADNLFALVEYAMKLRKPMIYGATSHLERTKILQAFKTSRDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLEDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDAGADLSYYHLDEQLALLGKVLTAGDDAVGLEQLEEDADDIALHKARRSMGSMSAMSGANGMVYMEYSTGKHKLMGHGQNKSKPKDPSKRHHLFKKRFG >KJB20624 pep chromosome:Graimondii2_0_v6:3:42640921:42647042:-1 gene:B456_003G156900 transcript:KJB20624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGERGRPNKKFKFAKDDHRGSAMEDDYSFYPDEADDEPRDGENEGKKRDFTKLELKLDHGNRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPKEMIDFIHASTANYGKVKLVLKKNRYFIESPFPEVLKKLLQDEVIGRARLVSENAQGSDGFTISKTAGEIESGHSGLLMESELAAAAEEKETHAFEIDPAQVENVKQRCLPNALNYPMLEEYDFRNDSVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDENICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEALYVMNPNKFRACEFLIRFHERERGDKIIVFADNLFALVEYAMKLRKPMIYGATSHLERTKILQAFKTSRDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLEDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDAGADLSYYHLDEQLALLGKVLTAGDDAVGLEQLEEDADDIALHKARRSMGSMSAMSGANGMVYMEYSTGKHKLMGHGQNKSKPKDPSKRHHLFKKRFG >KJB20623 pep chromosome:Graimondii2_0_v6:3:42640877:42647069:-1 gene:B456_003G156900 transcript:KJB20623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGERGRPNKKFKFAKDDHRGSAMEDDYSFYPDEADDEPRDGENEGKKRDFTKLELKLDHGNRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPKEMIDFIHASTANYGKVKLVLKKNRYFIESPFPEVLKKLLQDEVIGRARLVSENAQGSDGFTISKTAGEIESGHSGLLMESELAAAAEEKETHAFEIDPAQVENVKQRCLPNALNYPMLEEYDFRNDSVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDENICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHERERGDKIIVFADNLFALVEYAMKLRKPMIYGATSHLERTKILQAFKTSRDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLEDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDAGADLSYYHLDEQLALLGKVLTAGDDAVGLEQLEEDADDIALHKARRSMGSMSAMSGANGMVYMEYSTGKHKLMGHGQNKSKPKDPSKRHHLFKKRFG >KJB20626 pep chromosome:Graimondii2_0_v6:3:42640922:42647039:-1 gene:B456_003G156900 transcript:KJB20626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGERGRPNKKFKFAKDDHRGSAMEDDYSFYPDEADDEPRDGENEGKKRDFTKLELKLDHGNRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPKEMIDFIHASTANYGKVKLVLKKNRYFIESPFPEVLKKLLQDEVIGRARLVSENAQGSDGFTISKTAGEIESGHSGLLMESELAAAAEEKETHAFEIDPAQVENVKQRCLPNALNYPMLEEYDFRNDSVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDENICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAERGDKIIVFADNLFALVEYAMKLRKPMIYGATSHLERTKILQAFKTSRDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLEDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDAGADLSYYHLDEQLALLGKVLTAGDDAVGLEQLEEDADDIALHKARRSMGSMSAMSGANGMVYMEYSTGKHKLMGHGQNKSKPKDPSKRHHLFKKRFG >KJB20627 pep chromosome:Graimondii2_0_v6:3:42641306:42646887:-1 gene:B456_003G156900 transcript:KJB20627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGERGRPNKKFKFAKDDHRGSAMEDDYSFYPDEADDEPRDGENEGKKRDFTKLELKLDHGNRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPKEMIDFIHASTANYGKVKLVLKKNRYFIESPFPEVLKKLLQDEVIGRARLVSENAQGSDGFTISKTAGEIESGHSGLLMESELAAAAEEKETHAFEIDPAQVENVKQRCLPNALNYPMLEEYDFRNDSVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDENICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHERERGDKIIVFADNLFALVEYAMKLRKPMIYGATRLFLNINCCPFCIVFILLCQSSLVMCSHLERTKILQAFKTSRDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLEDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDAGADLSYYHLDEQLALLGKVLTAGDDAVGLEQLEEDADDIALHKARRSMGSMSAMSGANGMVYMEYSTGKHKLMGHGQNKSKPKDPSKRHHLFKKRFG >KJB20162 pep chromosome:Graimondii2_0_v6:3:39466607:39468647:-1 gene:B456_003G136000 transcript:KJB20162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKAVAEKAPAEKKPKAGKKLPKEGGAAAGDKKKKRVKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KJB18301 pep chromosome:Graimondii2_0_v6:3:6051866:6054783:1 gene:B456_003G045500 transcript:KJB18301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKYQILDNRPIDQWKVTELKEELKRRKLITRGLKEELVRRLDEAVRLERENAETEEDNGFNSDPQPTDEGGIEKAMPVIAETVKDDVDHSGSRIKESGVKVHVDINESAAALGHEGVQGSDSLVEKELVSETTTIQTEITVTKNVVCEVPSTEKESSGQNENVNTDIKVEGEDPKLQFETDDPKPEVENDDPKGELQGSNPQLESDDSKPQLDIEDSKVQLENEGLKVPHEDDVHDSSAPNIQVSEVSPDLGLQVKSDSISTDSVSNNEKIELKDNILADNVKLDLDVVKPEMVEPSSSNVVPISGESHPMDVEDPPENKAPVDERDGKNVTNVDLGNKNDSAETTYSEKLNLDRSSGDDSMEEDMLESKQIDSKCSTDEMGDKSENNGAPIIKEKIPLGDVRDDLSVNKKDTLVENKSRSFVPAEKRKLHDQEPVGNNELAKRRKWNSDKWNSDNIEVPEHQGSNLTPISTPKDTPQPAALRRNFSKSDSTASEGAPKERVVPPSQNAPTTSLRIDHFLRPFTLKAAQELLGKTGTVTSFWMDHIKTHCYVTYSSVEEAIETRNAVYNLQWPPNGGRLLVADFVDPQEVKTRLDAPPRTPTTPGTSGSTAPQAQPASQPQPSSRQQVSRQQFPPPSALLPPPPPLPNPPPVRERLPLPPPPPEKPDPPIVTLDDLFWKTKATPRIYYLPLSDEQVAAKQAAHGGNIKL >KJB18298 pep chromosome:Graimondii2_0_v6:3:6050994:6055521:1 gene:B456_003G045500 transcript:KJB18298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKYQILDNRPIDQWKVTELKEELKRRKLITRGLKEELVRRLDEAVRLERENAETEEDNGFNSDPQPTDEGGIEKAMPVIAETVKDDVDHSGSRIKESGVKVHVDINESAAALGHEGVQGSDSLVEKELVSETTTIQTEITVTKNVVCEVPSTEKESSGQNENVNTDIKVEGEDPKLQFETDDPKPEVENDDPKGELQGSNPQLESDDSKPQLDIEDSKVQLENEGLKVPHEDDVHDSSAPNIQVSEVSPDLGLQVKSDSISTDSVSNNEKIELKDNILADNVKLDLDVVKPEMVEPSSSNVVPISGESHPMDVEDPPENKAPVDERDGKNVTNVDLGNKNDSAETTYSEKLNLDRSSGDDSMEEDMLESKQIDSKCSTDEMGDKSENNGAPIIKEKIPLGDVRDDLSVNKKDTLVENKSRSFVPAEKRKLHDQEPVGNNELAKRRKWNSDKWNSDNIEVPEHQGSNLTPISTPKDTPQPAALRRNFSKSDSTASEGAPKERVVPPSQNAPTTSLRIDHFLRPFTLKAAQELLGKTGTVTSFWMDHIKTHCYVTYSSVEEAIETRNAVYNLQWPPNGGRLLVADFVDPQEVKTRLDAPPRTPTTPGTSGSTAPQAQPASQPQPSSRQQVSRQQFPPPSALLPPPPPLPNPPPVRERLPLPPPPPEKPDPPIVTLDDLFWKTKATPRIYYLPLSDEQVAAKQAAHGGNIKL >KJB18302 pep chromosome:Graimondii2_0_v6:3:6050871:6055521:1 gene:B456_003G045500 transcript:KJB18302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKYQILDNRPIDQWKVTELKEELKRRKLITRGLKEELVRRLDEAVRLERENAETEEDNGFNSDPQPTDEGGIEKAMPVIAETVKDDVDHSGSRIKESGVKVHVDINESAAALGHEGVQGSDSLVEKELVSETTTIQTEITVTKNVVCEVPSTEKESSGQNENVNTDIKVEGEDPKLQFETDDPKPEVENDDPKGELQGSNPQLESDDSKPQLDIEDSKVQLENEGLKVPHEDDVHDSSAPNIQVSEVSPDLGLQVKSDSISTDSVSNNEKIELKDNILADNVKLDLDVVKPEMVEPSSSNVVPISGESHPMDVEDPPENKAPVDERDGKNVTNVDLGNKNDSAETTYSEKLNLDRSSGDDSMEEDMLESKQIDSKCSTDEMGDKSENNGAPIIKEKIPLGDVRDDLSVNKKDTLVENKSRSFVPAEKRKLHDQEPVGNNELAKRRKWNSDKWNSDNIEVPEHQGSNLTPISTPKDTPQPAALRRNFSKSDSTASEGAPKERVVPPSQNAPTTSLRIDHFLRPFTLKAAQELLGKTGTVTSFWMDHIKTHCYVTYSSVEEAIETRNAVYNLQWPPNGGRLLVADFVDPQEVKTRLDAPPRTPTTPGTSGSTAPQAQPASQPQPSSRQQVSRQQFPPPSALLPPPPPLPNPPPVRERLPLPPPPPEKPDPPIVTLDDLFWKTKATPRIYYLPLSDEQVAAKQAAHGGNIKLSAGFALLEGIVPAMQGGVQ >KJB18300 pep chromosome:Graimondii2_0_v6:3:6050994:6055521:1 gene:B456_003G045500 transcript:KJB18300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKYQILDNRPIDQWKVTELKEELKRRKLITRGLKEELPTDEGGIEKAMPVIAETVKDDVDHSGSRIKESGVKVHVDINESAAALGHEGVQGSDSLVEKELVSETTTIQTEITVTKNVVCEVPSTEKESSGQNENVNTDIKVEGEDPKLQFETDDPKPEVENDDPKGELQGSNPQLESDDSKPQLDIEDSKVQLENEGLKVPHEDDVHDSSAPNIQVSEVSPDLGLQVKSDSISTDSVSNNEKIELKDNILADNVKLDLDVVKPEMVEPSSSNVVPISGESHPMDVEDPPENKAPVDERDGKNVTNVDLGNKNDSAETTYSEKLNLDRSSGDDSMEEDMLESKQIDSKCSTDEMGDKSENNGAPIIKEKIPLGDVRDDLSVNKKDTLVENKSRSFVPAEKRKLHDQEPVGNNELAKRRKWNSDKWNSDNIEVPEHQGSNLTPISTPKDTPQPAALRRNFSKSDSTASEGAPKERVVPPSQNAPTTSLRIDHFLRPFTLKAAQELLGKTGTVTSFWMDHIKTHCYVTYSSVEEAIETRNAVYNLQWPPNGGRLLVADFVDPQEVKTRLDAPPRTPTTPGTSGSTAPQAQPASQPQPSSRQQVSRQQFPPPSALLPPPPPLPNPPPVRERLPLPPPPPEKPDPPIVTLDDLFWKTKATPRIYYLPLSDEQVAAKQAAHGGNIKL >KJB18299 pep chromosome:Graimondii2_0_v6:3:6050987:6055690:1 gene:B456_003G045500 transcript:KJB18299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKYQILDNRPIDQWKVTELKEELKRRKLITRGLKEELVRRLDEAVRLERENAETEEDNGFNSDPQPTDEGGIEKAMPVIAETVKDDVDHSGSRIKESGVKVHVDINESAAALGHEGVQGSDSLVEKELVSETTTIQTEITVTKNVVCEVPSTEKESSGQNENVNTDIKVEGEDPKLQFETDDPKPEVENDDPKGELQGSNPQLESDDSKPQLDIEDSKVQLENEGLKVPHEDDVHDSSAPNIQVSEVSPDLGLQVKSDSISTDSVSNNEKIELKDNILADNVKLDLDVVKPEMVEPSSSNVVPISGESHPMDVEDPPENKAPVDERDGKNVTNVDLGNKNDSAETTYSEKLNLDRSSGDDSMEEDMLESKQIDSKCSTDEMGDKSENNGAPIIKEKIPLGDVRDDLSVNKKDTLVENKSRSFVPAEKRKLHDQEPVGNNELAKRRKWNSDKWNSDNIEVPEHQGSNLTPISTPKDTPQPAALRRNFSKSDSTASEGAPKERVVPPSQNAPTTSLRIDHFLRPFTLKAAQELLGKTGTVTSFWMDHIKTHCYVTYSSVEEAIETRNAVYNLQWPPNGGRLLVADFVDPQEVKTRLDAPPRTPTTPGTSGSTAPQAQPASQPQPSSRQQVSRQQFPPPSALLPPPPPLPNPPPVRERLPLPPPPPEKPDPPIVTLDDLFWKTKATPRIYYLPLSDEQVAAKQAAHGGNIKL >KJB18551 pep chromosome:Graimondii2_0_v6:3:10271075:10275356:-1 gene:B456_003G059200 transcript:KJB18551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDDASDLGVALPAAAAALSAEERAGLVNELKNKLQNLAGQHADVLETLSPNVRKRVEVLREIQSEHDELEKKFFEERAALEAKYQKLYEPLYTKRYEIVNGIVKVEGETNEAVMDEEGDKCVEEKGVPDFWQTAMKMNEILAEEISERDEGALKYLKDIKWSRNDDPKGFKLEFFFDSNPYFKNTVLTKTYHMVDDENEPILEKAIGTKIEWYPGKCLTQKVLKKKPKKGSKNAKPITKTENCESFFNFFNPPQIPEDDDIDDELAEELQDRMEHDYNIGSAIRDKLIPHAVSWFTGEAVQGNEYDGLESDYDSDDQDGDEDEDGDEEDEREERKGKKKSASANAGLNQHVERPPECKQQ >KJB18549 pep chromosome:Graimondii2_0_v6:3:10270815:10275257:-1 gene:B456_003G059200 transcript:KJB18549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDDASDLGVALPAAAAALSAEERAGLVNELKNKLQNLAGQHADVLETLSPNVRKRVEVLREIQSEHDELEKKFFEERAALEAKYQKLYEPLYTKRYEIVNGIVKVEGETNEAVMDEEGDKCVEEKGVPDFWQTAMKMNEILAEEISERDEGALKYLKDIKWSRNDDPKGFKLEFFFDSNPYFKNTVLTKTYHMVDDENEPILEKAIGTKIEWYPGKCLTQKVLKKKPKKGSKNAKPITKTENCESFFNFFNPPQIPEDDDIDDELAEELQDRMEHDYNIGSAIRDKLIPHAVSWFTGEAVQGNEYDGLESDYDSDDQDGDEDEDGDEEDEREERKGKKKSASANAGLNQHVERPPECKQQ >KJB18552 pep chromosome:Graimondii2_0_v6:3:10271317:10275256:-1 gene:B456_003G059200 transcript:KJB18552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDDASDLGVALPAAAAALSAEERAGLVNELKNKLQNLAGQHADVLETLSPNVRKRVEVLREIQSEHDELEKKFFEERAALEAKYQKLYEPLYTKRYEIVNGIVKVEGETNEAVMDEEGDKCVEEKGVPDFWQTAMKMNEILAEEISERDEGALKYLKDIKWSRNDDPKGFKLEFFFDSNPYFKNTVLTKTYHMVDDENEPILEKAIGTKIEWYPGKCLTQKVLKKKPKKGSKNAKPITKTENCESFFNFFNPPQIPEDDDIDDELAEELQDRMEHDYNIGSAIRDKLIPHAVSWFTGEAVQGNEYDGLESDYDSDDQDGDEDEDGDEEDEREERKGKKKRKCKCRPKSAC >KJB18550 pep chromosome:Graimondii2_0_v6:3:10271075:10275257:-1 gene:B456_003G059200 transcript:KJB18550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDDASDLGVALPAAAAALSAEERAGLVNELKNKLQNLAGQHADVLETLSPNVRKRVEVLREIQSEHDELEKKFFEERAALEAKYQKLYEPLYTKRYEIVNGIVKVEGETNEAVMDEEGDKCVEEKGVPDFWQTAMKMNEILAEEISERDEGALKYLKDIKWSRNDDPKGFKLEFFFDSNPYFKNTVLTKTYHMVDDENEPILEKAIGTKIEWYPGKCLTQKVLKKKPKKGSKNAKPITKTENCESFFNFFNPPQIPEDDDIDDELAEELQDRMEHDYNIGSAIRDKLIPHAVSWFTGEAVQGNEYDGLESDYDSDDQDGDEDEDGDEEDEREERKGKKKMQSASANAGLNQHVERPPECKQQ >KJB17661 pep chromosome:Graimondii2_0_v6:3:620805:622764:1 gene:B456_003G009800 transcript:KJB17661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEQGGAVVAIGRAEIDTRPPFRSVKEAVMLFGEKVLVAEIYGNKLKEMKIQQRESGKSQSKTATLTSELEETKQNLQKVKEEGNSMSHSIKVLKEELEKTKQDLHLLKVVESDKQQSEPDVKDFKFIKKTTKMELEPKPEEFQKKKYVNFASSPSIAQVTVNKEEVMEKPIPIKKVRRKVSITVIGWLFSKNK >KJB17248 pep chromosome:Graimondii2_0_v6:3:41929968:41932599:-1 gene:B456_003G1517001 transcript:KJB17248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSFSVMFVVVVWMMVGSSSYAQLSTNFYSKSCPKVLSTVESVVKSAVSKEKRMGASLVRLFFHDCFVNGCDGSILLDDTSSFRGEKTAAPNNNSVRGFNVVDDIKAKVEKACPGVVSCADILALAARDSVVILGGPGWDVKLGRRDSKTASFSAANSGVIPPPTATLSQLINRFQARGLSAKDMVALSGAHTIGKARCVLFRGRIYNETNIDTSFAKARQSSCPRTSGSGDNNLAPLDVATPDSFDLKYFNNLLNKKGLLHSDQILFNGGSTDSLVKTYSSNTKKFYSDFVTAMIKMGDITPLTGSNGEIRKNCRKPN >KJB17249 pep chromosome:Graimondii2_0_v6:3:41932284:41932593:-1 gene:B456_003G1517001 transcript:KJB17249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSFSVMFVVVVWMMVGSSSYAQLSTNFYSKSCPKVLSTVESVVKSAVSKEKRMGASLVRLFFHDCFVN >KJB19437 pep chromosome:Graimondii2_0_v6:3:31180358:31187846:-1 gene:B456_003G101900 transcript:KJB19437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAQGNRNPQPGQGPPEPISSPYQQLPPGPPAPSPQFRQGPSGFPLYQHGPAAPHQTPPGGLPNTGQSYLRLPAHVHAGAPLPRMYPTAQQNSQHHLHLGTQNALNMPQPVLPPPISASYPEVSQTQPRFRALPPPPPQSQGQTFYRAAVNPPPEQRGLLHISPHQPPPPTTSFFTSAPLGSFVQPTGGDHCVPSTSSLPLPPPPPPPSFPPPNLPSPPTSTSTVLSSSKPVQNVSNLPCNLDSDDSKLSTSGSVGVVVAPNQAKHNLVTDNGSPNMRGENGCNMSSLVGDKLLLQEGLTMDLSSTPPKPTDENVIERIEALCQGIAKNGPDYEDMVRKNESGKPDYAFLYGGEPGSEAAIAHDFFRWMKKKSMFACKLDEQQGVSSLRPSGKESSEQACHLVAAASHLADDSDMEMEDDITQIDDDQGMNQMLEGLKSQCDISDNMLNVEEKLRPPQMSTGWNASILPENTSAAGSSSLGEQGQEGITNVDQLAFEASVSEVNLVKLTVPTKQPIVTSLEKSNTSDQLAKGGCPFRLLQDYTSDDNSEKDIETGIGNMNAPFGASLENASSPHQTEKGFGPLSNMPYKVASSEVVEGTSTTSIMNENEHADHKDVQKVSRNHVASVEVLQKENVMVGGSVESIMFSEEHREEEENLILGSQHKVDKFGRLARNGGSDSDSDDSDYVGRHRRGRTRSRSQSRSPTDRRKRRSPRRTRRRKKRSLSRSWSPRNRRSRSRSPRNRRSRSRSPRNHRSRSRSPRNRRSRSRSPRNRRSRSRSPYLRRADEFSAENKRRAKGQMQFCFDFRRGRCYRGVSCRFLHHDSGKSDESRWQKSKQQLEFPHNSRTNIRDEIKQISEKVANHERGEVRGPEVKLYGNFVASRDGSTNLNIEDSVGGGILKQDDQSTEYHMVKYEESRDIPASVSETNLVEDMKEGPNLVTNENCQEAAVESHHLSTVNAVSVGDTDKLNSSGHASQKILISFKKPVDQKSLSRSLDPVSQNADCLPQQSDTKQREAASSMSHSSGESFPSYMLPDQQSYFALQPQSSLASLPPPPPPLKPLDSTITPGVSSHFRQSHLPLRNDFGSEIIPRPYPTELPAHSQSDGFQQRAYITIQEANRPVIHTPLPDSNLLIQQLGAPSKSGDDGLTHPPMQNVFASNSFAQGNTYPHAMPISQQFLGNKMQPFPGESLPPGRLSNSSSYIHPYPQQQQPPHSLHHPMADRIYNPAGKMNSSLKDPPDIKDATSHHIDIGGSTTSTFPNEHAPTLDQPINFKYHSHVIQEKGTTYNNTLFSLAHAPVDGRRIGLQEATSSPNSARDIGQNSSRSGGDQYDPLFDSIEPSTRLSRKFDHMQKLEVTGDSGILLGLSDSNKPLDMEEKAKDAGAVTSAASADNEEFGETADAEVGTVENGNPSHPAEVNMATGEIEMDQIKSPGKSKKSKDSRSMKLFKVAIADFVKEVLKPSWQQGNMSKEAFKTIVKKTVDKVSGAMKNHQIPKSRAKIDHYIESSQRKLTKLVMGYVDKYVKV >KJB19435 pep chromosome:Graimondii2_0_v6:3:31180248:31187902:-1 gene:B456_003G101900 transcript:KJB19435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAQGNRNPQPGQGPPEPISSPYQQLPPGPPAPSPQFRQGPSGFPLYQHGPAAPHQTPPGGLPNTGQSYLRLPAHVHAGAPLPRMYPTAQQNSQHHLHLGTQNALNMPQPVLPPPISASYPEVSQTQPRFRALPPPPPQSQGQTFYRAAVNPPPEQRGLLHISPHQPPPPTTSFFTSAPLGSFVQPTGGDHCVPSTSSLPLPPPPPPPSFPPPNLPSPPTSTSTVLSSSKPVQNVSNLPCNLDSDDSKLSTSGSVGVVVAPNQAKHNLVTDNGSPNMRGENGCNMSSLVGDKLLLQEGLTMDLSSTPPKPTDENVIERIEALCQGIAKNGPDYEDMVRKNESGKPDYAFLYGGEPGSEAAIAHDFFRWMKKKSMFACKLDEQQGVSSLRPSGKESSEQACHLVAAASHLADDSDMEMEDDITQIDDDQGMNQMLEGLKSQCDISDNMLNVEEKLRPPQMSTGWNASILPENTSAAGSSSLGEQGQEGITNVDQLAFEASVSEVNLVKLTVPTKQPIVTSLEKSNTSDQLAKGGCPFRLLQDYTSDDNSEKDIETGIGNMNAPFGASLENASSPHQTEKGFGPLSNMPYKVASSEVVEGTSTTSIMNENEHADHKDVQKVSRNHVASVEVLQKENVMVGGSVESIMFSEEHREEEENLILGSQHKVDKFGRLARNGGSDSDSDDSDYVGRHRRGRTRSRSQSRSPTDRRKRRSPRRTRRRKKRSLSRSWSPRNRRSRSRSPRNRRSRSRSPRNHRSRSRSPRNRRSRSRSPRNRRSRSRSPYLRRADEFSAENKRRAKGQMQFCFDFRRGRCYRGVSCRFLHHDSGKSDESRWQKSKQQLEFPHNSRTNIRDEIKQISEKVANHERGEVRGPEVKLYGNFVASRDGSTNLNIEDSVGGGILKQDDQSTEYHMVKYEESRDIPASVSETNLVEDMKEGPNLVTNENCQEAAVESHHLSTVNAVSVGDTDKLNSSGHASQKILISFKKPVDQKSLSRSLDPVSQNADCLPQQSDTKQREAASSMSHSSGESFPSYMLPDQQSYFALQPQSSLASLPPPPPPLKPLDSTITPGVSSHFRQSHLPLRNDFGSEIIPRPYPTELPAHSQSDGFQQRAYITIQEANRPVIHTPLPDSNLLIQQLGAPSKSGDDGLTHPPMQNVFASNSFAQGNTYPHAMPISQQFLGNKMQPFPGESLPPGRLSNSSSYIHPYPQQQQPPHSLHHPMADRIYNPAGKMNSSLKDPPDIKDATSHHIDIGGSTTSTFPNEHAPTLDQPINFKYHSHVIQEKGTTYNNTLFSLAHAPVDGRRIGLQEATSSPNSARDIGQNSSRSGGDQYDPLFDSIEPSTRLSRKFDHMQKLEVTGDSGILLGLSDSNKPLDMEEKAKDAGAVTSAASADNEEFGETADAEVGTVENGNPSHPAEVNMATGEIEMDQIKSPGKSKKSKDSRSMKLFKVAIADFVKEVLKPSWQQGNMSKEAFKTIVKKTVDKVSGAMKNHQIPKSRAKIDHYIESSQRKLTKLVMGYVDKYVKV >KJB19432 pep chromosome:Graimondii2_0_v6:3:31180814:31186893:-1 gene:B456_003G101900 transcript:KJB19432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAQGNRNPQPGQGPPEPISSPYQQLPPGPPAPSPQFRQGPSGFPLYQHGPAAPHQTPPGGLPNTGQSYLRLPAHVHAGAPLPRMYPTAQQNSQHHLHLGTQNALNMPQPVLPPPISASYPEVSQTQPRFRALPPPPPQSQGQTFYRAAVNPPPEQRGLLHISPHQPPPPTTSFFTSAPLGSFVQPTGGDHCVPSTSSLPLPPPPPPPSFPPPNLPSPPTSTSTVLSSSKPVQNVSNLPCNLDSDDSKLSTSGSVGVVVAPNQAKHNLVTDNGSPNMRGENGCNMSSLVGDKLLLQEGLTMDLSSTPPKPTDENVIERIEALCQGIAKNGPDYEDMVRKNESGKPDYAFLYGGEPGSEAAIAHDFFRWMKKKSMFACKLDEQQGVSSLRPSGKESSEQACHLVAAASHLADDSDMEMEDDITQIDDDQGMNQMLEGLKSQCDISDNMLNVEEKLRPPQMSTGWNASILPENTSAAGSSSLGEQGQEGITNVDQLAFEASVSEVNLVKLTVPTKQPIVTSLEKSNTSDQLAKGGCPFRLLQDYTSDDNSEKDIETGIGNMNAPFGASLENASSPHQTEKGFGPLSNMPYKVASSEVVEGTSTTSIMNENEHADHKDVQKVSRNHVASVEVLQKENVMVGGSVESIMFSEEHREEEENLILGSQHKVDKFGRLARNGGSDSDSDDSDYVGRHRRGRTRSRSQSRSPTDRRKRRSPRRTRRRKKRSLSRSWSPRNRRSRSRSPRNRRSRSRSPRNHRSRSRSPRNRRSRSRSPRNRRSRSRSPYLRRADEFSAENKRRAKGQMQFCFDFRRGRCYRGVSCRFLHHDSGKSDESRWQKSKQQLEFPHNSRTNIRDEIKQISEKVANHERGEVRGPEVKLYGNFVASRDGSTNLNIEDSVGGGILKQDDQSTEYHMVKYEESRDIPASVSETNLVEDMKEGPNLVTNENCQEAAVESHHLSTVNAVSVGDTDKLNSSGHASQKILISFKKPVDQKSLSRSLDPVSQNADCLPQQSDTKQREAASSMSHSSGESFPSYMLPDQQSYFALQPQSSLASLPPPPPPLKPLDSTITPGVSSHFRQSHLPLRNDFGSEIIPRPYPTELPAHSQSDGFQQRAYITIQEANRPVIHTPLPDSNLLIQQLGAPSKSGDDGLTHPPMQNVFASNSFAQGNTYPHAMPISQQFLGNKMQPFPGESLPPGRLSNSSSYIHPYPQQQQPPHSLHHPMADRIYNPAGKMNSSLKDPPDIKDATSHHIDIGGSTTSTFPNEHAPTLDQPINFKYHSHVIQEKGTTYNNTLFSLAHAPVDGRRIGLQEATSSPNSARDIGQNSSRSGGDQYDPLFDSIEPSTRLSRKFDHMQKLEVTGDSGILLGLSDSNKPLDMEEKAKDAGAVTSAASADNEEFGETADAEVGTVENGNPSHPAEVNMATGEIEMDQIKSPGKSKKSKDSRSMKLFKVAIADFVKEVLKPSWQQGNMSKEAFKTIVKKTVDKVSGAMKNHQIPKSRAKIDHYIESSQRKLTKLVMGYVDKYVKV >KJB19434 pep chromosome:Graimondii2_0_v6:3:31180358:31186893:-1 gene:B456_003G101900 transcript:KJB19434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAQGNRNPQPGQGPPEPISSPYQQLPPGPPAPSPQFRQGPSGFPLYQHGPAAPHQTPPGGLPNTGQSYLRLPAHVHAGAPLPRMYPTAQQNSQHHLHLGTQNALNMPQPVLPPPISASYPEVSQTQPRFRALPPPPPQSQGQTFYRAAVNPPPEQRGLLHISPHQPPPPTTSFFTSAPLGSFVQPTGGDHCVPSTSSLPLPPPPPPPSFPPPNLPSPPTSTSTVLSSSKPVQNVSNLPCNLDSDDSKLSTSGSVGVVVAPNQAKHNLVTDNGSPNMRGENGCNMSSLVGDKLLLQEGLTMDLSSTPPKPTDENVIERIEALCQGIAKNGPDYEDMVRKNESGKPDYAFLYGGEPGSEAAIAHDFFRWMKKKSMFACKLDEQQGVSSLRPSGKESSEQACHLVAAASHLADDSDMEMEDDITQIDDDQGMNQMLEGLKSQCDISDNMLNVEEKLRPPQMSTGWNASILPENTSAAGSSSLGEQGQEGITNVDQLAFEASVSEVNLVKLTVPTKQPIVTSLEKSNTSDQLAKGGCPFRLLQDYTSDDNSEKDIETGIGNMNAPFGASLENASSPHQTEKGFGPLSNMPYKVASSEVVEGTSTTSIMNENEHADHKDVQKVSRNHVASVEVLQKENVMVGGSVESIMFSEEHREEEENLILGSQHKVDKFGRLARNGGSDSDSDDSDYVGRHRRGRTRSRSQSRSPTDRRKRRSPRRTRRRKKRSLSRSWSPRNRRSRSRSPRNRRSRSRSPRNHRSRSRSPRNRRSRSRSPRNRRSRSRSPYLRRADEFSAENKRRAKGQMQFCFDFRRGRCYRGVSCRFLHHDSGKSDESRWQKSKQQLEFPHNSRTNIRDEIKQISEKVANHERGEVRGPEVKLYGNFVASRDGSTNLNIEDSVGGGILKQDDQSTEYHMVKYEESRDIPASVSETNLVEDMKEGPNLVTNENCQEAAVESHHLSTVNAVSVGDTDKLNSSGHASQKILISFKKPVDQKSLSRSLDPVSQNADCLPQQSGNSSISDSSHKTSASSPNRLWERNAYQNTMELHNHSSHIGNPDTKQREAASSMSHSSGESFPSYMLPDQQSYFALQPQSSLASLPPPPPPLKPLDSTITPGVSSHFRQSHLPLRNDFGSEIIPRPYPTELPAHSQSDGFQQRAYITIQEANRPVIHTPLPDSNLLIQQLGAPSKSGDDGLTHPPMQNVFASNSFAQGNTYPHAMPISQQFLGNKMQPFPGESLPPGRLSNSSSYIHPYPQQQQPPHSLHHPMADRIYNPAGKMNSSLKDPPDIKDATSHHIDIGGSTTSTFPNEHAPTLDQPINFKYHSHVIQEKGTTYNNTLFSLAHAPVDGRRIGLQEATSSPNSARDIGQNSSRSGGDQYDPLFDSIEPSTRLSRKFDHMQKLEVTGDSGILLGLSDSNKPLDMEEKAKDAGAVTSAASADNEEFGETADAEVGTVENGNPSHPAEVNMATGEIEMDQIKSPGKSKKSKDSRSMKLFKVAIADFVKEVLKPSWQQGNMSKEAFKTIVKKTVDKVSGAMKNHQIPKSRAKIDHYIESSQRKLTKLVMGYVDKYVKV >KJB19438 pep chromosome:Graimondii2_0_v6:3:31180358:31187155:-1 gene:B456_003G101900 transcript:KJB19438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAQGNRNPQPGQGPPEPISSPYQQLPPGPPAPSPQFRQGPSGFPLYQHGPAAPHQTPPGGLPNTGQSYLRLPAHVHAGAPLPRMYPTAQQNSQHHLHLGTQNALNMPQPVLPPPISASYPEVSQTQPRFRALPPPPPQSQGQTFYRAAVNPPPEQRGLLHISPHQPPPPTTSFFTSAPLGSFVQPTGGDHCVPSTSSLPLPPPPPPPSFPPPNLPSPPTSTSTVLSSSKPVQNVSNLPCNLDSDDSKLSTSGSVGVVVAPNQAKHNLVTDNGSPNMRGENGCNMSSLVGDKLLLQEGLTMDLSSTPPKPTDENVIERIEALCQGIAKNGPDYEDMVRKNESGKPDYAFLYGGEPGSEAAIAHDFFRWMKKKSMFACKLDEQQGVSSLRPSGKESSEQACHLVAAASHLADDSDMEMEDDITQIDDDQGMNQMLEGLKSQCDISDNMLNVEEKLRPPQMSTGWNASILPENTSAAGSSSLGEQGQEGITNVDQLAFEASVSEVNLVKLTVPTKQPIVTSLEKSNTSDQLAKGGCPFRLLQDYTSDDNSEKDIETGIGNMNAPFGASLENASSPHQTEKGFGPLSNMPYKVASSEVVEGTSTTSIMNENEHADHKDVQKVSRNHVASVEVLQKENVMVGGSVESIMFSEEHREEEENLILGSQHKVDKFGRLARNGGSDSDSDDSDYVGRHRRGRTRSRSQSRSPTDRRKRRSPRRTRRRKKRSLSRSWSPRNRRSRSRSPRNRRSRSRSPRNHRSRSRSPRNRRSRSRSPRNRRSRSRSPYLRRADEFSAENKRRAKGQMQFCFDFRRGRCYRGVSCRFLHHDSGKSDESRWQKSKQQLEFPHNSRTNIRDEIKQISEKVANHERGEVRGPEVKLYGNFVASRDGSTNLNIEDSVGGGILKQDDQSTEYHMVKYEESRDIPASVSETNLVEDMKEGPNLVTNENCQEAAVESHHLSTVNAVSVGDTDKLNSSGHASQKILISFKKPVDQKSLSRSLDPVSQNADCLPQQSDTKQREAASSMSHSSGESFPSYMLPDQQSYFALQPQSSLASLPPPPPPLKPLDSTITPGVSSHFRQSHLPLRNDFGSEIIPRPYPTELPAHSQSDGFQQRAYITIQEANRPVIHTPLPDSNLLIQQLGAPSKSGDDGLTHPPMQNVFASNSFAQGNTYPHAMPISQQFLGNKMQPFPGESLPPGRLSNSSSYIHPYPQQQQPPHSLHHPMADRIYNPAGKMNSSLKDPPDIKDATSHHIDIGGSTTSTFPNEHAPTLDQPINFKYHSHVIQEKGTTYNNTLFSLAHAPVDGRRIGLQEATSSPNSARDIGQNSSRSGGDQYDPLFDSIEPSTRLSRKFDHMQKLEVTGDSGILLGLSDSNKPLDMEEKAKDAGAVTSAASADNEEFGETADAEVGTVENGNPSHPAEVNMATGEIEMDQIKSPGKSKKSKDSRSMKLFKVAIADFVKEVLKPSWQQGNMSKEAFKTIVKKTVDKVSGAMKNHQIPKSRAKIDHYIESSQRKLTKLVMGYVDKYVKV >KJB19436 pep chromosome:Graimondii2_0_v6:3:31180358:31187846:-1 gene:B456_003G101900 transcript:KJB19436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAQGNRNPQPGQGPPEPISSPYQQLPPGPPAPSPQFRQGPSGFPLYQHGPAAPHQTPPGGLPNTGQSYLRLPAHVHAGAPLPRMYPTAQQNSQHHLHLGTQNALNMPQPVLPPPISASYPEVSQTQPRFRALPPPPPQSQGQTFYRAAVNPPPEQRGLLHISPHQPPPPTTSFFTSAPLGSFVQPTGGDHCVPSTSSLPLPPPPPPPSFPPPNLPSPPTSTSTVLSSSKPVQNVSNLPCNLDSDDSKLSTSGSVGVVVAPNQAKHNLVTDNGSPNMRGENGCNMSSLVGDKLLLQEGLTMDLSSTPPKPTDENVIERIEALCQGIAKNGPDYEDMVRKNESGKPDYAFLYGGEPGSEAAIAHDFFRWMKKKSMFACKLDEQQGVSSLRPSGKESSEQACHLVAAASHLADDSDMEMEDDITQIDDDQGMNQMLEGLKSQCDISDNMLNVEEKLRPPQMSTGWNASILPENTSAAGSSSLGEQGQEGITNVDQLAFEASVSEVNLVKLTVPTKQPIVTSLEKSNTSDQLAKGGCPFRLLQDYTSDDNSEKDIETGIGNMNAPFGASLENASSPHQTEKGFGPLSNMPYKVASSEVVEGTSTTSIMNENEHADHKDVQKVSRNHVASVEVLQKENVMVGGSVESIMFSEEHREEEENLILGSQHKVDKFGRLARNGGSDSDSDDSDYVGRHRRGRTRSRSQSRSPTDRRKRRSPRRTRRRKKRSLSRSWSPRNRRSRSRSPRNRRSRSRSPRNHRSRSRSPRNRRSRSRSPRNRRSRSRSPYLRRADEFSAENKRRAKGQMQFCFDFRRGRCYRGVSCRFLHHDSGKSDESRWQKSKQQLEFPHNSRTNIRDEIKQISEKVANHERGEVRGPEVKLYGNFVASRDGSTNLNIEDSVGGGILKQDDQSTEYHMVKYEESRDIPASVSETNLVEDMKEGPNLVTNENCQEAAVESHHLSTVNAVSVGDTDKLNSSGHASQKILISFKKPVDQKSLSRSLDPVSQNADCLPQQSGNSSISDSSHKTSASSPNRLWERNAYQNTMELHNHSSHIGNPDTKQREAASSMSHSSGESFPSYMLPDQQSYFALQPQSSLASLPPPPPPLKPLDSTITPGVSSHFRQSHLPLRNDFGSEIIPRPYPTELPAHSQSDGFQQRAYITIQEANRPVIHTPLPDSNLLIQQLGAPSKSGDDGLTHPPMQNVFASNSFAQGNTYPHAMPISQQFLGNKMQPFPGESLPPGRLSNSSSYIHPYPQQQQPPHSLHHPMADRIYNPAGKMNSSLKDPPDIKDATSHHIDIGGSTTSTFPNEHAPTLDQPINFKYHSHVIQEKGTTYNNTLFSLAHAPVDGRRIGLQEATSSPNSARDIGQNSSRSGGDQYDPLFDSIEPSTRLSRKFDHMQKLEVTGDSGILLGLSDSNKPLDMEEKAKDAGAVTSAASADNEEFGETADAEVGTVENGNPSHPAEVNMATGEIEMDQIKSPGKSKKSKDSRSMKLFKVAIADFVKEVLKPSWQQGNMSKEAFKTIVKKTVDKVSGAMKNHQIPKSRAKIDHYIESSQRKLTKLVMGYVDKYVKV >KJB19433 pep chromosome:Graimondii2_0_v6:3:31180358:31184893:-1 gene:B456_003G101900 transcript:KJB19433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPFGASLENASSPHQTEKGFGPLSNMPYKVASSEVVEGTSTTSIMNENEHADHKDVQKVSRNHVASVEVLQKENVMVGGSVESIMFSEEHREEEENLILGSQHKVDKFGRLARNGGSDSDSDDSDYVGRHRRGRTRSRSQSRSPTDRRKRRSPRRTRRRKKRSLSRSWSPRNRRSRSRSPRNRRSRSRSPRNHRSRSRSPRNRRSRSRSPRNRRSRSRSPYLRRADEFSAENKRRAKGQMQFCFDFRRGRCYRGVSCRFLHHDSGKSDESRWQKSKQQLEFPHNSRTNIRDEIKQISEKVANHERGEVRGPEVKLYGNFVASRDGSTNLNIEDSVGGGILKQDDQSTEYHMVKYEESRDIPASVSETNLVEDMKEGPNLVTNENCQEAAVESHHLSTVNAVSVGDTDKLNSSGHASQKILISFKKPVDQKSLSRSLDPVSQNADCLPQQSDTKQREAASSMSHSSGESFPSYMLPDQQSYFALQPQSSLASLPPPPPPLKPLDSTITPGVSSHFRQSHLPLRNDFGSEIIPRPYPTELPAHSQSDGFQQRAYITIQEANRPVIHTPLPDSNLLIQQLGAPSKSGDDGLTHPPMQNVFASNSFAQGNTYPHAMPISQQFLGNKMQPFPGESLPPGRLSNSSSYIHPYPQQQQPPHSLHHPMADRIYNPAGKMNSSLKDPPDIKDATSHHIDIGGSTTSTFPNEHAPTLDQPINFKYHSHVIQEKGTTYNNTLFSLAHAPVDGRRIGLQEATSSPNSARDIGQNSSRSGGDQYDPLFDSIEPSTRLSRKFDHMQKLEVTGDSGILLGLSDSNKPLDMEEKAKDAGAVTSAASADNEEFGETADAEVGTVENGNPSHPAEVNMATGEIEMDQIKSPGKSKKSKDSRSMKLFKVAIADFVKEVLKPSWQQGNMSKEAFKTIVKKTVDKVSGAMKNHQIPKSRAKIDHYIESSQRKLTKLVMGYVDKYVKV >KJB17395 pep chromosome:Graimondii2_0_v6:3:6119962:6121007:-1 gene:B456_003G046000 transcript:KJB17395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAMLVAEEYERRVRVSRSKVGSELEKRHEIDLVSFVANLGQKAKTQLGRPRVETVKLAVEPKSQIGVAAFNGAFSA >KJB20078 pep chromosome:Graimondii2_0_v6:3:38665559:38667496:-1 gene:B456_003G131900 transcript:KJB20078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNMLAGLEGLPEEDKARMSSMIDHLQLRDSLRMYNSLVERCFNDCVDNFTRKALQKQEETCVMRCAEKFLKHSMRVGLRFAELNSQAATQD >KJB20079 pep chromosome:Graimondii2_0_v6:3:38665569:38667481:-1 gene:B456_003G131900 transcript:KJB20079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNMLAGLEGLPEEDKARMSSMIDHLQLRDRCFNDCVDNFTRKALQKQEETCVMRCAEKFLKHSMRVGLRFAELNSQAATQD >KJB17843 pep chromosome:Graimondii2_0_v6:3:1392709:1401038:-1 gene:B456_003G018700 transcript:KJB17843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIQFSVYILTRFLLQHLTLAWIELCLDSKVILGDNQCLYAHYTALEIPGCIMEVLPCSGVQYVADSDCAQLSPEATFTYDRESNCLEQKKQVQVADSRMDDLLLTNEGNQEGRQDEGQGTRVELPISEDHHSGSSYYDCQAEGQRLSCGSHDDEYDDLNAQNCCTGPYLTSENSHVLVNTIESESPINNREGELSLSEPKWLEHDESVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHDRKQYFVIFFPHTRNYSWADMLLVRSISEFPQPIAYRSHKVGLKMVRDLTVARRYIQQKLAVGMLNIIDQFHVEALIEAARNVVVWKDFAMEASRCNGYSDLGKMLLKLQTMILPCYINAEWLQESLHSWVQQCQNAHSAESVELLKEELYDAILWNEVKSLGDASVQPTLGSEWKTWKHEVMKWFSTSHPVSSAGDVNQRSSDGLSNTNIQVSRKRAKLEVRRADTHASMVQSNGSDQTMAVEIDSDFFSNRDAVDVNLPTPQHCKKEDEREETTPMDTSNSLTDRWNNIVVEARHPEVIHTKNVEITTASEEVKTTSTLHIQPKEVELTPVNEAVAKKSIDSGSKNRQCTAFIESKGRQCVRWANEGDVYCCVHLASRFTGSFSKIEATPAVDTPMCEGTTVLGTRCKHRSLYGSSFCKKHRPKSDVNNSSHSPEHTQKRKHLEIIQSSETTLCRDIVLVGDNESPLQVEPVSVIEADALHRGNSLIEKPEHSGKDHDGTELMHCIGLYSNNGFDPCQESPKRHSLYCDKHLPSWLKRARNGKSRIVSREVFVDLLKDCDSLEQKLHLHQACELFYKLFKSILSLRNPVPVDVQLQWALSEASKDFRVGELLMKLVYSEKERLQSLWGFTGDKGTPSSSFMEEPVPLPLAINDSFDDDKTIKCKMCSVEFLDDQQLGTHWMENHKKEAQRLFRGYACAICLDSFINKKVLESHVQERHHVQFVEQCMLLRCISCGSHFGNTEELWLHVLSTHPVDFRLSKIALQHNPSAGEEPPLKLELGNSASLENNSENVGSVQKFICRFCGLKFDLLPDLGRHHQAAHMGPSLASSRPPKKGVRYYAYKLKSGRLSHPRFKKGLGAVSYRIRNRATATMKKRLQASKLIDAEIISAEPHVMENSNLGRLAEPQCSALAKILFSRTHKTKPRPNNLDILSIARSSCCKVSLKASLEEKYGMLPECLYLKAAKLCSEHNVQVEWHQEKFVCINGCKPAKDPDFLSPLIPLPNGFEGCQSADSLDDADEELELDECHYIIDSQHFKKGPMQKASILCDDLSFGKESVPVACVVDEGLFDSVYISGLSSNEQNARSSMPWENFIYVTNSSLDQSLDLDVESVQLGCTCSNSTCFPETCDHVYLFDNDYEDARDVFGKPMRGRFPYDDKGRIILEEGYLVYECNRKCSCNIACPNRVLQKGVRVKLEVFKTENKGWGVRAGEPILSGTFVCEYVGEILGEQEANNRLTRYGRDGCNYMFNIGSQINDMSRLIEGQARYFIDASKYGNVSRFINHSCSPNLVNHQVLVDSMDCHRAHIGLYASQDISVGEELTFDYRYELLPGQGYPCQCGASTCRGRLY >KJB17844 pep chromosome:Graimondii2_0_v6:3:1392709:1402541:-1 gene:B456_003G018700 transcript:KJB17844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLPCSGVQYVADSDCAQLSPEATFTYDRESNCLEQKKQVQVADSRMDDLLLTNEGNQEGRQDEGQGTRVELPISEDHHSGSSYYDCQAEGQRLSCGSHDDEYDDLNAQNCCTGPYLTSENSHVLVNTIESESPINNREGELSLSEPKWLEHDESVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHDRKQYFVIFFPHTRNYSWADMLLVRSISEFPQPIAYRSHKVGLKMVRDLTVARRYIQQKLAVGMLNIIDQFHVEALIEAARNVVVWKDFAMEASRCNGYSDLGKMLLKLQTMILPCYINAEWLQESLHSWVQQCQNAHSAESVELLKEELYDAILWNEVKSLGDASVQPTLGSEWKTWKHEVMKWFSTSHPVSSAGDVNQRSSDGLSNTNIQVSRKRAKLEVRRADTHASMVQSNGSDQTMAVEIDSDFFSNRDAVDVNLPTPQHCKKEDEREETTPMDTSNSLTDRWNNIVVEARHPEVIHTKNVEITTASEEVKTTSTLHIQPKEVELTPVNEAVAKKSIDSGSKNRQCTAFIESKGRQCVRWANEGDVYCCVHLASRFTGSFSKIEATPAVDTPMCEGTTVLGTRCKHRSLYGSSFCKKHRPKSDVNNSSHSPEHTQKRKHLEIIQSSETTLCRDIVLVGDNESPLQVEPVSVIEADALHRGNSLIEKPEHSGKDHDGTELMHCIGLYSNNGFDPCQESPKRHSLYCDKHLPSWLKRARNGKSRIVSREVFVDLLKDCDSLEQKLHLHQACELFYKLFKSILSLRNPVPVDVQLQWALSEASKDFRVGELLMKLVYSEKERLQSLWGFTGDKGTPSSSFMEEPVPLPLAINDSFDDDKTIKCKMCSVEFLDDQQLGTHWMENHKKEAQRLFRGYACAICLDSFINKKVLESHVQERHHVQFVEQCMLLRCISCGSHFGNTEELWLHVLSTHPVDFRLSKIALQHNPSAGEEPPLKLELGNSASLENNSENVGSVQKFICRFCGLKFDLLPDLGRHHQAAHMGPSLASSRPPKKGVRYYAYKLKSGRLSHPRFKKGLGAVSYRIRNRATATMKKRLQASKLIDAEIISAEPHVMENSNLGRLAEPQCSALAKILFSRTHKTKPRPNNLDILSIARSSCCKVSLKASLEEKYGMLPECLYLKAAKLCSEHNVQVEWHQEKFVCINGCKPAKDPDFLSPLIPLPNGFEGCQSADSLDDADEELELDECHYIIDSQHFKKGPMQKASILCDDLSFGKESVPVACVVDEGLFDSVYISGLSSNEQNARSSMPWENFIYVTNSSLDQSLDLDVESVQLGCTCSNSTCFPETCDHVYLFDNDYEDARDVFGKPMRGRFPYDDKGRIILEEGYLVYECNRKCSCNIACPNRVLQKGVRVKLEVFKTENKGWGVRAGEPILSGTFVCEYVGEILGEQEANNRLTRYGRDGCNYMFNIGSQINDMSRLIEGQARYFIDASKYGNVSRFINHSCSPNLVNHQVLVDSMDCHRAHIGLYASQDISVGEELTFDYRYELLPGQGYPCQCGASTCRGRLY >KJB20852 pep chromosome:Graimondii2_0_v6:3:43938904:43939884:-1 gene:B456_003G168900 transcript:KJB20852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIGGFKLRRKLVTVFKWIFRPRKSSRFSSFLSRPIRTRNPLSRLCSFLRRGRIKGMSNSDPGYIQLGEQEVKRVEVPKGHLAVYVGESQSDTRRVVVPVIYFNHPLFGELLKEAERVYGFNQSGGITLPCGISEFEKVKMRIDDWDHCRRKQYRRYLL >KJB17902 pep chromosome:Graimondii2_0_v6:3:2269763:2273834:-1 gene:B456_003G025600 transcript:KJB17902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLACWTDYLSLCRVTAAIGYLFLLLFSFFITVYTACFNGNCQLLDSCSQATDCGPGLYCGNCPSLGKSQPFCTRGQAIMPTSIVGGLPFNKYSWLMTHNSFSIVDAPSLQGVQRLTFYNQEDTVTNQLMNGVRGLMLDMYDFNGDIWLCHSFRGQCFNFTAFQPAINTLREVETFLSQNPSEIVTIVIEDYVHTPKGLSNLFVNAGLDKYWFPVSKMPKKGEDWPTVNEMVQANHRLLVFTSVASKEAEEGIAYQWKYILENEAGDPGVKPGSCPNRKESRPLNSKSASLFLMNYFPSYPVETEACKEHSARLADMIGTCFKAAGSMMPNFLAVNFYMRSDGGGVFYDLDSMNGQRLCGCNTIAACQAGAPFGSCKNITMPSSSPMTNTAGSFSGSVQFSKSASTIYYPNQFAIGFFSIPWMLLLL >KJB17904 pep chromosome:Graimondii2_0_v6:3:2271309:2273834:-1 gene:B456_003G025600 transcript:KJB17904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLACWTDYLSLCRVTAAIGYLFLLLFSFFITVYTACFNGNCQLLDSCSQATDCGPGLYCGNCPSLGKSQPFCTRGQAIMPTSIVGGLPFNKYSWLMTHNSFSIVDAPSLQGVQRLTFYNQEDTVTNQLMNGVRGLMLDMYDFNGDIWLCHSFRGQCFNFTAFQPAINTLREVETFLSQNPSEIVTIVIEDYVHTPKGLSNLFVNAGLDKYWFPVSKMPKKGEDWPTVNEMVQANHRLLVFTSVASKEAEEGIAYQWKYILENEAGDPGVKPGSCPNRKESRPLNSKSASLFLMNYFPSYPVETEACKEHSARLADMIGTCFKAAGKE >KJB17905 pep chromosome:Graimondii2_0_v6:3:2271635:2273547:-1 gene:B456_003G025600 transcript:KJB17905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSYRLWSGSLLWELPIFGQESTFLYQRPSYYAHFHCKVGGLPFNKYSWLMTHNSFSIVDAPSLQGVQRLTFYNQEDTVTNQLMNGVRGLMLDMYDFNGDIWLCHSFRGQCFNFTAFQPAINTLREVETFLSQNPSEIVTIVIEDYVHTPKGLSNLFVNAGLDKYWFPVSKMPKKGEDWPTVNEMVQANHRLLVFTSVASKEAEEGIAYQWKYILENEAGDPGVKPGSCPNRKESRPLNSKSASLFLMNYFPSYPVETEACKEHSARLADMIGTCFKAAGSMMPNFLAVNFYMRSDGGGVFYDLDSMNGQRLCGCNTIAACQAGAPFGSCKNITMPSSSPMTNTAGSFSGSVQFSKSASTIYYPNQFAIGFFSIPWMLLLL >KJB17903 pep chromosome:Graimondii2_0_v6:3:2271106:2274145:-1 gene:B456_003G025600 transcript:KJB17903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLACWTDYLSLCRVTAAIGYLFLLLFSFFITVYTACFNGNCQLLDSCSQATDCGPGLYCGNCPSLGKSQPFCTRGQAIMPTSIVGGLPFNKYSWLMTHNSFSIVDAPSLQGVQRLTFYNQEDTVTNQLMNGVRGLMLDMYDFNGDIWLCHSFRGQCFNFTAFQPAINTLREVETFLSQNPSEIVTIVIEDYVHTPKGLSNLFVNAGLDKYWFPVSKMPKKGEDWPTVNEMVQANHRLLVFTSVASKEAEEGIAYQWKYILENEAGDPGVKPGSCPNRKESRPLNSKSASLFLMNYFPSYPVETEACKEHSARLADMIGTCFKAAGSMMPNFLAVNFYMRSDGGGVFYDLDSMNGQRLCGCNTIAACQAGAPFGSCKNITMPSSSPMTNTAGSFSGSVQFSKSASTIYYPNQFAIGFFSIPWMLLLL >KJB17697 pep chromosome:Graimondii2_0_v6:3:804306:809561:-1 gene:B456_003G012500 transcript:KJB17697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSVKCCLNPSFIIIVVAAVLSQALTVTSVVVPKSPCYAFDNSSHLLDFSDWIGSPFVYEGKDTDVVVRFCKDVESRSQAGYVDFGRYDKFNYFVAGSGHVDLVQEFYNGDLLNCEHTFDKMGRTAQVNIICGKCLNGQCKGQHGCICNVSYESTCRAIVELAIPCENPGPRVFEGFTVGFHPRSWEIVHNGLTQLGFEKSHPDFSFSTEQPHVTLYLTAIASQSNLIKKPRVKVFPENGLEVKLSGTAATGNPPTTLSPSTLLLDWRCVKAHDTPYEVKITIPVEGYESIEFVLTKMCDHTKSGRRCHKRVGNIRNNFMHINGFINSILLWRVYLQDTNGKPAWD >KJB17695 pep chromosome:Graimondii2_0_v6:3:804179:809561:-1 gene:B456_003G012500 transcript:KJB17695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSVKCCLNPSFIIIVVAAVLSQALTVTSVVVPKSPCYAFDNSSHLLDFSDWIGSPFVYEGKDTDVVVRFCKDVESRSQAGYVDFGRYDKFNYFVAGSGHVDLVQEFYNGDLLNCEHTFDKMGRTAQVNIICGKCLNGQCKGQHGCICNVSYESTCRAIVELAIPCENPGPRVFEGFTVGFHPRSWEIVHNGLTQLGFEKSHPDFSFSTEQPHVTLYLTAIASQSNLIKKPRVKVFPENGLEVKLSGTAATGNPPTTLSPSTLLLDWRCVKAHDTPYEVKITIPVEGYESIEFVLTKMCETTQNQEEDATRGWAIFGIISCILMVSSTLFCCGGFIYKTQMESRHGIDALPGMTVLSACLETVSGAGQGYSRVEEINTGFTNEVSWERPSSGTQGTWTPSPNESKYGSM >KJB17694 pep chromosome:Graimondii2_0_v6:3:804096:809598:-1 gene:B456_003G012500 transcript:KJB17694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSVKCCLNPSFIIIVVAAVLSQALTVTSVVVPKSPCYAFDNSSHLLDFSDWIGSPFVYEGKDTDVVVRFCKDVESRSQAGYVDFGRYDKFNYFVAGSGHVDLVQEFYNGDLLNCEHTFDKMGRTAQVNIICGKCLNGQCKGQHGCICNVSYESTCRAIVELAIPCENPGPRVFEGFTVGFHPRSWEIVHNGLTQLGFEKSHPDFSFSTEQPHVTLYLTAIASQSNLIKKPRVKVFPENGLEVKLSGTAATGNPPTTLSPSTLLLDWRCVKAHDTPYEVKITIPVEGYESIEFVLTKMCETTQNQEEDATRGWAIFGIISCILMVSSTLFCCGGFIYKTQMESRHGIDALPGMTVLSACLETVSGAGQGYSRVEEINTGFTNEVSWERPSSGTQGTWTPSPNESKYGSM >KJB17698 pep chromosome:Graimondii2_0_v6:3:804306:809561:-1 gene:B456_003G012500 transcript:KJB17698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREYCVFVFLLIQDTDVVVRFCKDVESRSQAGYVDFGRYDKFNYFVAGSGHVDLVQEFYNGDLLNCEHTFDKMGRTAQVNIICGKCLNGQCKGQHGCICNVSYESTCRAIVELAIPCENPGPRVFEGFTVGFHPRSWEIVHNGLTQLGFEKSHPDFSFSTEQPHVTLYLTAIASQSNLIKKPRVKVFPENGLEVKLSGTAATGNPPTTLSPSTLLLDWRCVKAHDTPYEVKITIPVEGYESIEFVLTKMCDHTKSGRRCHKRVGNIRNNFMHINGFINSILLWRVYLQDTNGKPAWD >KJB17696 pep chromosome:Graimondii2_0_v6:3:804306:807303:-1 gene:B456_003G012500 transcript:KJB17696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYLFCLDCQCFVPYQPLTFFSLSLVLISGQHGCICNVSYESTCRAIVELAIPCENPGPRVFEGFTVGFHPRSWEIVHNGLTQLGFEKSHPDFSFSTEQPHVTLYLTAIASQSNLIKKPRVKVFPENGLEVKLSGTAATGNPPTTLSPSTLLLDWRCVKAHDTPYEVKITIPVEGYESIEFVLTKMCDHTKSGRRCHKRVGNIRNNFMHINGFINSILLWRVYLQDTNGKPAWD >KJB17699 pep chromosome:Graimondii2_0_v6:3:805373:809167:-1 gene:B456_003G012500 transcript:KJB17699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSVKCCLNPSFIIIVVAAVLSQALTVTSVVVPKSPCYAFDNSSHLLDFSDWIGSPFVYEGKDTDVVVRFCKDVESRSQAGYVDFGRYDKFNYFVAGSGHVDLVQEFYNGDLLNCEHTFDKMGRTAQVNIICGKCLNGQCKGQHGCICNVSYESTCRAIVELAIPCENPGPRVFEGFTVGFHPRSWEIVHNGLTQLGFEKSHPDFSFSTEQPHVTLYLTAIASQSNLIKKPRVKVFPENGLEVKLSGTAATGNPPTTLSPSTLLLDWRCVKAHDTPYEVKITIPVEGYESIEFVLTKMCDHTKSGRRCHKRVGNIRNNFMHQINGFINSILLWRVYLQDTNGKPAWD >KJB20898 pep chromosome:Graimondii2_0_v6:3:44240265:44242057:-1 gene:B456_003G171000 transcript:KJB20898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVTPLCLLYWLLISTWCLMVSTSGMVLIPLTHSLSKTQFTTPHHLLKTTSTRSATRFRHHLHHRHKQVSVPLSPGSDYTLSFTLGSPSSSTISLYLDTGSDLVWLPCSPFECILCESKTPPLSPPLNLSSSATAVPCKSSACSAAHSSLHSSDLCAIARCPLDSIEMSECNSFPCPPFYYAYGDGSLIGRLYKDSLTLPNSLSVQNFTFGCSHTTLGEPVGVAGFGFGRLSLPAQLSSVSPQLEGISVGKRNIPAPENLKRVDRRGSGGMVVDSGTTFTMLPASLYDSVVTEFDHRVSRFYKRANTVEESTGLSPCYFYNKVVAAKVPAITLHFAGNGSSVVLPRKNYFYEFIDGGDGVREKRNVGCLMLMNGGDEEELSGGPGATLGNYQQQGFEVVYDLEKRKVGFARRKCSSLWDSLKN >KJB17424 pep chromosome:Graimondii2_0_v6:3:28720:30171:-1 gene:B456_003G000600 transcript:KJB17424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSMIRYFSTKPKPKMKPIELKTSPEQTQTITRVIFDILKEHGPLTVGDTWERVKEVGLRGLTSKRHMKIVLRWMRERQKIRLICNHVGPHKQFLYTTWFTKPNISHTRAVHNSSTSTTSFSVDTSSPKLSSG >KJB17426 pep chromosome:Graimondii2_0_v6:3:28851:30191:-1 gene:B456_003G000600 transcript:KJB17426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSMIRYFSTKPKPKMKPIELKTSPEQTQTITRVIFDILKEHGPLTVGDTWERVKEVGLRGLTSKRHMKIVLRWMRERQKIRLICNHVGPHKQFLYTTWFTKPNISHTRAVHNSSTSTTSFSVDTSSPKLSSG >KJB17425 pep chromosome:Graimondii2_0_v6:3:28720:30200:-1 gene:B456_003G000600 transcript:KJB17425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSMIRYFSTKPKPKMKPIELKTSPEQTQTITRVIFDILKEHGPLTVGDTWERVKEVGLRGLTSKRHMKIVLRWMRERQKIRLICNHVGPHKQFLYTTWFTKPNISHTRAVHNSSTSTTSFSVDTSSPKLSSG >KJB19840 pep chromosome:Graimondii2_0_v6:3:36287366:36289681:-1 gene:B456_003G121000 transcript:KJB19840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLWGHLPLLVRANSKESVEYILQTLWRTWKTGLDADDRRLICQMLQLQNESDLDPLLVCLRMLMRKCVYENISKDDIQKLFPSEVLPELQRLLTLLLQKFQREWRADVHMDKVSLPRLKTMTWNLATQDSEVREPVAVINLKCPQESDLSFQLAKETLDTMLKSVYSIRDQLSNMGET >KJB19837 pep chromosome:Graimondii2_0_v6:3:36287174:36289717:-1 gene:B456_003G121000 transcript:KJB19837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLWGHLPLLVRANSKESVEYILQTLWRTWKTGLDADDRRLICQMLQLQNESDLDPLLVCLRMLMRKCVYENISKDDIQKLFPSEVLPELQRLLTLLLQKFQREWRADVHMDKVSLPRLKTMTWNLATQDSEVREPVAVINLKLQNDMQCPQESDLSFQLAKETLDTMLKSVYSIRDQLSNMGET >KJB19842 pep chromosome:Graimondii2_0_v6:3:36288078:36289717:-1 gene:B456_003G121000 transcript:KJB19842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLWGHLPLLVRANSKESVEYILQTLWRTWKTGLDADDRRLICQMLQLQNESDLDPLLVCLRMLMRKCVYENISKDDIQKLFPSEVLPELQRLLTLLLQKFQREWRADVHMDKVSLPRLKTMTWNLATQDSEVREPVAVINLKV >KJB19839 pep chromosome:Graimondii2_0_v6:3:36287366:36288691:-1 gene:B456_003G121000 transcript:KJB19839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRKCVYENISKDDIQKLFPSEVLPELQRLLTLLLQKFQREWRADVHMDKVSLPRLKTMTWNLATQDSEVREPVAVINLKLQNDMQCPQESDLSFQLAKETLDTMLKSVYSIRDQLSNMGET >KJB19841 pep chromosome:Graimondii2_0_v6:3:36287366:36289681:-1 gene:B456_003G121000 transcript:KJB19841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDSSAKCFSSKTSPTLTREVLPELQRLLTLLLQKFQREWRADVHMDKVSLPRLKTMTWNLATQDSEVREPVAVINLKLQNDMQCPQESDLSFQLAKETLDTMLKSVYSIRDQLSNMGET >KJB19838 pep chromosome:Graimondii2_0_v6:3:36287189:36289710:-1 gene:B456_003G121000 transcript:KJB19838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDSSAKCFSSKTSPTLTRKDDIQKLFPSEVLPELQRLLTLLLQKFQREWRADVHMDKVSLPRLKTMTWNLATQDSEVREPVAVINLKLQNDMQCPQESDLSFQLAKETLDTMLKSVYSIRDQLSNMGET >KJB19283 pep chromosome:Graimondii2_0_v6:3:28230106:28234296:-1 gene:B456_003G092900 transcript:KJB19283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCATPSTTASHEKKEKKGKKKQNPFSLDYGQHHHGNGGHKLTVLNDPTGGEIEQRYELGRELGRGEFGITFLCTDKETGDTFACKSISKKKLRTAVDIEDVRREVEIMKHLPHHPNIVTLKDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKSIDFGLSVFFKPGEIFTEIVGSPYYMAPEVLKRNYGREVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSENAKDLVRKMLNPDPKQRLTAQEVLDHPWLQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALKVIAEHLSVEEVAGIKEGFQLMDTANRGKINIDELRVGLHKLGHTIPDADLQILMEAGDVDKDGYLDYGEFVAISVHLRKMGNDEHLKKAFEFFDRNQSGYIEIEELRGALTDEVETNSEEVISAIMHDVDTDKDGRISYDEFAVMMKAGTDWRKASRQYSRERFNNLSLKLMKDGSLQMNNEPR >KJB19284 pep chromosome:Graimondii2_0_v6:3:28230237:28234192:-1 gene:B456_003G092900 transcript:KJB19284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCATPSTTASHEKKEKKGKKKQNPFSLDYGQHHHGNGGHKLTVLNDPTGGEIEQRYELGRELGRGEFGITFLCTDKETGDTFACKSISKKKLRTAVDIEDVRREVEIMKHLPHHPNIVTLKDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKSIDFGLSVFFKPGEIFTEIVGSPYYMAPEVLKRNYGREVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSENAKDLVRKMLNPDPKQRLTAQEVLDHPWLQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALKVIAEHLSVEEVAGIKEGFQLMDTANRGKINIDELRVGLHKLGHTIPDADLQILMEAGDVDKDGYLDYGEFVAISVHLRKMVMTSLQ >KJB19285 pep chromosome:Graimondii2_0_v6:3:28230933:28233709:-1 gene:B456_003G092900 transcript:KJB19285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCATPSTTASHEKKEKKGKKKQNPFSLDYGQHHHGNGGHKLTVLNDPTGGEIEQRYELGRELGRGEFGITFLCTDKETGDTFACKSISKKKLRTAVDIEDVRREVEIMKHLPHHPNIVTLKDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKSIDFGLSVFFKPGEIFTEIVGSPYYMAPEVLKRNYGREVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSENAKDLVRKMLNPDPKQRLTAQEVLDHPWLQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALKVIAEHLSVEEVAGIKEGFQLMDTANRGKINIDELRVGLHKLGHTIPDADLQILMEAGDVDKDGYLDYGEFVAISVHLRKMGNDEHLKKAFEFFDRNQSGYIEIEELRGALTDEVETNSEEVISAIMHDVDTDKVRRIFPFLLPFLGMFFSPTCSYSYRISSRINTE >KJB19505 pep chromosome:Graimondii2_0_v6:3:32786957:32792623:1 gene:B456_003G106600 transcript:KJB19505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLEEKNPKSPSFEDSKKKRKRKRNRVKKSEPQITDNNTDREEDEENEEFEQENHEEDETETREVEIDEKKKMKMKKKKKKNKKVKGEDDEKQEKGTDDEEEEKQTTDDEGKKEEIKEKMNSGGSGIMSTESFESLGLSEPTFKAIKEMGFQYMTQIQARAIPPLMIGKDVLGAARTGSGKTLAFLVPAVELLYNVRFTSRNGTGVIVICPTRELAIQTHAVAKDLLKYHSQTLGLVIGGSARRGEAERIVKGVNLLVATPGRLLDHLQNTKGFIYKNLKCLMIDEADRILEANFEEEMKQIIKYLPKENRQTALFSATQTKKVEDLARLSFQTTPIYIDVDDGRKKVTNEGLQQGYCVVHSSKRFILLYSFLKRNLSKKVMVFFSSCNSVKFHAELLRYIHVDCLDIHGKQKQQKRTTTFFDFCKAEKGILLCTDVAARGLDIPAVDWIVQYDPPDEPKEYIHRVGRTARGEGAKGNALLFLIPEELQFLRYLKAAKVPVKEYEFDEKKLANVQSHLVAFLLCSI >KJB19506 pep chromosome:Graimondii2_0_v6:3:32786814:32793828:1 gene:B456_003G106600 transcript:KJB19506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLEEKNPKSPSFEDSKKKRKRKRNRVKKSEPQITDNNTDREEDEENEEFEQENHEEDETETREVEIDEKKKMKMKKKKKKNKKVKGEDDEKQEKGTDDEEEEKQTTDDEGKKEEIKEKMNSGGSGIMSTESFESLGLSEPTFKAIKEMGFQYMTQIQARAIPPLMIGKDVLGAARTGSGKTLAFLVPAVELLYNVRFTSRNGTGVIVICPTRELAIQTHAVAKDLLKYHSQTLGLVIGGSARRGEAERIVKGVNLLVATPGRLLDHLQNTKGFIYKNLKCLMIDEADRILEANFEEEMKQIIKYLPKENRQTALFSATQTKKVEDLARLSFQTTPIYIDVDDGRKKVTNEGLQQGYCVVHSSKRFILLYSFLKRNLSKKVMVFFSSCNSVKFHAELLRYIHVDCLDIHGKQKQQKRTTTFFDFCKAEKGILLCTDVAARGLDIPAVDWIVQYDPPDEPKEYIHRVGRTARGEGAKGNALLFLIPEELQFLRYLKAAKVPVKEYEFDEKKLANVQSHLEKLVASNYYLNKSAKDAYRSYILAYNSHSMKDIFNVHRLDLQAVAGSFCFSCPPKVNLNIDSNASKFRKKMRKVEGGARNNFSESNPYGRQRSEDDNRQFVRY >KJB20324 pep chromosome:Graimondii2_0_v6:3:40892235:40896039:-1 gene:B456_003G143600 transcript:KJB20324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLGMDMSKFKLESHVAQQSRRDKLRVHHLGLNPDLVQVRNVRNANLLYDPTFVSPHQELTEDEESRSRQMPANGSCKVPGDPQSCGDWVMGYGINQNPMFDAAMGYPKPNYSEFCSQDSKRHCGELHFVSSSSLYDVTTASLGTQGLEMASTAHQASAWLCGNGGGAWTNIRPVLEDDQQWADAGTNTTQGLSLTLSSNTTCGAAQFTEDQCCSHRFKESQDSKALNPAHLYSMQKPSIISKGSGNSTCVPLGPFTGYATILKNSKFLKPAQQLLDQYCHVANSKLAKVCETSDAVIGDIGDLASADDANAVDMEDRAIKGNNSGASISSFCSSNEISVAVGIGSSSSCRPEYQQKKAKLLYLQEEVYRRYKLYYQQMQMVVSSFESVAGLSSATPYVSLALKTVEKNFRCIKNAISDRVRHICRALGEDLLSSTTGASSSKGDINMSRLKYEVQKSGGVNVGFLEPQQQGWRPQRGLPERAVAILRAWLFEHFLHPYPTDTDKHMLATQTGLSRNQAGV >KJB20322 pep chromosome:Graimondii2_0_v6:3:40892084:40896287:-1 gene:B456_003G143600 transcript:KJB20322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLGMDMSKFKLESHVAQQSRRDKLRVHHLGLNPDLVQVRNVRNANLLYDPTFVSPHQELTEDEESRSRQMPANGSCKVPGDPQSCGDWVMGYGINQNPMFDAAMGYPKPNYSEFCSQDSKRHCGELHFVSSSSLYDVTTASLGTQGLEMASTAHQASAWLCGNGGGAWTNIRPVLEDDQQWADAGTNTTQGLSLTLSSNTTCGAAQFTEDQCCSHRFKESQDSKALNPAHLYSMQKPSIISKGSGNSTCVPLGPFTGYATILKNSKFLKPAQQLLDQYCHVANSKLAKVCETSDAVIGDIGDLASADDANAVDMEDRAIKGNNSGASISSFCSSNEISVAVGIGSSSSCRPEYQQKKAKLLYLQEEVYRRYKLYYQQMQMVVSSFESVAGLSSATPYVSLALKTVEKNFRCIKNAISDRVRHICRALGEDLLSSTTGASSSKGDINMSRLKYEVQKSGGVNVGFLEPQQQGWRPQRGLPERAVAILRAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLESKGLAENNLNSSKTTEAKSSSTNRPNEDQPIDRSCINVTSMEQLTCSYVAVAETTGDAHDAERWFHDKPLRMDFRIPTSMEGSLLSFAPYEQSRLEMRGLGAVSLTLGLRHGAETAQAQQHQHQHRQQQYQSQEDQLQRPFGGQMVHDFVG >KJB20323 pep chromosome:Graimondii2_0_v6:3:40892139:40896039:-1 gene:B456_003G143600 transcript:KJB20323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLGMDMSKFKLESHVAQQSRRDKLRVHHLGLNPDLVQVRNVRNANLLYDPTFVSPHQELTEDEESRSRQMPANGSCKVPGDPQSCGDWVMGYGINQNPMFDAAMGYPKPNYSEFCSQDSKRHCGELHFVSSSSLYDVTTASLGTQGLEMASTAHQASAWLCGNGGGAWTNIRPVLEDDQQWADAGTNTTQGLSLTLSSNTTCGAAQFTEDQCCSHRFKESQDSKALNPAHLYSMQKPSIISKGSGNSTCVPLGPFTGYATILKNSKFLKPAQQLLDQYCHVANSKLAKVCETSDAVIGDIGDLASADDANAVDMEDRAIKGNNSGASISSFCSSNEISVAVGIGSSSSCRPEYQQKKAKLLYLQEEVYRRYKLYYQQMQMVVSSFESVAGLSSATPYVSLALKTVEKNFRCIKNAISDRVRHICRALGEDLLSSTTGASSSKGDINMSRLKYEVQKSGGVNVGFLEPQQQGWRPQRGLPERAVAILRAWLFEHFLHP >KJB20321 pep chromosome:Graimondii2_0_v6:3:40892084:40896340:-1 gene:B456_003G143600 transcript:KJB20321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLGMDMSKFKLESHVAQQSRRDKLRVHHLGLNPDLVQVRNVRNANLLYDPTFVSPHQELTEDEESRSRQMPANGSCKVPGDPQSCGDWVMGYGINQNPMFDAAMGYPKPNYSEFCSQDSKRHCGELHFVSSSSLYDVTTASLGTQGLEMASTAHQASAWLCGNGGGAWTNIRPVLEDDQQWADAGTNTTQGLSLTLSSNTTCGAAQFTEDQCCSHRFKESQDSKALNPAHLYSMQKPSIISKGSGNSTCVPLGPFTGYATILKNSKFLKPAQQLLDQYCHVANSKLAKVCETSDAVIGDIGDLASADDANAVDMEDRAIKGNNSGASISSFCSSNEISVAVGIGSSSSCRPEYQQKKAKLLYLQEEVYRRYKLYYQQMQMVVSSFESVAGLSSATPYVSLALKTVEKNFRCIKNAISDRVRHICRALGEDLLSSTTGASSSKGDINMSRLKYEVQKSGGVNVGFLEPQQQGWRPQRGLPERAVAILRAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLESKGLAENNLNSSKTTEAKSSSTNRPNEDQPIDRSCINVTSMEQLTCSYVAVAETTGDAHDAERWFHDKPLRMDFRIPTSMEGSLLSFAPYEQSRLEMRGLGAVSLTLGLRHGAETAQAQQHQHQHRQQQYQSQEDQLQRPFGGQMVHDFVG >KJB18124 pep chromosome:Graimondii2_0_v6:3:3533121:3542644:-1 gene:B456_003G034900 transcript:KJB18124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMATLINPAIPSSPSRRFSIPLTNPNSHFVKAPSLLRCVRKNGEINGLSRTPPPMDNGGEDDAETSLEESSNVELESKNNEIWRLFKEAQQNILYLNKQRVKAVDELNKAKREKQLLLNKIEQLEKENKRVSGKDNLVVCWELLLRIDSMVLGGMVSTEEASKLRRMVIDSKVSLVDVFSGLLQQRDAELLAELRLFSEGSKRKGYHVIHICTEMEPLISVGPLAPYITGLSRALQRKGHLVEVILPKYASLDLDEVQGLREIEADSYSFFNGQLHGNRIWTGVVRGIGVTFIQPLYFSSFFNRDGIYDHPDDFERFTYFSRASLDYIAKSGKQPDVLHLHNWETAIVGPLFWDIFAKQGLGNTRILLTCQGFDSQCLDEPDKLALCGLDPDRLHRPDRFQDTAKTHLVNILKGGVVYSNKVIVMSSMHSKGRIIHSMSHGLEPTLTMHKEKLLVAPYGFDNSTWDPSTDKFLPVNYSTENMRGKYACKVAVQQQAGISTHASSILVGCIISEESGFDLEKLKAVVRNAIREGAQFVFLGNGSVSTTYRALRSFQEAVEDSNVKFFYNYDEALSHLVFAGSDIMLCHSFHDPLLQVPLKALRYGAAPVSEASGDNHLRYSSDHDHEITKFSQFMRSTFGVMSLSQALDEMKNNPSTWKTKILNAMKKDFSWDSECYETHVSAYSAVKSL >KJB18122 pep chromosome:Graimondii2_0_v6:3:3532593:3542644:-1 gene:B456_003G034900 transcript:KJB18122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMATLINPAIPSSPSRRFSIPLTNPNSHFVKAPSLLRCVRKNGEINGLSRTPPPMDNGGEDDAETSLEESSNVELESKNNEIWRLFKEAQQNILYLNKQRVKAVDELNKAKREKQLLLNKIEQLEKENKRVSGKDNLVVCWELLLRIDSMVLGGMVSTEEASKLRRMVIDSKVSLVDVFSGLLQQRDAELLAELRLFSEGSKRKGYHVIHICTEMEPLISVGPLAPYITGLSRALQRKGHLVEVILPKYASLDLDEVQGLREIEADSYSFFNGQLHGNRIWTGVVRGIGVTFIQPLYFSSFFNRDGIYDHPDDFERFTYFSRASLDYIAKSGKQPDVLHLHNWETAIVGPLFWDIFAKQGLGNTRILLTCQGFDSQCLDEPDKLALCGLDPDRLHRPDRFQDTAKTHLVNILKGGVVYSNKVIVMSSMHSKGRIIHSMSHGLEPTLTMHKEKLLVAPYGFDNSTWDPSTDKFLPVNYSTENMRGKYACKVAVQQQAGISTHASSILVGCIISEESGFDLEKLKAVVRNAIREGAQFVFLGNGSVSTTYRALRSFQEAVEDSNVKFFYNYDEALSHLVFAGSDIMLCHSFHDPLLQVPLKALRYGAAPVSEASGDNHLRYSSDHDHEITKFSQFMRSTFGVMSLSQALDEMKNNPSTWKTKILNAMKKDFSWDSECYETHVSAYSAVKSL >KJB18123 pep chromosome:Graimondii2_0_v6:3:3534385:3542529:-1 gene:B456_003G034900 transcript:KJB18123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMATLINPAIPSSPSRRFSIPLTNPNSHFVKAPSLLRCVRKNGEINGLSRTPPPMDNGGEDDAETSLEESSNVELESKNNEIWRLFKEAQQNILYLNKQRVKAVDELNKAKREKQLLLNKIEQLEKENKRVSGKDNLVVCWELLLRIDSMVLGGMVSTEEASKLRRMVIDSKVSLVDVFSGLLQQRDAELLAELRLFSEGSKRKGYHVIHICTEMEPLISVGPLAPYITGLSRALQRKGHLVEVILPKYASLDLDEVQGLREIEADSYSFFNGQLHGNRIWTGVVRGIGVTFIQPLYFSSFFNRDGIYDHPDDFERFTYFSRASLDYIAKSGKQPDVLHLHNWETAIVGPLFWDIFAKQGLGNTRILLTCQGFDSQCLDEPDKLALCGLDPDRLHRPDRFQDTAKTHLVNILKGGVVYSNKVIVMSSMHSKGRIIHSMSHGLEPTLTMHKEKLLVAPYGFDNSTWDPSTDKFLPVNYSTENMRGKYACKVAVQQQAGISTHASSILVGCIISEESGFDLEKLKAVVRNAIREGAQFVFLGNGSVSTTYRALRSFQEAVEDSNVKFFYNYDEALSHLVFAGSDIMLCHSFHDPLLQVPVSSMHYPVMKTKCHLRKYKMLIITRLAFPFGSKIMWSQSTQPSILTSEHCNRVI >KJB18395 pep chromosome:Graimondii2_0_v6:3:7324781:7328088:1 gene:B456_003G050600 transcript:KJB18395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQSRPYKIQLIVIVKLSSWADSTCCFLFKSFVLLGLASKLASASDPSPLQDFCIAVNDAKNGVFINGKLCKDPKLATPEDFLFSGLNIPGNTSNQVGSMVTPAIVSGLNTFGISLVRIDFAPYVGLNPLHTHPRATEILVVVQGTLYVGFVTSNPYNRLFTKVLYPGDVFVFPLGLIHFQFNIGHTNAVAFAALSSQNPGVVTIANAVFGSDADINPDVLAKAFQLDKNIVNQLQSRFWSDNDNRN >KJB18394 pep chromosome:Graimondii2_0_v6:3:7324781:7328088:1 gene:B456_003G050600 transcript:KJB18394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQSRPYKIQLIVIVKLSSWADSTCCFLFKSFVLLGLASKLASASDPSPLQDFCIAVNDAKNGVFINGKLCKDPKLATPEDFLFSGLNIPGNTSNQVGSMVTPAIVSGLNTFGISLVRIDFAPYVGLNPLHTHPRATEILVVVQGTLYVGFVTSNPYNRLFTKVLYPGDVFVFPLGLIHFQFNIGHTNAVAFAALSSQNPGVVTIANAVFGSDADINPDVLAKAFQLDKNIVNQLQSRFWSDNDNRN >KJB18396 pep chromosome:Graimondii2_0_v6:3:7327206:7328187:1 gene:B456_003G050600 transcript:KJB18396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSFIPYQVEDCYSVLKTMKAVYFLAAFVLLGLASKLASASDPSPLQDFCIAVNDAKNGVFINGKLCKDPKLATPEDFLFSGLNIPGNTSNQVGSMVTPAIVSGLNTFGISLVRIDFAPYVGLNPLHTHPRATEILVVVQGTLYVGFVTSNPYNRLFTKVLYPGDVFVFPLGLIHFQFNIGHTNAVAFAALSSQNPGVVTIANAVFGSDADINPDVLAKAFQLDKNIVNQLQSRFWSDNDNRN >KJB20387 pep chromosome:Graimondii2_0_v6:3:41262035:41271262:-1 gene:B456_003G146000 transcript:KJB20387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFRQSHLFRCTFCITRPLTPLLPPSSSHFLPSNSPFFRPKPLSLSSLNPHSNMSTRPSAFDALMSNARRLAGKKSSSSSSPTKKRKSLDSSPTENPNPLKTVGSAEVKHDPEVSSDGIGKPINDSPKPNLDEAKKPPDSKKVKVGSASERNVEMKGKIRLLKKKPADFDPNMVACWEKGERVPFLFLSLAFDLISNETGRIVITDIVCNMLRTVIATTPDDLVATVYLAANKVAPAHEGLELGIGDASIIKALAEACGRTESQVKSQYKDKGDLGLVAQASRSSQSMMRKPDPLTVIKVFDTFRLIAKESGKDSQEKKKNRIKSLLVAATDCEPQYLIRLLQTKLRIGFSEQTLLAALGQAAVYNEQHSKPPPNVQSPLEEAAKIVKQVFSILPVYDKIVPALLTGGVWDLPKSCGFTPGVPVGPMLAKPTKGVAEIINKFQDIDFICEYKYDGERAQIHYMENGSVEIYSRNAECNTGKFPDVVAAISRFKKSSVKSFVLDCELVAYDRVQKKILPFQILITRARKNVVVSEIKVDVCIFAFDILYLNGQPLLHEQLKVRKERLYDSFEEEPGFFQFATALTSNDLEEIQTFLNSAVSSSCEGLIIKTLDRDATYEPSKRSLNWLKLKKDYMESIGDTLDLVPIAAFHGRGKRTGFYGAFLLACYDENNEEFQSICKIGTGFSEAELEERSASLRSKVIPEPKSYYRCSEMMKPDVWFETTEVWEVKAADLTISPVHRAAIGIVDPDKGISLRFPRLVRVREDKTPEQASSSEQVAEMYNAQKHNQTNNEDDGEDD >KJB20390 pep chromosome:Graimondii2_0_v6:3:41268512:41271262:-1 gene:B456_003G146000 transcript:KJB20390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFRQSHLFRCTFCITRPLTPLLPPSSSHFLPSNSPFFRPKPLSLSSLNPHSNMSTRPSAFDALMSNARRLAGKKSSSSSSPTKKRKSLDSSPTENPNPLKTVGSAEVKHDPEVSSDGIGKPINDSPKPNLDEAKKPPDSKKVKVGSASERNVEMKGKIRLLKKKPADFDPNMVACWEKGERVPFLFLSLAFDLISNETGRIVITDIVCNMLRTVIATTPDDLVATVYLAANKVAPAHEGLELGIGDASIIKALAEACGRTESQVKSQYKDKGDLGLVAQASRSSQSMMRKPDPLTVIKVFDTFRLIAKESGKDSQEKKKNRIKSLLVAATDCEPQYLIRLLQTKLRIGFSEQTLLAALGQAAVYNEQHSKPPPNVQSPLEEAAKIVKQVFSILPVYDKIVPALLTGGVWDLPKSCGFTPGVPVGPMLAKPTKGVAEIINKFQDIDFICEYKYDGERAQVMEFEVLLHFICFC >KJB20385 pep chromosome:Graimondii2_0_v6:3:41261428:41271313:-1 gene:B456_003G146000 transcript:KJB20385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFRQSHLFRCTFCITRPLTPLLPPSSSHFLPSNSPFFRPKPLSLSSLNPHSNMSTRPSAFDALMSNARRLAGKKSSSSSSPTKKRKSLDSSPTENPNPLKTVGSAEVKHDPEVSSDGIGKPINDSPKPNLDEAKKPPDSKKVKVGSASERNVEMKGKIRLLKKKPADFDPNMVACWEKGERVPFLFLSLAFDLISNETGRIVITDIVCNMLRTVIATTPDDLVATVYLAANKVAPAHEGLELGIGDASIIKALAEACGRTESQVKSQYKDKGDLGLVAQASRSSQSMMRKPDPLTVIKVFDTFRLIAKESGKDSQEKKKNRIKSLLVAATDCEPQYLIRLLQTKLRIGFSEQTLLAALGQAAVYNEQHSKPPPNVQSPLEEAAKIVKQVFSILPVYDKIVPALLTGGVWDLPKSCGFTPGVPVGPMLAKPTKGVAEIINKFQDIDFICEYKYDGERAQIHYMENGSVEIYSRNAECNTGKFPDVVAAISRFKKSSVKSFVLDCELVAYDRVQKKILPFQILITRARKNVVVSEIKVDVCIFAFDILYLNGQPLLHEQLKVRKERLYDSFEEEPGFFQFATALTSNDLEEIQTFLNSAVSSSCEGLIIKTLDRDATYEPSKRSLNWLKLKKDYMESIGDTLDLVPIAAFHGRGKRTGFYGAFLLACYDENNEEFQSICKIGTGFSEAELEERSASLRSKVIPEPKSYYRCSEMMKPDVWFETTEVWEVKAADLTISPVHRAAIGIVDPDKGISLRFPRLVRVREDKTPEQASSSEQVAEMYNAQKHNQTNNEDDGEDD >KJB20388 pep chromosome:Graimondii2_0_v6:3:41262748:41271262:-1 gene:B456_003G146000 transcript:KJB20388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFRQSHLFRCTFCITRPLTPLLPPSSSHFLPSNSPFFRPKPLSLSSLNPHSNMSTRPSAFDALMSNARRLAGKKSSSSSSPTKKRKSLDSSPTENPNPLKTVGSAEVKHDPEVSSDGIGKPINDSPKPNLDEAKKPPDSKKVKVGSASERNVEMKGKIRLLKKKPADFDPNMVACWEKGERVPFLFLSLAFDLISNETGRIVITDIVCNMLRTVIATTPDDLVATVYLAANKVAPAHEGLELGIGDASIIKALAEACGRTESQVKSQYKDKGDLGLVAQASRSSQSMMRKPDPLTVIKVFDTFRLIAKESGKDSQEKKKNRIKSLLVAATDCEPQYLIRLLQTKLRIGFSEQTLLAALGQAAVYNEQHSKPPPNVQSPLEEAAKIVKQVFSILPVYDKIVPALLTGGVWDLPKSCGFTPGVPVGPMLAKPTKGVAEIINKFQDIDFICEYKYDGERAQVMEFEIHYMENGSVEIYSRNAECNTGKFPDVVAAISRFKKSSVKSFVLDCELVAYDRVQKKILPFQILITRARKNVVVSEIKVDVCIFAFDILYLNGQPLLHEQLKVRKERLYDSFEEEPGFFQFATALTSNDLEEIQTFLNSAVSSSCEGLIIKTLDRDATYEPSKRSLNWLKLKKDYMESIGDTLDLVPIAAFHGRGKRTGFYGAFLLACYDENNEEFQSICKIGTGFSEAELEERSASLRSKVIPEPKSYYRCSEMMKPDVWFETTEVWEVKAADLTISPVHRAAIGIVDPDKGISLRFPRLVRVREDKTPEQASSSEQVAEMYNAQKHNQTNNEDDGEDD >KJB20389 pep chromosome:Graimondii2_0_v6:3:41268017:41271262:-1 gene:B456_003G146000 transcript:KJB20389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFRQSHLFRCTFCITRPLTPLLPPSSSHFLPSNSPFFRPKPLSLSSLNPHSNMSTRPSAFDALMSNARRLAGKKSSSSSSPTKKRKSLDSSPTENPNPLKTVGSAEVKHDPEVSSDGIGKPINDSPKPNLDEAKKPPDSKKVKVGSASERNVEMKGKIRLLKKKPADFDPNMVACWEKGERVPFLFLSLAFDLISNETGRIVITDIVCNMLRTVIATTPDDLVATVYLAANKVAPAHEGLELGIGDASIIKALAEACGRTESQVKSQYKDKGDLGLVAQASRSSQSMMRKPDPLTVIKVFDTFRLIAKESGKDSQEKKKNRIKSLLVAATDCEPQYLIRLLQTKLRIGFSEQTLLAALGQAAVYNEQHSKPPPNVQSPLEEAAKIVKQVFSILPVYDKIVPALLTGGVWDLPKSCGFTPGVPVGPMLAKPTKGVAEIINKFQDIDFICEYKYDGERAQIHYMENGSVEIYSRNAECNTGKFPDVVAAISR >KJB20386 pep chromosome:Graimondii2_0_v6:3:41262072:41271282:-1 gene:B456_003G146000 transcript:KJB20386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFRQSHLFRCTFCITRPLTPLLPPSSSHFLPSNSPFFRPKPLSLSSLNPHSNMSTRPSAFDALMSNARRLAGKKSSSSSSPTKKRKSLDSSPTENPNPLKTVGSAEVKHDPEVSSDGIGKPINDSPKPNLDEAKKPPDSKKVKVGSASERNVEMKGKIRLLKKKPADFDPNMVACWEKGERVPFLFLSLAFDLISNETGRIVITDIVCNMLRTVIATTPDDLVATVYLAANKVAPAHEGLELGIGDASIIKALAEACGRTESQVKSQYKDKGDLGLVAQASRSSQSMMRKPDPLTVIKVFDTFRLIAKESGKDSQEKKKNRIKSLLVAATDCEPQYLIRLLQTKLRIGFSEQTLLAALGQAAVYNEQHSKPPPNVQSPLEEAAKIVKQVFSILPVYDKIVPALLTGGVWDLPKSCGFTPGVPVGPMLAKPTKGVAEIINKFQDIDFICEYKYDGERAQIHYMENGSVEIYSRNAECNTGKFPDVVAAISRFKKSSVKSFVLDCELVAYDRVQKKILPFQILITRARKNVVVSEIKVDVCIFAFDILYLNGQPLLHEQLKVRKERLYDSFEEEPGFFQFATALTSNDLEEIQTFLNSAVSSSCEGLIIKTLDRDATYEPSKRSLNWLKLKKDYMESIGDTLDLVPIAAFHGRGKRTGFYGAFLLACYDENNEEFQSICKIGTGFSEAELEERSASLRSKVIPEPKSYYRCSEMMKPDVWFETTEVWEVKAADLTISPVHRAAIGIVDPDKGISLRFPRLVRVREDKTPEQASSSEQVAEMYNAQKHNQTNNEDDGEDD >KJB17376 pep chromosome:Graimondii2_0_v6:3:6072796:6073306:-1 gene:B456_003G045700 transcript:KJB17376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRYCNINLEEMKWNPRMAPYISAKLKDIHITNLTRNARFFLLEACNLVFDATSKRKQFLIVAAIRARCQHVNKKWLGGMLINWLTTETRLHKFKDLRTEQKTGGLNRLPKKDATMLKRQLSRLHTYLPHKLSLHKTTLLLSNFD >KJB19606 pep chromosome:Graimondii2_0_v6:3:34007592:34011552:1 gene:B456_003G111000 transcript:KJB19606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLSEYPNSSARLLADSVEAERRLREAEDRLREAIQELQRRQRTAASGDDPPCDHADDSCVANAIGNLCQSFLLSYGVRVGIGILLRAFNLARRQSYSSLLDLKQLVSEKDLMVREEACRIGLLFGGFTGSYHALRCLLRKLRKKETPVNAILAGSIAGLSILALDDSSRRRTLALYLLARVAQCAYNSAKSKNKFHFWGSHWKHGDSLLFSLACAQVMYAFVMRPESLPKAYQDFIQKTGPVAAPVYKAVRENCRGAPVNVASISAYLSSRGKANNVKLEEFPSIIPCSIIHPDTNSCLSHNAKAASATFRKTFPLYFSLTFVPFVVLHLQKFLDTPARTCWLAVKGSVRSTTFLSAFVGIFQAVICMHRKIASKDHKLVYWVAGGLSALSVLLEKKARRSELALYVLPRAGESLWYILVNRKLLPDVKNAEVALFCACMGGIMYYLEYEPDTMAPFLRGLIRRFLASRISNPGLSVNQTTSYTYLQTPDAVKKPKIQENPEVETSTPKQYNLESIPGL >KJB19607 pep chromosome:Graimondii2_0_v6:3:34007626:34010683:1 gene:B456_003G111000 transcript:KJB19607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLSEYPNSSARLLADSVEAERRLREAEDRLREAIQELQRRQRTAASGDDPPCDHADDSCVANAIGNLCQSFLLSYGVRVGIGILLRAFNLARRQSYSSLLDLKQLVSEKDLMVREEACRIGLLFGGFTGSYHALRCLLRKLRKKETPVNAILAGSIAGLSILALDDSSRRRTLALYLLARVAQCAYNSAKSKNKFHFWGSHWKHGDSLLFSLACAQVMYAFVMRPESLPKAYQDFIQKTGPVAAPVYKAVRENCRGAPVNVASISAYLSSRGKANNVKLEEFPSIIPCSIIHPDTNSCLSHNAKAASATFRKTFPLYFSLTFVPFVVLHLQKFLDTPARTCWLAVKGSVRSTTFLSAFVGIFQAVICMHRKIASKDHKLVYWVAGGLSALSVLLEKKARRSELALYVLPRAGESLWYILVNRKLLPDVKNAEVC >KJB17974 pep chromosome:Graimondii2_0_v6:3:2453088:2454679:1 gene:B456_003G027100 transcript:KJB17974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHIQIPSPLILQKLYCEEEESFEDDNGSEICVETVKKETFLPSFFIENDYFFEQDDELFVLMSKEKQTHHGYIDVNLNKPLVLARKQALGLFFKVKEHYGFNALTMVLAVNYFDRFISSLKLQQDNPWMSQLAAVACLSLAAKVEETQVPLLLELQVEESNYVFDSKTIQRMELLVLSTLQWRMNPITPISFFNHITSRLGLKSHLHFEFLHSCEHLLLLVITDSRFMLYIPSILAAATMLHVIKDIEPCHYLEYQKQLIGVLKICEVYNYFGVLYHWILIVFRLIPGFVFRQDEVNSCYELISELLESRGHKSKRRLVPSPSSPNGVIDASFSCDNLVDSWAVTTSSVSSSSYPQFKRSRAPDQQMRLPSVNRMFVDPVSRPP >KJB17975 pep chromosome:Graimondii2_0_v6:3:2453088:2454679:1 gene:B456_003G027100 transcript:KJB17975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHIQIPSPLILQKLYCEEEESFEDDNGSEICVETVKKETFLPSFFIENDYFFEQDDELFVLMSKEKQTHHGYIDVNLNKPLVLARKQALGLFFKVKEHYGFNALTMVLAVNYFDRFISSLKLQQDNPWMSQLAAVACLSLAAKVEETQVPLLLELQVEESNYVFDSKTIQRMELLVLSTLQWRMNPITPISFFNHITSRLGLKSHLHFEFLHSCEHLLLLVITGNLVQVFIFNYTICAFFLKLFNLIPDSRFMLYIPSILAAATMLHVIKDIEPCHYLEYQKQLIGVLKICEVYNYFGVLYHWILIVFRLIPGFVFRQDEVNSCYELISELLESRGHKSKRRLVPSPSSPNGVIDASFSCDNLVDSWAVTTSSVSSSSYPQFKRSRAPDQQMRLPSVNRMFVDPVSRPP >KJB17973 pep chromosome:Graimondii2_0_v6:3:2452921:2454787:1 gene:B456_003G027100 transcript:KJB17973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHIQIPSPLILQKLYCEEEESFEDDNGSEICVETVKKETFLPSFFIENDYFFEQDDELFVLMSKEKQTHHGYIDVNLNKPLVLARKQALGLFFKVKEHYGFNALTMVLAVNYFDRFISSLKLQQDNPWMSQLAAVACLSLAAKVEETQVPLLLELQVEESNYVFDSKTIQRMELLVLSTLQWRMNPITPISFFNHITSRLGLKSHLHFEFLHSCEHLLLLVITDSRFMLYIPSILAAATMLHVIKDIEPCHYLEYQKQLIGVLKICEDEVNSCYELISELLESRGHKSKRRLVPSPSSPNGVIDASFSCDNLVDSWAVTTSSVSSSSYPQFKRSRAPDQQMRLPSVNRMFVDPVSRPP >KJB20858 pep chromosome:Graimondii2_0_v6:3:43992274:43996267:-1 gene:B456_003G169300 transcript:KJB20858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSNHSSPVLTDPIPINKSRLGIHSNLLSYPQSGGSLSPGKYKMTPRKKPAKLDDVRSNGWLDAMKSSSPPRKKLIKGFNIEVPADDIDIAYHSWMIKYPSALKSFEQISKHAKNKKIAVFLDYDGTLSPIVDDPDRAFMSDAMRSAVRNVAKYFPTAIISGRSRDKVYELVGLTELFYAGSHGMDIMGPVKHTESDDGHPNCVRSIDQEGKEVNLFQPAREFIPMIDEVFRTLVENTKDIKGAKVENHKFCTSVHYRNVEEKNWPIIAQCVHDILKDYPRLRLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLSDRDDVLPIYIGDDKTDEDAFKVLKEGNMGYGILVSSVPKESNAIFSVRDPSEVKKFLKALVRWKRLEEA >KJB20857 pep chromosome:Graimondii2_0_v6:3:43992274:43995430:-1 gene:B456_003G169300 transcript:KJB20857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSNHSSPVLTDPIPINKSRLGIHSNLLSYPQSGGSLSPGKYKMTPRKKPAKLDDVRSNGWLDAMKSSSPPRKKLIKGFNIEVPADDIDIAYHSWMIKYPSALKSFEQISKHAKNKKIAVFLDYDGTLSPIVDDPDRAFMSDAMRSAVRNVAKYFPTAIISGRSRDKVYELVGLTELFYAGSHGMDIMGPVKHTESDDGHPNCVRSIDQEGKEVNLFQPAREFIPMIDEVFRTLVENTKDIKGAKVENHKFCTSVHYRNVEEKNWPIIAQCVHDILKDYPRLRLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLSDRDDVLPIYIGDDKTDEDAFKVLKEGNMGYGILVSSVPKESNAIFSVRDPSEVKKFLKALVRWKRLEEA >KJB17931 pep chromosome:Graimondii2_0_v6:3:2217239:2221335:1 gene:B456_003G025100 transcript:KJB17931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHEARRSERKVHDMMDAARKRAQRRAIFLAKRRGDPQQSIQLIGSRCRIYRDDALYHATQDQQGLIPWNGKQDVLIDRFDGRALLDFIREPRTRNFRNLEKSEEEEELEEIVNFERYRDLIKHRRRGFTDEEGLQHVNLEMEAKVLTPFQSDRSQPAQPANKSSYSQVGFSYDGGKEEAYFSDADEGEEDEEDDDEFDSDDSNDEGMEIIAKGFGVKRYGWLVYMDKKAKEEEKRQKEVIKGDPAIRKLSRKERRKASQIEREREREAARITGTRVLRTDPYRESRRSPTYDAYPRRRSRSRSRSYSPSYSRRHARGGYSDDSHRRKPKAPKIEYITEFGGSGDGNGPKLDGVSPPSSPPSQADILNRPSSGHILEALHGDPASGVSLDKEKGEVLKPALSGTSALAKLTKASASGGPSKQGQVEKKETPQERLKRIMSKQLNKQIKKDTAAEMAKKREQERQRLEKLEETSRLTRQRYRSRSRSRSNSRSPPRRHRRSRSSSRSRSQRYVSLSRSRSRSRSYSRSHSRSKSRSPRVRSHLRY >KJB17765 pep chromosome:Graimondii2_0_v6:3:952069:954079:1 gene:B456_003G014400 transcript:KJB17765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSIISPTLGFNIKTISYQKYTLNIWDVGGQRTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGASLLILANKQDIKGALTPAEIAKVLNLEAMDKSRHWKIVGCSAYTGEGLLEGFDWLVQDVASRIYMLD >KJB18518 pep chromosome:Graimondii2_0_v6:3:9625233:9635424:-1 gene:B456_003G057600 transcript:KJB18518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MAWGWGIYEGMVVIGSLVLLGWAGLWFLNRRLYKEYEEKRALVQIIFSVVFAFSCNLLQLVLFEIIPVLSREARLINWKVDLFCLILLLVFMLPYYHCYLMLCNSGVRKERAGLGAIIFLLAFLYAFWRMGVHFPMPSPDKGFFTMPQLVSRIGVVGVTVMAVLSGFGAVNLPYSYLSLFIREIDESEIKALERQLMQSIETCVAKKKKIILSQMEMERIQGSDENLKGRSFFKRIVGTVVRSVQDDQKEQDIKILDAEVQALEDLSKQLFLEIYELRQAKEAAAYSRTWKGHMQNLLGYAGSVYCVYKMIKSLQSVVFKEAGSVDPVTMSITILLQFFDIGIDAALLSQYISLLFIGILITISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLRTEYRLIITDVLGGDIQFDFYHRWFDAIFVASAFLSLILLSAHYTSRQADKHPID >KJB18521 pep chromosome:Graimondii2_0_v6:3:9625231:9635457:-1 gene:B456_003G057600 transcript:KJB18521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MAWGWGIYEGMVVIGSLVLLGWAGLWFLNRRLYKEYEEKRALVQIIFSVVFAFSCNLLQLVLFEIIPVLSREARLINWKVDLFCLILLLVFMLPYYHCYLMLCNSGVRKERAGLGAIIFLLAFLYAFWRMGVHFPMPSPDKGFFTMPQLVSRIGVVGVTVMAVLSGFGAVNLPYSYLSLFIREIDESEIKALERQLMQSIETCVAKKKKIILSQMEMERIQGSDENLKGRSFFKRIVGTVVRSVQDDQKEQDIKILDAEVQALEDLSKQLFLEIYELRQAKEAAAYSRTWKGHMQNLLGYAGSVYCVYKMIKSLQSVVFKEAGSVDPVTMSITILLQFFDIGIDAALLSQYISLLFIGILITISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLRTEYRLIITDVLGGDIQFDFYHRWFDAIFVASAFLSLILLSAHYTSRQADKHPID >KJB18517 pep chromosome:Graimondii2_0_v6:3:9625630:9635424:-1 gene:B456_003G057600 transcript:KJB18517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MAWGWGIYEGMVVIGSLVLLGWAGLWFLNRRLYKEYEEKRALVQIIFSVVFAFSCNLLQLVLFEIIPVLSREARLINWKVDLFCLILLLVFMLPYYHCYLMLCNSGVRKERAGLGAIIFLLAFLYAFWRMGVHFPMPSPDKGFFTMPQLVSRIGVVGVTVMAVLSGFGAVNLPYSYLSLFIREIDESEIKALERQLMQSIETCVAKKKKIILSQMEMERIQGSDENLKGRSFFKRIVGTVVRSVQDDQKEQDIKILDAEVQALEDLSKQLFLEIYELRQAKEAAAYSRTWKGHMQNLLGYAGSVYCVYKMIKSLQSVVFKEAGSVDPVTMSITILLQFFDIGIDAALLSQYISLLFIGILITISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLRTEYRLIITDVLGGDIQFDFYHRWFDAIFVASAFLSLILLSAHYTSRQADKHPID >KJB18520 pep chromosome:Graimondii2_0_v6:3:9626873:9635424:-1 gene:B456_003G057600 transcript:KJB18520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MAWGWGIYEGMVVIGSLVLLGWAGLWFLNRRLYKEYEEKRALVQIIFSVVFAFSCNLLQLVLFEIIPVLSREARLINWKVDLFCLILLLVFMLPYYHCYLMLCNSGVRKERAGLGAIIFLLAFLYAFWRMGVHFPMPSPDKGFFTMPQLVSRIGVVGVTVMAVLSGFGAVNLPYSYLSLFIREIDESEIKALERQLMQSIETCVAKKKKIILSQMEMERIQGSDENLKGRSFFKRIVGTVVRSVQDDQKEQDIKILDAEVQALEDLSKQLFLEIYELRQAKEAAAYSRTWKGHMQNLLGYAGSVYCVYKMIKSLQSVVFKEAGSVDPVTMSITILLQFFDIGIDAALLSQYISLLFIGILITISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLRTEYRLIITDVLGGDIQFDFYHRWFDAIFVASAFLSLILLSAHYTSRQADKHPID >KJB18519 pep chromosome:Graimondii2_0_v6:3:9625231:9635488:-1 gene:B456_003G057600 transcript:KJB18519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MAWGWGIYEGMVVIGSLVLLGWAGLWFLNRRLYKEYEEKRALVQIIFSVVFAFSCNLLQLVLFEIIPVLSREARLINWKVDLFCLILLLVFMLPYYHCYLMLCNSGVRKERAGLGAIIFLLAFLYAFWRMGVHFPMPSPDKGFFTMPQLVSRIGVVGVTVMAVLSGFGAVNLPYSYLSLFIREIDESEIKALERQLMQSIETCVAKKKKIILSQMEMERIQGSDENLKGRSFFKRIVGTVVRSVQDDQKEQDIKILDAEVQALEDLSKQLFLEIYELRQAKEAAAYSRTWKGHMQNLLGYAGSVYCVYKMIKSLQSVVFKEAGSVDPVTMSITILLQFFDIGIDAALLSQYISLLFIGILITISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLRTEYRLIITDVLGGDIQFDFYHRWFDAIFVASAFLSLILLSAHYTSRQADKHPID >KJB19571 pep chromosome:Graimondii2_0_v6:3:33739772:33743767:1 gene:B456_003G109700 transcript:KJB19571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIREEKGGSVSYSHRLVRIEEPIMCVPTLAIHLDRGVNDGFKVNAQSHLLPVLATSVKVELNKEFAENGLVEKTTNKSRHHAFLLQMIANKLGCQPDQICDFELQACDTQPSVVAGAAKEFIFSGRLDNLCMLLLSEDATSSDSDPENESGVRMVALFDHEEVGSNSAQGAGSPAMLDALSRITYSFTSDSKMLTKAIQRSFLVSADMAHALHPNYMDKHEESHQSKLHGGLVIKHNANQRYATNAVTSFIFWEIAVKHNLPIQDFVVRNDMPCGSTIGPILAGGVGIRTVDIGAPQLSMHGIREMCAVDDVKHSNEHFKAFFHEFSQLDTKISVDT >KJB19572 pep chromosome:Graimondii2_0_v6:3:33739688:33743767:1 gene:B456_003G109700 transcript:KJB19572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEVSSVVSDFIGFLNASPTAFHAVDDAKKRLQKVGYEQVVEREDWKLEAGKRYFLTRNHSTIVAFAIGKKYVAGNGFHIVSAHTDSPCLKLKPVSEVKKAGYLEVVAGRVMIREEKGGSVSYSHRLVRIEEPIMCVPTLAIHLDRGVNDGFKVNAQSHLLPVLATSVKVELNKEFAENGLVEKTTNKSRHHAFLLQMIANKLGCQPDQICDFELQACDTQPSVVAGAAKEFIFSGRLDNLCMLLLSEDATSSDSDPENESGVRMVALFDHEEVGSNSAQGAGSPAMLDALSRITYSFTSDSKMLTKAIQRSFLVSADMAHALHPNYMDKHEESHQSKLHGGLVIKHNANQRYATNAVTSFIFWEIAVKHNLPIQDFVVRNDMPCGSTIGPILAGGVGIRTVDIGAPQLSMHGIREMCAVDDVKHSNEHFKAFFHEFSQLDTKISVDT >KJB18256 pep chromosome:Graimondii2_0_v6:3:5502126:5506919:-1 gene:B456_003G043000 transcript:KJB18256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVKSFIEGGIASIVAGCSTHPLDLIKVRMQLQGEAQGPNAAAQNLRPSLAFQTNSTPSSTVRLRQATAARIGPLATGIRIFKTEGFRALFSGVSATVLRQTLYSTTRMGIYDILKHKWTDKETNTMPLASKILAGLIAGGIGAAVGNPADVAMVRMQADGRLPLSQRRNYTSVVDALARMTKQEGITSLWRGSSMTVNRAMLVTASQLASYDQIKETILAKGWMKDGLCTHVVASFSAGFVAAVVSNPVDVIKTRVMNMKVVPGQRPPYTGALDCAMKTVKAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVARIV >KJB18257 pep chromosome:Graimondii2_0_v6:3:5503825:5506919:-1 gene:B456_003G043000 transcript:KJB18257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVKSFIEGGIASIVAGCSTHPLDLIKVRMQLQGEAQGPNAAAQNLRPSLAFQTNSTPSSTVRLRQATAARIGPLATGIRIFKTEGFRALFSGVSATVLRQTLYSTTRMGIYDILKHKWTDKETNTMPLASKILAGLIAGGIGAAVGNPADVAMVRMQADGRLPLSQRRNYTSVVDALARMTKQEGITSLWRGSSMTVNRAMLVTASQLASYDQIKETILAKGWMKDGLCTHVVASFSAGFVAAVVSNPVDVIKTRVMNMKVVPGQRPPYTGALDCAMKTVKAEGPMALYKGFIPTISRQGPFTVVLFVTLEQELGGKRKRPNVKVTQSLEKHHNYSKNRHNKTTAQKRF >KJB21159 pep chromosome:Graimondii2_0_v6:3:45619381:45625850:1 gene:B456_003G185500 transcript:KJB21159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLPLPLSFFRLSGTSTRDLPFPSSLKRSSVSFPLIPFRRLELIRSTSGMTISRSFSFVFCSLKAKAAAELVQDTESGIPAVGRSGGGRATEVEHSRTFLEARSEQELLYGIRKELEAKRVHPNIAAGMKELYQNYRNAVFQSGDPSAAETVMSNMAVAFDRILLDVEDPFVFEPYHKALREPFDYHMFVQNYIRPLIDFRNSYIGNLSFFYEIEEKLKQGHNVVLISNHQTEADPAIIALLLEKTNPRISENMIYVAGDRVITDPLCKPFSMGRNLICVYSKRHMYDVPEHVEMKRRANTRSLKEMALLLRDGSKIVWIAPSGGRDRPDPLTEEWFPDSFDSSSVDNMRRLIGRSGAAGHIYPLALLCYDIMPPPPKVEKEIGEKRIMSFHGAGLSVAPKICPSEIAVAGKMSEEAKDTYAQALYKSVTEQYNVLKCAIHGKQGFAASSIGISLSQPWN >KJB21158 pep chromosome:Graimondii2_0_v6:3:45619859:45625842:1 gene:B456_003G185500 transcript:KJB21158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLPLPLSFFRLSGTSTRDLPFPSSLKRSSVSFPLIPFRRLELIRSTSGMTISRSFSFVFCSLKAKAAAELVQDTESGIPAVGRSGGGRATEVEHSRTFLEARSEQELLYGIRKELEAKRVHPNIAAGMKELYQNYRNAVFQSGDPSAAETVMSNMAVAFDRILLDVEDPFVFEPYHKALREPFDYHMFVQNYIRPLIDFRNSYIGNLSFFYEIEEKLKQGHNVVLISNHQTEADPAIIALLLEKTNPRISENMIYVAGDRVITDPLCKPFSMGRNLICVYSKRHMYDVPEHVEMKRRANTRSLKEMALLLRDGSKIVWIAPSGGRDRPDPLTEEWFPDSFDSSSVDNMRRLIGRSGAAGHIYPLALLCYDIMPPPPKVEKEIGEKRIMSFHGAGLSVAPKICPSEIAVAGKMSEEAKDTYAQALYKSVTEQYNVLKCAIHGKQGFAASSIGISLSQPWN >KJB21157 pep chromosome:Graimondii2_0_v6:3:45619381:45625850:1 gene:B456_003G185500 transcript:KJB21157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLPLPLSFFRLSGTSTRDLPFPSSLKRSSVSFPLIPFRRLELIRSTSGMTISRSFSFVFCSLKAKAAAELVQDTESGIPAVGRSGGGRATEVEHSRTFLEARSEQELLYGIRKELEAKRVHPNIAAGMKELYQNYRNAVFQSGDPSAAETVMSNMAVAFDRILLDVEDPFVFEPYHKALREPFDYHMFVQNYIRPLIDFRNSYIGNLSFFYEIEEKLKQGHNVVLISNHQTEADPAIIALLLEKTNPRISENMIYVAGDRVITDPLCKPFSMGRNLICVYSKRHMYDVPEHVEMKRRANTRSLKEMALLLRDGSKIVWIAPSGGRDRPDPLTEEWFPDSFDSSSVDNMRRLIGRSGAAGHIYPLALLCYDIMPPPPKVEKEIGEKRIMSFHGAGLSVAPKICPSEIAVAGKMSEEAKDTYAQALYKSVTEQYNVLKCAIHGKQGFAASSIGISLSQPWN >KJB20618 pep chromosome:Graimondii2_0_v6:3:42600818:42602059:1 gene:B456_003G156600 transcript:KJB20618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSANDGPVRISSLSHVGLERIKPVILPLLREGGLRCSVKPASRNTLHFRNHETQSFHSSPSVQLLAWNGQARFGPTPDPTLQASPMFHHLQAPTQ >KJB19611 pep chromosome:Graimondii2_0_v6:3:34552151:34554859:1 gene:B456_003G113300 transcript:KJB19611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAQSRYVKLTKDQAPLEDIQPGELNQPIDVPQLHVRKCNECGQPLPENFEPPAVEPWTTGIFGCAEDTESCWTGLFCPCVLFGRNIESLRDDTPWTTPCICHAICVEGGVALAVATAFVHGIEPKTTFLIYEGLLFAWWMCGIYTGLSRQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDDFVMPMTVVNPPPVQEMTANNENQDSTPPPSGTSTNLEMQAL >KJB19610 pep chromosome:Graimondii2_0_v6:3:34551924:34554880:1 gene:B456_003G113300 transcript:KJB19610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAQSRYVKLTKDQAPLEDIQPGELNQPIDVPQLHVRKCNECGQPLPENFEPPAVEPWTTGIFGCAEDTESCWTGLFCPCVLFGRNIESLRDDTPWTTPCICHAICVEGGVALAVATAFVHGIEPKTTFLIYEGLLFAWWMCGIYTGLSRQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDDFVMPMTVVNPPPVQEMTANNENQDSTPPPSGTSTNLEMQAL >KJB19608 pep chromosome:Graimondii2_0_v6:3:34551924:34554243:1 gene:B456_003G113300 transcript:KJB19608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAQSRYVKLTKDQAPLEDIQPGELNQPIDVPQLHVRKCNECGQPLPENFEPPAVEPWTTGIFGCAEDTESCWTGLFCPCVLFGRNIESLRDDTPWTTPCICHAICVEGGVALAVATAFVHGIEPKTTFLIYEGLLFAWWMCGIYTGLSRQSLQKKYHLKVVSNLSLPICTYY >KJB19612 pep chromosome:Graimondii2_0_v6:3:34551990:34554859:1 gene:B456_003G113300 transcript:KJB19612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAQSRYVKLTKDQAPLEDIQPGELNQPIDVPQLHVRKCNECGQPLPENFEPPAVEPWTTGIFGCAEDTESCWTGLFCPCVLFGRNIESLRDDTPWTTPCICHAICVEGGVALAVATAFVHGIEPKTTFLIYEGLLFAWWMCGIYTGLSRQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDDFVMPMTVVNPPPVQEMTANNENQDSTPPPSGTSTNLEMQAL >KJB19613 pep chromosome:Graimondii2_0_v6:3:34552186:34554859:1 gene:B456_003G113300 transcript:KJB19613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAQSRYVKLTKDQAPLEDIQPGELNQPIDVPQLHVRKCNECGQPLPENFEPPAVEPWTTGIFGCAEDTESCWTGLFCPCVLFGRNIESLRDDTPWTTPCICHAICVEGGVALAVATAFVHGIEPKTTFLIYEGLLFAWWMCGIYTGLSRQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDDFVMPMTVVNPPPVQEMTANNENQDSTPPPSGTSTNLEMQAL >KJB19609 pep chromosome:Graimondii2_0_v6:3:34551924:34554859:1 gene:B456_003G113300 transcript:KJB19609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAQSRYVKLTKDQAPLEDIQPGELNQPIDVPQLHVRKCNECGQPLPENFEPPAVEPWTTGIFGCAEDTESCWTGLFCPCVLFGRNIESLRDDTPWTTPCICHAICVEGGVALAVATAFVHGIEPKTTFLIYEGLLFAWWMCGIYTGLSRQSLQKKYHLKVNSPCDPCMVHCCMHWCALCQEHREMKGRLSDDFVMPMTVVNPPPVQEMTANNENQDSTPPPSGTSTNLEMQAL >KJB18486 pep chromosome:Graimondii2_0_v6:3:8847274:8847847:1 gene:B456_003G055500 transcript:KJB18486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILMRSMISMRLIFKFFFLERIDLTPQAGPPPHSMLPPKAEPRISSRESPNCSRTTRKRFFNQKEFSLDVGYLSKSFRNSIMYEGIIKDLTFSNSICNSL >KJB18336 pep chromosome:Graimondii2_0_v6:3:7599411:7606996:1 gene:B456_003G051200 transcript:KJB18336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G17170) UniProtKB/TrEMBL;Acc:Q9FFJ2] MSSAVIAGASSSCSAAFSSRKNCSNKTTSTPSPKAFNTSQKTALQGLSLQEAKSGVSDFFIAEKKSSVCSSHARRGLEITARTAGASKSIEVEVDKPLGLTLGQKERGGVVITAVDGGGNAAKAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAEVDVKRLPKRPAPPRFGRKLTEAQKARATHICLDCGYIYTAQKPFDEQPDTYGCPQCQAPKKRFAPYDVNTGKAIGGGLPPIGVIIGLLAGVGAVGALLVYGLQ >KJB18333 pep chromosome:Graimondii2_0_v6:3:7599532:7601211:1 gene:B456_003G051200 transcript:KJB18333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G17170) UniProtKB/TrEMBL;Acc:Q9FFJ2] MSSAVIAGASSSCSAAFSSRKNCSNKTTSTPSPKAFNTSQKTALQGLSLQEAKSGVSDFFIAEKKSSVCSSHARRGLEITARTAGASKSIEVEVDKPLGLTLGQKERGGVVITAVDGGGNAAKAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAEVDVKRLPKRPAPPRFGRKLTEAQKVNIAFAN >KJB18335 pep chromosome:Graimondii2_0_v6:3:7599411:7601512:1 gene:B456_003G051200 transcript:KJB18335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G17170) UniProtKB/TrEMBL;Acc:Q9FFJ2] MSSAVIAGASSSCSAAFSSRKNCSNKTTSTPSPKAFNTSQKTALQGLSLQEAKSGVSDFFIAEKKSSVCSSHARRGLEITARTAGASKSIEVEVDKPLGLTLGQKERGGVVITAVDGGGNAAKAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAEVDVKRLPKRPAPPRFGRKLTEAQKVNIAFAN >KJB18334 pep chromosome:Graimondii2_0_v6:3:7599411:7602065:1 gene:B456_003G051200 transcript:KJB18334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G17170) UniProtKB/TrEMBL;Acc:Q9FFJ2] MSSAVIAGASSSCSAAFSSRKNCSNKTTSTPSPKAFNTSQKTALQGLSLQEAKSGVSDFFIAEKKSSVCSSHARRGLEITARTAGASKSIEVEVDKPLGLTLGQKERGGVVITAVDGGGNAAKAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAEVDVKRLPKRPAPPRFGRKLTEAQKVNIAFAN >KJB18332 pep chromosome:Graimondii2_0_v6:3:7599371:7602289:1 gene:B456_003G051200 transcript:KJB18332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G17170) UniProtKB/TrEMBL;Acc:Q9FFJ2] MSSAVIAGASSSCSAAFSSRKNCSNKTTSTPSPKAFNTSQKTALQGLSLQEAKSGVSDFFIAEKKSSVCSSHARRGLEITARTAGASKSIEVEVDKPLGLTLGQKERGGVVITAVDGGGNAAKAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAEVDVKRLPKRPAPPRFGRKLTEAQKARATHICLDCGYIYTAQKPFDEQPDTYGCPQCQAPKKRFAPYDVNTGKAIGGGLPPIGVIIGLLAGVGAVGALLVYGLQ >KJB19878 pep chromosome:Graimondii2_0_v6:3:36823156:36823805:-1 gene:B456_003G122900 transcript:KJB19878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEELWYQAQLQQNLMYLAKIADSQPQASASASSQPLQMPPQSAIPQEQHVQSAQAAMAKQHPCFLAPKLPFHLNDQPQQQQPEQSVYLQQQQFNQPQVGLRSVAPSGTYLGVQTGMGNNFMNIQGNKQDSSEDGGGEGFRKSSYGRDNMRA >KJB19879 pep chromosome:Graimondii2_0_v6:3:36822859:36825072:-1 gene:B456_003G122900 transcript:KJB19879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAKIADSQPQASASASSQMPPQSAIPQEQHVQSAQAAMAKQHPCFLAPKLPFHLNDQPQQQQPEQSVYLQQQQFNQPQVGLRSVAPSGTYLGVQTGMGNNFMNIQGNKQDSSEDGGGEGFRKSSYGRDNMRA >KJB19877 pep chromosome:Graimondii2_0_v6:3:36822859:36824068:-1 gene:B456_003G122900 transcript:KJB19877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEELWYQAQLQQNLMYLAKIADSQPQASASASSQMPPQSAIPQEQHVQSAQAAMAKQHPCFLAPKLPFHLNDQPQQQQPEQSVYLQQQQFNQPQVGLRSVAPSGTYLGVQTGMGNNFMNIQGNKQDSSEDGGGEGFRKSSYGRDNMRA >KJB19880 pep chromosome:Graimondii2_0_v6:3:36823156:36823655:-1 gene:B456_003G122900 transcript:KJB19880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAKIADSQPQASASASSQPLQMPPQSAIPQEQHVQSAQAAMAKQHPCFLAPKLPFHLNDQPQQQQPEQSVYLQQQQFNQPQVGLRSVAPSGTYLGVQTGMGNNFMNIQGNKQDSSEDGGGEGFRKSSYGRDNMRA >KJB19881 pep chromosome:Graimondii2_0_v6:3:36822859:36825072:-1 gene:B456_003G122900 transcript:KJB19881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPPQLMNITTEQIQKYLDENKELIMAIMENQNQGKLAESASYQAQLQQNLMYLAKIADSQPQASASASSQMPPQSAIPQEQHVQSAQAAMAKQHPCFLAPKLPFHLNDQPQQQQPEQSVYLQQQQFNQPQVGLRSVAPSGTYLGVQTGMGNNFMNIQGNKQDSSEDGGGEGFRKSSYGRDNMRA >KJB19882 pep chromosome:Graimondii2_0_v6:3:36823156:36824772:-1 gene:B456_003G122900 transcript:KJB19882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPPQLMNITTEQIQKYLDENKELIMAIMENQNQGKLAESASYQAQLQQNLMYLAKIADSQPQASASASSQPLQMPPQSAIPQEQHVQSAQAAMAKQHPCFLAPKLPFHLNDQPQQQQPEQSVYLQQQQFNQPQVGLRSVAPSGTYLGVQTGMGNNFMNIQGNKQDSSEDGGGEGFRKSSYGRDNMRA >KJB20369 pep chromosome:Graimondii2_0_v6:3:41174974:41177612:1 gene:B456_003G145000 transcript:KJB20369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDSKESPANNPGLHSPPDEATKGYIMQQTMFRIKDPKPTLEFYSRVLGMSLLNKVDVPYMKMTLYMMGYEDVSSAPSDPVEKTIWTFGRPATMELTHFWGTENDPEFKGYHDGNSEPTGFGHIGITVDDMYKACERFESLGVEFVKKPGDGYAFIKDPDGYWIEIFDLNGIRAIVNNLA >KJB18218 pep chromosome:Graimondii2_0_v6:3:4375303:4381455:-1 gene:B456_003G039900 transcript:KJB18218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFLGVLLSACLLSFVSADSQGEALVALKNSLHASADQLRDWSPNLVSPCTWSNVRCDPNNNVISVTLSSMNFSGTLSPKIGVLSNLTSLTLKGNGITGEIPKEFGNLSSLTSLDLESNRLTGEIPSSLGNLKNLQFLTLSKNNLSGTVPQSISGLPKLINLFLDSNDLSGEVPDLLFNIPIYNFAGNRLNCGENFPHPCVSNGNDSGTTKKSKVGIIVGVVGGILVVLLFGGLLFFLYKRRQKGYKGDVFVDVEGEVDQRIAFGQLTRFAWRELQLATDSFSEKNVLGQGGFGKVYKGVLLDNTKVAVKRLIDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPYMPNLSVAYRLREVKPGELVLDWPQRKRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTDVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEDQDDVLLLDYVKKLEREKNLDAIVDHNLNKNYNMEDVEAMIQVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFYRGEDSLHNQVAIELSGGR >KJB18220 pep chromosome:Graimondii2_0_v6:3:4375028:4379987:-1 gene:B456_003G039900 transcript:KJB18220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAVGWIFCDYIFIYFLCSACSTLKGNGITGEIPKEFGNLSSLTSLDLESNRLTGEIPSSLGNLKNLQFLTLSKNNLSGTVPQSISGLPKLINLFLDSNDLSGEVPDLLFNIPIYNFAGNRLNCGENFPHPCVSNGNDSGTTKKSKVGIIVGVVGGILVVLLFGGLLFFLYKRRQKGYKGDVFVDVEGEVDQRIAFGQLTRFAWRELQLATDSFSEKNVLGQGGFGKVYKGVLLDNTKVAVKRLIDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPYMPNLSVAYRLREVKPGELVLDWPQRKRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTDVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEDQDDVLLLDYVKKLEREKNLDAIVDHNLNKNYNMEDVEAMIQVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFYRGEDSLHNQVAIELSGGR >KJB18215 pep chromosome:Graimondii2_0_v6:3:4375303:4381551:-1 gene:B456_003G039900 transcript:KJB18215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVFCRDGSNLYTRKRFCISLHWSLGSTLLKMELFLGVLLSACLLSFVSADSQGEALVALKNSLHASADQLRDWSPNLVSPCTWSNVRCDPNNNVISVTLSSMNFSGTLSPKIGVLSNLTSLTLKGNGITGEIPKEFGNLSSLTSLDLESNRLTGEIPSSLGNLKNLQFLTLSKNNLSGTVPQSISGLPKLINLFLDSNDLSGEVPDLLFNIPIYNFAGNRLNCGENFPHPCVSNGNDSGTTKKSKVGIIVGVVGGILVVLLFGGLLFFLYKRRQKGYKGDVFVDVEGEVDQRIAFGQLTRFAWRELQLATDSFSEKNVLGQGGFGKVYKGVLLDNTKVAVKRLIDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPYMPNLSVAYRLREVKPGELVLDWPQRKRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTDVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEDQDDVLLLDYVKKLEREKNLDAIVDHNLNKNYNMEDVEAMIQVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFYRGEDSLHNQVAIELSGGR >KJB18216 pep chromosome:Graimondii2_0_v6:3:4375303:4381642:-1 gene:B456_003G039900 transcript:KJB18216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKKEGDGSNLYTRKRFCISLHWSLGSTLLKMELFLGVLLSACLLSFVSADSQGEALVALKNSLHASADQLRDWSPNLVSPCTWSNVRCDPNNNVISVTLSSMNFSGTLSPKIGVLSNLTSLTLKGNGITGEIPKEFGNLSSLTSLDLESNRLTGEIPSSLGNLKNLQFLTLSKNNLSGTVPQSISGLPKLINLFLDSNDLSGEVPDLLFNIPIYNFAGNRLNCGENFPHPCVSNGNDSGTTKKSKVGIIVGVVGGILVVLLFGGLLFFLYKRRQKGYKGDVFVDVEGEVDQRIAFGQLTRFAWRELQLATDSFSEKNVLGQGGFGKVYKGVLLDNTKVAVKRLIDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPYMPNLSVAYRLREVKPGELVLDWPQRKRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTDVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEDQDDVLLLDYVKKLEREKNLDAIVDHNLNKNYNMEDVEAMIQVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFYRGEDSLHNQVAIELSGGR >KJB18219 pep chromosome:Graimondii2_0_v6:3:4374963:4382857:-1 gene:B456_003G039900 transcript:KJB18219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFLGVLLSACLLSFVSADSQGEALVALKNSLHASADQLRDWSPNLVSPCTWSNVRCDPNNNVISVTLSSMNFSGTLSPKIGVLSNLTSLTLKGNGITGEIPKEFGNLSSLTSLDLESNRLTGEIPSSLGNLKNLQFLTLSKNNLSGTVPQSISGLPKLINLFLDSNDLSGEVPDLLFNIPIYNFAGNRLNCGENFPHPCVSNGNDSGEVDQRIAFGQLTRFAWRELQLATDSFSEKNVLGQGGFGKVYKGVLLDNTKVAVKRLIDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPYMPNLSVAYRLREVKPGELVLDWPQRKRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTDVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEDQDDVLLLDYVKKLEREKNLDAIVDHNLNKNYNMEDVEAMIQVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFYRGEDSLHNQVAIELSGGR >KJB18214 pep chromosome:Graimondii2_0_v6:3:4374963:4381759:-1 gene:B456_003G039900 transcript:KJB18214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVFCRDGSNLYTRKRFCISLHWSLGSTLLKMELFLGVLLSACLLSFVSADSQGEALVALKNSLHASADQLRDWSPNLVSPCTWSNVRCDPNNNVISVTLSSMNFSGTLSPKIGVLSNLTSLTLKGNGITGEIPKEFGNLSSLTSLDLESNRLTGEIPSSLGNLKNLQFLTLSKNNLSGTVPQSISGLPKLINLFLDSNDLSGEVPDLLFNIPIYNFAGNRLNCGENFPHPCVSNGNDSGEVDQRIAFGQLTRFAWRELQLATDSFSEKNVLGQGGFGKVYKGVLLDNTKVAVKRLIDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPYMPNLSVAYRLREVKPGELVLDWPQRKRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTDVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEDQDDVLLLDYVKKLEREKNLDAIVDHNLNKNYNMEDVEAMIQVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFYRGEDSLHNQVAIELSGGR >KJB18217 pep chromosome:Graimondii2_0_v6:3:4375303:4381642:-1 gene:B456_003G039900 transcript:KJB18217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKKEGDGSNLYTRKRFCISLHWSLGSTLLKMELFLGVLLSACLLSFVSADSQGEALVALKNSLHASADQLRDWSPNLVSPCTWSNVRCDPNNNVISVTLSSMNFSGTLSPKIGVLSNLTSLTLKGNGITGEIPKEFGNLSSLTSLDLESNRLTGEIPSSLGNLKNLQFLTLSKNNLSGTVPQSISGLPKLINLFLDSNDLSGEVPDLLFNIPIYNFAGNRLNCGENFPHPCVSNGNDSGTTKKSKVGIIVGVVGGILVVLLFGGLLFFLYKRRQKGYKGDVFVDVEGEVDQRIAFGQLTRFAWRELQLATDSFSEKNVLGQGGFGKVYKGVLLDNTKVAVKRLIDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPYMPNLSVAYRLREVKPGELVLDWPQRKRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTDVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEDQDDVLLLDYVKKLEREKNLDAIVDHNLNKNYNMEDVEAMIQVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFYRGEDSLHNQVAIELSGGR >KJB20343 pep chromosome:Graimondii2_0_v6:3:40931804:40938516:-1 gene:B456_003G143800 transcript:KJB20343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVLTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTFPTIYGTFPIIRTILLREKISLVHGHQAFSTLCHETLMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTRAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAQQRLGRDEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHASVRSVLISGHIFLNR >KJB20339 pep chromosome:Graimondii2_0_v6:3:40929869:40938697:-1 gene:B456_003G143800 transcript:KJB20339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEGTWAYLAWMLAPWKMWTSTILLRIGLEIHVKNIGTGWAISMARIGTSLLLNKLKFLLIDISHTCLMQRSPIVAMPSWSAIAAVIAFMADLKHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVLTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTFPTIYGTFPIIRTILLREKISLVHGHQAFSTLCHETLMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTRAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAQQRLGRDEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHASVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPSDMVHAIRKAISILPNIDPQVMHDRMKRLYNWNDVAERTEIVYNRALKCSDQSLLERLSRYLSCGAWAGKLFCLVMIIDFLIWRLLELLQPAKDIEEVPDVALRCHQHGERIEEIYRCKSE >KJB20342 pep chromosome:Graimondii2_0_v6:3:40930796:40938516:-1 gene:B456_003G143800 transcript:KJB20342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVLTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTFPTIYGTFPIIRTILLREKISLVHGHQAFSTLCHETLMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTRAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAQQRLGRDEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHASVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPSDMVHAIRKAISILPNIDPQVMHDRMKRLYNWNDVAERTEIVYNRALKCSDQSLLERLSRYAIECFP >KJB20338 pep chromosome:Graimondii2_0_v6:3:40930753:40933968:-1 gene:B456_003G143800 transcript:KJB20338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVLTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTFPTIYGTFPIIRTILLREKISLVHGHQAFSTLCHETLMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTRAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAQQRLGRDEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHASVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPSDMVHAIRKAISILPNIDPQVMHDRMKRLYNWNDVAERTEIVYNRALKCSDQSLLERLSRDNGESE >KJB20341 pep chromosome:Graimondii2_0_v6:3:40931340:40933968:-1 gene:B456_003G143800 transcript:KJB20341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVLTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTFPTIYGTFPIIRTILLREKISLVHGHQAFSTLCHETLMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTRAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAQQRLGRDEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHASVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPSDMVHAIRKAISILPNIDPQVMHDRVSNFH >KJB20337 pep chromosome:Graimondii2_0_v6:3:40929869:40938516:-1 gene:B456_003G143800 transcript:KJB20337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVLTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTFPTIYGTFPIIRTILLREKISLVHGHQAFSTLCHETLMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTRAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAQQRLGRDEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHASVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPSDMVHAIRKAISILPNIDPQVMHDRVSNFH >KJB20336 pep chromosome:Graimondii2_0_v6:3:40929863:40938697:-1 gene:B456_003G143800 transcript:KJB20336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVLTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTFPTIYGTFPIIRTILLREKISLVHGHQAFSTLCHETLMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTRAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAQQRLGRDEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHASVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPSDMVHAIRKAISILPNIDPQVMHDRMKRLYNWNDVAERTEIVYNRALKCSDQSLLERLSRYLSCGAWAGKLFCLVMIIDFLIWRLLELLQPAKDIEEVPDVALRCHQHGERIEEIYRCKSE >KJB20333 pep chromosome:Graimondii2_0_v6:3:40929869:40938516:-1 gene:B456_003G143800 transcript:KJB20333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVLTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTFPTIYGTFPIIRTILLREKISLVHGHQAFSTLCHETLMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTRAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAQQRLGRDEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHASVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPSDMVHAIRKAISILPNIDPQVMHDRMKRLYNWNDVAERTEIVYNRALKCSDQSLLERLSRYLSCGAWAGKLFCLVMIIDFLIWRLLELLQPAKDIEEVPDVALRCHQHGERIEEIYRCKSE >KJB20340 pep chromosome:Graimondii2_0_v6:3:40929869:40938636:-1 gene:B456_003G143800 transcript:KJB20340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEGTWAYLAWMLAPWKMWTSTILLRIGLEIHVKNIGTGWAISMARIGTSLLLNKLKFLLIDISHTCLMQRSPIVAMPSWSAIAAVIAFMADLKHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVLTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTFPTIYGTFPIIRTILLREKISLVHGHQAFSTLCHETLMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTRAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAQQRLGRDEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHASVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPSDMVHAIRKAISILPNIDPQVMHDRMKRLYNWNDVAERTEIVYNRALKCSDQSLLERLSRYLSCGAWAGKLFCLVMIIDFLIWRLLELLQPAKDIEEVPDVALRCHQHGERIEEIYRCKSE >KJB20335 pep chromosome:Graimondii2_0_v6:3:40930753:40933968:-1 gene:B456_003G143800 transcript:KJB20335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVLTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTFPTIYGTFPIIRTILLREKISLVHGHQAFSTLCHETLMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTRAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAQQRLGRDEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHASVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPSDMVHAIRKAISILPNIDPQVMHDRMKRLYNWNDVAERTEIVYNRALKCSDQSLLERLSRDNGESE >KJB20334 pep chromosome:Graimondii2_0_v6:3:40929867:40938516:-1 gene:B456_003G143800 transcript:KJB20334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVLTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTFPTIYGTFPIIRTILLREKISLVHGHQAFSTLCHETLMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTRAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAQQRLGRDEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHASVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPSDMVHAIRKAISILPNIDPQVMHDRMKRLYNWNDVAERTEIVYNRALKCSDQSLLERLSRYLSCGAWAGKLFCLVMIIDFLIWRLLELLQPAKDIEEVPDVALRCHQHGERIEEIYRCKSE >KJB17532 pep chromosome:Graimondii2_0_v6:3:244502:245386:1 gene:B456_003G004100 transcript:KJB17532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSVIHGVNVPVRSISLPSRLQLNSIETELNELKTFGVLPGLQPSHGSGETGFTRLAKLYTNIVEIIQSPLTHKALHHQQNVVKLIEEALDDSVGLLDACSTAKDLITTMKQQVQDLQSALRRKGRDSSIGSDILAYINFRKKFKKSIGKSLRALKRLECNNVNGTTFHCHVVKLLNSLKQSNAVTISMFESMLSFLSMPIMKTKAGGWSLISKLLSDGRNQKGFNEVGTVDFTLYRLHGRVRKNDAKIDTHVELRRLETLCATIEGFEDGLDCLFRCFIKIRVSLLNMLTP >KJB21018 pep chromosome:Graimondii2_0_v6:3:44948932:44952245:1 gene:B456_003G178000 transcript:KJB21018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPISLLLSSLASKSQQLVRNERQMLAASDDGAMMKLIQSTHAPDGRFVDVKPILQVIVNVLHHTIPNIDHAMNGGTGHIDALDDRTNSSAIDGALDALAYIVHKICCEVSCRCSGGADAHAATMGILNMLSSYSWDAKVVLTLAAFAVNFGEFWLIVQLCTSNSLAKSVALLKQLPDILEHSQTLKPRFDALNKLINAMIDVTKCIVEFTELPSEFISIGVPPLSIAMAHIPTATYWIIWSVVACAAQITGLVGMRHEFITSTSEAWELSSLAHKVSGIHEYLQSLLRLCYQRIDEEKLMEAFEDFKRTIETPQTDNLKILLKIFRKEETYYLLNPDKTKVLNDVLRRKHVLLLISDLDISQEEIRVLEALYKGERVSSELNYEILWLPIVDRSTWNDGYEQKFLSLQSIMPWYTVNHPFAFEPAVIKYIMEVWGFVKKPIAVTLDPKGKVLCPNALNMMWIWGNSAFPFSSEKEESFWKAEAWTLELLVDRLEPNLPTWVSQQKVVCFYGGVQMEWIESFTTATKGVAKALDIGLEMVYIGKNNAKERVKKITGLIKEKQLSHAWEDDNVWFFWNRLESMLYSKIQHGKTIENDAIKQEVMTMLAYDGSENGWAVFFTGSDEMVRANGDKVLSSMESFDKWEKLAKQMGFIPALRKQLEGITDDHHCTRLILPENSGGIPERVQCAECGRPMEMYFMYRCCVE >KJB17504 pep chromosome:Graimondii2_0_v6:3:159037:164036:-1 gene:B456_003G002700 transcript:KJB17504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVLIDNKLDPYLLPVVQGSFHNFQAAIGKEIVDITLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIVQMNGFTASLVQVRGSMPFLWEQIVDLTYKPKFEIVKPEEAPRVAERHFLDLRKKYGSVLAIDLVNTTGGEGRLSEKFASAVQPILSDDLRYIHFDFHKICGHVHFERLSILYDQIADFLDKNGYLLLNDKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIGRKMLELQLRRIGVFAAEETISSHTNLDEKYKILWANHGDDVSIQYSGTPALKGDFVRYGKRTVQGILNDFYNALGRYYFNNFSDGTKQDAIDLLQGHYIVSVSRDMTPPSQKGGLEAVAHFPAAFCLVSLGLFFTILSLSQARYDLRHLFFSALWATISIGIAAVVKANGRIFCNRPRLHKPRR >KJB17507 pep chromosome:Graimondii2_0_v6:3:160052:165962:-1 gene:B456_003G002700 transcript:KJB17507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERAESCQKLYTRLRLWEFPDEYVIEPTDGSSASSLKINRADASMKLIDAIPECSSVRVPKIQTIFGVVGMLKLVAGSYLIVITERECVGSYLGHPIFKVMSLRILPCDHSLKTSSPEQKKVESEFAGLLKVAEKTCGLFFSYDTNLTLSAQRLNDLGDESKLLPLWRQAEPRFLWNNYMLEVLIDNKLDPYLLPVVQGSFHNFQAAIGKEIVDITLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIVQMNGFTASLVQVRGSMPFLWEQIVDLTYKPKFEIVKPEEAPRVAERHFLDLRKKYGSVLAIDLVNTTGGEGRLSEKFASAVQPILSDDLRYIHFDFHKICGHVHFERLSILYDQIADFLDKNGYLLLNDKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIGRKMLELQLRRIGVFAAEETISSHTNLDEKYKILWANHGDDVSIQYSGTPALKGDFVRYGKRTVQGILNDFYNALGRYYFNNFSDGTKQV >KJB17505 pep chromosome:Graimondii2_0_v6:3:159037:165962:-1 gene:B456_003G002700 transcript:KJB17505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERAESCQKLYTRLRLWEFPDEYVIEPTDGSSASSLKINRADASMKLIDAIPECSSVRVPKIQTIFGVVGMLKLVAGSYLIVITERECVGSYLGHPIFKVMSLRILPCDHSLKTSSPEQKKVESEFAGLLKVAEKTCGLFFSYDTNLTLSAQRLNDLGDESKLLPLWRQAEPRFLWNNYMLEVLIDNKLDPYLLPVVQGSFHNFQAAIGKEIVDITLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIVQMNGFTASLVQVRGSMPFLWEQIVDLTYKPKFEIVKPEEAPRVAERHFLDLRKKYGSVLAIDLVNTTGGEGRLSEKFASAVQPILSDDLRYIHFDFHKICGHVHFERLSILYDQIADFLDKNGYLLLNDKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIGRKMLELQLRRIGVFAAEETISSHTNLDEKYKILWANHGDDVSIQYSGTPALKGDFVRYGCDRSPARTLHCFCQPGYDTSITKRRP >KJB17506 pep chromosome:Graimondii2_0_v6:3:159037:166166:-1 gene:B456_003G002700 transcript:KJB17506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERAESCQKLYTRLRLWEFPDEYVIEPTDGSSASSLKINRADASMKLIDAIPECSSVRVPKIQTIFGVVGMLKLVAGSYLIVITERECVGSYLGHPIFKVMSLRILPCDHSLKTSSPEQKKVESEFAGLLKVAEKTCGLFFSYDTNLTLSAQRLNDLGDESKLLPLWRQAEPRFLWNNYMLEVLIDNKLDPYLLPVVQGSFHNFQAAIGKEIVDITLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIVQMNGFTASLVQVRGSMPFLWEQIVDLTYKPKFEIVKPEEAPRVAERHFLDLRKKYGSVLAIDLVNTTGGEGRLSEKFASAVQPILSDDLRYIHFDFHKICGHVHFERLSILYDQIADFLDKNGYLLLNDKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIGRKMLELQLRRIGVFAAEETISSHTNLDEKYKILWANHGDDVSIQYSGTPALKGDFVRYGKRTVQGILNDFYNALGRYYFNNFSDGTKQDAIDLLQGHYIVSVSRDMTPPSQKGGLEAVAHFPAAFCLVSLGLFFTILSLSQARYDLRHLFFSALWATISIGIAAVVKANGRIFCNRPRLHKPRR >KJB19977 pep chromosome:Graimondii2_0_v6:3:37920447:37920926:-1 gene:B456_003G128000 transcript:KJB19977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLIDDHTHGMMMHNSSDGGMTMMNHRKMMMHMTFFWGSNTQILFSGWPGTRTGMYVLALIAVFMLAFMVEGISHSRLTKSGSIHHVTAGLVQTLLHALRVGLAYLVMLAIMSFNGGVFLAAVAGHSLGFFLFGSRVFNKNPTTVPAAKTSDLTPMSC >KJB17539 pep chromosome:Graimondii2_0_v6:3:261318:263968:-1 gene:B456_003G004700 transcript:KJB17539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLCWARPSPEQQKSCINKSGAFNYDTKYKGATSKPVSCIKEDKELSKDGYLINHARVLIGSGFETYEKGKTALQNWKHFGLDWAFVDPKTPIQNGVKFCVCLKEFLPWVIMPLQVVYVNENTRAKTKKSLASFGFGSGTLQGHLLAGEERFTIELDENDQVCEP >KJB17541 pep chromosome:Graimondii2_0_v6:3:261999:263968:-1 gene:B456_003G004700 transcript:KJB17541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLCWARPSPEQQKSCINKSGAFNYDTKYKGATSKPVSCIKEDKELSKDGYLINHARVLIGSGFETYEKGKTALQNWKHFGLDWAFVDPKTPIQNGVKFCVCLKEFLPWVIMPLQVVYVNENTRAKTKKSLASFGFGSGTLQGHLLAGEERFTIELDENDQVWYEVLSFSKPAHFLSIIGYPYVQLRQKYFAHQSTNAVLKHV >KJB17540 pep chromosome:Graimondii2_0_v6:3:262923:263675:-1 gene:B456_003G004700 transcript:KJB17540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLCWARPSPEQQKSCINKSGAFNYDTKYKGATSKPVSCIKEDKELSKDGYLINHARVLIGSGFETYEKGKTALQNWKHFGLDWAFVDPKTPIQNGVKFCVCLKEFLPWVIMPLQVVYVNENTRAKTKKSLASFGFGSGTLQGHLLVSTFFFFGWQWLIISMKFFFFLFLFPLLLIAAY >KJB18045 pep chromosome:Graimondii2_0_v6:3:2827295:2831224:1 gene:B456_003G031000 transcript:KJB18045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRLLRDHEADGWERSDFPIICESCLGDNPYVRMTKADYDKECKICTRPFTVFRWRPGRDARYKKTEVCQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGLDYESSYGKVRPNDTILKLQRTTPYYKRNRAHICSFYVRGECTRGAECPYRHEMPEAGELSQQNIKDRYYGVNDPVALKLLNKAGEMPSLEAPEDESIKTLYVGGLDKRITEQDLRDNFYAHGEIESIKMVLDKACAFVTYTTREGAEKAAEELSSKLVIKGLRLKLMWGKPQAPRPESETSDGSRQQAAVAHSGMLPRAVISQQQNQFQPSGPGMHDQPPPMQYFNIPPPPQMDRAYYPSMDPQRMGALVPSQDGENKPGSDKQQAQHYPYQGMPPPPPGQYPHQHYPPYGYMQPMPPYQQYPPYHSAMPPPRGPPQHYQHPGPPRPSPPVSAPASTSAQPPPASTSSGSAPPPPPPAPVPSAAASGSSQL >KJB19449 pep chromosome:Graimondii2_0_v6:3:31555295:31558461:1 gene:B456_003G102900 transcript:KJB19449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGKNKSEKFSMDFVMAGMAAILSKSAAAPIERVKLLLQNQGEMIKRGSLQKPYNGVGDCFKRVLTEEGLFSFWRGNQANVIRYFPTQAFNFAFKGYFKSIFGRSKEKDGYAMWFAGNVASGSAAGATTSLFLYHLDYARTRLGTDGQRQFKGLLDVYRETLSTDGVVGLYRGFGASIMGITLYRGLYFGIYDTMKPILLVGPLEGNFFASFFLGWSITTVSGVCAYPFDTVRRRMMLTSGQSKKYRGAIHAFREIVQLEGFSALFRGVTANMLLGVAGAGVLAGYDQLHRVAHRQGYIFESYQGALK >KJB18353 pep chromosome:Graimondii2_0_v6:3:6580742:6584974:-1 gene:B456_003G048200 transcript:KJB18353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLFVFLTFTVTFLICFEVLVVSSLNSKDSQLLLSFKASLPDQSVLQSWVPKQDPCSFNGVTCQDSKVSSIELSDTSLSTDFHSVAAFLLALENLESLTLLKANISGNISFPYGSKCSSLLTSLDLSQNTLSGPLSTLSTLGSCPNLKSLNLSTNKLESSGEEQTRGLQLSLEVLDLSFNKLSAGNLVPWILHGGCNDLKHLALKGNVITGDINVSNCKKLKFLDVSWNNFSMGTPSFGDCLSLEHLDVSANKFSGDIGREISSCLNLNFLNLSSNQFSGRIPGLPISKLERLYLAGNKFQGQIPLYLTEACATLVELDLSSNNLSGMIPSGFASCSSLESFDVSTNNFTGKLPIEIFQNMRSLKKLGLAFNHFSGPLPVSLSSLLKLEVLDLSSNNFSGPIPVSLCENPTNRLQVLYLQNNYLTGSIPASLSNCSQLVSLHLSFNYLEGTIPTSLGSLSKLKDLRLWLNQLHGEIPQELSNIQTLETLILDFNELTGPIPSGLSNCTKLTWISLSNNRFTGEIPAWLGKLSSLAILKLSNNSFYGRIPPELGDCQSLIWLDLNTNQLNGTIPPVLFKQSGKIVVNFIAGKRYMYIKNDGRKECHGAGILLEFAGIRQEQLNRISSRNPCNFNRVYGGHTQPTFDNNGSMIFLDLSYNFLSGSIPKEIGTMPYLFILNLGHNDISGNIPQEIGNLKGLGILDLSYNRLEGSIPQSMTGITLLSEINLSNNLLSGMIPETGQLETFPASDFFNNAGLCGVPLPPCRDPAAASSSEHRKSHRKQASLAGSVAMGLLFSLFCIFGVIVAIVETKKRRKKQDSALDVYMDSYSHSSTANTSWKLTGAREALSINLATFEKPLRKLTFADLLEATNGFHNDSLIGSGGFGDVYKAQLKDGSVVAIKKLIHISGQGDREFTAEMETIGKIKHRNLVPLLGYCRVGEERLLVYEYMMYGSLEDVLHGQKKAGIKLNWAARRKIAIGAARGLLFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMNAVDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGKRPTDSADFGDNNLVGWVKQHAKLKISDVFDPELMKEDPMLEIELLQHLKVACACLDDRHWRRPTMVQVMAMFKEIQAGSGLDSQSTIATDDRGFNSVEMVDMTIKEVPEGKQ >KJB17745 pep chromosome:Graimondii2_0_v6:3:2325056:2329437:1 gene:B456_003G026000 transcript:KJB17745 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G36910) UniProtKB/Swiss-Prot;Acc:O23193] MDTILHTEPLSLTRLRATSNSTASIHHMPCQLIFRPFHRLSFPLSTVNAGSSSRRSSAFVVAASGTLTANSVSPRGGVYTVGDFMTRKEDLHVVKPTTTVDEALEALVEHRITGFPVIDDDWKLTFNEVQKLLNKTNGQVVGDLMTPAPLVVRETTNLEDAARLLLETKYRRLPVVDVEGKLVGIITRGNVVRAALQIKREIEGKA >KJB17749 pep chromosome:Graimondii2_0_v6:3:2325061:2329420:1 gene:B456_003G026000 transcript:KJB17749 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G36910) UniProtKB/Swiss-Prot;Acc:O23193] MDTILHTEPLSLTRLRATSNSTASIHHMPCQLIFRPFHRLSFPLSTVNAGSSSRRSSAFVVAASGTLTANSVSPRGGVYTVGDFMTRKEDLHVVKPTTTVDEALEALVEHRITGFPVIDDDWKLVGLVSDYDLLALDSISGRRTENDLFPEVDSTWKTFNEVQKLLNKTNGQVVGDLMTPAPLVVRETTNLEDAARLLLETKYRRLPVVDVEGKLVGIITRGNVVRAALQIKREIEGKA >KJB17744 pep chromosome:Graimondii2_0_v6:3:2325061:2329420:1 gene:B456_003G026000 transcript:KJB17744 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G36910) UniProtKB/Swiss-Prot;Acc:O23193] MDTILHTEPLSLTRLRATSNSTASIHHMPCQLIFRPFHRLSFPLSTVNAGSSSRRSSAFVVAASGTLTANSVSPRGGVYTVGDFMTRKEDLHVVKPTTTVDEALEALVEHRITGFPVIDDDWKLVGLVSDYDLLALDSISGRRTENDLFPEVDSTWKTFNEVQKLLNKTNGQVVGDLMTPAPLVVRETTNLEDAARLLLETKYRRLPVVDVEGKLVGIITRGNVVRAALQIKREIEGKA >KJB17748 pep chromosome:Graimondii2_0_v6:3:2325061:2329420:1 gene:B456_003G026000 transcript:KJB17748 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G36910) UniProtKB/Swiss-Prot;Acc:O23193] MDTILHTEPLSLTRLRATSNSTASIHHMPCQLIFRPFHRLSFPLSTVNAGSSSRRSSAFVVAASGTLTANSVSPRGGVYTVGDFMTRKEDLHVVKPTTTVDEALEALVEHRITGFPVIDDDWKLVGLVSDYDLLALDSISGRRTENDLFPEVDSTWKTFNEVQKLLNKTNGQVVGDLMTPAPLVVRETTNLEDAARLLLETKYRRLPVVDVEGKLVGIITRGNVVRAALQIKREIEGKA >KJB17746 pep chromosome:Graimondii2_0_v6:3:2325061:2329420:1 gene:B456_003G026000 transcript:KJB17746 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G36910) UniProtKB/Swiss-Prot;Acc:O23193] MDTILHTEPLSLTRLRATSNSTASIHHMPCQLIFRPFHRLSFPLSTVNAGSSSRRSSAFVVAASGTLTANSVSPRGGVYTVGDFMTRKEDLHVVKPTTTVDEALEALVEHRITGFPVIDDDWKLVGLVSDYDLLALDSISGRRTENDLFPEVDSTWKLKQSSILQTFNEVQKLLNKTNGQVVGDLMTPAPLVVRETTNLEDAARLLLETKYRRLPVVDVEGKLVGIITRGNVVRAALQIKREIEGKA >KJB17750 pep chromosome:Graimondii2_0_v6:3:2325061:2329420:1 gene:B456_003G026000 transcript:KJB17750 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G36910) UniProtKB/Swiss-Prot;Acc:O23193] MDTILHTEPLSLTRLRATSNSTASIHHMPCQLIFRPFHRLSFPLSTVNAGSSSRRSSAFVVAASGTLTANSVSPRGGVYTVGDFMTRKEDLHVVKPTTTVDEALEALVEHRITGFPVIDDDWKLVGLVSDYDLLALDSISGRRTENDLFPEVDSTWKSSILQTFNEVQKLLNKTNGQVVGDLMTPAPLVVRETTNLEDAARLLLETKYRRLPVVDVEGKLVGIITRGNVVRAALQIKREIEGKA >KJB17747 pep chromosome:Graimondii2_0_v6:3:2324958:2329437:1 gene:B456_003G026000 transcript:KJB17747 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G36910) UniProtKB/Swiss-Prot;Acc:O23193] MDTILHTEPLSLTRLRATSNSTASIHHMPCQLIFRPFHRLSFPLSTVNAGSSSRRSSAFVVAASGTLTANSVSPRGGVYTVGDFMTRKEDLHVVKPTTTVDEALEALVEHRITGFPVIDDDWKLVGLVSDYDLLALDSISGRRTENDLFPEVDSTWKTFNEVQKLLNKTNGQVVGDLMTPAPLVVRETTNLEDAARLLLETKYRRLPVVDVEGKLVGIITRGNVVRAALQIKREIEGKA >KJB19769 pep chromosome:Graimondii2_0_v6:3:38486608:38489009:1 gene:B456_003G130800 transcript:KJB19769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETKSVKLNAQQHQQHENGHFSPFKFAKLLDPEASWDKDQLGDVLHWIRQVVALLCGLLWGAIPVVGGVWIFIFLAISTSIIYGYYAMILKIDEEEFGGHAALLQEGLFASITLFLLAWVLVYSLAHF >KJB19770 pep chromosome:Graimondii2_0_v6:3:38486534:38489009:1 gene:B456_003G130800 transcript:KJB19770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETKSVKLNAQQHQQHENGHFSPFKFAKLLDPEASWDKDQLGDVLHWIRQVVALLCGLLWGAIPVVGGVWIFIFLAISTSIIYGYYAMILKIDEEEFGGHAALLQEGLFASITLFLLAWVLVYSLAHF >KJB19771 pep chromosome:Graimondii2_0_v6:3:38486643:38489009:1 gene:B456_003G130800 transcript:KJB19771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETKSVKLNAQQHQQHENGHFSPFKFAKLLDPEASWDKQDQLGDVLHWIRQVVALLCGLLWGAIPVVGGVWIFIFLAISTSIIYGYYAMILKIDEEEFGGHAALLQEGLFASITLFLLAWVLVYSLAHF >KJB20249 pep chromosome:Graimondii2_0_v6:3:40374524:40377941:-1 gene:B456_003G140600 transcript:KJB20249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFDDMGICGDMDFFSAPFGEKDAATATLAALRTEPEATVEDDYSDEEIDVDELERRMWRDKMRLKRLKEQNKSKDGVDIVKQRQSQEQARKKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQANNSIPGNNDGCNPIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVHPPWWPTGNEEWWPHLGLPKDQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEETLARELYPESCPALSSGGGSGSLVINDCSEYDVEGADNEPNFDVQELKPGNLNSSDLSVDQMRAIQQLPYSIKGEVVNNLDFMRKRKPSNDSNIMEHKIYKCEFFQCPYSEHRLGFHDRIARDNHQLTCPYRNSSALFSSSSFNVNEVKPVILPQAFAQSKPTAPAVTSVSTPFDLSGLGVPEDGQKMISELMSVYDNNIQGSKNMNPGNNPIAEGQNILHPKIQQPQDEYFRGEGNFFEDSSMPNNHQMFPQGEGQFDRFKGLNSLFETEHNNNNSFPLMFGSPFDLSTFDYKEDLQTVGMDTIPRQDVSIWFQ >KJB20248 pep chromosome:Graimondii2_0_v6:3:40375236:40377083:-1 gene:B456_003G140600 transcript:KJB20248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFDDMGICGDMDFFSAPFGEKDAATATLAALRTEPEATVEDDYSDEEIDVDELERRMWRDKMRLKRLKEQNKSKDGVDIVKQRQSQEQARKKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQANNSIPGNNDGCNPIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVHPPWWPTGNEEWWPHLGLPKDQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEETLARELYPESCPALSSGGGSGSLVINDCSEYDVEGADNEPNFDVQELKPGNLNSSDLSVDQMRAIQQLPYSIKGEVVNNLDFMRKRKPSNDSNIMEHKIYKCEFFQCPYSEHRLGFHDRIARDNHQLTCPYRNSSALFSSSSFNVNEVKPVILPQAFAQSKPTAPAVTSVSTPFDLSGLGVPEDGQKMISELMSVYDNNIQGSKNMNPGNNPIAEGQNILHPKIQQPQDEYFRGEGNFFEDSSMPNNHQMFPQGEGQFDRFKGLNSLFETEHNNNNSFPLMFGSPFDLSTFDYKEDLQTVGMDTIPRQDVSIWFQ >KJB20250 pep chromosome:Graimondii2_0_v6:3:40374718:40377732:-1 gene:B456_003G140600 transcript:KJB20250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFDDMGICGDMDFFSAPFGEKDAATATLAALRTEPEATVEDDYSDEEIDVDELERRMWRDKMRLKRLKEQNKSKDGVDIVKQRQSQEQARKKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQANNSIPGNNDGCNPIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVHPPWWPTGNEEWWPHLGLPKDQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEETLARELYPESCPALSSGGGSGSLVINDCSEYDVEGADNEPNFDVQELKPGNLNSSDLSVDQMRAIQQLPYSIKGEVVNNLDFMRKRKPSNDSNIMEHKIYKCEFFQCPYSEHRLGFHDRIARDNHQLTCPYRNSSALFSSSSFNVNEVKPVILPQAFAQSKPTAPAVTSVSTPFDLSGLGVPEDGQKMISELMSVYDNNIQGSKNMNPGNNPIAEGQNILHPKIQQPQDEYFRGEGNFFEDSSMPNNHQMFPQGEGQFDRFKGLNSLFETEHNNNNSFPLMFGSPFDLSTFDYKEDLQTVGMDTIPRQDVSIWFQ >KJB19645 pep chromosome:Graimondii2_0_v6:3:34252597:34255537:1 gene:B456_003G111900 transcript:KJB19645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTLKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQASFNNVKQWLNEIDRYASGNVNKLLVGNKCDLTAKKVVSYETAKAFADELGIPFMETSAKNATNVEQAFMAMAGDIKNRMASQPALNNARPPMVQIRGQPVNQKTGCCSS >KJB20904 pep chromosome:Graimondii2_0_v6:3:44278396:44280681:-1 gene:B456_003G171500 transcript:KJB20904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRIFYVSITFILLHSSDALDDFQNLNLTPRPQSARKRESKRFSRESHIAEALHKLREQTREAVKGLAPMSKLGGYDLSKDAMMEDWFKQFEELAGSQDMESIVETVMQKLFSKEILHGPMKEIEERYPQWLDEHKTSLSKEEHERYSLQYELIKELNGVYENDPRNFTWIVDLMQKMQECGQPPNDILQDLAPEFGLWNLD >KJB20642 pep chromosome:Graimondii2_0_v6:3:42743562:42746559:-1 gene:B456_003G157700 transcript:KJB20642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFGWKGPSGFSASSTAEEVTQGIDGSALTAIVTGASSGIGVETTRVLALRGVHVVMAVRNADAGQNVKESILKEIPSAKIDVMDLDLSSMASVRKFASQYQSSNLPLNLLINNAGVMASPFMLSQDKIELQFATNHLGHFLLTDLLLETMKRTARESDIEGRIVNVSSEGHRIAYSEGIRFDKINDESGYYTWYAYGQSKLANILHAKELARRLKEEGVEITANSLHPGAIISTNLMRHHGLINTVGQMLGKYFLKNIPQGAATTCYVALNPQVKGVSGEYFLDSNIGNPSAKAKDADLAKKLWDFSCTLTNPK >KJB20644 pep chromosome:Graimondii2_0_v6:3:42742861:42746759:-1 gene:B456_003G157700 transcript:KJB20644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFGWKGPSGFSASSTAEEVTQGIDGSALTAIVTGASSGIGVETTRVLALRGVHVVMAVRNADAGQNVKESILKEIPSAKIDVMDLDLSSMASVRKFASQYQSSNLPLNLLINNAGVMASPFMLSQDKIELQFATNHLGHFLLTDLLLETMKRTARESDIEGRIVNVSSEGHRIAYSEGIRFDKINDESGYYTWYAYGQSKLANILHAKELARRLKEEGVEITANSLHPGAIISTNLMRHHGLINTVGQMLGKYFLKNIPQGAATTCYVALNPQVKGVSGEYFLDSNIGNPSAKAKDADLAKKLWDFSCTLTNPK >KJB20640 pep chromosome:Graimondii2_0_v6:3:42742861:42746635:-1 gene:B456_003G157700 transcript:KJB20640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFGWKGPSGFSASSTAEEVTQGIDGSALTAIVTGASSGIGVETTRVLALRGVHVVMAVRNADAGQNVKESILKEIPSAKIDVMDLDLSSMASVRKFASQYQSSNLPLNLLINNAGVMASPFMLSQDKIELQFATNHLGHFLLTDLLLETMKRTARESDIEGRIVNVSSEGHRIAYSEGIRFDKINDESGYYTWYAYGQSKLANILHAKELARRLKEEGVEITANSLHPGAIISTNLMRHHGLINTVGQMLGKYFLKNIPQGAATTCYVALNPQVKGVSGEYFLDSNIGNPSAKAKDADLAKKLWDFSCTLTNPK >KJB20641 pep chromosome:Graimondii2_0_v6:3:42743562:42746559:-1 gene:B456_003G157700 transcript:KJB20641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFGWKGPSGFSASSTAEEVTQGIDGSALTAIVTGASSGIGVETTRVLALRGVHVVMAVRNADAGQNVKESILKEIPSAKIDVMDLDLSSMASVRKFASQYQSSNLPLNLLINNAGVMASPFMLSQDKIELQFATNHLGHFLLTDLLLETMKRTARESDIEGRIVNVSSEGHRIAYSEGIRFDKINDESGYYTWYAYGQSKLANILHAKELARRLKEEGVEITANSLHPGAIISTNLMRHHGLINTVGQMLGKYFLKNIPQGAATTCYVALNPQVKGVSGEYFLDSNIGNPSAKAKDADLAKKLWDFSCTLTNPK >KJB20643 pep chromosome:Graimondii2_0_v6:3:42742861:42746765:-1 gene:B456_003G157700 transcript:KJB20643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFGWKGPSGFSASSTAEEVTQGIDGSALTAIVTGASSGIGVETTRVLALRGVHVVMAVRNADAGQNVKESILKEIPSAKIDVMDLDLSSMASVRKFASQYQSSNLPLNLLINNAGVMASPFMLSQDKIELQFATNHLGHFLLTDLLLETMKRTARESDIEGRIVNVSSEGHRIAYSEGIRFDKINDESGYYTWYAYGQSKLANILHAKELARRLKKGWRLLQIHFILEQLFRPILCATMV >KJB20053 pep chromosome:Graimondii2_0_v6:3:38416359:38419487:-1 gene:B456_003G130500 transcript:KJB20053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDPNSIEISDYLNCFKVEDHTFHNGFEFNVPSPDLNFMNMKVPIIPPDSDPGINVPSITASSDGSSFSASSGWSPLGESYSPPSDNDSTDPVLKYISQMLMEENMEDKPYMFNDYLALEDTEKSLYDALVSNIIQPVKVESPDSNLFGTNGHSDASISSRSGTSDHIDPRGIGEGGWPDPSLLQAPYSLQPDLQQSSSQFSVDSVNSLSNIGNGLMESSVSELLVKNIFSDKESVLQFQRGFEEASKFIPSSEQLVIDLESSTFAVGKKVDVPKVVVKVEKDEREISSNGLTGRKNHERDDWELEDERSNKQSATYTEESDLSEVFDKVLLCTEGKTMCGIDQTVRHGETDSSQHKEQLDGSIVGRNRSKRRGKKKEVVDLRTLLILCAQAVSADDRRTASELLKQIKEHSSPLGDANQRLAYIFADGLEARLDGSGALIHVFYASLASKMTTAADILKAYKAYLCSCPFTKLAILFANKSIYHMAEKASVLHIVDFGILYGFQWPILIQHLSTRPGGPPKLRITGIEIPQRGFRPAERIEETGRRLAKYCERFNVPFEYNPIAVEHWETIQIEDIKIDSNEMLAVNSLFRFHNLLDETADVDCPRNAMLKLIRKMKPDIFVHSIVNGAYNAPFFVTRFKEVLFHISAVFDVFENTLPREEPARLMFEREFYGREAMNVIACEGSARVQRPETYKQWQIRTLREGFKPLPLDQELMKIIRDKLKAWYHKDFVIDEDNHWMLQGWKGRILYGSSCWVPA >KJB20809 pep chromosome:Graimondii2_0_v6:3:43613673:43616701:-1 gene:B456_003G166200 transcript:KJB20809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTSCLHFCFISCLVLFIIVGSWYRSSISSLPGYSLQTQKPIDHSAKTPYHPLDPLTVKEINRVRTILSSYEPFSFTFPTIHTILLDEPDKVQVLKWRKGDPFPPRKAQVLALLNGQSHVLNVDLDSRRVTGHAINPSSGYPVLSMDDISAASKAPFSNAEFNKSIAARWVSSSELICLPPSAGWFGPNEEGKRVVKVLCFSNQGTPNFYMRPIEGLVMTVDLDTLEVLKFSDTGRDIPIPKSADTDYRYTAQKKEQKMEPLNPISIEQPKGRSFRVEDGHIVKWANWVFHLKADHRAGLVISRVMVRDSENGELRDVMYRGFASEFFVPYMDLDESWYFKSYMDAGEYGLGMSALPLVPLNDCPRHSYYMDGIFATPDGNPFVQPNMICLFERYAGDISWRHSDGLLTDFQIREARPKVTLVARMAASVGNYDYIFDWEFQTDGLINVKVGLSGMLMVKGSPYHQAPNQDAMSGPLISENLIGVVHDHFVTFHLDMDIDGANNSKSYLKAKRKIAKTEKDAQIKLILYDPSELHMINPSKRSRLGNPTGYKIVPGGTAASLLDHDDPPQLRSAFTNNQIWVTPYNKSEQWAGGLLTYQSRGDDTLAVWSERDRSIENKDIVLWYTLGFHHIPCQEDFPVMPTVTSGFELKPVNFFESNPILRAAPAFEKDLPVCRSSASS >KJB20808 pep chromosome:Graimondii2_0_v6:3:43613542:43616701:-1 gene:B456_003G166200 transcript:KJB20808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTSCLHFCFISCLVLFIIVGSWYRSSISSLPGYSLQTQKPIDHSAKTPYHPLDPLTVKEINRVRTILSSYEPFSFTFPTIHTILLDEPDKVQVLKWRKGDPFPPRKAQVLALLNGQSHVLNVDLDSRRVTGHAINPSSGYPVLSMDDISAASKAPFSNAEFNKSIAARWVSSSELICLPPSAGWFGPNEEGKRVVKVLCFSNQGTPNFYMRPIEGLVMTVDLDTLEVLKFSDTGRDIPIPKSADTDYRYTAQKKEQKMEPLNPISIEQPKGRSFRVEDGHIVKWANWVFHLKADHRAGLVISRVMVRDSENGELRDVMYRGFASEFFVPYMDLDESWYFKSYMDAGEYGLGMSALPLVPLNDCPRHSYYMDGIFATPDGNPFVQPNMICLFERYAGDISWRHSDGLLTDFQIREARPKVTLVARMAASVGNYDYIFDWEFQTDGLINVGLSGMLMVKGSPYHQAPNQDAMSGPLISENLIGVVHDHFVTFHLDMDIDGANNSFVNVNLVKERSLPGESLGRAT >KJB20807 pep chromosome:Graimondii2_0_v6:3:43614346:43616701:-1 gene:B456_003G166200 transcript:KJB20807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTSCLHFCFISCLVLFIIVGSWYRSSISSLPGYSLQTQKPIDHSAKTPYHPLDPLTVKEINRVRTILSSYEPFSFTFPTIHTILLDEPDKVQVLKWRKGDPFPPRKAQVLALLNGQSHVLNVDLDSRRVTGHAINPSSGYPVLSMDDISAASKAPFSNAEFNKSIAARWVSSSELICLPPSAGWFGPNEEGKRVVKVLCFSNQGTPNFYMRPIEGLVMTVDLDTLEVLKFSDTGRDIPIPKSADTDYRYTAQKKEQKMEPLNPISIEQPKGRSFRVEDGHIVKWANWVFHLKADHRAGLVISRVMVRDSENGELRDVMYRGFASEFFVPYMDLDESWYFKSYMDAGEYGLGMSALPLVPLNDCPRHSYYMDGIFATPDGNPFVQPNMICLFERYAGDISWRHSDGLLTDFQIREARPKVTLVARMAASVGNYDYIFDWEFQTDGLINVKVGLSGMLMVKGSPYHQAPNQDAMSGPLISENLIGVVHDHFVTFHLDMDIDGANNSFVNVNLVKERSLPGESLGRAT >KJB20932 pep chromosome:Graimondii2_0_v6:3:44435483:44437032:-1 gene:B456_003G1731001 transcript:KJB20932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HESQFIQDIVKEVQNKLHLISLYVPPYLVGIDSLVTQINQWLEQDGANKVGIATICGIGGIGKTTIAKVVYNQNIPRFEGYSFLADVRETSQDCNGLVRLQSQLLSDILKGKSHKIYNIDNGINKIKEVVCCRRVLLVLDDVDELEKIRKLMGTQIPFHLGSKIIITSRNRCLLNAHFISQMFDSEASTSCGGLSKLFEVKELASSESLQLFNWYAFGHNSVPESSMAYAKSLVKHCGGLPLALQVLGSSLSSKSVSSWKSALEKLEEIPDSKIQKILRISYDSLEDDHDKNLFLDIVCLFIGKDRDYTTTILDGCDFYTTIGIENLVGMSLLIINEKNKLMMHQMIRDMGREIIRQESCDIGKRSRLWHKNAFDVVREKIGSRTVKCLTLDLKGLLEDKAKRTNTTLHFPKHSKSQFLMANDVDMETQAFAKMKRLKLLQLDYVRLKGDFKDLYPKRLRWLRWHGFCMQSFPVDFDINEL >KJB20933 pep chromosome:Graimondii2_0_v6:3:44435483:44437032:-1 gene:B456_003G1731001 transcript:KJB20933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HESQFIQDIVKEVQNKLHLISLYVPPYLVGIDSLVTQINQWLEQDGANKVGIATICGIGGIGKTTIAKVVYNQNIPRFEGYSFLADVRETSQDCNGLVRLQSQLLSDILKGKSHKIYNIDNGINKIKEVVCCRRVLLVLDDVDELEKIRKLMGTQIPFHLGSKIIITSRNRCLLNAHFISQMFDSEASTSCGGLSKLFEVKELASSESLQLFNWYAFGHNSVPESSMAYAKSLVKHCGGLPLALQVLGSSLSSKSVSSWKSALEKLEEIPDSKIQKILRISYDSLEDDHDKNLFLDIVCLFIGKDRDYTTTILDGCDFYTTIGIENLVGMSLLIINEKNKLMMHQMIRDMGREIIRQESCDIGKRSRLWHKNAFDVVREKIGSRTVKCLTLDLKGLLEDKAKRTNTTLHFPKHSKSQFLMANDVDMETQAFAKMKRLKLLQLDYVRLKGDFKDLYPKRLRWLRWHGFCMQSFPVDFDINEL >KJB18522 pep chromosome:Graimondii2_0_v6:3:37747510:37748565:1 gene:B456_003G126900 transcript:KJB18522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMNDDHMHGMVMHNTSDGGMNMTKHHKMMMHMTFFWGSDAEILFSGWPGTRTSMYVLALIVVFLLAFMVEGISHSRLVKPGSTHHVTAGLVQTLLHALRVGLAYLVMLAIMSFNGGVFLAAVAGHSLGFLLFGSHVFNKPSATVPNAKTSDLPPMSC >KJB17958 pep chromosome:Graimondii2_0_v6:3:2398949:2401543:1 gene:B456_003G026400 transcript:KJB17958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDFKSIPIIDVGPLVAKGDDLKMGQDPSVREVIKQLDQACRETGFFYVKGHGVVPQTLMNDVKDVTHKFFHLPLSEKLKIKMTPNTGYRGYQKIKENITKGVPDLQEAIDYYREVKRGMYGSLGEILEGCNQWPKEPANFKASMEEYIRLCTDLSRKIMRGIALALGGSADEFEGEKGGDPFWVVRLIGYPGKSAAIPQNNDIGCGIHTDYGLLTLINQDDRITALEVKNLSGEWVPATPIPGTFVCNIGDMLKIWSNGTYEATLHRVINNSPKYRVCVAYFYEPNFDTLVEPLEMCVEKSGGARLNQKAVYGEHLVNKVKNNFVP >KJB18259 pep chromosome:Graimondii2_0_v6:3:5537161:5537539:1 gene:B456_003G043200 transcript:KJB18259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMVITSTPRQGVTTRCGILAFLIMEAGKYVLLPKHFLMNSLFKDFGFLPSSCKYYFLIVALGTEVSTFKCKMVAGGV >KJB17603 pep chromosome:Graimondii2_0_v6:3:420212:422202:1 gene:B456_003G007200 transcript:KJB17603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMSIRELLRFRSTIFIKPNRFHLPFYSTESKALTSILDSCRDIKELFQIQARLITSGLFQNPFWVDRVLIHSSKFSNIDYTVSILRCIENPGTFRVNSVIRAYCFSSLHHQAVVFYFKMRDNGWFVPNSYTFVPLLSSCSKLGCSKSARKCHGQAIKFGVVNRLPIQNSLIHMYGCCGVFEFAINVLIEMSQRDIASWNSFINVCVKVWNLGLAHQLFDKMPKKNVVSWNIMIKGYLKAGNPGCALKLFRQMVKTGLMGNEKTVASVLSACSKSARLKEGRSVHGFLIKNGMKSNIIIDTALVNLYCNCQKVGLARRMFDKIRNRNRVCWNAMILGHCIHGNPIDGLKLFTDMVEETIISPDEITFVGVLCACARAGSVPDGRNYFHQMINKFGIKPNFAHHWCMANLYVGVQLFQEAEDILRQMPDSAEDISSDSVLWANLLSSCRFREGVTVGERIATSLIAKEPKNFTYYQLLLNVYAVAGQWEDVAKMKQIMKEKGIERVAGCNLLDLKNIVHNLKVSEQWREGMDQRDVKLAES >KJB19702 pep chromosome:Graimondii2_0_v6:3:35244270:35244582:-1 gene:B456_003G115900 transcript:KJB19702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFKPKAAEFEKESTWFQFTKLMEENLRQQAELLRRNNEKRETINRLRLKLEQIKSENKILQLQKCLYCSKVGVKRNHSQNSRSIGLFLGKFFNGGCS >KJB20834 pep chromosome:Graimondii2_0_v6:3:43764797:43770433:1 gene:B456_003G167500 transcript:KJB20834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKGLLDATLHALVSEAISMATNQFSRIRNFKKDLKRLGESLEMMKAFLQDAEEMQTKKDAVKLWLQRLKDVADEAADILDEYDYEILRIKLKIRNQIRRKVLDFLSSNNSILFSLKMAKKIKDILETLDGLNKDASSFGLQQRATEHVSPVPRRSNVETFSVMDESTIVGRENDVSKVVDLLVNPKDEQVVSVVPIVGMAGLGKTALAKLVYDDLRVKRHFGVKSWVCVSDHFSVKKILGAMFEQLTGDRHTSMPENMNAMIMKLKERIEKDQSKYLLVLDDVWDVEKWEELQLCLKGVSTNGGNGIIVTTRIQDVASKVQALPNRRHQAGRLEDEECWSIIKQRALMDSPISHELELIGQEIAKQCRGVPLVAKLMGGIMRKIEMSPRAWSKNQRSDAWGSMESVLKLSFDHLSSPYVKKCFAYCAMFPKDYCFGKEELIQLWTAEGFLGSSMEMVDIGDQYLNELLSNSLFQDVEKDKFGNILTFKMHDLVHDLSLSVSKFDTLVFRENSTLTSDECSHIRHLNVGCDGKLLPEILKEVAPHLHSLFSEIDVFKQLSISVTRLRVLKFVGANYILELPDSLGELKHLRYLDISGTFIKALPKSTAKLYHLQTLRLLDLPRLTLPDGLENLISLTHLYFDSREVQPDNIGNLTCLQTLPLFVVGSERGRSIKELGSLNELRGKLKICHLGGVRDKQEANGANLHRKEKLCKLIFDFEGRHSGGGYNCEEVMEGLQPHSNLQSLIVRNYEGESFPSWMLKPVGDSNTGLFLLNNLMELNFYDCINCESLPPLGQLHNLQFLELRNMKKVKRMGNEFYGNEGIDGMNKVIKVFPALKKFTLNGMANLKKWTAMAATKTIMFPCLVELNIWDCPMLKSVPLTGQCSSLEKLSIFDCKNLSKIGDGLSTSTCLKELALKNCPNLSWIPNLEGFSSLQILSIDSCNELEALPITGRCSSLEKLSILDCEKLRKIGDGLSTSTCLKELELLWCPNLTSIPKLEGFSSIQNLSIDSCIKLEVLEISGGCSAFEKLSISKCKTLSKTGDRLFTYTCLKELNLEDCPNLSSIPNLKGFSCLQNLSIHTCNGLEVLPIIGACSSLEKLNIFGCEKLSKIGDGLSTSTCLKELGLKNCPNLSLIPNLEGFSCLQNISIDSCNRLEVLPIIGRCSSLEKLSIFDCKKLSKIGDGLSNSTCLRELGLKNCPNLSWIPNLEGFSSLQILSIDSCNELEVLAITGRCSSIKKLSIFNCEKLRKIGDKLSTFICLKELGLKNCPNLSWIPNLEGFSSLQDLSIDSCNELEVLLIQEFQGIEAFPEWLGNLSSLWRLSLIGFGKLKSFPHQLQHLTALEDLTMSGFHEIEALPEWLGNLSSLKRLYLSGFRKLKSFPHQLQLLSTLKYLTIEEFQGIEAFPEWLGKLSSLRYLYLSGFGKLKSLPHQLHLPSALEDLTMSMFHEIEALPELLGNLSSLRRLHINSCNKLMYLPSVDVMRSLSKLKTIDILMCPQLETRCERESGPEWSKISHIPQIIINGRRI >KJB20747 pep chromosome:Graimondii2_0_v6:3:43210862:43215208:1 gene:B456_003G162800 transcript:KJB20747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTKRFALSSSAADANDSAFRNKRIMAGYPFDPQRAEPSQQQPNATPALDPQRAELSRRHVKALNIQFASWVQSQLKNHPDELWQDGVSDYLSHASHIMDKFSDVVNWLKANAANGDSLSAAESHKNESKNVPETKNTENKFFQGKIGFTPTSTTTSLIPGSTTLSFSPGTTAGMFSLNTTTTRSTPADMTKKFPPLGTTTSFTSASSTTSFTPVGLTTSSMAAGSNSSFSFGLSTANFTSSSTTNSFTSSNMTSGFASPWSTGAFSNSQSPFLFGTQSSVSVDNNAADDADDENELPRPSSPSVKKSEEKGIVVVHEVKCKLYVKSTDPEDKDSWKDKGTGQLSIKCKEGISKGSKDSKPTIVVRNEVGKLLLNALLYPGIKTSAQKNSLVAIFHTSDEGGDNQEVVARTFLIRTKTEDDRNKLVTAIQEYAPAS >KJB20751 pep chromosome:Graimondii2_0_v6:3:43210980:43214360:1 gene:B456_003G162800 transcript:KJB20751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTKRFALSSSAADANDSAFRNKRIMAGYPFDPQRAEPSQQQPNATPALDPQRAELSRRHVKALNIQFASWVQSQLKNHPDELWQDGVSDYLSHASHIMDKFSDVVNWLKANAANGDSLSAAESHKNESKNVPETKNTENKFFQGKIGFTPTSTTTSLIPGSTTLSFSPGTTTMSFSPGTTAGMFSLNTTTTRSTPADMTKKFPPLGTTTSFTSASSTTSFTPVGLTTSSMAAGSNSSFSFGLSTANFTSSSTTNSFTSSNMTSGFASPWSTGAFSNSQSPFLFGTQSSVSVDNNAADDADDENELPRPSSPSVKKSEEKGIVVVHEVKCKLYVKVYLLNKLVLSSDFSVYS >KJB20753 pep chromosome:Graimondii2_0_v6:3:43210878:43215586:1 gene:B456_003G162800 transcript:KJB20753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTKRFALSSSAADANDSAFRNKRIMAGYPFDPQRAEPSQQQPNATPALDPQRAELSRRHVKALNIQFASWVQSQLKNHPDELWQDGVSDYLSHASHIMDKFSDVVNWLKANAANGDSLSAAESHKNESKNVPETKNTENKFFQGKIGFTPTSTTTSLIPGSTTLSFSPGTTTMSFSPGTTAGMFSLNTTTTRSTPADMTKKFPPLGTTTSFTSASSTTSFTPVGLTTSSMAAGSNSSFSFGLSTANFTSSSTTNSFTSSNMTSGFASPWSTGAFSNSQSPFLFGTQSSVSVDNNAADDADDENELPRPSSPSVKKSEEKGIVVVHEVKCKLYVKSTDPEDKDSWKDKGTGQLSIKCKEGISKGSKDSKPTIVVRNEVGKLLLNALLYPGIKTSAQKNSLVAIFHTSDEGGDNQEVVARTFLIRTKTEDDRNKLVTAIQEYAPAS >KJB20752 pep chromosome:Graimondii2_0_v6:3:43210878:43215208:1 gene:B456_003G162800 transcript:KJB20752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTKRFALSSSAADANDSAFRNKRIMAGYPFDPQRAEPSQQQPNATPALDPQRAELSRRHVKALNIQFASWVQSQLKNHPDELWQDGVSDYLSHASHIMDKFSDVVNWLKANAANGDSLSAAESHKNESKNVPETKNTENKFFQGKIGFTPTSTTTSLIPGSTTLSFSPGTTTMSFSPGTTAGMFSLNTTTTRSTPADMTKKFPPLGTTTSFTSASSTTSFTPVGLTTSSMAAGSNSSFSFGLSTANFTSSSTTNSFTSSNMTSGFASPWSTGAFSNSQSPFLFGTQSSVSVDNNAADDADDENELPRPSSPSVKKSEEKGIVVVHEVKCKLYVKSTDPEDKDSWKDKGTGQLSIKCKEGISKGSKDSKPTIVVRNEVGKLLLNALLYPGIKTSAQKNSLVAIFHTSDEGGDNQEVVARTFLIRTKTEDDRNKLVTAIQEYAPAS >KJB20746 pep chromosome:Graimondii2_0_v6:3:43210813:43215611:1 gene:B456_003G162800 transcript:KJB20746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTKRFALSSSAADANDSAFRNKRIMAGYPFDPQRAEPSQQQPNATPALDPQRAELSRRHVKALNIQFASWVQSQLKNHPDELWQDGVSDYLSHASHIMDKFSDVVNWLKANAANGDSLSAAESHKNESKNVPETKNTENKFFQGKIGFTPTSTTTSLIPGSTTLSFSPGTTTMSFSPGTTAGMFSLNTTTTRSTPADMTKKFPPLGTTTSFTSASSTTSFTPVGLTTSSMAAGFASPWSTGAFSNSQSPFLFGTQSSVSVDNNAADDADDENELPRPSSPSVKKSEEKGIVVVHEVKCKLYVKSTDPEDKDSWKDKGTGQLSIKCKEGISKGSKDSKPTIVVRNEVGKLLLNALLYPGIKTSAQKNSLVAIFHTSDEGGDNQEVVARTFLIRTKTEDDRNKLVTAIQEYAPAS >KJB20748 pep chromosome:Graimondii2_0_v6:3:43210878:43215191:1 gene:B456_003G162800 transcript:KJB20748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTKRFALSSSAADANDSAFRNKRIMAGYPFDPQRAEPSQQQPNATPALDPQRAELSRRHVKALNIQFASWVQSQLKNHPDELWQDGVSDYLSHASHIMDKFSDVVNWLKANAANGDSLSAAESHKNESKNVPETKNTENKFFQGKIGFTPTSTTTSLIPGSTTLSFSPGTTTMSFSPGTTAGMFSLNTTTTRSTPADMTKKFPPLGTTTSFTSASSTTSFTPVGLTTSSMAAGSNSSFSFGLSTANFTSSSTTNSFTSSNMTSGFASPWSTGAFSNSQSPFLFGTQSSVSVDNNAADDADDENELPRPSSPSVKKSEEKGIVVVHEVKCKLYVKSTDPEDKDSWKDKGTGQLSIKCKEGISKGSKDSKPTIVVRNEVGKLLLNALLYPGIKTSAQKNSLVAIFHTSVIWFNLPCRI >KJB20750 pep chromosome:Graimondii2_0_v6:3:43210878:43215197:1 gene:B456_003G162800 transcript:KJB20750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTKRFALSSSAADANDSAFRNKRIMAGYPFDPQRAEPSQQQPNATPALDPQRAELSRRHVKALNIQFASWVQSQLKNHPDELWQDGVSDYLSHASHIMDKFSDVVNWLKANAANGDSLSAAESHKNESKNVPETKNTENKFFQGKIGFTPTSTTTSLIPGSTTLSFSPGTTTMSFSPGTTAGMFSLNTTTTRSTPADMTKKFPPLGTTTSFTSASSTTSFTPVGLTTSSMAAGSNSSFSFGLSTANFTSSSTTNSFTSSNMTSGFASPWSTGAFSNSQSPFLFGTQSSVSVDNNAADDADDENELPRPSSPSVKKSEEKGIVVVHEVKCKLYVKSTDPEDKDSWKDKGTGQLSIKCKEGISKGSKDSKPTIVVRNEDEGGDNQEVVARTFLIRTKTEDDRNKLVTAIQEYAPAS >KJB20749 pep chromosome:Graimondii2_0_v6:3:43210878:43215191:1 gene:B456_003G162800 transcript:KJB20749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTKRFALSSSAADANDSAFRNKRIMAGYPFDPQRAEPSQQQPNATPALDPQRAELSRRHVKALNIQFASWVQSQLKNHPDELWQDGVSDYLSHASHIMDKFSDVVNWLKANAANGDSLSAAESHKNESKNVPETKNTENKFFQGKIGFTPTSTTTSLIPGSTTLSFSPGTTTMSFSPGTTAGMFSLNTTTTRSTPADMTKKFPPLGTTTSFTSASSTTSFTPVGLTTSSMAAGSNSSFSFGLSTANFTSSSTTNSFTSSNMTSGFASPWSTGAFSNSQSPFLFGTQSSVSVDNNAADDADDENELPRPSSPSVKKSEEKGIVVVHEVKCKLYVKSTDPEDKDSWKDKGTGQLSIKCKEGISKGSKDSKPTIVVRNEVCSFIHIYQLQKDKFNSIRL >KJB18614 pep chromosome:Graimondii2_0_v6:3:11942187:11949165:1 gene:B456_003G063400 transcript:KJB18614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G16290) UniProtKB/Swiss-Prot;Acc:A8MPR5] MAYHFSFGSSLYPKLPSLKPKLQNPFFFSSYPSISCQIYSSKSNSSDDDDKAKKTHFNFVALPITLTIISTSFPQQSSLAAVKVSDRKKTQKKTQEALTPEQIKQWSKNLPIVTNRIPYTEILSLKHEGKLKHLIKPPSASLKQRAEPVLVVLEDSRVLRTVLPSIDSDRKFWDSWDELKIESFCVNAYTPPIKRPEVPSPYLGFLWRVPAFMLSWFKPKKESKRALEIRRQREEFKRQKTEELARMREEREMIEKMMKAQKKEDERRKKREIRKRKYEESLRDARRNYQSMANMWASLAQDSNVATALGLVFFVIFYRTVVLSYRKQKKDYEDRLKIEKAEAEERKKMRELEREMEGIEGEDDEAEQGGGEQNPYLKMAMQFMKSGARVRRAQNKRLPQYLERGVDVKFSDVAGLGKIRLELEEIVKFFTHGEMYRRRGVRIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEAKENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGRGNVITIASTNRPDILDPALVRPGRFDRKIFIPKPGLIGRMEILQVHARKKPMAEDVDYMAVASMTDGMVGAELANIVEVAAINMIRDGRTEITTDDLLQAAQIEERGMLDRKERSPETWKQVAINEAAMAVVAVNFPDLRNIEFVTIAPRAGRELGYVRMKMDHIKFTEGMLSRQSLLDHITVQLAPRAADELWFGEGQVRSLCLSFMYPNINWHAWKRWYGVKIVIIIWN >KJB18613 pep chromosome:Graimondii2_0_v6:3:11942187:11949355:1 gene:B456_003G063400 transcript:KJB18613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G16290) UniProtKB/Swiss-Prot;Acc:A8MPR5] MAYHFSFGSSLYPKLPSLKPKLQNPFFFSSYPSISCQIYSSKSNSSDDDDKAKKTHFNFVALPITLTIISTSFPQQSSLAAVKVSDRKKTQKKTQEALTPEQIKQWSKNLPIVTNRIPYTEILSLKHEGKLKHLIKPPSASLKQRAEPVLVVLEDSRVLRTVLPSIDSDRKFWDSWDELKIESFCVNAYTPPIKRPEVPSPYLGFLWRVPAFMLSWFKPKKESKRALEIRRQREEFKRQKTEELARMREEREMIEKMMKAQKKEDERRKKREIRKRKYEESLRDARRNYQSMANMWASLAQDSNVATALGLVFFVIFYRTVVLSYRKQKKDYEDRLKIEKAEAEERKKMRELEREMEGIEGEDDEAEQGGGEQNPYLKMAMQFMKSGARVRRAQNKRLPQYLERGVDVKFSDVAGLGKIRLELEEIVKFFTHGEMYRRRGVRIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEAKENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGRGNVITIASTNRPDILDPALVRPGRFDRKIFIPKPGLIGRMEILQVHARKKPMAEDVDYMAVASMTDGMVGAELANIVEVAAINMIRDGRTEITTDDLLQAAQIEERGMLDRKERSPETWKQVAINEAAMAVVAVNFPDLRNIEFVTIAPRAGRELGYVRMKMDHIKFTEGMLSRQSLLDHITVQLAPRAADELWFGEGQLSTIWSETADNARSAARMFVLGGLSEKHHGLSNFWVADRINEIDSEALQIVNICYERAKEILQQNRKLMDAVVDELVEKKSLTKQEFFGLVELHGSLQPMPPSIVDVRVAKRTQFQEMMMNPNVKVTGSSSS >KJB18615 pep chromosome:Graimondii2_0_v6:3:11942102:11949355:1 gene:B456_003G063400 transcript:KJB18615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G16290) UniProtKB/Swiss-Prot;Acc:A8MPR5] MAYHFSFGSSLYPKLPSLKPKLQNPFFFSSYPSISCQIYSSKSNSSDDDDKAKKTHFNFVALPITLTIISTSFPQQSSLAAVKVSDRKKTQKKTQEALTPEQIKQWSKNLPIVTNRIPYTEILSLKHEGKLKHLIKPPSASLKQRAEPVLVVLEDSRVLRTVLPSIDSDRKFWDSWDELKIESFCVNAYTPPIKRPEVPSPYLGFLWRVPAFMLSWFKPKKESKRALEIRRQREEFKRQKTEELARMREEREMIEKMMKAQKKEDERRKKREIRKRKYEESLRDARRNYQSMANMWASLAQDSNVATALGLVFFVIFYRTVVLSYRKQKKDYEDRLKIEKAEAEERKKMRELEREMEGIEGEDDEAEQGGGEQNPYLKMAMQFMKSGARVRRAQNKRLPQYLERGVDVKFSDVAGLGKIRLELEEIVKFFTHGEMYRRRGVRIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEAKENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGRGNVITIASTNRPDILDPALVRPGRFDRKIFIPKPGLIGRMEILQVHARKKPMAEDVDYMAVASMTDGMVGAELANIVEVAAINMIRDGRTEITTDDLLQAAQIEERGMLDRKERSPETWKQVAINEAAMAVVAVNFPDLRNIEFVTIAPRAGRELGYVRMKMDHIKFTEGMLSRQSLLDHITVQLAPRAADELWFGEGQLSTIWSETADNARSAARMFVLGGLSEKHHGLSNFWVADRINEIDSEALQIVNICYERAKEILQQNRKLMDAVVDELVEKKSLTKQEFFGLVELHGSLQPMPPSIVDVRVAKRTQFQEMMMNPNVKVTGSSSS >KJB19115 pep chromosome:Graimondii2_0_v6:3:21701539:21701919:-1 gene:B456_003G0856001 transcript:KJB19115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEAPLCYVGVARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTT >KJB19113 pep chromosome:Graimondii2_0_v6:3:21701539:21701786:-1 gene:B456_003G0856001 transcript:KJB19113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEAPLCYVGVARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTT >KJB19114 pep chromosome:Graimondii2_0_v6:3:21701539:21702047:-1 gene:B456_003G0856001 transcript:KJB19114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEAPLCYVGVARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTT >KJB19112 pep chromosome:Graimondii2_0_v6:3:21701539:21701786:-1 gene:B456_003G0856001 transcript:KJB19112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEAPLCYVGVARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTT >KJB17700 pep chromosome:Graimondii2_0_v6:3:720471:723358:-1 gene:B456_003G011500 transcript:KJB17700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKKIENATNRQVTYSKRRNGLFKKAQELTVLCDAKVSLIMFSSTGKFHEFLSPNISTKGVFDLYQKATGIDLWNSHYERMDENYRRLKEINKKLRREIRQRMGGDLNELNIKELQALEAKMDSSLLAIRERKVRNLEERHANLVMDLEAKLDDQDGIVETGGYYESTMGLLPTGASNLYALRLYQNQQPPLVLHHGTNDLRLA >KJB17702 pep chromosome:Graimondii2_0_v6:3:720120:723637:-1 gene:B456_003G011500 transcript:KJB17702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKKIENATNRQVTYSKRRNGLFKKAQELTVLCDAKVSLIMFSSTGKFHEFLSPNISTKGVFDLYQKATGIDLWNSHYERMDENYRRLKEINKKLRREIRQRMGGDLNELNIKELQALEAKMDSSLLAIRERKYHVIKTQTDKHKKKVRNLEERHANLVMDLAKLDDQDGIVETGGYYESTMGLLPTGASNLYALRLYQNQQPPLVLHHGTNDLRLA >KJB17703 pep chromosome:Graimondii2_0_v6:3:720471:723358:-1 gene:B456_003G011500 transcript:KJB17703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKKIENATNRQVTYSKRRNGLFKKAQELTVLCDAKVSLIMFSSTGKFHEFLSPNISTKGVFDLYQKATGIDLWNSHYERMDENYRRLKEINKKLRREIRQRMGGDLNELNIKELQALEAKMDSSLLAIRERKYHVIKTQTDKHKKKVRNLEERHANLVMDLEAKLDDQDGIVETGGYYESTMGLLPTGASNLYALRLYQNQQPPLVLHHGTNDLRLA >KJB17705 pep chromosome:Graimondii2_0_v6:3:721580:723358:-1 gene:B456_003G011500 transcript:KJB17705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKKIENATNRQVTYSKRRNGLFKKAQELTVLCDAKVSLIMFSSTGKFHEFLSPNISTKGVFDLYQKATGIDLWNSHYERMDENYRRLKEINKKLRREIRQRMGGDLNELNIKELQALEAKMDSSLLAIRERKPPLKRLLTMFLGTMSSKLKQTNTRRR >KJB17704 pep chromosome:Graimondii2_0_v6:3:721580:723358:-1 gene:B456_003G011500 transcript:KJB17704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKKIENATNRQVTYSKRRNGLFKKAQELTVLCDAKVSLIMFSSTGKFHEFLSPNISTKGVFDLYQKATGIDLWNSHYERMDENYRRLKEINKKLRREIRQRMGGDLNELNIKELQALEAKMDSSLLAIRERKPPLKRLLTMFLGTMSSKLKQTNTRRR >KJB17701 pep chromosome:Graimondii2_0_v6:3:720120:723602:-1 gene:B456_003G011500 transcript:KJB17701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKKIENATNRQVTYSKRRNGLFKKAQELTVLCDAKVSLIMFSSTGKFHEFLSPNISTKGVFDLYQKATGIDLWNSHYERMDENYRRLKEINKKLRREIRQRMGGDLNELNIKELQALEAKMDSSLLAIRERKVRNLEERHANLVMDLAKLDDQDGIVETGGYYESTMGLLPTGASNLYALRLYQNQQPPLVLHHGTNDLRLA >KJB20375 pep chromosome:Graimondii2_0_v6:3:41206539:41209033:-1 gene:B456_003G145500 transcript:KJB20375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVMNMIKPKPNPQQLLRDWQRRLRQECRNIERQIRDIQREEKNVQKAIKDAAKRNDMVSAKSLAMEIVRSRRTVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPEVAVTMQEFSKEMTKAGVIEEFVNDALDNALDSEDIEEETEEEVDKVLTAIAGETAAQLPEAVRKEKMKQPAQPAAQEEEAIAEGVDDEEELEEIRARLAKVRS >KJB20376 pep chromosome:Graimondii2_0_v6:3:41206574:41208154:-1 gene:B456_003G145500 transcript:KJB20376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVRSRRTVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPEVAVTMQEFSKEMTKAGVIEEFVNDALDNALDSEDIEEETEEEVDKVLTAIAGETAAQLPEAVRKEKMKQPAQPAAQEEEAIAEGVDDEEELEEIRARLAKVRS >KJB20091 pep chromosome:Graimondii2_0_v6:3:38749273:38751362:1 gene:B456_003G132300 transcript:KJB20091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSKSPSSNMGSSPFFHEFKKQASFFLKEKIKTARLALTDVTPAQLLTEEATNGNPWAPNTQTLGSISRAAFELDDYWRIVEILHNKLGKFERKTWRTSYNSLIVLEHLLTHGPESTAEEFQADKDAVLKMQSFQYVDEKGFNWGLAVRKKSERVMKLLEKGPVLKEERNRARKLTRGIQGFGSFSQRSSSSSSSTQQAILPESSNATYGRSNSDFNDHENQENQLPPTNKVDKSELSKSFSKGHNLPEKPEVETGFKENMVPLKEEVHNWTATAECNPLLGSENNEPKPPITIEGDHPFNDNGNQASSPMLRC >KJB19982 pep chromosome:Graimondii2_0_v6:3:37968065:37977232:-1 gene:B456_003G128400 transcript:KJB19982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIPSTVEEQLFLKAIKEESPWENLPKRLQANLNSKEEWHRKIIEHCIKKRLQWNTSFARKVCKEGEYYEDMMRYLRRNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFQIEPWWGICLVNFTLEEFKKLSEEEMATIDKICKEEANAFILFDPDIVKGLYRRGLVYFDVPVYPDDRFKVSKLEGFISNKEQSYEDPIEELLYAVFVVSSENATVAELASTLQADLSQLQAAASFVCRLGWATKVIDPSSVLQENTSVPPHGVSLADEEETSHRSSTSANVSTDGEAAQQGDVWGTENHGPRSSDTRVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLLSGGVATDNRFVKMADRMGVSASGYDESTMIVDTSLMDVSDQSAANETEVNINGTDNLESSQEDSVLDDSVVPETAGDDRSVTISEDGKPSSEVSKSDQNVQNDEKMIRMEVTDMGKGTFRKKKKYRLDILRCESLAALPKATLDRLFLRDYDIIVSMIPLPHSSVLPGRTGPIHFGPPSHSSMTPWMKLVLYSTVASGPVSVVLMKGQCLRLLPAPLAGCEKALVWSWDDSTIGGLGGKFEGNLVKGSVLLHCLNSLLKYSAVIVQPFSRYDLDGSGKVVTLDIPLPLKNSDGSVAHVRDELGLCVAERSKLNDLLTNLADQIQFWTVGYIRVLRLFKERDMDHFGPDKEIYEWVPLTVEFGMPLFNPKLCKSICERIVSSELLQSDSLTEHHDSMQSIRRMLREVCAEYQATGLAAKLLYQKEQQKDHPKDTKQSKKLMNYASGKWNPLLDPSSPISGMSSERQRLKLASRQRCRTEVLSFDGSILRAYALAPAYEVATRPVEDSTSATTTKVDSDETDSNEVILPGVNLIFDGAELHPFDIAACLQARQPISLIAEAAAASSSFAVK >KJB19985 pep chromosome:Graimondii2_0_v6:3:37968065:37970598:-1 gene:B456_003G128400 transcript:KJB19985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRMGVSASGYDESTMIVDTSLMDVSDQSAANETEVNINGTDNLESSQEDSVLDDSVVPETAGDDRSVTISEDGKPSSEVSKSDQNVQNDEKMIRMEVTDMGKGTFRKKKKYRLDILRCESLAALPKATLDRLFLRDYDIIVSMIPLPHSSVLPGRTGPIHFGPPSHSSMTPWMKLVLYSTVASGPVSVVLMKGQCLRLLPAPLAGCEKALVWSWDDSTIGGLGGKFEGNLVKGSVLLHCLNSLLKYSAVIVQPFSRYDLDGSGKVVTLDIPLPLKNSDGSVAHVRDELGLCVAERSKLNDLLTNLADQIQFWTVGYIRVLRLFKERDMDHFGPDKEIYEWVPLTVEFGMPLFNPKLCKSICERIVSSELLQSDSLTEHHDSMQSIRRMLREVCAEYQATGLAAKLLYQKEQQKDHPKDTKQSKKLMNYASGKWNPLLDPSSPISGMSSERQRLKLASRQRCRTEVLSFDGSILRAYALAPAYEVATRPVEDSTSATTTKVDSDETDSNEVILPGVNLIFDGAELHPFDIAACLQARQPISLIAEAAAASSSFAVK >KJB19984 pep chromosome:Graimondii2_0_v6:3:37967304:37977208:-1 gene:B456_003G128400 transcript:KJB19984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIPSTVEEQLFLKAIKEESPWENLPKRLQANLNSKEEWHRKIIEHCIKKRLQWNTSFARKVCKEGEYYEDMMRYLRRNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFQIEPWWGICLVNFTLEEFKKLSEEEMATIDKICKEEANAFILFDPDIVKGLYRRGLVYFDVPVYPDDRFKVSKLEGFISNKEQSYEDPIEELLYAVFVVSSENATVAELASTLQADLSQLQAAASFVCRLGWATKVIDPSSVLQENTSVPPHGVSLADEEETSHRSSTSANVSTDGEAAQQGDVWGTENHGPRSSDTRVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLLSGGVATDNRFVKMADRMGVSASGYDESTMIVDTSLMDVSDQSAANETEVNINGTDNLESSQEDSVLDDSVVPETAGDDRSVTISEDGKPSSEVSKSDQNVQNDEKMIRMEVTDMGKGTFRKKKKYRLDILRCESLAALPKATLDRLFLRDYDIIVSMIPLPHSSVLPGRTGPIHFGPPSHSSMTPWMKLVLYSTVASGPVSVVLMKGQCLRLLPAPLAGCEKALVWSWDDSTIGGLGGKFEGNLVKGSVLLHCLNSLLKYSAVIVQPFSRYDLDGSGKVVTLDIPLPLKNSDGSVAHVRDELGLCVAERSKLNDLLTNLADQIQFWTVGYIRVLRLFKERDMDHFGPDKEIYEWVPLTVEFGMPLFNPKLCKSICERIVSSELLQSDSLTEHHDSMQSIRRMLREVCAEYQATGLAAKLLYQKEQQKDHPKDTKQSKKLMNYASGKWNPLLDPSSPISGMSSERQRLKLASRQRCRTEVLSFDGSILRAYALAPAYEVATRPVEDSTSATTTKVDSDETDSNEVILPGVNLIFDGAELHPFDIAACLQARQPISLIAEAAAASSSFAVK >KJB19983 pep chromosome:Graimondii2_0_v6:3:37967271:37977232:-1 gene:B456_003G128400 transcript:KJB19983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIPSTVEEQLFLKAIKEESPWENLPKRLQANLNSKEEWHRKIIEHCIKKRLQWNTSFARKVCKEGEYYEDMMRYLRRNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFQIEPWWGICLVNFTLEEFKKLSEEEMATIDKICKEEANAFILFDPDIVKGLYRRGLVYFDVPVYPDDRFKVSKLEGFISNKEQSYEDPIEELLYAVFVVSSENATVAELASTLQADLSQLQAAASFVCRLGWATKVIDPSSVLQENTSVPPHGVSLADEEETSHRSSTSANVSTDGEAAQQGDVWGTENHGPRSSDTRVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLLSGGVATDNRFVKMADRMGVSASGYDESTMIVDTSLMDVSDQSAANETEVNINGTDNLESSQEDSVLDDSVVPETAGDDRSVTISEDGKPSSEVSKSDQNVQNDEKMIRMEVTDMGKGTFRKKKKYRLDILRCESLAALPKATLDRLFLRDYDIIVSMIPLPHSSVLPGRTGPIHFGPPSHSSMTPWMKLVLYSTVASGPVSVVLMKGQCLRLLPAPLAGCEKALVWSWDDSTIGGLGGKFEGNLVKGSVLLHCLNSLLKYSAVIVQPFSRYDLDGSGKVVTLDIPLPLKNSDGSVAHVRDELGLCVAERSKLNDLLTNLADQIQFWTVGYIRVLRLFKERDMDHFGPDKEIYEWVPLTVEFGMPLFNPKLCKSICERIVSSELLQSDSLTEHHDSMQSIRRMLREVCAEYQATGLAAKLLYQKEQQKDHPKDTKQSKKLMNYASGKWNPLLDPSSPISGMSSERQRLKLASRQRCRTEVLSFDGSILRAYALAPAYEVATRPVEDSTSATTTKVDSDETDSNEVILPGVNLIFDGAELHPFDIAACLQARQPISLIAEAAAASSSFAVK >KJB19987 pep chromosome:Graimondii2_0_v6:3:37967560:37977232:-1 gene:B456_003G128400 transcript:KJB19987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIPSTVEEQLFLKAIKEESPWENLPKRLQANLNSKEEWHRKIIEHCIKKRLQWNTSFARKVCKEGEYYEDMMRYLRRNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFQIEPWWGICLVNFTLEEFKKLSEEEMATIDKICKEEANAFILFDPDIVKGLYRRGLVYFDVPVYPDDRFKVSKLEGFISNKEQSYEDPIEELLYAVFVVSSENATVAELASTLQADLSQLQAAASFVCRLGWATKVIDPSSVLQENTSVPPHGVSLADEEETSHRSSTSANVSTDGEAAQQGDVWGTENHGPRSSDTRVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLLSGGVATDNRFVKMADRMGVSASGYDESTMIVDTSLMDVSDQSAANETEVNINGTDNLESSQEDSVLDDSVVPETAGDDRSVTISEDGKPSSEVSKSDQNVQNDEKMIRMEVTDMGKGTFRKKKKYRLDILRCESLAALPKATLDRLFLRDYDIIVSMIPLPHSSVLPGRTGPIHFGPPSHSSMTPWMKLVLYSTVASGPVSVVLMKGQCLRLLPAPLAGCEKALVWSWDDSTIGGLGGKFEGNLVKGSVLLHCLNSLLKYSAVIVQPFSRYDLDGSGKVVTLDIPLPLKNSDGSVAHVRDELGLCVAERSKLNDLLTNLADQIQFWTVGYIRVLRLFKERDMDHFGPDKEIYEWVPLTVEFGMPLFNPKLCKSICERIVSSELLQSDSLTEHHDSMQSIRRMLREVCAEYQATGLAAKLLYQKEQQKDHPKDTKQSKKLMNYASGKWNPLLDPSSPISGMSSERQRLKLASRQRCRTEVLSFDGSILRAYALAPAYEVATRPVEDSTSATTTKVDSDETDSNEVILPGVNLIFDGAELHPFDIAACLQARQPISLIAEAAAASSSFAVK >KJB19986 pep chromosome:Graimondii2_0_v6:3:37968065:37972368:-1 gene:B456_003G128400 transcript:KJB19986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTALVRLLYAVFVVSSENATVAELASTLQADLSQLQAAASFVCRLGWATKVIDPSSVLQENTSVPPHGVSLADEEETSHRSSTSANVSTDGEAAQQGDVWGTENHGPRSSDTRVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLLSGGVATDNRFVKMADRMGVSASGYDESTMIVDTSLMDVSDQSAANETEVNINGTDNLESSQEDSVLDDSVVPETAGDDRSVTISEDGKPSSEVSKSDQNVQNDEKMIRMEVTDMGKGTFRKKKKYRLDILRCESLAALPKATLDRLFLRDYDIIVSMIPLPHSSVLPGRTGPIHFGPPSHSSMTPWMKLVLYSTVASGPVSVVLMKGQCLRLLPAPLAGCEKALVWSWDDSTIGGLGGKFEGNLVKGSVLLHCLNSLLKYSAVIVQPFSRYDLDGSGKVVTLDIPLPLKNSDGSVAHVRDELGLCVAERSKLNDLLTNLADQIQFWTVGYIRVLRLFKERDMDHFGPDKEIYEWVPLTVEFGMPLFNPKLCKSICERIVSSELLQSDSLTEHHDSMQSIRRMLREVCAEYQATGLAAKLLYQKEQQKDHPKDTKQSKKLMNYASGKWNPLLDPSSPISGMSSERQRLKLASRQRCRTEVLSFDGSILRAYALAPAYEVATRPVEDSTSATTTKVDSDETDSNEVILPGVNLIFDGAELHPFDIAACLQARQPISLIAEAAAASSSFAVK >KJB19631 pep chromosome:Graimondii2_0_v6:3:34185538:34188563:-1 gene:B456_003G111600 transcript:KJB19631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQFQIDGNVNPSKGNMDPSKFKRRRVSAVRDYPIQAHVHPCSCFPVSRKYPPSKFRKGVSVKRDFPVKLDAPAALRNSLSSNASIATNGRDIHACTNDVKESNLSMVVWEERSELDASIAINIHLCTDIGDDKPSSVPNIANSHDGYECLKSIKEGFEESYSSMNIKGEQCAVDIPFANNIYACIESKQEGVERANVLMNIGDDPCEAVVTSFDDYQLALKDEKNIFQAAFNDDEDYVKEHDSQAAFSDDVVSVKTTLAEEARSLMVSDERCSDSQVAFNDDEDCVKEHDSLAAFSDGEESVKTTLAEEKNSQVDLSNYEVFSNNELINDCDKVKQIINHFRHVYNKLLQVKSGKLRSGLAVEAAIILQNQHKWIKRNKQFGSISGVEIGDYFFWRAELNIIGLHCRNVHGIDFMKMDGKNLAISVVDSGRYDNVFESNNEEFPDTLVYLGEGSNPKVQSKKSIEDQKLKGGNLALKNSVEAKNPVRVIRKIFFKRGKVEKRKYIYDGLYFVDSYRQEIASSGKLVFKFFLKRFPSQPKLDWRKLVRELDCMSYNSEGKERSPICVVNALDDEKPLITDDISQGKERIPIRAINALDDEKLPIFNYVTSVTYPESYCPSMINDGCDCIDGCSDSEDCPCIVKNGGSTYDYEERLFEAKPLIVECGPSCKCFTSCLNRVSQRGIRLPLEVFKTKAKGWGVRSRSFIRRGCFICEYTGEILRDNEGEQRIGNDEYLFDIGVTYGDHSLRDANSLGSFEGNECFTIDAARVGNVGRFINHSCSPNLFPQGVLFDHDNKRMPHIMLFAMEDIPPLNELTYDYNYEKGGVCDANGNIKIKHCYCDSSDCLGRMY >KJB19627 pep chromosome:Graimondii2_0_v6:3:34185538:34188563:-1 gene:B456_003G111600 transcript:KJB19627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQFQIDGNVNPSKGNMDPSKFKRRRVSAVRDYPIQAHVHPCSCFPVSRKYPPSKFRKGVSVKRDFPVKLDAPAALRNSLSSNASIATNGRDIHACTNDVKESNLSMVVWEERSELDASIAINIHLCTDIGDDKPSSVPNIANSHDGYECLKSIKEGFEESYSSMNIKGEQCAVDIPFANNIYACIESKQEGVERANVLMNIGDDPCEAVVTSFDDYQLALKDEKNIFQAAFNDDEDYVKEHDSQAAFSDDVVSVKTTLAEEARSLMVSDERCSDSQVAFNDDEDCVKEHDSLAAFSDGEESVKTTLAEEKNSQVDLSNYEVFSNNELINDCDKVKQIINHFRHVYNKLLQVKSGKLRSGLAVEAAIILQNQHKWIKRNKQFGSISGVEIGDYFFWRAELNIIGLHCRNVHGIDFMKMDGKNLAISVVDSGRYDNVFESNNEEFPDTLVYLGEGSNPKVQSKKSIEDQKLKGGNLALKNSVEAKNPVRVIRKIFFKRGKVEKRKYIYDGLYFVDSYRQEIASSGKLVFKFFLKRFPSQPKLDWRKLVRELDCMSYNSEGKERSPICVVNALDDEKPLITDDISQGKERIPIRAINALDDEKLPIFNYVTSVTYPESYCPSMINDGCDCIDGCSDSEDCPCIVKNGGSTYDYEERLFEAKPLIVECGPSCKCFTSCLNRVSQRGIRLPLEVFKTKAKGWGVRSRSFIRRGCFICEYTGEILRDNEGEQRIGNDEYLFDIGVTYGDHSLRDANSLGSFEGNECFTIDAARVGNVGRFINHSCSPNLFPQGVLFDHDNKRMPHIMLFAMEDIPPLNELTYDYNYEKGGVCDANGNIKIKHCYCDSSDCLGRMY >KJB19633 pep chromosome:Graimondii2_0_v6:3:34185538:34188563:-1 gene:B456_003G111600 transcript:KJB19633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQFQIDGNVNPSKGNMDPSKFKRRRVSAVRDYPIQAHVHPCSCFPVSRKYPPSKFRKGVSVKRDFPVKLDAPAALRNSLSSNASIATNGRDIHACTNDVKESNLSMVVWEERSELDASIAINIHLCTDIGDDKPSSVPNIANSHDGYECLKSIKEGFEESYSSMNIKGEQCAVDIPFANNIYACIESKQEGVERANVLMNIGDDPCEAVVTSFDDYQLALKDEKNIFQAAFNDDEDYVKEHDSQAAFSDDVVSVKTTLAEEARSLMVSDERCSDSQVAFNDDEDCVKEHDSLAAFSDGEESVKTTLAEEKNSQVDLSNYEVFSNNELINDCDKVKQIINHFRHVYNKLLQVKSGKLRSGLAVEAAIILQNQHKWIKRNKQFGSISGVEIGDYFFWRAELNIIGLHCRNVHGIDFMKMDGKNLAISVVDSGRYDNVFESNNEEFPDTLVYLGEGSNPKVQSKKSIEDQKLKGGNLALKNSVEAKNPVRVIRKIFFKRGKVEKRKYIYDGLYFVDSYRQEIASSGKLVFKFFLKRFPSQPKLDWRKLVRELDCMSYNSEGKERSPICVVNALDDEKPLITDDISQGKERIPIRAINALDDEKLPIFNYVTSVTYPESYCPSMINDGCDCIDGCSDSEDCPCIVKNGGSTYDYEERLFEAKPLIVECGPSCKCFTSCLNRVSQRGIRLPLEVFKTKAKGWGVRSRSFIRRGCFICEYTGEILRDNEGEQRIGNDEYLFDIGVTYGDHSLRDANSLGSFEGNECFTIDAARVGNVGRFINHSCSPNLFPQGVLFDHDNKRMPHIMLFAMEDIPPLNELTYDYNYEKGGVCDANGNIKIKHCYCDSSDCLGRMY >KJB19630 pep chromosome:Graimondii2_0_v6:3:34185538:34188044:-1 gene:B456_003G111600 transcript:KJB19630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIYQRRFKRISLVTCCNDEQCQVGVSAATNVYKYTGFEESYSSMNIKGEQCAVDIPFANNIYACIESKQEGVERANVLMNIGDDPCEAVVTSFDDYQLALKDEKNIFQAAFNDDEDYVKEHDSQAAFSDDVVSVKTTLAEEARSLMVSDERCSDSQVAFNDDEDCVKEHDSLAAFSDGEESVKTTLAEEKNSQVDLSNYEVFSNNELINDCDKVKQIINHFRHVYNKLLQVKSGKLRSGLAVEAAIILQNQHKWIKRNKQFGSISGVEIGDYFFWRAELNIIGLHCRNVHGIDFMKMDGKNLAISVVDSGRYDNVFESNNEEFPDTLVYLGEGSNPKVQSKKSIEDQKLKGGNLALKNSVEAKNPVRVIRKIFFKRGKVEKRKYIYDGLYFVDSYRQEIASSGKLVFKFFLKRFPSQPKLDWRKLVRELDCMSYNSEGKERSPICVVNALDDEKPLITDDISQGKERIPIRAINALDDEKLPIFNYVTSVTYPESYCPSMINDGCDCIDGCSDSEDCPCIVKNGGSTYDYEERLFEAKPLIVECGPSCKCFTSCLNRVSQRGIRLPLEVFKTKAKGWGVRSRSFIRRGCFICEYTGEILRDNEGEQRIGNDEYLFDIGVTYGDHSLRDANSLGSFEGNECFTIDAARVGNVGRFINHSCSPNLFPQGVLFDHDNKRMPHIMLFAMEDIPPLNELTYDYNYEKGGVCDANGNIKIKHCYCDSSDCLGRMY >KJB19632 pep chromosome:Graimondii2_0_v6:3:34185125:34189317:-1 gene:B456_003G111600 transcript:KJB19632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQFQIDGNVNPSKGNMDPSKFKRRRVSAVRDYPIQAHVHPCSCFPVSRKYPPSKFRKGVSVKRDFPVKLDAPAALRNSLSSNASIATNGRDIHACTNDVKESNLSMVVWEERSELDASIAINIHLCTDIGDDKPSSVPNIANSHDGYECLKSIKEGFEESYSSMNIKGVERANVLMNIGDDPCEAVVTSFDDYQLALKDEKNIFQAAFNDDEDYVKEHDSQAAFSDDVVSVKTTLAEEARSLMVSDERCSDSQVAFNDDEDCVKEHDSLAAFSDGEESVKTTLAEEKNSQVDLSNYEVFSNNELINDCDKVKQIINHFRHVYNKLLQVKSGKLRSGLAVEAAIILQNQHKWIKRNKQFGSISGVEIGDYFFWRAELNIIGLHCRNVHGIDFMKMDGKNLAISVVDSGRYDNVFESNNEEFPDTLVYLGEGSNPKVQSKKSIEDQKLKGGNLALKNSVEAKNPVRVIRKIFFKRGKVEKRKYIYDGLYFVDSYRQEIASSGKLVFKFFLKRFPSQPKLDWRKLVRELDCMSYNSEGKERSPICVVNALDDEKPLITDDISQGKERIPIRAINALDDEKLPIFNYVTSVTYPESYCPSMINDGCDCIDGCSDSEDCPCIVKNGGSTYDYEERLFEAKPLIVECGPSCKCFTSCLNRVSQRGIRLPLEVFKTKAKGWGVRSRSFIRRGCFICEYTGEILRDNEGEQRIGNDEYLFDIGVTYGDHSLRDANSLGSFEGNECFTIDAARVGNVGRFINHSCSPNLFPQGVLFDHDNKRMPHIMLFAMEDIPPLNELTYDYNYEKGGVCDANGNIKIKHCYCDSSDCLGRMY >KJB19629 pep chromosome:Graimondii2_0_v6:3:34184638:34189426:-1 gene:B456_003G111600 transcript:KJB19629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQFQIDGNVNPSKGNMDPSKFKRRRVSAVRDYPIQAHVHPCSCFPVSRKYPPSKFRKGVSVKRDFPVKLDAPAALRNSLSSNASIATNGRDIHACTNDVKESNLSMVVWEERSELDASIAINIHLCTDIGDDKPSSVPNIANSHDGYECLKSIKEGFEESYSSMNIKGVERANVLMNIGDDPCEAVVTSFDDYQLALKDEKNIFQAAFNDDEDYVKEHDSQAAFSDDVVSVKTTLAEEARSLMVSDERCSDSQVAFNDDEDCVKEHDSLAAFSDGEESVKTTLAEEKNSQVDLSNYEVFSNNELINDCDKVKQIINHFRHVYNKLLQVKSGKLRSGLAVEAAIILQNQHKWIKRNKQFGSISGVEIGDYFFWRAELNIIGLHCRNVHGIDFMKMDGKNLAISVVDSGRYDNVFESNNEEFPDTLVYLGEGSNPKVQSKKSIEDQKLKGGNLALKNSVEAKNPVRVIRKIFFKRGKVEKRKYIYDGLYFVDSYRQEIASSGKLVFKFFLKRFPSQPKLDWRKLVRELDCMSYNSEGKERSPICVVNALDDEKPLITDDISQGKERIPIRAINALDDEKLPIFNYVTSVTYPESYCPSMINDGCDCIDGCSDSEDCPCIVKNGGSTYDYEERLFEAKPLIVECGPSCKCFTSCLNRVSQRGIRLPLEVFKTKAKGWGVRSRSFIRRGCFICEYTGEILRDNEGEQRIGNDEYLFDIGVTYGDHSLRDANSLGSFEGNECFTIDAARVGNVGRFINHSCSPNLFPQGVLFDHDNKRMPHIMLFAMEDIPPLNELTYDYNYEKGGVCDANGNIKIKHCYCDSSDCLGRMY >KJB19628 pep chromosome:Graimondii2_0_v6:3:34185125:34189264:-1 gene:B456_003G111600 transcript:KJB19628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQFQIDGNVNPSKGNMDPSKFKRRRVSAVRDYPIQAHVHPCSCFPVSRKYPPSKFRKGVSVKRDFPVKLDAPAALRNSLSSNASIATNGRDIHACTNDVKESNLSMVVWEERSELDASIAINIHLCTDIGDDKPSSVPNIANSHDGYECLKSIKEGFEESYSSMNIKGVERANVLMNIGDDPCEAVVTSFDDYQLALKDEKNIFQAAFNDDEDYVKEHDSQAAFSDDVVSVKTTLAEEARSLMVSDERCSDSQVAFNDDEDCVKEHDSLAAFSDGEESVKTTLAEEKNSQVDLSNYEVFSNNELINDCDKVKQIINHFRHVYNKLLQVKSGKLRSGLAVEAAIILQNQHKWIKRNKQFGSISGVEIGDYFFWRAELNIIGLHCRNVHGIDFMKMDGKNLAISVVDSGRYDNVFESNNEEFPDTLVYLGEGSNPKVQSKKSIEDQKLKGGNLALKNSVEAKNPVRVIRKIFFKRGKVEKRKYIYDGLYFVDSYRQEIASSGKLVFKFFLKRFPSQPKLDWRKLVRELDCMSYNSEGKERSPICVVNALDDEKPLITDDISQGKERIPIRAINALDDEKLPIFNYVTSVTYPESYCPSMINDGCDCIDGCSDSEDCPCIVKNGGSTYDYEERLFEAKPLIVECGPSCKCFTSCLNRVSQRGIRLPLEVFKTKAKGWGVRSRSFIRRGCFICEYTGEILRDNEGEQRIGNDEYLFDIGVTYGDHSLRDANSLGSFEGNECFTIDAARVGNVGRFINHSCSPNLFPQGVLFDHDNKRMPHIMLFAMEDIPPLNELTYDYNYEKGGVCDANGNIKIKHCYCDSSDCLGRMY >KJB20312 pep chromosome:Graimondii2_0_v6:3:40840047:40843299:-1 gene:B456_003G143300 transcript:KJB20312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSNSRSVRFEDDLELTKLPPMNGDGMVKLKYNINGTKISEPGTERVESKLPATTRRGKLLKAKVLSRVFSEDFERVKKKILDPRGPVIRRWNKIFLVACLVSLFVDPLFFYLPIVKKDVCIDIGIPLEVILTMVRSLADAFYIVQIFIRFRTAYVAPPSRVFGRGELIIDSGKIASRYLKKSFWIDLLAALPLPQVLIWIIIPNLNGSTMMNTKNMLRFIIIFQYLPRLFLIFPLSSQIVNATGVVTETAWAGAAYNLMLYMLASHVLGACWYLLSIERQEACWKTACDLEEPYCQYKYFDCNKVKDPGRLTWFNSSNITSLCSPSSSSYPFGIYGDALEFNVTTAPFFNKYFYCLWWGLRNLSSLGQNLSTSTYAGEIIFAIIIATLGLVLFALLIGNMQTYLQSTTVRLEEWRIKRTDTEQWMRHRQLPPELRQSIRKYDQYKWLATRGVDEEALLEGLPLDLRRDIKRHLCLDLVRRVPLFDQMDERMLDAICERLKPALCTEGTYLVREGDPVNEMLFIIRGHLDSYTTNGGRTGFFNSCRIGPGDFCGEELLTWALDPRPSVVLPSSTRTVKAISEVEAFALRAEDLKFVAAQFRRLHSKQLRHKFRFYSHQWRTWAACFVQAAWRRFKKRKEAAKLRAMEDLMVAEPEPEPTKPVSGLAIYAAKLAASTRRGINMHSESDTGVVSPLQKPAEPDFSVDEE >KJB20064 pep chromosome:Graimondii2_0_v6:3:38560969:38563262:1 gene:B456_003G131100 transcript:KJB20064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCFGCGKLIVGPSYGCNDCKYYLHKRCAELELTPHLNHPFHPQHLLTLLPKSPYDGEWECDFCDEISSGFVYHCDPCKFDLHIICALLQSSIAPNFPTSLHQHPLFFIQDHNDEVNRDCSGCLKPLSGPIYHCSDCALSYEFFYLHKQCAELPLEINHPYDRRKHPLTLLPKRPTHLPNCSCYLCKIRWEGFVYSCSFCKIELTLDDFFSPQTITNASHEHPWMLLSRQMSFICDFCGTTGDRTPYLCATCNLLVHKNCISLPRNILITRHHHVISHSYSLPQQDELCRICYEEVDTRYGSYHCNASDCDYIVHVHCATDKPVWDGKSIPEDYDERSMEALDESINWITDIKHAYHDHNLRLTFSGEMNDGGQCDGCMRPISTPFYSCEQCKFFLHKECAELPRKKRHPLHKHLLTLTNSNTTRFSGCSACLRRHQGFSYKCNDGDCWPLFECDIRCILLSDTLEHPSHEHSLFLIHNFSAKCSACRRKAYELSSMVYRCTKRCDFTLDLQCATLPLTAWYKHDRHPLTLTCFDDSDPSQHYCDLREHKRDPNNWFYYCAECDNSLHSSCALGDLPFLKIGSKHRLHKHPHPFTIVKNIWDCPPRKICGEVCNGEALQLHVVLKDGKGYMNEMNFHKASTIFYPALREIAGNN >KJB19331 pep chromosome:Graimondii2_0_v6:3:29863418:29864879:1 gene:B456_003G095700 transcript:KJB19331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYDPVERRLKGGKERNLKGSLKSSKSLQANNLHNLASGDAAGINKNTVSKQGKTGVAAASSEVRALSKEITDLKLSVDLLEKERDFYFAKLRDIEILCQSTKVENHPMAVAIKKILYAADAKESALDEAQEFISQSVDNGETEEANEEETD >KJB19330 pep chromosome:Graimondii2_0_v6:3:29861621:29864879:1 gene:B456_003G095700 transcript:KJB19330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDMTYPGAVPMHKVNFDAKSEYDMIQNYKVLQEVFNKLKIDKHIEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYDPVERRLKGGKERNLKGSLKSSKSLQANNLHNLASGDAAGINKNTVSKQGKTGVAAASSEVRALSKEITDLKLSVDLLEKERDFYFAKLRDIEILCQSTKVENHPMAVAIKKILYAADAKESALDEAQEFISQSVDNGETEEANEEETD >KJB19329 pep chromosome:Graimondii2_0_v6:3:29861621:29864879:1 gene:B456_003G095700 transcript:KJB19329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIGMMDSAYFVGRNEILTWINNRLQLNLSRIEEAASGAVQCQMIDMTYPGAVPMHKVNFDAKSEYDMIQNYKVLQEVFNKLKIDKHIEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYDPVERRLKGGKERNLKGSLKSSKSLQANNLHNLASGDAAGINKNTVSKQGKTGVAAASSEVRALSKEITDLKLSVDLLEKERDFYFAKLRDIEILCQSTKVENHPVRVPVKLQSLHLHALLV >KJB19328 pep chromosome:Graimondii2_0_v6:3:29862427:29864879:1 gene:B456_003G095700 transcript:KJB19328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDMTYPGAVPMHKVNFDAKSEYDMIQNYKVLQEVFNKLKIDKHIEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYDPVERRLKGGKERNLKGSLKSSKSLQANNLHNLASGDAAGINKNTVSKQGKTGVAAASSEVRALSKEITDLKLSVDLLEKERDFYFAKLRDIEILCQSTKVENHPMAVAIKKILYAADAKESALDEAQEFISQSVDNGETEEANEEETD >KJB19327 pep chromosome:Graimondii2_0_v6:3:29861403:29864879:1 gene:B456_003G095700 transcript:KJB19327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIGMMDSAYFVGRNEILTWINNRLQLNLSRIEEAASGAVQCQMIDMTYPGAVPMHKVNFDAKSEYDMIQNYKVLQEVFNKLKIDKHIEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYDPVERRLKGGKERNLKGSLKSSKSLQANNLHNLASGDAAGINKNTVSKQGKTGVAAASSEVRALSKEITDLKLSVDLLEKERDFYFAKLRDIEILCQSTKVENHPMAVAIKKILYAADAKESALDEAQEFISQSVDNGETEEANEEETD >KJB19426 pep chromosome:Graimondii2_0_v6:3:31072502:31077196:1 gene:B456_003G101200 transcript:KJB19426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAALRREGRRFAPLISPRPITAVRSSPLYPTLEDEGPLGLRHISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAIQTKTENSRGLWQPFTALLGDLPSADVKKNVVVTISSDKGLCGGINSTSVKISKGLHKLNSGPEKETKYVILGEKAKAQLVRDSKKDIELIITELQKNPLNYTQVSVLADEILKNVEYDALRIVFNKFQSVVSFVPTVSTVLSPEIVEREAESGGKLGDLDSYEVEGGETKGEILQNLAEFQFSCTMFNAVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRSRSIFIIYACFHGSLKVTC >KJB19425 pep chromosome:Graimondii2_0_v6:3:31072502:31077196:1 gene:B456_003G101200 transcript:KJB19425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAALRREGRRFAPLISPRPITAVRSSPLYPTLEDEGPLGLRHISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAIQTKTENSRGLWQPFTALLGDLPSADVKKNVVVTISSDKGLCGGINSTSVKISKGLHKLNSGPEKETKYVILGEKAKAQLVRDSKKDIELIITELQKNPLNYTQVSVLADEILKNVEYDALRIVFNKFQSVVSFVPTVSTVLSPEVIEREAESGGKLGDLDSYEVEGGETKGEILQNLAEFQFSCTMFNAVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEG >KJB19424 pep chromosome:Graimondii2_0_v6:3:31072395:31077196:1 gene:B456_003G101200 transcript:KJB19424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAALRREGRRFAPLISPRPITAVRSSPLYPTLEDEGPLGLRHISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAIQTKTENSRGLWQPFTALLGDLPSADVKKNVVVTISSDKGLCGGINSTSVKISKGLHKLNSGPEKETKYVILGEKAKAQLVRDSKKDIELIITELQKNPLNYTQVSVLADEILKNVEYDALRIVFNKFQSVVSFVPTVSTVLSPEIVEREAESGGKLGDLDSYEVEGGETKGEILQNLAEFQFSCTMFNAVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEG >KJB18385 pep chromosome:Graimondii2_0_v6:3:7141791:7143878:-1 gene:B456_003G049900 transcript:KJB18385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCATKPKVLKGDEPEIPAPAPSKEAVPEPKEAALVVAADGEKKVEANEVAKDKDVIDDDAIDDQSNKRRSLSNLFQEKEKGTAESDTTPSEPMKNDTPESALQESSEIAKQESLEPVSIPSGNIESAQAVPKAVAAAAAVVNVPETQSKETSAGEKKGEMLVAN >KJB20279 pep chromosome:Graimondii2_0_v6:3:40454724:40455896:1 gene:B456_003G141400 transcript:KJB20279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRLNGLLTFAVTILALMCAITSLSDNFNTPSPSAEIKIMNINWFQKQPQGHDEVSLTMNVSADLQSLFTWNTKQVFVFVAAEYETRKNSLNQVSLWDAIIPAKEHAKFWIHTSNKYRFVDQVCSFR >KJB20275 pep chromosome:Graimondii2_0_v6:3:40454195:40457356:1 gene:B456_003G141400 transcript:KJB20275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRLNGLLTFAVTILALMCAITSLSDNFNTPSPSAEIKIMNINWFQKQPQGHDEVSLTMNVSADLQSLFTWNTKQVFVFVAAEYETRKNSLNQVSLWDAIIPAKEHAKFWIHTSNKYRFVDQGNNLRGKKFNLTLHWHVMPKTGKMFADKIVLTGYSLPEEYR >KJB20280 pep chromosome:Graimondii2_0_v6:3:40454195:40457356:1 gene:B456_003G141400 transcript:KJB20280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRLNGLLTFAVTILALMCAITSLSDNFNTPSPSAEIKIMNINWFQKQPQGHDEVSLTMNVSADLQSLFTWNTKQVFVFVAAEYETRKNSLNQVSLWDAIIPAKEHAKFWIHTSNKYRFVDQVV >KJB20277 pep chromosome:Graimondii2_0_v6:3:40454195:40457356:1 gene:B456_003G141400 transcript:KJB20277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRLNGLLTFAVTILALMCAITSLSDNFNTPSPSAEIKIMNINWFQKQPQGHDEVSLTMNVSADLQSLFTWNTKQVSLWDAIIPAKEHAKFWIHTSNKYRFVDQGNNLRGKKFNLTLHWHVMPKTGKMFADKIVLTGYSLPEEYR >KJB20283 pep chromosome:Graimondii2_0_v6:3:40454195:40457356:1 gene:B456_003G141400 transcript:KJB20283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRLNGLLTFAVTILALMCAITSLSDNFNTPSPSAEIKIMNINWFQKQPQGHDEVSLTMNVSADLQSLFTWNTKQVFVFVAAEYETRKNSLNQVSLWDAIIPAKEHAKFWIHTSNKYRFVDQGNNLRGKKFNLTLHWHVMPKTGKMFADKIVLTGYSLPEEYR >KJB20278 pep chromosome:Graimondii2_0_v6:3:40454195:40457356:1 gene:B456_003G141400 transcript:KJB20278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRLNGLLTFAVTILALMCAITSLSDNFNTPSPSAEIKIMNINWFQKQPQGHDEVSLTMNVSADLQSLFTWNTKQVFVFVAAEYETRKNSLNQVSLWDAIIPAKEHAKFWIHTSNKYRFVDQGNNLRGKKFNLTLHWHVMPKTGKMFADKIVLTGYSLPEEYR >KJB20276 pep chromosome:Graimondii2_0_v6:3:40454195:40457356:1 gene:B456_003G141400 transcript:KJB20276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRLNGLLTFAVTILALMCAITSLSDNFNTPSPSAEIKIMNINWFQKQPQGHDEVSLTMNVSADLQSLFTWNTKQVFVFVAAEYETRKNSLNQVSLWDAIIPAKEHAKFWIHTSNKYRFVDQTGKMFADKIVLTGYSLPEEYR >KJB20281 pep chromosome:Graimondii2_0_v6:3:40454153:40457356:1 gene:B456_003G141400 transcript:KJB20281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRLNGLLTFAVTILALMCAITSLSDNFNTPSPSAEIKIMNINWFQKQPQGHDEVSLTMNVSADLQSLFTWNTKQVFVFVAAEYETRKNSLNQVSLWDAIIPAKEHAKFWIHTSNKYRFVDQGNNLRGKKFNLTLHWHVMPKTGKMFADKIVLTGYSLPEEYR >KJB20282 pep chromosome:Graimondii2_0_v6:3:40454195:40457364:1 gene:B456_003G141400 transcript:KJB20282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRLNGLLTFAVTILALMCAITSLSDNFNTPSPSAEIKIMNINWFQKQPQGHDEVSLTMNVSADLQSLFTWNTKQVFVFVAAEYETRKNSLNQVSLWDAIIPAKEHAKFWIHTSNKYRFVDQVKKAVNG >KJB20596 pep chromosome:Graimondii2_0_v6:3:42463639:42467252:-1 gene:B456_003G155600 transcript:KJB20596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVHMGGAESETFAFQAEINQLLSLIINTFYSNKEIFLREIISNSSDALDKIRFESLTDKSKLDAQPELFIRIIPDKANKTLSIVDSGVGMTKAELVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDVSGEPLGRGTKITLFLKDDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEVSDDEDEETKKENEGDVEDIDEDKDKDKKKKKKVKEVTHEWQLINKQKPIWLRKPEEITKEEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPRRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEYLSFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCLEMFFEIAENKEDYAKFYDAFSKNIKLGIHEDSQNRSKLADLLRFHSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLEKLKKRGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDEESEDEKKKKEEKKKSFENLCKTIKEILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDNSMSAYMSSKKTMEINPDNGIMEELRKRAEVDKNDKSVKDLVLLLYETALLTSGFSLDDPNTFAGRIHRMLKLGLSIDDDDTGGADDVDMPSLEEEGNEESKMEEVD >KJB17986 pep chromosome:Graimondii2_0_v6:3:2501543:2505046:1 gene:B456_003G027700 transcript:KJB17986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSILNAMSQLNLHHDNSQSISLSSKDEDLIEWCDALNFFARLAAYIAILAVMVFVVLIILKYITELDNESDNVEVTRPVTVSETDRLWPEKTEPMTYGTCEDDVETGSCSSGEDLYDARICVICYDEQRNCFFVPCGHCATCYECALRIYDEENKVCPVCRRIIGKVRKLFAP >KJB20924 pep chromosome:Graimondii2_0_v6:3:44353765:44357752:-1 gene:B456_003G172600 transcript:KJB20924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HESQFIQDIVKEVQNKLHLTTLYVPLYLVGIDFMVTQINQWLEQDEANKVGIATIGGIGGIGKTTVAKVVYNQNIPRFESFSFLAHVRETSQDCNGLVRLQRQLISDILKGKSHKIYNIDNGINKIKEIVCCRRVLLVLDDVDELEKIRKLMGTQIPFHPGSKIIITSRNRCLLNAHFISQMFDSEASTSCGGLSKLFEVKELASRESLQLFNWYAFGRNSMPESSMAYARSLVKHCGGLPLALQVLGSSLSSKSVSSWKSALEKLEEIPDSKIQEILRISYDSLEDGHDKNLFLDIVCLFIGKDRDYATTILDGCDFYTTIGIENLVGRSLLTINENNMLMMHQMIRDMGREIIRQESFDIGKRSRLWHKDAFDVIREKIGSKTIKCLTLELKGLQKNKAKRTTTALHFPKHSKSQFLMSNDVDMETQAFAKMKRLKLLQLDNVKFIGDFKDFPKRLRWLRWQGFCMQSFPVDFDINELVVLDMRNSKLKQVWKDRQCLPNLKILNLNHSHSLLKTPSFSGLPSLEKLMLKDCINLVEVDQSIGELKMLTFLNLKDCTSLRHLPRTIGSLISLEDLILSGCSRVDDVPRELHNMLSLKVLNLDETAIYQSRLGLHWLLLKRSKGLGFSWASLPGSLVKLSLESCKLSNDVMPNDLCNLASLKSLNLSRNPIHYLPESLKNLTKHTCNSSFQRYRAILPCFFSSTRCVNVFGCEKLTEVEDLFKLEPIENFEAEEIKRLFNVDSINRNRLQLYSYLTDSIMLATPQVLQECGITSTFVVGSEVPIGFKHGTNEHQISFFLPTPSHPDEKIHRFSLFIVFSLASDQMLELLPSVLIFNETKMIKQRYCSSFIGIPETNDNTMLWLIHWPVTDCQFEAGDLVSCMVVPIHLSIRKFGVTYESEHNIGYEYGFSQLSTGDEVSTRNNKMDQTKYLLSLESYGNVKVQLCSYIEESKVVASPQYYMITV >KJB18189 pep chromosome:Graimondii2_0_v6:3:4025806:4026804:1 gene:B456_003G038200 transcript:KJB18189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVIDMYNSSRNSVFYDPLREELMKALEPFMKSASSSSSPLQPNLYPEYCSPLNSSTHLFSNHGFSNYNKNMLGFEQTGSSLGLNQLTPSQILQIQSQILHQQQQQQVASMAAVATPPPTTFENPRVGFLSPKPVPMKHVSSTPPKAAKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGEFARLNFPHLKHQGAHLQAICQSLQAQGNPVKTKTLPKAAEFHYPIKTEEFDHKQDNSSSSSSSVDESSLAGSLSSPESDITFFDFSDSKWEDNEIENFNLDKFPSLEIDWEAI >KJB18188 pep chromosome:Graimondii2_0_v6:3:4025463:4027165:1 gene:B456_003G038200 transcript:KJB18188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVIDMYNSSRNSVFYDPLREELMKALEPFMKSASSSSSPLQPNLYPEYCSPLNSSTHLFSNHGFSNYNKNMLGFEQTGSSLGLNQLTPSQILQIQSQILHQQQQQQVASMAAVATPPPTTFENPRVGFLSPKPVPMKHVSSTPPKAAKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGEFARLNFPHLNSRLSVKAYKHKAIQ >KJB18691 pep chromosome:Graimondii2_0_v6:3:13172319:13175823:1 gene:B456_003G065700 transcript:KJB18691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTSFLPPISFNPISSSSSSSFMGPALVKTHHQGSFIMGQFRRLVINCSASSDMGASPLPDPMNLRHNNMSSMAPFGMQINEKPSYKWRRVLLKVSGEALAGDHSQNIDPKVTMAIAREVSSVTRLGIEVAIVVGGGNIFRGSSRAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDDDPRHNPNAQLHDTLTYHEVTSKDLSVMDMTAITLCQENNIPVVVFNLTKSGNIAKAIKGERVGTLIGGTWNSTVATT >KJB17946 pep chromosome:Graimondii2_0_v6:3:2364073:2373760:1 gene:B456_003G026200 transcript:KJB17946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTENCSVKVAVHIRPLIADERTQGCTECVTVTKGKPQVQIGTHSFTFDHVYGHGASPSSSMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTAIKDGSETGLIPQVMNALFKKIETLKHQTEFQLHVSFIEILKEEVRDLLDSEPVSKPVTPNGNAAKVTVPGRPPIQIRESSSGVITLAGSTEVAVSTLQEMATCLEQGSINRATGSTNMNNQSSRSHAIFTITLEQMHKIQSVSAVNDTSDEDMGEEYLCAKLHLVDLAGSERAKRTGSDGLRLKEGIHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADINAEESLNTLKYANRARNIQNKPVVNRDLVSNEMQKMRQQLQYLQAELCARGGPTSDIQTLKERITWLEATNEDLRRELHEYRSLRAVAECCETGAQESRSCFVKIDGHKRAIQSMDLPDYQMDEVLSDDNPGEIDEVDKELEHALRRNNMDRELNELNKRLEQKESEMKLVGGTDTEALKQHFQKKITELEEEKRIVQQERDRLLAAVENRAANSDSQIQKAQEINVQKLKALEAQISDLKKKQENQVELLKQKQRSDEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKAYREKELLQLKKEGRRNEYERHKLEALNQRQKQVLQRKTEEAAMATKRLKELLEARKYSIRDNSVNHNGHTPNGQSNEKSMQKWLDHELEVMVNVREVRFAYEKQIQVQTALTEELTLLKQVDQLSSNGEIPHRVNKGHSRLLSMSPNARKERIASLENMLSMSSNALTAMASQLSEAEERERGSVRGRWNQVRSINDAKNLLQYLFNTTGESRCHLREKDLEIKDLKQQLKDLTALLWQSEAQKKELVKEQMMREQAVAIALATSASGNSRSSSKHFADDLSGPLSPVSLPAPKQLKFTPGFVNVSVRDSAAFLDQTRKMVPVGHLSMMKLTTKGQTGKLWRWKRSHHQWLLQFRWKWQKPWKLSEWIKHSDETIMRSKPRALALTDRI >KJB17950 pep chromosome:Graimondii2_0_v6:3:2364068:2373794:1 gene:B456_003G026200 transcript:KJB17950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTENCSVKVAVHIRPLIADERTQGCTECVTVTKGKPQVQIGTHSFTFDHVYGHGASPSSSMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTAIKDGSETGLIPQVMNALFKKIETLKHQTEFQLHVSFIEILKEEVRDLLDSEPVSKPVTPNGNAAKVTVPGRPPIQIRESSSGVITLAGSTEVAVSTLQEMATCLEQGSINRATGSTNMNNQSSRSHAIFTITLEQMHKIQSVSAVNDTSDEDMGEEYLCAKLHLVDLAGSERAKRTGSDGLRLKEGIHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADINAEESLNTLKYANRARNIQNKPVVNRDLVSNEMQKMRQQLQYLQAELCARGGPTSDIQTLKERITWLEATNEDLRRELHEYRSLRAVAECCETGAQESRSCFVKIDGHKRAIQSMDLPDYQMDEVLSDDNPGEIDEVDKELEHALRRNNMDRELNELNKRLEQKESEMKLVGGTDTEALKQHFQKKITELEEEKRIVQQERDRLLAAVENRAANSDSQIQKAQEINVQKLKALEAQISDLKKKQENQVELLKQKQRSDEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKAYREKELLQLKKEGRRNEYERHKLEALNQRQKQVLQRKTEEAAMATKRLKELLEARKYSIRDNSVNHNGHTPNGQSNEKSMQKWLDHELEVMVNVREVRFAYEKQIQVQTALTEELTLLKQVDQLSSNGEIPHRVNKGHSRLLSMSPNARKERIASLENMLSMSSNALTAMASQLSEAEERERGSVRGRWNQVRSINDAKNLLQYLFNTTGESRCHLREKDLEIKDLKQQLKDLTALLWQSEAQKKELVKEQMMREQAVAIALATSASGNSRSSSKHFADDLSGPLSPVSLPAPKQLKFTPGFVNVSVRDSAAFLDQTRKMVPVGHLSMMKLTTKGQTGKLWRWKRSHHQWLLQFRWKWQKPWKLSEWIKHSDETIMRSKPRALALTDRI >KJB17951 pep chromosome:Graimondii2_0_v6:3:2364499:2372817:1 gene:B456_003G026200 transcript:KJB17951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTENCSVKVAVHIRPLIADERTQGCTECVTVTKGKPQVQIGTHSFTFDHVYGHGASPSSSMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTAIKDGSETGLIPQVMNALFKKIETLKHQTEFQLHVSFIEILKEEVRDLLDSEPVSKPVTPNGNAAKVTVPGRPPIQIRESSSGVITLAGSTEVAVSTLQEMATCLEQGSINRATGSTNMNNQSSRSHAIFTITLEQMHKIQSVSAVNDTSDEDMGEEYLCAKLHLVDLAGSERAKRTGSDGLRLKEGIHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADINAEESLNTLKYANRARNIQNKPVVNRDLVSNEMQKMRQQLQYLQAELCARGGPTSDIQTLKERITWLEATNEDLRRELHEYRSLRAVAECCETGAQESRSCFVKIDGHKRAIQSMDLPDYQMDEVLSDDNPGEIDEVDKELEHALRRNNMDRELNELNKRLEQKESEMKLVGGTDTEALKQHFQKKITELEEEKRIVQQERDRLLAAVENRAANSDSQIQKAQEINVQKLKALEAQISDLKKKQENQVELLKQKQRSDEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKAYREKELLQLKKEGRRNEYERHKLEALNQRQKQVLQRKTEEAAMATKRLKELLEARKYSIRDNSVNHNGHTPNGQSNEKSMQKWLDHELEVMVNVREVRFAYEKQIQVQTALTEELTLLKQVDQLSSNGEIPHRVNKGHSRLLSMSPNARKERIASLENMLSMSSNALTAMASQLSEAEERERGSVRGRWNQVRSINDAKNLLQYLFNTTGESRCHLREKDLEIKDLKQQLKDLTALLWQSEAQKKELVKEQMMREQAVAIALATSASGNSRSSSKHFADDLSGPLSPVSLPAPKQLKFTPGFVNVSVRDSAAFLDQTRKVNYLCSIQSRDYCLSARS >KJB17947 pep chromosome:Graimondii2_0_v6:3:2364073:2371592:1 gene:B456_003G026200 transcript:KJB17947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTENCSVKVAVHIRPLIADERTQGCTECVTVTKGKPQVQIGTHSFTFDHVYGHGASPSSSMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTAIKDGSETGLIPQVMNALFKKIETLKHQTEFQLHVSFIEILKEEVRDLLDSEPVSKPVTPNGNAAKVTVPGRPPIQIRESSSGVITLAGSTEVAVSTLQEMATCLEQGSINRATGSTNMNNQSSRSHAIFTITLEQMHKIQSVSAVNDTSDEDMGEEYLCAKLHLVDLAGSERAKRTGSDGLRLKEGIHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADINAEESLNTLKYANRARNIQNKPVVNRDLVSNEMQKMRQQLQYLQAELCARGGPTSDIQTLKERITWLEATNEDLRRELHEYRSLRAVAECCETGAQESRSCFVKIDGHKRAIQSMDLPDYQMDEVLSDDNPGEIDEVDKELEHALRRNNMDRELNELNKRLEQKESEMKLVGGTDTEALKQHFQKKITELEEEKRIVQQERDRLLAAVENRAANSDSQIQKAQEINVQKLKALEAQISDLKKKQENQVELLKQKQRSDEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKAYREKELLQLKKEGRRNEYERHKLEALNQRQKQVLQRKTEEAAMATKRLKELLEARKYSIRDNSVNHNGHTPNGQVNDV >KJB17949 pep chromosome:Graimondii2_0_v6:3:2364073:2373760:1 gene:B456_003G026200 transcript:KJB17949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTENCSVKVAVHIRPLIADERTQGCTECVTVTKGKPQVQIGTHSFTFDHVYGHGASPSSSMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTAIKDGSETGLIPQVMNALFKKIETLKHQTEFQLHVSFIEILKEEVRDLLDSEPVSKPVTPNGNAAKVTVPGRPPIQIRESSSGVITLAGSTEVAVSTLQEMATCLEQGSINRATGSTNMNNQSSRSHAIFTITLEQMHKIQSVSAVNDTSDEDMGEEYLCAKLHLVDLAGSERAKRTGSDGLRLKEGIHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADINAEESLNTLKYANRARNIQNKPVICIFIVQVNRDLVSNEMQKMRQQLQYLQAELCARGGPTSDIQTLKERITWLEATNEDLRRELHEYRSLRAVAECCETGAQESRSCFVKIDGHKRAIQSMDLPDYQMDEVLSDDNPGEIDEVDKELEHALRRNNMDRELNELNKRLEQKESEMKLVGGTDTEALKQHFQKKITELEEEKRIVQQERDRLLAAVENRAANSDSQIQKAQEINVQKLKALEAQISDLKKKQENQVELLKQKQRSDEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKAYREKELLQLKKEGRRNEYERHKLEALNQRQKQVLQRKTEEAAMATKRLKELLEARKYSIRDNSVNHNGHTPNGQSNEKSMQKWLDHELEVMVNVREVRFAYEKQIQVQTALTEELTLLKQVDQLSSNGEIPHRVNKGHSRLLSMSPNARKERIASLENMLSMSSNALTAMASQLSEAEERERGSVRGRWNQVRSINDAKNLLQYLFNTTGESRCHLREKDLEIKDLKQQLKDLTALLWQSEAQKKELVKEQMMREQAVAIALATSASGNSRSSSKHFADDLSGPLSPVSLPAPKQLKFTPGFVNVSVRDSAAFLDQTRKMVPVGHLSMMKLTTKGQTGKLWRWKRSHHQWLLQFRWKWQKPWKLSEWIKHSDETIMRSKPRALALTDRI >KJB17952 pep chromosome:Graimondii2_0_v6:3:2366451:2373760:1 gene:B456_003G026200 transcript:KJB17952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWASILHDFNSRSHAIFTITLEQMHKIQSVSAVNDTSDEDMGEEYLCAKLHLVDLAGSERAKRTGSDGLRLKEGIHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADINAEESLNTLKYANRARNIQNKPVVNRDLVSNEMQKMRQQLQYLQAELCARGGPTSDIQTLKERITWLEATNEDLRRELHEYRSLRAVAECCETGAQESRSCFVKIDGHKRAIQSMDLPDYQMDEVLSDDNPGEIDEVDKELEHALRRNNMDRELNELNKRLEQKESEMKLVGGTDTEALKQHFQKKITELEEEKRIVQQERDRLLAAVENRAANSDSQIQKAQEINVQKLKALEAQISDLKKKQENQVELLKQKQRSDEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKAYREKELLQLKKEGRRNEYERHKLEALNQRQKQVLQRKTEEAAMATKRLKELLEARKYSIRDNSVNHNGHTPNGQSNEKSMQKWLDHELEVMVNVREVRFAYEKQIQVQTALTEELTLLKQVDQLSSNGEIPHRVNKGHSRLLSMSPNARKERIASLENMLSMSSNALTAMASQLSEAEERERGSVRGRWNQVRSINDAKNLLQYLFNTTGESRCHLREKDLEIKDLKQQLKDLTALLWQSEAQKKELVKEQMMREQAVAIALATSASGNSRSSSKHFADDLSGPLSPVSLPAPKQLKFTPGFVNVSVRDSAAFLDQTRKMVPVGHLSMMKLTTKGQTGKLWRWKRSHHQWLLQFRWKWQKPWKLSEWIKHSDETIMRSKPRALALTDRI >KJB17948 pep chromosome:Graimondii2_0_v6:3:2364499:2371205:1 gene:B456_003G026200 transcript:KJB17948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTENCSVKVAVHIRPLIADERTQGCTECVTVTKGKPQVQIGTHSFTFDHVYGHGASPSSSMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTAIKDGSETGLIPQVMNALFKKIETLKHQTEFQLHVSFIEILKEEVRDLLDSEPVSKPVTPNGNAAKVTVPGRPPIQIRESSSGVITLAGSTEVAVSTLQEMATCLEQGSINRATGSTNMNNQSSRSHAIFTITLEQMHKIQSVSAVNDTSDEDMGEEYLCAKLHLVDLAGSERAKRTGSDGLRLKEGIHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADINAEESLNTLKYANRARNIQNKPVVNRDLVSNEMQKMRQQLQYLQAELCARGGPTSDIQTLKERITWLEATNEDLRRELHEYRSLRAVAECCETGAQESRSCFVKIDGHKRAIQSMDLPDYQMDEVLSDDNPGEIDEVDKELEHALRRNNMDRELNELNKRLEQKESEMKLVGGTDTEALKQHFQKKITELEEEKRIVQQERDRLLAAVENRAANSDSQIQKAQEINVQKLKALEAQISDLKKKQENQVELLKQKQRSDEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKAYREKELLQLKKEGRRNEYERHKLEALNQRQKQVLQRKTEEAAMATKRLKELLEARKYSIRDNSGKFTL >KJB17953 pep chromosome:Graimondii2_0_v6:3:2364073:2373760:1 gene:B456_003G026200 transcript:KJB17953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACVSPADINAEESLNTLKYANRARNIQNKPVVNRDLVSNEMQKMRQQLQYLQAELCARGGPTSDIQTLKERITWLEATNEDLRRELHEYRSLRAVAECCETGAQESRSCFVKIDGHKRAIQSMDLPDYQMDEVLSDDNPGEIDEVDKELEHALRRNNMDRELNELNKRLEQKESEMKLVGGTDTEALKQHFQKKITELEEEKRIVQQERDRLLAAVENRAANSDSQIQKAQEINVQKLKALEAQISDLKKKQENQVELLKQKQRSDEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKAYREKELLQLKKEGRRNEYERHKLEALNQRQKQVLQRKTEEAAMATKRLKELLEARKYSIRDNSVNHNGHTPNGQSNEKSMQKWLDHELEVMVNVREVRFAYEKQIQVQTALTEELTLLKQVDQLSSNGEIPHRVNKGHSRLLSMSPNARKERIASLENMLSMSSNALTAMASQLSEAEERERGSVRGRWNQVRSINDAKNLLQYLFNTTGESRCHLREKDLEIKDLKQQLKDLTALLWQSEAQKKELVKEQMMREQAVAIALATSASGNSRSSSKHFADDLSGPLSPVSLPAPKQLKFTPGFVNVSVRDSAAFLDQTRKMVPVGHLSMMKLTTKGQTGKLWRWKRSHHQWLLQFRWKWQKPWKLSEWIKHSDETIMRSKPRALALTDRI >KJB21252 pep chromosome:Graimondii2_0_v6:3:1:734:1 gene:B456_003G000100 transcript:KJB21252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQILSISMLRHALACHSKGCWTNKPYLMPASSFGSSIFVVSPYNTKNSFVQNFQRGILMSLSSLK >KJB19852 pep chromosome:Graimondii2_0_v6:3:36458955:36462463:1 gene:B456_003G121700 transcript:KJB19852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQNGTPPLPPPIRPVKENNLLLQSKSVPLKWPFKGAGKNQVKWEVGLGFYKFSCARSGKKKGTSLSKRRLRHTGPYLLAAMPMSPEASNCDEVSMQQSLLFSDSLKDLKNLRTQLYSAAEYFELSYANDDQKQIVIETLKDYAVKAIVNTVDHLGSVTYKVNEFLDEKVDDVSGTELQVSCVEEKVRKCQEYIDLKGISQQSLMINTPMYHKRYILPVGETMHGANRSKSKNLGCRLEAEDDCHQFRNAVRATIRETQLPPSSVRETAASSIRKGRSPSPSSRPQQRSTTFSFTAKIPKKELEKRTVSPLRLPRFSSGCDTRPTTPNKSRPSTPNSAGARRRYPSEPRRSASIHIQSEKDKPESVEQYPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >KJB19855 pep chromosome:Graimondii2_0_v6:3:36459085:36462463:1 gene:B456_003G121700 transcript:KJB19855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSPEASNCDEVSMQQSLLFSDSLKDLKNLRTQLYSAAEYFELSYANDDQKQIVIETLKDYAVKAIVNTVDHLGSVTYKVNEFLDEKVDDVSGTELQVSCVEEKVRKCQEYIDLKGISQQSLMINTPMYHKRYILPVGETMHGANRSKSKNLGCRLEAEDDCHQFRNAVRATIRETQLPPSSVRETAASSIRKGRSPSPSSRPQQRSTTFSFTAKIPKKELEKRTVSPLRLPRFSSGCDTRPTTPNKSRPSTPNSAGARRRYPSEPRRSASIHIQSEKDKPESVEQYPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >KJB19856 pep chromosome:Graimondii2_0_v6:3:36459098:36462562:1 gene:B456_003G121700 transcript:KJB19856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSPEASNCDEVSMQQSLLFSDSLKDLKNLRTQLYSAAEYFELSYANDDQKQIVIETLKDYAVKAIVNTVDHLGSVTYKVNEFLDEKVDDVSGTELQVSCVEEKVRKCQEYIDLKGISQQSLMINTPMYHKRYILPVGETMHGANRSKSKNLGCRLEAEDDCHQFRNAVRATIRETQLPPSSVRETAASSIRKGRSPSPSSRPQQRSTTFSFTAKIPKKELEKRTVSPLRLPRFSSGCDTRPTTPNKSRPSTPNSAGARRRYPSEPRRSASIHIQSEKDKPESVEQYPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >KJB19857 pep chromosome:Graimondii2_0_v6:3:36459098:36462530:1 gene:B456_003G121700 transcript:KJB19857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSPEASNCDEVSMQQSLLFSDSLKDLKNLRTQLYSAAEYFELSYANDDQKQIVIETLKDYAVKAIVNTVDHLGSVTYKVNEFLDEKVDDVSGTELQVSCVEEKVRKCQEYIDLKGISQQSLMINTPMYHKRYILPVGETMHGANRSKSKNLGCRLEAEDDCHQFRNAVRATIRETQLPPSSVRETAASSIRKGRSPSPSSRPQQRSTTFSFTAKIPKKELEKRTVSPLRLPRFSSGCDTRPTTPNKSRPSTPNSAGARRRYPSEPRRSASIHIQSEKDKPESVEQYPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >KJB19859 pep chromosome:Graimondii2_0_v6:3:36459954:36462463:1 gene:B456_003G121700 transcript:KJB19859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFGCFDGCRLRHTGPYLLAAMPMSPEASNCDEVSMQQSLLFSDSLKDLKNLRTQLYSAAEYFELSYANDDQKQIVIETLKDYAVKAIVNTVDHLGSVTYKVNEFLDEKVDDVSGTELQVSCVEEKVRKCQEYIDLKGISQQSLMINTPMYHKRYILPVGETMHGANRSKSKNLGCRLEAEDDCHQFRNAVRATIRETQLPPSSVRETAASSIRKGRSPSPSSRPQQRSTTFSFTAKIPKKELEKRTVSPLRLPRFSSGCDTRPTTPNKSRPSTPNSAGARRRYPSEPRRSASIHIQSEKDKPESVEQYPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >KJB19854 pep chromosome:Graimondii2_0_v6:3:36459098:36462530:1 gene:B456_003G121700 transcript:KJB19854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSPEASNCDEVSMQQSLLFSDSLKDLKNLRTQLYSAAEYFELSYANDDQKQIVIETLKDYAVKAIVNTVDHLGSVTYKVNEFLDEKVDDVSGTELQVSCVEEKVRKCQEYIDLKGISQQSLMINTPMYHKRYILPVGETMHGANRSKSKNLGCRLEAEDDCHQFRNAVRATIRETQLPPSSVRETAASSIRKGRSPSPSSRPQQRSTTFSFTAKIPKKELEKRTVSPLRLPRFSSGCDTRPTTPNKSRPSTPNSAGARRRYPSEPRRSASIHIQSEKDKPESVEQYPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >KJB19858 pep chromosome:Graimondii2_0_v6:3:36459314:36462463:1 gene:B456_003G121700 transcript:KJB19858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSPEASNCDEVSMQQSLLFSDSLKDLKNLRTQLYSAAEYFELSYANDDQKQIVIETLKDYAVKAIVNTVDHLGSVTYKVNEFLDEKVDDVSGTELQVSCVEEKVRKCQEYIDLKGISQQSLMINTPMYHKRYILPVGETMHGANRSKSKNLGCRLEAEDDCHQFRNAVRATIRETQLPPSSVRETAASSIRKGRSPSPSSRPQQRSTTFSFTAKIPKKELEKRTVSPLRLPRFSSGCDTRPTTPNKSRPSTPNSAGARRRVSIN >KJB19853 pep chromosome:Graimondii2_0_v6:3:36459098:36462562:1 gene:B456_003G121700 transcript:KJB19853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSPEASNCDEVSMQQSLLFSDSLKDLKNLRTQLYSAAEYFELSYANDDQKQIVIETLKDYAVKAIVNTVDHLGSVTYKVNEFLDEKVDDVSGTELQVSCVEEKVRKCQEYIDLKGISQQSLMINTPMYHKRYILPVGETMHGANRSKSKNLGCRLEAEDDCHQFRNAVRATIRETQLPPSSVRETAASSIRKGRSPSPSSRPQQRSTTFSFTAKIPKKELEKRTVSPLRLPRFSSGCDTRPTTPNKSRPSTPNSAGARRRYPSEPRRSASIHIQSEKDKPESVEQYPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >KJB20144 pep chromosome:Graimondii2_0_v6:3:39319887:39323178:1 gene:B456_003G135000 transcript:KJB20144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSDDAVLIQKGNKQGEPHVITVNCPDKHGLGCDICHIILDFGLYIAKGDVSTDGIWCYMVFWVVPHSSSPVVRWPSLKNRLQSLCPSCSVTFYLNDQPSNSLASPVYLLKFFCLDRKGLLHDVTQVLCELELTIQKVKVTTTPDGRVLDLFFITDNMELLHTEERQHHLCKQLDAVLGDSCISCKLRLAGPEYDCHHGISSLSPAVAEELFLFVLSDKETRSQALSSDMTELKKANVVVDNSLSPAHTLLQIHCVDHKSLLYDILRTLKDCNIKIAFGRFSPNSKGYRDLDLFIQQKDGKKFVDPEKQNGLCSRLKVEMLHPLRVIIANRGPDTELLVANPVELSGKGRPRVFYDVTLALKALGICIFSVSNHFSTENDLKPINRL >KJB20143 pep chromosome:Graimondii2_0_v6:3:39319870:39323596:1 gene:B456_003G135000 transcript:KJB20143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSDDAVLIQKGNKQGEPHVITVNCPDKHGLGCDICHIILDFGLYIAKGDVSTDGIWCYMVFWVVPHSSSPVVRWPSLKNRLQSLCPSCSVTFYLNDQPSNSLASPVYLLKFFCLDRKGLLHDVTQVLCELELTIQKVKVTTTPDGRVLDLFFITDNMELLHTEERQHHLCKQLDAVLGDSCISCKLRLAGPEYDCHHGISSLSPAVAEELFLFVLSDKETRSQALSSDMTELKKANVVVDNSLSPAHTLLQIHCVDHKSLLYDILRTLKDCNIKIAFGRFSPNSKGYRDLDLFIQQKDGKKFVDPEKQNGLCSRLKVEMLHPLRVIIANRGPDTELLVANPVELSGKGRPRVFYDVTLALKALGICIFSAEIGRYSSSDREWEVYRFLLDENCGFQLSSTLARNQIVDRVRRMLMGW >KJB20604 pep chromosome:Graimondii2_0_v6:3:42474726:42477019:-1 gene:B456_003G155800 transcript:KJB20604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMWTIQRRNQVLDTILLLGIVLVLININGVESRKYSASLTDFGGVGDGVTSNTKAFQAAIFNLSQYASDGGSLLFVPPGKWLTGSFSLTSHFTLHIHKDATLLASQDESEWAVIDPLPSYGRGRDADGGRYISLIFGTNLTDVVITGDNGTIDGQGVTWWNKFHKGELKYTRPYLIEIMYSNEVQISNLTLMNSPSWNVHPVYSSNVVVQGLTILAPVTSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGIKFGMPTKQLVIRRLTCISPFSAVIALGSEMSGGIEDVRAEDITGINSESAVRIKTAVGRGNYVKDIYVRRMTMKTMKMVFWMAGNYGSHPDNDYDPNAIPVIQNINFRDVVAENVTMAARLEGIPGHPFSGICISNTTIGLTQKPKKIQWNCTEIAGVSSNVTPQPCNLLTDQGPDNACNFPEDSFTSAIVYGSTRLTRTPMNNEEQCHIGNSAERSLSQYISTWEIDFS >KJB20605 pep chromosome:Graimondii2_0_v6:3:42474930:42477381:-1 gene:B456_003G155800 transcript:KJB20605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMWTIQRRNQVLDTILLLGIVLVLININGVESRKYSASLTDFGGVGDGVTSNTKAFQAAIFNLSQYASDGGSLLFVPPGKWLTGSFSLTSHFTLHIHKDATLLASQDESEWAVIDPLPSYGRGRDADGGRYISLIFGTNLTDVVITGDNGTIDGQGVTWWNKFHKGELKYTRPYLIEIMYSNEVQISNLTLMNSPSWNVHPVYSSNVVVQGLTILAPVTSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGIKFGMPTKQLVIRRLTCISPFSAVIALGSEMSGGIEDVRAEDITGINSESAVRIKTAVGRGNYVKDIYVRRMTMKTMKMVFWMAGNYGSHPDNDYDPNAIPVIQNINFRDVVAENVTMAARLEGIPGHPFSGICISNTTIGLTQKPKKIQWNCTEIAGVSSNVTPQPCNLLTDQGPDNACNFPEDSFTSAIV >KJB19464 pep chromosome:Graimondii2_0_v6:3:31891870:31893144:1 gene:B456_003G104000 transcript:KJB19464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFGTTIITITTNKPSPLIPSFIGLVISTSSLPPKPISLTHMTTFHSPIPLLSTAPICSIAERTKSTSSSISSTNASNNLSFGVIEGNHELDLRLDLGFNSMDTHVSDVEIDIGGGEYKNNHFFVEMRVSGLSASEVASNFSGIDKFGDSMWIVGFRSDSEGDDEDENDNRALTFDLNSGDDYSIDDHVNDYCDVGANDDVSVSIPLFWDSLQLEDRWETIEDFEWEEMDGRVD >KJB20146 pep chromosome:Graimondii2_0_v6:3:39325010:39329955:1 gene:B456_003G135100 transcript:KJB20146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQEASSRPQSSAYLNKLSLEIEKKLQRALASPTQRRNLLQELFADIALEVDDRAKDIILSRDEDAITPAEYGANGRLCFYDVLADYYIRSPDSGKPILDLIVQLWSQSFASHIFSLLFHKWLFEVQLDNAEVQLRYSSALVQGATNVFWMDIQSNTRCFQSLFQYLLDGVTLESTRLKKIPIQAQRDLYLLLSRFIFFYNSVEKIESFLKQCPVFPNSFLIGGPADILVIELADQVKILRGMELRMATSTRLKTCLYSFTSPGGPMYPTRAVRHAAWDALDFLFPVGRYPRHLISLFFRLLYPWYWPSSCWNFIVSCIKAVFHSLLRVIHSRWGKLREPKTA >KJB20145 pep chromosome:Graimondii2_0_v6:3:39324920:39330039:1 gene:B456_003G135100 transcript:KJB20145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQEASSRPQSSAYLNKLSLEIEKKLQRALASPTQRRNLLQELFADIALEVDDRAKDIILSRDEDAITPAEYGANGRLCFYDVLADYYIRSPDSGKPILDLIVQLWSQSFASHIFSLLFHKWLFEVQLDNAEVQLRYSSALVQGATNVFWMDIQSNTRCFQSLFQYLLDGVTLESTRLKKIPIQAQRDLYLLLSRFIFFYNSVEKIESFLKQCPVFPNSFLIGGPADILVIELADQLQKLKVEPVLLHYLSQVKILRGMELRMATSTRLKTCLYSFTSPGGPMYPTRAVRHAAWDALDFLFPVGRYPRHLISLFFRLLYPWYWPSSCWNFIVSCIKAVFHSLLRVIHSRWGKLREPKTA >KJB20147 pep chromosome:Graimondii2_0_v6:3:39325010:39329955:1 gene:B456_003G135100 transcript:KJB20147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQEASSRPQSSAYLNKLSLEIEKKLQRALASPTQRRNLLQELFADIALEVDDRAKDIILSRDEDAITPAEYGANGRLCFYDVLADYYIRSPDSGKPILDLIVQLWSQSFASHIFSLLFHKWLFEVQLDNAEVQLRYSSALVQGATNVFWMDIQSNTRCFQSLFQYLLDGVTLESTRLKKIPIQAQRDLYLLLSRFIFFYNSVEKIESFLKQCPVFPNSFLIGGPADILVIELADQLQKLKVEPVLLHYLSQVKILRGMELRMATSTRLKTCLYSFTSPGGPMYPTRAVRHAAWDALDFLFPVRRYPRHLISLFFRLLYPWYWPSSCWNFIVSCIKAVFHSLLRVIHSRWGKLREPKTA >KJB17679 pep chromosome:Graimondii2_0_v6:3:686729:687851:1 gene:B456_003G011100 transcript:KJB17679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEIEEVNNYESLPLLSLNHVSLLCRSVWDSMRFYEEVLGFIPIKRPSSFKFNGAWLYNYGIGIHLIENPLIDDFDTIIEPRPINPKDNHISFQCRDVEIVKGRLEDMGMKYVTAVVEDEGNTVDQVFFHDPDGYMLELCNCENIAILPLSACLFKPRMSSFGRAVFGPAKCGFVENAMMESLSMDMLDISF >KJB18234 pep chromosome:Graimondii2_0_v6:3:35190961:35192293:1 gene:B456_003G115400 transcript:KJB18234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKQLQPQHDINKDLQIIKAVAQAWHSHSGTSRSTNEFDAYPRRHFKARPSRFKLEAMSNPSVKLKDERSSIANTPHWDFAQSLWDSYELVTLTKKLETALVLDDPFSAAGSELEGNSSRAQKRGKESKNSLRNLLKRVSSRRFVEADIKIPPEDNNGF >KJB20199 pep chromosome:Graimondii2_0_v6:3:39771183:39776647:1 gene:B456_003G137900 transcript:KJB20199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGENYGKRENAGAASSKQETFPAWARDVKQCEEKYQVNRELGLPSAEVEKRRQIYGLNELLKHEPTSIFQLLLEQFNDTLVRILLAAAIISFVLAWYDGEGRGEKEITAFVEPLVIFLILIVNAIVGIWQESSAEKALEALKEIQSEHADVIRDGKKVSSLPAKELVPGDIVELRVGDKVPADMRVLSLISSTVRVEQGSLTGESEAVSKTAKVVPENSDIQGKKCMVFAGTTMVNGNCICLVTEIGMNTEIGKVHSQIHEASQSDTDTPLKKKLNEFGEVLTLIIGMICILVWLINVKYFLSWEYVDGWPTNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAIGSRSGTLRSFDVEGTTYDPFDGKILGWPVDGMDANLEMIAKISAVCNDAGVEQSGRHYVAIGMPTEAALKVLVEKMELPEKYASSSAPGDPRRCCQVWNKMVQRIATLEFDRDRKSMGVIINSSSGNKSLLVKGAVENLLERSSFIQLLDGSTVELDKYSKDLILQVLREMSTDALRCLGFAYKEELPEFATYNSDEDHPAHQLLLNPSNYSSIESNLIFVGLVGLRDPPRKEVRQAIEDCKAAGIRVMVITGDNKNTAEAICREIGVFGYREDITSRSLTGKEFMDHPDQRNHLRQNGGLLFSRAEPRHKQEIVRLLKQDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYDNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPQDTDIMKKPPRRSNDSLITAWILFRYLVIGSYVGLATVGVFIIWYTHNTFMGIDLSGDGHSLVTYSQLSNWDKCPSWVNFTASPFTAGPQVFKFNTNPCDYFRSGKIKASTLSLSVLVSIEMLNSLNALSEDGSLLTMPPWVNPWLLLAMSISFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLAVAFPVVLIDEVLKCIGRCTTGPWYSPATISIKHKAE >KJB20197 pep chromosome:Graimondii2_0_v6:3:39771183:39776647:1 gene:B456_003G137900 transcript:KJB20197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGENYGKRENAGAASSKQETFPAWARDVKQCEEKYQVNRELGLPSAEVEKRRQIYGLNELLKHEPTSIFQLLLEQFNDTLVRILLAAAIISFVLAWYDGEGRGEKEITAFVEPLVIFLILIVNAIVGIWQESSAEKALEALKEIQSEHADVIRDGKKVSSLPAKELVPGDIVELRVGDKVPADMRVLSLISSTVRVEQGSLTGESEAVSKTAKVVPENSDIQGKKCMVFAGTTMVNGNCICLVTEIGMNTEIGKVHSQIHEASQSDTDTPLKKKLNEFGEVLTLIIGMICILVWLINVKYFLSWEYVDGWPTNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAIGSRSGTLRSFDVEGTTYDPFDGKILGWPVDGMDANLEMIAKISAVCNDAGVEQSGRHYVAIGMPTEAALKVLVEKMELPEKYASSSAPGDPRRCCQVWNKMVQRIATLEFDRDRKSMGVIINSSSGNKSLLVKGAVENLLERSSFIQLLDGSTVELDKYSKDLILQVLREMSTDALRCLGFAYKEELPEFATYNSDEDHPAHQLLLNPSNYSSIESNLIFVGLVGLRDPPRKEVRQAIEDCKAAGIRVMVITGDNKNTAEAICREIGVFGYREDITSRSLTGKEFMDHPDQRNHLRQNGGLLFSRAEPRHKQEIVRLLKQDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYDNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPQDTDIMKKPPRRSNDSLITAWILFRYLVIGSYVGLATVGVFIIWYTHNTFMGIDLSGDGHSLVTYSQLSNWDKCPSWVNFTASPFTAGPQVFKFNTNPCDYFRSGKIKASTLSLSVLVSIEMLNSLNALSEDGSLLTMPPWVNPWLLLAMSISFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLAVAFPVVLIDEVLKCIGRCTTGPWYSPATISIKHKAE >KJB20198 pep chromosome:Graimondii2_0_v6:3:39771646:39775387:1 gene:B456_003G137900 transcript:KJB20198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGENYGKRENAGAASSKQETFPAWARDVKQCEEKYQVNRELGLPSAEVEKRRQIYGLNELLKHEPTSIFQLLLEQFNDTLVRILLAAAIISFVLAWYDGEGRGEKEITAFVEPLVIFLILIVNAIVGIWQESSAEKALEALKEIQSEHADVIRDGKKVSSLPAKELVPGDIVELRVGDKVPADMRVLSLISSTVRVEQGSLTGESEAVSKTAKVVPENSDIQGKKCMVFAGTTMVNGNCICLVTEIGMNTEIGKVHSQIHEASQSDTDTPLKKKLNEFGEVLTLIIGMICILVWLINVKYFLSWEYVDGWPTNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAIGSRSGTLRSFDVEGTTYDPFDGKILGWPVDGMDANLEMIAKISAVCNDAGVEQSGRHYVAIGMPTEAALKVLVEKMELPEKYASSSAPGDPRRCCQVWNKMVQRIATLEFDRDRKSMGVIINSSSGNKSLLVKGAVENLLERSSFIQLLDGSTVELDKYSKDLILQVLREMSTDALRCLGFAYKEELPEFATYNSDEDHPAHQLLLNPSNYSSIESNLIFVGLVGLRDPPRKEVRQAIEDCKAAGIRVMVITGDNKNTAEAICREIGVFGYREDITSRSLTGKEFMDHPDQRNHLRQNGGLLFSRAEPRHKQEIVRLLKQDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYDNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPQDTDIMKKPPRRSNDSLITAWILFRYLVIGSLLNVVNACA >KJB20953 pep chromosome:Graimondii2_0_v6:3:44558283:44559994:-1 gene:B456_003G174200 transcript:KJB20953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNTTLDMNMVFHIYLQVLYDYGIITTFDPMPFDYYGHYFGNQAGKTEVSLSVPPNSSRKISCFLNSIIIFSAKNDNTNEFLPFLEIVNETKGTKWTYSKHFTGILGTKNTLYWFTCWDFRGGELEAGDHITLRVLSDLSVLEFGIDLVFDYELDDNPNSFKQLPWMSECFKYLLGAFIYILSKSQKDLYRLQSLVKS >KJB20954 pep chromosome:Graimondii2_0_v6:3:44558283:44559994:-1 gene:B456_003G174200 transcript:KJB20954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWLIHWPVTDCQFEGGDLVSCMIVPIHLRIKKFGVTYESEHNIRYEYGFSYLSTGDEVSPRNIKMDLTKNLLNLESYGNVKVQLCSYIEESKVVSSPQVLYDYGIITTFDPMPFDYYGHYFGNQAGKTEVSLSVPPNSSRKISCFLNSIIIFSAKNDNTNEFLPFLEIVNETKGTKWTYSKHFTGILGTKNTLYWFTCWDFRGGELEAGDHITLRVLSDLSVLEFGIDLVFDYELDDNPNSFKQLPWMSECFKYLLGAFIYILSKSQKDLYRLQSLVKS >KJB18052 pep chromosome:Graimondii2_0_v6:3:2884167:2884803:-1 gene:B456_003G031600 transcript:KJB18052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKVTKESKLSRYLKAPIKFLIKARDVYIKSMSEYSERIGFGTTMGCPTGQVNALPRSYSVGSTKSINADEDLRELIRAASIRSSRDKARLDLHRRQPARTGANRMPRSHSVVIGRIDEDKPCEFGDGDEQGVLPRTRSYAVTKKKTVSF >KJB20218 pep chromosome:Graimondii2_0_v6:3:40333476:40334625:-1 gene:B456_003G140400 transcript:KJB20218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERIYPGDSPPSSGEHSNPKPAPPSSDKPIPQPGTYVVQIPKDQVYRVPPPENARRYAHLSKRKSSRSTCCRCCCCLLTTILSLLLAAAIAAAVIYFVLKPEAPNYSVESVAIKGFNLTSPSPLSPEFDVTVRADNGNDKIGIYYEKGSSVNVYYQDVNLSNGALPVFYQPTNNVTLFKTALKGSGIELTAASLRSISNDQSKGTVPFTLKLRAPVKIKAGSVNTWKITVKVTCKITVDKLTAASKIVSKDCDYGVDLW >KJB17516 pep chromosome:Graimondii2_0_v6:3:180989:183836:-1 gene:B456_003G003100 transcript:KJB17516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSDKRWESFPDMFSAGLSLKETQDGEKEAKFNATFPFEKVFPVYAMSLSKPDTDSILNSGRDPIWEAVREEAKLEAEKEPILSSFLYASILAHDCLEQALAFVLANRLQNPTLLATQLMDIFSNVMMHDRDIQRSIRLDVQAFIDRDPSCLSYSSALLYLKGYHSLQSYRVAHALWKQGRNVLALALQSRISEVFGVDIHPAAKIGDGILLDHGTGVVIGETAVVGNRVSLMHGVTLGGTGKETGDRHPKVGDLALLGACVTVLGNIKIGEGAMIAAGSLVLKHVPPHSMVAGTPAQVIGSIDEQDPSLTMNHDATKEFFKHLAVSFRDGRSK >KJB17519 pep chromosome:Graimondii2_0_v6:3:180340:184184:-1 gene:B456_003G003100 transcript:KJB17519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAGLSLKETQDGEKEAKFNATFPFEKVFPVYAMSLSKPDTDSILNSGRDPIWEAVREEAKLEAEKEPILSSFLYASILAHDCLEQALAFVLANRLQNPTLLATQLMDIFSNVMMHDRDIQRSIRLDVQAFIDRDPSCLSYSSALLYLKGYHSLQSYRVAHALWKQGRNVLALALQSRISEVFGVDIHPAAKIGDGILLDHGTGVVIGETAVVGNRVSLMHGVTLGGTGKETGDRHPKVGDLALLGACVTVLGNIKIGEGAMIAAGSLVLKHVPPHSMVAGTPAQVIGSIDEQDPSLTMNHDATKEFFKHLAVSFRDGRSKYQRMMRKTRMVDIDVNVHCQLQLYVKKNKTRMVKVPWKPAFCPLY >KJB17517 pep chromosome:Graimondii2_0_v6:3:180340:183908:-1 gene:B456_003G003100 transcript:KJB17517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSDKRWESFPDMFSAGLSLKETQDGEKEAKFNATFPFEKVFPVYAMSLSKPDTDSILNSGRDPIWEAVREEAKLEAEKEPILSSFLYASILAHDCLEQALAFVLANRLQNPTLLATQLMDIFSNVMMHDRDIQRSIRLDVQAFIDRDPSCLSYSSALLYLKGYHSLQSYRVAHALWKQGRNVLALALQSRISEVFGVDIHPAAKIGDGILLDHGTGVVIGETAVVGNRVSLMHMLPKSSSNI >KJB17518 pep chromosome:Graimondii2_0_v6:3:180245:184237:-1 gene:B456_003G003100 transcript:KJB17518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSDKRWESFPDMFSAGLSLKETQDGEKEAKFNATFPFEKVFPVYAMSLSKPDTDSILNSGRDPIWEAVREEAKLEAEKEPILSSFLYASILAHDCLEQALAFVLANRLQNPTLLATQLMDIFSNVMMHDRDIQRSIRLDVQAFIDRDPSCLSYSSALLYLKGYHSLQSYRVAHALWKQGRNVLALALQSRISEVFGVDIHPAAKIGDGILLDHGTGVVIGETAVVGNRVSLMHGVTLGGTGKETGDRHPKVGDLALLGACVTVLGNIKIGEGAMIAAGSLVLKHVPPHSMVAGTPAQVIGSIDEQDPSLTMNHDATKEFFKHLAVSFRDGRSKYQRMMRKTRMVDIDVNVHCQLQLYVKKNKTRMVKVPWKPAFCPLY >KJB17515 pep chromosome:Graimondii2_0_v6:3:180245:183486:-1 gene:B456_003G003100 transcript:KJB17515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLMAEKEPILSSFLYASILAHDCLEQALAFVLANRLQNPTLLATQLMDIFSNVMMHDRDIQRSIRLDVQAFIDRDPSCLSYSSALLYLKGYHSLQSYRVAHALWKQGRNVLALALQSRISEVFGVDIHPAAKIGDGILLDHGTGVVIGETAVVGNRVSLMHGVTLGGTGKETGDRHPKVGDLALLGACVTVLGNIKIGEGAMIAAGSLVLKHVPPHSMVAGTPAQVIGSIDEQDPSLTMNHDATKEFFKHLAVSFRDGRSK >KJB17709 pep chromosome:Graimondii2_0_v6:3:727397:731734:1 gene:B456_003G011600 transcript:KJB17709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIAELRETFKTGGTKSISWRKSQLKALLQLINQNEDSIFKALDQDLGKSPVESYRDEVGVLKKSVTYTLSCLEKWVAPKKAEVPLLFFPAKAEVMPQPLGVVLIFSSWNFPFTLALDPLIGAISAGNTVLLKPSDLAPACMSFLVETIPKYLDNKAVKVLAGGADIGERLLQLKWDKIFFTGSPRVGRLVMAAAAKHLTPVTLELGGKSPAIVDTLSTTPSKAKVTAKRIVGGKWGPCTGQACIAIDYVLVEEKLASTLIELLKKTIKRFYGENIKSLKNISRIVNKHQFQRLVNLLKDPDVADSIVYGGSVDEEKLVIEPTILLDPPLDSEIMSEEIFGPLLPIITLKNVEESIDFINSKSNPLVIYAFTEDETFKKRILSETSSGTVTFNDSMVQYRYSI >KJB17711 pep chromosome:Graimondii2_0_v6:3:728777:731734:1 gene:B456_003G011600 transcript:KJB17711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAKHLTPVTLELGGKSPAIVDTLSTTPSKAKVTAKRIVGGKWGPCTGQACIAIDYVLVEEKLASTLIELLKKTIKRFYGENIKSLKNISRIVNKHQFQRLVNLLKDPDVADSIVYGGSVDEEKLVIEPTILLDPPLDSEIMSEEIFGPLLPIITLKNVEESIDFINSKSNPLVIYAFTEDETFKKRILSETSSGTVTFNDSMVQFLCDSLPFGGVGQSGFGRYHGKYSFDTFSHEKSILHRGFYPEIEPRYPPWTDFKLLFLKLAYQFDYFGLILLLLGFKRS >KJB17707 pep chromosome:Graimondii2_0_v6:3:727397:730399:1 gene:B456_003G011600 transcript:KJB17707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIAELRETFKTGGTKSISWRKSQLKALLQLINQNEDSIFKALDQDLGKSPVESYRDEVGVLKKSVTYTLSCLEKWVAPKKAEVPLLFFPAKAEVMPQPLGVVLIFSSWNFPFTLALDPLIGAISAGNTVLLKPSDLAPACMSFLVETIPKYLDNKAVKVLAGGADIGERLLQLKWDKIFFTGSPRVGRLVMAAAAKHLTPVTLELGGKSPAIVDTLSTTPSKAKVTAKRIVGGKWGPCTGQACIAIDYVLVEEKLASTLIELLKKTIKRFYGENIKSLKNISRIVNKHQFQRLVNLLKDPDVADSIVYGGSVDEEKLDFPLLIA >KJB17706 pep chromosome:Graimondii2_0_v6:3:727385:731734:1 gene:B456_003G011600 transcript:KJB17706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIAELRETFKTGGTKSISWRKSQLKALLQLINQNEDSIFKALDQDLGKSPVESYRDEVGVLKKSVTYTLSCLEKWVAPKKAEVPLLFFPAKAEVMPQPLGVVLIFSSWNFPFTLALDPLIGAISAGNTVLLKPSDLAPACMSFLVETIPKYLDNKAVKVLAGGADIGERLLQLKWDKIFFTGSPRVGRLVMAAAAKHLTPVTLELGGKSPAIVDTLSTTPSKAKVTAKRIVGGKWGPCTGQACIAIDYVLVEEKLASTLIELLKKTIKRFYGENIKSLKNISRIVNKHQFQRLVNLLKDPDVADSIVYGGSVDEEKLVIEPTILLDPPLDSEIMSEEIFGPLLPIITLKNVEESIDFINSKSNPLVIYAFTEDETFKKRILSETSSGTVTFNDSMVQFLCDSLPFGGVGQSGFGRYHGKYSFDTFSHEKSILHRGFYPEIEPRYPPWTDFKLLFLKLAYQFDYFGLILLLLGFKRS >KJB17708 pep chromosome:Graimondii2_0_v6:3:727397:731004:1 gene:B456_003G011600 transcript:KJB17708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIAELRETFKTGGTKSISWRKSQLKALLQLINQNEDSIFKALDQDLGKSPVESYRDEVGVLKKSVTYTLSCLEKWVAPKKAEVPLLFFPAKAEVMPQPLGVVLIFSSWNFPFTLALDPLIGAISAGNTVLLKPSDLAPACMSFLVETIPKYLDNKAVKVLAGGADIGERLLQLKWDKIFFTGSPRVGRLVMAAAAKHLTPVTLELGGKSPAIVDTLSTTPSKAKVTAKRIVGGKWGPCTGQACIAIDYVLVEEKLASTLIELLKKTIKRFYGENIKSLKNISRIVNKHQFQRLVNLLKDPDVADSIVYGGSVDEEKLVIEPTILLDPPLDSEIMSEEIFGPLLPIITLKNVEESIDFINSKSNPLVIYAFTEDETFKKRILSETSSGTVTFNDSMVQVVDKRT >KJB17710 pep chromosome:Graimondii2_0_v6:3:727397:731734:1 gene:B456_003G011600 transcript:KJB17710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPLGVVLIFSSWNFPFTLALDPLIGAISAGNTVLLKPSDLAPACMSFLVETIPKYLDNKAVKVLAGGADIGERLLQLKWDKIFFTGSPRVGRLVMAAAAKHLTPVTLELGGKSPAIVDTLSTTPSKAKVTAKRIVGGKWGPCTGQACIAIDYVLVEEKLASTLIELLKKTIKRFYGENIKSLKNISRIVNKHQFQRLVNLLKDPDVADSIVYGGSVDEEKLVIEPTILLDPPLDSEIMSEEIFGPLLPIITLKNVEESIDFINSKSNPLVIYAFTEDETFKKRILSETSSGTVTFNDSMVQFLCDSLPFGGVGQSGFGRYHGKYSFDTFSHEKSILHRGFYPEIEPRYPPWTDFKLLFLKLAYQFDYFGLILLLLGFKRS >KJB18296 pep chromosome:Graimondii2_0_v6:3:6036738:6040949:1 gene:B456_003G045400 transcript:KJB18296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNKPRRFKTHNSHRGQSSRNHQFQREDESLPHDQPPEEEPNVPKVQLAMWDFGQCDAKRCTGRKLARFGFPVGSQCVSKEDYNLIKRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALLICGEEETANLLLGKFKWGHAFLSLNRELLKAYSECENSADIISVQNSWLSQQRQVPKVPPDAAEGASNDSEDEEGSSNDSEDGLPPLERNMNHLSLQESDDESE >KJB18297 pep chromosome:Graimondii2_0_v6:3:6038592:6041276:1 gene:B456_003G045400 transcript:KJB18297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLRVNSGFGGIVLSPVGSQCVSKEDYNLIKRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALLICGEEETANLLLGKFKWGHAFLSLNRELLKAYSECENSADIISVQNSWLSQQRQVPKVPPDAAEGASNDSEDEEGSSNDSEDGLPPLERNMNHLSLQESDDESE >KJB20093 pep chromosome:Graimondii2_0_v6:3:38785938:38787218:1 gene:B456_003G132500 transcript:KJB20093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTANPPAEGRFIKRLREEEPPDGGGEGSQPPGFSKPSFKDAVMRDSSENVVPLVDSTLCFQDGDVNRSIHEDIPSIQFSESLLLRANKAKGLTVIIKLLGRRVNVNSLQNRLFSLWKPKGSMKCVDIANDYFEVGFDNPADFSCVLSEGPWVVFGVNLIVQPWSLSFDPSNPFPPSIVSWIRIPNLQSSLYHKPILEEVGATVGKVVCIDKRTMNASRGRFARMAVLIDLTKPLTTRIRVNGKIKVVEYESLHIVCFKCGIYGHTKDNCPRLVVDPKKSDGAAASAGSSGTVLTPAKEDLAEKEAYEPWILVEPRKRPQPKQDNSYISGKIQGNRINGSRFNILAKNGDGSQIEEQGKNQGEEKGKKSEEKKERKS >KJB21094 pep chromosome:Graimondii2_0_v6:3:45407797:45407982:1 gene:B456_003G182700 transcript:KJB21094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHLCSRSQGQPSLLRGFMACQALVRFFTLHRIKPHVPSLVRASSIPLSFILANVLPRWDT >KJB21062 pep chromosome:Graimondii2_0_v6:3:45266865:45267485:1 gene:B456_003G181100 transcript:KJB21062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDCLVLPVSLLRKRSAASRQGYRPLTKDRYDESNRQVMVVAGKEKREFLVDSFVLEESPFRVLIDTIKKDYGEKREKNGRVILVDVDAILFEHMLWLMQNDCSSLFQLNLEEIIDFYSQDN >KJB20865 pep chromosome:Graimondii2_0_v6:3:44025300:44025715:-1 gene:B456_003G169900 transcript:KJB20865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMALDLYTACCPISHSSLVFHLLGFTHSGFITTGDGKRIGLHQPGIEPGSVPWQGTILPLDHWCLFVSSTCILFI >KJB20794 pep chromosome:Graimondii2_0_v6:3:43540353:43540971:-1 gene:B456_003G1653001 transcript:KJB20794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTAYSLFSWLLNGGNQKDGFLPAAFPFLVAMLGLSLWYLFLKARKPMAPLPPGPLGLPIVGYLPFLGFDNLHLVFTELAGVYGPIYKLWLGNKLIVVISSPSLVKEVVRDNDIAFSERESPIAAQIITFGTNDIAFDSYSSPSWKHKRKILASDMLSSANLNACYDLRREKVMEMVGDVYENV >KJB18319 pep chromosome:Graimondii2_0_v6:3:6273667:6276445:-1 gene:B456_003G046700 transcript:KJB18319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRLKLQPPDFSATFINGASPTNISPNRRVRNLRLPLLRYGSPSVRSEYGSASPPPPSSLSEPSVSTHYVSSVGSPSLQLSQWTLTNRHILVLNVVACAVAVSATWLFLSAIPALLAFKRAAESLEKLMDVTREELPDTMAAVRLSGMEISDLTMELSDLGRLLKVLEAQQELFA >KJB18315 pep chromosome:Graimondii2_0_v6:3:6273542:6276463:-1 gene:B456_003G046700 transcript:KJB18315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRLKLQPPDFSATFINGASPTNISPNRRVRNLRLPLLRYGSPSVRSEYGSASPPPPSSLSEPSVSTHYVSSVGSPSLQLSQWTLTNRHILVLNVVACAVAVSATWLFLSAIPALLAFKRAAESLEKLMDVTREELPDTMAAVRLSGMEISDLTMELSDLGQEITQGVRSSTRAVRLAEERLRGLKDIASSGGSQSEN >KJB18320 pep chromosome:Graimondii2_0_v6:3:6273667:6276445:-1 gene:B456_003G046700 transcript:KJB18320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRLKLQPPDFSATFINGASPTNISPNRRVRNLRLPLLRYGSPSVRSEYGSASPPPPSSLSEPSVSTHYVSSVGSPSLQLSQWTLTNRHILVLNVVACAVAVSATWLFLSAIPALLAFKRAAESLEKLMDVTREELPDTMAAVRLSGMEISDLTMELSDLGQEITQGVRSSTRAVRLAEERLRGLKDIASSASVQVVASQKTEVSGPVLARTARNIREGIVKGRAIFQIFFTLTRFSKMALNYFGSRVSGFKKTLNH >KJB18317 pep chromosome:Graimondii2_0_v6:3:6273667:6276440:-1 gene:B456_003G046700 transcript:KJB18317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRLKLQPPDFSATFINGASPTNISPNRRVRNLRLPLLRYGSPSVRSEYGSASPPPPSSLSEPSVSTHYVSSVGSPSLQLSQWTLTNRHILVLNVVACAVAVSATWLFLSAIPALLVGYLEQHQAFKRAAESLEKLMDVTREELPDTMAAVRLSGMEISDLTMELSDLGQEITQGVRSSTRAVRLAEERLRGLKDIASSGGSQSEN >KJB18318 pep chromosome:Graimondii2_0_v6:3:6274191:6276341:-1 gene:B456_003G046700 transcript:KJB18318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRLKLQPPDFSATFINGASPTNISPNRRVRNLRLPLLRYGSPSVRSEYGSASPPPPSSLSEPSVSTHYVSSVGSPSLQLSQWTLTNRHILVLNVVACAVAVSATWLFLSAIPALLVGYLEQHQAFKRAAESLEKLMDVTREELPDTMAAVRLSGMEISDLTMELSDLGQEITQGVRSSTRAVRLAEERLRGLKDIASSASVQVVASQKTEVSGPVLARTARNIREGIVKGRAIFQIFFTLTRFSKMALNYFGSRGKQ >KJB18316 pep chromosome:Graimondii2_0_v6:3:6274191:6276341:-1 gene:B456_003G046700 transcript:KJB18316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRLKLQPPDFSATFINGASPTNISPNRRVRNLRLPLLRYGSPSVRSEYGSASPPPPSSLSEPSVSTHYVSSVGSPSLQLSQWTLTNRHILVLNVVACAVAVSATWLFLSAIPALLAFKRAAESLEKLMDVTREELPDTMAAVRLSGMEISDLTMELSDLGQEITQGVRSSTRAVRLAEERLRGLKDIASSASVQVVASQKTEVSGPVLARTARNIREGIVKGRAIFQIFFTLTRFSKMALNYFGSRGKQ >KJB17718 pep chromosome:Graimondii2_0_v6:3:773796:781025:-1 gene:B456_003G012100 transcript:KJB17718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLLVQEETKKITFEVLVKVLMGVGPGEDLEFLRSEFEEFIKGLICLPIKLPGTRLYKSLKAKERLLKVVKKMVEERKLAMEEETDEKGMAKDAVDVLLRDSSEEPSSDQIKQSLPLDFISGNIIEMMIPGEETVPMAMTLAVKFLSGCPVALQQLMEENMELKKQKKESGEDYSWTDYLSLPFTQNVISETLRMANIINGVWRKALKDIDIKGYLIPKGWCVLTSFISVHMDEENYRNPYLFEPWRWEKIGAAANNNSFTPFGGGQRLCPGLELSRLEVSIFLHHLVTTYRWVAQEDEIIYFPTVKMKKKLPIKVTPLCNYQ >KJB17722 pep chromosome:Graimondii2_0_v6:3:773813:781095:-1 gene:B456_003G012100 transcript:KJB17722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYIVKSEGFESKEDMGLLIIIISLMGVVWFWYKMKKMMRKEDRGTNKSGGSGGGGGGVVPKGNLGWPFIGETLHFIASGYSSQPVSFMDKRKLLHGKVFKTHLLGTPIIVSTDPDVNKVVLQNHGNTFIPAYPKSITELLGEHSILQVNGNLQKRLHALIAGFLRSPQFKTRITAHIESSVVSTLGSWQHMQVLLVQEETKKITFEVLVKVLMGVGPGEDLEFLRSEFEEFIKGLICLPIKLPGTRLYKSLKAKERLLKVVKKMVEERKLAMEEETDEKGMAKDAVDVLLRDSSEEPSSDQIKQSLPLDFISGNIIEMMIPGEETVPMAMTLAVKFLSGCPVALQQLMEENMELKKQKKESGEDYSWTDYLSLPFTQNVISETLRMANIINGVWRKALKDIDIKGYLIPKGWCVLTSFISVHMDEENYRNPYLFEPWRWEKIGAAANNNSFTPFGGGQRLCPGLELSRLEVSIFLHHLVTTYR >KJB17723 pep chromosome:Graimondii2_0_v6:3:777366:780962:-1 gene:B456_003G012100 transcript:KJB17723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYIVKSEGFESKEDMGLLIIIISLMGVVWFWYKMKKMMRKEDRGTNKSGGSGGGGGGVVPKGNLGWPFIGETLHFIASGYSSQPVSFMDKRKLLHGKVFKTHLLGTPIIVSTDPDVNKVVLQNHGNTFIPAYPKSITELLGEHSILQVNGNLQKRLHALIAGFLRSPQFKTRITAHIESSVVSTLGSWQHMQVLLVQEETKKITFEVLVKVLMGVGPGEDLEFLRSEFEEFIKGLICLPIKLPGTRLYKSLKAKERLLKVVKKMVEERKLAMEEETDEKGMAKDAVDVLLRDSSEEPSSDQIKQSLPLDFISGNIIEMMIPGEETVPMAMTLAVKFLSGCPVALQQLMVRIIKKNHDYLFFLFIQRLGSFS >KJB17721 pep chromosome:Graimondii2_0_v6:3:773760:781028:-1 gene:B456_003G012100 transcript:KJB17721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSSLLTLNPLLSYLFKTRITAHIESSVVSTLGSWQHMQVLLVQEETKKITFEVLVKVLMGVGPGEDLEFLRSEFEEFIKGLICLPIKLPGTRLYKSLKAKERLLKVVKKMVEERKLAMEEETDEKGMAKDAVDVLLRDSSEEPSSDQIKQSLPLDFISGNIIEMMIPGEETVPMAMTLAVKFLSGCPVALQQLMEENMELKKQKKESGEDYSWTDYLSLPFTQNVISETLRMANIINGVWRKALKDIDIKGYLIPKGWCVLTSFISVHMDEENYRNPYLFEPWRWEKIGAAANNNSFTPFGGGQRLCPGLELSRLEVSIFLHHLVTTYRWVAQEDEIIYFPTVKMKKKLPIKVTPLCNYQ >KJB17719 pep chromosome:Graimondii2_0_v6:3:775025:780960:-1 gene:B456_003G012100 transcript:KJB17719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYIVKSEGFESKEDMGLLIIIISLMGVVWFWYKMKKMMRKEDRGTNKSGGSGGGGGGVVPKGNLGWPFIGETLHFIASGYSSQPVSFMDKRKLLHGKVFKTHLLGTPIIVSTDPDVNKVVLQNHGNTFIPAYPKSITELLGEHSILQVNGNLQKRLHALIAGFLRSPQFKTRITAHIESSVVSTLGSWQHMQVLLVQEETKKITFEVLVKVLMGVGPGEDLEFLRSEFEEFIKGLICLPIKLPGTRLYKSLKAKERLLKVVKKMVEERKLAMEEETDEKGMAKDAVDVLLRDSSEEPSSDQIKQSLPLDFISGNIIEMMIPGEETVPMAMTLAVKFLSGCPVALQQLMEENMELKKQKKESGEDYSWTDYLSLPFTQNVISETLRMANIINGVWRKALKDIDIKGYLIPKGWCVLTSFISVHMDEENYRNPYLFEPWRWEKIGAAANNNSFTPFGGGQRLCPGLELSRLEVSIFLHHLVTTYR >KJB17720 pep chromosome:Graimondii2_0_v6:3:773760:781101:-1 gene:B456_003G012100 transcript:KJB17720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYIVKSEGFESKEDMGLLIIIISLMGVVWFWYKMKKMMRKEDRGTNKSGGSGGGGGGVVPKGNLGWPFIGETLHFIASGYSSQPVSFMDKRKLLHGKVFKTHLLGTPIIVSTDPDVNKVVLQNHGNTFIPAYPKSITELLGEHSILQVNGNLQKRLHALIAGFLRSPQFKTRITAHIESSVVSTLGSWQHMQVLLVQEETKKITFEVLVKVLMGVGPGEDLEFLRSEFEEFIKGLICLPIKLPGTRLYKSLKAKERLLKVVKKMVEERKLAMEEETDEKGMAKDAVDVLLRDSSEEPSSDQIKQSLPLDFISGNIIEMMIPGEETVPMAMTLAVKFLSGCPVALQQLMEENMELKKQKKESGEDYSWTDYLSLPFTQNVISETLRMANIINGVWRKALKDIDIKGYLIPKGWCVLTSFISVHMDEENYRNPYLFEPWRWEKIGAAANNNSFTPFGGGQRLCPGLELSRLEVSIFLHHLVTTYRWVAQEDEIIYFPTVKMKKKLPIKVTPLCNYQ >KJB20909 pep chromosome:Graimondii2_0_v6:3:44304707:44306852:1 gene:B456_003G171800 transcript:KJB20909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSDAALDPPGLVAIAVAHAFALFVGVAIAANISGGHLNPAVTFGLAIGGNITILTGLFYWVAQCLGSIVACLLLQFVTNGLSVPTHGVASGMNAVGGVVMEIVITFALVYTVYATAADPKKGSLGIIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGNFADNWIYWVGPLVGGGLAGLIYGDVFIGSYSAAPASEDYA >KJB20908 pep chromosome:Graimondii2_0_v6:3:44304705:44306984:1 gene:B456_003G171800 transcript:KJB20908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIAFGSIGDSFSVGSLKAYLAEFIATLLFVFAGVGSAIAYGKLTSDAALDPPGLVAIAVAHAFALFVGVAIAANISGGHLNPAVTFGLAIGGNITILTGLFYWVAQCLGSIVACLLLQFVTNGLSVPTHGVASGMNAVGGVVMEIVITFALVYTVYATAADPKKGSLGIIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGNFADNWIYWVGPLVGGGLAGLIYGDVFIGSYSAAPASEDYA >KJB20910 pep chromosome:Graimondii2_0_v6:3:44304707:44306852:1 gene:B456_003G171800 transcript:KJB20910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLTSDAALDPPGLVAIAVAHAFALFVGVAIAANISGGHLNPAVTFGLAIGGNITILTGLFYWVAQCLGSIVACLLLQFVTNGLSVPTHGVASGMNAVGGVVMEIVITFALVYTVYATAADPKKGSLGIIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGNFADNWIYWVGPLVGGGLAGLIYGDVFIGSYSAAPASEDYA >KJB20381 pep chromosome:Graimondii2_0_v6:3:41229983:41235194:1 gene:B456_003G145800 transcript:KJB20381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDELLTEHYKEQSKLIENEARKQAKARKCYVSDDEDKNCKEAMLASLLDDCQKQMKAIHSVEEMSEWGLCVFGEQKTTPPLSFPELGNWSILQSFMNNELNSLVGLTKEKGCTFLEGLLKNGWLLKLIFKCGCVEKSLATWTFFLMLYSSKEELRSSACEFWCAILSSKIQVGMQPIEIDRYPSYPELKSALETYGFLFNFSSNISAENSSGCKGPPQNIITWIKFTAVYCQVRCNKQSILLTSDCQELAEVILCLFLDRRLQGLSVLMRNCMQSIISSFTEEEWINSYSRIAKSIASRVPTDLNCLRAVQCISGVDPRTKHLKSVVAFEILVNCFENKVHDDVGILTLLISINVKEKACDFFKMYIYLVLAENWLRYDEMFSDKPVICEMWGVFLRNCSCQISSSDLRPRASEVRNKAAFLLQGIGNS >KJB20380 pep chromosome:Graimondii2_0_v6:3:41229627:41235194:1 gene:B456_003G145800 transcript:KJB20380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDIDEPLDFEVEDSLLINPVVPSKRKNLMGLDELLTEHYKEQSKLIENEARKQAKARKCYVSDDEDKNCKEAMLASLLDDCQKQMKAIHSVEEMSEWGLCVFGEQKTTPPLSFPELGNWSILQSFMNNELNSLVGLTKEKGCTFLEGLLKNGWLLKLIFKCGCVEKSLATWTFFLMLYSSKEELRSSACEFWCAILSSKIQVGMQPIEIDRYPSYPELKSALETYGFLFNFSSNISAENSSGCKGPPQNIITWIKFTAVYCQVRCNKQSILLTSDCQELAEVILCLFLDRRLQGLSVLMRNCMQSIISSFTEEEWINSYSRIAKSIASRVPTDLNCLRAVQCISGVDPRTKHLKSVVAFEILVNCFENKVHDDVGILTLLISINVKEKACDFFKMYIYLVLAENWLRYDEMFSDKPVICEMWGVFLRNCSCQISSSDLRPRASEVRNKAAFLLQGIGNS >KJB18350 pep chromosome:Graimondii2_0_v6:3:6518703:6520209:-1 gene:B456_003G047900 transcript:KJB18350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQQQPPPQVLLVTFASQGHINPSLQFANNLINNGVHVTLMSASSMIHRFNKASPVHGLSYAYYSDGYDHAFQLSGDTNHYLSETKRHGTQSLREFLADQGTRFTSIVYCTLLPWVATVAREFHIPATLLWFQPASLLNICYHYFKGYHEIITKNIDDPMFTVQMPGLPPLSRHDLPSFFIPSNPQAMPLLTMKEHVEVLDQETNPRVLVNTFDLLETEAIKAADKWYSMVGIGPLMPNLAETSMGGDLFKVTKEHREMEWLNTKPQTSVIYVAFGSLSTLAKPQMELLAKGLLETGRPFLWVIRESTDEQEKDPLSRLNELKKLGMIVPWCPQVQVLSHPSIGCFLTHSGWNSTFESLVSGVPMVTFPQWVDQGTNSKLVQDVWKTGVRLRENEDGMVEAGEIKRCLEMVMGGEEIKKNAKKWKDLAKEAVEEGGTSYNNIKAFVEELTNK >KJB21079 pep chromosome:Graimondii2_0_v6:3:45383454:45387094:1 gene:B456_003G182200 transcript:KJB21079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDNLTDKNAVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSTEQLKMMIYGGNNRAQVFFKQHGWSDGGKIEAKYTSRAADLYRQILSKEVAKSMAEEAGLPSSPVASQSPQAPNGPLNSKTDETPKEIPLGGQEKVELPASPKASHKVVTSTVKKPLGAKRTGKTGGLGARKLTSKPSENLYDQKPEERVVPVASPTNNTAPVSSTFPSRFEYVDNDQSSESSSGGPQILSHVAPPKSSSFFAEFGMDSGFQKKSSSNTSKVQVQETDEARRKFSNAKSISSAQYFGDNTRADNDAQVTLQKFSVRAV >KJB21083 pep chromosome:Graimondii2_0_v6:3:45384428:45387094:1 gene:B456_003G182200 transcript:KJB21083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIYGGNNRAQVFFKQHGWSDGGKIEAKYTSRAADLYRQILSKEVAKSMAEEAGLPSSPVASQSPQAPNGPLNSKTDETPKEIPLGGQEKVELPASPKASHKVVTSTVKKPLGAKRTGKTGGLGARKLTSKPSENLYDQKPEERVVPVASPTNNTAPVSSTFPSRFEYVDNDQSSESSSGGPQILSHVAPPKSSSFFAEFGMDSGFQKKSSSNTSKVQVQETDEARRKFSNAKSISSAQYFGDNTRADNDAQVTLQKFSGSTAISSADLFGHSADGSIDVAASDLINRLSFQAQQDISNLKNIAGETGKKLSSLASTLMSDLQDRIL >KJB21080 pep chromosome:Graimondii2_0_v6:3:45383454:45387094:1 gene:B456_003G182200 transcript:KJB21080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDNLTDKNAVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSTEQLKMMIYGGNNRAQVFFKQHGWSDGGKIEAKYTSRAADLYRQILSKEVAKSMAEEAGLPSSPVASQSPQAPNGPLNSKTDETPKEIPLGGQEKVELPASPKASHKVVTSTVKKPLGAKRTGKTGGLGARKLTSKPSENLYDQKPEERVVPVASPTNNTAPVSSTFPSRFEYVDNDQSSESSSGGPQILSHVAPPKSSSFFAEFGMDSGFQKKSSSNTSKVQVQETDEARRKFSNAKSISSAQYFGDNTRADNDAQVTLQKFSGSTAISSADLFGHSADGSIDVAASDLINRLSFQVCFVNCIFYAFPVFLYRV >KJB21077 pep chromosome:Graimondii2_0_v6:3:45383384:45387188:1 gene:B456_003G182200 transcript:KJB21077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDNLTDKNAVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSTEQLKMMIYGGNNRAQVFFKQHGWSDGGKIEAKYTSRAADLYRQILSKEVAKSMAEEAGLPSSPVASQSPQAPNGPLNSKTDETPKEIPLGGQEKVELPASPKASHKVVTSTVKKPLGAKRTGKTGGLGARKLTSKPSENLYDQKPEERVVPVASPTNNTAPVSSTFPSRFEYVDNDQSSESSSGGPQILSHVAPPKSSSFFAEFGMDSGFQKKSSSNTSKVQVQETDEARRKFSNAKSISSAQYFGDNTRADNDAQVTLQKFSGSTAISSADLFGHSADGSIDVAASDLINRLSFQAQQDISNLKNIAGETGKKLSSLASTLMSDLQDRIL >KJB21081 pep chromosome:Graimondii2_0_v6:3:45383856:45386736:1 gene:B456_003G182200 transcript:KJB21081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSTEQLKMMIYGGNNRAQVFFKQHGWSDGGKIEAKYTSRAADLYRQILSKEVAKSMAEEAGLPSSPVASQSPQAPNGPLNSKTDETPKEIPLGGQEKVELPASPKASHKVVTSTVKKPLGAKRTGKTGGLGARKLTSKPSENLYDQKPEERVVPVASPTNNTAPVSSTFPSRFEYVDNDQSSESSSGGPQILSHVAPPKSSSFFAEFGMDSGFQKKSSSNTSKVQVQETDEARRKFSNAKSISSAQYFGDNTRADNDAQVTLQKFSGSTAISSADLFGHSADGSIDVAASDLINRLSFQAQQDISNLKNIAGETGKKLSSLASTLMSDLQDRIL >KJB21082 pep chromosome:Graimondii2_0_v6:3:45383454:45387094:1 gene:B456_003G182200 transcript:KJB21082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDNLTDKNAVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSTEQLKMMIYGGNNRAQVFFKQHGWSDGGKIEAKYTSRAADLYRQILSKEVAKSMAEEAGGQEKVELPASPKASHKVVTSTVKKPLGAKRTGKTGGLGARKLTSKPSENLYDQKPEERVVPVASPTNNTAPVSSTFPSRFEYVDNDQSSESSSGGPQILSHVAPPKSSSFFAEFGMDSGFQKKSSSNTSKVQVQETDEARRKFSNAKSISSAQYFGDNTRADNDAQVTLQKFSGSTAISSADLFGHSADGSIDVAASDLINRLSFQAQQDISNLKNIAGETGKKLSSLASTLMSDLQDRIL >KJB21078 pep chromosome:Graimondii2_0_v6:3:45383454:45387094:1 gene:B456_003G182200 transcript:KJB21078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDNLTDKNAVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSTEQLKMMIYGGNNRAQVFFKQHGWSDGGKIEAKYTSRAADLYRQILSKEVAKSMAEEAGLPSSPVASQSPQAPNGPLNSKTDETPKEIPLGGQEKVELPASPKASHKVVTSTVKKPLGAKRTGKTGGLGARKLTSKPSENLYDQKPEERVVPVASPTNNTAPVSSTFPSRFEYVDNDQSSESSSGGPQILSHVAPPKSSSFFAEFGMDSGFQKKSSSNTSKVQVRN >KJB20718 pep chromosome:Graimondii2_0_v6:3:42987740:42999004:-1 gene:B456_003G160900 transcript:KJB20718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFISCLSISCLNKLIHNFRIFEGLSDDDLRESAYELLLASMFFSGVEMFPVEDRKKEKNSKFLSRLKSKREKPHSRPQLSERHSELVDTIRAQMQISEAMDACIRRNMVQLTARRTCGQIDLPQISLELLIGIFRSDFPNEKSYIQWKSRQVNILEEVLYFSAELPETERVIIKTCLAKIRDTKEWDVAMSPAQRVEIISSIRQVASKAFFQQGKFGLQNETYYWQAAYHLNIRLYEKLLNGVFDILDEGQLIEEADAILSLIKLTWSTLGITDKLHDALYGWALVQQFVDTAEGTLLEHAVLQLQRVVSAKEDDCNEGQYMNSITCLKERNGSQKKLNLVQSIFLSIGTWCDSKLQDYHLHFSEKPVHFKKVVALASTIGLLSSADCADKVIVNASREKVKSYVERSLEAAIGRVAVTVLESKERTHRLALLANQLRLIADRELKIFFPVLRQWSSESMMISVQKLHQFYGEILITFLKGVSSLSEDARSVLPAAYALDNELGQLYTSALEEQKVQHSPRPYLDHYQIEKVSGPLIIDWVIGQHTHILEWTRRALDLEDWEPLSFHQRQAASIVEVFRILEETVDQLFGMNLPLDITHLQALLSIVFHSLDAYLQRVLNQLVEKNHLYPSAPPLTRYTETAIPLIKKRLNEYKVLDDIMLDRLNELTIPKLCIRLNTLQYIQKQVGVLEDDIRNSWAAVRPSLNQTQAEEEPVEILESDSLTHNETVDELFGTTFNIIRDTAKDIGQKTCDLIGTRVVFWDLRDAFLFHLYRGNVESTRLENFLPDFDMVLDNVCGVIDDAVRDVVVISIYKASLEGFVWVLLDGGPCRAFSDSDIILMEEDLLTLKEFFIADGEGLPESLVEQEAKFAERILHMFSLQTETVIQMLMTASELISMGLDSNKQGHTNLGDAHILMRVLCHKKDREASKFLKVQYQLPMSSDYDDTPLGDSTSRLPRMSDVLKRSTSIRWNKKRQSSFKSMKKKIQGATNEIRNVGR >KJB20716 pep chromosome:Graimondii2_0_v6:3:42987622:42999515:-1 gene:B456_003G160900 transcript:KJB20716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCITGRRIKMEQDSLLQRYRRDRRKLLEFLLSSGLIKEVRTPSGSTPLYDADFDKLSADYILHCIKSGGIVDVSEASKKYYAESAHPIMIHSKLGDSYFLTSDPDIAGSPPRRVPPSTSVSSNNHASSSSSKLDSFDMKSVETHGDDYGLKQKVEAAVARASFRDSGIPSLGLPTLKTGLSDDDLRESAYELLLASMFFSGVEMFPVEDRKKEKNSKFLSRLKSKREKPHSRPQLSERHSELVDTIRAQMQISEAMDACIRRNMVQLTARRTCGQIDLPQISLELLIGIFRSDFPNEKSYIQWKSRQVNILEEVLYFSAELPETERVIIKTCLAKIRDTKEWDVAMSPAQRVEIISSIRQVASKAFFQQGKFGLQNETYYWQAAYHLNIRLYEKLLNGVFDILDEGQLIEEADAILSLIKLTWSTLGITDKLHDALYGWALVQQFVDTAEGTLLEHAVLQLQRVVSAKEDDCNEGQYMNSITCLKERNGSQKKLNLVQSIFLSIGTWCDSKLQDYHLHFSEKPVHFKKVVALASTIGLLSSADCADKVIVNASREKVKSYVERSLEAAIGRVAVTVLESKERTHRLALLANQLRLIADRELKIFFPVLRQWSSESMMISVQKLHQFYGEILITFLKGVSSLSEDARSVLPAAYALDNELGQLYTSALEEQKVQHSPRPYLDHYQIEKVSGPLIIDWVIGQHTHILEWTRRALDLEDWEPLSFHQRQAASIVEVFRILEETVDQLFGMNLPLDITHLQALLSIVFHSLDAYLQRVLNQLVEKNHLYPSAPPLTRYTETAIPLIKKRLNEYKVLDDIMLDRLNELTIPKLCIRLNTLQYIQKQVGVLEDDIRNSWAAVRPSLNQTQAEEEPVEILESDSLTHNETVDELFGTTFNIIRDTAKDIGQKTCDLIGTRVVFWDLRDAFLFHLYRGNVESTRLENFLPDFDMVLDNVCGVIDDAVRDVVVISIYKASLEGFVWVLLDGGPCRAFSDSDIILMEEDLLTLKEFFIADGEGLPESLVEQEAKFAERILHMFSLQTETVIQMLMTASELISMGLDSNKQGHTNLGDAHILMRVLCHKKDREASKFLKVQYQLPMSSDYDDTPLGDSTSRLPRMSDVLKRSTSIRWNKKRQSSFKSMKKKIQGATNEIRNVGR >KJB20717 pep chromosome:Graimondii2_0_v6:3:42987740:42999473:-1 gene:B456_003G160900 transcript:KJB20717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFISCLSISCLNKLIHNFRIFEGLSDDDLRESAYELLLASMFFSGVEMFPVEDRKKEKNSKFLSRLKSKREKPHSRPQLSERHSELVDTIRAQMQISEAMDACIRRNMVQLTARRTCGQIDLPQISLELLIGIFRSDFPNEKSYIQWKSRQVNILEEVLYFSAELPETERVIIKTCLAKIRDTKEWDVAMSPAQRVEIISSIRQVASKAFFQQGKFGLQNETYYWQAAYHLNIRLYEKLLNGVFDILDEGQLIEEADAILSLIKLTWSTLGITDKLHDALYGWALVQQFVDTAEGTLLEHAVLQLQRVVSAKEDDCNEGQYMNSITCLKERNGSQKKLNLVQSIFLSIGTWCDSKLQDYHLHFSEKPVHFKKVVALASTIGLLSSADCADKVIVNASREKVKSYVERSLEAAIGRVAVTVLESKERTHRLALLANQLRLIADRELKIFFPVLRQWSSESMMISVQKLHQFYGEILITFLKGVSSLSEDARSVLPAAYALDNELGQLYTSALEEQKVQHSPRPYLDHYQIEKVSGPLIIDWVIGQHTHILEWTRRALDLEDWEPLSFHQRQAASIVEVFRILEETVDQLFGMNLPLDITHLQALLSIVFHSLDAYLQRVLNQLVEKNHLYPSAPPLTRYTETAIPLIKKRLNEYKVLDDIMLDRLNELTIPKLCIRLNTLQYIQKQVGVLEDDIRNSWAAVRPSLNQTQAEEEPVEILESDSLTHNETVDELFGTTFNIIRDTAKDIGQKTCDLIGTRVVFWDLRDAFLFHLYRGNVESTRLENFLPDFDMVLDNVCGVIDDAVRDVVVISIYKASLEGFVWVLLDGGPCRAFSDSDIILMEEDLLTLKEFFIADGEGLPESLVEQEAKFAERILHMFSLQTETVIQMLMTASELISMGLDSNKQGHTNLGDAHILMRVLCHKKDREASKFLKVQYQLPMSSDYDDTPLGDSTSRLPRMSDVLKRSTSIRWNKKRQSSFKSMKKKIQGATNEIRNVGR >KJB21085 pep chromosome:Graimondii2_0_v6:3:45389304:45391876:-1 gene:B456_003G182300 transcript:KJB21085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQPSASKSRTQKSKKRSRDGNQNPGGAKHENLQVKIQLFQDQPNKIPPLVAYFPSGYNPQTQQRQDEEESNNQNNSPKVPKVKVFRNMAQRKGNRLQVVVSPGGSNVDFVGSSFTGEAAAAQVCRYSLGVLDKEAGTLKIIPIATNKRKDAINLKKEVDSESQKSLDKKIEEVAFDKEALANTSSLIARNIPPHNVSATTPLEAYPLDKIILKGDWDFLGDIYKLWQGGEKVATNAYPHFVCNRIRKLDAIKDETQQWKLSCILSYITHLMKFRDQFSMGHVKSGKKHNIPSIIHHRFLNLFTDPESKILASEKINLFISYVLVLALHVDGFRTDPSDIAQDLRISKVDLRQHFLNLGCKLVRQNSILYATLPVPLNFPSQNIRRKRRQ >KJB21084 pep chromosome:Graimondii2_0_v6:3:45389293:45391962:-1 gene:B456_003G182300 transcript:KJB21084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQPSASKSRTQKSKKRSRDGNQNPGGAKHENLQVKIQLFQDQPNKIPPLVAYFPSGYNPQTQQRQDEEESNNQNNSPKVPKVKVFRNMAQRKGNRLQVVVSPGGSNVDFVGSSFTGEAAAAQVCRYSLGVLDKEAGTLKIIPIATNKIFRLEPRVRTAETANEEASNSTKSELTANRMDKLGELTALYGTKRERKKRKDAINLKKEVDSESQKSLDKKIEEVAFDKEALANTSSLIARNIPPHNVSATTPLEAYPLDKIILKGDWDFLGDIYKLWQGGEKVATNAYPHFVCNRIRKLDAIKDETQQWKLSCILSYITHLMKFRDQFSMGHVKSGKKHNIPSIIHHRFLNLFTDPESKILASEKINLFISYVLVLALHVDGFRTDPSDIAQDLRISKVDLRQHFLNLGCKLVRQNSILYATLPVPLNFPSQNIRRKRRQ >KJB20971 pep chromosome:Graimondii2_0_v6:3:44705855:44708572:-1 gene:B456_003G175200 transcript:KJB20971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLNKTIPTPKLWGKKKSQQRRSPFFFLSLFLLSLIFLFFLTFTSIPKSLFSSSSSKTTALSLQFPHCEIRISGEKFLWYAPHSGFSNQLSEFKNALLMAGILNRTLIIPPILSHHAIALGSCPKFRVQSPKEIRVSVWDHVIELITSGRYVSMADIIDISSVLSSSHVRAIDFRVFVSSWCGLDLDLACSKEPNTQPTYLVDSLKQCGSLLSGVDGNIDRCLFAVDDDCRTTVWTYGNYEADGALDSFQPNEQLKKKKKISYVRRRRDVYKTLGPGSKADSATVLAFGTLFTAPYKGSELYIDIQKAPRDSNIQSLIKKIKFLPFVPEIISAGKQFAVQIVKAPFLCAQLRLLDGQFKNHWEATFSGLKQKLDSLSQTVSRPIHVFVMTDLPRGNWTGNYLGDLAKDSTNFKLYFMNEEDSLVMETAKKLALAGHGLRFGSSLGGIESTDTVAKLQKHCAPHILPDILLFIEETICSCGSLGFFGTAGSTIADNIEIMRKFSSCSNQREDHKMT >KJB19732 pep chromosome:Graimondii2_0_v6:3:35743551:35745879:1 gene:B456_003G118200 transcript:KJB19732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIQQADGVEGPLPVASSETVGSKRQRRPSVRLGDIGGEQPYDSHVRRPSSSSKQWKHHSNNLSLNPSLASPNFKSSKTRALTNLTTDFNATNDTLDDHTEAQNNLDGVSIGSWGVKDSTKRGPATKRPRSNWVPKIDDANGNNNNSHVETEDKYSGSKDNENFDMENSESPMKEQSPIHSLDNLGIDGNEREVLYPGNNQRCPIRTRVFDGVDLSAPSCEEDGVSIWLNSLGLGRYAPVFEIHEVDDEVLPLLTLEDLKDMGINAVGSRRKLFCAIQKLSKGFS >KJB19731 pep chromosome:Graimondii2_0_v6:3:35743551:35744817:1 gene:B456_003G118200 transcript:KJB19731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIQQADGVEGPLPVASSETVGSKRQRRPSVRLGDIGGEQPYDSHVRRPSSSSKQWKHHSNNLSLNPSLASPNFKSSKTRALTNLTTDFNATNDTLDDHTEAQNNLDGVSIGSWGVKDSTKRGPATKRPRSNWVPKIDDANGNNNNSHVETEDKYSGSKDNENFDMENSESPMKEQSPIHSLDNLGIDGNEREVLYPGNNQRCPIRTRVFDGVDLSAPSCEEDGVSIWLNSLGLGRYAPVFEIHEVDDEVLPLLTLEDLKDMGINAVGSRRKLFCAIQKLSKGFS >KJB19734 pep chromosome:Graimondii2_0_v6:3:35743551:35745879:1 gene:B456_003G118200 transcript:KJB19734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIQQADGVEGPLPVASSETVGSKRQRRPSVRLGDIGGEQPYDSHVRRPSSSSKQWKHHSNNLSLNPSLASPNFKSSKTRALTNLTTDFNATNDTLDDHTEAQNNLDGVSIGSWGVKDSTKRGPATKRPRSNWVPKIDDANGNNNNSHVETEDKYSGSKDNENFDMENSESPMKEQSPIHSLDNLGIDGNEREVLYPGNNQRCPIRTRVFDGVDLSAPSCEEDGVSIWLNSLGLGRYAPVFEIHEVDDEVLPLLTLEDLKDMGINAVGSRRKLFCAIQKLSKGFS >KJB19733 pep chromosome:Graimondii2_0_v6:3:35743551:35745879:1 gene:B456_003G118200 transcript:KJB19733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIQQADGVEGPLPVASSETVGSKRQRRPSVRLGDIGGEQPYDSHVRRPSSSSKQWKHHSNNLSLNPSLASPNFKSSKTRALTNLTTDFNATNDTLDDHTEAQNNLDGVSIGSWGVKDSTKRGPATKRPRSNWVPKIDDANGNNNNSHVETEDKYSGSKDNENFDMENSESPMKEQSPIHSLDNLGIDGNEREVLYPGNNQRCPIRTRVFDGVDLSAPSCEEDGVSIWLNSLGLGRYAPVFEIHEVDDEVLPLLTLEDLKDMGINAVGSRRKLFCAIQKLSKGFS >KJB18378 pep chromosome:Graimondii2_0_v6:3:7062361:7065662:-1 gene:B456_003G049600 transcript:KJB18378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cinnamyl alcohol dehydrogenase 9 [Source:Projected from Arabidopsis thaliana (AT4G39330) UniProtKB/Swiss-Prot;Acc:P42734] MAKSAEEENQQKAFGWAATDNSGILSPFNFSRRENGDDDVTLKILYCGVCHSDLHTLRNDWGFSFYPVVPGHEISGVVTEVGKNVTKFNIGDRVGVGVLVGSCKTCECCEQDLENYCPRIIFTYNSYNPDGTKNYGGYSDMIVVDQRYVLRFPDNLPLDAGAPLLCAGITVYSPMKYYGMTEAGKHLGVSGLGGLGHVAVKIGKAFGLKVTAISSSPQKESEAINRLGADSFLVSNDPEKMKSAIGTMDYIIDTVSAVHPLLPLLSLLKDGSLLEEVMLEG >KJB18382 pep chromosome:Graimondii2_0_v6:3:7063150:7065662:-1 gene:B456_003G049600 transcript:KJB18382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cinnamyl alcohol dehydrogenase 9 [Source:Projected from Arabidopsis thaliana (AT4G39330) UniProtKB/Swiss-Prot;Acc:P42734] MAKSAEEENQQKAFGWAATDNSGILSPFNFSRRENGDDDVTLKILYCGVCHSDLHTLRNDWGFSFYPVVPGHEISGVVTEVGKNVTKFNIGDRVGVGVLVGSCKTCECCEQDLENYCPRIIFTYNSYNPDGTKNYGGYSDMIVVDQRYVLRFPDNLPLDAGAPLLCAGITVYSPMKYYGMTEAGKHLGVSGLGGLGHVAVKIGKAFGLKVTAISSSPQKESEAINRLGADSFLVSNDPEKMKVHNKLT >KJB18380 pep chromosome:Graimondii2_0_v6:3:7062361:7065662:-1 gene:B456_003G049600 transcript:KJB18380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cinnamyl alcohol dehydrogenase 9 [Source:Projected from Arabidopsis thaliana (AT4G39330) UniProtKB/Swiss-Prot;Acc:P42734] MAKSAEEENQQKAFGWAATDNSGILSPFNFSRRENGDDDVTLKILYCGVCHSDLHTLRNDWGFSFYPVVPGHEISGVVTEVGKNVTKFNIGDRVGVGVLVGSCKTCECCEQDLENYCPRIIFTYNSYNPDGTKNYGITVYSPMKYYGMTEAGKHLGVSGLGGLGHVAVKIGKAFGLKVTAISSSPQKESEAINRLGADSFLVSNDPEKMKSAIGTMDYIIDTVSAVHPLLPLLSLLKVNGKLVTVGLPNKPLELPVFPLVMGRKLIGGSDVGGMKETQEMLDFCAKHNITADIELIRIEEINTAMERLAKSDVRYRFVIDVANSLSQ >KJB18379 pep chromosome:Graimondii2_0_v6:3:7062361:7065662:-1 gene:B456_003G049600 transcript:KJB18379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cinnamyl alcohol dehydrogenase 9 [Source:Projected from Arabidopsis thaliana (AT4G39330) UniProtKB/Swiss-Prot;Acc:P42734] MTGVSPFTLLFLGVVTEVGKNVTKFNIGDRVGVGVLVGSCKTCECCEQDLENYCPRIIFTYNSYNPDGTKNYGGYSDMIVVDQRYVLRFPDNLPLDAGAPLLCAGITVYSPMKYYGMTEAGKHLGVSGLGGLGHVAVKIGKAFGLKVTAISSSPQKESEAINRLGADSFLVSNDPEKMKSAIGTMDYIIDTVSAVHPLLPLLSLLKVNGKLVTVGLPNKPLELPVFPLVMGRKLIGGSDVGGMKETQEMLDFCAKHNITADIELIRIEEINTAMERLAKSDVRYRFVIDVANSLSQ >KJB18376 pep chromosome:Graimondii2_0_v6:3:7062349:7065743:-1 gene:B456_003G049600 transcript:KJB18376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cinnamyl alcohol dehydrogenase 9 [Source:Projected from Arabidopsis thaliana (AT4G39330) UniProtKB/Swiss-Prot;Acc:P42734] MAKSAEEENQQKAFGWAATDNSGILSPFNFSRRENGDDDVTLKILYCGVCHSDLHTLRNDWGFSFYPVVPGHEISGVVTEVGKNVTKFNIGDRVGVGVLVGSCKTCECCEQDLENYCPRIIFTYNSYNPDGTKNYGGYSDMIVVDQRYVLRFPDNLPLDAGAPLLCAGITVYSPMKYYGMTEAGKHLGVSGLGGLGHVAVKIGKAFGLKVTAISSSPQKESEAINRLGADSFLVSNDPEKMKSAIGTMDYIIDTVSAVHPLLPLLSLLKVNGKLVTVGLPNKPLELPVFPLVMGRKLIGGSDVGGMKETQEMLDFCAKHNITADIELIRIEEINTAMERLAKSDVRYRFVIDVANSLSQ >KJB18377 pep chromosome:Graimondii2_0_v6:3:7062361:7063910:-1 gene:B456_003G049600 transcript:KJB18377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cinnamyl alcohol dehydrogenase 9 [Source:Projected from Arabidopsis thaliana (AT4G39330) UniProtKB/Swiss-Prot;Acc:P42734] MHDLSHRHEISGVVTEVGKNVTKFNIGDRVGVGVLVGSCKTCECCEQDLENYCPRIIFTYNSYNPDGTKNYGGYSDMIVVDQRYVLRFPDNLPLDAGAPLLCAGITVYSPMKYYGMTEAGKHLGVSGLGGLGHVAVKIGKAFGLKVTAISSSPQKESEAINRLGADSFLVSNDPEKMKSAIGTMDYIIDTVSAVHPLLPLLSLLKVNGKLVTVGLPNKPLELPVFPLVMGRKLIGGSDVGGMKETQEMLDFCAKHNITADIELIRIEEINTAMERLAKSDVRYRFVIDVANSLSQ >KJB18381 pep chromosome:Graimondii2_0_v6:3:7063036:7065506:-1 gene:B456_003G049600 transcript:KJB18381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cinnamyl alcohol dehydrogenase 9 [Source:Projected from Arabidopsis thaliana (AT4G39330) UniProtKB/Swiss-Prot;Acc:P42734] MAKSAEEENQQKAFGWAATDNSGILSPFNFSRRENGDDDVTLKILYCGVCHSDLHTLRNDWGFSFYPVVPGHEISGVVTEVGKNVTKFNIGDRVGVGVLVGSCKTCECCEQDLENYCPRIIFTYNSYNPDGTKNYGGYSDMIVVDQRYVLRFPDNLPLDAGAPLLCAGITVYSPMKYYGMTEAGKHLGVSGLGGLGHVAVKIGKAFGLKVTAISSSPQKESEAINRLGADSFLVSNDPEKMKVHNNQLLVPWTISLTQCLRSIPYFHCLVC >KJB19472 pep chromosome:Graimondii2_0_v6:3:32309920:32312261:-1 gene:B456_003G104700 transcript:KJB19472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSFSGLNALYDAVNGGGDVWINENRFKIVRQLGEGGFAYVYLVKEVVSDSSSALASGLAKKVKDPSHLSDDRTYAIKKVLIQNNEQLDLVREEIRVSSLFSHPNLLPLLDHSIISVKPTQEGSWNHEAYLLFPVHLDGTLLDNSKAMTSRKEFFSASDVLQIFRQLCAGLKHMHNLGPPYAHNDVKPGNVLLSHRKGKCPLAILMDFGSARPARKQIRSRSEALQLQVLISPLYFFSA >KJB19474 pep chromosome:Graimondii2_0_v6:3:32309185:32312321:-1 gene:B456_003G104700 transcript:KJB19474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSFSGLNALYDAVNGGGDVWINENRFKIVRQLGEGGFAYVYLVKEVVSDSSSALASGLAKKVKDPSHLSDDRTYAIKKVLIQNNEQLDLVREEIRVSSLFSHPNLLPLLDHSIISVKPTQEGSWNHEAYLLFPVHLDGTLLDNSKAMTSRKEFFSASDVLQIFRQLCAGLKHMHNLGPPYAHNDVKPGNVLLSHRKGKCPLAILMDFGSARPARKQIRSRSEALQLQEWASEHCSAPFRAPELWDCPSDADIDERTDIWSLGCTLFAIM >KJB19471 pep chromosome:Graimondii2_0_v6:3:32308002:32312321:-1 gene:B456_003G104700 transcript:KJB19471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSFSGLNALYDAVNGGGDVWINENRFKIVRQLGEGGFAYVYLVKEVVSDSSSALASGLAKKVKDPSHLSDDRTYAIKKVLIQNNEQLDLVREEIRVSSLFSHPNLLPLLDHSIISVKPTQEGSWNHEAYLLFPVHLDGTLLDNSKAMTSRKEFFSASDVLQIFRQLCAGLKHMHNLGPPYAHNDVKPGNVLLSHRKGKCPLAILMDFGSARPARKQIRSRSEALQLQVWGIPFRVCTWRIRRKPAIGYYKCTD >KJB19473 pep chromosome:Graimondii2_0_v6:3:32309920:32312261:-1 gene:B456_003G104700 transcript:KJB19473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSFSGLNALYDAVNGGGDVWINENRFKIVRQLGEGGFAYVYLVKEVVSDSSSALASGLAKKVKDPSHLSDDRTYAIKKVLIQNNEQLDLVREEIRVSSLFSHPNLLPLLDHSIISVKPTQEGSWNHEAYLLFPVHLDGTLLDNSKAMTSRKEFFSASDVLQIFRQLCAGLKHMHNLGPPYAHNDVKPGNVLLSHRKGKCPLAILMDFGSARPARKQIRSRSEALQLQVLISPLYFFSA >KJB19470 pep chromosome:Graimondii2_0_v6:3:32307981:32312455:-1 gene:B456_003G104700 transcript:KJB19470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSFSGLNALYDAVNGGGDVWINENRFKIVRQLGEGGFAYVYLVKEVVSDSSSALASGLAKKVKDPSHLSDDRTYAIKKVLIQNNEQLDLVREEIRVSSLFSHPNLLPLLDHSIISVKPTQEGSWNHEAYLLFPVHLDGTLLDNSKAMTSRKEFFSASDVLQIFRQLCAGLKHMHNLGPPYAHNDVKPGNVLLSHRKGKCPLAILMDFGSARPARKQIRSRSEALQLQEWASEHCSAPFRAPELWDCPSDADIDERTDIWSLGCTLFAIMYGVSPFEYALGESGGSLQLAIINAQIKWPAGPKQPYPEEFHHFVTWMLQPQPALRPCIDDIIYHVDKLVTKFSQ >KJB19850 pep chromosome:Graimondii2_0_v6:3:36395538:36397115:1 gene:B456_003G121500 transcript:KJB19850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPPTPKLSLYSFPSMVKEPSGMMTPPIHSSVSIPFLWEEAPGRPRRSYRGSENETDTSNGSKPNVARCLELPPRLLAKAKVANMPPPTTVLDGPDAFWPGSFRSLDNKRLDKFGSSRWRSFRKAGRVVERSFDFSTSVVRVGDAGGSGTTEVKIARVRKKACFLNLSHARSHVLASIYESFKKVVPWRRGHEGSCNP >KJB20445 pep chromosome:Graimondii2_0_v6:3:41611022:41623424:1 gene:B456_003G148700 transcript:KJB20445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKANLAEQDEGEEAEEIEHFNDFTLASSWERFISEIEATCRQWLADGPKRLLEKGAVHLDSSDNIYKVKSELKNAAKIYSMEYYFEISGKIADWNCTLHDLQLCFGVKEFLVISPQSASGVVLDAPEATKLLSAVSIALANCSSLWPAFVPVHDPSRKAFIGIQNMGTIFTRRFEADRIGSQVPIKFMHLEGLYELFVSKFAYCMSDHSMHLFRVHLLMKLTYQTLPNDEDNYDDIQEVDTENAKSETSPGYDNPNRKHWDDDCPWNEWYSAEDPVMGFDLVVTWSEKVVESSLEMAEMENASPHEAEKWILAPNLYPNLDSSKGDRIGFASQLHLLVNALEMSFEAPFIEDFVSVENPGSDNLESTMVIPPPTVLDRVLKDLFLEDPQLPDFAKDEHKSSRAIKGAPVESLFAQFCLHSLWFGNCNIRAISVLWIEFVREIRWHWEESQPLPKIPANGSIDLATCLINQKLQMLGICIGKKRELNEEFEDCIGTKDNASANMEEVNKVGDESSSFHAQSQAFDEKRDSLLTPEGFNGSKTVESKLSANAQDVHSADKSTLDSIRRGSAGPVGSMKLLKLCQSLHAPFTQSAPLMTEDMHEERLHAIETFGFSFNFSAQLERETLSSDMSAFKAANPGAVFEDFIRWYSPRDWENDKSEAKGPSTDLTEGMNVDWPPQGRLSRRMSESGNFWRKIWDEAPILPASEQKPLLDPNREGEKILHYLETMQPHQLLEQMVCTAFRASADTLNQTNYGSLKEMDTKMDQLYLTMASTLRPLQVNLLSNTSDTIEDLQRLCVVFEHVEKLLILAASLHRRFRQVPRISEAIFSNYYNFYLPTMGIGLADTQKEFDMKLQLSQNERQVVSNMFTPPTANQSWRKVLSMGNHLNGHEPILREIIFSMHDNASDNHYASGSPRDYQQDTETYRMYTCGTSNDLRVALSIISYD >KJB18028 pep chromosome:Graimondii2_0_v6:3:2794147:2796411:1 gene:B456_003G030400 transcript:KJB18028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLFRLVSLQSSDQSLNSSRTSSSSRSSKQNHRYHHHHQEEEDNEECFNVFMDEEDFSSSSSRNYYPYYPYQQQNQPHTHSSSVGGGGGGGGGVAAVTTPTNTSTPTTTHAFESSDYSTAAFSFSSPANDLNNFDFSGKWATDVLLETATAIADRNSGRVQQLMWMLNELGSPYGDIDQKLSSYFLQALFSRMTDSGERCYRTLSSVSEKTCSFESTRKMVLKFQEVSPWTTFGHVACNGAIMEAFEGESKLHIIDISNTYCTQWPTLLEALATRSDDTPSLRLTTIVTTKNGGVSTSGGGAAVQKVMKEIGKRMEKFARLMGVPFKFNVIHHTGDLYDLDLSNLDVKDDEALAINCVGTLHSIMDTRRDIVISSFRRLQPRVITVVEEEADLDIGVDGVDFIKGFHECLRWFRVYFEALDESFNRTSNEKLMLERAAGRAIVDLVACSPAESIERREPAARWSRRFHASGFSPVGLSDEVCDDVRALLRRYKDGWSMTQCPDAGIFLSWKDQVVVWASAWRP >KJB19594 pep chromosome:Graimondii2_0_v6:3:33921895:33924360:1 gene:B456_003G110600 transcript:KJB19594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHEGQEHEVYGGEIPDEEGEMDADIDISGAAEDYEGNDQELEHDPNSNSKDLEDMKKRLKEIEEEAGALREMQAKVEKEMGAVQDSPGASATQAEKEEVDSRSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVDAVQNALLLNESELHGRQLKVSAKRTNIPGMKQYRGRRPNPFFRSRRPFIPGPAYYPAYGYGRVPRFRRPMRYRPY >KJB19595 pep chromosome:Graimondii2_0_v6:3:33922005:33923663:1 gene:B456_003G110600 transcript:KJB19595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHEGQEHEVYGGEIPDEEGEMDADIDISGAAEDYEGNDQELEHDPNSNSKDLEDMKKRLKEIEEEAGALREMQAKVEKEMGAVQDSPGASATQAEKEEVDSRSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVDAVQNALLLNESELHGRQLKVSAKRTNIPGMKQYRGRRPNPFFRSRRPFIPGPAYYPAYGYG >KJB19596 pep chromosome:Graimondii2_0_v6:3:33921913:33924295:1 gene:B456_003G110600 transcript:KJB19596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHEGQEHEVYGGEIPDEEGEMDADIDISGAAEDYEGNDQELEHDPNSNSKDLEDMKKRLKEIEEEAGALREMQAKVEKEMGAVQDSPGASATQAEKEEVDSRSIYVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVDAVQNALLLNESELHGRQLKVSAKRTNIPGMKQYRGRRPNPFFRSRRPFIPGPAYYPAYGYGRVPRFRRPMRYRPY >KJB19413 pep chromosome:Graimondii2_0_v6:3:30948081:30950350:-1 gene:B456_003G100800 transcript:KJB19413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRAVMDSKACQSWRLITTRGAAAGNGKHASTSPSAAAAVNSLLLRSLKEHYLEVSKMNPPPKVNPPSPFTIIKGALDSNGPVLKRTYGKEEISIFVMRLANIIRGEGDDPEDNGINQLFLHVDVSKPGQEDSLQFLCGLYPDALGIHSVSMRPKDESSVEVVAPSKYNGPVFQ >KJB19412 pep chromosome:Graimondii2_0_v6:3:30947727:30950253:-1 gene:B456_003G100800 transcript:KJB19412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRAVMDSKACQSWRLITTRGAAAGNGKHASTSPSAAAAVNSLLLRSLKEHYLEVSKMNPPPKVNPPSPFTIIKGALDSNGPVLKRTYGKEEISIFVMRLANIIRGEGDDPEDNGINQLFLHVDVSKPGQEDSLQFLCGLYPDALGIHSVSMRPKDESSVEVVAPSKYNGPVFHRMYPRDRGRGRRFYDLNSRFVECHLSFG >KJB19411 pep chromosome:Graimondii2_0_v6:3:30947671:30950416:-1 gene:B456_003G100800 transcript:KJB19411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRAVMDSKACQSWRLITTRGAAAGNGKHASTSPSAAAAVNSLLLRSLKEHYLEVSKMNPPPKVNPPSPFTIIKGALDSNGPVLKRTYGKEEISIFVMRLANIIRGEGDDPEDNGINQLFLHVDVSKPGQEDSLQFLCGLYPDALGIHSVSMRPKDESSVEVVAPSKYNGPVFQMYPRDRGRGRRFYDLNSRFVECHLSFG >KJB19410 pep chromosome:Graimondii2_0_v6:3:30946738:30950416:-1 gene:B456_003G100800 transcript:KJB19410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRAVMDSKACQSWRLITTRGAAAGNGKHASTSPSAAAAVNSLLLRSLKEHYLEVSKMNPPPKVNPPSPFTIIKGALDSNGPVLKRTYGKEEISIFVMRLANIIRGEGDDPEDNGINQLFLHVDVSKPGQEDSLQFLCGLYPDALGIHSVSMRPKDESSVEVVAPSKYNGPVFQDLDEKMRDAFHSFIEERGVNESLFPFLQAWLYVKDHRNLLRWFKSVGTFITEK >KJB19170 pep chromosome:Graimondii2_0_v6:3:23451359:23453639:-1 gene:B456_003G087400 transcript:KJB19170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRATQILRKSRKVVEDLNLLKVLQSEISHELSSNSFQDENIGSLGDFVLDWNSSRSQDVVLRRKSESGEEVAVSALLSQKTCDTEGIFPRKLLMKVCVKRPGLSSILQFDCGVSEKGVRRSDFKIRSAYFLQSTTVPGSSIYRGPLFSSLEPQLQDALKEYLVARGIREDLTNFLLLTLHKKEQGQYLDWLQKLESFVMKDERLFSAAAG >KJB19171 pep chromosome:Graimondii2_0_v6:3:23451638:23452611:-1 gene:B456_003G087400 transcript:KJB19171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCVTLLILGEMVIYSVLFQDENIGSLGDFVLDWNSSRSQDVVLRRKSESGEEVAVSALLSQKTCDTEGIFPRKLLMKVCVKRPGLSSILQFDCGVSEKGVRRSDFKIRSAYFLQSTTVPGSSIYRGPLFSSLEPQLQDALKEYLVARGIREDLTNFLLLTLHKKEQGQYLDWLQKLESFVMKDERLFSAAAG >KJB19172 pep chromosome:Graimondii2_0_v6:3:23451326:23453660:-1 gene:B456_003G087400 transcript:KJB19172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRATQILRKSRKVVEDLNLLKVLQSEISHELSSNSFQDENIGSLGDFVLDWNSSRSQDVVLRRKSESGEEVAVSALLSQKTCDTEGIFPRKLLMKVCVKRPGLSSILQFDCGVSEKGVRRSDFKIRSAYFLQSTTVPGSSIYRGPLFSSLEPQLQDALKEYLVARGIREDLTNFLLLTLHKKEQGQYLDWLQKLESFVMKDERLFSAAAG >KJB19887 pep chromosome:Graimondii2_0_v6:3:36862173:36864110:1 gene:B456_003G123300 transcript:KJB19887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKLYVVYYSLYRHVEIMAREVQRGANSVQDVEATLWQVPETLSNTILQKMNAPAKAADVPEIRPEQLLEADGFLFGFPSRFGVMAAQFKAFFDATNDLWEHQALAGKPAGIFWSTGFHGGGQELTAGMFEMNQVKGGSSYGAGTYAADGSREPTELELQQAFYQGKYVAEITKKLKNKPLAS >KJB19891 pep chromosome:Graimondii2_0_v6:3:36862235:36864117:1 gene:B456_003G123300 transcript:KJB19891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKLYVVYYSLYRHVEIMAREVQRGANSVQDVEATLWQVPETLSNTILQKMNAPAKAADVPEIRPEQLLEADGFLFGFPSRFGVMAAQFKAFFDATNDLWEHQALAGKPAGIFWSTGFHGGGQELTALTAITQLAHHGMIFVPLGYTFGSGMFEMNQVKGGSSYGAGTYAADGSREPTELELQQAFYQGKYVAEITKKLKNKPLAS >KJB19888 pep chromosome:Graimondii2_0_v6:3:36862173:36864117:1 gene:B456_003G123300 transcript:KJB19888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKLYVVYYSLYRHVEIMAREVQRGANSVQDVEATLWQVPETLSNTILQKMNAPAKAADVPEIRPEQLLEADGFLFGFPSRFGVMAAQFKAFFDATNDLWEHQALAGKPAGIFWSTGFHGGGQELTALTAITQLAHHGMIFVPLGYTFGSGMFEMNQVKGGSSYGAGTYAADGSREPTELELQQAFYQGKYVAEITKKLKNKPLAS >KJB19894 pep chromosome:Graimondii2_0_v6:3:36862489:36864117:1 gene:B456_003G123300 transcript:KJB19894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREVQRGANSVQDVEATLWQVPETLSNTILQKMNAPAKAADVPEIRPEQLLEADGFLFGFPSRFGVMAAQFKAFFDATNDLWEHQALAGKPAGIFWSTGFHGGGQELTALTAITQLAHHGMIFVPLGYTFGSGMFEMNQVKGGSSYGAGTYAADGSREPTELELQQAFYQGKYVAEITKKLKNKPLAS >KJB19886 pep chromosome:Graimondii2_0_v6:3:36862241:36864110:1 gene:B456_003G123300 transcript:KJB19886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKLYVVYYSLYRHVEIMAREVQRGANSVQDVEATLWQVPETLSNTILQKMNAPAKAADVPEIRPEQLLEADGFLFGFPSRFGVMAAQFKAFFDATNDLWEHQALAGKPAGIFWSTGFHGGGQELTALTAITQLAHHGMIFVPLGYTFGSGMFEMNQVKGGSSYGAGTYAADGSREPTELELQQAFYQGKYVAEITKKLKNKPLAS >KJB19890 pep chromosome:Graimondii2_0_v6:3:36862188:36864117:1 gene:B456_003G123300 transcript:KJB19890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKLYVVYYSLYRHVEIMAREVQRGANSVQDVEATLWQVPETLSNTILQKMNAPAKAADVPEIRPEQLLEADGFLFGFPSRFGVMAAQFKAFFDATNDLWEHQALAGKPAGIFWSTGFHGGGQELTALTAITQLAHHGMIFVPLGYTFGSGMFEMNQVKGGSSYGAGTYAADGSREPTELELQQAFYQGKYVAEITKKLKNKPLAS >KJB19893 pep chromosome:Graimondii2_0_v6:3:36862489:36864110:1 gene:B456_003G123300 transcript:KJB19893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPAKAADVPEIRPEQLLEADGFLFGFPSRFGVMAAQFKAFFDATNDLWEHQALAGKPAGIFWSTGFHGGGQELTALTAITQLAHHGMIFVPLGYTFGSGMFEMNQVKGGSSYGAGTYAADGSREPTELELQQAFYQGKYVAEITKKLKNKPLAS >KJB19889 pep chromosome:Graimondii2_0_v6:3:36862127:36864235:1 gene:B456_003G123300 transcript:KJB19889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKLYVVYYSLYRHVEIMAREVQRGANSVQDVEATLWQVPETLSNTILQKMNAPAKAADVPEIRPEQLLEADGFLFGFPSRFGVMAAQFKAFFDATNDLWEHQALAGKPAGIFWSTGFHGGGQELTALTAITQLAHHGMIFVPLGYTFGSGMFEMNQVKGGSSYGAGTYAADGSREPTELELQQAFYQGKYVAEITKKLKNKPLAS >KJB19892 pep chromosome:Graimondii2_0_v6:3:36862258:36864110:1 gene:B456_003G123300 transcript:KJB19892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKLYYSLYRHVEIMAREVQRGANSVQDVEATLWQVPETLSNTILQKMNAPAKAADVPEIRPEQLLEADGFLFGFPSRFGVMAAQFKAFFDATNDLWEHQALAGKPAGIFWSTGFHGGGQELTALTAITQLAHHGMIFVPLGYTFGSGMFEMNQVKGGSSYGAGTYAADGSREPTELELQQAFYQGKYVAEITKKLKNKPLAS >KJB20967 pep chromosome:Graimondii2_0_v6:3:44664458:44668689:-1 gene:B456_003G174900 transcript:KJB20967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAKTFGMVSGMLSKMSESCCAKMREIVDPIGSIQGHFVQTEDEQLTLHFNGSKMIDEETQAEEVNLTLAYVGERLKKLTLEMQKSDQKMVLPPNLNPESSNPMGALLSPFSSLGEFDLDNSGSWTDDLFRNNEIFHALGEGSSDGSANQANMKLSQEKTSAVPGPADIQSTILTSLAEVDHKIESIPEMLEIKGITDIEAKVQNIMNKLAKAREILEDQAEEKRLKEEIEKDEFDLNEKKLKVDRIQKRARVM >KJB20709 pep chromosome:Graimondii2_0_v6:3:42932224:42932784:1 gene:B456_003G160300 transcript:KJB20709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIYNPKSSFMFLMIILASITHLSSCHFIHRRSLSSEAEERSNTEFHTWHFPAKSPEPSSRAEKDQPVYQVSYRTVPGGPNPLHN >KJB17794 pep chromosome:Graimondii2_0_v6:3:1079259:1082775:-1 gene:B456_003G015800 transcript:KJB17794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIWERAVETALDGQTDHAAARTLTLDGAVKCVQGRLPPPSILERFENLQHLSIANIGVSSLEQFPRLRNLQKLILSDNRIAGGLEFLVEAGLESLRDLDLSNNRIQYIEDLAPLAQLKLVSLDLYECPVTRVKDYRSRVFALIKSLKYLDKMDAEENERPESDEEEEDEEDDDEYDPGSGEIDGEDRPYRMENGHSEGGEGIVDEDEYEESDADEEEVETGRRVNGQSYEANGFRIEEVGRDDDDDEEEDEDDEEDNDSGAEIDDDDEDEEDVVEVNEIGHSDDEEDGVEDEDEDDEDDDEEDDEEEEVDNDEGDLAEPESTGRLTSTEGEIDGHEHGEDDGDDEDDNGETGEEVHGVEDDVEFDDDEDGEEEDEDYGEGFLVQPVDPRNNEENNAEEEEEVEEVEDDHELQVLPSSPQHKRKRNNNLEDDNNDGEEDNDDEDDDVIEFAKASKKHR >KJB17796 pep chromosome:Graimondii2_0_v6:3:1079765:1082741:-1 gene:B456_003G015800 transcript:KJB17796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIWERAVETALDGQTDHAAARTLTLDGAVKCVQGRLPPPSILERFENLQHLSIANIGVSSLEQFPRLRNLQKLILSDNRIAGGLEFLVEAGLESLRDLDLSNNRIQYIEDLAPLAQLKLVSLDLYECPVTRVKDYRSRVFALIKSLKYLDKMDAEENERPESDEEEEDEEDDDEYDPGSGEIDGEDRPYRMENGHSEGGEGIVDEDEYEESDADEEEKTMQKKKKKSKKSKTTMNFKCCHHLRNIRERGTTIWRMITMMVKKITMMKMMMLSSLQRHQRSIVSLLQFRG >KJB17795 pep chromosome:Graimondii2_0_v6:3:1080015:1082308:-1 gene:B456_003G015800 transcript:KJB17795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIWERAVETALDGQTDHAAARTLTLDGAVKCVQGRLPPPSILERFENLQHLSIANIGVSSLEQFPRLRNLQKLILSDNRIAGGLEFLVEAGLESLRDLDLSNNRIQYIEDLAPLAQLKLVSLDLYECPVTRVKDYRSRVFALIKSLKYLDKMDAEENERPESDEEEEDEEDDDEYDPGSGEIDGEDRPYRMENGHSEGGEGIVDEDEYEESDADEEEVDNDEGDLAEPESTGRLTSTEGEIDGHEHGEDDGDDEDDNGETGEEVHGVEDDVEFDDDEDGEEEDEDYGEGFLVQPVDPRNNEENNAEEEEEVEEVEDDHELQVLPSSPQHKRKRNNNLEDDNNDGEEDNDDEDDDVIEFAKASKKHR >KJB18295 pep chromosome:Graimondii2_0_v6:3:6027580:6028651:-1 gene:B456_003G045300 transcript:KJB18295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGTLEYLSDLMSSSGHKHKKRKQLQTVELKVRMDCDGCELKVKKALSSLNGVKSVNINRKQQKVTVTGYVEANKVLKKAKSTGKKAEIWPYVPYNLVAQPYAVTAYDKKAPPGYVRKVDNNVSSTATVTRYEDPYITMFSDENPNACSVM >KJB20119 pep chromosome:Graimondii2_0_v6:3:39188216:39191176:1 gene:B456_003G134000 transcript:KJB20119 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALB3 [Source:Projected from Arabidopsis thaliana (AT2G28800) UniProtKB/TrEMBL;Acc:A0A178VUV3] MARTLISSQPFIGTSLPSSSKFSRHGGLYTLPNRRLVSTRVRLSFNEIPPITSLGSSVEFQALFTKAESLLYTLADAAVAADPAATTAGSTDAAVQKNGGWFGFISEGMEFVLKVLKDGLSTLHVPYAYGFAIILLTVLVKVATFPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLFTEGFFWIPSLGGPTTIAARQSGSGISWLIPFVDGHPPLGWHDTAAYLVLPVLLVVSQYVSMELMKPPQTDDPAQKNTLLVFKFLPLMIGYFSLSVPSGLSIYWLVSFGNFIETNSLSNMHFASSLFFNRVTNRYCMQWFLCGHDSKA >KJB20120 pep chromosome:Graimondii2_0_v6:3:39188216:39192870:1 gene:B456_003G134000 transcript:KJB20120 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALB3 [Source:Projected from Arabidopsis thaliana (AT2G28800) UniProtKB/TrEMBL;Acc:A0A178VUV3] MARTLISSQPFIGTSLPSSSKFSRHGGLYTLPNRRLVSTRVRLSFNEIPPITSLGSSVEFQALFTKAESLLYTLADAAVAADPAATTAGSTDAAVQKNGGWFGFISEGMEFVLKVLKDGLSTLHVPYAYGFAIILLTVLVKVATFPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLFTEGFFWIPSLGGPTTIAARQSGSGISWLIPFVDGHPPLGWHDTAAYLVLPVLLVVSQYVSMELMKPPQTDDPAQKNTLLVFKFLPLMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKLGGAKPVVDESASGIITAGRAKRSAAQPTRAGDRFRQLKEEEKKKKVSKALPAEEFQSLDSASDSDGESDEETKGKGDEALEEAYSSSASNQVPNISQPRRSKRSKRKRAV >KJB20118 pep chromosome:Graimondii2_0_v6:3:39188216:39191176:1 gene:B456_003G134000 transcript:KJB20118 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALB3 [Source:Projected from Arabidopsis thaliana (AT2G28800) UniProtKB/TrEMBL;Acc:A0A178VUV3] MARTLISSQPFIGTSLPSSSKFSRHGGLYTLPNRRLVSTRVRLSFNEIPPITSLGSSVEFQALFTKAESLLYTLADAAVAADPAATTAGSTDAAVQKNGGWFGFISEGMEFVLKVLKDGLSTLHVPYAYGFAIILLTVLVKVATFPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLFTEGFFWIPSLGGPTTIAARQSGSGISWLIPFVDGHPPLGWHDTAAYLVLPVLLVVSQYVSMELMKPPQTDDPAQKNTLLVFKFLPLMIGYFSLSVPSGLSIYCGFYVAMIQKHECSTSYSCAIINL >KJB19711 pep chromosome:Graimondii2_0_v6:3:35286430:35292388:-1 gene:B456_003G116200 transcript:KJB19711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILSQSAIVPTLLTSRSHGASKESGKPKRSAKMMCSLQTPGLRIRSFSGLRGSNSLDNIVRFGQDFHSKVGISISSRRGRGSRCVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGEGNEVSVVTGGSGVNTKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPDTIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDEALVSAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEAKELEKELRQITKSKNEAVRSQDFEKAGELRDREIELRAQITAIQEKDKEMNKAEAEAGDGGPVVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVIAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERFRERVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLARDIKEGDSVIVDVDSDGNVTVLNGSSGTSESLGDPISVV >KJB19712 pep chromosome:Graimondii2_0_v6:3:35286430:35292388:-1 gene:B456_003G116200 transcript:KJB19712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILSQSAIVPTLLTSRSHGASKESGKPKRSAKMMCSLQTPGLRIRSFSGLRGSNSLDNIVRFGQDFHSKVGISISSRRGRGSRCVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGEGNEVSVVTGGSGVNTKMPTLEEYGTNLTKLGKLDPVVGRQDQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPDTIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDEALVSAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEAKELEKELRQITKSKNEAVRSQDFEKAGELRDREIELRAQITAIQEKDKEMNKAEAEAGDGGPVVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVIAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKDKEIELQVTERFRERVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLARDIKEGDSVIVDVDSDGNVTVLNGSSGTSESLGDPISVV >KJB19710 pep chromosome:Graimondii2_0_v6:3:35286363:35292439:-1 gene:B456_003G116200 transcript:KJB19710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILSQSAIVPTLLTSRSHGASKESGKPKRSAKMMCSLQTPGLRIRSFSGLRGSNSLDNIVRFGQDFHSKVGISISSRRGRGSRCVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGEGNEVSVVTGGSGVNTKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPDTIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDEALVSAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEAKELEKELRQITKSKNEAVRSQDFEKAGELRDREIELRAQITAIQEKDKEMNKAEAEAGDGGPVVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVIAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKDKEIELQVTERFRERVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLARDIKEGDSVIVDVDSDGNVTVLNGSSGTSESLGDPISVV >KJB17920 pep chromosome:Graimondii2_0_v6:3:2077658:2078250:1 gene:B456_003G024300 transcript:KJB17920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTLCMLLLLLFFIIYSVSMAFFILYYKPQNPNYDVKEFAVKSFNLNDNTLETDFKVSVEADNPNDKISILYEKGSMFDVSYQGTRICSGSGPEFQQPTKNVTMLNISLEGKNDLNSNVKDSFTEDQKNGKIPLDIHIYVPIKFALENSKSKIIDVMMKCYIEVDSLKRDKKSKILNKICYYKVNF >KJB18814 pep chromosome:Graimondii2_0_v6:3:15764114:15766419:-1 gene:B456_003G070300 transcript:KJB18814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQLASPSPPPPSPPLKTYTLTASSVSYTKSTTSTTSLTGYFLFKPCTSTPPTYILRDVSFTANPSQILAIVGPSGAGKSTLLDILAARTSATNGILLLNSTPINPSSFRKLSAYVPQHDACLPLLTVAETFAFAARLLVPKTSDLDTTVTSLLSELSLTHLSNTKLSHGLSGGERRRVSIGLSLLHDPAVLLLDEPTSGLDSTSAFNVMQILKSIAASRHRTVVLSIHQPSFKILSTIDRILLLSKGTVVHHGTLFSLEAFLSSNGFTVPPQLNALEYAMEILNQLHANKPITPPSLPPSPQESVISPDYKGASDVRYRSSRLDEICSLYNRFWKIIYRTRQLLLTNALEALIVGLVLGTIYINIGYDKEGIEKRFGLFAFTLTFLLSSTTETLPIFLNERPILLRETSSGVYRLSSYLIANTLVFLPYLLAIAVIYSISVYFLVGLCASWQAFAYFVLVIWIIVLMANSFVLFLSSLAPNYIAGTSLVTILLGSFFLFSGYFISKDSMPKYWLFMHFFSMYKYALDALLINEYSCLVSRCFLWYNETSTCMVTGADVLQKKGLHERQRWTNIYILVGFFVFYRLLCLLVLIRRVSRSKK >KJB19780 pep chromosome:Graimondii2_0_v6:3:35773537:35776111:1 gene:B456_003G118500 transcript:KJB19780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKLGFGVLMLKEGTVAEFCKNIVLAGVGSVTLVDDRVVTDESLPANFLILPHENHYNGKTLAEVCCDSLKEFNPMVDVAVETGDISTFGVEFFEKFDAVIISCCSLGKKKLINQKCRKLSKRVAFYTVECRGSCGEMFVDLQDYKYSKKKLEETIECQIEYPSFEEAISVPWRALPRRVSKLYFAMRVIEQFEDVEGRNPGETSIADRLGVLKLRKELCETNSLDESQIPDALLERLLTDTREFPPVCAIIGGILGQEVIKAISGKGDPLKNFFFFDAMDGKGLIEDISGPSTRS >KJB19779 pep chromosome:Graimondii2_0_v6:3:35773537:35776111:1 gene:B456_003G118500 transcript:KJB19779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEELTEQETALYDRQIRVWGADAQRRLSKSHILVCGLKGTVAEFCKNIVLAGVGSVTLVDDRVVTDESLPANFLILPHENHYNGKTLAEVCCDSLKEFNPMVDVAVETGDISTFGVEFFEKFDAVIISCCSLGKKKLINQKCRKLSKRVAFYTVECRGSCGEMFVDLQDYKYSKKKLEETIECQIEYPSFEEAISVPWRALPRRVSKLYFAMRVIEQFEDVEGRNPGETSIADRLGVLKLRKELCETNVQY >KJB19777 pep chromosome:Graimondii2_0_v6:3:35773399:35776280:1 gene:B456_003G118500 transcript:KJB19777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEELTEQETALYDRQIRVWGADAQRRLSKSHILVCGLKGTVAEFCKNIVLAGVGSVTLVDDRVVTDESLPANFLILPHENHYNGKTLAEVCCDSLKEFNPMVDVAVETGDISTFGVEFFEKFDAVIISCCSLGKKKLINQKCRKLSKRVAFYTVECRGSCGEMFVDLQDYKYSKKKLEETIECQIEYPSFEEAISVPWRALPRRVSKLYFAMRVIEQFEDVEGRNPGETSIADRLGVLKLRKELCETNSLDESQIPDALLERLLTDTREFPPVCAIIGGILGQEVIKAISGKGDPLKNFFFFDAMDGKGLIEDISGPSTRS >KJB19778 pep chromosome:Graimondii2_0_v6:3:35773537:35776111:1 gene:B456_003G118500 transcript:KJB19778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVAVETGDISTFGVEFFEKFDAVIISCCSLGKKKLINQKCRKLSKRVAFYTVECRGSCGEMFVDLQDYKYSKKKLEETIECQIEYPSFEEAISVPWRALPRRVSKLYFAMRVIEQFEDVEGRNPGETSIADRLGVLKLRKELCETNSLDESQIPDALLERLLTDTREFPPVCAIIGGILGQEVIKAISGKGDPLKNFFFFDAMDGKGLIEDISGPSTRS >KJB20905 pep chromosome:Graimondii2_0_v6:3:44280737:44282533:-1 gene:B456_003G171600 transcript:KJB20905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMKWKLHKTVLPRFHQIGNHYSLLHIKTNSSSSSSSSSFNGVYSTTNSSEKGNRKKYEFHNIVDALTLFNKMTHASPKPCIVEFNKLLGSIVGMKHYAIVVSLCKQMELLGIRHDVYTLNILMNCFCLSNCVSFGFSVLGKMLKLGYRLNLVTYSTLIKGFCTQGKVGQAGKLFDEMVLMGFRPDLYLYTIMVNGYCKMGNSDGAVRMLREMKERGFRLDLVAYTSVIDCLFKTKYISEALDIVDEMKGKGIQLDVVTYSSLIRAMCSLGNWSEVKRLLNEMESNDLKLNVVTFNILVGGFCKEGKISEALGVVAMMTRKGVWPNVITYSELINMYCLQGELDEARKVFDSMVNLGCERNVFSYNIMINGYCKTNKIEEALKLFHEMTQKGPAPDVATYTSLMRCMFQVGRLSDAEELFENMRACGQVPNHITYSVLLDGLCKRGQIDVALELFHAVQNNELEPYIFHYNILLDGLIEAKHFEAATRLFSHVFAIGLKPSLPTYNIMIKGLCNEGLPEKAYDLFRKMEEDDCFPNNISYNITIRGFLQRNDMSKAMKILHEMVDKGFSADSLTVVKLVTLLAVTEEDQPTYEIRQAL >KJB18989 pep chromosome:Graimondii2_0_v6:3:19587171:19589116:1 gene:B456_003G079400 transcript:KJB18989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLWTIAVVILVNIHLAMGIRRWVFITHAAVWGSIIITYACMDFFLIIYGEILRGKKKEGNAARYVTRSQAIKILQQICHRDLKLENTFLDGSPAPRLKICDFGYCLGIIIYSPS >KJB19379 pep chromosome:Graimondii2_0_v6:3:30587507:30587930:-1 gene:B456_003G099000 transcript:KJB19379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKIDGLPATALGLVAQTTVSNGHENATAENGPWMITLDAPSFIFVMQHARNCAFHEEVYRAYITPASSGDLDNTPIINQILKLRLKKAKLLNYNNYAEVWI >KJB20528 pep chromosome:Graimondii2_0_v6:3:42173614:42179176:-1 gene:B456_003G153400 transcript:KJB20528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAKAITSIILIQFILLHVTKSQQGFEESSAARTLKQESHDTHEVHCSRERSRAAWQIIDDYLMPFVEEEGYQISTDCRLHPDNDLFRDQERHKIHLDVNEWRCGYCKKSFRAERFLDQHFDNRHYNLLNVNQSKCLADLCGALHCDFVINSNLLKAKCNPAAAARNRHLCESLANSCFPISQGPSARRLHELFLRQFCDAHTCSGKAKPFPRGGKQTNLLYMATSILLMMLLPLFYLLYYLYQRDMKQETQVLRRVSQVGRKAKPS >KJB20526 pep chromosome:Graimondii2_0_v6:3:42173587:42179322:-1 gene:B456_003G153400 transcript:KJB20526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAKAITSIILIQFILLHVTKSQQGFEESSAARTLKQESHDTHEVHCSRERSRAAWQIIDDYLMPFVEEEGYQISTDCRLHPDNDLFRDQERHKIHLDVNEWRCGYCKKSFRAERFLDQHFDNRHYNLLNVNQSKCLADLCGALHCDFVINSNLLKAKCNPAAAARNRHLCESLANSCFPISQGPSARRLHELFLRQFCDAHTCSGKAKPFPRGGKKQTNLLYMATSILLMMLLPLFYLLYYLYQRDMKQETQVLRRVSQVGRKAKPS >KJB20525 pep chromosome:Graimondii2_0_v6:3:42173587:42179313:-1 gene:B456_003G153400 transcript:KJB20525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAKAITSIILIQFILLHVTKSQQGFEESSAARTLKQESHDTHEVHCSRERSRAAWQIIDDYLMPFVEEEGYQISTDCRLHPDNDLFRDQERHKIHLDVNEWRCGYCKKSFRAERFLDQHFDNRHYNLLNVNQSKCLADLCGALHCDFVINSNLLKAKCNPAAAARNRHLCESLANSCFPISQGPSARRLHELFLRQFCDAHTCSGKAKPFPRGGKKQTNLLYMATSILLMMLLPLFYLLYYLYQRDMKQETQVLRRVSQVGRKAKPS >KJB20527 pep chromosome:Graimondii2_0_v6:3:42175541:42179126:-1 gene:B456_003G153400 transcript:KJB20527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAKAITSIILIQFILLHVTKSQQGFEESSAARTLKQESHDTHEVHCSRERSRAAWQIIDDYLMPFVEEEGYQISTDCRLHPDNDLFRDQERHKIHLDVNEWRCGYCKKSFRAERFLDQHFDNRHYNLLNVNQSKCLADLCGALHCDFVINSNLLKAKCNPAAAARNRHLCESLANSCFPISQGPSARRLHELFLRQFCDAHTCSGKAKPFPRGGKKQTNLLYMATSILLMMLLPLFYLLYYLYQR >KJB17688 pep chromosome:Graimondii2_0_v6:3:700904:704545:-1 gene:B456_003G011300 transcript:KJB17688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRPARRCEGTAMGAIVLDLRPGLGVGPFTLGMPICEAFAQIEQQPKIYDVVHVKYYDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDIKRLQMRYATSLIGGPSTLATFVAVYALFGPTYPGTYDKDRGVYTLFYPGLSFAFPIPSQYSDCCHDGEAELPLEFPDGTTPVTCRVSIYDSSAGKKVGVGSLMDKASAPPLPTGSIYMEEVHAKLGEELFFTVGGQHIPFGASPQDVWSELGRPCGIHQKQVDQMVIHSASDPRPRTTLCADYFYNYFTRGLDILFDGQTHKVKKFVLHTNYPGHADFNSYIKCNFVILVGGSFPDVNNYKNRITPSTKWEQVKEILGDCGRAAIQTQGSTSNPFGSTFVYGYQNAAFEVMKNGYIATVTLFQS >KJB17690 pep chromosome:Graimondii2_0_v6:3:700935:704459:-1 gene:B456_003G011300 transcript:KJB17690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYATSLIGGPSTLATFVAVYALFGPTYPGTYDKDRGVYTLFYPGLSFAFPIPSQYSDCCHDGEAELPLEFPDGTTPVTCRVSIYDSSAGKKVGVGSLMDKASAPPLPTGSIYMEEVHAKLGEELFFTVGGQHIPFGASPQDVWSELGRPCGIHQKQVDQMVIHSASDPRPRTTLCADYFYNYFTRGLDILFDGQTHKVKKFVLHTNYPGHADFNSYIKCNFVILVGGSFPDVNNYKNRITPSTKWEQVKEILGDCGRAAIQTQGSTSNPFGSTFVYGYQNAAFEVMKNGYIATVTLFQS >KJB17689 pep chromosome:Graimondii2_0_v6:3:701592:704364:-1 gene:B456_003G011300 transcript:KJB17689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRPARRCEGTAMGAIVLDLRPGLGVGPFTLGMPICEAFAQIEQQPKIYDVVHVKYYDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDIKRLQMRYATSLIGGPSTLATFVAVYALFGPTYPGTYDKDRGVYTLFYPGLSFAFPIPSQYSDCCHDGEAELPLEFPDGTTPVTCRVSIYDSSAGKKVGVGSLMDKASAPPLPTGSIYMEEVHAKLGEELFFTVGGQHIPFGASPQDVWSELGRPCGIHQKQVDQMVIHSASDPRPRTTLCADYFYNYFTRGLDILFDGQTHKVKKFVLHTNYPGHADFNSYIKCNFVILVGGSFPDVNNYKNRITPSTKWEQVKVTF >KJB17691 pep chromosome:Graimondii2_0_v6:3:700933:704459:-1 gene:B456_003G011300 transcript:KJB17691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRPARRCEGTAMGAIVLDLRPGLGVGPFTLGMPICEAFAQIEQQPKIYDVVHVKYYDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDIKRLQMRYATSLIGGPSTLATFVAVYALFGPTYPGTYDKDRGVYTLFYPGLSFAFPIPSQYSDCCHDGEAELPLEFPDGTTPVTCRVSIYDSSAGKKVGVGSLMDKASAPPLPTGSIYMEEVHAKLGEELFFTVGGQHIPFGASPQDVWSELGRPCGIHQKQTHKVKKFVLHTNYPGHADFNSYIKCNFVILVGGSFPDVNNYKNRITPSTKWEQVKEILGDCGRAAIQTQGSTSNPFGSTFVYGYQNAAFEVMKNGYIATVTLFQS >KJB19578 pep chromosome:Graimondii2_0_v6:3:33447496:33450315:1 gene:B456_003G109300 transcript:KJB19578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQDGTQQSQQLILAHNVFLLKHPDVSDIEKVRLSDEVLNSVQSNDMTSYYETLVTDKVLELDQSLLESMRAKNEEEIKKLDEKIADAEENLGESEVREAHLAKSLYYIRIGEKEKALEQLKVTESKTVAVGQKMDLVFYTLQIGLFYMDFDLISKSIDKAKNLFEGGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVADAPEILTVIGKIPHLSEFLNSLYDCQYKSFFLAFAGLTEQIKLDRYLHPHFRFYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDM >KJB19576 pep chromosome:Graimondii2_0_v6:3:33447385:33451124:1 gene:B456_003G109300 transcript:KJB19576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQDGTQQSQQLILAHNVFLLKHPDVSDIEKVRLSDEVLNSVQSNDMTSYYETLVTDKVLELDQSLLESMRAKNEEEIKKLDEKIADAEENLGESEVREAHLAKSLYYIRIGEKEKALEQLKVTESKTVAVGQKMDLVFYTLQIGLFYMDFDLISKSIDKAKNLFEGGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVADAPEILTVIGKIPHLSEFLNSLYDCQYKSFFLAFAGLTEQIKLDRYLHPHFRFYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDMELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >KJB19580 pep chromosome:Graimondii2_0_v6:3:33448918:33451078:1 gene:B456_003G109300 transcript:KJB19580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFWHCRIADAEENLGESEVREAHLAKSLYYIRIGEKEKALEQLKVTESKTVAVGQKMDLVFYTLQIGLFYMDFDLISKSIDKAKNLFEGGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVADAPEILTVIGKIPHLSEFLNSLYDCQYKSFFLAFAGLTEQIKLDRYLHPHFRFYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDMELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >KJB19577 pep chromosome:Graimondii2_0_v6:3:33447396:33451078:1 gene:B456_003G109300 transcript:KJB19577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQDGTQQSQQLILAHNVFLLKHPDVSDIEKVRLSDEVLNSVQSNDMTSYYETLVTDKVLELDQSLLESMRAKNEEEIKKLDEKIADAEENLGESEVREAHLAKSLYYIRIGEKEKALEQLKVTESKTVAVGQKMDLVFYTLQIGLFYMDFDLISKSIDKAKNLFEGGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVADAPEILTVIGKIPHLSEFLNSLYDCQYKSFFLAFAGLTEQIKLDRYLHPHFRFYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDM >KJB19579 pep chromosome:Graimondii2_0_v6:3:33447396:33451078:1 gene:B456_003G109300 transcript:KJB19579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQDGTQQSQQLILAHNVFLLKHPDVSDIEKVRLSDEVLNSVQSNVLELDQSLLESMRAKNEEEIKKLDEKIADAEENLGESEVREAHLAKSLYYIRIGEKEKALEQLKVTESKTVAVGQKMDLVFYTLQIGLFYMDFDLISKSIDKAKNLFEGGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVADAPEILTVIGKIPHLSEFLNSLYDCQYKSFFLAFAGLTEQIKLDRYLHPHFRFYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDMELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >KJB18965 pep chromosome:Graimondii2_0_v6:3:19066709:19067386:1 gene:B456_003G077900 transcript:KJB18965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIFSSFDALCAEFLGQSVRPSFASNSMNKGAADSFVNSRWGTNKLSSEPMKKKQDGNVDKKKKQRAPRFAPELDGLNCFETIVSFSCY >KJB17850 pep chromosome:Graimondii2_0_v6:3:1457805:1458660:-1 gene:B456_003G019100 transcript:KJB17850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICSSCESTQITTAKLILQDGRLQEFPYPVKVSYVLQRNPMCFICNSDEMDFDDVVSAIEEDEELQPGQLYFALPLTWLKHPLQAEEMAALAVKASSALMKSGGCGGEKSGLRRKTVIPFEFSCESPRRKVGSGVGCGGGKRGGRGKGRRKFTAMLSAIPE >KJB20766 pep chromosome:Graimondii2_0_v6:3:43305378:43307835:1 gene:B456_003G163500 transcript:KJB20766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINQSIIISGESGAGKTETAKIAMQYLAVLGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFVYGLWYMQQFIMCIF >KJB18502 pep chromosome:Graimondii2_0_v6:3:9072773:9076683:1 gene:B456_003G0564002 transcript:KJB18502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLTSISSPPQINSLFCSPIFSLYRFHSPLFSSLYHRKQSYGSGRIIRELGFLGDFRGKGRVTSGSMGKEKGGFFWVSSPCSSGGSCSCSSSKGDEFESEEDEEEVDDEEEGARSFCVLPDRWDVLGLGQAMVDFSGMVDDEFLERLGLEKGTRKVVNHEERGRVLQAMDGCSYKAAAGGSLSNSLVALARLGYKPIGGPALNVAMAGSVGSDPLGGFYRAKLHRANVNFLSEPIKDGTTGTVIVLTTPDAQRTMLAYQ >KJB18504 pep chromosome:Graimondii2_0_v6:3:9074331:9076683:1 gene:B456_003G0564002 transcript:KJB18504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDEFLERLGLEKGTRKVVNHEERGRVLQAMDGCSYKAAAGGSLSNSLVALARLGYKPIGGPALNVAMAGSVGSDPLGGFYRAKLHRANVNFLSEPIKDGTTGTVIVLTTPDAQRTMLAYQ >KJB18503 pep chromosome:Graimondii2_0_v6:3:9072842:9076683:1 gene:B456_003G0564002 transcript:KJB18503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLTSISSPPQINSLFCSPIFSLYRFHSPLFSSLYHRKQSYGSGRIIRELGFLGDFRGKGRVTSGSMGKEKGGFFWVSSPCSSGGSCSCSSSKGDEFESEEDEEEVDDEEEGARSFCVLPDRWDVLGLGQAMVDFSGMVDDEFLERLGLEKGTRKVVNHEERGRVLQAMDGCSYKAAAGGSLSNSLVALARLGYKPIGGPALNVAMAGSVGSDPLGGFYRAKLHRANVNFLSEPIKDGTTGTVIVLTTPDAQRTMLAYQ >KJB19944 pep chromosome:Graimondii2_0_v6:3:37666711:37668505:-1 gene:B456_003G126400 transcript:KJB19944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSFTDNSAATFSLTDEDHTIANAVRFTLNQDPRVTFCGYSIPHPSEARVNIRVQTTGDPAREVLKDACQNLMLMCRHVRSTFDKAVEDFKASNAVKAMKIDSQDSSGDDSEESE >KJB19945 pep chromosome:Graimondii2_0_v6:3:37667360:37668445:-1 gene:B456_003G126400 transcript:KJB19945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSFTDNSAATFSLTDEDHTIANAVRFTLNQDPRVTFCGYSIPHPSEARVNIRVQTTGDPAREVLKDACQNLMLMCRHVRSTFDKAVEDFKASNAVKAMKIDSQDSSGDDSEESE >KJB19946 pep chromosome:Graimondii2_0_v6:3:37667360:37668391:-1 gene:B456_003G126400 transcript:KJB19946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSFTDNSAATFSLTDEDHTIANAVRFTLNQDPRVTFCGYSIPHPSEARVNIRVQTTGDPAREVLKDACQNLMLMCRHVRSTFDKAVEDFKASNAVKAMKIDSQDSSGDDSEESE >KJB19417 pep chromosome:Graimondii2_0_v6:3:30961264:30964777:1 gene:B456_003G100900 transcript:KJB19417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDKFTHKTNEALAGAHELATSNGHAQFTPLHLAVALISDSQGIFYQAISNSGGDRVAQSVDRVFNQALKKLPTQSPPPDQVPASSSLVKAIRRAQAAQKSRGDTHLAVDQLILGLLEDSQITDLLKEAGVTPAKVKSELEKLRGKEGKKVESASGDTTFQALKSYGTDLVEQAGKLDPVIGRDEEIRRVIRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLADVRLVALDMGALIAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVPDTVSILRGLKEKYEGHHGVRIQDRALVIAAQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLVEEKERVDEIRRLKQKREELMLALQEAERRYDLARAADLRYGAIQEVESALAQIEGTADENIMLTETVGPEHIAEAVSRWTGIPVTRLGQNEKERLIVLAERLHQRVVGQNQAVDAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKSLAEQLFDDENLLIRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHLSVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHILSGLSRKCTMQVARDRVMQEVRRHFKPELLNRLDEIVVFDPLSHDQLRKVARLQMKDVASRLAERGIALAVEDSALDYILAESYDPVYGARPIRRWLEKKVVTELSRMLVKEEIDENSTVYIDAPNGSELVYRVEKNGGLVNAETGQKADVLIRIPNGTRRSDAAQAVKKMKIEEIDDEDEMDS >KJB19042 pep chromosome:Graimondii2_0_v6:3:20316676:20320029:-1 gene:B456_003G082500 transcript:KJB19042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKSKYETTARSWTQKYAMG >KJB19045 pep chromosome:Graimondii2_0_v6:3:20316676:20320012:-1 gene:B456_003G082500 transcript:KJB19045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKSKYETTARSWTQKYAMG >KJB19043 pep chromosome:Graimondii2_0_v6:3:20316676:20319885:-1 gene:B456_003G082500 transcript:KJB19043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKSKYETTARSWTQKYAMG >KJB19044 pep chromosome:Graimondii2_0_v6:3:20316676:20319758:-1 gene:B456_003G082500 transcript:KJB19044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKSKYETTARSWTQKYAMG >KJB19875 pep chromosome:Graimondii2_0_v6:3:36784741:36786088:1 gene:B456_003G122800 transcript:KJB19875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSKIHTFEEVAKHNKNEDCWLIISGKVYDVTPFMSDHPGGGEVLLSATGKDGTNDFEDIGHSEDAREMMEKYYIGEIDEETVPQKRTYIPPHQMSNDTASEFFIKLLQFLVPLLLLGLAISLRNYTKKE >KJB19876 pep chromosome:Graimondii2_0_v6:3:36784919:36786088:1 gene:B456_003G122800 transcript:KJB19876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHPGGGEVLLSATGKDGTNDFEDIGHSEDAREMMEKYYIGEIDEETVPQKRTYIPPHQMSNDTASEFFIKLLQFLVPLLLLGLAISLRNYTKKE >KJB19874 pep chromosome:Graimondii2_0_v6:3:36784775:36786088:1 gene:B456_003G122800 transcript:KJB19874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSKIHTFEEVAKHNKNEDCWLIISGKVYDVTPFMSDHPGGGEVLLSATGKDGTNDFEDIGHSEDAREMMEKYYIGEIDEETVPQKRTYIPPHQMSNDTASEFFIKLLQFLVPLLLLGLAISLRNYTKKE >KJB19898 pep chromosome:Graimondii2_0_v6:3:36947230:36949935:1 gene:B456_003G123600 transcript:KJB19898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVAATSARLQWSQANLPQPPSSSQALASAISSPSLPRRCRSDGALVLKSVQRLNRSALFGSSSTNIQGSRSCDLLKPRSGTSRRACSASLDAFSDEEFSKKIQELALRFQLSDNDGTTTNSKTNGDDLVSERETETGTVPDFETESFEFSEQLSTIERKANSVDLPVSLRMIKRKLQWQEGFREAGESAYCSMKKAFSSMVFIIRELHSYTLQMRELLFYEDLQGILVRVQKEMHASFVWLFQQVFSHTPTLMVYVMILLANYSVHSMGSNAALAATAAANPTPGSYVGMVDVQDQKDSKFDSSSIKSFSVSSSSGKTASIGGNNGGGGKVRSVANGTDGDGWFNKADQFRTIFPDGASQLSSPGTTAETQSESTREEELTLWNSIVDEASKMLASYRDETLDHETIQRFVSPVTANIEPDGDYEDYIRTELLYQTGLSQDPTNSLLLANYAQFLYLVAHDYERAEEYFKKAVTVEAVDAEAYSKYASFLWKARNDLWAAEETFLEAIEADPSNSYYAANYAHFLWNTGGEDTCFPLDTTQDA >KJB19900 pep chromosome:Graimondii2_0_v6:3:36947037:36950067:1 gene:B456_003G123600 transcript:KJB19900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVAATSARLQWSQANLPQPPSSSQALASAISSPSLPRRCRSDGALVLKSVQRLNRSALFGSSSTNIQGSRSCDLLKPRSGTSRRACSASLDAFSDEEFSKKIQELALRFQLSDNDGTTTNSKTNGDDLVSERETETGTVPDFETESFEFSEQLSTIERKANSVDLPVSLRMIKRKLQWQEGFREAGESAYCSMKKAFSSMVFIIRELHSYTLQMRELLFYEDLQGILVRVQKEMHASFVWLFQQVFSHTPTLMVYVMILLANYSVHSMGSNAALAATAAANPTPGSYVGMVDVQDQKDSKFDSSSIKSFSVSSSSGKTASIGGNNGGGGKVRSVANGTDGDGWFNKADQFRTIFPDGASQLSSPGTTAETQSESTREEELTLWNSIVDEASKMLASYRDETLDHETIQRFVSPVTANIEPDGDYEDYIRTELLYQTGLSQDPTNSLLLANYAQFLYLVAHDYERAEEYFKKAVTVEAVDAEAYSKYASFLWKARNDLWAAEETFLEAIEADPSNSYYAANYAHFLWNTGGEDTCFPLDTTQDA >KJB19899 pep chromosome:Graimondii2_0_v6:3:36947094:36949137:1 gene:B456_003G123600 transcript:KJB19899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVAATSARLQWSQANLPQPPSSSQALASAISSPSLPRRCRSDGALVLKSVQRLNRSALFGSSSTNIQGSRSCDLLKPRSGTSRRACSASLDAFSDEEFSKKIQELALRFQLSDNDGTTTNSKTNGDDLVSERETETGTVPDFETESFEFSEQLSTIERKANSVDLPVSLRMIKRKLQWQEGFREAGESAYCSMKKAFSSMVFIIRELHSYTLQMRELLFYEDLQGILVRVQKEMHASFVWLFQQVFSHTPTLMVYVMILLANYSVHSMGSNAALAATAAANPTPGSYVGMVDVQDQKDSKFDSSSIKSFSVSSSSGKTASIGGNNGGGGKVRSVANGTDGDGWFNKADQFRTIFPDGASQLSSPGTTAETQSESTREEELTLWNSIVDEASKMLASYRDETLDHETIQRFVSPVTANIEPDGDYEDYIRTELLYQTGLSQDPTNSLLLANYAQFLYLVAHDYERYRIFPIFSFNAIQIPFLFN >KJB20977 pep chromosome:Graimondii2_0_v6:3:44734358:44735829:-1 gene:B456_003G175800 transcript:KJB20977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETDSYTKHHQLLKQQNQTNPNKHNTSFLYKKAVVIIIFLVIIPVFPSQAPEFINQTLLNRSWELLRLLLFGIAVSYGLFSQRNDETDKEPNKNQSMFDNVQCFVSRFLQVSSFFDDEAENMSGSDESKVQIWSSQYYRNEVPTVLVAKKKGDDEIEKPLLLPVRSLKSSSVMEVNNGENNVVLPSLIPWRSRDGDIVKNKTSYRSPSPPPPPPPLLKQRDYVDGEIEHRMETSDDDDGTESEDEDEIVGKTCIVSSTNNGENNEEAVGPSNGIDGGSDVDKKADEFIAKVREQIRLQRIDSIKRSSGQIKRNSTR >KJB18828 pep chromosome:Graimondii2_0_v6:3:16418885:16421730:1 gene:B456_003G071000 transcript:KJB18828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLNTKWVSTAASIWIQCTSGASYTFGIYSSVLKSSQSYDQSTLDTVSVFKDIGANVGVLSGLLYSTVTTSSRRSGVNSSPWVVHVAGAIQCFVGYFLMWASVVGLISRPPVPLMCLFMLVAAHAQTFFNTANVVSGVENFRDFGGTIVGIMKGFLGLSGAILIQVYNALCQGDPTTFILILAIVPTLVSLTLMPCVKIYKTTTFDDKNHLNAFSAIALIVAAYLMVVIILENIFSFPVWGRTITFIILLVLLASPIGIAAKALKEEKDPLEGYHQIPGEPYQTTVGSSSGVDLFRDDEDDEKDMNLFQAMVTVNFWLLFIAMICGLGSGLATINNISQIGESLGYTTMERSSLVALWSIWNFLGRFGGGFISDIFLHKGWARPLFIVITLATLTFGHLIVASGFPGNLYIGSIVVGICYGSQWSLMPAITSEIFGVRHMGTIFNAVAIASPVGSYVFSVRIIGYLYDKVAEGEGNSCYGTKCFMLSFIIMASVAFFGFLVALALFFRTRWFYGQLVVRRLRRLSIS >KJB20107 pep chromosome:Graimondii2_0_v6:3:39066830:39077981:1 gene:B456_003G133300 transcript:KJB20107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDSGADGQSHKAHRSRHSGASAKKKTKARNKDQNSDQKQQNPKAFSFRSNAKAKRLQSRAVEKEQRRLHLPVIDRSYGELPPFVVVVQGPPQVGKSLLIKSLVKHYTKHNLPEVRGPITIVSGKQRRLQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDNFEDVKKLKKTKQRLRHRFWTEIYDGAKLFYLSGLIHGKYPKREVHNLARFISVMKFPPLSWRTSHPYILVDRFEDVTPPERVQMNSKCDRNVTLYGYLRGCNLKKGTKIHIAGVGDFSLAGVTGLSDPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQYSKVDEMGGTTNKGNKRDVGEALVKSLQTIKNPIDEKLEKSKISLFSQNPNSSLEAEDHNRDSDEAPKLIRDIEPLKQYQSNGEEDESEFDLDSSESSDQDEGVPEVAMLKSEGRNFEEGNADASERLGRVKEQVEFHNGRKRRKAIFGDGVDHSNLKSTDEENEGDEDDDDDDDNDEGEDDRSNEDNESCSGSEFSDGDEEDLKSEEDGMGNISKWRASLVERASKKQNINLMQLVYGKSTSTSNTSANEVKDDSENEESDEDEFFKPKGQRAKNSIEGLDGGNINTEDCSKSTKFSELKNWKEEEVYESVRDRFVTGDWSKGALRNQMSEAKTEEDDMDGDFEDLETGEKYESHQKDDSSNGGIEKEDDDAIEERRLKKLALRAKFDAHYDGSESPEEETDKQNGGKFHHSQANDSGYYDKLKEEIELQKQINIAELEDLDETTRLEIEGFRTGMYLRLEVQDVPFEMIEYFDPCHPILVGGIGLGEENVGYMQTRLKRHRWHKKVLKTRDPIIVSIGWRRYQTTPVYAIEDQNGRHRMLKYTPEHMHCLAMFWGPLAPPKTGVLAVQNLSNNQAAFRIIATAYVLEFNHAARIVKKIKLVGYPCKIFKKTALIKDMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKEEIGNQPKKKGGQAREGIARCTFEDRILMSDIVFLRAWTQVEVPQFYNPLTTSLQPRQKTWQGMKTVAELRREHNFPIPVNKDSLYKLIERKPRNFNPLVIPKPLQADLPFESKPKNIPHQKRPLLEDRRAVVMEPHERKVHALVQHLQLIRNDKMKKRKLKEEQKRKEVEAQRAKDEQVLRKRRREERQERYREQDKLKKKIRRHVEA >KJB20106 pep chromosome:Graimondii2_0_v6:3:39066860:39077906:1 gene:B456_003G133300 transcript:KJB20106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDSGADGQSHKAHRSRHSGASAKKKTKARNKDQNSDQKQQNPKAFSFRSNAKAKRLQSRAVEKEQRRLHLPVIDRSYGELPPFVVVVQGPPQVGKSLLIKSLVKHYTKHNLPEVRGPITIVSGKQRRLQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDNFEDVKKLKKTKQRLRHRFWTEIYDGAKLFYLSGLIHGKYPKREVHNLARFISVMKFPPLSWRTSHPYILVDRFEDVTPPERVQMNSKCDRNVTLYGYLRGCNLKKGTKIHIAGVGDFSLAGVTGLSDPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQYSKVDEMGGTTNKGNKRDVGEALVKSLQTIKNPIDEKLEKSKISLFSQNPNSSLEAEDHNRDSDEAPKLIRDIEPLKQYQSNGEEDESEFDLDSSESSDQDEGVPEVAMLKSEGRNFEEGNADASERLGRVKEQVEFHNGRKRRKAIFGDGVDHSNLKSTDEENEGDEDDDDDDDNDEGEDDRSNEDNESCSGSEFSDGDEEDLKSEEDGMGNISKWRASLVERASKKQNINLMQLVYGKSTSTSNTSANEVKDDSENEESDEDEFFKPKGQRAKNSIEGLDGGNINTEDCSKSTKFSELKNWKEEEVYESVRDRFVTGDWSKGALRNQMSEAKTEEDDMDGDFEDLETGEKYESHQKDDSSNGGIEKEDDDAIEERRLKKLALRAKFDAHYDGSESPEEETDKQNGGKFHHSQANDSGYYDKLKEEIELQKQINIAELEDLDETTRLEIEGFRTGMYLRLEVQDVPFEMIEYFDPCHPILVGGIGLGEENVGYMQTRLKRHRWHKKVLKTRDPIIVSIGWRRYQTTPVYAIEDQNGRHRMLKYTPEHMHCLAMFWGPLAPPKTGVLAVQNLSNNQAAFRIIATAYVLEFNHAARIVKKIKLVGYPCKIFKKTALIKDMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKEEIGNQPKKKGGQAREGIARCTFEDRILMSDIVFLRAWTQVEVPQFYNPLTTSLQPRQKTWQGMKTVAELRREHNFPIPVNKDSLYKLIERKPRNFNPLVIPKPLQADLPFESKPKNIPHQKRPLLEDRRAVVMEPHERKVHALVQHLQLIRNDKMKKRKLKEEQKRKEVEAQRAKDEQVLRKRRREERQERYREQDKLKKKIRRHVEA >KJB20109 pep chromosome:Graimondii2_0_v6:3:39070075:39077897:1 gene:B456_003G133300 transcript:KJB20109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLGDLLYDKDAVYININDHFVQYSKVDEMGGTTNKGNKRDVGEALVKSLQTIKNPIDEKLEKSKISLFSQNPNSSLEAEDHNRDSDEAPKLIRDIEPLKQYQSNGEEDESEFDLDSSESSDQDEGVPEVAMLKSEGRNFEEGNADASERLGRVKEQVEFHNGRKRRKAIFGDGVDHSNLKSTDEENEGDEDDDDDDDNDEGEDDRSNEDNESCSGSEFSDGDEEDLKSEEDGMGNISKWRASLVERASKKQNINLMQLVYGKSTSTSNTSANEVKDDSENEESDEDEFFKPKGQRAKNSIEGLDGGNINTEDCSKSTKFSELKNWKEEEVYESVRDRFVTGDWSKGALRNQMSEAKTEEDDMDGDFEDLETGEKYESHQKDDSSNGGIEKEDDDAIEERRLKKLALRAKFDAHYDGSESPEEETDKQNGGKFHHSQANDSGYYDKLKEEIELQKQINIAELEDLDETTRLEIEGFRTGMYLRLEVQDVPFEMIEYFDPCHPILVGGIGLGEENVGYMQTRLKRHRWHKKVLKTRDPIIVSIGWRRYQTTPVYAIEDQNGRHRMLKYTPEHMHCLAMFWGPLAPPKTGVLAVQNLSNNQAAFRIIATAYVLEFNHAARIVKKIKLVGYPCKIFKKTALIKDMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKEEIGNQPKKKGGQAREGIARCTFEDRILMSDIVFLRAWTQVEVPQFYNPLTTSLQPRQKTWQGMKTVAELRREHNFPIPVNKDSLYKLIERKPRNFNPLVIPKPLQADLPFESKPKNIPHQKRPLLEDRRAVVMEPHERKVHALVQHLQLIRNDKMKKRKLKEEQKRKEVEAQRAKDEQVLRKRRREERQERYREQDKLKKKIRRHVEA >KJB20108 pep chromosome:Graimondii2_0_v6:3:39067036:39077897:1 gene:B456_003G133300 transcript:KJB20108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDSGADGQSHKAHRSRHSGASAKKKTKARNKDQNSDQKQQNPKAFSFRSNAKAKRLQSRAVEKEQRRLHLPVIDRSYGELPPFVVVVQGPPQVGKSLLIKSLVKHYTKHNLPEVRGPITIVSGKQRRLQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDNFEDVKKLKKTKQRLRHRFWTEIYDGAKLFYLSGLIHGKYPKREVHNLARFISVMKFPPLSWRTSHPYILVDRFEDVTPPERVQMNSKCDRNVTLYGYLRGCNLKKGTKIHIAGVGDFSLAGVTGLSDPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQYSKVDEMGGTTNKGNKRDVGEALVKSLQTIKNPIDEKLEKSKISLFSQNPNSSLEAEDHNRDSDEAPKLIRDIEPLKQYQSNGEEDESEFDLDSSESSDQDEGVPEVAMLKSEGRNFEEGNADASERLGRVKEQVEFHNGRKRRKAIFGDGVDHSNLKSTDEENEGDEDDDDDDDNDEGEDDRSNEDNESCSGSEFSDGDEEDLKSEDGMGNISKWRASLVERASKKQNINLMQLVYGKSTSTSNTSANEVKDDSENEESDEDEFFKPKGQRAKNSIEGLDGGNINTEDCSKSTKFSELKNWKEEEVYESVRDRFVTGDWSKGALRNQMSEAKTEEDDMDGDFEDLETGEKYESHQKDDSSNGGIEKEDDDAIEERRLKKLALRAKFDAHYDGSESPEEETDKQNGGKFHHSQANDSGYYDKLKEEIELQKQINIAELEDLDETTRLEIEGFRTGMYLRLEVQDVPFEMIEYFDPCHPILVGGIGLGEENVGYMQTRLKRHRWHKKVLKTRDPIIVSIGWRRYQTTPVYAIEDQNGRHRMLKYTPEHMHCLAMFWGPLAPPKTGVLAVQNLSNNQAAFRIIATAYVLEFNHAARIVKKIKLVGYPCKIFKKTALIKDMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKEEIGNQPKKKGGQAREGIARCTFEDRILMSDIVFLRAWTQVEVPQFYNPLTTSLQPRQKTWQGMKTVAELRREHNFPIPVNKDSLYKLIERKPRNFNPLVIPKPLQADLPFESKPKNIPHQKRPLLEDRRAVVMEPHERKVHALVQHLQLIRNDKMKKRKLKEEQKRKEVEAQRAKDEQVLRKRRREERQERYREQDKLKKKIRRHVEA >KJB20059 pep chromosome:Graimondii2_0_v6:3:38438180:38442064:-1 gene:B456_003G130700 transcript:KJB20059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMAIRCLLLHEQPDQPGVPFKKRVEDKRISAFDLLATVAGKLLLDKESTPVSSNTSSAEDKSKVEKNTVKEERRDGNQSSKLETCDQYSNDREFIISQLVSQTNDLRSCSFRKSPSLKNDTHFGLTSVVTTSDCSERSGVLKLMNGKIKNEMGSLPCKVETGPFLCGASGGRIKLEFENKGPIHEELDRTDKLSFREVADTCPLEDPVVVDGKPPLLVSSDSSGKTHSYGFNNHLGSFPGKRDDLKIVSRDDDEKSSGCTYLGPIKKPFRPTPLIGDRRIRKTMTSKYLKVAPRLNDVTLSNSDENLKSAYCDRSAYKRIRSERNYPFKKRKFLHYSSVSNSDGGISSEGISDSPEQSINGNASGVYPKMRGVTGESPSLADQRKSFHSRDSHVKFRIKSFRVPELFIEIPESATIGSLKRTVMEAVTAILGGGLRIGVLLQGKKVRDDNKTLLQTGISRDNQMDALGFSLEPNPSQTFPSHCPGGSPLKFPCDTPLPLARYPATPGLVTRVTHDPSHEPHMHNLGNFVESDHDSAPSPTDMSLDKSTTDSKALVAVPAMSVEALDVVPAHRKSKRSEVVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQAKQQLKQQQQPESCLLLYNSTVRASSRSDGGEKNFG >KJB20056 pep chromosome:Graimondii2_0_v6:3:38437932:38443704:-1 gene:B456_003G130700 transcript:KJB20056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMAIRCLLLHEQPDQPGVPFKKRVEDKRISAFDLLATVAGKLLLDKESTPVSSNTSSAEDKSKVEKNTVKEERRDGNQSSKLETCDQYSNDREFIISQLVSQTNDLRSCSFRKSPSLKNDTHFGLTSVVTTSDCSERSGVLKLMNGKIKNEMGSLPCKVETGPFLCGASGGRIKLEFENKGPIHEELDRTDKLSFREVADTCPLEDPVVVDGKPPLLVSSDSSGKTHSYGFNNHLGSFPGKRDDLKIVSRDDDEKSSGCTYLGPIKKPFRPTPLIGDRRIRKTMTSKYLKVAPRLNDVTLSNSDENLKSAYCDRSAYKRIRSERNYPFKKRKFLHYSSVSNSDGGISSEGISDSPEQSINGNASGVYPKMRGVKFRIKSFRVPELFIEIPESATIGSLKRTVMEAVTAILGGGLRIGVLLQGKKVRDDNKTLLQTGISRDNQMDALGFSLEPNPSQTFPSHCPGGSPLKFPCDTPLPLARYPATPGLVTRVTHDPSHEPHMHNLGNFVESDHDSAPSPTDMSLDKSTTDSKALVAVPAMSVEALDVVPAHRKSKRSEVVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQAKQQLKQQQQPESCLLLYNSTVRASSRSDGGEKNFG >KJB20057 pep chromosome:Graimondii2_0_v6:3:38437932:38443704:-1 gene:B456_003G130700 transcript:KJB20057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQKRLDYGFNGYQVPATPRATRSARKRVPFKKRVEDKRISAFDLLATVAGKLLLDKESTPVSSNTSSAEDKSKVEKNTVKEERRDGNQSSKLETCDQYSNDREFIISQLVSQTNDLRSCSFRKSPSLKNDTHFGLTSVVTTSDCSERSGVLKLMNGKIKNEMGSLPCKVETGPFLCGASGGRIKLEFENKGPIHEELDRTDKLSFREVADTCPLEDPVVVDGKPPLLVSSDSSGKTHSYGFNNHLGSFPGKRDDLKIVSRDDDEKSSGCTYLGPIKKPFRPTPLIGDRRIRKTMTSKYLKVAPRLNDVTLSNSDENLKSAYCDRSAYKRIRSERNYPFKKRKFLHYSSVSNSDGGISSEGISDSPEQSINGNASGVYPKMRGVKFRIKSFRVPELFIEIPESATIGSLKRTVMEAVTAILGGGLRIGVLLQGKKVRDDNKTLLQTGISRDNQMDALGFSLEPNPSQTFPSHCPGGSPLKFPCDTPLPLARYPATPGLVTRVTHDPSHEPHMHNLGNFVESDHDSAPSPTDMSLDKSTTDSKALVAVPAMSVEALDVVPAHRKSKRSEVVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQAKQQLKQQQQPESCLLLYNSTVRASSRSDGGEKNFG >KJB20060 pep chromosome:Graimondii2_0_v6:3:38437932:38443710:-1 gene:B456_003G130700 transcript:KJB20060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQKRLDYGFNGYQVPATPRATRSARKRVPFKKRVEDKRISAFDLLATVAGKLLLDKESTPVSSNTSSAEDKSKVEKNTVKEERRDGNQSSKLETCDQYSNDREFIISQLVSQTNDLRSCSFRKSPSLKNDTHFGLTSVVTTSDCSERSGVLKLMNGKIKNEMGSLPCKVETGPFLCGASGGRIKLEFENKGPIHEELDRTDKLSFREVADTCPLEDPVVVDGKPPLLVSSDSSGKTHSYGFNNHLGSFPGKRDDLKIVSRDDDEKSSGCTYLGPIKKPFRPTPLIGDRRIRKTMTSKYLKVAPRLNDVTLSNSDENLKSAYCDRSAYKRIRSERNYPFKKRKFLHYSSVSNSDGGISSEGISDSPEQSINGNASGVYPKMRGVTGESPSLADQRKSFHSRDSHVKFRIKSFRVPELFIEIPESATIGSLKRTVMEAVTAILGGGLRIGVLLQGKKVRDDNKTLLQTGISRDNQMDALGFSLEPNPSQTFPSHCPGGSPLKFPCDTPLPLARYPATPGLVTRVTHDPSHEPHMHNLGNFVESDHDSAPSPTDMSLDKSTTDSKALVAVPAMSVEALDVVPAHRKSKRSEVVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQAKQQLKQQQQPESCLLLYNSTVRASSRSDGGEKNFG >KJB20058 pep chromosome:Graimondii2_0_v6:3:38438945:38442089:-1 gene:B456_003G130700 transcript:KJB20058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQKRLDYGFNGYQVPATPRATRSARKRVPFKKRVEDKRISAFDLLATVAGKLLLDKESTPVSSNTSSAEDKSKVEKNTVKEERRDGNQSSKLETCDQYSNDREFIISQLVSQTNDLRSCSFRKSPSLKNDTHFGLTSVVTTSDCSERSGVLKLMNGKIKNEMGSLPCKVETGPFLCGASGGRIKLEFENKGPIHEELDRTDKLSFREVADTCPLEDPVVVDGKPPLLVSSDSSGKTHSYGFNNHLGSFPGKRDDLKIVSRDDDEKSSGCTYLGPIKKPFRPTPLIGDRRIRKTMTSKYLKVAPRLNDVTLSNSDENLKSAYCDRSAYKRIRSERNYPFKKRKFLHYSSVSNSDGGISSEGISDSPEQSINGNASGVYPKMRGVTGESPSLADQRKSFHSRDSHVKFRIKSFRVPELFIEIPESATIGSLKRTVMEAVTAILGGGLRIGVLLQGKKVRDDNKTLLQTGISRDNQMDALGFSLEPNPSQTFPSHCPGGSPLKFPCDTPLPLARYPATPGLVTRVTHDPSHEPHMHNLGNFVESDHDSAPSPTDMSLDKSTTDSKALVAVPAMSVEALDVVPAHRKSKRSEVVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKVS >KJB20055 pep chromosome:Graimondii2_0_v6:3:38437932:38443218:-1 gene:B456_003G130700 transcript:KJB20055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQKRLDYGFNGYQVPATPRATRSARKRVPFKKRVEDKRISAFDLLATVAGKLLLDKESTPVSSNTSSAEDKSKVEKNTVKEERRDGNQSSKLETCDQYSNDREFIISQLVSQTNDLRSCSFRKSPSLKNDTHFGLTSVVTTSDCSERSGVLKLMNGKIKNEMGSLPCKVETGPFLCGASGGRIKLEFENKGPIHEELDRTDKLSFREVADTCPLEDPVVVDGKPPLLVSSDSSGKTHSYGFNNHLGSFPGKRDDLKIVSRDDDEKSSGCTYLGPIKKPFRPTPLIGDRRIRKTMTSKYLKVAPRLNDVTLSNSDENLKSAYCDRSAYKRIRSERNYPFKKRKFLHYSSVSNSDGGISSEGISDSPEQSINGNASGVYPKMRGVTGESPSLADQRKSFHSRDSHVKFRIKSFRVPELFIEIPESATIGSLKRTVMEAVTAILGGGLRIGVLLQGKKVRDDNKTLLQTGISRDNQMDALGFSLEPNPSQTFPSHCPGGSPLKFPCDTPLPLARYPATPGLVTRVTHDPSHEPHMHNLGNFVESDHDSAPSPTDMSLDKSTTDSKALVAVPAMSVEALDVVPAHRKSKRSEVVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQAKQQLKQQQQPESCLLLYNSTVRASSRSDGGEKNFG >KJB18349 pep chromosome:Graimondii2_0_v6:3:7204646:7206849:-1 gene:B456_003G050300 transcript:KJB18349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIAKNPKPLTTLTHQFLQRCTVSGTAKGKSKLKAGQPLKRSKISTKKGKGGASLDDSLPKGGRIPDEKQKLYDQCLNAPTPVRHLSPKERAREAEREKLGLISKERQREMEILKKGGRKAMGVPDEPMIMGTPGLDLITLGLVDADKIPKYELTVEDGRRLAKEYSRVLMRKHRARQAAETNLLRMKKEAIEALPEKLKEAAMIPDLTPFPANRLMASLTPPIEGYIEKVKEAAKKSSTKQKLR >KJB18347 pep chromosome:Graimondii2_0_v6:3:7204646:7206897:-1 gene:B456_003G050300 transcript:KJB18347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIAKNPKPLTTLTHQFLQRCTVSGTAKGKSKLKAGQPLKRSKISTKKGKGGASLDDSLPKGGRIPDEKQKLYDQCLNAPTPVRHLSPKERAREAEREKLGLISKERQREMEILKKGGRKAMGVPDEPMIMGTPGLDLITLGLVDADKIPKYELTVEDGRRLAKEYSRVLMRKHRARQAAETNLLRMKKEAIEALPEKLKEAAMIPDLTPFPANRLMASLTPPIEGYIEKVKEAAKKSSTKQKLR >KJB18348 pep chromosome:Graimondii2_0_v6:3:7204658:7206850:-1 gene:B456_003G050300 transcript:KJB18348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIAKNPKPLTTLTHQFLQRCTVSGTAKGKSKLKAGQPLKRSKISTKKGKGGASLDDSLPKGGRIPDEKQKLYDQCLNAPTPVRHLSPKERAREAEREKLGLISKERQREMEILKKGGRKAMGVPDEPMIMGTPGLDLITLGLVDADKIPKYELTVEDGRRLAKEYSRVLMRKHRARQAAETNLLRMKKEAIEALPEKLKEAAMIPDLTPFPANRLMASLTPPIEGYIEKVKEAAKKSSTKQKLR >KJB20823 pep chromosome:Graimondii2_0_v6:3:43683620:43687194:1 gene:B456_003G167000 transcript:KJB20823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDIFIWLISFFIIIAIIVLLIYQLTCLADLEFDYINPYDSSSRINKVVLPEFFVQGFLCLFYLVTGHWVMSLLCTPYLYYNVKVYMLKQHLVDVTEIFNSLNREKNRRYYKLAYLVILLFISLFWIIFSALEYEDD >KJB20822 pep chromosome:Graimondii2_0_v6:3:43683626:43686872:1 gene:B456_003G167000 transcript:KJB20822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDIFIWLISFFIIIAIIVLLIYQLTCLADLEFDYINPYDSSSRINKVVLPEFFVQGFLCLFYLVTGHWVMSLLCTPYLYYNVKVYMLKQHLVDVTEIFNSLNREKNRRYYKLAYLVILLFISLFWIIFSALEYEDD >KJB17268 pep chromosome:Graimondii2_0_v6:3:597636:604356:-1 gene:B456_003G009700 transcript:KJB17268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPECKRSVTQILNALLLEKGTDATVLLCILDVIKGWIEDDFNKQGMIGTSNAFLTPKDIVSFLQKLSQVDKQNFQASALEEWDRKYLHLLYGICSDSNKYPAALRQEVFQKVERQYMLGLRAKDPEVRMKFFSLYHESLSKTLFNRLQFIIQIQDWEALSDVFWLKQGLDLLLAVLVEDKPITLAPNSARVLPVVAPGSVSDNSGMQHQVAEVPEGSEEAPLTLDSLVVKHAQFLNEMSKLQVADLVIPLRELAHTDPNVAYHLWVLVFPIVWVTLLKEEQVALAKPMISLLSKDYHKKQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNETKCSESLAELYRLLNEEDMRCGLWKKRSITAETKAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLSCASQLSQWDALVEFGKNIENYEILLDSLWKLPDWVYMKDYVIPKAQVEETPKLRLIQAFFALHDKNTNGVGDAENIIGKGVDLALEQWWQLPEMSVHARVPLLQQFQQLVEVQESARILVDIANGSKLSGNSVVGVHGNLYADLKDILETWRLRTPNDWDNMSVWYDLLQWRNEMYNAVIDAFKEFSTTNPQLHHLGFRDKAWNVNKLARIARKQGLYDVCVTILEKMYGHSTMEVQEAFVKITEQAKAYLEMKGELTSGLNLINSTNLEYFPVKHQAEIFRIKGDFLLKLNDSEGANLAYSNAISLFKNQPKGWISWGNYCDMAYKDGHDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLFLLSFDTPSEPVGRSFDKYLDQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSELGRMTMAQQRMQQNSGGNLPPDNQVNQVTQSGSGIGSHDGSNSHGQDPERSNVTENSVQTGNDQPMQQSSSSISDSGQSAMRRNGSLGLVASAASAFDAAKDIMETLRSKHANLAGELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVRDYKQDFERDLDPESTTTFPATLSELTERLKHWKNILQSNVEDRFPAVLKLEEESKVLRDFHMVDVEIPGQYFSDQEIAPDHTVKLDRVGADVPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYNTFLEVYENHCARNDREPDLPITYFKEQLNQAISGQISPEAVVDLRLQAYNDITKSLVADGIFSQYMYKTLPSGNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDVNGMIEFSEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQAVVSPKQTQHLWYQLAMFFRDELLSWSWRRPLGMPLAPAAGGGSMNPTDFKHKVTTNVENVIGRISGIAPQCFSEEEENVMDPPQSVQRGVTELVDAALLPRNLCMMDPTWHPWF >KJB17270 pep chromosome:Graimondii2_0_v6:3:598133:612861:-1 gene:B456_003G009700 transcript:KJB17270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLFSQILAIMEARDLMDMFSLCMPELFECMISNNQLVHIFSTLLQTPKVYRPFADVLVNFLVSSKLDSLKHPDTPPAKLVLHLFRFIFGAVAKAPADFERILQPHVPVIMEVCMKNATEVEKPLGYLQLLRTMFKALAGCKIELLLRDLIPMLQPCLNMLLTMLEGPTAEDMRDLLLELCLTLPARLSSLLPHLPRLMKSLVLCLKGSDDLVSLGLKTLEFWVDSLNPDFLEPSMANVMSDVILALWSHLRPAPYPWGGKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPSTPFLVPLDRCINLAVAAIMHKDDGMDSFYRKQALKFLRVCLSSQLNLPGNASDEGYTPKHLLSSLGSSVDLSWRRSETTDAKSDLGVKTKTQLLAEKSVFKILLMTIISASAEPDLSDPKDEFVVNICRHFAMIFHIDQSSMNTSTASSSLSGPMLSSNVNTSSRSKTSSSSNLKELDPLIFLDALVDVLADENRLHAKAALSALNVFAETLLFLARSKHANMLMSRGGPSTPMIVSSPSMNPVYSPPPSVQIPVFEQLLPRLLHCCYGSTWQAQMGGVMGLGALVGKVTVETLCLFQVRVVRGLVYVLKRLPVYSSKEQEETSQVLTQVLRVVNNVDEANNEPRRQSFLGVVDFLASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLHQSMLQPLIMRPLRAKTVDQQVGTVTALNFCLALRPPLLKLTPELINFLQEALQIAEADETVWVVKFMNPKVATSLNKLRTACIELLCTTMAWADFKTPNHSELRAKIIAMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPHAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPLTKFLNRYATLAVDYFLARLSEPKYFRRFMYIIRSDAGQPLRDELAKSPQKILASAFPEFLSKSEAAMTSGSSTPAAALVGDEGLGTSQVDSSNLPPVTSTATLDAYFQGLALIKTLVKLIPGWLQSNRLVFDTLVLVWKSPARISRLQNEQELNLVQVKESKWLIKCFLNYLRHDKNEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKRALMSHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNGQSWDVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGESRMPIWIRYTKKILVEEGHSIPNLIHIFQLIARHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVGWERKRQKEMKVASESDVPGQIGDGFNSASTSSDPKRPVESSTFPEDPSKRVKVEPGLQPFCVMSPGAASSIPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIDPKEKEASAMYKQALELLSQALEVWPTANVKFNYLEKLLSSVQPSQSKDPSTALSQGLDVMNKILEKQPQLFIRNNINQISQILEPCFKYKMLDAGKSLCSLLKMVFVAFPLDAGNTPPDVKLLYQKVDELIQKHIATVTAPQASGEDNSANSISFVLLVIDTLIKLQKNFIDPFILVRILQRLARDMGSTGGSHMRQGQRTEPDSSVTSSRQSDDVGAVISNLKSVLKLISERVMLVPECKRSVTQILNALLLEKGTDATVLLCILDVIKGWIEDDFNKQGMIGTSNAFLTPKDIVSFLQKLSQVDKQNFQASALEEWDRKYLHLLYGICSDSNKYPAALRQEVFQKVERQYMLGLRAKDPEVRMKFFSLYHESLSKTLFNRLQFIIQIQDWEALSDVFWLKQGLDLLLAVLVEDKPITLAPNSARVLPVVAPGSVSDNSGMQHQVAEVPEGSEEAPLTLDSLVVKHAQFLNEMSKLQVADLVIPLRELAHTDPNVAYHLWVLVFPIVWVTLLKEEQVALAKPMISLLSKDYHKKQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNETKCSESLAELYRLLNEEDMRCGLWKKRSITAETKAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLSCASQLSQWDALVEFGKNIENYEILLDSLWKLPDWVYMKDYVIPKAQVEETPKLRLIQAFFALHDKNTNGVGDAENIIGKGVDLALEQWWQLPEMSVHARVPLLQQFQQLVEVQESARILVDIANGSKLSGNSVVGVHGNLYADLKDILETWRLRTPNDWDNMSVWYDLLQWRNEMYNAVIDAFKEFSTTNPQLHHLGFRDKAWNVNKLARIARKQGLYDVCVTILEKMYGHSTMEVQEAFVKITEQAKAYLEMKGELTSGLNLINSTNLEYFPVKHQAEIFRIKGDFLLKLNDSEGANLAYSNAISLFKNQPKGWISWGNYCDMAYKDGHDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLFLLSFDTPSEPVGRSFDKYLDQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSELGRMTMAQQRMQQNSGGNLPPDNQVNQVTQSGSGIGSHDGSNSHGQDPERSNVTENSVQTGNDQPMQQSSSSISDSGQSAMRRNGSLGLVASAASAFDAAKDIMETLRSKHANLAGELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVRDYKQDFERDLDPESTTTFPATLSELTERLKHWKNILQSNVEDRFPAVLKLEEESKVLRDFHMVDVEIPGQYFSDQEIAPDHTVKLDRVGADVPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVISPAC >KJB17271 pep chromosome:Graimondii2_0_v6:3:598133:619122:-1 gene:B456_003G009700 transcript:KJB17271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIQNFEQHSRHLVEPDLPIQTRLQMVMEVRDSLEIAHTAEYLNFLKCYFRAFSVVLLQVTRPQYSDNHEHKVRNVVVEILNRLPHSEVLRPFVQDLLKLAMQVLTNDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFRLTVSHFFDNAAVGMEVDVKPMDTSSVDQGITSSGYVGNGQMNPSGRSFKIVTESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPERVPPHLKTHFIELKGAQVKTVSFLTYLLKSFADYIRPHEESICKSIVNLLVTCSDSVSIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACFETLRPLAYSLLAEIVHHVRGDLSLSQLSRIIYLFSKNMHDASLSLGIHTTCARLMLNLVEPIFEKGVDQPTMDEARVLLGRILDAFVGKFSTFKRTIPQLLKEGEEGKDRPTLRSKLELPVQAVLNLQVPVEHSKEVSDCKNLIKTLVVGMKTIIWSITHSHLPRSQGSSSTHGTHQQVLVSPTSNLPAPQAFKGLREDEVWKASAVLKSGVHCLALFKEKDEEREMLQLFSQILAIMEARDLMDMFSLCMPELFECMISNNQLVHIFSTLLQTPKVYRPFADVLVNFLVSSKLDSLKHPDTPPAKLVLHLFRFIFGAVAKAPADFERILQPHVPVIMEVCMKNATEVEKPLGYLQLLRTMFKALAGCKIELLLRDLIPMLQPCLNMLLTMLEGPTAEDMRDLLLELCLTLPARLSSLLPHLPRLMKSLVLCLKGSDDLVSLGLKTLEFWVDSLNPDFLEPSMANVMSDVILALWSHLRPAPYPWGGKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPSTPFLVPLDRCINLAVAAIMHKDDGMDSFYRKQALKFLRVCLSSQLNLPGNASDEGYTPKHLLSSLGSSVDLSWRRSETTDAKSDLGVKTKTQLLAEKSVFKILLMTIISASAEPDLSDPKDEFVVNICRHFAMIFHIDQSSMNTSTASSSLSGPMLSSNVNTSSRSKTSSSSNLKELDPLIFLDALVDVLADENRLHAKAALSALNVFAETLLFLARSKHANMLMSRGGPSTPMIVSSPSMNPVYSPPPSVQIPVFEQLLPRLLHCCYGSTWQAQMGGVMGLGALVGKVTVETLCLFQVRVVRGLVYVLKRLPVYSSKEQEETSQVLTQVLRVVNNVDEANNEPRRQSFLGVVDFLASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLHQSMLQPLIMRPLRAKTVDQQVGTVTALNFCLALRPPLLKLTPELINFLQEALQIAEADETVWVVKFMNPKVATSLNKLRTACIELLCTTMAWADFKTPNHSELRAKIIAMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPHAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPLTKFLNRYATLAVDYFLARLSEPKYFRRFMYIIRSDAGQPLRDELAKSPQKILASAFPEFLSKSEAAMTSGSSTPAAALVGDEGLGTSQVDSSNLPPVTSTATLDAYFQGLALIKTLVKLIPGWLQSNRLVFDTLVLVWKSPARISRLQNEQELNLVQVKESKWLIKCFLNYLRHDKNEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKRALMSHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNGQSWDVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGESRMPIWIRYTKKILVEEGHSIPNLIHIFQLIARHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVGWERKRQKEMKVASESDVPGQIGDGFNSASTSSDPKRPVESSTFPEDPSKRVKVEPGLQPFCVMSPGAASSIPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIDPKEKEASAMYKQALELLSQALEVWPTANVKFNYLEKLLSSVQPSQSKDPSTALSQGLDVMNKILEKQPQLFIRNNINQISQILEPCFKYKMLDAGKSLCSLLKMVFVAFPLDAGNTPPDVKLLYQKVDELIQKHIATVTAPQASGEDNSANSISFVLLVIDTLIKLQKNFIDPFILVRILQRLARDMGSTGGSHMRQGQRTEPDSSVTSSRQSDDVGAVISNLKSVLKLISERVMLVPECKRSVTQILNALLLEKGTDATVLLCILDVIKGWIEDDFNKQGMIGTSNAFLTPKDIVSFLQKLSQVDKQNFQASALEEWDRKYLHLLYGICSDSNKYPAALRQEVFQKVERQYMLGLRAKDPEVRMKFFSLYHESLSKTLFNRLQFIIQIQDWEALSDVFWLKQGLDLLLAVLVEDKPITLAPNSARVLPVVAPGSVSDNSGMQHQVAEVPEGSEEAPLTLDSLVVKHAQFLNEMSKLQVADLVIPLRELAHTDPNVAYHLWVLVFPIVWVTLLKEEQVALAKPMISLLSKDYHKKQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNETKCSESLAELYRLLNEEDMRCGLWKKRSITAETKAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLSCASQLSQWDALVEFGKNIENYEILLDSLWKLPDWVYMKDYVIPKAQVEETPKLRLIQAFFALHDKNTNGVGDAENIIGKGVDLALEQWWQLPEMSVHARVPLLQQFQQLVEVQESARILVDIANGSKLSGNSVVGVHGNLYADLKDILETWRLRTPNDWDNMSVWYDLLQWRNEMYNAVIDAFKEFSTTNPQLHHLGFRDKAWNVNKLARIARKQGLYDVCVTILEKMYGHSTMEVQEAFVKITEQAKAYLEMKGELTSGLNLINSTNLEYFPVKHQAEIFRIKGDFLLKLNDSEGANLAYSNAISLFKNQPKGWISWGNYCDMAYKDGHDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLFLLSFDTPSEPVGRSFDKYLDQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSELGRMTMAQQRMQQNSGGNLPPDNQVNQVTQSGSGIGSHDGSNSHGQDPERSNVTENSVQTGNDQPMQQSSSSISDSGQSAMRRNGSLGLVASAASAFDAAKDIMETLRSKHANLAGELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVRDYKQDFERDLDPESTTTFPATLSELTERLKHWKNILQSNVEDRFPAVLKLEEESKVLRDFHMVDVEIPGQYFSDQEIAPDHTVKLDRVGADVPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVISPAC >KJB17269 pep chromosome:Graimondii2_0_v6:3:597636:611202:-1 gene:B456_003G009700 transcript:KJB17269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILLQSDLGVKTKTQLLAEKSVFKILLMTIISASAEPDLSDPKDEFVVNICRHFAMIFHIDQSSMNTSTASSSLSGPMLSSNVNTSSRSKTSSSSNLKELDPLIFLDALVDVLADENRLHAKAALSALNVFAETLLFLARSKHANMLMSRGGPSTPMIVSSPSMNPVYSPPPSVQIPVFEQLLPRLLHCCYGSTWQAQMGGVMGLGALVGKVTVETLCLFQVRVVRGLVYVLKRLPVYSSKEQEETSQVLTQVLRVVNNVDEANNEPRRQSFLGVVDFLASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLHQSMLQPLIMRPLRAKTVDQQVGTVTALNFCLALRPPLLKLTPELINFLQEALQIAEADETVWVVKFMNPKVATSLNKLRTACIELLCTTMAWADFKTPNHSELRAKIIAMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPHAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPLTKFLNRYATLAVDYFLARLSEPKYFRRFMYIIRSDAGQPLRDELAKSPQKILASAFPEFLSKSEAAMTSGSSTPAAALVGDEGLGTSQVDSSNLPPVTSTATLDAYFQGLALIKTLVKLIPGWLQSNRLVFDTLVLVWKSPARISRLQNEQELNLVQVKESKWLIKCFLNYLRHDKNEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKRALMSHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNGQSWDVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGESRMPIWIRYTKKILVEEGHSIPNLIHIFQLIARHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVGWERKRQKEMKVASESDVPGQIGDGFNSASTSSDPKRPVESSTFPEDPSKRVKVEPGLQPFCVMSPGAASSIPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIDPKEKEASAMYKQALELLSQALEVWPTANVKFNYLEKLLSSVQPSQSKDPSTALSQGLDVMNKILEKQPQLFIRNNINQISQILEPCFKYKMLDAGKSLCSLLKMVFVAFPLDAGNTPPDVKLLYQKVDELIQKHIATVTAPQASGEDNSANSISFVLLVIDTLIKLQKNFIDPFILVRILQRLARDMGSTGGSHMRQGQRTEPDSSVTSSRQSDDVGAVISNLKSVLKLISERVMLVPECKRSVTQILNALLLEKGTDATVLLCILDVIKGWIEDDFNKQGMIGTSNAFLTPKDIVSFLQKLSQVDKQNFQASALEEWDRKYLHLLYGICSDSNKYPAALRQEVFQKVERQYMLGLRAKDPEVRMKFFSLYHESLSKTLFNRLQFIIQIQDWEALSDVFWLKQGLDLLLAVLVEDKPITLAPNSARVLPVVAPGSVSDNSGMQHQVAEVPEGSEEAPLTLDSLVVKHAQFLNEMSKLQVADLVIPLRELAHTDPNVAYHLWVLVFPIVWVTLLKEEQVALAKPMISLLSKDYHKKQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNETKCSESLAELYRLLNEEDMRCGLWKKRSITAETKAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLSCASQLSQWDALVEFGKNIENYEILLDSLWKLPDWVYMKDYVIPKAQVEETPKLRLIQAFFALHDKNTNGVGDAENIIGKGVDLALEQWWQLPEMSVHARVPLLQQFQQLVEVQESARILVDIANGSKLSGNSVVGVHGNLYADLKDILETWRLRTPNDWDNMSVWYDLLQWRNEMYNAVIDAFKEFSTTNPQLHHLGFRDKAWNVNKLARIARKQGLYDVCVTILEKMYGHSTMEVQEAFVKITEQAKAYLEMKGELTSGLNLINSTNLEYFPVKHQAEIFRIKGDFLLKLNDSEGANLAYSNAISLFKNQPKGWISWGNYCDMAYKDGHDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLFLLSFDTPSEPVGRSFDKYLDQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSELGRMTMAQQRMQQNSGGNLPPDNQVNQVTQSGSGIGSHDGSNSHGQDPERSNVTENSVQTGNDQPMQQSSSSISDSGQSAMRRNGSLGLVASAASAFDAAKDIMETLRSKHANLAGELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVRDYKQDFERDLDPESTTTFPATLSELTERLKHWKNILQSNVEDRFPAVLKLEEESKVLRDFHMVDVEIPGQYFSDQEIAPDHTVKLDRVGADVPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYNTFLEVYENHCARNDREPDLPITYFKEQLNQAISGQISPEAVVDLRLQAYNDITKSLVADGIFSQYMYKTLPSGNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDVNGMIEFSEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQAVVSPKQTQHLWYQLAMFFRDELLSWSWRRPLGMPLAPAAGGGSMNPTDFKHKVTTNVENVIGRISGIAPQCFSEEEENVMDPPQSVQRGVTELVDAALLPRNLCMMDPTWHPWF >KJB19911 pep chromosome:Graimondii2_0_v6:3:37109067:37113279:-1 gene:B456_003G124300 transcript:KJB19911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVHASFTSVVCKNGNHSAPSRFPCTTFLPGFDVVGRVSNACKKEICPSMSSGPKATLTFDPPTTNSEKSKQKKHTINPASPDFMPLPSFEECFPKSSKECREVIHEESGHVLKVPFRRVHLTGDVPNFDTYDTSGPQNINPRIGLPKLRKGWVDRREKLGAPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAEDLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAQRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQAWDDTLSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGNPEEAMQHGMDAMSAEFLAAKKTVSGEQHGEVGGEIYLPASYVNSSDR >KJB17335 pep chromosome:Graimondii2_0_v6:3:37851762:37853143:1 gene:B456_003G127300 transcript:KJB17335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDKKIGVAVDYSKGSKAALKWSIDNLLNQGDTLYLIHVKPHQSDESRNLLWSTSGSPLIPLSEFREKEVMKHYEGTLVAKIYWGDARDKICESVEDLKLDCLVMGSRGLGTIQRVLIGSVSNYVMVNATCPVTIVKDPSAHGF >KJB17333 pep chromosome:Graimondii2_0_v6:3:37851762:37853143:1 gene:B456_003G127300 transcript:KJB17333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDKKIGVAVDYSKGSKAALKWSIDNLLNQGDTLYLIHVKPHQSDESRNLLWSTSGSPLIPLSEFREKEVMKHYEVEPDPEILDLVDIASRQKQGTLVAKIYWGDARDKICESVEDLKLDCLVMGSRGLGTIQR >KJB17336 pep chromosome:Graimondii2_0_v6:3:37851762:37859851:1 gene:B456_003G127300 transcript:KJB17336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDKKIGVAVDYSKGSKAALKWSIDNLLNQGDTLYLIHVKPHQSDESRNLLWSTSGSPLIPLSEFREKEVMKHYEVEPDPEILDLVDIASRGLLWLRFTGVMPGIKYVNPLKT >KJB17332 pep chromosome:Graimondii2_0_v6:3:37851630:37853188:1 gene:B456_003G127300 transcript:KJB17332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDKKIGVAVDYSKGSKAALKWSIDNLLNQGDTLYLIHVKPHQSDESRNLLWSTSGSPLIPLSEFREKEVMKHYEVEPDPEILDLVDIASRQKQGTLVAKIYWGDARDKICESVEDLKLDCLVMGSRGLGTIQRVLIGSVSNYVMVNATCPVTIVKDPSAHGF >KJB17334 pep chromosome:Graimondii2_0_v6:3:37851920:37852502:1 gene:B456_003G127300 transcript:KJB17334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDKKIGVAVDYSKGSKAALKWSIDNLLNQGDTLYLIHVKPHQSDESRNLLWSTSGSPLIPLSEFREKEVMKHYEVEPDPEILDLVDIASRQKQGTLVAKIYWGDARDKICESVEDLKLDCLVMGSRGLGTIQR >KJB19361 pep chromosome:Graimondii2_0_v6:3:30247511:30248202:-1 gene:B456_003G097700 transcript:KJB19361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTLFRLLVVLLGLSHIIFSNAVPVTRTGTLMHGSQVHQLQENTHLVTVEKSSDGEIIKGRMFAELNDYPGSGANNRHTPRP >KJB19346 pep chromosome:Graimondii2_0_v6:3:30017419:30022048:1 gene:B456_003G096500 transcript:KJB19346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKGKKMKVAEKVEEENHEQIDGDLVISIEKLQEIQDELEKINEEASEKVLEVEQKYNEVRKPVYDKRNEIINSIPDFWLTAFLSHPALGDLLTEEDQKIFKYINSLEVEDFKDLKSGYTITFNFNSNPYFENTTLTKTFTFLDDGTKITATPIKWKEGKGLPNGVNHEKKGNKRQLAEESFFTWFADAQQKDDMDEIHDEVAEIIKEDLWPNPLTYFNNEADEEDFDGDEGDVEGKDDDDDEESDDDQDEDDDDDDED >KJB19345 pep chromosome:Graimondii2_0_v6:3:30017556:30022048:1 gene:B456_003G096500 transcript:KJB19345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKGKKMKVAEKVEEENHEQIDGDLVISIEKLQEIQDELEKINEEASEKVLEVEQKYNEVRKPVYDKRNEIINSIPDFWLTAFLSHPALGDLLTEEDQKIFKYINSLEVEDFKDLKSGYTITFNFNSNPYFENTTLTKTFTFLDDGTKITATPIKWKEGKGLPNGVNHEKKGNKRQLAEESFFTWFADAQQKDDMDEIHDEVAEIIKEDLWPNPLTYFNNEADEEDFDGDEGDVEGKDDDDDEESDDDQDEDDDDDDED >KJB19347 pep chromosome:Graimondii2_0_v6:3:30017556:30022048:1 gene:B456_003G096500 transcript:KJB19347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKGKKMKVAEKVEEENHEQIDGDLVISIEKLQEIQDELEKINEEASEKVLEVEQKYNEVRKPVYDKRNEIINSIPDFWLTAFLSHPALGDLLTEEDQKIFKYINSLEVEDFKDLKSGYTITFNFNSNPYFENTTLTKTFTFLDDGTKITATPIKWKEGKGLPNGVNHEKKGNKRQLAEESFFTWFADAQQKDDMDEIHDEVAEIIKEDLWPNPLTYFNNADEEDFDGDEGDVEGKDDDDDEESDDDQDEDDDDDDED >KJB19915 pep chromosome:Graimondii2_0_v6:3:37247216:37251268:1 gene:B456_003G124900 transcript:KJB19915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 43 [Source:Projected from Arabidopsis thaliana (AT3G46980) UniProtKB/TrEMBL;Acc:A0A1I9LP04] MNSTLSLNRPVRNYTLGSFKNPKLIEARPSRHQLRSHLIDFSKLKWFRNVNSRTGSSVQQQLRDYSCESRRITSRRIRVSSNDAQFGSFPNKGGAESSSFAEFITSERVKVVAMLALSLALCNADRVVMSVAIVPLSLAHGWSRSFSGIVQSSFLWGYLISPIAGGTLVDYYGGKAVMACGVALWSLATFLTPWAAENSLLALLFARAMLGVAEGVALPCMNNMIARWFPSTERARAVAMAMAGFQLGNAIGLTLSPILMSQGGIFGPFVIFGLCGFLWVLVWLSATSSTPDRSPQISKYELDYIMNKRQKSHAVESKPKTKMIPPFRRLLSKMPTWSLIVANAMHSWGFFVILSWMPIYFNSVHHVDLRQAAWFSAVPWCMMALTGYLAGLWSDTLIRNGTSITLTRKIMQSIGFVGPAVALVGLTAAKTPSTASAWLSLAVGLKAFSHCGFLVNLQEIAPHYSGVLHGLSNTAGTFAAIIGTVGAGFFVELVGSFQGFLLLTSLLYFLAALFYIKFSTGERVNFDESGQYHSHHINT >KJB19913 pep chromosome:Graimondii2_0_v6:3:37247216:37253075:1 gene:B456_003G124900 transcript:KJB19913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 43 [Source:Projected from Arabidopsis thaliana (AT3G46980) UniProtKB/TrEMBL;Acc:A0A1I9LP04] MNSTLSLNRPVRNYTLGSFKNPKLIEARPSRHQLRSHLIDFSKLKWFRNVNSRTGSSVQQQLRDYSCESRRITSRRIRVSSNDAQFGSFPNKGGAESSSFAEFITSERVKVVAMLALSLALCNADRVVMSVAIVPLSLAHGWSRSFSGIVQSSFLWGYLISPIAGGTLVDYYGGKAVMACGVALWSLATFLTPWAAENSLLALLFARAMLGVAEGVALPCMNNMIARWFPSTERARAVAMAMAGFQLGNAIGLTLSPILMSQGGIFGPFVIFGLCGFLWVLVWLSATSSTPDRSPQISKYELDYIMNKRQKSHAVESKPKTKMIPPFRRLLSKMPTWSLIVANAMHSWGFFVILSWMPIYFNSVHHVDLRQAAWFSAVPWCMMALTGYLAGLWSDTLIRNGTSITLTRKIMQSIGFVGPAVALVGLTAAKTPSTASAWLSLAVGLKAFSHCGFLVNLQEIAPHYSGVLHGLSNTAGTFAAIIGTVGAGFFVELVGSFQGFLLLTSLLYFLAALFYIKFSTGERVNFDESDS >KJB19917 pep chromosome:Graimondii2_0_v6:3:37247214:37251268:1 gene:B456_003G124900 transcript:KJB19917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 43 [Source:Projected from Arabidopsis thaliana (AT3G46980) UniProtKB/TrEMBL;Acc:A0A1I9LP04] MNSTLSLNRPVRNYTLGSFKNPKLIEARPSRHQLRSHLIDFSKLKWFRNVNSRTGSSVQQQLRDYSCESRRITSRRIRVSSNDAQFGSFPNKGGAESSSFAEFITSERVKVVAMLALSLALCNADRVVMSVAIVPLSLAHGWSRSFSGIVQSSFLWGYLISPIAGGTLVDYYGGKAVMACGVALWSLATFLTPWAAENSLLALLFARAMLGVAEGVALPCMNNMIARWFPSTERARAVAMAMAGFQLGNAIGLTLSPILMSQGGIFGPFVIFGLCGFLWVLVWLSATSSTPDRSPQISKYELDYIMNKRQKSHAVESKPKTKMIPPFRRLLSKMPTWSLIVANAMHSWGFFVILSWMPIYFNSVHHVDLRQAAWFSAVPWCMMALTGYLAGLWSDTLIRNGTSITLTRKIMQSIGFVGPAVALVGLTAAKTPSTASAWLSLAVGLKAFSHCGFLVNLQEIAPHYSGVLHGLSNTAGTFAAIIGTVGAGFFVELVGSFQGFLLLTSLLYFLAALFYIKFSTGERVNFDESDS >KJB19914 pep chromosome:Graimondii2_0_v6:3:37247216:37250747:1 gene:B456_003G124900 transcript:KJB19914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 43 [Source:Projected from Arabidopsis thaliana (AT3G46980) UniProtKB/TrEMBL;Acc:A0A1I9LP04] MNSTLSLNRPVRNYTLGSFKNPKLIEARPSRHQLRSHLIDFSKLKWFRNVNSRTGSSVQQQLRDYSCESRRITSRRIRVSSNDAQFGSFPNKGGAESSSFAEFITSERVKVVAMLALSLALCNADRVVMSVAIVPLSLAHGWSRSFSGIVQSSFLWGYLISPIAGGTLVDYYGGKAVMACGVALWSLATFLTPWAAENSLLALLFARAMLGVAEGVALPCMNNMIARWFPSTERARAVAMAMAGFQLGNAIGLTLSPILMSQGGIFGPFVIFGLCGFLWVLVWLSATSSTPDRSPQISKYELDYIMNKRQKSHAVESKPKTKMIPPFRRLLSKMPTWSLIVANAMHSWGFFVILSWMPIYFNSVHHVDLRQAAWFSAVPWCMMALTGYLAGLWSDTLIRNGTSITLTRKIMQSIGFVGPAVALVGLTAAKTPSTASAWLSLAVGLKAFSHCGFLVNLQEIAPHYSGVLHGLSNTAGTFAAIIGTVGAGFFVELVGSFQGFLLLTSLLYFLAALFYIKFSTGERVNFDESGQYHSHHINT >KJB19916 pep chromosome:Graimondii2_0_v6:3:37247216:37251280:1 gene:B456_003G124900 transcript:KJB19916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 43 [Source:Projected from Arabidopsis thaliana (AT3G46980) UniProtKB/TrEMBL;Acc:A0A1I9LP04] MNSTLSLNRPVRNYTLGSFKNPKLIEARPSRHQLRSHLIDFSKLKWFRNVNSRTGSSVQQQLRDYSCESRRITSRRIRVSSNDAQFGSFPNKGGAESSSFAEFITSERVKVVAMLALSLALCNADRVVMSVAIVPLSLAHGWSRSFSGIVQSSFLWGYLISPIAGGTLVDYYGGKAVMACGVALWSLATFLTPWAAENSLLALLFARAMLGVAEGVALPCMNNMIARWFPSTERARAVAMAMAGFQLGNAIGLTLSPILMSQGGIFGPFVIFGLCGFLWVLVWLSATSSTPDRSPQISKYELDYIMNKRQKSHAVESKPKTKMIPPFRRLLSKMPTWSLIVANAMHSWGFFVILSWMPIYFNSVHHVDLRQAAWFSAVPWCMMALTGYLAGLWSDTLIRNGTSITLTRKIMQSIGFVGPAVALVGLTAAKTPSTASAWLSLAVGLKAFSHCGFLVNLQEIAPHYSGVLHGLSNTAGTFAAIIGTVGAGFFVELVGSFQGFLLLTSLLYFLAALFYIKFSTGERVNFDESDS >KJB17646 pep chromosome:Graimondii2_0_v6:3:578431:581742:-1 gene:B456_003G009500 transcript:KJB17646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMWFNFIMHSRMIPMCILLWSYVRVENCWTGFWPSKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTRPDSPLKATDFGLSDFIKPGKRFRDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGKRPFWDKTEDGIFKEVLKNKPDFRRKPWPTISDDAKDFLKKMLVKDPRARLTAAQALSHQWVREGGNASDIPVDISILSNLRQFVKYSRLKQFALRALASTLNEEEIADLRDQFDAIDVDKSGSISLEEMRQALAKDLPWKLKDSRVLEILQAIDSNTDGLVDFTEFVAAALHVNQMEEHDCDKWQMRLQAAFEKFDVDRDGYITPEELRMHTGLRGSIDPLLEEADIDKDGKISLSEFRRLLRTASMGSRNVSSPSGNRNTQKL >KJB17651 pep chromosome:Graimondii2_0_v6:3:578619:583383:-1 gene:B456_003G009500 transcript:KJB17651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACLSATKVSGGSSGNTTAHHRKTATNAEKQSQKPNNQQVRCSQPLKNKQKPEKQSGIIPWGKRTDFGYDKDFDQRYTIGKLLGHGQFGYTYVAIDKVNGDRVAVKKIDKNKMILPIAVEDVKREVKILKALKGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTRPDSPLKATDFGLSDFIKPGKRFRDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGKRPFWDKTEDGIFKEVLKNKPDFRRKPWPTISDDAKDFLKKMLVKDPRARLTAAQALSHQWVREGGNASDIPVDISILSNLRQFVKYSRLKQFALRALASTLNEEEIADLRDQFDAIDVDKSGSISLEEMRQALAKDLPWKLKDSRVLEILQAIDSNTDGLVDFTEFVAAALHVNQMEEHDCDKWQMRLQAAFEKFDVDRDGYITPEELRMVSVHVLVLMFFVFLKINEQRNR >KJB17649 pep chromosome:Graimondii2_0_v6:3:579458:583383:-1 gene:B456_003G009500 transcript:KJB17649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACLSATKVSGGSSGNTTAHHRKTATNAEKQSQKPNNQQVRCSQPLKNKQKPEKQSGIIPWGKRTDFGYDKDFDQRYTIGKLLGHGQFGYTYVAIDKVNGDRVAVKKIDKNKMILPIAVEDVKREVKILKALKGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTRPDSPLKATDFGLSDFIKPGKRFRDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGKRPFWDKTEDGIFKEVLKNKPDFRRKPWPTISDDAKDFLKKMLVKDPRARLTAAQALSHQWVREGGNASDIPVDISILSNLRQFVKYSRLKQFALRALASTLNEEEIADLRDQFDAIDVDKSGSISLEEMRQVNISIVCLTSIRLHKTSLFLSLIGWFQCRPLLKIFLGS >KJB17650 pep chromosome:Graimondii2_0_v6:3:578105:583443:-1 gene:B456_003G009500 transcript:KJB17650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPIAVEDVKREVKILKALKGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTRPDSPLKATDFGLSDFIKPGKRFRDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGKRPFWDKTEDGIFKEVLKNKPDFRRKPWPTISDDAKDFLKKMLVKDPRARLTAAQALSHQWVREGGNASDIPVDISILSNLRQFVKYSRLKQFALRALASTLNEEEIADLRDQFDAIDVDKSGSISLEEMRQALAKDLPWKLKDSRVLEILQAIDSNTDGLVDFTEFVAAALHVNQMEEHDCDKWQMRLQAAFEKFDVDRDGYITPEELRMHTGLRGSIDPLLEEADIDKDGKISLSEFRRLLRTASMGSRNVSSPSGNRNTQKL >KJB17653 pep chromosome:Graimondii2_0_v6:3:580651:583383:-1 gene:B456_003G009500 transcript:KJB17653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACLSATKVSGGSSGNTTAHHRKTATNAEKQSQKPNNQQVRCSQPLKNKQKPEKQSGIIPWGKRTDFGYDKDFDQRYTIGKLLGHGQFGYTYVAIDKVNGDRVAVKKIDKNKMILPIAVEDVKREVKILKALKGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTRPDSPLKATDFGLSDFIKPGKRFRDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGKRPFWDKTEDGIFKEVWYIFISRTSIFSLRVSFYFIINICRHNITSVLCMFLHHALSYEIHLAMCI >KJB17647 pep chromosome:Graimondii2_0_v6:3:578105:583466:-1 gene:B456_003G009500 transcript:KJB17647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACLSATKVSGGSSGNTTAHHRKTATNAEKQSQKPNNQQVRCSQPLKNKQKPEKQSGIIPWGKRTDFGYDKDFDQRYTIGKLLGHGQFGYTYVAIDKVNGDRVAVKKIDKNKMILPIAVEDVKREVKILKALKGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTRPDSPLKATDFGLSDFIKPGKRFRDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGKRPFWDKTEDGIFKEVLKNKPDFRRKPWPTISDDAKDFLKKMLVKDPRARLTAAQALSHQWVREGGNASDIPVDISILSNLRQFVKYSRLKQFALRALASTLNEEEIADLRDQFDAIDVDKSGSISLEEMRQALAKDLPWKLKDSRVLEILQAIDSNTDGLVDFTEFVAAALHVNQMEEHDCDKWQMRLQAAFEKFDVDRDGYITPEELRMHTGLRGSIDPLLEEADIDKDGKISLSEFRRLLRTASMGSRNVSSPSGNRNTQKL >KJB17648 pep chromosome:Graimondii2_0_v6:3:578135:583383:-1 gene:B456_003G009500 transcript:KJB17648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACLSATKVSGGSSGNTTAHHRKTATNAEKQSQKPNNQQVRCSQPLKNKQKPEKQSGIIPWGKRTDFGYDKDFDQRYTIGKLLGHGQFGYTYVAIDKVNGDRVAVKKIDKNKMILPIAVEDVKREVKILKALKGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTRPDSPLKATDFGLSDFIKPGKRFRDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGKRPFWDKTEDGIFKEVLKNKPDFRRKPWPTISDDAKDFLKKMLVKDPRARLTAAQALSHQWVREGGNASDIPVDISILSNLRQFVKYSRLKQFALRALASTLNEEEIADLRDQFDAIDVDKSGSISLEEMRQCRPLLKIFLGS >KJB17652 pep chromosome:Graimondii2_0_v6:3:579512:583252:-1 gene:B456_003G009500 transcript:KJB17652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACLSATKVSGGSSGNTTAHHRKTATNAEKQSQKPNNQQVRCSQPLKNKQKPEKQSGIIPWGKRTDFGYDKDFDQRYTIGKLLGHGQFGYTYVAIDKVNGDRVAVKKIDKNKMILPIAVEDVKREVKILKALKGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTRPDSPLKATDFGLSDFIKPGKRFRDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGKRPFWDKTEDGIFKEVLKNKPDFRRKPWPTISDDAKDFLKKMLVKDPRARLTAAQALSHQWVREGGNASDIPVDISILSNLRQFVKYSRLKQFALRALASTLNEEEIADLRDQFDAIDVDKSGSISLEEMRQVNISIVCLTSIRLHKTSLFLSLIGWFQCRPLLKIFLGS >KJB20394 pep chromosome:Graimondii2_0_v6:3:41289564:41292297:-1 gene:B456_003G146200 transcript:KJB20394 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RZFP34 [Source:Projected from Arabidopsis thaliana (AT5G22920) UniProtKB/Swiss-Prot;Acc:Q9FFB6] MEGVCETKSCTTELKSVEFGNHQNGSLMETGLGNSGCSHYRRRCKIRAPCCNEVFYCRHCHNESKNSPESSPLKQHDIPRHEVEKVICSLCDTEQDVQQYCINCGVCMGKYFCGKCKFFDDDVSKNQYHCVECGICRTGGEENFFHCNKCGCCYSNLMREVHRCIEKAMHHDCPICFEFLFDTMKDVTVLPCGHTMHLGCLREMEEHYRYSCPVCSKSICDMSKLWRKLDKE >KJB20393 pep chromosome:Graimondii2_0_v6:3:41289422:41292555:-1 gene:B456_003G146200 transcript:KJB20393 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RZFP34 [Source:Projected from Arabidopsis thaliana (AT5G22920) UniProtKB/Swiss-Prot;Acc:Q9FFB6] MEGVCETKSCTTELKSVEFGNHQNGSLMETGLGNSGCSHYRRRCKIRAPCCNEVFYCRHCHNESKNSPESSPLKQHDIPRHEVEKVICSLCDTEQDVQQYCINCGVCMGKYFCGKCKFFDDDVSKNQYHCVECGICRTGGEENFFHCNKCGCCYSNLMREVHRCIEKAMHHDCPICFEFLFDTMKDVTVLPCGHTMHLGCLREMEEHYRYSCPVCSKSICDMSKLWRKLDKEIASTPMPAVYQNKMAWILCNDCGATSNVQFHIVAHKCPSCESYNTRQTRGGPVGGSCSEMVR >KJB19836 pep chromosome:Graimondii2_0_v6:3:36268043:36270765:-1 gene:B456_003G120900 transcript:KJB19836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTTTTTSLDAGGEDRVMAAAQQIVKSLNTAKEVREDMLLIFSSFDNRLSNITNLIKKDSDSTGVRFDAAEKVILRWDSSSSNPDTSRHSLPWEDSPHEAAEYLSAVDEILKLVVDVSIRSDNEIMDRAEAAVQLAMSRLEDEFRLILIRNTVPLDAEGLYGSIRRVSLSFAVNEGEIDEEFESFGEVDSVRGCFHDRGASLGDDLCVDLINADAVVELKEIADRMIRSGYEKECVQTYSNVRRDALDEYLVILGVEKLSIEEVQKIEWKALDEKMKKWIQAIKITVRVLLSGEKRLCDQIFNGFDSIKEICFSETAKGCVMQLLNFGEAVAIGKRSSEKLFRILDMYDVLADALLDLEMMITDEFLCSEAKGVLSGLGEAAKGTFVEFENAVKSEASKKPMQNGEIHPLTRYVMNYVKLLVDYSKTLNLLLESDEDEEDDGLQSEDSELETTTPFAKRLLLLISSLESNLEEKSKLYEDGALHCIFLMNNILYIVQKVKDSELGKLLGDNWVRKRRGQVRQYATSYLRACWMKALYCLKDEGIGGSSNNASKVTLKERFKNFNACFEEIYRIQTGWKVPDPQLREELRISISEKVIPAYRSFMGRFGSQLESGRNSGKYIKYTPEDLENYLLDLFEGSPLILHHMRRKNS >KJB19835 pep chromosome:Graimondii2_0_v6:3:36267206:36270769:-1 gene:B456_003G120900 transcript:KJB19835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTTTTTSLDAGGEDRVMAAAQQIVKSLNTAKEVREDMLLIFSSFDNRLSNITNLIKKDSDSTGVRFDAAEKVILRWDSSSSNPDTSRHSLPWEDSPHEAAEYLSAVDEILKLVVDVSIRSDNEIMDRAEAAVQLAMSRLEDEFRLILIRNTVPLDAEGLYGSIRRVSLSFAVNEGEIDEEFESFGEVDSVRGCFHDRGASLGDDLCVDLINADAVVELKEIADRMIRSGYEKECVQTYSNVRRDALDEYLVILGVEKLSIEEVQKIEWKALDEKMKKWIQAIKITVRVLLSGEKRLCDQIFNGFDSIKEICFSETAKGCVMQLLNFGEAVAIGKRSSEKLFRILDMYDVLADALLDLEMMITDEFLCSEAKGVLSGLGEAAKGTFVEFENAVKSEASKKPMQNGEIHPLTRYVMNYVKLLVDYSKTLNLLLESDEDEEDDGLQSEDSELETTTPFAKRLLLLISSLESNLEEKSKLYEDGALHCIFLMNNILYIVQKVKDSELGKLLGDNWVRKRRGQVRQYATSYLRACWMKALYCLKDEGIGGSSNNASKVTLKERFKNFNACFEEIYRIQTGWKVPDPQLREELRISISEKVIPAYRSFMGRFGSQLESGRNSGKYIKYTPEDLENYLLDLFEGSPLILHHMRRKNS >KJB20576 pep chromosome:Graimondii2_0_v6:3:42358554:42362289:-1 gene:B456_003G154700 transcript:KJB20576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKAQSAGVWPTVKPFVNGGASGMLATCVIQPIDMVKVRIQLGQGSAATVTKNMLREEGVGAFYKGLSAGLLRQATYTTARLGSFKMLTNKAIEANDGKPLPLYQKALCGLTAGAIGACFGSPADLALIRMQADATLPVAQRRNYTNAFHALYRIVADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFCKDSLGLGEAATVLGASTVSGFFAAACSLPFDYVKTQIQKMQPDASGKYPYSGSLDCAMKTLKAGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKAEKKIGL >KJB20578 pep chromosome:Graimondii2_0_v6:3:42358638:42362187:-1 gene:B456_003G154700 transcript:KJB20578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKAQSAGVWPTVKPFVNGGASGMLATCVIQPIDMVKVRIQLGQGSAATVTKNMLREEGVGAFYKGLSAGLLRQATYTTARLGSFKMLTNKAIEANDGKPLPLYQKALCGLTAGAIGACFGSPADLALIRMQADATLPVAQRRNYTNAFHALYRIVADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFCKDSLGLGEAATVLGASTVSGFFAAACSLPFDYVKTQIQKMQPDASGKYPYSGSLDCAMKTLKAGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKAEKKIGL >KJB20577 pep chromosome:Graimondii2_0_v6:3:42358634:42362187:-1 gene:B456_003G154700 transcript:KJB20577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKAQSAGVWPTVKPFVNGGASGMLATCVIQPIDMVRIQLGQGSAATVTKNMLREEGVGAFYKGLSAGLLRQATYTTARLGSFKMLTNKAIEANDGKPLPLYQKALCGLTAGAIGACFGSPADLALIRMQADATLPVAQRRNYTNAFHALYRIVADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFCKDSLGLGEAATVLGASTVSGFFAAACSLPFDYVKTQIQKMQPDASGKYPYSGSLDCAMKTLKAGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKAEKKIGL >KJB18020 pep chromosome:Graimondii2_0_v6:3:2728386:2731728:1 gene:B456_003G029800 transcript:KJB18020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRDLNTSFSSSNLLCQETQQEQEACFSETAKESHHYKSPCSDNEDDDEYIENLVQRETTTDDLFTTKTWLKSARFDAIQWIFNTRETFGFQVHTAYLSVTYFDRFIATRSIDEGKMWAIPLLSIACLSLAAKMEEPKVPFLSEFHTKDYQFENKVIQRMELMVLTTLGWKMSSATPFCYLHYFIRKFHGEFETKGLICKAIEFIIAIIKEMSLVDCRPSIIAAAAVLAALNGGLTRKAMEDKIGCISLWGSLQSEHIFSCYNMVVEIEMRKCKTPKFAISPKYWSVGDVIGEVFCSDASDGGGGGDIKRKLTFNHSSDQNSLSKKICRP >KJB20899 pep chromosome:Graimondii2_0_v6:3:44252920:44257435:1 gene:B456_003G171100 transcript:KJB20899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase 1.6 [Source:Projected from Arabidopsis thaliana (AT4G16570) UniProtKB/Swiss-Prot;Acc:Q944R7] MNSLISKTLIPPISLSSSTRLTLTPNSLRHFKLHLPRLMSSDSSHRLFQLKLDPLTGNSEWVVIEDNDDLPDCPKEPLLATTSYLDMLNDSCRNKAFRSAIERTVTKPCHVLDIGAGTGLLSMMAARAMGLNGTVTACESYLPMAKLMRKVLHRNRMGKAISLFNKRSDELEVGVDIPSRADVLVSEILDSELLGEGLIPTLQHAYDKLLVENPLTVPFRAVTYGQLVESTYLWKLHDLSGIEAKVSDGIHLVPTGSDSIIQVKSRQYPMHCDAIREEIKLLSEPFEIFEFDFWKRPESNGETKVQVKVIDDGNIHAIVSWWVLQLDREGTVFYSTAPRWINSPANIGYRDWCDHWKQCVWFFRGKGMSVSQGEELLLEASHTETSVSYNLNVQVPKNDTRQHDHNIRDFQLLLSPERIAIYGDSKWRSSVLAAVRNALQGRVNPLCVVVDDSIFLTLLAANLSKTSHVLSLFPGLREKGAQYLETVVKANCFSMDRVEVPEKRKPCLTLHDTRGKKVDMLIGEPYYYANEGMLPWKNLRFWKDRTLLDPVLSEDALIMPCKGILKACAMSLPDLWSSRCCLGDVEGFDHSIVNTTLGACGELPAPKEGPFLPFSIWQCGEIKELSEIFTILEFDFSKPISSCHGTAQVKFTEGGVCHGFVLWVDWIMDTDNSIVISTGPEHRYWKQGVKLLSKPVAVGINGCNSNSEYGSTIVEALFEPSSSELILKHAFL >KJB18834 pep chromosome:Graimondii2_0_v6:3:16694331:16695645:-1 gene:B456_003G071500 transcript:KJB18834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAMCSSASSSVGNSIANCGERGEIMLFGVRLVVDSIRKIVSMNNLSQYEQPRESNNNNKIGDKYKGDEYVTADYASADDVIPHSTKNRERKRGIPWTVEEHKLFLLGLQKVGKGNWRRISLYFVKTRTPTQVASHAQKYFLRQSNINRRRRRRSSLFDMTLDMSPRVRR >KJB18833 pep chromosome:Graimondii2_0_v6:3:16694316:16695876:-1 gene:B456_003G071500 transcript:KJB18833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAMCSSASSSVGNSIANCGERGEIMLFGVRLVVDSIRKIVSMNNLSQYEQPRESNNNNKIGDKYKGDEYVTADYASADDVIPHSTKNRERKRGIPWTVEEHKLFLLGLQKVGKGNWRRISLYFVKTRTPTQVASHAQKYFLRQSNINRRRRRRSSLFDMTLDMVEDEQIQPQENICFPDLNLNLNSVAEGPPLNLKLSLQSDQGDPSSSSRHSAFQVLPSFSNGDGTNVVTVA >KJB19216 pep chromosome:Graimondii2_0_v6:3:26054546:26058223:-1 gene:B456_003G089800 transcript:KJB19216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKQPGDEIQEGVHGCEEKTTSETKSWSTGFLVNMESTVAEIDCVSIGVSSNDIDLSVLYPDSSSLPIMASLSEADDEESELHTWKKLGFEPTLPICLKFEDVTYKVPVKGEKTPDANKYILHGITGLVHPGEVLALMGPSGGGKTTLLNLLSGRIKLDSGTITYNNQPYSKSLKWRIGFVLQDDVAFPHLTVKETLTYAALLRLPNTLTMQQKKERATYVISELGLERCQNTVIGGTFFRGISGGERKRVCIANEILLNPSLLLLDEPTSGLDSTTALQIVKMLRNIARSGKTVVTTIHQPSSRLFSKFDKLILLGKGSSLYFGKVSDAMLYFSSMGCSPLIAMNPAEFLIDLANGNIKDKSVPSDLEDKFFPRNNSLDMKHGELSQVDVHEYLVEAYAVKEAKLGKTKLIKPLLIDAEPRMKARSSTMEWGATWWDQFSILFRRGLKERRHEYFSCIRITQVFLTAIVMGLLWWRSDASSPKGLQDQAGLLFFISVFWAFFPMFTAIFTFPQERVMLAKERSVGMYRLSAYLLARMTSDLPLDLILPLVFLVIVYLMAGLKSTFTAFSLTMLTVFLSVVAAQGLGLTIGAAFMDVKKASTLASVIIMTSMLSGGFFIQKVPVFMSWFIM >KJB19218 pep chromosome:Graimondii2_0_v6:3:26054546:26057785:-1 gene:B456_003G089800 transcript:KJB19218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSGGGKTTLLNLLSGRIKLDSGTITYNNQPYSKSLKWRIGFVLQDDVAFPHLTVKETLTYAALLRLPNTLTMQQKKERATYVISELGLERCQNTVIGGTFFRGISGGERKRVCIANEILLNPSLLLLDEPTSGLDSTTALQIVKMLRNIARSGKTVVTTIHQPSSRLFSKFDKLILLGKGSSLYFGKVSDAMLYFSSMGCSPLIAMNPAEFLIDLANGNIKDKSVPSDLEDKFFPRNNSLDMKHGELSQVDVHEYLVEAYAVKEAKLGKTKLIKPLLIDAEPRMKARSSTMEWGATWWDQFSILFRRGLKERRHEYFSCIRITQVFLTAIVMGLLWWRSDASSPKGLQDQAGLLFFISVFWAFFPMFTAIFTFPQERVMLAKERSVGMYRLSAYLLARMTSDLPLDLILPLVFLVIVYLMAGLKSTFTAFSLTMLTVFLSVVAAQGLGLTIGAAFMDVKKASTLASVIIMTSMLSGGFFIQKVPVFMSWVRYISFTYHTYRLLLKIHYGCSTGLDAESSSSCKSPFKGLRLDWDGMEVGTMVAMTVGYRILAYAFLRRMKLMTIN >KJB19217 pep chromosome:Graimondii2_0_v6:3:26054546:26058472:-1 gene:B456_003G089800 transcript:KJB19217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKQPGDEIQEGVHGCEEKTTSETKSWSTGFLVNMESTVAEIDCVSIGVSSNDIDLSVLYPDSSSLPIMASLSEADDEESELHTWKKLGFEPTLPICLKFEDVTYKVPVKGEKTPDANKYILHGITGLVHPGEVLALMGPSGGGKTTLLNLLSGRIKLDSGTITYNNQPYSKSLKWRIGFVLQDDVAFPHLTVKETLTYAALLRLPNTLTMQQKKERATYVISELGLERCQNTVIGGTFFRGISGGERKRVCIANEILLNPSLLLLDEPTSGLDSTTALQIVKMLRNIARSGKTVVTTIHQPSSRLFSKFDKLILLGKGSSLYFGKVSDAMLYFSSMGCSPLIAMNPAEFLIDLANGNIKDKSVPSDLEDKFFPRNNSLDMKHGELSQVDVHEYLVEAYAVKEAKLGKTKLIKPLLIDAEPRMKARSSTMEWGATWWDQFSILFRRGLKERRHEYFSCIRITQVFLTAIVMGLLWWRSDASSPKGLQDQAGLLFFISVFWAFFPMFTAIFTFPQERVMLAKERSVGMYRLSAYLLARMTSDLPLDLILPLVFLVIVYLMAGLKSTFTAFSLTMLTVFLSVVAAQGLGLTIGAAFMDVKKASTLASVIIMTSMLSGGFFIQKVPVFMSWVRYISFTYHTYRLLLKIHYGCSTGLDAESSSSCKSPFKGLRLDWDGMEVGTMVAMTVGYRILAYAFLRRMKLMTIN >KJB19215 pep chromosome:Graimondii2_0_v6:3:26055648:26057785:-1 gene:B456_003G089800 transcript:KJB19215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKQPGDEIQEGVHGCEEKTTSETKSWSTGFLVNMESTVAEIDCVSIGVSSNDIDLSVLYPDSSSLPIMASLSEADDEESELHTWKKLGFEPTLPICLKFEDVTYKVPVKGEKTPDANKYILHGITGLVHPGEVLALMGPSGGGKTTLLNLLSGRIKLDSGTITYNNQPYSKSLKWRIGFVLQDDVAFPHLTVKETLTYAALLRLPNTLTMQQKKERATYVISELGLERCQNTVIGGTFFRGISGGERKRVCIANEILLNPSLLLLDEPTSGLDSTTALQIVKMLRNIARSGKTVVTTIHQPSSRLFSKFDKLILLGKGSSLYFGKVSDAMLYFSSMGCSPLIAMNPAEFLIDLANGNIKDKSVPSDLEDKFFPRNNSLDMKHGELSQVDVHEYLVEAYAVKEAKLGKTKLIKPLLIDAEPRMKARSSTMEWGATWWDQFSILFRRGLKERRHEYFSCIRITQVFLTAIVMGLLWWRSDASSPKGLQDQATICYMCL >KJB19927 pep chromosome:Graimondii2_0_v6:3:37290357:37290669:1 gene:B456_003G1251002 transcript:KJB19927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIQAANGFSFRVGFSGYSGHLRVEPLYTEERDNPIKSLPDFVL >KJB19091 pep chromosome:Graimondii2_0_v6:3:21140496:21141925:-1 gene:B456_003G084400 transcript:KJB19091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHEEKKQEESLMEKIAEKIHGHDSSSSSSDSDDDKPSESSIKDKVFRLFGREKPVHHVFGGGKPADILLWRNKKISAGALGVATVIWVLFELLEYHLLTLVCHLLILALALLFLWSNATQFINKSTPHIPEVQIPKEPVLEFVMALRFEINRAFAVLRDIALGRDLKMFLSVCYFLVSLILNTRFICLAIICHYSFMIVHFIYMKILERRCDCSGYCWIMGLVYCGKLVQLLDSVLHRY >KJB19087 pep chromosome:Graimondii2_0_v6:3:21138929:21141925:-1 gene:B456_003G084400 transcript:KJB19087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHEEKKQEESLMEKIAEKIHGHDSSSSSSDSDDDKPSESSIKDKVFRLFGREKPVHHVFGGGKPADILLWRNKKISAGALGVATVIWVLFELLEYHLLTLVCHLLILALALLFLWSNATQFINKSTPHIPEVQIPKEPVLEFVMALRFEINRAFAVLRDIALGRDLKMFLSVIAGLWVLSIVGSWCNFLTLFYIVFVLLHTVPVLYEKYEDKVDPFAEKAMHEIKKQYAVFDAKVLSKIPRGPLKQKKKD >KJB19090 pep chromosome:Graimondii2_0_v6:3:21138929:21142059:-1 gene:B456_003G084400 transcript:KJB19090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHEEKKQEESLMEKIAEKIHGHDSSSSSSDSDDDKPSESSIKDKVFRLFGREKPVHHVFGGGKPADILLWRNKKISAGALGVATVIWVLFELLEYHLLTLVCHLLILALALLFLWSNATQFINKSTPHIPEVQIPKEPVLEFVMALRFEINRAFAVLRDIALGRDLKMFLSVIAGLWVLSIVGSWCNFLTLFYIVFVLLHTVPVLYEKYEDKVDPFAEKAMHEIKKQYAVFDAKVLSKIPRGPLKQKKKD >KJB19089 pep chromosome:Graimondii2_0_v6:3:21140423:21141784:-1 gene:B456_003G084400 transcript:KJB19089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHEEKKQEESLMEKIAEKIHGHDSSSSSSDSDDDKPSESSIKDKVFRLFGREKPVHHVFGGGKPADILLWRNKKISAGALGVATVIWVLFELLEYHLLTLVCHLLILALALLFLWSNATQFINKSTPHIPEVQIPKEPVLEFVMALRFEINRAFAVLRDIALGRDLKMFLSVCYCWIMGLVYCGKLVQLLDSVLHSLRTTSHCTCAVREV >KJB19088 pep chromosome:Graimondii2_0_v6:3:21140423:21141784:-1 gene:B456_003G084400 transcript:KJB19088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHEEKKQEESLMEKIAEKIHGHDSSSSSSDSDDDKPSESSIKDKVFRLFGREKPVHHVFGGGKPADILLWRNKKISAGALGVATVIWVLFELLEYHLLTLVCHLLILALALLFLWSNATQFINKSTPHIPEVQIPKEPVLEFVMALRFEINRAFAVLRDIALGRDLKMFLSVCYFLVSLILNTRFICLAIICHYSFMIVHFIYMKILERRCDCSGYCWIMGLVYCGKLVQLLDSVLHSLRTTSHCTCAVREV >KJB19086 pep chromosome:Graimondii2_0_v6:3:21138929:21141925:-1 gene:B456_003G084400 transcript:KJB19086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHEEKKQEESLMEKIAEKIHGHDSSSSSSDSDDDKPSESSIKDKVFRLFGREKPVHHVFGGGKPADILLWRNKKISAGALGVATVIWVLFELLEYHLLTLVCHLLILALALLFLWSNATQFINKSTPHIPEVQIPKEPVLEFVMALRFEINRAFAVLRDIALGRDLKMFLSSSYYFTLYLCCTRSMRTRWIPLPRKQCMRLRSSMQCLMQKF >KJB19498 pep chromosome:Graimondii2_0_v6:3:32672118:32675510:-1 gene:B456_003G106200 transcript:KJB19498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMWNLFSTAWPCSNTFFYAHRCDVNLLLATLCTRTIQTREGSIVKALDCNAAVASQDALAKTVYAQLFDWYAYVNSCLEIMTPSLTSMLAGKYVPVDVAALLNSTLQMGVGEYDQRENIRYGDSQPRSGFLDTQHFAQPNMTRTSKPTC >KJB19908 pep chromosome:Graimondii2_0_v6:3:37099586:37100291:-1 gene:B456_003G124100 transcript:KJB19908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKESSKGSQKDKANGSAMDKYNDTKFCGFMRCRRREFTAVRFLKHLGRKVAKGLHLMSMRIRASSHPKVAASSSTSSSRRSKPFVTPVDSHRSAAIEDCIQFINSSAASLPRSNSVSATSR >KJB19098 pep chromosome:Graimondii2_0_v6:3:21334711:21335820:-1 gene:B456_003G085000 transcript:KJB19098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEDLQAKSLEPIEDCPRSDMMFGWIEPKQDKNSSKCLVYILAIMVIQGSILLVLANIFLRARTPDFEIGSVKVRNLKYGNSSAPSFNFTLVTQVTVENTNFGEFRFDKSTGTVWCGSEVVGLMKIPKGIAQARATEKMKVSINVSSLWLSDAKNLRKNMSYGLLEFKSYVKLSGRVNILNIVRRRRNPEMNCFMKLNLRGKTIQGLKCD >KJB18267 pep chromosome:Graimondii2_0_v6:3:5592648:5594162:-1 gene:B456_003G043500 transcript:KJB18267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEILGEIEPPSPVYEAELPEEDEEQEEISYEELKKRMWKDRLRMQRLKETRESSRSDHQSGVRQEASRRKKMSRAQDSILKYMVKIMEVCKAQGFVYGIVPEKGKPVTGSSDSLRKWWKEKVQFDKSAPLAVDEFLPIIVEQGELDPISCMHLLHDLQDTTLGSLLSALMQHCVPPQRRFPLERGLAPPWWPTGNELWWGEQGMSQEQGPPPYRKPHDLKKAWKVSVLAAVIKHMSPNLDRIRRLVTQSKCLQDKMTAKDTVTWSKVVNQEEALLKLTEKCLKISTTKDDEEEQDSEYSLAADEGKHGEKIAISGDKRKYLFKRQVTPNMMYACQNTMCPRSALELGFIGKNTRSDHESSCSYRSKESDVSQESSEESEFSNRNLVPYDHHSFGPQAKLNTDSLGDAQKVIAVTDWLDMELAKANEQQRNMQSDEVGDISGTVSQDYINYLDGAIEDLPVPAEFLLQVGDMDLDPSLPLLLENIQDQGLTSIWDMGFDGISES >KJB20515 pep chromosome:Graimondii2_0_v6:3:42108087:42108704:1 gene:B456_003G152800 transcript:KJB20515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETTEKLHFVVVHVFGHGAWCWYKIRSLLEASGYKVSCIDLKGSGMDPSDPNTIFSFQDYNKPLIDLLSNLPHNEKVILVGHSAGGMSLTYAIHRFSKKIRMAIYVAATMLKHGCQNHLLKNKKF >KJB18603 pep chromosome:Graimondii2_0_v6:3:11555804:11562279:-1 gene:B456_003G062700 transcript:KJB18603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16320) TAIR;Acc:AT3G16320] MEALLVNYVEKSLEQYMRRNAVFLCERLYAEFPSEVNLQLLARCYLNNNQPHSAYYILKAEAALLPVKDLCAEVPNGAAVQYLLGIIYRDTDRKNKSIEHFRKTLSIDPLFWAAYEQLCMLGEAEEAAVCFGDATVPCVQEHYLGNASSCLLIADTDHSSDSSQRFGLEYLSRSHLKQREGNNIRDICENNHGGPILTGAVRQSKNSCCSTSFSSTPSPVPTQISDVAPPPLVRNIHLCQSEDSLRSSTQARRKFACDNESAQIRGSLFFDSGLRRSARLAAGQRSLNTSQGSENKAKSLHASTKLSSETCSSTFCQGKLLETYEVAVSELETYEVAVSEFGATSSSLSSTTDVKSIQHEIENTKLSSFISDSATIKSGISDTMKLLRTLGEGYRHLCMFRCKEALNVYQKLSSKQYNTGWILSQVGKAYFELVDYLNADYAFSLARQISPYNLEEMDVYSTVLYHLKQDMKLKYLAQEMILVDRFAPQTWCAIGNCYSLQKDHESALKSFQRAVQLNSRFAYAHTLSGHEYGLTLENGMLSWRTMEKEWSATRHLFMLMQDIITPGMGLG >KJB18606 pep chromosome:Graimondii2_0_v6:3:11553707:11562550:-1 gene:B456_003G062700 transcript:KJB18606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16320) TAIR;Acc:AT3G16320] MEALLVNYVEKSLEQYMRRNAVFLCERLYAEFPSEVNLQLLARCYLNNNQPHSAYYILKAEAALLPVKDLCAEVPNGAAVQYLLGIIYRDTDRKNKSIEHFRKTLSIDPLFWAAYEQLCMLGEAEEAAVCFGDATVPCVQEHYLGNASSCLLIADTDHSSDSSQRFGLEYLSRSHLKQREGNNIRDICENNHGGPILTGAVRQSKNSCCSTSFSSTPSPVPTQISDVAPPPLVRNIHLCQSEDSLRSSTQARRKFACDNESAQIRGSLFFDSGLRRSARLAAGQRSLNTSQGSENKAKSLHASTKLSSETCSSTFCQGKLLETYEVAVSELETYEVAVSEFGATSSSLSSTTDVKSIQHEIENTKLSSFISDSATIKSGISDTMKLLRTLGEGYRHLCMFRCKEALNVYQKLSSKQYNTGWILSQVGKAYFELVDYLNADYAFSLARQISPYNLEEMDVYSTVLYHLKQDMKLKYLAQEMILVDRFAPQTWCAIGNCYSLQKDHESALKSFQRAVQLNSRFAYAHTLSGHEYVIMEDYGKGVECYQTSLHVDARHYNSWYGLGMIYLRQEKFEFAEHHFRQAYQINPLSSVIMYYLGTTLEALKRSEEALEMMEKAIVIDNKNPLPKYSKAKLLVTLGKLNEALEILEELKECTPRESCIYALMGAIYKRNKKYDKAMLHFGIALDLKPSIVDVAKIEAAIEKLIIPDEMEESL >KJB18605 pep chromosome:Graimondii2_0_v6:3:11553707:11562550:-1 gene:B456_003G062700 transcript:KJB18605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16320) TAIR;Acc:AT3G16320] MEALLVNYVEKSLEQYMRRNAVFLCERLYAEFPSEVNLQLLARCYLNNNQPHSAYYILKGMQMFQSRYLFAIACLEMDLFCEAEAALLPVKDLCAEVPNGAAVQYLLGIIYRDTDRKNKSIEHFRKTLSIDPLFWAAYEQLCMLGEAEEAAVCFGDATVPCVQEHYLGNASSCLLIADTDHSSDSSQRFGLEYLSRSHLKQREGNNIRDICENNHGGPILTGAVRQSKNSCCSTSFSSTPSPVPTQISDVAPPPLVRNIHLCQSEDSLRSSTQARRKFACDNESAQIRGSLFFDSGLRRSARLAAGQRSLNTSQGSENKAKSLHASTKLSSETCSSTFCQGKLLETYEVAVSELETYEVAVSEFGATSSSLSSTTDVKSIQHEIENTKLSSFISDSATIKSGISDTMKLLRTLGEGYRHLCMFRCKEALNVYQKLSSKQYNTGWILSQVGKAYFELVDYLNADYAFSLARQISPYNLEEMDVYSTVLYHLKQDMKLKYLAQEMILVDRFAPQTWCAIGNCYSLQKDHESALKSFQRAVQLNSRFAYAHTLSGHEYVIMEDYGKGVECYQTSLHVDARHYNSWYGLGMIYLRQEKFEFAEHHFRQAYQINPLSSVIMYYLGTTLEALKRSEEALEMMEKAIVIDNKNPLPKYSKAKLLVTLGKLNEALEILEELKECTPRESCIYALMGAIYKRNKKYDKAMLHFGIALDLKPSIVDVAKIEAAIEKLIIPDEMEESL >KJB18604 pep chromosome:Graimondii2_0_v6:3:11555804:11562279:-1 gene:B456_003G062700 transcript:KJB18604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16320) TAIR;Acc:AT3G16320] MEALLVNYVEKSLEQYMRRNAVFLCERLYAEFPSEVNLQLLARCYLNNNQPHSAYYILKGMQMFQSRYLFAIACLEMDLFCEAEAALLPVKDLCAEVPNGAAVQYLLGIIYRDTDRKNKSIEHFRKTLSIDPLFWAAYEQLCMLGEAEEAAVCFGDATVPCVQEHYLGNASSCLLIADTDHSSDSSQRFGLEYLSRSHLKQREGNNIRDICENNHGGPILTGAVRQSKNSCCSTSFSSTPSPVPTQISDVAPPPLVRNIHLCQSEDSLRSSTQARRKFACDNESAQIRGSLFFDSGLRRSARLAAGQRSLNTSQGSENKAKSLHASTKLSSETCSSTFCQGKLLETYEVAVSELETYEVAVSEFGATSSSLSSTTDVKSIQHEIENTKLSSFISDSATIKSGISDTMKLLRTLGEGYRHLCMFRCKEALNVYQKLSSKQYNTGWILSQVGKAYFELVDYLNADYAFSLARQISPYNLEEMDVYSTVLYHLKQDMKLKYLAQEMILVDRFAPQTWCAIGNCYSLQKDHESALKSFQRAVQLNSRFAYAHTLSGHEYGLTLENGMLSWRTMEKEWSATRHLFMLMQDIITPGMGLG >KJB20842 pep chromosome:Graimondii2_0_v6:3:43855708:43857822:-1 gene:B456_003G168000 transcript:KJB20842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFSIQISSNLINRLTEDDEKLKKRTKKTKPRVPREPRQPQTKVDQKQIPDDSEKQNKTTGSGWPVPPPMFLPLNQPPYSATAELEAIQSVVKESENVVEKLRKQEDKMVQEVTQRAKDLHEKEFKIPEQKPMPCLVENNACMECYKENVKDVTKCAPLAQKYADCARRVRQLVKSSGK >KJB20843 pep chromosome:Graimondii2_0_v6:3:43855715:43857759:-1 gene:B456_003G168000 transcript:KJB20843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFSIQISSNLINRLTEDDEKLKKRTKKTKPRVPREPRQPQTKVDQKQIPDDSEKQNKTTGSGWPVPPPMFLPLNQPPYSATAELEAIQSVVKESENVVEKLRKQEDKMVQEVTQRAKDLHEKEFKIPEQKPMPCLVENNACMECYKENVKDVTKCAPLAQKYADCARRVRQLVKSSGK >KJB21100 pep chromosome:Graimondii2_0_v6:3:45452164:45454956:-1 gene:B456_003G183200 transcript:KJB21100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTICIGKVGQAKGQKIQLDANELVSNDEFALPEKNAFGNSFRDYETDNGRKDIVEQHYKSSHINQTYEFVKQMREEYMKLDKAEMGIWECCELLNEIVDESDPDLDEPQIQHLLQSAEAIRKDYPNENWLHLTALIHDLGKILVLPKFGGLPQWAVVGDTFPVGCAFDESNVHHKYFKENPDFNNPKYNTRNGVYIEGCGLDNVLMSWGHDDYMYMVAKENGTTLPSAGLFIVRYHSFYPLHKYGAYSQLMNKEDKENFKWLKVFNKYDLYSKSKVLVDVEKVKPYYESLIAKYFPAKLKW >KJB21102 pep chromosome:Graimondii2_0_v6:3:45452202:45454875:-1 gene:B456_003G183200 transcript:KJB21102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTICIGKVGQAKGQKIQLDANELVSNDEFALPEKNAFGNSFRDYETDNGRKDIVEQHYKSSHINQTYEFVKQMREEYMKLDKAEMGIWECCELLNEIVDESDPDLDEPQIQHLLQSAEAIRKDYPNENWLHLTALIHDLGKILVLPKFGGLPQWAVVGDTFPVGCAFDESNVHHKYFKENPDFNNPKYNTRNGVYIEGCGLDNVLMSWGHDDYMYMVAKENGTTLPSAGLFIVRYHSFYPNMTFIVKAKSWLMLRRSSHIMNPSLQNIFQQS >KJB21101 pep chromosome:Graimondii2_0_v6:3:45453070:45454866:-1 gene:B456_003G183200 transcript:KJB21101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTICIGKVGQAKGQKIQLDANELVSNDEFALPEKNAFGNSFRDYETDNGRKDIVEQHYKSSHINQTYEFVKQMREEYMKLDKAEMGIWECCELLNEIVDESDPDLDEPQIQHLLQSAEAIRKDYPNENWLHLTALIHDLGKILVLPKFGGLPQWAVVGDTFPVGCAFDESNVHHKYFKENPDFNNPKYNTRNGVYIEGCGLDNVLMSWGHDDYMYMVAKENGTTLPSAGLFIVRYHSFYPLHKYGAYSQLMNKEDKENFKWLKVFK >KJB20915 pep chromosome:Graimondii2_0_v6:3:44862433:44865269:1 gene:B456_003G177300 transcript:KJB20915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVLPQALHMIPRNQVQPFNPVKNRGFSAFLSRGPSPLTTSKVSVSGFHSKVPVGSSFSCFARRGFVVRAESNPEGEGEAERNENGVEEPETKAEAEVEGEGEGEGEDEAEEEKPKEPRKPRIKLGDIMGILNKRAIEASDKERPTPDLRTGDIVEIKLEVPENRRRLSVYKGIVISKQNAGIHTTIRIRRIIAGIGVEIVFPVYSPNIKEIKVVKHRKVRRARLYYLRDKLPRLSTFK >KJB20916 pep chromosome:Graimondii2_0_v6:3:44862504:44864755:1 gene:B456_003G177300 transcript:KJB20916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVLPQALHMIPRNQVQPFNPVKNRGFSAFLSRGPSPLTTSKVSVSGFHSKVPVGSSFSCFARRGFVVRAESNPEGEGEAERNENGVEEPETKAEAEVEGEGEGEGEDEAEEEKPKEPRKPRIKLGDIMGILNKRAIEASDKERPTPDLRTGDIVEIKLEVPENRRRLSVYKGIVISKQNAGIHTTIRIRRIIAGIGVEIVFPV >KJB20919 pep chromosome:Graimondii2_0_v6:3:44862565:44864559:1 gene:B456_003G177300 transcript:KJB20919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVLPQALHMIPRNQVQPFNPVKNRGFSAFLSRGPSPLTTSKVSVSGFHSKVPVGSSFSCFARRGFVVRAESNPEGEGEAERNENGVEEPETKAEAEVEGEGEGEGEDEAEEEKPKEPRKPRIKLGDIMGILNKRAIEASDKERPTPDLRTGDIVEIKLVNSSFSLFILNSFLLLVLCFSFVWSIYRKFQKIDVGFLCTKV >KJB20917 pep chromosome:Graimondii2_0_v6:3:44862504:44865189:1 gene:B456_003G177300 transcript:KJB20917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVLPQALHMIPRNQVQPFNPVKNRGFSAFLSRGPSPLTTSKVSVSGFHSKVPVGSSFSCFARRGFVVRAESNPEGEGEAERNENGVEEPETKAEAEVEGEGEGEGEDEAEEEKPKEPRKPRIKLGDIMGILNKRAIEASDKERPTPDLRTGDIVEIKLEVPENRRRLSVYKGIVISKQNAGIHTTIRIRRIIAGIGVEIVFPLLAEHKGN >KJB20918 pep chromosome:Graimondii2_0_v6:3:44862504:44865189:1 gene:B456_003G177300 transcript:KJB20918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVLPQALHMIPRNQVQPFNPVKNRGFSAFLSRGPSPLTTSKVSVSGFHSKVPVGSSFSCFARRGFVVRAESNPEGEGEGEDEAEEEKPKEPRKPRIKLGDIMGILNKRAIEASDKERPTPDLRTGDIVEIKLEVPENRRRLSVYKGIVISKQNAGIHTTIRIRRIIAGIGVEIVFPVYSPNIKEIKVVKHRKVRRARLYYLRDKLPRLSTFK >KJB20255 pep chromosome:Graimondii2_0_v6:3:40394270:40402655:-1 gene:B456_003G140800 transcript:KJB20255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMADNEAGDGHNEVKSPWKTPAIDGEKVGDAPVMGTQSWPDLGGNQQTPLNNNPQVAADVCAPAAPHPSVEQRAAGQPKSNGSGNPNASYKHSSARHQKSDTKRNPNAGPRFPVPLPYYQPPVPAVFHPMVPPPHIAVPGPGYAYHPVPGPFPGIDPQLVKSGSETTMQAFGPPVQGVDASRNMQLPPRGDPNAYPANFPNRRPNVQEPGGHFNPGWNHQRAFNPREPIPMQPGVGPRSFARPPFFGPAPGFMIGPGYPGALCYVPIAPPGSIRGPHSPRFVPYPINPETTVLPPEMVTLRANIVKQIEYYFSDENLQNDHYLISLMDDQGWVPVSTIADFKRVKRMSTDIQFILDALQSSSTVEVQGDKIRRRDEWSKWIPVGSTTTLSSEAQATQYQPKENVTDSCGNDLNEDNSKDICEENFNIPLESGSLDHVPAEGNHAEVIYKNNRKHAAVQVQLDDIDQLQGIDRIRFNYHRTESVELSPAVVVQNVADLSNDFAHTFMLDEELELEQKTTKTLSAFNRLDEEEDDVVNDQDVQRLVIVTQNSGITEGSKAGAKDSKAISSELAAMINDGLVFYEQELKTKRSSRRKNNSNYENKDGNPRSPRGALGVSNLKTGENAAGSSNLEESGGSSSRRKQNKGFSKQQSFHKQRFFSGNSKNHGASRNSIAIISESPPSNSVGYFFGSTPPDSHGPRQPSKLSCSPHGTLSSSPPVGSLPKSFPPFQHPSHQLLEENGFKQQKYLKFHKRCLNDRKKSGIGCSEEMNSLYRFWSYFLRDMFVPSMYNEFRKLALEDAAANYNYGIECLFRFYSYGLEKKYRDDLYNDFEQLTLDFYHKGNLYGLEKYWAFHHFRDQKEPIKKHPELDRLLREEYRRLEDFRTKEKNTSSTREERH >KJB20257 pep chromosome:Graimondii2_0_v6:3:40397929:40402575:-1 gene:B456_003G140800 transcript:KJB20257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMADNEAGDGHNEVKSPWKTPAIDGEKVGDAPVMGTQSWPDLGGNQQTPLNNNPQVAADVCAPAAPHPSVEQRAAGQPKSNGSGNPNASYKHSSARHQKSDTKRNPNAGPRFPVPLPYYQPPVPAVFHPMVPPPHIAVPGPGYAYHPVPGPFPGIDPQLVKSGSETTMQAFGPPVQGVDASRNMQLPPRGDPNAYPANFPNRRPNVQEPGGHFNPGWNHQRAFNPREPIPMQPGVGPRSFARPPFFGPAPGFMIGPGYPGALCYVPIAPPGSIRGPHSPRFVPYPINPETTVLPPEMVTLRANIVKQIEYYFSDENLQNDHYLISLMDDQGWVPVSTIADFKRVKRMSTDIQFILDALQSSSTVEVQGDKIRRRDEWSKWIPVGSTTTLSSEAQATQYQPKENVTDSCGNDLNEDNSKDICEENFNIPLESGSLDHVPAEGNHAEVIYKNNRKHAAVQVQLDDIDQLQGIDRIRFNYHRTESVELSPAVVVQNVADLSNDFAHTFMLDEELELEQKTTKTLSAFNRLDEEEDDVVNDQDVQRLVIVTQVMSYSSV >KJB20256 pep chromosome:Graimondii2_0_v6:3:40396121:40402575:-1 gene:B456_003G140800 transcript:KJB20256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMADNEAGDGHNEVKSPWKTPAIDGEKVGDAPVMGTQSWPDLGGNQQTPLNNNPQVAADVCAPAAPHPSVEQRAAGQPKSNGSGNPNASYKHSSARHQKSDTKRNPNAGPRFPVPLPYYQPPVPAVFHPMVPPPHIAVPGPGYAYHPVPGPFPGIDPQLVKSGSETTMQAFGPPVQGVDASRNMQLPPRGDPNAYPANFPNRRPNVQEPGGHFNPGWNHQRAFNPREPIPMQPGVGPRSFARPPFFGPAPGFMIGPGYPGALCYVPIAPPGSIRGPHSPRFVPYPINPETTVLPPEMVTLRANIVKQIEYYFSDENLQNDHYLISLMDDQGWVPVSTIADFKRVKRMSTDIQFILDALQSSSTVEVQGDKIRRRDEWSKWIPVGSTTTLSSEAQATQYQPKENVTDSCGNDLNEDNSKDICEENFNIPLESGSLDHVPAEGNHAEVIYKNNRKHAAVQVQLDDIDQLQGIDRIRFNYHRTESVELSPAVVVQNVADLSNDFAHTFMLDEELELEQKTTKTLSAFNRLDEEEDDVVNDQDVQRLVIVTQNSGITEGSKAGAKDSKAISSELAAMINDGLVFYEQELKTKRSSRRKNNSNYENKDGNPRSPRGALGVSNLKTGENAAGSSNLEESGGSSSRRKQNKGFSKQQSFHKQRFFSGNSKNHGASRNSIAIISESPPSNSVGYFFGSTPPDSHGPRQPSKLSCSPHGTLSSSPPVGSLPKSFPPFQHPSHQLLEENGFKQQK >KJB18935 pep chromosome:Graimondii2_0_v6:3:18293580:18297646:-1 gene:B456_003G076000 transcript:KJB18935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEKGSMLKQTLKNLCCSNGWCYGVFWRFDQRNSMLLTMEDAYYEEQMRPLFNSILQKFHILGEGIIGQAAFTGKHRWIFSDSYGKSSNSYTGNQITFQDESELQNQFSCGIKTIAIISLGRQGMVQVGSTQKILERLDFLDETKKIFCGVGSFHGFTPLEIEACNQDDRFAALGSRGNIYNENLATELYGFPREPKGWPCSLKNATESSIFMREIRDPSVNSVVQNLPHLRNQLQTSNAEALSLSSGKISPVNCLAAYTPCTSTWRSEGSILTSFETSFPSESGIWDSPNMLPKANDLAVSGNMEQKLQGASTFSSLYGIGELVDAELPTLDSYGKTAEYQCSFGTNNGLLDDAINLQRITEEFNPADFTIDLCNSFNLDDLSQLFSPLPRHDINGTGATIISDVSSSVGVTSVSSALVGGDTLVDIPVRQTANSLQSSTTEASISNAEKSTIVHDNGTDLFDGVGIDYAFGKTGESLEDIIVPLLHGDNSTVTSGLSETISQLDARAMNGKRKGLFSELGLEKLLDGVNNSSHATKSSVEDQLSITKRRKTESSSSSFCQGQFVGLSYSAGSMNPVHHSHTIFNKDIHRKSQVGAWIDDSYSVNGGQAVAATSKKPAKKRAKPGESTRPRPKDRQLIQDRIKELRGIIPHNGKPLSIDHLLEQTIKYLIFLQGVTKYADKIKQADEPKIIGNEDGMLPKHNMISGGATWAFEVGAQSIPIVVKDLNPPGQMLIEMLCEDQGFFLEIANVIRGIGLNILRAVMELQEDKIWARFIVEAKEQVERTCIIWSLLPLLQQTGGSGIDSASQPSNDVNGGIPLSNNYLQPFPLPPLNTAETFQ >KJB18934 pep chromosome:Graimondii2_0_v6:3:18293024:18297919:-1 gene:B456_003G076000 transcript:KJB18934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEKGSMLKQTLKNLCCSNGWCYGVFWRFDQRNSMLLTMEDAYYEEQMRPLFNSILQKFHILGEGIIGQAAFTGKHRWIFSDSYGKSSNSYTGNQITFQDESELQNQFSCGIKTIAIISLGRQGMVQVGSTQKILERLDFLDETKKIFCGVGSFHGFTPLEIEACNQDDRFAALGSRGNIYNENLATELYGFPREPKGWPCSLKNATESSIFMREIRDPSVNSVVQNLPHLRNQLQTSNAEALSLSSGKISPVNCLAAYTPCTSTWRSEGSILTSFETSFPSESGIWDSPNMLPKANDLAVSGNMEQKLQGASTFSSLYGIGELVDAELPTLDSYGKTAEYQCSFGTNNGLLDDAINLQRITEEFNPADFTIDLCNSFNLDDLSQLFSPLPRHDINGTGATIISDVSSSVGVTSVSSALVGEKSTIVHDNGTDLFDGVGIDYAFGKTGESLEDIIVPLLHGDNSTVTSGLSETISQLDARAMNGKRKGLFSELGLEKLLDGVNNSSHATKSSVEDQLSITKRRKTESSSSSFCQGQFVGLSYSAGSMNPVHHSHTIFNKDIHRKSQVGAWIDDSYSVNGGQAVAATSKKPAKKRAKPGESTRPRPKDRQLIQDRIKELRGIIPHNGKPLSIDHLLEQTIKYLIFLQGVTKYADKIKQADEPKIIGNEDGMLPKHNMISGGATWAFEVGAQSIPIVVKDLNPPGQMLIEMLCEDQGFFLEIANVIRGIGLNILRAVMELQEDKIWARFIVEAKEQVERTCIIWSLLPLLQQTGGSGIDSASQPSNDVNGGIPLSNNYLQPFPLPPLNTAETFQ >KJB18936 pep chromosome:Graimondii2_0_v6:3:18293032:18297646:-1 gene:B456_003G076000 transcript:KJB18936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEKGSMLKQTLKNLCCSNGWCYGVFWRFDQRNSMLLTMEDAYYEEQMRPLFNSILQKFHILGEGIIGQAAFTGKHRWIFSDSYGKSSNSYTGNQITFQDESELQNQFSCGIKTIAIISLGRQGMVQVGSTQKILERLDFLDETKKIFCGVGSFHGFTPLEIEACNQDDRFAALGSRGNIYNENLATELYGFPREPKGWPCSLKNATESSIFMREIRDPSVNSVVQNLPHLRNQLQTSNAEALSLSSGKISPVNCLAAYTPCTSTWRSEGSILTSFETSFPSESGIWDSPNMLPKANDLAVSGNMEQKLQGASTFSSLYGIGELVDAELPTLDSYGKTAEYQCSFGTNNGLLDDAINLQRITEEFNPADFTIDLCNSFNLDDLSQLFSPLPRHDINGTGATIISDVSSSVGVTSVSSALVGGDTLVDIPVRQTANSLQSSTTEASISNAEKSTIVHDNGTDLFDGVGIDYAFGKTGESLEDIIVPLLHGDNSTVTSGLSETISQLDARAMNGKRKGLFSELGLEKLLDGVNNSSHATKSSVEDQLSITKRRKTESSSSSFCQGQFVGLSYSAGSMNPVHHSHTIFNKDIHRKSQVGAWIDDSYSVNGGQAVAATSKKPAKKRAKPGESTRPRPKDRQLIQDRIKELRGIIPHNGKPLSIDHLLEQTIKYLIFLQGVTKYADKIKQADEPKIIGNEDGMLPKHNMISGGATWAFEVGAQSIPIVVKDLNPPGQMLIEMLCEDQGFFLEIANVIRGIGLNILRAVMELQEDKIWARFIVEAKEQVERTCIIWSLLPLLQQTGGSGIDSASQPSNDVNGGIPLSNNYLQPFPLPPLNTAETFQ >KJB19281 pep chromosome:Graimondii2_0_v6:3:28121828:28124426:1 gene:B456_003G0925002 transcript:KJB19281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHRHDIESKYWICVYWWLRIWKACLTCTCMRNGAGLSNRIEVATEEVLNNSICTGCIPFLVVNSFPLWGLKRFINA >KJB17963 pep chromosome:Graimondii2_0_v6:3:2434279:2437447:-1 gene:B456_003G026900 transcript:KJB17963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGPTGGALGGDDLSPSSPAAVISRWTFEVSRRYQHLLDKTVPHVLNRWIGCLAVVLIYAVRVYFVQGFYIVTYGLGIYLLNLLMGFLSPQVDPEMEDGPSLPVSGSDEFRPFVRRLPEFKFWYSITKAFCIAFVMTFFSVFDVPVFWPILLFYWIMLFILTMRKQILHMIKYKYVPFSFGKQRYDSKKASPTENTNLPRD >KJB19016 pep chromosome:Graimondii2_0_v6:3:19846236:19849567:-1 gene:B456_003G080900 transcript:KJB19016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYCSTKIGFMLNMERNYVLLMGFFLLLVAVDCSSSDPKTGLNQKLDGTVELLKENGESKSVSDSIGIKNLKGNGGDQTNGSKGDIGSDTSKSNLKLQSGSNGGENLVKDGKDSSSEAEGNSDNEQRGDIEHKGREESHVEAGGKTDSGEGDNVQKAKQESHFDAVGKADGGKGDNEHKGKDESRFEAGGKVDSTKGDKEQKGKEDSQFEARGKADGGKGDKEGQESKGVMDGAKEGDNEQKGQKESNLKTRGETNGVKEVDNVQKGLEESKHDNKGNTDGEIEGNNVQKGQEKSNIEAKEKTDGEEKGKPGDSENHKEVKSEKDKTQNLVMPPPPPTWKDGFRGEECDPSNMCMDKNKRFAACLRVPGNESPDLSLLIQNKGKGALAIKISAPAFVRLEKTDVQIQEKQDRKVKVSIEGSGIGNMIVLKDGKGECSLDFKELIVHNSATSYVNFLSQTPTTAFIFLAAIMILASGWMCMSFRRKILASNSLKYRRLDMELPVSVAAKAEPERDVNDGWDNSWGDDWDDEEAPMTPSKPVTPGLSSKGLASRRLSKEGWKD >KJB19017 pep chromosome:Graimondii2_0_v6:3:19847579:19849190:-1 gene:B456_003G080900 transcript:KJB19017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMERNYVLLMGFFLLLVAVDCSSSDPKGNEQTGLNQKLDGTVELLKENGESKSVSDSIGIKNLKGNGGDQTNGSKGDIGSDTSKSNLKLQSGSNGGENLVKDGKDSSSEAEGNSDNEQRGDIEHKGREESHVEAGGKTDSGEGDNVQKAKQESHFDAVGKADGGKGDNEHKGKDESRFEAGGKVDSTKGDKEQKGKEDSQFEARGKADGGKGDKEGQESKGVMDGAKEGDNEQKGQKESNLKTRGETNGVKEVDNVQKGLEESKHDNKGNTDGEIEGNNVQKGQEKSNIEAKEKTDGEEKGKPGDSENHKEVKSEKDKTQNLVMPPPPPTWKDGFRGEECDPSNMCMDKNKRFAACLRVPGNESPDLSLLIQNKGKGALAIKISAPAFVRLEKTDVQIQEKQDRKVFVNDCQLYVSIR >KJB19020 pep chromosome:Graimondii2_0_v6:3:19846236:19849436:-1 gene:B456_003G080900 transcript:KJB19020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMERNYVLLMGFFLLLVAVDCSSSDPKGNEQTGLNQKLDGTVELLKENGESKSVSDSIGIKNLKGNGGDQTNGSKGDIGSDTSKSNLKLQSGSNGGENLVKDGKDSSSEAEGNSDNEQRGDIEHKGREESHVEAGGKTDSGEGDNVQKAKQESHFDAVGKADGGKGDNEHKGKDESRFEAGGKVDSTKGDKEQKGKEDSQFEARGKADGGKGDKEGQESKGVMDGAKEGDNEQKGQKESNLKTRGETNGVKEVDNVQKGLEESKHDNKGNTDGEIEGNNVQKGQEKSNIEAKEKTDGEEKGKPGDSENHKEVKSEKDKTQNLVMPPPPPTWKDGFRGEECDPSNMCMDKNKRFAACLRVPGNESPDLSLLIQNKGKGALAIKISAPAFVRLEKTDVQIQEKQDRKVKVSIEGSGIGNMIVLKDGKGECSLDFKELIVHNSATSYVNFLSQTPTTAFIFLAAIMILASGWMCMSFRRKILASNSLKYRRLDMELPVSVAAKAEPERDVNDGWDNSWGDDWDDEEAPMTPSKPVTPGLSSKGLASRRLSKEGWKD >KJB19019 pep chromosome:Graimondii2_0_v6:3:19847974:19849190:-1 gene:B456_003G080900 transcript:KJB19019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMERNYVLLMGFFLLLVAVDCSSSDPKGNEQTGLNQKLDGTVELLKENGESKSVSDSIGIKNLKGNGGDQTNGSKGDIGSDTSKSNLKLQSGSNGGENLVKDGKDSSSEAEGNSDNEQRGDIEHKGREESHVEAGGKTDSGEGDNVQKAKQESHFDAVGKADGGKGDNEHKGKDESRFEAGGKVDSTKGDKEQKGKEDSQFEARGKADGGKGDKEGQESKGVMDGAKEGDNEQKGQKESNLKTRGETNGVKEVDNVQKGLEESKHDNKGNTDGEIEGNNVQKGQEKSNIEAKEKTDGEEKGKPGDSENHKEVKSEKDKTQNLVMPPPPPTWKDGFRGEECDPSNMCMDKNKRFAACLRVPGNGKCHLTRL >KJB19018 pep chromosome:Graimondii2_0_v6:3:19846236:19849436:-1 gene:B456_003G080900 transcript:KJB19018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMERNYVLLMGFFLLLVAVDCSSSDPKGNEQTGLNQKLDGTVELLKENGESKSVSDSIGIKNLKGNGGDQTNGSKGDIGSDTSKSNLKLQSGSNGGENLVKDGKDSSSEAEGNSDNEQRGDNEHKGKDESRFEAGGKVDSTKGDKEQKGKEDSQFEARGKADGGKGDKEGQESKGVMDGAKEGDNEQKGQKESNLKTRGETNGVKEVDNVQKGLEESKHDNKGNTDGEIEGNNVQKGQEKSNIEAKEKTDGEEKGKPGDSENHKEVKSEKDKTQNLVMPPPPPTWKDGFRGEECDPSNMCMDKNKRFAACLRVPGNESPDLSLLIQNKGKGALAIKISAPAFVRLEKTDVQIQEKQDRKVKVSIEGSGIGNMIVLKDGKGECSLDFKELIVHNSATSYVNFLSQTPTTAFIFLAAIMILASGWMCMSFRRKILASNSLKYRRLDMELPVSVAAKAEPERDVNDGWDNSWGDDWDDEEAPMTPSKPVTPGLSSKGLASRRLSKEGWKD >KJB19015 pep chromosome:Graimondii2_0_v6:3:19846232:19849585:-1 gene:B456_003G080900 transcript:KJB19015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMERNYVLLMGFFLLLVAVDCSSSDPKGNEQTGLNQKLDGTVELLKENGESKSVSDSIGIKNLKGNGGDQTNGSKGDIGSDTSKSNLKLQSGSNGGENLVKDGKDSSSEAEGNSDNEQRGDIEHKGREESHVEAGGKTDSGEGDNVQKAKQESHFDAVGKADGGKGDNEHKGKDESRFEAGGKVDSTKGDKEQKGKEDSQFEARGKADGGKGDKEGQESKGVMDGAKEGDNEQKGQKESNLKTRGETNGVKEVDNVQKGLEESKHDNKGNTDGEIEGNNVQKGQEKSNIEAKEKTDGEEKGKPGDSENHKEVKSEKDKTQNLVMPPPPPTWKDGFRGEECDPSNMCMDKNKRFAACLRVPGNESPDLSLLIQNKGKGALAIKISAPAFVRLEKTDVQIQEKQDRKVKVSIEGSGIGNMIVLKDGKGECSLDFKELIVHNSATSYVGCA >KJB19021 pep chromosome:Graimondii2_0_v6:3:19846236:19849439:-1 gene:B456_003G080900 transcript:KJB19021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMERNYVLLMGFFLLLVAVDCSSSDPKGNEQTGLNQKLDGTVELLKENGESKSVSDSIGIKNLKGNGGDQTNGSKGDIGSDTSKSNLKLQSGSNGGENLVKDGKDSSSEAEGNSDNEQRGDIEHKGREESHVEAGGKTDSGEGDNVQKAKQESHFDAVGKADGGKGDNEHKGKDESRFEAGGKVDSTKGDKEQKGKEDSQFEARGKADGGKGDKEGQESKGVMDGAKEGDNEQKGQKESNLKTRGETNGVKEVDNVQKGLEESKHDNKGNTDGEIEGNNVQKGQEKSNIEAKEKTDGEEKGKPGDSENHKEVKSEKDKTQNLVMPPPPPTWKDGFRGEECDPSNMCMDKNKRFAACLRVPGNESPDLSLLIQNKGKGALAIKISAPAFVRLEKTDVQIQEKQDRKVKVSIEGSGIGNMIVLKDGKGECSLDFKELIVHNSATSYVNFLSQTPTTAFIFLAAIMILASGWMCMSFRRKILASNSLKYRRLDMELPVSVAAKAEPERDVNDGWDNSWGDDWDDEEAPMTPSKPVTPGLSSKGLASRRLSKEGWKD >KJB17644 pep chromosome:Graimondii2_0_v6:3:567991:568296:-1 gene:B456_003G009300 transcript:KJB17644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCTSLYEVLGIPVGASNEEIKSAYRRLARVCHPDVAAIDRKDSSADEFMKIHAAYSTLSDPQKRAVYDSKLVWRNQRPLTSVSRVSGYRGSSWETDQCW >KJB20715 pep chromosome:Graimondii2_0_v6:3:42985691:42986294:1 gene:B456_003G160800 transcript:KJB20715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNCSLPQFVMELTVLPIENLKKQASCGLFTRHLRLHISLTKFNGVKNLCEVNAEKSTFGESFVVPIESTFFANNSYINLQLHTKRLLGRKDLLGWCQIPAADIGEPPVGSVRYLSYKLRGKYGSRGNKIVDLRLKLESYGCPMHAGQEVIGTPVVAR >KJB20606 pep chromosome:Graimondii2_0_v6:3:42485344:42488627:1 gene:B456_003G155900 transcript:KJB20606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKSKFLRMKESKKDSEKEVWDPIQMRSSSGNPLVHGSSSRPIPKLMVWLILFVSFIYVIYTLKLLASSAEDSYDDIPFTSSLHRSLLNPNRTAEMTKEKASLHPHRDAREKQVGLLRMPKAPPRWRPTEIHDIVFGIAASSKLWQKRKEYIKIWYKPDRMRGVVWLDNRVKYSAEDNRTLPPVRFSSDTSKFQYTNSQGHRSAIRISRIVTETLSMKMDNVRWFVMGDDDTVFITENLIRVLRKYDHTQYYYIGSLSESHIQNIFFSYSMAYGGGGFAISYPLAKALSKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIYPNVTRVQALNHLMLPAKLDSAGIMQQSICYDKTRSWTISVSWGFAIQVFRGIFSPREIEMPSRTFLNWYKRADYTAYSFNTRPVSRNPCQKPFVFYMSGVGMHSKLNTTVSKYDRHRVPHPPCRWKMADPNNLQMVIVYKKPDPNLWNRSPRRNCCRVMKTKEPRTMEVDVGVCEEGEFSEI >KJB20607 pep chromosome:Graimondii2_0_v6:3:42485735:42488590:1 gene:B456_003G155900 transcript:KJB20607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKSKFLRMKESKKDSEKEVWDPIQMRSSSGNPLVHGSSSRPIPKLMVWLILFVSFIYVIYTLKLLASSAEDSYDDIPFTSSLHRSLLNPNRTAEMTKEKASLHPHRDAREKQVGLLRMPKAPPRWRPTEIHDIVFGIAASSKLWQKRKEYIKIWYKPDRMRGVVWLDNRVKYSAEDNRTLPPVRFSSDTSKFQYTNSQGHRSAIRISRIVTETLSMKMDNVRWFVMGDDDTVFITENLIRVLRKYDHTQYYYIGSLSESHIQNIFFSYSMAYGGGGFAISYPLAKALSKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIYPNVTRVQALNHLMLPAKLDSAGIMQQSICYDKTRSWTISVSWGFAIQVFRGIFSPREIEMPSRTFLNWYKRADYTAYSFNTRPVSRNPCQKPFVFYMSGVGMHSKLNTTVSKYDRHRVPHPPCRWKMADPNNLQMVIVYKKPDPNLWNRVITEKKLL >KJB20608 pep chromosome:Graimondii2_0_v6:3:42485735:42488590:1 gene:B456_003G155900 transcript:KJB20608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKSKFLRMKESKKDSEKEVWDPIQMRSSSGNPLVHGSSSRPIPKLMVWLILFVSFIYVIYTLKLLASSAEDSYDDIPFTSSLHRSLLNPNRTAEMTKEKASLHPHRDAREKQVGLLRMPKAPPRWRPTEIHDIVFGIAASSKLWQKRKEYIKIWYKPDRMRGVVWLDNRVKYSAEDNRTLPPVRFSSDTSKFQYTNSQGHRSAIRISRIVTETLSMKMDNVRWFVMGDDDTVFITENLIRVLRKYDHTQYYYIGSLSESHIQNIFFSYSMAYGGGGFAISYPLAKALSKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIYPNVTRVQALNHLMLPAKLDSAGIMQQSICYDKTRSWTISVSWGFAIQVFRGIFSPREIEMPSRTFLNWYKRADYTAYSFNTRPVSRNPCQKPFVFYMSGVGMHSKLNTTVSKYDRHRVPHPPCRWKMADPNNLQMVIVYKKPDPNLWNRVSCFLFTICIKDQL >KJB18044 pep chromosome:Graimondii2_0_v6:3:3003098:3004203:1 gene:B456_003G032100 transcript:KJB18044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTIPAGFSSDSMVDFDQFTGFETGFTPWDCSELFPPTTQSMEPAGSGSGSDETNQNQTNSSSSLDQPNQLVSVIDERKRRRMISNRESARRSRMRKQKHLENLRNQVNRLRIENRELNNRLKFLLYHCHCVKTDNDRLRSEYSILRQKLSDIRQILLFKQLQQYSSAWPCYNVATMSDQIPSLTT >KJB20480 pep chromosome:Graimondii2_0_v6:3:41852454:41854591:-1 gene:B456_003G1510001 transcript:KJB20480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLATAILGAFQERDPACHSSMWDAILLFSKRFPDSWTTLNVQKSVFNRFWSFIRNGCFGSQQVSYPALVLFLDSIPSKAFSGDKFFLDFFHNLWAGRNPVHSPNVDRLAFFRAFKECFFWGLYNASRFCDSVDSVSHFRTTLINDILVKLLWQDYLSSVSSEDQDSDQPLSGKATEMQNIKYPISYLQELGKCIVEILSGIYSLEEDLLSLFCAVFQEACEGLFQQKATTEQPTLNIEPIIKFLFLVDQHAKQEGESWPLLHLVGPMLAKCFPLVRSLDSADGARLLSISVSIFGARKVLQAIFSNNNAPFCGPPCEKDSEMKLEYFLQVYKETFIPWCLRGHNCTTSARLDLLLALLDDECFSEQWHAIITYAIDLVNSKIDSGSMDSNHLAVLGMLFDKARNEIRIRKVGEDSFHPPGSLPDHWHHELLEATAVSVAFSLPPFGTSDAQFV >KJB20482 pep chromosome:Graimondii2_0_v6:3:41852454:41857739:-1 gene:B456_003G1510001 transcript:KJB20482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQKGDGARSKARPSSSSLAASLLPSSSAAAAASVGFGGYVGSSRLDSSLSTEDSNPLLDIDSEVAQHLKRLARKDPTTKLKALASLSTLLKQKSGKEIVPIIPQWAFEYKKLLLDYNREVRRATHETMTNLVTAVGRDLAPHLKSLMGPWWFSQFDPSSEVSQAAKRSLQAAFPAQEKRLDALILCTTEIFMYLEENLKLTPQNLSDKAVALDELQEMHQQSIGINSLLYCCLGFFVCVHEIFCNFPLISLILERPGFENLSAEQKRASKAKATAISFAEKLFSAHKYFLEFLKSQSPAVRSATYTVLKSFINHIPQAFDGGNMKTLATAILGAFQERDPACHSSMWDAILLFSKRFPDSWTTLNVQKSVFNRFWSFIRNGCFGSQQVSYPALVLFLDSIPSKAFSGDKFFLDFFHNLWAGRNPVHSPNVDRLAFFRAFKECFFWGLYNASRFCDSVDSVSHFRTTLINDILVKLLWQDYLSSVSSEDQDSDQPLSGKATEMQNIKYPISYLQELGKCIVEILSGIYSLEEDLLSLFCAVFQEACEGLFQQKATTEQPTLNIEPIIKFLFLVDQHAKQEGESWPLLHLVGPMLAKCFPLVRSLDSADGARLLSISVSIFGARKVLQAIFSNNNAPFCGPPCEKDSEMKLEYFLQVYKETFIPWCLRGHNCTTSARLDLLLALLDDECFSEQWHAIITYAIDLVNSKIDSGSMDSNHLAVLGMLFDKARNEIRIRKVGEDSFHPPGSLPDHWHHELLEATAVSVAFSLPPFGTSDAQFV >KJB20479 pep chromosome:Graimondii2_0_v6:3:41852454:41854928:-1 gene:B456_003G1510001 transcript:KJB20479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLATAILGAFQERDPACHSSMWDAILLFSKRFPDSWTTLNVQKSVFNRFWSFIRNGCFGSQQVSYPALVLFLDSIPSKAFSGDKFFLDFFHNLWAGRNPVHSPNVDRLAFFRAFKECFFWGLYNASRFCDSVDSVSHFRTTLINDILVKLLWQDYLSSVSSEDQDSDQPLSGKATEMQNIKYPISYLQELGKCIVEILSGIYSLEEDLLSLFCAVFQEACEGLFQQKATTEQPTLNIEPIIKFLFLVDQHAKQEGESWPLLHLVGPMLAKCFPLVRSLDSADGARLLSISVSIFGARKVLQAIFSNNNAPFCGPPCEKDSEMKLEYFLQVYKETFIPWCLRGHNCTTSARLDLLLALLDDECFSEQWHAIITYAIDLVNSKIDSGSMDSNHLAVLGMLFDKARNEIRIRKVGEDSFHPPGSLPDHWHHELLEATAVSVAFSLPPFGTSDAQFV >KJB20481 pep chromosome:Graimondii2_0_v6:3:41852454:41857739:-1 gene:B456_003G1510001 transcript:KJB20481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQKGDGARSKARPSSSSLAASLLPSSSAAAAASVGFGGYVGSSRLDSSLSTEDSNPLLDIDSEVAQHLKRLARKDPTTKLKALASLSTLLKQKSGKEIVPIIPQWAFEYKKLLLDYNREVRRATHETMTNLVTAVGRDLAPHLKSLMGPWWFSQFDPSSEVSQAAKRSLQAAFPAQEKRLDALILCTTEIFMYLEENLKLTPQNLSDKAVALDELQEMHQQSIGINSLLYCCLGFFVCVHEIFCNFPLISLILERPGFENLSAEQKRASKAKATAISFAEKLFSAHKYFLEFLKSQSPAVRSATYTVLKSFINHIPQAFDGGNMKTLATAILGAFQERDPACHSSMWDAILLFSKRFPDSWTTLNVQKSVFNRFWSFIRNGCFGSQQVSYPALVLFLDSIPSKAFSGDKFFLDFFHNLWAGRNPVHSPNVDRLAFFRAFKECFFWGLYNASRFCDSVDSVSHFRTTLINDILVKLLWQDYLSSVSSEDQDSDQPLSGKATEMQNIKYPISYLQELGKCIVEILSGIYSLEEDLLSLFCAVFQEACEGLFQQKATTEQPTLNIEPIIKFLFLVDQHAKQEGESWPLLHLVGPMLAKCFPLVRSLDSADGARLLSISVSIFGARKVLQAIFSNNNAPFCGPPCEKDSEMKLEYFLQVYKETFIPWCLRGHNCTTSARLDLLLALLDDECFSEQWHAIITYAIDLVNSKIDSGSMDSNHLAVLGMLFDKARNEIRIRKVGEDSFHPPGSLPDHWHHELLEATAVSVAFSLPPFGTSDAQFV >KJB18700 pep chromosome:Graimondii2_0_v6:3:13334202:13338613:-1 gene:B456_003G066300 transcript:KJB18700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G27380) UniProtKB/Swiss-Prot;Acc:P46416] MGVGHSSLSSCSVDSLCIIAFTAQPKTSSFSNSNRQKPLFPTKKHSPFAVLKIGTLNCARVAGEMEIGKGIAAAGKPIVDLHGIDDGLIQKIVYDALVWSSLHGLLVGDRNDQRSGKLPGVGMVHAPIALLPTSFPESHWKQACELAPIFNELIDRVSLDGKFLQDSLARTKKVDAFTSRLLDIHSKILEMNKKEEIRLGLHRSDYMLDEKTKLLLQIEFNTISSSFAGLGCLVTDLHRTLLDDYGEDLGLDSKRIPGNTATGQFAEALAKAWTEYNNPRAVAMIVVQTEERNMYDQHWLCTVLKERYNVRTIRKTLAEIDSEGQLLPDGTFLVGGQAVAVVYFRAGYAPTDYPSESEWRARLLMEQSSAIKCPSISYHLAGTKKIQQELAKPNVLEKFLESKEDIAKLRKCFAGLWSLVDSDITKKAIEKPELFVMKPQREGGGSIS >KJB18698 pep chromosome:Graimondii2_0_v6:3:13333465:13338620:-1 gene:B456_003G066300 transcript:KJB18698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G27380) UniProtKB/Swiss-Prot;Acc:P46416] MGVGHSSLSSCSVDSLCIIAFTAQPKTSSFSNSNRQKPLFPTKKHSPFAVLKIGTLNCARVAGEMEIGKGIAAAGKPIVDLHGIDDGLIQKIVYDALVWSSLHGLLVGDRNDQRSGKLPGVGMVHAPIALLPTSFPESHWKQACELAPIFNELIDRVSLDGKFLQDSLARTKKVDAFTSRLLDIHSKILEMNKKEEIRLGLHRSDYMLDEKTKLLLQIEFNTISSSFAGLGCLVTDLHRTLLDDYGEDLGLDSKRIPGNTATGQFAEALAKAWTEYNNPRAVAMIVVQTEERNMYDQHWLCTVLKERYNVRTIRKTLAEIDSEGQLLPDGTFLVGGQAVAVVYFRAGYAPTDYPSESEWRARLLMEQSSAIKCPSISYHLAGTKKIQQELAKPNVLEKFLESKEDIAKLRKCFAGLWSLVDSDITKKAIEKPELFVMKPQREGGGNNIYGDDVKETLLRLQKEGSEEDAAYILMQRIFPAVSPTFLIRDSICHKDHAISELGVYSAYLRNKESVIMNDQCGYLMRTKVSSSNEGGVAAGFAVLDSIYLT >KJB18702 pep chromosome:Graimondii2_0_v6:3:13333494:13338613:-1 gene:B456_003G066300 transcript:KJB18702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G27380) UniProtKB/Swiss-Prot;Acc:P46416] MGVGHSSLSSCSVDSLCIIAFTAQPKTSSFSNSNRQKPLFPTKKHSPFAVLKIGTLNCARVAGEMEIGKGIAAAGKPIVDLHGIDDGLIQKIVYDALVWSSLHGLLVGDRNDQRSGKLPGVGMVHAPIALLPTSFPESHWKQACELAPIFNELIDRVSLDGKFLQDSLARTKKVDAFTSRLLDIHSKILEMNKKEEIRLGLHRSDYMLDEKTKLLLQIEFNTISSSFAGLGCLVTDLHRTLLDDYGEDLGLDSKRIPGNTATGQFAEALAKAWTEYNNPRAVAMIVVQTEERNMYDQHWLCTVLKERYNVRTIRKTLAEIDSEGQLLPDGTFLVGGQAVAVVYFRAGYAPTDYPSESEWRARLLMEQSSAIKCPSISYHLAGTKKIQQELAKPNVLEKFLESKEDIAKLRKCFAGLWSLVDSDITKKAIEKPELFVMKPQREGGGSIS >KJB18699 pep chromosome:Graimondii2_0_v6:3:13333494:13338613:-1 gene:B456_003G066300 transcript:KJB18699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G27380) UniProtKB/Swiss-Prot;Acc:P46416] MGVGHSSLSSCSVDSLCIIAFTAQPKTSSFSNSNRQKPLFPTKKHSPFAVLKIGTLNCARVAGEMEIGKGIAAAGKPIVDLHGIDDGLIQKIVYDALVWSSLHGLLVGDRNDQRSGKLPGVGMVHAPIALLPTSFPESHWKQACELAPIFNELIDRVSLDGKFLQDSLARTKKVDAFTSRLLDIHSKILEMNKKEFLKQEIRLGLHRSDYMLDEKTKLLLQIEFNTISSSFAGLGCLVTDLHRTLLDDYGEDLGLDSKRIPGNTATGQFAEALAKAWTEYNNPRAVAMIVVQTEERNMYDQHWLCTVLKERYNVRTIRKTLAEIDSEGQLLPDGTFLVGGQAVAVVYFRAGYAPTDYPSESEWRARLLMEQSSAIKCPSISYHLAGTKKIQQELAKPNVLEKFLESKEDIAKLRKCFAGLWSLVDSDITKKAIEKPELFVMKPQREGGGNNIYGDDVKETLLRLQKEGSEEDAAYILMQRIFPAVSPTFLIRDSICHKDHAISELGVYSAYLRNKESVIMNDQCGYLMRTKVSSSNEGGVAAGFAVLDSIYLT >KJB18701 pep chromosome:Graimondii2_0_v6:3:13333558:13338613:-1 gene:B456_003G066300 transcript:KJB18701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G27380) UniProtKB/Swiss-Prot;Acc:P46416] MGVGHSSLSSCSVDSLCIIAFTAQPKTSSFSNSNRQKPLFPTKKHSPFAVLKIGTLNCARVAGEMEIGKGIAAAGKPIVDLHGIDDGLIQKIVYDALVWSSLHGLLVGDRNDQRSGKLPGVGMVHAPIALLPTSFPESHWKQACELAPIFNELIDRVSLDGKFLQDSLARTKKVDAFTSRLLDIHSKILEMNKKEEIRLGLHRSDYMLDEKTKLLLQIEFNTISSSFAGLGCLVTDLHRTLLDDYGEDLGLDSKRIPGNTATGQFAEALAKAWTEYNNPRAVAMIVVQTEERNMYDQHWLCTVLKERYNVRTIRKTLAEIDSEGQLLPDGTFLVGGQAVAVVYFRAGYAPTDYPSESEWRARLLMEQSSAIKCPSISYHLAGTKKIQQELAKPNVLEKFLESKEDIAKLRKCFAGLWSLVDSDITKKAIEKPELFVMKPQREGGGNNIYGDDVKETLLRLQKEGSEEDAAYILMQRIFPAVSPTFLIRDSICHKDHAISELGVYSAYLRYILVEQGECHHE >KJB18808 pep chromosome:Graimondii2_0_v6:3:15471192:15472661:1 gene:B456_003G069900 transcript:KJB18808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIYAPITDLSTLSSFSFRITARESPSISTLKNPSCKPISAAWKQAIASAAKGECMLTCMIVFDQRTRPESSLIIILEQDLLFCLQNLASKFIFTKCSFGIFQHTRECCPSCNSLLRAVHIAHIAQQNTRQRQS >KJB18809 pep chromosome:Graimondii2_0_v6:3:15471184:15472661:1 gene:B456_003G069900 transcript:KJB18809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIYAPITDLSTLSSFSFRITARESPSISTLKNPSCKPISAAWKQAIASAAKGECMLTCMIVFDQRTRPESSLIIILEQDLLFCLQNLASKFIFTKCSFGIFQHTRVECCPSCNSLLRAVHIAHIAQQNTRQRQS >KJB18807 pep chromosome:Graimondii2_0_v6:3:15471099:15472661:1 gene:B456_003G069900 transcript:KJB18807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIYAPITDLSTLSSFSFRITARESPSISTLKNPSCKPISAAWKQAIASAAKGECMLTCMIVFDQRTRPESSLIIILEQDLLFCLQNLASKFIFTKCSFGIFQHTRVECCPSCNSLLRAVHIAHIAQQNTRQRQS >KJB18810 pep chromosome:Graimondii2_0_v6:3:15471184:15472661:1 gene:B456_003G069900 transcript:KJB18810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIYAPITDLSTLSSFSFRITARESPSISTLKNPSCKPISAAWKQAIASAAKGECMLTCMIVFDQRTRPESSLIIILEQDLLFCLQNLASKFIFTKCSFGIFQHTRECCPSCNSLLRAVHIAHIAQQNTRQRQS >KJB17415 pep chromosome:Graimondii2_0_v6:3:629181:633043:1 gene:B456_003G010000 transcript:KJB17415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPQSEREDKVSLELTEEIIQSMEIGMVFKDYSGRISSMDFHRTSGYLVTASEDESIRLYDVSSATCLKTINSKKYGVDLVCFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDRVVSLSLNSRNESFISGSLDRTVLLWDQRAEKCQGLLRVQGRPAISYDDQGLVFAIAFGGYIRMFDARMYEKGPFEIFSVGGDVSDANVVKFSNDGRLMLLTTMDGHIHVLDSFRGTHVS >KJB17416 pep chromosome:Graimondii2_0_v6:3:629181:633043:1 gene:B456_003G010000 transcript:KJB17416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPQSEREDKVSLELTEEIIQSMEIGMVFKDYSGRISSMDFHRTSGYLVTASEDESIRLYDVSSATCLKTINSKKYGVDLVCFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDRVVSLSLNSRNESFISGSLDRTVLLWDQRAEKCQGLLRVQGRPAISYDDQGLVFAIAFGGYIRMFDARMYEKGPFEIFSVGGDVSDANVVKFSNDGRLMLLTTMDGHIHVLDSFRGTHVQGMVVHMLGVYAVEKKLQAG >KJB17414 pep chromosome:Graimondii2_0_v6:3:629062:633077:1 gene:B456_003G010000 transcript:KJB17414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPQSEREDKVSLELTEEIIQSMEIGMVFKDYSGRISSMDFHRTSGYLVTASEDESIRLYDVSSATCLKTINSKKYGVDLVCFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDRVVSLSLNSRNESFISGSLDRTVLLWDQRAEKCQGLLRVQGRPAISYDDQGLVFAIAFGGYIRMFDARMYEKGPFEIFSVGGDVSDANVVKFSNDGRLMLLTTMDGHIHVLDSFRGTHLSTYNVKPVPSNCTLEASFSPEGMFVISGSGDGRAYAWSVRSGKEVASWMMNSEPSVINWNWMNTDTEPPVIKWAPGSLMFVTGSTELSFWIPDLSKLAAYVGRK >KJB17417 pep chromosome:Graimondii2_0_v6:3:629671:632579:1 gene:B456_003G010000 transcript:KJB17417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSAFMMFPVLRKLILKCLKTINSKKYGVDLVCFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDRVVSLSLNSRNESFISGSLDRTVLLWDQRAEKCQGLLRVQGRPAISYDDQGLVFAIAFGGYIRMFDARMYEKGPFEIFSVGGDVSDANVVKFSNDGRLMLLTTMDGHIHVLDSFRGTHLSTYNVKPVPSNCTLEASFSPEGMFVISGSGDGRAYAWSVRSGKEVASWMMNSEPSVINWNWMNTDTEPPVIKWAPGSLMFVTGSTELSFWIPDLSKLAAYVGRK >KJB20532 pep chromosome:Graimondii2_0_v6:3:42148561:42156796:-1 gene:B456_003G153100 transcript:KJB20532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSWKVLSASRCLRARGQLTLRQDALDHNPLFFSGALHFNRVPTPTGGGSSSFFGKSPTLITCNPRNACSWNLVKRYTNVNACVNNSSFFPLSTPYQQPDSIPNGRLSFSSSASSNDDDKFQNKASSGTEKRKAADVQILRTLAGYLWMKGNLEFRLRVMTALGFLVGAKLLNVQVPFLFKLAVDWLTAPNATALADSTLAAIFVTPIAVLIGYGIARTGASAFNELRTAVFSKVALRTIRSVSRKVFSHLHDLDLQYHLSRETGALNRIIDRGSRAINFILSSMVFNVVPTILEISMVSGILAYKFGAPFAWITSLSVAAYIAFTLSVTQWRTKFRKAMNKADNDASTRAIDSLINYETVKYFNNEAFEAEKYDEFLKRYEDAALKTQRSLAFLNFGQNVIFSSALSTAMVLCSHGIMNGQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFQLLEEKAEISDKQDAKPLKLNGGSILFDNVHFSYLAERKILDGISFIVPAGKSVAIVGTSGSGKSTILRLLFRFFDAHSGSIRIDGQDIRDVTLDSLRQSIGVVPQDTVSSTGNFCVFFSLIIYAQTNVEHHCTLWAGTLQ >KJB20530 pep chromosome:Graimondii2_0_v6:3:42145939:42156796:-1 gene:B456_003G153100 transcript:KJB20530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSWKVLSASRCLRARGQLTLRQDALDHNPLFFSGALHFNRVPTPTGGGSSSFFGKSPTLITCNPRNACSWNLVKRYTNVNACVNNSSFFPLSTPYQQPDSIPNGRLSFSSSASSNDDDKFQNKASSGTEKRKAADVQILRTLAGYLWMKGNLEFRLRVMTALGFLVGAKLLNVQVPFLFKLAVDWLTAPNATALADSTLAAIFVTPIAVLIGYGIARTGASAFNELRTAVFSKVALRTIRSVSRKVFSHLHDLDLQYHLSRETGALNRIIDRGSRAINFILSSMVFNVVPTILEISMVSGILAYKFGAPFAWITSLSVAAYIAFTLSVTQWRTKFRKAMNKADNDASTRAIDSLINYETVKYFNNEAFEAEKYDEFLKRYEDAALKTQRSLAFLNFGQNVIFSSALSTAMVLCSHGIMNGQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFQLLEEKAEISDKQDAKPLKLNGGSILFDNVHFSYLAERKILDGISFIVPAGKSVAIVGTSGSGKSLANNRTSIFIAHRLTTAMQCDEIIVLENGKVVEQGPHDILLGKAGRYAQLWSQQNSSMDAIDTAVKVEA >KJB20529 pep chromosome:Graimondii2_0_v6:3:42145515:42156854:-1 gene:B456_003G153100 transcript:KJB20529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSWKVLSASRCLRARGQLTLRQDALDHNPLFFSGALHFNRVPTPTGGGSSSFFGKSPTLITCNPRNACSWNLVKRYTNVNACVNNSSFFPLSTPYQQPDSIPNGRLSFSSSASSNDDDKFQNKASSGTEKRKAADVQILRTLAGYLWMKGNLEFRLRVMTALGFLVGAKLLNVQVPFLFKLAVDWLTAPNATALADSTLAAIFVTPIAVLIGYGIARTGASAFNELRTAVFSKVALRTIRSVSRKVFSHLHDLDLQYHLSRETGALNRIIDRGSRAINFILSSMVFNVVPTILEISMVSGILAYKFGAPFAWITSLSVAAYIAFTLSVTQWRTKFRKAMNKADNDASTRAIDSLINYETVKYFNNEAFEAEKYDEFLKRYEDAALKTQRSLAFLNFGQNVIFSSALSTAMVLCSHGIMNGQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFQLLEEKAEISDKQDAKPLKLNGGSILFDNVHFSYLAERKILDGISFIVPAGKSVAIVGTSGSGKSTILRLLFRFFDAHSGSIRIDGQDIRDVTLDSLRQSIGVVPQDTVLFNDTIFHNIHYGRLSAKKEEVYEAAKRAAIHETIMNFPEKYSTVVGERGLKLSGGEKQRVALARAFLKAPAILLCDEATSALDSTTEAEILNALKSLANNRTSIFIAHRLTTAMQCDEIIVLENGKVVEQGPHDILLGKAGRYAQLWSQQNSSMDAIDTAVKVEA >KJB20531 pep chromosome:Graimondii2_0_v6:3:42148534:42156796:-1 gene:B456_003G153100 transcript:KJB20531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSWKVLSASRCLRARGQLTLRQDALDHNPLFFSGALHFNRVPTPTGGGSSSFFGKSPTLITCNPRNACSWNLVKRYTNVNACVNNSSFFPLSTPYQQPDSIPNGRLSFSSSASSNDDDKFQNKASSGTEKRKAADVQILRTLAGYLWMKGNLEFRLRVMTALGFLVGAKLLNVQVPFLFKLAVDWLTAPNATALADSTLAAIFVTPIAVLIGYGIARTGASAFNELRTAVFSKVALRTIRSVSRKVFSHLHDLDLQYHLSRETGALNRIIDRGSRAINFILSSMVFNVVPTILEISMVSGILAYKFGAPFAWITSLSVAAYIAFTLSVTQWRTKFRKAMNKADNDASTRAIDSLINYETVKYFNNEAFEAEKYDEFLKRYEDAALKTQRSLAFLNFGQNVIFSSALSTAMVLCSHGIMNGQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFQLLEEKAEISDKQDAKPLKLNGGSILFDNVHFSYLAERKILDGISFIVPAGKSVAIVGTSGSGKSTILRLLFRFFDAHSGSIRIDGQDIRDVTLDSLRQSIGVVPQDTVLFNDTIFHNIHYGRLSAKKEEVNLIFHCIVLFFCLQSKSHFYIGLRGC >KJB20533 pep chromosome:Graimondii2_0_v6:3:42151824:42156796:-1 gene:B456_003G153100 transcript:KJB20533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSWKVLSASRCLRARGQLTLRQDALDHNPLFFSGALHFNRVPTPTGGGSSSFFGKSPTLITCNPRNACSWNLVKRYTNVNACVNNSSFFPLSTPYQQPDSIPNGRLSFSSSASSNDDDKFQNKASSGTEKRKAADVQILRTLAGYLWMKGNLEFRLRVMTALGFLVGAKLLNVQVPFLFKLAVDWLTAPNATALADSTLAAIFVTPIAVLIGYGIARTGASAFNELRTAVFSKVALRTIRSVSRKVFSHLHDLDLQYHLSRETGALNRIIDRGSRAINFILSSMVFNVVPTILEISMVSGILAYKFGAPFAWITSLSVAAYIAFTLSVTQWRTKFRKAMNKADNDASTRAIDSLINYETVKYFNNEAFEAEKYDEFLKRYEDAALKTQRSLAFLNFGQNVIFSSALSTAMVLCSHGIMNGQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFQLLEEKAEISDKQDAKPLKLNGGSILFDNVHFR >KJB19974 pep chromosome:Graimondii2_0_v6:3:37677353:37682212:-1 gene:B456_003G126700 transcript:KJB19974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQASWRANMQGRFKPERPNLSHTDLHPEITKGGKDVSPESSWEHKKQHADIKANDEEELVKYMSKLPSYLERGAKKPQEKVLNVGVLEWGRLEKWQGSHKQISHRTSISSLSSSNTSSSLSTDESSARSSTGHSCSPGHQRLQRPSLESHPMSVPKKGHLQFVKPFRESGGKFQDLKTTQRSTFTVQEKFIREKSSCKSNPHIKPDKFKRREMLPKIVSESVTVPNGVKDNMASCEKVKMKNQRGEFMKKAEIYQEVVGKGANQDVTEKRNTVVLLLPRDLAKTNHSGPANLSDLTTKLCKEETEPGQRTFTETFKEAHRGELSSSFYHSGPLRSELDGSKHLQIKAMGLVDANNNGFKSERSQSVPRAAKVEISSSRSRNPEEKMIHATDTSSATNEACKGLETEVPKVASEKVRSTSPFRRFSFSMGNTGKSSGLKEGSSMPKMSSTCSSAKTKPENLVASGVDTSCGDKLNAKSRARSSPLRRLIEPLLKPKAVNCRSFTNQLQESIITEGGCKSSERTRHSTMTMQPAKVKSDTTSTIVNDSALNKKCGSSAVQALLRVQVKNGLPLFTFAVDNESNILAATVKMLSSSSKGDYGCIYTFFAVKEVRKKNGMWINQGGKGKGQDYAPKIVAQMKVSGSEFSHLSRPNYVDQFSIREFVLLTLDVGQAHTQASDSQPNDEQAAIIVKIPKKNSRNSIRDGYLIDKRQSLPEGVRDINATVILPSGVHSLPNKGEPSSLIQRWKSGGACDCGGWDLGCKLRILSNKNQCNQRPSSLRGSSISNQFELFFQGGAQDNMPFFSLASFNDGIYSVEFNSSLSLMQAFSICIAVWDSGNHCELSESVPLYEERTLGETILNDGTNEPNQIEGEGPARYVSYPPISPVGRV >KJB19971 pep chromosome:Graimondii2_0_v6:3:37676506:37682348:-1 gene:B456_003G126700 transcript:KJB19971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQASWRANMQGRFKPERPNLSHTDLHPEITKGGKDVSPESSWEHKKQHADIKANDEEELVKYMSKLPSYLERGAKKPQEKVLNVGVLEWGRLEKWQGSHKQISHRTSISSLSSSNTSSSLSTDESSARSSTGHSCSPGHQRLQRPSLESHPMSVPKKGHLQFVKPFRESGGKFQDLKTTQRSTFTVQEKFIREKSSCKSNPHIKPDKFKRREMLPKIVSESVTVPNGVKDNMASCEKVKMKNQRGEFMKKAEIYQEVVGKGANQDVTEKRNTVVLLLPRDLAKTNHSGPANLSDLTTKLCKEETEPGQRTFTETFKEAHRGELSSSFYHSGPLRSELDGSKHLQIKAMGLVDANNNGFKSERSQSVPRAAKVEISSSRSRNPEEKMIHATDTSSATNEACKGLETEVPKVASEKVRSTSPFRRFSFSMGNTGKSSGLKEGSSMPKMSSTCSSAKTKPENLVASGVDTSCGDKLNAKSRARSSPLRRLIEPLLKPKAVNCRSFTNQLQESIITEGGCKSSERTRHSTMTMQPAKVKSDTTSTIVNDSALNKKCGSSAVQALLRVQVKNGLPLFTFAVDNESNILAATVKMLSSSSKGDYGCIYTFFAVKEVRKKNGMWINQGGKGKGQDYAPKIVAQMKVSGSEFSHLSRPNYVDQFSIREFVLLTLDVGQAHTQASDSQPNDEQAAIIVKIPKKNSRNSIRDGYLIDKRQSLPEATSKECLPDIKLELNSGKKDSFEGVRDINATVILPSGVHSLPNKGEPSSLIQRWKSGGACDCGGWDLGCKLRILSNKNQCNQRPSSLRGSSISNQFELFFQGGAQDNMPFFSLASFNDGIYSVEFNSSLSLMQAFSICIAVWDSGNHCELSESVPLYEERTLGETILNDGTNEPNQIEGEGPARQWSVRYLSPMKNKK >KJB19973 pep chromosome:Graimondii2_0_v6:3:37677353:37682212:-1 gene:B456_003G126700 transcript:KJB19973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQASWRANMQGRFKPERPNLSHTDLHPEITKGGKDVSPESSWEHKKQHADIKANDEEELVKYMSKLPSYLERGAKKPQEKVLNVGVLEWGRLEKWQGSHKQISHRTSISSLSSSNTSSSLSTDESSARSSTGHSCSPGHQRLQRPSLESHPMSVPKKGHLQFVKPFRESGGKFQDLKTTQRSTFTVQEKFIREKSSCKSNPHIKPDKFKRREMLPKIVSESVTVPNGVKDNMASCEKVKMKNQRGEFMKKAEIYQEVVGKGANQDVTEKRNTVVLLLPRDLAKTNHSGPANLSDLTTKLCKEETEPGQRTFTETFKEAHRGELSSSFYHSGPLRSELDGSKHLQIKAMGLVDANNNGFKSERSQSVPRAAKVEISSSRSRNPEEKMIHATDTSSATNEACKGLETEVPKVASEKVRSTSPFRRFSFSMGNTGKSSGLKEGSSMPKMSSTCSSAKTKPENLVASGVDTSCGDKLNAKSRARSSPLRRLIEPLLKPKAVNCRSFTNQLQESIITEGGCKSSERTRHSTMTMQPAKVKSDTTSTIVNDSALNKKCGSSAVQALLRVQVKNGLPLFTFAVDNESNILAATVKMLSSSSKGDYGCIYTFFAVKEVRKKNGMWINQGGKGKGQTMWINLALENLFFLPWT >KJB19970 pep chromosome:Graimondii2_0_v6:3:37677701:37680543:-1 gene:B456_003G126700 transcript:KJB19970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQASWRANMQGRFKPERPNLSHTDLHPEITKGGKDVSPESSWEHKKQHADIKANDEEELVKYMSKLPSYLERGAKKPQEKVLNVGVLEWGRLEKWQGSHKQISHRTSISSLSSSNTSSSLSTDESSARSSTGHSCSPGHQRLQRPSLESHPMSVPKKGHLQFVKPFRESGGKFQDLKTTQRSTFTVQEKFIREKSSCKSNPHIKPDKFKRREMLPKIVSESVTVPNGVKDNMASCEKVKMKNQRGEFMKKAEIYQEVVGKGANQDVTEKRNTVVLLLPRDLAKTNHSGPANLSDLTTKLCKEETEPGQRTFTETFKEAHRGELSSSFYHSGPLRSELDGSKHLQIKAMGLVDANNNGFKSERSQSVPRAAKVEISSSRSRNPEEKMIHATDTSSATNEACKGLETEVPKVASEKVRSTSPFRRFSFSMGNTGKSSGLKEGSSMPKMSSTCSSAKTKPENLVASGVDTSCGDKLNAKSRARSSPLRRLIEPLLKPKAVNCRSFTNQLQESIITEGGCKSSERTRHSTMTMQPAKVKSDTTSTIVNDSALNKKCGSSAVQALLRVQVKNGLPLFTFAVDNESNILAATVKMLSSSSKGDYGCIYTFFAVKEVRKKNGMWINQGGKGKGQDYAPKIVAQMKVSGSEFSHLSRPNYVDQFSIREFVLLTLDVGQAHTQASDSQPNDEQAAIIVKIPKKNSRNSIRDGYLIDKRQSLPEATSKECLPDIKLELNSGKKDSFEGVRDINATVILPSGVHSLPNKGEPSSLIQRWKSGGACDCGGWDLGCKLRILSNKNQCNQRPSSLRGSSISNQFELFFQGGAQDNMPFFSLASFNDGIYSVEFNSSLSLMQAFSICIAVWDSGNHCELSESVPLYEERTLGETILNDGTNEPNQIEGEGPARYVSYPPISPVGRV >KJB19972 pep chromosome:Graimondii2_0_v6:3:37676506:37682348:-1 gene:B456_003G126700 transcript:KJB19972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQASWRANMQGRFKPERPNLSHTDLHPEITKGGKDVSPESSWEHKKQHADIKANDEEELVKYMSKLPSYLERGAKKPQEKVLNVGVLEWGRLEKWQGSHKQISHRTSISSLSSSNTSSSLSTDESSARSSTGHSCSPGHQRLQRPSLESHPMSVPKKGHLQFVKPFRESGGKFQDLKTTQRSTFTVQEKFIREKSSCKSNPHIKPDKFKRREMLPKIVSESVTVPNGVKDNMASCEKVKMKNQRGEFMKKAEIYQEVVGKGANQDVTEKRNTVVLLLPRDLAKTNHSGPANLSDLTTKLCKEETEPGQRTFTETFKEAHRGELSSSFYHSGPLRSELDGSKHLQIKAMGLVDANNNGFKSERSQSVPRAAKVEISSSRSRNPEEKMIHATDTSSATNEACKGLETEVPKVASEKVRSTSPFRRFSFSMGNTGKSSGLKEGSSMPKMSSTCSSAKTKPENLVASGVDTSCGDKLNAKSRARSSPLRRLIEPLLKPKAVNCRSFTNQLQESIITEGGCKSSERTRHSTMTMQPAKVKSDTTSTIVNDSALNKKCGSSAVQALLRVQVKNGLPLFTFAVDNESNILAATVKMLSSSSKGDYGCIYTFFAVKEVRKKNGMWINQGGKGKGQDYAPKIVAQMKVSGSEFSHLSRPNYVDQFSIREFVLLTLDVGQAHTQASDSQPNDEQAAIIVKIPKKNSRNSIRDGYLIDKRQSLPEATSKECLPDIKLELNSGKKDSFEGVRDINATVILPSGVHSLPNKGEPSSLIQRWKSGGACDCGGWDLGCKLRILSNKNQCNQRPSSLRGSSISNQFELFFQGGAQDNMPFFSLASFNDGIYSVEFNSSLSLMQAFSICIAVWDSGNHCELSESVPLYEERTLGETILNDGTNEPNQIEGEGPARQWSVRYLSPMKNKK >KJB19969 pep chromosome:Graimondii2_0_v6:3:37677701:37680543:-1 gene:B456_003G126700 transcript:KJB19969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQASWRANMQGRFKPERPNLSHTDLHPEITKGGKDVSPESSWEHKKQHADIKANDEEELVKYMSKLPSYLERGAKKPQEKVLNVGVLEWGRLEKWQGSHKQISHRTSISSLSSSNTSSSLSTDESSARSSTGHSCSPGHQRLQRPSLESHPMSVPKKGHLQFVKPFRESGGKFQDLKTTQRSTFTVQEKFIREKSSCKSNPHIKPDKFKRREMLPKIVSESVTVPNGVKDNMASCEKVKMKNQRGEFMKKAEIYQEVVGKGANQDVTEKRNTVVLLLPRDLAKTNHSGPANLSDLTTKLCKEETEPGQRTFTETFKEAHRGELSSSFYHSGPLRSELDGSKHLQIKAMGLVDANNNGFKSERSQSVPRAAKVEISSSRSRNPEEKMIHATDTSSATNEACKGLETEVPKVASEKVRSTSPFRRFSFSMGNTGKSSGLKEGSSMPKMSSTCSSAKTKPENLVASGVDTSCGDKLNAKSRARSSPLRRLIEPLLKPKAVNCRSFTNQLQESIITEGGCKSSERTRHSTMTMQPAKVKSDTTSTIVNDSALNKKCGSSAVQALLRVQVKNGLPLFTFAVDNESNILAATVKMLSSSSKGDYGCIYTFFAVKEVRKKNGMWINQGGKGKGQDYAPKIVAQMKVSGSEFSHLSRPNYVDQFSIREFVLLTLDVGQAHTQASDSQPNDEQAAIIVKIPKKNSRNSIRDGYLIDKRQSLPEATSKECLPDIKLELNSGKKDSFEGVRDINATVILPSGVHSLPNKGEPSSLIQRWKSGGACDCGGWDLGCKLRILSNKNQCNQRPSSLRGSSISNQFELFFQGGAQDNMPFFSLASFNDGIYSVEFNSSLSLMQAFSICIAVWDSGNHCELSESVPLYEERTLGETILNDGTNEPNQIEGEGPARYVSYPPISPVGRV >KJB20938 pep chromosome:Graimondii2_0_v6:3:44447234:44453739:-1 gene:B456_003G173200 transcript:KJB20938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECFKRSCGYRRHGSTRQGSRTVKCLTINLKGLLEDKAKRTNTTLHFPKHSKSQFLMANDVDMETQAFAKMKRLKLLQLDYVRLKGDFKDFPKRLRWLRWHGFWMQSFPVDFDINELVVLDMRNSKLKQVWKDTECLPNLKILNLNHSHSLLKTPSFSGLPSLEKLMLKDCINLVEVDQSIGELKMLTFLNLKDCTSLRKLPRTIGSLISLEELILSGCSRLDDVLNLDETAIYQSRLGLQWLLLKRSKGLGFSWASLPGSLVKLSLESCKLSDDVIPNDLCNLASLKSLNLSRNPIHYLAESLKELTKLDELVLTSCTELQMIPKLPFLPNVSGFSTVSAVKSFRSILPCFFSSKRCVIFGCEKLTEVQDIFKLEPIENFDAEEIRRLFNVNSVNKNRVQLYNCLTDNIMLATPQVLQECGITSTLILGSEVPIGYKHRTNEHRISFLLPTPSHPDEKIRWFSLCIVFSLDSDQILDLPPSVYIFNETKRTTGRYCSSFIGIPKTNDNTMLWLIHWPVTDCQFEGGDLVSCMIVPIHLRIRKFGVTYESEHNIRYEYGFSYLSPGDEVAPRNIKMDLTKNLLSLESYGNVKVQLCSYIEESKVVSSPKVLYDYGIITAFDPMPFDYYGHYFGNQAGKTEVSLSVPPNFSRKISCFLNSIIIFSAKNDNTNEFLPFLEIVNETKGTKWTYSKHFTGILGTKNTLYWFTCWDFRGGELEAGDRITLRVLSDLSVLEFGIDLVFDYDLDDNPNSFSQLPWMSECFKYLLGAFIYILSKSQKDLYRLQSLVKS >KJB20936 pep chromosome:Graimondii2_0_v6:3:44447307:44453737:-1 gene:B456_003G173200 transcript:KJB20936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTSFSSSMTVSEISRCSYHVFLSFRGEDTRKSFTDHLYTALVHLGIQTFRDDEEIERGNNIKDEIEKAILHHSKISIVVFSKNYAASTWCLNELVMILEHKKSSKHSVLPVFYDVDPSQVKNQTGSYAEAFTQHEQNFKSETNMVQRWRNALKEVADIGGMVLHDRHESQFIQDIVKEVQNKLHLISLYVPPYLVGIDSLVTQINQWLEQDGANKVGIATICGIGGIGKTTIAKVVYNQNILRFEGYSFLADVRETSQDCNGLVRLQRQLISDILKGKSHKIYNIDNGINKIKEVVCCRRVLLVLDDVDELEKIRKLMGTQIPFHPGSKIVITSRNRCLLNAHFISQMFDSEASTSCGGLSKLFEVKELASSESLQLFNWYAFGHNSVPESSVAYERSLVKHCGGLPLALQVLGSSLSTKSVSSWKSALEKLEEIPDSKIQKILRISYDSLEDDHDKNLFLDIVCLFIGKDRDYTTTILDGCDYYTTIGIENLVGRSLLIINERNKLMMHQMIRDMGQEIIRQESSDIGKRSRLWHKNSFDVIREKIGSRTVKCLTINLKGLLEDKAKRTNTTLHFPKHSKSQFLMANDVDMETQAFAKMKRLKLLQLDYVRLKGDFKDFPKRLRWLRWHGFWMQSFPVDFDINELVVLDMRNSKLKQVWKDTECLPNLKILNLNHSHSLLKTPSFSGLPSLEKLMLKDCINLVEVDQSIGELKMLTFLNLKDCTSLRKLPRTIGSLISLEELILSGCSRLDDVLNLDETAIYQSRLGLQWLLLKRSKGLGFSWASLPGSLVKLSLESCKLSDDVIPNDLCNLASLKSLNLSRNPIHYLAESLKELTKLDELVLTSCTELQMIPKLPFLPNVSGFSTVSAVKSFRSILPCFFSSKRCVIFGCEKLTEVQDIFKLEPIENFDAEEIRRLFNVNSVNKNRVQLYNCLTDNIMLATPQVLQECGITSTLILGSEVPIGYKHRTNEHRISFLLPTPSHPDEKIRWFSLCIVFSLDSDQILDLPPSVYIFNETKRTTGRYCSSFIGIPKTNDNTMLWLIHWPVTDCQFEGGDLVSCMIVPIHLRIRKFGVTYESEHNIRYEYGFSYLSPGDEVAPRNIKMDLTKNLLSLESYGNVKVQLCSYIEESKVVSSPKVLYDYGIITAFDPMPFDYYGHYFGNQAGKTEVSLSVPPNFSRKISCFLNSIIIFSAKNDNTNEFLPFLEIVNETKGTKWTYSKHFTGILGTKNTLYWFTCWDFRGGELEAGDRITLRVLSDLSVLEFGIDLVFDYDLDDNPNSFSQLPWMSECFKYLLGAFIYILSKSQKDLYRLQSLVKS >KJB20937 pep chromosome:Graimondii2_0_v6:3:44447234:44453737:-1 gene:B456_003G173200 transcript:KJB20937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTSFSSSMTVSEISRCSYHVFLSFRGEDTRKSFTDHLYTALVHLGIQTFRDDEEIERGNNIKDEIEKAILHHSKISIVVFSKNYAASTWCLNELVMILEHKKSSKHSVLPVFYDVDPSQVKNQTGSYAEAFTQHEQNFKSETNMVQRWRNALKEVADIGGMVLHDRHESQFIQDIVKEVQNKLHLISLYVPPYLVGIDSLVTQINQWLEQDGANKVGIATICGIGGIGKTTIAKVVYNQNILRFEGYSFLADVRETSQDCNGLVRLQRQLISDILKGKSHKIYNIDNGINKIKEVVCCRRVLLVLDDVDELEKIRKLMGTQIPFHPGSKIVITSRNRCLLNAHFISQMFDSEASTSCGGLSKLFEVKELASSESLQLFNWYAFGHNSVPESSVAYERSLVKHCGGLPLALQVLGSSLSTKSVSSWKSALEKLEEIPDSKIQKILRISYDSLEDDHDKNLFLDIVCLFIGKDRDYTTTILDGCDYYTTIGIENLVGRSLLIINERNKLMMHQMIRDMGQEIIRQESSDIGKRSRLWHKNSFDVIREKIGSRTVKCLTINLKGLLEDKAKRTNTTLHFPKHSKSQFLMANDVDMETQAFAKMKRLKLLQLDYVRLKGDFKDFPKRLRWLRWHGFWMQSFPVDFDINELVVLDMRNSKLKQVWKDTECLPNLKILNLNHSHSLLKTPSFSGLPSLEKLMLKDCINLVEVDQSIGELKMLTFLNLKDCTSLRKLPRTIGSLISLEELILSGCSRLDDVLNLDETAIYQSRLGLQWLLLKRSKGLGFSWASLPGSLVKLSLESCKLSDDVIPNDLCNLASLKSLNLSRNPIHYLAESLKELTKLDELVLTSCTELQMIPKLPFLPNVSGFSTVSAVKSFRSILPCFFSSKRCVIFGCEKLTEVQDIFKLEPIENFDAEEIRRLFNVNSVNKNRVQLYNCLTDNIMLATPQVLQECGITSTLILGSEVPIGYKHRTNEHRISFLLPTPSHPDEKIRWFSLCIVFSLDSDQILDLPPSVYIFNETKRTTGRYCSSFIGIPKTNDNTMLWLIHWPVTDCQFEGGDLVSCMIVPIHLRIRKFGVTYESEHNIRYEYGFSYLSPGTIRLWHNYSI >KJB20939 pep chromosome:Graimondii2_0_v6:3:44447307:44451491:-1 gene:B456_003G173200 transcript:KJB20939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQIPFHPGSKIVITSRNRCLLNAHFISQMFDSEASTSCGGLSKLFEVKELASSESLQLFNWYAFGHNSVPESSVAYERSLVKHCGGLPLALQVLGSSLSTKSVSSWKSALEKLEEIPDSKIQKILRISYDSLEDDHDKNLFLDIVCLFIGKDRDYTTTILDGCDYYTTIGIENLVGRSLLIINERNKLMMHQMIRDMGQEIIRQESSDIGKRSRLWHKNSFDVIREKIGSRTVKCLTINLKGLLEDKAKRTNTTLHFPKHSKSQFLMANDVDMETQAFAKMKRLKLLQLDYVRLKGDFKDFPKRLRWLRWHGFWMQSFPVDFDINELVVLDMRNSKLKQVWKDTECLPNLKILNLNHSHSLLKTPSFSGLPSLEKLMLKDCINLVEVDQSIGELKMLTFLNLKDCTSLRKLPRTIGSLISLEELILSGCSRLDDVLNLDETAIYQSRLGLQWLLLKRSKGLGFSWASLPGSLVKLSLESCKLSDDVIPNDLCNLASLKSLNLSRNPIHYLAESLKELTKLDELVLTSCTELQMIPKLPFLPNVSGFSTVSAVKSFRSILPCFFSSKRCVIFGCEKLTEVQDIFKLEPIENFDAEEIRRLFNVNSVNKNRVQLYNCLTDNIMLATPQVLQECGITSTLILGSEVPIGYKHRTNEHRISFLLPTPSHPDEKIRWFSLCIVFSLDSDQILDLPPSVYIFNETKRTTGRYCSSFIGIPKTNDNTMLWLIHWPVTDCQFEGGDLVSCMIVPIHLRIRKFGVTYESEHNIRYEYGFSYLSPGDEVAPRNIKMDLTKNLLSLESYGNVKVQLCSYIEESKVVSSPKVLYDYGIITAFDPMPFDYYGHYFGNQAGKTEVSLSVPPNFSRKISCFLNSIIIFSAKNDNTNEFLPFLEIVNETKGTKWTYSKHFTGILGTKNTLYWFTCWDFRGGELEAGDRITLRVLSDLSVLEFGIDLVFDYDLDDNPNSFSQLPWMSECFKYLLGAFIYILSKSQKDLYRLQSLVKS >KJB19967 pep chromosome:Graimondii2_0_v6:3:37670473:37674418:-1 gene:B456_003G126600 transcript:KJB19967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSKLSLCCCCPSNINNSSNLENEKESLPGFIEYSLDQLKAATSGFCTDNIVSEHGEKAPNVVYRGKLDGEDRLVAIKRFNRSAWPDPRQFLEEAKAVGKLGSERLANLIGCCCEGNERLLVAEFMPNETLSKHLFHWENQHMKWAMRLRVALFLAQALEYCSCRGRALYHDLNAYRILFDQDGNPRLSSFGLMKNSRDGKSYSTNLAFAPPEYLRTGRVIPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGQFSNDDGTEIVRLASRCLQYEPRERPNIKSLVTTLTPLQKGTEVPSHVLMGISHETTSLKHTMSLTPLGEACSRMDLTAIHEILEEIGYKDDEGTANELSFQMWTDKIQETLNSKKSGDTAFRAKDFGTAIECYTHFIDSETMVSPTVLARRCLCYMMNDMPQEALGDAMQAQVISPEWPAAFYLQAAALFSLGMDNDAKETLKDGTNLEAKNHRN >KJB19966 pep chromosome:Graimondii2_0_v6:3:37670473:37672978:-1 gene:B456_003G126600 transcript:KJB19966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGENQHMKWAMRLRVALFLAQALEYCSCRGRALYHDLNAYRILFDQDGNPRLSSFGLMKNSRDGKSYSTNLAFAPPEYLRTGRVIPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGQFSNDDGTEIVRLASRCLQYEPRERPNIKSLVTTLTPLQKGTEVPSHVLMGISHETTSLKHTMSLTPLGEACSRMDLTAIHEILEEIGYKDDEGTANELSFQMWTDKIQETLNSKKSGDTAFRAKDFGTAIECYTHFIDSETMVSPTVLARRCLCYMMNDMPQEALGDAMQAQVISPEWPAAFYLQAAALFSLGMDNDAKETLKDGTNLEAKNHRN >KJB19968 pep chromosome:Graimondii2_0_v6:3:37671465:37674048:-1 gene:B456_003G126600 transcript:KJB19968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSKLSLCCCCPSNINNSSNLENEKESLPGFIEYSLDQLKAATSGFCTDNIVSEHGEKAPNVVYRGKLDGEDRLVAIKRFNRSAWPDPRQFLEEAKAVGKLGSERLANLIGCCCEGNERLLVAEFMPNETLSKHLFHWENQHMKWAMRLRVALFLAQALEYCSCRGRALYHDLNAYRILFDQDGNPRLSSFGLMKNSRDGKSYSTNLAFAPPEYLRTGRVIPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGQFSNDDGTEIVRLASRCLQYEPRERPNIKSLVTTLTPLQKGTEVPSHVLMGISHETTSLKHTMSLTPLGEACSRMDLTAIHEILEEIGYKDDEGTANEVSNPYIICCFKSESLVNSQNNF >KJB20368 pep chromosome:Graimondii2_0_v6:3:41147134:41149703:-1 gene:B456_003G144900 transcript:KJB20368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFLFRNVSCYPYKPALIDADSGETLTFSQLKSTVIKLSHGFLKLGINKSDRVLIFAPNSVQFPLCFFAITAIGAIATTANPNYTANELSKQLKDSNPKLVVTVPELFDKVKDFNIPLISLGSKRNQRGFNVKNTPKILSFHDLLDIAGNVTEFPSVSVKQTDTAVLLYSSGTTGLSKGVVLTHGNFIASSLMVTKDQELAGDIHRVYLCILPMFHVFGLAVMVYAQVQMGNALVSMAKFDFEMFLRNVEKYRATHLWVVPPIVLAMAKQNVVDKFDLSSLKQIGSGAAPLGKELMEECAKKFPQAVVMQGYGMTETCAIISMENLAFGVRHTGSAGLLAPGIEAQIVSTENPKPLPPKQLGEIWVRGPNMMQGYFNNPEATKQTIDEKGWVHTGDLGYFNENGYLYVVDRIKELIKYKGFQVTYFNCQLVLR >KJB20365 pep chromosome:Graimondii2_0_v6:3:41147030:41149431:-1 gene:B456_003G144900 transcript:KJB20365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFLFRNVSCYPYKPALIDADSGETLTFSQLKSTVIKLSHGFLKLGINKSDRVLIFAPNSVQFPLCFFAITAIGAIATTANPNYTANELSKQLKDSNPKLVVTVPELFDKVKDFNIPLISLGSKRNQRGFNVKNTPKILSFHDLLDIAGNVTEFPSVSVKQTDTAVLLYSSGTTGLSKGVVLTHGNFIASSLMVTKDQELAGDIHRVYLCILPMFHVFGLAVMVYAQVQMGNALVSMAKFDFEMFLRNVEKYRATHLWVVPPIVLAMAKQNVVDKFDLSSLKQIGSGAAPLGKELMEECAKKFPQAVVMQGYGMTETCAIISMENLAFGVRHTGSAGLLAPGIEAQIVSTENPKPLPPKQLGEIWVRGPNMMQGNVTCFFFPVEIKEDRSFGYFNNPEATKQTIDEKGWVHTGDLGYFNENGYLYVVDRIKELIKYKGFQIAPAELEGLLVSHPEIVDAVTIP >KJB20364 pep chromosome:Graimondii2_0_v6:3:41146322:41149703:-1 gene:B456_003G144900 transcript:KJB20364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFLFRNVSCYPYKPALIDADSGETLTFSQLKSTVIKLSHGFLKLGINKSDRVLIFAPNSVQFPLCFFAITAIGAIATTANPNYTANELSKQLKDSNPKLVVTVPELFDKVKDFNIPLISLGSKRNQRGFNVKNTPKILSFHDLLDIAGNVTEFPSVSVKQTDTAVLLYSSGTTGLSKGVVLTHGNFIASSLMVTKDQELAGDIHRVYLCILPMFHVFGLAVMVYAQVQMGNALVSMAKFDFEMFLRNVEKYRATHLWVVPPIVLAMAKQNVVDKFDLSSLKQIGSGAAPLGKELMEECAKKFPQAVVMQGYGMTETCAIISMENLAFGVRHTGSAGLLAPGIEAQIVSTENPKPLPPKQLGEIWVRGPNMMQGYFNNPEATKQTIDEKGWVHTGDLGYFNENGYLYVVDRIKELIKYKGFQIAPAELEGLLVSHPEIVDAVTIPYPDDEAGEVPVAYVVRSPNSSLTEEDVLKFIAKQVAPFKRLRKVTFVSSVPKSVSGKILRRELIAKVRSKM >KJB20366 pep chromosome:Graimondii2_0_v6:3:41146023:41149801:-1 gene:B456_003G144900 transcript:KJB20366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFLFRNVSCYPYKPALIDADSGETLTFSQLKSTVIKLSHGFLKLGINKSDRVLIFAPNSVQFPLCFFAITAIGAIATTANPNYTANELSKQLKDSNPKLVVTVPELFDKVKDFNIPLISLGSKRNQRGFNVKNTPKILSFHDLLDIAGNVTEFPSVSVKQTDTAVLLYSSGTTGLSKGVVLTHGNFIASSLMVTKDQELAGDIHRVYLCILPMFHVFGLAVMVYAQVQMGNALVSMAKFDFEMFLRNVEKYRATHLWVVPPIVLAMAKQNVVDKFDLSSLKQIGSGAAPLGKELMEECAKKFPQAVVMQGYGMTETCAIISMENLAFGVRHTGSAGLLAPGIEAQIVSTENPKPLPPKQLGEIWVRGPNMMQGYFNNPEATKQTIDEKGWVHTGDLGYFNENGYLYVVDRIKELIKYKGFQIAPAELEGLLVSHPEIVDAVTIPYPDDEAGEVPVAYVVRSPNSSLTEEDVLKFIAKQVAPFKRLRKVTFVSSVPKSVSGKILRRELIAKITAISK >KJB20367 pep chromosome:Graimondii2_0_v6:3:41147001:41149703:-1 gene:B456_003G144900 transcript:KJB20367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFLFRNVSCYPYKPALIDADSGETLTFSQLKSTVIKLSHGFLKLGINKSDRVLIFAPNSVQFPLCFFAITAIGAIATTANPNYTANELSKQLKDSNPKLVVTVPELFDKVKDFNIPLISLGSKRNQRGFNVKNTPKILSFHDLLDIAGNVTEFPSVSVKQTDTAVLLYSSGTTGLSKGVVLTHGNFIASSLMVTKDQELAGDIHRVYLCILPMFHVFGLAVMVYAQVQMGNALVSMAKFDFEMFLRNVEKYRATHLWVVPPIVLAMAKQNVVDKFDLSSLKQIGSGAAPLGKELMEECAKKFPQAVVMQGYGMTETCAIISMENLAFGVRHTGSAGLLAPGIEAQIVSTENPKPLPPKQLGEIWVRGPNMMQGYFNNPEATKQTIDEKGWVHTGDLGYFNENGYLYVVDRIKELIKYKGFQIAPAELEGLLVSHPEIVDAVTIP >KJB18946 pep chromosome:Graimondii2_0_v6:3:18592644:18594182:1 gene:B456_003G076600 transcript:KJB18946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEYGARLSSLLVARDERKSGKLKRLGILGRVTSAISVFGKLEVLLSNSSPFVQTYLLSCEFCFFRVFKNVWYTTTKVGRASINLYFAMAECIDGCPHANYDLSKVFFWAVLNVPLTWMNRA >KJB18902 pep chromosome:Graimondii2_0_v6:3:17756519:17758900:1 gene:B456_003G074300 transcript:KJB18902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAANLKSSEILDHPSTKICNKSRQNALAEILEILTMVCETHKLPLAQTWVPCRHRNVLAHGGGSKKYCTSFDGSCMGQVCISTTDVAFYVVDAHMWGFREACLEHHLQKGQGVSGRAFLSHNPCFCADITQFCKTEYPLVHYARMFGLTSCFAICLQSTYTGDDDYVLEFFLPPAIADFNEQQTLLGSILSTIKQHFQCIKVAPGTKLKENKGSIEIIIASSDERLSSRLEFIPISPSVKSPPGTNSSPNRGDLQIDSLRKQLSDNHDPATDGSKVVASGSQDPVCLPKNEELKKPERKRGKTEKSISLEVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLTKLKHVIESVQGADEAFDLTSLATSPLPVAVGSISWTTGLNGSHQQNLTNSKPSEPQVDKNDLPTCQMPGSNTQVLVDDQLLGGRTLIQEQLFSHHNGLSPSLDKGANRFKTGSGSRDESAGTPTSHGSCQGSPATESAATKDPLGFSHDQCSPKLAFHLEELNISTSFSMPEAPVTAEPREPFGGMLVEDAGSSKDLRNLCPIVAEIGADERLPESSWTPPQCSELGIKHTMHTFTQTTPHVTARHGMKSVTIKATYREDIIRFRISLSSGIVELKEEVAKRLKLEECLDVSRSSGSNIIRLSVHDTVANLGSSCESTGEL >KJB18901 pep chromosome:Graimondii2_0_v6:3:17754935:17759130:1 gene:B456_003G074300 transcript:KJB18901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEPVEGNACSFPSKQYRHHHLEQSQGIMDLDDLDLESSWPLDHQSFLSNPTSPFILASSSEQPCSPLWVFSDDDNNKLGSHPVDCNLLVTCIPNPGNEYPKEENDNRGMPSPFLGQLPLENPDSYCVIKQRMTQALRYFKESTEQHVLAQVWAPVKNGGRYVLTTSGQPFVLDPHSSGLHQYRMVSLMYMFSVDGESDVQLGLPGRVFRQKLPEWTPNVQYYSSREYSRRDHALHYNVQGTLALPVFEPSKQSCVGVLELIMTSPMIHYAPEVDKVCKALEAANLKSSEILDHPSTKICNKSRQNALAEILEILTMVCETHKLPLAQTWVPCRHRNVLAHGGGSKKYCTSFDGSCMGQVCISTTDVAFYVVDAHMWGFREACLEHHLQKGQGVSGRAFLSHNPCFCADITQFCKTEYPLVHYARMFGLTSCFAICLQSTYTGDDDYVLEFFLPPAIADFNEQQTLLGSILSTIKQHFQCIKVAPGTKLKENKGSIEIIIASSDERLSSRLEFIPISPSVKSPPGTNSSPNRGDLQIDSLRKQLSDNHDPATDGSKVVASGSQDPVCLPKNEELKKPERKRGKTEKSISLEVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLTKLKHVIESVQGADEAFDLTSLATSPLPVAVGSISWTTGLNGSHQQNLTNSKPSEPQVDKNDLPTCQMPGSNTQVLVDDQLLGGRTLIQEQLFSHHNGLSPSLDKGANRFKTGSGSRDESAGTPTSHGSCQGSPATESAATKDPLGFSHDQCSPKLAFHLEELNISTSFSMPEAPVTAEPREPFGGMLVEDAGSSKDLRNLCPIVAEIGADERLPESSWTPPQCSELGIKHTMHTFTQTTPHVTARHGMKSVTIKATYREDIIRFRISLSSGIVELKEEVAKRLKLEECLDVSRSSGSNIIRLSVHDTVANLGSSCESTGEL >KJB18904 pep chromosome:Graimondii2_0_v6:3:17754984:17759082:1 gene:B456_003G074300 transcript:KJB18904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEPVEGNACSFPSKQYRHHHLEQSQGIMDLDDLDLESSWPLDHQSFLSNPTSPFILASSSEQPCSPLWVFSDDDNNKLGSHPVDCNLLVTCIPNPGNEYPKEENDNRGMPSPFLGQLPLENPDSYCVIKQRMTQALRYFKESTEQHVLAQVWAPVKNGGRYVLTTSGQPFVLDPHSSGLHQYRMVSLMYMFSVDGESDVQLGLPGRVFRQKLPEWTPNVQYYSSREYSRRDHALHYNVQGTLALPVFEPSKQSCVGVLELIMTSPMIHYAPEVDKVCKALEAANLKSSEILDHPSTKICNKSRQNALAEILEILTMVCETHKLPLAQTWVPCRHRNVLAHGGGSKKYCTSFDGSCMGQVCISTTDVAFYVVDAHMWGFREACLEHHLQKGQGVSGRAFLSHNPCFCADITQFCKTEYPLVHYARMFGLTSCFAICLQSTYTGDDDYVLEFFLPPAIADFNEQQTLLGSILSTIKQHFQCIKVAPGTKLKENKGSIEIIIASSDERLSSRLEFIPISPSVKSPPGTNSSPNRGDLQIDSLRKQLSDNHDPATDGSKVVASGSQDPVCLPKNEELKKPERKRGKTEKSISLEVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLTKLKHVIESVQGADEAFDLTSLATSPLPVAVGSISWTTGLNGSHQQNLTNSKPSEPQVDKNDLPTCQMPGSNTQVLVDDQLLGGRTLIQEQLFSHHNGLSPSLDKGANRFKTGSGSRDESAGTPTSHGSCQGSPATESAATKDPLGFSHDQCSPKLAFHLEELNISTSFSMPEAPVTAEPREPFGGMLVEDAGSSKDLRNLCPIVAEIGADERLPESSWTPPQCSELGIKHTMHTFTQTTPHVTARHGMKSVTIKATYREDIIRFRISLSSGIVELKEEVAKRLKLEVGTFDIKYLDDDNEWVLIACDADLQECLDVSRSSGSNIIRLSVHDTVANLGSSCESTGEL >KJB18903 pep chromosome:Graimondii2_0_v6:3:17756519:17758900:1 gene:B456_003G074300 transcript:KJB18903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAANLKSSEILDHPSTKICNKSRQNALAEILEILTMVCETHKLPLAQTWVPCRHRNVLAHGGGSKKYCTSFDGSCMGQVCISTTDVAFYVVDAHMWGFREACLEHHLQKGQGVSGRAFLSHNPCFCADITQFCKTEYPLVHYARMFGLTSCFAICLQSTYTGDDDYVLEFFLPPAIADFNEQQTLLGSILSTIKQHFQCIKVAPGTKLKENKGSIEIIIASSDERLSSRLEFIPISPSVKSPPGTNSSPNRGDLQIDSLRKQLSDNHDPATDGSKVVASGSQDPVCLPKNEELKKPERKRGKTEKSISLEVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLTKLKHVIESVQGADEAFDLTSLATSPLPVAVGSISWTTGLNGSHQQNLTNSKPSEPQVDKNDLPTCQMPGSNTQVLVDDQLLGGRTLIQEQLFSHHNGLSPSLDKGANRFKTGSGSRDESAGTPTSHGSCQGSPATESAATKDPLGFSHDQCSPKLAFHLEELNISTSFSMPEAPVTAEPREPFGGMLVEDAGSSKDLRNLCPIVAEIGADERLPESSWTPPQCSELGIKHTMHTFTQTTPHVTARHGMKSVTIKATYREDIIRFRISLSSGIVELKEEVAKRLKLEVGTFDIKYLDDDNEWVLIACDADLQECLDVSRSSGSNIIRLSVHDTVANLGSSCESTGEL >KJB20443 pep chromosome:Graimondii2_0_v6:3:41591354:41591584:1 gene:B456_003G148500 transcript:KJB20443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFEELSIPCKLVLNVVGIEETEALAVLKSTVCVSGFDSSSIFNLLKAVLVLPISKECLGGILCGLLNFNSTVPSWC >KJB20610 pep chromosome:Graimondii2_0_v6:3:42550013:42552031:-1 gene:B456_003G156100 transcript:KJB20610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTTKTSSISTKTASAQLKVQVQPIKPKRRKCKETTISCSSATTGAANGCSDSGFSARKLDPPTVVSADSSWCCPASKPFPTPPPPPPSPPQTRLVPDQGSTDSSSASKIRYSPGSLSPVMDFTTISNGHSPSSFTKFNSALTAGLLNPHSPPPPPDKSRSSPTLFEMMASEPDVHPRTQTQIQVPISGPGQNQPPPVIDKQVLTMQRISNLLSTRSPGNQFNDPDSSDIKLTLSSKDGISVSMNVHRQIVVAHSRFFAVKLSDRWAKQQRNGSAGPYIVEIADCDDVEVYIETLKLMYCKDLRKTLMREDVPKVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEERVASLLAELRLENVGAGEVLKRVSVEVTNGTDDGGGDNEEVLLKLLHVVLEGKDEKARREMKGLVLKMLRENSSQNDLRKESLYSACDGCLELLRSHFLRAALSDLTDVNQIARQADNLHWILDILIDRQIAEDFLKSWASQSELSNVHSKVPAVHRYEVSRVTARLFVGIGKGQLLASKEVRCLLLQTWLVPFYDDFGWMRRASRGLDRHLIEDGLSNTILTLPLAWQQDILLAWFDRFLNSGEDCPNIQRAFEIWWRRAFWKRSGDQELPRESHVTIATIENS >KJB20611 pep chromosome:Graimondii2_0_v6:3:42549560:42552386:-1 gene:B456_003G156100 transcript:KJB20611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTTKTSSISTKTASAQLKVQVQPIKPKRRKCKETTISCSSATTGAANGCSDSGFSARKLDPPTVVSADSSWCCPASKPFPTPPPPPPSPPQTRLVPDQGSTDSSSASKIRYSPGSLSPVMDFTTISNGHSPSSFTKFNSALTAGLLNPHSPPPPPDKSRSSPTLFEMMASEPDVHPRTQTQIQVPISGPGQNQPPPVIDKQVLTMQRISNLLSTRSPGNQFNDPDSSDIKLTLSSKDGISVSMNVHRQIVVAHSRFFAVKLSDRWAKQQRNGSAGPYIVEIADCDDVEVYIETLKLMYCKDLRKTLMREDVPKVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEERVASLLAELRLENVGAGEVLKRVSVEVTNGTDDGGGDNEEVLLKLLHVVLEGKDEKARREMKGLVLKMLRENSSQNDLRKESLYSACDGCLELLRSHFLRAALSDLTDVNQIARQADNLHWILDILIDRQIAEDFLKSWASQSELSNVHSKVPAVHRYEVSRVTARLFVGIGKGQLLASKEVRCLLLQTWLVPFYDDFGWMRRASRGLDRHLIEDGLSNTILTLPLAWQQDILLAWFDRFLNSGEDCPNIQRAFEIWWRRAFWKRSGDQELPRESHVTIATIENS >KJB17286 pep chromosome:Graimondii2_0_v6:3:35824758:35825354:-1 gene:B456_003G118900 transcript:KJB17286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFESQKIQGSQSIVAKLTTLLSSSVSTTSPPSIVSPLALAACWFSSVVPSNLPANSMISSSAKIESFGNLEVLGFK >KJB17277 pep chromosome:Graimondii2_0_v6:3:35823130:35825674:-1 gene:B456_003G118900 transcript:KJB17277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFESQKIQGSQSIVAKLTTLLSSSVSTTSPPSIVSPLALAACWFSSVVPSNLPANSMISSSAKIEMNFQLGGFIEPEEGYDK >KJB17278 pep chromosome:Graimondii2_0_v6:3:35824758:35825354:-1 gene:B456_003G118900 transcript:KJB17278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFESQKIQGSQSIVAKLTTLLSSSVSTTSPPSIVSPLALAACWFSSVVPSNLPANSMISSSAKIESFGNLEVLGFK >KJB17283 pep chromosome:Graimondii2_0_v6:3:35824758:35825354:-1 gene:B456_003G118900 transcript:KJB17283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFESQKIQGSQSIVAKLTTLLSSSVSTTSPPSIVSPLALAACWFSSVVPSNLPANSMISSSAKIESFGNLEVLGFK >KJB17282 pep chromosome:Graimondii2_0_v6:3:35824758:35825354:-1 gene:B456_003G118900 transcript:KJB17282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFESQKIQGSQSIVAKLTTLLSSSVSTTSPPSIVSPLALAACWFSSVVPSNLPANSMISSSAKIESFGNLEVLGFK >KJB17279 pep chromosome:Graimondii2_0_v6:3:35824265:35825354:-1 gene:B456_003G118900 transcript:KJB17279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFESQKIQGSQSIVAKLTTLLSSSVSTTSPPSIVSPLALAACWFSSVVPSNLPANSMISSSAKIEQFNKFEETRFKLESN >KJB17287 pep chromosome:Graimondii2_0_v6:3:35823509:35825354:-1 gene:B456_003G118900 transcript:KJB17287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFESQKIQGSQSIVAKLTTLLSSSVSTTSPPSIVSPLALAACWFSSVVPSNLPANSMISSSANYTATLFAC >KJB17284 pep chromosome:Graimondii2_0_v6:3:35823251:35825431:-1 gene:B456_003G118900 transcript:KJB17284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFESQKIQGSQSIVAKLTTLLSSSVSTTSPPSIVSPLALAACWFSSVVPSNLPANSMISSSAKFQN >KJB17281 pep chromosome:Graimondii2_0_v6:3:35824265:35825354:-1 gene:B456_003G118900 transcript:KJB17281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFESQKIQGSQSIVAKLTTLLSSSVSTTSPPSIVSPLALAACWFSSVVPSNLPANSMISSSAKIEQFNKFEETRFKLESN >KJB17280 pep chromosome:Graimondii2_0_v6:3:35823130:35825673:-1 gene:B456_003G118900 transcript:KJB17280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFESQKIQGSQSIVAKLTTLLSSSVSTTSPPSIVSPLALAACWFSSVVPSNLPANSMISSSAKIEQFNKFEETRFKLESN >KJB17285 pep chromosome:Graimondii2_0_v6:3:35823130:35825673:-1 gene:B456_003G118900 transcript:KJB17285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFESQKIQGSQSIVAKLTTLLSSSVSTTSPPSIVSPLALAACWFSSVVPSNLPANSMISSSAKIEQFNKFEETRFKLESN >KJB18525 pep chromosome:Graimondii2_0_v6:3:9843706:9844718:1 gene:B456_003G057800 transcript:KJB18525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVKLTDRVRLRTVNAIRRIMFSMSKLLQKGTPGNRSSGGEVGPVAQRIRTRGYKPWCRGFESLLAHNRPKRERSFPLGEEKS >KJB18454 pep chromosome:Graimondii2_0_v6:3:8191630:8192977:-1 gene:B456_003G053700 transcript:KJB18454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSEDESQLSAIARQGSGSACRSLFGGFVKWIMGKEDDGSDSLAIQLVDEKHWEDLFIIIAVSYFKYIIVGECEDFDIFQLQDEQGVFRESYSNNIFSCSTTRISLAFAYG >KJB19313 pep chromosome:Graimondii2_0_v6:3:29698275:29701666:1 gene:B456_003G094600 transcript:KJB19313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFCCVQVGQSTVAIKERFGRFEDVLEPGCHCLPWFLGSQVAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLTDTRKQIQAYVFDVIRASVPKLNLDDVFEQKTEIAKAVEEELEKAMSAYGYEIAQTLIVDIEPDVHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVATQIREGLLQAAHQ >KJB19312 pep chromosome:Graimondii2_0_v6:3:29699109:29701666:1 gene:B456_003G094600 transcript:KJB19312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFCCVQVGQSTVAIKERFGRFEDVLEPGCHCLPWFLGSQVAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLTDTRKQIQAYVFDVIRASVPKLNLDDVFEQKTEIAKAVEEELEKAMSAYGYEIAQTLIVDIEPDVHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVATQIREGLLQAAHQ >KJB19311 pep chromosome:Graimondii2_0_v6:3:29698232:29701666:1 gene:B456_003G094600 transcript:KJB19311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFCCVQVGQSTVAIKERFGRFEDVLEPGCHCLPWFLGSQVAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLTDTRKQIQAYVFDVIRASVPKLNLDDVFEQKTEIAKAVEEELEKAMSAYGYEIAQTLIVDIEPDVHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVATQIREGLLQAAHQ >KJB19314 pep chromosome:Graimondii2_0_v6:3:29698275:29701666:1 gene:B456_003G094600 transcript:KJB19314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFCCVQVGQSTVAIKERFGRFEDVLEPGCHCLPWFLGSQVAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLTDTRKQIQAYVFDVIRASVPKLNLDDVFEQKTEIAKAVEEELEKAMSAYGYEIAQTLIVDIEPDVHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVATQIREGLLQAAHQ >KJB20982 pep chromosome:Graimondii2_0_v6:3:44760783:44762104:-1 gene:B456_003G176200 transcript:KJB20982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSEVISALQYIKQYLLGEFSPVELGSVSSGNQWLPESKPRVSTPGSESLLSSDSSLTVSNFNCLDDDDDFFQFSTDFPGFQFESKPQIIDLTTPKSLSLNAGAFEFEVKPQIAQISTTKHKVSSNSSSQNRKRSLKISLPHKMEQIQFGKSDLAQAEPRVSNSEDKKHYRGVRQRPWGKFAAEIRDPTRRGSRMWLGTFETAIEAAKAYDRTAFKLRGSKAILNFPLEAGTSDTSADDLERKRSRDDGEREERQVKAVKREKDDEVTKTRENGEVPLTPSNWKTFLDWDNDQKGFFNVPLLSPLSFPQVVVI >KJB19128 pep chromosome:Graimondii2_0_v6:3:21702940:21706294:1 gene:B456_003G085700 transcript:KJB19128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSMLGDLPSFDPHNFSQLRPSDPSNPSKVVPTTYRPTHSRTSPPPDQGIEQIFSFSFFSLRKQYSILS >KJB19126 pep chromosome:Graimondii2_0_v6:3:21702839:21706294:1 gene:B456_003G085700 transcript:KJB19126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSMLGDLPSFDPHNFSQLRPSDPSNPSKVVPTTYRPTHSRTSPPPDQVITTEAKNILIRNFYQRAEEKLRPKRAATEHPTPEHGCKQPRASTTWS >KJB19127 pep chromosome:Graimondii2_0_v6:3:21702940:21706263:1 gene:B456_003G085700 transcript:KJB19127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSMLGDLPSFDPHNFSQLRPSDPSNPSKVVPTTYRPTHSRTSPPPDQGIEQIFSFSFFSLRKQYSILS >KJB19929 pep chromosome:Graimondii2_0_v6:3:37302990:37304708:-1 gene:B456_003G125200 transcript:KJB19929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECHRRPNRSDVHLSVEEEAKLEEQTRDYFDHTAPKRHTKPQRSDYSSNYVDALAAADSGIPEYLEFQRLENDPQKIVYNGSEVREEFVETEYYKDLNCVDKHHHTTGTGFIKVENDNGRNFNLEPDLDTSCHASCKGNPATNDWIPAALDAAYVASDKPNRSDQ >KJB19928 pep chromosome:Graimondii2_0_v6:3:37302990:37304662:-1 gene:B456_003G125200 transcript:KJB19928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECHRRPNRSDVHLSVEEEAKLEEQTRDYFDHTAPKRHTKPQRSDYSSNYVDALAAADSGIPEYLEFQRLENDPQKIVYNGSEVREEFVETEYYKDLNCVDKHHHTTGTGFIKVENDNGRNFNLEPDLDTSCHASCKGNPATNDWIPAALDAVKITNRTLIHLIS >KJB17997 pep chromosome:Graimondii2_0_v6:3:2555967:2560346:-1 gene:B456_003G028400 transcript:KJB17997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSGLLNCYLDSCKRHEVCPNSAVLSWFSEAKVQKSCQEKCNIVVSLNRLKNDDIPPLIDVFLALDLSDIDSVDVLDHGSTCVLSEEHILSLMNATKLKLRLIDLSSTSLKKDFLRDLCHVGLPCRILKLRSNNIQKLNMVGRFMRLHTLNLDFCTLLTSLQKDCFSCMPNLMCLSMCETRVANLWTTTEVLAELPSLLELRFQNCLCCKDTGPCNLSSREKGSLNVINTCSYSAGETTIGFLSLQESFMAPEVHRKTDISSKVDERKFSGYLQDRSEGSPSPLHNLRVSLKPQNEVQYESKNVSGTSNKDIWHHPSPICFEKHYRAYMVASLPRLAVLDNLPITKKEKETSKTIVSEFYEYLPYKHNRKKNVVNILQKRETGTSGVHYRNSSKLKQTYCYGKSQCFFSRSLSANRFGSSMWPSLHPVSSFSHIYKEENKRLRPRQFEYHPSNSSLMAFGTLDGEIVVVNHETGNLVGYNSSIGMINSVLGLCWLKKYPSKLVAGFDNGCLKLFDVNHMPAKLGDRSCGSGAVHFEDFEQLTSVHVNSTDDQFLASGYSKGVALYDISTGKRLQVFTNMHREPINVAKFAHYSPCMFATSSFDKDVKMWDLREKPENPCYTTSSSRGNVMVCFSPDDLYLLVSAIDNEVKQLLAVDGRLHTNFNITSTGSAHNYTRSYYMNGRDYIISGSCDEHAVRICCAQTGRRLRDVYIEGTESGKSIFVQSLRGDPFRPFNFSVLTSTMRPTAKQEIIKVNLLASSHDAARDQGIYPYCGL >KJB17998 pep chromosome:Graimondii2_0_v6:3:2556258:2560119:-1 gene:B456_003G028400 transcript:KJB17998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSGLLNCYLDSCKRHEVCPNSAVLSWFSEAKVQKSCQEKCNIVVSLNRLKNDDIPPLIDVFLALDLSDIDSVDVLDHGSTCVLSEEHILSLMNATKLKLRLIDLSSTSLKKDFLRDLCHVGLPCRILKLRSNNIQKLNMVGRFMRLHTLNLDFCTLLTSLQKDCFSCMPNLMCLSMCETRVANLWTTTEVLAELPSLLELRFQNCLCCKDTGPCNLSSREKGSLNVINTCSYSAGETTIGFLSLQESFMAPEVHRKTDISSKVDERKFSGYLQDRSEGSPSPLHNLRVSLKPQNEQVQYESKNVSGTSNKDIWHHPSPICFEKHYRAYMVASLPRLAVLDNLPITKKEKETSKTIVSEFYEYLPYKHNRKKNVVNILQKRETGTSGVHYRNSSKLKQTYCYGKSQCFFSRSLSANRFGSSMWPSLHPVSSFSHIYKEENKRLRPRQFEYHPSNSSLMAFGTLDGEIVVVNHETGNLVGYNSSIGMINSVLGLCWLKKYPSKLVAGFDNGCLKLFDVNHMPAKLGDRSCGSGAVHFEDFEQLTSVHVNSTDDQFLASGYSKGVALYDISTGKRLQVFTNMHREPINVAKFAHYSPCMFATSSFDKDVKMWDLREKPENPCYTTSSSRGNVMVCFSPDDLYLLVSAIDNEVKQLLAVDGRLHTNFNITSTGSAHNYTRSYYMNGRDYIISGSCDEHAVRICCAQTGRRLRDVYIEGTESGKSIFVQSLRGDPFRPFNFSVLTSTMRPTAKQEIIKVNLLASSHDAARDQGIYPYCGL >KJB18425 pep chromosome:Graimondii2_0_v6:3:7987393:7988760:1 gene:B456_003G052300 transcript:KJB18425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYSTLPVIYKIIFLSFSAHLTSSSNSQLPFEIFSTNLKDYRNVWRKQEEGFERTIVVAKAKKINVTKKALIQGLIKPSRMSKGITGVKKGLLIVF >KJB18426 pep chromosome:Graimondii2_0_v6:3:7987811:7990153:1 gene:B456_003G052300 transcript:KJB18426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYSTLPVIYKIIFLSFSAHLTSSSNSQLPFEIFSTNLKDYRNVWRKQEEGFERTIVVAKAKKINVTKKALIQGLIKPSRMSKGCLRVTVFLNDTGTSDDMDKSVKCNELLLFNDAKHIMIDHGTDNNKINWALKDK >KJB18427 pep chromosome:Graimondii2_0_v6:3:7987811:7990507:1 gene:B456_003G052300 transcript:KJB18427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYSTLPVIYKIIFLSFSAHLTSSSNSQLPFEIFSTNLKDYRNVWRKQEEGFERTIVVAKAKKINVTKKALIQGLIKPSRMSKGSQE >KJB18386 pep chromosome:Graimondii2_0_v6:3:7156415:7158033:-1 gene:B456_003G050000 transcript:KJB18386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIRLNADVKFQQGREGDELLERFTNLKGKEKVDDLDCSWLSLLNGVEASTSSESKKLQKLNQMVFPKFVARLVTEMENKKMIKKEGLKSCHEAGVEEGKQDYLIDKQKKQKTSIDRKKK >KJB17923 pep chromosome:Graimondii2_0_v6:3:2159928:2160545:-1 gene:B456_003G024600 transcript:KJB17923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFINPPPLPPQRANKLESESPQQPPMMLPLSQLYKQRSWSPDINRDEAWLRRKGNIEKRRSKSVTDEDLDELKACIELGFGFEFDSTELDQRLSSTFPALGLYYAVNKNYNDVVSKSVSSPSAASDCDSIPSPVGSPHAIFDPADPPQTVKTRLKQWAQVVACSVRQSSR >KJB17924 pep chromosome:Graimondii2_0_v6:3:2159607:2160742:-1 gene:B456_003G024600 transcript:KJB17924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NIPKSTPAIELPTPAGEVPPDLLGGAAVSMSNFINPPPLPPQRANKLESESPQQPPMMLPLSQLYKQRSWSPDINRDEAWLRRKGNIEKRRSKSVTDEDLDELKACIELGFGFEFDSTELDQRLSSTFPALGLYYAVNKNYNDVVSKSVSSPSAASDCDSIPSPVGSPHAIFDPDGEDKAEAMGTSGCLFGEAKLTVMMMVFKLKG >KJB18242 pep chromosome:Graimondii2_0_v6:3:5232217:5233305:1 gene:B456_003G042000 transcript:KJB18242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSKFFPSVEIPNEGCQKTLKNCNELLPTLPQSKAWWCDQLFQYQGFWIPSFGIRGSMLINDHFKPRSTDIIVATFPKCGTTWLRALVFSIINRSSFDFSDHPLRKANPQELVLFFEGYLHKYGSTSFVDGLPSPRLLSTHLPYSLFPTRMTDDTSACRFVYICRDPKDVFVSKWHFANKLRPKELPPLSLEEAFDLFCKGISEYGPFWDHGLGYWEASVESPKKVLFLKYEDVKKEPLGCVRKLAGFLGVPFTPEEENNETVAEIVKLCSFESLSNQNVNKSQTSSGGRPVGNSDFFRKGEVGDWVNHLSPKMVEKLNQITEQKLNSSESGL >KJB19452 pep chromosome:Graimondii2_0_v6:3:31594445:31596027:-1 gene:B456_003G103100 transcript:KJB19452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIHLIGGGRVFSSGGGGGGGDRRLRLQHQQQNNQALKCPRCDSLNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKAKRSKTKPSSESTTVAAAAPAQPQSQQLLDQRKANSHSSSDSSSLIAANSNVAVANKNNNDSSTGGMAEAVSAVTSHSNNINVSESKLYGNPNNLVFEAGLLEQGSESGVFPEIGSFTSLVTSSNNETSSLGFGTVLNGQGQWQQQKMMSVGGEEITGELLDQTMQVELSNLHGRSESGFGPLDWQGCGDQPFLDLANDVDQSYWSQSQWSDQDHTALYLPILLMAYLNSINVEKNIDFRF >KJB17558 pep chromosome:Graimondii2_0_v6:3:303166:306946:-1 gene:B456_003G005500 transcript:KJB17558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSKQVIYLYGDLDLTIIEARKLPNMDLVSNHLRKCLTCETCKAPSQAAAAQEPGEVGKVHHHHKIMTSDPYVTVTVPQSTLARTRVLKSADNPEWNERFIIPMAHPLTELEINVKDDDLLGAEVIGTTKFLAQKIATGERITGWFPLIGSSGKPPKPTTAIHIDMKFTPCEENPLYKQSLASDPEQGGVRHTYFPMRKGNKVTLYQDAHVPDGMLPKIELDDGKVFNQGKCWEDLCYAISEAHHMIYIAGWSVFHKVKLVREPTRPLPRGGDLNLGELLKYKSEEGVRVLLLVWDDKTSDKFGIRKVTSFPACSFYCFSYESLTLMLIHVRGVLQMGLMQTHDEETLKFFKHSSVMCVLAGRYAASKLGYFKQKVVGSMFTHHQKFALVDTQAAGNNRKITAFVGGIDLCDGRYDTPEHRILHDLDTIFKDDFHNPTFSAGIKAPRQPWHDLHTRIEGPAAYDVLINFEQRWRESTKWKDFCLLCAGKMPSNDDALIRIERISWILSPSLAVTDHGTTIIPEDDPKLHVLSIDDRDNWDVQIFRSIDSGSVKGFPRPMRKPENQNLLVSKNVVIEKSIQTAYIQAIRSAQHYIYIENQYFLGSSYAWPSYKDAGADHLIPMELALKVASKIRARERFAVYIVIPLWPEGDTKSLSVQEILYWQSQTMQMMYDIVARELKSMQITDSHPQDYLNFYCLGKREEVTPEMLGGKGTSVIT >KJB17561 pep chromosome:Graimondii2_0_v6:3:302033:307331:-1 gene:B456_003G005500 transcript:KJB17561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSKQVIYLYGDLDLTIIEARKLPNMDLVSNHLRKCLTCETCKAPSQAAAAQEPGEVGKVHHHHKIMTSDPYVTVTVPQSTLARTRVLKSADNPEWNERFIIPMAHPLTELEINVKDDDLLGAEVIGTTKFLAQKIATGERITGWFPLIGSSGKPPKPTTAIHIDMKFTPCEENPLYKQSLASDPEQGGVRHTYFPMRKGNKVTLYQDAHVPDGMLPKIELDDGKVFNQGKCWEDLCYAISEAHHMIYIAGWSVFHKVKLVREPTRPLPRGGDLNLGELLKYKSEEGVRVLLLVWDDKTSDKFGIRKMGLMQTHDEETLKFFKHSSVMCVLAGRYAASKLGYFKQKVVGSMFTHHQKFALVDTQAAGNNRKITAFVGGIDLCDGRYDTPEHRILHDLDTIFKDDFHNPTFSAGIKAPRQPWHDLHTRIEGPAAYDVLINFEQRWRESTKWKDFCLLCAGKMPSNDDALIRIERISWILSPSLAVTDHGTTIIPEDDPKLHVLSIDDRDNWDVQIFRSIDSGSVKGFPRPMRKPENQNLLVSKNVVIEKSIQTAYIQAIRSAQHYIYIENQYFLGSSYAWPSYKDAGADHLIPMELALKVASKIRARERFAVYIVIPLWPEGDTKSLSVQEILYWQSQTMQMMYDIVARELKSMQITDSHPQDYLNFYCLGKREEVTPEMLGGKGTSVSDSAKFGRFMIYVHAKGMVIDDEYVIVGSANINQRSMAGTKDSEIAMAAYQPHYTWAEKKKHPRGQVYGYRMSLWAEHLGELNKCFKEPETLECVKTVNTVAEDNWKKFTDTDYSALQGHLMRYPLEVDIDGKVKPLPGYENFPDVGGKVIGTHSVKLPDILTT >KJB17560 pep chromosome:Graimondii2_0_v6:3:302122:307229:-1 gene:B456_003G005500 transcript:KJB17560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSKQVIYLYGDLDLTIIEARKLPNMDLVSNHLRKCLTCETCKAPSQAAAAQEPGEVGKVHHHHKIMTSDPYVTVTVPQSTLARTRVLKSADNPEWNERFIIPMAHPLTELEINVKDDDLLGAEVIGTTKFLAQKIATGERITGWFPLIGSSGKPPKPTTAIHIDMKFTPCEENPLYKQSLASDPEQGGVRHTYFPMRKGNKVTLYQDAHVPDGMLPKIELDDGKVFNQGKCWEDLCYAISEAHHMIYIAGWSVFHKVKLVREPTRPLPRGGDLNLGELLKYKSEEGVRVLLLVWDDKTSDKFGIRKMGLMQTHDEETLKFFKHSSVMCVLAGRYAASKLGYFKQKVVGSMFTHHQKFALVDTQAAGNNRKITAFVGGIDLCDGRYDTPEHRILHDLDTIFKDDFHNPTFSAGIKAPRQPWHDLHTRIEGPAAYDVLINFEQRWRESTKWKDFCLLCAGKMPSNDDALIRIERISWILSPSLAVTDHGTTIIPEDDPKLHVLSIDDRDNWDVQVIFRSIDSGSVKGFPRPMRKPENQNLLVSKNVVIEKSIQTAYIQAIRSAQHYIYIENQYFLGSSYAWPSYKDAGADHLIPMELALKVASKIRARERFAVYIVIPLWPEGDTKSLSVQEILYWQSQTMQMMYDIVARELKSMQITDSHPQDYLNFYCLGKREEVTPEMLGGKGTSVSDSAKFGRFMIYVHAKGMVIDDEYVIVGSANINQRSMAGTKDSEIAMAAYQPHYTWAEKKKHPRGQVYGYRMSLWAEHLGELNKCFKEPETLECVKTVNTVAEDNWKKFTDTDYSALQGHLMRYPLEVDIDGKVKPLPGYENFPDVGGKVIGTHSVKLPDILTT >KJB17559 pep chromosome:Graimondii2_0_v6:3:302128:307229:-1 gene:B456_003G005500 transcript:KJB17559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSKQVIYLYGDLDLTIIEARKLPNMDLVSNHLRKCLTCETCKAPSQAAAAQEPGEVGKVHHHHKIMTSDPYVTVTVPQSTLARTRVLKSADNPEWNERFIIPMAHPLTELEINVKDDDLLGAEVIGTTKFLAQKIATGERITGWFPLIGSSGKPPKPTTAIHIDMKFTPCEENPLYKQSLASDPEQGGVRHTYFPMRKGNKVTLYQDAHVPDGMLPKIELDDGKVFNQGKCWEDLCYAISEAHHMIYIAGWSVFHKVKLVREPTRPLPRGGDLNLGELLKYKSEEGVRVLLLVWDDKTSDKFGIRKMGLMQTHDEETLKFFKHSSVMCVLAGRYAASKLGYFKQKVVGSMFTHHQKFALVDTQAAGNNRKITAFVGGIDLCDGRYDTPEHRILHDLDTIFKDDFHNPTFSAGIKAPRQPWHDLHTRIEGPAAYDVLINFEQRWRESTKWKDFCLLCAGKMPSNDDALIRIERISWILSPSLAVTDHGTTIIPEDDPKLHVLSIDDRDNWDVQIFRSIDSGSVKGFPRPMRKPENQNLLVSKNVVIEKSIQTAYIQAIRSAQHYIYIENQYFLGSSYAWPSYKDAGADHLIPMELALKVASKIRARERFAVYIVIPLWPEGDTKSLSVQEILYWQSQTMQMMYDIVARELKSMQITDSHPQDYLNFYCLGKREEVTPEMLGGKGTSVSDSAKFGRFMIYVHAKGMVIDDEYVIVGSANINQRSMAGTKDSEIAMAAYQPHYTWAEKKKHPRGQVYGYRMSLWAEHLGELNKCFKEPETLECVKTVNTVAEDNWKKFTDTDYSALQGHLMRYPLEVDIDGKVKPLPGYENFPDVGGKVIGTHSVKLPDILTT >KJB18403 pep chromosome:Graimondii2_0_v6:3:7359988:7364708:-1 gene:B456_003G050800 transcript:KJB18403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSCNGGLVVTFASPTSKVCMNTSISCSSSHLSIPSPNEHSNDSTSNNSTFSYSRASPSERWPHLQIQLAETYPLSQTHFSPTSPQLTHVVKEAELSLESSKSETLEVSDETQEKLGRVSKTRVKKMSKLALKRAKDWRERVKFLTDRILGLEQDQFVADVLDDRKVQMTPTDFCFLVKYVGQENWQRALEVYEWLNLKNWYSPNARMLATILAVLGKANQEILAVEIFTRAEPAVGNTVQVYNAMMGVYARNGRFQKVQELLDLMRKRGCEPDLVSFNTLINARLKAGAMVPDLAIKLLNEVRRSGLRPDIITYNTLISACSRESNLEETMKVFDDMDSHNCQPDLWTYNAMISVYGRCGMAYKAEQLFRDLESKGFFPDAVTYNSLLYAFAREGNVDKVKEICEEMIEMGFGRDEMTYNTIIHMYGKQGQHELGLQLYKDMKLSGRSPDVVTYTVLIDSLGKANKIKEASNLMSEMLDAGVKPTVRTYSALICGYAKTGMAVEAEDTFNCMRKSGVRPDFLAYSVMLDILLRCNEITKAWVLYQEMVRDGFTPDNILYEVMLQALTKENKVEEIKMVVGDMKELCGMNLQTVSSFLVKGECYDLAVQMLRLGISNGEALDDENLLSILSSYSSSGRNKEACELLEFLKEHTEGPSKLITEALVVVLCEACQLDAALKEYSNARESGSFSRSSTMYESLIRCCEENKLLTEASQIFTEMRFCGVEPSECIMKCMIRVYCKMGFPETAHCLINQAEVQGLLLDNSIIYVDVIEAYGKVKLWQKAESVVGNVRQRYMNVDRKIWNALIQAYAASGCYERARAVFNTMMRDGPSPTVDSINGLLEALVVDGRLSEIYVVIEEMQDMGFKISKSSILLMLDAFAEAGNLFEVKKIYSGMKAAGYFPTMHLYRIMIRLFCKGKRVRDVEAMVSEMEEAGFKPDLSIWNSMLKLYSGIEDYKRTAQIYQQIIEAGLEPDEDTYNTLIIMYCRDRRPEEGLSLMHEMRKVGLEPKLDTFKSLISGFGKQELLEQAEELFNDLLLKGYKLDRSFYHTMMKIYRNSGNHSKAENLLSMMKKAGVKPTIATMHLLMVSYGSSGQPQQAEKVLANLKEIGLNLTTLPYSSLINAYLQNGDYNVGIQKLMEMKMEGLEVDHRIWTCFIRAASLSQHTSEAIVLLNALRNAGFDLPIRLMTEKSELLFSELESCLDKLEPVEDSAAFNFVNSLVDLLWAFEHRATASWVFQLAVKKNIYRHDVFRYILALIG >KJB18404 pep chromosome:Graimondii2_0_v6:3:7356389:7364826:-1 gene:B456_003G050800 transcript:KJB18404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSCNGGLVVTFASPTSKVCMNTSISCSSSHLSIPSPNEHSNDSTSNNSTFSYSRASPSERWPHLQIQLAETYPLSQTHFSPTSPQLTHVVKEAELSLESSKSETLEVSDETQEKLGRVSKTRVKKMSKLALKRAKDWRERVKFLTDRILGLEQDQFVADVLDDRKVQMTPTDFCFLVKYVGQENWQRALEVYEWLNLKNWYSPNARMLATILAVLGKANQEILAVEIFTRAEPAVGNTVQVYNAMMGVYARNGRFQKVQELLDLMRKRGCEPDLVSFNTLINARLKAGAMVPDLAIKLLNEVRRSGLRPDIITYNTLISACSRESNLEETMKVFDDMDSHNCQPDLWTYNAMISVYGRCGMAYKAEQLFRDLESKGFFPDAVTYNSLLYAFAREGNVDKVKEICEEMIEMGFGRDEMTYNTIIHMYGKQGQHELGLQLYKDMKLSGRSPDVVTYTVLIDSLGKANKIKEASNLMSEMLDAGVKPTVRTYSALICGYAKTGMAVEAEDTFNCMRKSGVRPDFLAYSVMLDILLRCNEITKAWVLYQEMVRDGFTPDNILYEVMLQALTKENKVEEIKMVVGDMKELCGMNLQTVSSFLVKGECYDLAVQMLRLGISNGEALDDENLLSILSSYSSSGRNKEACELLEFLKEHTEGPSKLITEALVVVLCEACQLDAALKEYSNARESGSFSRSSTMYESLIRCCEENKLLTEASQIFTEMRFCGVEPSECIMKCMIRVYCKMGFPETAHCLINQAEVQGLLLDNSIIYVDVIEAYGKVKLWQKAESVVGNVRQRYMNVDRKIWNALIQAYAASGCYERARAVFNTMMRDGPSPTVDSINGLLEALVVDGRLSEIYVVIEEMQDMGFKISKSSILLMLDAFAEAGNLFEVKKIYSGMKAAGYFPTMHLYRIMIRLFCKGKRVRDVEAMVSEMEEAGFKPDLSIWNSMLKLYSGIEDYKRTAQIYQQIIEAGLEPDEDTYNTLIIMYCRDRRPEEGLSLMHEMRKVGLEPKLDTFKSLISGFGKQELLEQAEELFNDLLLKGYKLDRSFYHTMMKIYRNSGNHSKAENLLSMMKKAGVKPTIATMHLLMVSYGSSGQPQQAEKVLANLKEIGLNLTTLPYSSLINAYLQNGDYNVGIQKLMEMKMEGLEVDHRIWTCFIRAASLSQHTSEAIVLLNALRNAGFDLPIRLMTEKSELLFSELESCLDKLEPVEDSAAFNFVNSLVDLLWAFEHRATASWVFQLAVKKNIYRHDVFRVADKDWGADFRKLSAGSALVALTLWLDHMQDASLQGYPESPKSVVLITGTSEYNMVSLNSTLKACLWEMGSPFLPCKTRSGLLVAKAHSLRMWLKDSPFCLDLELKNAPSLPELNSMQLIEGCFIRRGLVPAFKDITERLGLVRPKKFARLALLSDEKREKAIEADIEGGKEKLEKLKSKVGSKRTRVVKQLRKRKFIRRPLSNPN >KJB18402 pep chromosome:Graimondii2_0_v6:3:7356389:7364708:-1 gene:B456_003G050800 transcript:KJB18402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSCNGGLVVTFASPTSKVCMNTSISCSSSHLSIPSPNEHSNDSTSNNSTFSYSRASPSERWPHLQIQLAETYPLSQTHFSPTSPQLTHVVKEAELSLESSKSETLEVSDETQEKLGRVSKTRVKKMSKLALKRAKDWRERVKFLTDRILGLEQDQFVADVLDDRKVQMTPTDFCFLVKYVGQENWQRALEVYEWLNLKNWYSPNARMLATILAVLGKANQEILAVEIFTRAEPAVGNTVQVYNAMMGVYARNGRFQKVQELLDLMRKRGCEPDLVSFNTLINARLKAGAMVPDLAIKLLNEVRRSGLRPDIITYNTLISACSRESNLEETMKVFDDMDSHNCQPDLWTYNAMISVYGRCGMAYKAEQLFRDLESKGFFPDAVTYNSLLYAFAREGNVDKVKEICEEMIEMGFGRDEMTYNTIIHMYGKQGQHELGLQLYKDMKLSGRSPDVVTYTVLIDSLGKANKIKEASNLMSEMLDAGVKPTVRTYSALICGYAKTGMAVEAEDTFNCMRKSGEMVRDGFTPDNILYEVMLQALTKENKVEEIKMVVGDMKELCGMNLQTVSSFLVKGECYDLAVQMLRLGISNGEALDDENLLSILSSYSSSGRNKEACELLEFLKEHTEGPSKLITEALVVVLCEACQLDAALKEYSNARESGSFSRSSTMYESLIRCCEENKLLTEASQIFTEMRFCGVEPSECIMKCMIRVYCKMGFPETAHCLINQAEVQGLLLDNSIIYVDVIEAYGKVKLWQKAESVVGNVRQRYMNVDRKIWNALIQAYAASGCYERARAVFNTMMRDGPSPTVDSINGLLEALVVDGRLSEIYVVIEEMQDMGFKISKSSILLMLDAFAEAGNLFEVKKIYSGMKAAGYFPTMHLYRIMIRLFCKGKRVRDVEAMVSEMEEAGFKPDLSIWNSMLKLYSGIEDYKRTAQIYQQIIEAGLEPDEDTYNTLIIMYCRDRRPEEGLSLMHEMRKVGLEPKLDTFKSLISGFGKQELLEQAEELFNDLLLKGYKLDRSFYHTMMKIYRNSGNHSKAENLLSMMKKAGVKPTIATMHLLMVSYGSSGQPQQAEKVLANLKEIGLNLTTLPYSSLINAYLQNGDYNVGIQKLMEMKMEGLEVDHRIWTCFIRAASLSQHTSEAIVLLNALRNAGFDLPIRLMTEKSELLFSELESCLDKLEPVEDSAAFNFVNSLVDLLWAFEHRATASWVFQLAVKKNIYRHDVFRVADKDWGADFRKLSAGSALVALTLWLDHMQDASLQGYPESPKSVVLITGTSEYNMVSLNSTLKACLWEMGSPFLPCKTRSGLLVAKAHSLRMWLKDSPFCLDLELKNAPSLPELNSMQLIEGCFIRRGLVPAFKDITERLGLVRPKKFARLALLSDEKREKAIEADIEGGKEKLEKLKSKVGSKRTRVVKQLRKRKFIRRPLSNPN >KJB19992 pep chromosome:Graimondii2_0_v6:3:38020093:38024197:-1 gene:B456_003G128600 transcript:KJB19992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAHHASLGRRTLEEIRQKRAAERISKASSGLDLTKAPIPNDVQGMKKSESENRLSEVDISGLVSQLKDLQKKNAELEENNQMLSVELQAKEAEHETLQTRFNDLEQNTVPSLRKALKDVAMEKDAAVVAREDLSAQLRMLKKRLKEAEDEQYRVNAAALRAELNSMQQQLISNSFSGINAMGSSPDQVQALENELARLKSELQQESSLRQQERQQLAEEQARVSALTSEKQELEERLTAMSKRSSEVASEKGMRKEFSVEDKEKLEKQLHDMAVAVERLENSRQKLLMEIDNQSSEIERLFEENSNLSSSYQEAMNTAKQWENQVKDCLKQNEELRRILDNLRTEQASLLSKNGSGAIQTGSQGNTTEILSLKEQLVQEQSRADSLSAEVMQLSARLQQATLAYNSLARLYKPVLRNIESSLIKMKQDGSITI >KJB19991 pep chromosome:Graimondii2_0_v6:3:38020044:38024341:-1 gene:B456_003G128600 transcript:KJB19991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAHHASLGRRTLEEIRQKRAAERISKASSGLDLTKAPIPNDVQGMKKSESENRLSEVDISGLVSQLKDLQKKNAELEENNQMLSVELQAKEAEHETLQTRFNDLEQNTVPSLRKALKDVAMEKDAAVVAREDLSAQLRMLKKRLKEAEDEQYRAEEDAAALRAELNSMQQQLISNSFSGINAMGSSPDQVQALENELARLKSELQQESSLRQQERQQLAEEQARVSALTSEKQELEERLTAMSKRSSEVASEKGMRKEFSVEDKEKLEKQLHDMAVAVERLENSRQKLLMEIDNQSSEIERLFEENSNLSSSYQEAMNTAKQWENQVKDCLKQNEELRRILDNLRTEQASLLSKNGSGAIQTGSQGNTTEILSLKEQLVQEQSRADSLSAEVMQLSARLQQATLAYNSLARLYKPVLRNIESSLIKMKQDGSITI >KJB19993 pep chromosome:Graimondii2_0_v6:3:38020093:38024197:-1 gene:B456_003G128600 transcript:KJB19993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAHHASLGRRTLEEIRQKRAAERISKASSGLDLTKAPIPNDVQGMKKSESENRLSEVDISGLVSQLKDLQKKNAELEENNQMLSVELQAKEAEHETLQTRFNDLEQNTVPSLRKALKDVAMEKDAAVVAREDLSAQLRMLKKRLKEAEDEQYRAEEDAAALRAELNSMQQQLISNSFSGINAMGSSPDQVQALENELARLKSELQQESSLRQQERQQLAEEQARVSALTSEKQELEERLTAMSKRSSASEKGMRKEFSVEDKEKLEKQLHDMAVAVERLENSRQKLLMEIDNQSSEIERLFEENSNLSSSYQEAMNTAKQWENQVKDCLKQNEELRRILDNLRTEQASLLSKNGSGAIQTGSQGNTTEILSLKEQLVQEQSRADSLSAEVMQLSARLQQATLAYNSLARLYKPVLRNIESSLIKMKQDGSITI >KJB19994 pep chromosome:Graimondii2_0_v6:3:38021120:38024066:-1 gene:B456_003G128600 transcript:KJB19994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAHHASLGRRTLEEIRQKRAAERISKASSGLDLTKAPIPNDVQGMKKSESENRLSEVDISGLVSQLKDLQKKNAELEENNQMLSVELQAKEAEHETLQTRFNDLEQNTVPSLRKALKDVAMEKDAAVVAREDLSAQLRMLKKRLKEAEDEQYRAEEDAAALRAELNSMQQQLISNSFSGINAMGSSPDQVQALENELARLKSELQQESSLRQQERQQLAEEQARVSALTSEKQELEERLTAMSKRSSEVASEKGMRKEFSVEDKEKLEKQLHDMAVAVERLENSRQKLLMEIDNQSSEIERLFEENSNLSSSYQEAMNTAKQWENQVKIS >KJB17479 pep chromosome:Graimondii2_0_v6:3:111645:120535:1 gene:B456_003G002000 transcript:KJB17479 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MGSIKPLPDAVRSTVRSATILFDLTRVVEELIFNSLDAAASKVSVFLSVGSSYVKVVDDGSGISRDGLVLLGERYVTSKLNHLSDLDAASSSFGFRGEALASVSDVALVEIVTKAYGRPNGYRKVIKGSKCLYLGIDDDRKDTGTTVVVRDLFYNQPVRKKQMLSSPKKVLHSVKKCVFRIALVHPMVYFNLIDIESGLGIEDCACLQKLNASDGSLKLSGYISGPCDDFTSKGPIHKLLNNMATSFESLHPEKKANNWTKNGKRSRSQVVPSYIINISCPPSLYDLTFEPSKTYVEFKDWRPVCTLIETAVQHLWRKNISYADGLGQAETLKEDANILNVAEDFFDGSSVDLEFATRKRTKKYQPSSSLDKLTIDNLFLMDHEDTPFEECNGNTAQFKDQQNDMKFVHWTDYSFQSLGDFLSKGGSIVNQRSDWHLWSSDNNILAEDHFLENRFIASGRPNYHVNNNNISSKLGNESLKFESCVTNETVRSVFPFDSHELCNDLQFRKNISKPFMQSCSFQRNRPLDRELVESDVGVDSPIDSLKTKRKWVCSNDGFNMMEVDFGDQTFDHLSKTAWQDGPKLVRTNNIPTDCDVLRRASVKLFLSCGDVSVEENGLVSGSVMLAENLASGHQSLSLGLCSGTSNPFAQFSYQNAIEGSFRSEKRTNFGHFSDGEDEDNQFGVDLISRGSSQEKCIYDFPNTEQGIGYAESSREFSEHLQQYNLKHKFSPEQFNVVIENGDWPWSDSSINEYKRQRDCFRYQDFGKNFSPKERSRRSQSAPPFYIRKRRFISLHHCLQTSEESTSNEVHGPYTFLETGDKKPPQRSSGLHNRCFEPNFGKNRSNMNNKPDKVQSPIVQKCDKIEQPYCREDPELVPVQVFPSYGNQDPLISGTKWRKTRNNKLYDADNEDTLLDIASGFLNLAAGSLVPKSISKKCLTEAKVLQQVDKKFIPIVADGTLAIIDQHAADERIQLEELRRKVLSGEGKSVAYLDTKRELILPEMGYQLLHNYSEQIRHWGWICDFHTQDSRSFKKNLNLIHRKPAVVILLAVPCILGVNLSDVDLLEFLHQLADTDGSSTMPPSVSRILNSKACRGAIMFGDSLLPSECSLIVEELKQTSLCFQCAHGRPTTAPLVNLEALHGQIAKMQVRDDEGRELWHGLCRQGVSLERASLRLRAAADEIPN >KJB17477 pep chromosome:Graimondii2_0_v6:3:111645:118731:1 gene:B456_003G002000 transcript:KJB17477 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MGSIKPLPDAVRSTVRSATILFDLTRVVEELIFNSLDAAASKVSVFLSVGSSYVKVVDDGSGISRDGLVLLGERYVTSKLNHLSDLDAASSSFGFRGEALASVSDVALVEIVTKAYGRPNGYRKVIKGSKCLYLGIDDDRKDTGTTVVVRDLFYNQPVRKKQMLSSPKKVLHSVKKCVFRIALVHPMVYFNLIDIESGLGIEDCACLQKLNASDGSLKLSGYISGPCDDFTSKGPIHKLLNNMATSFESLHPEKKANNWTKNGKRSRSQVVPSYIINISCPPSLYDLTFEPSKTYVEFKDWRPVCTLIETAVQHLWRKNISYADGLGQAETLKEDANILNVAEDFFDGSSVDLEFATRKRTKKYQPSSSLDKLTIDNLFLMDHEDTPFEECNGNTAQFKDQQNDMKFVHWTDYSFQSLGDFLSKGGSIVNQRSDWHLWSSDNNILAEDHFLENRFIASGRPNYHVNNNNISSKLGNESLKFESCVTNETVRSVFPFDSHELCNDLQFRKNISKPFMQSCSFQRNRPLDRELVESDVGVDSPIDSLKTKRKWVCSNDGFNMMEVDFGDQTFDHLSKTAWQDGPKLVRTNNIPTDCDVLRRASVKLFLSCGDVSVEENGLVSGSVMLAENLASGHQSLSLGLCSGTSNPFAQFSYQNAIEGSFRSEKRTNFGHFSDGEDEDNQFGVDLISRGSSQEKCIYDFPNTEQGIGYAESSREFSEHLQQYNLKHKFSPEQFNVVIENGDWPWSDSSINEYKRQRDCFRYQDFGKNFSPKERSRRSQSAPPFYIRKRRFISLHHCLQTSEESTSNEVHGPYTFLETGDKKPPQRSSGLHNRCFEPNFGKNRSNMNNKPDKVQSPIVQKCDKIEQPYCREDPELVPVQVFPSYGNQDPLISGTKWRKTRNNKLYDADNEDTLLDIASGFLNLAAGSLVPKSISKKCLTEAKVLQQVDKKFIPIVADGTLAIIDQHAADERIQLEELRRKVLSGEGKSVAYLDTKRELVCLV >KJB17475 pep chromosome:Graimondii2_0_v6:3:111645:117262:1 gene:B456_003G002000 transcript:KJB17475 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MGSIKPLPDAVRSTVRSATILFDLTRVVEELIFNSLDAAASKVSVFLSVGSSYVKVVDDGSGISRDGLVLLGERYVTSKLNHLSDLDAASSSFGFRGEALASVSDVALVEIVTKAYGRPNGYRKVIKGSKCLYLGIDDDRKDTGTTVVVRDLFYNQPVRKKQMLSSPKKVLHSVKKCVFRIALVHPMVYFNLIDIESGLGIEDCACLQKLNASDGSLKLSGYISGPCDDFTSKGPIHKLLNNMATSFESLHPEKKANNWTKNGKRSRSQVVPSYIINISCPPSLYDLTFEPSKTYVEFKDWRPVCTLIETAVQHLWRKNISYADGLGQAETLKEDANILNVAEDFFDGSSVDLEFATRKRTKKYQPSSSLDKLTIDNLFLMDHEDTPFEECNGNTAQFKDQQNDMKFVHWTDYSFQSLGDFLSKGGSIVNQRSDWHLWSSDNNILAEDHFLENRFIASGRPNYHVNNNNISSKLGNESLKFESCVTNETVRSVFPFDSHELCNDLQFRKNISKPFMQSCSFQRNRPLDRELVESDVGVDSPIDSLKTKRKWVCSNDGFNMMEVDFGDQTFDHLSKTAWQDGPKLVRTNNIPTDCDVLRRASVKLFLSCGDVSVEENGLVSGSVMLAENLASGHQSLSLGLCSGTSNPFAQFSYQNAIEGSFRSEKRTNFGHFSDGEDEDNQFGVDLISRGSSQEKCIYDFPNTEQGIGYAESSREFSEHLQQYNLKHKFSPEQFNVVIENGDWPWSDSSINEYKRQRDCFRYQDFGKNFSPKERSRRSQSAPPFYIRKRRFISLHHCLQTSEESTSNEVHGPYTFLETGDKKPPQRSSGLHNRCFEPNFGKNRSNMNNKPDKVQSPIVQKCDKIEQPYCREDPELVPVQGISVLSPEEILVFKHKFLQNN >KJB17476 pep chromosome:Graimondii2_0_v6:3:111721:116828:1 gene:B456_003G002000 transcript:KJB17476 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MGSIKPLPDAVRSTVRSATILFDLTRVVEELIFNSLDAAASKVSVFLSVGSSYVKVVDDGSGISRDGLVLLGERYVTSKLNHLSDLDAASSSFGFRGEALASVSDVALVEIVTKAYGRPNGYRKVIKGSKCLYLGIDDDRKDTGTTVVVRDLFYNQPVRKKQMLSSPKKVLHSVKKCVFRIALVHPMVYFNLIDIESGLGIEDCACLQKLNASDGSLKLSGYISGPCDDFTSKGPIHKLLNNMATSFESLHPEKKANNWTKNGKRSRSQVVPSYIINISCPPSLYDLTFEPSKTYVEFKDWRPVCTLIETAVQHLWRKNISYADGLGQAETLKEDANILNVAEDFFDGSSVDLEFATRKRTKKYQPSSSLDKLTIDNLFLMDHEDTPFEECNGNTAQFKDQQNDMKFVHWTDYSFQSLGDFLSKGGSIVNQRSDWHLWSSDNNILAEDHFLENRFIASGRPNYHVNNNNISSKLGNESLKFESCVTNETVRSVFPFDSHELCNDLQFRKNISKPFMQSCSFQRNRPLDRELVESDVGVDSPIDSLKTKRKWVCSNDGFNMMEVDFGDQTFDHLSKTAWQDGPKLVRTNNIPTDCDVLRRASVKLFLSCGDVSVEENGLVSGSVMLAENLASGHQSLSLGLCSGTSNPFAQFSYQNAIEGSFRSEKRTNFGHFSDGEDEDNQFGVDLISRGSSQEKCIYDFPNTEQGIGYAESSREFSEHLQQYNLKHKFSPEQFNVVIENGDWPWSDSSINEYKRQRDCFRYQDFGKNFSPKERSRRSQSAPPFYIRKRRFISLHHCLQTSEESTSNEVHGPYTFLGDYVMYISV >KJB17478 pep chromosome:Graimondii2_0_v6:3:111645:120524:1 gene:B456_003G002000 transcript:KJB17478 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MGSIKPLPDAVRSTVRSATILFDLTRVVEELIFNSLDAAASKVSVFLSVGSSYVKVVDDGSGISRDGLVLLGERYVTSKLNHLSDLDAASSSFGFRGEALASVSDVALVEIVTKAYGRPNGYRKVIKGSKCLYLGIDDDRKDTGTTVVVRDLFYNQPVRKKQMLSSPKKVLHSVKKCVFRIALVHPMVYFNLIDIESGLGIEDCACLQKLNASDGSLKLSGYISGPCDDFTSKGPIHKLLNNMATSFESLHPEKKANNWTKNGKRSRSQVVPSYIINISCPPSLYDLTFEPSKTYVEFKDWRPVCTLIETAVQHLWRKNISYADGLGQAETLKEDANILNVAEDFFDGSSVDLEFATRKRTKKYQPSSSLDKLTIDNLFLMDHEDTPFEECNGNTAQFKDQQNDMKFVHWTDYSFQSLGDFLSKGGSIVNQRSDWHLWSSDNNILAEDHFLENRFIASGRPNYHVNNNNISSKLGNESLKFESCVTNETVRSVFPFDSHELCNDLQFRKNISKPFMQSCSFQRNRPLDRELVESDVGVDSPIDSLKTKRKWVCSNDGFNMMEVDFGDQTFDHLSKTAWQDGPKLVRTNNIPTDCDVLRRASVKLFLSCGDVSVEENGLVSGSVMLAENLASGHQSLSLGLCSGTSNPFAQFSYQNAIEGSFRSEKRTNFGHFSDGEDEDNQFGVDLISRGSSQEKCIYDFPNTEQGIGYAESSREFSEHLQQYNLKHKFSPEQFNVVIENGDWPWSDSSINEYKRQRDCFRYQDFGKNFSPKERSRRSQSAPPFYIRKRRFISLHHCLQTSEESTSNEVHGPYTFLETGDKKPPQRSSGLHNRCFEPNFGKNRSNMNNKPDKVQSPIVQKCDKIEQPYCREDPELVPVQVFPSYGNQDPLISGTKWRKTRNNKLYDADNEDTLLDIASGFLNLAAGSLVPKSISKKCLTEAKVLQQVDKKFIPIVADGTLAIIDQHAADERIQLEELRRKVLSGEGKSVAYLDTKRELILPEMGYQLLHNYSEQIRHWGWICDFHTQDSRSFKKNLNLIHRKPAVVILLALADTDGSSTMPPSVSRILNSKACRGAIMFGDSLLPSECSLIVEELKQTSLCFQCAHGRPTTAPLVNLEALHGQIAKMQVRDDEGRELWHGLCRQGVSLERASLRLRAAADEIPN >KJB18868 pep chromosome:Graimondii2_0_v6:3:17417148:17419972:-1 gene:B456_003G073100 transcript:KJB18868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIELQLKAELLSPGGKIPKQGVENWLKGAKEMIAEAQDVEIKVRNGRYLCRAWNGKLVDEKTREMKEFLDKAPNASEALAMDGPSAGLPLPTSKLVGEEAARKEIWACLMQEEHIHNDLLKEQRFERVIWVTISKEFNVMKVQDDIASALKLKEDLAIEGDKLRRAAILSEMLKKVGKHVLILDDVWDEVSLEEVGILEPSDSNGFKLVLTTRSEHVCKYMGCTVIKVKPLSAQQALTLFLSKVGPNIVQNKIVMPILRLVVEECAGLPLTIVVVASTFKGEEDPLIWKNALKELKERIEKVEGVEAKVIERLKFSFDHLKDEKMQHCFLYCAFYPEDFQIWKDELIECWIEEGFIDDMGTRQEMKEKGHVILKKLEENCLLENITNIRNQSCIKMHDAVREMALSITRINPRYMIKAEISIDVLPTKCQLLTTLLLQNNPIKKISISFFTNMPCLSVLNLAFTKIESLPNSISELKNLTTLLLRGCEEIRDLPCLSMLQELKKLDLSVTKIEEVPEGMDMLIKLRYLDLRVRSLKEIPAGLLPKLVHLQHLSFEVDNEKTSLKAEEMEPLKKLECFTGRFEDIGELNKFISSMQQSKKNLIKYYLQVGSSLMGSTTDKVVTIGGVQNWEGELIMHPIEIQELIILRCDYLRNLVDDNSSFKNAIDLRICSIWGCKGIECVVPLSSFASSSAHPFQSLEVLNLQWLPKLSALIMNDEGIGSATTSTLVPSATFSHLKKITIVNCSSMKTLLPHWLLPNLQNLEDIHVVACIQLVEILGGETSEVEEKGSDALIKFLLPKLRVLSFSKLPNLKSICSKSGVMVCDSLQLVHVLGGCNKLKRIPPFVPLVGNGQPFAYAPPSLTIKSWEEWWESLEWDDDRNFKNVLRFSPFGSF >KJB19834 pep chromosome:Graimondii2_0_v6:3:36197433:36198965:-1 gene:B456_003G120800 transcript:KJB19834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFCFLLLFALGFFLFSFFVYFMRESKSFYAKKSVLMSSNTEPRSYPFLGSLISFYKNQYRLLDWYTELLSESPCQTIVVSRLGARRTVVTANPANVEHVLKTNFNNFPKGKPFTEILGDLLGCGIFNVDGELWSTQRKLASHEFNTKSLREFLVKSLHEEVENRLLPLLEEAMLTEKVIDFQDVLRRFAFDTVSKVSFGQDPCCLDLSRPVPPLVKAFDSASEICAMRGMAPVFLVWKMKRAFNIGSEKKLKQAVQFVHGCVLEIIRNKKRALEVETSAETEDLLSRLLSAGHDEEVVRDMMISFIMAGRDTTSSALTWLFWLLSKHPNAEKMMVKEVKSMVGNDEKRLDFQLLKEMNCVKACLCESMRLYPPVAWDSKHAVNDDVLPDGTFVGKGDRVTYFPYGMGRMEELWGKDRLEFKPDRWFEEPGAEHGLLKAVSAFNFPVFQAGPRVCLGKEMAFIQMKYVVASILRRFEIRVVCQEEPVLVPLLTAHMAGGLKVVVRRRELK >KJB19188 pep chromosome:Graimondii2_0_v6:3:23829378:23837841:-1 gene:B456_003G087900 transcript:KJB19188 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MLSSDGSDNHPQSGTENCLNAVAGVGWYILGEDQQNVGPYAISELREHFLNGYLTESTLAWSEGRSQWQPLSSIPEFVSVISHQANNFSATGDDDAFLNSMKEGDNSNAVPSNDGDGSDEFEKWQREIREAEAETERLKTGSVSRSTGDAFGFDDQDRPLTPPEGEEEFTDDDGTRYKWDRNLRAWVPQDDMSTKNGNYGVEEMTFLEEDEVFPTISAIDASAAVADASVRENVNGGGEQTEVNCNAKRKLLEKPVDKKEANKPPDSWFQLKVNTHVYVTGLPDDVTAEELVEVFSKCGIIKEDPETKRPRVKIYVDKETGRKKGDALVTYLKEPSVALAVQILDGTPFRPDGKIPMSVSQAKFEQKGDKFIAKQVDSRKKKKLKKVEERMLSWGGRDDAKVTIPATVVLRNMFTPAEMRADENLCSELEEDVKEECLKLGLLDSVKVI >KJB19184 pep chromosome:Graimondii2_0_v6:3:23828929:23837570:-1 gene:B456_003G087900 transcript:KJB19184 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MLSSDGSDNHPQSGTENCLNAVAGVGWYILGEDQQNVGPYAISELREHFLNGYLTESTLAWSEGRSQWQPLSSIPEFVSVISHQANNFSATGDDDAFLNSMKEGDNSNAVPSNDGDGSDEFEKWQREIREAEAETERLKTGSVSRSTGDAFGFDDQDRPLTPPEGEEEFTDDDGTRYKWDRNLRAWVPQDDMSTKNGNYGVEEMTFLEEDEVFPTISAIDASAAVADASVRENVNGGGEQTEVNCNAKRKLLEKPVDKKEANKPPDSWFQLKVNTHVYVTGLPDDVTAEELVEVFSKCGIIKEDPETKRPRVKIYVDKETGRKKGDALVTYLKEPSVALAVQILDGTPFRPDGKIPMSVSQAKFEQKGDKFIAKQVDSRKKKKLKKVEERMLSWGGRDDAKVTIPATVVLRNMFTPAEMRADENLCSELEEDVKEECLKLGLLDSVKVCSNNPQGVVLVKYKDRKDAQKCIELMNGRW >KJB19189 pep chromosome:Graimondii2_0_v6:3:23830962:23837841:-1 gene:B456_003G087900 transcript:KJB19189 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MLSSDGSDNHPQSGTENCLNAVAGVGWYILGEDQQNVGPYAISELREHFLNGYLTESTLAWSEGRSQWQPLSSIPEFVSVISHQANNFSATGDDDAFLNSMKEGDNSNAVPSNDGDGSDEFEKWQREIREAEAETERLKTGSVSRSTGDAFGFDDQDRPLTPPEGEEEFTDDDGTRYKWDRNLRAWVPQDDMSTKNGNYGVEEMTFLEEDEVFPTISAIDASAAVADASVRENVNGGGEQTEVNCNAKRKLLEKPVDKKEANKPPDSWFQLKVNTHVYVTGLPDDVTAEELVEVFSKCGIIKEDPETKRPRVKIYVDKETGRKKGDALVTYLKEPSVALAVQILDGTPFRPDGKIPMSVSQAKFEQKGDKFIAKQVDSRKKKKLKKVEERMLSWGEFSICLLW >KJB19187 pep chromosome:Graimondii2_0_v6:3:23828054:23837900:-1 gene:B456_003G087900 transcript:KJB19187 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MLSSDGSDNHPQSVAGVGWYILGEDQQNVGPYAISELREHFLNGYLTESTLAWSEGRSQWQPLSSIPEFVSVISHQANNFSATGDDDAFLNSMKEGDNSNAVPSNDGDGSDEFEKWQREIREAEAETERLKTGSVSRSTGDAFGFDDQDRPLTPPEGEEEFTDDDGTRYKWDRNLRAWVPQDDMSTKNGNYGVEEMTFLEEDEVFPTISAIDASAAVADASVRENVNGGGEQTEVNCNAKRKLLEKPVDKKEANKPPDSWFQLKVNTHVYVTGLPDDVTAEELVEVFSKCGIIKEDPETKRPRVKIYVDKETGRKKGDALVTYLKEPSVALAVQILDGTPFRPDGKIPMSVSQAKFEQKGDKFIAKQVDSRKKKKLKKVEERMLSWGGRDDAKVTIPATVVLRNMFTPAEMRADENLCSELEEDVKEECLKLGLLDSVKVCSNNPQGVVLVKYKDRKDAQKCIELMNGRWFGGRQIHASEDDGVVNHALVRDLDEDASRLEQFGAELEAE >KJB19183 pep chromosome:Graimondii2_0_v6:3:23828353:23837570:-1 gene:B456_003G087900 transcript:KJB19183 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MLSSDGSDNHPQSVAGVGWYILGEDQQNVGPYAISELREHFLNGYLTESTLAWSEGRSQWQPLSSIPEFVSVISHQANNFSATGDDDAFLNSMKEGDNSNAVPSNDGDGSDEFEKWQREIREAEAETERLKTGSVSRSTGDAFGFDDQDRPLTPPEGEEEFTDDDGTRYKWDRNLRAWVPQDDMSTKNGNYGVEEMTFLEEDEVFPTISAIDASAAVADASVRENVNGGGEQTEVNCNAKRKLLEKPVDKKEANKPPDSWFQLKVNTHVYVTGLPDDVTAEELVEVFSKCGIIKEDPETKRPRVKIYVDKETGRKKGDALVTYLKEPSVALAVQILDGTPFRPDGKIPMSVSQAKFEQKGDKFIAKQVDSRKKKKLKKVEERMLSWGGRDDAKVTIPATVVLRNMFTPAEMRADENLCSELEEDVKEECLKLGLLDSVKVCSNNPQGVVLVKYKDRKDAQKCIELMNGRWFGGRQIHASEDDGVVNHALVRDLDEDASRLEQFGAELEAE >KJB19185 pep chromosome:Graimondii2_0_v6:3:23828054:23837841:-1 gene:B456_003G087900 transcript:KJB19185 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MLSSDGSDNHPQSVAGVGWYILGEDQQNVGPYAISELREHFLNGYLTESTLAWSEGRSQWQPLSSIPEFVSVISHQANNFSATGDDDAFLNSMKEGDNSNAVPSNDGDGSDEFEKWQREIREAEAETERLKTGSVSRSTGDAFGFDDQDRNLRAWVPQDDMSTKNGNYGVEEMTFLEEDEVFPTISAIDASAAVADASVRENVNGGGEQTEVNCNAKRKLLEKPVDKKEANKPPDSWFQLKVNTHVYVTGLPDDVTAEELVEVFSKCGIIKEDPETKRPRVKIYVDKETGRKKGDALVTYLKEPSVALAVQILDGTPFRPDGKIPMSVSQAKFEQKGDKFIAKQVDSRKKKKLKKVEERMLSWGGRDDAKVTIPATVVLRNMFTPAEMRADENLCSELEEDVKEECLKLGLLDSVKVCSNNPQGVVLVKYKDRKDAQKCIELMNGRWFGGRQIHASEDDGVVNHALVRDLDEDASRLEQFGAELEAE >KJB19182 pep chromosome:Graimondii2_0_v6:3:23828000:23838019:-1 gene:B456_003G087900 transcript:KJB19182 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MLSSDGSDNHPQSGTENCLNAVAGVGWYILGEDQQNVGPYAISELREHFLNGYLTESTLAWSEGRSQWQPLSSIPEFVSVISHQANNFSATGDDDAFLNSMKEGDNSNAVPSNDGDGSDEFEKWQREIREAEAETERLKTGSVSRSTGDAFGFDDQDRPLTPPEGEEEFTDDDGTRYKWDRNLRAWVPQDDMSTKNGNYGVEEMTFLEEDEVFPTISAIDASAAVADASVRENVNGGGEQTEVNCNAKRKLLEKPVDKKEANKPPDSWFQLKVNTHVYVTGLPDDVTAEELVEVFSKCGIIKEDPETKRPRVKIYVDKETGRKKGDALVTYLKEPSVALAVQILDGTPFRPDGKIPMSVSQAKFEQKGDKFIAKQVDSRKKKKLKKVEERMLSWGGRDDAKVTIPATVVLRNMFTPAEMRADENLCSELEEDVKEECLKLGLLDSVKVCSNNPQGVVLVKYKDRKDAQKCIELMNGRWFGGRQIHASEDDGVVNHALVRDLDEDASRLEQFGAELEAE >KJB19186 pep chromosome:Graimondii2_0_v6:3:23828054:23837841:-1 gene:B456_003G087900 transcript:KJB19186 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MLSSDGSDNHPQSGTENCLNAVAGVGWYILGEDQQNVGPYAISELREHFLNGYLTESTLAWSEGRSQWQPLSSIPEFVSVISHQANNFSATGDDDAFLNSMKEGDNSNAVPSNDGDGSDEFEKWQREIREAEAETERLKTGSVSRSTGDAFGFDDQDRPLTPPEGEEEFTDDDGTRYKWDRNLRAWVPQDDMSTKNGNYGVEEMTFLEEDEVFPTISAIDASAAVADASVRENVNGGGEQTEVNCNAKRKLLEKPVDKKEANKPPDSWFQLKVNTHVYVTGLPDDLVEVFSKCGIIKEDPETKRPRVKIYVDKETGRKKGDALVTYLKEPSVALAVQILDGTPFRPDGKIPMSVSQAKFEQKGDKFIAKQVDSRKKKKLKKVEERMLSWGGRDDAKVTIPATVVLRNMFTPAEMRADENLCSELEEDVKEECLKLGLLDSVKVCSNNPQGVVLVKYKDRKDAQKCIELMNGRWFGGRQIHASEDDGVVNHALVRDLDEDASRLEQFGAELEAE >KJB20504 pep chromosome:Graimondii2_0_v6:3:42026527:42030408:-1 gene:B456_003G152200 transcript:KJB20504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEAGLNLKLNKKLKVSLGKPKNDINRDATKPSVEKDGVIGGKTNSESRRLKDDGRRMRVDSHKNLEATHKKVSSGKHSKTVKGALNKERKSYGKASPPLERATSRNPKNGGTRAKEIEIDSTPNDSSKKHANARANSTKKVVRVRKSLKTDSEVVDDKPKKKKRVIRLDPYDISNKRLDDGIATDESKKDKKKDLEENAAMSKNAQFRGIQPSPSILSFVEDNLLGRRRSIEIQRAGYNTELSAPLDNIPFSTNPERERIEENIFRNKLQFFAAAKVSSSFPAPDSPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQSINFFQLGSKLCLVDLPGYGFAYAKEEVKEAWEELVKEYVSTRVGLKRVCLLIDTKWGMKPRDNELIDLMERSQTKYQIVLTKTDTVFPIDVARRAMQIEEGLKANRSVVQPVMMVSSKSGAGIRSLRTVLSKIARFAKI >KJB20506 pep chromosome:Graimondii2_0_v6:3:42025823:42030606:-1 gene:B456_003G152200 transcript:KJB20506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEAGLNLKLNKKLKVSLGKPKNDINRDATKPSVEKDGVIGGKTNSESRRLKDDGRRMRVDSHKNLEATHKKVSSGKHSKTVKGALNKERKSYGKASPPLERATSRNPKNGGTRAKEIEIDSTPNDSSKKHANARANSTKKVVRVRKSLKTDSEVVDDKPKKKKRVIRLDPYDISNKRLDDGIATDESKKDKKKDLEENAAMSKNAQFRGIQPSPSILSFVEDNLLGRRRSIEIQRAGYNTELSAPLDNIPFSTNPERERIEENIFRNKLQFFAAAKVSSSFPAPDSPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQSINFFQLGSKLCLVDLPGYGFAYAKEEVKEAWEELVKEYVSTRVGLKRVCLLIDTKWGMKPRDNELIDLMERSQTKYQIVLTKTDTVFPIDVARRAMQIEEGLKANRSVVQPVMMVSSKSGAGIRSLRTVLSKIARFAKI >KJB20502 pep chromosome:Graimondii2_0_v6:3:42025761:42030636:-1 gene:B456_003G152200 transcript:KJB20502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEAGLNLKLNKKLKVSLGKPKNDINRDATKPSVEKDGVIGGKTNSESRRLKDDGRRMRVDSHKNLEATHKKVSSGKHSKTVKGALNKERKSYGKASPPLERATSRNPKNGGTRAKEIEIDSTPNDSSKKHANARANSTKKVVRVRKSLKTDSEVVDDKPKKKKRVIRLDPYDISNKRLDDGIATDESKKDKKKDLEENAAMSKNAQFRGIQPSPSILSFVEDNLLGRRRSIEIQRAGYNTELSAPLDNIPFSTNPERERIEENIFRNKLQFFAAAKVSSSFPAPDSPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQSINFFQLGSKLCLVDLPGYGFAYAKEEVKEAWEELVKEYVSTRVGLKRVCLLIDTKWGMKPRDNELIDLMERSQTKYQIVLTKTDTVFPIDVARRAMQIEEGLKANRSVVQPVMMVSSKSGAGIRSLRTVLSKIARFAKI >KJB20505 pep chromosome:Graimondii2_0_v6:3:42025823:42030576:-1 gene:B456_003G152200 transcript:KJB20505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEAGLNLKLNKKLKVSLGKPKNDINRDATKPSVEKDGVIGGKTNSESRRLKDDGRRMRVDSHKNLEATHKKVSSGKHSKTVKGALNKERKSYGKASPPLERATSRNPKNGGTRAKEIEIDSTPNDSSKKHANARANSTKKVVRVRKSLKTDSEVVDDKPKKKKRVIRLDPYDISNKRLDDGIATDESKKDKKKDLEENAAMSKNAQFRGIQPSPSILSFVEDNLLGRRRSIEIQRAGYNTELSAPLDNIPFSTNPERERIEENIFRNKLQFFAAAKVSSSFPAPDSPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQVLSIFLNCVLVSWEFIFFVEVTKAILLQSINFFQLGSKLCLVDLPGYGFAYAKEEVKEAWEELVKEYVSTRVGLKRVCLLIDTKWGMKPRDNELIDLMERSQTKYQIVLTKTDTVFPIDVARRAMQIEEGLKANRSVVQPVMMVSSKSGAGIRSLRTVLSKIARFAKI >KJB20503 pep chromosome:Graimondii2_0_v6:3:42025823:42030576:-1 gene:B456_003G152200 transcript:KJB20503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEAGLNLKLNKKLKVSLGKPKNDINRDATKPSVEKDGVIGGKTNSESRRLKDDGRRMRVDSHKNLEATHKKVSSGKHSKTVKGALNKERKSYGKASPPLERATSRNPKNGGTRAKEIEIDSTPNDSSKKHANARANSTKKVVRVRKSLKTDSEVVDDKPKKKKRVIRLDPYDISNKRLDDGIATDESKKDKKKDLEENAAMSKNAQFRGIQPSPSILSFVEDNLLGRRRSIEIQRAGYNTELSAPLDNIPFSTNPERERIEENIFRNKLQFFAAAKVSSSFPAPDSPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQSINFFQLGSKLCLVDLPGYGFAYAKEEVKEAWEELVKEYVSTRVGLKRVCLLIDTKWGMKPRDNELIDLMERSQTKYQIVLTKTDTVFPIDVARRAMQIEEGLKANRSVVQPVMMVSSKSGAGIRSLRTVLSKIARFAKI >KJB20507 pep chromosome:Graimondii2_0_v6:3:42026527:42030408:-1 gene:B456_003G152200 transcript:KJB20507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEAGLNLKLNKKLKVSLGKPKNDINRDATKPSVEKDGVIGGKTNSESRRLKDDGRRMRVDSHKNLEATHKKVSSGKHSKTVKGALNKERKSYGKASPPLERATSRNPKNGGTRAKEIEIDSTPNDSSKKHANARANSTKKVVRVRKSLKTDSEVVDDKPKKKKRVIRLDPYDISNKRLDDGIATDESKKDKKKDLEENAAMSKNAQFRGIQPSPSILSFVEDNLLGRRRSIEIQRAGYNTELSAPLDNIPFSTNPERERIEENIFRNKLQFFAAAKVSSSFPAPDSPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQSINFFQLGSKLCLVDLPGYGFAYAKEEVKEAWEELVKEYVSTRVGLKRVCLLIDTKWGMKPRDNELIDLMERSQTKYQIVLTKTDTVFPIDVARRAMQIEEGLKANRSVVQPVMMVSSKSGAGIRSLRTVLSKIARFAKI >KJB17855 pep chromosome:Graimondii2_0_v6:3:1481905:1482639:1 gene:B456_003G019400 transcript:KJB17855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTISPPVLHSLSVRRVRDALPGRSVKAQVSKPAPTVSLPNRRQLLFFLTATTALTVKEPPSKAEDIPLFGLRKKLKKAEEEAAEIVKEGIQTAENGLETAEIEIKTAEKEIESSVTFGALVQAGAVAGAELLGVVAATSFVNGILGADPQKS >KJB18187 pep chromosome:Graimondii2_0_v6:3:4008831:4012321:1 gene:B456_003G038100 transcript:KJB18187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSSKADKNEALRLCKERRRFIKQAIDSRYALAAAHVSYVQSLRNIGIALRRFAEAEVLIESSLLTSATEPDKTPSHSSYPSPSPSHLGADVCDSPLENESPISPVTTNLSYMRAGNSAALTVKVNPNNGGGCLEDESLAMAMAMPPPPPPPFESGSWDFFGPVDDSESFRFMGNNGVDLDFEDLRGWGEFRNKGFDHGGLDENNELNEGPESERKAVVEMSNSSATRKYSRGRSMEDDTFFIGLGGGNGGTRQINDKEVDHNVSGPSETLMSKSGLEQSSSKKGKAMADKDLSTEREDPSEFITHRAKDFLSSIKDIEHRFFRASEAGREVSRMLESNKIRVGYSEAEGGSSALLAALQPVCCRGRTGLVSHEPVLHVTKVIHWKRSASSRSSSSRNPLATASKDDADDSGSDFVEEFCMISGSHSSTLDRLYAWERKLYDEVKASESIRKEYDRRCDQLRHQFAKDISTQVIDKTRAVVKDLHSRIRVALHSVNTISKRIEKMRDEELQPQLVELTQG >KJB18186 pep chromosome:Graimondii2_0_v6:3:4008831:4012513:1 gene:B456_003G038100 transcript:KJB18186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSSKADKNEALRLCKERRRFIKQAIDSRYALAAAHVSYVQSLRNIGIALRRFAEAEVLIESSLLTSATEPDKTPSHSSYPSPSPSHLGADVCDSPLENESPISPVTTNLSYMRAGNSAALTVKVNPNNGGGCLEDESLAMAMAMPPPPPPPFESGSWDFFGPVDDSESFRFMGNNGVDLDFEDLRGWGEFRNKGFDHGGLDENNELNEGPESERKAVVEMSNSSATRKYSRGRSMEDDTFFIGLGGGNGGTRQINDKEVDHNVSGPSETLMSKSGLEQSSSKKGKAMADKDLSTEREDPSEFITHRAKDFLSSIKDIEHRFFRASEAGREVSRMLESNKIRVGYSEAEGGSSALLAALQPVCCRGRTGLVSHEPVLHVTKVIHWKRSASSRSSSSRNPLATASKDDADDSGSDFVEEFCMISGSHSSTLDRLYAWERKLYDEVKASESIRKEYDRRCDQLRHQFAKDISTQVIDKTRAVVKDLHSRIRVALHSVNTISKRIEKMRDEELQPQLVELTQGFLRMWKAMLECHHSQYITISLAYHARNSTDAPQGDARRQIMVQLQQEIECFGVSFTDWVNSHASYLEALNGWLQNCIIEPQERSKNRYPFSPHRYLGFGPPIFVLCREWSAGIKALPAEELSAAIKAFLSDICHLMDQQLEQQQKKDKSVDANNGESESRDGLNLLTNGDTTADVSSNLCCIQASLTRVLDKLNKFSEASVKMYEDVRQKSDAARIAYLKLQAN >KJB20760 pep chromosome:Graimondii2_0_v6:3:43231903:43233797:1 gene:B456_003G163000 transcript:KJB20760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPWIREASKACCSSSGCCPNPFLGFPHYVEASSSSSKPQSAAASRYQFETDEADKIRASEYPHLDSSVACFDYIGHGLFSYSQLQGSPFFDICCKSINLNSQLLYGEETEFQSGIKKRIMSFMNVSETDYTMVFTANQASAFKLLVESYPFGSNQNLLTVYDYQSEAIDVMIERAKKRGANCMSACFSWPNLKIQTEKLRKKIIKKRGLFVIPLQSKVTGSRYSYTWLSLAQENGWHVLLDATALGAKEMETLGLSLFDPDFLICSFFKVFGENPSGFCCLFIKKSIGSSVLKGSTTNVGIVSLVPPLKLEAPKGKAPLHEIEEIIDSRTSNTLQCKALDHADSLGLVLISSRTRSLINWLVNALMSLQHPHSETGIPAVKIYGPKVMFDRGPAVAFNVFDWKGERIDPALVQKLADRNNISLSIGCLQHIWFSDKHEEMKEKDKFQPGIDVVTAAIGYLTNFEDIYRLWVFVSRFLDADFLDKEKWRYKALNQRTIEI >KJB18161 pep chromosome:Graimondii2_0_v6:3:3813256:3818006:1 gene:B456_003G036600 transcript:KJB18161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVVNMSHWRRKLVLWMVMVIQLSLFLSVFSTEIHARKFLKDNHINTNDVRLHISDRLVVIDNGLVEVTIENPSGYLIGIKYKKIQNVLERRNHNSNRGYSNFLEYWDIVWDGNSYDKLETKHVEVITQTNDIVELSFTKTWNSKNHGKTIPLNIQKRYIVRRGISGVYMYGIFERQEDFPKAHMYQIRIAFKLKEDKFRFMALSDTIQRVMPRSEDRVKGHSKPLDFKEAVLLTNPSNPKLKGEVDDKYQYSTENKDNKLNGWISDHDSVGFWIMTPSNEFRTGGPHKQDLTSHVGPTALSMFVSTHYTGTEIDTFYKEGEAWKKVFGPVLIYLNSASSKDDDHRKIMWNDAKRQLSEEIESWPYNFTRSEDFPHSQQRGQVNGQLLVQDRYMDKQLMQAKSAFVGLAPPGEADSWQKEGKGYQFWTQTDEIGRFNIKNVRPGVYNLYAWVHGFIGSYKLDPDIIIQPGNKIELDTLIYDPPRNGPTLWEIGIPDRTAAEFFIPEPYPQYVNSITNDGADKFRQYGLWDRYSDIYRDSDLVYTVGTSNYSKDWFFAHVPRKIGDDRYRPTTWQIKYNLQDVNNRGTYTLQMAIAAASFAEVEVRFNDPNSDRPHFTTKRIGYDNAVPRHGIHGLYRLYSIEVPGYRFRKGSNIIYLTQTRSDNSFEAVMYDYIRLEGPNSLNN >KJB20859 pep chromosome:Graimondii2_0_v6:3:44008161:44009224:1 gene:B456_003G169400 transcript:KJB20859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein INAPERTURATE POLLEN1 [Source:Projected from Arabidopsis thaliana (AT4G22600) UniProtKB/Swiss-Prot;Acc:Q9SUV9] MGYYSKRKKQPPRKPLSHHRESPPPSSPSVVTTTIIFQTMPGPFSFLSRKKPTSLPFKDYYENWFNTLKNTLLPLLHQSLSSPSPSLLPFRRDLLLQHFLFYYDSLDQAAAVDVSQILFPSWRNSLETPFLFLGDLHPYLFTNLLRSFIDAANNNKTQNPESLDKPYQVLTAWINPPEKLILRIEQIECGLRLMVPPLISRVRKVQAAFIRKVAEKWDSNEGFKKKGTREAVKAEMEEMLDVLMGANRLRRSVIIEIVNAANVYQGALFLEGLAQFLVGFKDTALVGEFRRCKMMMMMDINGGGTHWGF >KJB20616 pep chromosome:Graimondii2_0_v6:3:42585065:42585482:1 gene:B456_003G156400 transcript:KJB20616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIMQNQEGKKVNKKGNGPVRISSLSHVGLERIKPVILPLLREGGLRCSVKPASRSALHLRNHETQSFHSSPSVQLLAWNGQARLGPTPDPILQASPMFHHLKAPTQVTSKRYQARRSECRTSGCI >KJB19029 pep chromosome:Graimondii2_0_v6:3:19933637:19936042:-1 gene:B456_003G081400 transcript:KJB19029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPERDPFPEFRLDSDAGATFVLESKGKWWHAGFHLTTAIVGPTILTLPYAFRGLGWGLGFLCLTVLGCVTFYSYYLMSKVLEHCEKAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVGIGAILLAGECLKIMYENLSPNGSLKLYEFIEMVTVVMIVLSQLPSFHSLRHINFASLLLCLGYTFLMVGACINAGLSKNAPPRDYSLEPSGSSRVFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYTVAFLTFYSAAVSGYWVFGNNSNSNILKSLMPDEGPSLAPTIVLGLAVVFVLLQLLAIGLVSHSYALFFHFHGVEVAFFLRLQNRFIHKLRMRSWKNNQLM >KJB19027 pep chromosome:Graimondii2_0_v6:3:19933105:19934915:-1 gene:B456_003G081400 transcript:KJB19027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYENLSPNGSLKLYEFIEMVTVVMIVLSQLPSFHSLRHINFASLLLCLGYTFLMVGACINAGLSKNAPPRDYSLEPSGSSRVFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYTVAFLTFYSAAVSGYWVFGNNSNSNILKSLMPDEGPSLAPTIVLGLAVVFVLLQLLAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRSLYVIFCGFMAAMLPFFGDISGVVGAIGFIPLDFILPMLLYNMTYKPSRSTLTYWINLSIIIVFTGVGIMGSFSSIRKLVLDANKFKLFSSDVVD >KJB19026 pep chromosome:Graimondii2_0_v6:3:19933031:19936407:-1 gene:B456_003G081400 transcript:KJB19026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPERDPFPEFRLDSDAGATFVLESKGKWWHAGFHLTTAIVGPTILTLPYAFRGLGWGLGFLCLTVLGCVTFYSYYLMSKVLEHCEKAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVGIGAILLAGECLKIMYENLSPNGSLKLYEFIEMVTVVMIVLSQLPSFHSLRHINFASLLLCLGYTFLMVGACINAGLSKNAPPRDYSLEPSGSSRVFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYTVAFLTFYSAAVSGYWVFGNNSNSNILKSLMPDEGPSLAPTIVLGLAVVFVLLQLLAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRSLYVIFCGFMAAMLPFFGDISGVVGAIGFIPLDFILPMLLYNMTYKPSRSTLTYWINLSIIIVFTGVGIMGSFSSIRKLVLDANKFKLFSSDVVD >KJB19028 pep chromosome:Graimondii2_0_v6:3:19933120:19936217:-1 gene:B456_003G081400 transcript:KJB19028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPERDPFPEFRLDSDAGATFVLESKGKWWHAGFHLTTAIVGPTILTLPYAFRGLGWGLGFLCLTVLGCVTFYSYYLMSKVLEHCEKAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVGIGAILLAGECLKIMYENLSPNGSLKLYEFIEMVTVVMIVLSQLPSFHSLRHINFASLLLCLGYTFLMVGACINAGLSKNAPPRDYSLEPSGSSRVFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYTVAFLTFYSAAVSGYWVFGNNSNSNILKSLMPDEGPSLAPTIVLGLAVVFVLLQLLAIGLLSFSDYKTGLFTSCV >KJB20780 pep chromosome:Graimondii2_0_v6:3:43440671:43445803:-1 gene:B456_003G164700 transcript:KJB20780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEMEIEPVFEVSEVDLDYEFDAAQFFDFTREESPSEASDAERWFESAPSYPPSPFVTKLVLGEGSLLENVTTPVKCKEVDDIGRLREDDSELEFSSMDASKREPWSLFPQLRDPLRRACASTGNFMKHEGSNGGIFTSIQKVLLKVLNRPFQLTTGLTTSNNIPDDKPKAESNVTKLFMPRSSTLMKPTASRLAKQNCPPQVASTRFKKLQVPNSDRSLVHSSGVGSQAAKRQKLEGGLTHKVNEVKQQTTFVHKVPKKDVTADRNTINIKPKLTIPREPALRTAHRAQRVLPKNGTKQEHVSSAMHKFKARPLNRKILEAPSLPLPKKSVPKLPEFQEFHLKTSERAVQLPSSVSSSSFQTNDYDKGFVKPCTNSTNRNGTREVRRLDSCDTNYNIKAHLLNKKIFSGKGDLGVSKNIKKETTAPMEYKIRGERRVPQNPPIELFSKLSLTSELQPSNGSQMKVPCPTFISTKGLKENRLISFQPEHEMMMHLAKEKLLVFG >KJB20785 pep chromosome:Graimondii2_0_v6:3:43441584:43445434:-1 gene:B456_003G164700 transcript:KJB20785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEMEIEPVFEVSEVDLDYEFDAAQFFDFTREESPSEASDAERWFESAPSYPPSPFVTKLVLGEGSLLENVTTPVKCKEVDDIGRLREDDSELEFSSMDASKRGSNGGIFTSIQKVLLKVLNRPFQLTTGLTTSNNIPDDKPKAESNVTKLFMPRSSTLMKPTASRLAKQNCPPQVASTRFKKLQVPNSDRSLVHSSGVGSQAAKRQKLEGGLTHKVNEVKQQTTFVHKVPKKDVTADRNTINIKPKLTIPREPALRTAHRAQRVLPKNGTKQEHVSSAMHKFKARPLNRKILEAPSLPLPKKSVPKLPEFQEFHLKTSERAVQLPSSVSSSSFQTNDYDKGFVKPCTNSTNRNGTREVRRLDSCDTNYNIKAHLLNKKIFSGKGDLGVSKNIKKETTAPMEYKIRGERRVPQNPPIELFSKLSLTSELQPSNGSQMKVPCPTFISTKVLLVFITL >KJB20782 pep chromosome:Graimondii2_0_v6:3:43441338:43445434:-1 gene:B456_003G164700 transcript:KJB20782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEMEIEPVFEVSEVDLDYEFDAAQFFDFTREESPSEASDAERWFESAPSYPPSPFVTKLVLGEGSLLENVTTPVKCKEVDDIGRLREDDSELEFSSMDASKRGSNGGIFTSIQKVLLKVLNRPFQLTTGLTTSNNIPDDKPKAESNVTKLFMPRSSTLMKPTASRLAKQNCPPQVASTRFKKLQVPNSDRSLVHSSGVGSQAAKRQKLEGGLTHKVNEVKQQTTFVHKVPKKDVTADRNTINIKPKLTIPREPALRTAHRAQRVLPKNGTKQEHVSSAMHKFKARPLNRKILEAPSLPLPKKSVPKLPEFQEFHLKTSERAVQLPSSVSSSSFQTNDYDKGFVKPCTNSTNRNGTREVRRLDSCDTNYNIKAHLLNKKIFSGKGDLGVSKNIKKETTAPMEYKIRGERRVPQNPPIELFSKLSLTSELQPSNGSQMKVPCPTFISTKGLKENRLISFQPEHEQMMMHLAKEKLLVFG >KJB20784 pep chromosome:Graimondii2_0_v6:3:43440671:43445803:-1 gene:B456_003G164700 transcript:KJB20784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEMEIEPVFEVSEVDLDYEFDAAQFFDFTREESPSEASDAERWFESAPSYPPSPFVTKLVLGEGSLLENVTTPVKCKEVDDIGRLREDDSELEFSSMDASKRGSNGGIFTSIQKVLLKVLNRPFQLTTGLTTSNNIPDDKPKAESNVTKLFMPRSSTLMKPTASRLAKQNCPPQVASTRFKKLQVPNSDRSLVHSSGVGSQAAKRQKLEGGLTHKVNEVKQQTTFVHKVPKKDVTADRNTINIKPKLTIPREPALRTAHRAQRVLPKNGTKQEHVSSAMHKFKARPLNRKILEAPSLPLPKKSVPKLPEFQEFHLKTSERAVQLPSSVSSSSFQTNDYDKGFVKPCTNSTNRNGTREVRRLDSCDTNYNIKAHLLNKKIFSGKGDLGVSKNIKKETTAPMEYKIRGERRVPQNPPIELFSKLSLTSELQPSNGSQMKVPCPTFISTKGLKENRLISFQPEHEMMMHLAKEKLLVFG >KJB20781 pep chromosome:Graimondii2_0_v6:3:43441584:43445434:-1 gene:B456_003G164700 transcript:KJB20781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEMEIEPVFEVSEVDLDYEFDAAQFFDFTREESPSEASDAERWFESAPSYPPSPFVTKLVLGEGSLLENVTTPVKCKEVDDIGRLREDDSELEFSSMDASKREPWSLFPQLRDPLRRACASTGNFMKHEGSNGGIFTSIQKVLLKVLNRPFQLTTGLTTSNNIPDDKPKAESNVTKLFMPRSSTLMKPTASRLAKQNCPPQVASTRFKKLQVPNSDRSLVHSSGVGSQAAKRQKLEGGLTHKVNEVKQQTTFVHKVPKKDVTADRNTINIKPKLTIPREPALRTAHRAQRVLPKNGTKQEHVSSAMHKFKARPLNRKILEAPSLPLPKKSVPKLPEFQEFHLKTSERAVQLPSSVSSSSFQTNDYDKGFVKPCTNSTNRNGTREVRRLDSCDTNYNIKAHLLNKKIFSGKGDLGVSKNIKKETTAPMEYKIRGERRVPQNPPIELFSKLSLTSELQPSNGSQMKVPCPTFISTKVLLVFITL >KJB20786 pep chromosome:Graimondii2_0_v6:3:43441338:43445434:-1 gene:B456_003G164700 transcript:KJB20786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEMEIEPVFEVSEVDLDYEFDAAQFFDFTREESPSEASDAERWFESAPSYPPSPFVTKLVLGEGSLLENVTTPVKCKEVDDIGRLREDDSELEFSSMDASKRGSNGGIFTSIQKVLLKVLNRPFQLTTGLTTSNNIPDDKPKAESNVTKLFMPRSSTLMKPTASRLAKQNCPPQVASTRFKKLQVPNSDRSLVHSSGVGSQAAKRQKLEGGLTHKVNEVKQQTTFVHKVPKKDVTADRNTINIKPKLTIPREPALRTAHRAQRVLPKNGTKQEHVSSAMHKFKARPLNRKILEAPSLPLPKKSVPKLPEFQEFHLKTSERAVQLPSSVSSSSFQTNDYDKGFVKPCTNSTNRNGTREVRRLDSCDTNYNIKAHLLNKKIFSGKGDLGVSKNIKKETTAPMEYKIRGERRVPQNPPIELFSKLSLTSELQPSNGSQMKVPCPTFISTKGLKENRLISFQPEHEMMMHLAKEKLLVFG >KJB20783 pep chromosome:Graimondii2_0_v6:3:43440671:43445803:-1 gene:B456_003G164700 transcript:KJB20783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEMEIEPVFEVSEVDLDYEFDAAQFFDFTREESPSEASDAERWFESAPSYPPSPFVTKLVLGEGSLLENVTTPVKCKEVDDIGRLREDDSELEFSSMDASKRGSNGGIFTSIQKVLLKVLNRPFQLTTGLTTSNNIPDDKPKAESNVTKLFMPRSSTLMKPTASRLAKQNCPPQVASTRFKKLQVPNSDRSLVHSSGVGSQAAKRQKLEGGLTHKVNEVKQQTTFVHKVPKKDVTADRNTINIKPKLTIPREPALRTAHRAQRVLPKNGTKQEHVSSAMHKFKARPLNRKILEAPSLPLPKKSVPKLPEFQEFHLKTSERAVQLPSSVSSSSFQTNDYDKGFVKPCTNSTNRNGTREVRRLDSCDTNYNIKAHLLNKKIFSGKGDLGVSKNIKKETTAPMEYKIRGERRVPQNPPIELFSKLSLTSELQPSNGSQMKGLKENRLISFQPEHEMMMHLAKEKLLVFG >KJB18128 pep chromosome:Graimondii2_0_v6:3:3573962:3578444:-1 gene:B456_003G035200 transcript:KJB18128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGNGVSTTPKSPLARIQTQKNGGICHDDSGKPVKAQTIDELHSLQKKRSAPTTPLDGVQGTFATISEDERQRQQLQSISASLASLTRGTGPKVVRGDPAGKVQSVSHVAHHHHIEAPTISVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVIDDSTQDELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPQNRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPMRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYGDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGR >KJB18127 pep chromosome:Graimondii2_0_v6:3:3574953:3578125:-1 gene:B456_003G035200 transcript:KJB18127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGNGVSTTPKSPLARIQTQKNGGICHDDSGKPVKAQTIDELHSLQKKRSAPTTPLDGVQGTFATISEDERQRQQLQSISASLASLTRGTGPKVVRGDPAGKVQSVSHVAHHHHIEAPTISVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVIDDSTQDELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPQNRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPMRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYGDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVCFYVFVLWFSRPLRVHNYQD >KJB18131 pep chromosome:Graimondii2_0_v6:3:3574444:3578125:-1 gene:B456_003G035200 transcript:KJB18131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGNGVSTTPKSPLARIQTQKNGGICHDDSGKPVKAQTIDELHSLQKKRSAPTTPLDGVQGTFATISEDERQRQQLQSISASLASLTRGTGPKVVRGDPAGKVQSVSHVAHHHHIEAPTISVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVIDDSTQDELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPQNRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPMRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYGDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGSGNRIKLPYTRKIIDAIHSGSLLNATYHKTEVFGLDIPTEIEGVPSEILHPENTVSCHPSLSLFFLWIRLDF >KJB18129 pep chromosome:Graimondii2_0_v6:3:3573962:3578444:-1 gene:B456_003G035200 transcript:KJB18129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGNGVSTTPKSPLARIQTQKNGGICHDDSGKPVKAQTIDELHSLQKKRSAPTTPLDGVQGTFATISEDERQRQQLQSISASLASLTRGTGPKVVRGDPAGKVQSVSHVAHHHHIEAPTISVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVIDDSTQDELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPQNRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPMRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYGDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVCFYVFVLWFSRPLRVHNYQD >KJB18130 pep chromosome:Graimondii2_0_v6:3:3573958:3578508:-1 gene:B456_003G035200 transcript:KJB18130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGNGVSTTPKSPLARIQTQKNGGICHDDSGKPVKAQTIDELHSLQKKRSAPTTPLDGVQGTFATISEDERQRQQLQSISASLASLTRGTGPKVVRGDPAGKVQSVSHVAHHHHIEAPTISVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVIDDSTQDELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPQNRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPMRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYGDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGSGNRIKLPYTRKIIDAIHSGSLLNATYHKTEVFGLDIPTEIEGVPSEILHPENTWADKKAYKETLLKLAGLFKKNFETFTNYKIGKDNKLTEEILAAGPNF >KJB18132 pep chromosome:Graimondii2_0_v6:3:3574755:3578125:-1 gene:B456_003G035200 transcript:KJB18132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGNGVSTTPKSPLARIQTQKNGGICHDDSGKPVKAQTIDELHSLQKKRSAPTTPLDGVQGTFATISEDERQRQQLQSISASLASLTRGTGPKVVRGDPAGKVQSVSHVAHHHHIEAPTISVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVIDDSTQDELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPQNRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPMRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYGDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGR >KJB18126 pep chromosome:Graimondii2_0_v6:3:3573962:3578444:-1 gene:B456_003G035200 transcript:KJB18126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGNGVSTTPKSPLARIQTQKNGGICHDDSGKPVKAQTIDELHSLQKKRSAPTTPLDGVQGTFATISEDERQRQQLQSISASLASLTRGTGPKVVRGDPAGKVQSVSHVAHHHHIEAPTISVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVIDDSTQDELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPQNRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPMRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYGDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGSGNRIKLPYTRKIIDAIHSGSLLNATYHKTEVFGLDIPTEIEGVPSEILHPENTWADKKAYKETLLKLAGLFKKNFETFTNYKIGKDNKLTEEILAAGPNF >KJB20714 pep chromosome:Graimondii2_0_v6:3:42967012:42969601:1 gene:B456_003G160700 transcript:KJB20714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNNQQQFTLEMTVVSAQGLKNTSSFLFTHRLRPFITITTFPPPLEAADLKSCRGFQTRVDDQGGVNPTWGDKFLVPIHHTFFANRYSCIYLQLFTKRLISGKAQLGWCQIPAADIGLPPVGSVRQLSYRLREADGTRTHGVVNVAVKLKVMPLGREVGSSWKESEYLTSGGTVGTGGTLGGIFSLFHF >KJB18930 pep chromosome:Graimondii2_0_v6:3:18231263:18234134:-1 gene:B456_003G075600 transcript:KJB18930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADGCDFAEKDPSGRYVRYDEILGKGAFKTVFKGFDEADGIEVAWNQVNIEDVLQTSEQLERLYSEVHLLKSLKHENIMKFYNSWVDDKNNSINMITELFTSGSLRQYRKKHKNVDLKAIKNWSRQILRGLHYLHSHNPPIIHRDLKCDNIFVNGNNGEVKIGDLGLATVMQQPTAQSVIGTPEFMAPELYDEEYNELVDIYSFGLCMLEMVTCDYPYNECKNPAQIYKKVSSGIKPASLGKVNDPQVKQFIEKCLLPASIRLPAAELLKDPFLLAETAKDVRGGPLQLPNVMPQLVNLIQPEPHPMDIVHPMDIDPNCKKLTKSINAAPRSSTLELQCFIENNEFRLKGEKNDDHTISLTLRIADRYGRARNIHFSFYLGSDTAISITEEMVEQLDLSNEDIIAIAELIDSMILQLVPYWKPSSGSLSDVTIKTVSEQGVFSELAVVKCQDTQESLSSDISTECDGVVASDGSNNKLMGSSGYSNGEYHTDSRTYDFGLDIECVYNHFGHKEVSDEENRCESFVINDSVNYSDTSYLMDSCSFASQDMSLSSICSMSVEDKDKFEELKFELDAINLQYQQCFQELMRLKNAAMENAKNRWITKKKVSVM >KJB19280 pep chromosome:Graimondii2_0_v6:3:28175509:28180135:1 gene:B456_003G092700 transcript:KJB19280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76570) UniProtKB/Swiss-Prot;Acc:Q9C9K1] MAQIGTTLGLSSTSSSFINGGAGDPRSLSSIFSFRPLTKLTTAKSSSFTSCRASWQEVSRCSFFIRLVGVLLFSAVPFTAVKAIANSPLGEALQRQLLKRKKEALANSSKFQALAQKARNESFWYGEDRPRWLGPIPYDYPEYLTGELPGDYGFDIAGLGKDPVDFQKYFNFEILHARWAMLAALGVVVPEILDLLGISHFAEPVWWRVGYSKLQGETLDYLGIPGLHLAGGQGVIIIAICQALLMVGPEYARYCGIEALEPLGIYLPGDLNYPGGVLFDPLKLSKDPAAFEELKVKEIKNGRLAMVAWLGFYFQAALTGKGPVQNLVEHLSDPFHNNLFSLLNSTSNT >KJB19279 pep chromosome:Graimondii2_0_v6:3:28175385:28180230:1 gene:B456_003G092700 transcript:KJB19279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76570) UniProtKB/Swiss-Prot;Acc:Q9C9K1] MAQIGTTLGLSSTSSSFINGGAGDPRSLSSIFSFRPLTKLTTAKSSSFTSCRASWQELVGVLLFSAVPFTAVKAIANSPLGEALQRQLLKRKKEALANSSKFQALAQKARNESFWYGEDRPRWLGPIPYDYPEYLTGELPGDYGFDIAGLGKDPVDFQKYFNFEILHARWAMLAALGVVVPEILDLLGISHFAEPVWWRVGYSKLQGETLDYLGIPGLHLAGGQGVIIIAICQALLMVGPEYARYCGIEALEPLGIYLPGDLNYPGGVLFDPLKLSKDPAAFEELKVKEIKNGRLAMVAWLGFYFQAALTGKGPVQNLVEHLSDPFHNNLFSLLNSTSNT >KJB17462 pep chromosome:Graimondii2_0_v6:3:94999:97760:1 gene:B456_003G001500 transcript:KJB17462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATDVSPHATKLEDGSFKSGFNSMEDENEDTLGNMTQANAAKPPRSLSAIRHCSSSAWLIEHESVMGAAGLKSPPSREDSCFLPVFRSGSCSEKGPKQYMEDEFICIDDLFQHLGPAASFPCLGAFYGVFDGHGGLDAASFTRKNILNFIVEDANFATGTKKAVKRAYVKADHALADAKSLDRSSGTTALTALILERTMIIANAGDSRAVLGKRGRAIELSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHIKGAKGSKSPLSCEPELEEILLTEEDEFLIMGCDGLWDVMSSQCAVTMVRKELMQHNDPERCSRALVKEALQRNTCDNLTVVVVCFSPDPPPKIEIPRSYKRRSISAEGLDLLKGVLNNE >KJB17463 pep chromosome:Graimondii2_0_v6:3:95271:97742:1 gene:B456_003G001500 transcript:KJB17463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGLKSPPSREDSCFLPVFRSGSCSEKGPKQYMEDEFICIDDLFQHLGPAASFPCLGAFYGVFDGHGGLDAASFTRKNILNFIVEDANFATGTKKAVKRAYVKADHALADAKSLDRSSGTTALTALILERTMIIANAGDSRAVLGKRGRAIELSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHIKGAKGSKSPLSCEPELEEILLTEEDEFLIMGCDGLWDVMSSQCAVTMVRKELMQHNDPERCSRALVKEALQRNTCDNLTVVVVCFSPDPPPKIEIPRSYKRRSISAEGLDLLKGVLNNE >KJB18185 pep chromosome:Graimondii2_0_v6:3:4812168:4813166:-1 gene:B456_003G040500 transcript:KJB18185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLVSPLLNTFTFLLALSFLLLSSPTSSLVQGLYFEDKTRLGSTPPSCHNRCNGCHPCKAVQVPTTPLLSHYHQFQPPSSSKAITNPMEVFYPSSGSQYSNYKPLGWKCHCDDHFYNP >KJB18233 pep chromosome:Graimondii2_0_v6:3:5147737:5152307:1 gene:B456_003G041900 transcript:KJB18233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVFSKWVFVFSLCCWLIFSVAVAVEGVHGGSKVRGVNLGGWLVIEGWIKPSLFDGIPNGDMLDGTQVQFKSVTLQKYVSAESGGGMDISVDRDDAYSWETFTLWRVSELEFQFRTTQGQFLTCYGNGCSASATAKSASSTETFQIERNNNGKVHIKIKSGTYLQATMDNQLTADYPGTPGWDDNAATFEMTIVANNLHGDYQLANGYGHNKAKQVLEKHRNTFLNVGDFEFLYRHGINTVRIPVGWWIAYDPDPPAPFIGGTLEALDNAFSWAEDYSIKCIIDLHAAPGSQNGMEHSASRDGTTGWPTSSDYILQTLHVIDFLASRYAKHPAFLGIELLNEPSAAEVPLDMLVSYYKQGYEVVRKYSPSAYVIICQRIGSADPLELYQADIGSHNLVVDLHYYNLFDTFFVNLSAIDNIQFIYKSREAQLQALNGANGPLVFIGEWVNEWNVTSGSQSEYQDFGRAQLEVYNAASFGWAYWTLKNDRKHWDFEWNIRNNYLRLSSSEKKVFNSLRWLLLASICFYQCQILELVENWI >KJB19657 pep chromosome:Graimondii2_0_v6:3:34425756:34429441:-1 gene:B456_003G112900 transcript:KJB19657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMVALGNLASLLAAGNVPVKDEKIAIFSDELNHASIIDGIRLAERQRSVEFFVYKHCDMSHLRALLSNCKMKKKVVVTDSLFSMDGDFAPMLELVELRRKHNLLLVIDDAHGTFVCGNSGGGVAEEFECERDVDICIGTLSKAAGCHGGFIACSKRWKQLIQSRGRSFIFSTATPVPIAAAGHAAVIVAKRETWRRRELWNRVEDFRALTGIAISSPIISLIVGSEEKALKASRELLKSGFHVTAIRPPTVPPNSCRLRIALSAAHTTDDLRKLTSALSSYINFQDTGGTSLHIHSKL >KJB19661 pep chromosome:Graimondii2_0_v6:3:34425756:34430651:-1 gene:B456_003G112900 transcript:KJB19661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSLETALVSSLREMEVSNLWDKWVGEALSKLDSLKLLRSLRPLYLPNEQQQMNNTGVSGKEEYEVFDEMQPWDRSSVNVSISEPTYRKWLLEIPSSGDEIVHGECLADDTFGTSQQQFKRLLLFSGNDYLGLSSHPAVRRAAAEAARDYGMGPRGSPLICGYTYHHRLLESSLASLKKKEDCLLCPTGFSANMALMVALGNLASLLAAGNVPVKDEKIAIFSDELNHASIIDGIRLAERQRSVEFFVYKHCDMSHLRALLSNCKMKKKVVVTDSLFSMDGDFAPMLELVELRRKHNLLLVIDDAHGTFVCGNSGGGVAEEFECERDVDICIGTLSKAAGCHGGFIACSKRWKQLIQSRGRSFIFSTATPVPIAAAGHAAVIVAKRETWRRRELWNRVEDFRALTGIAISSPIISLIVGSEEKALKASRELLKSGFHVTAIRPPTVPPNSCRLRIALSAAHTTDDLRKLTSALSSYINFQDTGGTSLHIHSKL >KJB19658 pep chromosome:Graimondii2_0_v6:3:34425756:34430266:-1 gene:B456_003G112900 transcript:KJB19658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRGSPLICGYTYHHRLLESSLASLKKKEDCLLCPTGFSANMALMVALGNLASLLAAGNVPVKDEKIAIFSDELNHASIIDGIRLAERQRSVEFFVYKHCDMSHLRALLSNCKMKKKVVVTDSLFSMDGDFAPMLELVELRRKHNLLLVIDDAHGTFVCGNSGGGVAEEFECERDVDICIGTLSKAAGCHGGFIACSKRWKQLIQSRGRSFIFSTATPVPIAAAGHAAVIVAKRETWRRRELWNRVEDFRALTGIAISSPIISLIVGSEEKALKASRELLKSGFHVTAIRPPTVPPNSCRLRIALSAAHTTDDLRKLTSALSSYINFQDTGGTSLHIHSKL >KJB19659 pep chromosome:Graimondii2_0_v6:3:34425756:34430616:-1 gene:B456_003G112900 transcript:KJB19659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSLETALVSSLREMEVSNLWDKWVGEALSKLDSLKLLRSLRPLYLPNEQQQMNNTGVSGKEEYEVFDEMQPWDRSSVNVSISEPTYRKWLLEIPSSGDEIVHGECLADDTFGTSQQQFKRLLLFSGNDYLGLSSHPAVRRAAAEAARDYGMGPRGSPLICGYTYHHRLLESSLASLKKKEDCLLCPTGFSANMALMVALGNLASLLAAGNVPVKDEKIAIFSDELNHASIIDGIRLAERQRSVEFFVYKHCDMSHLRALLSNCKMKKKVVVTDSLFSMDGDFAPMLELVELRRKHNLLLVIDDAHGTFVCGNSGGGVAEEFECERDVDICIGTLSKAAGCHGGFIACSKRWKQLIQSRGRSFIFSTATPVPIAAAGHAAAVIVAKRETWRRRELWNRVEDFRALTGIAISSPIISLIVGSEEKALKASRELLKSGFHVTAIRPPTVPPNSCRLRIALSAAHTTDDLRKLTSALSSYINFQDTGGTSLHIHSKL >KJB19660 pep chromosome:Graimondii2_0_v6:3:34425756:34430620:-1 gene:B456_003G112900 transcript:KJB19660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSLETALVSSLREMEVSNLWDKWVGEALSKLDSLKLLRSLRPLYLPNEQQQMNNTGVSGKEEYEVFDEMQPWDRSSVNVSISEPTYRKWLLEIPSSGDEIVHGECLADDTFGTSQQQFKRLLLFSGNDYLGLSSHPAVRRAAAEAARDYGMGPRGSPLICGYTYHHRLLESSLASLKKKEDCLLCPTGFSANMALMVALGNLASLLAAGNVPVKDEKIAIFSDELNHASIIDGIRLAERQRSVEFFVYKHCDMSHLRALLSNCKMKKKVVVTDSKRWKQLIQSRGRSFIFSTATPVPIAAAGHAAVIVAKRETWRRRELWNRVEDFRALTGIAISSPIISLIVGSEEKALKASRELLKSGFHVTAIRPPTVPPNSCRLRIALSAAHTTDDLRKLTSALSSYINFQDTGGTSLHIHSKL >KJB19396 pep chromosome:Graimondii2_0_v6:3:30861094:30875047:1 gene:B456_003G100200 transcript:KJB19396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTEGERKQAEANRLAAIAKRKALLQSSSADIHCQENQSHYWRLAKCPKLANDNAQIPKRPQDSKSMPVSSTQLSKKFQARLEICSPDTFSITPETLKGCLYPGDEECLRRLGDVLYDVMHSHYTQDSGGRKACVYKLRDYGSVLSCLRKSKDIEIKEIPHLTLRVVETFSHCFVAGQWRPCRPEHLSDEDVDELITKLPETLLNSLLPFQLEGIKFGLRRGGRCLIADEMGLGKTVQAIAIAGCFINEGSILVVCPAVLRYSWAEELERWLPFCLPSDIHLVFGHRDNPAYLDKYPRVVVISYTMLKHLRKSMLEHEWALLIVDESHHLRCSRKSTESAEIQTVLDLAEKVRRIVLLSGTPSLSRPYDIFHQINILWPGLLGVTKYKFAETYCDVNFAQTTQGFKDFSKGVRLEELNVLLSQTVMIRRLKEHVLVQLPPKRRQLIRLHLEKSDIASAKAAVSFSNADAFENNASNDIAMEKLGGNNDGIHNCNSSELSYQELGVAKLRGFRKWFSNHPLIANSDGVEEFGLNSSSHKMIIFAHHHKVLDGIQDFICEKGISFVRIDGTTLPKDRQSAVLSFKSPNGAKIAIIGITAGGVGLDFSSAKHVVFLELPQSPSLMLQAEDRAHRRGQTSAVNIYILWAKDTLDESHWQNLNKSLHRVSSTTNGKYDAMKEIEVEGISYMVTPDTNCKHKILVKETPGKLSVDLEQLPDPECSQELQPSEAFADVAVEMNDGSDTMNQTGDSYNDSDVKGISDMVTPYANCKQKILMKEAPGKLSLDLEQQQDPEYSQDLQPSEAFADVAVELNDRSDTMNQTGDSYSHNDGGVVPDRQLQDLNSMGNEKELHPSAADIGRCDVCPPFKMDNRSEDQNPLPNGKITTADVGALVQPSDAECNTIQVDSLRFEVSAHTGRIHLYSCIQGKDLRPVPLFENFRQEEIELENALACGSKETVSKYFKDNPTYRHALWAFIDEWNNLRPIEQRKLRGKPLQLPLSVELCYLKESINHNTGGLLKGGSKRRTTPFCEINVSLPPNAVWKKVHLQRSYSKKEKEYTQGWTLTGEPLCKLCQKPCEGRNAKSPEYFEDLFCKLGCYEEYRLRTSSSFIREELFQLEHGICTNCQLDCHQLVKHLKPLTLERRREYIARVAPKIASQKSLVDKLVSDPSEGNAWHADHIVPVYRGGGECRLENMRTLCVACHAVVTAAQCTERRSIRAKAKKKLKAIMAGLKKAENMENNSSCGKDQGPSEIVEDIPEDELLVNVPGSAYSGGVNYNIQSELKNPPNP >KJB19394 pep chromosome:Graimondii2_0_v6:3:30861094:30875047:1 gene:B456_003G100200 transcript:KJB19394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTEGERKQAEANRLAAIAKRKALLQSSSADIHCQENQSHYWRLAKCPKLANDNAQIPKRPQDSKSMPVSSTQLSKKFQARLEICSPDTFSITPETLKGCLYPGDEECLRRLGDVLYDVMHSHYTQDSGGRKACVYKLRDYGSVLSCLRKSKDIEIKEIPHLTLRVVETFSHCFVAGQWRPCRPEHLSDEDVDELITKLPETLLNSLLPFQLEGIKFGLRRGGRCLIADEMGLGKTVQAIAIAGCFINEGSILVVCPAVLRYSWAEELERWLPFCLPSDIHLVFGHRDNPAYLDKYPRVVVISYTMLKHLRKSMLEHEWALLIVDESHHLRCSRKSTESAEIQTVLDLAEKVRRIVLLSGTPSLSRPYDIFHQINILWPGLLGVTKYKFAETYCDVNFAQTTQGFKDFSKGVRLEELNVLLSQTVMIRRLKEHVLVQLPPKRRQLIRLHLEKSDIASAKAAVSFSNADAFENNASNDIAMEKLGGNNDGIHNCNSSELSYQELGVAKLRGFRKWFSNHPLIANSDGVEEFGLNSSSHKMIIFAHHHKVLDGIQAKIAIIGITAGGVGLDFSSAKHVVFLELPQSPSLMLQAEDRAHRRGQTSAVNIYILWAKDTLDESHWQNLNKSLHRVSSTTNGKYDAMKEIEVEGISYMVTPDTNCKHKILVKETPGKLSVDLEQLPDPECSQELQPSEAFADVAVEMNDGSDTMNQTGDSYNDSDVKGISDMVTPYANCKQKILMKEAPGKLSLDLEQQQDPEYSQDLQPSEAFADVAVELNDRSDTMNQTGDSYSHNDGGVVPDRQLQDLNSMGNEKELHPSAADIGRCDVCPPFKMDNRSEDQNPLPNGKITTADVGALVQPSDAECNTIQVDSLRFEVSAHTGRIHLYSCIQGKDLRPVPLFENFRQEEIELENALACGSKETVSKYFKDNPTYRHALWAFIDEWNNLRPIEQRKLRGKPLQLPLSVELCYLKESINHNTGGLLKGGSKRRTTPFCEINVSLPPNAVWKKVHLQRSYSKKEKEYTQGWTLTGEPLCKLCQKPCEGRNAKSPEYFEDLFCKLGCYEEYRLRTSSSFIREELFQLEHGICTNCQLDCHQLVKHLKPLTLERRREYIARVAPKIASQKSLVDKLVSDPSEGNAWHADHIVPVYRGGGECRLENMRTLCVACHAVVTAAQCTERRSIRAKAKKKLKAIMAGLKKAENMENNSSCGKDQGPSEIVEDIPEDELLVNVPGSAYSGGVNYNIQSELKNPPNP >KJB19395 pep chromosome:Graimondii2_0_v6:3:30861094:30875047:1 gene:B456_003G100200 transcript:KJB19395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTEGERKQAEANRLAAIAKRKALLQSSSADIHCQENQSHYWRLAKCPKLANDNAQIPKRPQDSKSMPVSSTQLSKKFQARLEICSPDTFSITPETLKGCLYPGDEECLRRLGDVLYDVMHSHYTQDSGGRKACVYKLRDYGSVLSCLRKSKDIEIKEIPHLTLRVVETFSHCFVAGQWRPCRPEHLSDEDVDELITKLPETLLNSLLPFQLEGIKFGLRRGGRCLIADEMGLGKTVQAIAIAGCFINEGSILVVCPAVLRYSWAEELERWLPFCLPSDIHLVFGHRDNPAYLDKYPRVVVISYTMLKHLRKSMLEHEWALLIVDESHHLRCSRKSTESAEIQTVLDLAEKVRRIVLLSGTPSLSRPYDIFHQINILWPGLLGVTKYKFAETYCDVNFAQTTQGFKDFSKGVRLEELNVLLSQTVMIRRLKEHVLVQLPPKRRQLIRLHLEKSDIASAKAAVSFSNADAFENNASNDIAMEKLGGNNDGIHNCNSSELSYQELGVAKLRGFRKWFSNHPLIANSDGVEEFGLNSSSHKMIIFAHHHKVLDGIQDFICEKGISFVRIDGTTLPKDRQSAVLSFKSPNGAKIAIIGITAGGVGLDFSSAKHVVFLELPQSPSLMLQAEDRAHRRGQTSAVNIYILWAKDTLDESHWQNLNKSLHRVSSTTNGKYDAMKEIEVEGISYMVTPDTNCKHKILVKETPGKLSVDLEQLPDPECSQELQPSEAFADVAVEMNDGSDTMNQTGDSYNDSDVKGISDMVTPYANCKQKILMKEAPGKLSLDLEQQQDPEYSQDLQPSEAFADVAVELNDRSDTMNQTGDSYSHNDGGVVPDRQLQDLNSMGNEKELHPSAADIGRCDVCPPFKMDNRSEDQNPLPNGKITTADVGALVQPSDAECNTIQVDSLRFEVSAHTGRIHLYSCIQGKDLRPVPLFENFRQEEIELENALACGSKETVSKYFKDNPTYRHALWAFIDEWNNLRPIEQRKLRGKPLQLPLSVELCYLKESINHNTGGLLKGGSKRRTTPFCEINVSLPPNAVWKKVHLQRSYSKKEKEYTQGWTLTGEPLCKLCQKPCEGRNAKSPEYFEDLFCKLGCYEEYRLRTSSSFIREELFQLEHGICTNCQLDCHQLVKHLKPLTLERRREYIARVAPKIASQKSLVDKLVSDPSEGNAWHADHIVPVYRGGGECRLENMRTLCVACHAVVTAAQCTERRSIRAKAKKKLKAIMAGLKKAENMENNSSCGKDQGPSEIVEDIPEDELLVNVPGSAYSGGVNYNIQSELKNPPNP >KJB19545 pep chromosome:Graimondii2_0_v6:3:33351527:33366691:-1 gene:B456_003G109000 transcript:KJB19545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLKNWERLVRATLEREQLRDAGQGHARRPSGIAGAVQLPPSLGRATNIDAILQAADEIQAEDPNVARILCEQAYGMAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKRDGGRIDRNRDIEHLWEFYNLYKRRHKVDDIQREEQRWQESGTFSSTSLGDALGMKKVLATLRALVEVMEALSKDADPDGVGRLIKDELRRIKNADATISGELTPYNIVPLEAPSFTNAIGLFPEVRGAISAIRYTEHFPRLPSSFSISGQRDPDMFDLLEYVFGFQKDNVRNQRENVVLTIANAQSRLGIPVEADPKIDEKAINEVFLKVLDNYIKWCKYLRIRLVWNSLEAINRDRKLFLVSLYFLIWGEAANVRFLPECICYIFHHMARELDSIVDHGEANPARSCTAESGSVSFLEQIICPIYNTMAEEAARNNNGKAAHSSWRNYDDFNEYFWSPACFELSWPMRRESPFLLWPKKGKRTGKSSFVEHRTFLHLYRSFHRLWIFLVVMFQALAIIAFRKGHLNLNTFKILLSIGPTFAIMNFIESCLDVLLMFGAYATARGMAISRLVIRFFWCGLTSVFVTYVYVKVLEEMNDRNSNSLYFRIYILVLGVYAALRLILGLLQKFPACHTLSEMSDQSFFQFFKWIYQERYYVGRGLYERMTDYFRYVLFWLVIFLCKFTFAYFLQIRPLVSPTNTIVDLPALQYSWHDLVSKNNNNVLTIVSLWAPVIAIYLMDIHIWYTLLSAIIGGVMGARARLGEIRSTEMVHKRFESFPEVFAKNLVSQQTKRMPFDRETPEASQENNKTYAALFSPFWNEIIKSLREEDYISNREMDLLLIPSNRGSLRLVQWPLFLLSSKILLAVDLAIDCKDTQADLWNRICKDEYMAYAVQECYYSIEKILHSLVDGEGRLWVERIYREINNSISEGSLVITLSLKKLPVVLQKLTALLGLLRNEKPEEKGAANAVYQLYEVVTHDLLSPDLREQLDTWNILARARNEGRLFSRIEWPKDPEIREQVKRLYLLLTVKDSAANIPKNLEARRRLEFFTNSLFMDMPPAKPVCEMMPFCVFTPYYSETVLYSPKELREENEDGISTIFYLQKIFPGISFHNYLK >KJB19543 pep chromosome:Graimondii2_0_v6:3:33340971:33366691:-1 gene:B456_003G109000 transcript:KJB19543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLKNWERLVRATLEREQLRDAGQGHARRPSGIAGAVQLPPSLGRATNIDAILQAADEIQAEDPNVARILCEQAYGMAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKRDGGRIDRNRDIEHLWEFYNLYKRRHKVDDIQREEQRWQESGTFSSTSLGDALGMKKVLATLRALVEVMEALSKDADPDGVGRLIKDELRRIKNADATISGELTPYNIVPLEAPSFTNAIGLFPEVRGAISAIRYTEHFPRLPSSFSISGQRDPDMFDLLEYVFGFQKDNVRNQRENVVLTIANAQSRLGIPVEADPKIDEKAINEVFLKVLDNYIKWCKYLRIRLVWNSLEAINRDRKLFLVSLYFLIWGEAANVRFLPECICYIFHHMARELDSIVDHGEANPARSCTAESGSVSFLEQIICPIYNTMAEEAARNNNGKAAHSSWRNYDDFNEYFWSPACFELSWPMRRESPFLLWPKKGKRTGKSSFVEHRTFLHLYRSFHRLWIFLVVMFQALAIIAFRKGHLNLNTFKILLSIGPTFAIMNFIESCLDVLLMFGAYATARGMAISRLVIRFFWCGLTSVFVTYVYVKVLEEMNDRNSNSLYFRIYILVLGVYAALRLILGLLQKFPACHTLSEMSDQSFFQFFKWIYQERYYVGRGLYERMTDYFRYVLFWLVIFLCKFTFAYFLQIRPLVSPTNTIVDLPALQYSWHDLVSKNNNNVLTIVSLWAPVIAIYLMDIHIWYTLLSAIIGGVMGARARLGEIRSTEMVHKRFESFPEVFAKNLVSQQTKRMPFDRETPEASQENNKTYAALFSPFWNEIIKSLREEDYISNREMDLLLIPSNRGSLRLVQWPLFLLSSKILLAVDLAIDCKDTQADLWNRICKDEYMAYAVQECYYSIEKILHSLVDGEGRLWVERIYREINNSISEGSLVITLSLKKLPVVLQKLTALLGLLRNEKPEEKGAANAVYQLYEVVTHDLLSPDLREQLDTWNILARARNEGRLFSRIEWPKDPEIREQVKRLYLLLTVKDSAANIPKNLEARRRLEFFTNSLFMDMPPAKPVCEMMPFCVFTPYYSETVLYSPKELREENEDGISTIFYLQKIFPDEWENYLERINEGKSTGNVEAQRSNNDLELRFWASYRGQTLARTVRGMMYYRRALMLQSFLERRSLGVDAYSQSDYLTTEGFELSREARAQADIKFTYVVSCQIYGQQKQNKKPEAVDIALLLQRNEALRVAFIHAEEVGADGKRQFYSKLVKADINGKDQEVYSIKLPGDPKLGEGKPENQNHAIIFTRGEAIQTIDMNQDNYLEEAMKMRNLLEEFRGNHGIRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFFFTTVGYYVCTMMTVLTVYIFLYGRVYLAFSGLDKEIARQARMSGNTALDAALNTQFLVQIGVFTAVPMIMGFILELGLLKAVFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYTDGGAVSFVLLTLSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDDWTSWLLYKGGVGVKGDDSWESWWDEEQVYHCDAN >KJB19541 pep chromosome:Graimondii2_0_v6:3:33339150:33366508:-1 gene:B456_003G109000 transcript:KJB19541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLKNWERLVRATLEREQLRDAGQGHARRPSGIAGAVQLPPSLGRATNIDAILQAADEIQAEDPNVARILCEQAYGMAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKRDGGRIDRNRDIEHLWEFYNLYKRRHKVDDIQREEQRWQESGTFSSTSLGDALGMKKVLATLRALVEVMEALSKDADPDGVGRLIKDELRRIKNADATISGELTPYNIVPLEAPSFTNAIGLFPEVRGAISAIRYTEHFPRLPSSFSISGQRDPDMFDLLEYVFGFQKDNVRNQRENVVLTIANAQSRLGIPVEADPKIDEKAINEVFLKVLDNYIKWCKYLRIRLVWNSLEAINRDRKLFLVSLYFLIWGEAANVRFLPECICYIFHHMARELDSIVDHGEANPARSCTAESGSVSFLEQIICPIYNTMAEEAARNNNGKAAHSSWRNYDDFNEYFWSPACFELSWPMRRESPFLLWPKKGKRTGKSSFVEHRTFLHLYRSFHRLWIFLVVMFQALAIIAFRKGHLNLNTFKILLSIGPTFAIMNFIESCLDVLLMFGAYATARGMAISRLVIRFFWCGLTSVFVTYVYVKVLEEMNDRNSNSLYFRIYILVLGVYAALRLILGLLQKFPACHTLSEMSDQSFFQFFKWIYQERYYVGRGLYERMTDYFRYVLFWLVIFLCKFTFAYFLQIRPLVSPTNTIVDLPALQYSWHDLVSKNNNNVLTIVSLWAPVIAIYLMDIHIWYTLLSAIIGGVMGARARLGEIRSTEMVHKRFESFPEVFAKNLVSQQTKRMPFDRETPEASQENNKTYAALFSPFWNEIIKSLREEDYISNREMDLLLIPSNRGSLRLVQWPLFLLSSKILLAVDLAIDCKDTQADLWNRICKDEYMAYAVQECYYSIEKILHSLVDGEGRLWVERIYREINNSISEGSLVITLSLKKLPVVLQKLTALLGLLRNEKPEEKGAANAVYQLYEVVTHDLLSPDLREQLDTWNILARARNEGRLFSRIEWPKDPEIREQVKRLYLLLTVKDSAANIPKNLEARRRLEFFTNSLFMDMPPAKPVCEMMPFCVFTPYYSETVLYSPKELREENEDGISTIFYLQKIFPDEWENYLERINEGKSTGNVEAQRSNNDLELRFWASYRGQTLARTVRGMMYYRRALMLQSFLERRSLGVDAYSQSDYLTTEGFELSREARAQADIKFTYVVSCQIYGQQKQNKKPEAVDIALLLQRNEALRVAFIHAEEVGADGKRQFYSKLVKADINGKDQEVYSIKLPGDPKLGEGKPENQNHAIIFTRGEAIQTIDMNQDNYLEEAMKMRNLLEEFRGNHGIRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFFFTTVGYYVCTMMTVLTVYIFLYGRVYLAFSGLDKEIARQARMSGNTALDAALNTQFLVQIGVFTAVPMIMGFILELGLLKAVFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYTDGGAVSFVLLTLSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDDWTSWLLYKGGVGVKGDDSWESWWDEEQIHIQTLRGRILETILSLRFFIFQYGIVYKLHLTGKDTSIAVFMDFRGLCWLDLSYCSSYSPTALRSPMIYNW >KJB19539 pep chromosome:Graimondii2_0_v6:3:33337768:33366837:-1 gene:B456_003G109000 transcript:KJB19539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLKNWERLVRATLEREQLRDAGQGHARRPSGIAGAVQLPPSLGRATNIDAILQAADEIQAEDPNVARILCEQAYGMAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKRDGGRIDRNRDIEHLWEFYNLYKRRHKVDDIQREEQRWQESGTFSSTSLGDALGMKKVLATLRALVEVMEALSKDADPDGVGRLIKDELRRIKNADATISGELTPYNIVPLEAPSFTNAIGLFPEVRGAISAIRYTEHFPRLPSSFSISGQRDPDMFDLLEYVFGFQKDNVRNQRENVVLTIANAQSRLGIPVEADPKIDEKAINEVFLKVLDNYIKWCKYLRIRLVWNSLEAINRDRKLFLVSLYFLIWGEAANVRFLPECICYIFHHMARELDSIVDHGEANPARSCTAESGSVSFLEQIICPIYNTMAEEAARNNNGKAAHSSWRNYDDFNEYFWSPACFELSWPMRRESPFLLWPKKGKRTGKSSFVEHRTFLHLYRSFHRLWIFLVVMFQALAIIAFRKGHLNLNTFKILLSIGPTFAIMNFIESCLDVLLMFGAYATARGMAISRLVIRFFWCGLTSVFVTYVYVKVLEEMNDRNSNSLYFRIYILVLGVYAALRLILGLLQKFPACHTLSEMSDQSFFQFFKWIYQERYYVGRGLYERMTDYFRYVLFWLVIFLCKFTFAYFLQIRPLVSPTNTIVDLPALQYSWHDLVSKNNNNVLTIVSLWAPVIAIYLMDIHIWYTLLSAIIGGVMGARARLGEIRSTEMVHKRFESFPEVFAKNLVSQQTKRMPFDRETPEASQENNKTYAALFSPFWNEIIKSLREEDYISNREMDLLLIPSNRGSLRLVQWPLFLLSSKILLAVDLAIDCKDTQADLWNRICKDEYMAYAVQECYYSIEKILHSLVDGEGRLWVERIYREINNSISEGSLVITLSLKKLPVVLQKLTALLGLLRNEKPEEKGAANAVYQLYEVVTHDLLSPDLREQLDTWNILARARNEGRLFSRIEWPKDPEIREQVKRLYLLLTVKDSAANIPKNLEARRRLEFFTNSLFMDMPPAKPVCEMMPFCVFTPYYSETVLYSPKELREENEDGISTIFYLQKIFPDEWENYLERINEGKSTGNVEAQRSNNDLELRFWASYRGQTLARTVRGMMYYRRALMLQSFLERRSLGVDAYSQSDYLTTEGFELSREARAQADIKFTYVVSCQIYGQQKQNKKPEAVDIALLLQRNEALRVAFIHAEEVGADGKRQFYSKLVKADINGKDQEVYSIKLPGDPKLGEGKPENQNHAIIFTRGEAIQTIDMNQDNYLEEAMKMRNLLEEFRGNHGIRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFFFTTVGYYVCTMMTVLTVYIFLYGRVYLAFSGLDKEIARQARMSGNTALDAALNTQFLVQIGVFTAVPMIMGFILELGLLKAVFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYTDGGAVSFVLLTLSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDDWTSWLLYKGGVGVKGDDSWESWWDEEQIHIQTLRGRILETILSLRFFIFQYGIVYKLHLTGKDTSIALYGFSWIVLVGFVLLFKLLTYSPKKSHDLQLVMRFMQGVISIGLVVGLCLIVAFTRLSIADLFASILGFVPTGWAILCLAVTWKRVVRSLGLWDSVREIARFYDAGMGTIIFAPVAVLSWFPFISTFQSRLLFNQAFSRGLEISLILAGNKANVET >KJB19542 pep chromosome:Graimondii2_0_v6:3:33340105:33366691:-1 gene:B456_003G109000 transcript:KJB19542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLKNWERLVRATLEREQLRDAGQGHARRPSGIAGAVQLPPSLGRATNIDAILQAADEIQAEDPNVARILCEQAYGMAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKRDGGRIDRNRDIEHLWEFYNLYKRRHKVDDIQREEQRWQESGTFSSTSLGDALGMKKVLATLRALVEVMEALSKDADPDGVGRLIKDELRRIKNADATISGELTPYNIVPLEAPSFTNAIGLFPEVRGAISAIRYTEHFPRLPSSFSISGQRDPDMFDLLEYVFGFQKDNVRNQRENVVLTIANAQSRLGIPVEADPKIDEKAINEVFLKVLDNYIKWCKYLRIRLVWNSLEAINRDRKLFLVSLYFLIWGEAANVRFLPECICYIFHHMARELDSIVDHGEANPARSCTAESGSVSFLEQIICPIYNTMAEEAARNNNGKAAHSSWRNYDDFNEYFWSPACFELSWPMRRESPFLLWPKKGKRTGKSSFVEHRTFLHLYRSFHRLWIFLVVMFQALAIIAFRKGHLNLNTFKILLSIGPTFAIMNFIESCLDVLLMFGAYATARGMAISRLVIRFFWCGLTSVFVTYVYVKVLEEMNDRNSNSLYFRIYILVLGVYAALRLILGLLQKFPACHTLSEMSDQSFFQFFKWIYQERYYVGRGLYERMTDYFRYVLFWLVIFLCKFTFAYFLQIRPLVSPTNTIVDLPALQYSWHDLVSKNNNNVLTIVSLWAPVIAIYLMDIHIWYTLLSAIIGGVMGARARLGEIRSTEMVHKRFESFPEVFAKNLVSQQTKRMPFDRETPEASQENNKTYAALFSPFWNEIIKSLREEDYISNREMDLLLIPSNRGSLRLVQWPLFLLSSKILLAVDLAIDCKDTQADLWNRICKDEYMAYAVQECYYSIEKILHSLVDGEGRLWVERIYREINNSISEGSLVITLSLKKLPVVLQKLTALLGLLRNEKPEEKGAANAVYQLYEVVTHDLLSPDLREQLDTWNILARARNEGRLFSRIEWPKDPEIREQVKRLYLLLTVKDSAANIPKNLEARRRLEFFTNSLFMDMPPAKPVCEMMPFCVFTPYYSETVLYSPKELREENEDGISTIFYLQKIFPDEWENYLERINEGKSTGNVEAQRSNNDLELRFWASYRGQTLARTVRGMMYYRRALMLQSFLERRSLGVDAYSQSDYLTTEGFELSREARAQADIKFTYVVSCQIYGQQKQNKKPEAVDIALLLQRNEALRVAFIHAEEVGADGKRQFYSKLVKADINGKDQEVYSIKLPGDPKLGEGKPENQNHAIIFTRGEAIQTIDMNQDNYLEEAMKMRNLLEEFRGNHGIRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFFFTTVGYYVCTMMTVLTVYIFLYGRVYLAFSGLDKEIARQARMSGNTALDAALNTQFLVQIGVFTAVPMIMGFILELGLLKAVFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYTDGGAVSFVLLTLSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDDWTSWLLYKGGVGVKGDDSWESWWDEEQIHIQTLRGRILETILSLRFFIFQYGIVYKLHLTGKDTSIAVCFLPL >KJB19544 pep chromosome:Graimondii2_0_v6:3:33344623:33366691:-1 gene:B456_003G109000 transcript:KJB19544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLKNWERLVRATLEREQLRDAGQGHARRPSGIAGAVQLPPSLGRATNIDAILQAADEIQAEDPNVARILCEQAYGMAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKRDGGRIDRNRDIEHLWEFYNLYKRRHKVDDIQREEQRWQESGTFSSTSLGDALGMKKVLATLRALVEVMEALSKDADPDGVGRLIKDELRRIKNADATISGELTPYNIVPLEAPSFTNAIGLFPEVRGAISAIRYTEHFPRLPSSFSISGQRDPDMFDLLEYVFGFQKDNVRNQRENVVLTIANAQSRLGIPVEADPKIDEKAINEVFLKVLDNYIKWCKYLRIRLVWNSLEAINRDRKLFLVSLYFLIWGEAANVRFLPECICYIFHHMARELDSIVDHGEANPARSCTAESGSVSFLEQIICPIYNTMAEEAARNNNGKAAHSSWRNYDDFNEYFWSPACFELSWPMRRESPFLLWPKKGKRTGKSSFVEHRTFLHLYRSFHRLWIFLVVMFQALAIIAFRKGHLNLNTFKILLSIGPTFAIMNFIESCLDVLLMFGAYATARGMAISRLVIRFFWCGLTSVFVTYVYVKVLEEMNDRNSNSLYFRIYILVLGVYAALRLILGLLQKFPACHTLSEMSDQSFFQFFKWIYQERYYVGRGLYERMTDYFRYVLFWLVIFLCKFTFAYFLQIRPLVSPTNTIVDLPALQYSWHDLVSKNNNNVLTIVSLWAPVIAIYLMDIHIWYTLLSAIIGGVMGARARLGEIRSTEMVHKRFESFPEVFAKNLVSQQTKRMPFDRETPEASQENNKTYAALFSPFWNEIIKSLREEDYISNREMDLLLIPSNRGSLRLVQWPLFLLSSKILLAVDLAIDCKDTQADLWNRICKDEYMAYAVQECYYSIEKILHSLVDGEGRLWVERIYREINNSISEGSLVITLSLKKLPVVLQKLTALLGLLRNEKPEEKGAANAVYQLYEVVTHDLLSPDLREQLDTWNILARARNEGRLFSRIEWPKDPEIREQVKRLYLLLTVKDSAANIPKNLEARRRLEFFTNSLFMDMPPAKPVCEMMPFCVFTPYYSETVLYSPKELREENEDGISTIFYLQKIFPDEWENYLERINEGKSTGNVEAQRSNNDLELRFWASYRGQTLARTVRGMMYYRRALMLQSFLERRSLGVDAYSQSDYLTTEGFELSREARAQADIKFTYVVSCQIYGQQKQNKKPEAVDIALLLQRNEALRVAFIHAEEVGADGKRQFYSKLVKADINGKDQEVYSIKLPGDPKLGEGKPENQNHAIIFTRGEAIQTIDMNQDNYLEEAMKMRNLLEEFRGNHGIRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGKLG >KJB19540 pep chromosome:Graimondii2_0_v6:3:33337920:33366691:-1 gene:B456_003G109000 transcript:KJB19540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAYATARGMAISRLVIRFFWCGLTSVFVTYVYVKVLEEMNDRNSNSLYFRIYILVLGVYAALRLILGLLQKFPACHTLSEMSDQSFFQFFKWIYQERYYVGRGLYERMTDYFRYVLFWLVIFLCKFTFAYFLQIRPLVSPTNTIVDLPALQYSWHDLVSKNNNNVLTIVSLWAPVIAIYLMDIHIWYTLLSAIIGGVMGARARLGEIRSTEMVHKRFESFPEVFAKNLVSQQTKRMPFDRETPEASQENNKTYAALFSPFWNEIIKSLREEDYISNREMDLLLIPSNRGSLRLVQWPLFLLSSKILLAVDLAIDCKDTQADLWNRICKDEYMAYAVQECYYSIEKILHSLVDGEGRLWVERIYREINNSISEGSLVITLSLKKLPVVLQKLTALLGLLRNEKPEEKGAANAVYQLYEVVTHDLLSPDLREQLDTWNILARARNEGRLFSRIEWPKDPEIREQVKRLYLLLTVKDSAANIPKNLEARRRLEFFTNSLFMDMPPAKPVCEMMPFCVFTPYYSETVLYSPKELREENEDGISTIFYLQKIFPDEWENYLERINEGKSTGNVEAQRSNNDLELRFWASYRGQTLARTVRGMMYYRRALMLQSFLERRSLGVDAYSQSDYLTTEGFELSREARAQADIKFTYVVSCQIYGQQKQNKKPEAVDIALLLQRNEALRVAFIHAEEVGADGKRQFYSKLVKADINGKDQEVYSIKLPGDPKLGEGKPENQNHAIIFTRGEAIQTIDMNQDNYLEEAMKMRNLLEEFRGNHGIRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFFFTTVGYYVCTMMTVLTVYIFLYGRVYLAFSGLDKEIARQARMSGNTALDAALNTQFLVQIGVFTAVPMIMGFILELGLLKAVFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYTDGGAVSFVLLTLSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDDWTSWLLYKGGVGVKGDDSWESWWDEEQIHIQTLRGRILETILSLRFFIFQYGIVYKLHLTGKDTSIALYGFSWIVLVGFVLLFKLLTYSPKKSHDLQLVMRFMQGVISIGLVVGLCLIVAFTRLSIADLFASILGFVPTGWAILCLAVTWKRVVRSLGLWDSVREIARFYDAGMGTIIFAPVAVLSWFPFISTFQSRLLFNQAFSRGLEISLILAGNKANVET >KJB20731 pep chromosome:Graimondii2_0_v6:3:43047274:43048354:1 gene:B456_003G161600 transcript:KJB20731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKGKYTIGLGIEDPPHLQPGSRVEIKPRGFGYRGAWFSAIIIKRDTPIYSNHFVVKFTDLYLDKNTGTRPLKKMSIVFIRPQPSPQRPRKFKIGDNADAYHLNGWWEGVIVVELKDDNYVFLFHSEYQWPKCLVFGVSQLRLHRTWFGGYWMPPALESELDVEEVQREEEPTKITMEMEELSEGALVEVANDEDGFNRAWFAAIIVKPVGNNRYMIQYDTVQTEDNTGLGKEMDIVKIRPRPPDIPVPDQFEMLDQVEALYKGGWWKGVIINVLSNDSKYQVYLATHEEMEFKHSNLRLHQDWVHGKWTKPSPGVHL >KJB19245 pep chromosome:Graimondii2_0_v6:3:27413099:27418872:-1 gene:B456_003G091100 transcript:KJB19245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:Projected from Arabidopsis thaliana (AT2G41680) UniProtKB/TrEMBL;Acc:A0A178VZE7] MAVSPNIRIGIPLPSTPYTYHRLPMSTLSYPFFFFYSSPPRALRFHSPPTRHRPLSLRPKASVETPAASGKLVENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRRQAERWGAELFQEDVEHIDVRSNPFTIQSSEREVKCHSVIFATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRRDQLRASKAMQDRVYNNPNITLHFNTEAVDVVSNTKGQMSGILTRRIDTGEESVLEAKGLFYGIGHSPNSQLLQGQVELDSSGYVLVEEGTAKTSVEGVFAAGDVQDHEWRQAVTAAGSGCIAALSVERYLVRNDLLVEFHQPQTEEVKKELTDRDIQEGFDITLTKHKGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILSKVIDEFDQNVHFIEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRTVSGVKMKREYREFIEANK >KJB19248 pep chromosome:Graimondii2_0_v6:3:27414241:27418728:-1 gene:B456_003G091100 transcript:KJB19248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:Projected from Arabidopsis thaliana (AT2G41680) UniProtKB/TrEMBL;Acc:A0A178VZE7] MAVSPNIRIGIPLPSTPYTYHRLPMSTLSYPFFFFYSSPPRALRFHSPPTRHRPLSLRPKASVETPAASGKLVENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRRQAERWGAELFQEDVEHIDVRSNPFTIQSSEREVKCHSVIFATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRRDQLRASKAMQDRVYNNPNITLHFNTEAVDVVSNTKGQMSGILTRRIDTGEESVLEAKGLFYGIGHSPNSQLLQGQVELDSSGYVLVEEGTAKTSVEGVFAAGDVQDHEWRQAVTAAGSGCIAALSVERYLVRNDLLVEFHQPQTEEVKKELTDRDIQEGFDITLTKHKGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILSKVY >KJB19247 pep chromosome:Graimondii2_0_v6:3:27413143:27418872:-1 gene:B456_003G091100 transcript:KJB19247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:Projected from Arabidopsis thaliana (AT2G41680) UniProtKB/TrEMBL;Acc:A0A178VZE7] MRRQAERWGAELFQEDVEHIDVRSNPFTIQSSEREVKCHSVIFATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRRDQLRASKAMQDRVYNNPNITLHFNTEAVDVVSNTKGQMSGILTRRIDTGEESVLEAKGLFYGIGHSPNSQLLQGQVELDSSGYVLVEEGTAKTSVEGVFAAGDVQDHEWRQAVTAAGSGCIAALSVERYLVRNDLLVEFHQPQTEEVKKELTDRDIQEGFDITLTKHKGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILSKVIDEFDQNVHFIEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRTVSGVKMKREYREFIEANK >KJB19246 pep chromosome:Graimondii2_0_v6:3:27414093:27418808:-1 gene:B456_003G091100 transcript:KJB19246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:Projected from Arabidopsis thaliana (AT2G41680) UniProtKB/TrEMBL;Acc:A0A178VZE7] MAVSPNIRIGIPLPSTPYTYHRLPMSTLSYPFFFFYSSPPRALRFHSPPTRHRPLSLRPKASVETPAASGKLVENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRRQAERWGAELFQEDVEHIDVRSNPFTIQSSEREVKCHSVIFATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRRDQLRASKAMQDRVYNNPNITLHFNTEAVDVVSNTKGQMSGILTRRIDTGEESVLEAKGLFYGIGHSPNSQLLQGQVELDSSGYVLVEEGTAKTSVEGVFAAGDVQDHEWRQAVTAAGSGCIAALSVERYLVRNDLLVEFHQPQTEEVKKELTDRDIQEGFDITLTKHKGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILSKVA >KJB21163 pep chromosome:Graimondii2_0_v6:3:45638153:45642333:-1 gene:B456_003G185700 transcript:KJB21163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTAQEKEPKTLPASSSQEPSSTTNSAGPANGDWSGFQQAYSPIPPHGFLASSPQAPPYMWGVQHIIPPYGTPPHPYVAMYPHGGIYAHPSIPPGSYPFSPFAMPSPNGIVEASGNTPGNMEADGKPSDVKEKLPIKRSKGSLGSLNMITGKNNNLGKESGASANGAYSRSAESGSEGTSEGSEENSHNDSHLKSGGRQDSGEGEASQNGNSAHGPQNGGANTMVSTALPIVPISTAVATTAVPGPTTNLHIGMDYWGSPASSTIPAMRGKVPPTPVAGGIVTPASRDSVQSQIWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLKEENASLRSEANRIKSEYEQLLAENTSLKERLKEIPGHEDLNSSRNDQHTNNDKQIEQVQGSQ >KJB21162 pep chromosome:Graimondii2_0_v6:3:45637735:45642454:-1 gene:B456_003G185700 transcript:KJB21162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTAQEKEPKTLPASSSQEPSSTTNSAGPANGDWSGFQAYSPIPPHGFLASSPQAPPYMWGVQHIIPPYGTPPHPYVAMYPHGGIYAHPSIPPGSYPFSPFAMPSPNGIVEASGNTPGNMEADGKPSDVKEKLPIKRSKGSLGSLNMITGKNNNLGKESGASANGAYSRSAESGSEGTSEGSEENSHNDSHLKSGGRQDSGEGEASQNGNSAHGPQNGGANTMVSTALPIVPISTAVATTAVPGPTTNLHIGMDYWGSPASSTIPAMRGKVPPTPVAGGIVTPASRDSVQSQIWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLKEENASLRSEANRIKSEYEQLLAENTSLKERLKEIPGHEDLNSSRNDQHTNNDKQIEQVQGSQ >KJB21165 pep chromosome:Graimondii2_0_v6:3:45638153:45642333:-1 gene:B456_003G185700 transcript:KJB21165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTAQEKEPKTLPASSSQEPSSTTNSAGPANGDWSGFQQAYSPIPPHGFLASSPQAPPYMWGVQHIIPPYGTPPHPYVAMYPHGGIYAHPSIPPGSYPFSPFAMPSPNGIVEASGNTPGNMEADGKPSDVKEKLPIKRSKGSLGSLNMITGKNNNLGKESGASANGAYSRSAESGSEGTSEGSEENSHNDSHLKSGGRQDSGEGEASQNGNSAHGPQNGGANTMVSTALPIVPISTAVATTAVPGPTTNLHIGMDYWGSPASSTIPAMRGKVPPTPVAGGIVTPASRDSVQSQIWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLKEENASLRSEANRIKSEYEQLLAENTSLKERLKEIPGHEDLNSSRNDQHTNNDKQIEQVQGSQ >KJB21164 pep chromosome:Graimondii2_0_v6:3:45637735:45643058:-1 gene:B456_003G185700 transcript:KJB21164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTAQEKEPKTLPASSSQEPSSTTNSAGPANGDWSGFQAYSPIPPHGFLASSPQAPPYMWGVQHIIPPYGTPPHPYVAMYPHGGIYAHPSIPPGSYPFSPFAMPSPNGIVEASGNTPGNMEADGKPSDVKEKLPIKRSKGSLGSLNMITGKNNNLGKESGASANGAYSRSAESGSEGTSEGSEENSHNDSHLKSGGRQDSGEGEASQNGNSAHGPQNGGANTMVSTALPIVPISTAVATTAVPGPTTNLHIGMDYWGSPASSTIPAMRGKVPPTPVAGGIVTPASRDSVQSQIWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLKEENASLRSEANRIKSEYEQLLAENTSLKERLKEIPGHEDLNSSRNDQHTNNDKQIEQVQGSQ >KJB21166 pep chromosome:Graimondii2_0_v6:3:45637750:45641698:-1 gene:B456_003G185700 transcript:KJB21166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWFNLGQGSYPFSPFAMPSPNGIVEASGNTPGNMEADGKPSDVKEKLPIKRSKGSLGSLNMITGKNNNLGKESGASANGAYSRSAESGSEGTSEGSEENSHNDSHLKSGGRQDSGEGEASQNGNSAHGPQNGGANTMVSTALPIVPISTAVATTAVPGPTTNLHIGMDYWGSPASSTIPAMRGKVPPTPVAGGIVTPASRDSVQSQIWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLKEENASLRSEANRIKSEYEQLLAENTSLKERLKEIPGHEDLNSSRNDQHTNNDKQIEQVQGSQ >KJB17354 pep chromosome:Graimondii2_0_v6:3:37723065:37724979:1 gene:B456_003G126800 transcript:KJB17354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASFSGGVSSIQCLWLSHCHPQLAISWVALFAVLGTKRENRKLIALTSQVVKIHFLDKDNRLKACIRS >KJB17355 pep chromosome:Graimondii2_0_v6:3:37723049:37724979:1 gene:B456_003G126800 transcript:KJB17355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASFSGGVSSIQCLWLSHCHPQLAISWVALFAVLGTKRENRKLIALTSQVVKIHFLDKDNRLKACIRS >KJB20710 pep chromosome:Graimondii2_0_v6:3:42936522:42937509:-1 gene:B456_003G160400 transcript:KJB20710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFKTLPTLSFLFLTLFSLAHAATFDIRNNCPYTVWAAASPGGGKRLDQGQAWQITAASGTTQARIWARTKCNFDASGKGSCETGDCGGVLECKGYGKAPNTLAEYAIDQFEHQDFIDISNIDGFNVPMEFSSNSRGCTRVIKCTADIVGQCPNQLKVPGGCNGPCPVFKTEEHCCNSGNCQPTDFSRFFKERCPDAYSYPKDDPTSLFTCPTGTNYKVIFCP >KJB21200 pep chromosome:Graimondii2_0_v6:3:45747202:45750592:-1 gene:B456_003G187300 transcript:KJB21200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMNGGASHGEAWKAHASMALVQLMHGGYHVITKVALNVGVNQLVFCAFRDVLALSLLAPIAYVHENTERVTWLSIEGQAKVGGTLICASGAILMVLFRGPALLGQMDADFADQNDISARSQPEGAGWLISSLLAFGLEHWHVGVLCLIGNCMCMAAFLVIQAPILAKYPANISVTALSYCFGAVLIVATALIMTNESTDWSLTQSELFAVLYAGFVASALNYGLLTWSNKIFGPALVALYNPLQPAASAFLSRIFLGSPIYLGSVIGGFLIIAGLYMVTWASYSYRYRERENGRHTPEGVGSFETVINKNA >KJB20774 pep chromosome:Graimondii2_0_v6:3:43401110:43402896:-1 gene:B456_003G164200 transcript:KJB20774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKEAQQPEELPVVFLHRPPSFILPFGDHLRSQFHVLDPYESPEPYDSFLARHAPSIRALMTMGPALVSAEFLDRLPVLELIVATSAGLDHVDLPACRSRGIVVTNASSAFAEDVADCAVGLLIDVLRRISAADRFVRGRMLPVKETYPLGFKLGGKRVGIVGLGSIGSEVAKRLSAFGCTIAYTSRNKKQSVPFLFYANVRDLAANSDVLVLCCALTKETYHLVNKDVMVALGKEGVIINVGRGSLINEKELVQCLVGGEIGGAGLDVYENEPNVPKELFGLDNVVLSPHSAGGTPEGFEAVLQLTVGNLRAFFSNKPLVSEVSNE >KJB19816 pep chromosome:Graimondii2_0_v6:3:36067120:36070457:1 gene:B456_003G120000 transcript:KJB19816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYQNCHKHQRQQLMSLQASWCWRHKGQIGQTFCAISSIITVVGILPTATTGRIGCILLSVGQDEFHGEVLHTLKYVVNQSDYTVQILNNVTQYLSLAKTINMAELFLSSNVITDTDKLNIDLNAADTLIEKTDENAVKIRRVFNAVRLALISVAAVMLILALLGLLLSVLGHQHVIHIFIVSGWLLVAITFILCGVFVILNNAISDTCLAME >KJB19815 pep chromosome:Graimondii2_0_v6:3:36067342:36070457:1 gene:B456_003G120000 transcript:KJB19815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYQNCHKHQRQQLMSLQASWCWRHKGQIGQTFCAISSIITVVGILPTATTGRIGCILLSVGQDEFHGEVLHTLKYVVNQSDYTVQILNNVTQYLSLAKTINMAELFLSSNVITDTDKLNIDLNAADTLIEKTDENAVKIRRVFNAVRLALISVAAVMLILALLGLLLSVLGHQHVIHIFIVSGWLLVAITFILCGVFVILNNAISDTCLAME >KJB21247 pep chromosome:Graimondii2_0_v6:3:37261340:37264388:-1 gene:B456_003G125000 transcript:KJB21247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSRRSSYSPSPSPYKRYRRSVSRSLSRSRSRSRSVENPGNNLYVTGLSHRITKRELEKHFSSEGKVVDVHLVVDPWTRESRGFGFVTMSTIEEAERCIKYLNRSVLEGRVITVEKAKRRRGRTPTPGRYLGLRTSRARHRSRSNSPRRSPSYSSYSRSQSRSPRYSSGKGRSRSYSPRHRSYSRAPSPYSGSSDDRYYRRWDRSYSPDDRYYRRRDRSYTPEDCYYRRRNRSYSPDDGYCRRRDRSYAPDDRYYRRWDRSYSPDDRYYRRRDRSYSPDDRYYRRRDRSYDSADCRSYRYRSASGSPTPSRLRKSSRRSYSHSLSPKQRSSSRRSYLRGASPVERRSRRSITPRKKTTPHSHSRSRSASPSSRSFSRSATPRSDSSS >KJB19848 pep chromosome:Graimondii2_0_v6:3:36347148:36350544:1 gene:B456_003G121400 transcript:KJB19848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFHPFLLVKKQVYKAMDEKRISGSCLIISEDNKSDSLYPMCFGVSCAFFALRFLIGTEKGDEKWCEFRDKMVQGSAQLLGLLAWRIHREEVNLAKAELVQKLETAEKEIEELKKMRHEDAKANEKVVGIFASQEQGWLIERKKLRLQIGALINELRVLEKKKDDEIAGLKQKLNEMEILVKSKDKMIEEMEVKGKELEEKAMKLESVAQELRETAKREAQEHSNEIWKHKTAFIEIVSNQRRLEAEMGRAFRQVEATKMELDAVLEQKAESVVLAQKLSMEITKMRKDLEQKDKILSAMLRKSKLDTAEKQLLLKEVKVSKAKKKQAELETERWKAVSETRHERHSLKGMFSNQAQASAKLDYPELKTDPEAFSLLPDCQSPQGTEDLVVTADVKRLESWVRAEAEKYANVIEKRHHLELDAFAEQMRLKDEKLEGFRWRLLSMDLESERLQSHVEGLNQDVSQLRQDNMKLEAMLLEREEELDSLKDQFASQLKPMSCQKSDILNISLHDPALTHDSFWPKVRIVKKKAAEEQKKKTSLLDKEEVIPSCKDSKNIRLIVQSPEKDFEEERESVDPDSLQKETNDSLVVDCVDQSRGASAKTKNNPWRMDLQALGVSYKIKRLKQQLLMLERLKGKQESGEDMDGGDNGIKGFLLLISLLNKQVSRYQSLQGKTDDLCKRMNENEVDASQGDCSNAKTKVGTTRSLEHFLEETFQLQRYMVATGQKLMEIQSKIASGFNGVELDKTATFDMKQFSDNIKSLFQEVQRGLEVRIARIIGDLEGTLACEGMTDFRR >KJB19847 pep chromosome:Graimondii2_0_v6:3:36347148:36350536:1 gene:B456_003G121400 transcript:KJB19847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFHPFLLVKKQVYKAMDEKRISGSCLIISEDNKSDSLYPMCFGVSCAFFALRFLIGTEKGDEKWCEFRDKMVQGSAQLLGLLAWRIHREEVNLAKAELVQKLETAEKEIEELKKMRHEDAKANEKVVGIFASQEQGWLIERKKLRLQIGALINELRVLEKKKDDEIAGLKQKLNEMEILVKSKDKMIEEMEVKGKELEEKAMKLESVAQELRETAKREAQEHSNEIWKHKTAFIEIVSNQRRLEAEMGRAFRQVEATKMELDAVLEQKAESVVLAQKLSMEITKMRKDLEQKDKILSAMLRKSKLDTAEKQLLLKEVKVSKAKKKQAELETERWKAVSETRHERHSLKGMFSNQAQASAKLDYPELKTDPEAFSLLPDCQSPQGTEDLVVTADVKRLESWVRAEAEKYANVIEKRHHLELDAFAEQMRLKDEKLEGFRWRLLSMDLESERLQSHVEGLNQDVSQLRQDNMKLEAMLLEREEELDSLKDQFASQLKPMSCQKSDILNISLHDPALTHDSFWPKVRIVKKKAAEEQKKKTSLLDKEEVIPSCKDSKNIRLIVQSPEKDFEEERESVDPDSLQKETNDSLVVDCVDQSRGASAKTKNNPWRMDLQALGVSYKIKRLKQQLLMLERLKGKQESGEDMDGGDNGIKGFLLLISLLNKQVSRYQSLQGKTDDLCKRMVSNDINDFHW >KJB19849 pep chromosome:Graimondii2_0_v6:3:36347717:36350536:1 gene:B456_003G121400 transcript:KJB19849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKRISGSCLIISEDNKSDSLYPMCFGVSCAFFALRFLIGTEKGDEKWCEFRDKMVQGSAQLLGLLAWRIHREEVNLAKAELVQKLETAEKEIEELKKMRHEDAKANEKVVGIFASQEQGWLIERKKLRLQIGALINELRVLEKKKDDEIAGLKQKLNEMEILVKSKDKMIEEMEVKGKELEEKAMKLESVAQELRETAKREAQEHSNEIWKHKTAFIEIVSNQRRLEAEMGRAFRQVEATKMELDAVLEQKAESVVLAQKLSMEITKMRKDLEQKDKILSAMLRKSKLDTAEKQLLLKEVKVSKAKKKQAELETERWKAVSETRHERHSLKGMFSNQAQASAKLDYPELKTDPEAFSLLPDCQSPQGTEDLVVTADVKRLESWVRAEAEKYANVIEKRHHLELDAFAEQMRLKDEKLEGFRWRLLSMDLESERLQSHVEGLNQDVSQLRQDNMKLEAMLLEREEELDSLKDQFASQLKPMSCQKSDILNISLHDPALTHDSFWPKVRIVKKKAAEEQKKKTSLLDKEEVIPSCKDSKNIRLIVQSPEKDFEEERESVDPDSLQKETNDSLVVDCVDQSRGASAKTKNNPWRMDLQALGVSYKIKRLKQQLLMLERLKGKQESGEDMDGGDNGIKGFLLLISLLNKQVSRYQSLQGKTDDLCKRMNENEVDASQGDCSNAKTKVGTTRSLEHFLEETFQLQRYMVATGQKLMEIQSKIASGFNGVELDKTATFDMKQFSDNIKSLFQEVQRGLEVRIARIIGDLEGTLACEGMTDFRR >KJB18900 pep chromosome:Graimondii2_0_v6:3:17720620:17724002:-1 gene:B456_003G074100 transcript:KJB18900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKLNLFVVLTLVSIQFLSTFPKAAISNVHIVYMGEKENEDPTVTKMFHYKMLSDLLGSKEAAKSSMLYSYKHGFSGFAARLTDSQAREIEAFPGVVQVIPNQVHKFHTTRSWDFMGLNDHSTKNLLTKSNMGEGIIIGVIDSGVWPESESFNDRGMNPIPPHWKGICQEGQLFNSSNCNKKLIGARWFVKGILDQSQTPINISNGEDILSARDSSGHGTHTASIAAGNFVVNANYEGLAAGLARGGAPRAHLAVYKVCWSFGHGGCTDADLLKAFDKAIQDGVHILSVSIGISIPLFSYADQRNSIAIGSFHATAKGITVACSAGNDGPTAMTVENTAPWIITVAATTIDRAFPTAITLGNNLTLWGQSVDTRMHNRGFTGITFSDRIALNDNDDSALGCKRGSLNATLAAGQIILCFSQSSTQNIFSAAISVAEAGGVGLIFAQYRSDGLESCHYIPCIKVDYEVGTQILSYIRKARSPIAKLGIPKTIIGKWVSPKVADFSGRGPSSISPTVLKPDIAAPGVDIIAAYIPFGAEKSSGFALLSGTSMSCPHIAGITALIKSVHKNWSPAAIRSALVTTASQKGTDGSNIAEEGSTRKVADPFDMGGGVVNPNRAIDPGLIYDIETNDYVKFLCGTGFSSKSVSGLTQTKANCTKNRLNELNLNLPSITIPYLKRKVTVSRKVTNVGPVESMYKAVVEAPQGVNMKVEPQILRFNKTTQIVPFKVTFFTTGKVYGDYRFGRLIWRDPKHTVTIPISMRAIFV >KJB17598 pep chromosome:Graimondii2_0_v6:3:400898:407593:1 gene:B456_003G006900 transcript:KJB17598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANINTANFNTSSASVTTVNHSPSSPKQSHRATRAGSSTRTGIGRREPDPIAGVPLSPSSSSSSPPPLIAATEQPPVVAVVEEEGGENAGSGSNGNAGKRPAWNKPSNGDAEIAAVMGTHRWPALSDSAKASPKSSSDSPRASLDGSLSSPPVVPVSQGSGSASSFSALQKPASNSANSNLNLTPNHAPTRQRSMKRNSNNSASNGSLSQPPPQGPIVESPVNSPSSRDQTQRSGFASQSHTGSNDHQHPRNSFRQRNGGPHPRGEGSHHQNFGGRRNQDHGNHEWNGRNFNNRDGHMQPRVAPRLMRHPPPPPLPNTLPFIAHTPMRPFGTPMGYPELASLYMVPAAPPESLRGLPFVAPMSPMFFPAPEPHDNQLHASIVNQIDYYFSNENLIKDTYLRQNMDDQGWVPIKLIAGFKKVSLLTDNIQLITDALQSSTVVEVQGDKVRKQIDWMRWIMPPSVHFPTMSGQDTLAARVQNISLDQRTANQSGESNPEDSDAGRPSYGDFSNQAQLFNNEGSTVGAHGGPASN >KJB17600 pep chromosome:Graimondii2_0_v6:3:400983:407593:1 gene:B456_003G006900 transcript:KJB17600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANINTANFNTSSASVTTVNHSPSSPKQSHRATRAGSSTRTGIGRREPDPIAGVPLSPSSSSSSPPPLIAATEQPPVVAVVEEEGGENAGSGSNGNAGKRPAWNKPSNGDAEIAAVMGTHRWPALSDSAKASPKSSSDSPRASLDGSLSSPPVVPVSQGSGSASSFSALQKPASNSANSNLNLTPNHAPTRQRSMKRNSNNSASNGSLSQPPPQGPIVESPVNSPSSRDQTQRSGFASQSHTGSNDHQHPRNSFRQRNGGPHPRGEGSHHQNFGGRRNQDHGNHEWNGRNFNNRDGHMQPRVAPRLMRHPPPPPLPNTLPFIAHTPMRPFGTPMGYPELASLYMVPAAPPESLRGLPFVAPMSPMFFPAPEPHDNQLHASIVNQIDYYFSNENLIKDTYLRQNMDDQGWVPIKLIAGFKKVSLLTDNIQLITDALQSSTVVEVQGDKVRKQIDWMRWIMPPSVHFPTMSGQDTLAARVQNISLDQRTANQSGESNPEDSDAGRPSYGDFSNQAQLFNNEGSTVGAHGGPASN >KJB17599 pep chromosome:Graimondii2_0_v6:3:400971:407593:1 gene:B456_003G006900 transcript:KJB17599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANINTANFNTSSASVTTVNHSPSSPKQSHRATRAGSSTRTGIGRREPDPIAGVPLSPSSSSSSPPPLIAATEQPPVVAVVEEEGGENAGSGSNGNAGKRPAWNKPSNGDAEIAAVMGTHRWPALSDSAKASPKSSSDSPRASLDGSLSSPPVVPVSQGSGSASSFSALQKPASNSANSNLNLTPNHAPTRQRSMKRNSNNSASNGSLSQPPPQGPIVESPVNSPSSRDQTQRSGFASQSHTGSNDHQHPRNSFRQRNGGPHPRGEGSHHQNFGGRRNQDHGNHEWNGRNFNNRDGHMQPRVAPRLMRHPPPPPLPNTLPFIAHTPMRPFGTPMGYPELASLYMVPAAPPESLRGLPFVAPMSPMFFPAPEPHDNQLHASIVNQIDYYFSNENLIKDTYLRQNMDDQGWVPIKLIAGFKKVSLLTDNIQLITDALQSSTVVEVQGDKVRKQIDWMRWIMPPSVHFPTMSGQDTLAARVQNISLDQRTANQSGESNPEDSDAGRPSYGDFSNQAQLFNNEGSTVGAHGGPASN >KJB21104 pep chromosome:Graimondii2_0_v6:3:45457648:45460450:1 gene:B456_003G183300 transcript:KJB21104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSFPWYTKTLLCPKPTKLCSLASHVSISTSPLFSHNFSISPLIITHKNLSFTLCSTLQEISFEAETEKTQKPNVKRKLFVLNLPWAFTVDDIKDLFGQYGNVKEVEEVSGRTIKVEFAKRLKRPSPASSKPIVLPSRETRHKVYVSNLNWKVRSSHLREFFSTFNPVSARVIFGTPSGQSAGYGFVSFATKEEAEAAISTLNGKELMDRPLRLKFSEKTADEPGDENTGQKEPDDQTEES >KJB21103 pep chromosome:Graimondii2_0_v6:3:45457619:45460450:1 gene:B456_003G183300 transcript:KJB21103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSFPWYTKTLLCPKPTKLCSLASHVSISTSPLFSHNFSISPLIITHKNLSFTLCSTLQEISFEAETEKTQKPNVKRKLFVLNLPWAFTVDDIKDLFGQYGNVKEVEIIKQKDGRSRNFAFVTMASGDEAQAAVDNLDSHEVSGRTIKVEFAKRLKRPSPASSKPIVLPSRETRHKVYVSNLNWKVRSSHLREFFSTFNPVSARVIFGTPSGQSAGYGFVSFATKEEAEAAISTLNGKELMDRPLRLKFSEKTADEPGDENTGQKEPDDQTEES >KJB18084 pep chromosome:Graimondii2_0_v6:3:3233338:3234618:1 gene:B456_003G033400 transcript:KJB18084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDDSCNTGLVLSLGFSSTLQTPSKPYNKNPSFLKLEPNDEPSLTLALSGVARGVKPASPLSCVSSFSSGNKPKRERELSSDEDEEGTVNGRKKLRLSKEQSSLLEESFKQHSTLNPKQKQALARQLNLKPRQVEVWFQNRRARTKLKQTEVDCEFLKKCYETLTDENRRLQKEVQELKALKLAAHPFHMQAATLTICPSCERTAASGSVINGDGNSKNLFSLASKTHHLYNNPLTNPSAAC >KJB20150 pep chromosome:Graimondii2_0_v6:3:39331523:39334972:-1 gene:B456_003G135200 transcript:KJB20150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALEMSLDDLIKRNRKSGSGNPRGRGRGSGPGPARRFPNRGANRSAPYTAATAPETTWQHDMYSDKGAAFQGQAGRAAAIETGTKLYVSNLDYGVSNDDIKELFSEVGDLKRYAIHYDWTGRSKGTAEVVFSRKADAMAAVKRYNNVQLDGKPMKIEIVGTNIATPAAPTVANVAFGSSNGAPRGGRGRGGGFRRQRGVAGGRGFGRGRGQGRGRGEKVSAEDLDADLEKYHTEAMQTN >KJB20148 pep chromosome:Graimondii2_0_v6:3:39331735:39334972:-1 gene:B456_003G135200 transcript:KJB20148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALEMSLDDLIKRNRKSGSGNPRGRGRGSGPGPARRFPNRGANRSAPYTAATAPETTWQHDMYSDKGAAFQGQAGRAAAIETGTKLYVSNLDYGVSNDDIKELFSEVGDLKRYAIHYDWTGRSKGTAEVVFSRKADAMAAVKRYNNVQLDGKPMKIEIVGTNIATPAAPTVANVAFGSSNGAPRGGRGRGGGFRRQRGVAGGRGFGRGRGQGRGRGEKVSAEDLDADLEKYHTEAMQTN >KJB20149 pep chromosome:Graimondii2_0_v6:3:39331475:39334972:-1 gene:B456_003G135200 transcript:KJB20149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALEMSLDDLIKRNRKSGSGNPRGRGRGSGPGPARRFPNRGANRSAPYTAATAPETTWQHDMYSDKGAAFQGQAGRAAAIETGTKLYVSNLDYGVSNDDIKELFSEVGDLKRYAIHYDWTGRSKGTAEVVFSRKADAMAAVKRYNNVQLDGKPMKIEIVGTNIATPAAPTVANVAFGSSNGAPRGGRGRGGGFRRQRGVAGGRGFGRGRGQGRGRGEKVSAEDLDADLEKYHTEAMQTN >KJB20754 pep chromosome:Graimondii2_0_v6:3:43221389:43226082:1 gene:B456_003G162900 transcript:KJB20754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKERQIQEWQGFYLNYKLMKKRVKQYAQQMEVGTQDRRHVLKDFSRMLDNQIEKTVLFILEQQGQLASRLTNLREQHDALEETPEISQITELREAYRAVGQDLLKLLCFVEMNAIGLRKILKKFDKRFRYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLHELQDRQGSYLSIYDPPALPLQDPVVDSINAAVDRLSHSTNFLNFLAQHALIMQEELPAPTDERIDDERYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGAMAVAQVFSSVYFSAWSNRSYFRPLVFSSIVLFVGNTMYALAYDMSSLTVLILGRLCCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGILQTNFKIYKLTFNANTLPGWVMAVAWLVYLVWLWISFKEPSRDIEEPPASNSETVENVALEEGKQPLLITSEEKQEDDEDPEGDGSEEAPEESRKPATSIVSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFSWSTSTVSIFLACLGLTVLPVNIVVGSYISNMFEDRQILLASEIMVCIGILLSFHMIIPYTIPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYLGESLLLNITLLPSFLICVASIVATCFTYNSLY >KJB20755 pep chromosome:Graimondii2_0_v6:3:43222455:43226082:1 gene:B456_003G162900 transcript:KJB20755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKERQIQEWQGFYLNYKLMKKRVKQYAQQMEVGTQDRRHVLKDFSRMLDNQIEKTVLFILEQQGQLASRLTNLREQHDALEETPEISQITELREAYRAVGQDLLKLLCFVEMNAIGLRKILKKFDKRFRYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLHELQDRQGSYLSIYDPPALPLQDPVVDSINAAVDRLSHSTNFLNFLAQHALIMQEELPAPTDERIDDERYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGAMAVAQVFSSVYFSAWSNRSYFRPLVFSSIVLFVGNTMYALAYDMSSLTVLILGRLCCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGILQTNFKIYKLTFNANTLPGWVMAVAWLVYLVWLWISFKEPSRDIEEPPASNSETVENVALEEGKQPLLITSEEKQEDDEDPEGDGSEEAPEESRKPATSIVSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFSWSTSTVSIFLACLGLTVLPVNIVVGSYISNMFEDRQILLASEIMVCIGILLSFHMIIPYTIPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYLGESLLLNITLLPSFLICVASIVATCFTYNSLY >KJB20758 pep chromosome:Graimondii2_0_v6:3:43222755:43224997:1 gene:B456_003G162900 transcript:KJB20758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKERQIQEWQGFYLNYKLMKKRVKQYAQQMEVGTQDRRHVLKDFSRMLDNQIEKTVLFILEQQGQLASRLTNLREQHDALEETPEISQITELREAYRAVGQDLLKLLCFVEMNAIGLRKILKKFDKRFRYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLHELQDRQGSYLSIYDPPALPLQDPVVDSINAAVDRLSHSTNFLNFLAQHALIMQEELPAPTDERIDDERYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGAMAVAQVFSSVYFSAWSNRSYFRPLVFSSIVLFVGNTMYALAYDMSSLTVLILGRLCCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGILQTNFKIYKLTFNANTLPGWVMAVAWLVYLVWLWISFKEPSRDIEEPPASNSETVENVALEEGKQPLLITSEEKQEDDEDPEGDGSEEAPEESRKPATSIVSAYRLLTPSVKVYKQRLLCYLCIDLFRNFKTRSFNT >KJB20759 pep chromosome:Graimondii2_0_v6:3:43222569:43226030:1 gene:B456_003G162900 transcript:KJB20759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRVKQYAQQMEVGTQDRRHVLKDFSRMLDNQIEKTVLFILEQQGQLASRLTNLREQHDALEETPEISQITELREAYRAVGQDLLKLLCFVEMNAIGLRKILKKFDKRFRYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLHELQDRQGSYLSIYDPPALPLQDPVVDSINAAVDRLSHSTNFLNFLAQHALIMQEELPAPTDERIDDERYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGAMAVAQVFSSVYFSAWSNRSYFRPLVFSSIVLFVGNTMYALAYDMSSLTVLILGRLCCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGILQTNFKIYKLTFNANTLPGWVMAVAWLVYLVWLWISFKEPSRDIEEPPASNSETVENVALEEGKQPLLITSEEKQEDDEDPEGDGSEEAPEESRKPATSIVSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFSWSTSTVSIFLACLGLTVLPVNIVVGSYISNMFEDRQILLASEIMVCIGILLSFHMIIPYTIPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYLGESLLLNITLLPSFLICVASIVATCFTYNSLY >KJB20756 pep chromosome:Graimondii2_0_v6:3:43222569:43226030:1 gene:B456_003G162900 transcript:KJB20756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKERQIQEWQGFYLNYKLMKKRVKQYAQQMEVGTQDRRHVLKDFSRMLDNQIEKTVLFILEQQGQLASRLTNLREQHDALEETPEISQITELREAYRAVGQDLLKLLCFVEMNAIGLRKILKKFDKRFRYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLHELQDRQGSYLSIYDPPALPLQDPVVDSINAAVDRLSHSTNFLNFLAQHALIMQEELPAPTDERIDDERYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGAMAVAQVFSSVYFSAWSNRSYFRPLVFSSIVLFVGNTMYALAYDMSSLTVLILGRLCCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGILQTNFKIYKLTFNANTLPGWVMAVAWLVYLVWLWISFKEPSRDIEEPPASNSETVENVALEEGKQPLLITSEEKQEDDEDPEGDGSEEAPEESRKPATSIVSAYRLLTPSVKVYKQRLLCYLCIDLFRNFKTRSFNT >KJB20757 pep chromosome:Graimondii2_0_v6:3:43222569:43226030:1 gene:B456_003G162900 transcript:KJB20757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKERQIQEWQGFYLNYKLMKKRVKQYAQQMEVGTQDRRHVLKDFSRMLDNQIEKTVLFILEQQGQLASRLTNLREQHDALEETPEISQITELREAYRAVGQDLLKLLCFVEMNAIGLRKILKKFDKRFRYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLHELQDRQGSYLSIYDPPALPLQDPVVDSINAAVDRLSHSTNFLNFLAQHALIMQEELPAPTDERIDDERYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGAMAVAQVFSSVYFSAWSNRSYFRPLVFSSIVLFVGNTMYALAYDMSSLTVLILGRLCCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGILQTNFKIYKLTFNANTLPGWVMAVAWLVYLVWLWISFKEPSRDIEEPPASNSETVENVALEEGKQPLLITSEEKQEDDEDPEGDGSEEAPEESRKPATSIVSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFSWSTSTVSIFLACLGLTVLPVNIVVGSYISNMFEDRQILLASEIMVCIGILLSFHMIIPYTIPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYLGESLLLNITLLPSFLICVASIVATCFTYNSLY >KJB17833 pep chromosome:Graimondii2_0_v6:3:1545810:1547253:-1 gene:B456_003G020700 transcript:KJB17833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKPKRNPSFFKILIGNFDKKQRIPPAFVKYIFKGNVPTMLTLYSDSGNSWRVRIKVEQGSYFFNNGWSKFVKYRDLEIGDFLVFFLVDSSTFDVFIYNRTACAKIIILAAKKQKGRSPRVSRQIEQTPSHKCTSTSKKPRTVPRAQEVEFVSGVTPKSVSYVMVVKGYNKYYAGIPRCFTEEAGLGKVSMVMIKGPSGMWPMMTTFSSKQVLLSVGWSKFLHENEIVIGDTLLFEYVPNTGHLIHVQVVNKDGTGNCGSLAGKRPRDRPREQTELPPSTKYASSYKRTKGVSELILEQASFVVVLKKYHQYSVSVPASFLPKKQAWQRNRAR >KJB17835 pep chromosome:Graimondii2_0_v6:3:1545264:1547253:-1 gene:B456_003G020700 transcript:KJB17835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKPKRNPSFFKILIGNFDKKQRIPPAFVKYIFKGNVPTMLTLYSDSGNSWRVRIKVEQGSYFFNNGWSKFVKYRDLEIGDFLVFFLVDSSTFDVFIYNRTACAKIIILAAKKQKGRSPRVSRQIEQTPSHKCTSTSKKPRTVPRAQEVEFVSGVTPKSVSYVMVVKGYNKYYAGIPRCFTEEAGLGKVSMVMIKGPSGMWPMMTTFSSKQVLLSVGWSKFLHENEIVIGDTLLFEYVPNTGHLIHVQVVNKDGTGNCGSLAGKRPRDRPREQTELPPSTKYASSYKRTKGVSELILEQASFVVVLKKYHQYSVPVFCQRNKLGRGTEQGDKGLRG >KJB17834 pep chromosome:Graimondii2_0_v6:3:1545264:1547253:-1 gene:B456_003G020700 transcript:KJB17834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKPKRNPSFFKILIGNFDKKQRIPPAFVKYIFKGNVPTMLTLYSDSGNSWRVRIKVEQGSYFFNNGWSKFVKYRDLEIGDFLVFFLVDSSTFDVFIYNRTACAKIIILAAKKQKGRSPRVSRQIEQTPSHKCTSTSKKPRTVPRAQEVEFVSGVTPKSVSYVMVVKGYNKYYAGIPRCFTEEAGLGKVSMVMIKGPSGMWPMMTTFSSKQVLLSVGWSKFLHENEIVIGDTLLFEYVPNTGHLIHVQVVNKDGTGNCGSLAGKRPRDRPREQTELPPSTKYASSYKRTKELILEQASFVVVLKKYHQYSVSVPASFLPKKQAWQRNRAR >KJB20450 pep chromosome:Graimondii2_0_v6:3:41667568:41669182:1 gene:B456_003G149200 transcript:KJB20450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFANKAHQVILELVPFPINTNPSLLLNWFLSLLEILIQKQCAFEEIKQCIPGHLVGSKELLAGISSA >KJB18959 pep chromosome:Graimondii2_0_v6:3:18922082:18923942:1 gene:B456_003G077500 transcript:KJB18959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYPCCFSFLLVSLFVSFCYAEIRLTEIRHDDRPIIPFDEFGFTHSGRLELNVSNIALSNQNPDLDMSKVGFFLCTRDAWMQVLLQIEDGYINCALNSDFVKLVFEFKQLKGKSNSFNTVYQENTADQYTLVFANCLSHVKVSMDVRSAMYNLDGKEKHRDYLSAGKTILPRVYFIFALVYFTLAGIWIYVLYLKRLTVFRIHLFMLAVIILKAVNLLCEAEDKSYIKRTGSAHGWDVLFYIFSFLKGVMLFTLIVLIGTGWSFLKPYLQDKEKNVLMVVIPLQVVANVAQIVIDETGPFGHDWMVWKQVFLLVDVVCCCAVLFPIVWSIKNLREAAKTDGKAAVNLMKLTLFRQYYIVVICYIYFTRVVVYALVTITSYKYLWTSVVAGEVATLAFYVFTGYKFKPEPHNPYFVIDDEEEEAAAEQLKLEDEFEL >KJB19165 pep chromosome:Graimondii2_0_v6:3:23072843:23091197:-1 gene:B456_003G087100 transcript:KJB19165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNSDPTKSKRLYQVWKGSNRFFCGGRLIFGPDVASLFLSTFLIAGPAIAFCIRMYIKIKHENTNAGRCYSILILGALLTALDLLFLFFTSGRDPGILPRNSKPPESDEALDVTTPSMEWVNGRTPHLKLPRAKDVIVNGHTVKVKYCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGIRNYRFFFMFISTSTILCLYVFVCSWINVLEKEAGNDSNIWKAMSHDILSIILIVYCFIAVWFVGGLTVFHFYLICTNQTTYENFRYRYDKKENPYNKGTAGNLGEMFLSKIPPSLNDFRSFVEESEHMVMGSMMPNFMEGHISSKEKIDIEMGNRLAEDTGYSLPEILRNLEFDDFEDELMIKQEGERDMDSLFLGGQDMKPSDEVSIPGGETVRTEQSSASKNGDGEFMESYSARNETDTSGKPIM >KJB19161 pep chromosome:Graimondii2_0_v6:3:23072210:23091641:-1 gene:B456_003G087100 transcript:KJB19161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNSDPTKSKRLYQVWKGSNRFFCGGRLIFGPDVASLFLSTFLIAGPAIAFCIRMYIKIKHENTNAGRCYSILILGALLTALDLLFLFFTSGRDPGILPRNSKPPESDEALDVTTPSMEWVNGRTPHLKLPRAKDVIVNGHTVKVKYCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGIRNYRFFFMFISTSTILCLYVFVCSWINVLEKEAGNDSNIWKAMSHDILSIILIVYCFIAVWFVGGLTVFHFYLICTNQTTYENFRYRYDKKENPYNKGTAGNLGEMFLSKIPPSLNDFRSFVEESEHMVMGSMMPNFMEGHISSKEKIDIEMGNRLAEDTGYSLPEILRNLEFDDFEDELMIKQEGERDMDSLFLGGQDMKPSDEVSIPGGETVRTEQSSASKNGDGEFMESYSARNETDTSGKPIM >KJB19163 pep chromosome:Graimondii2_0_v6:3:23072210:23091770:-1 gene:B456_003G087100 transcript:KJB19163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNSDPTKSKRLYQVWKGSNRFFCGGRLIFGPDVASLFLSTFLIAGPAIAFCIRMYIKIKHENTNAGRCYSILILGALLTALDLLFLFFTSGRDPGILPRNSKPPESDEALDVTTPSMEWVNGRTPHLKLPRAKDVIVNGHTVKVKYCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGIRNYRFFFMFISTSTILCLYVFVCSWINVLEKEAGNDSNIWKAMSHDILSIILIVYCFIAVWFVGGLTVFHFYLICTNQTTYENFRYRYDKKENPYNKGTAGNLGEMFLSKIPPSLNDFRSFVEESEHMVMGSMMPNFMEGHISSKEKIDIEMGNRLAEDTGYSLPEILRNLEFDDFEDELMIKQEGERDMDSLFLGGQDMKPSDEVSIPGGETVRTEQSSASKNGDGEFMESYSARNETDTSGKPIM >KJB19160 pep chromosome:Graimondii2_0_v6:3:23072210:23091640:-1 gene:B456_003G087100 transcript:KJB19160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKIKHENTNAGRCYSILILGALLTALDLLFLFFTSGRDPGILPRNSKPPESDEALDVTTPSMEWVNGRTPHLKLPRAKDVIVNGHTVKVKYCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGIRNYRFFFMFISTSTILCLYVFVCSWINVLEKEAGNDSNIWKAMSHDILSIILIVYCFIAVWFVGGLTVFHFYLICTNQTTYENFRYRYDKKENPYNKGTAGNLGEMFLSKIPPSLNDFRSFVEESEHMVMGSMMPNFMEGHISSKEKIDIEMGNRLAEDTGYSLPEILRNLEFDDFEDELMIKQEGERDMDSLFLGGQDMKPSDEVSIPGGETVRTEQSSASKNGDGEFMESYSARNETDTSGKPIM >KJB19164 pep chromosome:Graimondii2_0_v6:3:23072843:23091197:-1 gene:B456_003G087100 transcript:KJB19164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNSDPTKSKRLYQVWKGSNRFFCGGRLIFGPDVASLFLSTFLIAGPAIAFCIRMYIKIKHENTNAGRCYSILILGALLTALDLLFLFFTSGRDPGILPRNSKPPESDEALDVTTPSMEWVNGRTPHLKLPRAKDVIVNGHTVKVKYCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGIRNYRFFFMFISTSTILCLYVFVCSWINVLEKEAGNDSNIWKAMSHDILSIILIVYCFIAVWFVGGLTVFHFYLICTNQTTYENFRYRYDKKENPYNKGTAGNLGEMFLSKIPPSLNDFRSFVEESEHMVMGSMMPNFMEGHISSKEKIDIEMGNRLAEDTGYSLPEILRNLEFDDFEDELMIKQEGERDMDSLFLGGQDMKPSDEVSIPGGETVRTEQSSASKNGDGEFMESYSARNETDTSGKPIM >KJB19166 pep chromosome:Graimondii2_0_v6:3:23077535:23091640:-1 gene:B456_003G087100 transcript:KJB19166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNSDPTKSKRLYQVWKGSNRFFCGGRLIFGPDVASLFLSTFLIAGPAIAFCIRMYIKIKHENTNAGRCYSILILGALLTALDLLFLFFTSGRDPGILPRNSKPPESDEALDVTTPSMEWVNGRTPHLKLPRAKDVIVNGHTVKVKYCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGIRNYRFFFMFISTSTILCLYVFVCSWINVLEKEAGNDSNIWKAMSHDILSIILIVYCFIAVWFVGGLTVFHFYLICTNQVSFLSKLHVFLKN >KJB19162 pep chromosome:Graimondii2_0_v6:3:23072843:23091197:-1 gene:B456_003G087100 transcript:KJB19162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNSDPTKSKRLYQVWKGSNRFFCGGRLIFGPDVASLFLSTFLIAGPAIAFCIRMYIKIKHENTNAGRCYSILILGALLTALDLLFLFFTSGRDPGILPRNSKPPESDEALDVTTPSMEWVNGRTPHLKLPRAKDVIVNGHTVKVKYCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGIRNYRFFFMFISTSTILCLYVFVCSWINVLEKEAGNDSNIWKAMSHDILSIILIVYCFIAVWFVGGLTVFHFYLICTNQTTYENFRYRYDKKENPYNKGTAGNLGEMFLSKIPPSLNDFRSFVEESEHMVMGSMMPNFMEGHISSKEKIDIEMGNRLAEDTGYSLPEILRNLEFDDFEDELMIKQEGERDMDSLFLGGQDMKPSDEVSIPGGETVRTEQSSASKNGDGEFMESYSARNETDTSGKPIM >KJB19740 pep chromosome:Graimondii2_0_v6:3:35600279:35603346:-1 gene:B456_003G117100 transcript:KJB19740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSESGSESDPNGWSRARGLVVKTLALIGGAFLLKRLTKSTTRWDHAKIVARSLSGEKFSREQASRDPDNYFNFRILTCPATEMVDGSKVLYFEQAFWRTPQKPFRQRFLMVKPCPKDLKCDVEVSSYAIRDVEDYKNFCDRPRDQRPLPEEVIGDIAEPLTTIYLNRCERGKRCLYEGSTPPGGFPNSWNGATHFTSELMVLKNNEIRTWDRGYDDEGNQVWGVKEGPYEFKLAPASSFNGMFSPLNFPLSQPLEKKIEGSFVLQE >KJB19059 pep chromosome:Graimondii2_0_v6:3:20542708:20546888:1 gene:B456_003G082900 transcript:KJB19059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNATTSSQCHPMKATSNGVFQGDNPLDFALPLAILQICIVVALTRILAFLLKPLRQPRVIAEIVGGILLGPSALGRNKNYLNTIFPTKSLTVLDTIANLGLLFFLFLVGLELDMKSVRRTGKKALSIAVAGISLPFILGIGTSYALRATISKGVDEAPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSATDKSPLVSLWVFLSGTGFIVGCIFIVPPIFKWMIQRCPEGEPVNELYVCATLTAVLAAGFITDLIGIHALFGAFVMGVLVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNIATIQGAQSWGLLVLVIITACFGKIVGTVSVSLLCKLPFSEALALGVLMNTKGLVELIVLNIGKDRKVLNDETFAIMVLMAVFTTFLTTPLVMAVYKPAKRQGKGDYKHKTIERKESNSQLRILACFHSYRNIPTMINLIEASRGTEKKEGLCVYALHLMELSERPSAILMVHRARKNGLPFWNKGTQSDGDQIVVVFETFRQLSRVSVRPMTAISSLSSLHEDICESAERKRAAMIVLPFHKHQRVDGTFETTRTDYQLINKRVLENAPCSVGILVDRGLGGSTHISASNVDSIITVLFFGGNDDREALAYGARMAEHPGISLTIVRFLPGPEVSGEIVTTDISTSDEQYLMEFKNKISNDKSISFEERVVRNSTETIEAIGEFNRCNLFVVGQMPETHVTAKLNAKSECPELGPIGSLLTSQEFSTTASVLVVQQYKIAKKSPRPSISSTKVAVAAISNEDLESA >KJB20355 pep chromosome:Graimondii2_0_v6:3:41054341:41061046:1 gene:B456_003G144400 transcript:KJB20355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWEDTDADVRVEEGGDSINAATTPVSPSLSKLNSGSLPSPPMPEAAAVTRKTAGASVVWKDLAVTIKGKRKYSDKVVKSSNGYALPGTMTVIMGPAKSGKSTLLRAIAGRLHPSAKMYGEVFINGAKTRLLYGSYGFVDRETTLICSLTVREYLYYSALLQLPGFFCQKKSVVEEAIQAMSLGDYANKLIGGHCYMKGLARGERRRVSIARELVMRPRILFIDEPLYHLDSVSALLMMVTLKKLASTGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAAAVETMMLRLTEKVQHEGPLLKSKGKASNATRIAVLTWRSLLIMSREWKYYWLRLILYMILTLCIGTVFSGLGHSLSSVVKRVAAIFVFVSFTSLLSIAGVPALLKEVKIYASEESNQHSGALVFLFGQLLSSIPFLFLISISSSLVFYFLIGLRDGFSLLMYFVLNFFMCLFVNEGLLLAVTSLWQNIFWSILTLVTIHVVMMLSAGYLRIRNELPRPMWKYPLSYIAFHTYSIQGLLENEYLGTNFAVGQVRTISGFQALHSAYDISQSSNSKWENLLVLFLMAIGYRILVFVLLHFHVRKNVSLHRIWQCRKSTT >KJB20353 pep chromosome:Graimondii2_0_v6:3:41054249:41061055:1 gene:B456_003G144400 transcript:KJB20353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWEDTDADVRVEEGGDSINAATTPVSPSLSKLNSGSLPSPPMPEAAAVTRKTAGASVVWKDLAVTIKGKRKYSDKVVKSSNGYALPGTMTVIMGPAKSGKSTLLRAIAGRLHPSAKMYGEVFINGAKTRLLYGSYGFVDRETTLICSLTVREYLYYSALLQLPGFFCQKKSVVEEAIQAMSLGDYANKLIGGHCYMKGLARGERRRVSIARELVMRPRILFIDEPLYHLDSVSALLMMVTLKKLASTGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAAAVETMMLRLTEKEGPLLKSKGKASNATRIAVLTWRSLLIMSREWKYYWLRLILYMILTLCIGTVFSGLGHSLSSVVKRVAAIFVFVSFTSLLSIAGVPALLKEVKIYASEESNQHSGALVFLFGQLLSSIPFLFLISISSSLVFYFLIGLRDGFSLLMYFVLNFFMCLFVNEGLLLAVTSLWQNIFWSILTLVTIHVVMMLSAGYLRIRNELPRPMWKYPLSYIAFHTYSIQGLLENEYLGTNFAVGQVRTISGFQALHSAYDISQSSNSKWENLLVLFLMAIGYRILVFVLLHFHVRKNVSLHRIWQCRKSTT >KJB20354 pep chromosome:Graimondii2_0_v6:3:41054341:41061046:1 gene:B456_003G144400 transcript:KJB20354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWEDTDADVRVEEGGDSINAATTPVSPSLSKLNSGSLPSPPMPEAAAVTRKTAGASVVWKDLAVTIKGKRKYSDKVVKSSNGYALPGTMTVIMGPAKSGKSTLLRAIAGRLHPSAKMYGEVFINGAKTRLLYGSYGFVDRETTLICSLTVREYLYYSALLQLPGFFCQKKSVVEEAIQAMSLGDYANKLIGGHCYMKGLARGERRRVSIARELVMRPRILFIDEPLYHLDSVSALLMMVTLKKLASTGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAAAVETMMLRLTEKEGPLLKSKGKASNATRIAVLTWRSLLIMSREWKYYWLRLILYMILTLCIGTVFSGLGHSLSSVVKRVAAIFVFVSFTSLLSIAGVPALLKEVKIYASEESNQHSGALVFLFGQLLSSIPFLFLISISSSLVFYFLIGLRDGFSLLMYFVLNFFMCLFVNEGLLLAVTSLWQNIFWSILTLVTIHVVMMLSAGYLRIRNELPRPMWKYPLSYIAFHTYSIQGLLENEYLGTNFAVGQVRTISGFQALHSAYDISQSSNSKWENLLVLFLMAIGYRILVFVLLHFHVRKNVSLHRIWQCRKSTT >KJB21119 pep chromosome:Graimondii2_0_v6:3:45480293:45482642:-1 gene:B456_003G183600 transcript:KJB21119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREEVKEPKPSFPLSLWELSVASTVVIGFLVGLAGVYLTMPASDYSFLKFPRSLEDLQLLRDHLETYTSDYTIQVLVGYCVVYIFMQTFMIPGTVFMSLLAGALFGVFKGVALVVFTATAGASSCYFLSKVVGRPLVFSLWPDKLSFFQAQVAQRRECLLNYMLFLRLTPTLPNTFINVASPIVDIPYRIFFLATFIGLIPAAYITVKAGIALGELQSVGDLYDFKSIATLFIIGVVSVTPTLMSKTKS >KJB20592 pep chromosome:Graimondii2_0_v6:3:42424451:42425013:-1 gene:B456_003G155200 transcript:KJB20592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVLKLDLHDDKEKKKAMKAVSGLSGIDSISMDMKDKKMTVIGGVDPIKVASKLKKQWHTQILTVGPAKEEKKDGGKKDEGGKKDDDSKKKESEQIAELIRAYNAYNQHMAMYYRSDEYPNSCIIC >KJB20591 pep chromosome:Graimondii2_0_v6:3:42424366:42425160:-1 gene:B456_003G155200 transcript:KJB20591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVLKLDLHDDKEKKKAMKAVSGLSDKKMTVIGGVDPIKVASKLKKQWHTQILTVGPAKEEKKDGGKKDEGGKKDDDSKKKESEQIAELIRAYNAYNQHMAMYYRSDEYPNSCIIC >KJB18450 pep chromosome:Graimondii2_0_v6:3:8166502:8169685:-1 gene:B456_003G053300 transcript:KJB18450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLHDSISAANAVATNGNTVPPFLSKTYDVVDDPSTNSVISWSSANNSFVVWKVPEFSRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTISRRKPANVHGNQQPQVHSSAVGACIEVGTFGLEEEVERLKRDKNVLMQELVRLRQQQQATDNQLQAVGQRVQVMEQRQQQMMSFLAKAMQSPGFLSQLVQQQNESNRHLTGGNKKRRLSRQDEENLTGEHGTIFPNRRIVKFQPSLNEAAKAMLHQILKMNTSPRLEPSIDNPDAFMIDGIPPSNALDSRSCSSRISGVTLSEVPPASGQSYLQAESGFPNSCPCTATHLTTESTNSGKISDINQHKSQKAAVFPTVPHMQGVVTDNDVGFTNASSGGGSQRVNAEYIDPVSAILNGARPIQTDDFSSDRDMDMLLDGTPKLPAISDVFWEQFLTTSPPSGDSDEINSSSPENGASCEPELLLGKNNGRDRIQHTNHLTEQMGLLTTDNRRG >KJB18449 pep chromosome:Graimondii2_0_v6:3:8165812:8169790:-1 gene:B456_003G053300 transcript:KJB18449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLHDSISAANAVATNGNTVPPFLSKTYDVVDDPSTNSVISWSSANNSFVVWKVPEFSRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTISRRKPANVHGNQQPQVHSSAVGACIEVGTFGLEEEVERLKRDKNVLMQELVRLRQQQQATDNQLQAVGQRVQVMEQRQQQMMSFLAKAMQSPGFLSQLVQQQNESNRHLTGGNKKRRLSRQDEENLTGEHGTIFPNRRIVKFQPSLNEAAKAMLHQILKMNTSPRLEPSIDNPDAFMIDGIPPSNALDSRSCSSRISGVTLSEVPPASGQSYLQAESGFPNSCPCTATHLTTESTNSGKISDINQHKSQKAAVFPTVPHMQGVVTDNDVGFTNASSGGGSQRVNAEYIDPVSAILNGARPIQTDDFSSDRDMDMLLDGTPKLPAISDVFWEQFLTTSPPSGDSDEINSSSPENGASCEPELLLGKNNGRDRIQHTNHLTEQMGLLTTDNRRG >KJB18448 pep chromosome:Graimondii2_0_v6:3:8164875:8169845:-1 gene:B456_003G053300 transcript:KJB18448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLHDSISAANAVATNGNTVPPFLSKTYDVVDDPSTNSVISWSSANNSFVVWKVPEFSRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTISRRKPANVHGNQQPQVHSSAVGACIEVGTFGLEEEVERLKRDKNVLMQELVRLRQQQQATDNQLQAVGQRVQVMEQRQQQMMSFLAKAMQSPGFLSQLVQQQNESNRHLTGGNKKRRLSRQDEENLTGEHGTIFPNRRIVKFQPSLNEAAKAMLHQILKMNTSPRLEPSIDNPDAFMIDGIPPSNALDSRSCSSRISGVTLSEVPPASGQSYLQAESGFPNSCPCTATHLTTESTNSGKISDINQHKSQKAAVFPTVPHMQGVVTDNDVGFTNASSGGGSQRVNAEYIDPVSAILNGARPIQTDDFSSDRDMDMLLDGTPKLPAISDVFWEQFLTTSPPSGDSDEINSSSPENGASCEPELLLGKNNGRDRIQHTNHLTEQMGLLTTDNRRG >KJB20033 pep chromosome:Graimondii2_0_v6:3:38286986:38289542:1 gene:B456_003G129800 transcript:KJB20033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSPAIVMILFVAVGCFMAYPEAVTAKHTGITRHYTFNIKLKNITRLCHTKSIVTVNGKFPGPRVITREGDRLVVKVVNHVPNNISIHWHGVRQLRSGWADGPSYITQCPIQTGHSYVYNFTITGQRGTLFWHAHISWLRATVYGPLIILPRRNESYPFVKPYKEVPILFGEWFNADPEAVINQSLQTGGGPNVSDAYTFNGLPGPLYNCSAKDTYKLKVKPGKTYLLRLINAALNDELFFSIADHSLTVVEADAVYVKPFETNVLMITPGQTTNVLLKTKPKAPNATFLMLARPYATGMGTFDNTTAAGILEYETPSSSLKNRPLLKPRLPAINATNFVANFTSKFRSLATAKFPANVPQKVDKEFFFTVGLGTKPCPNNQTCQGPTNTTKFAASMNNISFALPRIALLQSQFFSQYSKGVYTTDFPAFPLIPFNYTGTPPNNTVVNNGTKLVVIPFNTSVEVVLQDTSILGAESHPLHLHGYNFYVVGQGFGNFDPENDPPKFNLVDPVERNTVGVPSGGWVAIRFQADNPGVWLMHCHFDVHQSWGLGMAWVVLDGELPNQKLPPPPSDLPKC >KJB20696 pep chromosome:Graimondii2_0_v6:3:43053652:43054648:1 gene:B456_003G161800 transcript:KJB20696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERATSTNDKRYVVQFTNLYQDRNSGTKLSKEYNSVDIRPLPPPQPPRKFKVGDIVEAYFDDGWYEGKIDQVLDDDRYIFRMSSMFLLFGVNQLRLHRTWLNGNWVPPLDESELAVEKTKEKEEEFSEGAHVEVTNIDGDGSNQAWFAATIVKPVGNNRYLIRFETLRTEDDTGFLEKEMDSSHIRPPPPHIPVPDQFKMFDHVEVLYKGGWWKGVIAEVLPDDSKYLVFLANYDKLECKHSDLRPRQGSIDEN >KJB20193 pep chromosome:Graimondii2_0_v6:3:39661653:39663784:1 gene:B456_003G137500 transcript:KJB20193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKGMRSIFFKSPSPTRMTPPSSPLHHTFSESLMEENIEVAQFIITKWDSSHDDNLVSLFSDKDEARQYLGSIKGLQKAMKYLVLHQSNSEKLVRAQLLMQTAMKRLQKEFYQILKSNRDYLDPESVSAYSSSRPSVSRSSFSEFEEEEEEEEDESENENDSVPEVERASLAAMADLRAIAEAMISAGYAKECIKVYKIIRKSIVDEALYHLGVERELTFQKIQKMEWEVLEFKIKNWLSAVKMAVKTLFYGERLLCDQVFSVSAAIRESCFTEISKEGALALFGFPENVAKCKKTPEKLFRILDLYEAVSGLWPEVESIFSFESTSAVRATAVNSLIKLGDTVRTMLMDFETAIQKDSSKTTVPGGGIHPLTRYVMNYISFLADYCGILLDIFADWPFTVPSALPESYFGSPDSEGSISSPISVRLAWLILVMLCKLDGKAAMYKDVPLSYLFLANNLQYVTEKVRQSNLKFLLGDDWLINHELKVKQYAENYEKIGWSKVLASLPENQTAENPADRVNDHFKKFNSAFEEAYMKQISWVVPDPKLRDHIKISMARRIIPIYKEFYEAYGGVQMKKEMWGEPFIRFTPDDLGNYLSDMFYGSKSSGSVSSSSRGGGRSH >KJB19007 pep chromosome:Graimondii2_0_v6:3:19786144:19789826:-1 gene:B456_003G080600 transcript:KJB19007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKESELIRRCIEAACQSKESVEKWRRQRRTLERLPSHLSQSLLRNLLRRGLLFPSLLEVFKYSVEEIDLRGENSVDAEWMAYLGAFRYLRSLNLGDCHRINNSAVWSIVGMTSLKEVDLSRCMKVTDAGVKHLISISTLEKLWISETGVTANGVALLASLKNLSVLDLGGLPVTDSALNSLQALTKLRYLDLWGSKISNQGALVLQRFPKLSFLNLAWTNVTRFPNLSALECLNMSNCTIDSILEGNGDKAPLLKLVCAGATFMNEAEAFLYIKTSSLSLLDVSKSSLSRFGVLADMKMLEHLDLSSGTMGDDSVEMIVCIGANLKNLNLSSTRVSSVGIGILVGHVPKLENLSLSHTAIDDVALSYIGLMPSLKVIDLSNTNIKGFFLQLGTESHVDSTLTALQNLSYLESLNLEHTQVRDPDLHPLLSCKNLSHLSLKCASLTDATLHLLSSLPKLTTLHVRDAVLTTNGLDAFSPPTTLRVLDLMGCWLLTEDAISQFLKKHPQVEIRHEIVQNLSTEHQTISNRLSTSQQSLKGPQRNKKQGNLPMPEFFVGGVTPNV >KJB19008 pep chromosome:Graimondii2_0_v6:3:19786716:19789641:-1 gene:B456_003G080600 transcript:KJB19008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKESELIRRCIEAACQSKESVEKWRRQRRTLERLPSHLSQSLLRNLLRRGLLFPSLLEVFKYSVEEIDLRGENSVDAEWMAYLGAFRYLRSLNLGDCHRINNSAVWSIVGMTSLKEVDLSRCMKVTDAGVKHLISISTLEKLWISETGVTANGVALLASLKNLSVLDLGGLPVTDSALNSLQALTKLRYLDLWGSKISNQGALVLQRFPKLSFLNLAWTNVTRFPNLSALECLNMSNCTIDSILEGNGDKAPLLKLVCAGATFMNEAEAFLYIKTSSLSLLDVSKSSLSRFGVLADMKMLEHLDLSSGTMGDDSVEMIVCIGANLKNLNLSSTRVSSVGIGILVGHVPKLENLSLSHTAIDDVALSYIGLMPSLKVIDLSNTNIKGFFLQLGTESHVDSTLTALQNLSYLESLNLEHTQVRDPDLHPLLSCKNLSHLSLKCASLTDATLHLLSSLPKLTTLHVRDAVLTTNGLDAFSPPTTLRVLDLMGCWLLTEDAISQFLKKHPQVEIRHEIVQNLSTEHQTISNRLSTSQQSLKGPQRNKKQGNLPMPEFFVDQRLKYNREELLALQFSPLSLQSPHDAGGVTPNV >KJB20931 pep chromosome:Graimondii2_0_v6:3:44429943:44430463:-1 gene:B456_003G173000 transcript:KJB20931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYRRRYHNRSERYYSPKFTRSKLNDSDKSWEPLPAWEKKFCIKVGAMPWKRFVESKNNLFKTDKEAKQRFWEYYHRFPCTNKVPSNAADTYIDDIDWNSKIDPKLFLEINSISDDEEEEEEIKRIDSFSILLEQIKATGWDWIIE >KJB20423 pep chromosome:Graimondii2_0_v6:3:41523584:41526202:-1 gene:B456_003G147700 transcript:KJB20423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYSFNWIAKSITKPVMYTIHSNFGKPHLNLTTLFKTLSTKPPQNGKDDSWNDAWETAWLPDDISPKNRAPWEADVNFPSNEESAKMALSSDVDAETKAFVEDMNENWNERRKSPKQKQKEEAEKEGKGEGGGLYSLENIKKDYRLKKQRIHAGLWMKEIDKLEEAKLGDSADDIDRLLDSCSEIFDSTNADLENSRVPSSSELKTKPDGWETTSKAPDGNVWEMSQREEDILLQEFDRRIAYCKFQIASFIKTHIFSGGNLLMGGNT >KJB20427 pep chromosome:Graimondii2_0_v6:3:41524474:41526202:-1 gene:B456_003G147700 transcript:KJB20427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYSFNWIAKSITKPVMYTIHSNFGKPHLNLTTLFKTLSTKPPQNGKDDSWNDAWETAWLPDDISPKNRAPWEADVNFPSNEESAKMALSSDVDAETKAFVEDMNENWNERRKSPKQKQKEEAEKEGKGEGGGLYSLENIKKDYRLKKQRIHAGLWMKEIDKLEEAKLGDSADDIDRLLDSCSEIFDSTNADLENSRVPSSSELKTKPDGWETTSKAPDGNVWEMSQREEDILLQEFDRRIAYCKFQVCVCVVLLFTSFLSWVLWSIEL >KJB20422 pep chromosome:Graimondii2_0_v6:3:41523261:41526367:-1 gene:B456_003G147700 transcript:KJB20422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYSFNWIAKSITKPVMYTIHSNFGKPHLNLTTLFKTLSTKPPQNGKDDSWNDAWETAWLPDDISPKNRAPWEADVNFPSNEESAKMALSSDVDAETKAFVEDMNENWNERRKSPKQKQKEEAEKEGKGEGGGLYSLENIKKDYRLKKQRIHAGLWMKEIDKLEEAKLGDSADDIDRLLDSCSEIFDSTNADLENSRVPSSSELKTKPDGWETTSKAPDGNVWEMSQREEDILLQEFDRRIAYCKFQIASFIKTHIFSGGNLLMGGNT >KJB20424 pep chromosome:Graimondii2_0_v6:3:41523227:41526367:-1 gene:B456_003G147700 transcript:KJB20424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYSFNWIAKSITKPVMYTIHSNFGKPHLNLTTLFKTLSTKPPQNGKDDSWNDAWETAWLPDDISPKNRAPWEADVNFPSNEESAKMALSSDVDAETKAFVEDMNENWNERRKSPKQKQKEEAEKEGKGEGGGLYSLENIKKDYRLKKQRIHAGLWMKEIDKLEEAKLGDSADDIDRLLDSCSEIFDSTNADLENSRVPSSSELKTKPDGWETTSKAPDGNVWEMSQREEDILLQEFDRRIAYCKFQIASFIKTHIFSGGNLLMGGNT >KJB20426 pep chromosome:Graimondii2_0_v6:3:41524474:41526202:-1 gene:B456_003G147700 transcript:KJB20426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYSFNWIAKSITKPVMYTIHSNFGKPHLNLTTLFKTLSTKPPQNGKDDSWNDAWETAWLPDDISPKNRAPWEADVNFPSNEESAKMALSSDVDAETKAFVEDMNENWNERRKSPKQKQKEEAEKEGKGEGGGLYSLENIKKDYRLKKQRIHAGLWMKEIDKLEEAKLGDSADDIDRLLDSCSEYDF >KJB20425 pep chromosome:Graimondii2_0_v6:3:41525287:41525952:-1 gene:B456_003G147700 transcript:KJB20425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYSFNWIAKSITKPVMYTIHSNFGKPHLNLTTLFKTLSTKPPQNGKDDSWNDAWETAWLPDDISPKNRAPWEADVNFPSNEESAKMALSSDVDAETKAFVEDMNENWNERRKSPKQKQKEEAEKEGKGEGGGLYSLENIKKDYRLKKQRIHAGLWMKEIDKLEEAKLGDSADDIDRLLDSCSEYVFSFIHFVSLLCWFNVIFWKGFWFLLLSILKLVFIS >KJB19817 pep chromosome:Graimondii2_0_v6:3:36092863:36095322:1 gene:B456_003G120100 transcript:KJB19817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKNRSSPSSATPKLMEVSGFSLMNEDLLRNILSRLPAISFASAATVSKTWNAACCHILSRPKLSSAISLKPLPLVALQEVFDKVMSEPIRPHFAIASVGPGFEIKDVLQFMVEKVRSRTPIIVSSVKGILGRDALSHEFREVKWIDGNIDEVPVNTGIVLTVGFVPGLKVDVIPLLRQRKAPQGSMIDKFVQDIKSYTSSMSGCTSPQAIIMIGDGSVDQKPILEKLDYAMSMETIIVGDERGQFVYRSSDVSRNVGTNKKHSPDAVALVFARDRNKARV >KJB19819 pep chromosome:Graimondii2_0_v6:3:36092863:36097622:1 gene:B456_003G120100 transcript:KJB19819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKNRSSPSSATPKLMEVSGFSLMNEDLLRNILSRLPAISFASAATVSKTWNAACCHILSRPKLSSAISLKPLPLVALQEVFDKVMSEPIRPHFAIASVGPGFEIKDVLQFMVEKVRSRTPIIVSSVKGILGRDALSHEFREVKWIDGNIDEVPVNTGIVLTVGFVPGLKVDVIPLLRQRKAPQGSMIDKFVQDIKSYTSSMSGCTSPQAIIMIGDGSVDQKPILEKLDYAMSMETIIVGDERGQFVYRSSDVSRNVGTNKKHSPDAVALVFARDRNKARGIGNIEFHFALSKGVSAIGPRLKAASVRVQDSYTVTWLTARREGQQEILDGQQMLEDIDNEMENNMDCVDLYIGVTRRRNCSVGSDKPKMMTTLALHGVEGGDSEYLYVDGVGIRTGDYFQFYHSDPKTALSSSRNVSSTLRKLKLDWDSKSSLSKSTIINAVDKKEAFGGFIFSCLGRGESFFGQLNVDSSPFLDNFPRVPVAGTFCGGEIGRGCTSLTANGDEGGSARCHLHVYSTVYLVMLYTPPEH >KJB19820 pep chromosome:Graimondii2_0_v6:3:36092935:36095132:1 gene:B456_003G120100 transcript:KJB19820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKNRSSPSSATPKLMEVSGFSLMNEDLLRNILSRLPAISFASAATVSKTWNAACCHILSRPKLSSAISLKPLPLVALQEVFDKVMSEPIRPHFAIASVGPGFEIKDVLQFMVEKVRSRTPIIVSSVKGILGRDALSHEFREVKWIDGNIDEVPVNTGIVLTVGFVPGLKVDVIPLLRQRKAPQGSMIDKFVQDIKSYTSSMSGCTSPQAIIMIGDGSVDQKPILEKLDYAMSMETIIVGDERGQFVYRSSDVSRNVGTNKKHSPDAVALVFARDRNKARGNYSYIMHLFIFLKFYEAY >KJB19823 pep chromosome:Graimondii2_0_v6:3:36092935:36097524:1 gene:B456_003G120100 transcript:KJB19823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKNRSSPSSATPKLMEVSGFSLMNEDLLRNILSRLPAISFASAATVSKTWNAACCHILSRPKLSSAISLKPLPLVALQEVFDKVMSEPIRPHFAIASVGPGFEIKDVLQFMVEKVRSRTPIIVSSVKGILGRDALSHEFREVKWIDGNIDEVPVNTGIVLTVGFVPGLKVDVIPLLRQRKAPQGSMIDKFVQDIKSYTSSMSGCTSPQAIIMIGDGSVDQKPILEKLDYAMSMETIIVGDERGQFVYRSSDVSRNVGTNKKHSPDAVALVFARDRNKARGIGNIEFHFALSKGVSAIGPRLKAASVRVQDSYTVTWLTARREGQQEILDGQQMLEDIDNE >KJB19822 pep chromosome:Graimondii2_0_v6:3:36092935:36097524:1 gene:B456_003G120100 transcript:KJB19822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKNRSSPSSATPKLMEVSGFSLMNEDLLRNILSRLPAISFASAATVSKTWNAACCHILSRPKLSSAISLKPLPLVALQEVFDKVMSEPIRPHFAIASVGPGFEIKDVLQFMVEKVRSRTPIIVSSVKGILGRDALSHEFREVKWIDGNIDEVPVNTGIVLTVGFVPGLKVDVIPLLRQRKAPQGSMIDKFVQDIKSYTSSMSGCTSPQAIIMIGDGSVDQKPILEKLDYAMSMETIIVGDERGQFVYRSSDVSRNVGTNKKHSPDAVALVFARDRNKARGIGNIEFHFALSKGVSAIGPRLKAASVRVQDSYTVTWLTARREGQQEILDGQQMLEDIDNEVCQCASVYIRKHELYRNI >KJB19821 pep chromosome:Graimondii2_0_v6:3:36092935:36096561:1 gene:B456_003G120100 transcript:KJB19821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKNRSSPSSATPKLMEVSGFSLMNEDLLRNILSRLPAISFASAATVSKTWNAACCHILSRPKLSSAISLKPLPLVALQEVFDKVMSEPIRPHFAIASVGPGFEIKDVLQFMVEKVRSRTPIIVSSVKGILGRDALSHEFREVKWIDGNIDEVPVNTGIVLTVGFVPGLKVDVIPLLRQRKAPQGSMIDKFVQDIKSYTSSMSGCTSPQAIIMIGDGSVDQKPILEKLDYAMSMETIIVGDERGQFVYRSSDVSRNVGTNKKHSPDAVALVFARDRNKARGIGNIEFHFALSKGVSAIGPRLKAASVRVQDSYTVTWLTARREGQQEILDGQQMLEDIDNEMENNMDCVDLYIGVTRRRNCSVGSDKPKMMTTLALHGVEG >KJB19824 pep chromosome:Graimondii2_0_v6:3:36092935:36097524:1 gene:B456_003G120100 transcript:KJB19824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFLNSGFIKCQVKWIDGNIDEVPVNTGIVLTVGFVPGLKVDVIPLLRQRKAPQGSMIDKFVQDIKSYTSSMSGCTSPQAIIMIGDGSVDQKPILEKLDYAMSMETIIVGDERGQFVYRSSDVSRNVGTNKKHSPDAVALVFARDRNKARGIGNIEFHFALSKGVSAIGPRLKAASVRVQDSYTVTWLTARREGQQEILDGQQMLEDIDNEMENNMDCVDLYIGVTRRRNCSVGSDKPKMMTTLALHGVEGGDSEYLYVDGVGIRTGDYFQFYHSDPKTALSSSRNVSSTLRKLKLDWDSKSSLSKSTIINAVDKKEAFGGFIFSCLGRGESFFGQLNVDSSPFLDNFPRVPVAGTFCGGEIGRGCTSLTANGDEGGSARCHLHVYSTVYLVMLYTPPEH >KJB19818 pep chromosome:Graimondii2_0_v6:3:36092984:36095772:1 gene:B456_003G120100 transcript:KJB19818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKNRSSPSSATPKLMEVSGFSLMNEDLLRNILSRLPAISFASAATVSKTWNAACCHILSRPKLSSAISLKPLPLVALQEVFDKVMSEPIRPHFAIASVGPGFEIKDVLQFMVEKVRSRTPIIVSSVKGILGRDALSHEFREVKWIDGNIDEVPVNTGIVLTVGFVPGLKVDVIPLLRQRKAPQGSMIDKFVQDIKSYTSSMSGCTSPQAIIMIGDGSVDQKPILEKLDYAMSMETIIVGDERGQFVYRSSDVSRNVGTNKKHSPDAVALVFARDRNKARGIGNIEFHFALSKGVSAIGPRLKAASVRVQDSYTVTWLTARREGQQEILDGQQMLEDIDNEPTPILPMF >KJB19134 pep chromosome:Graimondii2_0_v6:3:21796821:21802713:1 gene:B456_003G086100 transcript:KJB19134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRLFLSGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEAKSKGVDNYDRELEDAIDRLIVECDRKIGRALRRLEDEDAKAAIAISVSEVTQTPEILELSKQIKEKLKEADQHDLEGKTDLKIRALEEVEELRTTRADKQSILLLDAFNKDRASLPQPLPNPPPLAPLPVAAPDPHIQEMINEKLKKAEDLGEKGMVDEAQKALEEAEALKKLPARQEPVLDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIRDKLAELQVRQFIFSLVS >KJB19137 pep chromosome:Graimondii2_0_v6:3:21796821:21804131:1 gene:B456_003G086100 transcript:KJB19137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRLFLSGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEAKSKGVDNYDRELEDAIDRLIVECDRKIGRALRRLEDEDAKAAIAISVSEVTQTPEILELSKQIKEKLKEADQHDLEGKTDLKIRALEEVEELRTTRADKQSILLLDAFNKDRASLPQPLPNPPPLAPLPVAAPDPHIQEMINEKLKKAEDLGEKGMVDEAQKALEEAEALKKLPARQEPVLDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIRDKLAELQRETRAANLIDMMTEGTE >KJB19136 pep chromosome:Graimondii2_0_v6:3:21797071:21802917:1 gene:B456_003G086100 transcript:KJB19136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRLFLSGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEAKSKGVDNYDRELEDAIDRLIVECDRKIGRALRRLEDEDAKAAIAISVSEVTQTPEILELSKQIKEKLKEADQHDLEGKTDLKIRALEEVEELRTTRADKQSILLLDAFNKDRASLPQPLPNPPPLAPLPVAAPDPHIQEMINEKLKKAEDLGEKGMVDEAQKALEEAEALKKLPARQEPVLDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIRDKLAELQEERNKSRKLDRYDDRR >KJB19135 pep chromosome:Graimondii2_0_v6:3:21796821:21803479:1 gene:B456_003G086100 transcript:KJB19135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRLFLSGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEAKSKGVDNYDRELEDAIDRLIVECDRKIGRALRRLEDEDAKAAIAISVSEVTQTPEILELSKQIKEKLKEADQHDLEGKTDLKIRALEEVEELRTTRADKQSILLLDAFNKDRASLPQPLPNPPPLAPLPVAAPDPHIQEMINEKLKKAEDLGEKGMVDEAQKALEEAEALKKLPARQEPVLDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIRDKLAELQEERNKSRKLDRYDDRRSKERSRDRETEPSRDRDRGESCDRGRDHDRRSRDQDRYHERDRGYDRDRERDYERSRSYDSRSRRRSRSRSREHSRDYDRHRYLLLHGS >KJB19138 pep chromosome:Graimondii2_0_v6:3:21796821:21804131:1 gene:B456_003G086100 transcript:KJB19138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRLFLSGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEAKSKGVDNYDRELEDAIDRLIVECDRKIGRALRRLEDEDAKAAIAISVSEVTQTPEILELSKQIKEKLKEADQHDLEGKTDLKIRALEEVEELRTTRADKQSILLLDAFNKDRASLPQPLPNPPPLAPLPVAAPDPHIQEMINEKLKKAEDLGEKGMVDEAQKALEEAEALKKLPARQEPVLDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIRDKLAELQEERNKSRKLDRYDDRRSKERSRDRETEPSRDRDRGESCDRGRDHDRRSRDQDRYHERDRGYDRDRERDYERSRSYDSRSRRRSRSRSREHSRDYDRHRRDRY >KJB19139 pep chromosome:Graimondii2_0_v6:3:21797488:21804131:1 gene:B456_003G086100 transcript:KJB19139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGPCPKVHSLQLRKEYEEAKSKGVDNYDRELEDAIDRLIVECDRKIGRALRRLEDEDAKAAIAISVSEVTQTPEILELSKQIKEKLKEADQHDLEGKTDLKIRALEEVEELRTTRADKQSILLLDAFNKDRASLPQPLPNPPPLAPLPVAAPDPHIQEMINEKLKKAEDLGEKGMVDEAQKALEEAEALKKLPARQEPVLDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIRDKLAELQEERNKSRKLDRYDDRRSKERSRDRETEPSRDRDRGESCDRGRDHDRRSRDQDRYHERDRGYDRDRERDYERSRSYDSRSRRRSRSRSREHSRDYDRHRRDRY >KJB20294 pep chromosome:Graimondii2_0_v6:3:40674352:40681015:1 gene:B456_003G142400 transcript:KJB20294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWKLPSLSASCPVESILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLRYVVEEPPEDADSKRAFKFPFIACEVFTCEIDVILKTLAEDEELMNLLFSFLQPNRPHSAFLAGYFSKVFICLMLRKSVQLMNYIQVHQDVFHQLVDLIGITSIMEVLVRLVGADDHVYPNCLDVMQWLANSNLLEMIVDKLSSSCPPEVHANAAETLCAITRNVPSALATKLSSPSFVARIFGHALEDSHSKSGLVHSLSVCISLLDPKRSAIASPLMYSFRNQQMYEPPIPINPETINAMLPKLGDLLTLLNVLSDENFLPTTYGELRPPLGKHRLKIVEFIAVLFRTGNEAAEKELISSGTIQRVIDLFFEYPYNNALHHHVESIILSCLESKNDAIVDHLLQECDLIRKLIQTDKHPFLSGDNNQPTLPAAGKHAPRAGNIGHITRISNKLVQLGGSNSCIQTHLQGNSEWNEWQANVLQERNAVENVYRWACGRPTALQDRISDEDDLRDRDYDVAILANTLSQAFGYKWNDDNKEDNGTLDRDDEDVYFDDESAEVVVSSLRLGDDQGSLFTNSDWFAFQDDRTGNAPAATSQTEVMDEINLNGTTNGGNGGSDDEVVLGGENELNESKQSVTGTSTSYAMNGFDNSMTSGDLNPQGEKSNASYDMRFFGFDASENEDLFGDGPLPEWVGWGESSDLKLGGSSKNPFLDDDSSDVNQPSHIETVVTDVVPLSNGDPILPNVSLDSMDLSDGSVSSDTSQKSPPAVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNIIPKVPQKENSDDGGAGMKEFNDANYWKVDQEVAILE >KJB20296 pep chromosome:Graimondii2_0_v6:3:40674396:40681000:1 gene:B456_003G142400 transcript:KJB20296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWKLPSLSASCPVESILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLRYVVEEPPEDADSKRAFKFPFIACEVFTCEIDVILKTLAEDEELMNLLFSFLQPNRPHSAFLAGYFSKVFICLMLRKSVQLMNYIQVHQDVFHQLVDLIGITSIMEVLVRLVGADDHVYPNCLDVMQWLANSNLLEMIVDKLSSSCPPEVHANAAETLCAITRNVPSALATKLSSPSFVARIFGHALEDSHSKSGLVHSLSVCISLLDPKRSAIASPLMYSFRNQQMYEPPIPINPETINAMLPKLGDLLTLLNVLSDENFLPTTYGELRPPLGKHRLKIVEFIAVLFRTGNEAAEKELISSGTIQRVIDLFFEYPYNNALHHHVESIILSCLESKNDAIVDHLLQECDLIRKLIQTDKHPFLSGDNNQPTLPAAGKHAPRAGNIGHITRISNKLVQLGGSNSCIQTHLQGNSEWNEWQANVLQERNAVENVYRWACGRPTALQDRISDEDDLRDRDYDVAILANTLSQAFGYKWNDDNKEDNGTLDRDDEDVYFDDESAEVVVSSLRLGDDQGSSLFTNSDWFAFQDDRTGNAPAATSQTEVMDEINLNGTTNGGNGGSDDEVVLGGENELNESKQSVTGTSTSYAMNGFDNSMTSGDLNPQGEKSNASYDMRFFGFDASENEDLFGDGPLPEWVGWGESSDLKLGGSSKNPFLDDDSSDVNQPSHIETVVTDVVPLSNGDPILPNVSLDSMDLSDGSVSSDTSQKSPPAVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNIIPKVPQKENSDDGGAGMKEFNDANYWKVDQEVAILE >KJB20295 pep chromosome:Graimondii2_0_v6:3:40674132:40681013:1 gene:B456_003G142400 transcript:KJB20295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWKLPSLSASCPVESILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLRYVVEEPPEDADSKRAFKFPFIACEVFTCEIDVILKTLAEDEELMNLLFSFLQPNRPHSAFLAGYFSKVFICLMLRKSVQLMNYIQVHQDVFHQLVDLIGITSIMEVLVRLVGADDHVYPNCLDVMQWLANSNLLEMIVDKLSSSCPPEVHANAAETLCAITRNVPSALATKLSSPSFVARIFGHALEDSHSKSGLVHSLSVCISLLDPKRSAIASPLMYSFRNQQMYEPPIPINPETINAMLPKLGDLLTLLNVLSDENFLPTTYGELRPPLGKHRLKIVEFIAVLFRTGNEAAEKELISSGTIQRVIDLFFEYPYNNALHHHVESIILSCLESKNDAIVDHLLQECDLIRKLIQTDKHPFLSGDNNQPTLPAAGKHAPRAGNIGHITRISNKLVQLGGSNSCIQTHLQGNSEWNEWQANVLQERNAVENVYRWACGRPTALQDRISDEDDLRDRDYDVAILANTLSQAFGYKWNDDNKEDNGTLDRDDEDVYFDDESAEVVVSSLRLGDDQGSLFTNSDWFAFQDDRTGNAPAATSQTEVMDEINLNGTTNGGNGGSDDEVVLGGENELNESKQSVTGTSTSYAMNGFDNSMTSGDLNPQGEKSNASYDMRFFGFDASENEDLFGDGPLPEWVGWGESSDLKLGGSSKNPFLDDDSSDVNQPSHIETVVTDVVPLSNGDPILPNVSLDSMDLSDGSVSSDTSQKSPPAVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNIIPKVPQKENSDDGGAGMKEFNDANYWKVDQEVAILE >KJB19075 pep chromosome:Graimondii2_0_v6:3:21113101:21119320:1 gene:B456_003G084000 transcript:KJB19075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNGLPSTGNQFQPLSDSGVGLLDGGINIQPAPPPPRPQQSSTHHHHPSPAVGRVLGRPMEDVRSTYVFSGELGRGQFGITYLVTHKKTKQQFACKSITKRKLINREDIEDVRREVQIMYHLTGHRNIVELKGAYEDHQSLFNRIIAKGHFSDRKAANLCRQIVMMLHNCHSMGVMHRDLKPENFLFLNKDEDSPLKATDFGLSVFFKPGYVFKDLVGSAYYVAPEVLRRRYGPEADIWSAGVTLYVLLSGVPLFYGEIEQSIFDSILRGNIYFSSDPWPSISSSAKDLVRKMLRDDPKERLSASEVLNHQWMREDGDASDKPLDIAVLTRMKQFSAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMGTDNSGTICFEELKTGLPKLGTKLSESEVRQLMGAADFDGNGAIDYIEFITATMHMNRTEREDHFYTAFQYFDEDNSGFITMEELEQALRKYNMGDEKTIKEIIAEVDTDRDGRINYDEFVAMMRKGNPELVGSRCRK >KJB19584 pep chromosome:Graimondii2_0_v6:3:33520366:33521984:-1 gene:B456_003G109600 transcript:KJB19584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGGCCIARYASSGHGVYDMSKVHRIMLRFRPIAPKPATGGSVSPQGSSEVCSKPGRGKRRLSKESNTVSNTKRCNKKRRVLNEEKRVTLPLLPETPDCKEESILKQQKDGVVQKMMPFWLSFGEAGDKKEDFLGGGGGGGVRSADQEVFMGGQTVRVGSCVTVECVTETWVSGDGLGSTDEEKKVNLGRDTCPGFISDGLGRVTWTNEAYKEMVGGETLVWLVMKERMPMTTTYPAFTCKVRVQYTCGKERSSLTLPCDVWRMDGGGFAWRLDINAALCLGR >KJB18813 pep chromosome:Graimondii2_0_v6:3:15707151:15707246:-1 gene:B456_003G070200 transcript:KJB18813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTITSYFGFLLMALTITSTLFIGLSKIRVI >KJB21008 pep chromosome:Graimondii2_0_v6:3:44909997:44912409:-1 gene:B456_003G177700 transcript:KJB21008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTESSKPWRPFTSNCCSADSQTILGNFSRCRTSKSDFSKNIAPLPSFRRLSFSDLSRSSSIRINEDLAQSFGPDLYDFQLSELRAITQNFSANYMLGEGGFGTVHKGYVDENLRQGLRPQAVAVKLLDIEGLQGHREWLAEVILLGQLRHPHLVKLIGYCCEDEQRLLVYEFMARGSLENHLFKRPGISTSLPWGTRLKIAIGAAKGLAFLHGAENPVIYRDFKTSNILLHSDFTAKLSDFGLAKIGPEGSNTHVTTRVMGTYGYAAPEYVSTGHLTTKSDVYSFGVVLLEMLTGKRAVDKSRCKNEQNLVDWAKPYLNSSRRLRYIMDPRLGGQYSVRGAKQMAILALQCISLNPKDRPKMTVVIQTLESLLEYKDMAISCGQWPVSSNSTKKTGLPSSAPNFRAQSRSSSVGVQNKKPNSAVPTPRKVV >KJB18142 pep chromosome:Graimondii2_0_v6:3:3636907:3637895:-1 gene:B456_003G035700 transcript:KJB18142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEVQSSVSSPSVECISENGIGLKERNYLGLSDCSSVDSSGVSSNLNLKATELRLGLPGSQSPERETELCLLNLGKLDEKPLFPLLPSKDGICSSSQKNVVTGNKRGFSDTMDGFSEVKGYVYTEKSWMFHEAGSDSESPQSVGHGKYPGNSGVNVILSTRSPGVKASVKQDEPANVLQEQPRATNGTSLKQTVISNNNTGAPAAKAQVVGWPPIRPFRTKTLAASSKNNDEVDGKPGPGALFVKVSMDGAPYLRKVDLRTYSTYQELSSALEKMFSCFTLGKLLLLS >KJB18143 pep chromosome:Graimondii2_0_v6:3:3637128:3637895:-1 gene:B456_003G035700 transcript:KJB18143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEVQSSVSSPSVECISENGIGLKERNYLGLSDCSSVDSSGVSSNLNLKATELRLGLPGSQSPERETELCLLNLGKLDEKPLFPLLPSKDGICSSSQKNVVTGNKRGFSDTMDGFSEVKGYVYTEKSWMFHEAGSDSESPQSVGHGKYPGNSGVNVILSTRSPGVKASVKQDEPANVLQEQPRATNGTSLKQTVISNNNTGAPAAKYNLLEKGLLRKDIIHLYLPFLFDCWYDLPSLTGRRLLVGHQ >KJB18140 pep chromosome:Graimondii2_0_v6:3:3635450:3637895:-1 gene:B456_003G035700 transcript:KJB18140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEVQSSVSSPSVECISENGIGLKERNYLGLSDCSSVDSSGVSSNLNLKATELRLGLPGSQSPERETELCLLNLGKLDEKPLFPLLPSKDGICSSSQKNVVTGNKRGFSDTMDGFSEVKGYVYTEKSWMFHEAGSDSESPQSVGHGKYPGNSGVNVILSTRSPGVKASVKQDEPANVLQEQPRATNGTSLKQTVISNNNTGAPAAKAQVVGWPPIRPFRTKTLAASSKNNDEVDGKPGPGALFVKVSMDGAPYLRKVDLRTYSTYQELSSALEKMFSCFTLGQCGSNGTAGKETLSESRLKDLLHGSEYVVTYKDKDGDWMLVGDVPWEMFIETCKRLKIMKSSDAIGLGNYLVSRSLPKNVIKSIITFVIHHFIDKCVISFCFCFFMLIFCLTI >KJB18139 pep chromosome:Graimondii2_0_v6:3:3635004:3639044:-1 gene:B456_003G035700 transcript:KJB18139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEVQSSVSSPSVECISENGIGLKERNYLGLSDCSSVDSSGVSSNLNLKATELRLGLPGSQSPERETELCLLNLGKLDEKPLFPLLPSKDGICSSSQKNVVTGNKRGFSDTMDGFSEVKGYVYTEKSWMFHEAGSDSESPQSVGHGKYPGNSGVNVILSTRSPGVKASVKQDEPANVLQEQPRATNGTSLKQTVISNNNTGAPAAKAQVVGWPPIRPFRTKTLAASSKNNDEVDGKPGPGALFVKVSMDGAPYLRKVDLRTYSTYQELSSALEKMFSCFTLGQCGSNGTAGKETLSESRLKDLLHGSEYVVTYKDKDGDWMLVGDVPWEMFIETCKRLKIMKSSDAIGLAPRAMEKSKANNKKEFGYGC >KJB18138 pep chromosome:Graimondii2_0_v6:3:3635099:3638950:-1 gene:B456_003G035700 transcript:KJB18138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEVQSSVSSPSVECISENGIGLKERNYLGLSDCSSVDSSGVSSNLNLKATELRLGLPGSQSPERETELCLLNLGKLDEKPLFPLLPSKDGICSSSQKNVVTGNKRGFSDTMDGFSEVKGYVYTEKSWMFHEAGSDSESPQSVGHGKYPGNSGVNVILSTRSPGVKASVKQDEPANVLQEQPRATNGTSLKQTVISNNNTGAPAAKAQVVGWPPIRPFRTKTLAASSKNNDEVDGKPGPGALFVKVSMDGAPYLRKVDLRTYSTYQELSSALEKMFSCFTLGQCGSNGTAGKETLSESRLKDLLHGSEYVVTYKDKDGDWMLVGDVPWEMFIETCKRLKIMKSSDAIGLAPRAMEKSKANNKKEFGYGC >KJB18137 pep chromosome:Graimondii2_0_v6:3:3635004:3638990:-1 gene:B456_003G035700 transcript:KJB18137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEVQSSVSSPSVECISENGIGLKERNYLGLSDCSSVDSSGVSSNLNLKATELRLGLPGSQSPERETELCLLNLGKLDEKPLFPLLPSKDGICSSSQKNVVTGNKRGFSDTMDGFSEVKGYVYTEKSWMFHEAGSDSESPQSVGHGKYPGNSGVNVILSTRSPGVKASVKQDEPANVLQEQPRATNGTSLKQTVISNNNTGAPAAKAQVVGWPPIRPFRTKTLAASSKNNDEVDGKPGPGALFVKVSMDGAPYLRKVDLRTYSTYQELSSALEKMFSCFTLGQCGSNGTAGKETLSESRLKDLLHGSEYVVTYKDKDGDWMLVGDVPWEMFIETCKRLKIMKSSDAIGLAPRAMEKSKANNKKEFGYGC >KJB18136 pep chromosome:Graimondii2_0_v6:3:3635099:3638950:-1 gene:B456_003G035700 transcript:KJB18136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEVQSSVSSPSVECISENGIGLKERNYLGLSDCSSVDSSGVSSNLNLKATELRLGLPGSQSPERETELCLLNLGKLDEKPLFPLLPSKDGICSSSQKNVVTGNKRGFSDTMDGFSEVKGYVYTEKSWMFHEAGSDSESPQSVGHGKYPGNSGVNVILSTRSPGVKASVKQDEPANVLQEQPRATNGTSLKQTVISNNNTGAPAAKAQVVGWPPIRPFRTKTLAASSKNNDEVDGKPGPGALFVKVSMDGAPYLRKVDLRTYSTYQELSSALEKMFSCFTLGQCGSNGTAGKETLSESRLKDLLHGSEYVVTYKDKDGDWMLVGDVPWEMFIETCKRLKIMKSSDAIGLAPRAMEKSKANNKKEFGYGC >KJB18141 pep chromosome:Graimondii2_0_v6:3:3635099:3638950:-1 gene:B456_003G035700 transcript:KJB18141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEVQSSVSSPSVECISENGIGLKERNYLGLSDCSSVDSSGVSSNLNLKATELRLGLPGSQSPERETELCLLNLGKLDEKPLFPLLPSKDGICSSSQKNVVTGNKRGFSDTMDGFSEVKGYVYTEKSWMFHEAGSDSESPQSVGHGKYPGNSGVNVILSTRSPGVKASVKQDEPANVLQEQPRATNGTSLKQTVISNNNTGAPAAKAQVVGWPPIRPFRTKTLAASSKNNDEVDGKPGPGALFVKVSMDGAPYLRKVDLRTYSTYQELSSALEKMFSCFTLGQCGSNGTAGKETLSESRLKDLLHGSEYVVTYKDKDGDWMLVGDVPWEMFIETCKRLKIMKSSDAIGLAPRAMEKSKANNKKEFGYGC >KJB18144 pep chromosome:Graimondii2_0_v6:3:3635004:3638990:-1 gene:B456_003G035700 transcript:KJB18144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEVQSSVSSPSVECISENGIGLKERNYLGLSDCSSVDSSGVSSNLNLKATELRLGLPGSQSPERETELCLLNLGKLDEKPLFPLLPSKDGICSSSQKNVVTGNKRGFSDTMDGFSEVKGYVYTEKSWMFHEAGSDSESPQSVGHGKYPGNSGVNVILSTRSPGVKASVKQDEPANVLQEQPRATNGTSLKQTVISNNNTGAPAAKAQVVGWPPIRPFRTKTLAASSKNNDEVDGKPGPGALFVKVSMDGAPYLRKVDLRTYSTYQELSSALEKMFSCFTLGQCGSNGTAGKETLSESRLKDLLHGSEYVVTYKDKDGDWMLVGDVPWEMFIETCKRLKIMKSSDAIGLAPRAMEKSKANNKKEFGYGC >KJB18798 pep chromosome:Graimondii2_0_v6:3:15239818:15242624:1 gene:B456_003G069500 transcript:KJB18798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLEAKAQEAFIDDHFELALDLYSQAIQLNPKNAELYADRAQANIKLNNLTEDVTDANKAIELAPSMSKAYLRKTTACMKLEEYQTAKAALETGATLAPGELRFSKLIKECEERIAEETDELPKQMLKEVTTNSVPAKEVEPVKDAPVQWLWHEFYQKPEKVVVTVFAKGIPRECVKVDYGEQILSVSIEAPGKDAYHFQLRLFRKLTPEKCRYDVLSTKIEIRLAKAEPIHWTSLEFSREVAVPQRVNVSSVSANQSPVYPSSKLKRVGWDKIEAQVKKEVGWCSLNKFSRDIYQDADEDTRRAMQKSFVESNGTVLSTNWKEVGAKKVEGSPPDGMEVKKWEY >KJB17607 pep chromosome:Graimondii2_0_v6:3:427547:432705:-1 gene:B456_003G007400 transcript:KJB17607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWEHFGEIANVAQLAGFDAVRLIAMIVKAASTARMHKKSCRQFAQHLKLIGNLLEQLRISELKKYPETREPLEQLEDALRRSYILVNSCQERSYLYVLAMGWNIVYQFRKAQSEIDRYLKIVPLITLVDNARVRERLEVIEKDQHEYTLDEEDRRVQDVIMKPEPSENDTMILKKTLSCSYPNMRFNEALQKENEKLRLELQRSQANYDVKQCEVIQHLLDVTEVAASIPDKSSSPKVSKKVERNYSDVDNENGHSYNDNSPKKPDSRMTSRNTSSVSSGHDLLSDRGSHRYEEWNADLLGCCSEPSLCIKTFFYPCGTFSKIATVATNRHMSSAEACNELMAYSLILSCCCYTCCVRRKLRKALNITGGFVDDFLSHLMCCCCALVQEWREVEIRGIYGPEKTKTSPPPSQFMES >KJB17609 pep chromosome:Graimondii2_0_v6:3:429490:432705:-1 gene:B456_003G007400 transcript:KJB17609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWEHFGEIANVAQLAGFDAVRLIAMIVKAASTARMHKKSCRQFAQHLKLIGNLLEQLRISELKKYPETREPLEQLEDALRRSYILVNSCQERSYLYVLAMGWNIVYQFRKAQSEIDRYLKIVPLITLVDNARVRERLEVIEKDQHEYTLDEEDRRVQDVIMKPEPSENDTMILKKTLSCSYPNMRFNEALQKENEKLRLELQRSQANYDVKQCEVIQHLLDVTEVAASIPDKSSSPKVSKKVERNYSDVDNENGHSYNDNSPKKPDSRMTSRNTSSVSSGHDLLSDRGSHRYEEWNADLLGCCSEPSLCIKTFFYPCGTFSKIATVATNRHMSSAEACNELMAYSLILSCCCYTCCVRRKLRKALNITVFSLPLKE >KJB17608 pep chromosome:Graimondii2_0_v6:3:427777:432705:-1 gene:B456_003G007400 transcript:KJB17608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWEHFGEIANVAQLAGFDAVRLIAMIVKAASTARMHKKSCRQFAQHLKLIGNLLEQLRISELKKYPETREPLEQLEDALRRSYILVNSCQERSYLYVLAMGWNIVYQFRKAQSEIDRYLKIVPLITLVDNARVRERLEVIEKDQHEYTLDEEDRRVQDVIMKPEPSENDTMILKKTLSCSYPNMRFNEALQKENEKLRLELQRSQANYDVKQCEVIQHLLDVTEVAASIPDKSSSPKVSKKVERNYSDVDNENGHSYNDNSPKKPDSRMTSRNTSSVSSGHDLLSDRGSHRYEEWNADLLGCCSEPSLCIKTFFYPCGTFSKIATVATNRHMSSAEACNELMAYSLILSCCCYTCCVRRKLRKALNITGGFVDDFLSHLMCCCCALVQEWREVEIRGIYGPEKTKTSPPPSQFMES >KJB17606 pep chromosome:Graimondii2_0_v6:3:427690:432744:-1 gene:B456_003G007400 transcript:KJB17606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWEHFGEIANVAQLAGFDAVRLIAMIVKAASTARMHKKSCRQFAQHLKLIGNLLEQLRISELKKYPETREPLEQLEDALRRSYILVNSCQERSYLYVLAMGWNIVYQFRKAQSEIDRYLKIVPLITLVDNARVRERLEVIEKDQHEYTLDEEDRRVQDVIMKPEPSENDTMILKKTLSCSYPNMRFNEALQKENEKLRLELQRSQANYDVKQCEVIQHLLDVTEVAASIPDKSSSPKVSKKVERNYSDVDNENGHSYNDNSPKKPDSRMTSRNTSSVSSGHDLLSDRGSHRYEEWNADLLGCCSEPSLCIKTFFYPCGTFSKIATVATNRHMSSAEACNELMAYSLILSCCCYTCCVRRKLRKALNITGGFVDDFLSHLMCCCCALVQEWREVEIRGIYGPEKTKTSPPPSQFMES >KJB17897 pep chromosome:Graimondii2_0_v6:3:1738153:1740998:-1 gene:B456_003G022800 transcript:KJB17897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYICVFNKDTLLIKPSKKSPLFLRTIVLLFAMVCGIYICTVCIKQISTTVTKMNIQVIQSPSPDSNIITQLEPRIPTLHYPKPETFSRGECSKNPVRFFVILSMQRSGSGWFETLLNSHVNVSSNGEIFSLLERRKNISNIVQTLEKVYNLDWFTSASKNECSAAVGFKWMLNQGLVEHHKEIVEYFNHRGVSVIFLFRKNLLRRMVSVLANSYDRYAKLLNGTHKSHVHSQQEATALSSYKPIINSASLISDLKEIGSAAVKALEYFNSTRHLVLYYEDLITN >KJB17896 pep chromosome:Graimondii2_0_v6:3:1738014:1741379:-1 gene:B456_003G022800 transcript:KJB17896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYICVFNKDTLLIKPSKKSPLFLRTIVLLFAMVCGIYICTVCIKQISTTVTKMNIQVIQSPSPDSNIITQLEPRIPTLHYPKPETFSRGECSKNPVRFFVILSMQRSGSGWFETLLNSHVNVSSNGEIFSLLERRKNISNIVQTLEKVYNLDWFTSASKNECSAAVGFKWMLNQGLVEHHKEIVEYFNHRGVSVIFLFRKNLLRRMVSVLANSYDRYAKLLNGTHKSHVHSQQEATALSSYKPIINSASLISDLKEIGSAAVKALEYFNSTRHLVLYYEDLITNCTKLKDVQEFLGIPQMELTSRQVKIHKGPLSDFVKNWDDVNKTLTGTEYESFLRADY >KJB17895 pep chromosome:Graimondii2_0_v6:3:1738853:1740957:-1 gene:B456_003G022800 transcript:KJB17895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYICVFNKDTLLIKPSKKSPLFLRTIVLLFAMVCGIYICTVCIKQISTTVTKMNIQVIQSPSPDSNIITQLEPRIPTLHYPKPETFSRGECSKNPVRFFVILSMQRSGSGWFETLLNSHVNVSSNGEIFSLLERRKNISNIVQTLEKVYNLDWFTSASKNECSAAVGFKWMLNQGLVEHHKEIVEYFNHRGVSVIFLFRKNLLRRMVSVLANSYDRYAKLLNGTHKSHVHSQQEALNVGHCSFELQANNQFCIINK >KJB17873 pep chromosome:Graimondii2_0_v6:3:1576363:1578160:-1 gene:B456_003G021100 transcript:KJB17873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKPCCLKEGVNRGAWSVKEDQLLSNYINLHGEGQWRTLPQKAGLNRCGKSCRLRWMNYLRPGIKRGNISPDEEDLIIRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNTILSKKSNADVSKKTSESEDMKSVGKYLSAGISTPVVPKATRCTKIFFSSDEVDQHLKNDQCPPLSTPTDTDDGLTLESSKDDDVPDMDNALDHVGFLQNGIADVFENSNMLESDKLAPMFETKFNNDLSSLLEFEDDWTNFL >KJB20961 pep chromosome:Graimondii2_0_v6:3:44604942:44606588:-1 gene:B456_003G1746001 transcript:KJB20961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRIVDNASSSLSIENAFQELDSFLNQSTNPVRNIECADEICKDLPHLISLVVKALQGEAIVDQTKELISEAKVFSIVAKIVNKVLASFLVMLQDIKNQKLTNPVSIQDLVQMEDEQLIFHFPLTPDCTRGAKIHINLQ >KJB20183 pep chromosome:Graimondii2_0_v6:3:39609929:39611642:-1 gene:B456_003G136900 transcript:KJB20183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHATPEMMAFMVKHGSGIVSVGMKEEDLERLKLPLMSPESEDKDSSAPTFTITVDAKTGTSTGVSALDRAKTVLALSSPESKPDDFRRPGHIFPLKYRNGGVLRRAGHTEASVDLVILTGLRPVSVLSTVVDPEDCSIASLPFIRKLALEHSIPVISITDLIRYRRKRENLVERTAISRLPTKWGLFQAYCYRSKLDGTEHIAIVKGDIGNGQDVLVRVHSECLTGDIFGSARCDCGNQLDLAMQLIEQAGRGVVVYLRGHEGRGIGLGHKLRAYNLQDQGHDTVQANIELGLAVDAREYGIGAQILRDVGVQTMRLMTNNPAKFTGLKGYGLAVIGRVPVLTPVTEENKRYLETKRTKMGHIYGSDLQGPLAPFIKPSVNKKESSDGEPNPE >KJB20181 pep chromosome:Graimondii2_0_v6:3:39609778:39612284:-1 gene:B456_003G136900 transcript:KJB20181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCALSPQIFINTRFPRCLAIRQGVEIRLYRKRWLNSSCCAVGVSEIGAGNLFDDGSLKGSENGSLLGALGDSVSPPFGTVDAEITPETVDFFVSDAEGDPDCPSKGFSSIDQALNTIRQGKFVIVVDDENEDFEGTLIMAASHATPEMMAFMVKHGSGIVSVGMKEEDLERLKLPLMSPESEDKDSSAPTFTITVDAKTGTSTGVSALDRAKTVLALSSPESKPDDFRRPGHIFPLKYRNGGVLRRAGHTEASVDLVILTGLRPVSVLSTVVDPEDCSIASLPFIRKLALEHSIPVISITDLIRYRRKRENLVERTAISRLPTKWGLFQAYCYRSKLDGTEHIAIVKGDIGNGQDVLVRVHSECLTGDIFGSARCDCGNQLDLAMQLIEQAGRGVVVYLRGHEGRGIGLGHKLRAYNLQDQGHDTVQANIELGLAVDAREYGIGAQVSLHTSILNMFSASFRYRFNELQILRDVGVQTMRLMTNNPAKFTGLKGYGLAVIGRVPVLTPVTEENKRYLETKRTKMGHIYGSDLQGPLAPFIKPSVNKKESSDGEPNPE >KJB20180 pep chromosome:Graimondii2_0_v6:3:39609678:39612576:-1 gene:B456_003G136900 transcript:KJB20180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCALSPQIFINTRFPRCLAIRQGVEIRLYRKRWLNSSCCAVGVSEIGAGNLFDDGSLKGSENGSLLGALGDSVSPPFGTVDAEITPETVDFFVSDAEGDPDCPSKGFSSIDQALNTIRQGKFVIVVDDENEDFEGTLIMAASHATPEMMAFMVKHGSGIVSVGMKEEDLERLKLPLMSPESEDKDSSAPTFTITVDAKTGTSTGVSALDRAKTVLALSSPESKPDDFRRPGHIFPLKYRNGGVLRRAGHTEASVDLVILTGLRPVSVLSTVVDPEDCSIASLPFIRKLALEHSIPVISITDLIRYRRKRENLVERTAISRLPTKWGLFQAYCYRSKLDGTEHIAIVKGDIGNGQDVLVRVHSECLTGDIFGSARCDCGNQLDLAMQLIEQAGRGVVVYLRGHEGRGIGLGHKLRAYNLQDQGHDTVQANIELGLAVDAREYGIGAQILRDVGVQTMRLMTNNPAKFTGLKGYGLAVIGRVPVLTPVTEENKRYLETKRTKMGHIYGSDLQGPLAPFIKPSVNKKESSDGEPNPE >KJB20182 pep chromosome:Graimondii2_0_v6:3:39609778:39612329:-1 gene:B456_003G136900 transcript:KJB20182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAFMVKHGSGIVSVGMKEEDLERLKLPLMSPESEDKDSSAPTFTITVDAKTGTSTGVSALDRAKTVLALSSPESKPDDFRRPGHIFPLKYRNGGVLRRAGHTEASVDLVILTGLRPVSVLSTVVDPEDCSIASLPFIRKLALEHSIPVISITDLIRYRRKRENLVERTAISRLPTKWGLFQAYCYRSKLDGTEHIAIVKGDIGNGQDVLVRVHSECLTGDIFGSARCDCGNQLDLAMQLIEQAGRGVVVYLRGHEGRGIGLGHKLRAYNLQDQGHDTVQANIELGLAVDAREYGIGAQILRDVGVQTMRLMTNNPAKFTGLKGYGLAVIGRVPVLTPVTEENKRYLETKRTKMGHIYGSDLQGPLAPFIKPSVNKKESSDGEPNPE >KJB21218 pep chromosome:Graimondii2_0_v6:3:45257708:45260999:1 gene:B456_003G181000 transcript:KJB21218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVSMFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKASDKPIPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQMKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVVKIPVPKQTAKTSFQVTSGRAKYNAAIDCLVWNTMPLSTTLILDPAPIWLTIKPNEFILCSRRFTLLLVYL >KJB21220 pep chromosome:Graimondii2_0_v6:3:45257437:45262470:1 gene:B456_003G181000 transcript:KJB21220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVSMFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKASDKPIPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQMKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVVKIPVPKQTAKTSFQVTSGRAKYNAAIDCLVWKIRKFPGQTEPTLSAEVELISTMAEKKSWTKPPIQMEFQAGSYEIRC >KJB21217 pep chromosome:Graimondii2_0_v6:3:45257394:45262470:1 gene:B456_003G181000 transcript:KJB21217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVSMFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKASDKPIPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQMKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVVKIPVPKQTAKTSFQVTSGRAKYNAAIDCLVWKIRKFPGQTEPTLSAEVELISTMAEKKSWTKPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >KJB21219 pep chromosome:Graimondii2_0_v6:3:45257437:45262470:1 gene:B456_003G181000 transcript:KJB21219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVSMFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKASDKPIPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQMKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVVKIPVPKQTAKTSFQVTSGRAKYNAAIDCLDKKIPRTNRANLECRS >KJB21227 pep chromosome:Graimondii2_0_v6:3:44995234:44997598:-1 gene:B456_003G178400 transcript:KJB21227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSLKFHCCLISVMVLLPTLCYAQDYVKSRATYYGSPDCLGTPRGACGYGEFGRTVNDANVAGASYRLYKNGTGCGTCYQVRCTNPQLCTDNGVNIVVTDYGEGDNTDFILSPRAYSRMAQSDKAGELFAYGVVEVEYQRIPCRYGGYKMQFKVHEHSKYPNYLAIVILYQAGQTEILAADVWQADCKEWIGMRRAYGAVFDTTYPPSGAVTLRFQVQGRAGVYWVQASNVIPSDWKAGVAYESDIELE >KJB17485 pep chromosome:Graimondii2_0_v6:3:251796:253141:-1 gene:B456_003G004400 transcript:KJB17485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVCVQLQPPRAKHCHDCDKCVLQFDHHCLWLGSCVGQDNHCKFWWYICEETALCLWTGILYIMYLKANISRAWWKDAIMILLLIALSIVIIFLLLLLLFHSYLVLTNQTTYELVRRRRIPYLRRIPERVYPFSKGICRNLYNFCCVRSSIYSLEPLPSSQELEEKARPYTCLDILACRCC >KJB17490 pep chromosome:Graimondii2_0_v6:3:251795:255189:-1 gene:B456_003G004400 transcript:KJB17490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVMGICRSFHDRALARFFHCFPCLSDPARRSSWGLKVTLVMLHLIFVGILFVFDGDLIETTKKEPWYTALYLLLLFATLVQYFITSSSSPGYVFDVMRAVNETNVICQKSSMASKQPASSQNGSLNVVIEGSQSGRNFQGSNPSSWTKLVMDMYPPGTSIRSCTCSYCNVEQPPRAKHCHDCDKCVLQFDHHCLWLGSCVGQDNHCKFWWYICEETALCLWTGILYIMYLKANISRAWMPL >KJB17482 pep chromosome:Graimondii2_0_v6:3:251608:255319:-1 gene:B456_003G004400 transcript:KJB17482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVMGICRSFHDRALARFFHCFPCLSDPARRSSWGLKVTLVMLHLIFVGILFVFDGDLIETTKKEPCYVFDVMRAVNETNVICQKSSMASKQPASSQNGSLNVVIEGSQSGRNFQGSNPSSWTKLVMDMYPPGTSIRSCTCSYCNVEQPPRAKHCHDCDKCVLQFDHHCLWLGSCVGQDNHCKFWWYICEETALCLWTGILYIMYLKANISRAWWKDAIMILLLIALSIVIIFLLLLLLFHSYLVLTNQTTYELVRRRRIPYLRRIPERVYPFSKGICRNLYNFCCVRSSIYSLEPLPSSQELEEKARPYTCLDILACRCC >KJB17481 pep chromosome:Graimondii2_0_v6:3:251958:254192:-1 gene:B456_003G004400 transcript:KJB17481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVVNGLKQRQPASSQNGSLNVVIEGSQSGRNFQGSNPSSWTKLVMDMYPPGTSIRSCTCSYCNVEQPPRAKHCHDCDKCVLQFDHHCLWLGSCVGQDNHCKFWWYICEETALCLWTGILYIMYLKANISRAWWKDAIMILLLIALSIVIIFLLLLLLFHSYLVLTNQTTYELVRRRRIPYLRRIPERVYPFSKGICRNLYNFCCVRSSIYSLEPLPSSQELEEKARPYTCLDILACRCC >KJB17486 pep chromosome:Graimondii2_0_v6:3:251796:254295:-1 gene:B456_003G004400 transcript:KJB17486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVNETNVICQKSSMASKQPASSQNGSLNVVIEGSQSGRNFQGSNPSSWTKLVMDMYPPGTSIRSCTCSYCNVEQPPRAKHCHDCDKCVLQFDHHCLWLGSCVGQDNHCKFWWYICEETALCLWTGILYIMYLKANISRAWWKDAIMILLLIALSIVIIFLLLLLLFHSYLVLTNQTTYELVRRRRIPYLRRIPERVYPFSKGICRNLYNFCCVRSSIYSLEPLPSSQELEEKARPYTCLDILACRCC >KJB17480 pep chromosome:Graimondii2_0_v6:3:251958:255008:-1 gene:B456_003G004400 transcript:KJB17480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVMGICRSFHDRALARFFHCFPCLSDPARRSSWGLKVTLVMLHLIFVGILFVFDGDLIETTKKEPWYTALYLLLLFATLVQYFITSSSSPGYVFDVMRAVNETNVICQKSSMASKQPASSQNGSLNVVIEGSQSGRNFQGSNPSSWTKLVMDMYPPGTSIRSCTCSYCNVEQPPRAKHCHDCDKCVLQFDHHCLWLGSCVGQDNHCKFWWYICEETALCLWTGILYIMYLKANISRAWWKDAIMILLLIALSIVIIFLLLLLLFHSYLVLTNQTTYELVRRRRIPYLRRIPERVYPFSKGICRNLYNFCCVRSSIYSLEPLPSSQELEEKARPYTCLDILACRCC >KJB17487 pep chromosome:Graimondii2_0_v6:3:251958:254064:-1 gene:B456_003G004400 transcript:KJB17487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKQRQPASSQNGSLNVVIEGSQSGRNFQGSNPSSWTKLVMDMYPPGTSIRSCTCSYCNVEQPPRAKHCHDCDKCVLQFDHHCLWLGSCVGQDNHCKFWWYICEETALCLWTGILYIMYLKANISRAWWKDAIMILLLIALSIVIIFLLLLLLFHSYLVLTNQTTYELVRRRRIPYLRRIPERVYPFSKGICRNLYNFCCVRSSIYSLEPLPSSQELEEKARPYTCLDILACRCC >KJB17491 pep chromosome:Graimondii2_0_v6:3:252629:255008:-1 gene:B456_003G004400 transcript:KJB17491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVMGICRSFHDRALARFFHCFPCLSDPARRSSWGLKVTLVMLHLIFVGILFVFDGDLIETTKKEPWYTALYLLLLFATLVQYFITSSSSPGYVFDVMRAVNETNVICQKSSMASKQPASSQNGSLNVVIEGSQSGRNFQGSNPSSWTKLVMDMYPPGTSIRSCTCSYCNVEQPPRAKHCHDCDKCVLQFDHHCLWLGSCVGQDNHCKFWWYICEETALCLWTGILYIMYLKANISRAWYVILRSTSIV >KJB17483 pep chromosome:Graimondii2_0_v6:3:251618:255189:-1 gene:B456_003G004400 transcript:KJB17483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVMGICRSFHDRALARFFHCFPCLSDPARRSSWGLKVTLVMLHLIFVGILFVFDGDLIETTKKEPWYTALYLLLLFATLVQYFITSSSSPGYVFDVMRAVNETNVICQKSSMASKQPASSQNGSLNVVIEGSQSGRNFQGSNPSSWTKLVMDMYPPGTSIRSCTCSYCNVEQPPRAKHCHDCDKCVLQFDHHCLWLGSCVGQDNHCKFWWYICEETALCLWTGILYIMYLKANISRAWWKDAIMILLLIALSIVIIFLLLLLLFHSYLVLTNQTTYELVRRRRIPYLRRIPERVYPFSKGICRNLYNFCCVRSSIYSLEPLPSSQELEEK >KJB17484 pep chromosome:Graimondii2_0_v6:3:251608:255319:-1 gene:B456_003G004400 transcript:KJB17484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVMGICRSFHDRALARFFHCFPCLSDPARRSSWGLKVTLVMLHLIFVGILFVFDGDLIETTKKEPWYTALYLLLLFATLVQYFITSSSSPGYVFDVMRAVNETNVICQKSSMASKQPASSQNGSLNVVIEGSQSGRNFQGSNPSSWTKLVMDMYPPGTSIRSCTCSYCNVEQPPRAKHCHDCDKCVLQFDHHCLWLGSCVGQDNHCKFWWYICEETALCLWTGILYIMYLKANISRAWWKDAIMILLLIALSIVIIFLLLLLLFHSYLVLTNQTTYELVRRRRIPYLRRIPERVYPFSKGICRNLYNFCCVRSSIYSLEPLPSSQELEEKNRSLLVVRSRLSCL >KJB17489 pep chromosome:Graimondii2_0_v6:3:251796:255189:-1 gene:B456_003G004400 transcript:KJB17489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVMGICRSFHDRALARFFHCFPCLSDPARRSSWGLKVTLVMLHLIFVGILFVFDGDLIETTKKEPWYTALYLLLLFATLVQYFITSSSSPGYVFDVMRAVNETNVICQKSSMASKQPASSQNGSLNVVIEGSQSGRNFQGSNPSSWTKLVMDMYPPGTSIRSCTCSYCNVEQPPRAKHCHDCDKCVLQFDHHCLWLGSCVGQDNHCKFWWYICEETALCLWTGILYIMYLKANISRAWWKDAIMILLLIALSIVIIFLLLLLLFHSYLVLTNQTTYELVRRRRIPYLRRIPERVYPFSKGICRNLYNFCCVRSSIYSLEPLPSSQELEEKARPYTCLDILACRCC >KJB17488 pep chromosome:Graimondii2_0_v6:3:251796:254896:-1 gene:B456_003G004400 transcript:KJB17488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLIFVGILFVFDGDLIETTKKEPWYTALYLLLLFATLVQYFITSSSSPGYVFDVMRAVNETNVICQKSSMASKQPASSQNGSLNVVIEGSQSGRNFQGSNPSSWTKLVMDMYPPGTSIRSCTCSYCNVEQPPRAKHCHDCDKCVLQFDHHCLWLGSCVGQDNHCKFWWYICEETALCLWTGILYIMYLKANISRAWWKDAIMILLLIALSIVIIFLLLLLLFHSYLVLTNQTTYELVRRRRIPYLRRIPERVYPFSKGICRNLYNFCCVRSSIYSLEPLPSSQELEEKARPYTCLDILACRCC >KJB19573 pep chromosome:Graimondii2_0_v6:3:37860854:37862140:-1 gene:B456_003G127500 transcript:KJB19573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKLHGMWASPFSRRVELALKLKAIPYQYIEEDLSNKTPSLLRYNPVHKKVPVLVHNDKPVAESMVIIEYMDETWENNPFLPRDPYERAMARFYAKFIDDKLLPTLAKLGRTAGKEKEETIEEIHEQLKVLEDELKGKQFFGGQRIGYLDIVAMILVWLHLAGSITGQKVLSTEKFPLIYEWIERVMKMEVVNECLIPEEKRQDWIQLAQRAPKSASN >KJB20269 pep chromosome:Graimondii2_0_v6:3:40435250:40436269:-1 gene:B456_003G141200 transcript:KJB20269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVGFCLTFVLFIVAELTSAAEPPAPAPALSLGADLPQLAPTPVTGSPDSAPYISPVMDASPPAPMGPSPSDLAPGNSPASSPAPSPVDASDINHSDINAEGSEEKSGGDGGMSGGKKAGIMFGVVAAACLVGFGGLVYKKRQENIRRSQYGYAARSEFL >KJB17856 pep chromosome:Graimondii2_0_v6:3:1484152:1488116:-1 gene:B456_003G019500 transcript:KJB17856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIKLCFSITITVLFVISRVNSVKSENNNSKCNGSYVIGNTRRFLPFPFGFSSGCPIRLNCSSTGVKIGDFHVLNVTPTNIIVDLPAKCNRRLDSIAVLFGENYRVWAANNLLLENCSKTITPCVISPTFLERSFKLKPCVAKSDDISCLSGGYGGGLMNYNEVNNTQCRFLLSSTTILTEPERGSAVSLVMGRINLKWWVKGKCNCDENANCTKVKNGNKTVGFTCLCREGFEGDGFKQGGGCRRVSSCNFSKFLSGKCGRATRVGVLIGGLIVGSLLTGGLVLLCCYVRRCCNSSNKRARAKRRLCEAAGSSSVPFYAFREIERATNGFSDKYRLGTGAYGTVYAGKLHNDELVAIKRFRYRDPDSIDQVMNEIKLLSSVSHPNHVRLLGCCIEEGEPILVYEFMPNGTLSQHLQRERGEGLPWMARLTIAAETAKAIAYLHSVNPPIFHRDIKSSNILLDYNYRSKVADFGLSRLGMTESSHISTAPQGTPGYLDPQYHQYFHLSDKSDVYSFGVVLVEIITALKVVDFSRPHSEVNLAALATDRIGKGCVDEIIDPYLDLDGDAWTLSSIHKVAELAFRCLAFHPDMRPTMLEVAEELERIRLSAWVPCMCTESPSASSCPPSDDESDTSLSTKTVIEKALIIGNRRLITKQNCLTSLNDVKTNSPVSVQDDWLSEQSSPSTNSLLGNNASQRE >KJB17857 pep chromosome:Graimondii2_0_v6:3:1484250:1487719:-1 gene:B456_003G019500 transcript:KJB17857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIKLCFSITITVLFVISRVNSVKSENNNSKCNGSYVIGNTRRFLPFPFGFSSGCPIRLNCSSTGVKIGDFHVLNVTPTNIIVDLPAKCNRRLDSIAVLFGENYRVWAANNLLLENCSKTITPCVISPTFLERSFKLKPCVAKSDDISCLSGGYGGGLMNYNEVNNTQCRFLLSSTTILTEPERGSAVSLVMGRINLKWWVKGKCNCDENANCTKVKNGNKTVGFTCLCREGFEGDGFKQGGGCRRVSSCNFSKFLSGKCGRATRVGVLIGGLIVGSLLTGGLVLLCCYVRRCCNSSNKRARAKRRLCEAAGSSSVPFYAFREIERATNGFSDKYRLGTGAYGTVYAGKLHNDELVAIKRFRYRDPDSIDQVMNEIKLLSSVSHPNHVRLLGCCIEEGEPILVYEFMPNGTLSQHLQRERGEGLPWMARLTIAAETAKAIAYLHSVNPPIFHRDIKSSNILLDYNYRSKVADFGLSRLGMTESSHISTAPQGTPGYLDPQYHQYFHLSDKSDVYSFGVVLVEIITALKVVDFSRPHSEVNLAALATDRIGKGCVDEIIDPYLDLDGDAWTLSSIHKVAELAFRCLAFHPDMRPTMLEVAEELERIRLSAWVPCMCTESPSASSCPPSDDESDTSLSTKTVIEKALIIGNRRLITKQNCLTSLNDVKTNSPVSVQDDWLSEQSSPSTNSLLGNNASQRE >KJB19906 pep chromosome:Graimondii2_0_v6:3:37010794:37012329:-1 gene:B456_003G123900 transcript:KJB19906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFPFVFSLAAAIFAYALWFHILARRLTGPRVWPLVGSLPSLFENRRRIHDWMASNLRATGGLATYQTCTIALPFLAWKQGLYTVTCHPKNIEHILKTRFDNYPKGPNWQAAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMARWVNRTIKDRLWHILDRASIEKKSVDLQDLLLRLTFDNICGLTFGKDPETLSPELPENSFAVAFDTATEATLYRFLYPGLLWRLEKILGIGVEKRLKRSLQIVENYMNDAVEARKEAPSDDLLSRFMKKRDAEGNLFTSDVLQHIALNFVLAGRDTSSVALSWFFWLVMNHPEIEQKIIDEISTVLQESRGEDCKKWLKEPLVFDEADKLIYLKAALAETLRLYPSVPEDFKYVVEDDVLPDGTFVPAGSTVTYSIYSVGRMKTIWGEDCMEFRPERWISPEGDKFNTPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVASAVLLRYRLSLVPGHRVEQKMSLTLFMKQGLRVYLQPRKLP >KJB18362 pep chromosome:Graimondii2_0_v6:3:6776708:6778550:-1 gene:B456_003G048700 transcript:KJB18362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMINQRLVSQKHSQWPPESFRLSPGLRASAVLLLPWMSETFFNPVWPPESFRFSPGLRACAAFILPWMSETFYYTFIIVSHFKYNL >KJB19418 pep chromosome:Graimondii2_0_v6:3:30993161:30996105:1 gene:B456_003G101000 transcript:KJB19418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVLPLLILAAITGRSSGNWCICKDGVSDTVLQKTLDYACGAGADCNPIHLKGSCFNPDTVKAHCSYAVNSYFQRKGQAQGTCDFSGTATVTTADPSYTGCAFPSSASTAGTTTTPTTTPSSTTPSSVNPINNTPTSTTPFGSTTPTGILGGVGNGLGPSGTGINPDYSTDGGFRLQYCFSSYATLLISGLMLLWG >KJB21099 pep chromosome:Graimondii2_0_v6:3:45449578:45451487:-1 gene:B456_003G183100 transcript:KJB21099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNNVIGAINFVAMLLSIPILGAGIWLSNQPADSCLKILLWPIITLGVLILVMALAGFVGAFWRIPWLLMAYLVGMLVLIILLACLVIFMYMVTIRGSGHIVPNRAYLEYHLEDFSIWLQRRVRGSYKWERIETCLSTTQICPDLNQTYTMAMDFFRAYLTLIESGCCKPPTECGYTFVNPTNWISPINNIADPDCIQWNNDQTKLCYSCNSCKAGLLASLKQEWRRADIILLVTLIALICVYLVGCCAFRNAQTEDIFRKYRQGYS >KJB17865 pep chromosome:Graimondii2_0_v6:3:1518108:1518969:-1 gene:B456_003G020200 transcript:KJB17865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTIPTEFVREHLMKEHRSVTLCNYSGKTWIANFKQSQIGKNQYSYLQTGWGTFVRDNNIQFNDVCAFELINSTEISFKVVIYKGQHANCHQILASEMQYPYTRENHSQSDEILEQNIEESEDDDTTEILEVISPSLKVREELQSSCPQSHKMMSSTNSAIKTKTVCNGIKGDKRTKSSYNSTVEDTRKV >KJB18039 pep chromosome:Graimondii2_0_v6:3:2811174:2814138:-1 gene:B456_003G030800 transcript:KJB18039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEEEAALKAGVLKHGTGKWRNILSDPEFSSVLRSRSNVDLKDKWRNINATAIWGSRQKAKLALKRNQLAAKHDDNPMALITVPPTEEVVDPKPLAISSGTPRVTGPKKPVSRLENILLEAITSLREPGGSDRASIALYIEEKYAAPLNLKKLLATKLKLLVANGTLTKDCTTFNYFRNKISSACFGRKAEGFFEIGEESHQHSY >KJB18038 pep chromosome:Graimondii2_0_v6:3:2811174:2813987:-1 gene:B456_003G030800 transcript:KJB18038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLMGAPKQKWTAEEEAALKAGVLKHGTGKWRNILSDPEFSSVLRSRSNVDLKDKWRNINATAIWGSRQKAKLALKRNQLAAKHDDNPMALITVPPTEEVVDPKPLAISSGTPRVTGPKKPVSRLENILLEAITSLREPGGSDRASIALYIEEKYAAPLNLKKLLATKLKLLVANGTLTKVKHKYRIAPHSTISETRSPLLALEGRQKDSSKSEKKAINILTKTQVDVELSKMKTMTAEEAAAAAARAVAEAEFAIAEAEKAAREADAAEAEAEAAKIFAKAAAKALKSRMLVT >KJB18037 pep chromosome:Graimondii2_0_v6:3:2811127:2814200:-1 gene:B456_003G030800 transcript:KJB18037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEEEAALKAGVLKHGTGKWRNILSDPEFSSVLRSRSNVDLKDKWRNINATAIWGSRQKAKLALKRNQLAAKHDDNPMALITVPPTEEVVDPKPLAISSGTPRVTGPKKPVSRLENILLEAITSLREPGGSDRASIALYIEEKYAAPLNLKKLLATKLKLLVANGTLTKVKHKYRIAPHSTISETRSPLLALEGRQKDSSKSEKKAINILTKTQVDVELSKMKTMTAEEAAAAAARAVAEAEFAIAEAEKAAREADAAEAEAEAAKIFAKAAAKALKSRMLVT >KJB17537 pep chromosome:Graimondii2_0_v6:3:260059:261597:1 gene:B456_003G004600 transcript:KJB17537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRDWSGLPDELLTKIGQYVSGHFDMIRFRSVCRRWRHSLPLSHTNNWHLQLQITLLKEPKPDYRIRIPLPFYESYDPELEAESSPYHTVPSSIVKTTVCIINQSSLLKLEETQQEEYDDKVCMVLVLCDHGRLLLWRNGDEHMKEISNKFRYDDIAVYKGHFIAIDRWGTVSFVDSSSLTVIQYTPPVLSGGKKKNLVVCSEQLYVADRYFDRARTSQNPYGKWDPSVVDFKIYKLDEDWGRWIEITSLNDQILFLGKVLNFFVPIKELSGCNKGNCIHFKGDEFIGSKSHGVSVFDLADRRIGSILSFPGCSDMLCPPSWFTAN >KJB17538 pep chromosome:Graimondii2_0_v6:3:260104:261822:1 gene:B456_003G004600 transcript:KJB17538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRDWSGLPDELLTKIGQYVSGHFDMIRFRSVCRRWRHSLPLSHTNNWHLQLQITLLKEPKPDYRIRIPLPFYESYDPELEAESSPYHTVPSSIVKTTVCIINQSSLLKLEETQQEGKFRIINPISDIPIKEFPKVLNLLDYPIMEITRGYICKISHSNVLKAVILPEYDDKVCMVLVLCDHGRLLLWRNGDEHMKEISNKFRYDDIAVYKGHFIAIDRWGTVSFVDSSSLTVIQYTPPVLSGGKKKNLVVCSEQLYVADRYFDRARTSQNPYGKWDPSVVDFKIYKLDEDWGRWIEITSLNDQILFLGKVLNFFVPIKELSGCNKGNCIHFKGDEFIGSKSHGVSVFDLADRRIGSILSFPGCSDMLCPPSWFTAN >KJB20156 pep chromosome:Graimondii2_0_v6:3:39590712:39592096:1 gene:B456_003G136700 transcript:KJB20156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDELKLKLQYSSIQLESVKMEANEQIRKYKEEQKLMVNLLNLAYQERDEARDQLQKLLNKFMPCPPPQPETPLMVAAKTNSSITESNSLSHGSPPVDSFFDAVTSPDFPTIKMPADSTGMGLLNNQPFVQNGCGFVPSMVSPKPDGETAVIDKLAKGKTLPEKGKLLQAVMEARPLLQTLLLAGPLPQWRNPPPSNTFKIPPVSLNVCDSKPVVAPKRVNSSQYLEMSRGTRQMCSTAMLSFASSGAGAGVNNQITVTKRQRFQ >KJB20155 pep chromosome:Graimondii2_0_v6:3:39590361:39592096:1 gene:B456_003G136700 transcript:KJB20155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGYLWNYQENLDELKLKLQYSSIQLESVKMEANEQIRKYKEEQKLMVNLLNLAYQERDEARDQLQKLLNKFMPCPPPQPETPLMVAAKTNSSITESNSLSHGSPPVDSFFDAVTSPDFPTIKMPADSTGMGLLNNQPFVQNGCGFVPSMVSPKPDGETAVIDKLAKGKTLPEKGKLLQAVMEARPLLQTLLLAGPLPQWRNPPPSNTFKIPPVSLNVCDSKPVVAPKRVNSSQYLEMSRGTRQMCSTAMLSFASSGAGAGVNNQITVTKRQRFQ >KJB20157 pep chromosome:Graimondii2_0_v6:3:39590824:39592096:1 gene:B456_003G136700 transcript:KJB20157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANEQIRKYKEEQKLMVNLLNLAYQERDEARDQLQKLLNKFMPCPPPQPETPLMVAAKTNSSITESNSLSHGSPPVDSFFDAVTSPDFPTIKMPADSTGMGLLNNQPFVQNGCGFVPSMVSPKPDGETAVIDKLAKGKTLPEKGKLLQAVMEARPLLQTLLLAGPLPQWRNPPPSNTFKIPPVSLNVCDSKPVVAPKRVNSSQYLEMSRGTRQMCSTAMLSFASSGAGAGVNNQITVTKRQRFQ >KJB20428 pep chromosome:Graimondii2_0_v6:3:41528580:41529146:-1 gene:B456_003G147800 transcript:KJB20428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPQKLQTFLVSIVAIFTRLCPELYQQEEPPSLPRPATVASIDIERQPSSSSPQPQLPSQLHPLLALQSHQQWQNTVIAFCFSYALGVSLQYATPHQSNQHLPFPMVLLSFLVLLTFILMLGAFFINPYCTTTSNALQKLALLLAAAAFTHTLSIPLSFELKCAIWAVFLLPFLTAIIFTYLNTKTA >KJB19222 pep chromosome:Graimondii2_0_v6:3:26028467:26030156:1 gene:B456_003G089600 transcript:KJB19222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSNSAPVSSIHTSSETGRLLPSATKCNSKEIDLDVSLPSPYPKSYLFELVITDKTHYRRFLYISLTLAFVVLALGLVPHFLPQNNRHHGHSKNLTLALNQAITFFDAQKSGSYPSNSPIRFRGSSGLQDGNSSNIHADLVGGFYDSGNNIKFTFPAAYTITLLSWSVVEYHRKYADIGELEHIKDVIKWGSDYLLKVFVPPTAKSDPSLLYSQVGSAGNDTKNPVPNDINCWQRPEDMRYKRPVSVCNETASDLAGEIVAALSAASIVFKQENEYSQRLIKKAEQIYEITAKEDRIHRAITYTTIDACGGEARKFYGSSGYKDELVWAATWLFFATGNHTFLDYATTNFAAAVDDETTTDKGIFYWNNKLAANAVLFTRLRFFRDLGFPYEKALGLSTNMTDQLMCSYLSKQNFNRTPGKVFSVDYQFMYFFFKANELIRRRTNEPHKIISYFQHRIQVSFLQLGT >KJB19224 pep chromosome:Graimondii2_0_v6:3:26028228:26031047:1 gene:B456_003G089600 transcript:KJB19224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSNSAPVSSIHTSSETGRLLPSATKCNSKEIDLDVSLPSPYPKSYLFELVITDKTHYRRFLYISLTLAFVVLALGLVPHFLPQNNRHHGHSKNLTLALNQAITFFDAQKSGSYPSNSPIRFRGSSGLQDGNSSNIHADLVGGFYDSGNNIKFTFPAAYTITLLSWSVVEYHRKYADIGELEHIKDVIKWGSDYLLKVGSAGNDTKNPVPNDINCWQRPEDMRYKRPVSVCNETASDLAGEIVAALSAASIVFKQENEYSQRLIKKAEQIYEITAKEDRIHRAITYTTIDACGGEARKFYGSSGYKDELVWAATWLFFATGNHTFLDYATTNFAAAVDDETTTDKGIFYWNNKLAANAVLFTRLRFFRDLGFPYEKALGLSTNMTDQLMCSYLSKQNFNRTPGGLILLSPGTGGPLQFAATASFLSKLYNDYLTLLRRSSWNCTNDGFSLEMLQSFSTSQINYILGDNPKKMSYMVGFGDHYPTHVHHRSASIPWDGQYHSCADGDRWLHSQDRNPNILLGAMVAGPDQFDDFSDEREKTWSTEPSIAGNAGLVAALIAHHDPPRSSASNGPNLGLDIVGIFEKVHLDS >KJB19223 pep chromosome:Graimondii2_0_v6:3:26028228:26031047:1 gene:B456_003G089600 transcript:KJB19223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSNSAPVSSIHTSSETGRLLPSATKCNSKEIDLDVSLPSPYPKSYLFELVITDKTHYRRFLYISLTLAFVVLALGLVPHFLPQNNRHHGHSKNLTLALNQAITFFDAQKSGSYPSNSPIRFRGSSGLQDGNSSNIHADLVGGFYDSGNNIKFTFPAAYTITLLSWSVVEYHRKYADIGELEHIKDVIKWGSDYLLKVFVPPTAKSDPSLLYSQVGSAGNDTKNPVPNDINCWQRPEDMRYKRPVSVCNETASDLAGEIVAALSAASIVFKQENEYSQRLIKKAEQIYEITAKEDRIHRAITYTTIDACGGEARKFYGSSGYKDELVWAATWLFFATGNHTFLDYATTNFAAAVDDETTTDKGIFYWNNKLAANAVLFTRLRFFRDLGFPYEKALGLSTNMTDQLMCSYLSKQNFNRTPGGLILLSPGTGGPLQFAATASFLSKLYNDYLTLLRRSSWNCTNDGFSLEMLQSFSTSQINYILGDNPKKMSYMVGFGDHYPTHVHHRSASIPWDGQYHSCADGDRWLHSQDRNPNILLGAMVAGPDQFDDFSDEREKTWSTEPSIAGNAGLVAALIAHHDPPRSSASNGPNLGLDIVGIFEKVHLDS >KJB17893 pep chromosome:Graimondii2_0_v6:3:1723735:1724385:-1 gene:B456_003G022600 transcript:KJB17893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRPMHTFAVFLLIACYLVLSVEGRFLKSLSKNNSKQVLPPPTPIKASDFGDSIEGYKEDFRPTTPGNSPGVGHSFADVVEDIVEQNPASISLQGNGKRSIAGHSPGVGHAYPNRSQNSEPNA >KJB20534 pep chromosome:Graimondii2_0_v6:3:42164970:42165870:-1 gene:B456_003G153200 transcript:KJB20534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLQLVSQYLTILGNLALALHDTGQAREILRSSLTLAKKLGDIPTQIWVLSVLTGLFQQLGERGNQMENDEYRRKKFDELQSRLADARSSIYHIELIDKVKLQVQQFHEIDMKRTMAGESMRVNLDIPESVGLSTPMPVPSSSRLVDLDTGRRGKRKL >KJB21154 pep chromosome:Graimondii2_0_v6:3:45609834:45614745:1 gene:B456_003G185300 transcript:KJB21154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLGFKEETVEAYNQDFSSSTIPSNAYKLVPWLNWAEWDSVRKSLFSSSPRKISSALSRISTWRSRGCLPVVIDVTASIVEIQQTDPFFRGDKSKDDSHSEQLLAMLYCMAILRIVNCVIEKTRKRTGISIADAADAIGIPRRLIDVRHEGSHRDLPSLTIARDSSVVALNWLKSYYWEPQKKQIPFQRDGVVNFRREIKSKLRELALCIKIQKNPELKSLLVRGKGGGQFKHLCGRSKFFSLMAGKVNSSQSSGPKKLISKTLKSLVSLYSTSSSEVVSVLLELLLKALDSSSSMDISEDSESGLDRHTALDDWQLVIRKFSNKEPELLLALLQRILYMIGTNEASKSETGHPTASEGRPEACQVEHVSSLFAWLVRHLELKGKSVSNAILLELLRKCLLVASLRNNHLMDSALHIAQLVGNSVLMEKLNKFRSLGLSNTEVTEENTSNETWGIVSQEEEYLNQAAKKLEVVKLRRTKSTAVKTADDVRNSNRWVVAKSWNPCPLGMLPRTLGSSGRIPLLDCGSDCQKDTEVMEGKNERELNRRNGKREGSDDIQLMNFSSPKKLKETVENKHEQSSEGVSSSGTCRHLMMDGIWKEVGETEVEAIASAVRILV >KJB21153 pep chromosome:Graimondii2_0_v6:3:45609783:45614857:1 gene:B456_003G185300 transcript:KJB21153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLGFKEETVEAYNQDFSSSTIPSNAYKLVPWLNWAEWDSVRKSLFSSSPRKISSALSRISTWRSRGCLPVVIDVTASIVEIQQTDPFFRGDKSKDDSHSEQLLAMLYCMAILRIVNCVIEKTRKRTGISIADAADAIGIPRRLIDVRHEGSHRDLPSLTIARDSSVVALNWLKSYYWEPQKKQIPFQRDGVVNFRREIKSKLRELALCIKIQKNPELKSLLVRGKGGGQFKHLCGRSKFFSLMAGKVNSSQSSGPKKLISKTLKSLVSLYSTSSSEVVSVLLELLLKALDSSSSMDISEDSESGLDRHTALDDWQLVIRKFSNKEPELLLALLQRILYMIGTNEASKSETEGHPTASEGRPEACQVEHVSSLFAWLVRHLELKGKSVSNAILLELLRKCLLVASLRNNHLMDSALHIAQLVGNSVLMEKLNKFRSLGLSNTEVTEENTSNETWGIVSQEEEYLNQAAKKLEVVKLRRTKSTAVKTADDVRNSNRWVVAKSWNPCPLGMLPRTLGSSGRIPLLDCGSDCQKDTEVMEGKNERELNRRNGKREGSDDIQLMNFSSPKKLKETVENKHEQSSEGVSSSGTCRHLMMDGIWKEVGETEVEAIASAVRILV >KJB19797 pep chromosome:Graimondii2_0_v6:3:35843957:35855819:1 gene:B456_003G119300 transcript:KJB19797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDRDEAEEEGNEERNKRKMEIVWQTPAHPAQKQDYVFHNGKRHVRPYYFEFVSHVNKRWEGKTIVDLFAQEFRGRSRDYYVSAVKCGRIQVDGENIPVSYVVKRCQKISHFLHRHEPPVMAWDVEVLQNEPDVLTVCKPASVPVHPCGQYRKNTVLGILQAEYGLAPLYPIHRLDRLVSGLLIMAKNPAKADIFRQHIEAGLVQKQYVAKVVGVFPDAEQVVDANIDYNAREGRSTAEVGISNGDTTLKGKAACTKFTRISTNGIHSIVLCEPVTGRTHQVRLMNRKQ >KJB19795 pep chromosome:Graimondii2_0_v6:3:35843891:35855882:1 gene:B456_003G119300 transcript:KJB19795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDRDEAEEEGNEERNKRKMEIVWQTPAHPAQKQDYVFHNGKRHVRPYYFEFVSHVNKRWEGKTIVDLFAQEFRGRSRDYYVSAVKCGRIQVDGENIPVSYVVKRCQKISHFLHRHEPPVMAWDVEVLQNEPDVLTVCKPASVPVHPCGQYRKNTVLGILQAEYGLAPLYPIHRLDRLVSGLLIMAKNPAKADIFRQHIEAGLVQKQYVAKVVGVFPDAEQVVDANIDYNAREGRSTAEVGISNGDTTLKGKAACTKFTRISTNGIHSIVLCEPVTGRTHQIRVHLQCTGHPIANDMLYLSDDVVNRSTLGTTADRAAALSGGSPETSCESHKEEYKYCSEDFSIDPMCTHCPNLAPNGYDGHEEGLWLHCVRYTGPEWVYECPYPDWASLT >KJB19798 pep chromosome:Graimondii2_0_v6:3:35843891:35855882:1 gene:B456_003G119300 transcript:KJB19798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDRDEAEEEGNEERNKRKMEIVWQTPAHPAQKQDYVFHNGKRHVRPYYFEFVSHVNKRWEGKTIVDLFAQEFRGRSRDYYVSAVKCGRIQVDGENIPVSYVVKRCQKISHFLHRHEPPVMAWDVEVLQNEPDVLTVCKPASVPVHPCGQYRKNTVLGILQAEYGLAPLYPIHRLDRLVSGLLIMAKNPAKADIFRQHIEAGLVQKQYVAKVVGVFPDAEVGISNGDTTLKGKAACTKFTRISTNGIHSIVLCEPVTGRTHQIRVHLQCTGHPIANDMLYLSDDVVNRSTLGTTADRAAALSGGSPETSCESHKEEYKYCSEDFSIDPMCTHCPNLAPNGYDGHEEGLWLHCVRYTGPEWVYECPYPDWASLT >KJB19796 pep chromosome:Graimondii2_0_v6:3:35843957:35855819:1 gene:B456_003G119300 transcript:KJB19796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDRDEAEEEGNEERNKRKMEIVWQTPAHPAQKQDYVFHNGKRHVRPYYFEFVSHVNKRWEGKTIVDLFAQEFRGRSRDYYVSAVKCGRIQVDGENIPVSYVVKRCQKISHFLHRHEPPVMAWDVEVLQNEPDVLTVCKPASVPVHPCGQYRKNTVLGILQAEYGLAPLYPIHRLDRLVSGLLIMAKNPAKADIFRQHIEAGLVQKQYVAKVVGVFPDAEVGISNGDTTLKGKAACTKFTRISTNGIHSIVLCEPVTGRTHQVRLMNRKQ >KJB19793 pep chromosome:Graimondii2_0_v6:3:35843891:35855876:1 gene:B456_003G119300 transcript:KJB19793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEPPVMAWDVEVLQNEPDVLTVCKPASVPVHPCGQYRKNTVLGILQAEYGLAPLYPIHRLDRLVSGLLIMAKNPAKADIFRQHIEAGLVQKQYVAKVVGVFPDAEQVVDANIDYNAREGRSTAEVGISNGDTTLKGKAACTKFTRISTNGIHSIVLCEPVTGRTHQIRVHLQCTGHPIANDMLYLSDDVVNRSTLGTTADRAAALSGGSPETSCESHKEEYKYCSEDFSIDPMCTHCPNLAPNGYDGHEEGLWLHCVRYTGPEWVYECPYPDWASLT >KJB19794 pep chromosome:Graimondii2_0_v6:3:35844029:35852528:1 gene:B456_003G119300 transcript:KJB19794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDRDEAEEEGNEERNKRKMEIVWQTPAHPAQKQDYVFHNGKRHVRPYYFEFVSHVNKRWEGKTIVDLFAQEFRGRSRDYYVSAVKCGRIQVDGENIPVSYVVKRCQKISHFLHRHEPPVMAWDVEVLQNEPDVLTVCKPASVPVHPCGQYRKNTVLGILQAEYGLAPLYPIHRLDRLVSGLLIMAKNPAKADIFRQHIEAGLVQKQYVAKVVGVFPDAEQVVDANIDYNAREGRSTAEESLLS >KJB21241 pep chromosome:Graimondii2_0_v6:3:41652501:41655550:-1 gene:B456_003G149000 transcript:KJB21241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRRWVSVLCCLSLLGSFASASTISLPSDSRKVSLTLYYESLCPYSANFIVNYLPKLFNEDLISIVDLRLVPWGNAKLKGNGTFDCQHGPTECLLNTVEACAIDAWPKLNDHFPFIYCVENLELERKPLEWESCFKKLGLESKPVNDCYNSGLGQKLDLQYAAETSALEPPHTYVPWVVVDGQPLYEDYENYISYVCKAYKGANMPKACSGLTFNQIYNRKTIHPVCYKEIPPSTLSSRTISTVMSWIQKIVTSM >KJB21243 pep chromosome:Graimondii2_0_v6:3:41653028:41655496:-1 gene:B456_003G149000 transcript:KJB21243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRRWVSVLCCLSLLGSFASASTISLPSDSRKVSLTLYYESLCPYSANFIVNYLPKLFNEDLISIVDLRLVPWGNAKLKGNGTFDCQHGPTECLLNTVEACAIDAWPKLNDHFPFIYCVENLELERKPLEWESCFKKLGLESKPVNDCYNSGLGQKLDLQYAAETSALEPPHTYVPWVVVDGQPLYEVCFLDYQID >KJB21244 pep chromosome:Graimondii2_0_v6:3:41653858:41655496:-1 gene:B456_003G149000 transcript:KJB21244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRRWVSVLCCLSLLGSFASASTISLPSDSRKVSLTLYYESLCPYSANFIVNYLPKLFNEDLISIVDLRLVPWGNAKLKGNGTFDCQHGPTECLLNTVEACAIDAWPKLNDHFPFIYCVENLELERKPLEWESCFKKLGLESKPVNDCYNSGLGQKVSTLCATI >KJB21242 pep chromosome:Graimondii2_0_v6:3:41652515:41655496:-1 gene:B456_003G149000 transcript:KJB21242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRRWVSVLCCLSLLGSFASASTISLPSDSRKVSLTLYYESLCPYSANFIVNYLPKLFNEDLISIVDLRLVPWGNAKLKGNGTFDCQHGPTECLLNTVEACAIDAWPKLNDHFPFIYCVENLELERKPLEWESCFKKLGLESKPVNDCYNSGLGQKPKQVPLNHLIHMYLGLLWMGNHFTRTMKTI >KJB18602 pep chromosome:Graimondii2_0_v6:3:11549279:11550265:-1 gene:B456_003G062600 transcript:KJB18602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNPPVIAKRVWSIVRAVLFMMKKGILSKRKLMVDLNMLLKRGKIASAKAIANLPMFHRHQVSSSAAAPAQEYEFSCSNTPNYIFPFNLPTKKKNSINNYYHHLFACTHAPPTHDDDTAAMNAFKVVLEMLNNNMGVESDAAVIAASPMLPGFGQTPLVRQLRITDSPFPLRDVDEGNGNVDKAAEDFINRFYKDLKLQNNNTTA >KJB19070 pep chromosome:Graimondii2_0_v6:3:21071435:21076245:1 gene:B456_003G083700 transcript:KJB19070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSIGEQVGSLLSLGLGVSTSSSDSKGSSTTACTTTSSAKEADAESSMDIELDFTLHLGNEKVINPKKSASSNLNGLELQPKVDLELSLSTGPSESEITGVYLSSSPNQSGMEMFIAVDGPPNSDEGSTSCCSKSEIGLPRLQSLPTKATSMLFKEVPRNIDLSSIVPDLSSSMITPTKSSVTCTSGITRQQQPQQRSSSSKVCQVEGCGKGARGASGRCISHGGGRRCQKPGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCNHEGCTRAARGKSGLCIRHGGGKRCQKENCTRSAEGLSGLCISHGGGRRCQFIGCTKGAQGSTMFCKAHGGGKRCTFLGCTKGAEGSTPFCKGHGGGKRCAFEGGGVCTKSVHGGTNFCVAHGGGKRCAVHQCTKSARGRTDYCVRHGGGKRCKVEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSEYGNQHSGPCNSFSRGKTGLCALHSGLVQDKRVHGGDTIGPIVHDPKLSKSEKMKEIVTPEVMNVDIMGSGTEATCSGLNQHRVPNPCNSSVEGGFSPFVPEGRVHGGSLMAMLAGGSGLGSGNCEGLAGNPSEPNKSHMAPQKWM >KJB19069 pep chromosome:Graimondii2_0_v6:3:21071435:21076245:1 gene:B456_003G083700 transcript:KJB19069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRFSHLGFAANYSANAFKILGSSIQVGGNGAAYSTDTDLRLDSPGSSIPCRSTAKGIKRKWSLMDGSIGEQVGSLLSLGLGVSTSSSDSKGSSTTACTTTSSAKEADAESSMDIELDFTLHLGNEKVINPKKSASSNLNGLELQPKVDLELSLSTGPSESEITGVYLSSSPNQSGMEMFIAVDGPPNSDEGSTSCCSKSEIGLPRLQSLPTKATSMLFKEVPRNIDLSSIVPDLSSSMITPTKSSVTCTSGITRQQQPQQRSSSSKVCQVEGCGKGARGASGRCISHGGGRRCQKPGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCNHEGCTRAARGKSGLCIRHGGGKRCQKENCTRSAEGLSGLCISHGGGRRCQFIGCTKGAQGSTMFCKAHGGGKRCTFLGCTKGAEGSTPFCKGHGGGKRCAFEGGGVCTKSVHGGTNFCVAHGGGKRCAVHQCTKSARGRTDYCVRHGGGKRCKVEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSEYGNQHSGPCNSFSRGKTGLCALHSGLVQDKRVHGGDTIGPIVHDPKLSKSEKMKEIVTPEVMNVDIMGSGTEATCSGLNQHRVPNPCNSSVEGGFSPFVPEGRVHGGSLMAMLAGGSGLGSGNCEGLAGNPSEPNKSHMAPQKWM >KJB19068 pep chromosome:Graimondii2_0_v6:3:21071435:21076245:1 gene:B456_003G083700 transcript:KJB19068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRFSHLGFAANYSANAFKILGSSIQVGGNGAAYSTDTDLRLDSPGSSIPCRSTAKGIKRKWSLMDGSIGEQVGSLLSLGLGVSTSSSDSKGSSTTACTTTSSAKEADAESSMDIELDFTLHLGNEKVINPKKSASSNLNGLELQPKVDLELSLSTGPSESEITGVYLSSSPNQSGMEMFIAVDGPPNSDEGSTSCCSKSEIGLPRLQSLPTKATSMLFKEVPRNIDLSSIVPDLSSSMITPTKSSVTCTSGITRQQQPQQRSSSSKVCQVEGCGKGARGASGRCISHGGGRRCQKPGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCNHEGCTRAARGKSGLCIRHGGGKRCQKENCTRSAEGLSGLCISHGGGRRCQFIGCTKGAQGSTMFCKAHGGGKRCTFLGCTKGAEGSTPFCKGHGGGKRCAFEGGGVCTKSVHGGTNFCVAHGGGKRCAVHQCTKSARGRTDYCVRHGGGKRCKVEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSEYGNQHSGPCNSFSRGKTGLCALHSGLVQDKRVHGGDTIGPIVHDPKLSKSEKMKEIVTPEVMNVDIMGSGTEATCSGLNQHRVPNPCNSSVEGGFSPFVPEGRVHGGSLMAMLAGGSGLGSGNCEGLAGNPSEPNKSHMAPQKWM >KJB18314 pep chromosome:Graimondii2_0_v6:3:6919242:6920746:-1 gene:B456_003G049200 transcript:KJB18314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESEYSSAELQWTLLMSDSDMAAAAQQLMQLSDEDNSSSSSSSNGNNNNKKIIAKMMKDERYLEQIQNEITSAKIEEIFGKEEISRPTKKRRYRFLESIYKETKPMKTIASKLLFALKKNFIVIADEYGLDLFGP >KJB20400 pep chromosome:Graimondii2_0_v6:3:41371707:41376529:1 gene:B456_003G146800 transcript:KJB20400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREDDETELRHSVGVTGGLKKQLEAAVAANETLMKENQELKQEVGRLKAQISSLKAHDNERKSLLWKKLHSNPDDRNTGSSGFVDSENGYPKPSFHELAMRKDRQLEAPKPPPPSSRSHQKEVNENKAKTPALAPAPPPLPTKLLAGSRSVRRVPEVGELYRSLTRKDANMENKSNMTTTPLLAFTRNMIGEIENRSTYVSAIKSDVEKQKEFINFLISEVQSATFKDITDVEGFVKWLDGELSSLVDERAVLKHFPQWPERKADAMREAAFSYRDLKNLEAEVTSFKVNPKESFNSELKKMQALQDRLEQSVNNIERVRESSGKRYRELQIPWEWMLDTGFIGQMKFSSLKLAQEYMKRTAKELQSHECSQVNNLLLQGVRFAYRVHQFAGGFDADTIRAFEDLKKTSNISNPKQ >KJB20403 pep chromosome:Graimondii2_0_v6:3:41371871:41373942:1 gene:B456_003G146800 transcript:KJB20403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREDDETELRHSVGVTGGLKKQLEAAVAANETLMKENQELKQEVGRLKAQISSLKAHDNERKSLLWKKLHSNPDDRNTGSSGFVDSENGYPKPSFHELAMRKDRQLEAPKPPPPSSRSHQKEVNENKAKTPALAPAPPPLPTKLLAGSRSVRRVPEVGELYRSLTRKDANMENKSNMTTTPLLAFTRNMIGEIENRSTYVSAIKSDVEKQKEFINFLISEVQSATFKDITDVEGFVKWLDGELSSLVDERAVLKHFPQWPERKADAMREAAFSYRDLKNLEAEVTSFKVNPKESFNSELKKMQALQDRRACWNKV >KJB20401 pep chromosome:Graimondii2_0_v6:3:41371798:41373255:1 gene:B456_003G146800 transcript:KJB20401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREDDETELRHSVGVTGGLKKQLEAAVAANETLMKENQELKQEVGRLKAQISSLKAHDNERKSLLWKKLHSNPDDRNTGSSGFVDSENGYPKPSFHELAMRKDRQLEAPKPPPPSSRSHQKEVNENKAKTPALAPAPPPLPTKLLAGSRSVRRVPEVGELYRSLTRKDANMENKSNMTTTPLLAFTRNMIGEIENRSTYVSAIKSDVEKQKEFINFLISEVQSATFKDITDVEGFVKWLDGELSSLVDERAVLKHFPQWPERKADAMREAAFSYRDLKNLEAEVTSFKVNPKESFNSELKKMQALQDRRACIN >KJB20402 pep chromosome:Graimondii2_0_v6:3:41371798:41375400:1 gene:B456_003G146800 transcript:KJB20402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREDDETELRHSVGVTGGLKKQLEAAVAANETLMKENQELKQEVGRLKAQISSLKAHDNERKSLLWKKLHSNPDDRNTGSSGFVDSENGYPKPSFHELAMRKDRQLEAPKPPPPSSRSHQKEVNENKAKTPALAPAPPPLPTKLLAGSRSVRRVPEVGELYRSLTRKDANMENKSNMTTTPLLAFTRNMIGEIENRSTYVSAIKSDVEKQKEFINFLISEVQSATFKDITDVEGFVKWLDGELSSLVDERAVLKHFPQWPERKADAMREAAFSYRDLKNLEAEVTSFKVNPKESFNSELKKMQALQDRLEQSVNNIERVRESSGKRYRELQIPWEWMLDTGFIGQMKFSSLKLAQEYMKRTAKELQSHECSQVNNLLLQGVRFAYRVHQVTLHFVFKKGLNCIKHPQNITLILN >KJB20404 pep chromosome:Graimondii2_0_v6:3:41371798:41376398:1 gene:B456_003G146800 transcript:KJB20404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREDDETELRHSVGVTGGLKKQLEAAVAANETLMKENQELKQEVGRLKAQISSLKAHDNERKSLLWKKLHSNPDDRNTGSSGFVDSENGYPKPSFHELAMRKDRQLEAPKPPPPSSRSHQKEVNENKAKTPALAPAPPPLPTKLLAGSRSVRRVPEVGELYRSLTRKDANMENKSNMTTTPLLAFTRNMIGEIENRSTYVSAIKSDVEKQKEFINFLISEVQSATFKDITDVEGFVKWLDGELSSLVDERAVLKHFPQWPERKADAMREAAFSYRDLKNLEAEVTSFKVNPKESFNSELKKMQALQDRRACWNKV >KJB21046 pep chromosome:Graimondii2_0_v6:3:45190494:45194281:-1 gene:B456_003G180200 transcript:KJB21046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSEVCKEVEFKEEQEEACTKDGTIDWHGQPAIKAKSGQWTAGIIILLNQGLATLAFFGVGVNLVLFLTRVLGQNNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQVIFVIGLVSLSLSSYLFLIKPKGCGDEETKCGSHSGFEIALFYLSIYLVALGNGGYQPNIATFGADQFDENDLKEGHSKVAFFSYFYLALNLGSLFSNTILGYFEDEGLWALGFWVSSGSALAALVLFLAGTTRYRHFKPSGNPLSRFSQVLVAATKKCNIDMPLDADSLFDVDGNDSSINGNRKILHTNEFKFLDKAAYISTRDIDDQKKGIYTPWRLCPVTQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKVIVLNFRIPPASMSSFDILSVALFIFLYRRILDPVVSRLRKKSSRGLTELQRMGIGLIIAILAMVSAGIVECYRLRYANKDCIHCEGSSSLSIFWQVPQYAFIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVTMVMKISTEDHMPGWIPGNLNKGHLDRFYFLLAGLTTIDLVVYIACARWYKCIKLEGKGDENDDERGSFNKV >KJB20240 pep chromosome:Graimondii2_0_v6:3:40269900:40272897:1 gene:B456_003G139900 transcript:KJB20240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVKKIANAFDNHMDAKRTLREIKLLRHLDHENVIGIKDVIPPPLRREFTDVYIANELMDTDLHQIIRSNQSLSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPTAENEFMTEYVVTRWYRAPEILLNSSDYTAAIDVWSVGCIFMELMNRKPLFPGKDHVHQMRLLTELLGTPTESDLGFLRNEDARRYIRQLPAHPRQSLAEVFPHVHPLAIDLIDRMLTFDPTRRITVEEALAHPYLERLHDISDEPVCPEPFSFDFERQPLGEEQMKDMIYQEALALNPTYA >KJB20239 pep chromosome:Graimondii2_0_v6:3:40269900:40272897:1 gene:B456_003G139900 transcript:KJB20239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVAPGNAGGQLGDFPTIHTHGGQFIQYNIFGNLFEVTSKYRPPIMPIGRGAYGIVCSVLNSETNEMVAVKKIANAFDNHMDAKRTLREIKLLRHLDHENVIGIKDVIPPPLRREFTDVYIANELMDTDLHQIIRSNQSLSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPTAENEFMTEYVVTRWYRAPEILLNSSDYTAAIDVWSVGCIFMELMNRKPLFPGKDHVHQMRLLTELLGTPTESDLGFLRNEDARRYIRQLPAHPRQSLAEVFPHVHPLAIDLIDRMLTFDPTRRITGKCYIYNQALINA >KJB20241 pep chromosome:Graimondii2_0_v6:3:40269900:40272914:1 gene:B456_003G139900 transcript:KJB20241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVAPGNAGGQLGDFPTIHTHGGQFIQYNIFGNLFEVTSKYRPPIMPIGRGAYGIVCSVLNSETNEMVAVKKIANAFDNHMDAKRTLREIKLLRHLDHENVIGIKDVIPPPLRREFTDVYIANELMDTDLHQIIRSNQSLSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPTAENEFMTEYVVTRWYRAPEILLNSSDYTAAIDVWSVGCIFMELMNRKPLFPGKDHVHQMRLLTELLGTPTESDLGFLRNEDARRYIRQLPAHPRQSLAEVFPHVHPLAIDLIDRMLTFDPTRRITVEEALAHPYLERLHDISDEPVCPEPFSFDFERQPLGEEQMKDMIYQEALALNPTYA >KJB20633 pep chromosome:Graimondii2_0_v6:3:42713557:42721608:1 gene:B456_003G157400 transcript:KJB20633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKEDNPIVIDPLTLKTNKSSLLSTNKKITLILPCLCVTNQSLWFPHFQKSSVFPFHLIYHLVKRSVCSVKSISGYRCFSALEATHRRNYRFPHSLPYRARETSRRLICSVATEPLPKRVEESDMDAPKEIFLKDYKSPDYYFDTVDLRFSLGEEKTIVASKITVFPRVEGSSAPLVLDGVDLKLISIKVDGKELKEGDYHLDSRHLTLPSPPEGKFTLEIDTEIQPQNNTSLEGLYKSSGNFCTQCEAEGFRKITFYQDRPDIMAKYTCRIEADKLLYPVLLSNGNLIEQGTLEGGKHYAVWEDPFKKPCYLFALVAGQLESRDDTFVTRSGRKVALRIWTPAQDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNVVAVPDFNMGAMENKSLNIFNSKLVLASPETASDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRIADVSKLRNYQFPQDAGPMAHPVRPHSYIKMDNFYTGKFLLYMGAEVVRMYKTLLGTQGFRKGMDLYFKRHDGQAVTCEDFFAAMKDANGADFANFLLWYSQAGTPVVKVTSSYNAEAHTFSLKFSQEVPPTPGQPVKESMFIPVAVGLLDSSGKDMTLSSVYHNGTLQSVASNNQPVLSTVLRVTKKEEEFVFCDIFERPIPSLLRGYSAPVRLESDLSDSDLFFLLAHDSDEFNRWESGQVLARKLMLSLVADFQQSKPLTLNPKFVQGLKSILCDPSLDKEFIAKAITLPGEGEIMDMMEVADPDAVHAVRTFIRKELASQLKSEFLSTVENNRSSEEYVFDHPNMAGRALKNTALAYLGSLEDSEITELALHEYKTATNMTDQFAALSAISQKPGKTRDDVLADFYTKWQHNYLVVNKWFALQAMSNIPGNVENVRKLLNHPAFDLRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEIVLQLDKLNPQVASRMVSAFSRWRRFDESRQTLAKVSDLLAVLSYVSCDQCYNFVP >KJB20634 pep chromosome:Graimondii2_0_v6:3:42713557:42721608:1 gene:B456_003G157400 transcript:KJB20634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKEDNPIVIDPLTLKTNKSSLLSTNKKITLILPCLCVTNQSLWFPHFQKSSVFPFHLIYHLVKRSVCSVKSISGYRCFSALEATHRRNYRFPHSLPYRARETSRRLICSVATEPLPKRVEESDMDAPKEIFLKDYKSPDYYFDTVDLRFSLGEEKTIVASKITVFPRVEGSSAPLVLDGVDLKLISIKVDGKELKEGDYHLDSRHLTLPSPPEGKFTLEIDTEIQPQNNTSLEGLYKSSGNFCTQCEAEGFRKITFYQDRPDIMAKYTCRIEADKLLYPVLLSNGNLIEQGTLEGGKHYAVWEDPFKKPCYLFALVAGQLESRDDTFVTRSGRKVALRIWTPAQDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNVVAVPDFNMGAMENKSLNIFNSKLVLASPETASDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRIADVSKLRNYQFPQDAGPMAHPVRPHSYIKMDNFYTGKFLLYMGAEVVRMYKTLLGTQGFRKGMDLYFKRHDGQAVTCEDFFAAMKDANGADFANFLLWYSQAGTPVVKVTSSYNAEAHTFSLKFSQEVPPTPGQPVKESMFIPVAVGLLDSSGKDMTLSSVYHNGTLQSVASNNQPVLSTVLRVTKKEEEFVFCDIFERPIPSLLRGYSAPVRLESDLSDSDLFFLLAHDSDEFNRWESGQVLARKLMLSLVADFQQSKPLTLNPKFVQGLKSILCDPSLDKEFIAKAITLPGEGEIMDMMEVADPDAVHAVRTFIRKELASQLKSEFLSTVENNRSSEEYVFDHPNMAGRALKNTALAYLGSLEDSEITELALHEYKTATNMTDQFAALSAISQKPGKTRDDVLADFYTKWQHNYLVVNKWFALQAMSNIPGNVENVRKLLNHPAFDLRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEIVLQLDKLNPQVASRMVSAFSRWRRFDESRQTLAKQQLETIMSANGLSENVFEIASKSLA >KJB20635 pep chromosome:Graimondii2_0_v6:3:42713557:42721608:1 gene:B456_003G157400 transcript:KJB20635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKEDNPIVIDPLTLKTNKSSLLSTNKKITLILPCLCVTNQSLWFPHFQKSSVFPFHLIYHLVKRSVCSVKSISGYRCFSALEATHRRNYRFPHSLPYRARETSRRLICSVATEPLPKRVEESDMDAPKEIFLKDYKSPDYYFDTVDLRFSLGEEKTIVASKITVFPRVEGSSAPLVLDGVDLKLISIKVDGKELKEGDYHLDSRHLTLPSPPEGKFTLEIDTEIQPQNNTSLEGLYKSSGNFCTQCEAEGFRKITFYQDRPDIMAKYTCRIEADKLLYPVLLSNGNLIEQGTLEGGKHYAVWEDPFKKPCYLFALVAGQLESRDDTFVTRSGRKVALRIWTPAQDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNVVAVPDFNMGAMENKSLNIFNSKLVLASPETASDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRIADVSKLRNYQFPQDAGPMAHPVRPHSYIKMDNFYTGKFLLYMGAEVVRMYKTLLGTQGFRKGMDLYFKRHDGQAVTCEDFFAAMKDANGADFANFLLWYSQAGTPVVKVTSSYNAEAHTFSLKFSQEVPPTPGQPVKESMFIPVAVGLLDSSGKDMTLSSVYHNGTLQSVASNNQPVLSTVLRVTKQKEEEFVFCDIFERPIPSLLRGYSAPVRLESDLSDSDLFFLLAHDSDEFNRWESGQVLARKLMLSLVADFQQSKPLTLNPKFVQGLKSILCDPSLDKEFIAKAITLPGEGEIMDMMEVADPDAVHAVRTFIRKELASQLKSEFLSTVENNRSSEEYVFDHPNMAGRALKNTALAYLGSLEDSEITELALHEYKTATNMTDQFAALSAISQKPGKTRDDVLADFYTKWQHNYLVVNKWFALQAMSNIPGNVENVRKLLNHPAFDLRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEIVLQLDKLNPQVASRMVSAFSRWRRFDESRQTLAKQQLETIMSANGLSENVFEIASKSLA >KJB20632 pep chromosome:Graimondii2_0_v6:3:42713557:42720744:1 gene:B456_003G157400 transcript:KJB20632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKEDNPIVIDPLTLKTNKSSLLSTNKKITLILPCLCVTNQSLWFPHFQKSSVFPFHLIYHLVKRSVCSVKSISGYRCFSALEATHRRNYRFPHSLPYRARETSRRLICSVATEPLPKRVEESDMDAPKEIFLKDYKSPDYYFDTVDLRFSLGEEKTIVASKITVFPRVEGSSAPLVLDGVDLKLISIKVDGKELKEGDYHLDSRHLTLPSPPEGKFTLEIDTEIQPQNNTSLEGLYKSSGNFCTQCEAEGFRKITFYQDRPDIMAKYTCRIEADKLLYPVLLSNGNLIEQGTLEGGKHYAVWEDPFKKPCYLFALVAGQLESRDDTFVTRSGRKVALRIWTPAQDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNVVAVPDFNMGAMENKSLNIFNSKLVLASPETASDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRIADVSKLRNYQFPQDAGPMAHPVRPHSYIKMDNFYTGKFLLYMGAEVVRMYKTLLGTQGFRKGMDLYFKRHDGQAVTCEDFFAAMKDANGADFANFLLWYSQAGTPVVKVTSSYNAEAHTFSLKFSQEVPPTPGQPVKESMFIPVAVGLLDSSGKDMTLSSVYHNGTLQSVASNNQPVLSTVLRVTKKEEEFVFCDIFERPIPSLLRGYSAPVRLESDLSDSDLFFLLAHDSDEFNRWESGQVLARKLMLSLVADFQQSKPLTLNPKFVQGLKSILCDPSLDKEFIAKAITLPGEGEIMDMMEVADPDAVHAVRTFIRKELASQLKSEFLSTVENNRSSEEYVFDHPNMAGRALKNTALAYLGSLEDSEITELALHEYKTATNMTDQFAALSAISQKPGKTRDDVLADFYTKWQHNYLVVNKWFALQAMSNIPGNVENVRKLLNHPAFDLRNPNKVID >KJB20860 pep chromosome:Graimondii2_0_v6:3:44011615:44013160:1 gene:B456_003G169500 transcript:KJB20860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLTHFILLLLINLASSQQISNTESSSSTTTNPTTTEDCNNRWIYIRNLPSRFNLDLLTNCSEYPIFDDFCPYLANHGLGQKTHTKSRSWYRTDPLLLELIFHRRILEYPCLTNDPNVANAVFLPYYGAIDSLKYLYGPDVNSSFQHGIGLFDFLQSDEPGIWNRHMGHDHFLVMSRPAWDFCQPLDNDPPIWGTSFLELPEFYNVTVLVPEGRAWPWQEHAVPYPTSFHPPNLACFEAWIQRVRRSRRVSLMLFAGGGGIGASPNIRRSIRTECENANTSMTKDNTGYSQICHIVDCSNGICEHDPIRYMRPMLQATFCLQPPGDTPTRRSTFDAVTAGCIPVFFEEMSARSQYRWHLPEEKYPEFSVFIPKEEVVFKGLKILDVLMGIPRSEVRKMRESVIELIPRVMYRRHGSSLGLRTKKDAFDTAIDGALQTIKDKLNDLSEQ >KJB18977 pep chromosome:Graimondii2_0_v6:3:19268105:19270507:-1 gene:B456_003G078500 transcript:KJB18977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPRNGHSIVYVTCRNDCNNGRNESVKPNRDTTIKTKNNKNNNNNDKNNLLNGKSIYELSLSVIISLWFLIFLFYFRLGHSHENGGNSRPENQTACSPSVGHNEKLCNDANTEDNCTNRMLLELNKSVSYNNSTYQKFVKYEYSFCKTSRLDEVILKVLGYTAFCCDIVEQEEHNVRKEMEQSNLKSSSAYLKFDEFRNITRQAKEGDPPGTFKITHRLESDGSEYNYASTLKGAKVVAYNKEAKGANNILGKDHDQYLRNPCTVEGKYVVIELAEETLVDAVKIANFEHHSSNFKEFELYGSLNYPTDTWSPLGKFVAANVKQIQTFTLSEPKWLRYLKLNLLSHYGSDFYCTLSVVEVYGVDAIERMLEDLFVPSEQHVTKSTDSNSTGPSVKSDVSSHEGKRNDEAQTAASGIDNAEDVQKLNETATKNPVTTRRIPDPLTEIKQLPVGRIPSDTVLKILMQKVRSLDLNLSVLEEYIKELNRREGDALPEINSELSRISLLLEKSKTEIKDLMHWKEAMIRC >KJB18979 pep chromosome:Graimondii2_0_v6:3:19268105:19270707:-1 gene:B456_003G078500 transcript:KJB18979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPRNGHSIVYVTCRNDCNNGRNESVKPNRDTTIKTKNNKNNNNNDKNNLLNGKSIYELSLSVIISLWFLIFLFYFRLGHSHENGGNSRPENQTACSPSVGHNEKLCNDANTEDNCTNRMLLELNKSVSYNNSTYQKFVKYEYSFCKTSRLDEVILKVLGYTAFCCDIVEQEEHNVRKEMEQSNLKSSSAYLKFDEFRNITRQAKEGDPPGTFKITHRLESDGSEYNYASTLKGAKVVAYNKEAKGANNILGKDHDQYLRNPCTVEGKYVVIELAEETLVDAVKIANFEHHSSNFKEFELYGSLNYPTDTWSPLGKFVAANVKQIQTFTLSEPKWLRYLKLNLLSHYGSDFYCTLSVVEVYGVDAIERMLEDLFVPSEQHVTKSTDSNSTGPSVKSDVSSHEGKRNDEAQTAASGIDNAEDVQKLNETATKNPVTTRRIPDPLTEIKQLPVGRIPSDTVLKILMQKVRSLDLNLSVLEEYIKELNRREGDALPEINSELSRISLLLEKSKTEIKDLMHWKEAMEREFADLESWKAVVSSSISTLFRENSMLRLDVEKVVHDQASLETKELAVLAVSLFFVCIAILKLVSSRVTMFLGATERSEKVYQTSQGWVLILVSSSMTIFITLLSG >KJB18978 pep chromosome:Graimondii2_0_v6:3:19268288:19270507:-1 gene:B456_003G078500 transcript:KJB18978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPRNGHSIVYVTCRNDCNNGRNESVKPNRDTTIKTKNNKNNNNNDKNNLLNGKSIYELSLSVIISLWFLIFLFYFRLGHSHENGVVSCSGNSRPENQTACSPSVGHNEKLCNDANTEDNCTNRMLLELNKSVSYNNSTYQKFVKYEYSFCKTSRLDEVILKVLGYTAFCCDIVEQEEHNVRKEMEQSNLKSSSAYLKFDEFRNITRQAKEGDPPGTFKITHRLESDGSEYNYASTLKGAKVVAYNKEAKGANNILGKDHDQYLRNPCTVEGKYVVIELAEETLVDAVKIANFEHHSSNFKEFELYGSLNYPTDTWSPLGKFVAANVKQIQTFTLSEPKWLRYLKLNLLSHYGSDFYCTLSVVEVYGVDAIERMLEDLFVPSEQHVTKSTDSNSTGPSVKSDVSSHEGKRNDEAQTAASGIDNAEDVQKLNETATKNPVTTRRIPDPLTEIKQLPVGRIPSDTVLKILMQKVRSLDLNLSVLEEYIKELNRREGDALPEINSELSRISLLLEKSKTEIKDLMHWKEAMEREFADLESWKAVVSSSISTLFRENSMLRLDVEKVVHDQASLETKELAVLAVSLFFVCIAILKLVSSRVTMFLGATERSEKVYQTSQGWVLILVSSSMTIFITLLSG >KJB18231 pep chromosome:Graimondii2_0_v6:3:4872122:4874380:-1 gene:B456_003G041100 transcript:KJB18231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRGRIAEKKKRVAKAKADAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSIAA >KJB18232 pep chromosome:Graimondii2_0_v6:3:4872133:4874306:-1 gene:B456_003G041100 transcript:KJB18232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTRNFEPFLTRGSHRKSVVMPSVRYFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRGRIAEKKKRVAKAKADAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSIAA >KJB17620 pep chromosome:Graimondii2_0_v6:3:500437:502447:-1 gene:B456_003G008300 transcript:KJB17620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCRSVKKIVNLDDHIALACAGLKADARVLINRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYSGTPALYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKETSGQETIKLAIRALLEVVESGGKNIEVAVMTKEHGLRQLEEAEIDAIAAEIEAEKEAAEAAKKAPPKEK >KJB17619 pep chromosome:Graimondii2_0_v6:3:500421:502981:-1 gene:B456_003G008300 transcript:KJB17619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDIVVLGVEKKSAAKLQDSRSVKKIVNLDDHIALACAGLKADARVLINRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYSGTPALYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKETSGQETIKLAIRALLEVVESGGKNIEVAVMTKEHGLRQLEEAEIDAIAAEIEAEKEAAEAAKKAPPKEK >KJB19212 pep chromosome:Graimondii2_0_v6:3:24724906:24730573:-1 gene:B456_003G088900 transcript:KJB19212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVPVRRVSRQDIQLVQNLIERCLQLYMTQKEVVETLLAQAKIEPGFTELVWQKLEEENREFFQAYYLRLMVKQQIMEFNKLLEQQVQLMHQIHPSGVSSISNLNGPHLSQMPQNSSCCAPENAGKALKQESLQHLMGSSLPNVFSNSSSSLYIGTHASIELPTHASRIHAPSAMLSTQNSNLGLMQEINGKMLKSAAGFSGSSAYMFGAESSLLDTRPTIEDTAFNSAEPSTQSLNEPLLDADISSFGLLGQISRNFNLSDLAADFSPSSGILESYPRLLFLGTHNENFLDTHEREHQGENKRLDTISEGLSFDGYRNK >KJB20437 pep chromosome:Graimondii2_0_v6:3:41565364:41571197:-1 gene:B456_003G148200 transcript:KJB20437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLGFWVGFIIVSVPIFIGYFYQNFDPFTHRSCLLILLYCCIVALTVISMGFCGIFGSLPLYMRSRKRTASGEVVVKEAADPETSNNNGATASSFKKHRRDSCVIAAAGNGSTAENGDKSGIRGGKGDRCDSRVVGSSTSTMALGDSNHAEIDEDLHSRQLAVYGRETMRRLFASNILVSGMQGLGAEIAKNLILAGVKSVTLHDEGAVELWDLSSNFVFSESDVGKNRALASVQKLQELNNAVIISTLTTKLTKEQLSDFQAVVFTDISFEKAIEFNDYCHNHQPPISFIKAEVRGLFGSIFCDFGPEFNVVDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKNAKPYSFTLEEDTTQFGTYIKGGIVTQVKQPKVLNFKPLRDAIKDPGDFLLSDFSKFDRPPLLHLAFQALDKFVSDLGRFPVAGSEGDANKLISIAGNMNESLGDGRLEDINPKLLRQFAFGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPVFQFFYFDSVESLPTEPVDPSDFRPLNSRYDAQISVFGSKLQKKLEDAKAFIVGSGALGCEFLKNIALMGVSCGNQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPCLKIEALQNRVGPETENVFDDTFWENLTVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPVEYKTAQRTAGDAQARDNLERILECLEKEKCVTFQDCISWARLRFEDYFVNRVKQLIYTFPDDAATSTGAPFWSAPKRFPHPLQFSTADPSHLQFVMAASILRAETFGIQIPDWVKHPQMLAEAVDKATVPDFQPKKDAKIVTDEKATTLSTASIDDAGVINELIFKLELCTKKLPQGFKMKPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHGDMSWTVWDRWILRDNPTLRELIQWLKDKGLNAYSISYGSCLLYNSMFPRHRERMDKKVVDLAREVAKAELPPNRKHLDVVVACEDDDDNDVDIPQVSIYFS >KJB20438 pep chromosome:Graimondii2_0_v6:3:41565389:41571197:-1 gene:B456_003G148200 transcript:KJB20438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLGFWVGFIIVSVPIFIGYFYQNFDPFTHRSCLLILLYCCIVALTVISMGFCGIFGSLPLYMRSRKRTASGEVVVKEAADPETSNNNGATASSFKKHRRDSCVIAAAGNGSTAENGDKSGIRGGKGDRCDSRVVGSSTSTMALGDSNHAEIDEDLHSRQLAVYGRETMRRLFASNILVSGMQGLGAEIAKNLILAGVKSVTLHDEGAVELWDLSSNFVFSESDVGKNRALASVQKLQELNNAVIISTLTTKLTKEQLSDFQAVVFTDISFEKAIEFNDYCHNHQPPISFIKAEVRGLFGSIFCDFGPEFNVVDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKNAKPYSFTLEEDTTQFGTYIKGGIVTQVKQPKVLNFKPLRDAIKDPGDFLLSDFSKFDRPPLLHLAFQALDKFVSDLGRFPVAGSEGDANKLISIAGNMNESLGDGRLEDINPKLLRQFAFGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPVFQFFYFDSVESLPTEPVDPSDFRPLNSRYDAQISVFGSKLQKKLEDAKAFIVGSGALGCEFLKNIALMGVSCGNQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPCLKIEALQNRVGPETENVFDDTFWENLTVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPVEYKTAQRTAGDAQARDNLERILECLEKEKCVTFQDCISWARLRFEDYFVNRVKQLIYTFPDDAATSTGAPFWSAPKRFPHPLQFSTADPSHLQFVMAASILRAETFGIQIPDWVKHPQMLAEAVDKATVPDFQPKKDAKIVTDEKATTLSTASIDDAGVINELIFKLELCTKKLPQGFKMKPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHGDMSWTVWDRWILRDNPTLRELIQWLKDKGLNAYSISYGSCLLYNSMFPRHRERMDKKVVDLAREVAKAELPPNRKHLDVVVACEDDDDNDVDIPQVSIYFS >KJB17450 pep chromosome:Graimondii2_0_v6:3:59450:68239:1 gene:B456_003G001000 transcript:KJB17450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPAELAGAIPLIDRFQVEAFLRMMQKQINSSGKRSFFSKKSVGTQVREKFTFEDMLCFQKDPIPTSLLKINSDLVSRATKMFHMILKYMGVDSSERVTSVGIDERVELVLKLYKQTLKRAELRDELFVQISKQTRNNPDRQNLIKAWELMYLCASSMPPSKDIGGYLSEYVHNVAHSASDSEVQTLALNTLNALKRSVKAGPRNTIPGREEVEAILTNRKLTTIVFFLDETFEEITYDMTTTVSDAVEELANIIKLSAYSSFSLFECRKVVNGSKSADLGNEEYIGLDDNKYIGDLLAEIKAAKDRSKGEILQCKLIFKKKLFRESDEAVTDPMFVQLSYFQLQHDYILGNYPVGRDDAVQLSALQILAEIGFVCSPESCTDWNTLLERFLPRQIALTRARREWELDILSRYRSMEHLTKDDARQQFLRILRTLPYGSSIFFSVRKIDDPIGLLPGRIVLGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSVANGSVNGDVSNNFKPPSLEVYEKRVQDLSKAVEESQKNTNQLLGELHEKQKQELKTQEELECLKNALRKEKEDLMEVMLDRDRIRSLCEEKDTALQAALLEKKTVEVRLAKLSNLALENNAKGNMAVTVNEPLHKLQDELKLCNEELHMAVEKTKRLTNEKVILEQRITELERKKDEEVKILKKSYEQECRALKFQMSELGMKLERVTNELAVSESTLAVRNADVSALQNNLKELEELREMREDIDRKNEQTAAILKMQGAQLAELEVLYKEEQILRKRYFNTIEDMKGKVRVFCRLRPLNEKEMLEKERKVLMGLDEFTVEHPWKDDKAKQHMYDRVFDDSATQEDIFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRAIAELFKILRRDSNKFSFSLKAYMVELYQDTLVDLLLPKNAKRLKLDIKKDSKGMVAVENATVIPISTFEELKSIIQRGSERRHISGTQMNEESSRSHLILSVVIESTNLQTQSVARGKLSFVDLAGSERVKKSGSVGDQLKEAQSINKSLSALGDVISALSSGSQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETYNSLTYASRVRSIVNDASKNISSKEVVRLKKLVAYWKEQAGRRGDEEDYEDIQEEQTRKDRTDGRHSM >KJB17447 pep chromosome:Graimondii2_0_v6:3:59450:66979:1 gene:B456_003G001000 transcript:KJB17447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDTLPSMTQSMRASRSSFSSSNGHEEVPFHSAASVSNGDDYDSDGSNFAPSTPSALSMAVPAELAGAIPLIDRFQVEAFLRMMQKQINSSGKRSFFSKKSVGTQVREKFTFEDMLCFQKDPIPTSLLKINSDLVSRATKMFHMILKYMGVDSSERVTSVGIDERVELVLKLYKQTLKRAELRDELFVQISKQTRNNPDRQNLIKAWELMYLCASSMPPSKDIGGYLSEYVHNVAHSASDSEVQTLALNTLNALKRSVKAGPRNTIPGREEVEAILTNRKLTTIVFFLDETFEEITYDMTTTVSDAVEELANIIKLSAYSSFSLFECRKVVNGSKSADLGNEEYIGLDDNKYIGDLLAEIKAAKDRSKGEILQCKLIFKKKLFRESDEAVTDPMFVQLSYFQLQHDYILGNYPVGRDDAVQLSALQILAEIGFVCSPESCTDWNTLLERFLPRQIALTRARREWELDILSRYRSMEHLTKDDARQQFLRILRTLPYGSSIFFSVRKIDDPIGLLPGRIVLGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSVANGSVNGDVSNNFKPPSLEVYEKRVQDLSKAVEESQKNTNQLLGELHEKQKQELKTQEELECLKNALRKEKEDLMEVMLDRDRIRSLCEEKDTALQAALLEKKTVEVRLAKLSNLALENNAKGNMAVTVNEPLHKLQDELKLCNEELHMAVEKTKRLTNEKVILEQRITELERKKDEEVKILKKSYEQECRALKFQMSELGMKLERVTNELAVSESTLAVRNADVSALQNNLKELEELREMREDIDRKNEQTAAILKMQGAQLAELEVLYKEEQILRKRYFNTIEDMKGKVRVFCRLRPLNEKEMLEKERKVLMGLDEFTVEHPWKDDKAKQHMYDRVFDDSATQEDIFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRAIAELFKILRRDSNKFSFSLKAYMVELYQDTLVDLLLPKNAKRLKLDIKKDSKGMVAVENATVIPISTFEELKSIIQRGSERRHISGTQMNEESSRSHLILSVVIESTNLQTQSVARGKV >KJB17445 pep chromosome:Graimondii2_0_v6:3:59281:68239:1 gene:B456_003G001000 transcript:KJB17445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDTLPSMTQSMRASRSSFSSSNGHEEVPFHSAASVSNGDDYDSDGSNFAPSTPSALSMAVPAELAGAIPLIDRFQVEAFLRMMQKQINSSGKRSFFSKKSVGTQVREKFTFEDMLCFQKDPIPTSLLKINSDLVSRATKMFHMILKYMGVDSSERVTSVGIDERVELVLKLYKQTLKRAELRDELFVQISKQTRNNPDRQNLIKAWELMYLCASSMPPSKDIGGYLSEYVHNVAHSASDSEVQTLALNTLNALKRSVKAGPRNTIPGREEVEAILTNRKLTTIVFFLDETFEEITYDMTTTVSDAVEELANIIKLSAYSSFSLFECRKVVNGSKSADLGNEEYIGLDDNKYIGDLLAEIKAAKDRSKGEILQCKLIFKKKLFRESDEAVTDPMFVQLSYFQLQHDYILGNYPVGRDDAVQLSALQILAEIGFVCSPESCTDWNTLLERFLPRQIALTRARREWELDILSRYRSMEHLTKDDARQQFLRILRTLPYGSSIFFSVRKIDDPIGLLPGRIVLGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSVANGSVNGDVSNNFKPPSLEVYEKRVQDLSKAVEESQKNTNQLLGELHEKQKQELKTQEELECLKNALRKEKEDLMEVMLDRDRIRSLCEEKDTALQAALLEKKTVEVRLAKLSNLALENNAKGNMAVTVNEPLHKLQDELKLCNEELHMAVEKTKRLTNEKVILEQRITELERKKDEEVKILKKSYEQECRALKFQMSELGMKLERVTNELAVSESTLAVRNADVSALQNNLKELEELREMREDIDRKNEQTAAILKMQGAQLAELEVLYKEEQILRKRYFNTIEDMKGKVRVFCRLRPLNEKEMLEKERKVLMGLDEFTVEHPWKDDKAKQHMYDRVFDDSATQEDIFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRAIAELFKILRRDSNKFSFSLKAYMVELYQDTLVDLLLPKNAKRLKLDIKKDSKGMVAVENATVIPISTFEELKSIIQRGSERRHISGTQMNEESSRSHLILSVVIESTNLQTQSVARGKLSFVDLAGSERVKKSGSVGDQLKEAQSINKSLSALGDVISALSSGSQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETYNSLTYASRVRSIVNDASKNISSKEVVRLKKLVAYWKEQAGRRGDEEDYEDIQEEQTRKDRTDGRHSM >KJB17446 pep chromosome:Graimondii2_0_v6:3:59153:68318:1 gene:B456_003G001000 transcript:KJB17446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDTLPSMTQSMRASRSSFSSSNGHEEVPFHSAASVSNGDDYDSDGSNFAPSTPSALSMAVPAELAGAIPLIDRFQVEAFLRMMQKQINSSGKRSFFSKKSVGTQVREKFTFEDMLCFQKDPIPTSLLKINSDLVSRATKMFHMILKYMGVDSSERVTSVGIDERVELVLKLYKQTLKRAELRDELFVQISKQTRNNPDRQNLIKAWELMYLCASSMPPSKDIGGYLSEYVHNVAHSASDSEVQTLALNTLNALKRSVKAGPRNTIPGREEVEAILTNRKLTTIVFFLDETFEEITYDMTTTVSDAVEELANIIKLSAYSSFSLFECRKVVNGSKSADLGNEEYIGLDDNKYIGDLLAEIKAAKDRSKGEILQCKLIFKKKLFRESDEAVTDPMFVQLSYFQLQHDYILGNYPVGRDDAVQLSALQILAEIGFVCSPESCTDWNTLLERFLPRQIALTRARREWELDILSRYRSMEHLTKDDARQQFLRILRTLPYGSSIFFSVRKIDDPIGLLPGRIVLGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSVANGSVNGDVSNNFKPPSLEVYEKRVQDLSKAVEESQKNTNQLLGELHEKQKQELKTQEELECLKNALRKEKEDLMEVMLDRDRIRSLCEEKDTALQAALLEKKTVEVRLAKLSNLALENNAKGNMAVTVNEPLHKLQDELKLCNEELHMAVEKTKRLTNEKVILEQRITELERKKDEEVKILKKSYEQECRALKFQMSELGMKLERVTNELAVSESTLAVRNADVSALQNNLKELEELREMREDIDRKNEQTAAILKMQGAQLAELEVLYKEEQILRKRYFNTIEDMKGKVRVFCRLRPLNEKEMLEKERKVLMGLDEFTVEHPWKDDKAKQHMYDRVFDDSATQEDIFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRAIAELFKILRRDSNKFSFSLKAYMVELYQDTLVDLLLPKNAKRLKLDIKKDSKGMVAVENATVIPISTFEELKSIIQRGSERRHISGTQMNEESSRSHLILSVVIESTNLQTQSVARGKLSFVDLAGSERVKKSGSVGDQLKEAQSINKSLSALGDVISALSSGSQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETYNSLTYASRVRSIVNDASKNISSKEVVRLKKLVAYWKEQAGRRGDEEDYEDIQEEQTRKDRTDGRHSM >KJB17448 pep chromosome:Graimondii2_0_v6:3:59450:68239:1 gene:B456_003G001000 transcript:KJB17448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDTLPSMTQSMRASRSSFSSSNGHEEVPFHSAASVSNGDDYDSDGSNFAPSTPSALSMAVPAELAGAIPLIDRFQVEAFLRMMQKQINSSGKRSFFSKKSVGTQVREKFTFEDMLCFQKDPIPTSLLKINSDLVSRATKMFHMILKYMGVDSSERVTSVGIDERVELVLKLYKQTLKRAELRDELFVQISKQTRNNPDRQNLIKAWELMYLCASSMPPSKDIGGYLSEYVHNVAHSASDSEVQTLALNTLNALKRSVKAGPRNTIPGREEVEAILTNRKLTTIVFFLDETFEEITYDMTTTVSDAVEELANIIKLSAYSSFSLFECRKVVNGSKSADLGNEEYIGLDDNKYIGDLLAEIKAAKDRSKGEILQCKLIFKKKLFRESDEAVTDPMFVQLSYFQLQHDYILGNYPVGRDDAVQLSALQILAEIGFVCSPESCTDWNTLLERFLPRQIALTRARREWELDILSRYRSMEHLTKDDARQQFLRILRTLPYGSSIFFSVRKIDDPIGLLPGRIVLGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSVANGSVNGDVSNNFKPPSLEVYEKRVQDLSKAVEESQKNTNQLLGELHEKQKQELKTQEELECLKNALRKEKEDLMEVMLDRDRIRSLCEEKDTALQAALLEKKTVEVRLAKLSNLALENNAKGNMAVTVNEPLHKLQDELKLCNEELHMAVEKTKRLTNEKVILEQRITELERKKDEEVKILKKSYEQECRALKFQMSELGMKLERVTNELAVSESTLAVRNADVSALQNNLKELEELREMREDIDRKNEQTAAILKMQGAQLAELEVLYKEEQILRKRYFNTIEDMKGKVRVFCRLRPLNEKEMLEKERKVLMGLDEFTVEHPWKDDKAKQHMYDRVFDDSATQEDIFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRAIAELFKILRRDSNKFSFSLKAYMVELYQDTLVDLLLPKNAKRLKLDIKKDSKGMVAVENATVIPISTFEELKSIIQRGSERRHISGTQMNEESSRSHLILSVVIESTNLQTQSVARGKLSFVDLAGSERVKKSGSVGDQLKEAQSINKSLSALGDVISALSSGSQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETYNSLTYASRVRSIVNDASKNISSKEVVRLKKLVAYWKEQAGRRGDEEDYEDIQEEQTRKDRTDGRHSM >KJB17449 pep chromosome:Graimondii2_0_v6:3:59795:67852:1 gene:B456_003G001000 transcript:KJB17449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDTLPSMTQSMRASRSSFSSSNGHEEVPFHSAASVSNGDDYDSDGSNFAPSTPSALSMAVPAELAGAIPLIDRFQVEAFLRMMQKQINSSGKRSFFSKKSVGTQVREKFTFEDMLCFQKDPIPTSLLKINSDLVSRATKMFHMILKYMGVDSSERVTSVGIDERVELVLKLYKQTLKRAELRDELFVQISKQTRNNPDRQNLIKAWELMYLCASSMPPSKDIGGYLSEYVHNVAHSASDSEVQTLALNTLNALKRSVKAGPRNTIPGREEVEAILTNRKLTTIVFFLDETFEEITYDMTTTVSDAVEELANIIKLSAYSSFSLFECRKVVNGSKSADLGNEEYIGLDDNKYIGDLLAEIKAAKDRSKGEILQCKLIFKKKLFRESDEAVTDPMFVQLSYFQLQHDYILGNYPVGRDDAVQLSALQILAEIGFVCSPESCTDWNTLLERFLPRQIALTRARREWELDILSRYRSMEHLTKDDARQQFLRILRTLPYGSSIFFSVRKIDDPIGLLPGRIVLGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSVANGSVNGDVSNNFKPPSLEVYEKRVQDLSKAVEESQKNTNQLLGELHEKQKQELKTQEELECLKNALRKEKEDLMEVMLDRDRIRSLCEEKDTALQAALLEKKTVEVRLAKLSNLALENNAKGNMAVTVNEPLHKLQDELKLCNEELHMAVEKTKRLTNEKVILEQRITELERKKDEEVKILKKSYEQECRALKFQMSELGMKLERVTNELAVSESTLAVRNADVSALQNNLKELEELREMREDIDRKNEQTAAILKMQGAQLAELEVLYKEEQILRKRYFNTIEDMKGKVRVFCRLRPLNEKEMLEKERKVLMGLDEFTVEHPWKDDKAKQHMYDRVFDDSATQEDIFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRAIAELFKILRRDSNKFSFSLKAYMVELYQDTLVDLLLPKNAKRLKLDIKKDSKGMVAVENATVIPISTFEELKSIIQRGSERRHISGTQMNEESSRSHLILSVVIESTNLQTQSVARGKLSFVDLAGSERVKKSGSVGDQLKEAQSINKSLSALGDVISALSSGSQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETYNSLTMSFAHKFLVYIHVRFSIGGSKFSLCFTTDMHQEFGPL >KJB19142 pep chromosome:Graimondii2_0_v6:3:22455131:22460603:1 gene:B456_003G086300 transcript:KJB19142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVKERHNEEFVLESDVDDNEDDLEESKAGDGSSSSSNYNSDDDGEGGRGGPSTTFTSQQWPQSFKETMDPYTITASPSFGLIGGISNFRHFSFSSQKSNLDLDGKLPLLPENRKSYSKEFLDSISGAQSTWSQKHSFAKQLTGEFPIAYGCSVTQTVFNAINVMVGVGLLSTPHTIAQGGWASLLVLIFFAVVCCYTAILMKYCFESREGIISYPDMGEAAFGRFGRLFVSIVLYTELYSYCVEFIIMEGDNLTRLFPGVSLDWPFLNLDSMHLFAIVTALVVLPTVWLKDIRLISYLSAGGVVATLVIVLCLLYLGAAGGVGFHHTGKAVNWSGIPVVIGVYGFCHSGHSVFPNIYQSMADKSQFKKAMQICFFLCIVLYGGVAAMGYLEFGQSTLSQITLNMPPQAFVSKIALWTTLPLLLCRRIWKLNECFICSEFPFNIPIFSLTLNKYALLLIPLARSIEELLPDHVVDSLWCFFLLRALLVFSTVGVAFVMPFFGLLMALIGSVLSFMVAIIMPALCFIKIVGRKASRTDIVLSSTAAVIAIICAIFGTYSALQGIASNY >KJB19141 pep chromosome:Graimondii2_0_v6:3:22454733:22459812:1 gene:B456_003G086300 transcript:KJB19141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVKERHNEEFVLESDVDDNEDDLEESKAGDGSSSSSNYNSDDDGEGGRGGPSTTFTSQQWPQSFKETMDPYTITASPSFGLIGGISNFRHFSFSSQKSNLDLDGKLPLLPENRKSYSKEFLDSISGAQSTWSQKHSFAKQLTGEFPIAYGCSVTQTVFNAINVMVGVGLLSTPHTIAQGGWASLLVLIFFAVVCCYTAILMKYCFESREGIISYPDMGEAAFGRFGRLFVSIVLYTELYSYCVEFIIMEGDNLTRLFPGVSLDWPFLNLDSMHLFAIVTALVVLPTVWLKDIRLISYLSAGGVVATLVIVLCLLYLGAAGGVGFHHTGKAVNWSGIPVVIGVYGFCHSGHSVFPNIYQSMADKSQFKKAMQICFFLCIVLYGGVAAMGYLEFGQSTLSQITLNMPPQAFVSKIALWTTVINPLTKNMHCC >KJB20638 pep chromosome:Graimondii2_0_v6:3:43885666:43887008:-1 gene:B456_003G168300 transcript:KJB20638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLNSDQVTPKKLVYKKQWQSGQERAGQKKKNIYTQSEIRVIEKNAMAQKMLMMVVLVILGTKIATVTVADPTCLQSLMSCAPYFNNATLQLQGDCCDPLRQAVATELTCLCSLINNSTLLSSFNISIPIPAALRITCGCGVTDKMNGCIPATPTPAPSPSGNKAIFKFFSKQLFYSFSLDAKKKNVYI >KJB18732 pep chromosome:Graimondii2_0_v6:3:14082293:14082820:-1 gene:B456_003G067700 transcript:KJB18732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERGKDIAEGPSRVNPGDQQLPPTPSRYESQKRRDWNTFGQYLKNQRPPVPLSQCNCNHVLDFLRYLDQFGKTKVHLQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPETNPFASGAIRVYLREVRECQAKARGIPYKKKKKKPHQGKGGDESSSTIHFS >KJB18731 pep chromosome:Graimondii2_0_v6:3:14079625:14082999:-1 gene:B456_003G067700 transcript:KJB18731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERGKDIAEGPSRVNPGDQQLPPTPSRYESQKRRDWNTFGQYLKNQRPPVPLSQCNCNHVLDFLRYLDQFGKTKVHLQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPETNPFASGAIRVYLREVRECQAKARGIPYKKKKKKPHQGKGGDESSSTIHFS >KJB19357 pep chromosome:Graimondii2_0_v6:3:30136764:30137438:-1 gene:B456_003G097300 transcript:KJB19357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGTCEQPSLSNKKMRSDITQGTMNDDDEFDCSQRAQLLRAVVLGANDGLVSIASLMMGVGAVKDGTKSMVLTGIAGLVAGACSIAIGEFVSVYTQKDVELAQRARRVRKNAGHEEETTNQRLKLPNPVQAAIASAFAFTIGGVVPLLAAAFIRRQHTLRLAVVAAAASLALSSVRVLIGGWMAMAITFGLTKLIGTTGL >KJB18375 pep chromosome:Graimondii2_0_v6:3:7027629:7028778:-1 gene:B456_003G049500 transcript:KJB18375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FNNGIHPFWVFANDEPHTITSDTKPRSEIRIRGYDYSSGVWQFEGEAYIPSGTDATSVMQVFCATTIMLRVYSAKLTVYRSPTVLENVYNRWFKVNVIHDVGASNVKVYIDGVQRYEGSGAGGNNHYFKFGVYAEDGASHRVESRWRQIRVLRKN >KJB17879 pep chromosome:Graimondii2_0_v6:3:1620098:1624291:1 gene:B456_003G021400 transcript:KJB17879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPRCYMDISIGGEPEGRVVIELYKDIVPKTAENFRALCTGEKGIGPNTGASLHYKDVRFHRIIRGFMIQGGDISAGDGTGGESIYDLKFEDENFELKHERKGMLSMANMGPNTNGSQFFITTTRTPHLDGKHVVFGKVIKGMGVVRSIEHVATDDGDYPTQEVIIADCGEIPEGADDGISNFFKDGDMYPDWPADLDKKFDEISWWIEAVDSIKAVGNEQYKKQDYKIALRKYWKALRYSDECWELEGIDEAKSSKLRKIKSQIFTNSSACKLKLGDLKGALLDTDFAIRDGEYNVKAFFRQGQAYMGLNDIDAAVESFKKALDLEPNDGGIKKELAAARKKIADRRDQEKRAYSRMFQ >KJB17878 pep chromosome:Graimondii2_0_v6:3:1620098:1624291:1 gene:B456_003G021400 transcript:KJB17878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPRCYMDISIGGEPEGRVVIELYKDIVPKTAENFRALCTGEKGIGPNTGASLHYKDVRFHRIIRGFMIQGGDISAGDGTGGESIYDLKFEDENFELKHERKGMLSMANMGPNTNGSQFFITTTRTPHLDGKHVVFGKVIKGMGVVRSIEHVATDDGDYPTQEVIIADCGEIPEGADDGISNFFKDGDMYPDWPADLDKKFDEISWWIEAVDSIKAVGNEQYKKQDYKIALRKYWKALRYSDECWELEGIDEAKSSKLRKIKSQIFTNSSACKLKLGDLKGALLDTDFAIRDGEYNVKAFFRQGQAYMGLNDIDAAVESFKKALDLEPNDGGIKKELAAARKKIADRRDQEKRAYSRMFQ >KJB20496 pep chromosome:Graimondii2_0_v6:3:41994790:41995371:-1 gene:B456_003G151900 transcript:KJB20496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTGSSYFFTRRSSRGVVSCEDYHEGATVAIPFNWESEPGTPKVKSCEIPRLPPLTPPPSYFYATPKRTSVKQHSKPKLPNTIFPKRLRRKPRVQLSPAASSSSSSSSASSSRSSSPWPRAYSVPSSPISASNLYAGNELPRGELLSGSRAADKEDHEYEYSVSTPCFGCGGGTGPMSRGFCSTMLKALLRNR >KJB20879 pep chromosome:Graimondii2_0_v6:3:44089182:44100016:1 gene:B456_003G170200 transcript:KJB20879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCISLESPGVGDQSPEVSLTRARSMPAGSLSGSEIVNATARLTAGGSEGTVAGILYKWTNYGKGWRSRWFLLRNGMLSYSKVRRPEALNLISPTDDVRLIGDVSSNRLSRMDSCSGRRKHQKTVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKKDRVAWIQALVSTRSLFPLRPLNDNLSLVPRDLSISTDRLKKRLLEEGISDNLVKDCEQIMLSEFSEIQGQLKVLCEERSILLDTLRQLEAANIEAESSGIHDGDYQLSKHEYSGIGRGKYSEGSTTESSGDIEKQELEDVSDEDETSFHDTKEHFTEPAVICGSVRGAADHADNQKENENQLDDVERMHGDKEDCYSRYAQIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGNGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNIILGKLYCDHHGLMHIHGNREYSCKLKFKEQSILDRTPHQVHGSVEDLSGKKVATLTGKWDDSMYYISGDFSGKLKDCNPSNASLLWKRDKPPPNLTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYDRANAEKQRLERRQRMSRKLQENGWKPRWFNQDSENGSFRYTGGYWEAREQGKWDGCPNIFGEFNEELVDSSE >KJB20880 pep chromosome:Graimondii2_0_v6:3:44090705:44100016:1 gene:B456_003G170200 transcript:KJB20880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEFSEIQGQLKVLCEERSILLDTLRQLEAANIEAESSGIHDGDYQLSKHEYSGIGRGKYSEGSTTESSGDIEKQELEDVSDEDETSFHDTKEHFTEPAVICGSVRGAADHADNQKENENQLDDVERMHGDKEDCYSRYAQIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGNGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNIILGKLYCDHHGLMHIHGNREYSCKLKFKEQSILDRTPHQVHGSVEDLSGKKVATLTGKWDDSMYYISGDFSGKLKDCNPSNASLLWKRDKPPPNLTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYDRANAEKQRLERRQRMSRKLQENGWKPRWFNQDSENGSFRYTGGYWEAREQGKWDGCPNIFGEFNEELVDSSE >KJB20881 pep chromosome:Graimondii2_0_v6:3:44092023:44098257:1 gene:B456_003G170200 transcript:KJB20881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEFSEIQGQLKVLCEERSILLDTLRQLEAANIEAESSGIHDGDYQLSKHEYSGIGRGKYSEGSTTESSGDIEKQELEDVSDEDETSFHDTKEHFTEPAVICGSVRGAADHADNQKENENQLDDVERMHGDKEDCYSRYAQIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGNGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNIILGKLYCDHHGLMHIHGNREYSCKLKFKEQSILDRTPHQVHGSVEDLSGKKVATLTGKWDDSMYYISGDFSGKLKDCNPSNASLLWKRDKPPPNLTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYDRANAEKQRLERRQRMSRKLQENGWKPRWFNQDSENGSFRYTGGYWEAREQGKWDGCPNIFGEFNEELVDSSE >KJB20872 pep chromosome:Graimondii2_0_v6:3:44089509:44096374:1 gene:B456_003G170200 transcript:KJB20872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCISLESPGVGDQSPEVSLTRARSMPAGSLSGSEIVNATARLTAGGSEGTVAGILYKWTNYGKGWRSRWFLLRNGMLSYSKVRRPEALNLISPTDDVRLIGDVSSNRLSRMDSCSGRRKHQKTVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKKDRVAWIQALVSTRSLFPLRPLNDNLSLVPRDLSISTDRLKKRLLEEGISDNLVKDCEQIMLSEFSEIQGQLKVLCEERSILLDTLRQLEAANIEAESSGIHDGDYQLSKHEYSGIGRGKYSEGSTTESSGDIEKQELEDVSDEDETSFHDTKEHFTEPAVICGSVRGAADHADNQKENENQLDDVERMHGDKEDCYSRYAQIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGNGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNIILGKLYCDHHGLMHIHGNREYSCKLKFKEQSILDRTPHQVHGSVEDLSGKKVATLTGKWDDSMYYISGDFSGKLKDCNPSNASLLWKRDKPPPNLTRYNLTSFAITLNELTPGLQRCLIPGI >KJB20878 pep chromosome:Graimondii2_0_v6:3:44089182:44100016:1 gene:B456_003G170200 transcript:KJB20878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCISLESPGVGDQSPEVSLTRARSMPAGSLSGSEIVNATARLTAGGSEGTVAGILYKWTNYGKGWRSRWFLLRNGMLSYSKVRRPEALNLISPTDDVRLIGDVSSNRLSRMDSCSGRRKHQKTVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKKDRVAWIQALVSTRSLFPLRPLNDNLSLVPRDLSISTDRLKKRLLEEGISDNLVKDCEQIMLSEFSEIQGQLKVLCEERSILLDTLRQLEAANIEAESSGIHDGDYQLSKHEYSGIGRGKYSEGSTTESSGDIEKQELEDVSDEDETSFHDTKEHFTEPAVICGSVRGAADHADNQKENENQLDDVERMHGDKEDCYSRYAQIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGNGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNIILGKLYCDHHGLMHIHGNREYSCKLKFKEQSILDRTPHQVHGSVEDLSGKKVATLTGKWDDSMYYISGDFSGKLKDCNPSNASLLWKRDKPPPNLTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYDRANAEKQRLERRQRMSRKLQENGWKPRWFNQDSENGSFRYTGGYWEAREQGKWDGCPNIFGEFNEELVDSSE >KJB20869 pep chromosome:Graimondii2_0_v6:3:44089182:44094020:1 gene:B456_003G170200 transcript:KJB20869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCISLESPGVGDQSPEVSLTRARSMPAGSLSGSEIVNATARLTAGGSEGTVAGILYKWTNYGKGWRSRWFLLRNGMLSYSKVRRPEALNLISPTDDVRLIGDVSSNRLSRMDSCSGRRKHQKTVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKKDRVAWIQALVSTRSLFPLRPLNDNLSLVPRDLSISTDRLKKRLLEEGISDNLVKDCEQIMLSEFSEIQGQLKVLCEERSILLDTLRQLEAANIEAESSGIHDGDYQLSKHEYSGIGRGKYSEGSTTESSGDIEKQELEDVSDEDETSFHDTKEHFTEPAVICGSVRGAADHADNQKENENQLDDVERMHGDKEDCYSRYAQIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEVRFSYISSLIFDGSLDICTVFLRVKPKFYLLFNNFQHVDF >KJB20871 pep chromosome:Graimondii2_0_v6:3:44089182:44099974:1 gene:B456_003G170200 transcript:KJB20871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCISLESPGVGDQSPEVSLTRARSMPAGSLSGSEIVNATARLTAGGSEGTVAGILYKWTNYGKGWRSRWFLLRNGMLSYSKVRRPEALNLISPTDDVRLIGDVSSNRLSRMDSCSGRRKHQKTVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKKDRVAWIQALVSTRSLFPLRPLNDNLSLVPRDLSISTDRLKKRLLEEGISDNLVKDCEQIMLSEFSEIQGQLKVLCEERSILLDTLRQLEAANIEAESSGIHDGDYQLSKHEYSGIGRGKYSEGSTTESSGDIEKQELEDVSDEDETSFHDTKEHFTEPAVICGSVRGAADHADNQKENENQLDDVERMHGDKEDCYSRYAQIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGNGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNIILGKLYCDHHGLMHIHGNREYSCKLKFKEQSILDRTPHQVHGSVEDLSGKKVATLTGKWDDSMYYISGDFSGKLKDCNPSNASLLWKRDKPPPNLTRYNLTSFAITLNELTPGLQRCLIPGI >KJB20873 pep chromosome:Graimondii2_0_v6:3:44089182:44099974:1 gene:B456_003G170200 transcript:KJB20873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCISLESPGVGDQSPEVSLTRARSMPAGSLSGSEIVNATARLTAGGSEGTVAGILYKWTNYGKGWRSRWFLLRNGMLSYSKVRRPEALNLISPTDDVRLIGDVSSNRLSRMDSCSGRRKHQKTVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKKDRVAWIQALVSTRSLFPLRPLNDNLSLVPRDLSISTDRLKKRLLEEGISDNLVKDCEQIMLSEFSEIQGQLKVLCEERSILLDTLRQLEAANIEAESSGIHDGDYQLSKHEYSGIGRGKYSEGSTTESSGDIEKQELEDVSDEDETSFHDTKEHFTEPAVICGSVRGAADHADNQKENENQLDDVERMHGDKEDCYSRYAQIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGNGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNIILGKLYCDHHGLMHIHGNREYSCKLKFKEQSILDRTPHQVHGSVEDLSGKKVATLTGKWDDSMYYISGDFSGKLKDCNPSNASLLWKRDKPPPNLTRYNLTSFAITLNELTPGLQVEMMRSSHPLILGLGQTNVIWRMENMIEQMLRNNGWKGGRECQENYKKMGGSLDGSIKIVKMDPSATQAGIGKQENKENGMDVQIYLVNSMKN >KJB20877 pep chromosome:Graimondii2_0_v6:3:44089182:44099974:1 gene:B456_003G170200 transcript:KJB20877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCISLESPGVGDQSPEVSLTRARSMPAGSLSGSEIVNATARLTAGGSEGTVAGILYKWTNYGKGWRSRWFLLRNGMLSYSKVRRPEALNLISPTDDVRLIGDVSSNRLSRMDSCSGRRKHQKTVGIVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSKKDRVAWIQALVSTRSLFPLRPLNDNLSLVPRDLSISTDRLKKRLLEEGISDNLVKDCEQIMLSEFSEIQGQLKVLCEERSILLDTLRQLEAANIEAESSGIHDGDYQLSKHEYSGIGRGKYSEGSTTESSGDIEKQELEDVSDEDETSFHDTKEHFTEPAVICGSVRGAADHADNQKENENQLDDVERMHGDKEDCYSRYAQIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGNGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNIILGKLYCDHHGLMHIHGNREYSCKLKFKEQSILDRTPHQVHGSVEDLSGKKVATLTGKWDDSMYYISGDFSGKLKDCNPSNASLLWKRDKPPPNLTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYDRANAEKQRLERRQRMSRKLQENGWKPRWFNQDSENGSFRYTGGYWEAREQGKWDGCPNIFGEFNEELVDSSE >KJB20870 pep chromosome:Graimondii2_0_v6:3:44089182:44096532:1 gene:B456_003G170200 transcript:KJB20870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCISLESPGVGDQSPEVSLTRARSMPAGSLSGSEIVNATARLTAGGSEGTVAGILYKWTNYGKGWRSRWFLLRNGMLSYSKVRRPEALNLISPTDDVRLIGDVSSNRLSRMDSCSGRRKHQKTVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKKDRVAWIQALVSTRSLFPLRPLNDNLSLVPRDLSISTDRLKKRLLEEGISDNLVKDCEQIMLSEFSEIQGQLKVLCEERSILLDTLRQLEAANIEAESSGIHDGDYQLSKHEYSGIGRGKYSEGSTTESSGDIEKQELEDVSDEDETSFHDTKEHFTEPAVICGSVRGAADHADNQKENENQLDDVERMHGDKEDCYSRYAQIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGNGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNIILGKLYCDHHGLMHIHGNREYSCKLKFKEQSILDRTPHQVHGSVEDLSGKKVATLTGKWDDSMYYISGDFSGKLKDCNPSNASLLWKRDKPPPNLTRYNLTSFAITLNELTPGLQVEMMYCITFSKPFSCSSYAYLIVFYSVV >KJB20875 pep chromosome:Graimondii2_0_v6:3:44089182:44099974:1 gene:B456_003G170200 transcript:KJB20875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCISLESPGVGDQSPEVSLTRARSMPAGSLSGSEIVNATARLTAGGSEGTVAGILYKWTNYGKGWRSRWFLLRNGMLSYSKVRRPEALNLISPTDDVRLIGDVSSNRLSRMDSCSGRRKHQKTVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKKDRVAWIQALVSTRSLFPLRPLNDNLSLVPRDLSISTDRLKKRLLEEGISDNLVKDCEQIMLSEFSEIQGQLKVLCEERSILLDTLRQLEAANIEAESSGIHDGDYQLSKHEYSGIGRGKYSEGSTTESSGDIEKQELEDVSDEDETSFHDTKEHFTEPAVICGSVRGAADHADNQKENENQLDDVERMHGDKEDCYSRYAQIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGNGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNIILGKLYCDHHGLMHIHGNREYSCKLKFKEQSILDRTPHQVHGSVEDLSGKKVATLTGKWDDSMYYISGDFSGKLKDCNPSNASLLWKRDKPPPNLTRYNLTSFAITLNELTPGLQLPPTDSRLRPDQRHLENGEYDRANAEKQRLERRQRMSRKLQENGWKPRWFNQDSENGSFRYTGGYWEAREQGKWDGCPNIFGEFNEELVDSSE >KJB20882 pep chromosome:Graimondii2_0_v6:3:44090472:44100009:1 gene:B456_003G170200 transcript:KJB20882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEFSEIQGQLKVLCEERSILLDTLRQLEAANIEAESSGIHDGDYQLSKHEYSGIGRGKYSEGSTTESSGDIEKQELEDVSDEDETSFHDTKEHFTEPAVICGSVRGAADHADNQKENENQLDDVERMHGDKEDCYSRYAQIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGNGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNIILGKLYCDHHGLMHIHGNREYSCKLKFKEQSILDRTPHQVHGSVEDLSGKKVATLTGKWDDSMYYISGDFSGKLKDCNPSNASLLWKRDKPPPNLTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYDRANAEKQRLERRQRMSRKLQENGWKPRWFNQDSENGSFRYTGGYWEAREQGKWDGCPNIFGEFNEELVDSSE >KJB20876 pep chromosome:Graimondii2_0_v6:3:44089182:44099974:1 gene:B456_003G170200 transcript:KJB20876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCISLESPGVGDQSPEVSLTRARSMPAGSLSGSEIVNATARLTAGGSEGTVAGILYKWTNYGKGWRSRWFLLRNGMLSYSKVRRPEALNLISPTDDVRLIGDVSSNRLSRMDSCSGRRKHQKTVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKKDRVAWIQALVSTRSLFPLRPLNDNLSLVPRDLSISTDRLKKRLLEEGISDNLVKDCEQIMLSEFSEIQGQLKVLCEERSILLDTLRQLEAANIEAESSGIHDGDYQLSKHEYSGIGRGKYSGSTTESSGDIEKQELEDVSDEDETSFHDTKEHFTEPAVICGSVRGAADHADNQKENENQLDDVERMHGDKEDCYSRYAQIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGNGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNIILGKLYCDHHGLMHIHGNREYSCKLKFKEQSILDRTPHQVHGSVEDLSGKKVATLTGKWDDSMYYISGDFSGKLKDCNPSNASLLWKRDKPPPNLTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYDRANAEKQRLERRQRMSRKLQENGWKPRWFNQDSENGSFRYTGGYWEAREQGKWDGCPNIFGEFNEELVDSSE >KJB20874 pep chromosome:Graimondii2_0_v6:3:44090705:44100016:1 gene:B456_003G170200 transcript:KJB20874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEFSEIQGQLKVLCEERSILLDTLRQLEAANIEAESSGIHDGDYQLSKHEYSGIGRGKYSEGSTTESSGDIEKQELEDVSDEDETSFHDTKEHFTEPAVICGSVRGAADHADNQKENENQLDDVERMHGDKEDCYSRYAQIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGNGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNIILGKLYCDHHGLMHIHGNREYSCKLKFKEQSILDRTPHQVHGSVEDLSGKKVATLTGKWDDSMYYISGDFSGKLKDCNPSNASLLWKRDKPPPNLTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYDRANAEKQRLERRQRMSRKLQENGWKPRWFNQDSENGSFRYTGGYWEAREQGKWDGCPNIFGEFNEELVDSSE >KJB18387 pep chromosome:Graimondii2_0_v6:3:7179035:7181701:1 gene:B456_003G050100 transcript:KJB18387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA2 [Source:Projected from Arabidopsis thaliana (AT5G09830) UniProtKB/Swiss-Prot;Acc:Q9FIC3] MGVTKEQVESSLTSKLKPSHLEVIDTSGGCGASFVIEIVSEQFEGKRLLERHRIVNAALEEEMKQIHALSIKKALTPEQWKQQQEAEKSKPDA >KJB18007 pep chromosome:Graimondii2_0_v6:3:2633295:2636969:1 gene:B456_003G029200 transcript:KJB18007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRPLNFTTISLHHRHRHRHRLRLSLFCSPSPISSSLASTLSFLRGIASKTSYSAVSQQQQQQQKLRKELLLKELKETETLAQKIGKAIRRPGVPSKSRVYADVNVIRPKEYWDYESLTIQWGREQDDYEVVRKVGRGKYSEVFEGVHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDVRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLIKIAKVLGTDELNAYLNKYGIELDPQLAFIVGRLVYIFIVDRWHRSTVNFS >KJB18009 pep chromosome:Graimondii2_0_v6:3:2633222:2637668:1 gene:B456_003G029200 transcript:KJB18009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRPLNFTTISLHHRHRHRHRLRLSLFCSPSPISSSLASTLSFLRGIASKTSYSAVSQQQQQQQKLRKELLLKELKETETLAQKIGKAIRRPGVPSKSRVYADVNVIRPKEYWDYESLTIQWGREQDDYEVVRKVGRGKYSEVFEGVHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDVRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLIKIAKVLGTDELNAYLNKYGIELDPQLAFIVGRHSRKPWTKFINAENQHLALPEAIDFLDKLLRYDHLERLTAKEAMAHPYFYPIRNAESSRIRT >KJB18005 pep chromosome:Graimondii2_0_v6:3:2633222:2637668:1 gene:B456_003G029200 transcript:KJB18005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRPLNFTTISLHHRHRHRHRLRLSLFCSPSPISSSLASTLSFLRGIASKTSYSAVSQQQQQQQKLRKELLLKELKETETLAQKIGKAIRRPGVPSKSRVYADVNVIRPKEYWDYESLTIQWGEQDDYEVVRKVGRGKYSEVFEGVHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDVRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLIKIAKVLGTDELNAYLNKYGIELDPQLAFIVGRHSRKPWTKFINAENQHLALPEAIDFLDKLLRYDHLERLTAKEAMAHPYFYPIRNAESSRIRT >KJB18008 pep chromosome:Graimondii2_0_v6:3:2633222:2637668:1 gene:B456_003G029200 transcript:KJB18008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRPLNFTTISLHHRHRHRHRLRLSLFCSPSPISSSLASTLSFLRGIASKTSYSAVSQQQQQQQKLRKELLLKELKETETLAQKIGKAIRRPGVPSKSRVYADVNVIRPKEYWDYESLTIQWGEQDDYEVVRKVGRGKYSEVFEGVHCTDNEKCIIKILKPVKKKKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLIKIAKVLGTDELNAYLNKYGIELDPQLAFIVGRHSRKPWTKFINAENQHLALPEAIDFLDKLLRYDHLERLTAKEAMAHPYFYPIRNAESSRIRT >KJB18006 pep chromosome:Graimondii2_0_v6:3:2633295:2637004:1 gene:B456_003G029200 transcript:KJB18006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRPLNFTTISLHHRHRHRHRLRLSLFCSPSPISSSLASTLSFLRGIASKTSYSAVSQQQQQQQKLRKELLLKELKETETLAQKIGKAIRRPGVPSKSRVYADVNVIRPKEYWDYESLTIQWGEQDDYEVVRKVGRGKYSEVFEGVHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDVRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLIKIAKVLGTDELNAYLNKYGIELDPQLAFIVGRLA >KJB17987 pep chromosome:Graimondii2_0_v6:3:2512480:2515516:1 gene:B456_003G027800 transcript:KJB17987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEDNQVPLLSDEESDKDVAYESGEKVHIVGIDEPDDEGLLGTPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATVIGLLVQLLSARLGVATGRHLAELCREEYPTWARMVLWVMAELALIGADIQEVIGSAIAIKILSNGALPLWAGVIITACDCFIFLFLENYGVRKLEAFFAVLIATMAVSFAWMFGETKPSGTELLLGVLIPELSSRTIKQAVGVVGCIIMPHNVFLHSALVQSREIDHTKTGRVQEALNYYSIESAAALLISFIINLFVTTVFAKAFYGTEVASTIGLVNAGQYLQDKYGGGLFPILYIWAIGLLAAGQSSTITGTYAGQFIMGGFLNLKLKKWLRALITRSFAIVPTMIVALVFDTSEAALDVLNEWLNVLQSIQIPFALIPLLCLVSKEQIMGTFKIGSVLKTIAWLVAVLVILINGYLLFDFFSNEVTGVMFTSIVFAFTGAYIAFIFYLVSRGFTSSAWRRLSPAK >KJB17989 pep chromosome:Graimondii2_0_v6:3:2512433:2515702:1 gene:B456_003G027800 transcript:KJB17989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEDNQVPLLSDEESDKDVAYESGEKVHIVGIDEPDDEGLLGTPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATVIGLLVQLLSARLGVATGRHLAELCREEYPTWARMVLWVMAELALIGADIQEVIGSAIAIKILSNGALPLWAGVIITACDCFIFLFLENYGVRKLEAFFAVLIATMAVSFAWMFGETKPSGTELLLGVLIPELSSRTIKQAVGVVGCIIMPHNVFLHSALVQSREIDHTKTGRVQEALNYYSIESAAALLISFIINLFVTTVFAKAFYGTEVASTIGLVNAGQYLQDKYGGGLFPILYIWAIGLLAAGQSSTITGTYAGQFIMGGFLNLKLKKWLRALITRSFAIVPTMIVALVFDTSEAALDVLNEWLNVLQSIQIPFALIPLLCLVSKEQIMGTFKIGSVLKTIAWLVAVLVILINGYLLFDFFSNEVTGVMFTSIVFAFTGAYIAFIFYLVSRGFTSSAWRRLSPAK >KJB17988 pep chromosome:Graimondii2_0_v6:3:2512480:2514602:1 gene:B456_003G027800 transcript:KJB17988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEDNQVPLLSDEESDKDVAYESGEKVHIVGIDEPDDEGLLGTPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATVIGLLVQLLSARLGVATGRHLAELCREEYPTWARMVLWVMAELALIGADIQEVIGSAIAIKILSNGALPLWAGVIITACDCFIFLFLENYGVRKLEAFFAVLIATMAVSFAWMFGETKPSGTELLLGVLIPELSSRTIKQAVGVVGCIIMPHNVFLHSALVQSREIDHTKTGRVQEALNYYSIESAAALLISFIINLFVTTVFAKAFYGTEVASTIGLVNAGQYLQDKYGGGLFPILYIWAIGLLAAGQSSTITGTYAGQFIMGGFLNLKLKKWLRALITRSFAIVPTMIVALVFDTSEAALDVLNEWLNVLQSIQIPFALIPLLCLVSKEQIMGTFKIGSVLKVSC >KJB18617 pep chromosome:Graimondii2_0_v6:3:12175826:12179019:1 gene:B456_003G063700 transcript:KJB18617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNNKGNMAFLEEEIREDIGWNLYNKQQFSLLEENGELLIRANQGHTVMTVESERLLKQILSADEMIVCVHGTYKRNLESILESGLKRMKRLHVHFSSGLPTDGEVISDEMLNVLIYLDVRKALEEGMKLYISDNKVILTEGFDGLVPVKCFEKIESWPDRKPIPFSNV >KJB20670 pep chromosome:Graimondii2_0_v6:3:42795696:42796082:1 gene:B456_003G158700 transcript:KJB20670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCETLTSKSVAGNIRIHLLWLVDPQTLPALNLLHLDYQLPSALFEYLSPMSMIIPRKHPTTLFQRKRKAPHNSVSTQAKGFHTQGSKMPLVIPHKHRTTLLQRKGRVSSYKVRKYDEIFFNCL >KJB19903 pep chromosome:Graimondii2_0_v6:3:36966632:36970976:-1 gene:B456_003G123700 transcript:KJB19903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIKLDEVVCRDSEESELSSWNEKVTSDEKVNRVYEILNGIHKQGQRSLGSITTNVENGTLTDRLFKGNKAAIGDSFNLPFDRSEKHAHVIGRRSGPLISGTAYCISSCSMILLNKVVLSSYNFNAGISLMFYQNLISCVVVAILGLCGAVSVEKLNWKLIRVWLPVNIIFVGMLVSGMYSLKYINIAMVTILKNMTNILTAIGEYYVFRKHQNQKVWTAMFMMIISAVTGGITDLSFDAKGYTWQILNCILTAAYSLVGCLDLPLASHPCGFCIKLDQPLTVL >KJB19902 pep chromosome:Graimondii2_0_v6:3:36968006:36970035:-1 gene:B456_003G123700 transcript:KJB19902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIKLDEVVCRDSEESELSSWNEKVTSDEKVNRVYEILNGIHKQGQRSLGSITTNVENGTLTDRLFKGNKAAIGDSFNLPFDRSEKHAHVIGRRSGPLISGTAYCISSCSMILLNKVVLSSYNFNAGISLMFYQNLISCVVVAILGLCGAVSVEKLNWKLIRVWLPVNIIFVGMLVSGMYSLKYINIAMVTILKNMTNILTAIGEYYVFRKHQNQKVWTAMFMMIISAVTGGITDLSFDAKGYTWQILNCILTAAYSVCLEYFLYLVNS >KJB19901 pep chromosome:Graimondii2_0_v6:3:36966377:36971043:-1 gene:B456_003G123700 transcript:KJB19901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIKLDEVVCRDSEESELSSWNEKVTSDEKVNRVYEILNGIHKQGQRSLGSITTNVENGTLTDRLFKGNKAAIGDSFNLPFDRSEKHAHVIGRRSGPLISGTAYCISSCSMILLNKVVLSSYNFNAGISLMFYQNLISCVVVAILGLCGAVSVEKLNWKLIRVWLPVNIIFVGMLVSGMYSLKYINIAMVTILKNMTNILTAIGEYYVFRKHQNQKVWTAMFMMIISAVTGGITDLSFDAKGYTWQILNCILTAAYSLTLRLVMDKAKQATKSGSLNNMSMVLLNNLLSLPFAIFLIFVLNEWEYVITTNVIKLPVFWVVATASGLLGLAISFTSMWFLHQTGPTTYSLVGSLNKVPISIAGLMLFKVPLSVPNMFSILFGLFAGILFARAKMS >KJB17915 pep chromosome:Graimondii2_0_v6:3:2018918:2020061:1 gene:B456_003G023800 transcript:KJB17915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNRSVDTEHGQLSPQETKTVEAIVKASEYPLSIILVGAGVELGIQVVLQKNMEMVEFVIPTLGLHMAVNDCAAVAIATSMGVPVSLRSEFVVAESGIKIVNDAFNANPISTKAGIDTLKNIDCDGKRVAILGDMFELGAHETRVP >KJB19603 pep chromosome:Graimondii2_0_v6:3:33992734:33996071:1 gene:B456_003G110900 transcript:KJB19603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKDGEKASLFTSFILPASSFGFLFSSDASYVFDGQPPDLKKQELAKRYSKRADATEDLQEAMETGNKDIEKLNM >KJB19601 pep chromosome:Graimondii2_0_v6:3:33992734:33996068:1 gene:B456_003G110900 transcript:KJB19601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFVFSLYFDHLPTDRLQMGEVVSTIPTSNGPKRLAENIQDAYAEAASIAEQASLFTSFILPASSFGFLFSSDASYSKRADATEDLQEAMETGNKDIEKLNM >KJB19604 pep chromosome:Graimondii2_0_v6:3:33992734:33996071:1 gene:B456_003G110900 transcript:KJB19604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFVFSLYFDHLPTDRLQMGEVVSTIPTSNGPKRLAENIQDAYAEAASIAEQMEKRLLFSLLLFSLLLLLDSSSAQMQVTQRGQMLLRICKKPWRLEIRTLKN >KJB19605 pep chromosome:Graimondii2_0_v6:3:33993108:33995579:1 gene:B456_003G110900 transcript:KJB19605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFVFSLYFDHLPTDRLQMGEVVSTIPTSNGPKRLAENIQDAYAEAASIAEQMEKRLLFSLLLFSLLLLLDSSSAQMQAMFLMGSLQI >KJB19602 pep chromosome:Graimondii2_0_v6:3:33992734:33996071:1 gene:B456_003G110900 transcript:KJB19602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFVFSLYFDHLPTDRLQMGEVVSTIPTSNGPKRLAENIQDAYAEAASIAEQASLFTSFILPASSFGFLFSSDASYVFDGQPPDLKKQELAKRYSKRADATEDLQEAMETGNKDIEKLNM >KJB19131 pep chromosome:Graimondii2_0_v6:3:21706867:21709806:1 gene:B456_003G085800 transcript:KJB19131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRFYSCSLIPARPTSGSVLNKYSLLPNPRISITRRHTTLVSSSSSSSSFTLRASVSSAATSTAVDGTISSTKPSKSLPFRVGHGFDLHRLEPGYPLIIGGIDIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGAPSSVFIKEA >KJB19130 pep chromosome:Graimondii2_0_v6:3:21706867:21707611:1 gene:B456_003G085800 transcript:KJB19130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRFYSCSLIPARPTSGSVLNKYSLLPNPRISITRRHTTLVSSSSSSSSFTLRASVSSAATSTAVDGTISSTKPSKSLPFRVGHGFDLHRLEPGYPLIIGGIDIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGAPSSVFIKEAVSISLM >KJB19132 pep chromosome:Graimondii2_0_v6:3:21706867:21714179:1 gene:B456_003G085800 transcript:KJB19132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRFYSCSLIPARPTSGSVLNKYSLLPNPRISITRRHTTLVSSSSSSSSFTLRASVSSAATSTAVDGTISSTKPSKSLPFRVGHGFDLHRLEPGYPLIIGGIDIPHDRGCEAHSDGIFLIPYTFGWFKFINIFPIFISNLYFYLKFPQFCIWD >KJB19133 pep chromosome:Graimondii2_0_v6:3:21706867:21714191:1 gene:B456_003G085800 transcript:KJB19133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRFYSCSLIPARPTSGSVLNKYSLLPNPRISITRRHTTLVSSSSSSSSFTLRASVSSAATSTAVDGTISSTKPSKSLPFRVGHGFDLHRLEPGYPLIIGGIDIPHDRGCEAHSDDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGAPSSVFIKEAVRLMHEAGYEIGNLDATLILQRPKLSPHKGVIKANLSELLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >KJB19129 pep chromosome:Graimondii2_0_v6:3:21706816:21714202:1 gene:B456_003G085800 transcript:KJB19129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRFYSCSLIPARPTSGSVLNKYSLLPNPRISITRRHTTLVSSSSSSSSFTLRASVSSAATSTAVDGTISSTKPSKSLPFRVGHGFDLHRLEPGYPLIIGGIDIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGAPSSVFIKEAVRLMHEAGYEIGNLDATLILQRPKLSPHKGVIKANLSELLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >KJB19753 pep chromosome:Graimondii2_0_v6:3:35725439:35728655:1 gene:B456_003G118000 transcript:KJB19753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSQPFLQLNSLPSINQEPLPSPLSLPPPEPLLQPLAVLKTEPEHQQQPPSPPPPPQQHGKPHFLKSMDDLASLSSAIHAFQCRFDDLTKHLDFINQAIDSKLYEPQQQKLPEIETQSPPKATETDGETEKKGPDTASPPKSSRSEIQSLCGTMCSKGLRKYIATHLSNVAKLREEVPEALKLAPEPARLVLDCIGRFFLQGIKAYDKDSPMIPARLASVLALEFFLLMMGGFHAEGKVKIAGNLKAEAENGAIAWRKRLINEGGLAKASEVDARGLLLFVAGFGIPKVFRIEDLGNLLRLCNLRAISDALKASPVLPDKMPDIIEVMAKNGMHVEAVDVASIFGLEDKCSLKKILTIFLQESAKSFKRAKQEAQNSPFALRMANERQLDALKSVVRYSEDCSSDVAKFLGSWQIEEKIVKLEEENAELHKRIEERKMIPKRKLDEMGSSSRVKSQEMKRSRFATIGSPLPNSSHVNGLHEQLATSLTEGMRLYESAISGRVATSYPAASAVPHGLTVGSLPRNGVAQMVGINGVGSSSMMTSIGAISRSSYSRAHGEIEFNKAEQTISSALPYGGRRQHSGGQSATSMRFASLYGSSASIEGFVGLPDTTDRTSADLYRFADSIGENEPYSSNSHRSSTLPNVAPVHQSSYMY >KJB20008 pep chromosome:Graimondii2_0_v6:3:38103611:38106497:-1 gene:B456_003G129100 transcript:KJB20008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVTMSEFEVNGGDDEDAAATANTTVVDDEDDVDQRVLEWEIGLPDCDDLTPLSQSLIPPELASAFSISPEPRPTAHDVNRASQDTLSSLRSGGAHFFTANNNKNKNNNNLRSFNDPMVVEAEGDGSGSGSGSEPKKMRKTDIGIAEEADSAVRTTENSEDPSARTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSASDQLFASTPVPQSLHESGSVGCGGGSGVVGGSANGNGHVGMPIPMPYGAPLVPVAMPMYGHMGMHQGGYHHPQNGYEANSYGMQPRDWSGGNKYGSVMSYPNHMAPNDKLN >KJB20007 pep chromosome:Graimondii2_0_v6:3:38104373:38106497:-1 gene:B456_003G129100 transcript:KJB20007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVTMSEFEVNGGDDEDAAATANTTVVDDEDDVDQRVLEWEIGLPDCDDLTPLSQSLIPPELASAFSISPEPRPTAHDVNRASQDTLSSLRSGGAHFFTANNNKNKNNNNLRSFNDPMVVEAEGDGSGSGSGSEPKKMRKTDIGIAEEADSAVRTTENSEDPSARTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSASDQLFASTPVPQSLHESGSVGCGGGSGVVGGSANGNGHVGMPIPMPYGAPLVPVAMPMYGHMGMHQGGYHHPQNGYEANSYGMQPRDWSGGNKYGSVMSYPNHMAPNDKLN >KJB20005 pep chromosome:Graimondii2_0_v6:3:38103663:38106301:-1 gene:B456_003G129100 transcript:KJB20005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVTMSEFEVNGGDDEDAAATANTTVVDDEDDVDQRVLEWEIGLPDCDDLTPLSQSLIPPELASAFSISPEPRPTAHDVNRASQDTLSSLRSGGAHFFTANNNKNKNNNNLRSFNDPMVVEAEGDGSGSGSGSEPKKMRKTDIGIAEEADSAVRTTENSEDPSARTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSASDQLFASTPVPQSLHESGSVGCGGGSGVVGGSANGNGHVGMPIPMPYGAPLVPVAMPMYGHMGMHQGGYHHPQNGYEANSYGMQPRDWSGGNKYGSVMSYPNHMAPNDKLN >KJB20006 pep chromosome:Graimondii2_0_v6:3:38103611:38107582:-1 gene:B456_003G129100 transcript:KJB20006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVKPWGLWLWNSTHGFSLQWKKMKSGLQFFGFKGPKTAKKMGEEVTMSEFEVNGGDDEDAAATANTTVVDDEDDVDQRVLEWEIGLPDCDDLTPLSQSLIPPELASAFSISPEPRPTAHDVNRASQDTLSSLRSGGAHFFTANNNKNKNNNNLRSFNDPMVVEAEGDGSGSGSGSEPKKMRKTDIGIAEEADSAVRTTENSEDPSARTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSASDQLFASTPVPQSLHESGSVGCGGGSGVVGGSANGNGHVGMPIPMPYGAPLVPVAMPMYGHMGMHQGGYHHPQNGYEANSYGMQPRDWSGGNKYGSVMSYPNHMAPNDKLN >KJB20684 pep chromosome:Graimondii2_0_v6:3:42858969:42861660:-1 gene:B456_003G159200 transcript:KJB20684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHQTNCQKFLVSTLSLIFITSIPLILLKNSHPPLSSSLRRSTELGGGDDDKCDVFSGKWVIYPQGPYYTNETCPLIIDQHNCMKFGRPDLQFMKWRWKPFGCELPLFDATQFLEIVRGKSMAFVGDSVGRNQMQSLLCLLAHVTYAEDISNKSPSASIYSTHLFYSEYNFTLSALWSPFLVKYKDSDPEGHSFNSLMSLYLDEADEEWANEIKNFDYVIISVGQWFFRPLLYYQDGQLIGCHKCNHSNITSLTRYYGYKMAFQTTFKTLLSLKNYEGVTFLRTFSAAHFENGDWNNGGSCDRTRPFTKEMKIEEYNKEFYLTQVEEFRKAKVEEGIQNGLRFVLINITEIMWLRPDGHPNRYGHSMNRNVSVNDCVHWCLPGPIDTWNEFLVYWMKRELLLRGKLKKNA >KJB19528 pep chromosome:Graimondii2_0_v6:3:33029430:33032386:1 gene:B456_003G107900 transcript:KJB19528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQASRSQQLVRSERRMFAASDDNAMMKQILSTHAPDGRLVDVKPILLIIDNVLRHITPEIDQALTAGSGRIDSFDDQTNLSAIDDVLDALAYIVHKISCEISCKCAGGGDAHATTMVILNMLSSYSWDAKVVLTLAAFAVNFGEFWLIVQLCTTNTLAKSVALLKQLPDVFEHSQTLKPHFDALNKLIKAMIDVTKCIVEFIELPCEYISSEVPPLSTAMASIPTAAYWTIRSVVACAAQITSLVGLRQEFVTSTSEAWELSSLAHKVSSIHEHLQNLLRLCYQRIEEKKQEETYKEFIRIIETPQMEISKILRVIFRKEDPHPLFSPADKTRVDIDVLRRKHVLLLISDLDISLDEIQVLEVLYKYERASSSELNYEIVWLPIVDRSAWNDSYQQKFLNLQSIMPWYTVNHPSVIEPAVIKYTKEKWRFVKKPIVVTLDPLGKVTCTNALNMMWIWGNAAFPFSTDKEESLWKSESWTIELLVDGLEPNLPNWMREEKVICFYGGEKMEWIESFTSATKKAAQTLEIGLEMVYVGKNNAKERVKKISGLITEKQLSHSWQDASVWFFWNRLESMLYSKTQHGKTNDPDIIKQEVMTILGYDGSEHGWAIFFLGTTEMVRANGERVLSSMQSFEEWEEMARQMGFIPALRKHLEGITDDHHCTRLILPGISGGIAERVVCAECGRPMEMYFMYRCCVE >KJB19463 pep chromosome:Graimondii2_0_v6:3:31855772:31861326:1 gene:B456_003G103900 transcript:KJB19463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKSVKLREAHEVSSNASFCSILWDLQAHHLVTASSSQPSISIHDPLLHSSPPRVLRHHRDGVTALAISPNSTCLASGSIDHSVKLYKFPGGEFETNITRFTLPIRALSFNKSGSMLAAAGDDEGIKLINTIDGSIARVLKGHRGPVTGLAFDPNGEYLASIDSIGTVIYWELQSGRTLYTLNGVAPDTGSDTSVLNVLSWSPDGETLAVPGMKNDIVMYDRDTAEKLFTLRGDHTQPICFMSWSPNGRYMATSSLDKQVLIWDVKRKQDIDRQKFDERICCMAWKPIGNALAVIDVMGKYGVWESVVPSSMKSPTEDIPISQTRNSNGLLFFDEEDQDLSMSGSLSDLGEDSHGESEPPSRKRLRKQSVIDDDQHEYVYDELNLVRKTEPQRKVPRVNKENSEKEKDALKAMTAFRPKMQEAFQPGSTPPQPGKRHFLCYNMLGSITTIKHDEYSHIEIDFHDTSTGPRVPPMTDHYGFTMASLNENGSVFANPCKGEKNMSTLMYRPFRSWANNSEWYMRFEGEEVKAVALGSTWVAAVTSLNFLRIFTNGGLQKHILSLNGPVVTAAGFSNELAIVTHVSDCLPSNEQMLEFTLFNISKGTQVFKGRLPLTPGSYLTWFGFSEEGQITSYDSKGILRVFTSQYGGTWLPLFSASKERKSGENYWVVGLNASKLFCVVCKNPDTFPQVLPKPVLTLLNLSFPLASSDLGEEALENEFMLNNLNLSQIQNRIEVTAVEGLDTSSLDDEAFDIEAAQDRCIFRLIASCCNSDKLVRAIELVKLLSIEKSVRGAIQLATALKLPILAERFNDILEERLRNKAEVSNTTALSSKHDPATKRSEISEPIVSLSSAKLSAPLFTKKVKSLDRVKFGKKKTDSDQTTNLEDSKEVKDAEKNGGSSHVCSVKEVKNLETLCPSNLFSKLPNIQEGKKVEEATRSQSEGPSNKSSNEEAKKVVGEVTGKQCQRPSNPFLKSKVK >KJB19462 pep chromosome:Graimondii2_0_v6:3:31855609:31861263:1 gene:B456_003G103900 transcript:KJB19462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKSVKLREAHEVSSNASFCSILWDLQAHHLVTASSSQPSISIHDPLLHSSPPRVLRHHRDGVTALAISPNSTCLASGSIDHSVKLYKFPGGEFETNITRFTLPIRALSFNKSGSMLAAAGDDEGIKLINTIDGSIARVLKGHRGPVTGLAFDPNGEYLASIDSIGTVIYWELQSGRTLYTLNGVAPDTGSDTSVLNVLSWSPDGETLAVPGMKNDIVMYDRDTAEKLFTLRGDHTQPICFMSWSPNGRYMATSSLDKQVLIWDVKRKQDIDRQKFDERICCMAWKPIGNALAVIDVMGKYGVWESVVPSSMKSPTEDIPISQTRNSNGLLFFDEEDQDLSMSGSLSDLGEDSHGESEPPSRKRLRKQSVIDDDQHEYVYDELNLVRKTEPQRKVPRVNKENSEKEKDALKAMTAFRPKMQEAFQPGSTPPQPGKRHFLCYNMLGSITTIKHDEYSHIEIDFHDTSTGPRVPPMTDHYGFTMASLNENGSVFANPCKGEKNMSTLMYRPFRSWANNSEWYMRFEGEEVKAVALGSTWVAAVTSLNFLRIFTNGGLQKHILSLNGPVVTAAGFSNELAIVTHVSDCLPSNEQMLEFTLFNISKGTQVFKGRLPLTPGSYLTWFGFSEEGQITSYDSKGILRVFTSQYGGTWLPLFSASKERKSGENYWVVGLNASKLFCVVCKNPDTFPQVLPKPVLTLLNLSFPLASSDLGEEALENEFMLNNLNLSQIQNRIEVTAVEGLDTSSLDDEAFDIEAAQDRCIFRLIASCCNSDKLVRAIELVKLLSIEKSVRGAIQLATALKLPILAERFNDILEERLRNKAEVSNTTALSSKHDPATKRSEISEPIVSLSSAKLSAPLFTKKVKSLDRVKFGKKKTDSDQTTNLEDSKEVKDAEKNGGSSHVCSVKEVKNLETLCPSNLFSKLPNIQEGKKVEEATRSQSEGPSNKSSNEEAKKVVGEVTGKQCQRPSNPFLKSKVK >KJB19291 pep chromosome:Graimondii2_0_v6:3:29288940:29292707:1 gene:B456_003G093600 transcript:KJB19291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCGSEPINHSSNPTRSNNSFGDNRHPSVEMNRLTVETEDIFATLLELAANNDVEGFKRTIEHDPSVVDEVGLWYGRRKGSKQMVHDERTPLMIAATYGSIGVIKLILSSSDADVNRVCGHEKSTALHCAASGGTINAVDVVKLLLAAGADANMVDANGHRPVDVIGFPLKLQAVKLTLQELLGTESSVIEQNLRVSTAVANSGSLSPSRDNGSPSCSNSLPKLKSTAAPISTSEKKEYPIDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFHKGACRRGDICEYAHGVFECWLHPAQYRTRLCKDGINCARRVCFFAHTAEELRPLHVSTGSAVLSPRSSTSAATAMDFAAALSLLPGSPSSVSVMSPSPFTPPISPSVKGMPHSNVAWPQPNVPALHLPGSNLQLSRLRSFLNARDIPAEDFNLLPDFGVQQQQLVNELSSLSQPSMSSNSMNRSGRLKTLTPSNLEDLFSTESSSSQYSDQALAAVVFSPSHKSAVLNQFQQQSSMLSPINTNFSPKSNEYPLLQASLSGRMSPRNVEPISPMSSRVSMLAQLEKQQFRSLSSRELGSSSPAIIGSPVNSWSKWGSSNGKADWAVNADELGKHHASFELSNGDEPELSWVQSLVKESPTEMKEKMKASVSGVPSNASKSEGSNTNSQVDPLDHTVLGAWLEQMQLDQLVALQN >KJB19292 pep chromosome:Graimondii2_0_v6:3:29288940:29292707:1 gene:B456_003G093600 transcript:KJB19292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCGSEPINHSSNPTRSNNSFGDNRHPSVEMNRLTVETEDIFATLLELAANNDVEGFKRTIEHDPSVVDEVGLWYGRRKGSKQMVHDERTPLMIAATYGSIGVIKLILSSSDADVNRVCGHEKSTALHCAASGGTINAVDVVKLLLAAGADANMVDANGHRPVDVIGFPLKLQAVKLTLQELLGTESSVIEQNLRVSTAVANSGSLSPSRDNGSPSCSNSLPKLKSTAAPISTSEKKEYPIDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFHKGACRRGDICEYAHGVFECWLHPAQYRTRLCKDGINCARRVCFFAHTAEELRPLHVSTGSAVLSPRSSTSAATAMDFAAALSLLPGSPSSVSVMSPSPFTPPISPSVKGMPHSNVAWPQPNVPALHLPGSNLQLSRLRSFLNARDIPAEDFNLLPDFGVQQQQLVNELSSLSQPSMSSNSMNRSGRLKTLTPSNLEDLFSTESSSSQYSDQALAAVVFSPSHKSAVLNQFQQQSSMLSPINTNFSPKSNEYPLLQASLSGRMSPRNVEPISPMSSRVSMLAQLEKQQFRSLSSRELGSSSPAIIGSPVNSWSKWGSSNGKADWAVNADELGKHHASFELSNGDEPELSWVQSLVKESPTEMKEKMKASVSGVPSNASKSEGSNTNSQVDPLDHTVLGAWLEQMQLDQLVALQN >KJB20037 pep chromosome:Graimondii2_0_v6:3:38392398:38398249:1 gene:B456_003G130100 transcript:KJB20037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEDQINQAMDPKYECLLFDLDDTLYPLTSGISSEVTKNIQEYMIKKLGIKDNVPELCVSLYKHYGTTMAGLKAIGYNFEYDDFHSFVHGRLPYAMLKPDPVLRNLLLSIPIRKIIFTNADKNHAARVLNRLGLEDCFEGIICFETLNPINKENPSAADDIKPDTAIVDINGYCSAVLDSELKLPSTPVVCKPFENAFEQVFKIAKINPQKTLFFDDSIRNLQTGKKMGLHAVWVGTSHWTDGVDYALESIHNIREALPELWEAADEKTKNIRYSGKISIETTVRA >KJB18516 pep chromosome:Graimondii2_0_v6:3:9551588:9555469:1 gene:B456_003G057500 transcript:KJB18516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRDSLKQPKMKVEGVEHESPVMAGLQSHVSLQLPLPSNQNLRISPDDWHLLAYLLLVHKTNTKGVGQDLLDKEVHALTFGYMGLNGKKPIIVVRSGENHGGQSSDNSLLPGLNDDMALDILAWSSRSNYPNLACLNRKFRSLIGSGYLYKLRRQLGIIEHWVYLACNMMPWEAFDPMRQKWMRLPRIPCDDCFTCADKESLAVGSDLLVFGRELSGFAIWMYSLVTHNWSKCPLMNLPRCLFGSSSLGEIAIVAGGSDKNGNVLQSAELYNSEVGTWQTLPNMNFPRKLCSGFFMDGKFYVIGGMSSHTDCLTCGEEYNIETRSWRRIENMYPGRDAGTFHPAMRSPPLVAVVNNQLYSADQATNEVKKYDKVNNSWSVVKRLPVRADSSYGWGLAFKACGNSLLVIGAGGHGGHDDGVIVLHSWDPEEGNRDGQEWNVLAVKARAGAFVYNCAVMGC >KJB21074 pep chromosome:Graimondii2_0_v6:3:45353134:45355719:1 gene:B456_003G181900 transcript:KJB21074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDELNMNPSGAQGTLVTNSSTKSYNDIMTRRLKNRERQRRYRARKRLEADMQKSHVLNQPTIPNAELQLNGILNNVTKRVHCKRDWKKDARRAHVYKAQEGSISTVETQASCLPSVDPVGRECSSEDSPNLQNYETRKPKLGRRDWKADARNKKS >KJB21073 pep chromosome:Graimondii2_0_v6:3:45353170:45355685:1 gene:B456_003G181900 transcript:KJB21073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDELNMNPSGAQGTLVTNSSTKSYNDIMTRRLKNRERQRRYRARKRLEADMQKSHVLNQPTIPNAELQLNGILNNVTKRVHCKRDWKKDARRAHVYKAQEGSISTVETQASCLPSVDPVGRECSSEDSPNLQNYETRKPKLGRRDWKADARNKKS >KJB18592 pep chromosome:Graimondii2_0_v6:3:11788350:11789183:1 gene:B456_003G063000 transcript:KJB18592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVCCSIEMEPRTLNQGQLNHAREMAADVAQKMDPKEASASFIEGIGLMTSGGEIKEVVTELTLTDDEEKEVGAQNIVERPCQCSCKINNIESPDPDFSVKEGAC >KJB19297 pep chromosome:Graimondii2_0_v6:3:29375828:29376756:1 gene:B456_003G0938002 transcript:KJB19297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGIDAAIANSFRRILIAELPTMAIEKVLIANNTSIIQDEVLAHRLGLVPIRVDPRLFDYLSKNDQPNEKNTIVFKLHVQCKSGSPRITVKSDALKWLPNGSELVKETRNAASDSSSKPETYTYFSCSQETIPEFVKNPIIPKYPDIIIAKLGPGQVYFTCFCLFQKLLIKHVKMADHALGLEKISSRAKCGA >KJB19296 pep chromosome:Graimondii2_0_v6:3:29375828:29376756:1 gene:B456_003G0938002 transcript:KJB19296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLLIENDQPNEKNTIVFKLHVQCKSGSPRITVKSDALKWLPNGSELVKETRNAASDSSSKPETYTYFSCSQETIPEFVKNPIIPKYPDIIIAKLGPGQVYFTCFCLFQKLLIKHVKMADHALGLEKISSRAKCGA >KJB21150 pep chromosome:Graimondii2_0_v6:3:45602026:45608888:1 gene:B456_003G185200 transcript:KJB21150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRVCLSKEVRNELELLKRKRLHRIKSETVCGPSGVTNMMSRSGGDALRAPASCGVRLQGNAESFSRSNVASCEKDVFSKRKVDKFYTSDLEWTEKIPECPVYCPTKEEFEDPLVYFQKIAPEASKYGICKIISPLSATVPAGAVLMKEKAGFKFTTRVQPLRLAEWDTDDRVTFFMSGRNYTFRDFEKMANKVFSRRYYSAGCLPATYMEKEFWHEIACGKMESVEYACDVEGSAFSSSPSDLLGTSKWNLKKLSRLPKSTLRLLETAIPGVTDPMLYIGMLFSIFAWHVEDHYLYSINYHHCGASKTWYGVPGHSALRFEKVVKEHVYTNDVLSVDGEDGAFDVLLGKTTLFPPNILLEHDVPVYKAVQNPGEFVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAVACLRYAHLNRVPLLPHEELLCKEAMLLNSSLELEDLDYSPADLASHHSIKASFVKLIRFLHRARWSIMKSRACTSVSLNYHRTVVCTLCKRDCYIAFVNCSCYSHHVCLRHDIKSLDFPCGSHHCLFLRDDVGEMEAAAKKFEQDNAISKAVERQAENGDDMYSYPLSNKFQNDVEDGYFPYCEINVDLKPEIATMTSATGQTVEYGHNSLSHNTGNFRPELTDALSSFAASTLCSFVEQVGSSAKNVQGLANLGNTNDKRFCEEVPENTYESSVLCLSREDRPGTHQHNGHEPVSRSIVDHDSDSSDSEIFRVKRRSFLKVEKRSGNHTMASKSSEHQGLKRLKKLQHEKRSGQSMPSDCSRNDEPNRNTNRASNYKESPENTLKDRYGRSNLPISIKYKKLGNEEAMIRQREHHRNDKLKHEVGKYTREPPPLENGPKRIKVRGPTYVGSESSLD >KJB21149 pep chromosome:Graimondii2_0_v6:3:45602026:45608888:1 gene:B456_003G185200 transcript:KJB21149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRVCLSKEVRNELELLKRKRLHRIKSETVCGPSGVTNMMSRSGGDALRAPASCGVRLQGNAESFSRSNVASCEKDVFSKRKVDKFYTSDLEWTEKIPECPVYCPTKEEFEDPLVYFQKIAPEASKYGICKIISPLSATVPAGAVLMKEKAGFKFTTRVQPLRLAEWDTDDRVTFFMSGRNYTFRDFEKMANKVFSRRYYSAGCLPATYMEKEFWHEIACGKMESVEYACDVEGSAFSSSPSDLLGTSKWNLKKLSRLPKSTLRLLETAIPGVTDPMLYIGMLFSIFAWHVEDHYLYSINYHHCGASKTWYGVPGHSALRFEKVVKEHVYTNDVLSVDGEDGAFDVLLGKTTLFPPNILLEHDVPVYKAVQNPGEFVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAVACLRYAHLNRVPLLPHEELLCKEAMLLNSSLELEDLDYSPADLASHHSIKASFVKLIRFLHRARWSIMKSRACTSVSLNYHRTVVCTLCKRDCYIAFVNCSCYSHHVCLRHDIKSLDFPCGSHHCLFLRDDVGEMEAAAKKFEQDNAISKAVERQAENGDDMYSYPLSNKFQNDVEDGYFPYCEINVDLKPEIATMTSATGQTVEYGHNSLSHNTGNFRPELTDALSSFAASTLCSFVEQVGSSAKNQVQGLANLGNTNDKRFCEEVPENTYESSVLCLSREDRPGTHQHNGHEPVSRSIVDHDSDSSDSEIFRVKRRSFLKVEKRSGNHTMASKSSEHQGLKRLKKLQHEKRSGQSMPSDCSRNDEPNRNTNRASNYKESPENTLKDRYGRSNLPISIKYKKLGNEEAMIRQREHHRNDKLKHEVGKYTREPPPLENGPKRIKVRGPTYVGSESSLD >KJB21151 pep chromosome:Graimondii2_0_v6:3:45602026:45608888:1 gene:B456_003G185200 transcript:KJB21151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRVCLSKEVRNELELLKRKRLHRIKSETVCGPSGVTNMMSRSGGDALRAPASCGVRLQGNAESFSRSNVASCEKDVFSKRKVDKFYTSDLEWTEKIPECPVYCPTKEEFEDPLVYFQKIAPEASKYGICKIISPLSATVPAGAVLMKEKAGFKFTTRVQPLRLAEWDTDDRVTFFMSGRNYTFRDFEKMANKVFSRRYYSAGCLPATYMEKEFWHEIACGKMESVEYACDVEGSAFSSSPSDLLGTSKWNLKKLSRLPKSTLRLLETAIPGVTDPMLYIGMLFSIFAWHVEDHYLYSINYHHCGASKTWYGVPGHSALRFEKVVKEHVYTNDVLSVDGEDGAFDVLLGKTTLFPPNILLEHDVPVYKAVQNPGEFVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAVACLRYAHLNRVPLLPHEELLCKEAMLLNSSLELEDLDYSPADLASHHSIKASFVKLIRFLHRARWSIMKSRACTSVSLNYHRTVVCTLCKRDCYIAFVNCSCYSHHVCLRHDIKSLDFPCGSHHCLFLRDDVGEMEAAAKKFEQDNAISKAVERQAENGDDMYSYPLSNKFQNDVEDGYFPYCEINVDLKPEIATMTSATGQTVEYGHNSLSHNTGNFRPELTDALSSFAASTLCSFVEQVGSSAKNVQGLANLGNTNDKRFCEEVPENTYESSVLCLSREDRPG >KJB21152 pep chromosome:Graimondii2_0_v6:3:45602358:45608888:1 gene:B456_003G185200 transcript:KJB21152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRVCLSKEVRNELELLKRKRLHRIKSETVCGPSGVTNMMSRSGGDALRAPASCGVRLQGNAESFSRSNVASCEKDVFSKRKVDKFYTSDLEWTEKIPECPVYCPTKEEFEDPLVYFQKIAPEASKYGICKIISPLSATVPAGAVLMKEKAGFKFTTRVQPLRLAEWDTDDRVTFFMSGRNYTFRDFEKMANKVFSRRYYSAGCLPATYMEKEFWHEIACGKMESVEYACDVEGSAFSSSPSDLLGTSKWNLKKLSRLPKSTLRLLETAIPGVTDPMLYIGMLFSIFAWHVEDHYLYSINYHHCGASKTWYGVPGHSALRFEKVVKEHVYTNDVLSVDGEDGAFDVLLGKTTLFPPNILLEHDVPVYKAVQNPGEFVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAVACLRYAHLNRVPLLPHEELLCKEAMLLNSSLELEDLDYSPADLASHHSIKASFVKLIRFLHRARWSIMKSRACTSVSLNYHRTVVCTLCKRDCYIAFVNCSCYSHHVCLRHDIKSLDFPCGSHHCLFLRDDVGEMEAAAKKFEQDNAISKAVERQAENGDDMYSYPLSNKFQNDVEDGYFPYCEINVDLKPEIATMTSATGQTVEYGHNSLSHNTGNFRPELTDALSSFAASTLCSFVEQVGSSAKNVRSISLPAEYLSVRPPHFVLLCLRLYFSC >KJB20160 pep chromosome:Graimondii2_0_v6:3:39399376:39400296:1 gene:B456_003G135800 transcript:KJB20160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKLLIALFVFQVFFPCHMSIQAAPENSDLFREYIGAEFNNVKFTDVPIDSNVEFHFILSFAIDYDSTSGSPSPTNGKFNVFWDSDNLSPSQVSSIKSTHSNIKVALSLGGDSVGDSYAYFDPSSVDSWVSNAVSSLTDIIQEYHLDGIDIDYEHFNADPDTFAECIGKLVKTLKDNGVISFASIAPFDDDDVQSHYKALWQSYGDLIDYVNFQFYAYDQGTTVSQFISYFNTQSSNYNGGKVLASFISDGSGGLTPENGFFTACSRLRSENKLHGIFVWSADDSKRNGFRYEKQSQALLAMSH >KJB21047 pep chromosome:Graimondii2_0_v6:3:45209438:45209677:1 gene:B456_003G180300 transcript:KJB21047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKVLSDEVPIEQARESLIAISYTVPDTSPNTDHASRNIDGAMTDGTEKCRSELISISYDHSPDVQEAPILLGTHVGQ >KJB19262 pep chromosome:Graimondii2_0_v6:3:27627615:27632873:1 gene:B456_003G091500 transcript:KJB19262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSSDPGMKAEASGGENSETVIANDQLLLCRGLKKAKKERGCTAKERISKMPPCTAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREDYLASLRRKSSGFSRGISKYRGLSSRRWDSSFGRVSGSEYFNSIHYGDDTTKENDYIGGFCIERKIDLTGYIKWWGTNKTRQAEAGTKSSEETKNACPEDIGSELKTSEWAVQPTEPYQMPRLGTSLEGTKCKGSSVSALSILSRSADFKSLQEKALKKQEQNSDNDENENKNTINKMDYGKAAEKSVNNDTGGDRLGAAMGMTGGLSSLQKNVFPLTPFLSAPLLTNYNTIDSLVDPVLWTSLVPALPTGPSRNPEGC >KJB19261 pep chromosome:Graimondii2_0_v6:3:27627435:27632873:1 gene:B456_003G091500 transcript:KJB19261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSSDPGMKAEASGGENSETVIANDQLLLCRGLKKAKKERGCTAKERISKMPPCTAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREDYLASLRRKSSGFSRGISKYRGLSSRRWDSSFGRVSGSEYFNSIHYGDDTTKENDYIGGFCIERKIDLTGYIKWWGTNKTRQAEAGTKSSEETKNACPEDIGSELKTSEWAVQPTEPYQMPRLGTSLEGTKCKGSSVSALSILSRSADFKSLQEKALKKQEQNSDNDENENKNTINKMDYGKAAEKSVNNDTGGDRLGAAMGMTGGLSSLQKNVFPLTPFLSAPLLTNYNTIDSLVDPVLWTSLVPALPTGPSRNPEVTKTETSSTYTFFRPEE >KJB17791 pep chromosome:Graimondii2_0_v6:3:44187647:44189727:-1 gene:B456_003G170600 transcript:KJB17791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAEGSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFMFVENVLPPTGAIMSAIYEEKKDEDGFLYVTYCGENTFGDLSSE >KJB17792 pep chromosome:Graimondii2_0_v6:3:44187647:44189719:-1 gene:B456_003G170600 transcript:KJB17792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAEGSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFMFVENVLPPTGNPMEIYKSFIAC >KJB17793 pep chromosome:Graimondii2_0_v6:3:44187996:44189327:-1 gene:B456_003G170600 transcript:KJB17793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAEGSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFMFVENVLPPTGNPMEIYKSFIAC >KJB17790 pep chromosome:Graimondii2_0_v6:3:44187595:44189825:-1 gene:B456_003G170600 transcript:KJB17790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAEGSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFMFVENVLPPTGAIMSAIYEEKKDEDGFLYVTYCGENTFGDLSSE >KJB17813 pep chromosome:Graimondii2_0_v6:3:1142616:1144853:1 gene:B456_003G016500 transcript:KJB17813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPVSSPQSNHCQSPKPNNNTNTSSTRNISFHGATINSVSTSCYEPTSVLELRRSPSPGHDNPVDHHQHQQQQQQHQAPLEWDEHVLRNMDWDSIMKDLGLDDESVPSIKTIPPQENHIQNLPEFTSCELTHPTEFNNLYDFYPSHNIDISNTTTYGFNNMGNFINSGFDFIEELVRAADCFDTQELQLAQVILARLGQRLRSPSGKPLQRAAFYFKEALLSLLTGGLTRTTRLSSWNDIVQTIKAYKAFSGINPIPMFSHFTTNQALMEAVLDGSSPLIHIIDFDIGFGGQYASLMREMFERHDHSRKFIRVTAVVPEEYAIETRLIKDNLVQFASELKLRFQIEFVLLRTFEMLSFKSFKFIDGEKTAILLSPLIFRSLGLNLTAFLNDLRRVNPTIVVFVDNEVWMESGTTSFRKNFVNGLEFYAMMFESLDAAVVGNGEWVRKIETLLLRPRILAAVETAARSTAPPWRERFRGAGMRAVHLSQLAEFQAECLLGKVQVRGFHVAKRQAELVLCWYESALVATSAWRC >KJB17244 pep chromosome:Graimondii2_0_v6:3:37290936:37302757:1 gene:B456_003G1251001 transcript:KJB17244 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH11 [Source:Projected from Arabidopsis thaliana (AT3G46960) UniProtKB/Swiss-Prot;Acc:F4JAA5] PPAFPTETPESIEEHIKEKYLLPRLDGDAFSPEKAGKQWDFDWFARAKIPLEPSMPRAIMVPVWELPFRRCKEGSTEGKWEPNSMQVDVSDIIIGGETRGSFPRASNGAANDIVRGSINNRPFRPGGLEDQSLERIFPDGASNGEWVREVLNGGPAQTIPPGFKQGLKLGDLMSHPCSWNVYKDQSAPDDTSVGNLSELSVQFDDLFKKAWEEDVAELDKDESDSVKSEAEANQVDVLNTLDTGSSALDEILSVEAEKVDNGRDEGGQQQKEAWAVSGGSEWIADQFYELVPDMAIEFPFELDTFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPRHINIILLSATVPNTIEFADWIGRTKQKKIRVTGTTKRPVPLEHCLFYSGELYKICEGETFIPLGLKAAKDAYKKKNSSATSGGTGSYSGSSTVQDGARGQKREPFNRGKQNKHSGPQNFGNYTGTGWGNQSNGGGQNSWGSRRTTWLMLIDKLSKKSLLPAVIFGFSKNQCDKSADSISGTDLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQVVRVQSFLCRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRPVLPGEYTQMAGRAGRRGLDKIGTVIVMCRDEIPGERDLEHVITGTPTKLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHSQKKLPEQQQLLLRKLAQPKKTIECIKGEPAIEEYYEMRAEAEAHSEQISKAVMQTSAAQKFLTPGRVVVVKSQSAQDHLLGVVLKSSSANNKQYIVLLLKPDVPSMTQSPSDRSNLQDKKGADFDQGYVLPPKSKRGLEEDYRISAGPRKGSGIINITLPHHGAAAGVTFEVREAENTEFLCICNSKIKLDLPGLLEFVSNAAFSHTVQQLLKLKSNGNKYPPALDPKDLKLKDMDLVTAYDKWTHLLQKMSQNKCHECIKLEEHIKLAKEIKKYKEEVNALQFELSNEALLQMPEFQGRIDILKEIGYIDEDLVVQIKGRVACEMNSGEELICTECLFENQLDDLEPEEAVALMSAFVFQQKNTSGPSLTSKLSQAKQRLYDTAIRLGDLQAEFKLPITPEEYAQENLKFGLVEVVYEWAKGTPFADICELTDVPEGLIVRTIVRLDETCREFKTAAAIMGNSSLYKKMESASNAIKRDIVFAASLYITGV >KJB17243 pep chromosome:Graimondii2_0_v6:3:37290936:37302734:1 gene:B456_003G1251001 transcript:KJB17243 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH11 [Source:Projected from Arabidopsis thaliana (AT3G46960) UniProtKB/Swiss-Prot;Acc:F4JAA5] MKIVTCLMYNPCLASSWCLQSELSVQFDDLFKKAWEEDVAELDKDEGHSTESDSVKSEAEANQVDVLNTLDTGSSALDEILSVEAEKVDNGRDEGGQQQKEAWAVSGGSEWIADQFYELVPDMAIEFPFELDTFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPRHINIILLSATVPNTIEFADWIGRTKQKKIRVTGTTKRPVPLEHCLFYSGELYKICEGETFIPLGLKAAKDAYKKKNSSATSGGTGSYSGSSTVQDGARGQKREPFNRGKQNKHSGPQNFGNYTGTGWGNQSNGGGQNSWGSRRTTWLMLIDKLSKKSLLPAVIFGFSKNQCDKSADSISGTDLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQVVRVQSFLCRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRPVLPGEYTQMAGRAGRRGLDKIGTVIVMCRDEIPGERDLEHVITGTPTKLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHSQKKLPEQQQLLLRKLAQPKKTIECIKGEPAIEEYYEMRAEAEAHSEQISKAVMQTSAAQKFLTPGRVVVVKSQSAQDHLLGVVLKSSSANNKQYIVLLLKPDVPSMTQSPSDRSNLQDKKGADFDQGYVLPPKSKRGLEEDYRISAGPRKGSGIINITLPHHGAAAGVTFEVREAENTEFLCICNSKIKLDLPGLLEFVSNAAFSHTVQQLLKLKSNGNKYPPALDPKDLKLKDMDLVTAYDKWTHLLQKMSQNKCHECIKLEEHIKLAKEIKKYKEEVNALQFELSNEALLQMPEFQGRIDILKEIGYIDEDLVVQIKGRVACEMNSGEELICTECLFENQLDDLEPEEAVALMSAFVFQQKNTSGPSLTSKLSQAKQRLYDTAIRLGDLQAEFKLPITPEEYAQENLKFGLVEVVYEWAKGTPFADICELTDVPEGLIVRTIVRLDETCREFKTAAAIMGNSSLYKKMESASNAIKRDIVFAASLYITGV >KJB17242 pep chromosome:Graimondii2_0_v6:3:37290936:37301964:1 gene:B456_003G1251001 transcript:KJB17242 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH11 [Source:Projected from Arabidopsis thaliana (AT3G46960) UniProtKB/Swiss-Prot;Acc:F4JAA5] MKIVTCLMYNPCLASSWCLQSELSVQFDDLFKKAWEEDVAELDKDEGHSTESDSVKSEAEANQVDVLNTLDTGSSALDEILSVEAEKVDNGRDEGGQQQKEAWAVSGGSEWIADQFYELVPDMAIEFPFELDTFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPRHINIILLSATVPNTIEFADWIGRTKQKKIRVTGTTKRPVPLEHCLFYSGELYKICEGETFIPLGLKAAKDAYKKKNSSATSGGTGSYSGSSTVQDGARGQKREPFNRGKQNKHSGPQNFGNYTGTGWGNQSNGGGQNSWGSRRTTWLMLIDKLSKKSLLPAVIFGFSKNQCDKSADSISGTDLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQVVRVQSFLCRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRPVLPGEYTQMAGRAGRRGLDKIGTVIVMCRDEIPGERDLEHVITGTPTKLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHSQKKLPEQQQLLLRKLAQPKKTIECIKGEPAIEEYYEMRAEAEAHSEQISKAVMQTSAAQKFLTPGRVVVVKSQSAQDHLLGVVLKSSSANNKQYIVLLLKPDVPSMTQSPSDRSNLQDKKGADFDQGYVLPPKSKRGLEEDYRISAGPRKGSGIINITLPHHGAAAGVTFEVREAENTEFLCICNSKIKLDLPGLLEFVSNAAFSHTVQQLLKLKSNGNKYPPALDPKDLKLKDMDLVTAYDKWTHLLQKMSQNKCHECIKLEEHIKLAKEIKKYKEEVNALQFELSNEALLQMPEFQGRIDILKEIGYIDEDLVVQIKGRVACEMNSGEELICTECLFENQLDDLEPEEAVALMSAFVFQQKNTSGPSLTSKLSQAKQRLSSSPFSLYACEVLHEVYFAEIILLNILIAILM >KJB19491 pep chromosome:Graimondii2_0_v6:3:32583611:32584294:-1 gene:B456_003G105700 transcript:KJB19491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVRYGYTAEVSITLLFFWRVLKDFILTLTIRKTKSLSNVSYGSVGMSSKREGKNSRGNICKVSIFFYCCLILFIVRL >KJB19490 pep chromosome:Graimondii2_0_v6:3:32583611:32584460:-1 gene:B456_003G105700 transcript:KJB19490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVRYGYTAEVSITLLFFWRVLKDFILTLTIRKTKSLSNVSYGSVGMSSKREGKNSRGNICKVSIFFYCCLILFIVRL >KJB19997 pep chromosome:Graimondii2_0_v6:3:38042986:38046741:1 gene:B456_003G128800 transcript:KJB19997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIIESGWLYLITHFSDFQLASLGSFFLHESVFFLSGLPFIYLERAGLLSKYKIQTKNNSLAAQEKCITRLLLYHIGVNLPLMIASYPFFRFMGMKSSLPFPSWKVVLSQIIFYFILEDFVFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIIGPAITGPHLITLWLWMTLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRKLKALKRDGVEEESKQT >KJB19998 pep chromosome:Graimondii2_0_v6:3:38044096:38046728:1 gene:B456_003G128800 transcript:KJB19998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLITHFSDFQLASLGSFFLHESVFFLSGLPFIYLERAGLLSKYKIQTKNNSLAAQEKCITRLLLYHIGVNLPLMIASYPFFRFMGMKSSLPFPSWKVVLSQIIFYFILEDFVFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIIGPAITGPHLITLWLWMTLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRKLKALKRDGVEEESKQT >KJB18905 pep chromosome:Graimondii2_0_v6:3:17759287:17762629:-1 gene:B456_003G074400 transcript:KJB18905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHLVTTIRNVVGITGNVISLFLFLSPVPTFIRIWKKGSVEQFSPAPYLATLINCMVWVVYGLPMVHPNSTLVVTINGTGTAIEIVYLTLFLIYCHDKKKRVKVMLIVLVEMIFIAGVTALVLIIAHTTQRRSMVVGIIAILFNIMMYAAPLSVMCGVYAIFPVTSILCQWCCLDCICFPPF >KJB18906 pep chromosome:Graimondii2_0_v6:3:17759287:17762853:-1 gene:B456_003G074400 transcript:KJB18906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVQTHWCLIQIYSLLKISYSFGTLSLSLPHFSSPFFPLLSFISLLLSAMVSHLVTTIRNVVGITGNVISLFLFLSPVPTFIRIWKKGSVEQFSPAPYLATLINCMVWVVYGLPMVHPNSTLVVTINGTGTAIEIVYLTLFLIYCHDKKKRVKVMLIVLVEMIFIAGVTALVLIIAHTTQRRSMVVGIIAILFNIMMYAAPLSVMKLVITTKSVEYMPFFLSLASFANGVAWTAYAFLPFDPFIAVPNGLGTLFSLAQLLLYATYYKSTKRIIAARQEAKMEMHLSEVVVNGDNNDPKKNSTAP >KJB20914 pep chromosome:Graimondii2_0_v6:3:44321019:44324060:-1 gene:B456_003G172100 transcript:KJB20914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTRRVSSCFSQFLGFANRSFNSYKLPGDPSSSPSLTYGIHIFHCPDEVGIVAKLSERIASRGGNILGADVFVPQNKNVFYSRSEFVFDPVRWPRKQMDEDFLKLSNMFSAIRSVARVPALDPKFKIAVLASKQDHCLVDLLYGWQEGRLPVDITCVISNHHRGPNNNVMHFLERNGIPYHYLHTTEGNKREREILELVLNTDFLVLARYMQVLSGQFLRTYGKDVINIHHGLLPSFKGGNPCKQAFDAGVKLIGATSHFVTEELDSGPIIEQMVERVSHRDNLQSFVQKSENLEKQCLAKALRWYCELRVLPYEENRTVVF >KJB20913 pep chromosome:Graimondii2_0_v6:3:44321973:44323999:-1 gene:B456_003G172100 transcript:KJB20913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTRRVSSCFSQFLGFANRSFNSYKLPGDPSSSPSLTYGIHIFHCPDEVGIVAKLSERIASRGGNILGADVFVPQNKNVFYSRSEFVFDPVRWPRKQMDEDFLKLSNMFSAIRSVARVPALDPKFKIAVLASKQDHCLVDLLYGWQEGRLPVDITCVISNHHRGPNNNVMHFLERNGIPYHYLHTTEGNKREREILELVLNTDFLVLARYMQVLSGQFLRTYGKDVINIHHGLLPSFKGGNPCKQVGYFFAYIL >KJB17657 pep chromosome:Graimondii2_0_v6:3:31175802:31178837:1 gene:B456_003G101800 transcript:KJB17657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFLLVKPNKAWSSLDPGHFHQIESLSQFLWWDFQLTMASKASSSLFQSLRRYIKKPWEITGPCADPEYKNAVPKATEYRVRCPATTLQKPIVPTSDPETVFDIKYYSRDQRRNRPPIRRTILKKDDVVKMMKEKTFDVNDFPKVYLTAKVEEDENAVGGGYQK >KJB17656 pep chromosome:Graimondii2_0_v6:3:31175802:31178837:1 gene:B456_003G101800 transcript:KJB17656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFLLVKPNKAWSSLDPGHFHQIESLSQFLWWDFQLTMASKASSSLFQSLRRYIKKPWEITGPCADPEYKNAVPKATEYRVRCPATTLQKPIVPTSDPETVFDIKYYSRDQRRNRPPIRRTILKKDDVVKMMKEKTFDVNDFPKVYLTAKVEEDENAVGGGYQK >KJB17658 pep chromosome:Graimondii2_0_v6:3:31175912:31178837:1 gene:B456_003G101800 transcript:KJB17658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFLLVKPNKAWSSLDPGHFHQIESLSQFLWWDFQLTMASKASSSLFQSLRRYIKKPWEITGPCADPEYKNAVPKATEYRVRCPATTLQKPIVPTSDPETVFDIKYYSRDQRRNRPPIRRTILKKDDVVKMMKEKTFDVNDFPKVYLTAKVEEDENAVGGGYQK >KJB17655 pep chromosome:Graimondii2_0_v6:3:31175912:31178837:1 gene:B456_003G101800 transcript:KJB17655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKASSSLFQSLRRYIKKPWEITGPCADPEYKNAVPKATEYRVRCPATTLQKPIVPTSDPETVFDIKYYSRDQRRNRPPIRRTILKKDDVVKMMKEKTFDVNDFPKVYLTAKVEEDENAVGGGYQK >KJB17654 pep chromosome:Graimondii2_0_v6:3:31175912:31178837:1 gene:B456_003G101800 transcript:KJB17654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKASSSLFQSLRRYIKKPWEITGPCADPEYKNAVPKATEYRVRCPATTLQKPIVPTSDPETVFDIKYYSRDQRRNRPPIRRTILKKDDVVKMMKEKTFDVNDFPKVYLTAKVEEDENAVGGGYQK >KJB19508 pep chromosome:Graimondii2_0_v6:3:32833526:32834140:-1 gene:B456_003G106800 transcript:KJB19508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSFQLVFMFCFSILLLLLMSTSMQTVSAATTNKASAKTYKKFIKSACNSTTYPKVCYKALSPCASAIKTDTNKLCNIALSFTLNATYNASSSIDSLSKMKGLSPSEKEIIHDCAETTGEAIDELEKSLKTLANLQGSGHKADEMNDLKTWVSAVLTDEYTCTDEFDGQKVSKAVKNTINKSVLYLAQLTSNCLALFNLLDY >KJB18121 pep chromosome:Graimondii2_0_v6:3:3526555:3530380:-1 gene:B456_003G034800 transcript:KJB18121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSTPSMEEEKEGSVSVQQSNPQSQHIAASSSTMDLPSNPSWFTPKRLLFIFCVVNMINYLDRGTIASNGVNGKKRTCDDKGICKSGSGIQGDFDLTNFQDGLLSSAFMVGLLVSSPVFASLAKSHNPFRLIGVGLSVFTLATAGCGAAPSFWFIAICRMLVGVGEASFISLAAPFIDDNAPISQKTAWLAVFYMCIPTGIAFGYVYGGLVGEHLNWRYAFWGEALLMLPFAVFGFVVKPLQLKGFSSIESEKALTPMETVSAVTDDLDASKVKGPILVGGDGISADELNKTSKSLDIRNILNRLSQFGKDMKVLLVDKVYVVNVLGYVAYTFVLGAYGYWGPKAGYNIYHMKSADMLFGGITVVCGIFGTIAGGFILDHMSATINHAFILLSGATFLGAISCFSAFCLRNLYGFLVLFAVGELLLFAAQAPVNFVCLHCVKPSLRPLAMAMSTVSIHIFGDVPSSPLFGVVQVQLFTSELV >KJB18119 pep chromosome:Graimondii2_0_v6:3:3525520:3530490:-1 gene:B456_003G034800 transcript:KJB18119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSTPSMEEEKEGSVSVQQSNPQSQHIAASSSTMDLPSNPSWFTPKRLLFIFCVVNMINYLDRGTIASNGVNGKKRTCDDKGICKSGSGIQGDFDLTNFQDGLLSSAFMVGLLVSSPVFASLAKSHNPFRLIGVGLSVFTLATAGCGAAPSFWFIAICRMLVGVGEASFISLAAPFIDDNAPISQKTAWLAVFYMCIPTGIAFGYVYGGLVGEHLNWRYAFWGEALLMLPFAVFGFVVKPLQLKGFSSIESEKALTPMETVSAVTDDLDASKVKGPILVGGDGISADELNKTSKSLDIRNILNRLSQFGKDMKVLLVDKVYVVNVLGYVAYTFVLGAYGYWGPKAGYNIYHMKSADMLFGGITVVCGIFGTIAGGFILDHMSATINHAFILLSGATFLGAISCFSAFCLRNLYGFLVLFAVGELLLFAAQDHINNWRTTTLMLTSIFVLAAGFWFIGIFLPSVDKCTDENETQVSPNPMVDMKTLPDGNGDKGPAEVQDSNFRPPC >KJB18120 pep chromosome:Graimondii2_0_v6:3:3526555:3530380:-1 gene:B456_003G034800 transcript:KJB18120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSTPSMEEEKEGSVSVQQSNPQSQHIAASSSTMDLPSNPSWFTPKRLLFIFCVVNMINYLDRGTIASNGVNGKKRTCDDKGICKSGSGIQGDFDLTNFQDGLLSSAFMVGLLVSSPVFASLAKSHNPFRLIGVGLSVFTLATAGCGAAPSFWFIAICRMLVGVGEASFISLAAPFIDDNAPISQKTAWLAVFYMCIPTGIAFGYVYGGLVGEHLNWRYAFWGEALLMLPFAVFGFVVKPLQLKGFSSIESEKALTPMETVSAVTDDLDASKVKGPILVGGDGISADELNKTSKSLDIRNILNRLSQFGKDMKVLLVDKVYVVNVLGYVAYTFVLGAYGYWGPKAGYNIYHMKSADMLFGGITVVCGIFGTIAGGFILDHMSATINHAFILLSGATFLGAISCFSAFCLRNLYGFLVLFAVGELLLFAAQAPVNFVCLHCVKPSLRPLAMAMSTVSIHIFGDVPSSPLFGVVQVQLFTSELV >KJB18118 pep chromosome:Graimondii2_0_v6:3:3525523:3530386:-1 gene:B456_003G034800 transcript:KJB18118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSTPSMEEEKEGSVSVQQSNPQSQHIAASSSTMDLPSNPSWFTPKRLLFIFCVVNMINYLDRGTIASNGVNGKKRTCDDKGICKSGSGIQGDFDLTNFQDGLLSSAFMVGLLVSSPVFASLAKSHNPFRLIGVGLSVFTLATAGCGAAPSFWFIAICRMLVGVGEASFISLAAPFIDDNAPISQKTAWLAVFYMCIPTGIAFGYVYGGLVGEHLNWRYAFWGEALLMLPFAVFGFVVKPLQLKGFSSIESEKALTPMETVSAVTDDLDASKVKGPILVGGDGISADELNKTSKSLDIRNILNRLSQFGKDMKVLLVDKVYVVNVLGYVAYTFVLGAYGYWGPKAGYNIYHMKSADMLFGGITVVCGIFGTIAGGFILDHMSATINHAFILLSGATFLGAISCFSAFCLRNLYGFLVLFAVGELLLFAAQAPVNFVCLHCVKPSLRPLAMAMSTVSIHIFGDVPSSPLFGVVQDHINNWRTTTLMLTSIFVLAAGFWFIGIFLPSVDKCTDENETQVSPNPMVDMKTLPDGNGDKGPAEVQDSNFRPPC >KJB18291 pep chromosome:Graimondii2_0_v6:3:5881100:5882971:-1 gene:B456_003G044900 transcript:KJB18291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFIPCQEGSAEIVFFDIETTVPNRTGQRFCVLEFGAIVVCPRNLVELESYSTLIKPKDLSVVALRSGRSDGITRDAVANAPGFEEVADKIFNLLNGRVWGGHNIQRFDCVRIKEAFADIGRPPPVPVGIIDSLGVLTEKFGKRAGNMKMASLATYFGLGQQKHRSLDDVRMNLEVLKHCATVLFLESSLPSILNRSWHSPSTITTRSRSSAGKLTCKEETSRKSPTYQRTVPYTRESLGKMTERVKNLLCKARGSQPINNLLKHSHSVLR >KJB19678 pep chromosome:Graimondii2_0_v6:3:36324291:36327417:-1 gene:B456_003G121200 transcript:KJB19678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRTTHTYSSEDAAPDGPDSDLFVYYCKHCGSHVLITDTQLQKMPKRKTDKAYVLDKKKHLARLNISEAGKVLLKRGEGKLEKQFRMNCIGCGLFVCYRAEEDLETASFIYAVDGALSTVAAETNPQDAPVPPCISQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLVVEDLSARQVYEKLLEAVQP >KJB19679 pep chromosome:Graimondii2_0_v6:3:36324014:36326824:-1 gene:B456_003G121200 transcript:KJB19679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKTDKAYVLDKKKHLARLNISEAGKVLLKRGEGKLEKQFRMNCIGCGLFVCYRAEEDLETASFIYAVDGALSTVAAETNPQDAPVPPCISQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLVVEDLSARQVYEKLLEAVQP >KJB19677 pep chromosome:Graimondii2_0_v6:3:36323961:36327571:-1 gene:B456_003G121200 transcript:KJB19677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTLIFSSTIASTAVPTSLLPKMPKRKTDKAYVLDKKKHLARLNISEAGKVLLKRGEGKLEKQFRMNCIGCGLFVCYRAEEDLETASFIYAVDGALSTVAAETNPQDAPVPPCISQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLVVEDLSARQVYEKLLEAVQP >KJB19308 pep chromosome:Graimondii2_0_v6:3:29584199:29586483:-1 gene:B456_003G094400 transcript:KJB19308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTENCSAATTDEQEIHYRGIKAMPYVIGNETFEKLGTTGTSNNLLVYLTTIFNMKSISATNLVNVFNGTCNLATLIGAFLSDTYFGRYTTLGFASISSFLGMVLLTLTAAVSKLHPPACNKSSENGTCDGPTPWQMTFLLSGLGLMVMGAGGIRPCNLAFGADQFNPETKSGKRGITSFFNWYYFTYTFAMMVSLTIIVYVQSDVSWAWGLAIPAFMMFLSCLMFFIGTKMYVKVKPQGSPITSVIQVIIAAVRKRKLKQPDEPWISLFNHIPKASINSKLAYSDQFRFLNKAAILTPEDKINSDGSAMNPWKLCSLQKVEEIKCLIRVVPIWASGIIYSVAMVQQQTYVVFQAIQSDRYLGHTGFNIPAASFGIFTMIGVTIWIPIYDRLIVPWLQKYTKKEGGITLLQKMSIGMVLAIITMFISAIVEDRRRALALSNPIGIDSKKRSISSLSTMWLIPQLTLIGLSEAFTLIGLIEFYYKQFPENMRSIAGSFTFIGLALSSYLSSFLISVVHKISERSQTGDWLPEDLNKGKLDYFYYLVAGLEVMNLGYFIMCANWYKYKEGGSTGNGVEGDNDMVKLQSDGV >KJB20499 pep chromosome:Graimondii2_0_v6:3:42013534:42016015:-1 gene:B456_003G152100 transcript:KJB20499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRLILIFLSATLAGFFLLRNIKSHPQTNDDDRTRSNNNNSHSAFSKVWAAIESGFWASLDMASGRYLWRHLVSFNSKPSS >KJB20500 pep chromosome:Graimondii2_0_v6:3:42013540:42016009:-1 gene:B456_003G152100 transcript:KJB20500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRLILIFLSATLAGFFLLRNIKSHPQTNDDDRTRSNNNNSHSAFSKVWAAIESGFWASLDMASGRYLWRHLVSFNSKPSS >KJB20501 pep chromosome:Graimondii2_0_v6:3:42013540:42016100:-1 gene:B456_003G152100 transcript:KJB20501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRLILIFLSATLAGFFLLRNIKSHPQTNDDDRTRSNNNNSHSAFSKVWAAIESGFWASLDMASGRYLWRHLVSFNSKPSS >KJB17552 pep chromosome:Graimondii2_0_v6:3:299797:301142:-1 gene:B456_003G005400 transcript:KJB17552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPRKRNSHSPSPWREQSRSRSRSRPRSRSRSRSRSWSRPRHRSRSHSRGRSRSRSRGRVEAGNPGNTLYVTGLSQRVTERDLEEHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSVEDASRCIKYLNQSILEGRYITVERNSIVSAMLAAIKDDEIIHLNLSQTSLINGHS >KJB17554 pep chromosome:Graimondii2_0_v6:3:298425:301267:-1 gene:B456_003G005400 transcript:KJB17554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPRKRNSHSPSPWREQSRSRSRSRPRSRSRSRSRSWSRPRHRSRSHSRGRSRSRSRGRVEAGNPGNTLYVTGLSQRVTERDLEEHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSVEDASRCIKYLNQSILEGRYITVERYCVSYVGSNQR >KJB17553 pep chromosome:Graimondii2_0_v6:3:298398:301310:-1 gene:B456_003G005400 transcript:KJB17553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPRKRNSHSPSPWREQSRSRSRSRPRSRSRSRSRSWSRPRHRSRSHSRGRSRSRSRGRVEAGNPGNTLYVTGLSQRVTERDLEEHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSVEDASRCIKYLNQSILEGRYITVERSRRKRPRTPTPGHYLGLKNTRDYGRGERGRYRGGGRDDYGYHRSPRRSPYRGRDYSPRYSPHGGRSRRERSYSPPYSRGSR >KJB17557 pep chromosome:Graimondii2_0_v6:3:298398:301294:-1 gene:B456_003G005400 transcript:KJB17557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPRKRNSHSPSPWREQSRSRSRSWSRPRHRSRSHSRGRSRSRSRGRVEAGNPGNTLYVTGLSQRVTERDLEEHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSVEDASRCIKYLNQSILEGRYITVERSRRKRPRTPTPGHYLGLKNTRDYGRGERGRYRGGGRDDYGYHRSPRRSPYRGRDYSPRYSPHGGRSRRERSYSPPYSRGSR >KJB17555 pep chromosome:Graimondii2_0_v6:3:299919:301142:-1 gene:B456_003G005400 transcript:KJB17555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPRKRNSHSPSPWREQSRSRSRSRPRSRSRSRSRSWSRPRHRSRSHSRGRSRSRSRGRVEAGNPGNTLYVTGLSQRVTERDLEEHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSVEDASRCIKYLNQSILEGRYITVERVIRFYPCCLDFIVLCVYHP >KJB17556 pep chromosome:Graimondii2_0_v6:3:299797:301142:-1 gene:B456_003G005400 transcript:KJB17556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPRKRNSHSPSPWREQSRSRSRSRPRSRSRSRSRSWSRPRHRSRSHSRGRSRSRSRGRVEAGNPGNTLYVTGLSQRVTERDLEEHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSVEDASRCIKYLNQSILEGRYITVERNSIVSAMLAAIKDDEIIHLNLSQTSLINGHS >KJB19389 pep chromosome:Graimondii2_0_v6:3:30785126:30787647:1 gene:B456_003G099800 transcript:KJB19389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACNVVVLVDDECKCHAENQQLCNSIQVYHPQEQIFNITRPKELDLIYPRQAIIICKGKSIIEPLILGVNLHFASFTQKMDKLIQVYYIKENFIHFY >KJB17328 pep chromosome:Graimondii2_0_v6:3:4890937:4892380:-1 gene:B456_003G041300 transcript:KJB17328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB17325 pep chromosome:Graimondii2_0_v6:3:4890689:4892592:-1 gene:B456_003G041300 transcript:KJB17325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB17322 pep chromosome:Graimondii2_0_v6:3:4885908:4892291:-1 gene:B456_003G041300 transcript:KJB17322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB17320 pep chromosome:Graimondii2_0_v6:3:4885908:4887429:-1 gene:B456_003G041300 transcript:KJB17320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFCLSSEIIHIFAEMARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB17321 pep chromosome:Graimondii2_0_v6:3:4885908:4892380:-1 gene:B456_003G041300 transcript:KJB17321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB17324 pep chromosome:Graimondii2_0_v6:3:4885908:4892592:-1 gene:B456_003G041300 transcript:KJB17324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB17323 pep chromosome:Graimondii2_0_v6:3:4885908:4887560:-1 gene:B456_003G041300 transcript:KJB17323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB17326 pep chromosome:Graimondii2_0_v6:3:4890937:4892380:-1 gene:B456_003G041300 transcript:KJB17326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB17327 pep chromosome:Graimondii2_0_v6:3:4891436:4891934:-1 gene:B456_003G041300 transcript:KJB17327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKVRSISIIVSIPWQLMVLTSG >KJB18639 pep chromosome:Graimondii2_0_v6:3:12470961:12473006:-1 gene:B456_003G064200 transcript:KJB18639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLEHDYIGLSETSSVERSSDKIPSSSSSSSSSTHPNEDKTTRTSLNLKGTELRLGLPGSQSPERKVSLFCKDLESNERSNGFAVSPLKNLMSGAKRGFSDAIDGPNGKWVFPMNGKCDVELAKGAILASPRAVLEGKNKLPSGREVGGLPQSPKPVQDKNILVPSANEHSNAPASKAQVVGWPPIRSFRKNTMASNSAKNSEDAAGCLYVKVSMDGAPYLRKVDLKTYKTYKEISSALEKMFTCFTLGHCSSNGLAVRDGLSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLRIVKGSEAIGLGIWFRSSLFLDQLFRCILMVDIDDFMNS >KJB18635 pep chromosome:Graimondii2_0_v6:3:12470517:12473094:-1 gene:B456_003G064200 transcript:KJB18635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLEHDYIGLSETSSVERSSDKIPSSSSSSSSSTHPNEDKTTRTSLNLKGTELRLGLPGSQSPERKVSLFCKDLESNERSNGFAVSPLKNLMSGAKRGFSDAIDGPNGKWVFPMNGKCDVELAKGAILASPRAVLEGKNKLPSGREVGGLPQSPKPVQDKNILVPSANEHSNAPASKAQVVGWPPIRSFRKNTMASNSAKNSEDAAGCLYVKVSMDGAPYLRKVDLKTYKTYKEISSALEKMFTCFTLGHCSSNGLAVRDGLSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLRIVKGSEAIGLAPRAMEKCKNQS >KJB18638 pep chromosome:Graimondii2_0_v6:3:12470618:12473006:-1 gene:B456_003G064200 transcript:KJB18638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAKRGFSDAIDGPNGKWVFPMNGKCDVELAKGAILASPRAVLEGKNKLPSGREVGGLPQSPKPVQDKNILVPSANEHSNAPASKAQVVGWPPIRSFRKNTMASNSAKNSEDAAGCLYVKVSMDGAPYLRKVDLKTYKTYKEISSALEKMFTCFTLGHCSSNGLAVRDGLSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLRIVKGSEAIGLAPRAMEKCKNQS >KJB18640 pep chromosome:Graimondii2_0_v6:3:12471236:12473006:-1 gene:B456_003G064200 transcript:KJB18640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLEHDYIGLSETSSVERSSDKIPSSSSSSSSSTHPNEDKTTRTSLNLKGTELRLGLPGSQSPERKVSLFCKDLESNERSNGFAVSPLKNLMSGAKRGFSDAIDGPNGKWVFPMNGKCDVELAKGAILASPRAVLEGKNKLPSGREVGGLPQSPKPVQDKNILVPSANEHSNAPASKAQVVGWPPIRSFRKNTMASNSAKNSEDAAGCLYVKVSMDGAPYLRKVDLKTYKTYKEISSALEKMFTCFTLGIQSLACTYIYFNTRYVRIGNF >KJB18636 pep chromosome:Graimondii2_0_v6:3:12471608:12472863:-1 gene:B456_003G064200 transcript:KJB18636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLEHDYIGLSETSSVERSSDKIPSSSSSSSSSTHPNEDKTTRTSLNLKGTELRLGLPGSQSPERKVSLFCKDLESNERSNGFAVSPLKNLMSGAKRGFSDAIDGPNGKWVFPMNGKCDVELAKGAILASPRAVLEGKNKLPSGREVGGLPQSPKPVQDKNILVPSANEHSNAPASKAQVVGWPPIRSFRKNTMASNSAKNSEDAAGCLYVKVSMDGAPYLRKVDLKTYKTYKEISSALEKMFTCFTLGIQSLACTYIYFNTRYVRIGNF >KJB18637 pep chromosome:Graimondii2_0_v6:3:12470618:12473006:-1 gene:B456_003G064200 transcript:KJB18637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLEHDYIGLSETSSVERSSDKIPSSSSSSSSSTHPNEDKTTRTSLNLKGTELRLGLPGSQSPERKVSLFCKDLESNERSNGFAVSPLKNLMSGAKRGFSDAIDGPNGKWVFPMNGKCDVELAKGAILASPRAVLEGKNKLPSGREVGGLPQSPKPVQDKNILVPSANEHSNAPASKYVYHQTCLLFLMKLAQVVGWPPIRSFRKNTMASNSAKNSEDAAGCLYVKVSMDGAPYLRKVDLKTYKTYKEISSALEKMFTCFTLGHCSSNGLAVRDGLSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLRIVKGSEAIGLAPRAMEKCKNQS >KJB20686 pep chromosome:Graimondii2_0_v6:3:42869477:42872485:-1 gene:B456_003G159400 transcript:KJB20686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIVHVQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGDSELQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKESENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRTMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLVPFPRLHFFMVGFSPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAVFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPSGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEDEEYEEYEEYEEEA >KJB20687 pep chromosome:Graimondii2_0_v6:3:42869604:42872409:-1 gene:B456_003G159400 transcript:KJB20687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIVHVQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGDSELQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKESENCDCLQEYPDRTMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLVPFPRLHFFMVGFSPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAVFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPSGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEDEEYEEYEEYEEEA >KJB18980 pep chromosome:Graimondii2_0_v6:3:19291969:19294382:1 gene:B456_003G078600 transcript:KJB18980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVDVALSPVRREAVHSSGYCYNQAGDSILIYLSVSGSVIPMRVLKSDSIASVKLRIQTCKGFVVKKQRLVFKGRELARNDSLVKDYGLKGGNFLYLVLKLSNLLLITVRTSCGKEFELHVDRFRNVGYLKQRIVKQGKGFVDVDEQEIFCNGEKLDDQKLIDDLCKDNDAVIHLMVQKSAKVRAKPVEPVRDFWFEPVIVNPKVKLPCFMLDMIHSTFDGLDIGNQPIRSPEGTGGAYFMQDKLGVDYVSIFKPIDEEPMAVNNPHGVHGSSNREGLKKGTRVGGGAVREVAAYILDHPKSGPRSLSGEMSGFAGVPPTCMVQCLHKGFNHPNGYKCAPENVKVGSLQMYMKNAGSGEDVGPGGFPVEEVHKISVLDIRMANADRHAGNILIGKGENDQTVLIPIDHGYCLPENFQDCTFDWLYWPQSRQPYSKETIDYIKSLEAEQDVALLRFYGWDVPVECARTLCISTMLLKKAVDRGLTTPFAIGSIMCREIVNKESVIEQIVDEAQDLLLPGMSEAAFMETVSQVMDSWLDKLTN >KJB18981 pep chromosome:Graimondii2_0_v6:3:19291989:19294399:1 gene:B456_003G078600 transcript:KJB18981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVDVALSPVRREAVHSSGYCYNQAGDSILIYLSVSGSVIPMRVLKSDSIASVKLRIQTCKGFVVKKQRLVFKGRELARNDSLVKDYGLKGNQPIRSPEGTGGAYFMQDKLGVDYVSIFKPIDEEPMAVNNPHGVHGSSNREGLKKGTRVGGGAVREVAAYILDHPKSGPRSLSGEMSGFAGVPPTCMVQCLHKGFNHPNGYKCAPENVKVGSLQMYMKNAGSGEDVGPGGFPVEEVHKISVLDIRMANADRHAGNILIGKGENDQTVLIPIDHGYCLPENFQDCTFDWLYWPQSRQPYSKETIDYIKSLEAEQDVALLRFYGWDVPVECARTLCISTMLLKKAVDRGLTTPFAIGSIMCREIVNKESVIEQIVDEAQDLLLPGMSEAAFMETVSQVMDSWLDKLTN >KJB18201 pep chromosome:Graimondii2_0_v6:3:4173798:4177640:1 gene:B456_003G038800 transcript:KJB18201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKASPDCPYPGCFFCVMKEGNPSKRRASILKFFRDLPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYTSTFPSVASHGEILEVAIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICHHKIGRGSVASCPGIIEALVNIACSSDDWQYMAIDCLLWLLQDPSTCHKVIDKAVPALVDLAEITTLGDHKKLGDTIVNVLQECLQSQGTGRSSVSNRTKELIEELLSSRQRLKWEKNIPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAASKYSEALSLCPMRSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHNPLNCHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKLGGVHCEGDAGDMYGQDTDDSEWETASESDIGNDGRDEMGDEDDDDSEWKNEDERKAKFKKPSMKGKKLTS >KJB18200 pep chromosome:Graimondii2_0_v6:3:4173748:4177689:1 gene:B456_003G038800 transcript:KJB18200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKASPDCPYPGCFFCVMKEGNPSKRRASILKFFRDLPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYTSTFPSVASHGEILEVAIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICHHKIGRGSVASCPGIIEALVNIACSSDDWQYMAIDCLLWLLQDPSTCHKVIDKAVPALVDLAEITTLGDHKKLGDTIVNVLQECLQSQGTGRSSVSNRTKELIEELLSSRQRLKWEKNIPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAASKYSEALSLCPMRSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHNPLNCHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKLGGVHCEGDAGDMYGQDTDDSEWETASESDIGNDGRDEMGDEDDDDSEWKNEDERKAKFKKPSMKDIKHGFNVQLTEDEP >KJB20989 pep chromosome:Graimondii2_0_v6:3:44790003:44791401:1 gene:B456_003G176600 transcript:KJB20989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSSCSNRVSSELFLDSPDSQSLSSNQHGSETVFLDKQLLPMYNPLSRAAEKERSHLRSAENAVHIIPFVLVFCGFILWLFSSIESRV >KJB20654 pep chromosome:Graimondii2_0_v6:3:42756174:42757992:-1 gene:B456_003G158000 transcript:KJB20654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFFGSKGPSGFSSSSTAEEVTQGIDGSALTAIVTGASSGIGVETTRVLALRGVLVVMAVRNVDAGRNVKEAILKEIPGAKIDVMELDLSSMASIRKFASQYQSSNLPLNLLINNAGVVTPFMLSHDGIELQFATNYLGPFLLTDLLLETMKKTARESNIEGRIVNVSSIGHRFTYSEGIRFDKFNDESGYSSWYAYGQSKLATILHAKELSRRLKVRNTVKTPLTCQVRDLRNLLFCCT >KJB20653 pep chromosome:Graimondii2_0_v6:3:42756082:42758196:-1 gene:B456_003G158000 transcript:KJB20653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFFGSKGPSGFSSSSTAEEVTQGIDGSALTAIVTGASSGIGVETTRVLALRGVLVVMAVRNVDAGRNVKEAILKEIPGAKIDVMELDLSSMASIRKFASQYQSSNLPLNLLINNAGVVTPFMLSHDGIELQFATNYLGPFLLTDLLLETMKKTARESNIEGRIVNVSSIGHRFTYSEGIRFDKFNDESGYSSWYAYGQSKLATILHAKELSRRLKEDGVEITANSLHPGGIPTNIVRHDRFMNGFLHLIGKYFLKSIPQGAATTCYVALHPQVKGVSGEFFMDSNISNPSSMANDVDLAKKLWDFSFTLINPK >KJB20187 pep chromosome:Graimondii2_0_v6:3:39640173:39641926:1 gene:B456_003G137100 transcript:KJB20187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDLSPKLAKKVYGDDGGSYYAWCPNELPMLRQGNIGAAKLALEKNGFALPRYSDSSKVAYVLQGAGVAGIILPESEEKVIAIKKGDAIALPFGVITWWYNKEDTELVVLFMGDTSKGHKPGQFTDFFLTGANGIFTGFTTDFVKRAWDVDDDTATALIGNQKGKGIVKLDANFKMPEPKPDHRKGMALNCEEAPLDTDIKNAGNVVLLNTKNLPLVGQVGMGADLVRLEGNAMCSPGFSCDSALQVTYIVNGSGRLQVVGVDGKRVLETIVKAGNLLIVPRFFVVSKIADPDGLSWFSIITTPNPMFTHLAGSIGAWKAISPEVLQAAFKVPAETEKLFRSKRTNDAIFFPPPK >KJB21096 pep chromosome:Graimondii2_0_v6:3:45421714:45422763:-1 gene:B456_003G182900 transcript:KJB21096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATTQVLIFTYGTLKQGHGNHHLIQDLILQQDAIFLGFHVTHQPHPLVIGLHGIPYLINLLGHGHQVKGELYSVSTRGLVRLDELEGISIGHYERLPIELYEEGKEGVLVAAEAYFAHRSFGERLWERKGKVGLTEFGEEEGKGYVRIEDRPHGCDVLHDITSFLSNNSEEL >KJB21052 pep chromosome:Graimondii2_0_v6:3:45214656:45217523:1 gene:B456_003G180500 transcript:KJB21052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEEIVEEETSGKHENMVEITVKTIGPARPSSLHVPSSIKVLDLRKLIARKNHLPVENLKLILQGKVLHDRADEDDIYVRFNDGDSLIVAVKPKAPVGLDIDDDDEDLKFQLPQSTSQWKKKLYSFLRNRMKLPDIVLMAIFSLGLKAWALIILWFTLAPIAHKLDLGPLYCIFHLQ >KJB21054 pep chromosome:Graimondii2_0_v6:3:45214801:45217212:1 gene:B456_003G180500 transcript:KJB21054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEEIVEEETSGKHENMVEITVKTIGPARPSSLHVPSSIKVLDLRKLIARKNHLPVENLKLILQGKVLHDRADEDDIYVRFNDGDSLIVAVKPKAPVGLDIDDDDEDLKFQLPQSTSQWKKKLYSFLRNRMKLPDTRYRLLFNFPKSWTATTW >KJB21051 pep chromosome:Graimondii2_0_v6:3:45214656:45217559:1 gene:B456_003G180500 transcript:KJB21051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEEIVEEETSGKHENMVEITVKTIGPARPSSLHVPSSIKVLDLRKLIARKNHLPVENLKLILQGKVLHDRADEDDIYVRFNDGDSLIVAVKPKAPVGLDIDDDDEDLKFQLPQSTSQWKKKLYSFLRNRMKLPVHIPSSMKILGSFLVPSMQMQ >KJB21049 pep chromosome:Graimondii2_0_v6:3:45214619:45217641:1 gene:B456_003G180500 transcript:KJB21049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEEIVEEETSGKHENMVEITVKTIGPARPSSLHVPSSIKVLDLRKLIARKNHLPVENLKLILQGKVLHDRADEDDIYVRFNDGDSLIVAVKPKAPVGLDIDDDDEDLKFQLPQSTSQWKKKLYSFLRNRMKLPDIVLMAIFSLGLKAWALIILWFTLAPIAHKLDLGPLYILGTGFCLIFLNLGRRQPGDVSAYSIFNEDFRELPGTLNADAIDRDIRTGQF >KJB21053 pep chromosome:Graimondii2_0_v6:3:45214844:45217406:1 gene:B456_003G180500 transcript:KJB21053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIWWKLLLKPLVLLVLLAFMSLLPSKYVLDLRKLIARKNHLPVENLKLILQGKVLHDRADEDDIYVRFNDGDSLIVAVKPKAPVGLDIDDDDEDLKFQLPQSTSQWKKKLYSFLRNRMKLPDIVLMAIFSLGLKAWALIILWFTLAPIAHKLDLGPLYILGTGFCLIFLNLGRRQPGDVSAYSIFNEDFRELPGTLNADAIDRDIRTGQF >KJB21050 pep chromosome:Graimondii2_0_v6:3:45214656:45216056:1 gene:B456_003G180500 transcript:KJB21050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEEIVEEETSGKHENMVEITVKTIGPARPSSLHVPSSIKVLDLRKLIARKNHLPVENLKLILQGKVLHDRADEDDIYVRFNDGGINFFISFLLQLFIFVINVHGSIYFCLMV >KJB18560 pep chromosome:Graimondii2_0_v6:3:10550819:10556591:-1 gene:B456_003G060200 transcript:KJB18560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEALEAILMDEFKEIHSGESGLNTSNRCFQITLSPQDDDTDQSTTLPVLLALIFSHTEKYPDEPPLLNVKSIRGIQVSDLKVLKEKLEQEASENLGMAMIYTLVTSAKDWLSERYGQDADADNVEEEESTKDEVIIPHGEPVTVDTFLAWRERFEAELALERAKLMPESALTAPKEKKLSGRQWFESGRATAKGAARVNEESDEEDEEDIDFDDDDFEDDEEDMLEHYLAEKSDLSTHS >KJB18561 pep chromosome:Graimondii2_0_v6:3:10550819:10556927:-1 gene:B456_003G060200 transcript:KJB18561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHLQEQEMEIEALEAILMDEFKEIHSGESGLNTSNRCFQITLSPQDDDTDQSTTLPDEPPLLNVKSIRGIQVSDLKVLKEKLEQEASENLGMAMIYTLVTSAKDWLSERYGQDADADNVEEEESTKDEVIIPHGEPVTVDTFLAWRERFEAELALERAKLMPESALTAPKEKKLSGRQWFESGRATAKGAARVNEESDEEDEEDIDFDDDDFEDDEEDMLEHYLAEKSDLSTHS >KJB18562 pep chromosome:Graimondii2_0_v6:3:10550819:10557053:-1 gene:B456_003G060200 transcript:KJB18562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHLQEQEMEIEALEAILMDEFKEIHSGESGLNTSNRCFQITLSPQDDDTDQSTTLPVLLALIFSHTEKYPDEPPLLNVKSIRGIQVSDLKVLKEKLEQEASENLGMAMIYTLVTSAKDWLSERYGQDADADNVEEEESTKDEVIIPHGEPVTVDTFLAWRERFEAELALERAKLMPESALTAPKEKKLSGRQWFESGRATAKGAARVNEESDEEDEEDIDFDDDDFEDDEEDMLEHYLAEKSDLSTHS >KJB21035 pep chromosome:Graimondii2_0_v6:3:45155806:45156618:1 gene:B456_003G179700 transcript:KJB21035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSHLFSLVFFYLLLVSVSSDVIHDSCDKAAKGDPNIKFDFCVSSFEGNPKAKTATGVADLVKVAIETAMANATSIGSIISKLLDNKTLDTYARHCLEDCSELYSGAGSSIQSGGKAFEGKDYGTANAEISSAMDAPDTCEEQFKEKKGYVSPLTKENNNFFQLLAIILSFMNLVPK >KJB20094 pep chromosome:Graimondii2_0_v6:3:38841033:38842706:1 gene:B456_003G132600 transcript:KJB20094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKLKPVPFMGVSTTMELSFLVRPPLEFNEDCGTATNVKQEEEEENSKLVMMGTSESEVVAAANDDQDENDNDGFKTPTSLDHKIPTATILKCPPAPRKPKSLPIISSPAKRKPLRRRILLDLTKEIESLFPPALIADLGNKIKKVRQGSDFK >KJB20095 pep chromosome:Graimondii2_0_v6:3:38841002:38842706:1 gene:B456_003G132600 transcript:KJB20095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKLKPVPFMGVSTTMELSFLVRPPLEFNEDCGTATNVKQEEEEENSKLVMMGTSESEVVAAANDDQDENDNDGFKTPTSLDHKIPTATILKCPPAPRKPKSLPIISSPAKRKPLRRRILLDLTKEIESLFPPALIADLGNKIKKVRQGSDFK >KJB20096 pep chromosome:Graimondii2_0_v6:3:38841002:38843067:1 gene:B456_003G132600 transcript:KJB20096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKLKPVPFMGVSTTMELSFLVRPPLEFNEDCGTATNVKQEEEEENSKLVMMGTSESEVVAAANDDQDENDNDGFKTPTSLDHKIPTATILKCPPAPRKPKSLPIISSPAKRKPLRRRILLDLTKEIESLFPPALIADLGNKIKKVRQGSDFK >KJB17329 pep chromosome:Graimondii2_0_v6:3:4905133:4905963:-1 gene:B456_003G041400 transcript:KJB17329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKSSYKCNKHPDHQENQGVCPSCLRERLSRLCSSSSSYKDSSLRIAPSSSFSPVENRWGSSSFTVNVDTNGFKKSRSVAFIARNDEEVVNNGGKKKKGFWSKLLRFKGKNDKDFLTHSSSMRFVIGRVN >KJB17976 pep chromosome:Graimondii2_0_v6:3:2459120:2462891:-1 gene:B456_003G027200 transcript:KJB17976 gene_biotype:protein_coding transcript_biotype:protein_coding description:EB1C [Source:Projected from Arabidopsis thaliana (AT5G67270) UniProtKB/TrEMBL;Acc:A0A178UNG3] MATNIGMMDGAYFVGRSEILAWINTTLHLNLSKVEEACSGAVHCQLMDSVHPGMVPMHKVNFDAKSEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVNGGGLHSYNPVERREASKGGKEASKKSAPQPSSTKGSTAAPRPTSSHARRNSNDVPSSVNPSNQSAKAPSKPSTSVAAYDEQITELKLSVDSLEKERDFYFAKLRDIEILCQTPEIEDSPIVAAIKRILYATDGDASVVTEAQAMLSLDPKEAEALSPIAEASEEKSGSETQKRKNILNTDVDAAGIITLSPRQRLTDASDVHCSGSPLMTY >KJB17977 pep chromosome:Graimondii2_0_v6:3:2460570:2462756:-1 gene:B456_003G027200 transcript:KJB17977 gene_biotype:protein_coding transcript_biotype:protein_coding description:EB1C [Source:Projected from Arabidopsis thaliana (AT5G67270) UniProtKB/TrEMBL;Acc:A0A178UNG3] MATNIGMMDGAYFVGRSEILAWINTTLHLNLSKACSGAVHCQLMDSVHPGMVPMHKVNFDAKSEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVNGGGLHSYNPVERREASKGGKEASKKSAPQPSSTKGSTAAPRPTSSHARRNSNDVPSSVNPSNQSAKAPSKPSTSVAAYDEQITELKLSVDSLEKERDFYFAKLRDIEILCQTPEIEDSPIVAAIKRILYATDGDASVVTEAQAMLSLDPKEAEALSPIAEASEEKSGSETQKRKNILNTDVDAAGIITLSPRQRLTDASDVHCSGSPLMTY >KJB18530 pep chromosome:Graimondii2_0_v6:3:9961193:9967105:1 gene:B456_003G058100 transcript:KJB18530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTHGETAATSTPDARALQEGIAEFYDQSSGLWEDIWGDHMHHGFYDPDSNVSGSDHPAAQIRMIEESLRFAGITDDPAKQPKTIVDVGCGIGGSSRYLARKFGAECQGITLSPVQAGRANVLAKDEGLADKVSFQVADALKQPFPDGQFDLVWSMESGEHMPDKAKFVNELARVAAPGGTIIIVTWCHRDLGPSEEDLEPWEKKLLNRICNAYYLPEWCSTSDYVKLLQSLSLQAADWSERVAPFWPAVIRSAFTWKGFMSLLRSGLKAIKGALVMPLMIQGYQKGVIKFAIITCRKPE >KJB18529 pep chromosome:Graimondii2_0_v6:3:9961095:9967115:1 gene:B456_003G058100 transcript:KJB18529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTHGETAATSTPDARALQEGIAEFYDQSSGLWEDIWGDHMHHGFYDPDSNVSGSDHPAAQIRMIEESLRFAGITDDPAKQPKTIVDVGCGIGGSSRYLARKFGAECQGITLSPVQAGRANVLAKDEGLADKVSFQVADALKQPFPDGQFDLVWSMESGEHMPDKAKFVNELARVAAPGGTIIIVTWCHRDLGPSEEDLEPWEKKLLNRICNAYYLPEWCSTSDYVKLLQSLSLQDIKAADWSERVAPFWPAVIRSAFTWKGFMSLLRSGLKAIKGALVMPLMIQGYQKGVIKFAIITCRKPE >KJB18531 pep chromosome:Graimondii2_0_v6:3:9961193:9967105:1 gene:B456_003G058100 transcript:KJB18531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTHGETAATSTPDARALQEGIAEFYDQSSGLWEDIWGDHMHHGFYDPDSNVSGSDHPAAQIRMIEESLRFAGITDDPAKQPKTIVDVGCGIGGSSRYLARKFGAECQGITLSPVQAGRANVLAKDEGLADKVYVSFQVADALKQPFPDGQFDLVWSMESGEHMPDKAKFVNELARVAAPGGTIIIVTWCHRDLGPSEEDLEPWEKKLLNRICNAYYLPEWCSTSDYVKLLQSLSLQDIKAADWSERVAPFWPAVIRSAFTWKGFMSLLRSGLKAIKGALVMPLMIQGYQKGVIKFAIITCRKPE >KJB18528 pep chromosome:Graimondii2_0_v6:3:9961095:9967115:1 gene:B456_003G058100 transcript:KJB18528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTHGETAATSTPDARALQEGIAEFYDQSSGLWEDIWGDHMHHGFYDPDSNVSGSDHPAAQIRMIEESLRFAGITDDPAKQPKTIVDVGCGIGGSSRYLARKFGAECQGITLSPVQAGRANVLAKDEGLADKVSFQVADALKQPFPDGQFDLVWSMESGEHMPDKAKFVNELARVAAPGGTIIIVTWCHRDLGPSEEDLEPWEKKLLNRICNAYYLPEWCSTSDYVKLLQSLSLQDIKAADWSERVAPFWPAVIRSAFTWKGFMSLLRSGLKAIKGALVMPLMIQGYQKGVIKFAIITCRKPE >KJB19790 pep chromosome:Graimondii2_0_v6:3:35840745:35843758:-1 gene:B456_003G119200 transcript:KJB19790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEEDLVDIKFRIYDGSDIGPFRYSATSTVDMLKQRIVSDWPKGKTIVPKSVNEVKLISSGKILENNKTVGLCKVPFGEVPGGAIIMHVVVQPSLAKAKTEKKIDDSPRKTVCSCSIL >KJB19792 pep chromosome:Graimondii2_0_v6:3:35840713:35844167:-1 gene:B456_003G119200 transcript:KJB19792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEEDLVDIKFRIYDGSDIGPFRYSATSTVDMLKQRIVSDWPKGKTIVPKSVNEVKLISSGKILENNKTVGLCKVPFGEVPGGAIIMHVVVQPSLAKAKTEKKIDDSPRKTVCSCSIL >KJB19791 pep chromosome:Graimondii2_0_v6:3:35841112:35843533:-1 gene:B456_003G119200 transcript:KJB19791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEEDLVDIKFRIYDGSDIGPFRYSATSTVDMLKQRIVSDWPKGKTIVPKSVNEVKLISSGKILENNKTVGLCKVPFGEVPGGAIIMHVVVQPSLAKAKTEKKIDDSPRKTVCSCSIL >KJB18574 pep chromosome:Graimondii2_0_v6:3:10926457:10927092:1 gene:B456_003G061300 transcript:KJB18574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKMIRKKCFEYSPGYDDDELMNMENDLVDDASSSSTSILSTNCKGPLYELSQLMAQLPIKRGLSKYFEGKSQSFTCLTSVKNIQDFAKKETRYKKKMKACKRYGGGLMGDTHKLYTLPKPSISKKASRNSMSAALCFAAQTSCSRPPLSHLQRNNFSSV >KJB20958 pep chromosome:Graimondii2_0_v6:3:44591689:44594242:-1 gene:B456_003G1745001 transcript:KJB20958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSEISRCSYHVFLSFRGEDTRKSFTDHLYTALVHLGIQTFRDDEEIERGNNIKDEIEKAILHHSKISIVVFSKNYAASTWCLNELVMILEHKKSSKHIVLPVFYDVDPSQVKNQTGSYAEAFTQHEQNFKSETNMVQRWRNALKEVAAIGGMVLQDRHESQFIQDIVNEVQNKLHLISLYVPPYLVGIDSLMTQINQWLEQDGANKVGIATICGIGGIGKTTIAKVVYNQNIPSFEGYSFLADVRETSQDCNGLVRLQRQLISDILKGKSHKIYNIDNGINKIKEIVCCRRVLLVLDDVDELGKIRKLMGTQIPFHPGSKIIITSRNRCLLNAHFISQMFELEASTSCGGLSKLFEVKELASSESLQLFNWYAFGHNSVPETLMAYAR >KJB20957 pep chromosome:Graimondii2_0_v6:3:44591689:44594330:-1 gene:B456_003G1745001 transcript:KJB20957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQINQWLEQDGANKVGIATICGIGGIGKTTIAKVVYNQNIPSFEGYSFLADVRETSQDCNGLVRLQRQLISDILKGKSHKIYNIDNGINKIKEIVCCRRVLLVLDDVDELGKIRKLMGTQIPFHPGSKIIITSRNRCLLNAHFISQMFELEASTSCGGLSKLFEVKELASSESLQLFNWYAFGHNSVPETLMAYAR >KJB18206 pep chromosome:Graimondii2_0_v6:3:4204018:4206399:-1 gene:B456_003G039100 transcript:KJB18206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHPQSPVGSDADGTDHQPYDQSIVVPNKLILAADSFERKELSWFATTQIPADLAIQVQDVIFNVHKYPLVSKCGYIRQLELQPSISKFRHDLKLEKFPGGPDTFEMILQFCYGLPADLNPNNIAALRCASEYLEMTEEFEDGNLITKAEAFLTFVVLASWKDTITVLKSCETLSPWAENLQIVRRCCDSIAYKASRENSSTGEIVSEESWWLDDVATLRIDHFMRITTAIKAKGAKAEIIGKCIMHYAGKWLPDMDEGLEGLRGYGFGKSELQFSVLRRKEEESAGQNKEQRTIIESLVSMLPSQNGAVSCKFLLQMLKTAMVYSASPALITDLEKRIGLMLEDANANDLLIPNYKDEDQGLMLKILDYFLMHEQQQQQKIGKTTVSKLLDNYLAEIARDPNLSITKFQALAESLPENARSCDDGLYRAIDIYLKTHPSLSEHDRRRLCKIMNCEKLSFDACMHAAQNDRLPLRIVVQVT >KJB20888 pep chromosome:Graimondii2_0_v6:3:44216735:44219597:1 gene:B456_003G170700 transcript:KJB20888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFQFQPKVGVELAGFASIYQDKWAKQQEDNSFNDQEPTSVLHMRSQSPPTSASTLSSSFNGGSGGGGSGGNFTTTTIVPPESTQLEFQPIQSELDLVTTGPVGVQRCNNLGIEDWEAMLFESTVSPSQDQNSLLRWIAGDVDDHGLKQLLQTGPDFIPGFDPMDPGNLGYFPQNPIFTSPPESIGLYQQLENQEMKPQILNPQNPNFFLPFPQEQQPLPKRLNHGQIPKLPFSDHELFIKKQQLVGFQEQKPLMVSQQQQAATLLDQLCKVAEMVETGNFSHAQGILARLNHLSPVGKTFQRIGFYFKEALQLLLLMYNNTPVSKNPTPFDVIFKMGAYKVFSEVSPFVQFVNFTSNQAFLEALENSDRIHIVDFDIGFGAQWASFMQELPMRSKGVVPSLRITAFVSLSTYHPIELGLIRENLEQFANGIGVNFELEVLNFDCLDQNPYSLPMFRMNENEALAVNFPVWSASYRPSILPNLLRIVKQLRPKIVVSLDRGCDRNDLSFPQHIINAFYSYISLLESLDAAVNVTSDAINKIERFLIVPKIETTVLGRLHSLEKMPPWKTLFASAGFTPLTFSNFTETQAECVVKRAQVQGFHIEKCHASLVLCWQQKELISASAWRC >KJB18724 pep chromosome:Graimondii2_0_v6:3:13640246:13641702:-1 gene:B456_003G067100 transcript:KJB18724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLEQLPSSTTLLSMYASFSAMAMLIRTILNEMVPKRIQNYISTKFLDLASSCLSSDFTFIIEERWQAVLNETFRAVEAYLPTRIGPFTDSLLIGSNEPLNPSAPPKQRIPVDCKIVDEFHGMRLEWTLRNSVDSNKYFPREKRYFRLNCKKGDRDRVMQCYFPHIATTARWILNQRETLNIYTYDRESSVWEPTVFKHPATFETLAMEPEVKQFIKDDLDSFVERKDFFEGVGRAWKRGYLFYGPPGTGKSSLVAAIANYLRYNIYDLQLQTARSDADLRHILTSTTNRSILLIEDIDCGTKVSHDRAKDQEDNDEQQNSNRLSSTDPGVTLSGLLNFLDGLWSSCGHERIIIFTTNHKDKLDPALLRPGRMDVHIHMGYCTPTAFRKLSATYLGIKHHPSFLTIDNLLQNITLTPAEVAQQLMKTDDPEAVLQSFIHFLEMKRNLRDDI >KJB19201 pep chromosome:Graimondii2_0_v6:3:24043309:24048906:-1 gene:B456_003G088300 transcript:KJB19201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITLPMKLLLPLTTPIRIPSSSYYTSFLSSANPNFTFSSSSHHSRTFRRNPHFFSKKKWICVHTRRDQEMDGYRYEEENAVCLVSSDEDTGMQIPTQVQSIVEGSGAVTVSKLKPVPDVDYLQELLAIQQQGPRSIGFFGTRNMGFMHQELIEILSYALVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLEKVQTVIEKPYNDHLPLIEASRLCNRDIISHVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >KJB19202 pep chromosome:Graimondii2_0_v6:3:24043353:24048749:-1 gene:B456_003G088300 transcript:KJB19202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYRYEEENAVCLVSSDEDTGMQIPTQVQSIVEGSGAVTVSKLKPVPDVDYLQELLAIQQQGPRSIGFFGTRNMGFMHQELIEILSYALVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLEKVQTVIEKPYNDHLPLIEASRLCNRDIISHVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >KJB19204 pep chromosome:Graimondii2_0_v6:3:24044866:24048673:-1 gene:B456_003G088300 transcript:KJB19204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITLPMKLLLPLTTPIRIPSSSYYTSFLSSANPNFTFSSSSHHSRTFRRNPHFFSKKKWICVHTRRDQEMDGYRYEEENAVCLVSSDEDTGMQIPTQVQSIVEGSGAVTVSKLKPVPDVDYLQELLAIQQQGPRSIGFFGTRNMGFMHQELIEILSYALVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLEKVQTVIEKPYNDHLPLIEASRFVITLFF >KJB19203 pep chromosome:Graimondii2_0_v6:3:24043353:24048749:-1 gene:B456_003G088300 transcript:KJB19203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYRYEEENAVCLVSSDEDTGMQIPTQVQSIVEGSGAVTVSKLKPVPDVDYLQELLAIQQQGPRSIGFFGTRNMGFMHQELIEILSYALVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLEKVQTVIEKPYNDHLPLIEASRLCNRDIISHVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >KJB19200 pep chromosome:Graimondii2_0_v6:3:24043309:24048851:-1 gene:B456_003G088300 transcript:KJB19200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYRYEEENAVCLVSSDEDTGMQIPTQVQSIVEGSGAVTVSKLKPVPDVDYLQELLAIQQQGPRSIGFFGTRNMGFMHQELIEILSYALVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLEKVQTVIEKPYNDHLPLIEASRLCNRDIISHVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >KJB17996 pep chromosome:Graimondii2_0_v6:3:2552165:2553116:-1 gene:B456_003G028300 transcript:KJB17996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFATLLFMFLVLGSSFVRLSFAEPVAPHPRPAAPTTIPPPSPAAHPPPSFCDKKCGERCKKAGVKDRCLKYCGICCQDCKCVPSGTYGNKSECRCYRDKLNSKGKPKCP >KJB19056 pep chromosome:Graimondii2_0_v6:3:20355054:20360683:1 gene:B456_003G082700 transcript:KJB19056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESAWIMFCNNSSCSKETGKTCSSGFPSILNPCSCFNHAFIISIDMLFLLVAFLIIFCKFSSKKITRNSQSQSISLVAVLSEIYNGILSVAYLFLGIWTIYHKLDMDHTVLPLDGWLVLLFQGFSWLLVAISVSLKNLNLPCTIAVKACSSFTLLYAVFLCISSLLEAIADKTVSIKILLDVLSFPGSILFLFCAFRGHGSKDTDPGCDFDACYAPLQGEEHDSTDETSVNHDITPLANAGVLSKMFFWWLNPLLQKGKEKILENNDIPTLQQACQAQACYLKYTDRLSEQKRRNSSGSISMLSIIAYSHWKAMLTSGVFALIKVLTLSTGPLFLRAFIAVVQGNETFKYEAYVLTIGLLIAKCLESISERQWFFITRMVGLQVRSMLSAAIYRKQQQLSNAAKMNHSPGEIVSYVTLDANRIGEFPYWFHQIWATSVQFCLALFIVYSSVGLATFAALAAIILIVVASYPLTKLQLECYKKIMSAQDKRLKAITEALGNMKLLKLHAWETHFKKVIESLRKDEFKWIIGILSQKGYQLVLFWSSPIVVPAVTFWTCYLLGITLNASNVFTFLASLRIVQEPVRLIPDIVQVFIGAKVSLDRILKFLEAPELGNRKLEQECEDKNFEHSILIKCNEISWDINPSSKPTLKDIDFVVKPGEKVAICGEVGSGKSTLLAAVLGEVPKVNGTVHVHGKKAYVSQTAWIQTGSIQENILFGSVMDPVWYQEVVGKCCLIKDLEMLPFGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYFLDDPFSAVDAQTATSLFNEYVMRALSDKTVLLVSHQVDFLPAFNSILLMSGGEIIEAGTYDQLLASSQKFQDLVNAHNNTIGSEMDVSYSSNGRVMASKDVIKNVHVKEEPIMATGEQLIKEEERETGDTGLKPYLQYLRHNKGFLYFTLAILFHVAFIIGQLVQSYWLAAELQSSEVSSKELLTVFTVIGFCLAIFLLLRSFYVVLLGRGASESIFSTLLKSLFRAPMSFYDSTPVGRILSRVSSDLSIIDLDMAFKLSITVGTTMNTYFSFFVLAVLAWPVAFVIIPMVYLTILLQRHYYASAKELMRITGTTKSSVASHLAESIAGAMTIRAFGGEDRFFLKNMNLIDANASPDFYTFVANEWLIQRLEILCAIVLSSTALSMTLIYLGPSASGLIGMALSYGLSLNVFLVVSVKNQCFLSSSVVSVERVEQYMHIPSEAPEVIETNRPTHGWPCLGKVEICNLKVRYWPNAPLVLHGISCIFEGGSKIGIVGRTGSGKTTLISALFRLVEPADGEIIIDNLDICTIGLHDLRSHLGIIPQDPTLFGGSVRYNIDPLEQHTDNEIWEVLEKCQLREAVQAKEGGLNSTVVQDGLNWSMGQRQLFCLGRALLKRSKILVLDEATASIDNTTDSIIQKTIRAELKDCTVITVAHRIPTVMDCNMVLGISDGKLVEFDEPMKLMNKEGSLFGQLVQEYWSRSS >KJB19055 pep chromosome:Graimondii2_0_v6:3:20353357:20360683:1 gene:B456_003G082700 transcript:KJB19055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GYGEPYSLDYWKPKTLEEQFQAYKHKKEGGMHRSDSSLSLQNNMGESAWIMFCNNSSCSKETGKTCSSGFPSILNPCSCFNHAFIISIDMLFLLVAFLIIFCKFSSKKITRNSQSQSISLVAVLSEIYNGILSVAYLFLGIWTIYHKLDMDHTVLPLDGWLVLLFQGFSWLLVAISVSLKNLNLPCTIAVKACSSFTLLYAVFLCISSLLEAIADKTVSIKILLDVLSFPGSILFLFCAFRGHGSKDTDPGCDFDACYAPLQGEEHDSTDETSVNHDITPLANAGVLSKMFFWWLNPLLQKGKEKILENNDIPTLQQACQAQACYLKYTDRLSEQKRRNSSGSISMLSIIAYSHWKAMLTSGVFALIKVLTLSTGPLFLRAFIAVVQGNETFKYEAYVLTIGLLIAKCLESISERQWFFITRMVGLQVRSMLSAAIYRKQQQLSNAAKMNHSPGEIVSYVTLDANRIGEFPYWFHQIWATSVQFCLALFIVYSSVGLATFAALAAIILIVVASYPLTKLQLECYKKIMSAQDKRLKAITEALGNMKLLKLHAWETHFKKVIESLRKDEFKWIIGILSQKGYQLVLFWSSPIVVPAVTFWTCYLLGITLNASNVFTFLASLRIVQEPVRLIPDIVQVFIGAKVSLDRILKFLEAPELGNRKLEQECEDKNFEHSILIKCNEISWDINPSSKPTLKDIDFVVKPGEKVAICGEVGSGKSTLLAAVLGEVPKVNGTVHVHGKKAYVSQTAWIQTGSIQENILFGSVMDPVWYQEVVGKCCLIKDLEMLPFGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYFLDDPFSAVDAQTATSLFNEYVMRALSDKTVLLVSHQVDFLPAFNSILLMSGGEIIEAGTYDQLLASSQKFQDLVNAHNNTIGSEMDVSYSSNGRVMASKDVIKNVHVKEEPIMATGEQLIKEEERETGDTGLKPYLQYLRHNKGFLYFTLAILFHVAFIIGQLVQSYWLAAELQSSEVSSKELLTVFTVIGFCLAIFLLLRSFYVVLLGRGASESIFSTLLKSLFRAPMSFYDSTPVGRILSRVSSDLSIIDLDMAFKLSITVGTTMNTYFSFFVLAVLAWPVAFVIIPMVYLTILLQRHYYASAKELMRITGTTKSSVASHLAESIAGAMTIRAFGGEDRFFLKNMNLIDANASPDFYTFVANEWLIQRLEILCAIVLSSTALSMTLIYLGPSASGLIGMALSYGLSLNVFLVVSVKNQCFLSSSVVSVERVEQYMHIPSEAPEVIETNRPTHGWPCLGKVEICNLKVRYWPNAPLVLHGISCIFEGGSKIGIVGRTGSGKTTLISALFRLVEPADGEIIIDNLDICTIGLHDLRSHLGIIPQDPTLFGGSVRYNIDPLEQHTDNEIWEVLEKCQLREAVQAKEGGLNSTVVQDGLNWSMGQRQLFCLGRALLKRSKILVLDEATASIDNTTDSIIQKTIRAELKDCTVITVAHRIPTVMDCNMVLGISDGKLVEFDEPMKLMNKEGSLFGQLVQEYWSRSS >KJB19057 pep chromosome:Graimondii2_0_v6:3:20355188:20360683:1 gene:B456_003G082700 transcript:KJB19057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESAWIMFCNNSSCSKETGKTCSSGFPSILNPCSCFNHAFIISIDMLFLLVAFLIIFCKFSSKKITRNSQSQSISLVAVLSEIYNGILSVAYLFLGIWTIYHKLDMDHTVLPLDGWLVLLFQGFSWLLVAISVSLKNLNLPCTIAVKACSSFTLLYAVFLCISSLLEAIADKTVSIKILLDVLSFPGSILFLFCAFRGHGSKDTDPGCDFDACYAPLQGEEHDSTDETSVNHDITPLANAGVLSKMFFWWLNPLLQKGKEKILENNDIPTLQQACQAQACYLKYTDRLSEQKRRNSSGSISMLSIIAYSHWKAMLTSGVFALIKVLTLSTGPLFLRAFIAVVQGNETFKYEAYVLTIGLLIAKCLESISERQWFFITRMVGLQVRSMLSAAIYRKQQQLSNAAKMNHSPGEIVSYVTLDANRIGEFPYWFHQIWATSVQFCLALFIVYSSVGLATFAALAAIILIVVASYPLTKLQLECYKKIMSAQDKRLKAITEALGNMKLLKLHAWETHFKKVIESLRKDEFKWIIGILSQKGYQLVLFWSSPIVVPAVTFWTCYLLGITLNASNVFTFLASLRIVQEPVRLIPDIVQVFIGAKVSLDRILKFLEAPELGNRKLEQECEDKNFEHSILIKCNEISWDINPSSKPTLKDIDFVVKPGEKVAICGEVGSGKSTLLAAVLGEVPKVNGTVHVHGKKAYVSQTAWIQTGSIQENILFGSVMDPVWYQEVVGKCCLIKDLEMLPFGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYFLDDPFSAVDAQTATSLFNEYVMRALSDKTVLLVSHQVDFLPAFNSILLMSGGEIIEAGTYDQLLASSQKFQDLVNAHNNTIGSEMDVSYSSNGRVMASKDVIKNVHVKEEPIMATGEQLIKEEERETGDTGLKPYLQYLRHNKGFLYFTLAILFHVAFIIGQLVQSYWLAAELQSSEVSSKELLTVFTVIGFCLAIFLLLRSFYVVLLGRGASESIFSTLLKSLFRAPMSFYDSTPVGRILSRVSSDLSIIDLDMAFKLSITVGTTMNTYFSFFVLAVLAWPVAFVIIPMVYLTILLQRHYYASAKELMRITGTTKSSVASHLAESIAGAMTIRAFGGEDRFFLKNMNLIDANASPDFYTFVANEWLIQRLEILCAIVLSSTALSMTLIYLGPSASGLIGMALSYGLSLNVFLVVSVKNQCFLSSSVVSVERVEQYMHIPSEAPEVIETNRPTHGWPCLGKVEICNLKVRYWPNAPLVLHGISCIFEGGSKIGIVGRTGSGKTTLISALFRLVEPADGEIIIDNLDICTIGLHDLRSHLGIIPQDPTLFGGSVRYNIDPLEQHTDNEIWEVLEKCQLREAVQAKEGGLNSTVVQDGLNWSMGQRQLFCLGRALLKRSKILVLDEATASIDNTTDSIIQKTIRAELKDCTVITVAHRIPTVMDCNMVLGISDGKLVEFDEPMKLMNKEGSLFGQLVQEYWSRSS >KJB17307 pep chromosome:Graimondii2_0_v6:3:31228971:31229234:-1 gene:B456_003G102100 transcript:KJB17307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLPVQQCRSEIDQYLMVSLLCFSYQERFHRRRVESRLGITTWLWGHLAQAVVASAFGLDSAHTQFPGDAMRYLSLFLILFGFALS >KJB17308 pep chromosome:Graimondii2_0_v6:3:31228457:31229663:-1 gene:B456_003G102100 transcript:KJB17308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLPVQQCRSEIDQYLMVSLLCFSYQERFHRRRVESRLGITTWLWGHLAQAVVASAFGLDSAHTQFPGDAMRYLSLFLILFGFALS >KJB19745 pep chromosome:Graimondii2_0_v6:3:35672974:35677311:1 gene:B456_003G117500 transcript:KJB19745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAAGQGVEHVGLPKMEAKSKPGCCNPVKKPGPVSMDHVLLALQETKEERDLRIRSLFNFFDAANVGFLDYAQIEKGLSALQIPAEYKYANDLLRVCDANRDGRVDYQEFKRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIQIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEHAVIPQGISKHVKRSKYFIAGGIAGAASRTATAPLDRLKVVLQVQTTRASILPAVKKIWKEDGVLGFFRGNGLNVVKVAPESAIKFYAYEMLKNVIGDSMGNKNGDIGASGRLIAGGVAGAVAQSAIYPMDLVKTRLQTCASEGGRAPNLGKLTKDIWVQEGPRAFYKGLVPSLLGIIPYAGIDLAVYETLKDLSRTYILQDSGSFLSASFWFLMFH >KJB19747 pep chromosome:Graimondii2_0_v6:3:35673377:35676529:1 gene:B456_003G117500 transcript:KJB19747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAAGQGVEHVGLPKMEAKSKPGCCNPVKKPGPVSMDHVLLALQETKEERDLRIRSLFNFFDAANVGFLDYAQIEKGLSALQIPAEYKYANDLLRVCDANRDGRVDYQEFKRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIQIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEHAVIPQGISKHVKRSKYFIAGGIAGAASRTATAPLDRLKVVLQVQTTRASILPAVKKIWKEDGVLGFFRGNGLNVVKVAPESAIKFYAYEMLKNVIGDSMGNKNGDIGASGRLIAGGVAGAVAQSAIYPMDLVKTRLQTCASEGGRAPNLGKLTKDIWVQEGPRAFYKGLVPSLLGIIPYAGIDLAVYETLKDLSRTYILQDSGSFLSASFWFLMFH >KJB19746 pep chromosome:Graimondii2_0_v6:3:35672974:35677311:1 gene:B456_003G117500 transcript:KJB19746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAAGQGVEHVGLPKMEAKSKPGCCNPVKKPGPVSMDHVLLALQETKEERDLRIRSLFNFFDAANVGFLDYAQIEKGLSALQIPAEYKYANDLLRVCDANRDGRVDYQEFKRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIQIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEHAVIPQGISKHVKRSKYFIAGGIAGAASRTATAPLDRLKVVLQVQTTRASILPAVKKIWKEDGVLGFFRGNGLNVVKVAPESAIKFYAYEMLKNVIGDSMGNKNGDIGASGRLIAGGVAGAVAQSAIYPMDLVKTRLQTCASEGGRAPNLGKLTKDIWVQEGPRAFYKGLVPSLLGIIPYAGIDLAVYETLKDLSRTYILQDSGKPGPLVQLGCGTISGALGATCVYPLQVIRTRLQAQRTTSEAAYKGMSDVFWRTFRNEGCRGFYKGLFPNLLKVVPAASITYLVYEAMKKSLELE >KJB19744 pep chromosome:Graimondii2_0_v6:3:35672615:35677318:1 gene:B456_003G117500 transcript:KJB19744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAAGQGVEHVGLPKMEAKSKPGCCNPVKKPGPVSMDHVLLALQETKEERDLRIRSLFNFFDAANVGFLDYAQIEKGLSALQIPAEYKYANDLLRVCDANRDGRVDYQEFKRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIQIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEHAVIPQGISKHVKRSKYFIAGGIAGAASRTATAPLDRLKVVLQVQTTRASILPAVKKIWKEDGVLGFFRGNGLNVVKVAPESAIKFYAYEMLKNVIGDSMGNKNGDIGASGRLIAGGVAGAVAQSAIYPMDLVKTRLQTCASEGGRAPNLGKLTKDIWVQEGPRAFYKGLVPSLLGIIPYAGIDLAVYETLKDLSRTYILQDSEPGPLVQLGCGTISGALGATCVYPLQVIRTRLQAQRTTSEAAYKGMSDVFWRTFRNEGCRGFYKGLFPNLLKVVPAASITYLVYEAMKKSLELE >KJB18235 pep chromosome:Graimondii2_0_v6:3:4876254:4882479:-1 gene:B456_003G041200 transcript:KJB18235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPDVVSWNSIITGYWQNGFLRESKKLFISMPDRNILSWNSMIAGCVENGYLDEAFQYFKAMPERNTESYNAMMSGFIRWDRVKEAEMLFQEMPRKNVISYTAMMDGYMKVGEFEKARALFDEMPYRNVVSWTVMISGYVDNGRFNEAKELYERMPNRNVVAMTAMITGYFKEGKVEDARTLFDGIWCKDLPCWNAMITGYALNGIGEEALKLCSEMVKLAIQPDIFTLVSVFTACSGLASVKEGRQMHVLVIKYGFESDASLCHSLINMYSKCGSILDAEQAFRQMNGACLVSWNTIISAFAQHGLCEKAVEFFNQMEVVGVKPDGVTFLSLLSACGHAGKVNESMDFFDLMVKEYGICPGPEHYSCLVDTLSRAGQLEKASEIIRSMPFEADAGVWGALLAACSVYLNVELGELAAKKIVEWNPHHSGAYVVLSNIYAAAGMWDEVTRVRLQMKEQGVKKQCAYSWMEIGNKVHHFLGGDISHPDTNKIHLEIKSISLQMKALAEKEASFRKLKQELVKSRLFESEAMNLLYQAKKRIQELEEEIERRKESEKKMHDSFVAQSKEHKQTQISLERSKQEIKLLLENLDKSEGSSEVASQGSVGDDHLERHEFELQLTKTNSARALEDERASSLKAKKLAEEVKSLKSQLRSTIEAEENNQKAMDDLALALKEVKSEGNETKEKHCLTIQELEKSKEEVENLKLQLKNVEAQYMEAKKEADRFNNTSERLRLEAEETLLGWNGKEKGFVECIRKAEDERNAAQEENKALLEALEESENMYKIAKEENQKLRDIMKQAINEASVAKEAASIAREENSQLKDTIAKKEEALNILSQENESLKINEAAAVENIRDLKLLFCEANWETEDHHEQRYHKRSRGPLSLTFPVRASDEDSSSDYSDDPLKGSIFDVAETPKSAPAAGSTPNGGTHQRKKSSSVLTDDEGMNGEEFEGIDTSHFDEEGDRSTRKKKALLRRFGDLIRRKNIPKKEQPLDQGEK >KJB19009 pep chromosome:Graimondii2_0_v6:3:19798834:19800042:1 gene:B456_003G080700 transcript:KJB19009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYKVKRVIGSEMMMEGNVNKKKRGLKELNSEGFIELSEEEQNSDEEGDKGESVTYLSHGFISVTRRVYFFVVYDDHGGAGVANACRDRMHRLVVKEVQERTRGGGGGGRKGVGWEKVMSTCFEKMDEEVTGVECGTTAAVDEVMETMGSMAVVMLVSREEVVVANCGDSRAVLCRAGTAVALPDEWERVEAAGGRVININGNRILGALATSRSIGDRYLKLYVISKPEVSVIERTKSDTFVILASDGLWDVVSNELACEVVKRYLDGHIKITFSEHNDGCSGNHAAEAAAMLAELAVARGSTDNISVIVVELKA >KJB21129 pep chromosome:Graimondii2_0_v6:3:45524112:45527682:1 gene:B456_003G184200 transcript:KJB21129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGDEVSQIAYITFKDLQGAETAVLLSGATIVGLSVSISLSPDYKLPPAAFAPPPATQNKTADSTIRKAEDVVTGMLAKGFILGKDTINKAKTFDEKHQLTSTASAKVASFDKKIGFTEKIQAGTTVITDKVKEMDQKFLVSEKTKSAFATAEQKVSGAGSAIMKNKYISAGATWVTDAFNKVAKAAGDVGQKTKEKVGAAEEERKRKVVDDFAQIHLSESPKDSASSKQPSKPAPAQGLIL >KJB21128 pep chromosome:Graimondii2_0_v6:3:45523799:45527682:1 gene:B456_003G184200 transcript:KJB21128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKTIKVSNISLEATERDIKEFFSFSGDIEYVEMQRGDEVSQIAYITFKDLQGAETAVLLSGATIVGLSVSISLSPDYKLPPAAFAPPPATQNKTADSTIRKAEDVVTGMLAKGFILGKDTINKAKTFDEKHQLTSTASAKVASFDKKIGFTEKIQAGTTVITDKVKEMDQKFLVSEKTKSAFATAEQKVSGAGSAIMKNKYISAGATWVTDAFNKVAKAAGDVGQKTKEKVGAAEEERKRKVVDDFAQIHLSESPKDSASSKQPSKPAPAQGLIL >KJB21015 pep chromosome:Graimondii2_0_v6:3:45659278:45661842:1 gene:B456_003G186100 transcript:KJB21015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEQWKDQLEQLKSQLEPWKNQLEKCLHEVIEYLNQIPPVQLYAAIAVLLISTLLLLSIRLFKRAKSNTVILTGLSGSGKTVLFYQLRDGSVHQGTITSMEPNESTIVLNSDSNKKRKSKPVHIVDVPGHSRLRPKLDEFLPRAAGIVFVVDSLEFLPNCHLASEYLYDILTKASVVKKKIPVLICCNKNDKVTAHTKEFIRKQMEKEIDKLRASRSAISAADISNDFTLGVPEEPFTFSQCRNKVTVAESSGLTGEVGQVEQFIWECVKP >KJB21014 pep chromosome:Graimondii2_0_v6:3:45659278:45661842:1 gene:B456_003G186100 transcript:KJB21014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEQWKDQLEQLKSQLEPWKNQLEKCLHEVIEYLNQIPPVQLYAAIAVLLISTLLLLSIRLFKRAKSNTVILTGLSGSGKTVLFYQRKSKPVHIVDVPGHSRLRPKLDEFLPRAAGIVFVVDSLEFLPNCHLASEYLYDILTKASVVKKKIPVLICCNKNDKVTAHTKEFIRKQMEKEIDKLRASRSAISAADISNDFTLGVPEEPFTFSQCRNKVTVAESSGLTGEVGQVEQFIWECVKP >KJB21012 pep chromosome:Graimondii2_0_v6:3:45659194:45661862:1 gene:B456_003G186100 transcript:KJB21012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEQWKDQLEQLKSQLEPWKNQLEKCLHEVIEYLNQIPPVQLYAAIAVLLISTLLLLSIRLFKRAKSNTVILTGLSGSGKTVLFYQLRDGSVHQGTITSMEPNESTIVLNSDSNKRKSKPVHIVDVPGHSRLRPKLDEFLPRAAGIVFVVDSLEFLPNCHLASEYLYDILTKASVVKKKIPVLICCNKNDKVTAHTKEFIRKQMEKEIDKLRASRSAISAADISNDFTLGVPEEPFTFSQCRNKVTVAESSGLTGEVGQVEQFIWECVKP >KJB21017 pep chromosome:Graimondii2_0_v6:3:45659278:45661842:1 gene:B456_003G186100 transcript:KJB21017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEQWKDQLEQLKSQLEPWKNQLEKCLHEVIEYLNQIPPVQLYAAIAVLLISTLLLLSIRLFKRAKSNTVILTGLSGSGKTVLFYQGTITSMEPNESTIVLNSDSNKRKSKPVHIVDVPGHSRLRPKLDEFLPRAAGIVFVVDSLEFLPNCHLASEYLYDILTKASVVKKKIPVLICCNKNDKVTAHTKEFIRKQMEKEIDKLRASRSAISAADISNDFTLGVPEEPFTFSQCRNKVTVAESSGLTGEVGQVEQFIWECVKP >KJB21016 pep chromosome:Graimondii2_0_v6:3:45659278:45661842:1 gene:B456_003G186100 transcript:KJB21016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEQWKDQLEQLKSQLEPWKNQLEKCLHEVIEYLNQIPPVQLYAAIAVLLISTLLLLSSKYKCFRLFKRAKSNTVILTGLSGSGKTVLFYQLRDGSVHQGTITSMEPNESTIVLNSDSNKRKSKPVHIVDVPGHSRLRPKLDEFLPRAAGIVFVVDSLEFLPNCHLASEYLYDILTKASVVKKKIPVLICCNKNDKVTAHTKEFIRKQMEKEIDKLRASRSAISAADISNDFTLGVPEEPFTFSQCRNKVTVAESSGLTGEVGQVEQFIWECVKP >KJB21013 pep chromosome:Graimondii2_0_v6:3:45659701:45661077:1 gene:B456_003G186100 transcript:KJB21013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEQWKDQLEQLKSQLEPWKNQLEKCLHEVIEYLNQIPPVQLYAAIAVLLISTLLLLSIRLFKRAKSNTVILTGLSGSGKTVLFYQLRDGSVHQGTITSMEPNESTIVLNSDSNKRKSKPVHIVDVPGHSRLRPKLDEFLPRAAGIVFVVDSLEFLPNCHLASENWMQCCSQVSV >KJB17408 pep chromosome:Graimondii2_0_v6:3:467371:468482:-1 gene:B456_003G0076001 transcript:KJB17408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSQFQTCFWTIILFLFMVLNCHVNEAALVLPKNVTVTAVFVFGDSIVDPGNNNNLPTIAKGNFLPYGRDFKDGPTGRFSNAEEFGVKESVPAYLDPKTQLQDLLTGVSFASGAAGYDPLTAKTA >KJB17410 pep chromosome:Graimondii2_0_v6:3:468320:468503:-1 gene:B456_003G0076001 transcript:KJB17410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRNRKQQMGFLSQFQTCFWTIILFLFMVLNCHVNEAALVLPKNVTVTAVFVFGDSIVDP >KJB17409 pep chromosome:Graimondii2_0_v6:3:468269:468503:-1 gene:B456_003G0076001 transcript:KJB17409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRNRKQQMGFLSQFQTCFWTIILFLFMVLNCHVNEAALVLPKNVTVTAVFVFGDSIVDPGNNNNLPTIAKGNFLPY >KJB20203 pep chromosome:Graimondii2_0_v6:3:39829995:39831766:-1 gene:B456_003G138200 transcript:KJB20203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQPTFPRSSSEFLSDSLTSPDVVSLPLNFPLSSQTQLTVAKSVESVSDVIHSGSSSSGSFNSPTSLASCCTHKPSFIRSFSSHSLPKNEFHCRFASSLNDFIDSDSAPVRRVFSTGDLHQVQQSGRKAESPLSNESSAIIEGMSRACRYSPEEKKQRIQRYRTKRTLRNFNKKIKVLPPGVV >KJB20204 pep chromosome:Graimondii2_0_v6:3:39830331:39831766:-1 gene:B456_003G138200 transcript:KJB20204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQPTFPRSSSEFLSDSLTSPDVVSLPLNFPLSSQTQLTVAKSVESVSDVIHSGSSSSGSFNSPTSLASCCTHKPSFIRSFSSHSLPKNEFHCRFASSLNDFIDSDSAPVRRVFSTGDLHQVQQSGRKAESPLSNESSAIIEGMSRACRYSPEEKKQRIQRYRTKRTLRNFNKKIKVFNSFIICSLIICCLHINTLTF >KJB20202 pep chromosome:Graimondii2_0_v6:3:39828944:39831818:-1 gene:B456_003G138200 transcript:KJB20202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQPTFPRSSSEFLSDSLTSPDVVSLPLNFPLSSQTQLTVAKSVESVSDVIHSGSSSSGSFNSPTSLASCCTHKPSFIRSFSSHSLPKNEFHCRFASSLNDFIDSDSAPVRRVFSTGDLHQVQQSGRKAESPLSNESSAIIEGMSRACRYSPEEKKQRIQRYRTKRTLRNFNKKIKYACRKTLADSRPRIRGRFIRNTEIEKINPQVEWSHIADGEEDDEMNWISFLDIHSHQLLINP >KJB18099 pep chromosome:Graimondii2_0_v6:3:3329229:3332745:1 gene:B456_003G033900 transcript:KJB18099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLSPGRNQRSKGLKVKHALQICVLVGICIWLLYQVKHSGEKKAIYEKSGSDVVKLGRKDFPRSEGMTVTDARHKEEEEEESKNGEEDNKPEVTEDEGNGEVGGMHEHDNEKPEEGTEHEERRNDDNEETMENENKGNEETREGDNEEKENIEDSREKENKGIGEESNETEKSEGEIENAGSSDDRVRDGIDGNNEEAREEHYKGDDASSEVVHDTQNETSGSENSNEAEQLENKDKNDREDETNSSEAANVGHEESNVQENGTAENNQESSNGSNEAKSKEDAHPDTATELNENLTDTTHSSTENVNGMVQLSEKSDSGGNDEHSDSNTTPTSTENGDGANGGSTSNSDSGESNGQTEKSNAGSDSNSNAVQSEESNNNSGGEGTHTNENSNADQNSGTSSNTNDNAGAGQNENDSSNSNNDGNANGNGGSTDNQSNTNGNVNANEDVTQKENNDSSSNENAAQSNTSNKENAGQDEKNATQSNTDNNENVGQNENNAAQSNTENNENAGQNESNAAQSNTENNENAIKTRTMLLRAVTTMKMQVRMRTMHLRASPTPPTTMKIQVRMRTMHLKASPTPPTTMKMQVRTKTMLLRATPTPPPTRATMPFRPIPTATKTQVQMRTMPFSPPITKMQVRTRTRTMLLTASTTATGAAITTQVQMETPRIIPTLLQRIKK >KJB18097 pep chromosome:Graimondii2_0_v6:3:3329229:3332745:1 gene:B456_003G033900 transcript:KJB18097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLSPGRNQRSKGLKVKHALQICVLVGICIWLLYQVKHSGEKKAIYEKSGSDVVKLGRKDFPRSEGMTVTDARHKEEEEEESKNGEEDNKPEVTEDEGNGEVGGMHEHDNEKPEEGTEHEERRNDDNEETMENENKGNEETREGDNEEKENIEDSREKENKGIGEESNETEKSEGEIENAGSSDDRVRDGIDGNNEEAREEHYKGDDASSEVVHDTQNETSGSENSNEAEQLENKDKNDREDETNSSEAANVGHEESNVQENGTAENNQESSNGSNEAKSKEDAHPDTATELNENLTDTTHSSTENVNGMVQLSEKSDSGGNDEHSDSNTTPTSTENGDGANGGSTSNSDSGESNGQTEKSNAGSDSNSNAVQSEESNNNSGGEGTHTNENSNADQNSGTSSNTNDNAGAGQNENDSSNSNNDGNANGNGGSTDNQSNTNGNVNANEDVTQKENNDSSSNENAAQSNTSNKENAGQDEKNATQSNTDNNENVGQNENNAAQSNTENNENAGQNESNAAQSNTENNENASQNESNATQSNTENNENADQNEHNAAPSNTENNENAGQNENNATPSNTDNNENAGQNENNVTQSNTDNSNSNNNNNNENASQNENNAAQSSNNNENASQDENNASQSFTDTTNNNENTSQDENNASQSFTDTTNNNENASQNENNASQSYTDTTTNESNDAIQTNTNSNENSSPNENNAVQSSNNENAGQNENENNATHSFNDSNGSSNYDTSTDGNTQNNPDTSSTNQEVKEGETGLGTLPGTTTSEGNKSENAAAE >KJB18096 pep chromosome:Graimondii2_0_v6:3:3329229:3332745:1 gene:B456_003G033900 transcript:KJB18096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLSPGRNQRSKGLKVKHALQICVLVGICIWLLYQVKHSGEKKAIYEKSGSDVVKLGRKDFPRSEGMTVTDARHKEEEEEESKNGEEDNKPEVTEDEGNGEVGGMHEHDNEKPEEGTEHEERRNDDNEETMENENKGNEETREGDNEEKENIEDSREKENKGIGEESNETEKSEGEIENAGSSDDRVRDGIDGNNEEAREEHYKGDDASSEVVHDTQNETSGSENSNEAEQLENKDKNDREDETNSSEAANVGHEESNVQENGTAENNQESSNGSNEAKSKEDAHPDTATELNENLTDTTHSSTENVNGMVQLSEKSDSGGNDEHSDSNTTPTSTENGDGANGGSTSNSDSGESNGQTEKSNAGSDSNSNAVQSEESNNNSGGEGTHTNENSNADQNSGTSSNTNDNAGAGQNENDSSNSNNDGNANGNGGSTDNQSNTNGNVNANEDVTQKENNDSSSNENAAQSNTSNKENAGQDEKNATQSNTDNNENVGQNENNAAQSNTENNENAGQNESNAAQSNTENNENASQNESNATQSNTENNENADQNEHNAAPSNTENNENAGQNENNATPSNTDNNENAGQNENNVTQSNTDNSNSNNNNNNENASQNENNAAQSSNNNENASQDENNASQSFTDTTNNNENTIRTKTMLLRATPTPPPTRATMPFRPIPTATKTQVQMRTMPFSPPITKMQVRTRTRTMLLTASTTATGAAITTQVQMETPRIIPTLLQRIKK >KJB18098 pep chromosome:Graimondii2_0_v6:3:3329229:3332745:1 gene:B456_003G033900 transcript:KJB18098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLSPGRNQRSKGLKVKHALQICVLVGICIWLLYQVKHSGEKKAIYEKSGSDVVKLGRKDFPRSEGMTVTDARHKEEEEEESKNGEEDNKPEVTEDEGNGEVGGMHEHDNEKPEEGTEHEERRNDDNEETMENENKGNEETREGDNEEKENIEDSREKENKGIGEESNETEKSEGEIENAGSSDDRVRDGIDGNNEEAREEHYKGDDASSEVVHDTQNETSGSENSNEAEQLENKDKNDREDETNSSEAANVGHEESNVQENGTAENNQESSNGSNEAKSKEDAHPDTATELNENLTDTTHSSTENVNGMVQLSEKSDSGGNDEHSDSNTTPTSTENGDGANGGSTSNSDSGESNGQTEKSNAGSDSNSNAVQSEESNNNSGGEGTHTNENSNADQNSGTSSNTNDNAGAGQNENDSSNSNNDGNANGNGGSTDNQSNTNGNVNANEDVTQKENNDSSSNENAAQSNTSNKENAGQDEKNATQSNTDNNENVGQNENNAAQSNTENNENAGQNEKQCNSEQHREQ >KJB18100 pep chromosome:Graimondii2_0_v6:3:3329229:3332745:1 gene:B456_003G033900 transcript:KJB18100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLSPGRNQRSKGLKVKHALQICVLVGICIWLLYQVKHSGEKKAIYEKSGSDVVKLGRKDFPRSEGMTVTDARHKEEEEEESKNGEEDNKPEVTEDEGNGEVGGMHEHDNEKPEEGTEHEERRNDDNEETMENENKGNEETREGDNEEKENIEDSREKENKGIGEESNETEKSEGEIENAGSSDDRVRDGIDGNNEEAREEHYKGDDASSEVVHDTQNETSGSENSNEAEQLENKDKNDREDETNSSEAANVGHEESNVQENGTAENNQESSNGSNEAKSKEDAHPDTATELNENLTDTTHSSTENVNGMVQLSEKSDSGGNDEHSDSNTTPTSTENGDGANGGSTSNSDSGESNGQTEKSNAGSDSNSNAVQSEESNNNSGGEGTHTNENSNADQNSGTSSNTNDNAGAGQNENDSSNSNNDGNANGNGGSTDNQSNTNGNVNANEDVTQKENNDSSSNENAAQSNTSNKENAGQDEKNATQSNTDNNENVGQNENNAAQSNTENNENAGQNESNAAQSNTENNENASQNESNATQSNTENNENADQNEHNAAPSNTENNENAGQNENNATPSNTDNNENAGQNENNVTQSNTDNSNSNNNNNNENASQNENNAAQSSNNNENAIRMRTMHLKASPTPPTTMKMQVRTKTMLLRATPTPPPTRATMPFRPIPTATKTQVQMRTMPFSPPITKMQVRTRTRTMLLTASTTATGAAITTQVQMETPRIIPTLLQRIKK >KJB20052 pep chromosome:Graimondii2_0_v6:3:38403535:38405454:-1 gene:B456_003G130400 transcript:KJB20052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTLFQDFPSSMYGFKLDHGAVPVYSIHDFVSNNNGFIKDSPPQVVPSPDSPVESGTTTNSEAHPLDSIPFANEMLKYINEMLMEEDMEEKTCMLQDCLALQAAEKSFYEVLGHEYPLSTDPIPAYTDQNGGSPGDFNSSLIQTSLVDTLERTSLFPDLQRGIPPSIEPSGSSLLGSKGRKNYERGDVDDLEQGRSNKQFAVSLEDSEQTDMFDDVLLCKGENEDDPRCSLNKSSQHVWPQKGTSKGGTARRKNGKKSEVVDLWSLLTQCAQAVAINDQRTANELLKQISQNSSTTGDGTQRFANYFADALTTRLAGMGAPSYSPLVSNRTSAADILKAYRVLVLACPFKKMMHFYANKKIMKVAEKATTLHIVDFGICYGFQWPCLIQRLSARAGGPPKLRITGIEFPQPGFRPAERVEETGRRLKRYCERFKVPFEYNVIAKKWETIQLEELKIKKDEVVIVNCMYRLKNLPDDTLSSTSARDIVLKLIRSINPEFFIHGISNGTYNAPFFVTRFREALFHFSAIFDIFEANVPRDDPQRMMFEREILGRDIMNVVACEGIERVERPETYKQWQARTLRAGFKQIPLDQELVKKVTNMVQSNYHRDFIIDVDGRWMLQGWKGRVIFALSCWKPMKN >KJB20051 pep chromosome:Graimondii2_0_v6:3:38403352:38405738:-1 gene:B456_003G130400 transcript:KJB20051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTLFQDFPSSMYGFKLDHGAVPVYSIHDFVSNNNGFIKDSPPQVVPSPDSPVESGTTTNSEAHPLDSIPFANEMLKYINEMLMEEDMEEKTCMLQDCLALQAAEKSFYEVLGHEYPLSTDPIPAYTDQNGGSPGDFNSSLIQTSLVDTLERTSLFPDLQRGIPPSIEPSGSSLLGSKGRKNYERGDVDDLEQGENEDDPRCSLNKSSQHVWPQKGTSKGGTARRKNGKKSEVVDLWSLLTQCAQAVAINDQRTANELLKQISQNSSTTGDGTQRFANYFADALTTRLAGMGAPSYSPLVSNRTSAADILKAYRVLVLACPFKKMMHFYANKKIMKVAEKATTLHIVDFGICYGFQWPCLIQRLSARAGGPPKLRITGIEFPQPGFRPAERVEETGRRLKRYCERFKVPFEYNVIAKKWETIQLEELKIKKDEVVIVNCMYRLKNLPDDTLSSTSARDIVLKLIRSINPEFFIHGISNGTYNAPFFVTRFREALFHFSAIFDIFEANVPRDDPQRMMFEREILGRDIMNVVACEGIERVERPETYKQWQARTLRAGFKQIPLDQELVKKVTNMVQSNYHRDFIIDVDGRWMLQGWKGRVIFALSCWKPMKN >KJB20799 pep chromosome:Graimondii2_0_v6:3:43561922:43564436:-1 gene:B456_003G165600 transcript:KJB20799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQTAYSFLSWLLNGGNQKDGFLPAAFLFLVAMLGLSLWYPFLKARKPMAPLPPGPLGLPIVGYLPFLGFDNLHLVFTELAGVYGPIYKLWLGNKLIVVISSPSLVKEVVRDHDIAFSEREPPIAAQIITFGTNDIAFDSYSSPSWKHKRKILASDMLSSANLNACYDLRREKVMEMVGDVYENVGKLIDVGELAFRTLTSLIGNMVWGGEIQGEQRTIVESQFKKIFAEIMVFLGKPNISDIFPSIAWFDIQGIERGMKKIRQSFNEFLDSVIEERMKKETGEQKSDVLQMLLDLHKNQDSPSSLTMNQIKGILVNIVVAGTDTTSGSTEWAMSELMQHPEIMEKVKKELNDVIGVNNTVEEFHLPNLRYLNAVIKETFRLHPVLPLLVPRCSARSLTVGGYTIPKGSRVFLNTWSIHRDLNIWDNPMEFQPERFLNEPGKLDFRGNDFRYLPFGSGRRKCPGINLGEKMLSFILASLLHSFEWKLPQDEKVDLSGKFGIIMGKKNPLHLIPTPRLTNLELYKRKS >KJB19775 pep chromosome:Graimondii2_0_v6:3:36170395:36173257:1 gene:B456_003G120600 transcript:KJB19775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKFLHVKNCNQLVSDNNSRDRDRYVQGDYRFRYGYCKHNPRKMVKTWAEKEMRNLMRLKAAGIRCPTPLLLRLHVLVMEFIGKAGWAAPRLKDAALSLDKLRECYVEMIVAMRTLYQKCKLVHGDLSEYNILYYEGHLYIIDVSQAVDLDHPHALDFLREDCIHVSDFFRKHGVAVMTIRELFDFIVDPTITDGSVDSYLEEVQQKILARGDMSVEDEIADSVFVQTYIPKTLDHVKNVEEDVIRITSGKDTGDLYYKTITGLKEALPKDQSSPVEKQQGDTNTRPVEGSMGNPSGHSNSQESESEAESPSGSETDGDEENLSGSEDKGPLSDRSAQVDKKAARKENKKKVKEEKREARKTKVPKAVKKRKKKLAKAHKTR >KJB19772 pep chromosome:Graimondii2_0_v6:3:36169230:36173326:1 gene:B456_003G120600 transcript:KJB19772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLEEKPEAAPNDAADYEDEEEDLSWSSDSEIVEALDYLDSKVDDESIDSSFTLASRRPNAHGGVHSRPNSSALQPLSNRNQKFSNHIRASPLEEWEGRINVGMSNTVTTAIRESVREMAIGKTKTTEKADRATVEQAIDPRTRMVLFKMLNRGVFHDINGCISTGKEANVYHATKSDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRYGYCKHNPRKMVKTWAEKEMRNLMRLKAAGIRCPTPLLLRLHVLVMEFIGKAGWAAPRLKDAALSLDKLRECYVEMIVAMRTLYQKCKLVHGDLSEYNILYYEGHLYIIDVSQAVDLDHPHALDFLREDCIHVSDFFRKHGVAVMTIRELFDFIVDPTITDGSVDSYLEEVQQKILARGDMSVEDEIADSVFVQTYIPKTLDHVKNVEEDVIRITSGKDTGDLYYKTITGLKEALPKDQSSPVEKQQGDTNTRPVEGSMGNPSGHSNSQESESEAESPSGSETDGDEENLSGSEDKGPLSDRSAQVDKKAARKENKKKVKEEKREARKTKVPKAVKKRKKKLAKAHKTR >KJB19774 pep chromosome:Graimondii2_0_v6:3:36169243:36173257:1 gene:B456_003G120600 transcript:KJB19774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLECRTRLQLQFVKVFVKWPLVKLKLLRKLIVQLLSRLLIQELVWFCLKCLTAVCFMISMVAFQLEKKDRDRYVQGDYRFRYGYCKHNPRKMVKTWAEKEMRNLMRLKAAGIRCPTPLLLRLHVLVMEFIGKAGWAAPRLKDAALSLDKLRECYVEMIVAMRTLYQKCKLVHGDLSEYNILYYEGHLYIIDVSQAVDLDHPHALDFLREDCIHVSDFFRKHGVAVMTIRELFDFIVDPTITDGSVDSYLEEVQQKILARGDMSVEDEIADSVFVQTYIPKTLDHVKNVEEDVIRITSGKDTGDLYYKTITGLKEALPKDQSSPVEKQQGDTNTRPVEGSMGNPSGHSNSQESESEAESPSGSETDGDEENLSGSEDKGPLSDRSAQVDKKAARKENKKKVKEEKREARKTKVPKAVKKRKKKLAKAHKTR >KJB19773 pep chromosome:Graimondii2_0_v6:3:36169243:36172231:1 gene:B456_003G120600 transcript:KJB19773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLEEKPEAAPNDAADYEDEEEDLSWSSDSEIVEALDYLDSKVDDESIDSSFTLASRRPNAHGGVHSRPNSSALQPLSNRNQKFSNHIRASPLEEWEGRINVGMSNTVTTAIRESVREMAIGKTKTTEKADRATVEQAIDPRTRMVLFKMLNRGVFHDINGCISTGKEANVYHATKSDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRYGYCKHNPRKMVKTWAEKEMRNLMRLKAAGIRCPTPLLLRLHVLVMEFIGKAGWAAPRLKDAALSLDKLRECYVEMIVAMRTLYQKCKLVHGDLSEYNILYYEGHLYIIDVSQAVDLDHPHALDFLREDCIHVSDFFRKHGVAVMTIRELFDFIVDPTITDGSVDSYLEEVQQKILARGDMSVEDEIADSVFVQVC >KJB20236 pep chromosome:Graimondii2_0_v6:3:40236479:40237735:1 gene:B456_003G139700 transcript:KJB20236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWIAATAVGQVSQRIVSMTRMVESFSSSYLAKCIISSLLENLYNVGLFFLCLGNDKNCEKRRKNKKRKQKRQAE >KJB17838 pep chromosome:Graimondii2_0_v6:3:1379859:1381094:1 gene:B456_003G018300 transcript:KJB17838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLVFHVQHKKMAKPRNLFTCCSLLMAIMFGLSSSVQLNDPGYIKHVAQVALCLGVLLFIKVVVEGYVRKIAGLWSLDLAERVVREKTGSLLAIISMVLHLVCLSEPVDVKPRKKRKIVGRGVVAYAFKA >KJB17839 pep chromosome:Graimondii2_0_v6:3:1379908:1380973:1 gene:B456_003G018300 transcript:KJB17839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRNLFTCCSLLMAIMFGLSSSVQLNDPGILPVLLLVLLVSLYACACIVNLLNWRISGYIKHVAQVALCLGVLLFIKVVVEGYVRKIAGLWSLDLAERVVREKTGSLLAIISMVLHLVCLSEPVDVKPRKKRKIVGRGVVAYGMAGLVAFSYGLPFVFFVIQKGEMRF >KJB19206 pep chromosome:Graimondii2_0_v6:3:24151013:24163766:1 gene:B456_003G088600 transcript:KJB19206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILLVLLLCLIIPICYAQHQHQTSSFSSRRTVIDVKHGPDSVVWAVQLSDLHFSVHHPQRAIDFRNLVPPALSMINPSLVLITGDLTDGKSKDLLVMKQNEEEWVEYKNVMEDVVKRSGLDKNIFYDLRGNHDNFGVPVIGGSLDFYSKYSINGQLGRSGHVNSVTLTLQAGKRKYLFVGLDSTMSVGLRGPTNLFGHPTDQLLAEVDLELSQWDSQPLKPASKISFGHFPLSFSASSQSGKTLKDIFLKHSLSAYVCGHLHSRFGKNLKRYHLSSHRFLSSQKFFQFNLNQNPSEGSKNCSFGAPPVQEFWEWEMGDWRKTRAMRILAIDRGHVSFADIDFKSGSKKTIILPTFPLDSRFMSTSSSHHEYECEHMLPSSYETVRALVFSVSPIVSVVAKIYDTRYENLDIVVDAPMTNLGDESSRGDLYAVPWNYKAFQDPSADRFWLQIEATDIMGRTTMTELRPFSVNGLHGKVSWTWKEFFVMGCQWEALYYPILWVSLYFLLLILFIPRAFLFFSRKQITYKNVFAAKGFISGVGLVLQELCNVPMAWFGFLGYLFYLILFPWFTGQVFTDGEDKGYMTYMGWAVKSSDNKSNHDYIGSPDVMVVVLPHLIFVVLPAILSSGALAAERVVHRGKFLSVSGKKKDDYSGLDQRSVRYDSRGNRRSKFHFGGHWIRLILLVLCLVICWKHFKNCRALMKAYEMNPLLHFPVYTLGIPLLLVYVIHKTKRN >KJB19208 pep chromosome:Graimondii2_0_v6:3:24151991:24163766:1 gene:B456_003G088600 transcript:KJB19208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNEEEWVEYKNVMEDVVKRSGLDKNIFYDLRGNHDNFGVPVIGGSLDFYSKYSINGQLGRSGHVNSVTLTLQAGKRKYLFVGLDSTMSVGLRGPTNLFGHPTDQLLAEVDLELSQWDSQPLKPASKISFGHFPLSFSASSQSGKTLKDIFLKHSLSAYVCGHLHSRFGKNLKRYHLSSHRFLSSQKFFQFNLNQNPSEGSKNCSFGAPPVQEFWEWEMGDWRKTRAMRILAIDRGHVSFADIDFKSGSKKTIILPTFPLDSRFMSTSSSHHEYECEHMLPSSYETVRALVFSVSPIVSVVAKIYDTRYENLDIVVDAPMTNLGDESSRGDLYAVPWNYKAFQDPSADRFWLQIEATDIMGRTTMTELRPFSVNGLHGFISGVGLVLQELCNVPMAWFGFLGYLFYLILFPWFTGQVFTDGEDKGYMTYMGWAVKSSDNKSNHDYIGSPDVMVVVLPHLIFVVLPAILSSGALAAERVVHRGKFLSVSGKKKDDYSGLDQRSVRYDSRGNRRSKFHFGGHWIRLILLVLCLVICWKHFKNCRALMKAYEMNPLLHFPVYTLGIPLLLVYVIHKTKRN >KJB19207 pep chromosome:Graimondii2_0_v6:3:24151039:24163766:1 gene:B456_003G088600 transcript:KJB19207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILLVLLLCLIIPICYAQHQHQTSSFSSRRTVIDVKHGPDSVVWAVQLSDLHFSVHHPQRAIDFRNLVPPALSMINPSLVLITGDLTDGKSKDLLVMKQNEEEWVEYKNVMEDVVKRSGLDKNIFYDLRGNHDNFGVPVIGGSLDFYSKYSINGQLGRSGHVNSVTLTLQAGKRKYLFVGLDSTMSVGLRGPTNLFGHPTDQLLAEVDLELSQWDSQPLKPASKISFGHFPLSFSASSQSGKTLKDIFLKHSLSAYVCGHLHSRFGKNLKRYHLSSHRFLSSQKFFQFNLNQNPSEGSKNCSFGAPPVQEFWEWEMGDWRKTRAMRILAIDRGHVSFADIDFKSGSKKTIILPTFPLDSRFMSTSSSHHEYECEHMLPSSYETVRALVFSVSPIVSVVAKIYDTRYENLDIVVDAPMTNLGDESSRGDLYAVPWNYKAFQDPSADRFWLQIEATDIMGRTTMTELRPFSVNGLHGNK >KJB19205 pep chromosome:Graimondii2_0_v6:3:24151005:24163766:1 gene:B456_003G088600 transcript:KJB19205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILLVLLLCLIIPICYAQHQHQTSSFSSRRTVIDVKHGPDSVVWAVQLSDLHFSVHHPQRAIDFRNLVPPALSMINPSLVLITGDLTDGKSKDLLVMKQNEEEWVEYKNVMEDVVKRSGLDKNIFYDLRGNHDNFGVPVIGGSLDFYSKYSINGQLGRSGHVNSVTLTLQAGKRKYLFVGLDSTMSVGLRGPTNLFGHPTDQLLAEVDLELSQWDSQPLKPASKISFGHFPLSFSASSQSGKTLKDIFLKHSLSAYVCGHLHSRFGKNLKRYHLSSHRFLSSQKFFQFNLNQNPSEGSKNCSFGAPPVQEFWEWEMGDWRKTRAMRILAIDRGHVSFADIDFKSGSKKTIILPTFPLDSRFMSTSSSHHEYECEHMLPSSYETVRALVFSVSPIVSVVAKIYDTRYENLDIVVDAPMTNLGDESSRGDLYAVPWNYKAFQDPSADRFWLQIEATDIMGRTTMTELRPFSVNGLHGFISGVGLVLQELCNVPMAWFGFLGYLFYLILFPWFTGQVFTDGEDKGYMTYMGWAVKSSDNKSNHDYIGSPDVMVVVLPHLIFVVLPAILSSGALAAERVVHRGKFLSVSGKKKDDYSGLDQRSVRYDSRGNRRSKFHFGGHWIRLILLVLCLVICWKHFKNCRALMKAYEMNPLLHFPVYTLGIPLLLVYVIHKTKRN >KJB19209 pep chromosome:Graimondii2_0_v6:3:24152049:24163766:1 gene:B456_003G088600 transcript:KJB19209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNEEEWVEYKNVMEDVVKRSGLDKNIFYDLRGNHDNFGVPVIGGSLDFYSKYSINGQLGRSGHVNSVTLTLQAGKRKYLFVGLDSTMSVGLRGPTNLFGHPTDQLLAEVDLELSQWDSQPLKPASKISFGHFPLSFSASSQSGKTLKDIFLKHSLSAYVCGHLHSRFGKNLKRYHLSSHRFLSSQKFFQFNLNQNPSEGSKNCSFGAPPVQEFWEWEMGDWRKTRAMRILAIDRGHVSFADIDFKSGSKKTIILPTFPLDSRFMSTSSSHHEYECEHMLPSSYETVRALVFSVSPIVSVVAKIYDTRYENLDIVVDAPMTNLGDESSRGDLYAVPWNYKAFQDPSADRFWLQIEATDIMGRTTMTELRPFSVNGLHGKVSWTWKEFFVMGCQWEALYYPILWVSLYFLLLILFIPRAFLFFSRKQITYKNVFAAKGFISGVGLVLQELCNVPMAWFGFLGYLFYLILFPWFTGQVFTDGEDKGYMTYMGWAVKSSDNKSNHDYIGSPDVMVVVLPHLIFVVLPAILSSGALAAERVVHRGKFLSVSGKKKDDYSGLDQRSVRYDSRGNRRSKFHFGGHWIRLILLVLCLVICWKHFKNCRALMKAYEMNPLLHFPVYTLGIPLLLVYVIHKTKRN >KJB19391 pep chromosome:Graimondii2_0_v6:3:30791857:30792567:-1 gene:B456_003G1000001 transcript:KJB19391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPGKKVVDVAFKASKNIDWEGMAKLLVSDEARKEFATLRRTFDEVNSTLQTKFSQEPEPINW >KJB17673 pep chromosome:Graimondii2_0_v6:3:648729:651358:-1 gene:B456_003G010600 transcript:KJB17673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESALLGSSSSSSDQITTISKRSETIDPILEKLKSLKITSPILTAPPTEGSLTDILVRKPSSSSAQGTVNPKVLLELFSMYRDWQEEKAREISKKQEEIENKIEVADALAVKLLQRFNYSVSTMKTTSQHFSEVHTLQVELGDLKGRLTEVLSNCDSLCKRIAAEGPEPLRSSIKPFTVATANSTANQSVEPTNQSSISIEDKLE >KJB20023 pep chromosome:Graimondii2_0_v6:3:38210318:38213209:1 gene:B456_003G129400 transcript:KJB20023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGTRGDIESGFSGFIPERPAVRIHAARPVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNISTTPSMSEEEINALPVHKYKIPGTESAGSLPKQASSSSAPVEVSKKILVTKQVNTSRMAKKPVINGFQPNPT >KJB20022 pep chromosome:Graimondii2_0_v6:3:38210408:38214801:1 gene:B456_003G129400 transcript:KJB20022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGTRGDIESGFSGFIPERPAVRIHAARPVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNISTTPSMSEEEINALPVHKYKIPGTESAGSLPKQASSSSAPVEPKQDSRTGDGGMKASEDELTCTICLDQVNRGELVRSLPCLHQFHASCIDPWLRQQGTCPVCKFKMGSRRQENRGSESDDSDTV >KJB20026 pep chromosome:Graimondii2_0_v6:3:38210318:38214801:1 gene:B456_003G129400 transcript:KJB20026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGTRGDIESGFSGFIPERPAVRIHAARPVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNISTTPSMSEEEINALPVHKYKIPGTESAGSLPKQASSSSAPVEPKQDSRTGDGGMKASEDELTCTICLDQVNRGELVRSLPCLHQSLVEMLMFHASCIDPWLRQQGTCPVCKFKMGSRRQENRGSESDDSDTV >KJB20029 pep chromosome:Graimondii2_0_v6:3:38211107:38214801:1 gene:B456_003G129400 transcript:KJB20029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGTRGDIESGFSGFIPERPAVRIHAARPVNSNSLAFLVTAVLLLFMILNSHQMSPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNISTTPSMSEEEINALPVHKYKIPGTESAGSLPKQASSSSAPVEPKQDSRTGDGGMKASEDELTCTICLDQVNRGELVRSLPCLHQFHASCIDPWLRQQGTCPVCKFKMGSRRQENRGSESDDSDTV >KJB20028 pep chromosome:Graimondii2_0_v6:3:38210305:38214925:1 gene:B456_003G129400 transcript:KJB20028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGTRGDIESGFSGFIPERPAVRIHAARPVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNISTTPSMSEEEINALPVHKYKIPGTESAGSLPKQASSSSAPVEPKQDSRTGDGGMKASEDELTCTICLDQVNRGELVRSLPCLHQFHASCIDPWLRQQGTCPVCKFKMGSRRQENRGSESDDSDTV >KJB20027 pep chromosome:Graimondii2_0_v6:3:38210318:38214872:1 gene:B456_003G129400 transcript:KJB20027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGTRGDIESGFSGFIPERPAVRIHAARPVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNISTTPSMSEEEINALPVHKYKIPGTESAGSLPKQASSSSAPVEPKQDSRTGDGGMKASEDELTCTICLDQVNRGELVRSLPCLHQFHASCIDPWLRQQGTCPVCKFKMGSRRQENRGSESDDSDTV >KJB20024 pep chromosome:Graimondii2_0_v6:3:38211837:38213052:1 gene:B456_003G129400 transcript:KJB20024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGTRGDIESGFSGFIPERPAVRIHAARPVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNISTTPSMSEEEINALPVHKYKIPGTESAGSLPKQASSSSAPVEVSVDNFEFLVCSQLENNNSDRSVFKF >KJB20025 pep chromosome:Graimondii2_0_v6:3:38210318:38214801:1 gene:B456_003G129400 transcript:KJB20025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGTRGDIESGFSGFIPERPAVRIHAARPVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNISTTPSMSEEEINALPVHKYKIPGTESAGSLPKQASSSSAPVEVSASEDELTCTICLDQVNRGELVRSLPCLHQFHASCIDPWLRQQGTCPVCKFKMGSRRQENRGSESDDSDTV >KJB18004 pep chromosome:Graimondii2_0_v6:3:2626498:2628440:-1 gene:B456_003G029100 transcript:KJB18004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDRHFTRVDTLELKSRIERKIGRVNAEKYFHLVARFLSLKIGKPEFDKLCIDTIGRENVYLHNRLLRSIIRNALFSKNPALKLEGSLSVELPNGYRRSNLQSLCKDIPQSPRKGRTPNLRDHKLRDQLSPLGPHGKNPKVQQQQSATELLSLGSRPPGSVEDGEEVNQAEGSPSIHSRSPVRAPLGISFNAKETRKVPRSRLESVPETCHYRGELPDTSSLKKRLERKLEMEGLSISVDSADVLNNCLDVFLKRLIKPCLELSSSRSGQKLIEPGHNWWMSSLNRMQPVKFGLKQSRHISASMLDFQVAMELNPLILGADWPTQLEKVCLLALESERYFARLFGSEAGSIPSNFEVFRL >KJB20344 pep chromosome:Graimondii2_0_v6:3:40961872:40967019:1 gene:B456_003G143900 transcript:KJB20344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIPKVLYIMVVDEGEKKEKEKEMSSFRYTRPVLQSTLQLMGCKARHAFKISQSVFELIRSDPFYKSLHYEGNEILNSDSFKGNSEREDVRPTNGNFGGAEAGSCLVSDKDDGNKTIPFELYKRRTTVVVRRETFLDVVCEALAEYKYVGPNQRADLILASRIRERKESVTVLLCGTSGCGKSTLSALLGGRLGITTVISTDSIRHMMRSFADEKENPLLWASTYHAGECLDPVAVAEAKARKQAKKLAGIAQSLPKGEVADGSSAKGSHPMEGSSASTELISSKQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDRSVAAIHATVFSCLRRREAGESLYDPITNTVAVVDEEYRNQCAANSLSSKGMFQLIQRKGSCRQLMALLNTDGSVAKAWPVESIDSNGRPISGYGTEGGIGIPLYGPLKIGKAEPVNLQFGHFGISAWPSDGGTSRAGSVDESRYDGTENSSRYQSSCCSSPRMSDGPAKELKEENSVYGSDEEVDDQPEVDTDEDFSDDGDKEVHEEVGSVDEGSTKSDEEYDDLALQDGMKNGYSPDDDDDYNRDNVVMFSGDQQATSSEGDKYNKNLDLFLRSIRKQFSEPLCSYSSLLTEKIEKGNVKMRKRSLSISALGNRGSIIGDPILSRAPERWQNSLVHGI >KJB20345 pep chromosome:Graimondii2_0_v6:3:40961979:40966864:1 gene:B456_003G143900 transcript:KJB20345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIPKVLYIMVVDEGEKKEKEKEMSSFRYTRPVLQSTLQLMGCKARHAFKISQSVFELIRSDPFYKSLHYEGNEILNSDSFKGNSEREDVRPTNGNFGGAEAGSCLVSDKDDGNKTIPFELYKRRTTVVVRRETFLDVVCEALAEYKYVGPNQRADLILASRIRERKESVTVLLCGTSGCGKSTLSALLGGRLGITTVISTDSIRHMMRSFADEKENPLLWASTYHAGECLDPVAVAEAKARKQAKKLAGIAQSLPKGEVADGSSAKGSHPMEGSSASTELISSKQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDRSVAAIHATVFSCLRRREAGESLYDPITNTVAVVDEEYRNQCAANSLSSKGMFQLIQRKGSCRQLMALLNTDGSVAKAWPVESIDSNGRPISGYGTEGGIGIPLYGPLKIGKAEPVNLQFGHFGISAWPSDGGTSRAGSVDESRYDGTENSSRYQSSCCSSPRMSDGPAKELKEENSVYGSDEEVDDQPEVDTDEDFSDDGDKEVHEEVGSVDEGSTKSDEEYDDLALQDGMKNGYSPDDDDDYNRDNVVMFSGDQQATSSEGDKYNKNLDLFLRSIRKQFSEPLCSYSSLLTEKIEKGNVKMRKRSLSISALGNRGSIIGDPILSRAPERWQNSLVHGI >KJB17295 pep chromosome:Graimondii2_0_v6:3:18234281:18234541:-1 gene:B456_003G075700 transcript:KJB17295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEYGIGMKFIRNSIQGTNNRMKLDYRKPVEEYMGSVVAFGSGFRFRFRSVIDSYSIASEAFSEKQQQILSSSSSLLPIRVNFDL >KJB17766 pep chromosome:Graimondii2_0_v6:3:956611:960813:-1 gene:B456_003G014500 transcript:KJB17766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAESCFKIILGSSSMARQRILAEMGYEFTIMTADIDEKSIRKEKPEDLVTALAEAKANAIMARLQHTDILENVACPTLLITADTVVVYKGTIREKPCNEDEAREFIKGYSGGHAAVVGSVLVTNLNSGASKGGWETAEVYFHDIPNEVIDSLIDEGIPFKVAGGLMLEHPLTLPFVDAVIGATDTVMGLSISLTEKLIEEALRQPGSSPRVQNMS >KJB17771 pep chromosome:Graimondii2_0_v6:3:958033:960794:-1 gene:B456_003G014500 transcript:KJB17771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAESCFKIILGSSSMARQRILAEMGYEFTIMTADIDEKSIRKEKPEDLVTALAEAKANAIMARLQHTDILENVACPTLLITADTVVVYKGTIREKPCNEDEAREFIKGYSGGHAAVVGSVLVTNLNSGASKGGWETAEVYFHDIPNEVIDSLIDEGIPFKVAGGLMLEHPLTLPFVDAVVSFVFFRCFIALSFYILCET >KJB17768 pep chromosome:Graimondii2_0_v6:3:956799:960716:-1 gene:B456_003G014500 transcript:KJB17768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAESCFKIILGSSSMARQRILAEMGYEFTIMTADIDEKSIRKEKPEDLVTALAEAKANAIMARLQHTDILENVACPTLLITADTNLCGLFMQVVVYKGTIREKPCNEDEAREFIKGYSGGHAAVVGSVLVTNLNSGASKGGWETAEVYFHDIPNEVIDSLIDEGIPFKVAGGLMLEHPLTLPFVDAVIGATDTVMGLSISLTEKLIEEALRQPGSSPRVQNMS >KJB17767 pep chromosome:Graimondii2_0_v6:3:956611:960813:-1 gene:B456_003G014500 transcript:KJB17767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAESCFKIILGSSSMARQRILAEMGYEFTIMTADIDEKSIRKEKPEDLVTALAEAKANAIMARLQHTDILENVACPTLLITADTNLCGLFMQVVVYKGTIREKPCNEDEAREFIKGYSGGHAAVVGSVLVTNLNSGASKGGWETAEVYFHDIPNEVIDSLIDEGIPFKVAGGLMLEHPLTLPFVDAIGATDTVMGLSISLTEKLIEEALRQPGSSPRVQNMS >KJB17770 pep chromosome:Graimondii2_0_v6:3:958557:960716:-1 gene:B456_003G014500 transcript:KJB17770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAESCFKIILGSSSMARQRILAEMGYEFTIMTADIDEKSIRKEKPEDLVTALAEAKANAIMARLQHTDILENVACPTLLITADTVVVYKGTIREKPCNEDEAREFIKGLFNVLNGDVLRDEPDLDVMYDCRVFRGPCSSGWIRSRD >KJB17769 pep chromosome:Graimondii2_0_v6:3:956642:960794:-1 gene:B456_003G014500 transcript:KJB17769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAESCFKIILGSSSMARQRILAEMGYEFTIMTADIDEKSIRKEKPEDLVTALAEAKANAIMARLQHTDILENVACPTLLITADTVVVYKGTIREKPCNEDEAREFIKGYSGGHAAVVGSVLVTNLNSGASKGGWETAEVYFHDIPNEVIDSLVKIH >KJB18547 pep chromosome:Graimondii2_0_v6:3:10237468:10240200:1 gene:B456_003G059100 transcript:KJB18547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSCVGLFLFFALFLSHGIVELEASHHVYRNLQTVQSAASTDQPYRTGFHFQPPKNWINDPNGVMVYKGLYHLFYQYNPKGAVWGNIVWAHSTSKDLVNWTPHEPAIFPSQPSDINGCWSGSSTILPGGKPAMLYTGIDTKNSQVQNLAVPKNLSDPYLREWVKSPKNPLMQPTAQNQINASSFRDPTTAWLGPDKKWRVIIGSKIDRQGLVILYKSKDFVNWVQAPMPLHSAKDTGMWECPDFYPVPVSGKNGVDTSLNGPYVKHVLKISLDDTKHDLYTIGSYDSLKDIYIPDKGSVENDSGLRYDYGKYYASKTFLDSIKNRRILCGWLNESSSVADDIKKGWAGVHAIPRQVWLDKSGKQLIQWPVAEIQKLRTNHVSLPSRLLKGGSVVEVSGVTASQADVDISYKISDFEKAEVLNPSWTNPQLLCSQKGASVKGGVGPFGLLVLASKGLTENTAVFFRIFKGQNKYVVLMCSDQSRSSLKANDKTTYGAFLDVDPLHQSLSLRSLIDHSIVESFGGGGKACMSARVYPTLAINNAAHLYVFNNGSEAVEISKLNAWSMKKAIIN >KJB19493 pep chromosome:Graimondii2_0_v6:3:32631151:32636917:-1 gene:B456_003G105900 transcript:KJB19493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEAESTSHFPVKSNSLDSITSFNFSFQKHQVFSEDSNFQEIFFFSYLLPFQFIFNNSLQSGFCGHLSSRADSSIVSPTRNVEVKS >KJB19510 pep chromosome:Graimondii2_0_v6:3:32849462:32850085:-1 gene:B456_003G1069002 transcript:KJB19510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDVETLMMAGQLSILVVGQDILKTNGEVGSQCTILTDNYCEDAYELLQTPMLKKDAEAVQLLLVGSVPNYRNALYDQLMQDERDNSFIEVLQHTYGKPSNDGN >KJB18271 pep chromosome:Graimondii2_0_v6:3:5623245:5624333:-1 gene:B456_003G043800 transcript:KJB18271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASHANSLRSIIMYLDKLATQEVTSLEVSTGIPLLYIYKEGEFLRRGSPVGSKEAGVYAYSKSLAFYREALDALFQ >KJB19080 pep chromosome:Graimondii2_0_v6:3:21127657:21130255:1 gene:B456_003G084200 transcript:KJB19080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLWVAAFWFMGSWIIPFAAHMAGLSKDSLTFRGQALFSLVTDVSEGLTGMAILHHCLSQFHPLPSDWFKFSLRGKWVFDVALGCLMFPIINRLSQFNLNLLPVIPSAPVTLSSVEQSILARDPVAMALYAIVVSVCAPIWEEIIFRGFLLPSLTKYMPVWCAIPVSSVAFALAHFNVQRMLPLIFLGMVMGVVFARTRNLLPSMLLHSLWNGFVFLDLMR >KJB19078 pep chromosome:Graimondii2_0_v6:3:21126616:21130255:1 gene:B456_003G084200 transcript:KJB19078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSYSFHCVTQCPVLALSYSKFRVQTRPCIFSLNRSSRVFESNFKLTKNKWKFSCFRYDGFSPENPKSEYIDHFLPEETVQPEVDKSSPHKRDWKSTLPEAADAVLRAVGSRWTVPWTAETILKVMLLWVAAFWFMGSWIIPFAAHMAGLSKDSLTFRGQALFSLVTDVSEGLTGMAILHHCLSQFHPLPSDWFKFSLRGKWVFDVALGCLMFPIINRLSQFNLNLLPVIPSAPVTLSSVEQSILARDPVAMALYAIVVSVCAPIWEEIIFRGFLLPSLTKYMPVWCAIPVSSVAFALAHFNVQRMLPLIFLGMVMGVVFARTRNLLPSMLLHSLWNGFVFLDLMR >KJB19079 pep chromosome:Graimondii2_0_v6:3:21127157:21130251:1 gene:B456_003G084200 transcript:KJB19079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQAADAVLRAVGSRWTVPWTAETILKVMLLWVAAFWFMGSWIIPFAAHMAGLSKDSLTFRGQALFSLVTDVSEGLTGMAILHHCLSQFHPLPSDWFKFSLRGKWVFDVALGCLMFPIINRLSQFNLNLLPVIPSAPVTLSSVEQSILARDPVAMALYAIVVSVCAPIWEEIIFRGFLLPSLTKYMPVWCAIPVSSVAFALAHFNVQRMLPLIFLGMVMGVVFARTRNLLPSMLLHSLWNGFVFLDLMR >KJB19371 pep chromosome:Graimondii2_0_v6:3:30485989:30489035:1 gene:B456_003G098300 transcript:KJB19371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCGAFLLLVSLSCTFLQTSNFHHSEFSFWCSWSDHLCTFLSSLRQVMSAQDEVSIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRELGLSEKNILNCDFDLVASDPSDANTNSTTLFSDDTDERSRKSSNPEMGVSTHQEDESAAATVEPPQSDSPECRPDVPGISDRRTGQFSSVPKKSELKIGESSAFFTYVKSSAVKTSSTQVATPNHESAAQNKISEENLPQPGEQVVSDTRVHENGETWENNSQGDDFRSSSSVPDSLSLERSSTPASMEFSQQRDFKEDKFSPALVPPRNETQHDVSGLPTQSAYLHYMPGVLNQVMMPSSTQLFQNNLHDIHNHTSSPVVPQYNHLQQCLPHPHVSGMASFPYYPVNMCMQPGQMPTGHSWPSFGNSSSNEVQPSKVDRREAALIKFRQKRKERCFDKKIRYVNRKRLAERRPRVRGQFVRKNGATVDLNGQPASADYDEDEEEEQASRDSSPEDDTSGC >KJB19370 pep chromosome:Graimondii2_0_v6:3:30484231:30489581:1 gene:B456_003G098300 transcript:KJB19370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKELNLNKELKAGGAGNGFIDRSKVRILLCDNDTKSCEEVFSLLLKCSYQVTTVRSARQVIDALNAEGPDIDIILTEVDLPMTKGMKLLKYIMRNNELRRIPVIMMSAQDEVSIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRELGLSEKNILNCDFDLVASDPSDANTNSTTLFSDDTDERSRKSSNPEMGVSTHQEDESAAATVEPPQSDSPECRPDVPGISDRRTGQFSSVPKKSELKIGESSAFFTYVKSSAVKTSSTQVATPNHESAAQNKISEENLPQPGEQVVSDTRVHENGETWENNSQGDDFRSSSSVPDSLSLERSSTPASMEFSQQRDFKEDKFSPALVPPRNETQHDVSGLPTQSAYLHYMPGVLNQVMMPSSTQLFQNNLHDIHNHTSSPVVPQYNHLQQCLPHPHVSGMASFPYYPVNMCMQPGQMPTGHSWPSFGNSSSNEVQPSKVDRREAALIKFRQKRKERCFDKKIRYVNRKRLAERRPRVRGQFVRKNGATVDLNGQPASADYDEDEEEEQASRDSSPEDDTSGC >KJB19372 pep chromosome:Graimondii2_0_v6:3:30484950:30489581:1 gene:B456_003G098300 transcript:KJB19372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPCYFLFTVTTVRSARQVIDALNAEGPDIDIILTEVDLPMTKGMKLLKYIMRNNELRRIPVIMMSAQDEVSIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRELGLSEKNILNCDFDLVASDPSDANTNSTTLFSDDTDERSRKSSNPEMGVSTHQEDESAAATVEPPQSDSPECRPDVPGISDRRTGQFSSVPKKSELKIGESSAFFTYVKSSAVKTSSTQVATPNHESAAQNKISEENLPQPGEQVVSDTRVHENGETWENNSQGDDFRSSSSVPDSLSLERSSTPASMEFSQQRDFKEDKFSPALVPPRNETQHDVSGLPTQSAYLHYMPGVLNQVMMPSSTQLFQNNLHDIHNHTSSPVVPQYNHLQQCLPHPHVSGMASFPYYPVNMCMQPGQMPTGHSWPSFGNSSSNEVQPSKVDRREAALIKFRQKRKERCFDKKIRYVNRKRLAERRPRVRGQFVRKNGATVDLNGQPASADYDEDEEEEQASRDSSPEDDTSGC >KJB19369 pep chromosome:Graimondii2_0_v6:3:30484189:30489639:1 gene:B456_003G098300 transcript:KJB19369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKELNLNKELKAGGAGNGFIDRSKVRILLCDNDTKSCEEVFSLLLKCSYQVTTVRSARQVIDALNAEGPDIDIILTEVDLPMTKGMKLLKYIMRNNELRRIPVIMMSAQDEVSIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRELGLSEKNILNCDFDLVASDPSDANTNSTTLFSDDTDERSRKSSNPEMGVSTHQEDESAAATVEPPQSDSPECRPDVPGISDRRTGQFSSVPKKSELKIGESSAFFTYVKSSAVKTSSTQVATPNHESAAQNKISEENLPQPGEQVVSDTRVHENGETWENNSQGDDFRSSSSVPDSLSLERSSTPASMEFSQQRDFKEDKFSPALVPPRNETQHDVSGLPTQSAYLHYMPGVLNQVMMPSSTQLFQNNLHDIHNHTSSPVVPQYNHLQQCLPHPHVSGMASFPYYPVNMCMQPGQMPTGHSWPSFGNSSSNEVQPSKVDRREAALIKFRQKRKERCFDKKIRYVNRKRLAERRPRVRGQFVRKNGATVDLNGQPASADYDEDEEEEQASRDSSPEDDTSGC >KJB18158 pep chromosome:Graimondii2_0_v6:3:3778992:3781523:-1 gene:B456_003G036500 transcript:KJB18158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNEWGNSIYPLVPGHEIVGEVTEIGCKVQKFKVGDRVGVGCMVGSCHSCDNCINNLENYCPKMIFTYGTKYYDGAVTHGGYSNTMVVDEHFVVSIPENLPLDATAPLLCAGITVYSPLRFYGLDKPGLRVGVVGLGGLGHLAVKFAKAMGTNVTVISTSPSKQKEALENLGADSFLVSRDQDQLQAAIGTLDGIIDTVSAQHPLLPLLGLLKSHGKLVILGIPGKPFELQVFPLIQGRKLIGGSMIGGMKETQEMINFAAEHNIKTDIEVIAMDYVNTAMDRLLKGDVKYRFVIDIGNTLMPSS >KJB18159 pep chromosome:Graimondii2_0_v6:3:3778992:3781997:-1 gene:B456_003G036500 transcript:KJB18159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLPEEVHSNKAFGWAAKDSSGVLSPFNFFTRETGEKDVTFKVLYCGICHSDLHMVKNEWGNSIYPLVPGHEIVGEVTEIGCKVQKFKVGDRVGVGCMVGSCHSCDNCINNLENYCPKMIFTYGTKYYDGAVTHGGYSNTMVVDEHFVVSIPENLPLDATAPLLCAGITVYSPLRFYGLDKPGLRVGVVGLGGLGHLAVKFAKAMGTNVTVISTSPSKQKEALENLGADSFLVSRDQDQLQAAIGTLDGIIDTVSAQHPLLPLLGLLKSHGKLVILGIPGKPFELQVFPLIQGRKLIGGSMIGGMKETQEMINFAAEHNIKTDIEVIAMDYVNTAMDRLLKGDVKYRFVIDIGNTLMPSS >KJB18160 pep chromosome:Graimondii2_0_v6:3:3779048:3781892:-1 gene:B456_003G036500 transcript:KJB18160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLPEEVHSNKAFGWAAKDSSGVLSPFNFFTRETGEKDVTFKVLYCGICHSDLHMVKNEWGNSIYPLVPGHEIVGEVTEIGCKVQKFKVGDRVGVGCMVGSCHSCDNCINNLENYCPKMIFTYGTKYYDGAVTHGGYSNTMVVDEHFVVSIPENLPLDATAPLLCAGITVYSPLRFYGLDKPGLRVGVVGLGGLGHLAVKFAKAMGTNVTVISTSPSKQKEALENLGADSFLVSRDQDQLQVCCHWHIGWNHRYGICPTSIVAIAWAVEISWEACDSWYSRETI >KJB20105 pep chromosome:Graimondii2_0_v6:3:38963376:38967828:1 gene:B456_003G133100 transcript:KJB20105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKGALVQGLIEAVNQIASIGDYSCAVKKEYCNLARRLKLLTPMFEEIREIKEQIPEETVKALVSLKEALVSAKELLIFGSEGSKIYLVLERKQIMHKFHEVTARLEQALSRISHENLDILEEVKEQVELVLAQFRRAKGRVDVPDVELYEDLLSLYNKNNDAAADPEVLRRLAEKLQLVGIAELMQESLALHEMVSASGGDPGAIFEKMSNLLKEIKDFVQTENPNLDAPAREKNLPPSSSSAQTTAVGNHKAPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIEKWLEQGHRTCPKTQQTLSNPALTPNYVLRSLIAQWCETNGIDPPKRPSSSQPSKTKFACSPAELAKIQILLCKLSSSNPEDQRTAAGEIRLLAKRNADNRVAIAEAGAIPLLVKILSTPDSRTQEHAVTALLNLSICEDNKGSIISSGAVPGIVQVLKKGSMEARENAAATLFSLSVVDENKVAIGASGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKTVRAGVIPTLMRLLTEPGGGMVDEALAILAILASHPEAKFAIGAAKAMPVLVDFIGNGLPRNKENAAAVLVHLCAGDQQHLADAEELGVMGHLVDLAQNGTDRGKRKAAQLLQRLSRFVEQQKRSQSQAEAQAEQSLSQSRAQQP >KJB20104 pep chromosome:Graimondii2_0_v6:3:38965216:38967351:1 gene:B456_003G133100 transcript:KJB20104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLRAPKVELVLAQFRRAKGRVDVPDVELYEDLLSLYNKNNDAAADPEVLRRLAEKLQLVGIAELMQESLALHEMVSASGGDPGAIFEKMSNLLKEIKDFVQTENPNLDAPAREKNLPPSSSSAQTTAVGNHKAPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIEKWLEQGHRTCPKTQQTLSNPALTPNYVLRSLIAQWCETNGIDPPKRPSSSQPSKTKFACSPAELAKIQILLCKLSSSNPEDQRTAAGEIRLLAKRNADNRVAIAEAGAIPLLVKILSTPDSRTQEHAVTALLNLSICEDNKGSIISSGAVPGIVQVLKKGSMEARENAAATLFSLSVVDENKVAIGASGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKTVRAGVIPTLMRLLTEPGGGMVDEALAILAILASHPEAKFAIGAAKAMPVLVDFIGNGLPRNKENAAAVLVHLCAGDQQHLADAEELGVMGHLVDLAQNGTDRGKRKAAQLLQRLSRFVEQQKRSQSQAEAQAEQSLSQSRAQQP >KJB20378 pep chromosome:Graimondii2_0_v6:3:41222810:41224665:1 gene:B456_003G145700 transcript:KJB20378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSMPSLLCLPFMAPIFSSFLALTLIIPLSNAQGPPSPGFSPSSRVSTVAFNTGFRNLWGPQHQKLDQGSLTIWLDKSSGSGFKSLRPYQSGYFGAAMKLQPGYTAGVITSFYLSNNEEHPGDHDEIDIEFLGTTPDKPYTLQTNVYIRGSGDGNINIGREMKFHLWFDPTKDYHNYAILWNPSEIMQVSYHHFLQFLLSYFDFGPSTFIKSLVNPNR >KJB20379 pep chromosome:Graimondii2_0_v6:3:41222810:41224717:1 gene:B456_003G145700 transcript:KJB20379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSMPSLLCLPFMAPIFSSFLALTLIIPLSNAQGPPSPGFSPSSRVSTVAFNTGFRNLWGPQHQKLDQGSLTIWLDKSSGSGFKSLRPYQSGYFGAAMKLQPGYTAGVITSFYLSNNEEHPGDHDEIDIEFLGTTPDKPYTLQTNVYIRGSGDGNINIGREMKFHLWFDPTKDYHNYAILWNPSEIIFFVDDVPIRRYPRKSDATFPTRPMWVYGSIWDASSWATENGRYKADYNYQPFVGRYTNFKVSACTANSPAPCRPPSASPYGSSSLSPQQALAMNWVKNNYLVYDYCHDPKRDHTQIPEC >KJB17514 pep chromosome:Graimondii2_0_v6:3:176740:179352:1 gene:B456_003G003000 transcript:KJB17514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERISDENNPNLTKPTNFQGCRKFGQEIKHNRRALSVINHNLVGAKAYPCVVNKRGLSQRNECIENKQLDPVHRPITRKFAAQISSTSQRHCPEETKKLKPSVPSSNEFGDCIFIDVEENKTSLDQPVPMFLEETEVEMEDIIIEEPIVDIDGCDTKNPLAVVEYVEDLHAYYKNMEKFSCVSPNYMDQQSDVNEKMRAILIDWLIEVHDKFDLMGETLFLTVNLIDRFLSQQTVMRKKLQLVGLVAMLLACKYEEVSVPIVGDLILISDKAYSRKEVLEMERLMLNTLQFNMSFPTPYVFMKRFLKAAQSDKKLELLSFFLIELALVEYEMLKFQPSLLAAAAIYTAQCSLNGYKQWSKTCEWHSSYTEDQLLECSRFMVGFHEKAATGKLTGVHRKYCTSKFGYTAKCEAAKFLLQTQQQP >KJB17513 pep chromosome:Graimondii2_0_v6:3:176740:179352:1 gene:B456_003G003000 transcript:KJB17513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERISDENNPNLTKPTNFQGCRKFGQEIKHNRRALSVINHNLVGAKAYPCVVNKRGLSQRNECIENKQLDPVHRPITRKFAAQISSTSQRHCPEQETKKLKPSVPSSNEFGDCIFIDVEENKTSLDQPVPMFLEETEVEMEDIIIEEPIVDIDGCDTKNPLAVVEYVEDLHAYYKNMEKFSCVSPNYMDQQSDVNEKMRAILIDWLIEVHDKFDLMGETLFLTVNLIDRFLSQQTVMRKKLQLVGLVAMLLACKYEEVSVPIVGDLILISDKAYSRKEVLEMERLMLNTLQFNMSFPTPYVFMKRFLKAAQSDKKLELLSFFLIELALVEYEMLKFQPSLLAAAAIYTAQCSLNGYKQWSKTCEWHSSYTEDQLLECSRFMVGFHEKAATGKLTGVHRKYCTSKFGYTAKCEAAKFLLQTQQQP >KJB20467 pep chromosome:Graimondii2_0_v6:3:41785081:41785615:-1 gene:B456_003G150500 transcript:KJB20467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQAGLYFLVSIGLLTSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPLRSTNSIELSMIVCVIASTIPGISMNPIIAIAQDTLF >KJB17936 pep chromosome:Graimondii2_0_v6:3:4296504:4297068:-1 gene:B456_003G039600 transcript:KJB17936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMAVILIILSIVLVSFHGGESITKVCEEDNPSPPLSRSLCNQPECEHHCALRHPKTKAWGKCKFNDDRFVCDCCYLCDHHSLEAPSYNARKLSYAPSY >KJB21212 pep chromosome:Graimondii2_0_v6:3:8031289:8033738:1 gene:B456_003G052500 transcript:KJB21212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKYPVNMCEEIRRAYIKNIHLLKMHNPSNHFGSTTFTHSGFSNWKKVHNGCNFVFLTRVGKDLNSLHNNSQHVDLMNQSQYIEVSLDRQTTQQITANRLCLKTRYAFGGYDESSGSKYRGNFLEFLSLLSSYDEKVKDVLKSAPQNASYTSSTIQEEILQIYTSRVCNVIHKEIGDKKLCIIVDEARDDEEQAAEITRLVSINELATRIGMNQIGTLQHLGETRWSSHLNSITILLTMYNATSTILENLKNIAPNHSQRGDAHNTYNRLRSFEFIFILHMIKEVLGVTDNLCQALQRRFQDILNVLSLVSTTKDLIQKLRDDGWDELLKNVIYFCETWELDFPYMNAQYIMDRSHNKKEDVTLKHYELDVCKHPDLRKISTLSELCRSLVESGKSVMYPLFDRLICPLLTFLVSTASAKRVFSAMKIVKTRFCSKMEDDFLRSYLVVYTEKEIPENFNVNKIINGFSEIKDWKVQFKYIL >KJB19430 pep chromosome:Graimondii2_0_v6:3:31164946:31173412:-1 gene:B456_003G101700 transcript:KJB19430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQEGSPVFTPSTQVIGNAFVEQYYHILHQSPNLVHRFYQDSSHLSRPDMHGNMTTVTTLQAINEKVLSLNYEDYTAEIKTADAEDSFEKGIILLVTGSLTGKDNVKKKFAQTFFLAPQDKGYFVLNDVFRYVEEKELQNSVPANGISEQASISALTPEPELTDDQLAKEPLTYSEEEDINNGAEVCDPLDKEEGSVVEEEVVEPQNIAGQNERIVTVDSAPMVPDDAPKQSYASIVKVIKSKASTPVYVPTNNVGAKPVNQRPVLSAKIAPTPAAEVPNSDHAPGSSNDNEEAEGHSIYVRNLPYNATPQQLEEAFRKFGTIKCNGIQVRSNKQGFTFGFVEFENPSSVQSALEASPITIGDRQAAVEEKRTNTRVRSSGRPRYNSSGKGGLRGDSFRARGNFGSGRGGYGRHEIRHPGDFSGQPKGSGGWNGDNNPKGVNRMEGVAIKGGQKQHDSTWE >KJB19431 pep chromosome:Graimondii2_0_v6:3:31164946:31173258:-1 gene:B456_003G101700 transcript:KJB19431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQEGSPVFTPSTQVIGNAFVEQYYHILHQSPNLVHRFYQDSSHLSRPDMHGNMTTVTTLQAINEKVLSLNYEDYTAEIKTADAEDSFEKGIILLVTGSLTGKDNVKKKFAQTFFLAPQDKGYFVLNDVFRYVEEKELQNSVPANGISEQASISALTPEPELTDDQLAKEPLTYSEEEDINNGAEVCDPLDKEEGSVVEEEVVEPQNIAGQNERIVTVDSAPMVPDDAPKQSYASIVKVIKSKASTPVYVPTNNVGAKPVNQRPVLSAKIAPTPAAEVPNSDHAPGSSNDNEEAEGHSIYVRNLPYNATPQQLEEAFRKFGTIKCNGIQVRSNKQGFTFGFVEFENPSSVQSALEASPITIGDRQAAVEEKRTNTRVRSSGRPRYNSSGKGGLRGDSFRARGNFGSGRGGYGRHEIRHPGDFSGQPKGSGGWNGDNNPKGVNRMEGVAIKGGQKQHDSTWE >KJB17390 pep chromosome:Graimondii2_0_v6:3:30532323:30534635:-1 gene:B456_003G098700 transcript:KJB17390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDSGDTKDDLRLPTDENLLKQIKDGFAEGKDLVVSVMSAMGEEQICALKDIGPK >KJB17391 pep chromosome:Graimondii2_0_v6:3:30533154:30534433:-1 gene:B456_003G098700 transcript:KJB17391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSSFVSFPLEVACLLVFFNLLISSGEFAD >KJB17392 pep chromosome:Graimondii2_0_v6:3:30532367:30534635:-1 gene:B456_003G098700 transcript:KJB17392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSEFAD >KJB19310 pep chromosome:Graimondii2_0_v6:3:29619367:29620888:-1 gene:B456_003G094500 transcript:KJB19310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEDSLKTNRPKRSIFKMFDEQENGYDEYLEECFHQPKKRRLTVDQIQFLEKSFEVDNKLEPERKIQLAKDLGLQPRQVAIWFQNRRARWKTKQLEKDYDTLLAMYNSLKADYDDLLKEKDKLKEEVLQLTDKLQTKENERRNSEFSDVKPLLLQEPSQKPIVVSMAACKQEDIDSDNIPQYYSDEFHSSLLEAADSSYPFEPDQSDLSQDEEDSLHPPASLSNFGFPVEDHPFWSWTY >KJB19309 pep chromosome:Graimondii2_0_v6:3:29619205:29620998:-1 gene:B456_003G094500 transcript:KJB19309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRVYPSNNDTTDGVPNNLSVLLQNQRLHPLFIPGSSPSPSFLGTRSMVSFEDSLKTNRPKRSIFKMFDEQENGYDEYLEECFHQPKKRRLTVDQIQFLEKSFEVDNKLEPERKIQLAKDLGLQPRQVAIWFQNRRARWKTKQLEKDYDTLLAMYNSLKADYDDLLKEKDKLKEEVLQLTDKLQTKENERRNSEFSDVKPLLLQEPSQKPIVVSMAACKQEDIDSDNIPQYYSDEFHSSLLEAADSSYPFEPDQSDLSQDEEDSLHPPASLSNFGFPVEDHPFWSWTY >KJB20211 pep chromosome:Graimondii2_0_v6:3:40037735:40045005:1 gene:B456_003G138600 transcript:KJB20211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAQQQRRGGGGLVSLSPSQTPRSNDKSVRDLRSADSNSSSKQDKGVNVQVILRCRPLSEDETRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFDLAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRSVKQIFDILEAQNAEYSMKVTFLELYNEEITDLLAPEETMKFVDDKSKKPIALMEDGKGGVFVRGLEEEIVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLKQEVYSAREKNGIYIPRDRFLLEEAEKKAMAEKIERMELESESKEKQITELQELYNSQQLMTVDLSEKLEKKEKKLEETEIALFDLEDKHRQANATIKEKEFLISNLLKSEKTLVERAFELRAELENAASDVSDLFAKIERKDKIEDENKSLIQKFQSLLTQQLDILHKTVAASATQQEQQLKDMEEDMQSFVSTKSEATEELRGRLGKLKNMYGSGVKALHDIAKKLDGNFMSISGDLNSEVAKHSCDLEDLYKGITAEAEALLNDLQSSLYKQEEKLTAFAQQQREAHSRAVDNARSISKITVNFFETLDMHASKLTKIVEESQTVNDNKLSEFEKKFEECAANEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENASSKTSKLQKEMSTMQESTSVVKAEWNVHMESTESHYIKDTSAVESGKKDMEDVLQNCMKKAKMSAQQWKNAQESLLSLEKSNVDSVDSIVRGGMEANQTLRDQFSCAVSTALEDVDTANNSCLTSIDCKYLDSYN >KJB20209 pep chromosome:Graimondii2_0_v6:3:40037735:40045005:1 gene:B456_003G138600 transcript:KJB20209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAQQQRRGGGGLVSLSPSQTPRSNDKSVRDLRSADSNSSSKQDKGVNVQVILRCRPLSEDETRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFDLAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRSVKQIFDILEAQNAEYSMKVTFLELYNEEITDLLAPEETMKFVDDKSKKPIALMEDGKGGVFVRGLEEEIVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLKQEVYSAREKNGIYIPRDRFLLEEAEKKAMAEKIERMELESESKEKQITELQELYNSQQLMTVDLSEKLEKKEKKLEETEIALFDLEDKHRQANATIKEKEFLISNLLKSEKTLVERAFELRAELENAASDVSDLFAKIERKDKIEDENKSLIQKFQSLLTQQLDILHKTVAASATQQEQQLKDMEEDMQSFVSTKSEATEELRGRLGKLKNMYGSGVKALHDIAKKLDGNFMSISGDLNSEVAKHSCDLEDLYKGITAEAEALLNDLQSSLYKQEEKLTAFAQQQREAHSRAVDNARSISKITVNFFETLDMHASKLTKIVEESQTVNDNKLSEFEKKFEECAANEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENASSKTSKLQKEMSTMQESTSVVKAEWNVHMESTESHYIKDTSAVESGKKDMEDVLQNCMKKAKMSAQQWKNAQESLLSLEKSNVDSVDSIVRGGMEANQTLRDQFSCAVSTALEDVDTANNSCLTSIDYSLQLDRDACGNMNSMIIPCCEELRELKGGHYHKIVEITDNAGKCLEEEYMVDKPSCSTPTKRAFNLPSMSSIEELKTPPFEELLKLFWEAKSAKVANGDIKHMLGEYEAASAAPQSPSQQLKDSRLPLTAIN >KJB20210 pep chromosome:Graimondii2_0_v6:3:40038351:40043952:1 gene:B456_003G138600 transcript:KJB20210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAQQQRRGGGGLVSLSPSQTPRSNDKSVRDLRSADSNSSSKQDKGVNVQVILRCRPLSEDETRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFDLAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRSVKQIFDILEAQNAEYSMKVTFLELYNEEITDLLAPEETMKFVDDKSKKPIALMEDGKGGVFVRGLEEEIVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLKQEVYSAREKNGIYIPRDRFLLEEAEKKAMAEKIERMELESESKEKQITELQELYNSQQLMTVDLSEKLEKKEKKLEETEIALFDLEDKHRQANATIKEKEFLISNLLKSEKTLVERAFELRAELENAASDVSDLFAKIERKDKIEDENKSLIQKFQSLLTQQLDILHKTVAASATQQEQQLKDMEEDMQSFVSTKSEATEELRGRLGKLKNMYGSGVKALHDIAKKLDGNFMSISGDLNSEVAKHSCDLEDLYKGITAEAEALLNDLQSSLYKQEEKLTAFAQQQREAHSRAVDNARSISKITVNFFETLDMHASKLTKIVEESQTVNDNKLSEFEKKFEECAANEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENASSKTSKLQKEMSTMQESTSVVKAEWNVHMESTESHYIKDTSAVESGKKDMEDVLQNCMKKAKMSAQQWKNAQESLLSLEKSNVDSVDSIVR >KJB20212 pep chromosome:Graimondii2_0_v6:3:40037729:40045005:1 gene:B456_003G138600 transcript:KJB20212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAQQQRRGGGGLVSLSPSQTPRSNDKSVRDLRSADSNSSSKQDKGVNVQVILRCRPLSEDETRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFDLAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRSVKQIFDILEAQNAEYSMKVTFLELYNEEITDLLAPEETMKFVDDKSKKPIALMEDGKGGVFVRGLEEEIVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLKQEVYSAREKNGIYIPRDRFLLEEAEKKAMAEKIERMELESESKEKQITELQELYNSQQLMTVDLSEKLEKKEKKLEETEIALFDLEDKHRQANATIKEKEFLISNLLKSEKTLVERAFELRAELENAASDVSDLFAKIERKDKIEDENKSLIQKFQSLLTQQLDILHKTVAASATQQEQQLKDMEEDMQSFVSTKSEATEELRGRLGKLKNMYGSGVKALHDIAKKLDGNFMSISGDLNSEVAKHSCDLEDLYKGITAEAEALLNDLQSSLYKQEEKLTAFAQQQREAHSRAVDNARSISKITVNFFETLDMHASKLTKIVEESQTVNDNKLSEFEKKFEECAANEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENASSKTSKLQKEMSTMQESTSVVKAEWNVHMESTESHYIKDTSAVESGKKDMEDVLQNCMKKAKMSAQQWKNAQESLLSLEKSNVDSVDSIVRGGMEANQTLRDQFSCAVSTALEDVDTANNSCLTSIDYSLQLDRDACGNMNSMIIPCCEELRELKGGHYHKIVEITDNAGKCLEEEYMVDKPSCSTPTKRAFNLPSMSSIEELKTPPFEELLKLFWEAKSAKVANGDIKHMLGEYEAASAAPQSPSQQLKDSRLPLTAIN >KJB20208 pep chromosome:Graimondii2_0_v6:3:40037656:40045146:1 gene:B456_003G138600 transcript:KJB20208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAQQQRRGGGGLVSLSPSQTPRSNDKSVRDLRSADSNSSSKQDKGVNVQVILRCRPLSEDETRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFDLAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRSVKQIFDILEAQNAEYSMKVTFLELYNEEITDLLAPEETMKFVDDKSKKPIALMEDGKGGVFVRGLEEEIVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLKQEVYSAREKNGIYIPRDRFLLEEAEKKAMAEKIERMELESESKEKQITELQELYNSQQLMTVDLSEKLEKKEKKLEETEIALFDLEDKHRQANATIKEKEFLISNLLKSEKTLVERAFELRAELENAASDVSDLFAKIERKDKIEDENKSLIQKFQSLLTQQLDILHKTVAASATQQEQQLKDMEEDMQSFVSTKSEATEELRGRLGKLKNMYGSGVKALHDIAKKLDGNFMSISGDLNSEVAKHSCDLEDLYKGITAEAEALLNDLQSSLYKQEEKLTAFAQQQREAHSRAVDNARSISKITVNFFETLDMHASKLTKIVEESQTVNDNKLSEFEKKFEECAANEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENASSKTSKLQKEMSTMQESTSVVKAEWNVHMESTESHYIKDTSAVESGKKDMEDVLQNCMKKAKMSAQQWKNAQESLLSLEKSNVDSVDSIVRGGMEANQTLRDQFSCAVSTALEDVDTANNSCLTSIDYSLQLDRDACGNMNSMIIPCCEELRELKGGHYHKIVEITDNAGKCLEEEYMVDKPSCSTPTKRAFNLPSMSSIEELKTPPFEELLKLFWEAKSAKVANGDIKHMLGEYEAASAAPQSPSQQLKDSRLPLTAIN >KJB19258 pep chromosome:Graimondii2_0_v6:3:28388031:28393795:-1 gene:B456_003G093100 transcript:KJB19258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAITFHNSSFKSPFINPSSNPKPKFLFPTQLSGLISSPLSSFNSKTCLKFGSRTFTKPVKCSVSQAAKPAIEKRSQLKRRSDIRNIAIVAHVDHGKTTLVDAMLKQSKVFRDNQFVQERVMDSNDLERERGITILSKNTSITYKDTKINIIDTPGHSDFGGEVERILNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPDFVINSTFELFIELNASDEQCDFQAIYASGIKGKAGLSADNLAEDLGPLFESIIRRIPGPHIDKDGALQMLATNIEYDEHKGRIAIGRLHAGVLLKGMEVRVCTSEDSCRYARISELFVYEKFSKTPVERVEAGDICAVCGIEDIQIGETIADKAFGKPLPAIKVEEPTVKMAFSINTSPFVGREGKYVTSRNLRDRLYHELERNLAMRVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVINKKVADKLLEPYEVIF >KJB18822 pep chromosome:Graimondii2_0_v6:3:16336978:16342108:1 gene:B456_003G070600 transcript:KJB18822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNNLSEYFNKFILGAKDKLILTCVDTIMTKIIQRIAQKKEAKKVIEPLCPKIQKKLDTKTELCNRNSINVLTIMMMLQERLESYADPCYPKTTYISIYSHLIKPIRGAKQWSQVQSIEPIQPLVPRRPPGKPRKARKKGVDEAQLLVKDGRGGHANELHEMWWSIGPQCQNM >KJB18824 pep chromosome:Graimondii2_0_v6:3:16336870:16342116:1 gene:B456_003G070600 transcript:KJB18824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNNLSEYFNKFILGAKDKLILTCVDTIMTKIIQRIAQKKEAKKVIEPLCPKIQKKLDTKTELCNRNSINVLTIMMMLQERLESYADPCYPKTTYISIYSHLIKPIRGAKQWSQVQSIEPIQPLVPRRPPGKPRKARKKGVDEAQLLVKDGRGGHANELHEMWWSIGPQCQNM >KJB18823 pep chromosome:Graimondii2_0_v6:3:16336978:16342116:1 gene:B456_003G070600 transcript:KJB18823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNNLSEYFNKFILGAKDKLILTCVDTIMTKIIQRIAQKKEAKKVIEPLCPKIQKKLDTKTELCNRNSINVLTIMMMLQERLESYADPCYPKTTYISIYSHLIKPIRGAKQWSQVQSIEPIQPLVPRRPPGKPRKARKKGVDEAQLLVKDGRGGHANELHEMWWSIGPQCQNM >KJB17826 pep chromosome:Graimondii2_0_v6:3:1238601:1241374:1 gene:B456_003G017600 transcript:KJB17826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKKTLVEKLFNISKISSQAVTNCRISSTTIQNRISKNAGKATAEMAPDPGDLNGAGNGVFKRFLHKGSAVSPAMRTLPRGKDLIEKLKEIDMSKDRIRLDGLNLNPVLTAKPVVTEVTSLSVQELKKLLRVGQLEAVKTRLMKTGKTWISYSDFIRICGESCSDPEQGLQFAKSLDESGNVIVLGNVVVLRPDQVAKALGGLIPLPEPGLNDPRRKELIELEQQKAAIDTKADSLVRRELWLGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYAFFLRTSKEPSFEGFYQSRFNAKQKKLIESQGFDIRRYNELKEIFYPDSSSQQAFTTASFDHSE >KJB17825 pep chromosome:Graimondii2_0_v6:3:1238601:1241374:1 gene:B456_003G017600 transcript:KJB17825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKKTLVEKLFNISKISSQAVTNCRISSTTIQNRISKNAGKATAEMAPDPGDLNGAGNGVFKRFLHKGSAVSPAMRTLPRGKDLIEKLKEIDMSKDRIRLDGLNLNPVLTAKPVVTEVTSLSVQELKKLLRVGQLEAVKTRLMKTGKTWISYSDFIRICGESCSDPEQGLQFAKSLDESGNVIVLGNVVVLRPDQDFLRTVLKVSQVAKALGGLIPLPEPGLNDPRRKELIELEQQKAAIDTKADSLVRRELWLGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYAFFLRTSKEPSFEGFYQSRFNAKQKKLIESQGFDIRRYNELKEIFYPDSSSQQAFTTASFDHSE >KJB18162 pep chromosome:Graimondii2_0_v6:3:3820458:3823497:-1 gene:B456_003G036700 transcript:KJB18162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLPEEVHSNKAFGWAAKDSSCVLSPFNFFTRETGEKDVTFKVLYCGICHSDLHMVKNEWGNSIYPLVPGHEIVGEVTEIGCKVQKFKVGDRVGVGCMVGSCHSCDNCMNNLENYCPKMIFTYGTKYYDGAVTHGGYSNIMVVNEHFTVSIPENLPLDATAPLLCAGITVYSPLRFYGLDKPGLRVGVVGLGGLGHLAVKFAKAMGTNVTVISTSPSKQKEALENLGADSFLVSRDQDQLQAAIGTLDGIIDTVSAQHPLLPLLGLLKSHGKLVILGIPGKPFELQVFPLIQGRKLLGGSMIGGMKETQEMIDFAVEHNIKTDIEVIAMDYVNTAMDRLLKGDVKYRFVIDIGNTLRPSS >KJB18800 pep chromosome:Graimondii2_0_v6:3:15374723:15378685:1 gene:B456_003G069600 transcript:KJB18800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAETGEDVAVHAEPPDPDVLEIDPTSRYIMYNEVLGKGAFKTVYKAFDELNGIEVAWNQVRIEEVLQKPEDLERLYSEVRLLKSLKHSNIVRFYNSWIDDKKKTVNIITELFTSGSLRQYRKKHKKVDIKAVKSWARQILTGLVYLHSHDPPIIHRDLKCDNIFINGNQGEVKIGDLGLATVLEQSNAKSVIGTPEFMAPELYDENYNELVDIYSFGMCMLEMVTFEYPYSECRNSAQIYKKVSSGIKPAALSKVNDQEMKLFIEKCLVPAPQRLSAKELLMDPFLQANGSAKSRPFALPDIVMPKIGAFGDRCLMSEAPASTRNRPSSLDLGSDSELPVIKFLDNSLGIEVRRTNKGHVFLLKGEGNDENSVSLILRIADQNDRVRNIHFLFFLDSDTALSVSSEMVEQLELADQNDVFIAELIDLLLLNLIPGWKPCVSIDHLVPPNRRQTSRDYQYSQGHGETSLGSSQHTAHTDNGSQSKLCSNASTLGASDESTKQGPCSVKFNGKTSHAKFVVEDSGSEMSFASANSNEWNDKLSSVHSFMSAELGPMCCNGHGFKRSSSKLLSEAELYFHAESMSTNPESSSTSGPVEDEELRLELEMIELQYQEAMKEISKRRHEAIMDTRRRLSQKKMHSVY >KJB18799 pep chromosome:Graimondii2_0_v6:3:15375222:15378685:1 gene:B456_003G069600 transcript:KJB18799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAETGEDVAVHAEPPDPDVLEIDPTSRYIMYNEVLGKGAFKTVYKAFDELNGIEVAWNQVRIEEVLQKPEDLERLYSEVRLLKSLKHSNIVRFYNSWIDDKKKTVNIITELFTSGSLRQYRKKHKKVDIKAVKSWARQILTGLVYLHSHDPPIIHRDLKCDNIFINGNQGEVKIGDLGLATVLEQSNAKSVIGTPEFMAPELYDENYNELVDIYSFGMCMLEMVTFEYPYSECRNSAQIYKKVSSGIKPAALSKVNDQEMKLFIEKCLVPAPQRLSAKELLMDPFLQANGSAKSRPFALPDIVMPKIGAFGDRCLMSEAPASTRNRPSSLDLGSDSELPVIKFLDNSLGIEVRRTNKGHVFLLKGEGNDENSVSLILRIADQNDRVRNIHFLFFLDSDTALSVSSEMVEQLELADQNDVFIAELIDLLLLNLIPGWKPCVSIDHLVPPNRRQTSRDYQYSQGHGETSLGSSQHTAHTDNGSQSKLCSNASTLGASDESTKQGPCSVKFNGKTSHAKFVVEDSGSEMSFASANSNEWNDKLSSVHSFMSAELGPMCCNGHGFKRSSSKLLSEAELYFHAESMSTNPESSSTSGPVEDEELRLELEMIELQYQEAMKEISKRRHEAIMDTRRRLSQKKMHSVY >KJB18279 pep chromosome:Graimondii2_0_v6:3:5682849:5685203:1 gene:B456_003G044200 transcript:KJB18279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGGLNMVYLAFLLFFNLISCFPSSSHAQNANLNLPLRAVNLGNWLVTEGWMQPSRFDGIVNKDLLDGTQVQFLSTRLNKYLCAESGGGTIIVANRASASGWETFRLWRVNASYFNFRVFFKQFVGLGNQGVVQAVLNGPTNSATFEIVRRNGDPNKVRLRASNGLFLQAQSETRVTADYRGSSWDDWDPSVFRMTIVNTLQGEYQITNGYGPDRAPQVMQDHWDSYITEQDFNFMSSNGLDAVRVPVGWWIAQDPNPPRPFVGGSSRALDNAFTWAEKYGMKVIIDLHAVKDSQNGNDHSGTRDGFQEWGDSNIGETVAVIEYLAARYGGRLGLAAIELMNEPLAPGATFDALAKYYRAGYDAVRKHTDAYVILSARLGPADPQEFFSLASSMNRVGIDVHNYNLFSDMFSSMSVQQNIDYIYNQRASDLGRLISANGPRVFVGEWTGEFGRNDASMEDYKRFARAQLDVYGPATFGWAYWTYKCVYNHWSLKWMIENNYINLN >KJB18878 pep chromosome:Graimondii2_0_v6:3:17459644:17462662:1 gene:B456_003G073300 transcript:KJB18878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLTMETLPLGFRFRPTDEELINHYLRLKINGRHSEVGVIPEIDVCKWEPWDLPGLSVIKSDDPEWFFFCPLDRKYPNSHRSNRATDKGYWKATGKDRTIKSKKSLIGMKKTLVFYKGRAPKGQRTYWIMHEYRPTTEDLDGTAPGQSAFVLCRLFHKPEEKNDIVKYDEVEQTVCSAAMTKSAPDDDTSSDLLQDTISSETQAQNPDTSMRNGQITGDSCCNSHMTSDAEDHAAEETLKYPLLGSNSDLYEPNCDEIDYKVFSPMNSHFFEDLPPYMDSPYASDFGHDQNGFHFQDGTSKQDISFPLLDEALNNRANFSEESNSWKNLVAGTEMPLSGNAFISKAMPPETSYVKENGIYTEMLPVQYESDVGGPGWPGGFVDDKNSVQMLTSLESSLTQTGPYDPVFIGGHIGGPENYCVGQATSSTNSAIGDFNNLQQLTSLRNHVNNGGVTGMRTTAYQPLQQPLEDYETLGTGFNFRTRGPQEKPSLDNILNQGNAPRRLRLLMKISNGPMKVSAGCFDDGKLTSAGLGEEEEVQSTLTEVG >KJB18877 pep chromosome:Graimondii2_0_v6:3:17459644:17462662:1 gene:B456_003G073300 transcript:KJB18877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHFFEDLPPYMDSPYASDFGHDQNGFHFQDGTSKQDISFPLLDEALNNRANFSEESNSWKNLVAGTEMPLSGNAFISKAMPPETSYVKENGIYTEMLPVQYESDVGGPGWPGGFVDDKNSVQMLTSLESSLTQTGPYDPVFIGGHIGGPENYCVGQATSSTNSAIGDFNNLQQLTSLRNHVNNGGVTGMRTTAYQPLQQPLEDYETLGTGFNFRTRGPQEKPSLDNILNQGNAPRRLRLLMKISNGPMKVSAGCFDDGKLTSAGLGEEEEVQSTLTEVTEAEATGQTSSSDESKKENQLFKFTSSEDIGKEPCTKLRQRVKEDSKPCSSQMCSKAEPMHKRSSSLSVLLLVFFIITILFILVTGIWR >KJB18879 pep chromosome:Graimondii2_0_v6:3:17459644:17462662:1 gene:B456_003G073300 transcript:KJB18879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEYRPTTEDLDGTAPGQSAFVLCRLFHKPEEKNDIVKYDEVEQTVCSAAMTKSAPDDDTSSDLLQDTISSETQAQNPDTSMRNGQITGDSCCNSHMTSDAEDHAAEETLKYPLLGSNSDLYEPNCDEIDYKVFSPMNSHFFEDLPPYMDSPYASDFGHDQNGFHFQDGTSKQDISFPLLDEALNNRANFSEESNSWKNLVAGTEMPLSGNAFISKAMPPETSYVKENGIYTEMLPVQYESDVGGPGWPGGFVDDKNSVQMLTSLESSLTQTGPYDPVFIGGHIGGPENYCVGQATSSTNSAIGDFNNLQQLTSLRNHVNNGGVTGMRTTAYQPLQQPLEDYETLGTGFNFRTRGPQEKPSLDNILNQGNAPRRLRLLMKISNGPMKVSAGCFDDGKLTSAGLGEEEEVQSTLTEVTEAEATGQTSSSDESKKENQLFKFTSSEDIGKEPCTKLRQRVKEDSKPCSSQMCSKAEPMHKRSSSLSVLLLVFFIITILFILVTGIWR >KJB18876 pep chromosome:Graimondii2_0_v6:3:17459125:17462768:1 gene:B456_003G073300 transcript:KJB18876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLTMETLPLGFRFRPTDEELINHYLRLKINGRHSEVGVIPEIDVCKWEPWDLPGLSVIKSDDPEWFFFCPLDRKYPNSHRSNRATDKGYWKATGKDRTIKSKKSLIGMKKTLVFYKGRAPKGQRTYWIMHEYRPTTEDLDGTAPGQSAFVLCRLFHKPEEKNDIVKYDEVEQTVCSAAMTKSAPDDDTSSDLLQDTISSETQAQNPDTSMRNGQITGDSCCNSHMTSDAEDHAAEETLKYPLLGSNSDLYEPNCDEIDYKVFSPMNSHFFEDLPPYMDSPYASDFGHDQNGFHFQDGTSKQDISFPLLDEALNNRANFSEESNSWKNLVAGTEMPLSGNAFISKAMPPETSYVKENGIYTEMLPVQYESDVGGPGWPGGFVDDKNSVQMLTSLESSLTQTGPYDPVFIGGHIGGPENYCVGQATSSTNSAIGDFNNLQQLTSLRNHVNNGGVTGMRTTAYQPLQQPLEDYETLGTGFNFRTRGPQEKPSLDNILNQGNAPRRLRLLMKISNGPMKVSAGCFDDGKLTSAGLGEEEEVQSTLTEVTEAEATGQTSSSDESKKENQLFKFTSSEDIGKEPCTKLRQRVKEDSKPCSSQMCSKAEPMHKRSSSLSVLLLVFFIITILFILVTGIWR >KJB21169 pep chromosome:Graimondii2_0_v6:3:45651382:45654251:-1 gene:B456_003G185900 transcript:KJB21169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVKSILDIPVQDPQAEFSSADLTWKKFGTVEHQDDVALIPYTRVNDFIIGECCNVECPKRFHIERGRKRSKGSVKEYKNDDYLEYKLYWCSFGPENYGEGGGILPSRKYRLNTRFKHPIRAARPQSMRGCTCHFVVKRLYTRPSLVLIIYNVKCHINKSGFVCHGPLDKDTIGPIQQQTMSMIYQGIPKENVLGKNIECIQQYCGSDATFDTLASEYVRKLGMIIKRSTHELDRDDQASIRMWVERNKKSIFFYQDTSKMDPFILGIQTEWQLQQMARFGRHNLVAADSTFGIKKLKYPLCTLLVFDSRHHALPVAWIITPSVVKSDMSKWKKALNTFCPILFSLWRLRSSWLRNVVKKCSNIEVQREIFKRLGKIVYGTWSSVNTSVALEECIQDFVDQTAFVDYFRSSWMAKIEMWLLTLKSFPLASQAASGAIEAYHVKLKTKLFDDSHLGALLRVDWLVHKLTTELYSTYWLNRYADESNSFQNVKEEYIASTSWHRALQIPDSAVTLDDKYNLFAKVASLKGSSITHIVWNPGSDFAFCDSAWSMQGNFCKHVIKVNMMCDNDKACEPSMSSRSFGEIPMDLWKKPMDDSILLDESVAWSHQMLDQIKQLVELNSSNDIGIVVNNMPLKWISKKGRKFVGIPASFPALPSTSKSNLQKKNRKWKRLSRLR >KJB20798 pep chromosome:Graimondii2_0_v6:3:43555977:43557689:1 gene:B456_003G165500 transcript:KJB20798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTACISFSWLLNVGNQKDGLFLASFSFLVAILGISLLYSFWKARKPMAPLPPGPRGLPILGYLPFLGFDNLHLVFTELGRVYGPIYKLWLGNKLTVVISSPSLVKEVVRDHDIAFSEREPPIAAQIITFGSSDIAFDSYSSPSWKNKRKVLATDMLSNANLNACYDLRREQVMKMVEDVYENVGKPIDIGELAFCTLINLIGKMVWGGALRGEKGTAVEGRFKEISSQMVVLIGKPNISDIFPAIAWFDMQGIQRGIKKIRQSFNELLESVIELRMNTVTEKETSEQKSDFLQLLLDLHKNQDSPSSLTMNQVKGLLMDIVVGATDTTSTTTEWAMAELMQHPEIMEKVKKELTDVVGVNDIIEEFHLPNLSYLSAVIKETFRLHPPVPLLVPRCSAQSLTVGGYNIPKGSKVFLNMWFIHTDPNIWDNPSEFRPERFLDEPGKFDFLGNDFRYMPFGSGRRRCPGITLGEKMLSFILASLLHSFEWKLPQGEQLDLSSKFGIVMKKKNHLRLIPIPRLSDHVLYKK >KJB20797 pep chromosome:Graimondii2_0_v6:3:43555910:43558697:1 gene:B456_003G165500 transcript:KJB20797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTACISFSWLLNVGNQKDGLFLASFSFLVAILGISLLYSFWKARKPMAPLPPGPRGLPILGYLPFLGFDNLHLVFTELGRVYGPIYKLWLGNKLTVVISSPSLVKEVVRDHDIAFSEREPPIAAQIITFGSSDIAFDSYSSPSWKNKRKVLATDMLSNANLNACYDLRREQVMKMVEDVYENVGKPIDIGELAFCTLINLIGKMVWGGALRGEKGTAVEGRFKEISSQMVVLIGKPNISDIFPAIAWFDMQGIQRGIKKIRQSFNELLESVIELRMNTVTEKETSEQKSDFLQLLLDLHKNQDSPSSLTMNQVKGLLMDIVVGATDTTSTTTEWAMAELMQHPEIMEKVKKELTDVVGVNDIIEEFHLPNLSYLSAVIKETFRLHPPVPLLVPRCSAQSLTVGGYNIPKGSKVFLNMWFIHTDPNIWDNPSEFRPERFLDEPGKFDFLGNDFRYMPFGSGRRRCPGITLGEKMLSFILASLLHSFEWKLPQGEQLDLSSKFGIVMKKKNHLRLIPIPRLSDHVLYKNMQP >KJB18050 pep chromosome:Graimondii2_0_v6:3:2867274:2870051:1 gene:B456_003G031400 transcript:KJB18050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPEFSLSQFSPMAKPRNRSFWCLSDSFLYCGGVFLAILLVCSFWSFFTPTLNFSSAITDPSSAVSCKESGFGVNLKSDPKDLTFYDDPELSYSIEKPVKNWDEKRKQWLNHHPSFAAGASERIVVVTGSQPKPCKNPIGDHLLLRSFKNKVDYCRIHGYDIFYNNLLLHPKMGSYWAKLPVVKAAMLTHPEAEWIWWVDSDALFTDMEFKLPLQRYKNHNLVVHGWPELIYDKKSWTSLNAGVFLIRNCQWSMDLIETWSNMGPISKDYKKWGEIQRSTFKDKLFPESDDQTALIYLLYKHKEKYYDHIYLEGEFYFEGYWVEIFGRYENTTEMYLAIERGAAELRRRHAEKVSEQYGAFREEYLKSAGNGKGSWRRPLITHFTGCQPCNGDHNKMYDGESCWNGMVKALNFADNQVLRKYGFIHPDLLDSSIVSEVPFDYPADEGPW >KJB18418 pep chromosome:Graimondii2_0_v6:3:7937861:7941099:-1 gene:B456_003G052100 transcript:KJB18418 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Flavonoid biosynthesi [Source: Projected from Oryza sativa (Os02g0697400)] MISIADHPVELESPKPDQLSPETPADHIFRSKLPDIPISNHLPLHTYCFENLPSLSDKPCLISGSSGKIYSFSETHLIAQKTAAGLSNLGIQKGDAIMILLHNCAEFVFAFMGASMIGAVSTTANPFYTSKEIFKQFKAARAKLIITQSQYVDKLKDTNNNNDGDENFPKIGEDFKVITIDDPPENCLDFSMLSEANENEIPQVTIHPDDPVALPFSSGTTGLPKGVVLTHKSLITSVAQQVDGENPNLYLTHDDVVLCVLPLFHIYSLNSVLLCSLRAGAAVLLMQKFEIGTLLELIQRHKVSVAAVVPPLVVLLAKNPVVAQFDLSSIRVVLSGAAPLGKELEDALRGRVPQAVLGQGYGMTEAGPVLSMCLGFAKQPFPTKSGSCGTVVRNAELKVIDPETGCSLGYNQPGEICIRGSQIMKGYLNDGVATAATIDVEGWLHTGDIGYVDEDDEIFIVDRVKEIIKFKGFQVR >KJB18419 pep chromosome:Graimondii2_0_v6:3:7937376:7941099:-1 gene:B456_003G052100 transcript:KJB18419 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Flavonoid biosynthesi [Source: Projected from Oryza sativa (Os02g0697400)] MISIADHPVELESPKPDQLSPETPADHIFRSKLPDIPISNHLPLHTYCFENLPSLSDKPCLISGSSGKIYSFSETHLIAQKTAAGLSNLGIQKGDAIMILLHNCAEFVFAFMGASMIGAVSTTANPFYTSKEIFKQFKAARAKLIITQSQYVDKLKDTNNNNDGDENFPKIGEDFKVITIDDPPENCLDFSMLSEANENEIPQVTIHPDDPVALPFSSGTTGLPKGVVLTHKSLITSVAQQVDGENPNLYLTHDDVVLCVLPLFHIYSLNSVLLCSLRAGAAVLLMQKFEIGTLLELIQRHKVSVAAVVPPLVVLLAKNPVVAQFDLSSIRVVLSGAAPLGKELEDALRGRVPQAVLGQGYGMTEAGPVLSMCLGFAKQPFPTKSGSCGTVVRNAELKVIDPETGCSLGYNQPGEICIRGSQIMKGYLNDGVATAATIDVEGWLHTGDIGYVDEDDEIFIVDRVKEIIKFKGFQVPPAELESLLISHPSIADAAVVPQKDEVAGEVPVAFVVRSNGFELTEEAVKEFIAKQVYTKITS >KJB18422 pep chromosome:Graimondii2_0_v6:3:7937616:7941099:-1 gene:B456_003G052100 transcript:KJB18422 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Flavonoid biosynthesi [Source: Projected from Oryza sativa (Os02g0697400)] MISIADHPVELESPKPDQLSPETPADHIFRSKLPDIPISNHLPLHTYCFENLPSLSDKPCLISGSSGKIYSFSETHLIAQKTAAGLSNLGIQKGDAIMILLHNCAEFVFAFMGASMIGAVSTTANPFYTSKEIFKQFKAARAKLIITQSQYVDKLKDTNNNNDGDENFPKIGEDFKVITIDDPPENCLDFSMLSEANENEIPQVTIHPDDPVALPFSSGTTGLPKGVVLTHKSLITSVAQQVDGENPNLYLTHDDVVLCVLPLFHIYSLNSVLLCSLRAGAAVLLMQKFEIGTLLELIQRHKVSVAAVVPPLVVLLAKNPVVAQFDLSSIRVVLSGAAPLGKELEDALRGRVPQAVLGQGYGMTEAGPVLSMCLGFAKQPFPTKSGSCGTVVRNAELKVIDPETGCSLGYNQPGEICIRGSQIMKGYLNDGVATAATIDVEGWLHTGDIGYVDEDDEIFIVDRVKEIIKFKGFQVPPAELESLLISHPSIADAAVVPYVLSNMFNSWLTYTQFFFFFFFSFKYSCWIFV >KJB18420 pep chromosome:Graimondii2_0_v6:3:7936732:7941584:-1 gene:B456_003G052100 transcript:KJB18420 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Flavonoid biosynthesi [Source: Projected from Oryza sativa (Os02g0697400)] MISIADHPVELESPKPDQLSPETPADHIFRSKLPDIPISNHLPLHTYCFENLPSLSDKPCLISGSSGKIYSFSETHLIAQKTAAGLSNLGIQKGDAIMILLHNCAEFVFAFMGASMIGAVSTTANPFYTSKEIFKQFKAARAKLIITQSQYVDKLKDTNNNNDGDENFPKIGEDFKVITIDDPPENCLDFSMLSEANENEIPQVTIHPDDPVALPFSSGTTGLPKGVVLTHKSLITSVAQQVDGENPNLYLTHDDVVLCVLPLFHIYSLNSVLLCSLRAGAAVLLMQKFEIGTLLELIQRHKVSVAAVVPPLVVLLAKNPVVAQFDLSSIRVVLSGAAPLGKELEDALRGRVPQAVLGQGYGMTEAGPVLSMCLGFAKQPFPTKSGSCGTVVRNAELKVIDPETGCSLGYNQPGEICIRGSQIMKGYLNDGVATAATIDVEGWLHTGDIGYVDEDDEIFIVDRVKEIIKFKGFQVR >KJB18421 pep chromosome:Graimondii2_0_v6:3:7936732:7941584:-1 gene:B456_003G052100 transcript:KJB18421 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Flavonoid biosynthesi [Source: Projected from Oryza sativa (Os02g0697400)] MISIADHPVELESPKPDQLSPETPADHIFRSKLPDIPISNHLPLHTYCFENLPSLSDKPCLISGSSGKIYSFSETHLIAQKTAAGLSNLGIQKGDAIMILLHNCAEFVFAFMGASMIGAVSTTANPFYTSKEIFKQFKAARAKLIITQSQYVDKLKDTNNNNDGDENFPKIGEDFKVITIDDPPENCLDFSMLSEANENEIPQVTIHPDDPVALPFSSGTTGLPKGVVLTHKSLITSVAQQVDGENPNLYLTHDDVVLCVLPLFHIYSLNSVLLCSLRAGAAVLLMQKFEIGTLLELIQRHKVSVAAVVPPLVVLLAKNPVVAQFDLSSIRVVLSGAAPLGKELEDALRGRVPQAVLGQGYGMTEAGPVLSMCLGFAKQPFPTKSGSCGTVVRNAELKVIDPETGCSLGYNQPGEICIRGSQIMKGYLNDGVATAATIDVEGWLHTGDIGYVDEDDEIFIVDRVKEIIKFKGFQVPPAELESLLISHPSIADAAVVPYAKR >KJB18423 pep chromosome:Graimondii2_0_v6:3:7936732:7941584:-1 gene:B456_003G052100 transcript:KJB18423 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Flavonoid biosynthesi [Source: Projected from Oryza sativa (Os02g0697400)] MISIADHPVELESPKPDQLSPETPADHIFRSKLPDIPISNHLPLHTYCFENLPSLSDKPCLISGSSGKIYSFSETHLIAQKTAAGLSNLGIQKGDAIMILLHNCAEFVFAFMGASMIGAVSTTANPFYTSKEIFKQFKAARAKLIITQSQYVDKLKDTNNNNDGDENFPKIGEDFKVITIDDPPENCLDFSMLSEANENEIPQVTIHPDDPVALPFSSGTTGLPKGVVLTHKSLITSVAQQVDGENPNLYLTHDDVVLCVLPLFHIYSLNSVLLCSLRAGAAVLLMQKFEIGTLLELIQRHKVSVAAVVPPLVVLLAKNPVVAQFDLSSIRVVLSGAAPLGKELEDALRGRVPQAVLGQGYGMTEAGPVLSMCLGFAKQPFPTKSGSCGTVVRNAELKVIDPETGCSLGYNQPGEICIRGSQIMKGYLNDGVATAATIDVEGWLHTGDIGYVDEDDEIFIVDRVKEIIKFKGFQVPPAELESLLISHPSIADAAVVPQKDEVAGEVPVAFVVRSNGFELTEEAVKEFIAKQVVYYKRLHKVHFVHAIPKSPSGKILRKDLRAKLAAAAPTTHIP >KJB19010 pep chromosome:Graimondii2_0_v6:3:19800250:19804282:-1 gene:B456_003G080800 transcript:KJB19010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSMSMAPLLLMVLCLPFALGWHDYNQALSKSILFFEAQRSGYLPHNQRVTWRANSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIIEYGKPMAANGELGHAMEAVKWGTDYLIKAHPEPYVLYGEVGDGNTDHYCWQRPEDMTTDRHAYRIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSSELLHHAYQLFDFADKYKGKYDSSITVAQKYYRSVSGYNDELLWAAAWLYQASNNQYYLNYLGKNGDSMGGTGWAMTEFGWDVKYAGVQTLVAKFLMQGKAGMHAPVFERYHQKAEHFMCSLIGKGTRNVQKTPGGLMFRQRWNNMQFVTSASFLATVYSDYLASSKGTLRCAAGNVAPTQLLSFAKSQVDYLLGDNPRGTSYMVGYGNNFPRQVHHRGSSIVSIKVDSKFIACRQGYAAWYTRKASDPNVLTGAVVGGPDAYDNFADERNNYEQTEPATYNNAPLLGILARLGSGHSGYNQLLPEAVPVPKPVAAKPKAEPKAKSTPNPATTSSPIFIQQKMTTSWNEKGRTYYRYSIVMTNKSSKTLNDLKLSISKLYGPIWGLTKSGNSYGFPTHLNSLPAGKSLEFVYIHAASPADVSVSSYNLA >KJB19014 pep chromosome:Graimondii2_0_v6:3:19801256:19803699:-1 gene:B456_003G080800 transcript:KJB19014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSMSMAPLLLMVLCLPFALGWHDYNQALSKSILFFEAQRSGYLPHNQRVTWRANSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIIEYGKPMAANGELGHAMEAVKWGTDYLIKAHPEPYVLYGEVGDGNTDHYCWQRPEDMTTDRHAYRIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSSELLHHAYQLFDFADKYKGKYDSSITVAQKYYRSVSGYNDELLWAAAWLYQASNNQYYLNYLGKNGDSMGGTGWAMTEFGWDVKYAGVQTLVAKFLMQGKAGMHAPVFERYHQKAEHFMCSLIGKGTRNVQKTPGGLMFRQRWNNMQFVTSASFLATVYSDYLASSKGTLRCAAGNVAPTQLLSFAKSQVDYLLGDNPRGTSYMVGYGNNFPRQVHHRGSSIVSIKVDSKFIACRQGYAAWYTRKASDPNVLTGAVVGGPDAYDNFADERNNYEQTEPATYNNAPLLGILARLGSGHSGYNQLLPGIYNLYLHYVLWF >KJB19012 pep chromosome:Graimondii2_0_v6:3:19800329:19803927:-1 gene:B456_003G080800 transcript:KJB19012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSMSMAPLLLMVLCLPFALGWHDYNQALSKSILFFEAQRSGYLPHNQRVTWRANSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIIEYGKPMAANGELGHAMEAVKWGTDYLIKAHPEPYVLYGEVGDGNTDHYCWQRPEDMTTDRHAYRIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSSELLHHAYQLFDFADKYKGKYDSSITVAQKYYRSVSGYNDELLWAAAWLYQASNNQYYLNYLGKNGDSMGGTGWAMTEFGWDVKYAGVQTLVAKFLMQGKAGMHAPVFERYHQKAEHFMCSLIGKGTRNVQKTPGGLMFRQRWNNMQFVTSASFLATVYSDYLASSKGTLRCAAGNVAPTQLLSFAKSQVDYLLGDNPRGTSYMVGYGNNFPRQVHHRGSSIVSIKVDSKFIACRQGYAAWYTRKASDPNVLTGAVVGGPDAYDNFADERNNYEQTEPATYNNAPLLGILARLGSGHSGYNQLLPGIYNLYLHYVLWF >KJB19013 pep chromosome:Graimondii2_0_v6:3:19801121:19803699:-1 gene:B456_003G080800 transcript:KJB19013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSMSMAPLLLMVLCLPFALGWHDYNQALSKSILFFEAQRSGYLPHNQRVTWRANSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIIEYGKPMAANGELGHAMEAVKWGTDYLIKAHPEPYVLYGEVGDGNTDHYCWQRPEDMTTDRHAYRIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSSELLHHAYQLFDFADKYKGKYDSSITVAQKYYRSVSGYNDELLWAAAWLYQASNNQYYLNYLGKNGDSMGGTGWAMTEFGWDVKYAGVQTLVAKFLMQGKAGMHAPVFERYHQKAEHFMCSLIGKGTRNVQKTPGGLMFRQRWNNMQFVTSASFLATVYSDYLASSKGTLRCAAGNVAPTQLLSFAKSQVDYLLGDNPRGTSYMVGYGNNFPRQVHHRGSSIVSIKVDSKFIACRQGYAAWYTRKASDPNVLTGAVVGGPDAYDNFADERNNYEQTEPATYNNAPLLGILARLGSGHSGYNQLLPEAVPVPKPVAAKPKAEPKAKSTPNPGTCFV >KJB19011 pep chromosome:Graimondii2_0_v6:3:19800329:19803927:-1 gene:B456_003G080800 transcript:KJB19011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSMSMAPLLLMVLCLPFALGWHDYNQALSKSILFFEAQRSGYLPHNQRVTWRANSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIIEYGKPMAANGELGHAMEAVKWGTDYLIKAHPEPYVLYGEVGDGNTDHYCWQRPEDMTTDRHAYRIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSSELLHHAYQLFDFADKYKGKYDSSITVAQKYYRSVSGYNDELLWAAAWLYQASNNQYYLNYLGKNGDSMGGTGWAMTEFGWDVKYAGVQTLVAKFLMQGKAGMHAPVFERYHQKAEHFMCSLIGKGTRNVQKTPGGLMFRQRWNNMQFVTSASFLATVYSDYLASSKGTLRCAAGNVAPTQLLSFAKSQVDYLLGDNPRGTSYMVGYGNNFPRQVHHRGSSIVSIKVDSKFIACRQGYAAWYTRKASDPNVLTGAVVGGPDAYDNFADERNNYEQTEGCPSS >KJB20629 pep chromosome:Graimondii2_0_v6:3:42649030:42655994:1 gene:B456_003G157000 transcript:KJB20629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLDSLKDLISDNNPMVVANAVAALAEIQENSSGPIFEITTPTLTKLLTALNECTEWGQVFILDALSRYKASDAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPPQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSTLLDELLANIATLSSVYHKPPDAFVTRAKTATQKTEDDDYPDGNETRYSETLSNAANGGASPPSSSSVPHAAARQPAPAPAAPAPAAVPDLLSDLIGLDNNQIVPADQPATSSGPPLPILLPAGSGQGLQISAQLVRQDGQIFYSLLFENNTQITLDGFMIQFNKNSFGLAAAGPLQVPPLAPGASARTLLPMVLFQNTSTGPPNTLLQVAVKNSQQPVWYFNDKILLHVFFTEDGRMERTSFLETWRSLPDSNEVLKEFPGIMVTTAEATLDRLAASNMFFIAKRKHANQDVFYFSAKIPRGIPFLIELTTIIGNPGVKCAIKTPNPEMAPLFFEAIETLLKA >KJB17265 pep chromosome:Graimondii2_0_v6:3:42248704:42268516:1 gene:B456_003G153800 transcript:KJB17265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) UniProtKB/TrEMBL;Acc:F4I0A4] MEGDGVALACLISGILFAVLGLASFSILWAVNWRPWRIYSWIFARKWPSILQGPQLGMLCALLSLVAWAIVLSPVVVLIMWGCWLIIILGRDIVGLAVIMAGIALLLAFYSIMLWWRTRWQSSRAVAFLLLLAVALLCAYELCAVYVTAGSSASERYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDSIEMGPVSCLPEPPDPNELYPREFSRASHLGLLYLGSLVVLIVYSILYGLTAKDAHWLGAITSAAVIILDWNMGACLYGFQLLKSRVVALFVAGTTRVFLICFGVHYWYLGHCISYAVVASVLLGAAVSRHFSATNPLAARRDALQSTVIRLREGFRRKEQNSSSSSSDGCGSSVKRSSSVEGGHSTNIIEGSSQSMVQCSDANNWNSLGYIQEGINSDKSVDSGRPSLAMHNSSHHSVVQENEVGTLEKNIDPNSSLMVCSSGGHDSQGCESSTSTSANQQMLDLNLALALQERLSDPRITSMLKRRARHGDRELTSLLQDKGLDPNFAMMLKEKSLDPTILALLQRSSLDADRDHRDNTDVTIVDSNSVDNAMPNQISLSEELRLQGLEKWLKLSRLVLHHIASTPERAWVLFSFVFIIETIIVAVFRPKTIKIINATHQQFEFGFAVLLLSPVVCSIMAFIRSLQGEETPLTPKPRRYGFVAWLLSTSVGLLLSFLSKSSVLLGLSLTVPLIVACLSVAIPIWIRNGYQFWVPQVQCAGFAGNHRHSGTKEVVVLTLCITVFAGSVLALGAIVSVKPLDDLRYKGLTGEQNNFTSPYASSAYLGWAMASAVALAVTGVLPIVSWFATYRFSLSSAICVSIFSVVLVAFCGASYLKIVKSRDDQVPTAGDFLAAFLPLVCIPALLSLCSGLLKWKDDGWKLSRGVYVFVTIGLLLLLAAISAVIVVIKPWTIGAAFLLLLLLIVLAIGVIHHWASNNFYLTRTQMFLVCFLAFLLGLAAFFVGWFQDKPFIGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLTIYPPYAGAAVSAVTLVVAFGFAVSRPCLTLKMMEDAVHFLSKDTVVQAISRSATKTRNALSGTYSAPQRSASSAALLVGDPAATLDKGGNFVLPRDDVMKLRDRLRNEELVAGSFFHRMRYHRGFRREPTNDVDYRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLSLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISSIPNAGSREAAAMAAAVRAVGGDSVLEDSFARERVSSIARRIRTAQLARRAVQTGLSGAVCILDDEPTTSGRHCGQIDPSMCQSQKVSFSVAVMIQPESGPVCLLGTEFQKKVCWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHTVTMTIDADIGEATCYLDGGFDGYQTSLPLFVGTSIWEQGTEVWVGVRPPIDMDAFGRSDSEGAESKMHIMDVFLWGRCLNEDEVASLHTSICSTEFNLIDFPEDNWHWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSEREGFVVHVDSFARRYRKPRIETQEEINQRMLSVELAVKEALSARGEMHFTDNEFPPNDQSLFIDPRNPPSKLQVVSEWMRPAEIVKGHLDSRPCLFSGAANPSDVCQGRLGDCWFLSAVAVLTEVSQISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESLGKPAFATSRKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSSEWTDRMRYKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYNSWHQNPQFRLRASGPDASYPIHVFITLTQGVSFSRTAAGFRNYQSSHDSQMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLEPEPKGYTIVPTTIHPGEEAPFVLSVFTKASVILEPL >KJB17263 pep chromosome:Graimondii2_0_v6:3:42248704:42265828:1 gene:B456_003G153800 transcript:KJB17263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) UniProtKB/TrEMBL;Acc:F4I0A4] MEGDGVALACLISGILFAVLGLASFSILWAVNWRPWRIYSWIFARKWPSILQGPQLGMLCALLSLVAWAIVLSPVVVLIMWGCWLIIILGRDIVGLAVIMAGIALLLAFYSIMLWWRTRWQSSRAVAFLLLLAVALLCAYELCAVYVTAGSSASERYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDSIEMGPVSCLPEPPDPNELYPREFSRASHLGLLYLGSLVVLIVYSILYGLTAKDAHWLGAITSAAVIILDWNMGACLYGFQLLKSRVVALFVAGTTRVFLICFGVHYWYLGHCISYAVVASVLLGAAVSRHFSATNPLAARRDALQSTVIRLREGFRRKEQNSSSSSSDGCGSSVKRSSSVEGGHSTNIIEGSSQSMVQCSDANNWNSLGYIQEGINSDKSVDSGRPSLAMHNSSHHSVVQENEVGTLEKNIDPNSSLMVCSSGGHDSQGCESSTSTSANQQMLDLNLALALQERLSDPRITSMLKRRARHGDRELTSLLQDKGLDPNFAMMLKEKSLDPTILALLQRSSLDADRDHRDNTDVTIVDSNSVDNAMPNQISLSEELRLQGLEKWLKLSRLVLHHIASTPERAWVLFSFVFIIETIIVAVFRPKTIKIINATHQQFEFGFAVLLLSPVVCSIMAFIRSLQGEETPLTPKPRRYGFVAWLLSTSVGLLLSFLSKSSVLLGLSLTVPLIVACLSVAIPIWIRNGYQFWVPQVQCAGFAGNHRHSGTKEVVVLTLCITVFAGSVLALGAIVSVKPLDDLRYKGLTGEQNNFTSPYASSAYLGWAMASAVALAVTGVLPIVSWFATYRFSLSSAICVSIFSVVLVAFCGASYLKIVKSRDDQVPTAGDFLAAFLPLVCIPALLSLCSGLLKWKDDGWKLSRGVYVFVTIGLLLLLAAISAVIVVIKPWTIGAAFLLLLLLIVLAIGVIHHWASNNFYLTRTQMFLVCFLAFLLGLAAFFVGWFQDKPFIGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLTIYPPYAGAAVSAVTLVVAFGFAVSRPCLTLKMMEDAVHFLSKDTVVQAISRSATKTRNALSGTYSAPQRSASSAALLVGDPAATLDKGGNFVLPRDDVMKLRDRLRNEELVAGSFFHRMRYHRGFRREPTNDVDYRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLSLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISSIPNAGSREAAAMAAAVRAVGGDSVLEDSFARERVSSIARRIRTAQLARRAVQTGLSGAVCILDDEPTTSGRHCGQIDPSMCQSQKVSFSVAVMIQPESGPVCLLGTEFQKKVCWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHTVTMTIDADIGEATCYLDGGFDGYQTSLPLFVGTSIWEQGTEVWVGVRPPIDMDAFGRSDSEGAESKMHIMDVFLWGRCLNEDEVASLHTSICSTEFNLIDFPEDNWHWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSEREGFVVHVDSFARRYRKPRIETQEEINQRMLSVELAVKEALSARGEMHFTDNEFPPNDQSLFIDPRNPPSKLQVFSSLIHWCSLLLFSLLLLSPCLCFLT >KJB17262 pep chromosome:Graimondii2_0_v6:3:42248704:42268516:1 gene:B456_003G153800 transcript:KJB17262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) UniProtKB/TrEMBL;Acc:F4I0A4] MEGDGVALACLISGILFAVLGLASFSILWAVNWRPWRIYSWIFARKWPSILQGPQLGMLCALLSLVAWAIVLSPVVVLIMWGCWLIIILGRDIVGLAVIMAGIALLLAFYSIMLWWRTRWQSSRAVAFLLLLAVALLCAYELCAVYVTAGSSASERYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDSIEMGPVSCLPEPPDPNELYPREFSRASHLGLLYLGSLVVLIVYSILYGLTAKDAHWLGAITSAAVIILDWNMGACLYGFQLLKSRVVALFVAGTTRVFLICFGVHYWYLGHCISYAVVASVLLGAAVSRHFSATNPLAARRDALQSTVIRLREGFRRKEQNSSSSSSDGCGSSVKRSSSVEGGHSTNIIEGSSQSMVQCSDANNWNSLGYIQEGINSDKSVDSGRPSLAMHNSSHHSVVQENEVGTLEKNIDPNSSLMVCSSGGHDSQGCESSTSTSANQQMLDLNLALALQERLSDPRITSMLKRRARHGDRELTSLLQDKGLDPNFAMMLKEKSLDPTILALLQRSSLDADRDHRDNTDVTIVDSNSVDNAMPNQISLSEELRLQGLEKWLKLSRLVLHHIASTPERAWVLFSFVFIIETIIVAVFRPKTIKIINATHQQFEFGFAVLLLSPVVCSIMAFIRSLQGEETPLTPKPRRYGFVAWLLSTSVGLLLSFLSKSSVLLGLSLTVPLIVACLSVAIPIWIRNGYQFWVPQVQCAGFAGNHRHSGTKEVVVLTLCITVFAGSVLALGAIVSVKPLDDLRYKGLTGEQNNFTSPYASSAYLGWAMASAVALAVTGVLPIVSWFATYRFSLSSAICVSIFSVVLVAFCGASYLKIVKSRDDQVPTAGDFLAAFLPLVCIPALLSLCSGLLKWKDDGWKLSRGVYVFVTIGLLLLLAAISAVIVVIKPWTIGAAFLLLLLLIVLAIGVIHHWASNNFYLTRTQMFLVCFLAFLLGLAAFFVGWFQDKPFIGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLTIYPPYAGAAVSAVTLVVAFGFAVSRPCLTLKMMEDAVHFLSKDTVVQAISRSATKTRNALSGTYSAPQRSASSAALLVGDPAATLDKGGNFVLPRDDVMKLRDRLRNEELVAGSFFHRMRYHRGFRREPTNDVDYRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLSLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISSIPNAGSREAAAMAAAVRAVGGDSVLEDSFARERVSSIARRIRTAQLARRAVQTGLSGAVCILDDEPTTSGRHCGQIDPSMCQSQKVSFSVAVMIQPESGPVCLLGTEFQKKVCWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHTVTMTIDADIGEATCYLDGGFDGYQTSLPLFVGTSIWEQGTEVWVGVRPPIDMDAFGRSDSEGAESKMHIMDVFLWGRCLNEDEVASLHTSICSTEFNLIDFPEDNWHWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSEREGFVVHVDSFARRYRKPRIETQEEINQRMLSVELAVKEALSARGEMHFTDNEFPPNDQSLFIDPRNPPSKLQVVSEWMRPAEIVKGHLDSRPCLFSGAANPSDVCQGRLGDCWFLSAVAVLTEVSQISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESLGKPAFATSRKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSSEWTDRMRYKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYNSWHQNPQFRLRASGPDASYPIHVFITLTQGVSFSRTAAGFRNYQSSHDSQMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLEPEPKGYTIVPTTIHPGEEAPFVLSVFTKASVILEPL >KJB17264 pep chromosome:Graimondii2_0_v6:3:42248232:42268516:1 gene:B456_003G153800 transcript:KJB17264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) UniProtKB/TrEMBL;Acc:F4I0A4] MEGDGVALACLISGILFAVLGLASFSILWAVNWRPWRIYSWIFARKWPSILQGPQLGMLCALLSLVAWAIVLSPVVVLIMWGCWLIIILGRDIVGLAVIMAGIALLLAFYSIMLWWRTRWQSSRAVAFLLLLAVALLCAYELCAVYVTAGSSASERYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDSIEMGPVSCLPEPPDPNELYPREFSRASHLGLLYLGSLVVLIVYSILYGLTAKDAHWLGAITSAAVIILDWNMGACLYGFQLLKSRVVALFVAGTTRVFLICFGVHYWYLGHCISYAVVASVLLGAAVSRHFSATNPLAARRDALQSTVIRLREGFRRKEQNSSSSSSDGCGSSVKRSSSVEGGHSTNIIEGSSQSMVQCSDANNWNSLGYIQEGINSDKSVDSGRPSLAMHNSSHHSVVQENEVGTLEKNIDPNSSLMVCSSGGHDSQGCESSTSTSANQQMLDLNLALALQERLSDPRITSMLKRRARHGDRELTSLLQDKGLDPNFAMMLKEKSLDPTILALLQRSSLDADRDHRDNTDVTIVDSNSVDNAMPNQISLSEELRLQGLEKWLKLSRLVLHHIASTPERAWVLFSFVFIIETIIVAVFRPKTIKIINATHQQFEFGFAVLLLSPVVCSIMAFIRSLQGEETPLTPKPRRYGFVAWLLSTSVGLLLSFLSKSSVLLGLSLTVPLIVACLSVAIPIWIRNGYQFWVPQVQCAGFAGNHRHSGTKEVVVLTLCITVFAGSVLALGAIVSVKPLDDLRYKGLTGEQNNFTSPYASSAYLGWAMASAVALAVTGVLPIVSWFATYRFSLSSAICVSIFSVVLVAFCGASYLKIVKSRDDQVPTAGDFLAAFLPLVCIPALLSLCSGLLKWKDDGWKLSRGVYVFVTIGLLLLLAAISAVIVVIKPWTIGAAFLLLLLLIVLAIGVIHHWASNNFYLTRTQMFLVCFLAFLLGLAAFFVGWFQDKPFIGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLTIYPPYAGAAVSAVTLVVAFGFAVSRPCLTLKMMEDAVHFLSKDTVVQAISRSATKTRNALSGTYSAPQRSASSAALLVGDPAATLDKGGNFVLPRDDVMKLRDRLRNEELVAGSFFHRMRYHRGFRREPTNDVDYRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLSLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISSIPNAGSREAAAMAAAVRAVGGDSVLEDSFARERVSSIARRIRTAQLARRAVQTGLSGAVCILDDEPTTSGRHCGQIDPSMCQSQKVSFSVAVMIQPESGPVCLLGTEFQKKVCWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHTVTMTIDADIGEATCYLDGGFDGYQTSLPLFVGTSIWEQGTEVWVGVRPPIDMDAFGRSDSEGAESKMHIMDVFLWGRCLNEDEVASLHTSICSTEFNLIDFPEDNWHWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSEREGFVVHVDSFARRYRKPRIETQEEINQRMLSVELAVKEALSARGEMHFTDNEFPPNDQSLFIDPRNPPSKLQVVSEWMRPAEIVKGHLDSRPCLFSGAANPSDVCQGRLGDCWFLSAVAVLTEVSQISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESLGKPAFATSRKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSSEWTDRMRYKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYNSWHQNPQFRLRASGPDASYPIHVFITLTQGVSFSRTAAGFRNYQSSHDSQMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLEPEPKGYTIVPTTIHPGEEAPFVLSVFTKASVILEPL >KJB17890 pep chromosome:Graimondii2_0_v6:3:1696843:1697127:-1 gene:B456_003G022300 transcript:KJB17890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNKQLALLVLLLLVSFLQNQCVVGRHLISDRKETGTNFNKAAVANTQSLASPSPPTPSVIVAATETPPPKNANDFRPTAPGHSPGVGHSLQN >KJB17812 pep chromosome:Graimondii2_0_v6:3:1127860:1131631:1 gene:B456_003G016400 transcript:KJB17812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGSKVVFGDVYVDELVSSCGNGFEFLKPKGVYFADRSHISCRKAGMVLRKQEQPLFVCGHYVSPTMSRNSKYNPPFGPRIKSSRTSPLPCSSSRAVHDVSFDGSSSDDQTTSLPLRAIPSERTLTLVSGSCYLPHPAKVEKGGEDAHFICADEQAIGVADGVGGWAEVGIDAGEFARELMSNSVKAIRDEPKGSVDPARVLEKAHSSTKSQGSSTACIISLHKEGLHAINLGDSGFIVVRDGSTVFHSPVQQHGFNFTYQLESGNNGDLPSSGQVFRIPILPGDVIVAGTDGLFDNLYNNEVAAVVVHALRAGFSPESTAKEIVALARERAVDKNKQTPFAKAAQDAGYRYNGGKLDDITVVVSFITSSPDV >KJB17810 pep chromosome:Graimondii2_0_v6:3:1127860:1131601:1 gene:B456_003G016400 transcript:KJB17810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGSKVVFGDVYVDELVSSCGNGFEFLKPKGVYFADRSHISCRKAGMVLRKQEQPLFVCGHYVSPTMSRNSKYNPPFGPRIKSSRTSPLPCSSSRAVHDVSFDGSSSDDQTTSLPLRAIPSERTLTLVSGSCYLPHPAKVEKGGEDAHFICADEQAIGVADGVGGWAEVGIDAGEFARELMSNSVKAIRDEPKGSVDPARVLEKAHSSTKSQGSSTACIISLHKEGLHAINLGDSGFIVVRDGSTVFHSPVQQHGFNFTYQLESGNNGDLPSSGQVFRIPILPGDVIVAGTDGLFDNLYNNEVAAVVVHALRAGFSPESTAKEIVALARERAVDKNKQTPFAKAAQDAGYRYNGGKLDDITVVVSFITSSPDV >KJB17811 pep chromosome:Graimondii2_0_v6:3:1128959:1131601:1 gene:B456_003G016400 transcript:KJB17811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGSKVVFGDVYVDELVSSCGNGFEFLKPKGVYFADRSHISCRKAGMVLRKQEQPLFVCGHYVSPTMSRNSKYNPPFGPRIKSSRTSPLPCSSSRAVHDVSFDGSSSDDQTTSLPLRAIPSERTLTLVSGSCYLPHPAKVEKGGEDAHFICADEQAIGVADGVGGWAEVGIDAGEFARELMSNSVKAIRDEPKGSVDPARVLEKAHSSTKSQGSSTACIISLHKEGLHAINLGDSGFIVVRDGSTVFHSPVQQHGFNFTYQLESGNNGDLPSSGQVFRIPILPGDVIVAGTDGLFDNLYNNEVAAVVVHALRAGFSPESTAKEIVALARERAVDKNKQTPFAKAAQDAGYRYNGGKLDDITVVVSFITSSPDV >KJB20549 pep chromosome:Graimondii2_0_v6:3:42278730:42286313:-1 gene:B456_003G154000 transcript:KJB20549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWCKWEPTIYKNPKSESPKEQERERREGTKLPSNPEEVEDLRGGDSAANPLIAFTFEELKIITANFRQDRVLGGGGFGNVYKGFIPEDLREGLQIQPLPLPVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCQDDHRDYNAKLSDFGLAKDGPEGDKSHVSTRIMGTYGYAAPEYIMTAMCTASVLSFWNCLQEGNLWTNHGQPANKTLQIGLFLYLKRRRSY >KJB20552 pep chromosome:Graimondii2_0_v6:3:42278883:42286105:-1 gene:B456_003G154000 transcript:KJB20552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVITVIKAEVIFLGQLSHPNLVKLIGYCCQDDHRVLIYEYMPRGSVENNLFSRVLLPLPWSTRMKIALGAAKGLAFLHEADKPVIYRDFKTSNILLDLDYNAKLSDFGLAKDGPEGDKSHVSTRIMGTYGYAAPEYIMTGHLSPRSDVYSFGVVLLELLTGRKSLDKSRPAREQNLTDWALPLLKEKKKLLNIVDPRLQGDFPIKAFHKAAMLAYHCLNRNPKARPLMRDIVDSLDPLQLSHPLPIEKTIVTVSTEVPNQHQFNVKTVTEFGAPL >KJB20546 pep chromosome:Graimondii2_0_v6:3:42278679:42286401:-1 gene:B456_003G154000 transcript:KJB20546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWCKWEPTIYKNPKSESPKEQERERREGTKLPSNPEEVEDLRGGDSAANPLIAFTFEELKIITANFRQDRVLGGGGFGNVYKGFIPEDLREGLQIQPLPLPVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCQDDHRVLIYEYMPRGSVENNLFSRVLLPLPWSTRMKIALGAAKGLAFLHEADKPVIYRDFKTSNILLDLDYNAKLSDFGLAKDGPEGDKSHVSTRIMGTYGYAAPEYIMTGHLSPRSDVYSFGVVLLELLTGRKSLDKSRPAREQNLTDWALPLLKEKKKLLNIVDPRLQGDFPIKAFHKAAMLAYHCLNRNPKARPLMRDIVDSLDPLQLSHPLPIEKTIVTVSTEAASYSFVAYMDESSEHIVCGKPYNGIQ >KJB20547 pep chromosome:Graimondii2_0_v6:3:42278730:42286313:-1 gene:B456_003G154000 transcript:KJB20547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWCKWEPTIYKNPKSESPKEQERERREGTKLPSNPEEVEDLRGGDSAANPLIAFTFEELKIITANFRQDRVLGGGGFGNVYKGFIPEDLREGLQIQPLPLPVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCQDDHRVLIYEYMPRGSVENNLFSRVLLPLPWSTRMKIALGAAKGLAFLHEADKPVIYRDFKTSNILLDLDYNAKLSDFGLAKDGPEGDKSHVSTRIMGTYGYAAPEYIMTAMCTASVLSFWNCLQEGNLWTNHGQPANKTLQIGLFLYLKRRRSY >KJB20550 pep chromosome:Graimondii2_0_v6:3:42279048:42286050:-1 gene:B456_003G154000 transcript:KJB20550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWCKWEPTIYKNPKSESPKEQERERREGTKLPSNPEEVEDLRGGDSAANPLIAFTFEELKIITANFRQDRVLGGGGFGNVYKGFIPEDLREGLQIQPLPLPVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCQDDHRVLIYEYMPRGSVENNLFSRVLLPLPWSTRMKIALGAAKGLAFLHEADKPVIYRDFKTSNILLDLDYNAKLSDFGLAKDGPEGDKSHVSTRIMGTYGYAAPEYIMTGHLSPRSDVYSFGVVLLELLTGRKSLDKSRPAREQNLTDWALPLLKEKKKLLNIVDPRLQGDFPIKAFHKAAMLAYHCLNRNPKARPLMRDIVDSLDPLQLSHPLPIEKTIVTVSTEVPNQHQFNVKTVTEFGAPL >KJB20548 pep chromosome:Graimondii2_0_v6:3:42278754:42286371:-1 gene:B456_003G154000 transcript:KJB20548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWCKWEPTIYKNPKSESPKEQERERREGTKLPSNPEEVEDLRGGDSAANPLIAFTFEELKIITANFRQDRVLGGGGFGNVYKGFIPEDLREGLQIQPLPLPVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCQDDHRDYNAKLSDFGLAKDGPEGDKSHVSTRIMGTYGYAAPEYIMTGHLSPRSDVYSFGVVLLELLTGRKSLDKSRPAREQNLTDWALPLLKEKKKLLNIVDPRLQGDFPIKAFHKAAMLAYHCLNRNPKARPLMRDIVDSLDPLQLSHPLPIEKTIVTVSTEAASYSFVAYMDESSEHIVCGKPYNGIQ >KJB20551 pep chromosome:Graimondii2_0_v6:3:42279048:42285578:-1 gene:B456_003G154000 transcript:KJB20551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVITVIKVTENGWWAEVIFLGQLSHPNLVKLIGYCCQDDHRVLIYEYMPRGSVENNLFSRVLLPLPWSTRMKIALGAAKGLAFLHEADKPVIYRDFKTSNILLDLDYNAKLSDFGLAKDGPEGDKSHVSTRIMGTYGYAAPEYIMTGHLSPRSDVYSFGVVLLELLTGRKSLDKSRPAREQNLTDWALPLLKEKKKLLNIVDPRLQGDFPIKAFHKAAMLAYHCLNRNPKARPLMRDIVDSLDPLQLSHPLPIEKTIVTVSTEVPNQHQFNVKTVTEFGAPL >KJB18947 pep chromosome:Graimondii2_0_v6:3:18634589:18638109:1 gene:B456_003G076700 transcript:KJB18947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVTDTKEKEREDMEEVHEETEGSRTIQPWTQQITVRGVIVSILIGTVYSVIAMKLNLTTGWVPNLNVSAALIAFLFIRTWTKVVEKAGYTAKPFTRQENTMIQTCAVACYSIAIGGGFASYLLGLNRKTYELSGVDTEGNSAKAIKEPGLGWMTGFLFVVCFVGLFVLIPLRKVMIVDLKLTYPSGLATAVLINGFHSQGNKSAKKQVRGFLRYFSVSFLWGFFQWFFSGKEECGFKQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLFGAVISYGIMWPLINRLKGQWFSEDLQESSMRSLYGYKVFVSVALILGDGLYNFLKILSFTLINIRGRLKDKARNRDEEDDRKKTAEDRKQNELFIRETIPMSIGVVGYVVLSIVTVVVIPIMFPQLKWYYVIVAYILAPSLAFCNAYGAGLTDMNMAYNYGKVALFVLAALTGKENGVVAGLAGCGLIKSVVSVACILMQDFKTAHYTLASPRAMFLSQAIGTAIGCVVTPLSFFLFYKSFDVGNPYGEFKAPYALIYRNMAILGVQGFSALPRHCLQLCYGFFAFAVLVNFVRDVSPHKIGKWMPLPMAMAVPFLVGGYFAIDMCLGTLVMFAWQKLNAKKAELMVPAVASGLICGEGLWILPASILALAKINPPICMKFLPS >KJB18948 pep chromosome:Graimondii2_0_v6:3:18635040:18638109:1 gene:B456_003G076700 transcript:KJB18948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVTDTKEKEREDMEEVHEETEGSRTIQPWTQQITVRGVIVSILIGTVYSVIAMKLNLTTGWVPNLNVSAALIAFLFIRTWTKVVEKAGYTAKPFTRQENTMIQTCAVACYSIAIGGGFASYLLGLNRKTYELSGVDTEGNSAKAIKEPGLGWMTGFLFVVCFVGLFVLIPLRKVMIVDLKLTYPSGLATAVLINGFHSQGNKSAKKQVRGFLRYFSVSFLWGFFQWFFSGKEECGFKQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLFGAVISYGIMWPLINRLKGQWFSEDLQESSMRSLYGYKVFVSVALILGDGLYNFLKILSFTLINIRGRLKDKARNRDEEDDRKKTAEDRKQNELFIRETIPMSIGVVGYVVLSIVTVVVIPIMFPQLKWYYVIVAYILAPSLAFCNAYGAGLTDMNMAYNYGKVALFVLAALTGKENGVVAGLAGCGLIKSVVSVACILMQDFKTAHYTLASPRAMFLSQAIGTAIGCVVTPLSFFLFYKSFDVGNPYGEFKAPYALIYRNMAILGVQGFSALPRHCLQLCYGFFAFAVLVNFVRDVSPHKIGKWMPLPMAMAVPFLVGGYFAIDMCLGTLVMFAWQKLNAKKAELMVPAVASGLICGEGLWILPASILALAKINPPICMKFLPS >KJB19040 pep chromosome:Graimondii2_0_v6:3:20129762:20131351:-1 gene:B456_003G082200 transcript:KJB19040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCSVSLIKYSEHRNETKLLSPLVNGRVENKPEMKPRIVRISVTDADATDSSSDDEEETRMIRRRSRNRVKKFVNEITIESTNLTESRCVRKKPAAEKLKVKAAAKVPTGKKFRGVRQRPWGKWAAEIRDPLRRVRLWLGTYNTAEEAAMVYDKAAIQLRGQDALTNFTTPPMKDENISQKQLSSSDYNSGEESHNSYLCSPTSVLRCPSLSIDEVDSQSFEKSRETRNRPRDVPDDSCCISGENLSDFSEHSSLFPGDIFSSVPSLFDDHTSLHEGFLKDDFGYGYLSSGGDFEFGFGTFSSWQHVDDHFQDIGDLFGSDPPLAF >KJB20811 pep chromosome:Graimondii2_0_v6:3:43627081:43631402:1 gene:B456_003G166300 transcript:KJB20811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVYSAPGDYIHFKSQVPLHKIPIGTKQWRYYDFGPKVVPPLICLPGTAGTADVYYKQIMSLSMKGYRVISVDIPCVWNHQEWIQSFEKFLDAIDVHHIHLYGTSLGGLLAQLFAQHRPRRVKSLILSNAFLETSSFSAAMRWAPIVGWAPSFLLKRYILTGIHNGPQEPFIADSVDFVVSQIETLSRDDLASRLAFTVDNASVGPLLLSDSFITIMDTNDYSSIPQELKDQLGERYPAARRAYLKTGGDFPFLSRPDEVNLHLQLHLRRVGVEAKPDLVPKDGSGGSPGKENDEKKDHDDQPNGNGGNPESTSKESQSPPAPESSEYHGLYDQRLSSAKVISIGREDSTLMRDQHILAIGVLLQLTWEFFIFSLLPIYVCSLYITQNNVWKFRKLV >KJB20810 pep chromosome:Graimondii2_0_v6:3:43628907:43631239:1 gene:B456_003G166300 transcript:KJB20810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFIIHLYGTSLGGLLAQLFAQHRPRRVKSLILSNAFLETSSFSAAMRWAPIVGWAPSFLLKRYILTGIHNGPQEPFIADSVDFVVSQIETLSRDDLASRLAFTVDNASVGPLLLSDSFITIMDTNDYSSIPQELKDQLGERYPAARRAYLKTGGDFPFLSRPDEVNLHLQLHLRRVGVEAKPDLVPKDGSGGSPGKENDEKKDHDDQPNGNGGNPESTSKESQSPPAPESSEYHGLYDQRLSSAKVISIGREDSTLMRDQHILAIGVLLQLTWEFFIFSLLPIYVCSLYITQNNVWKFRKLV >KJB18178 pep chromosome:Graimondii2_0_v6:3:3970725:3973987:-1 gene:B456_003G038000 transcript:KJB18178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICDAVAVAKILNATLVIPYLEVNPVWQDSSSFMDIFDVDHFINVLKDDISIVKELPDDFSWSTREYYATAIRPTRIKRAPVHASANWYLENVLPVLQSNGIAAISPFSHRLSFNNLPSEIQKLRCKVNFKALVFVPHIRALGDALVHRLRYPPTESQPLITDDLTGTTDRNVKQMPQKFVVVHLRFDKDMAAHSACDFGGGKAEKLALAKYRQRIWGGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRDQFPKMESKKSLASSEERVLIKGKASLLAAVDYYVGMHSDIFVSASPGNMHNALVGHRTFENLKTIRPNMPLLGQLFLNKNITWLEFRQAVVEGHQNRQGQLRLRKPKQSIYTYPAPDCMCQA >KJB18183 pep chromosome:Graimondii2_0_v6:3:3970981:3974912:-1 gene:B456_003G038000 transcript:KJB18183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMMRFQYKPVRRQEAIVGLFVLLFPVLLPCFFASFSHASPSTFLEWSVPKPRHLQLLSSALQRESSDGEPTDLWAPLADQGWRPCLGGSVNAPPLPQKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWQDSSSFMDIFDVDHFINVLKDDISIVKELPDDFSWSTREYYATAIRPTRIKRAPVHASANWYLENVLPVLQSNGIAAISPFSHRLSFNNLPSEIQKLRCKVNFKALVFVPHIRALGDALVHRLRYPPTESQPLITDDLTGTTDRNVKQMPQKFVVVHLRFDKDMAAHSACDFGGGKAEKLALAKYRQRIWGGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRDQFPKMESKKSLASSEERVLIKGKASLLAAVDYYVGMHSDIFVSASPGNMHNALVGHRTFENLKTIRPNMPLLGQLFLNKNITWLEFRQAVVEGHQNRQGQLRLRKPKQSIYTYPAPDCMCQA >KJB18182 pep chromosome:Graimondii2_0_v6:3:3970725:3974825:-1 gene:B456_003G038000 transcript:KJB18182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMMRFQYKPVRRQEAIVGLFVLLFPVLLPCFFASFSHASPSTFLEWSVPKPRHLQLLSSALQRESSDGEPTDLWAPLADQGWRPCLGGSVNAPPALPQKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWQDSSSFMDIFDVDHFINVLKDDISIVKELPDDFSWSTREYYATAIRPTRIKRAPVHASANWYLENVLPVLQSNGIAAISPFSHRLSFNNLPSEIQKLRCKVNFKALVFVPHIRALGDALVHRLRYPPTESQPLITDDLTGTTDRNVKQMPQKFVVVHLRFDKDMAAHSACDFGGGKAEKLALAKYRQRIWGGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRDQFPKMESKKSLASSEERVLIKGKASLLAAVDYYVGMHSDIFVSASPGNMHNALVGHRTFENLKTIRPNMPLLGQLFLNKNITWLEFRQAVVEGHQNRQGQLRLRKPKQSIYTYPAPDCMCQA >KJB18179 pep chromosome:Graimondii2_0_v6:3:3970725:3974825:-1 gene:B456_003G038000 transcript:KJB18179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMMRFQYKPVRRQEAIVGLFVLLFPVLLPCFFASFSHASPSTFLEWSVPKPRHLQLLSSALQRESSDGEPTDLWAPLADQGWRPCLGGSVNAPPLPQKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWQDSSSFMDIFDVDHFINVLKDDISIVKELPDDFSWSTREYYATAIRPTRIKRAPVHASANWYLENVLPVLQSNGIAAISPFSHRLSFNNLPSEIQKLRCKVNFKALVFVPHIRALGDALVHRLRYPPTESQPLITDDLTGTTDRNVKQMPQKFVVVHLRFDKDMAAHSACDFGGGKAEKLALAKYRQRIWGGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRDQFPKMESKKSLASSEERVLIKGKASLLAAVDYYVGMHSDIFVSASPGNMHNALVGHRTFENLKTIRPNMPLLGQLFLNKNITWLEFRQAVVEGHQNRQGQLRLRKPKQSIYTYPAPDCMCQA >KJB18180 pep chromosome:Graimondii2_0_v6:3:3971585:3974575:-1 gene:B456_003G038000 transcript:KJB18180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMMRFQYKPVRRQEAIVGLFVLLFPVLLPCFFASFSHASPSTFLEWSVPKPRHLQLLSSALQRESSDGEPTDLWAPLADQGWRPCLGGSVNAPPLPQKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWQDSSSFMDIFDVDHFINVLKDDISIVKELPDDFSWSTREYYATAIRPTRIKRAPVHASANWYLENVLPVLQSNGIAAISPFSHRLSFNNLPSEIQKLRCKVNFKALVFVPHIRALGDALVHRLRYPPTESQPLITDDLTGTTDRNVKQMPQKFVVVHLRFDKDMAAHSACDFGGGKAEKLALAKYRQRIWGGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRDQFPKMESKKSLASSEERVLIKGKASLLAAVDYYVGMHSDIFVSASPGNMHNALVGHRTFENLKTIRPNMPLLGQLFLNKNITWLEFRQAVVEGHQNRQGQLRLRKPKQSIYTYPAPDCMCQA >KJB18184 pep chromosome:Graimondii2_0_v6:3:3970725:3974912:-1 gene:B456_003G038000 transcript:KJB18184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMMRFQYKPVRRQEAIVGLFVLLFPVLLPCFFASFSHASPSTFLEWSVPKPRHLQLLSSALQRESSDGEPTDLWAPLADQGWRPCLGGSVNAPPLPQKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWQDSSSFMDIFDVDHFINVLKDDISIVKELPDDFSWSTREYYATAIRPTRIKRAPVHASANWYLENVLPVLQSNGIAAISPFSHRLSFNNLPSEIQKLRCKVNFKALVFVPHIRALGDALVHRLRYPPTESQPLITDDLTGTTDRNVKQMPQKFVVVHLRFDKDMAAHSACDFGGGKAEKLALAKYRQRIWGGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRDQFPKMESKKSLASSEERVLIKGKASLLAAVDYYVGMHSDIFVSASPGNMHNALVGHRTFENLKTIRPNMPLLGQLFLNKNITWLEFRQAVVEGHQNRQGQLRLRKPKQSIYTYPAPDCMCQA >KJB18181 pep chromosome:Graimondii2_0_v6:3:3971804:3974575:-1 gene:B456_003G038000 transcript:KJB18181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMMRFQYKPVRRQEAIVGLFVLLFPVLLPCFFASFSHASPSTFLEWSVPKPRHLQLLSSALQRESSDGEPTDLWAPLADQGWRPCLGGSVNAPPLPQKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWQDSSSFMDIFDVDHFINVLKDDISIVKELPDDFSWSTREYYATAIRPTRIKRAPVHASANWYLENVLPVLQSNGIAAISPFSHRLSFNNLPSEIQKLRCKVNFKALVFVPHIRALGDALVHRLRYPPTESQPLITDDLTGTTDRNVKQMPQKFVVVHLRFDKDMAAHSACDFGGGKAEKLALAKYRQRIWGGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRDQFPKMESKKSLASSEERVLIKGKASLLAAVDYYVGMHSDIFVSASPGNMHNALFQ >KJB18177 pep chromosome:Graimondii2_0_v6:3:3970725:3973434:-1 gene:B456_003G038000 transcript:KJB18177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFDVDHFINVLKDDISIVKELPDDFSWSTREYYATAIRPTRIKRAPVHASANWYLENVLPVLQSNGIAAISPFSHRLSFNNLPSEIQKLRCKVNFKALVFVPHIRALGDALVHRLRYPPTESQPLITDDLTGTTDRNVKQMPQKFVVVHLRFDKDMAAHSACDFGGGKAEKLALAKYRQRIWGGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRDQFPKMESKKSLASSEERVLIKGKASLLAAVDYYVGMHSDIFVSASPGNMHNALVGHRTFENLKTIRPNMPLLGQLFLNKNITWLEFRQAVVEGHQNRQGQLRLRKPKQSIYTYPAPDCMCQA >KJB18538 pep chromosome:Graimondii2_0_v6:3:10049524:10051506:1 gene:B456_003G058600 transcript:KJB18538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWFFILLIAVSISLILKAFFNLFSPPKKLTHTLPPGPSTFPIIGNFLWLRKSFFEIEPILRNLRKKYGSMVTLYIGPRPSIFVSDHSLAHQALVQSGSLFSDRPKALPTSKIMSSNQHNISSAPYGPNWRVLRRNLTSEILHPSRIKSYSHARKWVLDILFDVLQSKAKTGEPVQVLTHFQYVMFCLLVLMCFGDKLSQEQIKKIEDVVRRGLLGLERFNILNFWPKVTKVLLRKRWQEFFQRRKDQEDVLIPLIRARKKAKEEKLSDKKSDDYVLAYVDTLLDLELPEEKRKLTEGEIFSLASEFLNAGTDTTSTALQWVMANLVKYPHIQDKLFLEIKGVVGDGEEIKEDDLQKMPYLKAVILEGLRRHPPGHFVLPHCVTEDTVLGDYLVPKNGTINFMVAEMGWDPKVWEDPMAFKPERFMRNEQVFDITGSREIKMMPFGVGRRICPGFGLALLHLEYFVANLIWKFEWKAMDGDEISLEEKQEFTVVMKTPLMAHISPRKEIRS >KJB21130 pep chromosome:Graimondii2_0_v6:3:45528863:45531339:1 gene:B456_003G184300 transcript:KJB21130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIHRTLSHSHKTLHLRFFSQAALALDQSSSPSPLTYLEGFPKPDPKYAETILAIPRSTSGKNISAKERKVGRVPSIVFEQEDGQHGGNKRLISVQTNQIRKLVKHLGQSFFLSRLFDLEVRSEFGTGDLIEKVRVLPRMLHLHAGTDAPLNVTFIRAPSNALLKVDIPLVFRGEDVCPGIRKGLFLNTIKRTVKFLCPPDIIPPYIDVDLSELDGGQKLLVGDLKVHPALKFLRSKDEPVCKIMGARVSDQQRKK >KJB18251 pep chromosome:Graimondii2_0_v6:3:5450996:5457737:1 gene:B456_003G042800 transcript:KJB18251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK METLSHTITTSASAKPRLPRNDNVHRRLLRFRHGFSSLNLGTLRSQRSSSGFFKVECSQQSSKNCIDFTDPDWKSKFQQDFERRFNIPHITDTFPDAHSFPSTFCLRMRTPVTEDFAEGYPSDEEWHGYINNNDRVLLKVIHYSSPTSAGAECIDPNCTWVEQWVHRAGPREKIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKNIVGIPFGYRGFSDKGLAEMPLSRKVVQNIHLSGGSLLGVSRGGPSVSDIVSSMEERGINMLFVLGGNGTHAGANAIHDECRKRKLKVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIENLVEKTNATDASGNIVLGDIGVRIQQETKKYFKEIGIPADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYFPIPEVISHPREVDPNSRMWHRCLTSTGQPDFI >KJB18249 pep chromosome:Graimondii2_0_v6:3:5450969:5457737:1 gene:B456_003G042800 transcript:KJB18249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK METLSHTITTSASAKPRLPRNDNVHRRLLRFRHGFSSLNLGTLRSQRSSSGFFKVECSQQSSKNCIDFTDPDWKSKFQQDFERRFNIPHITDTFPDAHSFPSTFCLRMRTPVTEDFAEGYPSDEEWHGYINNNDRVLLKVIHYSSPTSAGAECIDPNCTWVEQWVHRAGPREKIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKNIVGIPFGYRGFSDKGLAEMPLSRKVVQNIHLSGGSLLGVSRGGPSVSDIVSSMEERGINMLFVLGGNGTHAGANAIHDECRKRKLKVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGVVKLMGRSSGFIAMQSSLASGQVDICLIPEVPFNLHGPHGVLRHLKYLIETKGSAVVCVAEGAGQNLVEKTNATDASGNIVLGDIGVRIQQETKKYFKEIGIPADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYFPIPEVISHPREVDPNSRMWHRCLTSTGQPDFI >KJB18252 pep chromosome:Graimondii2_0_v6:3:5450996:5457737:1 gene:B456_003G042800 transcript:KJB18252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK METLSHTITTSASAKPRLPRNDNVHRRLLRFRHGFSSLNLGTLRSQRSSSGFFKVECSQQSSKNCIDFTDPDWKSKFQQDFERRFNIPHITDTFPDAHSFPSTFCLRMRTPVTEDFAEGYPSDEEWHGYINNNDRVLLKVIHYSSPTSAGAECIDPNCTWVEQWVHRAGPREKIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKNIVGIPFGYRGFSDKGLAEMPLSRKVVQNIHLSGGSLLGVSRGGPSVSDIVSSMECRKRKLKVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGVVKLMGRSSGFIAMQSSLASGQVDICLIPEVPFNLHGPHGVLRHLKYLIETKGSAVVCVAEGAGQNLVEKTNATDASGNIVLGDIGVRIQQETKKYFKEIGIPADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYFPIPEVISHPREVDPNSRMWHRCLTSTGQPDFI >KJB18250 pep chromosome:Graimondii2_0_v6:3:5450996:5455749:1 gene:B456_003G042800 transcript:KJB18250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK METLSHTITTSASAKPRLPRNDNVHRRLLRFRHGFSSLNLGTLRSQRSSSGFFKVECSQQSSKNCIDFTDPDWKSKFQQDFERRFNIPHITDTFPDAHSFPSTFCLRMRTPVTEDFAEGYPSDEEWHGYINNNDRVLLKVIHYSSPTSAGAECIDPNCTWVEQWVHRAGPREKIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKNIVGIPFGYRGFSDKGLAEMPLSRKVVQNIHLSGGSLLGVSRGGPSVSDIVSSMEERGINMLFVLGGNGTHAGANAIHDECRKRKLKVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGVVKLMGRSSGFIAMQSSLASGQVDICLIPEVPFNLHGPHGVLRHLKYLIETKGSAVVCVAEGAGQNLVEKTNATDASGNIVLGDIGVRIQQEVFHFLFLVCTISYICLFVNSWLKK >KJB20732 pep chromosome:Graimondii2_0_v6:3:43050716:43051511:1 gene:B456_003G161700 transcript:KJB20732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEGKATTAPATQDPPSLLQPGSLVEVNPRDNDYLGAWYLAVIVERATSTCDDRYVVQLLFLYEDISTGTKAIREYNSVDIRPLPPRHRPRKFKVGDLVEAYYRFGWFEGEIVEELHNGNYICQLKSSKRLELVEERLRLQRSWRDGEWIPPLDESELAVEEEDSTDETKQTDSNTAGIMESEADSAESSTAGTGNIQEASGQVTIEEEGLSKGEHDEEANDEEL >KJB20733 pep chromosome:Graimondii2_0_v6:3:43050716:43051553:1 gene:B456_003G161700 transcript:KJB20733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEGKATTAPATQDPPSLLQPGSLVEVNPRDNDYLGAWYLAVIVERATSTCDDRFGWFEGEIVEELHNGNYICQLKSSKRLELVEERLRLQRSWRDGEWIPPLDESELAVEEEDSTDETKQTDSNTAGIMESEADSAESSTAGTGNIQEASGQVTIEEEGLSKGEHDEEANDEEL >KJB17822 pep chromosome:Graimondii2_0_v6:3:1214832:1216322:1 gene:B456_003G017300 transcript:KJB17822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSEPSLRKEGRPDSRSQAQSSWNRVAYSGIDTLQYRTDLVINSLPADSDFNSFAKDYFDNTKNTLELCTAIRDCLTRTQNNHEIIRLAVKCFDEEVGTDEKRSGKTLEELKRFKAAEEPFFEELRVLAGRVLRQQESLQRKFNARKGTLEKKLASLETWRRVSLAFFVVAFFSLLIFSVVAATKSVKHVITALGSALTVAIVPVGTWCNERWNRNKEKEKKKLKLTKTVFYAALTPIIRVLVDQLEVKFKSLSHSVDSVLTEGYALKVAIDKIKKDLKDVTDTIPDVLRRADDLGRETIMDWREILTRMMETL >KJB17877 pep chromosome:Graimondii2_0_v6:3:1601704:1603119:1 gene:B456_003G021300 transcript:KJB17877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCKELGDGSVTIDEGNGKVNKENDGGAGNKRNWLSTVQLWNSDSEKVDQNKKPNKVPGLKLGSEEEEEEEQDMFEDPIEFFNNRRFKGQMDKENLELSLMTPSFDLGSSSPSHNPILKINDNCKTGFGSDQNQTKFQTKHQQDMQNCKKQRRCWSPELHKRFVEALQKLGGSKVATPKQIRELMQVDGLTNDEVKSHLQKYRLHFRKVASDSSAQNQCNEKMKANISESDSPQGPLRAGGWAKGLSSGGSVVEGEDDEKSDGRSWRSGGTKTGD >KJB17876 pep chromosome:Graimondii2_0_v6:3:1601470:1602657:1 gene:B456_003G021300 transcript:KJB17876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNMDVDLVYVPETVSEFLKQASKIKNGFQRLCKISDYVRRLEDEMKKIDAFKRELPICMLLLKDVIKRLKEEEMMCKELGDGSVTIDEGNGKVNKENDGGAGNKRNWLSTVQLWNSDSEKVDQNKKPNKVPGLKLGSEEEEEEEQDMFEDPIEFFNNRRFKGQMDKENLELSLMTPSFDLGSSSPSHNPILKINDNCKTGFGSDQNQTKFQTKHQQDMQNCKKQRRCWSPELHKRFVEALQKLGGSKG >KJB17875 pep chromosome:Graimondii2_0_v6:3:1601239:1603277:1 gene:B456_003G021300 transcript:KJB17875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEKKREREKKRIMELNMDVDLVYVPETVSEFLKQASKIKNGFQRLCKISDYVRRLEDEMKKIDAFKRELPICMLLLKDVIKRLKEEEMMCKELGDGSVTIDEGNGKVNKENDGGAGNKRNWLSTVQLWNSDSEKVDQNKKPNKVPGLKLGSEEEEEEEQDMFEDPIEFFNNRRFKGQMDKENLELSLMTPSFDLGSSSPSHNPILKINDNCKTGFGSDQNQTKFQTKHQQDMQNCKKQRRCWSPELHKRFVEALQKLGGSKVATPKQIRELMQVDGLTNDEVKSHLQKYRLHFRKVASDSSAQNQCNEKMKANISESDSPQGPLRAGGWAKGLSSGGSVVEGEDDEKSDGRSWRSGGTKTGD >KJB18631 pep chromosome:Graimondii2_0_v6:3:12233508:12236431:1 gene:B456_003G063900 transcript:KJB18631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKHHHNSNSAASAQSLSFDDIADFFSLPLDDAASTLGICTSDLKKICRENGLDRWPHRKFLAGKSVEEIKRHAARERREFAELSKAHRQSHIAVECNSTTMCWNCKEPGHLAGQFPYEPVCNMCGKMGHLAQDCLNPRLPAHDARLCNNCNKAGHFAADCTNEKACNNCHKIGHLGHDCHNKPVCNICNISGHVARQCAKSKLSSVIGGHFRDIVCRNCGQPGHISQDCVSIVICSNCGGRGHLHYECPSARMYDRSGVRRY >KJB18577 pep chromosome:Graimondii2_0_v6:3:11006480:11008407:-1 gene:B456_003G061500 transcript:KJB18577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTTRWLKSVLGMKKEKEKTPIQHSVLYDKNDKKRWSFAKSGKDVDANSQTPSAVTDAAWLRSYFAETEKVQNKHAVAVAAATAAAADAAMAAAQAAVAVVRLTSNARASFLAGGKEKWAAVKIQAAFRGYLSRKALRALKGLVRLQALVRGYLVRKRAAATLHSMQALIRAQSTVRSQRMRRSFNKENRYHSENQPRKSIERFDEWRSEINAYDDNPKIVEIDTLKTRSRSHRFNTALSECGDDLPYQTISSPLPRLVPPRTSLPHYQNLNDFEWCFSGGDECRFATAHNTPRFGNAGRSNAPTTPTQSVCGDGYFRPYSNFPNYMTNTQSFKAKLRSYSAPKQRPESGGAKKRLSLNEIMAARNSISGVRMNKSYYQIHHQF >KJB18576 pep chromosome:Graimondii2_0_v6:3:11006480:11008073:-1 gene:B456_003G061500 transcript:KJB18576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTTRWLKSVLGMKKEKEKTPIQHSVLYDKNDKKRWSFAKSGKDVDANSQTPSAVTDAAWLRSYFAETEKVQNKHAVAVAAATAAAADAAMAAAQAAVAVVRLTSNARASFLAGGKEKWAAVKIQAAFRGYLSRKALRALKGLVRLQALVRGYLVRKRAAATLHSMQALIRAQSTVRSQRMRRSFNKENRYHSENQPRKSIERFDEWRSEINAYDDNPKIVEIDTLKTRSRSHRFNTALSECGDDLPYQTISSPLPRLVPPRTSLPHYQNLNDFEWCFSGGDECRFATAHNTPRFGNAGRSNAPTTPTQSVCGDGYFRPYSNFPNYMTNTQSFKAKLRSYSAPKQRPESGGAKKRLSLNEIMAARNSISGVRMNKSYYQIHHQF >KJB18835 pep chromosome:Graimondii2_0_v6:3:16706474:16707225:1 gene:B456_003G071600 transcript:KJB18835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSLYPACSQTNLFKGRREKVYHEGEEPVSLTKDNCLESNQEIKLGETSCELQASHPWLPNKILIFMEDLSSISLIPCIPYIYTQMHVH >KJB20801 pep chromosome:Graimondii2_0_v6:3:43573578:43575868:-1 gene:B456_003G165700 transcript:KJB20801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQTAYSLLSWLLNGGNQKDGFLPAAFPFLVAMLGLSLWYLFLKARKPMAPLPPGPLGLPIVGYLPFLGFDYLHLVFTELAGVYGPIYKLWLGNKLIVVISSPSLVKEVVRDHDITFSERESPIAAQIITFGSNDIAFDSYSSPSWKHKRKILASDGNGEIQGEQRTIVESQFKKIFAEIMVFLGKPNISDIFPLIAWFDIQSIERGMKKIRQSFNEFLDSVIEERMKKETGEQKSDVLQMLLDLHKNQDSPSSLTMNQIKGILVNIVVAGTDTTSGSTEWAMSELMQHPEIMEKVKEELNDVIGVNNTVEEFHLPNLRYLNAVIKETFRLHPVLPLLVPRCSARSLTVGGYTIPKGSRVFLNTCSIHRDPNIWDNPMEFQPERFLNEPGKLDFRGNDF >KJB20800 pep chromosome:Graimondii2_0_v6:3:43574411:43575387:-1 gene:B456_003G165700 transcript:KJB20800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHSTLTAVPAGNTSAKFSHPMEMVGDVYENVGKLIDVGELAFRTLTSLIGNKVWGGEIQGEQRTIVESQFKKIFAEIMVFLGKPNISDIFPLIAWFDIQSIERGMKKIRQSFNEFLDSVIEERMKKETGEQKSDVLQMLLDLHKNQDSPSSLTMNQIKGILVNIVVAGTDTTSGSTEWAMSELMQHPEIMEKVKEELNDVIGVNNTVEEFHLPNLRYLNAVIKETFRLHPVLPLLVPRCSARSLTVGGYTIPKGSRVFLNTCSIHRDPNIWDNPMEFQPERFLNEPGKLDFRGNDF >KJB19695 pep chromosome:Graimondii2_0_v6:3:35108478:35115483:-1 gene:B456_003G115100 transcript:KJB19695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OSIGBa0116M22.9 protein [Source: Projected from Oryza sativa (Os04g0479000)] MSSPMDISVPNLEGVKISDQNGVPLAPPPASPSNVPHEKFQVSVEVCLKPSSTARPDDVQKAVEQMLEKRSLSYVDGPIPVPNDDPFLMENVHRICICDTDEWVKNHDILLFWQVKPIVHVFQLSEEGPCEELTGDGQLSSFNEWILPAKEFDGMWESLIYESGLKQRLLRYAASALLFTEKGVDPFLVSWNRIILLHGPPGTGKTSLCKALAQKLSIRFSSRYPQCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMIEEENNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKSSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELIRTGIISNIQGSSQYILSDYVTLKEKLNMHEIQEAQTTFHLCKQLAEAAEACEGLSGRTLRKLPFLAHAELDNPYCCDPNKLLNTMIDTARRERSELPD >KJB21189 pep chromosome:Graimondii2_0_v6:3:45712065:45714479:-1 gene:B456_003G186800 transcript:KJB21189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLSSHISLQTSESKISDFPDWYSPETGIYSSKHPSISLPTDPFLDIVSFVFSHKHDGVTALIDSSSGYSIPYSKLLPLIQSMASGLHHLGVSQGDVVLLLLPNSSHFPIIFFSVLYLGAIVSAMNPLSSTFEIKKQVSDCSVRFAFTSLEKIDQLNNLGVHAIGVPQNIDFDSENIGFSPFHELNGGRFGKAPRPVIRQQDTAVIMYSSGTTGVSKGVVLTHGNLIATVELFVKFEASQYEYSSSKNVYLAVVPMFHIYGLSLFVVGLLSLGSTIVLMRRFDGNEAVKLIDYYGVTHFPLVPPILTTLIMTAKGATNTENSFKSLKQVSCGAAPTSRKIIEDFFQVLPHVDFIQGYGMTETSAVGTRGFNTQQCHKYCSIGLLAPNMQAKVMDWNSGSPLPPEYYGELWLKGPAIMQRYLNNDVATKMAIDEDGWLRTGDIVCFDEDGYLYVSDRLKEIIKYNGYQIAPADLEAILISHPHILDAAVIGEMDEVYGEIPVAFVVTRHGSTLNQEDVMGFVAMQVAPYKKIRKVVFTKSIPKSATGKILRRELKKLLSSSCRL >KJB21089 pep chromosome:Graimondii2_0_v6:3:45654841:45658027:-1 gene:B456_003G186000 transcript:KJB21089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 25 [Source:Projected from Arabidopsis thaliana (AT4G19003) UniProtKB/Swiss-Prot;Acc:Q8VZC9] MQKLGDFKLPHFFNYPPYFTLQPVRETREKQIQLWKELILDYCRTQKIFIVRLEEEFPLFSNSVIERTLSHEAREAFLSALVAEGRAEWLDKGRRNCLILWHRIQEWADIIVNFVKDNGFEDSVMTVEEIRSGIESRGTELHGIDRTILMRALKLLEQKGKLAIFKGTSTDDEGVKFSV >KJB21087 pep chromosome:Graimondii2_0_v6:3:45654841:45657954:-1 gene:B456_003G186000 transcript:KJB21087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 25 [Source:Projected from Arabidopsis thaliana (AT4G19003) UniProtKB/Swiss-Prot;Acc:Q8VZC9] MQKLGDFKLPHFFNYPPYFTLQPVRETREKQIQLWKELILDYCRTQKIFIVRLEEEFPLFSNSVIERTLSHEAREAFLSALVAEGRAEWLDKGRRNCLILWHRIQEWADIIVNFVKDNGFEDSVMTVEEIRSGIESRGTELHGIDRTILMRALKLLEQKGKLAIFKGTSTDDEGVKFSV >KJB21088 pep chromosome:Graimondii2_0_v6:3:45655703:45657290:-1 gene:B456_003G186000 transcript:KJB21088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 25 [Source:Projected from Arabidopsis thaliana (AT4G19003) UniProtKB/Swiss-Prot;Acc:Q8VZC9] MQKLGDFKLPHFFNYPPYFTLQPVRETREKQIQLWKELILDYCRTQKIFIVRLEEEFPLFSNSVIERTLSHEAREAFLSALVAEGRAEWLDKGRRNCLILWHRIQEWADIIVNFVKDNGFEDSVMTVEEIRSGIESRGTGKYTYLYMASGFSWCIYILHIPQKSSFHGWCLNFLQAFILKESMSFKFSKAFFYFLWFANSIWKR >KJB21086 pep chromosome:Graimondii2_0_v6:3:45654841:45657760:-1 gene:B456_003G186000 transcript:KJB21086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 25 [Source:Projected from Arabidopsis thaliana (AT4G19003) UniProtKB/Swiss-Prot;Acc:Q8VZC9] MQKLGDFKLPHFFNYPPYFTLQPVRETREKQIQLWKELILDYCRTQKIFIVRLEEEFPLFSNSVIERTLSHEAREAFLSALVAEGRAEWLDKGRRNCLILWHRIQEWADIIVNFVKDNGFEDSVMTVEEIRSGIESRGTELHGIDRTILMRALKLLEQKGKLAIFKGTSTDDEGVKFSV >KJB17314 pep chromosome:Graimondii2_0_v6:3:3010965:3011426:-1 gene:B456_003G032200 transcript:KJB17314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHRKVHSQGNIPFSWEDKPGVSKPSKVTHYGCPIDGGLYGDGGLLTDIAVRNNNEVPPPPPCSIRLPPPRRSTSLKGLRWWLDDPFLAAYKECTKSGEIKTGGSILPVRKKKIGFSCKNACDVRDDNLARLSNLPTLPKRKELVLERNWFNH >KJB17273 pep chromosome:Graimondii2_0_v6:3:31354873:31355198:1 gene:B456_003G102600 transcript:KJB17273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPIETTVKSSDSHCAPHPPLNERILSSMTRRSVAAHPRHDLEIGPGAPTIFNCISMLYFIFFPVITY >KJB19716 pep chromosome:Graimondii2_0_v6:3:35423627:35437419:-1 gene:B456_003G116500 transcript:KJB19716 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD1 [Source:Projected from Arabidopsis thaliana (AT5G61980) UniProtKB/Swiss-Prot;Acc:Q9FIT8] FITQNEEDPPKFCVSPKMQFTKLEDTPMLRQEMQCLEENAELMRGRCLKFYKGCRKYTEGLVEGYAGDLAFVNALETFGGEQNDPICVAFGGPVMTNFTVALREIASYKEVLRSQIEHMLNDRLLQFVNIDLQDIKDARKPFDKASLTYDQAREKFLSLRKSTRTDIAAAIEEELHTAKTSFELARFNLVSTLSKFEAKKRFEFLEAVSGMMDAHLRFFKQGYELLHKMEPFINQVLAYAQQSRECSNYEQESLCERMQEHIRQIDRESKQLSNAGLPVADGMQPFTRGSQKVIEAVMESAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKPFAWSSAAGSPSTIQRSSSENGPGLLSRWLSSHNHSAVPDEKSVTRHTVNLLTSTIKFDADQTDLMFCFRIISPTKIYTLQAENALDQRDWIEKITGVITSLLSSQTPEKCLSAFRAGSGDYFTSDNSSLVGYPDGYPMSIGQYKCKNLSPGSLLDIPRSSQHQEYCGKSEKPIDILRKVAGNHKCADCGAPEPDWASLNLGLLICIECSGVHRNLGVHISKVRSLTLDVKVWEPSVLDLFQSLGNIYVNSIWEELLHSGPTRTVIPAGSSNSEGHKQLVKMKPTHDDPISVKELFIHAKYAEKAFVPKITDKQRLHSVAEEVWESVRSNDPRAVYRYIVCYGADVNTIYGHASYFDSLDPSSRSLNSLNKSEDQIEKEHSDSCSLLHLACLTTDICMVELLLQYGANINASDSRGRMPLHICVIGRNFAIAKLLLTRGADPHAVDGEGHTPLQLALASGVDDHEVLPFLTE >KJB19717 pep chromosome:Graimondii2_0_v6:3:35423918:35432436:-1 gene:B456_003G116500 transcript:KJB19717 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD1 [Source:Projected from Arabidopsis thaliana (AT5G61980) UniProtKB/Swiss-Prot;Acc:Q9FIT8] MCMFCVQVSTLSKFEAKKRFEFLEAVSGMMDAHLRFFKQGYELLHKMEPFINQVLAYAQQSRECSNYEQESLCERMQEHIRQIDRESKQLSNAGLPVADGMQPFTRGSQKVIEAVMESAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKPFAWSSAAGSPSTIQRSSSENGPGLLSRWLSSHNHSAVPDEKSVTRHTVNLLTSTIKFDADQTDLMFCFRIISPTKIYTLQAENALDQRDWIEKITGVITSLLSSQTPEKCLSAFRAGSGDYFTSDNSSLVGYPDGYPMSIGQYKCKNLSPGSLLDIPRSSQHQEYCGKSEKPIDILRKVAGNHKCADCGAPEPDWASLNLGLLICIECSGVHRNLGVHISKVRSLTLDVKVWEPSVLDLFQSLGNIYVNSIWEELLHSGPTRTVIPAGSSNSEGHKQLVKMKPTHDDPISVKELFIHAKYAEKAFVPKITDKQRLHSVAEEVWESVRSNDPRAVYRYIVCYGADVNTIYGHAS >KJB17257 pep chromosome:Graimondii2_0_v6:3:21690988:21691995:-1 gene:B456_003G085500 transcript:KJB17257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENWDLQAVVGGNSSNDHLIANPEFSFGPWSFQQDEDFMSFPEIFETNPKVLDELEQLYKPFYPDLNPFSTQTIITSSIPVPLHVDEPAEKRKKKPSFTVSQSDISASPNPRRFSRKNQQNRVVEHVTADDLPSDVWAWRKYGQKPIKGSPFPRSYYRCSSSKGCLARKQVERSCSDPRVFIITYTAEHCHGHPTRRSSLTGSTRSKPLTAAKSIEAHAEGETVKQERMKMEVELHGEQEGGKILSPDLLLSNDELIRRLEDFDEGFFVDQFPHFSREM >KJB17256 pep chromosome:Graimondii2_0_v6:3:21690773:21692050:-1 gene:B456_003G085500 transcript:KJB17256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENWDLQAVVGGNSSNDHLIANPEFSFGPWSFQQDEDFMSFPEIFETNPKVLDELEQLYKPFYPDLNPFSTQTIITSSIPVPLHVDEPAEKRKKKPSFTVSQSDISASPNPRRFRKNQQNRVVEHVTADDLPSDVWAWRKYGQKPIKGSPFPRSYYRCSSSKGCLARKQVERSCSDPRVFIITYTAEHCHGHPTRRSSLTGSTRSKPLTAAKSIEAHAEGETVKQERMKMEVELHGEQEGGKILSPDLLLSNDELIRRLEDFDEGFFVDQFPHFSREM >KJB17672 pep chromosome:Graimondii2_0_v6:3:646437:648685:1 gene:B456_003G010500 transcript:KJB17672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFKAHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLARVVFRHPFRYKKQKELFVAAEGMYTGQFVYCGKKATLMVGNVLPLRSIPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNDTTRIKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKA >KJB17366 pep chromosome:Graimondii2_0_v6:3:26404579:26405070:-1 gene:B456_003G090000 transcript:KJB17366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGNTQIALILASLIISNIIVLVVSQGQLRVGFYSKTCPNAESIIRKVVQKAVDDNPRNAAILLRLHFHDCFVQGCDGSILIRNDEDGELKAQGNLGVVGFDIIDSAKARLENLCPGIVSCADIVSLAARDAVS >KJB17365 pep chromosome:Graimondii2_0_v6:3:26403890:26405161:-1 gene:B456_003G090000 transcript:KJB17365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGNTQIALILASLIISNIIVLVVSQGQLRVGFYSKTCPNAESIIRKVVQKAVDDNPRNAAILLRLHFHDCFVQGCDGSILIRNDEDGELKAQGNLGVVGFDIIDSAKARLENLCPGIVSCADIVSLAARDAVS >KJB20804 pep chromosome:Graimondii2_0_v6:3:43590345:43593464:-1 gene:B456_003G165900 transcript:KJB20804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSCIHFCFISCLVLFIVVGSWYRSTNSSLPNSTLQTPWSTSKNRIFSNSKASSVLETQAQTSIDHSAEAPHHPLDPLTVQEINKVRTILSSYEPFSFTFPTIHTVQLDEPDKVKVLKWSKGDALLPRKAQVLALLNGQSHVLTVDLDSSSITSHAINSSSGYPMLSVDDILAASKVPFSNAEFNKSIAARGVPSSDLICLPPSAGWFGPNEEGKRVVKVLCYSKESTPNFYMRPIEGLVMTVDLDTLEVLKFSDTGREIPIPKSTDTDYRYTAQTKEPQMERLNPISIEQPKGPSFRVEDGHIVKWANWVFHLKADHRAGLVISRVMVRDSENGELRDVMYKGFASEFFVPYMDLDESWYFKSYMDAGEYGLGMSALPLVPLNDCPRHSYYMNGIFATPDGNPFVQPNMICLFERYAGDISWRHSEGLLTDFQIREARPKVTLVARMAASVGNYDYIFDWEFQTDGLINVKVGLSGMLMVKGSPYHQAPNQDAMSGPLISENLIGVVHDHFVTFHLDMDIDGANNSFVNVNLVKERSLPGESPRKSYLKAKRKIAKTEKDAQIKLKLYDPSEFHMINPSKKSRLGNPTGYKIVPGGTAASLLDHDDPPQLRSAFTNNQIWVTPYNRSEQWAGGLLTYQSRGDDTLAVWSERDRSIENKDIVLWYTLGFHHIPCQEDFPVMPTVTSGFELKPVNFFESNPILRAAPAFEKDLPVCRSSASS >KJB20803 pep chromosome:Graimondii2_0_v6:3:43590134:43593567:-1 gene:B456_003G165900 transcript:KJB20803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSCIHFCFISCLVLFIVVGSWYRSTNSSLPNSTLQTPWSTSKNRIFSNSKASSVLETQAQTSIDHSAEAPHHPLDPLTVQEINKVRTILSSYEPFSFTFPTIHTVQLDEPDKVKVLKWSKGDALLPRKAQVLALLNGQSHVLTVDLDSSSITSHAINSSSGYPMLSVDDILAASKVPFSNAEFNKSIAARGVPSSDLICLPPSAGWFGPNEEGKRVVKVLCYSKESTPNFYMRPIEGLVMTVDLDTLEVLKFSDTGREIPIPKSTDTDYRYTAQTKEPQMERLNPISIEQPKGPSFRVEDGHIVKWANWVFHLKADHRAGLVISRVMVRDSENGELRDVMYKGFASEFFVPYMDLDESWYFKSYMDAGEYGLGMSALPLVPLNDCPRHSYYMNGIFATPDGNPFVQPNMICLFERYAGDISWRHSEGLLTDFQIREARPKVTLVARMAASVGNYDYIFDWEFQTDGLINVKVGLSGMLMVKGSPYHQAPNQDAMSGPLISENLIGVVHDHFVTFHLDMDIDGANNSFVNVNLVKERSLPGESPRKSYLKAKRKIAKTEKDAQIKLKLYDPSEFHMINPSKKSRLGNPTGYKIVPGGTAASLLDHDDPPQLRSAFTNNQE >KJB19924 pep chromosome:Graimondii2_0_v6:3:37221202:37224597:1 gene:B456_003G124700 transcript:KJB19924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDFYTKDGTVDHKGNPANIKKTGTWKACPFIIGNECCERLAYYGMSSNLVRYFKHRLNQHTSVATRNNQNWSGTCYITPLIGAFLADAYLGRYWTIALFSIIYVFGMTLLAMSATVHGIKPRCYTEDNCDPTVTQSAVFFVALYLVALGTGGIKPCVSSYGADQFDDTNETEKKHKSSFFNWFYFSINIGALIASSVLVWVQDNVSWGWGFGIPAIAMAIAVVFFFSGTRLYRNQKPGGSPLTRLCQVVVASFRKCRVAVPADKSLLYETMDAESNIKGSRKVEHTEDLSFFDKAAVETESDDIKGTVNSWRLCTVTQVEELKAVIRLLPIWATGIIFAAVYSQMSNLFVLQGERMNTHVGNSTFKIPPASLSIFDTLSVIFWVPIYDRIIVPVTRKFTGHKNGLTQLQRMGIGLFISIFAMVVAAILELERLREVRRHNYYEVKEMPMTIFWQVPQYFLIGCAEVFTLIGQLEFFYEQAPDAMRSFCSALQLTTIALVLSVLNLGAFLLIAKWYTYKKAVGTLR >KJB19922 pep chromosome:Graimondii2_0_v6:3:37221158:37224588:1 gene:B456_003G124700 transcript:KJB19922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDFYTKDGTVDHKGNPANIKKTGTWKACPFIIGNECCERLAYYGMSSNLVRYFKHRLNQHTSVATRNNQNWSGTCYITPLIGAFLADAYLGRYWTIALFSIIYVFGMTLLAMSATVHGIKPRCYTEDNCDPTVTQSAVFFVALYLVALGTGGIKPCVSSYGADQFDDTNETEKKHKSSFFNWFYFSINIGALIASSVLVWVQDNVSWGWGFGIPAIAMAIAVVFFFSGTRLYRNQKPGGSPLTRLCQVVVASFRKCRVAVPADKSLLYETMDAESNIKGSRKVEHTEDLSFFDKAAVETESDDIKGTVNSWRLCTVTQVEELKAVIRLLPIWATGIIFAAVYSQMSNLFVLQGERMNTHVGNSTFKIPPASLSIFDTLSVIFWVPIYDRIIVPVTRKFTGHKNGLTQLQRMGIGLFISIFAMVVAAILELERLREVRRHNYYEVKEMPMTIFWQVPQYFLIGCAEVFTLIGQLEFFYEQAPDAMRSFCSALQLTTIALGNYLSSLLVTIVTAITARNDKLGWIPDNLNYGHIHYFFFLLAVLSVLNLGAFLLIAKWYTYKKAVGTLR >KJB19923 pep chromosome:Graimondii2_0_v6:3:37221158:37224597:1 gene:B456_003G124700 transcript:KJB19923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDFYTKDGTVDHKGNPANIKKTGTWKACPFIIGNECCERLAYYGMSSNLVRYFKHRLNQHTSVATRNNQNWSGTCYITPLIGAFLADAYLGRYWTIALFSIIYVFGMTLLAMSATVHGIKPRCYTEDNCDPTVTQSAVFFVALYLVALGTGGIKPCVSSYGADQFDDTNETEKKHKSSFFNWFYFSINIGALIASSVLVWVQDNVSWGWGFGIPAIAMAIAVVFFFSGTRLYRNQKPGGSPLTRLCQVVVASFRKCRVAVPADKSLLYETMDAESNIKGSRKVEHTEDLSFFDKAAVETESDDIKGTVNSWRLCTVTQVEELKAVIRLLPIWATGIIFAAVYSQMSNLFVLQGERMNTHVGNSTFKIPPASLSIFDTLSVIFWVPIYDRIIVPVTRKFTGHKNGLTQLQRMGIGLFISIFAMVVAAILELERLREVRRHNYYEVKEMPMTIFWQVPQYFLIGCAEVFTLIGQLEFFYEQAPDAMRSFCSALQLTTIALVLSVLNLGAFLLIAKWYTYKKAVGTLR >KJB19921 pep chromosome:Graimondii2_0_v6:3:37221158:37224588:1 gene:B456_003G124700 transcript:KJB19921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDFYTKDGTVDHKGNPANIKKTGTWKACPFIIGNECCERLAYYGMSSNLVRYFKHRLNQHTSVATRNNQNWSGTCYITPLIGAFLADAYLGRYWTIALFSIIYVFGMTLLAMSATVHGIKPRCYTEDNCDPTVTQSAVFFVALYLVALGTGGIKPCVSSYGADQFDDTNETEKKHKSSFFNWFYFSINIGALIASSVLVWVQDNVSWGWGFGIPAIAMAIAVVFFFSGTRLYRNQKPGGSPLTRLCQVVVASFRKCRVAVPADKSLLYETMDAESNIKGSRKVEHTEDLSFFDKAAVETESDDIKGTVNSWRLCTVTQVEELKAVIRLLPIWATGIIFAAVYSQMSNLFVLQGERMNTHVGNSTFKIPPASLSIFDTLSVIFWVPIYDRIIVPVTRKFTGHKNGLTQLQRMGIGLFISIFAMVVAAILELERLREVRRHNYYEVKEMPMTIFWQVPQYFLIGCAEVFTLIGQLEFFYEQAPDAMRSFCSALQLTTIALGNYLSSLLVTIVTAITARNDKLGWIPDNLNYGHIHYFFFLLAVLSVLNLGAFLLIAKWYTYKKAVGTLR >KJB20207 pep chromosome:Graimondii2_0_v6:3:39992408:39996788:-1 gene:B456_003G138500 transcript:KJB20207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHVQRSFGTISPPQEYQFCFASNSKLFREYIGAESNSMKLSDVPINSKVEFHFILAFAIDYTNDNHPSPTNGKFNVFWETNHLGPGQIGSFKGSNSNVKIAVSLGGDSVGSGKAFFAPKSKTSWVQNGVSSLTYMINKYHIDGIDIDYEHFKASPEMFAECIGQLITILKKSGTISFASIAPYEEINSHYLALWRKYGHVIDYVNFQFYAYDKLSVSHFISNFKKQASNYEGGQLLASFESGGGGGLKPANGFFEACNELKDQGKLGGIFIWCAEESKNKGFQYEKKSQDLLAA >KJB17816 pep chromosome:Graimondii2_0_v6:3:1167441:1168877:-1 gene:B456_003G016800 transcript:KJB17816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTGKVHVALVASPGMGHLIPVLELGKRLVSHHGISATIFVVTMDASLSRSQLLKVSGITDDFLDVVLLPAVDISARVDKTTGILRQMAMMVHEALPSLRSAILAMEVQPIALIVDMFATEAFVVAEEFMMFKYVFVTTNAWFLALTVHGPNLDKEVDQDDHINNQKPIHIPGCEPVRFVDCYEQFLRRNKVYFRMGTEISTADGILVNSFYDLEPLTLAALHDTRKVGLAPKAPVYPIGPLVRPVELSLRGEVLRWLDMQPKESVLYVSFGSGGTLSAKQTIELAWGLENSQQRFIWVVRPPVENDSAATVFKSNGVNNDFLDYLPEGFLNRTCKNGLVVPKWAPQTEILSHPSIGGFLSHCGWNSSLESIVNGVPMIVWPLYAEQKMNATVLAEHIGVATRSKLLAPKGVVGRDVIAAMIQKIMVDKEGEAIRAKVKMLKSCAVKAVSNGGSSYNALAIVAEECKIGMQRRNAKTG >KJB18062 pep chromosome:Graimondii2_0_v6:3:3013495:3016806:-1 gene:B456_003G032300 transcript:KJB18062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGVLGLDGIVGPNNGAPSPSPSPSPPVESKPKLFGSGFTKQERSSDSAEEEDHWKSCKVPKTMPLHQGIPLLRSNETPQQQQDHMISFSSLKSELPFIRKDGNFMEKTTQSNDFSMYTRNAGYGSGNLNATMHGVLTPSQWIELQHQALIYKYIMSNVPVPSNLLTPLKKSLYPYGFTSSSVGSLPYNSLGWGSFHLRYTGSTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHINRGRHRSRKPVEGQTGHTATNSKVESMSSSMLASAKTSGGAANSLAIALQHRSGAADPSSDTLVNRIQDQRGFSVLSSTTNLKSDDSTTFTITKQGVPFVESSLSDFGHVASDSLVNPSHKSYYMNSKEYGHGLFLDFTGQETQDQTPPHQFIDNWPKDQSSRSIVTWPNELKPDWTQLSMSIPMVTSEFSSTLSSPVKEKLDLSSLRLVQEFDQIQMGLGVNNDITEQTKKQPNWIPISWGGSTGGPLGEILNNTTNNTGSCQNSSTLTFLSEGLDGNRIQLQNTPFSSLSNSSSGSSPIAENNKKLLGAASVPSIIRS >KJB20497 pep chromosome:Graimondii2_0_v6:3:42010452:42013036:1 gene:B456_003G152000 transcript:KJB20497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKPSVLTQRYEIGRLLGQGTFAKVYYARCIQNNMSVAIKVIDKDKVMRVGMINQIKREISVMRIARHPNIVQLYEVMATKSKIFFIMEYCKGGELFNKVANGRLKVDVARKYFIQLINAVDFCHSRGVFHRDIKPENILLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVINRKGYDGVAADVWSCGVVLYVLLAGYLPFHDSNLMELYRKIGKAEFRFPSWFPLEARRLVCKMLDPNPTSRISMSKIRGSAWFKKGLNVEQKKLEKENEQASPNMGPSAPCGNSNDSAETKQESVQLPSLNAFDLICGFDLSGLFDGVSEKRETRFSSRQPASVIISKLEEAARHLRLKVKKKDAGVLKMERLKEGRKGILSIDAEIFEVTQTFHLVEIKKSNGDTLEYQQILKEEMRPALQDIVWVWQGDQQQQELQYEELQQLQYEEQQQLHQQQNDSSSTSSSSFDKYSN >KJB20498 pep chromosome:Graimondii2_0_v6:3:42010548:42012999:1 gene:B456_003G152000 transcript:KJB20498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKPSVLTQRYEIGRLLGQGTFAKVYYARCIQNNMSVAIKVIDKDKVMRVGMINQIKREISVMRIARHPNIVQLYEVMATKSKIFFIMEYCKGGELFNKVANGRLKVDVARKYFIQLINAVDFCHSRGVFHRDIKPENILLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVINRKGYDGVAADVWSCGVVLYVLLAGYLPFHDSNLMELYRKIGKAEFRFPSWFPLEARRLVCKMLDPNPTSRISMSKIRGSAWFKKGLNVEQKKLEKENEQASPNMGPSAPCGNSNDSAETKQESVQLPSLNAFDLICGFDLSGLFDGVSEKRETRFSSRQPASVIISKLEEAARHLRLKVKKKDAGVLKMERLKEGRKGILSIDAEIFEVTQTFHLVEIKKSNGDTLEYQQILKEEMRPALQDIVWVWQGDQQQQELQYEELQQLQYEEQQQLHQQQNDSSSTSSSSFDKYSN >KJB19094 pep chromosome:Graimondii2_0_v6:3:21162633:21164564:-1 gene:B456_003G084700 transcript:KJB19094 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 88A1 [Source:Projected from Arabidopsis thaliana (AT3G16520) UniProtKB/Swiss-Prot;Acc:Q9LK73] MVEAVVLYPSPPIGHLLAMVELGKLLLSHRPSLSIHILIATPPYQAESTAPYIAAVSSTIPSIVFHKLPKVTLLPSTDVAHHEFLTFEVLRLSNPNVHETLLSISKDYKVHAFIMDFFCTVAFKVAVDLSIPPYFLYTSGVATLSSFFYLPTLHNNTTKSFKELNLLLNIPGVPPVPSADMPKPVLDRNDQVYHIFINNSMYLPKSAGIIINSFESLEPRAIKAIRDGLCVPDGPTPPLYCIGPLIADVDRRSGARSNVAGEGAPDDCLMWLDKQPSKSVVFLCFGSLGLFSAQQLKEIAEGLERSEQRFLWVVRNPPSENLSVAIKEQSEPDLNTLLPMGFLERTKETGKVVKSWAPQVAVLNHDSIGGFVTHCGWNSVLESVCAGVPMVAWPLYAEQRFNRVLLVEEMKIALPMVESETGFVDSSEVEKRVRELMEWEQGKLVRERTVAMKHAAKAAMSKGGSSRLALAKLFESWKKE >KJB19095 pep chromosome:Graimondii2_0_v6:3:21162824:21164464:-1 gene:B456_003G084700 transcript:KJB19095 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 88A1 [Source:Projected from Arabidopsis thaliana (AT3G16520) UniProtKB/Swiss-Prot;Acc:Q9LK73] MVEAVVLYPSPPIGHLLAMVELGKLLLSHRPSLSIHILIATPPYQAESTAPYIAAVSSTIPSIVFHKLPKVTLLPSTDVAHHEFLTFEVLRLSNPNVHETLLSISKDYKVHAFIMDFFCTVAFKVAVDLSIPPYFLYTSGVATLSSFFYLPTLHNNTTKSFKELNLLLNIPGVPPVPSADMPKPVLDRNDQVYHIFINNSMYLPKSAGIIINSFESLEPRAIKAIRDGLCVPDGPTPPLYCIGPLIADVDRRSGARSNVAGEGAPDDCLMWLDKQPSKSVVFLCFGSLGLFSAQQLKEIAEGLERSEQRFNRVLLVEEMKIALPMVESETGFVDSSEVEKRVRELMEWEQGKLVRERTVAMKHAAKAAMSKGGSSRLALAKLFESWKKE >KJB18573 pep chromosome:Graimondii2_0_v6:3:10891757:10893090:1 gene:B456_003G061200 transcript:KJB18573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDDHLMCEIENGHHLSSAAILGLDGSVWAQSSAFPTFKPEEITAIMKDFDEPGSLAPTGLHLGGAKYMVIQGEPGAVVRGKKVNYRSFS >KJB18572 pep chromosome:Graimondii2_0_v6:3:10891744:10894599:1 gene:B456_003G061200 transcript:KJB18572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDDHLMCEIENGHHLSSAAILGLDGSVWAQSSAFPTFKPEEITAIMKDFDEPGSLAPTGLHLGGAKYMVIQGEPGAVVRGKKGTGGVTVKKTGQALIFGIYDEPVTPGQCNMVVERLGDYLVDQGM >KJB18714 pep chromosome:Graimondii2_0_v6:3:13396614:13399261:1 gene:B456_003G066500 transcript:KJB18714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQTKNPAYVDQVVLAPDVPQQPPAAAVVKEPPQAETDEESVNQKPVEKALVEEHLSKHRCDDQENVLQSGSFKEESTRVVDLLDIEKKALQQLKQLVQEALNKHEFGGQQPEVPKEDDEKESSKEEAKDEAVIDDDPPKPETVAETNDAPVKEDSINLVETEVIEDKVATAAAGSDTVEDDGAKTVEAIEESIVSVSSSSVQPAAEAEAYVESEDERKGGKVEDKVVPPEEISIWGVPLLADERSDVILLKFLRARDFKVKEAFVMLKNTILWRKEFGIDELVEQDLGDDLSKVIFMHGFDKEGHPVCYNVYGEFRNKELYQKKISDEEKRQNFLRWRIQFLEKSIRKLDFRPGGICTIVQVNDLKNSPGPAKWELRQATKQALHLLQDNYPEFVARQVFINVPWWYLAVNKMINPFLTQRTKSKFVFAGPSKSADTLFRYITAEQVPVKYGGLSKGSEFATTETVTEITVKLAAKHTVEFPVTEACLVRWEVRVIGWGVSYGAEFVPSGENSYAVIIQKARKVAASTEEPVVCDNFKVGEAGKVVLTIHNPSSKKKKLLYRFKSHPTTSH >KJB19843 pep chromosome:Graimondii2_0_v6:3:36293779:36298178:-1 gene:B456_003G121100 transcript:KJB19843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFWVLVLFTANLKLGLAHIHGGEQPLAKINILQTTVALHESASISAEPVLLGLKGEDTEWITVNFVNPKPSLDDWVGVFSPAKFDPSACPPVNERKKQLPYICSAPIKYKYANESCSSYAKTGTASLRFQLINQRADFSFALFSGGLSDPILVAISNPISFTNPKAPLYPRLAQGKSWNEMTVTWTSGYDIDEAVPFVEWGRKGDLQVRSPAGTLTFKQNSMCGSPASTVGWRDPGFIHTSFLKDLWPNFVYMYRIGHLLYNGSVVWSKTYSFKSSPYPGQNSLQRVVIFGDMGKAERDGSNEYSDYQPGSLNTTDQLIRDLDNIDIVFHIGDLTYSNGYISQWDQFTAQVEPIASTVPYMIASGNHERDWPNSGSFYDTPDSGGECGVPAETMFYYPAENKAKFWYSTDYGLFHFCIADSEHDWREGSEQYGFIEQCLASVDRHRQPWLIFSAHRVLGYSSNDWYSQEGAYEEPMGRDGLQKLWQKYKVDIAFYGHVHNYERSCPIYQVRIANTERSSNLQCQTKSRDSCICYHNSYPV >KJB19844 pep chromosome:Graimondii2_0_v6:3:36293192:36298367:-1 gene:B456_003G121100 transcript:KJB19844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFWVLVLFTANLKLGLAHIHGGEQPLAKINILQTTVALHESASISAEPVLLGLKGEDTEWITVNFVNPKPSLDDWVGVFSPAKFDPSACPPVNERKKQLPYICSAPIKYKYANESCSSYAKTGTASLRFQLINQRADFSFALFSGGLSDPILVAISNPISFTNPKAPLYPRLAQGKSWNEMTVTWTSGYDIDEAVPFVEWGRKGDLQVRSPAGTLTFKQNSMCGSPASTVGWRDPGFIHTSFLKDLWPNFVYMYRIGHLLYNGSVVWSKTYSFKSSPYPGQNSLQRVVIFGDMGKAERDGSNEYSDYQPGSLNTTDQLIRDLDNIDIVFHIGDLTYSNGYISQWDQFTAQVEPIASTVPYMIASGNHERDWPNSGSFYDTPDSGGECGVPAETMFYYPAENKAKFWYSTDYGLFHFCIADSEHDWREGSEQYGFIEQCLASVDRHRQPWLIFSAHRVLGYSSNDWYSQEGAYEEPMGRDGLQKLWQKYKVDIAFYGHVHNYERSCPIYQNQCVNKEKNHYSGTVNGTIHVVVGGAGSHLSKFSQLIPYWSIYRDYDFGFVKLTAFNQSSLLFEYKKSRDGKVYDSFTISRDYKDVLACVHDGCEPTTLAS >KJB20537 pep chromosome:Graimondii2_0_v6:3:42208976:42217792:-1 gene:B456_003G153500 transcript:KJB20537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIRTNSLKRLFSLKRRSFEEGGANQHGVFEEDTNNDSFKIASVAERSQRPSWRSFSFEEIFVATNAFSSENLVGKGGYAEVYKGVLKDGEEIAVKRLTKACTDERKEKDFLTEIGTIGHVCHPNVLSLLGCCIDNGLYLIFEFSSRGSVASLLHDANLPPMDWKTRYKIAVGTARGLYYLHKVCKRRIIHRDIKSSNILLAADFEPQISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYFMHGTVDEKTDVFAFGVFLLEMISGRKPVDASHQSLHCWAKPLLKREEMEKLVDPRLGGSYDISQLKRLAFTASLCIRASSAWRPTMNEVLEVLMEGETDKERWRMPEEEEQEEFWGFEDLEYECHTSFSLSPDDSLSTASSLRGKN >KJB20538 pep chromosome:Graimondii2_0_v6:3:42208951:42211583:-1 gene:B456_003G153500 transcript:KJB20538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIRTNSLKRLFSLKRRSFEEGGANQHGVFEEDTNNDSFKIASVAERSQRPSWRSFSFEEIFVATNAFSSENLVGKGGYAEVYKGVLKDGEEIAVKRLTKACTDERKEKDFLTEIGTIGHVCHPNVLSLLGCCIDNGLYLIFEFSSRGSVASLLHDANLPPMDWKTRYKIAVGTARGLYYLHKVCKRRIIHRDIKSSNILLAADFEPQISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYFMHGTVDEKTDVFAFGVFLLEMISGRKPVDASHQSLHCWAKPLLKREEMEKLVDPRLGGSYDISQLKRLAFTASLCIRASSAWRPTMNEVLEVLMEGETDKERWRMPEEEEQEEFWGFEDLEYECHTSFSLSPDDSLSTASSLRGKN >KJB20536 pep chromosome:Graimondii2_0_v6:3:42208957:42211683:-1 gene:B456_003G153500 transcript:KJB20536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIRTNSLKRLFSLKRRSFEEGGANQHGVFEEDTNNDSFKIASVAERSQRPSWRSFSFEEIFVATNAFSSENLVGKGGYAEVYKGVLKDGEEIAVKRLTKACTDERKEKDFLTEIGTIGHVCHPNVLSLLGCCIDNGLYLIFEFSSRGSVASLLHDANLPPMDWKTRYKIAVGTARGLYYLHKVCKRRIIHRDIKSSNILLAADFEPQISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYFMHGTVDEKTDVFAFGVFLLEMISGRKPVDASHQSLHCWAKPLLKREEMEKLVDPRLGGSYDISQLKRLAFTASLCIRASSAWRPTMNEVLEVLMEGETDKERWRMPEEEEQEEFWGFEDLEYECHTSFSLSPDDSLSTASSLRGKN >KJB17762 pep chromosome:Graimondii2_0_v6:3:916968:919278:-1 gene:B456_003G014100 transcript:KJB17762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRDQDKEMGLPLPLSSSQTPNPRPPQTLNHYPNIDPLPPGSVAGAEPEPVGSSLVVRYRECLKNHAATMGGHVVDGCGEFMPSGEEGTMAAMKCAACECHRNFHRKEINGESQYLPPLPRRNGVVHLQQQPVRFPAPVMMSFGGGGGGTAEESSSEDLRHDELINVPQSSKKRFRTKFSQDQKEKMMEFAETLGWRIQKQDEHELQMFCSQVGVKRQVFKVWMHNNKQAMKKKQMKKKESSAVTKTKNKSQIYLKMLCCFCWLFTYFPQNSLVLKRGIAMGNVWLSKEV >KJB18495 pep chromosome:Graimondii2_0_v6:3:9017216:9019820:-1 gene:B456_003G056000 transcript:KJB18495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYLAFNIAEKVSKLTKIAYQEISLAWDVHRDLEKLHKTLTTIKAVLLDAELKQVHDNQLRVWLQELKDACYDAEDVLDEFEIQALKKQLLKQRTIGKKVSYFFSISKPLAFRCKMAHKIKQLNQRFHEIPALKNNFHLDDPADEEEIPVLPIVGVGGMRKTALAELVFNDEAVDAHFELKLWVCVSDDFDLKRLVVKAIKAGNGGDGDLGSMNLEKLQKALLVCLNAKKYLLVLDDVWNEDSRKWVELKQLFAGGAVGSKIVVTTRSSQVAKITGTSTPLHLEALPYEKSLSLFLKFAFKKGEEKQRPNLVDIGEGIVKKCKGVPLVVKTLGSMLFSKTSEQEYNETVSVLKLSYDQLSPPLKQCFAYCSLFPKDVVFYEVRLIAFWMAHGLLEYSNKNESPENIGRRYLNDLFSRSFFQDYDDISIFGTFKMHDLLHDLASFVAKNECCIVYSFEQNIVPGIRHVCLVYSDDSPDENASKFFNKIGYLCTLEFPVCSKSFTETCLKRFQHLRMLDLSESNFEVLHKWIGNLKHLRKLNISNCPNIKKLPNSICELQKLQTLDFDGCDQIEELPKDMRHVVNLRFLSLTTKQRDLRVIRRCENLESLFEGSQKLTSLLTLIFSGCNNLVSLPHGLKYVATLQWLVIGSCEKLDLSTTQGFKEKEADDDIQDYLIHNGFSLQSLAILDLPKLEALPQWLLLVSANTLENLTVRNCENLKTLAEWHNLTSLEIVEIKHCPELSSLPKSMQRLKQLRIEDCPLLSLRCQQEMGVEWPKTAHASPIVLDGNTISAAHN >KJB19351 pep chromosome:Graimondii2_0_v6:3:30087667:30090008:-1 gene:B456_003G096900 transcript:KJB19351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAGEWLEKALIDLCKKIETGLDLDAEIISGLVSYCELAHPLDAKEYLDNIIGQEAGKVVTEEYLRRRGHLVLCTGNAAIPASKLQAYVKPPSGEISVSGTKKPFKTPKEAAGSSYRAEPKKNVISGNQENRIPNDASDSRNMHKGNQGNSKKKKAGKVVSLAEAAKGSIVFHQGKPCSCQARQHRLVSNCLSCGKIVCEQEGEGPCNFCGALVLREGSTYAGLEGSFTPVSDAEAAAEAYAKRLVEYDRNAAARTTVIDDQSDYYEIEGNSWLSKEEKELLKKKQEEIEEAERLKRSKVFVTFDLVGRKVVSLSNDLISAYISLIKFQTRISHFTCTESSFLPGFAFSHSNHCLQCLKCLGLPTSFSGFLLYHVAFNCFDIFFCALFVFENL >KJB19350 pep chromosome:Graimondii2_0_v6:3:30087647:30090037:-1 gene:B456_003G096900 transcript:KJB19350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAGEWLEKALIDLCKKIETGLDLDAEIISGLVSYCELAHPLDAKEYLDNIIGQEAGKVVTEEYLRRRGHLVLCTGNAAIPASKLQAYVKPPSGEISVSGTKKPFKTPKEAAGSSYRAEPKKNVISGNQENRIPNDASDSRNMHKGNQGNSKKKKAGKVVSLAEAAKGSIVFHQGKPCSCQARQHRLVSNCLSCGKIVCEQEGEGPCNFCGALVLREGSTYAGLEGSFTPVSDAEAAAEAYAKRLVEYDRNAAARTTVIDDQSDYYEIEGNSWLSKEEKELLKKKQEEIEEAERLKRSKVFVTFDLVGRKVLLNEDEVSELESKNRILLRPPDEREANRIKPNPNLKLQPVFLSPVPSKKGSKSKQPSKSPVSGLCLEITGRVQHDSNELKYFMTDKKMEAA >KJB19352 pep chromosome:Graimondii2_0_v6:3:30087667:30090008:-1 gene:B456_003G096900 transcript:KJB19352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAGEWLEKALIDLCKKIETGLDLDAEIISGLVSYCELAHPLDAKEYLDNIIGQEAGKVVTEEYLRRRGHLVLCTGNAAIPASKLQAYVKPPSGEISVSGTKKPFKTPKEAAGSSYRAEPKKNVISGNQENRIPNDASDSRNMHKGNQGNSKKKKAGKVVSLAEAAKGSIVFHQGKPCSCQARQHRLVSNCLSCGKIVCEQEGEGPCNFCGALVLREGSTYAGLEGSFTPVSDAEAAAEAYAKRLVEYDRNAAARTTVIDDQSDYYEIEGNSWLSKEVSHVFKSKLFETRGYCCMRLLESHLHCMFFSSQEKELLKKKQEEIEEAERLKRSKVFVTFDLVGRKVLLNEDEVSELESKNRILLRPPDEREANRIKPNPNLKLQPVFLSPVPSKKGSKSKQPSKSPVSGLCLEITGRVQHDSNELKYFMTDKKMEAA >KJB20968 pep chromosome:Graimondii2_0_v6:3:44681527:44686019:-1 gene:B456_003G175000 transcript:KJB20968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGNEQPKCQRSFNHRGRKNDCWDEVVKMLCMILGDDSISNIRWWDDKKVKIQEAFMQLEDMKSKNELQESCWTEVVKLLRIILGDESISTATSWELKVMKVVEAIAQLEDPQFGLKMLKKMMKESINQNTKVKEAFRLIESVLNQSEILVPNQDYTDEINGLDQTAYMSKEEIQSLQEDLAQANAISDQKAQSMTEAKAFGKVSGLLSKVSESCCAKMHDILDPINSIQGHFVQVEDEQCTLHFHGSKMIDEETLAEEVNFTLTYVGGRLETLTLKMQSDQKMIPPAHLNPESSNPMGALGDSLDIEEFLTDSPLESWLDNLISTAVIKGYEVYQRNADALNKIFQNHAHFADQFQLKDRVFQSNIMNALAEIYLKLESNLGKLELTEIDDILVKVKDMEVTGLELSWLLETLENQAKIKRLEEAIQESILELAKLKKKQRLE >KJB20969 pep chromosome:Graimondii2_0_v6:3:44681784:44685497:-1 gene:B456_003G175000 transcript:KJB20969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGNEQPKCQRSFNHRGRKNDCWDEVVKMLCMILGDDSISNIRWWDDKKVKIQEAFMQLEDMKSKNELQESCWTEVVKLLRIILGDESISTATSWELKVMKVVEAIAQLEDPQFGLKMLKKMMKESINQNTKVKEAFRLIESVLNQSEILVPNQDYTDEINGLDQTAYMSKEEIQSLQEDLAQLYILHIQANAISDQKAQSMTEAKAFGKVSGLLSKVSESCCAKMHDILDPINSIQGHFVQVEDEQCTLHFHGSKMIDEETLAEEVNFTLTYVGGRLETLTLKMQSDQKMIPPAHLNPESSNPMGALGDSLDIEEFLTDSPLESWLDNLISTAVIKGYEVYQRNADALNKIFQNHAHFADQFQLKDRVFQSNIMNALAEIYLKLESNLGKLELTEIDDILVKVKDMEVTGLELSWLLETLENQAKIKRLEEAIQESILELAKLKKKQRLE >KJB20765 pep chromosome:Graimondii2_0_v6:3:43302327:43305354:1 gene:B456_003G163400 transcript:KJB20765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESFAFEIAGKVLEKLGSAAYERISLAWGVREEFEKLKLTLAAIRAVVLDAEQQQARTQEFSLWLQRFKDACYDVDYLIDEFEIEALRRQVLEQGSTGSKVRHFFSGSNPLAFRFRMSYKIKKANEMLNEIAANKAKFHLTEKHETNVIHRERETYSFVKTSSVIGRDEAKQHLQNFLMNPTDGEDILVLPIVGIRGIGKTTLAQLVFNEESVKSHFELRIWVCVTEDFDIKQLMIKIIKSATGRECKDMNKEELHKVLQDCLNTKRIFVVLDDVWNEDKKKWIELKDLLCGGAQGSRIIVTTRIRNVATITGTTPLYDLEHLSYDNCLSLFLKLAFKEGEEKQHDNLVRIGEGIVQKCKGVALAVKTLGSLLCSTRVQHDWELVRDSELWKLKQEENDILPALKLSYDHLPWYLKQCFAFCSVFPKDFEFNHLYLISLWMENGFLQSPYENEEPEDIGNRYIQELLSRSFFQQVEEDIFAPILKMHDLVHDLALSVAQNEVNSCNHYSTGNVRHLWFDLSKQDASRLPNNLGCLQSLFLSNEEGKADNESLIAEIISRSKHLRVLDLSECSLEQLPNNISYLKQLRYLNLAYNGNIKRLPNSICNLQSLQTLDFFGCRGIEELPKDIRYLISLRELIVTTKQTRLQENGISCLTCLRRLIFSECENLEKLFEDIQNLTSLRELNIRQCHNLVSLPQGLKYLPKLESLEISDCEKLDLTMEELELEREEGGSLRNLWIGGVPKLESLPQWILLGSIRTLQDLNIRNLKNLSTLPTWFQHLTSLQSLEISNCPRLSSLPEGMQRLTALKGLEIRGCPKLNKRCIKETGEDCPKIAHVPDFHCDDWETTTDEE >KJB19339 pep chromosome:Graimondii2_0_v6:3:29930107:29933122:1 gene:B456_003G096100 transcript:KJB19339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQSKLKIDHQISIQAPELGMEFSSEEDAYKFYKDYAKATGFSVRKGKYQWSSNGTARKRTFLCSNEGFRLSKTSSSALRYRRKETRTGCGAMVQITNETEKWMISRLVLKHNHSLVEGSYTRNRQALEDHWTKRTMGKTRMAQKAGDAICAKVRNADFSSHLSYLNQCNLNPEDIQGLINYFKQQQLEDPSFFYTLQVDAKSSLISFIWRDGRSKTDYQHFGDVVVLDTTFRVGSEGMICAPLLGLNHHRQYVLLGCALLLDESLDSFMWLFGTLMAAMDGLQPKTILTNECQAMADAIKEVLPDTQHHLGMWYIQQTIEEYLPEPHHQSAFRNLLDKCIFDCQSEEEFDMLWNSLVDQYKLHENERLKTLYMLRKKWSPVFARSTFFAGIQSADGCKAIRTIFQSLMKENMTLLKFAHRYQTVAKEQRTKEIDDDLCCKKMSPLMILKGSTLEKQAADVYTYTIFKLFQDELRGCLSVAIKDMGKTGTVASFKLKEEGQKDNIVKFCDSSNQITCSCKKYESMGILCVHILKVLNAKNVFKLPSQYILKRWTKSATDGNVLNHQKSLKVKKLMQKALHVITKSLASEDSYKIVEDSLDIVLGKVENVLKTKHDGHRDKAKDVEILNACNEGLETQTVLSAPPFQKEEAEHRIRSKSKRKLEDDVSEISCKSMDDCVLHSYPPRKATKGANNEGARAVSSHSYFAGDFLQQPACISPRPPLPSPSTVHQFKGFSKPMGNFQERKKQAQDVPVSRREMETMEMTIMARMKEMQEGILRAINETVKS >KJB19338 pep chromosome:Graimondii2_0_v6:3:29930107:29933122:1 gene:B456_003G096100 transcript:KJB19338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQSKLKIDHQISIQAPELGMEFSSEEDAYKFYKDYAKATGFSVRKGKYQWSSNGTARKRTFLCSNEGFRLSKTSSSALRYRRKETRTGCGAMVQITNETEKWMISRLVLKHNHSLVEGSYTRNRQALEDHWTKRTMGKTRMAQKAGDAICAKVRNADFSSHLSYLNQCNLNPEDIQGLINYFKQQQLEDPSFFYTLQVDAKSSLISFIWRDGRSKTDYQHFGDVVVLDTTFRVGSEGMICAPLLGLNHHRQYVLLGCALLLDESLDSFMWLFGTLMAAMDGLQPKTILTNECQAMADAIKEVLPDTQHHLGMWYIQQTIEEYLPEPHHQSAFRNLLDKCIFDCQSEEEFDMLWNSLVDQYKLHENERLKTLYMLRKKWSPVFARSTFFAGIQSADGCKAIRTIFQSLMKENMTLLKFAHRYQTVAKEQRTKEIDDDLCCKKMSPLMILKGSTLEKQAADVYTYTIFKLFQDELRGCLSVAIKDMGKTGTVASFKLKEEGQKDNIVKFCDSSNQITCSCKKYESMGILCVHILKVLNAKNVFKLPSQYILKRWTKSATDGNVLNHQKSLKVKKLMQKALHVITKSLASEDSYKIVEDSLDIVLGKVENVLKTKHDGHRDKAKDVEILNACNEGLETQTVLSAPPFQKEEAEHRIRSKSKRKLEDDVSEISCKSMDDCVLHSYPPRKATKGDFLQQPACISPRPPLPSPSTVHQFKGFSKPMGNFQERKKQAQDVPVSRREMETMEMTIMARMKEMQEGILRAINETVKS >KJB20414 pep chromosome:Graimondii2_0_v6:3:41422488:41423927:1 gene:B456_003G147000 transcript:KJB20414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATIESEQQTPNPPLSNLVSTISSYEGNVLLAAIISLLLVILFVLLLHLYAKWFLAHARQRSRSSSTLSHVFPHDRFRHFHAFSFDNNASASSPSKGLDPSLISSIPLFVFKLDEHSDNNNKKHGLHNLDCVVCLSPFEDNDVGRNLPKCGHGFHLECIDMWLHSHSNCPICRAPVLLTNDAESQVSSAETVGESLDSGRHHQIAAPIDDDDDDLSASSSIGCSLKRMLSRNRSESKVFPTSNGGDLQV >KJB17803 pep chromosome:Graimondii2_0_v6:3:1090362:1093977:-1 gene:B456_003G015900 transcript:KJB17803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDDTLPTTTATANLKKESSDSCLFGRGRYKFWAFAAILLLAFWSMFTGTVTLRWSAGNLNRLSDDIGSPIHDDLDVLEMEEREKVVKHMWDVYTNSRRIRLPRFWQEAFEAAYEELTSDVPGVREAAVTEIAKMSVQYVDLDPPPIQSTSVRELSKGLKGRSKVTSRGQ >KJB17802 pep chromosome:Graimondii2_0_v6:3:1090030:1093977:-1 gene:B456_003G015900 transcript:KJB17802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDDTLPTTTATANLKKESSDSCLFGRGRYKFWAFAAILLLAFWSMFTGTVTLRWSAGNLNRLSDDIGSPIHDDLDVLEREKVVKHMWDVYTNSRRIRLPRFWQEAFEAAYEELTSDVPGVREAAVTEIAKMSVQYVDLDPPPIQSTSVRELSKGLKGRSKVTSRGQ >KJB17804 pep chromosome:Graimondii2_0_v6:3:1090030:1094018:-1 gene:B456_003G015900 transcript:KJB17804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDDTLPTTTATANLKKESSDSCLFGRGRYKFWAFAAILLLAFWSMFTGTVTLRWSAGNLNRLSDDIGSPIHDDLDVLEMEEREKVVKHMWDVYTNSRRIRLPRFWQEAFEAAYEELTSDVPGVREAAVTEIAKMSVQYVDLDPPPIQSTSVRELSKGLKGRSKVTSRGQ >KJB17955 pep chromosome:Graimondii2_0_v6:3:2622708:2625287:1 gene:B456_003G029000 transcript:KJB17955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFITFLLVLSLSLTFSLLPETASAQLRQNYYAKTCPNVESIVRNAVTQKFRQTFVTVPATIRLFFHDCFVQGCDASVIIQSTGSNKAEKDHPDNLSLAGDGFDTVIKAKQAVDAVTSCRNKVSCADILAMATRDVIALSGGPSYAVELGRLDGLSSTAASVNGKLPHPDFNLNQLNSMFAAHGLTQTDMIALSAAHSVGFSHCSKFSNRIYNFSRQSAVDPTLNRAYATQLQQMCPKNVDPRIAINMDPNTPRAFDNVYYKNLQQGKGLFTSDQVLFTDKRSKPVVNAWAANSNTFNSAFITAITKLGRVGVKTGRNGNIRRNCDAFN >KJB17956 pep chromosome:Graimondii2_0_v6:3:2622722:2625061:1 gene:B456_003G029000 transcript:KJB17956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFITFLLVLSLSLTFSLLPETASAQLRQNYYAKTCPNVESIVRNAVTQKFRQTFVTVPATIRLFFHDCFVQGCDASVIIQSTGSNKAEKDHPDNLSLAGDGFDTVIKAKQAVDAVTSCRNKFSNRIYNFSRQSAVDPTLNRAYATQLQQMCPKNVDPRIAINMDPNTPRAFDNVYYKNLQQGKGLFTSDQVLFTDKRSKPVVNAWAANSNTFNSAFITAITKLGRVGVKTGRNGNIRRNCDAFN >KJB19548 pep chromosome:Graimondii2_0_v6:3:33812509:33816972:-1 gene:B456_003G109900 transcript:KJB19548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIQGSLIVASTLQIVLGFSGLWRNVTRFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILVVFVSQYMPHVIKSGKHVFDRFAVIFTVVIVWIYAHLLTVGGAYNGKAQKTQLSCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSILSRGVGWQGVAILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWFNDIVNVPLSSEAFVAGCLAYFLDNTLHRKDSAIRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >KJB19550 pep chromosome:Graimondii2_0_v6:3:33813215:33817956:-1 gene:B456_003G109900 transcript:KJB19550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGAPAKAVEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTIVIIPSSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDTSDPVERFKRIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILVVFVSQYMPHVIKSGKHVFDRFAVIFTVVIVWIYAHLLTVGGAYNGKAQKTQLSCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSILSRGVGWQVGVAILLSGLFGTVNGSSVSVKCWSFSLNTSWQPKGCPNLSWIYDFLLHSWKIRSRLCFNSSTHYCCFVLPILCLCWCWRS >KJB19551 pep chromosome:Graimondii2_0_v6:3:33812509:33818881:-1 gene:B456_003G109900 transcript:KJB19551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGAPAKAVEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTIVIIPSSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDTSDPVERFKRIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILVVFVSQYMPHVIKSGKHVFDRFAVIFTVVIVWIYAHLLTVGGAYNGKAQKTQLSCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSILSRGVGWQGVAILLSGLFGTVNGSSVSVKCWSFSLNTSWQPKGCPNLSWIYDFLLHSWKIRSRLCFNSSTHYCCFVLPILCLCWCWRS >KJB19549 pep chromosome:Graimondii2_0_v6:3:33812509:33819012:-1 gene:B456_003G109900 transcript:KJB19549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGAPAKAVEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTIVIIPSSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDTSDPVERFKRIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILVVFVSQYMPHVIKSGKHVFDRFAVIFTVVIVWIYAHLLTVGGAYNGKAQKTQLSCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSILSRGVGWQGVAILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWVAWHISLITLYIERTAQSGRTEVSIGGTNSGHLRAIQGVKNSIPSPSI >KJB19552 pep chromosome:Graimondii2_0_v6:3:33812756:33817956:-1 gene:B456_003G109900 transcript:KJB19552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGAPAKAVEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTIVIIPSSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDTSDPVERFKRIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILVVFVSQYMPHVIKSGKHVFDRFAVIFTVVIVWIYAHLLTVGGAYNGKAQKTQLSCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSILSRGVGWQGVAILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWFNDIVNVPLSSEAFVAGCLAYFLDNTLHRKDSAIRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >KJB19553 pep chromosome:Graimondii2_0_v6:3:33812509:33818590:-1 gene:B456_003G109900 transcript:KJB19553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGAPAKAVEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTIVIIPSSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDTSDPVERFKRIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILVVFVSQYMPHVIKSGKHVFDRFAVIFTVVIVWIYAHLLTVGGAYNGKAQKTQLSCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSILSRGVGWQGVAILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWVAWHISLITLYIERTAQSGRTEVSIGGTNSGHLRAIQGVKNSIPSPSI >KJB19547 pep chromosome:Graimondii2_0_v6:3:33812496:33818590:-1 gene:B456_003G109900 transcript:KJB19547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGAPAKAVEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTIVIIPSSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDTSDPVERFKRIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILVVFVSQYMPHVIKSGKHVFDRFAVIFTVVIVWIYAHLLTVGGAYNGKAQKTQLSCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSILSRGVGWQGVAILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWFNDIVNVPLSSEAFVAGCLAYFLDNTLHRKDSAIRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >KJB19546 pep chromosome:Graimondii2_0_v6:3:33812472:33818076:-1 gene:B456_003G109900 transcript:KJB19546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGAPAKAVEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTIVIIPSSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDTSDPVERFKRIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILVVFVSQYMPHVIKSGKHVFDRFAVIFTVVIVWIYAHLLTVGGAYNGKAQKTQLSCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSILSRGVGWQGVAILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWFNDIVNVPLSSEAFVAGCLAYFLDNTLHRKDSAIRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >KJB20030 pep chromosome:Graimondii2_0_v6:3:38217280:38219136:1 gene:B456_003G129500 transcript:KJB20030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASPAIVMILFVAVGCFMAYPEAVTAKHTGITRHYTFNIKLKNITRLCHTKSIVTVNWKFPGPRVITREGDRLVVKVVNHVPNNISSHWHGVRQLRSEWADGPSYITQCPIQIGHSYVYNFTITGQRGTLFRHAHISWLRATVYGPLIILPRRNESYPFVKPYKEVPILFGGGPNVSDAYTFNGLPGPLYNCSAKGKARETYLLRLINAALNDELFFSIADHSLTVVEADAVYVKPFETNVLMITPGQTTNVLLKTKPKAPNATFLMLARPYATGMGTFDNTTVAAQNRPLLKPGLPAINATNFVANFTSKFRSLATAKFPANVPQKVDKKFFFTVGLGTKPCPKNQTCQGPTNTTKFAASMNNISFALPRTALLQSHFFSQYSKGVYTTDFPAFPLIPFNYTGTPPNSTLVNNGKTCVLQDTSILGAESHPLHLHGYNFYVVGQGFGNFDPENDPPKFNL >KJB20945 pep chromosome:Graimondii2_0_v6:3:44480079:44480573:-1 gene:B456_003G173500 transcript:KJB20945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYQRRYHNRRERYYSLKFTRPKLNDNGNSCEPLFAWEKKFCIKVGAMPWKKFVESKNNLFKTDKVFEWDDSTGLKAFQKAKQRFWEYYHRFPCTNKLPSNAADMYIDDIDWNSKIDPKLFLKIKSISDVKRIDSFSIPLEQIKATGWEYDEPTSRLPTIVGS >KJB17847 pep chromosome:Graimondii2_0_v6:3:1416523:1424629:-1 gene:B456_003G018800 transcript:KJB17847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVQVADNRMNDLLVTNEGNQTGRQDEGQGTRGELPISEEHHSGSSYYDCQAEGQRLFCGSHDDEYDNLNAQSCCTRPYLTSESSHLLVNTIKSESPSNNREGELSLSAPKWLEHDASVALWVKWRGIWQAGIRCARADWPLSTLKAKPTHDRKQYFVVFFPHTRNYSWADMILVRPINEFPQPIACRSHKVGLKMVRDLTVARRYIQQKLAVGMLNIIDQFHCEALIETARNVVVWKEFAMEASRCNGYSDLGKMLLKLQSMILPRYINADWLQESFHSWVQQCQNAHTAESIELLKEELYNAILWNEVKSLGDAPVQPTIGSEWKTWKHEVLKWFSMSHPVSTAGDVNQRNSGSPSNTNIQVSRKRPKLDVRRADTHAFQVQSNGSEQTMATEIEYDFFSAVDVNLPTPRLCRKEEEREETTPMDRSNNLTDRWDNIVVEARHSEVIHTKNVEITTASEEVNSTSTLHIQSKEVKLTPVNEAVAKKSIDAGSKNRQCVAFIESKGRQCVRWANEGDVYCCVHLASRFTGSFSKIEVTPPVDTPMCEGTTVLGTRCKHRSLYGSSFCKKHRPKSDANNSCHSPENTRKRKHLEIILSSEITFCRDIVLVGDNESPLQVEPVSVIEADALHRGNSLIEKPEHSGKDHDSTELLHCIGLYSNNGFDPCQESPKRHSLYCDKHLPSWLKRARNGKSRIVSREVFVDLLKDCDSLEQKLHLHQACELFYKLFKSIFSLRNPVPVDVQLQWALSEASKDYRVGEFLMKLVYSEKERLQSLWGFTGDKGTPSSSFVEEPVPLPLAINDSFDDDKTIKCKMCSLEFLDDQQLGTHWMENHKKEAQRLFEGYACAICLDSFTNKKVLESHVQERHHVQFVEQCMLLRCISCGSHFGNTEELWLDVLSAHPVEFRLSKIAQQHNLSAGEEPPPKLEFGNSVENNSENVDSFQKFTCKYCGLKFDLLPDLGRHHQAAHMGPSLASSRPPKKGVRYYAYKLKSGRLSHPRFKKGLGAVSYRIRNRATATMKKCLQASKLIDAEIISAEPLVMETSNLGRLAEPQCSALAKILFSRTHKTKPRPNNLDILSIARSSCCKVSLKASLEEKYGMLPECLYLKAAKLCSEHNVQVEWHQEKFVCINGCKPAKDSDFLPPLIPLPNGFEGHQSADSLEDVDEELELDECHYIIDSQHFKKGPTQKASVLCDDLSFGKESVPVACVVDEGLFDSLNISGLSFNEQNAGPSMPWENFTYVTNSLLDQSLDLDVESMQLGCTCSNSTCYPETCDHVYLFDNDYEDARDIYGKPMRGRFPYDDKGRIILEEGYLVYECNRMCSCNKACPNRVLQKGVRVKLEVFKTENKGWGIRAGEPILSGTFVCEYVGILGKQEANYRLTRYGRNGCNYMFNVDCHINDMSRLIEGQARYFIDASKYGNVSRFINHSCSPNLVNRQVLVDSMDCHRAHIGLYASQDISVGEELTLDYQYELLPGQGYPCQCGASTCRGRLY >KJB20235 pep chromosome:Graimondii2_0_v6:3:40220134:40223885:1 gene:B456_003G139600 transcript:KJB20235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVARSIALKAVNTASLWGLNRSRFGGLPKVQTVRALSTFMSPPSKAVVYEHHGPPDSVTRMIELPPVEVQENQVCVKMLAAPINPSDINRIEGVYPVRPQVPAVGGYEGVGEVYSVGSAVKGLSPGDLVIPSPPSSGTWQTYVVKDHDIWHKISKDSPIEYAATVTVNPLTAIRMLEDFTTLSAGDSIVQNGATSIVGQCVIQLARYRGIHSINIIRDRAGSDEVKERLKALGADEVFTESQLEVKNVKSLLSNIPEPALGLNCVGGHAASLVLKFLRQGGTMVTYGGMSKKPVTVSTSSFIFKDLSLRGFWLQKWLSADKAKECRDMVDYLLRLAQERKLKYEMELVPFDKFHSALEKALGKHGSQPKQVIKF >KJB21143 pep chromosome:Graimondii2_0_v6:3:45554607:45558856:-1 gene:B456_003G184900 transcript:KJB21143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSENVILRAENESLKSEFYRLQAELSKLVCPNCGGPPVPGGVSFDELRIENARLGEELERVCAIASRYIGRPIQTMGALMPPSLELDMNIYPRQFLEPMPPTLSETPSYPDNNNLILMEEEKTIAMELAMSATDEVAKMCRTNEPLWVRNNETGKEVLNLDEHSRMFHWPLNLKQRSSEFRTEASRDSSVVIMNSITLVDAFVDANKWMELFPSIVARAKCVQVISQGVSGTNGCLQLMYAELHVLSPLVPTREAYFLRYCQQQNVEDETYWAIVDFPLDGFHNSLQTSFPLYKRRPSGCLIQDMPNGYSRVTWVEHAEIEEKPIHQIFSHFVHSGMAFGANRWLAVLERQCERIASLMATNIPDIGVIPSPEARKNLMRLSQRMIRTFCVNISSCSGQVWTAVPDSSDDTVRITTRKVSEAGQPNGLILCAVSTTWLPYPHHHVFDLLRDERRRAQLEVLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVDLMLQESCTDKSGSLVVYSTVDVDSVQLAMSGEDPSCIPLLPLGFFITPMELMNDGGCKDEANGHNITTGSLLTVGLQVLASTIPSAKINLSSIAAINNHLCTTVQQISSALSSNCIGYCNDGDNGKEK >KJB21136 pep chromosome:Graimondii2_0_v6:3:45554504:45558868:-1 gene:B456_003G184900 transcript:KJB21136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDCEAISSMGGNMVVSSETLFTSTFQNPNFTYLPLEPLPPMIPKEENGSLLRGKEEMKSGSESELQETTEQPLKKKRYHRHTAHQIQELEAVFKECPHPDDKQRMKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSENVILRAENESLKSEFYRLQAELSKLVCPNCGGPPVPGGVSFDELRIENARLGEELERVCAIASRYIGRPIQTMGALMPPSLELDMNIYPRQFLEPMPPTLSETPSYPDNNNLILMEEEKTIAMELAMSATDEVAKMCRTNEPLWVRNNETGKEVLNLDEHSRMFHWPLNLKQRSSEFRTEASRDSSVVIMNSITLVDAFVDANKWMELFPSIVARAKCVQVISQGVSGTNGCLQLMYAELHVLSPLVPTREAYFLRYCQQQNVEDETYWAIVDFPLDGFHNSLQTSFPLYKRRPSGCLIQDMPNGYSRVTWVEHAEIEEKPIHQIFSHFVHSGMAFGANRWLAVLERQCERIASLMATNIPDIGVIPSPEARKNLMRLSQRMIRTFCVNISSCSGQVWTAVPDSSDDTVRITTRKVSEAGQPNGLILCAVSTTWLPYPHHHVFDLLRDERRRAQLEVLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVDLMLQESCTDKSGSLVVYSTVDVDSVQLAMSGEDPSCIPLLPLGFFITPMELMNDGGCKDEANGHNITTGSLLTVGLQVLASTIPSAKINLSSIAAINNHLCTTVQQISSALSSNCIGYCNDGDNGKEK >KJB21140 pep chromosome:Graimondii2_0_v6:3:45556125:45558814:-1 gene:B456_003G184900 transcript:KJB21140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDCEAISSMGGNMVVSSETLFTSTFQNPNFTYLPLEPLPPMIPKEENGSLLRGKEEMKSGSESELQETTEQPLKKKRYHRHTAHQIQELEAVFKECPHPDDKQRMKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSENVILRAENESLKSEFYRLQAELSKLVCPNCGGPPVPGGVSFDELRIENARLGEELERVCAIASRYIGRPIQTMGALMPPSLELDMNIYPRQFLEPMPPTLSETPSYPDNNNLILMEEEKTIAMELAMSATDEVAKMCRTNEPLWVRNNETGKEVLNLDEHSRMFHWPLNLKQRSSEFRTEASRDSSVVIMNSITLVDAFVDANKWMELFPSIVARAKCVQVISQGVSGTNGCLQLMYAELHVLSPLVPTREAYFLRYCQQQNVEDETYWAIVDFPLDGFHNSLQTSFPLYKRRPSGCLIQDMPNGYSRVTWVEHAEIEEKPIHQIFSHFVHSGMAFGANRWLAVLERQCERIASLMATNIPDIGGS >KJB21139 pep chromosome:Graimondii2_0_v6:3:45556349:45558856:-1 gene:B456_003G184900 transcript:KJB21139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEAKTVRETKRGRKMYGDCEAISSMGGNMVVSSETLFTSTFQNPNFTYLPLEPLPPMIPKEENGSLLRGKEEMKSGSESELQETTEQPLKKKRYHRHTAHQIQELEAVFKECPHPDDKQRMKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSENVILRAENESLKSEFYRLQAELSKLVCPNCGGPPVPGGVSFDELRIENARLGEELERVCAIASRYIGRPIQTMGALMPPSLELDMNIYPRQFLEPMPPTLSETPSYPDNNNLILMEEEKTIAMELAMSATDEVAKMCRTNEPLWVRNNETGKEVLNLDEHSRMFHWPLNLKQRSSEFRTEASRDSSVVIMNSITLVDAFVDANKWMELFPSIVARAKCVQVISQGVSGTNGCLQLMYAELHVLSPLVPTREAYFLRYCQQQNVEDETYWAIVDFPLDGFHNSLQTSFPLYKRRPSGCLIQDMPNGYSRVRPFNF >KJB21138 pep chromosome:Graimondii2_0_v6:3:45554607:45558856:-1 gene:B456_003G184900 transcript:KJB21138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALMPPSLELDMNIYPRQFLEPMPPTLSETPSYPDNNNLILMEEEKTIAMELAMSATDEVAKMCRTNEPLWVRNNETGKEVLNLDEHSRMFHWPLNLKQRSSEFRTEASRDSSVVIMNSITLVDAFVDANKWMELFPSIVARAKCVQVISQGVSGTNGCLQLMYAELHVLSPLVPTREAYFLRYCQQQNVEDETYWAIVDFPLDGFHNSLQTSFPLYKRRPSGCLIQDMPNGYSRVTWVEHAEIEEKPIHQIFSHFVHSGMAFGANRWLAVLERQCERIASLMATNIPDIGVIPSPEARKNLMRLSQRMIRTFCVNISSCSGQVWTAVPDSSDDTVRITTRKVSEAGQPNGLILCAVSTTWLPYPHHHVFDLLRDERRRAQLEVLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVDLMLQESCTDKSGSLVVYSTVDVDSVQLAMSGEDPSCIPLLPLGFFITPMELMNDGGCKDEANGHNITTGSLLTVGLQVLASTIPSAKINLSSIAAINNHLCTTVQQISSALSSNCIGYCNDGDNGKEK >KJB21142 pep chromosome:Graimondii2_0_v6:3:45556349:45558856:-1 gene:B456_003G184900 transcript:KJB21142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEAKTVRETKRGRKMYGDCEAISSMGGNMVVSSETLFTSTFQNPNFTYLPLEPLPPMIPKEENGSLLRGKEEMKSGSESELQETTEQPLKKKRYHRHTAHQIQELEAVFKECPHPDDKQRMKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSENVILRAENESLKSEFYRLQAELSKLVCPNCGGPPVPGGVSFDELRIENARLGEELERVCAIASRYIGRPIQTMGALMPPSLELDMNIYPRQFLEPMPPTLSETPSYPDNNNLILMEEEKTIAMELAMSATDEVAKMCRTNEPLWVRNNETGKEVLNLDEHSRMFHWPLNLKQRSSEFRTEASRDSSVVIMNSITLVDAFVDANKWMELFPSIVARAKCVQVISQGVSGTNGCLQLMYAELHVLSPLVPTREAYFLRYCQQQNVEDETYWAIVDFPLDGFHNSLQTSFPLYKRRPSGCLIQDMPNGYSRVRPFNF >KJB21137 pep chromosome:Graimondii2_0_v6:3:45554607:45556832:-1 gene:B456_003G184900 transcript:KJB21137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSYITRCFGNQRLPSIGINSYQKVNSILFVIIRLNIVLFVQMYAELHVLSPLVPTREAYFLRYCQQQNVEDETYWAIVDFPLDGFHNSLQTSFPLYKRRPSGCLIQDMPNGYSRVTWVEHAEIEEKPIHQIFSHFVHSGMAFGANRWLAVLERQCERIASLMATNIPDIGVIPSPEARKNLMRLSQRMIRTFCVNISSCSGQVWTAVPDSSDDTVRITTRKVSEAGQPNGLILCAVSTTWLPYPHHHVFDLLRDERRRAQLEVLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVDLMLQESCTDKSGSLVVYSTVDVDSVQLAMSGEDPSCIPLLPLGFFITPMELMNDGGCKDEANGHNITTGSLLTVGLQVLASTIPSAKINLSSIAAINNHLCTTVQQISSALSSNCIGYCNDGDNGKEK >KJB21141 pep chromosome:Graimondii2_0_v6:3:45554607:45558868:-1 gene:B456_003G184900 transcript:KJB21141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSENVILRAENESLKSEFYRLQAELSKLVCPNCGGPPVPGGVSFDELRIENARLGEELERVCAIASRYIGRPIQTMGALMPPSLELDMNIYPRQFLEPMPPTLSETPSYPDNNNLILMEEEKTIAMELAMSATDEVAKMCRTNEPLWVRNNETGKEVLNLDEHSRMFHWPLNLKQRSSEFRTEASRDSSVVIMNSITLVDAFVDANKWMELFPSIVARAKCVQVISQGVSGTNGCLQLMYAELHVLSPLVPTREAYFLRYCQQQNVEDETYWAIVDFPLDGFHNSLQTSFPLYKRRPSGCLIQDMPNGYSRVTWVEHAEIEEKPIHQIFSHFVHSGMAFGANRWLAVLERQCERIASLMATNIPDIGVIPSPEARKNLMRLSQRMIRTFCVNISSCSGQVWTAVPDSSDDTVRITTRKVSEAGQPNGLILCAVSTTWLPYPHHHVFDLLRDERRRAQLEVLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVDLMLQESCTDKSGSLVVYSTVDVDSVQLAMSGEDPSCIPLLPLGFFITPMELMNDGGCKDEANGHNITTGSLLTVGLQVLASTIPSAKINLSSIAAINNHLCTTVQQISSALSSNCIGYCNDGDNGKEK >KJB21120 pep chromosome:Graimondii2_0_v6:3:45485383:45487203:-1 gene:B456_003G183700 transcript:KJB21120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPSCADDANVKKGPWTAEEDQKLVDYINKNGHGSWRIVPKQAGLNRCGKSCRLRWTNYLRPDIKRGKFGEEEERLIINLHSVLGNKWSKIAAHLPGRTDNEIKNFWNTRIRRKLLNLGIDPHTHKPRTDLDHLLNVSQLLCVAQLGNMMNPLDAAFKLQADAAQLAQAQLFQNLMQIMNTNEAANVESDGFLALQENTNPIPQSIPNNGFIAGVVPQTSDQWGLSSSFEQRENPVLPGLVSISPESNSTMENKGNNETDSTIYEAWEKLIMEDDEADGGSYWKEMLDLASSTSSPISW >KJB19298 pep chromosome:Graimondii2_0_v6:3:29430380:29432401:1 gene:B456_003G093900 transcript:KJB19298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFTHSLVSTKTLPWRIPDVHCRRIPPPTSTVRFSPISAVAAAPPKPQKTHSMPPEKQEIFKSLENWATQNVLPLLKPVKECWQPQTFLPDPALPLGEFNEQVKALRQRTADLPDEYFVVLVGDMITEEALPTYQTMINTLDGVRDDTGASSSPWAIWTRAWTAEENRHGDLLKTFLYLSGRVDMLMIERTVQYLIGSGMDPGTENNPYLGFVYTSFQERATFVSHGNTARLAKEGGDPVLARICGTIAADEKRHELAYSKIIEKLLQVDPTEAMLAIADMMKKKITMPAHLMYDGEDPRLFEHFSAVAQRLGVYTADDYADILEALIKRWGLEKMEGLTGEGRRAQDFVCGLAPRIRKLQERAEDRAKKIGPQGVKFSWIFNREIML >KJB18546 pep chromosome:Graimondii2_0_v6:3:10196670:10200076:1 gene:B456_003G059000 transcript:KJB18546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVHFSLSQQQPDSLPSKTFTLHSQNHNSQSTVEPGKQKTHNFSSAGVAESECRTTRARMSTEPTSIEGSVTPPTLIDSENSGVGASIQTKGTTSGKRKASPQRLKGNE >KJB20725 pep chromosome:Graimondii2_0_v6:3:43016629:43019403:1 gene:B456_003G161200 transcript:KJB20725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDLERGGGSNVKNRGNSYNQSSSSSYYVENNEKQWTSWLVPMFVVANVAVFVVVMYVNNCPRNNQGFEGGCVARFLGRLSFEPLKENPLFGPSSNTLEKLGALNWDKVVHGNQAWRLITCIWLHAGVIHLLANMLSLIFIGIRLEQQFGFIRVGLIYLLSGFGGSVLSSLFIQRSISVGASGALFGLLGAMLSELLTNWTIYTNKVILVASGSYLLRNAMKGNQGYR >KJB20726 pep chromosome:Graimondii2_0_v6:3:43016629:43019403:1 gene:B456_003G161200 transcript:KJB20726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDLERGGGSNVKNRGNSYNQSSSSSYYVENNEKQWTSWLVPMFVVANVAVFVVVMYVNNCPRNNQGFEGGCVARFLGRLSFEPLKENPLFGPSSNTLEKLGALNWDKVVHGNQAWRLITCIWLHAGVIHLLANMLSLIFIGIRLEQQFGFIRVGLIYLLSGFGGSVLSSLFIQRSISVGASGALFGLLGAMLSELLTNWTIYTNKAAALITLMVIIVINLAVGILPHVDNFAHIGGFLTGFLLGFVLLLRPQFGWVGRKHLPAGARVTSKHKAYQYLFLVIAMVLLIVG >KJB20724 pep chromosome:Graimondii2_0_v6:3:43016510:43019451:1 gene:B456_003G161200 transcript:KJB20724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDLERGGGSNVKNRGNSYNQSSSSSYYVENNEKQWTSWLVPMFVVANVAVFVVVMYVNNCPRNNQGFEGGCVARFLGRLSFEPLKENPLFGPSSNTLEKLGALNWDKVVHGNQAWRLITCIWLHAGVIHLLANMLSLIFIGIRLEQQFGFIRVGLIYLLSGFGGSVLSSLFIQRSISVGASGALFGLLGAMLSELLTNWTIYTNKAAALITLMVIIVINLAVGILPHVDNFAHIGGFLTGFLLGFVLLLRPQFGWVGRKHLPAGARVTSKHKAYQYLFLVIAMVLLIVGFTVGLVMLFRGENGHDHCSWCHYLSCVPTSKWHCGN >KJB20727 pep chromosome:Graimondii2_0_v6:3:43016629:43019403:1 gene:B456_003G161200 transcript:KJB20727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDLERGGGSNVKNRGNSYNQSSSSSYYVENNEKQWTSWLVPMFVVANVAVFVVVMYVNNCPRNNQGFEGGCVARFLGRLSFEPLKENPLFGPSSNTLEKLGALNWDKVVHGNQAWRLITCIWLHAGVIHLLANMLSLIFIGIRLEQQFGFIRVGLIYLLSGFGGSVLSSLFIQRSISVGASGALFGLLGAMLSELLTNWTIYTNKAAALITLMVIIVINLAVGILPHVDNFAHIGGFLTGFLLGFVLLLRPQFGWVGRKHLPAGARVTSKHKAYQYLFLVIAMVLLIVG >KJB18559 pep chromosome:Graimondii2_0_v6:3:10531150:10532153:1 gene:B456_003G060100 transcript:KJB18559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFQQFQTKTIQVSRLVTKNGGPYYKELKERAIQVSQLVTKNGGPYCRELMERAIQVSQSVTKNGGSYYRELMERNKTYIKEPSSVETCQLLAKQLFYTRLASIPRRYEAFWKELDSLKELLKTKEAWNIENASMAALIGVECYAWFFGGEIIGRGFTITGYHV >KJB17981 pep chromosome:Graimondii2_0_v6:3:2488478:2491972:-1 gene:B456_003G027500 transcript:KJB17981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYETLFYSLLLSPFLLLAITKLLKHAILTSHINLPPSPLALPILGHLHLLKQPLHRTLYNLSKCHGPIFSLRFGSHPVVVLSFTSAVEECFGKNDVVLANRPRLALGKYVGYNSTGLGLSPYGPHWRNLRRLVTLDLFSSSSLNASTGIRRDEVKLSLRKLYHVSACGNFIKVEMKSMFSELVFNIVMRLVAGKRYYGGGDEASGIEEAKEFRELIEELCELAVSSYPGDFLPVLQWIDYNGHIKRLKKAGSKADKFLQGLVDEHRSNKDAFKKKNTMLSHLLTLQESEPEYYSDETIKALILVMLNAGIDTTAITLEWAMSNLLNHPEILQKARNELDTQVGQEHLIEETDLPKLQYLKNIISETLRLYPATPLLVPHFSSNHCTLGGYDISPNTIVFVNAWAIQRDPNLWEDSTSFKPERFESDDKEGDAVPKLLPFGMGRRACPGMGLANRVLGLVLGSLIQCFEWKRVSEKRIDMNEGKGLTMPKIEPLEAMCKPRSIASKVF >KJB19374 pep chromosome:Graimondii2_0_v6:3:30497577:30499105:-1 gene:B456_003G098500 transcript:KJB19374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTFYTSCMLRFSKSWLAGAYAGQGVLFILESCFRELTVIWLQIETFVTYEGRIPVDLLDRMVIIRT >KJB18030 pep chromosome:Graimondii2_0_v6:3:2801053:2803978:-1 gene:B456_003G030500 transcript:KJB18030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGTGNLHRALSGYRCSRSAMVWSLVGLLLMLQLYSFASRRNVVRGDIQLHVSRHPLVRELEQVEEENIQVPPPKKKKSPHAAKRRRKRSATLIDEFLNENSQIRHVFFPDMKSAVDPVKGVENGSHHYYPGKIWLDTEGNPIQAHGGGMLYDERSNTYYWYGEYKDGPTYRAYKRGAARVDVIGVGCYSSKDLWTWKNQGIVLAAEQTNETHDLHKSNVLERPKVVFNEKTGKYVMWMHIDDANYTKASVGIAISDYPTGPFEYLHSQRPHGFDSRDMTIFKDDDGVVYLIYSSQDNSELHIGPLTSDYLDVQPDMRRILIGQHREAPALFKYQGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCIGGNKMFRLATFFAQSTFVVPLPGIPGSYIFMADRWNPAELRNSRYVWLPLIVGGPPDRPLEYNFGFPPWPRVSIYWHKKWRLPSSWKVSK >KJB18029 pep chromosome:Graimondii2_0_v6:3:2801053:2803408:-1 gene:B456_003G030500 transcript:KJB18029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWSLVGLLLMLQLYSFASRRNVVRGDIQLHVSRHPLVRELEQVEEENIQVPPPKKKKSPHAAKRRRKRSATLIDEFLNENSQIRHVFFPDMKSAVDPVKGVENGSHHYYPGKIWLDTEGNPIQAHGGGMLYDERSNTYYWYGEYKDGPTYRAYKRGAARVDVIGVGCYSSKDLWTWKNQGIVLAAEQTNETHDLHKSNVLERPKVVFNEKTGKYVMWMHIDDANYTKASVGIAISDYPTGPFEYLHSQRPHGFDSRDMTIFKDDDGVVYLIYSSQDNSELHIGPLTSDYLDVQPDMRRILIGQHREAPALFKYQGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCIGGNKMFRLATFFAQSTFVVPLPGIPGSYIFMADRWNPAELRNSRYVWLPLIVGGPPDRPLEYNFGFPPWPRVSIYWHKKWRLPSSWKVSK >KJB18997 pep chromosome:Graimondii2_0_v6:3:19904129:19904831:-1 gene:B456_003G081300 transcript:KJB18997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLNPTRLSRMHSRTMSSSMPHVVAPPVSKKQPSKFSRSIQKLFKSVFKFKQSSSSSVLWLKQRSYEEYCLVYDMTGALTTIPEVPEIDFGGLSPEINGSLVVKRSPPPEGFTAASTVGISCA >KJB18444 pep chromosome:Graimondii2_0_v6:3:8150396:8153152:1 gene:B456_003G053200 transcript:KJB18444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-21 [Source:Projected from Arabidopsis thaliana (AT5G16830) UniProtKB/Swiss-Prot;Acc:Q39233] MSFQDLEAGFARPHPSNYLNSSQQKLRQEIGDTSPSQSVAAGIFKLRTALLAFDRLVNSLATPKDTFELRDKLHKTRLHIGQLVKETSAKLREASEADQDAEPLKKIADAKLAKDFQAALKDFQKAQRLAAERETAYTPSAPKEVLPSSYAAHEVENNSSKSFEQQNLLVTKR >KJB18446 pep chromosome:Graimondii2_0_v6:3:8150396:8154530:1 gene:B456_003G053200 transcript:KJB18446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-21 [Source:Projected from Arabidopsis thaliana (AT5G16830) UniProtKB/Swiss-Prot;Acc:Q39233] MSFQDLEAGFARPHPSNYLNSSQQKLRQEIGDTSPSQSVAAGIFKLRTALLAFDRLVNSLATPKDTFELRDKLHKTRLHIGQLVKETSAKLREASEADQDAEPLKKIADAKLAKDFQAALKDFQKAQRLAAERETAYTPSAPKEVLPSSYAAHEVENNSSKSFEQQNLLVTKRQEVVLLENEITFNEAIIEEREQGIKEVQQQISEVNEIFKDLADLVHVQGGMIDDIGSNIENSHSATVQATSHLKRASKIQRANSSTVSLIYLIFPP >KJB18443 pep chromosome:Graimondii2_0_v6:3:8150320:8154634:1 gene:B456_003G053200 transcript:KJB18443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-21 [Source:Projected from Arabidopsis thaliana (AT5G16830) UniProtKB/Swiss-Prot;Acc:Q39233] MSFQDLEAGFARPHPSNYLNSSQQKLRQEIGDTSPSQSVAAGIFKLRTALLAFDRLVNSLATPKDTFELRDKLHKTRLHIGQLVKETSAKLREASEADQDAEPLKKIADAKLAKDFQAALKDFQKAQRLAAERETAYTPSAPKEVLPSSYAAHEVENNSSKSFEQQNLLVTKRQEVVLLENEITFNEAIIEEREQGIKEVQQQISEVNEIFKDLADLVHVQGGMIDDIGSNIENSHSATVQATSHLKRASKIQRANSSTRCLLVLIFGIILLIFIIVVVA >KJB18445 pep chromosome:Graimondii2_0_v6:3:8150396:8154530:1 gene:B456_003G053200 transcript:KJB18445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-21 [Source:Projected from Arabidopsis thaliana (AT5G16830) UniProtKB/Swiss-Prot;Acc:Q39233] MICNATNGFARHWSLYLDVCIGRHKTRLHIGQLVKETSAKLREASEADQDAEPLKKIADAKLAKDFQAALKDFQKAQRLAAERETAYTPSAPKEVLPSSYAAHEVENNSSKSFEQQNLLVTKRQEVVLLENEITFNEAIIEEREQGIKEVQQQISEVNEIFKDLADLVHVQGGMIDDIGSNIENSHSATVQATSHLKRASKIQRANSSTRCLLVLIFGIILLIFIIVVVA >KJB18447 pep chromosome:Graimondii2_0_v6:3:8150396:8154530:1 gene:B456_003G053200 transcript:KJB18447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-21 [Source:Projected from Arabidopsis thaliana (AT5G16830) UniProtKB/Swiss-Prot;Acc:Q39233] MSFQDLEAGFARPHPSNYLNSSQQKLRQEIGDTSPSQSVAAGIFKLRTALLAFDRLVNSLATPKDTFELRDKLHKTRLHIGQLVKETSAKLREASEADQDAEPLKKIADAKLAKDFQAALKDFQKAQRLAAERETAYTPSAPKEVLPSSYAAHEVENNSSKSFEQQNLLVTKRQEVVLLENEITFNEAIIEEREQGIKEVQQQISEVNEIFKDLADLVHVQGGMIGNTCVLCVLPVEYFSFLL >KJB17731 pep chromosome:Graimondii2_0_v6:3:832242:835665:-1 gene:B456_003G013000 transcript:KJB17731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKKAALSASSKFRNSFSKKGRRSSKVMSIEIEDKHNADELQAVDALRQALLLEELLPEKHDDYHKLLRFLKARKFDIDKTKQMWSDMLQWRKEFGTDTILEDFEFKEREDVLKYYPQGYHGVDKDGRPVYIERIGLVDANKLMQVTTMDRYIQYHVQEFEKTFNTKFPACSIAAKKHIDQSTTILDVQGVGLKSFTKAARELITLLQKTDGDNYPETLNRMFIINAGSGFRMLWNSVKSFLDPKTTAKINVLGTKFQSKLLEIIDESELPEFLGGTCTCADQGGCMLSDKGPWKDPEILKMVQNGEHKCTKKSQPQSAEDKTVYNDEAVVSESNQASISEAEAVPDAGNKQNISPKLSPVYENVQTSQNKKFVPMADRTVSLAPKTGVHNEKVPVRSKDVYPMQHKDPDGFSSPIFTGVMTFVMGIATMMKVTRTMSQKVSDDSNAVNRVGTGVKNQEPSAANLPPPASISPAEMMTVMKRIAELEERITVMNTQPTTMPPEKEELLNSAVNRADALEQELMATKKALEDAVAQQQELLAYIEKKKKRKRRVLYFW >KJB20772 pep chromosome:Graimondii2_0_v6:3:43393592:43395533:1 gene:B456_003G164000 transcript:KJB20772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFIKEKNTWEDMHLQGWSNKLYRDEVVAEWKKVKGKMSLHVHCHISGGHFLLDLCARLRYFIFCKELPVVLKAFVHGDGNLLKSYPELLESLVWVYFHSNVQEFNRVECWGPLVEATAPSSGTDDDGAVCQRGKTKEILGSNWELPQSCQDDCKCCFPPMSLIPWSPKHPHENEKIGTQDQQLPSPNVKTIE >KJB20771 pep chromosome:Graimondii2_0_v6:3:43392882:43395757:1 gene:B456_003G164000 transcript:KJB20771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNLGPVLPAKSRLSLFEHNGSLFVSRRKPKKKNQAIVPVARLFGPAIFEASKLKVLFLGVDEKKHPGKLPRAYTLTHSDITAKLTLAISQTINNSQLQGWSNKLYRDEVVAEWKKVKGKMSLHVHCHISGGHFLLDLCARLRYFIFCKELPVVLKAFVHGDGNLLKSYPELLESLVWVYFHSNVQEFNRVECWGPLVEATAPSSGTDDDGAVCQRGKTKEILGSNWELPQSCQDDCKCCFPPMSLIPWSPKHPHENEKIGTQDQQLPSPNVKTIE >KJB18290 pep chromosome:Graimondii2_0_v6:3:5855472:5856394:1 gene:B456_003G044800 transcript:KJB18290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMNLNTTKTMPSTTSTTSDFMFRIRQKPYKVHVISCRGRADKLRMQRGNTNFYQVLCLDPVENVGLDDIKKAYRSLVLRYHPDVCPASSKDESTKRFLELQMAYETLSDPISRKLYDYELGLVGAKNYFMEERISKFPRDVWEKQLDGLKKRSHARMQRR >KJB19965 pep chromosome:Graimondii2_0_v6:3:37640687:37640712:1 gene:B456_003G1262002 transcript:KJB19965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTRIRK >KJB19964 pep chromosome:Graimondii2_0_v6:3:37640687:37640712:1 gene:B456_003G1262002 transcript:KJB19964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTRIRK >KJB19348 pep chromosome:Graimondii2_0_v6:3:30023645:30025779:1 gene:B456_003G096600 transcript:KJB19348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLDSYLQLFFLGCFYFSWFLSSPAEAAVRKYQFDIRVKNVSRLCHAKPIVTVNGRFPGPTVYAREGDRVLVDVTNYAQYNISIHWHGLKQFRNGWADGPAYITQCPIKTGHSYTYDFNVTGQRGTLWWHAHILWLRATVYGAIVIMPKEGRMFPFPQPHGETKIILGEWWNSDVETLVNQANKLGLPPPTSDSHTINGKPGPLFPCSSKHTFSMEVEAGKTYLLRIINAALNDELFFAIASHNMTVVEIDAVYTKPFTTRVILIAPGQTTNVLIKADQPRSRYFMAARPFMDAPVPVDNKTVTAILHYKGIPNTVLPSMPKLPAPNNTNVALRYNNRLRSLNSPQFPAKVPLKVDRHLFYTVGLGANPCSSCQNGTQLTASLNNITFVMPKVGLLQAHYFHIKGVFKTDFPDRPPVPFNYTGVPLTANLGTSLGTRLSKVAFNSTIELVLQDTNLLTVESHPFHLHGFNFFVVGSGVGNFDPSKDPAKFNLVDPPERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTMWGLKMAFVVENGKSPEESIIPPPKDLPPC >KJB19349 pep chromosome:Graimondii2_0_v6:3:30023645:30025974:1 gene:B456_003G096600 transcript:KJB19349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLDSYLQLFFLGCFYFSWFLSSPAEAAVRKYQFDIRVKNVSRLCHAKPIVTVNGRFPGPTVYAREGDRVLVDVTNYAQYNISIHWHGLKQFRNGWADGPAYITQCPIKTGHSYTYDFNVTGQRGTLWWHAHILWLRATVYGAIVIMPKEGRMFPFPQPHGETKIILGEWWNSDVETLVNQANKLGLPPPTSDSHTINGKPGPLFPCSSKHTFSMEVEAGKTYLLRIINAALNDELFFAIASHNMTVVEIDAVYTKPFTTRVILIAPGQTTNVLIKADQPRSRYFMAARPFMDAPVPVDNKTVTAILHYKGIPNTVLPSMPKLPAPNNTNVALRYNNRLRSLNSPQFPAKVPLKVDRHLFYTVGLGANPCSSCQNGTQLTASLNNITFVMPKVGLLQAHYFHIKGVFKTDFPDRPPVPFNYTGVPLTANLGTSLGTRLSKLAVVLETLIPPKTLLSLT >KJB20442 pep chromosome:Graimondii2_0_v6:3:41588534:41591038:-1 gene:B456_003G1484002 transcript:KJB20442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVNTIRHNTIWQTNKGEVESRHPPLMEVQYLHKNTGIKANPLRIRAPDAGEQISSKDIKMIVEQNNYININLHTIGKQLDYVENLVESQPIKREPVKEITEKSSKEPIFTPYEIPKAFQKSQNDFLTEIQNRLHALKNHKSELIAPDTPIQTQYSVNTLHQSSQSDSDQSDEQQINKMAWKEPKRLYYPKITAPDLNIEEKPVFQNKYNANTIYEWNIDGMSEYNILSLLQQMTMVSNVYKTQNQNGLINDHAIANLLVAGFTGQLKGWWDHALTKTQQEEILKAIKKDDQDRIILDEQGREIQDAVATLIFSISKNFIGDPSHLKDRNSELLSNLKCKKLTDFKWYKDVFMTRVMQRSDNQQPFWKEKFLAGLPTLLGEKVRNQIRENYKGIIPYEKLTYGELISFTQKEGLKICQDLKLQKQLKKERYQCRKELGSFCHQFDIRNEPSSSKTCCPEKQKNRKNNISEYYKKPKYKKYRKGKKQQKTENKIDKTIKCYRCGKPGHISKYCKIKRKINNLNLEEEIEQKLNEILLETTSSENDTSTETDELQIDELHTTSQSSGDENEPSINMLTKDQEFMIEVIDKIQDLELKREYLLKLKSSLKDKPEKEKEIISSQSQIQITNSELQLEIKQIKSELSQLKIEQQEMKEQIRSLKHETSEKSSSETEHEPEENTQEYMMVLTEVSIQRYLIKINIVINNEFQLETNALFDTGADQNCIREGIIPTKYYNKTSESLKAANEISNKGIKYQTCFLMVKDITQDVILGTPFISLLKPYKVTNNSISTKKNRK >KJB17643 pep chromosome:Graimondii2_0_v6:3:556357:558491:-1 gene:B456_003G009200 transcript:KJB17643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQLTIVLVMFFFLQLFSGARSSTFTIINKCSYTVWPGVLSSAGIPPLSPTGFVLQQGESKSIDVPTSWSGRLWGRTLCTQDSSGKFTCLTGDCGSSTIECSGAGAIPPATLAEFTLNGASGLDFYDVSLVDGYNLPMMVSPHGGKGGNCSSAGCAAELNGNCPLELKVVDRSEGVACNSACNAFGDPKYCCSGAYSTPNTCKPSSYSKFFKAACPTAYSYAYDDGTSTFTCAGADYVITFCPTTPSTSLKTSDPMAVDISASSRSTSSALIAGAITSLAIIWQFWHLF >KJB20542 pep chromosome:Graimondii2_0_v6:3:42268628:42269937:-1 gene:B456_003G153900 transcript:KJB20542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQGGKMEKDFVLQWGNRKRLRCFNKLKKQHQHQFGNSGGDGGTSTTPHSLPLPNKKMGSSPVANRLKMNSDLGTNKSRSALTSPEKEDRYYATRGSGSIVLEDNNTKVLMDHHHVKEDKGTVWPRLFTTLSNKEKEQDFMAMKGCKLPQRPKKRAKLIQRSILLVSPGTWLSDLCQERYQVREKKTSKKKPRGLKAMGSVESDSERD >KJB20545 pep chromosome:Graimondii2_0_v6:3:42268922:42269937:-1 gene:B456_003G153900 transcript:KJB20545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQGGKMEKDFVLQWGNRKRLRCFNKLKKQHQHQFGNSGGDGGTSTTPHSLPLPNKKMGSSPVANRLKMNSDLGTNKSRSALTSPEKEDRYYATRGSGSIVLEDNNTKVLMDHHHVKEDKGTVWPRLFTTLSNKEKEQDFMAMKGCKLPQRPKKRAKLIQRSILLVSPGTWLSDLCQERYQVREKKTSKKVTTSGFHTHLSLAL >KJB20544 pep chromosome:Graimondii2_0_v6:3:42268628:42269939:-1 gene:B456_003G153900 transcript:KJB20544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQGGKMEKDFVLQWGNRKRLRCFNKLKKQHQHQFGNSGGDGGTSTTPHSLPLPNKKMGSSPVANRLKMNSDLGTNKSRSALTSPEKEDRYYATRGSGSIVLEDNNTKVLMDHHHVKEDKGTVWPRLFTTLSNKEKEQDFMAMKGCKLPQRPKKRAKLIQRSILLVSPGTWLSDLCQERYQVREKKTSKKKPRGLKAMGSVESDSERD >KJB20541 pep chromosome:Graimondii2_0_v6:3:42268607:42270011:-1 gene:B456_003G153900 transcript:KJB20541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQGGKMEKDFVLQWGNRKRLRCFNKLKKQHQHQFGNSGGDGGTSTTPHSLPLPNKKMGSSPVANRLKMNSDLGTNKSRSALTSPEKEDRYYATRGSGSIVLEDNNTKVLMDHHHVKEDKGTVWPRLFTTLSNKEKEQDFMAMKGCKLPQRPKKRAKLIQRSILLVSPGTWLSDLCQERYQVREKKTSKKKPRGLKAMGSVESDSERD >KJB20543 pep chromosome:Graimondii2_0_v6:3:42269118:42269749:-1 gene:B456_003G153900 transcript:KJB20543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQGGKMEKDFVLQWGNRKRLRCFNKLKKQHQHQFGNSGGDGGTSTTPHSLPLPNKKMGSSPVANRLKMNSDLGTNKSRSALTSPEKEDRYYATRGSGSIVLEDNNTKVLMDHHHVKEDKGTVWPRLFTTLSNKEKEQDFMAMKGCKLPQRPKKRAKLIQRSILVSQVFPSFSFLNC >KJB20491 pep chromosome:Graimondii2_0_v6:3:41911370:41917846:1 gene:B456_003G151500 transcript:KJB20491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06950) UniProtKB/Swiss-Prot;Acc:Q8LPR9] MNPSLLLSPPSSHPRPLLLFPFTPNSLPRPSRRRFRVSFPRSSLSTHDQPASSSETEAPNIFGGPKELTGIQPLVQNLSPPVRLATSAVILAGALAAGYGLGLRFGGNRNAAFGGAAVLGAAGGAAVYAVNAAVPEVAAVTLHNYVAAYDSPDAIKREDIENIAQKYGVSKQDEAFNMELCDLYCRFVSSVLSSGSEDLRGDEVETIISFKNALGIDDPDAASMHMEIGRRIFRQRLETGDRDGDLEQRRAFQRLIYVSTLVFGDASTFLLPWKRVFKVTDAQVEIAIRDNAKQLYASKLTSVGRDVGEELLVSLRKAQLKYKLSDELAKDLLMEHKRKLVEENISVALNILKSRARTVGGVKQAVEELDKILSFNDLLISLSKHPDADRFACGLGPVSLVGGEYDSDRKMDDLKLLYRAYVSDSLSGGRMEKDKLTSLNQLRNILGLGNKEAEAIILDVTSKVYQKRLSEAFQGGDLEMADSKAAFLQNLCEELHFDPQKASQIHEEIYRKKLQQCVSDGELDESDVAALLKVRVMLCIPQQTVDAAHSDICGSLFEKAVKDAISAGVDGYDADVKNAVRKAAHGLRLTREAAMSIASKAVRKVFLNYVKRSRSADNRTESARELKKLIAFNTLVVTELVADIKGESSDTPSEEPAKEEVKEVDEDDEWESLQTLRKIKPNKELNAKLGKPGQTEITLKDDLSERDRMDLYKTYLLYCLTGEVTRIPFGAQITTKKDDSEYVLLNQLGGILGLTSEETVEVHRSLAEQAFRQQAEVILADGQLTKARMEQLNELQKNVGLPGPYAQKIIKSITTTKMAAAIETAIGQGRLNIKQIRELKESGVDLDNMISESLRENLFKKTVDEIFSSGTGEFDEEEVYDKIPADLKVNPQKAKGVVHDLARTRLSNSLVQAVALLRQRNRQGAVSSLNDLLACDKAVPSEPLSWEVPEELADLFGVYAQSNPAPEKLSRLQYLLNISDSVAAAAKEMGHGAVSVGAEEEKFVF >KJB20493 pep chromosome:Graimondii2_0_v6:3:41911463:41917705:1 gene:B456_003G151500 transcript:KJB20493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06950) UniProtKB/Swiss-Prot;Acc:Q8LPR9] MNPSLLLSPPSSHPRPLLLFPFTPNSLPRPSRRRFRVSFPRSSLSTHDQPASSSETEAPNIFGGPKELTGIQPLVQNLSPPVRLATSAVILAGALAAGYGLGLRFGGNRNAAFGGAAVLGAAGGAAVYAVNAAVPEVAAVTLHNYVAAYDSPDAIKREDIENIAQKYGVSKQDEAFNMELCDLYCRFVSSVLSSGSEDLRGDEVETIISFKNALGIDDPDAASMHMEIGRRIFRQRLETGDRDGDLEQRRAFQRLIYVSTLVFGDASTFLLPWKRVFKVTDAQVEIAIRDNAKQLYASKLTSVGRDVGEELLVSLRKAQLKYKLSDELAKDLLMEHKRKLVEENISVALNILKSRARTVGGVKQAVEELDKILSFNDLLISLSKHPDADRFACGLGPVSLVGGEYDSDRKMDDLKLLYRAYVSDSLSGGRMEKDKLTSLNQLRNILGLGNKEAEAIILDVTSKVYQKRLSEAFQGGDLEMADSKAAFLQNLCEELHFDPQKASQIHEEIYRKKLQQCVSDGELDESDVAALLKVRVMLCIPQQTVDAAHSDICGSLFEKAVKDAISAGVDGYDADVKNAVRKAAHGLRLTREAAMSIASKAVRKVFLNYVKRSRSADNRTESARELKKLIAFNTLVVTELVADIKGESSDTPSEEPAKEEVKEVDEDDEWESLQTLRKIKPNKELNAKLGKPGQTEITLKDDLSERDRMDLYKTYLLYCLTGEVTRIPFGAQITTKKDDSEYVLLNQLGGILGLTSEETVEVHRSLAEQAFRQQAEVILADGQLTKARMEQLNELQKNVGLPGPYAQKIIKSITTTKMAAAIETAIGQGRLNIKQIRELKESGVDLDNMISESLRENLFKKTVDEIFSSGTGEFDEEEVYDKIPADLKVNPQKAKGVVHDLARTRLSNSLVQAVALLRQRNRQGAVSSLNDLLASDLFGVYAQSNPAPEKLSRLQYLLNISDSVAAAAKEMGHGAVSVGAEEEKFVF >KJB20492 pep chromosome:Graimondii2_0_v6:3:41911463:41917705:1 gene:B456_003G151500 transcript:KJB20492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06950) UniProtKB/Swiss-Prot;Acc:Q8LPR9] MNPSLLLSPPSSHPRPLLLFPFTPNSLPRPSRRRFRVSFPRSSLSTHDQPASSSETEAPNIFGGPKELTGIQPLVQNLSPPVRLATSAVILAGALAAGYGLGLRFGGNRNAAFGGAAVLGAAGGAAVYAVNAAVPEVAAVTLHNYVAAYDSPDAIKREDIENIAQKYGVSKQDEAFNMELCDLYCRFVSSVLSSGSEDLRGDEVETIISFKNALGIDDPDAASMHMEIGRRIFRQRLETGDRDGDLEQRRAFQRLIYVSTLVFGDASTFLLPWKRVFKVTDAQVEIAIRDNAKQLYASKLTSVGRDVGEELLVSLRKAQLKYKLSDELAKDLLMEHKRKLVEENISVALNILKSRARTVGGVKQAVEELDKILSFNDLLISLSKHPDADRFACGLGPVSLVGGEYDSDRKMDDLKLLYRAYVSDSLSGGRMEKDKLTSLNQLRNILGLGNKEAEAIILDVTSKVYQKRLSEAFQGGDLEMADSKAAFLQNLCEELHFDPQKASQIHEEIYRKKLQQCVSDGELDESDVAALLKVRVMLCIPQQTVDAAHSDICGSLFEKAVKDAISAGVDGYDADVKNAVRKAAHGLRLTREAAMSIASKAVRKVFLNYVKRSRSADNRTESARELKKLIAFNTLVVTELVADIKGESSDTPSEEPAKEEVKEVDEDDEWESLQTLRKIKPNKELNAKLGKPGQTEITLKDDLSERDRMDLYKTYLLYCLTGEVTRIPFGAQITTKKDDSEYVLLNQLGGILGLTSEETARMEQLNELQKNVGLPGPYAQKIIKSITTTKMAAAIETAIGQGRLNIKQIRELKESGVDLDNMISESLRENLFKKTVDEIFSSGTGEFDEEEVYDKIPADLKVNPQKAKGVVHDLARTRLSNSLVQAVALLRQRNRQGAVSSLNDLLACDKAVPSEPLSWEVPEELADLFGVYAQSNPAPEKLSRLQYLLNISDSVAAAAKEMGHGAVSVGAEEEKFVF >KJB19521 pep chromosome:Graimondii2_0_v6:3:37858258:37859913:1 gene:B456_003G127400 transcript:KJB19521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDRQIGVAMDFSKGSKAALKWSTDNLVDKGDTLYLIHVKPNQSDESRNLLWSTSGSPLIPLSEFREKEVMKHYEVEPDPEVLDLVDTASRQKEVNIVTKIYWGDARDKICESVADLKLDCLVMGSRGLGTIQRVLIGSVSNHVMVNATCPVTIVKGS >KJB19522 pep chromosome:Graimondii2_0_v6:3:37858357:37859851:1 gene:B456_003G127400 transcript:KJB19522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDRQIGVAMDFSKGSKAALKWSTDNLVDKGDTLYLIHVKPNQSDESRNLLWSTSGSPLIPLSEFREKEVMKHYEVEPDPEVLDLVDTASRQKEVNIVTKIYWGDARDKICESVADLKLDCLVMGSRGLGTIQR >KJB18072 pep chromosome:Graimondii2_0_v6:3:3160145:3163212:1 gene:B456_003G032800 transcript:KJB18072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRQVSSTRRFVDSGNFPFSGALHSKSRSSPILSIALVVVGAILLIGYAYSGSGDYTCSLEVQRAIPFLKKAYGDRMHKVLHVGPDTCSVVSKLLKEEDTEAWGVEPYDIEDVEESCKSLVGKGIVRVADIKYPLPYRPKSFSLVIVSDALDYLSSKYLNKTLPELARVASDGLIIFAGTPGHQKAKVAELSKFGRPAKMRSSSWWIRFFDQSSLKENETAVKKFEQATSKSSYLPACQVFHLNPYH >KJB18071 pep chromosome:Graimondii2_0_v6:3:3160121:3163212:1 gene:B456_003G032800 transcript:KJB18071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRQVSSTRRFVDSGNFPFSGALHSKSRSSPILSIALVVVGAILLIGYAYSGSGKFRGEAVSRIEGDYTCSLEVQRAIPFLKKAYGDRMHKVLHVGPDTCSVVSKLLKEEDTEAWGVEPYDIEDVEESCKSLVGKGIVRVADIKYPLPYRPKSFSLVIVSDALDYLSSKYLNKTLPELARVASDGLIIFAGTPGHQKAKVAELSKFGRPAKMRSSSWWIRFFDQSSLKENETAVKKFEQATSKSSYLPACQVFHLNPYH >KJB17909 pep chromosome:Graimondii2_0_v6:3:1797043:1798780:-1 gene:B456_003G023300 transcript:KJB17909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIYKDTPGKDKHKGRHHGLSQQKKQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQIEQMIADVDKDGSGAIDFDEFVYMMTAKIGERDTKEELTKAFQIIDQDNNGKISAQDIERIANELGVNLTKKEIQDMIEEADKDNDGEVSMDEFMRMMKRTTYGY >KJB17910 pep chromosome:Graimondii2_0_v6:3:1797043:1799498:-1 gene:B456_003G023300 transcript:KJB17910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSIYKDTPGKDKHKGRHHGLSQQKKQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQIEQMIADVDKDGSGAIDFDEFVYMMTAKIGERDTKEELTKAFQIIDQDNNGKISAQDIERIANELGVNLTKKEIQDMIEEADKDNDGEVSMDEFMRMMKRTTYGY >KJB19557 pep chromosome:Graimondii2_0_v6:3:33195061:33201581:1 gene:B456_003G108600 transcript:KJB19557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNTRSIRIDCPKLLITRNESDLQWLIGSPFFPPLTIISTFRCIHSNSSGPDFPKESEEIRTLLLKGFDVIGALIVGKSDPEKTAARAVEAARKLKKLLTGTTKLENEETIGAVADPDTGDIRFFLSETESSTNFELVNPVSYGDNPEKFVWESGCLLLCQLPIKLPVCYPANKPSDAESIFSRAIEAVIAKFKDPNVVYLVKASNRASLDVVQPVILRGSELGFDAAVANIELLDEAAQNSEKKLLRCAHFCLKSKSTSQLLSAENADIIQISVLLNRSEKSPKCSAPAVEYFPAMDETRLLIVDFKLEVLCYAVQGIPLMHAISKLIIPGLIDQLISMKKMNLPYLLTQHPELHPYHFCPPGIAHPVTVIYELNYGETEMKQVDARRSLHLRLGLPFDRPLLRIANSLDLSIKSRSSNLSTRKGSSLLKDVHIGIPGSGVSGGSVSLVQGSYEYYHYLQDGFDDSGWGCAYRSLQTIISWFRLQHYSSVDVPSHREIQQSLVDIGDKDPAFIGSREWIGAIELSFVLDKLLGVSCKVINVRSGSEVPEKCRELALHFETQGTPIMIGN >KJB19559 pep chromosome:Graimondii2_0_v6:3:33194931:33202373:1 gene:B456_003G108600 transcript:KJB19559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNTRSIRIDCPKLLITRNESDLQWLIGSPFFPPLTIISTFRCIHSNSSGPDFPKESEEIRTLLLKGFDVIGALIVGKSDPEKTAARAVEAARKLKKLLTGTTKLENEETIGAVADPDTGDIRFFLSETESSTNFELVNPVSYGDNPEKFVWESGCLLLCQLPIKLPVCYPANKPSDAESIFSRAIEAVIAKFKDPNVVYLVKASNRASLDVVQPVILRGSELGFDAAVANIELLDEAAQNSEKKLLRCAHFCLKSKSTSQLLSAENADIIQISVLLNRSEKSPKCSAPAVEYFPAMDETRLLIVDFKLEVLCYAVQGIPLMHAISKLIIPGLIDQLISMKKMNLPYLLTQHPELHPYHFCPPGIAHPVTVIYELNYGETEMKQVDARRSLHLRLGLPFDRPLLRIANSLDLSIKSRSSNLSTRKAGSSLLKDVHIGIPGSGVSGGSVSLVQGSYEYYHYLQDGFDDSGWGCAYRSLQTIISWFRLQHYSSVDVPSHREIQQSLVDIGDKDPAFIGSREWIGAIELSFVLDKLLGVSCKVINVRSGSEVPEKCRELALHFETQGTPIMIGGGVLAYTLLGVDYNEATGECAFLILDPHYAGSDDVKKIVNGGWCGWKKSVNSKGKSFFLQDKFYNLLLPQRPNMV >KJB19556 pep chromosome:Graimondii2_0_v6:3:33194931:33202373:1 gene:B456_003G108600 transcript:KJB19556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNTRSIRIDCPKLLITRNESDLQWLIGSPFFPPLTIISTFRCIHSNSSGPDFPKESEEIRTLLLKGFDVIGALIVGKSDPEKTAARAVEAARKLKKLLTGTTKLENEETIGAVADPDTGDIRFFLSETESSTNFELVNPVSYGDNPEKFVWESGCLLLCQLPIKLPVCYPANKPSDAESIFSRAIEAVIAKFKDPNVVYLVKASNRASLDVVQPVILRGSELGFDAAVANIELLDEAAQNSEKKLLRCAHFCLKSKSTSQLLSAENADIIQISVLLNRSEKSPKCSAPAVEYFPAMDETRLLIVDFKLEVLCYAVQGIPLMHAISKLIIPGLIDQLISMKKMNLPYLLTQHPELHPYHFCPPGIAHPVTVIYELNYGETEMKQVDARRSLHLRLGLPFDRPLLRIANSLDLSIKSRSSNLSTRKGSSLLKDVHIGIPGSGVSGGSVSLVQGSYEYYHYLQDGFDDSGWGCAYRSLQTIISWFRLQHYSSVDVPSHSHL >KJB19554 pep chromosome:Graimondii2_0_v6:3:33194912:33202373:1 gene:B456_003G108600 transcript:KJB19554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNTRSIRIDCPKLLITRNESDLQWLIGSPFFPPLTIISTFRCIHSNSSGPDFPKESEEIRTLLLKGFDVIGALIVGKSDPEKTAARAVEAARKLKKLLTGTTKLENEETIGAVADPDTGDIRFFLSETESSTNFELVNPVSYGDNPEKFVWESGCLLLCQLPIKLPVCYPANKPSDAESIFSRAIEAVIAKFKDPNVVYLVKASNRASLDVVQPVILRGSELGFDAAVANIELLDEAAQNSEKKLLRCAHFCLKSKSTSQLLSAENADIIQISVLLNRSEKSPKCSAPAVEYFPAMDETRLLIVDFKLEVLCYAVQGIPLMHAISKLIIPGLIDQLISMKKMNLPYLLTQHPELHPYHFCPPGIAHPVTVIYELNYGETEMKQVDARRSLHLRLGLPFDRPLLRIANSLDLSIKSRSSNLSTRKGSSLLKDVHIGIPGSGVSGGSVSLVQGSYEYYHYLQDGFDDSGWGCAYRSLQTIISWFRLQHYSSVDVPSHREIQQSLVDIGDKDPAFIGSREWIGAIELSFVLDKLLGVSCKVINVRSGSEVPEKCRELALHFETQGTPIMIGGGVLAYTLLGVDYNEATGECAFLILDPHYAGSDDVKKIVNGGWCGWKKSVNSKGKSFFLQDKFYNLLLPQRPNMV >KJB19555 pep chromosome:Graimondii2_0_v6:3:33194931:33197821:1 gene:B456_003G108600 transcript:KJB19555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNTRSIRIDCPKLLITRNESDLQWLIGSPFFPPLTIISTFRCIHSNSSGPDFPKESEEIRTLLLKGFDVIGALIVGKSDPEKTAARAVEAARKLKKLLTGTTKLENEETIGAVADPDTGDIRFFLSETESSTNFELVNPVSYGDNPEKFVWESGCLLLCQLPIKLPVCYPANKPSDAESIFSRAIEAVIAKFKDPNVVYLVKASNRASLDVVQPVILRGSELGFDAAVANIELLDEAAQNSEKKLLRCAHFCLKSKSTSQLLSAENADIIQISVLLNRSEKSPKCSAPAVEYFPAMDETRLLIVDFKLEVLCYAVQGIPLMHAISKLIIPGLIDQLISMKKMNLPYLLTQHPEVTFYISLVGSL >KJB19558 pep chromosome:Graimondii2_0_v6:3:33194931:33202373:1 gene:B456_003G108600 transcript:KJB19558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNTRSIRIDCPKLLITRNESDLQWLIGSPFFPPLTIISTFRCIHSNSSGPDFPKESEEIRTLLLKGFDVIGALIVGKSDPEKTAARAVEAARKLKKLLTGTTKLENEETIGAVADPDTGDIRFFLSETESSTNFELVNPVSYGDNPEKFVWESGCLLLCQLPIKLPVCYPANKPSDAESIFSRAIEAVIAKFKDPNVVYLVKASNRASLDVVQPVILRGSELGFDAAVANIELLDEAAQNSEKKLLRCAHFCLKSKSTSQLLSAENADIIQISVLLNRSEKSPKCSAPAVEYFPAMDETRLLIVDFKLEVLCYAVQGIPLMHAISKLIIPGLIDQLISMKKMNLPYLLTQHPELHPYHFCPPGIAHPVTVIYELNYGETEMKQVDARRSLHLRLGLPFDRPLLRIANSLDLSIKSRSSNLSTRKGSSLLKDVHIGIPGSGVSGGSVSLVQGSYEYYHYLQDGFDDSGWGCAYRSLQTIISWFRLQHYSSVDVPSHREIQQSLVDIGDKDPAFIGSREWIGAIELSFVLDKLLGVSCKVINVRSGSEVPEKCRELALHFETQGTPIMIGGGVLAYTLLGVDYNEATGECAFLILDPHYAGSDDVKKIVNGGW >KJB18411 pep chromosome:Graimondii2_0_v6:3:7716779:7719138:-1 gene:B456_003G051600 transcript:KJB18411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRPVPRRESPWGMPEGEHRQPKAHRCNDRAEDVIQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPYTYLQLDPPKREVELE >KJB20084 pep chromosome:Graimondii2_0_v6:3:38685072:38687709:-1 gene:B456_003G132000 transcript:KJB20084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREIDNDDDVEFGSYHHHHPGCMGAIFDYHHWYNVKKMFPYRKYNRGRHVRCCANPRTISMEREVIETQGLLHAEVPQQTRKTSLSINKGSNKTSTKAFSARPELLQMYSTHHLEHSGFGFGWINPIILINMRTDTSGMSSTSSPAEGKTSDSPRTRYLQFSTLEHKQKEVWSFRKGEKKQVAGTQSSQGSDSQKRNRFIMNRLKDFKQRIKQAIKESKKGTNHTIQASINEDGTDLDINNGGLNRMSRTKLINESLDRYTESFKHGAHNRPKSISIGEGIDKFELVEVVIEAELQENMREINNHDNFSSTCLSMETNDENIAKPCDLAMEETSPHQEQERVCEDNPSREQEVDLCYNYVRDILELSGLLQNQCLHPWYSPHQPLNPLLFKQLETLLHPELKHCSIDDHQLVFDLVNEALVGMSEKACVHKFPKPFNRGIGLMFKGNAVLLQEVWRYVSGNMGFQQEHDGSLDDIVGRDMEKDAWMFLQGEDDFVALELQELVFDGLLDELLCD >KJB20080 pep chromosome:Graimondii2_0_v6:3:38685036:38687704:-1 gene:B456_003G132000 transcript:KJB20080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREIDNDDDVEFGSYHHHHPGCMGAIFDYHHWYNVKKMFPYRKYNRGRHVRCCANPRTISMEREVIETQGLLHAEVPQQTRKTSLSINKGSNKTSTKAFSARPELLQMYSTHHLEHSGFGFGWINPIILINMRTDTSGMSSTSSPAEGKTSDSPRTRYLQFSTLEHKQKEVWSFRKGEKKQVAGTQSSQGSDSQKRNRFIMNRLKDFKQRIKQAIKESKKGTNHTIQASINEDGTDLDINNGGLNRMSRTKLINESLDRYTESFKHGAHNRPKSISIGEGIDKFELVEVVIEAELQENMREINNHDNFSSTCLSMETNDENIAKPCDLAMEETSPHQEQERVCEDNPSREQEVDLCYNYVRDILELSGLLQNQCLHPWYSPHQPLNPLLFKQLETLLHPELKHCSIDDHQLVFDLVNEALVGMSEKACVHKFPKPFNRGIGLMFKGNAVLLQEVWRYVSGNMGFQQEHDGSLDDIVGRDMEKDAWMFLQGEDDFVALELQELVFDGLLDELLCD >KJB20085 pep chromosome:Graimondii2_0_v6:3:38685394:38687360:-1 gene:B456_003G132000 transcript:KJB20085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREIDNDDDVEFGSYHHHHPGCMGAIFDYHHWYNVKKMFPYRKYNRGRHVRCCANPRTISMEREVIETQGLLHAEVDHFQVPQQTRKTSLSINKGSNKTSTKAFSARPELLQMYSTHHLEHSGFGFGWINPIILINMRTDTSGMSSTSSPAEGKTSDSPRTRYLQFSTLEHKQKEVWSFRKGEKKQVAGTQSSQGSDSQKRNRFIMNRLKDFKQRIKQAIKESKKGTNHTIQASINEDGTDLDINNGGLNRMSRTKLINESLDRYTESFKHGAHNRPKSISIGEGIDKFELVEVVIEAELQENMREINNHDNFSSTCLSMETNDENIAKPCDLAMEETSPHQEQERVCEDNPSREQEVDLCYNYVRDILELSGLLQNQCLHPWYSPHQPLNPLLFKQLETLLHPELKHCSIDDHQLVFDLVNEALVGMSEKACVHKFPKPFNRGIGLMFKGNAVLLQEVWRYVSGNMGFQQEHDGSLDDIVGRDMEKDAWMFLQGEDDFVALELQELVFDGLLDELLCD >KJB20083 pep chromosome:Graimondii2_0_v6:3:38685394:38687360:-1 gene:B456_003G132000 transcript:KJB20083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREIDNDDDVEFGSYHHHHPGCMGAIFDYHHWYNVKKMFPYRKYNRGRHVRCCANPRTISMEREVIETQGLLHAEVDHFQVPQQTRKTSLSINKGSNKTSTKAFSARPELLQMYSTHHLEHSGFGFGWINPIILINMRTDTSGMSSTSSPAEGKTSDSPRTRYLQFSTLEHKQKEVWSFRKGEKKQVAGTQSSQGSDSQKRNRFIMNRLKDFKQRIKQAIKESKKGTNHTIQASINEDGTDLDINNGGLNRMSRTKLINESLDRYTESFKHGAHNRPKSISIGEGIDKFELVEVVIEAELQENMREINNHDNFSSTCLSMETNDENIAKPCDLAMEETSPHQEQERVCEDNPSREQEVDLCYNYVRDILELSGLLQNQCLHPWYSPHQPLNPLLFKQLETLLHPELKHCSIDDHQLVFDLVNEALVGMSEKACVHKFPKPFNRGIGLMFKGNAVLLQEVWRYVSGNMGFQQEHDGSLDDIVGRDMEKDAWMFLQGEDDFVALELQELVFDGLLDELLCD >KJB20086 pep chromosome:Graimondii2_0_v6:3:38685072:38691595:-1 gene:B456_003G132000 transcript:KJB20086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREIDNDDDVEFGSYHHHHPGCMGAIFDYHHWYNVKKMFPYRKYNRGRHVRCCANPRTISMEREVIETQGLLHAEVPQQTRKTSLSINKGSNKTSTKAFSARPELLQMYSTHHLEHSGFGFGWINPIILINMRTDTSGMSSTSSPAEGKTSDSPRTRYLQFSTLEHKQKEVWSFRKGEKKQVAGTQSSQGSDSQKRNRFIMNRLKDFKQRIKQAIKESKKGTNHTIQASINEDGTDLDINNGGLNRMSRTKLINESLDRYTESFKHGAHNRPKSISIGEGIDKFELVEVVIEAELQENMREINNHDNFSSTCLSMETNDENIAKPCDLAMEETSPHQEQERVCEDNPSREQEVDLCYNYVRDILELSGLLQNQCLHPWYSPHQPLNPLLFKQLETLLHPELKHCSIDDHQLVFDLVNEALVGMSEKACVHKFPKPFNRGIGLMFKGNAVLLQEVWRYVSGNMGFQQEHDGSLDDIVGRDMEKDAWMFLQGEDDFVALELQELVFDGLLDELLCD >KJB20082 pep chromosome:Graimondii2_0_v6:3:38685072:38687874:-1 gene:B456_003G132000 transcript:KJB20082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREIDNDDDVEFGSYHHHHPGCMGAIFDYHHWYNVKKMFPYRKYNRGRHVRCCANPRTISMEREVIETQGLLHAEVPQQTRKTSLSINKGSNKTSTKAFSARPELLQMYSTHHLEHSGFGFGWINPIILINMRTDTSGMSSTSSPAEGKTSDSPRTRYLQFSTLEHKQKEVWSFRKGEKKQVAGTQSSQGSDSQKRNRFIMNRLKDFKQRIKQAIKESKKGTNHTIQASINEDGTDLDINNGGLNRMSRTKLINESLDRYTESFKHGAHNRPKSISIGEGIDKFELVEVVIEAELQENMREINNHDNFSSTCLSMETNDENIAKPCDLAMEETSPHQEQERVCEDNPSREQEVDLCYNYVRDILELSGLLQNQCLHPWYSPHQPLNPLLFKQLETLLHPELKHCSIDDHQLVFDLVNEALVGMSEKACVHKFPKPFNRGIGLMFKGNAVLLQEVWRYVSGNMGFQQEHDGSLDDIVGRDMEKDAWMFLQGEDDFVALELQELVFDGLLDELLCD >KJB20087 pep chromosome:Graimondii2_0_v6:3:38685394:38687360:-1 gene:B456_003G132000 transcript:KJB20087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREIDNDDDVEFGSYHHHHPGCMGAIFDYHHWYNVKKMFPYRKYNRGRHVRCCANPRTISMEREVIETQGLLHAEVDHFQVPQQTRKTSLSINKGSNKTSTKAFSARPELLQMYSTHHLEHSGFGFGWINPIILINMRTDTSGMSSTSSPAEGKTSDSPRTRYLQFSTLEHKQKEVWSFRKGEKKQVAGTQSSQGSDSQKRNRFIMNRLKDFKQRIKQAIKESKKGTNHTIQASINEDGTDLDINNGGLNRMSRTKLINESLDRYTESFKHGAHNRPKSISIGEGIDKFELVEVVIEAELQENMREINNHDNFSSTCLSMETNDENIAKPCDLAMEETSPHQEQERVCEDNPSREQEVDLCYNYVRDILELSGLLQNQCLHPWYSPHQPLNPLLFKQLETLLHPELKHCSIDDHQLVFDLVNEALVGMSEKACVHKFPKPFNRGIGLMFKGNAVLLQEVWRYVSGNMGFQQEHDGSLDDIVGRDMEKDAWMFLQGEDDFVALELQELVFDGLLDELLCD >KJB20081 pep chromosome:Graimondii2_0_v6:3:38685394:38687360:-1 gene:B456_003G132000 transcript:KJB20081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREIDNDDDVEFGSYHHHHPGCMGAIFDYHHWYNVKKMFPYRKYNRGRHVRCCANPRTISMEREVIETQGLLHAEVDHFQVPQQTRKTSLSINKGSNKTSTKAFSARPELLQMYSTHHLEHSGFGFGWINPIILINMRTDTSGMSSTSSPAEGKTSDSPRTRYLQFSTLEHKQKEVWSFRKGEKKQVAGTQSSQGSDSQKRNRFIMNRLKDFKQRIKQAIKESKKGTNHTIQASINEDGTDLDINNGGLNRMSRTKLINESLDRYTESFKHGAHNRPKSISIGEGIDKFELVEVVIEAELQENMREINNHDNFSSTCLSMETNDENIAKPCDLAMEETSPHQEQERVCEDNPSREQEVDLCYNYVRDILELSGLLQNQCLHPWYSPHQPLNPLLFKQLETLLHPELKHCSIDDHQLVFDLVNEALVGMSEKACVHKFPKPFNRGIGLMFKGNAVLLQEVWRYVSGNMGFQQEHDGSLDDIVGRDMEKDAWMFLQGEDDFVALELQELVFDGLLDELLCD >KJB20243 pep chromosome:Graimondii2_0_v6:3:40310700:40315808:1 gene:B456_003G140100 transcript:KJB20243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHVIGPEEGMSHRGISDDGDAEPSEGELNHLNNSLAHDEDEISEPYLGMEFDSEGAAKTYYDEYCRHMGFNSKVGQLSRSKTDGTVVAREFVCGKDGLKRRSADSCDAMLRIELKGDKWVVTKFVKEHRHSVTSPSKVHYLRPRRHFAGAAKTMVDTCQGVGIVPSGVMYVSMDGNRASMNANTRGVRNTPLAEANRSAKNFGTLSYAVRPVTRKRTLGRDAQNLLDYFKKMQAENPGFFYAIQLDDDNQMANAFWADARSRTAYAHFGDAVKLDTSYRVNQYRVPFAPFSGMNHHGQTILFGCALLLDDSDASFVWLFKTFLTAMNDRQPVSLITNQDRAIQTAVSQVFPGVRHCINKWHILREGPEKLAHVCQVHPNFQVELYNCINLTETIEEFELSWSSLIEKYNLSAHDWLQSLYSARAQWVPVYFRDSFFAAISLNQGFDGSFFYGYVNQQTTIPMFFRQYERAMENWFEREIEADFDTICTTPVLRTPSPMEKQAADLYTRKIFTKFQEELVETFVYTANRIDGDETISTFRVAKFEDVNKAYMVTLNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPSHYILKRWTRNAKSGVGTDERGGELHGQVSLTARYNSLCREAIKYAEDGAIATETYNSAMGALKEGGKKVSVVKKNVAKFAPPGSQASTAAYDDNSSSTLGPDTAPLLWPQQDEITWRFNLNDTGAPTQSVSDLNLPHMAPVSLHRDDGHPDNMPVLPCLKSMTWEMENKNSMPGNRVAVINLKLQDFGKNPSAEMEVKFQLSRVTLEPMLRSMAYISEQLSTPANRVAVINLKLQDSETTTGESEVKFQVSRDTLGAMLRSMAYIQEQLSNGNEAQAEPLPKKHRR >KJB20244 pep chromosome:Graimondii2_0_v6:3:40310955:40315808:1 gene:B456_003G140100 transcript:KJB20244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHVIGPEEGMSHRGISDDGDAEPSEGELNHLNNSLAHDEDEISEPYLGMEFDSEGAAKTYYDEYCRHMGFNSKVGQLSRSKTDGTVVAREFVCGKDGLKRRSADSCDAMLRIELKGDKWVVTKFVKEHRHSVTSPSKVHYLRPRRHFAGAAKTMVDTCQGVGIVPSGVMYVSMDGNRASMNANTRGVRNTPLAEANRSAKNFGTLSYAVRPVTRKRTLGRDAQNLLDYFKKMQAENPGFFYAIQLDDDNQMANAFWADARSRTAYAHFGDAVKLDTSYRVNQYRVPFAPFSGMNHHGQTILFGCALLLDDSDASFVWLFKTFLTAMNDRQPVSLITNQDRAIQTAVSQVFPGVRHCINKWHILREGPEKLAHVCQVHPNFQVELYNCINLTETIEEFELSWSSLIEKYNLSAHDWLQSLYSARAQWVPVYFRDSFFAAISLNQGFDGSFFYGYVNQQTTIPMFFRQYERAMENWFEREIEADFDTICTTPVLRTPSPMEKQAADLYTRKIFTKFQEELVETFVYTANRIDGDETISTFRVAKFEDVNKAYMVTLNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPSHYILKRWTRNAKSGVGTDERGGELHGQVSLTARYNSLCREAIKYAEDGAIATETYNSAMGALKEGGKKVSVVKKNVAKFAPPGSQASTAAYDDNSSSTLGPDTAPLLWPQQDEITWRFNLNDTGAPTQSVSDLNLPHMAPVSLHRDDGHPDNMPVLPCLKSMTWEMENKNSMPGNRVAVINLKLQDFGKNPSAEMEVKFQLSRVTLEPMLRSMAYISEQLSTPANRVAVINLKLQDSETTTGESEVKFQVSRDTLGAMLRSMAYIQEQLSNGNEAQAEPLPKKHRR >KJB18174 pep chromosome:Graimondii2_0_v6:3:3942228:3943821:-1 gene:B456_003G037700 transcript:KJB18174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFPGFPWWFWGNSGNKEKQLVSNGSSLNSSDWGLGLREPETVKFPTKIASTKGKPNWQGNEERRVVDKEYDLVMVPSDGVHLSGYESDGPEWSIGWEEPHGPGFHGEDDDDGFAVLVPCYRPGCKELVESPNNQLLSAIKNLPTGFSSDFEVYMLKPILLGNIMEQVFMELV >KJB18173 pep chromosome:Graimondii2_0_v6:3:3940957:3943875:-1 gene:B456_003G037700 transcript:KJB18173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFPGFPWWFWGNSGNKEKQLVSNGSSLNSSDWGLGLREPETVKFPTKIASTKGKPNWQGNEERRVVDKEYDLVMVPSDGVHLSGYESDGPEWSIGWEEPHGPGFHGEDDDDGFAVLVPCYRPGCKELVESPNNQLLSAIKNLPTGFSSEGSNSVQQWLSSFPNY >KJB18566 pep chromosome:Graimondii2_0_v6:3:10655447:10655950:-1 gene:B456_003G060600 transcript:KJB18566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNFYKTQNQNGLISDHAIANLLDAGFTGQLKGWWDHALIKTQQEEILKAIKKDDQGRIIFDEQGREIQGAVATLIFSISKHFTRYPSHLKDRNSLSNLKCKKLTDFKWYKDVFMTRVMQRFDNQQPFWKGKFLAGLATLLGEKVRNQIRENYKGIIPYEKLTYMN >KJB20861 pep chromosome:Graimondii2_0_v6:3:44013161:44013943:-1 gene:B456_003G169600 transcript:KJB20861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFIHDTNDSAGLQYRGQQFIKASTCAWRWQRRQVCRICDAVLLYLRILCRCRHLSAPAQTSDLSGSNSSELEFASRKSSYLYIHMNGHEAFRFATPCVPQSIESTLKKAGLTASIRYFFQIPRDSMTSISKYSNPSTTSIPFGIGQCCSKRKGEAQPYDRDPKFRCRSNLGFCCY >KJB20455 pep chromosome:Graimondii2_0_v6:3:41698514:41702002:1 gene:B456_003G149500 transcript:KJB20455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQQIALFHSQINKKRFNDDSLRILESVLSSNDVKSLFQLRSTLKDFIRSESLSAIRHIAAKTVDQQLSTLEFFVGAFAIIGDIESCLALRYEALVLREHKSQIHQWLQVSPVEWLNFAEQLLDNRFYAIAAKACDYGLSCFHKNEIVRSKTDESCENLQLIEKITKLKNCALTLAASRSVKAQAAEYLRKRASEECNSQPPSCKPAPCAASTLYRDGIKKRNDRKLNASRRTVSSSSQL >KJB20698 pep chromosome:Graimondii2_0_v6:3:43639403:43642381:-1 gene:B456_003G166600 transcript:KJB20698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIRTSANMIMKQLLHHPPLSFISFSGRPLLPCSRNPIIRLTPNRGISFSPIRCAAPDADADRKVSARLSQMQQLLQEAEERASSAGNEPTPQITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNVKQAYWLSDRIRERIMQMEKNRINKDGEIVISSTKTRTQKGNIDDALAKLQAIIDAAAYVPPPPSEEQKKKIAKMAAIGEQKRLKSKKVLSDKKAFRRSRDSWD >KJB20697 pep chromosome:Graimondii2_0_v6:3:43639403:43642301:-1 gene:B456_003G166600 transcript:KJB20697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIRTSANMIMKQLLHHPPLSFISFSGRPLLPCSRNPIIRLTPNRGISFSPIRCAAPDADADRKVSARLSQMQQLLQEAEERASSAGNEPTPQITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNVKQAYWLSDRIRERIMQMEKNRINKDGEIVISSTKTRTQKFVSLLFIFVVLFPFSAICMYSFELNFVQGKHR >KJB17898 pep chromosome:Graimondii2_0_v6:3:1745516:1750049:-1 gene:B456_003G022900 transcript:KJB17898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWPVNWEALDALIIDFAKSENLIEDSSPPSSPSLTSSYHSRLIIRQIRRSLEVGNIDAAIDILRVHAPFVLEDHRLLFRLQKLKFIELLRKGTRDDRIFAIDYLRKSLAPCALNAYPEAYEEFKHVLLAFIYDKDDQTSPVANEWAEKRRYEIAGLVSSVLRAHFHAYSPIFSMALRYLMSIHKVFCFRQGIVSPISYLTERLLLEERDFPALPPESLYEAQVFDEVDIQALAHAVELTRQGAIDSLRFAKGDLFQAFQNEICRMRLNDAMLDELVREYCVYRGIVEPGMQTSSESLKVNPPESGCCSSLDSSIDVDHSTAKHSNSETSATTDLSSMQGIDVELRFASEPTNNLEDCSPSGSHQSESSSLLRNRSKGAGERSKRKRWRGRHDDLDFISGVHFNRDGKQEVSTTISKGVECTSAEDKYEIVLQMKELASRGMAAEVVEEISTLDPDFFVQNPVLLFQLKQVEFLKLVGLGDHSGALRVACSHLGPLAARDPNLLKPLKETLLSLLRPNEEALVTGLPLHALATSLQVAFGKRLGIEEPQLMRIMRATLHTHSEWFKLQMCKDRFESLLRIDSLKENNTPVLTSLATSKSNIDSCSLGSSQATISSTTGVSDDSSSPNQASSQDAICDENAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >KJB17901 pep chromosome:Graimondii2_0_v6:3:1745892:1750008:-1 gene:B456_003G022900 transcript:KJB17901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWPVNWEALDALIIDFAKSENLIEDSSPPSSPSLTSSYHSRLIIRQIRRSLEVGNIDAAIDILRVHAPFVLEDHRLLFRLQKLKFIELLRKGTRDDRIFAIDYLRKSLAPCALNAYPEAYEEFKHVLLAFIYDKDDQTSPVANEWAEKRRYEIAGLVSSVLRAHFHAYSPIFSMALRYLMSIHKVFCFRQGIVSPISYLTERLLLEERDFPALPPESLYEAQVFDEVDIQALAHAVELTRQGAIDSLRFAKGDLFQAFQNEICRMRLNDAMLDELVREYCVYRGIVEPGMQTSSESLKVNPPESGCCSSLDSSIDVDHSTAKHSNSETSATTDLSSMQGIDVELRFASEPTNNLEDCSPSGSHQSESSSLLRNRSKGAGERSKRKRWRGRHDDLDFISGVHFNRDGKQEVSTTISKGVECTSAEDKYEIVLQMKELASRGMAAEVVEEISTLDPDFFVQNPVLLFQLKQVEFLKLVGLGDHSGALRVACSHLGPLAARDPNLLKPLKETLLSLLRPNEEALVTGLPLHALATSLQVAFGKRLGIEEPQLMRIMRATLHTHSEWFKLQMCKDRFESLLRIDSLKENNTPVLTSLATSKSNIDSCSLGSSQATISSTTGVSDDSSSPNQASSQDAICDENAILKVMVS >KJB17899 pep chromosome:Graimondii2_0_v6:3:1745253:1750232:-1 gene:B456_003G022900 transcript:KJB17899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWPVNWEALDALIIDFAKSENLIEDSSPPSSPSLTSSYHSRLIIRQIRRSLEVGNIDAAIDILRVHAPFVLEDHRLLFRLQKLKFIELLRKGTRDDRIFAIDYLRKSLAPCALNAYPEAYEEFKHVLLAFIYDKDDQTSPVANEWAEKRRYEIAGLVSSVLRAHFHAYSPIFSMALRYLMSIHKVFCFRQGIVSPISYLTERLLLEERDFPALPPESLYEAQVFDEVDIQALAHAVELTRQGAIDSLRFAKGDLFQAFQNEICRMRLNDAMLDELVREYCVYRGIVEPGMQTSSESLKVNPPESGCCSSLDSSIDVDHSTAKHSNSETSATTDLSSMQGIDVELRFASEPTNNLEDCSPSGSHQSESSSLLRNRSKGAGERSKRKRWRGRHDDLDFISGVHFNRDGKQEGVECTSAEDKYEIVLQMKELASRGMAAEVVEEISTLDPDFFVQNPVLLFQLKQVEFLKLVGLGDHSGALRVACSHLGPLAARDPNLLKPLKETLLSLLRPNEEALVTGLPLHALATSLQVAFGKRLGIEEPQLMRIMRATLHTHSEWFKLQMCKDRFESLLRIDSLKENNTPVLTSLATSKSNIDSCSLGSSQATISSTTGVSDDSSSPNQASSQDAICDENAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >KJB17900 pep chromosome:Graimondii2_0_v6:3:1745516:1750049:-1 gene:B456_003G022900 transcript:KJB17900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWPVNWEALDALIIDFAKSENLIEDSSPPSSPSLTSSYHSRLIIRQIRRSLEVGNIDAAIDILRVHAPFVLEDHRLLFRLQKLKFIELLRKGTRDDRIFAIDYLRKSLAPCALNAYPEAYEEFKHVLLAFIYDKDDQTSPVANEWAEKRRYEIAGLVSSVLRAHFHAYSPIFSMALRYLMSIHKVFCFRQGIVSPISYLTERLLLEERDFPALPPESLYEAQVFDEVDIQALAHAVELTRQGAIDSLRFAKGDLFQAFQNEICRMRLNDAMLDELVREYCVYRGIVEPGMQTSSESLKVNPPESGCCSSLDSSIDVDHSTAKHSNSETSATTDLSSMQGIDVELRFASEPTNNLEDCSPSGSHQSESSSLLRNRSKGAGERSKRKRWRGRHDDLDFISGVHFNRDGKQEVSTTISKGVECTSAEDKYEIVLQMKELASRGMAAEVVEEISTLDPDFFVQNPVLLFQLKQVEFLKLVGLGDHSGALRVACSHLGPLAARDPNLLKPLKETLLSLLRPNEEALVTGLPLHALATSLQVH >KJB20963 pep chromosome:Graimondii2_0_v6:3:44744501:44747675:-1 gene:B456_003G176000 transcript:KJB20963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLQLNSSVTKGQSNGGNNRALLYLNVYDLTPINKFLYWLGLGVFHSGIEVYGSEYGFGAHEYPTTGVFEVEPRSCPGFIFRRSVLLGSTDMSRSEFRLFMEELSQKYRGDTYHLVARNCNHFTDDVCMQLTGKHIPGFVLQLFTSRKHSDSSSLACS >KJB20964 pep chromosome:Graimondii2_0_v6:3:44744886:44747105:-1 gene:B456_003G176000 transcript:KJB20964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLQLNSSVTKGQSNGGNNRALLYLNVYDLTPINKFLYWLGLGVFHSGIEVYGSEYGFGAHEYPTTGVFEVEPRSCPGFIFRRSVLLGSTDMSRSEFRLFMEELSQKYRGDTYHLVARNCNHFTDDVCMQLTGKHIPGWVNRLARLGSFCNCLLPESIQIPAVWHVPDRPAFSDDERSNSAGTSLTGESEEEEADHHLLTTPNIDVAFLKEKPVRIAKELL >KJB18815 pep chromosome:Graimondii2_0_v6:3:15903348:15904634:-1 gene:B456_003G070400 transcript:KJB18815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNFISFWRPSNVGHKENRAQPCGGNSSTSEVPKALIASDHELDEGDDSFFDLQLPVHEFSDKGNVDGNCREENGVNGDKRFDSKEEQPRSFSPNDNFSKRKIIPIEPSSKPQSPIALLKSAPKFRVFTLRKSKSMANSSSTLEDKAELIGISMETPKHEKQGSNQHLKANIKTDKSPNFPIFTMESSSRKIKGKIEDDSAKRLLKDLMQKYLNKIKPLSKRNSLIDKIRVSGELPMSSPATVFSIEEKQGNLRGVCKQLGKSRSTSSATSPISRRDDSLLLQHDGIESSILHCKKSLNSSRESSRLSRCTSDSSQEKLSNASSTDSSLLSRVTSNSSYEKLMDSARISSEEGNVFST >KJB20946 pep chromosome:Graimondii2_0_v6:3:44488974:44489843:1 gene:B456_003G173600 transcript:KJB20946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSRTVKCLTIDLKGLLEDKATRTNTTLHFPKHFISQFLMANDVDMETQAFAKMKRLKLLQLDYVRLKGDFKDFPKRLRWLRWHGFCMQSFPVDFDINELVVLDMRNSKLKQVWKDTECLPNLKILNLNHSHSLLKTPSFSGLPSLEKLMLKDCINLVEVDQSIGELKMLTFLNLKDCTSLRKLPRTIGSLISLAELILSGCSRLDDVPRELHNMKSLKVLNLDDTSICQTRLGLHWLLPKRSKELGFLGVSTVLFGKVKP >KJB19377 pep chromosome:Graimondii2_0_v6:3:30562238:30565204:-1 gene:B456_003G098800 transcript:KJB19377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVFAVIFIVFNLLLLPYPSFSLPLCTDSRAPLTVSTKLEFCSYNGSSCCNSTDDSKLQKQFQAMNISDPSCASLLQSVLCARCDPFSAKLFTSDSVPRPVPLLCNSTVSTNSSQSNQATNDFCSQVWDTCQNVLILNSPFAPSLQGQAGAPVNSNFTKLTELWQSKTDFCDAFGGASTAGSICYDGEPVTLNETGTPSALHGLCLEKIGEGNYLDMVAHPDGSNRAFFSNQQGKIWLATIPEVGSRGTLELDESNPFVDLTDQVHFDTTFGMMGIAFHPNFAENGRFFASFNCDKGKSPGCSGRCSCNSDVNCDPSKLRLDNGDQPCQYQSVIAEYTANGTASQPSSAENARPSEVRRIFTMGLPFTSQHGGQILFGPTDGYLYFMMGDGGGGGDPYNFSQNKKSILGKIMRLDVDTIPTFGLRNPWRCSFDSERPSYFMCADVGENLYEEVDIISKGGNYGWRVYEGLYPFDPTSSPGGNTQVNSISPILPVMGYNHSEVNKKVGSASIIGGYFYRSNTDPCMYGRYLYADLYAGAIWAATEDPENSGNFSTNTIPFGCARDSPLECSTVPASTLAALGYIYSFGQDNSKDIYLLTSSGVYRVAAPSQCNYTCSKENVTAVASPSPTTSPPSHANQLSPVILLSALLLLMAGLA >KJB19073 pep chromosome:Graimondii2_0_v6:3:21084297:21087659:-1 gene:B456_003G083900 transcript:KJB19073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFPSLFHARTRRPIQFSAAALVSGFPNLRLNETTGSGCILSPPLLKSFTYSELEQATMNFSSQNLIGEGGFGYVYMGFIDEQTLSAASPESGMAVAVKKLSPTGTQGHEQWLTELGNLGRLRHRNLVKLYGYCSQGEDRLLVYEYLSKGSLQDLLFKDCSPPLSWETRVGIAIDTARVLSFLHELGLILRDIKSANILLDSDFSAKLSDLGYAIEGPTGDQSYVLTRVFGTEGYTDPQYLATGMLNTKCDVYSFGVVLLELLSGRQAVCQTAAGIMEFLVDWAKPYLGDKRLLSRIMDTKLNGNYSKREAYGVAVIALQCVSEDKVRPSMAEVLSALRRLRLLSGSHASPSGVPSSSVGFKVDRPWLPLPPLDSVSSQRSISPLCSSDFSSPRHVPSSPSNGPRSSLSSQSDGPPFSLRELRRQLFSRQDRGSSGRTISPPLASTLSPSDLPLSFLGSPSNVSGLVSPSSDVPQVKSSKYNNKYPLKGALWNSC >KJB19072 pep chromosome:Graimondii2_0_v6:3:21085265:21087461:-1 gene:B456_003G083900 transcript:KJB19072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFPSLFHARTRRPIQFSAAALVSGFPNLRLNETTGSGCILSPPLLKSFTYSELEQATMNFSSQNLIGEGGFGYVYMGFIDEQTLSAASPESGMAVAVKKLSPTGTQGHEQWLTELGNLGRLRHRNLVKLYGYCSQGEDRLLVYEYLSKGSLQDLLFKDCSPPLSWETRVGIAIDTARVLSFLHELGLILRDIKSANILLDSDFSAKLSDLGYAIEGPTGDQSYVLTRVFGTEGYTDPQYLATGYYGCPLMQSLFTIVFGKALGHLIVRMSSSNVVQVC >KJB19869 pep chromosome:Graimondii2_0_v6:3:36727446:36728569:1 gene:B456_003G122300 transcript:KJB19869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEGLKNTKDWFWVEVARFNFIVKGGNTTAHAMAAEGLKSRKDQFWVEDAPLGVIDLAASDRRFIEPPRASVYLGFVLWIEDLIYLSKDNLKLPS >KJB20285 pep chromosome:Graimondii2_0_v6:3:40463350:40465353:-1 gene:B456_003G141600 transcript:KJB20285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAPYIHSLAHSPLFTHSLNEHFHSLKHNMTKDIETTAEQGGGAEFSAKDYQDPPPAPLIDLEELTKWSLYRAAIAEFIATLLFLYVTVLTVIGYKVQTDPVKNTVDPDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFVGRKVSLIRALLYMVAQCLGAICGCGLVKAFQKTYYNSYGGGANELQDGFNKGTGLGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNQEKAWDDQWIFWVGPFIGAFVAAFYHQYILRAAAIKALGSFRSNA >KJB17809 pep chromosome:Graimondii2_0_v6:3:1120467:1123551:-1 gene:B456_003G016300 transcript:KJB17809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVEVDNSSTVSGNASVSSTGNQSPPPKSTVKKKRNLPGMPDPDAEVIALSPTTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVKKKVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTVFSRRDSFITHRAFCDALAVESAKTQTNLSSEPDPKVQAADSLPPPASPPVSAPSAVTFSDMPIQSSVLAEVPSIIVEKAAAPAPAPAPAPALAPAPPPASLNGSCSTSVSLISSGCSSSSGVFSSLFTSSTVSASIRPPQPTAPAPPSAGLNGSCSGCISLVSSGASNSSSSGVFASLFASSTVSASIQPPQPPPLTNLIRPDLAPSASIEPISLCLSTSHGSSIFGTAGQEHRQHAPSLQPAMSATALLQKAAQMGAAASNASLLRGLGIVSSSAPQENLQWGQAQVDPDNASIAAGVGLGLPCDGSSGLKELMMGTPVFGPKQTTLDFLGLGVAAGGNPTAGLSALITSIGGGFDAATAAASFRGGDYTDKDIGRSS >KJB17353 pep chromosome:Graimondii2_0_v6:3:24078763:24080729:-1 gene:B456_003G088500 transcript:KJB17353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVIGSARSMTEKYAKAGAGESSCSYQYTETKVDSTMSLPIGWFLHERPVEEERPPSMAVSELNQLSHYSKVKYPLACYFIRMCYISSTMSNLSL >KJB21001 pep chromosome:Graimondii2_0_v6:3:44851988:44854564:1 gene:B456_003G177100 transcript:KJB21001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWGDLFGPKNDDFGPNLLPKKTCTIPMLGQVMSATRGATDAFSGVSKHVNGALTKIGAKSIEAGVGCGVGFGHGSSVGLSAKPGMVHQIQCCVIETMAKLMMKFRKAPGLPFIGGAFPVSFRSGWTTTNEPSRNPLGNMNQIVSKLADSTSQGLPGPGITSRGSTFGTRTEKVLSSFLQNPVFNEDETSQNELAARLPTENNMLQLVMKCQQIIEQLMEENRKLHQILTEDLNIRKLQTGYLSKTESPCSDCFFCRRKQRRNRR >KJB21059 pep chromosome:Graimondii2_0_v6:3:45243883:45244578:1 gene:B456_003G1809001 transcript:KJB21059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDGSGKLDLMKIATLIESTAKMGAIVLDLKAKLMDQIEWLPVSIGKLNSVTELDLSENRIMALPPSINGLQALTKLDLHSNQLINLPDGIGELVNLLELDLHANRLSSLPASFGNLKNLMNLDLSSNNFTHLPDTIGNLTSLKRLIVETNELEELPYTIGNCSSLSVLRLDFNRIKALPEAIGKLECLEILTAHYNRIKGLPTTMGNLSNLKSLMLASMRLNPSLRPFVLQ >KJB21058 pep chromosome:Graimondii2_0_v6:3:45243881:45245160:1 gene:B456_003G1809001 transcript:KJB21058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDGSGKLDLMKIATLIESTAKMGAIVLDLKAKLMDQIEWLPVSIGKLNSVTELDLSENRIMALPPSINGLQALTKLDLHSNQLINLPDGIGELVNLLELDLHANRLSSLPASFGNLKNLMNLDLSSNNFTHLPDTIGNLTSLKRLIVETNELEELPYTIGNCSSLSVLRLDFNRIKALPEAIGKLECLEILTAHYNRIKGLPTTMGNLSNLKSLILRKLKVGKNFADLRALPRSIGNLEMLEELDISDNQIRVLPDSFRFLSKLRVLHADETPLEVPSREVIKLGAKAVVQFMVDLVANRDTKSPSPKKKKSFYFGFRSIFRRSRTASTENM >KJB17869 pep chromosome:Graimondii2_0_v6:3:1527909:1530187:-1 gene:B456_003G020600 transcript:KJB17869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKPKRSPSFFKILVGDFVTKLKIPPAFLKYVLKGKVPTMFSLYSDSGNSWRVRVEVQQGSYFFNSGWSKFVKYHGLEIGDFLVFFLVDSSTFDVFIYNRTACAKNIILAAKKPKGRPPGGNRQIEQTPSQKCTSASKKPRAVSRARNVSQEVEFIKEETPKHVSFVLVVKKYLKYYVFIPRYFAKETGLGKESITIIKGPRGGMWPMNTTESGRQVRLGGGWSQFLHENDIVVGDTLLFQHIPNASNLVHVQILNKAGYRNHGRGGRRGRQNKQANASEKNTIIAIKQKRGPPCRQIEEPNSKITKGLSIGGERDITRKDEFESELTPKKASFVVVLKQYQKFYIPVPTSVAKEMGLTKEPSTVIKDSKGRKWRLNILVYARSVHLGAGWSKFMEENKLEVGDTLLFQHIPNTGNVIYFHIIRKARDGNHGKRKN >KJB20575 pep chromosome:Graimondii2_0_v6:3:42347542:42357393:1 gene:B456_003G154600 transcript:KJB20575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNSGGGGGGGADRGGGGGGERGGNDVELVCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSSGISWFLDLFNYYVNSDDHDLFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSTRDEGWAAFRNILAEINEASRLFLLPNQQQSSEPSERLVGLSDDVGAGFISGHNQPSSTSELNVDRSIELPPQDETGNMGVSKVIRADQKRFFFDLGSNSRGHFLRISEVAGSDRSSIILPLSGLKQFHEIVGHFVEITKDRIEGMMSANVRTVDPPQR >KJB20573 pep chromosome:Graimondii2_0_v6:3:42347475:42357427:1 gene:B456_003G154600 transcript:KJB20573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNSGGGGGGGADRGGGGGGERGGNDVELVCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSSGISWFLDLFNYYVNSDDHDLFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSTRDEGWAAFRNILAEINEASRLFLLPNQQSSEPSERLVGLSDDVGAGFISGHNQPSSTSELNVDRSIELPPQDETGNMGVSKVIRADQKRFFFDLGSNSRGHFLRISEVAGSDRSSIILPLSGLKQFHEIVGHFVEITKDRIEGMMSANVRTVDPPQR >KJB20574 pep chromosome:Graimondii2_0_v6:3:42347475:42357427:1 gene:B456_003G154600 transcript:KJB20574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNSGGGGGGGADRGGGGGGERGGNDVELVCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSSGISWFLDLFNYYVNSDDHDLFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSTRDEGWAAFRNILAEINEASRLFLLPNQQSSEPSERLVGLSDDVGAGFISGHNQPSSTSELNVDRSIELPPQDETGNMGVSKVIRADQKRFFFDLGSNSRGHFLRISEVAGSDRSSIILPLSGLKQFHEIVGHFVEITKDRIEGMMSANVRTVDPPQR >KJB20397 pep chromosome:Graimondii2_0_v6:3:41363107:41363831:1 gene:B456_003G146600 transcript:KJB20397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEIGGLEKVKRELQETVQYPVEHPEKFEKFDMSPSKGVLFYGKILFAKAIANECQANFIGVKGPELLTMWFGESEGNVREVFDKARQSAPRFYCYTGLLSAPSMTCILYAYVLGIFVPRLMTSCNWCREEEVLGMQVEQLIGPEILDLPLLRPGRLDQLIYIPLPDVIRPQCYLVEV >KJB18133 pep chromosome:Graimondii2_0_v6:3:3605603:3606015:1 gene:B456_003G035400 transcript:KJB18133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGLDEEDNLEEGGQATEKKRRLSMHQVKALEKNFDVGNKLEPERKVKLAEELGLQPRQVAIWFQNRRARWKTKVLEKDYAMLKANREKEPLWKSFS >KJB17729 pep chromosome:Graimondii2_0_v6:3:820961:823966:-1 gene:B456_003G012800 transcript:KJB17729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVEPPNGVKPRGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFENRVDALRTLRELKLLRHIHHENVIALKDVMMPIHRASFNDVYLVYELMDTDLHQIIKSPQPLSNDHCKYFIFQLLRGLKYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTSRGNEQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIINVLGSQQEADLAFIDNPKARRYIKSLPYSRGIHFSHLYPHADPLAIDLLQRMLVFDPSKRITVMEALLHPYMSGLYDPRRNPPAQVPINLDIDENMGEHMIREMVWIEMLHYHPEVLSANA >KJB17621 pep chromosome:Graimondii2_0_v6:3:503876:510302:-1 gene:B456_003G008400 transcript:KJB17621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKPFESSSSSESSPILLASSMDTTPLRKLLHGISEFVVSSSTCGKKAAATRGRRIVHRDVEREEFQYGGGGGSGGGGGGGHCLSSYYSVFVARLAIMVMLAILIGLLTVLTWHFTKVYTTKSLNTLAFGLRYELLQRPILRMWNILNSTSEITTAQVKLSEYVIRRYSKPTNQAEQVELYEMMKDITWALFASRKALNAITINYKNGFVQAFHRDHRSNNTFYIYSDLANYSISSTESYHNEMLSSRKGWNDQFIHGNVSAIWYRQPLDPVTGEKKGKPSQIPPDDLINIAGPSQVPDGVASWHVSVSKYTDSPLLSAALPVWDATNTSIMAVVGVTTALFSVGQLMKELVEVHSGYIYLTSQQGYLLATSTNAPLLKNTTKGPKLMMAVDSEDRVIRMGAQWLQEAYGNKFPPGHVVHVEKANLGGQHYYIDSFFLNLTRLPIVGVIIIPRKYIMGKVDERALKTLVILISASVCILVIGCVCILILTNGVSKEMKLRAELISHLDARRRAEASSNYKSQFLANMSHELRTPMAAVIGLLDILICDDCLTNEQYAMVTQIRKCSTALLRLLNNILDLSKVESGKLVLEEAEFDLGRELEGLVDMFSVQCINHNVETVLDLSDDIPKVVRGDSARVVQVFANLISNSIKFTTSGHIVLRGWCENPNVCSDSGKFSPDQKKPLSALKTKLKQHGNHTKKAGKKDNKMILWFEVDDTGCGIDRSKWESVFESFEQADPSTTRTHGGTGLGLCIVRTLVNKMGGEIKVVKKNGPGTLMRLFLLLSTPADGVEQQGRIDVSKHSVAVILALHGSIGRLTMSKWLSKNGVPTLEASEWNELTQILHELFHARTLDSGFDTHYSLAEPVRSKVQSLQDMKNPVYIIVVDLGLLDLSTNIWKEQLNFLDKFSGLVKFAWMLNHDTSNAIKMELRRKGHILMVNKPLYKAKMLHILEAVIKESYVEPQNRSPNGTKGTSKEGDSHECLEIDSTQFETCSSDDSDETAGVSSVSSVHIREESREGTILKSSPSTLRNCLVEFTRLGLDQCHTRSKLQNTEDIKPENPDSPEQPSVRSNAKDRITNEPKSLQGLRILLAEDTPVLQRVATIMLEKMGAVVIAVGDGVQAVEALNSVLDNDNEHRNDQVESEICDSPPYDLILMDCQMPMMDGYEATKAIRKSEERTGWHIPIVALTAHAMSSDKEKCLKVGMDAYLTKPIDYKLMVSTILSLTKQSSP >KJB17623 pep chromosome:Graimondii2_0_v6:3:503876:510302:-1 gene:B456_003G008400 transcript:KJB17623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKPFESSSSSESSPILLASSMDTTPLRKLLHGISEFVVSSSTCGKKAAATRGRRIVHRDVEREEFQYGGGGGSGGGGGGGHCLSSYYSVFVARLAIMVMLAILIGLLTVLTWHFTKVYTTKSLNTLAFGLRYELLQRPILRMWNILNSTSEITTAQVKLSEYVIRRYSKPTNQAEQVEQLYEMMKDITWALFASRKALNAITINYKNGFVQAFHRDHRSNNTFYIYSDLANYSISSTESYHNEMLSSRKGWNDQFIHGNVSAIWYRQPLDPVTGEKKGKPSQIPPDDLINIAGPSQVPDGVASWHVSVSKYTDSPLLSAALPVWDATNTSIMAVVGVTTALFSVGQLMKELVEVHSGYIYLTSQQGYLLATSTNAPLLKNTTKGPKLMMAVDSEDRVIRMGAQWLQEAYGNKFPPGHVVHVEKANLGGQHYYIDSFFLNLTRLPIVGVIIIPRKYIMGKVDERALKTLVILISASVCILVIGCVCILILTNGVSKEMKLRAELISHLDARRRAEASSNYKSQFLANMSHELRTPMAAVIGLLDILICDDCLTNEQYAMVTQIRKCSTALLRLLNNILDLSKVESGKLVLEEAEFDLGRELEGLVDMFSVQCINHNVETVLDLSDDIPKVVRGDSARVVQVFANLISNSIKFTTSGHIVLRGWCENPNVCSDSGKFSPDQKKPLSALKTKLKQHGNHTKKAGKKDNKMILWFEVDDTGCGIDRSKWESVFESFEQADPSTTRTHGGTGLGLCIVRTLVNKMGGEIKVVKKNGPGTLMRLFLLLSTPADGVEQQGRIDVSKHSVAVILALHGSIGRLTMSKWLSKNGVPTLEASEWNELTQILHELFHARTLDSGFDTHYSLAEPVRSKVQSLQDMKNPVYIIVVDLGLLDLSTNIWKEQLNFLDKFSGLVKFAWMLNHDTSNAIKMELRRKGHILMVNKPLYKAKMLHILEAVIKESYVEPQNRSPNGTKGTSKEGDSHECLEIDSTQFETCSSDDSDETAGVSSVSSVHIREESREGTILKSSPSTLRNCLVEFTRLGLDQCHTRSKLQNTEDIKPENPDSPEQPSVRSNAKDRITNEPKSLQGLRILLAEDTPVLQRVATIMLEKMGAVVIAVGDGVQAVEALNSVLDNDNEHRNDQVESEICDSPPYDLILMDCQMPMMDGYEATKAIRKSEERTGWHIPIVALTAHAMSSDKEKCLKVGMDAYLTKPIDYKLMVSTILSLTKQSSP >KJB17622 pep chromosome:Graimondii2_0_v6:3:503618:510333:-1 gene:B456_003G008400 transcript:KJB17622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKPFESSSSSESSPILLASSMDTTPLRKLLHGISEFVVSSSTCGKKAAATRGRRIVHRDVEREEFQYGGGGGSGGGGGGGHCLSSYYSVFVARLAIMVMLAILIGLLTVLTWHFTKVYTTKSLNTLAFGLRYELLQRPILRMWNILNSTSEITTAQVKLSEYVIRRYSKPTNQAEQLYEMMKDITWALFASRKALNAITINYKNGFVQAFHRDHRSNNTFYIYSDLANYSISSTESYHNEMLSSRKGWNDQFIHGNVSAIWYRQPLDPVTGEKKGKPSQIPPDDLINIAGPSQVPDGVASWHVSVSKYTDSPLLSAALPVWDATNTSIMAVVGVTTALFSVGQLMKELVEVHSGYIYLTSQQGYLLATSTNAPLLKNTTKGPKLMMAVDSEDRVIRMGAQWLQEAYGNKFPPGHVVHVEKANLGGQHYYIDSFFLNLTRLPIVGVIIIPRKYIMGKVDERALKTLVILISASVCILVIGCVCILILTNGVSKEMKLRAELISHLDARRRAEASSNYKSQFLANMSHELRTPMAAVIGLLDILICDDCLTNEQYAMVTQIRKCSTALLRLLNNILDLSKVESGKLVLEEAEFDLGRELEGLVDMFSVQCINHNVETVLDLSDDIPKVVRGDSARVVQVFANLISNSIKFTTSGHIVLRGWCENPNVCSDSGKFSPDQKKPLSALKTKLKQHGNHTKKAGKKDNKMILWFEVDDTGCGIDRSKWESVFESFEQADPSTTRTHGGTGLGLCIVRTLVNKMGGEIKVVKKNGPGTLMRLFLLLSTPADGVEQQGRIDVSKHSVAVILALHGSIGRLTMSKWLSKNGVPTLEASEWNELTQILHELFHARTLDSGFDTHYSLAEPVRSKVQSLQDMKNPVYIIVVDLGLLDLSTNIWKEQLNFLDKFSGLVKFAWMLNHDTSNAIKMELRRKGHILMVNKPLYKAKMLHILEAVIKESYVEPQNRSPNGTKGTSKEGDSHECLEIDSTQFETCSSDDSDETAGVSSVSSVHIREESREGTILKSSPSTLRNCLVEFTRLGLDQCHTRSKLQNTEDIKPENPDSPEQPSVRSNAKDRITNEPKSLQGLRILLAEDTPVLQRVATIMLEKMGAVVIAVGDGVQAVEALNSVLDNDNEHRNDQVESEICDSPPYDLILMDCQMPMMDGYEATKAIRKSEERTGWHIPIVALTAHAMSSDKEKCLKVGMDAYLTKPIDYKLMVSTILSLTKQSSP >KJB20560 pep chromosome:Graimondii2_0_v6:3:42318155:42320325:-1 gene:B456_003G154300 transcript:KJB20560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARYWCYQCSQVVTPIMEAEIKCSFCRGGFIEEMSNGTRDSAPDMDSHIQSDRALSLWAPILLGMLGNPRRRRRLRRIEFEEEDAENSDGEARHGGDTDLDRELESIIRNRRRNSASIVQLLQGVRERMASEGENSENDRDRDRDRDRDGVIFINPFNQTIIVQGSYDSNQGGQNRNSDRIGSIGDYFIGPGLDILLQHLAVAQNDPERYGTPPAQVEAIMALPTVKIEENLKCCVCMDDFEAGSEAREMPCKHKFHSGCILPWLEIHSSCPVCRYQIPAVGQKLNSERPGNNSNRRESESNVHGRGSGEEEGEGDGRSGRRFSFPWPFNGLFTSGSQSGRGNSSSSTASSSQSGNASQTNEN >KJB20559 pep chromosome:Graimondii2_0_v6:3:42318450:42319544:-1 gene:B456_003G154300 transcript:KJB20559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARYWCYQCSQVVTPIMEAEIKCSFCRGGFIEEMSNGTRDSAPDMDSHIQSDRALSLWAPILLGMLGNPRRRRRLRRIEFEEEDAENSDGEARHGGDTDLDRELESIIRNRRRNSASIVQLLQGVRERMASEGENSENDRDRDRDRDRDGVIFINPFNQTIIVQGSYDSNQGGQNRNSDRIGSIGDYFIGPGLDILLQHLAVAQNDPERYGTPPAQVEAIMALPTVKIEENLKCCVCMDDFEAGSEAREMPCKHKFHSGCILPWLEIHSSCPVCRYQIPAVGQKLNSERPGNNSNRRESESNVHGRGSGEEEGEGDGRSGRRFSFPWPFNGLFTSGSQSGRGNSSSSTASSSQSGNASQTNEN >KJB19754 pep chromosome:Graimondii2_0_v6:3:35728879:35730640:1 gene:B456_003G118100 transcript:KJB19754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVPLQLSGFLNGSTIEVEGDTGNLQFSFKFNILHNKYSPHNQTHSRFPSLNSMENNMSCRNSSSSSYQTPKRGQQIENQTLENENHGVELENNVESETSKRGSIMTRLRSGAISQVKYSCPRISGEKPSRTMKEKRKKKERADIVLDKLLQRRTCPVKPCNSYVFFVMASWDSVQSSSFGDASKRLSQKWCKLPRQHKKDIRLLKINEKNRSS >KJB19234 pep chromosome:Graimondii2_0_v6:3:26848452:26850304:1 gene:B456_003G090400 transcript:KJB19234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRMLGQECIDLKTKAISHIHDFFLNSINSDVLAIDLYDEIPTIDYSLLFSENHDERAKSLEHLGKACEEFGFFYLINGVEQSVVEGALKGISDFFELTNQEERSEYLKKNPMDRIRWGLRSHAGENREYLKIVAHPEYHCPSKPASFRGAIGEYFKRMHEVELGLAKAISKILGYEETYIEKEFKLEAGFDVSAMNLYPPSFQSKGSIGVPEHTDPGFFVSLIQDVNGGLQMLTHKGNWVTVNIPPNAIFINLGDHLEILSNGKYKSHIHQVILENNEVNRISMATLHGPSLDIFVAPASRFIDESHPPAYRGMTYKESLELNGSDEIDVQSSLSLLRIPFSL >KJB20141 pep chromosome:Graimondii2_0_v6:3:39260772:39261164:1 gene:B456_003G134800 transcript:KJB20141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NRKERILNTIRNSEELREGPIEQLEKAPAHLRKVEIEADQFRVNGYSEIEREKLNLINSTYKIFEQLENYKSETIYFEQQRAINQVRQWVFQQALQGALGTLNSTLNNELHLCTISANIGLFEVIKEITD >KJB20447 pep chromosome:Graimondii2_0_v6:3:41840868:41843798:-1 gene:B456_003G150900 transcript:KJB20447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTADIPPNQTIYIKNLNEKIKKEELKRSLYALFSQYGRILDVVALKTPKLRGQAWVVFDELTSASNAFRQMQNFPFYDKPMRIQYAKTKSDCIAKNDGSFVPREKKKKQEEKAERKRRAEEAQQSAKPNGTGFQNNGGPKAPLHHGNLNAEQAAPNNILFIQNLPHETTSMMLQLLFEQYPGFREVRMVEAKPGIAFVEYEDEEQSSTAMQNLQGFKIAPQYPMAITFAKK >KJB17764 pep chromosome:Graimondii2_0_v6:3:937434:938881:-1 gene:B456_003G014300 transcript:KJB17764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHRCSSSSQGNMVGGPCSCGGLFHSQNNSFSMMFSMAANQTCYDETDVMYPFASSSSSVDCTLSLGTPSTRLVEDDGYKRSSRRESRSGGGGGGSCMSNLCWDLVRNKNTTKAGRNNDNNNSSGNDPLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRASAANANASSIAEQQQYHGYNNSSWGSMQCYSSPVNEIKFIEDGDPFLSWRLNVTDRPTNLIHDFTR >KJB21041 pep chromosome:Graimondii2_0_v6:3:45168677:45172347:1 gene:B456_003G180000 transcript:KJB21041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVFGLSLPRVVYCSWRGNASRQRVMPGHTATHQGDVNCTRLSVEAGDKILAQLRNNTYHCWWSDWLLSGQHSEDFSQRNSCEPCPSNGECYEGNLECIYGYRRHGKLCIEDRDIDETAKKLSESVEAGLCEAYAQVLCYGTGTVWVRENDIWNDLDRHNLMQNVGSDHTTYVYMKRRAMETIAKLLETRTNLHGLQEFKCPDALAEHYKPLTCRFRELVSKHSLIIMSICAGLIGCAVLFLKVRQRMYISARAEELYNQVCEMLEENALRSKNVDGEGESWVVASWLRDHLLLPRERKDPQLWKKVEELVQDDSRVDRYPKLVKGESKVVWEWQVEGSLSSSGRRKKGEGIELKSNESINTNINQSSRTLKTEPKALIF >KJB21039 pep chromosome:Graimondii2_0_v6:3:45168123:45171792:1 gene:B456_003G180000 transcript:KJB21039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTPKERPKSKRNSYSKNSSLNSILEPPQSLFPSKGEFLRLITVLAIASAVALSCNYFLTFFTSTSKPFCDSNLDPIDSFSDSCEPCPSNGECYEGNLECIYGYRRHGKLCIEDRDIDETAKKLSESVEAGLCEAYAQVLCYGTGTVWVRENDIWNDLDRHNLMQNVGSDHTTYVYMKRRAMETIAKLLETRTNLHGLQEFKCPDALAEHYKPLTCRFRELVSKHSLIIMSICAGLIGCAVLFLKVRQRMYISARAEELYNQVCEMLEENALRSKNVDGEGESWVVASWLRDHLLLPRERKDPQLWKKVEELVQDDSRVDRYPKLVKGESKVVWEWQVEGSLSSSGRRKKGEGIELKSNESINTNINQSSRTLKTGALLAHIVHYASCSLFNLVSYLSNVVRVPWRPFY >KJB21040 pep chromosome:Graimondii2_0_v6:3:45168123:45172347:1 gene:B456_003G180000 transcript:KJB21040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTPKERPKSKRNSYSKNSSLNSILEPPQSLFPSKGEFLRLITVLAIASAVALSCNYFLTFFTSTSKPFCDSNLDPIDSFSDSCEPCPSNGECYEGNLECIYGYRRHGKLCIEDRDIDETAKKLSESVEAGLCEAYAQVLCYGTGTVWVRENDIWNDLDRHNLMQNVGSDHTTYVYMKRRAMETIAKLLETRTNLHGLQEFKCPDALAEHYKPLTCRFRELVSKHSLIIMSICAGLIGCAVLFLKVRQRMYISARAEELYNQVCEMLEENALRSKNVDGEGESWVVASWLRDHLLLPRERKDPQLWKKVEELVQDDSRVDRYPKLVKGESKVVWEWQVEGSLSSSGRRKKGEGIELKSNESINTNINQSSRTLKTEPKALIF >KJB17371 pep chromosome:Graimondii2_0_v6:3:2606764:2607758:-1 gene:B456_003G028900 transcript:KJB17371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLRPYDKEFMRMAMLKHEETFKEQVYELHRLYRIQKTLMKSIGTSRAAINGSFHHGNINSRTRFDLEHPADADADADDDDDAEFIDESEIELTLGPTKYMSRKKHGTTSDSGPNSFSSSSTESASHMNNNNSRPSNSSMAKSHRDEFIGRELRLLQVTDTTMGYQNRSKNNVVELEEQFRQERLKHPWFFQVASMNMT >KJB17370 pep chromosome:Graimondii2_0_v6:3:2606764:2608482:-1 gene:B456_003G028900 transcript:KJB17370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLRPYDKEFMRMAMLKHEETFKEQVYELHRLYRIQKTLMKSIGTSRAAINGSFHHGNINSRTRFDLEHPADADADADDDDDAEFIDESEIELTLGPTKYMSRKKHGTTSDSGPNSFSSSSTESASHMNNNNSRPSNSSMAKSHRDEFIGRELRLLQVTDTTMGYQNRSKNNVVELEEQFRQERLKHPWFFQVASMNMT >KJB17372 pep chromosome:Graimondii2_0_v6:3:2606962:2607444:-1 gene:B456_003G028900 transcript:KJB17372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIGTSRAAINGSFHHGNINSRTRFDLEHPADADADADDDDDAEFIDESEIELTLGPTKYMSRKKHGTTSDSGPNSFSSSSTESASHMNNNNSRPSNSSMAKSHRDEFIGRELRLLQVTDTTMGYQNRSKNNVVELEEQFRQERLKHPWFFQVASMNMT >KJB17373 pep chromosome:Graimondii2_0_v6:3:2606764:2608571:-1 gene:B456_003G028900 transcript:KJB17373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLRPYDKEFMRMAMLKHEETFKEQVYELHRLYRIQKTLMKSIGTSRAAINGSFHHGNINSRTRFDLEHPADADADADDDDDAEFIDESEIELTLGPTKYMSRKKHGTTSDSGPNSFSSSSTESASHMNNNNSRPSNSSMAKSHRDEFIGRELRLLQVTDTTMGYQNRSKNNVVELEEQFRQERLKHPWFFQVASMNMT >KJB20662 pep chromosome:Graimondii2_0_v6:3:42778281:42783113:-1 gene:B456_003G158300 transcript:KJB20662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFTLLSPPTLLSPHYNQSSLKTRHPHHHRRHLLGEPFRRLPAIGVEKFQQIHCQAARDSKVQEEDFRKNESDLEESNAASFYRYPSKNMAVIGITGTNGKTTTSYLIKGMYEAMGLRIGLLGTVAYYVYGDNKLESSYTTPDAVLVQNLMAKMLHNGSEALVMEVSSQGLAVRRCNMVDFNVAVFTNLTRDHLDFHVTEEEYRKAKAKLFQMMVDPERHRKVVNIDDPNAHFFIAQGNPDVPLVTFAMDNKNADVHPLEFELSLFETQVLVNTPRGVLKISSGLLGRHNIYNILAAVAVGIAVGADSLEDIGRGIEKVDAVPGRCEVIDEGQAFGVIVDYAHTPDAVYRLLDFVRELAPKRIITVLGCGGDRDQWKRPTMAKISTDKSEVTILTSDNPRSEDPLDILDDMLAGVGRTMQDYLKYEENDFYPPLPNGHQLFVHSTRRVAIRSAIAMGKEGDMIVIAGKGHETYLIQGDKKEFFDDREECRDALKYVNVLRQAG >KJB20664 pep chromosome:Graimondii2_0_v6:3:42778327:42783104:-1 gene:B456_003G158300 transcript:KJB20664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFTLLSPPTLLSPHYNQSSLKTRHPHHHRRHLLGEPFRRLPAIGVEKFQQIHCQAARDSKVQEEDFRKNESDLEESNGKINEVRIVEPKFKMSLAELLDDSKVVPLSIYGDLGVQITGIQHDSTVVSAGDLFVCCVGSRTDGHLYINEVDKRGAVAVIASKEINIGYTLRCKALVIVEDTNLVLPLLAASFYRYPSKNMAVIGITGTNGKTTTSYLIKGMYEAMGLRIGLLGTVAYYVYGDNKLESSYTTPDAVLVQNLMAKMLHNGSEALVMEVSSQGLAVRRCNMVDFNVAVFTNLTRDHLDFHVTEEEYRKAKAKLFQMMVDPERHRKVVNIDDPNAHFFIAQGNPDVPLVTFAMDNKNADVHPLEFELSLFETQVLVNTPRGVLKISSGLLGRHNIYNILAAVAVGIAVGADSLEDIGRGIEKVDAVPGRCEVIDEGQAFGVIVDYAHTPDAVYRLLDFVRELAPKRIITGRLLTFVFSF >KJB20663 pep chromosome:Graimondii2_0_v6:3:42778283:42783113:-1 gene:B456_003G158300 transcript:KJB20663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFTLLSPPTLLSPHYNQSSLKTRHPHHHRRHLLGEPFRRLPAIGVEKFQQIHCQAARDSKVQEEDFRKNESDLEESNGKINEVRIVEPKFKMSLAELLDDSKVVPLSIYGDLGVQITGIQHDSTVVSAGDLFVCCVGSRTDGHLYINEVDKRGAVAVIASKEINIGYTLRCKALVIVEDTNLVLPLLAASFYRYPSKNMAVIGITGTNGKTTTSYLIKGMYEAMGLRIGLLGTVAYYVYGDNKLESSYTTPDAVLVQNLMAKMLHNGSEALVMEVSSQGLAVRRCNMVDFNVAVFTNLTRDHLDFHVTEEEYRKAKAKLFQMMVDPERHRKVVNIDDPNAHFFIAQGNPDVPLVTFAMDNKNADVHPLEFELSLFETQVLVNTPRGVLKISSGLLGRHNIYNILAAVAVGIAVGADSLEDIGRGIEKVDAVPGRCEVIDEGQAFGVIVDYAHTPDAVYRLLDFVRELAPKRIITVLGCGGDRDQWKRPTMAKISTDKSEVTILTSDNPRSEDPLDILDDMLAGVGRTMQDYLKYEENDFYPPLPNGHQLFVHSTRRVAIRSAIAMGKEGDMIVIAGKGHETYLIQGDKKEFFDDREECRDALKYVNVLRQAG >KJB20940 pep chromosome:Graimondii2_0_v6:3:44459489:44464105:-1 gene:B456_003G173300 transcript:KJB20940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRIVDNASSSLSIENAFQELDSFLNQSTNPVRNIECADEICKDLPHLISLVVKALQGEAIVDQTEELISEAKVFSIVAKIVNKVLASFLVMLQDFKNQKLTNPVSIQDLVQMEDEHLIFHFPLTPDCTSGAKIHINLQGYHEVDSLEKGLNVDPVLLSLQFFDVEDFLLSDDKIYNDLTEGSGDVRANQDDMKLSTVQGHGDVQRIIMNSLAEVYHKIESNPEILELKVITTMEAMVQDIMNKLMQAREMEYQAEEKRFEKRKANVRKDKLKLEKDEADLKEKKLKLDQDEADLKEKKLKLDQDEAELKENQLKLARLQKKPRVE >KJB19061 pep chromosome:Graimondii2_0_v6:3:20633780:20636758:1 gene:B456_003G083100 transcript:KJB19061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTSSSSHCPSPMKATSNGVFQGDNPLDFALPLAILQICLVVALTRILAFLLRPLRQPRVIAEIVGGILLGPSVLGRNEKYLNAIFPAKSHTVLDTLANLGLLFFLFLVGLELDPKSIRRTGKKALSIAVAGISLPFMIGIGTSYALRATISKGVDEAPFLVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWILLALAIALSGTGNSPLVSLWVFLCGTGFVVGCTFIVPPIFKWMVQRCPEGEPVNELYVCATLTAVLAAGFVTDLIGIHALFGAFVIGVLVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNIATIQGAQSWGLLVLVIITACFGKIAGTVSVSLLCKVPFSEALALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMAIFTTFITTPLVMAVYKPAKRQGKGDYKHKTIERKESNFQLRILACFHSYRNIPTMINLIEASRGTEKKEGLCVYALHLMELSERPSAMLMVHKARKNGLPFWNKVKQSGGDQIVVAFETFRQLSRVVVRPMTAISSISSLHEDICESAERKRAAMIILPFHKHQRVDGTFETTRTDYQLVNKRVLEQAPCSVGILVDRGLGGTTHISASNVDSIITVLFFGGHDDREALAYGTRMAEHPGVSLTVVRFVPGPEVSGEIVTADINTSDDRVLMEFKNKASNENSISYEERVVRNSRETIEAIREFSRCNLFVVGQMPENHVTATAKLNAAKTECLELGAIGSLLTSPEFSTSASVLVVQQYRTTKKSPPPSLSSTKVAEIPEGDVESP >KJB17460 pep chromosome:Graimondii2_0_v6:3:80706:86351:1 gene:B456_003G001300 transcript:KJB17460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPVTVEEFVKHMPHVSEEGAITVGSKRTTVYVVDAMTGKLLHVYRSPDSPSALEPDKEGTPLSDNGNGNKELLKSAASSTAQRRFHITRTDYTLQSFHPNSDKVSWSLMVSEIGAALLCQDVKFTVNSSYEFPEIGNDFGLPFPCQSKGIVIREHNTTEYTSTSHHDDPMLPLSSSNAPTSLAKLDSTSDDRHNRKMLLVAAPESKLRLPDKVDRLLNLSQDNDNETSVPQPPLENSDSRMFGVHDLRTPRADGKAIFAKYPVVFSFIFFIILVGFVINHVLLAKRLSALKDQPVANINVGSSNRKKSRRSRKINGSIEKKDQHSSSGSEDEFSPVGADNKKLLDLNKLVGSVDGRRIGKLIVLSKEIAKGSNGTIVLEGFYEGRAVAVKRLVQAHHDVAFKEIQNLIVSDQHPNIVRWYGVEYDQDFVYLALERCTCSLDDLIQIYSDTPGNSVLSKDPATRAMVGHKIHLDLVKGAMQDLNLWKANCHPSPLFLKLMRDMVSGLAHLHDLGIIHRDIKPQNVLIIKEKTVCAKLSDMGISKRLLEDRSSLGHYATGCGSSGWQAPEQLLLGRQTRAIDLFSLGCVLFFCITRGKHPFGNHLERDINVVNNRVNLFLVEHIPEAVDLISCLLNPEPELRPSALEVLRHPLFWSCEMKLSFLQETSDRVQLEDRKVDSDILKALESVAPTALGGKWNEKMEHAFIANIGYYRRYKYDSVRDLLRVMRNKSHHYRELPIEIQELVGSVPEGFYGYFASRFPRLFIEVYKVVSRRCREEECFQKYF >KJB17461 pep chromosome:Graimondii2_0_v6:3:80706:86351:1 gene:B456_003G001300 transcript:KJB17461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLFFCFLILLPSGFSTPIAIHVPDAQSTGILRAGGRSLLSLSEQETELAARTDGTIVLRTINSKRVIWSFASGSPIYSSYQAPPTLDNGNEAASRPITAFFIDCGDDWELYAHATHSNKMKLPVTVEEFVKHMPHVSEEGAITVGSKRTTVYVVDAMTGKLLHVYRSPDSPSALEPDKEGTPLSDNGNGNKELLKSAASSTAQRRFHITRTDYTLQSFHPNSDKVSWSLMVSEIGAALLCQDVKFTVNSSYEFPEIGNDFGLPFPCQSKGIVIREHNTTEYTSTSHHDDPMLPLSSSNAPTSLAKLDSTSDDRHNRKMLLVAAPESKLRLPDKVDRLLNLSQDNDNETSVPQPPLENSDSRMFGVHDLRTPRADGKAIFAKYPVVFSFIFFIILVGFVINHVLLAKRLSALKDQPVANINVGSSNRKKSRRSRKINGSIEKKDQHSSSGSEDEFSPVGADNKKLLDLNKLVGSVDGRRIGKLIVLSKEIAKGSNGTIVLEGFYEGRAVAVKRLVQAHHDVAFKEIQNLIVSDQHPNIVRWYGVEYDQDFVYLALERCTCSLDDLIQIYSDTPGNSVLSKDPATRAMVGHKIHLDLVKGAMQDLNLWKANCHPSPLFLKLMRDMVSGLAHLHDLGIIHRDIKPQNVLIIKEKTVCAKLSDMGISKRLLEDRSSLGHYATGCGSSGWQAPEQLLLGRQTRAIDLFSLGCVLFFCITRGKHPFGNHLERDINVVNNRVNLFLVEHIPEAVDLISCLLNPEPELRPSALEVLRHPLFWSCEMKLSFLQETSDRVQLEDRKVDSDILKALESVAPTALGGKWNEKMEHAFIANIGYYRRYKYDSVRDLLRVMRNKSHHYRELPIEIQELVGSVPEGFYGYFASRFPRLFIEVYKVVSRRCREEECFQKYF >KJB20356 pep chromosome:Graimondii2_0_v6:3:41084992:41087765:-1 gene:B456_003G144500 transcript:KJB20356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADESSQKSHAIFIPYPLQGHVIPSVNLAIKLASKGFTITFINTQAVHHQTAKAHPDIGSDIFAKVRESGLDIRYATVSDGLPVEFDRSLNHDQFMASLLHEFSAHVDEVVGRIVGSGEKVHCLIADTFFVWSSKIAKKFGLLYVSYWTEPALVFTLYYHLDLLRENGHFGQQECREDTIKYIPGVEAVEPRDMMSYLQDTDTTSVCHQIIFNAFQDAKNADFVLCNTVQDLELGTISALHAKVPFYAIGPIFPPGFTKSNVATSLWSESDCSQWLDKKPHGSVLYASFGSYAHVKKSELIEIAKGLALSKVSFLWVLRPDIVSSDDTDPLPVGFKEEVKDRSMIIPWCCQNAVLAHPATGGFLTHCGWNSVLESIWCGVPLLCFPLLTDQFTNRKLVVDDWKMGINLSNKRPVTKEEVSDNVNCLMSWKSGDEYRVKVKEVRKSLENALAPNGSSGTNLDLFIKQLKAKCQIKPISQ >KJB19157 pep chromosome:Graimondii2_0_v6:3:22880566:22893924:-1 gene:B456_003G086700 transcript:KJB19157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPRYLYPHLPLRLMASRSHFFVHLPSFHSLASYPRYSIFFHPRTNFALKSICWFPVQNVLTPRAFMSSNITTEAFHGRKSSKAYNSEQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGFATQIDVVLHSNGSVSITDNGRGIPTDFHPVTKKSALETVLTVLHAGGKFGGLSSGYSISGGLHGVGLSVVNALSEALEVTVWRDGMEYRQKYSRGKPVMALTCHGLSTESKDRQGTCIWFWPDNKIFTTAIEFDYNTLAGRIRELAFLNPKLTITLKKEDNDPEKSLYNEYFFAGGLFEYVKWLNADKKPLHDVLGFSRQIDGTIIDVALQWCSDAYSDTMLGYANSIRTIDGGTHIEGVKASLTRVLNNLGKKSKIIKDKDISLSGEHVREGLTCIISVKVSNPEFEGQTKTRLGNPEVRKVVDQSVQEFLTEYLELHPDVLDSILSKSLNAFKAALAAKRARELVRQKSVLKSSSLPGKLADCSSTNPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERRDEAAMYKNEEIQNLIIALGLGVKGEDFKIESLRYHKIIILTDADVDGAHIRTLLLTFFFRYQRALFDEGCIYVGVPPLYKVERGKQVHYCYHDADLQKVLSLFPQNASYNIQRFKAQLWETTMDPEQRLLKQLVVEDAAEANRVFSSLMGAQVDARKELIQNSASKINLDKLDI >KJB19158 pep chromosome:Graimondii2_0_v6:3:22883861:22893996:-1 gene:B456_003G086700 transcript:KJB19158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPRYLYPHLPLRLMASRSHFFVHLPSFHSLASYPRYSIFFHPRTNFALKSICWFPVQNVLTPRAFMSSNITTEAFHGRKSSKAYNSEQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGFATQIDVVLHSNGSVSITDNGRGIPTDFHPVTKKSALETVLTVLHAGGKFGGLSSGYSISGGLHGVGLSVVNALSEALEVTVWRDGMEYRQKYSRGKPVMALTCHGLSTESKDRQGTCIWFWPDNKIFTTAIEFDYNTLAGRIRELAFLNPKLTITLKKEDNDPEKSLYNEYFFAGGLFEYVKWLNADKKPLHDVLGFSRQIDGTIIDVALQWCSDAYSDTMLGYANSIRTIDGGTHIEGVKASLTRVLNNLGKKSKIIKDKDISLSGEHVREGLTCIISVKVSNPEFEGQTKTRLGNPEVRKVVDQSVQEFLTEYLELHPDVLDSILSKSLNAFKAALAAKRARELVRQKSVLKSSSLPGKLADCSSTNPEESEIFIVEGDSAGGSAKQGRDRRFQCSKRK >KJB19156 pep chromosome:Graimondii2_0_v6:3:22880562:22893996:-1 gene:B456_003G086700 transcript:KJB19156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPRYLYPHLPLRLMASRSHFFVHLPSFHSLASYPRYSIFFHPRTNFALKSICWFPVQNVLTPRAFMSSNITTEAFHGRKSSKAYNSEQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGFATQIDVVLHSNGSVSITDNGRGIPTDFHPVTKKSALETVLTVLHAGGKFGGLSSGYSISGGLHGVGLSVVNALSEALEVTVWRDGMEYRQKYSRGKPVMALTCHGLSTESKDRQGTCIWFWPDNKIFTTAIEFDYNTLAGRIRELAFLNPKLTITLKKEDNDPEKSLYNEYFFAGGLFEYVKWLNADKKPLHDVLGFSRQIDGTIIDVALQWCSDAYSDTMLGYANSIRTIDGGTHIEGVKASLTRVLNNLGKKSKIIKDKDISLSGEHVREGLTCIISVKVSNPEFEGQTKTRLGNPEVRKVVDQSVQEFLTEYLELHPDVLDSILSKSLNAFKAALAAKRARELVRQKSVLKSSSLPGKLADCSSTNPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERRDEAAMYKNEEIQNLIIALGLGVKGEDFKIESLRYHKIIILTDADVDGAHIRTLLLTFFFRYQRALFDEGCIYVGVPPLYKVERGKQVHYCYHDADLQKVLSLFPQNASYNIQRFKGLGEMMPAQLWETTMDPEQRLLKQLVVEDAAEANRVFSSLMGAQVDARKELIQNSASKINLDKLDI >KJB19481 pep chromosome:Graimondii2_0_v6:3:32374757:32377764:-1 gene:B456_003G105200 transcript:KJB19481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHAPERONE-LIKE PROTEIN OF POR1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23040) UniProtKB/Swiss-Prot;Acc:Q9FN50] MSSSSLSNPTLSTPFIGHNLRLNQNTKDGINCFPSLRRHGVPRCAVDMPYGGNAPTFPRIRVWDPYKRLGISPYASEEEIWSARNFLLEQYAGHERSEESIEAAFEKLLMASFQHRKKTKINLKSRLKKKVEESPPWIKNLLNFVELPPMEVIFRRLFLFAFMGGWSIMNSSEGGPAFQVAVSLAACIYFLNEKTKSLGRAFVIGLGALATGWICGSIFVPMIPTVLIHPTWTLELLTSLVAYAFLFLACTFLK >KJB19482 pep chromosome:Graimondii2_0_v6:3:32375884:32377701:-1 gene:B456_003G105200 transcript:KJB19482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHAPERONE-LIKE PROTEIN OF POR1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23040) UniProtKB/Swiss-Prot;Acc:Q9FN50] MSSSSLSNPTLSTPFIGHNLRLNQNTKDGINCFPSLRRHGVPRCAVDMPYGGNAPTFPRIRVWDPYKRLGISPYASEEEIWSARNFLLEQYAGHERSEESIEAAFEKLLMASFQHRKKTKINLKSRLKKKVEESPPWIKNLLNFVELPPMEVIFRRLFLFAFMGGWSIMNSSEGGPAFQVRSNFFSLPSP >KJB17574 pep chromosome:Graimondii2_0_v6:3:633589:634893:1 gene:B456_003G010100 transcript:KJB17574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMDSTILQSLASKSPPSTTPLFLQPPSSPPSQPPCTHLFFPRHRPRLPKLDCTNSSTDNSSTTSGNDTPPPPPPPPGEPIQIRFKRGSRRRRQLQEDGFGVQGQNMKTNKAAIEQPVPKKWEEKSMTEKALDLYVGEKGLLFWLNKFAYASIFIVIGAWILFRFVGPALNLYQLDSPPLAPTSMFKGS >KJB18831 pep chromosome:Graimondii2_0_v6:3:16506882:16510848:-1 gene:B456_003G071200 transcript:KJB18831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSKLLKLDRLDRFDMFISLAKQRSVQILFIVGFLYLVLVTVEIPFVFRTRLNTLSQEPLTRVPRLASEVEFQYKEAPSRPLNWVSENAPTPIQFQHQKQLKPQSTILSRLSFDAESFDPTAKDGSLELHKSAKVAWEVGRKLWEELESGDVKIGSINKPKKPKNESELCRPSVSLSESEFLAHGKVMVLPCGLTLGSHITVVGKPRAAHAETNPRIALLKSGEDSVMVSQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSRKPVIEQNTCYRMQWGTAMRCEGWKSKADDETVDGQVKCEKWIRDDNDHSVESNATWWLKRLIGRTKKVTVDWPFPFTEGKLFVLTLSAGLEGYHVSVDGRHITSFPYRTGYTLEDATGLMLNGDVDVHSIFAASLPTSHPSFAPQRHLEMSNRWKAPPLPEQPVDLFIGVLSAGNHFAERMAVRKSWMQHKLVKSSHVVARFFVAMHARKEVNVELKREAEFFADIVIVPYMDNYDLVVLKTVAICEYGGRVAAAKYIMKSDDDTFVRVDAVINEAKKVHPDRSFYIGNINYYHRPLRAGKWAVTYEEWAEEVYPPYANGPGYIMSSDIAHFIVSEFEKHNLSLFKMEDVSMGMWVEKFNSSKAVDYIHSLKFCQFGCIEGYYTAHYQSPRQMMCMWDKLQKQTRPQCCNMR >KJB17422 pep chromosome:Graimondii2_0_v6:3:21129:22706:-1 gene:B456_003G000400 transcript:KJB17422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLASSEIDFSLLGFSVFRFKEPLFCSVEIMYLCGFFVLFLSCTICLLFLNCLFRSARIVSILLYCYYFLLRKLLLVFLFVSPLLS >KJB19286 pep chromosome:Graimondii2_0_v6:3:28884020:28885540:-1 gene:B456_003G093300 transcript:KJB19286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLEMAEEPVYVNAKQYHGILRRRQSRAKAELEKKLIKVRKPYLHESRHLHAMRRARGCGGRFLNTKKLGTDASNAVPNRGSDSASSNLSSVGHGESKESQLQGTNNQQQALSNANGCYPHHERFLFSTSGSLSDKMMEGDCPRQQQRERIMANQVPHMALTIK >KJB19885 pep chromosome:Graimondii2_0_v6:3:36831984:36833332:1 gene:B456_003G123200 transcript:KJB19885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVELIFVPTPGIGHLVSTVEFAKLLIDHNDRIWVIILSMKWFPDAALDAYTKSLTALPPDGIQLIDLPRVDPPSKAPWKSAESIIDSFIKCYIPPVRNAVRDIVSMKCSSDETRVAGLVLDLFCSPMVDIATDFDLPSYIFITSNAAFLGLISEFQSSDPEHVIPGFVSPVPTYVLPSAVFSKDGGYAAYVKVAERFKDAKGIIINTFEEVEHYALNCFSNGQNPPVYPVGPVINLNGLSYSDSDDKVMKWLDDQPQSSVVFLCFGSMGSFKAPQVKETALGLEQSGFRFLCSLRVQPPQNDASNMLPEGFLERVQGRGMICSFISHCGWNSILESLWFGLPIVTWPLYAEQQLNAYKMVKELGLAVEMRLNYRKDSDEVVMADEIEKAVGMVMDGGSEMRKKVKEMGEIARKSVMEGGTSFNSIGKLIENMIGNN >KJB17943 pep chromosome:Graimondii2_0_v6:3:2297541:2300476:-1 gene:B456_003G025900 transcript:KJB17943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPDRSRGEESEGCSSPVDGEEGKGKRVGSVSNSSSSAVVIEDGSEEEDAAALKKRNSNMIFGFSVPVESGGPAPVTRQFFPLDQDPEMRAVPTGTAQGFPMAHWVGVKFRQSEPLAVAKSVEATNPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSIEEYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYDNELHNGETSSCNGRDHNLDLSLGNSTSKQNNLEFGGVDKQNAMVDQHSWGYRSKVCFNFILRIKIINVKV >KJB17944 pep chromosome:Graimondii2_0_v6:3:2298059:2300127:-1 gene:B456_003G025900 transcript:KJB17944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPDRSRGEESEGCSSPVDGEEGKGKRVGSVSNSSSSAVVIEDGSEEEDAAALKKRNSNMIFGFSVPVESGGPAPVTRQFFPLDQDPEMRAVPTGTAQGFPMAHWVGVKFRQSEPLAVAKSVEATNPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSIEEYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYDNELHNGETSSCNGRDHNLDLSLGNSTSKQNNLEFGGVDKQNAMVDQHSWGYRSKQNLQQGPWGNDDTMQLLNQTQIQYSQASIKSIGQRNRPTDNHTFNIHPPHFNPYRVRIR >KJB17942 pep chromosome:Graimondii2_0_v6:3:2298277:2300127:-1 gene:B456_003G025900 transcript:KJB17942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPDRSRGEESEGCSSPVDGEEGKGKRVGSVSNSSSSAVVIEDGSEEEDAAALKKRNSNMIFGFSVPVESGGPAPVTRQFFPLDQDPEMRAVPTGTAQGFPMAHWVGVKFRQSEPLAVAKSVEATNPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSIEEYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYDNELHNGETSSCNGRDHNLDLSLGNSTSKQNNLEFGGVDKQNAMVDQHSWGYRSKVCFNFILRIKIINVKV >KJB17941 pep chromosome:Graimondii2_0_v6:3:2297541:2300476:-1 gene:B456_003G025900 transcript:KJB17941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPDRSRGEESEGCSSPVDGEEGKGKRVGSVSNSSSSAVVIEDGSEEEDAAALKKRNSNMIFGFSVPVESGGPAPVTRQFFPLDQDPEMRAVPTGTAQGFPMAHWVGVKFRQSEPLAVAKSVEATNPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSIEEYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYDNELHNGETSSCNGRDHNLDLSLGNSTSKQNNLEFGGVDKQNAMVDQHSWGYRSKQNLQQGPWGNDDTMQLLNQTQIQYSQASIKSIGQRNRPTDNHTFNIHPPHFNPYRIHYPSNNNNGVQIGSDLSLSTNDHHHQQWQSTGSHQLCLQMVQHHQDSHGRLDLPKLRKMGSTHS >KJB17940 pep chromosome:Graimondii2_0_v6:3:2297469:2300476:-1 gene:B456_003G025900 transcript:KJB17940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPDRSRGEESEGCSSPVDGEEGKGKRVGSVSNSSSSAVVIEDGSEEEDAAALKKRNSNMIFGFSVPVESGGPAPVTRQFFPLDQDPEMRAVPTGTAQGFPMAHWVGVKFRQSEPLAVAKSVEATNPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSIEEYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYDNELHNGETSSCNGRDHNLDLSLGNSTSKQNNLEFGGVDKQNAMVDQHSWGYRSKQGPWGNDDTMQLLNQTQIQYSQASIKSIGQRNRPTDNHTFNIHPPHFNPYRIHYPSNNNNGVQIGSDLSLSTNDHHHQQWQSTGSHQLCLQMVQHHQDSHGRLDLPKLRKMGSTHS >KJB20983 pep chromosome:Graimondii2_0_v6:3:44764344:44771220:-1 gene:B456_003G176300 transcript:KJB20983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRQNSCLHLSAEETDTTVENLLVYCKPVELYNILRCRALHNPSYLRRCLKYKIQARRKRRLRAGIVIFNYKDYGNMLQKTEVTEDFSCPFCLMQCASFKGLRYHLCSSHDLFNFDFWVTEEYQAVNVSVKIDSLVSETLANGVDPRVETFCFCSKSRRRRSTSPLQCVKNVSVQFLEMDSPKIVSENRQNGSLENDGERASKSIPLEKDLQNGYNGLENYGSDYASATECLARVANSCDGPGVSIAMAHSPLDPDCIKTVSGTDIAAPPAKTRKIAVDRSEPRNRLLLLRRQFFHSHRVQPMEMDQVMSDRDSEDEVDDDIADLEDRRMLDDFVDVSKDEKQLMHLWNSFVRKQRVLADGHVSWACEAFSKLHGLDLVKSPALFWCWRLFMIKLWNHGLLDAGAMNNCSMILQRCQNEGSDAMKS >KJB20986 pep chromosome:Graimondii2_0_v6:3:44764365:44771083:-1 gene:B456_003G176300 transcript:KJB20986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRQNSCLHLSAEETDTTVENLLVYCKPVELYNILRCRALHNPSYLRRCLKYKIQARRKRRLRAGIVIFNYKDYGNMLQKTEVTEDFSCPFCLMQCASFKGLRYHLCSSHDLFNFDFWVTEEYQAVNVSVKIDSLVSETLANGVDPRVETFCFCSKSRRRRSTSPLQCVKNVSVQFLEMDSPKIVSENRQNGSLENDGERASKSIPLEKDLQNGYNGLENYGSDYASATECLARVANSCDGPGVSIAMAHSPLDPDCIKTVSGTDIAAPPAKTRKIAVDRSEPRNRLLLLRRQFFHSHRVQMDQVMSDRDSEDEVDDDIADLEDRRMLDDFVDVSKDEKQLMHLWNSFVRKQRVLADGHVSWACEAFSKLHGLDLVKSPALFWCWRLFMIKLWNHGLLDAGAMNNCSMILQRCQNEGSDAMKS >KJB20985 pep chromosome:Graimondii2_0_v6:3:44765175:44770065:-1 gene:B456_003G176300 transcript:KJB20985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRQNSCLHLSAEETDTTVENLLVYCKPVELYNILRCRALHNPSYLRRCLKYKIQARRKRRLRAGIVIFNYKDYGNMLQKTEVTEDFSCPFCLMQCASFKGLRYHLCSSHDLFNFDFWVTEEYQAVNVSVKIDSLVSETLANGVDPRVETFCFCSKSRRRRSTSPLQCVKNVSVQFLEMDSPKIVSENRQNGSLENDGERASKSIPLEKDLQNGYNGLENYGSDYASATECLARVANSCDGPGVSIAMAHSPLDPDCIKTVSGTDIAAPPAKTRKIAVDRSEPRNRLLLLRRQFFHSHRVQPMEMDQVMSDRDSEDEVDDDIADLEDRRMLDDFVDVSKDEKQLMHLWNSFVRKQRVLADGHVSWACEAFSKLHGLDLVKSPALFW >KJB20984 pep chromosome:Graimondii2_0_v6:3:44764365:44767744:-1 gene:B456_003G176300 transcript:KJB20984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSKSRRRRSTSPLQCVKNVSVQFLEMDSPKIVSENRQNGSLENDGERASKSIPLEKDLQNGYNGLENYGSDYASATECLARVANSCDGPGVSIAMAHSPLDPDCIKTVSGTDIAAPPAKTRKIAVDRSEPRNRLLLLRRQFFHSHRVQPMEMDQVMSDRDSEDEVDDDIADLEDRRMLDDFVDVSKDEKQLMHLWNSFVRKQRVLADGHVSWACEAFSKLHGLDLVKSPALFWCWRLFMIKLWNHGLLDAGAMNNCSMILQRCQNEGSDAMKS >KJB17999 pep chromosome:Graimondii2_0_v6:3:2573131:2574476:-1 gene:B456_003G028500 transcript:KJB17999 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAI2 [Source:Projected from Arabidopsis thaliana (AT4G37470) UniProtKB/TrEMBL;Acc:A0A178V1E5] MGVVEEAHNLKVLGSGDRVIVLAHGFGTDQSVWKHLVPHLVDDFRVVLYDNMGAGTTNPEYFDFNRYATLEGYAYDLLAILEEIHVDSCIFVGHSVSAMVGAVASISRPDFFSKIIMISGSPRYLNDVDYYGGFEQEELDQLFEAMEANYKAWCSGFAPLVVGGDLESVAVQEFSRTLFNMRPDISLSVAQTIFQSDMRQILNLVTVPCHILQSVKDLAVPVVVSEYLHQNLGGESIVEVMSSDGHLPQLSSPDIVIPVLLKHIRYDISVA >KJB20539 pep chromosome:Graimondii2_0_v6:3:42218608:42218916:-1 gene:B456_003G153600 transcript:KJB20539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEISVDQKQLASIIFSFPITDLAINSSRFPQIFLPFATPSNSHLFALNLNILDSSSPLLVGRYSESPQPGNVLLPSLKLLLQPNGQKYYQFSEYNKNKYY >KJB17411 pep chromosome:Graimondii2_0_v6:3:799790:802446:1 gene:B456_003G012400 transcript:KJB17411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSPEMLGRLLLLLNSIGEVTETSSLKRAKKKSSCNVTEIMPLELRLKFGIGFCGRVHITEVNDNNVLEKPFGNFKVGQTITARIVGKPNQKGLKFVQHLSGLKFALLFLLYFFTSWYVLVLLR >KJB19083 pep chromosome:Graimondii2_0_v6:3:21134479:21139879:1 gene:B456_003G084300 transcript:KJB19083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKGLLLSALGVGVGVGVGLGLASGQNKWTGSGSNSSTGITLERIEKELLRQIVDGRESQVTFDEFPYYLSEQTQALLTSAAYVHLKHADVSKYTRNLSPASQAILLSGPAELYQQMLAKALAHFFESKLLLLDATDFSLKIQSKYGSGQESSFKRSTSETLERLSGLFGSFSLLSQEEPKGTLRRQSSGVDIGSRGTEGPPKLRRYASVSENINSLASQCSPGNPAPLRRTSSLSFDEKLLIQALYKVLIYVSKASPIVLYLRDVDKLLSRSQRIYNLFQKMLKKLSGNVLILGSRVVDLNNDDREFDDRLSAIFPYNIEIRPPADEKHLVSWKSQLEKDMKMIQAQDNRNHIMEVLSANDLDCDDLDSICIADTMALSRYIEEVVVSAISYHLMNNKDPEYRNGKLVISSKSLSHGLSIFQEGKSTGKDTLKWEAQSETLKEAGAGSVGMKPESKSSSKNPEKKSETTLPATKTEGENPAPASKVPEVPPDNEFEKRIRPEVIPAKEIDVTFADIGALEETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMAHWDGLLTRQNERILVLAATNRPFDLDEAIIRRFERRIMVGLPGAENREKIFRTLLGKEKVENGLDFTELALMTEGYTGSDLKNLCTTAAYRPVRELIQQESLKDLERKQRVVEVQNKEDGTEERVITLRPLSMEDFRQAKNQVAASFASEGAGMNELKQWNDLYGEGGSRKKEQLSYFL >KJB19081 pep chromosome:Graimondii2_0_v6:3:21135229:21139127:1 gene:B456_003G084300 transcript:KJB19081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMFPSTQGTFLLLVKLYYYRDLQVDCLKSQAAILDMFFWCSWGCLYKAATDCVCVISSSFSLVELYQQMLAKALAHFFESKLLLLDATDFSLKIQSKYGSGQESSFKRSTSETLERLSGLFGSFSLLSQEEPKGTLRRQSSGVDIGSRGTEGPPKLRRYASVSENINSLASQCSPGNPAPLRRTSSLSFDEKLLIQALYKVLIYVSKASPIVLYLRDVDKLLSRSQRIYNLFQKMLKKLSGNVLILGSRVVDLNNDDREFDDRLSAIFPYNIEIRPPADEKHLVSWKSQLEKDMKMIQAQDNRNHIMEVLSANDLDCDDLDSICIADTMALSRYIEEVVVSAISYHLMNNKDPEYRNGKLVISSKSLSHGLSIFQEGKSTGKDTLKWEAQSETLKEAGAGSVGMKPESKSSSKNPEKKSETTLPATKTEGENPAPASKVPEVPPDNEFEKRIRPEVIPAKEIDVTFADIGALEETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMAHWDGLLTRQNERILVLAATNRPFDLDEAIIRRFERRIMVGLPGAENREKIFRTLLGKEKVENGLDFTELALMTEGYTGSDLKNLCTTAAYRPVRELIQQESLKDLERKQRVVEVQNKEDGTEERVITLRPLSMEDFRQAKNQVAASFASEGAGMNELKQWNDLYGEGGSRKKEQLSYFL >KJB19082 pep chromosome:Graimondii2_0_v6:3:21134479:21139879:1 gene:B456_003G084300 transcript:KJB19082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMFPSTQGTFLLLVKLYYYRDLQVDCLKSQAAILDMFFWCSWGCLYKAATDCVCVISSSFSLVELYQQMLAKALAHFFESKLLLLDATDFSLKIQSKYGSGQESSFKRSTSETLERLSGLFGSFSLLSQEEPKGTLRRQSSGVDIGSRGTEGPPKLRRYASVSENINSLASQCSPGNPAPLRRTSSLSFDEKLLIQALYKVLIYVSKASPIVLYLRDVDKLLSRSQRIYNLFQKMLKKLSGNVLILGSRVVDLNNDDREFDDRLSAIFPYNIEIRPPADEKHLVSWKSQLEKDMKMIQAQDNRNHIMEVLSANDLDCDDLDSICIADTMALSRYIEEVVVSAISYHLMNNKDPEYRNGKLVISSKSLSHGLSIFQEGKSTGKDTLKWEAQSETLKEAGAGSVGMKPESKSSSKNPEKKSETTLPATKTEGENPAPASKVPEVPPDNEFEKRIRPEVIPAKEIDVTFADIGALEETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMAHWDGLLTRQNERILVLAATNRPFDLDEAIIRRFERRIMVGLPGAENREKIFRTLLGKEKVENGLDFTELALMTEGYTGSDLKNLCTTAAYRPVRELIQQESLKDLERKQRVVEVQNKEDGTEERVITLRPLSMEDFRQAKNQVAASFASEGAGMNELKQWNDLYGEGGSRKKEQLSYFL >KJB19503 pep chromosome:Graimondii2_0_v6:3:32741023:32744775:-1 gene:B456_003G106400 transcript:KJB19503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTRSQISSTQDEQQRSRSRRSNEETEEETQMSNVTNNFTFRNPHHGLKEKMRALTLLYEQQKKASAIVRNNNISNPSSSPKPDQEKRSTSHPSADLLTSCQRQEQKDPKFNNVMRENTVPLLPTMANPTVSKTFVLPEPPLDDGKENLLIGTDKIIGFPSCARKAETAKTTVVRKLSMVGTRNVQEQEKMETYAKTEKNGRIGGDESRIHVFVRLRPMAKKEKEAGSRCCVKIVNKKDVYLTEFANENDYLRLKRLKGRHFSFDASFPDSTTQHEVYTTTTAELVEEVLQGRNGSVFCYGATGAGKTYTMLGTLENPGVMVLAIKDLFTSIRQRSFDGNHVVQLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGITQYRAYSTDEVMALLQRGNQNRTTEPTRANETSSRSHAILQVVVEYRVKDASMNIVNRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTVMIANISPSNLSFGETQNTLHWAYRAKEIRTKTSDTNEEVIQVSETGTDQAKLLLELQKENRELRVQLTCQQQKLLTLQAQSLAANASPPTPSSVMSLETPSTAQPKEKSKPRSTFLTRTCFTPESKKRGPEEAVVKELRLTVKALELEMARMKKDHATQLKQKDDIIRELSRKNEKTSEVGVKKGVTRASLRPKEPNPGELKSPSHRFQSPVPTAKKRSFWDITTANSPSVTTLNGRKTRSHVISEPVAAPSMLPQPGFARQRPDVHK >KJB19502 pep chromosome:Graimondii2_0_v6:3:32740999:32744939:-1 gene:B456_003G106400 transcript:KJB19502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTRSQISSTQDEQQRSRSRRSNEETEEETQMSNVTNNFTFRNPHHGLKEKMRALTLLYEQQKKASAIVRNNNISNPSSSPKPDQEKRSTSHPSADLLTSCQRQEQKDPKFNNVMRENTVPLLPTMANPTAETAKTTVVRKLSMVGTRNVQEQEKMETYAKTEKNGRIGGDESRIHVFVRLRPMAKKEKEAGSRCCVKIVNKKDVYLTEFANENDYLRLKRLKGRHFSFDASFPDSTTQHEVYTTTTAELVEEVLQGRNGSVFCYGATGAGKTYTMLGTLENPGVMVLAIKDLFTSIRQRSFDGNHVVQLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGITQYRAYSTDEVMALLQRGNQNRTTEPTRANETSSRSHAILQVVVEYRVKDASMNIVNRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTVMIANISPSNLSFGETQNTLHWAYRAKEIRTKTSDTNEEVIQVSETGTDQAKLLLELQKENRELRVQLTCQQQKLLTLQAQSLAANASPPTPSSVMSLETPSTAQPKEKSKPRSTFLTRTCFTPESKKRGPEEAVVKELRLTVKALELEMARMKKDHATQLKQKDDIIRELSRKNEKTSEVGVKKGVTRASLRPKEPNPGELKSPSHRFQSPVPTAKKRSFWDITTANSPSVTTLNGRKTRSHVISEPVAAPSMLPQPGFARQRPDVHK >KJB19366 pep chromosome:Graimondii2_0_v6:3:30344185:30346291:-1 gene:B456_003G097800 transcript:KJB19366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTILKPWIHCSYLQPTKFGNYPSSSSTRLFNNGCQQIDEGNKSKRRGAFVKVNALPDWPLMAVLVHHVQQLDAQREIITNKSIWHLSDEAIKKVYAFYIMFTCWGCLFFGSTKQEDIEESARAELWREELIEEIEQKVGGLRELEEAGRK >KJB19363 pep chromosome:Graimondii2_0_v6:3:30344349:30345906:-1 gene:B456_003G097800 transcript:KJB19363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVHHVQQLDAQREIITNKSIWHLSDEAIKKVYAFYIMFTCWGCLFFGSTKDPYYDSEVYRKDGGDGTGHWVYEKQEDIEESARAELWREELIEEIEQKVGGLRELEEAGRK >KJB19364 pep chromosome:Graimondii2_0_v6:3:30345515:30346173:-1 gene:B456_003G097800 transcript:KJB19364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTILKPWIHCSYLQPTKFGNYPSSSSTRLFNNGCQQIDEGNKSKRRGAFVKVNALPDWPLMAVLVHHVQQLDAQREIITNKSIWHLSDEAIKKVCKCFLHYVHLLGMFVFWVHQGSIL >KJB19362 pep chromosome:Graimondii2_0_v6:3:30344178:30346342:-1 gene:B456_003G097800 transcript:KJB19362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTILKPWIHCSYLQPTKFGNYPSSSSTRLFNNGCQQIDEGNKSKRRGAFVKVNALPDWPLMAVLVHHVQQLDAQREIITNKSIWHLSDEAIKKVYAFYIMFTCWGCLFFGSTKDPYYDSEVYRKDGGDGTGHWVYEKQEDIEESARAELWREELIEEIEQKVGGLRELEEAGRK >KJB19365 pep chromosome:Graimondii2_0_v6:3:30344185:30346291:-1 gene:B456_003G097800 transcript:KJB19365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTILKPWIHCSYLQPTKFGNYPSSSSTRLFNNGCQQIDEGNKSKRRGAFVKVNALPDWPLMAVLVHHVQQLDAQREIITNKSIWHLSDEAIKKVYAFYIMFTCWGCLFFGSTKDPYYDSEVYRKDGGDGQSCGVKS >KJB18510 pep chromosome:Graimondii2_0_v6:3:9313626:9318580:-1 gene:B456_003G056900 transcript:KJB18510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNHNSTSKDYYKILEVDYDATDENIRLSYRKLALKWHPDKHKGDSAVTAKFQEINEAYNVLIDPDKRFEYDLTGIYEIDKYTLREYLARFKGMILTCNGLGISHTST >KJB18509 pep chromosome:Graimondii2_0_v6:3:9313495:9318583:-1 gene:B456_003G056900 transcript:KJB18509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNHNSTSKDYYKILEVDYDATDENIRLSYRKLALKWHPDKHKGDSAVTAKFQEINEAYNVLIDPDKRFEYDLTGIYEIDKYTLREYLARFKGMILTCNGLGISHTSTWTEQLTDRNEFAEEVLLHL >KJB18508 pep chromosome:Graimondii2_0_v6:3:9314062:9318232:-1 gene:B456_003G056900 transcript:KJB18508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNHNSTSKDYYKILEVDYDATDENIRLSYRKLALKWHPDKHKGDSAVTAKFQEINEAYNVLIDPDKRFEYDLTGIYEIDKYTLREYLARFKGMILTCNGLGISHTSTWTEQLTDRNEFAEEG >KJB21205 pep chromosome:Graimondii2_0_v6:3:30790801:30790924:-1 gene:B456_003G1000003 transcript:KJB21205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGWSFEGFTVTNVIYSSIAGVEIPKFVDTVTPQYKPKFDEL >KJB17459 pep chromosome:Graimondii2_0_v6:3:77719:80574:1 gene:B456_003G001200 transcript:KJB17459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWTLFEVASMPILQVLIISLLGAFMATDYCKLLPVDIRRSLNRIVFVVFTPSLMFASLAKTVTLQDIISWWFMPVNIGLTFLVGIILGWIVVKILKPKPYLEGLIIATCSSGNLGNLLLIVVPAICNEDGSPFGDTNVCTRIGLSYASFSMALGGFYIWTITFHMIRASSLKLRDDEAAEDFSSKQPNENLDATPQSQLLKGESEEQVAIVVKAPQDTSPKAKGNAPLWRQVVGFLHQILEELMAPPTLGAIFGFTFGAIDWLRNLVIGAGAPLRVVQDSIKLLGDATIPCITLILGANLTQGLRSSTIKPMVIVGVVCVRYIILPVVGIFVVKAAGDLGFLPPDPLFRYVLMVQFTLPPAMNIGTMAQLFDVGQDECSVLFLWTYLAAALALTSWSTVFMWILT >KJB18323 pep chromosome:Graimondii2_0_v6:3:6307772:6309205:1 gene:B456_003G047000 transcript:KJB18323 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEP2 [Source:Projected from Arabidopsis thaliana (AT2G21970) UniProtKB/TrEMBL;Acc:A0A178VQ84] MATVARVIRCELLSTKPGANTRREQGGQVQVQRVKVGEPENGKIVLQPRLCTLRSYGSDRVGVMKTRREENDDVSPFFETLSEYIESTKKSQDFEIISGRLAMIVFAATVTTEFVTGTSIFRKMDLQGIAEAVGACLGAMTCAAAFAWLSSARNKVGRIFTVGCNSFIDSVIDQIVDGLFYETDGTSDWSDDI >KJB21176 pep chromosome:Graimondii2_0_v6:3:45681420:45686317:-1 gene:B456_003G186400 transcript:KJB21176 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRS1 [Source:Projected from Arabidopsis thaliana (AT1G31970) UniProtKB/TrEMBL;Acc:A0A178W5E1] MTPSIEQLTPETTSNQAFNAKKQDKKKKKKNKLPKAEDQIEENVKQSHQENEEIQLKKSKKDKKKRKKEKENNEGESEEVNHDESGEESKKENKKKKHKKQKKEEENGDSIETANGKEDSVQFDDKEKVVVSGKNAEEAKYAPLKSFSNSKLPKNVLDCCKGFSSPSPIQAHAWPFLLDGRDFIGIAKTGSGKTLAFGVPAMMHVLNQRNGKSSKGKNPLCLVLSPTRELAEQIFNVLCNAGQACDVKSVCLYGGTAKGPQISSLKSGVDIVIGTPGRLKDLMNMEVCQLNEVSFVVLDEADRMLDMGFEEDVRFILGKTCSARQMVMFSATWPAAVHRLAQEYMDPNPVKVVIGSEDLAANHDVMQIVEVLDDRARYERLTALLKKYHESQRNRVLVFVLYQAEADRIENMLKRSGWNVVSIHGRKAQNERTKALSLFKKGSCPLMVATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGRKGVAHTFFTQQNKGLAGELVNVLKEAGQVVPPALLKFGTHVKKKESKLYGAHFKEIAADAPKAKKITFDDSDDEN >KJB21177 pep chromosome:Graimondii2_0_v6:3:45681442:45686277:-1 gene:B456_003G186400 transcript:KJB21177 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRS1 [Source:Projected from Arabidopsis thaliana (AT1G31970) UniProtKB/TrEMBL;Acc:A0A178W5E1] MTPSIEQLTPETTSNQAFNAKKQDKKKKKKNKLPKAEDQIEENVKQSHQENEEIQLKKSKKDKKKRKKEKENNEGESEEVNHDESGEESKKENKKKKHKKQKKEEENGDSIETANGKEDSVQFDDKEKVVVSGKNAEEAKYAPLKSFSNSKLPKNVLDCCKGFSSPSPIQAHAWPFLLDGRDFIGIAKTGSGKTLAFGVPAMMHVLNQRNGKSSKGKNPLCLVLSPTRELAEQIFNVLCNAGQACDVKSVCLYGGTAKGPQISSLKSGVDIVIGTPGRLKDLMNMEVCQLNEVSFVVLDEADRMLDMGFEEDVRFILGKTCSARQMVMFSATWPAAVHRLAQEYMDPNPVKVVIGSEDLAANHDVMQIVEVLDDRARYERLTALLKKYHESQRNRVLVFVLYQAEADRIENMLKRSGWNVVSIHGRKAQNERTKALSLFKKGSCPLMVATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGRKGVAHTFFTQQNKVN >KJB18973 pep chromosome:Graimondii2_0_v6:3:19158568:19159080:1 gene:B456_003G078200 transcript:KJB18973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSLKGVTAECRNSIRVLTDGGEIIDVKGPKLARDIANDFPGYVICKRDQTQASMFPLNEGEWLVNGGFYYLLPLDKVGAPKMSMAAADFVENLSSGSAMEVLPWKKNGVWKVKLVINSSQLEDILSEQVNTEALIEKMRMAAATATPKRSKGSRLAVGCKPAYSKAS >KJB20511 pep chromosome:Graimondii2_0_v6:3:42076105:42085125:-1 gene:B456_003G152500 transcript:KJB20511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSGGSVLKYSSDSVVIKSANDRRLYRVIQLSNGLVALLVHDPQIYPDGLPQPSHLPDKTEPEAEDEDEDESEDEDGDGDEDEDDEEEDEDEDEADADNEKEKTAQTKKAAAAMCVGFGSFSDPSEAQGLAHFLEHMLFMGSTEFPDENEYDNYLSKHGGSSNAYTEAEHTCYHFEVEREFLKGAMRRFSQFFISPLVKLEAMEREVLAVDSEFNQVLQNDSCRLQQLQCHTSEDGHPFNRFSWGNKKSLIDAVEKGIDLRKQILELYNDYYHGGLMKLVVIGGEPLDVLQQWVVELFSDVRQGSQGKPEFKVEGPVWRAGKLYRLEAVKDVHILELRWALPCLLQAYLKKPEDYLAHLLGHEGKGSLHYFLKAKGWVTSLSAGVSDDGMQRSSVAYIFSMSIYLTDCGLEKIFDIIGYVYQYLKLLRQLSPQEWIFKELQDMGNMDFRFAEEEPQDDYAAELAENLLVYPPEHVIYGDYVFEFWDEEMIRKILGYFTPKNMRVDVVSKFFKSQDVQLEPWFESHYSEEEISSSLMELWEDPPEIDVSLHLPLKNEFIPCDFSIRADTAEINSANETLPICIFDEPLMKFWYKLDRTFKLPRANTYFRINLKGAYRTLKSCLLTELYIHLLKDELNEIIYQASIAKLETSVAMSGDKLELKLYGFNDKLPVLLGKILAITNSFLPTDDRFKVIKENMERTLRNSNMKPLSHSSYLRLQILCKSFYDVDEKLSSLNDISLSDLRAFIPELRSQIYIEGLFHGNLLEKEVVDISNIFKSNFSVQPMPVTMRHREQVICFPSGANFVRDVSVKNKFETNSVLELYFQIEPEGEVEAVKLKALIDLFDEIVEEPLFNQLRTKEQLGYVVECSPRVTYRVYGFCFCIQSSKYSPVYLQERTDNFINSLADLLVSLDDESFESYRSGLTAKLLEKDPSLLYETNRLWNQIVDNRYMFDLSKAEAEELRSIQKADIVNWYKTYLQEPSPKCRKLAVRVWGCNTDINEGESGTDCLQQQHIKDLAAFKMSSKYYPSFC >KJB20510 pep chromosome:Graimondii2_0_v6:3:42076105:42085125:-1 gene:B456_003G152500 transcript:KJB20510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSGGSVLKYSSDSVVIKSANDRRLYRVIQLSNGLVALLVHDPQIYPDGLPQPSHLPDKTEPEAEDEDEDESEDEDGDGDEDEDDEEEDEDEDEADADNEKEKTAQTKKAAAAMCVGFGSFSDPSEAQGLAHFLEHMLFMGSTEFPDENEYDNYLSKHGGSSNAYTEAEHTCYHFEVEREFLKGAMRRFSQFFISPLVKLEAMEREVLAVDSEFNQVLQNDSCRLQQLQCHTSEDGHPFNRFSWGNKKSLIDAVEKGIDLRKQILELYNDYYHGGLMKLVVIGGEPLDVLQQWVVELFSDVRQGSQGKPEFKVEGPVWRAGKLYRLEAVKDVHILELRWALPCLLQAYLKKPEDYLAHLLGHEGKGSLHYFLKAKGWVTSLSAGVSDDGMQRSSVAYIFSMSIYLTDCGLEKIFDIIGYVYQYLKLLRQLSPQEWIFKELQDMGNMDFRFAEEEPQDDYAAELAENLLVYPPEHVIYGDYVFEFWDEEMIRKILGYFTPKNMRVDVVSKFFKSQDVQLEPWFESHYSEEEISSSLMELWEDPPEIDVSLHLPLKNEFIPCDFSIRADTAEINSANETLPICIFDEPLMKFWYKLDRTFKLPRANTYFRINLKGAYRTLKSCLLTELYIHLLKDELNEIIYQASIAKLETSVAMSGDKLELKLYGFNDKLPVLLGKILAITNSFLPTDDRFKVIKENMERTLRNSNMKPLSHSSYLRLQILCKSFYDVDEKLSSLNDISLSDLRAFIPELRSQIYIEGLFHGNLLEKEVVDISNIFKSNFSVQPMPVTMRHREQVICFPSGANFVRDVSVKNKFETNSVLELYFQIEPEGEVEAVKLKALIDLFDEIVEEPLFNQLRQALMMNRLRVIGVD >KJB20509 pep chromosome:Graimondii2_0_v6:3:42076082:42085127:-1 gene:B456_003G152500 transcript:KJB20509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSGGSVLKYSSDSVVIKSANDRRLYRVIQLSNGLVALLVHDPQIYPDGLPQPSHLPDKTEPEAEDEDEDESEDEDGDGDEDEDDEEEDEDEDEADADNEKEKTAQTKKAAAAMCVGFGSFSDPSEAQGLAHFLEHMLFMGSTEFPDENEYDNYLSKHGGSSNAYTEAEHTCYHFEVEREFLKGAMRRFSQFFISPLVKLEAMEREVLAVDSEFNQVLQNDSCRLQQLQCHTSEDGHPFNRFSWGNKKSLIDAVEKGIDLRKQILELYNDYYHGGLMKLVVIGGEPLDVLQQWVVELFSDVRQGSQGKPEFKVEGPVWRAGKLYRLEAVKDVHILELRWALPCLLQAYLKKPEDYLAHLLGHEGKGSLHYFLKAKGWVTSLSAGVSDDGMQRSSVAYIFSMSIYLTDCGLEKIFDIIGYVYQYLKLLRQLSPQEWIFKELQDMGNMDFRFAEEEPQDDYAAELAENLLVYPPEHVIYGDYVFEFWDEEMIRKILGYFTPKNMRVDVVSKFFKSQDVQLEPWFESHYSEEEISSSLMELWEDPPEIDVSLHLPLKNEFIPCDFSIRADTAEINSANETLPICIFDEPLMKFWYKLDRTFKLPRANTYFRINLKGAYRTLKSCLLTELYIHLLKDELNEIIYQASIAKLETSVAMSGDKLELKLYGFNDKLPVLLGKILAITNSFLPTDDRFKVIKENMERTLRNSNMKPLSHSSYLRLQILCKSFYDVDEKLSSLNDISLSDLRAFIPELRSQIYIEGLFHGNLLEKEVVDISNIFKSNFSVQPMPVTMRHREQVICFPSGANFVRDVSVKNKFETNSVLELYFQIEPEGEVEAVKLKALIDLFDEIVEEPLFNQLRTKEQLGYVVECSPRVTYRVYGFCFCIQSSKYSPVYLQERTDNFINSLADLLAGLDDESFESYRSGLTAKLLEKDPSLLYETNRLWNQIVDNRYMFDLSKAEAEELRSIQKADIVNWYKTYLQEPSPKCRKLAVRVWGCNTDINEGESGTDCLQQQHIKDLAAFKMSSKYYPSFC >KJB17227 pep chromosome:Graimondii2_0_v6:3:455492:458972:-1 gene:B456_003G0076002 transcript:KJB17227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEFGVKGLVPAYLDPKTQLQDLLTGVSFASGAAGYDPLTAKTANVIAMSGQLELFKECIKKIKGAVGEERAATIISKAIYIVCTGSNDISNTYFSTPFRRPHYDINGYAEFNARYANQFLQDLYGLGARRIGLLGLPPIGCVPSQRTIGGGKNRDCYEAENQLAIAYNAKLSGVIDSLKAVDTLPDTKFIFLDIYYPLLSLIQNPAKYGFEVATKGCCGTGLIEASVFCNPTSIPLSCPDASKYVFWDGYHPSEKAYKILVPIIINKHLNEFF >KJB17229 pep chromosome:Graimondii2_0_v6:3:456858:458977:-1 gene:B456_003G0076002 transcript:KJB17229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSQFQTCFWTIILFLFMVLNCHVNEAALVLPKNVTVTAVFVFGDSIVDPGNNNNLPTIAKGNFLPYGRDFKDGPTGRFSNGKVPSDLIAEEFGVKGLVPAYLDPKTQLQDLLTGVSFASGAAGYDPLTAKTANVIAMSGQLELFKECIKKIKGAVGEERAATIISKAIYIVCTGSNDISNTYFSTPFRRPHYDINGYAEFNARYANQFLQDLYGLGARRIGLLGLPPIGCVPSQRTIGGGKNRDCYEAENQLAIAYNAKLSGVIDSLKAVDTLPDTKFIFLDIYYPLLSLIQNPAKYGKISLSFLSFNKRFFHFRSWLEF >KJB17223 pep chromosome:Graimondii2_0_v6:3:455579:458987:-1 gene:B456_003G0076002 transcript:KJB17223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRNRKQQMGFLSQFQTCFWTIILFLFMVLNCHVNEAALVLPKNVTVTAVFVFGDSIVDPGNNNNLPTIAKGNFLPYGRDFKDGPTGRFSNGKVPSDLIAEEFGVKGLVPAYLDPKTQLQDLLTGVSFASGAAGYDPLTAKTANVIAMSGQLELFKECIKKIKGAVGEERAATIISKAIYIVCTGSNDISNTYFSTPFRRPHYDINGYAEFNARYANQFLQDLYGLGARRIGLLGLPPIGCVPSQRTIGGGKNRDCYEAENQLAIAYNAKLSGVIDSLKAVDTLPDTKFIFLDIYYPLLSLIQNPAKYGFEVATKGCCGTGLIEASVFCNPTSIPLSCPDASKYVFWDGYHPSEKAYKILVPIIINKHLNEFF >KJB17226 pep chromosome:Graimondii2_0_v6:3:455492:458018:-1 gene:B456_003G0076002 transcript:KJB17226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQLELFKECIKKIKGAVGEERAATIISKAIYIVCTGSNDISNTYFSTPFRRPHYDINGYAEFNARYANQFLQDLYGLGARRIGLLGLPPIGCVPSQRTIGGGKNRDCYEAENQLAIAYNAKLSGVIDSLKAVDTLPDTKFIFLDIYYPLLSLIQNPAKYGFEVATKGCCGTGLIEASVFCNPTSIPLSCPDASKYVFWDGYHPSEKAYKILVPIIINKHLNEFF >KJB17228 pep chromosome:Graimondii2_0_v6:3:455492:457789:-1 gene:B456_003G0076002 transcript:KJB17228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQLELFKECIKKIKGAVGEERAATIISKAIYIVCTGSNDISNTYFSTPFRRPHYDINGYAEFNARYANQFLQDLYGLGARRIGLLGLPPIGCVPSQRTIGGGKNRDCYEAENQLAIAYNAKLSGVIDSLKAVDTLPDTKFIFLDIYYPLLSLIQNPAKYGFEVATKGCCGTGLIEASVFCNPTSIPLSCPDASKYVFWDGYHPSEKAYKILVPIIINKHLNEFF >KJB17224 pep chromosome:Graimondii2_0_v6:3:455404:459001:-1 gene:B456_003G0076002 transcript:KJB17224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRNRKQQMGFLSQFQTCFWTIILFLFMVLNCHVNEAALVLPKNVTVTAVFVFGDSIVDPGNNNNLPTIAKGNFLPYGRDFKDGPTGRFSNGKVPSDLIAEEFGVKGLVPAYLDPKTQLQDLLTGVSFASGAAGYDPLTAKTANVIAMSGQLELFKECIKKIKGAVGEERAATIISKAIYIDLYGLGARRIGLLGLPPIGCVPSQRTIGGGKNRDCYEAENQLAIAYNAKLSGVIDSLKAVDTLPDTKFIFLDIYYPLLSLIQNPAKYGFEVATKGCCGTGLIEASVFCNPTSIPLSCPDASKYVFWDGYHPSEKAYKILVPIIINKHLNEFF >KJB17225 pep chromosome:Graimondii2_0_v6:3:455468:457799:-1 gene:B456_003G0076002 transcript:KJB17225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NVIAMSGQLELFKECIKKIKGAVGEERAATIISKAIYIVCTGSNDISNTYFSTPFRRPHYDINGYAEFNARYANQFLQDLYGLGARRIGLLGLPPIGCVPSQRTIGGGKNRDCYEAENQLAIAYNAKLSGVIDSLKAVDTLPDTKFIFLDIYYPLLSLIQNPAKYGFEVATKGCCGTGLIEASVFCNPTSIPLSCPDASKYVFWDGYHPSEKAYKILVPIIINKHLNEFF >KJB20459 pep chromosome:Graimondii2_0_v6:3:41721091:41721294:-1 gene:B456_003G149900 transcript:KJB20459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRHIANLACNDGVSCLYVCVFASIVSLERNRPSKQHSCVIHRFNCSAYILLFNFPTHLLSHDSFTV >KJB19179 pep chromosome:Graimondii2_0_v6:3:23532466:23532783:-1 gene:B456_003G087600 transcript:KJB19179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNGVSYSDPATVKKYARHAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQVV >KJB19384 pep chromosome:Graimondii2_0_v6:3:30699204:30705695:1 gene:B456_003G099300 transcript:KJB19384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLGMQADMQHKSHFPGCYTAWDLNLDANGNIWPTDNDVDRILRNRPYYNGTLPPSSGSNMFYNKVLLKQTMLKHEAEFKDQIRELHRLYRRQKELVEEMKKSDLYKHHCSLDSLQANNVLCPKSPNCVQIPHHSPTSINIVHCQPPISETKGSILPLHSYDGNSGDTGVKATRIGSSSKASEFMESNCKMFGKKVLDLELPADEYFDSEEEGFSEVKPVPDMLDNALKKIPEVRDRGDEEQSIRGSDCNYVFPGGNFIPGYISSKKKILADLNIPVELEEDIIPESSGFKDPIIGHKEPSLQDPSGKSNSNFHGMSKEASPNSQMMGDPEAHWEILHLEHKMQREHEPSNDKAGHGRNHLNSFPRDLCTDKSSTEHVNNVQADPVIYHGLDETNGKLCNENLQHVARDISASNSKPDAISDMRSSYQVVPLAEPVISESSSVSSWRRAFKHCPIAVQALPCFKGKSSKSLTLSPSLPKNEHCLDKNLVSSRQSCSATFPRASWLNDSQLEGQPLSASSHSLEGNNDDDFAFENSPVKYAKSSKYMRSVKSLDLNIVSPSFSTYVAGSQDGSCIHGQNMFQSSTVCSARIAETLVHDRNSGERRDFLIPLESTLEQANSPSVLGSGSEEVQAGNSLDFKKMFGFYMHNKPPTANGQCSSHASPSRNCSDSFAIEDIKDKEKDRIPDINLEFHHVPGKEKLLDKTESVAESDPCEKCSVHGGIDLNSCLSMNESQLAPSSSIEIDLEPPASPENKECSPPRGESNENQLETPVPSSGQDDGDLQEALVRNAVEAIVSISSSKIRTCLERTSFEPFKVSNSLYWFARVASSVVDDPGSEFGISIGVKDNDDNEQYLSDGIDYFEAMTLNLAEIKVEESWCKSNGGKEEEPSVMFLKNQPKRGRTRRGRQRKDFQSEILPSLASLSRYEVTEDLQIIGGLMEATGTHWESSSSRIAGRNGYTKGRRRTNARAASVTESMTNTMLQSGNSEVGIQPRRLIDWGKITRRPRGPRCPSSNPRLILGQV >KJB19383 pep chromosome:Graimondii2_0_v6:3:30699204:30705695:1 gene:B456_003G099300 transcript:KJB19383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLGMQADMQHKSHFPGCYTAWDLNLDANGNIWPTDNDVDRILRNRPYYNGTLPPSSGSNMFYNKVLLKQTMLKHEAEFKDQIRELHRLYRRQKELVEEMKKSDLYKHHCSLDSLQANNVLCPKSPNCVQIPHHSPTSINIVHCQPPISETKGSILPLHSYDGNSGDTGVKATRIGSSSKASEFMESNCKMFGKKVLDLELPADEYFDSEEEGFSEVKPVPDMLDNALKKIPEVRDRGDEEQSIRGSDCNYVFPGGNFIPGYISSKKKILADLNIPVELEEDIIPESSGFKDPIIGHKEPSLQDPSGKSNSNFHGMSKEASPNSQMMGDPEAHWEILHLEHKMQREHEPSNDKAGHGRNHLNSFPRDLCTDKSSTEHVNNVQADPVIYHGLDETNGKLCNENLQHVARDISASNSKPDAISDMRSSYQVVPLAEPVISESSSVSSWRRAFKHCPIAVQALPCFKGKSSKSLTLSPSLPKNEHCLDKNLVSSRQSCSATFPRASWLNDSQLEGQPLSASSHSLEGNNDDDFAFENSPVKYAKSSKYMRSVKSLDLNIVSPSFSTYVAGSQDGSCIHGQNMFQSSTVCSARIAETLVHDRNSGERRDFLIPLESTLEQANSPSVLGSGSEEVQAGNSLDFKKMFGFYMHNKPPTANGQCSSHASPSRNCSDSFAIEDIKDKEKDRIPDINLEFHHVPGKEKLLDKTESVAESDPCEKCSVHGGIDLNSCLSMNESQLAPSSSIEIDLEPPASPENKECSPPRGESNENQLETPVPSSGQDDGDLQEALVRNAVEAIVSISSSKIRTCLERTSFEPFKVSNSLYWFARVASSVVDDPGSEFGISIGVKDNDDNEQYLSDGIDYFEAMTLNLAEIKVEESWCKSNGGKEEEPSVMFLKNQPKRGRTRRGRQRKDFQSEILPSLASLSRYEVTEDLQIIGGLMEATGTHWESSSSRIAGRNGYTKGRRRTNARAASVTESMTNTMLQSGNSEVGIQPRRLIDWGKITRRPRGPRCPSSNPRLILGQV >KJB18264 pep chromosome:Graimondii2_0_v6:3:5568369:5578587:-1 gene:B456_003G043400 transcript:KJB18264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLTCTKLSLITIAGVVTQVIGLSFFVFGFFPVKPALPGTSGSESFYVPACDFVSNQSDTTLPSDQLKSLYQELSGIPPSFDRLILMIVDGLPAEFVLGKDGKPPNKQFKEAMPYTQSLLASGSAIGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAMTDDNLLGQFFKIGWKMVMLGDETWLKLFPGLFKRHDGVSSFYVKDTVQVDQNVSRHLGDELSKDDWDLLILHYLGLDHVGHIGGRNSVLMAPKMKEMDEVVKLIHSSINQSQGNGLGRTLLMIVSDHGMTENGNHGGSTYEETDTLALFIGLRNHVFDYASVINQIDIAPTLALLFGVPIPKNNVGVLIREAFDSLKEDKHLRALELNSWQLLRLLQAQLSGLPCRNFPCDAFYDHQSSGSTDCNHETEDMLCCLYMEATTLYTSWKSKRGFESASNRDYSSAAAAYYKFLKSASEWLSRRSTDKPVKLLALGLATIFVSTVILSSLMFCRLREIYHGGKQQSLNLNDSMNDWSLDETFILSVILILVSSMTSSSMVEEEHYIWYFLISTFYLLLLRKTAQSLCAVRFQSSCFTQNGQSREGYFRMCFVFLLLTSGRVLRGWHQGGVNWTSLPDISKWLEQAGSQHVKSLQLISAFLVISIGLFALFSIESKGKCFQMVRLSFLISGLLVLLHITKYQDYTFSSTNYGATLLVQIIYAILGVATIGTVVALPWVIPFSTSKICPTDNTISPLFLSIHEKFPLVELRDSLYVIGWAYVLCWCLLQLLLQQPINTTPILLLLLQILAGTLYFARSGTHHKEWVEIAAFYYLGMASHFALGNTNTLATIDVAGAFIVCILLLAHF >KJB18263 pep chromosome:Graimondii2_0_v6:3:5568308:5578686:-1 gene:B456_003G043400 transcript:KJB18263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLTCTKLSLITIAGVVTQVIGLSFFVFGFFPVKPALPGTSGSESFYVPACDFVSNQSDTTLPSDQLKSLYQELSGIPPSFDRLILMIVDGLPAEFVLGKDGKPPNKQFKEAMPYTQSLLASGSAIGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAMTDDNLLGQFFKIGWKMVMLGDETWLKLFPGLFKRHDGVSSFYVKDTVQVDQNVSRHLGDELSKDDWDLLILHYLGLDHVGHIGGRNSVLMAPKMKEMDEVVKLIHSSINQSQGNGLGRTLLMIVSDHGMTENGNHGGSTYEETDTLALFIGLRNHVFDYASVINQIDIAPTLALLFGVPIPKNNVGVLIREAFDSLKEDKHLRALELNSWQLLRLLQAQLSGLPCRNFPCDAFYDHQSSGSTDCNHETEDMLCCLYMEATTLYTSWKSKRGFESASNRDYSSAAAAYYKFLKSASEWLSRRSTDKPVKLLALGLATIFVSTVILSSLMFCRLREIYHGGKQQSLNLNDSMNDWSLDETFILSVILILVSSMTSSSMVEEEHYIWYFLISTFYLLLLRKTAQSLCAVRFQSSCFTQNGQSREGYFRMCFVFLLLTSGRVLRGWHQGGVNWTSLPDISKWLEQAGSQHVKSLQLISAFLVISIGLFALFSIESKGKCFQMVRLSFLISGLLVLLHITKYQDYTFSSTNYGATLLVQIIYAILGVATIGTVVALPWVIPFSTSKICPTDNTISPLFLSIHEKFPLVELRDSLYVIGWAYVLCWCLLQLLLQQPINTTPILLLLLQILAGTLYFARSGTHHKEWVEIAAFYYLGMASHFALGNTNTLATIDVAGAFIGISSHSTLLSGILMFIITYASPMFILLSLVMYISMKNMAHLVIPEKAGPGDHLMMMLGFSCLVPLVINSILLTAYTVVLLLMQNHLFVWSVFSPKYLYVCATAVCTYIGVFIVAATGFYAYFVLVTRKQKPYSC >KJB20948 pep chromosome:Graimondii2_0_v6:3:44496441:44502659:-1 gene:B456_003G173800 transcript:KJB20948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSEISRCSYHVFLSFRGEDTRKSFTDHLYTALVHLGIQTFRDDEEIERGNNIKDEIEKAILHHSKISIVVFSKNYAASTWCLNELVMILEHKKSSKHSVLPVFYDVDPSQVKNQTGSYAEAFTQHEQNFESETNMVQRWRNALKEVADIGGMVLQDRHESQFIQDIVKEVQNKLHLISLYVPPYLVGIDSLVTQINQWLEQDGANKVGIATICGIGGIGKTTIAKVVYNQNIPRFEGYSFLADVRETSPDCNGLVRLQRRVLLVLDDVDELEKIRKLMGTQIPFHLGSKIIITSRNRCLLNAHFISQMFELEASTSCGGLSKLFEVKELASSESLQLFNWYAFGHNSVPESLMAYARSLVKHCGGLPLALQVLGSSLSSKSVSSWKSALEKLEEIPDSKIQEILRISYDSLEDDHDKNLFLDIVCLFIGKDRDYTTTILDGCDFYTTIGIENLVGRSLLIINEKNKLMMHQMIRDMGREIIRQESSNIGKRSRLWHKNAFDVIREKIGSRTVKCLTLDLKRLLEDKAKRTNTTLHFPKHSKSQFLMANDVDMETQAFAKMKRLKLLQLDYCLPNLKILNLNHSHSLLKTPSFSGLPSLEKLMLKYCINLVEIDQSIGELKMLTFLNLKDCTSLRKLPRTIGSLISLEELILSGCSRLDDVPRELHNMESLKVLNLDETAIYQSRLGLHWLLLKRSKGLGFSWASLPDSLVKLSLESCKLSNDVMPNDLCNLASLKSLNLSRNPIHYLPESLKNLTKLEELLLTSCTELQMIPKLPVLLNVFECKFIFGDNSSFHGYTVIIPCFFSSTRCIIFGCEKLTEVEDLFKLEPIENFEAEEIRRLFKVDSINRNRLQLYSYLTDSIMLATPQVLQECGITSTFVVGSEVPIGFKHGTTEHRISFFLPTPSHPDEKIHRFSLCIVFSLASDQMLELIPSVHIFNETKRIMQRYRSSFIGIPETNDNTMLWLIHWPVTDCQFEAGDLVSCAVVPIHLSIRKFGVTYESEHNIRYEYGFSHLSTGDEVSTRNIKMDLTRHLPSLESYGNVKVQLCSYIEESKVIASPQVLYDYSIITTFDPLPFDYHGHYCGHQAGKTEVSISVPPNSSRKISCFLNSIIIFSAKNDKTYGFLPCLEIVNETKGTKWTYSKHFMGIPETKNTLYWTTCWNFRSDELEAGDHISLRVLSDLSVLEFGINLVYDYELEDNPNFFSQLPWMSKCFKYLLGIFVYVSSKSQKDLYRLQSLDKC >KJB18198 pep chromosome:Graimondii2_0_v6:3:4128113:4128845:1 gene:B456_003G038500 transcript:KJB18198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKQLILPFFFLLSLSSIALSEGEDCVYTIYIRTGTIIKGGTNSIISLKLYDAKGEYVEIENLEAWGGLMGEGHDYYERGNLDIFAGRGRCLASPVCAMNLTSDGTGPQHGWYCNYVEVTMTGIHTPCSQQMFAVEQWLAFDTLPFDLTAIRNYCSAELRTNQQNSQEVPSRSST >KJB20175 pep chromosome:Graimondii2_0_v6:3:39555162:39556770:1 gene:B456_003G136500 transcript:KJB20175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLLKLYLFAYNSLQAFGWTISLFRILSCFIATNSVNGAYASAGDLICLLQTCAFLEVVHGAIGIVPSGVLFPLMQWGGRTHFLLAIVRRIHEVQELPAVFITFFAWSLTEVIRYWHYALNISGGCPSWLTYLRYTLFIVLYPMGLAPGESELYVHSSTFGICS >KJB20174 pep chromosome:Graimondii2_0_v6:3:39555074:39558384:1 gene:B456_003G136500 transcript:KJB20174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWGGRTHFLLAIVRRIHEVQELPAVFITFFAWSLTEVIRYWHYALNISGGCPSWLTYLRYTLFIVLYPMGLAPGEMWLMYQALPYIKEKNLYGDFFTSVPFSYYNFVTVVLLIYPFLWLNLYLHLFKQRRSKLGKQHHKKKKI >KJB20177 pep chromosome:Graimondii2_0_v6:3:39555074:39558384:1 gene:B456_003G136500 transcript:KJB20177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWGGRTHFLLAIVRRIHEVQELPAVFITFFAWSLTEVIRYWHYALNISGGCPSWLTYLRYTLFIVLYPMGLAPGEMWLMYQALPYIKEKNLYGDFFTSVPFSYYNFVTVVLLIYPFLWLNLYLHLFKQRRSKLGKQHHKKKKI >KJB20176 pep chromosome:Graimondii2_0_v6:3:39555074:39558384:1 gene:B456_003G136500 transcript:KJB20176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLLKLYLFAYNSLQAFGWTISLFRILSCFIATNSVNGAYASAGDLIWIVPSGVLFPLMQWGGRTHFLLAIVRRIHEVQELPAVFITFFAWSLTEVIRYWHYALNISGGCPSWLTYLRYTLFIVLYPMGLAPGEMWLMYQALPYIKEKNLYGDFFTSVPFSYYNFVTVVLLIYPFLWLNLYLHLFKQRRSKLGKQHHKKKKI >KJB20173 pep chromosome:Graimondii2_0_v6:3:39555020:39558384:1 gene:B456_003G136500 transcript:KJB20173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLLKLYLFAYNSLQAFGWTISLFRILSCFIATNSVNGAYASAGDLICLLQTCAFLEVVHGAIGIVPSGVLFPLMQWGGRTHFLLAIVRRIHEVQELPAVFITFFAWSLTEVIRYWHYALNISGGCPSWLTYLRYTLFIVLYPMGLAPGEMWLMYQALPYIKEKNLYGDFFTSVPFSYYNFVTVVLLIYPFLWLNLYLHLFKQRRSKLGKQHHKKKKI >KJB19325 pep chromosome:Graimondii2_0_v6:3:29816581:29817718:-1 gene:B456_003G095500 transcript:KJB19325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQIKREISTMKLIKHPNVIRMFEVMASKTKIYIVLEFVTGGELFDNIARRGRLKEDDARTYFSSAY >KJB20723 pep chromosome:Graimondii2_0_v6:3:43009047:43012607:1 gene:B456_003G161100 transcript:KJB20723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAQKKVTLPPGRHKIIILDEADSMTSGAQQALRRTMEIYSNSTRFALACNTSSKIIEPIQSRCALVRFSRLSDQEILGRLMVVVDAEQVPYVPEGLEAIIFTADGDMRQALNNLQATYSGFRFVNQENVFKVCDQPHPLHVKNMVRNVLEGKFDDACFALKQLYDLGYSPTDIITTLFRIIKNYDMAEYLKLEFMKETGFAHMRICDGVGSYLQLCGLLAKFSQVRETAKAT >KJB20722 pep chromosome:Graimondii2_0_v6:3:43009028:43012607:1 gene:B456_003G161100 transcript:KJB20722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSSSTAGGYDVPWVEKYRPSKVSDIVGNEDAVSRLQVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPVYKEAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKIIILDEADSMTSGAQQALRRTMEIYSNSTRFALACNTSSKIIEPIQSRCALVRFSRLSDQEILGRLMVVVDAEQVPYVPEGLEAIIFTADGDMRQALNNLQATYSGFRFVNQENVFKVCDQPHPLHVKNMVRNVLEGKFDDACFALKQLYDLGYSPTDIITTLFRIIKNYDMAEYLKLEFMKETGFAHMRICDGVGSYLQLCGLLAKFSQVRETAKAT >KJB17576 pep chromosome:Graimondii2_0_v6:3:324735:326043:1 gene:B456_003G005900 transcript:KJB17576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQMKLEPDTVVDKPKATPPPPPPLPRFWARKTSADSVTNREIAKFWRQKHAEEEDHLLAAIKAAARKRACNLSEEDYKCFMESLSDEDYETKQNITAPTNHSNVNGKNNEIRVGIKDWLVYFLFETLATLIIDEDKHIWEIILK >KJB17577 pep chromosome:Graimondii2_0_v6:3:324735:327973:1 gene:B456_003G005900 transcript:KJB17577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQMKLEPDTVVDKPKATPPPPPPLPRFWARKTSADSVTNREIAKFWRQKHAEEEDHLLAAIKAAARKRACNLSEEDYKCFMESLSDEDYETKQNITAPTNHSNVNGKNNEIRVGIKDWWTKSKYAYLNQPAIESTYKPRRRASTYIPNFLNYKPAPLYPTSLGVF >KJB18246 pep chromosome:Graimondii2_0_v6:3:5289698:5290678:1 gene:B456_003G042400 transcript:KJB18246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIKLDEGYQKTYKNVDELLPTLPRSKGWWLDQLLQYQGFWLSTYGIRGSMLIDDHFNPRPTDIIIATSPKCGTTWLRALVFSIINRNSFDFSDHPLRKANPRDLVHFLEAHIRGDGSTASIDGLPSPRLLSTHLPYALFPECMTDDTLACRFVYICRDLKDVLASKWHFANKLRPKGVPPLSLEEAFDLFCKGVSHYGPFWDHVLGYWKASLESPKKVLCLKYEDVKKEPLGCVRKVANFLGVPFTPEEENKEIVEEIVKLCSFENMSNQDVNKSDTRSQEKPISNSDFFRKGEVGDWVNHLSPQMSEILDQITEQKFQGTGFSFH >KJB18074 pep chromosome:Graimondii2_0_v6:3:3171255:3173578:1 gene:B456_003G033000 transcript:KJB18074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSRKATCNSHGQDSSYFLGWLEYEKNPYHHLHNPNGIIQMGLAENQLSFDLLETWLAKNPEAVGCKRDGQSIFRELALFQDYHGLPAFKKALVDFMAEIRGNKVSFEPNNIVLTAGATSANETLMFCLAEHGDAFLLPTPYYPGFDRDLKWRTGVQIVPIHCTSSNCFRITASAIEEAYQQAQKKNLTVKGILITNPSNPLGTTMTRPELNLLINFITDKQIHLISDEIYSGTVFNSPSFISIMEALKDMNMEKNNRVHIVYSLSKDLGLPGFRVGAIYSNDPTVVSAAVKMSSFGLVSSQTQYLLSVMLSDKKFRNHYIVKNQNRLLKRQRKLVSGLEKSGIRCLESNAGLFCWVDMRHLLSSDTFEAEMELWERIIYDVKLNISPGSSCHCNEPGWFRVCFANMSEDALELAMQRLKSFVGSIEKHNHQESEKLRKESHIGKWIFRLSFQSFNEQDQR >KJB18043 pep chromosome:Graimondii2_0_v6:3:2817453:2820255:-1 gene:B456_003G030900 transcript:KJB18043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCSTARLPRFLPGRTKPFSSRHAFQTDIVRLRPESKFRSHYLKPEPGSKRGDSVKRNVIIENDDGVDETYSSVDDKQFVRWFREAWPYLWAHRGGTFVVIISGEIVASPFLDTILKDIAFLHHLGIKFVIVPGTHVQIDKLLAERGNEPKYYGRYRITDSESLAAATEAAGGIRLMIEAKLSPGPSICNIRRHGDSSRWHEVGVSVASGNFLAAKRRGVVEGVDYGATGEVKKVDVARLRERLDGGCIVILSNLGYSSSGEVLNCNTYEVATACALALGADKLICIIDGPILDENGRLISFLPLQEADMLIRQRVKQSEIAAKYVKAVGEEDLTCIGHDDTSSVVHSLQNGKALNSIRNPTFQNGVGFDNGNGLWSGEQGFAIGGQERLSRDNGYLSELAAAAFVCRGGVQRVHLLDGTIGGVLLLELFKRDGMGTMVARYLIFTVNLA >KJB18041 pep chromosome:Graimondii2_0_v6:3:2815754:2820525:-1 gene:B456_003G030900 transcript:KJB18041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCSTARLPRFLPGRTKPFSSRHAFQTDIVRLRPESKFRSHYLKPEPGSKRGDSVKRNVIIENDDGVDETYSSVDDKQFVRWFREAWPYLWAHRGGTFVVIISGEIVASPFLDTILKDIAFLHHLGIKFVIVPGTHVQIDKLLAERGNEPKYYGRYRITDSESLAAATEAAGGIRLMIEAKLSPGPSICNIRRHGDSSRWHEVGVSVASGNFLAAKRRGVVEGVDYGATGEVKKVDVARLRERLDGGCIVILSNLGYSSSGEVLNCNTYEVATACALALGADKLICIIDGPILDENGRLISFLPLQEADMLIRQRVKQSEIAAKYVKAVGEEDLTCIGHDDTSSVVHSLQNGKALNSIRNPTFQNGVGFDNGNGLWSGEQGFAIGGQERLSRDNGYLSELAAAAFVCRGGVQRVHLLDGTIGGVLLLELFKRDGMGTMVASDLYEGARMAMVTDLAGIRQIIQPLEESGTLVRRSDEELLKALDSFVVMEREGQIIACAALFPFFKDKCGEVACIAVSPDCRGQGQGDKLLDYVEKKASSLGLDMLFLLTTRTADWFVRRGFTECTINMIPEERRKKINLSRKSKYYMKKLIPDRSGITADKAFK >KJB18042 pep chromosome:Graimondii2_0_v6:3:2815770:2824922:-1 gene:B456_003G030900 transcript:KJB18042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAKSNPHHQPWNSRQGICSSCCDKQGFKGFVRFKRSMFFNQGFQGMLSRSVVNGEAKAINVCCCCCSLEKVYDVAEEDRRFVAALREAQSYVYLHRGSTFVLLLSAEIVASSYLDAILKDIAFLHHLGIKFVIVPGTHVQIDKLLAERGNEPKYYGRYRITDSESLAAATEAAGGIRLMIEAKLSPGPSICNIRRHGDSSRWHEVGVSVASGNFLAAKRRGVVEGVDYGATGEVKKVDVARLRERLDGGCIVILSNLGYSSSGEVLNCNTYEVATACALALGADKLICIIDGPILDENGRLISFLPLQEADMLIRQRVKQSEIAAKYVKAVGEEDLTCIGHDDTSSVVHSLQNGKALNSIRNPTFQNGVGFDNGNGLWSGEQGFAIGGQERLSRDNGYLSELAAAAFVCRGGVQRVHLLDGTIGGVLLLELFKRDGMGTMVASDLYEGARMAMVTDLAGIRQIIQPLEESGTLVRRSDEELLKALDSFVVMEREGQIIACAALFPFFKDKCGEVACIAVSPDCRGQGQGDKLLDYVEKKASSLGLDMLFLLTTRTADWFVRRGFTECTINMIPEERRKKINLSRKSKYYMKKLIPDRSGITADKAFK >KJB19322 pep chromosome:Graimondii2_0_v6:3:29751410:29753315:1 gene:B456_003G095200 transcript:KJB19322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKIGGVQNDALRFVLHGVKTDLVGSHPVQSIYESAIATTVCFPGKYTRLNIIILFVYLCVCFILLCSLLRSL >KJB19321 pep chromosome:Graimondii2_0_v6:3:29751410:29753339:1 gene:B456_003G095200 transcript:KJB19321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKIGGVQNDALRFVLHGVKTDLVGSHPVQSIYESAIATTVCFPGKYTRLNIIILFVYLCVCFILLCSLLRSL >KJB19566 pep chromosome:Graimondii2_0_v6:3:41919274:41920150:-1 gene:B456_003G151600 transcript:KJB19566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPQLPQTRGRVLSSSEINKSPAGSGGDLESSLIQIYHHHHHNSLKLRDQAEKAKKDAIKKAGRVSDLLVEAVNGGVQESFINEKRIEAEIRALASTIARFMKQTDQWLAASHAINTAVKEIGDFENWMKSMDFDCKSINAAIRNIYQQ >KJB19569 pep chromosome:Graimondii2_0_v6:3:41918277:41920150:-1 gene:B456_003G151600 transcript:KJB19569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPQLPQTRGRVLSSSEINKSPAGSGGDLESSLIQIYHHHHHNSLKLRDQAEKAKKDAIKKAGRVSDLLVEAVNGGVQESFINEKRIEAEIRALASTIARFMKQTDQWLAASHAINTAVKEIGDFENWMKSMDFDCKSINAAIRNIYQQ >KJB19570 pep chromosome:Graimondii2_0_v6:3:41918056:41920188:-1 gene:B456_003G151600 transcript:KJB19570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPQLPQTRGRVLSSSEINKSPAGSGGDLESSLIQIYHHHHHNSLKLRDQAEKAKKDAIKKAGRVSDLLVEAVNGGVQESFINEKRIEAEIRALASTIARFMKQTDQWLAASHAINTAVKEIGDFENWMKSMDFDCKSINAAIRNIYQQ >KJB19567 pep chromosome:Graimondii2_0_v6:3:41918196:41920150:-1 gene:B456_003G151600 transcript:KJB19567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPQLPQTRGRVLSSSEINKSPAGSGGDLESSLIQIYHHHHHNSLKLRDQADAIKKAGRVSDLLVEAVNGGVQESFINEKRIEAEIRALASTIARFMKQTDQWLAASHAINTAVKEIGDFENWMKSMDFDCKSINAAIRNIYQQ >KJB19568 pep chromosome:Graimondii2_0_v6:3:41918211:41920150:-1 gene:B456_003G151600 transcript:KJB19568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPQLPQTRGRVLSSSEINKSPAGSGGDLESSLIQIYHHHHHNSLKLRDQADAIKKAGRVSDLLVEAVNGGVQESFINEKRIEAEIRALASTIARFMKQTDQWLAASHAINTAVKTLRTG >KJB21019 pep chromosome:Graimondii2_0_v6:3:44979226:44980800:1 gene:B456_003G178100 transcript:KJB21019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMEAFEDFKRTIETPQVDNLRILQNIFGKEENLFNPDKTKVSINVLRRKHVLLLISDLDISQEEIRVLEVVYKERVSFGHNYEIIWLPIVDKKAWNDRCQNISSLQSIMSWYTVSHQFSIKPEVIKYIREVWGFVKKPIAVTLNQRGKVLCPNALNMMWMWGNLAFPFSSEKEESTWQDKAWTFELLVGRLEPNLSSWVSQEKVVCFYGGVKMEWIESFTTATKGVAKALDIGLEMVYVGKQNARERVKKITSLIIEKQLSRAWQYDNVWCFWNLLENMLNSKVHQRKTNATDGIMQEVATMLGYDDSKNEWAVFFTGSGEMVCANGEKVLSCMKSFDQWGKLSKQRGFIPALRKQLERITEDHHCTRLLLPGNGGSIPKRVQCAECGRAMEMYFLYRCCVE >KJB20486 pep chromosome:Graimondii2_0_v6:3:41847833:41851899:-1 gene:B456_003G1510002 transcript:KJB20486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVLGGATEGNLDSFVSRKSMTLIFREVLRKLVSFILDSSFSSVKQASALFDSEENCLGLDSKNTANVVDMARFALQIVEGSFFCLRALDEESGLVSSISAAVFIIDWEYRMSVAVEDALDDESRKKIKARMDICESAHGYLSNISNLWKSFSGDVRKGIRSILICTIRLAIFREDKLDNKKFAALCCMMMTDVLEHLCQDQYEEQNLLDHLLSKGDMWPWWITPDLNSMEGLSKSDTEGIYAYGNYKFVSLIDKLIYKLGLHKVIACDDLDILPLLTKDSANTKVTSRAWVAAEVLCTWKWPEGSAATSFLPQLVSFAKSRNYSSYGSILDSIFSILFDGALIYGENCSQSLLHAWPTLGEDMEDIEEPFLRALVSFLFTLLKENIWGPEKAMALFQLLVDKLFVGEAVNSNCLRILPSILCVLVPTLCQRSIRSSECTNKDGKPDPLNENQMQDAVKSWIQRILLFPPLVTWQTGQDMEEWFHLVFSCYPLKATGGNEIMKLDRDIDHEERVLLLNLFRKQRNESGRSIAANQLPVVQILLSKLMVICLGYCWREFDEEDWEFLFFHLRCWIESAVLVMEEVAENVNEIVTEHSSSDNADLIHKKLEESVLISDNSLINISKNSVFSFSFLCGLLELQPTEDTDNLNPLRTERWDPIKNQILESILRLFFSTGIAEAIAGSYSFEAASIISASRFYHRSFWESVASSVLRSPPHTRDEAAKSIKLWGLSKGPISSLYAILFSSRPMPSLQLAAFAVLSTEPVSKLAVFGEGIAPCLEFDSNTYQESINLDLSPEENIHLTKELSYIIEKLPYDVLDMDLVAEQRVHLFLAWSLLLSHLSSLPSLSNPRERLVQYIQNSANPLILDCLFQHLLSDLSLMHVLKKKDGELPNVISEAATAAKCSITSGSVLFSVESLWPIDPVKMAAFAGAIYGLMLRLLPAYVWGWFSDLRDRSTSSMIESFTRTWCSPPLVANELSLVCIFI >KJB20484 pep chromosome:Graimondii2_0_v6:3:41845891:41851899:-1 gene:B456_003G1510002 transcript:KJB20484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVLGGATEGNLDSFVSRKSMTLIFREVLRKLVSFILDSSFSSVKQASALFDSEENCLGLDSKNTANVVDMARFALQIVEGSFFCLRALDEESGLVSSISAAVFIIDWEYRMSVAVEDALDDESRKKIKARMDICESAHGYLSNISNLWKSFSGDVRKGIRSILICTIRLAIFREDKLDNKKFAALCCMMMTDVLEHLCQDQYEEQNLLDHLLSKGDMWPWWITPDLNSMEGLSKSDTEGIYAYGNYKFVSLIDKLIYKLGLHKVIACDDLDILPLLTKDSANTKVTSRAWVAAEVLCTWKWPEGSAATSFLPQLVSFAKSRNYSSYGSILDSIFSILFDGALIYGENCSQSLLHAWPTLGEDMEDIEEPFLRALVSFLFTLLKENIWGPEKAMALFQLLVDKLFVGEAVNSNCLRILPSILCVLVPTLCQRSIRSSECTNKDGKPDPLNENQMQDAVKSWIQRILLFPPLVTWQTGQDMEEWFHLVFSCYPLKATGGNEIMKLDRDIDHEERVLLLNLFRKQRNESGRSIAANQLPVVQILLSKLMVICLGYCWREFDEEDWEFLFFHLRCWIESAVLVMEEVAENVNEIVTEHSSSDNADLIHKKLEESVLISDNSLINISKNSVFSFSFLCGLLELQPTEDTDNLNPLRTERWDPIKNQILESILRLFFSTGIAEAIAGSYSFEAASIISASRFYHRSFWESVASSVLRSPPHTRDEAAKSIKLWGLSKGPISSLYAILFSSRPMPSLQLAAFAVLSTEPVSKLAVFGEGIAPCLEFDSNTYQESINLDLSPEENIHLTKELSYIIEKLPYDVLDMDLVAEQRVHLFLAWSLLLSHLSSLPSLSNPRERLVQYIQNSANPLILDCLFQHLLSDLSLMHVLKKKDGELPNVISEAATAAKCSITSGSVLFSVESLWPIDPVKMAAFAGAIYGLMLRLLPAYVWGWFSDLRDRSTSSMIESFTRTWCSPPLVANELSLIKTANFADENFSVSVSKSANEVVATYTKDETGMDLIIRLPASYPLRPVDVDCMRSLGISEVKQRKWLMSMMMFVRNQNGALAEAIRVWKRNFDKEFEGVEECPICYSVIHTVNHSLPRLACKTCKHKFHAACLYKWFSTSHKSSCPLCQSPF >KJB20483 pep chromosome:Graimondii2_0_v6:3:41846263:41851899:-1 gene:B456_003G1510002 transcript:KJB20483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVLGGATEGNLDSFVSRKSMTLIFREVLRKLVSFILDSSFSSVKQASALFDSEENCLGLDSKNTANVVDMARFALQIVEGSFFCLRALDEESGLVSSISAAVFIIDWEYRMSVAVEDALDDESRKKIKARMDICESAHGYLSNISNLWKSFSGDVRKGIRSILICTIRLAIFREDKLDNKKFAALCCMMMTDVLEHLCQDQYEEQNLLDHLLSKGDMWPWWITPDLNSMEGLSKSDTEGIYAYGNYKFVSLIDKLIYKLGLHKVIACDDLDILPLLTKDSANTKVTSRAWVAAEVLCTWKWPEGSAATSFLPQLVSFAKSRNYSSYGSILDSIFSILFDGALIYGENCSQSLLHAWPTLGEDMEDIEEPFLRALVSFLFTLLKENIWGPEKAMALFQLLVDKLFVGEAVNSNCLRILPSILCVLVPTLCQRSIRSSECTNKDGKPDPLNENQMQDAVKSWIQRILLFPPLVTWQTGQDMEEWFHLVFSCYPLKATGGNEIMKLDRDIDHEERVLLLNLFRKQRNESGRSIAANQLPVVQILLSKLMVICLGYCWREFDEEDWEFLFFHLRCWIESAVLVMEEVAENVNEIVTEHSSSDNADLIHKKLEESVLISDNSLINISKNSVFSFSFLCGLLELQPTEDTDNLNPLRTERWDPIKNQILESILRLFFSTGIAEAIAGSYSFEAASIISASRFYHRSFWESVASSVLRSPPHTRDEAAKSIKLWGLSKGPISSLYAILFSSRPMPSLQLAAFAVLSTEPVSKLAVFGEGIAPCLEFDSNTYQESINLDLSPEENIHLTKELSYIIEKLPYDVLDMDLVAEQRVHLFLAWSLLLSHLSSLPSLSNPRERLVQYIQNSANPLILDCLFQHLLSDLSLMHVLKKKDGELPNVISEAATAAKCSITSGSVLFSVESLWPIDPVKMAAFAGAIYGLMLRLLPAYVWGWFSDLRDRSTSSMIESFTRTWCSPPLVANELSLIKTANFADENFSVSVSKSANEVVATYTKDETGMDLIIRLPASYPLRPVDVDCMRSLGISEVKQRKWLMSMMMFVRNQNGALAEAIRVWKRNFDKEFEGVEECPICYSVIHTVNHSLPRLACKTCKHKFHAACLYKWFSTSHKSSCPLCQSPF >KJB20485 pep chromosome:Graimondii2_0_v6:3:41847145:41851898:-1 gene:B456_003G1510002 transcript:KJB20485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVLGGATEGNLDSFVSRKSMTLIFREVLRKLVSFILDSSFSSVKQASALFDSEENCLGLDSKNTANVVDMARFALQIVEGSFFCLRALDEESGLVSSISAAVFIIDWEYRMSVAVEDALDDESRKKIKARMDICESAHGYLSNISNLWKSFSGDVRKGIRSILICTIRLAIFREDKLDNKKFAALCCMMMTDVLEHLCQDQYEEQNLLDHLLSKGDMWPWWITPDLNSMEGLSKSDTEGIYAYGNYKFVSLIDKLIYKLGLHKVIACDDLDILPLLTKDSANTKVTSRAWVAAEVLCTWKWPEGSAATSFLPQLVSFAKSRNYSSYGSILDSIFSILFDGALIYGENCSQSLLHAWPTLGEDMEDIEEPFLRALVSFLFTLLKENIWGPEKAMALFQLLVDKLFVGEAVNSNCLRILPSILCVLVPTLCQRSIRSSECTNKDGKPDPLNENQMQDAVKSWIQRILLFPPLVTWQTGQDMEEWFHLVFSCYPLKATGGNEIMKLDRDIDHEERVLLLNLFRKQRNESGRSIAANQLPVVQILLSKLMVICLGYCWREFDEEDWEFLFFHLRCWIESAVLVMEEVAENVNEIVTEHSSSDNADLIHKKLEESVLISDNSLINISKNSVFSFSFLCGLLELQPTEDTDNLNPLRTERWDPIKNQILESILRLFFSTGIAEAIAGSYSFEAASIISASRFYHRSFWESVASSVLRSPPHTRDEAAKSIKLWGLSKGPISSLYAILFSSRPMPSLQLAAFAVLSTEPVSKLAVFGEGIAPCLEFDSNTYQESINLDLSPEENIHLTKELSYIIEKLPYDVLDMDLVAEQRVHLFLAWSLLLSHLSSLPSLSNPRERLVQYIQNSANPLILDCLFQHLLSDLSLMHVLKKKDGELPNVISEAATAAKCSITSGSVLFSVESLWPIDPVKMAAFAGAIYGLMLRLLPAYVWGWFSDLRDRSTSSMIESFTRTWCSPPLVANELSLIKTANFADENFSVSVSKSANEVVATYTKDETGMDLIIRLPASYPLRPVDVDCMRSLGISEVKQRKWLMSMMMFVRNQNGALAEAIRVWKRNFDKEFEGVEECPICYSVIHTVNHSLPRLACKTCKHKFHAACLYKWFSTSHKSSCPLCQSPF >KJB20068 pep chromosome:Graimondii2_0_v6:3:38616379:38617228:-1 gene:B456_003G131400 transcript:KJB20068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIAKNPKPLRILTHQLLQRCTVSGTAKGKSKLKAGGRIPDEKQKLYEQCLNAPTPARHLSPKERAREAEREKLGLISKERQREMEILKKGGRKAMGVPDEPMVMGTPGLDLVTLGLVDADKIPKYELTVEDGQRLAKEYSRVLMRKHRARQAAETNLLRMKKEAIEALPGKLREAAMIPDLTPFPANRLMASLTPPIEGYIEKVKEAAKKSSTKQKLRRRRGGRYFNLFLNWVIDKWCNAIFFLLKIQLEVNVY >KJB21034 pep chromosome:Graimondii2_0_v6:3:45148428:45148935:-1 gene:B456_003G179600 transcript:KJB21034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENSSLIDNAVKTLDTRIPIISLIGSLSKVKFCNKLGHPISKPAWADSPDYDIIDRFVRISRNLSQRKEKKDKDIVGNEILSFVSPLTENGGIN >KJB19995 pep chromosome:Graimondii2_0_v6:3:38040180:38041935:1 gene:B456_003G128700 transcript:KJB19995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGATQNPTPPTKLRWGELDEDDGEDLDFLLPPKQVIGPDENGIKKVIEYKFNDEGNKVKITTTTRVRKLANARLSKQAVERRSWPKFGDAVREDVGSRLTMVSTEEILLERPRAPGSKAEEQKAAGDPLAQLGKGGAVLMVCRTCGKKGDHWTSRCPYKDLALPAESFVDKPAVPETATAAAGSGKNTYVPPGMRAGADRSGTDMRRRNDENSVRVTNLSEDTREADLHELFRPFGAVSRVYVAIDQKTGMSRGFGFVNFVNKDDAQRAINKLNGYGYDNLILRVEWATPRTN >KJB19996 pep chromosome:Graimondii2_0_v6:3:38040297:38041933:1 gene:B456_003G128700 transcript:KJB19996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGATQNPTPPTKLRWGELDEDDGEDLDFLLPPKQVIGPDENGIKKVIEYKFNDEGNKVKITTTTRVRKLANARLSKQAVERRSWPKFGDAVREDVGSRLTMVSTEEILLERPRAPGSFLSGSKAEEQKAAGDPLAQLGKGGAVLMVCRTCGKKGDHWTSRCPYKDLALPAESFVDKPAVPETATAAAGSGKNTYVPPGMRAGADRSGTDMRRRNDENSVRVTNLSEDTREADLHELFRPFGAVSRVYVAIDQKTGMSRGFGFVNFVNKDDAQRAINKLNGYGYDNLILRVEWATPRTN >KJB18326 pep chromosome:Graimondii2_0_v6:3:6317077:6328319:1 gene:B456_003G047100 transcript:KJB18326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial disproportionating enzyme1, alpha-1,4-D-glucanotransferase, Storage starch synthesis in rice endosper [Source: Projected from Oryza sativa (Os07g0627000)] MAIPIPLLFSSPSFLSSPKSLPLFFKPKINTSKSFNLHFHANVASSSILTAMEVGEDLPLDYGDWLPKPDPDQRRRAGILLHPTSFKGAYGIGDFGEEAFRFIDWLHLAGCSVWQVLPLVPPDDEGSPYAGQLVKDGLLTKKELPQPIDCDRVNYSIVAKLKDPLITKAAERLLSTDGELITQLETFRRDPTISCWLEDAAYFAAINDGLKAFSWYDWPEPLKNRHLSALEKIFEENKDFIDLFIAKQFLFQRQWKKIRDYAQTKGVSIMGDMPIYVGYHSADVWANKKHFLLNRHGYPMLVSGVPPDAFSDTGQLWGSPLYDWKAMEKDGFLWWISRIRRAQTLYDEFRIDHFRGFAGFWAVPSEAKVAMVGRWKVGPQKSLFDAISKAVGKINIIAEDLGVITEDVVQLRKYIGAPGMAVLQFGFGSDSSNPHLPHNHEHNQVVYTGTHDNDTIQGWWSNLMEQERSNVFKYLRITEEDEISWALIQAAVSSIAQTTIITMQDVLGLDSSARMNIPATQHGNWSWRIPNSTSFDSLEKEALRLREMLSMYGRK >KJB18325 pep chromosome:Graimondii2_0_v6:3:6317077:6327335:1 gene:B456_003G047100 transcript:KJB18325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial disproportionating enzyme1, alpha-1,4-D-glucanotransferase, Storage starch synthesis in rice endosper [Source: Projected from Oryza sativa (Os07g0627000)] MAIPIPLLFSSPSFLSSPKSLPLFFKPKINTSKSFNLHFHANVASSSILTAMEVGEDLPLDYGDWLPKPDPDQRRRAGILLHPTSFKGAYGIGDFGEEAFRFIDWLHLAGCSVWQVLPLVPPDDEGSPYAGQDANCGNTFLISLEELVKDGLLTKKELPQPIDCDRVNYSIVAKLKDPLITKAAERLLSTDGELITQLETFRRDPTISCWLEDAAYFAAINDGLKAFSWYDWPEPLKNRHLSALEKIFEENKDFIDLFIAKQFLFQRQWKKIRDYAQTKGVSIMGDMPIYVGYHSADVWANKKHFLLNRHGYPMLVSGVPPDAFSDTGQLWGSPLYDWKAMEKDGFLWWISRIRRAQTLYDEFRIDHFRGFAGFWAVPSEAKVAMVGRWKVGPQKSLFDAISKAVGKINIIAEDLGVITEDVVQLRKYIGAPGMAVLQFVLSHFLLV >KJB18327 pep chromosome:Graimondii2_0_v6:3:6317077:6328319:1 gene:B456_003G047100 transcript:KJB18327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial disproportionating enzyme1, alpha-1,4-D-glucanotransferase, Storage starch synthesis in rice endosper [Source: Projected from Oryza sativa (Os07g0627000)] MAIPIPLLFSSPSFLSSPKSLPLFFKPKINTSKSFNLHFHANVASSSILTAMEVGEDLPLDYGDWLPKPDPDQRRRAGILLHPTSFKGAYGIGDFGEEAFRFIDWLHLAGCSVWQVLPLVPPDDEGSPYAGQDANCGNTFLISLEELVKDGLLTKKELPQPIDCDRVNYSIVAKLKDPLITKAAERLLSTDGELITQLETFRRDPTISCWLEDAAYFAAINDGLKAFSWYDWPEPLKNRHLSALEKIFEENKDFIDLFIAKQFLFQRQWKKIRDYAQTKGVSIMGDMPIYVGYHSADVWANKKHFLLNRHGYPMLVSGVPPDAFSDTGQLWGSPLYDWKAMEKDGFLWWISRIRRAQTLYDEFRIDHFRGFAGFWAVPSEAKVAMVGRWKVGPQKSLFDAISKAVGKINIIAEDLVLITEDVVQLRKYIGAPGMAVLQFGFGSDSSNPHLPHNHEHNQVVYTGTHDNDTIQGWWSNLMEQERSNVFKYLRITEEDEISWALIQAAVSSIAQTTIITMQDVLGLDSSARMNIPATQHGNWSWRIPNSTSFDSLEKEALRLREMLSMYGRK >KJB18324 pep chromosome:Graimondii2_0_v6:3:6317004:6328455:1 gene:B456_003G047100 transcript:KJB18324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial disproportionating enzyme1, alpha-1,4-D-glucanotransferase, Storage starch synthesis in rice endosper [Source: Projected from Oryza sativa (Os07g0627000)] MAIPIPLLFSSPSFLSSPKSLPLFFKPKINTSKSFNLHFHANVASSSILTAMEVGEDLPLDYGDWLPKPDPDQRRRAGILLHPTSFKGAYGIGDFGEEAFRFIDWLHLAGCSVWQVLPLVPPDDEGSPYAGQDANCGNTFLISLEELVKDGLLTKKELPQPIDCDRVNYSIVAKLKDPLITKAAERLLSTDGELITQLETFRRDPTISCWLEDAAYFAAINDGLKAFSWYDWPEPLKNRHLSALEKIFEENKDFIDLFIAKQFLFQRQWKKIRDYAQTKGVSIMGDMPIYVGYHSADVWANKKHFLLNRHGYPMLVSGVPPDAFSDTGQLWGSPLYDWKAMEKDGFLWWISRIRRAQTLYDEFRIDHFRGFAGFWAVPSEAKVAMVGRWKVGPQKSLFDAISKAVGKINIIAEDLGVITEDVVQLRKYIGAPGMAVLQFGFGSDSSNPHLPHNHEHNQVVYTGTHDNDTIQGWWSNLMEQERSNVFKYLRITEEDEISWALIQAAVSSIAQTTIITMQDVLGLDSSARMNIPATQHGNWSWRIPNSTSFDSLEKEALRLREMLSMYGRK >KJB18646 pep chromosome:Graimondii2_0_v6:3:12693659:12699723:1 gene:B456_003G064600 transcript:KJB18646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLELPKYPEMEEDQVFNFTDSEIVSHVKQALASVLSGDNNSYNQLVGVMHHSKHLAPDEVALLETTLKALAGAVSSIDITHHDSLLSAIYCMSMWNYGPHVADALAELIVSLAISNGKYLDLCLGMLVSNFTPPPYFLDKLKMPHGLERKGQVLSRVHAAFKKIADYVPLAPLRLLTIVLQGMPTIYHKDRAIVIYVENMLKLESGEIGELVGSTILMAVVDRLIELDVEIGWDAILQDDFSKGIFEMELEDVDDIEESAEPDVGEFRLSRKSLAGNSIAELLDNLLVLTFEHLESCEREGRLAKVFETLLQSFRVTVLSAYKSKFAQFVMFYACALDPENCGMRFATVLADLFVQDSQPQPTRMSAVSYLASYLSRAKFLAASSISSMLKRLVDWCLEYCEAHDGDINPNAHRVFYSGCQAIMYVLCFRMKVFVDVPRLKSELLIPLEQVLKHKLNPLKVCLPSVVEEFLRQAKAASLFTVCKTFIFDGLLESELSRAFGGLERLDTFFPFDPCLLKKCDSFIRPMFVFWSMVKPTYDDAFDDDDDDDGSSDDDLVQDFVNENEDIMNDEFGKSFDEQGVDMDEFDYALKKMSITPKATSNYKFGGRFQEPARMPSRIRPSTSPESL >KJB18645 pep chromosome:Graimondii2_0_v6:3:12693558:12699723:1 gene:B456_003G064600 transcript:KJB18645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLELPKYPEMEEDQVFNFTDSEIVSHVKQALASVLSGDNNSYNQLVGVMHHSKHLAPDEVALLETTLKALAGAVSSIDITHHDSLLSAIYCMSMWNYGPHVADALAELIVSLAISNGKYLDLCLGMLVSNFTPPPYFLDKLKMPHGLERKGQVLSRVHAAFKKIADYVPLAPLRLLTIVLQGMPTIYHKDRAIVIYVENMLKLESGEIGELVGSTILMAVVDRLIELDVEIGWDAILQDDFSKGIFEMELEDVDDIEESAEPDVGEFRLSRKSLAGNSIAELLDNLLVLTFEHLESCEREGRLAKVFETLLQSFRVTVLSAYKSKFAQFVMFYACALDPENCGMRFATVLADLFVQDSQPQPTRMSAVSYLASYLSRAKFLAASSISSMLKRLVDWCLEYCEAHDGDINPNAHRVFYSGCQAIMYVLCFRMKVFVDVPRLKSELLIPLEQVLKHKLNPLKVCLPSVVEEFLRQAKAASLFTVCKTFIFDGLLESELSRAFGGLERLDTFFPFDPCLLKKCDSFIRPMFVFWSMVKPTYDDAFDDDDDDDGSSDDDLVQDFVNENEDIMNDEFGKSFDEQGVDMDEFDYALKKMSITPKATSNYKFGGRFQEPARMPSRIRPSTSPESL >KJB18882 pep chromosome:Graimondii2_0_v6:3:17579667:17580863:-1 gene:B456_003G073600 transcript:KJB18882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGFNEEGNKFPFSYSSSSSSPSSSSSQHKTQMAPPLSNTRWEAQAHQIHDGHHRQMSPNWLNNRYEPEEDNEAATATASTEADSTLSASAANIEKEHLFDKVVTPSDVGKLNRLVIPKQHAEKHFPLDSSTNEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKDKKLDAGDIVSFQRGVGELGKHRLFIDWRRRPDGPDPVSFHPHTHHLSLHRSNTPWSPLLMRPPPTARDRFQLSQINPLNRNSYYGGFPTGNNVVNPGGTMGSVLFFRSAAAPTMEWQQQPGGVVEPIVFDSVPVVQGTGAAAAKRLRLFGVNMECPIPESHNPDMLSTTTIPNATMASQNPQLSSSSQHPLQLRLYNGTPVLPPIDFLSANKGKASFSLDFDI >KJB19530 pep chromosome:Graimondii2_0_v6:3:33060835:33062989:1 gene:B456_003G108000 transcript:KJB19530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSPGIPHRRRHFLRFLTLLSLFFISHSRLTLYRSDSKALSTLLKDLGIASQRFPATDPCSAAGVFCERRLADDDTYVLKVTRLVFNSQMLDGSLSPAIGKLSELKELSVSHNRIGNPVPHEVVHCKKLEILDLQNNKFSGEIPSNLSSLVHLRVLDLSSNKFTGDLTFLKYFPNLENLSLANNLFSVSQYPKRYTFAERKSTNNSRSRAQAPSPIGSKSVTGEGPSSSPVTQHRHKNKSKKAMEWLLGFFSGAVGGGIFGFVFSVMFKLVLATILGAAKDPGPSIFSPLIKKAEDLSFLEKEDGLASLEIIGKGGCGEVYKAELPGSDGKMIAIKKIIQPPKDAAELTDEDSKLLNKKMRQIKSEITTVGQIRHRNLLPLLAHISRPDCHYLVYEFMKNGSLQDILQQVSEGTRELDWLARQRIAKGVAAGLEYLHMHHSPRIIHRDLKPGNILLDDEMEARIADFGLAKAMPDAQTHITTSNLAGTVGYIAPEYHQTLKFTDKCDIYSFGVILCVLVMGKLPSDTFFQHTDEMSLVKWMRNIMISDNPTTAIDPKLIGKGFEDQMILVLKIAYFCTLDDPKERPNSKDVRCMLSQIKS >KJB19529 pep chromosome:Graimondii2_0_v6:3:33060668:33063039:1 gene:B456_003G108000 transcript:KJB19529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSPGIPHRRRHFLRFLTLLSLFFISHSRLTLYRSDSKALSTLLKDLGIASQRFPATDPCSAAGVFCERRLADDDTYVLKVTRLVFNSQMLDGSLSPAIGKLSELKELSVSHNRIGNPVPHEVVHCKKLEILDLQNNKFSGEIPSNLSSLVHLRVLDLSSNKFTGDLTFLKYFPNLENLSLANNLFSGKIPSSIRSFRNLRFFDFSGNNFLEGSAPLMSQIDESTVSQYPKRYTFAERKSTNNSRSRAQAPSPIGSKSVTGEGPSSSPVTQHRHKNKSKKAMEWLLGFFSGAVGGGIFGFVFSVMFKLVLATILGAAKDPGPSIFSPLIKKAEDLSFLEKEDGLASLEIIGKGGCGEVYKAELPGSDGKMIAIKKIIQPPKDAAELTDEDSKLLNKKMRQIKSEITTVGQIRHRNLLPLLAHISRPDCHYLVYEFMKNGSLQDILQQVSEGTRELDWLARQRIAKGVAAGLEYLHMHHSPRIIHRDLKPGNILLDDEMEARIADFGLAKAMPDAQTHITTSNLAGTVGYIAPEYHQTLKFTDKCDIYSFGVILCVLVMGKLPSDTFFQHTDEMSLVKWMRNIMISDNPTTAIDPKLIGKGFEDQMILVLKIAYFCTLDDPKERPNSKDVRCMLSQIKS >KJB21093 pep chromosome:Graimondii2_0_v6:3:45403936:45404652:-1 gene:B456_003G182600 transcript:KJB21093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKKIFGVVFFVLLGVGICSAARTLLTLDEVTPHLSSIGHGGANVGVYGNGYLGGGGGGGAGGGGAHANLGEAGAAGYGGGGGKGEGGGGGPAGYGGGGGGGNGGGGASAGGYGGGASGYGGGGGEGGGAGGAGGYGGAGGIGGGGGSGGGGASAGGYGGGASGYGGGGGEGGGAGGAGGAGGAGGHGGGGGSGGGGASAGGYGGGASGYGGGGGEGGGAGGAGGAGGAGGHGGGGGS >KJB18693 pep chromosome:Graimondii2_0_v6:3:13306911:13311360:-1 gene:B456_003G066000 transcript:KJB18693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLETSEKKVLVEIVKLVQKRGLEGTQGGWKEFLKTYDKKFGASLSDPSKRSNDVLVSFLKTFTKDDDLELFSRVLQSHLNRNRVEQFSKQSRDNETPEQNLVRLTLEHPQYLIDYAFPIVDEGWIVIKPPKKSRALKSNVMIAVDCEMVLCDDGTEALVRVCAVDRDLQVKINELIKPNKAVADYRTEITGVAAGDLDGVTCSVADIQKSLKKLLSNGTILVGHGLHNDLQVLKIDHARVIDTSYIFKYLDAPIYRKPSLNNLCKSVLGYEVRKPGAAHNCLDDACAAMKLVLAKLERGEITLVPDVSQPEREKLLLHRIPVYVHREEISKVIPGAVAIEVKPTKKLQGRHYSAIAIFSSPQEANQAYENMEGNEEKDSHGLPQKLVSFQFGDGSTASLYIRKMAQEDSHREVLSNKRAFEGEETSIESKKLKTDEKITKKVMEDNSQLDDHLKEIERLKQELQEKDAKIVLQDKMISNLLKKVEEMKKVLNKRK >KJB18694 pep chromosome:Graimondii2_0_v6:3:13308571:13311021:-1 gene:B456_003G066000 transcript:KJB18694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLETSEKKVLVEIVKLVQKRGLEGTQGGWKEFLKTYDKKFGASLSDPSKRSNDVLVSFLKTFTKDDDLELFSRVLQSHLNRNRVEQFSKQSRDNETPEQNLVRLTLEHPQYLIDYAFPIVDEGWIVIKPPKKSRALKSNVMIAVDCEMVLCDDGTEALVRVCAVDRDLQVKINELIKPNKAVADYRTEITGVAAGDLDGVTCSVADIQKSLKKLLSNGTILVGHGLHNDLQVLKIDHARVIDTSYIFKYLDAPIYRKPSLNNLCKSVLGYEVRKPGAAHNCLDDACAAMKLVLAKLERGEITLVPDVWTCYYWLSLISIVYCYQLCIFSFSRKSGIST >KJB18695 pep chromosome:Graimondii2_0_v6:3:13307215:13311271:-1 gene:B456_003G066000 transcript:KJB18695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLETSEKKVLVEIVKLVQKRGLEGTQGGWKEFLKTYDKKFGASLSDPSKRSNDVLVSFLKTFTKDDDLELFSRVLQSHLNRNRVEQFSKQSRDNETPEQNLVRLTLEHPQYLIDYAFPIVDEGWIVIKPPKKSRALKSNVMIAVDCEMVLCDDGTEALVRVCAVDRDLQVKINELIKPNKAVADYRTEITGVAAGDLDGVTCSVADIQKSLKKLLSNGTILVGHGLHNDLQVLKIDHARVIDTSYIFKYLDAPIYRKPSLNNLCKSVLGYEVRKPGAAHNCLDDACAAMKLVLAKLERGEITLVPDVSQPEREKLLLHRIPVYVHREEISKVIPGAVAIEVKVDYTLPVSGFPFRNPRLSFSLPWIAACLMFKRLRLVK >KJB18955 pep chromosome:Graimondii2_0_v6:3:18759295:18761946:1 gene:B456_003G077100 transcript:KJB18955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTVGEYRVIRGNLMMIGLEVATEPNLHPLRSFADFHLGILASFTNINRSGLVEAKVPCNHLVECFTCGVVYNLSYILHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIYLLTDQNPIQVIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGNRESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KJB18093 pep chromosome:Graimondii2_0_v6:3:3288995:3291747:-1 gene:B456_003G033700 transcript:KJB18093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWLPGYELMPPYWATFEQLGTPGLILAKTYPTSFGNNLVRFYLIVLFNLNSCKDTKFPHKAMPIASLSRLISLSIHTKQSKIFNLQFRSVSSADKFFTLLEKNQSNIEKTLALVNAKLDPNCVCEVLKRCSFDISLSQIGLRFFIWAGLQSNCRYSSYMYNKAAGFLKIKQNPFLVLDVIKAYRMEKCSVNLKMFKVVLNLCKEANIADEALLLLRKMPEFNLRPDTTAYNVVIRLLCEKGDMDMAHKLMKEIGLIDLYPDMMTYFAMIKGFCNAGRLEEACELFQAMKGQGFSPNAVTYSVLLEGICKYRSTEKALELLGEMEKAGGNCSPNVITYTSVIKSFCEKGQTIEALRILDRMEACQCVPNRITVITLITGLCTEGHVEEAYKLIDRVAGRGVSNSDCYSSLVLALIRINRLNEVEKLFRKMLVSGAKPSGIACSTMIREICHEGRVLDGFCLYNEIERIQYISSIDTDIYSILLVGLCRQSHSVEAVKLARLMLRRRIHLEAPYVDEIVEHLKNSTDKELVTQLSRIAS >KJB18094 pep chromosome:Graimondii2_0_v6:3:3289164:3290783:-1 gene:B456_003G033700 transcript:KJB18094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWLPGYELMPPYWATFEQLGTPGLILAKTYPTSFGNNLVRFYLIVLFNLNSCKDTKFPHKAMPIASLSRLISLSIHTKQSKIFNLQFRSVSSADKFFTLLEKNQSNIEKTLALVNAKLDPNCVCEVLKRCSFDISLSQIGLRFFIWAGLQSNCRYSSYMYNKAAGFLKIKQNPFLVLDVIKAYRMEKCSVNLKMFKVVLNLCKEANIADEALLLLRKMPEFNLRPDTTAYNVVIRLLCEKGDMDMAHKLMKEIGLIDLYPDMMTYFAMIKGFCNAGRLEEACELFQAMKGQGFSPNAVTYSVLLEGICKYRSTEKALELLGEMEKAGGNCSPNVITYTSVIKSFCEKGQTIEALRILDRMEACQCVPNRITVITLITGLCTEGHVEEAYKLIDRVAGRGVSNSDCYSSLVLALIRINRLNEVEKLFRKMLVSGAKPSGIACSTMIREICHEGRVLDGFCLYNEIERIQYISSIDTDIYSILLVGLCRQSHSVEAVKLARLMLRRRIHLEAPYVDEIVEHLKNSTDKELVTQLSRIAS >KJB18729 pep chromosome:Graimondii2_0_v6:3:13976559:13977639:1 gene:B456_003G067500 transcript:KJB18729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFPMINEVCRVLDEGVVARASDLNVASVLGMSFPSYCGGLMFWADRVGSKHIYLSLKKWSERYGSYFKPSRYLEERAMKGMPLSVAVEAKSSLKSNSKL >KJB20743 pep chromosome:Graimondii2_0_v6:3:43154334:43157490:1 gene:B456_003G162400 transcript:KJB20743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGCSSSTLLSPRHRLRSETSAVQFQACHFQTSMSTQRLDLPCNFSRKETSKSQTIRPAVESKAKTSSCSIKQNIRLPPLTTTSAQNPFEGRIEIKGKSLKRFAEQGLVDDETVINRAKRKKGSSDDEKPGDDHGGLSLGQLGAGNFWFQPSLSGDEERGTPPLPLSNNPWIDSVITELTGVGEKDVETIHRPGKEASGSGSTSTSEESHSLGPPLNVQAQEHERGNGSGNPYPHEGARLGANEEEINHREHEGFELIRLLAACVEAIGSKNIAAINHFISKLGELASPKGTVISRLTAYYTEALALRVTRVWPHIFHITTPRELDRLDDDNGTALRLLNQVTPILKFVHFTSNEILLRAFEGKDRVHIVDFDIKQGLQWPSLFQSLASRTNPPSHVRVTGIGESKQELNETGDRLAGFAEALNLPFEFHPVVDRLEDVRLWMLHAKENESIAVNCVFQLHKTLYDGNGGVLRDFLGLIRSINPIAVIIAEQETENNILNLEARVANSLRYYSAIFDSIDSTLPLESPVRIKIEEMFAREIRNLIACEGSDRFERHTSFEKWRKLMEQGGFKCMGITDRELVQSQMLLKMYTSENYSVKKQGPDGDDGALTLSWLDEPLYTVSAWTPIDVAGSSSSFSQPS >KJB19006 pep chromosome:Graimondii2_0_v6:3:19754041:19760436:1 gene:B456_003G080500 transcript:KJB19006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNNFVIEVEKGKDASDGQPSIGPVYRSSFAANGFPAPIPGMESCWDIFRMSVEKYPDNRMLGRRQIVDGKAGKYVWQTYREVYDIVIKVGNSIRSCDVVEGGKCGIYGANCPEWIISMEACNAHGLYCVPLYDTLGAGAVEFIICHAEISIAFVEEKKINELFKTFPASTEHLKTIVSFGKVTPEQKAEAEKHDLKIYPWEEFLQLGENKNYGLPVKKKTDICTIMYTSGTTGDPKGVLISNDSIVTLIAGVKRLLGSVNEQLTMKDVYISYLPLAHIFDRVIEELFISHGASIGFWRGDVKLLVEDIGELKPSIFCAVPRVLDRIYSGLLQKISAGGLLKKKMFDLAYTYKYYNMKKGRKHGEASPICDKIVFSKVKQGLGGNVRLILSGAAPLSTHVEEFLRVVACCHVLQGYGLTESCAGSFVSLPNELSMLGTVGPPVPNIDVRLESVPEMNYDALASTPRGEICIKGNTLFSGYYKREDLTREVLIDGWFHTGDIGEWQPNGSMKIIDRKKNIFKLSQGEYVAVENLENIYSLVSAIDSIWIYGNSFESFLVAVVNPNKEALESWAADNNVSGDFESLCKNPKAKEFILGELAKTGKEKKLKGFEIIKAVHLDPMPFDMERDLLTPTYKKKRPQLLKYYQVSLRFSWFFILVS >KJB19005 pep chromosome:Graimondii2_0_v6:3:19754041:19760436:1 gene:B456_003G080500 transcript:KJB19005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNNFVIEVEKGKDASDGQPSIGPVYRSSFAANGFPAPIPGMESCWDIFRMSVEKYPDNRMLGRRQIVDGKAGKYVWQTYREVYDIVIKVGNSIRSCDVVEGGKCGIYGANCPEWIISMEACNAHGLYCVPLYDTLGAGAVEFIICHAEISIAFVEEKKINELFKTFPASTEHLKTIVSFGKVTPEQKAEAEKHDLKIYPWEEFLQLGENKNYGLPVKKKTDICTIMYTSGTTGDPKGVLISNDSIVTLIAGVKRLLGSVNEQLTMKDVYISYLPLAHIFDRVIEELFISHGASIGFWRGDVKLLVEDIGELKPSIFCAVPRVLDRIYSGLLQKISAGGLLKKKMFDLAYTYKYYNMKKGRKHGEASPICDKIVFSKVKQGLGGNVRLILSGAAPLSTHVEEFLRVVACCHVLQGYGLTESCAGSFVSLPNELSMLGTVGPPVPNIDVRLESVPEMNYDALASTPRGEICIKGNTLFSGYYKREDLTREVLIDGWFHTGDIGEWQPNGSMKIIDRKKNIFKLSQGEYVAVENLENIYSLVSAIDSIWIYGNSFESFLVAVVNPNKEALESWAADNNVSGDFESLCKNPKAKEFILGELAKTGKEKKVTERF >KJB19004 pep chromosome:Graimondii2_0_v6:3:19753937:19760524:1 gene:B456_003G080500 transcript:KJB19004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNNFVIEVEKGKDASDGQPSIGPVYRSSFAANGFPAPIPGMESCWDIFRMSVEKYPDNRMLGRRQIVDGKAGKYVWQTYREVYDIVIKVGNSIRSCDVVEGGKCGIYGANCPEWIISMEACNAHGLYCVPLYDTLGAGAVEFIICHAEISIAFVEEKKINELFKTFPASTEHLKTIVSFGKVTPEQKAEAEKHDLKIYPWEEFLQLGENKNYGLPVKKKTDICTIMYTSGTTGDPKGVLISNDSIVTLIAGVKRLLGSVNEQLTMKDVYISYLPLAHIFDRVIEELFISHGASIGFWRGDVKLLVEDIGELKPSIFCAVPRVLDRIYSGLLQKISAGGLLKKKMFDLAYTYKYYNMKKGRKHGEASPICDKIVFSKVKQGLGGNVRLILSGAAPLSTHVEEFLRVVACCHVLQGYGLTESCAGSFVSLPNELSMLGTVGPPVPNIDVRLESVPEMNYDALASTPRGEICIKGNTLFSGYYKREDLTREVLIDGWFHTGDIGEWQPNGSMKIIDRKKNIFKLSQGEYVAVENLENIYSLVSAIDSIWIYGNSFESFLVAVVNPNKEALESWAADNNVSGDFESLCKNPKAKEFILGELAKTGKEKKLKGFEIIKAVHLDPMPFDMERDLLTPTYKKKRPQLLKYYQSVIDEMYKSANKPNA >KJB19406 pep chromosome:Graimondii2_0_v6:3:31660946:31663239:-1 gene:B456_003G103200 transcript:KJB19406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALSVNSKRQRRPNVRLGEIGYVSAAFACGFSQKTKENLVHKTWKPDSLYSQHNELDTVIEFSSKGISPDFFISADSQHNTENKNPNSSKPGFDSVGADEIHMMKSGLIFGTVTRKSRVMKWRGRSREGNNCLFGSAWTRSSKLSPRFSGEDRKEHGEKELTGIGSNAFAGYYPDNVFQDILDHETSANSKDACEYNVDEPGYDSWKDAFYEGNNVFLKSDDAWDQTIYTHNDTTSVRGWLEDLGFGRYAGIFEMHEVDVESLPLLTPDDLKEMGIFAVGHRRKLYNAIQRLRGNDSS >KJB19408 pep chromosome:Graimondii2_0_v6:3:31661460:31662816:-1 gene:B456_003G103200 transcript:KJB19408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALSVNSKRQRRPNVRLGEIGYVSAAFACGFSQKTKENLVHKTWKPDSLYSQHNELDTVIEFSSKGISPDFFISADSQHNTENKNPNSSKPGFDSVGADEIHMMKSGLIFGTVTRKSRVMKWRGRSREGNNCLFGSAWTRSSKLSPRFSGEDRKEHGEKELTGIGSNAFAGYYPDNVFQDILDHETSANSKDACEYNVDEPGYDSWKDAFYEGNNVFLKSDDAWDQTIYTHNDTTSVRGWLEDLGFGRYAGIFEMHEVDVESLPLLTPDDLKEMGIFAVGHRRKLYNAIQRLRGNDSS >KJB19409 pep chromosome:Graimondii2_0_v6:3:31661828:31662727:-1 gene:B456_003G103200 transcript:KJB19409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALSVNSKRQRRPNVRLGEIGYVSAAFACGFSQKTKENLVHKTWKPDSLYSQHNELDTVIEFSSKGISPDFFISADSQHNTENKNPNSSKPGFDSVGADEIHMMKSGLIFGTVTRKSRVMKWRGRSREGNNCLFGSAWTRSSKLSPRFSGEDRKEHGEKELTGIGSNAFAGYYPDNVFQDILDHETSANSKDACEYNVDEPGYDSWKDAFYEGNNVFLKSDDAWDQTIYTHNDTTSVRGWLEDLGFGRYAGIFEMHEVDVESLPLLTPDDLKEMGIFAVGHRRKLYNAIQRLRGNDSS >KJB19407 pep chromosome:Graimondii2_0_v6:3:31660935:31663239:-1 gene:B456_003G103200 transcript:KJB19407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALSVNSKRQRRPNVRLGEIGYVSAAFACGFSQKTKENLVHKTWKPDSLYSQHNELDTVIEFSSKGISPDFFISADSQHNTENKNPNSSKPGFDSVGADEIHMMKSGLIFGTVTRKSRVMKWRGRSREGNNCLFGSAWTRSSKLSPRFSGEDRKEHGEKELTGIGSNAFAGYYPDNVFQDILDHETSANSKDACEYNVDEPGYDSWKDAFYEGNNVFLKSDDAWDQTIYTHNDTTSVRGWLEDLGFGRYAGIFEMHEVDVESLPLLTPDDLKEMGIFAVGHRRKLYNAIQRLRGNDSS >KJB17693 pep chromosome:Graimondii2_0_v6:3:711720:718402:1 gene:B456_003G011400 transcript:KJB17693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYTCTYSLCKNCTKGADYVNVRGNKGFCGLCLRTIMLIENSTSGNKEMVQVDFDDKTSWEYLFKMYWVFLKEKLSLTLDELTKAKNPWKENAIMEKPFGDLGASFSKRRKMLKQQAFFNKVESVEAEKPGIAKNHLRPTDIISKRSEAVATQMAVLGNSDGPPIIAIDTRCKTHEKVDEKGQKANPDEFAAIDVHNMNLIYLRRSLMENLIDDVEKFNDKVVGSFVRIRIPCDQKQDIYRLVQVVGTIKAAEPYKIGEKTIDVMIEILNLDKKEVVSINGISNQELSEDECQQLRQSIIKSGQIKWFTVGEILEKAMALQAVRVNDCLDSEILRVKNLCNQASKKGDTKDLRECEEKLQLLNSPDERHRRLHEIPDIHRDPNRNLFSDEASGELDEKKKGMELSVNSIEADKIWHYQDPLGKIQGPFAMAMLRGWSSSGHFPPELRVWRVSEKQENSILLTDALVGQYSQLQQLVQHSRMPTEDASMATEDGCRNRDEDARESKDQKVNQMESKHPEGSLNLMQNDTSGHCQNNDSAKSKELGSQSSTCTAPVDIVSSNVAETGNSVPHWDSMKGDNYFPGQPQLSSSLPASTISEKPCETQSDQVSKGHGVERWDCGSIDMNLNLNKTSEGQIIDGNVKQDDNDGKSVKPSGQCWRAPPLNDGSNGWNSNSGLLYLARALEVSELNQDIDFPDIPTSTSKLNHEDSKGQDTKNKQSLSSNVPQLDSGPTWSTASSLVGNGPQLPEVAGEWGGYSSNSTRPSPGEWNPDLVPKSSIKPTDLGSDHAATPTSGSGQLTHSSPTDPPNNESGWDSIVPEPNEYSLGDESVSDLLAEVEAMESLNGLASPTSILRCDGDLAQGSEPDCFSPVGGLSPAPDPGKSDALSSTNDLQMPSLAALTNELFGISTSEVLDAQKSSGGHSSTSAEMDEDTRPSDVSVNQFEARSDMQPPAQPVTTWGMTTVGSPWRPGPETTGTNWGGVHGNTSFHWDDLGQETTNLSWGTGHGTIQENRSSIHSGTSATNPAYFGNQQRYVDTRDQQRYVDPRDQQRYVDPRDQQRYVDTRDRDFHSRGRSSWNRHSSYGGGGPPPNRVGSFRPPPKGQRVCKFYESGYCNRGASCSYWHP >KJB17692 pep chromosome:Graimondii2_0_v6:3:710895:718402:1 gene:B456_003G011400 transcript:KJB17692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCQQVESGTALCKRGGGGDAENNSDGLNRGVELMNVDHCRKVPLTEDSNLVDVAVRGDGGGMGEVKLGNGVKVVEMKRRRGRPPKNPARNMSSSAPAPVTVRKKDEEDVCFICFDGGSLVLCDRHGCPKAYHPACIKRDEAFFRSKAKWNCGWHICSTCQKSSYYMCYTCTYSLCKNCTKGADYVNVRGNKGFCGLCLRTIMLIENSTSGNKEMVQVDFDDKTSWEYLFKMYWVFLKEKLSLTLDELTKAKNPWKENAIMEKPFGDLGASFSKRRKMLKQQAFFNKVESVEAEKPGIAKNHLRPTDIISKRSEAVATQMAVLGNSDGPPIIAIDTRCKTHEKVDEKGQKANPDEFAAIDVHNMNLIYLRRSLMENLIDDVEKFNDKVVGSFVRIRIPCDQKQDIYRLVQVVGTIKAAEPYKIGEKTIDVMIEILNLDKKEVVSINGISNQELSEDECQQLRQSIIKSGQIKWFTVGEILEKAMALQAVRVNDCLDSEILRVKNLCNQASKKGDTKDLRECEEKLQLLNSPDERHRRLHEIPDIHRDPNRNLFSDEASGELDEKKKGMELSVNSIEADKIWHYQDPLGKIQGPFAMAMLRGWSSSGHFPPELRVWRVSEKQENSILLTDALVGQYSQLQQLVQHSRMPTEDASMATEDGCRNRDEDARESKDQKVNQMESKHPEGSLNLMQNDTSGHCQNNDSAKSKELGSQSSTCTAPVDIVSSNVAETGNSVPHWDSMKGDNYFPGQPQLSSSLPASTISEKPCETQSDQVSKGHGVERWDCGSIDMNLNLNKTSEGQIIDGNVKQDDNDGKSVKPSGQCWRAPPLNDGSNGWNSNSGLLYLARALEVSELNQDIDFPDIPTSTSKLNHEDSKGQDTKNKQSLSSNVPQLDSGPTWSTASSLVGNGPQLPEVAGEWGGYSSNSTRPSPGEWNPDLVPKSSIKPTDLGSDHAATPTSGSGQLTHSSPTDPPNNESGWDSIVPEPNEYSLGDESVSDLLAEVEAMESLNGLASPTSILRCDGDLAQGSEPDCFSPVGGLSPAPDPGKSDALSSTNDLQMPSLAALTNELFGISTSEVLDAQKSSGGHSSTSAEMDEDTRPSDVSVNQFEARSDMQPPAQPVTTWGMTTVGSPWRPGPETTGTNWGGVHGNTSFHWDDLGQETTNLSWGTGHGTIQENRSSIHSGTSATNPAYFGNQQRYVDTRDQQRYVDPRDQQRYVDPRDQQRYVDTRDRDFHSRGRSSWNRHSSYGGGGPPPNRVGSFRPPPKGQRVCKFYESGYCNRGASCSYWHP >KJB20556 pep chromosome:Graimondii2_0_v6:3:42312350:42315348:1 gene:B456_003G154200 transcript:KJB20556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLMPTIRKPLTISGQLCSALAFSAPSCSIPRLGPEIRTGFLKDGKIQLKQGQEITITTDYSIKGDETLISMSYKKLAEDVKPGMVILCADGTISFTVLSCDKQNGLVHCRCENSAVLGERKNVNLPGVVVDLPTLTEKDKEDILEWGVPNQIDMIALSFVRKGSDLVEVRKLLGKHAKNILLMSKVENQEGVANFDDILTNSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICVEAESTLDYGDVFKRIMEHSPVPMSPLESLASSAVRTANSAKASLILVLTRGGSTAKLVAKYRPGMPILSMVVPEIQTDSFDWSCSDEAPARHSLVYRGLIPVLYAGSARASHEETTEEALEFAIQHAKAKGLCQNGDSIVALHRIGTASVIKILTAK >KJB20558 pep chromosome:Graimondii2_0_v6:3:42312350:42315348:1 gene:B456_003G154200 transcript:KJB20558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYKKLAEDVKPGMVILCADGTISFTVLSCDKQNGLVHCRCENSAVLGERKNVNLPGVVVDLPTLTEKDKEDILEWGVPNQIDMIALSFVRKGSDLVEVRKLLGKHAKNILLMSKVENQEGVANFDDILTNSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICVEAESTLDYGDVFKRIMEHSPVPMSPLESLASSAVRTANSAKASLILVLTRGGSTAKLVAKYRPGMPILSMVVPEIQTDSFDWSCSDEAPARHSLVYRGLIPVLYAGSARASHEETTEEALEFAIQHAKAKGLCQNGDSIVALHRIGTASVIKILTAK >KJB20557 pep chromosome:Graimondii2_0_v6:3:42314059:42315029:1 gene:B456_003G154200 transcript:KJB20557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIFFSCRRYVENQEGVANFDDILTNSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICVEAESTLDYGDVFKRIMEHSPVPMSPLESLASSAVRTANSAKASLILVLTRGGSTAKLVAKYRPGMPILSMVVPEIQTDSFDWSCSDEAPARHSLVYRGLIPVLYAGSARASHEETTEEALEFAIQHAKAKGLCQNGDSIVALHRIGTASVIKILTAK >KJB20555 pep chromosome:Graimondii2_0_v6:3:42312112:42315476:1 gene:B456_003G154200 transcript:KJB20555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINNHHEGGFEMEKKPKTKIVCTLGPASRSVPMIEKLLRAGMNVARFNFSHGSHAYHQETLDNLRAAMLSTGILCAVMLDTKGPEIRTGFLKDGKIQLKQGQEITITTDYSIKGDETLISMSYKKLAEDVKPGMVILCADGTISFTVLSCDKQNGLVHCRCENSAVLGERKNVNLPGVVVDLPTLTEKDKEDILEWGVPNQIDMIALSFVRKGSDLVEVRKLLGKHAKNILLMSKVENQEGVANFDDILTNSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICVEAESTLDYGDVFKRIMEHSPVPMSPLESLASSAVRTANSAKASLILVLTRGGSTAKLVAKYRPGMPILSMVVPEIQTDSFDWSCSDEAPARHSLVYRGLIPVLYAGSARASHEETTEEALEFAIQHAKAKGLCQNGDSIVALHRIGTASVIKILTAK >KJB19341 pep chromosome:Graimondii2_0_v6:3:29945762:29950124:-1 gene:B456_003G096300 transcript:KJB19341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKGKKMKVAEKVEEENHDQIDGDLVISIEKLQEIQDELEKINEEASEKVLEVEQKYNEVRKPVYDKRNEIINSIPDFWLTAFLSHPALGDLLTEEDQKIFKYINSLEVEDFKDLKSGYTITFNFNSNPYFENTKLTKTFTFLDDGTKITATPIKWKEGKGLPNGVNHEKKGNKRQLAEESFFTWFADAQQKDDMDEIHDEVAEIIKEDLWPNPLTYFNNEADEEDFDGDEGDEEGKDDDDDSDDDQDDDDDDDDDEED >KJB19344 pep chromosome:Graimondii2_0_v6:3:29945577:29950219:-1 gene:B456_003G096300 transcript:KJB19344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKGKKMKVAEKVEEENHDQIDGDLVISIEKLQEIQDELEKINEEASEKVLEVEQKYNEVRKPVYDKRNEIINSIPDFWLTAFLSHPALGDLLTEEDQKIFKYINSLEVEDFKDLKSGYTITFNFNSNPYFENTKLTKTFTFLDDGTKITATPIKWKEGKGLPNGVNHEKKGNKRQLAEESFFTWFADAQQKDDMDEIHDEVAEIIKEDLWPNPLTYFNNEADEEDFDGDEGDEEGKDDDDDSDDDQDDDDDDDDDEED >KJB19342 pep chromosome:Graimondii2_0_v6:3:29945591:29950219:-1 gene:B456_003G096300 transcript:KJB19342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKGKKMKVAEKVEEENHDQIDGDLVISIEKLQEIQDELEKINEEASEKVLEVEQKYNEVRKPVYDKRNEIINSIPDFWLTAFLSHPALGDLLTEEDQKIFKYINSLEVEDFKDLKSGYTITFNFNSNPYFENTKLTKTFTFLDDGTKITATPIKWKEGKGLPNGVNHEKKGNKRQLAEESFFTWFADAQQKDDMDEIHDEVAEIIKEDLWPNPLTYFNNEADEEDFDGDEGDEEGKDDDDDSDDDQDDDDDDDDDEED >KJB19343 pep chromosome:Graimondii2_0_v6:3:29945577:29950170:-1 gene:B456_003G096300 transcript:KJB19343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKGKKMKVAEKVEEENHDQIDGDLVISIEKLQEIQDELEKINEEASEKVLEVEQKYNEVRKPVYDKRNEIINSIPDFWLTAFLSHPALGDLLTEEDQKIFKYINSLEVEDFKDLKSGYTITFNFNSNPYFENTKLTKTFTFLDDGTKITATPIKWKEGKGLPNGVNHEKKGNKRQLAEESFFTWFADAQQKDDMDEIHDEVAEIIKEDLWPNPLTYFNNEADEEDFDGDEGDEEGKDDDDDSDDDQDDDDDDDDDEED >KJB20374 pep chromosome:Graimondii2_0_v6:3:41201666:41204288:-1 gene:B456_003G145400 transcript:KJB20374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFFEKLILLSLFLLVSPLLAKAVSPWKTQLHSSPTAKIQAEKLIRGLNLFPRHAANEGVNEDLTNEPSKIVEKQFKFPVIDAPGPSIQNFAHHAGYYKLPHSKSARMFYFFFESRNSKKDPVVIWLTGGPGCSSELALFYENGPFHLAKNLSLLWNDYGWDKASNILFVDQPIGTGFSYTSDDTDIRHDENGVSNDLYDFLQAFFKQHPQYVKNDFYITGESYAGHYIPAFAVRVHQGNKAKRGIHINLKGFAIGNGLTNPEIQYQAYPDFALNSSLISQSDYVNIKKLVPSCVQAIKSCGSDGGDSCVDSYEICNNVFNQILRIAGTVNYYDIRKQCEGDMCYDFSDVETFLNLKSVREALGVGDIDFVSCSSVVYEAMLMDWMRNFEVGIPSLLEDGIKVLIYAGEYDLICNWLGNSKWVHAMTWSGQKGFSSSPIVPFVVDGVEAGKLKTHGPLTFLKVHDAGHMVPMDQPKASLQMLQKWMHGEIASSETAERIAPK >KJB20974 pep chromosome:Graimondii2_0_v6:3:44722782:44725080:1 gene:B456_003G175500 transcript:KJB20974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYVVIRVKAPVKAPVMPENTCTVQGCADIQNSLPAVAEVHHNPVMHGLTKKSAEAKGKRSVEEIKDEAYIKKGKMKVVNDN >KJB18569 pep chromosome:Graimondii2_0_v6:3:10775260:10778424:1 gene:B456_003G060900 transcript:KJB18569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH87 [Source:Projected from Arabidopsis thaliana (AT3G21330) UniProtKB/Swiss-Prot;Acc:Q8S3D2] MDGLGWDELNSLPVWNSQPNDVEDSFLISNSNSSVYGDKINLPDDIFVNSIQELQEAQPSLVNPDADIVSEMVNPQPVPASACGLTQEWCSSPLLNSSAYGLSSSNASVSDIGSMAVHSQVINGLPNGNAVAGATTTTASSLETLECLLSATNSNTDTSVEDDGLSMIFSDCKSLWNSVATTSTTAPVSSGESQSCSDQYTNNMKQSETNSGSSKRSNDYQSEFKVGLNRVYSNISAATSGGFRLIDENPPKAKKPRLEKALPSSSNINFQLPSSSASSSIDEPDPEAIAQMKEMIYRAAAFRPVNLGMEVMEKPKRKNVRISTDPQTVAARQRRERISERIRVLQRLVPGGNKMDTASMLDEAANYLKFLRSQVKALENLGHPMTAFNPSPINQSLPMQTHNFILLNHNYIRHPIDFKKHYP >KJB17761 pep chromosome:Graimondii2_0_v6:3:898683:903637:-1 gene:B456_003G014000 transcript:KJB17761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDAIEAGETPFDSYPSSFKVHHLMCTELRKFVDRILKIFPEIEAARPRCSLGIKALCSLNNALERAKLLLQYCNESSKLYLAITTDAIVSRCQKSKNFLEQGLCQIQSMVPVMLAVEISQIVDDLRAACFVPDKFEEEAGKVVRELLHQGATTSDSMELLEMSALQKAASRLHITSSKAILIEKRSIKKLMEKVADTDIQKKKILKYLLYLLRKYGHLIIGEQTDKTVDRNEGAFTVNNPSNVPDVASHKEYKQHDVEADKLSGLIPPEEFKCPISLRLMYDPVVIASGQTYERFWIQKWFDDGNDTCPKSETKLAHLSLTPNTVMKDLISKWCAKYGITIQDPSLQSDVLQLLENSSTSVASFGSSIYDMRFPVDISNVSLGSLDTSYTSDGSRNKIGDGLSLKPERGSDDLRQYQNPRNASKMNLESLCRLPEFDWESQYEMVEDMRNHLKRDELACMSLSSKNFIEPLIEFLSSALDMHNSRAQRAGFELLLTYVSQHRSGIRYLNEDAYSLLSSSLDSEVTKEVLDIIEVLSGNSGCWSKIAASGALVSILNILNSKITAFQERVIKILHNLSSSHDICSNLASFECIPKFVPFLEDASLARHCIVVLRNLCNNQEARASITQTPGCITSIAMLIETGTQEDQEHALAILLALCSQRVEYCQLVMDECDIFPALFDVSVNGSDKGKASAMELLRLLRDTNHDDDEQEHLQYDNVISEDANNYPKDKKSHKSLFGVKLSMFSRSSAQKKKK >KJB20585 pep chromosome:Graimondii2_0_v6:3:42671132:42673049:1 gene:B456_003G157100 transcript:KJB20585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDLDNSSSGSDIASSIEFQRPAKPRVRHTKPWVPSASMKSTNRNGYRDVRSIIHAPQSVSVHPTLTIAHTLNFIEHFLTVLCLSSDI >KJB20584 pep chromosome:Graimondii2_0_v6:3:42670038:42673695:1 gene:B456_003G157100 transcript:KJB20584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDLDNSSSGSDIGEKDHDFPSSIEFQRPAKPRVRHTKPWVPSASMKSTNRNGYRDVRSIIHAPQSVNLARLDTNSLRRYCKHFKLGGINAYSPREQLLNTVQQHFMSQLPLNEVHVISEFITAAKRLKTVDGDTKGEQL >KJB20586 pep chromosome:Graimondii2_0_v6:3:42670101:42673695:1 gene:B456_003G157100 transcript:KJB20586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDLDNSSSGSDIASSIEFQRPAKPRVRHTKPWVPSASMKSTNRNGYRDVRSIIHAPQSVSVHPTLTIAHTLNFIEHFLTVLCLSSDI >KJB20587 pep chromosome:Graimondii2_0_v6:3:42670101:42673695:1 gene:B456_003G157100 transcript:KJB20587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDLDNSSSGSDIASSIEFQRPAKPRVRHTKPWVPSASMKSTNRNGYRDVRSIIHAPQSVNLARLDTNSLRRYCKHFKLVRPSNRLPR >KJB20583 pep chromosome:Graimondii2_0_v6:3:42669901:42673859:1 gene:B456_003G157100 transcript:KJB20583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDLDNSSSGSDIASSIEFQRPAKPRVRHTKPWVPSASMKSTNRNGYRDVRSIIHAPQSVNLARLDTNSLRRYCKHFKLGGINAYSPREQLLNTVQQHFMSQLPLNEVHVISEFITAAKRLKTVDGDTKGEQL >KJB20588 pep chromosome:Graimondii2_0_v6:3:42670101:42673695:1 gene:B456_003G157100 transcript:KJB20588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDLDNSSSGSDIASSIEFQRPAKPRVRHTKPWVPSASMKSTNRNGYRDVRSIIHAPQSVNLARLDTNSLRRYCKHFKLGGINAYSPREQLLNTVQQHFMSQVQHPSIHTYIYMNAWLPWLCYSA >KJB20582 pep chromosome:Graimondii2_0_v6:3:42670038:42673695:1 gene:B456_003G157100 transcript:KJB20582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDLDNSSSGSDIGEKDHDFPSSIEFQRPAKPRVRHTKPWVPSASMKSTNRNGYRDVRSIIHAPQSVNLARLDTNSLRRYCKHFKLGGINAYSPREQLLNTVQQHFMSQLPLNEVHVISEFITAAKRLKTVDGDTKGEQL >KJB20581 pep chromosome:Graimondii2_0_v6:3:42670038:42673695:1 gene:B456_003G157100 transcript:KJB20581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDLDNSSSGSDIASSIEFQRPAKPRVRHTKPWVPSASMKSTNRNGYRDVRSIIHAPQSVNLARLDTNSLRRYCKHFKLGGINAYSPREQLLNTVQQHFMSQLPLNEVHVISEFITAAKRLKTVDGDTKGEQL >KJB20434 pep chromosome:Graimondii2_0_v6:3:41561390:41565295:1 gene:B456_003G148100 transcript:KJB20434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDDGESSADINITVKFSGRSIPISIPKDSTIKQLKSHLQPLTNVLPRGQKLIFKGKLLVDAMTLKESEVMNGAKLMLMASQGLHQGGGPILKEAQTRPISRGKETEYKRPDARTEIVMEKDRLQRWKTTGVIALADCNLKAIPNEVWDCGSSARVLDVNNNSIQDVPNKISCLNSIQKLFLDGNGISDEAIRWEGLASLKYLTVLSFTRNQLMNLPSALGSLTSLRQLHVANNKLTSLPNEIGMLTRLEVLKANNNRITTVPECIGECSSLIEVDLSANLLSELPDTLGNLHNLKALHLSNNGLKSLPCTLFKHCLQLATLDLHNTEITMDVLRQGGKNLMNAGAQSIRSSWILELLALLNSMKALIKTNSFSYITCSLLQETRKEKFADIDSVYALNSSKP >KJB20432 pep chromosome:Graimondii2_0_v6:3:41561520:41565011:1 gene:B456_003G148100 transcript:KJB20432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDDGESSADINITVKFSGRSIPISIPKDSTIKQLKSHLQPLTNVLPRGQKLIFKGKLLVDAMTLKESEVMNGAKLMLMASQGLHQGGGPILKEAQTRPISRGKETEYKRPDARTEIVMEKDRLQRWKTTGVIALADCNLKAIPNEVWDCGSSARVLDVNNNSIQDVPNKISCLNSIQKLFLDGNGISDEAIRWEGLASLKYLTVLSFTRNQLMNLPSALGSLTSLRQLHVANNKLTSLPNEIGMLTRLEVLKANNNRITTVPECIGECSSLIEVDLSANLLSELPDTLGNLHNLKALHLSNNGLKSLPCTLFKHCLQLATLDLHNTEITMDVLRQFEGWEEFDERRRSKHQKQLDFRVVSSAQFDEGADKN >KJB20435 pep chromosome:Graimondii2_0_v6:3:41561877:41565255:1 gene:B456_003G148100 transcript:KJB20435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKESEVMNGAKLMLMASQGLHQGGGPILKEAQTRPISRGKETEYKRPDARTEIVMEKDRLQRWKTTGVIALADCNLKAIPNEVWDCGSSARVLDVNNNSIQDVPNKISCLNSIQKLFLDGNGISDEAIRWEGLASLKYLTVLSFTRNQLMNLPSALGSLTSLRQLHVANNKLTSLPNEIGMLTRLEVLKANNNRITTVPECIGECSSLIEVDLSANLLSELPDTLGNLHNLKALHLSNNGLKSLPCTLFKHCLQLATLDLHNTEITMDVLRQFEGWEEFDERRRSKHQKQLDFRVVSSAQFDEGADKN >KJB20433 pep chromosome:Graimondii2_0_v6:3:41561413:41564225:1 gene:B456_003G148100 transcript:KJB20433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDDGESSADINITVKFSGRSIPISIPKDSTIKQLKSHLQPLTNVLPRGQKLIFKGKLLVDAMTLKESEVMNGAKLMLMASQGLHQGGGPILKEAQTRPISRGKETEYKRPDARTEIVMEKDRLQRWKTTGVIALADCNLKAIPNEVWDCGSSARVLDVNNNSIQDVPNKISCLNSIQKLFLDGNGISDEAIRWEGLASLKYLTVLSFTRNQLMNLPSALGSLTSLRQLHVANNKLTSLPNEIGMLTRLEVLKANNNSWCYSFFGIQDNHSSGMHWRM >KJB17785 pep chromosome:Graimondii2_0_v6:3:1030070:1031872:-1 gene:B456_003G015400 transcript:KJB17785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMCADNGNLMAIAQQVIKQKQEQEQQQQQQQQQQQQQQLLGLNPFSLNPWSSTTHHGVSSSGNVGYGLTGPGFTDPFQGDAGEGFQFPNMEHHHSIGFRFPDFGGGAGGEFDSDEWMDSLMNGGGHSTDTSNLPSGCDAWQNSADFGIYGADPFTACPSRLTLTCSPPSNLNRVIPTTTLPAWPPSSPPPPPQVTVEETKTSSPQNQNPTINDGAGISSGSPETDLSPPLLKALLDCATLADSEPERAIKSLAKLKESVSEHGDPTERVAFYFTEALHSRLSHQTDQRLTMIETTSEDFTLSYKALNDACPYSKFAHLTANQAILEATDRASKIHIVDFGIVQGVQWAALLQALATRSAGKPTRIRISGIPAPVLGSTPAPSLYATGNRLRDFSKLLDLNFEFEPILTPVTELTGSSFRVDEDEVLAVNFMLQLYNLLDSTPAAVETALALAKSLNPKIVTLGEYEASLNRVGFLNRFKNALRYYSAVFESLEPNLPRDSPERIQVEKHLLGRKVAGVIGREEAGTQRERMEDKEQWKILMEISGFETVTLSHYAISQAKILLWNYNYSSSYSLIESQPGFLSLAWNEVPLLTVSSWR >KJB17786 pep chromosome:Graimondii2_0_v6:3:1029736:1032347:-1 gene:B456_003G015400 transcript:KJB17786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMCADNGNLMAIAQQVIKQKQEQEQQQQQQQQQQQQQQLLGLNPFSLNPWSSTTHHGVSSSGNVGYGLTGPGFTDPFQGDAGEGFQFPNMEHHHSIGFRFPDFGGGAGGEFDSDEWMDSLMNGGGHSTDTSNLPSGCDAWQNSADFGIYGADPFTACPSRLTLTCSPPSNLNRVIPTTTLPAWPPSSPPPPPQVTVEETKTSSPQNQNPTINDGAGISSGSPETDLSPPLLKALLDCATLADSEPERAIKSLAKLKESVSEHGDPTERVAFYFTEALHSRLSHQTDQRLTMIETTSEDFTLSYKALNDACPYSKFAHLTANQAILEATDRASKIHIVDFGIVQGVQWAALLQALATRSAGKPTRIRISGIPAPVLGSTPAPSLYATGNRLRDFSKLLDLNFEFEPILTPVTELTGSSFRVDEDEVLAVNFMLQLYNLLDSTPAAVETALALAKSLNPKIVTLGEYEASLNRVGFLNRFKNALRYYSAVFESLEPNLPRDSPERIQVEKHLLGRKVAGVIGREEAGTQRERMEDKEQWKILMEISGFETVTLSHYAISQAKILLWNYNYSSSYSLIESQPGFLSLAWNEVPLLTVSSWR >KJB17787 pep chromosome:Graimondii2_0_v6:3:1029936:1032199:-1 gene:B456_003G015400 transcript:KJB17787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMCADNGNLMAIAQQVIKQKQEQEQQQQQQQQQQQQQQLLGLNPFSLNPWSSTTHHGVSSSGNVGYGLTGPGFTDPFQGDAGEGFQFPNMEHHHSIGFRFPDFGGGAGGEFDSDEWMDSLMNGGGHSTDTSNLPSGCDAWQNSADFGIYGADPFTACPSRLTLTCSPPSNLNRVIPTTTLPAWPPSSPPPPPQVTVEETKTSSPQNQNPTINDGAGISSGSPETDLSPPLLKALLDCATLADSEPERAIKSLAKLKESVSEHGDPTERVAFYFTEALHSRLSHQTDQRLTMIETTSEDFTLSYKALNDACPYSKFAHLTANQAILEATDRASKIHIVDFGIVQGVQWAALLQALATRSAGKPTRIRISGIPAPVLGSTPAPSLYATGNLIGREEAGTQRERMEDKEQWKILMEISGFETVTLSHYAISQAKILLWNYNYSSSYSLIESQPGFLSLAWNEVPLLTVSSWR >KJB18986 pep chromosome:Graimondii2_0_v6:3:19510553:19511310:-1 gene:B456_003G079100 transcript:KJB18986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCMEASKRQMKEEEEEEEIEKENSTGKTRVKVKIVLTKEELELFMVKLKKNHGGGKRLGDLLEEIKKARCGDKHHSWRPSLESIKED >KJB18003 pep chromosome:Graimondii2_0_v6:3:2603553:2605560:1 gene:B456_003G028800 transcript:KJB18003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNAQKSKMAREKNLEKAKNAAKGSQLETNKKAMTIQCKVCMQTFICTTSEVKCREHAEAKHPKSDVYACFPHLKK >KJB19190 pep chromosome:Graimondii2_0_v6:3:23889969:23898894:-1 gene:B456_003G088000 transcript:KJB19190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRQVVPIVPQGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLKSINFPKDKKVYVIGEDGILKELELAGFQYLGGPEDGGKKIELKPGFLMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAICGSTQREPLVVGKPSTFMMDYLANKFGILKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLSMLQSPSNSIQPDFYTNKISDFLSIKAATL >KJB19192 pep chromosome:Graimondii2_0_v6:3:23889969:23899860:-1 gene:B456_003G088000 transcript:KJB19192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKAAVSVSVAASITAASSSSSKFVINTPHRFLCLKRLSSFSSFSASALAIKCTSISNCSPNKSARSMEGFTTRTRASAQPLENASELIASVETFIFDCDGVIWKGDKLIDGVPETLDMLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLKSINFPKDKKVYVIGEDGILKELELAGFQYLGGPEDGGKKIELKPGFLMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGQYLISGGGSMVGAICGSTQREPLVVGKPSTFMMDYLANKFGILKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLSMLQSPSNSIQPDFYTNKISDFLSIKAATL >KJB19194 pep chromosome:Graimondii2_0_v6:3:23889969:23899882:-1 gene:B456_003G088000 transcript:KJB19194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKAAVSVSVAASITAASSSSSKFVINTPHRFLCLKRLSSFSSFSASALAIKCTSISNCSPNKSARSMEGFTTRTRASAQPLENASELIASVETFIFDCDGVIWKGDKLIDGVPETLDMLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLKSINFPKDKKVYVIGEDGILKELELAGFQYLGGPEDGGKKIELKPGFLMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAICGSTQREPLVVGKPSTFMMDYLANKFGILKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLSMLQSPSNSIQPDFYTNKISDFLSIKAATL >KJB19191 pep chromosome:Graimondii2_0_v6:3:23889969:23899860:-1 gene:B456_003G088000 transcript:KJB19191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKAAVSVSVAASITAASSSSSKFVINTPHRFLCLKRLSSFSSFSASALAIKCTSISNCSPNKSARSMEGFTTRTRASAQPLENASELIASVETFIFDCDGVIWKGDKLIDGVPETLDMLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLKSINFPKDKKVYVIGEDGILKELELAGFQYLGGPEDGGKKIELKPGFLMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGSIHFSLNWTFVFHNFICFSYKHLVVVLWLVPSVDLLNVSHLLWESPQLL >KJB19193 pep chromosome:Graimondii2_0_v6:3:23889969:23899860:-1 gene:B456_003G088000 transcript:KJB19193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKAAVSVSVAASITAASSSSSKFVINTPHRFLCLKRLSSFSSFSASALAIKCTSISNCSPNKSARSMEGFTTRTRASAQPLENASELIASVETFIFDCDGVIWKGDKLIDGVPETLDMLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLKSINFPKDKKVYVIGEDGILKELELAGFQYLGGPVCKHFNLHEDGGKKIELKPGFLMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAICGSTQREPLVVGKPSTFMMDYLANKFGILKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLSMLQSPSNSIQPDFYTNKISDFLSIKAATL >KJB20668 pep chromosome:Graimondii2_0_v6:3:42793021:42794110:-1 gene:B456_003G158500 transcript:KJB20668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSSATTGDQRDKSTEEEVIIREVDTDQPSTCPENELDDRELDGSESKPPLNKSDILKAVEVVERDSRAIADSFSSLFASLRLALSEVTSGSVDHMRCFGDAAGRLQESGNEILNMVLHWGGFVC >KJB20666 pep chromosome:Graimondii2_0_v6:3:42791265:42794214:-1 gene:B456_003G158500 transcript:KJB20666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSSATTGDQRDKSTEEEVIIREVDTDQPSTCPENELDDRELDGSESKPPLNKSDILKAVEVVERDSRAIADSFSSLFASLRLALSEVTSGSVDHMRCFGDAAGRLQESALDAATKGNRYINSCLRLNEEMKGMESLATQLKVLRRNVDALDTAVNKLVRLP >KJB20667 pep chromosome:Graimondii2_0_v6:3:42792506:42794138:-1 gene:B456_003G158500 transcript:KJB20667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSSATTGDQRDKSTEEEVIIREVDTDQPSTCPENELDDRELDGSESKPPLNKSDILKAVEVVERDSRAIADSFSSLFASLRLALSEVTSGSVDHMRCFGDAAGRLQESALDAATKGNRYINSCLR >KJB20103 pep chromosome:Graimondii2_0_v6:3:38939012:38943918:-1 gene:B456_003G133000 transcript:KJB20103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAWSKSIGRCTVSFISVLLTQLALFLVPLFFSASPILIQLTLSALVLVVVLGFGGWCKRLLGFNASAPAFVFCSIFFIWGVYIAIVRQAISPVMDFVLNVEMIMLIIGLFRLFFFSLQSFATSIIWIMLKDPGFVVCESFSLDELNENSVLGVQTHNESSLLQMRARYCKSCQTYVQGFDHHCPAFGNCIGQKNYVLFMVLLVGFITAEISYIVCSSQFASKFRVLEENRVESGSILVMARSTLLFCVLQVLWQGPFLIWHVYCICFNIRTEEWVNWKKYPEFQLNASSLSGENYQETSFKNPHNKGILQNVKEFLTLK >KJB20101 pep chromosome:Graimondii2_0_v6:3:38938734:38944355:-1 gene:B456_003G133000 transcript:KJB20101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAWSKSIGRCTVSFISVLLTQLALFLVPLFFSASPILIQLTLSALVLVVVLGFGGWCKRLLGFNASAPAFVFCSIFFIWGVYIAIVRQAISPVMDFVLNVEMIMLIIGLFRIMLKDPGFVVCESFSLDELNENSVLGVQTHNESSLLQMRARYCKSCQTYVQGFDHHCPAFGNCIGQKNYVLFMVLLVGFITAEISYIVCSSQFASKFRVLEENRVESGSILVMARSTLLFCVLQVLWQGPFLIWHVYCICFNIRTEEWVNWKKYPEFQLNASSLSGENYQETSFKNPHNKGILQNVKEFLTLK >KJB20102 pep chromosome:Graimondii2_0_v6:3:38939012:38942889:-1 gene:B456_003G133000 transcript:KJB20102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVLNVEMIMLIIGLFRIMLKDPGFVVCESFSLDELNENSVLGVQTHNESSLLQMRARYCKSCQTYVQGFDHHCPAFGNCIGQKNYVLFMVLLVGFITAEISYIVCSSQFASKFRVLEENRVESGSILVMARSTLLFCVLQVLWQGPFLIWHVYCICFNIRTEEWVNWKKYPEFQLNASSLSGENYQETSFKNPHNKGILQNVKEFLTLK >KJB20100 pep chromosome:Graimondii2_0_v6:3:38938734:38944239:-1 gene:B456_003G133000 transcript:KJB20100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVLNVEMIMLIIGLFRIMLKDPGFVVCESFSLDELNENSVLGVQTHNESSLLQMRARYCKSCQTYVQGFDHHCPAFGNCIGQKNYVLFMVLLVGFITAEISYIVCSSQFASKFRVLEENRVESGSILVMARSTLLFCVLQVLWQGPFLIWHVYCICFNIRTEEWVNWKKYPEFQLNASSLSGENYQETSFKNPHNKGILQNVKEFLTLK >KJB19317 pep chromosome:Graimondii2_0_v6:3:29727734:29733267:-1 gene:B456_003G095000 transcript:KJB19317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate dehydrogenase 12A1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G62530) UniProtKB/Swiss-Prot;Acc:Q8VZC3] MQPFVESLSKCPKHGLHNPFKSPERYLLYGDISAKAAHMLALPKVSDFFTRLIQRVSPKSYQQAFGEVYVTQKFLENFSGDQVRFLARSFAVPGNHIGQQSHGYRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPVLKVDSKVSIVMEQMMRLLHYCGLPAEDVDFINSDGKTMNKLLMEANPRMTLFTGSSRIAEKLAVDLKGRVKLEDAGFDWKILGPDVEEVDYVAWVCDQDAYACSGQKCSAQSILFMHENWSTSSLISKIKDLAERRKLADLTVGPVLTVTTEAMLEHKKKLLQISGSKLLFGGEPLENHSIPAIYGAIKPTAIYIPLEEILKPANYELVTKEIFGPFQIITDYKKDQLPMVLDALERMHAHLTAAVVSNDPIFLQDVIGKTVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDFGPVPRGWEIPPST >KJB19316 pep chromosome:Graimondii2_0_v6:3:29727648:29733267:-1 gene:B456_003G095000 transcript:KJB19316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate dehydrogenase 12A1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G62530) UniProtKB/Swiss-Prot;Acc:Q8VZC3] MHRIVLQREIRSRASHKALSWFSSSNLFRSVHSLPFATVEAEGISGSQPAEVQNLVQGKWTGSAAWNTLVDPLNGEPFIKVAEVDGNGIQPFVESLSKCPKHGLHNPFKSPERYLLYGDISAKAAHMLALPKVSDFFTRLIQRVSPKSYQQAFGEVYVTQKFLENFSGDQVRFLARSFAVPGNHIGQQSHGYRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPVLKVDSKVSIVMEQMMRLLHYCGLPAEDVDFINSDGKTMNKLLMEANPRMTLFTGSSRIAEKLAVDLKGRVKLEDAGFDWKILGPDVEEVDYVAWVCDQDAYACSGQKCSAQSILFMHENWSTSSLISKIKDLAERRKLADLTVGPVLTVTTEAMLEHKKKLLQISGSKLLFGGEPLENHSIPAIYGAIKPTAIYIPLEEILKPANYELVTKEIFGPFQIITDYKKDQLPMVLDALERMHAHLTAAVVSNDPIFLQDVIGKTVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDFGPVPRGWEIPPST >KJB19318 pep chromosome:Graimondii2_0_v6:3:29728658:29733267:-1 gene:B456_003G095000 transcript:KJB19318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate dehydrogenase 12A1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G62530) UniProtKB/Swiss-Prot;Acc:Q8VZC3] MHRIVLQREIRSRASHKALSWFSSSNLFRSVHSLPFATVEAEGISGSQPAEVQNLVQGKWTGSAAWNTLVDPLNGEPFIKVAEVDGNGIQPFVESLSKCPKHGLHNPFKSPERYLLYGDISAKAAHMLALPKVSDFFTRLIQRVSPKSYQQAFGEVYVTQKFLENFSGDQVRFLARSFAVPGNHIGQQSHGYRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPVLKVDSKVSIVMEQMMRLLHYCGLPAEDVDFINSDGKTMNKLLMEANPRMTLFTGSSRIAEKLAVDLKGRVKLEDAGFDWKILGPDVEEVDYVAWVCDQDAYACSGQKCSAQSILFMHENWSTSSLISKIKDLAERRKLADLTVGPVLTVTTEAMLEHKKKLLQISGSKLLFGGEPLENHSIPAIYGAIKPTAIYIPLEEILKPANYELVTKEIFGPFQIITDYKKDQLPMVLDALERMHAHLTAAVVSNDPIFLQVRLSTWDFINLYFFSAL >KJB18940 pep chromosome:Graimondii2_0_v6:3:18346855:18347214:-1 gene:B456_003G076200 transcript:KJB18940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLLVVVVFLLFSYSFAKLHGTLIDHVAKLLPLFASKLINYIYVFAEGAEKGPYKFHSNGECLAHAKEGNGKGCHFAEICSSGGDCRQACAALGRNPDAVECVTSTGENRYYCLNI >KJB17626 pep chromosome:Graimondii2_0_v6:3:532722:536652:-1 gene:B456_003G008700 transcript:KJB17626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYGPSRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPVKHEKKTLEMIGKKLKKNSVTLDIVNFGEDDEGKTEKLEALLAAVNNNDTSHIVHIPAGPNALSDVLLSTPIFTGDGEGGSGFAASAAAAAAGGISGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEESSKQEKGGEEQDATMTERASEGASEADNKKNEFLQALAMSMDEPASSHDLQDTEMSDAATEDPELALALQLSVQDSAKDSSSQADMSKLLADQSFVSSILASLPGVDPNDPSVKEVLASMQSQSEPQQKQDEDKAPKEEDKK >KJB17624 pep chromosome:Graimondii2_0_v6:3:532704:536672:-1 gene:B456_003G008700 transcript:KJB17624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYGPSRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPVKHEKKTLEMIGKKLKKNSVTLDIVNFGEDDEGKTEKLEALLAAVNNNDTSHIVHIPAGPNALSDVLLSTPIFTGDGEGGSGFAASAAAAAAGGISGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEESSKQEKGGEEQDATMTERASEGASEADNKKNEFLQALAMSMDEPASSHDLQDTEMSDAATEDPELALALQLSVQDSAKDSSSQADMSKLLADQSFVSSILASLPGVDPNDPSVKEVLASMQSQSEPQQKQDEDKAPKEEDKK >KJB17625 pep chromosome:Graimondii2_0_v6:3:532704:536672:-1 gene:B456_003G008700 transcript:KJB17625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYGPSRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPVKHEKKTLEMIGKKLKKNSVTLDIVNFGEDDEGKTEKLEALLAAVNNNDTSHIVHIPAGPNALSDVLLSTPIFTGDGEGGSGFAASAAAAAAGGISGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEESSKQEKGGEEQDATMTERASEGASEADNKKNEFLALAMSMDEPASSHDLQDTEMSDAATEDPELALALQLSVQDSAKDSSSQADMSKLLADQSFVSSILASLPGVDPNDPSVKEVLASMQSQSEPQQKQDEDKAPKEEDKK >KJB17628 pep chromosome:Graimondii2_0_v6:3:532722:535439:-1 gene:B456_003G008700 transcript:KJB17628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPVKHEKKTLEMIGKKLKKNSVTLDIVNFGEDDEGKTEKLEALLAAVNNNDTSHIVHIPAGPNALSDVLLSTPIFTGDGEGGSGFAASAAAAAAGGISGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEESSKQEKGGEEQDATMTERASEGASEADNKKNEFLQALAMSMDEPASSHDLQDTEMSDAATEDPELALALQLSVQDSAKDSSSQADMSKLLADQSFVSSILASLPGVDPNDPSVKEVLASMQSQSEPQQKQDEDKAPKEEDKK >KJB17627 pep chromosome:Graimondii2_0_v6:3:533102:536401:-1 gene:B456_003G008700 transcript:KJB17627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYGPSRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPVKHEKKTLEMIGKKLKKNSVTLDIVNFGEDDEGKTEKLEALLAAVNNNDTSHIVHIPAGPNALSDVLLSTPIFTGDGEGGSGFAASAAAAAAGGISGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEESSKQEKGGEEQDATMTERASEGASEADNKKNEFLALAMSMDEPASSHDLQDTEMSDAATEDPELALALQLSVQDSAKDSSSQADMSKLLADQSFVSSILASLPGVDPNDPSVKEVLASMQSQSEPQQKQDEDKAPKEEDKK >KJB17629 pep chromosome:Graimondii2_0_v6:3:532722:536139:-1 gene:B456_003G008700 transcript:KJB17629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPVKHEKKTLEMIGKKLKKNSVTLDIVNFGEDDEGKTEKLEALLAAVNNNDTSHIVHIPAGPNALSDVLLSTPIFTGDGEGGSGFAASAAAAAAGGISGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEESSKQEKGGEEQDATMTERASEGASEADNKKNEFLQALAMSMDEPASSHDLQDTEMSDAATEDPELALALQLSVQDSAKDSSSQADMSKLLADQSFVSSILASLPGVDPNDPSVKEVLASMQSQSEPQQKQDEDKAPKEEDKK >KJB17630 pep chromosome:Graimondii2_0_v6:3:533102:536401:-1 gene:B456_003G008700 transcript:KJB17630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYGPSRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPVKHEKKTLEMIGKKLKKNSVTLDIVNFGEDDEGKTEKLEALLAAVNNNDTSHIVHIPAGPNALSDVLLSTPIFTGDGEGGSGFAASAAAAAAGGISGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEESSKQEKGGEEQDATMTERASEGASEADNKKNEFLQALAMSMDEPASSHDLQDTEMSDAATEDPELALALQLSVQDSAKDSSSQADMSKLLADQSFVSSILASLPGVDPNDPSVKEVLASMQSQSEPQQKQDEDKAPKEEDKK >KJB19507 pep chromosome:Graimondii2_0_v6:3:32817739:32819680:1 gene:B456_003G106700 transcript:KJB19507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITMQDPIGIPACFSSGEKPTDHDLAVTRSGQSVFMSVYRTKMADHCRLITVTWCKNLLLHGLSISVEGPEGESQYTCKIELKPWYFWRKQGSKRFTVDDGKPVDIFWDLKSAKFNGETEPGSDYYVAVVSEEEIVLLLGDLKQDAYRKTGCRPANFNPIFVSRKEHIFGKKKFSSRAKFHEKGRFHEISIECKSGVDDEPEMEMRIDGQLVLHVKHLQWKFRVSSAPSEGFNHNGLSEYCLFVYAWKVE >KJB21201 pep chromosome:Graimondii2_0_v6:3:45751143:45754979:-1 gene:B456_003G187400 transcript:KJB21201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYVWFQYFHFGFKLDANCQGKNQLQSHRILCLLHCFGFYTYISISPSLKLPFIPFGGLILLHSLCQNMKMKPPSPFHFTPKPLRTTTLLMQFYHTSSSLGPNCRRVSSTLEPRATGKFPLTKSSLHRTRIPSVTFATANSHLDTPGSSNKLEAMEERIEKVIYRCRFMTLLAVFGSLTGSFLCFIKGCSYIMSSFMEYWVDRSKVILLLVEAIDIYLVGTVMLVFGMGLYELFVCNLDIAKTQSKEKATSTSNLFGLFALKDFLTSARRL >KJB21203 pep chromosome:Graimondii2_0_v6:3:45753526:45754794:-1 gene:B456_003G187400 transcript:KJB21203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYVWFQYFHFGFKLDANCQGKNQLQSHRILCLLHCFGFYTYISISPSLKLPFIPFGGLILLHSLCQNMKMKPPSPFHFTPKPLRTTTLLMQFYHTSSSLGPNCRRVSSTLEPRATGKFPLTKSSLHRTRIPSVTFATANSHLDTPGSSNKLEAMEERIEKVIYRCRFMTLLAVFGSLTGSFLCFIKGCSYIMSSFMEYWVDRSKVILLLVEAIGEGWFVMEESKYLCSYVYG >KJB21202 pep chromosome:Graimondii2_0_v6:3:45751352:45754794:-1 gene:B456_003G187400 transcript:KJB21202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYVWFQYFHFGFKLDANCQGKNQLQSHRILCLLHCFGFYTYISISPSLKLPFIPFGGLILLHSLCQNMKMKPPSPFHFTPKPLRTTTLLMQFYHTSSSLGPNCRRVSSTLEPRATGKFPLTKSSLHRTRIPSVTFATANSHLDTPGSSNKLEAMEERIEKVIYRCRFMTLLAVFGSLTGSFLCFIKGCSYIMSSFMEYWVDRSKVILLLVEAIDIYLVGTVMLVFGMGLYELFVCNLDIAKTQSKEKATSTSNLFGLFALKERPRWLEIKSVSELKTKLGHVIVMLLLIGFFDKCKKAVIHSTLDLLCFSASVLLSSGCLFLLSKLNGST >KJB19041 pep chromosome:Graimondii2_0_v6:3:20260846:20262456:1 gene:B456_003G082300 transcript:KJB19041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSTTHTSLSLSLFSCFSSMSELPANSKEKNSPQEQQQRSNSNHTPRPNLNVLLPQPRFPVNSNDDSDGEYETDEYFGGEDSEDKKQEELKIMQLEFWVFVFGELDNMLTRLTHNLLNP >KJB18539 pep chromosome:Graimondii2_0_v6:3:10275688:10280032:-1 gene:B456_003G059300 transcript:KJB18539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFICFLFSFALSFLFFLFSFYYKKRLHKQQHPQDEQGKSQNEPALSNPSLSHKNAQTRESDSTHFPHSLLLEILPPDSTQWADLFTGESHPREETRPVGSVGEGDLFESQSAKKKKKRGKKKRLDSKGEEENEEDRKKREEPGQSCSGSRVKPQLVCLYPFTSTSSATQRKIKQQYDQLVKCHGNKGLTLAQVGEFANCLIEARNDLQHKSEVIKRKFTITKALLFKADRSSFDRLRQQIYKLEMEQKRLEEDAFVYNWLQEQLKLSPAYKKMLEIGACMELKAKSGEQMEGADTEISDISFEELLAQEKKDSFWQKNGNSRLISN >KJB18540 pep chromosome:Graimondii2_0_v6:3:10275735:10279984:-1 gene:B456_003G059300 transcript:KJB18540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFICFLFSFALSFLFFLFSFYYKKRLHKQQHPQDEQGKSQNEPALSNPSLSHKNAQTRESDSTHFPHSLLLEILPPDSTQWADLFTGESHPREETRPVGSVGEGDLFESQSAKKKKKRGKKKRLDSKGEEENEEDRKKREEPGQSCSGSRVKPQLVCLYPFTSTSSATQRKIKQQYDQLVKCHGNKGLTLAQVGEFANCLIEARNDLQHKSEVIKRKFTITKALLFKADRSSFDRLRQQIYKLEMEQKRLEEDAFVYNWLQEQLKLSPAYKKMLEIGACMELKAKSGEQMEGADTEISDISFEELLAQEKKDSFWQKNGNSRLISN >KJB19074 pep chromosome:Graimondii2_0_v6:3:37795270:37797651:-1 gene:B456_003G127100 transcript:KJB19074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTISFGVGFFFVVIGWPIIAMVLEAYGFIVLFSGFWPTLAVFLQRIPIVGWLFQQPYIRSVRMEKISERMKYLQDLYQGVTKSQAKLVCLMKSSIMFNLFNGK >KJB19295 pep chromosome:Graimondii2_0_v6:3:29377639:29377742:1 gene:B456_003G0938001 transcript:KJB19295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIESTGALPPEVLFTEAVKILEDECERVIMDLS >KJB19294 pep chromosome:Graimondii2_0_v6:3:29377641:29377742:1 gene:B456_003G0938001 transcript:KJB19294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIESTGALPPEVLFTEAVKILEDECERVIMDLS >KJB18672 pep chromosome:Graimondii2_0_v6:3:13218068:13220336:1 gene:B456_003G065800 transcript:KJB18672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTGIVSDKLNEENYENWKECLESYLKSQGLWGIVSGEEKEPPQSDKNQYDLWVKNNAKALHALQISCGAHSIAKFGVSPPLPQGSGCLLRQGESNVFQYDKLYRKIEKGKVEEVKEFLRENPNALTEKITLKDDTALHVAVLAGRTDIVKVLVEMMGKEELEMKNDMGETAFSIATINESRKMVEDMVEKNSNLLTLKNRYGAIPVVVASLFSAKEMVRYLYQITPKEILNPETEDRSGATLLNSLIADGIFDLAISLVKTYPKLGVTEDINNNYAIKLLAHKPSAFMSSKSFVFWKRWIYDSCIKISNRELEGEKISKGELRRDLESNTMQHQRPSDVRGSLMNFIWKLTKRFGMQHLLPAIF >KJB18671 pep chromosome:Graimondii2_0_v6:3:13218068:13220336:1 gene:B456_003G065800 transcript:KJB18671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTGIVSDKLNEENYENWKECLESYLKSQGLWGIVSGEEKEPPQSDKNQYDLWVKNNAKALHALQISCGAHSIAKFGVSPPLPQGSGCLLRQGESNVFQYDKLYRKIEKGKVEEVKEFLRENPNALTEKITLKDDTALHVAVLAGRTDIVKVLVEMMGKEELEMKNDMGETAFSIATINESRKMVEDMVEKNSNLLTLKNRYGAIPVVVASLFSAKEMVRYLYQITPKEILNPETEDRSGATLLNSLIADGIFGIKISNRELEGEKISKGELRRDLESNTMQHQRPSDVRGSLMNFIWKLTKRFGMQHLLPAIF >KJB21211 pep chromosome:Graimondii2_0_v6:3:7766711:7771897:-1 gene:B456_003G051700 transcript:KJB21211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKRGFVVIFRPPKTVVTVAGDQRPQWRPSPSNCHRKFLSCDQHNITLAFYGPKWLRLRRNLTYNILHTSRIASFAGARKWALDALLNRLKSLSSSDEYHHTEIRDHIQHALFSLFTFICFGEKLEDMKIQDIKNLQRRLQSSFDEFKVLNLFPCLGKFVFLKRWEKLRQLRQDQEKLMVPLIKARKENRKVEEKEIVTLCSEFFTAGIDTTSTALEWIMANLMKHPHIQDKLFKEIKGVISDGEVEIKDEDLRKMPYLRAIILESLRRHPPSHFLIPLTVTKDVVLGGFLVPKNNIVIFMVVEMGRNPNLWENPMEFKPERFLINGDFDISGTKEIKMMPFGVGKRMCPAYRLAMLHLKYFVANL >KJB20219 pep chromosome:Graimondii2_0_v6:3:40055880:40057404:-1 gene:B456_003G138800 transcript:KJB20219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNGDFQVKVFGKKHKCNPQWKVQRASTAFLANRYKELIVAHPFIKLNYIQSVVKIKLGININISKARRTKLKVLKEMEIDVIEEYAALYNYAEKFYTCFDALRRGFLARCRPILRLDGCYLKGIVKGELLTTVASDANNQMFPLAWCVVEVESTTSWTWFLKILKRDIGTPDGYGWTFISDQQKANWVGKCPNGFRGKGLQKAFWACVKAANVPCFEQMCVAFEKEKKMVVVALLDANETWFCKAYFNYNAKCDSTDNNLAEAFNASIVQARSKRIISMLNDIWLAFMERIVSKRKTILGWKGLCGPLIRAKLDKSIKESTKWNVHFNGNYGYEIMRGIPCAHAVCAINNKKEDPEKYLAKWYSKEMYMRTYEYALQPINELDLWEKTRNEKISPPKFNIMPEGPKRRG >KJB17916 pep chromosome:Graimondii2_0_v6:3:2032283:2032962:-1 gene:B456_003G023900 transcript:KJB17916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLAIIWVMDFLMKNPMCLKQTQAEVRSLIGKRGFVNEDDTRDLTYLEAVVKETFRLQPIAPLLVPRETLRKCNIGGYDIPAKTLVYVNAWAIGKDAETWEKPEEFYPERFIGSPTDYKGQHFELISFGAGRRVCPGMPMGVAVVELALANPLYKFDWEMPIAITKEDIDFDVKPGITARKKYPLILVARKIYD >KJB18197 pep chromosome:Graimondii2_0_v6:3:4125659:4126474:-1 gene:B456_003G038400 transcript:KJB18197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERVVASSAGDPRLLSSTPHAPTTPHNNRLMNLPPPPGHPLATYVVQVPKDQIYRVPPPENAGIVERHREAAKNKGKKSKGKCSRYLICIAIVLLVIGVLVSGAIAAVYFIFTPKAPNFTVSKLHVKQQKQGSPPTYDVTLKAKNPNEKMGIHYKSDEDGATLTFWTKNLGFGDFPGLEQKPGDDSSIFAIKIRALKTKAVPPNVQKSISDKKTKRQISLKLKLESPLVFNVWFLKLWKKDMTVNCAFRVSTMAQGTKILSQNCKTKLS >KJB18711 pep chromosome:Graimondii2_0_v6:3:13375498:13389844:1 gene:B456_003G066400 transcript:KJB18711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGIQSSTWYSASSSSTFPHPPYLTSASRHCRLSISQRVFRISLLSSCSSGLSKTQLSVSTQRNEQNLLSVGVGAEALVTSNAPGVSNEPLVAEEKIGVLLLNLGGPENLEDVQPFLFNLFAXXXXXSIILVFANRYCASIVDIIRLPRLFQFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRQITDAQAEELRKSLWAKNVPAKVYVGMRYWHPFTEEAIEQIKKDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMQHTVIPSWYQREGYIKAMANLIEKELQKFDHPENVIIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIIQELEKRKITNAYTLAYQSRVGPVEWLKPYTDETIIDLGGKGVKSLLAVPIR >KJB18712 pep chromosome:Graimondii2_0_v6:3:13375628:13388147:1 gene:B456_003G066400 transcript:KJB18712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGIQSSTWYSASSSSTFPHPPYLTSASRHCRLSISQRVFRISLLSSCSSGLSKTQLSVSTQRNEQNLLSVGVGAEALVTSNAPGVSNEPLVAEEKIGVLLLNLGGPENLEDVQPFLFNLFAXXXXXSIILVFANRYCASIVDIIRLPRLFQFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRQITDAQAEELRKSLWAKNVPAKVYVGMRYWHPFTEEAIEQIKKDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMQHTVIPSWYQREGYIKAMANLIEKELQKFDHPENVIIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIIQELEKRKITNAYTLAYQSRVGPVEWLKPYTDETIIDLGGKGVKSLLAVPIR >KJB18709 pep chromosome:Graimondii2_0_v6:3:13375498:13381666:1 gene:B456_003G066400 transcript:KJB18709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGIQSSTWYSASSSSTFPHPPYLTSASRHCRLSISQRVFRISLLSSCSSGLSKTQLSVSTQRNEQNLLSVGVGAEALVTSNAPGVSNEPLVAEEKIGVLLLNLGGPENLEDVQPFLFNLFAXXXXXSIILVFANRYCASIVDIIRLPRLFQFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRQITDAQAEELRKSLWAKNVPAKVYVGMRYWHPFTEEAIEQIKKDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMQHTVIPSWYQREGYIKAMANLIEKELQKFDHPENVIIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIIQELEKRKITNAYTLAYQVLIFGILL >KJB18713 pep chromosome:Graimondii2_0_v6:3:13375498:13389861:1 gene:B456_003G066400 transcript:KJB18713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGIQSSTWYSASSSSTFPHPPYLTSASRHCRLSISQRVFRISLLSSCSSGLSKTQLSVSTQRNEQNLLSVGVGAEALVTSNAPGVSNEPLVAEEKIGVLLLNLGGPENLEDVQPFLFNLFAXXXXXSIILVFANRYCASIVDIIRLPRLFQFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRQITDAQAEELRKSLWAKNVPAKVYVGMRYWHPFTEEAIEQIKKDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMQHTVIPSWYQREGYIKAMANLIEKELQKFDHPENVIIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIIQELEKRKITNAYTLAYQSRVGPVEWLKPYTDETIIDLGGKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIENWGRVPALGCEPMFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLATYDSQRRELPPPVTVWEWGWTKSAETWNGRAAMLAVLMLLLLEVTTGEGFLHQWGILPLFR >KJB18710 pep chromosome:Graimondii2_0_v6:3:13375498:13389861:1 gene:B456_003G066400 transcript:KJB18710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGIQSSTWYSASSSSTFPHPPYLTSASRHCRLSISQRVFRISLLSSCSSGLSKTQLSVSTQRNEQNLLSVGVGAEALVTSNAPGVSNEPLVAEEKIGVLLLNLGGPENLEDVQPFLFNLFAXXXXXSIILVFANRYCASIVDIIRLPRLFQFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRQITDAQAEELRKSLWAKNVPAKVYVGMRYWHPFTEEAIEQIKKDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMQHTVIPSWYQREGYIKAMANLIEKELQKFDHPENVIIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIIQELEKRKITNAYTLAYQSWASGMVEALH >KJB18708 pep chromosome:Graimondii2_0_v6:3:13375498:13378973:1 gene:B456_003G066400 transcript:KJB18708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGIQSSTWYSASSSSTFPHPPYLTSASRHCRLSISQRVFRISLLSSCSSGLSKTQLSVSTQRNEQNLLSVGVGAEALVTSNAPGVSNEPLVAEEKIGVLLLNLGGPENLEDVQPFLFNLFAXXXXXSIILVFANRYCASIVDIIRLPRLFQFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRQITDAQAEELRKSLWAKNVPAKVYVGMRYWHPFTEEAIEQIKKDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMQHTVIPSWYQREGYIKAMANLIEKELQKFDHPENV >KJB19265 pep chromosome:Graimondii2_0_v6:3:27733138:27734622:-1 gene:B456_003G091900 transcript:KJB19265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDERNQKQVSARALMPPPRPSSNYFSNSVHAKSRFRSSSSRNSSIRRTKMSTSGNTSKSSSSSFKAGESSNSKTGNNSNLSSNSDARKPRRKILQLLISKSHSFIVMQMVFMVKASSIAVFLTIVTFTTPCLVELC >KJB18169 pep chromosome:Graimondii2_0_v6:3:3922073:3922842:-1 gene:B456_003G037300 transcript:KJB18169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SKIP34 [Source:Projected from Arabidopsis thaliana (AT5G65495) UniProtKB/Swiss-Prot;Acc:Q8GWU7] MCYGHHRRSLSPDRPPRNNNNNALVVNTLRVRLAETEARLERARAREAELTRRLEEMKRFVSVMEILESYLKQRFREQQEYVARFFSSLPAK >KJB19268 pep chromosome:Graimondii2_0_v6:3:27942381:27944811:1 gene:B456_003G092200 transcript:KJB19268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFVNVVSKRKEKNILAMENSSSSSELQKLIEAIKISKVVEGQTELIAKLANLHLSEQSDVCNLYCSGGADAEKCSLSYLFVKREKNILDNLGTLLPEKDIQAELACCLQHLQLRFETEDRARRLLSNVSFLLKPGGYFFGITPEKSPTWWIFVSDGLDGLVTAPPLMVAFLMIGT >KJB19487 pep chromosome:Graimondii2_0_v6:3:32436661:32439514:-1 gene:B456_003G105400 transcript:KJB19487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNTFQSGFLSILYSLGSKPLQIWDKEVENGHVKRPHDDDIQSNVLEIIGSNIQSTFITCPADPAATLGIKLPFLVMIVKNLKKYFSFEIQVLDDKNVRRRFRASNFQAVTRVKPYICTMPLRLDEGWNQIQLNLADYTRRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQKV >KJB17853 pep chromosome:Graimondii2_0_v6:3:1478631:1481374:-1 gene:B456_003G019300 transcript:KJB17853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEVTTAESEVTVAVTEQTPGKETDGTLETQGSIEATVESAVQGGTESTCNNNNNANGSEPASDVDREKTLEFADELTESGSKAFKENDFAEAADCFSRALEIRVGHHGELAVECLKAYYLYGRALLYKAQEEADPLVSVPKKEGETQQELNKDKSSKTIATCESSVASVSSTTVADGSGKDEEESDDSDSDTDEVAEADEDADESDLDLAWKMLDVARAISEKQQVGDTMEKVDILSSLAEVALEREDIESSLGDYQKALSILQRLVEPDNRQIAELNFRICMCLEIGSKPEEAIPYCQKAISVCKSRLQRLTNEVKTSSDLASSSATSELDDGVQQSSTGSQTVISVKDKEAEIETLAGLAEDLEKKLEDLQQLVANPKSIIAEILGMASAKAKADEKSASPAVGSSSRMGTVNSNGGFDSPTVSTAHTNGAAAVTHLGVVGRGVKRVLMSPVESGPAKKPVTEASSDKGDGSSAP >KJB17854 pep chromosome:Graimondii2_0_v6:3:1478655:1481286:-1 gene:B456_003G019300 transcript:KJB17854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEVTTAESEVTVAVTEQTPGKETDGTLETQGSIEATVESAVQGGTESTCNNNNNANGSEPASDVDREKTLEFADELTESGSKAFKENDFAEAADCFSRALEIRVGHHGELAVECLKAYYLYGRALLYKAQEEADPLVSVPKKEGETQQELNKDKSSKTIATCESSVASVSSTTVADGSGKDEEESDDSDSDTDEVAEADEDADESDLDLAWKMLDVARAISEKQQVGDTMEKVDILSSLAEVALEREDIESSLGDYQKALSILQRLVEPDNRQIAELNFRICMCLEIGSKPEEAIPYCQKAISVCKSRLQRLTNEVKTSSDLASSSATSELDDGVQQSSTGSQTVISVKDKEAEIETLAGLAEDLEKKAT >KJB19097 pep chromosome:Graimondii2_0_v6:3:21306988:21308139:-1 gene:B456_003G084900 transcript:KJB19097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEDPQAKSLAPIEDHPRSDMEFGGIKPKAFQRDEKSSKCLVYILVIMVIQGSILLVFASYFLRARTPGFEIGSVAVRNLKYGNSSAPSFNFTLVTQVTVENTNFGDFRFDNSTGSIWCGSEVVGLMKIPKGRAQARASERMKVSIQVSSVRLSDANNLASNMSYGLLELKSYVKLSGKVNIMNIMKRRRNPEMNCFMKLNLTGNTIQGLKCD >KJB18681 pep chromosome:Graimondii2_0_v6:3:12782489:12795451:-1 gene:B456_003G064800 transcript:KJB18681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLVGSDEIESLRFELAQIGRSIRSSFRSRTSSFRDGDHSEYELQWAEVQRLPTFERINTALFDDEEENGTSGSGNYVKGKRVVNVTKLGADERHMFIEKLIKQIEHDNLGLLKKLRHRIDKVGVKLPTVEVRYKNLHVEAQCELVRGKPLPTLWNTTKSLLSGLTNLPGSKQEAKISILNDVSGIIKPGRMTLLLGPPGCGKSTLLLALSGKLSHSLKVAGEITYNGYRLEEFVPQKTSAYISQYDLHTPEMTVREILDFSARFQGIGSRAEIMKEVSRREKQPGIVPDPDIDAYMKAISVKGQESTLQTDYILKILGLDICADTKVGDAIRRGISGGQKKRLTTGEMIVGPAKALFMDEISNGLDSSTAFQIVSCLQHLVHITDATALISLLQPAPEIFDLFDDVILLAEGKIVYQGPRTNICKFFEECGFKCPERKGIADFLQEVISRKDQEQYWYHKQQPYSYVSVDHFIKKFKEHHIGQNLDEELSKPFDKSQSHKDALSFKPYSLSKWELFKACSMRELLLMKRNSFTYVFKSVQLVIIASITMTTFLRTRMVVDVIHSSYFMGSLFYALVILHVDGFPELSMTVSRIAVFYKQRELCFYPAWAYAIPAAILKVPLSLLESFVWTSMTYYVIGYSPEVGRFFRQFLIYFGVHLTSISMFRCIASLFQTIVASTTVGALAIMIVLLFGGFILPRPSLPSWLEWGFWLSPLTYGEIGLSFNEFLAPRWEKVMSGNTSIGQQTLESRGLSFDGYFYWISVAALFGFILLFNAVFTLALTFLNPPGKSRTMISFERYSQLQRKDDKEGLHEESKHAVNDPGTVAGPKTGQTVLPFEPLTVTFQDVQYYVNIPQEMKERGYKHKKLQLLSGITGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTSGIIEGDIRIGGYPKVQDTFARVSSYCEQNDIHSPLITVEESVVYSAWLRLPSQIDSKTKDEFVNKVIETIELDRVRDCLVGIPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVKNVVEMGRTVVCTIHQPSIDIFEAFDELILMKTGGHVIYCGPLGQNSMRVVEYFQNIPGVPKIKDNYNPATWMLEVTSTSVEVGNGIDFAQIFKGSTLCKENKELVKQLSSPTPGSKDLYFPTRFPQNGLEQFKACLWKQCLSYWRSPAYNLTRIVFMTASSLMFGVLFWQQGKNINNQQDLFSIAGSMYAVIIFFGINNCSTVLPLVSTERTVLYRERFAGMYSSWAYSFAQVLVEIPYLLTLAITYVIITYPMIGYEWSAYKLFWALYSMFCTLLYFNYLGMLLVSLTPNIQVASIVASSAYTMLNLFAGFIIPKPQIPKWWIWLYYICPTSWAMNGMLTSQYGDVDKQILAFGETKTVAAFIEDYFGFHHSSLGIVAIFLFIFPVICATLFAYFIGTLNFQRR >KJB18682 pep chromosome:Graimondii2_0_v6:3:12785545:12795451:-1 gene:B456_003G064800 transcript:KJB18682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLVGSDEIESLRFELAQIGRSIRSSFRSRTSSFRDGDHSEYELQWAEVQRLPTFERINTALFDDEEENGTSGSGNYVKGKRVVNVTKLGADERHMFIEKLIKQIEHDNLGLLKKLRHRIDKVGVKLPTVEVRYKNLHVEAQCELVRGKPLPTLWNTTKSLLSGLTNLPGSKQEAKISILNDVSGIIKPGRMTLLLGPPGCGKSTLLLALSGKLSHSLKVAGEITYNGYRLEEFVPQKTSAYISQYDLHTPEMTVREILDFSARFQGIGKIMKEVSRREKQPGIVPDPDIDAYMKAISVKGQESTLQTDYILKILGLDICADTKVGDAIRRGISGGQKKRLTTGEMIVGPAKALFMDEISNGLDSSTAFQIVSCLQHLVHITDATALISLLQPAPEIFDLFDDVILLAEGKIVYQGPRTNICKFFEECGFKCPERKGIADFLQEVISRKDQEQYWYHKQQPYSYVSVDHFIKKFKEHHIGQNLDEELSKPFDKSQSHKDALSFKPYSLSKWELFKACSMRELLLMKRNSFTYVFKSVQLVIIASITMTTFLRTRMVVDVIHSSYFMGSLFYALVILHVDGFPELSMTVSRIAVFYKQRELCFYPAWAYAIPAAILKVPLSLLESFVWTSMTYYVIGYSPEVGRLHLENFCCSRKAKVMFFRQFLIYFGVHLTSISMFRCIASLFQTIVASTTVGALAIMIVLLFGGFILPRPSLPSWLEWGFWLSPLTYGEIGLSFNEFLAPRWEKVMSGNTSIGQQTLESRGLSFDGYFYWISVAALFGFILLFNAVFTLALTFLNPPGKSRTMISFERYSQLQRKDDKEGLHEESKHAVNDPGTVAGPKTGQTVLPFEPLTVTFQDVQYYVNIPQEMKERGYKHKKLQLLSGITGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTSGIIEGDIRIGGYPKVQDTFARVSSYCEQNDIHSPLITVEESVVYSAWLRLPSQIDSKTKDEFVNKVIETIELDRVRDCLVGIPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVKNVVEMGRTVVCTIHQPSIDIFEAFDELILMKTGGHVIYCGPLGQNSMRVVEYFQNIPGVPKIKDNYNPATWMLEVTSTSVEVGNGIDFAQIFKGSTLCK >KJB18680 pep chromosome:Graimondii2_0_v6:3:12782431:12795469:-1 gene:B456_003G064800 transcript:KJB18680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLVGSDEIESLRFELAQIGRSIRSSFRSRTSSFRDGDHSEYELQWAEVQRLPTFERINTALFDDEEENGTSGSGNYVKGKRVVNVTKLGADERHMFIEKLIKQIEHDNLGLLKKLRHRIDKVGVKLPTVEVRYKNLHVEAQCELVRGKPLPTLWNTTKSLLSGLTNLPGSKQEAKISILNDVSGIIKPGRMTLLLGPPGCGKSTLLLALSGKLSHSLKVAGEITYNGYRLEEFVPQKTSAYISQYDLHTPEMTVREILDFSARFQGIGSRAEIMKEVSRREKQPGIVPDPDIDAYMKAISVKGQESTLQTDYILKILGLDICADTKVGDAIRRGISGGQKKRLTTGEMIVGPAKALFMDEISNGLDSSTAFQIVSCLQHLVHITDATALISLLQPAPEIFDLFDDVILLAEGKIVYQGPRTNICKFFEECGFKCPERKGIADFLQEVISRKDQEQYWYHKQQPYSYVSVDHFIKKFKEHHIGQNLDEELSKPFDKSQSHKDALSFKPYSLSKWELFKACSMRELLLMKRNSFTYVFKSVQLVIIASITMTTFLRTRMVVDVIHSSYFMGSLFYALVILHVDGFPELSMTVSRIAVFYKQRELCFYPAWAYAIPAAILKVPLSLLESFVWTSMTYYVIGYSPEVGRFFRQFLIYFGVHLTSISMFRCIASLFQTIVASTTVGALAIMIVLLFGGFILPRPSLPSWLEWGFWLSPLTYGEIGLSFNEFLAPRWEKVMSGNTSIGQQTLESRGLSFDGYFYWISVAALFGFILLFNAVFTLALTFLNPPGKSRTMISFERYSQLQRKDDKEGLHEESKHAVNDPGTVAGPKTGQTVLPFEPLTVTFQDVQYYVNIPQEMKERGYKHKKLQLLSGITGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTSGIIEGDIRIGGYPKVQDTFARVSSYCEQNDIHSPLITVEESVVYSAWLRLPSQIDSKTKDEFVNKVIETIELDRVRDCLVGIPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVKNVVEMGRTVVCTIHQPSIDIFEAFDELILMKTGGHVIYCGPLGQNSMRVVEYFQNIPGVPKIKDNYNPATWMLEVTSTSVEVGNGIDFAQIFKGSTLCKNNQQDLFSIAGSMYAVIIFFGINNCSTVLPLVSTERTVLYRERFAGMYSSWAYSFAQVLVEIPYLLTLAITYVIITYPMIGYEWSAYKLFWALYSMFCTLLYFNYLGMLLVSLTPNIQVASIVASSAYTMLNLFAGFIIPKPQIPKWWIWLYYICPTSWAMNGMLTSQYGDVDKQILAFGETKTVAAFIEDYFGFHHSSLGIVAIFLFIFPVICATLFAYFIGTLNFQRR >KJB18580 pep chromosome:Graimondii2_0_v6:3:11160456:11168712:1 gene:B456_003G061600 transcript:KJB18580 gene_biotype:protein_coding transcript_biotype:protein_coding description:LysM domain receptor-like kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G51940) UniProtKB/Swiss-Prot;Acc:F4IB81] MDPKVSQFVILLPLISLLIVQTLNALDSSSATIPMNCTDTSRLCTSFLAFKPQGNQSLAVIQSMFDVIPQDITVEGEDSHGYIFIKKNCSCLTTSKNYATNTTFTVRSNQGYVYDMILEAYDGLAMVPNVSRPARIGAVVSLRLFCGCSSSLWNYLMSYVMKDGDSVQSLASRFGVSMDSIEQVNGISNPDNVTVGALYYIPLNSAPGEPYHVENEIPPAPVPAPSADTISEIQVSPKAHVPYGWIIGGLGVGLALIILSIVVCVSLRSSSCFVEARGSHAKDHDDKSSHKFHILRKPSFCCGSGRYICGKSENWTQTNGEPTLGTDVLDVEKPVVFTYEDILFSTDGFSDSNLLGRGIYGSVYFGFLRDQEVAIKRMTATKTKEFMAEMKVLCKVHHANLVELIGYAASDNELFLIYEYAQKGSLRSHLHDPLNKGHTPLSWIMRVQIALDAARGLEYIHEHTKTHYVHRDIKSSNILLDGSFRAKISDFGLSKLVGKTNDEEATATKVVGTFGYLAPEYLSDGLASSKSDVYAFGVVLFEIISGKEAIIRTEGSAMKNTERRSLASIMLAALRNTPDLLSISNMKDYIDPSMLDLYPHDCVFKMAMLAKQCVDEDPIVRPDMKQVVINLSQILLSSVEWEATLAGNSQVFSGLVQGR >KJB18583 pep chromosome:Graimondii2_0_v6:3:11161045:11167225:1 gene:B456_003G061600 transcript:KJB18583 gene_biotype:protein_coding transcript_biotype:protein_coding description:LysM domain receptor-like kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G51940) UniProtKB/Swiss-Prot;Acc:F4IB81] MDPKVSQFVILLPLISLLIVQTLNALDSSSATIPMNCTDTSRLCTSFLAFKPQGNQSLAVIQSMFDVIPQDITVEGEDSHGYIFIKKNCSCLTTSKNYATNTTFTVRSNQGYVYDMILEAYDGLAMVPNVSRPARIGAVVSLRLFCGCSSSLWNYLMSYVMKDGDSVQSLASRFGVSMDSIEQVNGISNPDNVTVGALYYIPLNSAPGEPYHVENEIPPAPVPAPSADTISEIQVSPKAHVPYGWIIGGLGVGLALIILSIVVCVSLRSSSCFVEARGSHAKDHDDKSSHKFHILRKPSFCCGSGRYICGKSENWTQTNGEPSNHQITIPNALGTDVLDVEKPVVFTYEDILFSTDGFSDSNLLGRGIYGSVYFGFLRDQEVAIKRMTATKTKEFMAEMKVLCKVHHANLVELIGYAASDNELFLIYEYAQKGSLRSHLHDPLNKGHTPLSWIMRVQIALDAARGLEYIHEHTKTHYVHRDIKSSNILLDGSFRAKISDFGLSKLVGKTNDEEATATKVVGTFGYLAPE >KJB18579 pep chromosome:Graimondii2_0_v6:3:11161045:11167956:1 gene:B456_003G061600 transcript:KJB18579 gene_biotype:protein_coding transcript_biotype:protein_coding description:LysM domain receptor-like kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G51940) UniProtKB/Swiss-Prot;Acc:F4IB81] MDPKVSQFVILLPLISLLIVQTLNALDSSSATIPMNCTDTSRLCTSFLAFKPQGNQSLAVIQSMFDVIPQDITVEGEDSHGYIFIKKNCSCLTTSKNYATNTTFTVRSNQGYVYDMILEAYDGLAMVPNVSRPARIGAVVSLRLFCGCSSSLWNYLMSYVMKDGDSVQSLASRFGVSMDSIEQVNGISNPDNVTVGALYYIPLNSAPGEPYHVENEIPPAPVPAPSADTISEIQVSPKAHVPYGWIIGGLGVGLALIILSIVVCVSLRSSSCFVEARGSHAKDHDDKSSHKFHILRKPSFCCGSGRYICGKSENWTQTNGEPSNHQITIPNALGTDVLDVEKPVVFTYEDILFSTDGFSDSNLLGRGIYGSVYFGFLRDQEVAIKRMTATKTKEFMAEMKVLCKVHHANLVELIGYAASDNELFLIYEYAQKGSLRSHLHDPLNKGHTPLSWIMRVQIALDAARGLEYIHEHTKTHYVHRDIKSSNILLDGSFRAKISDFGLSKLVGKTNDEEATATKVVGTFGYLAPEYLSDGLASSKSDVYAFGVVLFEIISGKEAIIRTEGSAMKNTERRSLASIVSVIVAHSKIHALPLDKYVSSSPEHTRLVEHIKHEGLY >KJB18578 pep chromosome:Graimondii2_0_v6:3:11160456:11168712:1 gene:B456_003G061600 transcript:KJB18578 gene_biotype:protein_coding transcript_biotype:protein_coding description:LysM domain receptor-like kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G51940) UniProtKB/Swiss-Prot;Acc:F4IB81] MDPKVSQFVILLPLISLLIVQTLNALDSSSATIPMNCTDTSRLCTSFLAFKPQGNQSLAVIQSMFDVIPQDITVEGEDSHGYIFIKKNCSCLTTSKNYATNTTFTVRSNQGYVYDMILEAYDGLAMVPNVSRPARIGAVVSLRLFCGCSSSLWNYLMSYVMKDGDSVQSLASRFGVSMDSIEQVNGISNPDNVTVGALYYIPLNSAPGEPYHVENEIPPAPVPAPSADTISEIQVSPKAHVPYGWIIGGLGVGLALIILSIVVCVSLRSSSCFVEARGSHAKDHDDKSSHKFHILRKPSFCCGSGRYICGKSENWTQTNGEPSNHQITIPNALGTDVLDVEKPVVFTYEDILFSTDGFSDSNLLGRGIYGSVYFGFLRDQEVAIKRMTATKTKEFMAEMKVLCKVHHANLVELIGYAASDNELFLIYEYAQKGSLRSHLHDPLNKGHTPLSWIMRVQIALDAARGLEYIHEHTKTHYVHRDIKSSNILLDGSFRAKISDFGLSKLVGKTNDEEATATKVVGTFGYLAPEYLSDGLASSKSDVYAFGVVLFEIISGKEAIIRTEGSAMKNTERRSLASIMLAALRNTPDLLSISNMKDYIDPSMLDLYPHDCVFKMAMLAKQCVDEDPIVRPDMKQVVINLSQILLSSVEWEATLAGNSQVFSGLVQGR >KJB18582 pep chromosome:Graimondii2_0_v6:3:11160379:11168712:1 gene:B456_003G061600 transcript:KJB18582 gene_biotype:protein_coding transcript_biotype:protein_coding description:LysM domain receptor-like kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G51940) UniProtKB/Swiss-Prot;Acc:F4IB81] MDPKVSQFVILLPLISLLIVQTLNALDSSSATIPMNCTDTSRLCTSFLAFKPQGNQSLAVIQSMFDVIPQDITVEGEDSHGYIFIKKNCSCLTTSKNYATNTTFTVRSNQGYVYDMILEAYDGLAMVPNVSRPARIGAVVSLRLFCGCSSSLWNYLMSYVMKDGDSVQSLASRFGVSMDSIEQVNGISNPDNVTVGALYYIPLNSAPGEPYHVENEIPPAPVPAPSADTISEIQVSPKAHVPYGWIIGGLGVGLALIILSIVVCVSLRSSSCFVEARGSHAKDHDDKSSHKFHILRKPSFCCGSGRYICGKSENWTQTNGEPSNHQITIPNALGTDVLDVEKPVVFTYEDILFSTDGFSDSNLLGRGIYGSVYFGFLRDQEVAIKRMTATKTKEFMAEMKVLCKVHHANLVELIGYAASDNELFLIYEYAQKGSLRSHLHDPLNKGHTPLSWIMRVQIALDAARGLEYIHEHTKTHYVHRDIKSSNILLDGSFRAKISDFGLSKLVGKTNDEEATATKVVGTFGYLAPEYLSDGLASSKSDVYAFGVVLFEIISGKEAIIRTEGSAMKNTERRSLASIMLAALRNTPDLLSISNMKDYIDPSMLDLYPHDCVFKMAMLAKQCVDEDPIVRPDMKQVVINLSQILLSSVEWEATLAGNSQVFSGLVQGR >KJB18581 pep chromosome:Graimondii2_0_v6:3:11160456:11168712:1 gene:B456_003G061600 transcript:KJB18581 gene_biotype:protein_coding transcript_biotype:protein_coding description:LysM domain receptor-like kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G51940) UniProtKB/Swiss-Prot;Acc:F4IB81] MDPKVSQFVILLPLISLLIVQTLNALDSSSATIPMNCTDTSRLCTSFLAFKPQGNQSLAVIQSMFDVIPQDITVEGEDSHGYIFIKKNCSCLTTSKNYATNTTFTVRSNQGYVYDMILEAYDGLAMVPNVSRPARIGAVVSLRLFCGCSSSLWNYLMSYVMKDGDSVQSLASRFGVSMDSIEQVNGISNPDNVTVGALYYIPLNSAPGEPYHVENEIPPAPVPAPSADTISEIQVSPKAHVPYGWIIGGLGVGLALIILSIVVCVSLRSSSCFVEARGSHAKDHDDKSSHKFHILRKPSFCCGSGRYICGKSENWTQTNGEPSNHQITIPNALGTDVLDVEKPVVFTYEDILFSTDGFSDSNLLGRGIYGSVYFGFLRDQEVAIKRMTATKTKEFMAEMKVLCKVHHANLVELIGYAASDNELFLIYEYAQKGSLRSHLHDPLNKGHTPLSWIMRVQIALDAARGLEYIHEHTKTHYVHRDIKSSNILLDGSFRISDFGLSKLVGKTNDEEATATKVVGTFGYLAPEYLSDGLASSKSDVYAFGVVLFEIISGKEAIIRTEGSAMKNTERRSLASIMLAALRNTPDLLSISNMKDYIDPSMLDLYPHDCVFKMAMLAKQCVDEDPIVRPDMKQVVINLSQILLSSVEWEATLAGNSQVFSGLVQGR >KJB18345 pep chromosome:Graimondii2_0_v6:3:6483238:6487092:-1 gene:B456_003G047800 transcript:KJB18345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSHQNPNSNPNYTFFPENVNPMPDFEVSDYLDLDGSIFDDDTSSQSMVSSEKGMAAATELSGATSKNSNRKCKNGVGKNKSELGVRVAFRMKSEMEVTDDGYKWRKYGKKSIKNSPNPSVGCNVKKRIERDVKDQSYVVTTYEGVHNHETPSMVYYNQMSIMAPNAWTFQASPPSSSST >KJB18346 pep chromosome:Graimondii2_0_v6:3:6483440:6487119:-1 gene:B456_003G047800 transcript:KJB18346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNKLPSLQNSIKDQKSRFSLKMDFSHQNPNSNPNYTFFPENVNPMPDFEVSDYLDLDGSIFDDDTSSQSMVSSEKGMAAATELSGATSKNSNRKCKNGVGKNKSELGVRVAFRMKSEMEVTDDGYKWRKYGKKSIKNSPNPRNYYKCSSVGCNVKKRIERDVKDQSYVVTTYEGVHNHETPSMVYYNQMSIMAPNAWTFQASPPSSSST >KJB20254 pep chromosome:Graimondii2_0_v6:3:40383581:40386743:-1 gene:B456_003G140700 transcript:KJB20254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIWVWAFYVALTLFPSVLGNSEGDALYTLRRSLSDPDNVLQSWDPTLVNPCTWFHITCNQDNRVTRVDLGNSNLSGHLVPELGKLEHLQYLELYKNNIRGTIPTELGNLKSLISLDLYNNNISGTIPPSLGKLKSLVFLRLNDNRLTGPIPRELVGISSLKVVDVSNNDLCGTIPTSGPFEHIPLNNFEENPRLEGPELLGLASYDTNCS >KJB20252 pep chromosome:Graimondii2_0_v6:3:40383581:40386749:-1 gene:B456_003G140700 transcript:KJB20252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIWVWAFYVALTLFPSVLGNSEGDALYTLRRSLSDPDNVLQSWDPTLVNPCTWFHITCNQDNRVTRVDLGNSNLSGHLVPELGKLEHLQELYKNNIRGTIPTELGNLKSLISLDLYNNNISGTIPPSLGKLKSLVFLRLNDNRLTGPIPRELVGISSLKVVDVSNNDLCGTIPTSGPFEHIPLNNFEENPRLEGPELLGLASYDTNCS >KJB20253 pep chromosome:Graimondii2_0_v6:3:40383581:40386749:-1 gene:B456_003G140700 transcript:KJB20253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIWVWAFYVALTLFPSVLGNSEGDALYTLRRSLSDPDNVLQKLGKLEHLQYLELYKNNIRGTIPTELGNLKSLISLDLYNNNISGTIPPSLGKLKSLVFLRLNDNRLTGPIPRELVGISSLKVVDVSNNDLCGTIPTSGPFEHIPLNNFEENPRLEGPELLGLASYDTNCS >KJB20251 pep chromosome:Graimondii2_0_v6:3:40383581:40386776:-1 gene:B456_003G140700 transcript:KJB20251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFAAISKADSTLEILIQLQLSSPMAAPIWVWAFYVALTLFPSVLGNSEGDALYTLRRSLSDPDNVLQSWDPTLVNPCTWFHITCNQDNRVTRVDLGNSNLSGHLVPELGKLEHLQYLELYKNNIRGTIPTELGNLKSLISLDLYNNNISGTIPPSLGKLKSLVFLRLNDNRLTGPIPRELVGISSLKVVDVSNNDLCGTIPTSGPFEHIPLNNFEENPRLEGPELLGLASYDTNCS >KJB18321 pep chromosome:Graimondii2_0_v6:3:6296843:6298074:-1 gene:B456_003G046800 transcript:KJB18321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWNRCWFEHRCNLLVVLNFNFGLGFCFFSINTFLSFSKWSMESDESTTDGSKTMFHKVALPDYNSKFILGEVYRRKVFFNYEKRLRLRSPPEKVFEYFAYFQISKGELFMRPVDIMQAVVLVFPSFESHIVRDGYLTKERKVKNNIKEKN >KJB17420 pep chromosome:Graimondii2_0_v6:3:7974:8357:-1 gene:B456_003G0003001 transcript:KJB17420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLTLFLSFSSLFLVSNICFFLLIFSVDFCQGFLFSYSKSHCYVPLKLWISVWASVLAFGPVPSLVYGLLSFYPAWRLSDPICKCT >KJB17421 pep chromosome:Graimondii2_0_v6:3:7974:9579:-1 gene:B456_003G0003001 transcript:KJB17421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTELKRIYEGFLFSYSKSHCYVPLKLWISVWASVLAFGPVPSLVYGLLSFYPAWRLSDPICKCT >KJB17419 pep chromosome:Graimondii2_0_v6:3:7974:8537:-1 gene:B456_003G0003001 transcript:KJB17419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLTLFLSFSSLFLVSNICFFLLIFSVDFCQGFLFSYSKSHCYVPLKLWISVWASVLAFGPVPSLVYGLLSFYPAWRLSDPICKCT >KJB20158 pep chromosome:Graimondii2_0_v6:3:39369391:39371973:-1 gene:B456_003G135600 transcript:KJB20158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVHDDCKLKFLELKAKRTYRYIVFKIEEKQKQVVVEKLGEPSQSYDDFTSSLPADECRYAVYDFDFVTAENCQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIRSRAN >KJB19728 pep chromosome:Graimondii2_0_v6:3:35548658:35552856:1 gene:B456_003G116700 transcript:KJB19728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGSTISKKIKEEAVEEEETANGEDDNSIAEQEEALVALIEHRTKEVEHLGQRISYYKSQLDQAEKRLQDSQSKLARLRGRHNTAFSNASLATKSVKVEQRSISPERINGGSKTKQQPRTELVIPSVNPKISQPIKPAGSSAKTTIGLEANAASSRAVKVKAETSHRNSSNAEVVEKKDRGAKRKFEQREHKELIPFVRSSSTPTTIGSYSSNHISSQHKRKLRSLSVCPVNDQLFVTSALDGLVNLWQIQARGSSASFLSSTDCGSSGERRWPEDIAWHPYGNSLFCVYTADAGDSQISILNLNKTQGRGRLSYLEDKPHVKGIINSIIFMPWEKICFVTGGSDHAVVLWNEKDEDIWKPKSLHRNLHSSAVMGVAGMQQKNVILSAGADKRIIGFDVHVGRADYKHQIESKCMSVLPNPCDFNLFMVQTGAHERQLRLYDIRLRHTELHSFGWKQESSDSQSALINQAWSPDGLYLSSGSADPVIHIFDIRYNARRPSQSIRAHQKRVFKAVWHYSLPLLISI >KJB19729 pep chromosome:Graimondii2_0_v6:3:35548776:35552856:1 gene:B456_003G116700 transcript:KJB19729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGSTISKKIKEEAVEEEETANGEDDNSIAEQEEALVALIEHRTKEVEHLGQRISYYKSQLDQAEKRLQDSQSKLARLRGRHNTAFSNASLATKSVKVEQRSISPERINGGSKTKQQPRTELVIPSVNPKISQPIKPAGSSAKTTIGLEANAASSRAVKVKAETSHRNSSNAEVVEKKDRGAKRKFEQREHKELIPFVRSSSTPTTIGSYSSNHISSQHKRKLRSLSVCPVNDQLFVTSALDGLVNLWQIQARGSSASFLSSTDCGSSGERRWPEDIAWHPYGNSLFCVYTADAGDSQISILNLNKTQGRGRLSYLEDKPHVKVVLWNEKDEDIWKPKSLHRNLHSSAVMGVAGMQQKNVILSAGADKRIIGFDVHVGRADYKHQIESKCMSVLPNPCDFNLFMVQTGAHERQLRLYDIRLRHTELHSFGWKQESSDSQSALINQAWSPDGLYLSSGSADPVIHIFDIRYNARRPSQSIRAHQKRVFKAVWHYSLPLLISI >KJB21021 pep chromosome:Graimondii2_0_v6:3:44992686:44994559:1 gene:B456_003G178300 transcript:KJB21021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLILLKNAAQNGDVNKLYQAIAKDSNVLEHYDKTSFAETPLHIATSRGHINFALEIMRLKPSLSKKLDEQGWSPMHVALQHNQTSMVHRLIETDPTLVGVKGREGFTPLHYVVQNDGVNLLREFLAVSPHSVIDTTNKGKTALHIAAEKGNTRALEALLSFLGRSWYREALYWEEKVVNWKDENGFTVLHVAVTMNDIQIVKSLLNSKINVNAKSLEGFTVLDIVDRANNNPDMKDVLIQAGAKHSDVMKTYDINAIPSMKQVSFEPKPTLLDNVIRFLKGQKTNISTETRDALLVVAALVATATFQAVLSPPGGLRQADSSDSDSLPFSKVGKVVMKEWLYITFLILNSTSFWVTIITIYLLLPNGFYGQLSTLPLILFSLTYLFCSTIISPSLICAIVNFSFFIFCVVLLSSGLVVISNHSLLSSIKQKLWSRCR >KJB17668 pep chromosome:Graimondii2_0_v6:3:641614:642540:1 gene:B456_003G010300 transcript:KJB17668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDNLDLSTLQSQLSETHELWKQEIEKRRGQVDVLQAKIMEVKASIQGSEEESKKELDVLWRRVETTATLLTYLKSKARVMAVPDLAHKSCGIKLLDGVGLVDKEGTPLSSWSRSVDLSSFDCLDDETWIGISRQQGSLDEKDGAYIGELIKSVQMVTDVMEVLVKRVIMAESETALEKEKVSLGQEEIKRKGVQIENMSMKLEEMERFALGTNSILNEMRQRVEDLVEETSRQRQRAAENEQELTRVKQDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERSVRSFSSFYFPDYARSQFLND >KJB17670 pep chromosome:Graimondii2_0_v6:3:641463:643341:1 gene:B456_003G010300 transcript:KJB17670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWLSLHVLLLFHNVGKGMAAEDNLDLSTLQSQLSETHELWKQEIEKRRGQVDVLQAKIMEVKASIQGSEEESKKELDVLWRRVETTATLLTYLKSKARVMAVPDLAHKSCGIKLLDGVGLVDKEGTPLSSWSRSVDLSSFDCLDDETWIGISRQQGSLDEKDGAYIGELIKSVQMVTDVMEVLVKRVIMAESETALEKEKVSLGQEEIKRKGVQIENMSMKLEEMERFALGTNSILNEMRQRVEDLVEETSRQRQRAAENEQELTRVKQDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLEGEKKQKETEVQKLMEENVRLTALLDKKEAQLLAMNEQCKVMALSASNI >KJB17667 pep chromosome:Graimondii2_0_v6:3:640586:643341:1 gene:B456_003G010300 transcript:KJB17667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDNLDLSTLQSQLSETHELWKQEIEKRRGQVDVLQAKIMEVKASIQGSEEESKKELDVLWRRVETTATLLTYLKSKARVMAVPDLAHKSCGIKLLDGVGLVDKEGTPLSSWSRSVDLSSFDCLDDETWIGISRQQGSLDEKDGAYIGELIKSVQMVTDVMEVLVKRVIMAESETALEKEKVSLGQEEIKRKGVQIENMSMKLEEMERFALGTNSILNEMRQRVEDLVEETSRQRQRAAENEQELTRVKQDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLEGEKKQKETEVQKLMEENVRLTALLDKKEAQLLAMNEQCKVMALSASNI >KJB17669 pep chromosome:Graimondii2_0_v6:3:640564:643341:1 gene:B456_003G010300 transcript:KJB17669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDNLDLSTLQSQLSETHELWKQEIEKRRGQVDVLQAKIMEVKASIQGSEEESKKELDVLWRRVETTATLLTYLKSKARVMAVPDLAHKSCGIKLLDGVGLVDKEGTPLSSWSRSVDLSSFDCLDDETWIGISRQQGSLDEKDGAYIGELIKSVQMVTDVMEVLVKRVIMAESETALEKEKVSLGQEEIKRKGVQIENMSMKLEEMERFALGTNSILNEMRQRVEDLVEETSRQRQRAAENEQELTRVKQDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLEGEKKQKETEVQKLMEENVRLTALLDKKEAQLLAMNEQCKVMALSASNI >KJB18248 pep chromosome:Graimondii2_0_v6:3:5362692:5364569:1 gene:B456_003G042700 transcript:KJB18248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDQEEQEEEMGLTPSYDSLGNSSRLKMSGVEPGSVTPTGQQQQRKPRYRECLKNHAVGIGGHALDGCGEFMPAGTEGTLDALKCAACNCHRNFHRKESELGSPNSIHTTDLYFHHHHHHQPPQFAPYFRAPTGYLHVAGQQRPLALPSTSGGGGHSREDQEDASNQGSSRKRFRTKFTQEQKEKMLSLAERLGWRIQKHDEEIVQQFCNETGVKRHVLKVWMHNNKHTLALKQSGDMQCNAMQEA >KJB18247 pep chromosome:Graimondii2_0_v6:3:5362480:5363678:1 gene:B456_003G042700 transcript:KJB18247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMQTVANNGQTPNPIPAIITVATPLFAPTGGEMEFEDQEEQEEEMGLTPSYDSLGNSSRLKMSGVEPGSVTPTGQQQQRKPRYRECLKNHAVGIGGHALDGCGEFMPAGTEGTLDALKCAACNCHRNFHRKESELGSPNSIHTTDLYFHHHHHHQPPQFAPYFRAPTGYLHVAGQQRPLALPSTSGGGGHSREDQEDASNQGSSRKRFRTKFTQEQKEKMLSLAERLGWRIQKHDEEIVQQFCNETGVKRHVLKVWMHNNKHTLGKKP >KJB20392 pep chromosome:Graimondii2_0_v6:3:41281379:41284255:1 gene:B456_003G146100 transcript:KJB20392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSEMETRMKKYRQVSPERAKVWTEKSPKYYQHNRKVPVVYYLCRNRQLEHPHFIEVPLSSPDGLYLRDVIERLNNLRGRGMASLYSWSCKRSYRNGFVWHDLSEDDLILPAHGNEYVLKGSELFEESNSDRFSPVANSSRLQNLKQLPEPPSSSRSQDDSSSSSSLIGKRTKHSQDDELSSPVNRPTPGSSGASPESRYGKNSSWGCSLSLTEYKVCKNDGLADASTQTEENTDRPKSRETCTRGVSTDDGSLEPECNENFQNQVPTACVKDNPEICRNPVSPPSTSSASSTGGKPETLESLIRADASKINSFRILEEEDIRMPTNARLKATSMLMQLISCGSISVKDHSFGLVPTYRPRFSHSKFSSPLFSTSIMLGELDCLSENPRLMGLRLEDKEYFSGSLIETKMLKEGEGHTTLKRSSSYNDDS >KJB20391 pep chromosome:Graimondii2_0_v6:3:41281031:41284354:1 gene:B456_003G146100 transcript:KJB20391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSEMETRMKKYRQVSPERAKVWTEKSPKYYQHNRKVPVVYYLCRNRQLEHPHFIEVPLSSPDGLYLRDVIERLNNLRGRGMASLYSWSCKRSYRNGFVWHDLSEDDLILPAHGNEYVLKGSELFEESNSDRFSPVANSSRLQNLKQLPEPPSSSRSQDDSSSSSSLIGKRTKHSQDDELSSPVNRPTPGSSGASPESRYGKNSSWGCSLSLTEYKVCKNDGLADASTQTEENTDRPKSRETCTRGVSTDDGSLEPECNENFQNQVPTACVKDNPEICRNPVSPPSTSSASSTGGKPETLESLIRADASKINSFRILEEEDIRMPTNARLKATSMLMQLISCGSISVKDHSFGLVPTYRPRFSHSKFSSPLFSTSIMLGELDCLSENPRLMGLRLEDKEYFSGSLIETKMLKEGEGHTTLKRSSSYNDDRARKELESAEDKEEMNSGHSKCIPRSIKASLSKQPRSESMRSPISEKPRNSSDGISTGDSRRLTEPVNKKHSKRLDSFREEEQVIKIEESLLQELGL >KJB20069 pep chromosome:Graimondii2_0_v6:3:38617360:38620212:1 gene:B456_003G131500 transcript:KJB20069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKFFVGGNWKCNGTTEEVKKIVTTLNEAEVPSHDVVEVVVSPPFVFLTLVKSLLRSDFHVAAQNCWVRKGGAFTGEVSAEMLVNLGIPWVIIGHSERRALLNESNEFVGDKVAYALSQGLKVIACIGETVEQRESGSTMAVVAAQTKAIADKVTNWDNVVLAYEPVWAIGTGKVATPAQAQEVHCELRKWLNQNVGADIAASVRIIYGGSVNGANCKELAGQPDVDGFLVGGASLKPEFVDIIKSATVKKN >KJB17885 pep chromosome:Graimondii2_0_v6:3:1643922:1644364:-1 gene:B456_003G021800 transcript:KJB17885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLRCNCLFFLLMILVLSHETLLFTEGRSLELKTRLGHGNLFGHGEGNGKSINVAIPSPLHHVFRSLEGYIDAFRPTTPGHSPGVGH >KJB19478 pep chromosome:Graimondii2_0_v6:3:32369844:32373726:-1 gene:B456_003G105100 transcript:KJB19478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74030) UniProtKB/Swiss-Prot;Acc:Q9C9C4] MALTTQTCFLQNPFLPSPSLSQPSAFPAPAALPSRKLSPISVQCSLASAPSVAVTVSKECKVKSVKARQIIDSRGNPTVEVDLITDDLYRSAVPSGASTGIYEALELRDGDKSVYGGKGVLFAVQNINEILGPKLIGIDVRNQADVDAVMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGMPLYKHIQELSRTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATSFAEALRMGSEVYHTLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGMIKIGMDVAASEFYTKDGKYDLNFKKQPNDGAHVRSPQSLGELYQEFVKDFPIVSIEDPFDQDDWSSWASLQSSVDIQLVGDDLLVTNPKRISEAIQKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEDELGNVCYAGEAFRSP >KJB19480 pep chromosome:Graimondii2_0_v6:3:32370121:32373367:-1 gene:B456_003G105100 transcript:KJB19480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74030) UniProtKB/Swiss-Prot;Acc:Q9C9C4] MALTTQTCFLQNPFLPSPSLSQPSAFPAPAALPSRKLSPISVQCSLASAPSVAVTVSKECKVKSVKARQIIDSRGNPTVEVDLITDDLYRSAVPSGASTGIYEALELRDGDKSVYGGKGVLFAVQNINEILGPKLIGIDVRNQADVDAVMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGMPLYKHIQELSRTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATSFAEALRMGSEVYHTLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGMIKIGMDVAASEFYTKDGKYDLNFKKQPNDGAHVRSPQSLGELYQEFVKDFPIVSIEDPFDQDDWSSWASLQSSVDIQLVGDDLLVTNPKRISEAIQKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQMVRVVILLYRSRLELLVEVRGWPSTTSFFALKTNSEMSAMLVKLSDLLRGLTYRNKFLHQISMEKRFNEL >KJB19479 pep chromosome:Graimondii2_0_v6:3:32369902:32373525:-1 gene:B456_003G105100 transcript:KJB19479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74030) UniProtKB/Swiss-Prot;Acc:Q9C9C4] MALTTQTCFLQNPFLPSPSLSQPSAFPAPAALPSRKLSPISVQCSLASAPSVAVTVSKECKVKSVKARQIIDSRGNPTVEVDLITDDLYRSAVPSGASTGIYEALELRDGDKSVYGGKGVLFAVQNINEILGPKLIGIDVRNQADVDAVMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGMPLYKHIQELSRTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATSFAEALRMGSEVYHTLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGMIKIGMDVAASEFYTKDGKYDLNFKKQPNDGAHVRSPQSLGELYQEFVKDFPIVSIEDPFDQDDWSSWASLQSSVDIQLVGDDLLVTNPKRISEAIQKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQTGAPCRSERLAKYNQLLRIEDELGNVCYAGEAFRSP >KJB17919 pep chromosome:Graimondii2_0_v6:3:2070303:2073493:-1 gene:B456_003G024200 transcript:KJB17919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIEILDEAMLQGQAEIWRYMFSFADSMALKSAVELRIADIIHSNGGPVTLSQIASCINGGLTSPGITNLARIMRLLIRRKIFTVHHPSDGGDLLYDLTHSSRWLLHDSEQTLAPTVLMIDQTWQMAPWHYFSQCVKEGGIAFKKAHGCEIWDLASRNPDFNKLFNDGLACTSKVVTSAILSGYKQGFNSIGSLVDVGGGTGGLISEIVKVYPHIKGVNFDLPHVVSTAPTYNGVSHIGGDMFHAIPNTDAIIMKWILHDWTDEECIKILRNCKKAIPRENGKVIIVEIILKEDGSGVFDDIGFVMDLVMFAQTNGKERTEAEWKKVLEGGGFSHHKIINIPALVSIIEAYPNAQ >KJB19003 pep chromosome:Graimondii2_0_v6:3:19735628:19737610:1 gene:B456_003G080400 transcript:KJB19003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIKVNGIFNTRDTTHERQTPEEAECLDDIGVATYTMRNRKKTSIVWQELATFKLADRIEKCNDGTTTQYKRYLDSCVKRQVSLKGQGNLFLPPQAPRSDSVSGIQTWKYDQAKIRELPFAFTEYELFTLLMKIASLHYVRISRATAKANSWTSYEVEKKRMCITTNMWKLGQKIQDMVLTTHFVDSDWNLQKKSGVLVYDALYKCLQDWGIEGKVCSIFVDNASYNDATVRMLKDSFSFHKRLPLNGKLFYVRCCAHILNLLVHDGLFEIEDVIDNEVCSFFALFNEVTNIISGSEYPTSNLFLPKLWSIKELLMEKSLSEELWMRQIADKMKRKFDQYWGEFNFLISIAAILHPRNMMKLIYFNFRVIYFEEEAPRQIHIVHDSLYELYKAYVDEYATSNVGKRKVMTGRSKFERYIRRVDIVKSFKSELDIYLEEGVFICKENCGDFNALEWWKVNNLKFRILSKMTCEILSIPITMVASESTFSGGRVIDAYHSSLRTDTMQMLLYGFDWYQNFYGLKKKAKVSNF >KJB19315 pep chromosome:Graimondii2_0_v6:3:29702629:29704836:1 gene:B456_003G094700 transcript:KJB19315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVKIILALGLIVIANSGIVMANIAHFDEVWAKRAQRAKQIAEKAYEPNPHHVANHLNHKTHQAHKAHKRDLKKQGENKKKESKPRKMPRNV >KJB19863 pep chromosome:Graimondii2_0_v6:3:36675863:36678677:-1 gene:B456_003G122100 transcript:KJB19863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKTTTMILRRRTRAPRRYSEDEDGLGCDDVYCERCGSGDFGSKLLLCDKCDKGYHLFCLRPILVSVPKGSWFCPSCTNIKQPQLFPLVQTKIVDFFRIRRSSESMENQSNIKKRKRACSLAVSKRKRKLLAYNPTEDPQRRLEQMASLATALKASGTEYSDGLTYRPGMALRSANCAALEKGGMQILPKEDIETLNLCKKMMEKGECPPLMVVFDPVEGFTVQADRYIKDLTIITEYVGDVDYLKNRENDDGDSMMTLLHASNPSKSLVICPDKRSNIARFVNGINNFSPDGRKKQNVKCVRYNVNGECRVLLIANRDIRKGERLYYDYNGYEHEYPTEHFV >KJB18152 pep chromosome:Graimondii2_0_v6:3:3667601:3668714:1 gene:B456_003G036000 transcript:KJB18152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQSFLRRQPPSSPLESSPISSLSASPTMVGFISYESSKCCGFEQALTLASDWKCSVFSYCSSRPQIPPSNSIEQLQDQPTNTMFWTL >KJB18151 pep chromosome:Graimondii2_0_v6:3:3667600:3668776:1 gene:B456_003G036000 transcript:KJB18151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQSFLRRQPPSSPLESSPISSLSASPTMVGFISYESSKCCGFEQALTLASDWKCSVFSYCSSRPQIPPSNSIEQLQDQPTNTMFWTL >KJB17522 pep chromosome:Graimondii2_0_v6:3:205648:208631:-1 gene:B456_003G003400 transcript:KJB17522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSRSQPVSQSQASSAGDQPRKRLWRPFITVLFTMFPVVAAMLVYQLDSFDPASLPIHELGQAPVVVSLRNERMLQGAELLGAGELQGPEDIAYDSTANVVFTGCHDGWIKRVRLNDSVVENFVNTHGRPLGLALGHNKEIIVADAYKGLLNISKDGEVELLTDEADGHKFKLTDGVDIADNGMIYFTDASYKYNLNEDIWDILEGKPHGRFMSFDPVTRKTDVLVSHLYFANGVAVSPNQDHAIFCETVMRRCRKYYTKGSKQGDLEKFIDYLPGFPDNIKYDGDGHYWIALPSANSVPLDIAFRYPFIRKVMAIVMKYIGRLPTEKDAGVLVVDLEGKPVAHYHDHRLSMITSGMKIGNRLYCGSVRHPHILKLDLAKENLWLSSTITTQ >KJB17805 pep chromosome:Graimondii2_0_v6:3:1090930:1092436:1 gene:B456_003G016000 transcript:KJB17805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMIFLPFGSSSMIKISTMHYSHPKWNGKSTMELQILEGKKPRKRLTTALTELISPISTDQEALCIHHGLVWIIEKNLTDSPPSKILQNKYSNLIATPWQMSLNWSFKL >KJB18883 pep chromosome:Graimondii2_0_v6:3:17643960:17645554:-1 gene:B456_003G073700 transcript:KJB18883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLQCDLKCLSRDILADIMARLDGSTLASAACTCSDLRGIARNQRLWKQLCNSTWPSTALDEAQHLISSSTDGFRRFYADSYPLISNDHKDANNYFPIQPTLISPSNFALFIDVYYREKCITSSVLDGIPMETDFGQDDDTTTGLMSWVLNCPFKVVPSDINDEDIEDGDDGHDFVSLVGKADDRCNELKEGIRLSWVLLDKKRGKAGNLSSWKPLSVKKIWASNGDYILHFGCIIPVQESLQLPHNLAKCLIGARCNIEEKQGYLRWKEVSMHIEDTSGAYVNGGKSLIILNQALYCSRSNNRHAVEKGYIQFEGRKQEIIRKKKLEETLADWLCISFEVVILLILGYRILPI >KJB17312 pep chromosome:Graimondii2_0_v6:3:670685:672612:1 gene:B456_003G010900 transcript:KJB17312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYFVEPIGFFFFSGMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >KJB17311 pep chromosome:Graimondii2_0_v6:3:670632:672668:1 gene:B456_003G010900 transcript:KJB17311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >KJB19591 pep chromosome:Graimondii2_0_v6:3:33897322:33898872:1 gene:B456_003G110300 transcript:KJB19591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKKIGMIEVGAIISTILLLARLVVSQSSAEIPADKSQVNAWFNANVKPASARVGTIDPALAQAEAEPKVIKVVQGGGGDFDTITKAIESVPTGNTKRVIISIGPGAYREKIKIERTKPFITLIGDPKRMANLTFDGTAKQYGTVASATLIVESDFFVAANLFIVNTAPKPDGKMEGEQGVSLRVSGDKAAFYNCKIIGFRNTLCDDKGNHFFMNCYIHGTVDFIFGNGKSLYLETELYVEADKGLTAITAQERGNNEQDRTGFSFVQCKITGTAQGAYLGRARKSSPRVVFAFSDMSNVVNPEGWSHDLSPERAQTVFYGEYKCSGPGAAPAGRVPYSSQLTEMVARQFLTLGFVDGSKWLLPPPNVQINS >KJB19708 pep chromosome:Graimondii2_0_v6:3:35283702:35284103:1 gene:B456_003G116100 transcript:KJB19708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLTRVIRRMSVEGTRSSFKDMLLGGGIPKLEAREVDNLKLQEGDVITRVINGIPSICFSERIHLLVEKSMRLSMVIKMGRKVDSNALLRCILSRNQS >KJB19058 pep chromosome:Graimondii2_0_v6:3:20360785:20361712:-1 gene:B456_003G082800 transcript:KJB19058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTLVYLVFSLYLCFLSYVHSLHSFSNGSLDTFLQDFAFRALVTRHRPHTGALYKANLPVNLSGMGVSIARIRSRTLWKKGANFSCFRIPSRTFLVPHVRRVAIVYETLGNWSSYYYRVQGYSMIAPVIGFMVFDASNARAKSLKSINLDTMGKPISIHFPNLKYPDSARCAAFNSNGTVDFSPIMSSNVCYTSNQGHFSVLVPLKREKRPWYPWVIGVVLGFGMLVLSGYFCLVLLKVLKTKRVQAMERQADEGEILDSRWVNCSKMPSATVTRTQPVLENGVFPQ >KJB21147 pep chromosome:Graimondii2_0_v6:3:45569479:45573119:1 gene:B456_003G185000 transcript:KJB21147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELMPPSLELDMNIYPRQFLEPMPPPILSETPSYLDNNNLILMEEEKTIAMELAMSATDELVKMCRTNEPLWVRNNETGKEVLNLDEHSRMFHWPLNLKQRSSEFRTEASRDSSVVIMNSITLVDAFVDAHKWMELFPSIVARAKCVQVISQGVSGTNGCLQLMYAELHMLSPLVPTREAYFLRYCQQQNVEDETYWAIVDFPLDGFHNSLQTSFPLYKRRPSGCLILDMPNGYSRVTWVEHAEIEEKPIHQIFSHFVHSGMAFGANRWLAVLERQCERVASLMATNIPDIGVIPSPEARKNLMRLSQRMIRTFCVNISSCSGQVWTAVPDSTDDTVRITTRKVSEAGQPNGLILCAVSTTWLPYPHHDVFDLLRDERRRAQLEVLSNGNALHEVAHIANGSHPGNCISLLRINVSSNSSQHVDLMLQESCTNKSGSLVVYSTVDVDSVQLAMSGEDPSCIPLLPLGFFITPMELVNDGGCKDEANGHNITAGSLLTVGLQVLASTIPSAKINLSSIAAINNHLCTTVQQISSALSSNCIGSCNEGDNGKEK >KJB21146 pep chromosome:Graimondii2_0_v6:3:45568348:45573173:1 gene:B456_003G185000 transcript:KJB21146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDCEAISSMGGNMVVSSETLFTSTFQNPNFTYLPLEPLPPMITKEENGSLLRGKEEMKSGSESELQETTEQPLKKKRYHRHTAHQIQELEAVFKDCPHPDDKQRMKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSENVILRAENDSLKSEFYRLQAELSKLVCPNCGGPPVPGGVSFDELRIENARLGEELERVCAIASRYIGRPIQTMGELMPPSLELDMNIYPRQFLEPMPPPILSETPSYLDNNNLILMEEEKTIAMELAMSATDELVKMCRTNEPLWVRNNETGKEVLNLDEHSRMFHWPLNLKQRSSEFRTEASRDSSVVIMNSITLVDAFVDAHKWMELFPSIVARAKCVQVISQGVSGTNGCLQLMYAELHMLSPLVPTREAYFLRYCQQQNVEDETYWAIVDFPLDGFHNSLQTSFPLYKRRPSGCLILDMPNGYSRVTWVEHAEIEEKPIHQIFSHFVHSGMAFGANRWLAVLERQCERVASLMATNIPDIGVIPSPEARKNLMRLSQRMIRTFCVNISSCSGQVWTAVPDSTDDTVRITTRKVSEAGQPNGLILCAVSTTWLPYPHHDVFDLLRDERRRAQLEVLSNGNALHEVAHIANGSHPGNCISLLRINVSSNSSQHVDLMLQESCTNKSGSLVVYSTVDVDSVQLAMSGEDPSCIPLLPLGFFITPMELVNDGGCKDEANGHNITAGSLLTVGLQVLASTIPSAKINLSSIAAINNHLCTTVQQISSALSSNCIGSCNEGDNGKEK >KJB21144 pep chromosome:Graimondii2_0_v6:3:45568379:45573173:1 gene:B456_003G185000 transcript:KJB21144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDCEAISSMGGNMVVSSETLFTSTFQNPNFTYLPLEPLPPMITKEENGSLLRGKEEMKSGSESELQETTEQPLKKKRYHRHTAHQIQELEAVFKDCPHPDDKQRMKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSENVILRAENDSLKSEFYRLQAELSKLVCPNCGGPPVPGGVSFDELRIENARLGEELERVCAIASRYIGRPIQTMGELMPPSLELDMNIYPRQFLEPMPPPILSETPSYLDNNNLILMEEEKTIAMELAMSATDELVKMCRTNEPLWVRNNETGKEVLNLDEHSRMFHWPLNLKQRSSEFRTEASRDSSVVIMNSITLVDAFVDAHKWMELFPSIVARAKCVQVISQGVSGTNGCLQLMYAELHMLSPLVPTREAYFLRYCQQQNVEDETYWAIVDFPLDGFHNSLQTSFPLYKRRPSGCLILDMPNGYSRVTWVEHAEIEEKPIHQIFSHFVHSGMAFGANRWLAVLERQCERVASLMATNIPDIGVIPSPEARKNLMRLSQRMIRTFCVNISSCSGQVWTAVPDSTDDTVRITTRKVSEAGQPNGLILCAVSTTWLPYPHHDVFDLLRDERRRAQLEVLSNGNALHEVAHIANGSHPGNCISLLRINVSSNSSQHVDLMLQESCTNKSGSLVVYSTVDVDSVQLAMSGEDPSCIPLLPLGFFITPMELVNDGGCKDEANGHNITAGSLLTVGLQVLASTIPSAKINLSSIAAINNHLCTTVQQISSALSSNCIGSCNEGDNGKEK >KJB21145 pep chromosome:Graimondii2_0_v6:3:45568379:45573173:1 gene:B456_003G185000 transcript:KJB21145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDCEAISSMGGNMVVSSETLFTSTFQNPNFTYLPLEPLPPMITKEENGSLLRGKEEMKSGSESELQETTEQPLKKKRYHRHTAHQIQELEAVFKDCPHPDDKQRMKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSENVILRAENDSLKSEFYRLQAELSKLVCPNCGGPPVPGGVSFDELRIENARLGEELERVCAIASRYIGRPIQTMGELMPPSLELDMNIYPRQFLEPMPPPILSETPSYLDNNNLILMEEEKTIAMELAMSATDELVKMCRTNEPLWVRNNETGKEVLNLDEHSRMFHWPLNLKQRSSEFRTEASRDSSVVIMNSITLVDAFVDAHKWMELFPSIVARAKCVQVISQGVSGTNGCLQLMYAELHMLSPLVPTREAYFLRYCQQQNVEDETYWAIVDFPLDGFHNSLQTSFPLYKRRPSGCLILDMPNGYSRVTWVEHAEIEEKPIHQIFSHFVHSGMAFGANRWLAVLERQCERVASLMATNIPDIGVIPSPEARKNLMRLSQRMIRTFCVNISSCSGQVWTAVPDSTDDTVRITTRKVSEAGQPNGLILCAVSTTWLPYPHHDVFDLLRDERRRAQLEVLSNGNALHEVAHIANGSHPGNCISLLRINVSSNSSQHVDLMLQESCTNKSGSLVVYSTVDVDSVQLAMSGEDPSCIPLLPLGFFITPMELVNDGGCKDEANGHNITAGSLLTVGLQVLASTIPSAKINLSSIAAINNHLCTTVQQISSALSSNCIGSCNEGDNGKEK >KJB17451 pep chromosome:Graimondii2_0_v6:3:70444:75021:1 gene:B456_003G001100 transcript:KJB17451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKEGSTKLEAQDETRKRPSIFIIGCPNVGKRTLISRLATVEFEEEEEEDSSQVVVRRWTINTKYYTADVSLCMAHLQDGFSARTLPIFNHSTALVMVFDMSNLSTLSALRDWVSYTDIQNFEILLCIGNKVDRIPGHPVHAEYTKRLHKLNDSSTYPSSDFTQYGISEAEGSSLLGNEDPSSNIRKKILEWCIDHNIEFIEACASNAEFDKCLSVDGDLQGVERLYGAISAHMWPGMVLKSGDMITEPSLPEKEDSSEEEPDYQFEYEVLSAGSAEPGNEMVEEWVSASPANTFLDIAKSVDAGNSVTECAPGNIAGCKKEELHTFLTFSGLGEKIDRMEPNAEEAGLASASEVDGGPHYDFDDLEQLMSEIGNIRSNLRLMPDFQRREMAAKLAIKMAAMFGGDSDDEEEI >KJB17452 pep chromosome:Graimondii2_0_v6:3:70473:75021:1 gene:B456_003G001100 transcript:KJB17452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYSTINSWTINTKYYTADVSLCMAHLQDGFSARTLPIFNHSTALVMVFDMSNLSTLSALRDWVSYTDIQNFEILLCIGNKVDRIPGHPVHAEYTKRLHKLNDSSTYPSSDFTQYGISEAEGSSLLGNEDPSSNIRKKILEWCIDHNIEFIEACASNAEFDKCLSVDGDLQGVERLYGAISAHMWPGMVLKSGDMITEPSLPEKEDSSEEEPDYQFEYEVLSAGSAEPGNEMVEEWVSASPANTFLDIAKSVDAGNSVTECAPGNIAGCKKEELHTFLTFSGLGEKIDRMEPNAEEAGLASASEVDGGPHYDFDDLEQLMSEIGNIRSNLRLMPDFQRREMAAKLAIKMAAMFGGDSDDEEEI >KJB18230 pep chromosome:Graimondii2_0_v6:3:4865026:4871089:-1 gene:B456_003G041000 transcript:KJB18230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRRGSRTQRKHFKQSRENVWKRPKTESSSDPNNPNSNNPAWQPFATQNPSFDEYYREQGIVSPEEWDAFMEMLRKPLPAAFRINATSQFCEDIRSQLENDFMKSLQAEAIEGGDLEPIKPLPWYPDNLAWQSNFSRMQLRKNQTLERFHEFLKLETEIGNISRQEAVSMVPPLFLDVRPDHFVLDVCAAPGSKTFQLLEIIHHSAKGRFLPDGMVLANDLDVQRCNLLIHQTKRMCTANLIVTNHEGQHFPGCRSHKNISNGSDTTNNLEQSIPQLLFDRVLCDVPCSGDGTLRKAPDIWRKWNGGMGNGLHCLQIHIAMRGLSLLKVGGRMVYSTCSMNPVENEAVVAEILRRCGGSVELVDVSNELPQLIRRPGLTKWKVRDKGVWLPSYKDARKLQRNGIVPSMFPSGKNYADLTNNNQKSENGESVNAEDVVQPDDTISSIDDLEEEVSDLSLQLCMRIVPHDQNTGAFFIAVLQKVSHLPAIPDKSATSQGKLSTRSELHENLSDQAAEEINGLEVSSVDGADEKILEVASKAEENISEMALEAADEKISEETSEVDEKISEVALEADLVDDEPAGGAPESNSSEAADKKTDSAKTGEKRKLQIQGKWKGVDPVLFFQDETIINSIKAFYGIDESFPFTGHLVTRNKDANHVKRIYYVSKSVKDVLDLNFRVGQQLKITSVGLKMFERQSSREGSLAPCSFRISSEGLPVILPYITKQILYASPADFKHLLQYKSIKFADFVDADFGQKAADLILGCCVIVLREGDKLSDHIQADASAIAIGCWKGRSSLSVMVTAMDCQELLERLSARMETEKNGTLEPESLGVLDKKQDLSGENRTPLEDHNVEAMKIQDTNASE >KJB18228 pep chromosome:Graimondii2_0_v6:3:4865026:4869686:-1 gene:B456_003G041000 transcript:KJB18228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPLFLDVRPDHFVLDVCAAPGSKTFQLLEIIHHSAKGRFLPDGMVLANDLDVQRCNLLIHQTKRMCTANLIVTNHEGQHFPGCRSHKNISNGSDTTNNLEQSIPQLLFDRVLCDVPCSGDGTLRKAPDIWRKWNGGMGNGLHCLQIHIAMRGLSLLKVGGRMVYSTCSMNPVENEAVVAEILRRCGGSVELVDVSNELPQLIRRPGLTKWKVRDKGVWLPSYKDARKLQRNGIVPSMFPSGKNYADLTNNNQKSENGESVNAEDVVQPDDTISSIDDLEEEVSDLSLQLCMRIVPHDQNTGAFFIAVLQKVSHLPAIPDKSATSQGKLSTRSELHENLSDQAAEEINGLEVSSVDGADEKILEVASKAEENISEMALEAADEKISEETSEVDEKISEVALEADLVDDEPAGGAPESNSSEAADKKTDSAKTGEKRKLQIQGKWKGVDPVLFFQDETIINSIKAFYGIDESFPFTGHLVTRNKDANHVKRIYYVSKSVKDVLDLNFRVGQQLKITSVGLKMFERQSSREGSLAPCSFRISSEGLPVILPYITKQILYASPADFKHLLQYKSIKFADFVDADFGQKAADLILGCCVIVLREDHIQADASAIAIGCWKGRSSLSVMVTAMDCQELLERLSARMETEKNGTLEPESLGVLDKKQDLSGENRTPLEDHNVEAMKIQDTNASE >KJB18229 pep chromosome:Graimondii2_0_v6:3:4865026:4870956:-1 gene:B456_003G041000 transcript:KJB18229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRRGSRTQRKHFKQSRENVWKRPKTESSSDPNNPNSNNPAWQPFATQNPSFDEYYREQGIVSPEEWDAFMEMLRKPLPAAFRINATSQFCEDIRSQLENDFMKSLQAEAIEGGDLEPIKPLPWYPDNLAWQSNFSRMQLRKNQTLERFHEFLKLETEIGNISRQEAVSMVPPLFLDVRPDHFVLDVCAAPGSKTFQLLEIIHHSAKGRFLPDGMVLANDLDVQRCNLLIHQTKRMCTANLIVTNHEGQHFPGCRSHKNISNGSDTTNNLEQSIPQLLFDRVLCDVPCSGDGTLRKAPDIWRKWNGGMGNGLHCLQIHIAMRGLSLLKVGGRMVYSTCSMNPVENEAVVAEILRRCGGSVELVDVSNELPQLIRRPGLTKWKVRDKGVWLPSYKDARKLQRNGIVPSMFPSGKNYADLTNNNQKSENGESVNAEDVVQPDDTISSIDDLEEEVSDLSLQLCMRIVPHDQNTGAFFIAVLQKVSHLPAIPDKSATSQGKLSTRSELHENLSDQAAEEINGLEVSSVDGADEKILEVASKAEENISEMALEAADEKISEETSEVDEKISEVALEADLVDDEPAGGAPESNSSEAADKKTDSAKTGEKRKLQIQGKWKGVDPVLFFQDETIINSIKAFYGIDESFPFTGHLVTRNKDANHVKRIYYVSKSVKDVLDLNFRVGQQLKITSVGLKMFERQSSREGSLAPCSFRISSEGLPVILPYITKQILYASPADFKHLLQYKSIKFADFVDADFGQKAADLILGCCVIVLREDHIQADASAIAIGCWKGRSSLSVMVTAMDCQELLERLSARMETEKNGTLEPESLGVLDKKQDLSGENRTPLEDHNVEAMKIQDTNASE >KJB18311 pep chromosome:Graimondii2_0_v6:3:6242892:6248951:1 gene:B456_003G046400 transcript:KJB18311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSFSFAFSFYLLLLLLFLGLASASRFDPLELRSLLEFKKGIRHDPFNKVLSVWDPDTRPDPTSWTGVTRDPNSGFIVSISLDRLGLVGDLKFHTLTPLRNLQNLSLSGNNFTGRIAPALGSITSLQHLDLSDNQFIGPIPGRIADLYGLNYLNLSVNKFDGGLPSGFRNLQQLRVLDLHNNALRGDIGELLSELRNVEHIDLSYNEFYGGLSVPVENVSSLANTIRHVNLSHNQLNGGFLKEEAIGLFKNLQLLDLGDNSISGQLPSFGSLPGLRVLKLGKNQLFGPVPVELVEGFVPLEELDLSHNGFTGSIRVINSTTLKVLKLSSNQLSGDLPSSLRSCEMVDLSNNMISGDVSVMSNWEASLVDLDLSSNKLSGSLSNLPHFEDLNTFNLRNNSLVGALPSLLDTSPILSVVELSLNQLSGHIPGSFFTSTTLKSLNLSGNHLTGPIPLQGSRVSELLVMSTYPQMESLDLSNNSLTGGLPSEIGNIARLKLLNLAGNELSGQLPSELSKLSDLEYLDLSRNNFKGKIPDKLSNSLSAFNVSNNDLSGSIPENLRGFPRSAFSPGNSLLIFPKGMPPTGSSQDHTLDHVRHHSSKGSIRVAIIVASVVAAVMIVFVFLAFHRAQLKEFHGRCGFSDTTTGGNAKSGGLERPSRFKFHSTAQAPQTSLSFSNDHLLTSNSRSVSGQQEFAAEIVEHGAAERVTTSSASVNPNLLDNEPVSSGRKSSPGSPLASSPHVIETFEQPVTLDVYSPDRLAGELFFLDTSLSFTIEELSRAPAEVLGRGSHGTLYKATLRNGHMLTVKWLRVGLVKHKKEFAKEVKKIGSVRHSNFVPVRAYYWGPREQERLLLSDYIQSDNLALHLYGTTHYLSAKD >KJB18310 pep chromosome:Graimondii2_0_v6:3:6242826:6248961:1 gene:B456_003G046400 transcript:KJB18310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSFSFAFSFYLLLLLLFLGLASASRFDPLELRSLLEFKKGIRHDPFNKVLSVWDPDTRPDPTSWTGVTRDPNSGFIVSISLDRLGLVGDLKFHTLTPLRNLQNLSLSGNNFTGRIAPALGSITSLQHLDLSDNQFIGPIPGRIADLYGLNYLNLSVNKFDGGLPSGFRNLQQLRVLDLHNNALRGDIGELLSELRNVEHIDLSYNEFYGGLSVPVENVSSLANTIRHVNLSHNQLNGGFLKEEAIGLFKNLQLLDLGDNSISGQLPSFGSLPGLRVLKLGKNQLFGPVPVELVEGFVPLEELDLSHNGFTGSIRVINSTTLKVLKLSSNQLSGDLPSSLRSCEMVDLSNNMISGDVSVMSNWEASLVDLDLSSNKLSGSLSNLPHFEDLNTFNLRNNSLVGALPSLLDTSPILSVVELSLNQLSGHIPGSFFTSTTLKSLNLSGNHLTGPIPLQGSRVSELLVMSTYPQMESLDLSNNSLTGGLPSEIGNIARLKLLNLAGNELSGQLPSELSKLSDLEYLDLSRNNFKGKIPDKLSNSLSAFNVSNNDLSGSIPENLRGFPRSAFSPGNSLLIFPKGMPPTGSSQDHTLDHVRHHSSKGSIRVAIIVASVVAAVMIVFVFLAFHRAQLKEFHGRCGFSDTTTGGNAKSGGLERPSRFKFHSTAQAPQTSLSFSNDHLLTSNSRSVSGQQEFAAEIVEHGAAERVTTSSASVNPNLLDNEPVSSGRKSSPGSPLASSPHVIETFEQPVTLDVYSPDRLAGELFFLDTSLSFTIEELSRAPAEVLGRGSHGTLYKATLRNGHMLTVKWLRVGLVKHKKEFAKEVKKIGSVRHSNFVPVRAYYWGPREQERLLLSDYIQSDNLALHLYETTPRRYHPLSFSQRLKVAVEVAKCLLHLHDRGLPHGNLKPTNILLANPDYHACLTDYCLHRLMTPTGIAEQILNLGALGYRAPELASTSKPVPSFKADVYALGVILMELLTRRSAGDIMSGQSGAVDLTDWVRLCDQEGRGMDCIDRDIASGEEYAKAMDDMLAISLRCILPVNERPNIRQVYEDLCSISL >KJB17829 pep chromosome:Graimondii2_0_v6:3:1287797:1289513:1 gene:B456_003G017900 transcript:KJB17829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFALVIPPNHLHRHHHHHLFLLFLLISAINAVPSSATTTTNNDQKFKEAPQFYNAPTCPSINGTTNEMCSHEAVHVAMTLDAAYLRGSMAAIFSILQHSSCPQNILFHFVSTSTADHRHLLLTITHSFPSLKFQIYPYDSSVVSGLISTSIRSALDSPLNYARNYLADLLPHCIHRIVYLDSDLVLVDDIAKLAATPLDENTVLAAPEYCNANFTSYFTPTFWSNPTLSLTFAGRKPCYFNTGVMVIDLQKWREGDYTIKIIEWMELQKRIRIYELGSLPPFLLVFAGTIAPVDHRWNQHGLGGDNYRGLCRNLHPGRVSLLHWSGKGKPWVRLDANRPCPLDALWAPYDLLQTPFALES >KJB18728 pep chromosome:Graimondii2_0_v6:3:13884189:13884467:-1 gene:B456_003G067400 transcript:KJB18728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKLCVLTPTRIVWDSKVKETILSTNSGQIGILPNHMPITTVVDIGILRIRYNDQCLTMALMGGFSRIGNNEITILVNDAEKGSDIDLQEA >KJB19752 pep chromosome:Graimondii2_0_v6:3:35711880:35719260:-1 gene:B456_003G117900 transcript:KJB19752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMSSDDGIYHHHHSGKEVLGTDKVPHIGPLSGSLNKRAGRKGSRFNLPGSSSSKGDDYVEITLDVGDDSVAVHSLKATNGDDLQDDAELTLLAKGLEKKSSVGSSMVRNASAKIKQVGQELKRLTSLSKKPSRFDRTKSAVAHALKGLKFISKTDCGHGWVAVEKLFDEISASNNGVLPRSRFGECIGMESKEFAGQLFDALARKRNLQFDSIDKAHLKEFWDQISNQSFDARLQTFFDMVDKDADGRITEEEVKEIISLSASANKLSNIQKQAEEYAALIMEELDPDNLGFIMIHNLEMLLLQGPDQSVRGESRNLSQMLSQKLKPTYDYNPVRRFYRDTMYFLLDNWQRVWVMALWIGAMCGLFTYKYIEYRQREDVFEVMGHCVCFAKGAAETLKLNMALILLPVCRNTITWLRNKTKLGAVVPFDDNLNFHKVIAVGISIGVGIHGISHLACDFPRLLHATPDEYEPIEKYVGEQAKSYWHFVKHIEGVTGVIMVVLMAFAFTLATPMFRRGRMKLPKPLNKLTGFNAFWYSHHLFVIVYTLLIAHGIKLFLTKKWYKKTTWMYLAIPVFLYICERLTRLLRSSIEAVTIQKVAVYPGNVLALHMSRPRGFRYKSGQYIFVNCDVVSPFEWHPFSITSAPGDDYVSVHIRTLGDWTGQLRTVFSKIPKSANRWAIWSTSTRLQEI >KJB19751 pep chromosome:Graimondii2_0_v6:3:35712178:35719019:-1 gene:B456_003G117900 transcript:KJB19751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMSSDDGIYHHHHSGKEVLGTDKVPHIGPLSGSLNKRAGRKGSRFNLPGSSSSKGDDYVEITLDVGDDSVAVHSLKATNGDDLQDDAELTLLAKGLEKKSSVGSSMVRNASAKIKQVGQELKRLTSLSKKPSRFDRTKSAVAHALKGLKFISKTDCGHGWVAVEKLFDEISASNNGVLPRSRFGECIGMESKEFAGQLFDALARKRNLQFDSIDKAHLKEFWDQISNQSFDARLQTFFDMVDKDADGRITEEEVKEIISLSASANKLSNIQKQAEEYAALIMEELDPDNLGFIMIHNLEMLLLQGPDQSVRGESRNLSQMLSQKLKPTYDYNPVRRFYRDTMYFLLDNWQRVWVMALWIGAMCGLFTYKYIEYRQREDVFEVMGHCVCFAKGAAETLKLNMALILLPVCRNTITWLRNKTKLGAVVPFDDNLNFHKVIAVGISIGVGIHGISHLACDFPRLLHATPDEYEPIEKYVGEQAKSYWHFVKHIEGVTGVIMVVLMAFAFTLATPMFRRGRMKLPKPLNKLTGFNAFWYSHHLFVIVYTLLIAHGIKLFLTKKWYKKTTWMYLAIPVFLYICERLTRLLRSSIEAVTIQKVAVYPGNVLALHMSRPRGFRYKSGQYIFVNCDVVSPFEWHPFSITSAPGDDYVSVHIRTLGDWTGQLRTVFSKVCKQPTNGKSGLLRADCLQGNNNPEFPRVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDIVHNIRSMAEDEDEELSSALENGVAINNKTSSRSPPNPKTRENFKTKRAYFYWVTREQGSFDWFKGIMNEVAEMDHDHVIELHNYCTSVYEEGDARSALITMLQSLNHAKNGVDVVSGTRVKSHFAKPNWRSVYKHIAVNHNNARVGVFYCGAPALTKVLSQLASDFSHKTSTKFDFHKENF >KJB20154 pep chromosome:Graimondii2_0_v6:3:39364781:39368045:1 gene:B456_003G135500 transcript:KJB20154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRLMRKFDCRLLPQIRTLSALLNDLAKIRQFGIVLEVFDEIVKAGIRPDIFIYTVVIRSFCESKDFVRACEMIHQMESNVAELNVVVYNVLIHGLCKNHGVWEAVEVKNGLVKRGLRADIITYNTLVLGLCRVEQFDVAVELMDEMIKLGFVPSEAVVTSLVEGLRRKGKVEDALSLVKKVGEIGLAPNLFVYNALINSLCKNGKFDEAELLYNEMESKGLHANDVTFSILIDSFCRRGEMDIALSFLSKMREAGIKVTVYPYNSLISGYCKLGNLCLAESFFNEMINNRLQPTVITYTSLLSGYCNEGKLHKAFRLYHEMTGKAIAPNTYTYTALISGLCRANMMVEAVRLFGQMVERNIMPNEVTYNVLIEGYCKDGNMVKAFELFGEMVDKGLVPDSYTYRSLISGLCSVGRVSEAKEFLDGLHRDHRKLNEMCYSALLHGYCKEGKIEDALRTCREMVARGIDMDLVCYGILIDGTLKQHDTRRLLVLLKEMDDQGLSPDNVIYTSMIDANSKVGNLREAFGLWDIMIGEGCIPNVVTYTALINGLCKAGFIDKAELLCKEMLVSNLLPNQITYGCFIDRLAEEGNMDKAVELHNAIVKGVLANTVTYNILIRGFCKLGRLEEAMELLVGMADNGILPDCITYSTIIYEHCRRGSLHEAIRLWDSMLNKGIMPDTLAYNFLIHGCCVAGELNKAFELRDDMFRRGVKPSKVTHDILARGNHSKNSYENVDQ >KJB20153 pep chromosome:Graimondii2_0_v6:3:39364658:39368109:1 gene:B456_003G135500 transcript:KJB20153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYPSHRPLKIPIIFSKQRFCATPKVSPQDNDEKAKDAQIISDLRLIVRGRQSWKVALNTAIFLKTHHVEEVLIQTLDDPRLALRFFNFLGLHKGFHHSAESFCVLIHALLNVNLFWPASSLLQTLLLRGLSPSEAFEALWKAHEKCKFHSSLGFDLLIQHYVQNRRAFDALMVFRLMRKFDCRLLPQIRTLSALLNDLAKIRQFGIVLEVFDEIVKAGIRPDIFIYTVVIRSFCESKDFVRACEMIHQMESNVAELNVVVYNVLIHGLCKNHGVWEAVEVKNGLVKRGLRADIITYNTLVLGLCRVEQFDVAVELMDEMIKLGFVPSEAVVTSLVEGLRRKGKVEDALSLVKKVGEIGLAPNLFVYNALINSLCKNGKFDEAELLYNEMESKGLHANDVTFSILIDSFCRRGEMDIALSFLSKMREAGIKVTVYPYNSLISGYCKLGNLCLAESFFNEMINNRLQPTVITYTSLLSGYCNEGKLHKAFRLYHEMTGKAIAPNTYTYTALISGLCRANMMVEAVRLFGQMVERNIMPNEVTYNVLIEGYCKDGNMVKAFELFGEMVDKGLVPDSYTYRSLISGLCSVGRVSEAKEFLDGLHRDHRKLNEMCYSALLHGYCKEGKIEDALRTCREMVARGIDMDLVCYGILIDGTLKQHDTRRLLVLLKEMDDQGLSPDNVIYTSMIDANSKVGNLREAFGLWDIMIGEGCIPNVVTYTALINGLCKAGFIDKAELLCKEMLVSNLLPNQITYGCFIDRLAEEGNMDKAVELHNAIVKGVLANTVTYNILIRGFCKLGRLEEAMELLVGMADNGILPDCITYSTIIYEHCRRGSLHEAIRLWDSMLNKGIMPDTLAYNFLIHGCCVAGELNKAFELRDDMFRRGVKPSKVTHDILARGNHSKNSYENVDQ >KJB19948 pep chromosome:Graimondii2_0_v6:3:37669500:37670537:1 gene:B456_003G126500 transcript:KJB19948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGMAETEMEYRVELFNRLAQTCFNKCVDKRYKESELNMGENSCIDRCVSKYWQVNSMIGQMLSAGGRPPM >KJB19950 pep chromosome:Graimondii2_0_v6:3:37669370:37671078:1 gene:B456_003G126500 transcript:KJB19950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGAFGMAETEMEYRVELFNRLAQTCFNKCVDKRYKESELNMGENSCIDRCVSKYWQVNSMIGQMLSAGGRPPM >KJB19949 pep chromosome:Graimondii2_0_v6:3:37669390:37671078:1 gene:B456_003G126500 transcript:KJB19949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETEMEYRVELFNRLAQTCFNKCVDKRYKESELNMGENSCIDRCVSKYWQVNSMIGQMLSAGGRPPM >KJB19947 pep chromosome:Graimondii2_0_v6:3:37668910:37671117:1 gene:B456_003G126500 transcript:KJB19947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANNMPAGIDKEQAFGMAETEMEYRVELFNRLAQTCFNKCVDKRYKESELNMGENSCIDRCVSKYWQVNSMIGQMLSAGGRPPM >KJB19981 pep chromosome:Graimondii2_0_v6:3:37949874:37953363:1 gene:B456_003G128300 transcript:KJB19981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVTMDPAVLDNIICRLLEFKQGRTGGKQVQLMEGEIHQLCTVAREILIQQPTLLELEAPIKICGDIHGQYVDLLRIFEYGGFPPSVNYLFLGDYVDRGKQSLETICLLLAYKIKYPDNLFLLRGNHECASINRIYGFYDECKRRFNVKVWRIFTECFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRALTRPTDVPDTGLLCDLLWSDPGRDIKGWGMSDRGVSFTFGPDRVSDFLMKNDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADKRPGFS >KJB19980 pep chromosome:Graimondii2_0_v6:3:37949882:37953866:1 gene:B456_003G128300 transcript:KJB19980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVTMDPAVLDNIICRLLEFKQGRTGGKQVQLMEGEIHQLCTVAREILIQQPTLLELEAPIKICGDIHGQYVDLLRIFEYGGFPPSVNYLFLGDYVDRGKQSLETICLLLAYKIKYPDNLFLLRGNHECASINRIYGFYDECKRRFNVKVWRIFTECFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRALTRPTDVPDTGLLCDLLWSDPGRDIKGWGMSDRGVSFTFGPDRVSDFLMKNDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADKRPGFS >KJB19469 pep chromosome:Graimondii2_0_v6:3:32248407:32249974:-1 gene:B456_003G104600 transcript:KJB19469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVSSGEDGYLNNWIHTCDSCQAAACTLYCHTDSAYLCHGCDKHIHGDNTMALSHHRVWICDACEAAPAAVTCSADAASLCIECDIQVHSVNPLASRHTRVPIPPISGQICSSSSVQQDGQLPGTMFDTKNEIAALTMEVNLEINEDEMDSWLLLEPGNTDNQTMSGFTYGEQLDEYVDVVDTCTESFGPEQSSDQQQLVCVNCPEDSGSDSVVPVQTLRTKNQPLQEETQLQKQQLHSTYFNSEQSGSKTAFTNYPSSSLRVPMLMITPAGIPNSYTGFPATTTTTEILPNPWLLMPLQFTSMNREEKVLRYREKRKSRKFEKKIRYASRKACRDSTSYQRQICKENR >KJB20864 pep chromosome:Graimondii2_0_v6:3:44022527:44024294:1 gene:B456_003G169800 transcript:KJB20864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPRSVPHWLGILLGEKFFDPCLLHESAKKNEKNIFCLTCCITICPHCLPLHRPHRRLQIRRYVYQDVIRLSDAQKFINCSLVQPYTTNSAKVVFLNERPMSRPFRGSGNFCIKCDRSLQDPFLYCSISCKINHIESSKPGGKKLEPPSNELLPFLYKTRTDFSFLDLQEDPQMAYDFGNGGGGDGNAMNCKANKRSSILMPQAESNSRRKGCL >KJB20863 pep chromosome:Graimondii2_0_v6:3:44022353:44023930:1 gene:B456_003G169800 transcript:KJB20863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPRSVPHWLGILLGEKFFDPCLLHESAKKNEKNIFCLTCCITICPHCLPLHRPHRRLQIRRYVYQDVIRLSDAQKFINCSLVQPYTTNSAKVVFLNERPMSRPFRGSGNFCIKCDRSLQDPFLYCSISCKINHIESSKPGGKKLEPPSNELLPFLYKTRTDFSFLDLQEDPQMAYDFGNGGGGDGNAMNCKANKRSSILMPQAESNSRRKGVPHRSPLN >KJB17641 pep chromosome:Graimondii2_0_v6:3:551936:555151:1 gene:B456_003G009100 transcript:KJB17641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSNSASSKPSASSLRWRILSRAVLHRAKNPEDESQLGMKLISRKAAKGFNLIPCQLLNHDHESRDAQFCYTLPTQGCPKLVLTQRLNNNADLTDFEICNRHNIDNTGTVCQWPSEDVLAYYCLSHADMFRSKRVIELGSGYGLAGLTIAATTEALEVVISDGNPQVVDYILHNINTNSGAFGETRVKPMKLHWNEKEVSNLSHTFDVIVASDCTFFKEFHKDLAQVTELLLKKPGPSEAIFFSPKRGNSLDKFLEEIKDNGLLFSITEIYDPEIWNRHQQFMNGDESWPGYEKDHCYPLLIRITR >KJB17642 pep chromosome:Graimondii2_0_v6:3:552137:555139:1 gene:B456_003G009100 transcript:KJB17642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSNSASSKPSASSLRWRILSRAVLHRAKNPDESQLGMKLISRKAAKGFNLIPCQLLNHDHESRDAQFCYTLPTQGCPKLVLTQRLNNNADLTDFEICNRHNIDNTGTVCQWPSEDVLAYYCLSHADMFRSKRVIELGSGYGLAGLTIAATTEALEVVISDGNPQVVDYILHNINTNSGAFGETRVKPMKLHWNEKEVSNLSHTFDVIVASDCTFFKEFHKDLAQVTELLLKKPGPSEAIFFSPKRGNSLDKFLEEIKDNGLLFSITEIYDPEIWNRHQQFMNGDESWPGYEKDHCYPLLIRITR >KJB20850 pep chromosome:Graimondii2_0_v6:3:43917119:43918627:1 gene:B456_003G168700 transcript:KJB20850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSHHGLAILFIVLTSQIALTLAYPPFLNDRNVEYIKSSCSTTTYPKLCYRSLSVYASSINTSPKLLVHTALNVTLRASKATSRLMVKISRFHGLEPRVAAAMADCIEVIGDSVDELQQSLGELGHISRSNISLTMSDVQTWVSAALTDEDTCMDGFAGNNMNGYAKTMARKRIIKVAHLTSNALALINNYASTQGYYLP >KJB20972 pep chromosome:Graimondii2_0_v6:3:44709757:44711616:-1 gene:B456_003G175300 transcript:KJB20972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSHNGHNSRTCPNRGFKLFGVRLTDGLIRKSASMGNLSQYSGLNSGMHNRNGSGEPGDGPDHADGYASEDFVPGSSSSNRERKRGIPWTEEEHRMFLLGLQKLGKGDWRGISRNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVTDESSDIPMVSHDSFSENPSEAEAQSNDHLPIPPALDEEDETMDTDKSNDGENIHIPPKPETSQPPYPALYPAYFPPFFPFSLSYWMGYNTEPTKENTHEVVKPMAVHSKIPINVDELVGMSKLSLGESIGGNGPSSVSLKLDGSSRQSAFHANPVPGNSRMNSSGSPIHAN >KJB20039 pep chromosome:Graimondii2_0_v6:3:38397655:38399683:-1 gene:B456_003G130200 transcript:KJB20039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSALDRLASLHVDFPLLFELTNAPVGRVSHCGVLEFVADEGLMYLPHWMMENLLLEEGDILLVKSASLAKATYVKLQPHTMDFLDISNPKAILEITLRSYSCLTVGDTIMVPYNDKKYYIDIVEAKPSPAVSVIETDCEVDFAPPLDYKEFEKPAPSLHSNKRPLEVEEELPRKIKFVPFSGSARRLDGELAAMPVSPLLKQNSDVGNETVASGSYTSRQQARKLGFGSNGNRQQAGKLMFGRMEIGPKIKQQ >KJB20038 pep chromosome:Graimondii2_0_v6:3:38397651:38400155:-1 gene:B456_003G130200 transcript:KJB20038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGNERFEQCYRCYSVSFINKAHLEKGDKVIMPPSALDRLASLHVDFPLLFELTNAPVGRVSHCGVLEFVADEGLMYLPHWMMENLLLEEGDILLVKSASLAKATYVKLQPHTMDFLDISNPKAILEITLRSYSCLTVGDTIMVPYNDKKYYIDIVEAKPSPAVSVIETDCEVDFAPPLDYKEFEKPAPSLHSNKRPLEVEEELPRKIKFVPFSGSARRLDGELAAMPVSPLLKQNSDVGNETVASGSYTSRQQARKLGFGSNGNRQQAGKLMFGRMEIGPKIKQQ >KJB20043 pep chromosome:Graimondii2_0_v6:3:38397674:38399968:-1 gene:B456_003G130200 transcript:KJB20043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGNERFEQCYRCYSVSFINKVRGLHLPLQAHLEKGDKVIMPPSALDRLASLHVDFPLLFELTNAPVGRVSHCGVLEFVADEGLMYLPHWMMENLLLEEGDILLVKSASLAKATYVKLQPHTMDFLDISNPKAILEITLRSYSCLTVGDTIMVPYNDKKYYIDIVEAKPSPAVSVIETDCEVDFAPPLDYKEFEKPAPSLHSNKRPLEVEEELPRKIKFVPFSGSARRLDGELAAMPVSPLLKQNSDVGNETVASGSYTSRQQARKLGFGSNGNRQQAGKLMFGRMEIGPKIKQQ >KJB20041 pep chromosome:Graimondii2_0_v6:3:38397655:38400383:-1 gene:B456_003G130200 transcript:KJB20041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGNERFEQCYRCYSVSFINKPLQAHLEKGDKVIMPPSALDRLASLHVDFPLLFELTNAPVGRVSHCGVLEFVADEGLMYLPHWMMENLLLEEGDILLVKSASLAKATYVKLQPHTMDFLDISNPKAILEITLRSYSCLTVGDTIMVPYNDKKYYIDIVEAKPSPAVSVIETDCEVDFAPPLDYKEFEKPAPSLHSNKRPLEVEEELPRKIKFVPFSGSARRLDGELAAMPVSPLLKQNSDVGNETVASGSYTSRQQARKLGFGSNGNRQQAGKLMFGRMEIGPKIKQQ >KJB20040 pep chromosome:Graimondii2_0_v6:3:38397655:38399968:-1 gene:B456_003G130200 transcript:KJB20040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGNERFEQCYRCYSVSFINKVRGLHLPLQAHLEKGDKVIMPPSALDRLASLHVDFPLLFELTNAPVGRVSHCGVLEFVADEGLMYLPHWMMENLLLEEGDILLVKSASLAKATYVKLQPHTMDFLDISNPKAILEITLRSYSCLTVGDTIMVPYNDKKYYIDIVEAKPSPAVSVIETDCEVDFAPPLDYKEFEKPAPSLHSNKRPLEVEEELPRKIKFVPFSGSARRLDGELAAMPVSPLLKQNSDVGNETVASGSYTSRQQARKLGEYI >KJB20042 pep chromosome:Graimondii2_0_v6:3:38398315:38399968:-1 gene:B456_003G130200 transcript:KJB20042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGNERFEQCYRCYSVSFINKVRGLHLPLQAHLEKGDKVIMPPSALDRLASLHVDFPLLFELTNAPVGRVSHCGVLEFVADEGLMYLPHWMMENLLLEEGDILLVKSASLAKATYVKLQPHTMDFLDISNPKAILEITLRSYSCLTVGDTIMVPYNDKKYYIDIVEAKPSPAVSVIETDCEVDFAPPLDYKEFEKPAPSLHSNKRPLEVEEELPRKIKFVPFSGSARRLDGELAAMPVSPLLKQNSDVGNETVASGSYTSRQQARKLGFGSNGNRQQAGKLMFGRMEIGPKIKQQ >KJB19574 pep chromosome:Graimondii2_0_v6:3:33407996:33410757:-1 gene:B456_003G109100 transcript:KJB19574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFELSASSSHQDYLKVLETDIQHANILAASMSRAKGAGCLQMKLVYNHLAPILLFFLQWLDCSCSCLFSSYLNLFHIVVYQVRSDGRPSISSCRRKATIREFYSVILPSLQRLHGDLSELDETGEEDHCFEMVVKKKLDDKRKVSDMELEREDECGICLEPCNKVVLPNCCHAMCINCYRDWSLRSESCPFCRGSLKRVTSGDLWVLTRSTDVVETKTVLKEDILRFQLYINKLPKDLPDALFVMYYEYLI >KJB17635 pep chromosome:Graimondii2_0_v6:3:536990:540459:-1 gene:B456_003G008800 transcript:KJB17635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAFFGIFSLLFIAYFSELASSNIVFKPLSISLPDLPAKFAIGNNTGVCGALEVADPLDACSPLRNDFGSNQSEAIRFALIIRGDCSFEEKIRNAQNKGFSAAIVYDDKYSNNLVYMMVNPKGIKVLAVFVSKSAGEFLKDHAKGENGECCIYPPLNGKAWTVFAICFLSLVVIAAFLVIAFVAPRSLSNWRRRNLVRRVDSKVVEALPCLVFGSARSSIAGETCAICLEDYNDGELLKVLPCQHVCRFLLAMAIPIRSVFLHPLSLQNHAQNIWETLMQPSGHCIRCHLSGIKLIRWHCGV >KJB17634 pep chromosome:Graimondii2_0_v6:3:537033:540407:-1 gene:B456_003G008800 transcript:KJB17634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAFFGIFSLLFIAYFSELASSNIVFKPLSISLPDLPAKFAIGNNTGVCGALEVADPLDACSPLRNDFGSNQSEAIRFALIIRGDCSFEEKIRNAQNKGFSAAIVYDDKYSNNLVYMMVNPKGIKVLAVFVSKSAGEFLKDHAKGENGECCIYPPLNGKAWTVFAICFLSLVVIAAFLVIAFVAPRSLSNWRRRNLVRRVDSKVVEALPCLVFGSARSSIAGETCAICLEDYNDGELLKVLPCQHDFHSSCVESWLTKWGTFCPVCKLDMTTKFAFSKIKRGSRV >KJB17637 pep chromosome:Graimondii2_0_v6:3:537946:540347:-1 gene:B456_003G008800 transcript:KJB17637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAFFGIFSLLFIAYFSELASSNIVFKPLSISLPDLPAKFAIGNNTGVCGALEVADPLDACSPLRNDFGSNQSEAIRFALIIRGDCSFEEKIRNAQNKGFSAAIVYDDKYSNNLVYMMVNPKGIKVLAVFVSKSAGEFLKDHAKGENGECCIYPPLNGKAWTVFAICFLSLVVIAAFLVIAFVAPRSLSNWRRRNLVRRVDSKVVEALPCLVFGSARSSIAGETCAICLEDYNDGELLKVLPCQHDFHSSCVESWLTKWGTFCPVCKLDMTTKFAFSKLELGLL >KJB17636 pep chromosome:Graimondii2_0_v6:3:537965:540272:-1 gene:B456_003G008800 transcript:KJB17636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAFFGIFSLLFIAYFSELASSNIVFKPLSISLPDLPAKFAIGNNTGVCGALEVADPLDACSPLRNDFGSNQSEAIRFALIIRGDCSFEEKIRNAQNKGFSAAIVYDDKYSNNLVYMMVNPKGIKVLAVFVSKSAGEFLKDHAKGENGECCIYPPLNGKAWTVFAICFLSLVVIAAFLVIAFVAPRSLSNWRRRNLVRRVDSKVVEALPCLVFGSARSSIAGETCAICLEDYNDGELLKVLPCQHDFHSSCVESWLTKWGTFCPVCKLDMTTKFAFSKN >KJB21250 pep chromosome:Graimondii2_0_v6:3:9179179:9181061:-1 gene:B456_003G056500 transcript:KJB21250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINIDQETTVREIKPKSRRIMGGGGPDYDDDKEEVKWPPWLRPLLQTSFFVQCKLHADAHKSECNMYCLDCMNGALCSLCLAYHKDHRAIQIRRSSYHDVIRVSEIQKFVDITGIQTYIINSARIVFLNERPQPRPGKGVTNTCQVCERSLLDSFSFCSLGCKIVGTSKNFIRKKKMCKETDGSDAESLNGVSNGSTKSKVQSFRPSTPPPTAVNYRTAKRRKGVPHRAPMGGLIIEY >KJB21249 pep chromosome:Graimondii2_0_v6:3:9179179:9181006:-1 gene:B456_003G056500 transcript:KJB21249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCLDCMNGALCSLCLAYHKDHRAIQIRRSSYHDVIRVSEIQKFVDITGIQTYIINSARIVFLNERPQPRPGKGVTNTCQVCERSLLDSFSFCSLGCKNFIRKKKMCKETDGSDAESLNGVSNGSTKSKVQSFRPSTPPPTAVNYRTAKRRKGVPHRAPMGGLIIEY >KJB21248 pep chromosome:Graimondii2_0_v6:3:9179179:9181061:-1 gene:B456_003G056500 transcript:KJB21248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINIDQETTVREIKPKSRRIMGGGGPDYDDDKEEVKWPPWLRPLLQTSFFVQCKLHADAHKSECNMYCLDCMNGALCSLCLAYHKDHRAIQIRRSSYHDVIRVSEIQKFVDITGIQTYIINSARIVFLNERPQPRPGKGVTNTCQVCERSLLDSFSFCSLGCKNFIRKKKMCKETDGSDAESLNGVSNGSTKSKVQSFRPSTPPPTAVNYRTAKRRKGVPHRAPMGGLIIEY >KJB21251 pep chromosome:Graimondii2_0_v6:3:9179582:9180501:-1 gene:B456_003G056500 transcript:KJB21251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCLDCMNGALCSLCLAYHKDHRAIQIRRSSYHDVIRVSEIQKFVDITGIQTYIINSARIVFLNERPQPRPGKGVTNTCQVCERSLLDSFSFCSLGCKIVGTSKNFIRKKKMCKETDGSDAESLNGVSNGSTKSKVQSFRPSTPPPTAVNYRTAKRRKGVPHRAPMGGLIIEY >KJB18826 pep chromosome:Graimondii2_0_v6:3:16366050:16366464:-1 gene:B456_003G070800 transcript:KJB18826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVFQLVVFALITTSSILLISVPVVFASPNGWLSNKNIVFCGTSLWIGLVFLMSILNTLIS >KJB19626 pep chromosome:Graimondii2_0_v6:3:34179818:34181043:1 gene:B456_003G111500 transcript:KJB19626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISEVIRAVIIRKELKRDNEMIIRYDDNVAVVIDQEGNPKGTRIFGVIARELRQLNFTKIVSLALKDIIADIITSIGNVDMNRKGTIQIGSTNITENIVKMLLRESFINNVRKHQERNKYFLVLTLRHRRNRKGPYRTILNLRRISRLGLRIYSNYQQIPRILGGMGIVILFTSRGIIIDREA >KJB17957 pep chromosome:Graimondii2_0_v6:3:2374285:2377716:-1 gene:B456_003G026300 transcript:KJB17957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIKIIIKSFKLSKKKKKKVQIFLPKKMPKTKFKPVLHLFFFFIWLPIPCFSRPVITYPPQTSLLFSTDAVSILSFKSKADLDNKLLYALNERFDYCQWRGVKCAQGRVVRYILQNAALRGVFPANSLSKLDQLRVLSLRNNSLSGPIPDLSSLYNLKSLFLGRNNFSGTFPPSILSLHRITSLDLSYNELAGPIPANLTALERLNVLRLEWNRFDGPVPALNQSFLLIFNVSGNNLTGKIPVTPTLSKFNTTAFSLNPDLCGEIINKACASRAPFFGSPSASGPLGQSAEAQSGGGGGVMVLSPPSSPKKSHRRTGVVLGFTIGIALILFSVLLALAVVKKQRVDSKGTKPTTIASPEVTNSNAGNSRTHIGEPPERKTMMPEIQRLKKSGNLVFVGGEVEGYSLEQLMRASAELLGRGTMATTYKAVVDRQLILTVKRLDAGRTAVTGGEAFEQHMEAVGGLVHPNLVPLRAYFQAKGERLVIYDYQPNGSVFNLVHGSRSTRAKPLHWTSCLKIAEDVAQGLAYIHQASRLVHGNLKSTNVLLGTDFEACLTDYCLAVLADSSSTEDPDSLAYKAPEIRKSNRKLTPKSDVYAFGVFLLELLTGKHPSQHPVLVPHDLLEWVRTIREDDVGEYRRLGMLTEVASVCSLTSPEQRPAMWQALKMIQEIKESAMMEDRASYGHS >KJB18506 pep chromosome:Graimondii2_0_v6:3:9266421:9266767:-1 gene:B456_003G056700 transcript:KJB18506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIHTFAREGELDNLLKCIESGVSVHLQDNKGRTPMHWAVDHGHLKIDEALLSRNADVNAKV >KJB20738 pep chromosome:Graimondii2_0_v6:3:43080053:43086917:-1 gene:B456_003G162100 transcript:KJB20738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLISGQSWSGQFPFKRRSGEIFMALVSKSPLYEDGQLTGVVTVSSDAAIYNGLNSENTGPYQDHSRPRRSKMKGMQWHPPRPQIASSVSNLASKFLLKKQDGVSNSCTKSMEEQDAATSTVDKLETPNIAEGELNSSFLDWRNTAVGISSQKDETAFDLAQPKKIAAKVLAKLHIGGPSNHSNEDDECPQRKDATSRSATNDVKNEHNVSRGLKTSIQNHLNSSSMAENAILSVEKRTSPTLVEERSVVDSSREYNGKFSVTRITESTAGLACQVNENESDLEFLNMDASVMEDELQKQTDGKGFSSLGNSTGSQESQSSKGDNNESNSMVDCEIRWEDLHLGEEVGQGSYAVVYRGIWNGSDVAVKVYFAGEYKEPTLLDYKKEIDIMRKLRHPNVLLFMGAVYSQERLAIVTEFLPRGSLFKALHKNNQALDVKRRMRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDRNWNVKVGDFGLSRWKNGTFLTTKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMTVSIPWNNLNSLQVVGVVGFMDRRLELPEGLDPQIESIIRDCWQSNPENRPSFEDIINRMTGIVQKSAIGLGRRHSEP >KJB20739 pep chromosome:Graimondii2_0_v6:3:43080028:43086917:-1 gene:B456_003G162100 transcript:KJB20739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDDSVASLYRVLVDRCLSLEASHVKLREEFDELVQQDKRNDEEEVMVASDSGDSTSYPVVVTFPGYFSTGTPFKNVLDSIGHAIHVSSTTSGRITFWNRSAENLFGWKSNEVLGQRERALLIAEEYHSPLKKIMEKLISGQSWSGQFPFKRRSGEIFMALVSKSPLYEDGQLTGVVTVSSDAAIYNGLNSENTGPYQDHSRPRRSKMKGMQWHPPRPQIASSVSNLASKFLLKKQDGVSNSCTKSMEEQDAATSTVDKLETPNIAEGELNSSFLDWRNTAVGISSQKDETAFDLAQPKKIAAKVLAKLHIGGPSNHSNEDDECPQRKDATSRSATNDVKNEHNVSRGLKTSIQNHLNSSSMAENAILSVEKRTSPTLVEERSVVDSSREYNGKFSVTRITESTAGLACQVNENESDLEFLNMDASVMEDELQKQTDGKGFSSLGNSTGSQESQSSKGDNNESNSMVDCEIRWEDLHLGEEVGQGSYAVVYRGIWNGSDVAVKVYFAGEYKEPTLLDYKKEIDIMRKLRHPNVLLFMGAVYSQERLAIVTEFLPRGSLFKALHKNNQALDVKRRMRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDRNWNVKVGDFGLSRWKNGTFLTTKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMTVSIPWNNLNSLQVVGVVGFMDRRLELPEGLDPQIESIIRDCWQSNPENRPSFEDIINRMTGIVQKSAIGLGRRHSEP >KJB20736 pep chromosome:Graimondii2_0_v6:3:43080028:43086887:-1 gene:B456_003G162100 transcript:KJB20736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMQWHPPRPQIASSVSNLASKFLLKKQDGVSNSCTKSMEEQDAATSTVDKLETPNIAEGELNSSFLDWRNTAVGISSQKDETAFDLAQPKKIAAKVLAKLHIGGPSNHSNEDDECPQRKDATSRSATNDVKNEHNVSRGLKTSIQNHLNSSSMAENAILSVEKRTSPTLVEERSVVDSSREYNGKFSVTRITESTAGLACQVNENESDLEFLNMDASVMEDELQKQTDGKGFSSLGNSTGSQESQSSKGDNNESNSMVDCEIRWEDLHLGEEVGQGSYAVVYRGIWNGSDVAVKVYFAGEYKEPTLLDYKKEIDIMRKLRHPNVLLFMGAVYSQERLAIVTEFLPRGSLFKALHKNNQALDVKRRMRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDRNWNVKVGDFGLSRWKNGTFLTTKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMTVSIPWNNLNSLQVVGVVGFMDRRLELPEGLDPQIESIIRDCWQSNPENRPSFEDIINRMTGIVQKSAIGLGRRHSEP >KJB20737 pep chromosome:Graimondii2_0_v6:3:43080028:43086917:-1 gene:B456_003G162100 transcript:KJB20737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDDSVASLYRVLVDRCLSLEASHVKLREEFDELVQQDKRNDEEEVMVASDSGDSTSYPVVVTFPGYFSTGTPFKNVLDSIGHAIHVSSTTSGRITFWNRSAENLFGWKSNEVLGQRERALLIAEEYHSPLKKIMEKLISGQSWSGQFPFKRRSGEIFMALVSKSPLYEDGQLTGVVTVSSDAAIYNGLNSENTGPYQDHSRPRRSKMKGMQWHPPRPQIASSVSNLLDIVHCQNTQASKFLLKKQDGVSNSCTKSMEEQDAATSTVDKLETPNIAEGELNSSFLDWRNTAVGISSQKDETAFDLAQPKKIAAKVLAKLHIGGPSNHSNEDDECPQRKDATSRSATNDVKNEHNVSRGLKTSIQNHLNSSSMAENAILSVEKRTSPTLVEERSVVDSSREYNGKFSVTRITESTAGLACQVNENESDLEFLNMDASVMEDELQKQTDGKGFSSLGNSTGSQESQSSKGDNNESNSMVDCEIRWEDLHLGEEVGQGSYAVVYRGIWNGSDVAVKVYFAGEYKEPTLLDYKKEIDIMRKLRHPNVLLFMGAVYSQERLAIVTEFLPRGSLFKALHKNNQALDVKRRMRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDRNWNVKVGDFGLSRWKNGTFLTTKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMTVSIPWNNLNSLQVVGVVGFMDRRLELPEGLDPQIESIIRDCWQSNPENRPSFEDIINRMTGIVQKSAIGLGRRHSEP >KJB19263 pep chromosome:Graimondii2_0_v6:3:27663097:27666914:-1 gene:B456_003G091600 transcript:KJB19263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHFRRGQKKSPEEANQMLQMQAWQKEVKHARQGQRDAESKLSSLEAEVQKMRVEMAAMKRDAEHYSRQSHYLTPKPIFYGFFKEEKC >KJB21127 pep chromosome:Graimondii2_0_v6:3:45517639:45519058:-1 gene:B456_003G1841002 transcript:KJB21127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FQAVLKEFQKAQRLAAERETSYAPSVPKAVLPSSYSADEVDVGSDGGAVQQAFLLESRRQKVLLLDNEITFNEAVIEEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIDDIGTHIENSRAATVQAKSQLAKAAKTQRSNSSLVTCNALIFMFYWILKFCTICKAPS >KJB21126 pep chromosome:Graimondii2_0_v6:3:45516268:45519058:-1 gene:B456_003G1841002 transcript:KJB21126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FQAVLKEFQKAQRLAAERETSYAPSVPKAVLPSSYSADEVDVGSDGGAVQQAFLLESRRQKVLLLDNEITFNEAVIEEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIDDIGTHIENSRAATVQAKSQLAKAAKTQRSNSSLTCLLMVIFGIVILIVVILLVV >KJB18515 pep chromosome:Graimondii2_0_v6:3:9468415:9477659:-1 gene:B456_003G057400 transcript:KJB18515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVFMVPPKTVKRLVRRSLSQKVHTKAESGTCNVFSAPCSSCMHLSISQMGSKRNEFSDETDSVAVATQYSINEDKTNDSLQHTHSEASNLLSVNSSHDSYSENIESKATTRPSDASEDVGIQRTFSNKYDGSKGIEGHDDSSLRASRASGANTAFSYCNKDLDSNNSSRSSVSVCSLGSGKVLSSQKVDLSELPSVKEVDDSKVSLRIQSLYSHSQSDKSTVGGSSEISTKIHLKSEADTDRKPLDKAGKSLNEGEHDESNELVELPGKLESPLQAASGDESCESVATELDVKVCDICGDAGREDLLAICSKCADGAEHTYCMREMLQKVPEGDWLCEECKLAEEIESQKQGLDSEGKKAKKLSSTTQNLGKRHAENLEDASASKRQAVEQKMGSPKSLSPSKASALSREGSFKNMDKGKVRPSPQLSLGSHSGNDMLETTCSPASGPRLQSPKGTLLKSNSFNALNSKSKVKLVDEVALQKEKTFRKHASLNSKEEASRVMGKSMLFKSTNSGRLSTGESKFKMLSSKYPHVQDLKGLKQVKEQISLERKNLSKLDRSSSTVSTPKVDQKLTTRTDTISHSSASNSRETKAVRSDGKPSTLSRSTSNLARNGVENAVISASGVSTTNGGISSEQKLNQVSLKEEPSSSTSWTVEGQPSNNNVIISDGLSWSLGLTNQSERMGHTAEYCSVSQASGADESAPRNFKEEINKGNKLKAAIEAAIRLRPRICERTSQDPSSVSVKAKTMISVEGTHESETNLQNQTSTSNMKLLNAHCTDALSVVSSVGNAPPLVAESAVSEMSAIPEHECIWQGAFQVHKMGKPPDFCGGIQAHLSTLASPKVIEVVNTFPLEVPVNEVPRLSTWPTQFHNSGPKEDNIALYFFAKDLESYEKYYKVLLDTMVKNDLALKGNFEGVEFLIFPSNKLPESCQRSL >KJB18514 pep chromosome:Graimondii2_0_v6:3:9468390:9477783:-1 gene:B456_003G057400 transcript:KJB18514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVFMVPPKTVKRLVRRSLSQKVHTKAESGTCNVFSAPCSSCMHLSISQMGSKRNEFSDETDSVAVATQYSINEDKTNDSLQHTHSEASNLLSVNSSHDSYSENIESKATTRPSDASEDVGIQRTFSNKYDGSKGIEGHDDSSLRASRASGANTAFSYCNKDLDSNNSSRSSVSVCSLGSGKVLSSQKVDLSELPSVKEVDDSKVSLRIQSLYSHSQSDKSTVGGSSEISTKIHLKSEADTDRKPLDKAGKSLNEGEHDESNELVELPGKLESPLQAASGDESCESVATELDVKVCDICGDAGREDLLAICSKCADGAEHTYCMREMLQKVPEGDWLCEECKLAEEIESQKQGLDSEGKKAKKLSSTTQNLGKRHAENLEDASASKRQAVEQKMGSPKSLSPSKASALSREGSFKNMDKGKVRPSPQLSLGSHSGNDMLETTCSPASGPRLQSPKGTLLKSNSFNALNSKSKVKLVDEVALQKEKTFRKHASLNSKEEASRVMGKSMLFKSTNSGRLSTGESKFKMLSSKYPHVQDLKGLKQVKEQISLERKNLSKLDRSSSTVSTPKVDQKLTTRTDTISHSSASNSRETKAVRSDGKPSTLSRSTSNLARNGVENAVISASGVSTTNGGISSEQKLNQVSLKEEPSSSTSWTVEGQPSNNNVIISDGLSWSLGLTNQSERMGHTAEYCSVSQASGADESAPRNFKEEINKGNKLKAAIEAAIRLRPRICERTSQDPSSVSVKAKTMISVEVSSVGNAPPLVAESAVSEMSAIPEHECIWQGAFQVHKMGKPPDFCGGIQAHLSTLASPKVIEVVNTFPLEVPVNEVPRLSTWPTQFHNSGPKEDNIALYFFAKDLESYEKYYKVLLDTMVKNDLALKGNFEGVEFLIFPSNKLPESCQRWNTLSFLWGVFKGRRANCSDSSKSVCIPDASMVHLEREKSTDVPQPVENESAACDSSCNVVVTGAVEKTCISTERVSDSKVFSFGKTYVGIKAKLEEQDGNIDNKYLSRIATNSIKVHPDMKSTSHLDDGKVPDCRLDTELKPCHQATETNIRSFEVKKEEMQMPVEEDYPSLKDHPTGKQEAVIVGKIDGESVKIRQSRDDGYADVNTYSKRDVSSWQLNHRKLPYLDLTEAVPEMSTESSQRMPWSEVRRVSTDRGSDNKKLKAGFTGIYEYNGARDEGTFSDSTALDRHGLGSGSCVKERCNIACKEKGVPKDWGSGERFFPIGSDRAREIRMEWREELLVKDEDRAGDASPNLELALGAEMRPPNKGILPFFVGTADKSENLDKVTGKEEADDVSASLSLSLSFPFPETEGNAKSVSKTEQFLPESHGVNTSLLLFGGFPNK >KJB18513 pep chromosome:Graimondii2_0_v6:3:9468390:9477659:-1 gene:B456_003G057400 transcript:KJB18513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVFMVPPKTVKRLVRRSLSQKVHTKAESGTCNVFSAPCSSCMHLSISQMGSKRNEFSDETDSVAVATQYSINEDKTNDSLQHTHSEASNLLSVNSSHDSYSENIESKATTRPSDASEDVGIQRTFSNKYDGSKGIEGHDDSSLRASRASGANTAFSYCNKDLDSNNSSRSSVSVCSLGSGKVLSSQKVDLSELPSVKEVDDSKVSLRIQSLYSHSQSDKSTVGGSSEISTKIHLKSEADTDRKPLDKAGKSLNEGEHDESNELVELPGKLESPLQAASGDESCESVATELDVKVCDICGDAGREDLLAICSKCADGAEHTYCMREMLQKVPEGDWLCEECKLAEEIESQKQGLDSEGKKAKKLSSTTQNLGKRHAENLEDASASKRQAVEQKMGSPKSLSPSKASALSREGSFKNMDKGKVRPSPQLSLGSHSGNDMLETTCSPASGPRLQSPKGTLLKSNSFNALNSKSKVKLVDEVALQKEKTFRKHASLNSKEEASRVMGKSMLFKSTNSGRLSTGESKFKMLSSKYPHVQDLKGLKQVKEQISLERKNLSKLDRSSSTVSTPKVDQKLTTRTDTISHSSASNSRETKAVRSDGKPSTLSRSTSNLARNGVENAVISASGVSTTNGGISSEQKLNQVSLKEEPSSSTSWTVEGQPSNNNVIISDGLSWSLGLTNQSERMGHTAEYCSVSQASGADESAPRNFKEEINKGNKLKAAIEAAIRLRPRICERTSQDPSSVSVKAKTMISVEGTHESETNLQNQTSTSNMKLLNAHCTDALSVVSSVGNAPPLVAESAVSEMSAIPEHECIWQGAFQVHKMGKPPDFCGGIQAHLSTLASPKVIEVVNTFPLEVPVNEVPRLSTWPTQFHNSGPKEDNIALYFFAKDLESYEKYYKVLLDTMVKNDLALKGNFEGVEFLIFPSNKLPESCQRWNTLSFLWGVFKGRRANCSDSSKSVCIPDASMVHLEREKSTDVPQPVENESAACDSSCNVVVTGAVEKTCISTERVSDSKVFSFGKTYVGIKAKLEEQDGNIDNKYLSRIATNSIKVHPDMKSTSHLDDGKVPDCRLDTELKPCHQATETNIRSFEVKKEEMQMPVEEDYPSLKDHPTGKQEAVIVGKIDGESVKIRQSRDDGYADVNTYSKRDVSSWQLNHRKLPYLDLTEAVPEMSTESSQRMPWSEVRRVSTDRGSDNKKLKAGFTGIYEYNGARDEGTFSDSTALDRHGLGSGSCVKERCNIACKEKGVPKDWGSGERFFPIGSDRAREIRMEWREELLVKDEDRAGDASPNLELALGAEMRPPNKGILPFFVGTADKSENLDKVTGKEEADDVSASLSLSLSFPFPETEGNAKSVSKTEQFLPESHGVNTSLLLFGGFPNK >KJB20763 pep chromosome:Graimondii2_0_v6:3:43251429:43255094:1 gene:B456_003G163200 transcript:KJB20763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVGILAMDIYFPPTCVQQEALEAHDGASKGKYTIGLGQDCMAFCTEVEDVISMSLTAVTSLLAKYKIDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEQKSGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPDAPIAFESKLRGSHMSHAYDFYKPNLASEYPVVDGKLSQTCYLMALDTCYKYFCHKYEKLEGKQFSLSDAAYFVFHSPYNKLVQKSFSRLLFNDFLRNASSVDEITKEKLAPFSTLTGDESYQSRDLEKASQQASKCLYDAKVQPTTLIPKQVGNMYTASLYAAFASLIHNKNSELAGKRVILFSYGSGLTATMFSLRFHEGQHPFSLSNIVSVMNVAGKLKSRHEFPPEKFVETMKLMEHRYGAKDFVTSKDCSLLSPGTYYLTEVDSMYRRFYAKKDGDFAACDNGSVANGH >KJB20762 pep chromosome:Graimondii2_0_v6:3:43251375:43255184:1 gene:B456_003G163200 transcript:KJB20762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVGILAMDIYFPPTCVQQEALEAHDGASKGKYTIGLGQDCMAFCTEVEDVISMSLTAVTSLLAKYKIDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEKSGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPDAPIAFESKLRGSHMSHAYDFYKPNLASEYPVVDGKLSQTCYLMALDTCYKYFCHKYEKLEGKQFSLSDAAYFVFHSPYNKLVQKSFSRLLFNDFLRNASSVDEITKEKLAPFSTLTGDESYQSRDLEKASQQASKCLYDAKVQPTTLIPKQVGNMYTASLYAAFASLIHNKNSELAGKRVILFSYGSGLTATMFSLRFHEGQHPFSLSNIVSVMNVAGKLKSRHEFPPEKFVETMKLMEHRYGAKDFVTSKDCSLLSPGTYYLTEVDSMYRRFYAKKDGDFAACDNGSVANGH >KJB19688 pep chromosome:Graimondii2_0_v6:3:34789179:34790567:-1 gene:B456_003G114500 transcript:KJB19688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFSYNSFGFLLILVAFSSLINISSAGRQIAPKTSIEFIRTSCSSTTYPKLCYESLLTQASMIQTSPQLIAHAALNVTLSTAKSTSALMVKLAKSQGLKPTEVGAMQDCVEELEDTIDELRKSISEMGQLKGSNFGLMINDIQTWVSAALTDENTCADGFGGKNMNGSVKTAVRSKIVTIAHLTSNALALVNSYASFHG >KJB19687 pep chromosome:Graimondii2_0_v6:3:34789179:34790568:-1 gene:B456_003G114500 transcript:KJB19687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFSYNSFGFLLILVAFSSLINISSAGRQIAPKTSIEFIRTSCSSTTYPKLCYESLLTQASMIQTSPQLIAHAALNVTLSTAKSTSALMVKLAKSQGLKPTEVGAMQDCVEELEDTIDELRKSISEMGQLKGSNFGLMINDIQTWVSAALTDENTCADGFGGKNMNGSVKTAVRSKIVTIAHLTSNALALVNSYASFHG >KJB19749 pep chromosome:Graimondii2_0_v6:3:35687226:35692659:1 gene:B456_003G117700 transcript:KJB19749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTGGGTGWYKGKVKAVQSGDCLVVMALSSNRPGPPPEKTITLASLISPRLARRGGVDEPFAWDSREYLRNLCIGKEVIFRVEYSVPSIARDFGSVYLGDKNVAMLVVSEGWAKVRVQGQQKGEVSPYVAELLHLEEQAKQQGLGQWSKVPGAAEASIRNLPPSAVGDPSNLDAMGLLAENKGRSMEAIVEQVRDGSTVRVYLLPDFQFVQVFVAGIQAPSMGRRAVTETVVETDLTSDKQNGDVSADEPQADLTSAQRLTVSSAPSAEVSPDPFAPEAKYFTEVRCLNRDVRIVLEGVDKFSNLIGSVYYPEGELAKDLALELVENGLAKYVEWSANMMEDDAKRRLKAAELQAKKTRLRIWTNYVPPVTNSMAIHDQNFIGKVVEVVSGDCIIVSDDSIPYGSLLAERRVNLSSIRCPKMGNPRRDEKPAPYAREAKEFLRTRLIGKQVTVQMEYSRKVTMADGTVAAATPASADSRVMDFGSVFLMSPVKGDGDDASAAVPSGTGNQQPGLNVAELVVGRGFGTVIRHRDFEERSNYYDALLAAESRAISGKKGIHSAKDPPVMHIMDLTIASAKRAKEFMPFLHRGKRVPAVVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRNEPYSEEAIALMRRKIMQRDVEIEVETCDRTGTFLGSLWESRTNMAVTLLEAGLAKLQTSFGIDRIADAHLLEQAEQSAKNQKLKIWENYVEGEEITNGPAVVDNKQKEVLKVVVTEVVDGGKFYVQTVGDQRFSSIQKHLASLSIQEAPVIGAFNPRKGDIVLAQFSMDNSWNRALIVNAPRGGIQSPKDRFEVFYVDYGNQEEVPYSQLRPLDSVSATPGLAQLCSLAFLKVPVLDDEFGTEAAQFLSEQTLGSSLQFTATVEERNTSGGKVKGQGTGTVLIVTLRAEESELSINEAMLQEGLARLEKRKRLEAKERKAMLDELEKYQKEAKTARRGIWEYGDVDSDDEEDTLPPLAAAKKSGGKR >KJB17393 pep chromosome:Graimondii2_0_v6:3:6114764:6115424:-1 gene:B456_003G045900 transcript:KJB17393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIALLVAEDYERRVRVSRNKQEEIDLVSWVAKLGQKVKIKFGQQRIEAIQLAFEPKTQIGIAAFNGAFSA >KJB17959 pep chromosome:Graimondii2_0_v6:3:2403319:2405349:-1 gene:B456_003G026500 transcript:KJB17959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPKPLISAILNLNLHPKPLFSNPIHLMALTQTRTTHSDPPQNDAEDDVLCSNPEQTQEEQPSSPSLDETPSFVGSPGKYLTGVSRFERAWAHWTKLGRPKLIVAPMVDNSELPFRMLCRKYGAEAAYTPMLHSRIFNENPKYRSEEFTTCKEDRPLFVQFCANDPDILLEAARRVESHCDYVDINLGCPQRIARRGYYGAFLMDNLPLVKSLVEKLALNLNVPVSCKIRVFPKLEDTLKYAKMLEDAGCSLLAVHGRTRDEKDGKKFRADWNAIKAVKNDLRIPVLANGNIRHMDDVRNCLEKTGADGVLSAETLLENPALFAGFRTSNWVADNEKDSIDGKLDQADLVVQYLKLCEKYPVPWRMIRSHVHKMLGDWFRVQPQVREELNAQSRLTFEFLYGLVDQLRELGVQVPLHQKDDASATGNLAEVIGI >KJB18984 pep chromosome:Graimondii2_0_v6:3:19418411:19419334:1 gene:B456_003G078900 transcript:KJB18984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFVASFIHWVFSDEIALGSKTTASKFINMNGLNKNRYSPIKKHFDDSFPSTKPLLEVKDHKDIKRSSPGFDSKARNIRVKVKMTREEAVRLLSKCKDGGVLEFKDVARELVDLPGNRVNIVSPCPDRNIPVLYRIPEEF >KJB19288 pep chromosome:Graimondii2_0_v6:3:29259475:29261769:-1 gene:B456_003G093500 transcript:KJB19288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQDKLLHGFALLLCISLGSLLSGIAVSEIPLGSKLSVGESTSWVSSNGDFAIGFYNFPDQPKQFSVGIRFNSKSVPVDKQTVVWVAGGDVTVGNNSYFQLQENGELVLFDALQGEEVWTSKTSQLSVALAVLRDDGNLVLLNENRDVIWQSFDSPTDTLLPGQRLSTFRTLRAASQNYVSSLYSLYMNVSGQLQLKWESSIIYWSAGRPSHSNLSAVLTSDGSLQLVAPNLERFWSVFGEDHNDAVRFRFLRLDVDGNLRLYSWMEVSQTWRSVWQAVENQCNVFATCDQQGICAFNASGSHVCTCPFHHAVQSNSKCLVSSQHDCKPGSIMVEHAKMFLYGIYPVHDFISRTSLDKCKSMCLSDPSCTAVTFTNDGSAKCRMMKTQYVSGYSDPSLSATSFVKRCSDPLAADPIFPLKSPPQAHKEPYNICIPCLVGAASGTIFIFIVIQLGMGFYLYKRKNNYRRLASLAHSRPGSKCLIMLSFTEIKELTGNFNDQIGPKMFKGALPDKQPVAVKELEGTIEARKFRAAVSKVGSIYHKGLAKLEGYCCEFNHRYLVYEYAENGSLEKYIENPTLAERLTWRKRMDISINVGRAIFYLHTECREFLCHGNLKCENVVLDENFEAKVKEFGLGMLYGEASSRRASAQKDVEDFGKMVLTLVSGFKEVKDVLDWAYKEWMEGHPENVVDKRLKNEVDAEELECALRITFWCLQADERVKPSMGEVVKVLEGTLPADPPPPPFSCLISAREVEDTSESGSEV >KJB19289 pep chromosome:Graimondii2_0_v6:3:29257814:29262921:-1 gene:B456_003G093500 transcript:KJB19289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQDKLLHGFALLLCISLGSLLSGIAVSEIPLGSKLSVGESTSWVSSNGDFAIGFYNFPDQPKQFSVGIRFNSKSVPVDKQTVVWVAGGDVTVGNNSYFQLQENGELVLFDALQGEEVWTSKTSQLSVALAVLRDDGNLVLLNENRDVIWQSFDSPTDTLLPGQRLSTFRTLRAASQNYVSSLYSLYMNVSGQLQLKWESSIIYWSAGRPSHSNLSAVLTSDGSLQLVAPNLERFWSVFGEDHNDAVRFRFLRLDVDGNLRLYSWMEVSQTWRSVWQAVENQCNVFATCDQQGICAFNASGSHVCTCPFHHAVQSNSKCLVSSQHDCKPGSIMVEHAKMFLYGIYPVHDFISRTSLDKCKSMCLSDPSCTAVTFTNDGSAKCRMMKTQYVSGYSDPSLSATSFVKRCSDPLAADPIFPLKSPPQAHKEPYNICIPCLVGAASGTIFIFIVIQLGMGFYLYKRKNNYRRLASLAHSRPGSKCLIMLSFTEIKELTGNFNDQIGPKMFKGALPDKQPVAVKELEGTIEARKFRAAVSKVGSIYHKGLAKLEGYCCEFNHRYLVYEYAENGSLEKYIENPTLAERLTWRKRMDISINVGRAIFYLHTECREFLCHGNLKCENVVLDENFEAKVKEFGLGMLYGEASSRRASAQKDVEDFGKMVLTLVSGFKEVKDVLDWAYKEWMEGHPENVVDKRLKNEVDAEELECALRITFWCLQADERVKPSMGEVVKVLEGTLPADPPPPPFSCLISAREVEDTSESGSEYSMWRAFFWFSDSHFSMKFEVCKK >KJB20439 pep chromosome:Graimondii2_0_v6:3:41582677:41584267:1 gene:B456_003G1483001 transcript:KJB20439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEVLQKDVQNIASKQIFARWQAILSIFDFDIEYIKGETNSLPDFLTRESHQNQLKHEIAVSSQTATQKSSQYFPNKYFEKILVMEEKFSEKPPHILAKELFNGWHFKPLDSQKPQQYYENILVQTGSVLFKHYTDPKDPNFITHSTAQILKILRPRDWSENPNAPKKFPAKFTTKIDHYPYFTYWDYQMAWYNAFLMNNQHMRHSWLIYFKYGTQFKFPNWFQEWWNWYGLSSFEILPEKIQNLWPKFFDKFHPEPDQKHIYRTIHFFSKLCISWIVSWNYSYEQDQHTGIPLLVRNYRTKWWVKFNDEKYDSKYLDNFFNKNPRLCKSAAPDQTTAKFLHAKSTASAMLAQAKTKKEYKKLMAEMLSSLDSESEDESPASSIKTVDLADDTTSVTIRFSATK >KJB20900 pep chromosome:Graimondii2_0_v6:3:44258090:44263306:-1 gene:B456_003G171200 transcript:KJB20900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 13 [Source:Projected from Arabidopsis thaliana (AT2G35720) UniProtKB/Swiss-Prot;Acc:Q39079] MKEDDGTGPPNRELYALLHLSPEASDEEIRRAYRQWAQVYHPDKYQAPHMKEIATENFQRICEAYEILSDENKRQIYDIYGMEGLNSGLELGPKLNKVEEIKEQLEKLKRMKEQQKMSALFLPKGSIVANLSLPGFLDGDGIMRGMAMASAVQSQLSKSSALSLSGNLGVEENSGAGAASAVFRHQIASDSTIEFMGSVGLGSLIGVQMTRQLSLHSTATLGIAKSFHDGSINLSNVWTRQLSDTASGNIELLLGPQSSIGVGWQKKDQNTSAAGEVKFGTNSFGISARYTRRFSSKSHGRIAGRIGSAALEVEVGGGRKVSDFSTVRMLYTIGIRGIFWRFELHRGGQKLLIPILLSRDLNPVLATGAFVVPTSIYFILKKFVFKPYYLKREKQKALENMERTATQVEEARKAAAKAQQLLENVANRKRNKQQETGGLVITKAIYGNHKALKKGDELRETNDELASQVLDVTVPLNFLVNDSGQLKLHDGVKKSGIMGFCDPCPGEPKQLHVEYTYHGERYEVAVDDYEELIIPQIAHRV >KJB20902 pep chromosome:Graimondii2_0_v6:3:44258108:44263225:-1 gene:B456_003G171200 transcript:KJB20902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 13 [Source:Projected from Arabidopsis thaliana (AT2G35720) UniProtKB/Swiss-Prot;Acc:Q39079] MKEDDGTGPPNRELYALLHLSPEASDEEIRRAYRQWAQVYHPDKYQAPHMKEIATENFQRICEAYEILSDENKRQIYDIYGMEGLNSGLELGPKLNKVEEIKEQLEKLKRMKEQQKMSALFLPKGSIVANLSLPGFLDGDGIMRGMAMASAVQSQLSKSSALSLSGNLGVEENSGAGAASAVFRHQIASDSTIEFMGSVGLGSLIGVQMTRQLSLHSTATLGIAKSFHDGSINLSNVWTRQLSDTASGNIELLLGPQSSIGVGWQKKDQNTSAAGEVKFGTNSFGISARYTRRFSSKSHGRIAGRIGSAALEVEVGGGRKVSDFSTVRMLYTIGIRGIFWRFELHRGGQKLLIPILLSRDLNPVLATGAFVVPTSIYFILKKFVFKPYYLKREKQKALENMERTATQV >KJB20901 pep chromosome:Graimondii2_0_v6:3:44258108:44263223:-1 gene:B456_003G171200 transcript:KJB20901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 13 [Source:Projected from Arabidopsis thaliana (AT2G35720) UniProtKB/Swiss-Prot;Acc:Q39079] MKEDDGTGPPNRELYALLHLSPEASDEEIRRAYRQWAQVYHPDKYQAPHMKEIATENFQRICEAYEILSDENKRQIYDIYGMEGLNSGLELGPKLNKVEEIKEQLEKLKRMKEQQKMSALFLPKGSIVANLSLPGFLDGDGIMRGMLCNFVLYFRMAMASAVQSQLSKSSALSLSGNLGVEENSGAGAASAVFRHQIASDSTIEFMGSVGLGSLIGVQMTRQLSLHSTATLGIAKSFHDGSINLSNVWTRQLSDTASGNIELLLGPQSSIGVGWQKKDQNTSAAGEVKFGTNSFGISARYTRRFSSKSHGRIAGRIGSAALEVEVGGGRKVSDFSTVRMLYTIGIRGIFWRFELHRGGQKLLIPILLSRDLNPVLATGAFVVPTSIYFILKKFVFKPYYLKREKQKALENMERTATQVEEARKAAAKAQQLLENVANRKRNKQQETGGLVITKAIYGNHKALKKGDELRETNDELASQVLDVTVPLNFLVNDSGQLKLHDGVKKSGIMGFCDPCPGEPKQLHVEYTYHGERYEVAVDDYEELIIPQIAHRV >KJB18110 pep chromosome:Graimondii2_0_v6:3:3595253:3600086:1 gene:B456_003G035300 transcript:KJB18110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENKLVLAPMVRVGTLPFRLLAADYGADITYAEEIIDHKIIKCERIVNDYIRSTDFVEKGTDNVVFRTCNEEKARVVFQMGTSDAVRALKAAQLVCKDVAAVDINMGCPKSFSVSGGMGAALLTKPDLIHDVGASYYWKNPLISLPDTVELARRIEKTGVSALAVHGRQVAERPRDPAKWNEIADVVSALTIPVIANGDVFEYDDIQRIKVATGASSVMVARGALWNVSIFSPNVKAHWEDVKKEYVRKSILWDNDVKSTKHTLKEMIMHYSCLEFPEGKAIIKSQNLVDLAKVYGDEEYYESVRANRIALDRHA >KJB18111 pep chromosome:Graimondii2_0_v6:3:3595328:3598161:1 gene:B456_003G035300 transcript:KJB18111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENKLVLAPMVRVGTLPFRLLAADYGADITYAEEIIDHKIIKCERIVNDYIRSTDFVEKGTDNVVFRTCNEEKARVVFQMGTSDAVRALKAAQLVCKDVAAVDINMGCPKSFSVSGGMGAALLTKPDLIHDILTTLRRNIDTPVTCKIRLLKSSQDTVELARRIEKTGVSALAVHGRQVAERPRDPAKWNEIADVVSALTIPVIANGDVFEYDDIQRIKVATGS >KJB18108 pep chromosome:Graimondii2_0_v6:3:3595216:3600130:1 gene:B456_003G035300 transcript:KJB18108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENKLVLAPMVRVGTLPFRLLAADYGADITYAEEIIDHKIIKCERIVNDYIRSTDFVEKGTDNVVFRTCNEEKARVVFQMGTSDAVRALKAAQLVCKDVAAVDINMGCPKSFSVSGGMGAALLTKPDLIHDILTTLRRNIDTPVTCKIRLLKSSQDTVELARRIEKTGVSALAVHGRQVAERPRDPAKWNEIADVVSALTIPVIANGDVFEYDDIQRIKVATGASSVMVARGALWNVSIFSPNVKAHWEDVKKEYVRKSILWDNDVKSTKHTLKEMIMHYSCLEFPEGKAIIKSQNLVDLAKVYGDEEYYESVRANRIALDRHA >KJB18109 pep chromosome:Graimondii2_0_v6:3:3595253:3599409:1 gene:B456_003G035300 transcript:KJB18109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENKLVLAPMVRVGTLPFRLLAADYGADITYAEEIIDHKIIKCERIVNDYIRSTDFVEKGTDNVVFRTCNEEKARVVFQMGTSDAVRALKAAQLVCKDVAAVDINMGCPKSFSVSGGMGAALLTKPDLIHDILTTLRRNIDTPVTCKIRLLKSSQDTVELARRIEKTGVSALAVHGRQVAERPRDPAKWNEIADVVSALTIPVIANGDVFEYDDIQRIKVATGASSVMVARGALWNVSIFSPNVKAHWEDVKKEYVRKSILWDNDVKSTKHTLKEMIMHYSCLEFPEGKAIIKSQNLVDLA >KJB18112 pep chromosome:Graimondii2_0_v6:3:3595253:3600086:1 gene:B456_003G035300 transcript:KJB18112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENKLVLAPMVRVGTLPFRLLAADYGADITYAEEIIDHKIIKCERIVNDYIRSTDFVEKGTDNVVFRTCNEEKARVVFQMGTSDAVRALKAAQLVCKDVAAVDINMGCPKSFSVSGGMGAALLTKPDLIHDDTVELARRIEKTGVSALAVHGRQVAERPRDPAKWNEIADVVSALTIPVIANGDVFEYDDIQRIKVATGASSVMVARGALWNVSIFSPNVKAHWEDVKKEYVRKSILWDNDVKSTKHTLKEMIMHYSCLEFPEGKAIIKSQNLVDLAKVYGDEEYYESVRANRIALDRHA >KJB19167 pep chromosome:Graimondii2_0_v6:3:23307547:23309659:-1 gene:B456_003G087200 transcript:KJB19167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKKNQIYDSSSFDMSKPMRKRIYQAWQGNNKFCCGGRILLGPDASSLFLTSFLIGCPSIAFCIKMSVNVKEDDSLNFHILIGGLILTVLDLSFLFLTSSGDPGIIPRNSKASEPSSEESKKSPQGPPCDWAINKTNLKIPKVKDVVLKNGQTVKVKFCETCLLYRSPRASHCSICNNCVQKFDHHCPWVGQCIGLRNYPFFICFLSSSTALCVYVFSCSWINILRHPQGLWTAMSRDVLSVILIVYCFLAVWFVGGLTVFHFYLICTNQTTYESFRYRYDKKKNPFNKGVLRNFKEVFLTRIPSSELNLRAWVSEDGNLSIKDDKSNKFDMEMGLKHNQDSAKFPSHWQRFDYDGFDNSLKGKDGEFDDKPKSSSKM >KJB19730 pep chromosome:Graimondii2_0_v6:3:35552939:35553567:1 gene:B456_003G116800 transcript:KJB19730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFISLIHGSHFVGCQFALHRVVCMPLSLGRQLFLTFICWRLVGKRKQLCHLDDLDVSVRYRCVRYR >KJB17456 pep chromosome:Graimondii2_0_v6:3:89407:92330:-1 gene:B456_003G001400 transcript:KJB17456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQRQQFTMNIILWLLTSMIVCNGLPLKANVSGRPAVVNIGAIFSFKTVIGKAAKIAIETAIEDVNSNPDILPGTKLILQMKDSNYSGFMAVVEALLFMERETVAIIGPQSSVTAHVISHIANVLRVPLLSFSSTDPTLSPIQFPFFVRTAQNDLYQMSAIAEIIDHYEWREVIAIYEDDDHGRNGIAALGDKLAERRCRISYKARLSPEPTRDEITDVLVKVALRESRILVVHVPGSWGLKVFSVAQYLGMLGTGYVWIATNWLSTVLETNSPLSQDAMDDIQGVVTLRMYTPDSQLKRRFVSRWSNLTRGKLIGLNTYSLYAYDTVWLLAHAINGFFNQGGNISFLNNSRASELSEGNLHLDALSVFQGGNLLLDNILNVNMKGVTGDFRFTSDRNLIHPAFEIINVIGTGYRRVGYWSNHSGLSTALPETLWEKLPNRSSTSQMLHGVVWPGQTTQKPRGWVFPNSGRHLKIGVPHRVSYREFVSVRGPDVITGYCIDVFTAALNLLPYAVPYKLIPFGDGRTNPSGTELVRLITAGVFDAAIGDIAIITNRTKMADFTQPYIESGLVVVAPVWRKNSNAFAFLRPFTGRMWAVTAIFFLLVGTVVWILEHRMNDEFRGPPRRQVVTILWFSFSTWFFAHRENTVSVLGRIILIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIETLVSSKDPIGYQQGSFARNYLIDDLKIDASRLVALNSPEECAKALKDGPHKGGVAAMVDDRAYIELFLSTRCEFSIVGQEFTKNGWGFVSGGAFNALILLVIVCFTHKINGIDVAGLSTGLSSSSGHVNCHSQTFREWRSPKDP >KJB17454 pep chromosome:Graimondii2_0_v6:3:88736:92646:-1 gene:B456_003G001400 transcript:KJB17454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQRQQFTMNIILWLLTSMIVCNGLPLKANVSGRPAVVNIGAIFSFKTVIGKAAKIAIETAIEDVNSNPDILPGTKLILQMKDSNYSGFMAVVEALLFMERETVAIIGPQSSVTAHVISHIANVLRVPLLSFSSTDPTLSPIQFPFFVRTAQNDLYQMSAIAEIIDHYEWREVIAIYEDDDHGRNGIAALGDKLAERRCRISYKARLSPEPTRDEITDVLVKVALRESRILVVHVPGSWGLKVFSVAQYLGMLGTGYVWIATNWLSTVLETNSPLSQDAMDDIQGVVTLRMYTPDSQLKRRFVSRWSNLTRGKLIGLNTYSLYAYDTVWLLAHAINGFFNQGGNISFLNNSRASELSEGNLHLDALSVFQGGNLLLDNILNVNMKGVTGDFRFTSDRNLIHPAFEIINVIGTGYRRVGYWSNHSGLSTALPETLWEKLPNRSSTSQMLHGVVWPGQTTQKPRGWVFPNSGRHLKIGVPHRVSYREFVSVRGPDVITGYCIDVFTAALNLLPYAVPYKLIPFGDGRTNPSGTELVRLITAGVFDAAIGDIAIITNRTKMADFTQPYIESGLVVVAPVWRKNSNAFAFLRPFTGRMWAVTAIFFLLVGTVVWILEHRMNDEFRGPPRRQVVTILWFSFSTWFFAHRENTVSVLGRIILIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIETLVSSKDPIGYQQGSFARNYLIDDLKIDASRLVALNSPEECAKALKDGPHKGGVAAMVDDRAYIELFLSTRCEFSIVGQEFTKNGWGFAFPRDSPLAVDMSTAILKLSENGDLQRIHDKWLLRRACSYQGAKMEVDRLQLRSFWGLFLICGLACLIALFLYFLKMVRQFSRHYSEESDLSGQSARSARIQTFLSFVDEKEEEVKSRSKRRQMERTSNRSTDDGSNSTSYSIRRNSEFASNKSLGATTNRGEV >KJB17457 pep chromosome:Graimondii2_0_v6:3:88729:93046:-1 gene:B456_003G001400 transcript:KJB17457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQRQQFTMNIILWLLTSMIVCNGLPLKANVSGRPAVVNIGAIFSFKTVIGKAAKIAIETAIEDVNSNPDILPGTKLILQMKDSNYSGFMAVVEALLFMERETVAIIGPQSSVTAHVISHIANVLRVPLLSFSSTDPTLSPIQFPFFVRTAQNDLYQMSAIAEIIDHYEWREVIAIYEDDDHGRNGIAALGDKLAERRCRISYKARLSPEPTRDEITDVLVKVALRESRILVVHVPGSWGLKVFSVAQYLGMLGTGYVWIATNWLSTVLETNSPLSQDAMDDIQGVVTLRMYTPDSQLKRRFVSRWSNLTRGKLIGLNTYSLYAYDTVWLLAHAINGFFNQGGNISFLNNSRASELSEGNLHLDALSVFQGGNLLLDNILNVNMKGVTGDFRFTSDRNLIHPAFEIINVIGTGYRRVGYWSNHSGLSTALPETLWEKLPNRSSTSQMLHGVVWPGQTTQKPRGWVFPNSGRHLKIGVPHRVSYREFVSVRGPDVITGYCIDVFTAALNLLPYAVPYKLIPFGDGRTNPSGTELVRLITAGVFDAAIGDIAIITNRTKMADFTQPYIESGLVVVAPVWRKNSNAFAFLRPFTGRMWAVTAIFFLLVGTVVWILEHRMNDEFRGPPRRQVVTILWFSFSTWFFAHRENTVSVLGRIILIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIETLVSSKDPIGYQQGSFARNYLIDDLKIDASRLVALNSPEECAKALKDGPHKGGVAAMVDDRAYIELFLSTRCEFSIVGQEFTKNGWGFAFPRDSPLAVDMSTAILKLSENGDLQRIHDKWLLRRACSYQGAKMEVDRLQLRSFWGLFLICGLACLIALFLYFLKMVRQFSRHYSEESDLSGQSARSARIQTFLSFVDEKEEEVKSRSKRRQMERTSNRSTDDGSNSTSYSIRRNSEFASNKSLGATTNRGEV >KJB17453 pep chromosome:Graimondii2_0_v6:3:88736:92732:-1 gene:B456_003G001400 transcript:KJB17453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQRQQFTMNIILWLLTSMIVCNGLPLKANVSGRPAVVNIGAIFSFKTVIGKAAKIAIETAIEDVNSNPDILPGTKLILQMKDSNYSGFMAVVEALLFMERETVAIIGPQSSVTAHVISHIANVLRVPLLSFSSTDPTLSPIQFPFFVRTAQNDLYQMSAIAEIIDHYEWREVIAIYEDDDHGRNGIAALGDKLAERRCRISYKARLSPEPTRDEITDVLVKVALRESRILVVHVPGSWGLKVFSVAQYLGMLGTGYVWIATNWLSTVLETNSPLSQDAMDDIQGVVTLRMYTPDSQLKRRFVSRWSNLTRGKLIGLNTYSLYAYDTVWLLAHAINGFFNQGGNISFLNNSRASELSEGNLHLDALSVFQGGNLLLDNILNVNMKGVTGDFRFTSDRNLIHPAFEIINVIGTGYRRVGYWSNHSGLSTALPETLWEKLPNRSSTSQMLHGVVWPGQTTQKPRGWVFPNSGRHLKIGVPHRVSYREFVSVRGPDVITGYCIDVFTAALNLLPYAVPYKLIPFGDGRTNPSGTELVRLITAGVFDAAIGDIAIITNRTKMADFTQPYIESGLVVVAPVWRKNSNAFAFLRPFTGRMWAVTAIFFLLVGTVVWILEHRMNDEFRGPPRRQVVTILWFSFSTWFFAHRENTVSVLGRIILIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIETLVSSKDPIGYQQGSFARNYLIDDLKIDASRLVALNSPEECAKALKDGPHKGGVAAMVDDRAYIELFLSTRCEFSIVGQEFTKNGWGFAFPRDSPLAVDMSTAILKLSENGDLQRIHDKWLLRRACSYQGAKMEVDRLQLRSFWGLFLICGLACLIALFLYFLKMVRQFSRHYSEESDLSGQSARSARIQTFLSFVDEKEEEVKSRSKRRQMERTSNRSTDDGSNSTSYSIRRNSEFASNKSLGATTNRGEV >KJB17455 pep chromosome:Graimondii2_0_v6:3:88736:93024:-1 gene:B456_003G001400 transcript:KJB17455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQRQQFTMNIILWLLTSMIVCNGLPLKANVSGRPAVVNIGAIFSFKTVIGKAAKIAIETAIEDVNSNPDILPGTKLILQMKDSNYSGFMAVVEALLFMERETVAIIGPQSSVTAHVISHIANVLRVPLLSFSSTDPTLSPIQFPFFVRTAQNDLYQMSAIAEIIDHYEWREVIAIYEDDDHGRNGIAALGDKLAERRCRISYKARLSPEPTRDEITDVLVKVALRESRILVVHVPGSWGLKVFSVAQYLGMLGTGYVWIATNWLSTVLETNSPLSQDAMDDIQGVVTLRMYTPDSQLKRRFVSRWSNLTRGKLIGLNTYSLYAYDTVWLLAHAINGFFNQGGNISFLNNSRASELSEGNLHLDALSVFQGGNLLLDNILNVNMKGVTGDFRFTSDRNLIHPAFEIINVIGTGYRRVGYWSNHSGLSTALPETLWEKLPNRSSTSQMLHGVVWPGQTTQKPRGWVFPNSGRHLKIGVPHRVSYREFVSVRGPDVITGYCIDVFTAALNLLPYAVPYKLIPFGDGRTNPSGTELVRLITAGVFDAAIGDIAIITNRTKMADFTQPYIESGLVVVAPVWRKNSNAFAFLRPFTGRMWAVTAIFFLLVGTVVWILEHRMNDEFRGPPRRQVVTILWFSFSTWFFAHRENTVSVLGRIILIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIETLVSSKDPIGYQQGSFARNYLIDDLKIDASRLVALNSPEECAKALKDGPHKGGVAAMVDDRAYIELFLSTRCEFSIVGQEFTKNGWGFAFPRDSPLAVDMSTAILKLSENGDLQRIHDKWLLRRACSYQGAKMEVDRLQLRSFWGLFLICGLACLIALFLYFLKMVRQFSRHYSEESDLSGQSARSARIQTFLSFVDEKEEEVKSRSKRRQMERTSNRSTDDGSNSTSYSIRRNSEFASNKSLGATTNRGEV >KJB17458 pep chromosome:Graimondii2_0_v6:3:90208:92330:-1 gene:B456_003G001400 transcript:KJB17458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQRQQFTMNIILWLLTSMIVCNGLPLKANVSGRPAVVNIGAIFSFKTVIGKAAKIAIETAIEDVNSNPDILPGTKLILQMKDSNYSGFMAVVEALLFMERETVAIIGPQSSVTAHVISHIANVLRVPLLSFSSTDPTLSPIQFPFFVRTAQNDLYQMSAIAEIIDHYEWREVIAIYEDDDHGRNGIAALGDKLAERRCRISYKARLSPEPTRDEITDVLVKVALRESRILVVHVPGSWGLKVFSVAQYLGMLGTGYVWIATNWLSTVLETNSPLSQDAMDDIQGVVTLRMYTPDSQLKRRFVSRWSNLTRGKLIGLNTYSLYAYDTVWLLAHAINGFFNQGGNISFLNNSRASELSEGNLHLDALSVFQGGNLLLDNILNVNMKGVTGDFRFTSDRNLIHPAFEIINVIGTGYRRVGYWSNHSGLSTALPETLWEKLPNRSSTSQMLHGVVWPGQTTQKPRGWVFPNSGRHLKIGVPHRVSYREFVSVRGPDVITGYCIDVFTAALNLLPYAVPYKLIPFGDGRTNPSGTELVRLITAGVFDAAIGDIAIITNRTKMADFTQPYIESGLVVVAPVWRKNSNAFAFLRPFTGRMWAVTAIFFLLVGTVVWILEHRMNDEFRGPPRRQVVTILWLVLSDFGCILF >KJB18407 pep chromosome:Graimondii2_0_v6:3:7494514:7495266:-1 gene:B456_003G051100 transcript:KJB18407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLMKTLDFKTLPLWSQIKIPKHDLICCLSNAASKLIFMKGSLSGLKPSFYAFLSDLCSLTPSISRMVYLMLRLVQTSTAKGHSLKTCSVSQDSRSIACN >KJB18928 pep chromosome:Graimondii2_0_v6:3:18213866:18215794:1 gene:B456_003G075400 transcript:KJB18928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLANTTAGAVAGARKISNGKPIPIISSKSLSFPSFRPFISSLKPLIPTKFSSLSPLQCSPNPSPSTSPPSLKSRLRNGETLYGIFLLSFSPTLAEIVAFSGYDFVVIDMEHGPGGIHESLQMLRAVATTNTPAIIRLPESSAVWAKKALDLGPQGIMFPMIDSPKDARKAVSYCRFPPNGIRGSAHTVVRASNYGINEGYLSNYKEDLLIMCQVETVDGVKNVEEIAAVEGVDCIQMGPLDLSASLGYLWDPGHKKVREMLRTAEREVLKSDRKDGGAFLAGFAMPHDPPEALGKRGYHMVSGAVDVGLFRNAAVEDVRKFKISLNADSDYSDDDKDSDEKYWSE >KJB18352 pep chromosome:Graimondii2_0_v6:3:6528418:6530997:1 gene:B456_003G048100 transcript:KJB18352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQAMLNQELFEEKEMPQMGFFTFPQTLTSYPPPFGCLKAFNIAPPPANLTETLFSHPKHREEDSGSDFAGPQLLSLHSSTPNLWAWGEVNECLGTKKSSGDDHLGVSAMKMKRIKGRRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHAHSPSQDSEDSHHPHSHLNNFF >KJB18069 pep chromosome:Graimondii2_0_v6:3:3151534:3154145:1 gene:B456_003G032600 transcript:KJB18069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFSSKSFSLIPKHRFLSLSSYPNTHHFIPSNNDDGFSTSSKPRFGLVTLRTPQPEFEPENDRRVDDFASDVEKIYRILRKFHTRAPKLNLALQQSGVVFRHGLTERVLNRCGDAGNLGYKFFTWASKQPGYHPSYEIYKAMIKILGKMRQFGAVWALLEEIRNENPHFITAELFVVLIRRFASSRMVKKAIEVFDEMPKYGCPQDEAVFGALLDALCKNGDVKEAALVFEEMKVRFKPNLKIFTSLLYGWCKEGKILEAKHVLIQMKEAGFEPDIVVYNNLLSGYVLGNKMGDAFDLLKEMRSKGIDPNACSYTIVIQGLCKADRMVEAMRVFDEMEKNGCQGDVLAYTTLISGFCKWGKLERAYELLDRMKTEGLVPNSLTYLHIMVAHEKKEELEKCLELIEEMRKIGCVPDAGIYNVVIRLACKLEEVKEAARVWDEMERSGFSPGVDSFIVMIHGFIAQGCLVEACELFKEMVGRGLFCVPQYGVLKDLLNSLLRAEKLEMAKDLWSCIVSKGCELNVSAWTIWIHALFSEGLVKEACSYCMEMMDADLMPQPDTFAKLMKGLRKLYNRQIAAEITEKVRKMAADREITFKMYKRRGERDLKEKIKEKTDGRKRRARRRRWGPARSRSGI >KJB20695 pep chromosome:Graimondii2_0_v6:3:42881154:42883501:-1 gene:B456_003G159600 transcript:KJB20695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAYIYLLLLFLSYGVSLVTSRSFPSDEVMALTTFKEDIYEDPHLVLSNWNVLDSDPCEWFGITCNKERQHVIKINISSSSLKGFLAPEIGQITYLQELTLQKNKLLGIIPKELGMLKFLKVLDLGMNRLTGPIPPELGNLSSVVKINLQSNGLTGSLPAELGNLKYLEELRLDRNRLKGAVPAYSNSTFTANTRGMYATHSNLPGLCSSSQLKVADFSYNFLIGRIPKCLEHLPSASFQGNCLQANNTKQRPSSQCGIYIHCAESSESHQAPSPKHQRAEDVAKRMKASKPAWLLALEIVTGIMVGSLFLVALLTAFQRCNSKSAIIIPWKKSGSGKDHVVVYIDSELLKDVTKFSRQELEVACEDFSNIIGSSPDSLVYKGTMKGGPEIAVISLCIKEERWTGYLELYYQREVAELARLNHENIGKLLGYCRESTPFTRMLVFEYASNGTLYEHLHCKHTSSLARFTCNG >KJB20690 pep chromosome:Graimondii2_0_v6:3:42880071:42883627:-1 gene:B456_003G159600 transcript:KJB20690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAYIYLLLLFLSYGVSLVTSRSFPSDEVMALTTFKEDIYEDPHLVLSNWNVLDSDPCEWFGITCNKERQHVIKINISSSSLKGFLAPEIGQITYLQELTLQKNKLLGIIPKELGMLKFLKVLDLGMNRLTGPIPPELGNLSSVVKINLQSNGLTGSLPAELGNLKYLEELRLDRNRLKGAVPAYSNSTFTANTRGMYATHSNLPGLCSSSQLKVADFSYNFLIGRIPKCLEHLPSASFQGNCLQANNTKQRPSSQCGAESSESHQAPSPKHQRAEDVAKRMKASKPAWLLALEIVTGIMVGSLFLVALLTAFQRCNSKSAIIIPWKKSGSGKDHVVVYIDSELLKDVTKFSRQELEVACEDFSNIIGSSPDSLVYKGTMKGGPEIAVISLCIKEERWTGYLELYYQREVAELARLNHENIGKLLGYCRESTPFTRMLVFEYASNGTLYEHLHCKHTSSLARFTCNG >KJB20693 pep chromosome:Graimondii2_0_v6:3:42880071:42883806:-1 gene:B456_003G159600 transcript:KJB20693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAYIYLLLLFLSYGVSLVTSRSFPSDEVMALTTFKEDIYEDPHLVLSNWNVLDSDPCEWFGITCNKERQHVIKINISSSSLKGFLAPEIGQITYLQELTLQKNKLLGIIPKELGMLKFLKVLDLGMNRLTGPIPPELGNLSSVVKINLQSNGLTGSLPAELGNLKYLEELRLDRNRLKGAVPAYSNSTFTANTRGMYATHSNLPGLCSSSQLKVADFSYNFLIGRIPKCLEHLPSASFQGNCLQANNTKQRPSSQCGAESSESHQAPSPKHQRAEDVAKRMKASKPAWLLALEIVTGIMVGSLFLVALLTAFQRCNSKSAIIIPWKKSGSGKDHVVVYIDSELLKDVTKFSRQELEVACEDFSNIIGSSPDSLVYKGTMKGGPEIAVISLCIKEERWTGYLELYYQREVAELARLNHENIGKLLGYCRESTPFTRMLVFEYASNGTLYEHLHYGEGSQLYWTRRMRIILGIARGLKYLHTELDPPFTILELNSSAVYLTEDFSPKLVDFESWKSILSRSEKNSGSVGNNGAVCLLPNSVEKRHVDIHGNIHAFGILMLEIISGRPPFCKDKGSLIDWAKDYLELPEVMSYLLDPELKHFRYEDLKAICEVICLCIQPDSSKQPSMDEISSVLESKIDTSVSIEFKSSSLAWAELALSS >KJB20694 pep chromosome:Graimondii2_0_v6:3:42880071:42883827:-1 gene:B456_003G159600 transcript:KJB20694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAYIYLLLLFLSYGVSLVTSRSFPSDEVMALTTFKEDIYEDPHLVLSNWNVLDSDPCEWFGITCNKERQHVIKINISSSSLKGFLAPEIGQITYLQELTLQKNKLLGIIPKELGMLKFLKVLDLGMNRLTGPIPPELGNLSSVVKINLQSNGLTGSLPAELGNLKYLEELRLDRNRLKGAVPAYSNSTFTANTRGMYATHSNLPGLCSSSQLKVADFSYNFLIGRIPKCLEHLPSASFQGNCLQANNTKQRPSSQCGIYIHCAESSESHQAPSPKHQRAEDVAKRMKASKPAWLLALEIVTGIMVGSLFLVALLTAFQRCNSKSAIIIPWKKSGSGKDHVVVYIDSELLKDVTKFSRQELEVACEDFSNIIGSSPDSLVYKGTMKGGPEIAVISLCIKEERWTGYLELYYQREVAELARLNHENIGKLLGYCRESTPFTRMLVFEYASNGTLYEHLHYGEGSQLYWTRRMRIILGIARGLKYLHTELDPPFTILELNSSAVYLTEDFSPKLVDFESWKSILSRSEKNSGSVGNNGAVCLLPNSVEKRHVDIHGNIHAFGILMLEIISGRPPFCKDKGSLIDWAKDYLELPEVMSYLLDPELKHFRYEDLKAICEVICLCIQPDSSKQPSMDEISSVLESKIDTSVSIEFKSSSLAWAELALSS >KJB20691 pep chromosome:Graimondii2_0_v6:3:42881388:42883501:-1 gene:B456_003G159600 transcript:KJB20691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAYIYLLLLFLSYGVSLVTSRSFPSDEVMALTTFKEDIYEDPHLVLSNWNVLDSDPCEWFGITCNKERQHVIKINISSSSLKGFLAPEIGQITYLQELTLQKNKLLGIIPKELGMLKFLKVLDLGMNRLTGPIPPELGNLSSVVKINLQSNGLTGSLPAELGNLKYLEELRLDRNRLKGAVPAYSNSTFTANTRGMYATHSNLPGLCSSSQLKVADFSYNFLIGRIPKCLEHLPSASFQGNCLQANNTKQRPSSQCGAESSESHQAPSPKHQRAEDVAKRMKASKPAWLLALEIVTGIMVGSLFLVALLTAFQRCNSKSAIIIPWKKSGSGKDHVVVYIDSELLKDVTKFSRQELEVACEDFSNIIGSSPDSLVYKGTMKGGPEIAVISLCIKEERWTGYLELYYQREVLVIV >KJB20689 pep chromosome:Graimondii2_0_v6:3:42880071:42883627:-1 gene:B456_003G159600 transcript:KJB20689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAYIYLLLLFLSYGVSLVTSRSFPSDEVMALTTFKEDIYEDPHLVLSNWNVLDSDPCEWFGITCNKERQHVIKINISSSSLKGFLAPEIGQITYLQELTLQKNKLLGIIPKELGMLKFLKVLDLGMNRLTGPIPPELGNLSSVVKINLQSNGLTGSLPAELGNLKYLEELRLDRNRLKGAVPAYSNSTFTANTRGMYATHSNLPGLCSSSQLKVADFSYNFLIGRIPKCLEHLPSASFQGNCLQANNTKQRPSSQCGAESSESHQAPSPKHQRAEDVAKRMKASKPAWLLALEIVTGIMVGSLFLVALLTAFQRCNSKSAIIIPWKKSGSGKDHVVVYIDSELLKDVTKFSRQELEVACEDFSNIIGSSPDSLVYKGTMKGGPEIAVISLCIKEERWTGYLELYYQREVAELARLNHENIGKLLGYCRESTPFTRMLVFEYASNGTLYEHLHYGEGSQLYWTRRMRIILGIARGLKYLHTELDPPFTILELNSSAVYLTEDFSPKLVDFESWKSILSRSEKNSGSVGNNGAVCLLPNSVEKRHVDIHGNIHAFGILMLEIISGRPPFCKDKGSLIDWVSKTFNVVIMLSINLHSCALMHFAGEGLSRVTGSNVLPIRPGVKTFQIRRPQSYLRSYMPLYSTRLFETTIDGRNKLGFGE >KJB20692 pep chromosome:Graimondii2_0_v6:3:42880071:42883627:-1 gene:B456_003G159600 transcript:KJB20692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAYIYLLLLFLSYGVSLVTSRSFPSDEVMALTTFKEDIYEDPHLVLSNWNVLDSDPCEWFGITCNKERQHVIKINISSSSLKGFLAPEIGQITYLQELTLQKNKLLGIIPKELGMLKFLKVLDLGMNRLTGPIPPELGNLSSVVKINLQSNGLTGSLPAELGNLKYLEELRLDRNRLKGAVPAYSNSTFTANTRGMYATHSNLPGLCSSSQLKVADFSYNFLIGRIPKCLEHLPSASFQGNCLQANNTKQRPSSQCGAESSESHQAPSPKHQRAEDVAKRMKASKPAWLLALEIVTGIMVGSLFLVALLTAFQRCNSKSAIIIPWKKSGSGKDHVVVYIDSELLKDVTKFSRQELEVACEDFSNIIGSSPDSLVYKGTMKGGPEIAVISLCIKEERWTGYLELYYQREVAELARLNHENIGKLLGYCRESTPFTRMLVFEYASNGTLYEHLHCKHTSSLARFTCNG >KJB17502 pep chromosome:Graimondii2_0_v6:3:148877:152037:1 gene:B456_003G002500 transcript:KJB17502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RKD5 [Source:Projected from Arabidopsis thaliana (AT4G35590) UniProtKB/Swiss-Prot;Acc:O81791] MDLSQGPCLTTLVVFENTINKELIRSLHVYRLENGEENEVEREFVFRKDGPYEETAAAPLLLLQGVPAHELFEGRVIGLWLCSFAFHAHRLPRFFFIPSLLSISRNPKLKSIPTLANDLQLIFQRGCGTEDRGPSRELSKETCTRDGDNHYHLKRSLLVLDQDLNCLPNSGANSELLKSRQTEQNATGVAVKKKKRADSKDVARIALEDLAKYFDLPIVEASRNLNVGLTVLKRKCREFGIPRWPHRKIKSLDGLIRDLQEEAEQRQQDEAAAYAVAKRRMMLETEKESIEKEPFIELKSETKRFRQDIFKRRHKARALKN >KJB17501 pep chromosome:Graimondii2_0_v6:3:149064:151499:1 gene:B456_003G002500 transcript:KJB17501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RKD5 [Source:Projected from Arabidopsis thaliana (AT4G35590) UniProtKB/Swiss-Prot;Acc:O81791] MDLSQGPCLTTLVVFENTINKELIRSLHVYRLENGEENEVEREFVFRKDGPYEETAAAPLLLLQGVPAHELFEGRVIGLWLCSFAFHAHRLPRFFFIPSLLSISRNPKLKSIPTLANDLQLIFQRGCGTEDRGPSRELSKETCTRDGDNHYHLKRSLLVLDQDLNCLPNSGANSELLKSRQTEQNATGVAVKKKKRADSKDVARIALEDLAKYFDLPIVEASRNLNVGLTVLKRKCREFGIPRWPHRKIKSLDGLIRDLQLLSGCDFL >KJB20184 pep chromosome:Graimondii2_0_v6:3:39613073:39617059:1 gene:B456_003G137000 transcript:KJB20184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPLPTADGVKENKLWKWVFAVSGILITLVIYGVLQEKIMRVPYGVNKEYFKYSLFLVFCNRITTSAVSAGSLVASKKALDPVAPVYKYCLVSVTNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTFIMQKKYKGFDYLVAFLVTLGCSIFILFPAGTDFSPYGKGRENTVWGISLMVGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCVLSLTGLIFQGHLLLAVDFVYRHNDCFLDIVLLSTVATASQFFISYTIRTFGALTFAAIMTTRQLVSIMLSCIWFGHPLSWEQWIGAIIVFGSLYSKTFWKKVSPAPTPPQQTENGSSSPLKGIP >KJB20186 pep chromosome:Graimondii2_0_v6:3:39613481:39616985:1 gene:B456_003G137000 transcript:KJB20186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPLPTADGVKENKLWKWVFAVSGILITLVIYGVLQEKIMRVPYGVNKEYFKYSLFLVFCNRITTSAVSAGSLVASKKALDPVAPVYKYCLVSVTNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTFIMQKKYKGFDYLVAFLVTLGCSIFILFPAGTDFSPYGKGRENTVWGISLMVGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCVLSLTGLIFQGHLLLAVDFVYRHNDCFLDIVLLSTVATASQFFISYTIRTFGALTFAAIMTTRQLVSIMLSCIWFGHPLSWEQWIGAIIVFGSLYSKTFWKKVSPAPTPPQQTENGSSSPLKGIP >KJB20185 pep chromosome:Graimondii2_0_v6:3:39613122:39616985:1 gene:B456_003G137000 transcript:KJB20185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPLPTADGVKENKLWKWVFAVSGILITLVIYGVLQEKIMRVPYGVNKEYFKYSLFLVFCNRITTSAVSAGSLVASKKALDPVAPVYKYCLVSVTNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTFIMQKKYKGFDYLVAFLVTLGCSIFILFPAGTDFSPYGKGRENTVWGISLMVGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCVLSLTGLIFQGHLLLAVDFVYRHNDCFLDIVLLSTVTTASQFFISYTIRTFGALTFAAIMTTRQLVSIMLSCIWFGHPLSWEQWIGAIIVFGSLYSKTFWKKVSPAPTPPQQTENGSSSPLKGIP >KJB20461 pep chromosome:Graimondii2_0_v6:3:41740209:41744003:1 gene:B456_003G150100 transcript:KJB20461 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT5G37055) UniProtKB/Swiss-Prot;Acc:Q9FHW2] MDDDNSNALRRMSTRTRKVAPKMAAALGSSDNRSQAALARLEALENDNAGIEAVEMNDDDEASLDEDDQAYMQKRSKGTKRKTRQAKALENARKAPRSFLELLHEANLESLPPHVPSYLRAAVGPPSSTSRRHFCSVCGFSAKYTCVTCGMRFCSCRCQNIHNDTRCMKFVA >KJB17995 pep chromosome:Graimondii2_0_v6:3:2548675:2552148:1 gene:B456_003G028200 transcript:KJB17995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMVANGNGNGSLPQDSKDKFMHCASNCENRDFGGGTLCGVGEGKIAKGTENVEINITECSNSGMDRLAVVECEDDTENSSSFGGTVSGVENDSAVSDVEVESALCSGSPLGSVFDGLFLLRKRKLTDHWRRFIRPLMWRCKWLELQLREFKSRALKYDKELAEYDQRKSFEYEKFTFEGLNVKSQPFPCQIQRKKLMKRRKRKRVEDTADLASYMSNHNLFSYYDSKKSVAATASATHEDDNGNLGNKMINSTEEVDDGLSSLELKDGDIWLQQILRKIDLMQSQVHKLKTRVDNVVNESPRKFTSVNVLSSVTPCNTLTGSRSHSSPPGSGERTPVTSQRRSGGNMGDLLMPGSSAVSSHGEVTPFPDAIDGTGQHLPAVSYDNTEDDILIHNPAAKEELRNFQSGLTQQSEEPPMPAEPPKTISTVPAPRNDLPTEPSVQPNVNLSLASKSKVPNNKRKRGKRGKRKSGTSWWSRRSSG >KJB17994 pep chromosome:Graimondii2_0_v6:3:2548787:2552148:1 gene:B456_003G028200 transcript:KJB17994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMVANGNGNGSLPQDSKDKFMHCASNCENRDFGGGTLCGVGEGKIAKGTENVEINITECSNSGMDRLAVVECEDDTENSSSFGGTVSGVENDSAVSDVEVESALCSGSPLGSVFDGLFLLRKRKLTDHWRRFIRPLMWRCKWLELQLREFKSRALKYDKELAEYDQRKSFEYEKFTFEGLNVKSQPFPCQIQRKKLMKRRKRKRVEDTADLASYMSNHNLFSYYDSKKSVAATASATHEDDNGNLGNKMINSTEEVDDGLSSLELKDGDIWLQQILRKIDLMQSQVHKLKTRVDNVVNESPRKFTSVNVLSSVTPCNTLTGSRSHSSPPGSGERTPVTSQRRSGGNMGDLLMPGSSAVSSHGEVTPFPDAIDGTGQHLPAVSYDNTEDDILIHNPAAKEELRNFQSGLTQQSEEPPMPAEPPKTISTVPAPRNDLPTEPSVQPNVNLSLASKSKVPNNKRKRGKRGKRKSGTSWWSRRSSG >KJB19743 pep chromosome:Graimondii2_0_v6:3:35642064:35643859:-1 gene:B456_003G117400 transcript:KJB19743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKKEKASEKREKRLQEISLLRTIPYSDHQRWWSEETIAVVTGANRGIGFEIAKQLAGHGLTVILTSRDTSVGIEAAKVLQEGGFNVDVHQLDILHEESISEFTKWIKEKYDGVDILVNNAGVNYNLVSGNSMEYAKQMIETNYFGTKNMIKAMIPLMRPSAAGARIVNVSSRLGKLNGRRSRIEDEALREKLSNLETLSEDLIDNMVSTFLQQVEADTWQSGGWPQKFTDYAVSKLAVNLYSMLMAKQLSERPEGEKIYINCYCPGWVRTAMTSWSGNVSAEHAADTGVWLALLPNEAVTGKLFAERRELKV >KJB18081 pep chromosome:Graimondii2_0_v6:3:3185814:3190579:-1 gene:B456_003G033100 transcript:KJB18081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELNRLEKELEASKAELEKERRALKDDKSNLELTLVSLSKREEAVIEREALLSKKEQELLVSQEKLANKESSEFRKVIASHENALRTRNSEFEAELELKRRMVEDEIEMKRRAWELKEMDINNKEDQICEREHGLDVRLRILAEKEKDVAEKSNLIDVKENNMSAFEKELELKKAALEKEKEEMSKMKLELQKSLLSLEDKRNQVDHEKEKLEALRSETHELSTLELKLKEELDLVRAQKLELMADVDRLEVERAKFETEWELIDEKREELRKEAARVCKDREEISKYLKDERDRLRSVRDVMREQHNKDVESLNREREDFMKKMVTEHSDRFNKIQQERADFLLGIETQKRELENCIEKRREELESSLKEREEAFEREKKNQLDHINALKEIAEKELEQATLEMKRLDAERTEIKLDRERREHEWAELNKSIEELKVQRHKLKQQRELLHADRKEIHFDIEELKKLGDLKAALDNMTVAQMQRSIVELSQLKASERNNLKQQAVLQNVESGSDKNKIFAVDGNGFNSPMAKPDSSPSGSARFSWIKRCSELIFKHTPDKAQMKPEERPLELDGEPKILEVPSEGEVFERTQAGRKRRVDNMPSNGTKKSRQKDASVLEVEDNTHRVHSIEPNVVLDQPELMSYNQSKGGADETNELIVDRVINISEAIPVKETVDDFSNVENIDQLQDTGEKDKSGEPLVVPMGNVSQLNIHCQRTEDKSGKGKQKLEDNVTVQPDDKVRTRSRAGLKQVL >KJB18082 pep chromosome:Graimondii2_0_v6:3:3185814:3191820:-1 gene:B456_003G033100 transcript:KJB18082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTESVETKVAAESRLAEARIMIEDAEKKFSEAETKFRAAKSLQTEATFIQRDAKRKLQEVEAREDDLSRQIVLFKKDSDAKEKEINLERQSLSERKKIVQQEHERLLDGQASLNQREEHIFNRMEELNRLEKELEASKAELEKERRALKDDKSNLELTLVSLSKREEAVIEREALLSKKEQELLVSQEKLANKESSEFRKVIASHENALRTRNSEFEAELELKRRMVEDEIEMKRRAWELKEMDINNKEDQICEREHGLDVRLRILAEKEKDVAEKSNLIDVKENNMSAFEKELELKKAALEKEKEEMSKMKLELQKSLLSLEDKRNQVDHEKEKLEALRSETHELSTLELKLKEELDLVRAQKLELMADVDRLEVERAKFETEWELIDEKREELRKEAARVCKDREEISKYLKDERDRLRSVRDVMREQHNKDVESLNREREDFMKKMVTEHSDRFNKIQQERADFLLGIETQKRELENCIEKRREELESSLKEREEAFEREKKNQLDHINALKEIAEKELEQATLEMKRLDAERTEIKLDRERREHEWAELNKSIEELKVQRHKLKQQRELLHADRKEIHFDIEELKKLGDLKAALDNMTVAQMQRSIVELSQLKASERNNLKQQAVLQNVESGSDKNKIFAVDGNGFNSPMAKPDSSPSGSARFSWIKRCSELIFKHTPDKAQMKPEERPLELDGEPKILEVPSEGEVFERTQAGRKRRVDNMPSNGTKKSRQKDASVLEVEDNTHRVHSIEPNVVLDQPELMSYNQSKGGADETNELIVDRVINISEAIPVKETVDDFSNVENIDQLQDTGEKDKSGEPLVVPMGNVSQLNIHCQRTEDKSGKGKQKLEDNVTVQPDDKVRTRSRAGLKQVL >KJB18080 pep chromosome:Graimondii2_0_v6:3:3185814:3191820:-1 gene:B456_003G033100 transcript:KJB18080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLTLEREELASKYEEIKASAEATELMHKRDQAAHISALAEAKKREDGLKKALGVEKECLASIEKALHEMRTESVETKVAAESRLAEARIMIEDAEKKFSEAETKFRAAKSLQTEATFIQRDAKRKLQEVEAREDDLSRQIVLFKKDSDAKEKEINLERQSLSERKKIVQQEHERLLDGQASLNQREEHIFNRMEELNRLEKELEASKAELEKERRALKDDKSNLELTLVSLSKREEAVIEREALLSKKEQELLVSQEKLANKESSEFRKVIASHENALRTRNSEFEAELELKRRMVEDEIEMKRRAWELKEMDINNKEDQICEREHGLDVRLRILAEKEKDVAEKSNLIDVKENNMSAFEKELELKKAALEKEKEEMSKMKLELQKSLLSLEDKRNQVDHEKEKLEALRSETHELSTLELKLKEELDLVRAQKLELMADVDRLEVERAKFETEWELIDEKREELRKEAARVCKDREEISKYLKDERDRLRSVRDVMREQHNKDVESLNREREDFMKKMVTEHSDRFNKIQQERADFLLGIETQKRELENCIEKRREELESSLKEREEAFEREKKNQLDHINALKEIAEKELEQATLEMKRLDAERTEIKLDRERREHEWAELNKSIEELKVQRHKLKQQRELLHADRKEIHFDIEELKKLGDLKAALDNMTVAQMQRSIVELSQLKASERNNLKQQAVLQNVESGSDKNKIFAVDGNGFNSPMAKPDSSPSGSARFSWIKRCSELIFKHTPDKAQMKPEERPLELDGEPKILEVPSEGEVFERTQAGRKRRVDNMPSNGTKKSRQKDASVLEVEDNTHRVHSIEPNVVLDQPELMSYNQSKGGADETNELIVDRVINISEAIPVKETVDDFSNVENIDQLQDTGEKDKSGEPLVVPMGNVSQLNIHCQRTEDKSGKGKQKLEDNVTVQPDDKVRTRSRAGLKQVL >KJB18079 pep chromosome:Graimondii2_0_v6:3:3185141:3191820:-1 gene:B456_003G033100 transcript:KJB18079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFTPGTGKALSITPGSRVSKSSLGDETIWKRLKEAGFDEESIKKRDKAALIAYIAKLEAELSDHQYHMGLLTLEREELASKYEEIKASAEATELMHKRDQAAHISALAEAKKREDGLKKALGVEKECLASIEKALHEMRTESVETKVAAESRLAEARIMIEDAEKKFSEAETKFRAAKSLQTEATFIQRDAKRKLQEVEAREDDLSRQIVLFKKDSDAKEKEINLERQSLSERKKIVQQEHERLLDGQASLNQREEHIFNRMEELNRLEKELEASKAELEKERRALKDDKSNLELTLVSLSKREEAVIEREALLSKKEQELLVSQEKLANKESSEFRKVIASHENALRTRNSEFEAELELKRRMVEDEIEMKRRAWELKEMDINNKEDQICEREHGLDVRLRILAEKEKDVAEKSNLIDVKENNMSAFEKELELKKAALEKEKEEMSKMKLELQKSLLSLEDKRNQVDHEKEKLEALRSETHELSTLELKLKEELDLVRAQKLELMADVDRLEVERAKFETEWELIDEKREELRKEAARVCKDREEISKYLKDERDRLRSVRDVMREQHNKDVESLNREREDFMKKMVTEHSDRFNKIQQERADFLLGIETQKRELENCIEKRREELESSLKEREEAFEREKKNQLDHINALKEIAEKELEQATLEMKRLDAERTEIKLDRERREHEWAELNKSIEELKVQRHKLKQQRELLHADRKEIHFDIEELKKLGDLKAALDNMTVAQMQRSIVELSQLKASERNNLKQQAVLQNVESGSDKNKIFAVDGNGFNSPMAKPDSSPSGSARFSWIKRCSELIFKHTPDKAQMKPEERPLELDGEPKILEVPSEGEVFERTQAGRKRRVDNMPSNGTKKSRQKDASVLEVEDNTHRVHSIEPNVVLDQPELMSYNQSKGGADETNELIVDRVINISEAIPVKETVDDFSNVENIDQLQDTGEKDKSGEPLVVPMGNVSQLNIHCQRTEDKSGKGKQKLEDNVTVQPDDKVRTRSRAGLKQVL >KJB18078 pep chromosome:Graimondii2_0_v6:3:3185641:3191820:-1 gene:B456_003G033100 transcript:KJB18078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFTPGTGKALSITPGSRVSKSSLGDETIWKRLKEAGFDEESIKKRDKAALIAYIAKLEAELSDHQYHMGLLTLEREELASKYEEIKASAEATELMHKRDQAAHISALAEAKKREDGLKKALGVEKECLASIEKALHEMRTESVETKVAAESRLAEARIMIEDAEKKFSEAETKFRAAKSLQTEATFIQRDAKRKLQEVEAREDDLSRQIVLFKKDSDAKEKEINLERQSLSERKKIVQQEHERLLDGQASLNQREEHIFNRMEELNRLEKELEASKAELEKERRALKDDKSNLELTLVSLSKREEAVIEREALLSKKEQELLVSQEKLANKESVIASHENALRTRNSEFEAELELKRRMVEDEIEMKRRAWELKEMDINNKEDQICEREHGLDVRLRILAEKEKDVAEKSNLIDVKENNMSAFEKELELKKAALEKEKEEMSKMKLELQKSLLSLEDKRNQVDHEKEKLEALRSETHELSTLELKLKEELDLVRAQKLELMADVDRLEVERAKFETEWELIDEKREELRKEAARVCKDREEISKYLKDERDRLRSVRDVMREQHNKDVESLNREREDFMKKMVTEHSDRFNKIQQERADFLLGIETQKRELENCIEKRREELESSLKEREEAFEREKKNQLDHINALKEIAEKELEQATLEMKRLDAERTEIKLDRERREHEWAELNKSIEELKVQRHKLKQQRELLHADRKEIHFDIEELKKLGDLKAALDNMTVAQMQRSIVELSQLKASERNNLKQQAVLQNVESGSDKNKIFAVDGNGFNSPMAKPDSSPSGSARFSWIKRCSELIFKHTPDKAQMKPEERPLELDGEPKILEVPSEGEVFERTQAGRKRRVDNMPSNGTKKSRQKDASVLEVEDNTHRVHSIEPNVVLDQPELMSYNQSKGGADETNELIVDRVINISEAIPVKETVDDFSNVENIDQLQDTGEKDKSGEPLVVPMGNVSQLNIHCQRTEDKSGKGKQKLEDNVTVQPDDKVRTRSRAGLKQVL >KJB18077 pep chromosome:Graimondii2_0_v6:3:3185641:3191835:-1 gene:B456_003G033100 transcript:KJB18077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFTPGTGKALSITPGSRVSKSSLGDETIWKRLKEAGFDEESIKKRDKAALIAYIAKLEAELSDHQYHMGLLTLEREELASKYEEIKASAEATELMHKRDQAAHISALAEAKKREDGLKKALGVEKECLASIEKALHEMRTESVETKVAAESRLAEARIMIEDAEKKFSEAETKFRAAKSLQTEATFIQRDAKRKLQEVEAREDDLSRQIVLFKKDSDAKEKEINLERQSLSERKKIVQQEHERLLDGQASLNQREEHIFNRMEELNRLEKELEASKAELEKERRALKDDKSNLELTLVSLSKREEAVIEREALLSKKEQELLVSQEKLANKESSEFRKVIASHENALRTRNSEFEAELELKRRMVEDEIEMKRRAWELKEMDINNKEDQICEREHGLDVRLRILAEKEKDVAEKSNLIDVKENNMSAFEKELELKKAALEKEKEEMSKMKLELQKSLLSLEDKRNQVDHEKEKLEALRSETHELSTLELKLKEELDLVRAQKLELMADVDRLEVERAKFETEWELIDEKREELRKEAARVCKDREEISKYLKDERDRLRSVRDVMREQHNKDVESLNREREDFMKKMVTEHSDRFNKIQQERADFLLGIETQKRELENCIEKRREELESSLKEREEAFEREKKNQLDHINALKEIAEKELEQATLEMKRLDAERTEIKLDRERREHEWAELNKSIEELKVQRHKLKQQRELLHADRKEIHFDIEELKKLGDLKAALDNMTVAQMQRSIVELSQLKASERNNLKQQAVLQNVESGSDKNKIFAVDGNGFNSPMAKPDSSPSGSARFSWIKRCSELIFKHTPDKAQMKPEERPLELDGEPKILEVPSEGEVFERTQAGRKRRVDNMPSNGTKKSRQKDASVLEVEDNTHRVHSIEPNVVLDQPELMSYNQSKGGADETNELIVDRVINISEAIPVKETVDDFSNVENIDQLQDTGEKDKSGEPLVVPMGNVSQLNIHCQRTEDKSGKGKQKLEDNVTVQPDDKVRTRSRAGLKQVL >KJB20201 pep chromosome:Graimondii2_0_v6:3:39791614:39792765:1 gene:B456_003G138100 transcript:KJB20201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIPTIFGNNRRSSVFDPFSLDLWDPFKEFDFPTVTSFPSLSRESSAFVNTRVDWKETPEAHVFKADLPGMQKEEVKVEIEDDRVLQISGEKHVEKEDRNDTWHRVERSSGKFSRRFRLPENVRMGDVKASMENGVLTITVPKVEMKKPEIKSVEISG >KJB19287 pep chromosome:Graimondii2_0_v6:3:29184700:29188045:1 gene:B456_003G093400 transcript:KJB19287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMSNNPSFKKTNSFPLTTQQAPNSQDQPTSYWRSPHHHHHHKIEFPTSPEVPEQGDQIFHAAHPQHPISQTYLPDLFTCASCKEFGSGLRFTCTDCDYQLHDFCALAPPALKRHPIHPLHKLIFFHKPVKGGILKSRCDICDKPTKGSVFKCTICSFQMHPCCAMLSTEIFNISLHPHTLRLLSMPGQSSNGDPAGLACGECNRRRSGRVYRCTICDYHLHAVCAKNMVNGLRANGLKGIEKASMIGTAAKVASQVVKEFIGGLIEGLGEGVGQVLIQSAARGSS >KJB18590 pep chromosome:Graimondii2_0_v6:3:11294851:11296448:1 gene:B456_003G062000 transcript:KJB18590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRYLGCAALLGSTSRVLSMGGGSFLISLLGFVGVFRPASSLVLSSWFLVIGWVEVWLFYFLGVDPGCVVLLDSTSRLLLKVSLFYFPLNFDLSLCYFSGCKWTVNGVFNLGLMLLLFPFVCFVDLYCLLSYFLILLLYFLLVSCFYFGLSYDNICLLLF >KJB20535 pep chromosome:Graimondii2_0_v6:3:42167575:42173108:1 gene:B456_003G153300 transcript:KJB20535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 3 [Source:Projected from Arabidopsis thaliana (AT5G50320) UniProtKB/TrEMBL;Acc:A0A178UGS1] MMPDLPNVGVERDLESFKEFFESPLFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPDQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQDIHHKIKPEEVELVRRDYTANESWETFLSYEDTRQDILVGLLRLRKCGQNTTCPELMGKCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIAGKEHRSTKIAVISGVGTRHYYRKLGYELDGPYMVKYLTS >KJB17882 pep chromosome:Graimondii2_0_v6:3:1623897:1636167:-1 gene:B456_003G021700 transcript:KJB17882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSCGKRKMVTVLSIDGGGIRGIIPSVLLASLESKLQELDGPNARIADYFDIVAGTSTGGLIATMLTAPNKDNGRQPLYEAKDITKFYLEHSPKIFPQDSINTSTGPKYDGKYLRSLINQLLGDITLKQALTNLVLPTFDIKLLQPVIFSTNDAKVNDWKNAKLYDACIGTSAAPTLLPAHHFETKGSDGKTHSFDLIDGGVAANNPTLIAISHVRMESFKQNAKFNDIEPMDNKRMLVLSLGTGIASMDAPKYDAVTANNWGMLDWIFLNGNTPLLDACGQASSDMVDFHVSALFRTSRCKENYLRIQDDWLTGAASTADIATEENLQKLVGIGNELLKKPVSKLNFETGRLEEDEGLTNEEALAKFAIRLHEQRCFRLSA >KJB17883 pep chromosome:Graimondii2_0_v6:3:1633490:1636167:-1 gene:B456_003G021700 transcript:KJB17883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSCGKRKMVTVLSIDGGGIRGIIPSVLLASLESKLQELDGPNARIADYFDIVAGTSTGGLIATMLTAPNKDNGRQPLYEAKDITKFYLEHSPKIFPQDSSINTSTGPKYDGKYLRSLINQLLGDITLKQALTNLVLPTFDIKLLQPVIFSTNDAKVNDWKNAKLYDACIGTSAAPTLLPAHHFETKGSDGKTHSFDLIDGGVAANNPTLIAISHVRMESFKQNAKFNDIEPMDNKRMLVLSLGTGIASMDAPKYDAVTANNWGMLDWIFLNGNTPLLDACGQASSDMVDFHVSALFRTSRCKENYLRIQDDSLTGATSTADIATEENLQKLVEIANELLKKPVSKLNFETGRLEEDEGLTTNEEALAKFAIRLHEQRCFRLSA >KJB17884 pep chromosome:Graimondii2_0_v6:3:1633306:1636236:-1 gene:B456_003G021700 transcript:KJB17884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSCGKRKMVTVLSIDGGGIRGIIPSVLLASLESKLQELDGPNARIADYFDIVAGTSTGGLIATMLTAPNKDNGRQPLYEAKDITKFYLEHSPKIFPQDSINTSTGPKYDGKYLRSLINQLLGDITLKQALTNLVLPTFDIKLLQPVIFSTNDAKVNDWKNAKLYDACIGTSAAPTLLPAHHFETKGSDGKTHSFDLIDGGVAANNPTLIAISHVRMESFKQNAKFNDIEPMDNKRMLVLSLGTGIASMDAPKYDAVTANNWGMLDWIFLNGNTPLLDACGQASSDMVDFHVSALFRTSRCKENYLRIQDDSLTGATSTADIATEENLQKLVEIANELLKKPVSKLNFETGRLEEDEGLTTNEEALAKFAIRLHEQRCFRLSA >KJB18457 pep chromosome:Graimondii2_0_v6:3:8314104:8317276:1 gene:B456_003G054000 transcript:KJB18457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKGRKVSGRGETVTANYAFGPAEDDAIIKHRLLTRTTTTRGEPPLKKLQRKFTSFVLEVEKDEENYNDCAKLSKAFLQELSTFEIPLLKSKAVIDANLREKENFNELKDEINRQISLVQTDIEELKKQLEESKIERQHKEECEAIRKLISAQPPRSETQKSIREIEKEIAALEAENTAGSRLLELRKKQFALLLHVVDELQNTIEEDQKSLIEEMRMVTEEQKSGMDDASGGSEAMAVD >KJB17638 pep chromosome:Graimondii2_0_v6:3:540487:544099:1 gene:B456_003G008900 transcript:KJB17638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPSKSPLPEAFLRFLQANGIDPSIYIASDSTPRYLRLKPGNEAEIDGIEAEIKCKLEKVNWLPGFYSLRPDILIANSKSYLDGKIYGIDAASGAAVSVLNISPGDHVLDLCAAPGAKLCMMLDLLGDSGSVTGVDVARHRLAACRTMLQKYSLGDRCRLFVADGTTFSLAPLRVDSRSRSCESSFEEKDERFREWTSRRPWKERKRAAKARETMSLQSVTTSENPELIFYGRHSGVVGLSKNKLYKTMSDLEVSSCGYDKVLVDAECTHDGSVKHIQKFENWGWTTLQRRVLDAVRTDSLTLLQLKLLRNGFRLLKVGGLLVYSTCSLTVAQNEDIVEQFLKENAPAELQEINEAEEWPCKSGRIPKTLRFDPLTSQTSGLFVAKFTKLGA >KJB17639 pep chromosome:Graimondii2_0_v6:3:540427:544163:1 gene:B456_003G008900 transcript:KJB17639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPSKSPLPEAFLRFLQANGIDPSIYIASDSTPRYLRLKPGNEAEIDGIEAEIKCKLEKVNWLPGFYSLRPDILIANSKSYLDGKIYGIDAASGAAVSVLNISPGDHVLDLCAAPGAKLCMMLDLLGDSGSVTGVDVARHRLAACRTMLQKYSLGDRCRLFVADGTTFSLAPLRVDSRSRSCESSFEEKDERFREWTSRRPWKERKRAAKARETMSLQSVTTSENPELIFYGRHSGVVGLSKNKLYKTMSDLEVSSCGYDKVLVDAECTHDGSVKHIQKFENWGWTTLQRRVLDAVRTDSLTLLQLKLLRNGFRLLKVGGLLVYSTCSLTVAQNEDIVEQFLKENAPAELQEINEAEEWPCKSGRIPKTLRFDPLTSQTSGLFVAKFTKLGA >KJB19895 pep chromosome:Graimondii2_0_v6:3:36877653:36879931:1 gene:B456_003G123400 transcript:KJB19895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNPAKREKKSQYDQKLCRLLDEYTQVLVVAADNVGSTQMQNIRKGLRGDSIILMGKNTMMKRSIRLHAERTGNDAFKNLLPLLVGNVGFIFTKGDLKEVREEIAKYKVGAPARVGLVAPIDVIVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIVTAVELIRKGEKVGSSEAALLSKLGIRPFSYGLVVVSVYDNGTVFSPEVLDLTEDDLMEKFRSWLSNVTSLSLAVSFPTLAAAPHMFINAYKTALSLAVATEYTFPQAEKIKEYLKDPTKFAVAVGGDAAAPATSAKEEKAEQSEPAKEEEEESDEDLVAGLFD >KJB18442 pep chromosome:Graimondii2_0_v6:3:8077896:8081551:-1 gene:B456_003G052900 transcript:KJB18442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNLMDKVSAFGERLKVEGAEVGRKVSAGMSSMSFKMKELFQGPNPADQLVEDATSEALDEPDWAVNLDICDMINHERVNSVDLIRGIKRRLMLKSPRIQYLSLVLLETCVKNCDQAFSEVAAERVLDEMVNLIDDPQTVVNNRNKALMLIEAWGESTSELRYLPIYEETYKRLKSKGICFPGRDNESLAPIFTPSHSISAPEVDVSLAHQFQHDMQLQHDIPVQSFTAEQTKEAFDVARNSIQLLSTVLSSSPQRDALKDDLTTTLVQQCRQSQSTVLRIIETAGNNEALLFEALNVNDDLQKALSMYEELRKPSVVPHEPEPTMIPVAVEPDDSPLNTKHDALIRKPAGTRHGSHGGSSDDMMDDLDEMIFGKKGGDSSEGGQDSKNQQAPIDDLITL >KJB18440 pep chromosome:Graimondii2_0_v6:3:8077896:8081097:-1 gene:B456_003G052900 transcript:KJB18440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNLMDKVSAFGERLKVEGAEVGRKVSAGMSSMSFKMKELFQGPNPADQLVEDATSEALDEPDWAVNLDICDMINHERVNSVDLIRGIKRRLMLKSPRIQYLSLVLLETCVKNCDQAFSEVAAERVLDEMVNLIDDPQTVVNNRNKALMLIEAWGESTSELRYLPIYEETYKRLKSKGICFPGRDNESLAPIFTPSHSISAPEVDVSLAHQFQHDMQLQHDIPVQSFTAEQTKEAFDVARNSIQLLSTVLSSSPQRDALKDDLTTTLVQQCRQSQSTVLRIIETAGNNEALLFEALNVNDDLQKALSMYEELRKPSVVPHEPEPTMIPVAVEPDDSPLNTKHDALIRKPAGTRHGSHGGSSDDMMDDLDEMIFGKKGGDSSEGGQDSKNQQAPIDDLITL >KJB18439 pep chromosome:Graimondii2_0_v6:3:8077936:8081001:-1 gene:B456_003G052900 transcript:KJB18439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNLMDKVSAFGERLKVEGAEVGRKVSAGMSSMSFKMKELFQGPNPADQLVEDATSEALDEPDWAVNLDICDMINHERVNSVDLIRGIKRRLMLKSPRIQYLSLVLLETCVKNCDQAFSEVAAERVLDEMVNLIDDPQTVVNNRNKALMLIEAWGESTSELRYLPIYEETYKRLKSKGICFPGRDNESLAPIFTPSHSISAPEVDVSLAHQFQHDMQLQHDIPVQSFTAEQTKEAFDVARNSIQLLSTVLSSSPQRDALKDDLTTTLVQQCRQSQSTVLRIIETAGNNEALLFEALNVNDDLQKALSMYEELRKPSVVPHEPEPTMIPVAVEPDDSPLNTKHDALIRKPAGTRHGSHGGSSDDMMDDLDEMIFGKKGGDSSEGGQDSKNQQAPIDDLITL >KJB18441 pep chromosome:Graimondii2_0_v6:3:8077936:8080665:-1 gene:B456_003G052900 transcript:KJB18441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELFQGPNPADQLVEDATSEALDEPDWAVNLDICDMINHERVNSVDLIRGIKRRLMLKSPRIQYLSLVLLETCVKNCDQAFSEVAAERVLDEMVNLIDDPQTVVNNRNKALMLIEAWGESTSELRYLPIYEETYKRLKSKGICFPGRDNESLAPIFTPSHSISAPEVDVSLAHQFQHDMQLQHDIPVQSFTAEQTKEAFDVARNSIQLLSTVLSSSPQRDALKDDLTTTLVQQCRQSQSTVLRIIETAGNNEALLFEALNVNDDLQKALSMYEELRKPSVVPHEPEPTMIPVAVEPDDSPLNTKHDALIRKPAGTRHGSHGGSSDDMMDDLDEMIFGKKGGDSSEGGQDSKNQQAPIDDLITL >KJB18696 pep chromosome:Graimondii2_0_v6:3:13312377:13313636:-1 gene:B456_003G066100 transcript:KJB18696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNEDPAKNDTIKNIHDYSDSFSYYSQVDHLVDVKDIQNYHNSSYMNNESKNDEPHYHFNFCIDFYLCSQICIDSSILSGSDNFNDNYIYNYICGECGNSSDGNDLTLKESSNDLDLYKHLWVQCENCYGLNYKKLLNSDRIKLSIDPGTWGPMDEDMISLDPIEFQSEEELYKDHIDFYQRKKGLIEVIQIGTSKLNVYVGGMGIRVGEKITCLIEYATNNFLPLILVCASGGARMQEGSLSLMQMAKMSSLFYVSILTSPTTDGVTASFGMLGISLLLNLMLLLHLQVKE >KJB21032 pep chromosome:Graimondii2_0_v6:3:45111025:45112356:-1 gene:B456_003G179400 transcript:KJB21032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAAQYPSNVFLLSRNGQDGQQGGGVFVDQSHMFFNNGSNGTNNNTNININNNINQRKRGRELVTGTAAITTPMNSFTLQTPPPQMIDLSQLHHHHQPNVVVSTGLRLSSGDQQQSQNHGYLQQQQQPSSAFLSIISENLGIQIKRQREELDQFLQAQELRRTLAEKRQRHYHALLGAAEETVARRLREKEAEVEKAKRRNAELEARAAQVSVEVQVWQAKARAQEATAVSLQAQLQQAIMSGATAHDNRRGDEGLNCAGGGVERQPEDAESAYVDPDRVVASGPVCRACRKRAAAVVLLPCRHLCLCTECDRVAQACPLCLTARNSSVEVLLS >KJB21031 pep chromosome:Graimondii2_0_v6:3:45111016:45112674:-1 gene:B456_003G179400 transcript:KJB21031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAAQYPSNVFLLSRNGQDGQQGGGVFVDQSHMFFNNGSNGTNNNTNININNNINQRKRGRELVTGTAAITTPMNSFTLQTPPPQMIDLSQLHHHHQPNVVVSTGLRLSSGDQQQSQNHGYLQQQQQPSSAFLSIISENLGIQIKRQREELDQFLQAQGEELRRTLAEKRQRHYHALLGAAEETVARRLREKEAEVEKAKRRNAELEARAAQVSVEVQVWQAKARAQEATAVSLQAQLQQAIMSGATAHDNRRGDEGLNCAGGGVERQPEDAESAYVDPDRVVASGPVCRACRKRAAAVVLLPCRHLCLCTECDRVAQACPLCLTARNSSVEVLLS >KJB19666 pep chromosome:Graimondii2_0_v6:3:34460926:34468449:1 gene:B456_003G113100 transcript:KJB19666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENERTMNNIKGKGVAERSRANHNYSGEDEDDDKITSFSFPSVKSSPRNASSKYDFVKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEVNLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTATDAPLASTPVWERDFSSSEELVTEFCRECRIVLKGLNGDLKKAMKDGKPIIIEGIHLDPSIYLMDDEHKATTSVAEGHQPNPLSVASNSAVQVENNCTSTSGSNVVNSQNHLVHGVSADQVNKVSESLESITLATASENKGETVKAAEVNGSTSRKEKPGPKPIIIPIVLKMAEFDHKALLEERITTRTLSGKCVVQDTDKLITNLKTIQNYLCSFESQGLTVVNVAATTFPQTLDWLHGYLLQCIEQGISSESNENSRQPAEK >KJB19665 pep chromosome:Graimondii2_0_v6:3:34460926:34467509:1 gene:B456_003G113100 transcript:KJB19665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENERTMNNIKGKGVAERSRANHNYSGEDEDDDKITSFSFPSVKSSPRNASSKYDFVKVRVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEVNLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTATDAPLASTPVWERDFSSSEELVTEFCRECRIVLKGLNGDLKKAMKDGKPIIIEGIHLDPSIYLMDDEHKATTSVAEGHQPNPLSVASNSAVQVENNCTSTSGSNVVNSQNHLVHGVSADQVNKVSESLESITLATASENKGETVKAAEVNGSTSRKEKPGPKPIIIPIVLKMAEFDHKALLEERITTRTLSGKCVVQDTDKLITNLKTIQNYLCSFESQGLTVVNVAATTFPQTLDWLHGYLLQVHMLFHVNCKSQKCYAIWQQLQPGEMDDFLSCSQT >KJB19664 pep chromosome:Graimondii2_0_v6:3:34460926:34465140:1 gene:B456_003G113100 transcript:KJB19664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENERTMNNIKGKGVAERSRANHNYSGEDEDDDKITSFSFPSVKSSPRNASSKYDFVKVRVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEVNLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTATDAPLASTPVWERDFSSSEELVTEFCRECRIVLKGLNGDLKKAMKDGKPIIIEGIHLDPSIYLMDDEHKATTSVAEGHQPNPLSVASNSAVQVENNCTSTSGSNVVNSQNHLVHGVSADQVNKVSESLESITLATASENKGETVKAAEVNGSTSRKEKPGPKPIIIPIVLKMAEFDHKV >KJB19667 pep chromosome:Graimondii2_0_v6:3:34461404:34468449:1 gene:B456_003G113100 transcript:KJB19667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTATDAPLASTPVWERDFSSSEELVTEFCRECRIVLKGLNGDLKKAMKDGKPIIIEGIHLDPSIYLMDDEHKATTSVAEGHQPNPLSVASNSAVQVENNCTSTSGSNVVNSQNHLVHGVSADQVNKVSESLESITLATASENKGETVKAAEVNGSTSRKEKPGPKPIIIPIVLKMAEFDHKALLEERITTRTLSGKCVVQDTDKLITNLKTIQNYLCSFESQGLTVVNVAATTFPQTLDWLHGYLLQCIEQGISSESNENSRQPAEK >KJB19663 pep chromosome:Graimondii2_0_v6:3:34460886:34468600:1 gene:B456_003G113100 transcript:KJB19663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENERTMNNIKGKGVAERSRANHNYSGEDEDDDKITSFSFPSVKSSPRNASSKYDFVKVRVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEVNLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTATDAPLASTPVWERDFSSSEELVTEFCRECRIVLKGLNGDLKKAMKDGKPIIIEGIHLDPSIYLMDDEHKATTSVAEGHQPNPLSVASNSAVQVENNCTSTSGSNVVNSQNHLVHGVSADQVNKVSESLESITLATASENKGETVKAAEVNGSTSRKEKPGPKPIIIPIVLKMAEFDHKALLEERITTRTLSGKCVVQDTDKLITNLKTIQNYLCSFESQGLTVVNVAATTFPQTLDWLHGYLLQCIEQGISSESNENSRQPAEK >KJB17272 pep chromosome:Graimondii2_0_v6:3:30000198:30000398:-1 gene:B456_003G096400 transcript:KJB17272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNLNLEHFISSGGEIEFHEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPAPSHQWTPDVNGGL >KJB17444 pep chromosome:Graimondii2_0_v6:3:30916207:30918142:-1 gene:B456_003G100600 transcript:KJB17444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPISSAPIELPPWTDIVKGGKLKELPPYDPDWYYIRAASMARKIYLRGGLGVGAFRRIYGGAKRNGSRPRHFCKSSGSIARHILQQLQNVNIIDLDTKGGRKITSNGQRDLDQVVGRIAVAP >KJB17443 pep chromosome:Graimondii2_0_v6:3:30916207:30918136:-1 gene:B456_003G100600 transcript:KJB17443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPISSAPARIELPPWTDIVKGGKLKELPPYDPDWYYIRAASMARKIYLRGGLGVGAFRRIYGGAKRNGSRPRHFCKSSGSIARHILQQLQNVNIIDLDTKGGRKITSNGQRDLDQVVGRIAVAP >KJB20994 pep chromosome:Graimondii2_0_v6:3:44798073:44799685:-1 gene:B456_003G176800 transcript:KJB20994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTNLIINAATNGDIDALYELIAEDPHALDYSDSQPCVLTPLHIAVAEGNTNFALEIMKLYPSLSKKLDPSGWCPFHVALLNNQIATVFRLLETEPDLVRLKGREGLTSLHLAVGSLDGIKHNLLREFLAVSPLSITDTTNRGQTALHIAVNNGNTEALKVLLSFLRRSMYRNALYWEHKVLNWKDENGETVLHVAVKKNDIEAVKLLLNCNIKVNARNLHSYTAVDIAIENNNSEVLNVLNKVGAKDGILIEEMKQLTLEAKPTLLDNVIRFVKGQKIDISSETRDALLVVAALVATATFQAVLSPPGGLRQADSSDNDSLPFSKVGKVVMKEWLFIIFLILNGTSFWVTIITIYLLLPTGFYGQLLTLPLILFSITYLFCSTIISPSLVCAIVNFSFFIFCVALLSLGVVLVSNRSLLSYIKKLWPRCR >KJB21123 pep chromosome:Graimondii2_0_v6:3:45492589:45500696:-1 gene:B456_003G183800 transcript:KJB21123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPAGSFSGERENDGDATKKKKKQRKVPLLKLFSFADFYDHVLMGLGSLGACVHGASVPVFFIFFGKLINIIGMAYLFPKEASHKVAKYSLDFVYLSVAILFSSWIEVACWMHTGERQAAKMRMAYLKSMLNQDISLFDTEASTGEVISAITSDIIVVQDALSEKVGNFMHYISRFIAGFSIGFARVWQISLVTLSIVPLIALAGGIYAYVATGLIARVRNSYVKAGEIAEEVIGNVRTVQAFAGEERAVKSYKDALMNTYTYGKKAGLTKGLGLGSLHCVLFVSWALLVWFTSIVVHKNIANGGDSFTTMLNVVISGLSLGQAAPDISAFIRARAAAYPIFEMIERNTVSKTSSKTGRKLSKVEGNIELKNVSFSYPSRPDVVIFDRFSLNIPAGKIVALVGGSGSGKSTVISLIERFYEPLAGEILLDGNNIKDLDLKWLRQQIGLVNQEPALFATTIRENILYGKDDATLDEITRAAKLSEAIAFINNLPDRFETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVVAHRLSTIRNADVIAVVQSGKIVETGTHDELISNPNSTYSSLVQLQETSPLQRYPSQGPTLSRPLSVSYSRELSRTRTSFGESFLSEKDSVSRAGADAIDAGKQSYVSPGRLYSMIGPDWYYGVFGTVNALIAGAQMPLFALGVSQALVAYYMDWETTCHEVKKIAILFCCAAVITVIVHAIEHLCFGIMGERLTLRVREGMFSAILKNEIGWFDDLNNASSMLASRLETDATFLRGVVVDRTSILIQNVGLVIAAFIIAFILNWRITLIILATFPLIISGHISEKLFMQGYGGNLSKAYLKANMIAGEAVSNMRTVAAFCAEEKILDLYARELIEPSERSFKRGQIAGIFYGISQFFIFSSYGLALWYGSVLMGKELASFKSVMKSFMVLIVTALAMGETLALVPDLLKGNQMVASVFEIMDRKTQVVGDAGEELTNVEGKSMALVGQSGSGKSSVLALILRFYDPTSGKVMIDGRDIKKLKLKSLRKHIGLVQQEPALFATSIYENILYGKEGASESEVIEAAKLANAHSFISSLPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMRNRTTVMVAHRLSTIKNADRISVIQGGRIIEQGTHSSLIENRNGPYFKLINLQQQQQLEQ >KJB21121 pep chromosome:Graimondii2_0_v6:3:45492558:45500859:-1 gene:B456_003G183800 transcript:KJB21121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLHFFIIFHSLFTKLLYIPFCIFQTKKKKTMTPPAGSFSGERENDGDATKKKKKQRKVPLLKLFSFADFYDHVLMGLGSLGACVHGASVPVFFIFFGKLINIIGMAYLFPKEASHKVAKYSLDFVYLSVAILFSSWIEVACWMHTGERQAAKMRMAYLKSMLNQDISLFDTEASTGEVISAITSDIIVVQDALSEKVGNFMHYISRFIAGFSIGFARVWQISLVTLSIVPLIALAGGIYAYVATGLIARVRNSYVKAGEIAEEVIGNVRTVQAFAGEERAVKSYKDALMNTYTYGKKAGLTKGLGLGSLHCVLFVSWALLVWFTSIVVHKNIANGGDSFTTMLNVVISGLSLGQAAPDISAFIRARAAAYPIFEMIERNTVSKTSSKTGRKLSKVEGNIELKNVSFSYPSRPDVVIFDRFSLNIPAGKIVALVGGSGSGKSTVISLIERFYEPLAGEILLDGNNIKDLDLKWLRQQIGLVNQEPALFATTIRENILYGKDDATLDEITRAAKLSEAIAFINNLPDRFETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVVAHRLSTIRNADVIAVVQSGKIVETGTHDELISNPNSTYSSLVQLQETSPLQRYPSQGPTLSRPLSVSYSRELSRTRTSFGESFLSEKDSVSRAGADAIDAGKQSYVSPGRLYSMIGPDWYYGVFGTVNALIAGAQMPLFALGVSQALVAYYMDWETTCHEVKKIAILFCCAAVITVIVHAIEHLCFGIMGERLTLRVREGMFSAILKNEIGWFDDLNNASSMLASRLETDATFLRGVVVDRTSILIQNVGLVIAAFIIAFILNWRITLIILATFPLIISGHISEKLFMQGYGGNLSKAYLKANMIAGEAVSNMRTVAAFCAEEKILDLYARELIEPSERSFKRGQIAGIFYGISQFFIFSSYGLALWYGSVLMGKELASFKSVMKSFMVLIVTALAMGETLALVPDLLKGNQMVASVFEIMDRKTQVVGDAGEELTNVEGTIELKGVHFSYPSRPDVVIFKDFDLKVRSGKSMALVGQSGSGKSSVLALILRFYDPTSGKVMIDGRDIKKLKLKSLRKHIGLVQQEPALFATSIYENILYGKEGASESEVIEAAKLANAHSFISSLPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMRNRTTVMVAHRLSTIKNADRISVIQGGRIIEQGTHSSLIENRNGPYFKLINLQQQQQLEQ >KJB21122 pep chromosome:Graimondii2_0_v6:3:45493881:45500696:-1 gene:B456_003G183800 transcript:KJB21122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPAGSFSGERENDGDATKKKKKQRKVPLLKLFSFADFYDHVLMGLGSLGACVHGASVPVFFIFFGKLINIIGMAYLFPKEASHKVAKYSLDFVYLSVAILFSSWIEVACWMHTGERQAAKMRMAYLKSMLNQDISLFDTEASTGEVISAITSDIIVVQDALSEKVGNFMHYISRFIAGFSIGFARVWQISLVTLSIVPLIALAGGIYAYVATGLIARVRNSYVKAGEIAEEVIGNVRTVQAFAGEERAVKSYKDALMNTYTYGKKAGLTKGLGLGSLHCVLFVSWALLVWFTSIVVHKNIANGGDSFTTMLNVVISGLSLGQAAPDISAFIRARAAAYPIFEMIERNTVSKTSSKTGRKLSKVEGNIELKNVSFSYPSRPDVVIFDRFSLNIPAGKIVALVGGSGSGKSTVISLIERFYEPLAGEILLDGNNIKDLDLKWLRQQIGLVNQEPALFATTIRENILYGKDDATLDEITRAAKLSEAIAFINNLPDRFETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVVAHRLSTIRNADVIAVVQSGKIVETGTHDELISNPNSTYSSLVQLQETSPLQRYPSQGPTLSRPLSVSYSRELSRTRTSFGESFLSEKDSVSRAGADAIDAGKQSYVSPGRLYSMIGPDWYYGVFGTVNALIAGAQMPLFALGVSQALVAYYMDWETTCHEVKKIAILFCCAAVITVIVHAIEHLCFGIMGERLTLRVREGMFSAILKNEIGWFDDLNNASSMLASRLETDATFLRGVVVDRTSILIQNVGLVIAAFIIAFILNWRITLIILATFPLIISGHISEKLFMQGYGGNLSKAYLKANMIAGEAVSNMRTVAAFCAEEKILDLYARELIEPSERSFKRGQIAGIFYGISQFFIFSSYGLALWYQSFSHTYA >KJB21124 pep chromosome:Graimondii2_0_v6:3:45492589:45500696:-1 gene:B456_003G183800 transcript:KJB21124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPAGSFSGERENDGDATKKKKKQRKVPLLKLFSFADFYDHVLMGLGSLGACVHGASVPVFFIFFGKLINIIGMAYLFPKEASHKVAKYSLDFVYLSVAILFSSWIEVACWMHTGERQAAKMRMAYLKSMLNQDISLFDTEASTGEVISAITSDIIVVQDALSEKVGNFMHYISRFIAGFSIGFARVWQISLVTLSIVPLIALAGGIYAYVATGLIARVRNSYVKAGEIAEEVIGNVRTVQAFAGEERAVKSYKDALMNTYTYGKKAGLTKGLGLGSLHCVLFVSWALLVWFTSIVVHKNIANGGDSFTTMLNVVISGLSLGQAAPDISAFIRARAAAYPIFEMIERNTVSKTSSKTGRKLSKVEGNIELKNVSFSYPSRPDVVIFDRFSLNIPAGKIVALVGGSGSGKSTVISLIERFYEPLAGEILLDGNNIKDLDLKWLRQQIGLVNQEPALFATTIRENILYGKDDATLDEITRAAKLSEAIAFINNLPDRFETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVVAHRLSTIRNADVIAVVQSGKIVETGTHDELISNPNSTYSSLVQLQETSPLQRYPSQGPTLSRPLSVSYSRELSRTRTSFGESFLSEKDSVSRAGADAIDAGKQSYVSPGRLYSMIGPDWYYGVFGTVNALIAGAQMPLFALGVSQALVAYYMDWETTCHEVKKIAILFCCAAVITVIVHAIEHLCFGIMGERLTLRVREGMFSAILKNEIGWFDDLNNASSMLASRLETDATFLRGVVVDRTSILIQNVGLVIAAFIIAFILNWRITLIILATFPLIISGHISEKLFMQGYGGNLSKAYLKANMIAGEAVSNMRTVAAFCAEEKILDLYARELIEPSERSFKRGQIAGIFYGISQFFIFSSYGLALWYGSVLMGKELASFKSVMKSFMVLIVTALAMGETLALVPDLLKGNQMVASVFEIMDRKTQVVGDAGEELTNVEGTIELKGVHFSYPSRPDVVIFKDFDLKVRSGKSMALVGQSGSGKSSVLALILRFYDPTSGKVMIDGKDIKKLKLKSLRKHIGLVQQEPALFATSIYENILYGKEGASESEVIEAAKLANAHSFISSLPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMRNRTTVMVAHRLSTIKNADRISVIQGGRIIEQGTHSSLIENRNGPYFKLINLQQQQQLEQ >KJB19931 pep chromosome:Graimondii2_0_v6:3:37336110:37341741:-1 gene:B456_003G125400 transcript:KJB19931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELLASIGCHFRIPLKTMWLWISLVLVLQYSKTVLSDSNYLIGMGSYDITGPAADVNMMGYANTEQIASGIHFRLRARSFIVAEPQGKRVVFVNLDACMASQLVTIKVLERLKARYGNLYTEQNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDALVDGIEKSIVQAHENLQPGSIFVNKGELLDAGVNRSPSAYLNNPASERSKYKYNVDKEMTLLKFVDDQWGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFEQNSAKSDELGTDEIPRRVSTIISSIHNNHHELLELASSFQSSPGKPATRVSSAARHVRSALRQADKPGFVSAFCQTNCGDVSPNVLGAFCIDTGVPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFNKAVDLFNTASEQLKGKVDYRHSYVDFSQLEVTIPKEGGGSEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNPFWRLVRNLLKTPDKKQVQCHSPKPILLDTGEMKQPYDWAPSILPVQIFRIGQLVILSVPGEFTTMSGRRLRDAVKTMLTSSGSGEFGSNTHVVIAGLTNTYSQYITTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLASALIKGQAVEPGPQPPDLLKKQISFLTPVVMDSTPAGVNFGDVSSDVPANSTFKRGSTVTVVFWSACPRNDLMTEGTFALVEILQGKDSWVPTYDDDDFCLRFKWSRPSKLSPRSQATIEWTIPPSASLGVYRIRHFGAAKRLMGSIQHFTGTSSAFVVA >KJB19932 pep chromosome:Graimondii2_0_v6:3:37336157:37341687:-1 gene:B456_003G125400 transcript:KJB19932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELLASIGCHFRIPLKTMWLWISLVLVLQYSKTVLSDSNYLIGMGSYDITGPAADVNMMGYANTEQIASGIHFRLRARSFIVAEPQGKRVVFVNLDACMASQLVTIKVLERLKARYGNLYTEQNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDALVDGIEKSIVQAHENLQPGSIFVNKGELLDAGVNRSPSAYLNNPASERSKYKYNVDKEMTLLKFVDDQWGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFEQNSAKSDELGTDEIPRRVSTIISSIHNNHHELLELASSFQSSPGKPATRVSSAARHVRSALRQADKPGFVSAFCQTNCGDVSPNVLGAFCIDTGVPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFNKAVDLFNTASEQLKGKVDYRHSYVDFSQLEVTIPKEGGGSEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNPFWRLVRNLLKTPDKKQVQCHSPKPILLDTGEMKQPYDWAPSILPVQIFRIGQLVILSVPGAEFTTMSGRRLRDAVKTMLTSSGSGEFGSNTHVVIAGLTNTYSQYITTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLASALIKGQAVEPGPQPPDLLKKQISFLTPVVMDSTPAGVNFGDVSSDVPANSTFKRGSTVTVVFWSACPRNDLMTEGTFALVEILQGKDSWVPTYDDDDFCLRFKWSRPSKLSPRSQATIEWTIPPSASLGVYRIRHFGAAKRLMGSIQHFTGTSSAFVVA >KJB20293 pep chromosome:Graimondii2_0_v6:3:40656141:40658401:-1 gene:B456_003G142300 transcript:KJB20293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSHASVHPEEDPPTTDGTGGGNNNELPGVRMKDVQGMPGTLGGLVLWICQFAFAVVGLCVMATTSDFPPVTAFCFLVAATGLQSLWSLSLAIIDIYALLVRRSLQNSRVVTLFTIGDGITSTLTFAAACASAGITVLIDNNLDSCAQNHCTQFETSTAMAFVCWFTALPSFLLNFWSLASR >KJB18051 pep chromosome:Graimondii2_0_v6:3:2876863:2877857:1 gene:B456_003G031500 transcript:KJB18051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDKRLIEIFCDIFIKEILKGNRPGTHFTKDGWLKIMTNFEKETGKGFSQRQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQVVPEAKKFKTSGIDPEFEGKLDQMFIGIVATESEEENMRNDVHISNDVHISNDVQIDGNGQKRKNPEMSSSHFKTGKKKPSKQIGGAARLSTTSSLTPVMDPYGIPQAAKMLDSMSEEVPEASPLYFFALRLLLNKDKRIMFLSINPKIRALWLKTEMEDS >KJB19102 pep chromosome:Graimondii2_0_v6:3:21397667:21405400:-1 gene:B456_003G085100 transcript:KJB19102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGASLPTHQRRWASDTVPSKTTLSSSTSPGTDSNSAEEFVEVTLDLQDDDTIILRSVEPATVLNVDNGTDTPASASVSRSPTIKRSSSNRLRQFSQELKAEAVAKAKQFSQELKAELRKFSWGHGHAAQALSGFDSALAARALRKQRAQLDRTRSGAQKALRGLRFISNNKANAWEEVENNFNKLAKDGFLFRSDFAQCIGMKESKEFALEMFDALSRRRRLKVEKVSKEELYEYWSQITDQSFDSRLQIFFDMVDKNEDGRITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLSYSQALSYTSQALSQNLQGLRKKSRIRRMSTKLLYYLEENWKRIWVLSLWIMIMIGLFIWKFLQYKQKGAFVVMHYCVLAAKGAAETLKFNMALILLPVCRNTITWLRSTKLGLFVPFDDNINFHKTIAAAIVIGVILHAGNHLACDFPRLINSTPDEYSLYLHDFGARKPTYMDLVKGPEGVTGILMVICMAIAFILATKWFRRNLIKLPKPFDRITGFNAFWYSHHLFVIVYILLIIHGVFLYLVHIWYRKTTWMYLAVPVLLYAGERVLRFFRSGFYTVRLLKVAIYPGGVLTLQMSKPPQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRLFSEVCEPPVAGKSGLLRADETTKKSLPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQADLASDTSSTSDLSNGSNESTAPNRVSPKRKKTLKTTNAYFYWVTREQGSFDWFKGVMNEVADLDQRVKELISFISFLLIMFIDYVTLIFLSLNVHRSLKTVTLIW >KJB19100 pep chromosome:Graimondii2_0_v6:3:21398159:21405400:-1 gene:B456_003G085100 transcript:KJB19100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGASLPTHQRRWASDTVPSKTTLSSSTSPGTDSNSAEEFVEVTLDLQDDDTIILRSVEPATVLNVDNGTDTPASASVSRSPTIKRSSSNRLRQFSQELKAEAVAKAKQFSQELKAELRKFSWGHGHAAQALSGFDSALAARALRKQRAQLDRTRSGAQKALRGLRFISNNKANAWEEVENNFNKLAKDGFLFRSDFAQCIGMKESKEFALEMFDALSRRRRLKVEKVSKEELYEYWSQITDQSFDSRLQIFFDMVDKNEDGRITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLSYSQALSYTSQALSQNLQGLRKKSRIRRMSTKLLYYLEENWKRIWVLSLWIMIMIGLFIWKFLQYKQKGAFVVMHYCVLAAKGAAETLKFNMALILLPVCRNTITWLRSTKLGLFVPFDDNINFHKTIAAAIVIGVILHAGNHLACDFPRLINSTPDEYSLYLHDFGARKPTYMDLVKGPEGVTGILMVICMAIAFILATKWFRRNLIKLPKPFDRITGFNAFWYSHHLFVIVYILLIIHGVFLYLVHIWYRKTTWMYLAVPVLLYAGERVLRFFRSGFYTVRLLKVAIYPGGVLTLQMSKPPQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRLFSEVCEPPVAGKSGLLRADETTKKSLPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQADLASDTSSTSDLSNGSNESTAPNRVSPKRKKTLKTTNAYFYWVTREQGSFDWFKGVMNEVADLDQRVKELISFISFLLIMFIDYVTLIFLSLNVHRSLKTVTLIW >KJB19099 pep chromosome:Graimondii2_0_v6:3:21397172:21405595:-1 gene:B456_003G085100 transcript:KJB19099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGASLPTHQRRWASDTVPSKTTLSSSTSPGTDSNSAEEFVEVTLDLQDDDTIILRSVEPATVLNVDNGTDTPASASVSRSPTIKRSSSNRLRQFSQELKAEAVAKAKQFSQELKAELRKFSWGHGHAAQALSGFDSALAARALRKQRAQLDRTRSGAQKALRGLRFISNNKANAWEEVENNFNKLAKDGFLFRSDFAQCIGMKESKEFALEMFDALSRRRRLKVEKVSKEELYEYWSQITDQSFDSRLQIFFDMVDKNEDGRITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLSYSQALSYTSQALSQNLQGLRKKSRIRRMSTKLLYYLEENWKRIWVLSLWIMIMIGLFIWKFLQYKQKGAFVVMHYCVLAAKGAAETLKFNMALILLPVCRNTITWLRSTKLGLFVPFDDNINFHKTIAAAIVIGVILHAGNHLACDFPRLINSTPDEYSLYLHDFGARKPTYMDLVKGPEGVTGILMVICMAIAFILATKWFRRNLIKLPKPFDRITGFNAFWYSHHLFVIVYILLIIHGVFLYLVHIWYRKTTWMYLAVPVLLYAGERVLRFFRSGFYTVRLLKVAIYPGGVLTLQMSKPPQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRLFSEVCEPPVAGKSGLLRADETTKKSLPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQADLASDTSSTSDLSNGSNESTAPNRVSPKRKKTLKTTNAYFYWVTREQGSFDWFKGVMNEVADLDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPKWKNVLSKLSSKHCNARIGVFYCGAPVLAKELSKLCYEFNQKSCTKFEFHKEHF >KJB19101 pep chromosome:Graimondii2_0_v6:3:21397667:21405523:-1 gene:B456_003G085100 transcript:KJB19101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGASLPTHQRRWASDTVPSKTTLSSSTSPGTDSNSAEEFVEVTLDLQDDDTIILRSVEPATVLNVDNGTDTPASASVSRSPTIKRSSSNRLRQFSQELKAEAVAKAKQFSQELKAELRKFSWGHGHAAQALSGFDSALAARALRKQRAQLDRTRSGAQKALRGLRFISNNKANAWEEVENNFNKLAKDGFLFRSDFAQCIGMKESKEFALEMFDALSRRRRLKVEKVSKEELYEYWSQITDQSFDSRLQIFFDMVDKNEDGRITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLSYSQALSYTSQALSQNLQGLRKKSRIRRMSTKLLYYLEENWKRIWVLSLWIMIMIGLFIWKFLQYKQKGAFVVMHYCVLAAKGAAETLKFNMALILLPVCRNTITWLRSTKLGLFVPFDDNINFHKTIAAAIVIGVILHAGNHLACDFPRLINSTPDEYSLYLHDFGARKPTYMDLVKGPEGVTGILMVICMAIAFILATKWFRRNLIKLPKPFDRITGFNAFWYSHHLFVIVYILLIIHGVFLYLVHIWYRKTTWMYLAVPVLLYAGERVLRFFRSGFYTVRLLKVAIYPGGVLTLQMSKPPQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRLFSEVCEPPVAGKSGLLRADETTKKSLPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQADLASDTSSTSDLSNGSNESTAPNRVSPKRKKTLKTTNAYFYWVTREQGSFDWFKGVMNEVADLDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPKWKNVLSKLSSKHCNARIGEPPILF >KJB19575 pep chromosome:Graimondii2_0_v6:3:33427221:33428828:-1 gene:B456_003G109200 transcript:KJB19575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGLMGRLASSWRKMEMAVNDAVSKSIVGKYFRLEARKTCFTTELRAGTATFMTMAYIIAVNATILADSGGTCSRADCSVPANQTAASPDCMFKPNAGYENCVSKTKSDLVVATVLSAMIGSFAMGMLANLPLGLAPGMGPNAYLAYNLVGYHGSGSMSYQTALAVVLVEACAFLVISSLGLRSKLARLIPDSVRLACAAGIGLFIAFVGLQIHQGVGLIGPDPSTLVTITACTTTDPLTGACIGGKMKSPTFWLAMAGFLITCYGLMKEVKGSMIYGILFTTLISWIRGTVFTYFPETPLGDSNYNYFKKVVDFHKIESTAGVISFSHFNSRAVWVALATLLYVDLLATTGVLYTMAEIGGFVNDNGGFEGEYLAYIVDSSSTIVGSALGVSPVATYVESSAGMKEGGRTGLTAVVIAAYFFFSLFFTPLLTSVPPWAVGPSLIMVGVMMMKVVKDIKWEDVKEAVPAFVTMVLMPLTYSISNGIIGGVGVHVALSLYDLGLRLIKWLNQMRKIVRNGQNQVSAGAESMVEII >KJB17819 pep chromosome:Graimondii2_0_v6:3:1180361:1181626:1 gene:B456_003G017000 transcript:KJB17819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTVPIRTDRLINSLPVDSDLRSLMREYFANFENTLEYCTALKDWLEHAPTNHSIIESAVKCYDEEAKLEVGTVEKNSVKALEELRRFKAAEEPFVMEFLELKRKALVRYESMQGKVCARKKTLEKKVESWETWRRVSVAFLVAAFISVLVFSVVAAVKYAKPVIIGLASALTTAIVPLGTWCNNSWKRNKEKIKMKKKLTAIMEIFGSSATTIRVLVEQLEIKKASLSHSVDYVLKEGYTLKAGMDDINEKLKLVTPIITDLLRETHDCSCKFRRDLKEIQRQMLHML >KJB18685 pep chromosome:Graimondii2_0_v6:3:12925678:12929350:1 gene:B456_003G065000 transcript:KJB18685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNWRKLDISDRTMLAARSKVWWLIFTAITMIGFFVVVSALVAAEPSSSPNQAPENNYVRKAFKFLELNNRQRYEHQWPDMRFCWQIVVGSVLGFLGAAFGSVGGVGGGGIFVPMLALIIGFDPKSSTAISKCMITGAAAATVCYNIRQRHPTLELPLIDYDLALLFQPMLVLGISIGVGFNVIFADWMITVLLIIVFIAMSTKSFLKGIQTWKKETIKKQETARHLELNGELTQHEVTTESKEGKPKVSLIENICWKELGLLVVVWILILALQIAKNYSTTCSVEYWVLNFLQIPVTVGVSSYEAVFLYKGRRKIASKGDAVANWRVHKLVIYCGFGVLAGVLGGMLGLGGGFILGPLFLEMGIPPQVSSATATFAMLFSASMSVVEYYLLKRFPIPYALYLAGVATIAAFVGQHVVGKVIKILGRSSIIIFILAGMIFGSAISLGGLGIAKMIKRIERKEYMGFEDICSYRP >KJB18684 pep chromosome:Graimondii2_0_v6:3:12925665:12929350:1 gene:B456_003G065000 transcript:KJB18684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAARSKVWWLIFTAITMIGFFVVVSALVAAEPSSSPNQAPENNYVRKAFKFLELNNRQRYEHQWPDMRFCWQIVVGSVLGFLGAAFGSVGGVGGGGIFVPMLALIIGFDPKSSTAISKCMITGAAAATVCYNIRQRHPTLELPLIDYDLALLFQPMLVLGISIGVGFNVIFADWMITVLLIIVFIAMSTKSFLKGIQTWKKETIKKQETARHLELNGELTQHEVTTESKEGKPKVSLIENICWKELGLLVVVWILILALQIAKNYSTTCSVEYWVLNFLQIPVTVGVSSYEAVFLYKGRRKIASKGDAVANWRVHKLVIYCGFGVLAGVLGGMLGLGGGFILGPLFLEMGIPPQVSSATATFAMLFSASMSVVEYYLLKRFPIPYALYLAGVATIAAFVGQHVVGKVIKILGRSSIIIFILAGMIFGSAISLGGLGIAKMIKRIERKEYMGFEDICSYRP >KJB19367 pep chromosome:Graimondii2_0_v6:3:30412950:30414279:1 gene:B456_003G097900 transcript:KJB19367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPFCSSDGLKKGAWTAEEDQKLISYIQKHGEGGWRFLPQKAGLQRCGKSCRLRWANYLRPGIKRGDFTPEEDKTIIKLQAELGNRWAAIARHLPNRTDNEIKNYWNAHLKKRLANMGADQVTVSGAASSSGNSDSNAVTDTECAKPQQSEPTKQRSASALLLNKLATRVTQCVGRLRASQTLQQPTMPFNGGAESSDIFCHPLPSSTPESTSWADNNNISNSLTIPECGTTNAIDERDSSSSAGVLNDIVASEFASPTCVDELSDWVNTNYSIEPEQVQIDYSDSMTVGYGGLWDDDVIVVDDDDYTVGSLGFL >KJB18999 pep chromosome:Graimondii2_0_v6:3:19720901:19721209:1 gene:B456_003G080200 transcript:KJB18999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIFREEIPLLCIIGLKGLDGPSIDAYPVILLSETRQLPRPSDNTCSTCLWEYQDNGKLRTIPNCEHCFHALCINEWLKLKCRNTPTVL >KJB18167 pep chromosome:Graimondii2_0_v6:3:3901917:3905524:-1 gene:B456_003G037100 transcript:KJB18167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATVSNWLSFSLSPMEMLRSSSEPQFVSYEGSSAATASAASPHYLIDNFYANEWTNPKHQTQQPAMAADESSILSSFHHHQVPKLEDFLGDSSSIVRYSDNSQTETQDSSLTHLTQIYDHHHVGAAAYFNDHQDLKAITGFQAFSTNSGSEVDDSASMGRTQLAAVEFPGHSNCPTAGSLSLGVNQTSEINTTTTNKAVVSVDSDCSKKIVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEEKAARAYDLAALKYWGPTATTNFPITNYSKELEEMKHVTKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGINAVTNFEMSRYDVEAIAKSSLPIGGAAKRLKISLESEQKPAVVNHEQQPQCSSNSNISFAPMQQSISTIPCGIPFDAAAFYQHNLYHHLQASNISISDLPGSSSTMTTTPTTLMSQPTADQFFLWPHQSY >KJB18166 pep chromosome:Graimondii2_0_v6:3:3901209:3905524:-1 gene:B456_003G037100 transcript:KJB18166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATVSNWLSFSLSPMEMLRSSSEPQFVSYEGSSAATASAASPHYLIDNFYANEWTNPKHQTQQPAMAADESSILSSFHHHQVPKLEDFLGDSSSIVRYSDNSQTETQDSSLTHLTQIYDHHHVGAAAYFNDHQDLKAITGFQAFSTNSGSEVDDSASMGRTQLAAVEFPGHSNCPTAGSLSLGVNQTSEINTTTTNKAVVSVDSDCSKKIVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEEKAARAYDLAALKYWGPTATTNFPITNYSKELEEMKHVTKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGINAVTNFEMSRYDVEAIAKSSLPIGGAAKRLKISLESEQKPAVVNHEQQPQCSSNSNISFAPMQQSISTIPCGIPFDAAAFYQHNLYHHLQASNISISDLPGSSSTMTTTPTTLMSQPTADQFFLWPHQSY >KJB17757 pep chromosome:Graimondii2_0_v6:3:886965:891761:-1 gene:B456_003G013800 transcript:KJB17757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSCGVSPSVRTQILNPISSRFFAPSRINFQPRSKCEMINRRGFALKGIVASGVSVMGSSLTSEPVQGVERLPFKPDGYNFWTWRGRKIHYVVQGEGFPIVLIHGFGASAFHWRYNIPELAKNYKVYAIDLLGFGWSEKAIIEYDAMIWRDQVVDFLKEVVKEPTVLVGNSLGGFTALVAAVGLPEQVVGLALLNSAGQFGDTKAKTTESEETFLQKFVLKPLKEVFQRVVLQVLFWQAKQPTRIESVLKNVYINTSNVDDYLVESIKMPADDPNAGEVYYRLMTRFMLNQTEYTLDSFLSKLTCPLLLLWGDLDPWVGPAKANRIKEFYPNTTLVNLKAGHCPHDEVPELANKALVDWLSTIAKKASSLQTV >KJB17760 pep chromosome:Graimondii2_0_v6:3:888203:891566:-1 gene:B456_003G013800 transcript:KJB17760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSCGVSPSVRTQILNPISSRFFAPSRINFQPRSKCEMINRRGFALKGIVASGVSVMGSSLTSEPVQGVERLPFKPDGYNFWTWRGRKIHYVVQGEGFPIVLIHGFGASAFHWRYNIPELAKNYKVYAIDLLGFGWSEKAIIEYDAMIWRDQVVDFLKEVVKEPTVLVGNSLGGFTALVAAVGLPEQVVGLALLNSAGQFGDTKAKTTESEETFLQKFVLKPLKEVFQRVVLQVLFWQAKQPTRIESVLKNARYLQPQLIRFFTDYRTFLISHRFRNAGIYQYLQCG >KJB17759 pep chromosome:Graimondii2_0_v6:3:886973:893780:-1 gene:B456_003G013800 transcript:KJB17759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSCGVSPSVRTQILNPISSRFFAPSRINFQPRSKCEMINRRGFALKGIVASGVSVMGSSLTSEPVQGVERLPFKPDGYNFWTWRGRKIHYVVQGEGFPIVLIHGFGASAFHWRYNIPELAKNYKVYAIDLLGFGWSEKAIIEYDAMIWRDQVVDFLKEVVKEPTVLVGNSLGGFTALVAAVGLPEQVVGLALLNSAGQFGDTKAKTTESEETFLQKFVLKPLKEVFQRVVLQVLFWQAKQPTRIESVLKNVYINTSNVDDYLVESIKMPADDPNAGEVYYRLMTRFMLNQTEYTLDSFLSKLTCPLLLLWGDLDPWVGPAKANRIKEFYPNTTLVNLKAGHCPHDEVPELANKALVDWLSTIAKKASSLQTV >KJB17756 pep chromosome:Graimondii2_0_v6:3:888082:891761:-1 gene:B456_003G013800 transcript:KJB17756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSCGVSPSVRTQILNPISSRFFAPSRINFQPRSKCEMINRRGFALKGIVASGVSVMGSSLTSEPVQGVERLPFKPDGYNFWTWRGRKIHYVVQGEGFPIVLIHGFGASAFHWRYNIPELAKNYKVYAIDLLGFGWSEKAIIEYDAMIWRDQVVDFLKEVVKEPTVLVGNSLGGFTALVAAVGLPEQVVGLALLNSAGQFGDTKAKTTESEETFLQKFVLKPLKEVFQRVVLQVLFWQAKQPTRIESVLKNARYLQPQLIRFFTDYRTFLISHRFRNAGIYQYLQCG >KJB17758 pep chromosome:Graimondii2_0_v6:3:886973:891825:-1 gene:B456_003G013800 transcript:KJB17758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSCGVSPSVRTQILNPISSRFFAPSRINFQPRSKCEMINRRGFALKGIVASGVSVMGSSLTSEPVQGVERLPFKPDGYNFWTWRGRKIHYVVQGEGFPIVLIHGFGASAFHWRYNIPELAKNYKVYAIDLLGFGWSEKAIIEYDAMIWRDQVVDFLKEVVKEPTVLVGNSLGGFTALVAAVGLPEQVVGLALLNSAGQFGDTKAKTTESEETFLQKFVLKPLKEVFQRVVLQVLFWQAKQPTRIESVLKNVYINTSNVDDYLVESIKMPADDPNAGEVYYRLMTRFMLNQTEYTLDSFLSKLTCPLLLLWGDLDPWVGPAKANRIKEFYPNTTLVNLKAGHCPHDEVPELANKALVDWLSTIAKKASSLQTV >KJB17874 pep chromosome:Graimondii2_0_v6:3:1581045:1582311:1 gene:B456_003G021200 transcript:KJB17874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPQLMNVVPGVALSTIISSLLESIRKAKRTEKRFKPLLDHLNDTVNWVTPRVVEISRSTDSHEIERLLNHLNRAKEIVDKSNRVSSWSYAKKYKFAKELIEVDNSIRTMLDVFFPVMIYEDTRKILDSMDELKMLVMIFFSIVVEDLHSGAKNTGGIVFDLIRSTASFEKVVNSQPAELVNDIALWKRKKISGSLFASSAATWLLQQVYEYNFLTIVSWVAIFAITALFIWRYVNRFLDREEATKLRLEKLREQVAMETANACWEVTDKVIRWVLYVTDVEGNCWSVFPQTVAFLLLFSYAGTFFDLPTLCR >KJB20805 pep chromosome:Graimondii2_0_v6:3:43595229:43596271:-1 gene:B456_003G166000 transcript:KJB20805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YKIRYLDNTFQPPCLNNSFVNVNLVKERSLPGESPRKSYLKAKRKIAKTEKDAQIKLKLYDPSEFHMINPSKRSRLGNPTGYKIVPGGTAASLLDHDDPPQLRSAFTNNQIWVTPYNKSEQWAGGLLTYQSRGDDTLAVWSERDRSIENKDIVLWYTLGFHHIPCQEDFPVMPTVTSGFELKPVNFFESNQIL >KJB19676 pep chromosome:Graimondii2_0_v6:3:34630211:34633177:1 gene:B456_003G113800 transcript:KJB19676 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 7 [Source:Projected from Arabidopsis thaliana (AT5G62390) UniProtKB/Swiss-Prot;Acc:Q9LVA0] MSRFRVTDIFDPYSPSLCVKETSILAHKPLAFPSFFEAEHELSSALDLLSPFRCLSPIDIYDNVTDLVQIERTPSFRSYKRVQRRVEPEFSIQTLCDRVTALESKFDRLVNGRNSGGDRKYTWTAEIKGPVERKYKWVAEIKDGKKTEEVKEKKYKWTTEIEGKGIDGPISRKYVFSASTGGDASECSKSEKKEKNEKKHQKKDKKGENVPRVVEIEEPSDHGAVVLRQAFSKRAGVIRNNRGKKKELSPQDAALVIQVTFRAYLIRRSQALRALRELAIAKTKLKEIRSYFNNFSYRRRVAQDAEERQRFSEKIIVLLLTVDAIEGADLMVRAAKKSMVDELEAMLDVVDPQPQGRSLSMRRTFDMPDSVIQKEIAEGVAEVVRMFESDADTV >KJB19675 pep chromosome:Graimondii2_0_v6:3:34630121:34633177:1 gene:B456_003G113800 transcript:KJB19675 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 7 [Source:Projected from Arabidopsis thaliana (AT5G62390) UniProtKB/Swiss-Prot;Acc:Q9LVA0] MSRFRVTDIFDPYSPSLCVKETSILAHKPLAFPSFFEAEHELSSALDLLSPFRCLSPIDIYDNVTDLVQIERTPSFRSYKRVQRRVEPEFSIQTLCDRVTALESKFDRLVNGRNSGGDRKYTWTAEIKGPVERKYKWVAEIKDGKKTEEVKEKKYKWTTEIEGKGIDGPISRKYVFSASTGGDASECSKSEKKEKNEKKHQKKDKKGENVPRVVEIEEPSDHGAVVLRQAFSKRAGVIRNNRGKKKELSPQDAALVIQVTFRAYLIRRSQALRALRELAIAKTKLKEIRSYFNNFSYRRRVAQDAEERQRFSEKIIVLLLTVDAIEGADLMVRAAKKSMVDELEAMLDVVDPQPQGRSLSMRRTFDMPDSVIQKEIAEGVAEVVRMFESDADTV >KJB20791 pep chromosome:Graimondii2_0_v6:3:43474296:43477274:1 gene:B456_003G165000 transcript:KJB20791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTAYTLYPWLLDLSKPKDGLFRAGLSFVMVILAISLWHLWFVKKSKKIVAQLPPGPRGLPIVGYLPFLGTDNLHLSFTELAATYGPIFKLWLGNKLCVVISSPELAKEVVRDHDVTFSERDPPIAAQVASFGCNDIAFDSSSNPRWKNKRKVLATELLTNARLNACYGLRREQVMNGLKDVYENVGKPIDIGKWTYLVALNVAISMILGGELPGEKGAAIEGNLKENSSESMVLLGKPNVSDIFPAIARFDIQGIERGMRKINQQFNRLLESVIEMAIDKEKDEKSSEQKLGFLELLLHLKRNNNEDNASPLTMDEVKGLLVDILVGGTDTTTTMVEWTMAELMQHPEIMEKVKKELSDVVGVNNTVEEFHLSNLSYLNAVIKETFRLHPALPLLVPRCPARSIHLNGYTIPKGIRLFINMWCIHRDPRIWENPLEFRPERFLNDSDNSNHYGNDFRFMPFGSGRRKCPGIPLGEKLLFFILASLLHSFEWRLPHGTVLDMSGKFGIVMKKKKPILLIPTPRLTNLDANC >KJB20221 pep chromosome:Graimondii2_0_v6:3:40069584:40070972:-1 gene:B456_003G138900 transcript:KJB20221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPAGSSRWCPTPEQVMILEEMYRSGVKTPNATQIQQITSHLSFYGKIEGKNVFYWFQNHKARERQKLRRKLTKQLQLQQQQLFHHYFDSLPSPPFQHLSYYNSPPPPQVGVHDAAAAAKQGINYTWKLDVSERMDVDKSMMKMYGGDLLMMVDLSTPSLSSPCFFTTATATTGPPPLKTLELFPVTASNLKEECNKNNNG >KJB20220 pep chromosome:Graimondii2_0_v6:3:40069761:40070841:-1 gene:B456_003G138900 transcript:KJB20220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPAGSSRWCPTPEQVMILEEMYRSGVKTPNATQIQQITSHLSFYGKIEGKNVFYWFQNHKARERQKLRRKLTKQLQLQQQQLFHHYFDSLPSPPFQHLSYYNSPPPPQQVGVHDAAAAAKQGINYTWKLDVSERMDVDKSMMKMYGGDLLMMVDLSTPSLSSPCFFTTATATTGPPPLKTLELFPVTASNLKEECNKNNNG >KJB20360 pep chromosome:Graimondii2_0_v6:3:41129350:41132318:1 gene:B456_003G144700 transcript:KJB20360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARPIYLFSLSVFTDGICKDYSFLLFANCSISASVVSLWILLSIWAFRFLAEALVLYDMTVTLFAIYICNTSSRIQIHLLCINSIETPIVWELKRMTVLKRYVLRLFVSLKYITANVVDRNNGRIVATASTVEHSIKNSLECGRSCNAKAATVVGEVLAMRLKVEGLEQGQGRGIHVDVNKEVEKKGFKNRTKVWAVVNALKNHGVKVVLEDIEDNPSRPSF >KJB20359 pep chromosome:Graimondii2_0_v6:3:41129350:41132318:1 gene:B456_003G144700 transcript:KJB20359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARPIYLFSLSVFTDGICKDYSFLLFANCSISASVVSLWILLSIWAFRFLIVWELKRMTVLKRYVLRLFVSLKYITANVVDRNNGRIVATASTVEHSIKNSLECGRSCNAKAATVVGEVLAMRLKVEGLEQGQGRGIHVDVNKEVEKKGFKNRTKVWAVVNALKNHGVKVVLEDIEDNPSRPSF >KJB18073 pep chromosome:Graimondii2_0_v6:3:3163934:3167079:1 gene:B456_003G032900 transcript:KJB18073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRQVSSTRRFVDSGNFPFSGALHSKSRSSPILSIALVVVGAILLIGYAYSGSGKFRGEAVSRIEGDYTCSLEVQRAIPFLKKAYGDSMHKVLHVGPDTCSVVSKLLKEEDTEAWGVEPYDIEDVEESCKSLVGKGIVRVADIKYPLPYKPKSFSLVIVSDALDYLSPKYLNKTLLELARVASDGLIIFAGTPGHQKAKVAELSKFGRPAKMRSSSWWIRFFDQISLKENETAVRKFEQAASKSSYLPACQVFHLNPYH >KJB17640 pep chromosome:Graimondii2_0_v6:3:546791:550726:1 gene:B456_003G009000 transcript:KJB17640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFFSLSGAGKWLGLVAAIWVQAICGNNYTFANYSDALKSLMSLTQLQLNNLSVAKDVGKAFGLVAGFASDCLPTSVLLIIGSVEGLIGYGAQWLVVSRRIHPLTYWQMCVFLCMGGNSTTWMNTAVLVTCMRNFPKNRGPVSGILKGYVGLSTAIFTDICTALFSSDPSTFLFILAVVPAIVCLTATFFLHEIPTPTKNPTELRQETLYFHVFNGIAIILAVYLLAFDITGNHGRVLSLAFAVGLLVLLATPLSVPLYSFISKPLSDSDIERPMKVSLLADQPKTTTTTGVELQYLERKRPSIGEDHTIVEMIRTFDFWVLFVSFLCGVGTGMCVMNNMGQMGIALGYLDVSIFISLTSIWGFFGRIASGLISEYYIWKFGTPRPLWNAASQVLMAIGYIAMALALPGSLYIGSILVGICYGVRLTITVPVASELFGLKYYGLLYNILILNLPLGSFLFSGLLAGYLYDAQATAVDGGGNTCVGAQCYCLVFVIMALTCVVGLGLDVLLGVRTRNVYVRIHESKRAIAVSATDRSSAKC >KJB20990 pep chromosome:Graimondii2_0_v6:3:44791726:44793734:-1 gene:B456_003G176700 transcript:KJB20990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNSEDGRELRSFKFKDEDQTQEVRAVERRILLETLANELPPETVRFSSKLGKIQSSENGETLLQLTNGTTLLAKIVIGCDGIRSPIANWMGFSEPKYAGYSAFRGLGVYPDGQPFAANVNYIYGRGLRAGYVPVSPTKVYWFICYNSPSSPGPKITDPALLRKQAKELVNNWPEELIRLIDLSPDETISKTPLVDRWLWPGLSPPASTGKVVLVGDAWHPMTPNLGQGACCALEDSVILTRKLANAIKSGPAAIEGALRAYGEERWPRVFPLTVRANLVGSLLQWDNQLVCSIRNNIVIPKLVRLGPVLEHTNFECEPLKA >KJB20992 pep chromosome:Graimondii2_0_v6:3:44791674:44792694:-1 gene:B456_003G176700 transcript:KJB20992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQQIVIGCDGIRSPIANWMGFSEPKYAGYSAFRGLGVYPDGQPFAANVNYIYGRGLRAGYVPVSPTKVYWFICYNSPSSPGPKITDPALLRKQAKELVNNWPEELIRLIDLSPDETISKTPLVDRWLWPGLSPPASTGKVVLVGDAWHPMTPNLGQGACCALEDSVILTRKLANAIKSGPAAIEGALRAYGEERWPRVFPLTVRANLVGSLLQWDNQLVCSIRNNIVIPKLVRLGPVLEHTNFECEPLKA >KJB20991 pep chromosome:Graimondii2_0_v6:3:44791652:44793942:-1 gene:B456_003G176700 transcript:KJB20991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTTSHMPRPSFYNSQGIRFSQKPSWFRAQPRTKLNPSVNIKASAGVRKEDIVIVGAGIAGLATAVSLRRLGIGSVVLEQAASLRTGGTSLTLFKNGWRVLDAIGVADTLRGQFLEIQGMAVNSEDGRELRSFKFKDEDQTQEVRAVERRILLETLANELPPETVRFSSKLGKIQSSENGETLLQLTNGTTLLAKIVIGCDGIRSPIANWMGFSEPKYAGYSAFRGLGVYPDGQPFAANVNYIYGRGLRAGYVPVSPTKVYWFICYNSPSSPGPKITDPALLRKQAKELVNNWPEELIRLIDLSPDETISKTPLVDRWLWPGLSPPASTGKVVLVGDAWHPMTPNLGQGACCALEDSVILTRKLANAIKSGPAAIEGALRAYGEERWPRVFPLTVRANLVGSLLQWDNQLVCSIRNNIVIPKLVRLGPVLEHTNFECEPLKA >KJB20993 pep chromosome:Graimondii2_0_v6:3:44791762:44792975:-1 gene:B456_003G176700 transcript:KJB20993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNSEDGRELRSFKFKDEDQTQEVRAVERRILLETLANELPPETVRFSSKLGKIQSSENGETLLQLTNGTTLLAKIVIGCDGIRSPIANWMGFSEPKYAGYSAFRGLGVYPDGQPFAANVNYIYGRGLRAGYVPVSPTKVYWFICYNSPSSPGPKITDPALLRKQAKELVNNWPEELIRLIDLSPDETISKTPLVDRWLWPGLSPPASTGKVVLVGDAWHPMTPNLGQGACCALEDSVILTRKLANAIKSGPAAIEGALRAYGEERWPRVFPLTVRANLVGSLLQWDNQLVCSIRNNIVIPKLVRLGPVLEHTNFECEPLKA >KJB19427 pep chromosome:Graimondii2_0_v6:3:31097257:31100241:-1 gene:B456_003G101300 transcript:KJB19427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSKIGGILKQTVSMQLNARLSESRPGLFQVFRSMSSDPSSKLFVGGISFQMDDQSLNEAFSKYGEVVEARVIVDRETGRSRGFGFITYTSTEDASSALQALDGQILHGRQVRVDYANDRPRRNFGGAGGYGGGGGYGGGGYGRNDGSNIGYSNSGNYGGQGSYGGDNYGTGGGVGYGSNFGQSTNYDNGSFEVAGGGSDGFAAGGENVGFGGGDQLGSAEDSYKEETAGFGLNDPPSDNFRDDEDRNGDLSKRV >KJB19326 pep chromosome:Graimondii2_0_v6:3:29852225:29855178:1 gene:B456_003G095600 transcript:KJB19326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSKLASSDVELYNGSSSSKDERMNAQVNGEEDDEEEIEAVARSVDTFDEDDDIVPEEIVDDVDDDEYNGANPEISKREKERLKEMQKLKKQKIQEILDTQNAAIDADMVRILGKMELASKEKSKASAVAVNAEIRRTKARLLEEVPKLQRLAVKKVKGISTEEMAARNDQMSSSPSTSSTAIKFDSDERFDNKYFQEFEQSSQFRQDYEMRKMKQAYQGLDMISEVLDTLKNMAHDMNEELDRQVPLMDEINTKVDKAAADFKNTNVRLKDTVTQLRSSRNFCIDIVLLCIVLGIAAYLYK >KJB19376 pep chromosome:Graimondii2_0_v6:3:30502766:30507760:-1 gene:B456_003G098600 transcript:KJB19376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKQAVSVTPALDHSGALRDNAGTVSFGSNSCRSRIGFSELEKKTTAGGGKKKKKNSNSGGVSDFGGVTGACGNELGDSGRANLRSDSLSLRLGNLQKYVEGEHVAAGWPAWLSAVAGEAIHGWVPLKADSFEKLEKIGQGTYSTVFRARDLESGKIVALKKVRFDNFEPESVRFMAREILILRRLDHPNVIKLEGIITSRMSCSIFLVFEYMEHDITGLLSCPDIKFSESQIKCYMKQLLSGLDHCHSRGIMHRDIKGSNLLVNNEGILKIADFGLANFYGSGKRQPLTSRVVTLWYRPPELLLGSTDYTAAVDLWSVGCVFAELLLGKPILQGRTEVEQLHKIFKLCGSPPDDYWKKSRLPHATLFKPQQPYNSCLQETFKILPATAVNLVETLLSVEPYKRGTASSALALEYFTTKPYTCDPSSLPVYPPSKEIDAKHREEANRKKISGRVRGPETRKPIRKPLGAAADTRGSRKINGNHEISKQRNATNNEGASNPSTDALEETCHVKHESQGDIPFSGPLQVASSSGFAWARRRKDDASGRSLSRSISRGHIFSSLEPSAPLHTRNDIDLKRHENGDVINGGRTDSRGHDSYEAAKRDMQKQWSQFEHPDSFDTSDGYHSQELSLALYRREEMAAKRNNPDSQDEVDKVEFSGPLLSQSHRVDELLERHERKIRQAIRKSWFQRGKTHGK >KJB19375 pep chromosome:Graimondii2_0_v6:3:30502766:30507680:-1 gene:B456_003G098600 transcript:KJB19375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKQAVSVTPALDHSGALRDNAGTVSFGSNSCRSRIGFSELEKKTTAGGGKKKKKNSNSGGVSDFGGVTGACGNELGDSGRANLRSDSLSLRLGNLQKYVEGEHVAAGWPAWLSAVAGEAIHGWVPLKADSFEKLEKIGQGTYSTVFRARDLESGKIVALKKVRFDNFEPESVRFMAREILILRRLDHPNVIKLEGIITSRMSCSIFLVFEYMEHDITGLLSCPDIKFSESQIKCYMKQLLSGLDHCHSRGIMHRDIKGSNLLVNNEGILKIADFGLANFYGSGKRQPLTSRVVTLWYRPPELLLGSTDYTAAVDLWSVGCVFAELLLGKPILQGRTEVEQLHKIFKLCGSPPDDYWKKSRLPHATLFKPQQPYNSCLQETFKILPATAVNLVETLLSVEPYKRGTASSALALEYFTTKPYTCDPSSLPVYPPSKEIDAKHREEANRKKISGRVRGPETRKPIRKPLGAAADTRGSRKINGNHEISKQRNATNNEGASNPSTDALEETCHVKHESQGDIPFSGPLQVASSSGFAWARRRKDDASGRSLSRSISRGHIFSSLEPSAPLHTRNDIDLKRHENGDVINGGRTDSRGHDSYEAAKRDMQKQWSQFEHPDSFDTSDGYHSQELSLALYRREEMAAKRNNPDEVDKVEFSGPLLSQSHRVDELLERHERKIRQAIRKSWFQRGKTHGK >KJB19458 pep chromosome:Graimondii2_0_v6:3:31754706:31756274:-1 gene:B456_003G103500 transcript:KJB19458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGQHILVYPFPTSGHFIPFLDLTHRLLNRRLTVTVLVTPNNLHLLDPLLTRHASSSLHHLLLPDMDPLPAPNLPARLRRLRDLHYPALLQWFQSLTSPPVAIFSDFFLGWTQSLASELGLRRIVFSPSSSFSYSLNMSLCRESLRIDDPQAEKQTLSFPDIPNSPKYPAYQINSIYRTYKDADPDKEFYRRNWLQNLESWGVVFNTFSDLEAIYIDHLKKEIMGHDRIWAVGPVLGDDGLDTTNRGGSSSVPFQELVAWLDSRDDNSVVYVSFGSHYVLTLKQMDVVASGLEESGVNFVWSVREPKASQVSRDHGVIPDGFEDRVAGKGFLIKGWAPQLAVLRHRAVGAFLTHCGWNSTLEGIAAGVVMLTWPMGADQFTNTKLLVDECGVGIRVGESTQNIPESSTLARMLVESLDGSRAERSQAKMLSEEALNAVNGGTSDNDLGSLVKAINEIKPSTGSNKI >KJB20487 pep chromosome:Graimondii2_0_v6:3:41884639:41886426:-1 gene:B456_003G151200 transcript:KJB20487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHSSIMFSVDRKDIAFVKPSKPTPSHLLSLSTIDNDLNLELMCHTVFVYQSNADFCTKGEEIKDPASIIKEALSNLLVYYYPLAGKMKRETDGKLRITCNTDDGVPFLVATANCKLSSLNYLDGIDVKTGKEFALDFPSESDDGYHPLVMQVTKFVCGGFTIALSLSHSVCDGFGAAQVFQALAELASGNNEPSVKPVWDRHLLVAKPIEAIPSDMLDKASSATSPYLPSTDIVHDCFYVTDDSIKTLKMNLIKESKDETVTSLEVLSAYIWRARFRALKLNPDGKTIFSMAVGMRRTVKPPLPEGYYGNAFTAANASMTGKELNEGSLTKAVKQIKEGKKLATNNDYIWNLMSINEKLRELNMKFEAASGATMVITDWRRLGLVEDIDFGWKGCVNMIPLPWNMFGYVDLVFLLPPCKLDQSMKGGARVLVSLPRAAIAKFREEMDALKHGDDAAGH >KJB18754 pep chromosome:Graimondii2_0_v6:3:14413107:14417268:1 gene:B456_003G068200 transcript:KJB18754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATIMAGARRLVSSRRRTEAPRRRPSPSTPPKKLRPMSEIMARAKYAVVERADYSDIICEQCGSGERPGELLLCDKCDKGFHMRCLRPIVVRIPIGSWLCPKCSGHRRVRTFSQKRIIDFFKIQKSGDGKKKCNLSQDTRKRRRRPLVLLKKRRRLLPFIPSEDPNQRLKQMGSLASALTAMQMEFSDDLTYSSDMAPRSANQAKFENGGMQVLSREDMETLELCRSMSRRGECPPFIVVFDSCEGYLYHEIICTAAVMLLNCLFSIFILIMWCSYTVEADAQIKDMTFIAEYTGDVDYIKNRENDDCDSMMTLLLATNPSESLVICPDKCGNIARFINGINNHTPEGKKKQNCKCVRYSVNGECRVLLVATRDIAKGERLYYDYNGYEHEYPTHHFV >KJB18753 pep chromosome:Graimondii2_0_v6:3:14412870:14417702:1 gene:B456_003G068200 transcript:KJB18753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATIMAGARRLVSSRRRTEAPRRRPSPSTPPKKLRPMSEIMARAKYAVVERADYSDIICEQCGSGERPGELLLCDKCDKGFHMRCLRPIVVRIPIGSWLCPKCSGHRRVRTFSQKRIIDFFKIQKSGDGKKKCNLSQDTRKRRRRPLVLLKKRRRLLPFIPSEDPNQRLKQMGSLASALTAMQMEFSDDLTYSSDMAPRSANQAKFENGGMQVLSREDMETLELCRSMSRRGECPPFIVVFDSCEGYTVEADAQIKDMTFIAEYTGDVDYIKNRENDDCDSMMTLLLATNPSESLVICPDKCGNIARFINGINNHTPEGKKKQNCKCVRYSVNGECRVLLVATRDIAKGERLYYDYNGYEHEYPTHHFV >KJB18205 pep chromosome:Graimondii2_0_v6:3:4198216:4206905:1 gene:B456_003G039000 transcript:KJB18205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRSLKSKAVHFVTDLTTGLLNPISDKSSKPPETLSEPKISQVESINKEDDRGDLVDGPDTSSFTAFLYSLLSSSESGHNVNLDEEDDREETGDGSDTKTKENGVRKSLLSKGKQSLRALYQATRIIGYRNQDNKGDSAKKSDDDDDDDDKGDTKFDGLEMRHMQNVEEPLDSEDLPETSEPSLLLTEKTRNALYGSLPALVQGRKWLLLYSTWRHGISLSTLYRRSVLWPGLSLLVVGDRKGAVFGGLVEAPLKPTNKKYQGTNNTFVFTNGPGGPVIYRPTGANRYFTLCSTEFLAIGGGGHFALYLDGELLNGSSSFSETYRNPCLASSKDFEVKEVELWGFVHGSKYEEILASSRIEMPGICRW >KJB21075 pep chromosome:Graimondii2_0_v6:3:45357130:45357489:1 gene:B456_003G182000 transcript:KJB21075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNCLNCRMLKRTIDSNNSRDHCSKAKHRRKIGFNDRARSEISPAAYEKLARDDDLVMPTIGPKKGHHCRANTIDTTYRAMTFEADGKPRLVRSSGMRRDWSFENLRDEKMRNEMRVH >KJB18392 pep chromosome:Graimondii2_0_v6:3:7294213:7297704:-1 gene:B456_003G050400 transcript:KJB18392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGYEGGLKMIEELTTNAEQIQDEVLREILSRNAGTEYLRGFLHGQTDKQLFKKNVPIVTYEDLKPYIDRIVNGETSDILLAEPITGFFLSSGTSAGQPKLMPVTAQVAKKWELFRGLYESPVIKHLVDINQAGKRMELMFARPEVETPSGLMATSVTTSIFKGSGFRASLPKLYTSPSETIFCPDPNQSLYCQLLFGLIQREEVVMIGSFFASTVLRAIKFLENHWQELSYDIKTGRLSHQITDSRCRNAASLVMKPNPEQADLIENICNCKSWDGIIRKLWPKARYIAGICTGVMRQYTAELEFYSGGLPLVSSLYASSEAFCGINIEPLCKPSDVSYTFLPNMAYFEFLPVKNERDESIEMKSNDEDTELVDLVNVKPGRCYELVVTNSTGLYRYKVGDVLMVSGFHNNAPQFQFVERKSVVLSVDMEKTSETDLFKAVTEAKALLNPLGFILTEYTSYADTSSVPGHYVLFWELKEKEGEHCKELDPKIMVECCFRIEESLHYTYKIYRKRNIIAALEIRVVKQGSFEALMDYCVSKGTSLSQYKKPSCIKSEEALNILDSRVTGKYFSPKSPL >KJB17935 pep chromosome:Graimondii2_0_v6:3:2268427:2271241:1 gene:B456_003G025500 transcript:KJB17935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEAEIDRLPIDLLAHIFLMITSFTDLAQASGVCRKWKQGVKQALARRQTLSFAGFKMDDDSTARLVHHAYSLKELDISRSRWGCQITDNGLCQISLAKCVSSLTSISLWGITGITDKGVVKLITRANSLQHLNVGGTFITDESLSAIADSCPRLKSIVLWSCRQVTETGLFVLVSKCRKLESINVWGTRVPLDCFIGLLTIRPALQIKPQGLPLNVMLPVV >KJB19656 pep chromosome:Graimondii2_0_v6:3:34417562:34420574:-1 gene:B456_003G112800 transcript:KJB19656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAEGIPGERGQYNGKVTLLVVLSCVVAASGGLIFGYDLGVSGGVTSMDPFLKKFFPYVYRRMKEGTKISNYCKFDSQLLTLFTSSLYLSGLVSSLFASTVTRVFGRKASMLVAGVAVLIGSALGGAASNLYMLVFGRLFLGIGLGFGNQSIPLYISEMALPKHRGAMNIIFDTGVGIGVLIANIINFCTEKIDGGWGWRISLSMAAVPASILTIGAIILPDTPNSLIQKDNNLEKATRVLQRIRGTNDVQRELDDLLKASSISKTTKDPFKKILQRKYRPQLVMAAAIPFFAQMTGINVITFYAPILFRTIGLRESSSLLSAVVIRCFNVGCTFISMFVVDKQGRRVLFMLGGIQMLATQVTIGGFMTALLGDHGGLSKASAYVVLVLICIYISGFGLSWGPLGWLVPSEIYPLEVRSAGQSITVAVSFFFCFLIGQTFLAMLCHMKAGIFFFFGGWVALMTLFIYFFLPETKNVPIEKVEQLWKEHWFWKRFVGDEVDDSIYKLDK >KJB18274 pep chromosome:Graimondii2_0_v6:3:5657540:5660498:1 gene:B456_003G044000 transcript:KJB18274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLPFLLIFNLISYFPSSSHAQIADLILPLKAVNLGNWLVTEGWMKPSRFDGIINKDLLDGTQVQFLSTKLKKYLCAENGGGTVVVANRPSPSGWETFRLWRVSESCFNLRVFNKQFVGLGSQGVQAVLDTPTDTETFEIVRKDDDRNRVRFKASNGLFLQAQSETLVTADYAESSWDDSDPSVFIMTIVNTLQGEFQITNGYGPDKAPQVMQDHWNSYITEEDFNFMSANGLSAVRIPVGWWIAQDPTPPKPFVGGSSIALDNAFTWAEKYGMKVIIDLHAAKASQNGDEHSGARDGFLEWADSNIDETVAVIEFLAARYGVRPNLAAIALMNEPRAPGVTLDALTKYYKAAYDAIRKYTNAYVILSARLGPADPKELFSLASTMNRVAIDVHYYNLFSDSFSGMTVQQNIDFVNNQRASDLGSLTSANGPLVLVVVFFLQGNGLQNLLETMHQWKITRDLLKHS >KJB18276 pep chromosome:Graimondii2_0_v6:3:5657540:5660498:1 gene:B456_003G044000 transcript:KJB18276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLPFLLIFNLISYFPSSSHAQIADLILPLKAVNLGNWLVTEGWMKPSRFDGIINKDLLDGTQVQFLSTKLKKYLCAENGGGTVVVANRPSPSGWETFRLWRVSESCFNLRVFNKQFVGLGSQGVQAVLDTPTDTETFEIVRKDDDRNRVRFKASNGLFLQAQSETLVTADYAESSWDDSDPSVFIMTIVNTLQGEFQITNGYGPDKAPQVMQDHWNSYITEEDFNFMSANGLSAVRIPVGWWIAQDPTPPKPFVGGSSIALDNAFTWAEKYGMKVIIDLHAAKASQNGDEHSGARDGFLEWADSNIDETVAVIEFLAARYGVRPNLAAIALMNEPRAPGVTLDALTKYYKAAYDAIRKYTNAYVILSARLGPADPKELFSLASTMNRVAIDVHYYNLFSDSFSGMTVQQNIDFVNNQRASDLGSLTSANGPLVLVGNLSCGTGLVLAICKCSRNEFQSGVFFAGEWTAEFARNDASMEDYQRFAKAQLDVYGRATFGWAYWAYNCDRNHWSLKWMIENNFIQLK >KJB18275 pep chromosome:Graimondii2_0_v6:3:5657540:5660498:1 gene:B456_003G044000 transcript:KJB18275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLPFLLIFNLISYFPSSSHAQIADLILPLKAVNLGNWLVTEGWMKPSRFDGIINKDLLDGTQVQFLSTKLKKYLCAENGGGTVVVANRPSPSGWETFRLWRVSESCFNLRVFNKQFVGLGSQGVQAVLDTPTDTETFEIVRKDDDRNRVRFKASNGLFLQAQSETLVTADYAESSWDDSDPSVFIMTIVNTLQGEFQITNGYGPDKAPQVMQDHWNSYITEEDFNFMSANGLSAVRIPVGWWIAQDPTPPKPFVGGSSIALDNAFTWAEKYGMKVIIDLHAAKASQNGDEHSGARDGFLEWADSNIDETVAVIEFLAARYGVRPNLAAIALMNEPRAPGVTLDALTKYYKAAYDAIRKYTNAYVILSARLGPADPKELFSLASTMNRVAIDVHYYNLFSDSFSGMTVQQNIDFVNNQRASDLGSLTSANGPLVLGNGLQNLLETMHQWKITRDLLKHS >KJB18273 pep chromosome:Graimondii2_0_v6:3:5657338:5660552:1 gene:B456_003G044000 transcript:KJB18273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLPFLLIFNLISYFPSSSHAQIADLILPLKAVNLGNWLVTEGWMKPSRFDGIINKDLLDGTQVQFLSTKLKKYLCAENGGGTVVVANRPSPSGWETFRLWRVSESCFNLRVFNKQFVGLGSQGVQAVLDTPTDTETFEIVRKDDDRNRVRFKASNGLFLQAQSETLVTADYAESSWDDSDPSVFIMTIVNTLQGEFQITNGYGPDKAPQVMQDHWNSYITEEDFNFMSANGLSAVRIPVGWWIAQDPTPPKPFVGGSSIALDNAFTWAEKYGMKVIIDLHAAKASQNGDEHSGARDGFLEWADSNIDETVAVIEFLAARYGVRPNLAAIALMNEPRAPGVTLDALTKYYKAAYDAIRKYTNAYVILSARLGPADPKELFSLASTMNRVAIDVHYYNLFSDSFSGMTVQQNIDFVNNQRASDLGSLTSANGPLVLVGEWTAEFARNDASMEDYQRFAKAQLDVYGRATFGWAYWAYNCDRNHWSLKWMIENNFIQLK >KJB18277 pep chromosome:Graimondii2_0_v6:3:5657540:5660500:1 gene:B456_003G044000 transcript:KJB18277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLPFLLIFNLISYFPSSSHAQIADLILPLKAVNLGNWLVTEGWMKPSRFDGIINKDLLLWRVSESCFNLRVFNKQFVGLGSQGVQAVLDTPTDTETFEIVRKDDDRNRVRFKASNGLFLQAQSETLVTADYAESSWDDSDPSVFIMTIVNTLQGEFQITNGYGPDKAPQVMQDHWNSYITEEDFNFMSANGLSAVRIPVGWWIAQDPTPPKPFVGGSSIALDNAFTWAEKYGMKVIIDLHAAKASQNGDEHSGARDGFLEWADSNIDETVAVIEFLAARYGVRPNLAAIALMNEPRAPGVTLDALTKYYKAAYDAIRKYTNAYVILSARLGPADPKELFSLASTMNRVAIDVHYYNLFSDSFSGMTVQQNIDFVNNQRASDLGSLTSANGPLVLVGEWTAEFARNDASMEDYQRFAKAQLDVYGRATFGWAYWAYNCDRNHWSLKWMIENNFIQLK >KJB17914 pep chromosome:Graimondii2_0_v6:3:2008059:2009388:-1 gene:B456_003G023700 transcript:KJB17914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSEQNFRLNWAALGYGEKRQEEGPDYTIFVGDLAADVSDYMLQETFKAVYPSVKSAKVVTDRTSC >KJB21135 pep chromosome:Graimondii2_0_v6:3:45542404:45545498:1 gene:B456_003G184500 transcript:KJB21135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWEIALGTAYFLGLKRSYKLALKIQRRIISRNQPRLQQFVQRRTRVVFDIALKVHRNIQHRDLEVGRNLGDCILRWLHKIKPSAQIRGPPPHQEPNHGTGKANMNMPKQVTNTSSPKTPRSNQSPRNHDAGRHLFSSLTSTWSKSFPTTIAMMMQPPTATGNMTRCRHLSINGPDASRLNYTRDQGVVRKDIMQWMVRK >KJB19475 pep chromosome:Graimondii2_0_v6:3:32308522:32309034:1 gene:B456_003G104800 transcript:KJB19475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITALQCIHQGIKRDSACQTHETPKQVHSKTFYVGYETPKWESFIELASENNRVYPHFCNSTAYNIVKFIGITCH >KJB17780 pep chromosome:Graimondii2_0_v6:3:1009561:1012071:-1 gene:B456_003G015300 transcript:KJB17780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRKHSQKDDSDQRDSKVSELRTAIGPLSGRSAKYCTDACLRRYLEARNWNVDKAKKMLEETLKWRLTYKPEEIRWHEVAHEGETGKVSRANFHDHQGRTVLIMRPGMQNTKSAENNIRHLVYLLENAIMNLAEGQEQMSWLIDFTGFSLNTSIPIKVARDIVYILQGHYPERLAVAFLCNPPKIFEAFYKAVKYFLDPKTAQKVKFVYPKNKDSMELMKSYFDVEDLPVEFGGNGTLKYDHEEFSRLMAEDDVKTAKFWGIDDKPYHIANGSGHSAAEVAPEPVAPIAQRVS >KJB17779 pep chromosome:Graimondii2_0_v6:3:1009764:1011723:-1 gene:B456_003G015300 transcript:KJB17779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRKHSQKDDSDQRDSKVSELRTAIGPLSGRSAKYCTDACLRRYLEARNWNVDKAKKMLEETLKWRLTYKPEEIRWHEVAHEGETGKVSRANFHDHQGRTVLIMRPGMQNTKSAENNIRHLVYLLENAIMNLAEGQEQMSWLIDFTGFSLNTSIPIKVARDIVYILQGHYPERLAVAFLCNPPKIFEAFYKAVKYFLDPKTAQKVKFVYPKNKDSMELMKSYFDVEDLPVEFGGNGTLKYDHEEFSRLMAEDDVKTAKFWGIDDKPYHIANGSGHSAAEVAPEPVAPIAQRVS >KJB17784 pep chromosome:Graimondii2_0_v6:3:1009561:1012209:-1 gene:B456_003G015300 transcript:KJB17784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRKHSQKDDSDQRDSKVSELRTAIGPLSGRSAKYCTDACLRRYLEARNWNVDKAKKMLEETLKWRLTYKPEEIRWHEVAHEGETGKVSRANFHDHQGRTVLIMRPGMQNTKSAENNIRHLVYLLENAIMNLAEGQEQMSWLIDFTGFSLNTSIPIKVARDIVYILQGHYPERLAVAFLCNPPKIFEAFYKAVKYFLDPKTAQKVKFVYPKNKDSMELMKSYFDVEDLPVEFGGNGTLKYDHEEFSRLMAEDDVKTAKFWGIDDKPYHIANGSGHSAAEVAPEPVAPIAQRVS >KJB17783 pep chromosome:Graimondii2_0_v6:3:1009561:1012132:-1 gene:B456_003G015300 transcript:KJB17783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPINEIQRLEKTRNYRVVKSSMLLKQGSSLFGEFCFLCRVSELRTAIGPLSGRSAKYCTDACLRRYLEARNWNVDKAKKMLEETLKWRLTYKPEEIRWHEVAHEGETGKVSRANFHDHQGRTVLIMRPGMQNTKSAENNIRHLVYLLENAIMNLAEGQEQMSWLIDFTGFSLNTSIPIKVARDIVYILQGHYPERLAVAFLCNPPKIFEAFYKAVKYFLDPKTAQKVKFVYPKNKDSMELMKSYFDVEDLPVEFGGNGTLKYDHEEFSRLMAEDDVKTAKFWGIDDKPYHIANGSGHSAAEVAPEPVAPIAQRVS >KJB17781 pep chromosome:Graimondii2_0_v6:3:1009370:1012347:-1 gene:B456_003G015300 transcript:KJB17781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRKHSQKDDSDQRDSKVSELRTAIGPLSGRSAKYCTDACLRRYLEARNWNVDKAKKMLEETLKWRLTYKPEEIRWHEVAHEGETGKVSRANFHDHQGRTVLIMRPGMQNTKSAENNIRHLVYLLENAIMNLAEGQEQMSWLIDFTGFSLNTSIPIKVARDIVYILQGHYPERLAVAFLCNPPKIFEAFYKAVKYFLDPKTAQKVKFVYPKNKDSMELMKSYFDVEDLPVEFGGNGTLKYDHEEFSRLMAEDDVKTAKFWGIDDKPYHIANGSGHSAAEL >KJB17782 pep chromosome:Graimondii2_0_v6:3:1009399:1012342:-1 gene:B456_003G015300 transcript:KJB17782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRKHSQKDDSDQRDSKVSELRTAIGPLSGRSAKYCTDACLRRYLEARNWNVDKAKKMLEETLKWRLTYKPEEIRWHEVAHEGETGKVSRANFHDHQGRTVLIMRPGMQNTKSAENNIRHLVYLLENAIMNLAEGQEQMSWLIDFTGFSLNTSIPIKVARDIVYILQGHYPERLAVAFLCNPPKIFEAFYKAVKYFLDPKTAQKVKFVYPKNKDSMELMKSYFDVEDLPVEFGGNGTLKYDHEEFSRLMAEDDVKTAKFWGIDDKPYHIANGSGHSAAEVAPEPVAPIAQRVS >KJB17427 pep chromosome:Graimondii2_0_v6:3:32011:35794:-1 gene:B456_003G000700 transcript:KJB17427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHPAVSEPGQSRCLCKFCILVNRYSYFSCVVYLMLVFISTKMSVMAFMSFPFTVDPYNFLISFNPLLNCLFFL >KJB19975 pep chromosome:Graimondii2_0_v6:3:37870832:37872118:1 gene:B456_003G127700 transcript:KJB19975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSFIPILYKCQLSSNCYSFQKPVIDLLTFPSSWNLLYFPSDHPPQTLKIALFVKKWPQSSHAGGLERHALTLHLALAKRGHQVHIFTTSSPNTSIPNLVFHLSEPTVGGYLNQTVVWSQFRTQNSTATPFDIVHTESVGLMHAQAKDVTNLAVTWHGIAYESIHSDIIQELLRAPEEQQAAMVTKKVMRVVEEVRFFPRYSHHVATSDHAGDILKRIYMIPEERVHIILNGVDEAIFRPELSLGNELKHELGIPQNGSLILGMSGRLVKDKGHPLIFQALKEIFMENNRFQQSVVLVIAGDGPWGARYKDLGANILVLGPLGRADLAKFYNAIDIFVHPTLRGQGLDQTPLEAILSGKPVMTTRVASLTGSVIVGPELGYTFSPTVESLKKTLYRVWKDGKVVLEKKGKAARQKRVEIVHCHQNDSCI >KJB18596 pep chromosome:Graimondii2_0_v6:3:11312099:11321009:-1 gene:B456_003G062100 transcript:KJB18596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFERGRNPSKRTEHSSIVRSLSRSSWIMEDVFSGSMQSRRSGAGDEDEEALKWAAIQRLPTYDRLRTSIMQSFVDHEIVGNKVEHRQVDVTKLDMNERQKFIDMLFKVAEEDNEKFLKKLRNRIDKVGITLPTVEVRYQQLTIEANCYTGSRALPSLPNVARNIAESALGMLGIKLAKTTNLTILNEVSGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDQSLRVKGEVTYNGYRLNEFVPKKTSAYISQNDVHVGEMTVKETFDFSTRCQGVGTRYDLLSELARREKDAGIFPEADVDLFMKATAMEGVESSLITDYTLKLLGLDICKDIIVGDEMQRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTYQIVKCLQQVVHLTEATILMSLLQPAPETFDLFDDIILLSQGQIVYQGPREHILDFFEACGFKCPKRKDTADFLQEVTSKKDQEQYWADRSKPYRYITVSEFANRFKRFHVGMRLDNELSIPFDKSKGHIAALAFQKYSVSKMELLKACWDKEWLLIKRNSFIYVFKTVQIIIMAVVASTVFLQTELNTRSEQDGAIYVGALLFAMIINMFNGFSELSVMITRLPVFYKQRDLLFHPAWTFTLPSFLLKVPISALESVVWMAVTYYTMGFAPEASRFFKHFLLVFLIQQMASGLFRLIAGLCRTMIIANTGGALTLLLVFLLGGFMIPKREIPNWWEWAYWISPLTYSFNAITVNEMFAPRWMNKRASDNVTQLGVQILRNFDVPNDENWYWIGATALFGFAVLFNVLFTFALMYLNPLGKPQAVISEETEEKQEGIEGTKGEPRLRRPKSSKDSSPQSLSSAEALGKEIAMQRMSSGTNPNGMSQNESSLDAAIRVAPKRGMVLPFTPLAMSFDTVNYYVDMPLEMKAQGVTEGRLQLLLGVTSVFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKVKETFARISGYCEQNDIHSPQVTVKESLIYSAFLRLPKEVSNEEKMIFVDEVIELVELDNLKDVIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKIGGQVIYSGPLGKNSSKIIEYFESIPGTPKIKDKYNPATWMLEVSSLAAEVRLGIDFAKHYKSSSLYQRKKALVMELNTPPLGAKELYFASQYSQSTWGQFRSCFWKQWWTYWRSPDYNLVRYFFTLVAALMVGTIFWQVGTKRDTLSDLTMIIGAMYAAVFFVGINNCSTVQPIVAIERTVFYRERAAGMYSALPYALAQVLCEVPYILFQTTYYTLIVYAMVGFQWTAAKFFWFYFISFFSFLYFTYYGMMTVSITPNHQIAAILAAAFYAIFNLFSGFYIPRPRIPKWWIWYYWICPMAWTVYGLIVSQYGDIEATIKAPGFDPDPSVKSYIKDQYGYDSDFMGPVAGVLVGFAVFFAFMFACCIKKLNFQTR >KJB20793 pep chromosome:Graimondii2_0_v6:3:43533954:43534166:1 gene:B456_003G165200 transcript:KJB20793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNLPERSTFSSWGNFHSKECSNEANMKDNIFSPKLMPGHFLLPDPNDKYRKSFPRKFNFLGSFKNLSG >KJB18507 pep chromosome:Graimondii2_0_v6:3:9296160:9297870:1 gene:B456_003G056800 transcript:KJB18507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSELHSPSLPDNLQILSTSFCSFDFPSLSSQPNTTRMGVELENSNCTNLVFTYGTLKRGFANHVLLQDLMRTGDAVFKGTYRTLQKYPLVCGPYRVPFLLNMAGSGLRVTGELYAVSARGLDRLDELEGTSRGHYERRPIHLTPAGEEELLPCAAQAYYAHKSYEEEMWKRNGRKGFGVYSEKEAKGYVKRKDRPQNLSFLDHIRIFISSPSD >KJB19782 pep chromosome:Graimondii2_0_v6:3:35796435:35798474:1 gene:B456_003G118700 transcript:KJB19782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIHKFLNLVAPSFTFFSFVFLLPPIYFFNFFLSILRSVFSENLAGKVVVITGASSGIGEHLAYEYGRRGARLALTARREKSLQEIADRARDLGSPDVIVIRADVSKVDDCRRLVEETVNHFGRLDHLVNNAGINTVCMFEDAPPDMSLFRAIMDTNFWGSVYTTRFAVPHLKTSKGKIVVMSSAASWMNDPRTSVYNASKAALTAFFDTLRIELGSEVKITIVTPGFIESEITQGKFLQRDGNMEVQQDMRDVQVSSIPVGSASGCAQAIVNSASKGDRYLTQPSWFRVTYLWKLFFPELVEWSYRLSHFSRPGAPHQQAPSKKILDFTGLKNVVYPSSIQSPEIKTD >KJB20188 pep chromosome:Graimondii2_0_v6:3:39643006:39643740:-1 gene:B456_003G137200 transcript:KJB20188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKELRFKGTLHLLLLAITMKYYVNGTRFLHHDDTLPPRPTPIANTTPVIGPAMEPSSTPALSFYMHDIFGGSTPSVRVVAGVIAIAKRPTPSRANEIPFSKKRNGIIINKGIPLPSQATLQRLLFGAVTVIDDELTQGREYGSSIMGKAQGFYVASSMDGSSHTMAFTAMFHDEDDHDQDDAISFFGVHRTAAVESPIAIVGGTGKYENAQGYTVVETLPNTNQYTVDGVDTLLQFSVFITRY >KJB20140 pep chromosome:Graimondii2_0_v6:3:39248628:39248908:-1 gene:B456_003G134700 transcript:KJB20140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWFDPWPVFFKREFNRTWPFLVGFAVTGTIITKFSLGLTEEDAKNSPFAQKHKR >KJB18939 pep chromosome:Graimondii2_0_v6:3:18316087:18319116:1 gene:B456_003G076100 transcript:KJB18939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSQPTMEETILVGDDLMMGPPSPIIPQEITSHVLEGVELCDGILRTLFLCLQINDIEPFCQDELALYRQCAEKRAELESFKMEYANARLECNAADELANILASEVIGLEEKALRLRSNELKLERQLENSQAEISSFKNMHYFVSKQSTGCC >KJB18937 pep chromosome:Graimondii2_0_v6:3:18316087:18319116:1 gene:B456_003G076100 transcript:KJB18937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSQPTMEETILVGDDLMMGPPSPIIPQEITSHVLEGVELCDGILRTLFLCLQINDIEPFCQDELALYRQCAEKRAELESFKMEYANARLECNAADELANILASEVIGLEEKVTKF >KJB18938 pep chromosome:Graimondii2_0_v6:3:18316330:18318806:1 gene:B456_003G076100 transcript:KJB18938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEVDSQPTMEETILVGDDLMMGPPSPIIPQEITSHVLEGVELCDGILRTLFLCLQINDIEPFCQDELALYRQCAEKRAELESFKMEYANARLECNAADELANILASEVIGLEEKALRLRSNELKLERQLENSQAEISSFKNMHYFVSKQSTGCC >KJB20966 pep chromosome:Graimondii2_0_v6:3:44639887:44643137:-1 gene:B456_003G174800 transcript:KJB20966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANGSEQPKCQRSFNHRGRKNDCWDEVVKVLCMILRDDSISNIRLWKEKKVKIQEAFTQLEDMMSKNALQESCWTEVVKLLRIILGDESISTTTSWELKVMKVVKAIEQLKDPQFGFKMLKEMMKESISQNTKVKEAFQLIESVLNQSENSVPNQDHTNEINGLDQTVVYLIKKELQSLKEHLVQANAICDQKAQSMTEAKTFCKVSGMLSNVLKSCCAKMYDIVDSANSIQGPFVQIEDEQFALHFPGSKMIDEEKQAEEMKFAIKYVGGRLETLTLKMQSDQKMIPPAHLNPESSNPMGALGDSFDIEELQTDSPLESWLDNLISTVVIKGYEVYQRNADALNKIFQNHAHFADQFQLKDRVFQSNIMNALAEIYLKLESSLGKLELTEIDDILVRVKDMEVTGLELSWLRETLENQTKIKRLEEAIQESILELAKLKKKQRLE >KJB20965 pep chromosome:Graimondii2_0_v6:3:44639679:44643626:-1 gene:B456_003G174800 transcript:KJB20965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANGSEQPKCQRSFNHRGRKNDCWDEVVKVLCMILRDDSISNIRLWKEKKVKIQEAFTQLEDMMSKNALQESCWTEVVKLLRIILGDESISTTANAICDQKAQSMTEAKTFCKVSGMLSNVLKSCCAKMYDIVDSANSIQGPFVQIEDEQFALHFPGSKMIDEEKQAEEMKFAIKYVGGRLETLTLKMQSDQKMIPPAHLNPESSNPMGALGDSFDIEELQTDSPLESWLDNLISTVVIKGYEVYQRNADALNKIFQNHAHFADQFQLKDRVFQSNIMNALAEIYLKLESSLGKLELTEIDDILVRVKDMEVTGLELSWLRETLENQTKIKRLEEAIQESILELAKLKKKQRLE >KJB19096 pep chromosome:Graimondii2_0_v6:3:21257281:21259014:1 gene:B456_003G084800 transcript:KJB19096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLLHFPLPLNPPTFSHSLLFFTLLFLFQKPHSNFIFFFSILALPTMEAFSLLKYWRGGGSDGTNACVNTRSSASSGCTTTTIVTAATTHLAVDTDDDDDGPFFDLEFSVPDEDESEDNEEDNVEDDESEVDTKPDGGYSDGESETEFDFAVPVESNSSLESKPQQCTVSLLKSAAKVRVFLLKLKKTKLNSNEKTEPPKKQVGSDSTNKKYNKNRFFTVKFKVEEVPIMSLFSKDNGKSQKQQSSDGSVSDEKRFSKDVMQRYLKKVKPLYVKVSRRYGEKLKFSGQLNPPSTVAKEPVGENEVHLKSSKRVNVPAGLRVVCKHLGSNRSASSAVAAAPPPSSVVSSKRRDDSQLQQEDGVQSAILHCKRSFNRSPDSSMSSSSNEKSE >KJB19499 pep chromosome:Graimondii2_0_v6:3:32923807:32926080:-1 gene:B456_003G107200 transcript:KJB19499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTMLLQQWWQLFNSTDVFNPLTLVPLLLTISFLFKLLRTWKLNLPPSPPKLPIIGNIHQVGKSPHRSFRALSEKYGPLMLLHMGQTPTLVVSSSEIGKEIMVAHDVFVERPQIRVAHTLFCGCTDIAFSAYGDYWRQAKKICVLELLTQKRVRMFQLVREQEVSRMVENVRQCCHNGSEIVVCEMLETIANNIISRSILGRVYDREDGNKGFGELSRKAMDLIGSFCFEDFFPNLGWIDVLTGLTSELERVSNELHAFLDQVIEDHLAMMNEDDDDDDTSENKDFVDILLRLQLDGKLDISLTQDNLKAIILDMFVGGTDNIAATLEWAMAELVKNPSIMKKAQEEVRAVVGKKQTISEIDVNEMHYLKCVVKETLRLHAPVMVSRQNSTATKLEGYDIPPKTIVLVNTWAIQRDPKLWDKAEEFIPDRFLNSQVDFRGQHIQYTPFGAGRRVCPGITFAVAGAEYVLANLLYWFDWKLPDSRCCEDLDMSDYYALIIRKKVPLHLVPMLHSFS >KJB19500 pep chromosome:Graimondii2_0_v6:3:32923842:32926063:-1 gene:B456_003G107200 transcript:KJB19500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTMLLQQWWQLFNSTDVFNPLTLVPLLLTISFLFKLLRTWKLNLPPSPPKLPIIGNIHQVGKSPHRSFRALSEKYGPLMLLHMGQTPTLVVSSSEIGKEIMVAHDVFVERPQIRVAHTLFCGCTDIAFSAYGDYWRQAKKICVLELLTQKRVRMFQLVREQEVSRMVENVRQCCHNGSEIVVCEMLETIANNIISRSILGRVYDREDGNKGFGELSRKAMDLIGSFCFEDFFPNLGWIDVLTGLTSELERVSNELHAFLDQVIEDHLAMMNEDDDDDDTSENKDFVDILLRLQLDGKLDISLTQDNLKAIILDMFVGGTDNIAATLEWAMAELVKNPSIMKKAQEEVRAVVGKKQTISEIDVNEMHYLKCVVKETLRLHAPVMVSRQNSTATKLEGYDIPPKTIVLVNTWAIQRDPKLWDKAEEFIPDRFLNSQVDFRGQHIQYTPFGAGRRVCPGITFAVAGAEYVLANLLYWFDWKLPDSRCCEDLDMSDYYALIIRKKVPLHLVPMLHSFS >KJB21076 pep chromosome:Graimondii2_0_v6:3:45362230:45364442:-1 gene:B456_003G182100 transcript:KJB21076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWSDDNTSVMESFMSSDISALWPPPPPPPPPQQSQPSVPLNQDSLQQRLQALLEGVRNCWTYAIFWQSSYDYAGAAVLGWGDGYYKGEEDKEKAKSKASLSTIAEQQHRRKVLRELNSLISGSTATTDDAVDEEVTDTEWFFLVSMTQSFVNGNGLPGQAFFNSCPVWVAGSDRLANSTCERAKQGRVFGLQTIVCIPLANGVVELGSSEFIIQSSDLVNKVRALFNGIEAETWSMSNNTDDPSSFWISDPNNINNQNPSSSSLTENPSSIHGSLHFNNYGNSFSHLLKPESGEILNFGESKGIRNGNLISRKKRSPSNEEGMLSFTSDVMKSGGGGDSDHSDLEVSVIKEADSARVTITAEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELKIKLQNADSKKEELHKQLEETKKEGQRGGLTTSHKLLELDIDVKTIGLDAMIRIQSNKKNHPAARLMAALQELDLDVHHASVSVVNDLMIQQVNVKMGNQFYNQEQLRIALTSKVGDPR >KJB20923 pep chromosome:Graimondii2_0_v6:3:44349074:44349544:-1 gene:B456_003G172500 transcript:KJB20923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKFTRPKLNDNGNSWEPLPAWEKKFCINVGAMPWKRFVESKNNLFKTNKVFEWDDSAGLKAFQEAKQRFWEYYHHFPCTNKLPSNAANMYIDDIDWNSKIDPKLLLEIKSISDDEEEEEEEEVKRIDSFSIPLEQIKATGWEYDEPTSRLPTIVGS >KJB18053 pep chromosome:Graimondii2_0_v6:3:2911442:2914936:1 gene:B456_003G031700 transcript:KJB18053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLARSIANSLKLDDDDDDDRTNAAVDPKPESDPNPNQASPHSHSSSDPNTPRGVKEDLSELTKTLSRQFWGVASFLAPPPPESSEQQPDHAAEKEENSLRPPPSSDDTDELSDEALIAGIRSDLSEIGGRFKTGISKLSNNINVSEFSKIASNFLQFGSEGEGVETYESGIAVGVTEEVVAFARDLAMHPETWLDFPVPDDDGFDDFDMSDEQQEHALAVERLAPRLAALRIELCPGYMSEGCFWKIYFVLLLPRLNKQDAELLSTSQIVEARAMLMQGIQNRSKAKMEEHSESGTSNIKADLPHEEFLSVPSPAQSKPTPFNESGNEAADTAVAVEVATEKHPVQTTEMQVVDKSVIEEEPKKEVKHQHSTSASSGVSIEKFEDDADDWLKEETSEAVGTSATTIPLGNDEDVSFSDLEDDDDDMPINYKKVTSGSDSSAKDSREWVQLSRSSMDSVKDVNCVGNEHSASEQVSTRNPETKESNDWLDIEEIM >KJB18054 pep chromosome:Graimondii2_0_v6:3:2911469:2914900:1 gene:B456_003G031700 transcript:KJB18054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLARSIANSLKLDDDDDDDRTNAAVDPKPESDPNPNQASPHSHSSSDPNTPRGEENSLRPPPSSDDTDELSDEALIAGIRSDLSEIGGRFKTGISKLSNNINVSEFSKIASNFLQFGSEGEGVETYESGIAVGVTEEVVAFARDLAMHPETWLDFPVPDDDGFDDFDMSDEQQEHALAVERLAPRLAALRIELCPGYMSEGCFWKIYFVLLLPRLNKQDAELLSTSQIVEARAMLMQGIQNRSKAKMEEHSESGTSNIKADLPHEEFLSVPSPAQSKPTPFNESGNEAADTAVAVEVATEKHPVQTTEMQVVDKSVIEEEPKKEVKHQHSTSASSGVSIEKFEDDADDWLKEETSEAVGTSATTIPLGNDEDVSFSDLEDDDDDMPINYKKVTSGSDSSAKDSREWVQLSRSSMDSVKDVNCVGNEHSASEQVSTRNPETKESNDWLDIEEIM >KJB18597 pep chromosome:Graimondii2_0_v6:3:11351543:11354446:1 gene:B456_003G062200 transcript:KJB18597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPQSVPENPFDFRAPPPSPVASGRRSCVTNDEVLSEFLQHSLFVPDLILPDKVFPRQKFIDNPPKIDFQALNSMQTETLPKILDSIATIGCFQLVNYGIPVESIRSALTAAAGIFQLPPQKRTTVTRSPEKLYGFEEVHEEDEGEVSEEFVWCKAKALELAMEGIWPVGYSNFSEKMETLVAEMEKVAEKILTVFREIYQEKTGRCEKEKMEGEDGNGWACYVYKHGGNVSAEKWRSCLRYDVMKMLIGGMDYSHTLCLHICDGSSEFHVYSKKGWVSFCPHKHALVVTVGDQTQALSGGQLKHVIGRPIYRGEEEEFISMAFLYSSSTTNSRLVDPQKPNTISLSQQAIAAILFTLLYQILVYVYYKF >KJB19106 pep chromosome:Graimondii2_0_v6:3:21674163:21676618:1 gene:B456_003G085300 transcript:KJB19106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENLLDNIIRRLLGTKNGRSTKQVQLTEAEIKQLCAASKECFLSQPNLLELEAPIKICGDVHGQFSDLLRLFEYGGYPPTANYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFNVRVWKLFTECFNCLPVAALIDEKILCMHGGLSPDLKTLDQIRSISRPVDVPDQGLLCDLLWADPDKDLDGWGENDRGVSYTFGADIVSEFLKKHDLDLICRAHQELVGNL >KJB19107 pep chromosome:Graimondii2_0_v6:3:21673971:21677907:1 gene:B456_003G085300 transcript:KJB19107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENLLDNIIRRLLGTKNGRSTKQVQLTEAEIKQLCAASKECFLSQPNLLELEAPIKICGDVHGQFSDLLRLFEYGGYPPTANYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFNVRVWKLFTECFNCLPVAALIDEKILCMHGGLSPDLKTLDQIRSISRPVDVPDQGLLCDLLWADPDKDLDGWGENDRGVSYTFGADIVSEFLKKHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDDSLTCSFQILKSLEKKGKGGFGNNTFRPGTPPHKGGKR >KJB19109 pep chromosome:Graimondii2_0_v6:3:21673999:21677824:1 gene:B456_003G085300 transcript:KJB19109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENLLDNIIRRLLGTKNGRSTKQVQLTEAEIKQLCAASKECFLSQPNLLELEAPIKICGDVHGQFSDLLRLFEYGGYPPTANYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFNIRSISRPVDVPDQGLLCDLLWADPDKDLDGWGENDRGVSYTFGADIVSEFLKKHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDDSLTCSFQILKSLEKKGKGGFGNNTFRPGTPPHKGGKR >KJB19105 pep chromosome:Graimondii2_0_v6:3:21673999:21677824:1 gene:B456_003G085300 transcript:KJB19105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENLLDNIIRRLLGTKNGRSTKQVQLTEAEIKQLCAASKECFLSQPNLLELEAPIKICGDVHGQFSDLLRLFEYGGYPPTANYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFNVRVWKLFTECFNCLPVAALIDEKILCMHGGLSPDLKTLDQIRSISRPVDVPDQGLLCDLLWADPDKDLDGWGENDRGVSYTFGADIVSEFLKKHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDDSLTCSFQILKSLEKKGKGGFGNNTFRPGTPPHKGGKR >KJB19108 pep chromosome:Graimondii2_0_v6:3:21673999:21677055:1 gene:B456_003G085300 transcript:KJB19108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENLLDNIIRRLLGTKNGRSTKQVQLTEAEIKQLCAASKECFLSQPNLLELEAPIKICGDVHGQFSDLLRLFEYGGYPPTANYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFNVRVWKLFTECFNCLPVAALIDEKILCMHGGLSPDLKTLDQIRSISRPVDVPDQGLLCDLLWADPDKDLDGWGENDRGVSYTFGADIVSEFLKKHDLDLICRAHQELVGNL >KJB18171 pep chromosome:Graimondii2_0_v6:3:3930084:3933405:1 gene:B456_003G037400 transcript:KJB18171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPPFMNSNNLNPLTQGSSRLPEDTVIYSIYPDNPLSQDSLQSLHLQILNAVSPFTTSYIWQHEPFTLSIAFHPFPHLKGHLRFGDNLNDEWFTVFLLFRISVAFPSVSIQVHDSDGDFLLIEAAYHLPRWLNPENSQNRSFIRRGKLHIIPQSALPNPTLTESLNFLIENEQAAVAPDSMQSVIQNRIAGYPEKAKLNTHSVRVRVPVSVARVFKHAPSLISLAVEGFYDRDMDSMKHAAKMERFLKGGKGGEEEMVTVAVKMSRAMYAQLMQQRFQAPKCYPMPPKKGDLEAELGMKIACGFEMMYQEKKKEGEEGKGSGWKKYKESLEKSGYFKGLIPGSNEYKRLMENAEEYYKNSSLFSKTREMLNAPVRQIDEILSLPYSADEFKNEDAPPSDDDSWLYSGEDELNSALQDRQKEMELYELKRKKKKSKEQKDTGASSSLKGEDFDLSELVKTMQGFIHKMSSYEGAEVPNDRDPKEVELDVERFMKDVESVMKYQGDENVTRDMDDDGGSSDMDFAADESEDGSDMSDHEDGEDSFMHSYSDVMNNELKSTTLKKSFVNANEQTSVKNEGTSNATEDMDEEFSPVDVDVNLVKNLLDSFSCQQGLPGPTSNLLGLMGVNLPKDDNKGK >KJB18170 pep chromosome:Graimondii2_0_v6:3:3930050:3933405:1 gene:B456_003G037400 transcript:KJB18170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPPFMNSNNLNPLTQGSSRLPEDTVIYSIYPDNPLSQDSLQSLHLQILNAVSPFTTSYIWQHEPFTLSIAFHPFPHLKGHLRFGDNLNDEWFTVFLLFRISVAFPSVSIQVHDSDGDFLLIEAAYHLPRWLNPENSQNRSFIRRGKLHIIPQSALPNPTLTESLNFLIENEQAAVAPDSMQSVIQNRIAGYPEKAKLNTHSVRVRVPVSVARVFKHAPSLISLAVEGFYDRDMDSMKHAAKMERFLKGGKGGEEEMVTVAVKMSRAMYAQLMQQRFQAPKCYPMPPKKGDLEAELGMKIACGFEMMYQEKKKEGEEGKGSGWKKYKESLEKSGYFKGLIPGSNEYKRLMENAEEYYKNSSLFSKTREMLNAPVRQIDEILSLPYSADEFKNEDAPPSDDDSWLYSGEDELNSALQDRQKEMELYELKRKKKKSKEQKDTGASSSLKGEDFDLSELVKTMQGFIHKMSSYEGAEVPNDRDPKEVELDVERFMKDVESVMKYQGDENVTRDMDDDGGSSDMDFDESEDGSDMSDHEDGEDSFMHSYSDVMNNELKSTTLKKSFVNANEQTSVKNEGTSNATEDMDEEFSPVDVDVNLVKNLLDSFSCQQGLPGPTSNLLGLMGVNLPKDDNKGK >KJB20357 pep chromosome:Graimondii2_0_v6:3:41091319:41094904:1 gene:B456_003G144600 transcript:KJB20357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISPSKAMNGERAVVLIFVLRVLFSLPLALLPHALSLSLLSLFSLVVEIRVDDSPSLFKTRPGASSGIILGAVTLPTVMLSKLIQLSRAVSLQQIEIGELEHMTMQFWAASACSCGVLIFLFIVTWCAANNKNPHFSCSVWDAKFSLSCVILYSAVCCISLATISHTGFNTALKLLWLLCHGFATVKLIQHLLSTFPFCASIGEANLVTSGLVLYFGDMLACTISKVCRLLIPPELVSIRYGIKRSEIGIVIQGVLLGLLIFSAVFKFLIHLWEYFWGANNSESRERKEIWRSLIFLTSLGFIMIAVAPSWMMIVLDFDVHPVLWIFQFIFSEPFKRLSLCIYWLVLIYASVLRFYHISKNSKIERILLRKYYHLLAVLMFLPALIYQPKFLDLAFSAALAVFLVLEIIRVWRIWPLGQLVHQFMSAFTDHRDSDLIIVSHFSLLLGCALPIWMSSGFNDRPLTPFSGILSLGIGDTMYELLTSPCKRGQCF >KJB20358 pep chromosome:Graimondii2_0_v6:3:41091319:41095982:1 gene:B456_003G144600 transcript:KJB20358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISPSKAMNGERAVVLIFVLRVLFSLPLALLPHALSLSLLSLFSLVVEIRVDDSPSLFKTRPGASSGIILGAVTLPTVMLSKLIQLSRAVSLQQIEIGELEHMTMQFWAASACSCGVLIFLFIVTWCAANNKNPHFSCSVWDAKFSLSCVILYSAVCCISLATISHTGFNTALKLLWLLCHGFATVKLIQHLLSTFPFCASIGEANLVTSGLVLYFGDMLACTISKVCRLLIPPELVSIRYGIKRSEIGIVIQGVLLGLLIFSAVFKFLIHLWEYFWGANNSESRERKEIWRSLIFLTSLGFIMIAVAPSWMMIVLDFDVHPVLWIFQFIFSEPFKRLSLCIYWLVLIYASVLRFYHISKNSKIERILLRKYYHLLAVLMFLPALIYQPKFLDLAFSAALAVFLVLEIIRVWRIWPLGQLVHQFMSAFTDHRDSDLIIVSHFSLLLGCALPIWMSSGFNDRPLTPFSGILSLGIGDTMASMVGHKYGVLRWSKTGKKTIEGTAAGITSVLAACSVLLPLLASTGYFLTQHWFPIFIAVTTSGLLEAYTTQLDNAFIPLVFYSLLCL >KJB18772 pep chromosome:Graimondii2_0_v6:3:14860042:14865289:-1 gene:B456_003G069100 transcript:KJB18772 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF21 domain-containing protein At5g52790 [Source:Projected from Arabidopsis thaliana (AT5G52790) UniProtKB/Swiss-Prot;Acc:Q9LTD8] MAANDVPCCESMFWVYLVVCVVLVLFAGLMSGLTLGLMSLSLVDLEVVIKAGEPQDRKNAEKILPIVKNQHLLLCTLLIGNALAMEALPIFLDSLLSEWCSILISVTLILAFGEIIPQAVCSRYGLSVGAKLSVLVRFIVIVLFPVAYPISKLLDLLLGKRHSALLRRAELKTLVDMLGNEAGKGGELTHHETTIISGALDMTQKTAKDAMTPLCDIFSLDINSKLNEKTMGLIISKGHSRVPIFSGSPTNIIGLILVKNLIMCRPEDETPIRNLTIRSIPRVHDRLPLYDILNLFQEGHSHMAVVVKCKSDTNDTAETAKSRSHSNFKRIKTKVKGIDQHHQYDGNEELSIPSSPPSANSTIIDIRSPSKNMELGSKIRQRMKKWEQATLSNEDLKSFSCLDEEVLGIITLEDVMEELLQEEIFDETDAYVDVHNKITINMQPSGRSPLRSPRPATASQIHWRSPISSSHGSPLSSLPHSPPFRTPISPYIQSPLSGPALCSSPGKQMPNSPLRFSSVAQYSPSQYQVSRKSYERLRHGS >KJB18773 pep chromosome:Graimondii2_0_v6:3:14860044:14865289:-1 gene:B456_003G069100 transcript:KJB18773 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF21 domain-containing protein At5g52790 [Source:Projected from Arabidopsis thaliana (AT5G52790) UniProtKB/Swiss-Prot;Acc:Q9LTD8] MAANDVPCCESMFWVYLVVCVVLVLFAGLMSGLTLGLMSLSLVDLEVVIKAGEPQDRKNAEKILPIVKNQHLLLCTLLIGNALAMEALPIFLDSLLSEWCSILISVTLILAFGEIIPQAVCSRYGLSVGAKLSVLVRFIVIVLFPVAYPISKQLLDLLLGKRHSALLRRAELKTLVDMLGNEAGKGGELTHHETTIISGALDMTQKTAKDAMTPLCDIFSLDINSKLNEKTMGLIISKGHSRVPIFSGSPTNIIGLILVKNLIMCRPEDETPIRNLTIRSIPRVHDRLPLYDILNLFQEGHSHMAVVVKCKSDTNDTAETAKSRSHSNFKRIKTKVKGIDQHHQYDGNEELSIPSSPPSANSTIIDIRSPSKNMELGSKIRQRMKKWEQATLSNEDLKSFSCLDEEVLGIITLEDVMEELLQEEIFDETDAYVDVHNKITINMQPSGRSPLRSPRPATASQIHWRSPISSSHGSPLSSLPHSPPFRTPISPYIQSPLSGPALCSSPGKQMPNSPLRFSSVAQYSPSQYQVSRKSYERLRHGS >KJB17842 pep chromosome:Graimondii2_0_v6:3:1389806:1390958:1 gene:B456_003G018600 transcript:KJB17842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYDFSDEILFEIFSRAELKTMKKCRVLSKEWKDLTYESSFMRLHSERTSTMVGYLVQCSRIFDPLPSEVVSILNSGLDPNQLGIYLIFYPSLLGFHPVSKTSIWKTNISMLVLRSNPLRFKIVRLSDSRYDAVDLVSDSFKNTQDVSACGGLHWLMFNHEQDKYTILSFEGDKEERGMTSLPDSLGKLGLINHNYETKMLDVWILNYEKIWIKKHTINLESFNEKVPHSRFHSFYGADTVFMVDVGWVSFYNFKTRKLNGIMVGGSIDSAYFIQIDSESVKLKPE >KJB18409 pep chromosome:Graimondii2_0_v6:3:7684799:7688923:1 gene:B456_003G051400 transcript:KJB18409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKGLVLCSVVAFLGVLSAATGFAAEATRIKASEVKFVSTTQCSYPRSPALGLGLTAAAALLVAHIIINIPTGCICCKRTSRSWNSYWTKALVFYVISWFTFVIAFLLLLTGSALNDQHGEESVYFGNYYCYVVKPGVFAGGAVLAIASVVFGIFYYLTLNTAKNTSDPWGNSAVPNQGGGIAMGQPQFPTQTSQDPVFVHEDTYNRRQFT >KJB18555 pep chromosome:Graimondii2_0_v6:3:10342395:10351994:1 gene:B456_003G059600 transcript:KJB18555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNRIPREAFNDRRGFPPERSFFRGPPLPQPPPHPALLEEELEMQHAEIRKLLTDNHRLVEDRMAIQQELGAAKEEIHRLNLVIGEIRAEQELHSRGLIEKGLKLEADLRATEPLKKEAMQLRSEVQKLNNVNQDLTGQVQTLKKDITRLQADNQQIPILRAEVDGLHQELMRARTAIDYEKKANIELMEQRQAMEKNMVSMAREVEKLRAELSSVDARPWAAGGPYGMKFNSSEGAFPASYEGYGAHLGAADKGPFYGPGPASWEKPRMNRR >KJB19220 pep chromosome:Graimondii2_0_v6:3:25557749:25560546:1 gene:B456_003G089400 transcript:KJB19220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 19 [Source:Projected from Arabidopsis thaliana (AT3G17630) UniProtKB/Swiss-Prot;Acc:Q9LUN4] MTVLDTLANIGLLYFLFLVGLELDIRAIRRTGTNSLIIAVAGISLPFILGIGASVVLRSTVNKGVSNIVFLVFMGVALSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDVVAWILLALAIALSGSDSSYLVSVWVLLCGTAFIVFSIFVLKPVLSVMARRSPEGEPVKELYICITLSIVLAAGLITDIIGIHALFGAFVVGIIIPKDGPFAGVLIEKIEDLVSGLFLPLYFASSGLKTNVATIEGSQSWGLLVLVIFTACFGKIVGTVVLAMLFKVPFIEALALGVLMNTKGLVELIVLNIGKDRKVLNDQTFAILVLMALFTTFITTPIVMAVYKPARKGKPYKNRKIQRQDLDSELRLLACFHSTRNIPTLINLIESSRGTRKKGQLCIYAMHLMELSERSSAISMIHKARKNGLPFWNKKPGDQNQMVIAFEAYQQLRSVVIRPMTAISPLNSIHEDICTSADRKRAALIIMPFHKHQRVDGTMESLGHSFHLVNQRVLRHAPCSVGILVDRGLGGTTQVVASEVSYSVVVPFFGSQDDREALAYGMRMAEHPGIKLTLLKFTPKPETALNPPKADMGAESKKDNEIFSEFLNLSQSSESVKHEAIAMGSREDVIAALRSMSNSTLFLVGRTSPAMPLSDRSSDCPELGHVGSYLASSDFSTTSSILVIQQYDPSINAMEKPLDDINEASNKTMSNGV >KJB20377 pep chromosome:Graimondii2_0_v6:3:41210974:41213033:-1 gene:B456_003G145600 transcript:KJB20377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGMEANKNRFIEDWGSARENLEHNFRWTRRNFALVGIFGIAIPVLVYKGIVREFHMQDEDNGRPYRKFL >KJB20124 pep chromosome:Graimondii2_0_v6:3:39224628:39228838:1 gene:B456_003G134400 transcript:KJB20124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQASLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRETRDEFKRKVSRCVRRSQEML >KJB20131 pep chromosome:Graimondii2_0_v6:3:39225423:39227997:1 gene:B456_003G134400 transcript:KJB20131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRETRDEFKRKVSRCVRRSQEML >KJB20130 pep chromosome:Graimondii2_0_v6:3:39224638:39226005:1 gene:B456_003G134400 transcript:KJB20130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQASLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTCGRFQIFS >KJB20126 pep chromosome:Graimondii2_0_v6:3:39224752:39225685:1 gene:B456_003G134400 transcript:KJB20126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQASLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVCL >KJB20125 pep chromosome:Graimondii2_0_v6:3:39224638:39228838:1 gene:B456_003G134400 transcript:KJB20125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQASLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTISDFLLSYT >KJB20128 pep chromosome:Graimondii2_0_v6:3:39224638:39228838:1 gene:B456_003G134400 transcript:KJB20128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQASLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAANGERQEMNSRGRLAAA >KJB20127 pep chromosome:Graimondii2_0_v6:3:39224638:39226842:1 gene:B456_003G134400 transcript:KJB20127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQASLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAVSDS >KJB20129 pep chromosome:Graimondii2_0_v6:3:39224638:39228838:1 gene:B456_003G134400 transcript:KJB20129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRETRDEFKRKVSRCVRRSQEML >KJB20848 pep chromosome:Graimondii2_0_v6:3:43904411:43906109:-1 gene:B456_003G168600 transcript:KJB20848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKMMMMAVLVILGTMMATFTVAQETCAQSLVPCAPYLNNATAQPQDDCCNPIKQAVETQLTCLCNLFNDPTLLSSFNVTVSEALRIARECGVTTDLSACNNATSPTSAPPPPGESGGADRIVLTGITTLFLFLASIALY >KJB20849 pep chromosome:Graimondii2_0_v6:3:43905311:43906070:-1 gene:B456_003G168600 transcript:KJB20849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKMMMMAVLVILGTMMATFTVAQETCAQSLVPCAPYLNNATAQPQDDCCNPIKQAVETQLTCLCNLFNDPTLLSSFNVTVSEALRIARECGVTTDLSACNNVFKRTASNH >KJB21060 pep chromosome:Graimondii2_0_v6:3:45242050:45242586:1 gene:B456_003G1809002 transcript:KJB21060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKSKQNPSPAFIETIQEIMRLYKSLPPRPSIEEVEAAKTVLQTAENEEKTKLEAISKDQYHHQPLEGVPDELLSILQQVRKTMVLFQSHEQKKEALYLVEVDDMFETFDGLIERASLLVSGDNLEEKVWGFDGETVISDDRFVTSSCNS >KJB21061 pep chromosome:Graimondii2_0_v6:3:45242133:45242586:1 gene:B456_003G1809002 transcript:KJB21061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKSKQNPSPAFIETIQEIMRLYKSLPPRPSIEEVEAAKTVLQTAENEEKTKLEAISKDQYHHQPLEGVPDELLSILQQVRKTMVLFQSHEQKKEALYLVEVDDMFETFDGLIERASLLVSGDNLEEKVWGFDGETVISDDRFVTSSCNS >KJB18239 pep chromosome:Graimondii2_0_v6:3:5046943:5054254:1 gene:B456_003G041600 transcript:KJB18239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLTSSSNNRHPSPPSDGHKSFQLHSYSNNNHQKPTCPGVNNRYPPSPSTAVSSTDNNTLRPPNFMIQLLKDSPSFRSEPSNLQTLLSQLNPSPEKFHIDPTGKIAASLYFQEWTTTLFSIISLWRSRLEGSHNYTPNLISNVLVPSDNLELQQDLKTLFSNHIKGLMEGELVKEWQKKIDEKSDQIAEVSGQMGKRHYSMGRFFMLNDKKKALNEQSLMISKRLNEFKGGMRSLLRCLEDEKIGKEEQEESVDVFRVDGKLDWERIHQLILRECRRLADGLPIYAHRQEILTRIHGEQIIVLIGETGSGKSTQLVQFLADSGIAANESIVCTQPRKIATVSLAQRVTEESFGCYDDNFVTCYPTFSSAQQFDSKLIYMTDHCLLQHYMNDRNLSGISCIIVDEAHERSLNTDLLLALVKDLLGRRLDLRLIIMSATANADQLSDYFFCCPIFHVTGRNFPVDIQYVPCATEVTSGSGMVAPYVSDVLRMAAEVHKTEKEGNILAFLTSKIEVEWASENFEAPNAVALPLHGKLSFEEQFRVFQSYPGKRKVVFATNIAETSLTIPGIKYVIDSGLVKERKFEPGTGMNVLKVCWISQSSANQRAGRAGRTEPGRCYRLYAASDFESMPSNQEPEIRRVHLGVAVLRILALGVKKVQSFDFVDAPSSKAIDMAIRNLIQLGAIGENNGVFELTVEGRYLVKLGIEPRLGKLILSCFHYGLCREGLVLAAVMADASSIFCRVGNDRDKVKADCFKVQFCHRDGDLFTLLSVYKEWEALPANRKSKWCWENSINAKSMRRCQDTVTELEICLQKELAVVIPSYWFWDPDKTTEHDKCLKAIILSSLSENVAMYSGYNQLGYEVALTGQHIKLHPSCSLLIFGQKPHWVVFGEILSVTNQYLVCVTAFDFESLAILHPPPMFDASKMESQKLQVKAMAGFGNTLLKKICGKSNHNLQSLLSRIRTACMDERIGIEVNFDHNEIRLFALSVDMQKVLAFVNEVLECERKWLFNECMEKFLYHGPNASSSIALFGAGAEIKHLEVEKRCLTIDVFHSNVNTLDDKELLKFFERYSNGSICSVHKSQANGQESDDREKWGKITFLTPDAAQKAAELDGVDFAGSALKVLPSRTSFGGDHKMISFPAVKAKVYWPRRESKGFGFVKCDLLDVGFVIDDLDNLVVGSKTIRCDVSSKSNDAILIRGIDKELSEAEIWDTLQGATNRKIHDFFLVRGDAVENPSCGACEKALHREISHFMPKRNPHTNCCWVQVFQPEPKETFMKALITFDGRLHLEAAKALEHLEGKVLRRCLSWQKITCQRLFHSYISCSSFVYAVIKKQLDSLLASFKRVKGAGCSIEANGNGSYRVRISANATKTVAEMRRPLEELMNGRTIKHAGLTPSILQHLFSRDGIHLMRSLQRETRTYISFDRHSLGVRIFGSPDAAAVAEQKMIQSLLSYHESKQLEVCLRGPGLPPDLMKEVVKKFGPDLHGLKEKIPGSEFTLDSRHHVISIHGDKETKRKVELIVLDIAETGEDLAKKSDCDTTCPICLCEVEDGYWLEGCSHFFCRPCLVEQCESAIRNLDSFPICCAHQGCNVPILLTDLKSLLLSEMLEQLFRASLGAFVASSKGTYRFCPSPDCPSVYRVADPETPGELFVCGACYTETCTRCHGEYHPYLSCEKYREFKEDPDISLKEWCKGKEQVKTCPVCGYTIEKIDGCNHIECKCGRHVCWACLEVFTCSDDCYNHLRAVHMAII >KJB21002 pep chromosome:Graimondii2_0_v6:3:44890454:44895354:1 gene:B456_003G177500 transcript:KJB21002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLAKYTPLHARAEPEDLRASRVKSISINKDREAKRKTNHDIAIVSPDYNLGFRKFMDEDTSNRSSSGSAISNSESCAQFGSADVSDLTGPSQSNVWDSMVPSKKRTCVNRPKPSPVEKLTKDLCTILHEQKSSYFSVSSDDDLLLESETPMVSVEIGHGGILIKHPSSIAREEESEASSLSFENKQYSMNEAYSHSSSFPAYNDSKGVKYLGHGIEKAKNPAGQGMQHEQLKRDKAQHEKPLILESRNLPLCNIELSDIINFEEFVKHLTKDEQQQLLQYLPPLDIAKLPESLKSMFESPQFEDNLCYFQQLLEEGVFNISVPGVNAEDCKTLKRLALINLTRSHWVECHHGLKKCGSVGVSVITRGPNVVPLNNSATVKRSRDGQIPEARTLMSPKRVIMKATHENKELIDNESSCFSPRSLFALPPDGSSPMLDSLHFANECSDQDLLLDVPSNGTFPQAELLHPTSSFGQQASTSSNSPHPHLVHP >KJB21003 pep chromosome:Graimondii2_0_v6:3:44892736:44895157:1 gene:B456_003G177500 transcript:KJB21003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSKKRTCVNRPKPSPVEKLTKDLCTILHEQKSSYFSVSSDDDLLLESETPMVSVEIGHGGILIKHPSSIAREEESEASSLSFENKQYSMNEAYSHSSSFPAYNDSKGVKYLGHGIEKAKNPAGQGMQHEQLKRDKAQHEKPLILESRNLPLCNIELSDIINFEEFVKHLTKDEQQQLLQYLPPLDIAKLPESLKSMFESPQFEDNLCYFQQLLEEGVFNISVPGVNAEDCKTLKRLALINLTRSHWVECHHGLKKCGSVGVSVITRGPNVVPLNNSATVKRSRDGQIPEARTLMSPKRVIMKATHENKELIDNESSCFSPRSLFALPPDGSSPMLDSLHFANECSDQDLLLDVPSNGTFPQAELLHPTSSFGQQASTSSNSPHPHLVHP >KJB19022 pep chromosome:Graimondii2_0_v6:3:24845716:24847755:-1 gene:B456_003G089100 transcript:KJB19022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKPTIVMLFLGVMLLLLAENNAASTSHEMSREAPAPQPQPPGNFTMHGITQGSLLPQECGPRCGERCSNTQYKKPCLFFCNKCCAKCLCVPPGTYGNKQVCPCYNNWKTKRGGPKCP >KJB18148 pep chromosome:Graimondii2_0_v6:3:3648346:3650094:-1 gene:B456_003G035900 transcript:KJB18148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSQHGFLEELMAAAPRRDSWTTFSNGVTEFFPNGWNFESFDATPPNLAFLGFSTTTTDEIENPSFECPFSDQPYPFGDGFSVSEMDASTSPFPPAHEDYPSMVDDEDQLGLLTTDHHHQQQHSLEEMKHSCKVEMEQTINNIQGFNMGLFVEKKTKAKRLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLEKVNKLKEDKTKLGSNHLSLMNNMKELKPNEMLVRNSPKFDVERRETDTRIDIQCATKPGLLLSTVNTLEALGLEIQECVISCFNDFSMQASCSENRGH >KJB18149 pep chromosome:Graimondii2_0_v6:3:3648723:3649873:-1 gene:B456_003G035900 transcript:KJB18149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSQHGFLEELMAAAPRRDSWTTFSNGVTEFFPNGWNFESFDATPPNLAFLGFSTTTTDEIENPSFECPFSDQPYPFGDGFSVSEMDASTSPFPPAHEDYPSMVDDEDQLGLLTTDHHHQQQHSLEEMKHSCKVEMEQTINNIQGFNMGLFVEKKTKAKRLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLEKVNKLKEDKTKLGSNHLSLMNNMKELKPNEMLVRNSPKFDVERRETDTRIDIQCATKPGLLLSTVNTLEALGLEIQECVISCFNDFSMQASCSEVWFRNSCCLCKSLNFK >KJB18150 pep chromosome:Graimondii2_0_v6:3:3648373:3650081:-1 gene:B456_003G035900 transcript:KJB18150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSQHGFLEELMAAAPRRDSWTTFSNGVTEFFPNGWNFESFDATPPNLAFLGFSTTTTDEIENPSFECPFSDQPYPFGDGFSVSEMDASTSPFPPAHEDYPSMVDDEDQLGLLTTDHHHQQQHSLEEMKHSCKVEMEQTINNIQGFNMGLFVEKKTKAKRLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKFDVERRETDTRIDIQCATKPGLLLSTVNTLEALGLEIQECVISCFNDFSMQASCSENRGH >KJB18147 pep chromosome:Graimondii2_0_v6:3:3648269:3650116:-1 gene:B456_003G035900 transcript:KJB18147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSQHGFLEELMAAAPRRDSWTTFSNGVTEFFPNGWNFESFDATPPNLAFLGFSTTTTDEIENPSFECPFSDQPYPFGDGFSVSEMDASTSPFPPAHEDYPSMVDDEDQLGLLTTDHHHQQQHSLEEMKHSCKVEMEQTINNIQGFNMGLFVEKKTKAKRLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLEKVNKLKEDKTKLGSNHLSLMNNMKELKPNEMLVRNSPKFDVERRETDTRIDIQCATKPGLLLSTVNTLEALGLEIQECVISCFNDFSMQASCSEVAEQRTLMSTEDIKQALFRNAGYGGTCL >KJB18827 pep chromosome:Graimondii2_0_v6:3:16392448:16393615:-1 gene:B456_003G070900 transcript:KJB18827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGNTIVFPYSYKSSYKSNLLGSSTSPIQDVNMEEEFELKDGDVVTEVVDGIPTIIFFDQVHKYIERRMAKTIIMKLLGRSIGFNVLLKKITSLWCPRSPIQLMDLENDYYLIRFNSEDDYNKVVFGGPWVIFGQYLTVRLWSKDFSTSQSKVDTQLVWVRLPGLPEGFYSDFLIRAIGQLLGQVVKLDAHTNSARKGRFARLVVLIDLKKPLMSKIKINGRIQRIKYKSLPIICFSVWHVWT >KJB19153 pep chromosome:Graimondii2_0_v6:3:22732648:22737625:-1 gene:B456_003G086500 transcript:KJB19153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDSLNNLRPVYGLIFLFKWHPGEKDERLVIKDPNPDLFFASQVINNACATQAILSILLNCPDIDIGPELSKLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVLEEQKAAGKDDDVYHFISYIPVDGVLYELDGLKEGPISLGQCPAGPGDMEWLKLVQPVIQERIERYSQSEIRFNLMAVIKNRKELYTAELKELQKKRERILQQLATIQSERPTDKTSFEALNKQLSEVNSGIEGATEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKNSSSR >KJB19154 pep chromosome:Graimondii2_0_v6:3:22732654:22735570:-1 gene:B456_003G086500 transcript:KJB19154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFCHVFSGVFTELIQQMQVKGVQVEELYSLDLDSLNNLRPVYGLIFLFKWHPGEKDERLVIKDPNPDLFFASQVINNACATQAILSILLNCPDIDIGPELSKLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVLEEQKAAGKDDDVYHFISYIPVDGVLYELDGLKEGPISLGQCPAGPGDMEWLKLVQPVIQERIERYSQSEIRFNLMAVIKNRKELYTAELKELQKKRERILQQLATIQSERPTDKTSFEALNKQLSEVNSGIEGATEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKNSSSR >KJB17960 pep chromosome:Graimondii2_0_v6:3:2409493:2410991:-1 gene:B456_003G026600 transcript:KJB17960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 15 [Source:Projected from Arabidopsis thaliana (AT2G23320) UniProtKB/Swiss-Prot;Acc:O22176] MVLFADMAMELMLSYRNNNNGFSSKMEETAVQEAASGLESVEKLIKLLSQTQQNYTSNNQEKKFQSSPTRSSTDLEMDCKVTAHAAVSKFKKVISLLGRTRTGHARFRRAPLPPPPTTTVTEHETKVYQPTPIQQIPLPVTTYLERKDSPTTTINFSYSSTTTTTDNNSNKQPSSSAFQISNLSSAGKPPLSSSLKRKCSIENLGSGIKCNSSSCRCHCSTKKRKQRTKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDASMLIVTYEGDHSHSLSVAETTILESS >KJB18116 pep chromosome:Graimondii2_0_v6:3:3483352:3485072:-1 gene:B456_003G034600 transcript:KJB18116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIISNKWISEVEMVEDPTFFPQFTIPNMDYSFDDTNFQSFSSESYSSYPNINNGSSNIQAFHQVVDDNEFKRPMKQLKTDNNWNFSINENIPPPPPPPKASSSSSPSSHIISFHNSNPSPAVSQQYYEITKKVGSTTRTPLHAQDHVIAERKRREKLSQRFISLSALIPGLKKTDKASVLGDAIKYMKQLQEKVATLEEQAAKKATESVILVKKSQIYLDDETSSSDENFDSNQTNNKLFPEIEARVSDKDVLIRIHCEKNKGFISNIMNEVEKLHLCVLNTNVLPFGQATLDITIVAQMEAEFSMTVKDLVKSLRLALLKFM >KJB18213 pep chromosome:Graimondii2_0_v6:3:4287453:4288545:1 gene:B456_003G039500 transcript:KJB18213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDENTGLKKGPWTPEEDQKLVKFINENGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSHEEEQTILNLHSILGNKWSAIASHLPGRTDNEIKNFWNTHLKKKLIQMGIDPMTHRPRTDMFSSLPYLIALANLKDLMDQPWEEQALRLKAEAVQMANLQSLQYLLQLTSVPTSSTSTIINTFTDMDAINNLLNPVSINKDSSNNIATAAAASLQGFNDSIPFPRLPDLQQVPSTKTNVEKLDNSSMVPNVAETLTHNLGDIACSYKEADHLSVWPHHDDHHLIFEDPLFHELS >KJB18294 pep chromosome:Graimondii2_0_v6:3:5994060:5994936:1 gene:B456_003G045200 transcript:KJB18294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNSLMVLNQPIMGNPATPYAPNQPVEALGSPNMCQPMLHGIMDQQQGLGGLRSSSTQQPVRENLITPSIPNQQLGALAGGSFLNQSLLGNGNMTSNVQFEPQ >KJB19961 pep chromosome:Graimondii2_0_v6:3:37591583:37591954:-1 gene:B456_003G126100 transcript:KJB19961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WGDKDPWESIELERAYGDFDTVEDFVVLPNVGHCPQNEAPHLVNPLVESFVSHHSRSPANASKTI >KJB21006 pep chromosome:Graimondii2_0_v6:3:44897019:44899382:1 gene:B456_003G177600 transcript:KJB21006 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G14110) UniProtKB/Swiss-Prot;Acc:P43255] MDFSVLNEALASKSFNKIADICDNLMLQVAAEGVPFRDEWPFAIHLLGHIYIDDINSARFLWKSIPPAIKESQPEVVAAWKIGQKLWTRDYAGVYEAIRGFDWTQQTQVLVAAFSELYTKRMFELLQSAYSTISIQDAAQFLGMSEEDASNYVLGQGWTVDPTSRMITVTKQAIVKEQKLDPGKLQRLTEYVFHLEH >KJB21004 pep chromosome:Graimondii2_0_v6:3:44897019:44899278:1 gene:B456_003G177600 transcript:KJB21004 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G14110) UniProtKB/Swiss-Prot;Acc:P43255] MDFSVLNEALASKSFNKIADICDNLMLQVAAEGVPFRDEWPFAIHLLGHIYIDDINSARFLWKSIPPAIKESQPEVVAAWKIGQKLWTRDYAGVYEAIRGFDWTQQTQVLVAAFSELYTKRMFELLQSAYSTISIQDAAQFLGMSEEDASNCKHAHLCLCREVSYYFTIFRCIA >KJB21005 pep chromosome:Graimondii2_0_v6:3:44897019:44899331:1 gene:B456_003G177600 transcript:KJB21005 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G14110) UniProtKB/Swiss-Prot;Acc:P43255] MDFSVLNEALASKSFNKIADICDNLMLQVAAEGVPFRDEWPFAIHLLGHIYIDDINSARFLWKSIPPAIKESQPEVVAAWKIGQKLWTRDYAGVYEAIRGFDWTQQTQVLVAAFSGKAFSIIVFIVI >KJB21190 pep chromosome:Graimondii2_0_v6:3:45717697:45726861:-1 gene:B456_003G186900 transcript:KJB21190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVVYEGWMVRYGRRKIGRSFIHMRYFVLENRLLAYYKRKPQDNQVPIKTMLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKYHRITMAAFNIQEALMWKEKIESVIDKHQESQVANGNKYVSFEYKSGMDNGRTASSSDHESQFSAQEDEDDAPPDLLRRTTIGNGPPDSIFDWTQDFDSELSNQNANNQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSRAMKAVGVVEATCEEIFGLVMSMDGTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPVFVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGYVRAHVESGGFNISPMKSQNGKPRTQVQHLMQIDLKGWGVGYISSFQQHCLLQMLNSVAGLREWFAQTDERGATPRIPVMVNMASSSVSSKKTQRMFELSVPSAPSLDQTNAANRNSVLMDEYSDEDEEQMPEAEQEAYPTKSDNDFKRTALEEEPIEKIDLSCFSGNLRRDDRDNSRNCWTISDGNNFRVRSQHFCYNKTKIPAGKHLMDLVAVDWFKDTKRMDHVARRQGCAAQIASQMGLFSLVFNVQVPGSTHYSMVFYFVTKELVPGSLLHRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEVDIDIGSSTVANGVLGLVIGVITTLVVDMAFLVQANMTDELPERLIGAVRVSHIELSSAIVPKLDTDPS >KJB21193 pep chromosome:Graimondii2_0_v6:3:45718616:45726436:-1 gene:B456_003G186900 transcript:KJB21193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVVYEGWMVRYGRRKIGRSFIHMRYFVLENRLLAYYKRKPQDNQVPIKTMLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKYHRITMAAFNIQEALMWKEKIESVIDKHQESQVANGNKYVSFEYKSGMDNGRTASSSDHESQFSAQEDEDDAPPDLLRRTTIGNGPPDSIFDWTQDFDSELSNQNANNQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSRAMKAVGVVEATCEEIFGLVMSMDGTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPVFVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGYVRAHVESGGFNISPMKSQNGKPRTQVQHLMQIDLKGWGVGYISSFQQHCLLQMLNSVAGLREWFAQTDERGATPRIPVMVNMASSSVSSKKTQRMFELSVPSAPSLDQTNAANRNSVLMDEYSDEDEEQMPEAEQEAYPTKSDNDFKRTALEEEPIEKIDLSCFSGNLRRDDRDNSRNCWTISDGNNFRVRSQHFCYNKTKIPAGKHLMDLVAVDWFKDTKRMDHVARRQGCAAQIASQMGLFSLVFNVQVPGSTHYSMVFYFVTKELVPGSLLHRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEVDIDIGSSTVANGVLGLVIGVITTLVVDMAFLVQVRFF >KJB21191 pep chromosome:Graimondii2_0_v6:3:45717851:45726861:-1 gene:B456_003G186900 transcript:KJB21191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFNIQEALMWKEKIESVIDKHQESQVANGNKYVSFEYKSGMDNGRTASSSDHESQFSAQEDEDDAPPDLLRRTTIGNGPPDSIFDWTQDFDSELSNQNANNQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSRAMKAVGVVEATCEEIFGLVMSMDGTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPVFVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGYVRAHVESGGFNISPMKSQNGKPRTQVQHLMQIDLKGWGVGYISSFQQHCLLQMLNSVAGLREWFAQTDERGATPRIPVMVNMASSSVSSKKTQRMFELSVPSAPSLDQTNAANRNSVLMDEYSDEDEEQMPEAEQEAYPTKSDNDFKRTALEEEPIEKIDLSCFSGNLRRDDRDNSRNCWTISDGNNFRVRSQHFCYNKTKIPAGKHLMDLVAVDWFKDTKRMDHVARRQGCAAQIASQMGLFSLVFNVQVPGSTHYSMVFYFVTKELVPGSLLHRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEVDIDIGSSTVANGVLGLVIGVITTLVVDMAFLVQANMTDELPERLIGAVRVSHIELSSAIVPKLDTDPS >KJB21192 pep chromosome:Graimondii2_0_v6:3:45717851:45726123:-1 gene:B456_003G186900 transcript:KJB21192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYILQMVYVLSVYNKKEKYHRITMAAFNIQEALMWKEKIESVIDKHQESQVANGNKYVSFEYKSGMDNGRTASSSDHESQFSAQEDEDDAPPDLLRRTTIGNGPPDSIFDWTQDFDSELSNQNANNQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSRAMKAVGVVEATCEEIFGLVMSMDGTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPVFVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGYVRAHVESGGFNISPMKSQNGKPRTQVQHLMQIDLKGWGVGYISSFQQHCLLQMLNSVAGLREWFAQTDERGATPRIPVMVNMASSSVSSKKTQRMFELSVPSAPSLDQTNAANRNSVLMDEYSDEDEEQMPEAEQEAYPTKSDNDFKRTALEEEPIEKIDLSCFSGNLRRDDRDNSRNCWTISDGNNFRVRSQHFCYNKTKIPAGKHLMDLVAVDWFKDTKRMDHVARRQGCAAQIASQMGLFSLVFNVQVPGSTHYSMVFYFVTKELVPGSLLHRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEVDIDIGSSTVANGVLGLVIGVITTLVVDMAFLVQANMTDELPERLIGAVRVSHIELSSAIVPKLDTDPS >KJB19024 pep chromosome:Graimondii2_0_v6:3:19877644:19878147:-1 gene:B456_003G081100 transcript:KJB19024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQCQKPVEKTCCPCPQQGHQKQSSGIGHKMSEMTSSTFLGHGQTNQCHSQTGTHHTHGQTAQCQGKHKRRGERKRGGLIQKIKDAVDDSSSSSDSESNDEKYGGARKVSLYQIL >KJB20540 pep chromosome:Graimondii2_0_v6:3:42237128:42238454:-1 gene:B456_003G153700 transcript:KJB20540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS MSTGIFASPIAGSGFVGLKTNVSKLVPTNGSISWSRKTVSNGSRTHCMKTWNPINNKKFETLSYLPPLSDDSIAKEIDYMMKKGWIPCLEFDEVGAVHRENSSIPGYYDGRYWTLWKLPMFGCNDSSQVLKEIHECKKAYPNAYIRCLAFDNKHQAQCMSFVIHKPN >KJB18405 pep chromosome:Graimondii2_0_v6:3:7469661:7472662:-1 gene:B456_003G0509001 transcript:KJB18405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPLVPSASLDETSISILTITLFGILGDSLEAFNADLDEELDFLQWIASSNSKNYQLWFFFIVPRFAN >KJB17580 pep chromosome:Graimondii2_0_v6:3:335871:337751:1 gene:B456_003G006100 transcript:KJB17580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYGHPYRFSLSSFSLLSDFIEKVKDFFNFAVSAIIGNIFSAILTFFFALGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLLLWQSDESGILCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAAETTFEDVQNIFDTGSVRGLAGDLVEKIPKITIRSNDNADASGEKVSCSVCLQDFQSGETVRSLPQCHHMFHLPCIDKWLLSHGSCPLCRRDLFL >KJB17579 pep chromosome:Graimondii2_0_v6:3:335889:336955:1 gene:B456_003G006100 transcript:KJB17579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYGHPYRFSLSSFSLLSDFIEKVKDFFNFAVSAIIGNIFSAILTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLLLWQSDESGILCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAAETTFEDVQNIFDTGSVRGLAGDLVEKIPKITIRSNDNADASGEKVSCSVCLQV >KJB17581 pep chromosome:Graimondii2_0_v6:3:335871:337751:1 gene:B456_003G006100 transcript:KJB17581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYGHPYRFSLSSFSLLSDFIEKVKDFFNFAVSAIIGNIFSAILTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLLLWQSDESGILCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAAETTFEDVQNIFDTGSVRGLAGDLVEKIPKITIRSNDNADASGEKVSCSVCLQDFQSGETVRSLPQCHHMFHLPCIDKWLLSHGSCPLCRRDLFL >KJB17582 pep chromosome:Graimondii2_0_v6:3:335871:337751:1 gene:B456_003G006100 transcript:KJB17582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLLLWQSDESGILCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAAETTFEDVQNIFDTGSVRGLAGDLVEKIPKITIRSNDNADASGEKVSCSVCLQDFQSGETVRSLPQCHHMFHLPCIDKWLLSHGSCPLCRRDLFL >KJB20768 pep chromosome:Graimondii2_0_v6:3:43339773:43342641:1 gene:B456_003G163700 transcript:KJB20768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESFAFEIAGKVLEKLGSAACERISLAWGVREEFEKLKLTLAAIRAVVLDAEQQQARSLELSLWLQRFKDACYDVDDLIDEFEIQELRRLVLKRGSTGRKVRHFFSGSNPWAFGFRMGYKIKKANEMLNKIAAEKAKFHLTEKHETNVIHRERETYSFVKTSSVIGRDEAKQHLVNFLMNPTDGEDIPVLPTVGIGGIGKTTLAQLVFNEESVKSHFELRIWVCVTEDFDIKQLMIKIIKSAIGMNCKDMNKEELHKVLQDCLNGKRFFMVLDDVWNEDKKKWSELKDLLCGGAQGSRIIITTRSRKVATITGTIPPYDLEHLSYDNCLSLFLKLAFKEGEEKQHDNLVRIGEGIVQKCKGVALAVKTLGSLLCSTRVQHDWELVRDSELWKLKQEENDILPALKLSYDHLPWYLKQCFAFCSVFPKDFEFNHPFLISLWMANGFLQSPYENEEPEDIGNRYIQELLSRCFFQQVEEESIFFSTLKMHDLVHDLALSVAQNEVNSCNHYSTGNVRHLWFDLSKQDASQLPNNLGCLQSLFLLDTEGKADNESLIAEVISRSKHLKVLDLPECSLEQLPSNISYLKQLRCLSLAYNGNIKRLPNSICNLQSLRTLDFSGCGGIEEFPKDIRYLISLRELTVTTKQTRLQENGISCLTCLRRLIFSECENLEKLFEDIQNLTALRELYIYECHNLVSLPQGLKYLPTLQSLSISNCEKLDLTMEELELEREKDGSLRKLFIGGVPKLESLPQWILLGSTKTLQYLAICNLENVLTLPTWTQHLT >KJB20631 pep chromosome:Graimondii2_0_v6:3:42707004:42710432:1 gene:B456_003G157300 transcript:KJB20631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWIHSLMSEPLLGVAFLCEEIPFLFSSHLVSSFLKKKKMSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVEFNGPKDSPYHGGVWKIRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRTSYEQRVKEYCEKYAKLEDIGAAPEEKSSDEELSDDEYAASDDEEIAGKADP >KJB20639 pep chromosome:Graimondii2_0_v6:3:42740667:42743095:1 gene:B456_003G157600 transcript:KJB20639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLKPGPELTTTNVVTINVGGENFQTTKQTLTQAGPNSLLSQLAETTSDRFVDRDPVYFSLLLSLLRTGALPSKVKDFDLRDLIEESRYYGLESLLTNSLTNPSQLDAFALQKSSILPLNGRDSPSAVATTPFGSLHVSHGSKITSFDWSLTRKSTILTQFPAVDSLLAISPDTAAAGATDFSGLQILDLQNGSVKQTLNWENATRSGSTVQAIGSSGEFLFTSFESSRRNSNSIMVYDLNTLNPVTEIGHNEIFGADIDSAIPATKLRWVSRYGLVMASGSHSGLSGVSGNIRFWDIRSGNVVFELKEKIDCFSDICVSDNLNAVFKVGVNSGEVFYTDFRSLSSVNDNSNPWICLGDKRKVINGKKEGFGCKIENHGNQVFCSKGGDIELWSEVVMGSAKTSNGSEDQLTKRDFKKNMMGRVKDMGGSRITNLAFGGNKMFVTRKDQQVIEIWQGSARGI >KJB19680 pep chromosome:Graimondii2_0_v6:3:34671718:34674357:1 gene:B456_003G113900 transcript:KJB19680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLPNNSDNKTLKRWFFINESESNSFYSLSSDRRLDSMDLKSNHTALVLADPAPLNKSTLRVSSGLLPYSGATISQNLFLTVPTMKAGILDIRASNCLDSMESSSPPDKKSRDFNNDLESTDTDVAYRAWLHKYPSALASFDQITNPAKGKRIALFLDYDGTLSPIVDNPDSAFMSNDMRAAVKNVAQFFPTAIISGRSRDKVYEFVGLTELNYAGSHGMDIMGPVRQSFDDHSNCIRSTDNQGKEVNLFQPASEFLPMIDEVFNSLINNTKDIRGAKVENNKFCVSVHYRNVDEKDWTVVAQRVHDVIRNYPRLRLTHGRKVVEVRPVINWDKGKAVTFLLESLGLSNCEDVLPIYVGDDQTDEDAFKVLREGNCGYGILVSSVPKESNAFFSLKDPQEVMEFLKSLVVWKKTSTL >KJB21194 pep chromosome:Graimondii2_0_v6:3:45737169:45738355:1 gene:B456_003G187000 transcript:KJB21194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKKRNRGWTRGNVVPNPSQGDSDTRASIIRAQHNRGDGEIAKLWIPPLPVLGDDMEQVCFWSNCYLFRMFSFQEVLDWRFIIHGDFLLVSFVNCT >KJB17276 pep chromosome:Graimondii2_0_v6:3:30080599:30082833:1 gene:B456_003G096800 transcript:KJB17276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCDLTIAADNAIFGQTGPKVGSFDAGYGSNIMSRLEVGGNATLIFYGTEEGNEGKIAYVERRRSDFSKFSRRP >KJB21092 pep chromosome:Graimondii2_0_v6:3:45403461:45403829:-1 gene:B456_003G182500 transcript:KJB21092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKDMEKVVEPEVVRMVAIILKSTLSPLQFTKSINIVTTSTKRNKCNLVTKTKTLKDVARNFGIEMDGLKSCII >KJB19832 pep chromosome:Graimondii2_0_v6:3:41043125:41043887:-1 gene:B456_003G144300 transcript:KJB19832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIVRSNLRVRLGDVVSVHLCADIPYASRIHILPIDDTVEGFRGIYFIPYLSDCYRPVWKGDLFQVRGGMRSVEFKVMETDPAEYCVVAAGTEFFCEGEPVRREDEDRLDEVSYDDVGGIRKQMTQIRELVELPLRHPQLFKSIGVKPPKGILLYCPPDLNSQSRANETGAFFFCINGPEIMSKIAGESDSNLRKAFEEAEKNAPSIMFMDEIDSIAPK >KJB19831 pep chromosome:Graimondii2_0_v6:3:41043125:41043887:-1 gene:B456_003G144300 transcript:KJB19831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIVRSNLRVRLGDVVSVHLCADIPYASRIHILPIDDTVEGFRGIYFIPYLSDCYRPVWKGDLFQVRGGMRSVEFKVMETDPAEYCVVAAGTEFFCEGEPVRREDEDRLDEVSYDDVGGIRKQMTQIRELVELPLRHPQLFKSIGVKPPKGILLYCPPDLNSQSRANETGAFFFCINGPEIMSKIAGESDSNLRKAFEEAEKNAPSIMFMDEIDSIAPK >KJB19597 pep chromosome:Graimondii2_0_v6:3:33930351:33931224:-1 gene:B456_003G110700 transcript:KJB19597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLWDLINPGTDSSIERKDSPAVLTAMISAWSFLLSTIDGWRLSHKNWQGAITYFSNILDSNDEALCAAACEALALVFESNCLEKFSSKTKDSNKELKDNIIKQLRSRLSETGNERISSQDPRTGFNSASAALDFLEVLI >KJB18922 pep chromosome:Graimondii2_0_v6:3:18046794:18050124:-1 gene:B456_003G075000 transcript:KJB18922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRKQQPPPEMEGTGGAEAAVEAETGIQPKRGCTKSLKTRAQMDKHNESCKRYRLKIKMRREEKEQEIPKLKDEHQKTKMELEEYKSKIGVMGNEIQQLKRKLEAQGQMLTSLEKVVEWFALQTNVQVGQVLATMGAVLRSVNELVSSGETGATYFQPSMAGFLQQTCFDQDYNIMAPAAAAGFANHNLSFVFDAPNSLGNKTGDWTDSATANYNLPEYGATNNHDNIFNNVVGAGLLPTGSSLEANPEASFMFDAANNHENDGDLLPHGHATGFQLCENPSSEAVWKSSP >KJB18921 pep chromosome:Graimondii2_0_v6:3:18046790:18050124:-1 gene:B456_003G075000 transcript:KJB18921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRKQQPPPEMEGTGGAEAAVEAETGIQPKRGCTKSLKTRAQMDKHNESCKRYRLKIKMRREEKEQEIPKLKDEHQKTKMELEEYKSKIGVMGNEIQQLKRKLEAQGQMLTSLEKVVEWFALQTNVQVGQVLATMGAVLRSVNELVSSGETGATYFQPSMAGFLQQTCFDQDYNIMAPAAAAGFANHNLSFVFDAPNSLGDWTDSATANYNLPEYGATNNHDNIFNNVVGAGLLPTGSSLEANPEASFMFDAANNHENDGDLLPHGHATGFQLCENPSSEAVWKSSP >KJB18996 pep chromosome:Graimondii2_0_v6:3:19671864:19673006:1 gene:B456_003G079700 transcript:KJB18996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLNFFLFFVFLRPILTNGEICPVFSCGNVIVDFPFRLPYSPHCCGNPNFNLSCRIGQHNLLDQTIINFPISGDFRVHAIIYSTAKLLITDPCIPKRLLQGFHLSGTPFHPPYPETYIFLNCSSQSNISMVYPGIRFSCLSGINFSIWGIPTIDYNSSSSLSWCVEIAKIMVPLRNPNHRYFIDDIVLTWKQPGGFSSGTKYALIFILGTPITLIVLCIIVYNVFPCCDHGQQPNVEISSFTAEQTVNGLDGSRIEAYPINLLGENFKLSRPNDNTCSICLSEYEAKESIRTIPDCSHYFHANCIDEWLKLNAACPVCRNAPNQTVPHPHYQDHHYR >KJB17799 pep chromosome:Graimondii2_0_v6:3:2763103:2766108:1 gene:B456_003G030100 transcript:KJB17799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPINTVRPSISCSSSSGFDTGPSAPVPKVGFVKLPRSNGGNHARLSLVQATEESTVATTNGRVAAVSGENAHQMATNGKAGNIVWHKSSVDKVHRQELLQQKGCVIWITGLSGSGKSTLACALCHALYSKGKLTYILDGDNVRHGLNRDLTFKAEDRAENIRRIGEVAKLFVDAGVICIVSVISPYRRDRDACRALVLDGDFIEVFMDVPIEICEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEVCVLLEYHSYKLVLVQICI >KJB17797 pep chromosome:Graimondii2_0_v6:3:2763099:2766725:1 gene:B456_003G030100 transcript:KJB17797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPINTVRPSISCSSSSGFDTGPSAPVPKVGFVKLPRSNGGNHARLSLVQATEESTVATTNGRVAAVSGENAHQMATNGKAGNIVWHKSSVDKVHRQELLQQKGCVIWITGLSGSGKSTLACALCHALYSKGKLTYILDGDNVRHGLNRDLTFKAEDRAENIRRIGEVAKLFVDAGVICIVSVISPYRRDRDACRALVLDGDFIEVFMDVPIEICEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNCELALPPKGNSCASPCEMAETVISYLEEKGYLHA >KJB17801 pep chromosome:Graimondii2_0_v6:3:2763279:2766688:1 gene:B456_003G030100 transcript:KJB17801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGKAGNIVWHKSSVDKVHRQELLQQKGCVIWITGLSGSGKSTLACALCHALYSKGKLTYILDGDNVRHGLNRDLTFKAEDRAENIRRIGEVAKLFVDAGVICIVSVISPYRRDRDACRALVLDGDFIEVFMDVPIEICEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNCELALPPKGNSCASPCEMAETVISYLEEKGYLHA >KJB17800 pep chromosome:Graimondii2_0_v6:3:2765313:2766325:1 gene:B456_003G030100 transcript:KJB17800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCHYGATSLSPWSTNSGKSTLACALCHALYSKGKLTYILDGDNVRHGLNRDLTFKAEDRAENIRRIGEVAKLFVDAGVICIVSVISPYRRDRDACRALVLDGDFIEVFMDVPIEICEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNCELALPPKGNSCASPCEMAETVISYLEEKGYLHA >KJB17798 pep chromosome:Graimondii2_0_v6:3:2763103:2766095:1 gene:B456_003G030100 transcript:KJB17798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPINTVRPSISCSSSSGFDTGPSAPVPKVGFVKLPRSNGGNHARLSLVQATEESTVATTNGRVAAVSGENAHQMATNGKAGNIVWHKSSVDKVHRQELLQQKGCVIWITGLSGSGKSTLACALCHALYSKGKLTYILDGDNVRHGLNRDLTFKAEDRAENIRRIGEVAKLFVDAGVICIVSVISPYRRDRDACRALVLDGDFIEVFMDVPIEICEARDPKGLYKLARAGKIKGVFS >KJB18412 pep chromosome:Graimondii2_0_v6:3:7799521:7801491:-1 gene:B456_003G051800 transcript:KJB18412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLVDPHVAVLAFPRGTHAAPLLAIIDRLASASPKTLFSFFGTAQSNNSIFFSTSQPTPPNVKAYNVDDGVPEGYVFVGKPQEDIELFMEVAPQNLRKAMELAVEESGRKVSCLVADAFFWFAKQIAVENGVPWVSFWTAGACSLSSHVYTDLIREKFGVGGIVGREDETLNFIPGMSKVRIRDLPEGIVLGNLESFFSRMLHRMGQVLPEATAVFLNSCEELDPDRTTDLKSKFKQYLNVGPFILATPPPSVPDPYGCLTWLDKQKPATVVYISFGSVVTPPPNELVALAEAVEAIQVPFIWSLRDKSKVHLPNGFLERANGMVVPWAPQRDVLAHGAVGVFITHGGWNSLVESIAGGVPMIFRPFFGDHGVNGRMVEDVWEIGVIVESGVLTKNGIMRGLDLILAQEKGKKMRENLKEVVALAQISVGANGSSTKNFKKLLDLVCSQNHA >KJB19076 pep chromosome:Graimondii2_0_v6:3:21120242:21124871:1 gene:B456_003G084100 transcript:KJB19076 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRH1 [Source:Projected from Arabidopsis thaliana (AT4G23640) UniProtKB/TrEMBL;Acc:A0A384KFZ5] MVDRSYQCKDVLILAYQSFGVLFGDLSISPLYVFKSTFSGNLSNYQSEDVIFGALSLIFWTLTLLSLFKHVIILLNADDNGEGGIFALYSLLCRHAKFSLLPNHQTADEELSTYHNPRYSYRNPQSLTVKRFAERHKKAKTALLLLVLFGTCLLICVGFLTPAISIRSAIEGVKVRSSELHYGVVLIIACILLVGLFVLQHRGTYRVAFMFAPIVILWSLSIAAIGVYNILKWNPRVYKALSPYYIYTFFRDTRYDGWISLGGVLLCVTGAEAMFADLGQYTAASIRLSFFCIIYPCLVLQYMGQAAFLSKNFAAVSTSFYASIPDSLFWPVLVMATLAAIVASQSVISATFSIVKQCYAIGCFPRVKVVHKSKWFRGQIYIPEINWVLLVLCLAVTVGFRDINHLGNAYGLTYMSAMFLTTWLTALIINFVWGQSLVLALLFALFFGSIEIIFLSSSFIRISKGGWVPLVLSVIFMLIMFVWHYGSRKKYLYDFHNKVPMKQILTLGPSLGIVRVPGIGLIFTALVSGVPATFTRFLTNLPTFYQVAVFVCEKTVLVPYVPQKERYLIGRIGPKSFRMYRCIVRNGYKDVQKNEDDFENDLIMSIAEFIQLEAEGSGTLEGSVDGRMAVVRTSEKFGKRLEISELERNGEASSSMPPTILNSSKSHILQYLQSTYEMESPGSTLRRRVRFKLQPDMNYRDPNVKDELLELVEAKQSGVAYVLGHSHIKAKMNAPCLKRFMIHVAYLFLRKNCRAPAVVLNIPQTCLIEVGMNYYL >KJB19077 pep chromosome:Graimondii2_0_v6:3:21120280:21124853:1 gene:B456_003G084100 transcript:KJB19077 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRH1 [Source:Projected from Arabidopsis thaliana (AT4G23640) UniProtKB/TrEMBL;Acc:A0A384KFZ5] MVDRSYQCKDVLILAYQSFGVLFGDLSISPLYVFKSTFSGNLSNYQSEDVIFGALSLIFWTLTLLSLFKHVIILLNADDNGEGGIFALYSLLCRHAKFSLLPNHQTADEELSTYHNPRYSYRNPQSLTVKRFAERHKKAKTALLLLVLFGTCLLICVGFLTPAISIRSAIEGVKVRSSELHYGVVLIIACILLVGLFVLQHRGTYRVAFMFAPIVILWSLSIAAIGVYNILKWNPRVYKALSPYYIYTFFRDTRYDGWISLGGVLLCVTGAEAMFADLGQYTAASIRLSFFCIIYPCLVLQYMGQAAFLSKNFAAVSTSFYASIPDSLFWPVLVMATLAAIVASQSVISATFSIVKQCYAIGCFPRVKVVHKSKWFRGQIYIPEINWVLLVLCLAVTVGFRDINHLGNAYGLTYMSAMFLTTWLTALIINFVWGQSLVLALLFALFFGSIEIIFLSSSFIRISKGGWVPLVLSVIFMLIMFVWHYGSRKKYLYDFHNKVPMKQILTLGPSLGIVRVPGIGLIFTALVSGVPATFTRFLTNLPTFYQVAVFVCEKTVLVPYVPQKERYLIGRIGPKSFRI >KJB18553 pep chromosome:Graimondii2_0_v6:3:10283519:10286466:-1 gene:B456_003G059400 transcript:KJB18553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGWFLIQRPRFNHVFLQNNSFHIPKRVQFSVLPQSSYIPVPLSSFSQFRGSKFLECKCASEKVSESFERDPGQEFEPEPNQARCYFSGYVGQFVVKPLLGYIFGIIAVTVFGLPTPLGAGIMLVSCVSGAQLSNYATFFTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLSVDVVGMVSSILQIVIAPITAGLLLNWLFPRLCEAMRPFLPPLSVLDTACYVGAPLAININLVLSPFGLTVSLLIVAFHLSAFIAGYFLSGSLFHKAPDVKALQRTLSFETGMQSSLLALALANRFFQDPLVSVPPAISTVIMSLMGFALVMIWAKKKE >KJB20779 pep chromosome:Graimondii2_0_v6:3:43430559:43431734:1 gene:B456_003G164600 transcript:KJB20779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAQKWTRRMSNPRLDTAATADDHVLDIPTIPTAEVRNGIYTFGSHPTPNLLTALIIASWFMSNTGVLLLNKYLLSFYGYRYPIFLTMLHMLSCASYSYMAINFLEIVPKQHILSKKQFFKIFALCAIFCFSVVCGNTSLRYIPVSFNQAIGATTPLFTAIFAFIITCKKESVKVYFALLPVVFGIVLATNSEPLFNLFGFLVCIGSTAGRALKSVVQGILLTNEAEKLHSMNLLLYIAPMAALILLPFSLYNEGNVARITLEKARTDSFIILLLIGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAALAAFVSVMVFRNPVTMTGVTGFAVTIMGIVLYSEAKKRSTITTY >KJB19460 pep chromosome:Graimondii2_0_v6:3:31809361:31809543:-1 gene:B456_003G103700 transcript:KJB19460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMPMEFVMDLDGQGSSMEADDVVTPEIFGEGVIAFDNKLADANFFNNFKDDFDNFDID >KJB18643 pep chromosome:Graimondii2_0_v6:3:12615725:12621102:-1 gene:B456_003G064400 transcript:KJB18643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFYRFKKLLWSLAMVGLTSIGTSSIQSNGLVRLYPRVARACAASFVFRGVKSNDKLMKLNLFLWISLIMLSIIDLSTKSDLSFLMTVRASPSTTKS >KJB18642 pep chromosome:Graimondii2_0_v6:3:12616174:12620979:-1 gene:B456_003G064400 transcript:KJB18642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFYRFKKLLWSLAMVGLTSIGTSSIQSNGLVRLYPRVARACAASFVFRGVKSNDKLMKLNLFLWISLIMLSIIDLSTKSDLSFLMTVRASPSTTKS >KJB20580 pep chromosome:Graimondii2_0_v6:3:42393720:42396392:1 gene:B456_003G155000 transcript:KJB20580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNFYGKKRPAINLNLFTSLSHSFFCLNPTKPPSNFQYGVVGLGIVAAMTDSTHTHQSICFAPSPRSTPIPIVSSVKAAPSFGGGFNLEKFDELSDNDTSVISHFSDNLIKNQFGFGDDNKNCSGFTAAPSPRKKNMGQFKREFWSDGFLTSCHLCKKELHGLDIFMYRGEEGFCSADCRDKQIRSDDHKQICALDCSQSSPHVLFSEVAAA >KJB17547 pep chromosome:Graimondii2_0_v6:3:288717:291793:-1 gene:B456_003G005200 transcript:KJB17547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPISSFPFYLSYTMLGAQLCYRTFSTFWVFSHKSNATTYPTIPAFSFPSIRSSSIRLHFPAKLRPVSCHSIRSGSFDPQFNEDDDKDLQFLEASLLVSETVSHYRMLRQGITEEIKWQSSRREQHPISRAKIASIGQSFLSRFPTPTIFLKISCDGDFLLPIIVGEFAVEKLIASFWGDDNGECPDQFHLVKNVVEKLGYEVKMVRITERVVNTYFAKLYFSKPGENDVISVDARPSDAINVAHRCKAPIYVSKQIVLEDAIRIGFGMGRVRDTTPTYDVVLDSAMEGPDLLTEELDLVRNMNLAVEEESNVKRRTNEATQFES >KJB17548 pep chromosome:Graimondii2_0_v6:3:288662:291887:-1 gene:B456_003G005200 transcript:KJB17548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPISSFPFYLSYTMLGAQLCYRTFSTFWVFSHKSNATTYPTIPAFSFPSIRSSSIRLHFPAKLRPVSCHSIRSGSFDPQFNEDDDKDLQFLEASLLVSETVSHYRMLRQGITEEIKWQSSRREQHPISRAKIASIGQSFLSRFPTPTIFLKISCDGDFLLPIIVGEFAVEKLIASFWGDDNGECPDQFHLVKNVVEKLGYEVKMVRITERVVNTYFAKLYFSKPGENDVISVDARPSDAINVAHRCKAPIYVSKQIVLEDAIRIGFGMGRVRDTTPTYDVVLDSAMEGPDLLTEELDLVRNMNLAVEEERYNDAEDVWRVIPSYSCSGKGAT >KJB17546 pep chromosome:Graimondii2_0_v6:3:289269:291754:-1 gene:B456_003G005200 transcript:KJB17546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAQLCYRTFSTFWVFSHKSNATTYPTIPAFSFPSIRSSSIRLHFPAKLRPVSCHSIRSGSFDPQFNEDDDKDLQFLEASLLVSETVSHYRMLRQGITEEIKWQSSRREQHPISRAKIASIGQSFLSRFPTPTIFLKISCDGDFLLPIIVGEFAVEKLIASFWGDDNGECPDQFHLVKNVVEKLGYEVKMVRITERVVNTYFAKLYFSKPGENDVISVDARPSDAINVAHRCKAPIYVSKQIVLEDAIRIGFGMGRVRDTTPTYDVVLDSAMEGPDLLTEELDLVRNMNLAVEEERYNDAAMLRDELMKLRNSSRNQ >KJB17840 pep chromosome:Graimondii2_0_v6:3:1381180:1383441:-1 gene:B456_003G018400 transcript:KJB17840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLIEGQARYFIDASKYGNVSRFINHSCSPNLVNHQVLVDSMDCHRAHIGLYASQDISVGEELTFDYRYELLPAQGYPCQYGVSTCRGRLY >KJB21175 pep chromosome:Graimondii2_0_v6:3:45674389:45677588:1 gene:B456_003G186300 transcript:KJB21175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPIENLSLLPTSSEAANRDAENGDSKSNTGTSDKLQLDEACKVPMGKVIVSLNRRYEDLKAAAHKAPKLSSPATGAGDPMPKDETSDLDKACNELKYLIDEFKKLQVYETNLSDPLVTIEKNVDDILGDLNANPDNVEWETTKDNLKVLRSNMTKVKAQLPLLHQTSSSTEARRLLATTSREEASKLPSPYQADGIFEKGSFFKEFQHRYETLGIREKLCLLCFAIFPENAEVSERLLRFWWEGERLRLTSDSDTKTVNEILDEFVEPGFIQPVYKRSGSKGSCYKMHPIVRCLIVRLAKQANFFYYDSKGYPNMEFFKSKKICLVKSEGPSWWSKDVLAFKKAREQAQQGNDKKQAEAGTMTEEKKKQDQELEEQERQEVFFTKNARAFTKACKQAQQWKAKKQAEADTLTKEKKKQNQKLEEQERKEMEKTRGKLETKRIIYLENLQTLFNVSKQFPELPEELFSKMKNIKVLYLGRWERTAERHMEVEDVDFLKGLKNMNKLRFFSLHGISGIKKLPDSIGMLQNLRILDLKACHTLEELPKEVGLLKMLSYLDLSECYLLDWIPTDLSKLSKLKVLKGFVISSNSPCTLTHLTTLSELEKLSISIHNDKFSIKEKESIKYFSEFESLTKLKIAWGAGGTKKSLDKAIHPEDKNKTSEASKLGPTAKILEKYLKLPFLGSSKSVPKKGNVAVAATTAKAGQDKNGDNAKKQKSGAVVQSNVHANNKDQGGRSPKPVLQSVLKLEKLDIRCYPDKEPPKWLVPKTLTRLKRLYFRGGEVSHIPVANRDEKWNVEILRLKYLINIKMDWKQVQKQFPELKLLEKVNCPQITFCPCDASGVWEVKQAGTKIRMDAQPS >KJB17671 pep chromosome:Graimondii2_0_v6:3:643111:645574:-1 gene:B456_003G010400 transcript:KJB17671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATVIPATRAQPFVKNGCPSQCGNVTIPYPFGTKEGCFLNHNFYIHCDESIACLAHMKLIITDIAIEGQLRVLTTVARDCYRAPRLPFLTPETTIPLSSLSIYNISSTRNKLTAIGCNTYGYLKGYIGNKSYSSGCMSLCDQLDELIIGSCWSIMLLELVLTISRIYRGLWPKELIKQREKFFLQNGGIILQQELSKFNEAVSAEVGRGGHGMVYKGILCDNHVVAIKKSMIADHSQVEQFTNEVIVLSQVNHRNVVKLFRCLETEVPLLVYKFIANGTLYEHLQSSGLGRECRLRITAETARALSYSNSAAYPPIIHRDVKSSNILFYEHYTAKTCFQSSQLTEKSDVYSFRVVLAELLTGRKALCFQVPKEERNLAMHYVFTLKKDQLFRIIDHHVLVEGNTTQIQVAMLANRCLRVKGKERPSMKEVATVLEGLRAMLSIRPVSPQESTKGSSSDYGSC >KJB17908 pep chromosome:Graimondii2_0_v6:3:1767175:1767741:-1 gene:B456_003G023200 transcript:KJB17908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYNTPLPLTREKEYEIMVSTLVHVINGTQPDTAVSDDNNGIHYFNPTCTPLTVNGCDVFPRSQQPLPTVPVPTKERNYRGVRRRPWGKWAAEIRNPKLAVRVWLGTFGSAEAAARAYDRAAVKFRGDKAKTNFPLSDYKTELQEVEEEKKSNGDKGQCSKENEDENGGEAWEIFSEGELRELVKMD >KJB19385 pep chromosome:Graimondii2_0_v6:3:30725933:30730371:1 gene:B456_003G099400 transcript:KJB19385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIADALIDIPAIRRIDKLRNVPPRRKSTGKVIMPGGGLTVLSRYLHASLGSCHDFCKYGTGLSPGPESKIPRSPLRKVIAQERAAIRNLERVSESNAGERRKKSAISVKVSLDSKIEEADDPLVVKTAQTQGGHDLENDEEDLTDTKNSKVSVKFSYDAELQKPKYPSHEDSVNIEAVAAEGEAKEEEENAETKSPRGEKNRQVCVKPSTDSESQKPNCVESEVSSWTEKESVLQEQELLSLNKTDYVVAHVKDSKLKPESKPSSLVKQACLSGKQNSDGLERKEGNILSMTSLGVSSGRNKGDTTIRKGIRTSVIADKKNVVLPSVSLSPKESDPRNLSMNAQKKWRGVYRPKKQENAKQIKHEKLHGKNAKVTENLKGVSHSMDQENVNKLNSEQANPETTSYLIKSNPENKPAESDQNNVVLKRPPSSSKDKSMKHNQNRISIGQPPHVYEKKKLIYKPKGIQSSGLPLSLSSFLGKKSLRPTPNGLTITKPSLASLSTSVSSKSSHNDTLTEHDKAVAENKKSSSKMIYKARPKRALMITSNNKNLPGKKLNFQRGKMIELPVEDCTPRRLTFKKSELVDNRNDDNQKGKVEDCTPRRLKFKQRVYVNNINDDNQNSRVKEFTPKRLKFRRREIVDNQNGDNQNGKVKECTPMRLKFRRRVIVDNQNGDNKNSRIEELTSRIHEFRQKLIVDNIDDHNQNAKVEEFSPRKLESCQRNLDNRNSDDQNSRGEDSAPTKLESCQRTLDNRNSDDQNSRGEDSAPTTLESCQRTLDNRISDDRNSRGEDTAPTKLESCRRALDNRNSDDQNSRGEDSAPRKLIFRPKVPEEQKIDYIQTSKAGTSKNDSGRKEANGQYNGTKIQSRKFSLIQRDLKEKKVAGISYNNIIEETASKFARTKASKVKALVSAFETVISLDTGISESEDKN >KJB20572 pep chromosome:Graimondii2_0_v6:3:42340629:42341496:-1 gene:B456_003G154500 transcript:KJB20572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFNEWLSWLLENSEKNRKGLIVVSIWAIWFSRNKFVHERNVQSLEEIVTFIRSFGLEYRSSAESLKHPQPRSMVKWSPPPQGYFKINVDAGLSVANNRAVLGFIIRNAEGFIMGHNLVHSMVIAEAIAVLDGLQFALDMGFSKVILESDSRLVVNNIQKSSEDYSESRPFTWDVKNLARKFLCCRFQFVAREGNGAAHALVVEDVGFGRSLQFILLGVVDSHSA >KJB21229 pep chromosome:Graimondii2_0_v6:3:44872426:44875178:1 gene:B456_003G177400 transcript:KJB21229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGGDVNQLISVQPNDLKFIFELDKPSFCNLQVTNNTEHHVAFKVKTTSPKKYFVRPTTGILLPHDSCVIRVTLQPKREYPPNMQCKDKFLLQSTIVPRNTNTDDFPADTFNKDGTREIQECKLKVIYETNSDDGGFNSFTSQSPDSTTAIQHLKDERDTAVRQTVQLQQELVCLLIFSFQLSIISSKVDVHTQTNTIMGLRLCQFGT >KJB21230 pep chromosome:Graimondii2_0_v6:3:44873692:44875178:1 gene:B456_003G177400 transcript:KJB21230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVELDKPSFCNLQVTNNTEHHVAFKVKTTSPKKYFVRPTTGILLPHDSCVIRVTLQPKREYPPNMQCKDKFLLQSTIVPRNTNTDDFPADTFNKDGTREIQECKLKVIYETNSDDGGFNSFTSQSPDSTTAIQHLKDERDTAVRQTVQLQQELDFLKRQRKRSNGSSFSFVFASLVGLIGITVGFLLNLSLASPSTA >KJB21228 pep chromosome:Graimondii2_0_v6:3:44872405:44875275:1 gene:B456_003G177400 transcript:KJB21228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGGDVNQLISVQPNDLKFIFELDKPSFCNLQVTNNTEHHVAFKVKTTSPKKYFVRPTTGILLPHDSCVIRVTLQPKREYPPNMQCKDKFLLQSTIVPRNTNTDDFPADTFNKDGTREIQECKLKVIYETNSDDGGFNSFTSQSPDSTTAIQHLKDERDTAVRQTVQLQQELDFLKRQRKRSNGSSFSFVFASLVGLIGITVGFLLNLSLASPSTA >KJB20941 pep chromosome:Graimondii2_0_v6:3:44571575:44574259:-1 gene:B456_003G1744002 transcript:KJB20941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSSFRIILPCFFSSTRCVIFGCEKLTEVEDLFKLEPIENFEAEEIRRLFNVDSINRNRLQLYSYLTDSIMLATPQVLQEYGVTSTFVIGSEVPIVFKHRTNEHRISFSLPTPSHPDEKIHRFSLCIVFSLASDQMLEILPSVHIFNETKMIMQRYSSTFIEIPQTNDNTMLWLIHWPVTDCQFEGGDVVSCMVVPIHLSIRKFGVTYESEHNIRYEYGFSHLSTGDEVSARNIKMDLTKHLPSLESYGDVKVQLCSYIEGSKVVLYDYGIITTLDPMPFDYTGHYYGHQAGKTEVSISVPPNSSRKISCFLNSIIIFSAKNDKTYGFLPCLEIVNETKGTKWTYSKHFMGIPETKNTLYWTTCWNFRGDELEAGDHVSLRVLSDLSVLEFGIDLVYDYELDNNPNIFNQLPWMSKCFKYLLGIFVYISSKSQKDLYRLQSLVKC >KJB20942 pep chromosome:Graimondii2_0_v6:3:44571621:44574257:-1 gene:B456_003G1744002 transcript:KJB20942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSSFRIILPCFFSSTRCVIFGCEKLTEVEDLFKLEPIENFEAEEIRRLFNVDSINRNRLQLYSYLTDSIMLATPQVLQEYGVTSTFVIGSEVPIVFKHRTNEHRISFSLPTPSHPDEKIHRFSLCIVFSLASDQMLEILPSVHIFNETKMIMQRYSSTFIEIPQTNDNTMLWLIHWPVTDCQFEGGDVVSCMVVPIHLSIRKFGVTYESEHNIRYEYGFSHLSTGDEVSARNIKMDLTKHLPSLESYGDVKVQLCSYIEGSKVVASPQVLYDYGIITTLDPMPFDYTGHYYGHQAGKTEVSISVPPNSSRKISCFLNSIIIFSAKNDKTYGFLPCLEIVNETKGTKWTYSKHFMGIPETKNTLYWTTCWNFRGDELEAGDHVSLRVLSDLSVLEFGIDLVYDYELDNNPNIFNQLPWMSKCFKYLLGIFVYISSKSQKDLYRLQSLVKC >KJB20288 pep chromosome:Graimondii2_0_v6:3:40562343:40568044:1 gene:B456_003G141900 transcript:KJB20288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSISSSSSVDESTGLNRNSDLEPVDEQFGLLSLTEPVELEPNRGNGYGVTNGSLNRERSNGEEEDERLTVQNREIFGDERPSSPSSSGYAGERGSSSASTASRIDGAIEVDGDEIQEVRNDCSFEGFSDTQASAWVPGKRHVDEDDGSISWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKLVKAGKHQVVFLVKGPIYLVCISCTEEPYESLKGQLELIYGQMILILTKSINRCFEKNPKFDMTPLLRGTDVVFSSLVHSFSWNPATFIHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKHKVISLVGAQKASLHPDDMLLLSNFVMSSESFRTAESFSPICLPRYNPMAFLYAYVNFCDVDTYLILLTTRSDAFYHLKDCRICIELVLSKSNFLSEVQRSMIDGGMHVEDLPLDPLPRSGSSPHLGQQRLPTHSSERLPTDSPKRPREPFIGIGGPAGLWHFIYRSIFLEQYVSSEFSPPLSSPRQQKRLYRAYQRLYASMHDKGIGPHKTQFRRDENYVLLCWVTQDFELYAAFDPLADKAVAIKTCNRVCQWVKDVENEIFLQGASPFSW >KJB20289 pep chromosome:Graimondii2_0_v6:3:40562464:40568025:1 gene:B456_003G141900 transcript:KJB20289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSISSSSSVDESTGLNRNSDLEPVDEQFGLLSLTEPVELEPNRGNGYGVTNGSLNRERSNGEEEDERLTVQNREIFGDERPSSPSSSGYAGERGSSSASTASRIDGAIEVDGDEIQEVRNDCSFEGFSDTQASAWVPGKRHVDEDDGSISWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKLVKAGKHQVVFLVKGPIYLVCISCTEEPYESLKGQLELIYGQMILILTKSINRCFEKNPKFDMTPLLRGTDVVFSSLVHSFSWNPATFIHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKHKVISLVGAQKASLHPDDMLLLSNFVMSSESFRTAESFSPICLPRYNPMAFLYAYVNFCDVDTYLILLTTRSDAFYHLKDCRICIELVLSKSNFLSEVQRSMIDGGMHVEDLPLDPLPRSGSSPHLGQQRLPTHSSERLPTDSPKRPREPFIGIGGPAGLWHFIYRSIFLEQYVSSEFSPPLSSPRQQKRLYRAYQRLYASMHDKGIGPHKTQFRRDENYVLLCWVTQDFELYAAFDPLADKVCFYICTYTYTYIIGLIMILVPLLC >KJB17777 pep chromosome:Graimondii2_0_v6:3:989690:993281:-1 gene:B456_003G014900 transcript:KJB17777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAVMVMNTQGKPRLAKFFDYLPVEKQQELIRRVFGVLCSRPENVSNFIEAESIFGPDSRLVYNHFATLYFVVVFDSSENELAMLDYIQLLGQQTLERCFKNVCELDIVFNYSKIHTILDEIILGGQVLETRSTEIMRAVEEISKLEAATNAISFIPKSASSWLSR >KJB19883 pep chromosome:Graimondii2_0_v6:3:36825621:36828131:-1 gene:B456_003G123000 transcript:KJB19883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRSFDHLLGWLKSTRPDIDGLSGTESNPVNVADPNSPFISVSDDALFVDSDPGHSFQAIREQIFGSNDSSADSAPMNGFAQQAESMGEGMGRTVMSGFKPSRLPVYTKLANEFGVFDRWFASVPASTQPNRFYVHSATSFGATSNVKKDLIHGFPQKTIFDSLDENGLSFGIYYQNIPATLFFKSLRKLKFLTKFHNYALKFRLHARLGKLPNYVVVEQRYFDVKEFPANDDHPSHDVARGQRFVKEVYEILRSSPQWKEMALLITYDEHGGFYDHVPTPVSGVPNPDGIVGPDPFYFKFNRLGVRVPTLLVSPWIDKATVIHEPTGPTPSSQFEHSSIPATVKKLFNLNSNFLTKRDAWAATFENYFKLRTTPRTDCPETLPEVTTSLRPWGPKEDASLSEFQVELVQLASQLNGDYVLNTYPYIGKSMRVGEANRYVEDAVKRFLEAGKAAIRAGANESAIVTMRPSLTSRIEDRGQHVEAY >KJB19750 pep chromosome:Graimondii2_0_v6:3:35693786:35695142:1 gene:B456_003G117800 transcript:KJB19750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKIPKHQVAGHQAIDGNLGPLVDDSGRFYKPLQDDERGTTELAFYRSFSSKLPHHIRGFFPVFYGTQLVEASDGSGLRPHLVLQDITSNHLNPSILDVKIGSRSWYPEASEDYIQKALEGDRLTTTVTLGFRISGLQIYESKESGYWKPARKEVKSFSADDVRLVLRKFVSSNLEPEPDCCFASTIYGGCSGILEQLLELKAWFEDQTVYHFHSCSLLLLIDKESVLNGRTVPFVEVKLIDFAHTVEAEGVIDHNFLGGLCSFIKFVSEVLTDSKVSTIEASFN >KJB18203 pep chromosome:Graimondii2_0_v6:3:4838989:4841750:1 gene:B456_003G040600 transcript:KJB18203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDGEFRCWDELIPDALGLIFNNLSLQEILTVIPRVCKSWQRAVSGPYCWQDIDIEQWSQQCRPETLDRMLQMLITRSSGSLRKLCVTGLPNGQSFSFIADNAKSLRTLRLPRSEINDSVVEQVAGRLSSVTFLDVSYCRNIGAPALEAIGKHCKLLMGLRRTMHPLEVVGKLSQDDEALAIATTMPKLKQLEVAYLLISTEGVVKILENCPELELLDVRGCWNVKLDENLVKKFSRLKVVGPLVVDYFGMKGWDDCSNYSGSSGYLAWDFVAGDVGIDYDDVISDVDWEDDQSIEDVEMRFYDGFDLENAAFDWPLSP >KJB18202 pep chromosome:Graimondii2_0_v6:3:4838989:4841750:1 gene:B456_003G040600 transcript:KJB18202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDGEFRCWDELIPDALGLIFNNLSLQEILTVIPRVCKSWQRAVSGPYCWQDIDIEQWSQQCRPETLDRMLQMLITRSSGSLRKLCVTGLPNGQSFSFIADNAKSLRTLRLPRSEINDSVVEQVAGRLSSVTFLDVSYCRNIGAPALEAIGKHCKLLMGLRRTMHPLEVVGKLSQDDEALAIATTMPKLKQLEVAYLLISTEGVVKILENCPELELLDVRGCWNVKLDENLVKKFSRLKVVGPLVVDYFGMKGWDDCSNYSGSSGYLAWDFVAGDVGIDYDDVISDVDWEDDQSIEDVEMRFYDGFDLENAAFDWPLSP >KJB18104 pep chromosome:Graimondii2_0_v6:3:3354524:3355612:-1 gene:B456_003G034100 transcript:KJB18104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATATATTAQTHRPNPLLKAPPPRSKLACFSFAAYSKTLIHHLQTLEIPILPGLTDHEFTSIESAFHFTFPPDLRSILQEGLPVDPSFPNWRSSSPQQLNVLLNLPLLSLSKNITLHNFWSPSWGTKPSNPNEALGLVKRLFITAPVLIPIYRNCYIPSTPNMAGNPVFYVDGEEVRILSFDVNRFFQEVEFLRRGGVFKPFKRKKRNGVDNKVPAWAAKAARRIEFWTDVAEKGRRVVARGVTTGWWWRKEEEEFRLGGCLEEVFWRLRDGGWREEEVKDMMMMDGCDQSQIKPKNGTRPLIDGDDAAWHTRVSSVVLLRGGWSREDVVYSLDLDDIDGDESLYLELRSPNSCCIDEA >KJB20466 pep chromosome:Graimondii2_0_v6:3:41781001:41783569:1 gene:B456_003G150400 transcript:KJB20466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO70B1 [Source:Projected from Arabidopsis thaliana (AT5G58430) UniProtKB/Swiss-Prot;Acc:Q9FGH9] MAENGEEKLLAVARHIAKTLGQNDTMADDILQIFSNFDGRFSREKLSEKMVDDNNPRGCAALERAVNSLDRQISQYVALDHPIWAHTADSSAFLDAIDDLIRTMRDWEPMAAEKSVSACLVRADDLMHQAMFRVGDEFRSLMDRGAESFELNRSNHESTGNLSFDSDDDNEENENGLLRNGVDLDHQIPVAQPVTDYDIVIDALPSGTVSDLHEIAKRMVAAGFVKECSHVYSTCRREFLEESISRLGLQKLSIDEVQKMPWQELEDEIERWIKAANVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGSTIQLLNFADAVAIGSRSPERLFKVLDVFETLRDLMPEFDSVFSDQYCLVLRNEAVTIWKRLGEAIRGIFMELENLIRRDPAKAAVPGGGLHPITRYVMNYLRAACRSRQTLEQVFDESNAVVPSNKLDDRRASSSSMSVQMAWIMELLESNLEMKSKIYRDSALCSVFMMNNGRYIVQKVKDSELESLLGDDWIRKHNAKVRQYCTNYQRSSWNKIIGTLKLDNSSLASNVIAKSMKEKIKSFNTQFEDVCKTQSSWIVFDEQLREEMRISVSRLLLPAYRNFIGRLQCMPEIGRNTDRLIQYNPEDIEARINELFEGNNGSSGVRK >KJB18951 pep chromosome:Graimondii2_0_v6:3:18638306:18644968:-1 gene:B456_003G076800 transcript:KJB18951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETTEESELHNGHQPQAEPEPESKAESQPESKTEPGPENKPEEALKLEPVVTGADPNTKEEDKESSIQSNDAATPSSTDQNARPQLRKDEGNRTFTMRELLTEFKSDEEEVGSPYSRESTQRQSNQNSAAMELVSSVTGADEEGQSRQRVLVYAAKRYAAALEKNPEDYDALYNWALVLQESADNVSPDSTSPSKDDLLEEACKKYDDATRLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWEQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVSAREKQKIVKTAISKFREAIRLQFDFHRAIYNLGTVLYALAEDSVQTGTTNPKEMSSNELYSQSAIYIAAAHALKPNYSVYSSALKLVHSMLPLPHLKDGYLTAPPLGNTFPPHSDWKRTEFFLNQEALQQCMKLCYCTSLLRGRNDRVIKVEQKQVSRSLSGRIVDAGNTDKKAIRVDIPDIISVSASADLTLPPGAGLCINTTSGQVFLVADSWESLDGWLDALRLVYTIYARGKTDVLAGIIAT >KJB18950 pep chromosome:Graimondii2_0_v6:3:18638110:18645112:-1 gene:B456_003G076800 transcript:KJB18950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETTEESELHNGHQPQAEPEPESKAESQPESKTEPGPENKPEEALKLEPVVTGADPNTKEEDKESSIQSNDAATPSSTDQNARPQLRKDEGNRTFTMRELLTEFKSDEEEVGSPYSRESTQRQSNQNSAAMELVSSVTGADEEGQSRQRVLVYAAKRYAAALEKNPEDYDALYNWALVLQESADNVSPDSTSPSKDDLLEEACKKYDDATRLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWEQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVSAREKQKIVKTAISKFREAIRLQFDFHRAIYNLGTVLYALAEDSVQTGTTNPKEMSSNELYSQSAIYIAAAHALKPNYSVYSSALKLVHSMLPLPHLKDGYLTAPPLGNTFPPHSDWKRTEFFLNQEALQQVIKVEQKQVSRSLSGRIVDAGNTDKKAIRVDIPDIISVSASADLTLPPGAGLCINTTSGQVFLVADSWESLDGWLDALRLVYTIYARGKTDVLAGIIAT >KJB20851 pep chromosome:Graimondii2_0_v6:3:43927981:43929845:1 gene:B456_003G168800 transcript:KJB20851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAASSSLRAAFSHCVLQVRSYDYHHYLCLLELPANMRKAAFALRAFNVETARAMDVASDPKIGLMRLLWWQEAIDKIYANKLIEHPTAQALSSVISESKISKAWLKRVVNARINDANRDVIDLPESIEELEKYAEDTASTLLYMTLQAGGIKSTAVDHAASHVGKASGLLLLLKSLPYHASRNRHFSYIPAKVAAEHGLLVKEGGRSEIRLDSREGLCDAVCEMASVANAHLVKARELANSVPVEARKVLLPAVPAQVLLDSLSKVQFDVFDSRLARGVLGKPPLWFQLKLKWYSWRGIY >KJB21007 pep chromosome:Graimondii2_0_v6:3:45024927:45025862:1 gene:B456_003G178800 transcript:KJB21007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRIFPIYFSVEIPLTPHPISLRARGFSIAFFISLLASIFLSSSLFLVTYLFIVVTVPWHGKLFHQFICFLRLFAITIQAIAQHNHEIPAQAPPPPPQVLELQV >KJB19960 pep chromosome:Graimondii2_0_v6:3:37582817:37585330:-1 gene:B456_003G126000 transcript:KJB19960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSFFLFLYLLTSFFIASSATISLGSSITPSSPRLTWHSSNSTFSISFIPSASSNSLLAAITYAGGVPIWTAGNGTTVDSAGSLRLLSNGALHLVNGSGAVVWDSGTANQGVSSASLEESGELRLLGNGSSVVWSSFDHPTDTIVPTQNFTVGRVLRSGLYTFYLQRSGNLTLRWNDSIVYWTQGLNSSFDANLTSPSLGLQTIGILNLFDPSLSTGSIVAYSSDYAEGSNILRFLRLDEDGNLRIYSSSQGSGTITPRWSAVLDQCDVFGYCGNMGICSYNDTSPICGCPSENFELVDVNDRRQGCKRKREIEDCPGSAAMLELDHAEFLTYSPELSSQVFFIGISACRLNCLVSASCVASTSLSDGSGVCYLKTTEFVSGYQSASLPSTSYVKVCGPILPNPSPFADNVENGSGWRVHGWVVVVVIVATVLVLIALEGSLWWWCCRNSPKFGGLSAQYALLEYASGAPVQFSYKELQRLTKGFKEKLGAGGFGAVYKGVLANRTVMAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDNFLFTTEEHSGKMLSWEYRYNVALGTARGITYLHEECRDCIVHCDIKPENILLDENFNAKVSDFGLAKLINPKDHRYRTLTSVRGTRGYLAPEWLANLPITSKSDVYSYGMVLLEIVSGRRNFDVSADTNRKKFSVWAYEEFEKGNIEGIVDKKLRSKDVDMEQITRAIQVSFWCIQEQPSHRPMMGKVVQMLEGITDIERPPAPKAATEGSISGTSINVSSNISAFSTFAVSAPAPSSSSSLQTVGISPLASGMISSEKQSSSLIQPDMKC >KJB20227 pep chromosome:Graimondii2_0_v6:3:40166740:40172249:-1 gene:B456_003G139300 transcript:KJB20227 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT1 [Source:Projected from Arabidopsis thaliana (AT3G45780) UniProtKB/TrEMBL;Acc:A0A178VFT4] MSMERISEVPEKKPRKSSRLSFMGLMRKSQNTADSFDNSLLVDAHEDESDYDDDDDRPDSVDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSAKVDPLHNSIPDTAAQEGQQLVKQTAENVDEAVRELPDANMNPEDLWMSHSKVVHPKPHRKDSPSWKAIQKVLDSGEKMGLKHFRPVKPLGSGDTGSVHLVELCGTGLYFAMKAMDKGVMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFMLLDRQPTKVMKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQDNGHVALTDFDLSCLTSCKPQLLIPATDEKKKRQKSQQNPIFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANVLQKDLKFPKSIQVSLHAKQLMYRLLHRDPKNRLGAREGANEIKRHPFFKGVNWALVRWMTPPELEVPISATETRKEEDKAMDPQLQDLQANIF >KJB20230 pep chromosome:Graimondii2_0_v6:3:40166740:40176841:-1 gene:B456_003G139300 transcript:KJB20230 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT1 [Source:Projected from Arabidopsis thaliana (AT3G45780) UniProtKB/TrEMBL;Acc:A0A178VFT4] MESTEKSSKQSNLIPPLPRDSRGSLEVFNPSTFSTRPVNPAFRPQPIWENLIEQRDSTAEEADTRTSELESKSGRAEEIITSWMALKEPNAPAPSPALSSLASSPLVCNVTASPKPSDEAGVAAKRAAEWGLVLKTDNETGKPQGVVVRNSGGDEPNSKPGTSRRNSNNSVRSSEESDNEYSKERGFPRVSEDLKDALSTFQQTFVVSDATKPDYPILYASAGFFRMTGYTSKEVIGRNCRFLQGAGTDPEDVAKIREALQAGRNYCGRLLNYKKDGTPFWNLLTIAPIKDENGKVLKFIGMQVEVSKHTEGAKEKMVRPNGLPESLIRYDARQKDIAAGSVTELVEAVRKPRSLSESSNDPFTRKSGGDDDGEGAVSIGRRNSENVPPHRRNSNGGIRMSMERISEVPEKKPRKSSRLSFMGLMRKSQNTADSFDNSLLVDAHEDESDYDDDDDRPDSVDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSAKVDPLHNSIPDTAAQEGQQLVKQTAENVDEAVRELPDANMNPEDLWMSHSKVVHPKPHRKDSPSWKAIQKVLDSGEKMGLKHFRPVKPLGSGDTGSVHLVELCGTGLYFAMKAMDKGVMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFMLLDRQPTKVMKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQDNGHVALTDFDLSCLTSCKPQLLIPATDEKKKRQKSQQNPIFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANVLQKDLKFPKSESACKAVNVPLIA >KJB20229 pep chromosome:Graimondii2_0_v6:3:40166693:40176894:-1 gene:B456_003G139300 transcript:KJB20229 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT1 [Source:Projected from Arabidopsis thaliana (AT3G45780) UniProtKB/TrEMBL;Acc:A0A178VFT4] MPRDFNLSSAQFTSEMESTEKSSKQSNLIPPLPRDSRGSLEVFNPSTFSTRPVNPAFRPQPIWENLIEQRDSTAEEADTRTSELESKSGRAEEIITSWMALKEPNAPAPSPALSSLASSPLVCNVTASPKPSDEAGVAAKRAAEWGLVLKTDNETGKPQGVVVRNSGGDEPNSKPGTSRRNSNNSVRSSEESDNEYSKERGFPRVSEDLKDALSTFQQTFVVSDATKPDYPILYASAGFFRMTGYTSKEVIGRNCRFLQGAGTDPEDVAKIREALQAGRNYCGRLLNYKKDGTPFWNLLTIAPIKDENGKVLKFIGMQVEVSKHTEGAKEKMVRPNGLPESLIRYDARQKDIAAGSVTELVEAVRKPRSLSESSNDPFTRKSGGDDDGEGAVSIGRRNSENVPPHRRNSNGGIRMSMERISEVPEKKPRKSSRLSFMGLMRKSQNTADSFDNSLLVDAHEDESDYDDDDDRPDSVDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSAKVDPLHNSIPDTAAQEGQQLVKQTAENVDEAVRELPDANMNPEDLWMSHSKVVHPKPHRKDSPSWKAIQKVLDSGEKMGLKHFRPVKPLGSGDTGSVHLVELCGTGLYFAMKAMDKGVMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFMLLDRQPTKVMKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQDNGHVALTDFDLSCLTSCKPQLLIPATDEKKKRQKSQQNPIFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANVLQKDLKFPKSIQVSLHAKQLMYRLLHRDPKNRLGAREGANEIKRHPFFKGVNWALVRWMTPPELEVPISATETRKEEDKAMDPQLQDLQANIF >KJB20231 pep chromosome:Graimondii2_0_v6:3:40166740:40176841:-1 gene:B456_003G139300 transcript:KJB20231 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT1 [Source:Projected from Arabidopsis thaliana (AT3G45780) UniProtKB/TrEMBL;Acc:A0A178VFT4] MEHLFGIFLQLHPSRTKMEKMQVEVSKHTEGAKEKMVRPNGLPESLIRYDARQKDIAAGSVTELVEAVRKPRSLSESSNDPFTRKSGGDDDGEGAVSIGRRNSENVPPHRRNSNGGIRMSMERISEVPEKKPRKSSRLSFMGLMRKSQNTADSFDNSLLVDAHEDESDYDDDDDRPDSVDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSAKVDPLHNSIPDTAAQEGQQLVKQTAENVDEAVRELPDANMNPEDLWMSHSKVVHPKPHRKDSPSWKAIQKVLDSGEKMGLKHFRPVKPLGSGDTGSVHLVELCGTGLYFAMKAMDKGVMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFMLLDRQPTKVMKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQDNGHVALTDFDLSCLTSCKPQLLIPATDEKKKRQKSQQNPIFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANVLQKDLKFPKSIQVSLHAKQLMYRLLHRDPKNRLGAREGANEIKRHPFFKGVNWALVRWMTPPELEVPISATETRKEEDKAMDPQLQDLQANIF >KJB20226 pep chromosome:Graimondii2_0_v6:3:40166740:40175567:-1 gene:B456_003G139300 transcript:KJB20226 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT1 [Source:Projected from Arabidopsis thaliana (AT3G45780) UniProtKB/TrEMBL;Acc:A0A178VFT4] MESTEKSSKQSNLIPPLPRDSRGSLEVFNPSTFSTRPVNPAFRPQPIWENLIEQRDSTAEEADTRTSELESKSGRAEEIITSWMALKEPNAPAPSPALSSLASSPLVCNVTASPKPSDEAGVAAKRAAEWGLVLKTDNETGKPQGVVVRNSGGDEPNSKPGTSRRNSNNSVRSSEESDNEYSKERGFPRVSEDLKDALSTFQQTFVVSDATKPDYPILYASAGFFRMTGYTSKEVIGRNCRFLQGAGTDPEDVAKIREALQAGRNYCGRLLNYKKDGTPFWNLLTIAPIKDENGKVLKFIGMQVEVSKHTEGAKEKMVRPNGLPESLIRYDARQKDIAAGSVTELVEAVRKPRSLSESSNDPFTRKSGGDDDGEGAVSIGRRNSENVPPHRRNSNGGIRMSMERISEVPEKKPRKSSRLSFMGLMRKSQNTADSFDNSLLVDAHEDESDYDDDDDRPDSVDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSAKVDPLHNSIPDTAAQEGQQLVKQTAENVDEAVRELPDANMNPEDLWMSHSKVVHPKPHRKDSPSWKAIQKVLDSGEKMGLKHFRPVKPLGSGDTGSVHLVELCGTGLYFAMKAMDKGVMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFMLLDRQPTKVMKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQDNGHVALTDFDLSCLTSCKPQLLIPATDEKKKRQKSQQNPIFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANVLQKDLKFPKSIQVSLHAKQLMYRLLHRDPKNRLGAREGANEIKRHPFFKGVNWALVRWMTPPELEVPISATETRKEEDKAMDPQLQDLQANIF >KJB20228 pep chromosome:Graimondii2_0_v6:3:40166740:40176617:-1 gene:B456_003G139300 transcript:KJB20228 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT1 [Source:Projected from Arabidopsis thaliana (AT3G45780) UniProtKB/TrEMBL;Acc:A0A178VFT4] MESTEKSSKQSNLIPPLPRDSRGSLEVFNPSTFSTRPVNPAFRPQPIWENLIEQRDSTAEEADTRTSELESKSGRAEEIITSWMALKEPNAPAPSPALSSLASSPLVCNVTASPKPSDEAGVAAKRAAEWGLVLKTDNETGKPQGVVVRNSGGDEPNSKPGTSRRNSNNSVRSSEESDNEYSKERGFPRVSEDLKDALSTFQQTFVVSDATKPDYPILYASAGFFRMTGYTSKEVIGRNCRFLQGAGTDPEDVAKIREALQAGRNYCGRLLNYKKDGTPFWNLLTIAPIKDENGKVLKFIGMQVEVSKHTEGAKEKMVRPNGLPESLIRYDARQKDIAAGSVTELVEAVRKPRSLSESSNDPFTRKSGGDDDGEGAVSIGRRNSENVPPHRRNSNGGIRMSMERISEVPEKKPRKSSRLSFMGLMRKSQNTADSFDNSLLVDAHEDESDYDDDDDRPDSVDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSAKVDPLHNSIPDTAAQEGQQLVKQTAENVDEAVRELPDANMNPEDLWMSHSKVVHPKPHRKDSPSWKAIQKVLDSGEKMGLKHFRPVKPLGSGDTGSVHLVELCGTGLYFAMKAMDKGVMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFMLLDRQPTKVMKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQDNGHVALTDFDLSCLTSCKPQLLIPATDEKKKRQKSQQNPIFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANVLQKDLKFPKSIQVSLHAKQLMYRLLHRDPKNRLGAREGANEIKRHPFFKGVNWALVRWMTPPELEVPISATETRKEEDKAMDPQLQDLQANIF >KJB19442 pep chromosome:Graimondii2_0_v6:3:31235008:31243605:-1 gene:B456_003G102300 transcript:KJB19442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPPDSSLSTVLKFLIPLSSIFIKQNLFENFVSHFIYLHGLLIHSVSNPQTPKGYLKKEKRKPLFCLQILKLSFTFPLKIFHFFPGKMRWEKVQLYPKEEGGVGEACAGPGKRWGHTCNAIKGGRFLYVFGGYGKDNCQTNQVHVFDTASRTWSLLATKGTPPIPRDSHSCTTVGDNLFVFGGTDGMNPLKDLHILDTATCTWICPSVRGEGPEAREGHSAALVGKRLFIFGGCGKSSDNNEEIYYNDLYILNTETFVWKRAATLGNPPSARDSHTCSSWKNKIIVIGGEDGHDYYLSDVHILDADTLAWKELITSGQMLTPRAGHSTVAFGKNLFVFGGFTDAQNLYDDLYMLDVDTGIWTKVITMGDGPSARFSVAGDCLDPLKSGVLVFIGGCNKTLEALDDMYYLHTGLIVRDERKLEKLSLRKQLKLKCQEQNLSNLAQDKALVRIEVSNDVNQPIPLSSYGQPRRENFPLNQVLHQGKKTFRAKVTESFPHGYTIETIIDGKPLRGILFANTPSSVHVHNHNPSSRNP >KJB19439 pep chromosome:Graimondii2_0_v6:3:31234606:31243649:-1 gene:B456_003G102300 transcript:KJB19439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPPDSSLSTVLKFLIPLSSIFIKQNLFENFVSHFIYLHGLLIHSVSNPQTPKGYLKKEKRKPLFCLQILKLSFTFPLKIFHFFPGKMRWEKVQLYPKEEGGVGEACAGPGKRWGHTCNAIKGGRFLYVFGGYGKDNCQTNQVHVFDTASRTWSLLATKGTPPIPRDSHSCTTVGDNLFVFGGTDGMNPLKDLHILDTATCTWICPSVRGEGPEAREGHSAALVGKRLFIFGGCGKSSDNNEEIYYNDLYILNTETFVWKRAATLGNPPSARDSHTCSSWKNKIIVIGGEDGHDYYLSDVHILDADTLAWKELITSGQMLTPRAGHSTVAFGKNLFVFGGFTDAQNLYDDLYMLDVDTGIWTKVITMGDGPSARFSVAGDCLDPLKSGVLVFIGGCNKTLEALDDMYYLHTGLIVRDERKLEKLSLRKQLKLKCQEQNLSNLAQDKALVRIEVSNDVNQPIPLSSYGQPRRENFPLNQVLHQGKKTFRAKVTESFPHGYTIETIIDGKPLRGILFANTPSSVHVHNHNPSRKRTSVEVGGTVLNGDCDSKSRSVRQDSGDHKQADVHEKDYLLHDTEVPAPSLRDPAQSDLSTHKEPVKQDFSQSAAHLNLNDDKASNTLNSGTEGLKGVGAVSAGFSVNLSPKQDERRPNTLEHSNPEKPI >KJB19441 pep chromosome:Graimondii2_0_v6:3:31234671:31243605:-1 gene:B456_003G102300 transcript:KJB19441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPPDSSLSTVLKFLIPLSSIFIKQNLFENFVSHFIYLHGLLIHSVSNPQTPKGYLKKEKRKPLFCLQILKLSFTFPLKIFHFFPGKMRWEKVQLYPKEEGGVGEACAGPGKRWGHTCNAIKGGRFLYVFGGYGKDNCQTNQVHVFDTASRTWSLLATKGTPPIPRDSHSCTTVGDNLFVFGGTDGMNPLKDLHILDTATCTWICPSVRGEGPEAREGHSAALVGKRLFIFGGCGKSSDNNEEIYYNDLYILNTETFVWKRAATLGNPPSARDSHTCSSWKNKIIVIGGEDGHDYYLSDVHILDADTLAWKELITSGQMLTPRAGHSTVAFGKNLFVFGGFTDAQNLYDDLYMLDVDTGIWTKVITMGDGPSARFSVAGDCLDPLKSGVLVFIGGCNKTLEALDDMYYLHTGLIVRDERKLEKLSLRKQLKLKCQEQNLSNLAQDKALVRIEVSNDVNQPIPLSSYGQPRRENFPLNQVLHQGKKTFRAKVTESFPHGYTIETIIDGKPLRGILFANTPSSVHVHNHNPSRKRTSVEVGGTVLNGDCDSKSRSVRQDSGDHKQADVHEKDYLLHDTEVPAPSLRDPAQSDLSTHKQEPVKQDFSQSAAHLNLNDDKASNTLNSGTEGLKGVGAVSAGFSVNLSPKQDERRPNTLEHSNPEKPI >KJB19440 pep chromosome:Graimondii2_0_v6:3:31234671:31242576:-1 gene:B456_003G102300 transcript:KJB19440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLKDLHILDTATCTWICPSVRGEGPEAREGHSAALVGKRLFIFGGCGKSSDNNEEIYYNDLYILNTETFVWKRAATLGNPPSARDSHTCSSWKNKIIVIGGEDGHDYYLSDVHILDADTLAWKELITSGQMLTPRAGHSTVAFGKNLFVFGGFTDAQNLYDDLYMLDVDTGIWTKVITMGDGPSARFSVAGDCLDPLKSGVLVFIGGCNKTLEALDDMYYLHTGLIVRDERKLEKLSLRKQLKLKCQEQNLSNLAQDKALVRIEVSNDVNQPIPLSSYGQPRRENFPLNQVLHQGKKTFRAKVTESFPHGYTIETIIDGKPLRGILFANTPSSVHVHNHNPSRKRTSVEVGGTVLNGDCDSKSRSVRQDSGDHKQADVHEKDYLLHDTEVPAPSLRDPAQSDLSTHKEPVKQDFSQSAAHLNLNDDKASNTLNSGTEGLKGVGAVSAGFSVNLSPKQDERRPNTLEHSNPEKPI >KJB20382 pep chromosome:Graimondii2_0_v6:3:41259317:41261195:1 gene:B456_003G145900 transcript:KJB20382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQHGSPFSWAYFCQAKTMEELKHSLLCTTMELEQTKILVQEELRKRDDQVLELKESLSKAMKERDEAKEKYQKLFLEKLVHHHHLHRQVSSIEDEPRRGIDSNNGFSSSDCEESIVSSPVVDPIQQGPQATIELVPDRPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLDSFDIPPVTIPSPPPPPPHRLHQDSFTTLNACGKVNRKRILLEGSDSPTETKYQRIVLH >KJB20299 pep chromosome:Graimondii2_0_v6:3:40707125:40710135:-1 gene:B456_003G142500 transcript:KJB20299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESDKSLDPQLWHACAGPMVQIPPLNSKVFYFPQGHAEHSLAAVDFPSSPPVPALVLCRVASLKFMADTETDEVYAKILLMPLPNTELDLEHVAVFGSDNAEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPPLDYTEDPPVQTVVAVDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRSENGGLCVGIRRAKRGTGNGPEAGSPFLSFLREDESKMMMMNRNGDWRGKGKLKAEAVLQAATLAASGQPFEVVYYPRASTPEFCVKASSVKAAMRVPWCCGMRFKMAFETEDSSRISWFMGTVSSVQVVDPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNVPAVHLSPFSPPRKKSRFPQHLDFPLDGQFPMSAFSSNGNPHGTGCPLVLSDNAPAGIQGARHAQFGLSLSDLHLNNKLQSGLFLPGFQRFDPHSRISDGIMMARRPNGTDNLSCLLTIGNSNVNEKSGNTKRHQFLLFGQPILTEQQLSRSCSSEVVSQVINGNSSLDGSAEKTKDTSDGSRSSLEKSSTAGFLWHQDYRSTETGLDIGHCKVFLDSEDVGRTLDLSVLGSYEELYRRLANMFGIERSKMLGHVLYRDATGAVKQTGEEPFRYQFKKPIHLFCSFDLALGLYLHNLPMLYCSAFMKTAKRLTIRMDSSNETVARSWLTGIRTAENGLGGPSKRGPLSIFA >KJB20298 pep chromosome:Graimondii2_0_v6:3:40707017:40710050:-1 gene:B456_003G142500 transcript:KJB20298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESDKSLDPQLWHACAGPMVQIPPLNSKVFYFPQGHAEHSLAAVDFPSSPPVPALVLCRVASLKFMADTETDEVYAKILLMPLPNTELDLEHVAVFGSDNAEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPPLDYTEDPPVQTVVAVDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRSENGGLCVGIRRAKRGTGNGPEAGSPFLSFLREDESKMMMMNRNGDWRGKGKLKAEAVLQAATLAASGQPFEVVYYPRASTPEFCVKASSVKAAMRVPWCCGMRFKMAFETEDSSRISWFMGTVSSVQVVDPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNVPAVHLSPFSPPRKKSRFPQHLDFPLDGQFPMSAFSSNGNPHGTGCPLVLSDNAPAGIQGARHAQFGLSLSDLHLNNKLQSGLFLPGFQRFDPHSRISDGIMMARRPNGTDNLSCLLTIGNSNVNEKSGNTKRHQFLLFGQPILTEQQLSRSCSSEVVSQVINGNSSLDGSAEKTKDTSDGSRSSLEKSSTAGFLWHQDYRSTETGLDIGHCKVFLDSEDVGRTLDLSVLGSYEELYRRLANMFGIERSKMLGHVLYRDATGAVKQTGEEPFSAFMKTAKRLTIRMDSSNETVARSWLTGIRTAENGLGGPSKRGPLSIFA >KJB20297 pep chromosome:Graimondii2_0_v6:3:40707119:40710251:-1 gene:B456_003G142500 transcript:KJB20297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESDKSLDPQLWHACAGPMVQIPPLNSKVFYFPQGHAEHSLAAVDFPSSPPVPALVLCRVASLKFMADTETDEVYAKILLMPLPNTELDLEHVAVFGSDNAEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPPLDYTEDPPVQTVVAVDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRSENGGLCVGIRRAKRGTGNGPEAGSPFLSFLREDESKMMMMNRNGDWRGKGKLKAEAVLQAATLAASGQPFEVVYYPRASTPEFCVKASSVKAAMRVPWCCGMRFKMAFETEDSSRISWFMGTVSSVQVVDPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNVPAVHLSPFSPPRKKSRFPQHLDFPLDGQFPMSAFSSNGNPHGTGCPLVLSDNAPAGIQGARHAQFGLSLSDLHLNNKLQSGLFLPGFQRFDPHSRISDGIMMARRPNGTDNLSCLLTIGNSNVNEKSGNTKRHQFLLFGQPILTEQQLSRSCSSEVVSQVINGNSSLDGSAEKTKDTSDGSRSSLEKSSTAGFLWHQDYRSTETGLDIGHCKVFLDSEDVGRTLDLSVLGSYEELYRRLANMFGIERSKMLGHVLYRDATGAVKQTGEEPFSAFMKTAKRLTIRMDSSNETVARSWLTGIRTAENGLGGPSKRGPLSIFA >KJB19873 pep chromosome:Graimondii2_0_v6:3:36773632:36777249:1 gene:B456_003G122700 transcript:KJB19873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEEMKEIEGVERQDSEEMNNEQEELKRIAPWMKQITIRGLVASLLIGIIYSVIVMKLNLTTGLVPNLNASAALLAFVFIRSWTKLLQKAGIVSTPFTRQENTIIQTCAVACYSIAVGGGFGSYLLGLNRKTYEQAGIGTEGNNPWSIKEPGIGWMVGFLFVSCFVGLLALVPLRKIMIIDYKLSYPSGTATAVLINGFHTPKGDKIAKKQVHGFVNFFSLSFLWAFFQWFYAGGDKCGFAQFPTFGLKAWKNSFYFDFSMTYIGAGMICSHLVNLSLLLGAVLSWGVMWPLIGGLKGEWFPATLPESSMKSLNGYKVFISIALILGDGLYNFLKILFLIARGIHTNVNVRSLKIFSHEQKQQQIDLQRNELFVRENIPIWVACAGYTIFSIISIVVIPLMFPELKWYYIVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFVLAAMSGKENGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRTMLVSQAIGTAIGCVVAPLTFFLFYKAFDVGNPDGEYKAPYALIYRNMAILGVQGFSALPHHCLQLCYGFFAFAIAANLLRDFSPKNIGKWVPLPMAMAVPFLVGAYFAIDMCVGSLVVFAWHKLNGKKADLMVPAVASGLICGDGLWLLPSSILALFKVRPPICMSFFAST >KJB20886 pep chromosome:Graimondii2_0_v6:3:44160870:44164452:-1 gene:B456_003G170400 transcript:KJB20886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPTTQGKTLPDAWDYKGHPSERSKSGGWTSAAMILGVEACERLTTLGIAVNLVTYLTETMHLGNATSANIVTNFFGTSFMLCLLGGFVADTFLGRYLTIGIFTAVQATGVTILTVSTIIPSLRPPKCTRDSTTVCIPASGIQLTVLYLALYLIALGTGGLKSSVSGFGSDQFDESDPEERSQMSNFFNWFFFFISLGSLCSVTILVYIQDNLGRDWGYGIIACAIVIGLMVFLSGTKRYRFKKLVGSPLTQIAAVFIAAWRKKHLELPSEPSLLFNIDDVAEGLKMKTKQKLPHTKQFRFLDRAAIKDPSVIKANKWNLATLTDVEEVKLVLRMLPIWATTIIFWTVYAQMSTFSVSQATTMDRHIGKFQIPPASLTVFFVGAILLTVPIYDRLIVPIARKVLKNPQGLTPLQRIAVGLVLSIIAMVAAALIEIKRMRVATTNGLTNNPTAQIPLSVFWLVPQFLFVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFLSSLLVTIVDKVTGNKHPWLPDNLNQGRLYDFYWLLAILCGLNLAIYLVFAKWYVYKDKRLADEGIELEESEPTFH >KJB18485 pep chromosome:Graimondii2_0_v6:3:8838861:8839554:-1 gene:B456_003G055400 transcript:KJB18485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDRKEKTSYTFLGAVYRIVAIDVRSRREGRDLRKVSFYDPINNQTYLNVPAILYFLEKGA >KJB19655 pep chromosome:Graimondii2_0_v6:3:34415733:34416780:-1 gene:B456_003G112700 transcript:KJB19655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQSDGTFIKKGPWTGEEDEILMNYVKKYGPKGWSSIRSMGLLPRTGKSCRLRWVNKLRPNLKTGCKFSAEEERVVIELQAEFGNKWAKIARHLPGRTDNDVKNFWSARRKRLERISHTPKSKGKDHHALHEMPMVEVVPSNGVPLEQGSSSHQHPFFPGNLEEFKLVPLPDLIKPDFLNMETGLSTLDIEPIRMIPQLQVDLPILPDSFDFNFAAMFNNQEASESESKPISLTKIPSAGIKGSDAELGKKENIGNSATPDSFFDEFPTDMFDCLEPLVSSSEW >KJB20837 pep chromosome:Graimondii2_0_v6:3:43815309:43816682:1 gene:B456_003G167700 transcript:KJB20837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVTSHGLSLPPPFNFRDFNLHHHHQQHQHQHQQQQEHQHQFHHQNSEDEQSGSSSGLKKRDRDDNNNNSSSGGNNEEKDLSLQGTGEGEINRRPRGRPAGSKNKPKPPIIVTRDSANALRTHVMEIGDGCDIVESVATFARRRQRGVCIMSGTGTVTNVTLRQPASAGAVVTLHGRFEILSLAGSFLPPPAPPAATGLTIYLAGGQGQVVGGSVVGTLTCSGPVVIMAASFSNAAYERLPLEEEEPQLPMQGGAIGSPTAGGGQLQQQEQQALAESNVPLFHGLAPNLLNPIQLPNEAFWPSGRPPF >KJB20196 pep chromosome:Graimondii2_0_v6:3:39719482:39719913:-1 gene:B456_003G137800 transcript:KJB20196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCISTCIDDIKVPIRPTNAYKWPESDAEFVRSLSSNGGSRGGHAHPTVVDSISCRQMYLRSYKFHRSDDHQTEPEQIKCFGMVKMEKVKSPSSQKKPQNVAKKKCVALKRAKVVSCAALLALFRRLLFCTTKVDVADHGG >KJB18963 pep chromosome:Graimondii2_0_v6:3:37755934:37756741:1 gene:B456_003G127000 transcript:KJB18963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHGHGMEGMAPTSQSTNGTSGMHHHNMMMMHMTFFWGNITEILFSGWPGRRGACMYALALIFIFVLAFLVEWLSHSRLIKLLGEGSSNVLAGVVQTLLHAIRVGLAYLVMLAVMSFNGGVFLMAVAGHALGFLLFGSRVFKKSTEMLLDDNTSDPPPMAC >KJB17542 pep chromosome:Graimondii2_0_v6:3:264497:265871:-1 gene:B456_003G004800 transcript:KJB17542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQISESDLLHKLDIFKIQGKDKRGHKILRITGKSFPARFLSVDVLKEYLDEYIFPRLSKKPFSVLYMHTGVQRTDNFPGISALRSIYDAIPVTVKDNLQSVYFLHPGLQSRLFLATFGRLFFGDGLYGKLRYLSRVDYLWEHIRRNEIEVPDFVYDHDEDMEYRPMMMEYGWDSDDPHPRVYAAPAVAVDSPSASIYSMRCIS >KJB17418 pep chromosome:Graimondii2_0_v6:3:2629:3362:-1 gene:B456_003G0002001 transcript:KJB17418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLDFNLSPPDISPAVKLHRFFLSSSVGFWLRSTPGRINNRDSNSNDETSARASLSRIVMLAEALFE >KJB18496 pep chromosome:Graimondii2_0_v6:3:9026867:9028022:-1 gene:B456_003G056100 transcript:KJB18496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRLPLPLKHPSFNRRLNPFPTPSHLLDPPRTHPRNSTQPLIEAFRGNTHNFFPHLFIFYRTSPSPPRPS >KJB19450 pep chromosome:Graimondii2_0_v6:3:31563696:31569649:1 gene:B456_003G103000 transcript:KJB19450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLKRIENKINRQVTFSKRRSGLMKKAHEISVLCDAQVALMVFSSKGKLFEYATESCMERILERYERNSYTEIQCATDEIQQNGNWTWEHAKLKARMETLQRNLRHYEGEDIQNLSLRELQNLEQQLDSALKRIRSRKNQLMLESISELQKKDKALQEQNNILAKKLKEKEKTNVEQAHWQLNNNCQDSSSMLLPLNISSNGREKEETTNSGVLLPWMIRHHLE >KJB19451 pep chromosome:Graimondii2_0_v6:3:31564006:31569649:1 gene:B456_003G103000 transcript:KJB19451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLKRIENKINRQVTFSKRRSGLMKKAHEISVLCDAQVALMVFSSKGKLFEYATESCMERILERYERNSYTEIQCATDEIQQNGNWTWEHAKLKARMETLQRNLRHYEGEDIQNLSLRELQNLEQQLDSALKRIRSRKNQLMLESISELQKKDKALQEQNNILAKKEKEKTNVEQAHWQLNNNCQDSSSMLLPLNISSNGREKEETTNSGVLLPWMIRHHLE >KJB18401 pep chromosome:Graimondii2_0_v6:3:7348969:7356070:1 gene:B456_003G050700 transcript:KJB18401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVWMAQLVRASPPPATSLCFHPKLFSPFPRTRMAVNPTNTSPSFNSRKLPILLFDIMDTIVRDPFYHDVPAFFGMSLKELIECKHPTAWLEFENGVIDEDELEGKFFKDRRPFDLQGLKNCMRRGYSYIDGVEQLLLDLKQNNYEMHAFTNYPIWYRIIEDKLNISKYLSWTFCSCMYGKRKPDPDFYLAVVEHLKVDPASCIFVDDRIKNVEAAVGVGITGLQFKNSDLLRQDLLRLGVDIAIDAQ >KJB18399 pep chromosome:Graimondii2_0_v6:3:7348969:7355957:1 gene:B456_003G050700 transcript:KJB18399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRMSLKELIECKHPTAWLEFENGVIDEDELEGKFFKDRRPFDLQGLKNCMRRGYSYIDGVEQLLLDLKQNNYEMHAFTNYPIWYRIIEDKLNISKYLSWTFCSCMYGKRKPDPDFYLAVVEHLKVDPASCIFVDDRIKNVEAAVGVGITGLQFKNSDLLRQDLLRLGVDIAIDAQ >KJB18400 pep chromosome:Graimondii2_0_v6:3:7349114:7353736:1 gene:B456_003G050700 transcript:KJB18400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVWMAQLVRASPPPATSLCFHPKLFSPFPRTRMAVNPTNTSPSFNSRKLPILLFDIMDTIVRDPFYHDVPAFFGMSLKELIECKHPTAWLEFENGVIDEDELEGKFFKDRRPFDLQGLKNCMRRGYSYIDGVEQLLLDLKQNNYEMHAFTNYPIWYRIIEDKLNISKYLSWTFCSCMYALSGMQEDDFLALFFLRKETIALGGGEQLVF >KJB18398 pep chromosome:Graimondii2_0_v6:3:7348969:7355934:1 gene:B456_003G050700 transcript:KJB18398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVWMAQLVRASPPPATSLCFHPKLFSPFPRTRMAVNPTNTSPSFNSRKLPILLFDIMDTIVRDPFYHDVPAFFGMSLKELIECKHPTAWLEFENGVIDEDELEGKFFKDRRPFDLQGLKNCMRRGYSYIDGVEQLLLDLKQNNYEMHAFTNYPIWYRIIEDKLNISKYLSWTFCSCMYGKRKPDPDFYLAVVEHLKVDPASCIFVDDSLFAY >KJB18730 pep chromosome:Graimondii2_0_v6:3:13977866:13978472:1 gene:B456_003G067600 transcript:KJB18730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISVWKLFGQVNETAVIHCGFYSENSGFKISDEDKSYMQSCKVAVSTCAFGGGDDLYQPIGISEASLKKVCYVQDFLLLQNGRIDYGEIVAMVLIFSLLADCTADPEYDDS >KJB19533 pep chromosome:Graimondii2_0_v6:3:33171722:33173595:1 gene:B456_003G108300 transcript:KJB19533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTMAMAIPSLPLLFLFTFLLLLPLLISSSPVQDPELVVQDVHRAINASRRNLGYLSCGTGNPIDDCWRCDPNWETNRQKLADCAIGFGKNAIGGRDGKIYVVTDSGDDDPVNPKPGTLRHAVIQDEPLWIIFARDMTIQLKEELIMNSFKTIDGRGASVHIAGGPCITVQYVTNIIIHGLNIHDCKQGGNAMVRDSPRHYGWRTISDGDGVSIFGGSHVWVDHNSLSNCKDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFTAPDNRFSKEVTKHEDAPESEWKSWNWRSEGDLMVNGAFFIASGAGASSSYAKASSLGARPSSLVATITTNAGALNCKKGSRC >KJB19534 pep chromosome:Graimondii2_0_v6:3:33171756:33173595:1 gene:B456_003G108300 transcript:KJB19534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTMAMAIPSLPLLFLFTFLLLLPLLISSSPVQDPELVVQDVHRAINASRRNLGYLSCGTGNPIDDCWRCDPNWETNRQKLADCAIGFGKNAIGGRDGKIYVVTDSGDDDPVNPKPGTLRHAVIQDEPLWIIFARDMTIQLKEELIMNSFKTIDGRGASVHIAGGPCITVQYVTNIIIHGLNIHDCKQGGNAMVRDSPRHYGWRTISDGDGVSIFGGSHVWVDHNSLSNCKDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPR >KJB17755 pep chromosome:Graimondii2_0_v6:3:881607:884890:-1 gene:B456_003G013700 transcript:KJB17755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSRSNSKGGQPHVLVPLKQRRSGYEPSDTETEWQESPWHDHNNQNNGTSDLVEADNIKSNFPRNLSPLKLSRRQILKVDYDKGSPPRTNPLLRRHGSSKSPYKTRRDDGQNISPLPKPEHRRHVSPYKQGRVEHTLNDGTGNGEIAGLNMKQSCRSPTRDEIKMIGQLIEGGRGSAKSNYRRSVTAPPRQRGERTPSPIGRNMFRKQREASLGKQQSVGEINEIVANAKIPKSPTYNDAILESTESISPGDIFFSRDAAALAMQKKGLPNNGGFGNHVVPKPPLLPRKDPTSHQRIMANRNIDPKARSNTGLSRTTMTPSSATSRRSSLNSKLSDTSGSSLNSEKFAANRRKRQDETWFGCVMRRGSCRTSKKSPKRETQSFDEASFIGKAFVVEKLRQFWADKYQPASLNGFTCHKQETQLLKQLASHENCPHILLKGPSGSGKKALTMASLREMYGDASWNEKKPKQVHVSIASSAHHVQLNVHLETNAKYALMGLVKEISTNFTTIPEVSNDYFKINFKGLAFFSFSFFILVLYDVDKAPENIHHLIKWIMDCHSDSCKFIICCEDDISILESVKSRCKVIKVDAPATHEVMEILIQIARKEDFELHMNFAAKIAAKSKQNLRKAIMALEACKAHNYPFADDQPIPLGWEEVLTELATEILADPSHKRQVLLSFLLPFVRLKLQKLLGDFVHPKLVLQKLVEEFLKKVEVGLKRELYYWHGYYEKRLPTGTSALLKLEEFVAKFIGIYRKSSGNNQFV >KJB19988 pep chromosome:Graimondii2_0_v6:3:38973757:38975317:-1 gene:B456_003G133200 transcript:KJB19988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSLPVYLDPPNWQQHNDHQQGTGGSENPQLPPPPPHVGAGGGTIAIRPSSMADRARLAKVPQPEAALKCPRCDSTNTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNKKNKSSTSSKSPASTQKQAEVITAHNLPQQTPHLPFMASLQSFSQYGLGNIGLNYGGSGQADIGFPLFEPSNGLYPFESEGIEASSSSMVGESQFLGSMISSSRASQLAPPMKTENNNNNNKGLNPSRPQLGISENNQYWGGNSWTDISANHLL >KJB19989 pep chromosome:Graimondii2_0_v6:3:38974150:38975148:-1 gene:B456_003G133200 transcript:KJB19989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSLPVYLDPPNWQQQHNDHQQGTGGSENPQLPPPPPHVGAGGGTIAIRPSSMADRARLAKVPQPEAALKCPRCDSTNTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNKKNKSSTSSKSPASTQKQAEVITAHNLPQQTPHLPFMASLQSFSQYGLGNIGLNYGGSGQADIGFPLFEPSNGLYPFESEGIEASSSSMVGESQFLGSMISSSRASQLAPPMKTENNNNNNKGLNPSRPQLGISENNQYWGGNSWTDISANHLL >KJB19269 pep chromosome:Graimondii2_0_v6:3:28077727:28081097:1 gene:B456_003G092300 transcript:KJB19269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSLENLFYFRTWIQLMLQQKWRQKVGILSCLITEDAMQGHPEELGSLVEVLKSGMVTSDSGHQYKLQSDAKCDTMGTLWRILGVNNGAQRVFGEATGFSLLLTTLHSFQGDGHSEEPYLLVYIKVFTYLLRLMTAGVCGNTINRAKRHAIISSHTFYDLLSESGLLCVDYEKQVIRLLLEPAQKFFSKGYCFEFIIRFP >KJB18957 pep chromosome:Graimondii2_0_v6:3:18900798:18902899:1 gene:B456_003G077300 transcript:KJB18957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPITMLILCTSFLSLSLLSTAFTSRDYADALEKSILFFEGQRSGPLPSNQRLKWRGNSGLSDGASYHVDLVGGYYDAGDNVKFGLPMAFTTTMLAWSVIEFGGSMHSQIGNANTALRWATDYLLKAATATPDSLYVQVGDPNMDHKCWERPEDMDTPRNVYKVSAQNPGSDVAAETAAALAAASIVFKDSDPSYSAKLLQTAKNVFDFADKYRGSYSDSLNSVVCPFYCSYSGYQDELLWGASWMHRATQDSSYLSYIQSNGHTMGSDNDDFSFSWDDKRAGTKVLLSKDFLEKSTSEFQLYKSHSDNYICSLIPGSSGFQAQYTPGGLLYKASESNLQYVTTTTFLLLTYAKYLSTNGGVVTCGTSTVTAESLIAQAKKQVDYILGDNPAKMSYMVGFGERYPQHVHHRGSSVPSIKAHPDRINCNNGFQYLYANSPNPNVLAGAVIGGPDSKDNFADDRNNYQQSEPATYINAPFVGALAFFSGKA >KJB18975 pep chromosome:Graimondii2_0_v6:3:19197779:19199987:-1 gene:B456_003G078400 transcript:KJB18975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAYSLSIALQSHQPLITAIIITLLSLLAVRSFFKRPHHKSNVTGTATSSAKKQAREARPPSSCNHTSNSAALLNGGAAGPAEEDMVMADVSKAVAEMQSGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQDTVTPVNGWKAYYAATRAIMNVNSEFFNIIKDRSLSAMSRFWLNADYVKCIHASGVLFSGFNAVIQSWQHAFNWEQGVDFQVRDVRARVLTNMAWITMKTYVDMDNGAFNMTNIFEFHNGRWYLVDHHCSEMLADGDIGQQIVH >KJB18667 pep chromosome:Graimondii2_0_v6:3:14712455:14719396:1 gene:B456_003G068600 transcript:KJB18667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMNQILGLFFSKDVRKQNPNDFAKPGRDLENNQASLFEKLHSSESAKHERQRIFGPLAALTFNLVVAVGIIFLNKWVLENVGFQFPVCLTVIHYAVSWALMASLKFFSILPASPSSELAPLSLFTFGFVNSVSTGLANVSLKYNSVGFYQMAKIAITPLIVLAEFIWYKKRIAFSKINVEDYTNHFGFFGFYDSFGSSWFACVPLELQQYISHSHVCFSWLLASVVKCFGTWVSSN >KJB18668 pep chromosome:Graimondii2_0_v6:3:14712612:14719104:1 gene:B456_003G068600 transcript:KJB18668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMNQILGLFFSKDVRKQNPNDFAKPGRDLENNQASLFEKLHSSESAKHERQRIFGPLAALTFNLVVAVGIIFLNKWVLENVGFQFPVCLTVIHYAVSWALMASLKFFSILPASPSSELAPLSLFTFGFVNSVSTGLANVSLKYNSVGFYQMAKIAITPLIVLAEFIWYKKRIAFSKVVALTVVSVGVAVATVTDLQFIFLGACVAMAWIIPSAVNKILWSNVQQQQKWTALPLMWKTTPITLVFLVFMIPLDPPGLLAFHWSFSSTSAILMSAFLGFLLQWSSALALG >KJB18670 pep chromosome:Graimondii2_0_v6:3:14712485:14721416:1 gene:B456_003G068600 transcript:KJB18670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMNQILGLFFSKDVRKQNPNDFAKPGRDLENNQASLFEKLHSSESAKHERQRIFGPLAALTFNLVVAVGIIFLNKWVLENVGFQFPVCLTVIHYAVSWALMASLKFFSILPASPSSELAPLSLFTFGFVNSVSTGLANVSLKYNSVGFYQMAKIAITPLIVLAEFIWYKKRIAFSKVVALTVVSVGVAVATVTDLQFIFLGACVAMAWIIPSAVNKILWSNVQQQQKWTALPLMWKTTPITLVFLVFMIPLDPPGLLAFHWSFSSTSAILMSAFLGFLLQWSSALALGATSAISSVVLGQFKTCVILLGNYYLFGSNPGTTSIFGAFIAIGGMSFYTYLNIRAMKR >KJB18669 pep chromosome:Graimondii2_0_v6:3:14712455:14719396:1 gene:B456_003G068600 transcript:KJB18669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMNQILGLFFSKDVRKQNPNDFAKPGRDLENNQASLFEKLHSSESAKHERQRIFGPLAALTFNLVVAVGIIFLNKWVLENVGFQFPVCLTVIHYAVSWALMASLKFFSILPASPSSELAPLSLFTFGFVNSVSTGLANVSLKYNSVGFYQMAKIAITPLIVLAEFIWYKKRIAFSKVVALTVVSVGVAVATVTDLQFIFLGACVAMAWIIPSAVNKILWSNVQQQQKWTALPLMWKTTPITLVFLVFMIPLDPPGLLAFHWSFSSTSAILMSAFLGFLLQWSSALALGISIHGWLGRRSRTGSFCSKQNDFLKMIFAFSDVHLTEN >KJB18428 pep chromosome:Graimondii2_0_v6:3:8010982:8012561:-1 gene:B456_003G052400 transcript:KJB18428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTIPINHINSLFYLCSAKLSKYKMISFSSFKFTLLLPLMVGCLMAASASNFNNDFDITWGDGRGKIVNNGEVLTLSLDKSSGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQSIIFSVDGTPIREFKNMESIGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWTLAPFTASYRNFNADACVWSNGASSCKSTATSTNSAWFSQEMDSAKQQRLQWVQKNYMIYNYCNDANRFPQGLPPECSMS >KJB17261 pep chromosome:Graimondii2_0_v6:3:30778122:30778137:1 gene:B456_003G0997001 transcript:KJB17261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSAQ >KJB18885 pep chromosome:Graimondii2_0_v6:3:17658864:17662089:-1 gene:B456_003G073800 transcript:KJB18885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQNSEQGHIIEVHRDVPAVGTSLGRNTICGGAPCGFNDAQTSSKDAKERTASMRKLLMAVVLCVVFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAIARLIHDTGEVQGFLMFAISAFGLVVNIAMALLLGHDHGHHHGHNHGHGGNDHDHHDHSHSRDSEPHNHGLSITSHHHHHHHDHGSNSKLDNDHHHHTHEADHLEPLLKNSEKKSESEAPQKKERNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLVFSIIVLGTTIRMLRNILEVLMESTPQEIDATRLEKGLCEMDEVVAIHELHIWAITVGKVLLACHVLIKPEADADMVLNKVIDYIKRDYNISHVTIQIERQCESMAQ >KJB18884 pep chromosome:Graimondii2_0_v6:3:17653936:17662089:-1 gene:B456_003G073800 transcript:KJB18884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQNSEQGHIIEVHRDVPAVGTSLGRNTICGGAPCGFNDAQTSSKDAKERTASMRKLLMAVVLCVVFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAIARLIHDTGEVQGFLMFAISAFGLVVNIAMALLLGHDHGHHHGHNHGHGGNDHDHHDHSHSRDSEPHNHGLSITSHHHHHHHDHGSNSKLDNDHHHHTHEADHLEPLLKNSEKKSESEAPQKKERNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLVFSIIVLGTTIRMLRNILEVLMESTPQEIDATRLEKGLCEMDEVVAIHELHIWAITVGKVLLACHVLIKPEADADMVLNKVIDYIKRDYNISHVTIQIERQCESMAQEIL >KJB17472 pep chromosome:Graimondii2_0_v6:3:108927:111283:-1 gene:B456_003G001900 transcript:KJB17472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANICDINHLDADVLLPPRKRLLAGFKKQASNANGASDQPAVASSSSSPPSPSPSPSPSPSPSPSHSPSTSSSDVNDHLKNLLMSSHLYNPNLSPEEILEASRAAATSAAKAAEAARAAAEEKAAIAAKAVAAAKNALDMVAKFSEDTINKERHLKKNKLKKHVPVQLLYKKHEPIESPRTDEELARKLHRAINSSPRISKNSPTSEWRGHKHKRPKIMPTLEKKKASNGGIVLGGSPSSTCNGGSIAESSHLKEKACDDVTPSKKRGRMKLKRLPLSICSFRDRVNPKEDMITKSSLLTEKNMDNSTAAVKTFYSLEPSDDGVISIEGMRKCQDFKAPACIKQNKVMQS >KJB17470 pep chromosome:Graimondii2_0_v6:3:108927:111022:-1 gene:B456_003G001900 transcript:KJB17470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANICDINHLDADVLLPPRKRLLAGFKKQASNANGASDQPAVASSSSSPPSPSPSPSPSPSPSPSHSPSTSSSDVNDHLKNLLMSSHLYNPNLSPEEILEASRAAATSAAKAAEAARAAAEEKAAIAAKAVAAAKNALDMVAKFSEDTINKERHLKKNKLKKHVPVQLLYKKHEPIESPRTDEELARKLHRAINSSPRISKNSPTSEWRGHKHKRPKIMPTLEKKKASNGGIVLGGSPSSTCNGGSIAGEIDSGDSMEESVKAEAKGAKYEKSGKSELDNGEAESSHLKEKACDDVTPSKKRGRMKLKRLPLSICSFRDRVNPKEDMITKSSLLTEKNMDNSTAAVKTFYSLEPSDDGVISIEGMRKCQDFKAPACIKQNKVMQS >KJB17471 pep chromosome:Graimondii2_0_v6:3:108911:111412:-1 gene:B456_003G001900 transcript:KJB17471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANICDINHLDADVLLPPRKRLLAGFKKQASNANGASDQPAVASSSSSPPSPSPSPSPSPSPSPSHSPSTSSSDVNDHLKNLLMSSHLYNPNLSPEEILEASRAAATSAAKAAEAARAAAEEKAAIAAKAVAAAKNALDMVAKFSEDTINKERHLKKNKLKKHVPVQLLYKKHEPIESPRTDEELARKLHRAINSSPRISKNSPTSEWRGHKHKRPKIMPTLEKKKASNGGIVLGGSPSSTCNGGSIAGEIDSGDSMEESVKAEAKGAKYEKSGKSELDNGEAESSHLKEKACDDVTPSKKRGRMKLKRLPLSICSFRDRVNPKEDMITKSSLLTEKNMDNSTAAVKTFYSLEPSDDGVISIEGMRKCQDFKAPACIKQNKVMQS >KJB18322 pep chromosome:Graimondii2_0_v6:3:6299034:6300693:-1 gene:B456_003G046900 transcript:KJB18322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDFGDEVTIESYRIPWLIWIQIIVLLLLMLLLYGFTLFAFDLPETSSSSSSSSPDSQLGKLPGFKQTTTFSSQVRERQSISGEIGIAKATCRRMVRGEEMEGSSRKEPNQQELDLHPCHYFRLAKLAFLKCLGLDSDHNNNTSITEQRNQR >KJB18083 pep chromosome:Graimondii2_0_v6:3:3194278:3195456:1 gene:B456_003G033200 transcript:KJB18083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCSIMHQIQFTFDRKVKVHQGDGGFEHTKWMDLKVGDFIQLHCLVSSIDLNTHCICLLMSLVFVVLFTCKFNAM >KJB19845 pep chromosome:Graimondii2_0_v6:3:36332412:36334602:1 gene:B456_003G121300 transcript:KJB19845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKYELVPAIDRQQPDFTVHFSSLDSSSINNYFCFVGYVAADECWIWVRVFSFKFYINLEPKQIHEIKDFLLTARRKDACSVKIKKSKDFVKFKARCSKYLYTLCVSDAEKADKLKKSLPRGTSI >KJB20458 pep chromosome:Graimondii2_0_v6:3:41719175:41720264:1 gene:B456_003G149800 transcript:KJB20458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAIPTSPVDFIQESNNGNGSDSDTSHDDAPEYYQPISAVDGDDDDDDDEDRESFDDQVNSDEEHHHRNLTSGYVNRVENGISSLHVGSVATEQEEEEEEERRREASDTAIRRAFREEERRRNAPLTAENAMRVREAMRGVAFAGLTPDWANQIPEDRWIDQLRRLRQPQRPSSTV >KJB17274 pep chromosome:Graimondii2_0_v6:3:42369033:42369236:-1 gene:B456_003G154800 transcript:KJB17274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLVLLCGKEERVLGRMEAPGFCPHCGGKVEAVDVERRWRLCFLPICFKIKRNYSCTICARRLVLYY >KJB20775 pep chromosome:Graimondii2_0_v6:3:43403615:43404343:-1 gene:B456_003G164300 transcript:KJB20775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGGKRVGIVGLGSIGSEVAKRLLAFGCTIAYTSRNKKRSVSFPFYANVRDLASNSDVLVLCCALTKETYHMINKDVMVALGKEGVIINVGRGSLINEKELVQCLVGGEMGGSGLDVYENEPNVPKELFGLDNVVLSPHCAVMTPESFEALDQLIVVNLKAFFSNKPLVSVVSNE >KJB17467 pep chromosome:Graimondii2_0_v6:3:105012:108245:1 gene:B456_003G001800 transcript:KJB17467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSSSGSEEDDEGIDSYRKGGYHAVRIGDPFAAGRYIAQRKLGWGQFSTVWLAYDTQSSKYVALKIQKSAQQFAQAALHEIDVLSSIADGDPSNSKCVVHLIDHFKHSGPNGQHLCMVLEFLGDSLLQLIRFNRYKGLELNKVREICKCILIALDYLHREVGIIHTDLKPENILLFSTIDPAKDPVRSGLTPILERPEGGTLNGGSTMNIIEKKLKRRARRAVANIGVRRDSMGVEAPKPARCLDGIDMRCKVVDFGNACWADKRFAEEIQTRQYRAPEVILLSGYTFSVDMWSFACIAFELATGDMMFAPKTGQGFSEDEDHLALMMELLGKMPRKIAVGGARSKDFFDRHGDLKRIRRLKFLPLDRLLVTKYKFSETDAREFLEFLSPLLDFSPEKRPTAQQCLQHPWLNLRRSDGQSNMDAGMSKLKI >KJB17468 pep chromosome:Graimondii2_0_v6:3:105301:107389:1 gene:B456_003G001800 transcript:KJB17468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSSSGSEEDDEGIDSYRKGGYHAVRIGDPFAAGRYIAQRKLGWGQFSTVWLAYDTQSSKYVALKIQKSAQQFAQAALHEIDVLSSIADGDPSNSKCVVHLIDHFKHSGPNGQHLCMVLEFLGDSLLQLIRFNRYKGLELNKVREICKCILIALDYLHREVGIIHTDLKPENILLFSTIDPAKDPVRSGLTPILERPEGGTLNGGSTMNIIEKKLKRRARRAVANIGVRRDSMGVEAPKPARCLDGIDMRCKVVDFGNACWADKRFAEEIQTRQYRAPEVILLSGYTFSVDMWSFACIAFELATGDMMFAPKTGQGFSEDEV >KJB17469 pep chromosome:Graimondii2_0_v6:3:105301:108177:1 gene:B456_003G001800 transcript:KJB17469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSSSGSEEDDEGIDSYRKGGYHAVRIGDPFAAGRYIAQRKLGWGQFSTVWLAYDTQSSKYVALKIQKSAQQFAQAALHEIDVLSSIADGDPSNSKCVVHLIDHFKHSGPNGQHLCMVLEFLAKDPVRSGLTPILERPEGGTLNGGSTMNIIEKKLKRRARRAVANIGVRRDSMGVEAPKPARCLDGIDMRCKVVDFGNACWADKRFAEEIQTRQYRAPEVILLSGYTFSVDMWSFACIAFELATGDMMFAPKTGQGFSEDEDHLALMMELLGKMPRKIAVGGARSKDFFDRHGDLKRIRRLKFLPLDRLLVTKYKFSETDAREFLEFLSPLLDFSPEKRPTAQQCLQHPWLNLRRSDGQSNMDAGMSKLKI >KJB20287 pep chromosome:Graimondii2_0_v6:3:40521137:40522375:1 gene:B456_003G141800 transcript:KJB20287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRGYYSRPNYRFLSSEQQLQSPLSHDSASAFELDESDIYNNGVSTRSDSPEFRSTSRVAKKQSNKRGGGGNSVVGGAPASLPVNIPDWSKILREEYRDNRRRSESDDNDVEADDWSEGGVRIPPHEFLAKQMARTRIASFSVHEGVGRTLKGRDLRRVRNAIFEKTGFQD >KJB19211 pep chromosome:Graimondii2_0_v6:3:24723525:24724546:1 gene:B456_003G088800 transcript:KJB19211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPKSIHQPLRRRESTRETKGHDNCTQLSACTTLVKIMPQQSPKHSTKTIMELVERRWRWSQSHFSSDNH >KJB20912 pep chromosome:Graimondii2_0_v6:3:44319686:44320126:-1 gene:B456_003G172000 transcript:KJB20912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVKFIKLCYFQDMIRQTYYHMYNATSACYFNISLITNSFNGLTTMVYINARILKFEKYRNLELSKYIIWTKSKIVHII >KJB20943 pep chromosome:Graimondii2_0_v6:3:44473856:44477432:1 gene:B456_003G1734001 transcript:KJB20943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIPDSKIQKILRISYDSLEDDHDKNLFLDIVCLFIGKDRDYTTTILDSCDFYTTIGIENLVGRSLLIINEKNKLMMHQMIRDMGREIIRQESSDIEKRSRVWHKNAFDVIREKIGSKTVKCLTLDLKGLLEDKAKRTNTTLHFPKHSKSQFLMANDVDMETQAFAKMKRLKLLQLDYVKLKGDFKDFPKRLRWLRWHGFCMQSFPVDFDINELVVLDMRNSKLKQVWKDTECLPNLKILNLNHSHSLLKTPSFSGLPSLEKLMLKDCINLVEVDQSIGELKMLTFLNLKDCTSLRKLPRTIGSLISLAELILSGCSRLDDVPRELHNMKSLKVLNLDETSICQTRLGLHWLLPKRSKELGFSWASLPCSLVKLSLESCKLSDDVMPNDLYNLASLKSLNFSRNPIHCHPESIKKLTKLDELLLTSCTELQIIPKLPVLPNVFEFLTISPFKGYWAILPCFFSSTRCVIFGCEKLTEVEDLFKLGPIENFEEEEIRRLFNLDSISRNRLQLYSYLTDSIMLATPQVLQECGITSTFVVGSEVPIVFKHRTNEHRISFSLPTPSHPDEKIHRFSLCIVFSLASDQILEFLPSVHIFNETKRIMQRYRSSFIGIPETNDNTMLWLIHWPVTDCEFEGGDLVSCMVVPVHLSIRKFDVTYESQHKVLYDYGIITTFDTFPFDYIGHYHGLQAGKTEVSISVPPNSSRKISCFLNSIIIFSAKNDKTYGFLPCLEIVNETKGTKWTYSKHFMGIPETKNTLYWTTCWNFRGDELEAGDHISLRVLSNLSVLEFGIDLVYDYELDDNSNFFSQLPWMSKCFKYLFGTFVLISSKAQKNLYRLQSLVKC >KJB19456 pep chromosome:Graimondii2_0_v6:3:31696943:31701586:1 gene:B456_003G103300 transcript:KJB19456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIEGKGKGKMRGIRMGATKGGGGGGGERVEKLKSSRTKLWMIRATTSVLLWTCIVQLTALGDTWGPHLLKGWPSCFSHQDSSVSVVEDKVPSVPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWSDPSEFQDIFDVDHFIMSLRDEVRILKELPPRLKRRVEIGMVYSMPPISWSDISYYHNQILPLIKKYKVVHLNKTDTRLANNGQPLEIQKLRCRVNFSALRFTSQIEELGKRVVKLLRQNGPFIVLHLRYEMDMLAFSGCTQGCNSEEVEELTRMRYAYPWWKEKIINSDLKRKDGFCPLTPEETALILRALDIDNSYQIYIAAGEIYGGQRRMATLAAAYPKLVRKETLLEPSDLGFFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRFLGFKQTILLERRLLVDLIDQYNSGTLSWYEFSDAVNESHESRKGQPTQRLVIPDRPKEEDYFYANPEECLQPSYSRLDLSVGGL >KJB19455 pep chromosome:Graimondii2_0_v6:3:31696943:31701659:1 gene:B456_003G103300 transcript:KJB19455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIEGKGKGKMRGIRMGATKGGGGGGGERVEKLKSSRTKLWMIRATTSVLLWTCIVQLTALGDTWGPHLLKGWPSCFSHQDSSVSVVEDKVPSVPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWSDPSEFQDIFDVDHFIMSLRDEVRILKELPPRLKRRVEIGMVYSMPPISWSDISYYHNQILPLIKKYKVVHLNKTDTRLANNGQPLEIQKLRCRVNFSALRFTSQIEELGKRVVKLLRQNGPFIVLHLRYEMDMLAFSGCTQGCNSEEVEELTRMRYAYPWWKEKIINSDLKRKDGFCPLTPEETALILRALDIDNSYQIYIAAGEIYGGQRRMATLAAAYPKLVRKETLLEPSDLGFFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRFLGFKQTILLERRLLVDLIDQYNSGTLSWYEFSDAVNESHESRKGQPTQRLVIPDRPKEEDYFYANPEECLQPSYSRLDLSVGGL >KJB19454 pep chromosome:Graimondii2_0_v6:3:31697496:31699772:1 gene:B456_003G103300 transcript:KJB19454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIEGKGKGKMRGIRMGATKGGGGGGGERVEKLKSSRTKLWMIRATTSVLLWTCIVQLTALGDTWGPHLLKGWPSCFSHQDSSVSVVEDKVPSVPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWSDPSEFQDIFDVDHFIMSLRDEVRILKELPPRLKRRVEIGMVYSMPPISWSDISYYHNQILPLIKKYKVVHLNKTDTRLANNGQPLEIQKLRCRVNFSALRFTSQIEELGKRVVKLLRQNGPFIVLHLRYEMDMLAFSGCTQGCNSEEVEELTRMRYAYPWWKEKIINSDLKRKDGFCPLTPEETALILRALDIDNSYQIYIAAGEIYGGQRRMATLAAAYPKLVSR >KJB18556 pep chromosome:Graimondii2_0_v6:3:10351212:10354224:-1 gene:B456_003G059700 transcript:KJB18556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKQKFLHGTLEASIFEATPYTPSFPFNCMVANGKPTYVTIKLENKKVAKTTLERDRVWNQTFQILCAHPPDSIVTITMKTKCSILGKFSIQARQILKDSSLINGFFPLQLENGKTSPEVKLRFMLWFKPAEFEPSWGRVIDSGGFQGLRNATFPQRSNCNVKLYQDVHHTSAFQPPFSSFSSAPTKLWEDVYKAIEGAKHLVYIAGWSFNPNMALVRDPETSIPHARGVKLGELLKRKAEEGVAVRIMLWNDETSLPFIKNQGVMRTHDEDAFAYFKHTKVVCKLCPRLHHKFPTLFAHHQKTVTVDTRAAHGSLINDREIMSFVGGVDLCDGRYDTEQHSLFRTLNMESHCFDFYQTNISGASLHKGGPREPWHDAHACITGEAAWDVLANFEQRWNKQCDPSLLPPINSIPNLIRQPFSSSNPDESDDRNWKVQVFRSIDHVSTNQLSKNLTVEQSIHEAYVEAIRRADRFIYIENQYFIGGCHLWDKDKKSGCRNLIPIEIALKVASKIKAKERFAVYILIPMWPEGVPESEPVHDILHWTRQTMEMMYRLISEAIKESGGQEHPCDYLNIFCLANREKESKEEFVPPQSPHPSTQYWKAQKHRRFMVYVHSKVMIVDDLYILIGSANVNQRSMDGQRDTEIAIGCYQLPPGNPEINSATPRSIHDYRMALWYEHTGLADDILMEPQSLECVQKIRSVGDQMWQIYANEDVADMEGVHLVTYPVNVTVDGLVEDVVGGDGNFPDTNTPVKGRRSKVLPPVFTT >KJB19674 pep chromosome:Graimondii2_0_v6:3:34612625:34616166:1 gene:B456_003G113700 transcript:KJB19674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRCMFKVLALFAVTLTVTATSVAGQAKAGCQSNCGNISIPYPFGTGSTDCNISKRFFIRCNTSFNPPKAFLTTSDIEVLHISLNGYLRIQYSVGYDCYNSSGSAYYFDAGFRLSKFLISHTRNKFTAIGCDTYAYVEGFTGRTYSTGCLSFCYDVADLVNGSCSGIGCCQTALPKGVTDYELSFDSYWKHSKVLSFNPCSYGFAVEDGAYSFSVSDLSNINFSKRKFPIILDWTIGNRSCMEAKMDVDNYACKQNSDCVDPENGRGYLCKCLHGFQSNPYLSYGCQDINECETLKPCSGTCHNLPGSYNCSCPKGFEGDGRKKGTGCNPKVKPHFPILVGTLGIGMSLLFSLLCSSWVYLGLRQRKLNRLKQQNFKQNGGVLLREQLSKHEEYGEIAKIFTLEELKKATNNYHESRILGRGGQGTVYKGLLPDGRSVAIKKSLIGNQSQVQPFINEVIVLSQINHRNVVKLLGCCLETQVPLLVYEYVRNGTLFDYLHNATHTSIISWEARLKIAIEAAEALSYLHSAASPPIIHRDVKLTNILLDENYNAKVSDFGASRLIPSNKEQVTTLVQGTLGYLDPEYFHSSQLTEKSDVYSFGVVFIELLTGLKAVSFERPEHERNLSLYFVSVMKEERLLDIVDGRVLNDKNIKQLKEVATLARRCVRLKGKERPTMKEVVSELQGLRAIE >KJB19846 pep chromosome:Graimondii2_0_v6:3:37838450:37841133:-1 gene:B456_003G127200 transcript:KJB19846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVDPHNCELLIPSDNFDGLSMENSNTNNNKSRAYLFGSSEFGSELSSPIGSDQLSSSSTESSNSEEEEEEDCFIGEFTRQMAQYMVQDEDKHEKSSGSTMVGKFENMKIHEETARYYHGFQSKQALIDDQIRAIQFYKLKQEQAMKQREQKPKTKHCQSKGIAIGGFKNGQKLAPTSNNPWYFLNQQQQSNQQTGSDMRAVFLNGSDSRTGSGGTGVFLPRGTTPGNSLKKQGCPTVLIPARVVQALKLHFEKTGVPSRFNTNAFYPLNAAASSMSRPQKGQSEAAVPATNHHHQEMNLPQEWTY >KJB20116 pep chromosome:Graimondii2_0_v6:3:39164756:39164974:-1 gene:B456_003G133900 transcript:KJB20116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSVKRKLSKVMIFDLPTHFLYRLNVFYLRWLLLWLLEGVSQSKPLVPSTISLSQPEHASSGLPSFRQNSK >KJB20117 pep chromosome:Graimondii2_0_v6:3:39164679:39164974:-1 gene:B456_003G133900 transcript:KJB20117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSVKRKLSKVMIFDLPTHFLYRLNVFYLRWLLLWLLEGVSQSKPLVPSTISLSQPEHASSGLPSFRQNSKF >KJB20115 pep chromosome:Graimondii2_0_v6:3:39163635:39165100:-1 gene:B456_003G133900 transcript:KJB20115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSVKRKLSKVMIFDLPTHFLYRLNVFYLRWLLLWLLEGVSQSKPLVPSTISLSQPEHASSGLPSFRQNSNHQSRALAKYLWLLCIPKRCG >KJB18125 pep chromosome:Graimondii2_0_v6:3:3557346:3562381:-1 gene:B456_003G035000 transcript:KJB18125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYDREEVEDYDDYDMEGEYQDEDVMEEDGDGYEEEEEEEEEEETRQPTQEEVEYLELRQRLKESIRRKRKHGAAGSSQEKKLPYNNFGSFFGPSQPVIAQRVIQESKSLLENQNLVSKMLTSRQSSKKNSASDGARPRQGQHIRPPKPTSELRKKVEKLKVARDYSFLEDDRGVPAPAKEPPPRNVSAPTSEARSAQMFPKSKQALSSNSNSGRNSQGIRDERKSVPLKGQLQSKAGSLKSSTIKPNGMPMDSRKQHGVSNGMGPGRPGGVSNGMGPGRPGGASNGMGPGRPGGASNGTGPSRPGGVSNGTGPGRPGGANSGSGPGRAGAASNGAGSGRPVGASNGAGSGRSAGPKAVPSKMPIAKMEKKIPPPTSRNLPPSENKAPSSKMHLSDSRQHLEQKRGLQERSKDKMMHQRPPVSSKPQVNKPIKPVSSQSHMKPNVQRPKKKQLSEDEKALMMIRNMFHTDRYPVCDDDDVSDMEANWDEIMKEERRSAKIARQEDEEQLRLIEEEERRERMRRMAKKRKLSQH >KJB19453 pep chromosome:Graimondii2_0_v6:3:32084893:32085516:-1 gene:B456_003G104400 transcript:KJB19453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSGNSTVGNDSLLQWNSPLPYLFGGLTIVFGIIGIALLFLACSHWRQSSPELPNAKEEKNNETIQASASMEPKIVVIMAGDDHPRFVASPCN >KJB21042 pep chromosome:Graimondii2_0_v6:3:45237579:45239727:1 gene:B456_003G180800 transcript:KJB21042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYKSLPPRPSIEEVEATKTVLQTAENEEKTKLEAISKEQYHHQPLEGVPDELLSILQQVRKTMVLFQSHEQKKEAFYLVEVDDMFESEDSSEKLNLMKTTTLIENTEKMGAIVLDLKAKLMDQIEWLPVSIGKLNSVTELDLSENRIMALPPSIDGLQALTKLDLHSNQLINLPDGIGELVNLLELDLHANRLSSLPASFGNLKNLMNLDLSSNNFTHLPDTIGNLTSLKRLIVETNELEELPYTIGNCSSLLVLRLDFNRIKALPEAIGKLECLEILTSHYNRIKGLSTTMGNLSNLK >KJB18049 pep chromosome:Graimondii2_0_v6:3:2852966:2856021:1 gene:B456_003G031300 transcript:KJB18049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKTGEKTMENSKGGKGKRLWKKVKYQLVEYHSLPGYLRDNEYIVGHYRSEWPMKQLLLSIFSIHNETLNVWTHLIGFFLFLALTIYTAMKVPKVVDLNSLSHIHDILRKADLHKLHSELVTCLPSLPNMSDLHKFRDELKTSISGWHVRELLYNCLPESFSSRNQSNRSMKEDVTNIIAPLMVRPITRWPFFTFLGGAMFCLLASSACHLLSCHSERISYIVRRLDYAGIAALISTSFYPPVYYSFICDPFFCRLYLGFITILGVAAILFSFLAGFHRPEFRTLRVSLFFGMGMSSIAPIIHKLILFWHQPEALHTTLYEVLMGILYGIGAFVYAARIPERWMPGKFDIAGHSHQLFHILVVAGAYTHYRAGLVYLEWRDQHGC >KJB20712 pep chromosome:Graimondii2_0_v6:3:42948491:42950608:1 gene:B456_003G160600 transcript:KJB20712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPADQPCESHASGAVADSQRSLPTPFLSKTYQLVDNPSVDDLISWNDDGSTFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFKRGEKGLLRDIQRRKITHNASGMTIAAVACKPSPSNSSDEQVISSNSPPVATVLNRTTSCTTTAELLEENERLRKENMQLNHELTQLKRLYNNIVILMTNYASGQVENRSNLAEGKALDLLPARTRDGGGSKPVPAETEEEAAEDLRPKLFGVSIGMKRVRREGEEEEGVMDEEQQQEIKPGSDIKSEPLDGNNDNQDSTWLGLGK >KJB20713 pep chromosome:Graimondii2_0_v6:3:42948414:42950704:1 gene:B456_003G160600 transcript:KJB20713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPADQPCESHASGAVADSQRSLPTPFLSKTYQLVDNPSVDDLISWNDDGSTFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFKRGEKGLLRDIQRRKITHNASGMTIAAVACKPSPSNSSDEQVISSNSPPVATVLNRTTSCTTTAELLEENERLRKENMQLNHELTQLKRLYNNIVILMTNYASGQVENRSNLAEGKALDLLPARTRDGGGSKPVPAETEEEAAEDLRPKLFGVSIGMKRVRREGEEEEGVMDEEQQQEIKPGSDIKSEPLDGNNDNQDSTWLGLGK >KJB18343 pep chromosome:Graimondii2_0_v6:3:6476763:6481124:1 gene:B456_003G047600 transcript:KJB18343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSTKFHRLDLRSTFFTSLRPSLTRNSSSVVSPKTLKFRPTKVNAQVSTLSVETSVKEPQNDIESLFSTNTVEEIDRKRGNKQSNTGASGISSGVKLENISKSYKGVTVLKNVNWEVKKGEKVGLVGVNGAGKTTQMRIITGQEEPDSGNVIKAKPNMKVAFLNQEFQVSMSRTVREEFMSAFKEEMEISERLERVQKAIEGSTEDLELMGRLLDEFDLLQRRAQAVDLDEVDAKVSKLMPELGFSPEDSDRLVASFSSGWQMRMSLGKILLQEPDLLLLDEPTNHLDLDTIEWLEGYLKKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFEGNYSQYVEAKAAWIETQYAAWEKQQKEIEQTRDLISRLGAGANSGRASSAEKLERLQEEGQIEKPFQRKQMKIRFPERGRSGRSVVTIKNLEFGYEDELLFNRANLAIERGEKIAVIGPNGCGKSTLLKLIMHLEKPRGGEVILGEHNVLPNYFEQNQAEALDLDKTVLQTVEEVAEDWRIDDIKGLLGRCNFKADMLDRKVSLLSGGEKARLAFCKFMVKPSTLLILDEPTNHLDIPSKEMLEEAIREYSGTVITVSHDRYFIRQIVNRVVEVKDGHLQDYAGDYNYYLEKNLEARVKELEREADLEEKAPKLKAKSKMSKAEKEARKKQKMQAFQAAKQKSKGMKNSKRWK >KJB18342 pep chromosome:Graimondii2_0_v6:3:6476763:6480676:1 gene:B456_003G047600 transcript:KJB18342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSTKFHRLDLRSTFFTSLRPSLTRNSSSVVSPKTLKFRPTKVNAQVSTLSVETSVKEPQNDIESLFSTNTVEEIDRKRGNKQSNTGASGISSGVKLENISKSYKGVTVLKNVNWEVKKGEKVGLVGVNGAGKTTQMRIITGQEEPDSGNVIKAKPNMKVAFLNQEFQVSMSRTVREEFMSAFKEEMEISERLERVQKAIEGSTEDLELMGRLLDEFDLLQRRAQAVDLDEVDAKVSKLMPELGFSPEDSDRLVASFSSGWQMRMSLGKILLQEPDLLLLDEPTNHLDLDTIEWLEGYLKKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFEGNYSQYVEAKAAWIETQYAAWEKQQKEIEQTRDLISRLGAGANSGRASSAEKKLERLQEEGQIEKPFQRKQMKIRFPERGRSGRSVVTIKNLEFGYEDELLFNRANLAIERGEKIAVIGPNGCGKSTLLKLIMHLEKPRGGEVILGEHNVLPNYFEQNQAEALDLDKTVLQTVEEVAEDWRIDDIKGLLGRCNFKADMLDRKVSLLSGGEKARLAFCKFMVKPSTLLILDEPTNHLDIPSKEMLEEAIREYSGTVITVSHDRYFIRQIVNRVVEVKDGHLQDYAGDYNVWFSSMNSSHLYNPSLLTVSTNFK >KJB18341 pep chromosome:Graimondii2_0_v6:3:6476573:6481197:1 gene:B456_003G047600 transcript:KJB18341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSTKFHRLDLRSTFFTSLRPSLTRNSSSVVSPKTLKFRPTKVNAQVSTLSVETSVKEPQNDIESLFSTNTVEEIDRKRGNKQSNTGASGISSGVKLENISKSYKGVTVLKNVNWEVKKGEKVGLVGVNGAGKTTQMRIITGQEEPDSGNVIKAKPNMKVAFLNQEFQVSMSRTVREEFMSAFKEEMEISERLERVQKAIEGSTEDLELMGRLLDEFDLLQRRAQAVDLDEVDAKVSKLMPELGFSPEDSDRLVASFSSGWQMRMSLGKILLQEPDLLLLDEPTNHLDLDTIEWLEGYLKKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFEGNYSQYVEAKAAWIETQYAAWEKQQKEIEQTRDLISRLGAGANSGRASSAEKKLERLQEEGQIEKPFQRKQMKIRFPERGRSGRSVVTIKNLEFGYEDELLFNRANLAIERGEKIAVIGPNGCGKSTLLKLIMHLEKPRGGEVILGEHNVLPNYFEQNQAEALDLDKTVLQTVEEVAEDWRIDDIKGLLGRCNFKADMLDRKVSLLSGGEKARLAFCKFMVKPSTLLILDEPTNHLDIPSKEMLEEAIREYSGTVITVSHDRYFIRQIVNRVVEVKDGHLQDYAGDYNYYLEKNLEARVKELEREADLEEKAPKLKAKSKMSKAEKEARKKQKMQAFQAAKQKSKGMKNSKRWK >KJB19084 pep chromosome:Graimondii2_0_v6:3:21720717:21723800:1 gene:B456_003G085900 transcript:KJB19084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMSKIATGNGHGENSPYFDGWKAYETNPFHPIDRPDGVIQMGLAENQLCFEFIKKWLMEHPEASLCTAEGVNRFKETALFQDYHGMPEFRQAVAKFMGKVRGDRVKFDPDRIVMSGGATGAHEMVAFCLADPGEALLVPTPYYPGFDRDLRWRTGVELVPVICESSHNFQITRTAMEAAYEKAQEANLKVKGLLITNPSNPLGTIMDRETLKSIVKFINEKNIHLIGDEIYAATVFKDPEFVSISEVIQEVECNHDLIHIVYSLSKDMGFPGFRVGIVYSYNDAVVNCARKMSSYGLVSSQTQHLIATMLNDDDFLHNFIMESKEQLFKRHKYFTWSLSQVGIGSLKSNGGLFIWMDLRKLLKEKTFDAEMDLWRVIINEVKLNVSPGSSFHCHEPGWFRVCFANMEDNTMEVALSRIRSFILKNNESIVPRKLCSRSSLKLSLSRSLSRRMDDFMSPAGIMSPHSPLPQSPLVRART >KJB19085 pep chromosome:Graimondii2_0_v6:3:21720717:21723800:1 gene:B456_003G085900 transcript:KJB19085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPEASLCTAEGVNRFKETALFQDYHGMPEFRQAVAKFMGKVRGDRVKFDPDRIVMSGGATGAHEMVAFCLADPGEALLVPTPYYPGFDRDLRWRTGVELVPVICESSHNFQITRTAMEAAYEKAQEANLKVKGLLITNPSNPLGTIMDRETLKSIVKFINEKNIHLIGDEIYAATVFKDPEFVSISEVIQEVECNHDLIHIVYSLSKDMGFPGFRVGIVYSYNDAVVNCARKMSSYGLVSSQTQHLIATMLNDDDFLHNFIMESKEQLFKRHKYFTWSLSQVGIGSLKSNGGLFIWMDLRKLLKEKTFDAEMDLWRVIINEVKLNVSPGSSFHCHEPGWFRVCFANMEDNTMEVALSRIRSFILKNNESIVPRKLCSRSSLKLSLSRSLSRRMDDFMSPAGIMSPHSPLPQSPLVRART >KJB18564 pep chromosome:Graimondii2_0_v6:3:10616395:10618036:-1 gene:B456_003G060400 transcript:KJB18564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFAIPYQTYIPLPFDSGEEHKDHEAVLSMVPIHIVTHASQLPKEFLFPSSKNHLIVGFDCEGVQLCHYGTLCIMQLAFLDAIYLVDVIQGGEKLMKACKPALESSFITKVIHDCKRDSEKYLCYGLTIMNNIMQALYFHFGIKLHNVMDTQIAYSLIEKQRGRRRSSDNPISFIALLADPNYCGAI >KJB17615 pep chromosome:Graimondii2_0_v6:3:483392:487311:-1 gene:B456_003G008000 transcript:KJB17615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSAARFIKCVTVGDGAVGKTCLLISYTSNSFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELNHYAPGIPIVLVGTKLDLRDDKQYLNDHPGAVPISAAQGEELRKQIDAPAYIECSAKSQQNVKSVFDSAIKIVLQPPKQKKRKNKPCSTM >KJB20194 pep chromosome:Graimondii2_0_v6:3:39671615:39673455:1 gene:B456_003G137600 transcript:KJB20194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAATEKPPSFPPARKRMTTQITIKRDETPLHYAVRCKDLAVVKEILTTTEENELKELLSKQNQSGETALYIATENGYVDLVKEMINYYDLSDAGIKARNGFDAFHIAAKQGDLEILKVLLAVHPELAMTVDLSNTTALHTAATQGHIEIVNFLLEVGSGLATIARSNGKTALHSAARNGHVEVVKALLGNEPGIAPRTDKKGQTALHMAVKGQNLKVVEELISVDPSLMTNMVDTKGNTPLHIAARKGRIQIVKLLLGHKETNTKAVNKSRETALDTAEKTGNPEVTTILQQHGVQSARNIKPVALNPARELKQTVSDIKHEVHNQLEHTRQTRKNVHDIVKRLHKMHSEGLNNTMNSTNVVAVLIASVAFAAIFTLPGQFIDNPNQVPKGHSLGESNIAIYPAFVIFFIFDSLALFISLAIVVVQTSVVVIESKAKKKMAAVINKLLWLACVLVTVAFLALSYIIVGQRWYWLASGISIVGAILMVATLAGMCYWVIRYRLKATNMKNTRRSPTASRSRSAIMSDSEIINNKFQMYAL >KJB18563 pep chromosome:Graimondii2_0_v6:3:10587181:10589286:-1 gene:B456_003G060300 transcript:KJB18563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARMVAMEEGKDQASAIRTSISRTLPLRLLLFCLLFAVLAIGLSIICMYSLRFFIFQQTVAPSTFRPWFEEVHTIERWIRPPTNLWHSINDNELLWRASFVPKIKQYPFLRVPKIAFMFLTKGPLPLAPLWDRFFKGNERHCSIYVHALPSYISGYPQSSAFHRRQIPSQMVEWGKMSMCEAERRLLANALLDISNEWFILLSESCIPLYNFSVIYHYISRSNHSFMESYDDPGPYGRGRYDSRMEPEVTLGQWRKGSQWFEVNRMLAINIIEDNKYYPKFKEFCQPACYVDEHYFTTMLTIQVPHLLANRTLTWTDWSRGGAHPATFGKDDMTEAFFQKIFEGQACLYNGQPTSLCFLFARKFAPNALDPLLELAPKVFGF >KJB18471 pep chromosome:Graimondii2_0_v6:3:8477117:8481604:-1 gene:B456_003G054700 transcript:KJB18471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHANEEVRTKRVEPSREVVCVLGASGYITSWLVNLLLQHEYSINATVRDPHDLKKTELIEEGSFDLVVEGCIGVFHTASLCYFDVEDPQAEMVEPVVKGTLNVLGSYAKVPSIKGVLIIFSIAAVILIGKPLADDVVVDETWFLDPIVCEKLKERVKSLGINFTLLEVSLMEKNLLSV >KJB17534 pep chromosome:Graimondii2_0_v6:3:249483:250815:1 gene:B456_003G004300 transcript:KJB17534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSTKLAKKHGLRSVSFPASSHPSTLRLEEELNKRGSRHGASSSNGETLCTGLFGLAELYICIEDLLNLPLTQQALAQHHNEQWQNALLDCSLKQLDLCSNTKDAVSSMKQSVRELQSALRRSKAGEQSIETNINSYITTRKIMKKEIANSLASLKRMDNIFGDFPQLEQHHHLAAVISLLREATVITASVFHALLSFLSPSSLKPKSSKWSLVSKLVQKGSTTCKDERKMNELDRTDIAVSNILPQSSKEDSEETKIESAMVNLESLDSIFENLEDGLECLFRSLLHTRVSFLNILSH >KJB17309 pep chromosome:Graimondii2_0_v6:3:16923179:16924727:-1 gene:B456_003G072100 transcript:KJB17309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFFSPAVSLPVLMLHSAFSLSFHINSPVINIIPISNLLSVTKSGSKGSLFGGLTGAALMASAYYLMQSTETKAIGDALGFGSAFLFSCVFGIRLAATRKPIPAGPLLGLSICALVVFTSAYLQDRL >KJB17310 pep chromosome:Graimondii2_0_v6:3:16923464:16924436:-1 gene:B456_003G072100 transcript:KJB17310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFFSPAVSLPVLMLHSAFSLSFHINSPVINIIPISNLLSVTKSGSKGSLFGGLTGAALMASAYYLMQSTETKAIGDALGFGSAFLFSCVFGMFIYSFFYNTFTLYLIWGLIHISLTQPMWLLL >KJB19489 pep chromosome:Graimondii2_0_v6:3:32499694:32500388:-1 gene:B456_003G105600 transcript:KJB19489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMSELITLCRIDACAIICSQYESQPKVWPSPIGVQQVLFKFKMIPEMEQRKNMVNQESFFSQRTIKEVKQLNKHCKDNRVKKMTQFMFNNICGKWAVHGLNFWDLNDLSLLLDEKMSNIDKRMDAFAITPLNAQGASSSSSSFMVALPLMTMISGWIYELTNLHLNLAS >KJB18227 pep chromosome:Graimondii2_0_v6:3:4862993:4865025:1 gene:B456_003G040900 transcript:KJB18227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANADPSQKVDYVFKVVLIGDSGVGKSQILARFARNDFSLDSKSTIGVEFQTRTLLIEHKSVKAQIWDTAGQERYRAVTSAYYRGAAGAMLVYDVTKRQTFNHIARWLEELRGHADKNIVIMLVGNKSDLEKQREVSTEDATEFAQKEGLFFLETSALAAKNVETAFLTVLTEIFNIVNKKNLVAGENQGNGNSNPTSLAGKKIIIPGPAQEIPAKRNMCCRS >KJB18933 pep chromosome:Graimondii2_0_v6:3:18289841:18292249:-1 gene:B456_003G075900 transcript:KJB18933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGKELCEGVEWRIRVVDGSSEVLVPPTGLARKVWLRTKGLISGLGMKVRMFLKQAWDMGANDPRKVIHCIKVGLALTIVSLVYFMRPLYDGVGGNAMWAIMTVVVVFEPTVGATLYKCLNRVCATCLAGFLAVGVHWIASQSGDRFEPFVVGASIFILASIATFSRFIPSVKSMFDYGAMIFILTFSIVAISGYRVDKLFDLAHQRISTIIIGTSLCILVIMLVCPIWSGQELHSLTVRNMDKLSDSLDGCVRQYFTNSNGEDDQKLQGYKCVLSSKASEESMANFARWEPSHGRFNFRHPWKQYLKIGASMRSCAYCIEALNSCINSENQAAESIKKHLSTSCLKVSSSSSSAIKELAESVKKMNKSPTIDLLVEEMNSALEELHNDLKSLSHLLNPSTTAENQISATGLVPFMEIIPAVTLASILIEISVRIEALVGSVEELVKESEMKDKIVAKEEKPEGTMKALQRV >KJB19683 pep chromosome:Graimondii2_0_v6:3:34709187:34712667:-1 gene:B456_003G114100 transcript:KJB19683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLPQVPPGFRFHPTEEELVDYYLRKKISLRRIDLDVIKDVDLYKIEPWDLQEICRIGREEQNEWYFFSHKDKKYPTGSRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETYENGTPQDEGWVVCRVFKKRITAMRKVGEHESSCWYDDQVSFIPDLDSPNQTSLSNNAYHHLPYSCKKELDLQYQVPHDHYLQSRDPLSCNPMAAFGQCSSFTQDHHIQQTHHQHLNALFGNHSNEQAVDQATDWRLLDTLVASQLSQEEEIYRQSK >KJB19682 pep chromosome:Graimondii2_0_v6:3:34709187:34712699:-1 gene:B456_003G114100 transcript:KJB19682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLPQVPPGFRFHPTEEELVDYYLRKKISLRRIDLDVIKDVDLYKIEPWDLQEICRIGREEQNEWYFFSHKDKKYPTGSRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETYENGTPQDEGWVVCRVFKKRITAMRKVGEHESSCWYDDQVSFIPDLDSPNQTSLSNNAYHHLPYSCKKELDLQYQVPHDHYLQSRDPLSCNPMAAFGQCSSFTQDHHIQQTHHQHLNALFGNHSNEQAVDQATDWRLLDTLVASQLSQEEEIYRQSK >KJB20495 pep chromosome:Graimondii2_0_v6:3:41976819:41978765:-1 gene:B456_003G151800 transcript:KJB20495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDRERLDEIGKKIKTDAVGEMGRRHLLGPPGTLNTITPCAACKLLRRRCAEECPFSPYFSPHEPHKFAAVHKVFGASNVSKMLMEVPESQRADAANSLVYEANLRLRDPVYGCMGAIVTLQQQMQNLQAELNAIRAEILKYKIREVTNSNILPSTHPHTHTHATLVSGGAVSVAASSSPLPPPPSIVVSTSSSSSASSLYTSATSATGYSSISSDNVPFFN >KJB18472 pep chromosome:Graimondii2_0_v6:3:8513421:8515196:-1 gene:B456_003G054800 transcript:KJB18472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAETVVCVTGASGYIASWLVNLLLRHGYTVNATVRDPYDRKKTEHLLALDGANERLHLFKAELLEEGSFDSAVEGYIGVFHTASPCYFGPKDPQAELVEPAVKATLNVLGSCAKVPSIKRVIITASLAAVMLTGKPLADDVVVDETWFSDPVVCEKLKDWYMLSKTLAEKAAWKFSEEKGMDMVTINPGTVLGPLLQPTLNTSVEPIAKLVGAETFRNATCRLADVRDVANAHILAFENPLACGRYCVAGKPTHSCEILKILRELYPHLNLPQKYSDEKLSMPAFPAFQVSQQRVKSLGIKFTPLEVSLKDTVDGLMEKNLLSV >KJB20854 pep chromosome:Graimondii2_0_v6:3:43964153:43967179:1 gene:B456_003G169000 transcript:KJB20854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSVENMNTFSHVPPGFRFHPTDEELVNYYLRKKIASKKIDLDVIKDVDLYKIEPWDLQELCRIGSEEQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKPIYSRLSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPQEEGWVVCRVFKKRMTTVRKMGEYESSCWYDDQVSFIQELESPRRITQPYVAAAATTYHHHHHFPCKQELELQYNFPHDPFLQLPQLESPKVPQSTAPSISCNSVIPYNGTSTLQSSTLTHEEHLHNLNSLYNNNTIDQQQQAVDQVTDWRVLDKFVASQLSHEEGSKENNYTTNAAAAAATSYHVAEQINLPTNESKRADIGHHHQEYASTSTSSCQIDLWK >KJB20853 pep chromosome:Graimondii2_0_v6:3:43963542:43967179:1 gene:B456_003G169000 transcript:KJB20853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFSHVPPGFRFHPTDEELVNYYLRKKIASKKIDLDVIKDVDLYKIEPWDLQELCRIGSEEQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKPIYSRLSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPQEEGWVVCRVFKKRMTTVRKMGEYESSCWYDDQVSFIQELESPRRITQPYVAAAATTYHHHHHFPCKQELELQYNFPHDPFLQLPQLESPKVPQSTAPSISCNSVIPYNGTSTLQSSTLTHEEHLHNLNSLYNNNTIDQQQQAVDQVTDWRVLDKFVASQLSHEEGSKENNYTTNAAAAAATSYHVAEQINLPTNESKRADIGHHHQEYASTSTSSCQIDLWK >KJB20988 pep chromosome:Graimondii2_0_v6:3:44785319:44788772:-1 gene:B456_003G176500 transcript:KJB20988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPEYDYLFKLLLIGDSGVGKSCLLLRFSDDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYATENVNKVLVGNKCDLSAKKVVSYEAAKAFADELGIPFMETSAKNATNVEDAFMAMTASIKNRMASQPAMNNARPSTVQIRGQPVNTNSGCCSS >KJB18588 pep chromosome:Graimondii2_0_v6:3:14759320:14759910:-1 gene:B456_003G068900 transcript:KJB18588 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g48920 [Source:Projected from Arabidopsis thaliana (AT5G48920) UniProtKB/TrEMBL;Acc:Q9FI79] MAPPSPANKPPPSPTAAPPSPATKPAPSPTGTPPPVIKPPPSPIVPPPPRHHPFHPPPPPHSISPPTPPHVIPPPPPPSGHHSTVIVIVFVSIGGLFFFAFLSVALFCFIKKRKKKTIQKTEILSIDKHVKVQEAIVPGPHGAQNAVLLVEDDIRIDEEIKKNKKTSEGLLHSHLKSLQELSHSQACDGYGGTKAS >KJB17726 pep chromosome:Graimondii2_0_v6:3:811670:816493:1 gene:B456_003G012600 transcript:KJB17726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHTAVGWGHHHYHHLQNRWLLALLLMLSVSTVIAFFMRSAFDSCDRTVSAAVDGIGSSRHVIQVAEKRTTPIAAVKPSPLSFMKSKVVLLVSHELSLSGGPLLLMELAFLLRSVGAEVYWMTIMKPSGTDEVTYSLEHKMLDRGVQVVSAKGKEALDTALRADLVILNTAVAGKWLDVVLKGDVHRVLPKVLWWIHEMRGHYFKLDYVKHLPFVAGAMIDSHVTTEYWKNRTQERLKIKMPDTYVVHLGNSKELMQVAEDTVAKRVLREHVRESLGVLNEDLLFALINSVSRGKGQDLFLRSFYEALQLIKEKKMQIPPLHAVIVGSDMSAQTKFEMELRDYVVQKKIQDRVHFVNKTLTVAPYLAAIDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTTEIVVNGTTGLLHPVGKPGVTPLAKNIVKLATHVERRLTMGKRGYERVKERFLERHMAERIARVLKEVLRKSKTKSSRHIG >KJB17727 pep chromosome:Graimondii2_0_v6:3:811614:816638:1 gene:B456_003G012600 transcript:KJB17727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHTAVGWGHHHYHHLQNRWLLALLLMLSVSTVIAFFMRSAFDSCDRTVSAAVDGIGSSRHVIQVAEKRTTPIAAVKPSPLSFMKSKVVLLVSHELSLSGGPLLLMELAFLLRSVGAEVYWMTIMKPSGTDEVTYSLEHKMLDRGVQVVSAKGKEALDTALRADLVILNTAVAGKWLDVVLKGDVHRVLPKVLWWIHEMRGHYFKLDYVKHLPFVAGAMIDSHVTTEYWKNRTQERLKIKMPDTYVVHLGNSKELMQVAEDTVAKRVLREHVRESLGVLNEDLLFALINSVSRGKGQDLFLRSFYEALQLIKEKKMQIPPLHAVIVGSDMSAQTKFEMELRDYVVQKKIQDRVHFVNKTLTVAPYLAAIDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTTEIVVNGTTGLLHPVGKPGVTPLAKNIVKLATHVERRLTMGKRGYERVKERFLERHMAERIARVLKEVLRKSKTKSSRHIG >KJB20462 pep chromosome:Graimondii2_0_v6:3:41744635:41747096:-1 gene:B456_003G150200 transcript:KJB20462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYHKLGDKPCSSHLFFAILLVFVFIASFADAAIHFHQFVIEAKPVKRLCRTHSTITVNGQFPGPTLEVRDGDTLVITAINRARYNATLHWHGLRQLRNPWADGPEYVTQCPIQPGRSYTYRFTIQNQEGTLWWHAHSRWLRATVYGALIIYPKMGRPYPFLMPKKEIPILLGEWWDRNPMDVLRQALFTGAAPNVSDAFTINGQPGDLYRCSSKETAVFPVEAGETILLRIINSALNQELFYGVANHKLTVVAVDASYTKPFTTNVIMIAPGQTTDVLITADQQPARYYMAAHAYNSANAPFDNTTTTAILEYKSAPCGAKKGQPLKPIFPQLPGFNDTATATAFTAQLRSPYKAEVPMKIDESLLFTVGLGLINCTNPNSPRCQGPNGTRFAASINNVSFVFPRRNSLMQAYYQGQPGIFTTDFPPVPPIQFDYTGNVSRGLWQPVKATKLFKLRYRSKVQIVFQGTSIVTVENHPMHLHGYEFYVIGSGFGNFNPGTDPAKFNLIDPPRRNTIGTPPGGWVAIRFEADNPGIWLLHCHIDTHLPWGLAMAFLVENGVGELQTVQPPPLDLPQC >KJB17464 pep chromosome:Graimondii2_0_v6:3:99004:100278:1 gene:B456_003G001600 transcript:KJB17464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDKEASSSSHVLQVPPLPREDTPLLGQPRRLSSQSKTFANVFIAIVGAGVLGLPYTFKKTGWLMGSLMLFSVALLAYHCMMLLVFTRRKLESIHGFSKINSFGDLGFEVCGPLGRFAVDIMIVLAQAGFCISYLIFIANTLAYVFNADNQASILGFSSKTVYLWACFPFQLGLNSIATLTHLAPLSIFADVVDLAAVGVVMVEDVLVFLKNRPELKAFGGFSVFFYGLGVAVYSFEGIGMILPLELEAKYKDKFGKVLALCMAFISLLYGAFGILGYMAFGEETKDIITTNLGAGLISTLVQLGLCVNLFITFPLMMNPVYEVVERRLCDSRYCLWLRWVVVLGVSLVALLVPNFADFLSLVGSSVCCALGFVLPAAFHLMVFKKELGWDGLIMDAVIVVLGVIMGVSGTWSSLLEIFASKA >KJB19446 pep chromosome:Graimondii2_0_v6:3:31372199:31375005:-1 gene:B456_003G102700 transcript:KJB19446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFYKFAYPLPMVAFFAIIISQAGAYDPLDPNGNITIKWDVMSWTPDGYVAVVTMTNFQMYRHIMSPGWTLGWVWAKKEVIWSMVGAQATEQGDCSKFKGNVPHCCKKNPTVVDLLPGVPYNQQIANCCKGGVVSSWGQDSSTAVSSFQVSVGRAGTSNKTVNLPLNFTLLGPGLGYTCSGAKKVPSTVFLTPDGRRKTQALMTWNVTCTYNQILASRYPSCCVSMSSFYNSTITPCPSCSCGCQNNNNCISGDAEIESVVGKNRTRSRNSNAMIRCTNHMCPIRVHWHVKLNYKEYWRVKMTVTNFNYAMNYTQWTLVAQHPNLDNITQVFSFEYKPLLPYKYTNDTGMFYGLKFYNDVLKEAGADGNVQSELILRKDMSTFTFKQGWAFPRKIYFNGDECAMPGPEEYPYLPNSANSKLSTMASFLLLVFANLLGMI >KJB18176 pep chromosome:Graimondii2_0_v6:3:3963305:3970439:1 gene:B456_003G037900 transcript:KJB18176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSGSLQLSHDLGLCRNQGCNKKFKGVLGRQRLNLLSDTLSSSTSFQQQDSWRIRLSNSLYRPIHSVPYRNNAFRCHAFQVPGKVFELPVVKAASIALTRSYNILQDSPLVFKLAPAVGIIIFAVCGVVPLMQHSRSLLLHRSDNSWKKSRTHYITTSYIQPLLLWTGAILICRTLDPLVLPTEASQLVKQRLLNFVQSLSTVLAFAYCLSSTIQQTQKFFMETSETSDTRNMGFQFAGKALYSAVWVAAVSLFMELLGFSTQRWLTAGGLGTVLLTLAGREIFTNFLSSAMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGEDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVHKINNIVADMRKVLAKNPQVEQQRLHRRVFLENVSPENQALLILVSCFVKTSHLEEYLCVKEAILLDLLRVISHHRARLATPIRTVQKVVGDSELENVPFADSIYNHGGVSSNRPLLLIEPAYKTSGEDRTKGRSAQPAGEQDSKTTVRPAADNKAGATPKPDSKSKADAKVVESPNSETKASNASFESTSHPKTDDKVKPPSKSTQKTSSNAAETSSPDQKVLDNKRVSDKQQKVVRPSVSTTESGIDKAGGLREPFQSKPEGEKLPVSALEENIVLGVALEGSKRTLPIDEEMTPHASEAKELAFTSRNGTGSSAEDKKGGQIRPTSGNPDDQ >KJB19647 pep chromosome:Graimondii2_0_v6:3:34355081:34356684:1 gene:B456_003G112200 transcript:KJB19647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSEETLALELIPEHLLTDFASMDSFLASLHHIVEAPQFSQIHSPNQMKQSTLSQRRPSINVAIPAPKVNVSDEQRHYRGVRRRPWGKFAAEIRDPHRKGARVWLGTFDTAIEAAKAYDEAAFKLRGSKAILNFPLEAGKSSSAHSELTKACIKRKREEEEEEGRVESKVVKREKEENAVKETGSVTPGVCLTPSNWTGFWDSEDMKGIFSIPPLSPLSPFGYSGLAVM >KJB20700 pep chromosome:Graimondii2_0_v6:3:42895747:42896079:-1 gene:B456_003G159800 transcript:KJB20700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSHLKDRNSELLSNLKCKKLTDFKWYKDVFITRVMQRSDNQQPFWKENFLAGLPTLLGEKVRNQIRENYKGIIPYEKLTYGELISFTQKEGLKICQDLKLQKQLKKEIYQ >KJB20672 pep chromosome:Graimondii2_0_v6:3:42824739:42827983:-1 gene:B456_003G158900 transcript:KJB20672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMDTLDLSPNTVLPPPRQCSNIEKRYPKGKSGRKDEILKVKEGFTEISFRRYRSASCKNMQCRSIGAESNAELKRGSIYQSSKEVSKMKKTGTVEGRRKIELSRSSDTSYSFRFVDSLCNSEDERNSVMSVGSNLKSGSVNKPYVEPCSSSGFIEICLSSDNKNLDKRGKQLVQSVRIGNKKDVSFGCEPVVRPLDDGNDLLEEDTVQKLHKSLSAKVEASCSPSSSESDRFSWTSSRPRFSPIKKMFDPFMKSKSLRSPLGYTAEAGMENARRNKTFRKSLLHDFSHSPRNSEPDSLFTTKDRVQSPTASSPVHLHGCLKLGVKHGMPFFEFSMNQPEDVVFLAKQWKQDNAFNWVYTFHSVGNRKKSNASIWGLSDSSKDSSIVAQMQVSCCLCSEIKDNGVLENSMVTEFVLYDIAHARQHVSVQESPDVRKTRVCPSPGSDVGCYESDDGSNAVRLKDHLNVASDSDEVESVNGSTPLLPANLHPSLETAAIVIQVPFKKRESLKYRRGDKIGYTRHLNLLNLSMVEECKSNVQDSKIQEKVKVVIPTGNHGYPSTETSGPSSLLDRWRNGGGCDCGGWDMACPLVVFGNPSINCSEDQVLVDSEQPFELFLQGAKENTPALTMTAIEGGYAVDFHAKLSALQAFSICVAILHGSETPTATGEPQTNHLPECNSLKSFLEDEVKFLIEAVTEEEKKKKAGKRAMPIPPSYVINPPFSPIARV >KJB20671 pep chromosome:Graimondii2_0_v6:3:42824739:42828218:-1 gene:B456_003G158900 transcript:KJB20671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMDTLDLSPNTVLPPPRQCSNIEKRYPKGKSGRKDEILKVKEGFTEISFRRYRSASCKNMQCRSIGAESNAELKRGSIYQSSKEVSKMKKTGTVEGRRKIELSRSSDTSYSFRFVDSLCNSEDERNSVMSVGSNLKSGSVNKPYVEPCSSSGFIEICLSSDNKNLDKRGKQLVQSVRIGNKKDVSFGCEPVVRPLDDGNDLLEEDTVQKLHKSLSAKVEASCSPSSSESDRFSWTSSRPRFSPIKKMFDPFMKSKSLRSPLGYTAEAGMENARRNKTFRKSLLHDFSHSPRNSEPDSLFTTKDRVQSPTASSPVHLHGCLKLGVKHGMPFFEFSMNQPEDVVFLAKQWKQDNAFNWVYTFHSVGNRKKSNASIWGLSDSSKDSSIVAQMQVSCCLCSEIKDNGVLENSMVTEFVLYDIAHARQHVSVQESPDVRKTRVCPSPGSDVGCYESDDGSNAVRLKDHLNVASDSDEVESVNGSTPLLPANLHPSLETAAIVIQVPFKKRESLKYRRGDKIGYTRHLNLLNLSMVEECKSNVQDSKIQEKVKVVIPTGNHGYPSTETSGPSSLLDRWRNGGGCDCGGWDMACPLVVFGNPSINCSEDQVLVDSEQPFELFLQGAKENTPALTMTAIEGGYAVDFHAKLSALQAFSICVAILHGSETPTATGEPQTNHLPECNSLKSFLEDEVKFLIEAVTEEEKKKKAGKRAMPIPPSYVINPPFSPIARV >KJB20673 pep chromosome:Graimondii2_0_v6:3:42824871:42827967:-1 gene:B456_003G158900 transcript:KJB20673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMDTLDLSPNTVLPPPRQCSNIEKRYPKGKSGRKDEILKVKEGFTEISFRRYRSASCKNMQCRSIGAESNAELKRGSIYQSSKEVSKMKKTGTVEGRRKIELSRSSDTSYSFRFVDSLCNSEDERNSVMSVGSNLKSGSVNKPYVEPCSSSGFIEICLSSDNKNLDKRGKQLVQSVRIGNKKDVSFGCEPVVRPLDDGNDLLEEDTVQKLHKSLSAKVEASCSPSSSESDRFSWTSSRPRFSPIKKMFDPFMKSKSLRSPLGYTAEAGMENARRNKTFRKSLLHDFSHSPRNSEPDSLFTTKDRVQSPTASSPVHLHGCLKLGVKHGMPFFEFSMNQPEDVVFLAKQWKQDNAFNWVYTFHSVGNRKKSNASIWGLSDSSKDSSIVAQMQVSCCLCSEIKDNGVLENSMVTEFVLYDIAHARQHVSVQESPDVRKTRVCPSPGSDVGCYESDDGSNAVRLKDHLNVASDSDEVESVNGSTPLLPANLHPSLETAAIVIQVPFKKRESLKYRRGDKIGYTRHLNLLNLSMVEECKSNVQDSKIQEKVKVVIPTGNHGYPSTETSGPSSLLDRWRNGGGCDCGGWDMACPLVVFGNPSINCSEDQVLVDSEQPFELFLQGAKENTPALTMTAIEGGYAVDFHAKLSALQAFSICVAILHGSETPTATGEPQTNHLPECNSLKSFLEDEVKFLIEAVTEEEKKKKAGKRAMPIPPSYVINPPFSPIARV >KJB20674 pep chromosome:Graimondii2_0_v6:3:42825641:42827512:-1 gene:B456_003G158900 transcript:KJB20674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMDTLDLSPNTVLPPPRQCSNIEKRYPKGKSGRKDEILKVKEGFTEISFRRYRSASCKNMQCRSIGAESNAELKRGSIYQSSKEVSKMKKTGTVEGRRKIELSRSSDTSYSFRFVDSLCNSEDERNSVMSVGSNLKSGSVNKPYVEPCSSSGFIEICLSSDNKNLDKRGKQLVQSVRIGNKKDVSFGCEPVVRPLDDGNDLLEEDTVQKLHKSLSAKVEASCSPSSSESDRFSWTSSRPRFSPIKKMFDPFMKSKSLRSPLGYTAEAGMENARRNKTFRKSLLHDFSHSPRNSEPDSLFTTKDRVQSPTASSPVHLHGCLKLGVKHGMPFFEFSMNQPEDVVFLAKQWKQDNAFNWVYTFHSVGNRKKSNASIWGLSDSSKDSSIVAQMQVSCCLCSEIKDNGVLENSMVTEFVLYDIAHARQHVSVQESPDVRKTRVCPSPGSDVGCYESDDGSNAVRLKDHLNVASDSDEVESVNGSTPLLPANLHPSLETAAIVIQVPFKKRESLKYRRGDKIGYTRHLNLLNLSMVEECKSNVQDSKIQEKVKVVIPTGNHGYPSTETSGPSSLLDRWRNGGGCDCGGWDMACPLVVFGNPSINCSEDQVLVDSEQPFELFLQVRF >KJB20579 pep chromosome:Graimondii2_0_v6:3:42384306:42390464:-1 gene:B456_003G154900 transcript:KJB20579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRSENEIKIVLTRPLSLNGELEADYKAPNLIHRILSLFKNVRPGSDLTHFKLPPQFNVPKSHLQCYGETVYCNGSDMLRRCNQANNSLDRFMSVVAWSISTLRPLMFGVAPYNPILGETHHVSRATLNVLLEQISHHPPVSALHATDEEHDIELVWCHQCVPNFNGAWVETEVRGKRQLKLLSRGETYEMNSPNLLIKFLPLAGVDWTGNVTISCKENGLEAELRYGPKSFFGLRGSHRSVKGKVYETATKRTLFQLNGHWDRTVTAKDNNSGKSRVIYNADEVFSGLKTPVVNDLKGVRPTESAAVWSELSEAIMSQNWEKAKEAKNAVEGKQREVLRERELKGTPWVPQHFSVTYTKDGGWECSPIQQWVPPAPIVLPLS >KJB17568 pep chromosome:Graimondii2_0_v6:3:372535:374039:1 gene:B456_003G006600 transcript:KJB17568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLIAVLTLVLLVSASTSPAIALSLNHYEKTCPDVESIVAKAVERATMKDKTVPAALLRMHFHDCFIRGCDASVLLYSKGNNKAEKDGPANLSLHGFYVIENAKKEVEAACPGVVSCADILAFAARDAVVLSGGPTWEVPKGRKDGRTSKASETIQLPAPTFNISQLQQSFSQRGLSMDDLVALSGGHTIGFSHCSSFQNRIRNFNATHDIDPTMHPSFAASLRNVCPIKNKAKNAGATMDPSSTAFDNTYFKLILQGKTLFSSDQALLTNPKTKGLVYKFASSKQSFEKAFVNSMIKMSSLNGGQEIRKDCRVVN >KJB18359 pep chromosome:Graimondii2_0_v6:3:6736063:6738898:1 gene:B456_003G048500 transcript:KJB18359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKRKSDASRLDEVDRTMYTSFCSAANSLSQLYTQAMNHQRLSFQEKLYQWILRQQEEGSRATTVDIVAYLQNELEYGGDEPPMSPRLPLQHPHSQAPMQSNNLGAPVLSNPLSSVNVGQGVRPGDNQVKNSVFSNALSSPVRRSLQPYHLAQGSYNSNNILSSVNGQRNNESNYCHQQNREANSPSSNDCMDMHADD >KJB18360 pep chromosome:Graimondii2_0_v6:3:6736063:6738966:1 gene:B456_003G048500 transcript:KJB18360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKRKSDASRLDEVDRTMYTSFCSAANSLSQLYTQAMNHQRLSFQAGERHALEKLYQWILRQQEEGSRATTVDIVAYLQNELEYGGDEPPMSPRLPLQHPHSQAPMQSNNLGAPVLSNPLSSVNVGQGVRPGDNQVKNSVFSNALSSPVRRSLQPYHLAQGSYNSNNILSSVNGQRNNESNYCHQQNREANSPSSNDCMDMHADD >KJB19976 pep chromosome:Graimondii2_0_v6:3:37873023:37874471:1 gene:B456_003G127800 transcript:KJB19976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDQIVSTMFRFHSLYRIIATLSVFSFISIFCWCQFSSKCYSMNVIMMQKAKESIDLLTFPYAWNVLSFPAGPPSQILKIGVFVKKWPHRSHAGGLERHALTLHLALAKRGHELHIFTTSSPNSTFPTYPFPNLVFHLSRPTSGGYLDQAVVWEQFRAQNSTGRPFDVVHTESVGLLHTRAKNVPNLAVSWHGIAYETIHSDIIQELLRSPEERQATMMTERAMKVVEEVRFFQRYSHHVATSDHAGDVLKRIYMIPEERVHIILNGVDEEIFRPELSLGIDFKRKFGISKSRSLVLGIAGRLVKDKGHPLIHEALKQIFMENKKFQQSVTVLVAGDGPWGARYKALGANILVLGPLEQAQLAKFYNAIDIFVNPTLRAQGLDHTLLEAMLSGKPVMATRVASITGSVIVGPESGYTFSPSVESLKKTLYKVWNDGRVVLENKGKAARQRGLQLFTATKMAAAYERLFLCISREQYCKYQK >KJB17714 pep chromosome:Graimondii2_0_v6:3:739365:741009:-1 gene:B456_003G011800 transcript:KJB17714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFLRGQDQQDNNSPPTDQTENWFWCRNEDIPYKGFELWQQQQQQEQQQQQHIYMSGLGVGGPSRGSSNFMMMTSGGGNDGGGGGGGGNTSGISCQDCGNQAKKDCPHMRCRTCCKSRGFDCQTHVKSTWVPASRRRERQQQQQQLVSPQQHQQIIRAEQSKRHRENPTGLELGNFPAELSSEAVFRCVRVSNVEDADDQYAYQTAVNIGGHVFKGILYSQGPETNYDMAGAATTTTTTAGGVAATAATTASGGASPSSTTPFIDPSSLYPTLNTNFMAGTQFFPNPRP >KJB17713 pep chromosome:Graimondii2_0_v6:3:737754:741009:-1 gene:B456_003G011800 transcript:KJB17713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFLRGQDQQDNNSPPTDQTENWFWCRNEDIPYKGFELWQQQQQQEQQQQQHIYMSGLGVGGPSRGSSNFMMMTSGGGNDGGGGGGGGNTSGISCQDCGNQAKKDCPHMRCRTCCKSRGFDCQTHVKSTWVPASRRRERQQQQQQLVSPQQHQQIIRAEQSKRHRENPTGLELGNFPAELSSEAVFRCVRVSNVEDADDQYAYQTAVNIGGHVFKGILYSQGPETNYDMAGAATTTTTTAGGVAATAATTASGGASPSSTTPFIDPSSLYPTLNTNFMAGIMVLKYSGWNYETLINKTANGY >KJB19513 pep chromosome:Graimondii2_0_v6:3:32918133:32920257:-1 gene:B456_003G107100 transcript:KJB19513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKKTLHQSNSISSSITIFSHNLQQKQKHTSIGIGVFFSPRISNKQKKRVRRVSLMGSANGLTNGRGGHPLFAFGVITDVQYADIPNGHSFLGVPRFYRHSMLVLQRAVKSWNNNKNLNFAIHFGDIVDGKCPKDQSLNAVKKLVDEFENFKGPVYHMIGNHCLYNLPRDKLLPLLKIPNLDGGRAYYEFSPAPGYRFVVLDGYDISAIGWPHDHPKTLEALKFLRERNPNSDKNSPNGLEGLDRRFLMFNGAVGEEQMEWLDCVLRDATNMKQKVVVCCHLPLDPGASSQEPLLWNYDEVMDVIHRYDCVKVCLAGHDHEGGHSIDSHGIHHRVLEAALECPPVCLAGHDIYDGGHSIDPHRIHHPVLEAALEYPPEAHQGNDGENAEANGCDIERDMASADGLDFEEVGSLFGISILTVSHFVIIGVQCVGSDEVCGSRHVSLDGPFQSLVAGGVGPFNDLELASFVSGKMVLGFPPGAVNLANGCVA >KJB19512 pep chromosome:Graimondii2_0_v6:3:32917924:32920257:-1 gene:B456_003G107100 transcript:KJB19512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKKTLHQSNSISSSITIFSHNLQQKQKHTSIGIGVFFSPRISNKQKKRVRRVSLMGSANGLTNGRGGHPLFAFGVITDVQYADIPNGHSFLGVPRFYRHSMLVLQRAVKSWNNNKNLNFAIHFGDIVDGKCPKDQSLNAVKKLVDEFENFKGPVYHMIGNHCLYNLPRDKLLPLLKIPNLDGGRAYYEFSPAPGYRFVVLDGYDISAIGWPHDHPKTLEALKFLRERNPNSDKNSPNGLEGLDRRFLMFNGAVGEEQMEWLDCVLRDATNMKQKVVVCCHLPLDPGASSQEPLLWNYDEVMDVIHRYDCVKVCLAGHDHEGGHSIDSHGIHHRVLEAALECPPGHDIYDGGHSIDPHRIHHPVLEAALEYPPEAHQGNDGENAEANGCDIERDMASADGLDFEEVGSLFGISILTVSHFVIIGVQCVGSDEVCGSRHVSLDGPFQSLVAGGVGPFNDLELASFVSGKMVLGFPPGAVNLANGCVA >KJB18720 pep chromosome:Graimondii2_0_v6:3:13619070:13622691:1 gene:B456_003G066900 transcript:KJB18720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGLISVDRWAEGSQAYFLTNLHTDHTQGLSSTWAMAPIFCSRVTAKLFPFKFPNFNLTLLRVLDLGSWHSLSLISPSTGSKVTVQVMAIDAYHCPGAVMFLFRGEFGCMLNTGDFRWEKNCERAKLAKEMLLNALKDDAVDVLYLDNTYCNPTFQFPPREVAVKQVADIISSYPDHDIVIGIDTLGKEDLLLHISNALNVKIWVWPERLQTMHLLGFHDIFTTDTSLTRVRAIPRYSFSINTLEGLNRMHPTIGIIPSGLPWVVKPFEGNGKLFDSLLTSGYNRSKVSSKGGKQNDKRNGDGLVEMPSATYINIAVLLKIGKSI >KJB18719 pep chromosome:Graimondii2_0_v6:3:13619070:13622229:1 gene:B456_003G066900 transcript:KJB18719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGLISVDRWAEGSQAYFLTNLHTDHTQGLSSTWAMAPIFCSRVTAKLFPFKFPNFNLTLLRVLDLGSWHSLSLISPSTGSKVTVQVMAIDAYHCPGAVMFLFRGEFGCMLNTGDFRWEKNCERAKLAKEMLLNALKDDAVDVLYLDNTYCNPTFQFPPREVAVKQVADIISSYPDHDIVIGIDTLGKEDLLLHISNALNVKIWVWPERLQTMHLLGFHDIFTTDTSLTRVRAIPRYSFSINTLEGLNRMHPTIGIIPSGLPWVVKPFEGNGKLFDSLLTSGYNRSKVSSKGGKQNDKRNGNLESVKRFHKYVYSVQYSDHSSYLEIEEFIKHVQPTSMKGIVSSSSCNVDPFYYFGRICGINQPSRKLDNEHQTFGRGGYTGIEKKRKRVGRLGFYLSRVTVMRRGRKGIKLDEN >KJB18721 pep chromosome:Graimondii2_0_v6:3:13619335:13620297:1 gene:B456_003G066900 transcript:KJB18721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGLISVDRWAEGSQAYFLTNLHTDHTQGLSSTWAMAPIFCSRVTAKLFPFKFPNFNLTLLRVLDLGSWHSLSLISPSTGSKVTVQVMAIDAYHCPGAVMFLFRGEFGCMLNTGDFRWEKNCERAKLAKEMLLNALKDDAVDVLYLDNTYCNPTFQFPPREVAVKQVLFKIPNRDFSFNIQFLSEMLWQLLDCAYTFGFWTIINLLYRREIIFKVYS >KJB17520 pep chromosome:Graimondii2_0_v6:3:187518:188865:-1 gene:B456_003G003200 transcript:KJB17520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAKRACKTTVNWVDDEEEEEQREERMVKIRVVPRERYERMNTFIHYSNSAAISGGGGGCQADECGADLKDAKQYHRRHKVCEPHAKDAFVLVKGIRQRFCQQCSRFHEISKFDGTKRSCRDRLAGHNLRRRKVVQSDQEAENDNSNNKNKASYGKGTDTPMLQQWYQEFTN >KJB18523 pep chromosome:Graimondii2_0_v6:3:9713853:9718062:1 gene:B456_003G057700 transcript:KJB18523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGGGGGGGGVGGGGAGSGGGGMMAIRTSPFTVSQWQELEHQALIFKYMMAGLPVPPDLVLPIQKSFESISHRFFHHPTMGYCSFYGKKVDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQTMAQSSSTVTSLTVSGNSGGTGSFQSLPLHAFSGSQQVTASGTNQSHYHMESIPYGIPSKDYRYLQGVKPEVGEHSFFSEASGSNRGFQIDTQQENAWPVTQSRLSSFPQSKPSGNPSMLQNDYPQHLFLSEFNSGEPVKHEGRSLRPFFDEWPKTRDSWSALEDERSNQTSFSTTQLSISIPMASPDFSTTSSRSPHAS >KJB18524 pep chromosome:Graimondii2_0_v6:3:9714307:9717998:1 gene:B456_003G057700 transcript:KJB18524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGGGGGGGGVGGGGAGSGGGGMMAIRTSPFTVSQWQELEHQALIFKYMMAGLPVPPDLVLPIQKSFESISHRFFHHPTMGYCSFYGKKVDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQTMAQSSSTVTSLTVSGNSGGTGSFQSLPLHAFSGSQQVTASGTNQSHYHMESIPYGIPSKDYRYLQGVKPEVEASGSNRGFQIDTQQENAWPVTQSRLSSFPQSKPSGNPSMLQNDYPQHLFLSEFNSGEPVKHEGRSLRPFFDEWPKTRDSWSALEDERSNQTSFSTTQLSISIPMASPDFSTTSSRSPHAS >KJB19918 pep chromosome:Graimondii2_0_v6:3:37148849:37156126:1 gene:B456_003G124500 transcript:KJB19918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDAKGIQLFVWRIIRFSGICCYKFGRKYPDISGFLIFVFLLYIFFPHVFLYFMYTSPIMVCTAVFIRFYFKTKYAASRWLKKKESADGKVVNTSNEASLRLQKSVRRNARKEVLEWDGKHSEEKGMLFVRSTCDSPSSKTNFLEENSKVTFDRVGSSSSEHGEGSPHDETGNPNLVFDSETSKPHRVLDDSFQGSSGTGPRAPARGVNSLEEANDAGNKATNRMDLGLAELERNKRLQSLIAKRRAKKLFRMAIEKSLMGINGIPPSQTAPILTAKINNGEFSSHLDEDDLPMPGSAPSLLLAKQNSFDLPYDPLEEKPNLMADNFQQEFMPANLFCRHESFRHGPLFTFPTSQYPYGAEFNPYYSGEKRLFEWKPDKGGHHQLNSSGIENDIDLEESNHNEAINLSEEKREKDIEIPHNRTEVEGEKMEHPHDLEPGLDSGSEVRMETDSIKNNNSCDSSSSENTESILDQTSKSPGIRNDHVQRALKLAIPPKGGAINRLSFDSSPSPSERRRVDIHSFYSTYRRQCHTPTFSIASDLQVEVSEVGSPPLTTDGTTSPADGDSVIYDGDVERDINSESEEPWGGSFNLSREEANRERLKELDDIIEEESAEVASISPSEHETKQNLNSKISLSSIEITENGAFPPTYINSETHQDSSNCRHGSLQTSYESKSTMESGKEVKESHPSNFIEEDTQTLTEHKARDARKAVRSRDKLKSAPDIIVDQNVSENNILEQRLRESIARALNRRLMLDQFSVSSSSSPRSVLPQNILADQMPIPEVARRIQRNLSQSVREDIVRHNLAYEQARENFTSNRPRFSHEFVWSSMNRSFSSCGLGTLEAARKVIEAGNMAVNINNSAVFNKDEGEKLATNEGGSQFLIRPEDINRPEKSNKQEVYTDKTEAIESDYRSIVESAKERENSAAEVDRICKVNESVSDNVTNKEIKDDVLEGALRILGKIEAVMEPLNTSRETSPGSVEDSEHKSKRLAKAKANASLSTSAGETNSLNCIKNGEQIQNMSRQEGIMGTSQSMEDNCKAIDSIPDVDNATKGTSIDHDIAAKASKQKDSEHKSKRLAEVEANASLSTSAGETNRLNNIRNGQQMQNMSRQEGIMDTSQSMEDNLKALDSIPEVDNATKGTSINKASKPEDSEHKSKILAEAEANASLSTSAGEAKSLNNIKNGEQIQNMSRQQGIMDASPSGEDNLKAIDGILGVNTATKGTSINHDTVVRALAPTESEVKAVKTDEKDSNAMAK >KJB19919 pep chromosome:Graimondii2_0_v6:3:37148849:37156126:1 gene:B456_003G124500 transcript:KJB19919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDAKGIQLFVWRIIRFSGICCYKFGRKYPDISGFLIFVFLLYIFFPHVFLYFMYTSPIMVCTAVFIRFYFKTKYAASRWLKKKESADGKVVNTSNEASLRLQKSVRRNARKEVLEWDGKHSEEKGMLFVRSTCDSPSSKTNFLEENSKVTFDRVGSSSSEHGEGSPHDETGNPNLVFDSETSKPHRVLDDSFQGSSGTGPRAPARGVNSLEEANDAGNKATNRMDLGLAELERNKRLQSLIAKRRAKKLFRMAIEKSLMGINGIPPSQTAPILTAKINNGEFSSHLDEDDLPMPGSAPSLLLAKQNSFDLPYDPLEEKPNLMADNFQQEFMPANLFCRHESFRHGPLFTFPTSQYPYGAEFNPYYSGEKRLFEWKPDKGGHHQLNSSGIENDIDLEESNHNEAINLSEEKREKDIEIPHNRTEVEGEKMEHPHDLEPGLDSGSEVRMETDSIKNNNSCDSSSSENTESILDQTSKSPGIRNDHVQRALKLAIPPKGGAINRLSFDSSPSPSERRRVDIHSFYSTYRRQCHTPTFSIASDLQVEVSEVGSPPLTTDGTTSPADGDSVIYDGDVERDINSESEEPWGGSFNLSREEANRERLKELDDIIEEESAEVASISPSEHETKQNLNSKISLSSIEITENGAFPPTYINSETHQDSSNCRHGSLQTSYESKSTMESGKEVKESHPSNFIEEDTQTLTEHKARDARKAVRSRDKLKSAPDIIVDQNVSENNILEQRLRESIARALNRRLMLDQFSVSSSSSPRSVLPQNILADQMPIPEVARRIQRNLSQSVREDIVRHNLAYEQARENFTSNRPRFSHEFVWSSMNRSFSSCGLGTLEAARKVIEAGNMAVNINNSAVFNKDEGEKLATNEGGSQFLIRPEDINRPEKSNKQEVYTDKTEAIESDYRSIVESAKERENSAAEVDRICKVNESVANNVINKEIKNDVFEGEEALRILGKLEAVIEPSNTTGETNAGSVEDTEDESKRLAKAKATAGLSTLTGETNSLNNIKNGEKIQNLTDQEGVMDASQSGEVQEENLKVIESILNVDSITKGTSIDHDMTIKASKPTESEVKAAKMIKYDTGIDPSKSGKENAKSDDTETMEPTNSPRKVFKEGSNVVNIYDLGVIGKEGEKLTTKEDEFQFSIGQEGIGGPEKLNELEADLNKTEAIESDYTTIVETAKERENSAAEVDRICKVNESVSDNVTNKEIKDDVLEGALRILGKIEAVMEPLNTSRETSPGSVEDSEHKSKRLAKAKANASLSTSAGETNSLNCIKNGEQIQNMSRQEGIMGTSQSMEDNCKAIDSIPDVDNATKGTSIDHDIAAKASKQKDSEHKSKRLAEVEANASLSTSAGETNRLNNIRNGQQMQNMSRQEGIMDTSQSMEDNLKALDSIPEVDNATKGTSINKASKPEDSEHKSKILAEAEANASLSTSAGEAKSLNNIKNGEQIQNMSRQQGIMDASPSGEDNLKAIDGILGVNTATKGTSINHDTVVRALAPTESEVKAVKTDEKDSNAMAK >KJB17863 pep chromosome:Graimondii2_0_v6:3:1513360:1515722:-1 gene:B456_003G020000 transcript:KJB17863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSYRQGNDHLKFISNSPHFFKIILQDTIQNGKLGVPRKFVKNQGNSMSSPAMLSVPSGEVWKVELTKCNGKIWFENGWLEFSNHYSLDFGHLLVFRYDGNSNFHVVIFDRTATEILYPYTRYNHIHRRSNIDKSKDDDSTPNLEDISTSRKLREKSQMPCPQPCKMMHSTNSAIKTEIECDGKSEFLAQQIRYEGCPARNGDKSTRHRVIQQLKPHEKDDALERASKTFKSENPFFLVVMQPSYVGLSHSKGYRLAIPANFVRKHLMKELCSITLCNSSGKTWIVTFKNNQIGKKQTSYLLTGWGTFVHDNNVRVGDACAFELINSIEISFNVVIYQGPHTKCYQSLSSTDIIRPMKRKDQSYASPSGSETLTALEKAKAFQVASAFKSEYPFFISVLQPSYSRRMVSVSVETCHPFQSSYYSTMRMI >KJB17862 pep chromosome:Graimondii2_0_v6:3:1513149:1515849:-1 gene:B456_003G020000 transcript:KJB17862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSYRQGNDHLKFISNSPHFFKIILQDTIQNGKLGVPRKFVKNQGNSMSSPAMLSVPSGEVWKVELTKCNGKIWFENGWLEFSNHYSLDFGHLLVFRYDGNSNFHVVIFDRTATEILYPYTRYNHIHRRSNIDKSKDDDSTPNLEDISTSRKLREKSQMPCPQPCKMMHSTNSAIKTEIECDGKSEFLAQQIRYEGCPARNGDKSTRHRVIQQLKPHEKDDALERASKTFKSENPFFLVVMQPSYVGLSHSKGYRLAIPANFVRKHLMKELCSITLCNSSGKTWIVTFKNNQIGKKQTSYLLTGWGTFVHDNNVRVGDACAFELINSIEISFNVVIYQGPHTKCYQSLSSTDIIRPMKRKDQSYASPSGSETLTALEKAKAFQVASAFKSEYPFFISVLQPSYSRRMNIPVIFARKYLAKMHKEAILLLSNGKSWPVIYCQHKIESTGANAIFGSGWRRFSHDNKLEVGDSCVFELIMAAETSMKVTIYKKQAVKDSSLADNSREKQVELHESSVIGTKSALMNEKEDTSNLLLDYNCDASGETLDDILISNLSSPGKALEEASQSYQSFTRE >KJB20290 pep chromosome:Graimondii2_0_v6:3:40579420:40582646:1 gene:B456_003G142000 transcript:KJB20290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGETFESPHYCSTLRQAEHSAAEVALQSLSNRGPSHSLAARILDETGVYKNLLQEIAQRVGAPLPQYTTFRSGLGHLPIFTGTVELAGIRFTGEPAKSKKQAEKNAAMAAWMSLKLLAKETASSSSEPENNDELEQITIARALLNYRIKEKMAMANSSNAPILFTKKFPSQNPRPTSPQPPATTSKILPLICPKVVPRNRSMSATANEKPVQTSSQTPTPESRGVRPQKFPAAGAAPYVPIRQLRTPCCGIAPPVTIRTAVPVFSAPPRPAPSAVSPQPPTSLVPTHPAQPAQSVLPPHQLPATLPSQVLRAPPVRIAQAVTIRQVVPVFAAPPVRKEDKQSVPLRNEDITTATAAPPPNQSPTQAEEAASTILKNLQESETVQSLEQLKI >KJB19062 pep chromosome:Graimondii2_0_v6:3:20640317:20642564:1 gene:B456_003G083200 transcript:KJB19062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSDGDNDDELQESRQKVREMEGKTSGKGKKTILNETESESFGDETESENFGEKFKAEVPEEVDGEGLNDSVRKEEDGNKTEYFDSDNHGSILGSKDDDNTNICRRRSRFPTYNPNLASPHFCIGCCLKMMHASDEHECCVSFRNKMVNVKVIAKHFEATIGDHPKMKLREIQRRVASKMHVNVNMIKCRKAKKMMKDKLAGNFLQEFAMLWDADELRLKNPGSTIKIAVNRVTPHSPTHFKRFYVCFEALKRGWKEGCRPVLGLHSVSDIVDNNLCEVFNSSIVESRFKSIITMFVEIRANMMTRIMAKTEQCNSWKYNYGPLIKKKFDDSKKEGVDWKMIWNGGSGCEVKKDRKYCRSWQLSGIPCPHACCAIWHLEQDPDDYLHRYYHKDKYFKAYEYALQPINGSHKWTKSGIEPVLPPVEKIMPGRPKKNRKKAKNEPKKVKPRQLSKAGLIMRCKKYGIWYKYEN >KJB17389 pep chromosome:Graimondii2_0_v6:3:6089994:6090887:1 gene:B456_003G045800 transcript:KJB17389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTEFFSHLYTMTVVFFTLLLLEVAILIRSLTGGLSDSEKRLITTTQYLKFIEEKNPTIIYSTRSSSRVDLSSNECTVCLSELEEGEKVRKLKCKHTFHKDCLDRWLQQYWATCPLCRTKVLPDEVVANYHRLQNQVEYDGSDEEMIFLLSALHDNSLHRLF >KJB18570 pep chromosome:Graimondii2_0_v6:3:10794434:10796378:-1 gene:B456_003G061000 transcript:KJB18570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRENIDIRPFFVKFGVVVALSFAGFLYHRLKTRKIKPYLPPPPLLHVSDCLVEIDSRGNDRHKEMLPTSEPEEMSMQRTSVDASVDLSPSNKHGEDLFLLPEFSDNVGTSLKLQTETARLELDTSTTSISAEKDDYEQEIKYLRNMVRMLSERERNLELQLLEYYGLKEQEAAVFELQNQLKINKMEAKLFNHKIESLLSENQRLEAQVVDHARVMDELETAKSKIKLFKKKLKQEAEQNREQILSLQKKVARFQEQELKASANNQDAESNLQRVKALEIEGDELRKSNMRLQMENSELSHKLQSTQILANSVFDHSEVEALNEMSNHLREENQELTKQIEQLRAEKFTDVEELVYLRWINACLRYELKNYQPLAGRTVARDLSKGLSPRSEEKAKKLVLEYAKADGGIGDKGIINHMDFDCDQWFHSSSQTSFATDDSSCDNSSSASKPTNKIKFFKKLRRLLRGKDNTSKAEEDADSPTSNCSSVDIPRWRSLNLDQTKEAEKFRRNSDFSSYGFKRLISGKDDDLELPAEPPRLYQDADSILKFAEVLKQPTPAKGKIPKKAASIM >KJB18260 pep chromosome:Graimondii2_0_v6:3:5561296:5567319:1 gene:B456_003G043300 transcript:KJB18260 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 17 [Source:Projected from Arabidopsis thaliana (AT5G65450) TAIR;Acc:AT5G65450] MLVSGSLGFDVVVLVSLLVVFLIIRHKLRNAAARKEEVTRLLEMVSHETAYVEAQATVEYGYYPKFQCAVCFAPTTTRCSQCKSVRYCSGKCQIVHWRQGHKDECHPATDFAAKKQSGIQSYGSEVESAFKKSSNPSSVVENGDGNLKPRADAIATHSAFAPSSSSSLAGVSPSCTSSESLVDVSPSRTLMSGHNDKLGRQLSDDIANGIPKSRASAKNMKEAISPSSESNNLSKLNKKKSSHEEVEFRRQLTKSNNLMHDGAHPTKAAYNKSTGAVSSEFLVTDASKKSNLTSLSSSRPRTVISDREDDLLLHESKHFTTSSCSTSGNHSSSAAGGHSVHSSNSGLPAKSNAAPTLPQTTSNGLRMSLRKVVQQIKTSKQSKSHLFGFGNEGDKKHNYKIIFPYELFMELYSYDAVELCPFGLNNCGNSCYANAVLQCLAFTRPLTSYLVKGLHSKACRKKEWCFICEFECLILKAKEGESPLSPIRILSKIQKIGSNLGPGKEEDAHEFLRYAVDAMQSVCLKEARAAGPLAEETSLIGLTFGGYLHSKIKCMKCLGKSERYERMMDLTVEIDGDIGSLEEALAQFTAREILDGENKYHCIRCKSYVKARKKLTVLESPNILTIVLKRFQSGNFGKLNKSVQFPEVLDLAPYMSGSSSKAVIYNLYAVVVHLDVMDTAFSGHYVCYVKSFRGEWFRIDDSTVIPVSLERVLLERAYMLLYARRSPMAPALVRKNLDSHSFKHRNLEAVPCSQNTSKSRSDSNFSKLNPSISQRKHKYHPPMQRIPSADSSSESSSIFSGSDASSCSTTSTKGSSRSEDFSDYLFGETGPEWYNQNGISSETAASSSYHGFDTDSGAEMDGHARSLTFLYTDSIRQHWNSNGRASDFEQGGWSNPYDVRSSGISYRRASVDGSTQTFY >KJB18262 pep chromosome:Graimondii2_0_v6:3:5561560:5567318:1 gene:B456_003G043300 transcript:KJB18262 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 17 [Source:Projected from Arabidopsis thaliana (AT5G65450) TAIR;Acc:AT5G65450] MLVSGSLGFDVVVLVSLLVVFLIIRHKLRNAAARKEEVTRLLEMVSHETAYVEAQATVEYGYYPKFQCAVCFAPTTTRCSQCKSVRYCSGKCQIVHWRQGHKDECHPATDFAAKKQSGIQSYGSEVESAFKKSSNPSSVVENGDGNLKPRADAIATHSAFAPSSSSSLAGVSPSCTSSESLVDVSPSRTLMSGHNDKLGRQLSDDIANGIPKSRASAKNMKEAISPSSESNNLSKLNKKKSSHEEVEFRRQLTKSNNLMHDGAHPTKAAYNKSTGAVSSEFLVTDASKKSNLTSLSSSRPRTVISDREDDLLLHESKHFTTSSCSTSGNHSSSAAGGHSVHSSNSGLPAKSNAAPTLPQTTSNGLRMSLRKVVQQIKTSKQSKSHLFGFGNEGDKKHNYKIIFPYELFMELYSYDAVELCPFGLNNCGNSCYANAVLQCLAFTRPLTSYLVKGLHSKACRKKEWCFICEFECLILKAKEGESPLSPIRILSKIQKIGSNLGPGKEEDAHEFLRYAVDAMQSVCLKEARAAGPLAEETSLIGLTFGGYLHSKIKCMKCLGKSERYERMMDLTVEIDGDIGSLEEALAQFTAREILDGENKYHCIRCKSYVKARKKLTVLESPNILTIVLKRFQSGNFGKLNKSVQFPEVLDLAPYMSGSSSKAVIYNLYAVVVHLDVMDTAFSGHYVCYVKSFRGEWFRIDDSTVIPVSLERVLLERAYMLLYARNLEAVPCSQNTSKSRSDSNFSKLNPSISQRKHKYHPPMQRIPSADSSSESSSIFSGSDASSCSTTSTKGSSRSEDFSDYLFGETGPEWYNQNGISSETAASSSYHGFDTDSGAEMDGHARSLTFLYTDSIRQHWNSNGRASDFEQGGWSNPYDVRSSGISYRRASVDGSTQTFY >KJB18261 pep chromosome:Graimondii2_0_v6:3:5561703:5566531:1 gene:B456_003G043300 transcript:KJB18261 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 17 [Source:Projected from Arabidopsis thaliana (AT5G65450) TAIR;Acc:AT5G65450] MLVSGSLGFDVVVLVSLLVVFLIIRHKLRNAAARKEEVTRLLEMVSHETAYVEAQATVEYGYYPKFQCAVCFAPTTTRCSQCKSVRYCSGKCQIVHWRQGHKDECHPATDFAAKKQSGIQSYGSEVESAFKKSSNPSSVVENGDGNLKPRADAIATHSAFAPSSSSSLAGVSPSCTSSESLVDVSPSRTLMSGHNDKLGRQLSDDIANGIPKSRASAKNMKEAISPSSESNNLSKLNKKKSSHEEVEFRRQLTKSNNLMHDGAHPTKAAYNKSTGAVSSEFLVTDASKKSNLTSLSSSRPRTVISDREDDLLLHESKHFTTSSCSTSGNHSSSAAGGHSVHSSNSGLPAKSNAAPTLPQTTSNGLRMSLRKVVQQIKTSKQSKSHLFGFGNEGDKKHNYKIIFPYELFMELYSYDAVELCPFGLNNCGNSCYANAVLQCLAFTRPLTSYLVKGLHSKACRKKEWCFICEFECLILKAKEGESPLSPIRILSKIQKIGSNLGPGKEEDAHEFLRYAVDAMQSVCLKEARAAGPLAEETSLIGLTFGGYLHSKIKCMKCLGKSERYERMMDLTVEIDGDIGSLEEALAQFTAREILDGENKYHCIRCKSYVKARKKLTVLESPNILTIVLKRFQSGNFGKLNKSVQFPEVLDLAPYMSGSSSKAVIYNLYAVVVHLDVMDTAFSGHYVCYVKSFRGEWFRIDDSTVIPVSLERVLLERAYMLLYAR >KJB18293 pep chromosome:Graimondii2_0_v6:3:5899732:5901790:1 gene:B456_003G045100 transcript:KJB18293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSNMVLIPKCKSRVILFLWLLGITALLNGSAVEARIRRYKWEVKYEYKSPDCLKKLVITINGGTPGPTITAQQNDTIIVELTNGLLTENVAIHWHGIRQIGTPWFDGTEGVTQCPILPGTTFKYQFVVDRAGTYLYHAHYGMQREAGLYGSIIVGLPDGKSEPFTYDYDRNIILNDWYHKSTYEQAAGLSAIPFQWVGEPQSLLIHGRGKFNCSTLTTPSLDAGICNATNPDCEPFRLIAVPGKTYRLRISSLTALSALSFQIEGHNMTVVEADGHYVEPFVVQNLFLYSGETYSVLVKADQDPTRNYWITSNIVGRSAPNTPPGLGVFVYYPNHPRRSPPTTPPPPPLWNNTDPRLAQSHAIKARQGYIHTPPSRSDRVIVFLNTQNTINGNVRWSVNNVSFALPHTPYLIALKENLTHVFDQTPPPDGYDYQNYDIRIVQENRNATSSNGVYRLNFNSTVDIILQNANSMSLGTSETHPWHLHGHDFWVLGYGEGKFDMFNDPKKYNLVNPIMKNTVPVHPYGWTALRFRADNPGAWAFHCHIESHFYMGMGVVFAEGIDKVGKLPSSVMGCGETQGLYRP >KJB21095 pep chromosome:Graimondii2_0_v6:3:45412025:45413556:-1 gene:B456_003G182800 transcript:KJB21095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKKIFGAIFFVLLGVGICSATRSLLTLDEVTSRHLLGSVHGGGIVGVSYNGGGGGGSRGGSGGGGAYANVEARATGYGGGANKGEGGGGSAVGYGGGGGGGNGGGGASVGGASGYGSGGGAGSGSGYGEAGGHGGGGGSGSGGGGGSAGGHGGGASGYGGGGGEGGGAGGGYGGAGGQGGGAGGGSGRGGASAGGASGYGNGGGEGGGAGGGYGGAGGHGGGAGGGNGGGGASAGGASGYGSGGGNGGGAGSGGGYEGANGHGGGGGNGGGGASAGGYGGGASGYGSGGGEGGGAGYGGASGHGGGGGGGSGGGGAGAYGGGGAHAGGYGSEGGAGGGVSGGASGGGGGANEGGYGNGGKAGGGEGGHY >KJB18241 pep chromosome:Graimondii2_0_v6:3:5075407:5076788:-1 gene:B456_003G041800 transcript:KJB18241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVFQRDPFCYGGSGPCNTYGVGFQQQEEKANYSNNGEMVSKSGGGGTRGSSSSSFMVHQTLKEWPWDFNSSSSPDIGFSTGGPIFSPTEAPAIDTRSCRRRRRRPKSVKNKEEIENQRMIHIAVERNRRRQMNDYLAVLRTMMPNSYVQRGDQASIIGGAINFVKVLEQILQSLEARKRMEKRSDSTTFSSLFSDFFSFPQYSTSIQTETPGESTAEKWSFPSCSSSSSVADVEVTMVDSHANVKILSKKHPKQLFNMVSGLHSLGLFVLHLNVTSVENRVLYSLSVKVEENCQLNTVNEIAAAVYEMVDKFQEEAAA >KJB19962 pep chromosome:Graimondii2_0_v6:3:37641504:37641943:1 gene:B456_003G1262001 transcript:KJB19962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQFSWVGIPNSSHRVEGCGDHELWLITFEFREEPSLEEKIDFYVKTLASIVGSEEEAKRRIYAVGGRLTRYTGFRAVMSEEMAYELEGYLL >KJB19963 pep chromosome:Graimondii2_0_v6:3:37641503:37642129:1 gene:B456_003G1262001 transcript:KJB19963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQFSWVGIPNSSHRVEGCGDHELWLITFEFREEPSLEEKIDFYVKTLASIVGSEEEAKRRIYAVGGRLTRYTGFRAVMSEEMAYELEGICLLMGKSFLDQPLLA >KJB20306 pep chromosome:Graimondii2_0_v6:3:40761961:40766801:-1 gene:B456_003G142900 transcript:KJB20306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMSSFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFCTLHAAQRFNLFESKPIDMKTVMLFGILNGVSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKQFSQKIKMSLLVLLVGVGIASITDLQLNSVGTILSLLAIVTTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAILFVSGPLVDQFLTKQNVFAFKYSSIVSAFIILSCIISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYTLLHDPFTERNIIGILIAIFGMVLYSYFCTQENKKKQADPLVSQMKDKEGAPFLGVEKEGQEDKNADKDSHV >KJB17932 pep chromosome:Graimondii2_0_v6:3:2231509:2233126:1 gene:B456_003G025200 transcript:KJB17932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDRRMSGLNPAHIAGLRRLSARASAPSTATSHPVRNGLQSFSSLADKVVSHLRDSGFKVQPGLSDAEFARAEAEFGFVFPPDLRAILSAGLPVGAGFPDWRSNGARPYLRASLDLPIVAISFQIARNTLWSKSWGPRPSNPEKALRVARNALKRAPLLIPIFSHCYIPCNPSLAGNPIFFIDETRIFCCGFDISSFFDRESFFRTSESDPESLKKQRSVSEKLAGSSTDVSRRSMDAGFLTGTRTPRWVEFWSDAAVDRRRRNSSSSLSDSSPETYFDLPRTGIPKWVEDYIEQIGSVLRKSGWSESDIAEIVHVSASGFFEEEMVLLDNQAVLDALLLKMDRFSDSLRKAGWSSEEVSETLGFDYRPEKEKKPAKKLSPELVLKIGKLGESVIR >KJB19784 pep chromosome:Graimondii2_0_v6:3:35798506:35801239:-1 gene:B456_003G118800 transcript:KJB19784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGVKVAAPAKKKQVEKVVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKLLLKYRPEDKAAKKERLLKKAQAEAEGKAPESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKMEFSKILEAIKANFNDKYDEYRKKWGGGIMGSKSQARSKAKEKLLAKEAAQRMT >KJB19783 pep chromosome:Graimondii2_0_v6:3:35798500:35801359:-1 gene:B456_003G118800 transcript:KJB19783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGVKVAAPAKKKQEKVVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKLLLKYRPEDKAAKKERLLKKAQAEAEGKAPESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKMEFSKILEAIKANFNDKYDEYRKKWGGGIMGSKSQARSKAKEKLLAKEAAQRMT >KJB17533 pep chromosome:Graimondii2_0_v6:3:247457:248410:1 gene:B456_003G004200 transcript:KJB17533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKYHVRSISLPSRSHPTTLRIEDELKRLKTSEPSALSATESISAGLSGLGDLYQCMDELLNMSSTQQVLSMYRHEKCIDELLDGYVKLLDICGIARDYMFQIKEHVHALQSALRRRKGDSSIENSISQYTHLRKQMKKNAKKLIMELKQMDNDNNNNNNKLEALSFLDRDHHFFAVIRVLRQVNVISSSLFQSLFTYLSAPIPSRWSLVAKWMHKGTISCEEKQDIVNELESVDAAICRRIFDVQITHKRLVALESSIEGVENRLECVFRHIIKARASLLNIISQ >KJB20396 pep chromosome:Graimondii2_0_v6:3:41354970:41356919:1 gene:B456_003G146500 transcript:KJB20396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQSHTQKTAWTRGKCIGKGSFGTVSLVINELNGAVSAVKSVDLATCLPSQLESLENEIRILRSLSSPYVVKYLGDDVSPAKSFRNLHVEYLPGGTVADVEIVKRRAADVDERLLRWHTRCLISGLKYVHDEGIVHCDVKGKNVLLGSDSSSVKLADFGSAIEIKKGRSLIKPRGSPLWMAPEVVRGEYQGPESDIWSLGCTVVEMITGKPAWEDHGFDSLRRIAYSEELPELPAQLSKLGKDFVEKCLRRDPNRRWSCDHLLQHPFISSASPPKTIGESSPRCVLDFAGSDFEEDENTANFESSAKERISKLANDGRAIWESDGWATVRSYAHESRVNCEEGTSTEYPELTRTHLEISNWRQCGKYVRVSGIKSSFGGQRCDLLAGSSRRCWRQKEKVEFAVEKGKSIFCRFCNLLLQLILCDFKLFLYILLMFLNYFLMIGPHYFVLSLLPLILTFSASFRPAGYVSMEHAVISVPGADVLSGLRIC >KJB17906 pep chromosome:Graimondii2_0_v6:3:1761301:1763393:1 gene:B456_003G023000 transcript:KJB17906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSSHGNPKHAPAGYPTAPMNLPPPSHISPFRGSAASASSWSTGLCHCCDDPANCLITAFFPCVTFGQIAEIVNKGSIYHCADCLVHFCRETCSHCQEYRELKNRGFDMGIGWQANMDRQGRGITVAPVVGRMAR >KJB20944 pep chromosome:Graimondii2_0_v6:3:44472000:44473672:1 gene:B456_003G1734002 transcript:KJB20944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSEISRCSYHVFLSFRGEDTRRSFTDHLHTALMHLGIQTFRDDEEIERGNNIKDEIEKAILHHSKISIVVFSKNYAVSTWCLNELVMILEHKKSSKHIVLPVFYDVDPSQVKNQTGSYAEAFTQHEQNFKSMVQRWRNALKEVADIGGMVLQDRHESQFIQDIVKEVQNKLHPISLYVPPYLVGIDSIVTQINQWLEQDGANKVGIATICGIGGIGKTTIAKVVYNQNISRFESFSFLAQVRETSQDCNGLVRLQRQLISDLLKGKSHKIYNIDNGINKIKEIVCCRRVLLVLDDVDELEKIRKLMGTQIPFHPGSKIIITSRNRCLLNAHFISQMFDLEASTSCGGLSKLFEVKELAS >KJB18932 pep chromosome:Graimondii2_0_v6:3:19683831:19685282:1 gene:B456_003G079800 transcript:KJB18932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKFFFFLVFLPFLLFQPMFTVDDFCPAVFCDDILVSFPFRLSYQPYCCGDPNFNLACRSTDQTIINFPFSGEFTVDVISYSPRYLQLSQYCIPERLLQGLDLSATPFKPLYPESYTFLNCSSETNVSMVYPAIKFICLSDLNFSIWGIPTIAYNQSSSLSSCLEIAKILVPLPSPNWPTYGFDDIVLTWEQPDCQSAPCNYCGSSESNCQNGNGDGVDGECSNLHKKKKGLSTSTKYALIFIVTPIILILVLIIICNVRVHCYDGRRGLHHRPNAEISSFIAEPPVAPNPITVNGLDGSSIEAYPITLLDENFKLPRPNDNTCSICLSEYEAKETIRTIPDCTHYFHANCIDEWLKLNAACPVCRNTPDHDPASLITRSTSSSPSRPPQ >KJB18846 pep chromosome:Graimondii2_0_v6:3:17222511:17224613:1 gene:B456_003G072600 transcript:KJB18846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPKPRALLPFPTPISPLPPIKVPIHLHRSKSRSEVRGKTVYLQYSNRQEIVNNKTTADIARNVLLVTIEGQDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQSGIYILNGD >KJB18845 pep chromosome:Graimondii2_0_v6:3:17222511:17224613:1 gene:B456_003G072600 transcript:KJB18845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPKPRALLPFPTPISPLPPIKVPIHLHRSKSRSEVRGKTVYLQYSNRQEIVNNKTTADIARNVLLVTIEGQDARLVSIDVLHLDHVKGIISNGSLVHPEKEHMYFQLLDLCIRLLPLRRQLDFSQGYIY >KJB20223 pep chromosome:Graimondii2_0_v6:3:40142756:40144134:-1 gene:B456_003G139000 transcript:KJB20223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVTGKILSSTPVSVSKAAKIIANFAATDNGASQAISAYLRRASASFSELKQLHNELRKPSRSDHKHKKSKPETTVDGARESSLEPSEFNSAREDVELSQEAGHGYRDGERKKQKNKKKKAKGEDIDVGGEIVIEDGESKRGKENNESNFGEDGDKTVKKHKKEESGRKVETLEENGVNIEKGEMMDEGEREGEKKKKKKRKSRYIEEGIETNASSEPRKKKKVKNEVDK >KJB20222 pep chromosome:Graimondii2_0_v6:3:40141186:40144134:-1 gene:B456_003G139000 transcript:KJB20222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVTGKILSSTPVSVSKAAKIIANFAATDNGASQAISAYLRRASASFSELKQLHNELRKPSRSDHKHKKSKPETTVDGARESSLEPSEFNSAREDVELSQEAGHGYRDGERKKQKNKKKKAKGEDIDVGGEIVIEDGESKRGKENNESNFGEDGDKTVKKHKKEESGRKVETLEENGVNIEKGEMMDEGEREGEKKKKKKRKSRYIEEGIETNASSEPRKKKKVKNEVDK >KJB19093 pep chromosome:Graimondii2_0_v6:3:21149478:21149780:-1 gene:B456_003G084600 transcript:KJB19093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYNILSLLQQMTMVSNVYKTQNQNGLISDHAIANLLVAGFTGQLKGWWDNALIKTQQEEILKAIKKDDQGRIILNEQGREIQDAVATLIFLISKQFIV >KJB17340 pep chromosome:Graimondii2_0_v6:3:7468646:7468790:-1 gene:B456_003G0509002 transcript:KJB17340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKVLNSIVKLMFHRNFLKSNNIIAKFPTTTFYQLVTVIKFYCWFLNL >KJB20553 pep chromosome:Graimondii2_0_v6:3:42293198:42300592:1 gene:B456_003G154100 transcript:KJB20553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSIGSQVYIVYLGINQFHDPILTSKFHIQVLSDVFASEEDAKRSILYSYSNSFSGFSAKLNSSQATILARMDKVVSVFRSKTLKLHTTRSWDFLGLTLDETRVTPMEFTNGQDVVVGIFDTGVWPESESFQEKPGMRPIPSSWKGVCVEGEKFEPAKACNRKLIGARYYLKGFEQEYGPLNMSGNPEYRSARDFLGHGTHTASTAAGSIVKNASFFGIGQGTARGGAPWARVAVYKICWGINGVGSCTEADIVAAFDDALHDGVHVISASFGATPPLSPFFASSADIGSFHAMQLGITVVFSAGNDGPEPSLVQNVAPWSLCVAASTIDRSFPTEIVLDRNFTIMGQSIISKEIKGRLADAITYFVDGVCSLGNWNKKLATGRVVLCFYTPGLVYEIAQVAVKTAKGLGLIFAEPFTKPIPDVDDDIPTLHVDINQGTIIGNYLAESLKLPVVQISPSKTIIGKSPAPTVVYFSSRGPSSISPDILKPDITAPGINILAAWPPQTPPTLQPSDGRLVRWNFQSGTSMSCPHVTGVGALLKSVHPGWSPAATRSAIMTTAYTRDASHDSILAGGSMAGSTPFDMGAGHINPLKAMDPGLIYDMKTSDYILFLCNMGYTQERIERIVLPSPGVDTNCKNMHGPNANINYPSISVPNLRSPITIKRTVRNVGCGKKTTVYFGVAKEPDGVEVVIWPRVLIFSPSKQEISYYITLKPLKKSEGRYDFGEIVWSDGFHHVRSPVVVLVNNSDDFTM >KJB20554 pep chromosome:Graimondii2_0_v6:3:42296236:42300592:1 gene:B456_003G154100 transcript:KJB20554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTCHLQSFWVLFLAVSLYFAHTTSTSHVYIVYLGINQFHDPILTSKFHIQVLSDVFASEEDAKRSILYSYSNSFSGFSAKLNSSQATILARMDKVVSVFRSKTLKLHTTRSWDFLGLTLDETRVTPMEFTNGQDVVVGIFDTGVWPESESFQEKPGMRPIPSSWKGVCVEGEKFEPAKACNRKLIGARYYLKGFEQEYGPLNMSGNPEYRSARDFLGHGTHTASTAAGSIVKNASFFGIGQGTARGGAPWARVAVYKICWGINGVGSCTEADIVAAFDDALHDGVHVISASFGATPPLSPFFASSADIGSFHAMQLGITVVFSAGNDGPEPSLVQNVAPWSLCVAASTIDRSFPTEIVLDRNFTIMGQSIISKEIKGRLADAITYFVDGVCSLGNWNKKLATGRVVLCFYTPGLVYEIAQVAVKTAKGLGLIFAEPFTKPIPDVDDDIPTLHVDINQGTIIGNYLAESLKLPVVQISPSKTIIGKSPAPTVVYFSSRGPSSISPDILKPDITAPGINILAAWPPQTPPTLQPSDGRLVRWNFQSGTSMSCPHVTGVGALLKSVHPGWSPAATRSAIMTTAYTRDASHDSILAGGSMAGSTPFDMGAGHINPLKAMDPGLIYDMKTSDYILFLCNMGYTQERIERIVLPSPGVDTNCKNMHGPNANINYPSISVPNLRSPITIKRTVRNVGCGKKTTVYFGVAKEPDGVEVVIWPRVLIFSPSKQEISYYITLKPLKKSEGRYDFGEIVWSDGFHHVRSPVVVLVNNSDDFTM >KJB19382 pep chromosome:Graimondii2_0_v6:3:30638454:30640440:1 gene:B456_003G099200 transcript:KJB19382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGGGERGAFRRGFGGGRGDRGPRGRRRGRKDEEEKWYQIIDQLVGPSLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFECLLKTYGFLTPDFWRETRFTRSPFQEYTDLLGKPSKTLVLEDVERVDI >KJB19381 pep chromosome:Graimondii2_0_v6:3:30638364:30640440:1 gene:B456_003G099200 transcript:KJB19381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGGGERGAFRRGFGGGRGDRGPRGRRRGRKDEEEKWVPVTKLGRLVKAGKITSLEQIYLHSLPIKEYQIIDQLVGPSLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFECLLKTYGFLTPDFWRETRFTRSPFQEYTDLLGKPSKTLVLEDVERVDI >KJB18535 pep chromosome:Graimondii2_0_v6:3:10024264:10027866:1 gene:B456_003G058400 transcript:KJB18535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSYPLLPLTCKMNTRGGQVSKGQKSNKFQGGGPNWILIAGGALLSTLSIRFGCKLKQALDTKQQYDATTSLKGNGTSDRRRPSGCRLQLNMYSFSQDHDACFNCMSGSGSIGEKHPPNGQMPESEIALPLVTVPSTDFNKDNGVMWASSPDRLELPPKPFHHSNCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQIVELQNSLNAQVANSTHLQSQLEAANRELFDSEMEIRRLRKAIADHCLGHVGTNEKTSNATAWPYDIRNGHGNGYVDGESNLESPEKGRGDGERIEMLKREVGELKEVIEGKEYLLQSYKEQKMELSMKIKELQLRLDSQLPNIL >KJB18534 pep chromosome:Graimondii2_0_v6:3:10024257:10030643:1 gene:B456_003G058400 transcript:KJB18534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSYPLLPLTCKMNTRGGQVSKGQKSNKFQGGGPNWILIAGGALLSTLSIRFGCKLKQALDTKQQYDATTSLKGNGTSDRRRPSGCRLQLNMYSFSQDHDACFNCMSGSGSIGEKHPPNGQMPESEIALPLVTVPSTDFNKDNGVMWASSPDRLELPPKPFHHSNCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQIVELQNSLNAQVANSTHLQSQLEAANRELFDSEMEIRRLRKAIADHCLGHVGTNEKTSNATAWPYDIRNGHGNGYVDGESNLESPEKGRGDGERIEMLKREVGELKEVIEGKEYLLQSYKEQKMELSMKIKELQLRLDSQLPNIL >KJB18887 pep chromosome:Graimondii2_0_v6:3:17716417:17719672:1 gene:B456_003G074000 transcript:KJB18887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSTSSLGPWIGKGNLPRIVLQITEALKLQMEMQKRLHEQLEAQAKYLKKIIEEQRLGGVLAEAPGTGASVPALGDNGLESDKKTDPATLTPTSESPLQDKAAKEGTFAKSHSVDDPKREMLKKKQRVSMARAFAKLDMVLPHQILDSSINASYQQSLLVFMGEQFNPSSGISIRNENQSGKGSGTEL >KJB17815 pep chromosome:Graimondii2_0_v6:3:1164570:1166715:1 gene:B456_003G016700 transcript:KJB17815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGGCVPSKKKHPSSAEDPARRRGSSTTAANNAPIPATDEETREIPASTADSVNTQSVAATLKVFIVFYSMYGHVEKLAKRMKKGVEGVEGVEAVLFRVPEMLPADVLELMKVPPKDPEIPEITAAELATADGFLFGFPTRYGCMAAQMKAFFDSTGQLWKEQTLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLFVPIGYTFGAGMFKMDSIRGGSPYGAGVYAGDGTREPSETELALAEHQGKYMAGVIKRLYQA >KJB18492 pep chromosome:Graimondii2_0_v6:3:8860162:8863679:-1 gene:B456_003G055700 transcript:KJB18492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVEIICGLVFYRLFRRFFYGSDDVLEFETSDFDAIFSVANRLEKLYGGKVYVGLHIPDVNTGSRQNIDIVLVTKREAAVISVQNLAGFISINEDGSWTCEGGSSQSAVCNPDPVSEAKNQASVLESYIEQRGVAIPEGYFSYKVVIPNPKFRTFYNNFPSEVITYDQWVQLKPEPRSMLSGWIKNAFRGGKKEMQESFHQQLNFILSTAPMWDRFVTKCANDFAFNFIRIGS >KJB18491 pep chromosome:Graimondii2_0_v6:3:8859198:8863828:-1 gene:B456_003G055700 transcript:KJB18491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVEIICGLVFYRLFRRFFYGSDDVLEFETSDFDAIFSVANRLEKLYGGKVYVGLHIPDVNTGSRQNIDIVLVTKREAAVISVQNLAGFISINEDGSWTCEGGSSQSAVCNPDPVSEAKNQASVLESYIEQRGVAIPEGYFSYKVVIPNPKFRTFYNNFPSEVITYDQWVQLKPEPRSMLSGWIKNAFRGGKKEMQESFHQQLNFILSTAPMWDRLEVKDKKYVLGEFLEFKGKQEDILALRNIKRSKVSRLVIQKISMFGLAHSKLQVLYSPRDYRSGGASASEWKEVSLRSSTEVIFQPQNSTKVCKFKLSSIMSMSLSA >KJB20930 pep chromosome:Graimondii2_0_v6:3:44402605:44402993:-1 gene:B456_003G172900 transcript:KJB20930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFYRLVQVIGTSKAEPYKIGERTTDIMLEKLNLDKKEAVSINGISNQEFTEQKRMSFVVG >KJB18270 pep chromosome:Graimondii2_0_v6:3:5620696:5622127:1 gene:B456_003G043700 transcript:KJB18270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAANPKEAVCVTGANGFIGSWLVRTLLEGGYTRVHAAIYPGSDPNHLLSLPGATSRGVVLQVYEADVLDYPAVLKAVEGCQGVFHVASPCSLEDPKDPDKELVRPAVQGTLNVLEAGRSAKVRRMVLTSSISAMVPNPNWDPKTQGPFNESSWTDLEYCKARHKWYPVSKTLAEKAAWEYAEKHGLDVVAINPATCLGPMLQPNLNASCAVLLQLLQGSKDTQEYHWLGAVHVKDVAKAQILLFQSPVASGRYLCTNGIYQFSQFAQTVSKLFPHYPVHRFAEETQPGLVPCKEAAKRLIDLGLVFTPVEDAVRETVDSLVTKGILKSH >KJB18689 pep chromosome:Graimondii2_0_v6:3:13123582:13123845:-1 gene:B456_003G065500 transcript:KJB18689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GWQGGQHFLVSIGLLINVVSIYYYLKIIKLLMTGRNHEITPHVRNYRRSPLRSNNSIELSMIVYVLASTYPGISMNTIIAIAQDTLF >KJB19235 pep chromosome:Graimondii2_0_v6:3:26944428:26946861:1 gene:B456_003G090500 transcript:KJB19235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQHQRYSQLKNGGDEEAATLVFVWTNRGYYRRRRPKILSFLLLSLLSCGFILAPHFFSFSSALSHLYFFGVQNDGLSGDLDVNAPLCSSISNGSVCCDRSHFRSDICFMKGDIRTHSPTSSVFLYSPKNSDDNVSSTVDGDELQHEKIKPYTRKWETSIMATIDELNLISKRRNSDVDHSCDVIHDVPAVIFSTGGYTGNVYHEFNDGIIPLYITSQQFNTEVVFVILEYHDWWVMKYADILPHLSSYPPIDFNGDNRTHCFTEAITGLRIHDELTVDPILMKNNESIVDFRNLLDRAYRPRITGLIRKAQEKTSGCALATPKRMHNQPKKPKLVILSRKGSRAITNQNILVKAAEEIGFEVHVLRPEPTTELAKIYMELNSSDAMIGVHGAAMTHFLFMRPRYSVFIQVIPLGTDWAAETYYGEPARKLGLKYIGYKISRRESSLVDEYDKDDPVLTDPSSLNQKGWEYTKKIYLERQTVKLDLTRFRKRLLRAYDYITRIHLQSQHLA >KJB18212 pep chromosome:Graimondii2_0_v6:3:4258981:4259675:1 gene:B456_003G039400 transcript:KJB18212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISLFSSSFLFYFGCRGQWGCYRIPSTVKSSCSMPVQKLLSHVIGIIRVLFSRKEESVLERSLAYLLLNGKGRLRRASTSDCLESLKSIVVKPPLSLSKLQDQEAAEEAEKSAA >KJB20978 pep chromosome:Graimondii2_0_v6:3:44739750:44741792:-1 gene:B456_003G175900 transcript:KJB20978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPFIFIFIFFFILFLSYSEATKCGSKGLNIKSPFTLSPYHNNDPNFNLYCQNTNTTMIHFPFYGNLVVKSISYNTRKLNLIDPKSCVHEVFLNLNLSLTPFRYYYVVRNYTYLNCSVPINGNSIPCLSGYGHHVYTVEPTVKVPDSCRAIKTVEIPFGYSSYLSDNSFGLGLTWELPKRDDEDGDDGFEEERTWVHLTTKKVPMIAGLVLLAAVGMAMKMKILYTKKISVSNEDMENQFSEDLLAQQHNIPQALV >KJB18460 pep chromosome:Graimondii2_0_v6:3:8411016:8414974:-1 gene:B456_003G054300 transcript:KJB18460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:Projected from Arabidopsis thaliana (AT5G16760) UniProtKB/TrEMBL;Acc:A0A178U6K3] MSNLSSKPYQIGYALTPKKEQTFIVPSLLSHASQNGVVLTKIDPTKPLIQQGPFDCILHKLYDSDWKQNLQDFASRNPNIPIIASPESIDILRNRISMLETVSKLKINNTGVPKQITITEVTGLENLKLNFPLIAKPLDADGSETSHKLHLIFDKDGLNNLTAPFVLQEFVNHGGVVFKVYVAGKYFRCVKRKSLPDISEEKLVNLKGSLPFSQASNLAAAGGGEGCKFEKTEMPPESLVKELVEGLKEELRLNLFNFDVIRDGKNKENYLVIDINYFPGYAKMPDFESVITDFLRDVVHKDINCGDN >KJB18461 pep chromosome:Graimondii2_0_v6:3:8413329:8414974:-1 gene:B456_003G054300 transcript:KJB18461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:Projected from Arabidopsis thaliana (AT5G16760) UniProtKB/TrEMBL;Acc:A0A178U6K3] MSNLSSKPYQIGYALTPKKEQTFIVPSLLSHASQNGVVLTKIDPTKPLIQQGPFDCILHKLYDSDWKQNLQDFASRNPNIPIIASPESIDILRNRISMLETVSKLKINNTGVPKQITITEVTGLENLKLNFPLIAKPLDADGSETSHKLHLIFDKDGLNNLTAPFVLQEFVNHGGVVFKVYVAGKYFRCVKRKSLPDISEEKLVNLKGSLPFSQASNLAAAGGGEGCKFEKTEMPPESLVKELVEGLKEELRLNLFNFDVIRDGKNKENYLVIDINYFPGYAKMPDFESVITDFLRDVVHKDINCGDN >KJB19560 pep chromosome:Graimondii2_0_v6:3:33230811:33232741:1 gene:B456_003G108700 transcript:KJB19560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKLFITLAGLICMAPINTCSSAAASTASMATRPPSPNFPAIFVFGDSTVDTGNNNYVKTLFKANLPPYGENFPDHIPTGRFSDGKLVPDFLASFLGIKDDVPPFLKPNLSENELRTGVTFASAGSGYDYLTTSLSNAIPVSKQVEMFKSYINKLKGIVGKDKGNNIIGEALVIISAGTNDFVFNFYDIPTRRLEFDIVGYQHFLLQKLEDFLKELYELGCRKMIVAGLPPIGCLPIQMTAKFQIPTNRKCLEDQNSDAESYNKKLAKLLPELQAKLPGSKLAYADVYEPLFDMINYPQQYGFVEIKRGCCGTGIIEALFLCNAMTPICRNPSEFLFWDCIHPSQQAYIYLANYIIEEVVPLFDK >KJB19562 pep chromosome:Graimondii2_0_v6:3:33230883:33232233:1 gene:B456_003G108700 transcript:KJB19562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKLFITLAGLICMAPINTCSSAAASTASMATRPPSPNFPAIFVFGDSTVDTGNNNYVKTLFKANLPPYGENFPDHIPTGRFSDGKLVPDFLASFLGIKDDVPPFLKPNLSENELRTGVTFASAGSGYDYLTTSLSNAIPVSKQVEMFKSYINKLKGIVGKDKGNNIIGEALVIISAGTNDFVFNFYDIPTRRLEFDIVGYQHFLLQKLEDFLKELYELGCRKMIVAGLPPIGCLPIQMTAKFQIPTNRKCLEDQNSDAESYNKKLAKLLPELQAKLPGSKLAYADVYEPLFDMINYPQQYGKEVPSLNL >KJB19561 pep chromosome:Graimondii2_0_v6:3:33230878:33232741:1 gene:B456_003G108700 transcript:KJB19561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKLFITLAGLICMAPINTCSSAAASTASMATRPPSPNFPAIFVFGDSTVDTGNNNYVKTLFKANLPPYGENFPDHIPTGRFSDGKLVPDFLASFLGIKDDVPPFLKPNLSENELRTGVTFASAGSGYDYLTTSLSNAIPVSKQVEMFKSYINKLKGIVGKDKGNNIIGEALVIISAGTNDFVFNFYDIPTRRLEFDIVGYQHFLLQKLEDFLKELYELGCRKMIVAGLPPIGCLPIQMTAKFQIPTNRKCLEDQNSDAESYNKKLAKLLPELQAKLPGSKLAYADVYEPLFDMINYPQQYGFVEIKRGCCGTGIIEALFLCNAMTPICRNPSEFLFWDCIHPSQQAYIYLANYIIEEVVPLFDK >KJB17788 pep chromosome:Graimondii2_0_v6:3:1044919:1050762:-1 gene:B456_003G015500 transcript:KJB17788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRCVSRSLRTPFSKRSVSNDTVRSHFSRFFSTGSGREYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFKTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMNSDGSCQGVIALNMEDGTLHRFCAASTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTIRGNDPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIHRPGEKLKPLEKDASEKTVAWLDKLRNSNGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCQLIDKTWDSFHDVKLKDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDENWMKHTLGYWENEKVRLDYRPVHMNTLDDEIESFPPKARVY >KJB19398 pep chromosome:Graimondii2_0_v6:3:30911695:30915418:-1 gene:B456_003G100500 transcript:KJB19398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LHKLTDQIAIRQTLIKYEPHNRQRGILHIRPFSIPLARVALPVIITRYVSFFWLFQFSDISDLLRKNKFNMVHKRPFIEDVFEVSCKQPRQAEHSNQWVLSSEPLFPEDAAPFSNASGEGRFTNVNTKCDEKLANAIDTEHQGNPEDLEANIPGCIAISFLGTSSTHEEDLWPDEPLHMPSFAECFNPERPVRTVARLEDIYSILLQYPPRKPVLVGPNYQADIPEWDSQVTRNASNCEEVSETASRYEREMVGTCIIPIPALESSAYDEKVGHGRTNCSCEDKDSVRCVRQHILEAREELRKSLGHERFMELGFYDMGEVVAEKWSEHEEQLFHKVVFYNPASLGRNFWGSLASVFPHRTKADIVSYYFNVFMLRKRSEQNRCESMSIDSDNDEWQGTDDSGNNEAEFSDEDEDSVVESPVCDEDFAHHHSKENGLCVLDEDIADEICDNQSSDFAIKDSETYGGKLFRNCGSDLMDQVHGNTLNDVAQGEREVQDDSCTSSDTGGASQETHVPADNFNGGNRGYVLEPCYPKGWDTRYPTCQTNFRDDS >KJB19399 pep chromosome:Graimondii2_0_v6:3:30911695:30915416:-1 gene:B456_003G100500 transcript:KJB19399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKRPFIEDVFEVSCKQPRQAEHSNQWVLSSEPLFPEDAAPFSNASGEGRFTNVNTKCDEKLANAIDTEHQGNPEDLEANIPGCIAISFLGTSSTHEEDLWPDEPLHMPSFAECFNPERPVRTVARLEDIYSILLQYPPRKPVLVGPNYQADIPEWDSQVTRNASNCEEVSETASRYEREMVGTCIIPIPALESSAYDEKVGHGRTNCSCEDKDSVRCVRQHILEAREELRKSLGHERFMELGFYDMGEVVAEKWSEHEEQLFHKVVFYNPASLGRNFWGSLASVFPHRTKADIVSYYFNVFMLRKRSEQNRCESMSIDSDNDEWQGTDDSGNNEAEFSDEDEDSVVESPVCDEDFAHHHSKENGLCVLDEDIADEICDNQSSDFAIKDSETYGGKLFRNCGSDLMDQVHGNTLNDVAQGEREVQDDSCTSSDTGGASQETHVPADNFNGGNRGYVLEPCYPKGWDTRYPTCQTNFRDDS >KJB21156 pep chromosome:Graimondii2_0_v6:3:45616031:45618467:1 gene:B456_003G185400 transcript:KJB21156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTSSTKEDAGALFHSLRSAYAATPVNLKIIDLYVGFAIFTALIQVVYMAMVGSFPFNSFLSGVLSCVGTAVLAGKSNFLSPLFAKDLPPERAFADFVLCNLVLHLVIMNFLG >KJB21155 pep chromosome:Graimondii2_0_v6:3:45615958:45618467:1 gene:B456_003G185400 transcript:KJB21155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTSSTKEDAGALFHSLRSAYAATPVNLKIIDLYVGFAIFTALIQVVYMAMVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >KJB20351 pep chromosome:Graimondii2_0_v6:3:41014422:41017920:1 gene:B456_003G144100 transcript:KJB20351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIEGSPGSSMHGVTGREPAFASSVASPMVPTDTTANFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLKKQDVGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMLSAPTVFCMSFVADAGGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLLMPLVYDIIRRAGATPFTAWRIAFFIPGWLHVIMGILVLTLGQDLPDGNLSSLQKKGNVAKDKFGKVLWYAVTNYRTWIFFLLYGYSMGVELSTDNVIAEYFYDRFNLKLHTAGIIAATFGMANLVARPFGGYASDKAAQLFGMRGRLWVLWILQTFGGAFCIWLGRANTLPIAILAMILFSIGAQAACGATFGIIPFVSRRSLGIISGLTGAGGNFGSGLTQLIFFSTSRFSTAAGLTWMGVMIVACTLPVSLVHFPQWGSMFLPPSKDVARSTEEHYYSSEWNEDEKKKGMHSASLKFAENSRSERGKRVASAPTPPNTTPSYV >KJB20806 pep chromosome:Graimondii2_0_v6:3:43609010:43610970:1 gene:B456_003G166100 transcript:KJB20806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIAFSSYSWLLTASHQKDGMLFPVALSFLVAILGISLWHVWTIRKPKKDIAPLPLGPRGLPIVGYLPYLGTDNLHLVFTDLAAAYGPIYKLWLGNKLCVVISSAPLAKEVVRDNDITFSERDPPVCAKIITFGLNDIVFDSYSSPDWRMKRKVLVREMLSHSSIKACYGLRREQVLKGVQNVAQSAGKPVDFGETAFLTSINAMMSMLWGGKQGGEQKGADVWGQFRDLITEIMVILGKPNVSDIFPVLARFDIQGLEKEMTKIDIVVGGTDTTSTMMEWTMAELIANPEAMKKVKQEIDDVVGSDAAVDETHLPKLRYLDTAVKEAFRLHPPLPLLVPRCPGDLSNVGGYSVPKGTRVFLNIWCIQRDPQLWENPLEFKPERFLTDHEKLDYLGNDSRYMPFGSGRRMCAGVSLGEKMLYSSLAAMIHAYDWKLADGEENDLIGLFGIIMKKKKPLILVPTPRPSNLQHYMK >KJB19324 pep chromosome:Graimondii2_0_v6:3:29759046:29760292:-1 gene:B456_003G095400 transcript:KJB19324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRSRQSSGSRITDDQIYDLVSKLQQLLPEVRNRHSDKVSAAKVLQETCNYIRSLHREVDDLSERLSELLATTDSAQAAVIRSLLMQ >KJB18811 pep chromosome:Graimondii2_0_v6:3:15524033:15528176:-1 gene:B456_003G070000 transcript:KJB18811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFGGSEISPSPPTPTTTTGNNAHMIYIFNRNGVCLLYREWNRPLHTLNPQQDHKLMFGLLFSLKSLAAKMDPTSADKGNLGVPQLPGQGCSFHSFRTNSYKLSFMETPSGIKFILVTNPRTSDLRETLKYIYNLYVEYVAKNPLYTPGTPIRCELFNTAVDQYVRSI >KJB17751 pep chromosome:Graimondii2_0_v6:3:872303:876457:-1 gene:B456_003G013500 transcript:KJB17751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGKAIYTVGFWVRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNVFDKAPFVDRDAFVAPSASVIGDVQVGRGSSIWYGCVLRGHSAVLHGCTVEDEAFVGMGATLLDGVYVEKHAMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTEEEMAFISQSALNYSNLAQVHAAENGKSFDEIEFEKMLRKKFARRDEEYDSMLGVVRETPPELILPDNVLPNKVPKTA >KJB17752 pep chromosome:Graimondii2_0_v6:3:872303:876552:-1 gene:B456_003G013500 transcript:KJB17752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGKAIYTVGFWVRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNVFDKAPFVDRDAFVAPSASVIGDVQVGRGSSIWYGCVLRGDVNSISIGSGTNIQDNSLVHVAKSNLSGKVLPTIIGSNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVYVEKHAMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTEEEMAFISQSALNYSNLAQVHAAENGKSFDEIEFEKMLRKKFARRDEEYDSMLGVVRETPPELILPDNVLPNKVPKTA >KJB20838 pep chromosome:Graimondii2_0_v6:3:43824233:43824733:-1 gene:B456_003G167800 transcript:KJB20838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEQNEGTSFPPSEPKLCANGCGFFGTAANMNLCSKCYRDLRVGEEQAAKAKAVMEKSLSIKTKHEPVVVETFKPHVGSSSTSIEQQQPAVVAVNQQPEPKAANRCFICRKKVGLTGFKCRCENTFCGEHRYPEKHECSFDFKGIGRDAIAKANPVVKADKVERF >KJB20839 pep chromosome:Graimondii2_0_v6:3:43823890:43825484:-1 gene:B456_003G167800 transcript:KJB20839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEQNEGTSFPPSEPKLCANGCGFFGTAANMNLCSKCYRDLRVGEEQAAKAKAVMEKSLSIKTKHEPVVVETFKPHVGSSSTSIEQQQPAVVAVNQQPEPKAANRCFICRKKVGLTGFKCRCENTFCGEHRYPEKHECSFDFKGIGRDAIAKANPVVKADKVERF >KJB20840 pep chromosome:Graimondii2_0_v6:3:43823858:43825649:-1 gene:B456_003G167800 transcript:KJB20840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEQNEGTSFPPSEPKLCANGCGFFGTAANMNLCSKCYRDLRVGEEQAAKAKAVMEKSLSIKTKHEPVVVETFKPHVGSSSTSIEQQQPAVVAVNQQPEPKAANRCFICRKKVGLTGFKCRCENTFCGEHRYPEKHECSFDFKGIGRDAIAKANPVVKADKVERF >KJB18787 pep chromosome:Graimondii2_0_v6:3:14891687:14894029:1 gene:B456_003G069300 transcript:KJB18787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNATTINQNFFLFFLLVLHYVRMLCCRYALPLVTKRLESVKINQRPRNTVVCAAKGPRPRYPRVWKSRNRIGTVSKSAKLVTCVKQLSNVKEEVYGALDSFIAWELEFPLITVKKALKILQNEQEWKRIIQVIKWMLSKGQGRTMGTYFTLLNALAEDGRLEEAEELWVKLFSDNLESTPRIFFDKMISIYYHKDMHEKMFEVFADMEELGVKPSISVVSMVGNTFQKLGMLDKYDKLKRKYPPPKWEYRYIKGKRVKIQVKQLQEFDKKAKGVTEDKETEENSSLEHEEAEASSNKIAKGVTEDNETEWIMSFKHEEPEAQSNYIHC >KJB18786 pep chromosome:Graimondii2_0_v6:3:14891620:14894071:1 gene:B456_003G069300 transcript:KJB18786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCRYALPLVTKRLESVKINQRPRNTVVCAAKGPRPRYPRVWKSRNRIGTVSKSAKLVTCVKQLSNVKEEVYGALDSFIAWELEFPLITVKKALKILQNEQEWKRIIQVIKWMLSKGQGRTMGTYFTLLNALAEDGRLEEAEELWVKLFSDNLESTPRIFFDKMISIYYHKDMHEKMFEVFADMEELGVKPSISVVSMVGNTFQKLGMLDKYDKLKRKYPPPKWEYRYIKGKRVKIQVKQLQEFDKKAKGVTEDKETEENSSLEHEEAEASSNKIAKGVTEDNETEWIMSFKHEEPEAQSNYIHC >KJB19709 pep chromosome:Graimondii2_0_v6:3:37865020:37866250:-1 gene:B456_003G127600 transcript:KJB19709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWRTILSSKTMGDDEEVKVFGMWASPYSRRVELALKLKGIPYEYIEEDLSNKSALLIQHNPVHQKIPVLVHNGKSIPESLVILEYIDETWRNNPILLSQDPYDRAMARFWAKFIDEKILSTTRKVSFTTGKEQEHAIEEVTEQLKLLETEVKGKAYFGGEGIGYVDIVANFLVFWFGNLQEALGINIFSQHKFPFIFEWIQKQLKIDMVDECRIPKDKHLTYIQTRLAAFKSASK >KJB18417 pep chromosome:Graimondii2_0_v6:3:7872816:7874300:1 gene:B456_003G052000 transcript:KJB18417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQVYQNIFFFALYFMESTGGFIELRVISCKSLKAFNFFQKLSVYAFVYIAGDDDKKTDRKQLKRTPTDREGDGNPEWNHTIRFEVSDDLFRDCDKIFVHFDLRHEGAMFGDKTIGEVVVPLLDLIQESNNGVVRFVTYQVRTTDGKANGELNFSFKVVNIGKDEGSKVETPASLITGYPLFYHHSPAPEPSLEVESESPKAHYPVLDLEDMLVQGPHQLHPTSSGSQHLNLIQETNYFLPQNGYYELPPPPPPPPPPPPLPYPPPPPAATAYGGPYYYRHPPPPPGSNIWGLTPYVGGPGYCAPDGTQLGSADVPLETWPSGWQE >KJB20660 pep chromosome:Graimondii2_0_v6:3:42765599:42769617:-1 gene:B456_003G158200 transcript:KJB20660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQSLCKVILVSALISLVCHPCSVTAGDIVHDDDSAPKKPGCENDFVLVKVQTWVNGIEDAEFVGVGARFGTAIVSKEKNANQRRLVLSDPRDCCSHPKNKLDNDVIMVDRGHCKFTTKANNAQAANASALLIINNQKELYKMVCDPDETDLDIHIPTVMLPQDAGVSLEKMLTSNSSVSVQLYSPKRPLVDIAEVFLWLMAVGTILCASYWSAWSAREAVIEHDKLLKDPLDEIPDTRHVGSGGLLNINTTSAVLFVVFASCFLIMLYKLMAYWFVELLVVLFCIGGVEGLQTCLVALLSRWFKRIAESYVKIPLFGAVSYLTLAVSPFCIAFAVVWAVYRNVSFAWIGQDILGIALIITVLQIVHVPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDKSGQDGIPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFSLRYDWLANKSLRAGYFVWAMIAYGLGMR >KJB20661 pep chromosome:Graimondii2_0_v6:3:42764896:42769733:-1 gene:B456_003G158200 transcript:KJB20661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQSLCKVILVSALISLVCHPCSVTAGDIVHDDDSAPKKPGCENDFVLVKVQTWVNGIEDAEFVGVGARFGTAIVSKEKNANQRRLVLSDPRDCCSHPKNKLDNDVIMVDRGHCKFTTKANNAQAANASALLIINNQKELYKMVCDPDETDLDIHIPTVMLPQDAGVSLEKMLTMSVQLYSPKRPLVDIAEVFLWLMAVGTILCASYWSAWSAREAVIEHDKLLKDPLDEIPDTRHVGSGGLLNINTTSAVLFVVFASCFLIMLYKLMAYWFVELLVVLFCIGGVEGLQTCLVALLSRWFKRIAESYVKIPLFGAVSYLTLAVSPFCIAFAVVWAVYRNVSFAWIGQDILGIALIITVLQIVHVPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDKSGQDGIPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFSLRYDWLANKSLRAGYFVWAMIAYGLGLLVTYVALNLMDGHGQPALLYIVPFTLGTFLTLGRKRGDLRILWTSGEPERPCPHIRLEHQTIEELNDEK >KJB20659 pep chromosome:Graimondii2_0_v6:3:42764883:42769857:-1 gene:B456_003G158200 transcript:KJB20659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQSLCKVILVSALISLVCHPCSVTAGDIVHDDDSAPKKPGCENDFVLVKVQTWVNGIEDAEFVGVGARFGTAIVSKEKNANQRRLVLSDPRDCCSHPKNKLDNDVIMVDRGHCKFTTKANNAQAANASALLIINNQKELYKMVCDPDETDLDIHIPTVMLPQDAGVSLEKMLTSNSSVSVQLYSPKRPLVDIAEVFLWLMAVGTILCASYWSAWSAREAVIEHDKLLKDPLDEIPDTRHVGSGGLLNINTTSAVLFVVFASCFLIMLYKLMAYWFVELLVVLFCIGGVEGLQTCLVALLSRWFKRIAESYVKIPLFGAVSYLTLAVSPFCIAFAVVWAVYRNVSFAWIGQDILGIALIITVLQIVHVPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDKSGQDGIPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFSLRYDWLANKSLRAGYFVWAMIAYGLGLLVTYVALNLMDGHGQPALLYIVPFTLGTFLTLGRKRGDLRILWTSGEPERPCPHIRLEHQTIEELNDEK >KJB18481 pep chromosome:Graimondii2_0_v6:3:9426972:9431934:-1 gene:B456_003G057200 transcript:KJB18481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSFTPGSIDCSHLKAHDVWFTKSNNFRKTPSLAVRRKVIPSNCQFSVCAEYCRGGASDFAAGFLLGGAIFATIAYVFAPQIRRSLLNEDEYGFRKAKRLIYYDEGLEQTRQTLNKKISQLNSAIDNVSSRVRGGNNSPTVLVETEPEVEATM >KJB20088 pep chromosome:Graimondii2_0_v6:3:38699513:38701118:1 gene:B456_003G132100 transcript:KJB20088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSFFISHITPLTFFCFLLLSSSAAADLNITSILSSFQNLTSFSSLLTSSSVASDLTRRQDPITVLAVPNSYLSIPPASDLTRRLPPSSLGDILRYHVLLHYFSWTDLLQIPPSGVLVTTLLQTTSRAPANFGAVNITRNPLTNSITIQSPVTFSPSNATVLSVIKTLPYNITILAVDSLLVPYDFNLMTSETRPPLGLNITKALIDGHNFNVAAAMLSASGVVDEFEADEGGAGITLFVPTDGAFGDLPGNVRLKSLPADKKSVVLKFHVLHSYYPLGSLESIVNPVQPTLATEDNGAGSFTLNISRVNGSVAIDTGIVQASVTQTVFDQNPVAIFGVSKVLLPREVFGKDSAGAMAKPGNPVVGTNVQPPETSPSPENSPWLSGPASSPSGFHEDMKSNGERFRLQSCIVALCCIGLYLLV >KJB17734 pep chromosome:Graimondii2_0_v6:3:845127:847499:-1 gene:B456_003G013200 transcript:KJB17734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCKPSNHSSSSPFNKFPKSFSTIFPSATSAFTTYASISASLMLLRSIFNDLIPYPLRKHIFSAISSLFCFFSGKQTIIIDQFDGIEPNHVFNATELYLSTKVNPNTKRLKVSKRIKDKGLSFKLDKGQRITDSFNGVELKWRHVCYGVEIKGNVTEKRYFILRFKKNNGDLVMNSYLPFVLKSAEMIENEMRVLKIHTLGNVRYGFKFKWESIKLEHPSTFETLALDPELKTMIIDDINRFIRRKEFYKKVGRSWKRGYLLYGPPGTGKSSLVAAMANYLKFDIYDLQLENIKSDSDLRRLLLDIGNRSILVIEDIDCTVNLPDRGHRRNGQDKQLTLSGLLNFVDGLWSSCGDERIIVLTTNHKHRLDPALLRPGRMDLHIHLSYCGMRAFTVLAANYLGIKGEHRLFGEIEVLLGATKVTPAQVAEELMKDEDVDVAVEGFLSFLKQRKMEEDKLEKDEALKKAEVKESRELKIEHKYLKKDEASKKAEVKESQE >KJB18272 pep chromosome:Graimondii2_0_v6:3:5624497:5626088:-1 gene:B456_003G043900 transcript:KJB18272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMFHKSIATIQLNSSGNGWSTCKKLRNYPLKIISKAFVVDVGGSFIYGQSKLHGVHALSSHSPLVDPVSSFSQNTNDYKNNTSVESALILNRHGDSLCNEKNLFTGCVDVPFTKKGFEEAVKAGRRISNIPVDMIYTSLLIRVQMTSMLVMTKHRHKKVPIIIHKESDRAKEWSQVFSEETKRQSIPVITAWELNERMAITSWKRLKDTAKRKFMRGDDFTIVLQPMARA >KJB20906 pep chromosome:Graimondii2_0_v6:3:44283581:44290933:-1 gene:B456_003G171700 transcript:KJB20906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPPFQVEDHTDEDFFDKLVDDDDDDSMGPTVPKFTEGIDSDDTKGFSNLSMGEDSGDEEVDSHAVKEKDPVDTGPAQANVPVVNEPNDSLGFDNSVVETSDHLLEGELSEAGFNQDLGENVGSKNSGVKEVGWNSLFADSNENGVHGFGHYSDFFSDLGENPIGVFPSEAGEIAKTSFVDHNSASTYQQYHDGSHDQVYEASAANNGNEQDLYSIDYWEKMYPGWKYDANSGQWYHVDGSGGDGSWNTDVKAEASYLQQTVQSFAGTMTTLEHGAMENVADWNQVSQVNNGYPEHMIFDPQYPGYYYDLIAQQWFALDSYNASVQSTVQDDGQQNQNGFASTVQNSQTDSSSMYGEHGQGDNYGSLGLGSPGGHHNWSDSYGTYKSQGFNMWQPGTAANSGALSSFDANKQSDTSFGLNMPVNNNASNFQSSYNSLQEAQSVNSANQVHTEANGVTGLQSFLPSENFNNQFIQTNLNQNEQVNFSNDFYGSQKSVNVAPQPLQSSQPFPYASNTGRSSAGRPPHALVSFGFGGKLIIMKDGSPLQNSSFGTQDSVGAYISVLNMLEVVNSNTSVSAAAPAACDYFNTLCQQSFPGPLVGGNVSNKDLSKWIDDRIASCESPNMDYGKGENMRMLLSLLKIACQHYGKLRSPFGSDTLLKETDTPESAVAKLFASAKRKDASYGALSHCLQLLPSEGQVRATAIEVQNLLVSGRKKEALQRAQEGQLWGPALVLASQVGEQFYIDTIKQMALHQLVAGSPLRTLCLLIAGQPADVFSTGSTIDGMDLSQQHAEVRTNLMLDDWEENLAVITANRTKDDELVIIHLGDCLWKERSEITAAHVCYLVAEANFESYSGSARLCLIGADHWKFPRTYASPEAIQRTELYEYSKVLGNSQFVLLPFQPYKLIYAHMLAEVGKVSDSLKYCQAVLKSLKTSRVPEVETWKHLVLSLEERIRIHQQGGYATNLAPVKLVGKLLNFFDSTAHRVVGGLPPPPTSASNGNSQGNDHFNQQTGPRVSASQSTMAMSSLMPSSSMEPINDWEGRGADGKMTMHNRSVSEPDIGRTTRQVDSSKETGPSNEQGKQSVSPGASRFSRFSFGSQLFQKTFGLSWVKRTNSTMMKNLRDGWRKALNLWPKNQPCHHLQPLLHSRMEHLITT >KJB20907 pep chromosome:Graimondii2_0_v6:3:44284039:44290407:-1 gene:B456_003G171700 transcript:KJB20907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPPFQVEDHTDEDFFDKLVDDDDDDSMGPTVPKFTEGIDSDDTKGFSNLSMGEDSGDEEVDSHAVKEKDPVDTGPAQANVPVVNEPNDSLGFDNSVVETSDHLLEGELSEAGFNQDLGENVGSKNSGVKEVGWNSLFADSNENGVHGFGHYSDFFSDLGENPIGVFPSEAGEIAKTSFVDHNSASTYQQYHDGSHDQVYEASAANNGNEQDLYSIDYWEKMYPGWKYDANSGQWYHVDGSGGDGSWNTDVKAEASYLQQTVQSFAGTMTTLEHGAMENVADWNQVSQVNNGYPEHMIFDPQYPGYYYDLIAQQWFALDSYNASVQSTVQDDGQQNQNGFASTVQNSQTDSSSMYGEHGQGDNYGSLGLGSPGGHHNWSDSYGTYKSQGFNMWQPGTAANSGALSSFDANKQSDTSFGLNMPVNNNASNFQSSYNSLQEAQSVNSANQVHTEANGVTGLQSFLPSENFNNQFIQTNLNQNEQVNFSNDFYGSQKSVNVAPQPLQSSQPFPYASNTGRSSAGRPPHALVSFGFGGKLIIMKDGSPLQNSSFGTQDSVGAYISVLNMLEVVNSNTSVSAAAPAACDYFNTLCQQSFPGPLVGGNVSNKDLSKWIDDRIASCESPNMDYGKGENMRMLLSLLKIACQHYGKLRSPFGSDTLLKETDTPESAVAKLFASAKRKDASYGALSHCLQLLPSEGQVRATAIEVQNLLVSGRKKEALQRAQEGQLWGPALVLASQVGEQFYIDTIKQMALHQLVAGSPLRTLCLLIAGQPADVFSTGSTIDGMDLSQQHAEVRTNLMLDDWEENLAVITANRTKDDELVIIHLGDCLWKERSEITAAHVCYLVAEANFESYSGSARLCLIGADHWKFPRTYASPEAIQRTELYEYSKVLGNSQFVLLPFQPYKLIYAHMLAEVGKVSDSLKYCQAVLKSLKTSRVPEVETWKHLVLSLEERIRIHQQGGYATNLAPVKLVGKLLNFFDSTAHRVVGGLPPPPTSASNGNSQGNDHFNQQTGPRVSASQSTMAMSSLMPSSSMEPINDWEGRGADGKMTMHNRSVSEPDIGRTTRQVDSSKETGPSNEQGKQSVSPGASRFSRFSFGSQLFQKTFGLVLRPRPDKQAKLGEKNKFYYDEKLKRWVEEGAEPLAKEPALPPPPTTASFQNGTSDYNLNSALKSESSPPNGSPKFRNPTPIEHASGIPPIPTSSNQFSARGRMGVRARYVDTFNKGGGGQANLFQSPAVSSVKPAAAANAKFFIPMPISTTMSEQSMEAITENAQEENATNNNPSTPTSTSSVNDFCQSPRPLPAVTRPRFPSMDNIPQEVFTTNANAYPSPHSRRTVSWSGGNFDDPLSPPSKPEIRPSGEAPGKPPPLFMPGPANGSFGDEQLHEVEL >KJB18484 pep chromosome:Graimondii2_0_v6:3:8674037:8677165:-1 gene:B456_003G055300 transcript:KJB18484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKFTVLGLLLAVVCVITGEYVCNGDSHLGNCSKSDLETLSDFKNGLNDPENRLSSWQGSGCCQWHGIGCNNSTGAVIMIDLHNPYPITSESSSGGYGFWNLSGDISPSLPNLKSLEYLDLSLNTFNDISIPEFLGSLKNLRYLNLSKAGFSGLIPASLGNISSLQFLDVSTEFASLSSDSLQWVASLVSLKHLAMNEVDLSMIDSGFLGTMNRLSFLNELHLSGCQLSGSILSLNSVNLTSLSVLDLSFNSFGPGFPVWLVNISSLTYVDLSNNDLSGRIPLDLGEVPNLQYLNLAGNSNLSVSCYQLLRRSWKKIQVLNLASNKVHGKLPASIGNMTSLTTFDLSNNEVKGGIPSSIGKLCSLKSFDLSSNNLTGSLPQFLEGTQDCVPNRPFPSLMYLRLSNNRLVGTLPEWMGLLRNLLELNLNYNLIEGAIPASLGQLSNLTNVGLGSNELNGTLPDSFGQLSGLSTFDVSSNHLTGFISEAHFAKLSKLKILHLSANSFIVNLSSNWIPPFQVRNLDMGSCYLGPSFPKWLRYQKEVRYLDFSNASISGSIPDWFWDISGNLSLLNVSFNELEGQLPNPLNVAPFADVDFSSNLLEGPIPLPVVEIELLDLSNNQISGSIPQNMSQSMPNLIFLSLSNNQLTGGIPNSIGEMLSLQAIDLSRNKLTGSIPSSIENCSYLKVLDLGNNNLSGVIPDALGQLLQLQSLHLNNNNLKGSIPPSFKNLSSLETLDLGNNSLSGNIPLWIGDGFPALRIISLRSNAFSGEIPSKLSNLSSLQILDLAENNFTGTIPASLGDLKAMANEQKIIQYLLYGKYRGLYYEESLIITLKDQSLKFNKTLSLVTSIDLSGNNLNGDIPESLTKLSGLLVLNLSRNHITGGIPGNISNLHQLSSLDLSRNNLTGEIPSRLSSLSFLSYLNLSNNNFSGAIPYSGQLTTFDASSFDGNPGLCGGPLNIKCENDGVDSGGRVEGGKSNEGIIDKWFYLSVGVGFAAGILVPVLVISARSSWVDSYFGMVEKFIDKSGFRNLADRHGRNKG >KJB17789 pep chromosome:Graimondii2_0_v6:3:1055979:1063751:-1 gene:B456_003G015600 transcript:KJB17789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSEYSSSQGPPAPTSGSSPKSSSPSPSPSKSSSPPSPSTSHSPASPSRSVASQKNDDNYQSPPSGKSSSGNGHNHRSPPPRKKGSNHHNGSPHPKSSSNKDDVDGKFIIIGAAAGAGLLLFVVVIFFVVKCGRPKKKKQNPQFQPQGSGDPHYYNTNGGVPPPNGYGGGNGWSSSSPMPPPSGGNGWSSSSPMPPGPIYSGSGRQFYSGSGPIYSGEATSNNFSSPYHQQMPPPTPNFSIGFNKNSFTYEELSVATNGFSQANMIGQGGFGYVFKGVLPNEKEVAIKCMKAGSGQGDREFQAEVEIVSRVHHRHLVSLVGFCVAGDQRMLVYDFVPNKTLEHHLHGKGVPVMDFTCRLRIALGAAKGLAYLHEDCHPRIIHRDIKGANILIDNNFEAMVADFGLVRLTAENHTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGIMLLELITGKPPVDLSNKMEDTLVDWARPLLDRALATGNHDELIDPRLEGNYDHGEMQRMVACASASIRHSAKRRPKMSQIVRALQGDAALDDLNVWVKPTDSNISSLGLPTQGFSSSEYNSSGDMQNQRRS >KJB19051 pep chromosome:Graimondii2_0_v6:3:20086609:20087322:1 gene:B456_003G082100 transcript:KJB19051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVPMGCLVVVFVSIVCCAIITLPASIQAQSSSPTSDGNSIDQGVACMLMVVALLLTYLIHAADFCFSF >KJB17662 pep chromosome:Graimondii2_0_v6:3:627510:628152:1 gene:B456_003G009900 transcript:KJB17662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSNLCMPRFKGNNKNKRLSPMTLFERFREAIFRLIMLSALTKTSSDQHFNSLAVPQRCYHQPDDARRSEDMADCIEFIKRKAFIEENRESSDRNSIANDVIGDVAMQVL >KJB21030 pep chromosome:Graimondii2_0_v6:3:45085305:45086657:-1 gene:B456_003G179300 transcript:KJB21030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFVPSRKPCFIEEDDGLASLAGMEGVGYSGGSYYKNHSQNGFFSTPFCFSRRNNSLRNMSSSSSSSSSSFSCLISSSPRSTRFYDGRFEDHHQPHFLDACFLCKKPLGGNKDIFMYRGDTPFCSEECRQEQIDMDEAKENNLSFSSSMKALRKEKDQRKSSKSPTKAEDYPFRTSTVAAA >KJB19038 pep chromosome:Graimondii2_0_v6:3:19968112:19971124:-1 gene:B456_003G081700 transcript:KJB19038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHRFRESMESFFGHHVDPEKDEQLKGSKIEIDDKVTKILKLIKDEENDSKKEPLVQLIEDFHKHYQSLYEQYDHLTGELRKKVHGKREKDALSSSSSDSDSDYSSKDRNSKNGQLESEFQKIADGIKQELEEANLEIADLKRKLTATSEEKDALNSDYLASLSKVQEAEETIENLKLESERSESEKSKLLVENEELRHKLDAAAKVEAEVNQRLESAEHQVMELGEGLNAAVEENKSLNSKLSEVSNEFQQAQGRIQQLMAELSQSKEELVEKERELLTLKELHDMHGNQSSAQIKELEAHVTSLELELESLQTTNRDMAEQLENKASEAEQLGEQNIGLQSRISELEMMLEKREEEIFILTKKLEDDNRESLSGVENLTAQVNNLLSEMESLQAEKALLEENLAFKGDESSNQVQSLMDEVNTLQQQLESLHSQKAELELQLEREKQESSERLNEMENQKSELKQMVEDLQRDLEAKGDEKNDLVNQITDHQRMLKEQEDAFNKLSEEYKQLETSFQDCKALIEVTERKMQEMAGEHDKIVHSKGQTVADLEQIIEDLKRDLEMKGDEISTFVENVRTIEVKLRLSNQKLRVTEQLLTEKEESFRKAEAKFMEEQRMLEERITILSENNEAYRRMITDFSGNVSNTLTGFEAINQKFEAGYSKYKHCVEETSKELRIAKHWVGETKSEKKQLMNEMTNMIEQLKDQKEKESTLREQVEKLQIKANKEANEKENLMKSVKQLENKVELLERAIKEKDEGILGLGEEKREAIRQLCTWIDYHRSRCDDLKEILSKSGRVQRAT >KJB19035 pep chromosome:Graimondii2_0_v6:3:19967953:19971295:-1 gene:B456_003G081700 transcript:KJB19035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHRFRESMESFFGHHVDPEKDEQLKGSKIEIDDKVTKILKLIKDEENDSKKEPLVQLIEDFHKHYQSLYEQYDHLTGELRKKVHGKREKDALSSSSSDSDSDYSSKDRNSKNGQLESEFQKIADGIKQELEEANLEIADLKRKLTATSEEKDALNSDYLASLSKVQEAEETIENLKLESERSESEKSKLLVENEELRHKLDAAAKVEAEVNQRLESAEHQVMELGEGLNAAVEENKSLNSKLSEVSNEFQQAQGRIQQLMAELSQSKEELVEKERELLTLKELHDMHGNQSSAQIKELEAHVTSLELELESLQTTNRDMAEQLENKASEAEQLGEQNIGLQSRISELEMMLEKREEEIFILTKKLEDDNRESLSGVENLTAQVNNLLSEMESLQAEKALLEENLAFKGDESSNQVQSLMDEVNTLQQQLESLHSQKAELELQLEREKQESSERLNEMENQKSELKQMVEDLQRDLEAKGDEKNDLVNQITDHQRMLKEQEDAFNKLSEEYKQLETSFQDCKALIEVTERKMQEMAGEHDKIVHSKGQTVADLEQIIEDLKRDLEMKGDEISTFVENVRTIEVKLRLSNQKLRVTEQLLTEKEESFRKAEAKFMEEQRMLEERITILSENNEAYRRMITDFSGNVSNTLTGFEAINQKFEAGYSKYKHCVEETSKELRIAKHWVGETKSEKKQLMNEMTNMIEQLKDQKEKESTLREQVEKLQIKANKEANEKENLMKSVKQLENKVELLERAIKEKDEGILGLGEEKREAIRQLCTWIDYHRSRCDDLKEILSKSGRVQRAT >KJB19037 pep chromosome:Graimondii2_0_v6:3:19968331:19970848:-1 gene:B456_003G081700 transcript:KJB19037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHRFRESMESFFGHHVDPEKDEQLKGSKIEIDDKVTKILKLIKDEENDSKKEPLVQLIEDFHKHYQSLYEQYDHLTGELRKKVHGKREKDALSSSSSDSDSDYSSKDRNSKNGQLESEFQKIADGIKQELEEANLEIADLKRKLTATSEEKDALNSDYLASLSKVQEAEETIENLKLESERSESEKSKLLVENEELRHKLDAAAKVEAEVNQRLESAEHQVMELGEGLNAAVEENKSLNSKLSEVSNEFQQAQGRIQQLMAELSQSKEELVEKERELLTLKELHDMHGNQSSAQIKELEAHVTSLELELESLQTTNRDMAEQLENKASEAEQLGEQNIGLQSRISELEMMLEKREEEIFILTKKLEDDNRESLSGVENLTAQVNNLLSEMESLQAEKALLEENLAFKGDESSNQVQSLMDEVNTLQQQLESLHSQKAELELQLEREKQESSERLNEMENQKSELKQMVEDLQRDLEAKGDEKNDLVNQITDHQRMLKEQEDAFNKLSEEYKQLETSFQDCKALIEVTERKMQEMAGEHDKIVHSKGQTVADLEQIIEDLKRDLEMKGDEISTFVENVRTIEVKLRLSNQKLRVTEQLLTEKEESFRKAEAKFMEEQRMLEERITILSENNEAYRRMITDFSGNVSNTLTGFEAINQKFEAGYSKYKHCVEETSKELRIAKHWVGETKSEKKQLMNEMTNMIEQLKDQKEKESTLREQVEKLQIKANKEANEKENLMKSVKQLENKVELLERAIKEKDEGILGLGEEKREAIRQLCTWIDYHRSRCDDLKEILSKSGRVQRAT >KJB19034 pep chromosome:Graimondii2_0_v6:3:19967953:19972914:-1 gene:B456_003G081700 transcript:KJB19034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHRFRESMESFFGHHVDPEKDEQLKGSKIEIDDKVTKILKLIKDEENDSKKEPLVQLIEDFHKHYQSLYEQYDHLTGELRKKVHGKREKDALSSSSSDSDSDYSSKDRNSKNGQLESEFQKIADGIKQELEEANLEIADLKRKLTATSEEKDALNSDYLASLSKVQEAEETIENLKLESERSESEKSKLLVENEELRHKLDAAAKVEAEVNQRLESAEHQVMELGEGLNAAVEENKSLNSKLSEVSNEFQQAQGRIQQLMAELSQSKEELVEKERELLTLKELHDMHGNQSSAQIKELEAHVTSLELELESLQTTNRDMAEQLENKASEAEQLGEQNIGLQSRISELEMMLEKREEEIFILTKKLEDDNRESLSGVENLTAQVNNLLSEMESLQAEKALLEENLAFKGDESSNQVQSLMDEVNTLQQQLESLHSQKAELELQLEREKQESSERLNEMENQKSELKQMVEDLQRDLEAKGDEKNDLVNQITDHQRMLKEQEDAFNKLSEEYKQLETSFQDCKALIEVTERKMQEMAGEHDKIVHSKGQTVADLEQIIEDLKRDLEMKGDEISTFVENVRTIEVKLRLSNQKLRVTEQLLTEKEESFRKAEAKFMEEQRMLEERITILSENNEAYRRMITDFSGNVSNTLTGFEAINQKFEAGYSKYKHCVEETSKELRIAKHWVGETKSEKKQLMNEMTNMIEQLKDQKEKESTLREQVEKLQIKANKEANEKENLMKSVKQLENKVELLERAIKEKDEGILGLGEEKREAIRQLCTWIDYHRSRCDDLKEILSKSGRVQRAT >KJB19039 pep chromosome:Graimondii2_0_v6:3:19968112:19972820:-1 gene:B456_003G081700 transcript:KJB19039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHRFRESMESFFGHHVDPEKDEQLKGSKIEIDDKVTKILKLIKDEENDSKKEPLVQLIEDFHKHYQSLYEQYDHLTGELRKKVHGKREKDALSSSSSDSDSDYSSKDRNSKNGQLESEFQKIADGIKQELEEANLEIADLKRKLTATSEEKDALNSDYLASLSKVQEAEETIENLKLESERSESEKSKLLVENEELRHKLDAAAKVEAEVNQRLESAEHQVMELGEGLNAAVEENKSLNSKLSEVSNEFQQAQGRIQQLMAELSQSKEELVEKERELLTLKELHDMHGNQSSAQIKELEAHVTSLELELESLQTTNRDMAEQLENKASEAEQLGEQNIGLQSRISELEMMLEKREEEIFILTKKLEDDNRESLSGVENLTAQVNNLLSEMESLQAEKALLEENLAFKGDESSNQVQSLMDEVNTLQQQLESLHSQKAELELQLEREKQESSERLNEMENQKSELKQMVEDLQRDLEAKGDEKNDLRMLKEQEDAFNKLSEEYKQLETSFQDCKALIEVTERKMQEMAGEHDKIVHSKGQTVADLEQIIEDLKRDLEMKGDEISTFVENVRTIEVKLRLSNQKLRVTEQLLTEKEESFRKAEAKFMEEQRMLEERITILSENNEAYRRMITDFSGNVSNTLTGFEAINQKFEAGYSKYKHCVEETSKELRIAKHWVGETKSEKKQLMNEMTNMIEQLKDQKEKESTLREQVEKLQIKANKEANEKENLMKSVKQLENKVELLERAIKEKDEGILGLGEEKREAIRQLCTWIDYHRSRCDDLKEILSKSGRVQRAT >KJB19036 pep chromosome:Graimondii2_0_v6:3:19967953:19972914:-1 gene:B456_003G081700 transcript:KJB19036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHRFRESMESFFGHHVDPEKDEQLKGSKIEIDDKVTKILKLIKDEENDSKKEPLVQLIEDFHKHYQSLYEQYDHLTGELRKKVHGKREKDALSSSSSDSDSDYSSKDRNSKNGQLESEFQKIADGIKQELEEANLEIADLKRKLTATSEEKDALNSDYLASLSKVQEAEETIENLKLESERSESEKSKLLVENEELRHKLDAAAKVEAEVNQRLESAEHQVMELGEGLNAAVEENKSLNSKLSEVSNEFQQAQGRIQQLMAELSQSKEELVEKERELLTLKELHDMHGNQSSAQIKELEAHVTSLELELESLQTTNRDMAEQLENKASEAEQLGEQNIGLQSRISELEMMLEKREEEIFILTKKLEDDNRESLSGVENLTAQVNNLLSEMESLQAEKALLEENLAFKGDESSNQVQSLMDEVNTLQQQLESLHSQKAELELQLEREKQESSERLNEMENQKSELKQMVEDLQRDLEAKGDEKNDLVNQITDHQRMLKEQEDAFNKLSEEYKQLETSFQDCKALIEVTERKMQEMAGEHDKIVHSKGQTVADLEQIIEDLKRDLEMKGDEISTFVENVRTIEVKLRLSNQKLRVTEQLLTEKEESFRKAEAKFMEEQRMLEERITILSENNEAYRRMITDFSGNVSNTLTGFEAINQKFEAGYSKYKHCVEETSKELRIAKHWVGETKSEKKQLMNEMTNMIEQLKDQKEKESTLREQVEKLQIKANKEANEKENLMKSVKQLENKVELLERAIKEKDEGILGLGEEKREAIRQLCTWIDYHRSRCDDLKEILSKSGRVQRAT >KJB18436 pep chromosome:Graimondii2_0_v6:3:8042929:8048591:-1 gene:B456_003G052700 transcript:KJB18436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKQIPSSLTRSKSQILLLFFPLSLILLSFLFLLFPPSDPAASSTTHPYPHPLIPPQTSFVASLEHFLTHKALNHRSSDDTVRSLLQDDVRMFDDRKFAKEMDWVHGDPYYPLNFPIRVYVYQMPNKFTYNLLWLFRNTYRETSNLTSNGSPVHRLIEQHSIDYWLWADLIAPESERLLKNVVRVHRQEEADLFYVPFFTTISFFLLEKQQCKALYREALKWVTDQPAWKRSEGRDHIFPIHHPWSFKSVRRYVKNSIWLLPDMDSTGNWYKPGQVSLEKDLILPYVPNVDLCDAKCVSESESKRTILLFFRGRLKRNAGGKIRSKLVTELAGAKDVVIEEGTSGDQGKAAAQKGMRRSIFCLSPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYRKIAIFVSSADAVQPGWLLPFLKGISSTQIREMRKNLVEFSRHFMYSSPAQPLGPEDLVWRLMGGKLVNIKLHTRRSQRVVKESRSVCTCDCRSGNNTASSTLSGQSLSG >KJB18437 pep chromosome:Graimondii2_0_v6:3:8044767:8048591:-1 gene:B456_003G052700 transcript:KJB18437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKQIPSSLTRSKSQILLLFFPLSLILLSFLFLLFPPSDPAASSTTHPYPHPLIPPQTSFVASLEHFLTHKALNHRSSDDTVRSLLQDDVRMFDDRKFAKEMDWVHGDPYYPLNFPIRVYVYQMPNKFTYNLLWLFRNTYRETSNLTSNGSPVHRLIEQHSIDYWLWADLIAPESERLLKNVVRVHRQEEADLFYVPFFTTISFFLLEKQQCKALYREALKWVTDQPAWKRSEGRDHIFPIHHPWSFKSVRRYVKNSIWLLPDMDSTGNWYKPGQVSLEKDLILPYVPNVDLCDAKCVSESESKRTILLFFRGRLKRNAGGKIRSKLVTELAGAKDVVIEEGTSGDQGKAAAQKGMRRSIFCLSPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYRKFGTEECLNMVGGLFEMHVQE >KJB19319 pep chromosome:Graimondii2_0_v6:3:29733961:29736663:1 gene:B456_003G095100 transcript:KJB19319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELKLSESRDLTRIERIGAHSHIRGLGLDSSLEPRDVSEGMVGQAQARKAAGVILQMIKDGKIAGRAILLAGQPGTGKTAIAMGMAKSLGLETPFSMLSGSEIFSLEMSKTEALMQAFRKSIGVRIKEETEIIEGEVVEIQIDRPAVSGAASKTGKLTLKTTDMETVYDLGAKMIEALGKEKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPQTKFVQCPDGELQKRKEVVHSVTLHEIDVINSRTQGFLALFTGDTGEIHAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITSIRGTNYKSPHGIPIDLLDRLLIITTQPYSEDEIRKILDIRCQEEDVEMFEDAKQLLTKIGHETSLRYAIHLITASALNCRKRKGMVVEVQDITRVYSLFLDVRRSTQYLMEYQKEYMFNEASIVDGGDADAMHD >KJB19320 pep chromosome:Graimondii2_0_v6:3:29734023:29743447:1 gene:B456_003G095100 transcript:KJB19320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELKLSESRDLTRIERIGAHSHIRGLGLDSSLEPRDVSEGMVGQAQARKAAGVILQMIKDGKIAGRAILLAGQPGTGKTAIAMGMAKSLGLETPFSMLSGSEIFSLEMSKTEALMQAFRKSIGVRIKEETEIIEGEVVEIQIDRPAVSGAASKTGKLTLKTTDMETVYDLGAKMIEALGKEKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPQTKFVQCPDGELQKRKEVVHSVTLHEIDVINSRTQGFLALFTGDTGEIHAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITSIRGTNYKSPHGIPIDLLDRLLIITTQPYSEDEIRKILDIRCQEEDVEMFEDAKQLLTKIGHETSLRYAIHLITASALNCRKRKGMVVEVQDITRVYSLFLDVRRSTQYLMEYQKEYMFNEASIVDGGDADAMHD >KJB20034 pep chromosome:Graimondii2_0_v6:3:38368620:38372038:1 gene:B456_003G129900 transcript:KJB20034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLFSSLPLPPHTFLPTFTAFYGCHLPFLITPIVLFYLMVVLVVVTLLLLLSVHQWRRPKDKPLPPGSMGWPYIGETLKLYKENPNSFFANRQKRYGDIFKSHILGCPCVMISSPDAAKIVLVTKAHLFKPTYPPSKEKMIGPEAIFFHQGPYHSRLKKLVQASFLPSAIRGSVSEIEQIVLKFLPAWENTTLNTLQEMKRYAFDVAMISAFGHKQDKEINGIKQLYQCLEKGYNSMPLDLPGTPFNKAMKARKLLNETLRRLIKERRENEKQGGGGLLGVLLGDKNQKVDQLSDSQIADNVIGVIFAAHDTTASVLTWLLKYLHDNENLLEAVTREQEGVRREIIEANRRLMWDDTRHMPLTTRVIQETLRTASILSFTFREAVEDVEFEGYYIPKGWKVLPLFRTIHHCADFFPKPEKFDPSRFEVPPKPNTFMPFGNGVHSCPGSELAKLEMLVLLHHLTTKYRWQVVGDEDGIQYGPFPVPKKGLPVKVTPRNI >KJB21134 pep chromosome:Graimondii2_0_v6:3:45536246:45537494:-1 gene:B456_003G184400 transcript:KJB21134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQSLGSPTSKLHSHGGEEITGAIVDDDDRRKDSKSRRLTFSASSSSSSPLLLPSSPKSEKLIHLIPVLTLLCFLILYLNSHSPSQSGTFFSVLKIRTRGYSINLRRSFILFCLCFRFGSF >KJB21131 pep chromosome:Graimondii2_0_v6:3:45536093:45537512:-1 gene:B456_003G184400 transcript:KJB21131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQSLGSPTSKLHSHGGEEITGAIVDDDDRRKDSKSRRLTFSASSSSSSPLLLPSSPKSEKLIHLIPVLTLLCFLILYLNSHSPSQSDLAAFNGFKHSSKHLDSREIGEVSRFMEFRRGDVLAIRSQRNLQELDKYIVKSRPHRKVADF >KJB21132 pep chromosome:Graimondii2_0_v6:3:45536924:45537371:-1 gene:B456_003G184400 transcript:KJB21132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQSLGSPTSKLHSHGGEEITGAIVDDDDRRKDSKSRRLTFSASSSSSSPLLLPSSPKSEKLIHLIPVLTLLCFLILYLNSHSPSQSDLAAFNGFKHSSKHLGIMQLQIAFFFLKFSV >KJB21133 pep chromosome:Graimondii2_0_v6:3:45536246:45537494:-1 gene:B456_003G184400 transcript:KJB21133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQSLGSPTSKLHSHGGEEITGAIVDDDDRRKDSKSRRLTFSASSSSSSPLLLPSSPKSEKLIHLIPVLTLLCFLILYLNSHSPSQSDSREIGEVSRFMEFRRGDVLAIRSQRNLQELDKYIVKSRPHRKVADF >KJB17525 pep chromosome:Graimondii2_0_v6:3:225640:229682:-1 gene:B456_003G003700 transcript:KJB17525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALHHLHNNSSPLLPVSFLERAALVYGDKVSIIYGTVSFTWRETHQRCLRLASGLTQLGISPGDTVAAMAPNIPALYDLHFAVPMAGAVLSALNTKLDAASLAVILEKLEAKFMFIDYEYVDVIVKALEIMSQNMTKHPFFVLIQEHGQGPDLVSVGGNKIKYDDLIAMGETDFEIVKPKDEGDPISVNFTSGSTGEPKGVLYSHKAAYLKSLASVRLYDMGVAPVFLWTVDMFRCNGWCCTWTMAAVGGTNICLRNVSAKFIFDSIVLHNVTHLCGGPAILNLIADASLTVPFKVRLIVAGVLPMSRVMKVEGLGYTVSHAYGMTEVLGPAIVKPWRSSNEHKKDNIVVENVDVKEPETMASVRHDGKTIGEVMFKGNTLMLGYLKDPKATEEAFKDGWYRTKDLGVIHPNGVIQLKDRAKDIIFTGGEIVSTLEVEAVLLSHPKVSEAAVVGKRDDYSKETPCAFVKFKEEYYYGAMSCQEIVEFCEERLPDFMVPRTVVFGELPVNSTGKVQKFTLRVKANGMHSNIGQKNGCHT >KJB20922 pep chromosome:Graimondii2_0_v6:3:44340404:44346582:1 gene:B456_003G172400 transcript:KJB20922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSEISRCSYHVFLSFRGEDTRKSFTGHLYTALVHLGIQTFRDDEEIERGNNIKDEIEKAILHHSKISIVVFSKNYAASTWCLNELVMILEHKKSSKHIVLPVFYDVDPSQVKNQTGSYAEAFTQHEQNLESATNMVQRWRNALKEVADIGGMVLQDRHESQFIQDIVKEVQNKLHLISLYVPPYLVGIDPLVTQINQWLEQDGANKVGIATICGIGGIGKTTIAKVVYNQNIPRFESFSFLAHVRETSQDYNGLVRLQRQLISDLLKEKSHKIYNIDNGINKIKEIVYCRRVLLVLDDVDELEKIRKLMGTQIPFHPGSKIIITSRNRCLLNAHFISQMFDLEASTSCGGLSKLFEVKELTSNESLQLFNWYAFGHNSVPESSMAYARSLVKHCGGLPLALQVLGSSLSSKSVSSWKSALEKLEEIPDSKIQEILRISYDSLEDDHDKNLFLDIVCLFIGKDREYTTTILDGCDYYTTIGIENLVGRSLLIINEQNKLMMHQMIRDMGREIIRQESSDIGRRSRLWHKNAFDVIREKIGSRTVKCLTIDLKGLLEDKAKRTNTTLHFPKHSKSQFLMANDVDMETQAFAKMKRLKLLQLDYVRLKGDFKEFPKRLRWLRWHGFCMQSCPVDFDINELVVLDMRNSKLKQVWKDTECLPNLKILNLNHSHSLLKTPSFSGIPSLEKLMLKDCINLVEVDQSIGELKMLTFLNLKDCTSLRKLPRTIGSLISLAELILSGCSRLDDVPRELHNMKSLKVLNLDETSICQTRLGLHWLLPKRSKELGFSWASLPCSLVKLNLESCKLSDDVMPNDLYNLASLKSLNLSRNPIRYLPESIKKLTKLDELLLTSCTELQKIPKLPVLPNVFEFLTVSPFKGYWAILPCFFSSTRCVIFGCEKLTEVEDLFKLEPIENFEAEEIRRLFNVDSIKRNRLQLYSYLTDSIMLATPQVLQECGITSTFVIGSEVPIVFKHRTNEHRISFSLPTPSHPDEKIHRFSLCIVFSLASDQILEFLPSVHIFNKTKRIMQRYRSSFMGIPEANDNTMLWLIHWPVTDCEFEGGDLVSCMVVPIHLSIRKFGVTYESQHNVRNKYGFSHLSTGDEVATRNMMMDLTKDLLSLESYGNVKVQLCSYIEESKVVASPQVLYDYGIITTFDPFPFDYIGHYHGHQAGKTEVSISVPPNSSRKISCFLNSIIIFSAKNNKTYRFLPCLEIVNETKGTKWTYSKHFMGIPETKNTLYWTTCWNFRGDELEAGDHISLRVLSNLSVLEFGIDLVYDYELDDNPNFFSQLPWMSKCFKYLFGAFVLISSKSQKNLYRLQSLVKC >KJB18237 pep chromosome:Graimondii2_0_v6:3:5032348:5034542:-1 gene:B456_003G041500 transcript:KJB18237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSLGSSDSLGALMSICPTTDEHSPRNNHVYSREFQSMLDGLDEEGCLEESGHVAEKKRRLSVDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGLLKTSYETLKANYEALQHDNEALLKEAKHSGESTETESNVSVKEEVIVSENTDNKTLEQSEPAADLNYGSVVLGATLFPDMKDGSSDSDSSAILNEDIILNTNTNKDNSPNNAAFSSSGVLELDSQHHLLISSSSSPTSSMDCFNFTKTSYQPHQYVKMEEHNFFTADEACNFFSDPSLHWYSPEQWN >KJB18238 pep chromosome:Graimondii2_0_v6:3:5032348:5034572:-1 gene:B456_003G041500 transcript:KJB18238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSLGSSDSLGALMSICPTTDEHSPRNNHVYSREFQSMLDGLDEEGCLEESGHVAEKKRRLSVDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGLLKTSYETLKANYEALQHDNEALLKEIRELQAKHSGESTETESNVSVKEEVIVSENTDNKTLEQSEPAADLNYGSVVLGATLFPDMKDGSSDSDSSAILNEDIILNTNTNKDNSPNNAAFSSSGVLELDSQHHLLISSSSSPTSSMDCFNFTKTSYQPHQYVKMEEHNFFTADEACNFFSDPSLHWYSPEQWN >KJB18236 pep chromosome:Graimondii2_0_v6:3:5032919:5033897:-1 gene:B456_003G041500 transcript:KJB18236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLCFGADEHSPRNNHVYSREFQSMLDGLDEEGCLEESGHVAEKKRRLSVDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGLLKTSYETLKANYEALQHDNEALLKEIRELQAKHSGESTETESNVSVKEEVIVSENTDNKTLEQSEPAADLNYGSVVLGATLFPDMKDGSSDSDSSAILNEDIILNTNTNKDNSPNNAAFSSSGVLELDSQHHLLISSSSSPTSSMDCFNFTKTSYQPHQYVKMEEHNFFTADEACNFFSDPSLHWYSPEQWN >KJB18063 pep chromosome:Graimondii2_0_v6:3:3131359:3134452:1 gene:B456_003G032400 transcript:KJB18063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVILLLLTFTTLPLSSSDPNDEACLTQLSQTLKDPLNNLQNWTKSTFANPCSGFTSYLPGATCNNGRIYKLSLTNLSLQGSISPFLSNCTNLQSLDLSSNSISGPIPQDLQYLVNLAVLNLSSNRLEGEIPQQLALCAYLNVIDLHDNLLTGQIPQELGLLARLSAFDVSNNKLSGPIPASLGNRSGNLPRFNATSFGGNKDLYGYPLPPMKSKGLSVLAIVGIGLGSGLASLVISFTGVCIWLKITEEKMAAAEEGKIGQFMPDY >KJB18867 pep chromosome:Graimondii2_0_v6:3:17363957:17364762:-1 gene:B456_003G073000 transcript:KJB18867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLETNKPDQSNVEGGKQRHFNKEIKDMVSSITRRVTGIHKPGSSHRRGTDDDEHSVGIITLAGNNVGATMRSELDEKSSPQYRISVGDDEADAMSTYVNSNFQAVNDSIMLGSSYTANDPGVHLDISGVGEHEGKKPADKTRRRGKEKREGFLPK >KJB19103 pep chromosome:Graimondii2_0_v6:3:21731812:21735059:-1 gene:B456_003G086000 transcript:KJB19103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKITVVPNGKDFIDIILSRTQRQTPTVVHKRYAISRLRQFYMRKVKYTQQNFHEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLISKVAKDYVKLLKYGDSLYRCKSLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTMLICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLIIVCNKTDLQPLDGISEEDRKLVMEMKAEAMKTVIGQGGEPTNEEGVLLTMSTLTEDGVIAVKNAACERLLNQRVELKMKSKKINDCLNRFHVALPKPRDQKERPACIPQAVLEAKAKQAAEKEKRKTEKELEDEYGGAGVYSASLRKNYILANDEWKEDTMPEILDGHNVYDFIDPDILLRLEELEREEGLRQEEEEGDDFEMDGQELSLEEQEALAEIRKKKSLLIQQHRMKKSTAESRPVVPRKFDTDRKFTTGRMGRQLSSLGLDPSLAINRARSKSRGRKRERSVDKREGDGGDAMDIDDNQASKKLRLRSTSRSRSQSRPPGEVIPGEGLKDSAQKIKAIKLAKKSVLKRNKNARRGEADRVIPTLKPKHLFSGKRSIGKTQRR >KJB19232 pep chromosome:Graimondii2_0_v6:3:26739570:26741268:1 gene:B456_003G090200 transcript:KJB19232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFMNSINSDISAIDLCDEIPTIDYSLLFSENHDERAKSLEHLGKACQEFGFFYLINGVEQSVVEGALKGIFDFCELTNQEERSEYLKKNPMDRIRWGLRSHVGENREYLKIVAHPEYHCPSKPASFREYFKRMHEVELGLAKAISKILGYEETYIEKEFKLEVGFDVSAMNLYPPSFQSKCSIGVPEHTDPGFFVSLIQDVNGGLQMLTHKGNWVTVNIPRNAIFINLGDHLEILSNGKYKSHIHQVILDNNEVNRISMATLHGPSLDIFVAPALRFIDESHPPAYRGITYKESLELNGSDEIDVQSSLSLLRIPFSL >KJB17616 pep chromosome:Graimondii2_0_v6:3:487361:491688:-1 gene:B456_003G008100 transcript:KJB17616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCWYSRIDREESVSRCKARKRYIKELVIARQALSASHSMYLRSLRGTGSALVQFSSNETTLHRPPPVPAPPPPPPPQQPPMSPGSDTWTSGTTTTASPALPPPPPPPPSSSWDFWDPFAQAATTASRSVTEEEWEAATSTSETAVTAVTGAANAAAPPSSGSELAMVVCRNSKELVEIVKEVDEYFLKAADAGSHLALLLEASNPNLSGKGYNNYACNLTPTTWTWNWNPKIVENTIVGHTGNTSHCSTIERLYAWEKKLYQEVKNAEAIKIEHEKKGAHMRKLEVKRAEYMKTEKTKKEVEKLESQMMVASQAIETTSAEIIKLRESELYPQLLELVKGLMCMWRSMYEIHQVHTHIVQQLKYLNFIPSNEPTSEIHRQSTLQLELEVQQWHLSFCNLVKAQRDYIQSLAGWLRLSLFQFSKNLLLRNSQESKIYSFCEEWHLAVDRIPDKVASEGIKSFLTVIHAIVVQQAEEYKQKKKADSAFKDFEKKAAELRSLESKYSPFSVPETNKDPIAVKKTKVDLSRAKAEEEKSKHEKSVSLTRAMTLNNLQMGFPHVFQAMVGFSSVCMQAFESVYNQAKSIEQEHDVKRILP >KJB19781 pep chromosome:Graimondii2_0_v6:3:35776750:35777894:1 gene:B456_003G118600 transcript:KJB19781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMYYDEYVDRSRQRLGNRSTLEVESEKKMKGDEEKVKKGSRKKEKNEDVLASEELKKRADDFIARVNRQRRFEDGLPL >KJB18061 pep chromosome:Graimondii2_0_v6:3:2950146:2951186:-1 gene:B456_003G031900 transcript:KJB18061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLVTLFILTLFVSTLSFAARTAPPFPANTQQQQAQGVVEVEDSCEGVAEEECLMRRTLAAHTDYIYTQNHNP >KJB19050 pep chromosome:Graimondii2_0_v6:3:20081537:20084448:1 gene:B456_003G082000 transcript:KJB19050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKNEIITSTDLGDNEIGNISTWSIPKMISLVKSTFRSNDFAMVERELLAREQKSKLEIESLKQAKDSFSYKFEEERLEKMGFADDLRKCKKELEDMRGEVSKLREENMVLRERGKSAEERCNRLSEEVKGMYEKQHEIINLRSKNCELENEKAKAESELEILRKRFEELDKRVLCLETGFNTLQDEDDSKNNNRNGGEIGVSENGGLKPIEANGYSPNAGSGRPPSQNIVEIVDIDSDDDCAPVENPSVETVTPTLKRKQTSTTDVGDGENGDNDALSEKLKMRKRQEPVCRPNDCPLNHCSTTTIVSDFNEVNRGSATPREDVTDSVQPEHRTESEHRSGDLINGFPLAGLCFSEESSVSSDTDSDDDSDGFISINHSQLAPEVQKENKN >KJB19536 pep chromosome:Graimondii2_0_v6:3:33177802:33182600:-1 gene:B456_003G108400 transcript:KJB19536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED4 MQQQRLKQQHALMQQVYPNPSLMGSPQIEPISSGNLPPGFDASTCRSVYVANIHPQVTEPFLQEVFLSTGPIEGCKLVKKDKATYGFVDYFDRRSAALAIVTLNGRQLFGQPIKVNWAYASSQREDTSGHHNTFVGDLSPRAQSLLLQMASLASKLFEVSPNRAIWVSAFRVSQPSFLSSQMQSMPPPPLESTPTSTKEVISLFASLQTQLFEAVGQLQEILDLQDAKQKIAREIRFKDGAVLAFANKLKEAEQVLDVLVDDYSSYRKPKRLKLEDNGVKDAAAADGDSCTTTVVSRLNLSDILSYAHRISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKTAETKEKTVEAIIEPPPAQPADTNPLANLAALQGLLPPNFTVPAGWKPNMPVELPANLPVPPPGWKPGDPVPLPPLDSLSIPRMEGPNMRPVPPPGLHKQPEPIQVRHVELDILDPDDDSSDYSSDDGSSDDED >KJB19535 pep chromosome:Graimondii2_0_v6:3:33177934:33180663:-1 gene:B456_003G108400 transcript:KJB19535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED4 MQQQRLKQQHALMQQVYPNPSLMGSPQIEPISSGNLPPGFDASTCRSVYVANIHPQVTEPFLQEVFLSTGPIEGCKLVKKDKATYGFVDYFDRRSAALAIVTLNGRQLFGQPIKVNWAYASSQREDTSGHHNTFVGDLSPRAQSLLLQMASLASKLFEVSPNRAIWVSAFRVSQPSFLSSQMQSMPPPPLESTPTSTKEVISLFASLQTQLFEAVGQLQEILDLQDAKQKIAREIRFKDGAVLAFANKLKEAEQVLDVLVDDYSSYRKPKRLKLEDNGVKDAAAADGDSCTTTVVSRLNLSDILSYAHRISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKTAETKEKTVEAIIEPPPAQPADTNPLANLAALQGLLPPNFTVPAGWKPNMPVELPANLPVPPPGWKPGDPVPLPPLDSLSIPRMEGPNMRPVPPPGLHKQPEPIQVRHVELDILDPDDDSSDYSSDDGSSDDED >KJB19537 pep chromosome:Graimondii2_0_v6:3:33177668:33182600:-1 gene:B456_003G108400 transcript:KJB19537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED4 MQQQRLKQQHALMQQVYPNPSLMGSPQIEPISSGNLPPGFDASTCRSVYVANIHPQVTEPFLQEVFLSTGPIEGCKLVKKDKATYGFVDYFDRRSAALAIVTLNGRQLFGQPIKVNWAYASSQREDTSGHHNTFVGDLSPRAQSLLLQMASLASKLFEVSPNRAIWVSAFRVSQPSFLSSQMQSMPPPPLESTPTSTKEVISLFASLQTQLFEAVGQLQEILDLQDAKQKIAREIRFKDGAVLAFANKLKEAEQVLDVLVDDYSSYRKPKRLKLEDNGVKDAAAADGDSCTTTVVSRLNLSDILSYAHRISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFADLDVGLPKTAETKEKTVEAIIEPPPAQPADTNPLANLAALQGLLPPNFTVPAGWKPNMPVELPANLPVPPPGWKPGDPVPLPPLDSLSIPRMEGPNMRPVPPPGLHKQPEPIQVRHVELDILDPDDDSSDYSSDDGSSDDED >KJB18019 pep chromosome:Graimondii2_0_v6:3:2715599:2718124:-1 gene:B456_003G029700 transcript:KJB18019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METITKQSPQGCNNICPTPPPLPGPAMNCRRKGSATKGNSCASTATRDLWDNLFDRGYRADVTIRTNDGGIIYAHAGVLGMASPVLRGMLKQAKGVGHKRLISIHGVQHDAVRVFIRFLYSSCYEQEEMKELVLPLLVLSHAFVVPQLKRICEQQLENSLLTLDNVVDVFQLSLLCDAPRLVLLTHRMILRNIKAVSATEGWIAMKRSHPALEKEILESMIYEEQMEKERIRKLNERKIYLQLYEAMEALVHICREGCRTIGPCDKDLKDDQKPCTYEACKGIELLVRHFAGCKLRVPGGCIHCKRMWQLLELHSRLCADSGSCRVPLCR >KJB18017 pep chromosome:Graimondii2_0_v6:3:2714752:2718124:-1 gene:B456_003G029700 transcript:KJB18017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METITKQSPQGCNNICPTPPPLPGPAMNCRRKGSATKGNSCASTATRDLWDNLFDRGYRADVTIRTNDGGIIYAHAGVLGMASPVLRGMLKQAKGVGHKRLISIHGVQHDAVRVFIRFLYSSCYEQEEMKELVLPLLVLSHAFVVPQLKRICEQQLENSLLTLDNVVDVFQLSLLCDAPRLVLLTHRMILRNIKAVSATEGWIAMKRSHPALEKEILESMIYEEQMEKERIRKLNERKIYLQLYEAMEALVHICREGCRTIGPCDKDLKDDQKPCTYEACKGIELLVRHFAGCKLRVPGGCIHCKRMWQLLELHSRLCADSGSCRVPLCRNFKDKIKKQFKKDEMKWKALAKKILSTKRIGGEPYFLSSKSISSY >KJB18018 pep chromosome:Graimondii2_0_v6:3:2714911:2718124:-1 gene:B456_003G029700 transcript:KJB18018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METITKQSPQGCNNICPTPPPLPGPAMNCRRKGSATKGNSCASTATRDLWDNLFDRGYRADVTIRTNDGGIIYAHAGVLGMASPVLRGMLKQAKGVGHKRLISIHGVQHDAVRVFIRFLYSSCYEQEEMKELVLPLLVLSHAFVVPQLKRICEQQLENSLLTLDNVVDVFQLSLLCDAPRLVLLTHRMILRNIKAVSATEGWIAMKRSHPALEKEILESMIYEEQERIRKLNERKIYLQLYEAMEALVHICREGCRTIGPCDKDLKDDQKPCTYEACKGIELLVRHFAGCKLRVPGGCIHCKRMWQLLELHSRLCADSGSCRVPLCRNFKDKIKKQFKKDEMKWKALAKKILSTKRIGGEPYFLSSKSISSY >KJB20844 pep chromosome:Graimondii2_0_v6:3:43860897:43862341:-1 gene:B456_003G168100 transcript:KJB20844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKLGVKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLSEAEEQLVIDLHSRLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLNKEAKAAENHISETDGVGADSLEDNSSTPTENCSSSVGSNSLDKFCNDESLLSSLWMNDEPPLIDASWDINIPAGGETCNGISLPSWEENCAWLLDCQDFGINDFGLDCLNDIELNTMNTLEMGDKTVA >KJB17715 pep chromosome:Graimondii2_0_v6:3:1005011:1007945:-1 gene:B456_003G015200 transcript:KJB17715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AE7-like 1 [Source:Projected from Arabidopsis thaliana (AT3G50845) UniProtKB/Swiss-Prot;Acc:A8MR89] MYSTEKNQSAKYVTEPPPSALQKKAVLEEEEEGQAIMTLGLINANPVVHAKKERVVRNEDPHGDDAVDPLEIYDILLLLDIRDPEHPYSLEQLSVLSEESITVDEKLGRILITFTPTIQHCSMATVIGLCLRVKLKECFPPHFKVDIKVAPGSHADEESVNKLLNDKERVAAALENPNLRQLVDECLYSNEL >KJB20139 pep chromosome:Graimondii2_0_v6:3:39241624:39245097:1 gene:B456_003G134600 transcript:KJB20139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLNMAAPPEQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLRKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCFVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAETVKELINPKVEIKMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEEDFRLRLGVSKEN >KJB20138 pep chromosome:Graimondii2_0_v6:3:39240890:39245099:1 gene:B456_003G134600 transcript:KJB20138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSSNGEQQTPSKPPPLPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLRKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCFVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAETVKELINPKVEIKMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEEDFRLRLGVSKEN >KJB20137 pep chromosome:Graimondii2_0_v6:3:39241024:39245097:1 gene:B456_003G134600 transcript:KJB20137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSSNGEQQTPSKPPPLPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLRKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCFVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAETVKELINPKVEIKMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEEDFRLRLGVSKEN >KJB19501 pep chromosome:Graimondii2_0_v6:3:32696129:32706870:-1 gene:B456_003G106300 transcript:KJB19501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEEDYVFFGTPIEREEEITSRRKKAAAEASGNLRSLPPWKQEVRDEEGRRRFHGAFTGGFSAGYFNTVGTKEGWAPQSFTSSRKSRAEVKQQSIFNFLDEDEKAELEGTLGTSSQFDTFGFTAAEFARKQADKEQKQRPSAIPGPVPDELVLPASESIGVKLLLKMGWRHGRAIKDSRARSLYDARREARKAFLAFASEDVKAPYPANEPDEEPESFMAQPVDNDTRSSKSLPAFVCNPKQDLHGLGYDPFKHAPEFREKKRLHLSNDKQHGYRKAISIKDSLFGSKSGKAAPGFGIGALEEYDAEDEDIYGAGYDFEETCVEEDEEPSILSIESKQPSRLSIESKQKVVAKDQGVLPGFKVASVSDYQLERFDPPIIPKDFVPHHKFPGPLETLRKLDVPSPPEVPPPDDSNLKLLIEGVAKLVTRCGKLFEDLSRKKNQSNPLFSFLSGGDGHDYYERRLWEEHQKLGDQAKLSLDGKHSPSARKMTAEGRGKLLGEKPLDRSTKETTSSSIASREFQLQFNLSDTFKKPDSFSKLPEVAKPFKDDPAKQERFEQFLKEKYEGGLRSTGYSSASNMSEAARAREKLDFEAAAEVLEKGKWGKESMVSTQPLDFLAAGMQFTSGGLEQVKDTHAEDLVTKEKYPRREEFQWRPLPVLCKRFDLIDPFMGKPPPAPRARSKIDSLLFIPDSVKGAKPEEDAITNRDVPAAQTGAQKTIEGAAEEEIDIVAENVERPVDLYKAIFSDDSDEDEEDTITKKVEDPEKKIEVATTTLNRLIAGDFLESLGKELGFEVPPDTPYSTNKASSSAQIETPNSDAVIAKVGTVEGHRTFCAANVGTGTSLNPEQESTQGGESPKNESIPGKSVRYSSKHTDGLSENISGTVNADKFPPEDKKVRSPSSCQRNWSSSSSSEYERSRKHSRRHRYRSSDSYSDSSSDDRDRYHSRSKGKRKRSSREKSSSSRKHSKHHKHRSRDSPSRSHHGSEREHSESRKEKRKRRN >KJB20795 pep chromosome:Graimondii2_0_v6:3:43539662:43540193:-1 gene:B456_003G1653002 transcript:KJB20795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIQGEQRTIVESQFKKIFAEIMVFLGKPNISDIFPSIAWFDIQGIERGMKKIRQSFNEFLDSVIEERMKKETEPSSLTMNQIKGILVNIVVAGTETTSGSTEWAMSELMQHPEIMEKVKKELNDVIGVNSTVE >KJB20206 pep chromosome:Graimondii2_0_v6:3:39990460:39991254:-1 gene:B456_003G138400 transcript:KJB20206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEFKDVKFSDVPINPNVDFHFILSFAIDYDTSTSPSPTNGKFNVFWDSTNLSPSHVSSIKSKHPNVKVAISLGGYTVGSQFAYFKPSTVRSWVANAVTSLTSIIKKYNLDGIDIDYEHFQADPQTFAESIGLLIKTLKRNKVISFASIAPYADNEVQRQYLALWNKHGDIIDYVNFQFYAYDKGTTIPQFLSYFNNQSSNYNGGKVLVSFQSDVRRGLTPEDGFFDACNMLKSQQRLHGIFVWSADDSKADGFIYEKQSQEILD >KJB20234 pep chromosome:Graimondii2_0_v6:3:40217965:40219119:-1 gene:B456_003G139500 transcript:KJB20234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRPGRRSMAIPTGNAEEKNKGVSRFRMFPLPEKLVHAIPLILFLCFFILWLLSRPVNVEMKDGKIVAIHHVDMPLPLTDVPIMPSVPQILTGNETEAIETIIASE >KJB19525 pep chromosome:Graimondii2_0_v6:3:32959973:32963659:1 gene:B456_003G107500 transcript:KJB19525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNEDVRISVKPGVTGGSFMVRGTAVLTNVPANITVTPVNDGSVFVGANATSSSSRHVFNLGTLQVHRLVCLFRFKIWWMIPCFGTSGGDIPAETQMLLLEVENKFYVLILPVLDGQFRTSLQGTPDNELEFCIESGDPDVQTTKIKEPVFVNSGNNPFKLIRNSIKILEKHKGTFKHIDNKQKPEHIDWFGWNTWDAFYCNVDPEGIKDGLENFSKGGCPPKLLTIDEGWQNIFPSYEENPTGELSRLINLEENTKFKGSKLDKSGKNLRDFIKTIKQIYGLKYVYIWHAMAGYWGGVLPESEVMKKYNPKIQPVVQSPGNLTHVVCSTLDNIQEKGIGLIDPSKIRDFYHDFHSYQASCGVDGVKVDVQSVLELLGAGYGGRVSLTKSYLGALEDSVTETFKSNNLICSMSLNTDFLYSTKKAAAARATEDFMPNEPTFQTLHVAAAAFNSLLIGEIIVPDWDMFYSDHITAEFHGAARALSGSAVYVSDKPGSHDFDIIKKLVLPDGSILRARYAGRPTRDCLFNDPVTDGKSLLKIWNLNKLSGVIGVFNCQRAGIWPPIKGSIYMPAPGSGIPISGIVSAGDVDALEEVAGENWRGHCAVYACLSGSLKTMLKDAKFQVALEHLKCEVFTVSPIRAFSEDLRFAPIGLLDMYNSGGAVEAMDSENKSSECKIKVRIRGCGRFGAYSNKKPKCCSMNEKDEEFIYNPIDGLVTVKVEGEYSSREMVFVY >KJB19524 pep chromosome:Graimondii2_0_v6:3:32959973:32963659:1 gene:B456_003G107500 transcript:KJB19524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNEDVRISVKPGVTGGSFMVRGTAVLTNVPANITVTPVNDGSVFVGANATSSSSRHVFNLGTLQVHRLVCLFRFKIWWMIPCFGTSGGDIPAETQMLLLEVENKFYVLILPVLDGQFRTSLQGTPDNELEFCIESGDPDVQTTKIKEPVFVNSGNNPFKLIRNSIKILEKHKGTFKHIDNKQKPEHIDWFGWNTWDAFYCNVDPEGIKDGLENFSKGGCPPKLLTIDEGWQNIFPSYEENPTGYVYIWHAMAGYWGGVLPESEVMKKYNPKIQPVVQSPGNLTHVVCSTLDNIQEKGIGLIDPSKIRDFYHDFHSYQASCGVDGVKVDVQSVLELLGAGYGGRVSLTKSYLGALEDSVTETFKSNNLICSMSLNTDFLYSTKKAAAARATEDFMPNEPTFQTLHVAAAAFNSLLIGEIIVPDWDMFYSDHITAEFHGAARALSGSAVYVSDKPGSHDFDIIKKLVLPDGSILRARYAGRPTRDCLFNDPVTDGKSLLKIWNLNKLSGVIGVFNCQRAGIWPPIKGSIYMPAPGSGIPISGIVSAGDVDALEEVAGENWRGHCAVYACLSGSLKTMLKDAKFQVALEHLKCEVFTVSPIRAFSEDLRFAPIGLLDMYNSGGAVEAMDSENKSSECKIKVRIRGCGRFGAYSNKKPKCCSMNEKDEEFIYNPIDGLVTVKVEGEYSSREMVFVY >KJB20151 pep chromosome:Graimondii2_0_v6:3:39341155:39345076:-1 gene:B456_003G135300 transcript:KJB20151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSLNSISRQITARWPQILHALTWTALLASVVSIASFASEMAFVSAISPTSPFSKSCNVEGLVRIPLDFQRDKICLPAHLVKRSKVDFFVPTVFAGLVVAGSACVVRSLGLWDSD >KJB19390 pep chromosome:Graimondii2_0_v6:3:30787768:30789130:-1 gene:B456_003G099900 transcript:KJB19390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLCNSLKSLHVNPTFFPTKPELPFSFSLSPISAPPFKSKSSPTRCRLTVKAEANAVEIDMVRNKQGVYAPKQKKVVVLWDLDNKPPRGPPYEAALALKRVAEKFGEVVDMSAYANRHAFVHLPQWVLQERRERRSLDILERKGIVKPDEPYICGVCGRKCKTNLDLKKHFKQLHERERQKKLNRMKSLKGKKRQRFKERFISGNHKYNEAARSIIKPKIGYGLASELRRAGVYVKTVEDKPQAADWALKRQMQHSMSRGIDWLFLVSDDKDFVEMLRRAREADLGTVVVGDWDRGLGRHADLWVSWVEVENGEVSEKDLVPKRKRMSSDDGLFSVSEFDGENISIGELDGVANELLVGRNEYGGMMISVFSEDEDEWDIEEVGDEDYLLDGSEDEMIFEEDGYY >KJB18165 pep chromosome:Graimondii2_0_v6:3:3897049:3899508:1 gene:B456_003G037000 transcript:KJB18165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGIKSDKESPRGVLENHGVGSDSDSSTCSSSSSSLSLQEKPVPSLSSSSTSNKNNLDFNGLQWKSIFGTFKKKSPISFSIFPLVTSYEISRKNFMKKIAKLYGSEEDDDCIPQVKPSWKNFSYADLAAATDNFSQKNLLGEGGSAEVYKGILSDGKVVAVKKFIKNDKETEERAGDLLSELGIITHINHPNAAHLIGYSIDRGLILVLEFSPHGSLASVLFGSIEQSLDWKRRFKVVLGIAEGLKYLHHECPRRIIHRDIKASNILLTEDYQAQISDFGLAKWLPENWEHHVVHPIEGTFGYLAPEYFMHGIVDEKTDVFAFGVLLLEILTGRRAVDSSSRQSLVIWAKPLLESNNVEELVDPRLGDNYNPTELKRAMLTASLCISHLSTMRPSMMKVVDMLKNGEQGLLEYQPKSSGEKAMIIDNCDSQAYNRTTYQNDLNRHMQLVME >KJB19060 pep chromosome:Graimondii2_0_v6:3:20591321:20591832:1 gene:B456_003G083000 transcript:KJB19060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISLRHKSLGNPTAILSSLMNKREKLQDELCNIEKQVFELETNYLQYSSHFKHVLKGSEGFLSSSNNTTNNFMYEFILNKLKAKKKPQEYGVGSSLQLALKLGDEEE >KJB17523 pep chromosome:Graimondii2_0_v6:3:209076:211516:-1 gene:B456_003G003500 transcript:KJB17523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESKISPSSPKSPLNSRTRPWPLLPLLLPAILLPVVAAIVVYQLDSFDAAPMPLHELSVPFEPPLLHNDHMLQGAEFLGAGKLPGPEDFAYDSRSQVIYTGTVDGWIKLVWVNDSGSDTVVEDWVNTGGRPLGLTLGLNQEVIVADAYKGLLKISRDGAIEVLADEAEAMKFKLTDGVDVAKDGTVYFTDASYKYNLHEFFQDIMEGRPRGRLIRFDPISKRADVLLTDLYFANGIAVSPHQDCVIFCETPMRRCRKYYIEGHKKGHVEKFIDNLPGMPDNIKYDGDGHYWIALPTGNTMFWDIAMRYPMVRKAAAMVDRWVGWIGRIKSEKNGGLLVVDINGKPVAHYRDVELTMVTSGFKLKNHLYIGSFILPYIIRVDLDQHPAGHSQ >KJB17367 pep chromosome:Graimondii2_0_v6:3:28363894:28364543:1 gene:B456_003G093000 transcript:KJB17367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDGIGKENGSTEEKQSFKDGSICGYNSLHHLLSVNLKPQLYQISRKED >KJB17368 pep chromosome:Graimondii2_0_v6:3:28363894:28364543:1 gene:B456_003G093000 transcript:KJB17368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDGIGKENGSTEEKQSFKDGSICGYNSLHHLLSVNLKPQLYQISRKED >KJB17818 pep chromosome:Graimondii2_0_v6:3:1177515:1179801:1 gene:B456_003G016900 transcript:KJB17818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCQSSKTKGGNAESTSQVKPDSQLEADLSSYEAACRQDSTLQHFDVTLQEHTNSVIGTLAVSSGVQSVSFNTLQEVTSCLLETNQAVVKVILECQRDIWNNSELFSLVEEYFENSKKTLDFCTMLENCLKRARNDQLIIQLAVKYFDEEVGLEVGVDEKKFVKTLEELRRFKAADKPFPKEFFVLLDLVRKQQESMLGKLLVRKRKLDKKLKSLKTWRRVSNVLFVATFVSVLIFSVVAAAVSAPPVVTALASALTVPIGSVGKWCTSLWKRYEKEVKEQMGLTTTMELFARITIYDMDDIRVLVTNLEIKIESLLKTADFALGEEDALKLAMDEIKKKLGEFMEIIEKLGQQADKCSGDIRMARAVILQRMMTHSSTSTTGDMPLDL >KJB17817 pep chromosome:Graimondii2_0_v6:3:1177716:1179504:1 gene:B456_003G016900 transcript:KJB17817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCQSSKTKGGNAESTSQVKPDSQLEADLSSYEAACRQDSTLQHFDVTLQEHTNSVIGTLAVSSGVQSVSFNTLQEVTSCLLETNQAVVKVILECQRDIWNNSELFSLVEEYFENSKKTLDFCTMLENCLKRARNDQLIIQLAVKYFDEEVGLEVGVDEKKFVKTLEELRRFKAADKPFPKEFFVLLDLVRKQQESMLGKLLVRKRKLDKKLKSLKTWRRVSNVLFVATFVSVLIFSVVAAAVSAPPVVTALASALTVPIGSVGKWCTSLWKRYEKEVKEQMGLTTTMELFARITIYDMDDIRVLVTNLEIKIESLLKTADFALGEEDALKLAMDEIKKKLGEFMEIIEKLGQQADKCSGDIRMARAVILQRMMTHSSTSTTGDMPLDL >KJB17868 pep chromosome:Graimondii2_0_v6:3:1526576:1527284:-1 gene:B456_003G020500 transcript:KJB17868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHQQGNDHLKFISNSPCFFKIILQDTIQNGKLGIPRKFVRNHGNSMSSQQAQAWKVELTKCGGKIWFENGWLEFSNHYSLELGHLLVFRYDGNSNFHVIIFDRTASEIQYPYTSNNQKQSNEIPKQNINESKTECNGKSGFLAQQVSHNGCPAVKGDKRTNHPVIQRMKGREKVKALEKAINTFKSKNPFFPGSRSKG >KJB17544 pep chromosome:Graimondii2_0_v6:3:272695:274017:1 gene:B456_003G005000 transcript:KJB17544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTARNWFNTVRKKFIKSSHQRDIVIPHSENLSQQSMIKEVRDFPNISPSSRSSFQTKNLTREDIAAIKIQSVFRGHLARRAYRALRSLVKLQAVARGAYVRKQVQIAMNCMHALVRLQVKVRARQLLGRYSE >KJB17337 pep chromosome:Graimondii2_0_v6:3:42060846:42062759:-1 gene:B456_003G152400 transcript:KJB17337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGYRKLNLTHKSHLLYCFSAWVLVSIITTATTCQRDFRFLPFAQAADSICSQEIAQENQVSIPVDIQGHPSSLPRRVLSGPGSSPPRCASKCGKCTPCKPVHVPVPPGTPVTAEYYPEAWRCKCGNKLYMP >KJB17338 pep chromosome:Graimondii2_0_v6:3:42061034:42062302:-1 gene:B456_003G152400 transcript:KJB17338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGYRKLNLTHKSHLLYCFSAWVLVSIITTATTCQRDFRFLPFAQAADSICSQVMTRIRLSQEIAQENQVSIPVDIQGHPSSLPRRVLSGPGSSPPRCASKCGKCTPCKPVHVPVPPGTPVTAEYYPEAWRCKCGNKLYMP >KJB17521 pep chromosome:Graimondii2_0_v6:3:203203:205647:1 gene:B456_003G003300 transcript:KJB17521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFLRSSSTRLVTTRTYLLHSFVVPSYRYFSLFAADGDVDDGGDAPQPRKSMEGLRRCSANHVPLSPISFLERAAKVYRDRTSLVYGCRNFTWNQTHQRCLKLASALSQIGISRGDVVSTLAPNVPAMYELHFAVPMAGAVLCTLNSRLDSAMVSVLLAHSEAKILFVDHGLLEIARGAFDHLAKTNTEPPILVLISEGDDDPSPTSIVPYEYESFLETGHIEFEIKRPKSEWDPISVNYTSGTTSRPKGVVYSHRGAYLNTIATLFLHGMQSMPVYLWTVPMFHCNGWCLTWGVTAQGGTNICMKNVSPSKIFENIALHNVTHMGGAPTVLNMIVNSSVREQKRISSKVVICTGGSPPPPQILLKMEELGFSVTHLYGLTETYGPGTYCVLKPEWKSLPPEEQSKLKARQGTQHLGLQDVDILDPVTMEKVPADGKTMGEVMFRGNTVMSGYFKDSKATEEAFKGGWFHSGDLAVKHPDGYIEVKDRLKDIIISGGENISSIQVETVLYSHPAVLEAAVVARPDNHWGQTPCAFVKLKEGFDIDAQELILFCRGHLPHYMAPKTVIFEELPRTSTGKIQKYILREKAKALGSLS >KJB20813 pep chromosome:Graimondii2_0_v6:3:43631305:43633654:-1 gene:B456_003G166400 transcript:KJB20813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFMPCLLIHHRHLHQLQNAGPLCSHLKSRSVIRFSGPDTIKFLQGLLSNDVRRFGESPNEDNSPVVPPMYAALLTPQGRFLYDFFLYRQSRPEEKLDRNGLVEILADVDGSVLDELLATLKKYRLRSKVDIENVAEDFYCWQRYGRGLSEKTPSVEEPVAASVGWRGGDDRSGSSASNGNDVGWQWFKDPRLDCLGFRGIFPSGTTPPLVESDKETDEEKYLLWRLEKGVAEGSTEIPKGEAIPLEYNFAGLNAISFDKGCYVGQELIARTHHRGVIRKRLLPLKFLDNNGKEVERKVTAGSEVINTASGKKTGSVTTAFGFCGMGVLRLEEAFKGSDSLTILGQEDIKVEAIRASWWPSEWFRDQQHTAVA >KJB20812 pep chromosome:Graimondii2_0_v6:3:43631305:43633590:-1 gene:B456_003G166400 transcript:KJB20812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFMPCLLIHHRHLHQLQNAGPLCSHLKSRSVIRFSGPDTIKFLQGLLSNDVRRFGESPNEDNSPVVPPMYAALLTPQGRFLYDFFLYRQSRPEEKLDRNGLVEILADVDGSVLDELLATLKKYRLRSKVDIENVAEDFYCWQRYGRGLSEKTPSVEEPVAASVGWRGGDDRSGSSASNGNDVGWQWFKDPRLDCLGFRGIFPSGTTPPLVESDKETDEEKYLLWRLEKGVAEGSTEIPKGLNAISFDKGCYVGQELIARTHHRGVIRKRLLPLKFLDNNGKEVERKVTAGSEVINTASGKKTGSVTTAFGFCGMGVLRLEEAFKGSDSLTILGQEDIKVEAIRASWWPSEWFRDQQHTAVA >KJB21070 pep chromosome:Graimondii2_0_v6:3:45319911:45322378:1 gene:B456_003G181500 transcript:KJB21070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLTSGTVGGAAQLIVGHPFDTIKTLAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMESFLRSEPGVPLTVNQQVIAGAGAGVAVSFLACPTELIKCRLQAQSALAVDAGSAGVAVKYGGPMDVAKQVLRSEGGARGLFKGLVPTLAREVPGNAAMFGVYEALKQYMAGGTDTSKLGRGSLIVAGGLAGASFWSSVYPTDVVKSVLQVDDYKNPKYKGSMHAFRSILASEGVKGLYKGFGPAMARSIPANAACFLAYEVTRSSLG >KJB21071 pep chromosome:Graimondii2_0_v6:3:45319911:45322378:1 gene:B456_003G181500 transcript:KJB21071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLTSGTVGGAAQLIVGHPFDTIKVKLQSQPVPLPGQPPKYAGAMDAVKQTLAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMESFLRSEPGVPLTVNQQVIAGAGAGVAVSFLACPTELIKCRLQAQSALAVDAGSAGVAVKYGGPMDVAKQVLRSEGGARGLFKGLVPTLAREVPGNAAMFGVYEALKQYMAGGTDTSKLGRGSLIVAGGLAGASFWSSVYPTDVVKSVLQVDDYKNPKYKGSMHAFRSILASEGVKGLYKGFGPAMARSIPANAACFLAYEVTRSSLG >KJB21069 pep chromosome:Graimondii2_0_v6:3:45319746:45322432:1 gene:B456_003G181500 transcript:KJB21069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLTSGTVGGAAQLIVGHPFDTIKVKLQSQPVPLPGQPPKYAGAMDAVKQTLAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMESFLRSEPGVPLTVNQQVIAGAGAGVAVSFLACPTELIKCRLQAQSALAVDAGSAGVAVKYGGPMDVAKQVLRSEGGARGLFKGLVPTLAREVPGNAAMFGVYEALKQYMAGGTDTSKLGRGSLIVAGGLAGASFWSSVYPTDVVKSVLQVDDYKNPKYKGSMHAFRSILASEGVKGLYKGFGPAMARSIPANAACFLAYEVTRSSLG >KJB18469 pep chromosome:Graimondii2_0_v6:3:8463334:8464327:-1 gene:B456_003G054500 transcript:KJB18469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QVPYYLDEATGWGLEVSELKKLLQEAKSNGITVRALVVINPGNPTGQVLAEENQKAIVEFCKEEGLVLLADEVYQENVYVPEKKLHSFKKVARSMGYGEKDIHLVSFQSVSKGYYGECGKRGGYMEVTGFGADVREHIYKLASVNLCSNITGQILASLVMSPPKVISFAI >KJB18795 pep chromosome:Graimondii2_0_v6:3:15194760:15199434:1 gene:B456_003G069400 transcript:KJB18795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPAEPPHHQLHRNLTNFLRTTFSTQATPKTTPSLPPSKISISPLLFADSLLHLTPSPFESTQHDSVSSKSTIKGVSSAESSSGFPSTVRVSGLASSGKSGGPAFVGQVFSMCDLSGTGLMAVSTHFDIPFISKRTPQWLKKIFANITKSERNGPVFRFFMDLGDAVNYVKRLNIPTGVVGACRLDLAYEHFKEKPHLFQFVPNEKQVKAANKLLKTIPQNGERRKVDGVPVFGAQNLDIAIATTDGIKWYTPYFFDKNMLDNILEESVDQHFHALIQTRHMQRRRDVIDDNLAAEVIEEIGDSMWEPPEVQEVLDEVGHPAIPLSVISKAAGIQLLYALDKVLLGNRWLRKATGIQPKFPYMVDSFERRSTASFLRASGSATCLSNSESDISTSELKSVDDLKPDNGQRQDFWFPFGDWFSHPWLKQRKLQHGSNSRTESPSKEYQKQSFEANPFLPKITMVGISTGDGQMSKSSLKKTMEDLTRELENTDQGNSTGSDVNELRVEDRDPLFVANVGDYYSGLAKTGSARWIRGGNN >KJB18796 pep chromosome:Graimondii2_0_v6:3:15194860:15199434:1 gene:B456_003G069400 transcript:KJB18796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIMSNVLISLLELWVLVDLIWHMNISRSCGMLAFSLREHFLLSQVKAANKLLKTIPQNGERRKVDGVPVFGAQNLDIAIATTDGIKWYTPYFFDKNMLDNILEESVDQHFHALIQTRHMQRRRDVIDDNLAAEVIEEIGDSMWEPPEVQEVLDEVGHPAIPLSVISKAAGIQLLYALDKVLLGNRWLRKATGIQPKFPYMVDSFERRSTASFLRASGSATCLSNSESDISTSELKSVDDLKPDNGQRQDFWFPFGDWFSHPWLKQRKLQHGSNSRTESPSKEYQKQSFEANPFLPKITMVGISTGDGQMSKSSLKKTMEDLTRELENTDQGNSTGSDVNELRVEDRDPLFVANVGDYYSGLAKTGSARWIRGGNN >KJB18797 pep chromosome:Graimondii2_0_v6:3:15194908:15199434:1 gene:B456_003G069400 transcript:KJB18797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPAEPPHHQLHRNLTNFLRTTFSTQATPKTTPSLPPSKISISPLLFADSLLHLTPSPFESTQHDSVSSKSTIKGVSSAESSSGFPSTVRVSGLASSGKSGGPAFVGQVFSMCDLSGTGLMAVSTHFDIPFISKRTPQWLKKIFANITKSERNGPVFRFFMDLGDAVNYVKRLNIPTGVVGACRLDLAYEHFKEKPHLFQFVPNEKQVKAANKLLKTIPQNGERRKVDGVPVFGAQNLDIAIATTDGIKWYTPYFFDKNMLDNILEESVDQHFHALIQTRHMQRRRDVIDDNLAAEVIEEIGDSMWEPPEVQEVLDEVGHPAIPLSVISKAAGIQLLYALDKVLLGNRWLRKATGIQPKFPYMVDSFERRSTASFLRASGSATCLSNSESDISTSELKSVDDLKPDNGQRQDFWFPFGDWFSHPWLKQRKLQHGSNSRCHHNDCSCIHYFVLTF >KJB20820 pep chromosome:Graimondii2_0_v6:3:43648012:43650892:-1 gene:B456_003G166800 transcript:KJB20820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyribodipyrimidine photo-lyase [Source:Projected from Arabidopsis thaliana (AT1G12370) UniProtKB/Swiss-Prot;Acc:Q9SB00] MASLPSASTKPTSVQLGRIHVLKEGSHPPQNGPVVYWMFRDQRLNDNWALIHAVDQANKANVPVAIAFNLFDQFLGAKARQLGFMLKGLRQLQKSIEHTQIPFFLFQGTAEETIPKFLGECKASLLVTDFSPLRQIRKCKDEICKRVSDSVTIHEVDAHNVVPVWLASDKLEYSAKTIRGKINKLIPEYLIDFPTLQPPNKKWDNATDRFLDWDGLIADVLRNGAEVPEIEWCEPGEIAAMEVLMGNKDGFLTKRLKSYSMDRNNPLKPRALSCLSPYLHFGQISAQRCALEARSFRKHNAQAVDTFLEELIVRRELADNFCYYQPNYDTMQGAWEWARKTLMDHASDKREHTYTMEQLEKAQTADPLWNASQLEMVHYGKMHGFMRMYWAKKILEWTKGPKEALEICIYLNDKYEIDGRDPNGYVGCMWSICGVHDQGWRERPVFGKIRYMNYAGCRRKFNVDGYISYVKRLVGEIKKRKAESPVGQKTKQPRNEQH >KJB20089 pep chromosome:Graimondii2_0_v6:3:38730296:38733761:1 gene:B456_003G132200 transcript:KJB20089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGFGKLSVCFTGGGGYGGGEEARRRKEISMLLSDPLDEGLGHSFCYVRPDPTRLSSSKVHSEESTTTFRTISGASVSANTYTPLSTALVDPYVSYSNGCFDRAAAFESTTSFSSIPLQPIPKSLINSSGPMSGSLVPGSGPLERGFMSGPIERGFMSGPLDNVNNNYSRGLFSGPLERGFSDQFQRSFSHGAFAFKPRSRKGSLIRILQRAISKTVSRGQNSIVAPIKGVVSIKEPEWIIGSEKNPIPHHNENLTVSSLNLSSEGSLDDEESMESQNLQWAQGKAGEDRVHVVVSEEHEWVFVGIYDGFNGPDAPDYLLSNLYSNVHKELKGLLWDDGFEQAPATSPEDEKQSNELESAKGCSYDACSRCLEQEDANFDSNSISKSKKGKNLKSRKYKGAAMNRWEENQRRWKCEWDRERLELDRKLKEQLSRNKSGGSRSMAINHGDVLKALSQALMKTEEAYLDIADKMLMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKTEPDYWLGKARQDLERINEETLHDLEGFDGDRSNVIPDLTAFQLSVDHSTSVEEEVQRIIDEHPDDAYALMNDRVKGSLKVTRAFGAGFLKQPKWNDALLEMFRIDYKGTSPYITCVPSLHHHKLGPKDRFLILSSDGLYQYLTNEEAVSEVEHFITLQPEGDPAQHLVEEVLFRAAKKAGMDFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSCV >KJB20090 pep chromosome:Graimondii2_0_v6:3:38730495:38733761:1 gene:B456_003G132200 transcript:KJB20090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGFGKLSVCFTGGGGYGGGEEARRRKEISMLLSDPLDEGLGHSFCYVRPDPTRLSSSKVHSEESTTTFRTISGASVSANTYTPLSTALVDPYVSYSNGCFDRAAAFESTTSFSSIPLQPIPKSLINSSGPMSGSLVPGSGPLERGFMSGPIERGFMSGPLDNVNNNYSRGLFSGPLERGFSDQFQRSFSHGAFAFKPRSRKGSLIRILQRAISKTVSRGQNSIVAPIKGVVSIKEPEWIIGSEKNPIPHHNENLTVSSLNLSSEGSLDDEESMESQNLQWAQGKAGEDRVHVVVSEEHEWVFVGIYDGFNGPDAPDYLLSNLYSNVHKELKGLLWDDGFEQAPATSPEDEKQSNELESAKGCSYDACSRCLEQEDANFDSNSISKSKKGKNLKSRKYKGAAMNRWEENQRRWKCEWDRERLELDRKLKEQLSRNKSGGSRSMAINHGDVLKALSQALMKTEEAYLDIADKMLMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKTEPDYWLGKARQDLERINEETLHDLEGFDGDRSNVIPDLTAFQLSVDHSTSVEEVKISAQKQMI >KJB17500 pep chromosome:Graimondii2_0_v6:3:136335:144680:1 gene:B456_003G002400 transcript:KJB17500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVNVKKLLVEKASISKKPGVNSDGLKASDVDPHLVFHYGIPLGCCMFAYDSIQKILAISTTDGRIKLFGKDNSQALLESDDTVPSKFLEFVQNQGILLNVNLKNHIEVWDLERRLLSHVHVFKEEITSFTVMQAGPYMYVGDSEGNIKVFKIEQEVCHVMQMKYTIPFSASHGNPTEVLADRAVISILPQPTGESKRILIIFKDGFMTLWEIRECKSILVTGGSMFQSVHNEAKHVTSACWVCPFGSKVAVGYDNGEVLIWSVPTSKQSEIGIQNTPICKLILGFKSEKTPIASLKWAYADAKATRLYVMGASDVASTSLLQVVLLNEHTETRTIKLGLHLSEPCLDMMITLSTTEQSKVKQDLLLLIGKSGNMYMYDDCSIEKYLLQCQSRSPPSLPKEVMVKMPFVDSSITIAKLITDNPYALSSDEDYILVVKDIPSLVPLETKSKDGGHSNPYQFSGFGKIKNLYITGHSDGAINFWDISCHFPIPILSLKQQSEDDFSLSGIPVTALYFDGNSRIIISGDQSGTVRFFKFKPEPYTAENSFISFQGSTKKRNNHIQGVKVIKVNGSVLSLAICQNTRHVAIGNDHGDVSLVDTEGLNIIFQSHIASDISPGIISMQFKTCSLQNFEKNVLVVATKDSSVLAFDSDSGNMLSASMVQPKKPSRALFMHILDWQDIAVRGANLSTGSPIEEGIQKQSFILVCSEKAAYVYSLTHTIQGVKKVHYKKKFHSTSCCWASTFYTASDVGLMLLFTSGKVEIRSLPELSLFKETSIRGFRYSAPKPNSLSDSSMCSSNSGDVVMVNGDQEFFILSVLLQRNLDFISRVYRKDLMLTQEVPASGATVQKEKKKGLFGSVLKDITGSKKHAPEMETEDTKESIEELSTIFSTANFPCEVENKDNQAVDEDEIDLNIDDIDLDDPGEKPKEQNILATLNKHKLKFQAFTAGKLKQMKVKNEKPITKEEQQKDEKSSAVDQIKKKYGFSLQGESSAAKMAESKLQENLRKLQGISLKTTEMQDNAKSFSSMARELLRTKEQEDK >KJB17814 pep chromosome:Graimondii2_0_v6:3:1149042:1151071:-1 gene:B456_003G016600 transcript:KJB17814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQMKELEDPMALITQMYPCIYTQVAPQQGEISKPRRRRKKNKGGESGAATAAETAKKRKLSQEQVDLLELNFGNEHKLESERKDRLASELGLDPRQVAVWFQNRRARWKNKKLEEEYSKLKTIHEGVVVEKCRLESEVLKLKDQLREAEKEIQGLTERVDGVSSNSPSSSSVLSMEAVDPPPFLGEFGAQGFEDQDVFYIGQNSYINGMEWMNLYM >KJB19373 pep chromosome:Graimondii2_0_v6:3:30490712:30492694:-1 gene:B456_003G098400 transcript:KJB19373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDSFTPQKRSSTGGGLPTTNNAAAGGGRSRVLAGMPRGRQIHKTFNNIKITILCGFVTILVLRGTIGVGNLGSSEADAVNQNLIEETSRILAEIRSDSDPTDPDEPPETEINPNTTYTLGPKISDWDQQRKVWLSENPEFPNFVNGKARILLVTGSPPNPCDNAIGDHYLLKAIKNKIDYCRLHGVEIVYNMAHLDKELAGYWAKLPLIRRLMLSHPEVEWIWWMDSDAFFTDMVFEIPLSKYDKYNLVVHGYPDLMFEQKSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGSIREEAGKVLTANLKGRPAFEADDQSALIYLLLSQKEMWMDKVFLENQYYLHGYWAGLVDRYEEMMEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLRSMQRAFNFADNQVLKLYGFRHRGLLGPNIKRIRNETVTPLEIVDELDIRRSTHEKTETRS >KJB17716 pep chromosome:Graimondii2_0_v6:3:755171:760889:-1 gene:B456_003G011900 transcript:KJB17716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTSFSKLLLAFCLALFYLSPQLVQTSVTYDKKAIVINGQRRILFSGSIHYPRSTPDMWEDLIQKAKDGGLDVIETYVFWNVHEPSPGNYNFEGRYDLVRFIKTVQKAGLYAHLRIGPYVCAEWNFGGFPVWLKFVPGISFRTDNEPFKRAMQGFTEKIVGLMKSHNLFESQGGPIILSQIENEYGAQSKLLGAAGYNYVTWAAKMAVETGTGVPWVMCKEDDAPDPVINTCNGFYCDAFQPNKPYKPTIWTEAWSGWFSDFGGPLHHRPAEDLAFAIARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPVDEYGLIRQPKYGHLKELHKAVKMCERALVSADPVVTSLGNFQQAHTYTSESGDCAAFLSNYDTESAARVLFNNMHYNLPPWSISILPDCRNVVFNTAKVGVQTSQMQMLPTNTKMLSWETYDEDTSALDDSLMISANGLLEQINVTRDASDYLWYITSVDIGSSESFLRGGELPTLIVQSTGHAVHIFINGQLSGSAFGTRENRRFTFTGKVNLRAGTNKIALLSVAVGLPNVGGHFETWNTGILGPVALHGLDQGKRDLSWQKWTYQVGLKGEAMNLDSPNGILSLEWMEGSLAAQTQQPLRWHKAYFDAPEGDEPLALDMESMGKGQIWINGQSIGRYWTAYAHGDCSGCSYSGTFRPTKCQLGCGQPTQKWYHVPRSWLKPTQNLLVLFEELGGDPSKISLVKRSVSTVCAEISEYHPNIKNWQIESYGKTEEFHRPKVHLHCSPGQAISSIKFASFGTPLGTCGSYQQGPCHAPTSYDILEKRCVGKQRCAVTISNSNFGHDPCPNVLKRLSVEAVCAPMTSTTAQPGGN >KJB17894 pep chromosome:Graimondii2_0_v6:3:1725986:1726639:-1 gene:B456_003G022700 transcript:KJB17894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQFVKILSKTDTEKRLSLPTKYMKHLPRIRRGHAVNLTVMDEQGRQWHFGYTIRRNGHPKPVLSAGWHDFVKGKYLKPGDQIIFKLEANDAAALYTIGVKRRIRLLGTEVWTAVC >KJB19299 pep chromosome:Graimondii2_0_v6:3:29485652:29489377:1 gene:B456_003G094000 transcript:KJB19299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYNILKVNRHANEEDLKKAYKRLAMIWHPDKNPSYKRPEAEAKFKLLSEAYDVLSDPMKRQIYDLYGEEALKSGQFPPPNQSHASTSASYPRGAGHYYNNSNNNQRQQQPNTGSFRFKPRDADDIYEELFGAEANGGRGNRGFREGHFRNSNGYGTSSTTSNGELRKAAAVENVLHCSLEELYKGAKKKMRIARNVFDPSVSGKFRTLEEILTIEIKPGWKRGTKITFPEKGNEEPGVIPADVIFVIEEKPHATYKRDGNDLVVNQEITLLEALTGRTLDLTTLDGRSLVIPLTEIVKPGAEIVVPNEGMPISKEAGRKGNLRIKLDVKYPSRLTTEQKSELRRVLASVS >KJB20352 pep chromosome:Graimondii2_0_v6:3:41034665:41036361:1 gene:B456_003G144200 transcript:KJB20352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEGSMGTSMHGVTGREQTFAFSVAASPSAPTDSTMAKFALPVDSEHRATTFKVFSFAKPHMRTFHLAWISFFTCFISTFAAAPLVPIIRDNLDLKQADIGNAGVASVSGSILSRLVMGAVCDLIGARYGTAFLLLLSAPTVFCMSFVADAQGYLAVRFMIGFALATFVSCQYWTTIMFNGKIIGLVNGCAGGWGDMGGGVTQLLMPLAFQLIQLAGATPFTAWRIAFFIPGWFHVIMGILVLTLGQDLPDGNLAALQKSGEVSKDKFSKVFRHAVTNYRTWIFFLLYGFSMGIELTINNVISGYFYDRFNLKIHTAGTIAASFGMANFFARPFGGYASDKAARLFGMRGRLWTLWFFQTLGAAFCIWLGRADSLPIAILAMILFSLGTQAACGATFGVVPFVSRRSLGLISGLTGAGGNFGSGLTQLVFFSSSRFSTATGLSLMGATAVICTIPVAFLHFPQWGSMFLPPSKDPVKSTEEYYYMKEWSEDEKTKGLHEGSVKFAENSRSERGKRVGNVVLSAPTPPNSTPVHV >KJB18168 pep chromosome:Graimondii2_0_v6:3:3916779:3921151:1 gene:B456_003G037200 transcript:KJB18168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQVEKVYVAVGNDVRDGYKTLAWTLRRWSFQPFTIVLLHVTYNISTDFVYTPFGKLPASAVSEEKLEILKKYEQEKTEKLMSKYIAFCGKVKAEILKVEKYDQPIHKLIVDLMSGLEIGKLVMGITFMKSSSWRSKSAISGAFYVHQYKPDFSELYIICGGKLVVLNGNINEGLMEDDGGGFMVAKIKEKPSIKSLLGRIFCERRKCSSLPSTNQDSVKDRWDNNVEELESYFQQLLSLNLDEESDMLQANPMESDTPESTNSNMNDEEKMEAVQCKIDEAHEAILLKKKEAKADVERHAKAKSAIDLCNAKAEELETQIKEEVTHRLEIKNVLDIEKEKLFEVKREVEESKNRLNSLKELQVELSNKLQKSSQARANAVAQLEMAAVTRAKMVMEIEELRRQRDVFQRRIEFCREKDALRMVARSNELRCGYREYMAEDIRLATDDFSERLRLKVSGDWSNVYRGRINHSTVAIKMLNSVNGMSQEDFQAKVRLLNDLRHPHLVALTGFCSELTCIIYEYMHNGSLRDILFTPQRSCRKINRNRVLCWYGRIRIASEVCSGLGYLHSAKPRPIVHGHLTTSNILLDRDLVAKISGFGLRQHHDHYDIRLDIRAFGVLLMHMLTGRNWAGLIEDATVEDQAALIKVLDEKAGKWPSDLAVELAKISMKCMSVCRGANPDLQIATVMEELHELKKKADELRARGGFEVVSNENVNSEDSNEAPSVFLCPIIQEVMKNPHVAADGFSYELEAIEEWLKMGHDTSPMTNLCLKHTFLTPNHTLRSLIHEWQNKGANLPC >KJB20925 pep chromosome:Graimondii2_0_v6:3:44365607:44368552:-1 gene:B456_003G1727001 transcript:KJB20925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHQMIRDMGREIIRQESSDIGKRSRLWHKNAFDVIREKI >KJB20926 pep chromosome:Graimondii2_0_v6:3:44365607:44368552:-1 gene:B456_003G1727001 transcript:KJB20926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSEISRCSYHVFLSFRGEDTRKSFTDHLYTALVHLGIQTFRDDEEIERGNNIKDEIEKAILHHSKISIVVFSKNYAASTWCLNELVMILEHKKSAKHIVLPVFYDVDPSQVKNQTGSYAEAFTQHEQNFESETNMVQRWRNALKEVADIGGMVLQDRHESQFILDIVKEVQNKLHLISLYVPPYLVGIDSLVTQINQWLEQDGANKVCIATICGIGGIGKTTIAKVVYNQNIPRFEGYSFLADVRETSQDCNGLVRLQRQLISDILKGKSHKIYNIDNGINKIKEVVCCRRVLLVLDDVDELEKIRKLMGTQIPFHPGSKIIITSRTRYLLNAHFISQMFDLEASRSCGGLSKQFEVKELASSESLQLFNWYAFGHNFVPESSMAYARSLVKHCGGLPLALQVFGSSLSSKSVSSWKSALEKLEEISDSKIQKILRISYDSLEDDHDKNLFLDIVCLFIGKDKDYTTTILDGCDFYTTIGIENLVGSSLLIINEKNKLMMHQMIRDMGREIIRQESSDIGKRSRLWHKNAFDVIREKI >KJB17611 pep chromosome:Graimondii2_0_v6:3:466721:467457:-1 gene:B456_003G007700 transcript:KJB17611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQLELFKECIKKIKGAVGEERAATIISKAIYIVCTGSNDISSTYFSTPFRRPHYDINGYAEFNARYANQFLQDLYGLGARRIGLYG >KJB19617 pep chromosome:Graimondii2_0_v6:3:34032773:34033783:-1 gene:B456_003G111200 transcript:KJB19617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEDQTRFLLGISLSDRPKWQQFLICSFGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGFVYLILIWLQGFTMKQMVNPWNTYVKLSGVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFVPGLRRKYPFNEYISALLLVVGLILFTLADAQTSPNFSIIGVIMISGALIMDAFLGNFQEAIFTMNPETTQMEMLFCSTIVGIPFLLVPMVLTGELFKAWSSCSQHPYIYYVLVFEAMATFIGQVSVLSLIAIFGAATTAMITTARKAVTLLLSYIIFTKPLTECHGSGLLLISMGIILRMLPETKPSPRVQGSNANAKKPKPLLQK >KJB18942 pep chromosome:Graimondii2_0_v6:3:18378661:18380551:-1 gene:B456_003G076400 transcript:KJB18942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAAQWPQEIVVKPIEEIVTNTCPKPASLERRTRPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRPSSSFSSPSLSSSSKNPKIHQPQDLNLAFKTTTQGYTCGNVPELVQIPNSFSSFIPMPVPDPNTVYTSGLIPMQDFKPILNFSLDGLGSGHYGSLQGVQETTTGRFLFPFEDLKQVPNTTDTDQNKEQGDSTGYWTGMLGGGSW >KJB17872 pep chromosome:Graimondii2_0_v6:3:1568104:1569438:1 gene:B456_003G021000 transcript:KJB17872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSADQSRRFNKGLNNGAPPPEQEQLLCPRCDSTNTKFCYYNNYNFSQPRYFCKSCRRYWTHGGTLRDIPIGGGTRKNAKRSRSNISTTTSFSTTNAAASAATTTTTTTTSYNSFPLPATPVLLPISANQGSFSIGGESKGSNIFGSFTSLLNTQGPGFLALGGFGLGIAPSLDDVGFGLGRGMWPLPCVGDGGAAGGGGGRGNGGAATGMGNAWQFEGAETGFVGGDCFSWPDLAISTPANGVK >KJB17922 pep chromosome:Graimondii2_0_v6:3:2121401:2122991:-1 gene:B456_003G024500 transcript:KJB17922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITMAIVVFLVFLLPLSLFLFILLKHGNSNRLPPSPPSLPLIGHLHMQLFDNSAPHIFLWKLSQKYGSLVYLRFGLKPILVVSSAKMAKEVMKTHDLDFCSRPYRRCSHKLSYNASDVAFSPYNDYWREMRKICVVHLFSGVQQYLPIREDEVDRLIGKISKLPVDAKPVNLSEAIMCLSSTIICRIAFGKRYDEEGAERSRFHELLNESQAMVSSFSFSDYFLYMELDTFYQQLIDEHLDPNRLKPQQEDILDVLLQTWKDHDFSFDLTIDQIKAILMNLFIAGTDTSAATIIWVMSFLMKNPKCLKKTQAEVRNLIGKKGFVNEDDTRDLTYLKAVIKETFRLQPIAPLLVPRETLRKCNIGGYDIPAKTLVHVNAWAIGKDPETWENPEEFYPERFIGSPIDYKGQHFELIPFGAGRRVCPGMHMGVAVVELALANLLYKFDWEMPIAMTKEDIDFDVKPGITARKKYPLILVARKIYD >KJB19213 pep chromosome:Graimondii2_0_v6:3:24796657:24801637:-1 gene:B456_003G089000 transcript:KJB19213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEQSGVLKAWEATVRKTHAAKKRANSIFGTITMANATDDDLENNNDKSDNISGEPYLVEKILPNGDYYTGQWYDNFPEGQGKYLWTDGCMYLGEWHRGKTMGKGRFSWPSGATYEGEFKSGYIDGIGIYTGPSGDTYKGQWVMNFKHGHGIRFYPNGDWYDGEWRRGLQEGLGKYQWQNENHYIGEWKNGMICGTGTFVWSNENKYDGQWEDGMPKGNGTYYWSDGSFYVGNWSKDPDEQNGTYYPSESSQAANLEWDPQTVYIELADCKICPSENVSIMPSQKVLAWYSAKTDDNPRRLSIDGRVSVGIERPDKMHMWESDDDSTDLTEVRRDLDSELLCVQVQHQHDDTNPKFNLELPLKVPKLGKRPGETISRGHKNYELMLNLQLGIRHSVGRPAPATSLDLKAAAFDPKEKIWTRFPTEGSKYTPPHQSCEFKWKDYCPVVFRALRKLFKVDPADYMISICGNGALRELSSPGKSGSFFYLTEDDRYMIKTMKKSEVKVFIRMLFAYYNHVRSFENTLVIKYYGLHCVKLTGPIQRKVRFIIMGNLLRSEYTIHRRFDLKGSSLGRITDKSESEIDSTTILKDLDLNFIFKLEKAWFEEFCWQIDRDCEFLEQERTMDYSLLVGIHFKEISANGEFVPCGRRSSSGNYENESTPPDMEEHFLDPKRWGTIKLGANMPAKVERTIRKPKSVFHLVGEQTGECYEVIMFFGIIDILQDYDITKRLEHAYKSIQYDPTSISAVDPKQYSKRFRDFIFKVFCEDT >KJB20999 pep chromosome:Graimondii2_0_v6:3:44814335:44816647:-1 gene:B456_003G176900 transcript:KJB20999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTTSHMPRPSFYNSHGIRSSQKPSWFRAQPRTKHNPSVNIKASAGVRKEDIVIVGAGIAGLATAVSLRRLGIGSVVLEQAASLRTGGTSLTLFKNGWRVLDAIGVADNVRGQFLEIQGMVVNSEDGRELRSFKFKDEDQTQEVRAVERRILLETLADELPPETVRFSSKLAKIQSSENGETLLQLTDGTTLLAKIVIGCDGIRSPIAKWMGFSEPRYVGYSAFRGLGVYPEGQPFAANVNYIYGRGLRAGYVPVSPTKVYWFICYNSPSSPGPKITDPALLRKQAKELVNNWPEELIRLIDLSPDETISKTPLVDRWLWPGLSPPASTGKVVLVGDAWHPMTPNLGQGACCALEDSVILTRKLADAIKSGPTAVEGALREYGEERWPRVFPLTVRANLVGSLLQWDNQLVCSIRNNVVIPKLVRLGPVLEHTNFECEPLKA >KJB20998 pep chromosome:Graimondii2_0_v6:3:44814461:44815677:-1 gene:B456_003G176900 transcript:KJB20998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNSEDGRELRSFKFKDEDQTQEVRAVERRILLETLADELPPETVRFSSKLAKIQSSENGETLLQLTDGTTLLAKIVIGCDGIRSPIAKWMGFSEPRYVGYSAFRGLGVYPEGQPFAANVNYIYGRGLRAGYVPVSPTKVYWFICYNSPSSPGPKITDPALLRKQAKELVNNWPEELIRLIDLSPDETISKTPLVDRWLWPGLSPPASTGKVVLVGDAWHPMTPNLGQGACCALEDSVILTRKLADAIKSGPTAVEGALREYGEERWPRVFPLTVRANLVGSLLQWDNQLVCSIRNNVVIPKLVRLGPVLEHTNFECEPLKA >KJB20242 pep chromosome:Graimondii2_0_v6:3:40276775:40280965:-1 gene:B456_003G140000 transcript:KJB20242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIPLILMGCGGVGRQLLQHIVSCRSLHAKQGVHLRVVGVSDSKSLIIASDVKQKELDDNILAEVCRVKSDGSSLSKITSLGESQVFSNSESRTKVLDIASILGKSTGLALVDCSASSETIGVLKEGVNLGCCVVLANKKPLTSTLEDYDKLVSHPRRIRHESTVGAGLPVISSINRIISSGDPIHRIVGSLSGTLGYVMSEVEDGKPLSQVVKSAKSLGYTEPDPRDDLSGMDVARKALILARLLGKRIDLGSIKIESLYPEEMGPNKMSVEDFLNGGVVKLDNNIEERVKKASLNGNVLRYVCVIEGARCDVGIQELPKNLALGRLRGSDNVLEIYSRCYSEQPLVIQGAGAGNDTTAAGVLADILDIQDLFP >KJB18383 pep chromosome:Graimondii2_0_v6:3:7123770:7128024:1 gene:B456_003G049700 transcript:KJB18383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKKPYLAIILIQSIYAGMFLVSKAAFDGGMNNFVFVFYRQAAATVVLIPLALFLEWKTAPPLSFVTFSKIFLLSLFGITLSLDINGIALVYTSATLAAATTNCLPVITFFLAVLLGVETLRLKTSAGIAKLMGILSCLAGALTLAFFKGPHFKLFCLHHLFQHHHDQTLATTPTSSGLTWIKGSFLMLLSNILWGLWLVLQGPVLKTYPSKLLFTALQCFLSTIQSFAIAIALVRDPYEWRLGWNVRLVSVAYCGIVVTGVTYYLQAWVIEKKGPVLLAMSTPLNLIFTMLCSAFFLCQFISLGSVVGGVLLICGLYSVLWGKTKEQKLLNQNCSSAAVDKECTELPVVAP >KJB18683 pep chromosome:Graimondii2_0_v6:3:12859805:12862910:1 gene:B456_003G064900 transcript:KJB18683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCRRMLLKVIILGNSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQYEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKVKAWCASKGNIPYFETSAKEGFNVDAAFECITKNALKNEPEEEIYIPETIDVAGGGRSRRSTGCEC >KJB17907 pep chromosome:Graimondii2_0_v6:3:1763560:1764114:-1 gene:B456_003G023100 transcript:KJB17907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLSFIEFKYGSLKRPTDQLNSINKTRQSSSNMSSYQPNMEEMKWVFNKFDTNKDGKISKQEYKSAMKMMGKGITDTEMVKAFTTIDTDGDGFIDYKEFIHMMHNMEEGFNNNDIKTAFRVYDLNGDGKISAEELMAVMKNIGERCSLEACRKMIKGVDVDGDGLIDINEFMIMMTRTMKPFH >KJB19359 pep chromosome:Graimondii2_0_v6:3:30195632:30198955:-1 gene:B456_003G097500 transcript:KJB19359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDFTEKERELRTSSLTRKQPNTGSKETSLDEVLCRHKERKPFAYGLCNECYEEFMKVSGGLDGGSDPPVFQRAEKERLGKLSIQENAKLYSLCEKTIVSISVGKY >KJB20075 pep chromosome:Graimondii2_0_v6:3:38657025:38662236:-1 gene:B456_003G131700 transcript:KJB20075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACFFDTLKAQPFWVIVLFTLGSLSLLKFSFVFLKWVWINFLRPGKNLKKYGSWGLVTGPTDGIGKGFAFQLARKGLNLVLVGRNPDKLKDVSDSILAKYAKIQIKTVVVDFTGDLDEGVKKIKETIEGLDVGVLINNVGISYPYARYFHEVDEELLMNLIKVNVEGTTKVTQAVLPGMVKRKKGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKNIGIDVQCQVPLYVATKMASIKRSSFFVPSTDGYARAAMRWIGYEPRCTPYWPHSILWGLAYSLPESVVDAWRLRFCLGIRKRGQLKDSRKKE >KJB20073 pep chromosome:Graimondii2_0_v6:3:38656910:38662236:-1 gene:B456_003G131700 transcript:KJB20073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACFFDTLKAQPFWVIVLFTLGSLSLLKFSFVFLKWVWINFLRPGKNLKKYGSWGLVTGPTDGIGKGFAFQLARKGLNLVLVGRNPDKLKDVSDSILAKYAKIQIKTVVVDFTGDLDEGVKKIKETIEGLDVGVLINNVGISYPYARYFHEVDEELLMNLIKVNVEGTTKVTQAVLPGMVKRKKGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKNIGIDVQCQVPLYVATKMASIKRSSFFVPSTDGYARAAMRWIGYEPRCTPYWPHSILWGLAYSLPESVVDAWRLRFCLGIRKRGQLKDSRKKE >KJB20074 pep chromosome:Graimondii2_0_v6:3:38659163:38662400:-1 gene:B456_003G131700 transcript:KJB20074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACFFDTLKAQPFWVIVLFTLGSLSLLKFSFVFLKWVWINFLRPGKNLKKYGSWGLVTGPTDGIGKGFAFQLARKGLNLVLVGRNPDKLKDVSDSILAKYAKIQIKTVVVDFTGDLDEGVKKIKETIEGLDVGVLINNVGISYPYARYFHEVDEELLMNLIKVNVEGTTKVTQAVLPGMVKRKKGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKNIGIDVQCQVPLYVATKMASIKRSSFFVPSTDGYARAAMRWIGYEPRCTPYWPHSILWGLAYSLPESVVDAWRLRFCLGIRKRGQLKDSRKKE >KJB19673 pep chromosome:Graimondii2_0_v6:3:34604831:34605001:-1 gene:B456_003G113600 transcript:KJB19673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIKSSFSFIVGTVCGVYVAQNYNVPNIKKLADTALFMVKHVEEKYRKPKNRDDD >KJB18340 pep chromosome:Graimondii2_0_v6:3:6463945:6465473:-1 gene:B456_003G047500 transcript:KJB18340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRKEKNAPWLSVPQFGDWDQKGQVPDYSLDFSKIREMRKQNKREVSRASLGNEEEFINPTANTVSTTPSDDHHHNYPQNHHSPSVRFSLPLSSQILYLIRKCTINIQFSIIGW >KJB18339 pep chromosome:Graimondii2_0_v6:3:6463089:6465600:-1 gene:B456_003G047500 transcript:KJB18339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRKEKNAPWLSVPQFGDWDQKGQVPDYSLDFSKIREMRKQNKREVSRASLGNEEEFINPTANTVSTTPSDDHHHNYPQNHHSPSTRRSFFSYFNCCVKA >KJB18601 pep chromosome:Graimondii2_0_v6:3:11492403:11492739:-1 gene:B456_003G062500 transcript:KJB18601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESRSALHDCNLLDLGYQRVWFTWEHDRLPHTNIKEQLNCAMGTPLWKSCLPIHQGKIDSNYSILRHGGYWNQDWMAQFRLDWHY >KJB18600 pep chromosome:Graimondii2_0_v6:3:11492072:11494396:-1 gene:B456_003G062500 transcript:KJB18600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESRSALHDCNLLDLGYQRVWFTWEHDRLPHTNIKEQLNCAMGTPLWKSCLPIHQGKIDSNYSILRHGGYWNQDWMAQFRLDWHY >KJB20214 pep chromosome:Graimondii2_0_v6:3:40043374:40050727:-1 gene:B456_003G138700 transcript:KJB20214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIEKSNSKVSPFDSEFSPGSREMTVSSDEDELQRPSSAVDSDDEFDDDADSGAGSDDFDLLELGETGAEFCQVGNSTCLVPFELYDLSGLEDILSLDVWNECLSDEERFSLTRFLPDMDQDTFMRTLIDIFKGNNLHFGSPIKSLFDMLKGGLCEPRVALYREGMNFFRKQQHYHHLRKHQNTMVVNLIQMRDAWLNCRGYSIEERLRVLNIMRSQSSLMYEKLEDKDSASSDGEDLVDGFWSKRVKDWKAPQKSGRYSGFGVEPVLEFASRGQLMDLEPSKYRKQNPKGKLKTGTLPREKYESAALRARDWMRLDDAEDPMFGTGIQRNQNALDDSIMGKSGSSRARKKYNLLRGEELATDSFMASPLSSKHAFLSYGRNRNANASKPPNMRTSYNFPKKSKRFKNDPLFAAGDQIKSIKGRIPSLPLQGSRVDLSGRTELFWQNENQGEDFSVDLSVRPDDWNIKHKKWKTLRESPDLSFKSYKASSPLMSDQFLKSDSRKKTSQEKIRGNHLQNGGAVMALSKGSRAFIKNEETESDSSEQFDDEEESNPLMRGKLAYTSGVVENPRLSSLNSGLDSKKTKSSKKYTMKDGWPLDGMNRYSKKSFEENVHVAGVESYYSERKQKGKMHESSPLPNSGSRVFDEVGGKQVSKLVKNGHLRGEPGDRLRRSSLRAYPTEKRQNGELAYDHFITQSNYQHDYLDEEDGSPVALSLADEFNLGRTGKKRQNTEAYDRCQKSEASLLGSNKVTKKKKGKRYMEDVNGRGEDGNVQPNPQQQTDDSPFVKKKGKRKLEVDTVTSDMEPSEPHGADLGTTDLEMETKPQKKPFTLITPTIHTGFSFSIIHLLSAVRMAMITPFPEDSLEVGKPREEQNGKQQGDLNGVLSCDNTVTNSSDHLVQPSVPFLTVHDIVHRVAVNPGDPCILETEEPLQDLVRGVLKIFSSKTAPLGAKGWKVLVAYEKSTKSWSWVGPAMHSSNNVETAEEVISPEAWGLPHKMLVKLVDSFANWLKNGQETLQQIGSLPAPPLELMQVNLDEKERFRDLKAQKSLNTISSSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYVVEEVSDAQVNQIVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRPKKDTAEQSVQVAVTVAFHDTGDQSGFELGSELNVEHSCIDNDKKMEMECCDRQNAEDNADSSHESEQCTTQQDHPMTLEPPDLNPVQDGELQCQENSTNEDIDDIDDGREKPVEHLDASLL >KJB20215 pep chromosome:Graimondii2_0_v6:3:40046489:40050592:-1 gene:B456_003G138700 transcript:KJB20215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIEKSNSKVSPFDSEFSPGSREMTVSSDEDELQRPSSAVDSDDEFDDDADSGAGSDDFDLLELGETGAEFCQVGNSTCLVPFELYDLSGLEDILSLDVWNECLSDEERFSLTRFLPDMDQDTFMRTLIDIFKGNNLHFGSPIKSLFDMLKGGLCEPRVALYREGMNFFRKQQHYHHLRKHQNTMVVNLIQMRDAWLNCRGYSIEERLRVLNIMRSQSSLMYEKLEDKDSASSDGEDLVDGFWSKRVKDWKAPQKSGRYSGFGVEPVLEFASRGQLMDLEPSKYRKQNPKGKLKTGTLPREKYESAALRARDWMRLDDAEDPMFGTGIQRNQNALDDSIMGKSGSSRARKKYNLLRGEELATDSFMASPLSSKHAFLSYGRNRNANASKPPNMRTSYNFPKKSKRFKNDPLFAAGDQIKSIKGRIPSLPLQGSRVDLSGRTELFWQNENQGEDFSVDLSVRPDDWNIKHKKWKTLRESPDLSFKSYKASSPLMSDQFLKSDSRKKTSQEKIRGNHLQNGGAVMALSKGSRAFIKNEETESDSSEQFDDEEESNPLMRGKLAYTSGVVENPRLSSLNSGLDSKKTKSSKKYTMKDGWPLDGMNRYSKKSFEENVHVAGVESYYSERKQKGKMHESSPLPNSGSRVFDEVGGKQVSKLVKNGHLRGEPGDRLRRSSLRAYPTEKRQNGELAYDHFITQSNYQHDYLDEEDGSPVALSLADEFNLGRTGKKRQNTEAYDRCQKSEASLLGSNKVTKKKKGKRYMEDVNGRGEDGNVQPNPQQQTDDSPFVKKKGKRKLEVDTVTSDMEPSEPHGADLGTTDLEMETKPQKKPFTLITPTIHTGFSFSIIHLLSAVRMAMITPFPEDSLEVGKPREEQNGKQQGDLNGVLSCDNTVTNSSDHLVQPSVPFLTVHDIVHRVAVNPGDPCILETEEPLQDLVRGVLKIFSSKTAPLGAKGWKVLVAYEKSTKSWSWVGPAMHSSNNVETAEEVISPEAWGLPHKMLVKLVDSFANWLKNGQETLQQIGSLPAPPLELMQVNLDEKERFRDLKAQKSLNTISSSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYVVEEVSDAQVNQIVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRPKKDTAEQSVQVAVTVAFHDTGDQSGFELGSELNVEHSCIDNDKKMEMECCDRQNAEDNADSSHESEQCTTQQDHPMTLEPPDLNPVQDGELQCQENSTNEDIDDIDDGREKPVEHLDASLL >KJB20217 pep chromosome:Graimondii2_0_v6:3:40045984:40050945:-1 gene:B456_003G138700 transcript:KJB20217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIEKSNSKVSPFDSEFSPGSREMTVSSDEDELQRPSSAVDSDDEFDDDADSGAGSDDFDLLELGETGAEFCQVGNSTCLVPFELYDLSGLEDILSLDVWNECLSDEERFSLTRFLPDMDQDTFMRTLIDIFKGNNLHFGSPIKSLFDMLKGGLCEPRVALYREGMNFFRKQQHYHHLRKHQNTMVVNLIQMRDAWLNCRGYSIEERLRVLNIMRSQSSLMYEKLEDKDSASSDGEDLVDGFWSKRVKDWKAPQKSGRYSGFGVEPVLEFASRGQLMDLEPSKYRKQNPKGKLKTGESKLPFAREFGSCFSPDLDMNSEPYGLPGTLPREKYESAALRARDWMRLDDAEDPMFGTGIQRNQNALDDSIMGKSGSSRARKKYNLLRGEELATDSFMASPLSSKHAFLSYGRNRNANASKPPNMRTSYNFPKKSKRFKNDPLFAAGDQIKSIKGRIPSLPLQGSRVDLSGRTELFWQNENQGEDFSVDLSVRPDDWNIKHKKWKTLRESPDLSFKSYKASSPLMSDQFLKSDSRKKTSQEKIRGNHLQNGGAVMALSKGSRAFIKNEETESDSSEQFDDEEESNPLMRGKLAYTSGVVENPRLSSLNSGLDSKKTKSSKKYTMKDGWPLDGMNRYSKKSFEENVHVAGVESYYSERKQKGKMHESSPLPNSGSRVFDEVGGKQVSKLVKNGHLRGEPGDRLRRSSLRAYPTEKRQNGELAYDHFITQSNYQHDYLDEEDGSPVALSLADEFNLGRTGKKRQNTEAYDRCQKSEASLLGSNKVTKKKKGKRYMEDVNGRGEDGNVQPNPQQQTDDSPFVKKKGKRKLEVDTVTSDMEPSEPHGADLGTTDLEMETKPQKKPFTLITPTIHTGFSFSIIHLLSAVRMAMITPFPEDSLEVGKPREEQNGKQQGDLNGVLSCDNTVTNSSDHLVQPSVPFLTVHDIVHRVAVNPGDPCILETEEPLQDLVRGVLKIFSSKTAPLGAKGWKVLVAYEKSTKSWSWVGPAMHSSNNVETAEEVISPEAWGLPHKMLVKLVDSFANWLKNGQETLQQIGSLPAPPLELMQVNLDEKERFRDLKAQKSLNTISSSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYVVEEVSDAQVNQIVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRPKKDTAEQSVQVAVTVAFHDTGDQSGFELGSELNVEHSCIDNDKKMEMECCDRQNAEDNADSSHESEQCTTQQDHPMTLEPPDLNPVQDGELQCQENSTNEDIDDIDDGREKPVEHLDASLL >KJB20213 pep chromosome:Graimondii2_0_v6:3:40043399:40051132:-1 gene:B456_003G138700 transcript:KJB20213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIEKSNSKVSPFDSEFSPGSREMTVSSDEDELQRPSSAVDSDDEFDDDADSGAGSDDFDLLELGETGAEFCQVGNSTCLVPFELYDLSGLEDILSLDVWNECLSDEERFSLTRFLPDMDQDTFMRTLIDIFKGNNLHFGSPIKSLFDMLKGGLCEPRVALYREGMNFFRKQQHYHHLRKHQNTMVVNLIQMRDAWLNCRGYSIEERLRVLNIMRSQSSLMYEKLEDKDSASSDGEDLVDGFWSKRVKDWKAPQKSGRYSGFGVEPVLEFASRGQLMDLEPSKYRKQNPKGKLKTGTLPREKYESAALRARDWMRLDDAEDPMFGTGIQRNQNALDDSIMGKSGSSRARKKYNLLRGEELATDSFMASPLSSKHAFLSYGRNRNANASKPPNMRTSYNFPKKSKRFKNDPLFAAGDQIKSIKGRIPSLPLQGSRVDLSGRTELFWQNENQGEDFSVDLSVRPDDWNIKHKKWKTLRESPDLSFKSYKASSPLMSDQFLKSDSRKKTSQEKIRGNHLQNGGAVMALSKGSRAFIKNEETESDSSEQFDDEEESNPLMRGKLAYTSGVVENPRLSSLNSGLDSKKTKSSKKYTMKDGWPLDGMNRYSKKSFEENVHVAGVESYYSERKQKGKMHESSPLPNSGSRVFDEVGGKQVSKLVKNGHLRGEPGDRLRRSSLRAYPTEKRQNGELAYDHFITQSNYQHDYLDEEDGSPVALSLADEFNLGRTGKKRQNTEAYDRCQKSEASLLGSNKVTKKKKGKRYMEDVNGRGEDGNVQPNPQQQTDDSPFVKKKGKRKLEVDTVTSDMEPSEPHGADLGTTDLEMETKPQKKPFTLITPTIHTGFSFSIIHLLSAVRMAMITPFPEDSLEVGKPREEQNGKQQGDLNGVLSCDNTVTNSSDHLVQPSVPFLTVHDIVHRVAVNPGDPCILETEEPLQDLVRGVLKIFSSKTAPLGAKGWKVLVAYEKSTKSWSWVGPAMHSSNNVETAEEVISPEAWGLPHKMLVKLVDSFANWLKNGQETLQQIGSLPAPPLELMQVNLDEKERFRDLKAQKSLNTISSSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYVVEEVSDAQVNQIVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRPKKDTAEQSVQVAVTVAFHDTGDQSGFELGSELNVEHSCIDNDKKMEMECCDRQNAEDNADSSHESEQCTTQQDHPMTLEPPDLNPVQDGELQCQENSTNEDIDDIDDGREKPVEHLDASLL >KJB20216 pep chromosome:Graimondii2_0_v6:3:40046035:40050945:-1 gene:B456_003G138700 transcript:KJB20216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIEKSNSKVSPFDSEFSPGSREMTVSSDEDELQRPSSAVDSDDEFDDDADSGAGSDDFDLLELGETGAEFCQVGNSTCLVPFELYDLSGLEDILSLDVWNECLSDEERFSLTRFLPDMDQDTFMRTLIDIFKGNNLHFGSPIKSLFDMLKGGLCEPRVALYREGMNFFRKQQHYHHLRKHQNTMVVNLIQMRDAWLNCRGYSIEERLRVLNIMRSQSSLMYEKLEDKDSASSDGEDLVDGFWSKRVKDWKAPQKSGRYSGFGVEPVLEFASRGQLMDLEPSKYRKQNPKGKLKTGESKLPFAREFGSCFSPDLDMNSEPYGLPGTLPREKYESAALRARDWMRLDDAEDPMFGTGIQRNQNALDDSIMGKSGSSRARKKYNLLRGEELATDSFMASPLSSKHAFLSYGRNRNANASKPPNMRTSYNFPKKSKRFKNDPLFAAGDQIKSIKGRIPSLPLQGSRVDLSGRTELFWQNENQGEDFSVDLSVRPDDWNIKHKKWKTLRESPDLSFKSYKASSPLMSDQFLKSDSRKKTSQEKIRGNHLQNGGAVMALSKGSRAFIKNEETESDSSEQFDDEEESNPLMRGKLAYTSGVVENPRLSSLNSGLDSKKTKSSKKYTMKDGWPLDGMNRYSKKSFEENVHVAGVESYYSERKQKGKMHESSPLPNSGSRVFDEVGGKQVSKLVKNGHLRGEPGDRLRRSSLRAYPTEKRQNGELAYDHFITQSNYQHDYLDEEDGSPVALSLADEFNLGRTGKKRQNTEAYDRCQKSEASLLGSNKVTKKKKGKRYMEDVNGRGEDGNVQPNPQQQTDDSPFVKKKGKRKLEVDTVTSDMEPSEPHGADLGTTDLEMETKPQKKPFTLITPTIHTGFSFSIIHLLSAVRMAMITPFPEDSLEVGKPREEQNGKQQGDLNGVLSCDNTVTNSSDHLVQPSVPFLTVHDIVHRVAVNPGDPCILETEEPLQDLVRGVLKIFSSKTAPLGAKGWKVLVAYEKSTKSWSWVGPAMHSSNNVETAEEVISPEAWGLPHKMLVKLVDSFANWLKNGQETLQQIGSLPAPPLELMQVNLDEKERFRDLKAQKSLNTISSSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYVVEEVSDAQVNQIVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRPKKDTAEQSVQVAVTVAFHDTGDQSGFELGSELNVEHSCIDNDKKMEMECCDRQNAEDNADSSHESEQCTTQQDHPMTLEPPDLNPVQDGELQCQENSTNEDIDDIDDGREKPVEHLDASLL >KJB17859 pep chromosome:Graimondii2_0_v6:3:1504026:1509108:1 gene:B456_003G019800 transcript:KJB17859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra-large guanine nucleotide-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT2G23460) UniProtKB/Swiss-Prot;Acc:O80462] MPPPKEVAGFPSKENDEDQQYSFAVEYTGPPVTYDIPRAVPINVEKIPVAAVVSKVPLSNTELHIPVVPPILAPDRNKFSKELLLQPTVSPTSVIAFEERVSEDTNNCLLSGELSSYESGELAELIINNDSSSRGLAAVCSVSNEHSSKIDSCDSFDKSRGSSSRARISNDDDLNQADWGSNESVLSLDYPSSRVSSLKTGDCNNESNGDVRRPQVVTFRDIESEDGLDEDFSQDEVQAQVVRVKREPQTKGKKGSCYRCFKGNRFTEKEVCIVCDAKYCSNCVLRAMGSMPEGRKCVTCIGFPIDELKRGGLGKCSRMLKRLLNDLEVRQIMKAEKFCEANQLPPEYIFVNGQALSYEELAILQSCPNPPKKLKPGNYWYDKVSGLWGKEGQKPSKIISPHLNVGGSLRPDASNGNTQVFINGREITKVELRMLQLAGVQVAGNPHFWVNEDGSYQEEGQRNTKGYIWGKAGTKLVCAVLSLPVPSKSSNSCEEQLKSMTSRSVPDYLEQRTLQKILLVGSTGSGSSTIFKQAKILYKDVPFSEDERENIKLTIQTNVYGYLGILLEGRERFEEESLAEMRKRISSKETEPEAGSSDGSDSKTIYSIGPRLKSFSDWLLKTMVSGNLEAIFPAATREYAPLVEELWKHAAIQETYNRRNELEMLPSVASYYLERVDEILKTDYEPSDLDILYAEGVTSSNGLACVDFSFPQSSPDENIDTAEQHDSLLRYQLIRVQARGFGENCKWLEMFEDVGMVIFCVSLSDYDQYSADGTNKMLLSKKFFETIVTHPTFEQMDFLLILNKFDIFEEKVERVPLTRCEWFDDFHPLISRHRTNTNSNSINNNPTLGQLGFHYVAVKFKRLYSSLTGRKLYVSHVKGLEPNSVDAALKFAREILKWDEERINFSLSEHSFYSTEASSFSH >KJB17858 pep chromosome:Graimondii2_0_v6:3:1503920:1509607:1 gene:B456_003G019800 transcript:KJB17858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra-large guanine nucleotide-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT2G23460) UniProtKB/Swiss-Prot;Acc:O80462] MPPPKEVAGFPSKENDEDQQYSFAVEYTGPPVTYDIPRAVPINVEKIPVAAVVSKVPLSNTELHIPVVPPILAPDRNKFSKELLLQPTVSPTSVIAFEERVSEDTNNCLLSGELSSYESGELAELIINNDSSSRGLAAVCSVSNEHSSKIDSCDSFDKSRGSSSRARISNDDDLNQADWGSNESVLSLDYPSSRVSSLKTGDCNNESNGDVRRPQVVTFRDIESEDGLDEDFSQDEVQAQVVRVKREPQTKGKKGSCYRCFKGNRFTEKEVCIVCDAKYCSNCVLRAMGSMPEGRKCVTCIGFPIDELKRGGLGKCSRMLKRLLNDLEVRQIMKAEKFCEANQLPPEYIFVNGQALSYEELAILQSCPNPPKKLKPGNYWYDKVSGLWGKEGQKPSKIISPHLNVGGSLRPDASNGNTQVFINGREITKVELRMLQLAGVQVAGNPHFWVNEDGSYQEEGQRNTKGYIWGKAGTKLVCAVLSLPVPSKSSNSCEEQLKSMTSRSVPDYLEQRTLQKILLVGSTGSGSSTIFKQAKILYKDVPFSEDERENIKLTIQTNVYGYLGILLEGRERFEEESLAEMRKRISSKETEPEGSSDGSDSKTIYSIGPRLKSFSDWLLKTMVSGNLEAIFPAATREYAPLVEELWKHAAIQETYNRRNELEMLPSVASYYLERVDEILKTDYEPSDLDILYAEGVTSSNGLACVDFSFPQSSPDENIDTAEQHDSLLRYQLIRVQARGFGENCKWLEMFEDVGMVIFCVSLSDYDQYSADGTNKMLLSKKFFETIVTHPTFEQMDFLLILNKFDIFEEKVERVPLTRCEWFDDFHPLISRHRTNTNSNSINNNPTLGQLGFHYVAVKFKRLYSSLTGRKLYVSHVKGLEPNSVDAALKFAREILKWDEERINFSLSEHSFYSTEASSFSH >KJB19397 pep chromosome:Graimondii2_0_v6:3:30897921:30900081:-1 gene:B456_003G100400 transcript:KJB19397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQEFKHVCKFCSKSFPCGRSLGGHMRSHMNNIIINNNNSIETDEKLCKKKLVTLNNVGHDSNTDETGVDGGYILRENPKKTWRLTDLSEDSSVHDKVCKECGKGFQSWKALFGHMKCHSDKEKSPVNSLEEQDSWSHGEQKPVVDSQSDNETGVPSKKRRSKRRTRYIGTPNSVMSEIEQEQQEEVALSLMMLSRDVRHWVGLNSDNSMFSEAKIQIKNPKLKNLESAVMESERKNKNLVIQTYDQASDVVESEFCKDPHKRSKFECTTCKKIFHSYQALGGHRASHKRTKGCSASDNSETSTETETSPEPNPTSATDTANLVSDSYGVVKKNKGHECPICLKVFPSGQALGGHKRSHLVAEAKENRSQTIETQNPIPEIRDFLDLNLPAPVEEGTSSVHMGFEPWWVGTTHKHEPLLGLISN >KJB18697 pep chromosome:Graimondii2_0_v6:3:13329756:13330958:-1 gene:B456_003G066200 transcript:KJB18697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFRSLFSPFKRLWNRLHSRHTRGRGIYILYKDVKSCPCEDVQVLWSILVHSQTAALPSKRSEASISPDRLVQELQLGLNLLILGSSTSGYHLYKENHEDAPHEKQPPLPSAF >KJB18990 pep chromosome:Graimondii2_0_v6:3:19606956:19609249:1 gene:B456_003G079500 transcript:KJB18990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFRLFSFFFFLLFYLQPSRSHESCHPGCDLLVPIHFPFQMMSNPPENRCGYDGFTVTCKNETRNILTFPFSGDFLIDSISYFSQRISLTDPCNCIARRLLQGFNYSDTPFQPLDTRNFTFLNCTSDAPVFQSPGGVSPIPCLSSESHSFVALPTERVGASNKSSCTEVVTFMHPSLDDSIKDSILLTWKEPDCGRCQSDGGFCQYKYDTSSEVSCFTPFDHGLPKYERYTVFVIVSTGLCIVGFIIIIRRKIRSQGEESDTEISRSTNTSQLENVAGKGLDSPTIKMYPTTVVDESLQLPKPTDNICPICLLEYKANDILRTIPSCAHYFHVHCIDEWLKRNATCPLCRNYY >KJB19681 pep chromosome:Graimondii2_0_v6:3:34690508:34694144:1 gene:B456_003G114000 transcript:KJB19681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASYDPEQNPPLHAPLLDRSSPRTRRFAAIFACLVSLLLLLALILNQAQQPFEKVQPRGVGEGVSAKSNPSLLNQVPFNWTNAMFSWQRSAYHFQPQKNWMNDPNGPLYHKGWYHLFYQYNPYSAIWGNITWGHAVSRDLIHWLYLPLALVPDHWYDIKGVWTGSATILPDGQIIMLYTGETNESVQVQNLAYPANVSDPLLLHWLKYPGNPVMVPPPGVKPDDFRDPTTAWLGPDGTWRLTMGSKFDTTIGISLVYHTTNFRDYELLDGVLHAVPGTGMWECVDFYPVAINGSVALDTSSLGPGIKHVLKASLDNTKVDHYAIGTYDPITDKWTPDNPEEDVGIGLKVDYGRYYASKTFFDQHKQRRVLWGWINETDTETADLKKGWASLQTIPRTVLYDNKTGTNLLQWPVEEVESLRLNSTMFKEVLVEPGSVVPLDIGTTTQLDILAEFEIEPLIPSTTNEIDDCGDGAVDRSTYGPFGLLVIADASLSELTPIYFRPLNASDGSLKTYFCTDETRSSKASDVFKQVYGGKVPVLDDENYNMRVLVDHSIVESFAQGGRTVISSRIYPTEAIYGAARLFLFNNATGVNVKATLKIWELNSAFIRPFPFERDSV >KJB19538 pep chromosome:Graimondii2_0_v6:3:33184779:33186762:-1 gene:B456_003G108500 transcript:KJB19538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTVSRTATGREFKVKDIAQADFGRLEIELAEVEMPGLMACRAEFGPTQPLKDARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTEKALDWGPGGGPDLIVDDGGDATLLIHEGAKAEEEYERSGKLPNPNETENAEFRIVLSIIKEGLLIDPKRYTRMKDRLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKIAVVCGYGDVGKGCAAALKAAGARVIVTEIDPICALQALMEGIPVLTLEDMVAEADIFVTTTGNKDIIMVSHMKQMKNNAIVCNIGHFDNEIDMLGLETYPGIKRITIKPQTDRWIFPETNRGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKERGSGKYEKKVYVLPKHLDEKVASLHLGKLGAKLTKLTPDQAAYISVPVEGPYKPPHYRY >KJB17888 pep chromosome:Graimondii2_0_v6:3:1673661:1678354:-1 gene:B456_003G022100 transcript:KJB17888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTYQEVQGRLLKSLTKDDSKQVFPLPTPTKSFSDSVEGYKEDFRPTTPGNSPGSGHSFAEDDEDTVEQKPGSFSLQGNDKYSIAGAKDDDQVIESKPPSNSTKGNGEHSIAGHSPGVGHAYPNQNSEKLNQMQKLP >KJB19896 pep chromosome:Graimondii2_0_v6:3:36880686:36884298:-1 gene:B456_003G123500 transcript:KJB19896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIADRSSSPFKAMLAAKSCELAGSGGCRPPQKRRLRSDTAAAAKESPVSTPMKLKSPRRRLDSSPSSPANRVKKDPSEKTLKKCWNPRDVEQIRAVKEAVHVSTAPSTVVCREDEQKRVLDFCKACVQQEKAGSLYVCGCPGTGKSLSMELVKQQVIDWAKMQEGLQPPEVLAMNCTSLANTSVIFSKILGMHQPKKKSIGSASPLQHLQNLYSNKQAQSSSKMMLIIADELDYLITRDRAVLHDLFMLTTFPFSRCILIGIANSIDLADRFLPRLQSLNCKPMVVTFRAYSKDQILSILQERLLVLPYIVFHQQALELCARKVAAASGDMRKALSVCRSAVEILEAELRESASNTGLTSAEGDFVSPQTALAHEVFPSQENNTVRIDHMALALSKTFRSPIVDTIQSLPQHQQIILCSAVKYFRGGKKDTTVGELNKSYIDICKSALIPPVGILEFSSMCRVLNDQGLFKLGQSRDDKFKRVTLKVDEADISFALQGVRLFRNCLQ >KJB19897 pep chromosome:Graimondii2_0_v6:3:36879977:36884464:-1 gene:B456_003G123500 transcript:KJB19897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIADRSSSPFKAMLAAKSCELAGSGGCRPPQKRRLRSDTAAAAKESPVSTPMKLKSPRRRLDSSPSSPANRVKKDPSEKTLKKCWNPRDVEQIRAVKEAVHVSTAPSTVVCREDEQKRVLDFCKACVQQEKAGSLYVCGCPGTGKSLSMELVKQQVIDWAKMEGLQPPEVLAMNCTSLANTSVIFSKILGMHQPKKKSIGSASPLQHLQNLYSNKQAQSSSKMMLIIADELDYLITRDRAVLHDLFMLTTFPFSRCILIGIANSIDLADRFLPRLQSLNCKPMVVTFRAYSKDQILSILQERLLVLPYIVFHQQALELCARKVAAASGDMRKALSVCRSAVEILEAELRESASNTGLTSAEGDFVSPQTALAHEVFPSQENNTVRIDHMALALSKTFRSPIVDTIQSLPQHQQIILCSAVKYFRGGKKDTTVGELNKSYIDICKSALIPPVGILEFSSMCRVLNDQGLFKLGQSRDDKFKRVTLKVDEADISFALQGVRLFRNCLQ >KJB17357 pep chromosome:Graimondii2_0_v6:3:45329857:45332487:1 gene:B456_003G181700 transcript:KJB17357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIKAVWANEAWGSYWNWDPKENWAFITWTVFAIYFHTRTNKNLEGVNSALVASMGFLIIWICYFGVNLLGIGLHSYGSFTLN >KJB20523 pep chromosome:Graimondii2_0_v6:3:43372519:43379888:1 gene:B456_003G163900 transcript:KJB20523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENAASRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSTRGKLYEFSSASMSKTIERYEKREKDNTGINNKLAAVDQNTQNEKEDAQSMAKKIELLENSKQKLLGNGLEPCSLNELNQLETNLERSLSRIRERKNLLFRQQIEKLKQEEKRLEEENANLRQTCGMKPSPWSTSTEEETMEVETELFIGPPERRRIQNP >KJB20522 pep chromosome:Graimondii2_0_v6:3:43372519:43378681:1 gene:B456_003G163900 transcript:KJB20522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENAASRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSTRGKLYEFSSASMSKTIERYEKREKDNTGINNKLAAVDQNTQNEKEDAQSMAKKIELLENSKQKLLGNGLEPCSLNELNQLETNLERSLSRIRERKNLLFRQQIEKLKQEVNH >KJB20524 pep chromosome:Graimondii2_0_v6:3:43372519:43379888:1 gene:B456_003G163900 transcript:KJB20524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENAASRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSTRGKLYEFSSASMSKTIERYEKREKDNTGINNKLAAVDQNTQNEKEDAQSMAKKIELLENSKQKLLGNGLEPCSLNELNQLETNLERSLSRIRERKNLLFRQQIEKLKQEEKRLEEENANLRQTCGMKPSPWSTSTEEETMEVETELFIGPPERRRIQNP >KJB17313 pep chromosome:Graimondii2_0_v6:3:2334890:2336310:-1 gene:B456_003G026100 transcript:KJB17313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGTGVVAGSRKSSTERPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPDLLTGEKIGCGGGGDLSSASIRKKAIEVGARVDALEAAAAHHHHHHHHGHSYNGGDYCDELKPSNGGFLNRVDLNKMPDPEDSDGEWLR >KJB17303 pep chromosome:Graimondii2_0_v6:3:31225557:31228287:1 gene:B456_003G102000 transcript:KJB17303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGESPKPTPKNVSPSTGTVNEVPQTQNEVVKETPPPAVVPKDIPAGIPANNANNYFRADGQNCGNFLTYHAHIQMMLTKLSHRNIDEEFYYT >KJB17306 pep chromosome:Graimondii2_0_v6:3:31225993:31228171:1 gene:B456_003G102000 transcript:KJB17306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGESPKPTPKNVSPSTGTVNEVPQTQNEVVKETPPPAVVPKDIPAGIPANNANNYFRADGQNCGNFLTDRPTTKVHAAPGGGSSLDYLFGGNPK >KJB17304 pep chromosome:Graimondii2_0_v6:3:31225557:31228171:1 gene:B456_003G102000 transcript:KJB17304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGESPKPTPKNVSPSTGTVNEVPQTQNEVVKETPPPAVVPKDIPAGIPANNANNYFRADGQNCGNFLTDRPTTKVHAAPGGGSSLDYLFGGNPK >KJB17302 pep chromosome:Graimondii2_0_v6:3:31225543:31228171:1 gene:B456_003G102000 transcript:KJB17302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGESPKPTPKNVSPSTGTVNEVPQTQNEVVKETPPPAVVPKDIPAGIPANNANNYFRADGQNCGNFLTDRPTTKVHAAPGGGSSLDYLFGGNPKPFLEQQGLIKQLSCRNSICAD >KJB17301 pep chromosome:Graimondii2_0_v6:3:31225557:31228257:1 gene:B456_003G102000 transcript:KJB17301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGESPKPTPKNVSPSTGTVNEVPQTQNEVVKETPPPAVVPKDIPAGIPANNANNYFRADGQNCGNFLTDRPTTKVHAAPGGGSSLDYLFGGNPK >KJB17305 pep chromosome:Graimondii2_0_v6:3:31226486:31226950:1 gene:B456_003G102000 transcript:KJB17305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGESPKPTPKNVSPSTGTVNEVPQTQNEVVKETPPPAVVPKDIPAGIPANNANNYFRADGQNCGNFLTYHAHIQMMLTKLSHRNIDEEFYYT >KJB17300 pep chromosome:Graimondii2_0_v6:3:31225557:31228171:1 gene:B456_003G102000 transcript:KJB17300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGESPKPTPKNVSPSTGTVNEVPQTQNEVVKETPPPAVVPKDIPAGIPANNANNYFRADGQNCGNFLTDRPTTKVHAAPGGGSSLDYLFGGNPK >KJB17851 pep chromosome:Graimondii2_0_v6:3:1471931:1475076:-1 gene:B456_003G019200 transcript:KJB17851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADKPSSKGQAWFCATGLPSDIIIEVDDMTFHLHKFPLISKSRKIDRLIEEQGKKSSTVTVTKQKQRVTGNEIEEEEEETEEYQDQQDDEEEDCYQISLQDFPGGSDAFETAAKFCYGVKIDLSSSTVVPLRCAAEFLEMTDEYSEDNLISKTERFLSQSVFKSLKASIKALKSCESVMTLAESLGIVERLIDSIALRASSTDPTLFGWPVNDGIVEAKGASAQALWNGIETGLRRKALARTSNVESWFEDLALLSLPLFKRLISTLKRRDLSPEVIESCLMCYAKKYIPGTSRSSRKPSSSLAAAISESEQRELLETIISNLPLEKTHSSSSTATRLLFGLLRTANILNASESSKAALEKKIGFQLEQATLDDLLIPSYSYLNETLYDVDCIERILGYFLDGLEERNAAGIEAENEGNNDNIINSSRLPALMLVGKLIDGYLSEIASDANLKPEKFYNLAISLPDQARNFDDGLYRAVDVYLKAHPWIPESEREKICGVLDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLLAAETAPMNAGRLSGTRRDDDEEEEEQQDEEEERVAMSRGEQGSTTWRAAVRENQVLRLDMDSMRTRVHQLERECSTMKKVIQKIEKGNPRGSGWRGSLNKRLGCKFKTQVCDSHESTVTETRRRRHHHHQE >KJB17852 pep chromosome:Graimondii2_0_v6:3:1471931:1475137:-1 gene:B456_003G019200 transcript:KJB17852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADKPSSKGQAWFCATGLPSDIIIEVDDMTFHLHKFPLISKSRKIDRLIEEQGKKSSTVTVTKQKQRVTGNEIEEEEEETEEYQDQQDDEEEDCYQISLQDFPGGSDAFETAAKFCYGVKIDLSSSTVVPLRCAAEFLEMTDEYSEDNLISKTERFLSQSVFKSLKASIKALKSCESVMTLAESLGIVERLIDSIALRASSTDPTLFGWPVNDGIVEAKGASAQALWNGIETGLRRKALARTSNVESWFEDLALLSLPLFKRLISTLKRRDLSPEVIESCLMCYAKKYIPGTSRSSRKPSSSLAAAISESEQRELLETIISNLPLEKTHSSSSTATRLLFGLLRTANILNASESSKAALEKKIGFQLEQATLDDLLIPSYSYLNETLYDVDCIERILGYFLDGLEERNAAGIEAENEGNNDNIINSSRLPALMLVGKLIDGYLSEIASDANLKPEKFYNLAISLPDQARNFDDGLYRAVDVYLKAHPWIPESEREKICGVLDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLLAAETAPMNAGRLSGTRRDDDEEEEEQQDEEEERVAMSRGEQGSTTWRAAVRENQVLRLDMDSMRTRVHQLERECSTMKKVIQKIEKGNPRGSGWRGSLNKRLGCKFKTQVCDSHESTVTETRRRRHHHHQE >KJB18545 pep chromosome:Graimondii2_0_v6:3:10152127:10152630:-1 gene:B456_003G058900 transcript:KJB18545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYRVHFPFLHDRQRQFQNAHKLFGVSNITKIIKNFNPPEKDIVMHTMVFQSDTQANDSVGGCYRIIQELPHQIEYSQAELELDFGCDSNLGYEMVKLDLLNLNYYYIQEPQEKQFVVDDHSNNNQHLLQETYDHLWGI >KJB19662 pep chromosome:Graimondii2_0_v6:3:34438914:34441392:1 gene:B456_003G113000 transcript:KJB19662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLEENPDSPLKKRPPRWSDIWLKKTKPLKHVVFAMQLQSLASKDPKSQTLIPHFANIDRTLLLSDDLLLKILSKLPLSQRNPNSLVCKRWLYLQGRLVRSLKILDWNFLVSGRLITRFPNLAHVDLLNGCLFSPRNSGILLTHRMVSMQMRYGNCSNWELLEENLPVEVVDGGLQALANGCPNLRRLVVINASELGLLTLAEECLTLQELELHKCNDNVLRGIAACENLQILKLVGNVDGLYCSLVSDVGLTILAQGCKRLVKLELSGCEGSFDGIKAIGQCCLMLEELTICDHRMEDGWLAALSYCENLKTLRLLSCKRIDLDPGPDEYLGFCPSLERLHLQKCQLRSKKSVRALFRVCEAVREVVVLDCWGLDNDMFTFASVCRRVKFLSLEGCSLLTTEGLEATVLSWLDLETLVVVSCKNIKESDISPALATLFCNLKELRWRPDTKSLLSSSLMGTGMGKKGGKFFKRT >KJB18715 pep chromosome:Graimondii2_0_v6:3:13570900:13575497:1 gene:B456_003G066600 transcript:KJB18715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPERPNYGAIMVHLKKPYWVFLILLFTFVAVLTFQISTKDILPFQFFGVTGSRKTSVTSGSDPVPDPGSCAGLLGEFPERKHVMSIKEFGGVGDGKTSNTAAFWKAIVHMQRLSNKGGGQLNVPKGKWLTGSFNLTSNFTLFLEDGAVILGSQDPKEWPVVEPLPSYGRGRERLGGRHISLIHGDGLTNVVITGQNGTIDGQGGMWWDLWQNRRLTHTRGHLVELMNSHNILISNLTFRNSPFWTIHPIYCSNVVIKGMTILAPLNAPNTDGIDPDSSTNVCIEDCYIESGDDLVAVKSGWDQYGIRVARPSSNIIVRRISGTTPTCSGVGIGSEMSGGISNVIIEDMNIWNSAAGVRIKTDKGRGGYIANITIKNITMERVKIPIMFSRGANDHPDYGWDPKAIPKIKGIFISNIMSLNSTKAPILAGIKGGSFEGLCFKNVTLLGLAPTAAWHCEFVSGCTNAVFPLPCPQLQNNGSSACCI >KJB18533 pep chromosome:Graimondii2_0_v6:3:10000208:10002770:1 gene:B456_003G058300 transcript:KJB18533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYFISSARFCTSKYPIAVHLSKPLLLPSKPSKFPFSSNRFISFQNIPTKSPPPLLSSSSTSTPLQPMEELPPKLQDIIKLFQSVEEPKAKYEQLMFYGKNLKPLDAQFKTKDNKVEGCVSQVWVRAYLDEDKNVFYQADSDSVLTKGLAALLVNGLSGRPVPEVLKISPDFAVRLGLQQSLTPSRNNGFLNMLKLMQRKALELLIEAKKGSGSSGNGQIVNDNSEKPVESSSLDSKADENSGVGSSSLKGSEESSGGLGSRGMRIKEKLERELSPIELKVEDVSYQHAGHAGVRGSDGETHFNLKIVSKEFEGKSLVKRHRLVYGLLDQELQSGLHALSIVAKTPSEVEAK >KJB17578 pep chromosome:Graimondii2_0_v6:3:326026:333165:-1 gene:B456_003G006000 transcript:KJB17578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENAFNTILKTLEKPDGGEFGKYYCLPALNDPRIDKLPYCIKILLESAIRNCDEFQVKSKDVEKIIDWENTSPKQVEIPFMPARVLLQDATGVPAVVDLACMRDAMNKLGGDSNKINLILVPVDLVIDHSVQVDVARYENAVQANMELEFQRNKERFAFLKWGSNAFDNMLVFPPGSGIVHQVNLECLGRVVFNRNGMLYPDSVVGTDSHTTMINGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLLGKLRDGVTATDLVLTATQMLRKHGVVGKFVEFYGEGMGELSLADRATIANMSPDYGATMGFFPVDHLTLQYLQLTGRSDETMFVDYNEPQIEKVYSSYLELKLEDVEPFPLQEMKADWHACLDNRVGFKGFAIPKESQNKVAKFSFHGTPAELRHGDVVIAAITSCTNTSNPSVMLGAAVVAKKACELGLEVKPWIKTSLAPGSGVVTRYLQKSGLQKYLNQLGFHIVGYGCTTCIGNSGDIDESVASAISENDMVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYSLAGTVDIDFEKEPIGKGKDGKEIFFRDIWPSSEEVANVSYSASFTRVPGLKTSIVFHYYIVFQVVQSSVLPDMFKATYQAITKGNPMWNELSVPSSNLYAWDSTSTYIHKPPYFKDMTMSPPGPHGVKDAYCLLNFGDSITTDHVSPAGSIHKDSPAAKFLLEHGVDRRDFNSYGSRRGNDEVMARGTFANIRLVNKLLEGEVGPKTIHIPTREKLSVYDAAMRYNTAGQDTIILAGAEYGSGSSRDWAAKGPMMLGIKAVIAKSFEQIHRSNLVGMGIIPLCFKPGDDADTLGLTGHERYTINLPNSVSEIRPGQDVTVATDTSKSFSCTVRFDTEVIKHNLVISRFVQLFL >KJB20448 pep chromosome:Graimondii2_0_v6:3:41662426:41666325:1 gene:B456_003G149100 transcript:KJB20448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQRMYGQDGGEAAPPSYAGGYGGSGGYGGGGGYAGGGGRGGSGGHGGRGGGGGYGGGYQNRGGGGGYQGGERAGRGGGGRGGGRGGSGRDGDWLCPNPSCGNLNFARRVECNKCGAPSPAGAGGDRGSGSTSGGYSRGGGGGGYGGNRGGRDGGRGGYDGARNNNYDGGRGGNNDNRSSGSRGGSYGSSQGGEDSGYGQVPPPAPNSYGGNYPPAPNTYGGNANYGMDSVPPPTSYTGGPTSYPPSYGGPVGGYAGEGLSDVRTGGRGGPPGGYGSGGPRNQGGGYGGPPVEAPAKIKQCDGNCGDSCDNSRIYITNLPPDVTIEELRDLFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDKGNQKGDAVLSYEDPQAAHSAGGFFNNHVMRGYTIGVAMAEKTALKVHDHGGGKGGYGGGGDRRRDSYRDGGSGPDRHHHGGNRSRPY >KJB20449 pep chromosome:Graimondii2_0_v6:3:41662300:41666406:1 gene:B456_003G149100 transcript:KJB20449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQRMYGQDGGEAAPPSYAGGYGGSGGYGGGGGYAGGGGRGGSGGHGGRGGGGGYGGGYQNRGGGGGYQGGERAGRGGGGRGGGRGGSGRDGDWLCPNPSCGNLNFARRVECNKCGAPSPAGAGGDRGSGSTSGGYSRGGGGGGYGGNRGGRDGGRGGYDGARNNNYDGGRGGNNDNRSSGSRGGSYGSSQGGEDSGYGQVPPPAPNSYGGNYPPAPNTYGGNANYGMDSVPPPTSYTGGPTSYPPSYGGPVGGYAGEGLSDVRTGGRGGPPGGYGSGGPRNQGGGYGGPPVEAPAKIKQCDGNCGDSCDNSRIYITNLPPDVTIEELRDLFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDKGNQKGDAVLSYEDPQAAHSAGGFFNNHVMRGYTIGVAMAEKTALKVHDHGGGKGGYGGGGDRRRDSYRDGGSGPDRHHHGGNRSRPY >KJB19581 pep chromosome:Graimondii2_0_v6:3:33453463:33459101:-1 gene:B456_003G109400 transcript:KJB19581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAIPIEYTGQKESGKCSFSRLMGKSRKHSKGRNSSSFSHDYKHAVETMGESEGFGSSRRIFTEMTVSEDSCARKRKCISLNADGYDNFGVPMQVLSLSKMSRRERKDLGLRLKMELEQVRVLQKKVGSFGTSVLLSPSTDNRSCSDGKKRPPLESVHQSVEELSLQGKKRPFGGRNGAFTKKNPSGCSESLKPAVAVSNSNAHLMKECEALLNRLMQHNFGWVFNSPVDVVKLNIPDYFTVIKHPMDLGTVKKRITSGHYSNPLDFAADVRLTFSNAMTYNPPGNDVNFMAKTLSKYFEVRWKAIEKKLPVTKNVDAVPSTAAAPIEGETNSNVLPLKKKIINPKDAMVKPEPIRQIMTDQEKHNLSTELESLLVELPENIVDFLKEQSSCDGQMGEDEIEIDIDALSHETLYKLRKLLDDYLLEKQKNQAKAESCEMELLNESGFSNSSMQPCKGNDQVDEVVDIVGSSYPPVAIEKGLTRRNSRCSSSSGSSGESGSSSSDSDSAKASVPFSSTKENFESGTNMESKNGSVAVPDAGNQSLNELGQVELNSHDKSSAIEVECHQEEESAPSERQVSPEKLYRAALLRNRFADTILKAREKALEKGEKGDPEKLRMEREELERRQREEKARLQAEAKAAEEARRKAEAEAAAEAKRKRELEREAARQALLQMEKTVDINENSQFIQDLEMRFADGEDCRNNPLEQLGLYMKEDDEDEEDEPPQSAPEPANDIDEGNLWGRSNPLEQLGLYIKVEDDKDEEAEAAPQTAQEPVNDVEDGEID >KJB19582 pep chromosome:Graimondii2_0_v6:3:33453538:33459054:-1 gene:B456_003G109400 transcript:KJB19582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAIPIEYTGQKESGKCSFSRLMGKSRKHSKGRNSSSFSHDYKHAVETMGESEGFGSSRRIFTEMTVSEDSCARKRKCISLNADGYDNFGVPMQVLSLSKMSRRERKDLGLRLKMELEQVRVLQKKVGSFGTSVLLSPSTDNRSCSDGKKRPPLESVHQSVEELSLQGKKRPFGGRNGAFTKKNPSGCSESLKPAVAVSNSNAHLMKECEALLNRLMQHNFGWVFNSPVDVVKLNIPDYFTVIKHPMDLGTVKKRITSGHYSNPLDFAADVRLTFSNAMTYNPPGNDVNFMAKTLSKYFEVRWKAIEKKLPVTKNVDAVPSTAAAPIEGETNSNVLPLKKKIINPKDAMVKPEPIRQIMTDQEKHNLSTELESLLVELPENIVDFLKEQSSCDGQMGEDEIEIDIDALSHETLYKLRKLLDDYLLEKQKNQAKAESCEMELLNESGFSNSSMQPCKGNDQVDEVVDIVGSSYPPVAIEKGLTRRNSRCSSSSGSSGESGSSSSDSDSAKASVPFSSTKENFESGTNMESKNGSVAVPDAGNQSLNELGQVELNSHDKSSAIEVECHQEEESAPSERQVSPEKLYRAALLRNRFADTILKAREKALEKVTQGEKGDPEKLRMEREELERRQREEKARLQAEAKAAEEARRKAEAEAAAEAKRKRELEREAARQALLQMEKTVDINENSQFIQDLEMRFADGEDCRNNPLEQLGLYMKEDDEDEEDEPPQSAPEPANDIDEGNLWGRSNPLEQLGLYIKVEDDKDEEAEAAPQTAQEPVNDVEDGEID >KJB20681 pep chromosome:Graimondii2_0_v6:3:42841743:42844724:1 gene:B456_003G159000 transcript:KJB20681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESITTTSLLGHSPLHGRVSVRDISGKQKPISYRLRVTEFTGRRIVVSPLLPRLKADRLVNLGVEARAIELTKEVHAYREEERSPKNWKDEIEAGIVQKPDLWPPENRADKPSLRNPRLRHERMGCGWIAAIFEWEGVIIKDNPELEKQAWLTLAEEEGRSPIPAFMLRRIEGMKNEQAISEILCWSRDPASVKRMAARKEEIYQSLQGGVYRFCNGSSEFVDILMHYNIPMALVSTRPRKTLEAAMRTIGIEECFSAIVAAEDVCRGKPDPEMFLYAAQLLEFIAERCIVFGNSNQTVEAAHEARMKCVAVANKHLVYELRAADLVVRRLDELSIVDLKNLADIESPEFGSGDPILEMEIDEEDDEPPASTAIDDGIFCISGNKNI >KJB20682 pep chromosome:Graimondii2_0_v6:3:42841749:42843837:1 gene:B456_003G159000 transcript:KJB20682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESITTTSLLGHSPLHGRVSVRDISGKQKPISYRLRVTEFTGRRIVVSPLLPRLKADRLVNLGVEARAIELTKEVHAYREEERSPKNWKDEIEAGIVQKPDLWPPENRADKPSLRNPRLRHERMGCGWIAAIFEWEGVIIKDNPELEKQAWLTLAEEEGRSPIPAFMLRRIEGMKNEQAISEILCWSRDPASVKRMAARKEEIYQSLQGGVYRFCNGSSEFVDILMHYNIPMALVSTRPRKTLEAAMRTIGIEECFSAIVAAEDVCRGKPDPEMFLYAAQLLEFIAERCIVFGNSNQTVEAAHEARMKCVAVANKHLVYELRAADLVVRRLDELSIVDLKNLADIESPEFGSGDPILEMEIDEEDDEPPASTAIDDGIFW >KJB18961 pep chromosome:Graimondii2_0_v6:3:18924148:18926506:1 gene:B456_003G077600 transcript:KJB18961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSNLLNRDDEFTQLGSSAFGNHIVSLTSTTYGLLTLDPPSHSTTTPPSPQPSFTSGSTFSESKSLWSEPRPVPTRPEVINSWELMSGLDADSFRFSPIPNKENSNPNVGKNGSVSKPPVSRSSLLDKFQADSFRFPITVLPEKENADPNLSKNGIVAKTPFSPLHKFDSGSDAVSKPSPLDKFENICPPNGENRVVIYTTTLRGIRKTFEDCNAVRSTIESYGVVICERDISMDRGFKEELRELMKGANQTTPPQVFIKGRYIGGAEQVMKIVDECWFGDLINGLPKKKAGEVCDGCGDVKFLPCFRCNGSCKMAAAAEEGRRTVVVRCTDCNENGDELGGAAIGIGLT >KJB18960 pep chromosome:Graimondii2_0_v6:3:18924148:18925594:1 gene:B456_003G077600 transcript:KJB18960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSNLLNRDDEFTQLGSSAFGNHIVSLTSTTYGLLTLDPPSHSTTTPPSPQPSFTSGSTFSESKSLWSEPRPVPTRPEVINSWELMSGLDADSFRFSPIPNKENSNPNVGKNGSVSKPPVSRSSLLDKFQADSFRFPITVLPEKENADPNLSKNGIVAKTPFSPLHKFDSGSDAVSKPSPLDKFENICPPNGENRVVIYTTTLRGIRKTFEDCNAVRSTIESYGVVICERDISMDRGFKEELRELMKGANQTTPPQVFIKGRYIGGAEQVMKIVDECWFGDLINGLPKKKAGEVCDGCGDVKFLPCFRCNGSCKMAAAAEEGRRTVVVRCTDCNENGLVLCPLCS >KJB18585 pep chromosome:Graimondii2_0_v6:3:11168799:11173611:-1 gene:B456_003G061700 transcript:KJB18585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRSFPPQSFPPPPPPNQNPLPPQQHYDQNYNQMNLKSNYHYPSSRPPQQQQQPPPPPPQQQYSYPPPPPPPPDSSYPPPPPPPLPTVPQNTFNSQPPIYYPPSQYSSQYGNQAMQPPPPPPPPSSPGSSIPPPPPPSSPPPPPPPKESAGDKRLSERSKVGNRDFSGSGRREHGHSNHRIAGREHKPMVPPVKNPNVHTGRVETEEERRLRKKRDFEKQRQEEKHRQQKKESQKAQLMSSSKAHGSMIGSRMGDRRGTPFLSGERIENRLKKPTTFLCKLKFRNELPDPCAQPKLMALKKDKDRFTKYTITSLEKMYKPKLIVEPDLGIPLDLLDLSVYNPPSVRPPLAPEDEELLRDDVAITPIKKDGIRRKERPTDKGVSWLVKTQYISPLSMESTKQSLTEKQAKELRELKGGRNLLENLNNRERQIKEIEASFEASKLRPVHATNKNLEPVEVMPLLPDFDRHNDQFVMVAFDGAPTADSEIFSKLHDSVRDEHESRAIMKSYVAPSSDPANPEKFLAYMVPSLDELSKDMYDELEDISYSWVREYHWDVRGDGANDPTTYLVSFDEGDARYVPLPTKLNLRKKRAREGRSGDEIEHFPIPSRITVRRRSTAAAIELKEPEQKNEVSGWRSLQRKYGEI >KJB18584 pep chromosome:Graimondii2_0_v6:3:11168730:11174364:-1 gene:B456_003G061700 transcript:KJB18584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRSFPPQSFPPPPPPNQNPLPPQQHYDQNYNQMNLKSNYHYPSSRPPQQQQQPPPPPPQQQYSYPPPPPPPPDSSYPPPPPPPLPTVPQNTFNSQPPIYYPPSQYSSQYGNQAMQPPPPPPPPSSPGSSIPPPPPPSSPPPPPPPKESAGDKRLSERSKVGNRDFSGSGRREHGHSNHRIAGREHKPMVPPVKNPNVHTGRVETEEERRLRKKRDFEKQRQEEKHRQQKKESQKAQLMSSSKAHGSMIGSRMGDRRGTPFLSGERIENRLKKPTTFLCKLKFRNELPDPCAQPKLMALKKDKDRFTKYTITSLEKMYKPKLIVEPDLGIPLDLLDLSVYNPPSVRPPLAPEDEELLRDDVAITPIKKDGIRRKERPTDKGVSWLVKTQYISPLSMESTKQSLTEKQAKELRELKGGRNLLENLNNRERQIKEIEASFEASKLRPVHATNKNLEPVEVMPLLPDFDRHNDQFVMVAFDGAPTADSEIFSKLHDSVRDEHESRAIMKSYVAPSSDPANPEKFLAYMVPSLDELSKDMYDELEDISYSWVREYHWDVRGDGANDPTTYLVSFDEGDARYVPLPTKLNLRKKRAREGRSGDEIEHFPIPSRITVRRRSTAAAIELKEPEVYSNTRDRNSRRLDAEDGVGRPRKLARHQDVGQYSGDEDDFSD >KJB19210 pep chromosome:Graimondii2_0_v6:3:24645208:24646177:-1 gene:B456_003G088700 transcript:KJB19210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGNTQIALILASLIISNIVVLVVSQGQLRVGFYSKTCPNAESIIRKVVQKAVADNPRNAAILLRLHFHDCFVQRKARLENLCPGIVSCADIVSLAARDAVNGPFYDVPTGRRDGRVSKMSLAANLPDVDDSINVLKSKFKEKGLSDKDLVLLSGGAEIHVKFTYIGATACFFMQKRLYNLTPGEGSDPALNPGFLPQLKDKCPFNGDVYVRIPLDS >KJB18075 pep chromosome:Graimondii2_0_v6:3:3197750:3201389:-1 gene:B456_003G033300 transcript:KJB18075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTVSFIIIIITTVLLPSLSSQLSDLFPSNNPPPFLGKHSHPIKQPNHHQQYRYETRYFSQPLDHFSFLDLPRFRQRYLINTEHWVGPSRSGPIFLYCGNEGDIEWFAVNTGFVWDIAPRFGAMILFPEHRYYGDSMPFGSKEEAYRNATTLSYLTAEQALADFAVLITDLKKNLSAEGCPVVLFGGSYGGMLAAWMRLKYPHVAVGALASSAPILQFEDIVPPETFYNIVSNSFKRESSSCFDTIKNSWNALRSEGQKEDGLKQLSKTFHLCRELTSVQGLSDWLDSAYSYLAMVNYPYPSNFLMPLPGHPIREVCKRIDGSPAGSSILERIFNGVSVYYNYTGEVDCFQLDDDPHGMDGWNWQACTEMVMPMSSDQNTSMFPAYDWDYSAFREGCQRDFQVTPRPRWITTEFGGHDIEHVLKSFGSNIIFSNGLLDPWSGGSVLKNISETIIALITKEGAHHIDLRASLTEDPDWLVEQRATEIKLIQGWIYNYSKKMKATFEI >KJB18076 pep chromosome:Graimondii2_0_v6:3:3197420:3201578:-1 gene:B456_003G033300 transcript:KJB18076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NIIQTRTRIESDNPTSVLLRLPSESELLNYHTKQLTGRDDPPKMASSTVSFIIIIITTVLLPSLSSQLSDLFPSNNPPPFLGKHSHPIKQPNHHQQYRYETRYFSQPLDHFSFLDLPRFRQRYLINTEHWVGPSRSGPIFLYCGNEGDIEWFAVNTGFVWDIAPRFGAMILFPEHRYYGDSMPFGSKEEAYRNATTLSYLTAEQALADFAVLITDLKKNLSAEGCPVVLFGGSYGGMLAAWMRLKYPHVAVGALASSAPILQFEDIVPPETFYNIVSNSFKRESSSCFDTIKNSWNALRSEGQKEDGLKQLSKTFHLCRELTSVQGLSDWLDSAYSYLAMVNYPYPSNFLMPLPGHPIREVCKRIDGSPAGSSILERIFNGVSVYYNYTGEVDCFQLDDDPHGMDGWNWQACTEMVMPMSSDQNTSMFPAYDWDYSAFREGCQRDFQVTPRPRWITTEFGGHDIEHVLKSFGSNIIFSNGLLDPWSGGSVLKNISETIIALITKEEDPDWLVEQRATEIKLIQGWIYNYSKKMKATFEI >KJB20841 pep chromosome:Graimondii2_0_v6:3:43845328:43846106:1 gene:B456_003G167900 transcript:KJB20841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNNMTWSPSHPILVSSKRRHRSKQSKVQIYRLSRKRCEEEDVKKDMELMNLKLYLENRSIIEENEKLRERANLLHQENLALMSELQNKVPHLDRFSTTLLVLLQKH >KJB17545 pep chromosome:Graimondii2_0_v6:3:273341:280230:-1 gene:B456_003G005100 transcript:KJB17545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEEDTESCGSRAVDSLVHVNPRHHRQKLDVYNEVLKRIQESNYEEANLPGFDDQLWLHFNRLPARYALDVNVERAEDVLTHKRLLHLAEDPANLPVFDVRIVQVYPVSASNSVDSVHSDSSVKADAESAYHLNRQGIHPPPTFGSSPNLEAFYLQASEDRDSAVNSTSGLRPMHEITFSTVDKPKLLSQLTSLLAELGLNIQEAHAFSTVDGYSLDVFVVDGWPFEETKELKDALEKEILKSQEQSCVRKSSISAMTGLVKEEAVSLPNCVEIPTDGTDVWEIDSRQLKIEHKIASGSYGDLYRGTYYSQEVAIKVLKPERVTGELLREFSQEVFIMRKIRHKNVVQFIGACTRAPNPCIVTEFMARGSLYDYLHQQRGVFKLPSLLKVALDVSKGINYLHQNNIIHRDLKTANLLMDENQVVKVADFGVARVQAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIALWELLTGEIPYSLLTPLQAAVGVVQKSLRPTIPKNTHPRLGELLERCWQQDPTQRPNFSEIIEILQQIVKEVADKGEDRHMSKSSGGFFSSMLKGHH >KJB17933 pep chromosome:Graimondii2_0_v6:3:2241048:2241731:-1 gene:B456_003G025300 transcript:KJB17933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDMIKSSSTEDDHHMDMMTMMMQMEKLPEFCEPPPESSTTVLPIYPNPIHDPFMALPFPVQESMAAPPPPYQPNKFKYPSYPDKKNSMAAMREMIFRIAAMQPIHIDPESIKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQSLEQAAVNRPMGIGFPSGATMANVGYSSLMKACQPPSHHHQGVGNMQMLR >KJB18031 pep chromosome:Graimondii2_0_v6:3:2805307:2806143:1 gene:B456_003G030600 transcript:KJB18031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLIGQHRPSHEDQIHFHHQQATGSCDIAFKKKSISTSLPPSEPTTTSPKSATPFPNSLSFDDKVKIGSKSTTMSTATSPLCSLNITFLVFRSSVDRESSPPSSIRKFAI >KJB19052 pep chromosome:Graimondii2_0_v6:3:20299354:20300600:-1 gene:B456_003G082400 transcript:KJB19052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASGIQTWKYDQEKIREVVSHMIMVHELPFAFTEYGLFTLLMKTTSPHYVIISRAIAKADCWTSYEVEKKMLNGLLKTVDRISITTNMWKSGQKIQYIVLTTHFVDSDWNLQKRVLNFVGVPPLHSGVVVYDALYKCLQDWAIEGKMCSISMDNASYNDVSVRILKDSLSFHKRLPLNGKLFHVRCCVNILNLLVHDGLSEIEDVIDNVRESVNHITTCIVRLTMFGWNATYAMLSCVLEFKDVFMRYVQQDASYKYLPCDEDWVRVEEVCSFLALFNEVTNIISSKNFEYIYIKGLLMEKYLSVELWIRQMADKIQIKFDKYWGECNLLISIGAILDPKNKMKLIDFQFSCYLF >KJB19448 pep chromosome:Graimondii2_0_v6:3:31375928:31381324:-1 gene:B456_003G102800 transcript:KJB19448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSYVSGENGSESDAANSASDSFVRNRNAFMNLEFKGPYSFSNNMIVSGQQTIESHGFGELGYGELIGKQLTNGSIGDVLSSKVSEARTENPILATLNTFSVDDESSSMLSSSVVDSNSRDSLLIDLKLGRFPDYIDGQSSSLSIGAPILSSCESSTPPKRVRAAGANSHTAYCQVYGCNKDLTSSKDYHKRHKVCEAHSKTEKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGIRYGRTGRLLQPYNGFAGGRFQGTMLTNTSFICQNVRTSGLLHPEKYVTNEWGKRIKVEDGTDFSPLSAIPVKNGGHFEKHFPYFHNGPSAVTGGILGENNARYPHGFDGTYSGSRPLFQGTSSSASEDINVFDAALSIQGLSGISDSVCALSLLSSQSHSSSSHSSGIPMARSLVIPGYHNHHMTQVSEKLDRVLNTISSSGINSTEGSNMGAILISDSSEAANFNITDGLSCKDGPTINLLQLSSQLQRVEHQRQSMQEDNENETCLRII >KJB19447 pep chromosome:Graimondii2_0_v6:3:31375943:31380985:-1 gene:B456_003G102800 transcript:KJB19447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSYVSGENGSESDAANSASDSFVRNRNAFMNLEFKGPYSFSNNMIVSGQQTIESHGFGELGYGELIGKQLTNGSIGDVLSSKVSEARTENPILATLNTFSVDDESSSMLSSSVVDSNSRDSLLIDLKLGRFPDYIDGQSSSLSIGAPILSSCESSTPPKRVRAAGANSHTAYCQVYGCNKDLTSSKDYHKRHKVCEAHSKTEKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGIRYGRTGRLLQPYNGFAGGRFQGTMLTNTSFICQNVRTSGLLHPEKYVTNEWGKRIKVEDGTDFSPLSAIPVKNGGHFEKHFPYFHNGPSAVTGGILGENNARYPHGFDGTYSGSRPLFQGTSSSASEDINVFDAALSIQGLSGISDSVCALSLLSSQSHSSSSHSSGIPMARSLVIPGYHNHHMTQVSEKLDRVLNTISSSGINSTEGSNMGAILISDSSEAANFNITDGLSCKDGPTINLLQLSSQLQRVEHQRQSMQEDNENETCLRII >KJB20440 pep chromosome:Graimondii2_0_v6:3:41580321:41581107:1 gene:B456_003G1483002 transcript:KJB20440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KINNLNLEEEIEQKLNEILLETTSSENDTSIETDELQIDELHTTSQSSGDENEPSINMQNKDQEFMIEVIDKIQDLELKREYLLKLKSSLKDKPEKEKEIISSQSQIQITNSELQLEIKQIKSELSQLKIEQQEMKEQIRSLKHETSEKSSSETEHKPEENTQEYMMVLTEVSIQRYLIKINIVINNEFQLETNALFDTGADQNCIREGIIPTKYYNKTSESLKAANGKKLKITYKIPNAEISNK >KJB21195 pep chromosome:Graimondii2_0_v6:3:45739175:45742291:1 gene:B456_003G187100 transcript:KJB21195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKLIKEVGDGTFGTVWRAINRLSGEVVAIKKMKKKYYSWEECVNLREVKSLRRMNHPNIVKLKEVIRENDILYFVFEYMECNLYQLMKDREKLFSEVDIRNWCFQVFQGLAYMHQRGYFHRDLKPENLLVTKDIIKIADFGLAREISSRPPYTEYVSTRWYRAPEVLLQSYLYTSKVDMWAMGAIMAELFTLHPLFPGTSEADEIYKICSVVGTPTEDSWPDGLNLARTINYQFPQSLCSLDPCRRPTAAEALQHPFFQSCLYVPPSLRPRAAVSRTPPPVGVRQKLEQQSARRFSGVLPNAGLTGNLSLKSNASMGTITGVQRKLEMVNQSDHKSLKNTVKQTRYRPPGRKSPMSIGKHRTTVGASDVVEKLANVTIVNRRQRPAAMKAGVQWTAQSKNDMFLRPTQQIQSGGSFTRKVVG >KJB17945 pep chromosome:Graimondii2_0_v6:3:2975397:2975996:1 gene:B456_003G032000 transcript:KJB17945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTKIFRPRSCTNWCYCKGDNSAIKPGPVRIATSQGSCVLVPTSCRKVDGVVAWLMNGVAAAFFMSLERCSCIHIDTKDDDDDGDDIEIELLGPDFTKDEQLYNSSRQEDEQEFEERLLG >KJB19590 pep chromosome:Graimondii2_0_v6:3:33889071:33893740:1 gene:B456_003G110200 transcript:KJB19590 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit 5-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G57980) UniProtKB/Swiss-Prot;Acc:Q9FJL8] MSVSEEQNTKLFKARRTVVQMLRDRGYSVPDSDIKMTRQQFIEKYGENVHLKRDDLLILCSKGDAPTDQIYVFFPAEVKVGVPMVRNCAKRMKADNVYNAILVVQRALTAPAKAAINEINSYFHMEVFEEAELLTNITEHMFVPKHTVLTNQEKKELLAKYRVKETQLPRILVSDPVAKYYGMKRGQVVKITRQSVTADTYDTYRYAV >KJB19589 pep chromosome:Graimondii2_0_v6:3:33889051:33893832:1 gene:B456_003G110200 transcript:KJB19589 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit 5-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G57980) UniProtKB/Swiss-Prot;Acc:Q9FJL8] MSVSEEQNTKLFKARRTVVQMLRDRGYSVPDSDIKMTRQQFIEKYGENVHLKRDDLLILCSKGDAPTDQIYVFFPAEVKVGVPMVRNCAKRMKADNVYNAILVVQRALTAPAKAAINEINSYFHMEVFEEAELLTNITEHMFVPKHTVLTNQEKKELLAKYRVKETQLPRILVSDPVAKYYGMKRGQVVKITRQSVTADTYDTYRYAV >KJB19445 pep chromosome:Graimondii2_0_v6:3:31324387:31327019:-1 gene:B456_003G102500 transcript:KJB19445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSICGLVVLFFVMLPHAAAYDPLDPTGNITIKWDVVSWTADGYVASVTMSNFQMYRSIVSPGWTLGWQWAKKEVIWSMVGAQTTEQGDCSKFKGNVPHCCLRKPVVVDLLPGVPYNQQFSNCCKAGVVSAWGQDPSSSVSAFQVSVGVAGTSNKTVKLPMNFTLLGPGLGYTCGPAKVVPSTVYLTADHRRKTQALMTWNVTCTYSQFLAAKNPKCCVSFSSFYNQSITPCPKCACGCQNKNNCVVSDSKQAHKKGINTPRKDNVPLLQCTHHMCPIRVHWHVKLNYKDYWRVKIAVTNFNYRMNYTQWTLVAQHPNLNAITQVFSFDYKPLVPYEAISEFLRNHSSL >KJB19444 pep chromosome:Graimondii2_0_v6:3:31323567:31327204:-1 gene:B456_003G102500 transcript:KJB19444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYEQPVGKPFSKQYSSANIYFSLSFFSSSINANTFLSPLCSCQELGTSLNILKQEMKSICGLVVLFFVMLPHAAAYDPLDPTGNITIKWDVVSWTADGYVASVTMSNFQMYRSIVSPGWTLGWQWAKKEVIWSMVGAQTTEQGDCSKFKGNVPHCCLRKPVVVDLLPGVPYNQQFSNCCKAGVVSAWGQDPSSSVSAFQVSVGVAGTSNKTVKLPMNFTLLGPGLGYTCGPAKVVPSTVYLTADHRRKTQALMTWNVTCTYSQFLAAKNPKCCVSFSSFYNQSITPCPKCACGCQNKNNCVVSDSKQAHKKGINTPRKDNVPLLQCTHHMCPIRVHWHVKLNYKDYWRVKIAVTNFNYRMNYTQWTLVAQHPNLNAITQVFSFDYKPLVPYEAINDTGMFYGMKFYNDLLMEAGPQGNVQSEVLLRKNKDTFTFKHGWAFPRKVYFNGDECLLPPPDAYPFLPNSAKVNSITISTMVVSALLFLFIIS >KJB18718 pep chromosome:Graimondii2_0_v6:3:13578967:13582516:-1 gene:B456_003G066800 transcript:KJB18718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGLNRQGLPGDRKGDGSNKKEKKFEPAAPPARVGRKQRKQKGPERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >KJB18717 pep chromosome:Graimondii2_0_v6:3:13578777:13582591:-1 gene:B456_003G066800 transcript:KJB18717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGLNRQGLPGDRKGDGSNKKEKKFEPAAPPARVGRKQRKQKGPERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >KJB18716 pep chromosome:Graimondii2_0_v6:3:13578753:13582661:-1 gene:B456_003G066800 transcript:KJB18716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGLNRQGLPGDRKGDGSNKKEKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPLSKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >KJB18027 pep chromosome:Graimondii2_0_v6:3:2784644:2786734:1 gene:B456_003G030300 transcript:KJB18027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVSAMEKVPVDDNDQPLEEIKIISHNLCDSTHGTRGRGRGREGKRLLSSPKLINLYFFQFQDKVGP >KJB18199 pep chromosome:Graimondii2_0_v6:3:4160834:4162907:1 gene:B456_003G038700 transcript:KJB18199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLYFSFRKVLLLYLAFFMLISLLVCTSIVDSHELRTTRFGGGGRRLLELEEEDEQLVLKKKSSNFSNKKNQTKLVKPSNVSTKNQTKLVKSVNVSTKNQTKLVKSLDVSTKTSNLSAKNQTKVLKPTNSTKTAKPTVSSPIPKKLNSTSKVKKLNSTTPKASDLLKLSSPKNKPTTKTPVQSSKPEKKPTTTQIQKQQKKQPSWVDDDDESDLVSEFRDLPAKFQQTLIPDLEKISTTSKVYINKYNKEFTKQFKPYVGNKHAPTIATVISCAFLLIPLLLVSLIFNRIKAYFSLQKILIFIQVYLSIYFSILCLTSLVTGLEPLKFFYATSPSTYVCLQVLQTLGYVLYLLLLLMYLILVFSTESGLGSKMLGLGQIFVGFAVGLHYYVAVFHRVVLHQPPKTNWKVHGMYATFFLVICLFAGVDRRKKAYLEEGGEEGKKN >KJB19742 pep chromosome:Graimondii2_0_v6:3:35625901:35628869:1 gene:B456_003G117300 transcript:KJB19742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYPIFFPIFGFQSSFLIFVPLPQSQSVDVFPKKALESLRDIKDIGAVLLELSKEFNIDRFLAIQLEALVDQSSYDDSYHLALISVIDSVPLRNLVDPIVSKILLTCMKLSERDGKLVSSESITWAKNVLATINKNYPSQFHGAAHEFLEDAKVQSKKEDTVCEFLSKILDGNLNLSIAFSESKIWFASHHPKRYISA >KJB17260 pep chromosome:Graimondii2_0_v6:3:19159349:19159712:-1 gene:B456_003G0783002 transcript:KJB17260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISLTEEQWSTLRKNIPNIEKAVRKMESHTM >KJB20856 pep chromosome:Graimondii2_0_v6:3:43989611:43991685:-1 gene:B456_003G169200 transcript:KJB20856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLKSPPSSFLSYSLPKSRLGFANGSSSSTLLFKFNRSFPVLRASSGSSSSVDTGLSTELDAVSTFSEIVPDTVIFDDFEKFPPTAATVSSSLLLGILSLPDTIFRNAVDMALADSTCSPLDNPELRLSCFVNKVRIRILGWNSNWIVNKL >KJB20742 pep chromosome:Graimondii2_0_v6:3:43101891:43104220:-1 gene:B456_003G162300 transcript:KJB20742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLWIGALVLIAYITHWVYYWRNPRCNGKLPPGSMGFPLLGETLQFFSPNTTNDIPPFVKERMKRYGPVFKTSIVGRPVIVSADPDLNHFVFLQEGQLFQSWYPDTFTEIFGRQNVGSLHGFMYKYLKNMVLNLFGPENLKKMLPEVERTACRRLQRWSNEETVELKEATASMIFDLTAKKLISYDQDNATQNLRENFVAFIQGLISFPLDIPGTAYHKCLQGRKNAMKMLKNLLNERRAMQGNSRNDFFYFVLEELQKEGTILTEAIALDLMFVLLFASFETTSLALTLAIKFLSDDPSVLKKLREEHEAILRNREDTDSGLTWKEYKSMTYTFQFINETVRLANIVPGIFRKALREIQFKGYTIPAGWAVMVCPPAVHLNPAKYQDPLTFNPSRWEVVEINGATKSFMAFGGGLRFCVGTDFTKVQMAVFLHCLVTKYRWEPIKGGNVLRSPGLQFPDGFHIRLMEKTRME >KJB20741 pep chromosome:Graimondii2_0_v6:3:43101605:43104220:-1 gene:B456_003G162300 transcript:KJB20741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLWIGALVLIAYITHWVYYWRNPRCNGKLPPGSMGFPLLGETLQFFSPNTTNDIPPFVKERMKRYGPVFKTSIVGRPVIVSADPDLNHFVFLQEGQLFQSWYPDTFTEIFGRQNVGSLHGFMYKYLKNMVLNLFGPENLKKMLPEVERTACRRLQRWSNEETVELKEATASMIFDLTAKKLISYDQDNATQNLRENFVAFIQGLISFPLDIPGTAYHKCLQGRKNAMKMLKNLLNERRAMQGNSRNDFFYFVLEELQKEGTILTEAIALDLMFVLLFASFETTSLALTLAIKFLSDDPSVLKKLREEHEAILRNREDTDSGLTWKEYKSMTYTFQFINETVRLANIVPGIFRKALREIQFKGYTIPAGWAVMVCPPAVHLNPAKYQDPLTFNPSRWEGVEINGATKSFMAFGGGLRFCVGTDFTKVQMAVFLHCLVTKYRWEPIKGGNVLRSPGLQFPDGFHIRLMEKTRME >KJB17867 pep chromosome:Graimondii2_0_v6:3:1520505:1522280:-1 gene:B456_003G020400 transcript:KJB17867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKPKRSPSFFKVLIGDFDNKLRIPPAFVKYVLKGNVPTMFTLYSDSGNSWRVRVMVEQGSYFFNSGWSKFVKHHDLEIGDFLVFFLVDTSTFDVLIYNGTACAKNIILAAKKRKCLPPLANRQIEETPSQKCASVSKKPRAVYRARSVSQEVESITEVTRKHVSFVMVVKKYHKYFACVPRCFAKETGLLKESITLIKGPRGGMWPMNTTECGKQVRLGGGWSRFLHENEIVVGDTLLFEHIPSKGNLVHVQIVNKDRYRNRGRRNKQADASVKNTSPAAKRPRVRPGKQIEEPPSTKHAPSSKRTKGVSIGNDEIVSELTPKKASFVMVLKEYQKYSAVVPTSFAKEMGLAEKPSTIIKNSKGRKWLLNTIVDAKSQVRLGAGWSQFVQENKLELGDTLLFQHIPNTGNVINLTIICKVGDGNNRKRNK >KJB18571 pep chromosome:Graimondii2_0_v6:3:10796852:10799714:-1 gene:B456_003G061100 transcript:KJB18571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QYFVDVDDIVAEKIVVSKESLRGTHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTMIREIVCGLYHMYGVTGVLGIERLIGRIQRFLCSKHNPLTPKVVNDIHKRGGTIFGTLRGGHDTSKIVDSIQDRGINQVLIFEEIRRRGLKVAVVGIPKIIDNDIPVIDKSFGFDTAVEEAQRAISAAHVESESTENGIGVVKLMGRHSGFIAMYATLASRDVDCSLIPESPFYLEGPGGLFEYIEKRLNENGHMVIVVTEGAGQELLSGNTCTMDNIDASGNKQLQDVGFWISQKIKDHFSKKQKLTINLKYIDPTYMICAVPSNASDNVYCTLLAHSAIHGAMAGYTGFTVGPVNGKHSCIPFQRITERQNKVVITDRMWARCLSSTNQPSFFSPKQLFEKIGDEKHGDNHVEDLAIK >KJB17356 pep chromosome:Graimondii2_0_v6:3:26097886:26098723:1 gene:B456_003G089900 transcript:KJB17356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSLQPKFSLHPNNLILHFNPQNKPQIIFFTRKVKIKRKTKCSFIDPQQQQQKQRESFTRKKKSVTETEKGVNPVGFLTKLGITHKAFISRYKHINKQTQLGFWRFESFD >KJB18505 pep chromosome:Graimondii2_0_v6:3:9265221:9266332:-1 gene:B456_003G056600 transcript:KJB18505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHENKCEIARSGLKFYSSESDSPVEKKPDPVIESASVAEAHVKDVALPVEDVSNKELKTRIKKYFEGDEEALPSALEAILRRKLAGKHEETDDELMDELDVQPRDDVDDEEFESDFDNFYSTDEDILAVLAMFLMK >KJB20934 pep chromosome:Graimondii2_0_v6:3:44432530:44435348:-1 gene:B456_003G1731002 transcript:KJB20934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CLPNLKILNLNHSHSLLKTPSFSGLPSLEKLMLKDCINLVEVDQSIGELKMLTFLNLKDCTSLRKLPRTIGSLISLEELILSGCSRLDDVPRELHNMESLKVLNLDETAIYQSRLGLQWLLLKRSKGLGFSWASLPGSLVKLSLESCKLSNDVMPNDLCNLASLKSLNLSRNPIRYLPESLKYLTELDQLLLTSCTELQMIPKLPVEDLFKVEPIENFGAEEIRRLFNVDSINRNRLQLYSYLTDSIMLATPQVLQECGITSTFVVGSEVPIGFKHRTNEHRISFFLPTPSHPDEKIHRFSLCIVFSLASDQMLELLPGVHIFNETKRIMQRYRSSFIGIPQTNDNTMLWLIHWPVTDCQFEGGDLVSCMVVPMHLSIRKFGVTCESEHNIGYEYDFSHLSTGDEVSTRNIKIDLTKFLLSLESYGNVKVQLCSYIEESKVVASPQVLYDYGIITTFDPLPFDYHGHYFGHQAGKTEVSISVPPNSSRKISCFLNSIIIFSAKNDKTYGFLPCLEIVNETKGTKWTYSKHFMGIPETKNTLYWTTCWNFRGDELEAGDHVSLRVLSDLSVLEIGIDLVYDYELDDNPNFFNQLPRMSKCFKYLLGIFVYISSKSEKDLYRLQSLVKC >KJB20935 pep chromosome:Graimondii2_0_v6:3:44432530:44435348:-1 gene:B456_003G1731002 transcript:KJB20935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CLPNLKILNLNHSHSLLKTPSFSGLPSLEKLMLKDCINLVEVDQSIGELKMLTFLNLKDCTSLRKLPRTIGSLISLEELILSGCSRLDDVPRELHNMESLKVLNLDETAIYQSRLGLQWLLLKRSKGLGFSWASLPGSLVKLSLESCKLSNDVMPNDLCNLASLKSLNLSRNPIRYLPESLKYLTELDQLLLTSCTELQMIPKLPVEDLFKVEPIENFGAEEIRRLFNVDSINRNRLQLYSYLTDSIMLATPQVLQECGITSTFVVGSEVPIGFKHRTNEHRISFFLPTPSHPDEKIHRFSLCIVFSLASDQMLELLPGVHIFNETKRIMQRYRSSFIGIPQTNDNTMLWLIHWPVTDCQFEGGDLVSCMVVPMHLSIRKFGVTCESEHNIGYEYDFSHLSTGDEVSTRNIKIDLTKFLLSLESYGNVKVQLCSYIEESKVVLYDYGIITTFDPLPFDYHGHYFGHQAGKTEVSISVPPNSSRKISCFLNSIIIFSAKNDKTYGFLPCLEIVNETKGTKWTYSKHFMGIPETKNTLYWTTCWNFRGDELEAGDHVSLRVLSDLSVLEIGIDLVYDYELDDNPNFFNQLPRMSKCFKYLLGIFVYISSKSEKDLYRLQSLVKC >KJB21180 pep chromosome:Graimondii2_0_v6:3:45704717:45706963:1 gene:B456_003G186600 transcript:KJB21180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCPVYTTQSLNSTCSVSTPSKTHFSSHHNHLVFYRTSKRTSKRGGSSSCVITCSAGDSQTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDMTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKALKSGIAVDKPIYNHVSGLLDPPELIKPPKILVIEGLHPMFDERVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVRLIMKEGVEHFSPVYLFDEGSTISWIPCGRKLTCSYPGIKFSYGPDTYFGHEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQITASKTAAPLQATKA >KJB18837 pep chromosome:Graimondii2_0_v6:3:16805985:16811246:1 gene:B456_003G071800 transcript:KJB18837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSQKLTALKKAYAEIILNTAKEAAARIMVSERKALRYQQELFAAKDEALRMLLRLKQMLDAKVNDAEMMSLNQQKRIEELEAQLGEAEDIVRDLRAELREAQDELEKLSQDSVHYSDEKRLKDDVAASVERSQENTINEFWSVRSLPDAQTNLVTVSDIKSSVLSRTNAGNKCSCKDNCYVCNPDFASIVMRRKEPDLYRNGCTHRIRALERCLLNENLSLSRQVDDAKNENTGEGEEGKGMPSKLSTRADICRLEGKTDELKVMNNITQVLPLSFFHMKRKRAARYKKNKASSSMNVLDQVVAMCQEPDLLCLESFSHDPESNGQFGEDSRIIKHDTQKGPHSPNTSSPLDAAKVIAESGYEDQKDDVEFGKACDFNDNKNNDKSLVDKKQLIRQESGSAEISGDPCCKTDLETVDVSAVNLDVKSSEITERSSPQLSNNKFLMYTFKRKRKKDSLSSPDRDCSHDDDILHKKIKEKQNGSLDSEKSTLTSEESRDSQRLVQVARQLISLSEKKLR >KJB19776 pep chromosome:Graimondii2_0_v6:3:35760371:35765330:1 gene:B456_003G118400 transcript:KJB19776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLGKQLQSSSSDRRRRRNSEAPPNHSRARRRRSSDESSSVVSVIDAVDGAMDVKGLEGRRQQQRKARHTSDFPVSVPAPETWRMTLDLNQKGWPSWLMAVAGEAIRDWTPRRANTFQKLDKIGQGTYSNVYKARDLLTGKIVALKKVRFDNLEPESLKFMAREILVLRKLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAACQGIKFTEPQVKCYMKQLLSGLEHCHKQGVLHRDIKGSNLLIDSEGILKIADFGLATSYDPEQKKPLTSRVVTLWYRPPELLLGATHYGVAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPNETLFKPQQPYKRCIAETFKDFPSSSLPLIETLLSIDPEERNTATAALNSEFFMTEPYACNPSSLPKYPPSKEIDVKLRDEEARRQRNIAGKVNAVDGTRRSKGHERPTRAVPAPEANAEIQANLDKWRVLTQTNAKCKSEKFPPPHQDGAVGHPVDASNKGPVSFGASDSSFNSSIFNSKSSGSSKCSRQAGGPSRRKTNRDPRSAVTKFHPRFQTILNRYIHGYAI >KJB21024 pep chromosome:Graimondii2_0_v6:3:45552234:45552653:1 gene:B456_003G184800 transcript:KJB21024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGNHKFIGFTFLITFRLLSFSIRSSAFLDSIFPTVTVTLINEASHSVYLKCGFDEGGEYKGLQKMEPGDSITWSFVELIFPLRWCYVHIDEETYGAFWAFTVFLQCHDCKWIIRDDGAYHFNHFYDVWKKTRLFFQY >KJB21210 pep chromosome:Graimondii2_0_v6:3:6186548:6188893:-1 gene:B456_003G046100 transcript:KJB21210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWITVALLFFLVSPATSTEDGASASTKRRRQQIVARHGAVAADDGRCSTIGSNVLRHRGHAVDAAVAVSLCLGVVSPGSSGLGGGAFMLFREANGKAHVFDMRETAPMKASQNMYAGNATRKAKGGLSIGVPGQLAGLHLAWNQHGRLPWKRLVKPAENLARKGFKISPYLHMQMESSKSAIMADKGLREVFTSNGDLLKVGDICYNKKLAKTLRKISVYGVETFYNGSIGFNLVNDIQEAGGILTITDLKKYKVKVLNILAQYAIPSGLSGSLGTHRLIEALKHAFAVRMNLGDPDFVKVSKVVADMLSPKFALELKKTINDNRTFGPNYYGGRWNQIHDHGTSHLSIVDTKRNAVSITNTVNGFFGSKILSPSTGIVLNNEMDDFSMPSNNSSGDTPPPAPPNFVHPGKRPLSSMTPTIVLKDEKLKAVVGASGGANIIAATTQVLLNHFALGMDPLSSVMAPRVYHQLIPNVVRYENWTSVIGDHFEVPETIRTDLRKKGHVLERATSATESQFIVHDLDGKGHGELVVVSDPRKGGFPAGF >KJB17341 pep chromosome:Graimondii2_0_v6:3:45519513:45520123:-1 gene:B456_003G1841001 transcript:KJB17341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDLEAGRPLVSRQNLINGKQDATQAVASGIFQINTAVSTFQRLVNALGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHSAGISV >KJB17342 pep chromosome:Graimondii2_0_v6:3:45519513:45520256:-1 gene:B456_003G1841001 transcript:KJB17342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDLEAGRPLVSRQNLINGKQDATQAVASGIFQINTAVSTFQRLVNALGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHSAGISV >KJB19476 pep chromosome:Graimondii2_0_v6:3:32333719:32335996:-1 gene:B456_003G104900 transcript:KJB19476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDGEAEKTQQKEKERKKMLAISPIAKPLAGKKLSKKTLKFVRKAAEHKCLKRGVKEVVKSIRRGHKGLCVIAGNISPIDVITHVPILCEEADIPYVYVPSKVDLATAGSTKRPTCCVLVLTKPTKGELSPEEQLKLKADYSHIVEDVSELTSSLF >KJB19979 pep chromosome:Graimondii2_0_v6:3:37928503:37931920:-1 gene:B456_003G128200 transcript:KJB19979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHERAPILRSKDQKKIEMPLALVSEESNIILIPAELKCFSVSTSRQENITLESKERQKSASQLSGLIIFYLVVMLVEIVGGMKANSLAVLTDAAHLLTDVAGFSISLFTVWASAWKATSNHSFGFSRLEVLGALISVQLIWLVSALLIYEALNRLLHDKQKVDGALMFAIAAFGFFINLIIVLWLGHDHSHHVFGNNHHHHHHHHHHVHLHDHHHHHEHLHDHHHHHEHHDHEGGKPCDLTEEDETSLVTSTPKTKILNINLQGAYLHVMADLIQSVGVMIAGAVIWLKPNWLIVDLLCTLIFSTFALSTTLPMLRDVFDILMGRTPRDINIDMVEDGIKGINGVESVHDLHVWAITVGKLVLSCHVVAKPGVESKEMISKIRDYCESTYKIHHITVQIEQL >KJB17549 pep chromosome:Graimondii2_0_v6:3:293345:296810:-1 gene:B456_003G005300 transcript:KJB17549 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G66055 protein [Source:Projected from Arabidopsis thaliana (AT5G66055) UniProtKB/TrEMBL;Acc:B9DF91] MSLPSPSSLLKPPTPKISSTSFLCLSTPPPKLSRPHNLYFPRKLHSVSPSFLSSYITQDNAAVGDDEEHVIGDCLVFEDGAFEDPYLQPDSMFDNPNTTTRFAKTKPKKNKQEIEAENLVPEQWNHVVEEMNITKKERRKMAQQLEFGRRVEKKKQGLVPIRTMNSQEYLKYKEAKLAQLNPVVLDNPLTFPKKENEEDSMEDTVNIPSSSERVAPKNPRWAVYSKGFDDVAEFFNSGNYQLAEKNTQGSRKLFTKEEKLMLNRRVPDLAAATSGKWLPLHTLAASGEFYLVDALLKHNVDINAVDKNGLTAIHKAIIGKKQAITNYLLRESANPFVRDEDGATLMHYAVNAASTPTIKLLLLYNVDINLQDNDGWTPLHLAVQARRTDVVKLLLIRGANKMLKNKDGLTPLDLCLYLGRDMRTYELIKILKQLPKPRSNER >KJB17550 pep chromosome:Graimondii2_0_v6:3:292876:296733:-1 gene:B456_003G005300 transcript:KJB17550 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G66055 protein [Source:Projected from Arabidopsis thaliana (AT5G66055) UniProtKB/TrEMBL;Acc:B9DF91] MSLPSPSSLLKPPTPKISSTSFLCLSTPPPKLSRPHNLYFPRKLHSVSPSFLSSYITQDNAAVGDDEEHVIGDCLVFEDGAFEDPYLQPDSMFDNPNTTTRFAKTKPKKNKQEIEAENLVPEQWNHVVEEMNITKKERRKMAQQLEFGRRVEKKKQGLVPIRTMNSQEYLKYKEAKLAQLNPVVLDNPLTFPKKENEEDSMEDTVNIPSSSERVAPKNPRWAVYSKGFDDVAEFFNSGNYQLAEKNTQGSRKLFTKEEKLMLNRRVPDLAAATSGKWLPLHTLAASGEFYLVDALLKHNVDINAVDKNGLTAIHKAIIGKKQAITNYLLRESANPFVRDEDGATLMHYAVNAASTPTIKLLLLYNVDINLQDNDGWTPLHLAVQARRTDVVKLLLIRGANKMLKNKDGLTPLDLCLYLGRDMRTYELIKILKQLPKPRSNER >KJB17551 pep chromosome:Graimondii2_0_v6:3:293361:296725:-1 gene:B456_003G005300 transcript:KJB17551 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G66055 protein [Source:Projected from Arabidopsis thaliana (AT5G66055) UniProtKB/TrEMBL;Acc:B9DF91] MSLPSPSSLLKPPTPKISSTSFLCLSTPPPKLSRPHNLYFPRKLHSVSPSFLSSYITQDNAAVGDDEEHVIGDCLVFEDGAFEDPYLQPDSMFDNPNTTTRFAKTKPKKNKQEIEAENLVPEQWNHVVEEMNITKKERRKMAQQLEFGRRVEKKKQGLVPIRTMNSQEYLKYKEAKLAQLNPVVLDNPLTFPKKENEEDSMEDTVNIPSSSERVAPKNPRWAVYSKGFDDVAEFFNSGNYQLAEKNTQGSRKLFTKEEKLMLNRRVPDLAAATSNGLTAIHKAIIGKKQAITNYLLRESANPFVRDEDGATLMHYAVNAASTPTIKLLLLYNVDINLQDNDGWTPLHLAVQARRTDVVKLLLIRGANKMLKNKDGLTPLDLCLYLGRDMRTYELIKILKQLPKPRSNER >KJB20465 pep chromosome:Graimondii2_0_v6:3:41776235:41780477:1 gene:B456_003G150300 transcript:KJB20465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSENPGFEESHLFASREEMENLVLDDPLTTTTTTATNNNNSYSSFRSAKSSISDAATRHPLSPPVLSTPAESDPLLSPPVFRNPNASDNNSYIEPPSYADVIFSPFDDNSANEINRVDSTSHDLDSSLTLSRSSSSTSDYIKITVSNPKKEQETTNSLVPGGNTYYTYLITTRTNIADFGSSEFSVRRRFRDVVTLSDRLAESYRGYFIPPRPDKNVVESQVMQKQEFVEQRRVALEKYLRRLAEHPVIRQSDELKVFLQVEGRLPLSMSTDVASRMLDGAVKLPKQLFGESTAVVAPHEVVQPAKGGRDLLRLFKELRQSVANDWGGSKPPVVEEDKVFLEKKEWIHDLEQQISNASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEAMYNSQRVRAADMKCLATAAVKASRFYRELNAQTVKHLDTLHEYLGLMLAVHGAFSDRSSALLTVQTLLSELSTLHARAEKLEAASSKIFGGDKSRIHKIEELKETIRVTEDAKNVAIREYERIKENNKCELERFDKERRVDLLNMLKGFVVNQVILVSRFPVHVDDLIV >KJB20463 pep chromosome:Graimondii2_0_v6:3:41776191:41780502:1 gene:B456_003G150300 transcript:KJB20463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSENPGFEESHLFASREEMENLVLDDPLTTTTTTATNNNNSYSSFRSAKSSISDAATRHPLSPPVLSTPAESDPLLSPPVFRNPNASDNNSYIEPPSYADVIFSPFDDNSANEINRVDSTSHDLDSSLTLSRSSSSTSDYIKITVSNPKKEQETTNSLVPGGNTYYTYLITTRTNIADFGSSEFSVRRRFRDVVTLSDRLAESYRGYFIPPRPDKNVVESQVMQKQEFVEQRRVALEKYLRRLAEHPVIRQSDELKVFLQVEGRLPLSMSTDVASRMLDGAVKLPKQLFGESTAVVAPHEVVQPAKGGRDLLRLFKELRQSVANDWGGSKPPVVEEDKVFLEKKEWIHDLEQQISNASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEAMYNSQRVRAADMKCLATAAVKASRFYRELNAQTVKHLDTLHEYLGLMLAVHGAFSDRSSALLTVQTLLSELSTLHARAEKLEAASSKIFGGDKSRIHKIEELKETIRVTEDAKNVAIREYERIKENNKCELERFDKERRVDLLNMLKGFVVNQVGYAEKISDVWAKVAEETSGYAKDSC >KJB20464 pep chromosome:Graimondii2_0_v6:3:41776235:41780477:1 gene:B456_003G150300 transcript:KJB20464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSENPGFEESHLFASREEMENLVLDDPLTTTTTTATNNNNSYSSFRSAKSSISDAATRHPLSPPVLSTPAESDPLLSPPVFRNPNASDNNSYIEPPSYADVIFSPFDDNSANEINRVDSTSHDLDSSLTLSRSSSSTSDYIKITVSNPKKEQETTNSLVPGGNTYYTYLITTRTNIADFGSSEFSVRRRFRDVVTLSDRLAESYRGYFIPPRPDKNVVESQVMQKQEFVEQRRVALEKYLRRLAEHPVIRQSDELKVFLQVEGRLPLSMSTDVASRMLDGAVKLPKQLFGESTAVVAPHEVVQPAKGGRDLLRLFKELRQSVANDWGGSKPPVVEEDKVFLEKKEWIHDLEQQISNASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEAMYNSQRVRAADMKCLATAAVKASRFYRELNAQTVKHLVMC >KJB17597 pep chromosome:Graimondii2_0_v6:3:395026:399673:1 gene:B456_003G006800 transcript:KJB17597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANINTVNFNTSVAVAAVNHSAGSPNQSHRATTEDSPPWSEIVLRRESDPTAGSPLTHSSSSSPPPSASVVEPYVTTEFGEGGVENAGTGPNGNAGKRTAWNRPSNGGTEIGVVMGADTWPALSPSAARVPPKSSSDSPRASLDGSSSSPSVVPVSQGSGSAPPSSASQKPVSNSVKSNSNSTPNHNTPARQRSMKRNSNNSASNGGLSQAPPQGPVVESPVNSPSSRDHVQRSSFVSQSHTSGNDQPHPRNSFRQRNGGPHPRGDGSHHQNFGGRRNQDHGNHEWNGRSFNNRDGHMQPRVAPRLMRHPPPPPLPNTLPFIAHTPMRPFGTPMGYPDLTSLYVVPAAPPESLRGLPFVAPMPPMFFPPPEPLDNQLHARIVNQIDYYFSNENLIKDTYLRQNMDDQGWVPIKLIAGFKKVSLLTDNIQLITDALQRSMVVEVQGDKVRKRTDWMRWIMPPSVQFPTISGQDTLAARVQKISLEQKTANQSGTSNQEDTNASGLSGRALSGDFNNQSQQLNSEGTSVGAQAGPASNST >KJB20398 pep chromosome:Graimondii2_0_v6:3:41363993:41368004:1 gene:B456_003G146700 transcript:KJB20398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIESRKSHSWWWDSHSSPKNSKWLAENLEEMDRRVKHMLKLIEEDGDSFAKKAEMYYQKRPELVSQVEGFYRMYRSLAERHDLLTAEWRKNILSDLQSQDSGISDVSSDLPSICTSPDQRPRRRKSHQLAAGGSSSDVRPKGDKPSSPTDSESESEDSSTNIHSVISGNEDDQEVSGHMVLLEIELHEAKEKLLMLEEENTDLLARIREHEERTKTANTALGLQNKIGALEKENEHEDSKMEALLEELRIAKEMLEGSEKEIATLKLEKKQLDDKIQDFQGQIDTAQREIMTWKTKLDTEKQKVSKLQERLAMAKNSLSDRDHEIHHLKKAVSDAEQKNFPKKANTNAEMSRSSEERLRGWESCGHSLGEEIRKTANEKRESEESLQSEIEVLKLEIAKRSDCIEVLHDNLEFVKSERDELKGYIISLKAKVNSREDRIVRMKKHLHHLHMEHVKVIAEAEGAHRLVDELLSKGKELEDEIEQQRTMILERDEEKREAIRQLCFSLEHYRYENRALRQAVIDHKRIPVLTT >KJB20399 pep chromosome:Graimondii2_0_v6:3:41365080:41368004:1 gene:B456_003G146700 transcript:KJB20399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLVPDSSSFQFQSFFKRMAKSSAQLTRSMKRIESRKSHSWWWDSHSSPKNSKWLAENLEEMDRRVKHMLKLIEEDGDSFAKKAEMYYQKRPELVSQVEGFYRMYRSLAERHDLLTAEWRKNILSDLQSQDSGISDVSSDLPSICTSPDQRPRRRKSHQLAAGGSSSDVRPKGDKPSSPTDSESESEDSSTNIHSVISGNEDDQEVSGHMVLLEIELHEAKEKLLMLEEENTDLLARIREHEERTKTANTALGLQNKIGALEKENEHEDSKMEALLEELRIAKEMLEGSEKEIATLKLEKKQLDDKIQDFQGQIDTAQREIMTWKTKLDTEKQKVSKLQERLAMAKNSLSDRDHEIHHLKKAVSDAEQKNFPKKANTNAEMSRSSEERLRGWESCGHSLGEEIRKTANEKRESEESLQSEIEVLKLEIAKRSDCIEVLHDNLEFVKSERDELKGYIISLKAKVNSREDRIVRMKKHLHHLHMEHVKVIAEAEGAHRLVDELLSKGKELEDEIEQQRTMILERDEEKREAIRQLCFSLEHYRYENRALRQAVIDHKRIPVLTT >KJB21037 pep chromosome:Graimondii2_0_v6:3:45164118:45167165:-1 gene:B456_003G179900 transcript:KJB21037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPGYLHYCLQTGKLVVLAILVSGGVILQILACALYNNWWPMLTVIMYVLLPMPLMFFAGSDGYSLLSESGNSWANATKFLAGASAIGSIAIPAILKHAGVISWGALAMELSSYFVFVLAIMFYIRMNNDNDYSFF >KJB21038 pep chromosome:Graimondii2_0_v6:3:45164145:45167078:-1 gene:B456_003G179900 transcript:KJB21038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPGYLHYCLQTGKLVVLAILVSGGVILQILACALYNNWWPMLTVIMYVLLPMPLMFFAGSDGYSLLSESGNSWANATKFLAGASAIGSIAIPAILKHAGVISWGALAMELSSYFVFVLAIMFYIRMNNDNDYSFF >KJB19443 pep chromosome:Graimondii2_0_v6:3:31315724:31316344:-1 gene:B456_003G102400 transcript:KJB19443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSKKNIYVFHFDDPIDQSYILKENFWSSEGVFFVFDIWRPNTVLRPLFTRGTLLVIDKGITTGNHAKFPMNIRFLRVRICIDPWRPLLAGCFVRVGEGRRIWIQFRYERVFRICKNCRRIRHTYPSCGVDNLDIERELNSQLDNIRHKFGYDIGMDVQEVHFVNKMSAFLHRSDRRATLVYYC >KJB19477 pep chromosome:Graimondii2_0_v6:3:32337615:32338148:-1 gene:B456_003G105000 transcript:KJB19477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKASGFLKQVVSSVLVSSIAKAKSMVDKGKTSASKARLIILTLMRNKKAVLLGPISKKIHGFLGDKENDPQDDESKAIVPFQYNDDDDAQVADDDKYPDLTHYLFDEKELELEAEAESGSVIEMVKKSKEEGEDFSLEDEIDHVADLFITRFYKQMRLQKLLSFKRNQQMQEGNH >KJB21206 pep chromosome:Graimondii2_0_v6:3:44363692:44365345:-1 gene:B456_003G1727003 transcript:KJB21206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HSKSQFLMANDVDMETQAFAKMKRLKLLQLDYVRLKGDFKDFPKRLRWLRWHGFWMQSFPVDFDINELVVLDMRNSKLKQVWKDTECLPNLKILNLNHSHSLLKTPSFSGLPSLEKLMLKDCINLVEVDQSIASLKSLNLSRNPIRYLPESIKKLTKLDELLLTSCTELQMIPKLPVLLNVFECKFIFGDNSPFQGSGITNVPCFFSSTRCVIFGCEKLTEVEDLFKLEPIENFEAEEISRLFNVESINRNRLQLYSYLTDSIMLATPQVLQERGITSTFVVGSEIPIGFKHGTNEHRISFFLPTPSHPDEKIHRFSLCIVFSLASDQMLELLPCVHIFNETKMIMLRYRSSFIGIPQTNDNTLLWLIHWPVTDCQFEGGDL >KJB21207 pep chromosome:Graimondii2_0_v6:3:44363692:44365345:-1 gene:B456_003G1727003 transcript:KJB21207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HSKSQFLMANDVDMETQAFAKMKRLKLLQLDYVRLKGDFKDFPKRLRWLRWHGFWMQSFPVDFDINELVVLDMRNSKLKQVWKDTECLPNLKILNLNHSHSLLKTPSFSGLPSLEKLMLKDCINLVEVDQSIASLKSLNLSRNPIRYLPESIKKLTKLDELLLTSCTELQMIPKLPVLLNVFECKFIFGDNSPFQGSGITNVPCFFSSTRCVIFGCEKLTEVEDLFKLEPIENFEAEEISRLFNVESINRNRLQLYSYLTDSIMLATPQVLQERGITSTFVVGSEIPIGFKHGTNEHRISFFLPTPSHPDEKIHRFSLCIVFSLASDQMLELLPCVHIFNETKMIMLRYRSSFIGIPQTNDNTLLWLIHWPVTDCQFEGGDL >KJB18438 pep chromosome:Graimondii2_0_v6:3:8048670:8051770:1 gene:B456_003G052800 transcript:KJB18438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLSMCISILQSILRKKIFQSPFMKVVNEAEMMSLNQQKRIEELEAQPREAEDIVRDLRAELREVQDELEKLTKNSIQCSSEQKSGHDAITF >KJB17866 pep chromosome:Graimondii2_0_v6:3:1519113:1519707:-1 gene:B456_003G020300 transcript:KJB17866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHQQGNSHLKFISSSPYFLKIILQDTIQNGKLFVKNHGNSMSSPAMLSVPSGAVWKVELTKSDGKIWLENGWLEFSNHYSLDIGHLLVFRYDGNSNFRVIIFDKSASEIQYPYTSNNHSRSSEILKLNINESKDDGSI >KJB18265 pep chromosome:Graimondii2_0_v6:3:5692986:5696179:1 gene:B456_003G044400 transcript:KJB18265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASNSLVSFIQGSLSQPGRTSHSLPSPSLSFPGSRSLSLKLTRRSNSPLLCSLDATNATDKDTPIETRFPAYPTVMDINQIREILPHRFPFLLVDRVIEYNPGVSAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRENFFFAGVDKVRFRKPVIAGDTLVMRMTLIKLQKRFGIAKMEGKAYVGTDLVCEGEFLMATGST >KJB18266 pep chromosome:Graimondii2_0_v6:3:5692762:5696487:1 gene:B456_003G044400 transcript:KJB18266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASNSLVSFIQGSLSQPGRTSHSLPSPSLSFPGSRSLSLKLTRRSNSPLLCSLDATNATDKDTPIETRFPAYPTVMDINQIREILPHRFPFLLVDRVIEYNPGVSAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRENFFFAGVDKVRFRKPVIAGDTLVMRMTLIKLQKRFGIAKMEGKAYVGTDLVCEAVLYPTLLFHMHPFLVRFSLGFFFDDSMFVSFFVLCRQKKIFLKFLLSFHVD >KJB21178 pep chromosome:Graimondii2_0_v6:3:45698573:45702487:1 gene:B456_003G186500 transcript:KJB21178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 4 [Source:Projected from Arabidopsis thaliana (AT1G32050) UniProtKB/Swiss-Prot;Acc:Q9C6X2] MNRHHDPNPFDEEEVNPFSNGGSVAPARPLASEPLGFGQKHDATVDIPLDSMNDSKKREKELAAWEADLKRREKDIKRREDAVSQAGVSVDDKNWPPIFPIIHHDIANEIPVHAQRLQYLAFASWLGIVLCLVFNVIAVIVCWIQGGGVKIFFLAVIYMLLGCPLSYVLWYRPLYRAMRTDSALNFGWFFMFYLLHLGFCIFAAIAPPIVFHGKSLTGILAAIDVISDHMLAGVFFFVGFGLFCLESLLSLWVIQKIYLYFRGHK >KJB21179 pep chromosome:Graimondii2_0_v6:3:45698946:45702472:1 gene:B456_003G186500 transcript:KJB21179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 4 [Source:Projected from Arabidopsis thaliana (AT1G32050) UniProtKB/Swiss-Prot;Acc:Q9C6X2] MNDSKKREKELAAWEADLKRREKDIKRREDAVSQAGVSVDDKNWPPIFPIIHHDIANEIPVHAQRLQYLAFASWLGIVLCLVFNVIAVIVCWIQGGGVKIFFLAVIYMLLGCPLSYVLWYRPLYRAMRTDSALNFGWFFMFYLLHLGFCIFAAIAPPIVFHGKSLTGILAAIDVISDHMLAGVFFFVGFGLFCLESLLSLWVIQKIYLYFRGHK >KJB20286 pep chromosome:Graimondii2_0_v6:3:40481529:40482374:-1 gene:B456_003G141700 transcript:KJB20286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPSQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKEWKGLRVTALKEPERDRKKTKNIKHNGNIALDDVIEIAKVMRPRSMAKDLRGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGDVDVPLE >KJB20740 pep chromosome:Graimondii2_0_v6:3:43092329:43094596:-1 gene:B456_003G162200 transcript:KJB20740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLWIGALVPLICITYWVYHWRNPRCNGKLPPGSMGFPLLGETLQFFSRNTTNDIAPFLKERMKRYGPVFKTSIVGQPVIVLTDPDLNHFVFLQEGQLFQSWYPDTFTKILGRQNVGSLHGFMFKYLKSMVLSLFGPENIKKMLPEVERTACRRLQRWSIQETVELKEATAAMIFDLTAKKLISYDQDNASQNLRENFVAFIKGLLSFPLDIPGTAYHKCLQGRKNAMKMLKNLLNERRAMPGKSRSDFFDFVLEELQKEGTILTEEIALDLMFLLLFASFETTSMALTLAVKFLSDDPSVLKKLREEHETILRNRENTGSGLTWKEYKSMTYTFQFINETVRLANIAPVIFRKALREIHFKGYTIPAGWAIMVCPSAVHLNPAKYKYPLTFNPSRWEGVEINGATKNFMAFGGGMRFCVGADFAKVQMAVFLHCLVTKYRWEPIKGGNILRTPGLQLPDGFHIRLMEKTRME >KJB18010 pep chromosome:Graimondii2_0_v6:3:2644973:2649708:1 gene:B456_003G029300 transcript:KJB18010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRPLNFTTISLHHHSPRLSHSLLFSPSPISSSLASTLSFLRGIASKTSYSAVSQQQQQQQKLRKELLLKELKETETLAQKIGKAIRHPGFPSKSRVYADVNVIRPKEYWDYESLIVQWGEQDDYRVVRKVGRGKYSEVFEGVHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYGIELDPHLLVLVGRHSHKPWTKFINAENQHLALPEAIDFLDKLLRYDHLERLTAKEAMAHPYFYPIRNAESSRTRT >KJB18012 pep chromosome:Graimondii2_0_v6:3:2644973:2649708:1 gene:B456_003G029300 transcript:KJB18012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRPLNFTTISLHHHSPRLSHSLLFSPSPISSSLASTLSFLRGIASKTSYSAVSQQQQQQQKLRKELLLKELKETETLAQKIGKAIRHPGFPSKSRVYADVNVIRPKEYWDYESLIVQWGREQDDYRVVRKVGRGKYSEVFEGVHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYGIELDPHLLVLVGRHSHKPWTKFINAENQHLALPEAIDFLDKLLRYDHLERLTAKEAMAHPYFYPIRNAESSRTRT >KJB18011 pep chromosome:Graimondii2_0_v6:3:2644905:2649708:1 gene:B456_003G029300 transcript:KJB18011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRPLNFTTISLHHHSPRLSHSLLFSPSPISSSLASTLSFLRGIASKTSYSAVSQQQQQQQKLRKELLLKELKETETLAQKIGKAIRHPGFPSKSRVYADVNVIRPKEYWDYESLIVQWGEQDDYRVVRKVGRGKYSEVFEGVHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYGIELDPHLLVLVGRHSHKPWTKFINAENQHLALPEAIDFLDKLLRYDHLERLTAKEAMAHPYFYPIRNAESSRTRT >KJB19388 pep chromosome:Graimondii2_0_v6:3:30777085:30777936:1 gene:B456_003G0997002 transcript:KJB19388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKFVFKYIRSTWRPCPDDKLAAKWETILQFISPPTLCLWFWFFGRVPAMEGDCCSSSTSSSTSGEKPKAERTQQKEKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYTTPVAAARAYDIAVFYLRGPSARLNFPDLIEKDNKLRDISATSIRKKAAEVGAKVDALQTSSVYHHGGSSSDSPNNTRRV >KJB19563 pep chromosome:Graimondii2_0_v6:3:33296002:33301393:1 gene:B456_003G108800 transcript:KJB19563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEGFLTDEQREMLKIASQNVETALPSPRLSSSPKSPTSLLSDHQLKVPAGGKAPTGGIAVRHVRRSHSGKSIRVKKDGAGGKGTWGKLLDTDGESHIDRNDPNYDSGEEPYQLVGSTISDPLDEYKKAVVSIIEEYFSTSDVEVAASDLKDLGSSEYHPYFIKRLVSMAMDRHDKEKEMASVLLSSLYADVISPPQIRDGFVMLLESADDLAVDILDAVDILALFVSRAVVDEILPPAFIARAKKTLPESSEGYQVLQTAEKSYLSAPHHAELLERRWGGSTHVTVEEMKKKIADLLREYVESGDTFEACRCIRELGVSFFHHEVVKRALVLAMEIQTAEPFMLKLLKEAAEEGLISSSQMVKGFARLAESLDDLALDIPSAKTLFQSIVPKAISQGWLDASFMKSSCTDGEAQNEDKKLDRYKKEIVTIIHEYFLSDDIPELIRSLEDLGLPEYNPIFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTEDIGNGFVMLLESAEDTALDILDASNELALFLARAVIDDVLVPLNLEEITSKLPPNCSGSETVRMARSLITARHAGERLLRCWGGGTGWAVEDAKDKIMKLLEEYESGGVVAEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLDLLQVCFNEGLITINQMTKGFTRVKDGLDDLALDFPNAKDKFSFYTEYAQKKGWLLPSFGSSATEALPTAAAS >KJB19564 pep chromosome:Graimondii2_0_v6:3:33295916:33301393:1 gene:B456_003G108800 transcript:KJB19564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEGFLTDEQREMLKIASQNVETALPSPRLSSSPKSPTSLLSDHQLKVPAGGKAPTGGIAVRHVRRSHSGKSIRVKKDGAGGKGTWGKLLDTDGESHIDRNDPNYDSGEEPYQLVGSTISDPLDEYKKAVVSIIEEYFSTSDVEVAASDLKDLGSSEYHPYFIKRLVSMAMDRHDKEKEMASVLLSSLYADVISPPQIRDGFVMLLESADDLAVDILDAVDILALFVSRAVVDEILPPAFIARAKKTLPESSEGYQVLQTAEKSYLSAPHHAELLERRWGGSTHVTVEEMKKKIADLLREYVESGDTFEACRCIRELGVSFFHHEVVKRALVLAMEIQTAEPFMLKLLKEAAEEGLISSSQMVKGFARLAESLDDLALDIPSAKTLFQSIVPKAISQGWLDASFMKSSCTDGEAQNEDKKLDRYKKEIVTIIHEYFLSDDIPELIRSLEDLGLPEYNPIFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTEDIGNGFVMLLESAEDTALDILDASNELALFLARAVIDDVLVPLNLEEITSKLPPNCSGSETVRMARSLITARHAGERLLRCWGGGTGWAVEDAKDKIMKLLEEYESGGVVAEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLDLLQVCFNEGLITINQMTKGFTRVKDGLDDLALDFPNAKDKFSFYTEYAQKKGWLLPSFGSSATEALPTAAAS >KJB18245 pep chromosome:Graimondii2_0_v6:3:5287693:5288889:1 gene:B456_003G042300 transcript:KJB18245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHDHFKPRSTDIVVATSPKCGTTWLRALVFFIINRASFDFSDHPLRKANPQDLVPCFESIIHKDGSTSFVDGIPSPRLLSTHLPYSLFPTRMTDDTSACRFVYICRDPKDVFVSKWHFANKLRPKELPPLSLEETFDLFCKGVSHYGPFWDHVLGYWKASVESPKKVLFLKYEDVKKEPLGCVRKVAEFLGVPFTPEEENKEIVEQIVKLCSFESMSNQDVNKLDKRYQQRPVSNSDFFRKGEVGDWVNHLSPQMAEKLDQITEEKLQDTGFNFH >KJB19181 pep chromosome:Graimondii2_0_v6:3:23707094:23707297:1 gene:B456_003G087800 transcript:KJB19181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSIEFASKFPEQILDKVQLQRFLGSLNYVIEFYTSLSKLCKPLYDRLKKNPQPWTNNHTDIITQIKK >KJB19807 pep chromosome:Graimondii2_0_v6:3:35963428:35972331:1 gene:B456_003G119600 transcript:KJB19807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITLVIPALDPHTPIYASSFTMEMMSDSTNVLSPGRTISESAVADALSRHISGAKGRIITTQFASNIHRLGSIKAAADVTGRKLVFIGMSLRTYLDAAWKDGKAPIDPSTLVKAEDIDAYAPKDLIIVTTGSQAEPRAALNLASYGSSHSFKLNKEDVILYSAKVIPGNESRVMKMLNRISEIGSTIVMGKNEGLHTSGHGYRGELEEVLQIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFSSLGKENLQLMYSDGDKAFGTSTELCVDERLRIASDGIIVVSMEILRPQKIDGMVENTLKGKIKITTRCLWLDKGKLLDTLHKAAHATLSSCPVNCPLAHMERTVSEVLRKTVRKYSGKRPEVIAIALENPAGVLSDELNENLSGNYNAGFGLPTLRKVVDGHPRRGPPNKMKVEDDGILHLENASEHSLLDDDAEAERFLPVEDTSTSIPDYTERLTPNTDDSDELEEHEPEVKGDGTASNGDDSEMTGSQPKSSKPKRNKWKPEEVKKLIKMRGDLHSRFQVVKGRMALWEEISTSLLEDGITRSPAQCKSLWASLVQKYEESKNEKRSHKSWPYFEDMNKVLSDFEATATT >KJB19806 pep chromosome:Graimondii2_0_v6:3:35963308:35972576:1 gene:B456_003G119600 transcript:KJB19806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTSLSLCPYILCRKAGPRNRYISCSVGSPTSISTRRTKVPRKSSGRLDGARKSMEDSVQRKMEQFYEGTAGPPLRVLPIGGLGEIGMNCMLVGHYDRYILIDAGVMFPDYDELGVQKIIPDTTFIKKWSHKIEAVVITHGHEDHIGALPWVIPALDPHTPIYASSFTMELIKKRLKENGIFVPSRLKVFKIRRRFKAGPFEIEPLRVTHSIPDCCGLVLRCADGTILHTGDWKIDESPLDGKTFDRQFLEELSKEGVTLMMSDSTNVLSPGRTISESAVADALSRHISGAKGRIITTQFASNIHRLGSIKAAADVTGRKLVFIGMSLRTYLDAAWKDGKAPIDPSTLVKAEDIDAYAPKDLIIVTTGSQAEPRAALNLASYGSSHSFKLNKEDVILYSAKVIPGNESRVMKMLNRISEIGSTIVMGKNEGLHTSGHGYRGELEEVLQIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFSSLGKENLQLMYSDGDKAFGTSTELCVDERLRIASDGIIVVSMEILRPQKIDGMVENTLKGKIKITTRCLWLDKGKLLDTLHKAAHATLSSCPVNCPLAHMERTVSEVLRKTVRKYSGKRPEVIAIALENPAGVLSDELNENLSGNYNAGFGLPTLRKVVDGHPRRGPPNKMKVEDDGILHLENASEHSLLDDDAEAERFLPVEDTSTSIPDYTERLTPNTDDSDELEEHEPEVKGDGTASNGDDSEMTGSQPKSSKPKRNKWKPEEVKKLIKMRGDLHSRFQVVKGRMALWEEISTSLLEDGITRSPAQCKSLWASLVQKYEESKNEKRSHKSWPYFEDMNKVLSDFEATATT >KJB19353 pep chromosome:Graimondii2_0_v6:3:30104380:30107379:-1 gene:B456_003G097000 transcript:KJB19353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGHESDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYSKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPSTSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSSEILANVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYQLKNSTTGEITIKHLNKEQEANESNFRDPVSSADLEVVEKMPLLEWFANEYRQFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDEFSDEEVYDDSE >KJB19354 pep chromosome:Graimondii2_0_v6:3:30105076:30106386:-1 gene:B456_003G097000 transcript:KJB19354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGHESDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYSKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPSTSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSSEILANVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYQLKNSTTGEITIKHLNKEQEANESNFRDPVSSADLEVVEKMPLLEWFANEYRQFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDEFSDEEVYDDSE >KJB17267 pep chromosome:Graimondii2_0_v6:3:44579647:44579713:-1 gene:B456_003G1744001 transcript:KJB17267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIFVEFSPPNGPNVEHQIMD >KJB17266 pep chromosome:Graimondii2_0_v6:3:44579647:44579713:-1 gene:B456_003G1744001 transcript:KJB17266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIFVEFSPPNGPNVEHQIMD >KJB17808 pep chromosome:Graimondii2_0_v6:3:1112965:1115579:1 gene:B456_003G016200 transcript:KJB17808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVEVDNSSTVSGDASVSSTGNQNPPPKSAVKKKRNLPGMPDPDAEVIALSPTTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVKKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTVFSRRDSFITHRAFCDALAVESAKTQTNPSSESDPKVQAADSSPRRSPPAAPPPVSAPSAITSSDIRIQSSDPRTVVEEAPTPAPAPAPPPAGLNGSSSSSVSLVSSGCSSSSSSSSVFSSLFTSTTVSASIHPPQPLAPAPPLVGLNGSCSGSVSLVSSGASSSSSSGVFASLFASSTVSASIQPPQPPALTNLIQADLAPSTSIEPISLCLSTSHGSSIFGTTGQERRQHAPPLQPAMSATALLQKAAQMGAAASNASLLRGLGIVSSSAPQENLQWGQAQVDPDNASIAAGLGLGLPCDGSSGLKELMMGTPVFGPKQTTLDFLGLGVAAGGNPNASLSALITSIGGGFDATTAAASFRGGDYTSKDIGRSS >KJB17807 pep chromosome:Graimondii2_0_v6:3:1112424:1115658:1 gene:B456_003G016200 transcript:KJB17807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVEVDNSSTVSGDASVSSTGNQNPPPKSAVKKKRNLPGMPDPDAEVIALSPTTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVKKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTVFSRRDSFITHRAFCDALAVESAKTQTNPSSESDPKVQAADSSPRRSPPAAPPPVSAPSAITSSDIRIQSSVLAEDPRTVVEEAPTPAPAPAPPPAGLNGSSSSSVSLVSSGCSSSSSSSSVFSSLFTSTTVSASIHPPQPLAPAPPLVGLNGSCSGSVSLVSSGASSSSSSGVFASLFASSTVSASIQPPQPPALTNLIQADLAPSTSIEPISLCLSTSHGSSIFGTTGQERRQHAPPLQPAMSATALLQKAAQMGAAASNASLLRGLGIVSSSAPQENLQWGQAQVDPDNASIAAGLGLGLPCDGSSGLKELMMGTPVFGPKQTTLDFLGLGVAAGGNPNASLSALITSIGGGFDATTAAASFRGGDYTSKDIGRSS >KJB20032 pep chromosome:Graimondii2_0_v6:3:38235046:38237538:1 gene:B456_003G129700 transcript:KJB20032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSPEITMILFVAVGCFMAYPEAVTAKHTGITRHYTFNIKPKNIIRLCHTKSIVTVNGKFPGPRVIIREGDRLVVKVVNHVPNNISIHWHGVRQLRSGWADGPSYITQCPIQIGHSYVYNFTVTGQRGTLFWHAHISWLRATVYGPLIILPRRNESYPFVKPYKEVPILFGEWFNADPEAVINQSLQTGGGPNVSDAYTFNGLPGPLYNCSAKDTYKLKVKPGKTYLLRLINAALNDELFFSIADHSLTVVEADAVYVKPFETNVLMITPGQTTNVLLRAKSTAPNVTFLMLARPYATGMGTFDNTTVAGILEYETPSSSLKNRPLLKPGLPAINATNFVANFTSKFRSLATAKFPATVPQKVDKKFFFTVGLGTKPCPKNQTCQGPTNTTKFAASMNNISFALPRTALLQSHFFSQYSKGVYTTDFPAFPLIPFNYTGTPPNNTLVKNGTKLVVIPFNTSVEVVLQDTSILGAESHPLHLHGYNFYVVGQGFGNFDPENDPPNFNLVDPVERNTIGVPSGGWVAIRFQADNPGVWLMHCHFDVHQSWGLGMAWVVLDGELPNQKLPPPPSDLPKC >KJB17864 pep chromosome:Graimondii2_0_v6:3:1516947:1517905:-1 gene:B456_003G020100 transcript:KJB17864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCNFVKENLMKKHCSVILCNSSGKTWIATFKQRKIGKKLTSYLIAGCGTFARDNNIQVGDVCASELINSIHISFKVVIYQGQHANCHQSLAVTDVFHLVKRKAPSCAPSYASQGCPEPLTALEKAKAFQTAGAFKSENPFFVIVLQPSHVHGNKLSVPMNFARKYLTMMHKKVIHLLSDGNSWPVIYDPRFEWSYVFLCNGWHRFAVDNNLEVGDVCVFELTGGIETSMKVTIYKKQAIEDENLG >KJB19323 pep chromosome:Graimondii2_0_v6:3:29753801:29754400:1 gene:B456_003G095300 transcript:KJB19323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EELRYQLFAVVKHSGFRPTSGHYVCYIRSSPNIWHKMNDSRVTCVEEEAVLYQEAYILLYAKQGIPWFSTAIEVQKPCADPGISDSSPKS >KJB17828 pep chromosome:Graimondii2_0_v6:3:1267574:1269210:1 gene:B456_003G017800 transcript:KJB17828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFGESTSRPPPSPPCSGSTDNANDAGGFECNICFELAQDPIVTLCGHLFCWPCLYRWLHHHCHCHECPVCKALIQEEKLVPLYGRGKNHTDPRSKLYPGMEIPNRPTGQRPATAPPPPPEANPFGNYGFGLMGGFVPMATTRIGNFTMGFGGLLPSLFNIQSHGFPDATVYGTTSGFPFGYNTVHGGHPHWFPQPPTTRGQQADNVLKNLLLLIGVFVVLALLYW >KJB18134 pep chromosome:Graimondii2_0_v6:3:3607725:3611603:1 gene:B456_003G035500 transcript:KJB18134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNNLKDAFDRVAKKQKLSCSKVQEVMDQIVQEIEKAIEMMQSTTLDHKSILAELKKKLHEIAPLAQLEGTQKELNIALSKYPKALEKTLNPDISKAYRNIEFDSHTVNQIIASHFYRQGMFDVGDCFITEAGEAEAAAAMRSLFQEMYQILEAMKSRNLEPALKWAAANSDNLKENGSDLLLGLHQLQFVKILQKGSREEALKYARTNFVPFAGNHMAEIQKLMGCLLYSDRLSESPYAHLLSPTNWDIVAEELTRQFCNLLGQSYQSPLSVTIAAGVQGLPPLLKFMTVMAGKKQEWQSMKQLPVPVELDKEFQFHSIFVCPVSKEQSTEDNPPMLMSCGHVLCKQSINKMSKNGSKTFKCPYCPSDVDAPRCRQLNF >KJB18365 pep chromosome:Graimondii2_0_v6:3:6816867:6821743:-1 gene:B456_003G048900 transcript:KJB18365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNHFNERSGLKAPLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVIERPQHMLMRVAVGIHKNDIDSAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLLCMKDDSIEGIYDTLKECAIISKSAGGIGVSVHNIRATSSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQSNGQWSLFCPNESPGLADCWGEEFEELYIHYEREGKAKKVVQAQNLWFEILKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRYVREKGVPLESHPCKLVGSRGSQNRYFDFDKLAEVTEIVTRNLNKIIDVNYYPVETAKRSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKASSNIAEKEGPYETYNGSPVSKGILQQDMWGVTASNRWDWNALRDNIAKHGVRNSLLLAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMSLWTPVVKNKIIHADGSVQNIPEIPHELKAIYRTVWEIKQKTLVDMAVDRGCYIDQSQSLNIHMDHPNFGKLTSLHFYAWSKGLKTGMYYLRSRAAADAIKFTVDTSMLKEKPKVEDDETRMAQMVCSLTNREECMSCGS >KJB18366 pep chromosome:Graimondii2_0_v6:3:6817597:6821690:-1 gene:B456_003G048900 transcript:KJB18366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVKRDGRQEAVHFDKITARLKKLSYGLSIDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIVVSNLHKNTRKSFSETIKIMYNHFNERSGLKAPLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVIERPQHMLMRVAVGIHKNDIDSAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLLCMKDDSIEGIYDTLKECAIISKSAGGIGVSVHNIRATSSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQSNGQWSLFCPNESPGLADCWGEEFEELYIHYEREGKAKKVVQAQNLWFEILKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRYVREKGVPLESHPCKLVGSRGSQNRYFDFDKLAEVTEIVTRNLNKIIDVNYYPVETAKRSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKASSNIAEKEGPYETYNGSPVSKGILQQDMWGVTASNRWDWNALRDNIAKHGVRNSLLLAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMSLWTPVVKNKIIHADGSVQNIPEIPHELKAIYRYGLLGFFDIFVSILSPLFSHYLFRMKLKYIFVSDLLGLFGKLSKKR >KJB18364 pep chromosome:Graimondii2_0_v6:3:6816674:6822004:-1 gene:B456_003G048900 transcript:KJB18364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVKRDGRQEAVHFDKITARLKKLSYGLSIDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIVVSNLHKNTRKSFSETIKIMYNHFNERSGLKAPLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVIERPQHMLMRVAVGIHKNDIDSAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLLCMKDDSIEGIYDTLKECAIISKSAGGIGVSVHNIRATSSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQSNGQWSLFCPNESPGLADCWGEEFEELYIHYEREGKAKKVVQAQNLWFEILKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRYVREKGVPLESHPCKLVGSRGSQNRYFDFDKLAEVTEIVTRNLNKIIDVNYYPVETAKRSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKASSNIAEKEGPYETYNGSPVSKGILQQDMWGVTASNRWDWNALRDNIAKHGVRNSLLLAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMSLWTPVVKNKIIHADGSVQNIPEIPHELKAIYRTVWEIKQKTLVDMAVDRGCYIDQSQSLNIHMDHPNFGKLTSLHFYAWSKGLKTGMYYLRSRAAADAIKFTVDTSMLKEKPKVEDDETRMAQMVCSLTNREECMSCGS >KJB17583 pep chromosome:Graimondii2_0_v6:3:338724:340896:1 gene:B456_003G006200 transcript:KJB17583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRFLLEDSQTHDHSHNHKQQIWLILIIVILIALLGFSVFFFFCRRKLNRKRSHNGGLKAEKLKLRYFKLEELEKATENFSADCLLGSGAFGNVYKGSFEGEGTFAIKRAHVESYQTTEEFRNEVRLLSTVNHPNLVGLVGFSEESGTNLTWRQRVNIAIGAAKGIAHLHDGIKPGIIHRDIKPSNILIGERFEAKVSDFGLVKMGPIGDQSHVSSQVKGTPGYLDPAYCTSFHLSPFSDVYSFGVILLQLISGQPAVDTTRNHIIDWARPSIERGNIEEILDTTLLSKPCNMEMMLKMGELGLRCVAKTPKDRPTMTQVWQELENTFNEHPSLSSKTRTTTKFPQSTEQGISFEQDCSQSFVSIDGVGFQKFHIELDSISSQSNSSRCFEINSASIGIDKENLKFQSKK >KJB19264 pep chromosome:Graimondii2_0_v6:3:27669505:27671629:1 gene:B456_003G091700 transcript:KJB19264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor B-3 [Source:Projected from Arabidopsis thaliana (AT2G41690) UniProtKB/Swiss-Prot;Acc:O22230] MEYMMGSDNNKVSLEYVRKSTPPPFLLKTYMLVEDPITNDVISWNADGSGFVVWQPAEFARDLLPTLFKHSNFSSFVRQLNTYGFRKVATSRWEFCNEMFRKGEGELLSQIRRRKSWANRQQNSAIAQASSPLECEEDQRSSSTCSSSGFNNLMDENKRLRKENGALNWELTSMKRKFKKLLDLVANYAQFEKEKDDDESPMLFGVKLEIEGDSERKRKRKRKRDEISESASVLLSQACK >KJB18204 pep chromosome:Graimondii2_0_v6:3:4183511:4194201:1 gene:B456_003G038900 transcript:KJB18204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTEHGQNLLASRRKTLLLGSGIVAAGGAVAYLQSRFSSKKPDSPGHYNGTRDKRENSDKVLKKNNNVNRTRKKKGGLNSLQVLVAFLLSKMGKVGARDLLALVGTVVLRTALSNRLAKVQGFLFRTVFLQNVPSFFRLISENILLSFLLSTIHSTSKYITGILSLRFRKILTKLIHAHYFENMAYYKISHVDGRVRNPEQQIASDIPRFCSELSELLLDDLTAVTDCLVYTWSLCSYAGPKYFFWILGFILGAGAGIKNFSPAFGKLTSKEQQLEGEYRQLHSRLRVHAESIAFYGGESREESYIQQKFKTLVRHLRVVLHDHWWFGMIQDFLLKYLAATFVVVLIIEPFFAGHLRPDSSILGRAEMFSNLRYHIGVVIPLFLALGTLSISSRRVNRLSGYADRIHELMLISRELSADDKKASLQKAGSINYLTEANFVEFSRVKVVTPTGNVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHSGMVELLKNVDLEYLLDRYPPEKEVNWGDELSLGEQQKLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKIRAMGTSCITISHRPALVAFHDVVLSLDGEGGWKVHYKREDSLLESEGGMDLTKLSVTDRQNDAITVQRAFTAAKKEFAFSNPKTQPYVSELIAASPSLSHDVKLPVVPQLQRTPRVLSSRVAAMFKVLVPTVFDKQGAQLLAVAFLVATRTWLSDQIATLNGTTVKYVLEQDKAGFIRLMSISVLQSAASAVIAPSLRHLTATLALGWRIRLTQHLLKNYLRNNAFYQVFQMSSKNIDADQRITDDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVSILYAYMLLGLGLLRTATPDFGDLTSREQQLEGTFRFMHERLRTHAESIAFFGGGAREKAMVESRFRDLLDHSSLLLKKKWQFGILDDFVTKQFPHIVTWGLSLLYTLDHKGDRSLVSTQIELGHGIRFLASVVSQSFLAFGDIMELNRKFLELSGSINRVFELEELVDAVQSGDLRTDKVLQSHGNDLYAGDVISFCDVDIVTPSEKLLARQLTCDVVPGKNLLVTGPNGSGKSSVFRVLRGLWPVMSGRLYKPSHHLDKEDISGCGVFYVPQKPYTCLGTLRDQIIYPLSHEEAELKELKLYGKGKSSADTTNILDARLQNILENVRLNYLLERDESGWDANLSWEDILSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYRLAKHLGITVITSSQRPALIPFHALELRFVDGEGNWELRSIEQ >KJB20683 pep chromosome:Graimondii2_0_v6:3:42855161:42857922:1 gene:B456_003G159100 transcript:KJB20683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQAGQCGNQIGGKFWEVVSDEHGIDPKGNYVGTSRVQLERVNVYYNEASGGRYVPRAVLMDLEPGTMDSLRTGPHGQLFRPDNFIFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQICHSLGGGTGSGMGTLLISKIKEEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENGDECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGATCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRSSQQYRALTIPELTQQMWDARNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLTMSSTFMGNSTSIQEMFRRVSEQFTVMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAVADDNDEDYEDEAMEN >KJB20067 pep chromosome:Graimondii2_0_v6:3:38613003:38615574:1 gene:B456_003G131300 transcript:KJB20067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLEGNIVDVPNPSGRGPGYRYFKAAKKLPRVKKLFEKQPELRKRRTTNDIYKIIDASYYGYRDEVLAIVKGPTEVNMRTEAEKEWRRSGATSREVLFEEEEDVVVEEMREREEKERKDKEREFFVHVPLPDDKEIERMIVERKKMELLSKYASEGLLEEQSEAMDILNIYS >KJB19526 pep chromosome:Graimondii2_0_v6:3:32971947:32973708:-1 gene:B456_003G107600 transcript:KJB19526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVAKKGRSFLASSTLEVEALAMHEGFLLADNKRRQQSCRLGCISIQEEDVPFQLGGFIPSSLVPILS >KJB19457 pep chromosome:Graimondii2_0_v6:3:31751036:31754619:1 gene:B456_003G103400 transcript:KJB19457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol 3-O-glucosyltransferase UGT89B1 [Source:Projected from Arabidopsis thaliana (AT1G73880) UniProtKB/Swiss-Prot;Acc:Q9C9B0] MATLRSSSHILVFPFPAQGHIIPLLDFTHRLALAATDIDLTITVLVTPKNLPLLTQLLAAHPRIQPLVLPFPPHPSIPSAVENLKDIPQRCLPALMHTLGQLYHPLLSWFQSHPSPPSAIVSDMFLGWTQRLASRVGAKHIVFSPSGAMALSILYSLWMELPRLDDPRDQTAVVSFDKLPNCPKYPWWKISGLYRACVEGDPAMEFIKDIFHANIQSWGLVVNSFNQLERPYFDHLKRVMGCDRVWAVGPLLPLHDHDDLTLAVHRGGSSSVPLDHLLTWLDACEDGEVVYVCFGSQVVLTNDQMAGVASGLEKSGVRFIWSIKEPSVGHVEANYGMIPNGFEDRVANNGLVIRGWAPQVAILKLKVGKKACEGPQTVPNPEELARVLAESVSREKGVERKGVMELRKAALEAVREGGSSAQDMEEMVLEWKLEKMLKGNERDYDQYLYLMSLCAKIRNASRAMHVFTSMEVHGIKPTTSLFNSLIHACLSSKDSITALSLFEIMQSSEDYKPNDETYETFIIGFSSLGNTDAMKSWYSAKKAAGYCATLQTYESLVSGCIKARDFDGADRFYDEIKSTGIMTSETILENLLEGFCRRRRFNQVKEFIKSCLEVWQEISVWMVEKVVGLYSEHEKVEEMGELLSTVVESGQAVTVLSQVNSGIIRMYATLNRLDDVEYSVGRMLKEGLSFRCADDVEKVICCYFRGIGELGFLRD >KJB19159 pep chromosome:Graimondii2_0_v6:3:22941608:22942659:1 gene:B456_003G086900 transcript:KJB19159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETHEKRKALVRFKAGNSGFGGGETFFKLKFIARFYRSSIENVIPKEQVDKFKLPTKNIHIPAKNCWLKRGCKIPVTSRRLVKFRMRNNLDDKVLSNVLSHIFVRTWLYDHDKEHCTKPNTYSF >KJB18941 pep chromosome:Graimondii2_0_v6:3:18347658:18348640:-1 gene:B456_003G076300 transcript:KJB18941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKRSPITLSFLCKIFYLSIAGITLMQNCVIIGVIYKSPTLASALANLIPAFTFLLVLSLIFVAMPILFPRIQPHLHPFPSTMLTTSNNWLIGALFIAAISLSLSAKIVGQVVVLKGYPSEITLVSFYCLFGPIQFALVTFP >KJB20828 pep chromosome:Graimondii2_0_v6:3:43702258:43703549:-1 gene:B456_003G167200 transcript:KJB20828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWHACLDEYEKLVIRMNTPRVVIDNGVCPTATLVKVDSARRHGILLDAVQVLTDLNLSIKKAYISSDGQWFMDVFHVTDLNGNKLTDESVISYIEQSIETTHCDRSHGFNGLTALELTGTDRIGLLSEVFAVLADLQCDVVDAKVWTHNGRIASLIFVKDCNSGSPIEDSQQIDKIEARLRNVLKGDNDIRSAKTTVSMAVTHTERRLHQMMFADRDYERKPILEHKSELSAVTVQNWVERGYSVVNVQCKDRTKLLFDVVCTLTDMQYVVFHATIKTSGDKAYLVL >KJB20826 pep chromosome:Graimondii2_0_v6:3:43701212:43703888:-1 gene:B456_003G167200 transcript:KJB20826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWHACLDEYEKLVIRMNTPRVVIDNGVCPTATLVKVDSARRHGILLDAVQVLTDLNLSIKKAYISSDGQWFMDVFHVTDLNGNKLTDESVISYIEQSIETTHCDRSHGFNGLTALELTGTDRIGLLSEVFAVLADLQCDVVDAKVWTHNGRIASLIFVKDCNSGSPIEDSQQIDKIEARLRNVLKGDNDIRSAKTTVSMAVTHTERRLHQMMFADRDYERKPILEHKSELSAVTVQNWVERGYSVVNVQCKDRTKLLFDVVCTLTDMQYVVFHATIKTSGDKAYLEFYIRHRDGILVSSEPERHRLIQCLQAAVERRASEGVRLELCTSDRQCLLADVTRTFRENGLNVTRAEISTTSDVALNVFYVTDATGNLADPKTIEAVRQKIGLGNLKVKELPSVYHEKAEPEEQQAVGVGGAVLLSLGSLVRRNLYNLGLIKSYS >KJB20827 pep chromosome:Graimondii2_0_v6:3:43701232:43703809:-1 gene:B456_003G167200 transcript:KJB20827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWHACLDEYEKLVIRMNTPRVVIDNGVCPTATLVKVDSARRHGILLDAVQVLTDLNLSIKKAYISSDGQWFMDVFHVTDLNGNKLTDESVISYIEQSIETTHCDRSHGFNGLTALELTGTDRIGLLSEVFAVLADLQCDVVDAKVWTHNGRIASLIFVKDCNSGSPIEDSQQIDKIEARLRNVLKGDNDIRSAKTTVSMAVTHTERRLHQMMFADRDYERKPILEHKSELSAVTVQNWVERGYSVVNVQCKDRTKLLFDVVCTLTDMQYVVFHATIKTSGDKAYLEFYIRHRDGILVSSEPERHRLIQCLQAAVERRASEV >KJB20112 pep chromosome:Graimondii2_0_v6:3:39125506:39126417:-1 gene:B456_003G133600 transcript:KJB20112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFSGLGIGLSLVFGCLFLALVAELYYLLWWKKKRIVSSSQVEDDYSKYAKELIHLFCWKKSSPLHAPSTHGDLGINGVVEPDLEHGSTKDLLLKGFGEEGVESELMRLHNLAGPPRFLFTINEETKEDLDSEDGRSKGEKSRKGSRTRSLSDLMLTIDTPLASPPPLNPLDSYHHHGFNPLFESSTDGGGELNKLRPSSSSSPPPKFKFLRDAEEKLLRKLMVLEAEKRAHRNGGSLQDSGVKAAILADEIIEGSFLKFIVGEPLQYLPQYPSTSSQILPLPSSPSSFRPLDKKDKYAFGS >KJB18926 pep chromosome:Graimondii2_0_v6:3:18131026:18134245:1 gene:B456_003G075200 transcript:KJB18926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFLYHTYRKRHFLFLFSVFILSQSLPPMALTNPLSPPSSCTKGPGPVIPVTPLLSFLVRVQETALQTYGKSNFDPKHYVDLSLKSNLSTTVEAFDKLPKTENGSVSVKDFEGFIGKYFNDAGDDVVYAEPVDFVPEPHGFLPKVENPEVRGWALEVHALWKNFSRKVSSSVLHHPELHTLLPLPRPVIIPGSRFTEVYYWDSYWVIRGLLASKMYETAKAIVTNLIFMLDTYGHVLNGARAYYTNRSQPPLLSAMVYEIYNRTGDVEFVKKSLPPLIKEYRYWNSDIHELIIRDAEQCNHFLNRYYAMWNKPRPESSTIDEELASKFLNDSEKQQFYRELASTAESGWDFSTRWMRNSSDFTTLSTTTILPVDLNIFILRMELDIAFLAKTVGENAITEDFLRASQKRQKAFSAIFWNENMGQWLDYWLNNGADCEEPQTWKAENQNQKVFASNFVPLWIDLFNSDIHLVEKVTKSLKNSGLLCAAGIATSLTNSGQQWDFPNGWAPLQHMIVEGLSKSASMEARTVAKDIAERWIKTNYVAYKKTGAMHEKYDVEKCGEFGGGGEYTPQTGFGWSNGVVLAFLEEFGWPKDKKIDCD >KJB20205 pep chromosome:Graimondii2_0_v6:3:39988993:39990255:-1 gene:B456_003G138300 transcript:KJB20205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPELKLKPDSEPEPDSKSDSESEPKPDSESEPKPDSESEPKPEVDPPNNVRFDMNDGKRIFMCRRCRNHLATWENCSHYIGGGKQPGYLCYPVVNVKTDHVSSVWFLYKFPVVNVHCNGCDTHLGEKFFALSSPYFEQMHGSILLHPDRILLWDGTKEYHPPWEN >KJB18303 pep chromosome:Graimondii2_0_v6:3:6191916:6194853:-1 gene:B456_003G046200 transcript:KJB18303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTTTTISTSLMLWITISLLFFLVSPATSTEVDGAIASTKQRREQIVARQGAVAADDGRCSTIGMNILRNGGHAVDAAVAASLCLGVVSPGSSGIGGGAFMLIREANGKAQVFDMRETAPMKASQNMYAGNANLKANGGLSIGVPGQLAGLHKAWKQHGKLPWKRLVKPAESLARKGFKVSPYLQMQMESSKSAIMADKGLREVFTSNGELLKVGDICYNKKLARTLRKISVYGVQAFYNGSIGFNLVRDVQKAGGILTLTDLKKYEVKMREPITANVLGLKILSMPPPSSGGVSMTLILNILAQYAIPTGLTGSLGTHRLIEALKHAFAVRMNLGDPDFVNVSQVVADMLSPKFAQELKKTIHDNMTFGPKYYGGRWNQIDDHGTSHVSIVDTNRNAVAITNTVNAFFGSKILSPSTGIVLNNEMDDFSMPSNNSSKDTPPPAPSNFVRPGKRPLSSMTPTIILKDEKLKAVVGASGGANIIAGTTQVFLNHFALGMDPLSSVMAPRVYHQLIPNVVKYENSTNVIGDHFEVPETIRKDLQKKGHILQGPASLSVSQFIVHNLDGLKGNGELVAVSDPRKGGFPAGF >KJB19624 pep chromosome:Graimondii2_0_v6:3:34152514:34153377:1 gene:B456_003G111400 transcript:KJB19624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAHGGRKQLTSSESEDSNGASGAAHGPNWDYSWGWGSSPGSGWGYGSGSARSPVGFGKGYGFGFGFGSGSGSGSGSGYGYGTGDDGAHGGGYGAGSGEGNSGGSGSSDGSSTSWFSNKKDRHG >KJB19625 pep chromosome:Graimondii2_0_v6:3:34152661:34153377:1 gene:B456_003G111400 transcript:KJB19625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTMELTLFLIFLLGAVSMGGAHGGRKQLTSSESEDSNGASGAAHGPNWDYSWGWGSSPGSGWGYGSGSARSPVGFGKGYGFGFGFGSGSGSGSGSGYGYGTGDDGAHGGGYGAGSGEGNSGGSGSSDGSSTSWFSNKKDRHG >KJB19244 pep chromosome:Graimondii2_0_v6:3:27402056:27403918:1 gene:B456_003G091000 transcript:KJB19244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTSSAALSSRTFALGGRTGDIEVLSPSEGFVAPLTRFAFALTLLGGVLVIVWLEREISTGIQQRIGPKYACPLVILQALADGTKLLFKENILPSRGNTRLFSIGQAIAVISILLSFSVIPFSSCLILANLNIGIFLWIAILSIAPIGILMSGYESNNKYSFLGGPRVAAQSISYEIPITLCVLSISLLAIR >KJB17258 pep chromosome:Graimondii2_0_v6:3:10142446:10142941:-1 gene:B456_003G058800 transcript:KJB17258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLHKNKCLFKICYSLNILGRVVYVIIKYNGKHPTIKASTTQASSEHK >KJB17259 pep chromosome:Graimondii2_0_v6:3:10142446:10142841:-1 gene:B456_003G058800 transcript:KJB17259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLHKNKCLFKICYSLNILGRVVYVIIKYNGKHPTIKASTTQASSEHK >KJB19199 pep chromosome:Graimondii2_0_v6:3:24014477:24018203:-1 gene:B456_003G088200 transcript:KJB19199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINNSVGIQTSGDAKHFAISAKIPEFTNKNRTLVIQYSIRFEQDIECGGGYMKLLSGFVNQKKFGGDTPYSLMFGPDICGTQTKKLHVILSYQGQNYPIKKELECETDKLTHFYTFILRPDASYSILIDNRERDSGSMYTDWDILPPRKIKDLKATKPADWDDREYIEDPSATKPEGYDSIPKEIPDPKAKEPDDWDEEEDGIWKAPKVPNPKYKGPWKPKKIKNPNYKGKWKIPYIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSVFDNVLICDDPEYAKQVVDEVFANREVEKEAFEEAEKVRKAREEEEAQRAREEGERRRRERGYDRRYRDRYRDKYRRHPRDIDEFHDEL >KJB19198 pep chromosome:Graimondii2_0_v6:3:24014347:24019726:-1 gene:B456_003G088200 transcript:KJB19198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHSSSIVTLKPLILLFLFRFSISEIIFEERFEDGWQSRWVKSDWKKSEGKAGSFKHTPGKWSGDPDDKGIQTSGDAKHFAISAKIPEFTNKNRTLVIQYSIRFEQDIECGGGYMKLLSGFVNQKKFGGDTPYSLMFGPDICGTQTKKLHVILSYQGQNYPIKKELECETDKLTHFYTFILRPDASYSILIDNRERDSGSMYTDWDILPPRKIKDLKATKPADWDDREYIEDPSATKPEGYDSIPKEIPDPKAKEPDDWDEEEDGIWKAPKVPNPKYKGPWKPKKIKNPNYKGKWKIPYIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSVFDNVLICDDPEYAKQVVDEVFANREVEKEAFEEAEKVRKAREEEEAQRAREEGERRRRERGYDRRYRDRYRDKYRRHPRDIDEFHDEL >KJB19649 pep chromosome:Graimondii2_0_v6:3:34387180:34392048:-1 gene:B456_003G112400 transcript:KJB19649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCSFVVGKKMKLDMSRRVRQKREHSWGEKTNISLETLDYGSLASATISGVDWTTLPDDSVIQLLSYLNYRDRASLASACLTFRLFNGDFCRDITDVALSVIAARHEMLESVHFGPDACERISSDAIKALAYCCPRLRRLWMSGVKEINGDAINALAEHCRKLKDVGFVESDNIDEVALGNLNSVKFLSVAGTRNLKWGSAAQVLSRLPCMVCLDISRTDVNLSAITRFLSSSQNLKVLCALNCPVFEGEVDSNTMQSHKGRVLLTFLNGIFKGVTSLFADNSKNVTDVLQYWRRTKNRDKNLDEIVVWIEWVFSYSLLRIAENNLKELDDFWLTQGAAVLLSLLRSSQEEVQERAATAVASFVVIDDENSAVDCQRAEAILRGDGIRLLLDLARSCQEGLQSEAAKAIANLSIDSKVAKAVAESGGINILANLAKSMNRLVAEEAAGGLLNLSVGDDHKAGGIKALVDLIFKWSSNTDGLLEHATGALANLGADEKCSMEVAVAGGIHALAMLARTCKFEGVQEQAARALAKLAAHGDSNSNNAAIGQEAGALEALVQEAAGALWNLSFDDKNREAIAAAGGVEALV >KJB18268 pep chromosome:Graimondii2_0_v6:3:5614622:5618407:-1 gene:B456_003G043600 transcript:KJB18268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESQLGDDRSGATSPDNSVKNDKKKKFNIPKIFSSKRRGRGGSDDDFASSECDTISADLEKKIASRNKDFLEASSYIRRSFMERKESGGLLEGLNLSNFECSMASMTEIRDFRIFVATWNVGGRTPNSDMNLEDFLLMEHSADIYVCGFQEIVPLNAGNILVSEDNEPAAKWLALINQALNKPHLSSINSTPDSGNDLKNSNTNVSKESKSPTNAHIFDKPVLKVLNKNFRAGSSLLKTCNCPADSTSGENRRKNTVQDLANELDLGSRYNIGELVSLSDMFPLCSIEEMNYRLIASKQMVGLFLSVWARKELVPYIAHVRVCSVGRGIMKRLGNKGCIAVSISLHQTSFCFVCSHLASGEKEGDEIKRNADVSEILKGIQFPIICKTPNHLAPEKIIEHDRVIWLGDLNYRVALSYETTKSLLEDNNWDTLLEKDQLNMEREAGRVFDGFKEGRILFAPTYKYSQNSDSYAGENGKSKKKRRTPAWCDRILWRGSGIDQLSYIRGETRFSDHRPVSSLFSVEVQVKRKHDTRFRKGYSCGVRRFEYDACIPRRHSFYDY >KJB18269 pep chromosome:Graimondii2_0_v6:3:5614785:5618101:-1 gene:B456_003G043600 transcript:KJB18269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESQLGDDRSGATSPDNSVKNDKKKKFNIPKIFSSKRRGRGGSDDDFASSECDTISADLEKKIASRNKDFLEASSYIRRSFMERKESGGLLEGLNLSNFECSMASMTEIRDFRIFVATWNVGGRTPNSDMNLEDFLLMEHSADIYVCGFQEIVPLNAGNILVSEDNEPAAKWLALINQALNKPHLSSINSTPDSGNDLKNSNTNVSKESKSPTNAHIFDKPVLKVLNKNFRAGSSLLKTCNCPADSTSGENRRKNTVQDLANELDLGSRYNIGELVSLSDMFPLCSIEEMNYRLIASKQMVGLFLSVWARKELVPYIAHVRVCSVGRGIMKRLGNKGCIAVSISLHQTSFCFVCSHLASGEKEGDEIKRNADVSEILKGIQFPIICKTPNHLAPEKIIEHENCEYCSRVIWLGDLNYRVALSYETTKSLLEDNNWDTLLEKDQLNMEREAGRVFDGFKEGRILFAPTYKYSQNSDSYAGENGKSKKKRRTPAWCDRILWRGSGIDQLSYIRGETRFSDHRPVSSLFSVEVQVKRKHDTRFRKGYSCGVRRFEYDACIPRRHSFYDY >KJB20821 pep chromosome:Graimondii2_0_v6:3:43670129:43672947:-1 gene:B456_003G166900 transcript:KJB20821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPLKCCRLYNQKRCQNFFVFVFLISSLFAMAATTNTTTTPPDSVSADELAAKAVHKRYEGLVMVRTKAIKGKGAWYWAHLEPMLVHNTDTGLPKAVKLRCSLCNAVFSASNPSRTASEHLKRGTCPNFNSLAKPISSVSPSPTAMITPTATQSNRKRSSSSVTVTAAGGVLVASGSGSVSGSGCSYQATPLAIVDPSRFCGELAYSPSPGAVVIASGGTGNLLPQQQHLVLSGGREDLGALAMLEDRVKKLKSPKASPGPTLSKSQIECAVGFLADWMYECCGSVSFSSLEHPKFRAFLNQVGLPPVSTRELVGSRLDVKYEEVKAESEARIRDAMFFQIAADGWKVKNFSSGEESLVNLTVNLPNGTSLYRKAIFFTGSVPSKYAEEVLLETLTGICGNAVQQCAGIVADKFKSKALRNLESQHHWMVNLSCQFQGFNSLMKDFTKELPLFKTVTENALKVANFINSTSQIQSSFQKYQLQECGIARLLRVPLRDHEFGPVYTMVEDILNSSQALQLVLLDETYKLVSMEDPVAREVAEMIRDMGFWNDLEAVHSLVKLIKEMAHEIETERPLVGQCLPLWDELRTKVKEWCSKFQIPEGPVEKVIERRFKKNYHPAWSAAYILDPLYLIKDMSGKYLPPFKCLTPEQEKDVDKLITRLVSTEEAHIALMELMKWRTEGLDPVYARAVQMKERDPITGKMKIVNPQSSRLVWETYLTEFKFLGKVAARLIFLHATSCGFKCNWSMLRWIAAHGHSRVSIEKAQKLIFIAAHNKLERRDFSSEEEKDAQLFALANNEDDVLNEVLVETSSV >KJB18406 pep chromosome:Graimondii2_0_v6:3:7483408:7486791:-1 gene:B456_003G051000 transcript:KJB18406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLKSGGGIASLGKRLLNRTSTRTPSLFISTSSPTRSPHASAYDKNLDDQVHATVVPDDVIQPQSDKYWAPDPRTGVFGPATEQLNASAGGEQSTHSSGANSVLEEKAWFRPTSIEDLEKPHHH >KJB17712 pep chromosome:Graimondii2_0_v6:3:733319:734435:1 gene:B456_003G011700 transcript:KJB17712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTHSYHQFPPTFLNQSPPTDKLINTQSRVGHSMTIIKHPTSTTLRFLSSINSRRFQYPRRRFLLVNGSVRFKPMATKLEETMIKGAGEDSKKGGKGEEPGKGIQPPPPPPEKPEPGDCCGSGCVRCVWDVYYEELEAYNKLYKSDSNGSKSNSS >KJB20773 pep chromosome:Graimondii2_0_v6:3:43397112:43397351:-1 gene:B456_003G164100 transcript:KJB20773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNIKIWLIYLALISMVVVQVDATTRNGVMYIHPGVLDPCKRPGGPHPGCHPNPKSTPMQANTYNRGCSRHHRCRHR >KJB19169 pep chromosome:Graimondii2_0_v6:3:23423942:23430935:1 gene:B456_003G087300 transcript:KJB19169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSVPVIGVDDGPKSPKMVGNDQPKETQKRKRASWVWEALSDEQREAQIKRLKQEMDGLFGYYKEVVEQKSGLGMELLESGCSLNSLVAVLMEESDLPLLRLIETIHEKVKDRMENVSMAAVKSAVLFVGQRVKYGLGNEDADVLEDDSQSSLWCWETRDLKLMPKSARAALRTRRTCRNKISERITAVSAMITLLEKWEDNQKYNHGLVKASEKLFKVLNEADIRFLMRNMSQQSGAEMDAKEAKQEEKLLIKQLERNKREMEQEKKKLDRELQKEKLQNEKERKRLLEEAEKSHRRREREEAEMRKQLRKQQEEAERDHLRREKEEAELKKKLSIQKQASVMERFLKKCKTSPTQMEELTKRFTFCASTQKIEKLPEPITLSMDNALSSNEEINADYLRKLHLSSWRNLGHSLRSNQKQCWGMRKKPKTDLFKELKLTANKRLSQDELSVERLVDVWGEQNSDFNKSCVRKQLLQFDASYRPAFYGIWPKKSNVVGPRCPWRKDPDLDYDVDSDEEWEEEEPGESLSDHDKDEDEESCDGCTKADEDETEDGFFVPDGYLSENEGVQVDRMEIDVHVEETQSSHINEQVTQNEEFGALLRQQNYLNNLTEHALRKNQPLIILNLLHEKASLLMAEDLNGNPKLEQACLQALSLLACPGAPSVEISLDSMVYDNQEVCLSGGKAVATPVSSVESIPDSDLPLIVSTIQSCSHGINRLVESLQLKFPSIPKTQLRNKVREISDFFDNRWQVKKEILVKLGMSSSPGKSGGRTKTIAAFFTKRCLPPNKGIGDRSNPIETSPQQLMKPGCDGQGQEQTSCTYNHHTS >KJB19168 pep chromosome:Graimondii2_0_v6:3:23423942:23430770:1 gene:B456_003G087300 transcript:KJB19168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSARAALRTRRTCRNKISERITAVSAMITLLEKWEDNQKYNHGLVKASEKLFKVLNEADIRFLMRNMSQQSGAEMDAKEAKQEEKLLIKQLERNKREMEQEKKKLDRELQKEKLQNEKERKRLLEEAEKSHRRREREEAEMRKQLRKQQEEAERDHLRREKEEAELKKKLSIQKQASVMERFLKKCKTSPTQMEELTKRFTFCASTQKIEKLPEPITLSMDNALSSNEEINADYLRKLHLSSWRNLGHSLRSNQKQCWGMRKKPKTDLFKELKLTANKRLSQDELSVERLVDVWGEQNSDFNKSCVRKQLLQFDASYRPAFYGIWPKKSNVVGPRCPWRKDPDLDYDVDSDEEWEEEEPGESLSDHDKDEDEESCDGCTKADEDETEDGFFVPDGYLSENEGVQVDRMEIDVHVEETQSSHINEQVTQNEEFGALLRQQNYLNNLTEHALRKNQPLIILNLLHEKASLLMAEDLNGNPKLEQACLQALSLLACPGAPSVEISLDSMVYDNQEVCLSGGKAVATPVSSVESIPDSDLPLIVSTIQSCSHGINRLVESLQLKFPSIPKTQLRNKVREISDFFDNRWQVKKEILVKLGMSSSPGKSGGRTKTIAAFFTKRCLPPNKGIGDRSNPIETSPQQLMKPGCDGQGQEQTSCTYNHHTS >KJB19586 pep chromosome:Graimondii2_0_v6:3:33744087:33748725:-1 gene:B456_003G109800 transcript:KJB19586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNSDERERERERKGQYRIMKEIQGEEASQTQPNSDNGVVQEVMKLQSVQHLLEPVLEPLIRRVVKEEVEVAFRKHLNNMKRNGGKDVNSTSRSLQLQFLNNLSLPVFTGTRIEAEECSAIKVAIVDSLTGQIVSSGPESSAKVEVVVLEGDFDGDEGDNWTLEEFKNNIVREREGKKPLLAGDAFLTLTRGIGLVGEISFSDNSSWTRSRRFRLGARVVDGSDGTRVREAKTESFIVRDHRGELYKKHHPPSLSDEVWRLEKIGKDGAFHKRLSRENINCVKDFLTMLFIDPPRLRHILGTGMSAKMWEVTVEHARTCVLDKKMHLYCPPGSQQKSGVVFNIVGQVMGLLSECQYNTIDKLSETEKIEAQNLVISALQHWEEVVSFDDEASLTSSVKREISNGTKYLASQEIRGFDYAQPSASSPDIISTIYSVGGLSGLDDYALHGYEQALSYPGQVTNSLICDTDITQTFCDEDHLRYFDSDLQPQSLGLESQADLQTAVDGFLLQRTVAVQAQRRWTKIFSVLKWFSIKRRVKEKFRGLQI >KJB19585 pep chromosome:Graimondii2_0_v6:3:33744282:33748285:-1 gene:B456_003G109800 transcript:KJB19585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKRPQEDSTKGRPSEAFTPDQDKRRRVPTLRNVVQEVMKLQSVQHLLEPVLEPLIRRVVKEEVEVAFRKHLNNMKRNGGKDVNSTSRSLQLQFLNNLSLPVFTGTRIEAEECSAIKVAIVDSLTGQIVSSGPESSAKVEVVVLEGDFDGDEGDNWTLEEFKNNIVREREGKKPLLAGDAFLTLTRGIGLVGEISFSDNSSWTRSRRFRLGARVVDGSDGTRVREAKTESFIVRDHRGELYKKHHPPSLSDEVWRLEKIGKDGAFHKRLSRENINCVKDFLTMLFIDPPRLRHILGTGMSAKMWEVTVEHARTCVLDKKMHLYCPPGSQQKSGVVFNIVGQVMGLLSECQYNTIDKLSETEKIEAQNLVISALQHWEEVVSFDDEASLTSSVKREISNGTKYLASQEIRGFDYAQPSASSPDIISTIYSVGGLSGLDDYALHGYEQALSYPGQVTNSLICDTDITQTFCDEDHLRYFDSDLQPQSLGLESQADLQTAVDGFLLQRTVAVQAQRRWTKIFSVLKWFSIKRRVKEKFRGLQI >KJB20372 pep chromosome:Graimondii2_0_v6:3:41194928:41197231:1 gene:B456_003G145200 transcript:KJB20372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLDSKESPANNPGLHFPPDEATKGYIMQQTMFRIKDPKRTLEFYSRVLGMTLLNKVDVPYMKMTLYMMGYEDVSSAPTDPVEKTIWTFGRPATMELTHFWGTENDPEFKGYHDGNSEPIGFGHIGLTVDDLYKACERFESLGVEFVKKPSDGFAFIKDPDGYWIEIFDLKGIRQIVNSLA >KJB19466 pep chromosome:Graimondii2_0_v6:3:31904404:31905828:1 gene:B456_003G104200 transcript:KJB19466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTFLHLHDPEDDLVRDNHHHHHHEQTLTLDSLPYWSRDFHFFSSPDADLPHPDEDVSLPDSLIVNGPDLFDRRENQVNFVIDLFHQRVEQSQVSSGNTPNNNSNSGNGNGNNTSNNDAADLVSDALSESGFGVIEGNHELDLGLALGFDSMDTHSSDVEIDIGGGEYEDDHFFVERRVSGLSASEAASNFSSVDRFGDSMRIIGFRSDSEDDDENENDNRTLTIDLNSGDDYGIDDHVNDCYDVGADDDVSVSIPLFLDSLQLEDRRETIEDFEWEEVDGRVDERDVLSVFVDGDDDVNSVSLSISPMIAPEDAVSFERAAGLETLEWEVLLNANNLETTPEMGENADPFFADRDDYIDTAEYEMLFGQFAENENAFIGKPPASKSVVENLPCVVVTQEDVVNNNALCAVCKDEVNLGEKMKQLPCAHRYHGDCIIPWLGIRNTCPVCRHELPTDDADYERRRSIRAGRAL >KJB17939 pep chromosome:Graimondii2_0_v6:3:2280002:2282686:-1 gene:B456_003G025800 transcript:KJB17939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKPQLPLICLLALIAIVRANDDCAPLRCGNLTIGYPFRLKTQPRNCGDPRFELDCENSTDSVVLIIEQEKFYVQNISYSDYTIRFVDPSLVDTNNCSIPLSSFPLSGSSCDQYMIETIDFQFMYIVNCMTLMESRIYIDASRCINGSGSSSSQQSYFYFLVGRTPPSEFDPSCTVVARVPGDFRNISGLPTSDVYEKLSKGFEMSWRYYNMSCEDDQGFFIVHMLSSLRYGLVIYLESFIHYLFNGPHLASDTEHTPPSSYTTSLMVTGGVLIPRTLLGIIGLIALVTYKWQRKHLSADDKIEEFLRSQNNLMPIRYSYNEIKRITKGFKDKLGEGGYGSVFKGKLRSNHLVAVKLLGKAKTNGQDFISEVATIGRIHHVNVAKLVGFCVEGSKQAFVYDFMPNGSLDKIIFSGEDKITLSWQKTFEIAIGVARGIEYLHRGCDTQILHFDIKPHNILLDENFVPKVSDFGLAKLYSVDDSIVSLTAARGTLGYIAPELVYKNIGGISYKADVYSFGMLLMEMIGKRKNLNEFVEHSSQTYFPSWVYDRFNQGEDIELGDAPLTSTEKTIVTKMIIAAFWCIQMKPTDRPSMSKVLEILDTDIKFLEMPPKPYQLLLESSSSTEDYFTKSTLVDYN >KJB17871 pep chromosome:Graimondii2_0_v6:3:1553578:1556388:-1 gene:B456_003G020900 transcript:KJB17871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSLWKPISHCAALLLDKKSSKRRDGSESAAEIKKNPSVLRRLHENKLREALEEASEDGSLFKSQNIEPDSTNQDESLGRSRSLARLHAQREFLRATALAAERTFETEDSIPDIHEAFNKFLTMYPKYQSSDKVDQLRSDEYAHLSPKVCLDYCGFGLFSYVQTLHYWESSTFSLSEITANLSNHALYGGAEKGTVEYDLKSRIMDYLNIPEHEYGLVFTVSRGSAFKLLADSYPFHTNKKLLTMFDYESQSVNWMTQSAREKGAKVYSAWFKWPTLKLCSTDLRKQISNKKKRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMGSLQNQSGSTGSGMVKITPEYPLYLSDSVDGLDGLGGIEDNEVGTNGDKPSDNRPGTQLPAFSGVFTSSQVRDVFETEMDQDNSSDRDGASTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPLGSDGAGQLNKQKIASPLPPFWFSGRKNHKRPSPKPTSKIYGSPIYDDKDANLGHDDHVLSFDAAVLSVSQELDRVKEIPEEELFAGTNIRSQNQKKASDHSHVPEIEEEQSSSKPLAVGSVSGSAINRARLKNGLANGSTSEISSEIKESAIRRETEGEFRLLGRREGNRYNGGRFFGLEDEHPSRGQRVSFSMEDTRKERTSHTLEPGEVSVTSLDDEDYTSDGEYGDGQDWDRREPEIICRHLDHVNMLGLNKTTLRLRFLINWLVTSLLQLKLPNPDGDSRVNLVYIYGPKIKYERGAAVAFNVRDRNKGLINPEIVQKLAEREGISLGIGFLNHIRILDSPRQQQGALSLEDTTLCKPMENGQHDGKSRFIRVEVVTASLSFLTNFEDVYKLWAFVAKFLNPSFIREGTLPTVAEEEPET >KJB17870 pep chromosome:Graimondii2_0_v6:3:1553099:1556388:-1 gene:B456_003G020900 transcript:KJB17870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSLWKPISHCAALLLDKKSSKRRDGSESAAEIKKNPSVLRRLHENKLREALEEASEDGSLFKSQNIEPDSTNQDESLGRSRSLARLHAQREFLRATALAAERTFETEDSIPDIHEAFNKFLTMYPKYQSSDKVDQLRSDEYAHLSPKVCLDYCGFGLFSYVQTLHYWESSTFSLSEITANLSNHALYGGAEKGTVEYDLKSRIMDYLNIPEHEYGLVFTVSRGSAFKLLADSYPFHTNKKLLTMFDYESQSVNWMTQSAREKGAKVYSAWFKWPTLKLCSTDLRKQISNKKKRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMGSLQNQSGSTGSGMVKITPEYPLYLSDSVDGLDGLGGIEDNEVGTNGDKPSDNRPGTQLPAFSGVFTSSQVRDVFETEMDQDNSSDRDGASTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPLGSDGAGQLNKQKIASPLPPFWFSGRKNHKRPSPKPTSKIYGSPIYDDKDANLGHDDHVLSFDAAVLSVSQELDRVKEIPEEELFAGTNIRSQNQKKASDHSHVPEIEEEQSSSKPLAVGSVSGSAINRARLKNGLANGSTSEISSEIKESAIRRETEGEFRLLGRREGNRYNGGRFFGLEDEHPSRGQRVSFSMEDTRKERTSHTLEPGEVSVTSLDDEDYTSDGEYGDGQDWDRREPEIICRHLDHVNMLGLNKTTLRLRFLINWLVTSLLQLKLPNPDGDSRVNLVYIYGPKIKYERGAAVAFNVRDRNKGLINPEIVQKLAEREGISLGIGFLNHIRILDSPRQHISQLPN >KJB21204 pep chromosome:Graimondii2_0_v6:3:45756169:45758218:1 gene:B456_003G187500 transcript:KJB21204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTDGIRWSKGSCRGGASSSSGGRKGRSIGKNRVFQSSFLGAPSGADSHFGRQVVVVDHHNKDYGSNFTNQNRRERESLSDQMKRECSRKVKAESPSDCEMPLPCISSNGVDIDASRSGSSSGRATTALRRANSLGSSRAYPVSPPSLTILNRDDNFETLEHRQLSPNSSSINLYSPLTFNDSDTDRERDRRVGVGSRESVERSVRFSRTLSVGRLRERVLRRPSLSNFTLCPLRQNGQVGSQTHAFRGDRDTRQFATSPSTSTSVSGSAHTTTVISHTPVFNSQGHEVETSQSREARYHDLLEHRSNFLESRRRIRSQVRALQRLGSHFENFSGHERSCILSGLHRTGGCTCRVNNRDSNSNDKTSARARPSRIVMLAEALFEVLDEIHQQSEVLSSRPSVSSIGSVPAPNEVVELLPVKIYNKSHKLHNDETG >KJB17612 pep chromosome:Graimondii2_0_v6:3:470676:471645:1 gene:B456_003G007800 transcript:KJB17612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDSVFEFFDCDWPSHKKLKKKILQTVEIKVKMDCEGCERKVKKSVQGMKGVTQVEVNPKQSKLTVVGYVDPDKVLERVRHRTGKKVEFWPYVPYDLVPHPYAPGAYDKKAPPGYVRNVVGDPQAGELARATSFEVKYTTAFSDENPNACVIM >KJB20111 pep chromosome:Graimondii2_0_v6:3:39092818:39095952:-1 gene:B456_003G133500 transcript:KJB20111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKADALYINPKKFGSLTKPCMKEMISFLNCMALNKVNDEKCVRQKDLLNACMDAQSTKNRKPWGSINYHLQRLNRGRK >KJB17569 pep chromosome:Graimondii2_0_v6:3:375546:379107:1 gene:B456_003G006700 transcript:KJB17569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAHCLFAVPMDTSIHPKISIPPSFCSTESPSSLPFPSFSSPFPPLSKARNLSIFPRINRVGHKEKAEPRESEVNIEADAFSHFKHLLLPITDRNPYLSEGTRQAAATTAALAKKNGAEITVVVIDEKQKESLPEHETQLASVRWHLSESGFKEFKLLERLGEGSKPTAIIGEVADDLNLDLVVMSMEAIHSKHVDANLLAEFIPCPVLLLPL >KJB19219 pep chromosome:Graimondii2_0_v6:3:25397723:25417924:-1 gene:B456_003G089300 transcript:KJB19219 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase I subunit 1 [Source:Projected from Arabidopsis thaliana (AT3G57660) UniProtKB/Swiss-Prot;Acc:Q9SVY0] MAQITEGATDSVDAVWFNFMTTEEVRKHSVLKLTNANLLDFMNRPMPGGLYDPVLGPLEDRTPCKSCGLLKLHCPGHCGHIDLVSPIYNPLLFNFLHTLIQRTCFFCYHFRAERTEVEKCISQLKLIGKGDIVGAKRLDSDSKDSSSHPENSEGCQKLGSRLHESEAVNPKEWTSLQLTEAMSVLNKFLKVKYKRCKNCDAKNPAITKPTFGWFHTSGMSGSQMRENVIRGCKMADTFSGEVGSDIEDANDASSSEEGVDITKTKTPEIGFKGADGTSAKARKKAKVPLEFLKQKNLFSGPLLPSEVKKVMKLLWENEIELCSLINCIQQQGFGEKVGYSMFFLETVLVPPIKFRAPTKGGDSVMEHPQTVLLSKVLQANISLGNAYNNDLQSSKVIVRLWMDLQQSINLLFDSKTAMSQRRDVSPGICQLLERKEGMFRQKMMGKRVNFACRSVISPDPYLAVNEIGIPPYFALRLTYPERVTPWNVVKLREAIINGSELHPGATHYVDKLSTQRLPPNRKARISISRKLPSSRAAITQPGKNFDYEFEGKIVLRHLQDGDVVLVNRQPTLHKPSIMAHVVRVLKGEKTIRMHYANCSTYNADFDGDEINVHFPQDEISRAEAYNIVNANNQYVRPSNGEPLRALIQDHIVSAVLLTKRDTFLSRDEFNQLLYSSGVSGLAQHHRLGKSGQKVLISSSEEGMLPILPAILKPKPLWTGKQVITAVLNHITRGRPPFTVEKTGKTPRDFFKNRSNENKPKSVGQEGKDAEENSNKEPDEEKILIYKNDLVRGVIDKAQFADYGLVHTVQELYGSNTAGFLLSVFSRLFTVFLQMHGFTCGVDDLLIMNDKDIERKKQLEECEKKVTEAHYEFCAVKVDTEIAPIELQLKIEKTIRRDGEAALTALDRTMISVLNKNSSQGVLTELLSEGLVKPMGRNCISLMTTTGAKGSKVNFQQISSFLGQQELEGKRVPRMVSGKTLPCFHPWDWAARAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLECLKISYDHTVRDADGSIVQFNYGEDGIDVHQTSFVTKFEALALNCDVMSEKLSSMLGEPYDSGKILPDDLRDKAVQFIKDNRQQKIKTKNFLKLLKLKFLSSLAQPGEPVGVLAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTASIDIKTPVMTCPLHKGKTKEDALRLADKMKKITVAEILESMGVSVTPFAIHNGDICSIYKLKMRLGKPGRYLKNSDITVTDCQHILEVVFLRELEDAIQNHLVLLSRISGIKNFMPDSRPNASSETDEDVPESRSHETENDDDTDDEGRAEDLGLDAQKQKQQATDEMDYEDGSEEEQNEGASLAGLESENDMSEDENGTIENNVIGSDNEKDDIFHGSPNAEDGSKLKSREGNTRAEPKRKKMRGKFIRKETDRAIFSATKGLVFEVHFKFVNEPHILLAQIAEKTAKKVYIQSFGKIDQCRVTDCSENQVFYYGEDPKQRKSPSGKANIPALHTAGVDFGAFWKMEGHLDVRYLYSNNIHAMLNTYGVEAARETIISEISNVFTSYGIGVNIRHLTLIADFMTHSGRYRPMSRLGSIAECISPFSKMSFETASKFIVDAAKHGLVDNLETPSSRICLGLPVKMGTGSFGLMQNVEI >KJB17315 pep chromosome:Graimondii2_0_v6:3:4848613:4852803:-1 gene:B456_003G040800 transcript:KJB17315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKLQEHRCWWSWWSLEYEPQIFVVIFADAVDYACTPEEVQQHFQSCGTVNRVTILTDKYGQPKGFAYVEFLEAEAVQEALVLNESELHGRQLKVMPKRTNVPGMKQYRPRRFNPYMGYRSRRPYVPPYFYSPYGFGKIPRFRRPMRYMPYY >KJB17317 pep chromosome:Graimondii2_0_v6:3:4848934:4852991:-1 gene:B456_003G040800 transcript:KJB17317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEHEVYGGEIPDEGEMEGELDPQNADVDMSAADEDAVKELDEMKKRLKEMEDEAAALREMQAKVEKEMGAVQDPAGATANQASKEEADSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKYGQPKGFAYVEFLEAEAVQEALVLNESELHGRQLKVMPKRTNVPGMKQYRPRRFNPYMGYRSRRPYVPPYFYSPYGFG >KJB17318 pep chromosome:Graimondii2_0_v6:3:4848613:4853131:-1 gene:B456_003G040800 transcript:KJB17318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKLQEHRCWWSWWSLEYEPQIFVVIFADAVDYACTPEEVQQHFQSCGTVNRVTILTDKYGQPKGFAYVEFLEAEAVQEALVLNESELHGRQLKVMPKRTNVPGMKQYRPRRFNPYMGYRSRRPYVPPYFYSPYGFGKIPRFRRPMRYMPYY >KJB17316 pep chromosome:Graimondii2_0_v6:3:4848613:4853131:-1 gene:B456_003G040800 transcript:KJB17316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRLKEMEDEAAALREMQAKVEKEMGAVQDPAGATANQASKEEADSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKYGQPKGFAYVEFLEAEAVQEALVLNESELHGRQLKVMPKRTNVPGMKQYRPRRFNPYMGYRSRRPYVPPYFYSPYGFGKIPRFRRPMRYMPYY >KJB17319 pep chromosome:Graimondii2_0_v6:3:4848613:4853171:-1 gene:B456_003G040800 transcript:KJB17319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEHEVYGGEIPDEGEMEGELDPQNADVDMSAADEDAVKELDEMKKRLKEMEDEAAALREMQAKVEKEMGAVQDPAGATANQASKEEADSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKYGQPKGFAYVEFLEAEAVQEALVLNESELHGRQLKVMPKRTNVPGMKQYRPRRFNPYMGYRSRRPYVPPYFYSPYGFGKIPRFRRPMRYMPYY >KJB18998 pep chromosome:Graimondii2_0_v6:3:19707167:19708295:1 gene:B456_003G080100 transcript:KJB18998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NVIVEYPFRLTNQPDCCGHPDFNLSVSCRSRYHLPDQTIISHLPSTPLSSCLELAEISVPLRSPGWPTNYIDDILLTWEQPYCVFPPCNHCESNCESENGDGECSRKKRGLSSGTKYAVIFIVGTPISLIAVCIIYYNIRVHCYDHRHQPNAEISSLTEEQQLADITVNGLDGSRIEAYPITLLGENFELPRPNDNTCSICLSEYQAKETIRTIPDSNHYFHANCIDEWFKLNAACPVCRNTPDHDSARLITRSTSAFSSRPPL >KJB17465 pep chromosome:Graimondii2_0_v6:3:102505:104796:-1 gene:B456_003G001700 transcript:KJB17465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADQQTLGYLGRQEFYNALKFVTVAQSKRDLTPDMINAALYGPASAKIPAPQINLATVPTPQSNLATLGTPGLGNVGVNHQHLQSQQNQVMRPTQAMPSIISSQTEQVLAAQGMLMGGNIVAPRLPTSNSSINWQSRNSGGLIGGANNQVHSQGIGPSTSQDGFGQTSLGLTLFMQPRPLATPRQISSTTVKRQDMPSSQLTEKDPKELVASGKGFVSDSFLGDFSATSLQSKQLLWQPHHLQLAQLSQ >KJB17466 pep chromosome:Graimondii2_0_v6:3:103098:104982:-1 gene:B456_003G001700 transcript:KJB17466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTWLISDLVAWRWRDIPNNTDLFVAYFRNARSVEPRLLPFFKAPIWSQNALSQVLMHADQQTLGYLGRQEFYNALKFVTVAQSKRDLTPDMINAALYGPASAKIPAPQINLATVPTPQSNLATLGTPGLGNVGVNHQHLQSQQNQVMRPTQAMPSIISSQTEQVLAAQGMLMGGNIVAPRLPTSNSSINWQSRNSGGLIGGANNQVHSQGIGPSTSQDGFGQTSLGLTLFMQPRPLATPRQISSTTVKRQDMPSSQLTEKDPKELVASGKGFVSDSFLGDFSATSLQSKQLLWQPHHLQLAQLSQ >KJB17531 pep chromosome:Graimondii2_0_v6:3:241124:242693:1 gene:B456_003G004000 transcript:KJB17531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIPPSFRPAISNSLTRKLPLEPPTSIPHHSPEKTTKKKPPQHPKKPQASGKAEALFKSPDLSSAKKVFNYIVATTKSSPDLRFHNTLLQSYASISTLNDSISLLHHMIKTQPSFSPDRSTYHVLLSQSCKAPDSSLSAVNQILNLMVNNGLKPNQVTTDIAIRSLCEVGRIDHAVELVKELSLKQSPPDNYTFNLLVKNLCKCRTLSTVYSFIDHMKSSFGIKPDLVTYTILIDNVCNSKNLREAMRLVGVLNDSGFKPDCFVYNTIMKGYCMLSKGSEAIEVYKKMKEEGIEPDLVTYNTLIFGLSKSGRVKEAKKYLDILVESGHFPDAVTYTSLMNGMCREGNALGAMVLLEEMERKGCTPNSCTYNTLLHGLCKCRLMEKGMDLYGAMKLAGMKLETASYATLVRALCREGRVAEAYEVFDYAVESKSLTDVAAYSTLEVTLKWLKKAREQGLAV >KJB17530 pep chromosome:Graimondii2_0_v6:3:241044:243757:1 gene:B456_003G004000 transcript:KJB17530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIPPSFRPAISNSLTRKLPLEPPTSIPHHSPEKTTKKKPPQHPKKPQASGKAEALFKSPDLSSAKKVFNYIVATTKSSPDLRFHNTLLQSYASISTLNDSISLLHHMIKTQPSFSPDRSTYHVLLSQSCKAPDSSLSAVNQILNLMVNNGLKPNQVTTDIAIRSLCEVGRIDHAVELVKELSLKQSPPDNYTFNLLVKNLCKCRTLSTVYSFIDHMKSSFGIKPDLVTYTILIDNVCNSKNLREAMRLVGVLNDSGFKPDCFVYNTIMKGYCMLSKGSEAIEVYKKMKEEGIEPDLVTYNTLIFGLSKSGRVKEAKKYLDILVESGHFPDAVTYTSLMNGMCREGNALGAMVLLEEMERKGCTPNSCTYNTLLHGLCKCRLMEKGMDLYGAMKLAGMKLETASYATLVRALCREGRVAEAYEVFDYAVESKSLTDVAAYSTLEVTLKWLKKAREQGLAV >KJB18046 pep chromosome:Graimondii2_0_v6:3:2833762:2836504:-1 gene:B456_003G031100 transcript:KJB18046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAATVVIQHPGNKIERIYWSVSANEIMGSNPGHYVALVVTSPTMKNENGTPVKQLKLLKPDDTLLIGQVYRLVSFEDVLKEFAAKKCVKLGKLLKENGGLGLGMELKKKRTDLPRTKLGSESGNCSGVKVEQEVNRVGTSGGGGGGGSRYIGRHHGGGGQWRPALQSIAEIGI >KJB18047 pep chromosome:Graimondii2_0_v6:3:2833790:2836367:-1 gene:B456_003G031100 transcript:KJB18047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAATVVIQHPGNKIERIYWSVSANEIMGSNPGHYVALVVTSPTMKNENGTPVKQLKLLKPDDTLLIGQVYRLVSFEDVLKEFAAKKCVKLGKLLKENGGLGLGMELKKKRTDLPRTKLGSESGNCSGVKEVNRVGTSGGGGGGGSRYIGRHHGGGGQWRPALQSIAEIGI >KJB17725 pep chromosome:Graimondii2_0_v6:3:793787:796516:1 gene:B456_003G012300 transcript:KJB17725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAVSLVVEKLTNLLAQQASYMDGVSRKIVQLRNELRWMQSFIKDADMKQEDNDLMQQWVNDVRDVAYETEEVIETYVSKAAARSTFDLVTKPFYLYKVGKEIESIRMRIREISGRRKAYGVERNSRGEGRGVNERLRWWRQPSPHVEEDDIIELVEDTKALLTQLTSMESRRRVVSVVGMGGLGKTTLAKRLYNHNDVKNHFDCRAWIYVSKEFRRRDILQGIVTDVNALNRVEMEVLEKLKEEDLLKKLHEFLEEQRYLVVLDDVWSMEVWDCLEKAFPSGKTGSKVMLTTRNKEVALHADGEGIPHEPRILTENESLQLFCKKAFHGINSLPRELNKLGKDMVMRCGGLPLAVVVLGGLLSRKSKSTEEWHRVFRNITWHLTKGQDRIAAILSLSYNDLPSHLKSCFLYLGLFPEDVSVQTKKLIHLWVAEGFLLQEGEETAEGVAEKCLNELIDRCMIQVGRLSSLGRVKTVRIHDLLRDLAISQGREEIFLEIHHGNKAEQSETISTKSRRHAIHSRYDRYIFLKKFAPHLRSLLFFNREYNVDVERKRMKIGFIEKKLNVIYKNFKLLRVLDMEGVRVVSLPDTIGSLIQLRYLGLRKTNLEEELPLSMGNLQNLQTLDLRYSCFLKRIPNVIWKMVHLRHLLLYTPFDSPESGHLRMDTLCNLQSLPYIEAGNWINNGGLANMTSLRQLGIDGLSREQVTSVISTMERLQDIQSLSLLLTEQEMFPILTGLSYCEHLQKLCFYGRIEKLPDPQEFPPNLIKLTLYNSELQRDSITKLERLPNLEMLVLGDGSYNWREMAFSSESFPKLEILKLHLLKELEDWIVEEKAMPKLKHLVIHRCEKLKQIPDGLKLATTLKELEIVGMPVEFEYRLRTKDFFEFKHIPSIKSTTDMLAIGNLIP >KJB18337 pep chromosome:Graimondii2_0_v6:3:6403456:6405568:-1 gene:B456_003G047300 transcript:KJB18337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 78A7 [Source:Projected from Arabidopsis thaliana (AT5G09970) UniProtKB/Swiss-Prot;Acc:Q9FIB0] MELGSVSKDTSWWVYTLPAFFGSKNLFDGYVLFSLFMAFLSIALFSWAFAVGGIAWKNGRNRKGTLPIPGPRGFPVFGSLFTLSRGLAHRSLAAMAWSRANTQLMAFSLGSTPVVVASDPHTAREILTSPHFADRPIKQSAKSLMFSRAIGFAPNGTHWRLLRRIASSHLFAPKRILAHEPGRQLDCTVMLRNIANEQKLHGSVCLRKHLQFAALNNIMGSVFGRRYDQRKDSEELEELRDMVREGFELLGAFNWSDYLPWLSYFYDPFRINQRCLQLVPRVRKLVKGIIEQHRQLSESKMLSDNADFVDVLLSLDGEEKLQEDDMVAVLWEMIFRGTDTTALLTEWVMAELVLHPEVQAKLQLEIDSATRNKTLTDADMAKLPYLQAVVKETLRIHPPGPLLSWARLSTSDVQLSNGMLVPANTTAMVNMWAITHDPKVWKDPVEFKPERFLEAEVDVRGGDLRLAPFGAGRRVCPGKNLGLVTVQLWVAKLMQGFKWVQDSVAHPVDLSEVLKLSCEMKFPLHAIAVEREDTLLP >KJB18304 pep chromosome:Graimondii2_0_v6:3:6208271:6224535:1 gene:B456_003G046300 transcript:KJB18304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLPLQELHFLSPRTTSKHRFYLSRCSSLSRVSLAGNGHLRNGVVRSRVRARKEEGVSYEEREREFIKEVNGGFGSNGNGSASKYVYKNGSVEGYNNTNGGVGVVESEGNGSLVKYVNGNGNGNGAAAEVMAAEVMQVVEKEGVVSEEARKKRVEDIGKEEAWFKRSTQDQVEVSVAPGGRWSRFKTYSTIQRTLEIWGFVLTFVFKAWLNNQKFSYQGGMTEEKKVLRRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGAPVGVIFDRFDYEPIAAASLGQVHRAKLKGQEVVVKVQRPGLKSLFDIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKDMDYVKVPSIYWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDFNGGRLIFYDFGMMGSISSNIREGLLEAFYGIYEKDPDKVLQAMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRREREMATTELGFKKPLTKEEKIAKKKERLAAIGEDLLAIAADQPFRFPATFTFVVRSFSVLDGIGKGLDPRFDITEIAKPYALELLKFREAGVEVVLKDFRKRWDRQSRAFYNLFRQADRVEKLAEIIQRLEQGDLKLRVRALESERAFQRVATVQKTVGSAVAAGSLINLATILYLNSIRVPAVAAYVICAFFSFQVLIGIIKVKRFDQRERLITGTA >KJB18306 pep chromosome:Graimondii2_0_v6:3:6208271:6225042:1 gene:B456_003G046300 transcript:KJB18306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLPLQELHFLSPRTTSKHRFYLSRCSSLSRVSLAGNGHLRNGVVRSRVRARKEEGVSYEEREREFIKEVNGGFGSNGNGSASKYVYKNGSVEGYNNTNGGVGVVESEGNGSLVKYVNGNGNGNGAAAEVMAAEVMQVVEKEGVVSEEARKKRVEDIGKEEAWFKRSTQDQVEVSVAPGGRWSRFKTYSTIQRTLEIWGFVLTFVFKAWLNNQKFSYQGGMTEEKKVLRRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGAPVGVIFDRFDYEPIAAASLGQVHRAKLKGQEVVVKVQRPGLKSLFDIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKDMDYVKVPSIYWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDFNGGRLIFYDFGMMGSISSNIREGLLEAFYGIYEKDPDKVLQAMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRREREMATTELGFKKPLTKEEKIAKKKERLAAIGEDLLAIAADQPFRFPATFTFVVRSFSVLDGIGKGLDPRFDITEIAKPYALELLKFREAGVEVVLKDFRKRWDRQSRAFYNLFRQADRVEKLAEIIQRLEQGDLKLRVRALESERAFQRVATVQKTVGSAVAAGSLINLATILYLNSIRVPAVAAYVICAFFSFQVLIGIIKVKRFDQRERLITGTA >KJB18308 pep chromosome:Graimondii2_0_v6:3:6208271:6223784:1 gene:B456_003G046300 transcript:KJB18308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLPLQELHFLSPRTTSKHRFYLSRCSSLSRVSLAGNGHLRNGVVRSRVRARKEEGVSYEEREREFIKEVNGGFGSNGNGSASKYVYKNGSVEGYNNTNGGVGVVESEGNGSLVKYVNGNGNGNGAAAEVMAAEVMQVVEKEGVVSEEARKKRVEDIGKEEAWFKRSTQDQVEVSVAPGGRWSRFKTYSTIQRTLEIWGFVLTFVFKAWLNNQKFSYQGGMTEEKKVLRRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGAPVGVIFDRFDYEPIAAASLGQVHRAKLKGQEVVVKVQRPGLKSLFDIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKDMDYVKVPSIYWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDFNGGRLIFYDFGMMGSISSNIREGLLEAFYGIYEKDPDKVLQAMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRREREMATTELGFKKPLTKEEKIAKKKERLAAIGEDLLAIAADQPFRFPATFTFVVRSFSVLDGIGKGLDPRFDITEIAKPYALELLKFREAGVEVVLKDFRKRWDRQSRAFYNLFRQADRVEKLAEIIQRLEQGDLKLRVRALESERAFQRVATVQKTVGSAVAAGSLINLATILYLNSIRVPAVAAYVICAFFSFQVLIGIIKVKRFDQRERLITGTA >KJB18305 pep chromosome:Graimondii2_0_v6:3:6208271:6220950:1 gene:B456_003G046300 transcript:KJB18305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLPLQELHFLSPRTTSKHRFYLSRCSSLSRVSLAGNGHLRNGVVRSRVRARKEEGVSYEEREREFIKEVNGGFGSNGNGSASKYVYKNGSVEGYNNTNGGVGVVESEGNGSLVKYVNGNGNGNGAAAEVMAAEVMQVVEKEGVVSEEARKKRVEDIGKEEAWFKRSTQDQVEVSVAPGGRWSRFKTYSTIQRTLEIWGFVLTFVFKAWLNNQKFSYQGGMTEEKKVLRRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGAPVGVIFDRFDYEPIAAASLGQVHRAKLKGQEVVVKVQRPGLKSLFDIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKDMDYVKVPSIYWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDFNGGRLIFYDFGMMGSISSNIREGLLEAFYGIYEKDPDKVLQAMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRREREMATTELGFKKPLTKEEKIAKKKERLAAIGNTFGAIFFLFSVK >KJB18307 pep chromosome:Graimondii2_0_v6:3:6208117:6224595:1 gene:B456_003G046300 transcript:KJB18307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLPLQELHFLSPRTTSKHRFYLSRCSSLSRVSLAGNGHLRNGVVRSRVRARKEEGVSYEEREREFIKEVNGGFGSNGNGSASKYVYKNGSVEGYNNTNGGVGVVESEGNGSLVKYVNGNGNGNGAAAEVMAAEVMQVVEKEGVVSEEARKKRVEDIGKEEAWFKRSTQDQVEVSVAPGGRWSRFKTYSTIQRTLEIWGFVLTFVFKAWLNNQKFSYQGGMTEEKKVLRRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGAPVGVIFDRFDYEPIAAASLGQVHRAKLKGQEVVVKVQRPGLKSLFDIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKDMDYVKVPSIYWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDFNGGRLIFYDFGMMGSISSNIREGLLEAFYGIYEKDPDKVLQAMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRREREMATTELGFKKPLTKEEKIAKKKERLAAIGEDLLAIAADQPFRFPATFTFVVRSFSVLDGIGKGLDPRFDITEIAKPYALELLKFREAGVEVVLKDFRKRWDRQSRAFYNLFRQADRVEKLAEIIQRLEQGDLKLRVRALESERAFQRVATVQKTVGSAVAAGSLINLATILYLNSIRVPAVAAYVICAFFSFQVLIGIIKVKRFDQRERLITGTA >KJB18309 pep chromosome:Graimondii2_0_v6:3:6208271:6224534:1 gene:B456_003G046300 transcript:KJB18309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLPLQELHFLSPRTTSKHRFYLSRCSSLSRVSLAGNGHLRNGVVRSRVRARKEEGVSYEEREREFIKEVNGGFGSNGNGSASKYVYKNGSVEGYNNTNGGVGVVESEGNGSLVKYVNGNGNGNGAAAEVMAAEVMQVVEKEGVVSEEARKKRVEDIGKEEAWFKRSTQDQVEVSVAPGGRWSRFKTYSTIQRTLEIWGFVLTFVFKAWLNNQKFSYQGGMTEEKKVLRRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGAPVGVIFDRFDYEPIAAASLGQVHRAKLKGQEVVVKVQRPGLKSLFDIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKDMDYVKVPSIYWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDFNGGRLIFYDFGMMGSISSNIREGLLEAFYGIYEKDPDKVLQAMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRREREMATTELGFKKPLTKEEKIAKKKERLAAIGEDLLAIAADQPFRFPATFTFVVRSFSVLDGIGKGLDPRFDITEIAKPYALELLKFREAGVEVVLKDFRKRWDRQSRAFYNLFRQADRVEKLAEIIQRLEQGDLKLRVRALESERAFQRVATVQKTVGSAVAAGSLINLATILYLNSIRVPAVAAYVICAFFSFQVLIGIIKVKRFDQRERLITGTA >KJB17724 pep chromosome:Graimondii2_0_v6:3:789659:792390:1 gene:B456_003G012200 transcript:KJB17724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSASSRKALSKIACNRLQKELVEWQSNPPSGFKHKVTDNLQRWVIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSATAKQCPEDNDRYVKNCRNGRSPKQTRWWFHDDKV >KJB20371 pep chromosome:Graimondii2_0_v6:3:41190751:41193016:1 gene:B456_003G145100 transcript:KJB20371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLDSKESPANNPGLHFPPDEATKGYIMQQTMFRIKDPKRTLEFYSRVLGMKLLNKVDVPYMKMTLYMMGYEDVSSAPSDPVEKTIWTFGRPATMELTHFWGTENDPEFKGYHDGNSEPIGFGHIGITVDDMYKACERFESLGVEFVKKPSDGFAFIKDPDGYWIEIFDLKGIRQIVNSLA >KJB20370 pep chromosome:Graimondii2_0_v6:3:41190751:41197231:1 gene:B456_003G145100 transcript:KJB20370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLDSKESPANNPGLHFPPDEATKGYIMQQTMFRIKDPKRTLEFYSRVLGMKLLNKVDVPYMKMTLYMMGYEDVSSAPSDPVEKTIWTFGRPATMELTHFWGTENDPEFKGYHDGNSEPIGFGHIGITVDDMYKACERFESLGVEFVKKPSDGFAFIKDPDGYWIEIFDLKGIRQIVNSLA >KJB20436 pep chromosome:Graimondii2_0_v6:3:41649603:41652363:1 gene:B456_003G148900 transcript:KJB20436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAFAAEVAANVLAKLSSAAFQQIGCFWDVQDEFEKLRDVLMAIKAVLVDAEEQQNHNREITLWLQKFKDGCYRVEDLLDEFEIEALRRQVMERGSSRRKVSRFLSCSNSLAFRLRMGRKIKKVKDMLDEIESNKSRFHLLERHHRVKNIIHGQRETYSFVKTSDVIGRDEDKENMVAFLMDPTDGDGKGDDIPVLAIVGIGGIGKTALAQLVFNDERVKTHFWLRIWVCVTEDFDVKQLMIKIIKSATGTTMCKDMNKEELHKVLRDGLKGKRFLIVLDDVWNEDKRKWIELKDLLATGGQGCKIICTTRTHKVAAITSTVPQYDLEHLSYENSLSLFLRLAFKEGEEVQHKNLVRIGEGIVRKCKGVALAVKTLGSLLCSTRVEYDWELVRDSEIWKLEQKENDILPALKLSYDHLPWHLKQCFAFCSVFPKDFEFNSLQLTLLWTGNGFLQYSNENEEPEDIGNRYIHELWSRSFFQQVEEGVFYSTFKMHDLVHDLALSVVQNEVNSFNHCSTRHVRHLWLEASGQGASTLPNNLDHLRSLIISSNEEQKASGESLIADYISSSFEQLPNSIGYLKHLRYLSIYGNGNIERLPNSICNLQSLQTLLLGGCRGIEALPKDIRYLISLRTLCITTKQASLQESGIGCLSSLRFLRFYACGNLKYLFEDMQRLTALRILVIDECKNLISLPPGLKYLTALQILVISDCEKLDLCMGLELGGEQAGSLRKLFIRGLPKVVSLPQWILLGSAKTLQQLYIIGLENLSTLPRWFRYLMSLQTLGIIDCPKLLSLPQGMQQLAALKQVGITGCPKLGKRCMKQTGEEWPKIAHVPQLFVSNDSETSITYDE >KJB21020 pep chromosome:Graimondii2_0_v6:3:44987464:44989735:-1 gene:B456_003G178200 transcript:KJB21020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQRLKMKNNKLTGTIHQTSLVNMSNLEILNLEFNQLSVQVPSFIFNISSLKAIDLSSNNLLGSLPGDMCQHLPKLEELHLSLTELSGNIPLSIGKCNNLQILSLSIGNLTRLRHLYLGFNNLEGECNKLQVLNLAITQFSGLIPKSIFNSTMLEEIYLFDNNLEGQIPSSIFNISSLKTIDLSSNSLSDSLPNDMCQHLPKFEGLYLDTNELSSNIPSSISECYKFQNLDFAANQFSGLIPESVFNSTTLDEIDLCDNKLEGMFVTLIFSKLQKHYI >KJB18258 pep chromosome:Graimondii2_0_v6:3:5533213:5536378:1 gene:B456_003G043100 transcript:KJB18258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENILIASFLLQHEFSDNAIAGCIENEEDVLSFGDEDMVSVDQENEEFQDCEVRAEGHEGTPHEALILALGYLGVRDLFLIENVCTSLRSVVQNDPILWRDILINPPLNEKITDDVLLQITGRGQGSLQCLGLVDC >KJB19465 pep chromosome:Graimondii2_0_v6:3:31894222:31894505:-1 gene:B456_003G104100 transcript:KJB19465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVPRVNGQLAVSHASSDKSLKSHLRSDPHIQWTNIDNKTNILILASDGLWKVMSNQEAIDIAKKV >KJB17584 pep chromosome:Graimondii2_0_v6:3:356529:360589:1 gene:B456_003G006400 transcript:KJB17584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTVIFFFILNWVLTFKLINGRIFTFEMHHRFSEPVKNWSNSTGKLSHWPLKDSFEYYAVLAHRDRLLRGRKLSGANTTLSFADGNFTFQINSLGFLHYTTVQLGTPGVKFMVALDTGSDLFWVPCDCTKCAPTEGTVYASDFELSIYDPKGSSTSKKVTCSSSLCAQRNQCLGTFSNCPYMVSYMSAQTSTSGILVEDVLHLTTEDGHPDSVEAYVTFGCGQVQSGSFLDVAAPNGLFGLGMEKIAVPSILSQEGLTADSFSMCFGDDGTGRISFGDKGSPDQEETPFNLNPSHPTYNVTVTQIRVGTTLIDGGFTALFDSGTSFTYLVDPTYSNLAVNFHSQTRDSRHPPDSRIPFEYCYDMSPDANASLIPSMSLTMKGGSHFPVYDPIIVISTQSKLVYCLAVIKSTELNIIGQNFMTGYRVVFDRERFVLGWKKFDCYDIEETNTSEEEQHPVSAPPAVAAGIRNYSTPESTKDVKNNNSLTSVTLRSCHLHVSLPFFFGLVSILTLLS >KJB17585 pep chromosome:Graimondii2_0_v6:3:356772:360003:1 gene:B456_003G006400 transcript:KJB17585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTVIFFFILNWVLTFKLINGRIFTFEMHHRFSEPVKNWSNSTGKLSHWPLKDSFEYYAVLAHRDRLLRGRKLSGANTTLSFADGNFTFQINSLGFLHYTTVQLGTPGVKFMVALDTGSDLFWVPCDCTKCAPTEGTVYASDFELSIYDPKGSSTSKKVTCSSSLCAQRNQCLGTFSNCPYMVSYMSAQTSTSGILVEDVLHLTTEDGHPDSVEAYVTFGCGQVQSGSFLDVAAPNGLFGLGMEKIAVPSILSQEGLTADSFSMCFGDDGTGRISFGDKGSPDQEETPFNLNPSHPTYNVTVTQIRVGTTLIDGGFTALFDSGTSFTYLVDPTYSNLAVNFHSQTRDSRHPPDSRIPFEYCYDMSPDANASLIPSMSLTMKGGSHFPVYDPIIVISTQVAACSLSFLSFSFLLH >KJB18292 pep chromosome:Graimondii2_0_v6:3:5883863:5884918:1 gene:B456_003G045000 transcript:KJB18292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTSKIVPTTNKIARELHEIYKDLHELDFAIQVPKTLDKKNSLQREKQISVDPISLRESSMGEISFNMMLPPQAETDYPLPPPLLPAKHKFLSCSLPNSATSSPRFGSRKNLKHESQALPQQVDELVNKNPSLQNTTFWRSKSCGDGRTYGPPDELDDLWMYKHHRHGGLISKPNVNNEEMDGSNEVDFKCGALCLLLPGFTKAKQVRTRKREPWVKENSVNVISRTVSLEKFECGSWASSTIIPDHDVGDLYFDLPLELINNLGNDAHLPVSTAFVFDNKDVKGVLKNGSNQTRSTTTGRKSHESSSRHVRFSTSSPTSYPASPASCITPRLRKARDDFNAFLEAQSA >KJB20947 pep chromosome:Graimondii2_0_v6:3:44491407:44492134:1 gene:B456_003G173700 transcript:KJB20947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTKDLPSLESYGNVKVQLCSYIEESKVVASPQVLYDYGIITIVDPLPFDYNGHYFGHQAGKTEVSISVPPNSSRKISCFLNSIIIFSAKNDKTYRFLPCLEIVNETKGTKWTYSKHFMGIPEIKNTLYWTTCWNFRGDELEAGDCISLRVLSNLSVLEFGIDLVYDYELDGNPNFFSQLPWMSKCFTYLFGTFVSLLSQSQKNLYRLQSLVKC >KJB18384 pep chromosome:Graimondii2_0_v6:3:7139416:7140964:1 gene:B456_003G049800 transcript:KJB18384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKMGRGKLNMKFIMKDKVRILTYEKRKKGLIKKAQEFSILCGVETCVILYAPKSKETPAKLEIWPPDHAKVMHVIDKYKGKPLHVRERKCFNVLDFYAIRRKKLDDEICKLRKANTEAKFSVWDDRINSFSVNQLSALLSRLDSNLESARTMIKMIKGKHQSLIEDSTENQLNQPSLDLYNQAQLSLFQRASDMEITKQQQPMFTVSSSCQPFSSNEPLQWQSVNMNPIDTSMAMVSTNGTLGFTQADGECSSSTTYSSLTPQTCYDPTTSMVGNVVLSNSWGVPVCFYGQLMPPMTPFASPTMLSFPSQAGELYRNVGDRVRSINKK >KJB20142 pep chromosome:Graimondii2_0_v6:3:39291111:39291983:1 gene:B456_003G134900 transcript:KJB20142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGGFQSTMLLRASH >KJB20970 pep chromosome:Graimondii2_0_v6:3:44700621:44701513:-1 gene:B456_003G175100 transcript:KJB20970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPLQAEHELELETQSSSSSSSSSSSSPLVIKSNKIQKLTQKTNENKRKFNNGDELEGKHPAYRGVRMRQWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGKSAYLNFPDIAHELPRPVSSSPKDIQAAAAKAAALSYDQMAPRSPSCTAYSTVSHESTSSSSNDKDDAFIDLPDLLMDMNHQMYEFWGVDINGFLGSEEPRLWEYC >KJB20395 pep chromosome:Graimondii2_0_v6:3:41327407:41329804:-1 gene:B456_003G146300 transcript:KJB20395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHIERVLNRVALISVSIATLILLYLLLQTPETCIPRNAPRKPHFRFPKSSCDFYSRDYLPLHKKNTRLWSSKFWITRVSSYTHFFTQLYQMGVLKNHSKVLCVSAGVGHEVMALTKLGVEDVTGVELIESLPFVSRADPHNLPFFDEAFDVAFTAHLEDALYPLQYAREMERTVRKGGVLVVVVEERSEEEVKEIVRLFRMSSLLHSSYLTFIGNRVTRILLKNKASA >KJB20883 pep chromosome:Graimondii2_0_v6:3:44273186:44276738:1 gene:B456_003G171400 transcript:KJB20883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSSSSCFSSFLLLFYVCFCLCLATPPLVVATANGSSCNNTNTTRHHHKWIGPIGHRLITVDVNGSGQFRSVQDAVDAVPENNRKNVMILISPGQYIEKVVVPATKPYITFQGAGRETTFIEWHDRACDRGSNGQQLRTYQTASVTVYANYFSARNISFKNTAPAPKPGMQGWQAVAFRISGDKAYFSGCGFYGAQDTLCDDAGRHYFKQCYIEGSIDFIFGNGRSMYKECELHSIATRFGSIAAHDRKSPDEKSGFAFVNCTVTGTGPLYVGRAMGQYSRIVYSYTYFDNLVAQGGWDDWDHVNNQNKTVFFGVYKCWGPGAAAVGGVGRAKELDFGTAHRFLAKSFVNGRHWIAPWDA >KJB20884 pep chromosome:Graimondii2_0_v6:3:44273194:44276607:1 gene:B456_003G171400 transcript:KJB20884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGDGREKVVVPATKPYITFQGAGRETTFIEWHDRACDRGSNGQQLRTYQTASVTVYANYFSARNISFKNTAPAPKPGMQGWQAVAFRISGDKAYFSGCGFYGAQDTLCDDAGRHYFKQCYIEGSIDFIFGNGRSMYKECELHSIATRFGSIAAHDRKSPDEKSGFAFVNCTVTGTGPLYVGRAMGQYSRIVYSYTYFDNLVAQGGWDDWDHVNNQNKTVFFGVYKCWGPGAAAVGGVGRAKELDFGTAHRFLAKSFVNGRHWIAPWDA >KJB20920 pep chromosome:Graimondii2_0_v6:3:44324729:44328568:-1 gene:B456_003G172200 transcript:KJB20920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G16700) UniProtKB/Swiss-Prot;Acc:Q84V22] MNFRFPRDVAVFRPYLHFANVHNRRRLFSWYVKHFRTTSQARSSLSGGGSSSSNSQGNAFLVPGATVATLLMLGVLHARRLYDDKKVEEAREKGIEFEFRPDVKASFLRILPLRSISRAWGFLMSVDIPVWLRPHVYRAWARAFHSNLEEAALPLEEYASLRDFFVRTLKEGCRPINPNPYSLVSPVDGTILRFGKLNGAGAMIDQVKGFSYSVSSLLGASPLLSMLAEKNTEDDGSTIEQESTQREQSKKSWWRVSLASPKVRETISECPMKGLYYCVIYLKPGDYHRIHSPVDWNVLVRRHFSGRLFPVNERATRTIRNLYVENERVVLEGLWQQGFMAIAAVGATNIGSIELFIEPELRTNRPRKKLIPSEPPEEHLYEPKGVGVMLKKGDEVAAFNMGSTVVLVFQAPTSNSPKNNDASEFEFSIRRGDKIRVGEALGVWHA >KJB20996 pep chromosome:Graimondii2_0_v6:3:45019591:45023175:1 gene:B456_003G178700 transcript:KJB20996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNLLTLCCFYAAKLITWLVCSCVYAVSVSEVEALFELFKSISSSVVDDGLISKEEFQLALFKNRKKENIFANRIFDLFDAKKKGIIDFGDFVRALNVFHPNASQEDKIDFTFKLYDMDGTGFIERHEVKQMLIALLCESEMKLADETIEAILDKTFLEADINQDGKIDISEWQNFVSRNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEVAT >KJB20997 pep chromosome:Graimondii2_0_v6:3:45021046:45023175:1 gene:B456_003G178700 transcript:KJB20997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCKEEFQLALFKNRKKENIFANRIFDLFDAKKKGIIDFGDFVRALNVFHPNASQEDKIDFTFKLYDMDGTGFIERHEVKQMLIALLCESEMKLADETIEAILDKTFLEADINQDGKIDISEWQNFVSRNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEVAT >KJB20995 pep chromosome:Graimondii2_0_v6:3:45019469:45023194:1 gene:B456_003G178700 transcript:KJB20995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFHSKVTRQHPGYEDPVTLASQTAFSVSEVEALFELFKSISSSVVDDGLISKEEFQLALFKNRKKENIFANRIFDLFDAKKKGIIDFGDFVRALNVFHPNASQEDKIDFTFKLYDMDGTGFIERHEVKQMLIALLCESEMKLADETIEAILDKTFLEADINQDGKIDISEWQNFVSRNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEVAT >KJB21167 pep chromosome:Graimondii2_0_v6:3:45646918:45651119:1 gene:B456_003G185800 transcript:KJB21167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYRDEEGEPIVDYDDIQSDPGSPEPRQDLLDDFEDDVENWGQRERSQTPAYDTDKVGKPRKRLVKKGGSTGKESMDAPELLDEGEEGNFWRGGSDSDAKKRKKKEKKLKEKHYGGGTEKGTVKKLGKSEEVNEMWEWVNPENDQEGTRTMDDDDFIDDSGVNPEDRYGSDNEARSPGAAPQAEEDDEDPEIKELFKMGKKRKKNEKSPAEIALLVESVMAELEVTAEEDAELNRQGKPAINKLKKLPLLTEVLSKKQLQPEFLDHGVLTLLKNWLEPLPDGSLPNINIRAAVLQILTDFPIDLEQHDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKELVDKWSRPIFNKSTRFEDMRNVEDDRVPLRRPSVKRPTNRAASMESRDGDFDLDISRDHKSGRSSSGQNASRSESSSRLHASRPDATPMDFVVRPQSKIDPDEIRARAKQVVQDQRRLKMNKKLQQLKAPKKKQLQASKLSVEGRGMLKYL >KJB21168 pep chromosome:Graimondii2_0_v6:3:45647136:45651116:1 gene:B456_003G185800 transcript:KJB21168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPELLDEGEEGNFWRGGSDSDAKKRKKKEKKLKEKHYGGGTEKGTVKKLGKSEEVNEMWEWVNPENDQEGTRTMDDDDFIDDSGVNPEDRYGSDNEARSPGAAPQAEEDDEDPEIKELFKMGKKRKKNEKSPAEIALLVESVMAELEVTAEEDAELNRQGKPAINKLKKLPLLTEVLSKKQLQPEFLDHGVLTLLKNWLEPLPDGSLPNINIRAAVLQILTDFPIDLEQHDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKELVDKWSRPIFNKSTRFEDMRNVEDDRVPLRRPSVKRPTNRAASMESRDGDFDLDISRDHKSGRSSSGQNASRSESSSRLHASRPDATPMDFVVRPQSKIDPDEIRARAKQVVQDQRRLKMNKKLQQLKAPKKKQLQASKLSVEGRGMLKYL >KJB18278 pep chromosome:Graimondii2_0_v6:3:5662873:5663280:-1 gene:B456_003G044100 transcript:KJB18278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDDNDADKANAKSENGSGSGGILVEELVKELKAIKKQNTITHCLLSAMIVVTLFWQVFEASLLQLKNGFTHPFKSVGSWLDTLLKGPAKSTQQHYSHLVDASGSPPSLQVVKITGIADNGGDVRLKMVEIK >KJB18982 pep chromosome:Graimondii2_0_v6:3:19295537:19297344:1 gene:B456_003G078700 transcript:KJB18982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSQRPPSGRTNLASCIVATIFLIFLVIIVLIVYFTVFKPKDPKIYVNSIQLPSFSVGNNSVSFTFSQYVTVKNPNRAAFSHYDSTIQLLYSGSQVGFMFIPAGKIESGQTQYMAATFAVQSFPLAQPNQAAAATTIPIPGATTTVAATMTEPVGVTAGFGGGNNVNRVGPTMEIDSRMELAGRIRVLNVFAHHVDAKSECRVTVAVNDGSVLGFHC >KJB19686 pep chromosome:Graimondii2_0_v6:3:34744006:34745727:-1 gene:B456_003G114400 transcript:KJB19686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 33 [Source:Projected from Arabidopsis thaliana (AT3G47400) UniProtKB/Swiss-Prot;Acc:Q9STY3] MEIKILLVFFVSLSSMLSTTLSRSTRSNITWWCNQTPHPEPCVYLMSHGSRRFAPKHMSQFRKIMVQLAFDRAVMTGKKVNEFGQSCENWKQKAAWRDCLKLFDNTILQLNTTLRGLESRRRMGCNDFDAQTWLSTALTNIQTCEAGFMDFNVSDFFTPSSSNNISQMISNSLAVNGIFLRKRNLTQVFPGWLSRHHKRLLLSSTKAHIVVAKDGSGNFRTVQAALDAAAKRKRYTRFIIHVKRGVYRENIEVSSANRNVMLVGDGMKRTIITSSRSAKTGYTIYSSATAGIDGPGFIARDITFSNTAGPTKGQAVALRSASDLSVFYRCAIVGYQDTLMVHSQRQFYRECYIYGTVDIIFGNAAVVLQSCSIFARRPLKGQANIITAQGRGDPYQNTGIAIHNSRILAANDLKPVVHAFNTYLGRPWQQYSRTVVLKTYLDSLVSPAGWSKWGHSDFALGTLYYGEYKNYGPSSSIKWRVKWPGFHVITSPSVASRFTVNSLIAGGTWLPTTGVPFTAGL >KJB18598 pep chromosome:Graimondii2_0_v6:3:11356807:11362010:-1 gene:B456_003G062300 transcript:KJB18598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMQESPQTPLVMAQLSWFFGIATIVKLLLIPSYHSTDLEVHRHWLAITHSLPLSQWYTDETSEWTLDYPPFFAYLERFLSMFAHLIDPQIVHLHHGLNYKANSAIYFQRMSVIVSDLFLLYGVYRSTLALASLKRNLIWLLVIWSPGLLIVDHLHFQYNGFLFGWLLLSLSFLAQGRDVMGGFLFAVLLCFKHLFAVAAPVYFVYLLRHYCRGGLVRGFARLSVMGAIVVAVFAAAYGPFVYHGQIQQVIRRMFPFGRGLCHAYWAPNFWVFYIMLDKGIAFLLRKFGFNIPAPVASFTGGLVGDASPFAILPRVTPLTTFIMVLLALSPCLFRTWKDPRPRLIVRSVAYAYTCGFLFGWHVHEKASLHFSIPLAIVAVESLEDAKHYFLLSIVSCYSLFPLLYEAQEYPIKVLLLLLHTILMWFSFSAQYSGSLRGDKKGGEKQGFEVGWVTKSYLIGVVVVEIWGQFLHPYLFGDKLPFVPLMLISMYCAIGILYSWIWQLKSILISS >KJB17773 pep chromosome:Graimondii2_0_v6:3:975004:980128:1 gene:B456_003G014700 transcript:KJB17773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTYYWDTMMNVITWRFQSFRNMMPMLFQAPETLHWDSSFTYWMRDKLEKSVFWARCLRHIMVLGQKDMVNFMDLLLSPTSKVLNKWFESDILKAVLAGDAIVGSMASIHTPGSGYVLLHHVMGETDGDRNVWSHVEGGMGSVSTAISNAAIEAGASIMTNAEVSQLMIGNSGTIEGVLLADGTRVCSSIVLSNATPHRTFLGLVPRDALPEDFLRAIEKSDYNSGTTKINVAVDKLPWFHCCGSNNTEAGPQHTATIHICSESMDNIGSACQDARNGLPSKRPVMEMTIPSSLDKTISPPGKHVVSLFTQYTPYKPSDGSWENPTYREAYAKRCFSLIDEYAPGFSSSIIGYDMLTPPDLEREFGLTGGNIFHGATGLDSLFFMRPAKGWSGYRTPVRGLYLCGSGTHPGGGVMAAPGRNAARIALRDFKKHHSW >KJB17774 pep chromosome:Graimondii2_0_v6:3:975004:980128:1 gene:B456_003G014700 transcript:KJB17774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSGLNSRSTTTAQALKEKKWDALVIGGGHNGLTAAAYLARGGLSVAVLERRHVIGGAAVTEEIIPGFKFSRCSYLQSLLRPSVIRELELKRHGLKLLKPTATTFTPCLDGRYLLLGHDDERNYLEISKFSKYDADAFPRYENQLYKFCKLMDFVLDSQAPETLHWDSSFTYWMRDKLEKSVFWARCLRHIMVLGQKDMVNFMDLLLSPTSKVLNKWFESDILKAVLAGDAIVGSMASIHTPGSGYVLLHHVMGETDGDRNVWSHVEGGMGSVSTAISNAAIEAGASIMTNAELMIGNSGTIEGVLLADGTRVCSSIVLSNATPHRTFLGLVPRDALPEDFLRAIEKSDYNSGTTKINVAVDKLPWFHCCGSNNTEAGPQHTATIHICSESMDNIGSACQDARNGLPSKRPVMEMTIPSSLDKTISPPGKHVVSLFTQYTPYKPSDGSWENPTYREAYAKRCFSLIDEYAPGFSSSIIGYDMLTPPDLEREFGLTGGNIFHGATGLDSLFFMRPAKGWSGYRTPVRGLYLCGSGTHPGGGVMAAPGRNAARIALRDFKKHHSW >KJB20819 pep chromosome:Graimondii2_0_v6:3:43643656:43645104:-1 gene:B456_003G166700 transcript:KJB20819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGRGGRDPFFEFGGDPFGGFGGHKSLLSNFFNGRDPFDDPFFTRPFDRMFESSFFGPGHNPFPDMHPSGFIEQQAPEPKRPSGPIIQELDSDDEKEMKENSRKHGRSKDEPHVEVPDDETEQSERRNGHLQYMNGYNGSYQRQQQPPQTRSFTFQSSTVTYGGANGAYYTSSKTRRSGSDGITFEESKEANTATGQASHRVSRGLHDKGHSVTRKLNSDGRVETMQALHNLNEDELSGFEEAWNGNARKHLPGWSGSSNVHDATGKIRLRMH >KJB20816 pep chromosome:Graimondii2_0_v6:3:43643504:43644744:-1 gene:B456_003G166700 transcript:KJB20816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGRGGRDPFFEFGGDPFGGFGGHKSLLSNFFNGRDPFDDPFFTRPFDRMFESSFFGPGHNPFPDMHPSGFIEQQAPEPKRPSGPIIQELDSDDEKEMKENSRKHGRSKDEPHVEVPDDETEQSERRNGHLQYMNGYNGSYQRQQQPPQTRSFTFQSSTVTYGGANGAYYTSSKTRRSGSDGITFEESKEANTATGQASHRVSRGLHDKGHSVTRKLNSDGRVETMQALHNLNEGKIRTVICDSRMSFLVLKKLGMEMLESICLAGLEVLMSMMQQGQVGVRMGKQVMEDGHFLLPSVLSSREKQ >KJB20818 pep chromosome:Graimondii2_0_v6:3:43643385:43644744:-1 gene:B456_003G166700 transcript:KJB20818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGRGGRDPFFEFGGDPFGGFGGHKSLLSNFFNGRDPFDDPFFTRPFDRMFESSFFGPGHNPFPDMHPSGFIEQQAPEPKRPSGPIIQELDSDDEKEMKENSRKHGRSKDEPHVEVPDDETEQSERRNGHLQYMNGYNGSYQRQQQPPQTRSFTFQSSTVTYGGANGAYYTSSKTRRSGSDGITFEESKEANTATGQASHRVSRGLHDKGHSVTRKLNSDGRVETMQALHNLNEDELSGFEEAWNGNARKHLPGWSGSSNVHDATGTSWGQNGQASHGGWALPSTERSQQSGKAMMDAKDSAGPSRGQNSTKAKGLGALKDKISQTLGKKKNQV >KJB20815 pep chromosome:Graimondii2_0_v6:3:43643003:43645248:-1 gene:B456_003G166700 transcript:KJB20815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGRGGRDPFFEFGGDPFGGFGGHKSLLSNFFNGRDPFDDPFFTRPFDRMFESSFFGPGHNPFPDMHPSGFIEQQAPEPKRPSGPIIQELDSDDEKEMKENSRKHGRSKDEPHVEVPDDETEQSERRNGHLQYMNGYNGSYQRQQQPPQTRSFTFQSSTVTYGGANGAYYTSSKTRRSGSDGITFEESKEANTATEPSLNFEALS >KJB20817 pep chromosome:Graimondii2_0_v6:3:43643003:43645104:-1 gene:B456_003G166700 transcript:KJB20817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGRGGRDPFFEFGGDPFGGFGGHKSLLSNFFNGRDPFDDPFFTRPFDRMFESSFFGPGHNPFPDMHPSGFIEQQAPEPKRPSGPIIQELDSDDEKEMKENSRKHGRSKDEPHVEVPDDETEQSERRNGHLQYMNGYNGSYQRQQQPPQTRSFTFQSSTVTYGGANGAYYTSSKTRRSGSDGVKQATGFQGDFMTRVIRLRES >KJB19227 pep chromosome:Graimondii2_0_v6:3:27186828:27188750:1 gene:B456_003G090700 transcript:KJB19227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGYPQHPPGYGYGSPPAQPYTAAPYTAGAPPPPQPYGAPYAAAPYGAPSAPYSAPLGEKPPKDKHQAHGVGGAPPVHSGSYPPAGGYASPFASLLPSAFPPGTDPNVVACFQMADQDGSGFIDDKELQRALSSYNQSFSLRTVRLLMYLFTNTNTRKIGPKEFTSVFYSLQNWRAIFERFDRDRSGKIDANELREALLSLGFSVSPVVLDLLVSKFDKTGGKNKAIEYDNFIEYDHYLSP >KJB19228 pep chromosome:Graimondii2_0_v6:3:27186783:27189350:1 gene:B456_003G090700 transcript:KJB19228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGYPQHPPGYGYGSPPAQPYTAAPYTAGAPPPPQPYGAPYAAAPYGAPSAPYSAPLGEKPPKDKHQAHGVGGAPPVHSGSYPPAGGYASPFASLLPSAFPPGTDPNVVACFQMADQDGSGFIDDKELQRALSSYNQSFSLRTVRLLMYLFTNTNTRKIGPKEFTSVFYSLQNWRAIFERFDRDRSGKIDANELREALLSLGFSVSPVVLDLLVSKFDKTGGKNKAIEYDNFIECCLTGLTEKFKEKDTMYSGNATFTYEAFMLTVLPFLIA >KJB19225 pep chromosome:Graimondii2_0_v6:3:27186701:27188889:1 gene:B456_003G090700 transcript:KJB19225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGYPQHPPGYGYGSPPAQPYTAAPYTAGAPPPPQPYGAPYAAAPYGAPSAPYSAPLGEKPPKDKHQAHGVGGAPPVHSGSYPPAGGYASPFASLLPSAFPPGTDPNVVACFQMADQDGSGFIDDKELQRALSSYNQSFSLRTVRLLMYLFTNTNTRKIGPKEFTSVFYSLQNWRAIFERFDRDRSGKIDANELREALLSLGFSVSPVVLDLLVSKFDKTGGKNKAIEYDNFIEYDHYLSP >KJB19226 pep chromosome:Graimondii2_0_v6:3:27186701:27189384:1 gene:B456_003G090700 transcript:KJB19226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGYPQHPPGYGYGSPPAQPYTAAPYTAGAPPPPQPYGAPYAAAPYGAPSAPYSAPLGEKPPKDKHQAHGVGGAPPVHSGSYPPAGGYASPFASLLPSAFPPGTDPNVVACFQMADQDGSGFIDDKELQRALSSYNQSFSLRTVRLLMYLFTNTNTRKIGPKEFTSVFYSLQNWRAIFERFDRDRSGKIDANELREALLSLGFSVSPVVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFKEKDTMYSGNATFTYEAFMLTVLPFLIA >KJB18686 pep chromosome:Graimondii2_0_v6:3:12990268:12991311:1 gene:B456_003G065100 transcript:KJB18686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKALVDDSDMPLKMQIEAMSFASQALDLYDVFDCISIAGYIKKEFDSKYGNGWQCVVGSNFGCFFTHSKGTFIYFTLERLKFLIFKGASSSTSP >KJB20224 pep chromosome:Graimondii2_0_v6:3:40145110:40162302:1 gene:B456_003G139100 transcript:KJB20224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRSQDIVFTKRPYIEDVGPRRIKSIKFSMFSDSEIAKAAEVQVYKGVYYDLQSRPIEGGLLDPRMGPPNKSGKCATCDGSFGDCPGHYGYLPLVLPVYNVGYLSTILDILKCICKSCSRILLDDKLAKDYLKKMRAPKTEPLKKAEIMKSVVKKCTAMAGGKAVKCSRCGYLNGTVKKAPKMIGVFHDRSKVNDNSLEELKSAISHTKESKSSINTSSVLNPVKVLSLFKRMTDVDCELLYLSDRPEKFIITNIAVPPTAIRPSVPVDGSQSNENDITERLKRIIQANSSLRQQLVDSNAAFHCLNGWDLLQVDVAQYINSDVRGVPTEMQPARPLSGFLQRLKGKQGRFRGNLSGKRVEFTGRTVISPDPNLKITEVAIPIHMARILSYPERVSSHNIEKLRQCVRNGPSKYPGAVKVRYPDGSARLLIGDYRKRLADELKFGCIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALMLMGVQNNLCTPKNGEVLVASTQDFLTSSFLITRRDTFYDRATFSLICSYMGDAMDLIDLPTPTLLKPVELWTGKQLFNVLLRPHASVRVYLNLTVKERNYSRKIAKRIGDKEIEVETMCPNDGFVYIRNSELLCGQLGKATLGNGNKDGLYSILLRDYNAHAAATCMNRLAKMSARWIGNHGFSIGIDDVQPGKRLNDAKGVTLSGNYKKCDEQIQMFNEGKLQLKPGCDAAQTLEANITEVLNKIRDETGKVCMRELHWRNSPLIMSQCGSKGSAINISQMIACVGQQSVGGRRAPNGFIDRSLPHFHRGSKTPAAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLIKALEDLSIHYDNTCRNASGCIVQFIYGDDGMDPASMEGKSGFPLNFDRLLMKVKATCPPVDQKYLPADAIPQMLEEQVVKHDPDGVCSEAFKKSLKGFLEGQKNELKRVMQLVSNSAQKSEILEDVSHKICGITDRQLEVFIRICIGRYRSKVIEAGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRIKEIINAAKKISTPIITAELEFDSNVNVARMVKGRIEKTVLGQVAKSIKIVMTSRLASVVISLDMERIQDAQLHIDANVVKESILQTPKLKLKEQHVKVLDVKKLEVVPPADRSRIHFELHSLKNLLPLVVVKGIKTVERVVIAEKKKDNKSQNKEAKKLYQLFVEGMGLQTVMGVEGIDGRKTMSNHVMEMLQILGIEAARSCIINEIAATMASHGMSIDIRHMMLLGDVMTFRGEVLGITRFGIQKMDKSILMLASFEKTGDHLFNASVNGRDDKIEGVTECIIMGIPMQLGTGILKVMQRVDPLPLLQYGADPVLS >KJB20121 pep chromosome:Graimondii2_0_v6:3:39200138:39201478:-1 gene:B456_003G134100 transcript:KJB20121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSKLLIALFVFQVFFPCHMSIQAAPENSDLFREYIGAEFNNVKFTDVPIDSNVEFHFILSFAIDYDSTSGSPSPTNGKFNVFWDSDNLSPSQVSSIKSTHSNVKVALSLGGDSVGDSYAYFDPSSVDSWVSNAVSSLTDIIQEYHLDGIDIDYEHFNANHGWPISTANPDTFAECIGKLVKTLKNNGVISFASIAPFDDDDVQSHYKALWQSYGDLIDYVNFQFYAYDQGTTVSQFINYFNTQSSNYNGGKVLASFISDGSGGLTPKNGFFTACSRLKSENKLHGIFVWSADDSKRNGFRYEKQSQALLAISH >KJB20468 pep chromosome:Graimondii2_0_v6:3:41807608:41808204:1 gene:B456_003G150600 transcript:KJB20468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSIRCCLACVLPCGALDLIRIVHLNGHIEEITRTVTAGEILQANPNHVLTKPTSRGHILIISPHSQLQRGGIYFLIPECSLPAENRNNSVRCKRSFKMSKKRNNNNDDALDSGHRCLAIDDVLEMKRCRRRGRRKGGGGVWRPHLTSISED >KJB18527 pep chromosome:Graimondii2_0_v6:3:9938407:9940327:1 gene:B456_003G058000 transcript:KJB18527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSANGNASQVTNNGDVRKPFKIFIGYDPREDQAYEVCRHSILKRTSIPVEITPIVQSDLRAKGLYWRSRDQFESTEFSFTRFLTPYLANYDGWAMFVDCDFLYLADIKELTELINDKYAVMCVHHDYFPKEKTKMDGAVQTVYPRKNWSSMVLYNCGHPKNKGLTPEVVNNQTGAFLHRFQWLDDNEIGSVPCVWNFLEGHNEVVENDPKTFPKAIHYTRGGPWFEAWKTCEFADLWLKEMQEYMKKKSNVS >KJB20488 pep chromosome:Graimondii2_0_v6:3:41887432:41891029:-1 gene:B456_003G151300 transcript:KJB20488 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA methylation 4 [Source:Projected from Arabidopsis thaliana (AT2G30280) UniProtKB/Swiss-Prot;Acc:Q8GYP3] MASNGESSSTPPQSTTDKPVIVRVKRKVSQFRLDAFWLEINERPLKRPFSDFEKLSISESSQREELKSKKVFVRHVDTLTSSQATVDIVQSFIPNSADVTDGNTKSQEGRRPQKTNYRQEQLSKSIQKQEEMAKNARFEQIWRSRRGKKEAVDEMYHFYDVLRVEVEEKSNSMQIEEESLEDRELLSSYLPLLREFIPAAAAEIESDIRAYMFEKDADGYVYDYYTVKNDMDVGEEDMASNPFPLVKVDDEDFYDVPYESEYDSEDSNAEDNPRNDYPDESSEEEEEEEDEDEETKASDQSGEGSSKSSEVGSVSGYDEEVLYEVDPYDDINGDSNHLGHGNSDYDTDGEDWRWSYR >KJB20911 pep chromosome:Graimondii2_0_v6:3:44315808:44320657:1 gene:B456_003G171900 transcript:KJB20911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGFYSAWENGSLLEEDGDDELIPQPLTPKEPMEFLSRSWSLSTSEISKALAQKHNHFEFDHHNNDNKNQSSLFSESFDAPQIAGKGMNSINGRRTGTISKWFNHHKEIGTNTVKKKDKIRAENARLHSAVSIAGLAAGLASVAAAGNSNGGGAGSKMSMALASATELLASHCIELAELAGADHDRVASVVKSAVDIQSAGDLITLTAAAATALRGEAALKARIPKEAKKNSAISPYAETQWSDALHTQMKEQNPPCQGELLQHTRKGVLRWKRVNVYINKKSQVIIKLKSKHVGGAFSNNNKCIVYGVCDETSAWPYRKERETSDSEELYFGLKTGQGLLEFKCKSKIDKQKWVDGIQNLLRQVCHGQPPELSLESLSINDGI >KJB20098 pep chromosome:Graimondii2_0_v6:3:38922924:38927322:1 gene:B456_003G132800 transcript:KJB20098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLATSCPCKELCTGTEGTRGRPISFRPSVALSFPFSSKLPLVSFHHQSSILRLFNQLLLLFSGITILAWSSRIISSSQILHKRVDVHDVPKFVTKLFR >KJB17298 pep chromosome:Graimondii2_0_v6:3:4503:4571:-1 gene:B456_003G0003002 transcript:KJB17298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTKVSLLWTSAIHINPRYLSTI >KJB17297 pep chromosome:Graimondii2_0_v6:3:3680:4571:-1 gene:B456_003G0003002 transcript:KJB17297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTKVSLLWTSAIHINPRYLSTI >KJB17296 pep chromosome:Graimondii2_0_v6:3:3624:4571:-1 gene:B456_003G0003002 transcript:KJB17296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTKVSLLWTSAIHINPRMLLMDSLTKISFSRCCMRGMIL >KJB20419 pep chromosome:Graimondii2_0_v6:3:41472344:41473006:1 gene:B456_003G147400 transcript:KJB20419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHTRKKIYKSSPMKDIAPASFRSSPSMDPLSSQSPAPAELALTHDKHFALHGEIWLLIIVLLFAIFFTYILFCPRTRCSRSSESEGLDSDNIPQWRNCLLVPSKSRSRNVTGIENIVEEEEEEEEEEDYSRQINEKYPL >KJB18881 pep chromosome:Graimondii2_0_v6:3:17538729:17539022:-1 gene:B456_003G073500 transcript:KJB18881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVTVVGYVDRSKVLKQVTRAGKRAEFWPYPDSPLYFTSTDDYFKDTTNKFKESYNYYKHGYNLGHRHGNLRVTHRGDDTVRNMFNDENANACCLM >KJB18974 pep chromosome:Graimondii2_0_v6:3:19160899:19161601:-1 gene:B456_003G0783001 transcript:KJB18974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g10920 [Source:Projected from Arabidopsis thaliana (AT4G10920) UniProtKB/TrEMBL;Acc:Q0WP62] MDSETREKIKKTVRELLEEADMNEMTEYKIRQLASKRLELDLSESKYKAYVRHVVNAFLEEQKAKEEEEEEAAGDDNNNNNNEFDDDGDLIICRLSDKRRVTLQDFRGKTLISIREYYKKDGKELPSSK >KJB20451 pep chromosome:Graimondii2_0_v6:3:41669399:41670892:-1 gene:B456_003G149300 transcript:KJB20451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKAELVFIPMPLMGHFVSMVQLAKLLLDLHPNLSITVLMIKLNPDAKLAAYVHSLTATRMKFIDLPPPETREDESPSNFVTTLLQTHGPLVKQAATNIVQYSTSVLDSPRLAGFVLDMFLTPFIDLGNELSVPSYVFYTSGAAFLGFQFYILDLHDEQNVNILELEDSDTEFTIPSYLNPVSSNLFPSVMLKPESLPMVITLTRGLRKAKGIMINTFWELESHAISSLSDASALPVYPVGPLLNLESESEVHQSSDIMKWLDEQPPSSVVFLCFGSWGSFNGDQVKEIAFALEQSGHRFLWSLRQPPHPSKGPRASPTDYDDASEVLPEGFLDRTRGIGKIIGWAPQVAILGHPATGGFVSHCGWNSTLESIWFGVPIAAWPVYAEQQLNAFELVMELGLAVEIKMDYKRDGMDSGEIEIVSAKTIEKGIRSVMEEGSDVRKRVKEMSEKSRKALMNAGSSHSTLRGLVDDVMFDIHP >KJB17493 pep chromosome:Graimondii2_0_v6:3:121043:124061:-1 gene:B456_003G002100 transcript:KJB17493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRISIAYIHEGKWPPGSVDIHIIEKSLRKAVFVAILLIPIACIFLSLKEISATLILWRLQLSGLLVILLLFFWNPVHKESVIIMPAFGVQLETHYTSGRIIRRFIPAGEILKPVLLECVTPVTCYWSLSLLVRGKEELVLVFKVRQLNFHLCYLL >KJB17492 pep chromosome:Graimondii2_0_v6:3:120827:124096:-1 gene:B456_003G002100 transcript:KJB17492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRISIAYIHEGKWPPGSVDIHIIEKSLRKAVFVAILLIPIACIFLSLKEISATLILWRLQLSGLLVILLLFFWNPVHKESVIIMPAFGVQLETHYTSGRIIRRFIPAGEILKPVLLECVTPVTCYWSLSLLVRGKEELVLVFKELHPPMKMLLPVWKALCASIDNKAGPETCGEGEDG >KJB21090 pep chromosome:Graimondii2_0_v6:3:45392858:45396688:1 gene:B456_003G182400 transcript:KJB21090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQTYTDKPNDLSPSQIDQVPSLVPDSLSGSSSFSPMVAVNDSLDLATMLESFLEDETAVNPEGLNVLSLTYQQPPSSSSDEYELSQILALLPYDSKQPHDPWVPSNEGFRIANEPTGVGAGLENLGNTCFINAVLQCFTHTVPFVLGLRSLNHHEKPCHHISPSFERYQQEDAHEFLQCLLNRLEECCLDLKLKDDCSSSPDICLVKKVFGGQLVSKLCCCNCGHISYSYEPLIDLNLEIEDVDTLLSALESFTKVEKIDDLVAKFRCENCEDKVSVEKQLMLDQAPSVAAFHLKRFKTKATYVKKIDKHVVFPLELDLQPYTNVNQTSNNEELKYQLYAVVMHSGYNPTSGHYVCYIRSSPDTWHNLNDSIVTSVEEGEVLSQEAYILFYVREGIPWFSTAIEVQKPCTDLGTSDPSPKSVLDNTVCVSDLQPVNNTDANGYESKVVADETSIQFSCETQLMLELDEPCVVTKGISGPLSESKPKSIDLSDDSPISISTASNLGENNSNQSTFPRMPFRSQLPVHTPLFRSKEKKCAIIRRRAVNRPRVLHRRSEAMRHVKRMLNQRGMKSMALLALQPVGKMKRRR >KJB21091 pep chromosome:Graimondii2_0_v6:3:45394227:45396725:1 gene:B456_003G182400 transcript:KJB21091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYLVLLDLSGNINSFCLLCAVHDHIELSLNSSGGVVSPSKIFDNLSYISPSFERYQQEDAHEFLQCLLNRLEECCLDLKLKDDCSSSPDICLVKKVFGGQLVSKLCCCNCGHISYSYEPLIDLNLEIEDVDTLLSALESFTKVEKIDDLVAKFRCENCEDKVSVEKQLMLDQAPSVAAFHLKRFKTKATYVKKIDKHVVFPLELDLQPYTNVNQTSNNEELKYQLYAVVMHSGYNPTSGHYVCYIRSSPDTWHNLNDSIVTSVEEGEVLSQEAYILFYVREGIPWFSTAIEVQKPCTDLGTSDPSPKSVLDNTVCVSDLQPVNNTDANGYESKVVADETSIQFSCETQLMLELDEPCVVTKGISGPLSESKPKSIDLSDDSPISISTASNLGENNSNQSTFPRMPFRSQLPGKCQRDSSGKRMGFILSFCYVIRFFLG >KJB17846 pep chromosome:Graimondii2_0_v6:3:1549272:1550349:-1 gene:B456_003G020800 transcript:KJB17846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSHQQGNGHLKYISDSPYFFKIILQDNIQNGKLGIPKKFVKNHGNGMSSPAMFSVPSGEVWKVELTKCDGKIWCENGWLEFSNHYSLYIGHLLVFRYDGNSNFHVIIFDRTATEIQYPYTSNYHRQSNVILEQNIDQSRKPGEESQLPRPRPRPQSHKMVRSTKSAMETETEAECNGKPDFPARNGDTSTNHNTVRRLNTCEKVKALERARNTFKSENPFFLVVIQPDYVGLSLGKRYRLAIPADFVRENLMKEHCSITLCHSSGKTWMVTFKQQKGQKLYSFLQTGWVTFVRDNNIQVGDVCAFEAFL >KJB18953 pep chromosome:Graimondii2_0_v6:3:18709129:18716962:1 gene:B456_003G076900 transcript:KJB18953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSLGSTFSIFHSRHIRPPLAGCHVTEMTVADHHPSLEVVGGARDRFLPSLHTNLRLPYNPFPLIAWNRHVETIFASFFRSIPQVRLRRECLRVKDGGSVALDWISGDHRRLPPDSPVLILLPGLTGGSQDSYVRHMLTKANGKGWRVVVFNSRGCGESPVTTPQFYSASFLGDTYEVVKHVGARYPEANLYAVGWSLGANILVRYLGKESHACPLSGAVSLCNPFNLVIADEDFHKGFNNVYDKALARALCKIFKKHALLFEDMGGEFNIPLAANAKSVRDFDEGLTRVSFGFKSVDDYYSNSSSCDSIKHVKRPLLCIQAENDPIAPSRAIPREDIEVCL >KJB18952 pep chromosome:Graimondii2_0_v6:3:18709128:18717982:1 gene:B456_003G076900 transcript:KJB18952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSLGSTFSIFHSRHIRPPLAGCHVTEMTVADHHPSLEVVGGARDRFLPSLHTNLRLPYNPFPLIAWNRHVETIFASFFRSIPQVRLRRECLRVKDGGSVALDWISGDHRRLPPDSPVLILLPGLTGGSQDSYVRHMLTKANGKGWRVVVFNSRGCGESPVTTPQFYSASFLGDTYEVVKHVGARYPEANLYAVGWSLGANILVRYLGKESHACPLSGAVSLCNPFNLVIADEDFHKGFNNVYDKALARALCKIFKKHALLFEDMGGEFNIPLAANAKSVRDFDEGLTRVSFGFKSVDDYYSNSSSCDSIKHVKRPLLCIQAENDPIAPSRAIPREDIEENPNCMLIVTPKGGHLGWVAGAEAPLGAPWTDPVVMDFLEYLERGASKTGKFLGDSEAVQRSSGELHHIEV >KJB19646 pep chromosome:Graimondii2_0_v6:3:34321120:34324176:1 gene:B456_003G112100 transcript:KJB19646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSMRVVVTSIFLALLIETSTFEYVCAANRNVSCHEVERQALLKLKQDLIDPSGRLASWGNNLNCCNWSGVICDNLTGDVIQLRLRNPLDPYNGFYIPSEAYAKMWFSGKINPSLLDLKHLRYLDLSGSNFGGIQIPEFLGSVHTLRYLNLSAAGFGGLVPPQLGNLTNLHVLDLHDFSSLVYAENLQWLSHLVKLKHLDLSSVDLSKASDWFQVTNTLPSLVEIHLSGCQLHRLPLQADVNFSSLSILDLSSNSFSNPLIPGWFFKLNSLVSLDLSHNNFQGQLPHGLRNLSSLRYLNLYWNNFNSSIPSWLYGFTSLEFLNLGSNYFHGSISNGFQNLTSLTTLDLSDNELTGDVPNSMGSLCSLKKIKLSGLHLSRDLSEILQALSSPGCLLNRLESLYLDSCEIFGHLTDRLLLFKNLADLSLSRNSISGSIPVSLGLLASLRTLDLSQNRVNGTLPESIGQLWKMEKLWLSHNMLEGVVSEVHFANLTRLRLFQASGNPLVLEASPEWVPPFQLGVMALSSWHLGPKFPSWLRSQRDFVYLDISVTGIIDTIPNWFWNLSTIYFSLNLSHNQIYGELPHRIGTSPVADLVYVDLSFNHFDGPLPCLSSKVNTLDLSNNLFSGPISNLLCSKMEEPYWLEILHLADNHLSGEIPDCWMNWPNLVSVDLENNSLSGVIPSSMGSLNLLQSLHLRKNNLSGVLPSSLQNCTSLLAIDLGENHFVGNIPGWIGEKLSDSILISLGSNRFQGQIPDNLCSLSYLTILDLAHNNLSGTIPKCFMNLSAMAANQNSSNPISYAFGHFGTSLETLLLMIKGILLEYSSTLQLVTSMDLSDNNLAGEIPAGMTDLLGLRFLNLSNNHLTGRIPKNIGNMRLLESIDLSRNQLRGEIPPSMSALTFLGYLNLSENNLTGKIPSSTQLQSFDISSYDGNHLCGPPLLEICSTDATTSGDHNNNENNEGDGLEVDWLWFYASMAFGFVVGFWVVMGPLLFNKSWRFRYFRILERLEYKIRNGHH >KJB20960 pep chromosome:Graimondii2_0_v6:3:44587791:44591560:-1 gene:B456_003G1745002 transcript:KJB20960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQVLGSSLSSKSVSSWKSALEKLEEIPDSKIQEILRISYDSLEDDHDKNLFLDIVCLFIGKDRDYTTTILDGCDFYTTIGIENLVGRSLLIINEKNKLMMHQMIRDMGQEIIRQESSDIGKRSRLWHKNAFDVIREKIGSRTVKCLTLDLKGLLEDKAKRTNTTLHFPKHSKSQFLMSNDVDMETQAFAKMKRLKLLQLDYVRLKGDFKDFPKRLRWLRWRGFCMQSFPVDFDMNEIVVLDMRNSKLKQVWKDTECLPNLKILNLNHSHSLLKTPTFSGLPSLEKLMLKDCINLVEVDQSIGELKLLTFLNLKDCTSLRHLPRTIGSLISLEELILSGCSRLDDVPRELHNMESLKVLNLDETAIYQSRLGLHWLLLKRSKGLGFSWASLPSSLVKLSLESCKLSNDVMPNDLCNLASLKSLNLSRNPIHYLPESLKNLTKLEELLLTSCTELQMIPKLSVLLNVSECKFIFGDNSPFRGSGINNVPCFFSSTRCVIFGCEKLTEVEDLFKLEPIENFEAEEIRRLFNVDSINGNRLQLYSYLTDSIMLATPQVLQERGITSTFVVGSEIPIWFKHGTNEHRISFFLPTPAHPDEKIHWFSLCIVFSLASDQMSEFLPCVHIFNETKTIMLRYRSSFIGIPQTNDKTMLWLIHWPVTDFQFEGGDLVSCMVVPMHLSIRKFGVTYESKHNIKYEYGFSHLSTGDEVSTRHIKMDQTKHLLSLESYGNTKVQFCSYIEESKMVASPQVLYDYGIITTFDPVPFDYSGYYYGHQAGKTEVSISVPPNSSQNISCFLNSIIIFSAKNDKTYGFLPCLEIVNETKGTKWTYSKHFMGIPETKNTLYWTTCWNFRGDELEAGDHVSLRVLSDLSVLEFGIDLFLLEVFVYILSKSHKNLYRLQSLVKC >KJB20959 pep chromosome:Graimondii2_0_v6:3:44587791:44591562:-1 gene:B456_003G1745002 transcript:KJB20959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQVLGSSLSSKSVSSWKSALEKLEEIPDSKIQEILRISYDSLEDDHDKNLFLDIVCLFIGKDRDYTTTILDGCDFYTTIGIENLVGRSLLIINEKNKLMMHQMIRDMGQEIIRQESSDIGKRSRLWHKNAFDVIREKIGSRTVKCLTLDLKGLLEDKAKRTNTTLHFPKHSKSQFLMSNDVDMETQAFAKMKRLKLLQLDYVRLKGDFKDFPKRLRWLRWRGFCMQSFPVDFDMNEIVVLDMRNSKLKQVWKDTECLPNLKILNLNHSHSLLKTPTFSGLPSLEKLMLKDCINLVEVDQSIGELKLLTFLNLKDCTSLRHLPRTIGSLISLEELILSGCSRLDDVPRELHNMESLKVLNLDETAIYQSRLGLHWLLLKRSKGLGFSWASLPSSLVKLSLESCKLSNDVMPNDLCNLASLKSLNLSRNPIHYLPESLKNLTKLEELLLTSCTELQMIPKLSVLLNVSECKFIFGDNSPFRGSGINNVPCFFSSTRCVIFGCEKLTEVEDLFKLEPIENFEAEEIRRLFNVDSINGNRLQLYSYLTDSIMLATPQVLQERGITSTFVVGSEIPIWFKHGTNEHRISFFLPTPAHPDEKIHWFSLCIVFSLASDQMSEFLPCVHIFNETKTIMLRYRSSFIGIPQTNDKTMLWLIHWPVTDFQFEGGDLVSCMVVPMHLSIRKFGVTYESKHNIKYEYGFSHLSTGDEVSTRHIKMDQTKHLLSLESYGNTKVQFCSYIEESKMVASPQVLYDYGIITTFDPVPFDYSGYYYGHQAGKTEVSISVPPNSSQNISCFLNSIIIFSAKNDKTYGFLPCLEIVNETKGTKWTYSKHFMGIPETKNTLYWTTCWNFRGDELEAGDHVSLRVLSDLSVLEFGIDLFLLEVFVYILSKSHKNLYRLQSLVKC >KJB20669 pep chromosome:Graimondii2_0_v6:3:42794634:42795032:1 gene:B456_003G158600 transcript:KJB20669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFLFEPFNETDEDYDDSEDETIIDFNSDFDRNISDDSSDMNVRPASKTAIKGLENVKADNGEELSKIGSLRCLVCSEELLNETDGRRLPCAHVYHAGCIIQMLENSNMCPLCRHKLPVVDFDLNLDMSSC >KJB21036 pep chromosome:Graimondii2_0_v6:3:45162079:45164103:1 gene:B456_003G179800 transcript:KJB21036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLDEDARPRFLFQSKPQSSSSSERPSPQKPSKPFLFISLSISSIILSLSLFSIESEPFKSLLFWLSFSLFLGPFAPPSLTGGDIRVGVGPIITDPIKQDPQPETESKKKSSQKRSKPDKIDEPIGNHGDLAGNGNGFSDSKVKSKESKKKEDLGSNFDGEGKEWSETEIEILKKQMVKNPVGKPGRWEAIASAFKGKYKTDSVIKKAKELGEKKIDDSDSYAQFLKNRKPVDTRINDENEAVIQANWNSGEDIALLNALKTFPKDVTMRWEKISAAVPGKSKAACMKRVAELKKDFRSSKASNGGN >KJB19066 pep chromosome:Graimondii2_0_v6:3:20809418:20810163:1 gene:B456_003G083500 transcript:KJB19066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTWGFLSFFMVLVVTSAYPIKTKQYKPCKHLVLYFHDIIYNGMNKENATSAIVAAPQGANLTILASQFHFGNIAVFDDPITLDNNLHSKPVGRAQGMYLYDTKNTYTAWLGFSFVFNSTDYYQGTINFIGADPLMNKTRDISIVGGTGDFFMHRGVATLMTDSFEGEVYFRLKVDIKFYECW >KJB19714 pep chromosome:Graimondii2_0_v6:3:35379478:35383342:1 gene:B456_003G116300 transcript:KJB19714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRVSGGVIWMEDKEDEDSASWNRTSYNSSNNNNNNNSGVIMENKEDMGTFSTFKSMLDDEWYVGNNSISSHQDIRDLSFTSNLGDHHQDNLLLHHHSLPSVDSSSSCSPSSSVFNHLDPSQVQYFCQPKPNLSSILNFVSNSPLDHGFDLSEIGFLDNQATIGTTLLNRGNAGVLGSLTDLGHDNHLDPANLCPEAQFSSSRIVQLPENGTGFAGFEGFDENPGNALFLKRSKLLRPLESCPSVGAQPTLFQKRAAMRKNSADSGPNFGVLDGGKFSVSSGTEGDKGKKEMGKEENEKRKINNRDDVEDVSIDESALNYDSDEFTENTKVEETLKNGGNTINANTNATGGDQNQKGKRKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESTLPSSSLTPTTSSFHPLTPTPATFPSRIKDELGPSSSPGLNGQPARVEVRLREGKAVNIHMFCGRRPGLLLSIIRALDNLGLDAQQAVISCFNGFSMDIFRAEQCKEGQDINPGNIKAVLLDSTGFPNMI >KJB19713 pep chromosome:Graimondii2_0_v6:3:35379478:35383342:1 gene:B456_003G116300 transcript:KJB19713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRVSGGVIWMEDKEDEDSASWNRTSYNSSNNNNNNNSGVIMENKEDMGTFSTFKSMLDDEWYVGNNSISSHQDIRDLSFTSNLGDHHQDNLLLHHHSLPSVDSSSSCSPSSSVFNHLDPSQVQYFCQPKPNLSSILNFVSNSPLDHGFDLSEIGFLDNQATIGTTLLNRGNAGVLGSLTDLGHDNHLDPANLCPEAQFSSSRIVQLPENGTGFAGFEGFDENPGNALFLKRSKLLRPLESCPSVGAQPTLFQKRAAMRKNSADSGPNFGVLDGGKFSVSSGTEGDKGKKEMGKEENEKRKINNRDDVEDVSIDESALNYDSDEFTENTKVEETLKNGGNTINANTNATGGDQNQKGKRKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESTLPSSSLTPTTSSFHPLTPTPATFPSRIKDELGPSSSPGLNGQPARVEVRLREGKAVNIHMFCGRRPGLLLSIIRALDNLGLDAQQAVISCFNGFSMDIFRAEQCKEGQDINPGNIKAVLLDSTGFPNMI >KJB19978 pep chromosome:Graimondii2_0_v6:3:37924385:37927586:1 gene:B456_003G128100 transcript:KJB19978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLDSKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLTHLRAVSEEDGQALAEREGLSFLEMSALEATNIEKAFQTVLNEIYHIISKKALAAQEAAASTSVPSQGTTINVTDASGSTKKVCCST >KJB18164 pep chromosome:Graimondii2_0_v6:3:3891431:3894542:1 gene:B456_003G036900 transcript:KJB18164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGKPPLQQSQLFSLKGSVLTLSILTLISFTYFSFKSLRPPLPFSPPTPQITLLPSSATGTNTTTPSQPRIVAEKKEGNVGEEQEDNDDDFFTDIYHSPKLFKLNFEEMEKKFKIYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTEDPDQAHLFFIPISCHKMRGKGTSYENMTIIVQNYLDGLIAKYPYWNRTLGADHFFVTCHDVGVRATEGVPFLVKNAIRVVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDVENRTSLGFWAGHRNSKIRVILARVWENDTELDISNNRISRATGHLVYQKRFYRTKFCICPGGSQVNSARITDSIHYGCVPVILSNYYDLPFNDILDWQKFAVILRESDVYQLKQILKSISHEEFVSLHNNLVKVQKHFQWNTPPVKFDAFHMVIYELWLRHHVIKY >KJB19583 pep chromosome:Graimondii2_0_v6:3:33479653:33480259:-1 gene:B456_003G109500 transcript:KJB19583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNELQEKIDDLQDLGSTNKTLIYKERQSNDELHEARKVLIQGLPELFGNRTNIGLKRMGELDPKTFHDTCKSRFPPDEAEIQATTLCSSW >KJB17892 pep chromosome:Graimondii2_0_v6:3:1720717:1721022:-1 gene:B456_003G022500 transcript:KJB17892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGRLLKSLSKNDSNQVLPPPTRTKTSDFGDSIQGYKEDFRPTTPGSSPGVGHSFAEDVEDIAERKPTSISVQGNGNRSIAGHSPGVGHAYPNGSQNSEPNA >KJB18002 pep chromosome:Graimondii2_0_v6:3:2597808:2601488:1 gene:B456_003G028700 transcript:KJB18002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSGLHFYRRIPCFSIQLNRYSYSLCSSLLLNPNSRTQWFSTGAGSATQTEFPGENAYDILGVAETSSFAEIKASFRKLAKETHPDLADSKNDSSASSNSFIRILAAYEILSDSEKRAHYDNYLLSQRMVMQKHSRQGSTLYTYTSHMTINKQMEVVEWLKWYRLTINDIVSQRKAVVGTGYFDVLEADFYSAIQTAYYGPVIESMNLLPDRFEAEERSVYETTEVLHLVSGRDLFGMVCLVDRVPELSFNYKEKLTSSMSSTSMMSQSTQNSRICMNDKRDIRGGNSQIRVTDIKNHVADAYRDLELHVSGRVVAVATRIPPKSCSGETQNEDVEDCIQVFLTSDDDSMPSSQGLNRKVGSQVLLGTITGLGTSPEEGSCFVYNSNGSKTHVIMKHRTLLVKHMHWYRAGEEVSVCECRCSRARLPPSKF >KJB18001 pep chromosome:Graimondii2_0_v6:3:2597620:2602152:1 gene:B456_003G028700 transcript:KJB18001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSGLHFYRRIPCFSIQLNRYSYSLCSSLLLNPNSRTQWFSTGAGSATQTEFPGENAYDILGVAETSSFAEIKASFRKLAKETHPDLADSKNDSSASSNSFIRILAAYEILSDSEKRAHYDNYLLSQRMVMQKHSRQGSTLYTYTSHMTINKQMEVVEWLKWYRLTINDIVSQRKAVVGTGYFDVLEADFYSAIQTAYYGPVIESMNLLPDRFEAEERSVYETTEVLHLVSGRDLFGMVCLVDRVPELSFNYKEKLTSSMSSTSMMSQSTQNSRICMNDKRDIRGGNSQIRVTDIKNHVADAYRDLELHVSGRVVAVATRIPPKSCSGETQNEDVEDCIQVFLTSDDDSMPSSQGLNRKVGSQVLLGTITGLGTSPEEGSCFVYNSNGSKTHVIMKHRTLLVKHMHWYRAGEEVSVCECRCSRARLPPSKFWLFEPRCGMHDIGGWYIETFGRDKKGRTVPSQRYWDGFDTTKQFEERLHPAMYLLALAYRTLDIEDTKIRKQTIWKFVEGKLFSILSLYKKFV >KJB19282 pep chromosome:Graimondii2_0_v6:3:28217702:28218328:-1 gene:B456_003G092800 transcript:KJB19282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YPGDIFYLHLRLLERAAKSSSRLGEGSMTTLPIVDTQWGDVSVYIPTNLVSMIDGQIFLSANLFNARIKPAINVEISVSRVRFAAQIKAMKQLLKQSQSTPLTVAKQISIIYIETNGYLDSLEIGQLRKFPVELRTYLKTNKPQFQEIISSTQTFTEEVETLLKDTI >KJB17733 pep chromosome:Graimondii2_0_v6:3:841014:844745:1 gene:B456_003G013100 transcript:KJB17733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSKEMPSPSSLFSAYASMTASIMLFRSMANDIIPYPIRNYLVTSLGYLFKPRSRLLTLVMEESNGMVRNQVYDASEVYLCTKISPDTERLKVSKTPREKNLTIRLEKGEKIFDLFEGVELKWRFVCAEAEKGNNPNDQVVARAEKRSFELSFDKKYKGLVLGSYVPFVLERAKAIRDQQRILKMYTLSTQGYMGMMWDSINLEHPATFETLAMDPKLKNDVMEDLDRFVKRKGFYKKVGKAWKRGYLLYGPPGTGKSSLVAAMANHLKFDVYDLQLVNIMGDYDLRRLLLSTGNRSILVIEDIDCSIDLPDRRHAPDGRKQPEHHVQLTLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDMHIHMSYCNPQGFKLLASNYLGIHGYHHLFGEIEGLLQDTEVSPAQVAEELMMSEDPDVALSGLVKLLKWKKLEGDDANAFDIQDVKRQKG >KJB17732 pep chromosome:Graimondii2_0_v6:3:840891:843304:1 gene:B456_003G013100 transcript:KJB17732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSKEMPSPSSLFSAYASMTASIMLFRSMANDIIPYPIRNYLVTSLGYLFKPRSRLLTLVMEESNGMVRNQVYDASEVYLCTKISPDTERLKVSKTPREKNLTIRLEKGEKIFDLFEGVELKWRFVCAEAEKGNNPNDQVVARAEKRSFELSFDKKYKGLVLGSYVPFVLERAKAIRDQQRILKMYTLSTQGYMGMMWDSINLEHPATFETLAMDPKLKNDVMEDLDRFVKRKGFYKKVGKAWKRGYLLYGPPGTGKSSLVAAMANHLKFDVYDLQLVNIMGDYDLRRLLLSTGNRSILVIEDIDCSIDLPDRRHAPDGRKQPEHHVQLTLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDMHIHMSYCNPQGFKLLASNYLGIHGYHHLFGEIEGLLQDTEVSPAQVAEELMKSEDPDIALGGLVKLLKRKKLEGDGPVDKDGKTVGIREVKRQKVETKGKPVRMSRRKVNRRRNI >KJB18498 pep chromosome:Graimondii2_0_v6:3:9065281:9069803:-1 gene:B456_003G056300 transcript:KJB18498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAELTTEQVLKRDIPWETYMTTKLISGTGLQLLRRFDNRAESVRAQLLDDDGPAYVQVFVSILRDIFKEETVEHVLALIDEMLTANPKRARLFHDKSLANEDTYEPFLIKLTIHNLSTFRLLWKGNWFIQEKSCKILALIVSARPKTQNGVVANGEASNSKTKLTTIDDVLRGLVEWLCTQLKKPYHPSRGIPTAINCLAALLKEPVVRSSFVQADGVKLLIPLICPASTQQSIQLLYETCLCVWLLSYYEPVIEYLATSRALPRLVDVVRSSTKEKVVRVVVLTFRNLLSKGTCGAQMVDLGLPQIIQSLKAQAWSDEDLLEALNQLEDGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDPLFWRDNITCFEENDFQILRVLITIMDSSNDPRPLAVACFDISQFIQHHPAGRVIVNDLKAKERVMKLMNHESAEVTKNALLCIQRLFLGAKYASFLQV >KJB17886 pep chromosome:Graimondii2_0_v6:3:1649452:1649943:-1 gene:B456_003G021900 transcript:KJB17886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTFAVFLLIACHLFLSLEGRLLKSVSKDDPKQVFPPPPPTETSDFGDSVEGYKEDFRPTTPGNSPGVGHSFPEDDEDIAERKPGSFSLQGNGKQSIARDEDGFRHTTPGNSPGAGHSFEDNDEDVEKKPSSISKQGNGKHSIAGHSPGVGHAYPNQHSEPNA >KJB19593 pep chromosome:Graimondii2_0_v6:3:33911539:33913150:-1 gene:B456_003G110500 transcript:KJB19593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKNIGKIEVGAIISTILLLARLAVSQNPAEIPADKSRVNAWFNANVKPASARVGTIDPALGQAEAEPKVIKVVQGGGGDFDTITKAIESVPTGNTKRVIISIGPGAYREKIKIERTKPFITLIGDPKSMANLTFDGTAKQYGTVASATLIVESDFFVAANLFIVNTAPKPDGEMEGAQGVSLRVSGDKAAFYNCKIIGFQNTLCDDKGNHFFMNCYIRGTVDFIFGNGKSLYLGTELYVEEDKRQTVITAQDRENNEQDHTGFSFVQCKITGTAQGAYLGRAGKSSPRVVFAFTDMSNVVHPEGWSHNLTAERAQTLFYGEYKCSGLGAASAARVPYSSQLTETVALRFLTLGFIDGSRWLLPPPNLKMDKTIVLLGTHAQLYE >KJB20036 pep chromosome:Graimondii2_0_v6:3:38390260:38393909:1 gene:B456_003G130000 transcript:KJB20036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFPCPYSLYKPPSSPLFIYLSPTAYLYPFHIYIFQGLFTFHCSYSCSLAEIMENENQYQHVAAPKYDCLLFDVDDTLYPLSSGLSKACTTNIQEFMVEKLGIEGDKVSEINRVLYRNYGTSMAGLRAIGYNFDYDEYHSFVHGRLPYENLKPDYVLRNLLLSLPIRKVIFSNGDEVHVAKVLKKLGLEGCFERVISFDTLNSTNGSHSSDDEESSKLRDTSAEILDANSGPSTPIICKPFKNAFEQAFKIANINPQKTLFFDDSIRNIQSGKEIGLHTVLVGTSHRTNGADYALESIHNIREALPELWESDAKKPESVKLAIQTSVMA >KJB20035 pep chromosome:Graimondii2_0_v6:3:38390260:38392430:1 gene:B456_003G130000 transcript:KJB20035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFPCPYSLYKPPSSPLFIYLSPTAYLYPFHIYIFQGLFTFHCSYSCSLAEIMENENQYQHVAAPKYDCLLFDVDDTLYPLSSGLSKACTTNIQEFMVEKLGIEGDKVSEINRVLYRNYGTSMAGLRAIGYNFDYDEYHSFVHGRLPYENLKPDYVLRNLLLSLPIRKVIFSNGDEVHVAKVLKKLGLEGCFERVISFDTLNSTNGSHSSDDEESSKLRDTSAEILDANSGPSTPIICKPFKNAFEQAFKIANINPQKTLFFDDSIRNIQSGKEIGLHTVLVRTGKFQKKDL >KJB18806 pep chromosome:Graimondii2_0_v6:3:16925572:16929742:-1 gene:B456_003G072200 transcript:KJB18806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPHTIHDEEDQCNKESLNSKFNQTLKNVQGLLKGHNIRRKILLTRSDDIDKSVEGGVKNTNNSHGNITVNKLTSSTSKNEDVANIVQNSTMGTRATDSAMVTKLCELTWSVILLYMRPNVWRLLLQQFRAKKSACIIFDIQGYAPTNSDRREGVLKRKHLEYLDCVAQFYDPSAICESFAMLGCMNMEDNPI >KJB20373 pep chromosome:Graimondii2_0_v6:3:41199652:41201258:1 gene:B456_003G145300 transcript:KJB20373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIASKELAANTPGLHTSPDETNKGYLVLQTMFQIKDPKISLDFYSRILGMLLKRVAVPELKFTLYFMSYEDVSLDSSDPVDRTVNHHSYALHNWDTESDQEFKGYQTGNSEPLGSGHIGITLDDTIKACERFQRLGVEFSKKQLLLLFMPSLSISFVRYAFISGYWTEICDMTTIGEVVR >KJB20744 pep chromosome:Graimondii2_0_v6:3:43166486:43168048:-1 gene:B456_003G162500 transcript:KJB20744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCKQGLDHGKVTSIEVQETDMLATLLNPKNPTPQKTCLSLVIEEAKCISNIALPMVLTGLLLYSRSMISMLFLGRLGELALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAKRYKLLGLTMQRMILVLLLTSILIASLWSNMKKILLFCGQDENIANEAHSYILYCLPDLLAQSFLHPLRTYLRAQSITLPLTYCSTLAILLHIPINYLLVSVLNLGIKGVALGSIWTNFNLVLSLMVYVKISGVYKKTWGGISCECLKGWKDLLNLSIPSCISVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVGNELGANNPNKAKLAAIVGLSSSSMIGLSALLFAILVRKKWATMFTQDPEIIALTSTVLPILGLCELGNCPQTTGCGVLRGTARPKLGANINLGCFYLIGMPIAVWLSFFVGFDFKGLWLGLLAAQASCMVTMLLVVSRTNWDIQAKRAQELTRAVPVDDNDNNKADSDSKDSSPLLHDINHDNELV >KJB19368 pep chromosome:Graimondii2_0_v6:3:30446843:30449633:-1 gene:B456_003G098200 transcript:KJB19368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLFPRKQTPFRCTKYPSNLCKMASSSSSSSSHHLTKDILNTQNPHQALKLFNSNANLLNPLKNLEPYSAIIHVMARAKLYEDARCLIKYLIKALHSSLEPHRACHLIFNALNRLQTSKFTPNVFGSLIIAFSQMGLIEDALWVYRNIKTFPQMQACNALLDGLIKLGRFDSMWNLYKELLSQGFLPNVVTYGVLINCCCCQGDVLKAHNLFYELLMKGIPPNVVVYTTVIKLLCNEGKMLEAERMFRLIKEGYFLPNLYTYNVLMNGYFKMDRVERAFEIYRMMISDRLGPNIVTFGILIDGLCKVGELTVARSYFVCMVKYGVFPNIFVYNCLIDGYCRAGNVSEAVELSSEMEKLEILPDVITYSILIKGLCTVGKVEEGSFLLQKMNKDGVLANSVTYNSLIDGYCKVGNMEKALEICSQMNENGVEPNVITFSTLIDGYCKTGNMEAAVGFYSEMVIKGLVPDVVAYTALINGYCKNGNIKEAFRLHKEMLESGLMPNVFTLSSLIDGLCKDGRVSEAFSFFLEKSKAGIGGTVTNEFDGLFCSPNHVMYTSLIQAMCKEGQVFEASKIFSDLRCSGLMVDAPLYIVMLKGHFQAKHMIDVMMLLADMIKMGIMPSIAIDAVIARGYQEIGDLRSALRCSEDLAVQGSGILNQGDENEPNYTVKEDSKICL >KJB21027 pep chromosome:Graimondii2_0_v6:3:45038643:45044312:1 gene:B456_003G179000 transcript:KJB21027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSAVSEELAEIDGQIADIFRALSNGFQKLDKIKDVNRQSRQLEELTGKMRECKRLIKEFDREVKAMERRTNANTHRMLSEKKQSMVKELNSYVALKKRYQSNLESNKRVDLFDGPNEGFSEDNDMLASNMTNQQLMDKGYQMMGETDQAINRAKKVVQETVDVGTETAATLKAQTEQMSRIVNDLDSIHFSIQKASKLVKEIGRQVATDKCIMALLFLIVTGIIAIIIVKIVNPNNKEIRDIPGLAPPAMNRRLLWIPY >KJB20161 pep chromosome:Graimondii2_0_v6:3:39456261:39457511:1 gene:B456_003G135900 transcript:KJB20161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPETKPEAEAEAEPQPQPELEPDPISVRYEMNAQSRFFLCRFCGNHVVTMDNCIVGVEGTDVNGIICRNPVNVEHDDTMSRSFLNRLPAVNVRCNACNKYIGEKFVGLTPPCPRVMEGSYLLHTDRMLYWNGTQLRYAPGAYDDRTP >KJB21246 pep chromosome:Graimondii2_0_v6:3:37664072:37666375:1 gene:B456_003G126300 transcript:KJB21246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCSEHGIDPTGRYQGDLDLQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSIRSGPVGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAI >KJB21245 pep chromosome:Graimondii2_0_v6:3:37664028:37666375:1 gene:B456_003G126300 transcript:KJB21245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCSEHGIDPTGRYQGDLDLQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSIRSGPVGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEDEYEEEEAEYED >KJB19685 pep chromosome:Graimondii2_0_v6:3:34728239:34730329:-1 gene:B456_003G114300 transcript:KJB19685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLACFVLFMIMSMAISPTLSSVVTNAMDYWCDKTPNPQPCKYFMRQEPKPLVPKTKSEFRKVAVGIALERALHAETHTKWLGKKCRNDKEKAAWADCLKLYQQIILQINQTLHSTKCTDFDVQTWLSTALTNLETCRAGFVELRVSDYVLPLIMSNNVSKLISNALALTITKFVPQSYKHGFPSWLKAGDRKLLQSSSPTPNLLVARDGSGNRRTIKEALDAASKRSGKGRFVIRVKSGIYQENLEIGNKLKNIMLVGDGLRNTIITGSRSVGDGSTTFDSATVAVTGEGFIARGITFRNTAGPQKHQAVALRSGSDLSVFYRCGFEGYQDTLYVHSQRQFYKECYIYGTVDFIFGNAAVVLQNCMIYAWRPMDQQKNTVTAQGRTDPNQNTGIVIHGSRVMATADLSPVLSSFKTFLGRPWKEYSRTVFMKTYLDSLWILQAGLNGMETLL >KJB20630 pep chromosome:Graimondii2_0_v6:3:42680319:42683718:1 gene:B456_003G157200 transcript:KJB20630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCCCSSGGVEMSSAPAYYYYPQASDEHVPLSYHNVAASALSTGLLVNTNLEVSVPDAYRPPPAPMPFDAVVEPPQTSSVIQGIHNSKSNETLQTTNVNCSQGTVAVNTQETSIKSENTKEDCKAQSNTDIESLKGLEVDISKPVKSIVSTKEEEEDVCPTCLEEYDAENPKIISKCEHHFHLACILEWMERSDTCPVCDKEMIFDLLTD >KJB18456 pep chromosome:Graimondii2_0_v6:3:8200251:8201549:-1 gene:B456_003G053900 transcript:KJB18456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLSTRLLALALSVSLLFTSSSGHGHSWDPYTDKAKMIHHDGPMLTGNVKLALMWYGDCGKDIKNTMRNFIKSLELPGKDHLQPQVTRWWEVVESYQAMMPGAKPGKSPKIKVEVVKQLTNKAYKHGKVLTLQAHIPILIEELTKGDTNVLPVIVAARDVSIQGLCNGKCADHLLTEDPKPRPYIIVGNPETECPEECGWPFVPAKPGSKGPVLKPPSGNMAADAMVIAFASALADAVTNPMENGIYHENSATPMGPAAVCKGIFGPGAAPGNPGKVQTDPKTGGNFNAHGNNRKRFLLPAIWNPATKACWTL >KJB20951 pep chromosome:Graimondii2_0_v6:3:44549613:44549756:-1 gene:B456_003G1741001 transcript:KJB20951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDIKNQKLTNPVSIQDLVQMEDEQFMFHFPLTPDCTRGAKIHINLQ >KJB21216 pep chromosome:Graimondii2_0_v6:3:45513899:45515349:1 gene:B456_003G184000 transcript:KJB21216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRERRHQQGLRLSLPPLPAADFLQQSHYTALLSAIGPTSPDIESLSDLERLSVIGHGNGGTVYKVRNRKSSSVYALKVLRFDQNTAIRHQAACEAEILKRVDSQFVVKCFAVFDTIGGELCFVMEHMERGSLYDELRVRANLPEDVISGIARSVLRGLQYLHGMQIVHGDIKPSNLLINGKGEVKIADFGVSKIVVGTRNAYDTFMGTCAYMSPERVDPERWNGGNADGFVGDIWSLGVVVLECCVGRYPLIGLGEKPDWAALMCAICFGERPQMPETVSPYFRSFVRRCLEKDWRNRGTVDELLHHPFVNGTFELR >KJB18526 pep chromosome:Graimondii2_0_v6:3:9864469:9876683:1 gene:B456_003G057900 transcript:KJB18526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 3, Lateral root developmen [Source: Projected from Oryza sativa (Os10g0402200)] MAPSLNDDSPPSTIDEAFTENNLQPFVVLQKGSSRKSDRKSSGTGRTRRTDLSSASPKNSANIEDEKEDENMNLRMEAFEFVWSKIESTIKDVLRDINMNVFSEIQTWVHQSFDTIRSLGTPEFPEATQSFPIITDANSKRLFTGLVLTKNMEFVDDLLTFEELGKHLKYQGCHVANLSSLDFTAKNGVGGCLRSLLRQFLMSSLDPADISILASWYREENCNNPVVVIIDDIERCCGSVLSDFILMLSEWVIKIPVILIMGVATTLDAPRNILPSNALRCLCPFEFTLGTPAERMDAVVEAVLVKPCSGFIIGHKVAVFMRNYFVSQDGTLTSFIRALKIACTQHFYTEPLSVILLEFASEEDNLVLEIERYGLSPEVIWKHVFELPSCQRVRLNKPTSESLAHAFSELKRLQNQWRAVVLCLYVTGKGEKVRLLDLFCEAIDPESYNQGEFDTNMKAEKDPVLSSSRIKGHVICKAVRLVRDLSTAQLGNLLKIWENLTVGIPEINGKVKELLSLLNVEDGKSSKKDLTVTPRRHTSRIQLNIEKDSKSLSDKAANLIEGMVRDYMHPTECIPFHEIFCFKDADKLRLALIGDPRRRIQVDLLEFQKLLCCRCCTSSSNALLPSMHDTSIMYKLAQEHGDLINLHDWYQSFKSIVLCPNSKRKPRQSPLPKKRKGIKEAESQSEASIQARFCRAVTELQITGLLRMPSKRRPDFAQRVAFGL >KJB20258 pep chromosome:Graimondii2_0_v6:3:40404367:40408208:-1 gene:B456_003G140900 transcript:KJB20258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRKQSSSDPEPPKIASEPQPVQEKQQQQPVYISEDPNPNPIVDEEQQILEVEEEIEEEVEVEEEFEEEEEEEEEEEEEEDDEDDEEAENETLVGSSYAAVLNGAPREAGREDESDDEPVEKILEPFAKDQLITLIKKAVENHPDFISSVREFADADPAHRKIFVHGLSWDTTAETLTAEFSKYGEIEECKAVTDRVSGKSKGYAFILFKHRSGARRALKQPQKKIGIRTTSCQLAVQGPVPAPPPTGPPVSEYTQRKIFVSNVSPELDPEHLLEFFKQYGEIEEGPMGLDKQTGKPKGFALFVYRSVESAKKALEEPHKNFQGHVLHCQKAIDGPKQTKGVSGGHHQQYQHHHQGQHQSQSHYHHAKKGKYSTGGSETGHLMAPSGPAGAGFNHGVAAAGFNPGVAAAAPGLNPALGQALTALLTSQGAGLGIGNLLGGFTGAAANQGAHAAGYGNQVAGGYGNQMGVQGGYHNPQLGQGGAGRSQPGGGAPYMGH >KJB18358 pep chromosome:Graimondii2_0_v6:3:6732142:6735260:1 gene:B456_003G048400 transcript:KJB18358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKRKSDATRLDEVSRSMYTAFCSAANSLSQLYSHSMNHQSLSFQAGERYALEKLFEWILRQQEEGLKVMTTDIVAYLQNELEYGAEDFPMSPRQPFQQQQHPRPATHQNNLGSPFSSNPISAGTIAQGVRSADYQAKNSVFSNALSSPVRQSLQHYHSVPGANNISSSTNEPRNNETYHTNLQNRETDSPSTNDCMDMHADDSPHQDFPF >KJB17394 pep chromosome:Graimondii2_0_v6:3:1496531:1498795:1 gene:B456_003G019600 transcript:KJB17394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEREEDYDSDAPEEFSNQQGIKQDENIRKIQKENRARVRREGKERRRLWAQRKTPRQSTKVEKVQEDVETETDEESQAKKGLLPTNIVEMLSSREKQVFLSDSEDEKAEVNPIPRKKKKKNSGVEPVILNDIPPPQCLQSSLEFLKKRNMQVPRSSLVLKNSNQVLRLSCAISTSGLRESCKRL >KJB19697 pep chromosome:Graimondii2_0_v6:3:35155415:35156053:-1 gene:B456_003G115300 transcript:KJB19697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKLVFQNKYNANTIYEWNIDGMSEYNILRLLQQMKMVSNVYKTQNQNGIISDHAIANLLVAGFTGQLKGWWDHALTKTQQKEILKAIKKDDQGIIILDEQGREIQDAVATLIFSISKHFIGDPSHLKDRNSELLSNLKCKKSTDFKWYKDFFMTRIMKRSDNQQSFWKEKFLTGLPTLLGEKFRNQIRENIRGIIPYEKLTYGELISFTQKE >KJB19668 pep chromosome:Graimondii2_0_v6:3:34510473:34512529:-1 gene:B456_003G113200 transcript:KJB19668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDEVRLNCGITIPVIGLGTYSSNNDRVATEKAVHLALKMGYRHFDTAKIYGSEGAVGNALRKAIEDQIIPREDVFLTSKLWSCDHHDPVSALKHTLRNLGMEYIDMYLVHWPIKLKPWACNAVPKEEDFEKQLDMETTWEGMQKCLDRGLCRCIGVSNFSTKKIEHLLDFASVPPAVNQVEMHPMWRQRKLREICGKHDIHVSGYSPLGGPGNAWGSTAVVKNPLINSIALKHKATPAQVALKWGLTRGASVIVKSFNPDRLRENKGSLDLKLDDEDLIEIEQLQERKIMRGDFLVNNTTSPYKTLEELWDYEI >KJB17230 pep chromosome:Graimondii2_0_v6:3:23515948:23518698:1 gene:B456_003G0875002 transcript:KJB17230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLLSSIKHVAKSSPFLLLKNRNGNSCSYSCLFISPSAIDSPSAHRLNLSAKDVVASLKDWFKAPNTYLLDRIFSILSSQDQVARDDESSRHAADLALSHLNLHLSETFVLQVLSYGRSSSKDVLSCLKFFDWAGRQPGFHHTRATFHAIFKILSKAKLVPLMLEFLQDFMKHRCSYRVRFHDTLVLGYALAGKSAMALQMFGRMRFQGLDLDTFAYHVLLNALVDESCFDAVDMIAKQISLRGFDNGITHCILVKCWCKQNKLEEAEAYLRGLAESRKPVGGHAVSIIVSALCKGNRFQHAATLLEEFTELNVPMEQAYGIWLQNVVQRGKLNWYLNFINRKKLFSRNVPRLFQYNVLVLRLLREYRLNDVFDLLIEMENDGISPDKVTMNAVLCFFCKAGMVDVAIELYNSRSEFGLSLNRMTYSDLINNLCWNGVIDEAYCVLRNSVREGYFPSKKTFSILAGALCREEKFDRIKELVVSSLEQKFRPCYDVCDSFIVALCKANRVEDAYLLHGEISRINKDMSSKTYFHLIHGFCKSNRGDIAAALLLEMQEKGHKPTRKLFRYVICCLCDMQNLENQFFKLLEMQLSHFEPSSHVFNFFIAGAGHAKKPELAREVFEMMLRSGIKPSLRSDIFMLHSYLKNDRISDALNFFNDVRQRRQIGRKLYSSIVVGLCKADKVDYALNFMREMRNNNVFPGMECYEHLIQLFCWKESYSLAVSLVNELEQTRGYITSFIGNVLLLHSLKTKKLYKAWVQFREGQDETSDISLLGQLIGIFSGCMEANQDIKSLEEIIAKCFPVDVYTYNTLLRKLSESKVDLAFELYDWICEKGYEPNRWTYDIIIHCLLRKGRRAEAQRWLEEMFEKGFDLTERTKLLI >KJB20836 pep chromosome:Graimondii2_0_v6:3:43801042:43807236:1 gene:B456_003G167600 transcript:KJB20836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKCKQRIKQVKVWLQRLKDVAEEAADVLDEFDYEILRRKLKIRNQIRRKLVDFLSSNNCILFRLKMSNKIKDILETLEGLNNLASSFGLQQRATEHVSPVPRRSNVETFSVMDDSPIVGRKNEVSKVVDLLVNPKGEQVVSVVPIVGMPGLGKTALAKLVYDDLRVKRHFGVKSWVCVSDNFDVKILGAMFEQLTGVRDTSMPENRDAMIMMLKEKIEEAKGEKDQIKYLLVLDDVWDVKKWEDLSLKGISTNGGNGVIVTIRKDVASTVQALSDQSHRPGILEDEECWSIIKPRALMDSPISHELELIGKKIAKQCRGVPLVAKLMEGIMRKIEMSPLAWSKIQISDAWGSMESVLKLSFDHLSSPYVKKCFAYCAMFPKDYCFGKEELIQLWTAEGFLGSSKQLWMAEGFLGSSMAMEDIGDKYLNELLSNSLFQDVEKDKFGNILTFKMHDLVHDLSLSVSQFDTLFFQEKSRLTSKDCSHIRHLKVGCDGESLPEILTAVAPKLYSLFSEIDVFKKLSKSFTRLRVLKFVGAANICELPDSLGELKHLRYLDVSRTSIKALPKSATKLYHLQTLRLLGLPGLTLPDGLEILTSLKHLYFDKKEHQLVNIGNLTCLQTLPIFFVGSERGHSIKELGSLDELRGELKICHLEGVRDEQEAKGANLHRKEKLFKVIFDFGRCDSGSSDYNSEEVMEGLQPHSKLQSLTVSDYRGESFPSWMLRPVGDSNTDLFLLNNLMELKFFCCMNCESLPPLGQLHNLQYLELRKLTKVKRMGNEFYCNESVDGMNKVIKVFPALKKFTLSGMESLEEWTGMAETKMIMFPCLERLEIERCPLLKSVPLTGQCSSLEKLHIVGCGKLSKIGDGLSTSTCLKELDLDDCPDLSSIPNLEGFSSLQYLSVQRCNKLEVLPITGGCSSLEKLHIGGCGKLSKIGDGLSTSTCLKELDLDDCPDLSSIPNLEGFSSLQYLSVKWCNKLEVLPITGGCSSLEKLCIVGCEKLSKIGDGLSTSTCLKELRLYCCPDLSSIPNLEGFSSLQNLSVDGCDKLESFPLKAPLSSLKELRIGDCPNLKPIPSLDGPSSLTKLEFENVGEEWSRLLPNMLQSNVSLCSLTILNLPDPTWIQDDSLGRLNCLRELAIGGFSEELQELPWPSSIQYLSASLLVLKLTGWEKLKSLPHQLQFFTALEELRMEGFEGVEAFPEWLGNLFSKASIFEWFWKAKESSSPTSSPQCP >KJB20835 pep chromosome:Graimondii2_0_v6:3:43801042:43807236:1 gene:B456_003G167600 transcript:KJB20835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKCKQRIKQVKVWLQRLKDVAEEAADVLDEFDYEILRRKLKIRNQIRRKLVDFLSSNNCILFRLKMSNKIKDILETLEGLNNLASSFGLQQRATEHVSPVPRRSNVETFSVMDDSPIVGRKNEVSKVVDLLVNPKGEQVVSVVPIVGMPGLGKTALAKLVYDDLRVKRHFGVKSWVCVSDNFDVKILGAMFEQLTGVRDTSMPENRDAMIMMLKEKIEEAKGEKDQIKYLLVLDDVWDVKKWEDLSLKGISTNGGNGVIVTIRKDVASTVQALSDQSHRPGILEDEECWSIIKPRALMDSPISHELELIGKKIAKQCRGVPLVAKLMEGIMRKIEMSPLAWSKIQISDAWGSMESVLKLSFDHLSSPYVKKCFAYCAMFPKDYCFGKEELIQLWTAEGFLGSSKQLWMAEGFLGSSMAMEDIGDKYLNELLSNSLFQDVEKDKFGNILTFKMHDLVHDLSLSVSQFDTLFFQEKSRLTSKDCSHIRHLKVGCDGESLPEILTAVAPKLYSLFSEIDVFKKLSKSFTRLRVLKFVGAANICELPDSLGELKHLRYLDVSRTSIKALPKSATKLYHLQTLRLLGLPGLTLPDGLEILTSLKHLYFDKKEHQLVNIGNLTCLQTLPIFFVGSERGHSIKELGSLDELRGELKICHLEGVRDEQEAKGANLHRKEKLFKVIFDFGRCDSGSSDYNSEEVMEGLQPHSKLQSLTVSDYRGESFPSWMLRPVGDSNTDLFLLNNLMELKFFCCMNCESLPPLGQLHNLQYLELRKLTKVKRMGNEFYCNESVDGMNKVIKVFPALKKFTLSGMESLEEWTGMAETKMIMFPCLERLEIERCPLLKSVPLTGQCSSLEKLHIVGCGKLSKIGDGLSTSTCLKELDLDDCPDLSSIPNLEGFSSLQYLSVQRCNKLEVLPITGGCSSLEKLHIGGCGKLSKIGDGLSTSTCLKELDLDDCPDLSSIPNLEGFSSLQYLSVKWCNKLEVLPITGGCSSLEKLCIVGCEKLSKIGDGLSTSTCLKELRLYCCPDLSSIPNLEGFSSLQNLSVDGCDKLESFPLKAPLSSLKELRIGDCPNLKPIPSLDGPSSLTKLEFENVGEEWSRLLPNMLQSNVSLCSLTILNLPDPTWIQDDSLGRLNCLRELAIGGFSEELQELPWPSSIQYLSASLLVLKLTGWEKLKSLPHQLQFFTALEELRMEGFEGVEAFPEWLGNLFSKASIFEWFWKAKESSSPTSSPQCP >KJB18983 pep chromosome:Graimondii2_0_v6:3:19346042:19349291:-1 gene:B456_003G078800 transcript:KJB18983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTILVTGGAGYVGSHTVLQLLLSGYKTVVVDNLDNSSDVAPKRVKELAGEFGRNLSFHQVDLRDRPAIQKIFAQTKFDAVIHFAGLNAVGESVSKPLLYHDNNIIGTILLLEVMAVHGYKNLVFSSSAAVYGWPKKVPCTEEFPLSAVNPYGRTKLFIEEICRDLRNSDSQWKIILLRYFNPVGAHPSGHIGEDPRGTPNNLMPFVQQAAVGRLQALTVFGKDYATKDGTGGPFLVSFRVRDYVHVVDLADGHIAALKKLSDPKRGCEVYNLGTGKGTSVLEMVTAFEKASGKKIPLQIAGRRPGDSEVIYASIKKAETELKWKAKYGIEEICEDQWNWASKNPFGYGSP >KJB18368 pep chromosome:Graimondii2_0_v6:3:6859401:6865444:1 gene:B456_003G049000 transcript:KJB18368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVEEQEDSKKKTKDGGGAGDKSERSYRKRDSERDEEDDEGKSSSKKSRSGREEDDEERRDRDKDRRRSSRDRERERERDRDRDLERRSSRGREKEKDRERDRERERRDRDKEKEKEKERDKERREREKERERRERQKEKERERERRERSSSRSRRHESELEREKERDRERDIDIRDSRKFKDKKEATEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTSGAGTGGVAGPYGAVDRKLYVGNLHFNMTEMQLRQIFEPFGPVELVQLPLDLETGQCKGFGFVQFAQLEHAKAAQSALNGKLEIAGRTIKVSSVTDHVVTQDTAAKSADFDDDEGGGLALNAQSRALLMRKLDRSGIATSITGSLGVPLLNGSAPSPQAVTLPVNGQAAYPTPILPPIMSTTALDSVGQPSECLLLKNMFDPATEV >KJB18367 pep chromosome:Graimondii2_0_v6:3:6859381:6865570:1 gene:B456_003G049000 transcript:KJB18367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVEEQEDSKKKTKDGGGAGDKSERSYRKRDSERDEEDDEGKSSSKKSRSGREEDDEERRDRDKDRRRSSRDRERERERDRDRDLERRSSRGREKEKDRERDRERERRDRDKEKEKEKERDKERREREKERERRERQKEKERERERRERSSSRSRRHESELEREKERDRERDIDIRDSRKFKDKKEATEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTSGAGTGGVAGPYGAVDRKLYVGNLHFNMTEMQLRQIFEPFGPVELVQLPLDLETGQCKGFGFVQFAQLEHAKAAQSALNGKLEIAGRTIKVSSVTDHVVTQDTAAKSADFDDDEGGGLALNAQSRALLMRKLDRSGIATSITGSLGVPLLNGSAPSPQAVTLPVNGQAAYPTPILPPIMSTTALDSVGQPSECLLLKNMFDPATETEPDFDLDIKEDVEEECSKYGRVKHIYVDKNSAGCVYLRFDSAEAAGKAQRAMHMRWFAGRSISALFMQPQEYEARFKC >KJB19920 pep chromosome:Graimondii2_0_v6:3:37183653:37186791:-1 gene:B456_003G124600 transcript:KJB19920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAPWIRVLMLLACLWFPASVECMVRHYKFNVVLKNTTRLCSTKPIVTVNGRYPGPTLVAREDDTILVKVVNHVKYNLSIHWHGIRQIRTGWADGPAYITQCPIQPGQNYVYNFTLTGQRGTLWYHAHILWLRATVHGAIVILPKRDVPYPFPKPHKEEIVVLGEWWKSDVEAVINEALKSGLAPNVSDAHTINGHPGPVPGCPSKGYTLPVKPGKTYMLRIINAALNEELFFKIAGHQLTVVEVDATYVKPFKTDTIVIAPGQTTNVLVTTHRGGGKYMVAASPFMDAPIAVDNVTATATLHYSGSLTSAATTLTSTPPKNATSVATNFISSLRSLNSKQYPANVPLKIDHSLLFTVGLGINPCPTCVNGSRVVASINNVTFVMPKISLLQAHFFNISGVFTSDFPGNPPAPFNYTSTQVTNFATKQGTRLYRLAYNDTVQLVLQDTGMITPENHPLHLHGFNFFEVGRGLGNFNPKEDPKKFNLVDPVERNTIGVPSGGWTAIRFRADNPGVWFMHCHLEVHTTWGLKMAFVVDNGEGPNESLLPPPSDFPKC >KJB18599 pep chromosome:Graimondii2_0_v6:3:11428663:11430525:-1 gene:B456_003G062400 transcript:KJB18599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGQEHKTRDDPQLEIQERGEIFFFYRPKVNKEEVHSVDDVQRLYIVLRPESGERGVEEKQDPHSGKEGAKSRSSDQPQTGSSMNKTEGGQGSQEVNIEEEPLLRFIVMGRKRLPDPGKKSQTFWGFVELVTTKVEDVKDALKGEEYDTSSRGYRYQPPARAVGEGVYRIVRHNSSKNKMHTHLIYKLEFPPPKEENEPQESLNIEPEGSFLIQIKNPSQASNSQFRGVGNKRKAAFPAHLQGQLGKTRYHPADPPDFLNYEGCEFLLISASDDIDKELGLELGTEGEADPSCSDLVRTFGDTASTTPLFKGIWS >KJB19293 pep chromosome:Graimondii2_0_v6:3:29359276:29361304:1 gene:B456_003G093700 transcript:KJB19293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLRQLALLNSHKELSFFLVAVAFFLPPFLFFFMVSHFTICCLHHGDGEKASLFTSFILPASSFGFLFSSDAIYDFDGQPPDLKKQELAKRYSKRADATEDLQEAMEVFFLRKIKVLLFLLLDWK >KJB20707 pep chromosome:Graimondii2_0_v6:3:42918862:42919086:-1 gene:B456_003G160100 transcript:KJB20707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFIPEEYVIRRRFEKKEATIAEERSNMVVKASQRNEVETKSLPLLLYGRAGTNGFVASGGINENIVFSCLSA >KJB18964 pep chromosome:Graimondii2_0_v6:3:18977132:18979724:-1 gene:B456_003G077800 transcript:KJB18964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEKEYYINLYVGGKFVSDPHVRYSGGETVRLKEDPDTISYFELCKIVKNGLSFNTMQLIYFYVPGNRTLQYNLRAVWNDSSTIDMLNNWVKHKKINIYVEHEIDTVIFANDDLMLAVGTVEGASDGNEGVEVAGSKGGERVVSLNGDSVEVAGGEGGEGGTEVAASKGGERVEGLSGNFVEVSNSLCGDGGEGGKGIEVAGNQSSEGGEGSVGVKGLDGLDASVEWLEEGDGGLNSNVDEDGKEGVEDESDSDLEDENFYLKHGREVEGKTNGKFKETVLDKTESESFEERELKIVNNEPNRVRVKCIASKINMSKCMQVKIFHDEHNCGVSFRNKMINVKVNANNSEATIKDHSKMKLTEIQRRVALEMHVNVNMTRCRRAKKMVKDKLVGNFVEEFVVLCDYADELRLKNPGSTIKMAVNRVTYKSPPHFKRFYVCFEALNKCWKEGCILILRLDGCLLKGPFKSEIFFAVERDRNNQIILTTDLGMEDGYGYTIISDEQKIHEGVAKELLSKYSKAWTKAFQGLHSVSGIVDNNLYEAFNSSIVESRLKSIITMLEEIRVKMMTKIVDKGK >KJB20179 pep chromosome:Graimondii2_0_v6:3:39593195:39595720:-1 gene:B456_003G136800 transcript:KJB20179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKVEKKADAKAQALKAAKAVKSGATFKKKAKKIRTKVTFHRPRTLKKDRNPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >KJB17339 pep chromosome:Graimondii2_0_v6:3:2224:2244:-1 gene:B456_003G0002002 transcript:KJB17339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NMWLAF >KJB21125 pep chromosome:Graimondii2_0_v6:3:45509523:45512632:1 gene:B456_003G183900 transcript:KJB21125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVSSSGNSQNDASTSGGESQTTAQPQTAPKPVPVQSVNTPPPFLSKTYDMVDDPATDAIVSWSPSNNSFVVWNPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLRNISRRKPAHGHGHQQTQQSHGQSSSVDACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQATDNQLQVMVQRLQGMEQRQQQMMSFLAKAMQSPGFLAQFVQQQNESNRRISEANKKRRLKQDGNDTSAASDGQIIKYQPLNEAKEMLRQIMKGDSSPRLDSFNNNHENFLIGDDASASSGLDGGNSASRVSGVTLKEVPPTSGCSTYVPATSWIPGHSPSAAISEIQPSPCTTSSEEITTSRFPDMSALVGAQKPAAMSIPQTDISMPEVSEMPEMVQESIVDMPAENYVESETGNGGFIDPSTLVVNGSIPLQLDDLPDIDAFWEDLLVPSPGPEDIESTPMDSKGEGNEGQPMDKGWDESQYMDNLTEQMGLLGSDNNRS >KJB17961 pep chromosome:Graimondii2_0_v6:3:2420418:2424626:-1 gene:B456_003G026700 transcript:KJB17961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLHLSNIYNNKTAIANANAVEPLIHVLETGSPVAKENSAATLFSLSVIEVNRARIGRFGSIQPLVDLLGNGTPRGKKDATSALFNENKARIVQAGMVDKAVVLLANLATIPEGRTANAQEGGIPRLVEVVELGSARGKEHAAAALLYLCTCSSKSCSVVLQEGAVPPLVASSRSGTPRAKEKVHLSYFRNQRHGNAESD >KJB21160 pep chromosome:Graimondii2_0_v6:3:45631954:45635636:-1 gene:B456_003G185600 transcript:KJB21160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIAGVATEVLIAIPSAGTLNFRHFHPNHFFLSSPFFKRCPTLCIPKLGMVPDKYRGGRCLTMRASGESGDNLSPIAPVEFESPVGQLLAQILRTHPHLLPAAVDQQLDNLQSDKNDQTEETPQSQDLLYKRIAEVKEKERQKTLEEIIYCLIVQKFVDNEISMIPKVTETSDPTGRVDFWPNQEQKLEFVHSPEAFEMIQSHLSLVLGDRMVGPLSTIVQISKIKLGKLYAASIMYGYFLRRVDQRFQLERTMKTLPEDFTKSQARFEDPNPGKQLWDPDSLIRIPPHDDDDGGGYGDAEGKQYRLRSYVMYLDSETLQRYATIRSKEAISLIEKQTQALFGRPDIRILDDGSLDTSNDEVVSLTFSGLTMLVLEAVAFGSFLWDAESYVESKYHFLKS >KJB21161 pep chromosome:Graimondii2_0_v6:3:45631942:45635620:-1 gene:B456_003G185600 transcript:KJB21161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIAGVATEVLIAIPSAGTLNFRHFHPNHFFLSSPFFKRCPTLCIPKLGMVPDKYRGGRCLTMRASGESGDNLSPIAPVEFESPVGQLLAQILRTHPHLLPAAVDQQLDNLQSDKNDQTEETPQSQDLLYKRIAEVKEKERQKTLEEIIYCLIVQKFVDNEISMIPKVTETSDPTGRVDFWPNQEQKLEFVHSPEAFEMIQSHLSLVLGDRMVGPLSTIVQISKIKLGKLYAASIMYGYFLRRVDQRFQLERTMKTLPEDFTKSQARFEDPNPGKQLWDPDSLIRIPPHDDDDGGGYGDAEGKQYRLRSYVMYLDSETLQRYATIRSKEAISLIEKQTQALFGRPDIRILDDGSLDTSNDEVVSLTFSGLTMLVLEAVAFGSFLWDAESYVESKYHFLKS >KJB19872 pep chromosome:Graimondii2_0_v6:3:36736977:36738886:-1 gene:B456_003G122600 transcript:KJB19872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMMILIPFLLVGLFLILRFLFKTSLLLILLQKFQCLEDWLHVHQLYRVPQFNHLFQENQLYVKVSTYLNSLPSLEDSDFTNLFTGSKSNDILLHLDTDQTIRDVFLGARVSWTLEKSENGGARVLVLRLRKNDKRRILRPYLQHILSVAGDISQRKKEIKLRMNVDSPSSGENGRWRSVPFNHPASFDTLVMDVDLKNKVKADLEMFLKSKQFYQRLGRVWKRSYLLYGPSGTGKSSFVAAMARFLNFDVYDIDLSKVSDDSDLKMLLLQSTSKSMIVVEDLDHFLMDKSKNVSLRGISNFMDGIISCCGEERVMVFTMNSKDEVDEALLRPGRIDVHIQFPLCDFPCFKHLANNYLGVKEHKLFPQLEEIFQGGASLSPAEIGEIMISNRSSPTRALKSVISAMQTNAGAKSRRVSGDGAEEIGGGEQGGLFSREHSVRDFRKLYGLLKMGSRRKDEPLDLGSADKDGSN >KJB19243 pep chromosome:Graimondii2_0_v6:3:27297765:27299294:1 gene:B456_003G090900 transcript:KJB19243 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIZ1 [Source:Projected from Arabidopsis thaliana (AT2G41660) UniProtKB/TrEMBL;Acc:A0A178VY97] MTHHQELVTFQRSSSYNNYNCKSSRNKINPSKFPRSASFTIPENEEISDKLLVRRNASSSSPRSSIQRFHNVNSRFSSLLRSLLKIIAFPNIIPTSCKWLTLPTHLSITPSLGRKVTGTLFGHRRGHVSFAVQDDPRSEPVLLLELAMSTASLVKEMSSGLVRIALESEKAPGRTGRLFHEPTWSMYCNGRKSGYAVTRTCTESDWHVLSTVQSVSVGAGVIPVVEDAKSGGSEGELLYMRAKFERVVGSRDSEAFYMLNPDNNGGPELSIFLLRI >KJB18931 pep chromosome:Graimondii2_0_v6:3:18238701:18242077:-1 gene:B456_003G075800 transcript:KJB18931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVTDKLAYFQAITGLEDPDLCTEILQAHGWDLELAISSFTSSTQPSASSTVSDSDPPDSRARTVSASASASASASASASGPITAPAPGLAWRLVTLPISVISGSLGLISGAVGLGLWAAGGVLSYSLGMIGLGSGQGGESSAGLVSVSAAASEAMDFVAEFEREYGTRGLNFVGEGFMDALQRSRNAFKLLFVYLHSPDHPDSPVFCERTLCSQALAAFVNENFVAWGGSIRASEGFKMSNSLKASRFPFCAVVMPATNQRIALLQQVEGPKSPEEMLTILQRVLEESAPVLVAARLDAEERRNNMRLREEQDAAYRAALEADQARERQRREEQERLEREAAEAERKRKEEEEARERAAREAAEKEAARARMRQEKALSLGDEPEKGPNVTQVLVRFPTGERKERRFHSTALIQAVYDYVDSLGCLEVEDYNLVSNFPRVTYGTEKRSLTLKEAGLHPQASLFVELN >KJB17772 pep chromosome:Graimondii2_0_v6:3:966212:969686:-1 gene:B456_003G014600 transcript:KJB17772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGHFSSSCSYSLNWSAEKNKLFENALAIYDKDVPQRWQQIAKLVGGTTTEQEVKKQYEILLDDIKRIESGKVPLPKYTRNSGKYKD >KJB19180 pep chromosome:Graimondii2_0_v6:3:23621664:23624094:1 gene:B456_003G087700 transcript:KJB19180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYWHSQYTPNWWAPLNPHLICCLVGCITITSQICSFPNTPSPVIAIPPIQRRLENPYPFLIFVSFFCPHRFLLLLLSALQIYPHFFCFHNKSSTLSSSEANFRVSFIFAAVYTGLLLPEDRIMGLDTPSGGNTSHGYYTPHGRKVSSASIFFESLPYKVNPQTGYIDYEKLEERALDFRPKILICGGSSYSREWDYGRFRQIADKCDAVLLCDMAQISGLIAAKRKGC >KJB18612 pep chromosome:Graimondii2_0_v6:3:11898112:11900047:-1 gene:B456_003G063300 transcript:KJB18612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGHKTEPAPPPPPPPPSATPRQLTEAKVMAEENGRQGEPCVRSKTGGTLTKGNVVVLTLRLLCMATSVTAMSFMVTARQVSAASFYGFQLQLHSKWSFSYSFEYLVGVTATATAYSLLQLLIAGSRLLVKKSPVIPSRNQAWLVFAGDQILAYAMMSAGSAASGVANLNRTGIRHTALPNFCKSLDSFCDHVTVSIAFTFFSCVMYAAAAVQDVIWLSTH >KJB19527 pep chromosome:Graimondii2_0_v6:3:32974123:32975415:1 gene:B456_003G107700 transcript:KJB19527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVMRPVSLVDSTCLVSLPSLFTTSSKFPSLLSLPSKPINLVLLSSSTQPTLSSLKTKTHFPSLVAFLAQTSDWAQQEEENDTTLTIDDQGTETSLSDWEPQGGVAGSGSEEEDASEPSEEAKLFVGNLPYDVDSQSLAMLFEKAGTVEIAEVIYNRDTELSRGFGFITMSSVEEAEKAAETFNGYDLNGRLLTVNKASPRGSRIDQSPRVYEQAFRVYAGNLPWGVDNARLEQIFSEHGKVLEARVVYDRETGRSRGFGFVTMSSETELNDAIAALDGQGLDGRALRVNVAEQKPRRSPF >KJB20308 pep chromosome:Graimondii2_0_v6:3:40774670:40778976:-1 gene:B456_003G143100 transcript:KJB20308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGRNSNMRSTDFLDGMLGDYVGRKAKVKAPKTSSTRLVTALTCLQFAFAVYATFLLYYMSPLVDLRTKPDFTWITRNMRQLITTPHVLGRYQDAALSLVGAEVALSTPSEVCEHEKIDFMQKKSNNARMINLKRELYDEILDFQSKTIGTETLAELMAMRSKWDMRGPNRPRVTVLLNHFKRKTLCSQLDSLLQQTLPFHHVWVLSFGSPNEQSLKRIVDSYNDSRISFISSSYDFKYYGRFQMALQTEADLVYVLDDDMIPGKKMLQILSHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFGSKEAGLYLPDPAYDITIDKIVQVDFLSSSWFLSAELVKSLFIETPFTFMTGEDLHLSYQLQKYRNAGSFVLPVDPTDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWRALTTGYVTQWAAMYAQKIDALFYAHSVDEVKALTPLLEKFRSTVGKKAYIVVSGDGFCSCEDAAAALNWPKQVCKERRFKIFDLQIGAISGTSNSEVPVLQVVYSSMKGLIKIHNPSVVITLADADSNVKKALKMASETNANGTALVLLPRPSVSKVLWMPDLRSTALPYWNRMRISINIITQNRAESLTRLLKSLSDAYYVGDEVPISFNMDSKVDEATIKLVDSFEWPHGPKTLRRRIIQGGLIRAVSESWYPTSDDDYGLLLEDDIEVSPYYYLWIKYALLAYHYDPQISLPELSSISLYTPRLVEVVKERPKWNPTEFFKRIHPNTPYLHQLPCSWGAVFFPKLWREFYVYMNMRFTEDAKANPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQSSFSTNHMEPGAHISAKDNVVRHDKTDFEVPLLMEDFRPLLPNAKLPPASKLPSLNLFNQPVSLKGLKMAGAKLGQDVLRCDNATEIVTAHHLTGLPLQCSKIV >KJB20232 pep chromosome:Graimondii2_0_v6:3:40327127:40329099:1 gene:B456_003G140300 transcript:KJB20232 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g21140 [Source:Projected from Arabidopsis thaliana (AT5G21140) UniProtKB/TrEMBL;Acc:Q84VP9] MAVLSWKHHTLIQSLLERGPLTEKEFHFIFTGITGQNPGTHQGKFNDYLLKINRELSYAQLDLRACRDPYDGRVYFGVVNNVSDDQSKLGTKYSVPQIAFFKAIVEAIAQDVTAEGTICNLDALNIKLENQVLNSSGLQSQDVPAAFKNFTMSHKEKTLDQLVKDKWLCYTEDDNIGLGIRSILDLRSWFHNAGVPSCQVCNEAGFKAKLCPNDGCAVRIHHYCLKKRFSQKGMLVCPSCDTQWQYQPPKAEPIEVEDEETEPVQSQPSSNPGPSQPSLRSKRKRQRLDNVETAGCSSQASGANRKRVTRSSAHPR >KJB20665 pep chromosome:Graimondii2_0_v6:3:42787614:42791236:1 gene:B456_003G158400 transcript:KJB20665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKAVKSDLVLILDFGSQYTHLITRRIRALSVFSLCISGTSPLSSITSLNPKVVILSGGPHSVHSNDSPSFAEGFVDWAQSNGVFVLGICYGLQLLVQRLGGEVRVGEKQEYGRMDIEVEKNCGIFGGKKVGDKQVVWMSHGDEAARLPDGFEVVARSQQGAVAAVEDRGRKFYGLQYHPEVTHSLEGMETLRYFLFDVCGVNAGWKMEDVMDEEIEVINNTVAPDDHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYKERERVMETFERDLHLPVTCVDASEQFLSKLKGVVDPEMKRKIIGKEFICIFDAFAHELEQKVGKKPAFLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRQLGRILKVPEPFLKRHPFPGPGLAVRVLGDVTEGNALDIVRQVDEIFIQSIKDAGIYDSIWQAFAVFLPVKSVGVQGDQRTHSYVVTLRAVTSQDGMTADWYCFENSFLKEVSQKICNNVRGVNRVTLDITSKPPSTIEWE >KJB20152 pep chromosome:Graimondii2_0_v6:3:39345661:39346784:-1 gene:B456_003G135400 transcript:KJB20152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKTTVAEKSPAEKKPKAGKKLPKEGGAAGDKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KJB19532 pep chromosome:Graimondii2_0_v6:3:33118249:33119821:-1 gene:B456_003G108200 transcript:KJB19532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSICVVLVISLPFLLGIAQGFDFHDKDLASEDSLWDLYERWRSHHTVSRSLDEKHRRFNVFKQNVLHVHNTNNKDKPYKLKINKFADMTNHEFRNAYASSKIKHHKMFQGKSRGAGSFMYENVDRVPPSVDWRQKGAVTAVKDQGQCGSCWAFSTVVAVEGINQIKTNKLVSLSEQELIDCDTEENQGCNGGLMDIAFDFIKKKGGITTESNYPYQANDGSCDAAKVNSPAVAIDGHENVPANDEDALLKAVANQPVSVAIDAGSMDFQFYSEGVFTGECGTELNHGVAAVGYGTTLDGTKYWIVKNSWGAEWGEKGYIRMERGIRDKQGLCGIAMEASYPIKNSSSNPTGPSYSPKDEL >KJB18844 pep chromosome:Graimondii2_0_v6:3:17213761:17215205:1 gene:B456_003G072500 transcript:KJB18844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSNQPRIQPDSDQTQCRACKRHNNQQTPKVFLANSIGSYWFLIYPVQSHFPNRSPDWFLVQLIGSIQTTLVATNVPNKLEGAIHQAKCTYITTSTLSHTNLVCSRDKSRSLN >KJB20099 pep chromosome:Graimondii2_0_v6:3:38924577:38926296:-1 gene:B456_003G132900 transcript:KJB20099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPQFGATAETLSKASTMVFWIGIDAHPYDNSDDIVNSIRRSVRLLSDFSLSSLKASTSPITSLRRPNEALLSINCFQKDLGDPNPLVRAWALRTMAGIRLHVIEPLVLVAVGKCARDPSVYVRKCAASALPNVHDLRLEEHTSAIEEVHLINLFLHGYFCFHVIHHLL >KJB17660 pep chromosome:Graimondii2_0_v6:3:595711:597768:1 gene:B456_003G009600 transcript:KJB17660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPCSSTRRVTRSQTLAALNNSISDAKSEKKSVLQTRNGKEKQEERSALIDITNDSPIVGLAMGTPSSNTSKQWRPKKMMMMTPGSGEALLRGQVKTLFQKDEESEKKSNLKTRNEKQQQQERSALIDVRNDSPIIGLAMGTPLSETSKQWRANKMMKMMTPGSGEALLRSQVKTLLQKVEEEEPEVSNVPSGSCHFLRGQSCVVSPTGLVAPTPANTPQVEGSIVMALPVVEENLRISEVVSIIFDGVGVESEKSEITRSLLVEFSEKSEISEDSSECCYSMVTDECSAGKEKVSSSSSSSSSSCIDDDNSSVWSIQVNASTHDEDDETTIEEMGDDYHEDAEEMGDDDDGLVDELCEGLSKMSMEEMFQGKHTRFVYNSDEEIEEECAEIKEDSSDIIRLKGLPTPKGKHLRFPLDEVDDD >KJB17659 pep chromosome:Graimondii2_0_v6:3:595711:597768:1 gene:B456_003G009600 transcript:KJB17659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPCSSTRRVTRSQTLAALNNSISDAKESEKKSVLQTRNGKEKQEERSALIDITNDSPIVGLAMGTPSSNTSKQWRPKKMMMMTPGSGEALLRGQVKTLFQKDEESEKKSNLKTRNEKQQQQERSALIDVRNDSPIIGLAMGTPLSETSKQWRANKMMKMMTPGSGEALLRSQVKTLLQKVEEEEPEVSNVPSGSCHFLRGQSCVVSPTGLVAPTPANTPQVEGSIVMALPVVEENLRISEVVSIIFDGVGVESEKSEITRSLLVEFSEKSEISEDSSECCYSMVTDECSAGKEKVSSSSSSSSSSCIDDDNSSVWSIQVNASTHDEDDETTIEEMGDDYHEDAEEMGDDDDGLVDELCEGLSKMSMEEMFQGKHTRFVYNSDEEIEEECAEIKEDSSDIIRLKGLPTPKGKHLRFPLDEVDDD >KJB19523 pep chromosome:Graimondii2_0_v6:3:32957667:32959689:1 gene:B456_003G107400 transcript:KJB19523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQWLLQLSSSPFLLFASILLLLKLLFNQNSTKTKPNLPPCPPKLPIIGNLHQLGTMPHLSLRRLSNKFGPIIYLQLGQIPTVVVSSANLAKQVMKTHDLALSNRPPIFSAKLLFYDCTDIAFAPYGAYWRHIRKLCILELLSAKRVQSFSFVREEEVARLVRRVSESSYPATVNLSKLLGFYANDNLCRVALGRGFSHGRDYDRHGFQKMLEEYQELLGGFSIGDFFPSMEFIHSLTGLKSKLQSTFQRFDRFFDEVITEHLDPDRHKEEHSKDLLDVLLDIQNSKSNEINLTMDNVKAIMLDMFAAGTDTTFITLDWAMTELIMNPKVLETAQAEIRKVVGDRRVVLETDLPHLDYMKAVIKETWRLHPPAPVLLPRESMEDVTIDRFDIPTKTRIFVNVWAIGRDPELWENPECFAPERFVHSSIDFKGQDFELIPFGAGRRSCPAITFGTVSVELALAQLLHSFDWELQSGTEAKDLDLTENFGITMHKIAPLMVIAKPHFP >KJB20460 pep chromosome:Graimondii2_0_v6:3:41721377:41724342:-1 gene:B456_003G150000 transcript:KJB20460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASASLALPSPLSSFRSNGPLPSRCFLRLNNPSRSRVFMSVSVGSQTVVDDALFADYKPTSAFLFPGQGAQAVGMGKEAEAVPAAAELYKKANNILGFDLLDLCINGPKEKLDSTVISQPAIYVTSLAAVELLRARDGGPQIIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQEAADAAKSAMVSIIGLDSEKVQQLCDAANQEVDVADKVQIANYLCPGNYAVSGGLKGVEAVEAKAKSFKARMTVRLAVAGAFHTSFMDPAVSRLEAALAATQIRTPRIPVISNVDVQPHGDPETIKKILARQVTSPVQWETTVKTLLTKGLKKSYELGPGKVIAGIVKRMDKSAEIENIGA >KJB17938 pep chromosome:Graimondii2_0_v6:3:2274746:2278214:-1 gene:B456_003G025700 transcript:KJB17938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKIWLWRKKSTEKIILGTDKLNISQKGNDEEMQILVSDKKELENELKILNEKLASALCDCNYKDELVKEHENMALQAIAGREKAESEATSLKEKLDEALQRRVASEERVTHLDAALKECMRQLRFVREEQERRICDAVVSVSKEFEKSGKGLEEKLAETSKRVNKLGVENTNLSKALLAKEKMIDDLNKQRARVETDFNALMARLQSMEKDNAALIYEVRVLEKEVEIRNEEREFNRRTAEATSKQRLENVKKIAKLESECQRLRLLVRKRLPGPAALAKMKDEAEMLGKDSVEMRRRKLNTSPTSPRLDPIVDYSDCPSKKINILTEQLHAMEEENKALMEALNSKTSELQLARAMYARAATKFSDVEFQFEESSKSLPNDESTRNSSLLASMSDVGIDDKASSAESGASALISELEHLRNGQLKKSLSHQTVVSSEINLMDDFAEMEKLALVSVDKLSGSSHVSLDEGNGKLRPLQTEPNGKEIVLVPDSQCDHSMLNNEMKPKSQLLNKVPGRIEDITKVIFEQSRATQRNPDEILEDIRKALACMTSPNTAESFDEKEGSDRPGSSDLSCISSYVSWKPSNSSLKVDSCNEDADVNICSEENSNPQFHPDSCKSICKIIELIEGINLPSTDYNIPETMSEKSRDSFSYKHSETASGYIVRVFQWKTLELGAVLEQFVQACYDLLNGKTDLNKFTEELTSVLDWIINHCFSLQDVSSMRDAIKSHFDWDESQSESDSEVGIVDKFHLTTPYNNEDKNFIQKKEPISSNSLLNQLEESEKTIETLQAELHTSRKAEEMTERQVEKPKWRTNEIFDKQHTLTKYKQNECHEELVTTCLDSVTENEIPSSEVEQDGNQIRTASLFDNVITTRTEIVSPTTSTIPTPTWTKLNSRRSSLLDQMISEDKAKGKGNPGSAFVSNGTTPITPTENTLVLNQNKHQEDNKAAAKSLAIVPKQKQGGRLWKKLFQGKGFRC >KJB17937 pep chromosome:Graimondii2_0_v6:3:2274515:2278554:-1 gene:B456_003G025700 transcript:KJB17937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKIWLWRKKSTEKIILGTDKLNISQKGNDEEMQILVSDKKELENELKILNEKLASALCDCNYKDELVKEHENMALQAIAGREKAESEATSLKEKLDEALQRRVASEERVTHLDAALKECMRQLRFVREEQERRICDAVVSVSKEFEKSGKGLEEKLAETSKRVNKLGVENTNLSKALLAKEKMIDDLNKQRARVETDFNALMARLQSMEKDNAALIYEVRVLEKEVEIRNEEREFNRRTAEATSKQRLENVKKIAKLESECQRLRLLVRKRLPGPAALAKMKDEAEMLGKDSVEMRRRKLNTSPTSPRLDPIVDYSDCPSKKINILTEQLHAMEEENKALMEALNSKTSELQLARAMYARAATKFSDVEFQFEESSKSLPNDESTRNSSLLASMSDVGIDDKASSAESGASALISELEHLRNGQLKKSLSHQTVVSSEINLMDDFAEMEKLALVSVDKLSGSSHVSLDEGNGKLRPLQTEPNGKEIVLVPDSQCDHSMLNNEMKPKSQLLNKVPGRIEDITKVIFEQSRATQRNPDEILEDIRKALACMTSPNTAESFDEKEGSDRPGSSDLSCISSYVSWKPSNSSLKVDSCNEDADVNICSEENSNPQFHPDSCKSICKIIELIEGINLPSTDYNIPETMSEKSRDSFSYKHSETASGYIVRVFQWKTLELGAVLEQFVQACYDLLNGKTDLNKFTEELTSVLDWIINHCFSLQDVSSMRDAIKSHFDWDESQSESDSEVGIVDKFHLTTPYNNEDKNFIQKKEPISSNSLLNQLEESEKTIETLQAELHTSRKAEEMTERQVEKPKWRTNEIFDKQHTLTKYKQNECHEELVTTCLDSVTENEIPSSEVEQDGNQIRTASLFDNVITTRTEIVSPTTSTIPTPTWTKLNSRRSSLLDQMISEDKAKGKGNPGSAFVSNGTTPITPTENTLVLNQNKHQEDNKAAAKSLAIVPKQKQGGRLWKKLFQGKGFRC >KJB19023 pep chromosome:Graimondii2_0_v6:3:19863951:19864924:-1 gene:B456_003G081000 transcript:KJB19023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQTLPPTTGKPNQKLKRSPLIVSDCLILLSIPMASLQRQKPVEKTCCPCPQQGYQKQSTCIGYKMSEMASSIFKGHGQTTQCHSQTGSHHTHGQTVQCHGQTGTLHAYGQIVQCHSQTGTHHTHGQTAKCHGQTGSHHTLGQTAQCHSQTLGHCKSENQCTTHKNGHAPGGMACQGKSKNRGKRKRGVLIQKIKDAVDENSSSDSESDDEKKCGTRKY >KJB18374 pep chromosome:Graimondii2_0_v6:3:7005050:7006887:-1 gene:B456_003G049400 transcript:KJB18374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEGEMGSCFRIKRQGKDEGPLLKNGSMLLEKLIASSDGKCNPICTFSAEELSEATKGYDVGQEISRYVHFVLYKGFLRGRELSVKRYGSYNKCLETAITDIVISSQMSVHKNVLKLIGCCLETQNPVLVYEYGGEKNLQRLMFDVSDGQLEPLTWKSRIKIAMDIANAVAYLHTALSRPVINRGLSLISIVIDTNYVAKLADFSLSVAIPKGKSHAVEDAISGVVGYIAPEVLECKINEKADVYSFGILLLELLTRRKSGDHSVEEAPFRAFVSNYVESNRLTEIVDQSILSERSNGNELVSLAKIALCCTQENPENRPMITDVAKQLRQLNKDCKLC >KJB19588 pep chromosome:Graimondii2_0_v6:3:33848173:33852851:-1 gene:B456_003G110100 transcript:KJB19588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSAGGASLRSGPDGKKRRVTYFYEPTIGDYYYGQGHPMKPHRIRMAHNLIVHYSLHRRMEINRPFPAGPDDIRRFHTEEYVDFLNAVTPDSISDPTYSRHLKRFNVGEDCPVFDGLFGFCQASAGGSIGAAVKLNRGDADIAINWAGGLHHAKKSEASGFCYVNDIVLGILELLKYHRRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIRDVGVGNGKYYALNVPLNDGMDDESFRGLFRPIIQKVMEVYQPDAVVLQCGADSLSGDRLGCFNLSVKGHADCLRFLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNEYYEYFGPDYTLHVEVGSMENLNAPRDMEKIRNMLLEQLSRLSHAPSVPFQTTPSTIQPPEEAEEDMDERPKPRIWNGDDYESDPEEDEKPLRRFSNSDVIQLTTDADMREVSQDLKEVKAEEQPPAP >KJB17678 pep chromosome:Graimondii2_0_v6:3:677438:680725:-1 gene:B456_003G011000 transcript:KJB17678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKLNLHDPFGALNGWDPSTPSAPCDWRGVACTKNRVTELRLPHLQLGGRLSNRLSDLKFLTKLSLRSNSFNGTIPATLSQCRFLRAVFLQYNSLSGGFPSEISNLTDLTTLNIAQNQFSGEISGDLPRNIKYLDLSSNLFSGSIPKSIGNLSQLQLINLSYNQFSGEVPASFGELQQLQYLWLDYNLLEGTLPSALAKCSSLVHFSAEANKLSGVIPAAIGNLPYLQVVSVSRNNLTGTVPVSLFCNLKMANNSFYGMVPIEIKQCSSLHVLDLEGNRFSGEIPGFLSAMTGLKVLSLGGNLFSGSIPSSVRNLTQLETLNLGHNNLNGTLPEEIMGLSNLSTLDLSGNKFSGEIPASIGNLSQVFVLNLSNNRFSGKIPASLGALFKLTTLDLSKQNLSGELPFELSGLPNLQVIALQENILSGDVPEGLSSLMSLRYVNLSSNLFSGHIPETFSFLHSLAVLSLSNNRITGMIPPELGNCTELEVLELGSNSLTGHIPADLSGLLRLNVLDLGGNNLTGEIPEEISKCSSLTSLLLNGNRLSGSIPDSLSELSNLTMLDLSYNSLSGNIPANLSLIPGLVYFNVSSNDLTGEIPVSLGSRFNNPSTFAGNQDLCGKPLDRKCEDVAVKNRRKRLILLIVAVSCAASLVSLCCCFYVFSLLRWRKKLKEAAGEKKPSPARASSGASGGRSSTDSVGLKLVMFNNKITLAETIEATRQFAEENVLSRSRYGLVFKACYNDGMVLSIRRLPDGSLDENMFRKEAEFLGKVKHRNLTVLRGYYAGPPDLRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHASNMVHGDTKPQNVLFDADFEAHLSDFGLDRLTTPAAPEASTSAPVGTLGYVSPEAVLTGETTKESDVYSFGIVLLELLTGKRPVTFSEDEDIVKWVKKQLQRGQIVELLEPGLLELDPESSEWEEFLLGIKVGLLCTAPDPLDRPTMADIVFMLEGCRVGADIPSSADPTSQHSPA >KJB19071 pep chromosome:Graimondii2_0_v6:3:21075708:21077833:-1 gene:B456_003G083800 transcript:KJB19071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLAVLLVSLLILVQGPYEIFGTDIIWSILTVAMMFEYTVGSTFNRGFNRALGSLLAGILAIGVAQLVLRTDRVVEPIIVGISIFFIGSISSISSSTEKKCIYANVEQLCAGAITSFMKLWPSFVPYEYGFRVLLYTYCLIIVSGYRMGNPIGTAMDRLYSIAIGGFVAVFVNVLVFPIRSGEQLLKELVDNFNLLAAALEECVKKYLEDEGLDHQEFTKAMLLDEFPDEPAYRKCQAISHQNGSHHMVQHFFYPWSEYVKVGAVLRYCAYEVMALHGVLHSEIQEILDAANQAAELVRSLGKDICNMKRSLKVSLLKRVMRKQSRRLHSWPSREVDACGFSTDIVLPQMRALESTAALSLITFTSLLIEFVVRVDNLVEADDELSKIAKFKA >KJB20952 pep chromosome:Graimondii2_0_v6:3:44546331:44547718:-1 gene:B456_003G1741002 transcript:KJB20952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GYHEVDSLEKGLNVDPELLSLPLFDIENFLLSDDKIYNDLTEGSGDVRANQDDMKLSTVQGHADVQRIIMNSLDEVYHKIVSNPEILELKVITTMEAMVQNIMNKLMKARAYEMEYQAEEKRFENTTPKAGFSGFLWRL >KJB19699 pep chromosome:Graimondii2_0_v6:3:35225179:35227122:1 gene:B456_003G115700 transcript:KJB19699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQKILRARKRTAETENNIDKYLQQLQPYTFIQEQVLNPLIRNYKRVWEIAIEREWTSFCLPSEESIIILVTYVKVKGFNVLVTDRSIFQFYDTSYYYRDYLYKIDLKEFKNVNMKETYQIDTAIPETFNQALMTPKAKIWMKFVCLRIWTTANTNPKQIRIGTWIYRNMIEFVRNQAKGTFFPHLITELCKRARGPKERMDNEMNPPKKFLGDDEAPTSSLWNYHVQSCIV >KJB19698 pep chromosome:Graimondii2_0_v6:3:35194281:35197393:-1 gene:B456_003G115600 transcript:KJB19698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSRIYTADEFKLDAKWLIDPKHLFVGPRIGEGAHAKVYEGKNWGEGIPNSSCKIVKTFIELYLTVAIKILHKGETPEEIAKREGRFARDVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLNMRPRCLDMRIAIGFALDVACAMECLHSHGIIHRDLKPENLLLTAGNRTVKLADFGLATVTLRQGEKKHYNHKVDAYSFAIVLWELLHKKLPFEGMSNLQAAYAAAFKEDPNARPNFSEIIHLLLNFISGMCPPEPTAIPPRIFASENSIMAPESPGTSSLMGVRDDSEETPKARTDKKTEEFLLLL >KJB19360 pep chromosome:Graimondii2_0_v6:3:30208886:30211844:-1 gene:B456_003G097600 transcript:KJB19360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl diphosphate reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74470) UniProtKB/Swiss-Prot;Acc:Q9CA67] MSSIAFKPFHGLRRSSAVPSHTSTTTTLSKPAVKFHVSAAKTSPKLSNRNLRVAIIGGGPAGGSAAETLAKAGIETFLIERKLDNCKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKMISPSNIAVDIGQTLKPHEYIGMVRREVLDAYLRDRAKENGANVINGLFLKMDMPKHWDEPYVLHYTEYDGKKGAMGAKATLEVDAVIGADGANSRVAKAINAGDYDYAIAFQERIKIPDDKMVYYENLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKNDIKKFQLATRNRAKDKILGGKIIRVEAHPIPEHPRPRRLSGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSQNGKKMVDEGDLRKYLEKWDKKYWPTYKVLDVLQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKTVAPGNPLEDLKLAVNTIGSLVRANALRKEMEKLNV >KJB18679 pep chromosome:Graimondii2_0_v6:3:14725346:14726384:1 gene:B456_003G068700 transcript:KJB18679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLGAGTLGPKDRKNSGKDNIGHGGGKPSNTLRGWESRFKFSGNFQIPLAESIKAEVELLSIQASSEAVNNA >KJB19092 pep chromosome:Graimondii2_0_v6:3:21142628:21144198:-1 gene:B456_003G084500 transcript:KJB19092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTLTTLPLYSHQIHTLKTWLYWSNQKKKWNNTQKLASNMANEELQAFCSSKPLLFRKSIHVQAAIYILPCIAFFSLYNLQMRVINIM >KJB19267 pep chromosome:Graimondii2_0_v6:3:27806451:27806848:-1 gene:B456_003G092100 transcript:KJB19267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STNNQCYRWVNLNAIKRLVEADALKMEIIPNPKEVNGIKVLQLETAAGAAIRFFDHAIGINVPRSQFLIRLVHEFPF >KJB17358 pep chromosome:Graimondii2_0_v6:3:45546001:45546810:-1 gene:B456_003G184600 transcript:KJB17358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDNHRSCIVVSVCWIKISGGISCYTIYGLCMVMSIELLWICVFRMLMSSQRLRIYSIRWPRRALRCQW >KJB18207 pep chromosome:Graimondii2_0_v6:3:4221203:4224681:1 gene:B456_003G039200 transcript:KJB18207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSADRCLFVDSIRVSRQDGLFLSDKTVPSSFVSSISLKTQKCFVDKNENVCLVSCSWGGQTLGPVKSRRSGGKGGWVLSVSLSREEGYVGDSGEDWGQNGDNNLETVEEVKEKKGSGALNTTKHLWAGAVAAMVSRTFIAPLERLKLEYIVRGEKRSLIELIKWIAASEGLKGFWKGNFLNILRTAPFKAINFYAYDIYRSQLLKLSGNEEATNSERFLAGAAAGITATLLCLPMDTIRTVMVAPGGEALGGLIGAFCHMIQTEGFFSLYKGLVPSIISMAPSGAVFYGVYDILKSAYLHSPEGRKRIQDLKQGGQELNAFEQLELGPVRTLLYGAIAGACSEAATYPFEVVRRHLQMQVRATKLGALATCVKIVQQGGISALYAGLIPSLLQVLPSAAISYLVYEFMKIVLKVEPA >KJB21048 pep chromosome:Graimondii2_0_v6:3:45211072:45212826:-1 gene:B456_003G180400 transcript:KJB21048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRMWASSTANALKISCSSKPNVSLAFSLSRCFSTVLDGLKYANSHEWVKHEGPVATIGITDHAQDHLGEVVFVELPEPGGSVSQGKGFGAVESVKATSDINSPISGEVVEVNSKLSETPGLINSSPYADGWMIKVKPSSPSELESLMGSKEYTKFCEEEDASH >KJB19275 pep chromosome:Graimondii2_0_v6:3:28083046:28087031:1 gene:B456_003G092400 transcript:KJB19275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLYLSFFVVGILSGPVLVDAQLHDEATLLAIKKELGGVPGWGDNSTDYCNWEGIHCGFSHSFVERLDLSRRMLRGNVTLISNLKALKHLDLSYNNFNGPIPSAFGNLSQLQYLDLSLNKFEGSIPLELAGLRNLRSLNLSNNLLVGEIPQEFKVLENLQEIQISSNRLSGSIPHWVGHLTNLRIFTAYENQLGGEIPDNLGSLSELKLLNLHSNRLDGPIPKSIFGMGKLEVLVLTQNGLTGDLPEAIGKCIGLSSIRIGNNKLVGVIPSTIGNVSSLTYFEADNNNLSGEIVSEFAQCTNLTLLNLAYNGFTGIIPPEIGQLTNLQELILSGNSLLGNIPTSILGCKNLNKLDLSNNRFNGTIPNDICNMTRLQYLLLGQNSIQGEIPHEIGDCVKLLELQLCSNYITGSIPPEIGRIRNLQIALNLSFNHLHGQLPPELGKLDKLVSLDVSSNQISGDIPPEFKGMLSLIEVNFSNNLLAGPIPTFVPFQKSTNSSFQGNKGLCGEPLNFLCANSIGSDQANYHHRVSYRIILAVIGSGLAVFVSVIMVVLLFMMRERQEKASKSVDIVDEATDNQPTIIAANVFVGNLRQAIDLDAVIKATSKDSNKINSGTFSTIYKAVMPSGLVLSVKRLKSMDRTIIHHQNKMIRELERLSKLNHDNLVRPIGFVIYEDVALLLHQYLPNGTLAELLHESSKQSEYQPDWPRRLSIAIGVAEGLAFLHHVAIIHLDISSDNVLLDADFKPLLGEIEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTTRIPVDDDFGEGVDLVKWVHGAPVRGETPEQILDAKLSTVSFGWRREMLAALKVALLCTDSTPAKRPKMKKVVEMLQEIRQN >KJB18313 pep chromosome:Graimondii2_0_v6:3:6255542:6256222:-1 gene:B456_003G046600 transcript:KJB18313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative HAP3 subunit of the CCAAT box-binding transcription factor, Flowering time, Short-day promotion, Long-day repressio [Source: Projected from Oryza sativa (Os08g0174500)] MTGKRNQTSPVGSPSSGNISDSSSKEQDRFLPIANVSRIMKKSLPANAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFENYVGPLKVYLNKYRETEGEKNSMARQEDHHHHHHHQSPTSYGLISHGGANEFNNVNAGISSSANAADHFQGYNSGGGGFFSLGSHPQSYGENLMAAAGGFNTSRMGENGDGNGNRTMAAHFHRVDW >KJB19221 pep chromosome:Graimondii2_0_v6:3:25751332:25752625:1 gene:B456_003G089500 transcript:KJB19221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSDFPTSRSPRKELQGPRPAPLKVRKDSYKIKKPPLAPQPLLQQQQQQQPIQIRPPVIIYTVSPKVIHTNPSDFMNLVQRLTGSTSSSSSGSSTLPPSTSDHPIFSDTTSGAISPAARFATIEKTKPPAEVKRQQIYEENYGFVQGIEMNPGVERISLFPGILSPGPTSLPRISPNFFSPPSDPNSTGFFHDLSPVLHGNRNFIEGSFMPSPSSFNISPFSIPSPTTPSIDLFNNFFDL >KJB17251 pep chromosome:Graimondii2_0_v6:3:44512489:44515631:-1 gene:B456_003G1739002 transcript:KJB17251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFPVDFDINELVVLDMRNSKLKQVWKDTECLPNLKILNLNHSHSLLKTPTFSGLPSLEKLMLKDCINLVEVDQSIGELKMLTFLNLKDCTSLRKLPRTIGSLISLEELILSGCSRLDDVPRELHNMESLKVLNLDETAIYQSRLGLQWLLQKRSKGLGFSWASLPGSLVKLSLGSCKLSNDVMPNALCNLASLKSLNLSRNPIHYLPESLKNLTKLDELLLTSCTELQKIPKLPVLPNVFEFLTVSPFKGYGAILPCFFSSTRCDIFGCEKLTEVEDLFKLEPIENFEAEEIRRLFNVDSINRNRLPLYSYLTDSIMLATPQVLQECGITSTFFIGSEVPSGFKHGTNEHQISFFLPTPSHPDEKIHQFSLCIVFSVASDQMLELLPSVHIFNETKMIMQRYRSSFIGIPETNDNTMLWLIHWPVTDCQFEGGDLVSCMVVPIHLSIRKFGVTYESEHNIRYEYGFSHLSTGDEVSTRNIKMDLTKHLPSLESYGNVKVQLCSYIEESKVVLYDYGIITTFDPLPFDYHGHYFGHQAGKTEISISVPPKSSRKISCFLNSIIIFSAKNDKTYGFLPCLEIVNETKGTKWTYSKHFMGIPETKNTLYWTTCWNFRGDELEAGDHISLRVLSDLSVLEFGIDLVYDYEQDDNPNFFSQLPWMSKCFTYLLGVYVYILSKSQKNLYRLQSLVKC >KJB17250 pep chromosome:Graimondii2_0_v6:3:44512489:44515287:-1 gene:B456_003G1739002 transcript:KJB17250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPSLEKLMLKDCINLVEVDQSIGELKMLTFLNLKDCTSLRKLPRTIGSLISLEELILSGCSRLDDVPRELHNMESLKVLNLDETAIYQSRLGLQWLLQKRSKGLGFSWASLPGSLVKLSLGSCKLSNDVMPNALCNLASLKSLNLSRNPIHYLPESLKNLTKLDELLLTSCTELQKIPKLPVLPNVFEFLTVSPFKGYGAILPCFFSSTRCDIFGCEKLTEVEDLFKLEPIENFEAEEIRRLFNVDSINRNRLPLYSYLTDSIMLATPQVLQECGITSTFFIGSEVPSGFKHGTNEHQISFFLPTPSHPDEKIHQFSLCIVFSVASDQMLELLPSVHIFNETKMIMQRYRSSFIGIPETNDNTMLWLIHWPVTDCQFEGGDLVSCMVVPIHLSIRKFGVTYESEHNIRYEYGFSHLSTGDEVSTRNIKMDLTKHLPSLESYGNVKVQLCSYIEESKVVASPQVLYDYGIITTFDPLPFDYHGHYFGHQAGKTEISISVPPKSSRKISCFLNSIIIFSAKNDKTYGFLPCLEIVNETKGTKWTYSKHFMGIPETKNTLYWTTCWNFRGDELEAGDHISLRVLSDLSVLEFGIDLVYDYEQDDNPNFFSQLPWMSKCFTYLLGVYVYILSKSQKNLYRLQSLVKC >KJB17299 pep chromosome:Graimondii2_0_v6:3:30880474:30881509:1 gene:B456_003G100300 transcript:KJB17299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGAGVPMRREVLQLYRSLLRVARQFCDYNIREYSKRRTMDAFRDNKNLRDPSQLSAAFSHGKAQLEVAKRQSLLYSLYPPKVKSIMDF >KJB19260 pep chromosome:Graimondii2_0_v6:3:27579019:27579818:-1 gene:B456_003G091400 transcript:KJB19260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIMLKITEHKLNETNYLDWSKMVRIYLQSVDKDDHLNNEPPTDDTRQVWLREDAQLFLHIRNSIHKEEDKSLITYFMEFKKAYKEFNVLLSFSPREQMTIMSFLVDLPSKFEIAKSHILSRSKISFLQDIFTRMLCIENTHSAQTNNSALLRKQKTILVL >KJB19336 pep chromosome:Graimondii2_0_v6:3:29866584:29868705:-1 gene:B456_003G095900 transcript:KJB19336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKHQTLSLASSSNPQRNLNVNSLKLFGFSPLFTSTSTSTNPSASPDSSLTSELPDIPSWVSKQNTGTQPSGDDDFVIPSLATWIENHPKVRLFPTKKPETPVEKITKILKCPYPSPEIVVQALNASDLSVSDVSVDQLLKRFSNSWISAYGVFIWAKTQSGYTHTPELYNLMVDGLGKAKMFDLLLDLIEEMKQLKGYINLDTIFKVMRRLANARRFSEAIEVFRRIEELGVEKDVMALNGLLDALVKGDGVEHACEAFVELKECIPLNSNTFNILVHGFCKARRLSDARKILNEMNEQGFQPCTVSFTCFIEAYCREKDFRNVDAILDEMKEKGCRPNAVTYTIIMHARGKAGEIGKALEVYDMMKKDSCLPDSSFYGSLIFILSKAGRLKDADEIFEDMVKQGVKPNALTYNTMISSSCGHSLEEKALKLLKRMEEDSCKPNISTYAPLLKMCCRKKRMKVLNFLLSHMLNNDVSIDHTTYTLLVRGLCNSGKLEQACAFFEEMVLKGMIPKGSICTMLVEKLEKEDMIEAKQKVQELVPNVKEPKITV >KJB18135 pep chromosome:Graimondii2_0_v6:3:3617524:3620119:-1 gene:B456_003G035600 transcript:KJB18135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGWRRAFCTSIPKKQDSPVLPEKQQQQQQQQESHGTKSPRFSSKFGFFSNPSTPRLRSQPGSSPSLRCRTVSTATSSLPNSPKLHCRTPHLSTPSSPKSPSSFSFLKSTLCISKGGRCGICLESVKTGQGTAIFTAECSHSFHFHCVAAHIRKQQLQICPVCSTTWKELPLLSHEQRHKTTFGDVKTKPFRVYNDDEPLASPVSLSQFNPIPESEETEDDDEEEGFQGFFVTPTSNARNVEVQLSQEAAMVAAGRSYESYVVVMKVSAPIATHRGLKRAAIDLVTVLDISGSAMRLQMVKRAMRLIISLLDEKDRLSIVIFSSSSKRLLPLKRMSSGGRRSARRIVDALGSNRQGMSVNDALKKAAKVLEDRREKNAVASVMILSDCRDKQSQSNPTNQNLPVVSTTRLAHSDIPVHTFGFGSCTHAPNDDAFCKIVNGLLSVVVQDVRLQLGFSSGSAPTEISSAYSLTTRPALIGSNSVRVGDLHLGEEKDLLVELKVPVTSRGSQRAMSIRSAYIDPFTQEMVYSRDQSLNLPRLSQSVRPSSHGGARLRNLHVSTRAVAESRRLIERNDLSGAHLLLTSARTLLIQSGSNSAEEFIRTLEFELAELNRRHQRPRVNNNTNNINNGRVEEKVEPLTPTSAWRAAERLAKVAIMRKHMNRVSDLHGFENARF >KJB21056 pep chromosome:Graimondii2_0_v6:3:45230190:45233189:-1 gene:B456_003G180700 transcript:KJB21056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTVREKHRSTRRTRSVKPDTDHPCCVIDKDSVSKSILESGLKSLSYHLSLNDSTQSPNPNHNTSNTNFDDHGLGYCTEEQLEEFLLKNLEFLYNEAISKLVSLGYDEDVALKAILRNGHCYGGMDVLTNILHNSLAYLNSSCGSSKGSNSEEAELGFPDIRQLQEYSLAGMVCLLQQVRPHLSKGDAMWCLLMSDLHVGKASTMEIPSLPSPANGCSLVSTNMDSIGNNGVSVVSPALCRFHGGWGFGNGGAEFPVNGFFSNGMEMTLQREIECPERFNLSPSMKSLLKRNVAMFAASFRANSKQLQAQNHALPSGDAPLAVAGGEVPAEKAEESQNLKSQDGVNSVLSKFRDLNIDENWEHVGEDKKGEMIISLLHQIKDLEKQVKERKEWAHQKAMQAARKLSSDLTELKMLRMEREEMMQMKKGKHTIEDSTMKRLSEMENALKKASGQVDRANAAVRRLETENAEIRAEMEASKLSASESVTTCLEVAKRERKCLKKLLAWEKQKTKLQEEIADEKEKIKELQRCLARVEQDQKETESKWKEELKAKELALAQLEQERRSKEAAEASNKRKLEALRLKIEIDFQRHKDDHQRLEQELSRLKQSTDLNHQSDNLLTGKSEGAKPQGETIARLLHELDKQQDSSENNVNGDRECIICSKDEVSVVFLPCAHQVLCANCNDGYGKKGKATCPCCRVPIEQRIRVFGATS >KJB21057 pep chromosome:Graimondii2_0_v6:3:45230169:45233549:-1 gene:B456_003G180700 transcript:KJB21057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTVREKHRSTRRTRSVKPDTDHPCCVIDKDSVSKSILESGLKSLSYHLSLNDSTQSPNPNHNTSNTNFDDHGLGYCTEEQLEEFLLKNLEFLYNEAISKLVSLGYDEDVALKAILRNGHCYGGMDVLTNILHNSLAYLNSSCGSSKGSNSEEAELGFPDIRQLQEYSLAGMVCLLQQVRPHLSKGDAMWCLLMSDLHVGKASTMEIPSLPSPANGCSLVSTNMDSIGNNGVSVVSPALCRFHGGWGFGNGGAEFPVNGFFSNGMEMTLQREIECPERFNLSPSMKSLLKRNVAMFAASFRANSKQLQAQNHALPSGDAPLAVAGGEVPAEKAEESQNLKSQDGVNSVLSKFRDLNIDENWEHVGEDKKGEMIISLLHQIKDLEKQVKERKEWAHQKAMQAARKLSSDLTELKMLRMEREEMMQMKKGKHTIEDSTMKRLSEMENALKKASGQVDRANAAVRRLETENAEIRAEMEASKLSASESVTTCLEVAKRERKCLKKLLAWEKQKTKLQEEIADEKEKIKELQRCLARVEQDQKETESKWKEELKAKELALAQLEQERRSKEAAEASNKRKLEALRLKIEIDFQRHKDDHQRLEQELSRLKQSTDLNHQSDNLLTGKSEGAKPQGETIARLLHELDKQQDSSENNVNGDRECIICSKDEVSVVFLPCAHQVLCANCNDGYGKKGKATCPCCRVPIEQRIRVFGATS >KJB18369 pep chromosome:Graimondii2_0_v6:3:6890356:6890778:-1 gene:B456_003G049100 transcript:KJB18369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSDMAAAAQQLMLLSDEDNSSSTSSSNGNNNKKQKIKAKMMKDERCLERSQNEITSANIEESFGKEEISRPTKKRRYRFLESIYKEKKSMKVSYGSSRNIIN >KJB19140 pep chromosome:Graimondii2_0_v6:3:21949319:21952409:-1 gene:B456_003G086200 transcript:KJB19140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMVSKKLLGIPSPSPPLQTLPRLYHQNVINHYSNPRNVGSFDKNDPNVGTGLVGAPACGDVMKLQIKIDEDSGKIVDACFKTFGCGSAIASSSVATEWVKGKSMDEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDAEAKRGKMNGNSKAADA >KJB19155 pep chromosome:Graimondii2_0_v6:3:22761865:22762432:1 gene:B456_003G086600 transcript:KJB19155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINLGLNLRLKAIKIEGDSRSVIRKLQAKEEDRSEIEVYIKDSKQLNLGFGYCVFRFTHKESNKVAHILATEGIKKRETTYQMNMVPSVAEEAVDADRRWTRSMKERRGKSVQRETEYGLE >KJB17423 pep chromosome:Graimondii2_0_v6:3:26211:27327:-1 gene:B456_003G000500 transcript:KJB17423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQWILLKLMGGISDTYNEFFWVLSQWYLLMFADQISSIFWILHSDLHEARVTAVLEYLLSLVASLEPSH >KJB18240 pep chromosome:Graimondii2_0_v6:3:5053974:5055259:-1 gene:B456_003G041700 transcript:KJB18240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPAMATQAPKLNQKLNYSMVGKENDMMMIGGLISKKSGIDLMQNCDLPPPLKVFAGLDKAVELMSLNNRAGYSIIGQEDDKNDDGKLEIFKALRLSQTRAREAERKAADLAEEKQRVSDAFMKESLQLFAYRQWVRLLEIQVWVLKSQMVEKDKNFCDKTERQRVVEEGIEGGNNNGDEMSLIVALAICLGIASVGLAFGCRYLF >KJB21000 pep chromosome:Graimondii2_0_v6:3:44841134:44843956:-1 gene:B456_003G177000 transcript:KJB21000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPTPISSQQSMRNEHWMFDDAMNERIRSTHAPDGRVVDVTQVLQVTRNVLRHIIPNINLSLNGHIDASDDQTNLSAADGALDALHKICCELSCKCSRGGDAHATTMAIFNMLSSYPWVAKVVLTLAAFAVNFGEFWLIAQLCTSNPLAKSVALLKQPDISEHSQTLKSHFDALSKLINAMFDVTKCIVKLTELRSSKYISIGEPPLSTAMAHIHTATYWIIASVVACTRQITGLIEFTTVTSEAWELSSLAHKVSSIHEHLQSQLSLCYERIDEKKLIEAFEHFKRTIETPQVDNLKILQNIFGKEENLLNPDRAEVCINVLGRKHVILLISDLSISQEEIRVLEDVYKERVSSGLNYEIIWLPIVDRTTWNDYYREKFSKLQSIMSWYTVSQHVAIEPAVIKYIREEWGFFKKPIAVTLNPQGKVLCPNALNMMWIWGNSAFPFSSEKEESFWKAKPWTLDLLFGRLEADLPTWVSQQKVVCFYGGVKMEWIQSFTTATTAVAEALGIGIEMVYVGKKNKRERVKKITGLIKEKELSRAWEDDNVWFFWNLLESMLYSKNQHGKTIENDVIKQEVMTMLEYDSSKNGWAVFYTGSGEMVKANGEKVLSTMDKFDEWKNLAKQMGFVPALREKLEGVIPRHHCTRLILPSNGGRIPERVQCAECGRPMELNFLYRCCAE >KJB18070 pep chromosome:Graimondii2_0_v6:3:3154212:3156550:-1 gene:B456_003G032700 transcript:KJB18070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEYHCCETGLFIRITIVAGLVLFAGLMSGLTMGLMSLSLVDLEVLAESGTLTNSKHAAKILPVVRRQHLLLCTLLICNAAAMEALPIFLDSLVSAWGAILISVTLILMFGEIAPQAVCTRYGLAIGAKVAPFVRVLIWICFPVAYPISKLLDLLLGEGHEALFRRAELKTLVDLHGNEAGKGGELTRDETTIIAGALELSKKTAMDAMTPISETFAININAKLNRDLMKLILEKGHSRVPVYHERIENIIGLILVKNLLTIHPASEVPVKNITIRRISRVPESMPLYDILNEFQKGHNHMGVVVRQNNKTEHATSERPNKEVKVDINGKKHQKGKCLPSKRSVKIWKGLEGNSGRVSSKIKKWGRNFHSEILQFNNDSLPATTGEGEATGIITLEDVIEELLQEEIFDETDHCHEH >KJB20307 pep chromosome:Graimondii2_0_v6:3:40768506:40774032:-1 gene:B456_003G143000 transcript:KJB20307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSVFCRKNCSPRLIITAVIGAVFGFFVGVSFPSVSLYKIRNFPLSLGPSVGGASSESKQFYMVGSSESSRANIYKIYVPTNPHGAETLPPAIVVPETDLYLRRLWGEPSQDLKKKPKYLVTFTVGIGQMNNIDKCVKKFSEDFQIMLFHYDGRTTEWDRLEWSKTAIHVSARKQTKWWFAKRFLHPDIVAAYEYIFIWDEDLGVENFNAERYIELVKKHDLEISQPGLEPNVGLTWQMTKRREDQEVHKFTEEKPGWCSDPHFPPCAAFVEIMAPVFSQEAWRCVWYLIQNDLVHGWGLDFALRRCVSPAHEKIGVVDSQWIVHQGIRSLGNQGGTLGGISPRDAVRIRSKIEWAMFQKRLVNADLAYVAQRGKG >KJB18861 pep chromosome:Graimondii2_0_v6:3:17293404:17294944:-1 gene:B456_003G072800 transcript:KJB18861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMDIPDGVAIKVKAKMIEVEGPRGKLIRNFKHLNLDFHLIKDEESGKRKLRIEAWFGSRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNGNKSIEIRNFLGEKKVRKVDMLEGVSIVRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGRIAEEE >KJB18862 pep chromosome:Graimondii2_0_v6:3:17293458:17294944:-1 gene:B456_003G072800 transcript:KJB18862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMDIPDGVAIKVKAKMIEVEGPRGKLIRNFKHLNLDFHLIKDEESGKRKLRIEAWFGSRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNGNKSIEIRNFLGEKKVRKVDMLEGVSIVRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGRIAEEE >KJB17820 pep chromosome:Graimondii2_0_v6:3:1186688:1188770:1 gene:B456_003G017100 transcript:KJB17820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGCQSSKTKGGNAESTSQVKPDSQLEADLSSYQAACRQDSTLQHFDATLQEHTNSVIGTLAVSSGVQSISFNTLQEVTSCLLETNQAVVKVILECQRDIWNNSELFSLVEEYFENSQKTLDFCTMLENCLKRAQTDQLIIQLAVKYFDEEVGLEIGVDEKKFVKTLEELRRFKAADKPFPKEFFVLLDLVRKQQESMLGKLLVRKRKLDKKLKSLKTWRRVSNVLFVATFVSVLIFSVVAAAVSAPPVVTALASALTVPIDSVGKWCTSLWKRYEKEVKEQMGLTTTMELFARITIYDMDDLRVLVTNSEIKIESLLKTADFALGEEDALKRTC >KJB18494 pep chromosome:Graimondii2_0_v6:3:9011716:9013106:1 gene:B456_003G055900 transcript:KJB18494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSQPTMEETILVGDDLMMGPPSPVIPQEITSHVLEGVELCDGILRNLFLCLQINDIESFCQDELALYRQCAENRAELESFKMEYANARLECNAADKRAKILAFEVIGLEEKVTKF >KJB19386 pep chromosome:Graimondii2_0_v6:3:30730962:30733402:-1 gene:B456_003G099500 transcript:KJB19386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHCVAPNCWNLKHQSQEQVHFVEEEDNETNRSSHISNPMSNQEVAELTWQNGQLAFHGLNRHLPTASSKPTWGISGDTLESIVHQATCLHTQNHNFSLLQHDQNQTPAVVSSIAASSGSSGRLPTAAVVKKRARSSSDVCGEIPRGGVGKEYASACASASDAFCKENDDSTMMTWASHLSPQTMKAKAVDEDYAYQDGSENQDEEQETRGETGRSQATRRSRASAIHNLSERRRRHRIKQKMNTLQKLVPNASKTDKASMLDEVIEYLKQLQTQVEMMSMRNMAAQMMMQQTRRQLQMSALARMGVGMGIGILDDNNSLAPNPSPVTAPTRTFLPPPPPPPSFVTPPPMIPTRFAAAAQANSDASSHGSIPSPDPYCTLLAQSMNMELYNNKMAAPYRPQINQTTQTSSNPSRSNNVAKD >KJB19380 pep chromosome:Graimondii2_0_v6:3:30596965:30600008:1 gene:B456_003G099100 transcript:KJB19380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSRQNGREVLKKKKEKKKQNKGFCRSQSFQERLRMDGNQQLCKHFHLRQKWLTLFSPLTKTMYLFYETSMSFSLFKTTVSSAYSLHFSFHQFQSDMEKEKNTEGLEKELANYRLQIEAKDSAYMQALLQLEHYKKTAEELAVLLRNSEHERDRYIEECNEFKNRVDELESKTKGMVDQLSETAKVREQLSCVLNELKVTQADVLNMESQLAAAKDLEIKAITQAEIMEVSANMEMERSEKLLGRIAELHDAVLISQLSATEAENEKCRVVSEKDAEMEAMKATAFQAQEEMEDLRKQLETIRELENKLVAKTAYIESLQAKLEQVSNVLNSMENASLDGGIDLNQIKQDLEFKERKISDQAFYIEALETELKRLKLELENANQVAKNLKNDAQVSHHFVQTEKCVDHIMISVEEYNSLIRKVEKADEFSRSSAEGFDQLTTESVSKNQVEALKKQLEVAMAKIGLFRNRAEQAATRAEAAEKAKATAEEQLRKWQLQKQRRRAALAALREESAPKEFCLPTIEKLPTKHQPLGKVLNMNF >KJB20122 pep chromosome:Graimondii2_0_v6:3:39211269:39212137:-1 gene:B456_003G134200 transcript:KJB20122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHMSLPIHKMRDHVLIYLLLAWLLLIHYQLQGSDISVRAIDSVPAVHFKFSTPRSLMPRSRVRNALPTWVEMKKIHKSPSSPNPVKNRRSPSKH >KJB20430 pep chromosome:Graimondii2_0_v6:3:41544456:41548356:1 gene:B456_003G147900 transcript:KJB20430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLKLIESFKGTQVHALNPPDTTVVNSTGSSSTSSKLGNHRVKFIGSKLKSNKARSGSGAKTLLPFGLPRTDLLEPPIEPHLKSIQLVETLSNLYRRFETCSESEKSLVCIEQYSVLSCLGDPKLLRRCLRAARQHAFDLPSKVVLSAWLRYERREDELDGVSPMDCSRFVLECPKAALVSGYDPNSIYDHCKCYQERSEFTQVSKGDEFLALEEDSDICFRVGNEDINCIRFKIAALSTPFKAMLYGSFTESKSYRIDFSQNDISVEGMRGVDLYSRTRRVDSLSPEIVLELLSFANRFCCEDMKSACDIHLASLVSCIEDALDLIEYGLEERANALIASCLQVLLRELPSSLYNPKVMKIFCSFEARERLASARHASFLLYYFLSQVAMGDSMVSNATVMLLERLGECATEKWQKALALHQLGCVLLERKEYVSAQCCFEAASEAGHVYSLAGMARCRYKQGQQYSAYRLTSSLISEYKAVGWMYQERSLYNVGKDKIADLETATELDPTLPFPYKYRAVSKAEEKQTRAAISEIDRIIGFKLVPDCLELRAWFLMAIEDYGSALRDIRAVLTLDPSYKMFNEQVRGDILIEFLNYKVQLGSQADCWMQLYDRWSSVDDIGSLAVIHQMLVNDPGNSLLRFRQSLLLLRLNCQKAAMRCLRLARNHSSSEHEKLVYEGWILYDIGHREEALARAEKSISIQRSFEAFFLKSYTLADSSLDPESSSYVIQLLQEALRCPSDGLRKGQVSNSTLRLCHWTIFFILFDCFWSYFTGTQQFRHNLCGLW >KJB20429 pep chromosome:Graimondii2_0_v6:3:41544269:41548432:1 gene:B456_003G147900 transcript:KJB20429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLKLIESFKGTQVHALNPPDTTVVNSTGSSSTSSKLGNHRVKFIGSKLKSNKARSGSGAKTLLPFGLPRTDLLEPPIEPHLKSIQLVETLSNLYRRFETCSESEKSLVCIEQYSVLSCLGDPKLLRRCLRAARQHAFDLPSKVVLSAWLRYERREDELDGVSPMDCSRFVLECPKAALVSGYDPNSIYDHCKCYQERSEFTQVSKGDEFLALEEDSDICFRVGNEDINCIRFKIAALSTPFKAMLYGSFTESKSYRIDFSQNDISVEGMRGVDLYSRTRRVDSLSPEIVLELLSFANRFCCEDMKSACDIHLASLVSCIEDALDLIEYGLEERANALIASCLQVLLRELPSSLYNPKVMKIFCSFEARERLASARHASFLLYYFLSQVAMGDSMVSNATVMLLERLGECATEKWQKALALHQLGCVLLERKEYVSAQCCFEAASEAGHVYSLAGMARCRYKQGQQYSAYRLTSSLISEYKAVGWMYQERSLYNVGKDKIADLETATELDPTLPFPYKYRAVSKAEEKQTRAAISEIDRIIGFKLVPDCLELRAWFLMAIEDYGSALRDIRAVLTLDPSYKMFNEQVRGDILIEFLNYKVQLGSQADCWMQLYDRWSSVDDIGSLAVIHQMLVNDPGNSLLRFRQSLLLLRLNCQKAAMRCLRLARNHSSSEHEKLVYEGWILYDIGHREEALARAEKSISIQRSFEAFFLKSYTLADSSLDPESSSYVIQLLQEALRCPSDGLRKGQALNNLGTIYVDCGKLDQAATCYMNALEIKHTRAHQGLARVYFLRNQRKAAYNEMSKLIEKAHNNASAYEKRSEYCDRETAKNDLNMATKLDPLRTYPYRFRAAVLMDDQKETEAVEELSKAIAFKPDLQMLHLRAAFYESMGDMKSALCDCEAALCLEPNHMDTLDLYNRARDRAINPQEM >KJB21072 pep chromosome:Graimondii2_0_v6:3:45327904:45329079:1 gene:B456_003G181600 transcript:KJB21072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPESEPTTTTHHLTIPPGLTQDEFHELTPSITQYHTYTLGQGKCSSLLAQRINSPSDLVWSIVRRFDKPQTYKHFIKSCAVKDNFQMVVGCTRDVNVISGLPAATSTERLDILNDNEKVTGFSIIGGEHRLTNYRSVTTVHGFERDGKIWTVVLESYVVDVPEGNTEEDTRLFADTVVRLNLQKLATVAEGLARDGVCNKSQGLIMLQSLYFSDVWN >KJB19053 pep chromosome:Graimondii2_0_v6:3:20321854:20325379:-1 gene:B456_003G082600 transcript:KJB19053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLYVLSWICILGLVLFQGNADPVEDKQALLDFVNKMPHSRALNWNQTSPVCNNWTGVTCNAGGSRIIAVRLPGIGLHGPIPANTISRLSALQVLSLRSNGISGHFPSDFFNLRNLSFLYLQYNNLSGPLPVDFSVWRNLTIVNLSNNRFNQSIPSSLSNLTHLQALDLANNSLSGEIPELNLPSLQQINLSNNKLTGIVPKSLLRFPSLVFEGNNVSFERIPPHPSPFGAPYGEPYPTSKKSRRLGETALLGIIIACCILAIVALVFFVIVCCSRRKSEDVYSRKLQAGGMSPEKAVSRSQDANNRLFFFEGCNYTFDLEDLLRASAEVLGKGTYGISYKAVLEDATTVVVKRLKEVSVGKREFEQQMEVVGSIRHPNVIELKAYYYSKDERLMVYDYYSQGSVSSILHGKKGETRTPLDWDTRMKIAIGAARGIARIHTENGGKFVHGNIKSSNIFVNSQQYGSVSDLGLSTIMGALAPPISRAAGYRAPEVTDTRKAMQPSDVYSFGVVLLELLTGKSPIHTTGGDEIIHLVRWVHSVVREEWTAEVFDIELMRYPNIEEEMVEMLQIAMTCVVRMPDQRPKMADLVKMIENVRAIESENRQSSGNRSESSTPPATTMGKESHVSQ >KJB19054 pep chromosome:Graimondii2_0_v6:3:20321946:20325075:-1 gene:B456_003G082600 transcript:KJB19054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLYVLSWICILGLVLFQGNADPVEDKQALLDFVNKMPHSRALNWNQTSPVCNNWTGVTCNAGGSRIIAVRLPGIGLHGPIPANTISRLSALQVLSLRSNGISGHFPSDFFNLRNLSFLYLQYNNLSGPLPVDFSVWRNLTIVNLSNNRFNQSIPSSLSNLTHLQALDLANNSLSGEIPELNLPSLQQINLSNNKLTGIVPKSLLRFPSLVFEGNNVSFERIPPHPSPFGAPYGEPYPTSKKSRRLGETALLGIIIACCILAIVALVFFVIVCCSRRKSEDVYSRKLQAGGMSPEKAVSRSQDANNRLFFFEGCNYTFDLEDLLRASAEVLGKGTYGISYKAVLEDATTVVVKRLKEVSVGKREFEQQMEVVGSIRHPNVIELKAYYYSKDERLMVYDYYSQGSVSSILHGKKGETRTPLDWDTRMKIAIGAARGIARIHTENGGKFVHGNIKSSNIFVNSQQYGSVSDLGLSTIMGALAPPISRAAGYRAPEVTDTRKAMQPSDVYSFGVVLLELLTGKSPIHTTGGDEIIHLVRWVHSVVREEWTAEVFDIELMRYPNIEEEMVEMLQIAMTCVVRMPDQRPKMADLVKMIENVRAIESENRQSSGNRSESSTPPATTMGKESHVSQ >KJB21063 pep chromosome:Graimondii2_0_v6:3:45273309:45274112:-1 gene:B456_003G181200 transcript:KJB21063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGYVHHQLHRPDLHLQHQSEHEDHLTGGGSGGGSADDLMNTGHNDLVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEIGNGCDVFDCIANYARRRQRGICILSGSGTVTNVTIRQPSATGSVVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGELMAAGPVIVIASSFTNVAYERLPLEEDDGGGNGGANGGGNGNNMFADPGATTQQGGLPFFNLPLNMPPNVQLPVEGWPGNSSGNRPPF >KJB18591 pep chromosome:Graimondii2_0_v6:3:11734630:11736203:1 gene:B456_003G062900 transcript:KJB18591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADFFVGGYYGGAAGDGFLPEQKPPENFTVDDLLDFSNEDAIISDYFLDNVAANSTDSSTVTTGGDSHFSSANVPHLSQFSGELCVPYDDLAELEWLSNFVEDSFSTDQNLQSNLQILATSKSPTPESSSSSTRSDNNPILQHDIPHPAKARSKRSRAPPCDWSTRVLHLIPKSMGQKKRENSNANPESSGRKCLHCAAEKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVSTKHSNSHRKVLELRRQKDLQRAQHQQFLSQTSIFGISDGGGGTDDFLIHHHGVPHFRHMI >KJB19259 pep chromosome:Graimondii2_0_v6:3:27577924:27583871:1 gene:B456_003G091300 transcript:KJB19259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLQELTQRGAIEHSIKVFEWTKKQKNYYARTDIYNMMIRLHARHKRTDQARGLFFEMQKWRCKPDVDTYNALIHAHVQVGQWRWALNIMEDMLSAAIPPSRSTYNNLINACGSSGNWREALKVCKKMTENGVGPDLVTHNIVLSAYKRTHIRPHTTTLNIVINCLVKLGQYGKAMDIFNSMRAERADSRPDIVTFTSIIHLYSVCGQIENCKAVFSAMLAEGIQPNIVSYNTLMAVYASHGMSKEAAAVFDQIKQNGFRPDVVSYTSLLNAYGRSQLPEKAREIFDMMKRNNCKPNLVSYNALIDAYGSNGLLAEAVEVLRQMEQDGIKPNIVSICTLLAPFEKAIALYKSMRKRKVLADSVTYTVLISGSYKLSRYSEALGFLDDMVGLKIPLTKEVYSSLICVYSKQGQVAEAESMFNMMKVSDCCPDVVAYTAMLHACNSAENWAKASAIFLEMEENGIQPDSIACSALMRAFNKGGQPSKVLVLAKYMREKAIPLNDAVFFEMVSACS >KJB17836 pep chromosome:Graimondii2_0_v6:3:1331644:1337608:1 gene:B456_003G018100 transcript:KJB17836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQGYHHHHHHHHHQAAAGIFSFSNGFERSAINHQEQQQQLVQQIRRDKLRIQGFEPPPPPLVGIEEEESNALPVYEPTGMLSSEMFYFPSSVTVTAASTELLDQSLQANYRGQLGESKNHRDSLAQQQQLPSINADSAAAMHLFLMNPQTRSPSPPPPQPQPPPPGFQGGAFPPQFTWVPDNSHEGGHGQGQGLSLSLSSLQHLEAAKLYYNQGGAAGGADGGGSSSATATAQFQYKHDHHSPHQPLGLVQNHQFHVGYNSSLGMVNVLRNSKYTKPAQELLEEFCSVGSGRFKKKKLGKNNINPSSYPSNDGTTTNGSSSSTKDLPPFSASDRIEHQRRKVKLLSMLDEVDRRYNHYCEQMQTIVNSFDLVMGYGAAIPYTALAQKAMSRHFRCLKDAISSQLKHSCELLGEKDGVGTSGITKGETPRLRMLEQSLRQQKAFHQIGMMEHEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQESKEGGDNNKGTRTNNNAASTPSTTAVGGAGGASPPPQPPENDPSLIGTNTTQCFSENQLTNTGTSTTNTSTATEVTPPVSDSDIHQRLLAVTDDTCHRGSNTIVGTITAGNTDIGSTLIRFGTTGGDVSLTLGLRHAGNMPDKASSFSVTDFGGC >KJB18452 pep chromosome:Graimondii2_0_v6:3:8194059:8195100:-1 gene:B456_003G0536001 transcript:KJB18452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEFQRMNIDGLMMCKSRSLSKFLLQSTLIESQLDYESIFPQKLGAPFPPNFKEVVKTIFKKLPELSLRNLSVYILYSKSAVYMS >KJB18473 pep chromosome:Graimondii2_0_v6:3:8581578:8582358:1 gene:B456_003G054900 transcript:KJB18473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSMAVGLKLVVLCVITGEFACNGSGIDPQALFDLGNDLTHPETNLSYGSSKRSNCSQQQGIVCNNDNGVLLMIHIPDLGPLNSESSSRYGFWNLIGDSPSLLKLNYLDSFKRLDFIEFLHQVLAIFLACSFMMSI >KJB19468 pep chromosome:Graimondii2_0_v6:3:32151482:32153758:1 gene:B456_003G104500 transcript:KJB19468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLPPHLELQRSRVSCNKDAPIHTERIQYFGAYASMGIDNSSRLDRFSNNFRVEVVRLNEDDMEFDMIGIDAAIANSFRGILIAECKRGSPHITVKSDALKWLPNGSELVKETRNAASDSSSKPETYTYFGCSQETIPEFVKNPIIPKYPDIIIAKLGPGQEIELEAHAVKGIGKTHAKWSPVATAWYRMLPELRIDYIEDDLAEELKSKCPVNVFDIEDLGKGRRRATVARPRACTLCRECIRGDDWEKRVALRHVKDHFISLPPEILFTEAVKILEDKCERVITELS >KJB19833 pep chromosome:Graimondii2_0_v6:3:36173743:36178182:-1 gene:B456_003G120700 transcript:KJB19833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLSFLSMEYLFLLMSILSQCLKFGSGTAAVVHAGNGDGVQPLSKIAIHKAVYALHENASVKAHPLVLGTKGGDSDWVTVEIECPKPSEDDWIAVFSPANFSSSICQPSDDMEQFPRICSAPIKYKYANDSDADYKKTGKSSLKFQLINQREDFSFALFSGGLSDPKLVAVSNVISFANPKAPLYPRLSQGKSWNEMTVTWTSGYNVIEAVPFVEWGMKGESQTRSPAGTLTFHQNDMCAPPARTVGWRDPGFIHTSFLKDLWPSSVYTYKLGHKLVDGSYVWSKSYSFKSSPYPGQDSLQRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDQLIKDLKNIDIVFHIGDITYANGYISQWDQFTSQVERIASTVPYMIASGNHERDAPNSGSFYDGNDSGGECGVIAETMFYVPAENRAKFWYSTDYGMFHFCIADSEHDWREGSEQYKFIEKCLASADRRKQPWLIFVAHRVLGYSSSYWKDASFGEPMGRESLQGLWQKYKVDIAFFGHIHNYERTCPVYQGQCMHVGKSHYSGTVNGTIHVVVGGGGSHLSAFGPTQTSWSLYKDSDFGFVKLTAYNHSSLLFEYKKSSDGNVYDSFTISRDYRDVLACVHDGCEPTTLGS >KJB20814 pep chromosome:Graimondii2_0_v6:3:43638639:43640013:1 gene:B456_003G166500 transcript:KJB20814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVWCPETAAKAYIDTVELCGVCNDSGIAELVSSMAAGWNASFIVEAWSHGGGTATSIGLTVACRHTNGRHVCIVPDERSRLEYVKVLEVAGMSPEVIVGEPEELMSGLNGIDFLVVDSQQNRFSRVLKLAKLSNKGAVMVCKNTNSRSASSFSWRNVVNDGSHWLIRSIFLPVGEGLDIAHVGSCAGNSSSREGKRRWIKHVNRRTGEEFAIRK >KJB20292 pep chromosome:Graimondii2_0_v6:3:40618501:40624226:1 gene:B456_003G142200 transcript:KJB20292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVAQHRVSTSGSSSINKHLDASGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVCENGYMRQQLHTVNASATDASCDSVVTTPQHSLRDPTNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWLRDCRNLEVFTMFPAGSGGTIELVYTQMFAPTTLAPARDFWTLRYTTTLENGSFVVCERSLSGSGAGPSTAAAAQFVRAEVLPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVIAQKMTIAALRYVKQIAQETSGEVVYSMGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSIMNCDGNEDVIIAINSSKSFSCTSNPNNALPFVGGVLCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYTAASLKAGTYAYPGMRPTRFSGSQIIMPLGHTIEHEEILEVIRLEGHSLVQEDAFVSRDIHLLQICSGIDENAVGACSELVFAPIDEMFPDDAPLIPSGFRIIPLESKPADTQDSLTTNRTLDLTSSLEVGPATNHSSGDVPSSQNSRSVLTIAFQFPFDSSLQDNVATMARQYVRSVISSVQRVATAISPSGLSADVGPKLSPGSPEALTLAQWICQSYSYHIGAELLRSESLGSDSMLKNLWQHQDAILCCSLKSLPVFIFANQAGLDMLETTLVSLQDITLDKIFDEPGRKALCSDFAKLMQQGYAYFPAGICMSTMGRHVSYEQAVAWKVLEADESTVHCLAFSFVNWSFV >KJB18688 pep chromosome:Graimondii2_0_v6:3:13113433:13116390:1 gene:B456_003G065400 transcript:KJB18688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSYEGENDVFFDSLDCLLVEESLVAKHGLEYEIWINELQSVKERRASFLRSMDLVEFTESSRIKDVKRITECSGAVPNCSFLSVNNGEGSIACCEREMTCQAIPLVDESELGQSFALDIENERFSPTKGCEQKEAQAYLDECEINTKKFKKWWKHFLSTRKGGETKRASKVSKHDIKVHKLNKMMVQPNKKGCMESTAIFMGQEIQAHTGFIWTMKFSPDGQYLATGGEEGVVRIWRVKSTDASSKPFIAEGGLVRKMSKRKFGFGRKKFIPSPVVVPNKIFQIEELPMQEFHGHGCDVLDLAWSNANFLVSSSMDKTVRLWQVGCNQCLNVFYHNNYVTCIQFNPIDDNYFISGSIDGKVRIWGVAEKRVVHWVDMRDIITAISYRPDGKEFVVGSIAGTCRFYEASGIGVNLEAEIHIHGRKKTSGNKITSIQFSRDESRKVLITCEDSKLRIFDGIDVVRKFKALPKSGSQMSASFTSTGKHIISVGEDCRVYVWNYNDMCLQTSKHAKSVRSCEHFFCQDVSVAIPWLGQGPDHRHSARAPREGRMEGGSWIRDSEQFSIGNWFSIDGSGSSKGSATWPEEKLPLWDATVVERESYTYDQQQLCHTGANDHTSLPETWGLVIVAGGWNGTIKTFHNYGLPVRL >KJB20441 pep chromosome:Graimondii2_0_v6:3:41591505:41591847:-1 gene:B456_003G1484001 transcript:KJB20441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVNTKALLQSPKGETLLIETDTTRSHTTIPRTIQWHEINLPDKWKLEGATDPVAPTPIRNTSLSEISQHQDGTVELKFNRPQRMPPRHSFEIG >KJB18312 pep chromosome:Graimondii2_0_v6:3:6249027:6252961:-1 gene:B456_003G046500 transcript:KJB18312 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g64930 [Source:Projected from Arabidopsis thaliana (AT5G64930) UniProtKB/TrEMBL;Acc:B4F7R3] MDAMPPSSSPLSLQPNGSSACASIIPYKHDSNPTLDNHIPSSKPLIRKKRRKTVDRDAPSSSSCSAYSSSVQKGMRLSSKRRNLRVRFGPVRRADVRDVDSIALPLGMSFAAVVAQVLEKIDVTNERLPPDYLSLICTSAVRESLANVFGDKFDCFARNFEKSFGSTLRTLRLINDSSKHKEKYPSNPNNVESSSDETRSRNSFDIKDSYLEVDRPSVSTQNQLNIHEEVQENILTGSLNRELVIHGQVNQLACFNPRTGSVADQSVRSTIEKSVIEQVRSNDLKTLELSLTMRKLKLKEEQLALNFDSNHLERSKLAMGISKASFKAEKFKNELKDTGQAELLKKCIDCLVAGLLFMSFLLMYGAYVYSYKRITDATSSCNLSLEDSKSWWLPKQVSSLNIGFHTLKCQVQVVSRMMFGVILILAVAYLLIQRSGTSNQTMPVTFLLLLLGIGCGLAGKFCVDTLGGNGYYWLFYWEILCFLHFLANVFTSMLFIILHGPVNISQRTSSTLLPYWIRRSLFYSIMLFILPLLCGLMPFAGLFEWKDHFLQLVLDNGEEI >KJB19340 pep chromosome:Graimondii2_0_v6:3:29939668:29940527:-1 gene:B456_003G096200 transcript:KJB19340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLDSYLQLFLSSPAKAAVRSISLMWIRVKNVSRFCHAKPIVTVSGRFLGPTIYTREGDRVLVNVTNYAQYNISIHWHLVFASTIYGAIVIMPKEGRMFPFPQPYGETKIILGEWWNLDVEILVNQANKLELPPPTTDSGSTLPVFFEK >KJB17911 pep chromosome:Graimondii2_0_v6:3:1807535:1811458:-1 gene:B456_003G023400 transcript:KJB17911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYQKVEKPKAETLINENELRIIVQGRMRNYISYAMTLLQEKGANKIVLKATGRAINKTVMIAELIMVYGALRMTVKIFLMWNSKMVIDGGEDTTVATSWLEASNLVAVPCVWLLLS >KJB20645 pep chromosome:Graimondii2_0_v6:3:42748336:42750106:1 gene:B456_003G157800 transcript:KJB20645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQRSHAHVLVVTYPAQGHINPLIQFAKRLTSKGVKTTVATTLYTVKSINVNSTIAIEPISDGFDEGGFNQAPSLQAYLESFKAAGSKTLTELIMKLYNSGTPVCCVAYDLLLPWALDVAKDFGISAAMMLTNSASVCSLYWHNNQGSLNLLAKQETLAAPPMPGLPFLDVSDLPSFLAQPTSQSAYLALILDVFGNSEENDWVFCNSFEELESELVEALMAKWPVVMIGPMVPSFYLDSRIEGDTSYGASLWNFDNDQCLKWLDSKPLKSVVYVSFGSMASISTEQFQEIAWGLKASNMPFLWVAKELKDNPLLELIDSTGERGLVVKWCNQLEVLAHQAVGCFVTHCGWNSTLEGLSLGVPMVCVPQRSDQPTNAKFLAHVWKAGVRAKQDRGIVTREELGNCLREVMIGERSGEIKSNALKWKELAKRAVSVGGSSDRNIDEFIAKLLKPDQ >KJB19692 pep chromosome:Graimondii2_0_v6:3:35043173:35045030:1 gene:B456_003G114900 transcript:KJB19692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNLKFLQSTPQLLQPPTSAAPLPQLHSTCFPILKQFKISRRELTICSNSSLLLLLGSQALESKAKAEESSPNDNQQKEENVATPNPNCSNKVPTKRAFLDIAIDGEPVGRIVIGLYGDDVPVGAARFGSIVSGAAGISYRRKEFVKIMPNYVQHGGLRSYGVDAELAKRSGSNLAVEKLREEWEREYEKCPGVKNLAGTVSILVRDPSKPPPKLKLVARKGKLEIDEEEIGTDPNGTEFVISIMDSPELDASALVIGQVLDGMEVVKRIGQVKTVQENTASPYFRVAKLIGDKRAVVAERSFNRPYAKVVINNCGLMD >KJB18040 pep chromosome:Graimondii2_0_v6:3:4367074:4367753:1 gene:B456_003G039800 transcript:KJB18040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEALAMAGVDYEVCGIEFQEWEHEELEQPPPYLLAELNTGHDVEKTTSGSSKFWVDELLVKAKMVESVPVNQIAFKSTNDCLNEGIGIIPVS >KJB19592 pep chromosome:Graimondii2_0_v6:3:33903188:33904901:1 gene:B456_003G110400 transcript:KJB19592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVYPRAFHFPVSRHSRSENPLLWFAQCPSEVIRRFKCWGCCRDKTRTSRKNQASSSSGGGGGEGNQDEITDEEALSNITKQKAAAAKQYIENHYKEQMKNLQDRKERYKDEPSIMKYIKIAATLPDKTVRDVALRCWWMQVSSLLL >KJB20617 pep chromosome:Graimondii2_0_v6:3:42597751:42598203:1 gene:B456_003G156500 transcript:KJB20617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAKLLDLFHYLAKLISNILHSLRLPGFSQPYIPWRDTLDTDTSTFSFALLIGEHLPVIKFSDLIDPPDNCPVCLCEFEEEDEIRQLMSCRHIFHGRCLDRWMGYDQQTCPLCRTQFAMGPSRTIEFFVDYHQSNAL >KJB20728 pep chromosome:Graimondii2_0_v6:3:43035968:43037110:1 gene:B456_003G161300 transcript:KJB20728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRYDLDSIPQDPPYLQPGALVEIQPPEFGYESPWHTATIVQRATPISSNRFVVRFTHLFQDQKTGNRPLRMISLGDIRPHPPPQRPRKFENGDHADAYHNNSWWDGEIVQELMNGNYLFRFTSDYQWPKYVEFEVNQLRLHRTWFHGHWIPPLEASEIAVDEVQREEEPTKKTVEMEEYNERALVEVANDEDGPNRAWYAAIIVTPVGNKRYLIQYTTMRTDDNSGFFGKVMDTLHIRPRPPDIEVPDQFVMLDQVDAFYKGGWWKGVIIKVLSDDSKYHVYLATHEEMEFKHSELRLHQDWIDGKWTKPSPGLHL >KJB17912 pep chromosome:Graimondii2_0_v6:3:1930593:1933365:-1 gene:B456_003G023500 transcript:KJB17912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRSVPAPFLIKTYQLVDDPITDDVISWNENGTSFVVWKTADFAKDLLPNYFKHNNFSSFVRQLNTYGFRKVVPDKWEFANENFKRGQKELLSDIRRRKTVTTSPANNPANGKTSGARASSPTNSGEDLGSTSTSTSPESKNPGSVETTPAANTNQYADLSDENEKLKKDNEMLSSELAQAKKQCDELVAFLTECVKVGPDQIDRIMRQGNGGSTLEGDDLGCFYGVDDNDDDEKGGGEDGNGSLKLFGVWLKSAGKKRAREEKIVYGGPHAKEMKTIDFRHVALMMKSGKVCN >KJB19494 pep chromosome:Graimondii2_0_v6:3:32638493:32639518:1 gene:B456_003G106000 transcript:KJB19494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYCVFIASFFLLNLPINTIAMASSISSLPLQGDTASSQAAAVGSSTTSSPSSGSLGPFFAVISVLTFLAVVSCVVGRICVRRRTKGAAVVAITPLDTIKHGGWLGWLKLRCGGCMAGEVVEAGAKVMSFGEDQNNNETHPHPPPV >KJB17887 pep chromosome:Graimondii2_0_v6:3:1659499:1659783:-1 gene:B456_003G022000 transcript:KJB17887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNKQLSFLVLLLLVSFLQIQCIVGRPLILDQKETGTEGNKAVVANTQSLAPPSPPTPSVVVGATETPPPKNANDFRPTAPGHSPGVGHSLQN >KJB18962 pep chromosome:Graimondii2_0_v6:3:18940399:18942185:-1 gene:B456_003G077700 transcript:KJB18962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDMNLSINGQSQVPPGFRFHPTEEELLHYYLRKKVAYEKIDLDVIQEVDLNKLEPWDIQEKCRIGSTPQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYSGFRRIGLRKTLVFYKGRAPHGQKSDWIMHEYRLDDNTTTHDSNGSNPIGDSVTEDGWVVCRVFRKKNYLKTLESPKSNSSSTGHDLKTHMLSSGGNDGVLDQILHYMGRTCKMESDSLNNINNIPIPDNNPRMLVGNNGGINDGFHDHERFMHLPRLESPTLPSLCYQSIEDMLTETEHRGGCCGGGGNNETKNGVNDWVTLDQLVASQLSGQVETSKQLSCFSDPNAVFSLCHDDGIQLSHLNLQRSNQSSQFYSNNDNDLWSLTKSSSSPSSSDPLCHLSV >KJB20973 pep chromosome:Graimondii2_0_v6:3:44714208:44718954:-1 gene:B456_003G175400 transcript:KJB20973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHEINGPVHPSSSGSNGHTVRSPAHQPNFNSRAVQEALEHLASVDLSELFNEAKTEYCRATRDLRRCGRYVKYVLNSCGHASLCAECCQRCDLCPICRIPLASGNNRLQLRLFDECIDAGLISRRYGDIFQNKEDRDDQMNADVQRLYSFFDVSLENNLVSLVCHYVTDICMDETAVSSDAITALLLDEKVVKDWVKRKFKNITTELQQIYYLKVGEMEKSLGLLHKYSAYLASLSSILEVLESSFKGRPLAQLHDLHHLQESILKTKQHLEIIMWCIRHQFLEHVRSRHANFTSWHNLVRERKSAATARAWPDVVDRSADSTRQDGSLFIEDALANLDIEQACDQELGEESYFAFLLKDSSSFSRSKIEGLRGCYPFESLQAAVDILFLCGSSDLVVAKQAIFVYYLFDRHWSRPEEEWRDIVDDFATSFGINRHSLLESFIFCLLDDHTDEALQESFQLLPEISGPTTHPKIARVLLERQNPEVAHMVLRWSGRDDGSQLVSLSEAVTVVWVKVECRLLTEAFTYQRMLCTKVREKNFKYGPSEDGQCRCWMDWTEILVSELCCLCIRRNLVDRIIELPWNSDEEKYIHKCLLDCATDDPSSANGSLLLVFYLQRYQYVEAYQVSLKLRTLEEDFISTHSVNEEFLEVLSRMESCRQRRKALVDKGLELLPEVLQQQVKTGTLPDIGVTFGQENEMPARSGLPELQEPEPAPLLVPSTSDSILLQPDLLTTSSPAVSEIPKIFGGYVNGSHVGAGNHRSLSILHGRLFVGPETISNVEVGKSFNFEGISSPGIHRVSPTYATPLNGISQSSSRELPIRHLQEKQSDKIISVGEQNGFVNQVHNTSPPYSRRVTANPVSMPSSNYSLKGSANNLPSNISGKRGQSNRDDSYWTVPPNEDLTDVVNWSHEQRPFEDRYINEGLRWRSDETSDEEEQNPGGTIKVGGPTPTKGYRRRRFAIR >KJB18726 pep chromosome:Graimondii2_0_v6:3:13762008:13766293:1 gene:B456_003G067300 transcript:KJB18726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS2 [Source:Projected from Arabidopsis thaliana (AT5G64630) UniProtKB/Swiss-Prot;Acc:Q9SXY1] MKGGTVQINWHDTKPVLTLDFHPISGLLATGGADFDIKLWVINSGQVQKKIPTASYQNSLSYHGSAVNALRFSLSGEQLASGADGGELIIWKLHTTETGQSWKVFKSLLFHRKDVLDLQWSTDGAFLISGSVDNSCIIWDVNKGSVHQILDGHFHYVQGVAWDPLSKYVASLSSDRTCRIYINKPKSKTKGAEKLNYICQHTIMKAEQQPIDDAKSVKNHLFHDETLPSFFRRLAWSPDGSFLLVPAGSYKMSTPSETINTTYVFSRKDLSRPSLQLPCANKPVVAVRFCPLAFNLRGSNPAGFFKLPYRLVFAVATLNSLYIYDTESVPPIAILAGLHYAAITDIAWSYDARYLALSSQDGYCTLVEFEKEEQGVPIPLAEPKIMNVEGTSSIVQKPDDMVIEVNDPVTADNRTVECAEKREGKQASPSLANTPIVNKPAKRRITPMAIDP >KJB18727 pep chromosome:Graimondii2_0_v6:3:13762068:13766200:1 gene:B456_003G067300 transcript:KJB18727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS2 [Source:Projected from Arabidopsis thaliana (AT5G64630) UniProtKB/Swiss-Prot;Acc:Q9SXY1] MKGGTVQINWHDTKPVLTLDFHPISGLLATGGADFDIKLWVINSGQVQKKIPTASYQNSLSYHGSAVNALRFSLSAGEQLASGADGGELIIWKLHTTETGQSWKVFKSLLFHRKDVLDLQWSTDGAFLISGSVDNSCIIWDVNKGSVHQILDGHFHYVQGVAWDPLSKYVASLSSDRTCRIYINKPKSKTKGAEKLNYICQHTIMKAEQQPIDDAKSVKNHLFHDETLPSFFRRLAWSPDGSFLLVPAGSYKMSTPSETINTTYVFSRKDLSRPSLQLPCANKPVVAVRFCPLAFNLRGSNPAGFFKLPYRLVFAVATLNSLYIYDTESVPPIAILAGLHYAAITDIAWSYDARYLALSSQDGYCTLVEFEKEEQGVPIPLAEPKIMNVEGTSSIVQKPDDMVIEVNDPVTADNRTVECAEKREGKQASPSLANTPIVNKPAKRRITPMAIDP >KJB20178 pep chromosome:Graimondii2_0_v6:3:39557415:39559061:-1 gene:B456_003G136600 transcript:KJB20178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISRIAVGSAAEAGQADALKAALAEFISMLIFVFAGEGSGMAFNKLTDNGSTTPAGLVAASVAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGHITLVRSILYWIAQLLGSVVACLLLKFSTGGLTTSAFALSSGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGNIGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWDNHWVYWLGPFVGSAIAAIVYEVFFIAPDTHEQLPTSEF >KJB18113 pep chromosome:Graimondii2_0_v6:3:3431776:3435508:-1 gene:B456_003G034400 transcript:KJB18113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLLLLFLLLHISHSYAAGAAARAVTELSALIAVKSSITDDPQSYLSNWNANTPLCSFAGVACDLTGRHVTSIDLTNFTLSGTLSPSLAHLRFLQNLSVAANDLSGPIPTELAVLSNLRYLNLSNNVFNGSFPTQLSQLKNLQILDLYNNNMTGELPVSVTELPNLRHLHLGGNYFSGQIPSSYGRWEHLEYLAVSGNELSGKIPPEIGNLTKLKQLYIGYFNSFEGGLPPEIGNLSELVLFDAANCMLSGEIPPEVGKLQRLHTLFLQVNALSGSLTPELGTLNSLKSMDLSNNMFTGEIPASFAQLKNLTLLNLFRNKLHGQIPDFIGELPELEVLQLWENNFTGSIPQKLGSNKKLQVLDLSSNKLTGTLPPDMCSGNTLQTLITLGNFLLGPIPESLGKCESLSRIRMGENYLNGSIPKGLLGLPQLTQVELQDNYLTGEFPVTDSSISVNLGQISLSNNQLSGALPASVGNFSGVQKLLLDGNKFSGPIPAEIGKLQQLSKIDFSHNKFSGLIPPEICKCKLLTFVDLSRNELSGQIPTEITSMRILNYLNLSRNHLLGSIPSSISTMQSLTSVDFSYNNLSGLVPGSGQFSYFNYTSFLGNPELCGHYLGPCKDGVAKGTHETHVKGGLSASLKLLLVIGLLVFSILFAVAAIIKARSLKKASDARAWKLTVFQRLDFTCDDVLDCLKEDNIIGKGGAGIVYKGSMASGDQVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSEFEAHVADFGLAKFLQDSGTSECMSAVAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVCGRKPVGEFGDGVDIVQWVRKMTDSSKESVLKVLDPRLPSVPLQEVMHVFYVAMLCVEEQAVERPTMREVVQILTELPKPPNSKEGDTTINEPPTSPSPDTTLDSPTTTITKDPKDQQQQPPAPKSTPPDLLSI >KJB18114 pep chromosome:Graimondii2_0_v6:3:3431677:3435559:-1 gene:B456_003G034400 transcript:KJB18114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLLLLFLLLHISHSYAAGAAARAVTELSALIAVKSSITDDPQSYLSNWNANTPLCSFAGVACDLTGRHVTSIDLTNFTLSGTLSPSLAHLRFLQNLSVAANDLSGPIPTELAVLSNLRYLNLSNNVFNGSFPTQLSQLKNLQILDLYNNNMTGELPVSVTELPNLRHLHLGGNYFSGQIPSSYGRWEHLEYLAVSGNELSGKIPPEIGNLTKLKQLYIGYFNSFEGGLPPEIGNLSELVLFDAANCMLSGEIPPEVGKLQRLHTLFLQVNALSGSLTPELGTLNSLKSMDLSNNMFTGEIPASFAQLKNLTLLNLFRNKLHGQIPDFIGELPELEVLQLWENNFTGSIPQKLGSNKKLQVLDLSSNKLTGTLPPDMCSGNTLQTLITLGNFLLGPIPESLGKCESLSRIRMGENYLNGSIPKGLLGLPQLTQVELQDNYLTGEFPVTDSSISVNLGQISLSNNQLSGALPASVGNFSGVQKLLLDGNKFSGPIPAEIGKLQQLSKIDFSHNKFSGLIPPEICKCKLLTFVDLSRNELSGQIPTEITSMRILNYLNLSRNHLLGSIPSSISTMQSLTSVDFSYNNLSGLVPGSGQFSYFNYTSFLGNPELCGHYLGPCKDGVAKGTHETHVKGGLSASLKLLLVIGLLVFSILFAVAAIIKARSLKKASDARAWKLTVFQRLDFTCDDVLDCLKEDNIIGKGGAGIVYKGSMASGDQVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSEFEAHVADFGLAKFLQDSGTSECMSAVAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVCGRKPVGEFGDGVDIVQWVRKMTDSSKESVLKVLDPRLPSVPLQEVMHVFYVAMLCVEEQAVERPTMREVVQILTELPKPPNSKEGDTTINEPPTSPSPDTTLDSPTTTITKDPKDQQQQPPAPKSTPPDLLSI >KJB20950 pep chromosome:Graimondii2_0_v6:3:44535132:44535815:-1 gene:B456_003G174000 transcript:KJB20950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKKKANIRKDRLKLEKDGADLKEKKLKLDQDEADLKEKKLKLDQDEAGLKENQLNLASLPKKPRVE >KJB20113 pep chromosome:Graimondii2_0_v6:3:39152148:39153078:-1 gene:B456_003G133700 transcript:KJB20113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSIVHDVVLVGGSTRIPKVQQLLQDFFNEKELCKSINPDEVFAYVTVVQAAILSGEGNENVQDLLFLDVTLISLGLEIAAIQKKKEQVFSTYFDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAHRGVPQINVCFDIDANGILNISTEDKTTGQKNKITITNDKGKLSKEEIEKMVQEMKKYKSEHEEHEKKVEAKIAHENYTYNMRNTIKDDKTSSKLSAADKKKIEDAVEEAIQWLDGNQLAEELEDICNPIITKMYQGASGDMGGGSIDEDAPATGRSGA >KJB20446 pep chromosome:Graimondii2_0_v6:3:41635956:41637528:1 gene:B456_003G148800 transcript:KJB20446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATDPNTIKEDPVSVTVSAPPSKGIQQGVGESPSSSTSPAAPSRYESQKRRDWNTFLQYLKNHKPPLSLARCSGAHVIEFLKYLDQFGKTKVHMTGCPYFGHPNPPGPCSCPLKQAWGSLDALIGRLRAAYEESGGRPESNPFAARAVRIYLREVREGQARARGIPYEKKKRKRTSVTTSAVGINVSAVAATQAVDYGGVSGGTAGNLGTATTTTSL >KJB20846 pep chromosome:Graimondii2_0_v6:3:43898829:43901271:1 gene:B456_003G168500 transcript:KJB20846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKMLMMAVLVILGTTMATVTVANPTCLQSLMSCAPYFNNTDVQLPDDCCKPLQQAVATELICLCSLFNLPSLLSSFDIPYVGALRIANQCGVVDIISDCISAPSPAPSP >KJB20847 pep chromosome:Graimondii2_0_v6:3:43898829:43901271:1 gene:B456_003G168500 transcript:KJB20847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKMLMMAVLVILGTTMATVTVANPTCLQSLMSCAPYFNNTDVQLPDDCCKPLQQAVATELICLCSLFNLPSLLSSFDIPYVGALRIANQCGVVDIISDCISGQCSWPSPLYFPVWMKKFG >KJB18558 pep chromosome:Graimondii2_0_v6:3:10485545:10493552:1 gene:B456_003G060000 transcript:KJB18558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGDEVVAAPAGPPQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDHGVSRRDLERMDYLISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANSALFLLSTNDKTIKFWKVQEKKVKKISDMNLDPSKAVGNGSIASSSSSSSPKPCLANGSSLGGSYNYLSHDFSFPPGGLPSLHLPVVTSQETNLVARCRRVYGHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEATTLEASKNPMRRQVQTPSRPSRPLSSITRVVRRGTETPGVDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >KJB19467 pep chromosome:Graimondii2_0_v6:3:32018405:32018857:-1 gene:B456_003G104300 transcript:KJB19467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSNIFGGAEECHSSESGWTMYIGDAAADDDGDAGTDADDDDDDDDGDRFDSADESQTDANHEAETDDSMASDASSGPSHRLEQEVEGEEEGRHCYSDKKARKSSVGSKQKPETKKKQDKEEMRRLKTKESSTQSPSGSRKNIWFGKRK >KJB20949 pep chromosome:Graimondii2_0_v6:3:44516128:44516569:-1 gene:B456_003G1739001 transcript:KJB20949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDLEASTSCGGLSKLFEVKELASSESLQLFNWYAFGHNSVPESSMAYARSLVKHCGGLPLALQVLGSSLSSKSVSSWKSALEKLEEIPDSKIQEILRISYDSLEDDHDKNFFLDIVCLFIGKDRDYMTTILDGCDYYTTIGIENLV >KJB18918 pep chromosome:Graimondii2_0_v6:3:17826516:17828474:1 gene:B456_003G074700 transcript:KJB18918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPDYQATNLPLWDFLTILASEGVDFLLSGEEDKVPLSSFDYEKTTICLFFSANWCRPCQSFTPKLVQLYNMLRTMGKELEIVFISLDHDEDGFNAHFETMPWLTVPFDVNLHKKLRERFHVVRIPSLVPLNLDVQSVEEDLIGLIEDFGEDAFPFTKKRREELKAIDDSMRQGGKIDQLLAHPGRDYVVASDGGKVLVSKLIGKTVGLYFGAHWCPPCRAFTAQLVEAYNQLLSSRGDCFEVVLVSSDRDQKEFDVNISSMPWLALPFEDRTRQDLCRIFNIKVIPALVLIGPDGKPISTNGKKIITLYGAKAFPFTQSSIEEIEESLRKEGDSLPRQIQDIKHQHVLKLDMAKAYVCNYCERQGKFWAFSCDACDYDLHPTCVEEAS >KJB19884 pep chromosome:Graimondii2_0_v6:3:36830326:36831206:1 gene:B456_003G123100 transcript:KJB19884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITQAWPLAFLCSVIVLLVVNVDAGGIVIYWGQNGNEGTLAETCATGNYDFVNIAFLSTFGNGQTPTINLAGHCDPYRNGCTGLSSDIKSCQAKGIKVMLSIGGGAGSYNLTSAEDARQVATYLWNNFLGGISSSRPLGDAVLDGVDFDIEGGTNQHWDDLAKYLSGYGKRGKKVYLTAAPHCPSPDAWVGGALKTGLFYYVWVQFYNNPPCQYSSSIADLTSKVLPSIKGSTKYGGVMLWSKYYDDQTGYSSSIKTDV >KJB19851 pep chromosome:Graimondii2_0_v6:3:36396958:36398115:-1 gene:B456_003G121600 transcript:KJB19851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDFAKMENGSEFDYCFNFEENPFGKVEFEVDSFYDFNSPALLPHPQADNLCIQFMDFEEISSDFALFDQNEDIMVDAKPIKAVVDSFDHHCGNTTNSGGSSTEASRVIHEIEYGDSHGQKIRSFRRKRTASLELDEIQKYFDFPISKAAKEMNVGLTLLKKRCRELNIMRWPHRKIKSLKSLIHNVKELGLTNEIVMLEEHQRMLEKVPDLELTDRTKKLRQACFKANYKKRSLASCY >KJB19504 pep chromosome:Graimondii2_0_v6:3:32747679:32749895:-1 gene:B456_003G106500 transcript:KJB19504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDGIGKENGSTEEKQSFKDGSFCGYNSLYHLLSTNLKPQLYQEVSRLLLGLNCGTTLETIVPPGSAKALSSKHEFDLQAFKFSADKELLREPWVRAGLIKNSIALPTTAPFSDQKTAIFEKLGAIIDAASTLGVNILCLQEAWMMPFAFCTREKRWCEFAEPINGKSTQFLQEFALKYNMVIISSILERDINNEKTLWNTTVIIGNRGNIIGKHRKNHIPRVGDFNESMY >KJB17494 pep chromosome:Graimondii2_0_v6:3:125242:127104:-1 gene:B456_003G002200 transcript:KJB17494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMEWDQQQENQSQNQREDSVNLNVNNGVMYVKVMTDEQLETLRKQIAVYASICEQLVEMHKNLTAQQDLTGGRLGNLYCDPLMTSGGHKISARQRWTPTAVQLQILERIFDEGTGTPSKQKIKEITSELSQHGPISETNVYNWFQNRRARSKRKQVMVASSSNNNNNHNNAGESEVETEVESPRTKPENLLLVSEGQGQHHDVCFQNPEITSQLQFLGVGDDHMCQLKEWGEAAFMTKHGRVSE >KJB18838 pep chromosome:Graimondii2_0_v6:3:17166033:17170119:1 gene:B456_003G072300 transcript:KJB18838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGGGGEGHQSQYGEVGGGPTTDATSSSHMVSEQTEQLEEASPISYRPPAAAIGNPDELMMRLAEEGDEGDRLGGDHGGGVGGGAGGVASGNRWPRQETLALLKIRSDMDGIFRDATVKGPLWEDVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKDGRGGRQDGKSYKFFSELEALNTTSATLSKPPITLATSASLDVAPISVGIPMPISSVWIPPTTTTTTAIPMSSSMLPMPGSAPPPPPATPFGISFSSNSSSSSQGFEDEDEIGREPSTDMGGSSRKRKRQSSSREGGSSSSSRKRMMEFFEGLMKQVMQKQEALQQTFLESIEKREQDRMIREEAWKRQEMARLAREHELIAQERAIASSRDAAIISFLQKITGQTIQLPTTVSTIPSVPPPPTQPATPVVQPPTPIPTAASPLHHPPSLPQQKSHLHHQQQQQAQNTQLVVKHNQQQEPIPSEVIMAIPEQKVPPQEIGGSEGIKPASSRWPKAEVLALINLRSGLETRYQEAGPKGPLWEEISAGMSRMGYKRSAKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRKKILGSGSSSFSDQNRFEGETSQQHLDPPMEAPQPSHDQSENKTGTTIDVLTSKENSPGSLFGKGNGRATKKSEDIVRELMEEQEMQMQ >KJB18839 pep chromosome:Graimondii2_0_v6:3:17166058:17170119:1 gene:B456_003G072300 transcript:KJB18839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGGGGEGHQSQYGEVGGGPTTDATSSSHMVSEQTEQLEEASPISYRPPAAAIGNPDELMMRLAEEGDEGDRLGGDHGGGVGGGAGGVASGNRWPRQETLALLKIRSDMDGIFRDATVKGPLWEDVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKDGRGGRQDGKSYKFFSELEALNTTSATLSKPPITLATSASLDVAPISVGIPMPISSVWIPPTTTTTTAIPMSSSMLPMPGSAPPPPPATPFGISFSSNSSSSSQGFEDEDEIGREPSTDMGGSSRKRKRQSSSREGGSSSSSRKRMMEFFEGLMKQVMQKQEALQQTFLESIEKREQDRMIREEAWKRQEMARLAREHELIAQERAIASSRDAAIISFLQKITGQTIQLPTTVSTIPSVPPPPTQPATPVVQPPTPIPTAASPLHHPPSLPQQKSHLHHQQQQQAQNTQLVVKHNQQQEPIPSEVIMAIPEQKVPPQEIGGSEGIKPASSRWPKAEVLALINLRSGLETRYQEAGPKGPLWEEISAGMSRMGYKRSAKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRKKILGSGSSSFSDQNRFEGETSQQHLDPPMEAPQPSHDQSENKTGTTIDVLTSKENSPGSLFGKGNGRATKKSEDIVRELMEEQEMQMQ >KJB18840 pep chromosome:Graimondii2_0_v6:3:17166142:17170119:1 gene:B456_003G072300 transcript:KJB18840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGGGGEGHQSQYGEVGGGPTTDATSSSHMVSEQTEQLEEASPISYRPPAAAIGNPDELMMRLAEEGDEGDRLGGDHGGGVGGGAGGVASGNRWPRQETLALLKIRSDMDGIFRDATVKGPLWEDVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKDGRGGRQDGKSYKFFSELEALNTTSATLSKPPITLATSASLDVAPISVGIPMPISSVWIPPTTTTTTAIPMSSSMLPMPGSAPPPPPATPFGISFSSNSSSSSQGFEDEDEIGREPSTDMGGSSRKRKRQSSSREGGSSSSSRKRMMEFFEGLMKQVMQKQEALQQTFLESIEKREQDRMIREEAWKRQEMARLAREHELIAQERAIASSRDAAIISFLQKITGQTIQLPTTVSTIPSVPPPPTQPATPVVQPPTPIPTAASPLHHPPSLPQQKSHLHHQQQQQAQNTQLVVKHNQQQEPIPSEVIMAIPEQKVPPQEIGGSEGIKPASSRWPKAEVLALINLRSGLETRYQEAGPKGPLWEEISAGMSRMGYKRSAKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRKKILGSGSSSFSDQNRFEGETSQQHLDPPMEAPQPSHDQSENKTGTTIDVLTSKENSPGSLFGKGNGRATKKKIL >KJB20921 pep chromosome:Graimondii2_0_v6:3:44333273:44335603:-1 gene:B456_003G172300 transcript:KJB20921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPYDVASSRFSQWRRRQQQQQFSSDKSWEPLPAWEKKFCKKVGAMPWKKFVESKNNLLKTDKVFEWDDSAGLKAFHEAKQRFWEYYHRFPCTNKLPGNAADMYIDDIDWNSKIDPKLFLEIKSISDDEEEEEEEEVKRIDSFSIPLEQIKATGWEDDEATSRLPNITSNSWKLLPAREEKICMKVGAMSWKRFVDAKNNLFKTDKVFDAKNKLFKTDKWKRFVDAKNNLFKTDKVFEWDDSAALKVFQ >KJB18101 pep chromosome:Graimondii2_0_v6:3:3563784:3566342:-1 gene:B456_003G035100 transcript:KJB18101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVCTADPQILHRKEASLFLQNELNNTRSKKLVSFAPRCSGSTNSSDSRDQTKTPFGYTRKDVLLIGLGVIVAGVGLKSGLEFVGVDPLQAGNVVQLVMVLGLTVGWISTYIFRVSNKEMTYAQQLRYYEVKVMQKRLEGLTEAELEALLEQVEEEKQRQASGEQVN >KJB19393 pep chromosome:Graimondii2_0_v6:3:30809861:30811663:-1 gene:B456_003G100100 transcript:KJB19393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQFKQTRKQNWFQKQFSSRNGEDNRPKPGAHEAAIAAAAFAIQSVEEAKAAKLKRGSFKKENSRNGVLHSNRITTQFSLKKTKSAVENSKDKPMKHKCKEVERVHSYSKPSSQSATIPIAPGDEWYDKLKSVVCGGDKLPKTYG >KJB19461 pep chromosome:Graimondii2_0_v6:3:31815884:31816776:-1 gene:B456_003G103800 transcript:KJB19461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRLFSFLILFILVLLGEYSSANTQGTDGSHGLQPARKKLAKFKEAVDAAPAAVEARETKLKWGLDKKMVTVEGAKISDYQSNGKNSHKWLTGFTAFAADYHVPKSHPPKHN >KJB19861 pep chromosome:Graimondii2_0_v6:3:36541580:36542816:-1 gene:B456_003G121900 transcript:KJB19861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHSPSTSYLVMLISFFLASKFLLFPGVEGTIGVNYGTVASNLPPPAQVAHFLVESTIISRVRLFDADSNILKAFAHTGIAISVTVPNDEIPRLTKLNFAQQWVENNIQPYTPNTNIVRILVGNEVLSTANKLLIGSVVPAMQNLHSALFEASLDRRIQVSTPHSLGIISNSSPPSTAMFRQGYDTYVLKPLLSFLRATNSPFMVNPYPFFGCSLDTLDFALFRPNAGLDSVFSAMKFLGFDDVEIVIAETGWPSMGDSTQVGVDAKSAAEYNGNLIRHVISGAGTPLMPNRTFETYIFALFNEDLKEGPTCERNFGLFHTNMTPVYDNWILRRMTAAAAANFHPVTCLLVITIGFLVLAINHHGEI >KJB19301 pep chromosome:Graimondii2_0_v6:3:29563355:29564139:1 gene:B456_003G094200 transcript:KJB19301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAYGLAEILVTLHPNVGRTAHHLFCILSPVSLLPRALRNRLDLIDIPSTQHRSSKGSRRLTKARKPGSFRKWIPIRRVHNCMDKLTLTRQFRIQFGISLGVSIRNWNVIILIHIGGKGSLLIQMLYLWDRDRERGKTEDFRQYF >KJB20444 pep chromosome:Graimondii2_0_v6:3:41597757:41603368:-1 gene:B456_003G148600 transcript:KJB20444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKREGQGDVVGETENNNNSNTIKYVSVTSPVKRHRISDTAAADSTVNDDTFAIRNSSGSSSVVEPAMAPGDSNHNDIDEDLHSRQLAVYGRETMRRLFASNVLVSGMQGLGAEIAKNLILAGVKSVTLHDEGVVELWDLSSNFVFSEDDVGKNRALASVQKLQELNNAVVISTLTTKLTKEQLSNFQAVVFTDISLEKAIEFNDYCHNHQPPISFIKSEVRGLFGTVFCDFGPEFTVFDVDGEEPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKSARPYSFTLEEDTTAFGTYVKGGIVTQVKQPKMLNFKPLREALKEPGDFLLSDFAKFDRPLLLHIAFQALDKFISDFGRFPVTGSEEDAQKLASIAANINECLGEGKVEDINPKLLRQFAFGAKAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPAEPLDPSDFKPLNSRYDAQISVFGSKLQKKLEDAKVFMVGSGALGCEFLKNLALMGVSCGSQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPRLKIEALQNRVGPETEGVFNDAFWEKLTVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPVEYASSMKNAGDAQAKDNLERVLECLDREKCETFQDCITWARLRFEDYFVNRVKQLIFTFPEEAATSTGAPFWSAPKRFPHPLQFSAADTSHLNFIIAASILRAETFGISVPDWVKNPKMLSEAVDKVIVPDFQPREGVKIETDEKAASLSTASVDDAAVINELLLKLELCRNNLPSGFRMKPISFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGAHKVEDYRNTFANLALPLFSMAEPVPPKVMKHRDMSWTVWDRWILKDNPTLRELIQWLKDKGLNAYSISYGSCLLFNSMFPRHKERLDKKVVDVAREIAKAELPPYRSHLDVVVACEDDNDNDIDIPQVSIYYG >KJB18692 pep chromosome:Graimondii2_0_v6:3:13231274:13232618:1 gene:B456_003G065900 transcript:KJB18692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYNIFQIAIPEFKHIHDAKLQHDQAVELLKCIFKEIPKLSNRQLETIGLDKAIYDAIKHGMFEFIDEIIELYPEIIWRKDKKGRTLFANAIVLRQEKIFNHVFRLGEKQRITLLRHDIFRNNFLHLAAKLSPPSRLDHISGAALQMQRELQWFEELRRILPPKFEEELNENNRTPASLFSHEHKELMKEGEKWMKNNAASCMVVATLISAVMFTSAFTVPGGIDEKTGSPIFLRSNAFLVFVIANSLSLFSSSTSVLVFLGVLTSHYAEKDFLQSLPVKSILGLFTLFFSIVTMMVAFGSAIFITLQERLAWVSIPVIILSTVPIAFFTLLQFPLLIEMLVSTYCCRIFDIPQTKLK >KJB18725 pep chromosome:Graimondii2_0_v6:3:13702588:13703662:1 gene:B456_003G067200 transcript:KJB18725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSFFIFNIIISLALTLVNGDAGLIQKTCKTTKYYDLCVSSLKSDPSSANSDTKGLAMIMVGVGMANATATSTLLSSQLLTTKNDTIMRKVLKECSDKYTHAADALQASVQDFDSELYDYVYMHIMAAADYPNACHNAFRRYPRLVYPQQIARREDGLKHICDVVLGIVDHLAS >KJB18095 pep chromosome:Graimondii2_0_v6:3:3295185:3295658:1 gene:B456_003G033800 transcript:KJB18095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSFIFSLLFLLILSSTQLRYKAEGRSIPKSDEEKARLRAQIGSRPPRCERRCNSCDHCEAIQVPTNPQISHVAYARGDGSSNYKPMSWKCKCGNFIFNP >KJB17730 pep chromosome:Graimondii2_0_v6:3:830119:832061:1 gene:B456_003G012900 transcript:KJB17730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTNLHSQSKVEEQYVKYTSLLTQTGHRISTVDEWKPDLLPNIGSRYHRNPVQTVLKSKDLWPLMNEDSSFNQQSAANEFLLANIKDEMSDSFPKLSEMMYCNTSTEDSYLLSKKPESGGQDLGGNIWYSNMTERQLSTRDLYSNANDQYIYDFNHIFPGISTSGMCSTLFSSSLDLNLKSFNLLASTYGGGSCCNQTRNAFIGHNHRTESNNSPSTSSKVSITSTKRPVSFSDTKEPHIDAKKHRSSTARSPCPTLKVRKEKLGDRVAALQKLVAPFGKTDTASVLTEAIGYIQFLHDQVETLSVPFMKSSQSKLYRTMQMGLKEEEQKPDLRSRGLCLVPESFAEYFINHCFNGI >KJB18105 pep chromosome:Graimondii2_0_v6:3:3394441:3398081:-1 gene:B456_003G034200 transcript:KJB18105 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase HSL2 [Source:Projected from Arabidopsis thaliana (AT5G65710) UniProtKB/Swiss-Prot;Acc:C0LGX3] MRNPDLKALICFLFWVVCVFTFVVSFNGDSQILIRVKDSQLDDPNGRLRDWVILTPDQSPCNWTGVRCESRNRTVASIDLSGFGISGGFPFEFCRIRTLRTLYLADNNLNGSLSSQAISPCFRLREIDLSGNIFVGELPDFLSEHLEVLELSNNNFTGDIPVSFGRMKSLKVLSLGGNLLNGKVPSFLGNLTELTDFALGYNPFKPSPLPDEIGNLSKLEYLWLTNANLVGEIPFSIGNLISLKSLDLTCNFLIGKIPESLSKLKKLEQIELYQNQLTGELPESLAELTSLLRLDVSQNSLTGKLPEKIAAMPLESLNLNDNFFTGEIPEVLASNQYLSQLKLFNNSFTGKLPSDLGKFSPLEDFDVSTNNFSGELPPFLCHKRKLQRVVIFTNRFSGSIPESYGECESLNYIRMGDNAFSGNVPEKFWGLPLMQLFELQNNHFEGSISPSISALQKLTILRISGNNFSGVIPEGMCKLHNLTQINLSQNRFSGGLPLCITDLKLQTLELEDNELTGNLPGSVGSWTELTELNLARNRFTGEIPPTLGNLPALIYLDLSGNLLIGKIPEDLTKLRLNRFNLSGNLLNGKVPSGFNNEFFISGLLGNPDLCSPNLNPLPPCPRIKPGTFYVVGILTVCLILLIGSVIWFFRTRSKFGSKTRRPYKVTLFQRVEFNEDEIFPFMKDDCIIGTGGSGRVYKVKLKTGQTVAVKRLWGVKREAEEVFRSETETLGRIRHGNIVKLLMCCSGDEFRVLVYEYMENGSLGDVLHGDKWGGLADWPKRFAIAVGAAQGLAYLHHDCLPPIVHRDVKSNNILLDEEMRPRVADFGLAKTLQIEAGDDGSNGGAMSRIAGTHGYIAPEYGYTLKVTEKSDVYSFGVVLLELITGKRPNDSSFGENEDLVKWVTEVVLSSLPPSASAQGGNDGGGYFGKKVAEIVDPRMMPSTYEMKEIERVLNVALKCTSAFPINRPSMRKVVELLKDQRCVQLVGQ >KJB20245 pep chromosome:Graimondii2_0_v6:3:40322869:40325208:1 gene:B456_003G140200 transcript:KJB20245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRNSKGKLIIIANNCPPLRKSEIEYYAMLCKVGVHHYNGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKSMPGDH >KJB19644 pep chromosome:Graimondii2_0_v6:3:34250125:34250931:-1 gene:B456_003G111800 transcript:KJB19644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLILLSLLAIFCFALTSHAATYVVGDTSGWDISTDIDSWATNKTFNVGDVLLFQYSSSHSVSEVNKESFETCNTTNSLTTFSNGNTTVTLSNAGKRYFVCGNKLHCLGGMKLQVNVLEDEKKSVTVGAPEAQPQPQATIPKPSSKTNNPSSTASDGFINGGIGSLIIAFLFMVSTMFGLFQI >KJB20421 pep chromosome:Graimondii2_0_v6:3:41488173:41491563:-1 gene:B456_003G147500 transcript:KJB20421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIGSGIWKDEATVKSMSRYGNYRELLKGPLYYAITVTLACVVYWRTSPIGIAALCNLCAGDGLADVVGRRLGRKKLPYNRNKSIAGSVAMATAGFLSSVGYMYYFSYFGYIQDGWGMILRFLVVSLASALVESLPISTELDDNLTVSLTSIFIGSLIF >KJB20420 pep chromosome:Graimondii2_0_v6:3:41488138:41491570:-1 gene:B456_003G147500 transcript:KJB20420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYENSLVSDLFASVVCCGVIFAFLLLWQVTAKCGVDQKLNRKLVHISIGLVFMLCWPLFSAGYRGAILAAITPGVNIIRMLLIGSGIWKDEATVKSMSRYGNYRELLKGPLYYAITVTLACVVYWRTSPIGIAALCNLCAGDGLADVVGRRLGRKKLPYNRNKSIAGSVAMATAGFLSSVGYMYYFSYFGYIQDGWGMILRFLVVSLASALVESLPISTELDDNLTVSLTSIFIGSLIF >KJB20260 pep chromosome:Graimondii2_0_v6:3:40411985:40416374:1 gene:B456_003G141000 transcript:KJB20260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPNNQLSETKTLDSAAQTNGQDSPQPSCESIPPSDPQSTADTNPGEKRKRDDDDPTQNSSPHPLWKTTLCSYFRRQDGSCTHGSTCKYAHGEEELRPRLDNTWDPTSERAKKAMKGENGEKVAAKEEEEQEVMMTEMVMDGGEDGEECGDPQLSKCLVHLPRKWHGDNLRKFLSEQGITFKSAKKKKGMVVGFVSFENAEQLKSAVEELEGKSFGNKNLKVANVIPRPFERKVKSAMAATLSSQQTIDDASIKENAGVSIASNEVQDGATNCDGSALDDSTSKAKCARDAVTPLAHMPYGDQLEHKKNSVMQMLKKLTRNARKACPNGVSLPQWVLQSRERGGLPCEVEGIIESPVVNGYRNKCEFSVGYSQQGKPTVGFMLGNFREGVTAVEEPVDCPNVSKIACRYASIFQEFLQHLSFPIWNRFKNTGFWRQLTVREGRSAGKVADENFEANISEVMLIVQVCSVGLDEAQVTNEFERLAQAFAAGAAANSPPLPLNVFVVQDHQGISNVAPTDAPLRSIPIPKTESSLQPETANNIIEARIHDCISNLRFSISPTAFFQVNTLAAEKLYSLAGEWAGLGPDTLLFDICCGTGTIGLTLAHRVGMVIGIEMNASAVQDAYKNAEINGIKNCRFICSKAEDVIESLLKEYLNVSEKQGQLSSALESSEKRILTGEEKDTFTTNARNDGESSCQEPEKCSSENDGKEIQNQLQESSTSKDGNSSVPQFKNVVAIVDPPRMGLHPTNQTGPSSWTRN >KJB20259 pep chromosome:Graimondii2_0_v6:3:40411925:40417636:1 gene:B456_003G141000 transcript:KJB20259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPNNQLSETKTLDSAAQTNGQDSPQPSCESIPPSDPQSTADTNPGEKRKRDDDDPTQNSSPHPLWKTTLCSYFRRQDGSCTHGSTCKYAHGEEELRPRLDNTWDPTSERAKKAMKGENGEKVAAKEEEEQEVMMTEMVMDGGEDGEECGDPQLSKCLVHLPRKWHGDNLRKFLSEQGITFKSAKKKKGMVVGFVSFENAEQLKSAVEELEGKSFGNKNLKVANVIPRPFERKVKSAMAATLSSQQTIDDASIKENAGVSIASNEVQDGATNCDGSALDDSTSKAKCARDAVTPLAHMPYGDQLEHKKNSVMQMLKKLTRNARKACPNGVSLPQWVLQSRERGGLPCEVEGIIESPVVNGYRNKCEFSVGYSQQGKPTVGFMLGNFREGVTAVEEPVDCPNVSKIACRYASIFQEFLQHLSFPIWNRFKNTGFWRQLTVREGRSAGKVADENFEANISEVMLIVQVCSVGLDEAQVTNEFERLAQAFAAGAAANSPPLPLNVFVVQDHQGISNVAPTDAPLRSIPIPKTESSLQPETANNIIEARIHDCISNLRFSISPTAFFQVNTLAAEKLYSLAGEWAGLGPDTLLFDICCGTGTIGLTLAHRVGMVIGIEMNASAVQDAYKNAEINGIKNCRFICSKAEDVIESLLKEYLNVSEKQGQLSSALESSEKRILTGEEKDTFTTNARNDGESSCQEPEKCSSENDGKEIQNQLQESSTSKDGNSSVPQFKNVVAIVDPPRMGLHPTVIKVLRTHAPLRRLVYISCNPESLVANAIELCTPSPEKVEKGKKDNRGWRNMSSAGLARHRAKSMPISEPFQPVKAMAVDLFPHTPHCEMVMLLER >KJB19429 pep chromosome:Graimondii2_0_v6:3:31150598:31153082:-1 gene:B456_003G101600 transcript:KJB19429 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g08040 [Source:Projected from Arabidopsis thaliana (AT5G08040) UniProtKB/TrEMBL;Acc:Q6IDR6] MADTVISLDKLKAFWNSQVHDEENWAHNMKLLRAAGLFAGSILLMRNYGDLMAI >KJB20832 pep chromosome:Graimondii2_0_v6:3:43891971:43894134:-1 gene:B456_003G168200 transcript:KJB20832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKMLMMAVLVILGTKIATFTAADPTCLQSLMSCAPYFNNATLQLKGDCCNPLRQAVATELTCLCSLINNSTLLSSFNINIPIPAALRITRGCGVTDKMNGCIPATLTPAPSPSATSPKAAPLITRR >KJB20831 pep chromosome:Graimondii2_0_v6:3:43884513:43894134:-1 gene:B456_003G168200 transcript:KJB20831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKMLMMAVLVILGTKIATFTAADPTCLQSLMSCAPYFNNATLQLKGDCCNPLRQAVATELTCLCSLINNSTLLSSFNINIPIPAALRITRGCGVTDKMNGCIPATLTPAPSPSATSPKAAPLITRR >KJB18156 pep chromosome:Graimondii2_0_v6:3:3747350:3749556:1 gene:B456_003G036300 transcript:KJB18156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKILFAFLLLIIPYVNPLSFNFPSFSPNMQGIRFEGDAFSSSNVLQLTKNNAIESLTGSIGRASYDQPVRLWDASNRRLTDFTTHFTFIIQAVNLSEYGDGLSFFMAPFESEMPRNSSDGYLALFDPATATSNSSENNIVAVEFDSFRNEWDPSDDHVGINVNSIISVTNVTWQSSIKDGRRANAWVSYNATTTNLSVFLTYADNPVFSGNSSLALTVDLRDVLPEWVRIGFSASTGRQVEIHNILNWSFDSSLETSEGEGKNLGLILGLALGFGLLGGGLCLFFFIMRRRRARLNDNDEAIDVTMDDEFEKGTGPKRFTYGELSRATNAFAEVGKLGEGGFGGVYKGLLSESNTEVAVKRVSRGSKQGKKEYISEVKIISRLRHRNLVQLLGWCHEKGELLLVYEYLPNGSLDSHLFGGKIILTWAVRYKIALGLASALLYLHEEWEQCVVHRDIKSSNVMLDSNFNAKLGDFGLARLVDHDMGSQTTVLAGTMGYLAPECVTTGKASKESDVYSFGVVALEIACGRKPVETRQEPSKVRMVEWVWDLYGKGQLLEAVDNRLGDDFDEQQIERLMVIGLWCCHPDYTLRPSIKQVINVLNFEAPLPSLPSKLPVPMYYAPPIDLCKFSYTTSSTGVTDSEKDRTQCSCSSCSKRAYSTTSTTSGTALLNFQKSN >KJB17562 pep chromosome:Graimondii2_0_v6:3:310438:311157:-1 gene:B456_003G005600 transcript:KJB17562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPVKHRSKIILTFRLSPPVITGGKRISGHTVPLIPRNASFELREPVSPKVSCMGQIIKKKKIRSLKKLKQRSSSSSRPSQAFAEKIKSKVLKRIKLCHNNHHTLIDDYEVSAVEEDDDDDERSAPSLLQMRRFNNVRSTLSDFDWTTSEEKGVKRKRG >KJB17962 pep chromosome:Graimondii2_0_v6:3:2430444:2433434:1 gene:B456_003G026800 transcript:KJB17962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSALQQSYLGRRSNSFRSSGPLDSSSDGAFKSPAAVFWLVLHGLSCLISLLLGFRFSRLVFLLLSTSSTYTSPFHSPTELAKTLDIRSVIPADPVGNVPLPFPNKTATNSRVVVGRHGIRIRPWPHPNPVEVMKAHRIIERVQTEQRLQFGVKDPRKIIVVTPTYVRTFQSLHLTGVMHSLMLVPYDLVWIVVEAGGVSNETASLIAKSGRRTIHVGFSQRMPNSWDERHKLETKMRLRGLRIVREKILDGIVIFADDSNMHSMELFDEIQNVKWFGAVSVGILANSANIDEMVVEKRGEEENPRMPVQGPACNVSNMLAGWHTFNTLPFAGKSAVYIDDRATVLPRKLEWSGFVLNSRLLWKDGDKPEWMKDIDTLDGDIESPLDLVKDPLVVEPLGSCGRRVLLWWLRVEARADSKFPPRWIIDPPLEVTVPSKRTPWPDTPPELPSHEKPVIGIQEPVIKHTTKTRTSRSKRRSKRKHDETRTDRRTTGFDKTLQN >KJB20594 pep chromosome:Graimondii2_0_v6:3:42455373:42457344:1 gene:B456_003G155400 transcript:KJB20594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNQQKVKRGLWSPEEDEKLIRFITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKTLVPPTQGTASITELVNQDMATTTTRAIPIPTQETLFSSQAPLFMFESTMTPIDQGVLLQDVNVRGEVFHEQAQLNTWNNNNHLNQQLQLQVPFPPPSTSFTMDTNNNYLPPLIDNMETMVPIEVQSCTSLDEEGGGEITLESLRRQQQELNEWVESQQCSSFLLWDNIIEGQIGGSVEGTTIPLPPSSSSSSNMGTTLSSFPSSL >KJB20637 pep chromosome:Graimondii2_0_v6:3:45546774:45547633:-1 gene:B456_003G184700 transcript:KJB20637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKEEPTKLNDEQIGELREIFRSFDRNNDGSLTQLELGSLLRSLGLNPSSDQVEALIHKADTNNNGLVEFSEFVSLMAPELLSEKSPYSEEQLKQLFKMFDRDGNGYITAAELAHSMAKLGHALTAEELTGMIKEADTDGDGMISFEEFSNAITSAAFDNSWG >KJB18225 pep chromosome:Graimondii2_0_v6:3:4711953:4712992:1 gene:B456_003G040200 transcript:KJB18225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQYPPSDIVQIQQFIFFSSIHNKKKNPYLNPAPHPSLSSVQKNSQFSVTKELFDFSKMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KJB17849 pep chromosome:Graimondii2_0_v6:3:1441373:1442107:-1 gene:B456_003G019000 transcript:KJB17849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYALITILLLAIYHHTAQTRAAVGVAPLKWSQQLANASSLIARYQRNKMGCQFANLTNHKYGANQLWGSGAAVTPLMAVETWVKEKDYYDYGTNTCAPNHKCGVYTQVVWKNSSDLGCSQATCKDQVTLTICFYNPPGNYIGEKPY >KJB19990 pep chromosome:Graimondii2_0_v6:3:38011340:38013717:1 gene:B456_003G128500 transcript:KJB19990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g46790, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46790) UniProtKB/Swiss-Prot;Acc:Q9STF3] MWAFHTPQPTQPPSLFNPPRTPPKLPSSSLTLNPSISNSTPNHNQLIQSLCKQGDLKQAFKLLSREPNPSQRTYEVLILSCADQNSLSLAQSLHSHISENGFDQDPFLVTKLISMYAALDSLDDARKVFDKTRKRTIFVWNALFRALTLAGFGEEVLGLYRKMNRIGLPSDRFTYTYVLKACVASECMVSLLNKGKEIHAHILRHGLEGYVHIMTTLVDMYARFGCVAHASFVFEKMPVRNVVSWSAMMACYAKNGKPFEALELFREMMIETQDSAPNSVTMVSVLQACAALSALEQGKLVHAYILRRGLDSVLPVISALITMYARCGELELGQRIFDRMEKRDVVSWNSLISSYGLHGYGKKAMQIFQEMIHQGVSPSSITFVSVLGACSHAGLVEEGKKLFDSMRKEHGIHPSVEHYACMVDLLGRANRLEEAAKIIDEMRIEPGAKVWGSLLGSCRIHCNVELAERASHRLFQLEPHSAGNYVLLADIYAEAEMWDDVKRVRKLLETRSLQKVAGRSWIEVRRKMYSFVSVDEPNPQIELIQSLLIKLAAEMKEKGYSPQTKVVLYDLDESEKERILLGHSEKLAVAFGLINTKKGETIRITKNLRLCEDCHSFTKFISKFSNKEILVRDVNRFHHFQNGVCSCGDYW >KJB21148 pep chromosome:Graimondii2_0_v6:3:45584090:45584615:1 gene:B456_003G185100 transcript:KJB21148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSIEALAMAGVDYNEWGLDIEKWEDDDDSHCPPPHLLAEENEEEPARVVEQGTRIIGTSSPTRRSEDDAGGECKHRRGFLRRKSSLAKKMRHYSSESIKVMIKYTIMLLMIVDS >KJB20114 pep chromosome:Graimondii2_0_v6:3:39157974:39159537:-1 gene:B456_003G133800 transcript:KJB20114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKGEGPAIGIDLGTTYSCVGGNRTTLSYVAFTDTERLICDASKNRVSMNPVNNVFDTSLAFFEVDSELLHAKRLIGRRFSDPSVQSDMKLWPFKVIPGSKDKPIIIVNYKGEEKQFAAEETSSMVLTKMREITEAYLSSSIKNVVVTVPTYFNDSQRQAMKDVGIIVGLNVMRIINEPITVVIAYGC >KJB18690 pep chromosome:Graimondii2_0_v6:3:13126329:13127619:-1 gene:B456_003G065600 transcript:KJB18690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLIVGYVLAQDQVADVLTKPLLARMAPRVRSMHIVPQICDFIMKFAEAINSKGSHIDPSTAEGMFIMHFIYESDPQKSQRICSREKLWSRLTADSARLVPRMWICPDDDKESGHCSGDEYDVLGIGEFWIECPKCQFEVKLMKLTMRDEI >KJB18589 pep chromosome:Graimondii2_0_v6:3:11255666:11256671:1 gene:B456_003G061900 transcript:KJB18589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESQDFFSLVQDASVVDRDLLSLTRKLKELLENSLGWEFQQKSAVDGIYFEENDEYAPVVEMLDEPSGREPTS >KJB17837 pep chromosome:Graimondii2_0_v6:3:1370860:1374916:-1 gene:B456_003G018200 transcript:KJB17837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIRLPKVEQGQTKIRNVPIAVTPEGFWCCPSPVGFQKTLKSQKPLNKPKPSSPPPQVTAHKKQIPVTEKKPMTTPIKPAGTYGDQRTFTPVNHGISAPVVPERVPRPKVEHVPRNVAIEYGEPGTSDMKVILLGKQGFCVKLSVHKKVLMEHSCFFTDKLSGQEPGLSCLEIDDCEDVESYVETVGLMYCKEMKQRLIKQSVSRVLRILKVAELLGFNSCIQSCLEYLEAVPWVGEEEEDKVVSSVLRLQGEGIGVTPVLKRISSNVTNPPNDTISHIMELVLKSNEERGRREMKSVVLKLLRENNSLPSYGGPADVCNETVYTLSRSCLDSLLSLFKQAVELEPSDKSMDSREPVVKQIALEADNLSWLLEILADRQSADEFALMWASQQELATLHSKLPIVSRHHVSCITARLFVGIGKGELLPAKDTRQLLLQTWLQPLINDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQSILLTWLGSFLKAGDNCPNLQRAFEVWWRRTFIRPYSDAQGNVPQSDSSMASKQQD >KJB18616 pep chromosome:Graimondii2_0_v6:3:12018295:12018975:-1 gene:B456_003G063500 transcript:KJB18616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETNLSSSEFESCSSHNHSSNSPASSSSSTSNGESMEDNSKAKRSSISKHPIYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATPEMAARAHDVAALSIKGDSAILNFPHLAILLPRPVSLMPRDIQVAAAKAASMVNFNTLPSSSSSLCEPNASEQADELSEIVELPNIECSFQSLFDSQGEFVPVDSVDGWVYPPQDFYGGFSDQIWSCENLIPANFEPSVWE >KJB18829 pep chromosome:Graimondii2_0_v6:3:16883826:16887085:-1 gene:B456_003G072000 transcript:KJB18829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMMKKIFALYASMAAVSCFMIDVAVLRHTTQPVSKGMRHSLNPRRNGTVKLLTCQTYTDKEGIKQQQLLAFIPRHQKHYILPNFVSKKVHFSPQLQTDPRQNYLHAKSCLQPSGLLRTSKMLMLRQLALLNSCII >KJB17917 pep chromosome:Graimondii2_0_v6:3:2034195:2037320:-1 gene:B456_003G024000 transcript:KJB17917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGQAEIWRYLYSFADSMALKSAVGLRIADIIHSNGGAATLSQIASCISDGLTSPDITALARIMRLLVRRKIFTIHPPSDGGDPLYNLTHSSRWLLHDSEQTLAPMVLMENHPWQMAHWHYFSQCVKEGGIAFKKAHGCETWDLASTNPDFNKLCNDGLACTSKFITSASLSGYKQGFNSNGSLVDVGGGTRGLISEIVKVYPHVKGVNFDLPHVVSTTPAYNGVSHIGGDMFHAIPNTDAIIMKWILHDWTDEECIKILRNCKKAIPRENGKVIIVEIILKEDGSGVFDDIGFVMDLVMFAHTNGKERTEAEWKKILEGGGFSHYKIINIPALVSIIEAYPDAQ >KJB17954 pep chromosome:Graimondii2_0_v6:3:4323254:4323814:1 gene:B456_003G039700 transcript:KJB17954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSIEALAMAGVDYMEWGMDVEEWEHDDELPPPHLLADGDNEEEKEEFMERQLEQNISCNNKPEDDSGSGRVDDENIG >KJB19650 pep chromosome:Graimondii2_0_v6:3:34393972:34395263:-1 gene:B456_003G112500 transcript:KJB19650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGERILPGSYFQYPPPGFPSVSPHRPSSIPTDLERVYIRGKGSVKDSVKEEKLKDKPGFEHLNEPLHVLVEAELPKDVINSRLDYVVAILENLLKPVDESLDNYKKQQLRELALLNGTLREESPRMSPTMSPSMSPFNGTGMKRANYFSQKPLI >KJB17675 pep chromosome:Graimondii2_0_v6:3:667962:670457:1 gene:B456_003G010800 transcript:KJB17675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLGVLMEALASQSINTIKTNLIWLLRTTWIVGTLPILIASLPSPCLNSFHSLLLSFAKRGKIMPSSSHRFTVPQSFFLHFYLLALIWTTTLLMGTWFNVWKAVFLLLLMEIHVLRRLYETFQVFNYSPSARMHIVGYLTGIYFYTAAPLSLCTFCVLEAFNFAADQVAEFKVEGQEMVPITDFDLWGYMKPLTRLGWCQWTGAVIFAWGWFHQLRCHAILGSLRERGDRTGEYVIPHGDWFEVVSSPHYLSEMILYAGLLVASGGTDFTIWLLLGFVVANLVFAAAETHRWYLRKFENYPRNRRAILPFVY >KJB17677 pep chromosome:Graimondii2_0_v6:3:667962:670457:1 gene:B456_003G010800 transcript:KJB17677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLGVLMEALASQSINTIKTNLIWLLRTTWIVGTLPILIASLPSPCLNSFHSLLLSFAKRGKIMPSSSHRFTVPQSFFLHFYLLALIWTTTLLMGTWYFAYKVTPLSTESLSYLAAANHLTGGSLVFSLYKSRFSSVDDRFNVWKAVFLLLLMEIHVLRRLYETFQVFNYSPSARMHIVGYLTGIYFYTAAPLSLCTFCVLEAFNFAADQVAEFKVEGQEMVPITDFDLWGYMKPLTRLGWCQWTGAVIFAWGWFHQLRCHAILGSLRERGDRTGEYVIPHGDWFEVVSSPHYLSEMILYAGLLVASGGTDFTIWLLLGFVVANLVFAAAETHRWYLRKFENYPRNRRAILPFVY >KJB17676 pep chromosome:Graimondii2_0_v6:3:667962:670457:1 gene:B456_003G010800 transcript:KJB17676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLGVLMEALASQSINTIKTNLIWLLRTTWIVGTLPILIASLPSPCLNSFHSLLLSFAKRGKIMPSSSHRFTVPQSFFLHFYLLALIWTTTLLMGTCSVDDRFNVWKAVFLLLLMEIHVLRRLYETFQVFNYSPSARMHIVGYLTGIYFYTAAPLSLCTFCVLEAFNFAADQVAEFKVEGQEMVPITDFDLWGYMKPLTRLGWCQWTGAVIFAWGWFHQLRCHAILGSLRERGDRTGEYVIPHGDWFEVVSSPHYLSEMILYAGLLVASGGTDFTIWLLLGFVVANLVFAAAETHRWYLRKFENYPRNRRAILPFVY >KJB18565 pep chromosome:Graimondii2_0_v6:3:10645021:10646325:-1 gene:B456_003G060500 transcript:KJB18565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKEPKILYYPKIIAPDLNIEEKPVFQNKYNAKTIYEWNIDGMVEYNITNDNGRNFNSNKKYDQDRIILDEQGREIRDAVATLIFPIFKHIIGNPSHLKDRNSDLLSNLKCKKLTDFKLYKDVFMTRVMQRSDNQQPFWKEKFLTRLLTLLGEKVRNQIRENYKGIIPYEKFTYGELISFTQKERLKICQDLKLRKQLKKERYQCINELRSFCHQFDIRNEPSASKTCYPVKPKNRKMNISEYYKNPNIEKYRKGNKQKKQKKIDKIIKCYRREKPGHISKYYELQIDELHTTSQSSVDENEPSINMLTKDQEFMIEVIDKIQDLELKREYLLKLKSSLEDKPKKENKNYF >KJB20123 pep chromosome:Graimondii2_0_v6:3:39220815:39221567:1 gene:B456_003G134300 transcript:KJB20123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPLFFCATLFLLCSFANAQPPALTLTIVNNCPFTIYPAIQPNAGYPVLERGGFALPTLTHRSFPAPTAHWSGRIWARTGCTYDNGLFSCATGDCGHRIECNGLGGDSPVTLAQFSFHHGGEKDVSSYEVSLVDGFNVPMTLTPHEGKGVCPVVGCRVNLLETCPEVLKLRSEPGQGPVVGCKSGCEAFGTDELCCRNHYNSPQTCKPSGYSQFFKQACPATFTYAHDSPSLMHDCSSPRELKVIFCH >KJB18830 pep chromosome:Graimondii2_0_v6:3:16494020:16497290:1 gene:B456_003G071100 transcript:KJB18830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVFVLNLMFSFLLLLYPSTSFPLCTNSEAPFTLKTALKFCSYNGSSCCNSTVDSQLQKQFQDMNISDPSCASVLQSVLCARCDPFSAQLFTIDTEPRTVPLLCNSTVSTNSSQSNQATNDFCSNVWDTCQNVSMLNSPFAPSLQGQAGAPANSNFTKLIDLWQSKTDFCNAFGGASTDESVCYDGEPVTLNETGTPSAPNGLCLEKIGNGSYLDMAAHPDGSNRAFFSNQQGKIWLATIPKVGSGGTLELDESNPFIDLTDEVHFDTAFGMMGIAFHPNFTQNGRFFASFNCNKGKSPGCTGRCSCNSDVNCDPSKLGTDNGAQPCQYQSVVAEYTTNGSATQPSSAENARPSEVRRIFTMGLPFTSQHGGQILFGPTDGYLYFMMGDGGGDGDPYNFAQNKKSVLGKIMRLDVDNIPSAAEINRLGLWGNYSIPKDNPYSEDGNLLPEIWAFGFRNPWRCSFDSERPSYFMCGDVGEDLYEEVDIISKGGNYGWRVYEGPYRFNPTSSPGGNTSANSINPIFPVMGYNHSEVNKKIGSASIIGGYFYRSNTDPCMYGRYLYADLYSGAIWAATEDPENSGNFSTSTIRFGCARDSPLQCSSVPGSDLPALGYIFSFGQDNSKDIYLLTSSGVYRVVPPSRCNYTCSRENATAVEAPSPRTSPLPSHSNKLTPINLLLSAFLLLLLCVA >KJB18361 pep chromosome:Graimondii2_0_v6:3:6773359:6774076:1 gene:B456_003G048600 transcript:KJB18361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVPCKHLILAAFFLFFFISTTATARNLPVAKETQKPHDHQELTSTKDHGEPNISVDELVSMDYTPATRKPPIHN >KJB17575 pep chromosome:Graimondii2_0_v6:3:323326:324273:1 gene:B456_003G005800 transcript:KJB17575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIYCCKECGTNLNLRSTYLFPPDFYFEAGNKGTLSFATIDDTKFNFEKEDKFRPFFETLDYWGIQRNRTKMKCKSCGKLVGYIYDDGPPLTDSPGQFHMGPSQVIPRCPRYRFKIKALTISSET >KJB20789 pep chromosome:Graimondii2_0_v6:3:43451975:43454543:-1 gene:B456_003G164800 transcript:KJB20789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFNDDNNDDEGGEVVPELKLRVEGDDDVNEKRVSLRQRLLEECEAEENNSLLKEPGFSSQSRRSIWYWIKLGILLTFLGLLVAVFLKWVGPFFMDKELMPLIKWEMATFSTPMLAVLIFASVALFPTIFLPSSPSMWVAGMAFGYGFGFLLIISAAAVGVSLPFFIGSLFLHRIQGWLEKYPKKAAFLRAAGGGNWLHQFKAVALIRLSPFPYILYNYCAVATHVEYGPYILGSLVGMVPEIFISIYTGTLIQTLADVSQERHGLSAVQILLNVGGFLITLLAIIVFTVYAKRQLRKLQREEELV >KJB20787 pep chromosome:Graimondii2_0_v6:3:43451975:43454541:-1 gene:B456_003G164800 transcript:KJB20787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFNDDNNDDEGGEVVPELKLRVEGDDDVNEKRVSLRQRLLEECEAEENNSLLKEPGFSSQSRRSIWYWIKLGILLTFLGLLVAVFLKWVGPFFMDKELMPLIKWEMATFSTPMLAVLIFASVALFPTIFLPSSPSMWVAGMAFGYGFGFLLIISAAAVGVSLPFFIGSLFLHRIQVCALS >KJB20788 pep chromosome:Graimondii2_0_v6:3:43451975:43454541:-1 gene:B456_003G164800 transcript:KJB20788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFNDDNNDDEGGEVVPELKLRVEGDDDVNEKRVSLRQRLLEECEAEENNSLLKEPGFSSQSRRSIWYWIKLGILLTFLGLLVAVFLKWVGPFFMDKELMPLIKWEMATFSTPMLAVLIFASVALFPTIFLPSSPSMWVAGMAFGYGFGFLLIISAAAVGVSLPFFIGSLFLHRIQGWLEKYPKKAAFLRAAGGGNWLHQFKAVALIRLSPFPYILYNYCAVATHVEYGPYILGSLVGMVPEIFISIYT >KJB21033 pep chromosome:Graimondii2_0_v6:3:45137676:45147745:-1 gene:B456_003G179500 transcript:KJB21033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQFDTASQPDPATDAYTFLEFNTQGESDFEYTDFRDPIRSWPTPSDATVADRSASDHHSDTPASSSPSSVPKGAGRGATSNNNNSNSNSVSNSGAVVDSLATGISGLNFEETVGDEDGGYEYGKGDFAEHACRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKIPSEKEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNALPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVQHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGAKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLILLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTINERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQALWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFFGGGPGIVPSDNFGSAASTSPNADRRGSRARGAYMPPGPPNGTHKPGVHPSGFPMPRVPLPPFPGPQPYAIPARGAVHGPVGAVPQVPQPGTRGFGAGRGNGGAPIGSHLPHQQGTQQNIGTIGSSFNFPLENPNSQPSVGGPLSQPGFVNNVQGPSQTFRDGFSMGGMSQDFLGDDFKSQGSHVPYNIADFSTQASQSGYAVDYVTQGAQGGFPGNFLNQNSQAGYSRFGSGNDFMSQDYMNHGSQGLFTQAGFNDPSHDDASQSHFGVSNPNQLQSQGLMNSLYSQSFSHYNTQPLNLPAPQQQPQQDQGSQNQKLHYNG >KJB20061 pep chromosome:Graimondii2_0_v6:3:38525283:38528100:1 gene:B456_003G130900 transcript:KJB20061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKIEIKVTNPKNGDKVVHSVRPGHATSPSPSSQPSQSSDGRRRRQGQGYGHGEGEGQRNRGREGQHAGRLIDFMPFKKWVPWMIPGFVLVNIFLFMITMYINNCPKNSKKCVGSFLGRFSFQPFKENPLLGPSSSTLEKMGALEVSKVVKSRQAWRMISCIWLHAGVFHILANMLSLLFIGIRLEQEFGFVRIGMLYLIAGFGGSILSSLFIQTGISVGASGALFGLLGSMLSELITNWTIYSNKFAALLTLVLVIVINLAMGILPHVDNFAHIGGFISGFLLGFVFLIRPQFGYVSQKKVPPGYIAPSRKPKHKTYQYVLWVCSLILLIAGFAIGLILLLRGVNLNEHCSWCHYMSCIPTPLWSCKNRQVYCQSTEFGKSLNLTCISNGKSEIYPLTEENTSQVQQLCSKLCG >KJB19871 pep chromosome:Graimondii2_0_v6:3:36732533:36733721:1 gene:B456_003G122500 transcript:KJB19871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLPSDICLKIFCFLDHQNLASALQVCRKWKVLGSDNTLWCNLFRERWGVDEAAFFAPQPLESTSWKHVYETQDRCDRVGLGLKIIKEGGDYFLVHQGKIQRYLGSRLKRKGVKECESSTTSNHVEEPCRGILDKILFFLGDLEAASADAKRGRLL >KJB19930 pep chromosome:Graimondii2_0_v6:3:37325820:37327711:1 gene:B456_003G125300 transcript:KJB19930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELRLVQGSLLKKVLEAIKDLVNDANFDCSATGFSLQAMDSSHVALVALLLRSEGFEHYRCDRSISMGMNLGNMSKMLKCAGNDDIITIKADDGSDTVTFMFESPTQDKISDFEMKLMDIDSEHLGIPEAEYHAIVRMPSAEFARICKDLASIGDTVVISVTKEGVKFSTRGDIGTANIVLRQNTTVDKPEEATIIEMNEPVSLTFALRYMNSFTKATPLSNTVTISLSSELPVVVEYKIAEMGYVRFYLAPKIEEDEDETKPQV >KJB17921 pep chromosome:Graimondii2_0_v6:3:2111397:2112949:-1 gene:B456_003G024400 transcript:KJB17921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFDNSAPHIFLSKLSHKYGSLVYLRFGFKPILVVSSAKMAKVVMKTHDLDFCSRPHRRCSHKLSYNSSDLAFSQYNDYWREMRKICAIHLFSRVQRIAFGKRYDEEGAERSRFHELLDESQAILSSFSFSDFFPYMGWLDRFTGLHSRLEKTFKELDTFYQQLIDEHLDPNRLKPQQEDIVDVLLQTWKDHDFSFDLTIDQIKAILMNLFIAGTDTAAATIIWVMDFLMKNPKCLKETQAEVRSLIGKRGFVNEDDTRDLTYLKAVIKETFRLQPIAPLLVPRETLRKCNIGGYDIPAKTLVYVNAWAIGKDPETWENPEEFYPERFIGSPIDYKGQHFELIPFGAGRRVCPGMHMGVAVVELALANLLYKFDWEMPIAMTKEDIDFDVKPGITARKKYPLILVARKIYD >KJB20021 pep chromosome:Graimondii2_0_v6:3:38196500:38198583:1 gene:B456_003G129300 transcript:KJB20021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSSYWCYSCTRLVRVVVLDSGSNDAVVCPYCDGGFIEEIESSDNHNRRFPAMYMITSNDNSRQNSNRARNLVFRRNRRGSVDRSNINPIIVLRGSTDDENGSTNGNSNINSGFGFFYDDGSGSGLRPIPTSMSESLMGLGFDRLLEQLSQIELTGLGQPENPPASKSAIESMPTIQIEKAHVCSETHCAVCKEPFELGSEAKEMPCKHIYHEDCIIPWLALRNSCPLCRHELPSDRSESNDEASETVGLSIWRLPGGVFAVGRFRGEREVPVVYTEMDGGFGESGSESGSGHGAARRVSWVGVRRRENGFRRVVRNVTSFLRGLRSQPSHRGNEESGGLTRSGSTSMFRRFTRSLSSSSSSVL >KJB19063 pep chromosome:Graimondii2_0_v6:3:20651414:20655846:1 gene:B456_003G083300 transcript:KJB19063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 18 [Source:Projected from Arabidopsis thaliana (AT5G41610) UniProtKB/Swiss-Prot;Acc:Q9FFR9] MASNTSVGQKCPSPMKATSNGFFQGDNPLDYALPLAILQICLVVVLTRGLAVLLRPIRQPRVVAEIIGGILLGPSVLGRSKSYLEAIFPPKSLTVLDTLANLGLIFFLFLAGLEIDPKALRQTGKTALAIALAGIGLPFALGIGSSFLLRATISKGVNASAFLIFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAVALSGSNTSPVVSLWVFLSGCVFVICLALIVPPIFKWMARRCHEGEPVEEIYICATLAVVLAAGFVTDAIGIHAMFGAFVVGVLFPKEGPFAHALVEKVEDLVSGLFLPLYFVSSGLKTNIATIQGLQSWGLLALVIFTACFGKIIGTVVVSLCCKVPLRGALALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMALFTTFITTPVVMAVYKPARRKMDYKYRTIERKNSDAQLRILACFHSARNIPSMINLFEASRGVAKREGLSVYALHLMELSERSSAILMVHKARKNGLPFWSKGWRSDSDHVVVAFEAFQQLSQVTVRSMTSISSMADMHEDICSTAESKRAAIIILPFHKHQRVDGSFETTRTDFRWVNRRVLEHAPCSVGILVDRGLGGTTHVSASNVSYLITVLFFGGPDDCEALAYGARMAEHPGISLNIIRFVVEPESIGEISTINMQENAGIETKSSAEEFLSRFRNLQKDDSVRYEEKAVRNVTEIIAAIRGADRSNLFLVGRMPEGELALALRRRSECPELGAVGSLLIAPDFSVTASVLVIQQYNGYTCPNLDPYMKEESPDKDSESS >KJB19486 pep chromosome:Graimondii2_0_v6:3:32399887:32408053:-1 gene:B456_003G105300 transcript:KJB19486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYRSLDSVTVKDVEALGISSELAQEIHKKVTEIVHNYGSATPETWNRISKHVLTPNLPFSLHQTLYYGCYKDFGPDPPAWIPDPESALFTNIGRLLERHGKEFLGSKYRDPISSFSHLQEFSVSNPEVYWKTVLDEMCIDFSVPPNCILRSPSEESLTLNPGGKWLPGAFVNPAKNCLKVNSKRSLDDMVIRWRDEGDDDLPVKSMTLKELQTEVWLVAHALNALGLNRGSAIAIDMPMNVYSVVIYLAIILAGYVVVSIADSFAPLEISTRLKISKAKAIFTQDLIIRGEKSLPLYSRVVEAQAPMAIVLPAKSSSFNIKLRDGDISWPEFLERVKKFKGDVFKAVEQPIEAFTNILFSSGTTGEPKAIPWTNGSPLKAAADAWCHMDIRKGDIVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPLSSGFSKFVQDAKVTMLGVIPSIVRTWKSTDCIAGYDWSSIRCFSSTGEASNVDEYLWLMGRACYKPVIEYCGGTEIGGGFVSGSFLQPQSLAAFSTPVMGCRLFILGDDGHPIPEDAPGMGELALGPLMFGSSSTLLNASHYDVYFKEMPSWNGLVLRRHGDVFERTSRGYYRAHGRADDTMNIGGIKVSSVEIERICNAVDSCVLETAAIGVPPADGGPEHLVIAVVFKDPDNSTSDLNKLRISFNSAVQRNLNPLFKVSHVVALPSLPRTATNKVMRRVLRQQLAQVDHNSKL >KJB20097 pep chromosome:Graimondii2_0_v6:3:38874632:38877886:-1 gene:B456_003G132700 transcript:KJB20097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCGASQENGLFSAVKVGDFETVEALLKREPSLLHHTTVYDRHSALHIAAANGQIEILAMLLDKSMNPDAVNRNKQTPLMLAAMHGKISCVKKLIEAGANILMFDSLHGRTCLHYAAYYGHFDCLQAILSAAQSSPVAVSWGYARFVNIRDARGATPLHLAARQRRPECVHILLDNGALVCASTGGYGCPGSTPLHLAARGGSLDCIRKLLAWGADRLQRDATGRIPYIVALKYKHGACAALLNPSSAEPLVWPAPLKFISELNDEAKLLLEQALMDANREREKNILKGTAYSLLSPSPSHSDSGLDDSISEVSDAELCCICFEQICTIEVQDCGHQMCAQCTLALCCHNKPNPTTASVTPPVCPFCRSTIVRLAVAKIKDCDGDVGEDGSSPKVRRRRKSRNFSEGSSSFKSLSAVGSFNKMGRIAAENEWIDKP >KJB19809 pep chromosome:Graimondii2_0_v6:3:35987786:35989501:1 gene:B456_003G119800 transcript:KJB19809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQILKSLIWFGKTPFQVVMDTCGSVQELETPDEGICSNTTGLCLLSPVLEINEEDCPLDDKIDSEEEETFFDSQAWLRSDCEDGVSSNPGEEPPPALEEKKELVELLEDPFWSDLLIQHPTKVVSIYRDNIQLVRFFQDCSWTSEQSFKNHSIVKSSILVKIPKEFNGLSKSRNNSLHGKERVQKNKSNSKEKSFCCIPVV >KJB18458 pep chromosome:Graimondii2_0_v6:3:8319150:8320732:-1 gene:B456_003G054100 transcript:KJB18458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRPPPCSRDKNGLKKGPWTPEEDRILVDYIQRHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGRFSEEEEHTIINLHASLGNKWSAIATHLPGRTDNEIKNFWNTHLRKKLLQMGIDPVTHRPRTDLNILPNLPQLLAAANFTNLMMSNIPLDAAHLAKLQLLHNIIQVLGTTPTMEALNFLAGPTFGENHEFSNLSLGFAPQELTQLQSNLLNLEAPQQHQPEVTEYHNQPMKDSNNHQFPSLSPANIPPPLTQSQLPALVPASPERRPQTENKNINPINISNPSSTSTTFEGWGDLMDDEESDSYWRDIIDQASSQPWPFS >KJB20225 pep chromosome:Graimondii2_0_v6:3:40162427:40165436:-1 gene:B456_003G139200 transcript:KJB20225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASREVSTMIKQGFISDPTLSLSFSPSRTTLSSRPYSPSSSPPPPPPPPPPTESARSSHNNPTLFEMMSEEALRGSKTIEESRKKTQRRVAKFLTELKAYSFKNGGLGLSDVKLTVVSRDGYRVAMDVHRRVLSEKSRFFAEKLSQRREKGVSHTVEISECDDVEVYVETVVLMYCDDLKKRLIGENVTKVLALLKVSAAIMFDAGISSCLEYLEAVPWSEDEEEKVVSHLSQLELDGSMTEVLQRVSSEPSTSARSDGILLNLLSGVLQAKDDKARREMKTLFSRLLREDSFDDDNRFDVSKDTLYHLCHRCLSSLVLCLSEAMAIDGSRRDRGVLMAEIAREADNLQWIVDILIEKRMANDFVKLWADQRELAELHSKIPTMYRHGISRITAQLCIAIGRGNILVGKESRFSLLATWLEALYDDFGWMRSASKSVDKKLVEDGLSHTILTLSMRQQQAILLKWFDRFLNKGDDCPNIQRAFEVWWRRAFIRQYTDAEDSSQLQITVCSYPS >KJB19337 pep chromosome:Graimondii2_0_v6:3:29901669:29902226:-1 gene:B456_003G096000 transcript:KJB19337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSTLFPTDPQTSEESSSFNYVRTIKPKLGNNFISLKTTNSSLKKRCNLKIIIIQITSNYSKKFRTSSPLTVSHENIR >KJB20233 pep chromosome:Graimondii2_0_v6:3:40214861:40217558:-1 gene:B456_003G139400 transcript:KJB20233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTQQRTAPQSSFRRSIIYLFITMILLYILYPNLLLTDNDRNKGYPTTTNLSTKVEPSLNHSSVTELSTTKTVVEKEPLENTRPAPAVEMIEQPPGNYHRYDTQLKHIAFGIAASSNLWETRKEYIKAWWRPQETRGVVWVDKNVRTRRNEPLPEIRVSQDTSKFKYLNQQGSRSALRITRVVSETLKLGMKDIRWFVMGDDDTVFIVNNVVRVLSKYDHTQFYYIGSASESHIQNIFFSYAMAFGGGGFAISYPLAKELSKMQDMCIQRYPALYGSDDRIQACMAELGVPLTRELGFHQYDVYGNLLGLLGAHPVTPLVSLHHLDVVEPIFPGMKRPKAISHLLEAAKEDSASLMQQSICYDSTRYWSITVSWGYVVQILRGVMSPRELEMPSRTFLNWYKRADYTAYAFNTRPVERHPCQNPFVFYMSKVKYDRPRRQTIGVYYRHKKKSRYCRWRMASPERLDSVVVLKKRDDLRWKKSPRRDCCRVLPSDKNTTMILSVGNCREGEISELQTTKELFVAQM >KJB17728 pep chromosome:Graimondii2_0_v6:3:817229:819813:1 gene:B456_003G012700 transcript:KJB17728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLSHKFSTIPINFIPKQFSKHLPQQIQSQTTPPFIPFSQRQNQRSLLESQLISALNCCTSLTQIQQIHARILRKGFEQCCYVLTKLIRILTKMEIPMDSYAKLVFNQVENPNPFLCTALIRGYCLQGLVKESVFVYSEMRKKSVLPISFTFSALFKACGVVNDVDLGRQIHGQTILIGGFGFDLFVKNSLIEMYVKLGFLGCGRKVFDELPERDLISWTELIVGYVKAGDMESAGELFSELPKKDMVAWTAMVTGYAQNAKPREALEFFERMLNEGVETDEVTLVGVISACAQLGAAKYANWIRDIVENLGFSPTRCVVVGSALIDMYSKCGSVEDAYKAFKVMEEKNVFSYSSMIAGFAMHGYAYAALELFHEMVKIGIKPNKVTFIGVLTACSHSRMVEQGRQIFESMEEKFGISPGVDHYSCMVDLLGRAGCLEEALNLAETMPLEPNGGVWGALLGACRTYSNPDIAQIAANHLFELEPNAIGNYILLSNIYASAGQWNDVLMVRKMMREKGLRKNPGCSWLETKKGVIQEFFAGDMSHPRYGEMKQVLEDLLNRLKAIGYQPNMNSIAYDVSDEEKRRILITHSEKLALAFGLLDINADFPVRIMKNIRMCEDCHSFICGVSQITRRVIIVRDNLRFHHFHDGKCSCGNFW >KJB20508 pep chromosome:Graimondii2_0_v6:3:42049994:42052206:-1 gene:B456_003G152300 transcript:KJB20508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIGHAPGNALFGKYEIGRLLGCGAFAKVYHARNLRTGLSVAVKVINKKKLPSLTMMSNVKREISIMSRLNHPYIVKLYEVLATKTKIYVVMEFVKGGELFAKVAKGRFTEDLSRKYFQQLISAVGYCHSRGIFHRDLKPENLLLDDNGNLKVSDFGLSAVTDQIRADGMLHTLCGTPAYVAPEILSKKGYDGAKVDVWSCGVILFVMNAGYLPFNDPNLMAMYRKIYSGEFRCPKWMSSDMKRFISRLLDTNPVTRISLDEILKDPWFRKGYKEPKFHEEQPKDDAQASSSKLNAFDLISFSSGLNLSGLLDESHDYGERFILRESPEKLVEKVEQLGKGERMKVKKKKQWGVELEGKEGISGVAIEVYQLTDELVVVETKRTGSDAQWYKELWNNKLRPALVGN >KJB17717 pep chromosome:Graimondii2_0_v6:3:770168:772337:1 gene:B456_003G012000 transcript:KJB17717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA small subunit methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66360) UniProtKB/Swiss-Prot;Acc:Q9FK02] MLFKPKSVRTHFFGLIFFQNYKRNLWVKPSHRYGKYNEEDDDDKDPKLRNTKENQLDHFYLYKSKGQHLLTNTRILDAIVRRSNIKPTDTVLEIGPGTGNLTVKLLEAAEKVVAVEIDKRMVDVLHKRVAENGLQERLNVICKDAMKVEFPQFDLVVANIPYGISSPLIAKLVYGRNPFRSATLLLQKEFARRLLAEPGDSEFNRLAVNVNLVADVEFVMDVSKREFLPCPKVDSSVVIIRPKPELPDVNLNEWRAFTRTCFGKKNKTLGATFKQKKKVMQLLKLAKTTSLNKENPPTGNNYECDESYDGKYEDEDTDGEECFASSTSDLEMNLFKEKIVGILRKSGFEDKRPSKVSNEDLLHLLSLFNQAGIYFHDHIKPNDLGNANAAYLS >KJB20320 pep chromosome:Graimondii2_0_v6:3:40890050:40890632:1 gene:B456_003G143500 transcript:KJB20320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARIRFVALALAILMMVSYCLAAKVIKVDMLKHENTAVNGGRRLLHDDSNAKSGYAKESSVNNHHYIPRNDFNNYPGGNEDGNG >KJB18497 pep chromosome:Graimondii2_0_v6:3:9032152:9032484:1 gene:B456_003G056200 transcript:KJB18497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKENTKKNATTLQIIQQGVSKPIYLRIFNINSAQKVWGALNKEFKGASKVISIKLQNYWRSFDNLSMKENESIKDFSSRVAKIVNQIKGCGDTIPEKKVMERILKFLL >KJB17841 pep chromosome:Graimondii2_0_v6:3:1385585:1386745:1 gene:B456_003G018500 transcript:KJB17841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYDFSRDILFEILSRAELKTMKKCRVLSKECNDLTYESSFMRLHSARTSTMVGYLLQSSRVPTQYLVSIADSVKPTAYSPYVTYFLHHRFRVVAAANEGLVLCSLSKFGGDSFYVYKPTCNEGGEVLPPPNPRFWRTKISMLVLRSNPLRFKIVRLSDSKDDAVDLDSKYSDEEDNFNVLSNEKSWHCEIFDSKSWEWKQSDDLKLTCHYSFMNSQEVSVCGGLHWLMFNDQQDKYTILSFDGDKEEWTMTSLPDSLGRKTHQDDQIAHVSCEGKLGLINHSYETKMLDVWILNHEKIWVKKHTINLKSFNEKVLPSPFLSFSFYSADTLFMMDLGCKYSVWVYYYNFKTGELKEIYAGKFIESAYFIQTDSEPVQLKPEHHIY >KJB18470 pep chromosome:Graimondii2_0_v6:3:8464685:8465878:-1 gene:B456_003G054600 transcript:KJB18470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPKPSNTFFYLSFPSISPMANTNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLGLFLPEEYPMAAPKVVSIELFLMFSMIFMFWHLLEYA >KJB19231 pep chromosome:Graimondii2_0_v6:3:26493399:26494975:1 gene:B456_003G090100 transcript:KJB19231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRMLGQECFDLKNKAISHLQDFFLNSINSDISAIDLCDEIPTIDYSLLFSENHDERAKSLEHLGKACQEFGFFYLINGVEQSVEERSEYLKKNPMDRIRWGLRSHAEENREYLKIVSHPEYHCPSKPASFRGAIGEYFKRMHEVELGLAKAISKILGYEETYIEKEFKLEVGFDVSAMNLYPPSFQSKGSIGVPEHADPGFFVSLIQDVNGGLQMLTHKGNWVTVNIPRNAIFINLGDHLEILSNGKYKSHIHQVILDNNEVNRISMATLHGPSLDIFVAPASRFIDESHPPTYRGMTYKESLELNGSDEIDVQSSLSLLRIPFSL >KJB19230 pep chromosome:Graimondii2_0_v6:3:26493442:26494971:1 gene:B456_003G090100 transcript:KJB19230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIRWGLRSHAEENREYLKIVSHPEYHCPSKPASFRGAIGEYFKRMHEVELGLAKAISKILGYEETYIEKEFKLEVGFDVSAMNLYPPSFQSKGSIGVPEHADPGFFVSLIQDVNGGLQMLTHKGNWVTVNIPRNAIFINLGDHLEILSNGKYKSHIHQVILDNNEVNRISMATLHGPSLDIFVAPASRFIDESHPPTYRGMTYKESLELNGSDEIDVQSSLSLLRIPFSL >KJB18567 pep chromosome:Graimondii2_0_v6:3:10668691:10672680:-1 gene:B456_003G060700 transcript:KJB18567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAVNASFYSLELLANKYGSRLTTCPGTIETSLCIGPIHFNCYPNFMVSLTEKNILQSLTLQIHTHNYKMLPETDTTRSHTTIPRTIQWQKINLPGKWKLEGATDPVAPTPIRNTSLSEISQHQDGTVELKFNRPQRMPPRYSFEIGSTNTAFRRLNIEEESNPETQTVDFRTARASVSSIPTTFRSNLQGIDNSSNIVQPIYARQEESPQNSPNMSPTYSSMTNNAVRPNICSAHFLITIKTKIIKSQAVHNHTDQIDTAQT >KJB18641 pep chromosome:Graimondii2_0_v6:3:12562936:12570898:1 gene:B456_003G064300 transcript:KJB18641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGKHTALLVIDMQNDFILDDGLMRVNGGKAIVPNVIKAVEIARQRGILIVWVVREHDYLGRDVELFRRHLYTPGKEGPTTKGYLGAQLVDGLVIKEGDYKLVKTRFSAFFATHLHSFLRSNGVNNLVVVGVQTPNCIRQTVFDAVAHNYQPVTVIVDATAAATADIHDANIYDMRNIGVATPTLEEWYETKL >KJB19805 pep chromosome:Graimondii2_0_v6:3:41509119:41516729:-1 gene:B456_003G147600 transcript:KJB19805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIIVSSSALPTRSLNSFPTHSERSNKLTSLSWASSFPSINISINSFTLPPAPSLNKGHFVQAAWTRRSRGEAAKQPNRKSWKQRTDMYMRPFLLNVFFSKKFIHAKVMHRGTSKVISVATTKAKDLRNNLASLTDHNACKIIEKLIAERSKEADVYAMSYEPRKGERIEGKLGIVLDTIKENGIIFV >KJB20903 pep chromosome:Graimondii2_0_v6:3:44267474:44268258:1 gene:B456_003G171300 transcript:KJB20903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGPHLITAVAKFYLLSSTPSFSPLIVGLICPFALKYCINLRVGVARLYIDLIHAGRLFFFQLNRIVLEADHQPAAAPAGNGSRWQRALRLVCRRIITHARRSPPALEFNVASFHTLTMLSL >KJB20862 pep chromosome:Graimondii2_0_v6:3:44015801:44018018:1 gene:B456_003G169700 transcript:KJB20862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAEGKAIGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPSNTVFDAKRLIGRRFTDPSVQSDMKHWPFKVIAGPGDKPMIVVTYKGEEKQFAAEEISSMVLIKMKEVAEAYLGQSVKNAVITVPAYFNDSQRQATKDAGAISGLNVLRIINEPTAAAIAYGLDKKASRSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISSNARALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDSKLDKSQVNEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGDDKVQDLLLLDVTPLSLGIETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELTGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEEIERMVQEAERYKAEDEQVKKKVEAKNALENYAYNMRNTIKDDKVGGKLDPSDKGKMEKAIDETIEWLDRNQLAEVDELEDKLKELEGLCNPIIAKMYQGGGGGGDVPMGGAEAGSGGSAAGPKIEEVD >KJB17918 pep chromosome:Graimondii2_0_v6:3:2056187:2058334:-1 gene:B456_003G024100 transcript:KJB17918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIELDEAMLQGQAEIWRFLYSFTDFMGLTSAVELRIADIIHSNGGAATLSKIASCISDGLTSPDITALARIMRLLVRRKIFTIHPPSDGGDPLYNLTHSSRWLLHDSEQTLAPLVLLENHPWLMAPWHYFSQCVKEGGAAFKKTHGCEMWDLASRDPDFNKLFNDGMACTSKFITSAILSGYKQGFKSIGSLVDVGGGTGGLISEIVKTYPHIKGVNFDLPHVVSMAPAYNGVSHIGGDMFHAIPNTDAIIIKECIKILRNCKKAIPRENGKVIIVEIILKEDGSGVFDDIGFVMDLVMFAHTNGKERTEAEWKKILEGGGFSHYKIINIPALVSIIEAYPDAQ >KJB18363 pep chromosome:Graimondii2_0_v6:3:6789047:6790830:-1 gene:B456_003G048800 transcript:KJB18363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQLMMTREDGSSSAAVAEAVPSSSLMLSDVCRDREMSVMVSALTHVVAGDVPADDEELSGNEYLDWRSNNINPSLGFCGIKRGREEVNGCATGGGGVGRMAVESVANLCSQFVHLPHGGSSSAVAGVTEANTPAQLVPTYEYNSNENNNREEPRRRYRGVRQRPWGKWAAEIRDPFKAARVWLGTFDTAEDAARAYDEAALRFRGNKAKLNFPENVKLRSVPPNQTATHFPISDSPNTHFSIPTSTEPIVHHSQSHYSVPNPQVAGGYLDYSQLFLGSSTSSFPREQQLQQLRQNQPIYPYDRTVLSSSVSSRAQSSSLSSSSPASPPTSYPIVFPLQASGYQFNLGNSSQGGGIGDFSVHSWSSDSSQYPSTTK >KJB18634 pep chromosome:Graimondii2_0_v6:3:14783739:14784596:1 gene:B456_003G069000 transcript:KJB18634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSMTTNGEVETIEKFLDSDEAKISKLVNKIEGLESEKIKLTNENKDMMEQMKKLNHEMDHLQKRDEEMRLEMDEWDEDSSFLESIAARSANLETEVTRLQHDLRTSTREVGEAKKEVIELKKALEEKALVIERLRHEIAELRKEKVKSEKKGRELETKIGILEVRVTEERGKKSRVQEEMKERIDELKKKIEDLEADVAKLSIELKRRKEEKRQCEEKAMGLEFNMLALKDMVDEKTNEAKSGKVKDIGCTDKRLEVPIATVGTVAAIAVVVAVVYRCCRKRS >KJB19356 pep chromosome:Graimondii2_0_v6:3:30120144:30121777:1 gene:B456_003G097200 transcript:KJB19356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEASPEPAEANPPTEDMDLENLDSSAQNPNAGDDANGDSKSKRGREEDGEETEDVSKKQKLEKSVEEERLEKKSDESPESGRVRLGPKEFGSSSEMLDYFCYLLYHWGTQLNFNKYEHMVLLDLLKKGHLEPERKIGGGVKAFQIRNHPQWKSKCFFVIRDDETMDDFSFRKCIDHILPLPEDSKGKSNSNRASGGGGGWRGRGGKEGGRGRGKGGKSRN >KJB19565 pep chromosome:Graimondii2_0_v6:3:33306540:33307831:-1 gene:B456_003G108900 transcript:KJB19565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMGCLLLKPVSLPKRTAIPRIICSCTLWLDTFHFLTRFLGIEASFLIFFLTIPSCQKWMNLIRHPNFTTPPSCHYFLKFARPLIRPKALNITQLYAICLL >KJB17524 pep chromosome:Graimondii2_0_v6:3:221797:223269:1 gene:B456_003G003600 transcript:KJB17524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKALLVINCVILAIGNCGGPLIARLYFIHGGNRIWFSSWLETAGCPIILLSIACAYMHRSRTADPTSENKFFYMERPLFLAAVVLGIITGLDNYLYAYGLSRLPVSTASLIIASQLAFTAVFAFLLVKQKFTAYSINAVFLLSIGAGVLALHSSSDRPANEPNREYILGFVMTLAAAVCYALIMPLVELTYKKAKQEISYALVMEIQLVMCLVATVVCTVGMLAKNDFSVIGREAREFELGETKYYVIVICSAIVWQGFFLGGIGLVFCWSSLLSGIMIAVLLPITEILAVIIFNEKFQAEKGFSLALSLWGFFSYFYGEVKQSKKKKPDPEIEMDSSLPPKTLESNV >KJB18611 pep chromosome:Graimondii2_0_v6:3:11858132:11861277:1 gene:B456_003G063200 transcript:KJB18611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIFDIYFKTPIVHGMDLVSYRFSPPAKRLVLLVDGLDMFDGTDGHYFHTGSRAHHSVWDSRLFNYGS >KJB19355 pep chromosome:Graimondii2_0_v6:3:30117340:30120027:1 gene:B456_003G097100 transcript:KJB19355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNAPHHCLSFLTSCKTLKILKQIHASLVKTGHHSDPFFAGKLILHSAVTVPGAVHYARRFFLNFPNPDVFMYNTLIRGFSESGIPQNSIFTFIDMCGKSLVPPDSFSFAFVLKAAANHGSLRTGIQLHCQALIHGFETHLFVGTTLISMYGECGSVYFAKKLFDQMREPNVVAWNAVITACFRCGDVKGARKMFDMMPFKNSTSSNVMLAGYAKAGEMELARKVFWEMKVKDDVSWSTMIVGLAHNGFFDEAFAYFRELRMIGLRPNEVSLTGVLSGCAQVGASEFGKILHGFIEKSGFNWITTVNNALVDMYARCGNVGMARLVFRSMLHKSVVSWTSMIEGLAMHGYAEEAIRVFHEMEGCGIRPDWITFVSLLYACSHAGLIEQGCNYFSRMKDVYDIDPTVEHYGCMVDLYGRAGYLQKAYDFVCQMPITPNAIIWRTLLGACGIHGNVELAEQVKPRLSDLEPYDLSDNVLLSNIYAVAGKWKDVATVRRSMTDQKIKKTPGWSMIEVDRTMYRFVAGEKTNKTTAEAYEKLKEIIKRLRLEGGYVPEVASVLHDVDEEEKEDSVSKHSEKLAVAFGISRLCKGRVIRIVKNLRICRDCHTVMKLISKVYGLKLVVRDRSRFHSFDDGACSCKDYW >KJB17535 pep chromosome:Graimondii2_0_v6:3:3934083:3935566:1 gene:B456_003G037500 transcript:KJB17535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELPLEEDSLVLSQDVKTGLILVVVVNGFCTVGAGNLAPMKPDKQISDMVEESARLARLLCERKWPVFAFLDSHLPDIPEPSFPPHCIAGTDETRLVPALQWLENEPNATLRCKNCIVGFIGSIQEDDSNLFVDWVKNNQIKTVYTQICVLDFVSSALNRRILTPLEDVIAYSSAFATFDLPVHVARNISGALVHPQDLMHHIGLYMAKGRGARIVSEVSVAAL >KJB20195 pep chromosome:Graimondii2_0_v6:3:39713762:39717062:1 gene:B456_003G137700 transcript:KJB20195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKVFGFLCWVSSILCLPLFARGFTPADNYLIDCGSLTNTTLGDRVFMADNLASKLLSASGNIVGNTSKAVTSSDDSPLYQTVRIFTGVSKYTFPISQRGRHWIRLYFYPFVHASYNMSMAKFDVSTENHVLLSSFSVQSLVVKEFSVNVTTNSLAITFSPSENSFAFINALEVVSVPDQLIPDSAGLVESSTGFQGLTWQALETVARVNMGGPTVSSENDTLSRTWVPDQPFLLGKNLATSVSKIKAVKYVDGGSTREIAPNTIYGTCTRMNSMNDSNSNFNVTWEFNVDPGFQYLVRFHFCDIVSEALNLLYFNVFIDSSIIERDFDLSTYLVNVLAAAYYKDYVTGPATSNKIRVSIGPSNIHGTYPDAILNGLEIMKMNNSDGSLSGLGTVNTSSSSSKKRVGAIVGVTAGVACGVLLAGVLFMYCRKQRQLSRQRLSRTWIPFSINGGTSHTMGSKYSKGTTISLISNTNYHVPFLAVQEATNNFDESWVIGIGGFGKVYKGELNDGTKVAVKRGNPQSQQGLAEFQTEIEMLSQFRHRHLVSLIGYCDEKNEMILIYEYMENGTLKSHLYGSGHPSLSWKQRLEICIGAARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWAMKWQKKGQLEQIIDSSLKGNIRSNSLRKFGETAEKCLADFGVDRPSMGDVLWNLEYALQLQEAVVQGDAEENSTNMIGELSPQINNFSQLDPTGSAKFEVSSVDDLSGVSMSKVFSQLVKSEGR >KJB18554 pep chromosome:Graimondii2_0_v6:3:10303052:10303886:-1 gene:B456_003G0595001 transcript:KJB18554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSKFPVMWNYWLVVLLASWVCSVSSTVSYDSKAITINGQRRILISGSIHYPRSSPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPAPGKYYFEGNYDLVKFIKLVKQAGLYVHLRIGPYVCAEWNF >KJB18812 pep chromosome:Graimondii2_0_v6:3:15684437:15685467:1 gene:B456_003G070100 transcript:KJB18812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISIPTQVLPGLLGEFHCRRLLLTPTTASPPSPEIHHNSSDLYTRNNSFDANIVMVLSVLLCALICSLGLNSIIRCALRCSSLVASESGATTSSRLANKGVKRKALKTFPTVNYSADLKLPGLDSECIICLSDFTAGDRVRLLPKCNHGFHVRCIDKWLSAHSSCPKCRHCLVDTCQKIVGCTQASSSEPPPVQETILSIITPVDREGFIHSYR >KJB19825 pep chromosome:Graimondii2_0_v6:3:36098536:36099159:-1 gene:B456_003G120200 transcript:KJB19825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLGMKDNFEPALSTNKEAISNATMNIMESSILAQDERCIENDTKDLYLFINSPGKWVIPGLAIYDTMQFLQPDVHTICMRLAASMGSFLLAEGEITKRLAFLQVRRR >KJB21055 pep chromosome:Graimondii2_0_v6:3:45225641:45228427:1 gene:B456_003G180600 transcript:KJB21055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPSLPPGFRFHPTDEELIGYYLKRKTEGLEIELEVIPVIDLYKFDPWDLPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATKAGYWKATGKDRNVVCQSAVSGYRKTLVFYQGRAPLGDRTDWVMHEYRLSDQPETSTNQGAYALCHVMKRNESKASDTNGEFRATRVKTRSTNTKLTSTWTCNKPLSTSGDISCQTCYPNSESHYSSPITSPPHDVTQLQPFEPISVNIDLANVWLSPDLILDSSKDYPQICETAAQYFPQYEFPSTLTPWQQYEHSNFSPSTLYSNFGEIEHVNDLGLYSGHANNMDFYDNEGYGQIGSFNV >KJB20976 pep chromosome:Graimondii2_0_v6:3:44725968:44726918:-1 gene:B456_003G175700 transcript:KJB20976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETDSYTKHHQLLKQNQTNPNKHNTSFLYKKAVVIIIFLVIIPVFPSQAPEFINQTLLNRSWELLRLLLFGIAVSYGLFSQRNDETDTEPNNNQSMFDNVQCLVSRFLQVSSFFDDEAENMSGSDESKVEIWSSQYYRNELPTVLVAKKKGDGEIEKPLLLPVRSLKSSSVKEVNNGENSVVLPSLIPWRSRDGDIVKNKTSYRSPSPPPPPPPLLTQRDYVDGDIEHGMETSDDDDGTESENEDEIVGQTCIVSSTNNGENNEEAVGPSKGIDGGSDVDKKADEFIAKVREQIRLERIDSIKRSSGQTKRNSTR >KJB19748 pep chromosome:Graimondii2_0_v6:3:35680194:35681299:1 gene:B456_003G117600 transcript:KJB19748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSIGCLKQLTNPLRGKASYATSTPPKLKSYSPTADFGYSKDAKPPKKVRGDMVPVYVAIGMIALSTSLGLHTVVQQLKNSPHVRVDKKRRESLPEVEEPDRVLDETDRFIKQSFFRKVAHIQKDDDHFAIDDPTRADVFAVTPTSRAETLRSVGVLDG >KJB19672 pep chromosome:Graimondii2_0_v6:3:34599860:34602370:1 gene:B456_003G113500 transcript:KJB19672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSELATERSHSKPLGIRCWEYIKRAKLSYKTHQAVVLIITFFAYTTYHATRKTTSIVKSALDPQSSAAGFKFSWRITYLSSPAETQRRSWVLGDGWAPFNGSDGTALLGQLDVAFLAVYAIGMYFSGHLGDRMNLRIFLTVGMIGTGLFTSLFGVGYWANIHSFYYFLIVQMIAGLFQSSGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSIGNITGSLIASTLLSYGWGWSFVVPGLLIAFMGILVCLLLPVSPESVGADRQEEEEDDAVDSPKKNKEGVTEPLLGLHTEMKENAVGFIEAWRIPGVAHFAFCLFFAKLVAYTFLYWLPYYISHTAIEGKYLSDETAGNLSTFFDVGGVLGGILAGHISDRLDARAITAATFMYCAIPALYFYRSYGHISLAMNIALMFICGMFVNGPYALITTAVSADLGTHSSLNGNSKALATVTAIIDGTGSVGAAIGPLLTGYISANSWSAVFTMLMAAALVAGLLLTRLVVAEVAARISETRSQGRSQSRSQEPELNV >KJB19531 pep chromosome:Graimondii2_0_v6:3:33073402:33074496:-1 gene:B456_003G108100 transcript:KJB19531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQLPNLFSFQTLKHRRIATLKTPSPHINCLAIHANLLYASSANEISVYSLSHHSFVDSFNHDPTTGFVKSIAFNQTKIFTAHQDGKIRIWKIDTLYKNHQLISTLPTVKDKFLNFMLPKNYVNVRRHKKKLWIQHWDTVSGLMVNDAKGLMYSVSWDKSFKIWNVKNQRCLESVKAHEDAVNTLAVSGNGTVYTGSADGLIRIWEMTERRHSLVGTLDKHKSTVNALALNDEGSVLFSGGSDGRILVWEKRDDENEVGYVESLWDHKGAILCLINVGEWFVSGSSDGTVRVWQRGGKEGGGFHCSIVLEGHEKPVKSLVAVETSVLEGVFSLCSGSLDGEIRVWEISICSNFKIPMNSIKLA >KJB18163 pep chromosome:Graimondii2_0_v6:3:3858260:3859192:1 gene:B456_003G036800 transcript:KJB18163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLDNPPAKPGGGGSKEETQKGGSRKTSSTRTQDQALKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKKMKSSSRLSSGDPKGSTSEMGGGLKFFHDISPAMDFQLGGLSFQSPATGIYNQFASFGDGNNNSSVGSPLMGFSNYPQPFPSVTTNLTGAIPDMGSSLNSLSSINQDLHWKLQQQRLATMLFDGGEQNQAQQQKPLPILFQNLEVSKPENVSTMENPRKSNETAKEWFFGNSYATPTTSSNGNDNNNTSNWNGVQVQPWNDLNQYTTLP >KJB20929 pep chromosome:Graimondii2_0_v6:3:44392927:44393298:-1 gene:B456_003G172800 transcript:KJB20929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWKRFVESKNNLFKTDKVFEWNDSAGLKAFQEAKQRFWEYYHRFPCTNKLLSNAADMYIDDIDWNSKIDPKLFLEINSISDDEEEEEEVKRIDSFSIPLKQIKATRWEYDEPTSRLPTIVGS >KJB20512 pep chromosome:Graimondii2_0_v6:3:42092165:42093973:-1 gene:B456_003G152600 transcript:KJB20512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLAKARVELEQLYLGIPDDSVNLTFQDLADMKQKASASDNKRSTSAMDPLQQDNAPTPKQLGSSLTKLPSLDFSRGLQGTNHHHKHYVHDTDNFDSHMGMDHHRAWKDQTQRTSPRGHTEFRHGVSYDDVSVISMASNYPERGQRRRPGIPHSNICTICSTYIYIFRHRCLVCGRVYCRQCVCIGMGEMAEGRKCVLCLGRRFSPRYIKRAGKMGCCWRYPSMVKQAELKWAEKGPRRSGERADGRSTVTLSMMSRSRSPQRTPTGTRTTMATTTSSTPSFVATSPAYSPYTHHHLPI >KJB17543 pep chromosome:Graimondii2_0_v6:3:268060:271368:-1 gene:B456_003G004900 transcript:KJB17543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFIGLSASQSQFLYRCSLPIFSPAFISSSFHLKKQAPVRRLLVLPIKCSSSGPSQDTESEAETTSVPSSSSFSSSLASSNSTYNWRAGIGAVGIIETAYLTYLKLSGSDAFCPLGGGSCGDVLNSDYAFIFGVSLPLLGLIAYGFVTTLSLQLSAKNLPFGINETTGRLLLLGSTTSMATASAYFLYILSTKFAGTSCSYCLVSALLSFSLFFISLKDVGLQEVQKVVGLQVCIASLVFATLSTSYSNLPSAPSSQANIDLPYFTTEITKESSPFALSLARHLHSIGAKMYGAFWCSHCLEQKEMFGREAAKLLDYIECFPDGYKTGTKMIKACADANLEGFPTWVINGQVLSGEMELEKLAEVSGFQFNE >KJB20730 pep chromosome:Graimondii2_0_v6:3:43044038:43045244:1 gene:B456_003G161500 transcript:KJB20730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKGKCGIGVNAEGPPHLQPGSTVEIKPPEFGYQGAWYTATIIQRNTPIPSRRFMVQFTHLFQDQKTGERPLRMFSVSHIRPQLPPLRPRKFKQGEDADAYHKYGWWEGVILQEWNNDNYLFMFHSDNQSPKYVVFGVNQLRLHRTWFNGYWVPPVQESELAVEEVQREEEYNEGDLVEVRNDEDGSNRSWFAAIIAKPVGNKRYLIQYVTLETEDNTDFLEKEVDTSHIRPRPPDIVVPDQFVMLDQVDAFYKGGWWKGVIIKVLSGDSKYHVYLATHWIDGKWTKPSPGVCISKPFCISVDKCSSVIFMYKFLTDVRFILFAAVI >KJB18344 pep chromosome:Graimondii2_0_v6:3:6481727:6483024:1 gene:B456_003G047700 transcript:KJB18344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSRTRRYTLFLLWLIILSHQIRPVVCEGNQYNEEKPSLFQLVSNTFSLLKKSHQSSWEKVKTIIHEFQLQFTPPSLDFRGTGGKDPGKASDGTGEKVKEAVKDSIETSEAIAEETAKTAAEAVHKTDEKVKESASSKPDDSHEEL >KJB18393 pep chromosome:Graimondii2_0_v6:3:7311768:7312844:1 gene:B456_003G050500 transcript:KJB18393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVGTNNLKLIEDPFYLGLRQPRLEGEEYLSIIDEYIEAVLTRWPKAIVQFEDFQMKWAFETLKRYRERFCMFNDDVQGTAGVALAGLLGTVRAQGRSLDDFPNHKIVVVGAGSAGLGVLSMAVQVVVRMTGNAETAAQNFFLLDKDVQFCTSFLAFFILFVQSLLCSFRYVMSFLLCNEDVDFMN >KJB19104 pep chromosome:Graimondii2_0_v6:3:21577557:21578541:1 gene:B456_003G085200 transcript:KJB19104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNMFIFLKSLSRSVHGDEEPQEQPELSKTDGGSNDDDLCTSLTVWRKSLLISCNGFTVIDSNGNLVYRVDNYMGGRTKELVLMDGKGNSILTMRRCKNLRLVDTWVIYGGEVGDHCTSEKPIFYVKKCINILHTNKSNVLAYVYRPPSDKRYAYTIEGSYSHRSCKVVNEKKRVVAEIKRKDATSGGICFGLDVFVLIIKAGFDPGFAMALVLLLDQMFS >KJB18752 pep chromosome:Graimondii2_0_v6:3:14300843:14302105:1 gene:B456_003G068100 transcript:KJB18752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCRTIFRQITPAFSFRTTNSEQNSVLYLLNAASKFILTVGPFGAVHLPDCNLRPVKWHSQNRCSLVSAPL >KJB20711 pep chromosome:Graimondii2_0_v6:3:42943752:42946553:1 gene:B456_003G160500 transcript:KJB20711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVKKEKEEYYYEDLIEEDRSSASPSSFSSSSFDTITTSPSLQGRVTGPTLWSMKGGWTKEEDNLLIEAVKKCNGRNWKGIAEFLPGRTDILCLHRWQKVLNPGISKGPWTKEEDDCITRLVKKFGCRRWSVIAKFVGGRIGKQCRERWYNHLDPTIRKDSWGEEEEAILTYYHQIYGNKWSKLAELLPGRTNNAIKNHWNCTLKKKLGLHSPRRYAKGIEKGSSDFHDRETMPKGMKVKDFRQGLDETKFVNQNVTVDYSAETCNLDLVLGIAGPPEIKLGADPGNVGKYRSPGVSYDQMPVHFNEKGTIDDSIVGSVTRYDKHTKVHEPQPALFRIVSQGGCALLASTSVGSPSSSLAFNPESKIRRTNTAYSSDCSLHNEPAQREGSISTVFPVVENGDIRFKSSFCHASPPNKLIGSLSPNSRSLESILRISAMTFKNTPSIIRKRSYKKGWNDNISEFAASYPVRTFSCFHMEEM >KJB20761 pep chromosome:Graimondii2_0_v6:3:43234744:43236172:-1 gene:B456_003G163100 transcript:KJB20761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSHGKCKKKRVPLSNGIIDPDVGGKALLKLQNDNSAGSEKSVNGNKDQSTLIRVGSVLVGGSMLLNLLLLLSSLMLFYRSKKKQAMVQSQKVMPSLYLETFTYSELEKATNGFKDELGHGAFGTVYKGDLATEPAAVKKLDKMERHGEQEFKAEVTAIGRTNHKNLVHLLAKFLLENSRPNCSRILHCDIKPENILLDDTFSARISDFGLSKLLKNDQSRTTTAIRGTKGYVAPEWFKNMAITIKVDVYSFGILLLEVICCRKNFEQDVEEEEEMILADWVYDCYKSRTLHCLVEKDEEAMKDMKKVKKFVMIAIWCIQEDPSLRPMMKKVIQMIIRPILHIRMKNDHSSYFISSHFSFQQSTLKIYLNA >KJB18548 pep chromosome:Graimondii2_0_v6:3:14744331:14745163:1 gene:B456_003G068800 transcript:KJB18548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLYFIHCDLNLKDLTVEGKTVWKNPTGYLPGRVAPLMNFYGFMSLAFVILEIFWFLQYARFWREVLPLQNCITLVITLVMLEMALWYFDYGEFNGSGLTSKVTMLGATFFLASEILELVENVGAVSDLSRKAKLFLVLPVSILDAFFILWIFTSFSSTLNKLQVFFFFLQLHRQIKLITIYEIFSLMDATD >KJB19826 pep chromosome:Graimondii2_0_v6:3:36121800:36122012:-1 gene:B456_003G120300 transcript:KJB19826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVLVATVLFVLLCPGLLFQIPGKNKVVEFGNMQTSGASVLVHAIIYFGLITIFCLAIGVHIYASQ >KJB20887 pep chromosome:Graimondii2_0_v6:3:44180738:44182142:-1 gene:B456_003G170500 transcript:KJB20887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMNGSSSSFGPGGLDLAQSFFKPIQNGAPPSTTKRHTKISVVGVGNVGMAIAQTILTQNLADELALVDAKPDMLRGEMLDLQHAAAFLPRTKISASADYSVTVGSDLCIVTAGARQKPGESRLNLLQRNVALLSDIIPPLAKHSPDSILLIVSNPVDLLTYVSWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLNVNAQDVQAYIVGEHGDTSVALWSTISIGGVPVLSFLEKQQIAYEKQTLENIHKEVIDSAYEVINLKGYTSWAIGYSVANLARSLLRDQRKIHPVSVFAKGFYGIDGGDVFLSLPAHLGRGGVLGVTNIHLTDEEVEKLRKSAETILEVQNQLGF >KJB17778 pep chromosome:Graimondii2_0_v6:3:996705:1003443:-1 gene:B456_003G015100 transcript:KJB17778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGAYDSFELINNCPTKIDAVESYGSKLLVGCSDGSLRIYGPDSSIADQSPPFDSLALRKKPYALERTVAWFSKKALLSMKVLESRGLLLSLSESIAFHRLPNFETIVVIAKAKGANVYSWDDRRGFLCFAKQKRVCIFRHDGRGFLEVKEFGVPDTVKSISWSGENICVGVRKDYMILNATNGALSEVFSSGKIAPPLVVPLPSGELILGKENIGVLVDQNGKLVQAGRICWSEAPIAVVIQKPYAIALSPRRVEIRSLRVPYPLIQTIVLHDACHLIKSNNAIVVASNNSVYGLFPVPLGAQIVQLTASGEFEEALTLCKLLPPKDADLRAARERLIHTRYGHYLFENGCYEEAMEHFLASQVDITYVLSLYPSIVLPKTTTIPKPEKLMDLSPDDSSGLSDDLETLLPQPSESDENAAFEFKKTSHNTLMALIKYLQKKGYNIVEKAAAKGMEEVVLDAIGDNFTSARSKKSNKRHGNVPINSAARDMAAILDTALLQALLLTGQPSAALELLNGLNYCDVKLCEELLQNGNHYAALLELYKSNSMHRESLKLLHQLVEESKRNQSHAGEFGPKAIIEYLKPLCGTDPMLVLEFSLFVLENRPTQTIELFLSGNIPADMVNAYLKQHAPNMQGRYLELMLAMNENRISANLQNEMVQISLSEVLEWYSELSAQQMWDEKAYSPTRKKLLSALESISGYNPEALLEHLPPDALFEERAILFGKMNQHELALSLYVHKLHVPELALAYCDRVYDSAVHRLPVKSSGNLYLTLLQIYLNPRKTTKYFENSIMNLASSPNTSTQKVSSVASLKSKVGCKKIASIEGAEDMRIGPSNTNTTIEESSEEGGSTIMFDQVLDLLSRRWDRINGADALKILPRETKLQNLLPFLGPLVKKSSEAYRNSSVIKSLRQTENLQVKDELYNQRKATVKISRDSTCSLCDKKIGTSVFAIYPNGKTLVHFVCFRDSQNKKGVTRGSLLKQR >KJB20383 pep chromosome:Graimondii2_0_v6:3:41335029:41337664:1 gene:B456_003G146400 transcript:KJB20383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSVAVSNSPAFSPSSSLFLNKTPIISPSTEALNLTLTHLKTSSPASPSPSPSSPFRLRLPKPPPVSLLSSSSASNSSPSSGSGSAPGLGSGPVSTVLKRKRPARLEIPVATEAMSLGIPATPCEVGKELEREGDGYSVYCKRGRREAMEDRFSASVELQGDTKQAIFGIFDGHGGAKAAEFAAQKLEKNILDQVITRRDKTAVLDAVKQGYLKTDAEFFTEDNAGGTCCLTALIQNGDLVVSNAGDCRAVLSRSGTAEPLTSDHRPSREDERSRIQNLGGYVDLCRGVWRIQGSLAVSRGIGDRHLKQWVIAEPETKIVSIKPDCEFLILASDGLWDKVSNQEAVDIVRPSFIGTDKPNLLFACKKLVDLSVSRGSFDDISVMLVQLGYYI >KJB20384 pep chromosome:Graimondii2_0_v6:3:41335155:41337609:1 gene:B456_003G146400 transcript:KJB20384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSVAVSNSPAFSPSSSLFLNKTPIISPSTEALNLTLTHLKTSSPASPSPSPSSPFRLRLPKPPPVSLLSSSSASNSSPSSGSGSAPGLGSGPVSTVLKRKRPARLEIPVATEAMSLGIPATPCEVGKELEREGDGYSVYCKRGRREAMEDRFSASVELQGDTKQAIFGIFDGHGGAKAAEFAAQKLEKNILDQVITRRDKTAVLDAVKQGYLKTDAEFFTEDNAGGTCCLTALIQNGDLVVSNAGDCRAVLSRSGTAEPLTSDHRPSREDERSRIQNLVSKFRINQIKSNLNIRTNL >KJB17369 pep chromosome:Graimondii2_0_v6:3:1077268:1078078:-1 gene:B456_003G015700 transcript:KJB17369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASKMLLLSPPSTSLPSLKPHTFILTYKKPKVHTPLKVLAMAKDTSESGNGTIDKATIAGGLVANPVIAWSLYTLKTTGCGLPPGPGGSIGALEGVSYLVVVGIVGWSLYTKAKTGSGLPNGPFGLLGAVEGLSFLSLLAILVVFGLQFLQSGSIPGPLPSDQCFG >KJB19428 pep chromosome:Graimondii2_0_v6:3:31125432:31128306:1 gene:B456_003G101400 transcript:KJB19428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRGVSEDNQVSHPSSSTSNEVAGGNSTPVKGDRNTIMEAAGSDGPSHAPKPSRIPSHIFNPTSETSPGDWSVASNDSLFSIHMGNTSFNDRLSLMSKSGELDPTTISSPLFEFPIPHPTRKASESGSMKEEDEDGYAAVLRENESKQINHSAKGSDLSRCMSQLSDTSVKSFAFPILTAEADKNDASKKHSKSKNSSRPATASTTPQNTPPETPKPPKSPETPKAETPKPSTPKATQNGGPRRWFSCFSCFPSCS >KJB20885 pep chromosome:Graimondii2_0_v6:3:44104555:44108964:-1 gene:B456_003G170300 transcript:KJB20885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPITQGKTLPDAWDYKGHPAERSTTGGWTSAAMILGVEACERLTTLGIAVNLVTYLTETMHLGNATSATTVTNFLGTSFMLCLLGGFIADTFLGRYLTIGIFATVQATGVTVLTISTIIPSLRPPKCTRDNATICTPASGIQLTVLYLALYLTALGTGGLKSSVSGFGSDQFDDSDPQEKSQMTNFFNWFFFFINIGSLCSVTILVYIQDNLGREWGYGICACAIVIGLVVFLSGTKRYRFKKLVGSPLTQIATVFVAAWKKKHLELPLDPSLLFNIDDAAEGLKMKKKQKLPHTKEFRFLDRAAIKDPSLNDQANKWNLATLTDVEEVKLVLRMLPIWATTVIFWTVYAQMTTFSVSQATTMNRHIGKFQIPPASLTVFFVGSILLTVPIYDRLIAPIARKLLRNPQGLTPLQRIAVGLVLSIIAMVAAALTEIKRLRAATTNGLANNPTAQIPLSVFWLVPQFLLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSLLVTIVHKVTGNKHPWLPDNLNQGRLYDFYWLLAILSCLNLAIYLVFAKWYVYKDKRLADEGIELEESETAFH >KJB18537 pep chromosome:Graimondii2_0_v6:3:10027943:10031778:-1 gene:B456_003G058500 transcript:KJB18537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKAMAHHQQQQQQQQFLLQKQQQQQQQQQQQQFLLLQQLQKQAQQNQQQQQQQQAISRFPSNIDAHLRTTPGVIHHRPINIQQNPNSTPIPNPNPNPNLNSSPNLQQQQQQQQQQQQQTPQPQPPPQQQQPNQQQKQIRPLNQAELQMAYQDAWRVCHPDFKRPFSSLEDACERLLPYHVVADYEAEEDDKILDSDTTGQMPSRSQQWDNNIAAKVAEFTATFEKQALAFNIISRKRGVGEFRSEERLMIEQALLQEEKKALLDLRAEIESREKAGREAHEAKLRMAAMVQAEQARAESQAHAELMARGPIRANALGSQGNNLAIGHDIREQPQGVNPDEMMNGWGSSAQRDEKEPSEDFLNDEETENGDTSVQNDWREVGEFDLNSR >KJB20855 pep chromosome:Graimondii2_0_v6:3:43978622:43980597:-1 gene:B456_003G169100 transcript:KJB20855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLSLFGYCIEFPTPRTNSWRESFDCCSWEGVTCDKVIGHVVFWFHFILLEFENHDFNMLSGNLTSLRDLHHDSVNMSSVTPMSLLNLSSYLNSLSPTFCGISGEIPGEVFLLPPLNFGITDSFPVSNWSSPLNSLVLGNYGFKGSLPGSLANFTLLTSLDISRNLNKLTTLQFDACNFSEDPLQDHTSGLQISGGLPFWLFTLPSLYFFELENLSYLDLSSNNLSGTVESNMLAKLEKLGYLELSNNRFLSLSGSDSDINYTIPMLTSFFFSSCNARKFLNFLRTAESLYNFYLSNNKIEGAISQCKTKGWENLNNLDLSYNFLTSLRPLLVPPPLVHVLDLSRNSLGKTIPECLRNLNRFLMFLQLHMNNFHGLVTGRLVQKFQREMEMMQLLSIFTAMDFSENLFYGHIPKELGALCSFQVLNLSHNHFNGPIPPYYSRIYQN >KJB18954 pep chromosome:Graimondii2_0_v6:3:18739365:18742120:-1 gene:B456_003G077000 transcript:KJB18954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKTDCFWVLFLASKCKTFSSSNTILLLLSLCMAWLAMALWFWFYPGGPAWGKYYRRRQKGTTKTIIPGPRGFPVLGSMDLMINLAHRKLCSAAIYFSAKRLMAFSLGDTRVIITCNPDVAKEILNSSVFADRPMKQSAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFSPKQITSTASQRLDIASQMVSMIASRGGEFTVRDILKKASLNNMMRSVFGCNYQLSSSNCETEELSRLVEEGYELLGKLNWSDHLPWLGGLDLQKIRHRCSGLVPKVNKLVKGIIEEHKLKSGERNHDFVDVLLSLSGPDRLSDNDMIAVLWEMIFRGTDTVAVLMEWILARMVLHPEVQSKVQGELDEIVGKSGPLTEPDVQSMVYLQAVVKEVLRLHPPGPLLSWARLSIMDTTVDGHHVPAGTTAMVNMWAITRDPDVWVDPLKFMPERFVSKDSGDVEVVSVLGSDLRLAPFGSGRRACPGKTLGLATVTFWVASLLHQYVWVQSDENPVVDLSEMLRLSCEMANPLKVKVHPRLR >KJB17375 pep chromosome:Graimondii2_0_v6:3:6056593:6057435:-1 gene:B456_003G045600 transcript:KJB17375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVGASCAEVYVMQKRQKEKMKRTEEEKASRGIEESTKPQPCTVVVGRSKVHPGPAVPDSASVSVSENQGSGDINAP >KJB20685 pep chromosome:Graimondii2_0_v6:3:42865975:42868248:-1 gene:B456_003G159300 transcript:KJB20685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIVHVQGGQCGNQIGSKFWEVICTEHGIDSTGRYNGDSELQLERINVYYNETSSGRFVPRAILMDLEPGTMDGVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRTMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLVPFPRLHFFMVGFAPLTSRGSQQYKALTVPELTQQMWDAKNMMCAADPRHGRYLTASAVFRGKMSTKEVDEQIINVQNKNSSYFVEWIPNNVKSTVCDIPPSGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEDEEYEEYEEYEEEA >KJB18956 pep chromosome:Graimondii2_0_v6:3:18890070:18892459:1 gene:B456_003G077200 transcript:KJB18956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALGLQATHLISPQKLPIRKQRTFSNIVIRSSIAEPSGEPAPLGQKTKYNDGLFAKAFMGLFARKMEKFAAAPKGGTEPKKGVFDYDYESFVEVSKRVMQGRSRLQQQQVVRQVLLSMLPPGAPAQFRKLFPPTKWAAEFNAALTVPFFYWLVGPSEVVEVEINGVKQKSGVHIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMIPNFEDMSCEMVYGQVPPSFEEDEASKQPCFAEICSMANPKSSVCHKLQA >KJB19907 pep chromosome:Graimondii2_0_v6:3:37096670:37097479:1 gene:B456_003G124000 transcript:KJB19907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLIPFVPSTTRVFAAKSSAAGGTKQEKGFLDWILGNLQKEDQFYETDPLLKKVEDKNGSARTSNGRRESVSVPQKKKGSVFGGLFAKK >KJB17891 pep chromosome:Graimondii2_0_v6:3:1708259:1708546:-1 gene:B456_003G022400 transcript:KJB17891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNKHVSFLVLICLVFFLHSQCIVGRHLILDHKEIGTYVNKAAVARTQSSPSPSRPTPSVVVVGATQAPPPKNANDFRPTGPGHSPGVGHSLQN >KJB18532 pep chromosome:Graimondii2_0_v6:3:9979590:9979984:1 gene:B456_003G058200 transcript:KJB18532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKTRSSFQLMDMENDFYLVCFNDEDDYHKVMIGGPWVIFRQYLTVHPWMPDFLHTNIGIDAQAIGQTIETVVKIDANTDFAMRGRFVHLVVCIDMRKPLVSKLKINDRTQRVDY >KJB17934 pep chromosome:Graimondii2_0_v6:3:2260091:2261563:1 gene:B456_003G025400 transcript:KJB17934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVRGDTIGSGSFGTVNLVVPKNGCSRSPLAAVKSCEVIDSVSLKNEKEVLDRIGSCPQIVRCFGDDYTVEKGVKLYNLFLEYASKGSLADEVKKSGGKLPESDVKRYGKSILKGLRFVHSKGFVHCDVKLRNVLLFGNGDVKLADFGLAKRNGGKQGMEIRGTPLNLAPESVNENSYDSPVDIWAFGCAIVEMFTGKPAWNFEPGTNMAGLLIKIGVSDEVPEIPLELSEEGKDFLGKCFVKDPKNRWTAEMLLDHPFMAGDEIISLNRCQEEEESISCSFEEFSASPRCPFDFPDWVSTESTVSSYVSSGLDRVRELVCDEVPNWLASENWITLR >KJB17991 pep chromosome:Graimondii2_0_v6:3:2530040:2533288:1 gene:B456_003G028000 transcript:KJB17991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSIDYMEMSLLETLLRDISSFLNFSSSENINSEPVRKYYQGAEEILKVLKPIILNAIFDSEITSDEVLSKAFEELGVSVEELLQQFERWQPLSSKAYFTRQTLVHTFLIPNYTTKALIANWCESNNVKLPDLVESTLNQVYPPCATKVKKLVEDLKSTSNNVKLPDLVESTLNQVYPPRATKVKKLVEDLKSTSTYTQRVATAQLRLLAKQNRDNQIIIANCGAISLLVDLLHSPDTKTQENAVTALLNLSNIDNNKEAIVDANAIEPLIHVLQTGSPVAKENSAATLFSLSIFEINKARIGRSGAIRPLVDLLGNGTPRGKKDAAAALFNLSLFHENKAQIVQADAVRYLVKLLDPAAGMVDKAVAVLASLATTFEGRTAIGQEGGIPLLVEVVELGSARGKANATAALLQLCTNSSRFCIMVLQEGAVPPLVALSQSGTQRAKEKAQALLSYFRRHYRIF >KJB18281 pep chromosome:Graimondii2_0_v6:3:5705744:5707022:1 gene:B456_003G044500 transcript:KJB18281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGKRSRQPIKRTTSMTGITVDVSDAEDVVDQHHQDPIISHLPPPQIHPMHESPDGTSLYDHRFLAMVSPRNPTSFTNRIVDSSTTDTASFLRACWLCNRRLAPGRDIYMYRGDTSFCSQECREKQMKQDERKEKLNINSSKKEDRHAHSSSTTTSSKTSKAKPVVAA >KJB17526 pep chromosome:Graimondii2_0_v6:3:232469:232693:1 gene:B456_003G003800 transcript:KJB17526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEERERTLPKFGEWDVNDPATSEGFTVIFAKASDEKKAAKMTGSIPSKKRSQNSNSTKQPEKKNWFCCMSA >KJB18644 pep chromosome:Graimondii2_0_v6:3:12672747:12678161:1 gene:B456_003G064500 transcript:KJB18644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKMEESSDRREMWRSKKGGLITMPFIIGNESLEKVAGYAVVPNMILYLIKDYHMSVAKGTNILFYWQAATNFTPILAAFVADSYLGRFLIIGFASICSLLGMILLWLTAMVPESKPPTCDLLTQRCRSPTAAQMSLLISSFVLISIGAGGVRPCSLAFGADQLDQRDNPKNDRVLESFFGWYYASAAISVLIALTGIVYIQDHLGYRVGFGVSAILMLLSVLVFFIASPLYLKLNPSKSLLTGFLQVMVVAYKNRNLTFPLPDSTGSYHHRRDSNIAAPTHKLRFLNKACIIKNPGQDIASDGSASNPWSLCAVEQVEELKALIKVLPLWSTGIIMSINLSQNSFPVLQASSMDRHLTTKFQIPAGSYGMFNIISLALWVILYDRAILPMASKLKGKPVRFSVKLRMGIGLFLTCLAMAVSAVVENARRRKAIREGFLNNPHTVLNMSALWLVPQFCLNGLAEAFTAIGQTEFFYSELPKSMSSIAAALFGLGLAVANLLASVVVSIIDDITSRGGKEGWVSSNINKGRIDSYYWVLTILSVINLLYYFVCAWAYGPCGDQPTKVTRARNGLRKEELANLGTKEMKCKA >KJB20031 pep chromosome:Graimondii2_0_v6:3:38219898:38220818:-1 gene:B456_003G129600 transcript:KJB20031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKLLISLFIFQVFFPCHMSIQAAPANSDLFREYIGAEFNNVKFSDVPINSNVEFHFILSFAIDYDSTTGSPSPTNGKFNVFWDSDNLSPSQVSSIKSTHSNVKVALSLGGDSVGDGYAYFDPSSVDSWVSNAVSSLTNIIQEYHLDGIDIDYEHFNADPDTFSECIGELIKTLKNNGVISFASIAPFDDDDVQSHYKALWKSYGDLIDYVNFQFYAYDQGTTVSQFMNYFNTQSSNYNGGKVLASFISDGSGGLTPENGFFTACSRLKSENKLHGIFVWSADDSKRNGFRYEKQSQALLAISH >KJB19870 pep chromosome:Graimondii2_0_v6:3:36728943:36731795:1 gene:B456_003G122400 transcript:KJB19870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRWGVLVTLTANKITNRPFLISQSQSQIFSQIGKIHHFCYPFLFQHTKTQRLVRLSESLYTVKGAEDNAACVDELNRLAGSTASENSCLVADFHEAKKLDMIGKPNVWYSGRVLNRSMDSVLSGHTIYIDSDISVELNRLSRLSRLNRLNWLSRLNQLNRLSRLNRLIFNQK >KJB20489 pep chromosome:Graimondii2_0_v6:3:41893275:41896691:-1 gene:B456_003G151400 transcript:KJB20489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISCLRLSLAPWLVKTPRPRPPLKVTPFRIICTAQSSVVDEKELPLPLSACKWEPFRKKKVVMRVGYVGTDYRGLQLQRDEHELSTIENELETAIFKAGGIRDSNYGDLHKIAWARSSRTDKGVHSLATMISLKMEIPEDAWKEDPNGIALAKCVNSYLPDNIRVFSILPSQKSFDPRRECNLRKYSYLLPAEIIGIKSHFTEAETNYHISDFNSILNCFEGEHPFHNYTQRAKYRRRVPPRQTARNGRPPRAKSHNGSSTSEMEESDVEEDTRIDETSTVNNEEMSCGFGEPVVDSCNSQGNGSKDQSPKVVIARWLHEPDETDRITGSHFRKIFHCSCGKLQNSMGFDYVEISIWGESFMLHQIRKMVGTAVAVKRKLLPRDILTLSLAKFSRVVLPLAPSEVLILRGNTFILRKAPGNVTRPEMLTMVESKEILKAVDEFYKSVMLPQVSKFLDPSKSPWEEWVENLDFNTGIPDAELDEVRNAWKLWKEKLPIRRTSSAPAVSL >KJB20490 pep chromosome:Graimondii2_0_v6:3:41893736:41896660:-1 gene:B456_003G151400 transcript:KJB20490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLKMEIPEDAWKEDPNGIALAKCVNSYLPDNIRVFSILPSQKSFDPRRECNLRKYSYLLPAEIIGIKSHFTEAETNYHISDFNSILNCFEGEHPFHNYTQRAKYRRRVPPRQTARNGRPPRAKSHNGSSTSEMEESDVEEDTRIDETSTVNNEEMSCGFGEPVVDSCNSQGNGSKDQSPKVVIARWLHEPDETDRITGSHFRKIFHCSCGKLQNSMGFDYVEISIWGESFMLHQIRKMVGTAVAVKRKLLPRDILTLSLAKFSRVVLPLAPSEVLILRGNTFILRKAPGNVTRPEMLTMVESKEILKAVDEFYKSVMLPQVSKFLDPSKSPWEEWVENLDFNTGIPDAELDEVRNAWKLWKEKLPIRRTSSAPAVSL >KJB20457 pep chromosome:Graimondii2_0_v6:3:41716053:41718445:1 gene:B456_003G149700 transcript:KJB20457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEAATTNFQSSDFQPVAQPPDFRPEVTVSPHDGLRFWQFMIAGSIAGCVEHMAMFPVDTVKTHMQALGSCPIKSVGVHHALRSILKSEGVPGLYRGIGAMGLGAGPAHAVYFSVYEVCKKYFSGGDPNNSAAHAVSGVFATVASDAVFTPMDMVKQRLQLGNSATYRGVLDCVRRVLKEEGFGAFYASYRTTVVMNAPFTAVHFATYEAAKRGLIEISPESASDERVIVHATAGALAGASAAVVTTPLDVVKTQLQCQGVCGCDRFKSSSISDVIKTIVKKDGYKGLMRGWIPRMFFHAPAAAICWSTYEAAKTFFQELNASRESGSVT >KJB19715 pep chromosome:Graimondii2_0_v6:3:35419982:35423620:1 gene:B456_003G116400 transcript:KJB19715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSKQTVYCFTKSRLSRLKSASLCTSASHDDEAVEIASILSKNDWKRLLETSSELTSKLNPDMVHSVLLQNSVHDPERLFSFFNWASHRSPNPQNLDSFSFLAVTLCNSKMFRKANIVLDSMVRTRRPVQAILGSIIRCWKGYEENYVGVFEILIGCYKKMGSWNDAVYVFLGAKKVGVLPGLVCCNNFLGDLLKVNKLDLFWKVFNGMIDAKLVPDVYTFTSVINAHCRVGDIEKAKRVFMDMEEKGCSPGLVTYNVMIGGLCKAGAVDEALKLKNSMSRKGFNPDAYTYNTVIDGFCRHNRFQEAKLMMEEMRCAGLNPNHFAYTALIDGFMKRGSMAEAFQVKDEMVACGIKPNVFTYNVLIRGASKAGDLEKGKALFDEMVLIGIGPDDQTFSLMIESYCLQGNFLKAHELFEEMKEHKFTPTMYTYNGMISGLCHCGDLGRANYVFEAMIKVGLKPNVVMYTNLIKGHIQKSRFEEARKILNRMMENGQMADIFCCNTLLSGLCKAQKMDEARSFLVEMVDRGLKPNGITYRTLIHGYAKAGEMEAVERCFGEMHSYGIAPNNAIYTILINSLCKVGNVTEALSTFRRMSEKGVLPDVKTYTALIHGLAANGRINDAMQLFSQLDEKGIVPDVFTYTSLISVFSKLGDMEAALNLHDKMCQKGIAPNIVTYNAIIDGLCKLGDTEKARRVFNEISQRGLAPNVKSYSIIIDGYCKSGNLTQAFQLLDKMPSKGVPPDSFTYCALIDGCCKGGELDKAHSLFSEMVQKGFDLTSSFNALINGLCKSGKPNEAKRLLEDMASNCITPNHITYTILIDYNCKAGRMEEAEILFLEMQRRNLVPNIVTYSSLLHGYYRLGRKAEMFDLFKSMAAKGVEPDEIIYRLIANAYLEENSLIGMLKLLDEILVKDVVFDKNPAFLLLDAVCKREEFSEVPKSLEEMAEQGLKLSPITCHKLVHGFHDKGNPEKAEYILESLVWFGWIPNTTTVNSIIDKENDVANLESPSNSPKQTTCGVACQV >KJB20636 pep chromosome:Graimondii2_0_v6:3:42734148:42737811:1 gene:B456_003G157500 transcript:KJB20636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGANGESRVLNNTLETIHAAANAIASAENRVPHATVQKRRWSGWWSVYWCFGSNKQKKRIGPAVLVSETSASGGNVPAAENPNQASSFTLPFVAPPSSPASFLPSGPPSATQSPAGLVSLTSISASMYSPGPASIFAIGPYAHETQLVSPPVFSAFPTEPSTAPFTPPPESVHLTTPSSPEVPFAQFLGPNLQYGEQRFPLSHYEFQSYNLQPGSPVGQLISPSSGISGSGTSSPFLDGDYTTGLRFPEFQLVYPSKLLNLDKLSNREWGSYHGSGTLTPDGTTSTPRNGFLLDHQISEIVSHPRVARENQTDQVVVNHRVSFELTNQKAASCVETETATPSEAVAESLWNEAAREREENATKLLDTSVCRVGETYNGKPENAPADREGKPQHHKNRSITLGSVKEFNFDNINGEIEENATKSVETYEGRVGETCNERPEQAPADREGKPQHHKNRSITLGSLKEFNFDNVNAEIEENATKSVETHECRVGETYNERPEKAEVSLQNEAARETEENSKSTETYEYRVGETCNVRPEKAPADKEAKPQHHKNRSITLGSAKEFNFDNLDGGDVHNKPIVSSDWWANEKVAGNDDGIPKNWSFFPMLQPGVS >KJB20688 pep chromosome:Graimondii2_0_v6:3:42878052:42879008:1 gene:B456_003G159500 transcript:KJB20688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSASGMAVNDECKTKFLELKAKRNYRFIVFKIEENLQQIVVEKVGAPKDSYEKLCSSLPSDECRYAVYDFDFTTDENCQKSKIFFIAWSPDTSRVRSKMLYASSKDRFRRELDGVQVELQATDPSEMSFDIVKERAF >KJB19689 pep chromosome:Graimondii2_0_v6:3:34832274:34833112:1 gene:B456_003G114600 transcript:KJB19689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAARSWRRSSSSHSLFQFFIFSLAILLLMLNVPTVSASETTNKASRKTYKSFIKKACNSATYPSDCYKSLSKYASAIKTDPEKLYRVSLFVTIKAARKTSFSISTLWRLKGLSRTERAIVRDCATTISYAIDEMKQSLTVMANIQGADHKSELIENIRTWVAAALTDEGTCTDEFDGQKVSYEVNKNIKKTVLNLSKLTSNCLALLNTLSNRS >KJB19333 pep chromosome:Graimondii2_0_v6:3:29864880:29866099:-1 gene:B456_003G095800 transcript:KJB19333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAGSFLKVLFKNFDVLAGPVISLLYPLYASVRAIESESRADDRQWLTYWVLYSMMTLLELTFAKVIEWIPIWSYAKLIFTCWLVIPYFSGAAYVYEHYLRPFFMNPQQTINIWYVPRKKDSFSKPDDILTAAEKYIEENGTDAFEKLIHRADKSRSSSYGHIYDDDGYRH >KJB19332 pep chromosome:Graimondii2_0_v6:3:29864880:29866036:-1 gene:B456_003G095800 transcript:KJB19332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAGSFLKVLFKNFDVLAGPVISLLYPLYASVRAIESESRADDRQWLTYWVLYSMMTLLELTFAKVIEWIPIWSYAKLIFTCWLVIPYFSGAAYVYEHYLRPFFMNPQQTINIWYVPRKKDSFSKPDDILTAAEKYIEENGTDAFEKLIHRVRQQPSDCY >KJB20415 pep chromosome:Graimondii2_0_v6:3:41426020:41426688:-1 gene:B456_003G147100 transcript:KJB20415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIFQWHFTILNHTNMIHSHTRGLFLLLIFFSLLFLITTLFLCAHWVYSHCLLSTAAAMANATPPGVFEEEEMVKVLPQCNHVYHSQCVDKWLGSQSSCPLCRACLNPFTSPLQ >KJB19912 pep chromosome:Graimondii2_0_v6:3:37116710:37122268:-1 gene:B456_003G124400 transcript:KJB19912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTEFLRPATITFHGKFSTNSYSNLLYLHGLNFKAIRFRVLQQKTNRFFPNSITSTLKNVAVVGNHERLKLYGRGKLRFKESKILANCTDSSDSKASSGEKNESEGGQGVTQKQSPSNSGGSTNQRGEKGGRSGLWRSKGKKWQWQPIVQAQEIGVLLLQLGVVMFVMRLLRPGIPLPGSEPRTPTTFVSVPYSEFLNKINSNQVQKVEVDGVHIMFKLKNEGSVQESEIGKFQESESLLRSMAPTKRIVYTTTRPSDIKTPYEKMLENDVEFGSPDKRSGGFFNSALIALFYVAVLAGLLHRFPVNFSQHTAGQIRNRKARSSGGSKVSEQGETITFADVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIILLMRSAYEHYNFIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRIVMVETPDRIGREAIIKVHASKKELPLGDDVDLGDIASMTTGFTGADLANLVNEAALLAGRNNKVVVERIDFIQAVERSIAGIEKKTAKLKGCEKAVVARHEAGHAVVGTAVANLLHGQPRVEKLSILPRSGGALGFTYIPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVIYSGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPLSLATVSGGGMDESGGGVPWGRDQGHLVDLVQGEVKALLQSAHEVALSVVRANPTVLEGLGAHLEENEKVEGEELQKWLKLVVAPKELIIFVEGKQESLLPVQAGSG >KJB20595 pep chromosome:Graimondii2_0_v6:3:42460647:42462675:1 gene:B456_003G155500 transcript:KJB20595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPEKNRREISKYLFQEGVCYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYCKLGPVVVVPWVLLIAAHVVRHALATVRGDSVTGMDTVEVHEEAVISVIRVVRLLIISLHSGALVQGLLLVVEAVVMVVPVLQAVQVFHEFCQFRLNGTS >KJB17827 pep chromosome:Graimondii2_0_v6:3:1250388:1251606:-1 gene:B456_003G017700 transcript:KJB17827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGVTNYLSQHPSIVAFRWSHTQSWGSTWSFLVTSIAFYLIVSVMTHLFLCLLVRRGKPVSLGPIPALHSLMMSVVSAIIFAGILLSTAAEIKETRWFWRRTKTPFQWLLCFPLGTRPSGRVFFWSYIFYLSRFLHMFRTIFSIFKTRKLTFFHLFNNSILTIMSFIWLEFSQSFQVLAILFTTLVYSAVYGYRFWTAIGLRSACFPFVLNCQIVLLSCNLVCHIGVLTLHFMKGGCNGIGAWVLNSVLNGVILLLFLKFYVQSRRKKFGRNGEIGKDKDL >KJB17990 pep chromosome:Graimondii2_0_v6:3:2524745:2529175:1 gene:B456_003G027900 transcript:KJB17990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERALVNLTAATVAFSLLFLLSQSNPPTSPPPPLLLPPPHRGARPSMILTLFPSPKNPSRSFQNTRRHLLKSDSKSSNPNARMQLYDDLLLNGYYTTRLLIGTPPQRFALIVDTGSTVTYVPCATCEQCGRHQDPKFQPESSSTYQSVKCNSDCNCDKSRVQCIYERQYAEMSSSRGVLGEDILSFGNQSELVPQRAVFGCENEETGDLYSQRADGIMGLGRGDLSVVDQLVEKFRDGNSPYYNIDLKEIHVAGKQLPLDPSIFDEKHGTVLDSGTTYAYLPEAAFVAFKNAIIKELDSLKQIRGPDPNYIDICFSSGSSNVNVSELSKIFPTVELHSKVRGSYCLGIFQNEKDPTTLLGGIIVRNTLVTYDREHSKIGFWKTNCSELWERLHRTGGQSPSSSSSGKDNSTVESPTTSAAGGSSRYALPGEIQIGEIRLDMSLSINNSYLKPRINELTDFIAVELEINASQVRLLNFTSEGNSSLVRWAIVPSESSTYISKVTAISIISQQYRPWRQKHYSTVILSIIIVIIVGLSASAAWVIWRHRQRNINSYKPVHVAVPEQELQPLES >KJB20764 pep chromosome:Graimondii2_0_v6:3:43260202:43262229:-1 gene:B456_003G163300 transcript:KJB20764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPANGSSDKPLKFLIYGRTGWIGGLLGKLCESQGIDYEYGSGRLENRISLESDIANVKPTHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVCRDKGLILINYATGCIFEYDEAHQIGTGFGFKEEDTPNFTGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLANPRNFITKITRYDKVVNIPNSMTILDELLPISIEMGKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPNFTWKNFNLEEQAKVIVAPRSNNELDATKLKTEFPELLSIKESLIKYVFEPNKKTGGA >KJB18557 pep chromosome:Graimondii2_0_v6:3:10432812:10433424:1 gene:B456_003G059800 transcript:KJB18557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLLGYLDPYLFPSLIYQLSRRRKRLYPLNLRFKISKKMILRKPMFQHMAFCSNSKGQGYLLIED >KJB17601 pep chromosome:Graimondii2_0_v6:3:411917:413384:1 gene:B456_003G007000 transcript:KJB17601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPSNKPQITPLKPSSPSPPHRPKTMEEVWNDITLASLHDHHSSSSSSREPFSSSPHLILQDFLAARSDPPPPQQQTNGGGDTNTMLYGSPLPPPATVLSLNSGPGFDFLDNPRLQSSPISTLPTFNSPFEALASSTTLATFGKKRTQDSDNSSGNRRHKRMIKNRESAARSRARKQAYTNELELEVAHLMEENAKLRRQQEQLRVAATAQLSRNRMLQRTSTAPF >KJB17645 pep chromosome:Graimondii2_0_v6:3:574832:579391:1 gene:B456_003G009400 transcript:KJB17645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQRKPNDFSEAIAADLGTSNPESRQRLEMEAEDPIAARKVQKADREKLRRDRLNEQFLELGNTLGNVYPDRPKNDKATILVGAIQMLEDLTAEVKRLKAERSSLTEESRELTQENNELREEKASVKANIVNLTVQYQQRRMMMFPWTGIDSSSVMAPPYPYPVPLPVTTGPIVMHPSLQPYPFFGNHNPGAINNPCSTFMPYSTMTSNPLIEQPSSQYTSSSHTSSKRDSKSKLADHQRGSNRESNGSNDVRTKLELKNPGSSTNEDLSARKKKGKEEKKDRTNGCSLNCYSSSQDLKDSSSNSVNDVSKSNK >KJB18880 pep chromosome:Graimondii2_0_v6:3:17463239:17464183:1 gene:B456_003G073400 transcript:KJB18880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVANVAELMEEYMVLLARVLEHLFHEAPFPRRVRFLILRNLPFVSSTPRLLPAPA >KJB17374 pep chromosome:Graimondii2_0_v6:3:5332424:5333129:1 gene:B456_003G042600 transcript:KJB17374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKLCPTLGSLFFFFFLPLYFSPSVSNIRTLFILLIAFFKCMLQSCKLCITFLMLTLFLEQSITQLHIPFVLKAISNSLFATLSPLFWSLFITLPQLCHTLNDHKVMILCILHHAWILFYWSCMAPFRIILLLLFGHGTLSKIQFYKRQKHGKFCGHFQIKIICYF >KJB20305 pep chromosome:Graimondii2_0_v6:3:40737008:40745567:-1 gene:B456_003G142800 transcript:KJB20305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCSQSRGELKMEGWLYIIRSNRIGLQYSRKRYFVLEAHLLNCFKSMPISSLQESGRSAIIDSSIRVTDNGRESIHRKVFFIFTLYNSSNHNDQLKLGASSPEEAARWIHSFQEAALKGGPRPGNDVACSKSRWQSFRLSSSIESNHNDSIDWTLYPTTKMDRVTSDVVAPSPWTIFGCQNGLRLFKEAKDRDSHGKWDDHPAIMAVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVVEHLDGHTDIVYKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYVILYHSVVHKKCSPQKSYVRASLKSGGYVISPVTEGKHSVVKHMLAIDWKFWKSYLRTSAVRSITIRMLERVAALRELFKAKQGNYPTADLSSGELMRNVRLLQSEEDGIVDMCTEIEAGKTKENMNEAMERASSEHSSLVGLNDAADEFFDVPEPTDHDRAEDGWASDFGPEVYSQDTRQPKLSTAAVFVKKIHDLAVQKRGYMDLQDMTREDGVCCSYGNTLSKDPTCTLPCSWTAAEPSTFLIRGKNYLEDRKKFKAKGTLMQMVAADWLRSDKREDDLGGRPGGIVQKYAARGGPEFFFIVNIQVPGSTTYSLALYYMMNTPVEDSPLLHNFVNGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGHALEMNYFHGKNYLELGIDIGSSTVARGVVNLVLGYLNNLVIEMAFLIQANTEDELPEYLLGTCRLNHLDAAKSIPVKV >KJB19587 pep chromosome:Graimondii2_0_v6:3:33835972:33836429:1 gene:B456_003G110000 transcript:KJB19587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAYNQVKWEYILLVRKKMLSDSFKASWDLRQKTLFHLLSIVNGFIDFSRRLKAMVCRMRRVCKNASRFLN >KJB18771 pep chromosome:Graimondii2_0_v6:3:14704896:14705754:1 gene:B456_003G068500 transcript:KJB18771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLMERSSLRLVNSSFGLKAGPCLLECKRNNLTHAIGSTFGGIISSELIRDFCHIKVELDVQKPLRRGIFILVGSQENLWVIFNYENLSGFCFGCGCMAHRRIKECNDTPANFKELPEDDLPFSLALKAESNLMGKVNM >KJB20658 pep chromosome:Graimondii2_0_v6:3:43199815:43200762:1 gene:B456_003G162700 transcript:KJB20658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETAETPSSSSEEVYSLATSQPKKRAGRRIFKETRHPIFRGVRQRKVNKWVCELREPNKKTRIWLGTYPTPEMAARAHDVAALAFRGKSACLNFADSAWKLPLPASMDAIDIRRAAVEAAEAFRPKESEEPLGGGGTRQGSVEACSSGVEVNFVDEEAMFDMPNLLASMAEGLLLSPPRSTNRDDDDDSDIDVSLWSY >KJB19741 pep chromosome:Graimondii2_0_v6:3:35622783:35624662:1 gene:B456_003G117200 transcript:KJB19741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ECRVYIRGKGSVKDSVKEEKLKDKPGFEHLNEPLHVLVEAELPKDVINSRLDYVVAILENLLKPVDESLDNYKKQQFRELALLNGTLREESPRMSPTMSPSMSPFNGTGMKRANYFSQKPLI >KJB18172 pep chromosome:Graimondii2_0_v6:3:3935942:3938863:-1 gene:B456_003G037600 transcript:KJB18172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWASHIRTKQSKWLEHNLEDMEEKVTSVLKLIEEDGDSFAKRAEMYYKKRPELIHFVEESYRAYRALAERYDHISTELQNANNTIASVFPDQVQFAVDDEDEYESLSFLNKATENSKGSIPMVPKLPVKDLKSLTTSATKDMQRKKSMKAITNAVAKSGLTKTEGIEEIDRLCKRILAMQTEKEFAKSSYESGLAKYWEIDNEIKQMQEKICSLEDEFGVGRVIEDDEARNVMAATALKSCEETLVQLQEKQERSVVEAGVEQKRIKDAREKLVALNNEFGSEKPSAREDKACDSTKKREDMESLLEKLKEKFEVGIGDSLSVPDMEAKIDELVNKVMNLETAVSSQSALIQRLSAETDELQAQIRALEVDKWTLIDGRNHLMNKLKEMEEKLHRIQDLNWTVEDRNNDLRTHFIEAHCNINHLSGRLCNVKPDEIESSKVNAGKEIEVADASADVKSELGSEEKESRNLYASDGCGIRSAKTEMKSEDRITENKVDSRASSMLVDTHSIAESEGHKREPEGEPDWKQLFMKGMENSTMLRNHKDTKQQLVEVETNNQNSLLEITLQLKELKSSNATKDEEIQSLRQELSLCRTGPNDNNNTDQYAEPRPSSDMPIVTETSLNSPSRKEQNEDIGVMLSDQPQPISEIEENFRINIDELLNENLEFWYRFSTVFQELPKFNTGVKDLQAEISKLDERQKQDGNSTRNSLLISDVRPLYKHLGEVQTELNSWVERSLLLKDELKSRFSSLCDIEEEITQALKASAADDEIRFTSYQAAKFQGEILNMKQENNKVGKELEAGLDNARTLQLEIERTLEILIDDWELSRSKANRSGQLPHSESRSRIPLRSFIFGVKPKKQKTSIFSCVHPAMYWKYKFGM >KJB18013 pep chromosome:Graimondii2_0_v6:3:2685983:2687866:1 gene:B456_003G029400 transcript:KJB18013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDECYIVVREYDPSRDITSVEEVEKRCEVGPSSGKLSLFTDLLGDPICRVRHSPAFLMLVAELSSTKEIVGMIRGCIKTVTCGKKISRNSKNNDPIATKLVPVYTKLAYILGLRVSPSHRRMGIGLKLVVTMEDWFTQNGAEYSYIATENDNRASINLFTDKCGYSRFRTPAILVNPVFAHRLTVSNQVTVIELSLSDAELLYRHRFSTVEFFPRDIDSVLNNRLNLGTFLAVPRGFYTRESWSGSDKFLSDPPESWAVLSVWNCKDVFRLEVRGASRTRKTLAKTTRVVDKLLPFLRLPSIPEVFRPFGFHFLYGLGGEGPRAAKFVNALCAHAHNLAKEKGCSVVATEVAKHEPLKDGVPHWKRLSCDHDLWCIKRLGEDYSDGSVGDWTKSPPEPSLFVDPREF >KJB21045 pep chromosome:Graimondii2_0_v6:3:45179078:45183950:1 gene:B456_003G180100 transcript:KJB21045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWGFSMILIFFNVICNTWWLLKKGCHKPAMVCTANDLSTWKDFPKGLKILLLDGDTNSANELKSKLEAMDYIVYAFHDENEAISAVSSRPESFHVAIVEVSTDNNNGSFKFLETAKDLPTIMTSNIHCISTMMKCIARGAVEFLKKPLSEDKLRNIWQHVVHKAFNTGGNDLTESLKPVKESVVSMLQQQPENLKPKNEDSETTEDASMIHENDSEPPAGNDKYPAPSTPQLEQGGRLLDDRDCQDHTHCSIEKESGDQDGETESVETTGGNTTATGGQPRGPSETNVKEEDDSVDGTMGESPQNRVDSKGSDVVAEKPSSCPDKANRKKSKVDWTPELHKKFVQAVDQLGIDQAIPSRILELMEVEGLTRHNVASHLQKYRMQRRHILPKDDDRRWLQRPQTQRICNYPHKPIMAFPPYNHVPVGPVYPMWRAPSHPPTIQMWGTQGYPPWQPTESWHWKPYPGVQADAWGCPVMPPPQGYFSTFPQNASGFQPCNVDKRNGMPQTLAEHHPEEEVIDKMVKEAINKPGLPLPLGLKPPSTDSVLAELYRQGISTVPPHINASDR >KJB21044 pep chromosome:Graimondii2_0_v6:3:45178686:45183950:1 gene:B456_003G180100 transcript:KJB21044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTANDLSTWKDFPKGLKILLLDGDTNSANELKSKLEAMDYIVYAFHDENEAISAVSSRPESFHVAIVEVSTDNNNGSFKFLETAKDLPTIMTSNIHCISTMMKCIARGAVEFLKKPLSEDKLRNIWQHVVHKAFNTGGNDLTESLKPVKESVVSMLQQQPENLKPKNEDSETTEDASMIHENDSEPPAGNDKYPAPSTPQLEQGGRLLDDRDCQDHTHCSIEKESGDQDGETESVETTGGNTTATGGQPRGPSETNVKEEDDSVDGTMGESPQNRVDSKGSDVVAEKPSSCPDKANRKKSKVDWTPELHKKFVQAVDQLGIDQAIPSRILELMEVEGLTRHNVASHLQKYRMQRRHILPKDDDRRWLQRPQTQRICNYPHKPIMAFPPYNHVPVGPVYPMWRAPSHPPTIQMWGTQGYPPWQPTESWHWKPYPGVQADAWGCPVMPPPQGYFSTFPQNASGFQPCNVDKRNGMPQTLAEHHPEEEVIDKMVKEAINKPGLPLPLGLKPPSTDSVLAELYRQGISTVPPHINASDR >KJB21043 pep chromosome:Graimondii2_0_v6:3:45178686:45183950:1 gene:B456_003G180100 transcript:KJB21043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTANDLSTWKDFPKGLKILLLDGDTNSANELKSKLEAMDYIVYAFHDENEAISAVSSRPESFHVAIVEVSTDNNNGSFKFLETAKDLPTIMTSNIHCISTMMKCIARGAVEFLKKPLSEDKLRNIWQHVVHKAFNTGGNDLTESLKPVKESVVSMLQQQPENLKPKNEDSETTEDASMIHENDSEPPAGNDKYPAPSTPQLEQGGRLLDDRDCQDHTHCSIEKESGDQDGETESVETTGGNTTATGGQPRGPSETNVKEEDDSVDGTMGESPQNRVDSKGSDVVAEKPSSCPDKANRKKSKVDWTPELHKKFVQAVDQLGIDQAIPSRILELMEVEGLTRHNVASHLQKYRMQRRHILPKDDDRRWLQRPQTQRICNYPHKPIMAFPPYNHVPVGPVYPMWRAPSHPPTIQMWGTQGYPPWQPTESWHWKPYPGVQADAWGCPVMPPPQGYFSTFPQNASGFQPCNVDKRNGMPQTLAEHHPEEEVIDKMVKEAINKPGLPLPLGLKPPSTDSVLAELYRQGISTVPPHINASDR >KJB18397 pep chromosome:Graimondii2_0_v6:3:35192489:35193283:-1 gene:B456_003G115500 transcript:KJB18397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSLVYTPRQNSGWDTTSQDYQAHLSRMERSATMIPGAPQYPNVHKAFNNKVEFPEEDQCTERSPTANSRKKVQVVEHAETVDEATLDSQADSYIQQKHKGFELCKWKTFKMH >KJB18157 pep chromosome:Graimondii2_0_v6:3:3773953:3778630:1 gene:B456_003G036400 transcript:KJB18157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVVNMSHWRSKLVLWMIMVIQLSLFLSVFSTEIHARKLLKDNHINTNDVRLHISDRQVIIDNGLVEVTIENPSGYLIGIKYKEMENVLERRNHNSNRGYWDIVWDDDAYDKLETKHVEVITQTNDIVELSFTKTWSSKNHGKTLPLNIQKRYIVRRGISGVYMYGIFERQEDFPEAHMYQIRIAFKLQEDKFRFMAISDTIQRVMPQSEDRVKGHSKPLDFKEAVLLTNPSNPKLKGEVDDKYQYSTENKDNKLNGWISDHDAVSFWIMTPSNEFRTGGPHKQDLTSHVGPTALSMFVSTHYTGPEIDTFYKEGEAWKKVFGPVLIYLNSASSKDDDHRKILWNDAKRQLSEEIESWPYNFTRSEDFPHSQQRGQVNGQLLVQDRYMDKQLMQAKSAFVGLAPPGEVDSWQKEGKGYQFWTQTDKIGRFNIKNVRPGVYNLYAWVHGFIGSYKLDLNITIQPGNKIELGTLIYDPPRNGPTLWEIGIPDRTAAEFFIPEPYPQYVNSITNDGADKFRQYGLWDRYSNIYRDSDLVYTVGASNYSKDWFFAHVPRKTGDDRYRPTTWQIKYNLQDVNNRGTYTLQMAIAAASFAEVEVRFNDPNSDRPHFTTRRIGYDNAVPRHGIHGLYRLYSIEVPGYRFRKGNNTIYLTQTRSDNPFEAVMYDYIRLEGPNSLND >KJB19860 pep chromosome:Graimondii2_0_v6:3:36463782:36464628:-1 gene:B456_003G121800 transcript:KJB19860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLRFNAFLLLSLLLFMPFSYGMVNGGMQAKYHSLHQQMKGANEKIQITSSRKLLMGAMLDYEETGANTKHEPRKRPGKP >KJB19378 pep chromosome:Graimondii2_0_v6:3:30574461:30575934:-1 gene:B456_003G098900 transcript:KJB19378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALTKVGSFWISKKAKEELYAVSNTVEEKAKWILNKLKGKHVKPLADVLREHNLPPGLFPRNITCYEMDESKSKLSVYMPAACEVRFKDGSAVRYGRRVKGTLSKGKLSGMEGMKTKVVVWVKVTEVCVESCKSDKVWFMASGVKKSRPRDAYELPHDSVRVEEI >KJB20054 pep chromosome:Graimondii2_0_v6:3:38432289:38435232:-1 gene:B456_003G130600 transcript:KJB20054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHLTGFPHTVNGFKINDGYLLPNPNVYPKFEISDGVGSNDQSLDFSSLGVPFLPSLGLGDSSFASILSMGSMGKEGDTFSPTDDTDVSDTVLKYISQVLLEEDMEEKPCMFHDSLALQAAEKSLYEVLGESYPPRDQAPVCVDPSVESPDNCSFGTSSDHSIHSGSSSCTSYSIESQWNGDFSENNNRPSLLQTSIPENFVFQSTVDPGSRFSSHSQNGSANNGNGFRGSPASEFLVPNYFSQSELALHFKRGFEEASKFLPKGNQLNVGFKSNALTSELKQKASNTVVKVESDRKEYSPPRLIRKKSHEREDEDLEERNNKQSAVLGDESELSDMFDKVLICAGRRGQSSSSTADETLPNGPSKTLLPNEQTNGSNSGKARGKKQGKKKVVDLRTLLILCAQAITSNDNVTAKELIKQIRQHSSPYGDGSQRLAHYFVDALEARLAGTGTQIYTSLIAKRTSAADMLKAYQVYISVCPFVKVPIIFANNYISKAAEKATKLHIIDFGIFYGFHWPALIHRLANRPGGPPKLRITGIEFPQPGFRPAEAVQETGRRLVKYCERYNVPFEYHAIAQKWETIRTEDLKINSDEVIAVNCLCRFRNLLDETVVLNSPRDTVLNLIRKINPDVFVHSVVNGSYNAPFFVTRFREALFHFSALFDMCETNVSHEDNMRSMLEQKFYGREIMNIIACEGTERVERPESYKQWQVRNMRAGFVQLPLNPELMKRVKERVKARYHSDFMVDVDGRWMLQGWKGRIIYASSAWIPA >KJB19214 pep chromosome:Graimondii2_0_v6:3:25196205:25199496:-1 gene:B456_003G089200 transcript:KJB19214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYPYTNFFSGWFKFNPVQHYPSPNPPPPPPPHPLQQPNSFTHDFYSNSRNDRSSHINPFFHYQTSCSSSSPPSPPVREALPLLSLSPTRQNNEDQDQDQCSWTTAMNVDSTKGKEEGRRLLFTNTTAAEAEDETVTVALHIGLPSPSASELASFLSSSSEITDKDGDGDDSSGCAVNRLNKGQYWIPTPSQILIGPTQFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGNGHAAYGIDGLEEDDEPASEVEQDNDSMQ >KJB20845 pep chromosome:Graimondii2_0_v6:3:43895946:43896549:-1 gene:B456_003G168400 transcript:KJB20845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYTKNGRLAKKKVQWIVEEEKFANENSKALYVIFYGVDLQEFKRIAKCTINKSAWDILKSIHEGSQTIEEFYAKLCDLSNQAFAPGEEYSNTKLVRKMLRYLPKRFSIKVTFITEAKDLKSLAIDELIGSLQTFEINLEDVKRNKIKGERSITFQVGEEMPTSQNSATEEVQEHMS >KJB20796 pep chromosome:Graimondii2_0_v6:3:43545631:43548906:1 gene:B456_003G165400 transcript:KJB20796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILAISLWHLWFVKKSKKIVAQLPPGPRGLPIVGYLPFLGTDNLHLSFTELAATYGPIFKLWLGNKLCVVISSPELAKEVVRDHDVTFSEQDPPIAAQVASFSCNDIAFDSYSNPRWKNKRKVLATELLTNARLNACYGLRREQVMNGLKDVYENVGKPSDIGKWTYLVALNVAISMILGGELPGEKGAAIEGNLKENSSESMVLLGKPNVSDIFPAIARFDIQGIERGMRKINQQFNRLLESVIEVAIDKEKDKKSSEQKLGFLELLLHLERNNNEDNASPLTMDEVKGLLVDILVGGTDTTTTMVEWTMAVLMQHPEIMEKVKKELSDVVGVNNTVEEYHLSNLSYLNAVIKETFRLHPALPLLVPRCPARSVHLNGYTIPKGSRLFINMWCIHRDPLIWENPLEFRPERFLNDPDNSNHYGNDFRFMPFGSGRRKCPGIPLEEKLLFFILASLLHSFEWRLPHGTVLDMSSKFGIVMKKKEPTLLIPAPRLTNLDANG >KJB20699 pep chromosome:Graimondii2_0_v6:3:42891134:42892352:1 gene:B456_003G159700 transcript:KJB20699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFITKTRTFILSVVYLVGTKQWTSRPAREEDHCIHLDCDGVIFFSSLFCLFRQHVGRQRNQVANGTTALTMRISVA >KJB17763 pep chromosome:Graimondii2_0_v6:3:932372:933778:-1 gene:B456_003G014200 transcript:KJB17763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPPPQTTGITTRTTVKKLRENPTPSSKIPFQSRKIRKLTTTATVVDNDPTKSPHPPLIKIPKSLSTKPEIDAALNHLRSADPLLAALISTHAPPKLSPNNSPFLSLTKSIIFQQLATKAANSIYTRFVSLCGTHSDVVPNRVLSLTPQKLRETGVSARKASYLHDLSDKFSTGFLSDTLILTADDETLFRMLTSVKGIGPWSVHMFMIFSLHRPDVLPVGDLGVRKGVQCLYGLKDLPKPMQMEQICEKWRPYRSVGSWYMWRLMEAKTTKGNNGNAQGVVEDDQSGGF >KJB19691 pep chromosome:Graimondii2_0_v6:3:34923991:34925380:1 gene:B456_003G114800 transcript:KJB19691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor 7 [Source:Projected from Arabidopsis thaliana (AT4G25260) UniProtKB/Swiss-Prot;Acc:Q9SB37] MASSSIIFLLLSLLCIVCEARSPTAARSGASNFIKASCSATKYPSLCIQSLAAFAPSIQRSPRQLAQTALSVSLERAKSTQAFVSKMKKFRGLKRRQYEAIKDCIEEMSESVDRLSKSVQELKYMGQAKGQDFLWHVSNVETWVSAALTDENTCVDGFAGRALDGKIKASIGARVINVAQVTSNALSLVNQFASKQ >KJB18610 pep chromosome:Graimondii2_0_v6:3:11603802:11604798:1 gene:B456_003G062800 transcript:KJB18610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHGEAISRTNNFSKPPRLSNDSLHRTISDISFQLSKEVIDNYKEALSPVDEKELPPISEVDDAKCECCGMSEEYTAEYIERVRNKFLGKWICGLCGEAVKEEMEKKASNGGKCKIEEALNAHMNTCARFNKIGRANPALLTAEAMREILRKGSRSKSISPRDHHHRGAQNKTGIARSSSCIPAITKEMSNLMKSHLQTDDK >KJB19049 pep chromosome:Graimondii2_0_v6:3:20059121:20062254:-1 gene:B456_003G081900 transcript:KJB19049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRVFAALSLSSPFNFLVFSPFQKIEKECQNSIAHASRSRSFDFPSCFLLFLWNQTVQKIEIQQKYQHFF >KJB18976 pep chromosome:Graimondii2_0_v6:3:19705006:19706194:1 gene:B456_003G080000 transcript:KJB18976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLNFFFVFLLSFFLHPFFTYGEFCPRVSCGNVSVDFPFRLTNQPDCCGDPNFNLLCTTTNQIIISFPFSGDFMVNTINYSNPYLQLTDPCITKRLLQGFNLTGTPFQPLYTRTYIFSNCSTDSNISVVYPSALFFSCLSSINFLVWGIAKNFYDPSTPVSSCLELAEISVPQHDPGWPFYIDDIPLTWEQPHCHTVPCNYCPSSECSRDKGGLSNGTKYAVIFILGTPISLIAVCIIYYNVRVHCADHRRHQPNVEISGLTSEPQLAGIIVNGLDGSSIEAYPITLLGENMKLPRPNDNTCSICLSEYQAKETIRTIPDCNHYFHASCIDEWLKLNAACPVCRRTPDQDSAHLLTHSTSSFSSRPSL >KJB17806 pep chromosome:Graimondii2_0_v6:3:1100985:1102272:1 gene:B456_003G016100 transcript:KJB17806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRFKFKIPRVFASFKSCRSKDPSNLPSNPVPSFCRLSSVNNHPITRHLPPPPPPPSSKLPHHSSFKRHVTSAFSSIGCGLRSRSSAKYLSETDRSESPPPQPTLEFHWEKEDGWHVIAKAYNNNETPRRNKVYDAAIDNDSFPPPLPPLPPPPPPNTVKKKRRYKKKKTTPKFRVSTSSAESILFSSESFEEEDETETLVSTDSSSEMMFAANLEAIHETRQTRQKKKKPKKVKPKRYALRFSSSEESESPARLSSFLQRMVPCTVEGKVRDSFAVVKKSEDPFEDFKKSMMDMILEKQMFDEKDLEQLLHCFLSLNSRDHHGAIVEAFSEIWEALFSRRSTSFRVSCGLN >KJB18175 pep chromosome:Graimondii2_0_v6:3:3960246:3962151:1 gene:B456_003G037800 transcript:KJB18175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMETVYEELDEVKVENEKLKPDLKSKSKLCENLKKIQNELLTNIQEASSKIEKQVERILEKEEEISVAKRANEDLKHSLYDKEMIVKHLNGANDKLRVERDEKNQKWEQENGLTVLALDEANEKNVDLEKTINVLRAEIIKAHLSVSQNKCLEAKKKAENTKEMRERDDLLDEVGKGRRKVEDELKWKKEQFKYLEEAHEKLLDQFKVSKHEWEQEKSALLDEVSLLKTRLDSQIWIMGDLENRLKMSNQVLAHEETRRKYLETEISELKMRFGNIFSEFQDAKSRLDCLNLQRENEVATQRHLLGSKESLMEEVFDSSLNSFSSELEIEEKKLMIEELEDDIPSIQEKLLLQEKSLSGSNKLSEKTNLLEYFMKLSMDREILIGFIGGIGDRITEFFREEAQLMGFLERIVQAFDNNHSDFKGSDELFDSLKANKTSLLLSLEEEEHKTREASKKFLSDSKQLALIVEDEMEAKQLEMKNLIALIDELKSEKINLLEDIMKLTTDREILFGFIEGLCDRISKFSAEDVEMVRFLGRIVHSLDSDELFDSLKENKNSILFSPATNKQHLVIEERSPLTQLN >KJB19492 pep chromosome:Graimondii2_0_v6:3:32584461:32585417:1 gene:B456_003G105800 transcript:KJB19492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPELGSSNSEIQDRFSHFAREFTYNTNFRMSKKNKKLIGNKISFGTKSPINKEIKRQPMDFNLIPSLAIFIHPLFN >KJB19488 pep chromosome:Graimondii2_0_v6:3:32466490:32467224:-1 gene:B456_003G105500 transcript:KJB19488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKKVQLAYITNDSLRKATYKKRNKGLMKKMSELSNLCGINACAIMYSPYESQLEVWPSPIEGQQVLSKSRMILEMEQRKNMVNQESFLSQSIVKVVEQLKKHCKDNQEKEMTLVMFNNICGKWVIHGFNFRDINDLNEKISNIDKRMDAFAMTPLYTQRASSSSSSSMVALPLMTMVMPKAMSRTDTKDIVQSDVNNMDPMKRQQWIMDLMNKNNNNNPQTHVGGDWMRFQFGDDIHPNNGH >KJB18243 pep chromosome:Graimondii2_0_v6:3:5257438:5258816:1 gene:B456_003G042100 transcript:KJB18243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSKFFPIVETQDEGYQKTFKNCAELVPTLPRSKGWWLDELFQYQGFWMSSFPIRGSMLINDHFKPRPTDIIVATSPKCGTTWLRALVFSIINRAAFDFNDHPLRKLNPQELVLLFEGHIHKDGSTSFLDELPSPRLLSTHIPYSLFPKRMTDDTSACRFVYICRDPKDVLVSKWHFSNKLRPKEVPPLSLEEAFDLFCKGVSHYGPFWDHVLGYWKASVESPKKVLFLTYEDVKKEPLGCVRKVAEFLGVPFSQEEENRKTVEEIVKLCSFESLSNLDVNKSVAKRSERPVSNSDFFRKGEVGDWVNHLSPEMVEKMNQITEQKLQGIGFNFH >KJB18435 pep chromosome:Graimondii2_0_v6:3:8034559:8040652:-1 gene:B456_003G052600 transcript:KJB18435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVTAKFVQPYRDRPNEGTLAIYPEFSPMADPSFEAGRSGESAVELGRIIDRGLRESRAVDTESLCIVAGKLVWAIRIDILILDNGGNLVDAANIAALAALMTFRRPECSLGGEDGQEVIIHPPEMRDPLPLIVHHLPIAITFGFFIDENVVVIDPILNEEAVMAGRMIATVNANGDICAIQKAGEGVSQRVIIQCLQLAITKAADITKQIKAAVEAYNSERALQKIKRETTCVGNNVKGDQNQTLDNKGISELVGKYMERLKLISVESYASQNINAEETKSLRRGTDSNCIKFNSTPLSWDPYSKCVDLEFLKASVASQGLSTASEEKEATGKEKGSEAESAGPYEDVNSKWSAVDASTTEMHVIGPKTLKDAVKPKNKRKKKASSMI >KJB21028 pep chromosome:Graimondii2_0_v6:3:45046950:45049183:1 gene:B456_003G179100 transcript:KJB21028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPSLLGPPELRHPTPPKPETTVVTTTTKVPSDPFMDLMLANFNEANNTPSMGFTENNSATYLATGNPCLDFFFHVVPNSPPDSIKEMLGRAWNFDPLMTLKLICNLRGVRGTGKSDKEGFYTAAFWLHDHHPKTLACNLDSFVNFGYFKDLPEILYRMLEGSTVRQVQKHDWLIRKQGKFRGGSRCYSKKQKVEKSKPTGATNPNLEKAKARDLRKEKKISMAKKVIERYSRDPDFRFLYECVSDLFAACLKSDMEFLKSNETRKIGLAAKWCPSIDSSFDKSTLLCESISRKIFSRENYPEYEGIDEEHYAYRVRDRLRKDVLVPLRKVLELPEVYIGANKWDSIPYNRVASIAMKFYKEKFLKHDKDRFSKYLEDVKAGKSTIAAGALLPHEIIAELNKADDEQVEQVAELQWQRMVNDLLQKGKLRNCMAVSDVSGSMHGIPMEVSVALGVLVSDLSEKPWKGKLITFSASPKLILVEGENLKEKTEFVRDMEWGYNTDFQKVFDLILKVAVEGNLKREQMIKRLFVFSDMEFDQASMSPWETDYDVIVKKFTQRGYGDVIPQIVFWNLRHSRATPVPATQKGVALVSGFSKNLLKMFLDEDGDINPVAVMEAAISGEEYQKLVVLD >KJB18972 pep chromosome:Graimondii2_0_v6:3:19128510:19129377:-1 gene:B456_003G078100 transcript:KJB18972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERCRWARAMLLQGAMCDTKGNWILGFNKFLGVCSVLEAELWGIFEGLSLLLKQGFDRVLICTDCLEAV >KJB17880 pep chromosome:Graimondii2_0_v6:3:1625389:1626007:1 gene:B456_003G021500 transcript:KJB17880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRPMHTFAVFLLIACHLFLSLEGRLLKSVSKDDPKQVFPPPPPTETSDFGDSVEGYKEDFRPTTPGNSPGVGHSCPEDDEDIAERQPGSYSLQGNGKQSIARDEDGFPHTTPGKSPGPGHSFEDTDEDVEKKPLSISKQGN >KJB19229 pep chromosome:Graimondii2_0_v6:3:26031064:26036348:-1 gene:B456_003G089700 transcript:KJB19229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNREEAIRAKGIAEQKMQNGDIEGAKKFALKAQKLFPELENITQLLAVCNVHYCAKHNLYGSEKDWYGILQIEQSADESLIKKQFRKLALLLHPDKNKFHGAEAAFKLIGEANRVLTDQTSRSQYDLKCRVSAKTVPKPTSHPTNRASSVNSQSEFASNYRNGSSKFTASNSFQQAHHLTFWTLCSACGFKFLCNKDFLNRILHCLSCRSSFIAHDLGPQGYSWSHFSNLKEVRNEGPCKASSQHNGGKPFGVHFPHKFAGSAPSPKAGSSQAGDSKKQEKEGVRVQQTKEGFTGQKADEFSNVRDRKRGIEMPKPNLPKPKKSGTSKNAKKRTRKSVVESDESCETASGIKVENVVSQEGCSNNTGVNSNVNGGHPSRRSSRQKQPVSYEEKLADDDDDLESPSYKKSKVTAAPNANAEKVNNEVMKKENSGGCTAPADVCKKEVKQKASAPSEHAVSNKKRKTGESKGKEEETVVLDSNNMVHQFDSGFESSREVNPSPQVLEYPDPEFSDFEKHKAENCFAVNQVWAIYDTLDGMPRFYAWVKKVFDPGFKLRITWLEPDPEEANEQNWVDLDLPVSCGKYCYGSSEVCIDRLMFSHRIDPIKCFGKCFFFVYPQKGETWALFKDWDIKWVSEPEKHKPPYRYDFVEVLTDFDEELGIGVAHLGKVKGFVSIFQQIERDGVISFQVSSRELYRFSHRVPSFRMSGKEREGIPVGSFELDPACLPSYLFELVDSADMKLGDHNLDNAENCSSPNRPHNQAKATIGSERILTPVSIQKSDVEKEASAFRKPMRRSSRTLKDHGQVDAVHYRNEDDSVEVIPDCNLSQLKGSSISGDAVENMSTPKKCEKSDLNTDCLKPQSSPRYLRRKGGQVNASQHDTQEDGKNHSVSNKYETRGSCGTTKGRDSLSPPIGNMHFHERDGSAVDVTKSSSVSTLLSPARKTSNLECHDFKREKSEDKFEVDQIWALYSRDGMPKDYAQVKKIESTPDFRLHVALLSVCSRPKDLKLPLCCGIFKVKSGQTKVVSCNDVSHQLKAEPIGKNRYKIYPRKGEVWAIHKSWNTTDSESGKGECDIVEVLEDNEKSKKVMVLSCLNKPKSLYRAPRSQRSTASVVEIPETEFARFSHQIPAFQHTGDDSRWRGYWELDPLAFPGIICLD >KJB18987 pep chromosome:Graimondii2_0_v6:3:19519634:19521349:-1 gene:B456_003G079200 transcript:KJB18987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDFYFPPFPPKPNNKLRLIMFVCLVMSTHFPLPPFPPKPKFLQAKPKPSFKLCSSLSTVSVPPTKTSHKPFPTEVSRTIMELSSIGTLSTLAQDGWPLGVGLRFVVDAEGTPVLCLPQPSPDAMWVTDSSVYNTGQSYQTS >KJB20708 pep chromosome:Graimondii2_0_v6:3:42922953:42923757:1 gene:B456_003G160200 transcript:KJB20708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGTTEKKAGKYASFFSGTQDKCGVCKKTAYPLEKVTMEGEIYHKNCFRCSHGGCVLTTSSFAALDGILYCKIHFAQLFKEKGSYAHVTKATAMKKTSSEAKTEDDPTPGAEAEAEAE >KJB18153 pep chromosome:Graimondii2_0_v6:3:3691477:3692501:1 gene:B456_003G036100 transcript:KJB18153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPKYAYPYPAQGYYQGPPVMAPPQYAAPPPRREPGFLEGCLAALCCCCLIDECCCDPSIIFIS >KJB18512 pep chromosome:Graimondii2_0_v6:3:9402918:9403630:-1 gene:B456_003G057100 transcript:KJB18512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQNDIDLLNPLTELEKKMHKLKLLVLTVNSFFIDVKCQGCFNITTMFSHSQTVVVCGNCQTVLCQPIGGKARLTEGCSFR >KJB18221 pep chromosome:Graimondii2_0_v6:3:4842133:4844766:-1 gene:B456_003G040700 transcript:KJB18221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAFQNLLTPSSSLLNPYLKTPNSFLPITSPHLTFPSAVLHFRTRNFLSLSSRHPSSHSFIKAYDSGSSIATSSEQNPTFDSINLDSFLSAAELFCIFSSAVVSVVYVISDWKGVVLGGVWRRVMAWNVLGLVSGFAIGAWIRRRQWRRICVETAKAGGKRLNLVDRIEKLEEDLKSSVAIIRVLSRQLEKLGIRFRVTRKGLKQPIEETAALAQKNSEATRALAAQEEILEKELEEIQKVLLAMQEQQQKQLELILAIAKSGKLFEEKREPSQEKDMVEACKSTEEAKQMEVNQTRPLGTTRGSGNDRT >KJB18455 pep chromosome:Graimondii2_0_v6:3:8195619:8196474:-1 gene:B456_003G053800 transcript:KJB18455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWNHPDISLEEMMKLIEGNDKKKESGDDKFDKPISETRVSREECGSNGRNLNKKRSYAQFHLELGQSDFLLHACKSTKRSGTFQPIGVFVVASVGF >KJB19862 pep chromosome:Graimondii2_0_v6:3:36616900:36623352:-1 gene:B456_003G122000 transcript:KJB19862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLKEGEECSFFDAHEHIATMPGLNCNDIEIHHSDSSFHNWSESSFQYDVWIRSPRSVEERRRKFLDSMGLSRKFLDSMGLSLDGVSRENSVDRPCLEGEFVRVMETSEAVLRTFGFEEFSSSRSSMSCWSNENFESYEELGSRDDFAYREGNKGEGASCNVDEKASEGFEMNELQLVTDKKLKSPLVASPSFLQFREKQNKLERIAKRVKNRWLSRLRSMSCMVDTQVEDDRLRPDVDDSILKTKVQRVKVHQTRKKTKELSALYKGQDIQAHEGPILTMKFSPDGQYLASAGEDGVVRVWQVVEDQRCNDLDIPEIDPSCIYFMVNHHSELKPHFVDKEKAGNLRSLRKTSDSACVIFPPKVFRILEKPLHEFHGHDGDILDLSWSKKNFLLSSSVDKTVRMWQVGCDHCLRVFSHSNYVTCVQFNPVDDNYFISGSIDGKVRIWAISSCQVVDWSDVRDIVTAVCYRPDGQGGVVGSMTGSCRFYNATDSHLQLDAHIYLNGKKRSPCKRITGLQFLPQDSSKVMVTCADSQVRILQGLNVICKYKGACNNVNQAFTSLTPDGKHIVSACEDANVYIWNCVDQDECTHSNAKDIRSFERFFANASIAIPWCGMKCGNAENGRQFEVLNENLSDNLPLSSPSHFSLSHEYFLESFPKESATWPEERLPPSSPLTVSSSMHKSQYKFLKTSCQSTFESHAWGLVIVTAGMDGRIRSFLNYGLPVPV >KJB20192 pep chromosome:Graimondii2_0_v6:3:39654703:39656209:1 gene:B456_003G137400 transcript:KJB20192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRDKSKTELLSQLKELKAELSLLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKKKKFLPLDLRPKKTRAIRRRLTKHQQSLKTEREKKREMYFPQRKYAIKV >KJB19392 pep chromosome:Graimondii2_0_v6:3:30790131:30790539:-1 gene:B456_003G1000002 transcript:KJB19392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISTMTADEYFEKHPELKKKFDDEIRNDYRGY >KJB18408 pep chromosome:Graimondii2_0_v6:3:7680969:7683163:1 gene:B456_003G051300 transcript:KJB18408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQLIEELQRKNGNGSQIKGQIILLEEQLSGFTAIETSTGDALVKNRLEDIKSIELKVIKKRRRNKELELEKREIFVKLNAAHAKLSALSDMTLNKTIGKISELRQANGDLANKVNILQKSRFDMVEEPVYQHWLNVCLKAEIKEYQTSSRKTSEKGSSEGFRPEN >KJB21064 pep chromosome:Graimondii2_0_v6:3:45304391:45305346:1 gene:B456_003G181300 transcript:KJB21064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELGLLSLNHLQKLAQSQQQQTHHHHHHHHQVNPNSTAGSWMWNPIKAQEVPHEPDDYESWEVKAFAEETSNAMGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQTQPPPHGGDATTGPLINHTLLYQFPSPNGLFFTSSPTNNACSIDSPYHPSVPPKNFPATPPGLNSSSSLCSSSQDLKETSMEDLDLELRLGHRPKTTIKEQNQRA >KJB18410 pep chromosome:Graimondii2_0_v6:3:7689223:7697275:-1 gene:B456_003G051500 transcript:KJB18410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGIGSDGGGVGSIVWVRRRNGSWWPGKILGPEELPTSHLMSPRTGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDDCIERAESSQGMPPKKREKYARREDAILHALELEKELLKKQGKFDRPSYAKSKSSGSTKKDSGGSDISNGKPGKSKSNQSRSQDTSIKGETVSSPVNLQKDQVENQPSWKNNPAEVLPRMRGLQDLGLKTAYAKQKLTSYGAMDVDESLSPSGKVSSMGRNNHINGGEQRRGVSQAKRSRCMYFPPESFDALDYKEIPPTQIEMSPSHFVECDSYPFRSHIIEDRTREFLEDVESGSSESTSSESEPESDSSETEPDMDEDITSHSGATVCKEVRLGGFRRPDTLGGGSMGQGESDESSLSGEMSHFYSHDHLSMNEAVSKWQLKGKRNMRNLTKRSMDAAEIRGYDGSTHGTYHEERGTFRRRPLGHSSHLNHDFDDDVDVADLSAKDFCTQMVGFDDRRYLRTPRDTFRRRYSFNHNIIDWEGMPWENHAAVEREWEERVWHFDPTFAAHCSFRGKRRSMLMDVDLKVQASYQKPPVPIVSLLSKLDGKAIIGHPIQIEALEDSSTDTLLPTNGYFSNGLSNHDGNTSLPPAWRTAKRTNFRVPRPNPPFALCSYEAAEYQSLDHEGEPPFKKYNTGSSDYKAGLVKKSISHGSRSPTERKFQKKLPKKVSLISSQKTRALSSIGIEHKLSLKPIHDSDNHQMDGLIRAESSGPTTVACIPVKLVFSRLLEKINRPPSKAASKVIFSTSDAVRDPS >KJB18949 pep chromosome:Graimondii2_0_v6:3:19686430:19687426:1 gene:B456_003G079900 transcript:KJB18949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSFIFLPVRSSTRTEVCPVFCGDKLIRFPFRLSNQPDRCGYPRFNLTCKNQTKPILTLPFSGEFIVENIDYLYQSIWINDPDYCIPRRFFKGLNLSGTPFEAQDLESYVFLNCSNGAIPKQLPQVRYISCLSGETFSVIAIPANRLDEYRSLSWTCMNIGKVLVPPWDPNYGMRLTWNEPDCLSCEMAVGIACSRVIRVWISGIPRSAIIGISFGVGIFLICVLRLVCHLRRKANNIYTDYQQHNLEISGPAVVRPRSATDDAKGLDGPSIKAYPITLLGESRRLPRPSDNTLLNMSL >KJB20593 pep chromosome:Graimondii2_0_v6:3:42436932:42438849:-1 gene:B456_003G155300 transcript:KJB20593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDYQASFLGRISIRRNQVIAMDGNHEQELQDLELFSKHVSERFAELLSSPDDIPFDALLSISWLRKLLDFFLCCEAEFKGILMMGNDPSQISKPPLDRLIPEFLDRSVKALDVCNAVTNGLESVRHYQKLAEIVVSALEQKPIGDGQSRRAKKALTSLMSAMNVDDKECSAAKATERSWSFSRRGVSSKDRVPEHFRSVSNQVAKNWSAAKQIQAMTNNLVPPRGAEGSGLASPVYTMSVIMVFVMWALVAAIPCQERNGLSAIHFPAPKQLNWAQSIIGLHEKIGEEWKKKEKKGTAGLLDEMQKMEKLGNILMDFTDSFQFHGDITDQKAEEAAARAAELAETCRRMEEGLLPLQMQIREVFHRIVKTRTELLNALDQVGKSSPPLL >KJB18226 pep chromosome:Graimondii2_0_v6:3:4806173:4809127:-1 gene:B456_003G040300 transcript:KJB18226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHSTLFISPQNLSSNFSSLFYYSPQSLTFRTKKSSSLSLTTTKPRRLSLSVSASHSLQALIFDCDGVILESEHLHREAYNDAFAYFNVRCPSSSQPLNWDLQFYDVLQNLIGGGKPKMRWYFKEHGWPSSTIFETPPESDEERAKLIDTLQDWKTERYKEIIKSGTVEPRPGVLRLMDEAKAAGKMLAVCSAATKSSVVLCLENLIGMERFKGLDCFLAGDDVKEKKPDPLIYLTAAKRLGVSEKDCLVVEDSVIGLQAATKAGMSCVITYTSSTADQDFKDSIAIYPDLSNVRLSDLELLLQNKIAAS >KJB19684 pep chromosome:Graimondii2_0_v6:3:34723886:34729357:1 gene:B456_003G114200 transcript:KJB19684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKRVLSRHLFFKSRRAVTTSAALPLDPSYATVSSIPADHFSLCLSFSEQLINRGLLSSARKLFQRVVSNSSPVSDALSTVDFVTSRGLDLDLSTYAVLIKKLVQSGHLPLAYSFYSDYIIGRGIIPDSSIANSIVICLCKLGKLEEATILFDRLVTDNSCEKPAFNALVRLLCSQERFLDAFDYFIKMININVNLGCWYYNMLIDGLCQKGYLEEAIQMFDLMPERTESLPTLHLYKSLFYGLCKQGWVVEAESLFGKMESQGFFVDKTMYTSLINVYCKGRKMKMALRVYYRMLKMGCRPDSYTYNTLIHGFVKMGLFDYGWVLFNQMMEQGLQPSVVTFHVMISNYCREGKVDCASMLLNNMISKNLAPNAHCYTVLITSLCKENRIMEAEEFYERMLNGGLVPDHVLFFKLMKMYPKGYELDIAFMVLKAIALNGCGFDPLLLPVSANEELEQKIVILIEEILKSNLHLAKVAFNILISALCEQAQQDSALHFMDKMESLGCMPLLFTYNSLIKCLSQKSLFEDAESLLNRMQAQGIFPDQATCLIIINEHCKHGNLEPAFDILDQMEDRGMKPGVAIYDCIIGSLFRQKKVSEATAMFIRMLESGVDPDEIIYLTMINGFSNNGRVIEADQLFHEMIGAAIRPTSHSYTALISGLVKKNMTDKGCTYLEKMLDDGLVPNAVLYTSLISNFLQKREFEFAFRLVDLMDRNQIERDLIFYISLVSGFYRSISSRKRWFSMRRGSERAREKLFQLLHRQSLLPKEKNLRVSDSSPEAMKCFALKLIQKVKQTRFMPNLYLYNGIISGFCEADRMQDAYDHFELMQKEGVLPNQVTFTILMGGHIKAGEIDHAIGLFNKMNADGCTPDGIVYKILVNGLCQASRLLEALSLLHVMHKRGLIPSKEIAGSTYSI >KJB18575 pep chromosome:Graimondii2_0_v6:3:10989575:10991024:-1 gene:B456_003G061400 transcript:KJB18575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYPIEWEYIIEPILLFFFQLVVERMLASEGIKRVELGRDEFEKRVWEWKEKFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEDSSLETCGIQEV >KJB20171 pep chromosome:Graimondii2_0_v6:3:39514032:39516148:-1 gene:B456_003G136300 transcript:KJB20171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFLSESAMAVSSRGGTIESGVVSRKWKERVEISPERNKVWVEPKPLNTLVERKVAVVYYLSRNGQLQHPHFMQVPLSSNAGLYLKDVIKRLNLLRGKGMASLYSWSSKRSYKNGFVWHDLAEDDFIYPSHGQEYVLKGSEILDHSINLLESEKLEKDHDFQPIRRQRDPSRSSIDLPEHKFFTADSSSDSPGKLAADASTQTDDKRRKLVVKEPKIETLQSQELEQNQSTELRREDISPPRPSDSSSETLESLMKADARRSLCEGDGKEDNLNRSGRMKASAVLMQLISCGSVSIKEFGGESERGQGFSLTGHYQSRLPRGAGNRNQMGKEHFSGSLSETKREGAPDLKRSSGLQLAERETKAKAGSTKKQGKHDTTKSS >KJB18536 pep chromosome:Graimondii2_0_v6:3:10433545:10434808:1 gene:B456_003G059900 transcript:KJB18536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYGTIPTSSTPGPSANIEYLSRAKERIKEGLGARRPWKLMFNFHSINFPGNLSEAITRVRTNVAYFRMNYAMIVLLILFLSLLWHPISLLVFVAMMAAWLFLYFLRDEPLVVFRRTIDDRVVLVVLGVLTILFLLLTDATTNILVSLLIGAVVVLVHASFRRTDDLYDEESGEGLISGPSSSS >KJB19459 pep chromosome:Graimondii2_0_v6:3:31805230:31808466:-1 gene:B456_003G103600 transcript:KJB19459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGEIVQARNVYERAVEKLANEEDAEQLFVAFAEFEERCKKMERSRCIYKFALDHIPKNSAEDLYRKFVAFEKQYRDKEGIEHAIVGKRRFQYKDEVRKNPWNYDAWFDYIRLEESVGNKGRIREVYERAIANVPPAKEKRYWQRYIYLINYDLYEELDAGDMERTRDVYRECLKLIPHEKFSFAKIWLLAAQFEISQLNLKGARQILGNAFGKAPKDNIFKKYIEIELQLGNIDRCRKLYEKYLEWALENCYGWSKYAELERSLVETERARAIFELAILDIWRRDWWRDPNLYRRLSRGKPIGRTENLILEFSWFGESMGNKDSAFAEDV >KJB17275 pep chromosome:Graimondii2_0_v6:3:44231862:44233306:1 gene:B456_003G170800 transcript:KJB17275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPRSRLNVDINKFFEVSFVGQPTRTPNNRKYCPLGEGFVPSLRGETACRERLPRGPGSWRGASRPWWVTVHPLTVEVLPAP >KJB18451 pep chromosome:Graimondii2_0_v6:3:8185977:8187246:1 gene:B456_003G053500 transcript:KJB18451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSIRLLALSLSVTALFTCSSGHGHTYDPYNDKARMIHHGGPMLNGTVKLAIMWYGNCGKEIKNTMRNFIKSLTVPGKAKLQPQVTCWWKVVESYQSMIPDAKPGKSPKIKVKVVKQSGEKTYKYGKVLTLQGHIPELIEHVTKGDTELLPVIVAARDVSIQGICNGQCADHLLTEDPHPRPYIIVGNPETECPGECGWPFFPADMGPKGPVLKPPSGNMAADAMVVAFAGALVDAITNPMNDALYHSNKAKELGPATVCKGIFGRGAAPGNPGEVLTDPKTGGNFNAYGNKNKKFLLPAIWNPKTKSCWTV >KJB19956 pep chromosome:Graimondii2_0_v6:3:37558657:37559285:1 gene:B456_003G125800 transcript:KJB19956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIYIHIYLGRIVNVNIIYLNYKKTTECFTQHKDYFLHAQVRSNSGFPCINHNIRSIISASVIFISFQHYLYLACT >KJB17743 pep chromosome:Graimondii2_0_v6:3:994080:994886:1 gene:B456_003G015000 transcript:KJB17743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKKTVSKQMVTLEDDEAEIDPVKMILPDEEGEPEKLTTVGDGAASQQVEQHYIRSIESTVVIRQLPSEGLSFQLWPAATTLVTLLDNYLRHPSKSPLAATLSTDRKLKILELGSGTGLVGIAAAVTLGANVTVTDLPHVIPNLKFNADANADVVTKRGGTVDVAPLRWGEDHDLEVIGREFDLVLGSDVVYHDHLFEPLIKTLRLLLNGGTKKRVFVMAHLRRWKKDSVFFKKAKKLFDVEILHTDPPKEGSRIGVVVYVFIGKI >KJB20833 pep chromosome:Graimondii2_0_v6:3:43725189:43727633:-1 gene:B456_003G167400 transcript:KJB20833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATATSRVVEIPTDKPSQSPSVSYPTKKWQANLLQIMLSELKIQRGLALPLVAMNLTWFVKIAVTTAFLGRLGELQLAGGTLGFTFANVTGFSFLNGLCGAMEPICGQAFGAKNFRLLHKTLLMAIILLLLTTLPVSFLWLNVDKILIHFGQKEDISAVAKTYLFYLLPDLVVTSLLCPLKAYLSSQSITIPIMFSSALALAFHIPINIFLAKAKGIEGVSMAIWISDLIAAILLVLYVVVKENRKGENWNEGGWWDQRVEDWLRLLKLSGPCCLTTCLEWWCYEILVLLTGRLRNAKQAVGVIAIVLNFDYLLYSVMLSLATCASTRVSNELGSNQPIAAYQSAYVTLAVSTISGCVGALAMVGARGVWAPLFSHDKGIITGVKKMMLLMAVIEVVNFPLAVCGGIVRGTARPWLAMYANLGGFYLLALPLGVVLAFKAALGLSGLLIGLLVGMATCLALLLVMVVRIKWHEEADKAHILASDGNLETVNKVNDNEV >KJB18985 pep chromosome:Graimondii2_0_v6:3:19435483:19435764:-1 gene:B456_003G079000 transcript:KJB18985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCMKASKRQLEEEEIEKACEAAAKTIVKVKIVLTKQELELFMVKLEKNNNGGGKCLGDLLEEIEKARCGNKHESWRPSLESIMEDDPHDLN >KJB18568 pep chromosome:Graimondii2_0_v6:3:10716509:10717271:1 gene:B456_003G060800 transcript:KJB18568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEKDEMSPMFPRLHVNVTNKGPKTPPRNKIALYEQLNVPFQRFNLGSLSMLPLPPNNNNNSLNGADERSMLVPIYNSHESSILAEKFHSYSILMIKLNTMKGNQEENHMKTTNNHSLDSTLAIPEVSKSNLLQQVNFSNFKRFSSRKLRFNDYLMVPTTAVFGIDQNCSCNQESKG >KJB20956 pep chromosome:Graimondii2_0_v6:3:44856116:44856894:-1 gene:B456_003G177200 transcript:KJB20956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFHFTFALTLMIALISNSASQIPSASPTMPPSSGGAPPPTTTMTPAMTPTSSPSPTVVPTTPSPSMAPTPSMSSSPPSPMAPTPTPSMSSAPPPMGPSPSGPSADNAPAMTPVKSTPSFAVSTRGYTMSLFGLLGAVALFV >KJB17821 pep chromosome:Graimondii2_0_v6:3:1189854:1191452:1 gene:B456_003G017200 transcript:KJB17821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISSEPSFQIEMPPDSRSEAELSLNRVANSYSDTLPNRTVWVINSLPVDSDLRSLMMEYFANFEKTLEYCTALKDCLERAPNNHAIIESALKCYDEEDKLGVGTVEKNSVRALEELRKFRAAEEPFVKKFLELKIMAQQRYESMQEKVCARKKTLEKKVESWETWRRVLVAFFVAAFISVLVFSVVAVIKSAKPVITTLAGALTTAIVPLGTWCNKCLKRNKEKIKKNKKLTAIMEIYGSSATTIWMHVKRLEIKKTSLSRSVDYVLTEGYTLKVGMDNINNKLKLVTPIITDLLRETNNCSCKFGTVQEEIQRQMMLML >KJB19242 pep chromosome:Graimondii2_0_v6:3:27247712:27250029:1 gene:B456_003G090800 transcript:KJB19242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKKIENLTSRQVTFSKRRNGLLKKARELCILCDAEVGVIIFSTTGKLYQWSSTSMEDTLLRYNRGKVVEQHPSDDQKAEQNSQSFDVSALKEEYLKLRAAYMRLNGKELEGLSFKEVQQLEHQLNEGILSVKEHK >KJB18929 pep chromosome:Graimondii2_0_v6:3:18216874:18217995:1 gene:B456_003G075500 transcript:KJB18929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLRSLTKHFICACQLLLLLQAKVFCSQYKVGDLDAWGIPTSANPQVYAKWSKNHSFKLGDSLLFLYPPSQDSVIQVTEQSYNSCNLKDPILCMNNGNSLFNITKPGEYFFTSGEPGHCEKKQKLYIAVLSGNGSAALAPSYGPSALPDTASSPSYTTVFGNIPQPPSSSPSLGFPLFVTAVFALATWGIINAMI >KJB19266 pep chromosome:Graimondii2_0_v6:3:27783943:27784716:-1 gene:B456_003G092000 transcript:KJB19266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKLKIIRPWDWGENPNSPKKFPAKFTKKIDHHPYFTYWDYQMAWYNSFLMNNQHMRHSWLIYFKYGTQFKFSNLFQEWWNWYGPSSFEILPEKIQNLWPKFFDKFQPEPDQKHIYRTIHFSSKLCISWIVSWNYSYEQNQCIGIPLLVRNYRTKWWDKFNDEKYNSKYLDNFLNKNSRLCKSVAPNQTTTKFLLENSIVSAMLAQAKIKKEYKKLMVEMLCSMDSESEDEKSLASSINMVDLTDGTTSVTITRTKKK >KJB20494 pep chromosome:Graimondii2_0_v6:3:41921960:41923164:-1 gene:B456_003G1517002 transcript:KJB20494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCDGSVLLDDTSSFTGEQTATPNNGSLRGFEVVDEIKAKVEKVCPGVVSCADILAIAARDSVVILGGPDWDVKLGRRDSKTTSFSDANSGVLPLGSANLSQLISLFQAQGLSTKDMVALSGAHTIGKARCLVFRNRIYNDTIIDTSFAKTRQSSCPRTSGSGDNNLAPLDLATPNSFDSKYFENLLNKKGLLHSDQELFNGGSTDSLVKTYSSNVKKFYSDFIAAMIKMGDIKPLTGSNGEIRKNCGKPN >KJB20609 pep chromosome:Graimondii2_0_v6:3:42508116:42512292:-1 gene:B456_003G156000 transcript:KJB20609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKSTGFCYSTMPTHLVNQIQSFESNPEMFNLTTGMEMIGFFNKNGSNTTTTGTPSCSKAVNESTTEFYQHAEFTTGLEVGTESSGPWQDDSSFRCVFPCEGNERPSQGLSLSLSSSNPTTIGVQSFELKQVGHGYDNQHDDMRFIGSSSSSREGFFAKPANHHHHQGEFQLRNSKYLGPTQELLNEFCSLAMKQMDSSKQKQSIHKGNKQWDDENGGSSSMKQPLHSLDFMELQKRKTKLLSMLEELDRRYKHYCDQMKAVVLSFEAVAGIGAASVYSALASKAMSRHFRSLRDGIVGQIQATRKAMGEKDPVAPGTTKGETPRLRILDQALRQQRSYHQMNMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEHENNNMASPNGATNTNQNDQKPTPDQLVRVDSECLSSIVTNLDKNDPKSAKTIETRHSLHHHHHHHQQQSFATYGAMELDFSSYNHHQAAASNGGGVSLTLGLQQHGGNNGVSLAFSPTSQSSLFYPRSDQIEDCQPVQYSLLDGEGQHLPYRSLMGAQLLHDLAG >KJB17927 pep chromosome:Graimondii2_0_v6:3:2207786:2210053:-1 gene:B456_003G024900 transcript:KJB17927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HYQNYAAIKNLTTHGHRLPILCNVTKYETAFSKEVNEFAKRTKATVHCALPARAEATMINESSTSYMSRHMYEIVRNIARSIGTFPGMKLKPGLTWYMSKGISDLDIVTFSLNSRQPQNASGIVQLDATLSFLASKPVHCHFYKGLSIGVPVRSIPKQFINISHAKKNYEETQITGFWVDIFKEATSMMSVDRPYKLVPFYGSDDQLFKELACRTFDAAIGLAVMTKERSQVVEFSYPYIEVGPVVVIKENLEMNQFFSFMIPFTNKMWFTLAAITVFNAFVIQLVESGTGHESVGAIFWIPLATLFYGGHKESPRNSLTNFVLAPWFILILVVSSTYTASFTSMITSSDTESSSYLDIEILKKTNATVGCDMEDSIMLQHLVEVIGFQRKNIKHIAQSSFDDYAKALSTGYIKAAFFWTPYAEVFLAKYCKGFRAWSPSHGLRGSSIIVPQGSSFGSHMSEAMKLLIQSGKLKQMKEDMLSLSYCSSSTNDGTMKRGVGPGPFSGFFILSGSASAIALVITVIRLLRRRWERLVQGMLMGRGLWVWLTTLFSRNQRGNQLQVQLARISFTSHAELTNS >KJB19358 pep chromosome:Graimondii2_0_v6:3:30183490:30186613:-1 gene:B456_003G097400 transcript:KJB19358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSAATFSIGSTVSFGRRGSPPPLTKPSAARCTSQNSLASFSGLKAATSVNCDSESSFLGKESSAALRGSFARKAQKPNQSSHHGLQLQASYKVAILGAAGGIGQPLALLIKMSPLVSALNLYDIANVKGVAADLSHCNTPSQVLDFTGASELGNCLKGVNVVVIPAGVPRKPGMTRDDLFNINANIVKTLVEAVADNCPDAFIHVISNPVNSTVPIAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAEKKNLKLIDVDVPVIGGHAGITILPLLSKTKPSVRFSDEEVEQLTIRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECSFVQSDLTDLPFFASRVKLGRKGIEAMIPSDLQGLSEYEEKALEALKPELKASIEKGIAFAQKQPVTA >KJB17602 pep chromosome:Graimondii2_0_v6:3:417627:418058:-1 gene:B456_003G007100 transcript:KJB17602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNKLVTFIYTIVGVRRSVSDEGKRTKEAAPPPPAAAGYVVVMEGTAMGSNSSSSSYLQGSTEGETCCVCLSSMEEGEETRVLPCFHEFHRVCVDKWVNTCKKNCPICRFSMGEEDRFMFHRREAFTEEMMIWFSSFHIAGF >KJB17610 pep chromosome:Graimondii2_0_v6:3:447334:451725:1 gene:B456_003G007500 transcript:KJB17610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKESPIDRESKTARKNKRLRSSSSKYLKPGTLAHLRYNKASASKFCTDLGKKRVAVDTKKAEDDNVLMENKVIEESTLMLSPVNFHKQNGLVRTPKTPRPENFESESRLESLPMDLLVKILCHLHHDQLRAVFHVSQRIRKAVSQARQFHFNYTTPDRSRQEVLSTMTPRPTDHWPFMSKGEGKGIMLKSPHTPKAPRQGPRPPSRVKVTELRQIAAVLFQDSAFPSRCMVPSTLPKPLCKSLASNRVLFYEDELCQAVAQNKLR >KJB18115 pep chromosome:Graimondii2_0_v6:3:3460076:3463993:-1 gene:B456_003G034500 transcript:KJB18115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLLLLLLLLHISHSYAAGAAARAVTELRALIAVKSSITDDPQSYLSNWNASTPLCSFAGVTCDLTGRHVTSIDLTNVTLAGTLSPSLAHLRFLQNLSVAGNDLSGPIPTELAVLSNLRYLNLSNNVFNGSFPTQLSQLKNLQILDLYNNNMTGELPVSVTELPNLRHLHLGGNYFSGQIPSSYGRWEHLEYLAVSGNELSGKIPPEIGNLTKLKQLYIGYFNSFEGGLPPEIGNLSELVRFDAANCMLSGEIPPEIGKLQKLDTLFLQVNALSGSLTPELGTLNSLKSMDLSNNMFTGEIPASFAQLKNLTLLNLFRNKLHGRIPDFIGELPELEVLQLWENNFTGSIPQKLGSNKKLQVLDLSSNKLTGTLPPDMCSGNTLQTLITLGNFLLGPIPESLGKCESLSRIRMGENYLNGSIPKGLLGLPQLTQVELQDNYLTGEFPVTDSSISANLGQISLSNNQLSGALPASVGNFSGVQKLLLDGNKFSGPIPAEIGKLQQLSKIDFSHNKLSGLIPPEICKCKLLTFVDLSGNELSGRIPTEITSMRILNYLNLSRNHLLGSIPSSISTMQSLTSVDFSYNNLSGLVPGSGQFSYFNYTSFLGNPELCGPYLGPCKDGVAKGTHETHIKGGLSASLKLLLVIGLLVFSILFAVAAIIKARSLKKASDSRAWKLTAFQRLDFTCDDVLDCLKEDNIIGKGGAGIVYKGSMPSGDHVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLNSDFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVCGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKVLDPRLPSVPLHEVMHVFYVAMLCVEEQAVERPTMREVVQILTELPKPPNSESTTSPAPCTTLDPPTTTITKDQQQPPAPKSTPPDLLSI >KJB20802 pep chromosome:Graimondii2_0_v6:3:43581094:43583162:1 gene:B456_003G165800 transcript:KJB20802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIAFSSYSWLLTASHQKDGMLFPVALSFLVAILGISLWHVWTIRKPKKDIAPLPPGPRGLPIVGYLPYLGTDNLHLVFTDLAAAYGPIYKLWLGNKLCVVISSAPLAKEVVRDNDITFSERDPPVCAKIITFGLNDIVFDSYSSPDWRMKRKVLVREMLSHSSIKACYGLRREQVLKGVQNVAQSAGKPIDFGETAFLTSINAMMSMLWGGKQGGEQKGADVWGQFRDLITELMVILGKPNVSDIFPVLARFDIQGLEKEMTKIVNSFDKLFNSMIEERENFSNKLSKEDGNTEAKDFLQLLLDLKQKNDSGISITMNQVKALLMDIVVGGTDTTSTMMEWTMAELIANPEAMKKVKQEIDDVVGSDAAVDETHLPKLRYLDAAVKETFRLHPPMPLLVPRCPGDLSNVGGYSIPKGTRVFLNIWCIQRDPQLWENPLEFKPERFLTDHEKLDYLGNDSRYMPFGSGRRMCAGVSLGEKMLYSSLAAMIHAYDWNLADGEENDLIGLFGIIMKKKKPLILVPTPRPSNLQHYMK >KJB20745 pep chromosome:Graimondii2_0_v6:3:43182416:43183367:1 gene:B456_003G162600 transcript:KJB20745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSGKHPMYRGIRCRSGKWVSEIREPRKATRIWLGTYPTPEMAAAAYDVAALALKGSEAVVNFPDSVGSYPIPGSTSSADIRKAAAAAAAMKRGENKSSESSNLVATVQNHVVKDEGFTTGEYVDEDVFLNMPNLLVDMANGLLVSPPRISLIPSDDDDDSPDNCNGGSLWSY >KJB20456 pep chromosome:Graimondii2_0_v6:3:41708285:41709757:1 gene:B456_003G149600 transcript:KJB20456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSSPCASCKLLRRRCAKDCIFAPYFPSDDPHKFAIVHKVFGASNVSKMLQELPVQQRADAVSSLVYEANARVRDPVYGCVGAISYLQNQVSQLQMQLAVAQTEILCIQMQHHQEDSALALPTQMQHHDDDDYKPFLLPTTHNLDNISQYINFASSSNVIQDPAATVKRESLWT >KJB21353 pep chromosome:Graimondii2_0_v6:4:62109245:62118648:-1 gene:B456_004G293400 transcript:KJB21353 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDB1- and CUL4-associated factor homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G31160) UniProtKB/Swiss-Prot;Acc:Q9M086] MDDMSNQGQPPNRNPNSHPNPNQNEDQNQTEEQQPAEIEQQHHDEEEAEEEEDDEEEEEEEEEEGRKEDEELMAKAQALMEKITSSPDNPNPTVLHALASLLETQESLFRCLEENGPSSSNGRASHNVGQLGNLVRENDEFFDLISSKFLSESRYSTSVQAAAARLLLSCSVTWIYPHVFEEPVLENIKAWVMNETPRFSIEDHNCKHDLPRNEASDAEILKTYSTGLLAVCLAGGGQVVEDVLTSGLSAKLMRYLRVRVLGESTACQNDAFHLSESKSLSGAASFRSRDEGRGRVRQVLETTHIDDPRLIDEKPLDDHCPERDQERSTSRQSCGEECWVGDRQLSDGVGGGVYMHDVDADSEERWHIRDIRDGKLRYGEVDENGRDESSRRRINRGSARSKGKGRTSEGVMENEQSLTSPGSGSRSGLQHSMRDRNLSKHLDARKVLEAKKFVGKTNADNVVVEREDNDECFQGCKVGSKDFSDLVKKAVRAAEAEAMAASAPVEAIKAAGEAAAEVVKCAALEEFKTTNNEEAALLAASKAAATVVDAANAIEVSRDSTSTGSDPINKSAAETEGNEDVEEYFIPNVEVLSQLKERYCIQCLETLGEYVEVLGPVLHEKGVDVCLALLQRSSKIEEASKATSLLPDVMKLICALAAHRKFAALFVDRGGMQKLLAVPRVAQNCFGLSSCLFTIGSLQGIMERVCALPSDVVHQVVELAIQLLECPQDQVRKNAALFFAAAFVFRAVLDAFDAQDGLQKLLGLLNDAASVRSGANSGSLGLSGTTSFRNERSPSEVLTSSEKQIAYHACVALRQYFRAHLLLLVDSIRPNKSNRSGVRSIPSTRAAYKPLDISNEAMDAVFLQLQKDRKLGPAFVRTRWPAVEKFLGCNGHITMLELCQAPPVERYLHDLLQYALGVLHIVTLVPISRKMIVNATLSNNRAGIAVILDAANSASSLVDPEIIQPALNVLINLVCPPPSISNKPSLLAQGQQFASGQTTNAPAVETRNAERNILDRAVFLPNQSEMRERSGELNLVDRGTAAGTQSTSSIAQTSVSAAASGLVGDRRISLGAGAGCAGLAAQLEQGYRQAREVVRANNGIKVLLHLLQPRIYSPPAALDCLRALACRVLLGLARDETIAHILTKLQVGKKLSELIRDSGGLTPGTDQGRWQSELAQVAIELIAIVTNSGRASTLAATDAATPTLRRIERAAIAAATPITYHSRELLLLIHEHLQASGLAETAASLLKEAQLTPLPSLAAPASLAHQASVQDAPSTQLQWPSGRTSGGFLSSRSKIAIRDEDINMKCDSTSSLKKKSLVFSPTFGLQPKNHFYSQDSQPPSVRKTLASSKSSVSDTQTESMMKSNLDSELHCKTPLVLPMKRKLSELKDTGSTLSGKRFNTGDHGPRSPVCLTPNSTRRNCLLADAAALTPTSILRDQHVRATPSSLIDLSEDNLCGSSNVGQMTPSQVGLLNDPQPSNSERLSLDTIVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPMPKRSLDAPSNITSRLGTREFRSVYGGVHGNRRDRQFVYSRFRPWRTCRDDAGSLLTCVCFLGDSSHIAVGSHAGELKIFDSNSNNVMDSCTGHQLPVTLVQSYFSGETQMVLSSSSQDVRLWDASSFSGGAMHSFEGCKAARFSNSGSSFAALSADSTQREILLYDIQTYQLELKLSDASANSTGRGHVYSLIHFSPSDTMLLWNGVLWDRRVPDPVHRFDQFTDYGGGGFHPAENEVIINSEVWDLRKFRLLRSVPSLDQTAITFNARGDVIYAILRRNLEDVMSAVNTRRVKHPLFAAFRTLDAINYSDIATIPVDRCVLDFATEPTDSFVGLITMDDQEEMFSSARVYEIGRRRPTDDDSDPDDAESDEDEDDDDDDDADVDPILGPDLNGDGESDADEMSSDDDSVSGLDDDDDDGDFITDDADFDGGGGILEIVTEGEDDDDDSQLVESFSSGEEEDFVGNGFGF >KJB21351 pep chromosome:Graimondii2_0_v6:4:62108748:62118838:-1 gene:B456_004G293400 transcript:KJB21351 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDB1- and CUL4-associated factor homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G31160) UniProtKB/Swiss-Prot;Acc:Q9M086] MDDMSNQGQPPNRNPNSHPNPNQNEDQNQTEEQQPAEIEQQHHDEEEAEEEEDDEEEEEEEEEEGRKEDEELMAKAQALMEKITSSPDNPNPTVLHALASLLETQESLCLEENGPSSSNGRASHNVGQLGNLVRENDEFFDLISSKFLSESRYSTSVQAAAARLLLSCSVTWIYPHVFEEPVLENIKAWVMNETPRFSIEDHNCKHDLPRNEASDAEILKTYSTGLLAVCLAGGGQVVEDVLTSGLSAKLMRYLRVRVLGESTACQNDAFHLSESKSLSGAASFRSRDEGRGRVRQVLETTHIDDPRLIDEKPLDDHCPERDQERSTSRQSCGEECWVGDRQLSDGVGGGVYMHDVDADSEERWHIRDIRDGKLRYGEVDENGRDESSRRRINRGSARSKGKGRTSEGVMENEQSLTSPGSGSRSGLQHSMRDRNLSKHLDARKVLEAKKFVGKTNADNVVVEREDNDECFQGCKVGSKDFSDLVKKAVRAAEAEAMAASAPVEAIKAAGEAAAEVVKCAALEEFKTTNNEEAALLAASKAAATVVDAANAIEVSRDSTSTGSDPINKSAAETEGNEDVEEYFIPNVEVLSQLKERYCIQCLETLGEYVEVLGPVLHEKGVDVCLALLQRSSKIEEASKATSLLPDVMKLICALAAHRKFAALFVDRGGMQKLLAVPRVAQNCFGLSSCLFTIGSLQGIMERVCALPSDVVHQVVELAIQLLECPQDQVRKNAALFFAAAFVFRAVLDAFDAQDGLQKLLGLLNDAASVRSGANSGSLGLSGTTSFRNERSPSEVLTSSEKQIAYHACVALRQYFRAHLLLLVDSIRPNKSNRSGVRSIPSTRAAYKPLDISNEAMDAVFLQLQKDRKLGPAFVRTRWPAVEKFLGCNGHITMLELCQAPPVERYLHDLLQYALGVLHIVTLVPISRKMIVNATLSNNRAGIAVILDAANSASSLVDPEIIQPALNVLINLVCPPPSISNKPSLLAQGQQFASGQTTNAPAVETRNAERNILDRAVFLPNQSEMRERSGELNLVDRGTAAGTQSTSSIAQTSVSAAASGLVGDRRISLGAGAGCAGLAAQLEQGYRQAREVVRANNGIKVLLHLLQPRIYSPPAALDCLRALACRVLLGLARDETIAHILTKLQVGKKLSELIRDSGGLTPGTDQGRWQSELAQVAIELIAIVTNSGRASTLAATDAATPTLRRIERAAIAAATPITYHSRELLLLIHEHLQASGLAETAASLLKEAQLTPLPSLAAPASLAHQASVQDAPSTQLQWPSGRTSGGFLSSRSKIAIRDEDINMKCDSTSSLKKKSLVFSPTFGLQPKNHFYSQDSQPPSVRKTLASSKSSVSDTQTESMMKSNLDSELHCKTPLVLPMKRKLSELKDTGSTLSGKRFNTGDHGPRSPVCLTPNSTRRNCLLADAAALTPTSILRDQHVRATPSSLIDLSEDNLCGSSNVGQMTPSQVGLLNDPQPSNSERLSLDTIVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPMPKRSLDAPSNITSRLGTREFRSVYGGVHGNRRDRQFVYSRFRPWRTCRDDAGSLLTCVCFLGDSSHIAVGSHAGELKIFDSNSNNVMDSCTGHQLPVTLVQSYFSGETQMVLSSSSQDVRLWDASSFSGGAMHSFEGCKAARFSNSGSSFAALSADSTQREILLYDIQTYQLELKLSDASANSTGRGHVYSLIHFSPSDTMLLWNGVLWDRRVPDPVHRFDQFTDYGGGGFHPAENEVIINSEVWDLRKFRLLRSVPSLDQTAITFNARGDVIYAILRRNLEDVMSAVNTRRVKHPLFAAFRTLDAINYSDIATIPVDRCVLDFATEPTDSFVGLITMDDQEEMFSSARVYEIGRRRPTDDDSDPDDAESDEDEDDDDDDDADVDPILGPDLNGDGESDADEMSSDDDSVSGLDDDDDDGDFITDDADFDGGGGILEIVTEGEDDDDDSQLVESFSSGEEEDFVGNGFGF >KJB21354 pep chromosome:Graimondii2_0_v6:4:62108748:62118941:-1 gene:B456_004G293400 transcript:KJB21354 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDB1- and CUL4-associated factor homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G31160) UniProtKB/Swiss-Prot;Acc:Q9M086] MDDMSNQGQPPNRNPNSHPNPNQNEDQNQTEEQQPAEIEQQHHDEEEAEEEEDDEEEEEEEEEEGRKEDEELMAKAQALMEKITSSPDNPNPTVLHALASLLETQESLFRCLEENGPSSSNGRASHNVGQLGNLVRENDEFFDLISSKFLSESRYSTSVQAAAARLLLSCSVTWIYPHVFEEPVLENIKAWVMNETPRFSIEDHNCKHDLPRNEASDAEILKTYSTGLLAVCLAGGGQVVEDVLTSGLSAKLMRYLRVRVLGESTACQNDAFHLSESKSLSGAASFRSRDEGRGRVRQVLETTHIDDPRLIDEKPLDDHCPERDQERSTSRQSCGEECWVGDRQLSDGVGGGVYMHDVDADSEERWHIRDIRDGKLRYGEVDENGRDESSRRRINRGSARSKGKGRTSEGVMENEQSLTSPGSGSRSGLQHSMRDRNLSKHLDARKVLEAKKFVGKTNADNVVVEREDNDECFQGCKVGSKDFSDLVKKAVRAAEAEAMAASAPVEAIKAAGEAAAEVVKCAALEEFKTTNNEEAALLAASKAAATVVDAANAIEVSRDSTSTGSDPINKSAAETEGNEDVEEYFIPNVEVLSQLKERYCIQCLETLGEYVEVLGPVLHEKGVDVCLALLQRSSKIEEASKATSLLPDVMKLICALAAHRKFAALFVDRGGMQKLLAVPRVAQNCFGLSSCLFTIGSLQGIMERVCALPSDVVHQVVELAIQLLECPQDQVRKNAALFFAAAFVFRAVLDAFDAQDGLQKLLGLLNDAASVRSGANSGSLGLSGTTSFRNERSPSEVLTSSEKQIAYHACVALRQYFRAHLLLLVDSIRPNKSNRSGVRSIPSTRAAYKPLDISNEAMDAVFLQLQKDRKLGPAFVRTRWPAVEKFLGCNGHITMLELCQAPPVERYLHDLLQYALGVLHIVTLVPISRKMIVNATLSNNRAGIAVILDAANSASSLVDPEIIQPALNVLINLVCPPPSISNKPSLLAQGQQFASGQTTNAPAVETRNAERNILDRAVFLPNQSEMRERSGELNLVDRGTAAGTQSTSSIAQTSVSAAASGLVGDRRISLGAGAGCAGLAAQLEQGYRQAREVVRANNGIKVLLHLLQPRIYSPPAALDCLRALACRVLLGLARDETIAHILTKLQVGKKLSELIRDSGGLTPGTDQGRWQSELAQVAIELIAIVTNSGRASTLAATDAATPTLRRIERAAIAAATPITYHSRELLLLIHEHLQASGLAETAASLLKEAQLTPLPSLAAPASLAHQASVQDAPSTQLQWPSGRTSGGFLSSRSKIAIRDEDINMKCDSTSSLKKKSLVFSPTFGLQPKNHFYSQDSQPPSVRKTLASSKSSVSDTQTESMMKSNLDSELHCKTPLVLPMKRKLSELKDTGSTLSGKRFNTGDHGPRSPVCLTPNSTRRNCLLADAAALTPTSILRDQHVRATPSSLIDLSEDNLCGSSNVGQMTPSQVGLLNDPQPSNSERLSLDTIVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPMPKRSLDAPSNITSRLGTREFRSVYGGVHGNRRDRQFVYSRFRPWRTCRDDAGSLLTCVCFLGDSSHIAVGSHAGELKIFDSNSNNVMDSCTGHQLPVTLVQSYFSGETQMVLSSSSQDVRLWDASSFSGGAMHSFEGCKAARFSNSGSSFAALSADSTQREILLYDIQTYQLELKLSDASANSTGRGHVYSLIHFSPSDTMLLWNGVLWDRRVPDPVHRFDQFTDYGGGGFHPAENEVIINSEVWDLRKFRLLRSVPSLDQTAITFNARGDVIYAILRRNLEDVMSAVNTRRVKHPLFAAFRTLDAINYSDIATIPVDRCVLDFATEPTDSFVGLITMDDQEEMFSSARVYEIGRRRPTDDDSDPDDAESDEDEDDDDDDDADVDPILGPDLNGDGESDADEMSSDDDSVSGLDDDDDDGDFITDDADFDGGGGILEIVTEGEDDDDDSQLVESFSSGEEEDFVGNGFGF >KJB21352 pep chromosome:Graimondii2_0_v6:4:62109245:62118648:-1 gene:B456_004G293400 transcript:KJB21352 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDB1- and CUL4-associated factor homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G31160) UniProtKB/Swiss-Prot;Acc:Q9M086] MDDMSNQGQPPNRNPNSHPNPNQNEDQNQTEEQQPAEIEQQHHDEEEAEEEEDDEEEEEEEEEEGRKEDEELMAKAQALMEKITSSPDNPNPTVLHALASLLETQESLFRCLEENGPSSSNGRASHNVGQLGNLVRENDEFFDLISSKFLSESRYSTSVQAAAARLLLSCSVTWIYPHVFEEPVLENIKAWVMNETPRFSIEDHNCKHDLPRNEASDAEILKTYSTGLLAVCLAGGGQVVEDVLTSGLSAKLMRYLRVRVLGESTACQNDAFHLSESKSLSGAASFRSRDEGRGRVRQVLETTHIDDPRLIDEKPLDDHCPERDQERSTSRQSCGEECWVGDRQLSDGVGGGVYMHDVDADSEERWHIRDIRDGKLRYGEVDENGRDESSRRRINRGSARSKGKGRTSEGVMENEQSLTSPGSGSRSGLQHSMRDRNLSKHLDARKVLEAKKFVGKTNADNVVVEREDNDECFQGCKVGSKDFSDLVKKAVRAAEAEAMAASAPVEAIKAAGEAAAEVVKCAALEEFKTTNNEEAALLAASKAAATVVDAANAIEVSRDSTSTGSDPINKSAAETEGNEDVEEYFIPNVEVLSQLKERYCIQCLETLGEYVEVLGPVLHEKGVDVCLALLQRSSKIEEASKATSLLPDVMKLICALAAHRKFAALFVDRGGMQKLLAVPRVAQNCFGLSSCLFTIGSLQGIMERVCALPSDVVHQVVELAIQLLECPQDQVRKNAALFFAAAFVFRAVLDAFDAQDGLQKLLGLLNDAASVRSGANSGSLGLSGTTSFRNERSPSEVLTSSEKQIAYHACVALRQYFRAHLLLLVDSIRPNKSNRSGVRSIPSTRAAYKPLDISNEAMDAVFLQLQKDRKLGPAFVRTRWPAVEKFLGCNGHITMLELCQAPPVERYLHDLLQYALGVLHIVTLVPISRKMIVNATLSNNRAGIAVILDAANSASSLVDPEIIQPALNVLINLVCPPPSISNKPSLLAQGQQFASGQTTNAPAVETRNAERNILDRAVFLPNQSEMRERSGELNLVDRGTAAGTQSTSSIAQTSVSAAASGLVGDRRISLGAGAGCAGLAAQLEQGYRQAREVVRANNGIKVLLHLLQPRIYSPPAALDCLRALACRVLLGLARDETIAHILTKLQVGKKLSELIRDSGGLTPGTDQGRWQSELAQVAIELIAIVTNSGRASTLAATDAATPTLRRIERAAIAAATPITYHSRELLLLIHEHLQASGLAETAASLLKEAQLTPLPSLAAPASLAHQASVQDAPSTQLQWPSGRTSGGFLSSRSKIAIRDEDINMKCDSTSSLKKKSLVFSPTFGLQPKNHFYSQDSQPPSVRKTLASSKSSVSDTQTESMMKSNLDSELHCKTPLVLPMKRKLSELKDTGSTLSGKRFNTGDHGPRSPVCLTPNSTRRNCLLADAAALTPTSILRDQHVRATPSSLIDLSEDNLCGSSNVGQMTPSQVGLLNDPQPSNSERLSLDTIVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPMPKRSLDAPSNITSRLGTREFRSVYGGVHGNRRDRQFVYSRFRPWRTCRDDAGSLLTCVCFLGDSSHIAVGSHAGELKIFDSNSNNVMDSCTGHQLPVTLVQSYFSGETQMVLSSSSQDVRLWDASSFSGGAMHSFEGCKAARFSNSGSSFAALSADSTQREILLYDIQTYQLELKLSDASANSTGRGHVYSLIHFSPSDTMLLWNGVLWDRRVPDPVHRFDQFTDYGGGGFHPAENEVIINSEVWDLRKFRLLRSVPSLDQTAITFNARGDVIYAILRRNLEDVMSAVNTRRVKHPLFAAFRTLDAINYSDIATIPVDRCVLDFATEPTDSFVGLITMDDQEEMFSSARVYEIGRRRPTDDDSDPDDAESDEDEDDDDDDDADVDPILGPDLNGDGESDADEMSSDDDSVSGLDDDDDDGDFITDDADFDGGGGILEIVTEGEDDDDDSQLVESFSSGEEEDFVGNGFGF >KJB22560 pep chromosome:Graimondii2_0_v6:4:5203806:5210315:1 gene:B456_004G054500 transcript:KJB22560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKLLLYPAPTYRTLETYWDSDEDAPGPRCGHTLTAVAATKTHGPRLILFGGATAIEGGAASSAPGIRLAGVTNSVHSYDVLTRKWTRIRPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGQGPGPRYGHVMDLVAQRYLVTVSGNDGKRVLSDAWALDTGQKPYVWQRLNPEGDRPSARMYATASARSDGMFLLCGGRDSSGAPLADAYGLLMHRNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGTLRGGRAIEGEATVAVLDTAAGVWLDRNGLVTSSRNTKGHVEYDPSLELMRRCRHASASVGVRIYIYGGLRGDMLLDDFLVAENSPFQSDMSSPILSPDRASSVSSPRFNQPNLSSFGTSPTSDDGQEFSSSGSMSMDKNSMEKLREASAAEAEAASAVWQAAQAASAVPAEETSVSDDNSPAAETASDGSDNEGDVRLHPRAVVVAKEAVGNLGGMVRQLSLDQFENESRRMIPSNNDLSYPTKKFARQRSPQGLHKKVISTLLRPRNWKAPVNRRFFLDSYEVGELCYAAEQLFMQEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSIHSVEQIEKLERPITMDAGSIILMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVTDFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPIQSPETSPERVGDDTWMQELNIQRPPTPTRGRPQPDHDRSSLAYI >KJB22561 pep chromosome:Graimondii2_0_v6:4:5204149:5210311:1 gene:B456_004G054500 transcript:KJB22561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGQGPGPRYGHVMDLVAQRYLVTVSGNDGKRVLSDAWALDTGQKPYVWQRLNPEGDRPSARMYATASARSDGMFLLCGGRDSSGAPLADAYGLLMHRNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGTLRGGRAIEGEATVAVLDTAAGVWLDRNGLVTSSRNTKGHVEYDPSLELMRRCRHASASVGVRIYIYGGLRGDMLLDDFLVAENSPFQSDMSSPILSPDRASSVSSPRFNQPNLSSFGTSPTSDDGQEFSSSGSMSMDKNSMEKLREASAAEAEAASAVWQAAQAASAVPAEETSVSDDNSPAAETASDGSDNEGDVRLHPRAVVVAKEAVGNLGGMVRQLSLDQFENESRRMIPSNNDLSYPTKKFARQRSPQGLHKKVISTLLRPRNWKAPVNRRFFLDSYEVGELCYAAEQLFMQEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSIHSVEQIEKLERPITMDAGSIILMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVTDFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPIQSPETSPERVGDDTWMQELNIQRPPTPTRGRPQPDHDRSSLAYI >KJB22564 pep chromosome:Graimondii2_0_v6:4:5204616:5210311:1 gene:B456_004G054500 transcript:KJB22564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLCGGRDSSGAPLADAYGLLMHRNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGTLRGGRAIEGEATVAVLDTAAGVWLDRNGLVTSSRNTKGHVEYDPSLELMRRCRHASASVGVRIYIYGGLRGDMLLDDFLVAENSPFQSDMSSPILSPDRASSVSSPRFNQPNLSSFGTSPTSDDGQEFSSSGSMSMDKNSMEKLREASAAEAEAASAVWQAAQAASAVPAEETSVSDDNSPAAETASDGSDNEGDVRLHPRAVVVAKEAVGNLGGMVRQLSLDQFENESRRMIPSNNDLSYPTKKFARQRSPQGLHKKVISTLLRPRNWKAPVNRRFFLDSYEVGELCYAAEQLFMQEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSIHSVEQIEKLERPITMDAGSIILMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVTDFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPIQSPETSPERVGDDTWMQELNIQRPPTPTRGRPQPDHDRSSLAYI >KJB22562 pep chromosome:Graimondii2_0_v6:4:5204149:5210311:1 gene:B456_004G054500 transcript:KJB22562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKLLLYPAPTYRTLETYWDSDEDAPGPRCGHTLTAVAATKTHGPRLILFGGATAIEGGAASSAPGIRLAGVTNSVHSYDVLTRKWTRFLLIIRPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGQGPGPRYGHVMDLVAQRYLVTVSGNDGKRVLSDAWALDTGQKPYVWQRLNPEGDRPSARMYATASARSDGMFLLCGGRDSSGAPLADAYGLLMHRNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGTLRGGRAIEGEATVAVLDTAAGVWLDRNGLVTSSRNTKGHVEYDPSLELMRRCRHASASVGVRIYIYGGLRGDMLLDDFLVAENSPFQSDMSSPILSPDRASSVSSPRFNQPNLSSFGTSPTSDDGQEFSSSGSMSMDKNSMEKLREASAAEAEAASAVWQAAQAASAVPAEETSVSDDNSPAAETASDGSDNEGDVRLHPRAVVVAKEAVGNLGGMVRQLSLDQFENESRRMIPSNNDLSYPTKKFARQRSPQGLHKKVISTLLRPRNWKAPVNRRFFLDSYEVGELCYAAEQLFMQEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSIHSVEQIEKLERPITMDAGSIILMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVTDFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPIQSPETSPERVGDDTWMQELNIQRPPTPTRGRPQPDHDRSSLAYI >KJB22565 pep chromosome:Graimondii2_0_v6:4:5207281:5210311:1 gene:B456_004G054500 transcript:KJB22565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDDFLVAENSPFQSDMSSPILSPDRASSVSSPRFNQPNLSSFGTSPTSDDGQEFSSSGSMSMDKNSMEKLREASAAEAEAASAVWQAAQAASAVPAEETSVSDDNSPAAETASDGSDNEGDVRLHPRAVVVAKEAVGNLGGMVRQLSLDQFENESRRMIPSNNDLSYPTKKFARQRSPQGLHKKVISTLLRPRNWKAPVNRRFFLDSYEVGELCYAAEQLFMQEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSIHSVEQIEKLERPITMDAGSIILMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVTDFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPIQSPETSPERVGDDTWMQELNIQRPPTPTRGRPQPDHDRSSLAYI >KJB22563 pep chromosome:Graimondii2_0_v6:4:5204616:5210311:1 gene:B456_004G054500 transcript:KJB22563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLCGGRDSSGAPLADAYGLLMHRNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGTLRGGRAIEGEATVAVLDTAAGVWLDRNGLVTSSRNTKGHVEYDPSLELMRRCRHASASVGVRIYIYGGLRGDMLLDDFLVAENSPFQSDMSSPILSPDRASSVSSPRFNQPNLSSFGTSPTSDDGQEFSSSGSMSMDKNSMEKLREASAAEAEAASAVWQAAQAASAVPAEETSVSDDNSPAAETASDGSDNEGDVRLHPRAVVVAKEAVGNLGGMVRQLSLDQFENESRRMIPSNNDLSYPTKKFARQRSPQGLHKKVISTLLRPRNWKAPVNRRFFLDSYEVGELCYAAEQLFMQEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSIHSVEQIEKLERPITMDAGSIILMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVTDFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPIQSPETSPERVGDDTWMQELNIQRPPTPTRGRPQPDHDRSSLAYI >KJB22607 pep chromosome:Graimondii2_0_v6:4:5483775:5492472:-1 gene:B456_004G057300 transcript:KJB22607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNDRETKESTMGGDEREHRRLAMTLAAVLFLGTFQLGEGELRGDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCMLLDPYNQTDNPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCIEFGIEANAIIAAPYDWRLSPSMLEERDLYFYKLKQTFETALKLRGGPSIVFAHSLGNNVFRYFLEWLKLEIAPKQYIQWLDDHIYAFFAVGAPLLGSTETVKGMLSGNTFGLPVSEGTARLMFNSFGSSLWMMPFSKYCRADAMYLKHFSGGIRKGPNAYQCEQKEFQSNYSGFSTNIINIEIPSTRGIDAYPSVSEFSQTNYSSMECGLPTQLSFSAREISDGTFFKAIEDYDSDSKRTLHQLKKSYHDDPVLNPLTPWDRPPIKNVFCIYGVDSRTEVGYYFAPSGKPYPDNWIITDVIYEIEGSLVSRSGNQVEGNPGPASGDETVPYHSLSWCKNWLGPRVNITRAPQSEHDGSDVQVELNVEHHNEDVVPNMTRSPRVKYITYYEDSESIPGKRTAVWELDKANHRNIVRSPALMRELWLQIWHDIHADSPSKFVTKAKRGPLRDDDCYWDYGKARCAWPEYCEYRYVFGDVHLGQSCRLRNSSADALLHYV >KJB22606 pep chromosome:Graimondii2_0_v6:4:5483775:5492488:-1 gene:B456_004G057300 transcript:KJB22606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNDRETKESTMGGDEREHRRLAMTLAAVLFLGTFQLGEGELRGDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCMLLDPYNQTDNPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCIEFGIEANAIIAAPYDWRLSPSMLEERDLYFYKLKQTFETALKLRGGPSIVFAHSLGNNVFRYFLEWLKLEIAPKQYIQWLDDHIYAFFAVGAPLLGSTETVKGMLSGNTFGLPVSEGTARLMFNSFGSSLWMMPFSKYCRADAMYLKHFSGGIRKGPNAYQCEQKEFQSNYSGFSTNIINIEIPSTRGIDAYPSVSEFSQTNYSSMECGLPTQLSFSAREISDGTFFKAIEDYDSDSKRTLHQLKKSYHDDPVLNPLTPWDRPPIKNVFCIYGVDSRTEVGYYFAPSGKPYPDNWIITDVIYEIEGSLVSRSGNQVEGNPGPASGDETVPYHSLSWCKNWLGPRVNITRAPQSEHDGSDVQVELNVEHHNEDVVPNMTRSPRVKYITYYEDSESIPGKRTAVWELDKANHRNIVRSPALMRELWLQIWHDIHADSPSKFVTKAKRGPLRDDDCYWDYGKARCAWPEYCEYRYVFGDVHLGQSCRLRNSSADALLHYV >KJB24063 pep chromosome:Graimondii2_0_v6:4:33211837:33212769:-1 gene:B456_004G126500 transcript:KJB24063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTMAAPEEELRKRNQELEKELKESREREEQMRKELRKMWERLRVAEEAEERLCSQLGDLEAESVNQARAFNAHILSLMDQLSKGSNLLINSHPSPASISIL >KJB26048 pep chromosome:Graimondii2_0_v6:4:55692431:55694799:1 gene:B456_004G222500 transcript:KJB26048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGGGGGSESGSMSTVSRDENMVVSSEDSSCPDESGLELGLGLSLGGGGGGGRQGGGFKMHHVSKGGPYARILTAKDFPSPSSSSSSSSSSPSLSKASVTAGTKRTAESVAVAANGSSQVVGWPPIRAYRMNSMVNQAKVLTMENRKKETSMVENSTIGGYRNNGNTKMKKSTFVKVNMDGTPIGRKVDLNAHESYEKLAKTLEDMFLETVPSVNPSGSTALQLDMLNRMTRHSKLLDGSSDFVLTYEDKEGDWMLVGDVPWEYV >KJB26047 pep chromosome:Graimondii2_0_v6:4:55692264:55694533:1 gene:B456_004G222500 transcript:KJB26047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGGGGGSESGSMSTVSRDENMVVSSEDSSCPDESGLELGLGLSLGGGGGGGRQGGGFKMHHVSKGGPYARILTAKDFPSPSSSSSSSSSSPSLSKASVTAGTKRTAESVAVAANGSSQVVGWPPIRAYRMNSMVNQAKVLTMENRKKETSMVENSTIGGYRNNGNTKMKKSTFVKVNMDGTPIGRKVDLNAHESYEKLAKTLEDMFLETVPSVNPSGKLS >KJB26049 pep chromosome:Graimondii2_0_v6:4:55692264:55695718:1 gene:B456_004G222500 transcript:KJB26049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGGGGGSESGSMSTVSRDENMVVSSEDSSCPDESGLELGLGLSLGGGGGGGRQGGGFKMHHVSKGGPYARILTAKDFPSPSSSSSSSSSSPSLSKASVTAGTKRTAESVAVAANGSSQVVGWPPIRAYRMNSMVNQAKVLTMENRKKETSMVENSTIGGYRNNGNTKMKKSTFVKVNMDGTPIGRKVDLNAHESYEKLAKTLEDMFLETVPSVNPSGSTALQLDMLNRMTRHSKLLDGSSDFVLTYEDKEGDWMLVGDVPWEMFLTSVKRLRIMRKSEATGLAPR >KJB22152 pep chromosome:Graimondii2_0_v6:4:2599329:2604054:-1 gene:B456_004G031800 transcript:KJB22152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIGKTTTATLFLCLLLFPIVFSTPNDRLVRIGLKKRKIDRNNRMAAHLESKEEKASEAFLRKYRLHGNLGESEDIDIVALKNYMDAQYFGEIGIGTPTQNFTVIFDTGSSNLWVPSSKCYFSIACYFHPKYKSSHSRTYKANGKPADIQYGTGAISGFFSEDHVTVGDLVVKHQEFIEATKESSLTFLIAKFDGILGLGFKEISVGNAVPVWYNMVNQGLVNEPVFSFWLNRNPEDDVGGEVVFGGMDPKHYKGEHTYVPVTQKGYWQFDMGDVLIGDQTTGLCASGCSAIVDSGTSLLTGPTAIIAQVNHAIGATGVVSQECKTVVSEYGETIIDLLLSKDQPLKVCSQIGLCSFDGTRDSYGCKANLNRTRLRSVYLITSMSSVTGCLVQWENQLLIVTAYLLCLVSPLQSVERYLSLPLSSTF >KJB22151 pep chromosome:Graimondii2_0_v6:4:2599238:2604149:-1 gene:B456_004G031800 transcript:KJB22151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIGKTTTATLFLCLLLFPIVFSTPNDRLVRIGLKKRKIDRNNRMAAHLESKEEKASEAFLRKYRLHGNLGESEDIDIVALKNYMDAQYFGEIGIGTPTQNFTVIFDTGSSNLWVPSSKCYFSIACYFHPKYKSSHSRTYKANGKPADIQYGTGAISGFFSEDHVTVGDLVVKHQEFIEATKESSLTFLIAKFDGILGLGFKEISVGNAVPVWYNMVNQGLVNEPVFSFWLNRNPEDDVGGEVVFGGMDPKHYKGEHTYVPVTQKGYWQFDMGDVLIGDQTTGLCASGCSAIVDSGTSLLTGPTAIIAQVNHAIGATGVVSQECKTVVSEYGETIIDLLLSKDQPLKVCSQIGLCSFDGTRDVSMGIESVVNENAGKASGNVHDAMCSVCEMAVIWVQSQLKQNQTQERILDYVNELCDRLPSPMGESVVDCNSLSSMPSVAFTIGGKILELTPEQYILKVGDGELAQCISGFGALDVPPPRGPLWILGDVFMGKFHTVFDYGNMQIGFAEAA >KJB22150 pep chromosome:Graimondii2_0_v6:4:2599238:2604155:-1 gene:B456_004G031800 transcript:KJB22150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIGKTTTATLFLCLLLFPIVFSTPNDRLVRIGLKKRKIDRNNRMAAHLESKEEKASEAFLRKYRLHGNLGESEDIDIVALKNYMDAQYFGEIGIGTPTQNFTVIFDTGSSNLWVPSSKCYFSIACYFHPKYKSSHSRTYKANGKPADIQYGTGAISGFFSEDHVTVGDLVVKHQEFIEATKESSLTFLIAKFDGILGLGFKEISVGNAVPVWYNMVNQGLVNEPVFSFWLNRNPEDDVGGEVVFGGMDPKHYKGEHTYVPVTQKGYWQFDMGDVLIGDQTTGLCASGCSAIVDSGTSLLTGPTAIIAQVNHAIGATGVVSQECKTVVSEYGETIIDLLLSKDQPLKVCSQIGLCSFDGTRDVSMGIESVVNENAGKASGNVHDAMCSVCEMAVIWVQSQLKQNQTQERILDYVNELCDRLPSPMGESVVDCNSLSSMPSVAFTIGGKILELTPEQYILKVGDGELAQCISGFGALDVPPPRGPLWILGDVFMGKFHTVFDYGNMQIGFAEAA >KJB26134 pep chromosome:Graimondii2_0_v6:4:56137802:56139783:-1 gene:B456_004G227100 transcript:KJB26134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PFPLFTPPYISSSFSPECACAQLVYIAWLSYLHFIALSDERNQRKMTKCYPTVSEEYQNAVQKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKQPAELAHAANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEITGGPEVPFHPGREDKPHPPPEGRLPNATEGADHLRQVFSHQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLTGEKDGLLQLPTDKVLLSDPVFRPLVDKYAAVHNSFLLTSFLSITTNQDFDTITN >KJB26136 pep chromosome:Graimondii2_0_v6:4:56137110:56139983:-1 gene:B456_004G227100 transcript:KJB26136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TNTISALHSPIYILLLFTRVCLCSTRVHRVAFLSSFHSTLRRKRKMTKCYPTVSEEYQNAVQKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKQPAELAHAANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEITGGPEVPFHPGREDKPHPPPEGRLPNATEGADHLRQVFSHQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLTGEKDGLLQLPTDKVLLSDPVFRPLVDKYAADEDAFFADYTEAHLKLSELGFADA >KJB26138 pep chromosome:Graimondii2_0_v6:4:56137612:56139983:-1 gene:B456_004G227100 transcript:KJB26138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQNAVQKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKQPAELAHAANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEITGGPEVPFHPGREDKPHPPPEGRLPNATEGADHLRQVFSHQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLTGEKDGLLQLPTDKVLLSDPVFRPLVDKYAADEDAFFADYTEAHLKLSELG >KJB26135 pep chromosome:Graimondii2_0_v6:4:56137110:56139790:-1 gene:B456_004G227100 transcript:KJB26135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQNAVQKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKQPAELAHAANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEITGGPEVPFHPGREDKPHPPPEGRLPNATEGADHLRQVFSHQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLTGEKDGLLQLPTDKVLLSDPVFRPLVDKYAADEDAFFADYTEAHLKLSELGFADA >KJB26137 pep chromosome:Graimondii2_0_v6:4:56137110:56139783:-1 gene:B456_004G227100 transcript:KJB26137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQNAVQKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKQPAELAHAANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEITGGPEVPFHPGREDKPHPPPEGRLPNATEGADHLRQVFSHQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLTGEKDGLLQLPTDKVLLSDPVFRPLVDKYAADEDAFFADYTEAHLKLSELGFADA >KJB22579 pep chromosome:Graimondii2_0_v6:4:5342838:5344892:-1 gene:B456_004G056000 transcript:KJB22579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNQPYRKNDTEAGPRPLYPMMLEPPEMRWAFIRKIYSIIAVQLFATIAVAATVVTVHPISNFFVSTGAGLALYIVLIITPFITLCPLYYYYQKHPVNYLLLGVFTVSLAFAVGLTCAFTSGKVILESVILTTVVVVALTLYTFWAAKRGHDFNFLGPFLFGAVLVLMVFALIQILFPLGRISVMIYGCLASIIFCGYIIYDTDNLIKRYSYDEYIWAAVSLYLDIINLFLALLTVFRAADS >KJB23555 pep chromosome:Graimondii2_0_v6:4:21133776:21133960:-1 gene:B456_004G104500 transcript:KJB23555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGMFMRKPDKAAALKQLKAHVAMFGVWVAVVLVTPYILHYLSDEKEELKIEF >KJB25068 pep chromosome:Graimondii2_0_v6:4:47850302:47854925:-1 gene:B456_004G175600 transcript:KJB25068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVSLSASSCTCFHTHLPYSNSNRYKTSSINLPLRLNLHDNAPNSNKNSLLLSISASTFVFVGLGLCICSSASALTPLPRHFQKVDSSSAATLQEEDDEKSDAAFKIWKSKSYALTVPLSMVALQGSIPPSWSKDFISSQSRRLKLQTKFRPTLEDIFTQLCLPFTQAKAKVNIGPASPAAADILTLGDSWLPLAIKKAVIEPITAAEHQDWFKDLSRKWKVYLRRNLNGDIDPQGQIWAAPYRWGTMVIAYKKTKFQKKNLPPMQDWADLWRPELAGRISMVNSPREVVGAVLKYMGASYNTTDIDLQVAGGRNAVLQNLELLARQVKLFDSANYLRAFSVGDVWVAVGWSSDVLPVAKRMSNVAVVVPKSGASLWADLWVCILAGNPSCLET >KJB25067 pep chromosome:Graimondii2_0_v6:4:47851854:47854856:-1 gene:B456_004G175600 transcript:KJB25067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVSLSASSCTCFHTHLPYSNSNRYKTSSINLPLRLNLHDNAPNSNKNSLLLSISASTFVFVGLGLCICSSASALTPLPRHFQKVDSSSAATLQEEDDEKSDAAFKIWKSKSYALTVPLSMVALQGSIPPSWSKDFISSQSRRLKLQTKFRPTLEDIFTQLCLPFTQAKAKVNIGPASPAAADILTLGDSWLPLAIKKAVIEPITAAEHQDWFKDLSRKWKVYLRRNLNGDIDPQGQIWAAPYRWGTMVIAYKKTKFQKKNLPPMQDWADLWRPELAGRISMVNSPREVVGAVLKYMGASYNTTDIDLQVAGGRNAVLQNLELLARQVKLFDSANYLRAFSVGDVWVAVGWSSDVLPVAKRMSNVAVVVPKSGASLWADLWVLPLNLSILLLIFFSGNTFRLTSRLSLLSLLS >KJB25069 pep chromosome:Graimondii2_0_v6:4:47850302:47855083:-1 gene:B456_004G175600 transcript:KJB25069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVSLSASSCTCFHTHLPYSNSNRYKTSSINLPLRLNLHDNAPNSNKNSLLLSISASTFVFVGLGLCICSSASALTPLPRHFQKVDSSSAATLQEEDDEKSDAAFKIWKSKSYALTVPLSMVALQGSIPPSWSKDFISSQSRRLKLQTKFRPTLEDIFTQLCLPFTQAKAKVNIGPASPAAADILTLGDSWLPLAIKKAVIEPITAAEHQDWFKDLSRKWKVYLRRNLNGDIDPQGQIWAAPYRWGTMVIAYKKTKFQKKNLPPMQDWADLWRPELAGRISMVNSPREVVGAVLKYMGASYNTTDIDLQVAGGRNAVLQNLELLARQVKLFDSANYLRAFSVGDVWVAVGWSSDVLPVAKRMSNVAVVVPKSGASLWADLWAIPAASRLETNRIGGRVRGPSPLMHQWVEFCLQAASGLPFRQGITAGASPAALDSGPVKLPEELTKGKPKLDSNLVAGVPPPEILERCEFLEPLSDATLSDYQWLIDNMPKSGPGIIDHVLSIFGTLKRLKLSWI >KJB25070 pep chromosome:Graimondii2_0_v6:4:47852817:47854873:-1 gene:B456_004G175600 transcript:KJB25070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVSLSASSCTCFHTHLPYSNSNRYKTSSINLPLRLNLHDNAPNSNKNSLLLSISASTFVFVGLGLCICSSASALTPLPRHFQKVDSSSAATLQEEDDEKSDAAFKIWKSKSYALTVPLSMVALQGSIPPSWSKDFISSQSRRLKLQTKFRPTLEDIFTQLCLPFTQAKAKVNIGPASPAAADILTLGDSWLPLAIKKAVIEPITAAEHQDWFKDLSRKWKVYLRRNLNGDIDPQGQIWAAPYRWGTMVIAYKKTKFQKKNLPPMQDWADLWRPELAGRISMVNSPREVVGAVLKYMGASYNTTDIDLQVAGGRNAVLQNLELLARQVVNRK >KJB25066 pep chromosome:Graimondii2_0_v6:4:47850302:47854873:-1 gene:B456_004G175600 transcript:KJB25066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVSLSASSCTCFHTHLPYSNSNRYKTSSINLPLRLNLHDNAPNSNKNSLLLSISASTFVFVGLGLCICSSASALTPLPRHFQKVDSSSAATLQEEDDEKSDAAFKIWKSKSYALTVPLSMVALQGSIPPSWSKDFISSQSRRLKLQTKFRPTLEDIFTQLCLPFTQAKAKVNIGPASPAAADILTLGDSWLPLAIKKAVIEPITAAEHQDWFKDLSRKWKVYLRRNLNGDIDPQGQIWAAPYRWGTMVIAYKKTKFQKKNLPPMQDWADLWRPELAGRISMVNSPREVVGAVLKYMGASYNTTDIDLQVAGGRNAVLQNLELLARQVKLFDSANYLRAFSVGDVWVAVGWSSDVLPVAKRMSNVAVVVPKSGASLWADLWLRVGVCVTG >KJB25065 pep chromosome:Graimondii2_0_v6:4:47850302:47854873:-1 gene:B456_004G175600 transcript:KJB25065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVSLSASSCTCFHTHLPYSNSNRYKTSSINLPLRLNLHDNAPNSNKNSLLLSISASTFVFVGLGLCICSSASALTPLPRHFQKVDSSSAATLQEEDDEKSDAAFKIWKSKSYALTVPLSMVALQGSIPPSWSKDFISSQSRRLKLQTKFRPTLEDIFTQLCLPFTQAKAKVNIGPASPAAADILTLGDSWLPLAIKKAVIEPITAAEHQDWFKDLSRKWKVYLRRNLNGDIDPQGQIWAAPYRWGTMVIAYKKTKFQKKNLPPMQDWADLWRPELAGRISMVNSPREVVGAVLKYMGASYNTTDIDLQVAGGRNAVLQNLELLARQVKLFDSANYLRAFSVGDVWVAVGWSSDVLPVAKRMSNVAVVVPKSGASLWADLWVLPLNLSILLLIFFSGNTFRLTSRLSLLSLLS >KJB25183 pep chromosome:Graimondii2_0_v6:4:49045700:49048571:-1 gene:B456_004G180100 transcript:KJB25183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFFISRSSSKTLSQLLLPCLHQSHYLATTLPRNSRGFSSEPPGFASDNRVPATVITGFLGSGKTTLLNHILTAQHGKRIAVIENEFGEVDIDGSLVASHSSASEEDIVMVNNGCLCCTVRGDLVKMLLDLVKKKKDKFDHIVIETTGLAKPAPVIETFCSDELVSRYVKLDGVVTLVDSKHAMKHLNEVKPRFVVNEAVEQVAYADRIIMNKIDLVTEGDLEKLTDKIKHINSIAQIKRAKFGVVDMDFVLGVGGYDLDRIDSAVKVDDSHRGNHHHDTHGHHKDHHHDHLHDSAVSSVSIVSEGTLDLDEVDDWLERLMEEKGEDLYRMKGVLSVNGSDQRYVFQVLLFTPRFQLPFFLGGQPMK >KJB25178 pep chromosome:Graimondii2_0_v6:4:49045197:49048681:-1 gene:B456_004G180100 transcript:KJB25178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFFISRSSSKTLSQLLLPCLHQSHYLATTLPRNSRGFSSEPPGFASDNRVPATVITGFLGSGKTTLLNHILTAQHGKRIAVIENEFGEVDIDGSLVASHSSASEEDIVMVNNGCLCCTVRGDLVKMLLDLVKKKKDKFDHIVIETTGLAKPAPVIETFCSDELVSRYVKLDGVVTLVDSKHAMKHLNEVKPRFVVNEAVEQVAYADRIIMNKIDLVTEGDLEKLTDKIKHINSIAQIKRAKFGVVDMDFVLGVGGYDLDRIDSAVKVDDSHRGNHHHDTHG >KJB25181 pep chromosome:Graimondii2_0_v6:4:49045239:49048662:-1 gene:B456_004G180100 transcript:KJB25181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFFISRSSSKTLSQLLLPCLHQSHYLATTLPRNSRGFSSEPPGFASDNRVPATVITGFLGSGKTTLLNHILTAQHGKRIAVIENEFGEVDIDGSLVASHSSASEEDIVMVNNGCLCCTVRGDLVKMLLDLVKKKKDKFDHIVIETTGLAKPAPVIETFCSDELVSRYVKLDGVVTLVDSKHAMKHLNEVKPRFVVNEAVEQVAYADRIIMNKIDLVTEGDLEKLTDKIKHINSIAQIKRAKFGVVDMDFVLGVGGYDLDRIDSAVKVDDSHRGNHHHDTHGHHKDHHHDHLHDSAVSSVSIVSEGTLDLDEVDDWLERLMEEKGEDLYRMKGVLSVNGSDQRYVFQGVHSTLDGCPGKTWEPDEKRTNKLVFIGRNLDETALRKGFKGCLI >KJB25180 pep chromosome:Graimondii2_0_v6:4:49045277:49048622:-1 gene:B456_004G180100 transcript:KJB25180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFFISRSSSKTLSQLLLPCLHQSHYLATTLPRNSRGFSSEPPGFASDNRVPATVITGFLGSGKTTLLNHILTAQHGKRIAVIENEFGEVDIDGSLVASHSSASEEDIVMVNNGCLCCTVRGDLVKMLLDLVKKKKDKFDHIVIETTGLAKPAPVIETFCSDELVSRYVKLDGVVTLVDSKHAMKHLNEVKPRFVVNEAVEQVAYADRIIMNKIDLVTEGDLEKLTDKIKHINSIAQIKRAKFGVVDMDFVLGVGGYDLDRIDSAVKVDDSHRGNHHHDTHGHHKDHHHDHLHDSAVSSVSIVSEGTLDLDEVDDWLERLMEEKGEDLYRMKGVLSVNGSDQRYVFQGVHSTLDGCPGKTWEPDEKRTNKLVFIGRNLDETALRKGFKGCLI >KJB25179 pep chromosome:Graimondii2_0_v6:4:49045197:49048681:-1 gene:B456_004G180100 transcript:KJB25179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFFISRSSSKTLSQLLLPCLHQSHYLATTLPRNSRGFSSEPPGFASDNRVPATVITGFLGSGKTTLLNHILTAQHGKRIAVIENEFGEVDIDGSLVASHSSASEEDIVMVNNGCLCCTVRGDLVKMLLDLVKKKKDKFDHIVIETTGLAKPAPVIETFCSDELVSRYVKLDGVVTLVDSKHAMKHLNEVKPRFVVNEAVEQVAYADRIIMNKIDLVTEGDLEKLTDKIKHINSIAQIKRAKFGVVDMDFVLGVGGYDLDRIDSAVKVDDSHRGNHHHDTHGHHKDHHHDHLHDSAVSSVSIVSEGTLDLDEVDDWLERLMEEKGEDLYRMKGVLSVNGSDQRYVFQGVHSTLDGCPGKTWEPDEKRTNKLVFIGRNLDETALRKGCIALTKTRNQ >KJB25182 pep chromosome:Graimondii2_0_v6:4:49045277:49048622:-1 gene:B456_004G180100 transcript:KJB25182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFFISRSSSKTLSQLLLPCLHQSHYLATTLPRNSRGFSSEPPGFASDNRVPATVITGFLGSGKTTLLNHILTAQHGKRIAVIENEFGEVDIDGSLVASHSSASEEDIVMVNNGCLCCTVRGDLVKMLLDLVKKKKDKFDHIVIETTGLAKPAPVIETFCSDELVSRYVKLDGVVTLVDSKHAMKHLNEVKPRFVVNEAVEQVAYADRIIMNKIDLVTEGDLEKLTDKIKHINSIAQIKRAKFGVVDMDFVLGVGGYDLDRIDSAVKVDDSHRGNHHHDTHGRHHKDHHHDHLHDSAVSSVSIVSEGTLDLDEVDDWLERLMEEKGEDLYRMKGVLSVNGSDQRYVFQGVHSTLDGCPGKTWEPDEKRTNKLVFIGRNLDETALRKGFKGCLI >KJB24320 pep chromosome:Graimondii2_0_v6:4:39088094:39091230:-1 gene:B456_004G139300 transcript:KJB24320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRELCEDKPRNIVSSTGFCYSDVSSGSSHPVSQIQGFESNPDPDIFNLATGMEMIGFSKNLPQQGDSYTAVWKGSLNKHGSNPDPSSNTINESKSDFYQHEFNKPEFTAGISETETSTGNLIVGAETGPWQENRLLVDDSSYRCVFPCEGNERPSQSLSLSLSSNNPSTIGLQSFELRQTTSHSNHDQQHDMRFIGSNSRDGFFEKAANIQQQQQQAIQSEFLEEAANLHHQGQFQVGSSKFLVPTQELLNEFCSLGTTQVDASKEKQIHKTKQCDDDNGASSSWKQPLYSLNFMELQKRKTKLLSMLEEVDRRYGHYSHQMKAVVSSFEAVAGSGAASVYSDLASKAMSRHFRCLRDRIVGGIQATRKAMGEKDPVAPGTRRGETPRLKIIDKALRKQRCFQQMNMMENQPWRPKRGLPERSVSVLRAWLFEHFLHPDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEIYMEETKEHENNMASSDAVTTIDGDDNIGRPNPNILLTDQKPTPDQLVRIDSECLSSIVSNDPEKNDAKSAKTLQNQHLHQHHYQKQSFETSGIMELDFSSYSHHHTSGGASYNGSDYNANQSCFNGGGSVSLTLGLQQHGGTGVSLAFSPAAQSSIFYPRDHIEDCQPVQYSLLDGEAQHLPYRSLIGAQLLHDFTG >KJB24319 pep chromosome:Graimondii2_0_v6:4:39088089:39091361:-1 gene:B456_004G139300 transcript:KJB24319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRELCEDKPRNIVSSTGFCYSDVSSGSSHPVSQIQGFESNPDPDIFNLATGMEMIGFSKNLPQQGDSYTAVWKGSLNKHGSNPDPSSNTINESKSDFYQHEFNKPEFTAGISETETSTGNLIVGAETGPWQENRLLVDDSSYRCVFPCEGNERPSQSLSLSLSSNNPSTIGLQSFELRQTTSHSNHDQQHDMRFIGSNSRDGFFEKAANIQQQQQQAIQSEFLEEAANLHHQGQFQVGSSKFLVPTQELLNEFCSLGTTQVDASKEKQIHKTKQCDDDNGASSSWKQPLYSLNFMELQKRKTKLLSMLEEVDRRYGHYSHQMKAVVSSFEAVAGSGAASVYSDLASKAMSRHFRCLRDRIVGGIQATRKAMGEKDPVAPGTRRGETPRLKIIDKALRKQRCFQQMNMMENQPWRPKRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEIYMEETKEHENNMASSDAVTTIDGDDNIGRPNPNILLTDQKPTPDQLVRIDSECLSSIVSNDPEKNDAKSAKTLQNQHLHQHHYQKQSFETSGIMELDFSSYSHHHTSGGASYNGSDYNANQSCFNGGGSVSLTLGLQQHGGTGVSLAFSPAAQSSIFYPRDHIEDCQPVQYSLLDGEAQHLPYRSLIGAQLLHDFTG >KJB23095 pep chromosome:Graimondii2_0_v6:4:9693851:9696318:1 gene:B456_004G080600 transcript:KJB23095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRYVRASLFAARFFSTEAAAAEKAVTTTKSAAKTGGGGGVGRETLGWRLIGLVYPKRSAVVTIRKWLEEGHTVRKYELNRIVRELRKLKRYKHALEICEWMRLQQDIKLLPGDYAVHLDLIAKVRGLTSAEKFFEDLPEKMRGQATCTALLHTYVQNKLSAKAEALMEKMSECGFVKNPLPYNHMISLCISQGELEKVPAIVKELKKNTSPDIVTFNLLLSVCASQNKVESAGKIFDELKKAKIEPDWVTYSALTNLYIKGKQFEKAASTLKEMEKKASRKNRVVYPSLISLHTNMGDKDGVQQIWKKMKSCFRKMNDAEYTCMISSLVKLGDFEEAEKLYNEWESVSGSGDARVPNILLATYINGDKMDVAENFYQQIAQKGISPCYTTWELLTWGYLRKQQMEKVLDCFKQAVCSVKKWNPNEKLVREVFNKLEDLGDTEDAEKLLVILRDAGHVSTKVYNSLLRVYAKAGKMPLIVAERMQKDNVRLDEETHKLIKLTSKMRVTEVSSSF >KJB26755 pep chromosome:Graimondii2_0_v6:4:59395900:59396404:-1 gene:B456_004G258000 transcript:KJB26755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSTTTMVLLLVLFLSTFDSINNVNARSLTCKSSKDCINQCGSPGCPPPASCVCVSGKCDCANVETTVESVFGPLNGHV >KJB25823 pep chromosome:Graimondii2_0_v6:4:54406954:54410688:1 gene:B456_004G211100 transcript:KJB25823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQYFYFIIQYSAKREAGKEAQSRTGKMKLEVYADRMSQPSRAVIIFCKVNGIDYTELKVDISKREHLTPEFAEINPMKQLPAIVDGKFKLFESHSILIYLACAFPGVADHWYPANLFKRSKIHSVLYWHHSNLRRAADTYVTNTTILPALGHPSNQQAAAEAEKLLFSSLSKIESFWLKGDGPFLLGRNQPSIADLSVVCELMQLEVLDEKDRERLLGPYKKVQQWIKHTRNATSPHFDNVHNILMKVKEKLKNKPLMEANHGGARDIQKRLRSRI >KJB25824 pep chromosome:Graimondii2_0_v6:4:54407662:54410588:1 gene:B456_004G211100 transcript:KJB25824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVYADRMSQPSRAVIIFCKVNGIDYTELKVDISKREHLTPEFAEINPMKQLPAIVDGKFKLFESHSILIYLACAFPGVADHWYPANLFKRSKIHSVLYWHHSNLRRAAAAEAEKLLFSSLSKIESFWLKGDGPFLLGRNQPSIADLSVVCELMQLEVLDEKDRERLLGPYKKVQQWIKHTRNATSPHFDNVHNILMKVKEKLKNKPLMEANHGGARDIQKRLRSRI >KJB25822 pep chromosome:Graimondii2_0_v6:4:54407517:54410688:1 gene:B456_004G211100 transcript:KJB25822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVYADRMSQPSRAVIIFCKVNGIDYTELKVDISKREHLTPEFAEINPMKQLPAIVDGKFKLFESHSILIYLACAFPGVADHWYPANLFKRSKIHSVLYWHHSNLRRAADTYVTNTTILPALGHPSNQQAAAEAEKLLFSSLSKIESFWLKGDGPFLLGRNQPSIADLSVVCELMQLEVLDEKDRERLLGPYKKVQQWIKHTRNATSPHFDNVHNILMKVKEKLKNKPLMEANHGGARDIQKRLRSRI >KJB25825 pep chromosome:Graimondii2_0_v6:4:54407662:54410588:1 gene:B456_004G211100 transcript:KJB25825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVYADRMSQPSRAVIIFCKVNGIDYTELKVDISKREHLTPEFAEINPMKQLPAIVDGKFKLFERYPANLFKRSKIHSVLYWHHSNLRRAADTYVTNTTILPALGHPSNQQAAAEAEKLLFSSLSKIESFWLKGDGPFLLGRNQPSIADLSVVCELMQLEVLDEKDRERLLGPYKKVQQWIKHTRNATSPHFDNVHNILMKVKEKLKNKPLMEANHGGARDIQKRLRSRI >KJB23959 pep chromosome:Graimondii2_0_v6:4:31269097:31272329:-1 gene:B456_004G122700 transcript:KJB23959 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISI1 [Source:Projected from Arabidopsis thaliana (AT4G27750) UniProtKB/TrEMBL;Acc:A0A178URL9] MNLKEPLWSKRTESNEPPSPSSSSPRDPESEAAAAAATSAVEELVNSLNKQRIYREVTLALRTGLCDVRAEFSFLRVRGLRFLLKSLRSIAQSDSSITLFSQTQSIPDLQVVPLLFEHSFKETEDEKVGSLDHIFSVEPMKVKSPSTDSEVALALRVLEGCCLLHPESTRLAHQHKAIPVLMNVLSTRGVLEQGACLDALISILLDSSANQMDFEACNGIEEVAELIRDKQVDENLRLFCRSD >KJB23961 pep chromosome:Graimondii2_0_v6:4:31268556:31272504:-1 gene:B456_004G122700 transcript:KJB23961 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISI1 [Source:Projected from Arabidopsis thaliana (AT4G27750) UniProtKB/TrEMBL;Acc:A0A178URL9] MNLKEPLWSKRTESNEPPSPSSSSPRDPESEAAAAAATSAVEELVNSLNKQRIYREVTLALRTGLCDVRAEFSFLRVRGLRFLLKSLRSIAQSDSSITLFSQTQSIPDLQVVPLLFEHSFKETEDEKVGSLDHIFSVEPMKVKSPSTDSEVALALRVLEGCCLLHPESTRLAHQHKAIPVLMNVLSTRGVLEQGACLDALISILLDSSANQMDFEACNGIEEVAELIRDKQVDENLRLKCGEFLLLLIGHVNGRERSPIATIHEEFHGTSLLI >KJB23963 pep chromosome:Graimondii2_0_v6:4:31268556:31272504:-1 gene:B456_004G122700 transcript:KJB23963 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISI1 [Source:Projected from Arabidopsis thaliana (AT4G27750) UniProtKB/TrEMBL;Acc:A0A178URL9] MNLKEPLWSKRTESNEPPSPSSSSPRDPESEAAAAAATSAVEELVNSLNKQRIYREVTLALRTGLCDVRAEFSFLRVRGLRFLLKSLRSIAQSDSSITLFSQTQSIPDLQVVPLLFEHSFKETEDEKVGSLDHIFSVEPMKVKSPSTDSEVALALRVLEGCCLLHPESTRLAHQHKAIPVLMNVLSTRGVLEQGACLDALISILLDSSANQMDFEACNGIEEVAELIRDKQVDENLRLFCRSD >KJB23962 pep chromosome:Graimondii2_0_v6:4:31268556:31272504:-1 gene:B456_004G122700 transcript:KJB23962 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISI1 [Source:Projected from Arabidopsis thaliana (AT4G27750) UniProtKB/TrEMBL;Acc:A0A178URL9] MNLKEPLWSKRTESNEPPSPSSSSPRDPESEAAAAAATSAVEELVNSLNKQRIYREVTLALRTGLCDVRAEFSFLRVRGLRFLLKSLRSIAQSDSSITLFSQTQSIPDLQVVPLLFEHSFKETEDEKVGSLDHIFSVEPMKVKSPSTDSEVALALRVLEGCCLLHPESTRLAHQHKAIPVLMNVLSTRGVLEQGACLDALISILLDSSANQMDFEACNGIEEVAELIRDKQVDENLRLKCGEFLLLLIGHVNGRERSPIATIHEEVRRLLGEKSASLIWAASQFGSTLDPEQRLTALHIQARRVLESLDLY >KJB23960 pep chromosome:Graimondii2_0_v6:4:31269021:31272329:-1 gene:B456_004G122700 transcript:KJB23960 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISI1 [Source:Projected from Arabidopsis thaliana (AT4G27750) UniProtKB/TrEMBL;Acc:A0A178URL9] MNLKEPLWSKRTESNEPPSPSSSSPRDPESEAAAAAATSAVEELVNSLNKQRIYREVTLALRTGLCDVRAEFSFLRVRGLRFLLKSLRSIAQSDSSITLFSQTQSIPDLQVVPLLFEHSFKETEDEKVGSLDHIFSVEPMKVKSPSTDSEVALALRVLEGCCLLHPESTRLAHQHKAIPVLMNVLSTRGVLEQGACLDALISILLDSSANQMDFEACNGIEEVAELIRDKQVDENLRYLKIVIEMWRVLASTHWACKWEGKVSHSNHS >KJB24980 pep chromosome:Graimondii2_0_v6:4:47224123:47225018:1 gene:B456_004G171800 transcript:KJB24980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITMTTSFLSTTNLTKGSPKITQRRLVVANAAKGAQVESVQMSGERKTEGNNGRREMMFAAAAAAICSVAGVATAEPKRGSAEAKKAYAPVCVTMPTARICRN >KJB21992 pep chromosome:Graimondii2_0_v6:4:1900440:1914806:-1 gene:B456_004G024800 transcript:KJB21992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFKGSPYRRPNDLEAGSSRSAHSDDEDHESFADPFDITSTKNAPIDRLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKQAGEQVNGTTTPHPTPGSDFAFGPEQLASVTRDHNFNALQEYGGVNGLAESLKTNLEKGIPGDDSDLLKRRNAFGSNTYPRKKGRSFWRFVWEACQDLTLMILVVAAVASLALGIKTEGPKEGWYDGGSIAFAVFLVIIVTAISDYRQSLQFQKLDEEKRNIHLEVVRGGRRVEISIYDIVVGDVVPLNIGDQVPADGILISGHSFAIDESSMTGESDIVQKDAKQPFLMSGCKVADGSGTMLVTGVGINTEWGLLMANLSEDTGEETPLQVRLNGVATFIGFVGLSVAFAVLVVLLVRYFTGHTEDSNGRQQFVAGKTSVGNAIDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYAGGRKNDPPESRSELPDTLVSLLIEGIAVNANGSVFTSEGGGDVEVSGSPTEKAILIWGIKLGMDFDAVRSGSSIVHVFPFNSEKKRGGVAIRLPDSKVHIHWKGAAEIVLAACTWYLDTNGEAVAMDEEKVAFFEKAIETMAAGSLRCVAIAYRSYESEKVPTNEEELAKWALPEDDLVLLAIVGIKDPCRPSVKDSVQLCQKAGVKVRMVTGDNLKTARAIALECGILSSDAPESSLIEGKVFRSLSDSEREEVAEKISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAIYQVTVLLVLNFDGKKILNLEHESKEHANRVKNTLIFNAFVLSQIFNEFNARKPDEVNIFRGLSKNYLFIGIVAITIILQAIIVEFLGKFAKTEKLSWQLWLVSIGIGFISWPLATLGKFIPVPETPVSRVFSRMFYRRRNQSSQKHEDSNATSIRN >KJB21994 pep chromosome:Graimondii2_0_v6:4:1902015:1913644:-1 gene:B456_004G024800 transcript:KJB21994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFKGSPYRRPNDLEAGSSRSAHSDDEDHESFADPFDITSTKNAPIDRLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKQAGEQVNGTTTPHPTPGSDFAFGPEQLASVTRDHNFNALQEYGGVNGLAESLKTNLEKGIPGDDSDLLKRRNAFGSNTYPRKKGRSFWRFVWEACQDLTLMILVVAAVASLALGIKTEGPKEGWYDGGSIAFAVFLVIIVTAISDYRQSLQFQKLDEEKRNIHLEVVRGGRRVEISIYDIVVGDVVPLNIGDQVPADGILISGHSFAIDESSMTGESDIVQKDAKQPFLMSGCKVADGSGTMLVTGVGINTEWGLLMANLSEDTGEETPLQVRLNGVATFIGFVGLSVAFAVLVVLLVRYFTGHTEDSNGRQQFVAGKTSVGNAIDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYAGGRKNDPPESRSELPDTLVSLLIEGIAVNANGSVFTSEGGGDVEVSGSPTEKAILIWGIKLGMDFDAVRSGSSIVHVFPFNSEKKRGGVAIRLPDSKVHIHWKGAAEIVLAACTWYLDTNGEAVAMDEEKVAFFEKAIETMAAGSLRCVAIAYRSYESEKVPTNEEELAKWALPEDDLVLLAIVGIKDPCRPSVKDSVQLCQKAGVKVRMVTGDNLKTARAIALECGILSSDAPESSLIEGKVFRSLSDSEREEVAEKISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAIYQVTVLLVLNFDGKKILNLEHESKEHANRVKNTLIFNAFVLSQVSRYYSNKKLTIFDAVGLNIL >KJB21986 pep chromosome:Graimondii2_0_v6:4:1900439:1913981:-1 gene:B456_004G024800 transcript:KJB21986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFKGSPYRRPNDLEAGSSRSAHSDDEDHESFADPFDITSTKNAPIDRLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKQAGEQVNGTTTPHPTPGSDFAFGPEQLASVTRDHNFNALQEYGGVNGLAESLKTNLEKGIPGDDSDLLKRRNAFGSNTYPRKKGRSFWRFVWEACQDLTLMILVVAAVASLALGIKTEGPKEGWYDGGSIAFAVFLVIIVTAISDYRQSLQFQKLDEEKRNIHLEVVRGGRRVEISIYDIVVGDVVPLNIGDQVPADGILISGHSFAIDESSMTGESDIVQKDAKQPFLMSGCKVADGSGTMLVTGVGINTEWGLLMANLSEDTGEETPLQVRLNGVATFIGFVGLSVAFAVLVVLLVRYFTGHTEDSNGRQQFVAGKTSVGNAIDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYAGGRKNDPPESRSELPDTLVSLLIEGIAVNANGSVFTSEGGGDVEVSGSPTEKAILIWGIKLGMDFDAVRSGSSIVHVFPFNSEKKRGGVAIRLPDSKVHIHWKGAAEIVLAACTWYLDTNGEAVAMDEEKVAFFEKAIETMAAGSLRCVAIAYRSYESEKVPTNEEELAKWALPEDDLVLLAIVGIKDPCRPSVKDSVQLCQKAGVKVRMVTGDNLKTARAIALECGILSSDAPESSLIEGKVFRSLSDSEREEVAEKISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAIYQVTVLLVLNFDGKKILNLEHESKEHANRVKNTLIFNAFVLSQIFNEFNARKPDEVNIFRGLSKNYLFIGIVAITIILQAIIVEFLGKFAKTEKLSWQLWLVSIGIGFISWPLATLGKFIPVPETPVSRVFSRMFYRRRNQSSQKHEDSNATSIRN >KJB21989 pep chromosome:Graimondii2_0_v6:4:1900857:1913644:-1 gene:B456_004G024800 transcript:KJB21989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFKGSPYRRPNDLEAGSSRSAHSDDEDHESFADPFDITSTKNAPIDRLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKQAGEQVNGTTTPHPTPGSDFAFGPEQLASVTRDHNFNALQEYGGVNGLAESLKTNLEKGIPGDDSDLLKRRNAFGSNTYPRKKGRSFWRFVWEACQDLTLMILVVAAVASLALGIKTEGPKEGWYDGGSIAFAVFLVIIVTAISDYRQSLQFQKLDEEKRNIHLEVVRGGRRVEISIYDIVVGDVVPLNIGDQVPADGILISGHSFAIDESSMTGESDIVQKDAKQPFLMSGCKVADGSGTMLVTGVGINTEWGLLMANLSEDTGEETPLQVRLNGVATFIGFVGLSVAFAVLVVLLVRYFTGHTEDSNGRQQFVAGKTSVGNAIDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYAGGRKNDPPESRSELPDTLVSLLIEGIAVNANGSVFTSEGGGDVEVSGSPTEKAILIWGIKLGMDFDAVRSGSSIVHVFPFNSEKKRGGVAIRLPDSKVHIHWKGAAEIVLAACTWYLDTNGEAVAMDEEKVAFFEKAIETMAAGSLRCVAIAYRSYESEKVPTNEEELAKWALPEDDLVLLAIVGIKDPCRPSVKDSVQLCQKAGVKVRMVTGDNLKTARAIALECGILSSDAPESSLIEGKVFRSLSDSEREEVAEKISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAIYQVTVLLVLNFDGKKILNLEHESKEHANRVKNTLIFNAFVLSQIFNEFNARKPDEVNIFRGLSKNYLFIGIVAITIILQAIIVEFLGKFAKTEKLSWQLWLVSIGIGFISWPLATLGKFIPVPETPVSRVFSRMFYRRRNQNVSGSQKHEDSNATSIRN >KJB21993 pep chromosome:Graimondii2_0_v6:4:1901286:1914806:-1 gene:B456_004G024800 transcript:KJB21993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFKGSPYRRPNDLEAGSSRSAHSDDEDHESFADPFDITSTKNAPIDRLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKQAGEQVNGTTTPHPTPGSDFAFGPEQLASVTRDHNFNALQEYGGVNGLAESLKTNLEKGIPGDDSDLLKRRNAFGSNTYPRKKGRSFWRFVWEACQDLTLMILVVAAVASLALGIKTEGPKEGWYDGGSIAFAVFLVIIVTAISDYRQSLQFQKLDEEKRNIHLEVVRGGRRVEISIYDIVVGDVVPLNIGDQVPADGILISGHSFAIDESSMTGESDIVQKDAKQPFLMSGCKVADGSGTMLVTGVGINTEWGLLMANLSEDTGEETPLQVRLNGVATFIGFVGLSVAFAVLVVLLVRYFTGHTEDSNGRQQFVAGKTSVGNAIDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYAGGRKNDPPESRSELPDTLVSLLIEGIAVNANGSVFTSEGGGDVEVSGSPTEKAILIWGIKLGMDFDAVRSGSSIVHVFPFNSEKKRGGVAIRLPDSKVHIHWKGAAEIVLAACTWYLDTNGEAVAMDEEKVAFFEKAIETMAAGSLRCVAIAYRSYESEKVPTNEEELAKWALPEDDLVLLAIVGIKDPCRPSVKDSVQLCQKAGVKVRMVTGDNLKTARAIALECGILSSDAPESSLIEGKVFRSLSDSEREEVAEKISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAIYQVTVLLVLNFDGKKILNLEHESKEHANRVKNTLIFNAFVLSQIFNEFNARKPDEVNIFRGLSKNYLFIGIVAITIILQAIIVEFLGKFAKTEKLSWQLWLVSIGIGFISWPLATLGKFIPVPETPVSRVFSRMFYRRRNQSKDLHLCIY >KJB21983 pep chromosome:Graimondii2_0_v6:4:1900439:1913849:-1 gene:B456_004G024800 transcript:KJB21983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFKGSPYRRPNDLEAGSSRSAHSDDEDHESFADPFDITSTKNAPIDRLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKQAGEQVNGTTTPHPTPGSDFAFGPEQLASVTRDHNFNALQEYGGVNGLAESLKTNLEKGIPGDDSDLLKRRNAFGSNTYPRKKGRSFWRFVWEACQDLTLMILVVAAVASLALGIKTEGPKEGWYDGGSIAFAVFLVIIVTAISDYRQSLQFQKLDEEKRNIHLEVVRGGRRVEISIYDIVVGDVVPLNIGDQVPADGILISGHSFAIDESSMTGESDIVQKDAKQPFLMSGCKVADGSGTMLVTGVGINTEWGLLMANLSEDTGEETPLQVRLNGVATFIGFVGLSVAFAVLVVLLVRYFTGHTEDSNGRQQFVAGKTSVGNAIDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYAGGRKNDPPESRSELPDTLVSLLIEGIAVNANGSVFTSEACGDVEVSGSPTEKAILIWGIKLGMDFDAVRSGSSIVHVFPFNSEKKRGGVAIRLPDSKVHIHWKGAAEIVLAACTWYLDTNGEAVAMDEEKVAFFEKAIETMAAGSLRCVAIAYRSYESEKVPTNEEELAKWALPEDDLVLLAIVGIKDPCRPSVKDSVQLCQKAGVKVRMVTGDNLKTARAIALECGILSSDAPESSLIEGKVFRSLSDSEREEVAEKISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAIYQVTVLLVLNFDGKKILNLEHESKEHANRVKNTLIFNAFVLSQIFNEFNARKPDEVNIFRGLSKNYLFIGIVAITIILQAIIVEFLGKFAKTEKLSWQLWLVSIGIGFISWPLATLGKFIPVPETPVSRVFSRMFYRRRNQSSQKHEDSNATSIRN >KJB21988 pep chromosome:Graimondii2_0_v6:4:1900440:1914806:-1 gene:B456_004G024800 transcript:KJB21988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFKGSPYRRPNDLEAGSSRSAHSDDEDHESFADPFDITSTKNAPIDRLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKQAGEQVNGTTTPHPTPGSDFAFGPEQLASVTRDHNFNALQEYGGVNGLAESLKTNLEKGIPGDDSDLLKRRNAFGSNTYPRKKGRSFWRFVWEACQDLTLMILVVAAVASLALGIKTEGPKEGWYDGGSIAFAVFLVIIVTAISDYRQSLQFQKLDEEKRNIHLEVVRGGRRVEISIYDIVVGDVVPLNIGDQVPADGILISGHSFAIDESSMTGESDIVQKDAKQPFLMSGCKVADGSGTMLVTGVGINTEWGLLMANLSEDTGEETPLQVRLNGVATFIGFVGLSVAFAVLVVLLVRYFTGHTEDSNGRQQFVAGKTSVGNAIDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYAGGRKNDPPESRSELPDTLVSLLIEGIAVNANGSVFTSEACGDVEVSGSPTEKAILIWGIKLGMDFDAVRSGSSIVHVFPFNSEKKRGGVAIRLPDSKVHIHWKGAAEIVLAACTWYLDTNGEAVAMDEEKVAFFEKAIETMAAGSLRCVAIAYRSYESEKVPTNEEELAKWALPEDDLVLLAIVGIKDPCRPSVKDSVQLCQKAGVKVRMVTGDNLKTARAIALECGILSSDAPESSLIEGKVFRSLSDSEREEVAEKISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAIYQVTVLLVLNFDGKKILNLEHESKEHANRVKNTLIFNAFVLSQIFNEFNARKPDEVNIFRGLSKNYLFIGIVAITIILQAIIVEFLGKFAKTEKLSWQLWLVSIGIGFISWPLATLGKFIPVPETPVSRVFSRMFYRRRNQSSQKHEDSNATSIRN >KJB21990 pep chromosome:Graimondii2_0_v6:4:1900440:1914806:-1 gene:B456_004G024800 transcript:KJB21990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFKGSPYRRPNDLEAGSSRSAHSDDEDHESFADPFDITSTKNAPIDRLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKQAGEQVNGTTTPHPTPGSDFAFGPEQLASVTRDHNFNALQEYGGVNGLAESLKTNLEKGIPGDDSDLLKRRNAFGSNTYPRKKGRSFWRFVWEACQDLTLMILVVAAVASLALGIKTEGPKEGWYDGGSIAFAVFLVIIVTAISDYRQSLQFQKLDEEKRNIHLEVVRGGRRVEISIYDIVVGDVVPLNIGDQVPADGILISGHSFAIDESSMTGESDIKDAKQPFLMSGCKVADGSGTMLVTGVGINTEWGLLMANLSEDTGEETPLQVRLNGVATFIGFVGLSVAFAVLVVLLVRYFTGHTEDSNGRQQFVAGKTSVGNAIDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYAGGRKNDPPESRSELPDTLVSLLIEGIAVNANGSVFTSEGGGDVEVSGSPTEKAILIWGIKLGMDFDAVRSGSSIVHVFPFNSEKKRGGVAIRLPDSKVHIHWKGAAEIVLAACTWYLDTNGEAVAMDEEKVAFFEKAIETMAAGSLRCVAIAYRSYESEKVPTNEEELAKWALPEDDLVLLAIVGIKDPCRPSVKDSVQLCQKAGVKVRMVTGDNLKTARAIALECGILSSDAPESSLIEGKVFRSLSDSEREEVAEKISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAIYQVTVLLVLNFDGKKILNLEHESKEHANRVKNTLIFNAFVLSQIFNEFNARKPDEVNIFRGLSKNYLFIGIVAITIILQAIIVEFLGKFAKTEKLSWQLWLVSIGIGFISWPLATLGKFIPVPETPVSRVFSRMFYRRRNQSSQKHEDSNATSIRN >KJB21991 pep chromosome:Graimondii2_0_v6:4:1901551:1914806:-1 gene:B456_004G024800 transcript:KJB21991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFKGSPYRRPNDLEAGSSRSAHSDDEDHESFADPFDITSTKNAPIDRLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKQAGEQVNGTTTPHPTPGSDFAFGPEQLASVTRDHNFNALQEYGGVNGLAESLKTNLEKGIPGDDSDLLKRRNAFGSNTYPRKKGRSFWRFVWEACQDLTLMILVVAAVASLALGIKTEGPKEGWYDGGSIAFAVFLVIIVTAISDYRQSLQFQKLDEEKRNIHLEVVRGGRRVEISIYDIVVGDVVPLNIGDQVPADGILISGHSFAIDESSMTGESDIVQKDAKQPFLMSGCKVADGSGTMLVTGVGINTEWGLLMANLSEDTGEETPLQVRLNGVATFIGFVGLSVAFAVLVVLLVRYFTGHTEDSNGRQQFVAGKTSVGNAIDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYAGGRKNDPPESRSELPDTLVSLLIEGIAVNANGSVFTSEGGGDVEVSGSPTEKAILIWGIKLGMDFDAVRSGSSIVHVFPFNSEKKRGGVAIRLPDSKVHIHWKGAAEIVLAACTWYLDTNGEAVAMDEEKVAFFEKAIETMAAGSLRCVAIAYRSYESEKVPTNEEELAKWALPEDDLVLLAIVGIKDPCRPSVKDSVQLCQKAGVKVRMVTGDNLKTARAIALECGILSSDAPESSLIEGKVFRSLSDSEREEVAEKISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAIYQVTVLLVLNFDGKKILNLEHESKEHANRVKNTLIFNAFVLSQIFNEFNARKPDEVNIFRGLSKNYLFIGIVAITIILQVKFIC >KJB21984 pep chromosome:Graimondii2_0_v6:4:1900857:1913644:-1 gene:B456_004G024800 transcript:KJB21984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFKGSPYRRPNDLEAGSSRSAHSDDEDHESFADPFDITSTKNAPIDRLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKQAGEQVNGTTTPHPTPGSDFAFGPEQLASVTRDHNFNALQEYGGVNGLAESLKTNLEKGIPGDDSDLLKRRNAFGSNTYPRKKGRSFWRFVWEACQDLTLMILVVAAVASLALGIKTEGPKEGWYDGGSIAFAVFLVIIVTAISDYRQSLQFQKLDEEKRNIHLEVVRGGRRVEISIYDIVVGDVVPLNIGDQVPADGILISGHSFAIDESSMTGESDIVQKDAKQPFLMSGCKVADGSGTMLVTGVGINTEWGLLMANLSEDTGEETPLQVRLNGVATFIGFVGLSVAFAVLVVLLVRYFTGHTEDSNGRQQFVAGKTSVGNAIDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYAGGRKNDPPESRSELPDTLVSLLIEGIAVNANGSVFTSEACGDVEVSGSPTEKAILIWGIKLGMDFDAVRSGSSIVHVFPFNSEKKRGGVAIRLPDSKVHIHWKGAAEIVLAACTWYLDTNGEAVAMDEEKVAFFEKAIETMAAGSLRCVAIAYRSYESEKVPTNEEELAKWALPEDDLVLLAIVGIKDPCRPSVKDSVQLCQKAGVKVRMVTGDNLKTARAIALECGILSSDAPESSLIEGKVFRSLSDSEREEVAEKISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAIYQVTVLLVLNFDGKKILNLEHESKEHANRVKNTLIFNAFVLSQIFNEFNARKPDEVNIFRGLSKNYLFIGIVAITIILQAIIVEFLGKFAKTEKLSWQLWLVSIGIGFISWPLATLGKFIPVPETPVSRVFSRMFYRRRNQNVSGSQKHEDSNATSIRN >KJB21987 pep chromosome:Graimondii2_0_v6:4:1902015:1913644:-1 gene:B456_004G024800 transcript:KJB21987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFKGSPYRRPNDLEAGSSRSAHSDDEDHESFADPFDITSTKNAPIDRLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKQAGEQVNGTTTPHPTPGSDFAFGPEQLASVTRDHNFNALQEYGGVNGLAESLKTNLEKGIPGDDSDLLKRRNAFGSNTYPRKKGRSFWRFVWEACQDLTLMILVVAAVASLALGIKTEGPKEGWYDGGSIAFAVFLVIIVTAISDYRQSLQFQKLDEEKRNIHLEVVRGGRRVEISIYDIVVGDVVPLNIGDQVPADGILISGHSFAIDESSMTGESDIVQKDAKQPFLMSGCKVADGSGTMLVTGVGINTEWGLLMANLSEDTGEETPLQVRLNGVATFIGFVGLSVAFAVLVVLLVRYFTGHTEDSNGRQQFVAGKTSVGNAIDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYAGGRKNDPPESRSELPDTLVSLLIEGIAVNANGSVFTSEGGGDVEVSGSPTEKAILIWGIKLGMDFDAVRSGSSIVHVFPFNSEKKRGGVAIRLPDSKVHIHWKGAAEIVLAACTWYLDTNGEAVAMDEEKVAFFEKAIETMAAGSLRCVAIAYRSYESEKVPTNEEELAKWALPEDDLVLLAIVGIKDPCRPSVKDSVQLCQKAGVKVRMVTGDNLKTARAIALECGILSSDAPESSLIEGKVFRSLSDSEREEVAEKISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAIYQVTVLLVLNFDGKKILNLEHESKEHANRVKNTLIFNAFVLSQVSRYYSNKKLTIFDAVGLNIL >KJB21985 pep chromosome:Graimondii2_0_v6:4:1900439:1913908:-1 gene:B456_004G024800 transcript:KJB21985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFKGSPYRRPNDLEAGSSRSAHSDDEDHESFADPFDITSTKNAPIDRLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKQAGEQVNGTTTPHPTPGSDFAFGPEQLASVTRDHNFNALQEYGGVNGLAESLKTNLEKGIPGDDSDLLKRRNAFGSNTYPRKKGRSFWRFVWEACQDLTLMILVVAAVASLALGIKTEGPKEGWYDGGSIAFAVFLVIIVTAISDYRQSLQFQKLDEEKRNIHLEVVRGGRRVEISIYDIVVGDVVPLNIGDQVPADGILISGHSFAIDESSMTGESDIVQKDAKQPFLMSGCKVADGSGTMLVTGVGINTEWGLLMANLSEDTGEETPLQVRLNGVATFIGFVGLSVAFAVLVVLLVRYFTGHTEDSNGRQQFVAGKTSVGNAIDGAIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYAGGRKNDPPESRSELPDTLVSLLIEGIAVNANGSVFTSEGGGDVEVSGSPTEKAILIWGIKLGMDFDAVRSGSSIVHVFPFNSEKKRGGVAIRLPDSKVHIHWKGAAEIVLAACTWYLDTNGEAVAMDEEKVAFFEKAIETMAAGSLRCVAIAYRSYESEKVPTNEEELAKWALPEDDLVLLAIVGIKDPCRPSVKDSVQLCQKAGVKVRMVTGDNLKTARAIALECGILSSDAPESSLIEGKVFRSLSDSEREEVAEKISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAIYQVTVLLVLNFDGKKILNLEHESKEHANRVKNTLIFNAFVLSQIFNEFNARKPDEVNIFRGLSKNYLFIGIVAITIILQAIIVEFLGKFAKTEKLSWQLWLVSIGIGFISWPLATLGKFIPVPETPVSRVFSRMFYRRRNQNVSGSQKHEDSNATSIRN >KJB23516 pep chromosome:Graimondii2_0_v6:4:18781162:18783592:-1 gene:B456_004G101900 transcript:KJB23516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIRAYTIPHVEGLKTTNQFSSFSHEYPNQHLYKFIKSCNTFKFNGVLVETVKLSFYTGLKESIRGNLDAAANVSILSKSLEEATMIIEDMVENNLHNHHSWQCIVEIELFGYVGNLRRQYRNPFSKTYNLGWRNHPNFAWSNNKFFYSQSRTVKPSCFP >KJB26829 pep chromosome:Graimondii2_0_v6:4:59720880:59723462:1 gene:B456_004G261800 transcript:KJB26829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTYCLTPKAHLKIPTIVVFLLKKLSPFHFHHCKFYSHHSLPFPTTSSLHVKAIKDGSFQNLDVANHLLNVYVKSKNLNHACKLFDEMPHRDVRTWTILVSTFARVGSNGAALELFKNMQNEGIKPNQFTLSSVLKCCSSLSELKIGKGVHGWILRNGVVFDVILENALFCFYVKCEDFGSAKWLFESMEEKNSVTWNIMIGAYLDTGNVDKAVDLFRRQGLKGVSIWNTIINGLMRNGFERIALKLLYEMVKDGTLFNEVTFSIALVLVSLLKDLELGKQIHGRVLLSGIHVDGFLRNSLIDMYCKCGEMKMALKVFKKMDTYFGRNENSIEEVISWSSIISGLVLNGEFEDAFKTFTSMVRKDIDIDAFSITSIVSACASFGVLELGRQVHGLVQKMGHKLDAHLGSSLIDMYAKCGNLDDAKRIFKQTNDMNVVLWTSMVYSYALHGRGREAVQLFEFSMSHGLLPNEVTFIGVLTACSHAGLVEEGCRYFRLMKEVYGIKPGVEHFTRMVDLYGRAGQFKEIKKFIDENGIHHLRAVWRSFLSSCRLHRDIEMAEWVSENLLRCKTLDAGPYVLLSNIYAIKQRWEEVATVRRLMQSRGVKKQPCQSWIQIRNQVHAFIMDDRSHPQKNEI >KJB25886 pep chromosome:Graimondii2_0_v6:4:54656315:54657381:-1 gene:B456_004G213600 transcript:KJB25886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCCSSRGVEISSGPAYYYYPRASDERMPLSSHSVAASALSTGLLVDTNLEVSVPDAYRPPPAPVPFDAILGPPQTPSVAQIHSSKSDEAVQSTNVDSGQETVAANTRETCTKSEDTKGLGCKAQTDTEIESPKELEVELSKPVEPVVSTKEEEDVCPTCLEEYDAENPKIITKCEHHFHLACILEWMERSDTCPVCDKEMMFDLPTD >KJB25885 pep chromosome:Graimondii2_0_v6:4:54654711:54658877:-1 gene:B456_004G213600 transcript:KJB25885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCCSSRGVEISSGPAYYYYPRASDERMPLSSHSVAASALSTGLLVDTNLEVSVPDAYRPPPAPVPFDAILGPPQTPSVAQIHSSKSDEAVQSTNVDSGQETVAANTRETCTKSEDTKGLGCKAQTDTEIESPKELEVELSKPVEPVVSTKEEEDVCPTCLEEYDAENPKIITKCEHHFHLACILEWMERSDTCPVCDKEMMFDLPTD >KJB21332 pep chromosome:Graimondii2_0_v6:4:43132597:43135054:-1 gene:B456_004G152000 transcript:KJB21332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHQISGDDIDMKDLNPRQLQLFVKLLNGETLSLQFPTLQVQVSDVKHRIQEFTQIPFNFQRLIRGHQLKDDSVISHSNATLNLSLRLLGGKGGFGSLLRGAATKAGQKKTSNFEACRDMSGRRLRHVNAEKRLEEWKAEEEQRKLEKIAEDFIKKKAKTGKKGVGDGEAEKYVAKYREQSARCVAVVEESVRAACQNGKRKTVPGGADPKRLKIWMGKRKVDESDSDSDYSSEDEDNEENEKSVILNNGNHSDSSKGAEGSSGSVLGGKQDIDFSSGVSSDTGSEEEKEVVLHGSSESCEDVNAMVEADVIAGSEAAHPEMPEQNGTKTENQEEIVSQCLSADPVAENGVGSELIDEVNCSSILKPEVHKDALVSNVNATEPENPLNFDDFYSSAEMEVLGLERLKSELQSRGLKCGGTLQERAARLFLLKSTALDKLPKKLLAKK >KJB21333 pep chromosome:Graimondii2_0_v6:4:43132656:43135012:-1 gene:B456_004G152000 transcript:KJB21333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHQISGDDIDMKDLNPRQLQLFVKLLNGETLSLQFPTLQVQVSDVKHRIQEFTQIPFNFQRLIRGHQLKDDSVISHSNATLNLSLRLLGGKGGFGSLLRGAATKAGQKKTSNFEACRDMSGRRLRHVNAEKRLEEWKAEEEQRKLEKIAEDFIKKKAKTGKKGVGDGEAEKYVAKYREQSARCVAVVEESVRAACQNGKRKTVPGGADPKRLKIWMGKRKVDESDSDSDYSSEDEDNEENEKSVILNNGNHSDSSKGAEGSSGSVLGGKQDIDFSSGVSSDTGSEEEKEVVLHGSSESCEDVNAMVEADVIAGSEAAHPEMPEQNGTKTENQEEIVSQCLSADPVAENGVGSELIDEVNCSSILKPEVHKDALVSNVNATEPENPLNFDDFYSSAEMEVWLLT >KJB24125 pep chromosome:Graimondii2_0_v6:4:34309214:34311797:-1 gene:B456_004G129000 transcript:KJB24125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRVKILFTSICHTDLSSWKGENEAQRAYPRILGHEAAGIVESVGEGVEDMKIGDHVIPFFQGECGTCICCRSQKTNLCQKFGVNPLKSVMVNDGKTRFSTMDGNPIFHFLNTSTFSQYTVLDSACVVKIDTKAPLEKMSLLSCGVSTGVGAAWNVANLQPGSSVAIFGLGAVGLAVAEGARVRGASKIIGVDINPSKFFKGKAVGITEFINPKDLDVPVHEKIGAITVGGVDYSFECVGNLEVLREAFLSTHTGWGLTVLLGIHPSPMLLPLHPMELFNGRQIVGSIFGGFKGKTQLPKLAEQCMCGTLNLDEFITHELPFHNINEAFQLLIEGKSLRCLLHL >KJB24123 pep chromosome:Graimondii2_0_v6:4:34309214:34311722:-1 gene:B456_004G129000 transcript:KJB24123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEGYAGVCSRIVESVGEGVEDMKIGDHVIPFFQGECGTCICCRSQKTNLCQKFGVNPLKSVMVNDGKTRFSTMDGNPIFHFLNTSTFSQYTVLDSACVVKIDTKAPLEKMSLLSCGVSTGVGAAWNVANLQPGSSVAIFGLGAVGLAVAEGARVRGASKIIGVDINPSKFFKGKAVGITEFINPKDLDVPVHEKIGAITVGGVDYSFECVGNLEVLREAFLSTHTGWGLTVLLGIHPSPMLLPLHPMELFNGRQIVGSIFGGFKGKTQLPKLAEQCMCGTLNLDEFITHELPFHNINEAFQLLIEGKSLRCLLHL >KJB24126 pep chromosome:Graimondii2_0_v6:4:34309214:34311804:-1 gene:B456_004G129000 transcript:KJB24126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGYHSYETESTHTAGKVITCKAAVAWGPGQPLVIEDVQVAPPQKMEVRVKILFTSICHTDLSSWKGENEAQRAYPRILGHEAAGIVESVGEGVEDMKIGDHVIPFFQGECGTCICCRSQKTNLCQKFGVNPLKSVMVNDGKTRFSTMDGNPIFHFLNTSTFSQYTVLDSACVVKIDTKAPLEKMSLLSCGVSTGVGAAWNVANLQPGSSVAIFGLGAVGLAVAEGARVRGASKIIGVDINPSKFFKGKAVGITEFINPKDLDVPVHEKIGAITVGGVDYSFECVGNLEVLREAFLSTHTGWGLTVLLGIHPSPMLLPLHPMELFNGRQIVGSIFGGFKGKTQLPKLAEQCMCGTLNLDEFITHELPFHNINEAFQLLIEGKSLRCLLHL >KJB24127 pep chromosome:Graimondii2_0_v6:4:34309611:34311722:-1 gene:B456_004G129000 transcript:KJB24127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGYHSYETESTHTAGKVITCKAAVAWGPGQPLVIEDVQVAPPQKMEVRVKILFTSICHTDLSSWKGENEAQRAYPRILGHEAAGIVESVGEGVEDMKIGDHVIPFFQGECGTCICCRSQKTNLCQKFGVNPLKSVMVNDGKTRFSTMDGNPIFHFLNTSTFSQYTVLDSACVVKIDTKAPLEKMSLLSCGVSTGVGAAWNVANLQPGSSVAIFGLGAVGLAVAEGARVRGASKIIGVDINPSKFFKGKAVGITEFINPKDLDVPVHEKIGAITVGGVDYSFECVGNLEVLREAFLSTHTVTPSSYHPASDFPVSSIVVPL >KJB24124 pep chromosome:Graimondii2_0_v6:4:34309214:34311722:-1 gene:B456_004G129000 transcript:KJB24124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGYHSYETESTHTAGKVITCKAAVAWGPGQPLVIEDVQVAPPQKMEVRVKILFTSICHTDLSSWKGENEAQRAYPRILGHEAAGIVESVGEGVEDMKIGDHVIPFFQGECGTCICCRSQKTNLCQKFGVNPLKSVMVNDGKTRFSTMDGNPIFHFLNTSTFSQYTVLDSACVVKIDTKAPLEKMSLLSCGVSTGVGAAWNVANLQPGSSVAIFGLGAVGLAQVAEGARVRGASKIIGVDINPSKFFKGKAVGITEFINPKDLDVPVHEKIGAITVGGVDYSFECVGNLEVLREAFLSTHTGWGLTVLLGIHPSPMLLPLHPMELFNGRQIVGSIFGGFKGKTQLPKLAEQCMCGTLNLDEFITHELPFHNINEAFQLLIEGKSLRCLLHL >KJB25887 pep chromosome:Graimondii2_0_v6:4:54659394:54660823:1 gene:B456_004G213700 transcript:KJB25887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTPKKRPKSKHNSYSKNSSLNSILEPPQSLFPSKDEFLRLITVFAIASVVALSCNYFLTFFTSTSKPFCDSKLDPIDSFSVLNMYMFQVRENDIWNDLDRHNLMQNVGSDHTTYVYMKRRAMETIAKLLETRTNLHGLQEFKCPDALAEHYKPLTCHFRELVSKYSLIIIPICAGVVPSKSYSLNALVIVSKF >KJB25888 pep chromosome:Graimondii2_0_v6:4:54659394:54660855:1 gene:B456_004G213700 transcript:KJB25888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTPKKRPKSKHNSYSKNSSLNSILEPPQSLFPSKDEFLRLITVFAIASVVALSCNYFLTFFTSTSKPFCDSKLDPIDSFSVLNMYMFQVRENDIWNDLDRHNLMQNVGSDHTTYVYMKRRAMETIAKLLETRTNLHGLQEFKCPDALAEHYKPLTCHFRELVSKYSLIIIPICAGVVPSKSYSLNALVIVSKF >KJB26076 pep chromosome:Graimondii2_0_v6:4:55805139:55815613:1 gene:B456_004G223500 transcript:KJB26076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYRMFNKTWPDRSRRLFSSFDPAIQRIINEEDGGMHRRHASPSLRDRNVQMSFTTRTSSTSNIPGYGTSAIVAMDRTSSLSSGTSFSSGMNLSQSKPLGKGAERTLESVLHASKQKVSAIESMLRGLDISEKQRSTSLDLGVEPPSSRDPPFPAVVPASHSLTSSLGLESSTSGVGKGSNRNGGLIMSDIISQIQASKDSGKLSYRSTVATESLPTFSSYSAKRASERQDRGSFEENSDIREARRLTNPHIEGQYLEMPYRDVSSRDSHNNYIPNFQRPLLRKHVAGRMSAGRRRSFDDNQLSLGEMSNYVEGPASLNDALSEGLSPSSDWSARVAAFSYLRSLLKQGPRGIQEVVQTFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHAVNPEGSGNIGILKLWLAKLTPLAHDKNTKLKDAAITCIISVYTHFDPTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLINFLQNKKERQRSKSSYDPYDVVGTSSEEGYIGVSKKSAFLGRYSSSSIDSEGGRKWGTTQESTLITGSIGLATSDETRENLYQNFETSPNADVLLSKTNESSYMVYSMSQNLGSRNLESNFNLEGVSTPQLEINGLSRSDSLGAIGGVAHNYETSSGLDLNHLKPAAVKINSMPDAGPSIPQILHMICNGNDESPTASKRSALEQLTEISAANDPSIWNKYFNQILTAILEVLDDSDSSIRELALSLIVEMLKNQKDAMEDSVEIVIEKLLHVTKDIVPKVSNEAEHCLNTVLSQYDPFRCLSVIVPLLVTEDEKTLVICINCLTKLVGRLSQEELMAQLPSFLPSLFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPHLEGLNSTQLRLVTIYANRISQARTGTPIDANQ >KJB26072 pep chromosome:Graimondii2_0_v6:4:55805362:55814099:1 gene:B456_004G223500 transcript:KJB26072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARAKDTKVRMAAVERLYQLLEGTRKNLTSSEVTSIVDCCLDLLKDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDGKQPVRDAARHLLVTLMEVSSPTIIVERAGSYAWRHKSWRVREEFARTVTSAISLFASTELPLQRAILPPILQMLSDPNLGVREAAILCIEEMYRQAGTQFRDELHRHQLPASMVRDINARLEKIEPQVRSSDGILGGFGSGEMKPAILNPKKSSPRAKSSSRESSLFAGESDITEKPIDPIKVYSEKELIREFEKISSTLVPEKDWSVRIAAMQRVEGLVFGGAADYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLSFLSKELLGDFEACAEIFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRNAVLRARCCEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAMSEVRSTARMCYRMFNKTWPDRSRRLFSSFDPAIQRIINEEDGGMHRRHASPSLRDRNVQMSFTTRTSSTSNIPGYGTSAIVAMDRTSSLSSGTSFSSGMNLSQSKPLGKGAERTLESVLHASKQKVSAIESMLRGLDISEKQRSTSLDLGVEPPSSRDPPFPAVVPASHSLTSSLGLESSTSGVGKGSNRNGGLIMSDIISQIQASKDSGKLSYRSTVATESLPTFSSYSAKRASERQDRGSFEENSDIREARRLTNPHIEGQYLEMPYRDVSSRDSHNNYIPNFQRPLLRKHVAGRMSAGRRRSFDDNQLSLGEMSNYVEGPASLNDALSEGLSPSSDWSARVAAFSYLRSLLKQGPRGIQEVVQTFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHAVNPEGSGNIGILKLWLAKLTPLAHDKNTKLKDAAITCIISVYTHFDPTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLINFLQNKKERQRSKSSYDPYDVVGTSSEEGYIGVSKKSAFLGRYSSSSIDSEGGRKWGTTQESTLITGSIGLATSDETRENLYQNFETSPNADVLLSKTNESSYMVYSMSQNLGSRNLESNFNLEGVSTPQLEINGLSRSDSLGAIGGVAHNYETSSGLDLNHLKPAAVKINSMPDAGPSIPQILHMICNGNDESPTASKRSALEQLTEISAANDPSIWNKYFNQILTAILEVLDDSDSSIRELALSLIVEMLKNQKDAMEDSVEIVIEKLLHVTKDIVPKVSNEAEHCLNTVLSQYDPFRCLSVCAPPMDV >KJB26075 pep chromosome:Graimondii2_0_v6:4:55809710:55815613:1 gene:B456_004G223500 transcript:KJB26075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFQACHLLSFLSKELLGDFEACAEIFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRNAVLRARCCEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAMSEVRSTARMCYRMFNKTWPDRSRRLFSSFDPAIQRIINEEDGGMHRRHASPSLRDRNVQMSFTTRTSSTSNIPGYGTSAIVAMDRTSSLSSGTSFSSGMNLSQSKPLGKGAERTLESVLHASKQKVSAIESMLRGLDISEKQRSTSLDLGVEPPSSRDPPFPAVVPASHSLTSSLGLESSTSGVGKGSNRNGGLIMSDIISQIQASKDSGKLSYRSTVATESLPTFSSYSAKRASERQDRGSFEENSDIREARRLTNPHIEGQYLEMPYRDVSSRDSHNNYIPNFQRPLLRKHVAGRMSAGRRRSFDDNQLSLGEMSNYVEGPASLNDALSEGLSPSSDWSARVAAFSYLRSLLKQGPRGIQEVVQTFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHAVNPEGSGNIGILKLWLAKLTPLAHDKNTKLKDAAITCIISVYTHFDPTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLINFLQNKKERQRSKSSYDPYDVVGTSSEEGYIGVSKKSAFLGRYSSSSIDSEGGRKWGTTQESTLITGSIGLATSDETRENLYQNFETSPNADVLLSKTNESSYMVYSMSQNLGSRNLESNFNLEGVSTPQLEINGLSRSDSLGAIGGVAHNYETSSGLDLNHLKPAAVKINSMPDAGPSIPQILHMICNGNDESPTASKRSALEQLTEISAANDPSIWNKYFNQILTAILEVLDDSDSSIRELALSLIVEMLKNQKDAMEDSVEIVIEKLLHVTKDIVPKVSNEAEHCLNTVLSQYDPFRCLSVIVPLLVTEDEKTLVICINCLTKLVGRLSQEELMAQLPSFLPSLFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPHLEGLNSTQLRLVTIYANRISQARTGTPIDANQ >KJB26073 pep chromosome:Graimondii2_0_v6:4:55805139:55815613:1 gene:B456_004G223500 transcript:KJB26073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARAKDTKVRMAAVERLYQLLEGTRKNLTSSEVTSIVDCCLDLLKDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDGKQPVRDAARHLLVTLMEVSSPTIIVERAGSYAWRHKSWRVREEFARTVTSAISLFASTELPLQRAILPPILQMLSDPNLGVREAAILCIEEMYRQAGTQFRDELHRHQLPASMVRDINARLEKIEPQVRSSDGILGGFGSGEMKPAILNPKKSSPRAKSSSRESSLFAGESDITEKPIDPIKVYSEKELIREFEKISSTLVPEKDWSVRIAAMQRVEGLVFGGAADYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLSFLSKELLGDFEACAEIFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRNAVLRARCCEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAMSEVRSTARMCYRMFNKTWPDRSRRLFSSFDPAIQRIINEEDGGMHRRHASPSLRDRNVQMSFTTRTSSTSNIPGYGTSAIVAMDRTSSLSSGTSFSSGMNLSQSKPLGKGAERTLESVLHASKQKVSAIESMLRGLDISEKQRSTSLDLGVEPPSSRDPPFPAVVPASHSLTSSLGLESSTSGVGKGSNRNGGLIMSDIISQIQASKDSGKLSYRSTVATESLPTFSSYSAKRASERQDRGSFEENSDIREARRLTNPHIEGQYLEMPYRDVSSRDSHNNYIPNFQRPLLRKHVAGRMSAGRRRSFDDNQLSLGEMSNYVEGPASLNDALSEGLSPSSDWSARVAAFSYLRSLLKQGPRGIQEVVQTFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHAVNPEGSGNIGILKLWLAKLTPLAHDKNTKLKDAAITCIISVYTHFDPTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLINFLQNKKERQRSKSSYDPYDVVGTSSEEGYIGVSKKSAFLGRYSSSSIDSEGGRKWGTTQESTLITGSIGLATSDETRENLYQNFETSPNADVLLSKTNESSYMVYSMSQNLGSRNLESNFNLEGVSTPQLEINGLSRSDSLGAIGGVAHNYETSSGLDLNHLKPAAVKINSMPDAGPSIPQILHMICNGNDESPTASKRSALEQLTEISAANDPSIWNKYFNQILTAILEVLDDSDSSIRELALSLIVEMLKNQKDAMEDSVEIVIEKLLHVTKDIVPKVSNEAEHCLNTVLSQYDPFRCLSVCAPPMDV >KJB26074 pep chromosome:Graimondii2_0_v6:4:55805139:55815613:1 gene:B456_004G223500 transcript:KJB26074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARAKDTKVRMAAVERLYQLLEGTRKNLTSSEVTSIVDCCLDLLKDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDGKQPVRDAARHLLVTLMEVSSPTIIVERAGSYAWRHKSWRVREEFARTVTSAISLFASTELPLQRAILPPILQMLSDPNLGVREAAILCIEEMYRQAGTQFRDELHRHQLPASMVRDINARLEKIEPQVRSSDGILGGFGSGEMKPAILNPKKSSPRAKSSSRESSLFAGESDITEKPIDPIKVYSEKELIREFEKISSTLVPEKDWSVRIAAMQRVEGLVFGGAADYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLSFLSKELLGDFEACAEIFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRNAVLRARCCEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAMSEVRSTARMCYRMFNKTWPDRSRRLFSSFDPAIQRIINEEDGGMHRRHASPSLRDRNVQMSFTTRTSSTSNIPGYGTSAIVAMDRTSSLSSGTSFSSGMNLSQSKPLGKGAERTLESVLHASKQKVSAIESMLRGLDISEKQRSTSLDLGVEPPSSRDPPFPAVVPASHSLTSSLGLESSTSGVGKGSNRNGGLIMSDIISQIQASKDSGKLSYRSTVATESLPTFSSYSAKRASERQDRGSFEENSDIREARRLTNPHIEGQYLEMPYRDVSSRDSHNNYIPNFQRPLLRKHVAGRMSAGRRRSFDDNQLSLGEMSNYVEGPASLNDALSEGLSPSSDWSARVAAFSYLRSLLKQGPRGIQEVVQTFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHAVNPEGSGNIGILKLWLAKLTPLAHDKNTKLKDAAITCIISVYTHFDPTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLINFLQNKKERQRSKSSYDPYDVVGTSSEEGYIGVSKKSAFLGRYSSSSIDSEGGRKWGTTQESTLITGSIGLATSDETRENLYQNFETSPNADVLLSKTNESSYMVYSMSQNLGSRNLESNFNLEGVSTPQLEINGLSRSDSLGAIGGVAHNYETSSGLDLNHLKPAAVKINSMPDAGPSIPQILHMICNGNDESPTASKRSALEQLTEISAANDPSIWNKYFNQILTAILEVLDDSDSSIRELALSLIVEMLKNQKDAMEDSVEIVIEKLLHVTKDIVPKVSNEAEHCLNTVLSQYDPFRCLSVIVPLLVTEDEKTLVICINCLTKVFILNLS >KJB26071 pep chromosome:Graimondii2_0_v6:4:55805048:55815667:1 gene:B456_004G223500 transcript:KJB26071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARAKDTKVRMAAVERLYQLLEGTRKNLTSSEVTSIVDCCLDLLKDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDGKQPVRDAARHLLVTLMEVSSPTIIVERAGSYAWRHKSWRVREEFARTVTSAISLFASTELPLQRAILPPILQMLSDPNLGVREAAILCIEEMYRQAGTQFRDELHRHQLPASMVRDINARLEKIEPQVRSSDGILGGFGSGEMKPAILNPKKSSPRAKSSSRESSLFAGESDITEKPIDPIKVYSEKELIREFEKISSTLVPEKDWSVRIAAMQRVEGLVFGGAADYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLSFLSKELLGDFEACAEIFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRNAVLRARCCEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAMSEVRSTARMCYRMFNKTWPDRSRRLFSSFDPAIQRIINEEDGGMHRRHASPSLRDRNVQMSFTTRTSSTSNIPGYGTSAIVAMDRTSSLSSGTSFSSGMNLSQSKPLGKGAERTLESVLHASKQKVSAIESMLRGLDISEKQRSTSLDLGVEPPSSRDPPFPAVVPASHSLTSSLGLESSTSGVGKGSNRNGGLIMSDIISQIQASKDSGKLSYRSTVATESLPTFSSYSAKRASERQDRGSFEENSDIREARRLTNPHIEGQYLEMPYRDVSSRDSHNNYIPNFQRPLLRKHVAGRMSAGRRRSFDDNQLSLGEMSNYVEGPASLNDALSEGLSPSSDWSARVAAFSYLRSLLKQGPRGIQEVVQTFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHAVNPEGSGNIGILKLWLAKLTPLAHDKNTKLKDAAITCIISVYTHFDPTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLINFLQNKKERQRSKSSYDPYDVVGTSSEEGYIGVSKKSAFLGRYSSSSIDSEGGRKWGTTQESTLITGSIGLATSDETRENLYQNFETSPNADVLLSKTNESSYMVYSMSQNLGSRNLESNFNLEGVSTPQLEINGLSRSDSLGAIGGVAHNYETSSGLDLNHLKPAAVKINSMPDAGPSIPQILHMICNGNDESPTASKRSALEQLTEISAANDPSIWNKYFNQILTAILEVLDDSDSSIRELALSLIVEMLKNQKDAMEDSVEIVIEKLLHVTKDIVPKVSNEAEHCLNTVLSQYDPFRCLSVIVPLLVTEDEKTLVICINCLTKLVGRLSQEELMAQLPSFLPSLFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPHLEGLNSTQLRLVTIYANRISQARTGTPIDANQ >KJB24745 pep chromosome:Graimondii2_0_v6:4:44794746:44800356:-1 gene:B456_004G158800 transcript:KJB24745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINIVFIVILIVILSAGFVSAGDIVHQDNVAPKRPGCANNFVLVKVPIWIDGLENTEYVGVGARFGPTMESKEKHANHTRLALADPPDCCSKPRNQLTGEVILVHRGNCSFTVKANVAEEAGASAILIINNQTELFKMVCESDADVNIKIPAVMLPQDAGSNLENYINNNTRVSVAFYSPKRPAVDIAEVFLWLMAVGTILLASYWSAWTAKEVAIEQDKLLKDASEEFLQVGSAGSSGFVDINTMSAVLFVVFASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQMCLVALLSSFRWFQRFAESFIKVPFFGAVSHLTLAVCPFCIAFAVVWAVYRRISFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLGCAFLYDIFWVFASKWLFHESVMIVVARGDRSGEDGIPMLLKIPRMFDPWGGYSVIGFGDIILPGLLVAFSLRYDWLANMNLRAGYFVWAMTAYGLGLLVTYVALNLMDGHGQPALLYIVPFTLGKDLYYIGKKER >KJB24747 pep chromosome:Graimondii2_0_v6:4:44794176:44800605:-1 gene:B456_004G158800 transcript:KJB24747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKEKHANHTRLALADPPDCCSKPRNQLTGEVILVHRGNCSFTVKANVAEEAGASAILIINNQTELFKMVCESDADVNIKIPAVMLPQDAGSNLENYINNNTRVSVAFYSPKRPAVDIAEVFLWLMAVGTILLASYWSAWTAKEVAIEQDKLLKDASEEFLQVGSAGSSGFVDINTMSAVLFVVFASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQMCLVALLSSFRWFQRFAESFIKVPFFGAVSHLTLAVCPFCIAFAVVWAVYRRISFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLGCAFLYDIFWVFASKWLFHESVMIVVARGDRSGEDGIPMLLKIPRMFDPWGGYSVIGFGDIILPGLLVAFSLRYDWLANMNLRAGYFVWAMTAYGLGLLVTYVALNLMDGHGQPALLYIVPFTLGTFITLGKKRGDLKTLWTQGEPERPCPHLQLQPLQQKD >KJB24746 pep chromosome:Graimondii2_0_v6:4:44794176:44800467:-1 gene:B456_004G158800 transcript:KJB24746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINIVFIVILIVILSAGFVSAGDIVHQDNVAPKRPGCANNFVLVKVPIWIDGLENTEYVGVGARFGPTMESKEKHANHTRLALADPPDCCSKPRNQLTGEVILVHRGNCSFTVKANVAEEAGASAILIINNQTELFKMVCESDADVNIKIPAVMLPQDAGSNLENYINNNTRVSVAFYSPKRPAVDIAEVFLWLMAVGTILLASYWSAWTAKEVAIEQDKLLKDASEEFLQVGSAGSSGFVDINTMSAVLFVVFASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQMCLVALLSRWFQRFAESFIKVPFFGAVSHLTLAVCPFCIAFAVVWAVYRRISFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLGCAFLYDIFWVFASKWLFHESVMIVVARGDRSGEDGIPMLLKIPRMFDPWGGYSVIGFGDIILPGLLVAFSLRYDWLANMNLRAGYFVWAMTAYGLGLLVTYVALNLMDGHGQPALLYIVPFTLGTFITLGKKRGDLKTLWTQGEPERPCPHLQLQPLQQKD >KJB24744 pep chromosome:Graimondii2_0_v6:4:44794121:44800663:-1 gene:B456_004G158800 transcript:KJB24744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINIVFIVILIVILSAGFVSAGDIVHQDNVAPKRPGCANNFVLVKVPIWIDGLENTEYVGVGARFGPTMESKEKHANHTRLALADPPDCCSKPRNQLTGEVILVHRGNCSFTVKANVAEEAGASAILIINNQTELFKMVCESDADVNIKIPAVMLPQDAGSNLENYINNNTRVSVAFYSPKRPAVDIAEVFLWLMAVGTILLASYWSAWTAKEVAIEQDKLLKDASEEFLQVGSAGSSGFVDINTMSAVLFVVFASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQMCLVALLSSFRWFQRFAESFIKVPFFGAVSHLTLAVCPFCIAFAVVWAVYRRISFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLGCAFLYDIFWVFASKWLFHESVMIVVARGDRSGEDGIPMLLKIPRMFDPWGGYSVIGFGDIILPGLLVAFSLRYDWLANMNLRAGYFVWAMTAYGLGLLVTYVALNLMDGHGQPALLYIVPFTLGTFITLGKKRGDLKTLWTQGEPERPCPHLQLQPLQQKD >KJB21788 pep chromosome:Graimondii2_0_v6:4:984905:989884:1 gene:B456_004G013700 transcript:KJB21788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVVLAGGNSKNLTPLVSKELPKPLLPVANCPVLYYVLHQLEQSNLKDLIVVVEGEDAALLVGAWISGTFIDRLHVEIAAVPEDIGTAGALRAISHHLTAKDILVVSGDLVSDVPPGALAATHRRHDAAVTTMLCSIPVSGPSESGSSGGKDKAKKLGRYNIIGLDPSKQFLLHIATEIEKDARISKRILHAVGQMEMRSDLMDAHMYAFKRSVLQEVLDVKDTFQSLKEDVLPYLVRSQLKSEALLNRTPQGEENGNEKVSSQNNQAFISRILANASTPSFHGIYSKNPDGSSSTRKTHKCCVYIASSSSYCVRLNSIQAFMDINRDVTGDADHLLSPNNIIGPSPKLGTKTTVGPNCRLGEGSEMGDKCQVKRSIIGRHCRIGSHVKVVNSVVMNHVTIGDGCIIQGSVICSNVQLQERVELKDCQVGAGFVVTAGSEYKAESLAKKEK >KJB21789 pep chromosome:Graimondii2_0_v6:4:985745:990049:1 gene:B456_004G013700 transcript:KJB21789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSIPVSGPSESGSSGGKDKAKKLGRYNIIGLDPSKQFLLHIATGAEIEKDARISKRILHAVGQMEMRSDLMDAHMYAFKRSVLQEVLDVKDTFQSLKEDVLPYLVRSQLKSEALLNRTPQGEENGNEKVSSQNNQAFISRILANASTPSFHGIYSKNPDGSSSTRKTHKCCVYIASSSSYCVRLNSIQAFMDINRDVTGDADHLLSPNNIIGPSPKLGTKTTVGPNCRLGEGSEMGDKCQVKRSIIGRHCRIGSHVKVVNSVVMNHVTIGDGCIIQGSVICSNVQLQERVELKDCQVGAGFVVTAGSEYKAESLAKKEK >KJB21787 pep chromosome:Graimondii2_0_v6:4:984858:990049:1 gene:B456_004G013700 transcript:KJB21787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVVLAGGNSKNLTPLVSKELPKPLLPVANCPVLYYVLHQLEQSNLKDLIVVVEGEDAALLVGAWISGTFIDRLHVEIAAVPEDIGTAGALRAISHHLTAKDILVVSGDLVSDVPPGALAATHRRHDAAVTTMLCSIPVSGPSESGSSGGKDKAKKLGRYNIIGLDPSKQFLLHIATGAEIEKDARISKRILHAVGQMEMRSDLMDAHMYAFKRSVLQEVLDVKDTFQSLKEDVLPYLVRSQLKSEALLNRTPQGEENGNEKVSSQNNQAFISRILANASTPSFHGIYSKNPDGSSSTRKTHKCCVYIASSSSYCVRLNSIQAFMDINRDVTGDADHLLSPNNIIGPSPKLGTKTTVGPNCRLGEGSEMGDKCQVKRSIIGRHCRIGSHVKVVNSVVMNHVTIGDGCIIQGSVICSNVQLQERVELKDCQVGAGFVVTAGSEYKAESLAKKEK >KJB21611 pep chromosome:Graimondii2_0_v6:4:192965:193710:1 gene:B456_004G003000 transcript:KJB21611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KJB26398 pep chromosome:Graimondii2_0_v6:4:57804483:57805790:1 gene:B456_004G239900 transcript:KJB26398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTAKPAGGRKGGVKKKAVSKSVKAGLQFPVGRIARFLKKGRYAQRYGGGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRINPRHVLLAVRNDEELGKLLQGVTIASGGVLPNINPVLLPKKTAAAASDSEKAKSKSPKKA >KJB21560 pep chromosome:Graimondii2_0_v6:4:103459:104951:-1 gene:B456_004G001300 transcript:KJB21560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSKTDYSRPNYRFLSSDQQLQATLNHDSAAFELDESDLYSNSVSSCSGSPEFRDSGVSKMTSTKRRGRVGGTPASLPVNIPDWSKILREEYRNNRRSSESDDDDDVEEDDWLEGGVRIPPHEFLAKQMAKTGIASFSVQEGVGRTLKGRDLRRVRNAIFEKFGFQD >KJB24041 pep chromosome:Graimondii2_0_v6:4:32961385:32963660:-1 gene:B456_004G125900 transcript:KJB24041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSLNSLPIGFRFRPTDEELIHFYLRAKINGKRNDEVEVIREIDVCKCEPWDLPHMSAIQTRDPEWFFFCPLDRKYPNGNRLNRATEAGYWKATGKDRKIKSGSSLIGMKKSLVFYTGRAPRGKRTNWVMHEYRTTLIELDGTKPGQNPFVICRLFKKQDESIEDTNGDEVDVSSPTEDMQSELEGPEDSPALEGVAQPKFPHNNGTCSGGLPNEVVSNAVPPMLECNIVDSKAYSVTGQVAEIVTDKVDQVGEALNHFYDPMVEPPDCKFFSPLRSQVEAEQAPWMFHQVGSSLSAVEFEHETNQNDASISDFLNSILNNSDDYYSVDSSSQQYSANESETPKTMAVVEDGRIRSEQDAEVAQVLMIGSQLGREIADKGTPLEIKATAQDCTIPINKDIVLNSKEEPSNHVTTTCNLDNAITGIRIRPHAAQSQTNIKNSVTQGYAPRRIRLQCKLQVGTYYSEGKKDGSKPVVTKVRNL >KJB24042 pep chromosome:Graimondii2_0_v6:4:32961454:32963607:-1 gene:B456_004G125900 transcript:KJB24042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSLNSLPIGFRFRPTDEELIHFYLRAKINGKRNDEVEVIREIDVCKCEPWDLPHMSAIQTRDPEWFFFCPLDRKYPNGNRLNRATEAGYWKATGKDRKIKSGSSLIGMKKSLVFYTGRAPRGKRTNWVMHEYRTTLIELDGTKPGQNPFVICRLFKKQDESIEDTNGDEVDVSSPTEDMQSELEGPEDSPALEGVAQPKFPHNNGTCSGGLPNEVVSNAVPPMLECNIVDSKAYSVTGQVAEIVTDKVDQVGEALNHFYDPMVEPPDCKFFSPLRSQVEAEQAPWMFHQVGSSLSAVDVDSSSQQYSANESETPKTMAVVEDGRIRSEQDAEVAQVLMIGSQLGREIADKGTPLEIKATAQDCTIPINKDIVLNSKEEPSNHVTTTCNLDNAITGIRIRPHAAQSQTNIKNSVTQGYAPRRIRLQCKLQVGTYYSEGKKDGSKPVVTKVRNL >KJB25803 pep chromosome:Graimondii2_0_v6:4:54266668:54269739:1 gene:B456_004G210200 transcript:KJB25803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLTGPIPPELGNLSGVTKLNLQSNRLTGSLPAELGNLENLQELILDRNKLQGTVPAASNSAFSAKMHGMYASRSNLTGLCRSSRLKVVDVSYNYLVGSIPKCLTNLPNASFQGNCLRDKDVKQRPSTQCGAFPSKSHQVPKSKHRPTEDVPKHQKESKPTWLLALEIATGITVGSLFLVALRTAFHRCNSKPAIIIPWKKSASEKEQLAVYVDSELLKDVTKYSRQELEVACEDFSNIIGSSLDSLVYKGTMKGGPEIAVISLSIKEEHWTGYLELYFQREVADLARLNHDSVGKLLGYCREISPFTRMLVFEYASNGTLHEHLHYGEGSQLSWTRRMRIILGIARGLKYLHAELEPPFTISELNSSAVYLTEDFSPKLVDFESWKTIIARSEKNSGSIGSNGAMCLLPSSVENRHLDIQGNIHAFGILLLEIISGRPPFCKDRGSLIDWAKDYLELPEVMSHIVDPELKHFTYDDLKLICEIISLCIHPDTSRRPSMQEISLMLESSIDTSVSIELKSSSLAWAELALSS >KJB25805 pep chromosome:Graimondii2_0_v6:4:54265822:54270046:1 gene:B456_004G210200 transcript:KJB25805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPYIYFLLLFLGYGVSLATCSFFPTNEVWALTTFKEAIYEDPHLVLSNWNALDADPCNWFGITCNPERQHVTKINITGSSLKGFLAPEIGQITNLLELTLHDNNLIGTIPKELGFLKSLKVLDLGMNQLTGPIPPELGNLSGVTKLNLQSNRLTGSLPAELGNLENLQELILDRNKLQGTVPAASNSAFSAKMHGMYASRSNLTGLCRSSRLKVVDVSYNYLVGSIPKCLTNLPNASFQGNCLRDKDVKQRPSTQCAFPSKSHQVPKSKHRPTEDVPKHQKESKPTWLLALEIATGITVGSLFLVALRTAFHRCNSKPAIIIPWKKSASEKEQLAVYVDSELLKDVTKYSRQELEVACEDFSNIIGSSLDSLVYKGTMKGGPEIAVISLSIKEEHWTGYLELYFQREVADLARLNHDSVGKLLGYCREISPFTRMLVFEYASNGTLHEHLHYGEGSQLSWTRRMRIILGIARGLKYLHAELEPPFTISELNSSAVYLTEDFSPKLVDFESWKTIIARSEKNSGSIGSNGAMCLLPSSVENRHLDIQGNIHAFGILLLEIISGRPPFCKDRGSLIDWAKDYLELPEVMSHIVDPELKHFTYDDLKLICEIISLCIHPDTSRRPSMQEISLMLESSIDTSVSIELKSSSLAWAELALSS >KJB25802 pep chromosome:Graimondii2_0_v6:4:54265497:54270104:1 gene:B456_004G210200 transcript:KJB25802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPYIYFLLLFLGYGVSLATCSFFPTNEVWALTTFKEAIYEDPHLVLSNWNALDADPCNWFGITCNPERQHVTKINITGSSLKGFLAPEIGQITNLLELTLHDNNLIGTIPKELGFLKSLKVLDLGMNQLTGPIPPELGNLSGVTKLNLQSNRLTGSLPAELGNLENLQELILDRNKLQGTVPAASNSAFSAKMHGMYASRSNLTGLCRSSRLKVVDVSYNYLVGSIPKCLTNLPNASFQGNCLRDKDVKQRPSTQCGAFPSKSHQVPKSKHRPTEDVPKHQKESKPTWLLALEIATGITVGSLFLVALRTAFHRCNSKPAIIIPWKKSASEKEQLAVYVDSELLKDVTKYSRQELEVACEDFSNIIGSSLDSLVYKGTMKGGPEIAVISLSIKEEHWTGYLELYFQREVADLARLNHDSVGKLLGYCREISPFTRMLVFEYASNGTLHEHLHYGEGSQLSWTRRMRIILGIARGLKYLHAELEPPFTISELNSSAVYLTEDFSPKLVDFESWKTIIARSEKNSGSIGSNGAMCLLPSSVENRHLDIQGNIHAFGILLLEIISGRPPFCKDRGSLIDWAKDYLELPEVMSHIVDPELKHFTYDDLKLICEIISLCIHPDTSRRPSMQEISLMLESSIDTSVSIELKSSSLAWAELALSS >KJB25804 pep chromosome:Graimondii2_0_v6:4:54265822:54270046:1 gene:B456_004G210200 transcript:KJB25804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPYIYFLLLFLGYGVSLATCSFFPTNEVWALTTFKEAIYEDPHLVLSNWNALDADPCNWFGITCNPERQHVTKINITGSSLKGFLAPEIGQITNLLELTLHDNNLIGTIPKELGFLKSLKVLDLGMNQLTGPIPPELGNLSGVTKLNLQSNRLTGSLPAELGNLENLQELILDRNKLQGTVPAASNSAFSAKMHGMYASRSNLTGLCRSSRLKVVDVSYNYLVGSIPKCLTNLPNASFQGNCLRDKDVKQRPSTQCGAFPSKSHQVPKSKHRPTEDVPKHQKESKPTWLLALEIATGITVGSLFLVALRTAFHRCNSKPAIIIPWKKSASEKEQLAVYVDSELLKDVTKYSRQELEVACEDFSNIIGSSLDSLVYKGTMKGGPEIAVISLSIKEEHWTGYLELYFQREVADLARLNHDSVGKLLGYCREISPFTRMLVFEYASNGTLHEHLHYGEGSQLSWTRRMRIILGIARGLKYLHAELEPPFTISELNSSAVYLTEDFSPKLVDFESWKTIIARSEKNSGSIGSNGAMCLLPSSVENRHLDIQGNIHAFGILLLEIISGRPPFCKDRGSLIDWVSNLSKCCNFFYLCYSSE >KJB26708 pep chromosome:Graimondii2_0_v6:4:59256559:59257201:1 gene:B456_004G256000 transcript:KJB26708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLQKWHPDKHKGDSSVTAKFQEINEAYNVLIDPDKRFEYDITGIYEIDKYTLREYLARFKGMILTCNGLGIPHTSIWTQQLRETNEYADDEKG >KJB26707 pep chromosome:Graimondii2_0_v6:4:59255490:59258035:1 gene:B456_004G256000 transcript:KJB26707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNHNSTPKDYYKILEVEYDATDEKIRLNYRKLALKWHPDKHKGDSSVTAKFQEINEAYNVLIDPDKRFEYDITGIYEIDKYTLREYLARFKGMILTCNGLGIPHTSIWTQQLRETNEYADDEKG >KJB26709 pep chromosome:Graimondii2_0_v6:4:59255490:59258035:1 gene:B456_004G256000 transcript:KJB26709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNHNSTPKDYYKILEVEYDATDEKIRLNYRKLALKWHPDKHKGDSSVTAKFQEINEAYNVLIDPDKRFEYDITGIYEIDKYTLREYLARFKGMILTCNGLGIPHTSIWTQQLRETNEYADDEKVARDVSVYSTC >KJB26711 pep chromosome:Graimondii2_0_v6:4:59255566:59256871:1 gene:B456_004G256000 transcript:KJB26711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNHNSTPKDYYKILEVEYDATDEKIRLNYRKLALKWHPDKHKGDSSVTAKFQEINEAYNVLIDPDKRFEYDITGIYEIDKYTLRVRIHVNPMIFWSRIVML >KJB26710 pep chromosome:Graimondii2_0_v6:4:59255307:59258208:1 gene:B456_004G256000 transcript:KJB26710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNHNSTPKDYYKILEVEYDATDEKIRLNYRKLALKWHPDKHKGDSSVTAKFQEINEAYNVLIDPDKRFEYDITGIYEIDKYTLREYLARFKGMILTCNGLGIPHTSIWTQQLRETNEYADDEKGPLYESKSRNFIGIDHFILIEPEFVSYLLCIIISPGRRTLGKRNFFRADTRCV >KJB27010 pep chromosome:Graimondii2_0_v6:4:60660984:60662677:1 gene:B456_004G271700 transcript:KJB27010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFTAFYTAWSDQLQQLLRQLCSAPKPPTTQDHLHHLNHLVNKVLSHYAEYYRVKAAAAERDVLDIFAAPWASAFEKSLHWIAGWRPTTVFHLVYTESSILFESHIVDILRGVRTGDLGDLSPTQFRRVSELQCETVKEENAITDELSEWQHSVSDLMGATTDVDKMIERLVTVVRKADDLRLRTLKRVVDLLTPQQAVEFLIAAVELQQGIREWGMNQDRECSY >KJB25560 pep chromosome:Graimondii2_0_v6:4:51818438:51822530:1 gene:B456_004G197700 transcript:KJB25560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFHLGQEEAGAVATRGEKRENEDCSWSSSYPRVQAQHRRSKSASDRDLDVSRDGVLQPEEKQQKELHASALSTRAYRTPGPCLDRSAYRNKNPSLNQRASLEKDIELLQLRLQQEKSMRIMLERAMGRASSTLSPGHRHFAAQTKELITEIELLEEEVANREQHVLSLYRSIFDHCVSRPPSGQSSGKASPARTKHTSRKHPSIISSAFCSSKKFPLRPLQALVSTNDSGKRTSRSIDASQFCGKSDILFDMSCSYPIKEKVQGMEKNSVLRTLKEQLYQCPSKLSEEMVKCMAAVYCRLRSATSLNSEKNRSPLLSRSSTDVVLPRRSSGEDQDLSCTSNIEISWISTDKSQFSRASIAISNYRALVEQLEKVTVSQMEYSAQIAFWINVYNALIMHAYLAYGIPHSSLRRLALFHKAAYSIGGHIISANAIEQSIFCFCTPRIGRWLETILSTALRKKSGEERLFISSKLGLPYPQPLACFALCTAKSLHCIKC >KJB25561 pep chromosome:Graimondii2_0_v6:4:51818835:51822530:1 gene:B456_004G197700 transcript:KJB25561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYFMSASDRDLDVSRDGVLQPEEKQQKELHASALSTRAYRTPGPCLDRSAYRNKNPSLNQRASLEKDIELLQLRLQQEKSMRIMLERAMGRASSTLSPGHRHFAAQTKELITEIELLEEEVANREQHVLSLYRSIFDHCVSRPPSGQSSGKASPARTKHTSRKHPSIISSAFCSSKKFPLRPLQALVSTNDSGKRTSRSIDASQFCGKSDILFDMSCSYPIKEKVQGMEKNSVLRTLKEQLYQCPSKLSEEMVKCMAAVYCRLRSATSLNSEKNRSPLLSRSSTDVVLPRRSSGEDQDLSCTSNIEISWISTDKSQFSRASIAISNYRALVEQLEKVTVSQMEYSAQIAFWINVYNALIMHAYLAYGIPHSSLRRLALFHKAAYSIGGHIISANAIEQSIFCFCTPRIGRWLETILSTALRKKSGEERLFISSKLGLPYPQPLACFALCTAKSLHCIKC >KJB25559 pep chromosome:Graimondii2_0_v6:4:51818438:51822530:1 gene:B456_004G197700 transcript:KJB25559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFHLGQEEAGAVATRGEKRENEDCSWSSSYPRVQAQHRRSKSASDRDLDVSRDGVLQPEEKQQKELHASALSTRAYRTPGPCLDRSAYRNKNPSLNQRASLEKDIELLQLRLQQEKSMRIMLERAMGRASSTLSPGHRHFAAQTKELITEIELLEEEVANREQHVLSLYRSIFDHCVSRPPSGQSSGKASPARTKHTSRKHPSIISSAFCSSKKFPLRPLQALVSTNDSGKRTSRSIDASQFCGKSDILFDMSCSYPIKEKVQGMEKNSVLRTLKEQLYQCPSKLSEEMVKCMAAVYCRLRSATSLNSEKNRSPLLSRSSTDVVLPRRSSGEDQDLSCTSNIEISWISTDKSQFSRASIAISNYRALVEQLEKVTVSQMEYSAQIAFWINVYNALIMHAYLAYGIPHSSLRRLALFHKAAYSIGGHIISANAIEQSIFCFCTPRIGRWLETILSTALRKKSGEERLFISSKLGLPYPQPLACFALCTGAFSDPVLKVYTASNVKEELEVAKREFLQANVVVKKSKKVFLPRVLERFAKEASISSDDILNWVAENVDKKLHNSIQKCIDGKSKKKSSQVIDWLPYNSSFRYILSKDLTEKPWWV >KJB27184 pep chromosome:Graimondii2_0_v6:4:61466275:61466956:1 gene:B456_004G283200 transcript:KJB27184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKQLVSERGLAVKQLKDAKASKADTGASVVELNKAKESLLKLDERSNLKPGIPQKDGKIDYTQDFFIETYAYAISNVYTFGPIFRAEQSHTSRHLAEFWMVEPEIAFADLQDDMNCAEAYVKYMCKWLLEKWLDDMEFMAKSYDKGCINRLKMVASTNTNLSITLYLTSWMIFK >KJB25646 pep chromosome:Graimondii2_0_v6:4:53026504:53028439:1 gene:B456_004G201900 transcript:KJB25646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKLGVKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLSETEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLNKEARTQESSSHAHHSADNHTTENDGIANSSEDNSSSPTENCSTTDDPNLLDAICSDESLLNSLWMDEPPLVDVSWTSISAGETNCNLTSLPSWEEDCAWLLDCQDFGANDFGFDYLNDIELNTLEMGDKSVA >KJB26083 pep chromosome:Graimondii2_0_v6:4:55856070:55859306:1 gene:B456_004G2242002 transcript:KJB26083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSVVNTKALLQSPKGETLLIETDTTRSHTTIPRTIQWHEINLPDKWKLEGATDPVAPTPIRNTSLRSTSTAFRRLNIEEESNPETQTVDFRTARASVSSIPTTFRTNLQGIDNSSNLAQPIYVRQEESPQNSPNMSPTYSSMTNNARQRENLEIFALEKLFEINKEWCREHFYSNKNKQKREDYFKNYNDKKEKEKSVFQNKYNANTIYEWNIDRMSEYNILSLLQQMTMVSNVYKTQNQNGLINDHAIANLLVAGFTGNKKDDQDRIILDEQGRETQDAVATLIFSISKHFIGDPSYLKDRNLELLSNLKYVFMTRVMQRSDNQQPFWKEKFLPRLPTLLGEKVRNQIRENYKSIIPYEKLTYGELISFTQKEGLKICQDLKLQKQLKKERYQCRKELGSFCHQFDIKNEPSSSKTCIKYQTCFLMVKDITQDVILGIPFISLLKPYKVTNNSISTKVLNTK >KJB24312 pep chromosome:Graimondii2_0_v6:4:38870323:38873305:-1 gene:B456_004G138600 transcript:KJB24312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVHMGSESETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFEGLTDKSKLDAQPELFIRIIPDKANKTLSIVDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDLGGEPLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTIEKEVSDDEDEETKKEEEGDVEEVDEDKEKKSKKKKVKEVSHEWQLINKQKPIWLRKPEEITKEEYASFYKSITNDWEDHLAVKHFSVEGQLEFKAILFVPRRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEYLSFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFFEIAENKDDYKKFYDAFSKNIKLGIHEDSQNRAKLADLLRYYSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDEESRLRTFVRRLKRF >KJB24311 pep chromosome:Graimondii2_0_v6:4:38870293:38873451:-1 gene:B456_004G138600 transcript:KJB24311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVHMGSESETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFEGLTDKSKLDAQPELFIRIIPDKANKTLSIVDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDLGGEPLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTIEKEVSDDEDEETKKEEEGDVEEVDEDKEKKSKKKKVKEVSHEWQLINKQKPIWLRKPEEITKEEYASFYKSITNDWEDHLAVKHFSVEGQLEFKAILFVPRRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEYLSFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFFEIAENKDDYKKFYDAFSKNIKLGIHEDSQNRAKLADLLRYYSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDEESEDEKKKREEKKKSFENLCKTIKEILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDNSMSAYMSSKKTMEINPDNGIMEALRKRAEVDKNDKSVKDLVLLLYETALLTSGFSLDDPNTFAGRIHRMLKLGLSIDEDETAVDDVDMPSLEEETNEESKMEEVD >KJB21598 pep chromosome:Graimondii2_0_v6:4:158345:160719:1 gene:B456_004G002300 transcript:KJB21598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFGCTGHSTDDSDFPRNKNNRRNANNSNKNKPHDQRQAGSGSSSCSSSIKKASKESVRKEEASKDEELLMEVRNLSLHGGEDSKVGKAIMRAQLFTFDQVIAATGNFRSDYFLGEGGFGKVYKGFLEDTNEVVAIKQLDQDSLQGSREFAAEVLTLSTAEHPNLVKLIGFCAEDEQRLLLYEYMPLGSLENHLHDLSPDQKPLDWNTRMEIAAGMARGLEYLHVKMKPPVIYRDLKCSNILLGEGYHARLSDFGLARVGPSGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSLGVVLLELITGRKAVDYSKDRNEQYLVAWARPLFKDRRNFSQMVDPLLQGQYPMKGLYQALAVAAMCVQEQPNMRPAISDVVMALNYLTVQKYNPNNSAQSSRRSMTLSPGKGRVADHVKSF >KJB21597 pep chromosome:Graimondii2_0_v6:4:158139:160719:1 gene:B456_004G002300 transcript:KJB21597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFGCTGHSTDDSDFPRNKNNRRNANNSNKNKPHDQRQAGSGSSSCSSSSSVKKASKESVRKEEASKDEELLMEVRNLSLHGGEDSKVGKAIMRAQLFTFDQVIAATGNFRSDYFLGEGGFGKVYKGFLEDTNEVVAIKQLDQDSLQGSREFAAEVLTLSTAEHPNLVKLIGFCAEDEQRLLLYEYMPLGSLENHLHDLSPDQKPLDWNTRMEIAAGMARGLEYLHVKMKPPVIYRDLKCSNILLGEGYHARLSDFGLARVGPSGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSLGVVLLELITGRKAVDYSKDRNEQYLVAWARPLFKDRRNFSQMVDPLLQGQYPMKGLYQALAVAAMCVQEQPNMRPAISDVVMALNYLTVQKYNPNNSAQSSRRSMTLSPGKGRVADHVKSF >KJB21596 pep chromosome:Graimondii2_0_v6:4:157568:160719:1 gene:B456_004G002300 transcript:KJB21596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRNLSLHGGEDSKVGKAIMRAQLFTFDQVIAATGNFRSDYFLGEGGFGKVYKGFLEDTNEVVAIKQLDQDSLQGSREFAAEVLTLSTAEHPNLVKLIGFCAEDEQRLLLYEYMPLGSLENHLHDLSPDQKPLDWNTRMEIAAGMARGLEYLHVKMKPPVIYRDLKCSNILLGEGYHARLSDFGLARVGPSGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSLGVVLLELITGRKAVDYSKDRNEQYLVAWARPLFKDRRNFSQMVDPLLQGQYPMKGLYQALAVAAMCVQEQPNMRPAISDVVMALNYLTVQKYNPNNSAQSSRRSMTLSPGKGRVADHVKSF >KJB25238 pep chromosome:Graimondii2_0_v6:4:49424557:49427624:1 gene:B456_004G182300 transcript:KJB25238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAQRPISFAISHLPAIYSRRLPLFSSFLVCGNMRTSFRAFPVVTVPPLPSLGPTASFPARFPSLPQRRLCKFGVKCSYAEAGISDDSRSATIDVVADVRNERIVVLGGSGFVGSAICKAAVSKGIEVISLSRSGRPTYSGSWVDQVNWVPGDVFYANWDEVLVGATAVVSTLGGFGSEEQMKRINGEANVVAVSAAKDFGIPKFILISVHDYNLPSFLLSSGYFTGKRKAESEVLSKYPNSALRLRRKGKRGRLGNLVAFK >KJB25243 pep chromosome:Graimondii2_0_v6:4:49424927:49427624:1 gene:B456_004G182300 transcript:KJB25243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSVYFQHDCSLCKFGVKCSYAEAGISDDSRSATIDVVADVRNERIVVLGGSGFVGSAICKAAVSKGIEVISLSRSGRPTYSGSWVDQVNWVPGDVFYANWDEVLVGATAVVSTLGGFGSEEQMKRINGEANVVAVSAAKDFGIPKFILISVHDYNLPSFLLSSGYFTGKRKAESEVLSKYPNSGVVLRPGFIYGKRRVDGFELPLDLVGEPLERILSAVENFTKPLNALPASDLILVPPVSVDDVAYAVVNAVRDDDFFGVFTIEQIKEAAAKVKV >KJB25237 pep chromosome:Graimondii2_0_v6:4:49424557:49427624:1 gene:B456_004G182300 transcript:KJB25237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAQRPISFAISHLPAIYSRRLPLFSSFLVCGNMRTSFRAFPVVTVPPLPSLGPTASFPARFPSLPQRRLCKFGVKCSYAEAGISDDSRSATIDVVADVRNERIVVLGGSGFVGSAICKAAVSKGIEVISLSRSGRPTYSGSWVDQVNWVPDVFYANWDEVLVGATAVVSTLGGFGSEEQMKRINGEANVVAVSAAKDFGIPKFILISVHDYNLPSFLLSSGYFTGKRKAESEVLSKYPNSGVVLRPGFIYGKRRVDGFELPLDLVGEPLERILSAVENFTKPLNALPASDLILVPPVSVDDVAYAVVNAVRDDDFFGVFTIEQIKEAAAKVKV >KJB25236 pep chromosome:Graimondii2_0_v6:4:49424496:49427630:1 gene:B456_004G182300 transcript:KJB25236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAQRPISFAISHLPAIYSRRLPLFSSFLVCGNMRTSFRAFPVVTVPPLPSLGPTASFPARFPSLPQRRLCKFGVKCSYAEAGISDDSRSATIDVVADVRNERIVVLGGSGFVGSAICKAAVSKGIEVISLSRSGRPTYSGSWVDQVNWVPGDVFYANWDEVLVGATAVVSTLGGFGSEEQMKRINGEANVVAVSAAKDFGIPKFILISVHDYNLPSFLLSSGYFTGKRKAESEVLSKYPNSGVVLRPGFIYGKRRVDGFELPLDLVGEPLERILSAVENFTKPLNALPASDLILVPPVSVDDVAYAVVNAVRDDDFFGVFTIEQIKEAAAKVKV >KJB25242 pep chromosome:Graimondii2_0_v6:4:49424577:49426258:1 gene:B456_004G182300 transcript:KJB25242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAQRPISFAISHLPAIYSRRLPLFSSFLVCGNMRTSFRAFPVVTVPPLPSLGPTASFPARFPSLPQRRLCKFGVKCSYAEAGISDDSRSATIDVVADVRNERIVVLGGSGFVGSAICKAAVSKGIEVISLSRSGRPTYSGSWVDQVNWVPGDVFYANWDEVLVGATAVVSTLGGFGSEEQMKRINGEANVVAVSAAKDFGKNCQ >KJB25241 pep chromosome:Graimondii2_0_v6:4:49424557:49427624:1 gene:B456_004G182300 transcript:KJB25241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAQRPISFAISHLPAIYSRRLPLFSSFLVCGNMRTSFRAFPVVTVPPLPSLGPTASFPARFPSLPQRRLCKFGVKCSYAEAGISDDSRSATIDVVADVRNERIVVLGGSGFVGSAICKAAVSKGIEVISLSRSGRPTYSGSWVDQVNWVPGDVFYANWDEVLVGATAVVSTLGGFGSEEQMKRINGEANVVAVSAAKDFGKRKAESEVLSKYPNSGVVLRPGFIYGKRRVDGFELPLDLVGEPLERILSAVENFTKPLNALPASDLILVPPVSVDDVAYAVVNAVRDDDFFGVFTIEQIKEAAAKVKV >KJB25239 pep chromosome:Graimondii2_0_v6:4:49424577:49426122:1 gene:B456_004G182300 transcript:KJB25239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAQRPISFAISHLPAIYSRRLPLFSSFLVCGNMRTSFRAFPVVTVPPLPSLGPTASFPARFPSLPQRRLCKFGVKCSYAEAGISDDSRSATIDVVADVRNERIVVLGGSGFVGSAICKAAVSKGIEVISLSRSGRPTYSGSWVDQVNWVPGICFLCKLG >KJB25240 pep chromosome:Graimondii2_0_v6:4:49424557:49427624:1 gene:B456_004G182300 transcript:KJB25240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAQRPISFAISHLPAIYSRRLPLFSSFLVCGNMRTSFRAFPVVTVPPLPSLGPTASFPARFPSLPQRRLCKFGVKCSYAEAGISDDSRSATIDVVADVRNERIVVLGGSGFVGSAICKAAVSKGIEVISLSRSGRPTYSGSWVDQVNWVPGDVFYANWDEVLVGATAVVSTLGGFGSEEQMKRINGEANVVAVSAAKDFGIPKFILISVHDYNLPSFLLSSGYFTGKRKAESEVLSKYPNSVQVLS >KJB26652 pep chromosome:Graimondii2_0_v6:4:59059418:59062233:1 gene:B456_004G253200 transcript:KJB26652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDINQKLLTETTKNEEEEVLFKERLWTEMKKLWIVAGPAIFTRFSTFGVTVISQAFVGHLGPTELAAFSLCFTVLLRFGNGVLLGMASALETLCGQAFGAKQYHMLGIYLQRSWIVLFATALCLLPIYIFTTPILIALGQDKIIATVAGYISYWFIGIVFSFIVSFTCQMFLQAQSKNMIIAYLAAFSIGIHICLSWLLTVKLKYGLSGALLSTILAYWIPNIGQLLFVTCGGCKDTWKGFSMLAFKDLMPVVKLSLSSGAMLCLELWYNTILVLLTGNLKNAQVAIDALAICLNINGWEMMISLGFLAAASVRVSNELGRGSSKGAKFSIMTTTLTSFCIGCVLFVLFLFLRGRLAYIFTESEEVANAVADLSPLLACSILLNSVQPVLSGVAVGAGWQSIVAWVNIASYYLVGIPIGVVLGYVFKMEVKGVWVGMLLGTLLQTVTLIIITWKTDWDKQVIFKILTFDSIQHALIFM >KJB26655 pep chromosome:Graimondii2_0_v6:4:59059432:59062569:1 gene:B456_004G253200 transcript:KJB26655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGMASALETLCGQAFGAKQYHMLGIYLQRSWIVLFATALCLLPIYIFTTPILIALGQDKIIATVAGYISYWFIGIVFSFIVSFTCQMFLQAQSKNMIIAYLAAFSIGIHICLSWLLTVKLKYGLSGALLSTILAYWIPNIGQLLFVTCGGCKDTWKGFSMLAFKDLMPVVKLSLSSGAMLCLELWYNTILVLLTGNLKNAQVAIDALAICLNINGWEMMISLGFLAAASVRVSNELGRGSSKGAKFSIMTTTLTSFCIGCVLFVLFLFLRGRLAYIFTESEEVANAVADLSPLLACSILLNSVQPVLSGVAVGAGWQSIVAWVNIASYYLVGIPIGVVLGYVFKMEVKGVWVGMLLGTLLQTVTLIIITWKTDWDKQVLLARSRVNKWFVPESRETNGNQENGA >KJB26650 pep chromosome:Graimondii2_0_v6:4:59058247:59062569:1 gene:B456_004G253200 transcript:KJB26650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDINQKLLTETTKNEEEEVLFKERLWTEMKKLWIVAGPAIFTRFSTFGVTVISQAFVGHLGPTELAAFSLCFTVLLRFGNGVLLGMASALETLCGQAFGAKQYHMLGIYLQRSWIVLFATALCLLPIYIFTTPILIALGQDKIIATVAGYISYWFIGIVFSFIVSFTCQMFLQAQSKNMIIAYLAAFSIGIHICLSWLLTVKLKYGLSGALLSTILAYWIPNIGQLLFVTCGGCKDTWKGFSMLAFKDLMPVVKLSLSSGAMLCLELWYNTILVLLTGNLKNAQVAIDALAICLNINGWEMMISLGFLAAASVRVSNELGRGSSKGAKFSIMTTTLTSFCIGCVLFVLFLFLRGRLAYIFTESEEVANAVADLSPLLACSILLNSVQPVLSGVAVGAGWQSIVAWVNIASYYLVGIPIGVVLGYVFKMEVKGVWVGMLLGTLLQTVTLIIITWKTDWDKQVLLARSRVNKWFVPESRETNGNQENGA >KJB26653 pep chromosome:Graimondii2_0_v6:4:59057969:59062569:1 gene:B456_004G253200 transcript:KJB26653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDINQKLLTETTKNEEEEVLFKERLWTEMKKLWIVAGPAIFTRFSTFGVTVISQAFVGHLGPTELAAFSLCFTVLLRFGNGVLLGMASALETLCGQAFGAKQYHMLGIYLQRSWIVLFATALCLLPIYIFTTPILIALGQDKIIATVAGYISYWFIGIVFSFIVSFTCQMFLQAQSKNMIIAYLAAFSIGIHICLSWLLTVKLKYGLSGALLSTILAYWIPNIGQLLFVTCGGCKDTWKGFSMLAFKDLMPVVKLSLSSGAMLCLELWYNTILVLLTGNLKNAQVAIDALAICLNINGWEMMISLGFLAAASVRVSNELGRGSSKGAKFSIMTTTLTSFCIGCVLFVLFLFLRGRLAYIFTESEEVANAVADLSPLLACSILLNSVQPVLSGVAVGAGWQSIVAWVNIASYYLVGIPIGVVLGYVFKMEVKGVWVGMLLGTLLQTVTLIIITWKTDWDKQVLLARSRVNKWFVPESRETNGNQENGA >KJB26651 pep chromosome:Graimondii2_0_v6:4:59057969:59062569:1 gene:B456_004G253200 transcript:KJB26651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDINQKLLTETTKNEEEEVLFKERLWTEMKKLWIVAGPAIFTRFSTFGVTVISQAFVGHLGPTELAAFSLCFTVLLRFGNGVLLGMASALETLCGQAFGAKQYHMLGIYLQRSWIVLFATALCLLPIYIFTTPILIALGQDKIIATVAGYISYWFIGIVFSFIVSFTCQMFLQAQSKNMIIAYLAAFSIGIHICLSWLLTVKLKYGLSGALLSTILAYWIPNIGQLLFVTCGGCKDTWKGFSMLAFKDLMPVVKLSLSSGAMLCLELWYNTILVLLTGNLKNAQVAIDALAICLNINGWEMMISLGFLAAASVRVSNELGRGSSKGAKFSIMTTTLTSFCIGCVLFVLFLFLRGRLAYIFTESEEVANAVADLSPLLACSILLNSVQPVLSGVAVGAGWQSIVAWVNIASYYLVGIPIGVVLGYVFKMEVKVIFSIRIKFCLFLITSPYLILILYIFYFLNIFRVFGLACCWEHFFKLLHLLLSPGKLIGISR >KJB26654 pep chromosome:Graimondii2_0_v6:4:59057969:59062569:1 gene:B456_004G253200 transcript:KJB26654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDINQKLLTETTKNEEEEVLFKERLWTEMKKLWIVAGPAIFTRFSTFGVTVISQAFVGHLGPTELAAFSLCFTVLLRFGNGVLLGMASALETLCGQAFGAKQYHMLGIYLQRSWIVLFATALCLLPIYIFTTPILIALGQDKIIATVAGYISYWFIGIVFSFIVSFTCQMFLQAQSKNMIIAYLAAFSIGIHICLSWLLTVKLKYGLSGALLSTILAYWIPNIGQLLFVTCGGCKDTWKGFSMLAFKDLMPVVKLSLSSGAMLCLELWYNTILVLLTGNLKNAQVAIDALAICLNINGWEMMISLGFLAAASVRVSNELGRGSSKGAKFSIMTTTLTSFCIGCVLFVLFLFLRGRLAYIFTESEEVANAVADLSPLLACSILLNSVQPVLSGVAVGAGWQSIVAWVNIASYYLVGIPIGVVLGYVFKMEVKGVWVGMLLGTLLQTVTLIIITWKTDWDKQVLLARSRVNKWFVPESRETNGNQENGA >KJB22357 pep chromosome:Graimondii2_0_v6:4:3724288:3728906:1 gene:B456_004G043000 transcript:KJB22357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQLNSDPTTDMGSRFRHGASGSGSDGDFGFAFNDSNFSDRLLRIEIMGVRSECHPDGEDCTGISDWDHHRKRRREDIKKEIVLDLSLCPEEQILNDSQPDMDDGAGCENQDAEAEAVAMAEEIHSGDEDENSNESSWSMDCSTVLRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEDALLELLNFMYSNTLSVTTAPALLDVLMAADKFEVASCMRYCSWLLHNLPMTPESALLYLDLPSSVLMGEAVQPLTDAAKQYLAARYKDVTKFQEEVMALPLAGIQAILASDDLQIASEDAVYDFVLKWARAQYPKLEDRREVLGSHLAQYIRFPYMTCRKLKKVLTCNDFDQDVASKLVLEALFYKADAPYRQRSLAAEESATSNHRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECVNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCLGLFLGMQEKGSTSFTVDYEFAARLKPTEEFVCKYKGNYTFTGGKAVGYRNLFAVPWTSFVAEDSIYFINGTLHLRAELTIRLCS >KJB22359 pep chromosome:Graimondii2_0_v6:4:3726561:3728862:1 gene:B456_004G043000 transcript:KJB22359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESEQRHVTLRINASEEDALLELLNFMYSNTLSVTTAPALLDVLMAADKFEVASCMRYCSWLLHNLPMTPESALLYLDLPSSVLMGEAVQPLTDAAKQYLAARYKDVTKFQEEVMALPLAGIQAILASDDLQIASEDAVYDFVLKWARAQYPKLEDRREVLGSHLAQYIRFPYMTCRKLKKVLTCNDFDQDVASKLVLEALFYKADAPYRQRSLAAEESATSNHRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECVNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCLGLFLGMQEKGSTSFTVDYEFAARLKPTEEFVCKYKGNYTFTGGKAVGYRNLFAVPWTSFVAEDSIYFINGTLHLRAELTIRLCS >KJB22358 pep chromosome:Graimondii2_0_v6:4:3725436:3728862:1 gene:B456_004G043000 transcript:KJB22358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGAGCENQDAEAEAVAMAEEIHSGDEDENSNESSWSMDCSTVLRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEDALLELLNFMYSNTLSVTTAPALLDVLMAADKFEVASCMRYCSWLLHNLPMTPESALLYLDLPSSVLMGEAVQPLTDAAKQYLAARYKDVTKFQEEVMALPLAGIQAILASDDLQIASEDAVYDFVLKWARAQYPKLEDRREVLGSHLAQYIRFPYMTCRKLKKVLTCNDFDQDVASKLVLEALFYKADAPYRQRSLAAEESATSNHRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECVNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCLGLFLGMQEKGSTSFTVDYEFAARLKPTEEFVCKYKGNYTFTGGKAVGYRNLFAVPWTSFVAEDSIYFINGTLHLRAELTIRLCS >KJB27297 pep chromosome:Graimondii2_0_v6:4:61878827:61879678:1 gene:B456_004G2894002 transcript:KJB27297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTGNIDAFYALVREHPYMLEHIDQIPSIDTPLHIAANEGQINFATEMMNLKPSFARKLNQDGFSPMHLAFRNGHPKRMLRLLKIDNDLVRVKGRQGMTPFLWAATVGNRDLNCASKNDHIGALNLLIGWLQRNCRGGAFDLSEKVVNWRDEYDNTVLHITAKKEQYEVSAMQLYYRLLLGFEFLFAA >KJB22333 pep chromosome:Graimondii2_0_v6:4:3616130:3619439:1 gene:B456_004G041700 transcript:KJB22333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLHKLSKASSLAGASLIRRRSNVPENTVYGGPKPQTPNQRVTLNQLRQKYKKGEPITMVTAYDYPSAVHLDTAGIDICLVGDSASMVVHGHDTTLPITLDEMLVHCRAVARGAKRPLLVGDLPFGTYETSTSQAVDTAVRILKEGGMDAIKLEGGSPSRITAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGKNVASAVKVVETAMALQEAGCFSVVLECVPAPVAAAATSALQIPTIGIGAGPFCSGQVLVYHDLLGMLQHPHHAKVTPKFCKQFARVGDVINKALLEYKEEVTNGSFPGPSHTPYKMNPKDVNGFSKELQRLGLDDAASAANEAAVEPEKMNMVNTGSN >KJB21777 pep chromosome:Graimondii2_0_v6:4:954347:955356:-1 gene:B456_004G013000 transcript:KJB21777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFHGLPLCISGITHHFSRFKEVAEHERRREAMKAFVRANEEMGFRVNIETMVEESMGADVNGDRALVVKDLSCNTGLVSTANAG >KJB21778 pep chromosome:Graimondii2_0_v6:4:954853:955259:-1 gene:B456_004G013000 transcript:KJB21778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFHGLPLCISGITHHFSRFKDRVAEHERRREAMKAFVRANEEMGFRVNIETMVEESMGADVNGDRALVVKDLSCNTGLVSTANAG >KJB26156 pep chromosome:Graimondii2_0_v6:4:56215099:56216943:-1 gene:B456_004G227800 transcript:KJB26156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFDEMGICGDMDFFSAPLGEKDVAASQIEPEATVEDDYSDEEIDVDELERRMWRDKMRLKRIKEQNKCKEGIDIAKQRLSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQADNSIPGKNDGCNSIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGNEEWWPQLGLPEDQGPPPYKKPHDLKKAWKVGVLTAVIKHISPDIAKIRKLVRQSKCLQDKMTAKESSTWLAIINQEEALARELYPDSCPPLSSGGGNVSLVINDCSEYDVEGVEDEPNFDVQERKPRTISSNLVMERMRAIQQPPYSTKGEVVSNLDFTRKRKPSNDLNMVEQKIYTCEFLQCPYSEFRLGFHDRTARDNHQLTCPYRNSSAQFGGSNFNVNELKPVIFPRQFGQSKPAAPQVTSVSTPFDLSGLGVPEDGQKTIGELMSVYDNNIQGNKNMNPSNNLVTKGQNVLQPKIQHQQDEFFRGQGVMMEGNFFEDSSMLHNHQMFSQGEAQFERFKGLNSPLDSNHNNNNSFQLMFGSSFDLASFDYKEDLHAVGMDSMPKQDVSIWFQ >KJB26157 pep chromosome:Graimondii2_0_v6:4:56214497:56218239:-1 gene:B456_004G227800 transcript:KJB26157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFDEMGICGDMDFFSAPLGEKDVAASQIEPEATVEDDYSDEEIDVDELERRMWRDKMRLKRIKEQNKCKEGIDIAKQRLSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQADNSIPGKNDGCNSIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGNEEWWPQLGLPEDQGPPPYKKPHDLKKAWKVGVLTAVIKHISPDIAKIRKLVRQSKCLQDKMTAKESSTWLAIINQEEALARELYPDSCPPLSSGGGNVSLVINDCSEYDVEGVEDEPNFDVQERKPRTISSNLVMERMRAIQQPPYSTKGEVVSNLDFTRKRKPSNDLNMVEQKIYTCEFLQCPYSEFRLGFHDRTARDNHQLTCPYRNSSAQFGGSNFNVNELKPVIFPRQFGQSKPAAPQVTSVSTPFDLSGLGVPEDGQKTIGELMSVYDNNIQGNKNMNPSNNLVTKGQNVLQPKIQHQQDEFFRGQGVMMEGNFFEDSSMLHNHQMFSQGEAQFERFKGLNSPLDSNHNNNNSFQLMFGSSFDLASFDYKEDLHAVGMDSMPKQDVSIWFQ >KJB25860 pep chromosome:Graimondii2_0_v6:4:58969855:58972652:-1 gene:B456_004G252000 transcript:KJB25860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMFWNAVIFGPDDTPWDGGTFKLTLQFMEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >KJB25863 pep chromosome:Graimondii2_0_v6:4:58970213:58971832:-1 gene:B456_004G252000 transcript:KJB25863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRVNEGTFKLTLQFMEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >KJB25862 pep chromosome:Graimondii2_0_v6:4:58970213:58971345:-1 gene:B456_004G252000 transcript:KJB25862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >KJB25865 pep chromosome:Graimondii2_0_v6:4:58969872:58972579:-1 gene:B456_004G252000 transcript:KJB25865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMFWNAVIFGPDDTPWDGGTFKLTLQFMEDYPNKPPTVRFVSRMFHPNNGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >KJB25861 pep chromosome:Graimondii2_0_v6:4:58969872:58971524:-1 gene:B456_004G252000 transcript:KJB25861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >KJB25864 pep chromosome:Graimondii2_0_v6:4:58969872:58972579:-1 gene:B456_004G252000 transcript:KJB25864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMFWNAVIFGPDDTPWDGDGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >KJB21541 pep chromosome:Graimondii2_0_v6:4:268573:271681:1 gene:B456_004G004300 transcript:KJB21541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALEMSLDDLIKRSRKSGSGNSRGRGRGSGPGPARRFPNRRANRSTPYTTAKAPETSWQHDMYSDKGAAFRGQAGRASAIETGTKLYISNLDYGVSNDDVKELFSEVGDLKRFTIHYDRSGRSKGTADVVFSFRTDALAAVKRYNNVQLDGKPMKIEIVGANISTPVAPSAANGTFGNSNGATRGGQGRGVGFGRQRGGIGGRGSGRGHGRGRGRGEKISTEDLDADLEKYHSEAMQTN >KJB21542 pep chromosome:Graimondii2_0_v6:4:268610:271244:1 gene:B456_004G004300 transcript:KJB21542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALEMSLDDLIKRSRKSGSGNSRGRGRGSGPGPARRFPNRRANRSTPYTTAKAPETSWQHDMYSDKGAAFRGQAGRASAIETGTKLYISNLDYGVSNDDVKELFSEVGDLKRFTIHYDRSGRSKGTADVVFSFRTDALAAVKRYNNVQLDGKPMKIEIVGANISTPVAPSAANGTFGNSNGATRGGQGRGVGFGRQRGGIGGRGSGRGHGRGRGRGEKISTEDLDADLEKYHSEAMQTN >KJB25338 pep chromosome:Graimondii2_0_v6:4:50072149:50074692:-1 gene:B456_004G186400 transcript:KJB25338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKDPSPTLKINFSKTISFPRLKLKTTIAMAVMSKQDPSPAFVETVQEIMRLYRSLPPRPSIEDVAAAKSVLKTVENEEKIKLEEISMERPPEDVPEELFSVLQQVRKTMVLFQSHQQKKEALYLVEADKMFETFDGLIQRASLLVSGDTQDEKVTTFREQVRKFDREAVISDDSLVKRKEDGDLDKDDVKGLVRSSSSKASFFSGENSSEKLNLIKTAALIENTAKTGGIVLDLRGKLMDQIEWLPVSIGKLKDVSELDLSENRIMALPPSIGGLQALTKLDLHSNQLINLPDSVGELVNLTELDLRANRLKSLPATFGNLINLMNLDLSSNEFTHLPETIGNLTSLRRLIVETNELEELPYTIGNCSLLSELRLDFNQIKALPEAVGKLERLEVLTAHYNRLKGLPTTMGNLSNLKELDI >KJB25339 pep chromosome:Graimondii2_0_v6:4:50072414:50074692:-1 gene:B456_004G186400 transcript:KJB25339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKDPSPTLKINFSKTISFPRLKLKTTIAMAVMSKQDPSPAFVETVQEIMRLYRSLPPRPSIEDVAAAKSVLKTVENEEKIKLEEISMERPPEDVPEELFSVLQQVRKTMVLFQSHQQKKEALYLVEADKMFETFDGLIQRASLLVSGDTQDEKVTTFREQVRKFDREAVISDDSLVKRKEDGDLDKDDVKGLVRSSSSKASFFSGENSSEKLNLIKTAALIENTAKTGGIVLDLRGKLMDQIEWLPVSIGKLKDVSELDLSENRIMALPPSIGGLQALTKLDLHSNQLINLPDSVGELVNLTELDLRANRLKSLPATFGNLINLMNLDLSSNEFTHLPETIGNLTSLRRLIVETNELEELPYTIGNCSLLSELRLDFNQIKALPEAVGKLERLEVLTAHYNRLKGLPTTMGNLSNLKELDNFADLRALPRSIGNLEMLEELDISDNQIRVLPDSFRLLSKLRVFRADETPLEVPPREVIKLGAQAVVEFMADLVAKRDTKAAPPKKEKGFWFRICSICWPFRTANTDDNM >KJB25337 pep chromosome:Graimondii2_0_v6:4:50072137:50074780:-1 gene:B456_004G186400 transcript:KJB25337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKDPSPTLKINFSKTISFPRLKLKTTIAMAVMSKQDPSPAFVETVQEIMRLYRSLPPRPSIEDVAAAKSVLKTVENEEKIKLEEISMERPPEDVPEELFSVLQQVRKTMVLFQSHQQKKEALYLVEADKMFETFDGLIQRASLLVSGDTQDEKVTTFREQVRKFDREAVISDDSLVKRKEDGDLDKDDVKGLVRSSSSKASFFSGENSSEKLNLIKTAALIENTAKTGGIVLDLRGKLMDQIEWLPVSIGKLKDVSELDLSENRIMALPPSIGGLQALTKLDLHSNQLINLPDSVGELVNLTELDLRANRLKSLPATFGNLINLMNLDLSSNEFTHLPETIGNLTSLRRLIVETNELEELPYTIGNCSLLSELRLDFNQIKALPEAVGKLERLEVLTAHYNRLKGLPTTMGNLSNLKELDVSFNEIEFIPENLCFAVSLRKLNVGKNFADLRALPRSIGNLEMLEELDISDNQIRVLPDSFRLLSKLRVFRADETPLEVPPREVIKLGAQAVVEFMADLVAKRDTKAAPPKKEKGFWFRICSICWPFRTANTDDNM >KJB27224 pep chromosome:Graimondii2_0_v6:4:61662033:61662686:1 gene:B456_004G285900 transcript:KJB27224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTSPVEHVSNSGSSSKYKGVRKRKWGKWVSEIRLPNSRERIWLGSYDSAEKAAKAFDVALYCLRGPEANFNFPKNPPEIVGGRSLTQPEIRAVAARLANQVDDQDGADNNNDNNDSSNDNYVRKEQCTSSSSGAGPLQAEENHEMGWLPFLSMDTDNQWMSDSRLYSPVSRILSYGGDQLFPPSPPISPPPIDDNADEYQYCGDGFSPSSSLWDF >KJB25143 pep chromosome:Graimondii2_0_v6:4:48695787:48699153:-1 gene:B456_004G178400 transcript:KJB25143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G45890) UniProtKB/Swiss-Prot;Acc:Q7X6P3] MACASSCRPLFLSSPFPSSSSPSSCSLTIPFLFCLSPINPSSKPIITTLTANSGSFPVLSVGGGCDGGNNNNNDDGPFGSDSWRWNDDSSSSSSHSSPFLLFLSSLLACSSHSQLSSALARTNGETEEDDVVWEVRGSKWTKLIPDFSDDAFVVSNGISNLTKLLSLSTLWGQCRDLVMRLLLPEGFPDSVTSDYLDYSLWRGVQGVASQVSGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIMLSKYGRHFDVNPKGWRLFADLLENAAFGLEILTPSFPHLFVLIGAIAGAGRSAATLIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMISKSIGIGLGIALANCIGSSTSFALASFGVVTWIHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQAPSIKEVNAEEPLFPAIPFLNLLSANRERSVVLSSEANQAASEIELRLQLGSKLSDIVSNKEDVLALFNLYKDEGYILTEQEGKFCVVLKESCSPQDMLKSLFQVNYLYWLERNAGIESRGASNDCRQGGRLQISLEYVQREFNHVKIDSESVGWVTDGLIARPLPNRIRPVYATV >KJB25144 pep chromosome:Graimondii2_0_v6:4:48695787:48699153:-1 gene:B456_004G178400 transcript:KJB25144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G45890) UniProtKB/Swiss-Prot;Acc:Q7X6P3] MACASSCRPLFLSSPFPSSSSPSSCSLTIPFLFCLSPINPSSKPIITTLTANSGSFPVLSVGGGCDGGNNNNNDDGPFGSDSWRWNDDSSSSSSHSSPFLLFLSSLLACSSHSQLSSALARTNGETEEDDVVWEVRGSKWTKLIPDFSDDAFVVSNGISNLTKLLSLSTLWGQCRDLVMRLLLPEGFPDSVTSDYLDYSLWRGVQGVASQVSGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIMLSKYGRHFDVNPKGWRLFADLLENAAFGLEILTPSFPHLFVLIGAIAGAGRSAATLIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMISKSIGIGLGIALANCIGSSTSFALASFGVVTWIHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQAPSIKEVNAEEPLFPAIPFLNLLSANRERSVVLSSEANQAASEIELRLQLGSKLSDIVSNKEDVLALFNLYKDEGYILTEQEGKFCVMLKESCSPQDMLKSLFQVNYLYWLERNAGIESRGASNDCRQGGRLQISLEYVQREFNHVKIDSESVGWVTDGLIARPLPNRIRPVYATV >KJB22461 pep chromosome:Graimondii2_0_v6:4:4280709:4289382:1 gene:B456_004G048000 transcript:KJB22461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAPARYPLQRGSDNNSALEGFSAVPVPNYRVRGSYDERRFLDERYSRASFYPRSAYHHYFPEKDNHSPPAAAGVWSQSRGRSYEEEYPRDEDSRHHQKLYVDSYRDLDTYCDHEIAFQDFDKFRDGYRCVDNICDHEFGRPSRYGGRDWEDYSYDYYDYRPHISHQSRDNSCERNYEYSRNSYDSYHKRGSCRDGSWRKRESRDRDSFSRERDQSPHKRHERSWSRSRGCDDRPRSRSPRVWSHGRSHREDSYDDYQNEKTQKQRDREEKYQLEQYSVAPSATIVVKGLSHKTTEEDLCQILAEWGPLRHVRVIKERTSGISRGFAFIDFPSVGAARSMMDKIGDDGLVVDGRKLFFDYSSKPTGGTGGPSAHNNAVKLGHSNHKSTTLPSDWMCTICGCVNFARRTACFQCNEPRTNDAPAADISLSGKRGSESGPTHVLVVRGLDENADDEMLRYEFSKYAPIKDLRLVRDKFTHVSRGFAFVHYHSVGDATKALEATNGTTLEKNGQILRVAYARSIIGPRSGTLGSLQSSSLAAAAIEAAAFSQQYDAVGWTRKEYNPDDKQSTTWQEQAGGSFAVQQDHSALHSGFVWDEASGYYFDAASGFYYDGNTGLYYDGNSGSWFSYDHQSQQYIPCSDRNDNIIPGKESELSREADGSNNSKAITSAPAATVTSVEKAASLPDAVEAAAAAALAAEKKEKEKSREIKLASKSSILANKKKMNNVLTMWKQRSHEGKASRSGLNDNHLSASSEDRPLSAEQHAISKDKFDMMDLKEGDTRSSGVNTTAQATSTWGLGSPAKPRPINNSSGGTLMGVIRGSARVLVKSDIPFPRSSAGVSTSSAGGVAEGSLSSTSDPASMTPFRTDASASGSIAPSTITGSGKRRFSEAPVPPTTNKGQSQTTYRDRAAERRNLYGSSSSTADDIPDGEFWNSSKLV >KJB22465 pep chromosome:Graimondii2_0_v6:4:4280709:4290440:1 gene:B456_004G048000 transcript:KJB22465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAPARYPLQRGSDNNSALEGFSAVPVPNYRVRGSYDERRFLDERYSRASFYPRSAYHHYFPEKDNHSPPAAAGVWSQSRGRSYEEEYPRDEDSRHHQKLYVDSYRDLDTYCDHEIAFQDFDKFRDGYRCVDNICDHEFGRPSRYGGRDWEDYSYDYYDYRPHISHQSRDNSCERNYEYSRNSYDSYHKRGSCRDGSWRKRESRDRDSFSRERDQSPHKRHERSWSRSRGCDDRPRSRSPRVWSHGRSHREDSYDDYQNEKTQKQRDREEKYQLEQYSVAPSATIVVKGLSHKTTEEDLCQILAEWGPLRHVRVIKERTSGISRGFAFIDFPSVGAARSMMDKIGDDGLVVDGRKLFFDYSKPTGGTGGPSAHNNAVKLGHSNHKSTTLPSDWMCTICGCVNFARRTACFQCNEPRTNDAPAADISLSGKRGSESGPTHVLVVRGLDENADDEMLRYEFSKYAPIKDLRLVRDKFTHVSRGFAFVHYHSVGDATKALEATNGTTLEKNGQILRVAYARSIIGPRSGTLGSLQSSSLAAAAIEAAAFSQQYDAVGWTRKEYNPDDKQSTTWQEQAGGSFAVQQDHSALHSGFVWDEASGYYFDAASGFYYDGNTGLYYDGNSGSWFSYDHQSQQYIPCSDRNDNIIPGKESELSREADGSNNSKAITSAPAATVTSVEKAASLPDAVEAAAAAALAAEKKEKEKSREIKLASKSSILANKKKMNNVLTMWKQRSHEGKASRSGLNDNHLSASSEDRPLSAEQHAISKDKFDMMDLKEGDTRSSGVNTTAQATSTWGLGSPAKPRPINNSSGGTLMGVIRGSARVLVKSDIPFPRSSAGVSTSSAGGVAEGSLSSTSDPASMTPFRTDASASGSIAPSTITGSGKRRFSEAPVPPTTNKGQSQTTYRDRAAERRNLYGSSSSTADDIPDDPMPFPPGVGGGRWAVSDDVQSFEVITAKKAIDKNNVGNRMLRSMGWHEGLGMGKDGSGVTEAVEAQGMDKRAGLGIQVKKLDPSLEVQAGDSYKTVIHKKALARFHQMS >KJB22464 pep chromosome:Graimondii2_0_v6:4:4280709:4289525:1 gene:B456_004G048000 transcript:KJB22464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAPARYPLQRGSDNNSALEGFSAVPVPNYRVRGSYDERRFLDERYSRASFYPRSAYHHYFPEKDNHSPPAAAGVWSQSRGRSYEEEYPRDEDSRHHQKLYVDSYRDLDTYCDHEIAFQDFDKFRDGYRCVDNICDHEFGRPSRYGGRDWEDYSYDYYDYRPHISHQSRDNSCERNYEYSRNSYDSYHKRGSCRDGSWRKRESRDRDSFSRERDQSPHKRHERSWSRSRGCDDRPRSRSPRVWSHGRSHREDSYDDYQNEKTQKQRDREEKYQLEQYSVAPSATIVVKGLSHKTTEEDLCQILAEWGPLRHVRVIKERTSGISRGFAFIDFPSVGAARSMMDKIGDDGLVVDGRKLFFDYSSKPTGGTGGPSAHNNAVKLGHSNHKSTTLPSDWMCTICGCVNFARRTACFQCNEPRTNDAPAADISLSGKRGSESGPTHVLVVRGLDENADDEMLRYEFSKYAPIKDLRLVRDKFTHVSRGFAFVHYHSVGDATKALEATNGTTLEKNGQILRVAYARSIIGPRSGTLGSLQSSSLAAAAIEAAAFSQQYDAVGWTRKEYNPDDKQSTTWQEQAGGSFAVQQDHSALHSGFVWDEASGYYFDAASGFYYDGNTGLYYDGNSGSWFSYDHQSQQYIPCSDRNDNIIPGKESELSREADGSNNSKAITSAPAATVTSVEKAASLPDAVEAAAAAALAAEKKEKEKSREIKLASKSSILANKKKMNNVLTMWKQRSHEGKASRSGLNDNHLSASSEDRPLSAEQHAISKDKFDMMDLKEGDTRSSGVNTTAQATSTWGLGSPAKPRPINNSSGGTLMGVIRGSARVLVKSDIPFPRSSAGVSTSSAGGVAEGSLSSTSDPASMTPFRTDASASGSIAPSTITGSGKRRFSEAPVPPTTNKGQSQTTYRDRAAERRNLYGSSSSTADDIPDD >KJB22466 pep chromosome:Graimondii2_0_v6:4:4280709:4289525:1 gene:B456_004G048000 transcript:KJB22466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAPARYPLQRGSDNNSALEGFSAVPVPNYRVRGSYDERRFLDERYSRASFYPRSAYHHYFPEKDNHSPPAAAGVWSQSRGRSYEEEYPRDEDSRHHQKLYVDSYRDLDTYCDHEIAFQDFDKFRDGYRCVDNICDHEFGRPSRYGGRDWEDYSYDYYDYRPHISHQSRDNSCERNYEYSRNSYDSYHKRGSCRDGSWRKRESRDRDSFSRERDQSPHKRHERSWSRSRGCDDRPRSRSPRVWSHGRSHREDSYDDYQNEKTQKQRDREEKYQLEQYSVAPSATIVVKGLSHKTTEEDLCQILAEWGPLRHVRVIKERTSGISRGFAFIDFPSVGAARSMMDKIGDDGLVVDGRKLFFDYSSKPTGGTGGPSAHNNAVKLGHSNHKSTTLPSDWMCTICGCVNFARRTACFQCNEPRTNDAPAADISLSGKRGSESGPTHVLVVRGLDENADDEMLRYEFSKYAPIKDLRLVRDKFTHVSRGFAFVHYHSVGDATKALEATNGTTLEKNGQILRVAYARSIIGPRSGTLGSLQSSSLAAAAIEAAAFSQQYDAVGWTRKEYNPDDKQSTTWQEQAGGSFAVQQDHSALHSGFVWDEASGYYFDAASGFYYDGNTGLYYDGNSGSWFSYDHQSQQYIPCSDRNDNIIPGKESELSREADGSNNSKAITSAPAATVTSVEKAASLPDAVEAAAAAALAAEKKEKEKSREIKLASKSSILANKKKMNNVLTMWKQRSHEGKASRSGLNDNHLSASSEDRPLSAEQHAISKDKFDMMDLKEGDTRSSGVNTTAQATSTWGLGSPAKPRPINNSSGGTLMGVIRGSARVLVKSDIPFPRSSAGVSTSSAGGVAEGSLSSTSDPASMTPFRTDASASGSIAPSTITGSGKRRFSEAPVPPTTNKGQSQTTYRDRAAERRNLYGSSSSTADDIPDGEFWNSN >KJB22462 pep chromosome:Graimondii2_0_v6:4:4280709:4290440:1 gene:B456_004G048000 transcript:KJB22462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAPARYPLQRGSDNNSALEGFSAVPVPNYRVRGSYDERRFLDERYSRASFYPRSAYHHYFPEKDNHSPPAAAGVWSQSRGRSYEEEYPRDEDSRHHQKLYVDSYRDLDTYCDHEIAFQDFDKFRDGYRCVDNICDHEFGRPSRYGGRDWEDYSYDYYDYRPHISHQSRDNSCERNYEYSRNSYDSYHKRGSCRDGSWRKRESRDRDSFSRERDQSPHKRHERSWSRSRGCDDRPRSRSPRVWSHGRSHREDSYDDYQNEKTQKQRDREEKYQLEQYSVAPSATIVVKGLSHKTTEEDLCQILAEWGPLRHVRVIKERTSGISRGFAFIDFPSVGAARSMMDKIGDDGLVVDGRKLFFDYSSKPTGGTGGPSAHNNAVKLGHSNHKSTTLPSDWMCTICGCVNFARRTACFQCNEPRTNDAPAADISLSGKRGSESGPTHVLVVRGLDENADDEMLRYEFSKYAPIKDLRLVRDKFTHVSRGFAFVHYHSVGDATKALEATNGTTLEKNGQILRVAYARSIIGPRSGTLGSLQSSSLAAAAIEAAAFSQQYDAVGWTRKEYNPDDKQSTTWQEQAGGSFAVQQDHSALHSGFVWDEASGYYFDAASGFYYDGNTGLYYDGNSGSWFSYDHQSQQYIPCSDRNDNIIPGKESELSREADGSNNSKAITSAPAATVTSVEKAASLPDAVEAAAAAALAAEKKEKEKSREIKLASKSSILANKKKMNNVLTMWKQRSHEGKASRSGLNDNHLSASSEDRPLSAEQHAISKDKFDMMDLKEGDTRSSGVNTTAQATSTWGLGSPAKPRPINNSSGGTLMGVIRGSARVLVKSDIPFPRSSAGVSTSSAGGVAEGSLSSTSDPASMTPFRTDASASGSIAPSTITGSGKRRFSEAPVPPTTNKGQSQTTYRDRAAERRNLYGSSSSTADDIPDDPMPFPPGVGGGRWAVSDDVQSFEVITAKKAIDKNNVGNRMLRSMGWHEGLGMGKDGSGVTEAVEAQGMDKRAGLGIQVKKLDPSLEVQAGDSYKTVIHKKALARFHQMS >KJB22463 pep chromosome:Graimondii2_0_v6:4:4280709:4290648:1 gene:B456_004G048000 transcript:KJB22463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAPARYPLQRGSDNNSALEGFSAVPVPNYRVRGSYDERRFLDERYSRASFYPRSAYHHYFPEKDNHSPPAAAGVWSQSRGRSYEEEYPRDEDSRHHQKLYVDSYRDLDTYCDHEIAFQDFDKFRDGYRCVDNICDHEFGRPSRYGGRDWEDYSYDYYDYRPHISHQSRDNSCERNYEYSRNSYDSYHKRGSCRDGSWRKRESRDRDSFSRERDQSPHKRHERSWSRSRGCDDRPRSRSPRVWSHGRSHREDSYDDYQNEKTQKQRDREEKYQLEQYSVAPSATIVVKGLSHKTTEEDLCQILAEWGPLRHVRVIKERTSGISRGFAFIDFPSVGAARSMMDKIGDDGLVVDGRKLFFDYSSKPTGGTGGPSAHNNAVKLGHSNHKSTTLPSDWMCTICGCVNFARRTACFQCNEPRTNDAPAADISLSGKRGSESGPTHVLVVRGLDENADDEMLRYEFSKYAPIKDLRLVRDKFTHVSRGFAFVHYHSVGDATKALEATNGTTLEKNGQILRVAYARSIIGPRSGTLGSLQSSSLAAAAIEAAAFSQQYDAVGWTRKEYNPDDKQSTTWQEQAGGSFAVQQDHSALHSGFVWDEASGYYFDAASGFYYDGNTGLYYDGNSGSWFSYDHQSQQYIPCSDRNDNIIPGKESELSREADGSNNSKAITSAPAATVTSVEKAASLPDAVEAAAAAALAAEKKEKEKSREIKLASKSSILANKKKMNNVLTMWKQRSHEGKASRSGLNDNHLSASSEDRPLSAEQHAISKDKFDMMDLKEGDTRSSGVNTTAQATSTWGLGSPAKPRPINNSSGGTLMGVIRGSARVLVKSDIPFPRSSAGVSTSSAGGVAEGSLSSTSDPASMTPFRTDASASGSIAPSTITGSGKRRFSEAPVPPTTNKGQSQTTYRDRAAERRNLYGSSSSTADDIPDGEFWNSNPMPFPPGVGGGRWAVSDDVQSFEVITAKKAIDKNNVGNRMLRSMGWHEGLGMGKDGSGVTEAVEAQGMDKRAGLGIQVKKLDPSLEVQAGDSYKTVIHKKALARFHQMS >KJB22787 pep chromosome:Graimondii2_0_v6:4:6682638:6686452:1 gene:B456_004G065500 transcript:KJB22787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIQHPTVMQKVAGQLLSSSISRDFQGYDGSFRRPSLYQGHFAYGNYSNAGMHYPIVPSTATAICVQAPAEKGFTSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIADCFKRTMKDEGVVSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGDLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >KJB22784 pep chromosome:Graimondii2_0_v6:4:6682728:6686452:1 gene:B456_004G065500 transcript:KJB22784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIQHPTVMQKVAGQLLSSSISRDFQGYDGSFRRPSLYQGHFAYGNYSNAGMHYPIVPSTATAICVQAPAEKGFTSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIADCFKRTMKDEGVVSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGDLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >KJB22786 pep chromosome:Graimondii2_0_v6:4:6682638:6686452:1 gene:B456_004G065500 transcript:KJB22786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIQHPTVMQKVAGQLLSSSISRDFQGYDGSFRRPSLYQGHFAYGNYSNAGMHYPIVPSTATAICVQAPAEKGFTSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIADCFKRTMKDEGVVSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGDLQVVSLLALLLVGSSQMVLVLHRTQLTLCVEE >KJB22788 pep chromosome:Graimondii2_0_v6:4:6682600:6686452:1 gene:B456_004G065500 transcript:KJB22788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIQHPTVMQKVAGQLLSSSISRDFQGYDGSFRRPSLYQGHFAYGNYSNAGMHYPIVPSTATAICVQAPAEKGFTSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIADCFKRTMKDEGVVSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGDLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >KJB22785 pep chromosome:Graimondii2_0_v6:4:6684591:6685566:1 gene:B456_004G065500 transcript:KJB22785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIQHPTVMQKVAGQLLSSSISRDFQGYDGSFRRPSLYQGHFAYGNYSNAGMHYPIVPSTATAICVQAPAEKGFTSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIADCFKRTMKDEGVVSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGDLQVGMMFKFV >KJB27401 pep chromosome:Graimondii2_0_v6:4:58950621:58954282:-1 gene:B456_004G251700 transcript:KJB27401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRHSDLSSLIRLNIGGKKFCTTIDTLTCREPDSMLAAMFSGRHTVCQDAEKGYVFVDRDGKQFRHILNWLRDGVVPTLTDSDYSELIREAEYYQLLGLIEGINSILNKRKDDEDSCAELTRTDIIKCIQSERVRFRGIDLSGLDLSKLDLSFVDFSFACLKNVFFSRANLHCAKFRDVDAEGSIFHNATLRECEFTGANLRGALLAGANLQSANLQDACLIGCSFCGANLRSAHLQNADLTDANLEGANLEGANLKVKERKLPGFS >KJB27402 pep chromosome:Graimondii2_0_v6:4:58951615:58954282:-1 gene:B456_004G251700 transcript:KJB27402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRHSDLSSLIRLNIGGKKFCTTIDTLTCREPDSMLAAMFSGRHTVCQDAEKGYVFVDRDGKQFRHILNWLRDGVVPTLTDSDYSELIREAEYYQLLGLIEGINSILNKRKDDEDSCAELTRTDIIKCIQSERVRFRGIDLSGLDLSKLDLSFVDFSFACLKNVFFSRANLHCAKFRDVDAEGSIFHNATLRE >KJB27400 pep chromosome:Graimondii2_0_v6:4:58949825:58954381:-1 gene:B456_004G251700 transcript:KJB27400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRHSDLSSLIRLNIGGKKFCTTIDTLTCREPDSMLAAMFSGRHTVCQDAEKGYVFVDRDGKQFRHILNWLRDGVVPTLTDSDYSELIREAEYYQLLGLIEGINSILNKRKDDEDSCAELTRTDIIKCIQSERVRFRGIDLSGLDLSKLDLSFVDFSFACLKNVFFSRANLHCAKFRDVDAEGSIFHNATLRECEFTGANLRGALLAGANLQSANLQDACLIGCSFCGANLRSAHLQNADLTDANLEGANLEGANLKGAKLSNANLKSANLQRAYLRHVNLRDTHLEGAKLDGANLLGAIR >KJB24389 pep chromosome:Graimondii2_0_v6:4:41736557:41738271:1 gene:B456_004G148600 transcript:KJB24389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVTLPMVEPMVGANSPGSNSGVGDSSVKEQNAFMEEDFALTEGDVLTEVVEGVPSITLSDRVQKFQDKNDYNKALVAGSWVIFGRYLTVRPWSPDFSTTQSGVELQVIWIWLSRLPEGYYSNFLFKAIGQKIISTFAQLAACVDLKKPLMSNVRINCRLQRVEYEGLLNNCFKYGLYGHIADLCSEDKTTTPKVESDCYLPVMDKSSLEQKVEEVPFDSWMVVE >KJB25947 pep chromosome:Graimondii2_0_v6:4:55095202:55112754:1 gene:B456_004G217600 transcript:KJB25947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWASLLKDIKEKVGLPPQSSTAASIATTVAASSSSSSSPPPSNRDANAFSTHYDFAYSSSSRDKHELELDFNRFWEEFCSSNSEKEKEMALSLTVDAFCRLVKLHANVAQLVTQLVETHIFSFVVGRAFVTNVEKLKLSSKIRSLDVSKVIQFFSEVTKDGISTGSNLLTAVEVLVSGPFDKQALLDSGIFCCLVQILNALLSLDEANQKPKITDSEESLPAEKDSVADGQACRLEVEGSIVHIMKALAGHPSAAQSLIEDDSLMLLFQTVANGSLTAFSRYKEGFVSVHVIQLHRHAMQILGLLLVNDNGGTAQYIHKHQLIRVLLIAVKDFNPDCGDPAYTVGIVDLLLECVELSYRPEAGDLSLREDIHNAHGYHFLVQFALVLSSIPQNQGIESIYLKHQADKDSGSNSAQVFEDTAEKDSVGKEDSSSENVSPTLSRLLDILVNLAQTGPPDEKKSKYSHTKAGGHGRTQTLSTDSLGDEIWEQGNTKVKDLEAVQMLQDIFLKAESRDLQAEVLNRMFKIFSSHLENYKLCQQVRTVPLLILNMAGFPSSLQERILKILEYAVTVVNCVPEQELLSLCCLLQQPITSELKLTILSFFVKLLSFDQLYKKVLREVGVLEVLLDGLKQHKFLLGQNQHDGNAYQLEEKSSSSSLKKHFDSEVLIVTSPKFVESGSGKFPIFEAEDTIAIAWDCMVSLVKDAEASQASFRSANGLTTVLPFLVSNVHRAGVLRTLSCLITEDNVQGHPEELGAIIEVLKSGMVTSFSGHQYKLQSDAKCDTMGALWRILGVNSKAQRVFGEASGFSLLLTTLHSFQGHEGRSEESSLLDHIKVFKYLLRLMTAAVCGNAINRTKLHAIISSQTFNDLLSESQLLCVDYEKQVIQLLLELALEILLPPFVTPESATSADMAENKFTSFLVNPDKERVHNAGAIRALIRSLLLFTPKVQLEVLNLIEKLARSGPFNLENLTSVDISFLLFAFPMYLGSVELLLETIHPFLSGSSLLLSCALKIVEVLGAYRLSASELRTLLRYILQTRLMKSGHTVVDTMDRLILMEDMVLENVSLAPFVEMEMSKVGHGSFQVSLGERSWPPAAGYSFVCWFQFKNFFKTQVKETEPAKSGLPKKKTGLNGQHHDQHVLWIFSVDAVDDDSTFYAELYLQEDGVLTLATSNSCSLSFSGLELEEGQWHHLAIVHSKPNALAGLFQASVAYVYLDGKLMQTGKLGYSPSPMGKPLQVNIGTPVTSARVRDLTWRLRSCYLFEEVLTPGCICFMYILGRGYRGLFQDADLLRFVPYQACGGGSMAILDSLDADLSVPPGTQKLDSASKQGDPKADGSGIVWDLDRLGNLSFQISGKKLIFAFDGTCTEAVRASGSSYMLNLVDPLSAAASPIGGIPRFGRLHGDIYICRQIVIGDTIRSVGGMSVILALVEAAETRDMLHMALSLLSCALHHNPQNIKDMQTYKGYHLLALFLRQRMSLFDMQCLDIFLQIAACEASFSEPSKLECIQTFALPTDTIHETSFEDLNLSKFRDKTSSGGNQFDMDDFSSLKDSFSHTSELENADLPAETSNCIVLSNANMVEHVLLDWTLWVTSPVPIQIALLNFLEQLVSVHWYRNHNLTVLRQINLVQHLLVTLQRGDVEVPVLEKLVAVLGVILEDGFLASELENVIRFVIMSFDPPEMKPHHQIMREPMGKHVIVRNLLLEMLVDLQITIKSEEMLEQWHRIVSSKLLTYLLDDAVHPTSMRWIMTLLGVRLASSPTFALKFRTSGGYQGLMRVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPSDGGHVELKFIELLESIIAMAKSTFDRLSMQSIIACQTGIFFQLAAELEENADMTAELQGEALMHKTYAARLMGGDASAPAATTAVLRFMVDLAKMCPAFSAVCRRTEFLECCVDLYFSCVRSGHTVKMARERSKETEEKNLKDCDDASSQNAPSRFPVEQEQSDKTSISAGSFPQASTSKDMLVSSNFSAEDKEEMKPNTSQEESKKSLQEDVQVTQSKDGDSVNQVTASSTNEFTCHNTDNLAIQPPDSQSSVALASPDSCICSEKLNPKSPLSPSPSPSPIIALTSWLSSTSNHNESKNPMEPSTSTSVDQSSDLKSGSPGSTSTNTAFPVIPKLLLEMDDSGYGGGPCSAGAAAMLDFIAEVLANLLTEQIKAAQFVESILEMVPLYVEAESMMVFQGLFLSRLMNFIERRLLHDDEEEEKKLDKTKWSSNLDALCWMIVDRVYMGAFPQPAGVLKTLEFLLSMLQLANKDGRIEDAAPTGKGLLSITRGSRQLEAYVHSVLKNTNRMILYCFLPSFLISIGEEDLVSSFGLLTEPTQRSLTNSSQDDPGIDISKVLQLLVAHRQIIFCPTNLDIDLNCCLCVNLIYLIRDQRRNVQNLAIDVVKYLLVHRKSSLEDLLVSKPNKGQRLDVLHGGFDKLLTGNLSSFFDWLRTSEEMINKVLEQCAAIMWEQYVSGSAKFPGVRIKGMEGRRKREMGRRSRDTSKFEQKHWEQATERRYAVERLRDTMSTELRVVRQDKYGWVLHAESEWQTHLQQLLHERGIFPIRKPSASKDPEWQLCPIEGPYRMRKKLECCKLRINSIQNVLDGHLELSEMELSKIKNEDGPDVSDSGSKAIFNLLNEIELYDESLYKGSDDAKDVTSVKNGWNDDKASSVTEASLHSALEYGAKSSAVSVPMSESIPGRSEAEFPGLSPSVRIDEIKVTEDKLDKALQNDSEYLIRPYLEQQEKIRFRYNCERVVGLDKHDGIFLIGELCLYVIENFYIDDTGCICEKECEDELSVIDQALGVKKDVTGSMDCLSKATLSWGTTPKALIGGRAWAYNGGAWGKEKVVASGNLPHPWRMWKLDSIHEILKRDYQLRPVAVELFSMDGYNDLLVFHKRERDEVYKNLVAVNLPRNSMLDTTISGSTKQEINEGGRLFKITAKSFSKRWQSGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLANYESENLDLSDPKTFRKLEKPMGCQTPEGEEEFKTRYESWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSAENQKLQGGQFDHADRLFSSIRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFSLDLGEKQSGEKVDDVHLPPWAKGSAREFIRMHREALESDFVSENLHHWIDLIFGYKQRGKAAEKAANVFYHYTYEGSVDIDAVTDPSMKASILAQINHFGQTPKQLFLKPHVKRRSDRKLPPHPLKNSTLLVPHEIRKSSYSITQIVTFQEKVLIGKANTKLKPRMYAKYVAWDFPDLSLRFLSFDQDRLLSTHENLHLGNQTQCAGFSHDGHILVTGAEDGLVYVWKISEEHPRASSRLMLEKVLCAHRSKITCLHVNQPYMLIVSGSEDCTVIIWDLSSLRFVRQLPEFPTSVSAVYVNDLTGEIMTAAGTLFAVWSINGCCLAVISTSQVPSDYIISVTSSTFSDWLDTNWYVTGHHDGAVKVWHMVHCTDIETISNSTSVGTTGGLPLDKSPEYRLLLHKLLSGDSGGHLLSWSLPP >KJB25944 pep chromosome:Graimondii2_0_v6:4:55095202:55112552:1 gene:B456_004G217600 transcript:KJB25944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWASLLKDIKEKVGLPPQSSTAASIATTVAASSSSSSSPPPSNRDANAFSTHYDFAYSSSSRDKHELELDFNRFWEEFCSSNSEKEKEMALSLTVDAFCRLVKLHANVAQLVTQLVETHIFSFVVGRAFVTNVEKLKLSSKIRSLDVSKVIQFFSEVTKDGISTGSNLLTAVEVLVSGPFDKQALLDSGIFCCLVQILNALLSLDEANQKPKITDSEESLPAEKDSVADGQACRLEVEGSIVHIMKALAGHPSAAQSLIEDDSLMLLFQTVANGSLTAFSRYKEGFVSVHVIQLHRHAMQILGLLLVNDNGGTAQYIHKHQLIRVLLIAVKDFNPDCGDPAYTVGIVDLLLECVELSYRPEAGDLSLREDIHNAHGYHFLVQFALVLSSIPQNQGIESIYLKHQADKDSGSNSAQVFEDTAEKDSVGKEDSSSENVSPTLSRLLDILVNLAQTGPPDEKKSKYSHTKAGGHGRTQTLSTDSLGDEIWEQGNTKVKDLEAVQMLQDIFLKAESRDLQAEVLNRMFKIFSSHLENYKLCQQVRTVPLLILNMAGFPSSLQERILKILEYAVTVVNCVPEQELLSLCCLLQQPITSELKLTILSFFVKLLSFDQLYKKVLREVGVLEVLLDGLKQHKFLLGQNQHDGNAYQLEEKSSSSSLKKHFDSEVLIVTSPKFVESGSGKFPIFEAEDTIAIAWDCMVSLVKDAEASQASFRSANGLTTVLPFLVSNVHRAGVLRTLSCLITEDNVQGHPEELGAIIEVLKSGMVTSFSGHQYKLQSDAKCDTMGALWRILGVNSKAQRVFGEASGFSLLLTTLHSFQGHEGRSEESSLLDHIKVFKYLLRLMTAAVCGNAINRTKLHAIISSQTFNDLLSESQLLCVDYEKQVIQLLLELALEILLPPFVTPESATSADMAENKFTSFLVNPDKERVHNAGAIRALIRSLLLFTPKVQLEVLNLIEKLARSGPFNLENLTSVDISFLLFAFPMYLGSVELLLETIHPFLSGSSLLLSCALKIVEVLGAYRLSASELRTLLRYILQTRLMKSGHTVVDTMDRLILMEDMVLENVSLAPFVEMEMSKVGHGSFQVSLGERSWPPAAGYSFVCWFQFKNFFKTQVKETEPAKSGLPKKKTGLNGQHHDQHVLWIFSVDAVDDDSTFYAELYLQEDGVLTLATSNSCSLSFSGLELEEGQWHHLAIVHSKPNALAGLFQASVAYVYLDGKLMQTGKLGYSPSPMGKPLQVNIGTPVTSARVRDLTWRLRSCYLFEEVLTPGCICFMYILGRGYRGLFQDADLLRFVPYQACGGGSMAILDSLDADLSVPPGTQKLDSASKQGDPKADGSGIVWDLDRLGNLSFQISGKKLIFAFDGTCTEAVRASGSSYMLNLVDPLSAAASPIGGIPRFGRLHGDIYICRQIVIGDTIRSVGGMSVILALVEAAETRDMLHMALSLLSCALHHNPQNIKDMQTYKGYHLLALFLRQRMSLFDMQCLDIFLQIAACEASFSEPSKLECIQTFALPTDTIHETSFEDLNLSKFRDKTSSGGNQFDMDDFSSLKDSFSHTSELENADLPAETSNCIVLSNANMVEHVLLDWTLWVTSPVPIQIALLNFLEQLVSVHWYRNHNLTVLRQINLVQHLLVTLQRGDVEVPVLEKLVAVLGVILEDGFLASELENVIRFVIMSFDPPEMKPHHQIMREPMGKHVIVRNLLLEMLVDLQITIKSEEMLEQWHRIVSSKLLTYLLDDAVHPTSMRWIMTLLGVRLASSPTFALKFRTSGGYQGLMRVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPSDGGHVELKFIELLESIIAMAKSTFDRLSMQSIIACQTGIFFQLAAELEENADMTAELQGEALMHKTYAARLMGGDASAPAATTAVLRFMVDLAKMCPAFSAVCRRTEFLECCVDLYFSCVRSGHTVKMARERSKETEEKNLKDCDDASSQNAPSRFPVEQEQSDKTSISAGSFPQASTSKDMLVSSNFSAEDKEEMKPNTSQEESKKSLQEDVQVTQSKDGDSVNQVTASSTNEFTCHNTDNLAIQPPDSQSSVALASPDSCICSEKLNPKSPLSPSPSPSPIIALTSWLSSTSNHNESKNPMEPSTSTSVDQSSDLKSGSPGSTSTNTAFPVIPKLLLEMDDSGYGGGPCSAGAAAMLDFIAEVLANLLTEQIKAAQFVESILEMVPLYVEAESMMVFQGLFLSRLMNFIERRLLHDDEEEEKKLDKTKWSSNLDALCWMIVDRVYMGAFPQPAGVLKTLEFLLSMLQLANKDGRIEDAAPTGKGLLSITRGSRQLEAYVHSVLKNTNRMILYCFLPSFLISIGEEDLVSSFGLLTEPTQRSLTNSSQDDPGIDISKVLQLLVAHRQIIFCPTNLDIDLNCCLCVNLIYLIRDQRRNVQNLAIDVVKYLLVHRKSSLEDLLVSKPNKGQRLDVLHGGFDKLLTGNLSSFFDWLRTSEEMINKVLEQCAAIMWEQYVSGSAKFPGVRIKGMEGRRKREMGRRSRDTSKFEQKHWEQATERRYAVERLRDTMSTELRVVRQDKYGWVLHAESEWQTHLQQLLHERGIFPIRKPSASKDPEWQLCPIEGPYRMRKKLECCKLRINSIQNVLDGHLELSEMELSKIKNEDGPDVSDSGSKAIFNLLNEIELYDESLYKGSDDAKDVTSVKNGWNDDKASSVTEASLHSALEYGAKSSAVSVPMSESIPGRSEAEFPGLSPSVRIDEIKVTEDKLDKALQNDSEYLIRPYLEQQEKIRFRYNCERVVGLDKHDGIFLIGELCLYVIENFYIDDTGCICEKECEDELSVIDQALGVKKDVTGSMDCLSKATLSWGTTPKALIGGRAWAYNGGAWGKEKVVASGNLPHPWRMWKLDSIHEILKRDYQLRPVAVELFSMDGYNDLLVFHKRERDEVYKNLVAVNLPRNSMLDTTISGSTKQEINEGGRLFKITAKSFSKRWQSGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLANYESENLDLSDPKTFRKLEKPMGCQTPEGEEEFKTRYESWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSAENQKLQGGQFDHADRLFSSIRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFSLDLGEKQSGEKVDDVHLPPWAKGSAREFIRMHREALESDFVSENLHHWIDLIFGYKQRGKAAEKAANVFYHYTYEGSVDIDAVTDPSMKASILAQINHFGQTPKQLFLKPHVKRRSDRKLPPHPLKNSTLLVPHEIRKSSYSITQIVTFQEKVLIGKANTKLKPRMYAKYVAWDFPDLSLRFLSFDQDRLLSTHENLHLGNQTQCAGFSHDGHILVTGAEDGLVYVWKISEEHPRASSRLMLEKVLCAHRSKITCLHVNQPYMLIVSGSEDCTVIIWDLSSLRFVRQLPEFPTSVSAVYVNDLTGEIMTAAGTLFAVWSINGCCLAVISTSQVTTMGLLRSGIWFTVLT >KJB25945 pep chromosome:Graimondii2_0_v6:4:55095202:55112552:1 gene:B456_004G217600 transcript:KJB25945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWASLLKDIKEKVGLPPQSSTAASIATTVAASSSSSSSPPPSNRDANAFSTHYDFAYSSSSRDKHELELDFNRFWEEFCSSNSEKEKEMALSLTVDAFCRLVKLHANVAQLVTQLVETHIFSFVVGRAFVTNVEKLKLSSKIRSLDVSKVIQFFSEVTKDGISTGSNLLTAVEVLVSGPFDKQALLDSGIFCCLVQILNALLSLDEANQKPKITDSEESLPAEKDSVADGQACRLEVEGSIVHIMKALAGHPSAAQSLIEDDSLMLLFQTVANGSLTAFSRYKEGFVSVHVIQLHRHAMQILGLLLVNDNGGTAQYIHKHQLIRVLLIAVKDFNPDCGDPAYTVGIVDLLLECVELSYRPEAGDLSLREDIHNAHGYHFLVQFALVLSSIPQNQGIESIYLKHQADKDSGSNSAQVFEDTAEKDSVGKEDSSSENVSPTLSRLLDILVNLAQTGPPDEKKSKYSHTKAGGHGRTQTLSTDSLGDEIWEQGNTKVKDLEAVQMLQDIFLKAESRDLQAEVLNRMFKIFSSHLENYKLCQQVRTVPLLILNMAGFPSSLQERILKILEYAVTVVNCVPEQELLSLCCLLQQPITSELKLTILSFFVKLLSFDQLYKKVLREVGVLEVLLDGLKQHKFLLGQNQHDGNAYQLEEKSSSSSLKKHFDSEVLIVTSPKFVESGSGKFPIFEAEDTIAIAWDCMVSLVKDAEASQASFRSANGLTTVLPFLVSNVHRAGVLRTLSCLITEDNVQGHPEELGAIIEVLKSGMVTSFSGHQYKLQSDAKCDTMGALWRILGVNSKAQRVFGEASGFSLLLTTLHSFQGHEGRSEESSLLDHIKVFKYLLRLMTAAVCGNAINRTKLHAIISSQTFNDLLSESQLLCVDYEKQVIQLLLELALEILLPPFVTPESATSADMAENKFTSFLVNPDKERVHNAGAIRALIRSLLLFTPKVQLEVLNLIEKLARSGPFNLENLTSVDISFLLFAFPMYLGSVELLLETIHPFLSGSSLLLSCALKIVEVLGAYRLSASELRTLLRYILQTRLMKSGHTVVDTMDRLILMEDMVLENVSLAPFVEMEMSKVGHGSFQVSLGERSWPPAAGYSFVCWFQFKNFFKTQVKETEPAKSGLPKKKTGLNGQHHDQHVLWIFSVDAVDDDSTFYAELYLQEDGVLTLATSNSCSLSFSGLELEEGQWHHLAIVHSKPNALAGLFQASVAYVYLDGKLMQTGKLGYSPSPMGKPLQVNIGTPVTSARVRDLTWRLRSCYLFEEVLTPGCICFMYILGRGYRGLFQDADLLRFVPYQACGGGSMAILDSLDADLSVPPGTQKLDSASKQGDPKADGSGIVWDLDRLGNLSFQISGKKLIFAFDGTCTEAVRASGSSYMLNLVDPLSAAASPIGGIPRFGRLHGDIYICRQIVIGDTIRSVGGMSVILALVEAAETRDMLHMALSLLSCALHHNPQNIKDMQTYKGYHLLALFLRQRMSLFDMQCLDIFLQIAACEASFSEPSKLECIQTFALPTDTIHETSFEDLNLSKFRDKTSSGGNQFDMDDFSSLKDSFSHTSELENADLPAETSNCIVLSNANMVEHVLLDWTLWVTSPVPIQIALLNFLEQLVSVHWYRNHNLTVLRQINLVQHLLVTLQRGDVEVPVLEKLVAVLGVILEDGFLASELENVIRFVIMSFDPPEMKPHHQIMREPMGKHVIVRNLLLEMLVDLQITIKSEEMLEQWHRIVSSKLLTYLLDDAVHPTSMRWIMTLLGVRLASSPTFALKFRTSGGYQGLMRVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPSDGGHVELKFIELLESIIAMAKSTFDRLSMQSIIACQTGIFFQLAAELEENADMTAELQGEALMHKTYAARLMGGDASAPAATTAVLRFMVDLAKMCPAFSAVCRRTEFLECCVDLYFSCVRSGHTVKMARERSKETEEKNLKDCDDASSQNAPSRFPVEQEQSDKTSISAGSFPQASTSKDMLVSSNFSAEDKEEMKPNTSQEESKKSLQEDVQVTQSKDGDSVNQVTASSTNEFTCHNTDNLAIQPPDSQSSVALASPDSCICSEKLNPKSPLSPSPSPSPIIALTSWLSSTSNHNESKNPMEPSTSTSVDQSSDLKSGSPGSTSTNTAFPVIPKLLLEMDDSGYGGGPCSAGAAAMLDFIAEVLANLLTEQIKAAQFVESILEMVPLYVEAESMMVFQGLFLSRLMNFIERRLLHDDEEEEKKLDKTKWSSNLDALCWMIVDRVYMGAFPQPAGVLKTLEFLLSMLQLANKDGRIEDAAPTGKGLLSITRGSRQLEAYVHSVLKNTNRMILYCFLPSFLISIGEEDLVSSFGLLTEPTQRSLTNSSQDDPGIDISKVLQLLVAHRQIIFCPTNLDIDLNCCLCVNLIYLIRDQRRNVQNLAIDVVKYLLVHRKSSLEDLLVSKPNKGQRLDVLHGGFDKLLTGNLSSFFDWLRTSEEMINKVLEQCAAIMWEQYVSGSAKFPGVRIKGMEGRRKREMGRRSRDTSKFEQKHWEQATERRYAVERLRDTMSTELRVVRQDKYGWVLHAESEWQTHLQQLLHERGIFPIRKPSASKDPEWQLCPIEGPYRMRKKLECCKLRINSIQNVLDGHLELSEMELSKIKNEDGPDVSDSGSKAIFNLLNEIELYDESLYKGSDDAKDVTSVKNGWNDDKASSVTEASLHSALEYGAKSSAVSVPMSESIPGRSEAEFPGLSPSVRIDEIKVTEDKLDKALQNDSEYLIRPYLEQQEKIRFRYNCERVVGLDKHDGIFLIGELCLYVIENFYIDDTGCICEKECEDELSVIDQALGVKKDVTGSMDCLSKATLSWGTTPKALIGGRAWAYNGGAWGKEKVVASGNLPHPWRMWKLDSIHEILKRDYQLRPVAVELFSMDGYNDLLVFHKRERDEVYKNLVAVNLPRNSMLDTTISGSTKQEINEGGRLFKITAKSFSKRWQSGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLANYESENLDLSDPKTFRKLEKPMGCQTPEGEEEFKTRYESWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSAENQKLQGGQFDHADRLFSSIRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFSLDLGEKQSGEKVDDVHLPPWAKGSAREFIRMHREALESDFVSENLHHWIDLIFGYKQRGKAAEKAANVFYHYTYEGSVDIDAVTDPSMKASILAQINHFGQTPKQLFLKPHVKRRSDRKLPPHPLKNSTLLVPHEIRKSSYSITQIVTFQEKVLIGKANTKLKPRMYAKYVAWDFPDLSLRFLSFDQDRLLSTHENLHLGNQTQCAGFSHDGHILVTGAEDGLVYVWKISEEHPRASSRLMLEKVLCAHRSKITCLHVNQPYMLIVSGSEDCTVIIWDLSSLRFVRQLPEFPTSVSAVYVNDLTGEIMTAAGTLFAVWSINGCCLAVISTSQVPSDYIISVTSSTFSDWLDTNWYVTGHHDGAVKVWHMVHCTDIETISNSTSVGTTGGLPLDKSPEYRLLLHKVLTFHKHPVTSLFLTTDLKQLLSGDSGGHLLSWSLPP >KJB25946 pep chromosome:Graimondii2_0_v6:4:55095202:55110754:1 gene:B456_004G217600 transcript:KJB25946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWASLLKDIKEKVGLPPQSSTAASIATTVAASSSSSSSPPPSNRDANAFSTHYDFAYSSSSRDKHELELDFNRFWEEFCSSNSEKEKEMALSLTVDAFCRLVKLHANVAQLVTQLVETHIFSFVVGRAFVTNVEKLKLSSKIRSLDVSKVIQFFSEVTKDGISTGSNLLTAVEVLVSGPFDKQALLDSGIFCCLVQILNALLSLDEANQKPKITDSEESLPAEKDSVADGQACRLEVEGSIVHIMKALAGHPSAAQSLIEDDSLMLLFQTVANGSLTAFSRYKEGFVSVHVIQLHRHAMQILGLLLVNDNGGTAQYIHKHQLIRVLLIAVKDFNPDCGDPAYTVGIVDLLLECVELSYRPEAGDLSLREDIHNAHGYHFLVQFALVLSSIPQNQGIESIYLKHQADKDSGSNSAQVFEDTAEKDSVGKEDSSSENVSPTLSRLLDILVNLAQTGPPDEKKSKYSHTKAGGHGRTQTLSTDSLGDEIWEQGNTKVKDLEAVQMLQDIFLKAESRDLQAEVLNRMFKIFSSHLENYKLCQQVRTVPLLILNMAGFPSSLQERILKILEYAVTVVNCVPEQELLSLCCLLQQPITSELKLTILSFFVKLLSFDQLYKKVLREVGVLEVLLDGLKQHKFLLGQNQHDGNAYQLEEKSSSSSLKKHFDSEVLIVTSPKFVESGSGKFPIFEAEDTIAIAWDCMVSLVKDAEASQASFRSANGLTTVLPFLVSNVHRAGVLRTLSCLITEDNVQGHPEELGAIIEVLKSGMVTSFSGHQYKLQSDAKCDTMGALWRILGVNSKAQRVFGEASGFSLLLTTLHSFQGHEGRSEESSLLDHIKVFKYLLRLMTAAVCGNAINRTKLHAIISSQTFNDLLSESQLLCVDYEKQVIQLLLELALEILLPPFVTPESATSADMAENKFTSFLVNPDKERVHNAGAIRALIRSLLLFTPKVQLEVLNLIEKLARSGPFNLENLTSVDISFLLFAFPMYLGSVELLLETIHPFLSGSSLLLSCALKIVEVLGAYRLSASELRTLLRYILQTRLMKSGHTVVDTMDRLILMEDMVLENVSLAPFVEMEMSKVGHGSFQVSLGERSWPPAAGYSFVCWFQFKNFFKTQVKETEPAKSGLPKKKTGLNGQHHDQHVLWIFSVDAVDDDSTFYAELYLQEDGVLTLATSNSCSLSFSGLELEEGQWHHLAIVHSKPNALAGLFQASVAYVYLDGKLMQTGKLGYSPSPMGKPLQVNIGTPVTSARVRDLTWRLRSCYLFEEVLTPGCICFMYILGRGYRGLFQDADLLRFVPYQACGGGSMAILDSLDADLSVPPGTQKLDSASKQGDPKADGSGIVWDLDRLGNLSFQISGKKLIFAFDGTCTEAVRASGSSYMLNLVDPLSAAASPIGGIPRFGRLHGDIYICRQIVIGDTIRSVGGMSVILALVEAAETRDMLHMALSLLSCALHHNPQNIKDMQTYKGYHLLALFLRQRMSLFDMQCLDIFLQIAACEASFSEPSKLECIQTFALPTDTIHETSFEDLNLSKFRDKTSSGGNQFDMDDFSSLKDSFSHTSELENADLPAETSNCIVLSNANMVEHVLLDWTLWVTSPVPIQIALLNFLEQLVSVHWYRNHNLTVLRQINLVQHLLVTLQRGDVEVPVLEKLVAVLGVILEDGFLASELENVIRFVIMSFDPPEMKPHHQIMREPMGKHVIVRNLLLEMLVDLQITIKSEEMLEQWHRIVSSKLLTYLLDDAVHPTSMRWIMTLLGVRLASSPTFALKFRTSGGYQGLMRVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPSDGGHVELKFIELLESIIAMAKSTFDRLSMQSIIACQTGIFFQLAAELEENADMTAELQGEALMHKTYAARLMGGDASAPAATTAVLRFMVDLAKMCPAFSAVCRRTEFLECCVDLYFSCVRSGHTVKMARERSKETEEKNLKDCDDASSQNAPSRFPVEQEQSDKTSISAGSFPQASTSKDMLVSSNFSAEDKEEMKPNTSQEESKKSLQEDVQVTQSKDGDSVNQVTASSTNEFTCHNTDNLAIQPPDSQSSVALASPDSCICSEKLNPKSPLSPSPSPSPIIALTSWLSSTSNHNESKNPMEPSTSTSVDQSSDLKSGSPGSTSTNTAFPVIPKLLLEMDDSGYGGGPCSAGAAAMLDFIAEVLANLLTEQIKAAQFVESILEMVPLYVEAESMMVFQGLFLSRLMNFIERRLLHDDEEEEKKLDKTKWSSNLDALCWMIVDRVYMGAFPQPAGVLKTLEFLLSMLQLANKDGRIEDAAPTGKGLLSITRGSRQLEAYVHSVLKNTNRMILYCFLPSFLISIGEEDLVSSFGLLTEPTQRSLTNSSQDDPGIDISKVLQLLVAHRQIIFCPTNLDIDLNCCLCVNLIYLIRDQRRNVQNLAIDVVKYLLVHRKSSLEDLLVSKPNKGQRLDVLHGGFDKLLTGNLSSFFDWLRTSEEMINKVLEQCAAIMWEQYVSGSAKFPGVRIKGMEGRRKREMGRRSRDTSKFEQKHWEQATERRYAVERLRDTMSTELRVVRQDKYGWVLHAESEWQTHLQQLLHERGIFPIRKPSASKDPEWQLCPIEGPYRMRKKLECCKLRINSIQNVLDGHLELSEMELSKIKNEDGPDVSDSGSKAIFNLLNEIELYDESLYKGSDDAKDVTSVKNGWNDDKASSVTEASLHSALEYGAKSSAVSVPMSESIPGRSEAEFPGLSPSVRIDEIKVTEDKLDKALQNDSEYLIRPYLEQQEKIRFRYNCERVVGLDKHDGIFLIGELCLYVIENFYIDDTGCICEKECEDELSVIDQALGVKKDVTGSMDCLSKATLSWGTTPKALIGGRAWAYNGGAWGKEKVVASGNLPHPWRMWKLDSIHEILKRDYQLRPVAVELFSMDGYNDLLVFHKRERDEVYKNLVAVNLPRNSMLDTTISGSTKQEINEGGRLFKITAKSFSKRWQSGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLANYESENLDLSDPKTFRKLEKPMGCQTPEGEEEFKTRYESWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSAENQKLQGGQFDHADRLFSSIRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFSLDLGEKQSGEKVDDVHLPPWAKGSAREFIRMHREALESDFVSENLHHWIDLIFGYKQRGKVGTGILLKHIFNTQTHYLRKMLKILKFSVYCCYN >KJB23249 pep chromosome:Graimondii2_0_v6:4:11640402:11643250:-1 gene:B456_004G087600 transcript:KJB23249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRNRERRKSEKPCKSDQLVPVPIGSPTPLANLSLNSVLLHDWWLCMVQPKGLAVGGFECRGRQGQRVLCSAAIAKRHDATTLETADGITVAISGFINTSRTLQNGFSPKVCSHFLFGFPYDWEEYASHSNEQSFCSSTEASITTSLLHSNAMSLPLPSLDNLHVPAARLRDLLMLSAGDSPNSVFDHMLLQKLSTHDSQNAAITTADSNMGNKDPKVCPYSVADGENSNCHKEKVIQNHVDDNNILCSRSKTTVGSRNEDVGILTPTNTRGVITRSMTRLKRRTSERLKRLRLSSSELKEKVLRR >KJB23250 pep chromosome:Graimondii2_0_v6:4:11641041:11643174:-1 gene:B456_004G087600 transcript:KJB23250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRNRERRKSEKPCKSDQLVPVPIGSPTPLANLSLNSVLLHDWWLCMVQPKGLAVGGFECRGRQGQRVLCSAAIAKRHDATTLETADGITVAISGFINTSRTLQNGFSPKVCSHFLFGFPYDWEEYASHSNEQSFCSSTEASITTSLLHSNAMSLPLPSLDNLHVPAARLRDLLMLSAGDSPNSVFDHMLLQKLSILTPTNTRGVITRSMTRLKRRTSERLKRLRLSSSELKEKVLRR >KJB23248 pep chromosome:Graimondii2_0_v6:4:11640729:11643250:-1 gene:B456_004G087600 transcript:KJB23248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRNRERRKSEKPCKSDQLVPVPIGSPTPLANLSLNSVLLHDWWLCMVQPKGLAVGGFECRGRQGQRVLCSAAIAKRHDATTLETADGITVAISGFINTSRTLQNGFSPKVCSHFLFGFPYDWEEYASHSNEQSFCSSTEASITTSLLHSNAMSLPLPSLDNLHVPAARLRDLLMLSAGDSPNSVFDHMLLQKLSTHDSQNAAITTADSNMGNKDPKVCPYSVADGENSNCHKEKVIQNHVDDNNILCSRSKTTVGSRNEDVGILTPTNTRGVITRSMTRLKRRTSERLKRLRLSSSELKEKVLRR >KJB23247 pep chromosome:Graimondii2_0_v6:4:11641375:11643174:-1 gene:B456_004G087600 transcript:KJB23247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRNRERRKSEKPCKSDQLVPVPIGSPTPLANLSLNSVLLHDWWLCMVQPKGLAVGGFECRGRQGQRVLCSAAIAKRHDATTLETADGITVAISGFINTSRTLQNGFSPKVCSHFLFGFPYDWEEYASHSNEQSFCSSTEASITTSLLHSNAMSLPLPSLDNLHVPAARLRDLLMLSAGDSPNSVFDHMLLQKLSTHDSQNAAITTADSNMGNKDPKVCPYSVADGENSNCHKEKVIQNHVDDNNILCSRSKTTVGSRNEDVGILTPTNTRGVITRSMTRLKRRTSERLKRLRLSSSELKEKVLRR >KJB22580 pep chromosome:Graimondii2_0_v6:4:5293972:5297981:-1 gene:B456_004G055300 transcript:KJB22580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMPARAVLSHPSLVLIILPFSVYIYNVLQVLVRNIPPDPDESVSEHVQHFFCVNHPDHYLTHQIVYNANKLASLVSKKRDLQNWYIYYQNKFERTSKKPLTKTGFMGLWGTKVDAIDHYTSQIQKLNEEEAVERERVKKDPDAIVPAAFVSFKSRWAAAVCAQTQLCRNPTLWLTEWAPEPRDVYWDNFAIPYFELTIRRLIIAVALFFLIFFFMIPIAFVQSLANIEGIQKVFPFLKPLIRIESVKSVIQGFLPGIVLKIFLAVLPQILMTMSKIEGYPSISALDRKSAAKYHMFILVNIFLGSIITGSALQQLKTFIDLPPTEIPKTIGVYIPMKANFFITYIMVDGWAGVAAEILRLVPLVLFHLKNTFLVKTEQDRYEAMEPGSIDFPVGEPRIQLYILLGLVYAPITPFLLPFIIIFLAFAYVVFRNQVINVYDPKYESAAAFWPDVHKRVVIGLFISQLLLLGLLSTKKIGRNHFALLPLPVLTIWFFMYCKGRFESGFIRFPLQHAVSYLPT >KJB22581 pep chromosome:Graimondii2_0_v6:4:5293281:5298301:-1 gene:B456_004G055300 transcript:KJB22581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMPARAVLSHPSLVLIILPFSVYIYNVLQVLVRNIPPDPDESVSEHVQHFFCVNHPDHYLTHQIVYNANKLASLVSKKRDLQNWYIYYQNKFERTSKKPLTKTGFMGLWGTKVDAIDHYTSQIQKLNEEEAVERERVKKDPDAIVPAAFVSFKSRWAAAVCAQTQLCRNPTLWLTEWAPEPRDVYWDNFAIPYFELTIRRLIIAVALFFLIFFFMIPIAFVQSLANIEGIQKVFPFLKPLIRIESVKSVIQGFLPGIVLKIFLAVLPQILMTMSKIEGYPSISALDRKSAAKYHMFILVNIFLGSIITGSALQQLKTFIDLPPTEIPKTIGVYIPMKANFFITYIMVDGWAGVAAEILRLVPLVLFHLKNTFLVKTEQDRYEAMEPGSIDFPVGEPRIQLYILLGLVYAPITPFLLPFIIIFLAFAYVVFRNQVINVYDPKYESAAAFWPDVHKRVVIGLFISQLLLLGLLSTKKIGRNHFALLPLPVLTIWFFMYCKGRFESGFIRFPLQEAMVKDTLERATEPQFNLKAYLKDAYMHPVFKSSDVEKSDFTFEEEKSPLVATTRVATKLSPDVNSSFPVQSDA >KJB25905 pep chromosome:Graimondii2_0_v6:4:54815584:54819762:1 gene:B456_004G215300 transcript:KJB25905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVLRETPNPPLSSSTEGATTSSTSVMKTLNGSHHFKITGYSQSKGTGVGKYIASDMFMVGGYLWAVYFYPDGKSPEDNAAYVSLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFRRTLLEQSDYLKNDCLSVHCSVGVVKSHTEGPKFYSIAVPPSRIGHHFGQLLKTGKGTDVSFEVDGEVFYAHKLVLAARSPVFRAQLFGPMKEQNTKQIKVEDIEAPVFKALLHFMYWDSLPDMQELTGLDSKWVTTLMSQHLLAAADRYGLERLRLLCESNLCEDVAINTVATTLALAEQHHCFQLKAVCLKFVAVPENLRAVMQTDGFVYLKESCPSVMTELLEYVARVIEHSVYVCKHGIEPVFDGSDANGRRVKQRL >KJB25907 pep chromosome:Graimondii2_0_v6:4:54815619:54819203:1 gene:B456_004G215300 transcript:KJB25907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVLRETPNPPLSSSTEGATTSSTSVMKTLNGSHHFKITGYSQSKGTGVGKYIASDMFMVGGYLWAVYFYPDGKSPEDNAAYVSLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFRRTLLEQSDYLKNDCLSVHCSVGVVKSHTEGPKFYSIAVPPSRIGHHFGQLLKTGKGTDVSFEVDGEVFYAHKLVLAARSPVFRAQLFGPMKEQNTKQIKVEDIEAPVFKALLHFMYWDSLPDMQELTGLDSKWVTTLMSQHLLAAADRYGLERLRLLCESNLCEDVAINTVATTLALAEQHHCFQLKAVCLKFVAVPENLRGECLFMF >KJB25906 pep chromosome:Graimondii2_0_v6:4:54815619:54818210:1 gene:B456_004G215300 transcript:KJB25906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVLRETPNPPLSSSTEGATTSSTSVMKTLNGSHHFKITGYSQSKGTGVGKYIASDMFMVGGYLWAVYFYPDGKSPEDNAAYVSLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFRRTLLEQSDYLKNDCLSVHCSVGVVKSHTEGPKFYSIAVPPSRIGHHFGQLLKTGKGTDVSFEVDGEVFYAHKLVLAARSPVFRAQLFGPMKEQNTKQIKVEDIEAPVFKVQSNTPLLMLDKNLCYFLTNDNALVMDAKH >KJB25908 pep chromosome:Graimondii2_0_v6:4:54815619:54819712:1 gene:B456_004G215300 transcript:KJB25908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVLRETPNPPLSSSTEGATTSSTSVMKTLNGSHHFKITGYSQSKASEGTDVRALFELTLLDQSGKERHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFRRTLLEQSDYLKNDCLSVHCSVGVVKSHTEGPKFYSIAVPPSRIGHHFGQLLKTGKGTDVSFEVDGEVFYAHKLVLAARSPVFRAQLFGPMKEQNTKQIKVEDIEAPVFKALLHFMYWDSLPDMQELTGLDSKWVTTLMSQHLLAAADRYGLERLRLLCESNLCEDVAINTVATTLALAEQHHCFQLKAVCLKFVAVPENLRAVMQTDGFVYLKESCPSVMTELLEYVARVIEHSVYVCKHGIEPVFDGSDANGRRVKQRL >KJB23221 pep chromosome:Graimondii2_0_v6:4:11596637:11604675:1 gene:B456_004G086900 transcript:KJB23221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEDGRLNSVCSSSGSQSLRPGLPSNFETLRKTSTVNDSIKQGVNLTSAHVDELASRCEFASKKAASLSDPNTLKVRIKMGSGDLSTQKNAAIYSGLGLDVSPSSSLDQSPSESEGMYQESQEPLTESPTSILRLMTSFAVPEEVLLSPLPDHLLNLIVKEKKLKENRSDSRKRDGMLSRHKKAKSMEKKNSPAERTSGINREIMNGSGLMPEKEADIDLLAFEELVSKTLKLPLLSNSYSASDKVKNKGTTRNKGVHDVDMEESVEPLLAQEIGWENPSASSAQKVLEEQKTSVLDVISGNARKDGYNKADKTYDSVKANSNTLKGCKALKTELVDPSKKKISQRATLDEQDNMKLPSAEECMSSAGKKKSKDSQRHGSLAAEVPKESLRVGSSLMPRNKQTAHANSYANKRELGDKKLESPFRKAEDRYKDFFGDTGESEQEENQASSLELCSKDQLKEADNIEKSTSSINSAHSERLSGKKTEDLLATESYPRATVDAASNSTNVNVAGTSHATAAPVMIRENWVCCDKCQKWRLLPVSINPADLPEKWLCSMLNWLPAMDHCSIDEEETTKAVLALYHVPTVENQTNLQSNLGSIMSRLPSADALRLDQNQLSFGSHAMLTAARKKDGLKEISNAMDKDGPTPMKKTQSSVRSRNLTDVTRSSVSEEPGLHHLSKSDLPVKKHKNKRKDKHKLSKHGSVGGDAKTSKMKSKRTADQDSLRSSKKIKGDSLHLADEDGMFEHGGMGGASTNNGLPTTLGKDQPKHSEPSYKVSKSDKERQQISGKRPKDKVHPSLTDGSLDLVNCNGGEVSRKRKVDECIDGQLYTGFLQGVGNHFQDSRVFTKEDVSENEYRREKKARVSKSGGKDSSAGKSSGKLEKKSRHTKDHQTGQDLGSSLPQRSLDVPDSLKRDFGSAQPSLAATSSSSKVSGSHKSKSGTHKSKHCFNETKGSPVESVSSSPMRIANPDKLPSTRRNVAGSPRRSSDGEDDGGSDRSGTVWREKTSCAPQLGSLESSIHDIQDKDHGQLDGSKAKALESSPEVRKGHFMNGGVDYLGQEAQYAGKSTIMDEYHYEKKQNDKRGNANVSHPRKSGKGSSRSKDRTRNLKSDFVDEQQDYAPSYEVKPRVGRNKFQGRPGMKSDESANRFSDNKESLGKFSGETGKRESQSNGGQSCAKADANGGQDVISTVSVKQNFVQDGSGGKYTKMFRSEKSDHEEIASERGNSLPSLRLGGTQNEMLTGCPRPVSGSQTGNRADESQGDDALKVQKQIKKSDQQNGIQHSSSRHTSGGRRIRDVDAPSPMRKDFSSLAATNALKEAKDLKHLADRFKNSGSNVESTALYFQAALKFLHSASLLESCNSDSAKHGEMIQSMQIYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHGNANRDRRELQTALQMVPPGNSKNPMIVLVESFLLVCVACKIAKCCLPVFCTYMVIGERQPLKFTLEFWFFIFEKTSTYDVGIYTA >KJB23222 pep chromosome:Graimondii2_0_v6:4:11597630:11602556:1 gene:B456_004G086900 transcript:KJB23222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFAVPEEVLLSPLPDHLLNLIVKEKKLKENRSDSRKRDGMLSRHKKAKSMEKKNSPAERTSGINREIMNGSGLMPEKEADIDLLAFEELVSKTLKLPLLSNSYSASDKVKNKGTTRNKGVHDVDMEESVEPLLAQEIGWENPSASSAQKVLEEQKTSVLDVISGNARKDGYNKADKTYDSVKANSNTLKGCKALKTELVDPSKKKISQRATLDEQDNMKLPSAEECMSSAGKKKSKDSQRHGSLAAEVPKESLRVGSSLMPRNKQTAHANSYANKRELGDKKLESPFRKAEDRYKDFFGDTGESEQEENQASSLELCSKDQLKEADNIEKSTSSINSAHSERLSGKKTEDLLATESYPRATVDAASNSTNVNVAGTSHATAAPVMIRENWVCCDKCQKWRLLPVSINPADLPEKWLCSMLNWLPAMDHCSIDEEETTKAVLALYHVPTVENQTNLQSNLGSIMSRLPSADALRLDQNQLSFGSHAMLTAARKKDGLKEISNAMDKDGPTPMKKTQSSVRSRNLTDVTRSSVSEEPGLHHLSKSDLPVKKHKNKRKDKHKLSKHGSVGGDAKTSKMKSKRTADQDSLRSSKKIKGDSLHLADEDGMFEHGGMGGASTNNGLPTTLGKDQPKHSEPSYKVSKSDKERQQISGKRPKDKVHPSLTDGSLDLVNCNGGEVSRKRKVDECIDGQLYTGFLQGVGNHFQDSRVFTKEDVSENEYRREKKARVSKSGGKDSSAGKSSGKLEKKSRHTKDHQTGQDLGSSLPQRSLDVPDSLKRDFGSAQPSLAATSSSSKVSGSHKSKSGTHKSKHCFNETKGSPVESVSSSPMRIANPDKLPSTRRNVAGSPRRSSDGEDDGGSDRSGTVWREKTSCAPQLGSLESSIHDIQDKDHGQLDGSKAKALESSPEVRKGHFMNGGVDYLGQEAQYAGKSTIMDEYHYEKKQNDKRGNANVSHPRKSGKGSSRSKDRTRNLKSDFVDEQQDYAPSYEVKPRVGRNKFQGRPGMKSDESANRFSDNKESLGKFSGETGKRESQSNGGQSCAKADANGGQDVISTVSVKQNFVQDGSGGKYTKMFRSEKSDHEEIASERGNSLPSLRLGGTQNEMLTGCPRPVSGSQTGNRADESQGDDALKVQKQIKKSDQQNGIQHSSSRHTSGGRRIRDVDAPSPMRKDFSSLAATNALKEAKDLKHLADRFKNSGSNVESTALYFQAALKFLHSASLLESCNSDSAKHGEMIQSMQIYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHGNANRDRRELQTALQMVPPGNSKNPMIVLVESFLLVCVACKIAKCCLPVFCTYMVIGERQPLKFTLEFWFFIFEKTSTYDVGIYTA >KJB23218 pep chromosome:Graimondii2_0_v6:4:11595555:11604675:1 gene:B456_004G086900 transcript:KJB23218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGSNDARKGLKFAGREMEETELEEGEACSYSNNNDDYDATTDTENDLSSLSYIDEKLQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYTRSPGWPHPNGSPKVQSWHAPRSPPKMPLEDGRLNSVCSSSGSQSLRPGLPSNFETLRKTSTVNDSIKQGVNLTSAHVDELASRCEFASKKAASLSDPNTLKVRIKMGSGDLSTQKNAAIYSGLGLDVSPSSSLDQSPSESEGMYQESQEPLTESPTSILRLMTSFAVPEEVLLSPLPDHLLNLIVKEKKLKENRSDSRKRDGMLSRHKKAKSMEKKNSPAERTSGINREIMNGSGLMPEKEADIDLLAFEELVSKTLKLPLLSNSYSASDKVKNKGTTRNKGVHDVDMEESVEPLLAQEIGWENPSASSAQKVLEEQKTSVLDVISGNARKDGYNKADKTYDSVKANSNTLKGCKALKTELVDPSKKKISQRATLDEQDNMKLPSAEECMSSAGKKKSKDSQRHGSLAAEVPKESLRVGSSLMPRNKQTAHANSYANKRELGDKKLESPFRKAEDRYKDFFGDTGESEQEENQASSLELCSKDQLKEADNIEKSTSSINSAHSERLSGKKTEDLLATESYPRATVDAASNSTNVNVAGTSHATAAPVMIRENWVCCDKCQKWRLLPVSINPADLPEKWLCSMLNWLPAMDHCSIDEEETTKAVLALYHVPTVENQTNLQSNLGSIMSRLPSADALRLDQNQLSFGSHAMLTAARKKDGLKEISNAMDKDGPTPMKKTQSSVRSRNLTDVTRSSVSEEPGLHHLSKSDLPVKKHKNKRKDKHKLSKHGSVGGDAKTSKMKSKRTADQDSLRSSKKIKGDSLHLADEDGMFEHGGMGGASTNNGLPTTLGKDQPKHSEPSYKVSKSDKERQQISGKRPKDKVHPSLTDGSLDLVNCNGGEVSRKRKVDECIDGQLYTGFLQGVGNHFQDSRVFTKEDVSENEYRREKKARVSKSGGKDSSAGKSSGKLEKKSRHTKDHQTGQDLGSSLPQRSLDVPDSLKRDFGSAQPSLAATSSSSKVSGSHKSKSGTHKSKHCFNETKGSPVESVSSSPMRIANPDKLPSTRRNVAGSPRRSSDGEDDGGSDRSGTVWREKTSCAPQLGSLESSIHDIQDKDHGQLDGSKAKALESSPEVRKGHFMNGGVDYLGQEAQYAGKSTIMDEYHYEKKQNDKRGNANVSHPRKSGKGSSRSKDRTRNLKSDFVDEQQDYAPSYEVKPRVGRNKFQGRPGMKSDESANRFSDNKESLGKFSGETGKRESQSNGGQSCAKADANGGQDVISTVSVKQNFVQDGSGGKYTKMFRSEKSDHEEIASERGNSLPSLRLGGTQNEMLTGCPRPVSGSQTGNRADESQGDDALKVQKQIKKSDQQNGIQHSSSRHTSGGRRIRDVDAPSPMRKDFSSLAATNALKEAKDLKHLADRFKNSGSNVESTALYFQAALKFLHSASLLESCNSDSAKHGEMIQSMQIYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHGNANRDRRELQTALQMVPPGGGLEFVNHQCSSSF >KJB23213 pep chromosome:Graimondii2_0_v6:4:11595555:11604675:1 gene:B456_004G086900 transcript:KJB23213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGSNDARKGLKFAGREMEETELEEGEACSYSNNNDDYDATTDTENDLSSLSYIDEKLQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYTRSPGWPHPNGSPKVQSWHAPRSPPKMPLEDGRLNSVCSSSGSQSLRPGLPSNFETLRKTSTVNDSIKQGVNLTSAHVDELASRCEFASKKAASLSDPNTLKVRIKMGSGDLSTQKNAAIYSGLGLDVSPSSSLDQSPSESEGMYQESQEPLTESPTSILRLMTSFAVPEEVLLSPLPDHLLNLIVKEKKLKENRSDSRKRDGMLSRHKKAKSMEKKNSPAERTSGINREIMNGSGLMPEKEADIDLLAFEELVSKTLKLPLLSNSYSASDKVKNKGTTRNKGVHDVDMEESVEPLLAQEIGWENPSASSAQKVLEEQKTSVLDVISGNARKDGYNKADKTYDSVKANSNTLKGCKALKTELVDPSKKKISQRATLDEQDNMKLPSAEECMSSAGKKKSKDSQRHGSLAAEVPKESLRVGSSLMPRNKQTAHANSYANKRELGDKKLESPFRKAEDRYKDFFGDTGESEQEENQASSLELCSKDQLKEADNIEKSTSSINSAHSERLSGKKTEDLLATESYPRATVDAASNSTNVNVAGTSHATAAPVMIRENWVCCDKCQKWRLLPVSINPADLPEKWLCSMLNWLPAMDHCSIDEEETTKAVLALYHVPTVENQTNLQSNLGSIMSRLPSADALRLDQNQLSFGSHAMLTAARKKDGLKEISNAMDKDGPTPMKKTQSSVRSRNLTDVTRSSVSEEPGLHHLSKSDLPVKKHKNKRKDKHKLSKHGSVGGDAKTSKMKSKRTADQDSLRSSKKIKGDSLHLADEDGMFEHGGMGGASTNNGLPTTLGKDQPKHSEPSYKVSKSDKERQQISGKRPKDKVHPSLTDGSLDLVNCNGGEVSRKRKVDECIDGQLYTGFLQGVGNHFQDSRVFTKEDVSENEYRREKKARVSKSGGKDSSAGKSSGKLEKKSRHTKDHQTGQDLGSSLPQRSLDVPDSLKRDFGSAQPSLAATSSSSKVSGSHKSKSGTHKSKHCFNETKGSPVESVSSSPMRIANPDKLPSTRRNVAGSPRRSSDGEDDGGSDRSGTVWREKTSCAPQLGSLESSIHDIQDKDHGQLDGSKAKALESSPEVRKGHFMNGGVDYLGQEAQYAGKSTIMDEYHYEKKQNDKRGNANVSHPRKSGKGSSRSKDRTRNLKSDFVDEQQDYAPSYEVKPRVGRNKFQGRPGMKSDESANRFSDNKESLGKFSGETGKRESQSNGGQSCAKADANGGQDVISTVSVKQNFVQDGSGGKYTKMFRSEKSDHEEIASERGNSLPSLRLGGTQNEMLTGCPRPVSGSQTGNRADESQGDDALKVQKQIKKSDQQNGIQHSSSRHTSGGRRIRDVDAPSPMRKDFSSLAATNALKEAKDLKHLADRFKNSGSNVESTALYFQAALKFLHSASLLESCNSDSAKHGEMIQSMQIYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHGNANRDRRELQTALQMVPPGNSKNPMIVLVESFLLVCVACKIAKCCLPVFCTYMVIGERQPLKFTLEFWFFIFEKTSTYDVGIYTA >KJB23219 pep chromosome:Graimondii2_0_v6:4:11595555:11604675:1 gene:B456_004G086900 transcript:KJB23219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGSNDARKGLKFAGREMEETELEEGEACSYSNNNDDYDATTDTENDLSSLSYIDEKLQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYTRSPGWPHPNGSPKVQSWHAPRSPPKMPLEDGRLNSVCSSSGSQSLRPGLPSNFETLRKTSTVNDSIKQGVNLTSAHVDELASRCEFASKKAASLSDPNTLKVRIKMGSGDLSTQKNAAIYSGLGLDVSPSSSLDQSPSESEGMYQESQEPLTESPTSILRLMTSFAVPEEVLLSPLPDHLLNLIVKEKKLKENRSDSRKRDGMLSRHKKAKSMEKKNSPAERTSGINREIMNGSGLMPEKEADIDLLAFEELVSKTLKLPLLSNSYSASDKVKNKGTTRNKGVHDVDMEESVEPLLAQEIGWENPSASSAQKVLEEQKTSVLDVISGNARKDGYNKADKTYDSVKANSNTLKGCKALKTELVDPSKKKISQRATLDEQDNMKLPSAEECMSSAGKKKSKDSQRHGSLAAEVPKESLRVGSSLMPRNKQTAHANSYANKRELGDKKLESPFRKAEDRYKDFFGDTGESEQEENQASSLELCSKDQLKEADNIEKSTSSINSAHSERLSGKKTEDLLATESYPRATVDAASNSTNVNVAGTSHATAAPVMIRENWVCCDKCQKWRLLPVSINPADLPEKWLCSMLNWLPAMDHCSIDEEETTKAVLALYHVPTVENQTNLQSNLGSIMSRLPSADALRLDQNQLSFGSHAMLTAARKKDGLKEISNAMDKDGPTPMKKTQSSVRSRNLTDVTRSSVSEEPGLHHLSKSDLPVKKHKNKRKDKHKLSKHGSVGGDAKTSKMKSKRTADQDSLRSSKKIKGDSLHLADEDGMFEHGGMGGASTNNGLPTTLGKDQPKHSEPSYKVSKSDKERQQISGKRPKDKVHPSLTDGSLDLVNCNGGEVSRKRKVDECIDGQLYTGFLQGVGNHFQDSRVFTKEDVSENEYRREKKARVSKSGGKDSSAGKSSGKLEKKSRHTKDHQTGQDLGSSLPQRSLDVPDSLKRDFGSAQPSLAATSSSSKVSGSHKSKSGTHKSKHCFNETKGSPVESVSSSPMRIANPDKLPSTRRNVAGSPRRSSDGEDDGGSDRSGTVWREKTSCAPQLGSLESSIHDIQDKDHGQLDGSKAKALESSPEVRKGHFMNGGVDYLGQEAQYAGKSTIMDEYHYEKKQNDKRGNANVSHPRKSGKGSSRSKDRTRNLKSDFVDEQQDYAPSYEVKPRVGRNKFQGRPGMKSDESANRFSDNKESLGKFSGETGKRESQSNGGQSCAKADANGGQDVISTVSVKQNFVQDGSGGKYTKMFRSEKSDHEEIASERGNSLPSLRLGGTQNEMLTGCPRPVSGSQTGNRADESQGDDALKVQKQIKKSDQQNGIQHSSSRHTSGGRRIRDVDAPSPMRKDFSSLAATNALKEAKDLKHLADRFKNSGSNVESTALYFQAALKFLHSASLLESCNSDSAKHGEMIQSMQIYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHGNANRDRRELQTALQMVPPGNSKNPMIVLVESFLLVCVACKIAKCCLPVFCTYMVIGERQPLKFTLEFWFFIFEKTSTYDVGIYTA >KJB23212 pep chromosome:Graimondii2_0_v6:4:11595555:11603275:1 gene:B456_004G086900 transcript:KJB23212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGSNDARKGLKFAGREMEETELEEGEACSYSNNNDDYDATTDTENDLSSLSYIDEKLQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYTRSPGWPHPNGSPKVQSWHAPRSPPKMPLEDGRLNSVCSSSGSQSLRPGLPSNFETLRKTSTVNDSIKQGVNLTSAHVDELASRCEFASKKAASLSDPNTLKVRIKMGSGDLSTQKNAAIYSGLGLDVSPSSSLDQSPSESEGMYQESQEPLTESPTSILRLMTSFAVPEEVLLSPLPDHLLNLIVKEKKLKENRSDSRKRDGMLSRHKKAKSMEKKNSPAERTSGINREIMNGSGLMPEKEADIDLLAFEELVSKTLKLPLLSNSYSASDKVKNKGTTRNKGVHDVDMEESVEPLLAQEIGWENPSASSAQKVLEEQKTSVLDVISGNARKDGYNKADKTYDSVKANSNTLKGCKALKTELVDPSKKKISQRATLDEQDNMKLPSAEECMSSAGKKKSKDSQRHGSLAAEVPKESLRVGSSLMPRNKQTAHANSYANKRELGDKKLESPFRKAEDRYKDFFGDTGESEQEENQASSLELCSKDQLKEADNIEKSTSSINSAHSERLSGKKTEDLLATESYPRATVDAASNSTNVNVAGTSHATAAPVMIRENWVCCDKCQKWRLLPVSINPADLPEKWLCSMLNWLPAMDHCSIDEEETTKAVLALYHVPTVENQTNLQSNLGSIMSRLPSADALRLDQNQLSFGSHAMLTAARKKDGLKEISNAMDKDGPTPMKKTQSSVRSRNLTDVTRSSVSEEPGLHHLSKSDLPVKKHKNKRKDKHKLSKHGSVGGDAKTSKMKSKRTADQDSLRSSKKIKGDSLHLADEDGMFEHGGMGGASTNNGLPTTLGKDQPKHSEPSYKVSKSDKERQQISGKRPKDKVHPSLTDGSLDLVNCNGGEVSRKRKVDECIDGQLYTGFLQGVGNHFQDSRVFTKEDVSENEYRREKKARVSKSGGKDSSAGKSSGKLEKKSRHTKDHQTGQDLGSSLPQRSLDVPDSLKRDFGSAQPSLAATSSSSKVSGSHKSKSGTHKSKHCFNETKGSPVESVSSSPMRIANPDKLPSTRRNVAGSPRRSSDGEDDGGSDRSGTVWREKTSCAPQLGSLESSIHDIQDKDHGQLDGSKAKALESSPEVRKGHFMNGGVDYLGQEAQYAGKSTIMDEYHYEKKQNDKRGNANVSHPRKSGKGSSRSKDRTRNLKSDFVDEQQDYAPSYEVKPRVGRNKFQGRPGMKSDESANRFSDNKESLGKFSGETGKRESQSNGGQSCAKADANGGQDVISTVSVKQNFVQDGSGGKYTKMFRSEKSDHEEIASERGNSLPSLRLGGTQNEMLTGCPRPVSGSQTGNRADESQGDDALKVQKQIKKSDQQNGIQHSSSRHTSGGRRIRDVDAPSPMRKDFSSLAATNALKEAKDLKHLADRFKNSGSNVESTALYFQAALKFLHSASLLESCNSDSAKHGEMIQSMQIYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHGNANRDRRELQTALQMVPPGESPSSSVSDVDNLNHPTAADKVAFPKGVNSPQVVGNHVISARNRPNFVRLLNFVSVLFPVYNWKV >KJB23215 pep chromosome:Graimondii2_0_v6:4:11595555:11604675:1 gene:B456_004G086900 transcript:KJB23215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGSNDARKGLKFAGREMEETELEEGEACSYSNNNDDYDATTDTENDLSSLSYIDEKLQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYTRSPGWPHPNGSPKVQSWHAPRSPPKMPLEDGRLNSVCSSSGSQSLRPGLPSNFETLRKTSTVNDSIKQGVNLTSAHVDELASRCEFASKKAASLSDPNTLKVRIKMGSGDLSTQKNAAIYSGLGLDVSPSSSLDQSPSESEGMYQESQEPLTESPTSILRLMTSFAVPEEVLLSPLPDHLLNLIVKEKKLKENRSDSRKRDGMLSRHKKAKSMEKKNSPAERTSGINREIMNGSGLMPEKEADIDLLAFEELVSKTLKLPLLSNSYSASDKVKNKGTTRNKGVHDVDMEESVEPLLAQEIGWENPSASSAQKVLEEQKTSVLDVISGNARKDGYNKADKTYDSVKANSNTLKGCKALKTELVDPSKKKISQRATLDEQDNMKLPSAEECMSSAGKKKSKDSQRHGSLAAEVPKESLRVGSSLMPRNKQTAHANSYANKRELGDKKLESPFRKAEDRYKDFFGDTGESEQEENQASSLELCSKDQLKEADNIEKSTSSINSAHSERLSGKKTEDLLATESYPRATVDAASNSTNVNVAGTSHATAAPVMIRENWVCCDKCQKWRLLPVSINPADLPEKWLCSMLNWLPAMDHCSIDEEETTKAVLALYHVPTVENQTNLQSNLGSIMSRLPSADALRLDQNQLSFGSHAMLTAARKKDGLKEISNAMDKDGPTPMKKTQSSVRSRNLTDVTRSSVSEEPGLHHLSKSDLPVKKHKNKRKDKHKLSKHGSVGGDAKTSKMKSKRTADQDSLRSSKKIKGDSLHLADEDGMFEHGGMGGASTNNGLPTTLGKDQPKHSEPSYKVSKSDKERQQISGKRPKDKVHPSLTDGSLDLVNCNGGEVSRKRKVDECIDGQLYTGFLQGVGNHFQDSRVFTKEDVSENEYRREKKARVSKSGGKDSSAGKSSGKLEKKSRHTKDHQTGQDLGSSLPQRSLDVPDSLKRDFGSAQPSLAATSSSSKVSGSHKSKSGTHKSKHCFNETKGSPVESVSSSPMRIANPDKLPSTRRNVAGSPRRSSDGEDDGGSDRSGTVWREKTSCAPQLGSLESSIHDIQDKDHGQLDGSKAKALESSPEVRKGHFMNGGVDYLGQEAQYAGKSTIMDEYHYEKKQNDKRGNANVSHPRKSGKGSSRSKDRTRNLKSDFVDEQQDYAPSYEVKPRVGRNKFQGRPGMKSDESANRFSDNKESLGKFSGETGKRESQSNGGQSCAKADANGGQDVISTVSVKQNFVQDGSGGKYTKMFRSEKSDHEEIASERGNSLPSLRLGGTQNEMLTGCPRPVSGSQTGNRADESQGDDALKVQKQIKKSDQQNGIQHSSSRHTSGGRRIRDVDAPSPMRKDFSSLAATNALKEAKDLKHLADRFKNSGSNVESTALYFQAALKFLHSASLLESCNSDSAKHGEMIQSMQIYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHGNANRDRRELQTALQMVPPGNSKNPMIVLVESFLLVCVACKIAKCCLPVFCTYMVIGERQPLKFTLEFWFFIFEKTSTYDVGIYTA >KJB23223 pep chromosome:Graimondii2_0_v6:4:11596597:11604675:1 gene:B456_004G086900 transcript:KJB23223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLKDEKLQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYTRSPGWPHPNGSPKVQSWHAPRSPPKMPLEDGRLNSVCSSSGSQSLRPGLPSNFETLRKTSTVNDSIKQGVNLTSAHVDELASRCEFASKKAASLSDPNTLKVRIKMGSGDLSTQKNAAIYSGLGLDVSPSSSLDQSPSESEGMYQESQEPLTESPTSILRLMTSFAVPEEVLLSPLPDHLLNLIVKEKKLKENRSDSRKRDGMLSRHKKAKSMEKKNSPAERTSGINREIMNGSGLMPEKEADIDLLAFEELVSKTLKLPLLSNSYSASDKVKNKGTTRNKGVHDVDMEESVEPLLAQEIGWENPSASSAQKVLEEQKTSVLDVISGNARKDGYNKADKTYDSVKANSNTLKGCKALKTELVDPSKKKISQRATLDEQDNMKLPSAEECMSSAGKKKSKDSQRHGSLAAEVPKESLRVGSSLMPRNKQTAHANSYANKRELGDKKLESPFRKAEDRYKDFFGDTGESEQEENQASSLELCSKDQLKEADNIEKSTSSINSAHSERLSGKKTEDLLATESYPRATVDAASNSTNVNVAGTSHATAAPVMIRENWVCCDKCQKWRLLPVSINPADLPEKWLCSMLNWLPAMDHCSIDEEETTKAVLALYHVPTVENQTNLQSNLGSIMSRLPSADALRLDQNQLSFGSHAMLTAARKKDGLKEISNAMDKDGPTPMKKTQSSVRSRNLTDVTRSSVSEEPGLHHLSKSDLPVKKHKNKRKDKHKLSKHGSVGGDAKTSKMKSKRTADQDSLRSSKKIKGDSLHLADEDGMFEHGGMGGASTNNGLPTTLGKDQPKHSEPSYKVSKSDKERQQISGKRPKDKVHPSLTDGSLDLVNCNGGEVSRKRKVDECIDGQLYTGFLQGVGNHFQDSRVFTKEDVSENEYRREKKARVSKSGGKDSSAGKSSGKLEKKSRHTKDHQTGQDLGSSLPQRSLDVPDSLKRDFGSAQPSLAATSSSSKVSGSHKSKSGTHKSKHCFNETKGSPVESVSSSPMRIANPDKLPSTRRNVAGSPRRSSDGEDDGGSDRSGTVWREKTSCAPQLGSLESSIHDIQDKDHGQLDGSKAKALESSPEVRKGHFMNGGVDYLGQEAQYAGKSTIMDEYHYEKKQNDKRGNANVSHPRKSGKGSSRSKDRTRNLKSDFVDEQQDYAPSYEVKPRVGRNKFQGRPGMKSDESANRFSDNKESLGKFSGETGKRESQSNGGQSCAKADANGGQDVISTVSVKQNFVQDGSGGKYTKMFRSEKSDHEEIASERGNSLPSLRLGGTQNEMLTGCPRPVSGSQTGNRADESQGDDALKVQKQIKKSDQQNGIQHSSSRHTSGGRRIRDVDAPSPMRKDFSSLAATNALKEAKDLKHLADRFKNSGSNVESTALYFQAALKFLHSASLLESCNSDSAKHGEMIQSMQIYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHGNANRDRRELQTALQMVPPGNSKNPMIVLVESFLLVCVACKIAKCCLPVFCTYMVIGERQPLKFTLEFWFFIFEKTSTYDVGIYTA >KJB23220 pep chromosome:Graimondii2_0_v6:4:11595555:11604675:1 gene:B456_004G086900 transcript:KJB23220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGSNDARKGLKFAGREMEETELEEGEACSYSNNNDDYDATTDTENDLSSLSYIDEKLQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYTRSPGWPHPNGSPKVQSWHAPRSPPKMPLEDGRLNSVCSSSGSQSLRPGLPSNFETLRKTSTVNDSIKQGVNLTSAHVDELASRCEFASKKAASLSDPNTLKVRIKMGSGDLSTQKNAAIYSGLGLDVSPSSSLDQSPSESEGMYQESQEPLTESPTSILRLMTSFAVPEEVLLSPLPDHLLNLIVKEKKLKENRSDSRKRDGMLSRHKKAKSMEKKNSPAERTSGINREIMNGSGLMPEKEADIDLLAFEELVSKTLKLPLLSNSYSASDKVKNKGTTRNKGVHDVDMEESVEPLLAQEIGWENPSASSAQKVLEEQKTSVLDVISGNARKDGYNKADKTYDSVKANSNTLKGCKALKTELVDPSKKKISQRATLDEQDNMKLPSAEECMSSAGKKKSKDSQRHGSLAAEVPKESLRVGSSLMPRNKQTAHANSYANKRELGDKKLESPFRKAEDRYKDFFGDTGESEQEENQASSLELCSKDQLKEADNIEKSTSSINSAHSERLSGKKTEDLLATESYPRATVDAASNSTNVNVAGTSHATAAPVMIRENWVCCDKCQKWRLLPVSINPADLPEKWLCSMLNWLPAMDHCSIDEEETTKAVLALYHVPTVENQTNLQSNLGSIMSRLPSADALRLDQNQLSFGSHAMLTAARKKDGLKEISNAMDKDGPTPMKKTQSSVRSRNLTDVTRSSVSEEPGLHHLSKSDLPVKKHKNKRKDKHKLSKHGSVGGDAKTSKMKSKRTADQDSLRSSKKIKGDSLHLADEDGMFEHGGMGGASTNNGLPTTLGKDQPKHSEPSYKVSKSDKERQQISGKRPKDKVHPSLTDGSLDLVNCNGGEVSRKRKVDECIDGQLYTGFLQGVGNHFQDSRVFTKEDVSENEYRREKKARVSKSGGKDSSAGKSSGKLEKKSRHTKDHQTGQDLGSSLPQRSLDVPDSLKRDFGSAQPSLAATSSSSKVSGSHKSKSGTHKSKHCFNETKGSPVESVSSSPMRIANPDKLPSTRRNVAGSPRRSSDGEDDGGSDRSGTVWREKTSCAPQLGSLESSIHDIQDKDHGQLDGSKAKALESSPEVRKGHFMNGGVDYLGQEAQYAGKSTIMDEYHYEKKQNDKRGNANVSHPRKSGKGSSRSKDRTRNLKSDFVDEQQDYAPSYEVKPRVGRNKFQGRPGMKSDESANRFSDNKESLGKFSGETGKRESQSNGGQSCAKADANGGQDVISTVSVKQNFVQDGSGGKYTKMFRSEKSDHEEIASERGNSLPSLRLGGTQNEMLTGCPRPVSGSQTGNRADESQGDDALKVQKQIKKSDQQNGIQHSSSRHTSGGRRIRDVDAPSPMRKDFSSLAATNALKEAKDLKHLADRFKNSGSNVESTALYFQAALKFLHSASLLESCNSDSAKHGEMIQSMQIYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHGNANRDRRELQTALQMVPPGNSKNPMIVLVESFLLVCVACKIAKCCLPVFCTYMVIGERQPLKFTLEFWFFIFEKTSTYDVGIYTA >KJB23216 pep chromosome:Graimondii2_0_v6:4:11596637:11604675:1 gene:B456_004G086900 transcript:KJB23216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDLSTQKNAAIYSGLGLDVSPSSSLDQSPSESEGMYQESQEPLTESPTSILRLMTSFAVPEEVLLSPLPDHLLNLIVKEKKLKENRSDSRKRDGMLSRHKKAKSMEKKNSPAERTSGINREIMNGSGLMPEKEADIDLLAFEELVSKTLKLPLLSNSYSASDKVKNKGTTRNKGVHDVDMEESVEPLLAQEIGWENPSASSAQKVLEEQKTSVLDVISGNARKDGYNKADKTYDSVKANSNTLKGCKALKTELVDPSKKKISQRATLDEQDNMKLPSAEECMSSAGKKKSKDSQRHGSLAAEVPKESLRVGSSLMPRNKQTAHANSYANKRELGDKKLESPFRKAEDRYKDFFGDTGESEQEENQASSLELCSKDQLKEADNIEKSTSSINSAHSERLSGKKTEDLLATESYPRATVDAASNSTNVNVAGTSHATAAPVMIRENWVCCDKCQKWRLLPVSINPADLPEKWLCSMLNWLPAMDHCSIDEEETTKAVLALYHVPTVENQTNLQSNLGSIMSRLPSADALRLDQNQLSFGSHAMLTAARKKDGLKEISNAMDKDGPTPMKKTQSSVRSRNLTDVTRSSVSEEPGLHHLSKSDLPVKKHKNKRKDKHKLSKHGSVGGDAKTSKMKSKRTADQDSLRSSKKIKGDSLHLADEDGMFEHGGMGGASTNNGLPTTLGKDQPKHSEPSYKVSKSDKERQQISGKRPKDKVHPSLTDGSLDLVNCNGGEVSRKRKVDECIDGQLYTGFLQGVGNHFQDSRVFTKEDVSENEYRREKKARVSKSGGKDSSAGKSSGKLEKKSRHTKDHQTGQDLGSSLPQRSLDVPDSLKRDFGSAQPSLAATSSSSKVSGSHKSKSGTHKSKHCFNETKGSPVESVSSSPMRIANPDKLPSTRRNVAGSPRRSSDGEDDGGSDRSGTVWREKTSCAPQLGSLESSIHDIQDKDHGQLDGSKAKALESSPEVRKGHFMNGGVDYLGQEAQYAGKSTIMDEYHYEKKQNDKRGNANVSHPRKSGKGSSRSKDRTRNLKSDFVDEQQDYAPSYEVKPRVGRNKFQGRPGMKSDESANRFSDNKESLGKFSGETGKRESQSNGGQSCAKADANGGQDVISTVSVKQNFVQDGSGGKYTKMFRSEKSDHEEIASERGNSLPSLRLGGTQNEMLTGCPRPVSGSQTGNRADESQGDDALKVQKQIKKSDQQNGIQHSSSRHTSGGRRIRDVDAPSPMRKDFSSLAATNALKEAKDLKHLADRFKNSGSNVESTALYFQAALKFLHSASLLESCNSDSAKHGEMIQSMQIYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHGNANRDRRELQTALQMVPPGNSKNPMIVLVESFLLVCVACKIAKCCLPVFCTYMVIGERQPLKFTLEFWFFIFEKTSTYDVGIYTA >KJB23217 pep chromosome:Graimondii2_0_v6:4:11595816:11602077:1 gene:B456_004G086900 transcript:KJB23217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGSNDARKGLKFAGREMEETELEEGEACSYSNNNDDYDATTDTENDLSSLSYIDEKLQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYTRSPGWPHPNGSPKVQSWHAPRSPPKMPLEDGRLNSVCSSSGSQSLRPGLPSNFETLRKTSTVNDSIKQGVNLTSAHVDELASRCEFASKKAASLSDPNTLKVRIKMGSGDLSTQKNAAIYSGLGLDVSPSSSLDQSPSESEGMYQESQEPLTESPTSILRLMTSFAVPEEVLLSPLPDHLLNLIVKEKKLKENRSDSRKRDGMLSRHKKAKSMEKKNSPAERTSGINREIMNGSGLMPEKEADIDLLAFEELVSKTLKLPLLSNSYSASDKVKNKGTTRNKGVHDVDMEESVEPLLAQEIGWENPSASSAQKVLEEQKTSVLDVISGNARKDGYNKADKTYDSVKANSNTLKGCKALKTELVDPSKKKISQRATLDEQDNMKLPSAEECMSSAGKKKSKDSQRHGSLAAEVPKESLRVGSSLMPRNKQTAHANSYANKRELGDKKLESPFRKAEDRYKDFFGDTGESEQEENQASSLELCSKDQLKEADNIEKSTSSINSAHSERLSGKKTEDLLATESYPRATVDAASNSTNVNVAGTSHATAAPVMIRENWVCCDKCQKWRLLPVSINPADLPEKWLCSMLNWLPAMDHCSIDEEETTKAVLALYHVPTVENQTNLQSNLGSIMSRLPSADALRLDQNQLSFGSHAMLTAARKKDGLKEISNAMDKDGPTPMKKTQSSVRSRNLTDVTRSSVSEEPGLHHLSKSDLPVKKHKNKRKDKHKLSKHGSVGGDAKTSKMKSKRTADQDSLRSSKKIKGDSLHLADEDGMFEHGGMGGASTNNGLPTTLGKDQPKHSEPSYKVSKSDKERQQISGKRPKDKVHPSLTDGSLDLVNCNGGEVSRKRKVDECIDGQLYTGFLQGVGNHFQDSRVFTKEDVSENEYRREKKARVSKSGGKDSSAGKSSGKLEKKSRHTKDHQTGQDLGSSLPQRSLDVPDSLKRDFGSAQPSLAATSSSSKVSGSHKSKSGTHKSKHCFNETKGSPVESVSSSPMRIANPDKLPSTRRNVAGSPRRSSDGEDDGGSDRSGTVWREKTSCAPQLGSLESSIHDIQDKDHGQLDGSKAKALESSPEVRKGHFMNGGVDYLGQEAQYAGKSTIMDEYHYEKKQNDKRGNANVSHPRKSGKGSSRSKDRTRNLKSDFVDEQQDYAPSYEVKPRVGRNKFQGRPGMKSDESANRFSDNKESLGKFSGETGKRESQSNGGQSCAKADANGGQDVISTVSVKQNFVQDGSGGKYTKMFRSEKSDHEEIASERGNSLPSLRLGGTQNEMLTGCPRPVSGSQTGNRADESQGDDALKVQKQIKKSDQQNGIQHSSSRHTSGGRRIRDVDAPSPMRKDFSSLAATNALKEAKDLKHLADRFKNSGSNVESTALYFQAALKFLHSASLLESCNSDSAKHGEMIQSMQIYSSTAKLCE >KJB23214 pep chromosome:Graimondii2_0_v6:4:11595555:11604722:1 gene:B456_004G086900 transcript:KJB23214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGSNDARKGLKFAGREMEETELEEGEACSYSNNNDDYDATTDTENDLSSLSYIDEKLQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYTRSPGWPHPNGSPKVQSWHAPRSPPKMPLEDGRLNSVCSSSGSQSLRPGLPSNFETLRKTSTVNDSIKQGVNLTSAHVDELASRCEFASKKAASLSDPNTLKVRIKMGSGDLSTQKNAAIYSGLGLDVSPSSSLDQSPSESEGMYQESQEPLTESPTSILRLMTSFAVPEEVLLSPLPDHLLNLIVKEKKLKENRSDSRKRDGMLSRHKKAKSMEKKNSPAERTSGINREIMNGSGLMPEKEADIDLLAFEELVSKTLKLPLLSNSYSASDKVKNKGTTRNKGVHDVDMEESVEPLLAQEIGWENPSASSAQKVLEEQKTSVLDVISGNARKDGYNKADKTYDSVKANSNTLKGCKALKTELVDPSKKKISQRATLDEQDNMKLPSAEECMSSAGKKKSKDSQRHGSLAAEVPKESLRVGSSLMPRNKQTAHANSYANKRELGDKKLESPFRKAEDRYKDFFGDTGESEQEENQASSLELCSKDQLKEADNIEKSTSSINSAHSERLSGKKTEDLLATESYPRATVDAASNSTNVNVAGTSHATAAPVMIRENWVCCDKCQKWRLLPVSINPADLPEKWLCSMLNWLPAMDHCSIDEEETTKAVLALYHVPTVENQTNLQSNLGSIMSRLPSADALRLDQNQLSFGSHAMLTAARKKDGLKEISNAMDKDGPTPMKKTQSSVRSRNLTDVTRSSVSEEPGLHHLSKSDLPVKKHKNKRKDKHKLSKHGSVGGDAKTSKMKSKRTADQDSLRSSKKIKGDSLHLADEDGMFEHGGMGGASTNNGLPTTLGKDQPKHSEPSYKVSKSDKERQQISGKRPKDKVHPSLTDGSLDLVNCNGGEVSRKRKVDECIDGQLYTGFLQGVGNHFQDSRVFTKEDVSENEYRREKKARVSKSGGKDSSAGKSSGKLEKKSRHTKDHQTGQDLGSSLPQRSLDVPDSLKRDFGSAQPSLAATSSSSKVSGSHKSKSGTHKSKHCFNETKGSPVESVSSSPMRIANPDKLPSTRRNVAGSPRRSSDGEDDGGSDRSGTVWREKTSCAPQLGSLESSIHDIQDKDHGQLDGSKAKALESSPEVRKGHFMNGGVDYLGQEAQYAGKSTIMDEYHYEKKQNDKRGNANVSHPRKSGKGSSRSKDRTRNLKSDFVDEQQDYAPSYEVKPRVGRNKFQGRPGMKSDESANRFSDNKESLGKFSGETGKRESQSNGGQSCAKADANGGQDVISTVSVKQNFVQDGSGGKYTKMFRSEKSDHEEIASERGNSLPSLRLGGTQNEMLTGCPRPVSGSQTGNRADESQGDDALKVQKQIKKSDQQNGIQHSSSRHTSGGRRIRDVDAPSPMRKDFSSLAATNALKEAKDLKHLADRFKNSGSNVESTALYFQAALKFLHSASLLESCNSDSAKHGEMIQSMQIYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHGNANRDRRELQTALQMVPPGESPSSSVSDVDNLNHPTAADKVAFPKGVNSPQVVGNHVISARNRPNFVRLLNFAQDINHAMEASRKSRTTFLAASSNSKGAECGKSISSVKKALDFNFLDVEGVLHLVRVAMEAISH >KJB23679 pep chromosome:Graimondii2_0_v6:4:22781517:22787049:1 gene:B456_004G109300 transcript:KJB23679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSRKRDQQVVEDGMRRGVSGRYGKSGSSKWLLTSFSRPMVVHQPGLAICPSLMELCIDKICEDINQYSSFTMLPKDISQQIFNKLVLSHLLTDVFLQKFRDCALEDVWLGEYPGVQDSWMDVISTQGTSLLSVDLSGSDVTDIGLGLLKECSSLQALTFNHCENISEIGLKHISGLTNLTSLSFKKSAAITAEGMCAFSSLINLEKLDLERCTGIHGGFVHIKGLSKLESLNIRCCKCITDLDLKAISGLNNLKELQISNSNITDFGLSYLRGLHKLVMLNLEGCNVTAGCLDSISALVALAFLNLGRCGLTDDGCDKFSGLESLKVLNLAFNNITDACLVHLKGLTNLESLNLDSCKIGDEGLANLTGLSLLKSLELSDTEVGSSGLRHLSGLTHLETLNLSFTLVTDSGLKKLSGLTALKSLNLDARQITDAGLSALTSLTGLVHLDLFGARISDTGTNYLRCM >KJB23677 pep chromosome:Graimondii2_0_v6:4:22781286:22788547:1 gene:B456_004G109300 transcript:KJB23677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSRKRDQQVVEDGMRRGVSGRYGKSGSSKWLLTSFSRPMVVHQPGLAICPSLMELCIDKICEDINQYSSFTMLPKDISQQIFNKLVLSHLLTDVFLQKFRDCALEDVWLGEYPGVQDSWMDVISTQGTSLLSVDLSGSDVTDIGLGLLKECSSLQALTFNHCENISEIGLKHISGLTNLTSLSFKKSAAITAEGMCAFSSLINLEKLDLERCTGIHGGFVHIKGLSKLESLNIRCCKCITDLDLKAISGLNNLKELQISNSNITDFGLSYLRGLHKLVMLNLEGCNVTAGCLDSISALVALAFLNLGRCGLTDDGCDKFSGLESLKVLNLAFNNITDACLVHLKGLTNLESLNLDSCKIGDEGLANLTGLSLLKSLELSDTEVGSSGLRHLSGLTHLETLNLSFTLVTDSGLKKLSGLTALKSLNLDARQITDAGLSALTSLTGLVHLDLFGARISDTGTNYLRCFKNLQSLEICGGGLTDAGVKNIKDLPSLTLLNLSQNCNLTNKSLELISGLNALVSLNVSNSNITNDGLPYLKPLKNLRSLSLESCKVTAAEIKKLQSTALPNLVSFRPE >KJB23678 pep chromosome:Graimondii2_0_v6:4:22780152:22788547:1 gene:B456_004G109300 transcript:KJB23678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKWGDINQYSSFTMLPKDISQQIFNKLVLSHLLTDVFLQKFRDCALEDVWLGEYPGVQDSWMDVISTQGTSLLSVDLSGSDVTDIGLGLLKECSSLQALTFNHCENISEIGLKHISGLTNLTSLSFKKSAAITAEGMCAFSSLINLEKLDLERCTGIHGGFVHIKGLSKLESLNIRCCKCITDLDLKAISGLNNLKELQISNSNITDFGLSYLRGLHKLVMLNLEGCNVTAGCLDSISALVALAFLNLGRCGLTDDGCDKFSGLESLKVLNLAFNNITDACLVHLKGLTNLESLNLDSCKIGDEGLANLTGLSLLKSLELSDTEVGSSGLRHLSGLTHLETLNLSFTLVTDSGLKKLSGLTALKSLNLDARQITDAGLSALTSLTGLVHLDLFGARISDTGTNYLRCFKNLQSLEICGGGLTDAGVKNIKDLPSLTLLNLSQNCNLTNKSLELISGLNALVSLNVSNSNITNDGLPYLKPLKNLRSLSLESCKVTAAEIKKLQSTALPNLVSFRPE >KJB23680 pep chromosome:Graimondii2_0_v6:4:22781286:22788547:1 gene:B456_004G109300 transcript:KJB23680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSRKRDQQVVEDGMRRGVSGRYGKSGSSKWLLTSFSRPMVVHQPGLAICPSLMELCIDKICEDINQYSSFTMLPKDISQQIFNKLVLSHLLTDVFLQKFRDCALEDVWLGEYPGVQDSWMDVISTQGTSLLSVDLSGSDVTDIGLGLLKECSSLQALTFNHCENISEIGLKHISGLTNLTSLSFKKSAAITAEGMCAFSSLINLEKLDLERCTGIHGGFVHIKGLSKLESLNIRCCKCITDLDLKAISGLNNLKELQISNSNITDFGLSYLRGLHKLVMLNLEGCNVTAGCLDSISALVALAFLNLGRCGLTDDGCDKFSGLESLKVLNLAFNNITDACLVHLKGLTNLESLNLDSCKIGDEGLANLTGLSLLKSLELSDTEVGSSGLRHLSGLTHLETLNLSFTLVTDSGLKKLSGLTALKSLNLDARQITDAGLSALTSLTGLVHLDLFGARISDTGTNYLRCFKNLQSLEICGGGLTDAGVKNIKDLPSLTLLNLSQNCNLTNKSLELISGLNALVSLNVSNSNITNDGLPYLKPLKNLRSLSLESCKVTAAEIKKLQSTALPNLVSFRPE >KJB25970 pep chromosome:Graimondii2_0_v6:4:55233790:55235307:1 gene:B456_004G218700 transcript:KJB25970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTILLSNMYQRPDMITPGVDPQGQPIDPRKIQEHFEDFYEDIYEELSKFGEIESLNVCDNLADHMIGNVYAQFREEDQAAAALQALQGRFYSGRPIIADFSPVTDFREATCRQYEENNCNRGGYCNFMHVKVIGRDLRRKLFGSYRKYRGHRSRSRSGSPRPRRDRDRDRHRDRDDNRDRNGRRPDRTDRDVGGRRKHGSPKRSHSRSRSPPPAREGSEERRARIEQWNREREEKP >KJB24565 pep chromosome:Graimondii2_0_v6:4:43027350:43030256:1 gene:B456_004G151600 transcript:KJB24565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPVEMEMEERGKVGALIEKATNSTAAEVDPRLLKAIKSVVRFSDSELRLAAHTLMDLMKRDHSQVRYLTLLIIDELFMRSKLFRALLVENLDQLLALSVGFRRNMPLPAPPAIASTLRSKAIEFLEKWNASFGIHYRQLRLGFDYLKNTLRFQFPNLQETAARIERERRERERRTREILQNKFETLKTNFSSVKEEIQATVDEIGECLDIVRTKEEGVPHVLLDGEDFVEFRSSELRQIRLDSLKEGEKVHENSDNKVVFDALRELYKLLVTKHLVSVQEWISLLVRVEVADNRSRDSMLKELIDIRNSLMSVKKDCEESGCTLPKTVNKNEEEEEDFWEEGNFGLTENGSTTEPEKRREVHSSNIEKKSKSVEDRNSKKSNKQSGNLAKVSASCKVKAKDKECSSSKGKECSGSEKSARSELLAEAPVLRWGSFMDNWGLVSNKDTLLNQKGLEFDNHWGRVDYDAVIPAEKIAELNLQAIVYEENLGEIQPCRAPLKKGGLCQRRDLKICPFHGPIIPRDDAGNQINQSSSTDDTDPGLGSDLAEQLAKQAVKNVRERDKEDARKRKLAKQSLQREKLARVREHNDAVLRDAAIASTSRSTVFGEDMGETVGENPVGRNKQTLASMLRKKVTTKDRLAQRLLNTRATEATLRQMTQGEDATY >KJB24566 pep chromosome:Graimondii2_0_v6:4:43027537:43030185:1 gene:B456_004G151600 transcript:KJB24566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLFRALLVENLDQLLALSVGFRRNMPLPAPPAIASTLRSKAIEFLEKWNASFGIHYRQLRLGFDYLKNTLRFQFPNLQETAARIERERRERERRTREILQNKFETLKTNFSSVKEEIQATVDEIGECLDIVRTKEEGVPHVLLDGEDFVEFRSSELRQIRLDSLKEGEKVHENSDNKVVFDALRELYKLLVTKHLVSVQEWISLLVRVEVADNRSRDSMLKELIDIRNSLMSVKKDCEESGCTLPKTVNKNEEEEEDFWEEGNFGLTENGSTTEPEKRREVHSSNIEKKSKSVEDRNSKKSNKQSGNLAKVSASCKVKAKDKECSSSKGKECSGSEKSARSELLAEAPVLRWGSFMDNWGLVSNKDTLLNQKGLEFDNHWGRVDYDAVIPAEKIAELNLQAIVYEENLGEIQPCRAPLKKGGLCQRRDLKICPFHGPIIPRDDAGNQINQSSSTDDTDPGLGSDLAEQLAKQAVKNVRERDKEDARKRKLAKQSLQREKLARVREHNDAVLRDAAIASTSRSTVFGEDMGETVGENPVGRNKQTLASMLRKKVTTKDRLAQRLLNTRATEATLRQMTQGEDATY >KJB25259 pep chromosome:Graimondii2_0_v6:4:49549994:49554760:-1 gene:B456_004G182500 transcript:KJB25259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAQPDLSLQISPPNIKPTSRGLDSRSSMSSMAKPDNCFELSFSNPRVSDSNSNHFHQLLQNGSATANCNGNLFQSYHHNQFPHHHNQLQHPLLYQHQHHHQQHQQGLGQEFGFLRPIRGIPVYQNPPPPPPSPPFPFAQQPLDSSLPSSPSYLATTKIGNTSLNPFQSQGLMRSRFMSRSPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAASSGQMDAFENGSSGDTSEDMMFEIQNPRRSEISVQQGRSSSSNAHQDKEYHGLWSNSSREAWLHGKPNDSPLNLPSLQKDMDPKCLSYERISDVSSSSLSETCSKKPNLEFTLGVPH >KJB25258 pep chromosome:Graimondii2_0_v6:4:49550004:49555369:-1 gene:B456_004G182500 transcript:KJB25258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAQPDLSLQISPPNIKPTSRGLDSRSSMSSMAKPDNCFELSFSNPRVSDSNSNHFHQLLQNGSATANCNGNLFQSYHHNQFPHHHNQLQHPLLYQHQHHHQQHQQGLGQEFGFLRPIRGIPVYQNPPPPPPSPPFPFAQQPLDSSLPSSPSYLATTKIGNTSLNPFQSQGLMRSRFMSRSPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAASSGQMDAFENGSSGDTSEDMMFEIQNPRRSEISVQQGRSSSSNAHQDKEYHGLWSNSSREAWLHGKPNDSPLNLPSLQKDMDPKCLSYERISDVSSSSLSETCSKKPNLEFTLGVPH >KJB25260 pep chromosome:Graimondii2_0_v6:4:49552419:49554760:-1 gene:B456_004G182500 transcript:KJB25260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAQPDLSLQISPPNIKPTSRGLDSRSSMSSMAKPDNCFELSFSNPRVSDSNSNHFHQLLQNGSATANCNGNLFQSYHHNQFPHHHNQLQHPLLYQHQHHHQQHQQGLGQEFGFLRPIRGIPVYQNPPPPPPSPPFPFAQQPLDSSLPSSPSYLATTKIGNTSLNPFQSQGLMRSRFMSRSPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAASSGQMDAFENGSSGDTSEDMMFEIQNPRRSEISVQQGRSSSSNAHQDKEYHGLWSNSSRLVCFVLHYYY >KJB25114 pep chromosome:Graimondii2_0_v6:4:48340564:48349016:1 gene:B456_004G177000 transcript:KJB25114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEYIIRLVYVEMLGHDASFGYIHAVKMIHDDSLLVKRTGYLAVTLFLNEDHDLIILIVNTIQKDLKSDNYLVVCAALNAVCKLINEETIPAVLPQIVELLAHPKEAVRKKAIMALHRFYQKSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLFDLITNDVNSYKDLVISFVSILKQVAERRLPKAYDYHQMPAPFIQIKLLKILALLGSSDKQASENMYTVVGDIFRKCDSSSNIGNAVLYECICCVSSIYPNPKLLESAADAISRFLKSDSHNLKYMGIDALGRLIKISPEIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIDYMNSINDNHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIKVAHNLMRLIAEGFGEDDDTADSQLRSSAVESYLHILGEPKLPSVFLQVICWVLGEYGTADGKYSASYITGKLCDVAEAYSNDETVKAYAVTALMKIYAFEIAAGRKVDMLPECHSLMEEFLASHSTDLQQRAYELQAVIGLDAHAVESILPSDASCEDIEVDKALSFLNDYIQEAIEKGAQPYIPESERTGMLNISNFRNQDHHEASSHGLRFEAYELPKQTVQARIPPASLASTELVPVPEPMYPRESYQTTTVPSVSSDAASTELKLRLDGVQKRWGRQTHFPSTSTSNSTSLKTVNGITQVDGSNTANSRTRETYDSRKQVEISPEKQKLAASLFGGPSKTEKKSATGHKSSKPSSHMVKSHAPKSSMEVASEKTSVQQPPDLLDFGEPTVKSTAPSLDPFKDLEGLLEPTTQVSSAVNHSSTAAVTKSPDIMGLYTETTAGAHHKDSDILSGLSNPPMTNMVGGTTTMQVAQSSKGPNLKDSLEKDALVRQMGVTPSSQNPNLFKDILG >KJB26380 pep chromosome:Graimondii2_0_v6:4:57720015:57721765:1 gene:B456_004G238700 transcript:KJB26380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKVSALCIIFLLTFLFSESVASFPSSSLNTHSICKHTPHADFCKYLFSSNKLFNTLDYGRVSIHHSLLNARTFLDSINQHFLAHPSNSTSKQALEDCRFLADQNVDFLSQISDRINSSTDSLDSVEADDLHALLSAALTNVETCLEALESTPSASRIKDRFLQSNGTKSFSVSLAVSKHWVHSSTNPERNHVFHKLIDDTYTPLSVFMSSNDKQTIYEYATGKRDVKTLTNGKITVKQVVMVRHNGDGQFKTINDAITAMPNVTGDSNEYYVIYIPTGVYEEYISIPKYKQNLVLVGGLSTNPTIISGNRSVGDGSTTFSSATLAVFGKKFIAVDITFRNTAGPSKYQAVAVLNGADQSIFNRCTFEGYRNTLYVHSFRQFYVNCHILGTVDFIFGNAAAVIQESYIHARLPLPTQDDVITAQGRTDPSQNTGISIISSFIRANDDLISNMGLTKIYLGRPWKDYSRTVYVSSSLDGLVADEGWKKRDGDFGLSTVYFGEYDNYGLGRDTGDRVDWPGFHNMTKTEALNFTVARFIGGHKWLPATGVHYFGGLRD >KJB21432 pep chromosome:Graimondii2_0_v6:4:8095510:8099305:1 gene:B456_004G073900 transcript:KJB21432 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MKGFWRPFARLGGARSYSVLSNDQLRINGVKDVIAVASGKGGVGKSTTAVNLAVALANKCGLKVGVLDADVYGPSVPTMMNIHQKPEVNNDMKMIPIENYGVKCMSMGFLVDKDAPIVWRGPMVMSALQKMSREVAWGVLDILVVDMPPGTGALIVSTPQDVALIDARRGVRMFSKVQVPILGLIENMSCFKCPHCGEPSFIFGAGGARKTAAEMGLQLVGEIPIELDIRKGCDKGMPIVVSAPDSAVSKAYHDVAQTVVMRLEDLAKA >KJB21431 pep chromosome:Graimondii2_0_v6:4:8095510:8099305:1 gene:B456_004G073900 transcript:KJB21431 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MMNIHQKPEVNNDMKMIPIENYGVKCMSMGFLVDKDAPIVWRGPMVMSALQKMSREVAWGVLDILVVDMPPGTGDAQLTMSQKLQLSGALIVSTPQDVALIDARRGVRMFSKVQVPILGLIENMSCFKCPHCGEPSFIFGAGGARKTAAEMGLQLVGEIPIELDIRKGCDKGMPIVVSAPDSAVSKAYHDVAQTVVMRLEDLAKA >KJB21426 pep chromosome:Graimondii2_0_v6:4:8095510:8099305:1 gene:B456_004G073900 transcript:KJB21426 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MKGFWRPFARLGGARSYSVLSNDQLRINGVKDVIAVASGKGGVGKSTTAVNLAVALANKCGLKVGVLDADVYGPSVPTMMNIHQKPEVNNDMKMIPIENYGVKCMSMGFLVDKDAPIVWRGPMVMSALQKMSREVAWGVLDILVVDMPPGTGDAQLTMSQKLQLSDFGINREHELLQVSTLW >KJB21427 pep chromosome:Graimondii2_0_v6:4:8095510:8099249:1 gene:B456_004G073900 transcript:KJB21427 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MKGFWRPFARLGGARSYSVLSNDQLRINGVKDVIAVASGKGGVGKSTTAVNLAVALANKCGLKVGVLDADVYGPSVPTMMNIHQKPEVNNDMKMIPIENYGVKCMSMGFLVDKDAPIVWRGPMVMSALQKMSREVAWGVLDILVVDMPPGTGDAQLTMSQKLQLSGLV >KJB21424 pep chromosome:Graimondii2_0_v6:4:8095510:8098287:1 gene:B456_004G073900 transcript:KJB21424 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MKGFWRPFARLGGARSYSVLSNDQLRINGVKDVIAVASGKGGVGKSTTAVNLAVALANKCGLKVGVLDADVYGPSVPTMMNIHQKPEVNNDMKMIPIENYGVKCMSMGFLVDKDAPIVWRGPMVMSALQKMSREVAWGVLDILVVDMPPGTGDAQLTMSQKLQLSGALIVSTPQDVALIDARRGVRMFSKVQVPVSHKFCMYSLIRPAKLVENLCMVPKFGIVMKF >KJB21421 pep chromosome:Graimondii2_0_v6:4:8095503:8099325:1 gene:B456_004G073900 transcript:KJB21421 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MKGFWRPFARLGGARSYSVLSNDQLRINGVKDVIAVASGKGGVGKSTTAVNLAVALANKCGLKVGVLDADVYGPSVPTMMNIHQKPEVNNDMKMIPIENYGVKCMSMGFLVDKDAPIVWRGPMVMSALQKMSREVAWGVLDILVVDMPPGTGDAQLTMSQKLQLSGALIVSTPQDVALIDARRGVRMFSKVQVPILGLIENMSCFKCPHCGEPSFIFGAGGARKTAAEMGLQLVGEIPIELDIRKGCDKGMPIVVSAPDSAVSKAYHDVAQTVVMRLEDLAKA >KJB21428 pep chromosome:Graimondii2_0_v6:4:8095510:8099249:1 gene:B456_004G073900 transcript:KJB21428 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MKGFWRPFARLGGARSYSVLSNDQLRINGVKDVIAVASGKGGVGKSTTAVNLAVALANKCGLKVGVLDADVYGPSVPTMMNIHQKPEVNNDMKMIPIENYGVKCMSMGFLVDKDAPIVWRGPMVMSALQKMSREVAWGVLDILVVDMPPGTGDAQLTMSQKLQLSGLV >KJB21423 pep chromosome:Graimondii2_0_v6:4:8095510:8097602:1 gene:B456_004G073900 transcript:KJB21423 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MKGFWRPFARLGGARSYSVLSNDQLRINGVKDVIAVASGKGGVGKSTTAVNLAVALANKCGLKVGVLDADVYGPSVPTMMNIHQKPEVNNDMKMIPIENYGVKCMSMGFLVDKDAPIVWRGPMVMSALQKMSREVAWGVLDILVVDMPPGTGDAQLTMSQKLQLSGG >KJB21429 pep chromosome:Graimondii2_0_v6:4:8096072:8098870:1 gene:B456_004G073900 transcript:KJB21429 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MMNIHQKPEVNNDMKMIPIENYGVKCMSMGFLVDKDAPIVWRGPMVMSALQKMSREVAWGVLDILVVDMPPGTGDAQLTMSQKLQLSGALIVSTPQDVALIDARRGVRMFSKVQVPILGLIENMSCFKCPHCGEPSFIFGAGGARKTAAEMGLQLVGEIPIELDIRKGCDKGMPIVVSAPDSAVSKAYHDVAQTVVMRLEDLAKA >KJB21430 pep chromosome:Graimondii2_0_v6:4:8095503:8099325:1 gene:B456_004G073900 transcript:KJB21430 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MKGFWRPFARLGGARSYSVLSNDQLRINGVKDVIAVASGKGGVGKSTTAVNLAVALANKCGLKVGVLDADVYGPSVPTMMNIHQKPEVNNDMKMIPIENYGVKCMSMGFLVDKDAPIVWRGPMVMSALQKMSREVAWGVLDILVVDMPPGTGDAQLTMSQKLQLSGALIVSTPQDVALIDARRGVRMFSKVQVPILGLIENMSCFKCPHCGEPSFIFGAGGARKTAAEMGLQLVGEIPIELDIRKGCDKGMPIVVSAPDSAVSKAYHDVAQTVVMRLEDLAKA >KJB21425 pep chromosome:Graimondii2_0_v6:4:8095625:8097699:1 gene:B456_004G073900 transcript:KJB21425 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MKGFWRPFARLGGARSYSVLSNDQLRINGVKDVIAVASGKGGVGKSTTAVNLAVALANKCGLKVGVLDADVYGPSVPTMMNIHQKPEVNNDMKMIPIENYGVKCMSMGFLVDKDAPIVWRGPMVMSALQKMSREVAWGVLDILVVDMPPGTGDAQLTMSQKLQLSGLV >KJB21422 pep chromosome:Graimondii2_0_v6:4:8095625:8097699:1 gene:B456_004G073900 transcript:KJB21422 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MKGFWRPFARLGGARSYSVLSNDQLRINGVKDVIAVASGKGGVGKSTTAVNLAVALANKCGLKVGVLDADVYGPSVPTMMNIHQKPEVNNDMKMIPIENYGVKCMSMGFLVDKDAPIVWRGPMVMSALQKMSREVAWGVLDILVVDMPPGTGDAQLTMSQKLQLSGLV >KJB23007 pep chromosome:Graimondii2_0_v6:4:9076289:9088148:-1 gene:B456_004G077700 transcript:KJB23007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVKHADSKGMYSWWWNSHISPKNSKWLQENLTDMDAKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVLRQAHQTMTEAFPNQVPVALVDDSPGGSATEVYPRTPEVPPPVRALLELDELQKGALELSSHAIKRNKAFIEGSESVTNRKGLKQFHDLFGSEESTNRVKFMEGRERKGLFFHDSGEKKQSLPNNGGPDLRARVPSESERVTKAEMEISTLKSVLSKLEAEKEAGLQEYQQSLERLSSLEREVSRAQEDSWGLNERASQAEVEVQTLKDALTKAEVERDANLVQYQQCLEKVNNLENNISHVQKDAGELNERASKAETEAQALKQDIARVEAEKEDALARYKQCSEKIINLEEKLLNAEESFRRMTERAERVESELETLKQVVFELTKDKEVAELQYQRCLETISSLEHKLACAQEEAQRLNSKIDDGAASLKGAEERCSLLERTNRSLHTELESLVQKVGDQSQELTEKQKELRGLWTSLQEERLRFMEAEIAFQTLQHLHSQSQEELRSLAMELENRAQILQVTETCKRNLEEELQRVKEENKGLNELNLSSVMSIKNLQDEILSLRETIAKLEAEVALRMDQRNALQQGICCLKEELNAFSKRHQDMTGHLESVGLNPETFASTVKELQDENTKLKYACERDRNEKVDLLQKLKTMEKLIEKNALLENSLSDLNVMLESVHGRVKALEESCQSLLTEKSTLAAEKDALISQLQIATENLEKLSEKNNVLENFLFDVNVELGGLRVKVMSLENSCLLLGDEKSGLIRQREGLISELSISQKRLEDSEKRYRGLEKKYMGLEKERELTLSEVEELQKLLDAEKQEYASFMQLNETRVTAMESQIRFLQGESLCRKKEYEEELDRSMNAHVETFILQKCAQDMEEKNLSLLLECRKLLEASNFSEKLISELELRNSEKEMEIKSLFDRITILRMWLYQILMALEIDVHGYDDEIKQDQLVIDCIFGGIHKMQNSHLKSLDDNQQFIIENSVLIGLLGQLKLEAKNLATEKNSLHQELKVQSEQFPKLKTSLFNTNAELEISRAKLKSLESSCLLLGEEKSGLLTQREGLISELNVRQKRLEDLEERNQGLEEKYVSLEKEIESTLCEVEELQKSLDVEKQEYARFVKSNKARVTSMESQIHFLQGESLCRKKEYEEELDKAMIAHVETFILQRCAQDLEAKNLSLLQECSKLLEDSRLSKKLISELELRNSQKQVEIESLSDQITILRMGLYQMLRTLDFDAIHGYDDTIKQDQSVLDCIYGRIQKMQNLLLKSLDENQQFIIENSVLIGLLGQLKLEAKNLAIENNSLHQELKVQSEQFSEVKNYLCDANVKVEGLSTKLKSLEISYQLLGDEKSGLLTQREGLISELNISQKRMEDLENRYKGLEEKHEVMKKERESMLHEVEELQRSLDAEKQQHASFVKLNETRVTSTESQIHFLQGESLHMKKEYEEELDKAMNAHVETFILQKCAQDLEEKNLSLVLECRNLLEASKLSKELISELELGNSIKQTEIKALFDQISILRMGIYQMLRTLEVDAICVYDDTIKQNQSVLDCIFGRLQKMQNSLLMSLDENQQFIIENSVLIGILRQLKLEAENLTTENNSLRMELKVQCKQFSELQNKAEKIADMNEELRLKVIEGVQREESLRTEIGSVCEQLSELQRAYQSSIEENHKVLDEKRTLVKEVSDLGNEKHNLEEENCAVFAEAISQSNIALILKNIITDNFVEIKHLCANLDKLKCFNDDLEGKLRIMEIKLEETQMVNLHLKDTMQNLENELVAVRSVCNQLNDEVVKGNDLLCLKEKELLEAKKMLSATQEERTQLHEVVEDLKTKYEEVKLIGKYQKKQILKLSGDYDIQNKEIESIRQTNQSFEAELSKLHEELEEWKCREESLRVELQKRRNEVELWETQATALFGELQISTVRGALLEEKACELSAEREVLESRSKSNAMEVEELEKSVRILECENGGLKAQLAVYVPAVVSLSESVTSLESRTLLNPKLTTDHNQVKDATLGTDLHVDNCQQTNEVRIATVPDGCFDLQGINMRIKAIEKAVLEMEKLAMTENLNLNSKLETAMKQMEDLRNGSSSGQENVGVKRHVNDKQVLELGQGLGNNVKTQRLIPEIIEEYNEMMTKDIMLDQVSECSSHRLSRGEISEADDQMLGLWEASDRDGNIDLSGDKAQKIITGPSDHQQIDTVKVHNGSQHSTKSHVKELGVDKEKSKRFTEPNQEEKKRKILERLDSDAQKLANLQITLQDLKRKVEITEKGKKGKEIEYGTVKEQLEEIEEAVKKLSDFNRKLIMHARDPSRSLLDGKSAIDSDGSGSGRRQGISEQARKGSEKIGRLQLEVQKIQFLLLKLDDEKESRGRTKITEHKTTVLLRDYLYGGVRNIKKRKNSPFCACVRPQTK >KJB23006 pep chromosome:Graimondii2_0_v6:4:9076325:9087470:-1 gene:B456_004G077700 transcript:KJB23006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVKHADSKGMYSWWWNSHISPKNSKWLQENLTDMDAKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVLRQAHQTMTEAFPNQVPVALVDDSPGGSATEVYPRTPEVPPPVRALLELDELQKGALELSSHAIKRNKAFIEGSESVTNRKGLKQFHDLFGSEESTNRVKFMEGRERKGLFFHDSGEKKQSLPNNGGPDLRARVPSESERVTKAEMEISTLKSVLSKLEAEKEAGLQEYQQSLERLSSLEREVSRAQEDSWGLNERASQAEVEVQTLKDALTKAEVERDANLVQYQQCLEKVNNLENNISHVQKDAGELNERASKAETEAQALKQDIARVEAEKEDALARYKQCSEKIINLEEKLLNAEESFRRMTERAERVESELETLKQVVFELTKDKEVAELQYQRCLETISSLEHKLACAQEEAQRLNSKIDDGAASLKGAEERCSLLERTNRSLHTELESLVQKVGDQSQELTEKQKELRGLWTSLQEERLRFMEAEIAFQTLQHLHSQSQEELRSLAMELENRAQILQVTETCKRNLEEELQRVKEENKGLNELNLSSVMSIKNLQDEILSLRETIAKLEAEVALRMDQRNALQQGICCLKEELNAFSKRHQDMTGHLESVGLNPETFASTVKELQDENTKLKYACERDRNEKVDLLQKLKTMEKLIEKNALLENSLSDLNVMLESVHGRVKALEESCQSLLTEKSTLAAEKDALISQLQIATENLEKLSEKNNVLENFLFDVNVELGGLRVKVMSLENSCLLLGDEKSGLIRQREGLISELSISQKRLEDSEKRYRGLEKKYMGLEKERELTLSEVEELQKLLDAEKQEYASFMQLNETRVTAMESQIRFLQGESLCRKKEYEEELDRSMNAHVETFILQKCAQDMEEKNLSLLLECRKLLEASNFSEKLISELELRNSEKEMEIKSLFDRITILRMWLYQILMALEIDVHGYDDEIKQDQLVIDCIFGGIHKMQNSHLKSLDDNQQFIIENSVLIGLLGQLKLEAKNLATEKNSLHQELKVQSEQFPKLKTSLFNTNAELEISRAKLKSLESSCLLLGEEKSGLLTQREGLISELNVRQKRLEDLEERNQGLEEKYVSLEKEIESTLCEVEELQKSLDVEKQEYARFVKSNKARVTSMESQIHFLQGESLCRKKEYEEELDKAMIAHVETFILQRCAQDLEAKNLSLLQECSKLLEDSRLSKKLISELELRNSQKQVEIESLSDQITILRMGLYQMLRTLDFDAIHGYDDTIKQDQSVLDCIYGRIQKMQNLLLKSLDENQQFIIENSVLIGLLGQLKLEAKNLAIENNSLHQELKVQSEQFSEVKNYLCDANVKVEGLSTKLKSLEISYQLLGDEKSGLLTQREGLISELNISQKRMEDLENRYKGLEEKHEVMKKERESMLHEVEELQRSLDAEKQQHASFVKLNETRVTSTESQIHFLQGESLHMKKEYEEELDKAMNAHVETFILQKCAQDLEEKNLSLVLECRNLLEASKLSKELISELELGNSIKQTEIKALFDQISILRMGIYQMLRTLEVDAICVYDDTIKQNQSVLDCIFGRLQKMQNSLLMSLDENQQFIIENSVLIGILRQLKLEAENLTTENNSLRMELKVQCKQFSELQNKAEKIADMNEELRLKVIEGVQREESLRTEIGSVCEQLSELQRAYQSSIEENHKVLDEKRTLVKEVSDLGNEKHNLEEENCAVFAEAISQSNIALILKNIITDNFVEIKHLCANLDKLKCFNDDLEGKLRIMEIKLEETQMVNLHLKDTMQNLENELVAVRSVCNQLNDEVVKGNDLLCLKEKELLEAKKMLSATQEERTQLHEVVEDLKTKYEEVKLIGKYQKKQILKLSGDYDIQNKEIESIRQTNQSFEAELSKLHEELEEWKCREESLRVELQKRRNEVELWETQATALFGELQISTVRGALLEEKACELSAEREVLESRSKSNAMEVEELEKSVRILECENGGLKAQLAVYVPAVVSLSESVTSLESRTLLNPKLTTDHNQVKDATLGTDLHVDNCQQTNEVRIATVPDGCFDLQGINMRIKAIEKAVLEMEKLAMTENLNLNSKLETAMKQMEDLRNGSSSGQENVGVKRHVNDKQVLELGQGLGNNVKTQRLIPEIIEEYNEMMTKDIMLDQVSECSSHRLSRGEISEADDQMLGLWEASDRDGNIDLSGDKAQKIITGPSDHQQIDTVKVHNGSQHSTKSHVKELGVDKEKSKRFTEPNQEEKKRKILERLDSDAQKLANLQITLQDLKRKVEITEKGKKGKEIEYGTVKEQLEEIEEAVKKLSDFNRKLIMHARDPSRSLLDGKSAIDSDGSGSGRRQGISEQARKGSEKIGRLQLEVQKIQFLLLKLDDEKESRGRTKITEHKTTVLLRDYLYGGVRNIKKRKNSPFCACVRPQTK >KJB23008 pep chromosome:Graimondii2_0_v6:4:9078360:9086016:-1 gene:B456_004G077700 transcript:KJB23008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISTLKSVLSKLEAEKEAGLQEYQQSLERLSSLEREVSRAQEDSWGLNERASQAEVEVQTLKDALTKAEVERDANLVQYQQCLEKVNNLENNISHVQKDAGELNERASKAETEAQALKQDIARVEAEKEDALARYKQCSEKIINLEEKLLNAEESFRRMTERAERVESELETLKQVVFELTKDKEVAELQYQRCLETISSLEHKLACAQEEAQRLNSKIDDGAASLKGAEERCSLLERTNRSLHTELESLVQKVGDQSQELTEKQKELRGLWTSLQEERLRFMEAEIAFQTLQHLHSQSQEELRSLAMELENRAQILQVTETCKRNLEEELQRVKEENKGLNELNLSSVMSIKNLQDEILSLRETIAKLEAEVALRMDQRNALQQGICCLKEELNAFSKRHQDMTGHLESVGLNPETFASTVKELQDENTKLKYACERDRNEKVDLLQKLKTMEKLIEKNALLENSLSDLNVMLESVHGRVKALEESCQSLLTEKSTLAAEKDALISQLQIATENLEKLSEKNNVLENFLFDVNVELGGLRVKVMSLENSCLLLGDEKSGLIRQREGLISELSISQKRLEDSEKRYRGLEKKYMGLEKERELTLSEVEELQKLLDAEKQEYASFMQLNETRVTAMESQIRFLQGESLCRKKEYEEELDRSMNAHVETFILQKCAQDMEEKNLSLLLECRKLLEASNFSEKLISELELRNSEKEMEIKSLFDRITILRMWLYQILMALEIDVHGYDDEIKQDQLVIDCIFGGIHKMQNSHLKSLDDNQQFIIENSVLIGLLGQLKLEAKNLATEKNSLHQELKVQSEQFPKLKTSLFNTNAELEISRAKLKSLESSCLLLGEEKSGLLTQREGLISELNVRQKRLEDLEERNQGLEEKYVSLEKEIESTLCEVEELQKSLDVEKQEYARFVKSNKARVTSMESQIHFLQGESLCRKKEYEEELDKAMIAHVETFILQRCAQDLEAKNLSLLQECSKLLEDSRLSKKLISELELRNSQKQVEIESLSDQITILRMGLYQMLRTLDFDAIHGYDDTIKQDQSVLDCIYGRIQKMQNLLLKSLDENQQFIIENSVLIGLLGQLKLEAKNLAIENNSLHQELKVQSEQFSEVKNYLCDANVKVEGLSTKLKSLEISYQLLGDEKSGLLTQREGLISELNISQKRMEDLENRYKGLEEKHEVMKKERESMLHEVEELQRSLDAEKQQHASFVKLNETRVTSTESQIHFLQGESLHMKKEYEEELDKAMNAHVETFILQKCAQDLEEKNLSLVLECRNLLEASKLSKELISELELGNSIKQTEIKALFDQISILRMGIYQMLRTLEVDAICVYDDTIKQNQSVLDCIFGRLQKMQNSLLMSLDENQQFIIENSVLIGILRQLKLEAENLTTENNSLRMELKVQCKQFSELQNKAEKIADMNEELRLKVIEGVQREESLRTEIGSVCEQLSELQRAYQSSIEENHKVLDEKRTLVKEVSDLGNEKHNLEEENCAVFAEAISQSNIALILKNIITDNFVEIKHLCANLDKLKCFNDDLEGKLRIMEIKLEETQMVNLHLKDTMQNLENELVAVRSVCNQLNDEVVKGNDLLCLKEKELLEAKKMLSATQEERTQLHEVVEDLKTKYEEVKLIGKYQKKQILKLSGDYDIQNKEIESIRQTNQSFEAELSKLHEELEEWKCREESLRVELQKRRNEVELWETQATALFGELQISTVRGALLEEKACELSAEREVLESRSKSNAMEVEELEKSVRILECENGGLKAQLAVYVPAVVSLSESVTSLESRTLLNPKLTTDHNQVKDATLGTDLHVDNCQQTNEVRIATVPDGCFDLQGINMRIKAIEKAVLEMEKLAMTENLNLNSKLETAMKQMEDLRNGSSSGQENVGVKRHVNDKQVLELGQGLGNNVKTQRLIPEIIEEYNEMMTKDIMLDQVSECSSHRLSRGEISEADDQMLGLWEASDRDGNIDLSGDKAQKIITGPSDHQQIDTVKVHNGSQHSTKSHVKELGVDKEKSKRFTEPNQEEKKRKILERLDSDAQKLANLQITLQDLKRKVEITEKGKKGKEIEYGTVKEQLEEIEEAVKKLSDFNRKLIMHARDPSRSLLDGKSAIDSDGSGSGRRQGISEQARKGSEKIGRLQLEVQKIQFLLLKLDDEKESRGRTKITEHKTTVLLRDYLYGGVRNIKKRKNSPFCACVRPQTK >KJB23009 pep chromosome:Graimondii2_0_v6:4:9078360:9087078:-1 gene:B456_004G077700 transcript:KJB23009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVKHADSKGMYSWWWNSHISPKNSKWLQENLTDMDAKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVLRQAHQTMTEAFPNQVPVALVDDSPGGSATEVYPRTPEVPPPVRALLELDELQKGALELSSHAIKRNKAFIEGSESVTNRKGLKQFHDLFGSEESTNRVKFMEGRERKGLFFHDSGEKKQSLPNNGGPDLRARVPSESERVTKAEMEISTLKSVLSKLEAEKEAGLQEYQQSLERLSSLEREVSRAQEDSWGLNERASQAEVEVQTLKDALTKAEVERDANLVQYQQCLEKVNNLENNISHVQKDAGELNERASKAETEAQALKQDIARVEAEKEDALARYKQCSEKIINLEEKLLNAEESFRRMTERAERVESELETLKQVVFELTKDKEVAELQYQRCLETISSLEHKLACAQEEAQRLNSKIDDGAASLKGAEERCSLLERTNRSLHTELESLVQKVGDQSQELTEKQKELRGLWTSLQEERLRFMEAEIAFQTLQHLHSQSQEELRSLAMELENRAQILQVTETCKRNLEEELQRVKEENKGLNELNLSSVMSIKNLQDEILSLRETIAKLEAEVALRMDQRNALQQGICCLKEELNAFSKRHQDMTGHLESVGLNPETFASTVKELQDENTKLKYACERDRNEKVDLLQKLKTMEKLIEKNALLENSLSDLNVMLESVHGRVKALEESCQSLLTEKSTLAAEKDALISQLQIATENLEKLSEKNNVLENFLFDVNVELGGLRVKVMSLENSCLLLGDEKSGLIRQREGLISELSISQKRLEDSEKRYRGLEKKYMGLEKERELTLSEVEELQKLLDAEKQEYASFMQLNETRVTAMESQIRFLQGESLCRKKEYEEELDRSMNAHVETFILQKCAQDMEEKNLSLLLECRKLLEASNFSEKLISELELRNSEKEMEIKSLFDRITILRMWLYQILMALEIDVHGYDDEIKQDQLVIDCIFGGIHKMQNSHLKSLDDNQQFIIENSVLIGLLGQLKLEAKNLATEKNSLHQELKVQSEQFPKLKTSLFNTNAELEISRAKLKSLESSCLLLGEEKSGLLTQREGLISELNVRQKRLEDLEERNQGLEEKYVSLEKEIESTLCEVEELQKSLDVEKQEYARFVKSNKARVTSMESQIHFLQGESLCRKKEYEEELDKAMIAHVETFILQRCAQDLEAKNLSLLQECSKLLEDSRLSKKLISELELRNSQKQVEIESLSDQITILRMGLYQMLRTLDFDAIHGYDDTIKQDQSVLDCIYGRIQKMQNLLLKSLDENQQFIIENSVLIGLLGQLKLEAKNLAIENNSLHQELKVQSEQFSEVKNYLCDANVKVEGLSTKLKSLEISYQLLGDEKSGLLTQREGLISELNISQKRMEDLENRYKGLEEKHEVMKKERESMLHEVEELQRSLDAEKQQHASFVKLNETRVTSTESQIHFLQGESLHMKKEYEEELDKAMNAHVETFILQKCAQDLEEKNLSLVLECRNLLEASKLSKELISELELGNSIKQTEIKALFDQISILRMGIYQMLRTLEVDAICVYDDTIKQNQSVLDCIFGRLQKMQNSLLMSLDENQQFIIENSVLIGILRQLKLEAENLTTENNSLRMELKVQCKQFSELQNKAEKIADMNEELRLKVIEGVQREESLRTEIGSVCEQLSELQRAYQSSIEENHKVLDEKRTLVKEVSDLGNEKHNLEEENCAVFAEAISQSNIALILKNIITDNFVEIKHLCANLDKLKCFNDDLEGKLRIMEIKLEETQMVNLHLKDTMQNLENELVAVRSVCNQLNDEVVKGNDLLCLKEKELLEAKKMLSATQEERTQLHEVVEDLKTKYEEVKLIGKYQKKQILKLSGDYDIQNKEIESIRQTNQSFEAELSKLHEELEEWKCREESLRVELQKRRNEVELWETQATALFGELQISTVRGALLEEKACELSAEREVLESRSKSNAMEVEELEKSVRILECENGGLKAQLAVYVPAVVSLSESVTSLESRTLLNPKLTTDHNQVKGLTCMLIIVNKQMKFELPQFQMVVLTCRVST >KJB23000 pep chromosome:Graimondii2_0_v6:4:9047124:9050147:1 gene:B456_004G077400 transcript:KJB23000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPAERKGDAEAGPQPLYPMMLEPPELRWAFIRKVYSIVALQLLATAGVAATVVFVHPIARFFVSTGAGLALYMVLIVTPFITLCPLYYFHQKHPWNYLLLGTFTISLAFAIGLTCAFTQGKVILEAAILTATVVVGLTLYTFWAAKRGHDFSFLGPFLFGALLVCIVFALIQIFFPLGRIAVMMYGGFAAIIFCGYIVYDTDNLIKRHSYDEYIWAAVSLYLDIINLFLSLLTLLRAADG >KJB23003 pep chromosome:Graimondii2_0_v6:4:9047325:9049617:1 gene:B456_004G077400 transcript:KJB23003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPAERKGDAEAGPQPLYPMMLEPPELRWAFIRKVYSIVALQLLATAGVAATVVFVHPIARFFVSTGAGLALYMVLIVTPFIKMCLALVALCPLYYFHQKHPWNYLLLGTFTISLAFAIGLTCAFTQGKVILEAAILTATVVVGLTLYTFWAAKRGHDFSFLGPFLFGALLVCIVFALIQIFFPLGRIAVMMYGGFAAIIFCGYIVYDTDNLIKRHSYDEYIWAAVSLYLDIINLFLSLLTLLRAADG >KJB23001 pep chromosome:Graimondii2_0_v6:4:9047310:9049617:1 gene:B456_004G077400 transcript:KJB23001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPAERKGDAEAGPQPLYPMMLEPPELRWAFIRKVYSIVALQLLATAGVAATVVFVHPIARFFVSTGAGLALYMVLIVTPFITLCPLYYFHQKHPWNYLLLGTFTISLAFAIGLTCAFTQGKVILEAAILTATVVVGLTLYTFWAAKRGHDFSFLGPFLFGALLVYILPTG >KJB23002 pep chromosome:Graimondii2_0_v6:4:9047310:9049617:1 gene:B456_004G077400 transcript:KJB23002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPAERKGDAEAGPQPLYPMMLEPPELRWAFIRKVYSIVALQLLATAGVAATVVFVHPIARFFVSTGAGLALYMVLIVTPFITLCPLYYFHQKHPWNYLLLGTFTISLAFAIGLTCAFTQGKVILEAAILTATVVVGLTLYTFWAAKRGHDFSFLGPFLFGALLVCIVFALIQVWLFPISHFPFSF >KJB24477 pep chromosome:Graimondii2_0_v6:4:44294444:44296372:-1 gene:B456_004G156100 transcript:KJB24477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFARNMYTIDSSSGFVYLFLIYLQGFSPKKMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMVMGAFIPGLRRKYPPHEYVSAILLVLGLVLFSLADAQTSPSFSVIGVIMVIGALVMDSFLGNLQEAIFNMNPETTQMEMLFCSTVVGLPLLIPPMVLTGEVFEAWNSCSEHLYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMVTTARKAVTLLLSYMIFTKPLTQQHGTGLILIAMGITLKLLPVDVKPVYKRVSSASGSSSNGGHEIEEEENPMV >KJB24484 pep chromosome:Graimondii2_0_v6:4:44294444:44296375:-1 gene:B456_004G156100 transcript:KJB24484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLCETLCCSHGLSRPHKRVFSFPQLPSTAHVQIHQMMVMGAFIPGLRRKYPPHEYVSAILLVLGLVLFSLADAQTSPSFSVIGVIMVIGALVMDSFLGNLQEAIFNMNPETTQMEMLFCSTVVGLPLLIPPMVLTGEVFEAWNSCSEHLYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMVTTARKAVTLLLSYMIFTKPLTQQHGTGLILIAMGITLKLLPVDVKPVYKRVSSASGSSSNGGHEIEEEENPMV >KJB24475 pep chromosome:Graimondii2_0_v6:4:44294339:44296596:-1 gene:B456_004G156100 transcript:KJB24475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEEQTRFLFGISLSDIPKWKQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGFVYLFLIYLQGFSPKKMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMVMGAFIPGLRRKYPPHEYVSAILLVLGLVLFSLADAQTSPSFSVIGVIMVIGALVMDSFLGNLQEAIFNMNPETTQMEMLFCSTVVGLPLLIPPMVLTGEVFEAWNSCSEHLYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMVTTARKAVTLLLSYMIFTKPLTQQHGTGLILIAMGITLKLLPVDVKPVYKRVSSASGSSSNGGHEIEEEENPMV >KJB24476 pep chromosome:Graimondii2_0_v6:4:44294365:44296596:-1 gene:B456_004G156100 transcript:KJB24476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEEQTRFLFGISLSDIPKWKQFLICSSGFFFGYLVNGICEEYVYNRLQFSPKKMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMVMGAFIPGLRRKYPPHEYVSAILLVLGLVLFSLADAQTSPSFSVIGVIMVIGALVMDSFLGNLQEAIFNMNPETTQMEMLFCSTVVGLPLLIPPMVLTGEVFEAWNSCSEHLYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMVTTARKAVTLLLSYMIFTKPLTQQHGTGLILIAMGITLKLLPVDVKPVYKRVSSASGSSSNGGHEIEEEENPMV >KJB24479 pep chromosome:Graimondii2_0_v6:4:44294418:44296457:-1 gene:B456_004G156100 transcript:KJB24479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGAFIPGLRRKYPPHEYVSAILLVLGLVLFSLADAQTSPSFSVIGVIMVIGALVMDSFLGNLQEAIFNMNPETTQMEMLFCSTVVGLPLLIPPMVLTGEVFEAWNSCSEHLYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMVTTARKAVTLLLSYMIFTKPLTQQHGTGLILIAMGITLKLLPVDVKPVYKRVSSASGSSSNGGHEIEEEENPMV >KJB24483 pep chromosome:Graimondii2_0_v6:4:44294444:44296367:-1 gene:B456_004G156100 transcript:KJB24483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMVMGAFIPGLRRKYPPHEYVSAILLVLGLVLFSLADAQTSPSFSVIGVIMVIGALVMDSFLGNLQEAIFNMNPETTQMEMLFCSTVVGLPLLIPPMVLTGEVFEAWNSCSEHLYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMVTTARKAVTLLLSYMIFTKPLTQQHGTGLILIAMGITLKLLPVDVKPVYKRVSSASGSSSNGGHEIEEEENPMV >KJB24485 pep chromosome:Graimondii2_0_v6:4:44294444:44296376:-1 gene:B456_004G156100 transcript:KJB24485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASYGWYFTFVQGFVYLFLIYLQGFSPKKMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMVMGAFIPGLRRKYPPHEYVSAILLVLGLVLFSLADAQTSPSFSVIGVIMVIGALVMDSFLGNLQEAIFNMNPETTQMEMLFCSTVVGLPLLIPPMVLTGEVFEAWNSCSEHLYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMVTTARKAVTLLLSYMIFTKPLTQQHGTGLILIAMGITLKLLPVDVKPVYKRVSSASGSSSNGGHEIEEEENPMV >KJB24480 pep chromosome:Graimondii2_0_v6:4:44294487:44296003:-1 gene:B456_004G156100 transcript:KJB24480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMVMGAFIPGLRRKYPPHEYVSAILLVLGLVLFSLADAQTSPSFSVIGVIMVIGALVMDSFLGNLQEAIFNMNPETTQMEMLFCSTVVGLPLLIPPMVLTGEVFEAWNSCSEHLYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMVTTARKAVTLLLSYMIFTKPLTQQHGTGLILIAMGITLKLLPVDVKPVYKRVSSASGSSSNGGHEIEEEENPMV >KJB24482 pep chromosome:Graimondii2_0_v6:4:44294487:44295774:-1 gene:B456_004G156100 transcript:KJB24482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGAFIPGLRRKYPPHEYVSAILLVLGLVLFSLADAQTSPSFSVIGVIMVIGALVMDSFLGNLQEAIFNMNPETTQMEMLFCSTVVGLPLLIPPMVLTGEVFEAWNSCSEHLYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMVTTARKAVTLLLSYMIFTKPLTQQHGTGLILIAMGITLKLLPVDVKPVYKRVSSASGSSSNGGHEIEEEENPMV >KJB24481 pep chromosome:Graimondii2_0_v6:4:44294444:44296211:-1 gene:B456_004G156100 transcript:KJB24481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTIDSSSGFSPKKMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMVMGAFIPGLRRKYPPHEYVSAILLVLGLVLFSLADAQTSPSFSVIGVIMVIGALVMDSFLGNLQEAIFNMNPETTQMEMLFCSTVVGLPLLIPPMVLTGEVFEAWNSCSEHLYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMVTTARKAVTLLLSYMIFTKPLTQQHGTGLILIAMGITLKLLPVDVKPVYKRVSSASGSSSNGGHEIEEEENPMV >KJB24478 pep chromosome:Graimondii2_0_v6:4:44294487:44295774:-1 gene:B456_004G156100 transcript:KJB24478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGAFIPGLRRKYPPHEYVSAILLVLGLVLFSLADAQTSPSFSVIGVIMVIGALVMDSFLGNLQEAIFNMNPETTQMEMLFCSTVVGLPLLIPPMVLTGEVFEAWNSCSEHLYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMVTTARKAVTLLLSYMIFTKPLTQQHGTGLILIAMGITLKLLPVDVKPVYKRVSSASGSSSNGGHEIEEEENPMV >KJB23026 pep chromosome:Graimondii2_0_v6:4:9162745:9166486:1 gene:B456_004G078000 transcript:KJB23026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIWLTVLSTGVSTNYANIINGCYGEAMTVNALKEFHRRRVQVLAEAGPDIIAFETVPNKIEAQAFAELLEEEHIKIPAWLTFNSKDGVNVVSGDSLLQCASIAESCKQVVAVGINCTPPRFIHDLILAIKKVTTKPIVIYPNSGERYDADRKEWVENTGVSDEDFVSYVNKWCEIGASLVGGCCRTTPNTIKAIYRALSDRSPAAPGQK >KJB23029 pep chromosome:Graimondii2_0_v6:4:9162745:9166486:1 gene:B456_004G078000 transcript:KJB23029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFNIPATPSLMKEFLRQMGGTAVIDGGLATVLELHGADLNDPLWSAKCLLTSPHLIRSVHLDYLEAGADIIITASYQATIQGFEAKGFSREQSENLLKKSVDIALEARRIYYERWSKSSSGGTGDGRILKNRPILVAASVGSYGAYLADGSEYSGCYGEAMTVNALKEFHRRRVQVLAEAGPDIIAFETVPNKIEAQAFAELLEEEHIKIPAWLTFNSKDGVNVVSGDSLLQCASIAESCKQVVAVGINCTPPRFIHDLILAIKKVTTKPIVIYPNSGERYDADRKEWVDR >KJB23023 pep chromosome:Graimondii2_0_v6:4:9162858:9165181:1 gene:B456_004G078000 transcript:KJB23023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFNIPATPSLMKEFLRQMGGTAVIDGGLATVLELHGADLNDPLWSAKCLLTSPHLIRSVHLDYLEAGADIIITASYQATIQGFEAKGFSREQSENLLKKSVDIALEARRIYYERWSKSSSGGTGDGRILKNRPILVAASVGSYGAYLADGSEYSGCYGEAMTVNALKEFHRRRVQVLAEAGPDIIAFETVPNKIEAQAFAELLEEEHIKIPAWLTFNSKDGVNVVSGDSLLQCASIAESCKQVVAVGINCTPPRFIHDLILAIKKVLGFFFFLLFC >KJB23032 pep chromosome:Graimondii2_0_v6:4:9163319:9166486:1 gene:B456_004G078000 transcript:KJB23032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYIYIYLCMYACIEHWTTVWRWSSFDLVHLDYLEAGADIIITASYQATIQGFEAKGFSREQSENLLKKSVDIALEARRIYYERWSKSSSGGTGDGRILKNRPILVAASVGSYGAYLADGSEYSGCYGEAMTVNALKEFHRRRVQVLAEAGPDIIAFETVPNKIEAQAFAELLEEEHIKIPAWLTFNSKDGVNVVSGDSLLQCASIAESCKQVVAVGINCTPPRFIHDLILAIKKVTTKPIVIYPNSGERYDADRKEWVENTGVSDEDFVSYVNKWCEIGASLVGGCCRTTPNTIKAIYRALSDRSPAAPGQK >KJB23031 pep chromosome:Graimondii2_0_v6:4:9162745:9166486:1 gene:B456_004G078000 transcript:KJB23031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFNIPATPSLMKEFLRQMGGTAVIDGGLATVLELHGADLNDPLWSAKCLLTSPHLIRSVHLDYLEAGADIIITASYQATIQGFEAKGFSREQSENLLKKSVDIALEARPSVGSYGAYLADGSEYSGCYGEAMTVNALKEFHRRRVQVLAEAGPDIIAFETVPNKIEAQAFAELLEEEHIKIPAWLTFNSKDGVNVVSGDSLLQCASIAESCKQVVAVGINCTPPRFIHDLILAIKKVTTKPIVIYPNSGERYDADRKEWVENTGVSDEDFVSYVNKWCEIGASLVGGCCRTTPNTIKAIYRALSDRSPAAPGQK >KJB23028 pep chromosome:Graimondii2_0_v6:4:9164381:9166064:1 gene:B456_004G078000 transcript:KJB23028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIWLTVLSTGVSTNYANIINGCYGEAMTVNALKEFHRRRVQVLAEAGPDIIAFETVPNKIEAQAFAELLEEEHIKIPAWLTFNSKDGVNVVSGDSLLQCASIAESCKQVVAVGINCTPPRFIHDLILAIKKVTTKPIVIYPNSGERYDADRKEWVENTGVSDEDFVSYVNKWCEIGASLVGGCCRTTPNTIKAIYRALSDRSPAAPGQK >KJB23022 pep chromosome:Graimondii2_0_v6:4:9162745:9165847:1 gene:B456_004G078000 transcript:KJB23022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFNIPATPSLMKEFLRQMGGTAVIDGGLATVLELHGADLNDPLWSAKCLLTSPHLIRSVHLDYLEAGADIIITASYQATIQGFEAKGFSREQSENLLKKSVDIALEARRIYYERWSKSSSGGTGDGRILKNRPILVAASVGSYGAYLADGSEYSGCYGEAMTVNALKEFHRRRVQVLAEAGPDIIAFETVPNKIEAQAFAELLEEEHIKIPAWLTFNSKDGVNVVSGDSLLQCASIAESCKQVVAVGINCTPPRFIHDLILAIKKVTTKPIVIYPNSGERYDADRKEWVVSSFSL >KJB23024 pep chromosome:Graimondii2_0_v6:4:9162593:9166526:1 gene:B456_004G078000 transcript:KJB23024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFNIPATPSLMKEFLRQMGGTAVIDGGLATVLELHGADLNDPLWSAKCLLTSPHLIRSVHLDYLEAGADIIITASYQATIQGFEAKGFSREQSENLLKKSVDIALEARRIYYERWSKSSSGGTGDGRILKNRPILVAASVGSYGAYLADGSEYSGCYGEAMTVNALKEFHRRRVQVLAEAGPDIIAFETVPNKIEAQAFAELLEEEHIKIPAWLTFNSKDGVNVVSGDSLLQCASIAESCKQVVAVGINCTPPRFIHDLILAIKKVTTKPIVIYPNSGERYDADRKEWVENTGVSDEDFVSYVNKWCEIGASLVGGCCRTTPNTIKAIYRALSDRSPAAPGQK >KJB23027 pep chromosome:Graimondii2_0_v6:4:9164381:9166064:1 gene:B456_004G078000 transcript:KJB23027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIWLTVLSTGVSTNYANIINGCYGEAMTVNALKEFHRRRVQVLAEAGPDIIAFETVPNKIEAQAFAELLEEEHIKIPAWLTFNSKDGVNVVSGDSLLQCASIAESCKQVVAVGINCTPPRFIHDLILAIKKVTTKPIVIYPNSGERYDADRKEWVENTGVSDEDFVSYVNKWCEIGASLVGGCCRTTPNTIKAIYRALSDRSPAAPGQK >KJB23030 pep chromosome:Graimondii2_0_v6:4:9162745:9166486:1 gene:B456_004G078000 transcript:KJB23030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFNIPATPSLMKEFLRQMGGTAVIDGGLATVLELHGADLNDPLWSAKCLLTSPHLIRSQVHLDYLEAGADIIITASYQATIQGFEAKGFSREQSENLLKKSVDIALEARRIYYERWSKSSSGGTGDGRILKNRPILVAASVGSYGAYLADGSEYSGCYGEAMTVNALKEFHRRRVQVLAEAGPDIIAFETVPNKIEAQAFAELLEEEHIKIPAWLTFNSKDGVNVVSGDSLLQCASIAESCKQVVAVGINCTPPRFIHDLILAIKKVTTKPIVIYPNSGERYDADRKEWVENTGVSDEDFVSYVNKWCEIGASLVGGCCRTTPNTIKAIYRALSDRSPAAPGQK >KJB23033 pep chromosome:Graimondii2_0_v6:4:9163345:9166486:1 gene:B456_004G078000 transcript:KJB23033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYACIEHWTTVWRWSSFDLVSQVHLDYLEAGADIIITASYQATIQGFEAKGFSREQSENLLKKSVDIALEARRIYYERWSKSSSGGTGDGRILKNRPILVAASVGSYGAYLADGSEYSGCYGEAMTVNALKEFHRRRVQVLAEAGPDIIAFETVPNKIEAQAFAELLEEEHIKIPAWLTFNSKDGVNVVSGDSLLQCASIAESCKQVVAVGINCTPPRFIHDLILAIKKVTTKPIVIYPNSGERYDADRKEWVENTGVSDEDFVSYVNKWCEIGASLVGGCCRTTPNTIKAIYRALSDRSPAAPGQK >KJB23021 pep chromosome:Graimondii2_0_v6:4:9162745:9166486:1 gene:B456_004G078000 transcript:KJB23021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFNIPATPSLMKEFLRQMGGTAVIDGGLATVLELHGADLNDPLWSAKCLLTSPHLIRSVHLDYLEAGADIIITASYQATIQGFEAKGFSREQSENLLKKSVDIALEARRIYYERWSKSSSGGTGDGRILKNRPILVAASVGSYGAYLADGSEYSGCYGEAMTVNALKEFHRRRVQVLAEAGPDIIAFETVPNKIEAQAFAELLEEEHIKIPAWLTFNSKDGVNVVSGDSLLQCASIAESCKQVVAVGINCTPPRFIHDLILAIKKVTTKPIVIYPNSGERYDADRKEWVENTGVSDEDFVSYVNKWCEIGASLVGGCCRTTPNTIKAIYRALSDRSPAAPGQK >KJB23025 pep chromosome:Graimondii2_0_v6:4:9162745:9166486:1 gene:B456_004G078000 transcript:KJB23025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFNIPATPSLMKEFLRQMGGTAVIDGGLATVLELHGADLNDPLWSAKCLLTSPHLIRSVHLDYLEAGADIIITASYQATIQGFEAKGFSREQSENLLKKSVDIALEARRIYYERWSKSSSGGTGDGRILKNRPILVAASVGSYGAYLADGSEYSGCYGEAMTVNALKEFHRRRVQVLAEAGPDIIAFETVPNKIEAQAFAELLEEEHIKIPAWLTFNSKDGVNVVSGDSLLQCASIAESCKQVVAVGINCTPPRFIHDLILAIKKVLGFFFFLLFC >KJB23160 pep chromosome:Graimondii2_0_v6:4:10472727:10475835:-1 gene:B456_004G084300 transcript:KJB23160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEDNGNVHGDVTIPLLEEGKNIGDEENVYTDEETSGKASLWMVYLSTFVAVCGSFAFGSSAGYSSPTQTAITKDLSLTLAEYSVFGSILTFGAMIGATTSGPIADFIGRKGAMRTATGFSVAGWLAIYFAKGALSLDIGRLATGYGMGVFSYVVPVFIAEIAPKNLRGALTTANQLMICCAVSVAFIIGTVLTWRTLALTGLIPCAILLFGLFFIPESPRWLAKIGHEKEFEAALQDLRGKGADISEEAAEIRDYIETLERLPKAKMLDLFQRRYFRSVIIGVGLMVFQQFGGINGICFYLSNIFESAGVSPSIATITYAIIQVPITALNTTVIDKAGRKPLLLVSSTGLVLACILSGTSFYLKDHNLAPSSVPILAVTGILMYIGSFSAGMGAVPWVVMSEIFPINIKGIAGSLATLVNWFGAWAVSYTFNFLMSWSSYGTFIIYAAINAVAIVFVITVVPETKGKTLEQIQAAINA >KJB26775 pep chromosome:Graimondii2_0_v6:4:60126172:60131341:-1 gene:B456_004G265800 transcript:KJB26775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYLQYMKTLRSQINDVEDQAANVSAEEQIHFTTIRTLQNDILSAKSTKQQLMEDIEKMLTAKGQLCSLIIEKQRKIAALDSDSTTLCQTLELIQHEKISLSSKLIEKRAYYSKVVEDLSYKLQQQQDWVKSQKHRRQMEEHDLVNNKLDEKMTESEGNISVGNCLITDNENNEGNDLIVKLDLEKAKLDGIEQMKATLMRDNEKIKESIEQAKRGSNHFKPELLEISVMALEEEYKALLSDKDGETEYLCSLQDHLERIKGISHVIKCACGEEYMLDLRA >KJB25449 pep chromosome:Graimondii2_0_v6:4:50926311:50928493:-1 gene:B456_004G191800 transcript:KJB25449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLFIFNSYGMKTDIMRKIHIRKPKLIKMQDEKQRTPLHYAAALGEYEIIKLLLEWDISAAYQGDDNQQIPLHLAAENGQVNLLKILLDPCPDTIELMDNEQQNILHFAAKNGNIDAVSFILKLPEMEDLVNAADMNGNTPLHLAAKNFHSSIVYILTRNSKVDIRAINKSNETALAVVQSSDDHGMELQKHLTLKALKRSYAKRAVNPKDVVDNVQFSYDEVEKVGERGGKKSREMAQIISVMATLIATFTFTAAFTIPGGFISDGPDEGMAILIKKSAFKAFVITDTIAMTSSMTAAVMVFWSSSRRSSESFMDSLPFAIGLTWIALVAMALAFVTGLFVVLSKNLWLGIVVCVIGCAAPAMLYIFAPLFLLVFDRLSSTRARRRNIVEDNPFLFVFRLAKMIS >KJB25448 pep chromosome:Graimondii2_0_v6:4:50925765:50928535:-1 gene:B456_004G191800 transcript:KJB25448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRLLKAARSGDINIIKQLSDAEGGILGGTTPQGNTALHMAARFGHENLVQEIMKRQPSLVLKSNLKGETPVHVAARGGHWRIVLLFRDSGSNGVYIARVRDNYGNTPLHCAVRNDHYLVVWRLADKDRESLLLVNHTGESPLSIAIDLKLAVTAEAIIGLNRSTLEYRGPNGQTPLHCAVIRRDLHIMRKIHIRKPKLIKMQDEKQRTPLHYAAALGEYEIIKLLLEWDISAAYQGDDNQQIPLHLAAENGQVNLLKILLDPCPDTIELMDNEQQNILHFAAKNGNIDAVSFILKLPEMEDLVNAADMNGNTPLHLAAKNFHSSIVYILTRNSKVDIRAINKSNETALAVVQSSDDHGMELQKHLTLKALKRSYAKRAVNPKDVVDNVQFSYDEVEKVGERGGKKSREMAQIISVMATLIATFTFTAAFTIPGGFISDGPDEGMAILIKKSAFKAFVITDTIAMTSSMTAAVMVFWSSSRRSSESFMDSLPFAIGLTWIALVAMALAFVTGLFVVLSKNLWLGIVVCVIGCAAPAMLYIFAPLFLLVFDRLSSTRARRRNIVEDNPFLFVFRLAKMIS >KJB25873 pep chromosome:Graimondii2_0_v6:4:54554389:54556334:1 gene:B456_004G212600 transcript:KJB25873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQRLCGVILVSALISFVCQPNSVIAGDIVHDDNLAPKKPGCENDFVLVKVQTWVNCIEDSEYVGVGARFGTTIVSKEKNANQRCLILSDPRDCCNHPKNKLANDFIMVDRGHCKFTTKANNAQVAHTSAVLIINNQKELYKMVCEPDETD >KJB21413 pep chromosome:Graimondii2_0_v6:4:62143243:62143479:-1 gene:B456_004G2936002 transcript:KJB21413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKESFINIAAGAHEEASHRVGSDERQPLLPT >KJB21414 pep chromosome:Graimondii2_0_v6:4:62143329:62143480:-1 gene:B456_004G2936002 transcript:KJB21414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKESFINIAAGAHEEV >KJB21411 pep chromosome:Graimondii2_0_v6:4:62143085:62143480:-1 gene:B456_004G2936002 transcript:KJB21411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKESFINIAAGAHEEASHRVGSDERQPLLPT >KJB21412 pep chromosome:Graimondii2_0_v6:4:62142881:62143480:-1 gene:B456_004G2936002 transcript:KJB21412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKESFINIAAGAHEEASHRVGSDERQPLLPT >KJB21410 pep chromosome:Graimondii2_0_v6:4:62143243:62143479:-1 gene:B456_004G2936002 transcript:KJB21410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKESFINIAAGAHEEASHRVGSDERQPLLPT >KJB21270 pep chromosome:Graimondii2_0_v6:4:10531716:10538998:-1 gene:B456_004G0845001 transcript:KJB21270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRAYVSQFSADGSLFVAGFQGSDIRIYNVDRGWKVQKNILAKSLLWTVTDTSLSPDQRHLVYTRMSPIVHIVNVGSATRESLANITEVLEGLDFSAADGEYSFGIFSVKFSTDGRELVAGSSDDSIYIYDLEANKLSLLIAAHMADVNTVTFADESGNLIYSGSDDNLCKVWGRRCFVAKDKPAGVLMGHLEGVTFIDS >KJB21268 pep chromosome:Graimondii2_0_v6:4:10531818:10537891:-1 gene:B456_004G0845001 transcript:KJB21268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRAYVSQFSADGSLFVAGFQGSDIRIYNVDRGWKVQKNILAKSLLWTVTDTSLSPDQRHLVYTRMSPIVHIVNVGSATRESLANITEVLEGLDFSAADGEYSFGIFSVKFSTDGRELVAGSSDDSIYIYDLEANKLSLLIAAHMADVNTVTFADESGNLIYSGSDDNLCKVWGRRCFVAKDKPAGVLMGHLEGVTFIDS >KJB21273 pep chromosome:Graimondii2_0_v6:4:10532398:10539010:-1 gene:B456_004G0845001 transcript:KJB21273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRAYVSQFSADGSLFVAGFQGSDIRIYNVDRGWKVQKNILAKSLLWTVTDTSLSPDQRHLVYTRMSPIVHIVNVGSATRESLANITEVLEGLDFSAADGEYSFGIFSVKFSTDGRELVAGSSDDSIYIYDLEANKLSLLIAAHMVG >KJB21272 pep chromosome:Graimondii2_0_v6:4:10532101:10539010:-1 gene:B456_004G0845001 transcript:KJB21272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRAYVSQFSADGSLFVAGFQGSDIRIYNVDRGWKVQKNILAKSLLWTVTDTSLSPDQRHLVYTRMSPIVHIVNVGSATRESLANITEVLEGLDFSAADGEYSFGIFSVKFSTDGRELVAGSSDDSIYIYDLEANKLSLLIAAHMADVNTVTFADESGNLIYSGSDDNLCKVS >KJB21271 pep chromosome:Graimondii2_0_v6:4:10531823:10538204:-1 gene:B456_004G0845001 transcript:KJB21271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAMSRLEIESELFEGGNVTNEAGCSNRFDKPFCKVDHEIAQLTNLKSVPNERLHQLMPGKKKLPVSPVKMLAGREADIFQYVDQMTSRAYVSQFSADGSLFVAGFQGSDIRIYNVDRGWKVQKNILAKSLLWTVTDTSLSPDQRHLVYTRMSPIVHIVNVGSATRESLANITEVLEGLDFSAADGEYSFGIFSVKFSTDGRELVAGSSDDSIYIYDLEANKLSLLIAAHMADVNTVTFADESGNLIYSGSDDNLCKVWGRRCFVAKDKPAGVLMGHLEGVTFID >KJB21269 pep chromosome:Graimondii2_0_v6:4:10531647:10538867:-1 gene:B456_004G0845001 transcript:KJB21269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAMSRLEIESELFEGGNVTNEAGCSNRFDKPFCKVDHEIAQLTNLKSVPNERLHQLMPGKKKLPVSPVKMLAGREGNYSRRGGFSLADRCHMISRYFPGSDIRIYNVDRGWKVQKNILAKSLLWTVTDTSLSPDQRHLVYTRMSPIVHIVNVGSATRESLANITEVLEGLDFSAADGEYSFGIFSVKFSTDGRELVAGSSDDSIYIYDLEANKLSLLIAAHMADVNTVTFADESGNLIYSGSDDNLCKVWGRRCFVAKDKPAGVLMGHLEGVTFIDS >KJB21514 pep chromosome:Graimondii2_0_v6:4:21768923:21770452:-1 gene:B456_004G106700 transcript:KJB21514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAKAEGCPERGMKSRIKVDFQTASIRGSPPLSPNRVPKKFHLCHIQFQKIPTMEGQSQKMCFKVSTAAKYRGKQASKRSRRLYRLEKLGMKL >KJB25724 pep chromosome:Graimondii2_0_v6:4:53591569:53593955:-1 gene:B456_004G205900 transcript:KJB25724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRSSWIVLGKLGRNSLNSLWRRKRSMPTTRALGMFRAMVASLLTMLVGSLSGRTTSSILFFLRIRETCQFGLKSPASTLTSEYARQLRGLASKILSALSICLGLEEGRLEKEVGGLEELLLQLKINYYPKCPQPELALGVEAHTDISALTFILHNMVPGLQLFYQGKWVTAKCVPNSIIMHIGDTIEILSNGKFKSILHRGLVNKEKVRISWAVFCEPPKDKIILKPLPETVSETDPPLFPPRTFAQHIEHKLFRKTQDNLSN >KJB25723 pep chromosome:Graimondii2_0_v6:4:53591216:53593955:-1 gene:B456_004G205900 transcript:KJB25723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSVLPRVESLASSGIQSIPKEYIRPQEELTSIGNVFEEEKKEEGPQVPTIDLTEIESEDKEVRERCRQELKNAAMEWGVMHLVNHGISEELMDRVRKVGQKFFELPVEEKEKYANDQSSGNVQGYGSKLANNASGQLEWEDYFFHLIFPEDKRDLSIWPKIPSEYTEVTSEYARQLRGLASKILSALSICLGLEEGRLEKEVGGLEELLLQLKINYYPKCPQPELALGVEAHTDISALTFILHNMVPGLQLFYQGKWVTAKCVPNSIIMHIGDTIEILSNGKFKSILHRGLVNKEKVRISWAVFCEPPKDKIILKPLPETVSETDPPLFPPRTFAQHIEHKLFRKTQDNLSN >KJB25725 pep chromosome:Graimondii2_0_v6:4:53592315:53593955:-1 gene:B456_004G205900 transcript:KJB25725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRSSWIVLGKLGRNSLNSLWRRKRSMPTTRALGMFRAMVASLLTMLVGSLSGRTTSSILFFLRIRETCQFGLKSPASTRDEVTSEYARQLRGLASKILSALSICLGLEEGRLEKEVGGLEELLLQLKINYYPKCPQPELALGVEAHTDISALTFILHNMVPGLQLFYQGKWVTAKCVPNSIIMHIGDTIEILSNGKFKSILHRGLVNKEKVRISWAVFCEPPKDKIILKPLPETVSETDPPLFPPRTFAQHIEHKLFRKTQDNLSN >KJB25290 pep chromosome:Graimondii2_0_v6:4:49741434:49742864:1 gene:B456_004G183700 transcript:KJB25290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCAEDANLKKGPWTPEEDQKLVDCINKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTEEEERVIINLHAVLGNKWSRIAAHLPGRTDNEIKNYWNTQIRKKLLNMGIDPQTHKPRTDLNHLLNLSQLLCASQLGNLMNPWDTAFKLQVDAAQLAKTQLLQNLLKIINTNKPATVDTAGFLGSQNPYPIEGLLGGTSTLSDKELAPTPENITNNAGATSQTSTDFQALEDPCAYFGNGFNYESNLGMNNQSLSNSFEQTENPLPELVSASLGQTSSINQTDNKTETAPDSTIYEAWDKLIMEDEADGSYWKEILDLTSSSSSPISW >KJB23268 pep chromosome:Graimondii2_0_v6:4:11968779:11974240:1 gene:B456_004G089100 transcript:KJB23268 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 36 [Source:Projected from Arabidopsis thaliana (AT1G16280) UniProtKB/Swiss-Prot;Acc:Q9SA27] MEQKDSPTLVDKSFPLFSKPRRAKPSEAPKPSQNPIPQTPQLEKFSSSSAAADATSISAFSDLGLAEWAIQTCKELGMRKPTPVQTHCIPKILAGCDVLGIAQTGSGKTAAFALPILNRLAEDPYGVFALVITPTRELAFQLAEQFRALGSCLHLRCSVVVGGMDMITQAKALVSRPHVVVATPGRVKVLLEENPDIPKVFQGTKFLVLDEADRVLDVGFEEELRVIFQCLPKTRQTLLFSATMTSNLQTLLELSANKAYFYEAYEGFRTVETLKQQYVFIPKTVKDAYLVHILSKMEDMNIRSAMIFASRCRDCHLLSLLLEELEVEAAALHSLKSQALRLSAVQHFKSGRVSILLATDVASRGLDIPTVDLVINYDLPRYPRDYVHRVGRTARAGRGGLAVSFVTENDVDLVHEIEAELGKQLEKFECKENEVLSDFTKVLKAKRVATMKMMDDGFEEKVKERKKQKLKTLAEKGLLKKRSKKRKREKASAKPSDK >KJB23271 pep chromosome:Graimondii2_0_v6:4:11968697:11974088:1 gene:B456_004G089100 transcript:KJB23271 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 36 [Source:Projected from Arabidopsis thaliana (AT1G16280) UniProtKB/Swiss-Prot;Acc:Q9SA27] MEQKDSPTLVDKSFPLFSKPRRAKPSEAPKPSQNPIPQTPQLEKFSSSSAAADATSISAFSDLGLAEWAIQTCKELGMRKPTPVQTHCIPKILAGCDVLGIAQTGSGKTAAFALPILNRLAEDPYGVFALVITPTRELAFQLAEQFRALGSCLHLRCSVVVGGMDMITQAKALVSRPHVVVATPGRVKVLLEENPDIPKVFQGTKFLVLDEADRVLDVGFEEELRVIFQCLPKTRQTLLFSATMTSNLQTLLELSANKAYFYEAYEGFRTVETLKQQYVFIPKTVKDAYLVHILSKMEDMNIRSAMIFASRCRDCHLLSLLLEELEVEAAALHSLKSQALRLSAVQHFKSGRVSILLATDVASRGLDIPTVDLVINYDLPRYPRDYVHRVGRTARAGRGGLAVSFVTENDVDLVHEIEAELGKQLEKFECKENEVLSDFTKVLKAKRVATMKMMDDGFEEKVKERKKQKLKTLAEKGLLKKRSKKRKREKASAKPSDK >KJB23272 pep chromosome:Graimondii2_0_v6:4:11969236:11973221:1 gene:B456_004G089100 transcript:KJB23272 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 36 [Source:Projected from Arabidopsis thaliana (AT1G16280) UniProtKB/Swiss-Prot;Acc:Q9SA27] MEQKDSPTLVDKSFPLFSKPRRAKPSEAPKPSQNPIPQTPQLEKFSSSSAAADATSISAFSDLGLAEWAIQTCKELGMRKPTPVQTHCIPKILAGCDVLGIAQTGSGKTAAFALPILNRLAEDPYGVFALVITPTRELAFQLAEQFRALGSCLHLRCSVVVGGMDMITQAKALVSRPHVVVATPGRVKVLLEENPDIPKVFQGTKFLVLDEADRVLDVGFEEELRVIFQCLPKTRQTLLFSATMTSNLQTLLELSANKAYFYEAYEGFRTVETLKQQYVFIPKTVKDAYLVHILSKMEDMNIRSAMIFASRCRDCHLLSLLLEELEVEAAALHSLKSQALRLSAVQHFKSGRVSILLATDVASRGLDIPTVDLVINYDLPRYPRDYVHRVGRTARAGRGGLAVSFVTENDVDLVHEIEAELGKQLEKFECKENEVLSDFTKCVNCLCNPI >KJB23269 pep chromosome:Graimondii2_0_v6:4:11968779:11974105:1 gene:B456_004G089100 transcript:KJB23269 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 36 [Source:Projected from Arabidopsis thaliana (AT1G16280) UniProtKB/Swiss-Prot;Acc:Q9SA27] MEQKDSPTLVDKSFPLFSKPRRAKPSEAPKPSQNPIPQTPQLEKFSSSSAAADATSISAFSDLGLAEWAIQTCKELGMRKPTPVQTHCIPKILAGCDVLGIAQTGSGKTAAFALPILNRLAEDPYGVFALVITPTRELAFQLAEQFRALGSCLHLRCSVVVGGMDMITQAKALVSRPHVVVATPGRVKVLLEENPDIPKVFQGTKFLVLDEADRVLDVGFEEELRVIFQCLPKTRQTLLFSATMTSNLQTLLELSANKAYFYEAYEGFRTVETLKQQYVFIPKTVKDAYLVHILSKMEDMNIRSAMIFASRCRDCHLLSLLLEELEVEAAALHSLKSQALRLSAVQHFKSGRVSILLATDVASRGLDIPTVDLVINYDLPRYPRDYVHRVGRTARAGRGGLAVSFVTENDVDLVHEIEAELGKQLEKFECKENEVLSDFTKCVNCLCNPI >KJB23270 pep chromosome:Graimondii2_0_v6:4:11968779:11974240:1 gene:B456_004G089100 transcript:KJB23270 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 36 [Source:Projected from Arabidopsis thaliana (AT1G16280) UniProtKB/Swiss-Prot;Acc:Q9SA27] MEQKDSPTLVDKSFPLFSKPRRAKPSEAPKPSQNPIPQTPQLEKFSSSSAAADATSISAFSDLGLAEWAIQTCKELGMRKPTPVQTHCIPKILAGCDVLGIAQTGSGKTAAFALPILNRLAEDPYGVFALVITPTRELAFQLAEQFRALGSCLHLRCSVVVGGMDMITQAKALVSRPHVVVATPGRVKVLLEENPDIPKVFQGTKFLVLDEADRVLDVGFEEELRVIFQCLPKTRQTLLFSATMTSNLQTLLELSANKAYFYEAYEGFRTVETLKQQYVFIPKTVKDAYLVHILSKMEDMNIRSAMIFASRCRDCHLLSLLLEELEVEAAALHSLKSQALRLSAVQHFKSGRVSILLATDVASRGLDIPTVDLVINYDLPRYPRDYVHRVGRTARAGRGGLAVSFVTENDVDLVHEIEAELGKQLEKFECKENEVLSDFTKVLKAKRVATMKMMDDGFEEKVKERKKQKLKTLAEKGLLKKRSKKRKREKASAKPSDK >KJB23273 pep chromosome:Graimondii2_0_v6:4:11968974:11972577:1 gene:B456_004G089100 transcript:KJB23273 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 36 [Source:Projected from Arabidopsis thaliana (AT1G16280) UniProtKB/Swiss-Prot;Acc:Q9SA27] MEQKDSPTLVDKSFPLFSKPRRAKPSEAPKPSQNPIPQTPQLEKFSSSSAAADATSISAFSDLGLAEWAIQTCKELGMRKPTPVQTHCIPKILAGCDVLGIAQTGSGKTAAFALPILNRLAEDPYGVFALVITPTRELAFQLAEQFRALGSCLHLRCSVVVGGMDMITQAKALVSRPHVVVATPGRVKVLLEENPDIPKVFQGTKFLVLDEADRVLDVGFEEELRVIFQCLPKTRQTLLFSATMTSNLQTLLELSANKAYFYEAYEGFRTVETLKQQYVFIPKTVKDAYLVHILSKMEDMNIRSAMIFASRCRDCHLLSLLLEELEVEAAALHSLKSQALRLSAVQHFKSGRVSILLATDVASRGLDIPTVDLVINYDLPRYPRDYVHRVGRTARAGRGGLAVSFVTEVSMKWLLTLNMVFEGCWTMKTSVERLSYKS >KJB24877 pep chromosome:Graimondii2_0_v6:4:46188572:46193663:1 gene:B456_004G165900 transcript:KJB24877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSASKLDNEDTVRRCKDRRRFMKEAVNARHHLAAAHADYCRSLRVTGSALSSFATGERLSVSDETPAVVIHPVKPSTPPSNPIPPSPAPAPAPAPFSPSPSPSPTVVSSKLPHILSAPSISSPAPNRRRPRKLPPKLPHILSESSPSSSPRSSKSGFSNNFFPTAYQANSTYSTTPSQASSVWNWENFYPPSPPDSEFFDQKLQQRKQQLPRRHHRHSDDNDHEDNETEKSEYDFFRPQKLNHRYDTNSHNAKSNFDEETEREEVQCSEWGDHDHDRYTTTSSSDGEEADDDYLASRSEIGTRSNFGSSTRGESEKLSHLHNQTPKPAQPQQQMYGATAGNKMDSKSEDAGSSAGSYRTGAMMDTKMVVRHRDLKEIVDAIKENFDKAAAAGDEVSEMLEIGRAQLDKSFRQLKKTVYHSSSMLSNLSSSWTSKPPLAVKYRLDSAALNEEGRSKSLCSTLDRLLAWEKKLYEEVKAREGVKIEHEKKLSALQSQEYKGEDETKIDKTKASITRLQSLIIVTSQAVTTTSTAIVGLRDSDLVPQLVQICRAFRSMWASMHQYHEVQNNIVQQVRGLINRSGKGDSTSELHCQATRDLESAVSAWHSSFCRLIKFQRSFICSLHGWLKLTLLPVSNDNFNGNTEPSNVYAFCDEWKLALERLPDTVASEAIKSFINVVHVISVKQSEELKVKKQTKTASKELEKKASSLRNIERKFYHSYSMVGVGLPDTGPDHGQVLDARDPLADKKSELATCQRRVEDQMLRHAKAVEVTRAMTLNNLQTGLPGVFLALTSFSALFTEALDSVCSRSYHM >KJB24878 pep chromosome:Graimondii2_0_v6:4:46188663:46193704:1 gene:B456_004G165900 transcript:KJB24878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSASKLDNEDTVRRCKDRRRFMKEAVNARHHLAAAHADYCRSLRVTGSALSSFATGERLSVSDETPAVVIHPVKPSTPPSNPIPPSPAPAPAPAPFSPSPSPSPTVVSSKLPHILSAPSISSPAPNRRRPRKLPPKLPHILSESSPSSSPRSSKSGFSNNFFPTAYQANSTYSTTPSQASSVWNWENFYPPSPPDSEFFDQKLQQRKQQLPRRHHRHSDDNDHEDNETEKSEYDFFRPQKLNHRYDTNSHNAKSNFDEETEREEVQCSEWGDHDHDRYTTTSSSDGEEADDDYLASRSEIGTRSNFGSSTRGESEKLSHLHNQTPKPAQPQQQMYGATAGNKMDSKSEDAGSSAGSYRTGAMMDTKMVVRHRDLKEIVDAIKENFDKAAAAGDEVSEMLEIGRAQLDKSFRQLKKTVYHSSSMLSNLSSSWTSKPPLAVKYRLDSAALNEEGRSKSLCSTLDRLLAWEKKLYEEVKAREGVKIEHEKKLSALQSQEYKGEDETKIDKTKASITRLQSLIIVTSQAVTTTSTAIVGLRDSDLVPQLVQICRAFRSMWASMHQYHEVQNNIVQQVRGLINRSGKGDSTSELHCQATRDLESAVSAWHSSFCRLIKFQRSFICSLHGWLKLTLLPVSNDNFNGNTEPSNVYAFCDEWKLALERLPDTVASEAIKSFINVVHVISVKQSEELKVKKQTKTASKELEKKASSLRNIERKFYHSYSMVGVGLPDTGPDHGQVLDARDPLADKKSELATCQRRVEDQMLRHAKAVEVTRAMTLNNLQTGLPGVFLALTSFSALFTEALDSVCSRSYHM >KJB24879 pep chromosome:Graimondii2_0_v6:4:46188954:46193660:1 gene:B456_004G165900 transcript:KJB24879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSASKLDNEDTVRRCKDRRRFMKEAVNARHHLAAAHADYCRSLRVTGSALSSFATGERLSVSDETPAVVIHPVKPSTPPSNPIPPSPAPAPAPAPFSPSPSPSPTVVSSKLPHILSAPSISSPAPNRRRPRKLPPKLPHILSESSPSSSPRSSKSGFSNNFFPTAYQANSTYSTTPSQASSVWNWENFYPPSPPDSEFFDQKLQQRKQQLPRRHHRHSDDNDHEDNETEKSEYDFFRPQKLNHRYDTNSHNAKSNFDEETEREEVQCSEWGDHDHDRYTTTSSSDGEEADDDYLASRSEIGTRSNFGSSTRGESEKLSHLHNQTPKPAQPQQQMYGATAGNKMDSKSEDAGSSAGSYRTGAMMDTKMVVRHRDLKEIVDAIKENFDKAAAAGDEVSEMLEIGRAQLDKSFRQLKKTVYHSSSMLSNLSSSWTSKPPLAVKYRLDSAALNEEGRSKSLCSTLDRLLAWEKKLYEEVKAREGVKIEHEKKLSALQSQEYKGEDETKIDKTKASITRLQSLIIVTSQAVTTTSTAIVGLRDSDLVPQLVQICRAFRSMWASMHQYHEVQNNIVQQVRGLINRSGKATTISMAIQSHPMYMHFVTSGSLLLNDSLTQ >KJB26663 pep chromosome:Graimondii2_0_v6:4:59094042:59094596:-1 gene:B456_004G253800 transcript:KJB26663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSFSAFLFVLLAILITLGEQGVAAGRWWYPSSPPPPPQNGWVWTWPWPVYSYPPPPTAVKPPSHHGQKSPPLPPPPPQPPMVNGKCLGTTLASKLTCLQDVISSILMFRPYVSPECCAAVQATHEDCLNTIFDEFNNPFLAPLVQQQCFQTPNPNPNPNPKPKPHHGGHHRHGGHHHHGGHP >KJB26951 pep chromosome:Graimondii2_0_v6:4:60273274:60277787:1 gene:B456_004G267600 transcript:KJB26951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKAVEAKAQQRLSGADRKKLKRTIRDRFPRASDADIDALLPPKAEITVSKFQNRVHVYGVEGGFPLFFNVDGRGSEIYPTVFALWELPEMLPYFMLKGGEVSRFVIGGADLMFPGISVAAEGLPSFSAGEPWAVKVPGNPAPIAVGSTTMSSAEALKAGLRGKALRIMHYYRDLLWQSVEGHYVPNSGFLEDVVLEDPSFLASNQPSDSSEGATCESDVQQSCVNNENTEGSIDVNGAISDACAASMQNDSENAAKEITTDASDLKSTANVDAAKLDIELSSLSIEDVDSHLDRCLLQALHTTVKDKDLPMPGSTLWSNHVLPCRPSGITLDIKKSSHKKLSKWLQAKTSTGLITVKEDKYKKEAMLISVNRGHPEYLQFKPEKRPVEKVVQAGDSAASESRSQKALEVVEVYKSSVHVNPIFASVGADTGKLYSASEATDIVFKYIEKENLVKQTNKATVVLDATLCDALFKGAIKKGSTYPTEIHKKDLGATFINRMQAHHMVTRGGESVVRKGVLKTVQIMTERRQGNKKVTKVSGLETFLIDPEALASELQKKFACSTTVAELPGKKGLEVLIQGGVIDDVARHLLEQYGIPKRYIEVLDKTRK >KJB26948 pep chromosome:Graimondii2_0_v6:4:60273202:60279274:1 gene:B456_004G267600 transcript:KJB26948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKAVEAKAQQRLSGADRKKLKRTIRDRFPRASDADIDALLPPKAEITVSKFQNRVHVYGVEGGFPLFFNVDGRGSEIYPTVFALWELPEMLPYFMLKGGEVSRFVIGGADLMFPGISVAAEGLPSFSAGEPWAVKVPGNPAPIAVGSTTMSSAEALKAGLRGKALRIMHYYRDLLWESVEGHYVPNSGFLEDVVLEDPSFLASNQPSDSSEGATCESDVQQSCVNNENTEGSIDVNGAISDACAASMQNDSENAAKEITTDASDLKSTANVDAAKLDIELSSLSIEDVDSHLDRCLLQALHTTVKDKDLPMPGSTLWSNHVLPCRPSGITLDIKKSSHKKLSKWLQAKTSTGLITVKEDKYKKEAMLISVNRGHPEYLQFKPEKRPVEKVVQAGDSAASESRSQKALEVVEVYKSSVHVNPIFASVGADTGKLYSASEATDIVFKYIEKENLVKQTNKATVVLDATLCDALFKGAIKKGSTYPTEIHKKDLGATFINRMQAHHMVTRGGESVVRKGVLKTVQIMTERRQGNKKVTKVSGLETFLIDPEALASELQKKFACSTTVAELPGKKGLEVLIQGGVIDDVARHLLEQYGIPKRYIEVLDKTRK >KJB26947 pep chromosome:Graimondii2_0_v6:4:60273202:60279877:1 gene:B456_004G267600 transcript:KJB26947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKAVEAKAQQRLSGADRKKLKRTIRDRFPRASDADIDALLPPKAEITVSKFQNRVHVYGVEGGFPLFFNVDGRGSEIYPTVFALWELPEMLPYFMLKGGEVSRFVIGGADLMFPGISVAAEGLPSFSAGEPWAVKVPGNPAPIAVGSTTMSSAEALKAGLRGKALRIMHYYRDLLWESVEGHYVPNSGFLEDVVLEDPSFLASNQPSDSSEGATCESDVQQSCVNNENTEGSIDVNGAISDACAASMQNDSENAAKEITTDASDLKSTANVDAAKLDIELSSLSIEDVDSHLDRCLLQALHTTVKDKDLPMPGSTLWSNHVLPCRPSGITLDIKKSSHKKLSKWLQAKTSTGLITVKEDKYKKEAMLISVNRGHPEYLQFKPEKRPVEKVVQAGDSAASESRSQKALEVVEVYKSSVHVNPIFASVGADTGKLYSASEATDIVFKYIEKENLVKQTNKATVVLDATLCDALFKGAIKKGSTYPTEIHKKDLGATFINRMQAHHMVTRGGESVVRKGVLKTVQIMTERRQGNKKVTKVSGLETFLIDPEALASELQKKFACSTTVAELPGKKGLEVLIQGGVIDDVARHLLEQYGIPKRYIEVLDKTRK >KJB26953 pep chromosome:Graimondii2_0_v6:4:60273274:60278658:1 gene:B456_004G267600 transcript:KJB26953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKAVEAKAQQRLSGADRKKLKRTIRDRFPRASDADIDALLPPKAEITVSKFQNRVHVYGVEGGFPLFFNVDGRGSEIYPTVFALWELPEMLPYFMLKGGEVSRFVIGGADLMFPGISVAAEGLPSFSAGEPWAVKVPGNPAPIAVGSTTMSSAEALKAGLRGKALRIMHYYRDLLWQSVEGHYVPNSGFLEDVVLEDPSFLASNQPSDSSEGATCESDVQQSCVNNENTEGSIDVNGAISDACAASMQNDSENAAKEITTDASDLKSTANVDAAKLDIELSSLSIEDVDSHLDRCLLQALHTTVKDKDLPMPGSTLWSNHVLPCRPSGITLDIKKSSHKKLSKWLQAKTSTGLITVKEDKYKKEAMLISVNRGHPEYLQFKPEKRPVEKVVQAGDSAASESRSQKALEVVEVYKSSVHVNPIFASVGADTGKLYSASEATDIVFKYIEKENLVKQTNKATVVLDATLCDALFKGAIKKGSTYPTEIHKKDLGATFINRMQAHHMVTRGGESVVRKGVLKTVQIMTERRQGNKKVTKVSGLETFLIDPEALASELQKKFACSTTVAELPGKKGLEVLIQGGVIDDVARHLLEQYGIPKRYIEVLDKTRK >KJB26950 pep chromosome:Graimondii2_0_v6:4:60273274:60277311:1 gene:B456_004G267600 transcript:KJB26950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKAVEAKAQQRLSGADRKKLKRTIRDRFPRASDADIDALLPPKAEITVSKFQNRVHVYGVEGGFPLFFNVDGRGSEIYPTVFALWELPEMLPYFMLKGGEVSRFVIGGADLMFPGISVAAEGLPSFSAGEPWAVKVPGNPAPIAVGSTTMSSAEALKAGLRGKALRIMHYYRDLLWQSVEGHYVPNSGFLEDVVLEDPSFLASNQPSDSSEGATCESDVQQSCVNNENTEGSIDVNGAISDACAASMQNDSENAAKEITTDASDLKSTANVDAAKLDIELSSLSIEDVDSHLDRCLLQALHTTVKDKDLPMPGSTLWSNHVLPCRPSGITLDIKKSSHKKLSKWLQAKTSTGLITVKEDKYKKEAMLISVNRGHPEYLQFKPEKRPVEKVVQAGDSAASESRSQKALEVVEVYKSSVHVNPIFASVGADTGKLYSASEATDIVFKYIEKENLVKQTNKATVVLDATLCDALFKGAIKKGSTYPTEIHKKDLGATFINRMQAHHMVTRGGESVVRKGVLKTVQIMTERRQGNKKVTKVSGLETFLIDPEALASELQKKFACSTTVAELPGWFIALSV >KJB26949 pep chromosome:Graimondii2_0_v6:4:60273202:60279912:1 gene:B456_004G267600 transcript:KJB26949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKAVEAKAQQRLSGADRKKLKRTIRDRFPRASDADIDALLPPKAEITVSKFQNRVHVYGVEGGFPLFFNVDGRGSEIYPTVFALWELPEMLPYFMLKGGEVSRFVIGGADLMFPGISVAAEGLPSFSAGEPWAVKVPGNPAPIAVGSTTMSSAEALKAGLRGKALRIMHYYRDLLWESVEGHYVPNSGFLEDVVLEDPSFLASNQPSDSSEGATCESDVQQSCVNNENTEGSIDVNGAISDACAASMQNDSENAAKEITTDASDLKSTANVDAAKLDIELSSLSIEDVDSHLDRCLLQALHTTVKDKDLPMPGSTLWSNHVLPCRPSGITLDIKKSSHKKLSKWLQAKTSTGLITVKEDKYKKEAMLISVNRGHPEYLQFKPEKRPVEKVVQAGDSAASESRSQKALEVVEVYKSSVHVNPIFASVGADTGKLYSASEATDIVFKYIEKENLVKQTNKATVVLDATLCDALFKGAIKKGSTYPTEIHKKDLGATFINRMQAHHMVTRGGESVVRKGVLKTVQIMTERRQGNKKVTKVSGLETFLIDPEALASELQKKFACSTTVAELPGKKGLEVLIQGGVIDDVARHLLEQYGIPKRYIEVLDKTRK >KJB26952 pep chromosome:Graimondii2_0_v6:4:60273274:60279870:1 gene:B456_004G267600 transcript:KJB26952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKAVEAKAQQRLSGADRKKLKRTIRDRFPRASDADIDALLPPKAEITVSKFQNRVHVYGVEGGFPLFFNVDGRGSEIYPTVFALWELPEMLPYFMLKGGEVSRFVIGGADLMFPGISVAAEGLPSFSAGEPWAVKVPGNPAPIAVGSTTMSSAEALKAGLRGKALRIMHYYRDLLWQSVEGHYVPNSGFLEDVVLEDPSFLASNQPSDSSEGATCESDVQQSCVNNENTEGSIDVNGAISDACAASMQNDSENAAKEITTDASDLKSTANVDAAKLDIELSSLSIEDVDSHLDRCLLQALHTTVKDKDLPMPGSTLWSNHVLPCRPSGITLDIKKSSHKKLSKWLQAKTSTGLITVKEDKYKKEAMLISVNRGHPEYLQFKPEKRPVEKVVQAGDSAASESRSQKALEVVEVYKSSVHVNPIFASVGADTGKLYSASEATDIVFKYIEKENLVKQTNKATVVLDATLCDALFKGAIKKGSTYPTEIHKKDLGATFINRMQAHHMVTRGGESVVRKGVLKTVQIMTERRQGNKKVTKVSGLETFLIDPEALASELQKKFACSTTVAELPGKKGLEVLIQGGVIDDVARHLLEQYGIPKRYIEVLDKTRK >KJB25390 pep chromosome:Graimondii2_0_v6:4:50434528:50437586:1 gene:B456_004G189000 transcript:KJB25390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALPPSSESGLKRDLKSLQSLGLKTQPSCAAKPRPRGPFLSSSATSHGNGASTVVPCDRRFKRGKGYRIGFNNGVPTDEEYFIKNIAGKHNPDIAVLLYPDDIPRGIKFMEDKEAMFRRLASEKLPPIKGLYKLTKWIDDRGLKRAAVTNAPKPNAELMISKLGLKDFFNVVILGSDCERAKPYPDPYLKALEVLKVSKDHTFVCEDSVSGIKAGVAAGMPVVGLTTRNPESVLMEANPTILIKDYEDPKLWEALEELDKRIGSSKTSA >KJB23300 pep chromosome:Graimondii2_0_v6:4:12370345:12371247:1 gene:B456_004G090800 transcript:KJB23300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLSNAKLFSTFVVDGISNVISRRGYAAASQGIVSSGIRGGAGRSAATVAKKTGEDMSGGAKEKVSWVPDPVTGCYRPENCPNEIDVAELRSMLLKKH >KJB23301 pep chromosome:Graimondii2_0_v6:4:12367994:12371107:1 gene:B456_004G090800 transcript:KJB23301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLSNAKLFSTFVVDGISNVISRRGYAAASQGIVSSGIRGGAGRSAATVAKKTGEDMSGGAKEKVSWVPDPVTGCYRPENCPNEIDVAELRSMLLKKH >KJB24650 pep chromosome:Graimondii2_0_v6:4:44016459:44034817:-1 gene:B456_004G155500 transcript:KJB24650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDYSSTSELRHDSDYSSLGSPIKPKPKADMPTVSSELLHLVDSAIMGRPESLEKLKNIVSEAESFRSREDVDCSPFLVVDSLIATMGGIESFEEDDENSPPSVMLNSRAAVVAGELIPWLPWEGDSDIVMSARTRMVRGLLVILRACARNRAMCSMAGLLGVLLRSAENIFTQDVGSIKQIKWDGTPLCYCIQHLAGHSLSVIDLHGWFQVMTRTITTIWAPHLMLALEKAVCGKESRGPACTFEFFGECSGLLASGDSRWPFTNGYAFSTWIYIESFADSLNTATAAAAIAAAAAAESGKSSAMSAAAAASALAGEGTALMPRLFSFLSTDNQGIEAYFHAQFLVVESGNGKGKKASVHFTHPFKPQCWYFIGLEHVCRQGLIGKAENELRLYIDGSLYESRPFEFPRISKPLAFCCIGTSPPPTTASLQNSQPQCPLFAEMGPVYIFKEPIGPERMARMASRGGDMLPSFGNGAGLPWLATNDHVQRMAEESSLLDAEIGSCLYVLYHPCLLSGRFCPDASPSGAAGIARRPAEVIGQVHIATRMRAVEAIWALAYGGPMSLLPLAISNVHQDSLEPEKGSPPLSLATASLAAPIFRIISVAINHPGNNEEIYRRKGPEILSRILNYLLQTLSSLGAGKDDGARDEELVASVVSICLFQKHNYALKVQLFSTLLLDLKIWRLCSYGLQKKLLSSLADMVFTESSVMRDANAMQMLLDGCRRCYWTIREKDSLDSVSLNGDMRPMGEVNALVDELLVVIELLIGAAPPSMAADDVCCLLGFMVDCPQSNQVARVLHLIHRLVVQPNATRAQTFAEAFIGSGGIETLLVLLQREAKAGDHHIPETNTKADESSSVQRSEPGDSGGGVPGQSQNEGSLKERDQTSEKKDFESQPLGCGVFSPSTKVERISSVSENTFMKNLGGISLSISADNARNNVYNFDKNDGIVVGIIGLLGALVARGHLKFGSPVSSEMTSSLFGAELNDAGGGMFEDKVSLLLFALQKALQAAPNRLMTTNVYMALLGASINASSTEDGLNLYDSSNHFEHLQLLLVLLRVLPHAPRAFQSRALQDLLFLACSHPENRSRLTKMEEWPEWILEVHISNYEMDARKQSCSVNLGDIEDLIHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTRDKRIRREESLPIFKRRLLGGLMDFATRELQAQTQLIAAASAGVAAEGLSAKDAKLEAQNAAQLSVFLVENAIVILMLIEDHFRLQSKISNASRKVDGNASPVHIASSLNNHSNSTVSISRESSEAEDDDISVDSGGLPFDISATAMERLTAAAAAEPYDSVSAAFVSYGSCATDIAEGWKYRSRLWYGLGLSEKEVGIGGGGSGWELWNAALKKDANGNWIELSLVKKSVNMLQALLLDDSGLGDCLGMGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREEDTGQDNMLTRNVGIEDGMSEGVNHQGGNNMSLDDSAQIAVGKPWSSLVWSVLSPILNMPISDSKRQRVLVASSVLYSEVWHAVGRDRKPLRKQYLEAIVPPFVAVLRRWRPVLAGIHDLATPDGLNPLTVDNRILAADAPPLEAALAMVSPAWAAAFASPPAAMGLAMIAAGASGAETPAPPTTAHLKRDSSMLERKTAKLTTFSSFQKPLEVPTKTPSHPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRNASDMERVKRWNDSEAMGVAWMECLQPFDTKSVYGKDFNALSYKFIAVLVASFALARNIHRSETDRRGQVDLVAQYRLFTGIRAWRKLIHYLIEMKCLFGPLGDQFSSQAHIFWKLEFTESSSRMRPCLRRNYIGTDHIGATSSFEDQNDVKKNQENVISSSNAAIIAAEAISMELVNEDEQPEIDNLDNGTYKNGQNGEDPPRLSRITEQPLQKSIESAYTKLASVQDLVQSSSAIAPGYVPSEHDDRIVFELPSSMVQQLKVMQGTFQVTTTRINFIVDNTESSIGPDGSEGNSEVRNNKKDRCWLMTSLHQIYSRRYLLRRSALELFLIDRSNFFFDFGSSDDRRNAYRAIVQARPPHLNNSYLATQRPEQLLKKTQLMERWARWEISNFEYLMRLNTLAGRTYNDITQYPVFPWILSDYNSKSLDLADPSAFRDLSKPIGALNPDRLKKFQERYASFDDSVIPKFHYSSHYSSAGTVLYYLVRVEPFTSLSIQMQGRNFGQADRVFSDVAATWKGVLEDMSDVKELVPELFYLPEMLTNEKSIGFGTTQSGGKLGSVKLPPWAENPVDFIRKHRMALESEHVSAHLHEWVDLIFGYKQRGKEAISANNTFSYITYEGTVNIDKISDPVKQHAIQDQIAYFGQTPSQLLTVPHMKKMPLSKALHLQTIFRNPKEVKPYAVLVPERCNLPAAAIHASEDAVIIVDRNVPAARIARHKWQPNTPDDQGKPFVFQHGQATASSASGALTRMFKAPGGSGSDECQFPQALAFASSGIRSSSIVSITCDKEIITGGHADNSIKILSPDGANTLETAFGHCAPVTCLSLSPDSNYLVTGSRDSTVLLWRIYRASTSGSNSTPEPPASPRRPPSPARANLAKIIADKSRQHWIEGPIHVLRGHHKEILCCCVSTDLGLVVSCGLSSDVLLHSIRRGRLIRRLAGVEADAVCLSSEGIILTWNQSKRTLSTFTLNGVLVARAQLPSLGGVGCMEISMDGKSALIGMNSTLRNNSRDSSLKKAVVDDSALESEENKSNKLDIPSPSICFLDLHTLKVFHVLKLKEGQDITALALNEDNTNLLVSTADKQLIVFTDPALSKKSGGSAA >KJB24649 pep chromosome:Graimondii2_0_v6:4:44016459:44033852:-1 gene:B456_004G155500 transcript:KJB24649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDYSSTSELRHDSDYSSLGSPIKPKPKADMPTVSSELLHLVDSAIMGRPESLEKLKNIVSEAESFRSREDVDCSPFLVVDSLIATMGGIESFEEDDENSPPSVMLNSRAAVVAGELIPWLPWEGDSDIVMSARTRMVRGLLVILRACARNRAMCSMAGLLGVLLRSAENIFTQDVGSIKQIKWDGTPLCYCIQHLAGHSLSVIDLHGWFQVMTRTITTIWAPHLMLALEKAVCGKESRGPACTFEFFGECSGLLASGDSRWPFTNGYAFSTWIYIESFADSLNTATAAAAIAAAAAAESGKSSAMSAAAAASALAGEGTALMPRLFSFLSTDNQGIEAYFHAQFLVVESGNGKGKKASVHFTHPFKPQCWYFIGLEHVCRQGLIGKAENELRLYIDGSLYESRPFEFPRISKPLAFCCIGTSPPPTTASLQNSQPQCPLFAEMGPVYIFKEPIGPERMARMASRGGDMLPSFGNGAGLPWLATNDHVQRMAEESSLLDAEIGSCLYVLYHPCLLSGRFCPDASPSGAAGIARRPAEVIGQVHIATRMRAVEAIWALAYGGPMSLLPLAISNVHQDSLEPEKGSPPLSLATASLAAPIFRIISVAINHPGNNEEIYRRKGPEILSRILNYLLQTLSSLGAGKDDGARDEELVASVVSICLFQKHNYALKVQLFSTLLLDLKIWRLCSYGLQKKLLSSLADMVFTESSVMRDANAMQMLLDGCRRCYWTIREKDSLDSVSLNGDMRPMGEVNALVDELLVVIELLIGAAPPSMAADDVCCLLGFMVDCPQSNQVARVLHLIHRLVVQPNATRAQTFAEAFIGSGGIETLLVLLQREAKAGDHHIPETNTKADESSSVQRSEPGDSGGGVPGQSQNEGSLKERDQTSEKKDFESQPLGCGVFSPSTKVERISSVSENTFMKNLGGISLSISADNARNNVYNFDKNDGIVVGIIGLLGALVARGHLKFGSPVSSEMTSSLFGAELNDAGGGMFEDKVSLLLFALQKALQAAPNRLMTTNVYMALLGASINASSTEDGLNLYDSSNHFEHLQLLLVLLRVLPHAPRAFQSRALQDLLFLACSHPENRSRLTKMEEWPEWILEVHISNYECFQMDARKQSCSVNLGDIEDLIHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTRDKRIRREESLPIFKRRLLGGLMDFATRELQAQTQLIAAASAGVAAEGLSAKDAKLEAQNAAQLSVFLVENAIVILMLIEDHFRLQSKISNASRKVDGNASPVHIASSLNNHSNSTVSISRESSEAEDDDISVDSGGLPFDISATAMERLTAAAAAEPYDSVSAAFVSYGSCATDIAEGWKYRSRLWYGLGLSEKEVGIGGGGSGWELWNAALKKDANGNWIELSLVKKSVNMLQALLLDDSGLGDCLGMGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREEDTGQDNMLTRNVGIEDGMSEGVNHQGGNNMSLDDSAQIAVGKPWSSLVWSVLSPILNMPISDSKRQRVLVASSVLYSEVWHAVGRDRKPLRKQYLEAIVPPFVAVLRRWRPVLAGIHDLATPDGLNPLTVDNRILAADAPPLEAALAMVSPAWAAAFASPPAAMGLAMIAAGASGAETPAPPTTAHLKRDSSMLERKTAKLTTFSSFQKPLEVPTKTPSHPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRNASDMERVKRWNDSEAMGVAWMECLQPFDTKSVYGKDFNALSYKFIAVLVASFALARNIHRSETDRRGQVDLVAQYRLFTGIRAWRKLIHYLIEMKCLFGPLGDQFSSQAHIFWKLEFTESSSRMRPCLRRNYIGTDHIGATSSFEDQNDVKKNQENVISSSNAAIIAAEAISMELVNEDEQPEIDNLDNGTYKNGQNGEDPPRLSRITEQPLQKSIESAYTKLASVQDLVQSSSAIAPGYVPSEHDDRIVFELPSSMVQQLKVMQGTFQVTTTRINFIVDNTESSIGPDGSEGNSEVRNNKKDRCWLMTSLHQIYSRRYLLRRSALELFLIDRSNFFFDFGSSDDRRNAYRAIVQARPPHLNNSYLATQRPEQLLKKTQLMERWARWEISNFEYLMRLNTLAGRTYNDITQYPVFPWILSDYNSKSLDLADPSAFRDLSKPIGALNPDRLKKFQERYASFDDSVIPKFHYSSHYSSAGTVLYYLVRVEPFTSLSIQMQGRNFGQADRVFSDVAATWKGVLEDMSDVKELVPELFYLPEMLTNEKSIGFGTTQSGGKLGSVKLPPWAENPVDFIRKHRMALESEHVSAHLHEWVDLIFGYKQRGKEAISANNTFSYITYEGTVNIDKISDPVKQHAIQDQIAYFGQTPSQLLTVPHMKKMPLSKALHLQTIFRNPKEVKPYAVLVPERCNLPAAAIHASEDAVIIVDRNVPAARIARHKWQPNTPDDQGKPFVFQHGQATASSASGALTRMFKAPGGSGSDECQFPQALAFASSGIRSSSIVSITCDKEIITGGHADNSIKILSPDGANTLETAFGHCAPVTCLSLSPDSNYLVTGSRDSTVLLWRIYRASTSGSNSTPEPPASPRRPPSPARANLAKIIADKSRQHWIEGPIHVLRGHHKEILCCCVSTDLGLVVSCGLSSDVLLHSIRRGRLIRRLAGVEADAVCLSSEGIILTWNQSKRTLSTFTLNGVLVARAQLPSLGGVGCMEISMDGKSALIGMNSTLRNNSRDSSLKKAVVDDSALESEENKSNKLDIPSPSICFLDLHTLKVFHVLKLKEGQDITALALNEDNTNLLVSTADKQLIVFTDPALSKKSGGSAA >KJB24652 pep chromosome:Graimondii2_0_v6:4:44017160:44033852:-1 gene:B456_004G155500 transcript:KJB24652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDYSSTSELRHDSDYSSLGSPIKPKPKADMPTVSSELLHLVDSAIMGRPESLEKLKNIVSEAESFRSREDVDCSPFLVVDSLIATMGGIESFEEDDENSPPSVMLNSRAAVVAGELIPWLPWEGDSDIVMSARTRMVRGLLVILRACARNRAMCSMAGLLGVLLRSAENIFTQDVGSIKQIKWDGTPLCYCIQHLAGHSLSVIDLHGWFQVMTRTITTIWAPHLMLALEKAVCGKESRGPACTFEFFGECSGLLASGDSRWPFTNGYAFSTWIYIESFADSLNTATAAAAIAAAAAAESGKSSAMSAAAAASALAGEGTALMPRLFSFLSTDNQGIEAYFHAQFLVVESGNGKGKKASVHFTHPFKPQCWYFIGLEHVCRQGLIGKAENELRLYIDGSLYESRPFEFPRISKPLAFCCIGTSPPPTTASLQNSQPQCPLFAEMGPVYIFKEPIGPERMARMASRGGDMLPSFGNGAGLPWLATNDHVQRMAEESSLLDAEIGSCLYVLYHPCLLSGRFCPDASPSGAAGIARRPAEVIGQVHIATRMRAVEAIWALAYGGPMSLLPLAISNVHQDSLEPEKGSPPLSLATASLAAPIFRIISVAINHPGNNEEIYRRKGPEILSRILNYLLQTLSSLGAGKDDGARDEELVASVVSICLFQKHNYALKVQLFSTLLLDLKIWRLCSYGLQKKLLSSLADMVFTESSVMRDANAMQMLLDGCRRCYWTIREKDSLDSVSLNGDMRPMGEVNALVDELLVVIELLIGAAPPSMAADDVCCLLGFMVDCPQSNQVARVLHLIHRLVVQPNATRAQTFAEAFIGSGGIETLLVLLQREAKAGDHHIPETNTKADESSSVQRSEPGDSGGGVPGQSQNEGSLKERDQTSEKKDFESQPLGCGVFSPSTKVERISSVSENTFMKNLGGISLSISADNARNNVYNFDKNDGIVVGIIGLLGALVARGHLKFGSPVSSEMTSSLFGAELNDAGGGMFEDKVSLLLFALQKALQAAPNRLMTTNVYMALLGASINASSTEDGLNLYDSSNHFEHLQLLLVLLRVLPHAPRAFQSRALQDLLFLACSHPENRSRLTKMEEWPEWILEVHISNYEMDARKQSCSVNLGDIEDLIHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTRDKRIRREESLPIFKRRLLGGLMDFATRELQAQQTQLIAAASAGVAAEGLSAKDAKLEAQNAAQLSVFLVENAIVILMLIEDHFRLQSKISNASRKVDGNASPVHIASSLNNHSNSTVSISRESSEAEDDDISVDSGGLPFDVLSSIADANWQISATAMERLTAAAAAEPYDSVSAAFVSYGSCATDIAEGWKYRSRLWYGLGLSEKEVGIGGGGSGWELWNAALKKDANGNWIELSLVKKSVNMLQALLLDDSGLGDCLGMGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREEDTGQDNMLTRNVGIEDGMSEGVNHQGGNNMSLDDSAQIAVGKPWSSLVWSVLSPILNMPISDSKRQRVLVASSVLYSEVWHAVGRDRKPLRKQYLEAIVPPFVAVLRRWRPVLAGIHDLATPDGLNPLTVDNRILAADAPPLEAALAMVSPAWAAAFASPPAAMGLAMIAAGASGAETPAPPTTAHLKRDSSMLERKTAKLTTFSSFQKPLEVPTKTPSHPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRNASDMERVKRWNDSEAMGVAWMECLQPFDTKSVYGKDFNALSYKFIAVLVASFALARNIHRSETDRRGQVDLVAQYRLFTGIRAWRKLIHYLIEMKCLFGPLGDQFSSQAHIFWKLEFTESSSRMRPCLRRNYIGTDHIGATSSFEDQNDVKKNQENVISSSNAAIIAAEAISMELVNEDEQPEIDNLDNGTYKNGQNGEDPPRLSRITEQPLQKSIESAYTKLASVQDLVQSSSAIAPGYVPSEHDDRIVFELPSSMVQQLKVMQGTFQVTTTRINFIVDNTESSIGPDGSEGNSEVRNNKKDRCWLMTSLHQIYSRRYLLRRSALELFLIDRSNFFFDFGSSDDRRNAYRAIVQARPPHLNNSYLATQRPEQLLKKTQLMERWARWEISNFEYLMRLNTLAGRTYNDITQYPVFPWILSDYNSKSLDLADPSAFRDLSKPIGALNPDRLKKFQERYASFDDSVIPKFHYSSHYSSAGTVLYYLVRVEPFTSLSIQMQGRNFGQADRVFSDVAATWKGVLEDMSDVKELVPELFYLPEMLTNEKSIGFGTTQSGGKLGSVKLPPWAENPVDFIRKHRMALESEHVSAHLHEWVDLIFGYKQRGKEAISANNTFSYITYEGTVNIDKISDPVKQHAIQDQIAYFGQTPSQLLTVPHMKKMPLSKALHLQTIFRNPKEVKPYAVLVPERCNLPAAAIHASEDAVIIVDRNVPAARIARHKWQPNTPDDQGKPFVFQHGQATASSASGALTRMFKAPGGSGSDECQFPQALAFASSGIRSSSIVSITCDKEIITGGHADNSIKILSPDGANTLETAFGHCAPVTCLSLSPDSNYLVTGSRDSTVLLWRIYRASTSGSNSTPEPPASPRRPPSPARANLAKIIADKSRQHWIEGPIHVLRGHHKEILCCCVSTDLGLVVSCGLSSDVLLHSIRRGRLIRRLAGVEADAVCLSSEGIILTWNQSKRTLSTFTLNGVLVARAQLPSLGGVGCMEISMDGKSALIGMNSTLRNNSRDSSLKKAVVDDSALESEENKSNKLDIPSPSICFLDLHTLKVFHVLKLKEGQDITALALNEDNTNLLVSTADKQLIVFTDPAVS >KJB24651 pep chromosome:Graimondii2_0_v6:4:44016559:44033852:-1 gene:B456_004G155500 transcript:KJB24651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDYSSTSELRHDSDYSSLGSPIKPKPKADMPTVSSELLHLVDSAIMGRPESLEKLKNIVSEAESFRSREDVDCSPFLVVDSLIATMGGIESFEEDDENSPPSVMLNSRAAVVAGELIPWLPWEGDSDIVMSARTRMVRGLLVILRACARNRAMCSMAGLLGVLLRSAENIFTQDVGSIKQIKWDGTPLCYCIQHLAGHSLSVIDLHGWFQVMTRTITTIWAPHLMLALEKAVCGKESRGPACTFEFFGECSGLLASGDSRWPFTNGYAFSTWIYIESFADSLNTATAAAAIAAAAAAESGKSSAMSAAAAASALAGEGTALMPRLFSFLSTDNQGIEAYFHAQFLVVESGNGKGKKASVHFTHPFKPQCWYFIGLEHVCRQGLIGKAENELRLYIDGSLYESRPFEFPRISKPLAFCCIGTSPPPTTASLQNSQPQCPLFAEMGPVYIFKEPIGPERMARMASRGGDMLPSFGNGAGLPWLATNDHVQRMAEESSLLDAEIGSCLYVLYHPCLLSGRFCPDASPSGAAGIARRPAEVIGQVHIATRMRAVEAIWALAYGGPMSLLPLAISNVHQDSLEPEKGSPPLSLATASLAAPIFRIISVAINHPGNNEEIYRRKGPEILSRILNYLLQTLSSLGAGKDDGARDEELVASVVSICLFQKHNYALKVQLFSTLLLDLKIWRLCSYGLQKKLLSSLADMVFTESSVMRDANAMQMLLDGCRRCYWTIREKDSLDSVSLNGDMRPMGEVNALVDELLVVIELLIGAAPPSMAADDVCCLLGFMVDCPQSNQVARVLHLIHRLVVQPNATRAQTFAEAFIGSGGIETLLVLLQREAKAGDHHIPETNTKADESSSVQRSEPGDSGGGVPGQSQNEGSLKERDQTSEKKDFESQPLGCGVFSPSTKVERISSVSENTFMKNLGGISLSISADNARNNVYNFDKNDGIVVGIIGLLGALVARGHLKFGSPVSSEMTSSLFGAELNDAGGGMFEDKVSLLLFALQKALQAAPNRLMTTNVYMALLGASINASSTEDGLNLYDSSNHFEHLQLLLVLLRVLPHAPRAFQSRALQDLLFLACSHPENRSRLTKMEEWPEWILEVHISNYEMDARKQSCSVNLGDIEDLIHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTRDKRIRREESLPIFKRRLLGGLMDFATRELQAQQTQLIAAASAGVAAEGLSAKDAKLEAQNAAQLSVFLVENAIVILMLIEDHFRLQSKISNASRKVDGNASPVHIASSLNNHSNSTVSISRESSEAEDDDISVDSGGLPFDVLSSIADANWQISATAMERLTAAAAAEPYDSVSAAFVSYGSCATDIAEGWKYRSRLWYGLGLSEKEVGIGGGGSGWELWNAALKKDANGNWIELSLVKKSVNMLQALLLDDSGLGDCLGMGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREEDTGQDNMLTRNVGIEDGMSEGVNHQGGNNMSLDDSAQIAVGKPWSSLVWSVLSPILNMPISDSKRQRVLVASSVLYSEVWHAVGRDRKPLRKQYLEAIVPPFVAVLRRWRPVLAGIHDLATPDGLNPLTVDNRILAADAPPLEAALAMVSPAWAAAFASPPAAMGLAMIAAGASGAETPAPPTTAHLKRDSSMLERKTAKLTTFSSFQKPLEVPTKTPSHPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRNASDMERVKRWNDSEAMGVAWMECLQPFDTKSVYGKDFNALSYKFIAVLVASFALARNIHRSETDRRGQVDLVAQYRLFTGIRAWRKLIHYLIEMKCLFGPLGDQFSSQAHIFWKLEFTESSSRMRPCLRRNYIGTDHIGATSSFEDQNDVKKNQENVISSSNAAIIAAEAISMELVNEDEQPEIDNLDNGTYKNGQNGEDPPRLSRITEQPLQKSIESAYTKLASVQDLVQSSSAIAPGYVPSEHDDRIVFELPSSMVQQLKVMQGTFQVTTTRINFIVDNTESSIGPDGSEGNSEVRNNKKDRCWLMTSLHQIYSRRYLLRRSALELFLIDRSNFFFDFGSSDDRRNAYRAIVQARPPHLNNSYLATQRPEQLLKKTQLMERWARWEISNFEYLMRLNTLAGRTYNDITQYPVFPWILSDYNSKSLDLADPSAFRDLSKPIGALNPDRLKKFQERYASFDDSVIPKFHYSSHYSSAGTVLYYLVRVEPFTSLSIQMQGRNFGQADRVFSDVAATWKGVLEDMSDVKELVPELFYLPEMLTNEKSIGFGTTQSGGKLGSVKLPPWAENPVDFIRKHRMALESEHVSAHLHEWVDLIFGYKQRGKEAISANNTFSYITYEGTVNIDKISDPVKQHAIQDQIAYFGQTPSQLLTVPHMKKMPLSKALHLQTIFRNPKEVKPYAVLVPERCNLPAAAIHASEDAVIIVDRNVPAARIARHKWQPNTPDDQGKPFVFQHGQATASSASGALTRMFKAPGGSGSDECQFPQALAFASSGIRSSSIVSITCDKEIITGGHADNSIKILSPDGANTLETAFGHCAPVTCLSLSPDSNYLVTGSRDSTVLLWRIYRASTSGSNSTPEPPASPRRPPSPARANLAKIIADKSRQHWIEGPIHVLRGHHKEILCCCVSTDLGLVVSCGLSSDVLLHSIRRGRLIRRLAGVEADAVCLSSEGIILTWNQSKRTLSTFTLNGVLVARAQLPSLGGVGCMEISMDGVPCLET >KJB24653 pep chromosome:Graimondii2_0_v6:4:44016559:44034872:-1 gene:B456_004G155500 transcript:KJB24653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDYSSTSELRHDSDYSSLGSPIKPKPKADMPTVSSELLHLVDSAIMGRPESLEKLKNIVSEAESFRSREDVDCSPFLVVDSLIATMGGIESFEEDDENSPPSVMLNSRAAVVAGELIPWLPWEGDSDIVMSARTRMVRGLLVILRACARNRAMCSMAGLLGVLLRSAENIFTQDVGSIKQIKWDGTPLCYCIQHLAGHSLSVIDLHGWFQVMTRTITTIWAPHLMLALEKAVCGKESRGPACTFEFFGECSGLLASGDSRWPFTNGYAFSTWIYIESFADSLNTATAAAAIAAAAAAESGKSSAMSAAAAASALAGEGTALMPRLFSFLSTDNQGIEAYFHAQFLVVESGNGKGKKASVHFTHPFKPQCWYFIGLEHVCRQGLIGKAENELRLYIDGSLYESRPFEFPRISKPLAFCCIGTSPPPTTASLQNSQPQCPLFAEMGPVYIFKEPIGPERMARMASRGGDMLPSFGNGAGLPWLATNDHVQRMAEESSLLDAEIGSCLYVLYHPCLLSGRFCPDASPSGAAGIARRPAEVIGQVHIATRMRAVEAIWALAYGGPMSLLPLAISNVHQDSLEPEKGSPPLSLATASLAAPIFRIISVAINHPGNNEEIYRRKGPEILSRILNYLLQTLSSLGAGKDDGARDEELVASVVSICLFQKHNYALKVQLFSTLLLDLKIWRLCSYGLQKKLLSSLADMVFTESSVMRDANAMQMLLDGCRRCYWTIREKDSLDSVSLNGDMRPMGEVNALVDELLVVIELLIGAAPPSMAADDVCCLLGFMVDCPQSNQVARVLHLIHRLVVQPNATRAQTFAEAFIGSGGIETLLVLLQREAKAGDHHIPETNTKADESSSVQRSEPGDSGGGVPGQSQNEGSLKERDQTSEKKDFESQPLGCGVFSPSTKVERISSVSENTFMKNLGGISLSISADNARNNVYNFDKNDGIVVGIIGLLGALVARGHLKFGSPVSSEMTSSLFGAELNDAGGGMFEDKVSLLLFALQKALQAAPNRLMTTNVYMALLGASINASSTEDGLNLYDSSNHFEHLQLLLVLLRVLPHAPRAFQSRALQDLLFLACSHPENRSRLTKMEEWPEWILEVHISNYEMDARKQSCSVNLGDIEDLIHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTRDKRIRREESLPIFKRRLLGGLMDFATRELQAQQTQLIAAASAGVAAEGLSAKDAKLEAQNAAQLSVFLVENAIVILMLIEDHFRLQSKISNASRKVDGNASPVHIASSLNNHSNSTVSISRESSEAEDDDISVDSGGLPFDISATAMERLTAAAAAEPYDSVSAAFVSYGSCATDIAEGWKYRSRLWYGLGLSEKEVGIGGGGSGWELWNAALKKDANGNWIELSLVKKSVNMLQALLLDDSGLGDCLGMGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREEDTGQDNMLTRNVGIEDGMSEGVNHQGGNNMSLDDSAQIAVGKPWSSLVWSVLSPILNMPISDSKRQRVLVASSVLYSEVWHAVGRDRKPLRKQYLEAIVPPFVAVLRRWRPVLAGIHDLATPDGLNPLTVDNRILAADAPPLEAALAMVSPAWAAAFASPPAAMGLAMIAAGASGAETPAPPTTAHLKRDSSMLERKTAKLTTFSSFQKPLEVPTKTPSHPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRNASDMERVKRWNDSEAMGVAWMECLQPFDTKSVYGKDFNALSYKFIAVLVASFALARNIHRSETDRRGQVDLVAQYRLFTGIRAWRKLIHYLIEMKCLFGPLGDQFSSQAHIFWKLEFTESSSRMRPCLRRNYIGTDHIGATSSFEDQNDVKKNQENVISSSNAAIIAAEAISMELVNEDEQPEIDNLDNGTYKNGQNGEDPPRLSRITEQPLQKSIESAYTKLASVQDLVQSSSAIAPGYVPSEHDDRIVFELPSSMVQQLKVMQGTFQVTTTRINFIVDNTESSIGPDGSEGNSEVRNNKKDRCWLMTSLHQIYSRRYLLRRSALELFLIDRSNFFFDFGSSDDRRNAYRAIVQARPPHLNNSYLATQRPEQLLKKTQLMERWARWEISNFEYLMRLNTLAGRTYNDITQYPVFPWILSDYNSKSLDLADPSAFRDLSKPIGALNPDRLKKFQERYASFDDSVIPKFHYSSHYSSAGTVLYYLVRVEPFTSLSIQMQGRNFGQADRVFSDVAATWKGVLEDMSDVKELVPELFYLPEMLTNEKSIGFGTTQSGGKLGSVKLPPWAENPVDFIRKHRMALESEHVSAHLHEWVDLIFGYKQRGKEAISANNTFSYITYEGTVNIDKISDPVKQHAIQDQIAYFGQTPSQLLTVPHMKKMPLSKALHLQTIFRNPKEVKPYAVLVPERCNLPAAAIHASEDAVIIVDRNVPAARIARHKWQPNTPDDQGKPFVFQHGQATASSASGALTRMFKAPGGSGSDECQFPQALAFASSGIRSSSIVSITCDKEIITGGHADNSIKILSPDGANTLETAFGHCAPVTCLSLSPDSNYLVTGSRDSTVLLWRIYRASTSGSNSTPEPPASPRRPPSPARANLAKIIADKSRQHWIEGPIHVLRGHHKEILCCCVSTDLGLVVSCGLSSDVLLHSIRRGRLIRRLAGVEADAVCLSSEGIILTWNQSKRTLSTFTLNGVLVARAQLPSLGGVGCMEISMDGKSALIGMNSTLRNNSRDSSLKKAVVDDSALESEENKSNKLDIPSPSICFLDLHTLKVFHVLKLKEGQDITALALNEDNTNLLVSTADKQLIVFTDPALSKKSGGSAA >KJB25072 pep chromosome:Graimondii2_0_v6:4:47894591:47897961:-1 gene:B456_004G175700 transcript:KJB25072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGAGQLNLNESPCWGSRSVDCFEKLEQIGEGTYGQVYMAREIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDEQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYNNFKPTRPMKRRLREVFRHFDRHALELLERMLTLDPSQVPFGIFVCFISFMLHKNHRILFSASGDPSLLNHMTIES >KJB25071 pep chromosome:Graimondii2_0_v6:4:47893134:47898215:-1 gene:B456_004G175700 transcript:KJB25071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGAGQLNLNESPCWGSRSVDCFEKLEQIGEGTYGQVYMAREIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDEQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYNNFKPTRPMKRRLREVFRHFDRHALELLERMLTLDPSQRICAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHEENAKRQKLQHPQPHARLPPIQQSGQAHAQMRPGPNPPLHGSQPPVATGPGHHYGKPRGPAGGPGRYPANGTSGGYNHPNRGGQGGGGGYSSGPYPPQGRGPPYGSSGMPGAGPRGGGGSGYGVGAPNYSQGGPYGSSGAGRGSNMMGGNRNQQYGWQQ >KJB25073 pep chromosome:Graimondii2_0_v6:4:47893198:47898205:-1 gene:B456_004G175700 transcript:KJB25073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDEQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYNNFKPTRPMKRRLREVFRHFDRHALELLERMLTLDPSQRICAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHEENAKRQKLQHPQPHARLPPIQQSGQAHAQMRPGPNPPLHGSQPPVATGPGHHYGKPRGPAGGPGRYPANGTSGGYNHPNRGGQGGGGGYSSGPYPPQGRGPPYGSSGMPGAGPRGGGGSGYGVGAPNYSQGGPYGSSGAGRGSNMMGGNRNQQYGWQQ >KJB25869 pep chromosome:Graimondii2_0_v6:4:54508944:54512528:1 gene:B456_004G212200 transcript:KJB25869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:bHLH062D MSTGVQHQERVPMNLKKQLALAVRNIQWSYAIFWSISTRQPGVLEWGEGYYNGDIKTRKTVQAVELNTDQLSLQRSEQLRQLYESLSAGESSPQAKRPSAALSPEDLTDTEWYYLVCMSFVFNIGQGLPGRTLSSGQPVWLCNAHCADSKVFGRSLLAKSASIQTVVCFPFSGGVVELGVTDLVFEDLSLIQRVKTLLLDDPQPIVSKRSIQVDGMNNDLACPALDPLILATKLSPILGCEQLETVSPDDSPDGLEPKQSREDSLLIEGINGGASQVQSWQFMDEEFSNCVHHSLNSSDCISQTIADHRKVVPLCRGENDNGLQDVEECNQTKLTSFDCQNDDRHFHEVLSALFKSSHPLILGPQFRNSNKESSFIRWQKNGLVKPQKERDETPQKLLKKILFLVPHMHDRGLIESPETNAVRDAAWRPEADEICGNHVLSERKRREKINERLMMLKSLVPANNKADKVSILDVTIEYLQALERRVAELESCRKSEARTKIERTSDNYGNNKTNNGKKSSLSKRKAFYVVDEADQEIGYVASKDGSTDKVTLSMNNKELLIEFKCPWREGILLEVMDALSILNLDCHSVQSSTTEGILSLTIKSKYKGSSVAKAGPIEQALQRIASKC >KJB25868 pep chromosome:Graimondii2_0_v6:4:54508944:54512528:1 gene:B456_004G212200 transcript:KJB25868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:bHLH062D MSTGVQHQERVPMNLKKQLALAVRNIQWSYAIFWSISTRQPGVLEWGEGYYNGDIKTRKTVQAVELNTDQLSLQRSEQLRQLYESLSAGESSPQAKRPSAALSPEDLTDTEWYYLVCMSFVFNIGQGLPGRTLSSGQPVWLCNAHCADSKVFGRSLLAKSASIQTVVCFPFSGGVVELGVTDLVFEDLSLIQRVKTLLLDDPQPIVSKRSIQVDGMNNDLACPALDPLILATKLSPILGCEQLETVSPDDSPDGLEPKQSREDSLLIEGINGGASQVQSWQFMDEEFSNCVHHSLNSSDCISQTIADHRKVVPLCRGENDNGLQDVEECNQTKLTSFDCQNDDRHFHEVLSALFKSSHPLILGPQFRNSNKESSFIRWQKNGLVKPQKERDETPQKLLKKILFLVPHMHDRGLIESPETNAVRDAAWRPEADEICGNHVLSERKRREKINERLMMLKSLVPANNKADKVSILDVTIEYLQALERRVAELESCRKSEARTKIERTSDNYGNNKTNNGKKSSLSKRKAFYVVDEADQEIGYVASKDGSTDKVTLSMNNKELLIEFKCPWREGILLEVMDALSILNLDCHSVQSSTTEGILSLTIKSKYKGSSVAKAGPIEQALQRIASKC >KJB24944 pep chromosome:Graimondii2_0_v6:4:46737789:46740249:1 gene:B456_004G169200 transcript:KJB24944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPFIYIFRFLHNLLDLKLFPLYPLLFLSAPHRVREKKQTQMERNPFVLLVFLIVSSMVAAPIHCKKQTQALGRFYERKMKGSSGIDKSGFKAVHYINKSRVHTQQGMKEKDRIKKLPGQPGVLFQQYGGYVTVDKAAGRALYYYFVEAYHSKESLPLLLWLNGGPGCSSLAYGAMEELGPFRVHSNGKTLYSNRYSWNHAANVLFLESPAGVGFSYSNTTSDYDNSGDSKTAVDNYVFLLNWLERFPEYRNRKFYIAGESYAGHYVPQLAHTILQHNKNANSTIINLKGILIGNAVINDETDVKGMYDYLASHALISDETANGIEKHCNFAPEDDSESSSECDAATDEAGQNIYYVDIYNIYAPLCHNSSLTIRPKEPSVANFDPCSDYYVYAYLNRVDVQEAMHANVTKLDHDWEPCSDIIRRWGDSPSTIIPLLQELMANGLRVWIFR >KJB24943 pep chromosome:Graimondii2_0_v6:4:46737584:46740969:1 gene:B456_004G169200 transcript:KJB24943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPFIYIFRFLHNLLDLKLFPLYPLLFLSAPHRVREKKQTQMERNPFVLLVFLIVSSMVAAPIHCKKQTQALGRFYERKMKGSSGIDKSGFKAVHYINKSRVHTQQGMKEKDRIKKLPGQPGVLFQQYGGYVTVDKAAGRALYYYFVEAYHSKESLPLLLWLNGGPGCSSLAYGAMEELGPFRVHSNGKTLYSNRYSWNHAANVLFLESPAGVGFSYSNTTSDYDNSGDSKTAVDNYVFLLNWLERFPEYRNRKFYIAGESYAGHYVPQLAHTILQHNKNANSTIINLKGILIGNAVINDETDVKGMYDYLASHALISDETANGIEKHCNFAPEDDSESSSECDAATDEAGQNIYYVDIYNIYAPLCHNSSLTIRPKEPSVANFDPCSDYYVYAYLNRVDVQEAMHANVTKLDHDWEPCSDIIRRWGDSPSTIIPLLQELMANGLRVWIFSGDADGRIPVTSTKYSIKKMKLAVKTKWHPWYLDGEVGGYTEVYKGDLTFATVRAAGHQVPSFQPKRALSLIKHFLDGSPLPDTLNYN >KJB26064 pep chromosome:Graimondii2_0_v6:4:55828042:55831934:-1 gene:B456_004G223900 transcript:KJB26064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPSRQCQVCNQTQSKYKCPSCLVPYCSLACFKTHKGTPSVNSGSIDNAVTTSVKPESTNDAVPPSVEPKSSEGRPTASREFLVGRKLEVEDPSEVLQIMQMQAIASSDDIREALKDEHLQKLISDINSSPDALNELDKAMGLDVFRIFSDKILSAINQ >KJB26063 pep chromosome:Graimondii2_0_v6:4:55827984:55832009:-1 gene:B456_004G223900 transcript:KJB26063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPSRQCQVCNQTQSKYKCPSCLVPYCSLACFKTHKETPCEKLESVKEKSGTPSVNSGSIDNAVTTSVKPESTNDAVPPSVEPKSSEGRPTASREFLVGRKLEVEDPSEVLQIMQMQAIASSDDIREALKDEHLQKLISDINSSPDALNELDKAMGLDVFRIFSDKILSAINQ >KJB22012 pep chromosome:Graimondii2_0_v6:4:50968465:50969879:-1 gene:B456_004G192100 transcript:KJB22012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASDDFSNSNSLLVNNSMPTKTATFYEQKAVFVTVYVEKPRRRASLKHSPSLHQIINQELIQHNHGGAGKGYNRRAELLHYSRRLRESAQSSASRALQSKPVSSIDQQQPHSKKIRAVQRKATYSRTPACFDKWGILVPSVFRSLKNLLQSKKTENKRKDGSTSNNKIKAVMKSLQSQMQKKWRCFSKPNSRLHKNQHR >KJB22009 pep chromosome:Graimondii2_0_v6:4:50967880:50970137:-1 gene:B456_004G192100 transcript:KJB22009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASDDFSNSNSLLVNNSMPTKTATFYEQKAVFVTVYVEKPRRRASLKHSPSLHQIINQELIQHNHGGAGKGYNRRAELLHYSRRLRESAQSSASRALQSKPVSSIDQQQPHSKKIRAVQRKATYSRTPACFDKWGILVPSVFRSLKNLLQSKKTENKRKDGSTSNNKIKAVMKSLQSQMQKKWRCFSKPNSRLHKNQHR >KJB22013 pep chromosome:Graimondii2_0_v6:4:50967873:50970137:-1 gene:B456_004G192100 transcript:KJB22013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASDDFSNSNSLLVNNSMPTKTATFYEQKAVFVTVYVEKPRRRASLKHSPSLHQIINQELIQHNHGGAGKGYNRRAELLHYSRRLRESAQSSASRALQSKPVSSIDQQQPHSKKIRAVQRKATYSRTPACFDKWGILVPSVFRSLKNLLQSKKTENKRKDGSTSNNKIKAVMKSLQSQMQKKWRCFSKPNSRLHKNQHR >KJB22010 pep chromosome:Graimondii2_0_v6:4:50968594:50969736:-1 gene:B456_004G192100 transcript:KJB22010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFQATFYEQKAVFVTVYVEKPRRRASLKHSPSLHQIINQELIQHNHGGAGKGYNRRAELLHYSRRLRESAQSSASRALQSKPVSSIDQQQPHSKKIRAVQRKATYSRTPACFDKWGILVPSVFRSLKNLLQSKKTENKRKDGSTSNNKIKAVMKSLQVMCKITIILMT >KJB22008 pep chromosome:Graimondii2_0_v6:4:50968465:50969879:-1 gene:B456_004G192100 transcript:KJB22008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASDDFSNSNSLLVNNSMPTKTATFYEQKAVFVTVYVEKPRRRASLKHSPSLHQIINQELIQHNHGGAGKGYNRRAELLHYSRRLRESAQSSASRALQSKPVSSIDQQQPHSKKIRAVQRKATYSRTPACFDKWGILVPSVFRSLKNLLQSKKTENKRKDGSTSNNKIKAVMKSLQSQMQKKWRCFSKPNSRLHKNQHR >KJB22014 pep chromosome:Graimondii2_0_v6:4:50969091:50970040:-1 gene:B456_004G192100 transcript:KJB22014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASDDFSNSNSLLVNNSMPTKTATFYEQKAVFVTVYVEKPRRRASLKHSPSLHQIINQELIQHNHGGAGKGYNRRAELLHYSRRLRESAQSSASRALQSKPVSSIDQQQPHSKKVFHPLML >KJB22011 pep chromosome:Graimondii2_0_v6:4:50967880:50970040:-1 gene:B456_004G192100 transcript:KJB22011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASDDFSNSNSLLVNNSMPTKTATFYEQKAVFVTVYVEKPRRRASLKHSPSLHQIINQELIQHNHGGAGKGYNRRAELLHYSRRLRESAQSSASRALQSKPIRAVQRKATYSRTPACFDKWGILVPSVFRSLKNLLQSKKTENKRKDGSTSNNKIKAVMKSLQVMCKITIILMT >KJB21885 pep chromosome:Graimondii2_0_v6:4:1388036:1392623:1 gene:B456_004G018900 transcript:KJB21885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFSGVIFFAVVANFIPEPTLSHSSEVKGKKNKGDEGGKDMMKKHRRQVFFSGIITAIGISLHNFPEGMAVFLGSMKGLRVGLNLALAIALHNIPEGVAVALPVYFATQSKWQAFKLATLSGFAEPLGVVIVAYLFPSSLSPEILEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFFGMAFMSASLYFLELSLPKDMSL >KJB21883 pep chromosome:Graimondii2_0_v6:4:1385736:1391023:1 gene:B456_004G018900 transcript:KJB21883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQVLVALALSLVGGLSTSLGALFVILNQAPNLKMLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLKGNLWFFSGVIFFAVVANFIPEPTLSHSSEVKGKKNKGDEGGKDMMKKHRRQVFFSGIITAIGISLHNFPEGMAVFLGSMKGLRVGLNLALAIALHNIPEGVAVALPVYFATQR >KJB21884 pep chromosome:Graimondii2_0_v6:4:1385736:1392623:1 gene:B456_004G018900 transcript:KJB21884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQVLVALALSLVGGLSTSLGALFVILNQAPNLKMLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLKGNLWFFSGVIFFAVVANFIPEPTLSHSSEVKGKKNKGDEGGKDMMKKHRRQVFFSGIITAIGISLHNFPEGMAVFLGSMKGLRVGLNLALAIALHNIPEQVAGIQVGNSFWFCGAARRCNCCLSVPEQLKSRNS >KJB21882 pep chromosome:Graimondii2_0_v6:4:1385606:1392639:1 gene:B456_004G018900 transcript:KJB21882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQVLVALALSLVGGLSTSLGALFVILNQAPNLKMLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLKGNLWFFSGVIFFAVVANFIPEPTLSHSSEVKGKKNKGDEGGKDMMKKHRRQVFFSGIITAIGISLHNFPEGMAVFLGSMKGLRVGLNLALAIALHNIPEGVAVALPVYFATQSKWQAFKLATLSGFAEPLGVVIVAYLFPSSLSPEILEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFFGMAFMSASLYFLELSLPKDMSL >KJB21639 pep chromosome:Graimondii2_0_v6:4:356088:356799:1 gene:B456_004G005700 transcript:KJB21639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASILYPSNVISHHVHHHGLIQQKRPLPPRHIQINLNILQNRNNLHELLASAFTRLRIDMPSTFYNTLVQEIMGCGVGIANRTFDSAWYCKVMYLHSTIQALLVESEINQETLMSRALAESRSEFERINNGMKKLKVEAGDEEKCMICLEEVEVGFEASQMPCSHVFHDDCIKKWLQQSYYCPICRFEMPVD >KJB21929 pep chromosome:Graimondii2_0_v6:4:1672255:1674201:-1 gene:B456_004G022400 transcript:KJB21929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIATRWRELSGENEWEGLLQPLDTDLRQYIIHYGQRTSAVGDLFDPTTYDPKTSKEHFFTEACLIKGNPYKYEVTDFIYAGSNEVTSAWMGYVAVSTDEGKKVLGRRDVLVAWRGTRTLSEWINDLRIPRVSPTDLFPKAAEYNALVHDGFHALYIGTVPASTHSKRSARKQVRTAVKKLVNKYKHEELSITVTGFSLGGALATLTAMDIVANGCNNPTGSKAPFMVTAFVFGCPRVGNDGFKQLFDSLRGDNLRLLRMKNKMDFVPVILLSYTDVGNVLNVNTSVSKYLKEKFFGFTGYAFRDGNGDEVGIDISLVNEDDHEAQEIIISGWINNLYSCHNMDVYMHGVAIENIAEDTPADELDYDLPLVNKHLDRVKDDYKFPTEWWVGENRKKMEQMDNGRWRVV >KJB27301 pep chromosome:Graimondii2_0_v6:4:61909517:61910732:-1 gene:B456_004G289800 transcript:KJB27301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETESSSNSFSSPPLSPSSPGSTHSRTNSALNSTNSLKVKRTRDSSKHPVYHGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSVKGSSAILNFPELANSLPRPVSLAPRDVQAAAAKAAQMERFDSHPSSSSTLSSSSSLSSLVSEMDLSSGSDELSEIVELPSLETSYESVELKDEFMFIDSVDGLFYTPPWLQSLEDCRYSFEENLLWDY >KJB22022 pep chromosome:Graimondii2_0_v6:4:2024517:2032208:-1 gene:B456_004G025700 transcript:KJB22022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSLILGLKHITKTLTNALRPSKPTPFLLDAALFPLSHQSSAAMSQQPDTKPLTEEELERKKKKEEKAKEKELKKQKALEKAELAKLKAQQGSNAPKKSAKKSAKREADDENPEDFVDPETPSGEKKRLSNQMAKQYSPASVEKSWYAWWEKSGFFQADASSSKPPFVIVLPPPNVTGALHIGHALTCAIEDTIIRWRRMSGYNALWVPGMDHAGIATQVVVEKKLKRERGLTRHDVGRENFVNEVWKWKDEYGGTILGQLRRLGASLDWSRECFTMDEKRSKAVMEAFNRLYKEGLIYRDLRLVNWDCILRTAISDIEVDYTDIKERTLLKVPSYEKPVEFGVLTSFAYPLEGELGEIVVATTRVETMLGDTAIAIHPEDKRYSHLHGKFAIHPFNGRKLPIICDAILVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINSNGGADFVGMPRFKAREAVIDALQKKKLYRGAQNNEMRLGLCSRTNDVIEPMIKPQWYVSCSSIAKEALDAAMDDQNRKLEFIPKQYTAEWKRWLENIRDWCISRQLWWGHRIPAWYVTLEDDELKELGAYNDHWIVAPNEEQALAEARKKYSGKKFEMSQDPDVLDTWFSSGLFPLSVLGWPDDTDDLKAFYPTSVLETGHDILFFWVARMVMLGIKLGGDVPFSKVYLHPMIRDAHGRKMSKSLGNVIDPLEVINGISLEGLHKRLEGGNLDPNELATAKEGQRKDFPNGIAECGADALRFALVSYTAQSDKINLDILRVVGYRQWCNKLWNAVRFAMSKLPDDYTPPSTINPETMPFSCRWILSVLNKAISKTVLSLNSYEFSDATTSVYSWWQYQFCDIFIEAIKPYFAGDNPAFSSERKFSQDALWACLEIGLRLLHPFMPFITEELWQRLPGVKSHTKKESIMMCDYPSPIESWTNERVEYEMDLVESTVRSLRSLRAELLAKQKNERLPAFALCQNDEVAKIIRSCELEILTLATLSSFKVLLSGVDDAPAGCAFENVNENLKVYLKVHGTLNAEAEREKIKNKMDEILKQQEKLKKIISASGYQEKVPSHIQEENATKLAKLLQEFEFFKKESDRLESESQHQK >KJB22023 pep chromosome:Graimondii2_0_v6:4:2024544:2032165:-1 gene:B456_004G025700 transcript:KJB22023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSLILGLKHITKTLTNALRPSKPTPFLLDAALFPLSHQSSAAMSQQPDTKPLTEEELERKKKKEEKAKEKELKKQKALEKAELAKLKAQQGSNAPKKSAKKSAKREADDENPEDFVDPETPSGEKKRLSNQMAKQYSPASVEKSWYAWWEKSGFFQADASSSKPPFVIVLPPPNVTGALHIGHALTCAIEDTIIRWRRMSGYNALWVPGMDHAGIATQVVVEKKLKRERGLTRHDVGRENFVNEVWKWKDEYGGTILGQLRRLGASLDWSRECFTMDEKRSKAVMEAFNRLYKEGLIYRDLRLVNWDCILRTAISDIEVPSYEKPVEFGVLTSFAYPLEGELGEIVVATTRVETMLGDTAIAIHPEDKRYSHLHGKFAIHPFNGRKLPIICDAILVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINSNGGADFVGMPRFKAREAVIDALQKKKLYRGAQNNEMRLGLCSRTNDVIEPMIKPQWYVSCSSIAKEALDAAMDDQNRKLEFIPKQYTAEWKRWLENIRDWCISRQLWWGHRIPAWYVTLEDDELKELGAYNDHWIVAPNEEQALAEARKKYSGKKFEMSQDPDVLDTWFSSGLFPLSVLGWPDDTDDLKAFYPTSVLETGHDILFFWVARMVMLGIKLGGDVPFSKVYLHPMIRDAHGRKMSKSLGNVIDPLEVINGISLEGLHKRLEGGNLDPNELATAKEGQRKDFPNGIAECGADALRFALVSYTAQSDKINLDILRVVGYRQWCNKLWNAVRFAMSKLPDDYTPPSTINPETMPFSCRWILSVLNKAISKTVLSLNSYEFSDATTSVYSWWQYQFCDIFIEAIKPYFAGDNPAFSSERKFSQDALWACLEIGLRLLHPFMPFITEELWQRLPGVKSHTKKESIMMCDYPSPIESWTNERVEYEMDLVESTVRSLRSLRAELLAKQKNERLPAFALCQNDEVAKIIRSCELEILTLATLSSFKVLLSGVDDAPAGCAFENVNENLKVYLKVHGTLNAEAEREKIKNKMDEILKQQEKLKKIISASGYQEKVPSHIQEENATKLAKLLQEFEFFKKESDRLESESQHQK >KJB23858 pep chromosome:Graimondii2_0_v6:4:28709676:28711224:1 gene:B456_004G118000 transcript:KJB23858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQPNTGLFVGLNKGHVVTKKELAQRPSNRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAHPGGGEKKK >KJB23856 pep chromosome:Graimondii2_0_v6:4:28709676:28711224:1 gene:B456_004G118000 transcript:KJB23856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQPNTGLFVGLNKGHVVTKKELAQRPSNRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAHPGGGEKKK >KJB23857 pep chromosome:Graimondii2_0_v6:4:28709452:28711282:1 gene:B456_004G118000 transcript:KJB23857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQPNTGLFVGLNKGHVVTKKELAQRPSNRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAHPGGGEKKK >KJB23859 pep chromosome:Graimondii2_0_v6:4:28709358:28711282:1 gene:B456_004G118000 transcript:KJB23859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQPNTGLFVGLNKGHVVTKKELAQRPSNRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAHPGGGEKKK >KJB25701 pep chromosome:Graimondii2_0_v6:4:53512555:53514321:1 gene:B456_004G205000 transcript:KJB25701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLSCLVYFVFYLILFQAFHLITRRKLGRTHKLPPGPPTIPIFGNLFQLGDEPHRALAKLANIHGDIMTLKLGQTTTLVLSSAAMAKEILQTHDAISCNRTVPGALRALQHHETGLPWMPVSTTWRNLRKICNLHIFASHKLDANQHLRRSKIEQLLADVRDSSRVGEAIEISTVVFKSVREELGKPNFGDYFPTLLDNLDLQGIRRRMSIHFGKLMNIFDKLIDERLELKKMDDYISTNDLLDILLQHSEQDNNEELDRNLIKHLILDLLIAGTNSTSSTLEWAMTELFHNPKALRKARRELQQVIGEGNLVEESHVTCLPYLQAVVKETMRLHPPIPLLLPRKAQEDIEIHNFVVPKGARLLINAWAIGRDPNFWEEPDLFLPERFIRSTIDVKGRDFGLIPFGSGRRICPGLPLAMRMLHLTLGTLIYSFDWNLKMELHLKV >KJB22299 pep chromosome:Graimondii2_0_v6:4:3312565:3321215:-1 gene:B456_004G039600 transcript:KJB22299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGEADRKRRHFNSIPPTAVASKKQPFLPISEEKKLDAAVLQFQNQKLVQKLEAQKVECSTLENKFLQLKEKQKSYDSTLKAVNKSWEALITDLESRSIHTRKSSGQDVGHTPNVKDGPPSYTENAFLSRLMETGATESSSSNNCTEQIKEDREHTVSAKSGNILNNIIVAIDDLWCLKDGLYAAVRKELQNDGSCGQLAELESEVKNLRFAIADVHLNHRSLARELQSHRDIDAKNKAELKRLKGELESAVAELQESNCKLATLKAERDATKGAFFPVLNLGSKHVSGDKVKDKHIDLHEMESALKELLEQASSRLTELKGLHEERIQILQRLSNLQNALKSVKCISSSKVYLLVRDQLEKSKSEVFLHQDLFEKLQVEKDNLAWREKELSIKNDIADVFQRSLAVANSRASHLGAEIQRQVDERKRIEAKLEEASREPGRTEIIADFKSLLSSFPEAMSSMQSQLGKYKEAAVDIHSLRADVLSLSSILDRKAKEIENLSVRSTDQVTQMHKLQAMVQDLKDSDGELKLILEMYRREFTDSSYILEARDSEFKAWAHVQSLKSCLDEHNLELRVKTANEAEAISQQKLAAAEAEIAELRHKLEASKRDKSRLTDSLKAKIEENEAYLSEIESIGQAYDDMQTQNQQLLQQITERDDYNIKLVLEGLRAKQLQDTLLLEKHNMEKEIQQASTSLDFYNMKAARIEDQLRFCSDQVQKLGEERFQKSVSLENTQKRLSDMRRSSHQAKESLEDSQLKIEKSRAALVELQIEIERERFKKKRLEEELEVARRKVVHLRAKTEGNLMVERLQQELREYREILKCSICLDRPKEVVITKCYHLFCNPCVHKITESRHRKCPVCAASFGANDVKPVYI >KJB22301 pep chromosome:Graimondii2_0_v6:4:3312650:3321133:-1 gene:B456_004G039600 transcript:KJB22301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGEADRKRRHFNSIPPTAVASKKQPFLPISEEKKLDAAVLQFQNQKLVQKLEAQKVECSTLENKFLQLKEKQKSYDSTLKAVNKSWEALITDLESRSIHTRKSSGQDVGHTPNVKDGPPSYTENAFLSRLMETGATESSSSNNCTEQIKEDREHTVSAKSGNILNNIIVAIDDLWCLKDGLYAAVRKELQNDGSCGQLAELESEVKNLRFAIADVHLNHRSLARELQSHRDIDAKNKAELKRLKGELESAVAELQESNCKLATLKAERDATKGAFFPVLNLGSKHVSGDKVKDKHIDLHEMESALKELLEQASSRLTELKGLHEERIQILQRLSNLQNALKSVKCISSSKVYLLVRDQLEKSKSEVFLHQDLFEKLQVEKDNLAWREKELSIKNDIADVFQRSLAVANSRASHLGAEIQRQVDERKRIEAKLEEASREPGRTEIIADFKSLLSSFPEAMSSMQSQLGKYKEAAVDIHSLRADVLSLSSILDRKAKEIENLSVRSTDQVTQMHKLQAMVQDLKDSDGELKLILEMYRREFTDSSYILEARDSEFKAWAHVQSLKSCLDEHNLELRVKTANEAEAISQQKLAAAEAEIAELRHKLEASKRDKSRLTDSLKAKIEENEAYLSEIESIGQAYDDMQTQNQQLLQQITERDDYNIKLVLEGLRAKQLQDTLLLEKHNMEKEIQQASTSLDFYNMKAARIEDQLRFCSDQVQKLGEERFQKSVSLENTQKRLSDMRRSSHQAKESLEDSQLKIEKSRAALVELQIEIERERFKKKRLEEELEVARRKVVHLRAKTEGNLMVERLQQELREYREILKCSICLDRPKEVSLIMI >KJB22298 pep chromosome:Graimondii2_0_v6:4:3312565:3321133:-1 gene:B456_004G039600 transcript:KJB22298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGEADRKRRHFNSIPPTAVASKKQPFLPISEEKKLDAAVLQFQNQKLVQKLEAQKVECSTLENKFLQLKEKQKSYDSTLKAVNKSWEALITDLESRSIHTRKSSGQDVGHTPNVKDGPPSYTENAFLSRLMETGATESSSSNNCTEQIKEDREHTVSAKSGNILNNIIVAIDDLWCLKDGLYAAVRKELQNDGSCGQLAELESEVKNLRFAIADVHLNHRSLARELQSHRDIDAKNKAELKRLKGELESAVAELQESNCKLATLKAERDATKGAFFPVLNLGSKHVSGDKVKDKHIDLHEMESALKELLEQASSRLTELKGLHEERIQILQRLSNLQNALKSVKCISSSKVYLLVRDQLEKSKSEVFLHQDLFEKLQVEKDNLAWREKELSIKNDIADVFQRSLAVANSRASHLGAEIQRQVDERKRIEAKLEEASREPGRTEIIADFKSLLSSFPEAMSSMQSQLGKYKEAAVDIHSLRADVLSLSSILDRKAKEIENLSVRSTDQVTQMHKLQAMVQDLKDSDGELKLILEMYRREFTDSSYILEARDSEFKAWAHVQSLKSCLDEHNLELRVKTANEAEAISQQKLAAAEAEIAELRHKLEASKRDKSRLTDSLKAKIEENEAYLSEIESIGQAYDDMQTQNQQLLQQITERDDYNIKVVITKCYHLFCNPCVHKITESRHRKCPVCAASFGANDVKPVYI >KJB22302 pep chromosome:Graimondii2_0_v6:4:3312650:3321133:-1 gene:B456_004G039600 transcript:KJB22302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGEADRKRRHFNSIPPTAVASKKQPFLPISEEKKLDAAVLQFQNQKLVQKLEAQKVECSTLENKFLQLKEKQKSYDSTLKAVNKSWEALITDLESRSIHTRKSSGQDVGHTPNVKDGPPSYTENAFLSRLMETGATESSSSNNCTEQIKEDREHTVSAKSGNILNNIIVAIDDLWCLKDGLYAAVRKELQNDGSCGQLAELESEVKNLRFAIADVHLNHRSLARELQSHRDIDAKNKAELKRLKGELESAVAELQESNCKLATLKAERDATKGAFFPVLNLGSKHVSGDKVKDKHIDLHEMESALKELLEQASSRLTELKGLHEERIQILQRLSNLQNALKSVKCISSSKVYLLVRDQLEKSKSEVFLHQDLFEKLQVEKDNLAWREKELSIKNDIADVFQRSLAVANSRASHLGAEIQRQVDERKRIEAKLEEASREPGRTEIIADFKSLLSSFPEAMSSMQSQLGKYKEAAVDIHSLRADVLSLSSILDRKAKEIENLSVRSTDQVTQMHKLQAMVQDLKDSDGELKLILEMYRREFTDSSYILEARDSEFKAWAHVQSLKSCLDEHNLELRVKTANEAEAISQQKLAAAEAEIAELRHKLEASKRDKSRLTDSLKAKIEENEAYLSEIEVSFLSFSLGSAFGTFVMFS >KJB22300 pep chromosome:Graimondii2_0_v6:4:3314163:3320824:-1 gene:B456_004G039600 transcript:KJB22300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGEADRKRRHFNSIPPTAVASKKQPFLPISEEKKLDAAVLQFQNQKLVQKLEAQKVECSTLENKFLQLKEKQKSYDSTLKAVNKSWEALITDLESRSIHTRKSSGQDVGHTPNVKDGPPSYTENAFLSRLMETGATESSSSNNCTEQIKEDREHTVSAKSGNILNNIIVAIDDLWCLKDGLYAAVRKELQNDGSCGQLAELESEVKNLRFAIADVHLNHRSLARELQSHRDIDAKNKAELKRLKGELESAVAELQESNCKLATLKAERDATKGAFFPVLNLGSKHVSGDKVKDKHIDLHEMESALKELLEQASSRLTELKGLHEERIQILQRLSNLQNALKSVKCISSSKVYLLVRDQLEKSKSEVFLHQDLFEKLQVEKDNLAWREKELSIKNDIADVFQRSLAVANSRASHLGAEIQRQVDERKRIEAKLEEASREPGRTEIIADFKSLLSSFPEAMSSMQSQLGKYKEAAVDIHSLRADVLSLSSILDRKAKEIENLSVRSTDQVTQMHKLQAMVQDLKDSDGELKLILEMYRREFTDSSYILEARDSEFKAWAHVQSLKSCLDEHNLELRVKTANEAEAISQQKLAAAEAEIAELRHKLEASKRDKSRLTDSLKAKIEENEAYLSEIESIGQAYDDMQTQNQQLLQQITERDDYNIKLVLEGLRAKQLQDTLLLEKHNMEKEIQQASTSLDFYNMKAARIEDQLRFCSDQVQKLGEERFQKSVSLENTQKRLSDMRRSSHQAKESLEDSQLKIEKSRAALVELQIEIERERFKKKRLEEELEVARRKVVHLRAKTEGNLMVERLQQELREYREILKCSICLDRPKEVSLIMI >KJB23524 pep chromosome:Graimondii2_0_v6:4:19050972:19052357:1 gene:B456_004G102400 transcript:KJB23524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLFVALIGATVGGLLARQRKGELQRVNEQLRQINVALRRQAKLESYAPSLSYAPIGGRISEKESGKNFFRNQELEKDFLEFKTALELAKSLKDLTEEKKAAKGLGASLQRQGKYQEAIKYHSMVLAISDREGEDSGNIEAYGAIVDCYTELGDLEKAGILYDKYIARLETD >KJB23568 pep chromosome:Graimondii2_0_v6:4:21404658:21406266:1 gene:B456_004G105500 transcript:KJB23568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEKPAACVLDASTYVGFWILKGLLNRGYTVHAAIQIKGSETDIVKKIKEMERVEERLSVFSVDTLDYHSILVALKGCSALFCCLDCPDGYDDLIVDLEVRGAINVVEACAQTDSMQKIVFTSSLTAAIWRENICSQTDVDERCWSDQDFCRKMKVSKMKPLKFEPIFFPAPIE >KJB23570 pep chromosome:Graimondii2_0_v6:4:21404658:21406266:1 gene:B456_004G105500 transcript:KJB23570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIVFTSSLTAAIWRENICSQTDVDERCWSDQDFCRKMKLWYALAKTLSEQAAWALAMDRMLNMVSVNAGLVVGPAVAQQNPCSTMSYLKGAAEMFENGVLAVVDVKFLADVHIRAFEDSSTIGRYFCFNQIVHTEEEAVKLVETLSPLLSSQPKYECQGSEAYAERLRTKKLNKLVEGTA >KJB23567 pep chromosome:Graimondii2_0_v6:4:21404658:21406266:1 gene:B456_004G105500 transcript:KJB23567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEKPAACVLDASTYVGFWILKGLLNRGYTVHAAIQIKETDIVKKIKEMERVEERLSVFSVDTLDYHSILVALKGCSALFCCLDCPDGYDDLIVDLEVRGAINVVEACAQTDSMQKIVFTSSLTAAIWRENICSQTDVDERCWSDQDFCRKMKLWYALAKTLSEQAAWALAMDRMLNMVSVNAGLVVGPAVAQQNPCSTMSYLKGAAEMFENGVLAVVDVKFLADVHIRAFEDSSTIGRYFCFNQIVHTEEEAVKLVETLSPLLSSQPKYECQGSEAYAERLRTKKLNKLVEGTA >KJB23571 pep chromosome:Graimondii2_0_v6:4:21404658:21406266:1 gene:B456_004G105500 transcript:KJB23571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEKPAACVLDASTYVGFWILKGLLNRGYTVHAAIQIKGSETDIVKKIKEMERVEERLSVFSVDTLDYHSILVALKGCSALFCCLDCPDGYDDLIVDLEVRGAINVVEACAQTDSMQKIVFTSSLTAAIWRENICSQTDVDERCWSDQDFCRKMKLWYALAKTLSEQAAWALAMDRMLNMVSVNAGLVVGPAVAQQNPCSTMSYLKGIKYANKLRTPSETIPCLQLCFISI >KJB23563 pep chromosome:Graimondii2_0_v6:4:21404578:21406916:1 gene:B456_004G105500 transcript:KJB23563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEKPAACVLDASTYVGFWILKGLLNRGYTVHAAIQIKGSETDIVKKIKEMERVEERLSVFSVDTLDYHSILVALKGCSALFCCLDCPDGYDDLIVDLEVRGAINVVEACAQTDSMQKIVFTSSLTAAIWRENICSQTDVDERCWSDQDFCRKMKLWYALAKTLSEQAAWALAMDRMLNMVSVNAGLVVGPAVAQQNPCSTMSYLKGAAEMFENGVLAVVDVKFLADVHIRAFEDSSTIGRYFCFNQIVHTEEEAVKLVETLSPLLSSQPKYECQGSEAYAERLRTKKLNKLVEGTA >KJB23566 pep chromosome:Graimondii2_0_v6:4:21404658:21406266:1 gene:B456_004G105500 transcript:KJB23566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVEERLSVFSVDTLDYHSILVALKGCSALFCCLDCPDGYDDLIVDLEVRGAINVVEACAQTDSMQKIVFTSSLTAAIWRENICSQTDVDERCWSDQDFCRKMKLWYALAKTLSEQAAWALAMDRMLNMVSVNAGLVVGPAVAQQNPCSTMSYLKGAAEMFENGVLAVVDVKFLADVHIRAFEDSSTIGRYFCFNQIVHTEEEAVKLVETLSPLLSSQPKYECQGSEAYAERLRTKKLNKLVEGTA >KJB23569 pep chromosome:Graimondii2_0_v6:4:21404658:21406266:1 gene:B456_004G105500 transcript:KJB23569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIVFTSSLTAAIWRENICSQTDVDERCWSDQDFCRKMKLWYALAKTLSEQAAWALAMDRMLNMVSVNAGLVVGPAVAQQNPCSTMSYLKGAAEMFENGVLAVVDVKFLADVHIRAFEDSSTIGRYFCFNQIVHTEEEAVKLVETLSPLLSSQPKYECQGSEAYAERLRTKKLNKLVEGTA >KJB23564 pep chromosome:Graimondii2_0_v6:4:21404658:21406266:1 gene:B456_004G105500 transcript:KJB23564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEKPAACVLDASTYVGFWILKGLLNRGYTVHAAIQIKGSETDIVKKIKEMERVEERLSVFSVDTLDYHSILVALKGCSALFCCLDCPDGYDDLIVDLEVRGAINVVEACAQTDSMQKIVFTSSLTAAIWRENICSQTDVDERCWSDQDFCRKMKLWYALAKTLSEQAAWALAMDRMLNMVSVNAGLVVGPAVAQQNPCSTMSYLKGAAEMFENGVLAVVDVKFLADVHIRAFEDSSTIGRYFCFNQIVHTEEEAVKLVETLSPLLSSQPK >KJB23565 pep chromosome:Graimondii2_0_v6:4:21404722:21405716:1 gene:B456_004G105500 transcript:KJB23565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEKPAACVLDASTYVGFWILKGLLNRGYTVHAAIQIKGSETDIVKKIKEMERVEERLSVFSVDTLDYHSILVALKGCSALFCCLDCPDGYDDLIVDLEVRGAINVVEACAQTDSMQKIVFTSSLTAAIWRENICSQTDVDERCWSDQDFCRKMKLWYALAKTLSEQAAWALAMDRMLNMVSVNAGLVVGPAVAQQNPCSTMSYLKGIKYANKLRTPSETIPCLQLCFISI >KJB25927 pep chromosome:Graimondii2_0_v6:4:54982491:54985416:-1 gene:B456_004G216300 transcript:KJB25927 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex catalytic subunit NAA20 [Source:Projected from Arabidopsis thaliana (AT1G03150) UniProtKB/Swiss-Prot;Acc:Q8LGI8] MTTIRRFCCNDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFQVAEGPGNRVMGYIMGKVEGQGESWHGHVTAVTIAPEYRRQRLAKKLMNLLEEISDKIDKAYFVDLFVRASNTPAIKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSRDVEKKSMIPLKRPIRPDELEYDSI >KJB27305 pep chromosome:Graimondii2_0_v6:4:61920989:61926286:-1 gene:B456_004G290000 transcript:KJB27305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIVGERYKLGRKIGSGSFGEIFLATHIETGETVAVKIENRQTKHPQLLYEAKLYNILQGGSGIAHIKWCGVNGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMIARIEFMHAKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDPNTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFQDLFTREGYESDYIFDWTILKYQQTQRTKTHPQSSDVQPFSGTRNSQAMTTDKLKGIKDASYSGEVMEHRGPSNLGRPDVRMQFRPSVNQNMGEKHMGSNTAIPSTSFARSGALKKSQPKAEGPTEATNNGRGHGNKTGASSSWMPSFHRISSTK >KJB27304 pep chromosome:Graimondii2_0_v6:4:61922482:61926058:-1 gene:B456_004G290000 transcript:KJB27304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIVGERYKLGRKIGSGSFGEIFLATHIETGETVAVKIENRQTKHPQLLYEAKLYNILQGGSGIAHIKWCGVNGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMIARIEFMHAKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDPNTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFQDLFTREGYESDYIFDWTILKYQQTQRTKTHPQSSDVQPFSGTRNSQAMTTDKLKGIKDASYSGEVMEHRGPSNLGRPDVRMQFRPSVNQNMGEKHMGSNTAIPSTSFARSGALKKSQPKAEGPTEATNNGRGHGNKTGASSSWMPSFHRISSTK >KJB27306 pep chromosome:Graimondii2_0_v6:4:61921416:61926286:-1 gene:B456_004G290000 transcript:KJB27306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIVGERYKLGRKIGSGSFGEIFLATHIETGETVAENRQTKHPQLLYEAKLYNILQGGSGIAHIKWCGVNGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMIARIEFMHAKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDPNTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFQDLFTREGYESDYIFDWTILKYQQTQRTKTHPQSSDVQPFSGTRNSQAMTTDKLKGIKDASYSGEVMEHRGPSNLGRPDVRMQFRPSVNQNMGEKHMGSNTAIPSTSFARSGALKKSQPKAEGPTEATNNGRGHGNKTGASSSWMPSFHRISSTK >KJB22360 pep chromosome:Graimondii2_0_v6:4:3730049:3731115:-1 gene:B456_004G043100 transcript:KJB22360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMESVPKVSPTPTLGAGGLHNGDQAAAKTWCGSDFQMPLHYPRYTEADYEAMPEWKLDCLLKEYGLPITGDVEQKRSFAMGAFLWPR >KJB23780 pep chromosome:Graimondii2_0_v6:4:26952149:26954834:1 gene:B456_004G114600 transcript:KJB23780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLYQFYVPTNPSAFLQCHNGHTICSTCKTRVHHRCPTCRQELGDIRCLALEKVAESLEMPCKYYNLGCPEIFPYYSKLKHEAMCNYRPYNCPYAGSECSVVGDIPFLVGHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDETEARNYSYSLEVGANGRKLIFEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRVWKEQQNPDTGVCIPNLCS >KJB23778 pep chromosome:Graimondii2_0_v6:4:26952149:26954834:1 gene:B456_004G114600 transcript:KJB23778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDNIECVSTTDGINEDEIHHHNLQHPHPHHAHHQFSSSKPHNATNTTNNGNTTTNNIVGPTAIAPATSVHELLECPVCTNSMYPPIHQCHNGHTICSTCKTRVHHRCPTCRQELGDIRCLALEKVAESLEMPCKYYNLGCPEIFPYYSKLKHEAMCNYRPYNCPYAGSECSVVGDIPFLVGHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVCFIILLWHLLFFQRVPLF >KJB23779 pep chromosome:Graimondii2_0_v6:4:26952149:26954834:1 gene:B456_004G114600 transcript:KJB23779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPIHQCHNGHTICSTCKTRVHHRCPTCRQELGDIRCLALEKVAESLEMPCKYYNLGCPEIFPYYSKLKHEAMCNYRPYNCPYAGSECSVVGDIPFLVGHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDETEARNYSYSLEVGANGRKLIFEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRVWKEQQNPDTGVCIPNLCS >KJB23777 pep chromosome:Graimondii2_0_v6:4:26952113:26954917:1 gene:B456_004G114600 transcript:KJB23777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDNIECVSTTDGINEDEIHHHNLQHPHPHHAHHQFSSSKPHNATNTTNNGNTTTNNIVGPTAIAPATSVHELLECPVCTNSMYPPIHQCHNGHTICSTCKTRVHHRCPTCRQELGDIRCLALEKVAESLEMPCKYYNLGCPEIFPYYSKLKHEAMCNYRPYNCPYAGSECSVVGDIPFLVGHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDETEARNYSYSLEVGANGRKLIFEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRVWKEQQNPDTGVCIPNLCS >KJB23781 pep chromosome:Graimondii2_0_v6:4:26953339:26954834:1 gene:B456_004G114600 transcript:KJB23781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCKYYNLGCPEIFPYYSKLKHEAMCNYRPYNCPYAGSECSVVGDIPFLVGHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDETEARNYSYSLEVGANGRKLIFEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRVWKEQQNPDTGVCIPNLCS >KJB22495 pep chromosome:Graimondii2_0_v6:4:4598500:4600011:1 gene:B456_004G050300 transcript:KJB22495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWWDNSYHEAKKLLISPTMKQPFHLLATLLLSLWLPLAFLLVARLSYVNYTLDATVLDPSSTPSPPSFLFSFYLYTNPALLYFLVAAISIVALVHGLTGKVSFVTESPSSFHRPHLRIAWIILCILQVSVGLGIEGSVAVGINGAGFGVQRSVLSRLIFFLGLHEVMLVWFRTVVKPVVDDSIFGVVSEEKWVHRAAIALSVGTLWWWKLRDEVESLVVVAEAKKELSMEIEMADFLGWWLYYLTVTIGMVRIVKALLWVGFALLCRGVRRNIDDEIIAMEEEDQDKV >KJB25914 pep chromosome:Graimondii2_0_v6:4:54845697:54849559:-1 gene:B456_004G215600 transcript:KJB25914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNKVFQCLLDIFPQVDSRILKAVAIENSKDVDAAAEIVLSEIIPYLSKRTAVASSSLQNQSHRIESNEEEEESNQLRQRKVSAGKGTSSSTEPLLETREVVGDTCLTDSSSNVDSLEAQNAATTSKFHDNNNNEAADIETEELILLGNTEIQKSNSSFSWNASEVDNSLLYANLETKELGSSSQDRTTDIEDGFLRTPQVSPFTSADDTAPLLENDSSNDKLNFDGPVDLNEDLCRSSSFNGTMVGEENAVSMLVLSYSQEQLPESFVGLKSQQGCIGEMSDVEDETFNAVVSRSSQTCRIDLLEEIIEDAKDNKKILFQTMQSIMNLMKEVELQEAAAEQAKEGAARGGMDILVKVEELKQMLPHAKEANDMHAGEVYGEKAILVTEVKELENRLLSLSDERDKSLAILDEMRQTLEARQSAAQELMKAAEQEKLEKEESARNALAEQEAIMVKMVKESKILRQEAEENSKLREFLMDRGQIIDSLQGEISVICEDIRLLKQKFDDRIPLSKSISSSQTSCILASSGSSLKSRSSDLGSGQWETAKTPEKRSPTPSVDGQSPKSRSSDKRSKADGKELSDDGWEIFDKDAEF >KJB25915 pep chromosome:Graimondii2_0_v6:4:54845697:54850123:-1 gene:B456_004G215600 transcript:KJB25915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNKVFQCLLDIFPQVDSRILKAVAIENSKDVDAAAEIVLSEIIPYLSKRTAVASSSLQNQSHRIESNEDAAIEEEESNQLRQRKVSAGKGTSSSTEPLLETREVVGDTCLTDSSSNVDSLEAQNAATTSKFHDNNNNEAADIETEELILLGNTEIQKSNSSFSWNASEVDNSLLYANLETKELGSSSQDRTTDIEDGFLRTPQVSPFTSADDTAPLLENDSSNDKLNFDGPVDLNEDLCRSSSFNGTMVGEENAVSMLVLSYSQEQLPESFVGLKSQQGCIGEMSDVEDETFNAVVSRSSQTCRIDLLEEIIEDAKDNKKILFQTMQSIMNLMKEVELQEAAAEQAKEGAARGGMDILVKVEELKQMLPHAKEANDMHAGEVYGEKAILVTEVKELENRLLSLSDERDKSLAILDEMRQTLEARQSAAQELMKAAEQEKLEKEESARNALAEQEAIMVKMVKESKILRQEAEENSKLREFLMDRGQIIDSLQGEISVICEDIRLLKQKFDDRIPLSKSISSSQTSCILASSGSSLKSRSSDLGSGQWETAKTPEKRSPTPSVDGQSPKSRSSDKRSKADGKELSDDGWEIFDKDAEF >KJB25916 pep chromosome:Graimondii2_0_v6:4:54847539:54849540:-1 gene:B456_004G215600 transcript:KJB25916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNKVFQCLLDIFPQVDSRILKAVAIENSKDVDAAAEIVLSEIIPYLSKRTAVASSSLQNQSHRIESNEDAAIEEEESNQLRQRKVSAGKGTSSSTEPLLETREVVGDTCLTDSSSNVDSLEAQNAATTSKFHDNNNNEAADIETEELILLGNTEIQKSNSSFSWNASEVDNSLLYANLETKELGSSSQDRTTDIEDGFLRTPQVSPFTSADDTAPLLENDSSNDKLNFDGPVDLNEDLCRSSSFNGTMVGEENAVSMLVLSYSQEQLPESFVGLKSQQGCIGEMSDVEDETFNAVVSRSSQTCRIDLLEEIIEDAKDNKKILFQTMQSIMNLMKEVELQEAAAEQAKEGAARGGMDILVKVEELKQMLPHAKEANDMHAGEVYGEKAILVTEVKELENRLLSLSDERDKSLAILDEVILENIKPGT >KJB25913 pep chromosome:Graimondii2_0_v6:4:54846830:54849559:-1 gene:B456_004G215600 transcript:KJB25913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNKVFQCLLDIFPQVDSRILKAVAIENSKDVDAAAEIVLSEIIPYLSKRTAVASSSLQNQSHRIESNEDAAIEEEESNQLRQRKVSAGKGTSSSTEPLLETREVVGDTCLTDSSSNVDSLEAQNAATTSKFHDNNNNEAADIETEELILLGNTEIQKSNSSFSWNASEVDNSLLYANLETKELGSSSQDRTTDIEDGFLRTPQVSPFTSADDTAPLLENDSSNDKLNFDGPVDLNEDLCRSSSFNGTMVGEENAVSMLVLSYSQEQLPESFVGLKSQQGCIGEMSDVEDETFNAVVSRSSQTCRIDLLEEIIEDAKDNKKILFQTMQSIMNLMKEVELQEAAAEQAKEGAARGGMDILVKVEELKQMLPHAKEANDMHAGEVYGEKAILVTEVKELENRLLSLSDERDKSLAILDEVILENIKPGT >KJB22516 pep chromosome:Graimondii2_0_v6:4:4772134:4772928:-1 gene:B456_004G052000 transcript:KJB22516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVINNVVLFVGIFCLYLSFSPPINAADGNNPLVAQACEKVKAKDLCISSLMAEHASKSADLAMLALISIKVASNNGTNTSFYIKKTLDTKKLEPAVEQNFQDCEDNYISATQQLDGAVSSLVSKNYKDTKMFLESAIDDAITCDNGLRKMPGNQLELPHRNIMFRQLCINALDLVLFLTTS >KJB26669 pep chromosome:Graimondii2_0_v6:4:59943596:59956243:1 gene:B456_004G264200 transcript:KJB26669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDQATRGEKKLKEEEQRLRKVALNISKDVKKFWMKIEKLVLYKHQMELDEKKKKTLDKQLEFILGQTERYSTMLAENLVDPYKPVQQHPAQNQINSPGKADMNEIDEPLDLNADADEDFSIHSDQESEDDEQTLEDDEALITAEERQEELAALHSEIDLPLEELLKRYAGETADGECSPDTREDRHECTSGQDDSSKGNGSLISPSTPSSKVETTNLLVRRSNESNGGLSKSENYSSDIEASSPRNLSESSGELPKDVSYDFSDEQEDGDFTLTGEETVHHMDDETTLLEEEELAKADSSNPIDEIALLQKESEIPVEELLRRYKKDFSADGASGDESDYASAFSEDILDSSTMHQNVEAKEGVSKDENLESSAPQGVEHPPAEKEAASPDRKSEDGMESENRIADAAAAARSAQPTGNTFLTTNVRTKFPFLLKHPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLRWCPAFKILTYFGSAKERKLKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFCNPISGMIEGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKHEHVIYCRLSRRQRNLYEDFIASSETQATLASANFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMGGINIQLSSSVCSIISPGPFSTVDLKGLGILFTDIDFSMTSWESDEVEALVTPKSLIEERVDQDNLEEIRPLSRHKGNLHETNIFEEIRKALREERLREAKERAAAIAWWNSLRCRKKPVYSTTLLELVSVKHPAFDIHRKKADNRSYLYSSKLAEIVLSPVERFQTMIHLVESFMFAIPAARAPAPVCWCSKTGTSVFLHPTFVEKCAANLFALLTPIRPAIVRRQVYFPDKRLIQFDCGKLQELEILLRRLKSEGHRALIFTQMTKMLDILEAFINIYGYTYMRLDGSTQPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHRTLSVKSQKEKNLNSGIKDSVSNADVEAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAMSKVEDDEFANEDDMKADESTDQAGCMITSNKDDGLILNGSGSIEDKALILASKEDDVDMLADVKKMAAAAAAEGQAIPSLENQLRPIDRYAIRFLEVWDPIIDKGETEPEIGFEEAEWELDRIEKYKEEMEAEIDDDEEPLVYEKWDADFATEAYRQQVALAQHQLMEELEHEAKEKEEADEANFDAMNEMTSEPKAKSKKKKKPKKAKFKSLKKGSLNSEVKPAKQGTKAEPMSIDDDVDSPEELSYSDITPPSSNMQKKRKKVEIVHDSEEAKSSKKPKKLKKPSELHPGECVEVKPCESLSVELEPKPASRSKTGGKFSITSMPMKRVLMIKPEKLKKGNIWSKDCVPSPDSWLAQEDAILCAVVHEYGPSWSLVSDTLYSMAAGGFYRGRYRHPVHCCERFRELIQRHVFAAPDSLGNEKISYAGSGKALLKVTEDNIRMLLTFAATQPDHELLLQKHFTFLLSSVWRVTHRPEHQQNVSSARNGVRLGGRFLSPFLGHTPQRSAQEAAQRMKFTNLRECSKLLSAALHDASNRQWSEAGFLSDREDSQVIEESLELTLEIQRENDDSMIPFPRVMNLSVYGPNPATSINKTAGEDHLKASSVLAENRFRAATMAGVEGGQHWASAAFPANDSKTRSGSKLQSLGKHKLPASDTARPKSKLKKASTEHSDVPNLHAVQVFQPVSTIASKDPNLRCDPTLVTNDGSWTNGVGSNFCSSMDEAFPSEVESLDIVPHNYTPGFISGLDDCSMLPDYTDIG >KJB26670 pep chromosome:Graimondii2_0_v6:4:59938122:59956863:1 gene:B456_004G264200 transcript:KJB26670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWLSKDFESERKWKLAQAKKVALRASKGMLDQATRGEKKLKEEEQRLRKVALNISKDVKKFWMKIEKLVLYKHQMELDEKKKKTLDKQLEFILGQTERYSTMLAENLVDPYKPVQQHPAQNQINSPGKADMNEIDEPLDLNADADEDFSIHSDQESEDDEQTLEDDEALITAEERQEELAALHSEIDLPLEELLKRYAGETADGECSPDTREDRHECTSGQDDSSKGNGSLISPSTPSSKVETTNLLVRRSNESNGGLSKSENYSSDIEASSPRNLSESSGELPKDVSYDFSDEQEDGDFTLTGEETVHHMDDETTLLEEEELAKADSSNPIDEIALLQKESEIPVEELLRRYKKDFSADGASGDESDYASAFSEDILDSSTMHQNVEAKEGVSKDENLESSAPQGVEHPPAEKEAASPDRKSEDGMESENRIADAAAAARSAQPTGNTFLTTNVRTKFPFLLKHPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLRWCPAFKILTYFGSAKERKLKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFCNPISGMIEGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKHEHVIYCRLSRRQRNLYEDFIASSETQATLASANFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMGGINIQLSSSVCSIISPGPFSTVDLKGLGILFTDIDFSMTSWESDEVEALVTPKSLIEERVDQDNLEEIRPLSRHKGNLHETNIFEEIRKALREERLREAKERAAAIAWWNSLRCRKKPVYSTTLLELVSVKHPAFDIHRKKADNRSYLYSSKLAEIVLSPVERFQTMIHLVESFMFAIPAARAPAPVCWCSKTGTSVFLHPTFVEKCAANLFALLTPIRPAIVRRQVYFPDKRLIQFDCGKLQELEILLRRLKSEGHRALIFTQMTKMLDILEAFINIYGYTYMRLDGSTQPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHRTLSVKSQKEKNLNSGIKDSVSNADVEAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAMSKVEDDEFANEDDMKADESTDQAGCMITSNKDDGLILNGSGSIEDKALILASKEDDVDMLADVKKMAAAAAAEGQAIPSLENQLRPIDRYAIRFLEVWDPIIDKGETEPEIGFEEAEWELDRIEKYKEEMEAEIDDDEEPLVYEKWDADFATEAYRQQVALAQHQLMEELEHEAKEKEEADEANFDAMNEMTSEPKAKSKKKKKPKKAKFKSLKKGSLNSEVKPAKQGTKAEPMSIDDDVDSPEELSYSDITPPSSNMQKKRKKVEIVHDSEEAKSSKKPKKLKKPSELHPGECVEVKPCESLSVELEPKPASRSKTGGKFSITSMPMKRVLMIKPEKLKKGNIWSKDCVPSPDSWLAQEDAILCAVVHEYGPSWSLVSDTLYSMAAGGFYRGRYRHPVHCCERFRELIQRHVFAAPDSLGNEKISYAGSGKALLKVTEDNIRMLLTFAATQPDHELLLQKHFTFLLSSVWRVTHRPEHQQNVSSARNGVRLGGRFLSPFLGHTPQRSAQEAAQRMKFTNLRECSKLLSAALHDASNRQWSEAGFLSDREDSQVIEESLELTLEIQRENDDSMIPFPRVMNLSVYGPNPATSINKTAGEDHLKASSVLAENRFRAATMAGVEGGQHWASAAFPANDSKTRSGSKLQSLGKHKLPASDTARPKSKLKKASTEHSDVPNLHAVQVFQPVSTIASKDPNLRCDPTLVTNDGSWTNGVGSNFCSSMDEAFPSEVESLDIVPHNYTPGFISGLDDCSMLPDYTDIG >KJB26667 pep chromosome:Graimondii2_0_v6:4:59938105:59956863:1 gene:B456_004G264200 transcript:KJB26667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGLRPKLEHETKARRQKALEAHKEPQRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVALRASKGMLDQATRGEKKLKEEEQRLRKVALNISKDVKKFWMKIEKLVLYKHQMELDEKKKKTLDKQLEFILGQTERYSTMLAENLVDPYKPVQQHPAQNQINSPGKADMNEIDEPLDLNADADEDFSIHSDQESEDDEQTLEDDEALITAEERQEELAALHSEIDLPLEELLKRYAGETADGECSPDTREDRHECTSGQDDSSKGNGSLISPSTPSSKVETTNLLVRRSNESNGGLSKSENYSSDIEASSPRNLSESSGELPKDVSYDFSDEQEDGDFTLTGEETVHHMDDETTLLEEEELAKADSSNPIDEIALLQKESEIPVEELLRRYKKDFSADGASGDESDYASAFSEDILDSSTMHQNVEAKEGVSKDENLESSAPQGVEHPPAEKEAASPDRKSEDGMESENRIADAAAAARSAQPTGNTFLTTNVRTKFPFLLKHPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLRWCPAFKILTYFGSAKERKLKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFCNPISGMIEGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKHEHVIYCRLSRRQRNLYEDFIASSETQATLASANFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMGGINIQLSSSVCSIISPGPFSTVDLKGLGILFTDIDFSMTSWESDEVEALVTPKSLIEERVDQDNLEEIRPLSRHKGNLHETNIFEEIRKALREERLREAKERAAAIAWWNSLRCRKKPVYSTTLLELVSVKHPAFDIHRKKADNRSYLYSSKLAEIVLSPVERFQTMIHLVESFMFAIPAARAPAPVCWCSKTGTSVFLHPTFVEKCAANLFALLTPIRPAIVRRQVYFPDKRLIQFDCGKLQELEILLRRLKSEGHRALIFTQMTKMLDILEAFINIYGYTYMRLDGSTQPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHRTLSVKSQKEKNLNSGIKDSVSNADVEAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAMSKVEDDEFANEDDMKADESTDQAGCMITSNKDDGLILNGSGSIEDKALILASKEDDVDMLADVKKMAAAAAAEGQAIPSLENQLRPIDRYAIRFLEVWDPIIDKGETEPEIGFEEAEWELDRIEKYKEEMEAEIDDDEEPLVYEKWDADFATEAYRQQVALAQHQLMEELEHEAKEKEEADEANFDAMNEMTSEPKAKSKKKKKPKKAKFKSLKKGSLNSEVKPAKQGTKAEPMSIDDDVDSPEELSYSDITPPSSNMQKKRKKVEIVHDSEEAKSSKKPKKLKKPSELHPGECVEVKPCESLSVELEPKPASRSKTGGKFSITSMPMKRVLMIKPEKLKKGNIWSKDCVPSPDSWLAQEDAILCAVVHEYGPSWSLVSDTLYSMAAGGFYRGRYRHPVHCCERFRELIQRHVFAAPDSLGNEKISYAGSGKALLKVTEDNIRMLLTFAATQPDHELLLQKHFTFLLSSVWRVTHRPEHQQNVSSARNGVRLGGRFLSPFLGHTPQRSAQEAAQRMKFTNLRECSKLLSAALHDASNRQWSEAGFLSDREDSQVIEESLELTLEIQRENDDSMIPFPRVMNLSVYGPNPATSINKTAGEDHLKASSVLAENRFRAATMAGVEGGQHWASAAFPANDSKTRSGSKLQSLGKHKLPASDTARPKSKLKKASTEHSDVPNLHAVQVFQPVSTIASKDPNLRCDPTLVTNDGSWTNGVGSNFCSSMDEAFPSEVESLDIVPHNYTPGFISGLDDCSMLPDYTDIG >KJB26668 pep chromosome:Graimondii2_0_v6:4:59938122:59955653:1 gene:B456_004G264200 transcript:KJB26668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGLRPKLEHETKARRQKALEAHKEPQRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVALRASKGMLDQATRGEKKLKEEEQRLRKVALNISKDVKKFWMKIEKLVLYKHQMELDEKKKKTLDKQLEFILGQTERYSTMLAENLVDPYKPVQQHPAQNQINSPGKADMNEIDEPLDLNADADEDFSIHSDQESEDDEQTLEDDEALITAEERQEELAALHSEIDLPLEELLKRYAGETADGECSPDTREDRHECTSGQDDSSKGNGSLISPSTPSSKVETTNLLVRRSNESNGGLSKSENYSSDIEASSPRNLSESSGELPKDVSYDFSDEQEDGDFTLTGEETVHHMDDETTLLEEEELAKADSSNPIDEIALLQKESEIPVEELLRRYKKDFSADGASGDESDYASAFSEDILDSSTMHQNVEAKEGVSKDENLESSAPQGVEHPPAEKEAASPDRKSEDGMESENRIADAAAAARSAQPTGNTFLTTNVRTKFPFLLKHPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLRWCPAFKILTYFGSAKERKLKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFCNPISGMIEGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKHEHVIYCRLSRRQRNLYEDFIASSETQATLASANFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMGGINIQLSSSVCSIISPGPFSTVDLKGLGILFTDIDFSMTSWESDEVEALVTPKSLIEERVDQDNLEEIRPLSRHKGNLHETNIFEEIRKALREERLREAKERAAAIAWWNSLRCRKKPVYSTTLLELVSVKHPAFDIHRKKADNRSYLYSSKLAEIVLSPVERFQTMIHLVESFMFAIPAARAPAPVCWCSKTGTSVFLHPTFVEKCAANLFALLTPIRPAIVRRQVYFPDKRLIQFDCGKLQELEILLRRLKSEGHRALIFTQMTKMLDILEAFINIYGYTYMRLDGSTQPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHRTLSVKSQKEKNLNSGIKDSVSNADVEAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAMSKVEDDEFANEDDMKADESTDQAGCMITSNKDDGLILNGSGSIEDKALILASKEDDVDMLADVKKMAAAAAAEGQAIPSLENQLRPIDRYAIRFLEVWDPIIDKGETEPEIGFEEAEWELDRIEKYKEEMEAEIDDDEEPLVYEKWDADFATEAYRQQVALAQHQLMEELEHEAKEKEEADEANFDAMNEMTSEPKAKSKKKKKPKKAKFKSLKKGSLNSEVKPAKQGTKAEPMSIDDDVDSPEELSYSDITPPSSNMQKKRKKVEIVHDSEEAKSSKKPKKLKKPSELHPGECVEVKPCESLSVELEPKPASRSKTGGKFSITSMPMKRVLMIKPEKLKKGNIWSKDCVPSPDSWLAQEDAILCAVVHEYGPSWSLVSDTLYSMAAGGFYRGRYRHPVHCCERFRELIQRHVFAAPDSLGNEKISYAGSGKALLKVTEDNIRMLLTFAATQPDHELLLQKHFTFLLSSVWRVTHRPEHQQNVSSARNGVRLGGRFLSPFLGHTPQRSAQEAAQRMKFTNLRECSKLLSAALHDASNRQWSEAGFLSDREDSQVIEESLELTLEIQRENDDSMIPFPRVMNLSVYGPNPATSINKTAGEDHLKASSVLAENRFRYSCLPYLQIKSERSICPCESLARSYLV >KJB25726 pep chromosome:Graimondii2_0_v6:4:53637258:53640223:1 gene:B456_004G206000 transcript:KJB25726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYSSSSSSMASKLAFTLMPPRFFTATIQKPVVCFSSSSSSSSSSSSSSTRVQPSGKHLCFRRRLLLLPLKATADQQGQVEGDEVVDSKILPYCSIDKKEKKSLGEMEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGKPILSDEEFDELKMRLKMEGSEIVVEGPRCSLRSRKVYSDLSVDYLKMFLLNVPATVVALGLFFFLDDLTGFEITYLLELPEPFSFIFTWFAAVPLIVYLAQSLTKVVVKDSLILKGPCPNCGTENVSFFGTILSISSGGTTNTLKCSNCETTLEYNAKTRLITLPEGSQA >KJB26944 pep chromosome:Graimondii2_0_v6:4:60260813:60270713:1 gene:B456_004G267500 transcript:KJB26944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIEELSQLSDSMRQAAALLADEDVDENSSSKRSSTFLNVVALGNVGAGKSAVLNSLIGHPILPTGENGATRAPISIDLQKDGSISSKSIILQIDNKSQQVSASALRHSLQDRLSKSSSGKNRDEIYLKLRTSTAPPLKLIDLPGLDQRIMDESMISDYAERNDAILLVIVPAAQAPEIASSRALRLAKEYDGEGTRTIGIISKIDQAASEQKALAAVQALLLNQGPPKTADIPWVALIGQSVSIASAQSGSENSLETAWRAEFETLKSILTGAPQSKLGRIALVDALAQQIRKRMKVRLPNLLSGLQGKSQIVQDELVRLGEQMVQSAEGTRAIALELCREFEDRFLQHITTGEGSGWKIVASFEGNFPNRIKQLPIDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPARLCVDEVHRVLIDIVSAAANATPGLGRYPPFKREVVAIASAALDGFKIEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKNRSSKKGHEAEQGMLNRAPSPQPGGQQTGGTLKSLKEKFGQGEKEVQEGSALKVAGADKEITAGFLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEEKHFRGVIVLEECSIEEIPDEEEPAPKSSKDKKANGSDKQPSLVFKITSKVPYKTVLKAHSAVVLKAESMADKTEWLNKLSKVIQPSTGPVKGGPDGTPGMRQSRSDGSLDTMPRRPVDPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSVSAQSTNRIEELLQEDQNVKRRRERFQKQSSLLSKLTRQLSIHDNRAASASDWSDSGAGAGA >KJB26946 pep chromosome:Graimondii2_0_v6:4:60260813:60271522:1 gene:B456_004G267500 transcript:KJB26946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIEELSQLSDSMRQAAALLADEDVDENSSSKRSSTFLNVVALGNVGAGKSAVLNSLIGHPILPTGENGATRAPISIDLQKDGSISSKSIILQIDNKSQQVSASALRHSLQDRLSKSSSGKNRDEIYLKLRTSTAPPLKLIDLPGLDQRIMDESMISDYAERNDAILLVIVPAAQAPEIASSRALRLAKEYDGEGTRTIGIISKIDQAASEQKALAAVQALLLNQGPPKTADIPWVALIGQSVSIASAQSGSENSLETAWRAEFETLKSILTGAPQSKLGRIALVDALAQQIRKRMKVRLPNLLSGLQGKSQIVQDELVRLGEQMVQSAEGTRAIALELCREFEDRFLQHITTGEGSGWKIVASFEGNFPNRIKQLPIDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPARLCVDEVHRVLIDIVSAAANATPGLGRYPPFKREVVAIASAALDGFKIEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKNRSSKKGHEAEQGMLNRAPSPQPGGQQTGGTLKSLKEKFGQGEKEVQEGSALKVAGADKEITAGFLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEEKHFRGVIVLEECSIEEIPDEEEPAPKSSKDKKANGSDKQPSLVFKITSKVPYKTVLKAHSAVVLKAESMADKTEWLNKLSKVIQPSTGPVKGGPDGTPGMRQSRSDGSLDTMPRRPVDPEEELRWMSQEVRGYVEAVLNSLAANVPKQAVVLCQVEKAKEDMLNQLYSSVSAQSTNRIEELLQEDQNVKRRRERFQKQSSLLSKLTRQLSIHDNRAASASDWSDSGAGAESSPRTSGGSVGDDWRTAFDAAAANGPVDYRRSGSNGPTQNGDVNSRSGSNSRRTPNRMPPAPPQSGSGYKY >KJB26945 pep chromosome:Graimondii2_0_v6:4:60260813:60271522:1 gene:B456_004G267500 transcript:KJB26945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIEELSQLSDSMRQAAALLADEDVDENSSSKRSSTFLNVVALGNVGAGKSAVLNSLIGHPILPTGENGATRAPISIDLQKDGSISSKSIILQIDNKSQQVSASALRHSLQDRLSKSSSGKNRDEIYLKLRTSTAPPLKLIDLPGLDQRIMDESMISDYAERNDAILLVIVPAAQAPEIASSRALRLAKEYDGEGTRTIGIISKIDQAASEQKALAAVQALLLNQGPPKTADIPWVALIGQSVSIASAQSGSENSLETAWRAEFETLKSILTGAPQSKLGRIALVDALAQQIRKRMKVRLPNLLSGLQGKSQIVQDELVRLGEQMVQSAEGTRAIALELCREFEDRFLQHITTGEGSGWKIVASFEGNFPNRIKQLPIDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPARLCVDEVHRVLIDIVSAAANATPGLGRYPPFKREVVAIASAALDGFKIEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKNRSSKKGHEAEQGMLNRAPSPQPGGQQTGGTLKSLKEKFGQGEKEVQEGSALKVAGADKEITAGFLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEEKHFRGVIVLEECSIEEIPDEEEPAPKSSKDKKANGSDKQPSLVFKITSKVPYKTVLKAHSAVVLKAESMADKTEWLNKLSKVIQPSTGPVKGGPDGTPGMRQRPFIGWRIFYCISQ >KJB26943 pep chromosome:Graimondii2_0_v6:4:60260775:60271522:1 gene:B456_004G267500 transcript:KJB26943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIEELSQLSDSMRQAAALLADEDVDENSSSKRSSTFLNVVALGNVGAGKSAVLNSLIGHPILPTGENGATRAPISIDLQKDGSISSKSIILQIDNKSQQVSASALRHSLQDRLSKSSSGKNRDEIYLKLRTSTAPPLKLIDLPGLDQRIMDESMISDYAERNDAILLVIVPAAQAPEIASSRALRLAKEYDGEGTRTIGIISKIDQAASEQKALAAVQALLLNQGPPKTADIPWVALIGQSVSIASAQSGSENSLETAWRAEFETLKSILTGAPQSKLGRIALVDALAQQIRKRMKVRLPNLLSGLQGKSQIVQDELVRLGEQMVQSAEGTRAIALELCREFEDRFLQHITTGEGSGWKIVASFEGNFPNRIKQLPIDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPARLCVDEVHRVLIDIVSAAANATPGLGRYPPFKREVVAIASAALDGFKIEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKNRSSKKGHEAEQGMLNRAPSPQPGGQQTGGTLKSLKEKFGQGEKEVQEGSALKVAGADKEITAGFLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEEKHFRGVIVLEECSIEEIPDEEEPAPKSSKDKKANGSDKQPSLVFKITSKVPYKTVLKAHSAVVLKAESMADKTEWLNKLSKVIQPSTGPVKGGPDGTPGMRQSRSDGSLDTMPRRPVDPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSVSAQSTNRIEELLQEDQNVKRRRERFQKQSSLLSKLTRQLSIHDNRAASASDWSDSGAGAESSPRTSGGSVGDDWRTAFDAAAANGPVDYRRSGSNGPTQNGDVNSRSGSNSRRTPNRMPPAPPQSGSGYKY >KJB25017 pep chromosome:Graimondii2_0_v6:4:47305600:47312886:1 gene:B456_004G172400 transcript:KJB25017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGDIGGKPMKNLGGQVCQICGDNVGKNADGDPFIACGVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAILGDREEDGDADDGASDFNYSSENKNQNQKQKISERMLSWHATYGRGEDVGAPNYDKEVSGELSAASPERLSMASPGLGGKSNIRVVDPVREFGSPGLGNVAWKERVDGWKMKQEKNVVPMSTGQAASERGAGDIDASTDVLVDDSLLNDEARQPLSRKVSIPSSKINPYRMVIILRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSELAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKERRAMKREYEEFKVRVNGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFLMDPNLGKQVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHRKTGILSSLCGGSRKKSSKSSKKGSDKKKSGKHVDSTVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYSGRLKWLERFAYVNTTIYPVTAIPLLMYCTLPAVCLLTNKFIIPQISNLASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVEQCGINC >KJB25016 pep chromosome:Graimondii2_0_v6:4:47305986:47312917:1 gene:B456_004G172400 transcript:KJB25016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGDIGGKPMKNLGGQVCQICGDNVGKNADGDPFIACGVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAILGDREEDGDADDGASDFNYSSENKNQNQKQKISERMLSWHATYGRGEDVGAPNYDKEVSHNHIPLLTNGQEVSGELSAASPERLSMASPGLGGKSNIRVVDPVREFGSPGLGNVAWKERVDGWKMKQEKNVVPMSTGQAASERGAGDIDASTDVLVDDSLLNDEARQPLSRKVSIPSSKINPYRMVIILRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSELAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKERRAMKREYEEFKVRVNGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFLMDPNLGKQVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHRKTGILSSLCGGSRKKSSKSSKKGSDKKKSGKHVDSTVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYSGRLKWLERFAYVNTTIYPVTAIPLLMYCTLPAVCLLTNKFIIPQISNLASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVEQCGINC >KJB25019 pep chromosome:Graimondii2_0_v6:4:47305600:47312917:1 gene:B456_004G172400 transcript:KJB25019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGDIGGKPMKNLGGQVCQICGDNVGKNADGDPFIACGVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAILGDREEDGDADDGASDFNYSSENKNQNQKQKISERMLSWHATYGRGEDVGAPNYDKEVSHNHIPLLTNGQEVSGELSAASPERLSMASPGLGGKSNIRVVDPVREFGSPGLGNVAWKERVDGWKMKQEKNVVPMSTGQAASERGAGDIDASTDVLVDDSLLNDEARQPLSRKVSIPSSKINPYRMVIILRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSELAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKERRAMKREYEEFKVRVNGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFLMDPNLGKQVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHRKTGILSSLCGGSRKKSSKSSKKGSDKKKSGKHVDSTVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYSGRLKWLERFAYVNTTIYPVTAIPLLMYCTLPAVCLLTNKFIIPQISNLASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVEQCGINC >KJB25018 pep chromosome:Graimondii2_0_v6:4:47305600:47312886:1 gene:B456_004G172400 transcript:KJB25018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGDIGGKPMKNLGGQVCQICGDNVGKNADGDPFIACGVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAILGDREEDGDADDGASDFNYSSENKNQNQKQKISERMLSWHATYGRGEDVGAPNYDKEVSHNHIPLLTNGQEVSGELSAASPERLSMASPGLGGKSNIRVVDPVREFGSPGLGNVAWKERVDGWKMKQEKNVVPMSTGQAASERGAGDIDASTDVLVDDSLLNDEARQPLSRKVSIPSSKINPYRMVIILRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSELAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKERRAMKREYEEFKVRVNGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFLMDPNLGKQVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHRKTGILSSLCGGSRKKSSKSSKKGSDKKKSGKHVDSTVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYSGRLKWLERFAYVNTTIYPVTAIPLLMYCTLPAVCLLTNKFIIPQISNLASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVEQCGINC >KJB25020 pep chromosome:Graimondii2_0_v6:4:47306153:47312886:1 gene:B456_004G172400 transcript:KJB25020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGDIGGKPMKNLGGQVCQICGDNVGKNADGDPFIACGVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAILGDREEDGDADDGASDFNYSSENKNQNQKQKISERMLSWHATYGRGEDVGAPNYDKEVSHNHIPLLTNGQEVSGELSAASPERLSMASPGLGGKSNIRVVDPVREFGSPGLGNVAWKERVDGWKMKQEKNVVPMSTGQAASERGAGDIDASTDVLVDDSLLNDEARQPLSRKVSIPSSKINPYRMVIILRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSELAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKERRAMKREYEEFKVRVNGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFLMDPNLGKQVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHRKTGILSSLCGGSRKKSSKSSKKGSDKKKSGKHVDSTVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYSGRLKWLERFAYVNTTIYPVTAIPLLMYCTLPAVCLLTNKFIIPQISNLASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVEQCGINC >KJB25198 pep chromosome:Graimondii2_0_v6:4:49168345:49168983:-1 gene:B456_004G180700 transcript:KJB25198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FHFSILQIEPIPSGNLPPGFDPSTCRSVYVGNIHSQVTEPLLQEVFASTGPVEGCKLIRKENVLFSRFSLFLFSLYEAVRVHYNEYFIWSFNFLPLEGTFF >KJB23226 pep chromosome:Graimondii2_0_v6:4:11621776:11631534:-1 gene:B456_004G087300 transcript:KJB23226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWDWKFSNLVSLLFLGFALFLYFQFHTYPSPSSPLHIDNMAEDASPESIYDFSVKDIRGNDVSLSEYKGKVVLIVNVASKCGLTQSTYKELNVLYEKYKNQGFEILAFPCNQFGGQEPGTNEQIQEATCSMFKAEFPIFDKVEVNGKNAAPLYRFLKSEKGGYFGDAIKWNFTKFLVNKEGKVVERYAPTTSPLNIEKDIRDLLGSS >KJB23227 pep chromosome:Graimondii2_0_v6:4:11622045:11631534:-1 gene:B456_004G087300 transcript:KJB23227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWDWKFSNLVSLLFLGFALFLYFQFHTYPSPSSPLHIDNMAEDASPESIYDFSVKDIRGNDVSLSEYKGKVVLIVNVASKCGLTQSTYKELNVLYEKYKNQGFEILAFPCNQFGGQEPGTNEQIQEATCSMFKAEFPIFDKVEVNGKNAAPLYRFLKSEKGGYFGDAIKWNFTKFLVNKEGKVVERYAPTTSPLNIEKDIRDLLGSS >KJB23228 pep chromosome:Graimondii2_0_v6:4:11629280:11631421:-1 gene:B456_004G087300 transcript:KJB23228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWDWKFSNLVSLLFLGFALFLYFQFHTYPSPSSPLHIDNMAEDASPESIYDFSVKDIRGNDVSLSEYKGKVVLIVNVASKCGLTQSTYKELNVLYEKYKNQGFEILAFPCNQFGGQEPGTNEQIQEATCSMFKAEFPIFDKVEVNGKNAAPLYRFLKSEKGGYFGDAIKWNFTKFLVNKEGKVVERYAPTTSPLNIEKDIRDLLGSS >KJB23229 pep chromosome:Graimondii2_0_v6:4:11626517:11631534:-1 gene:B456_004G087300 transcript:KJB23229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWDWKFSNLVSLLFLGFALFLYFQFHTYPSPSSPLHIDNMAEDASPESIYDFSVKDIRGNDVSLSEYKGKVVLIVNVASKCGLTQSTYKELNVLYEKYKNQGFEILAFPCNQFGGQEPGTNEQIQEATCSMFKAEFPIFDKVEVNGKNAAPLYRFLKSEKGGYFGDAIKWNFTKFLVNKEGKVVERYAPTTSPLNIEKDIRDLLGSS >KJB25008 pep chromosome:Graimondii2_0_v6:4:47225717:47229570:1 gene:B456_004G171900 transcript:KJB25008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVRHYDTSWNSSTNWSIADGSLLHSVIFESSLASIAGSDQHEPALDDLTAVDSAPKPPLILCPTSPDSGPCEITITFAQSHEVRQIYVRSTARVYEIYYASKPQSSKEYLSTVRCGVACRDEEVLLAPNFDESAFAHLKGANTKLDEKTLKNDSNSKNDGNSNSNEDDWVEVKAPGTPLLYSGSNVSSNSVVHSGSTQDLYEATAEINDANPCTSITLRLLSLQNKGCVCVDELYVFADPVDIADSETEVSQMGNAGGNSLMAMLAPTLLQLSKTAGFHRIENEEVFGSKTKETKQEDGSKSTEPLNFRNEILQEVKPSLANQREVNLQEAVAATTEPNQHEIPPLKHVTIKPDVTCGHIERSLNELVSRVSRVEDLLLKFEENMLKPISSIDARLQRVEQQLGELTKNPKTSELPSCTKFSAPEFSCQNSDNYSCNTGNESDQLVDASHEKGISSPNQLDETIYPVNATQSFPRLVVTAPDFSNADDEDDDIASETGSDLSNADDEEDHVASETGSSKDKPKHTMSIDDALASALANFLSSTSIEIEKNIQAPTVKAPEILHEEDGIIDKKVFPASEPCCLDTRDGKDSTTALGPSNCSSERIGEVTCSLNEVDSEQAAKEVVEDYQKQGPCHETVGYIDTPAKHEHQIVGDMGNGEVSNATRKILVLDEMDILNQFLEDHIDDDSDVDVERAPGDKEIRGEVAKQDHHEDFLKNFLELSYASSVVNFETPILDVKFASEDNSINKSPLEALLSDMQVTGTAASCSKKSDDGSLEALLSDMQVTDTASSCSKSDDSSQPGEDCKLISVDEADVDGMPWNLEVENLEDYPACNNHEVAETNLI >KJB25850 pep chromosome:Graimondii2_0_v6:4:54479621:54484265:1 gene:B456_004G212000 transcript:KJB25850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLSLMAIDMISVGLQEDPVLLVPEDTKQKPYVAIIKDISQTKDGSIMVTGQWFYRPEEAEKKGGGSWPLNDTRELFYSFHRDEVPAESVMHKCVVHFVPIHKQIPNRKEHPGFIVQKVYDTVEQKLWKLTDKDYEDNKQHEIDLLVQKTLSRLGDLPDLEFDSTAAVFDQEEQLKAKRTLRKKNISPLDVTRNDEGSTRSDQSLKAETPGSCPSNTNEYYTILSKAKVLTGETHRDKWMTRLLQGVQYMCSCPDGLERERDIKSPRTANGSQEKSSNSGKSFTWPDAAVPAITALEKASHDALCSDFQKYNQKLRQLEFNLKNNALLARRLLNGELEPSTILNMSPNELKEGLTAEETAKKEPDESERMQMTDARCSRCMEFKVGLRDIIQAGHGDRYQLECGACGHSWYASRDEAASLTIEPSLPKLPVRSDQPQLSLKIQRRS >KJB25851 pep chromosome:Graimondii2_0_v6:4:54479621:54484378:1 gene:B456_004G212000 transcript:KJB25851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNRRVAQVSTSDDEDEVPMTRRRSRSEDKRPERKRKKMKLQDEDEEEDDEQMESNKRKKKKKQDEEEEEEPEEEGIQEDAKPIGKVVRVSGKGRGRRSHYESFEFDGNRYDLEDPVLLVPEDTKQKPYVAIIKDISQTKDGSIMVTGQWFYRPEEAEKKGGGSWPLNDTRELFYSFHRDEVPAESVMHKCVVHFVPIHKQIPNRKEHPGFIVQKVYDTVEQKLWKLTDKDYEDNKQHEIDLLVQKTLSRLGDLPDLEFDSTAAVFDQEEQLKAKRTLRKKNISPLDVTRNDEGSTRSDQSLKAETPGSCPSNTNEYYTILSKAKVLTGETHRDKWMTRLLQGVQYMCSCPDGLERERDIKSPRTANGSQEKSSNSGKSFTWPDAAVPAITALEKASHDALCSDFQKYNQKLRQLEFNLKNNALLARRLLNGELEPSTILNMSPNELKEGLTAEETAKKEPDESERMQMTDARCSRCMEFKVGLRDIIQAGHGDRYQLECGACGHSWYASRDEAASLTIEPSLPKLPVRSDQPQLSLKIQRRS >KJB25852 pep chromosome:Graimondii2_0_v6:4:54480557:54484265:1 gene:B456_004G212000 transcript:KJB25852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGQWFYRPEEAEKKGGGSWPLNDTRELFYSFHRDEVPAESVMHKCVVHFVPIHKQIPNRKEHPGFIVQKVYDTVEQKLWKLTDKDYEDNKQHEIDLLVQKTLSRLGDLPDLEFDSTAAVFDQEEQLKAKRTLRKKNISPLDVTRNDEGSTRSDQSLKAETPGSCPSNTNEYYTILSKAKVLTGETHRDKWMTRLLQGVQYMCSCPDGLERERDIKSPRTANGSQEKSSNSGKSFTWPDAAVPAITALEKASHDALCSDFQKYNQKLRQLEFNLKNNALLARRLLNGELEPSTILNMSPNELKEGLTAEETAKKEPDESERMQMTDARCSRCMEFKVGLRDIIQAGHGDRYQLECGACGHSWYASRDEAASLTIEPSLPKLPVRSDQPQLSLKIQRRS >KJB25677 pep chromosome:Graimondii2_0_v6:4:53325640:53327625:1 gene:B456_004G203800 transcript:KJB25677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRDRQRGRDRERDRDRERDRETNRRRDRDVRDRERDRDRERTRSKRSRTPERTRSRHTRSSTRSPDRERYRSRSHSQERSAHRHHRHRHRTPSDEPPRKRHRHESEEREKDRQKAVSDFVDEIAKEQQQKKGNNENENENENENEHGDAGEQDEMEMMKKLGIPVGFDSTKGKHVPGTDISGVRTVTKRQPRQYMNRRGGFNRPLPAELNR >KJB24640 pep chromosome:Graimondii2_0_v6:4:43984027:43984670:-1 gene:B456_004G155000 transcript:KJB24640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDQAKPLAPVSDLPSSDDGEAALHLNQVRCKKIVKCLGSVAALAIILAVVIIILIFTVFRVKDPIINMNGVAVMRLELINGTIPKPGSNISVIADVSYRNTTTTLYYYGKVVGDARGPPGCAKARRTVRMNITIDIIVDRILACPNLVTDVRSGTLTMVSYSRVGGRINILNIIKRHVTVKMNCSMTVNIFSQGIQQQKCKR >KJB26864 pep chromosome:Graimondii2_0_v6:4:59891138:59893622:-1 gene:B456_004G263900 transcript:KJB26864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHMTRQEYVASLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSQLPIGGAAKRLKDVEQAEMAMDMVHRSDGDNINTHLPDGINTYGTSGWPTIAYQQAQPFSMHYPYGQRIWCKQEQDSDPDHAFHDLHQLQLGSTHNFFQPSVLHNLMAMESSSMEHSSGSNTNSVIYNNTVSDGAGYGGYAIPLGTVIPTDTNQNQSNDFGDNSNNEVKALGYENVYTSSTDPYHSRNMYYLSQQSSSSTSQASPCNNWVPTAVPTIAQRSSNMAVCHGTPTFTVWNDT >KJB26865 pep chromosome:Graimondii2_0_v6:4:59891138:59895128:-1 gene:B456_004G263900 transcript:KJB26865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMNNWLAFSLSPQEPVDHHHQSQTTVSRLGFNSDGISGTDVSGECFDLAGDSSVPSLNLPPPFGILEAFNRNSNQPQDWNMKGSELSMVMGGSSCNTQEPKLENFLGNHGCHTMYDTTTGQYPLYTATTARTANGGGDEDNSTNNNTNLTHGASNSNTNSGNSIGLSMIKTWLRNQPAPPQVETKNNGGGGSGGGGASQSLSLSMSTGGGGGGSGGESSSSDNKKTPPQPPSTGVEITQTGTNEAVARKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGTTTTTNFPISNYEKELEEMKHMTRQEYVASLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSQLPIGGAAKRLKDVEQAEMAMDMVHRSDGDNINTHLPDGINTYGTSGWPTIAYQQAQPFSMHYPYGQRIWCKQEQDSDPDHAFHDLHQLQLGSTHNFFQPSVLHNLMAMESSSMEHSSGSNTNSVIYNNTVSDGAGYGGYAIPLGTVIPTDTNQNQSNDFGDNSNNEVKALGYENVYTSSTDPYHSRNMYYLSQQSSSSTSQASPCNNWVPTAVPTIAQRSSNMAVCHGTPTFTVWNDT >KJB22062 pep chromosome:Graimondii2_0_v6:4:2180107:2182657:1 gene:B456_004G027700 transcript:KJB22062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFDAPSFSLGLDFDADSEPQLPAEDHLEPILAPNPSASFNIIEENADEFESEQVMDSDPDTRPDPPRVLKRLRRAADESSAAKKESEKTLVWDNGDDDIEEFSSSQEKNDVDSSTQYHSICSSSKIPLKKLGLVTTQSSSQSSSRKAGQASVASASASLEASHDGLTFPKLTISPLRRFQLLDSDSDDDPSDCDFTGKGACKSDPLSKEQQSTASDRKRKRSFGTPQNEDLWKDISLMNSSCVQTPAFDEVCKEYFQSLNHKSASQKLGSRKADLSSQELGSQKTEQLQDLDDPLPPAHRYFFHADPRIQNLVRSRLPFFSPLSMADNGGHQQPTVSIMDFKNQFNKGESSKQRGSRKGSGKNCSTSRSSKSKKPNAENGAFEGWVNPKTSAAVPKNAGKRRVHASGQPAGHWYTSPEGRKVYITRTGQELSGHKAYRHYRKVTY >KJB22060 pep chromosome:Graimondii2_0_v6:4:2180103:2182980:1 gene:B456_004G027700 transcript:KJB22060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRQRRKNQKRRWFGIMGTMTLKSSLHPRKKMYHSICSSSKIPLKKLGLVTTQSSSQSSSRKAGQASVASASASLEASHDGLTFPKLTISPLRRFQLLDSDSDDDPSDCDFTGKGACKSDPLSKEQQSTASDRKRKRSFGTPQNEDLWKDISLMNSSCVQTPAFDEVCKEYFQSLNHKSASQKLGSRKADLSSQELGSQKTEQLQDLDDPLPPAHRYFFHADPRIQNLVRSRLPFFSPLSMADNGGHQQPTVSIMDFKNQFNKGESSKQRGSRKGSGKNCSTSRSSKSKKPNAENGAFEGWVNPKTSAAVPKNAGKRRVHASGQPAGHWYTSPEGRKVYITRTGQELSGHKAYRHYRKESGGDFRKSKKKSKVKKKKG >KJB22064 pep chromosome:Graimondii2_0_v6:4:2180107:2182980:1 gene:B456_004G027700 transcript:KJB22064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFDAPSFSLGLDFDADSEPQLPAEDHLEPILAPNPSASFNIIEENADEFESEQVMDSDPDTRPDPPRVLKRLRRAADESSAAKKESEKTLVWDNGDDDIEEFSSSQEKNDVDSSTQYHSICSSSKIPLKKLGLVTTQSSSQSSSRKAGQASVASASASLEASHDGLTFPKLTISPLRRFQLLDSDSDDDPSDCDFTGKGACKSDPLSKEQQSTASDRKRKRSFGTPQNEDLWKDISLMNSSCVQTPAFDEKLGSRKADLSSQELGSQKTEQLQDLDDPLPPAHRYFFHADPRIQNLVRSRLPFFSPLSMADNGGHQQPTVSIMDFKNQFNKGESSKQRGSRKGSGKNCSTSRSSKSKKPNAENGAFEGWVNPKTSAAVPKNAGKRRVHASGQPAGHWYTSPEGRKVYITRTGQELSGHKAYRHYRKESGGDFRKSKKKSKVKKKKG >KJB22061 pep chromosome:Graimondii2_0_v6:4:2180103:2183082:1 gene:B456_004G027700 transcript:KJB22061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFDAPSFSLGLDFDADSEPQLPAEDHLEPILAPNPSASFNIIEENADEFESEQVMDSDPDTRPDPPRVLKRLRRAADESSAAKKESEKTLVWDNGDDDIEEFSSSQEKNADVDSSTQYHSICSSSKIPLKKLGLVTTQSSSQSSSRKAGQASVASASASLEASHDGLTFPKLTISPLRRFQLLDSDSDDDPSDCDFTGKGACKSDPLSKEQQSTASDRKRKRSFGTPQNEDLWKDISLMNSSCVQTPAFDEVCKEYFQSLNHKSASQKLGSRKADLSSQELGSQKTEQLQDLDDPLPPAHRYFFHADPRIQNLVRSRLPFFSPLSMADNGGHQQPTVSIMDFKNQFNKGESSKQRGSRKGSGKNCSTSRSSKSKKPNAENGAFEGWVNPKTSAAVPKNAGKRRVHASGQPAGHWYTSPEGRKVYITRTGQELSGHKAYRHYRKESGGDFRKSKKKSKVKKKKG >KJB22059 pep chromosome:Graimondii2_0_v6:4:2180059:2183178:1 gene:B456_004G027700 transcript:KJB22059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFDAPSFSLGLDFDADSEPQLPAEDHLEPILAPNPSASFNIIEENADEFESEQVMDSDPDTRPDPPRVLKRLRRAADESSAAKKESEKTLVWDNGDDDIEEFSSSQEKNDVDSSTQYHSICSSSKIPLKKLGLVTTQSSSQSSSRKAGQASVASASASLEASHDGLTFPKLTISPLRRFQLLDSDSDDDPSDCDFTGKGACKSDPLSKEQQSTASDRKRKRSFGTPQNEDLWKDISLMNSSCVQTPAFDEVCKEYFQSLNHKSASQKLGSRKADLSSQELGSQKTEQLQDLDDPLPPAHRYFFHADPRIQNLVRSRLPFFSPLSMADNGGHQQPTVSIMDFKNQFNKGESSKQRGSRKGSGKNCSTSRSSKSKKPNAENGAFEGWVNPKTSAAVPKNAGKRRVHASGQPAGHWYTSPEGRKVYITRTGQELSGHKAYRHYRKESGGDFRKSKKKSKVKKKKG >KJB22063 pep chromosome:Graimondii2_0_v6:4:2180107:2182980:1 gene:B456_004G027700 transcript:KJB22063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFDAPSFSLGLDFDADSEPQLPAEDHLEPILAPNPSASFNIIEENADEFESEQVMDSDPDTRPDPPRVLKRLRRAADESSAAKKESEKTLVWDNGDDDIEEFSSSQEKNDVDSSTQYHSICSSSKIPLKKLGLVTTQSSSQSSSRKAGQASVASASASLEASHDGLTFPKLTISPLRRFQLLDSDSDDDPSDCDFTGKGACKSDPLSKEQQSTASDRKRKRSFGTPQNEDLWKDISLMNSSCVQTPAFDEADLSSQELGSQKTEQLQDLDDPLPPAHRYFFHADPRIQNLVRSRLPFFSPLSMADNGGHQQPTVSIMDFKNQFNKGESSKQRGSRKGSGKNCSTSRSSKSKKPNAENGAFEGWVNPKTSAAVPKNAGKRRVHASGQPAGHWYTSPEGRKVYITRTGQELSGHKAYRHYRKESGGDFRKSKKKSKVKKKKG >KJB23645 pep chromosome:Graimondii2_0_v6:4:22158249:22158868:-1 gene:B456_004G108300 transcript:KJB23645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVGEEGITKACGFPLLPLKSHIKGPAPVSDQGKTDIVDEAITFFRANVFFRNFDVKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLENVPVPGESGFPFPGLFVLPQSQKEAGKSLTIFFFI >KJB23642 pep chromosome:Graimondii2_0_v6:4:22156743:22159533:-1 gene:B456_004G108300 transcript:KJB23642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVGEEGITKACGFPLLPLKSHIKGPAPVSDQGKTDIVDEAITFFRANVFFRNFDVKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLENVPVPGESGFPFPGLFVLPQSQKEAELLRNYLKQIREETSGRLLSVAYRPNGTPNKWWLAFAKRKFMNIIIP >KJB23637 pep chromosome:Graimondii2_0_v6:4:22157576:22158868:-1 gene:B456_004G108300 transcript:KJB23637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVGEEGITKACGFPLLPLKSHIKGPAPVSDQGKTDIVDEAITFFRANVFFRNFDVKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLENVPVPGESGFPFPGLFVLPQSQKEAELLRNYLKQIREETSGRLLSVAYRPNGTPNKWWLAFAKRKFMNIIIP >KJB23648 pep chromosome:Graimondii2_0_v6:4:22156743:22159542:-1 gene:B456_004G108300 transcript:KJB23648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVGEEGITKACGFPLLPLKSHIKGPAPVSDQGKTDIVDEAITFFRANVFFRNFDVKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLENVPVPGESGFPFPGLFVLPQSQKEAELLRNYLKQIREETSGRLLSVAYRPNGTPNKCL >KJB23643 pep chromosome:Graimondii2_0_v6:4:22157576:22158868:-1 gene:B456_004G108300 transcript:KJB23643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVGEEGITKACGFPLLPLKSHIKGPAPVSDQGKTDIVDEAITFFRANVFFRNFDVKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLENVPVPGESGFPFPGLFVLPQSQKEAELLRNYLKQIREETSGRLLSVAYRPNGTPNKWWLAFAKRKFMNIIIP >KJB23638 pep chromosome:Graimondii2_0_v6:4:22156293:22159534:-1 gene:B456_004G108300 transcript:KJB23638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVGEEGITKACGFPLLPLKSHIKGPAPVSDQGKTDIVDEAITFFRANVFFRNFDVKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLENVPVPGESGFPFPGLFVLPQSQKEAELLRNYLKQIREETSGRLLSVAYRPNGTPNKWSWSCH >KJB23647 pep chromosome:Graimondii2_0_v6:4:22156743:22159534:-1 gene:B456_004G108300 transcript:KJB23647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVGEEGITKACGFPLLPLKSHIKGPAPVSDQGKTDIVDEAITFFRANVFFRNFDVKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLENVPVPGESGFPFPGLFVLPQSQKEAELLRNYLKQIREETSGRLLSVAYRPNGTPNKCL >KJB23646 pep chromosome:Graimondii2_0_v6:4:22157576:22158868:-1 gene:B456_004G108300 transcript:KJB23646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVGEEGITKACGFPLLPLKSHIKGPAPVSDQGKTDIVDEAITFFRANVFFRNFDVKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLENVPVPGESGFPFPGLFVLPQSQKEAELLRNYLKQIREETSGRLLSVAYRPNGTPNKWWLAFAKRKFMNIIIP >KJB23644 pep chromosome:Graimondii2_0_v6:4:22157576:22158868:-1 gene:B456_004G108300 transcript:KJB23644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVGEEGITKACGFPLLPLKSHIKGPAPVSDQGKTDIVDEAITFFRANVFFRNFDVKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLENVPVPGESGFPFPGLFVLPQSQKEAELLRNYLKQIREETSGRLLSVAYRPNGTPNKWWLAFAKRKFMNIIIP >KJB23639 pep chromosome:Graimondii2_0_v6:4:22156293:22159542:-1 gene:B456_004G108300 transcript:KJB23639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVGEEGITKACGFPLLPLKSHIKGPAPVSDQGKTDIVDEAITFFRANVFFRNFDVKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLENVPVPGESGFPFPGLFVLPQSQKEAELLRNYLKQIREETSGRLLSVAYRPNGTPNKWSWSCH >KJB23640 pep chromosome:Graimondii2_0_v6:4:22156200:22159534:-1 gene:B456_004G108300 transcript:KJB23640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVGEEGITKACGFPLLPLKSHIKGPAPVSDQGKTDIVDEAITFFRANVFFRNFDVKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLENVPVPGESGFPFPGLFVLPQSQKEAELLRNYLKQIREETSGRLLSVAYRPNGTPNKWSWSCH >KJB23641 pep chromosome:Graimondii2_0_v6:4:22156743:22159468:-1 gene:B456_004G108300 transcript:KJB23641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFWFIHLEFVIKCQVYHSSFVGEEGITKACGFPLLPLKSHIKGPAPVSDQGKTDIVDEAITFFRANVFFRNFDVKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLENVPVPGESGFPFPGLFVLPQSQKEAELLRNYLKQIREETSGRLLSVAYRPNGTPNKWWLAFAKRKFMNIIIP >KJB24548 pep chromosome:Graimondii2_0_v6:4:42661119:42664816:-1 gene:B456_004G150400 transcript:KJB24548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGLGLEMPANNGMHYTSEVEPGFVERDPTGRYIRFDEVLGKGAFKTVYRAFDEVEGIEVAWNQVRIDDVLRTPEDLEKLYSEVHLLRSLKNENIIKLHNSWVDDKKKTVNMITELFTSGSLRIYRKKHKHVDTKAIKNWARQILRGLVYLHSHVPPIIHRDLKCDNIFINGNNGEIKIGDLGLAIVMQQPTARSVIGTPEFMAPELYEEEYNELIDVYSFGMCMLEMVTFEYPYNECKNPAQIYKKVISGVKPASLSKVADPQIKEFIEKCLVRASERLSAKELLEDPFLKVENPKEPNRLPLLRPNPISKAVSLPLSGPSSMDIDTDYKQLSISTCTGSNSESPHYPVLELQRTHNNSIFRLKGKKDDDNSVSLTFRIADTCGRVRNIDFNFYLDSDTAHSVAAEMIEQLELTDHDVDFIAEFIDCLITKLLPGWKPSFYLSSGTASPCAEFSASANCKTLTPCPWDSFLTSDSALGVATESVSALSTSLRECVIQAPDSSDNEYLSFLEDQESQASVVSEILVEETSTKNAKPSEDADLNIIRTCKDLGGYISEDFQFQDTYDDEFNSSRNERSTEEYIPINEFMKASGLSFSNLSRESTFMGLPSSCSSLSIANKDLDVELKLELDAVEAQYRHWFQELSRMRDEELEATKKRWMAKKKLVVQ >KJB24547 pep chromosome:Graimondii2_0_v6:4:42661119:42663805:-1 gene:B456_004G150400 transcript:KJB24547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITELFTSGSLRIYRKKHKHVDTKAIKNWARQILRGLVYLHSHVPPIIHRDLKCDNIFINGNNGEIKIGDLGLAIVMQQPTARSVIGTPEFMAPELYEEEYNELIDVYSFGMCMLEMVTFEYPYNECKNPAQIYKKVISGVKPASLSKVADPQIKEFIEKCLVRASERLSAKELLEDPFLKVENPKEPNRLPLLRPNPISKAVSLPLSGPSSMDIDTDYKQLSISTCTGSNSESPHYPVLELQRTHNNSIFRLKGKKDDDNSVSLTFRIADTCGRVRNIDFNFYLDSDTAHSVAAEMIEQLELTDHDVDFIAEFIDCLITKLLPGWKPSFYLSSGTASPCAEFSASANCKTLTPCPWDSFLTSDSALGVATESVSALSTSLRECVIQAPDSSDNEYLSFLEDQESQASVVSEILVEETSTKNAKPSEDADLNIIRTCKDLGGYISEDFQFQDTYDDEFNSSRNERSTEEYIPINEFMKASGLSFSNLSRESTFMGLPSSCSSLSIANKDLDVELKLELDAVEAQYRHWFQELSRMRDEELEATKKRWMAKKKLVVQ >KJB24502 pep chromosome:Graimondii2_0_v6:4:41687222:41698097:-1 gene:B456_004G148300 transcript:KJB24502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPKELEQVMKLRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQADSLRVHGVAIPTIVGIQNVLKHIGAQKDGKQARVLWISLREEPVVYINGRPFVLRDVEMPFSNLEYTGINRDRVEQMEARLKEDILMEAARYGNKILVTDELPDGQMVDLWERVSCDSVKTPLEVYEELQLKGYLVDYERVPITDEKSPKEMDFDILVNKLSQADIRTEVIFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTNSIGRVSESGSNVTDNLPNSKEAIRRGEYTVIRSLIRVLEPDEMKREASLSFFMEYLERYYFLICFAVYIHSERAALHSSSSNHTSFADWMKARPELYSIIRRLLRRDPMRALGYASLKPSLKKIVESTDGHPHEVGLVAALRSGEVLGSQTVLKSDHCPGCQNVSLPERVEGAPNFREVPAFPVYGVANPTIDGIRSVIRRIGSSKGGRPVFWHNMREEPVIYINGKPFVLREIERPYKNMLEYSGIDRERVERMEARLKEDILREAERYDGAIMVIHETDDGQIFDAWEHVNSDSLQTPLEVFKCLEDDGFPIKYARVPITDGKAPKSSDFDILAANIASASKDTAFIFNCQMGRGRTTTGAVIACLVKLRISYGRPIKVLLDEVKHEQPDGSSSSGEESESNATRFTSSTVEVRTRNEQGYAFGIDDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALNRGAEYLERYFRLIAFSAYLGSEAFDGFCGQGECLMTFKSWLHQRPEVQAMKWSIRLRPGRFFNVPEELRAPHESQHGDAVMEAIVKARNGSVLGKGSILKMYFFPGQITSSRIQIHGAPHVFKVNGYPVYSMATPTIIGAKEMLAYLGAKSNARVAGQKVVITDLREEAVVYIHGTPFVLRELNKPVDTLKHVGITGPVVENMEARLKEDILSEVRQSGGRMLLHREEYCPSSNQSSVVGYWENIFPDDVKTPAEVYAALKDEGYNIAYRRIPLTREREALASDVDEIQNCRDESSACYLYVSHTGFGGVAYTMAIICCRLDAEVNFGTSSVTQTMVNGDPYSTPEESLPSWTSEEEARRMGDYRDILSLTRVLMHGPKSKADVDIIIERCAGAGHIRDDILHYSKELEEVPDDDDEHRAYLMDMGIKALRRYFFLVTFRSYLYCKSPTETKFTSWMDARPELGHLCNNLRIDK >KJB24503 pep chromosome:Graimondii2_0_v6:4:41687477:41697590:-1 gene:B456_004G148300 transcript:KJB24503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPKELEQVMKLRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQADSLRVHGVAIPTIVGIQNVLKHIGAQKDGKQARVLWISLREEPVVYINGRPFVLRDVEMPFSNLEYTGINRDRVEQMEARLKEDILMEAARYGNKILVTDELPDGQMVDLWERVSCDSVKTPLEVYEELQLKGYLVDYERVPITDEKSPKEMDFDILVNKLSQADIRTEVIFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTNSIGRVSESGSNVTDNLPNSKEAIRRGEYTVIRSLIRVLEGGVQGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREASLSFFMEYLERYYFLICFAVYIHSERAALHSSSSNHTSFADWMKARPELYSIIRRLLRRDPMRALGYASLKPSLKKIVESTDGHPHEVGLVAALRSGEVLGSQTVLKSDHCPGCQNVSLPERVEGAPNFREVPAFPVYGVANPTIDGIRSVIRRIGSSKGGRPVFWHNMREEPVIYINGKPFVLREIERPYKNMLEYSGIDRERVERMEARLKEDILREAERYDGAIMVIHETDDGQIFDAWEHVNSDSLQTPLEVFKCLEDDGFPIKYARVPITDGKAPKSSDFDILAANIASASKDTAFIFNCQMGRGRTTTGAVIACLVKLRISYGRPIKVLLDEVKHEQPDGSSSSGEESESNATRFTSSTVEVRTRNEQGYAFGIDDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALNRGAEYLERYFRLIAFSAYLGSEAFDGFCGQGECLMTFKSWLHQRPEVQAMKWSIRLRPGRFFNVPEELRAPHESQHGDAVMEAIVKARNGSVLGKGSILKMYFFPGQITSSRIQIHGAPHVFKVNGYPVYSMATPTIIGAKEMLAYLGAKSNARVAGQKVVITDLREEAVVYIHGTPFVLRELNKPVDTLKHVGITGPVVENMEARLKEDILSEVRQSGGRMLLHREEYCPSSNQSSVVGYWENIFPDDVKTPAEVYAALKDEGYNIAYRRIPLTREREALASDVDEIQNCRDESSACYLYVSHTGFGGVAYTMAIICCRLDAEVNFGTSSVTQTMVNGDPYSTPEESLPSWTSEEEARRMGDYRDILSLTRVLMHGPKSKADVDIIIERCAGAGHIRDDILHYSKELEEVPDDDDEHRAYLMDMGIKALRRYFFLVTFRSYLYCKSPTETKFTSWMDARPELGHLCNNLRIDK >KJB24505 pep chromosome:Graimondii2_0_v6:4:41687302:41698096:-1 gene:B456_004G148300 transcript:KJB24505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPITADSLRVHGVAIPTIVGIQNVLKHIGAQKDGKQARVLWISLREEPVVYINGRPFVLRDVEMPFSNLEYTGINRDRVEQMEARLKEDILMEAARYGNKILVTDELPDGQMVDLWERVSCDSVKTPLEVYEELQLKGYLVDYERVPITDEKSPKEMDFDILVNKLSQADIRTEVIFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTNSIGRVSESGSNVTDNLPNSKEAIRRGEYTVIRSLIRVLEGGVQGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREASLSFFMEYLERYYFLICFAVYIHSERAALHSSSSNHTSFADWMKARPELYSIIRRLLRRDPMRALGYASLKPSLKKIVESTDGHPHEVGLVAALRSGEVLGSQTVLKSDHCPGCQNVSLPERVEGAPNFREVPAFPVYGVANPTIDGIRSVIRRIGSSKGGRPVFWHNMREEPVIYINGKPFVLREIERPYKNMLEYSGIDRERVERMEARLKEDILREAERYDGAIMVIHETDDGQIFDAWEHVNSDSLQTPLEVFKCLEDDGFPIKYARVPITDGKAPKSSDFDILAANIASASKDTAFIFNCQMGRGRTTTGAVIACLVKLRISYGRPIKVLLDEVKHEQPDGSSSSGEESESNATRFTSSTVEVRTRNEQGYAFGIDDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALNRGAEYLERYFRLIAFSAYLGSEAFDGFCGQGECLMTFKSWLHQRPEVQAMKWSIRLRPGRFFNVPEELRAPHESQHGDAVMEAIVKARNGSVLGKGSILKMYFFPGQITSSRIQIHGAPHVFKVNGYPVYSMATPTIIGAKEMLAYLGAKSNARVAGQKVVITDLREEAVVYIHGTPFVLRELNKPVDTLKHVGITGPVVENMEARLKEDILSEVRQSGGRMLLHREEYCPSSNQSSVVGYWENIFPDDVKTPAEVYAALKDEGYNIAYRRIPLTREREALASDVDEIQNCRDESSACYLYVSHTGFGGVAYTMAIICCRLDAEVNFGTSSVTQTMVNGDPYSTPEESLPSWTSEEEARRMGDYRDILSLTRVLMHGPKSKADVDIIIERCAGAGHIRDDILHYSKELEEVPDDDDEHRAYLMDMGIKALRRYFFLVTFRSYLYCKSPTETKFTSWMDARPELGHLCNNLRIDK >KJB24504 pep chromosome:Graimondii2_0_v6:4:41687302:41695413:-1 gene:B456_004G148300 transcript:KJB24504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLWERVSCDSVKTPLEVYEELQLKGYLVDYERVPITDEKSPKEMDFDILVNKLSQADIRTEVIFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTNSIGRVSESGSNVTDNLPNSKEAIRRGEYTVIRSLIRVLEGGVQGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREASLSFFMEYLERYYFLICFAVYIHSERAALHSSSSNHTSFADWMKARPELYSIIRRLLRRDPMRALGYASLKPSLKKIVESTDGHPHEVGLVAALRSGEVLGSQTVLKSDHCPGCQNVSLPERVEGAPNFREVPAFPVYGVANPTIDGIRSVIRRIGSSKGGRPVFWHNMREEPVIYINGKPFVLREIERPYKNMLEYSGIDRERVERMEARLKEDILREAERYDGAIMVIHETDDGQIFDAWEHVNSDSLQTPLEVFKCLEDDGFPIKYARVPITDGKAPKSSDFDILAANIASASKDTAFIFNCQMGRGRTTTGAVIACLVKLRISYGRPIKVLLDEVKHEQPDGSSSSGEESESNATRFTSSTVEVRTRNEQGYAFGIDDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALNRGAEYLERYFRLIAFSAYLGSEAFDGFCGQGECLMTFKSWLHQRPEVQAMKWSIRLRPGRFFNVPEELRAPHESQHGDAVMEAIVKARNGSVLGKGSILKMYFFPGQITSSRIQIHGAPHVFKVNGYPVYSMATPTIIGAKEMLAYLGAKSNARVAGQKVVITDLREEAVVYIHGTPFVLRELNKPVDTLKHVGITGPVVENMEARLKEDILSEVRQSGGRMLLHREEYCPSSNQSSVVGYWENIFPDDVKTPAEVYAALKDEGYNIAYRRIPLTREREALASDVDEIQNCRDESSACYLYVSHTGFGGVAYTMAIICCRLDAEVNFGTSSVTQTMVNGDPYSTPEESLPSWTSEEEARRMGDYRDILSLTRVLMHGPKSKADVDIIIERCAGAGHIRDDILHYSKELEEVPDDDDEHRAYLMDMGIKALRRYFFLVTFRSYLYCKSPTETKFTSWMDARPELGHLCNNLRIDK >KJB24501 pep chromosome:Graimondii2_0_v6:4:41687222:41697220:-1 gene:B456_004G148300 transcript:KJB24501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSNLEYTGINRDRVEQMEARLKEDILMEAARYGNKILVTDELPDGQMVDLWERVSCDSVKTPLEVYEELQLKGYLVDYERVPITDEKSPKEMDFDILVNKLSQADIRTEVIFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTNSIGRVSESGSNVTDNLPNSKEAIRRGEYTVIRSLIRVLEGGVQGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREASLSFFMEYLERYYFLICFAVYIHSERAALHSSSSNHTSFADWMKARPELYSIIRRLLRRDPMRALGYASLKPSLKKIVESTDGHPHEVGLVAALRSGEVLGSQTVLKSDHCPGCQNVSLPERVEGAPNFREVPAFPVYGVANPTIDGIRSVIRRIGSSKGGRPVFWHNMREEPVIYINGKPFVLREIERPYKNMLEYSGIDRERVERMEARLKEDILREAERYDGAIMVIHETDDGQIFDAWEHVNSDSLQTPLEVFKCLEDDGFPIKYARVPITDGKAPKSSDFDILAANIASASKDTAFIFNCQMGRGRTTTGAVIACLVKLRISYGRPIKVLLDEVKHEQPDGSSSSGEESESNATRFTSSTVEVRTRNEQGYAFGIDDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALNRGAEYLERYFRLIAFSAYLGSEAFDGFCGQGECLMTFKSWLHQRPEVQAMKWSIRLRPGRFFNVPEELRAPHESQHGDAVMEAIVKARNGSVLGKGSILKMYFFPGQITSSRIQIHGAPHVFKVNGYPVYSMATPTIIGAKEMLAYLGAKSNARVAGQKVVITDLREEAVVYIHGTPFVLRELNKPVDTLKHVGITGPVVENMEARLKEDILSEVRQSGGRMLLHREEYCPSSNQSSVVGYWENIFPDDVKTPAEVYAALKDEGYNIAYRRIPLTREREALASDVDEIQNCRDESSACYLYVSHTGFGGVAYTMAIICCRLDAEVNFGTSSVTQTMVNGDPYSTPEESLPSWTSEEEARRMGDYRDILSLTRVLMHGPKSKADVDIIIERCAGAGHIRDDILHYSKELEEVPDDDDEHRAYLMDMGIKALRRYFFLVTFRSYLYCKSPTETKFTSWMDARPELGHLCNNLRIDK >KJB23489 pep chromosome:Graimondii2_0_v6:4:18685470:18687671:-1 gene:B456_004G101600 transcript:KJB23489 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) UniProtKB/Swiss-Prot;Acc:Q94CG0] MEYISGGQLSDKLSYAKKLSEPEGRKIFQQLIDAVDHCHGKGVYHRDLKPENLLLDSKGNLKVTDFGLSALRKPGDMLTTACGSPCYVAPELLANKGYNGAAADIWSCGVILFELLAGYLPFDDRNLVVLYKKISGAQYTCPPWFTGPQRKLIARILDPNPKRRITIPEITEDAWFQTDYTPSCGYEYEEKIDLDDVSVTFDTVEEQNAEMKTQKFSNFINAFQLIAMSHDLDLSGLFEGQDGKRQRTTIGSKHTVNETIKKIEAAAMDVSLSVERMNSFKMKIHPKQKMTRCCRSSYDLSAEVIVVAPTNCVVEISKSAGEIAVYKEFCKSLSSLLIEKSDASSQIQGSKTVTNSTSILMNECSKEEKDRTEDQRGYFSS >KJB23496 pep chromosome:Graimondii2_0_v6:4:18684990:18688413:-1 gene:B456_004G101600 transcript:KJB23496 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) UniProtKB/Swiss-Prot;Acc:Q94CG0] MGLATTIGKYQLGRTIGEGTFAKVKLAVDTTNGHHVAIKIIDKAMVLESNLKYQVQSEIRTMKLLHHPNVVRIHEVIGSKTKIYIIMEYISGGQLSDKLSYAKKLSEPEGRKIFQQLIDAVDHCHGKGVYHRDLKPENLLLDSKGNLKVTDFGLSALRKPGDMLTTACGSPCYVAPELLANKGYNGAAADIWSCGVILFELLAGYLPFDDRNLVVLYKKISGAQYTCPPWFTGPQRKLIARILDPNPKRRITIPEITEDAWFQTDYTPSCGYEYEEKIDLDDVSVTFDTVEEQNAEMKTQKFSNFINAFQLIAMSHDLDLSGLFEGQDGKRQRTTIGSKHTVNETIKKIEAAAMDVSLSVERMNSFKMKIHPKQKMTRCCRSSYDLSAEVIVVAPTNCVVEISKSAGEIAVYKEFCKSLSSLLIEKSDASSQIQGSKTVTNSTTPKKKKTEQKTSEDISPHEDRVN >KJB23490 pep chromosome:Graimondii2_0_v6:4:18685766:18688144:-1 gene:B456_004G101600 transcript:KJB23490 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) UniProtKB/Swiss-Prot;Acc:Q94CG0] MGLATTIGKYQLGRTIGEGTFAKVKLAVDTTNGHHVAIKIIDKAMVLESNLKYQVQSEIRTMKLLHHPNVVRIHEVIGSKTKIYIIMEYISGGQLSDKLSYAKKLSEPEGRKIFQQLIDAVDHCHGKGVYHRDLKPENLLLDSKGNLKVTDFGLSALRKPGDMLTTACGSPCYVAPELLANKGYNGAAADIWSCGVILFELLAGYLPFDDRNLVVLYKKISGAQYTCPPWFTGPQRKLIARILDPNPKRRITIPEITEDAWFQTDYTPSCGYEYEEKIDLDDVSVTFDTVEEQNAEMKTQKFSNFINAFQLIAMSHDLDLSGLFEGQDGKRQRTTIGSKHTVNETIKKIEAAAMDVSLSVERMNSFKMKIHPKQKMTRCCRSSYDLSAEVIVVAPTNCVVEISKSAGEIAVYKEVGHSVH >KJB23491 pep chromosome:Graimondii2_0_v6:4:18685037:18688378:-1 gene:B456_004G101600 transcript:KJB23491 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) UniProtKB/Swiss-Prot;Acc:Q94CG0] MGLATTIGKYQLGRTIGEGTFAKVKLAVDTTNGHHVAIKIIDKAMVLESNLKYQVQSEIRTMKLLHHPNVVRIHEVIGSKTKIYIIMEYISGGQLSDKLSYAKKLSEPEGRKIFQQLIDAVDHCHGKGVYHRDLKPENLLLDSKGNLKVTDFGLSALRKPGDMLTTACGSPCYVAPEISGAQYTCPPWFTGPQRKLIARILDPNPKRRITIPEITEDAWFQTDYTPSCGYEYEEKIDLDDVSVTFDTVEEQNAEMKTQKFSNFINAFQLIAMSHDLDLSGLFEGQDGKRQRTTIGSKHTVNETIKKIEAAAMDVSLSVERMNSFKMKIHPKQKMTRCCRSSYDLSAEVIVVAPTNCVVEISKSAGEIAVYKEFCKSLSSLLIEKSDASSQIQGSKTVTNSTSILMNECSKEEKDRTEDQRGYFSS >KJB23488 pep chromosome:Graimondii2_0_v6:4:18685037:18688378:-1 gene:B456_004G101600 transcript:KJB23488 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) UniProtKB/Swiss-Prot;Acc:Q94CG0] MGLATTIGKYQLGRTIGEGTFAKVKLAVDTTNGHHVAIKIIDKAMVLESNLKYQVQSEIRTMKLLHHPNVVRIHEVIGSKTKIYIIMEYISGGQLSDKLSYAKKLSEPEGRKIFQQLIDAVDHCHGKGVYHRDLKPENLLLDSKGNLKVTDFGLSALRKPGDMLTTACGSPCYVAPELLANKGYNGAAADIWSCGVILFELLAGYLPFDDRNLVVLYKKISGAQYTCPPWFTGPQRKLIARILDPNPKRRITIPEITEDAWFQTDYTPSCGYEYEEKIDLDDVSVTFDTVEEQNAEMKTQKFSNFINAFQLIAMSHDLDLSGLFEGQDGKRQRTTIGSKHTVNETIKKIEAAAMDVSLSVERMNSFKMKIHPKQKMTRCCRSSYDLSAEVIVVAPTNCVVEISKSAGEIAVYKEFCKSLSSLLIEKSDASSQIQGSKTVTNSTSILMNECSKEEKDRTEDQRGYFSS >KJB23495 pep chromosome:Graimondii2_0_v6:4:18685037:18688378:-1 gene:B456_004G101600 transcript:KJB23495 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) UniProtKB/Swiss-Prot;Acc:Q94CG0] MGLATTIGKYQLGRTIGEGTFAKVKLAVDTTNGHHVAIKIIDKAMVLESNLKYQVQSEIRTMKLLHHPNVVRIHEVIGSKTKIYIIMEYISGGQLSDKLSYAKKLSEPEGRKIFQQLIDAVDHCHGKGVYHRDLKPENLLLDSKGNLKVTDFGLSALRKPGDMLTTACGSPCYVAPELLANKGYNGAAADIWSCGVILFELLAGYLPFDDRNLVVLYKKISGAQYTCPPWFTGPQRKLIARILDPNPKRRITIPEITEDAWFQTDYTPSCGYEYEEKIDLDDEQNAEMKTQKFSNFINAFQLIAMSHDLDLSGLFEGQDGKRQRTTIGSKHTVNETIKKIEAAAMDVSLSVERMNSFKMKIHPKQKMTRCCRSSYDLSAEVIVVAPTNCVVEISKSAGEIAVYKEFCKSLSSLLIEKSDASSQIQGSKTVTNSTSILMNECSKEEKDRTEDQRGYFSS >KJB23498 pep chromosome:Graimondii2_0_v6:4:18685618:18688144:-1 gene:B456_004G101600 transcript:KJB23498 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) UniProtKB/Swiss-Prot;Acc:Q94CG0] MGLATTIGKYQLGRTIGEGTFAKVKLAVDTTNGHHVAIKIIDKAMVLESNLKYQVQSEIRTMKLLHHPNVVRIHEVIGSKTKIYIIMEYISGGQLSDKLSYAKKLSEPEGRKIFQQLIDAVDHCHGKGVYHRDLKPENLLLDSKGNLKVTDFGLSALRKPGDMLTTACGSPCYVAPELLANKGYNGAAADIWSCGVILFELLAGYLPFDDRNLVVLYKKISGAQYTCPPWFTGPQRKLIARILDPNPKRRITIPEITEDAWFQTDYTPSCGYEYEEKIDLDDVSVTFDTVEEQNAEMKTQKFSNFINAFQLIAMSHDLDLSGLFEGQDGKRQRTTIGSKHTVNETIKKIEAAAMDVSLSVERMNSFKMKIHPKQKMTRCCRSSYDLSAEVVNCNMLFLQDNTSTNLIPLHFKMSGNCGCSYKLCCRNIKIGRRNSSLQRVLQEFVKSADREIRCLITNSRVQDSHQQH >KJB23494 pep chromosome:Graimondii2_0_v6:4:18685743:18688144:-1 gene:B456_004G101600 transcript:KJB23494 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) UniProtKB/Swiss-Prot;Acc:Q94CG0] MGLATTIGKYQLGRTIGEGTFAKVKLAVDTTNGHHVAIKIIDKAMVLESNLKYQVQSEIRTMKLLHHPNVVRIHEVIGSKTKIYIIMEYISGGQLSDKLSYAKKLSEPEGRKIFQQLIDAVDHCHGKGVYHRDLKPENLLLDSKGNLKVTDFGLSALRKPGDMLTTACGSPCYVAPELLANKGYNGAAADIWSCGVILFELLAGYLPFDDRNLVVLYKKISGAQYTCPPWFTGPQRKLIARILDPNPKRRITIPEITEDAWFQTDYTPSCGYEYEEKIDLDDVSVTFDTVEEQNAEMKTQKFSNFINAFQLIAMSHDLDLSGLFEGQDGKRQRTTIGSKHTVNETIKKIEAAAMDVSLSVERMNSFKMKIHPKQKMTRCCRSSYDLSAEVVNCNMLFLQDNTSTNLIPLHFKMSGNCGCSYKLCCRNIKIGRRNSSLQRGRTFSSLSLIILAE >KJB23493 pep chromosome:Graimondii2_0_v6:4:18685596:18688144:-1 gene:B456_004G101600 transcript:KJB23493 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) UniProtKB/Swiss-Prot;Acc:Q94CG0] MGLATTIGKYQLGRTIGEGTFAKVKLAVDTTNGHHVAIKIIDKAMVLESNLKYQVQSEIRTMKLLHHPNVVRIHEVIGSKTKIYIIMEYISGGQLSDKLSYAKKLSEPEGRKIFQQLIDAVDHCHGKGVYHRDLKPENLLLDSKGNLKVTDFGLSALRKPGDMLTTACGSPCYVAPELLANKGYNGAAADIWSCGVILFELLAGYLPFDDRNLVVLYKKISGAQYTCPPWFTGPQRKLIARILDPNPKRRITIPEITEDAWFQTDYTPSCGYEYEEKIDLDDVSVTFDTVEEQNAEMKTQKFSNFINAFQLIAMSHDLDLSGLFEGQDGKRQRTTIGSKHTVNETIKKIEAAAMDVSLSVERMNSFKMKIHPKQKMTRCCRSSYDLSAEVIVVAPTNCVVEISKSAGEIAVYKEFCKSLSSLLIEKSDASSQIQGSKTVTNSTSILMNEW >KJB23492 pep chromosome:Graimondii2_0_v6:4:18685037:18688378:-1 gene:B456_004G101600 transcript:KJB23492 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) UniProtKB/Swiss-Prot;Acc:Q94CG0] MGLATTIGKYQLGRTIGEGTFAKVKLAVDTTNGHHVAIKIIDKAMVLESNLKYQVQSEIRTMKLLHHPNVVRIHEVIGSKTKIYIIMEYISGGQLSDKLSYAKKLSEPEGRKIFQQLIDAVDHCHGKGVYHRDLKPENLLLDSKGNLKVTDFGLSALRKPGDMLTTACGSPCYVAPELLANKGYNGAAADIWSCGVILFELLAGYLPFDDRNLVVLYKKISGAQYTCPPWFTGPQRKLIARILDPNPKRRITIPEITEDAWFQTDYTPSCGYEYEEKIDLDDVSVTFDTVEEQNAEMKTQKFSNFINAFQLIAMSHDLDLSGLFEGQDGKRQRTTIGSKHTVNETIKKIEAAAMDVSLSVERMNSFKMKIHPKQKMTRCCRSSYDLSAEVVNCNMLFLQDNTSTNLIPLHFKMSVLQEFVKSADREIRCLITNSRVQDSHQQH >KJB23497 pep chromosome:Graimondii2_0_v6:4:18686148:18688144:-1 gene:B456_004G101600 transcript:KJB23497 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) UniProtKB/Swiss-Prot;Acc:Q94CG0] MGLATTIGKYQLGRTIGEGTFAKVKLAVDTTNGHHVAIKIIDKAMVLESNLKYQVQSEIRTMKLLHHPNVVRIHEVIGSKTKIYIIMEYISGGQLSDKLSYAKKLSEPEGRKIFQQLIDAVDHCHGKGVYHRDLKPENLLLDSKGNLKVTDFGLSALRKPGDMLTTACGSPCYVAPELLANKGYNGAAADIWSCGVILFELLAGYLPFDDRNLVVLYKKISGAQYTCPPWFTGPQRKLIARILDPNPKRRITIPEITEDAWFQTDYTPSCGYEYEEKIDLDDVSVTFDTVEEQNAEMKTQKFSNFINAFQLIAMSHDLDLSGLFEGQARWQKAENHNWIQAYS >KJB24189 pep chromosome:Graimondii2_0_v6:4:35871398:35876142:1 gene:B456_004G132000 transcript:KJB24189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKAQMRRIENDTSRQVTFSKRQNGLLKKAFELSVLCDAEVALIIFSPRGKLFEFASSSMQQTIERYRRHTKDNKTNKPIEQNMQHLKAESANMLKTVEDLEVSRSNKLQLQAFWHM >KJB24188 pep chromosome:Graimondii2_0_v6:4:35870963:35876142:1 gene:B456_004G132000 transcript:KJB24188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHTRTKILIMVRGKAQMRRIENDTSRQVTFSKRQNGLLKKAFELSVLCDAEVALIIFSPRGKLFEFASSSMQQTIERYRRHTKDNKTNKPIEQNMQHLKAESANMLKTVEDLEVSRSNKLQLQAFWHM >KJB25728 pep chromosome:Graimondii2_0_v6:4:53644457:53645693:1 gene:B456_004G206200 transcript:KJB25728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDLFSFIFIIFSWASLLSMKAEAEAEAEGIKSARLLDLLIRDYTVKSLDRDLRTGIVHTVTLPANFSGIKVDTVRFRCGSLHRYGAQVKEFHLGSGVIVQPCAERVMVVRQNLGYNWSSIYYANYDLSGYQLVSPILGLLAYNADSDLSYGSPFELGILAREKPIKIDFSNITKASNKTGNWPLCASFEGNGRVTLKKQVSPNVCVATKHGHFGLVMESPPPSLPVRKKISRWKLVVGSSVGAALGAFLLGLLLVAMFVKVKKKARMEELVRRAYEEEALQVSMVGHVRARTTPTI >KJB25727 pep chromosome:Graimondii2_0_v6:4:53643981:53648329:1 gene:B456_004G206200 transcript:KJB25727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDLFSFIFIIFSWASLLSMKAEAEAEAEGIKSARLLDLLIRDYTVKSLDRDLRTGIVHTVTLPANFSGIKVDTVRFRCGSLHRYGAQVKEFHLGSGVIVQPCAERVMVVRQNLGYNWSSIYYANYDLSGYQLVSPILGLLAYNADSDLSYGSPFELGILAREKPIKIDFSNITKASNKTGNWPLCASFEGNGRVTLKKQVSPNVCVATKHGHFGLVMESPPPSLPVRKKISRWKLVVGSSVGAALGAFLLGLLLVAMFVKVKKKARMEELVRRAYEEEALQVSMVGHVRARTTPTI >KJB27114 pep chromosome:Graimondii2_0_v6:4:61137361:61141699:-1 gene:B456_004G278900 transcript:KJB27114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNFSCFFLLSVLVLSSRVNSEPVQDKQALLAFLSKTKHPNRIQWNSSTSACDWVGVECDANRSFVFSLRLPGVGLVGSIPSNTIGRLNQLRVLSLRANRLSGEIPEDFSNLTLLRNLYLQDNAFTGEFPISVTRLARLTRLDLSSNKFAGPIPFAVNNMSHLTGLFLQNNKFSGSLPSINADGLDEFNVSNNSLNGSIPGSLSKFPKSSFAGNPALCGGPLRPCNPFFPSPEPSPIPPETPGEKSKNLSVGAIIGIAVGSTIIALLLLLFLILCLFKRRRQPPKPVTAPTGSVPPAEAGTSGGTTERERNKLVFFEGGIYSFDLEDLLRASAEVLGKGTVGTSYKAVLEEGTMVVVKRLKDVAANERVFEIHMETLGKMKHENLVPLRAFYYSDDEKLLVLDFMEEGSLSALLHGSRVSGRTPPNWDSRRKIALTAARGLAHLHVTGKVVHGNIKSSNILLRPDHEACMSDFGLNPIFSNTASSSRFSGYRAPEVVETRNVTFKSDVYSFGVVLLELLTGKSPNQTSMGEEGIDLPRWVQSVVREEWTAEVFDVELMRYHNIEEEMVQLLQIAMACVSIVPDQRPIMQEVVHLIEDVYRGETDDGLRQSSDDPSKGSDGYTPPIELRTPARSVTP >KJB22766 pep chromosome:Graimondii2_0_v6:4:6560012:6564059:-1 gene:B456_004G065000 transcript:KJB22766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRFKPFLQKEPAGLEGRTLDVANLKLHVRNVIAEGGFSCVYLAKDALHASKQYALKHIICNDQESLELVVKEISVLKSLQGHPNVITLYAHTILDMGRTKEALLVMEFCDKSLVNCLETRGTAYFEEKQILNIFLHICNAVFAMHCQSPPIAHRDLKAENILLGSDGLWKLCDFGSTSTNHKRLEKPEEMGIEEDNIRKHTTPAYRAPEMWDLFRRELINEKVDIWALGCLLFRICYFKNAFDGESKLQILNGNYRIPDLPKYSSSITNLIKDMLQASPVDRPDITQVWFRVNEQLPAALQKSLPDRPPEMPSTEGVPRSSNRSPQMPSRSPPSPPVGEPAKTSPQPGLRASGGQLGAFWSTQHAKDADVAEEKSRPKYDEDPTSYSSVKHKRSPIRGETVQTHSPARSMPDKSHKPEDGLSKDIEINFFENKDTTTSFQDEAFNTFVAEFDSNKISSGIINKNTGKEKALEAEIVRLKEQLKQSNLEKAEMTMKFEKLSAICRSQRQEIQELKQTLAARTPSPNKSTSRYHNSPGSLVEGTFSEFTQEKAGNWKTASPEAKPWQAFPEDAKPQQQQPLSKDNVQSVRTRNGHSNMHAAQATFGMETWGFGADNFTAAPTASSQRLKSMINEGSSSQRESKIKESNPVSQPAGWAGF >KJB22767 pep chromosome:Graimondii2_0_v6:4:6560494:6563413:-1 gene:B456_004G065000 transcript:KJB22767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRFKPFLQKEPAGLEGRTLDVANLKLHVRNVIAEGGFSCVYLAKDALHASKQYALKHIICNDQESLELVVKEISVLKSLQGHPNVITLYAHTILDMGRTKEALLVMEFCDKSLVNCLETRGTAYFEEKQILNIFLHICNAVFAMHCQSPPIAHRDLKAENILLGSDGLWKLCDFGSTSTNHKRLEKPEEMGIEEDNIRKHTTPAYRAPEMWDLFRRELINEKVDIWALGCLLFRICYFKNAFDGESKLQILNGNYRIPDLPKYSSSITNLIKDMLQASPVDRPDITQVWFRVNEQLPAALQKSLPDRPPEMPSTEGVPRSSNRSPQMPSRSPPSPPVGEPAKTSPQPGLRASGGQLGAFWSTQHAKDADVAEEKSRPKYDEDPTSYSSVKHKRSPIRGETVQTHSPARSMPDKSHKPEDGLSKDIEINFFENKDTTTSFQDEAFNTFVAEFDSNKISSGIINKNTGKEKALEAEIVRLKEQLKQSNLEKAEMTMKFEKLSAICRSQRQEIQELKQTLAARTPSPNKSTSRYHNSPGSLGEKVEGTFSEFTQEKAGNWKTASPEAKPWQAFPEDAKPQQQQPLSKDNVQSVRTRNGHSNMHAAQATFGMETWGFGADNFTAAPTASSQRLKSMINEGSSSQRESKIKESNPVSQPAGWAGF >KJB22769 pep chromosome:Graimondii2_0_v6:4:6560058:6563705:-1 gene:B456_004G065000 transcript:KJB22769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRFKPFLQKEPAGLEGRTLDVANLKLHVRNVIAEGGFSCVYLAKDALHASKQYALKHIICNDQESLELVVKEISVLKSLQGHPNVITLYAHTILDMGRTKEALLVMEFCDKSLVNCLETRGTAYFEEKQILNIFLHICNAVFAMHCQSPPIAHRDLKAENILLGSDGLWKLCDFGSTSTNHKRLEKPEEMGIEEDNIRKHTTPAYRAPEMWDLFRRELINEKVDIWALGCLLFRICYFKNAFDGESKLQILNGNYRIPDLPKYSSSITNLIKDMLQASPVDRPDITQVWFRVNEQLPAALQKSLPDRPPEMPSTEGVPRSSNRSPQMPSRSPPSPPVGEPAKTSPQPGLRASGGQLGAFWSTQHAKDADVAEEKSRPKYDEDPTSYSSVKHKRSPIRGETVQTHSPARSMPDKSHKPEDGLSKDIEINFFENKDTTTSFQDEAFNTFVAEFDSNKISSGIINKNTGKEKALEAEIVRLKEQLKQSNLEKAEMTMKFEKLSAICRSQRQEIQELKQTLAARTPSPNKSTSRYHNSPGSLGEKVEGTFSEFTQEKAGNWKTASPEAKPWQAFPEDAKPQQQQPLSKDNVQSVRTRNGHSNMHAAQATFGMETWGFGADNFTAAPTASSQRLKSMINEGSSSQRESKIKESNPVSQPAGWAGF >KJB22768 pep chromosome:Graimondii2_0_v6:4:6560049:6564059:-1 gene:B456_004G065000 transcript:KJB22768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRFKPFLQKEPAGLEGRTLDVANLKLHVRNVIAEGGFSCVYLAKDALHASKQYALKHIICNDQESLELVVKEISVLKSLQGHPNVITLYAHTILDMGRTKEALLVMEFCDKSLVNCLETRGTAYFEEKQILNIFLHICNAVFAMHCQSPPIAHRDLKAENILLGSDGLWKLCDFGSTSTNHKRLEKPEEMGIEEDNIRKHTTPAYRAPEMWDLFRRELINEKVDIWALGCLLFRICYFKNAFDGESKLQILNGNYRIPDLPKYSSSITNLIKDMLQASPVDRPDITQVWFRVNEQLPAALQKSLPDRPPEMPSTEAGVPRSSNRSPQMPSRSPPSPPVGEPAKTSPQPGLRASGGQLGAFWSTQHAKDADVAEEKSRPKYDEDPTSYSSVKHKRSPIRGETVQTHSPARSMPDKSHKPEDGLSKDIEINFFENKDTTTSFQDEAFNTFVAEFDSNKISSGIINKNTGKEKALEAEIVRLKEQLKQSNLEKAEMTMKFEKLSAICRSQRQEIQELKQTLAARTPSPNKSTSRYHNSPGSLGEKVEGTFSEFTQEKAGNWKTASPEAKPWQAFPEDAKPQQQQPLSKDNVQSVRTRNGHSNMHAAQATFGMETWGFGADNFTAAPTASSQRLKSMINEGSSSQRESKIKESNPVSQPAGWAGF >KJB27324 pep chromosome:Graimondii2_0_v6:4:61985031:61985901:-1 gene:B456_004G291000 transcript:KJB27324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >KJB27323 pep chromosome:Graimondii2_0_v6:4:61985031:61985644:-1 gene:B456_004G291000 transcript:KJB27323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >KJB24593 pep chromosome:Graimondii2_0_v6:4:43556551:43560172:-1 gene:B456_004G153100 transcript:KJB24593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNDEGKSKSDKSSSPVPTDQANIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPPPYMWGPPQPMMPPYGAPYATIYSHGGVYAHPAVPITAAPVEAPTKSSGNTERGSTKKMKGFDGLAMSIGNGTAENDEGAAEPRLSQSVETEGSTDGSDGNTTGTDQSRRKRSREGTPTIAGGDGKTEAKSNAVAVAEVTTTISPKPIGTVLSPGMTTALELRNPSSMNAKSSPTNVPCGVMPPEVWMQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTAENATLRSEINQLTEKSEKLRLENATLVEGLKNAQLGHTQENITNKNEDKEGEMYEKKSGAKLHQLLDASPRTDAVAAS >KJB24595 pep chromosome:Graimondii2_0_v6:4:43556907:43559989:-1 gene:B456_004G153100 transcript:KJB24595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNDEGKSKSDKSSSPVPTDQANIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPPPYMWGPPQPMMPPYGAPYATIYSHGGVYAHPAVPITAAPVEAPTKSSGNTERGSTKKMKGFDGLAMSIGNGTAENDEGAAEPRLSQSVETEGSTDGSDGNTTGTDQSRRKRSREGTPTIAGGDGKTEAKSNAVAVAEVTTTISPKPIGTVLSPGMTTALELRNPSSMNAKSSPTNVPCGVMPPEVWMQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTAENATLRSEINQLTEKSEKLRLENATLVEGLKNAQLGHTQENITNKNEDKEGEMYEKKSGAKLHQLLDASPRTDAVAAS >KJB24594 pep chromosome:Graimondii2_0_v6:4:43556907:43559989:-1 gene:B456_004G153100 transcript:KJB24594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNDEGKSKSDKSSSPVPTDQANIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPPPYMWGPPQPMMPPYGAPYATIYSHGGVYAHPAVPITAAPVEAPTKSSGNTERGSTKKMKGFDGLAMSIGNGTAENDEGAAEPRLSQSVETEGSTDGSDGNTTGTDQSRRKRSREGTPTIAGGDGKTEAKSNAVAVAEVTTTISPKPIGTVLSPGMTTALELRNPSSMNAKSSPTNVPCGVMPPEVWMQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTAENATLRSEINQLTEKSEKLRLENATLVEGLKNAQLGHTQENITNKNEDKEGEMYEKKSGAKLHQLLDASPRTDAVAAS >KJB24591 pep chromosome:Graimondii2_0_v6:4:43556463:43561928:-1 gene:B456_004G153100 transcript:KJB24591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNDEGKSKSDKSSSPVPTDQANIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPPPYMWGPPQPMMPPYGAPYATIYSHGGVYAHPAVPITAAPVEAPTKSSGNTERGSTKKMKGFDGLAMSIGNGTAENDEGAAEPRLSQSVETEGSTDGSDGNTTGTDQSRRKRSREGTPTIGGDGKTEAKSNAVAVAEVTTTISPKPIGTVLSPGMTTALELRNPSSMNAKSSPTNVPCGVMPPEVWMQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTAENATLRSEINQLTEKSEKLRLENATLVEGLKNAQLGHTQENITNKNEDKEGEMYEKKSGAKLHQLLDASPRTDAVAAS >KJB24596 pep chromosome:Graimondii2_0_v6:4:43556551:43561780:-1 gene:B456_004G153100 transcript:KJB24596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPYYNSAVASGHAPPPYMWGPPQPMMPPYGAPYATIYSHGGVYAHPAVPITAAPVEAPTKSSGNTERGSTKKMKGFDGLAMSIGNGTAENDEGAAEPRLSQSVETEGSTDGSDGNTTGTDQSRRKRSREGTPTIGGDGKTEAKSNAVAVAEVTTTISPKPIGTVLSPGMTTALELRNPSSMNAKSSPTNVPCGVMPPEVWMQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTAENATLRSEINQLTEKSEKLRLENATLVEGLKNAQLGHTQENITNKNEDKEGEMYEKKSGAKLHQLLDASPRTDAVAAS >KJB24592 pep chromosome:Graimondii2_0_v6:4:43556463:43561928:-1 gene:B456_004G153100 transcript:KJB24592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNDEGKSKSDKSSSPVPTDQANIHVYPDWAAMQAYYGPRVTMPPYYNSAVASGHAPPPYMWGPPQPMMPPYGAPYATIYSHGGVYAHPAVPITAAPVEAPTKSSGNTERGSTKKMKGFDGLAMSIGNGTAENDEGAAEPRLSQSVETEGSTDGSDGNTTGTDQSRRKRSREGTPTIGGDGKTEAKSNAVAVAEVTTTISPKPIGTVLSPGMTTALELRNPSSMNAKSSPTNVPCGVMPPEVWMQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTAENATLRSEINQLTEKSEKLRLENATLVEGLKNAQLGHTQENITNKNEDKEGEMYEKKSGAKLHQLLDASPRTDAVAAS >KJB22342 pep chromosome:Graimondii2_0_v6:4:4075997:4077418:-1 gene:B456_004G046100 transcript:KJB22342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALRSTISSGIIRSTSPTHSLLPAASSFKPIKNPCLPQTHKLFTSNSNTFSAPKRGFTCKSQAIPSDNSAPEKVQELHVYELNERDRGSPAYLRLSQKSVNSLGDLVPFSNKIYRGDLEKRIGITSGICILIEHKPEMKGDRYEAIFSFYFGDYGHIAVQGPYLTYQDTYLAITGGSGIFEGVSGQVKLHQIVFPFKIFYTFYLKGIGELPEELLCKPVDPHPAVEAVPAAKACEPHATIANFTN >KJB22288 pep chromosome:Graimondii2_0_v6:4:3283940:3289630:-1 gene:B456_004G039300 transcript:KJB22288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRVRRKVAKKGKDDAVSLSYLEIEVEDLGLERNGNVDWTSLPDDTVIQLFSCLNYRDRASLSSTCKTWRVLGASQCLWSSLDLRAHKFDTATATSLASRCMYLQKLRFRGAESADAIIHLQAKNLREISGDYCRKITDATLSVIVARHEALESLQLGPDFCERITGDAIKAIALCCPKLKKLRLSGIKDVYADAINALAKHCPTLVDIGFLDCLNVDEAALENVSSVRFLSVAGTSNMKWDVVSHLWHKLPKLIGLDVSRTDIGSAAISRLLSSSLSLKVLCALNCPVLEEETSISTTKTNGKLLLALFSDIFRELSSLFAETSNKGRNMFLDWRCSKNKDKNLNEIMTWLEWILSHTLLRTAESNPQGLDNFWLKQGAALLLSLMQSSQEDVQERAATGLATFVVIDDENASIDRGRAEAVMRDGGIRLLLNLAKSWHEGLQSEAAKALANLSVNANVAKAVAEEGGINILAALARSMNRLVAEEAAGGLWNLSVGEEHKAAIAEAGGVKALVDLIFKWSSGGDGVLERAAGALANLAADDKCSMEVAIVGGVHALVMLARNCKFEGVQEQAARALANLASHGDSNSNNAAVGQEVGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVTLAQSCSNASPGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSDAEDVHETAAGALWNLAFNHSNALRIVEEGGVPALVHLCSSSLSKMARFMAALALAYMFDGR >KJB22285 pep chromosome:Graimondii2_0_v6:4:3282732:3289674:-1 gene:B456_004G039300 transcript:KJB22285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRVRRKVAKKGKDDAVSLSYLEIEVEDLGLERNGNVDWTSLPDDTVIQLFSCLNYRDRASLSSTCKTWRVLGASQCLWSSLDLRAHKFDTATATSLASRCMYLQKLRFRGAESADAIIHLQAKNLREISGDYCRKITDATLSVIVARHEALESLQLGPDFCERITGDAIKAIALCCPKLKKLRLSGIKDVYADAINALAKHCPTLVDIGFLDCLNVDEAALENVSSVRFLSVAGTSNMKWDVVSHLWHKLPKLIGLDVSRTDIGSAAISRLLSSSLSLKVLCALNCPVLEEETSISTTKTNGKLLLALFSDIFRELSSLFAETSNKGRNMFLDWRCSKNKDKNLNEIMTWLEWILSHTLLRTAESNPQGLDNFWLKQGAALLLSLMQSSQEDVQERAATGLATFVVIDDENASIDRGRAEAVMRDGGIRLLLNLAKSWHEGLQSEAAKALANLSVNANVAKAVAEEGGINILAALARSMNRLVAEEAAGGLWNLSVGEEHKAAIAEAGGVKALVDLIFKWSSGGDGVLERAAGALANLAADDKCSMEVAIVGGVHALVMLARNCKFEGVQEQAARALANLASHGDSNSNNAAVGQEVGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVTLAQSCSNASPGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSDAEDVHETAAGALWNLAFNHSNALRIVEEGGVPALVHLCSSSLSKMARFMAALALAYMFDGRIDEFAPMSSSSESTSKSVSLDGARRMALKHIEAFIRTFSNPQAFAAAAASSAPAALAQVTEKARIQEAGHLRCSGAEIGRFVSMLRNSSSILKACAAFALLQFTIPGGRHAVHHASLMQGGGAARVLRAAAAAATSPIEAKIFARIVLRNLEHHHQVEPSI >KJB22287 pep chromosome:Graimondii2_0_v6:4:3282790:3289630:-1 gene:B456_004G039300 transcript:KJB22287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRVRRKVAKKGKDDAVSLSYLEIEVEDLGLERNGNVDWTSLPDDTVIQLFSCLNYRDRASLSSTCKTWRVLGASQCLWSSLDLRAHKFDTATATSLASRCMYLQKLRFRGAESADAIIHLQAKNLREISGDYCRKITDATLSVIVARHEALESLQLGPDFCERITGDAIKAIALCCPKLKKLRLSGIKDVYADAINALAKHCPTLVDIGFLDCLNVDEAALENVSSVRFLSVAGTSNMKWDVVSHLWHKLPKLIGLDVSRTDIGSAAISRLLSSSLSLKVLCALNCPVLEEETSISTTKTNGKLLLALFSDIFRELSSLFAETSNKGRNMFLDWRCSKNKDKNLNEIMTWLEWILSHTLLRTAESNPQGLDNFWLKQGAALLLSLMQSSQEDVQERAATGLATFVVIDDENASIDRGRAEAVMRDGGIRLLLNLAKSWHEGLQSEAAKALANLSVNANVAKAVAEEGGINILAALARSMNRLVAEEAAGGLWNLSVGEEHKAAIAEAGGVKALVDLIFKWSSGGDGVLERAAGALANLAADDKCSMEVAIVGGVHALVMLARNCKFEGVQEQAARALANLASHGDSNSNNAAVGQEVGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVTLAQSCSNASPGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSDAEDVHETAAGALWNLAFNHSNALRIVEEGGVPALVHLCSSSLSKMARFMAALALAYMFDGSRIDEFAPMSSSSESTSKSVSLDGARRMALKHIEAFIRTFSNPQAFAAAAASSAPAALAQVTEKARIQEAGHLRCSGAEIGRFVSMLRNSSSILKACAAFALLQFTIPGGRHAVHHASLMQGGGAARVLRAAAAAATSPIEAKIFARIVLRNLEHHHQVEPSI >KJB22286 pep chromosome:Graimondii2_0_v6:4:3282790:3289630:-1 gene:B456_004G039300 transcript:KJB22286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRVRRKVAKKGKDDAVSLSYLEIEVEDLGLERNGNVDWTSLPDDTVIQLFSCLNYRDRASLSSTCKTWRVLGASQCLWSSLDLRAHKFDTATATSLASRCMYLQKLRFRGAESADAIIHLQAKNLREISGDYCRKITDATLSVIVARHEALESLQLGPDFCERITGDAIKAIALCCPKLKKLRLSGIKDVYADAINALAKHCPTLVDIGFLDCLNVDEAALENVSSVRFLSVAGTSNMKWDVVSHLWHKLPKLIGLDVSRTDIGSAAISRLLSSSLSLKVLCALNCPVLEEETSISTTKTNGKLLLALFSDIFRELSSLFAETSNKGRNMFLDWRCSKNKDKNLNEIMTWLEWILSHTLLRTAESNPQGLDNFWLKQGAALLLSLMQSSQEDVQERAATGLATFVVIDDENASIDRGRAEAVMRDGGIRLLLNLAKSWHEGLQSEAAKALANLSVNANVAKAVAEEGGINILAALARSMNRLVAEEAAGGLWNLSVGEEHKAAIAEAGGVKALVDLIFKWSSGGDGVLERAAGALANLAADDKCSMEVAIVGGVHALVMLARNCKFEGVQEQAARALANLASHGDSNSNNAAVGQEVGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVTLAQSCSNASPGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSDAEDVHETAAGALWNLAFNHSNALRIVEEGGVPALVHLCSSSLSKMARFMAALALAYMFDGRIDEFAPMSSSSESTSKSVSLDGARRMALKHIEAFIRTFSNPQAFAAAAASSAPAALAQVTEKARIQEAGHLRCRFHSLILFPPGCIPDSFVLFT >KJB23140 pep chromosome:Graimondii2_0_v6:4:10238545:10241381:-1 gene:B456_004G083200 transcript:KJB23140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESVPQKSIHEFTVKNSKGQDVDLSTYKGKVLLVVNVASKCGFTDSNYTQLTELYNKYKDKGLEILAFPCNQFLKQEPGTSQEAEEFACKRYKAEYPIFKKVRCNGPNTEPVFKFLKAKKSGFLGSRIKWNFTKFLVDKDGNVLSRYSPTTTPLAIEGDIKKALGVDT >KJB23138 pep chromosome:Graimondii2_0_v6:4:10238545:10241027:-1 gene:B456_004G083200 transcript:KJB23138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESVPQKSIHEFTVKNSKGQDVDLSTYKGKVLLVVNVASKCGFTDSNYTQLTELYNKYKDKGLEILAFPCNQFLKQEPGTSQEAEEFACKRYKAEYPIFKKVRCNGPNTEPVFKFLKAKKSGFLGSRIKWNFTKFLVDKDGNVLSRYSPTTTPLAIEVFPTPYWYHFILVSF >KJB23139 pep chromosome:Graimondii2_0_v6:4:10238923:10240789:-1 gene:B456_004G083200 transcript:KJB23139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFYLSYLLFFELVVFVFFPDSKLFTFMILNSGFTDSNYTQLTELYNKYKDKGLEILAFPCNQFLKQEPGTSQEAEEFACKRYKAEYPIFKKVRCNGPNTEPVFKFLKAKKSGFLGSRIKWNFTKFLVDKDGNVLSRYSPTTTPLAIEGDIKKALGVDT >KJB26140 pep chromosome:Graimondii2_0_v6:4:56156486:56159066:-1 gene:B456_004G227200 transcript:KJB26140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ECAIKFSVQVVSIVRPKRKKKNSCPSRGFPIFISLHPPSKEKMTKCYPTVSEEYQNAVQKAKRKLRALIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKQPAELAHAANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVAAVEVTGGPEVPFHPGREDKPHPPPEGRLPNATKGADHLRQVFSNQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLTGEKDRLLQLPTDKVLLSDPVFRPLVDKYAADEDAFFADYAEAHLKLSELG >KJB26142 pep chromosome:Graimondii2_0_v6:4:56158166:56159057:-1 gene:B456_004G227200 transcript:KJB26142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQNAVQKAKRKLRALIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKQPAELAHAANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVAAVEVTGGPEVPFHPGREVSLNL >KJB26139 pep chromosome:Graimondii2_0_v6:4:56156290:56159191:-1 gene:B456_004G227200 transcript:KJB26139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQNAVQKAKRKLRALIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKQPAELAHAANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVAAVEVTGGPEVPFHPGREDKPHPPPEGRLPNATKGADHLRQVFSNQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLTGEKDRLLQLPTDKVLLSDPVFRPLVDKYAADEDAFFADYAEAHLKLSELGFADA >KJB26141 pep chromosome:Graimondii2_0_v6:4:56156486:56159067:-1 gene:B456_004G227200 transcript:KJB26141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQNAVQKAKRKLRALIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKQPAELAHAANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVAAVEVTGGPEVPFHPGREDKPHPPPEGRLPNATKGADHLRQVFSNQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLTGEKDRLLQLPTDKVLLSDPVFRPLVDKYAADEDAFFADYAEAHLKLSELGFADA >KJB22801 pep chromosome:Graimondii2_0_v6:4:6783720:6787839:-1 gene:B456_004G066300 transcript:KJB22801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKIPGANSSSVSSPNMASKASKVRSPKVTECKALKSPVSKKNELSRVTELEAQLDQLQCDLKKTNDQLIASESWKRLALQEAEEAKNEVSIMSAKLEESERQLMEISASEDDRHAESAHAEIQNMKIELTETLSLVEKLKSELTDCRESEAQGLELVSKTRMQLEVVNKTIENLRSNATKEIEAYNMLLLELEQSKCRVKSLEGLVSKLQVELEIKRVKTELNFAKLEISQLRSALDEAEVRYQEEYIKSTLQIRSAYEQEAKLEAELTRTKANVEELRQKNKGLRESELAAWLKKLEFDLKELKDNLTVKETELNTITEQNKMLKREIESKMKSDESVVVSLEASKAVEQATLMRVGYLTEETDKFNRGAAQLTEELESAQAANIEMEAELKRLKTIPFDITIGSPKWESIDDDDGDDLSKKKNNMLRKTGVLWKKGQK >KJB22802 pep chromosome:Graimondii2_0_v6:4:6783720:6788936:-1 gene:B456_004G066300 transcript:KJB22802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKLEESERQLMEISASEDDRHAESAHAEIQNMKIELTETLSLVEKLKSELTDCRESEAQGLELVSKTRMQLEVVNKTIENLRSNATKEIEAYNMLLLELEQSKCRVKSLEGLVSKLQVELEIKRVKTELNFAKLEISQLRSALDEAEVRYQEEYIKSTLQIRSAYEQEAKLEAELTRTKANVEELRQKNKGLRESELAAWLKKLEFDLKELKDNLTVKETELNTITEQNKMLKREIESKMKSDESVVVSLEASKAVEQATLMRVGYLTEETDKFNRGAAQLTEELESAQAANIEMEAELKRLKTIPFDITIGSPKWESIDDDDGDDLSKKKNNMLRKTGVLWKKGQK >KJB26113 pep chromosome:Graimondii2_0_v6:4:56083113:56084205:1 gene:B456_004G226200 transcript:KJB26113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGNQRERDRERAQARAAHKAKGSKDDGLTPEQRRERDAKALQEKLAKKAAQATVGSERQSGIKNKK >KJB23932 pep chromosome:Graimondii2_0_v6:4:30690149:30692526:-1 gene:B456_004G122200 transcript:KJB23932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTSITPNPASSSSVYSNFRTSPLTLSSQIIADCSCLLSVRSGLASRQRLASRNRRCLIIRSAATKPAKSPAEEDWKVKRELLLEKRVRSVDAKEAFRLQKENNFVILDVRPEAEFKEAHPAGAVNIQIYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFMQSVESNFGKDAKIIVACTTGGTMKPSQNLPEGQQSRSLIAAYLLVLNGYKNVFHLEGGLYTWFKEGLPSESEE >KJB23933 pep chromosome:Graimondii2_0_v6:4:30690987:30692237:-1 gene:B456_004G122200 transcript:KJB23933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTSITPNPASSSSVYSNFRTSPLTLSSQIIADCSCLLSVRSGLASRQRLASRNRRCLIIRSAATKPAKSPAEEDWKVKRELLLEKRVRSVDAKEAFRLQKENNFVILDVRPEAEFKEAHPAGAVNIQIYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFMQSRINRCRIKFWQGRKDNSGVHNWGYNEAIPKST >KJB23935 pep chromosome:Graimondii2_0_v6:4:30690815:30692526:-1 gene:B456_004G122200 transcript:KJB23935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTSITPNPASSSSVYSNFRTSPLTLSSQIIADCSCLLSVRSGLASRQRLASRNRRCLIIRSAATKPAKSPAEEDWKVKRELLLEKRVRSVDAKEAFRLQKENNFVILDVRPEAEFKEAHPAGAVNIQIYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFMQSVESNFGKDAKIIVACTTGGTMKPSQNLPEGQQSRYLQHFDFIQAYSMHYRPN >KJB23934 pep chromosome:Graimondii2_0_v6:4:30690257:30692526:-1 gene:B456_004G122200 transcript:KJB23934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTSITPNPASSSSVYSNFRTSPLTLSSQIIADCSCLLSVRSGLASRQRLASRNRRCLIIRSAATKPAKSPAEEDWKVKRELLLEKRVRSVDAKEAFRLQKENNFVILDVRPEAEFKEAHPAGAVNIQIYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFMQTGVESNFGKDAKIIVACTTGGTMKPSQNLPEGQQSRSLIAAYLLVLNGYKNVFHLEGGLYTWFKEGLPSESEE >KJB24314 pep chromosome:Graimondii2_0_v6:4:38882227:38883496:1 gene:B456_004G138800 transcript:KJB24314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQVYTSSLFNKSFQTNFVMVGSYAHLVMVNSSWTQSHIEKLWGIPKSIKRVYPPCDTSGLQALPLERSVETPKIISVAQFRPEKAHSLQLEAFSVAIKKLDEHSRRPKLQFVGSCRNKSNKERLQNLKDKAVQLNIQDDVEFHKNGLGKSFGRVVAGIHSMIDEHFGISVVEYMAAGAIPIGFLAQNVEEYVDAVLKIVKMPESERLKIATAARRRATRFSEQRFYNDLKAAIRPIICGSS >KJB23928 pep chromosome:Graimondii2_0_v6:4:30433498:30435974:1 gene:B456_004G121700 transcript:KJB23928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVKTKATGLAPVANGGGGRGESAAHDLELRPGGMLVQKRNPDSDRNSIPPPTIRVRVKYGSIYHEISISSQATFGELKKMLTVPTGLHHQDQKLLYKDKERDSKAFLDMAGVKDKSKIVLVEDPISQEKRLLETRKNAKIEKASKSISQVSLEVDRLAGQVSAFETTITKGGKVAEKDLINSIELLMNQLLKLDGITADGDVKLQRKMQVRRVQKYVETLDMLKIKNAMPSNNGGQMEIQNQHKHTNGQKLAPTQEQKPRHFNWQRLAPVQEQQSSNSIAHLPIHQHHQSASGPVVVTTNWETFDSSPASLPVPSASTSTANNSVPPKFPWDFFD >KJB22575 pep chromosome:Graimondii2_0_v6:4:5263155:5267937:1 gene:B456_004G055100 transcript:KJB22575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVLLEASKPSSSSASSVSTTTTSTSVTETVNGSHQFKITGYSLSKGLGIGKYIASDTFMVGGYLWAIYFYPDGKSPEDNATYVSLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFKRTLLEQSDYHKDDCLSVHCSVGVVKSHTEGPKIYSIAVPPSNISHHFGKLLESGKGTDVSFEVDGEVFHAHKLVLAARSPVFRAQLFGPMKDQNTKQIKIEDMEAPVFKALLHFIYWDSLPDMQELTGLNSKWASALMSQHLLAAADRYGLDRLRLICEANLCEDVTINTVATTLALAEQHHCFQLKAVCLKFVAMPENLRAVMQTDGFEYLKESCPSVLTELLEHVARVNEHSVIVCRHGNEAILDGSDANGRRVKQRL >KJB22576 pep chromosome:Graimondii2_0_v6:4:5263288:5267769:1 gene:B456_004G055100 transcript:KJB22576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVLLEASKPSSSSASSVSTTTTSTSVTETVNGSHQFKITGYSLSKGLGIGKYIASDTFMVGGYLWAIYFYPDGKSPEDNATYVSLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFKRTLLEQSDYHKDDCLSVHCSVGVVKSHTEGPKIYSIAVPPSNISHHFGKLLESGKGTDVSFEVDGEVFHAHKLVLAARSPVFRAQLFGPMKDQNTKQIKIEDMEAPVFKALLHFIYWDSLPDMQELTGLNSKWASALMSQHLLAAADRYGLDRLRLICEANLCEDVTINTVATTLALAEQHHCFQLKAVCLKFVAMPENLRGGQFPRFFVS >KJB23693 pep chromosome:Graimondii2_0_v6:4:23360794:23364056:-1 gene:B456_004G110200 transcript:KJB23693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCFRSNPYALFFLFFFSLFLFGHETGSQALNVQTLNISGYCKSFVFGEREQSEVLAWRKTERRNLAEGSEGDNNSSLILAEKRTYRRDPLNDFHKYTGGWNISNEHYWASVGFTAAPFFVIAAVWFVIFALCLFIICIRHCCCQLNSYGYSRTAYALSLIFLILFTIAAIAGCVVLYTGQGKFHGSTTDTLDYAVSKADLTAENLRNVSDYLSAAKKISVDSAILPLDVQKSIDDIDRKINSSASTLSHQTADNKEKIQHGLDRMRLALIILAAVMLFLAFLGFLFSILGLQCQVYTLVILGWILVTGTFILCGVFHLLHNVAGDACVAMDQWVQNPTAHTALDDILPCVDNATAQETLSRSKNVTHQLVNVVNGVINNVFNRNFPPALSPLYFNQSGPLVPVLCNPFHSNLTNRDCAFGEVTLHNATEVWKKYICEVSGSGVCSTPGRLTPQFYTQMSAAVNVSYGLYRYGPFLVNLQDCTFVRDAFTDISHDYCPDLRHYSQWIYIGLVIVSAAVMLSLIFWVIYARERRHRVYTKQYDGRSEGQYKGR >KJB23694 pep chromosome:Graimondii2_0_v6:4:23360868:23363997:-1 gene:B456_004G110200 transcript:KJB23694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCFRSNPYALFFLFFFSLFLFGHETGSQALNVQTLNISGYCKSFVFGEREQSEVLAWRKTERRNLAEGSEGDNNSSLILAEKRTYRRDPLNDFHKYTGGWNISNEHYWASVGFTAAPFFVIAAVWFVIFALCLFIICIRHCCCQLNSYGYSRTAYALSLIFLILFTIAAIAGCVVLYTGQGKFHGSTTDTLDYAVSKADLTAENLRNVSDYLSAAKKISVDSAILPLDVQKSIDDIDRKINSSASTLSHQTADNKEKIQHGLDRMRLALIILAAVMLFLAFLGFLFSILGLQCQVYTLVILGWILVTGTFILCGVFHLLHKYCCWRCMCCHGSMGPESHCPYRIG >KJB23695 pep chromosome:Graimondii2_0_v6:4:23360868:23363997:-1 gene:B456_004G110200 transcript:KJB23695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCFRSNPYALFFLFFFSLFLFGHETGSQALNVQTLNISGYCKSFVFGEREQSEVLAWRKTERRNLAEGSEGDNNSSLILAEKRTYRRDPLNDFHKYTGGWNISNEHYWASVGFTAAPFFVIAAVWFVIFALCLFIICIRHCCCQLNSYGYSRTAYALSLIFLILFTIAAIAGCVVLYTGQGKFHGSTTDTLDYAVSKADLTAENLRNVSDYLSAAKKISVDSAILPLDVQKSIDDIDRKINSSASTLSHQTADNKEKIQHGLDRMRLALIILAAVMLFLAFLGFLFSILGLQCQVYTLVILGWILVTVLLEMHVLPWINGSRIPLPIPHWMTFFPVWTMQQPKRPCHDPRMSLINL >KJB23696 pep chromosome:Graimondii2_0_v6:4:23361533:23363853:-1 gene:B456_004G110200 transcript:KJB23696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCFRSNPYALFFLFFFSLFLFGHETGSQALNVQTLNISGYCKSFVFGEREQSEVLAWRKTERRNLAEGSEGDNNSSLILAEKRTYRRDPLNDFHKYTGGWNISNEHYWASVGFTAAPFFVIAAVWFVIFALCLFIICIRHCCCQLNSYGYSRTAYALSLIFLILFTIAAIAGCVVLYTGQGKFHGSTTDTLDYAVSKADLTAENLRNVSDYLSAAKKISVDSAILPLDVQKSIDDIDRKINSSASTLSHQTADNKEKIQHGLDRMRLALIILAAVMLFLAFLGFLFSILGLQCQVYTLVILGWILVTGTFILCGVFHLLHNVAGDACVAMDQWVQNPTAHTALDDILPCVDNATAQETLSRSKNVTHQLVNVVNGVINNVFNRNFPPALSPLYFNQSGPLVPVLCNPFHSNLTNRDCAFGEVTLHNATEVIRIAFLNI >KJB22588 pep chromosome:Graimondii2_0_v6:4:5428591:5432701:1 gene:B456_004G056700 transcript:KJB22588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARLASRRFLEIRQAFRQSSQASRSLSTALNYHLDSPDNNPDLPWEFSEANKQKVKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRSKVGKYHLLVCGTTPCMIRGSREIEETLLNHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDITPKRVIEIIEMLRRGEKLPVSAS >KJB22587 pep chromosome:Graimondii2_0_v6:4:5428591:5432701:1 gene:B456_004G056700 transcript:KJB22587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARLASRRFLEIRQAFRQSSQASRSLSTALNYHLDSPDNNPDLPWEFSEANKQKVKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRSKVGKYHLLVCGTTPCMIRGSREIEETLLNHLGVKRNEVTSDGLFSVGEMECMPGTQNPKRIKSGPEGGNTTLLTDPKPPPCRDLDAC >KJB22585 pep chromosome:Graimondii2_0_v6:4:5428583:5432733:1 gene:B456_004G056700 transcript:KJB22585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARLASRRFLEIRQAFRQSSQASRSLSTALNYHLDSPDNNPDLPWEFSEANKQKVKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRSKVGKYHLLVCGTTPCMIRGSREIEETLLNHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDITPKRVIEIIEMLRRGEKLPPGTQNPKRIKSGPEGGNTTLLTDPKPPPCRDLDAC >KJB22586 pep chromosome:Graimondii2_0_v6:4:5428664:5432177:1 gene:B456_004G056700 transcript:KJB22586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARLASRRFLEIRQAFRQSSQASRSLSTALNYHLDSPDNNPDLPWEFSEANKQKVKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRSKVGKYHLLVCGTTPCMIRGSREIEETLLNHLGVKRNEVTSDGLFSVGEMECMLLITPMDLKDTHIITMKILPQKELLR >KJB26688 pep chromosome:Graimondii2_0_v6:4:59238045:59239484:-1 gene:B456_004G255700 transcript:KJB26688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFTQEDDWCFNCISGAESIGGKHPPNGHMPPSSEVALPLVTVPTSDFNKDNGIMWASSPDRLELPPKPFHHSNCSDSPRVSESGSDIYSKREVIQKLRQQLKRRDDMILEMQDQITELQNSLNAQISHSSHLQSQLDVANRDLFDSEGEIQRLRKVIAGHCVVRVNTNDKTSTVTALAPDIKNGHANGYLGIETNLDSPEKRRGDEERIGMLRNEVEELKEVIEGKQYLLQSYKEQKMELSMKIKELQQRLDFQLPSIL >KJB26692 pep chromosome:Graimondii2_0_v6:4:59236789:59241080:-1 gene:B456_004G255700 transcript:KJB26692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRGGQVSRGQKSRSFQGEGLNWILIAGGALLSTLSIRLGYKLKQALDAKQQHDSSTRSKGIGSSDRRRSSGCRLHSNMYSFTQEDDWCFNCISGAESIGGKHPPNGHMPPSSEVALPLVTVPTSDFNKDNGIMWASSPDRLELPPKPFHHSNCSDSPRVSESGSDIYSKREVIQKLRQQLKRRDDMILEMQDQITELQNSLNAQISHSSHLQSQLDVANRDLFDSEGEIQRLRKVIAGHCVVRVNTNDKTSTVTALAPDIKNGHANGYLGIETNLDSPEKRRGDEERIGMLRNEVEELKEVIEGKQYLLQSYKEQKMELSMKIKELQQRLDFQLPSIL >KJB26691 pep chromosome:Graimondii2_0_v6:4:59236789:59241080:-1 gene:B456_004G255700 transcript:KJB26691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFTQEDDWCFNCISGAESIGGKHPPNGHMPPSSEVALPLVTVPTSDFNKDNGIMWASSPDRLELPPKPFHHSNCSDSPRVSESGSDIYSKREVIQKLRQQLKRRDDMILEMQDQITELQNSLNAQISHSSHLQSQLDVANRDLFDSEGEIQRLRKVIAGHCVVRVNTNDKTSTVTALAPDIKNGHANGYLGIETNLDSPEKRRGDEERIGMLRNEVEELKEVIEGKQYLLQSYKEQKMELSMKIKELQQRLDFQLPSIL >KJB26686 pep chromosome:Graimondii2_0_v6:4:59238045:59239792:-1 gene:B456_004G255700 transcript:KJB26686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRGGQVSRGQKSRSFQGEGLNWILIAGGALLSTLSIRLGYKLKQALDAKQQHDSSTRSKGIGSSDRRRSSGCRLHSNMYSFTQEDDWCFNCISGAESIGGKHPPNGHMPPSSEVALPLVTVPTSDFNKDNGIMWASSPDRLELPPKPFHHSNCSDSPRVSESGSDIYSKREVIQKLRQQLKRRDDMILEMQDQITELQNSLNAQISHSSHLQSQLDVANRDLFDSEGEIQRLRKVIAGHCVVRVNTNDKTSTVTALAPDIKNGHANGYLGIETNLDSPEKRRGDEERIGMLRNEVEELKEVIEGKQYLLQSYKEQKMELSMKIKELQQRLDFQLPSIL >KJB26684 pep chromosome:Graimondii2_0_v6:4:59238045:59239792:-1 gene:B456_004G255700 transcript:KJB26684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRGGQVSRGQKSRSFQGEGLNWILIAGGALLSTLSIRLGYKLKQALDAKQQHDSSTRSKGIGSSDRRRSSGCRLHSNMYSFTQEDDWCFNCISGAESIGGKHPPNGHMPPSSEVALPLVTVPTSDFNKDNGIMWASSPDRLELPPKPFHHSNCSDSPRVSESGSDIYSKREVIQKLRQQLKRRDDMILEMQDQITELQNSLNAQISHSSHLQSQLDVANRDLFDSEGEIQRLRKVIAGHCVVRVNTNDKTSTVTALAPDIKNGHANGYLGIETNLDSPEKRRGDEERIGMLRNEVEELKEVIEGKQYLLQSYKEQKMELSMKIKELQQRLDFQLPSIL >KJB26689 pep chromosome:Graimondii2_0_v6:4:59237799:59241074:-1 gene:B456_004G255700 transcript:KJB26689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRGGQVSRGQKSRSFQGEGLNWILIAGGALLSTLSIRLGYKLKQALDAKQQHDSSTRSKGIGSSDRRRSSGCRLHSNMYSFTQEDDWCFNCISGAESIGGKHPPNGHMPPSSEVALPLVTVPTSDFNKDNGIMWASSPDRLELPPKPFHHSNCSDSPRVSESGSDIYSKREVIQKLRQQLKRRDDMILEMQDQITELQNSLNAQISHSSHLQSQLDVANRDLFDSEGEIQRLRKVIAGHCVVRVNTNDKTSTVTALAPDIKNGHANGYLGIETNLDSPEKRRGDEERIGMLRNEVEELKEVIEGKQYLLQSYKEQKMELSMKIKELQQRLDFQLPSIL >KJB26693 pep chromosome:Graimondii2_0_v6:4:59238045:59239792:-1 gene:B456_004G255700 transcript:KJB26693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRGGQVSRGQKSRSFQGEGLNWILIAGGALLSTLSIRLGYKLKQALDAKQQHDSSTRSKGIGSSDRRRSSGCRLHSNMYSFTQEDDWCFNCISGAESIGGKHPPNGHMPPSSEVALPLVTVPTSDFNKDNGIMWASSPDRLELPPKPFHHSNCSDSPRVSESGSDIYSKREVIQKLRQQLKRRDDMILEMQDQITELQNSLNAQISHSSHLQSQLDVANRDLFDSEGEIQRLRKVIAGHCVVRVNTNDKTSTVTALAPDIKNGHANGYLGIETNLDSPEKRRGDEERIGMLRNEVEELKEVIEGKQYLLQSYKEQKMELSMKIKELQQRLDFQLPSIL >KJB26685 pep chromosome:Graimondii2_0_v6:4:59236789:59241080:-1 gene:B456_004G255700 transcript:KJB26685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFTQEDDWCFNCISGAESIGGKHPPNGHMPPSSEVALPLVTVPTSDFNKDNGIMWASSPDRLELPPKPFHHSNCSDSPRVSESGSDIYSKREVIQKLRQQLKRRDDMILEMQDQITELQNSLNAQISHSSHLQSQLDVANRDLFDSEGEIQRLRKVIAGHCVVRVNTNDKTSTVTALAPDIKNGHANGYLGIETNLDSPEKRRGDEERIGMLRNEVEELKEVIEGKQYLLQSYKEQKMELSMKIKELQQRLDFQLPSIL >KJB26690 pep chromosome:Graimondii2_0_v6:4:59238045:59239484:-1 gene:B456_004G255700 transcript:KJB26690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFTQEDDWCFNCISGAESIGGKHPPNGHMPPSSEVALPLVTVPTSDFNKDNGIMWASSPDRLELPPKPFHHSNCSDSPRVSESGSDIYSKREVIQKLRQQLKRRDDMILEMQDQITELQNSLNAQISHSSHLQSQLDVANRDLFDSEGEIQRLRKVIAGHCVVRVNTNDKTSTVTALAPDIKNGHANGYLGIETNLDSPEKRRGDEERIGMLRNEVEELKEVIEGKQYLLQSYKEQKMELSMKIKELQQRLDFQLPSIL >KJB26694 pep chromosome:Graimondii2_0_v6:4:59237801:59239281:-1 gene:B456_004G255700 transcript:KJB26694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSSEVALPLVTVPTSDFNKDNGIMWASSPDRLELPPKPFHHSNCSDSPRVSESGSDIYSKREVIQKLRQQLKRRDDMILEMQDQITELQNSLNAQISHSSHLQSQLDVANRDLFDSEGEIQRLRKVIAGHCVVRVNTNDKTSTVTALAPDIKNGHANGYLGIETNLDSPEKRRGDEERIGMLRNEVEELKEVIEGKQYLLQSYKEQKMELSMKIKELQQRLDFQLPSIL >KJB26687 pep chromosome:Graimondii2_0_v6:4:59237799:59240997:-1 gene:B456_004G255700 transcript:KJB26687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRGGQVSRGQKSRSFQGEGLNWILIAGGALLSTLSIRLGYKLKQALDAKQQHDSSTRSKGIGSSDRRRSSGCRLHSNMYSFTQEDDWCFNCISGAESIGGKHPPNGHMPPSSEVALPLVTVPTSDFNKDNGIMWASSPDRLELPPKPFHHSNCSDSPRVSESGSDIYSKREVIQKLRQQLKRRDDMILEMQDQITELQNSLNAQISHSSHLQSQLDVANRDLFDSEGEIQRLRKVIAGHCVVRVNTNDKTSTVTALAPDIKNGHANGYLGIETNLDSPEKRRGDEERIGMLRNEVEELKEVIEGKQYLLQSYKEQKMELSMKIKELQQRLDFQLPSIL >KJB26773 pep chromosome:Graimondii2_0_v6:4:59507541:59513115:-1 gene:B456_004G259200 transcript:KJB26773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDKGKQIVEEEEEEEEEEEKEKEGGGGGGGGGGGGEEEEEEEEEAEDWCFVCKDGGNLLLCDHKGCIKAYHPSCIGKKNKVLKSEGSWICHRHSCAECGGPPQFYCLACPDSVCRLCVWAYEFVRLKLDKGLCKTCFEFALLAEKNAEIDSEGEKLDFQDPTTDEFLFKEYLLIIMEQEELTFDDLHHAYSKRENYNSGSDYDKNKDKDDVISISDADNCSDYASDDSFDKIKRSRKKREPKNKICDLLKSHFANTLEPSILDGKRNGGESRSVNEDEQIISECKRPRTLTPYKVPIKVEYAVRKSCYASVVVENIKLVYLRRSLVEELLMHPDTFEEKVVGSFVRVKRKPGNCSGMTSFQLLLVTGVKKTSNAESNKGILLEVSCMPVDIPIDMLNDDDISEEECIDLQQRIKDGIIKRPTVVELEQKAKSLHEDITKHWIQRELVQLQGKIDFAHEKGRKYMLERFLYEREMLQKPSEQQRLLQKLPRVIAEEVEVKRTADRNYSGND >KJB26772 pep chromosome:Graimondii2_0_v6:4:59507541:59512980:-1 gene:B456_004G259200 transcript:KJB26772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDKGKQIVEEEEEEEEEEEKEKEGGGGGGGGGGGGEEEEEEEEEAEDWCFVCKDGGNLLLCDHKGCIKAYHPSCIGKKNKVLKSEGSWICHRHSCAECGGPPQFYCLACPDSVCRLCVWAYEFVRLKLDKGLCKTCFEFALLAEKNAEIDSEGEKLDFQDPTTDEFLFKEYLLIIMEQEELTFDDLHHAYSKRENYNSGSDYDKNKDKDDVISISDADNCSDYASDDSFDKIKRSRKKREPKNKICDLLKSHFANTLEPSILDGKRNGGESRSVNEDEQIISECKRPRTLTPYKVPIKVEYAVRKSCYASVVVENIKLVYLRRSLVEELLMHPDTFEEKVVGSFVRVKRKPGNCSGMTSFQLLLVTGVKKTSNAESNKGILLEVSCMPVDIPIDMLNDDDISEEECIDLQQRIKDGIIKRPTVVELEQKAKSLHEDITKHWIQRELVQLQGKIDFAHEKGRKYIYPFTVLCHIIAIELANKICEHVFH >KJB26774 pep chromosome:Graimondii2_0_v6:4:59508715:59512980:-1 gene:B456_004G259200 transcript:KJB26774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDKGKQIVEEEEEEEEEEEKEKEGGGGGGGGGGGGEEEEEEEEEAEDWCFVCKDGGNLLLCDHKGCIKAYHPSCIGKKNKVLKSEGSWICHRHSCAECGGPPQFYCLACPDSVCRLCVWAYEFVRLKLDKGLCKTCFEFALLAEKNAEIDSEGEKLDFQDPTTDEFLFKEYLLIIMEQEELTFDDLHHAYSKRENYNSGSDYDKNKDKDDVISISDADNCSDYASDDSFDKIKRSRKKREPKNKICDLLKSHFANTLEPSILDGKRNGGESRSVNEDEQIISECKRPRTLTPYKVPIKVEYAVRKSCYASVVVENIKLVYLRRSLVEELLMHPDTFEEKVVGSFVRVKRKPGNCSGMTSFQLLLVTGVKKTSNAESNKGILLEVSCMPVDIPIDMLNDDDISEEECIDLQQRIKDGIIKRPTVVELEQKAKSLHEDITKHVSICNYSDSLDILLKCYHF >KJB21527 pep chromosome:Graimondii2_0_v6:4:261991:263038:-1 gene:B456_004G004200 transcript:KJB21527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELEEGGQTSIYDNYKFLTKEDLEKLNLTNLIGTNLLRAYMHGFFIDFRLYKKAKALADPFAYETYIEQQKQEKLEAERRNRITMKRKIPKVKVNQELAERIVMVSKQFIIIQYSY >KJB22096 pep chromosome:Graimondii2_0_v6:4:2331194:2335913:1 gene:B456_004G029400 transcript:KJB22096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2737 [Source:Projected from Arabidopsis thaliana (AT5G53860) UniProtKB/TrEMBL;Acc:F4JYQ8] MSRGTEKLIKSVKQFADTQYKFFSTRYGQQVIDILEFPIKVVLSPFTLAFDIAGSAPRGFGIPEFISKLSHTSIFAIAAFGTYDIALELGKKVICQRNCRTCNGWQALRCTMCKGSGKVHYQVKTHSLRSGEKATAECVADAIADNRAELVHLPSAIDLNAPLPSKECPTCDGTGVMHCPECKRKIQVRISADDIMEPPWKAYNILRKMDYPYEHIVHSMKDPSIAAFWLLTLPQIVGGLEYDDDVKQKIWWQYKESMRYDQLRDVVAKRNPGWEHLQEGLITLDPVRARDDPVVVKNVPYYKAKKALEAEVMRLDPPPRPQNWESGPSPKCIFVERR >KJB22098 pep chromosome:Graimondii2_0_v6:4:2331194:2335913:1 gene:B456_004G029400 transcript:KJB22098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2737 [Source:Projected from Arabidopsis thaliana (AT5G53860) UniProtKB/TrEMBL;Acc:F4JYQ8] MSRGTEKLIKSVKQFADTQYKFFSTRYGQQVIDILEFPIKVVLSPFTLAFDIAGSAPRGFGIPEFISKLSHTSIFAIAAFGTYDIALELGKKVICQRNCRTCNGWQALRCTMCKGSGKVHYQVKTHSLRSGEKATAECVADAIADNRAELVHLPSAIDLNAPLPSKECPTCDGTVIMHCPECKRKIQVRISADDIMEPPWKAYNILRKMDYPYEHIVHSMKDPSIAAFWLLTLPQIVGGLEYDDDVKQKIWWQYKESMRYDQLRDVVAKRNPGWEHLQEGLITLDPVRARDDPVVVKNVPYYKAKKALEAEVMRLDPPPRPQNWGNLDLPLNASSWSEDDLKDPAKLYEMTVLLNAQREIADKLLDAQWETKWRQEKLNEMLEEKVRPYIQNIDNGVLPQPIVIPSQTGNQKRGRRKRWLFF >KJB22095 pep chromosome:Graimondii2_0_v6:4:2331122:2335932:1 gene:B456_004G029400 transcript:KJB22095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2737 [Source:Projected from Arabidopsis thaliana (AT5G53860) UniProtKB/TrEMBL;Acc:F4JYQ8] MSRGTEKLIKSVKQFADTQYKFFSTRYGQQVIDILEFPIKVVLSPFTLAFDIAGSAPRGFGIPEFISKLSHTSIFAIAAFGTYDIALELGKKVICQRNCRTCNGWQALRCTMCKGSGKVHYQVKTHSLRSGEKATAECVADAIADNRAELVHLPSAIDLNAPLPSKECPTCDGTGVMHCPECKRKIQVRISADDIMEPPWKAYNILRKMDYPYEHIVHSMKDPSIAAFWLLTLPQIVGGLEYDDDVKQKIWWQYKESMRYDQLRDVVAKRNPGWEHLQEGLITLDPVRARDDPVVVKNVPYYKAKKALEAEVMRLDPPPRPQNWGNLDLPLNASSWSEDDLKDPAKLYEMTVLLNAQREIADKLLDAQWETKWRQEKLNEMLEEKVRPYIQNIDNGVLPQPIVIPSQTGNQKRGRRKRWLFF >KJB22097 pep chromosome:Graimondii2_0_v6:4:2331194:2335913:1 gene:B456_004G029400 transcript:KJB22097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2737 [Source:Projected from Arabidopsis thaliana (AT5G53860) UniProtKB/TrEMBL;Acc:F4JYQ8] MSRGTEKLIKSVKQFADTQYKFFSTRYGQQVIDILEFPIKVVLSPFTLAFDIAGSAPRGFGIPEFISKLSHTSIFAIAAFGTYDIALELGKKVICQRNCRTCNGWQALRCTMCKGSGKVHYQVKTHSLRSGEKATAECVADAIADNRAELVHLPSAIDLNAPLPSKECPTCDGTGVMHCPECKRKIQVRISADDIMEPPWKAYNILRKMDYPYEHIVHSMKDPSIAAFWLLTLPQIVGGLEYDDDVKQKIWWQYKESMRYDQLRDVVAKRNPGWEHLQEGLITLDPVRARDDPVVVKNVPYYKAKKALEAEVMRLDPPPRPQNWGVKSGPSPKCIFVERR >KJB24948 pep chromosome:Graimondii2_0_v6:4:46884332:46885150:-1 gene:B456_004G169600 transcript:KJB24948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRKNIFGGIMKSSRKEIISLSKSPKIKSLREVSLCRCHITKRNSSCLQPNIVSDSRFGGKPPSGKVSKHGQPNTVRCCKIVRSCIRLGRDLRFSQPKINSVLRL >KJB26373 pep chromosome:Graimondii2_0_v6:4:57674177:57681785:-1 gene:B456_004G238300 transcript:KJB26373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKESVHRLEKESGFFFNMKYFEEKVQAGEWEEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVGDLKVFSTFNEELYKEITQLLTLNNFRENEQLSKYGDTKTARSIMLAELKKLIEANPLFRDKLAFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGPLAPTPVNLPVAAVAKPAAYTSLVAHSPFPPAAAAAAAANAGALAGWMANASASSSVQAAVVTASSIPVPQNQVSVLKRPRTPPSAPGLVDYQNPDHELLMKRLRPAPSVEEVTYPTPRQQAWSLDDLPRTVALTLHQGSMVTSMDFHPTNHTLLLVGSANGEITLWELGMRERLVSKPFKIWEMSACSMTFQSHLQAMMVNDTPISVNRVTWSPDGNFLGVAFSKHLIHFYAYPGSNDLIQRLEIDAHVGGVNDLAFAHPNKQLCIVTCGEDKLIKVWDSITGKKLFNFEGHDAPVYSICPHHKENIQFIFSTAVNGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIKRTYVGFRKKSAGVVSFDTTQNHFLAAGEDSQIKFWDMDNISLLTFTEAEGGLPSLPRVRFNKEGNLLSVTTADNGFKILANALGLRSLRAVETSFDPLRTPIVSSAIKASGSSAVANVGPVSCKLERSSPVRPSPMLNGVDPLSRSVERPRVLDDAIDKTKPWQLAEIVDPGHCRLVTLPDSTDTSSKVVRLLYTNSAVGILALGSNGVQKLWKWPRNEQNPSGKATANVVPQHWQPNSGLLMTNDVTGVNLEEAVPCIALSKNDSYVMSATGGKVSLFNMMTFKVCLHMLVFWIYLLLVMLITRDLQVMTTFMSPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTSLNILVSSGADANLCLWSIDTWEKRKSVAIQIPAGMAPTGDTRVQFHSDQIRMLVVHETQLAIYDASKMERVRQWIPQDVLHAPISYATYSCNSQSVYATFCDGNIGVFDADSLRLRCRIAPSVYLSSVILKGSQTVYPLVVAAHPIEANQFAIGLSDGTVKVLEPSESEGKWGVSPPADNGVQLNGRTTSSSTTSNHTPDQLQR >KJB26369 pep chromosome:Graimondii2_0_v6:4:57673928:57681995:-1 gene:B456_004G238300 transcript:KJB26369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKESVHRLEKESGFFFNMKYFEEKVQAGEWEEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVGDLKVFSTFNEELYKEITQLLTLNNFRENEQLSKYGDTKTARSIMLAELKKLIEANPLFRDKLAFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGPLAPTPVNLPVAAVAKPAAYTSLVAHSPFPPAAAAAAAANAGALAGWMANASASSSVQAAVVTASSIPVPQNQVSVLKRPRTPPSAPGLVDYQNPDHELLMKRLRPAPSVEEVTYPTPRQQAWSLDDLPRTVALTLHQGSMVTSMDFHPTNHTLLLVGSANGEITLWELGMRERLVSKPFKIWEMSACSMTFQAMMVNDTPISVNRVTWSPDGNFLGVAFSKHLIHFYAYPGSNDLIQRLEIDAHVGGVNDLAFAHPNKQLCIVTCGEDKLIKVWDSITGKKLFNFEGHDAPVYSICPHHKENIQFIFSTAVNGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIKRTYVGFRKKSAGVVSFDTTQNHFLAAGEDSQIKFWDMDNISLLTFTEAEGGLPSLPRVRFNKEGNLLSVTTADNGFKILANALGLRSLRAVETSFDPLRTPIVSSAIKASGSSAVANVGPVSCKLERSSPVRPSPMLNGVDPLSRSVERPRVLDDAIDKTKPWQLAEIVDPGHCRLVTLPDSTDTSSKVVRLLYTNSAVGILALGSNGVQKLWKWPRNEQNPSGKATANVVPQHWQPNSGLLMTNDVTGVNLEEAVPCIALSKNDSYVMSATGGKVSLFNMMTFKVMTTFMSPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTSLNILVSSGADANLCLWSIDTWEKRKSVAIQIPAGMAPTGDTRVQFHSDQIRMLVVHETQLAIYDASKMERVRQVGK >KJB26371 pep chromosome:Graimondii2_0_v6:4:57673928:57681995:-1 gene:B456_004G238300 transcript:KJB26371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKESVHRLEKESGFFFNMKYFEEKVQAGEWEEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVGDLKVFSTFNEELYKEITQLLTLNNFRENEQLSKYGDTKTARSIMLAELKKLIEANPLFRDKLAFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGPLAPTPVNLPVAAVAKPAAYTSLVAHSPFPPAAAAAAAANAGALAGWMANASASSSVQAAVVTASSIPVPQNQVSVLKRPRTPPSAPGLVDYQNPDHELLMKRLRPAPSVEEVTYPTPRQQAWSLDDLPRTVALTLHQGSMVTSMDFHPTNHTLLLVGSANGEITLWELGMRERLVSKPFKIWEMSACSMTFQAMMVNDTPISVNRVTWSPDGNFLGVAFSKHLIHFYAYPGSNDLIQRLEIDAHVGGVNDLAFAHPNKQLCIVTCGEDKLIKVWDSITGKKLFNFEGHDAPVYSICPHHKENIQFIFSTAVNGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIKRTYVGFRKKSAGVVSFDTTQNHFLAAGEDSQIKFWDMDNISLLTFTEAEGGLPSLPRVRFNKEGNLLSVTTADNGFKILANALGLRSLRAVETSFDPLRTPIVSSAIKASGSSAVANVGPVSCKLERSSPVRPSPMLNGVDPLSRSVERPRVLDDAIDKTKPWQLAEIVDPGHCRLVTLPDSTDTSSKVVRLLYTNSAVGILALGSNGVQKLWKWPRNEQNPSGKATANVVPQHWQPNSGLLMTNDVTGVNLEEAVPCIALSKNDSYVMSATGGKVSLFNMMTFKVMTTFMSPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTSLNILVSSGADANLCLWSIDTWEKRKSVAIQIPAGMAPTGDTRVQFHSDQIRMLVVHETQLAIYDASKMERVRQWIPQDVLHAPISYATYSCNSQSVYATFCDGNIGVFDADSLRLRCRIAPSVYLSSVILKGQTVYPLVVAAHPIEANQFAIGLSDGTVKVLEPSESEGKWGVSPPADNGVQLNGRTTSSSTTSNHTPDQLQR >KJB26372 pep chromosome:Graimondii2_0_v6:4:57673928:57681995:-1 gene:B456_004G238300 transcript:KJB26372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKESVHRLEKESGFFFNMKYFEEKVQAGEWEEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVGDLKVFSTFNEELYKEITQLLTLNNFRENEQLSKYGDTKTARSIMLAELKKLIEANPLFRDKLAFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGPLAPTPVNLPVAAVAKPAAYTSLVAHSPFPPAAAAAAAANAGALAGWMANASASSSVQAAVVTASSIPVPQNQVSVLKRPRTPPSAPGLVDYQNPDHELLMKRLRPAPSVEEVTYPTPRQQAWSLDDLPRTVALTLHQGSMVTSMDFHPTNHTLLLVGSANGEITLWELGMRERLVSKPFKIWEMSACSMTFQAMMVNDTPISVNRVTWSPDGNFLGVAFSKHLIHFYAYPGSNDLIQRLEIDAHVGGVNDLAFAHPNKQLCIVTCGEDKLIKVWDSITGKKLFNFEGHDAPVYSICPHHKENIQFIFSTAVNGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIKRTYVGFRKKSAGVVSFDTTQNHFLAAGEDSQIKFWDMDNISLLTFTEAEGGLPSLPRVRFNKEGNLLSVTTADNGFKILANALGLRSLRAVETSFDPLRTPIVSSAIKASGSSAVANVGPVSCKLERSSPVRPSPMLNGVDPLSRSVERPRVLDDAIDKTKPWQLAEIVDPGHCRLVTLPDSTDTSSKVVRLLYTNSAVGILALGSNGVQKLWKWPRNEQNPSGKATANVVPQHWQPNSGLLMTNDVTGVNLEEAVPCIALSKNDSYVMSATGGKVSLFNMMTFKVCLHMLVFWIYLLLVMLITRDLQVMTTFMSPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTSLNILVSSGADANLCLWSIDTWEKRKSVAIQIPAGMAPTGDTRVQFHSDQIRMLVVHETQLAIYDASKMERVRQWIPQDVLHAPISYATYSCNSQSVYATFCDGNIGVFDADSLRLRCRIAPSVYLSSVILKGSQTVYPLVVAAHPIEANQFAIGLSDGTVKVLEPSESEGKWGVSPPADNGVQLNGRTTSSSTTSNHTPDQLQR >KJB26374 pep chromosome:Graimondii2_0_v6:4:57673928:57682067:-1 gene:B456_004G238300 transcript:KJB26374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKESVHRLEKESGFFFNMKYFEEKVQAGEWEEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVGDLKVFSTFNEELYKEITQLLTLNNFRENEQLSKYGDTKTARSIMLAELKKLIEANPLFRDKLAFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGPLAPTPVNLPVAAVAKPAAYTSLVAHSPFPPAAAAAAAANAGALAGWMANASASSSVQAAVVTASSIPVPQNQVSVLKRPRTPPSAPGLVDYQNPDHELLMKRLRPAPSVEEVTYPTPRQQAWSLDDLPRTVALTLHQGSMVTSMDFHPTNHTLLLVGSANGEITLWELGMRERLVSKPFKIWEMSACSMTFQAMMVNDTPISVNRVTWSPDGNFLGVAFSKHLIHFYAYPGSNDLIQRLEIDAHVGGVNDLAFAHPNKQLCIVTCGEDKLIKVWDSITGKKLFNFEGHDAPVYSICPHHKENIQFIFSTAVNGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIKRTYVGFRKKSAGVVSFDTTQNHFLAAGEDSQIKFWDMDNISLLTFTEAEGGLPSLPRVRFNKEGNLLSVTTADNGFKILANALGLRSLRAVETSFDPLRTPIVSSAIKASGSSAVANVGPVSCKLERSSPVRPSPMLNGVDPLSRSVERPRVLDDAIDKTKPWQLAEIVDPGHCRLVTLPDSTDTSSKVVRLLYTNSAVGILALGSNGVQKLWKWPRNEQNPSGKATANVVPQHWQPNSGLLMTNDVTGVNLEEAVPCIALSKNDSYVMSATGGKVSLFNMMTFKVMTTFMSPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTSLNILVSSGADANLCLWSIDTWEKRKSVAIQIPAGMAPTGDTRVQFHSDQIRMLVVHETQLAIYDASKMERVRQWIPQDVLHAPISYATYSCNSQSVYATFCDGNIGVFDADSLRLRCRIAPSVYLSSVILKGSQTVYPLVVAAHPIEANQFAIGLSDGTVKVLEPSESEGKWGVSPPADNGVQLNGRTTSSSTTSNHTPDQLQR >KJB26370 pep chromosome:Graimondii2_0_v6:4:57674510:57681785:-1 gene:B456_004G238300 transcript:KJB26370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKESVHRLEKESGFFFNMKYFEEKVQAGEWEEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVGDLKVFSTFNEELYKEITQLLTLNNFRENEQLSKYGDTKTARSIMLAELKKLIEANPLFRDKLAFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGPLAPTPVNLPVAAVAKPAAYTSLVAHSPFPPAAAAAAAANAGALAGWMANASASSSVQAAVVTASSIPVPQNQVSVLKRPRTPPSAPGLVDYQNPDHELLMKRLRPAPSVEEVTYPTPRQQAWSLDDLPRTVALTLHQGSMVTSMDFHPTNHTLLLVGSANGEITLWELGMRERLVSKPFKIWEMSACSMTFQAMMVNDTPISVNRVTWSPDGNFLGVAFSKHLIHFYAYPGSNDLIQRLEIDAHVGGVNDLAFAHPNKQLCIVTCGEDKLIKVWDSITGKKLFNFEGHDAPVYSICPHHKENIQFIFSTAVNGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIKRTYVGFRKKSAGVVSFDTTQNHFLAAGEDSQIKFWDMDNISLLTFTEAEGGLPSLPRVRFNKEGNLLSVTTADNGFKILANALGLRSLRAVETSFDPLRTPIVSSAIKASGSSAVANVGPVSCKLERSSPVRPSPMLNGVDPLSRSVERPRVLDDAIDKTKPWQLAEIVDPGHCRLVTLPDSTDTSSKVVRLLYTNSAVGILALGSNGVQKLWKWPRNEQNPSGKATANVVPQHWQPNSGLLMTNDVTGVNLEEAVPCIALSKNDSYVMSATGGKVSLFNMMTFKVMTTFMSPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTSLNILVSSGADANLCLWSIDTWEKRKSVAIQIPAGMAPTGDTRVQFHSDQIRMLVVHETQLAIYDASKMERVRQWIPQDVLHAPISYATYSCNSQSVYATFCDGNIGVFDADSLRLRCRIAPSVYLSSVILKG >KJB25513 pep chromosome:Graimondii2_0_v6:4:51521017:51523584:1 gene:B456_004G195500 transcript:KJB25513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPSNSSKGQSNGGSNRALLYLNVYDLTPINNYLYWFGIGIFHSGIEVHGLEYGFGAHEYPTSGVFEVEPRSCPGFIFRRSILLGSTNLSRSEFRSLMEQLSQKYHGDTYHLIAKNCNHFTNEVCMQLTGKPIPGWVNRLAQLGSFCNCLLPESIQVTAVRHLPDHPAYSDDERSNSAATSLTGESDEEDADHHLLTTQNGDVAFLKEKPLRFAKELL >KJB25516 pep chromosome:Graimondii2_0_v6:4:51521689:51522540:1 gene:B456_004G195500 transcript:KJB25516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPSNSSKGQSNGGSNRALLYLNVYDLTPINNYLYWFGIGIFHSGIEVHGLEYGFGAHEYPTSGVFEVEPRSCPGFIFRRSILLGSTNLSRSEFRSLMEQLSQKYHGDTYHLIAKNCNHFTNEVCMQLTGKPIPGWVNRLAQLGDKIVCFHFNVILYLIT >KJB25512 pep chromosome:Graimondii2_0_v6:4:51521689:51522540:1 gene:B456_004G195500 transcript:KJB25512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPSNSSKGQSNGGSNRALLYLNVYDLTPINNYLYWFGIGIFHSGIEVHGLEYGFGAHEYPTSGVFEVEPRSCPGFIFRRSILLGSTNLSRSEFRSLMEQLSQKYHGDTYHLIAKNCNHFTNEVCMQLTGKPIPGWVNRLAQLGDKIVCFHFNVILYLIT >KJB25511 pep chromosome:Graimondii2_0_v6:4:51521053:51523498:1 gene:B456_004G195500 transcript:KJB25511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPSNSSKGQSNGGSNRALLYLNVYDLTPINNYLYWFGIGIFHSGIEVHGLEYGFGAHEYPTSGVFEVEPRSCPGFIFRRSILLGSTNLSRSEFRSLMEQLSQKYHGDTYHLIAKNCNHFTNEVCMQLTGKPIPGWVNRLAQLGSFCNCLLPESIQVTAVRHLPDHPAYSDDERSNSAATSLTGESDEEDADHHLLTTQNGDVAFLKEKPLRFAKELL >KJB25510 pep chromosome:Graimondii2_0_v6:4:51521053:51523498:1 gene:B456_004G195500 transcript:KJB25510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPSNSSKGQSNGGSNRALLYLNVYDLTPINNYLYWFGIGIFHSGIEVHGLEYGFGAHEYPTSGVFEVEPRSCPGFIFRRSILLGSTNLSRSEFRSLMEQLSQKYHGDTYHLIAKNCNHFTNEVCMQLTGKPIPGWVNRLAQLGSFCNCLLPESIQVTAVRHLPDHPAYSDDERSNSAATSLTGESDEEDADHHLLTTQNGDVAFLKEKPLRFAKELL >KJB25517 pep chromosome:Graimondii2_0_v6:4:51521053:51523498:1 gene:B456_004G195500 transcript:KJB25517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPSNSSKGQSNGGSNRALLYLNVYDLTPINNYLYWFGIGIFHSGIEVHGLEYGFGAHEYPTSGVFEVEPRSCPGFIFRRSILLGSTNLSRSEFRSLMEQLSQKYHGDTYHLIAKNCNHFTNEVCMQLTGKPIPGWVNRLAQLGSFCNCLLPESIQVTAVRHLPDHPAYSDDERSNSAATSLTGESDEEDADHHLLTTQNGDVAFLKEKPLRFAKELL >KJB25514 pep chromosome:Graimondii2_0_v6:4:51521689:51523075:1 gene:B456_004G195500 transcript:KJB25514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPSNSSKGQSNGGSNRALLYLNVYDLTPINNYLYWFGIGIFHSGIEVHGLEYGFGAHEYPTSGVFEVEPRSCPGFIFRRSILLGSTNLSRSEFRSLMEQLSQKYHGDTYHLIAKNCNHFTNEVCMQLTGKPIPGWVNRLAQLGSFCNCLLPESIQVTAVRHLPDHPAYSAIFPTQMMKDQILLQHL >KJB25515 pep chromosome:Graimondii2_0_v6:4:51521053:51523498:1 gene:B456_004G195500 transcript:KJB25515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPSNSSKGQSNGGSNRALLYLNVYDLTPINNYLYWFGIGIFHSGIEVHGLEYGFGAHEYPTSGVFEVEPRSCPGFIFRRSILLGSTNLSRSEFRSLMEQLSQKYHGDTYHLIAKNCNHFTNEVCMQLTGKPIPGWVNRLAQLVSGSFCNCLLPESIQVTAVRHLPDHPAYSDDERSNSAATSLTGESDEEDADHHLLTTQNGDVAFLKEKPLRFAKELL >KJB21376 pep chromosome:Graimondii2_0_v6:4:19069881:19071994:-1 gene:B456_004G102500 transcript:KJB21376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPILLSLFFGIASDAPDAVTYNAFMNGICKEGRADDAFRLCDNMNSEGLALDNITYTTLIHVSLKAGCKFWHEKFIVDHGTAMILLFLKYCTGC >KJB21377 pep chromosome:Graimondii2_0_v6:4:19069881:19072170:-1 gene:B456_004G102500 transcript:KJB21377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPILLSLFFGIASDAPDAVTYNAFMNGICKEGRADDAFRLCDNMNSEGLALDNITYTTLIHVSLKAGCKFWHEKFIVDHGTAMILLFLKYCTGC >KJB21380 pep chromosome:Graimondii2_0_v6:4:19070823:19071609:-1 gene:B456_004G102500 transcript:KJB21380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPILLSLFFGIASDAPDAVTYNAFMNGICKEGRADDAFRLCDNMNSEGLALDNITYTTLIHVSLKAGCKFWHEKFIVDHGTAMILLFLKYCTGC >KJB21381 pep chromosome:Graimondii2_0_v6:4:19070823:19071609:-1 gene:B456_004G102500 transcript:KJB21381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPILLSLFFGIASDAPDAVTYNAFMNGICKEGRADDAFRLCDNMNSEGLALDNITYTTLIHVSLKAGCKFWHEKFIVDHGTAMILLFLKYCTGC >KJB21374 pep chromosome:Graimondii2_0_v6:4:19069881:19072409:-1 gene:B456_004G102500 transcript:KJB21374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPILLSLFFGIASDAPDAVTYNAFMNGICKEGRADDAFRLCDNMNSEGLALDNITYTTLIHVSLKAGCKFWHEKFIVDHGTAMILLFLKYCTGC >KJB21379 pep chromosome:Graimondii2_0_v6:4:19069881:19071796:-1 gene:B456_004G102500 transcript:KJB21379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPILLSLFFGIASDAPDAVTYNAFMNGICKEGRADDAFRLCDNMNSEGLALDNITYTTLIHVSLKAGCKFWHEKFIVDHGTAMILLFLKYCTGC >KJB21375 pep chromosome:Graimondii2_0_v6:4:19070823:19071609:-1 gene:B456_004G102500 transcript:KJB21375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPILLSLFFGIASDAPDAVTYNAFMNGICKEGRADDAFRLCDNMNSEGLALDNITYTTLIHVSLKAGCKFWHEKFIVDHGTAMILLFLKYCTGC >KJB21378 pep chromosome:Graimondii2_0_v6:4:19069881:19071937:-1 gene:B456_004G102500 transcript:KJB21378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPILLSLFFGIASDAPDAVTYNAFMNGICKEGRADDAFRLCDNMNSEGLALDNITYTTLIHVSLKAGCKFWHEKFIVDHGTAMILLFLKYCTGC >KJB25540 pep chromosome:Graimondii2_0_v6:4:51690795:51693590:1 gene:B456_004G196500 transcript:KJB25540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSHNGHNSRTCPNRGVKLFGVRLTDGSIRKSASMGNLSQYSGSNSGAHNGNGSGSPGEGPDHTDGYASEDFVPGSSSSRERKKEEEHRMFLLGLQKLGKGDWRGISRNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADESSDTPMASQDLFSANHPHAETQSNDQLSIPPTLDEEDKSMDSNNSNDGETVPPKSESSQPCYPVVYPTYFPPFFPYSLPYWMGYDTEPTKKDTHEVVKPTAVHSKSPINVDELVGMSKLSLGESIGDSGSSSLSLKLDGSSRQSAFHANPASSGNSNMDSSGNPIHAV >KJB25539 pep chromosome:Graimondii2_0_v6:4:51690795:51693590:1 gene:B456_004G196500 transcript:KJB25539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSHNGHNSRTCPNRGVKLFGVRLTDGSIRKSASMGNLSQYSGSNSGAHNGNGSGSPGEGPDHTDGYASEDFVPGSSSSRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGISRNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADESNDQLSIPPTLDEEDKSMDSNNSNDGETVPPKSESSQPCYPVVYPTYFPPFFPYSLPYWMGYDTEPTKKDTHEVVKPTAVHSKSPINVDELVGMSKLSLGESIGDSGSSSLSLKLDGSSRQSAFHANPASSGNSNMDSSGNPIHAV >KJB25538 pep chromosome:Graimondii2_0_v6:4:51690742:51693590:1 gene:B456_004G196500 transcript:KJB25538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSHNGHNSRTCPNRGVKLFGVRLTDGSIRKSASMGNLSQYSGSNSGAHNGNGSGSPGEGPDHTDGYASEDFVPGSSSSRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGISRNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADESSDTPMASQDLFSANHPHAETQSNDQLSIPPTLDEEDKSMDSNNSNDGETVPPKSESSQPCYPVVYPTYFPPFFPYSLPYWMGYDTEPTKKDTHEVVKPTAVHSKSPINVDELVGMSKLSLGESIGDSGSSSLSLKLDGSSRQSAFHANPASSGNSNMDSSGNPIHAV >KJB25284 pep chromosome:Graimondii2_0_v6:4:49692988:49700371:-1 gene:B456_004G183300 transcript:KJB25284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-interacting protein 111 [Source:Projected from Arabidopsis thaliana (AT3G56690) UniProtKB/Swiss-Prot;Acc:Q9LET7] MPSKSKKQSKTPSRQSNSDLSASPRTPSVTSLDSEVSEEDLRCSIDEVSKQYPSLIGKSAFIGRVNYVDSETRSCKIWLSESSMVASYLAPGTLVSVSLSALKNKHSNGFPLSSVTDEYTLPLGVGSANETTKEVGNYFALATVFPSCKVLKNGVRLSSSLSYTLGSPSSGSIVFVYPIQSEFQTGLINESEKGHNPNSNCLSLYSCQQLHLELTSKNTVNTSYDIQSKMEFSTGRSHDRFENGITSSPKTPLYQSKLSSLHSAQVDSPFCEGSASNISKPNGLCVDSFDVKEILRDESSKKLLETCATSWLYSRNLLRGNIVAIPILSELCIFCVIGAGISNQDLTNGSHHSLPPQALEPMEHVNSAFVVDHKTEVYLRSSLDLSSETQAERTSPCVQNDSGNVKTIMEHDIPDLGGLSKEYAILKEIISSLVKNALSSFGLQTTKGVLIHGPPGTGKTSLARICVHDVGVNLFCVNGPEIVSQYHGESEQELHKVFESAIQAAPSVVFIDELDAIAPARKEGGEQLCQRMVATLLNLMDGVSTTDGVLVIAATNRPDSIEPALRRPGRFDRELEIGVPSPKQRLDILCTLLRNMDHCISDMQVQQLAMATHGFVGADLASLCNEAALVCLRRYSKFRVSCHGLGSCEMPITYVGQSGNNMEGMESGSGLRDISSSSSDSASSCKLPDSTEMVSQITASIQNGINNNSEGLSLVKENCLLSLVFEDFEKARMKVRPSAMREIILEVPKVNWEDVGGQREVKTQLMEAVEWPQKHQDAFKRIGTRPPTGVLMFGPPGCSKTLMARAVASEAGLNFLAVKGPELFSKWVGESEKAVRSLFAKARANAPSIIFFDEIDSLAVTRGKDNDGVSVSDRVMSQLLVELDGLHQRVDVTVIAATNRPDKIDPALLRPGRFDRLLYVGPPNENDREDIFRIHLSKSPCRSDVSLKELAHLTEGCTGADISLICREAAVIALETSTAIGDSVVSRPISKI >KJB25283 pep chromosome:Graimondii2_0_v6:4:49692933:49700371:-1 gene:B456_004G183300 transcript:KJB25283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-interacting protein 111 [Source:Projected from Arabidopsis thaliana (AT3G56690) UniProtKB/Swiss-Prot;Acc:Q9LET7] MPSKSKKQSKTPSRQSNSDLSASPRTPSVTSLDSEVSEEDLRCSIDEVSKQYPSLIGKSAFIGRVNYVDSETRSCKIWLSESSMVASYLAPGTLVSVSLSALKNKHSNGFPLSSVTDEYTLPLGVGSANETTKEVGNYFALATVFPSCKVLKNGVRLSSSLSYTLGSPSSGSIVFVYPIQSEFQTGLINESEKGHNPNSNCLSLYSCQQLHLELTSKNTVNTSYDIQSKMEFSTGRSHDRFENGITSSPKTPLYQSKLSSLHSAQVDSPFCEGSASNISKPNGLCVDSFDVKEILRDESSKKLLETCATSWLYSRNLLRGNIVAIPILSELCIFCVIGAGISNQDLTNGSHHSLPPQALEPMEHVNSAFVVDHKTEVYLRSSLDLSSETQAERTSPCVQNDSGNVKTIMEHDIPDLGGLSKEYAILKEIISSLVKNALSSFGLQTTKGVLIHGPPGTGKTSLARICVHDVGVNLFCVNGPEIVSQYHGESEQELHKVFESAIQAAPSVVFIDELDAIAPARKEGGEQLCQRMVATLLNLMDGVSTTDGVLVIAATNRPDSIEPALRRPGRFDRELEIGVPSPKQRLDILCTLLRNMDHCISDMQVQQLAMATHGFVGADLASLCNEAALVCLRRYSKFRVSCHGLGSCEMPITYVGQSGNNMEGMESGSGLRDISSSSSDSASSCKLPDSTEMVSQITASIQNGINNNSEGLSLVKENCLLSLVFEDFEKARMKVRPSAMREIILEVPKVNWEDVGGQREVKTQLMEAVEWPQKHQDAFKRIGTRPPTGVLMFGPPGCSKTLMARAVASEAGLNFLAVKGPELFSKWVGESEKAVRSLFAKARANAPSIIFFDEIDSLAVTRGKDNDGVSVSDRVMSQLLVELDGLHQRVDVTVIAATNRPDKIDPALLRPGRFDRLLYVGPPNENDREDIFRIHLSKSPCRSDVSLKELAHLTEGCTGADISLICREAAVIALEESLDAEELTMCHIKTAIRQARPSEIQLYQDLSAKFERLVHSSSVEKN >KJB25879 pep chromosome:Graimondii2_0_v6:4:54589592:54593856:-1 gene:B456_004G213200 transcript:KJB25879 gene_biotype:protein_coding transcript_biotype:protein_coding description:YDA [Source:Projected from Arabidopsis thaliana (AT1G63700) UniProtKB/TrEMBL;Acc:A0A178WLG6] MPPWWGKSSSKEDKKKASKESFIDAINRKLKITSDEKSTSRSGGSRRSRSGAVSQRGSLSRVPSRSPSPSTQVSRCQSFVERPHAQPLPLPGGNHANVLRSNSGINASIRPGFDRGSKPSPLPKPGQFSKKLDRVDGEGDFATASISSDSSIDSDDPSDSRLLSPLTSDYENGQRTAANSPSGTKHMDQLSDVNQESKEILKPSNISFNNQYLSTSPKRGSMTNHVQNLQIPQRGALSSAPDSSMSSPSRSPLRAFGLEQVWNSGPGTGKPFSDIVFLGSGQCSSPGSGHNSVGGDMSGQLLWPQSRCSPECSPLPSPRMTSPGPSSRIHSGAVTPLHPRAAGAAAESPTSRPDDGKQQSHRLPLPPITISNTCPFSPGYSAATSPSLPRSPGRAENPTSPCSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFSDDAKSKESAQQLGQEIMLLSQLRHPNIVQYYGYETVDDKLYIYLEYVSGGSIYKLLQEYGPFGESAIRNYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGSSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPAIPDTLSEEGKDFVRQCLQRNPLHRPTAAWLLEHPFIKNAAPLERPIFSADASDPSPAVANAMRTLGIGNARNFPCIDSEGTASLPCRALKTVSGSRSVFLSNMDAHM >KJB25878 pep chromosome:Graimondii2_0_v6:4:54588722:54593681:-1 gene:B456_004G213200 transcript:KJB25878 gene_biotype:protein_coding transcript_biotype:protein_coding description:YDA [Source:Projected from Arabidopsis thaliana (AT1G63700) UniProtKB/TrEMBL;Acc:A0A178WLG6] MPPWWGKSSSKEDKKKASKESFIDAINRKLKITSDEKSTSRSGGSRRSRSGAVSQRGSLSRVPSRSPSPSTQVSRCQSFVERPHAQPLPLPGGNHANVLRSNSGINASIRPGFDRGSKPSPLPKPGQFSKKLDRVDGEGDFATASISSDSSIDSDDPSDSRLLSPLTSDYENGQRTAANSPSGTKHMDQLSDVNQESKEILKPSNISFNNQYLSTSPKRGSMTNHVQNLQIPQRGALSSAPDSSMSSPSRSPLRAFGLEQVWNSGPGTGKPFSDIVFLGSGQCSSPGSGHNSVGGDMSGQLLWPQSRCSPECSPLPSPRMTSPGPSSRIHSGAVTPLHPRAAGAAAESPTSRPDDGKQQSHRLPLPPITISNTCPFSPGYSAATSPSLPRSPGRAENPTSPCSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFSDDAKSKESAQQLGQEIMLLSQLRHPNIVQYYGYETVDDKLYIYLEYVSGGSIYKLLQEYGPFGESAIRNYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGSSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPAIPDTLSEEGKDFVRQCLQRNPLHRPTAAWLLEHPFIKNAAPLERPIFSADASDPSPAVANAMRTLGIGNARNFPCIDSEGTASLPCRALKTVSGSSDIHTPRNMSCPVSPIGSPLPHPRSPQNLSGRMSPSPISSPHALSGSSTPLTGGSGTIPFHHQKQPMAYLHEGLGIIPRSQTNFYGNANNPYQEPKPDMFRGISQASNVSQEMISSDTGAFGKQYGWPGHGDHRDFYNGQPALADHVSQQLLRDHVKLKPSLDLNPGSSMLGRNGGI >KJB25877 pep chromosome:Graimondii2_0_v6:4:54587925:54595021:-1 gene:B456_004G213200 transcript:KJB25877 gene_biotype:protein_coding transcript_biotype:protein_coding description:YDA [Source:Projected from Arabidopsis thaliana (AT1G63700) UniProtKB/TrEMBL;Acc:A0A178WLG6] MPPWWGKSSSKEDKKKASKESFIDAINRKLKITSDEKSTSRSGGSRRSRSGAVSQRGSLSRVPSRSPSPSTQVSRCQSFVERPHAQPLPLPGGNHANVLRSNSGINASIRPGFDRGSKPSPLPKPGQFSKKLDRVDGEGDFATASISSDSSIDSDDPSDSRLLSPLTSDYENGQRTAANSPSGTKHMDQLSDVNQESKEILKPSNISFNNQYLSTSPKRGSMTNHVQNLQIPQRGALSSAPDSSMSSPSRSPLRAFGLEQVWNSGPGTGKPFSDIVFLGSGQCSSPGSGHNSVGGDMSGQLLWPQSRCSPECSPLPSPRMTSPGPSSRIHSGAVTPLHPRAAGAAAESPTSRPDDGKQQSHRLPLPPITISNTCPFSPGYSAATSPSLPRSPGRAENPTSPCSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFSDDAKSKESAQQLGQEIMLLSQLRHPNIVQYYGYETVDDKLYIYLEYVSGGSIYKLLQEYGPFGESAIRNYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGSSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPAIPDTLSEEGKDFVRQCLQRNPLHRPTAAWLLEHPFIKNAAPLERPIFSADASDPSPAVANAMRTLGIGNARNFPCIDSEGTASLPCRALKTVSGSSDIHTPRNMSCPVSPIGSPLPHPRSPQNLSGRMSPSPISSPHALSGSSTPLTGGSGTIPFHHQKQPMAYLHEGLGIIPRSQTNFYGNANNPYQEPKPDMFRGISQASNVSQEMISSDTGAFGKQYGWPGHGDHRDFYNGQPALADHVSQQLLRDHVKLKPSLDLNPGSSMLGRNGGI >KJB21867 pep chromosome:Graimondii2_0_v6:4:1508419:1510928:1 gene:B456_004G020300 transcript:KJB21867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIRSSSVLSCYYSSKTHFKLRRQPLEHCFLSLPPPPPPPRHPASRPKVRISLPSMALQVQDHQSFHKSKEMLPKIDKSGRFCSPRAARELALLIVYASCLQGSDPIRLFEKRINAAREPGYEFDKASLLQYNHMSFGGPPVTTHSVEEADELLRSDEQDSAIEAEVLSAPPKLVYSKLLLSFTRKLLVATVDKWDNHVLAIDKVVPSNWKVCLRR >KJB21866 pep chromosome:Graimondii2_0_v6:4:1508402:1510949:1 gene:B456_004G020300 transcript:KJB21866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIRSSSVLSCYYSSKTHFKLRRQPLEHCFLSLPPPPPPPRHPASRPKVRISLPSMALQVQDHQSFHKSKEMLPKIDKSGRFCSPRAARELALLIVYASCLQGSDPIRLFEKRINAAREPGYEFDKASLLQYNHMSFGGPPVTTHSVEEADELLRSDEQDSAIEAEVLSAPPKLVYSKLLLSFTRKLLVATVDKWDNHVLAIDKVVPSNWKNEPAGRILELSILHLAMSEITVLGTRHPIVINEAVDLANRFCDGAAPRIINGCLRTFVKDLAGTSTAQTSNSKLEVPLQV >KJB24299 pep chromosome:Graimondii2_0_v6:4:38488830:38490741:1 gene:B456_004G137600 transcript:KJB24299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMCFEFLTQEKRVTQRELFYKLLCDSPHYFSSQLQVNRTIQDVVALLRCSRYSLGIMASSKGLVAGRILLQEPNQEAVDCSACGSSGYAISGDLNLLESLIMKTDARYIVVVEKHAIFQRLAEDHVFNQIPSILLTAKGYPDIATRFLLHRVSRAFPDLPILALVDWNPAGLAILSTYKFGSIGMGLEAYRYACNVKWLGLRGDDLQLIPQQSLAPLKPRDLQIARSLISSTTLQDNYRQELAVMMQSGQRAEIEALYFNGYDYLGKYLAKKIVQANYI >KJB24298 pep chromosome:Graimondii2_0_v6:4:38488261:38490741:1 gene:B456_004G137600 transcript:KJB24298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLSKSSMKFFSDQQLCFADILLPHEVQARIQVAVLNFLKILSSPDPAISDLPLINRKSSNSRVSEGILTDVSWIFLSHSFCSRSLMKANATKAFIRVWKVMEMCFEFLTQEKRVTQRELFYKLLCDSPHYFSSQLQVNRTIQDVVALLRCSRYSLGIMASSKGLVAGRILLQEPNQEAVDCSACGSSGYAISGDLNLLESLIMKTDARYIVVVEKHAIFQRLAEDHVFNQIPSILLTAKGYPDIATRFLLHRVSRAFPDLPILALVDWNPAGLAILSTYKFGSIGMGLEAYRYACNVKWLGLRGDDLQLIPQQSLAPLKPRDLQIARSLISSTTLQDNYRQELAVMMQSGQRAEIEALYFNGYDYLGKYLAKKIVQANYI >KJB24563 pep chromosome:Graimondii2_0_v6:4:42956710:42956958:-1 gene:B456_004G151400 transcript:KJB24563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVVCLKGKYLLSLILKLVWNSFIYMIWRERNRRLFQGRKSNEETIIGSIKDVIQLRLLERNVNSSSNVYNILCTKWCLRGVT >KJB26697 pep chromosome:Graimondii2_0_v6:4:59194682:59198695:1 gene:B456_004G255000 transcript:KJB26697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATAAIIGLTVGKRFLSSSFSYSDIIEKHSYAGDYGGAPHYQTAPTKSLIVAKKSSNCSQSVPSYDRRSRSIKALKEHVDAASLVSTAEPWFQGSNDFEEESYELDYSVEALFLFQKSMLEQQWTLSFERTVFTESPSRKTKKKVPVTCSGVSARQRRFNTRMQPNAKQLRSLISPELLRSRLKGYVRGVVSEELLSHAEVVRLSKKIKAGLSLEDQRLRLKERLGCDPSDEQLATSLKISRAELRSMLIECSLARDKLAMSNVRLVMSIAQRYDNMGAEMPDLIQGGLIGLLRGIEKFDSSKGYKISTYVYWWIRQGVSRALVDNSRTLRLPTHMHERLGLIRNAKYRLEEKGITPTIDRIAESLNMSQKKVRNATKADSKVFSLDRVAFPSLNGLPGETHHSYIADNHEENNPWHGVDEGALKDEVNRLIGITLGEREREIIKLYHGLGKESLTWEDISKRIGLSRERVRQIGLVALEKLKNAARKNGMEAMLLKQ >KJB26696 pep chromosome:Graimondii2_0_v6:4:59195186:59198630:1 gene:B456_004G255000 transcript:KJB26696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATAAIIGLTVGKRFLSSSFSYSDIIEKHSYAGDYGGAPHYQTAPTKSLIVAKKSSNCSQSVPSYDRRSRSIKALKEHVDAASLVSTAEPWFQGSNDFEEESYELDYSVEALFLFQKSMLEQQWTLSFERTVFTESPSRKTKKKVPVTCSGVSARQRRFNTRMQPNAKQLRSLISPELLRSRLKGYVRGVVSEELLSHAEVVRLSKKIKAGLSLEDQRLRLKERLGCDPSDEQLATSLKISRAELRSMLIECSLARDKLAMSNVRLVMSIAQRYDNMGAEMPDLIQGGLIGLLRGIEKFDSSKGYKISTYVYWWIRQGVSRALVDNSRTLRLPTHMHERLGLIRNAKYRLEEKGITPTIDRIAESLNMSQKKVRNATKADSKVFSLDRVAFPSLNGLPGETHHSYIADNHEENNPWHGVDEGALKDEVNRLIGITLGEREREIIKLYHGLGKESLTWEDISKRIGLSRERVRQIGLVALEKLKNAARKNGMEAMLLKQ >KJB23831 pep chromosome:Graimondii2_0_v6:4:29145605:29147262:1 gene:B456_004G119200 transcript:KJB23831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIQVKCSCGAEKCPEWAIIELQGVVEVQPSFQHSLQNLQIGLLCRPSSQENYTFTVGYHELTGSKVALKKPMVVLKKIKYMDVATSSSSCVELDVVGVIRHKILFKTRPTALISGPQPTVKEKINAAGA >KJB26037 pep chromosome:Graimondii2_0_v6:4:55677407:55683589:-1 gene:B456_004G222200 transcript:KJB26037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPRVVQAFRTMKEIGISEEKVKPVLKKLLKLYDKNWELIESENYRVLADAIFEEEDSKVSEPKKSTAHDQEDIDEEGSTPVELVRPLKRARLKNQEGLATCSHTNGSSNVAGTLLKEPKVEENEIPPASLQHRSLQSNVGNIRTEILPASPGPVCPQPPSHAPVSPHHSGRDKGKQIVEPRPNYKGKEPMSPHVASKGKGPERASVALRIKDPAPEPGIIPNNRVSATQALIIPKEEPFTDDMPQDEVPLAVIQPDSLSGRDLPIGDFSTEKSNWLEPPESLHAAEIAGSSASASGSERHTSCEHATVPDEIPSILEIASSQLGEVTISLSYNSALGGPNFQLPSIDELRGLMELRCLQSYKLIDPNFDVIKILTDMCECISELATNSSNQSQEGNEMPALDVLKKSPSRGDAEKNKENGCCEAAMMLNESFDNHCSGNGFVDNVGRKELVVAPQHHLTSNELRRVLDASDITKGEENFEISWVNEINKEFPTPFQYISDNLVFQNAHVSFSLSRIGDERCCPTCLGDCLFSQKPCVCACQAGGKFAYTPEGVIKEDFLEECISMTRDPQKQCLLNCTECPLERSKADDFPEPCKGHLQRKVIKECWIKCGCNKQCGNRVVQRGVNYKLQVFLTPDGKGWGLRTLENLPKGAFVCEFVGEILTIPEFYARNREKHTSPVLLDAYWGLKGVPKDEEALCLDATCYGNVARFINHRCLDANLIEIPVEVETPDLHYYHLAFFTTREVHALEELTWDYGIDFDDLDHHVKTFQCRCGSKFCRNMKRSIRSKSATR >KJB26041 pep chromosome:Graimondii2_0_v6:4:55677407:55683703:-1 gene:B456_004G222200 transcript:KJB26041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPRVVQAFRTMKEIGISEEKVKPVLKKLLKLYDKNWELIESENYRVLADAIFEEEDSKVSEPKKSTAHDEDIDEEGSTPVELVRPLKRARLKNQEGLATCSHTNGSSNVAGTLLKEPKVEENEIPPASLQHRSLQSNVGNIRTEILPASPGPVCPQPPSHAPVSPHHSGRDKGKQIVEPRPNYKGKEPMSPHVASKGKGPERASVALRIKDPAPEPGIIPNNRVSATQALIIPKEEPFTDDMPQDEVPLAVIQPDSLSGRDLPIGDFSTEKSNWLEPPESLHAAEIAGSSASASGSERHTSCEHATVPDEIPSILEIASSQLGEEGNEMPALDVLKKSPSRGDAEKNKENGCCEAAMMLNESFDNHCSGNGFVDNVGRKELVVAPQHHLTSNELRRVLDASDITKGEENFEISWVNEINKEFPTPFQYISDNLVFQNAHVSFSLSRIGDERCCPTCLGDCLFSQKPCVCACQAGGKFAYTPEGVIKEDFLEECISMTRDPQKQCLLNCTECPLERSKADDFPEPCKGHLQRKVIKECWIKCGCNKQCGNRVVQRGVNYKLQVFLTPDGKGWGLRTLENLPKGAFVCEFVGEILTIPEFYARNREKHTSPVLLDAYWGLKGVPKDEEALCLDATCYGNVARFINHS >KJB26039 pep chromosome:Graimondii2_0_v6:4:55677407:55683106:-1 gene:B456_004G222200 transcript:KJB26039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPRVVQAFRTMKEIGISEEKVKPVLKKLLKLYDKNWELIESENYRVLADAIFEEEDSKVSEPKKSTAHDEDIDEEGSTPVELVRPLKRARLKNQEGLATCSHTNGSSNVAGTLLKEPKVEENEIPPASLQHRSLQSNVGNIRTEILPASPGPVCPQPPSHAPVSPHHSGRDKGKQIVEPRPNYKGKEPMSPHVASKGKGPERASVALRIKDPAPEPGIIPNNRVSATQALIIPKEEPFTDDMPQDEVPLAVIQPDSLSGRDLPIGDFSTEKSNWLEPPESLHAAEIAGSSASASGSERHTSCEHATVPDEIPSILEIASSQLGEEGNEMPALDVLKKSPSRGDAEKNKENGCCEAAMMLNESFDNHCSGNGFVDNVGRKELVVAPQHHLTSNELRRVLDASDITKGEENFEISWVNEINKEFPTPFQYISDNLVFQNAHVSFSLSRIGDERCCPTCLGDCLFSQKPCVCACQAGGKFAYTPEGVIKEDFLEECISMTRDPQKQCLLNCTECPLERSKADDFPEPCKGHLQRKVIKECWIKCGCNKQCGNRVVQRGVNYKLQVFLTPDGKGWGLRTLENLPKGAFVCEFVGEILTIPEFYARNREKHTSPVLLDAYWGLKGVPKDEEALCLDATCYGNVARFINHRCLDANLIEIPVEVETPDLHYYHLAFFTTREVHALEELTWDYGIDFDDLDHHVKTFQCRCGSKFCRNMKRSIRSKSATR >KJB26043 pep chromosome:Graimondii2_0_v6:4:55677673:55682706:-1 gene:B456_004G222200 transcript:KJB26043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPRVVQAFRTMKEIGISEEKVKPVLKKLLKLYDKNWELIESENYRVLADAIFEEEDSKVSEPKKSTAHDQEDIDEEGSTPVELVRPLKRARLKNQEGLATCSHTNGSSNVAGTLLKEPKVEENEIPPASLQHRSLQSNVGNIRTEILPASPGPVCPQPPSHAPVSPHHSGRDKGKQIVEPRPNYKGKEPMSPHVASKGKGPERASVALRIKDPAPEPGIIPNNRVSATQALIIPKEEPFTDDMPQDEVPLAVIQPDSLSGRDLPIGDFSTEKSNWLEPPESLHAAEIAGSSASASGSERHTSCEHATVPDEIPSILEIASSQLGEEGNEMPALDVLKKSPSRGDAEKNKENGCCEAAMMLNESFDNHCSGNGFVDNVGRKELVVAPQHHLTSNELRRVLDASDITKGEENFEISWVNEINKEFPTPFQYISDNLVFQNAHVSFSLSRIGDERCCPTCLGDCLFSQKPCVCACQAGGKFAYTPEGVIKEDFLEECISMTRDPQKQCLLNCTECPLERSKADDFPEPCKGHLQRKVIKECWIKCGCNKQCGNRVVQRGVNYKLQVFLTPDGKGWGLRTLENLPKGAFVCEFVGEILTIPEFYARNREKHTSPVLLDAYWGLKGVPKDEEALCLDATCYGNVARFINHRCLDANLIEIPVEVETPDLHYYHLAFFTTREVHALEELTWDYGIDFDDLDHHVKTFQCRCGSKFCRNMKRSIRSKSATR >KJB26044 pep chromosome:Graimondii2_0_v6:4:55679334:55683106:-1 gene:B456_004G222200 transcript:KJB26044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPRVVQAFRTMKEIGISEEKVKPVLKKLLKLYDKNWELIESENYRVLADAIFEEEDSKVSEPKKSTAHDEDIDEEGSTPVELVRPLKRARLKNQEGLATCSHTNGSSNVAGTLLKEPKVEENEIPPASLQHRSLQSNVGNIRTEILPASPGPVCPQPPSHAPVSPHHSGRDKGKQIVEPRPNYKGKEPMSPHVASKGKGPERASVALRIKDPAPEPGIIPNNRVSATQALIIPKEEPFTDDMPQDEVPLAVIQPDSLSGRDLPIGDFSTEKSNWLEPPESLHAAEIAGSSASASGSERHTSCEHATVPDEIPSILEIASSQLGEEGNEMPALDVLKKSPSRGDAEKNKENGCCEAAMMLNESFDNHCSGNGFVDNVGRKELVVAPQHHLTSNELRRVLDASDITKGEENFEISWVNEINKEFPTPFQYISDNLVFQNAHVSFSLSRIGDERCCPTCLGDCLFSQKPCVCACQAGGKFAYTPEGVIKEDFLEECISMTRDPQKQCLLNCTECPLERSKADDFPEPCKGHLQRKVIKECWIKCGCNKQCGNRVVQRGVNYKLQVFLTPDGKGWGLRTLENLPKGAFVCEFVGEILTIPEFYARNREKHTSPVLLDAYWGLKGVPKDEEALCLDATCYGNVARFINHR >KJB26038 pep chromosome:Graimondii2_0_v6:4:55677673:55682706:-1 gene:B456_004G222200 transcript:KJB26038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPRVVQAFRTMKEIGISEEKVKPVLKKLLKLYDKNWELIESENYRVLADAIFEEEDSKVSEPKKSTAHDEDIDEEGSTPVELVRPLKRARLKNQEGLATCSHTNGSSNVAGTLLKEPKVEENEIPPASLQHRSLQSNVGNIRTEILPASPGPVCPQPPSHAPVSPHHSGRDKGKQIVEPRPNYKGKEPMSPHVASKGKGPERASVALRIKDPAPEPGIIPNNRVSATQALIIPKEEPFTDDMPQDEVPLAVIQPDSLSGRDLPIGDFSTEKSNWLEPPESLHAAEIAGSSASASGSERHTSCEHATVPDEIPSILEIASSQLGEEGNEMPALDVLKKSPSRGDAEKNKENGCCEAAMMLNESFDNHCSGNGFVDNVGRKELVVAPQHHLTSNELRRVLDASDITKGEENFEISWVNEINKEFPTPFQYISDNLVFQNAHVSFSLSRIGDERCCPTCLGDCLFSQKPCVCACQAGGKFAYTPEGVIKEDFLEECISMTRDPQKQCLLNCTECPLERSKADDFPEPCKGHLQRKVIKECWIKCGCNKQCGNRVVQRGVNYKLQVFLTPDGKGWGLRTLENLPKGAFVCEFVGEILTIPEFYARNREKHTSPVLLDAYWGLKGVPKDEEALCLDATCYGNVARFINHRCLDANLIEIPVEVETPDLHYYHLAFFTTREVHALEELTWDYGIDFDDLDHHVKTFQCRCGSKFCRNMKRSIRSKSATR >KJB26042 pep chromosome:Graimondii2_0_v6:4:55677407:55683703:-1 gene:B456_004G222200 transcript:KJB26042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPRVVQAFRTMKEIGISEEKVKPVLKKLLKLYDKNWELIESENYRVLADAIFEEEDSKVSEPKKSTAHDEDIDEEGSTPVELVRPLKRARLKNQEGLATCSHTNGSSNVAGTLLKEPKVEENEIPPASLQHRSLQSNVGNIRTEILPASPGPVCPQPPSHAPVSPHHSGRDKGKQIVEPRPNYKGKEPMSPHVASKGKGPERASVALRIKDPAPEPGIIPNNRVSATQALIIPKEEPFTDDMPQDEVPLAVIQPDSLSGRDLPIGDFSTEKSNWLEPPESLHAAEIAGSSASASGSERHTSCEHATVPDEIPSILEIASSQLGEEGNEMPALDVLKKSPSRGDAEKNKENGCCEAAMMLNESFDNHCSGNGFVDNVGRKELVVAPQHHLTSNELRRVLDASDITKGEENFEISWVNEINKEFPTPFQYISDNLVFQNAHVSFSLSRIGDERCCPTCLGDCLFSQKPCVCACQAGGKFAYTPEGVIKEDFLEECISMTRDPQKQCLLNCTECPLERSKADDFPEPCKGHLQRKVIKECWIKCGCNKQCGNRVVQRGVNYKLQVFLTPDGKGWGLRTLENLPKGAFVCEFVGEILTIPEFYARNREKHTSPVLLDAYWGLKGVPKDEEALCLDATCYGNVARFINHS >KJB26040 pep chromosome:Graimondii2_0_v6:4:55677673:55682706:-1 gene:B456_004G222200 transcript:KJB26040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPRVVQAFRTMKEIGISEEKVKPVLKKLLKLYDKNWELIESENYRVLADAIFEEEDSKVSEPKKSTAHDQEDIDEEGSTPVELVRPLKRARLKNQEGLATCSHTNGSSNVAGTLLKEPKVEENEIPPASLQHRSLQSNVGNIRTEILPASPGPVCPQPPSHAPVSPHHSGRDKGKQIVEPRPNYKGKEPMSPHVASKGKGPERASVALRIKDPAPEPGIIPNNRVSATQALIIPKEEPFTDDMPQDEVPLAVIQPDSLSGRDLPIGDFSTEKSNWLEPPESLHAAEIAGSSASASGSERHTSCEHATVPDEIPSILEIASSQLGEEGNEMPALDVLKKSPSRGDAEKNKENGCCEAAMMLNESFDNHCSGNGFVDNVGRKELVVAPQHHLTSNELRRVLDASDITKGEENFEISWVNEINKEFPTPFQYISDNLVFQNAHVSFSLSRIGDERCCPTCLGDCLFSQKPCVCACQAGGKFAYTPEGVIKEDFLEECISMTRDPQKQCLLNCTECPLERSKADDFPEPCKGHLQRKVIKECWIKCGCNKQCGNRVVQRGVNYKLQVFLTPDGKGWGLRTLENLPKGAFVCEFVGEILTIPEFYARNREKHTSPVLLDAYWGLKGVPKDEEALCLDATCYGNVARFINHRCLDANLIEIPVEVETPDLHYYHLAFFTTREVHALEELTWDYGIDFDDLDHHVKTFQCRCGSKFCRNMKRSIRSKSATR >KJB26035 pep chromosome:Graimondii2_0_v6:4:55677673:55682706:-1 gene:B456_004G222200 transcript:KJB26035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPRVVQAFRTMKEIGISEEKVKPVLKKLLKLYDKNWELIESENYRVLADAIFEEEDSKVSEPKKSTAHDEDIDEEGSTPVELVRPLKRARLKNQEGLATCSHTNGSSNVAGTLLKEPKVEENEIPPASLQHRSLQSNVGNIRTEILPASPGPVCPQPPSHAPVSPHHSGRDKGKQIVEPRPNYKGKEPMSPHVASKGKGPERASVALRIKDPAPEPGIIPNNRVSATQALIIPKEEPFTDDMPQDEVPLAVIQPDSLSGRDLPIGDFSTEKSNWLEPPESLHAAEIAGSSASASGSERHTSCEHATVPDEIPSILEIASSQLGEEGNEMPALDVLKKSPSRGDAEKNKENGCCEAAMMLNESFDNHCSGNGFVDNVGRKELVVAPQHHLTSNELRRVLDASDITKGEENFEISWVNEINKEFPTPFQYISDNLVFQNAHVSFSLSRIGDERCCPTCLGDCLFSQKPCVCACQAGGKFAYTPEGVIKEDFLEECISMTRDPQKQCLLNCTECPLERSKADDFPEPCKGHLQRKVIKECWIKCGCNKQCGNRVVQRGVNYKLQVFLTPDGKGWGLRTLENLPKGAFVCEFVGEILTIPEFYARNREKHTSPVLLDAYWGLKGVPKDEEALCLDATCYGNVARFINHRCLDANLIEIPVEVETPDLHYYHLAFFTTREVHALEELTWDYGIDFDDLDHHVKTFQCRCGSKFCRNMKRSIRSKSATR >KJB26036 pep chromosome:Graimondii2_0_v6:4:55677673:55682706:-1 gene:B456_004G222200 transcript:KJB26036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPRVVQAFRTMKEIGISEEKVKPVLKKLLKLYDKNWELIESENYRVLADAIFEEEDSKVSEPKKSTAHDEDIDEEGSTPVELVRPLKRARLKNQEGLATCSHTNGSSNVAGTLLKEPKVEENEIPPASLQHRSLQSNVGNIRTEILPASPGPVCPQPPSHAPVSPHHSGRDKGKQIVEPRPNYKGKEPMSPHVASKGKGPERASVALRIKDPAPEPGIIPNNRVSATQALIIPKEEPFTDDMPQDEVPLAVIQPDSLSGRDLPIGDFSTEKSNWLEPPESLHAAEIAGSSASASGSERHTSCEHATVPDEIPSILEIASSQLGEVTISLSYNSALGGPNFQLPSIDELRGLMELRCLQSYKLIDPNFDVIKILTDMCECISELATNSSNQSQEGNEMPALDVLKKSPSRGDAEKNKENGCCEAAMMLNESFDNHCSGNGFVDNVGRKELVVAPQHHLTSNELRRVLDASDITKGEENFEISWVNEINKEFPTPFQYISDNLVFQNAHVSFSLSRIGDERCCPTCLGDCLFSQKPCVCACQAGGKFAYTPEGVIKEDFLEECISMTRDPQKQCLLNCTECPLERSKADDFPEPCKGHLQRKVIKECWIKCGCNKQCGNRVVQRGVNYKLQVFLTPDGKGWGLRTLENLPKGAFVCEFVGEILTIPEFYARNREKHTSPVLLDAYWGLKGVPKDEEALCLDATCYGNVARFINHRCLDANLIEIPVEVETPDLHYYHLAFFTTREVHALEELTWDYGIDFDDLDHHVKTFQCRCGSKFCRNMKRSIRSKSATR >KJB24162 pep chromosome:Graimondii2_0_v6:4:35350936:35352698:-1 gene:B456_004G130800 transcript:KJB24162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIRALREIATELGKKDWNYNENPCNNKSSWFTPPQPPNVPEAINNSTVTCNCSFPNGECHIDGMQMHDQVVFKSEK >KJB25291 pep chromosome:Graimondii2_0_v6:4:49810512:49812698:1 gene:B456_004G184100 transcript:KJB25291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASFHHEKVCVMDASGRLGSTLVERLLERGYIVHAAVQGHVDDLKLNGVATEREKKLKIFHADPFDYQSITDALKGCSGLFYAFEPPQDHPAYDEYMVEVEVRAAHNVVEACARTETIDKVVFTSSATAVLWRDNNSQSLASDLDERHWSDVNFCRKFKLWHALSKTVAEKTAWALAMDRDINMVSINGGLLMEPHLSITHPYLKGAAEMYECGTFVTVDLQFLVDAHICVFEDVSSYGRYLCFNHAITNHEDAIHLARLLTPYSPSPPPSYEEANMIIQQRLSNKKLNKVMVDFESELLLED >KJB25295 pep chromosome:Graimondii2_0_v6:4:49810616:49812615:1 gene:B456_004G184100 transcript:KJB25295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASFHHEKVCVMDASGRLGSTLVERLLERGYIVHAAVQGHVDDLKLNGVATEREKKLKIFHADPFDYQSITDALKGCSGLFYAFEPPQDHPAYDEYMVEVEVRAAHNVVEACARTETIDKVVFTSSATAVLWRDNNSQSLASDLDERHWSDVNFCRKFKLWHALSKTVAEKTAWALAMDRDINMVSINGGLLMEPHLSITHPYLKGAAEMYECGTFVTVDLQFLVDAHICVFEDVSSYGRYLCFNHAITNHEDAIHLARLLTPYSPSPPPRCVTMFSM >KJB25294 pep chromosome:Graimondii2_0_v6:4:49810616:49812615:1 gene:B456_004G184100 transcript:KJB25294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASFHHEKVCVMDASGRLGSTLVERLLERGYIVHAAVQGHVDDLKLNGVATEREKKLKIFHADPFDYQSITDALKGCSGLFYAFEPPQDHPAYDEYMVEVEVRAAHNVVEACARTETIDKVVFTSSATAVLWRDNNSQSLASDLDERHWSDVNFCRKFKLWHALSKTVAEKTAWALAMDRDINMVSINGGLLMEPHLSITHPYLKGAAEMYECGTFVTVDLQFLVDAHICVFEDVSSYGRYLCFNHAITNHEDAIHLARLLTPYSPSPPPRFMKKLT >KJB25292 pep chromosome:Graimondii2_0_v6:4:49810616:49812615:1 gene:B456_004G184100 transcript:KJB25292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNWLTYGQEYMVEVEVRAAHNVVEACARTETIDKVVFTSSATAVLWRDNNSQSLASDLDERHWSDVNFCRKFKLWHALSKTVAEKTAWALAMDRDINMVSINGGLLMEPHLSITHPYLKGAAEMYECGTFVTVDLQFLVDAHICVFEDVSSYGRYLCFNHAITNHEDAIHLARLLTPYSPSPPPSYEEANMIIQQRLSNKKLNKVMVDFESELLLED >KJB25293 pep chromosome:Graimondii2_0_v6:4:49810616:49812615:1 gene:B456_004G184100 transcript:KJB25293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNWLTYGQEYMVEVEVRAAHNVVEACARTETIDKVVFTSSATAVLWRDNNSQSLASDLDERHWSDVNFCRKFKLWHALSKTVAEKTAWALAMDRDINMVSINGGLLMEPHLSITHPYLKGAAEMYECGTFVTVDLQFLVDAHICVFEDVSSYGRYLCFNHAITNHEDAIHLARLLTPYSPSPPPSYEEANMIIQQRLSNKKLNKVMVDFESELLLED >KJB22817 pep chromosome:Graimondii2_0_v6:4:7151248:7154211:1 gene:B456_004G068100 transcript:KJB22817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRALGLLPRPIAAARARSFSTDLPAAHTADATFMEAWNKVMPNMDPPKTPLSFMHPRPPTPSSIPPKLTVNFVLPYASELSTKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGSETTKYFVSSGFVFIHANSFADIIAVEAVPLDRIDANLVQKGLADFTQKLSSATTELEKAEAQIGIDVHSALNSALTG >KJB22816 pep chromosome:Graimondii2_0_v6:4:7151290:7154051:1 gene:B456_004G068100 transcript:KJB22816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRALGLLPRPIAAARARSFSTDLPAAHTADATFMEAWNKVMPNMDPPKTPLSFMHPRPPTPSSIPPKLTVNFVLPYASELSTKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGSETTKYFVSSGFVFIHANSFADIIAVEAVPLDRIDANLVQKGLADFTQKLSSATTELEKAEAQIGIDVHSALNSALTG >KJB24900 pep chromosome:Graimondii2_0_v6:4:46359677:46366427:1 gene:B456_004G166900 transcript:KJB24900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] MILFFAGAFLVSIFSSPIVLAVQLLHLPKIYSLIIVRLALGCIILSTLRFLRIQVRNKFGHQVEAFFVIFTAIQFHLLFYCSRALPNILAMGVVNLAYGHWLKGNFYTALNYLVFATTIFRCDIVLLLCPLGLELLLTKSISFWRAFKCCTVTTLLCIGLTVLVDSIMWKRFLWPEFEVFWFNSVLNRSSEWGTHSIHWYFTSALPRSLLTAYPLFMLGVLLDRRLLPLVLPALSFVVLYSKLPHKELRFIISSVPIFNLSAAVAASRIYNNRKKSFWKFLNLVMLGLLLISLGCTIITFMASYENYPSGRALKELHQIGHLANKSNELWVHIDPFSAMNGISLFCENEMPWRYSKEEEITLEEFGQRNFTYLINEHRTIDGYKCLFYVNGFSRLRRQSGFPPIILDKEPKVYIHGNIRNEELMLKPWPGCS >KJB24899 pep chromosome:Graimondii2_0_v6:4:46359007:46366758:1 gene:B456_004G166900 transcript:KJB24899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] RSNPTFLVLRLFSHQAECATMASKFLQLYGYDLLLGSIAAFYVLMVPYTKVEESFNIQAMHDILYHRHHLDNYDHLEFPGVVPRTFIGAFLVSIFSSPIVLAVQLLHLPKIYSLIIVRLALGCIILSTLRFLRIQVRNKFGHQVEAFFVIFTAIQFHLLFYCSRALPNILAMGVVNLAYGHWLKGNFYTALNYLVFATTIFRCDIVLLLCPLGLELLLTKSISFWRAFKCCTVTTLLCIGLTVLVDSIMWKRFLWPEFEVFWFNSVLNRSSEWGTHSIHWYFTSALPRSLLTAYPLFMLGVLLDRRLLPLVLPALSFVVLYSKLPHKELRFIISSVPIFNLSAAVAASRIYNNRKKSFWKFLNLVMLGLLLISLGCTIITFMASYENYPSGRALKELHQIDTQKKKRLPLRNLAREISPIL >KJB24901 pep chromosome:Graimondii2_0_v6:4:46359007:46366813:1 gene:B456_004G166900 transcript:KJB24901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] RSNPTFLVLRLFSHQAECATMASKFLQLYGYDLLLGSIAAFYVLMVPYTKVEESFNIQAMHDILYHRHHLDNYDHLEFPGVVPRTFIGAFLVSIFSSPIVLAVQLLHLPKIYSLIIVRLALGCIILSTLRFLRIQVRNKFGHQVEAFFVIFTAIQFHLLFYCSRALPNILAMGVVNLAYGHWLKGNFYTALNYLVFATTIFRCDIVLLLCPLGLELLLTKSISFWRAFKCCTVTTLLCIGLTVLVDSIMWKRFLWPEFEVFWFNSVLNRSSEWGTHSIHWYFTSALPRSLLTAYPLFMLGVLLDRRLLPLVLPALSFVVLYSKLPHKELRFIISSVPIFNLSAAVAASRIYNNRKKSFWKFLNLVMLGLLLISLGCTIITFMASYENYPSGRALKELHQIGHLANKSNELWVHIDPFSAMNGISLFCENEMPWRYSKEEEITLEEFGQRNFTYLINEHRTIDGYKCLFYVNGFSRLRRQSGFPPIILDKEPKVYIHGNIRNEELMLKPWPGCS >KJB24902 pep chromosome:Graimondii2_0_v6:4:46359007:46366813:1 gene:B456_004G166900 transcript:KJB24902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] RSNPTFLVLRLFSHQAECATMASKFLQLYGYDLLLGSIAAFYVLMVPYTKVEESFNIQAMHDILYHRHHLDNYDHLEFPGVVPRTFIGAFLVSIFSSPIVLAVQLLHLPKIYSLIIVRLALGCIILSTLRFLRIQVFATTIFRCDIVLLLCPLGLELLLTKSISFWRAFKCCTVTTLLCIGLTVLVDSIMWKRFLWPEFEVFWFNSVLNRSSEWGTHSIHWYFTSALPRSLLTAYPLFMLGVLLDRRLLPLVLPALSFVVLYSKLPHKELRFIISSVPIFNLSAAVAASRIYNNRKKSFWKFLNLVMLGLLLISLGCTIITFMASYENYPSGRALKELHQIGHLANKSNELWVHIDPFSAMNGISLFCENEMPWRYSKEEEITLEEFGQRNFTYLINEHRTIDGYKCLFYVNGFSRLRRQSGFPPIILDKEPKVYIHGNIRNEELMLKPWPGCS >KJB26289 pep chromosome:Graimondii2_0_v6:4:57357856:57362175:1 gene:B456_004G235500 transcript:KJB26289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMNFIRNSPMKLPSRVLNLRNSSFFGLRHWFHHPFPCEQKLFCKTNAFLPNFSYNSQFHAYPSPFLPFRRVINNTKKPNWSTSLDLSQSGSVSRPYVSTDVRVASRVRDLSTSVETRVKDQNLDKFYLQNGINVNPFVVERIEKDENVLGGEVPVAEDVNNVKNIGEALEGRKSGIKVESDIEKEAWNLLRGAVVTYCGSPVGTVAANDPGDKQPLNYDQVFIRDFVPSALAFLLKGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDGNKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDCTLQERVDVQTGIKLILNLCLADGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYAALRSSLEMLTANESSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSMDATNKFNIYPEQIPSWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLGTPKQNEAILNLIEAKWGDIVGHMPLKILYPAVENEEWRIITGSDPKNTSLWLASRCAD >KJB26291 pep chromosome:Graimondii2_0_v6:4:57358087:57360981:1 gene:B456_004G235500 transcript:KJB26291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMNFIRNSPMKLPSRVLNLRNSSFFGLRHWFHHPFPCEQKLFCKTNAFLPNFSYNSQFHAYPSPFLPFRRVINNTKKPNWSTSLDLSQSGSVSRPYVSTDVRVASRVRDLSTSVETRVKDQNLDKFYLQNGINVNPFVVERIEKDENVLGGEVPVAEDVNNVKNIGEALEGRKSGIKVESDIEKEAWNLLRGAVVTYCGSPVGTVAANDPGDKQPLNYDQVFIRDFVPSALAFLLKGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDGNKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDCTLQERVDVQTGIKLILNLCLADGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYAALRSSLEMLTANESSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSMDATNKFNIYPEQIPSWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLGTPKQNEAILNLIEAKWGDIVGHMPLKILYPAVENEEWRIITGSDPKNT >KJB26292 pep chromosome:Graimondii2_0_v6:4:57358087:57362175:1 gene:B456_004G235500 transcript:KJB26292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMNFIRNSPMKLPSRVLNLRNSSFFGLRHWFHHPFPCEQKLFCKTNAFLPNFSYNSQFHAYPSPFLPFRRVINNTKKPNWSTSLDLSQSGSVSRPYVSTDVRVASRVRDLSTSVETRVKDQNLDKFYLQNGINVNPFVVERIEKDENVLGGEVPVAEDVNNVKNIGEALEGRKSGIKVESDIEKEAWNLLRGAVVTYCGSPVGTVAANDPGDKQPLNYDQVFIRDFVPSALAFLLKGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDGNKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDCTLQERVDVQTGIKLILNLCLADGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYAALRSSLEMLTANESSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSMDATNKFNIYPEQIPSWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLGTPKQNEAILNLIEAKWGDIVGHMPLKILYPAVENEEWRIITGSDPKNT >KJB26290 pep chromosome:Graimondii2_0_v6:4:57357856:57362175:1 gene:B456_004G235500 transcript:KJB26290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMNFIRNSPMKLPSRVLNLRNSSFFGLRHWFHHPFPCEQKLFCKTNAFLPNFSYNSQFHAYPSPFLPFRRVINNTKKPNWSTSLDLSQSGSVSRPYVSTDVRVASRVRDLSTSVETRVKDQNLDKFYLQNGINVNPFVVERIEKDENVLGGEVPVAEDVNNVKNIGEALEGRKSGIKVESDIEKEAWNLLRGAVVTYCGSPVGTVAANDPGDKQPLNYDQVFIRDFVPSALAFLLKGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDGNKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDCTLQERVDVQTGIKLILNLCLADGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYAALRSSLEMLTANESSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSMDATNKFNIYPEQIPSWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLGTPKQNEAILNLIEAKWGDIVGHMPLKILYPAVENEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMRRLELAEKAVALAEKRLSIDHWPEYYDTRNGKFIGKQSRLYQTWSIAGFLTSKMMLENPETASLLFWDEDYNLLEICVCGLSKSGRKKCSRGAARSQILI >KJB21396 pep chromosome:Graimondii2_0_v6:4:1300844:1330741:-1 gene:B456_004G018200 transcript:KJB21396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAEELWERLVRAALRRERFGMGSVGHPAGGIAGYVPSSLNNREIDTILRVADEIQDEEPNVARILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREVGTIDRSQDVARLLEFYRLYREKNNVDKLREEEMMLRESGVFSGNLGELERKTLKRKRVFGTLRVLGMVLQQLTEEIPVELKRVIDSDAAMTEDLIAYNIIPFPLDAPTITNAIVSFPEVRAAVSALKHDRSLPKLPSDFSIPETRSPDLMDFLHYVFGFQKDNVSNQREHVVLLLANEQSRHGIPEEPEPKLDEAAVQKVFLKSLDNYIKWCNYLCIQPVWSNLDAVNKEKKVLFVSLYFLIWGEAANIRFLPECLCYIFHHMAREMDEALRQHIAQPANSCSKDGVVSFLDQVITPLYDVVAAEAANNENGRAPHSAWRNYDDFNEYFWSLHCFDLSWPWRKTSFFQKPEPRSKNPLKLGGGQHRGKTSFVEHRTFFHLYHSFHRLWIFLVMMFQGLTIIAFNNGHLNAKTLREVLSLGPTFVVMKFTESVLDVIMMYGAYSTTRRLAVSRIFLRFIWFSIASVFVSFLYVRALQEESKPNSNSVVFRLYLIVIGIYGGIHFFISFLMRIPACHRLTELCDHLSLIRFIKWMRQERYYVGRGMYERTTDFIKYMIFWLIILSGKFAFAYFFQIKPLVKPTRTVLTMDNIEYSWHDFVSRNNHNALTVVCLWAPVIAMYLLDIYLFYTVLSAVWGFLLGARDRLGEIRSLDAVQKLFEEFPDAFMKRLHPVRASASSSSEAVEKSKFDAARFSPFWNEIIKNLREEDYLTNFEMELLFMPKNTGKLPLVQWPLFLLASKIFLAKDIAAESRDSQDELWERISRDEYMKYAVQECYYALRYILTAILEAEGRTWVERIYEGIEASITKKTISDDFQLNKLQLVISRVTALLGILNQAEKPEHEKGAVKAVQDLYDVVRHDVLAIYLREHSDQWQSILKARTEGRLFAKLNWPRDPELKAQVKRLYSLLTIKDSASNVPKNLEARRRLEFFTNSLFMDMPPARPVQEMLSFSVFTPYYSEIVLYSMNELLKKNEDGISILFYLQKIYPDEWKNFLARIGRDENAAETELYDSPSDILELRFWASYRGQTLARTVRGMMYYRKALMLQTYLERENAGEAALSRLETTDTQGYELSPEARARADLKFTYVVTCQIYGRQKEEQKPEAADIALLMQRNEALRVAFIDVVETLKDGKVHTEYYSKLVKADINGKDKEIYAIKLPGDPKLGEGKPENQNHAIVFTRGNAVQTIDMNQDNYFEEALKVRNLLEEFDRDHGIRPPTILGVREHVFTGSVSSLASFMSNQESCFVTLGQRVLATPLKVRMHYGHPDVFDRVFHITRGGISKASRIINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGFYFCTMLTVLTVYIFLYGRAYLALSGVGETMQRRAQIMDNAALEAALNTQFLFQIGIFSAVPMVLGFILEQGFLRAIVSFITMQLQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGLEVVLLLVVYLAYGYSDSALSYILLSISSWFMALSWLFAPYLFNPSGFEWQKIVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIRTMRGRILETILSLRFFLFQYGVVYKLNVQGTDTSLTVYGFSWVVLAVLIILFKVFTFSQKMSVNFQLLLRFIQGVSFMIAIAGLAVAVALTDLSIPDIFASILAFVPTGWGILSIATAWKPFVKKTGLWKSVRSMARLYDAGMGMVIFVPIAFFSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTAL >KJB21397 pep chromosome:Graimondii2_0_v6:4:1300844:1330765:-1 gene:B456_004G018200 transcript:KJB21397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAEELWERLVRAALRRERFGMGSVGHPAGGIAGYVPSSLNNREIDTILRVADEIQDEEPNVARILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREVGTIDRSQDVARLLEFYRLYREKNNVDKLREEEMMLRESGVFSGNLGELERKTLKRKRVFGTLRVLGMVLQQLTEEIPVELKRVIDSDAAMTEDLIAYNIIPFPLDAPTITNAIVSFPEVRAAVSALKHDRSLPKLPSDFSIPETRSPDLMDFLHYVFGFQKDNVSNQREHVVLLLANEQSRHGIPEEPEPKLDEAAVQKVFLKSLDNYIKWCNYLCIQPVWSNLDAVNKEKKVLFVSLYFLIWGEAANIRFLPECLCYIFHHMAREMDEALRQHIAQPANSCSKDGVVSFLDQVITPLYDVVAAEAANNENGRAPHSAWRNYDDFNEYFWSLHCFDLSWPWRKTSFFQKPEPRSKNPLKLGGGQHRGKTSFVEHRTFFHLYHSFHRLWIFLVMMFQGLTIIAFNNGHLNAKTLREVLSLGPTFVVMKFTESVLDVIMMYGAYSTTRRLAVSRIFLRFIWFSIASVFVSFLYVRALQEESKPNSNSVVFRLYLIVIGIYGGIHFFISFLMRIPACHRLTELCDHLSLIRFIKWMRQERYYVGRGMYERTTDFIKYMIFWLIILSGKFAFAYFFQIKPLVKPTRTVLTMDNIEYSWHDFVSRNNHNALTVVCLWAPVIAMYLLDIYLFYTVLSAVWGFLLGARDRLGEIRSLDAVQKLFEEFPDAFMKRLHPVRASASSSSEAVEKSKFDAARFSPFWNEIIKNLREEDYLTNFEMELLFMPKNTGKLPLVQWPLFLLASKIFLAKDIAAESRDSQDELWERISRDEYMKYAVQECYYALRYILTAILEAEGRTWVERIYEGIEASITKKTISDDFQLNKLQLVISRVTALLGILNQAEKPEHEKGAVKAVQDLYDVVRHDVLAIYLREHSDQWQSILKARTEGRLFAKLNWPRDPELKAQVKRLYSLLTIKDSASNVPKNLEARRRLEFFTNSLFMDMPPARPVQEMLSFSVFTPYYSEIVLYSMNELLKKNEDGISILFYLQKIYPDEWKNFLARIGRDENAAETELYDSPSDILELRFWASYRGQTLARTVRGMMYYRKALMLQTYLERENAGDTEAALSRLETTDTQGYELSPEARARADLKFTYVVTCQIYGRQKEEQKPEAADIALLMQRNEALRVAFIDVVETLKDGKVHTEYYSKLVKADINGKDKEIYAIKLPGDPKLGEGKPENQNHAIVFTRGNAVQTIDMNQDNYFEEALKVRNLLEEFDRDHGIRPPTILGVREHVFTGSVSSLASFMSNQESCFVTLGQRVLATPLKVRMHYGHPDVFDRVFHITRGGISKASRIINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGFYFCTMLTVLTVYIFLYGRAYLALSGVGETMQRRAQIMDNAALEAALNTQFLFQIGIFSAVPMVLGFILEQGFLRAIVSFITMQLQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGLEVVLLLVVYLAYGYSDSALSYILLSISSWFMALSWLFAPYLFNPSGFEWQKIVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIRTMRGRILETILSLRFFLFQYGVVYKLNVQGTDTSLTVYGFSWVVLAVLIILFKVFTFSQKMSVNFQLLLRFIQGVSFMIAIAGLAVAVALTDLSIPDIFASILAFVPTGWGILSIATAWKPFVKKTGLWKSVRSMARLYDAGMGMVIFVPIAFFSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTAL >KJB21395 pep chromosome:Graimondii2_0_v6:4:1300844:1327858:-1 gene:B456_004G018200 transcript:KJB21395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRESGVFSGNLGELERKTLKRKRVFGTLRVLGMVLQQLTEEIPVELKRVIDSDAAMTEDLIAYNIIPFPLDAPTITNAIVSFPEVRAAVSALKHDRSLPKLPSDFSIPETRSPDLMDFLHYVFGFQKDNVSNQREHVVLLLANEQSRHGIPEEPEPKLDEAAVQKVFLKSLDNYIKWCNYLCIQPVWSNLDAVNKEKKVLFVSLYFLIWGEAANIRFLPECLCYIFHHMAREMDEALRQHIAQPANSCSKDGVVSFLDQVITPLYDVVAAEAANNENGRAPHSAWRNYDDFNEYFWSLHCFDLSWPWRKTSFFQKPEPRSKNPLKLGGGQHRGKTSFVEHRTFFHLYHSFHRLWIFLVMMFQGLTIIAFNNGHLNAKTLREVLSLGPTFVVMKFTESVLDVIMMYGAYSTTRRLAVSRIFLRFIWFSIASVFVSFLYVRALQEESKPNSNSVVFRLYLIVIGIYGGIHFFISFLMRIPACHRLTELCDHLSLIRFIKWMRQERYYVGRGMYERTTDFIKYMIFWLIILSGKFAFAYFFQIKPLVKPTRTVLTMDNIEYSWHDFVSRNNHNALTVVCLWAPVIAMYLLDIYLFYTVLSAVWGFLLGARDRLGEIRSLDAVQKLFEEFPDAFMKRLHPVRASASSSSEAVEKSKFDAARFSPFWNEIIKNLREEDYLTNFEMELLFMPKNTGKLPLVQWPLFLLASKIFLAKDIAAESRDSQDELWERISRDEYMKYAVQECYYALRYILTAILEAEGRTWVERIYEGIEASITKKTISDDFQLNKLQLVISRVTALLGILNQAEKPEHEKGAVKAVQDLYDVVRHDVLAIYLREHSDQWQSILKARTEGRLFAKLNWPRDPELKAQVKRLYSLLTIKDSASNVPKNLEARRRLEFFTNSLFMDMPPARPVQEMLSFSVFTPYYSEIVLYSMNELLKKNEDGISILFYLQKIYPDEWKNFLARIGRDENAAETELYDSPSDILELRFWASYRGQTLARTVRGMMYYRKALMLQTYLERENAGDTEAALSRLETTDTQGYELSPEARARADLKFTYVVTCQIYGRQKEEQKPEAADIALLMQRNEALRVAFIDVVETLKDGKVHTEYYSKLVKADINGKDKEIYAIKLPGDPKLGEGKPENQNHAIVFTRGNAVQTIDMNQDNYFEEALKVRNLLEEFDRDHGIRPPTILGVREHVFTGSVSSLASFMSNQESCFVTLGQRVLATPLKVRMHYGHPDVFDRVFHITRGGISKASRIINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGFYFCTMLTVLTVYIFLYGRAYLALSGVGETMQRRAQIMDNAALEAALNTQFLFQIGIFSAVPMVLGFILEQGFLRAIVSFITMQLQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGLEVVLLLVVYLAYGYSDSALSYILLSISSWFMALSWLFAPYLFNPSGFEWQKIVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIRTMRGRILETILSLRFFLFQYGVVYKLNVQGTDTSLTVYGFSWVVLAVLIILFKVFTFSQKMSVNFQLLLRFIQGVSFMIAIAGLAVAVALTDLSIPDIFASILAFVPTGWGILSIATAWKPFVKKTGLWKSVRSMARLYDAGMGMVIFVPIAFFSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTAL >KJB22920 pep chromosome:Graimondii2_0_v6:4:8099971:8103647:1 gene:B456_004G074000 transcript:KJB22920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQSVAFKNTQFPFPPHLHHSTPIPSRLLFAFSLHPNKTITKSPYSAFSCRGFHTPLLNHPSSSFHSSNASKPAAVKTVDVATLGNLCVDIVLNVPKLPPPSPDSRKAFMEQLSSSPPDKQYWEAGGNCNMAIAAARLGLKCVAIGHVGNEIYGKFLEDVLQAEGIGMVGMSEETDVLNAPSSSYETLLCWVLVDPLQKHGFCSRADFSKEPAFSWMNTLSEEVKRAIKRSRILFCNGYDFDELSPHMIISAVEYTVEVGTSVFFDPGPRGKSLLSGTVEEQKALEHFLRMSDVLLLTSDEAESLTSLVDPILAAQELLRKGNRTKWVVVKMGPKGSILITKSGITCASAFKVKIMDTVGCGDSFVAAIAYGFIHNIPLVTTLAFANTVGAATAMGCGAGRNVATLKQVVELMETPDLNEDDKFWNELLREHLDSQEVTFLSKMVLNGSNGRMKHVTLQKVVSELLPKLKSSQLEGTLSS >KJB27034 pep chromosome:Graimondii2_0_v6:4:60800200:60802483:1 gene:B456_004G273400 transcript:KJB27034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEPNITPPPPAETEPTPDPENNTQTKQDKGSKKNMFKDLKVKHVTGILSLVSFVFSLPILASVTWLLYMKSYDCEWLFKLPRLQIGISVGLILVFLVCNGALLFLRARWPMVAIIVVTVPLTLMFTVGLALLGSNSLESRRVPATPLWFKMKVDDDGLWNNLKGCIYDVHVCQDLAASSMPLKPSDFNKKKLSYVESGCCTPPEECHMRYVNATFWEKDDTPETDPSVNADCNAWKNDRDVLCYDCQSCKQGYVKALKSKWSKLGVFLVSMAVFLIACHMALFLATMWEIHCT >KJB27298 pep chromosome:Graimondii2_0_v6:4:61892215:61893786:1 gene:B456_004G289500 transcript:KJB27298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLREVAGTGDTDALYALIRKDPYMLEHIDQIPFTDTPLHIAANEGQIKFAMEMINLKPSFGRKLNPDGFSPMHLALKMGHTKLVLRLLKTDKDLVRVKGREGMTPFHWAAAVGNSNLLFHFLETCPECVEDVTVRNETALHLALKNDHTDAFNLLHGWLRKNRCGGGKDLERKVVNWRDDDDNTALHIAATKQQHQAVQLLLESFYGLDANAKNSEGLTAREIIERVERQGLNMSGAEDDDTTTAKIERIKNRTSRSERALVKLIRARNGLSENMLNATLVVAALVITAIYQSSLSPPRGLWQGDNTIRTTTSNLTSTTKFTLFNDNYDIASSRHVLGEETRKTGTTIMNPNLFLGFWLFNLIAFGLPVLLTVLLLSNVPSILLIPLYYLSVSYFNCMTIISPSSFWASINYVIMWAAIGLPLLLVVRSVWLWKQPKYREIRQLRRLFRK >KJB24234 pep chromosome:Graimondii2_0_v6:4:36774226:36775596:-1 gene:B456_004G134200 transcript:KJB24234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFLLSKLLFKTSLLNWSLISLVDLIAFLLIQYTAPKIGFRFRRKYLLLWPVIIFSLLVFLSQVAYLIIWAVGSYKQSVGDAWWLKLIGFMIIQS >KJB24235 pep chromosome:Graimondii2_0_v6:4:36773120:36775801:-1 gene:B456_004G134200 transcript:KJB24235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFLLSKLLFKTSLLNWSLISLVDLIAFLLIQYTAPKIGFRFRRKYLLLWPVIIFSLLVFLSQVAYLIIWAVGSYKQSVGDAWWLKLIGFMIKCAWSRK >KJB24236 pep chromosome:Graimondii2_0_v6:4:36774226:36775596:-1 gene:B456_004G134200 transcript:KJB24236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFLLSKLLFKTSLLNWSLISLVDLIAFLLIQYTAPKIGFRFRRKYLLLWPVIIFSLLVFLSQVAYLIIWAVGSYKQSVGDAWWLKLIGFMIIQS >KJB24576 pep chromosome:Graimondii2_0_v6:4:43135802:43139671:1 gene:B456_004G152100 transcript:KJB24576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin-1-like protein [Source:Projected from Arabidopsis thaliana (AT3G61710) UniProtKB/Swiss-Prot;Acc:Q9M367] MKKEYIPDKGRSLPVDPNLPKWICQNCHHSLCIVGVDSYVDKFPNDSSRSAMQGSSIHGASSVLGSTRMDNSFVVLPKQKPLAPGIPPRPRGMPGQPDTGQARKGMEESFVVVDKSESASDGSGTQLPSSEGGPSSSLQPNNSGFHSTITVLKNAFEIATTQTQVEQPLCLECMRVLSDKLDKEVEDVTRDIEAYEACLQRLEGEPRDVLSEADFRKEKLKIEEEERKLEAAIEEIEKQNAAVNAELKELEQKSNRFKELEERYWQEFNNFQFQLIAHQEERDAILAKTEVSQAHLELLKRTNVLNDAFPIWHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFRPKFHYRIKIIPLGSYPRIMDSNNNTYELTLLSLQMPRI >KJB24574 pep chromosome:Graimondii2_0_v6:4:43135802:43139671:1 gene:B456_004G152100 transcript:KJB24574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin-1-like protein [Source:Projected from Arabidopsis thaliana (AT3G61710) UniProtKB/Swiss-Prot;Acc:Q9M367] MKKEYIPDKGRSLPVDPNLPKWICQNCHHSLCIVGVDSYVDKFPNDSSRSAMQGSSIHGASSVLGSTRMDNSFVVLPKQKPLAPGIPPRPRGMPGQPDTGQARKGMEESFVVVDKSESASDGSGTQLPSSEGGPSSSLQPNNSGFHSTITVLKNAFEIATTQTQVEQPLCLECMRVLSDKLDKEVEDVTRDIEAYEACLQRLEGEPRDVLSEADFRKEKLKIEEEERKLEAAIEEIEKQNAAVNAELKELEQKSNRFKELEERYWQEFNNFQFQLIAHQEERDAILAKTEVSQAHLELLKRTNVLNDAFPIWHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFRPKFHYRIKIIPLGSYPRIMDSNNNTYELFGPVNLFWSTRYDKAMTLFLTCLKDFAEFANAKDLENNIPPEKCFNLPYK >KJB24572 pep chromosome:Graimondii2_0_v6:4:43135783:43140306:1 gene:B456_004G152100 transcript:KJB24572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin-1-like protein [Source:Projected from Arabidopsis thaliana (AT3G61710) UniProtKB/Swiss-Prot;Acc:Q9M367] MKKEYIPDKGRSLPVDPNLPKWICQNCHHSLCIVGVDSYVDKFPNDSSRSAMQGSSIHGASSVLGSTRMDNSFVVLPKQKPLAPGIPPRPRGMPGQPDTGQARKGMEESFVVVDKSESASDGSGTQLPSSEGGPSSSLQPNNSGFHSTITVLKNAFEIATTQTQVEQPLCLECMRVLSDKLDKEVEDVTRDIEAYEACLQRLEGEPRDVLSEADFRKEKLKIEEEERKLEAAIEEIEKQNAAVNAELKELEQKSNRFKELEERYWQEFNNFQFQLIAHQEERDAILAKTEVSQAHLELLKRTNVLNDAFPIWHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFRPKFHYRIKIIPLGSYPRIMDSNNNTYELFGPVNLFWSTRYDKAMTLFLTCLKDFAEFANAKDLENNIPPEKCFNLPYKIENDKVENYSITQSFNKQENWTKALKYTLCNLKWALYWFIGSTNFQPLSAMVSSATEVPAVGSLYAKRGSDPKFVARKPATS >KJB24575 pep chromosome:Graimondii2_0_v6:4:43135802:43139671:1 gene:B456_004G152100 transcript:KJB24575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin-1-like protein [Source:Projected from Arabidopsis thaliana (AT3G61710) UniProtKB/Swiss-Prot;Acc:Q9M367] MRVLSDKLDKEVEDVTRDIEAYEACLQRLEGEPRDVLSEADFRKEKLKIEEEERKLEAAIEEIEKQNAAVNAELKELEQKSNRFKELEERYWQEFNNFQFQLIAHQEERDAILAKTEVSQAHLELLKRTNVLNDAFPIWHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFRPKFHYRIKIIPLGSYPRIMDSNNNTYELFGPVNLFWSTRYDKAMTLFLTCLKDFAEFANAKDLENNIPPEKCFNLPYKIENDKVENYSITQSFNKQENWTKALKYTLCNLKWALYWFIGSTNFQPLSAMVSSATEVPAVGSLYAKRGSDPKFVARKPATS >KJB24578 pep chromosome:Graimondii2_0_v6:4:43136309:43139452:1 gene:B456_004G152100 transcript:KJB24578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin-1-like protein [Source:Projected from Arabidopsis thaliana (AT3G61710) UniProtKB/Swiss-Prot;Acc:Q9M367] MLMFLAKKIVCMNDAAMQGSSIHGASSVLGSTRMDNSFVVLPKQKPLAPGIPPRPRGMPGQPDTGQARKGMEESFVVVDKSESASDGSGTQLPSSEGGPSSSLQPNNSGFHSTITVLKNAFEIATTQTQVEQPLCLECMRVLSDKLDKEVEDVTRDIEAYEACLQRLEGEPRDVLSEADFRKEKLKIEEEERKLEAAIEEIEKQNAAVNAELKELEQKSNRFKELEERYWQEFNNFQFQLIAHQEERDAILAKTEVSQAHLELLKRTNVLNDAFPIWHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFRPKFHYRIKIIPLGSYPRIMDSNNNTYELFGPVNLFWSTRYDKAMTLFLTCLKDFAEFANAKDLENNIPPEKCFNLPYKIENDKVENYSITQSFNKQENWTKALKYTLCNLKWALYWFIGSTNFQPLSAMVSSATEVPAVGSLYAKRGSDPKFVARKPATS >KJB24573 pep chromosome:Graimondii2_0_v6:4:43135720:43139724:1 gene:B456_004G152100 transcript:KJB24573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin-1-like protein [Source:Projected from Arabidopsis thaliana (AT3G61710) UniProtKB/Swiss-Prot;Acc:Q9M367] MKKEYIPDKGRSLPVDPNLPKWICQNCHHSLCIVGVDSYVDKFPNDSSRSAMQGSSIHGASSVLGSTRMDNSFVVLPKQKPLAPGIPPRPRGMPGQPDTGQARKGMEESFVVVDKSESASDGSGTQLPSSEGGPSSSLQPNNSGFHSTITVLKNAFEIATTQTQVEQPLCLECMRVLSDKLDKEVEDVTRDIEAYEACLQRLEGEPRDVLSEADFRKEKLKIEEEERKLEAAIEEIEKQNAAVNAELKELEQKSNRFKELEERYWQEFNNFQFQLIAHQEERDAILAKTEVSQAHLELLKRTNVLNDAFPIWHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFRPKFHYRIKIIPLGSYPRIMDSNNNTYELFGPVNLFWSTRYDKAMTLFLTCLKDFAEFANAKDLENNIPPEKCFNLPYKIENDKVENYSITQSFNKQENWTKALKYTLCNLKWALYWFIGSTNFQPLSAMVSSATEVPAVGSLYAKRGSDPKFVARKPATS >KJB24577 pep chromosome:Graimondii2_0_v6:4:43135802:43139671:1 gene:B456_004G152100 transcript:KJB24577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin-1-like protein [Source:Projected from Arabidopsis thaliana (AT3G61710) UniProtKB/Swiss-Prot;Acc:Q9M367] MKKEYIPDKGRSLPVDPNLPKWICQNCHHSLCIVGVDSYVDKFPNDSSRSAMQGSSIHGASSVLGSTRMDNSFVVLPKQKPLAPGIPPRPRGMPGQPDTGQARKGMEESFVVVDKSESASDGSGTQLPSSEGGPSSSLQPNNSGFHSTITVLKNAFEIATTQTQVEQPLCLECMRVLSDKLDKEVEDVTRDIEAYEACLQRLEGEPRDVLSEADFRKEKLKIEEEERKLEAAIEEIEKQNAAVNAELKELEQKSNRFKELEERYWQEFNNFQFQLIAHQEERDAILAKTEVSQAHLELLKRTNVLNDAFPIWHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFRPKFHYRIKIIPLGSYPRIMDSNNNTYEFCLLHEYVSRFGPVNLFWSTRYDKAMTLFLTCLKDFAEFANAKDLENNIPPEKCFNLPYKIENDKVENYSITQSFNKQENWTKALKYTLCNLKWALYWFIGSTNFQPLSAMVSSATEVPAVGSLYAKRGSDPKFVARKPATS >KJB26441 pep chromosome:Graimondii2_0_v6:4:57985967:57987183:-1 gene:B456_004G241700 transcript:KJB26441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLMAKGKAGESNPYTGLGLDESGNWLPGSPRVLSLLSSVLERSVRGNEKLLAGSKIKDVITIFHGSRAPSLNLRQYVERIFKYCKCSNSCFVVAVIYIDRFLQRIDAYLTSLSVHRLLITSVMVAAKFMDDQHYNNAYYAKVGGISREEMNRLEMRFLFDLDFQLNVTTEVFNKYWLMIQQEGGLETQTALQTQGYCLKKDETGRGRRLTGVHRGRAL >KJB23378 pep chromosome:Graimondii2_0_v6:4:20217968:20230082:1 gene:B456_004G103800 transcript:KJB23378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFSLPPPSSPSSSSPSFSSHCFTISLSSGHQSCRRIRFLPVAATTTTHNRQHSIPLDKSSLAVAEASEEDQLWAAACLRVRSFYDFQASSYGIHDHKRYLAEREFVALKERIAGKREGFKRVSCINATLPLSQLSSSENDLCAACKGIEADFGRAYLSNVCVARELHRNGLGYDIVTKSKIVAEEWGITDLYVHVAVDNEPAKNLYMKSGFIHENDEPAWQARFLDRPRRILLWIGLPCTNEL >KJB23380 pep chromosome:Graimondii2_0_v6:4:20217978:20230050:1 gene:B456_004G103800 transcript:KJB23380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFSLPPPSSPSSSSPSFSSHCFTISLSSGHQSCRRIRFLPVAATTTTHNRQHSIPLDKSSLAVAEASEEDQLWAAACLRVRSFYDFQASSYGIHGIEADFGRAYLSNVCVARELHRNGLGYDIVTKSKIVAEEWGITDLYVHVAVDNEPAKNLYMKSGFIHENDEPAWQARFLDRPRRILLWIGLPCTNEL >KJB23377 pep chromosome:Graimondii2_0_v6:4:20217968:20230082:1 gene:B456_004G103800 transcript:KJB23377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFSLPPPSSPSSSSPSFSSHCFTISLSSGHQSCRRIRFLPVAATTTTHNRQHSIPLDKSSLAVAEASEEDQLWAAACLRVRSFYDFQASSYGIHFTSNGEDRVVVGTLDLNQCLWLPEEIAGTKPEGIEADFGRAYLSNVCVARELHRNGLGYDIVTKSKIVAEEWGITDLYVHVAVDNEPAKNLYMKSGFIHENDEPAWQARFLDRPRRILLWIGLPCTNEL >KJB23379 pep chromosome:Graimondii2_0_v6:4:20217968:20230095:1 gene:B456_004G103800 transcript:KJB23379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFSLPPPSSPSSSSPSFSSHCFTISLSSGHQSCRRIRFLPVAATTTTHNRQHSIPLDKSSLAVAEASEEDQLWAAACLRVRSFYDFQASSYGIHDHKRYLAEREFVALKERIAGKREGFKRVSCINATLPLSQLSSSENDLCAACKFTSNGEDRVVVGTLDLNQCLWLPEEIAGTKPEGIEADFGRAYLSNVCVARELHRNGLGYDIVTKSKIVAEEWGITDLYVHVAVDNEPAKNLYMKSGFIHENDEPAWQARFLDRPRRILLWIGLPCTNEL >KJB23871 pep chromosome:Graimondii2_0_v6:4:28950520:28951863:1 gene:B456_004G118800 transcript:KJB23871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEEPKKLESETPSDPPPPPTEAAVEESETPPPPTEEKPADSTEKPPEPIEKAAEAAEATEKKSTEVSVDRDAVLARVATEKRISLINAWEESEKSKAENKAQKKLSSIAAWENTKKAAIEAELKRIEEKLEKQKAEYVEKMKNKAALIHKEAEEKKAIVEAKRGEDLLKAEELAAKYRATGTTPNKTLGCF >KJB23874 pep chromosome:Graimondii2_0_v6:4:28950534:28951828:1 gene:B456_004G118800 transcript:KJB23874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEEPKKLESETPSDPPPPPTEAAVEESETPPPPTEEKPADSTEKPPEPIEKAAEATEKKSTEVSVDRDAVLARVATEKRISLINAWEESEKSKAENNRAQKKLSSIAAWENTKKAAIEAELKRIEEKLEKQKAEYVEKMKNKAALIHKEAEEKKAIVEAKRGEDLLKAEELAAKYRATGTTPNKTLGCF >KJB23875 pep chromosome:Graimondii2_0_v6:4:28950534:28951828:1 gene:B456_004G118800 transcript:KJB23875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEEPKKLESETPSDPPPPPTEAAVEESETPPPPTEEKPADSTEKPPEPIEKAAEATEKKSTEVSVDRDAVLARVATEKRISLINAWEESEKSKAENKAQKKLSSIAAWENTKKAAIEAELKRIEQEKLEKQKAEYVEKMKNKAALIHKEAEEKKAIVEAKRGEDLLKAEELAAKYRATGTTPNKTLGCF >KJB23873 pep chromosome:Graimondii2_0_v6:4:28950534:28951828:1 gene:B456_004G118800 transcript:KJB23873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNPRSLNPKPPPTLRRHPPKPPWKNPRLHLLLLKKSPPTLRKSLPNPSKAAEATEKKSTEVSVDRDAVLARVATEKRISLINAWEESEKSKAENKAQKKLSSIAAWENTKKAAIEAELKRIEEKLEKQKAEYVEKMKNKAALIHKEAEEKKAIVEAKRGEDLLKAEELAAKYRATGTTPNKTLGCF >KJB23872 pep chromosome:Graimondii2_0_v6:4:28950526:28951856:1 gene:B456_004G118800 transcript:KJB23872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEEPKKLESETPSDPPPPPTEAAVEESETPPPPTEEKPADSTEKPPEPIEKAAEATEKKSTEVSVDRDAVLARVATEKRISLINAWEESEKSKAENKAQKKLSSIAAWENTKKAAIEAELKRIEEKLEKQKAEYVEKMKNKAALIHKEAEEKKAIVEAKRGEDLLKAEELAAKYRATGTTPNKTLGCF >KJB26562 pep chromosome:Graimondii2_0_v6:4:58436210:58438023:-1 gene:B456_004G247600 transcript:KJB26562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELTEVHMDVKPNGLVKSIVNRVNLQGTEPNNLTVKKGATENSVIEDGQEKQDVLCVKSTNFSTDIPEEKNEKAEDQKSADNKLSTAESKSTSVENTHANDTTSETGANGMETVNSTPSPTSAKDSEPNRPMTPLMSKKPSQPYERKHPDEDDTWSVASSTAIYARRSRLRVTVGSSPTFRSAERAEKRREFYQKLEEKHRALEAERSQWEARTKEEQAEALKELRKSMVVKANPVPSFYYEGPPPKVELKKLPLTRPKSPNLTRRMSCGDLVPSTQVEKTKACSRTNRHSLGNEVQRPTTANMVKSKIQVSGQISNSNGTHKVKDQAKQVKEMTKTAPTKITEQSN >KJB26564 pep chromosome:Graimondii2_0_v6:4:58435757:58439460:-1 gene:B456_004G247600 transcript:KJB26564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELTEVHMDVKPNGLVKSIVNRVNLQGTEPNNLTVKKGATENSVIEDGQEKQDVLCVKSTNFSTDIPEEKNEKAEDQNTAIYARRSRLRVTVGSSPTFRSAERAEKRREFYQKLEEKHRALEAERSQWEARTKEEQAEALKELRKSMVVKANPVPSFYYEGPPPKVELKKLPLTRPKSPNLTRRMSCGDLVPSTQVEKTKACSRTNRHSLGNEVQRPTTANMVKSKIQVSGQISNSNGTHKVKDQAKQVKEMTKTAPTKITEQSN >KJB26566 pep chromosome:Graimondii2_0_v6:4:58435757:58438117:-1 gene:B456_004G247600 transcript:KJB26566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELTEVHMDVKPNGLVKSIVNRVNLQGTEPNNLTVKKGATENSVIEDGQEKQDVLCVKSTNFSTDIPEEKNEKAEDQKSADNKLSTAESKSTSVENTHANDTTSETGANGMETVNSTPSPTSAKDSEPNRPMTPLMSKKPSQPYERKHPDEDDTWSVASSTAIYARRSRLRVTVGSSPTFRSAERAEKRREFYQKLEEKHRALEAERSQWEARTKEEQAEALKELRKSMVVKANPVPSFYYEGPPPKVELKKLPLTRPKSPNLTRRMSCGDLVPSTQVEKTKACSRTNRHSLGNEVQRPTTANMVKSKIQVSGQISNSNGTHKVKDQAKQVKEMTKTAPTKITEQSN >KJB26565 pep chromosome:Graimondii2_0_v6:4:58435757:58439515:-1 gene:B456_004G247600 transcript:KJB26565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELTEVHMDVKPNGLVKSIVNRVNLQGTEPNNLTVKKGATENSVIEDGQEKQDVLCVKSTNFSTDIPEEKNEKAEDQKSADNKLSTAESKSTSVENTHANDTTSETGANGMETVNSTPSPTSAKDSEPNRPMTPLMSKKPSQPYERKHPDEDDTWSVASSTAIYARRSRLRVTVGSSPTFRSAERAEKRREFYQKLEEKHRALEAERSQWEARTKEEQAEALKELRKSMVVKANPVPSFYYEGPPPKVELKKLPLTRPKSPNLTRRMSCGDLVPSTQVEKTKACSRTNRHSLGNEVQRPTTANMVKSKIQVSGQISNSNGTHKVKDQAKQDFN >KJB26563 pep chromosome:Graimondii2_0_v6:4:58435757:58439032:-1 gene:B456_004G247600 transcript:KJB26563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNEDFCVMGRELTEVHMDVKPNGLVKSIVNRVNLQGTEPNNLTVKKGATENSVIEDGQEKQDVLCVKSTNFSTDIPEEKNEKAEDQKSADNKLSTAESKSTSVENTHANDTTSETGANGMETVNSTPSPTSAKDSEPNRPMTPLMSKKPSQPYERKHPDEDDTWSVASSTAIYARRSRLRVTVGSSPTFRSAERAEKRREFYQKLEEKHRALEAERSQWEARTKEEQAEALKELRKSMVVKANPVPSFYYEGPPPKVELKKLPLTRPKSPNLTRRMSCGDLVPSTQVEKTKACSRTNRHSLGNEVQRPTTANMVKSKIQVSGQISNSNGTHKVKDQAKQDFN >KJB24472 pep chromosome:Graimondii2_0_v6:4:41427020:41430393:-1 gene:B456_004G147100 transcript:KJB24472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEPFLSLQSKPQLRPTVEDDHHGTPSEIQDNSQIQPPSKKHGYLHRCKTAPAMAVMRDRKPKTPQLPKPKSESSTIIRQAFFLLSLYLLLGVAIYSFNRDEFSGIETHPVVDAFYFCIVTMCTIGYGDITPLTPATKIFACVFVLVGFGFIDILLSGVVSYVLELQENMILTGIHIKKSQQGFSARNYIVDVDKGRMRIRLKVGLALGVVVLCVGIGTLMLYFLENLDWIDSVYLSVMSVTTVGYGDRAFKTLPGRLFAGVWLLISTLAVARAFLYLAEARVDKRHRRIAKWVLHRDITIQDLLAADINNDGFISKSEYVIYKLKEMGKIGEKDILQICDQFSKLDTNNSGKLTLPQLLENRL >KJB24473 pep chromosome:Graimondii2_0_v6:4:41428751:41430413:-1 gene:B456_004G147100 transcript:KJB24473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFSPSNQNLNFDPLLKTTIMAHHQKSKTTHKFNPLQRSMVISIDVKRLQPWLSCVTGSLKHPNCLNQNPSPAPLSVTMCTIGYGDITPLTPATKIFACVFVLVGFGFIDILLSGVVSYVLELQENMILTGIHIKKSQQGFSARNYIVDVDKGRMRIRLKVGLALGVVVLCVGIGTLMLYFLENLDWIDSVYLSVMSVTTVGYGDRAFKTLPGRLFAGVWLLISTLAVARAFLYLAEARVDKRHRRIAKWVLHRDITIQDLLAADINNDGFISKSEYVIYKLKEMGKIGEKDILQICDQFSKLDTNNSGKLTLPQLLENRL >KJB22758 pep chromosome:Graimondii2_0_v6:4:6504956:6510305:-1 gene:B456_004G064700 transcript:KJB22758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYAADPKTLWSWFEPYIKDEEEFSPGSSGRMTTMGVYVRDLLLGQYYFDTLFPRIPVPVLRQVTSNLEKMKLPTKPSGSTGDNNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPSPYDRSSGDDARRSPSHRRSQSRDREYSDKDRDRNRDRDRERERSRDQDRGWGRDRDRERDRSRDRDRDRDWDRNRERNRERERERRHDYDRRSRYADESRRYRSRSRSPSVSGNRRSRSQSEQGGNSHYDRLPSPAKEGSKASSNLAKLKDLYGDVSDTKGDDAGFERIAKRDNSGEEVIRLGGSSWK >KJB22759 pep chromosome:Graimondii2_0_v6:4:6504956:6510294:-1 gene:B456_004G064700 transcript:KJB22759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQTCGKPIDSLLEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYAADPKTLWSWFEPYIKDEEEFSPGSSGRMTTMGVYVRDLLLGQVTSNLEKMKLPTKPSGSTGDNNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPSPYDRSSGDDARRSPSHRRSQSRDREYSDKDRDRNRDRDRERERSRDQDRGWGRDRDRERDRSRDRDRDRDWDRNRERNRERERERRHDYDRRSRYADESRRYRSRSRSPSVSGNRRSRSQSEQGGNSHYDRLPSPAKEGSKASSNLAKLKDLYGDVSDTKGDDAGFERIAKRDNSGEEVIRLGGSSWK >KJB22756 pep chromosome:Graimondii2_0_v6:4:6504956:6507193:-1 gene:B456_004G064700 transcript:KJB22756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPTKPSGSTGDNNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPSPYDRSSGDDARRSPSHRRSQSRDREYSDKDRDRNRDRDRERERSRDQDRGWGRDRDRERDRSRDRDRDRDWDRNRERNRERERERRHDYDRRSRYADESRRYRSRSRSPSVSGNRRSRSQSEQGGNSHYDRLPSPAKEGSKASSNLAKLKDLYGDVSDTKGDDAGFERIAKRDNSGEEVIRLGGSSWK >KJB22761 pep chromosome:Graimondii2_0_v6:4:6504956:6510337:-1 gene:B456_004G064700 transcript:KJB22761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQTCGKPIDSLLEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYAADPKTLWSWFEPYIKDEEEFSPGSSGRMTTMGVYVRDLLLGQYYFDTLFPRIPVPVLRQVTSNLEKMKLPTKPSGSTGDNNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPSPYDRSSGDDARRSPSHRRSQSRDREYSDKDRDRNRDRDRERERSRDQDRGWGRDRDRERDRSRDRDRDRDWDRNRERNRERERERRHDYDRRSRYADESRRYRSRSRSPSVSGNRRSRSQSEQGGNSHYDRLPSPAKEGSKASSNLAKLKDLYGDVSDTKGDDAGFERIAKRDNSGEEVIRLGGSSWK >KJB22760 pep chromosome:Graimondii2_0_v6:4:6504956:6510158:-1 gene:B456_004G064700 transcript:KJB22760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYAADPKTLWSWFEPYIKDEEEFSPGSSGRMTTMGVYVRDLLLGQYYFDTLFPRIPVPVLRQVTSNLEKMKLPTKPSGSTGDNNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPSPYDRSSGDDARRSPSHRRSQSRDREYSDKDRDRNRDRDRERERSRDQDRGWGRDRDRERDRSRDRDRDRDWDRNRERNRERERERRHDYDRRSRYADESRRYRSRSRSPSVSGNRRSRSQSEQGGNSHYDRLPSPAKEGSKASSNLAKLKDLYGDVSDTKGDDAGFERIAKRDNSGEEVIRLGGSSWK >KJB22757 pep chromosome:Graimondii2_0_v6:4:6505234:6509714:-1 gene:B456_004G064700 transcript:KJB22757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQTCGKPIDSLLEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYAADPKTLWSWFEPYIKDEEEFSPGSSGRMTTMGVYVRDLLLGQYYFDTLFPRIPVPVLRQVTSNLEKMKLPTKPSGSTGDNNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPSPYDRSSGDDARRSPSHRRSQSRDREYSDKDRDRNRDRDRERERSRDQDRGWGRDRDRERDRSRDRDRDRDWDRNRERNRERERERRHDYDRRSRYADESRRYRSRSRSPSVSGNRRSRSQSEQGGNSHYDRLPSPAKEGSKASSNLAKLKDLYGDVSDTKGDDAGFERIAKRDNSGEEVIRLGGSSWK >KJB22755 pep chromosome:Graimondii2_0_v6:4:6504956:6506833:-1 gene:B456_004G064700 transcript:KJB22755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPTKPSGSTGDNNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPSPYDRSSGDDARRSPSHRRSQSRDREYSDKDRDRNRDRDRERERSRDQDRGWGRDRDRERDRSRDRDRDRDWDRNRERNRERERERRHDYDRRSRYADESRRYRSRSRSPSVSGNRRSRSQSEQGGNSHYDRLPSPAKEGSKASSNLAKLKDLYGDVSDTKGDDAGFERIAKRDNSGEEVIRLGGSSWK >KJB21513 pep chromosome:Graimondii2_0_v6:4:21762615:21764847:-1 gene:B456_004G106600 transcript:KJB21513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEKVQGMFDQKDTNKYDKLHDNLVAKKETNGETKKADDIPTVTVAIAGSIVDNAQSLELATRLASQIARAATIFRINEFF >KJB23542 pep chromosome:Graimondii2_0_v6:4:20840852:20844439:-1 gene:B456_004G104100 transcript:KJB23542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYHSLHHTEMGTNFCLFMPLFDAMGNTLNRNSWHLHKKISSDSAKNGRVPDFVFLAHGVDLTSSMHAPFVFRSFASVPFSTRIFLLPLLPVAFLVMLIMWAWSKTFLISFYNLRGHLHQTWVVPRFGFQYFLPFAMEGINKRIEEAILRADRIGVKVISLAALNKNEALNGGGTLFVEKHPDLKVRVVHGNTLTAAVILNEIPKDVEEVFLTGATSKLGRAIALYLCRRRVRVLMLTLSTERFQKIQREAPADCQNYLVQVTKYQAAQNCKTWIVGKWITPRQQSWAPSGTHFHQFVVPPILAFRRDCTYGDLAAMRLPDDVEGLGSCEYTMERGVVHACHAGGVVHQLEGWTHHEVGAIDVDRIDIVWKAALKHGLRPVSSM >KJB23545 pep chromosome:Graimondii2_0_v6:4:20840852:20846569:-1 gene:B456_004G104100 transcript:KJB23545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPLSAWPWENLGVFKYLLYGPLAAKVLYSWIYEDSIKDLWCLHILVICALRGLIHQLWNSYSTTLFLTRNRRIKQEGVDFKQIDREWDWDNFLILHALLAYMACLIFPSLDDLPLWNPKGFITLLFLHVTVSEPLYYWAHRYFHEGYLFTHYHSLHHSSSVTHPYTAGHATFLEHLILCVVIGIPMAGSITMGYGSTSMVYGYVSAFDFLRCLGHSNVEVVPHEVFNKLPFLKYFLYTPTYHSLHHTEMGTNFCLFMPLFDAMGNTLNRNSWHLHKKISSDSAKNGRVPDFVFLAHGVDLTSSMHAPFVFRSFASVPFSTRIFLLPLLPVAFLVMLIMWAWSKTFLISFYNLRGHLHQTWVVPRFGFQYFLPFAMEGINKRIEEAILRADRIGVKVISLAALNKNEALNGGGTLFVEKHPDLKVRVVHGNTLTAAVILNEIPKDVEEVFLTGATSKLGRAIALYLCRRRVRVLMLTLSTERFQKIQREAPADCQNYLVQVTKYQAAQNCKVRDANRSLIGLFF >KJB23541 pep chromosome:Graimondii2_0_v6:4:20840794:20846592:-1 gene:B456_004G104100 transcript:KJB23541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPLSAWPWENLGVFKYLLYGPLAAKVLYSWIYEDSIKDLWCLHILVICALRGLIHQLWNSYSTTLFLTRNRRIKQEGVDFKQIDREWDWDNFLILHALLAYMACLIFPSLDDLPLWNPKGFITLLFLHVTVSEPLYYWAHRYFHEGYLFTHYHSLHHSSSVTHPYTAGHATFLEHLILCVVIGIPMAGSITMGYGSTSMVYGYVSAFDFLRCLGHSNVEVVPHEVFNKLPFLKYFLYTPTYHSLHHTEMGTNFCLFMPLFDAMGNTLNRNSWHLHKKISSDSAKNGRVPDFVFLAHGVDLTSSMHAPFVFRSFASVPFSTRIFLLPLLPVAFLVMLIMWAWSKTFLISFYNLRGHLHQTWVVPRFGFQYFLPFAMEGINKRIEEAILRADRIGVKVISLAALNKNEALNGGGTLFVEKHPDLKVRVVHGNTLTAAVILNEIPKDVEEVFLTGATSKLGRAIALYLCRRRVRVLMLTLSTERFQKIQREAPADCQNYLVQVTKYQAAQNCKTWIVGKWITPRQQSWAPSGTHFHQFVVPPILAFRRDCTYGDLAAMRLPDDVEGLGSCEYTMERGVVHACHAGGVVHQLEGWTHHEVGAIDVDRIDIVWKAALKHGLRPVSSM >KJB23543 pep chromosome:Graimondii2_0_v6:4:20840852:20846569:-1 gene:B456_004G104100 transcript:KJB23543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPLSAWPWENLGVFKYLLYGPLAAKVLYSWIYEDSIKDLWCLHILVICALRGLIHQLWNSYSTTLFLTRNRRIKQEGVDFKQIDREWDWDNFLILHALLAYMACLIFPSLDDLPLWNPKGFITLLFLHVTVSEPLYYWAHRLTSAYDKFLSAAAGHATFLEHLILCVVIGIPMAGSITMGYGSTSMVYGYVSAFDFLRCLGHSNVEVVPHEVFNKLPFLKYFLYTPTYHSLHHTEMGTNFCLFMPLFDAMGNTLNRNSWHLHKKISSDSAKNGRVPDFVFLAHGVDLTSSMHAPFVFRSFASVPFSTRIFLLPLLPVAFLVMLIMWAWSKTFLISFYNLRGHLHQTWVVPRFGFQYFLPFAMEGINKRIEEAILRADRIGVKVISLAALNKNEALNGGGTLFVEKHPDLKVRVVHGNTLTAAVILNEIPKDVEEVFLTGATSKLGRAIALYLCRRRVRVLMLTLSTERFQKIQREAPADCQNYLVQVTKYQAAQNCKTWIVGKWITPRQQSWAPSGTHFHQFVVPPILAFRRDCTYGDLAAMRLPDDVEGLGSCEYTMERGVVHACHAGGVVHQLEGWTHHEVGAIDVDRIDIVWKAALKHGLRPVSSM >KJB23544 pep chromosome:Graimondii2_0_v6:4:20840852:20846569:-1 gene:B456_004G104100 transcript:KJB23544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPLSAWPWENLGVFKYLLYGPLAAKVLYSWIYEDSIKDLWCLHILVICALRGLIHQLWNSYSTTLFLTRNRRIKQEGVDFKQIDREWDWDNFLILHALLAYMACLIFPSLDDLPLWNPKGFITLLFLHVTVSEPLYYWAHRYFHEGYLFTHYHSLHHSSSVTHPYTAGHATFLEHLILCVVIGIPMAGSITMGYGSTSMVYGYVSAFDFLRCLGHSNVEVVPHEVFNKLPFLKYFLYTPTYHSLHHTEMGTNFCLFMPLFDAMGNTLNRNSWHLHKKISSDSGTKNGRVPDFVFLAHGVDLTSSMHAPFVFRSFASVPFSTRIFLLPLLPVAFLVMLIMWAWSKTFLISFYNLRGHLHQTWVVPRFGFQYFLPFAMEGINKRIEEAILRADRIGVKVISLAALNKNEALNGGGTLFVEKHPDLKVRVVHGNTLTAAVILNEIPKDVEEVFLTGATSKLGRAIALYLCRRRVRVLMLTLSTERFQKIQREAPADCQNYLVQVTKYQAAQNCKTWIVGKWITPRQQSWAPSGTHFHQFVVPPILAFRRDCTYGDLAAMRLPDDVEGLGSCEYTMERGVVHACHAGGVVHQLEGWTHHEVGAIDVDRIDIVWKAALKHGLRPVSSM >KJB22268 pep chromosome:Graimondii2_0_v6:4:3109955:3115680:-1 gene:B456_004G037700 transcript:KJB22268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITCRRICFCSLGFPRNLHSPDSKHDFLQELIRVEAFLKDPLGVTVSRERTVQVRVPKVTPVPAGDGGDGVDAVEDSASVLAAQSKRLLLQRKAAAEVYARKVEPGDIAVASIDSALHEQGQPNKNVTCHMCFSGENEGSETARRMLACRNCGKKYHRSCLKSWVQHRDLIHWSSWTCPYCRTCEVCRSTGDPTKLMFCKRCDDAYHCYCQHPSHKNVTSGPYLCPKHTKCHSCGSNVPGNGSSVRWFLEYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDVCQRWVHCECDGISDEKYLQFQVDANLQYKCAACRRECYQVTDLEDAVQELWKRRDRTDQDLIASLRAAAGLPTQEEIFSISPYSDDEENGPVMFKKEFVRSPKFSLKGIADKPLKKNRDYGKESSSKKYLKKKTYQASLISKGESQLSFEGNQDIQSQGYSVSEYRIDEVAIQRDEGQNISSPGTETCSTKQPGILKNKLVDEVMVSDEDRKSRGLKIKSNKPLDLDSGDDKHDSKSNTLKAKKLVINLGSRKINVTNSPKPDASNSQRERDVISYNGVQDANQLSYKFMLDTHDNTAKSGDGYKVYQSKSRRVKIAGKEGNVIKFGKIKPESPELGPKVGAASSSDGYEIGPLEQPRVASGKGSIDVTRLDAAPSGEASIRRGGRVMLRKWLEDRADTNGESNEDFGHTPVLKSFPKDSKLSLKFKLKKPDLENQNSKVHLVEEKSSIKGQRSKRQRPSTFVEKSLFNVDEDLDVTHTHQNSIMDGMMDANWILKKLGKDAIGKKVEIHHASDNSWHKGAVHDIIEDTSTVSVMLDDGRVKSLELGKQGIRFVLQKQKRSKC >KJB22267 pep chromosome:Graimondii2_0_v6:4:3107204:3115702:-1 gene:B456_004G037700 transcript:KJB22267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITCRRICFCSLGFPRNLHSPDSKHDFLQELIRVEAFLKDPLGVTVSRERTVQVRVPKVTPVPAGDGGDGVDAVEDSASVLAAQSKRLLLQRKAAAEVYARKVEPGDIAVASIDSALHEQGQPNKNVTCHMCFSGENEGSETARRMLACRNCGKKYHRSCLKSWVQHRDLIHWSSWTCPYCRTCEVCRSTGDPTKLMFCKRCDDAYHCYCQHPSHKNVTSGPYLCPKHTKCHSCGSNVPGNGSSVRWFLEYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDVCQRWVHCECDGISDEKYLQFQVDANLQYKCAACRRECYQVTDLEDAVQELWKRRDRTDQDLIASLRAAAGLPTQEEIFSISPYSDDEENGPVMFKKEFVRSPKFSLKGIADKPLKKNRDYGKESSSKKYLKKKTYQASLISKGESQLSFEGNQDIQSQGYSVSEYRIDEVAIQRDEGQNISSPGTETCSTKQPGILKNKLVDEVMVSDEDRKSRGLKIKSNKPLDLDSGDDKHDSKSNTLKAKKLVINLGSRKINVTNSPKPDASNSQRERDVISYNGVQDANQLSYKFMLDTHDNTAKSGDGYKVYQSKSRRVKIAGKEGNVIKFGKIKPESPELGPKVGAASSSDGYEIGPLEQPRVASGKGSIDVTRLDAAPSGEASIRRGGRVMLRKWLEDRADTNGESNEDFGHTPVLKSFPKDSKLSLKFKLKKPDLENQNSKVHLVEEKSSIKGQRSKRQRPSTFVEKSLFNVDEDLDVTHTHQNSIMDGMMDANWILKKLGKDAIGKKVEIHHASDNSWHKGAVHDIIEDTSTVSVMLDDGRVKSLELGKQGIRFVLQKQKRSKC >KJB22269 pep chromosome:Graimondii2_0_v6:4:3107178:3115702:-1 gene:B456_004G037700 transcript:KJB22269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITCRRICFCSLGFPRNLHSPDSKHDFLQELIRVEAFLKDPLGVTVSRERTVQVRVPKVTPVPAGDGGDGVDAVEDSASVLAAQSKRLLLQRKAAAEVYARKVEPGDIAVASIDSALHEQGQPNKNVTCHMCFSGENEGSETARRMLACRNCGKKYHRSCLKSWVQHRDLIHWSSWTCPYCRTCEVCRSTGDPTKLMFCKRCDDAYHCYCQHPSHKNVTSGPYLCPKHTKCHSCGSNVPGNGSSVRWFLEYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDVCQRWVHCECDGISDEKYLQFQVDANLQYKCAACRRECYQVTDLEDAVQELWKRRDRTDQDLIASLRAAAGLPTQEEIFSISPYSDDEENGPVMFKKEFVRSPKFSLKGIADKPLKKNRDYGKESSSKKYLKKKTYQASLISKGESQLSFEGNQDIQSQGYSVSEYRIDEVAIQRDEGQNISSPGTETCSTKQPGILKNKLVDEVMVSDEDRKSRGLKIKSNKPLDLDSGDDKHDSKSNTLKAKKLVINLGSRKINVTNSPKPDASNSQRERDVISYNGVQDANQLSYKFMLDTHDNTAKSGDGYKVYQSKSRRVKIAGKEGNVIKFGKIKPESPELGPKVGAASSSDGYEIGPLEQPRVASGKGSIDVTRLDAAPSGEASIRRGGRVMLRKWLEDRADTNGESNEDFGHTPVLKSFPKDSKLSLKFKLKKPDLENQNSKVHLVEEKSSIKGQRSKRQRPSTFVEKSLFNVDEDLDVTHTHQNSIMDGMMDANWILKKLGKDAIGKKVEIHHASDNSWHKGAVHDIIEDTSTVSVMLDDGRVKSLELGKQGIRFVLQKQKRSKC >KJB22265 pep chromosome:Graimondii2_0_v6:4:3107178:3115608:-1 gene:B456_004G037700 transcript:KJB22265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFSGENEGSETARRMLACRNCGKKYHRSCLKSWVQHRDLIHWSSWTCPYCRTCEVCRSTGDPTKLMFCKRCDDAYHCYCQHPSHKNVTSGPYLCPKHTKCHSCGSNVPGNGSSVRWFLEYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDVCQRWVHCECDGISDEKYLQFQVDANLQYKCAACRRECYQVTDLEDAVQELWKRRDRTDQDLIASLRAAAGLPTQEEIFSISPYSDDEENGPVMFKKEFVRSPKFSLKGIADKPLKKNRDYGKESSSKKYLKKKTYQASLISKGESQLSFEGNQDIQSQGYSVSEYRIDEVAIQRDEGQNISSPGTETCSTKQPGILKNKLVDEVMVSDEDRKSRGLKIKSNKPLDLDSGDDKHDSKSNTLKAKKLVINLGSRKINVTNSPKPDASNSQRERDVISYNGVQDANQLSYKFMLDTHDNTAKSGDGYKVYQSKSRRVKIAGKEGNVIKFGKIKPESPELGPKVGAASSSDGYEIGPLEQPRVASGKGSIDVTRLDAAPSGEASIRRGGRVMLRKWLEDRADTNGESNEDFGHTPVLKSFPKDSKLSLKFKLKKPDLENQNSKVHLVEEKSSIKGQRSKRQRPSTFVEKSLFNVDEDLDVTHTHQNSIMDGMMDANWILKKLGKDAIGKKVEIHHASDNSWHKGAVHDIIEDTSTVSVMLDDGRVKSLELGKQGIRFVLQKQKRSKC >KJB22266 pep chromosome:Graimondii2_0_v6:4:3109955:3115680:-1 gene:B456_004G037700 transcript:KJB22266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITCRRICFCSLGFPRNLHSPDSKHDFLQELIRVEAFLKDPLGVTVSRERTVQVRVPKVTPVPAGDGGDGVDAVEDSASVLAAQSKRLLLQRKAAAEVYARKVEPGDIAVASIDSALHEQGQPNKNVTCHMCFSGENEGSETARRMLACRNCGKKYHRSCLKSWVQHRDLIHWSSWTCPYCRTCEVCRSTGDPTKLMFCKRCDDAYHCYCQHPSHKNVTSGPYLCPKHTKCHSCGSNVPGNGSSVRWFLEYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDVCQRWVHCECDGISDEKYLQFQVDANLQYKCAACRRECYQVTDLEDAVQELWKRRDRTDQDLIASLRAAAGLPTQEEIFSISPYSDDEENGPVMFKKEFVRSPKFSLKGIADKPLKKNRDYGKESSSKKYLKKKTYQASLISKGESQLSFEGNQDIQSQGYSVSEYRIDEVAIQRDEGQNISSPGTETCSTKQPGILKNKLVDEVMVSDEDRKSRGLKIKSNKPLDLDSGDDKHDSKSNTLKAKKLVINLGSRKINVTNSPKPDASNSQRERDVISYNGVQDANQLSYKFMLDTHDNTAKSGDGYKVYQSKSRRVKIAGKEGNVIKFGKIKPESPELGPKVGAASSSDGYEIGPLEQPRVASGKGSIDVTRLDAAPSGEASIRRGGRVMLRKWLEDRADTNGESNEDFGHTPVLKSFPKDSKLSLKFKLKKPDLENQNSKVHLVEEKSSIKGQRSKRQRPSTFVEKSLFNVDEDLDVTHTHQNSIMDGMMDANWILKKLGKDAIGKKVEIHHASDNSWHKGAVHDIIEDTSTVSVMLDDGRVKSLELGKQGIRFVLQKQKRSKC >KJB26716 pep chromosome:Graimondii2_0_v6:4:59342055:59346871:1 gene:B456_004G257200 transcript:KJB26716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKQMPSSILTRSKSPVILLFAVIILALSFFFFFLFSPSSTTTAAVTVPYRHPSVRPETSFVASLEHFLAHKAPKIPASSDDTVGSVIDRDVRRLDERKFVKEMEWLRGDPYYPMSMPVRVYVYEMPSKFTYDLLWLFRNTYRETSNITSNGSPVHRLIEQHSIDYWLWADLIAPESERLLKNVVRVVKQEEADLFYVPFFTTISFFLLEKQQCKALYREALKWVTDQPAWKRSEGRDHIFPIHHPWSFKSVRRYVKNAIWLLPDMDSTGNWYKPGQVSLEKDLILPYVPNVDLCDAKCLLESESKRTTLLFFRGRLKRNAGGKIRAKIGAELTGAKDVVIEEGTAGEGGKAAAQKGMRRSIFCLSPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYRKMAVFISSTDAVQPGWILRYLKSISSTQIREMRRNLAEYSRHFVYSNPAQPLGPEDLVWRMMAGKLVNIKLHTRRSQRVVKESRSVCTCDCRRSNSTHSNPIN >KJB25124 pep chromosome:Graimondii2_0_v6:4:48568703:48573053:1 gene:B456_004G177600 transcript:KJB25124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPRDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDEREMKAELCADLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIETYARYKYPTMTKTQGNFKLKVMEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDNVEGSDVEIPEFNVSYKPQKISPKFQSTVRHLLHQKIRDSYMHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGKPSVDCIANSPQSLLTGMNLFLSVSFAAVAPSVLYFPCILIVLFD >KJB25121 pep chromosome:Graimondii2_0_v6:4:48568469:48573730:1 gene:B456_004G177600 transcript:KJB25121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPRDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDEREMKAELCADLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIETYARYKYPTMTKTQGNFKLKVMEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDNVEGSDVEIPEFNVSYKPQKISPKFQSTVRHLLHQKIRDSYMHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGKPSVDCIANSPQSLLTGMNLFLSHLDITFRRDPTNYRPRINKLDSTKDREQKSAGSYYYLDD >KJB25122 pep chromosome:Graimondii2_0_v6:4:48568501:48573707:1 gene:B456_004G177600 transcript:KJB25122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPRDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDEREMKAELCADLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIETYARYKYPTMTKTQGNFKLKVMEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDNVEGSDVEIPEFNVSYKPQKIILVNWHESVPISSGHHF >KJB25123 pep chromosome:Graimondii2_0_v6:4:48568501:48573707:1 gene:B456_004G177600 transcript:KJB25123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPRDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDEREMKAELCADLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIETYARYKYPTMTKTQGNFKLKVMEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDNVEGSDVEIPEFNVSYKPQKISPKFQSTVRHLLHQKIRDSYMHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGKPSVDCIANSPQSLLTGMNLFLSVTSGHHF >KJB25125 pep chromosome:Graimondii2_0_v6:4:48568501:48573707:1 gene:B456_004G177600 transcript:KJB25125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPRDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDEREMKAELCADLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIETYARYKYPTMTKTQGNFKLKVMEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDNVEGSDVEIPEFNVSYKPQKISPKFQSTVRHLLHQKIRDSYMHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGKPSVDCIANSPQSLLTGMNLFLSVSFAAVAPSHLDITFRRDPTNYRPRINKLDSTKDREQKSAGSYYYLDD >KJB25275 pep chromosome:Graimondii2_0_v6:4:49600819:49601756:-1 gene:B456_004G182800 transcript:KJB25275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannose synthase subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G74340) UniProtKB/Swiss-Prot;Acc:Q9CA79] MELADRAVGFLLSITSLSIFTYYTFWVIILPFVDSDNFIHNYFLPQEYAILIPVCAGVVLLCLLCIFIGFVLLKSKKKKA >KJB25276 pep chromosome:Graimondii2_0_v6:4:49600749:49601913:-1 gene:B456_004G182800 transcript:KJB25276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannose synthase subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G74340) UniProtKB/Swiss-Prot;Acc:Q9CA79] MELADRAVGFLLSITSLSIFTYYTFWVIILPFVDSDNFIHNYFLPQEYAILIPVCAGVVLLCLLCIFIGFVLLKSKKKKA >KJB25463 pep chromosome:Graimondii2_0_v6:4:51057201:51059193:-1 gene:B456_004G193000 transcript:KJB25463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLKKRPKSKHNSYSKNSSLNSILEPPQSLFPSKGEFLRLVTVLAIAFAVALSCNYFLTFFTSTTKPFCDSNLDPIDSFSDSCEPCPSNGKCYEGNLECIYDIDETAKKLSESIEAGLYEAYAQVLCYGTRTVWVRENDIWNDLDRHNLMQNVGSDHTTYVYMKRRAMETIVKLLETRTNLHGLQEFKCPDALAEYYKPLTCHFRELVSKHSLIIMPICAGGVQYCS >KJB23291 pep chromosome:Graimondii2_0_v6:4:12237683:12238816:1 gene:B456_004G090300 transcript:KJB23291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGAAAGAKGKKKGASFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTRDKTRITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEEE >KJB23290 pep chromosome:Graimondii2_0_v6:4:12237414:12239230:1 gene:B456_004G090300 transcript:KJB23290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGAAAGAKGKKKGASFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTRDKTRITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEEE >KJB26714 pep chromosome:Graimondii2_0_v6:4:59286319:59292013:1 gene:B456_004G256400 transcript:KJB26714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAELSTEQVLKRDIPWETYMTTKLISGTGLQLLRRYDNRAESVRAQLLDDDGPAYVQVFVNILRDIFKEETKPSHPTCGIPTAINCLASLLKEPVVRSSFVQADGVKLLIPLITPASTQQSIQLLYETCLCVWLLSYYEPAIEYLATSRALPRLVDVVRSSTKEKVVRVVVLTFRNLLSKGTFGAQMVDLGLPQIVQSLKAQAWSDEDLLEALNHLEDGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDPLFWRDNVSCFEENDFQVLRILITIMETSSDPRALAVACFDLSQFIQHHPAGRVIVNDLKAKERVMKLMNHDSAEVTKNALLCIQRLFLGAKYASFLQA >KJB26715 pep chromosome:Graimondii2_0_v6:4:59286789:59291565:1 gene:B456_004G256400 transcript:KJB26715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAELSTEQVLKRDIPWETYMTTKLISGTGLQLLRRYDNRAESVRAQLLDDDGPAYVQVFVNILRDIFKEETVEYVLALIDEMLAANLKRARLFHDKSLANEDTYEPFLIACFASIFDIMLKICTYATGCDLSSKVLVTMWLLWKGNWFIQEKSCKILALIVSARPKTQDGVVANGENSKKKGTTINDVLKELVEWLCTQLKKPSHPTCGIPTAINCLASLLKEPVVRSSFVQADGVKLLIPLITPASTQQSIQLLYETCLCVWLLSYYEPAIEYLATSRALPRLVDVVRSSTKEKVVRVVVLTFRNLLSKGTFGAQMVDLGLPQIVQSLKAQAWSDEDLLEALNHLEDGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDPLFWRDNVSCFEENDFQVLRILITIMETSSDPRALAVACFDLSQFIQHHPAGRVIVNDLKAKERVMKLMNHDSAEVTKNALLCIQRLFLGAKYASFLQA >KJB26765 pep chromosome:Graimondii2_0_v6:4:59432847:59439232:-1 gene:B456_004G258500 transcript:KJB26765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSSALIHFVIIQGNGVKGLSEMGLKALSKQYIGPGKNWLSQYIQPLEERMCMTNVQTRDSILIIDMSNPDDPEVTKSICEAASKWGFFQIVNYDIPVEVLENVKDATYKFFGLPAEVKNKIHLNVSLELSLVDTNKKSSPLSSAKLASVTSSLLLSAALLFLRVILNNVSEEEAFALWTSVCREPVLDYMKKSEVVIKQLLKVLMNGLNLHEIDETKELLLMGSMRTNLNYYPKCPNPELTVGVGRHSDASTLTILLQDEIGRLFVRGNDGDNWIYVPPIKGSLVINVGDALQIISKGKYRSVKHRVVANGSKNRISVPIFVNPRPIDMIGPFPELIENGEKPIYKQVLYSDYVKHFFCKAHDGKKTVVFAEL >KJB24014 pep chromosome:Graimondii2_0_v6:4:32541211:32550075:-1 gene:B456_004G124900 transcript:KJB24014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFQAVTLVDSPSYPNSIAWSDENLIAVASGHLVTILNPALPFGPRGLISINRSEPYPIGAVKREDLASGCLLPTTLNRDPRPSVRSVSWSNLGMAPNSGCFLAVCTTEGRVKLYRPPFRDFCAEWIEVLDLTDRLYDYLASISFEDPDIPPSEISNFAQPLVKTFKEPVTNHGYVDDPTDSVSVEEQKRRKVNNFGVRNAGLETSCDQTSRGPSPSPELEGQGPPKGRCTKSGGRKSSHQIVPATRGRGRSAKKPPEVCSLPLITADQYASRSAMLSSLVVAWSPLLKLSSRICGAPEINSSICFSLLAVGSKSGKISFWRINVPEYYSIEQSLAPTRVELIGILKAHSSWVTAISCALLASDSSSPQVLLATGSSDGSVRIWIGHGEEFLKSTEVNNAPFYPLKEIINIYAVPVSVLALMPTQSLHKMLLAVGRTSGAIEVWIGDTSVKTFNKAGPYDAHDQVVTGLAWAFDGCFLYSCSQDNFVRSWSLRGSSLSEMPIPSSSPGLRSVSDMPDVFISCLGLVVSPSNLAVAMVRSFDVNQLDHMYEARLQKAAVEFFWIGGHQKDILSNTSLEFDIEALPGFTEKELVYWESNILWSLKQYEHWDKPLVIWDIVAALLAFKWSAPDYVDHVLVKWLSSSFVDVHVERSIGKVLPHVCKSFCKAASRQLHLLNIICRQVILPELNADEINSNLLNFTVDNPNFAQDKQHKLWMDLLSRSEKELRERLVGISFSAYRSFASNSATTSSEPGYWYPFGMAQMEQWVANNNHQVHDQLKVVATEIKTCKRSRCIELAEEDKEQCSYCSAPVPFESPEFGVCRGMESNGSSGQKHKLARCAVTMQVCPITPLWLCKCCQRWISKLAPESLFKMPQQYCLDYKFSPEPSSIKVASKPQCPFCGILLQRSQPEFLLSPLPV >KJB24012 pep chromosome:Graimondii2_0_v6:4:32540928:32550344:-1 gene:B456_004G124900 transcript:KJB24012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFQAVTLVDSPSYPNSIAWSDENLIAVASGHLVTILNPALPFGPRGLISINRSEPYPIGAVKREDLASGCLLPTTLNRDPRPSVRSVSWSNLGMAPNSGCFLAVCTTEGRVKLYRPPFRDFCAEWIEVLDLTDRLYDYLASISFEDPDIPPSEISNEPVTNHGYVDDPTDSVSVEEQKRRKVNNFGVRNAGLETSCDQTSRGPSPSPELEGQGPPKGRCTKSGGRKSSHQIVPATRGRGRSAKKPPEVCSLPLITADQYASRSAMLSSLVVAWSPLLKLSSRICGAPEINSSICFSLLAVGSKSGKISFWRINVPEYYSIEQSLAPTRVELIGILKAHSSWVTAISCALLASDSSSPQVLLATGSSDGSVRIWIGHGEEFLKSTEVNNAPFYPLKEIINIYAVPVSVLALMPTQSLHKMLLAVGRTSGAIEVWIGDTSVKTFNKAGPYDAHDQVVTGLAWAFDGCFLYSCSQDNFVRSWSLRGSSLSEMPIPSSSPGLRSVSDMPDVFISCLGLVVSPSNLAVAMVRSFDVNQLDHMYEARSRCIELAEEDKEQCSYCSAPVPFESPEFGVCRGMESNGSSGQKHKLARCAVTMQVCPITPLWLCKCCQRWISKLAPESLFKMPQQYCLDYKFSPEPSSIKVASKPQCPFCGILLQRSQPEFLLSPLPV >KJB24015 pep chromosome:Graimondii2_0_v6:4:32543368:32550344:-1 gene:B456_004G124900 transcript:KJB24015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFQAVTLVDSPSYPNSIAWSDENLIAVASGHLVTILNPALPFGPRGLISINRSEPYPIGAVKREDLASGCLLPTTLNRDPRPSVRSVSWSNLGMAPNSGCFLAVCTTEGRVKLYRPPFRDFCAEWIEVLDLTDRLYDYLASISFEDPDIPPSEISNEPVTNHGYVDDPTDSVSVEEQKRRKVNNFGVRNAGLETSCDQTSRGPSPSPELEGQGPPKGRCTKSGGRKSSHQIVPATRGRGRSAKKPPEVCSLPLITADQYASRSAMLSSLVVAWSPLLKLSSRICGAPEINSSICFSLLAVGSKSGKISFWRINVPEYYSIEQSLAPTRVELIGILKAHSSWVTAISCALLASDSSSPQVLLATGSSDGSVRIWIGHGEEFLKSTEVNNAPFYPLKEIINIYAVPVSVLALMPTQSLHKMLLAVGRTSGAIEVWIGDTSVKTFNKAGPYDAHDQVVTGLAWAFDGCFLYSCSQDNFVRSWSLRGSSLSEMPIPSSSPGLRSVSDMPDVFISCLGLVVSPSNLAVAMASTRIVHLY >KJB24013 pep chromosome:Graimondii2_0_v6:4:32541211:32550075:-1 gene:B456_004G124900 transcript:KJB24013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFQAVTLVDSPSYPNSIAWSDENLIAVASGHLVTILNPALPFGPRGLISINRSEPYPIGAVKREDLASGCLLPTTLNRDPRPSVRSVSWSNLGMAPNSGCFLAVCTTEGRVKLYRPPFRDFCAEWIEVLDLTDRLYDYLASISFEDPDIPPSEISNEPVTNHGYVDDPTDSVSVEEQKRRKVNNFGVRNAGLETSCDQTSRGPSPSPELEGQGPPKGRCTKSGGRKSSHQIVPATRGRGRSAKKPPEVCSLPLITADQYASRSAMLSSLVVAWSPLLKLSSRICGAPEINSSICFSLLAVGSKSGKISFWRINVPEYYSIEQSLAPTRVELIGILKAHSSWVTAISCALLASDSSSPQVLLATGSSDGSVRIWIGHGEEFLKSTEVNNAPFYPLKEIINIYAVPVSVLALMPTQSLHKMLLAVGRTSGAIEVWIGDTSVKTFNKAGPYDAHDQVVTGLAWAFDGCFLYSCSQDNFVRSWSLRGSSLSEMPIPSSSPGLRSVSDMPDVFISCLGLVVSPSNLAVAMVRSFDVNQLDHMYEARLQKAAVEFFWIGGHQKDILSNTSLEFDIEALPGFTEKELVYWESNILWSLKQYEHWDKPLVIWDIVAALLAFKWSAPDYVDHVLVKWLSSSFVDVHVERSIGKVLPHVCKSFCKAASRQLHLLNIICRQVILPELNADEINSNLLNFTVDNPNFAQDKQHKLWMDLLSRSEKELRERLVGISFSAYRSFASNSATTSSEPGYWYPFGMAQMEQWVANNNHQVHDQLKVVATEIKTCKRSRCIELAEEDKEQCSYCSAPVPFESPEFGVCRGMESNGSSGQKHKLARCAVTMQVCPITPLWLCKCCQRWISKLAPESLFKMPQQYCLDYKFSPEPSSIKVASKPQCPFCGILLQRSQPEFLLSPLPV >KJB23432 pep chromosome:Graimondii2_0_v6:4:16093058:16098968:1 gene:B456_004G097800 transcript:KJB23432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MSSKKSIQNLGETIHSLLGFKSHLTSTWVKSVCEIVKKLPSGNEKDDESAAKEISKIKEEMAALTHCINQSNIQRGQLLHDLLDLKGNIRVFCRVRPFTLEESIGGGIGGIGAVVALDTSNLLLKLGDNKTKRYTFDNVFQGSSQDGIFSKVEPVIKSVLDGYNASIFAYGQTGTGKTFTMEGTLDSPGIVPRTIETLFKQALDSNHTFLITFSMLEIYLGNLKDLLVPQATRATDPLPPCLSIQTDPKGGIGIENLVSIQVSDFNQALKLYRLGCQLRSTASTNSNTTSSRSHCMIRISMTCFDAPERRRETNKIWLVDLGGSERVVKTKAWGRRLDEGKAINLSLSALGDVIHALQRKKSHIPYRNSKLTQVLKDSLGEDSKTLMLVHVSPKEEDLCETICSLNFAARVKSVQLGHEESNEVRNQREAAMKNLHQTIKKIEDERRYIRGKIQILNHKLEELTRRGLPLEQQAEACDLSTELGPPSELDFTKNRTGNVRSQLPRFMRSTISSRTKTGMEHQTSLHSRRRRRPSSQRAGSVSFPVKNHSECNSDRSISRSTCAVELNRKTSMELDNVTVYSQDTSECDTKMVVTNRKSNKCKSTKVLKVDQWLTLHKDEGSISGSVPRNKWVSDIPLPEKKHRSNRVKKTHILCNDKVFKKQKKASSVVAVSQRVEDKPPTLKDLFAEESNSSFICPPQPTMCLSTGHQQDSLDGSLIEYGQGGSLSPPDSCCDGSVHFIDNEDEFYGTPMVEVVEDTEHRPNSSMMKISSYLLSPDDSISNSQEIFGVSAQALEPEQYHKQVMATEVNGKEDMDASSQSSPQEMRLNLCRPKSQRRLFIDDLKQKDPRMMTLIKSQEISKNQGKSFEV >KJB23435 pep chromosome:Graimondii2_0_v6:4:16093017:16098968:1 gene:B456_004G097800 transcript:KJB23435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MGNLGETIHSLLGFKSHLTSTWVKSVCEIVKKLPSGNEKDDESAAKEISKIKEEMAALTHCINQSNIQRGQLLHDLLDLKGNIRVFCRVRPFTLEESIGGGIGGIGAVVALDTSNLLLKLGDNKTKRYTFDNVFQGSSQDGIFSKVEPVIKSVLDGYNASIFAYGQTGTGKTFTMEGTLDSPGIVPRTIETLFKQALDSNHTFLITFSMLEIYLGNLKDLLVPQATRATDPLPPCLSIQTDPKGGIGIENLVSIQVSDFNQALKLYRLGCQLRSTASTNSNTTSSRSHCMIRISMTCFDAPERRRETNKIWLVDLGGSERVVKTKAWGRRLDEGKAINLSLSALGDVIHALQRKKSHIPYRNSKLTQVLKDSLGEDSKTLMLVHVSPKEEDLCETICSLNFAARVKSVQLGHEESNEVRNQREAAMKNLHQTIKKIEDERRYIRGKIQILNHKLEELTRRGLPLEQQAEACDLSTELGPPSELDFTKNRTGNVRSQLPRFMRSTISSRTKTGMEHQTSLHSRRRRRPSSQRAGSVSFPVKNHSECNSDRSISRSTCAVELNRKTSMELDNVTVYSQDTSECDTKMVVTNRKSNKCKSTKVLKVDQWLTLHKDEGSISGSVPRNKWVSDIPLPEKKHRSNRVKKTHILCNDKVFKKQKKASSVVAVSQRVEDKPPTLKDLFAEESNSSFICPPQPTMCLSTGHQQDSLDGSLIEYGQGGSLSPPDSCCDGSVHFIDNEDEFYGTPMVEVVEDTEHRPNSSMMKISSYLLSPDDSISNSQEIFGVSAQALEPEQYHKQVMATEVNGKEDMDASSQSSPQEMRLNLCRPKSQRRLFIDDLKQKDPRMMTLIKSQEISKNQGACCLVKQKVEKSWAIALLGLGFLDLGFNHDFFYGLIR >KJB23428 pep chromosome:Graimondii2_0_v6:4:16093058:16098968:1 gene:B456_004G097800 transcript:KJB23428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MSSKKSIQNLGETIHSLLGFKSHLTSTWVKSVCEIVKKLPSGNEKDDESAAKEISKIKEEMAALTHCINQSNIQRGQLLHDLLDLKGNIRVFCRVRPFTLEESIGGGIGGIGAVVALDTSNLLLKLGDNKTKRYTFDNVFQGSSQDGIFSKVEPVIKSVLDGYNASIFAYGQTGTGKTFTMEGTLDSPGIVPRTIETLFKQALDSNHTFLITFSMLEIYLGNLKDLLVPQATRATDPLPPCLSIQTDPKGGIGIENLVSIQVSDFNQALKLYRLGCQLRSTASTNSNTTSSRSHCMIRISMTCFDAPERRRETNKIWLVDLGGSERVVKTKAWGRRLDEGKAINLSLSALGDVIHALQRKKSHIPYRNSKLTQVLKDSLGEDSKTLMLVHVSPKEEDLCETICSLNFAARVKSVQLGHEESNEVRNQREAAMKNLHQTIKKIEDERRYIRGKIQILNHKLEELTRRGLPLEQQAEACDLSTELGPPSELDFTKNRTGNVRSQLPRFMRSTISSRTKTGMEHQTSLHSRRRRRPSSQRAGSVSFPVKNHSECNSDRSISRSTCAVELNRKTSMELDNVTVYSQDTSECDTKMVVTNRKSNKCKSTKVLKVDQWLTLHKDEGSISGSVPRNKWVSDIPLPEKKHRSNRVKKTHILCNDKVFKKQKKASSVVAVSQRVEDKPPTLKDLFAEESNSSFICPPQPTMCLSTGHQQDSLDGSLIEYGQGGSLSPPDSCCDGSVHFIDNEDEFYGTPMVEVVEDTEHRPNSSMMKISSYLLSPDDSISNSQEIFGVSAQALEPEQYHKQVMATEVNGKEDMDASSQSSPQEMRLNLCRPKSQRRLFIDDLKQKDPRMMTLIKSQEISKNQGACCLVKQKVEKSWAIALLGLGFLDLGFNHDFFYGLIR >KJB23431 pep chromosome:Graimondii2_0_v6:4:16093058:16098968:1 gene:B456_004G097800 transcript:KJB23431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MSSKKSIQNLGETIHSLLGFKSHLTSTWVKSVCEIVKKLPSGNEKDDESAAKEISKIKEMAALTHCINQSNIQRGQLLHDLLDLKGNIRVFCRVRPFTLEESIGGGIGGIGAVVALDTSNLLLKLGDNKTKRYTFDNVFQGSSQDGIFSKVEPVIKSVLDGYNASIFAYGQTGTGKTFTMEGTLDSPGIVPRTIETLFKQALDSNHTFLITFSMLEIYLGNLKDLLVPQATRATDPLPPCLSIQTDPKGGIGIENLVSIQVSDFNQALKLYRLGCQLRSTASTNSNTTSSRSHCMIRISMTCFDAPERRRETNKIWLVDLGGSERVVKTKAWGRRLDEGKAINLSLSALGDVIHALQRKKSHIPYRNSKLTQVLKDSLGEDSKTLMLVHVSPKEEDLCETICSLNFAARVKSVQLGHEESNEVRNQREAAMKNLHQTIKKIEDERRYIRGKIQILNHKLEELTRRGLPLEQQAEACDLSTELGPPSELDFTKNRTGNVRSQLPRFMRSTISSRTKTGMEHQTSLHSRRRRRPSSQRAGSVSFPVKNHSECNSDRSISRSTCAVELNRKTSMELDNVTVYSQDTSECDTKMVVTNRKSNKCKSTKVLKVDQWLTLHKDEGSISGSVPRNKWVSDIPLPEKKHRSNRVKKTHILCNDKVFKKQKKASSVVAVSQRVEDKPPTLKDLFAEESNSSFICPPQPTMCLSTGHQQDSLDGSLIEYGQGGSLSPPDSCCDGSVHFIDNEDEFYGTPMVEVVEDTEHRPNSSMMKISSYLLSPDDSISNSQEIFGVSAQALEPEQYHKQVMATEVNGKEDMDASSQSSPQEMRLNLCRPKSQRRLFIDDLKQKDPRMMTLIKSQEISKNQGACCLVKQKVEKSWAIALLGLGFLDLGFNHDFFYGLIR >KJB23433 pep chromosome:Graimondii2_0_v6:4:16093058:16098968:1 gene:B456_004G097800 transcript:KJB23433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MSSKKSIQNLGETIHSLLGFKSHLTSTWVKSVCEIVKKLPSGNEKDDESAAKEISKIKEEMAALTHCINQSNIQRGQLLHDLLDLKGNIRVFCRVRPFTLEESIGGGIGGIGAVVALDTSNLLLKLGDNKTKRYTFDNVFQGSSQDGIFSKVEPVIKSVLDGYNASIFAYGQTGTGKTFTMEGTLDSPGIVPRTIETLFKQALDSNHTFLITFSMLEIYLGNLKDLLVPQATRATDPLPPCLSIQTDPKGGIGIENLVSIQVSDFNQALKLYRLGCQLRSTASTNSNTTSSRSHCMIRISMTCFDAPERRRETNKIWLVDLGGSERVVKTKAWGRRLDEGKAINLSLSALGDVIHALQRKKSHIPYRNSKLTQVLKDSLGEDSKTLMLVHVSPKEEDLCETICSLNFAARVKSVQLGHEESNEVRNQREAAMKNLHQTIKKIEDERRYIRGKIQILNHKLEELTRRGLPLEQQAEACDLSTELGPPSELDFTKNRTGNVRSQLPRFMRSTISSRTKTGMEHQTSLHSRRRRRPSSQRAGSVSFPVKNHSECNSDRSISRSTCAVELNRKTSMELDNVTVYSQDTSECDTKMVVTNRKSNKCKSTKVLKVDQWLTLHKDEGSISGSVPRNKWVSDIPLPEKKHRSNRVKKTHILCNDKVFKKQKKASSVVAVSQRVEDKPPTLKDLFAEESNSSFICPPQPTMCLSTGHQQDSLDGSLIEYGQGGSLSPPDSCCDGSVHFIDNEDEFYGTPMVEVVEDTEHRPNSSMMKISSYLLSPDDSISNSQEIFGVSAQALEPEQYHKQVMATEVNGKEDMDASSQSSPQEMRLNLCRPKSQRRLFIDDLKQKDPRMMTLIKSQEISKNQES >KJB23429 pep chromosome:Graimondii2_0_v6:4:16093058:16098968:1 gene:B456_004G097800 transcript:KJB23429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MLEIYLGNLKDLLVPQATRATDPLPPCLSIQTDPKGGIGIENLVSIQVSDFNQALKLYRLGCQLRSTASTNSNTTSSRSHCMIRISMTCFDAPERRRETNKIWLVDLGGSERVVKTKAWGRRLDEGKAINLSLSALGDVIHALQRKKSHIPYRNSKLTQVLKDSLGEDSKTLMLVHVSPKEEDLCETICSLNFAARVKSVQLGHEESNEVRNQREAAMKNLHQTIKKIEDERRYIRGKIQILNHKLEELTRRGLPLEQQAEACDLSTELGPPSELDFTKNRTGNVRSQLPRFMRSTISSRTKTGMEHQTSLHSRRRRRPSSQRAGSVSFPVKNHSECNSDRSISRSTCAVELNRKTSMELDNVTVYSQDTSECDTKMVVTNRKSNKCKSTKVLKVDQWLTLHKDEGSISGSVPRNKWVSDIPLPEKKHRSNRVKKTHILCNDKVFKKQKKASSVVAVSQRVEDKPPTLKDLFAEESNSSFICPPQPTMCLSTGHQQDSLDGSLIEYGQGGSLSPPDSCCDGSVHFIDNEDEFYGTPMVEVVEDTEHRPNSSMMKISSYLLSPDDSISNSQEIFGVSAQALEPEQYHKQVMATEVNGKEDMDASSQSSPQEMRLNLCRPKSQRRLFIDDLKQKDPRMMTLIKSQEISKNQGACCLVKQKVEKSWAIALLGLGFLDLGFNHDFFYGLIR >KJB23430 pep chromosome:Graimondii2_0_v6:4:16095988:16098684:1 gene:B456_004G097800 transcript:KJB23430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MQMVFLFFFLYSLSIQTDPKGGIGIENLVSIQVSDFNQALKLYRLGCQLRSTASTNSNTTSSRSHCMIRISMTCFDAPERRRETNKIWLVDLGGSERVVKTKAWGRRLDEGKAINLSLSALGDVIHALQRKKSHIPYRNSKLTQVLKDSLGEDSKTLMLVHVSPKEEDLCETICSLNFAARVKSVQLGHEESNEVRNQREAAMKNLHQTIKKIEDERRYIRGKIQILNHKLEELTRRGLPLEQQAEACDLSTELGPPSELDFTKNRTGNVRSQLPRFMRSTISSRTKTGMEHQTSLHSRRRRRPSSQRAGSVSFPVKNHSECNSDRSISRSTCAVELNRKTSMELDNVTVYSQDTSECDTKMVVTNRKSNKCKSTKVLKVDQWLTLHKDEGSISGSVPRNKWVSDIPLPEKKHRSNRVKKTHILCNDKVFKKQKKASSVVAVSQRVEDKPPTLKDLFAEESNSSFICPPQPTMCLSTGHQQDSLDGSLIEYGQGGSLSPPDSCCDGSVHFIDNEDEFYGTPMVEVVEDTEHRPNSSMMKISSYLLSPDDSISNSQEIFGVSAQALEPEQYHKQVMATEVNGKEDMDASSQSSPQEMRLNLCRPKSQRRLFIDDLKQKDPRMMTLIKSQEISKNQGACCLVKQKVEKSWAIALLGLGFLDLGFNHDFFYGLIR >KJB23434 pep chromosome:Graimondii2_0_v6:4:16093058:16098968:1 gene:B456_004G097800 transcript:KJB23434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MEGTLDSPGIVPRTIETLFKQALDSNHTFLITFSMLEIYLGNLKDLLVPQATRATDPLPPCLSIQTDPKGGIGIENLVSIQVSDFNQALKLYRLGCQLRSTASTNSNTTSSRSHCMIRISMTCFDAPERRRETNKIWLVDLGGSERVVKTKAWGRRLDEGKAINLSLSALGDVIHALQRKKSHIPYRNSKLTQVLKDSLGEDSKTLMLVHVSPKEEDLCETICSLNFAARVKSVQLGHEESNEVRNQREAAMKNLHQTIKKIEDERRYIRGKIQILNHKLEELTRRGLPLEQQAEACDLSTELGPPSELDFTKNRTGNVRSQLPRFMRSTISSRTKTGMEHQTSLHSRRRRRPSSQRAGSVSFPVKNHSECNSDRSISRSTCAVELNRKTSMELDNVTVYSQDTSECDTKMVVTNRKSNKCKSTKVLKVDQWLTLHKDEGSISGSVPRNKWVSDIPLPEKKHRSNRVKKTHILCNDKVFKKQKKASSVVAVSQRVEDKPPTLKDLFAEESNSSFICPPQPTMCLSTGHQQDSLDGSLIEYGQGGSLSPPDSCCDGSVHFIDNEDEFYGTPMVEVVEDTEHRPNSSMMKISSYLLSPDDSISNSQEIFGVSAQALEPEQYHKQVMATEVNGKEDMDASSQSSPQEMRLNLCRPKSQRRLFIDDLKQKDPRMMTLIKSQEISKNQGACCLVKQKVEKSWAIALLGLGFLDLGFNHDFFYGLIR >KJB22132 pep chromosome:Graimondii2_0_v6:4:2514023:2515410:1 gene:B456_004G031100 transcript:KJB22132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLLLSLLFSFVVLASAANFNQDIDVTWGDGRGKILENGNLLTLTLDKFSGSGFQSKNQYLFGKIDMNIKLVPGNSAGTVTTYYLRSEGSTWDEIDFEFLGNLSGDPYIVHTNVYTQGKGDKEQQFYLWFDPTKDFHTYSLLWNPQRIIFSVDGTPLREFKNLESMGVAFPKSQPMRVYSSLWNADDWATRGGLVKTDWSQAPFTAAYRNYKADACVWSSGKSSCSSTLPSQNSWLSQELDITSQQRLKWVQKNYMIYSYCTDTKRFPQGLPKECSVH >KJB23994 pep chromosome:Graimondii2_0_v6:4:32285629:32291723:1 gene:B456_004G124100 transcript:KJB23994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAVAAARGGALPMSSSSRKEWRAVSDHHLVRNHGDEVEMDRSKLGQSDERTIYEVQHGREPADVDFCSITADESLDDDVLQQRIHNITRQREELLQMEVELRAQAIARSRVLEIQSSCDSKITAHVNAVAKLEEQLDEREQTIHEFERKMEEKDRELHAIKVDKEEVWAKEDLLREQNKELATFRRERDHSEAERAQHIKQIHDLQEHVQDKERQLIDLQEQYRAAQEAILYKDEQLRDAQTWISRVQEMDALQSSTNHSLQAELRERTEQYNQLWHGCQRQFAEMERLHLHTIHQLQLELADVRERNGSYTDGSHMSQTKSKDLSQFGQTNGKQVDSNGSGATNANTGIISNGPSDNVQTFVSPGNASNPNDHVPSVPIAPLGLPTYLPPGQVSALHPFIMHQQGAPHSVTSHVGHYSMPDTASIQQWQNQLTSPEGLQLSAQNHIPPPQTDQKLGGSDVKYEYDLSVNGQAIRSDYLDHINQGAEPNSVISSSSGKAQVDESINASYLVDSQPEPTMPQVSSQFHDALRLSSEPKEQNILNMNNHVQEDQIPTAEETSTAAAAASPSHDTSVHSVNFCETTMKNGTGDIFPEKLVSTGQTNNLISAKTSETALLDERSLLACIVRTIPTGGKIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHPALFLIEGDYIQLREGAQEMIAATAAVAKVAAAAAASSPYSSILPSVAVTPMAQPNRLKKGLPTADSNHAKNENAAFKGYAVISKTAAGDHSQLSGMQNQHPNGVSFGVAGNLSNVKILSKSNGANSEISSLTNVESKASGQGRSNSNFAGKQQGRATGAALSSRR >KJB23996 pep chromosome:Graimondii2_0_v6:4:32285692:32290993:1 gene:B456_004G124100 transcript:KJB23996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAVAAARGGALPMSSSSRKEWRAVSDHHLVRNHGDEVEMDRSKLGQSDERTIYEVQHGREPADVDFCSITADESLDDDVLQQRIHNITRQREELLQMEVELRAQAIARSRVLEIQSSCDSKITAHVNAVAKLEEQLDEREQTIHEFERKMEEKDRELHAIKVDKEEVWAKEDLLREQNKELATFRRERDHSEAERAQHIKQIHDLQEHVQDKERQLIDLQEQYRAAQEAILYKDEQLRDAQTWISRVQEMDALQSSTNHSLQAELRERTEQYNQLWHGCQRQFAEMERLHLHTIHQLQLELADVRERNGSYTDGSHMSQTKSKDLSQFGQTNGKQVDSNGSGATNANTGIISNGPSDNVQTFVSPGNASNPNQNDHVPSVPIAPLGLPTYLPPGQVSALHPFIMHQQGAPHSVTSHVGHYSMPDTASIQQWQNQLTSPEGLQLSAQNHIPPPQTDQKLGGSDVKYEYDLSVNGQAIRSDYLDHINQGAEPNSVISSSSGKAQVDESINASYLVDSQPEPTMPQVSSQFHDALRLSSEPKEQNILNMNNHVQEDQIPTAEETSTAAAAASPSHDTSVHSVNFCETTMKNGTGDIFPEKLVSTGQTNNLISAKTSETALLDERSLLACIVRTIPTGGKIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHPALFLIEGDYIQLREGAQEMIAATAAVAKVAAAAAASSPYSSILPSVAVTPMAQPNRLKKGLPTADSNHAKNENAAFKGYAVISKTAAGDHSQLSGMQNQHPNGVSFGVAGNLSNVKILSKSNGANSEISSLTNVESKASGQGRSNSNFAGKQQGRYVA >KJB23998 pep chromosome:Graimondii2_0_v6:4:32285692:32290993:1 gene:B456_004G124100 transcript:KJB23998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAVAAARGGALPMSSSSRKEWRAVSDHHLVRNHGDEVEMDRSKLGQSDERTIYEVQHGREPADVDFCSITADESLDDDVLQQRIHNITRQREELLQMEVELRAQAIARSRVLEIQSSCDSKITAHVNAVAKLEEQLDEREQTIHEFERKMEEKDRELHAIKVDKEEVWAKEDLLREQNKELATFRRERDHSEAERAQHIKQIHDLQEHVQDKERQLIDLQEQYRAAQEAILYKDEQLRDAQTWISRVQEMDALQSSTNHSLQAELRERTEQYNQLWHGCQRQFAEMERLHLHTIHQLQLELADVRERNGSYTDGSHMSQTKSKDLSQFGQTNGKQVDSNGSGATNANTGIISNGPSDNVQTFVSPGNASNPNQNDHVPSVPIAPLGLPTYLPPGQVSALHPFIMHQQGAPHSVTSHVGHYSMPDTASIQQWQNQLTSPEGLQLSAQNHIPPPQTDQKLGGSDVKYEYDLSVNGQAIRSDYLDHINQGAEPNSVISSSSGKAQVDESINASYLVDSQPEPTMPQVSSQFHDALRLSSEPKEQNILNMNNHVQEDQIPTAEETSTAAAAASPSHDTSVHSVNFCETTMKNGTGDIFPEKLVSTGQTNNLISAKTSETALLDERSLLACIVRTIPTGGKIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHPALFLIEGDYIQLREGAQEMIAATAAVAKVAAAAAASSPYSSILPSVAVTPMAQPNRLKKGLPTADSNHAKNENAAFKGYAVISKTAAGDHSQLSGMQNQHPNGVSFGVAGNLSNVKILSKSNGANSEISSLTNVESKASGQGRSNSNFAGKQQGRYVA >KJB23995 pep chromosome:Graimondii2_0_v6:4:32285629:32291723:1 gene:B456_004G124100 transcript:KJB23995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAVAAARGGALPMSSSSRKEWRAVSDHHLVRNHGDEVEMDRSKLGQSDERTIYEVQHGREPADVDFCSITADESLDDDVLQQRIHNITRQREELLQMEVELRAQAIARSRVLEIQSSCDSKITAHVNAVAKLEEQLDEREQTIHEFERKMEEKDRELHAIKVDKEEVWAKEDLLREQNKELATFRRERDHSEAERAQHIKQIHDLQEHVQDKERQLIDLQEQYRAAQEAILYKDEQLRDAQTWISRVQEMDALQSSTNHSLQAELRERTEQYNQLWHGCQRQFAEMERLHLHTIHQLQLELADVRERNGSYTDGSHMSQTKSKDLSQFGQTNGKQVDSNGSGATNANTGIISNGPSDNVQTFVSPGNASNPNQNDHVPSVPIAPLGLPTYLPPGQVSALHPFIMHQQGAPHSVTSHVGHYSMPDTASIQQWQNQLTSPEGLQLSAQNHIPPPQTDQKLGGSDVKYEYDLSVNGQAIRSDYLDHINQGAEPNSVISSSSGKAQVDESINASYLVDSQPEPTMPQVSSQFHDALRLSSEPKEQNILNMNNHVQEDQIPTAEETSTAAAAASPSHDTSVHSVNFCETTMKNGTGDIFPEKLVSTGQTNNLISAKTSETALLDERSLLACIVRTIPTGGKIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHPALFLIEGDYIQLREGAQEMIAATAAVAKVAAAAAASSPYSSILPSVAVTPMAQPNRLKKGLPTADSNHAKNENAAFKGYAVISKTAAGDHSQLSGMQNQHPNGVSFGVAGNLSNVKILSKSNGANSEISSLTNVESKASGQGRSNSNFAGKQQGRATGAALSSRR >KJB23991 pep chromosome:Graimondii2_0_v6:4:32285629:32291723:1 gene:B456_004G124100 transcript:KJB23991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAVAAARGGALPMSSSSRKEWRAVSDHHLVRNHGDEVEMDRSKLGQSDERTIYEVQHGREPADVDFCSITADESLDDDVLQQRIHNITRQREELLQMEVELRAQAIARSRVLEIQSSCDSKITAHVNAVAKLEEQLDEREQTIHEFERKMEEKDRELHAIKVDKEEVWAKEDLLREQNKELATFRRERDHSEAERAQHIKQIHDLQEHVQDKERQLIDLQEQYRAAQEAILYKDEQLRDAQTWISRVQEMDALQSSTNHSLQAELRERTEQYNQLWHGCQRQFAEMERLHLHTIHQLQLELADVRERNGSYTDGSHMSQTKSKDLSQFGQTNGKQVDSNGSGATNANTGIISNGPSDNVQTFVSPGNASNPNQNDHVPSVPIAPLGLPTYLPPGQVSALHPFIMHQQGAPHSVTSHVGHYSMPDTASIQQWQNQLTSPEGLQLSAQNHIPPPQTDQKLGGSDVKYEYDLSVNGQAIRSDYLDHINQGAEPNSVISSSSGKAQVDESINASYLVDSQPEPTMPQVSSQFHDALRLSSEPKEQNILNMNNHVQEDQIPTAEETSTAAAAASPSHDTSVHSVNFCETTMKNGTGDIFPEKLVSTGQTNNLISAKTSETALLDERSLLACIVRTIPTGGKIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHPALFLIEGDYIQLREGAQEMIAATAAVAKVAAAAAASSPYSSILPSVAVTPMAQPNRLKKGLPTADSNHAKNENAAFKGYAVISKTAAGDHSQLSGMQNQHPNGVSFGVAGNLSNVKILSKSNGANSEISSLTNVESKASGQGRSNSNFAGKQQGRATGAALSSRR >KJB23997 pep chromosome:Graimondii2_0_v6:4:32285629:32291723:1 gene:B456_004G124100 transcript:KJB23997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAVAAARGGALPMSSSSRKEWRAVSDHHLVRNHGDEVEMDRSKLGQSDERTIYEHGREPADVDFCSITADESLDDDVLQQRIHNITRQREELLQMEVELRAQAIARSRVLEIQSSCDSKITAHVNAVAKLEEQLDEREQTIHEFERKMEEKDRELHAIKVDKEEVWAKEDLLREQNKELATFRRERDHSEAERAQHIKQIHDLQEHVQDKERQLIDLQEQYRAAQEAILYKDEQLRDAQTWISRVQEMDALQSSTNHSLQAELRERTEQYNQLWHGCQRQFAEMERLHLHTIHQLQLELADVRERNGSYTDGSHMSQTKSKDLSQFGQTNGKQVDSNGSGATNANTGIISNGPSDNVQTFVSPGNASNPNQNDHVPSVPIAPLGLPTYLPPGQVSALHPFIMHQQGAPHSVTSHVGHYSMPDTASIQQWQNQLTSPEGLQLSAQNHIPPPQTDQKLGGSDVKYEYDLSVNGQAIRSDYLDHINQGAEPNSVISSSSGKAQVDESINASYLVDSQPEPTMPQVSSQFHDALRLSSEPKEQNILNMNNHVQEDQIPTAEETSTAAAAASPSHDTSVHSVNFCETTMKNGTGDIFPEKLVSTGQTNNLISAKTSETALLDERSLLACIVRTIPTGGKIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHPALFLIEGDYIQLREGAQEMIAATAAVAKVAAAAAASSPYSSILPSVAVTPMAQPNRLKKGLPTADSNHAKNENAAFKGYAVISKTAAGDHSQLSGMQNQHPNGVSFGVAGNLSNVKILSKSNGANSEISSLTNVESKASGQGRSNSNFAGKQQGRATGAALSSRR >KJB23992 pep chromosome:Graimondii2_0_v6:4:32285382:32291774:1 gene:B456_004G124100 transcript:KJB23992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAVAAARGGALPMSSSSRKEWRAVSDHHLVRNHGDEVEMDRSKLGQSDERTIYEHGREPADVDFCSITADESLDDDVLQQRIHNITRQREELLQMEVELRAQAIARSRVLEIQSSCDSKITAHVNAVAKLEEQLDEREQTIHEFERKMEEKDRELHAIKVDKEEVWAKEDLLREQNKELATFRRERDHSEAERAQHIKQIHDLQEHVQDKERQLIDLQEQYRAAQEAILYKDEQLRDAQTWISRVQEMDALQSSTNHSLQAELRERTEQYNQLWHGCQRQFAEMERLHLHTIHQLQLELADVRERNGSYTDGSHMSQTKSKDLSQFGQTNGKQVDSNGSGATNANTGIISNGPSDNVQTFVSPGNASNPNDHVPSVPIAPLGLPTYLPPGQVSALHPFIMHQQGAPHSVTSHVGHYSMPDTASIQQWQNQLTSPEGLQLSAQNHIPPPQTDQKLGGSDVKYEYDLSVNGQAIRSDYLDHINQGAEPNSVISSSSGKAQVDESINASYLVDSQPEPTMPQVSSQFHDALRLSSEPKEQNILNMNNHVQEDQIPTAEETSTAAAAASPSHDTSVHSVNFCETTMKNGTGDIFPEKLVSTGQTNNLISAKTSETALLDERSLLACIVRTIPTGGKIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHPALFLIEGDYIQLREGAQEMIAATAAVAKVAAAAAASSPYSSILPSVAVTPMAQPNRLKKGLPTADSNHAKNENAAFKGYAVISKTAAGDHSQLSGMQNQHPNGVSFGVAGNLSNVKILSKSNGANSEISSLTNVESKASGQGRSNSNFAGKQQGRATGAALSSRR >KJB23993 pep chromosome:Graimondii2_0_v6:4:32285343:32291867:1 gene:B456_004G124100 transcript:KJB23993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAVAAARGGALPMSSSSRKEWRAVSDHHLVRNHGDEVEMDRSKLGQSDERTIYEVQHGREPADVDFCSITADESLDDDVLQQRIHNITRQREELLQMEVELRAQAIARSRVLEIQSSCDSKITAHVNAVAKLEEQLDEREQTIHEFERKMEEKDRELHAIKVDKEEVWAKEDLLREQNKELATFRRERDHSEAERAQHIKQIHDLQEHVQDKERQLIDLQEQYRAAQEAILYKDEQLRDAQTWISRVQEMDALQSSTNHSLQAELRERTEQYNQLWHGCQRQFAEMERLHLHTIHQLQLELADVRERNGSYTDGSHMSQTKSKDLSQFGQTNGKQVDSNGSGATNANTGIISNGPSDNVQTFVSPGNASNPNQNDHVPSVPIAPLGLPTYLPPGQVSALHPFIMHQQGAPHSVTSHVGHYSMPDTASIQQWQNQLTSPEGLQLSAQNHIPPPQTDQKLGGSDVKYEYDLSVNGQAIRSDYLDHINQGAEPNSVISSSSGKAQVDESINASYLVDSQPEPTMPQVSSQFHDALRLSSEPKEQNILNMNNHVQEDQIPTAEETSTAAAAASPSHDTSVHSVNFCETTMKNGTGDIFPEKLVSTGQTNNLISAKTSETALLDERSLLACIVRTIPTGGKIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHPALFLIEGDYIQLREGAQEMIAATAAVAKVAAAAAASSPYSSILPSVAVTPMAQPNRLKKGLPTADSNHAKNENAAFKGYAVISKTAAGDHSQLSGMQNQHPNGVSFGVAGNLSNVKILSKSNGANSEISSLTNVESKASGQGRSNSNFAGKQQGRATGAALSSRR >KJB24186 pep chromosome:Graimondii2_0_v6:4:35845439:35847350:1 gene:B456_004G131900 transcript:KJB24186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNQQSFWHFSDQLRIQNSNLANLSLNDSIWSNSYVSKRPDERRNFDIRVGGEVNSVNNLKPKVSDFNSFNNDGWKIGATTNNIGFGPIAPKNTGINGGFNKGVYSKPANNNNFNVSLKGNKNRGEDDHGSKSGKKNSNKKKNNNSDNNNNETKDGGSAADKRFKTLPPSEALPRNETVGGYIFVCNNDTMQENLKRQLFGMYTSLGLISFFISEADFRFGTCTRKGK >KJB24183 pep chromosome:Graimondii2_0_v6:4:35845351:35847421:1 gene:B456_004G131900 transcript:KJB24183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNQQSFWHFSDQLRIQNSNLANLSLNDSIWSNSYVSKRPDERRNFDIRVGGEVNSVNNLKPKVSDFNSFNNDGWKIGATTNNIGFGPIAPKNTGINGGFNKGVYSKPANNNNFNVSLKGNKNRGEDDHGSKSGKKNSNKKKNNNSDNNNNETKDGGSAADKRFKTLPPSEALPRNETVGGYIFVCNNDTMQENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVRVLTRKICEPLEEDSFRPILHHYDGPKFRLELNVPEALSLLDIFAEQDP >KJB24185 pep chromosome:Graimondii2_0_v6:4:35845439:35847350:1 gene:B456_004G131900 transcript:KJB24185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNQQSFWHFSDQLRIQNSNLANLSLNDSIWSNSYVSKRPDERRNFDIRVGGEVNSVNNLKPKVSDFNSFNNDGWKIGATTNNIGFGPIAPKNTGINGGFNKGVYSKPANNNNFNVSLKGNKNRGEDDHGSKSGKKNSNKKKNNNSDNNNNETKDGGSAADKRFKTLPPSEALPRNETVGGYIFVCNNDTMQENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVFCPPIIS >KJB24187 pep chromosome:Graimondii2_0_v6:4:35845439:35847350:1 gene:B456_004G131900 transcript:KJB24187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNQQSFWHFSDQLRIQNSNLANLSLNDSIWSNSYVSKRPDERRNFDIRVGGEVNSVNNLKPKVSDFNSFNNDGWKIGATTNNIGFGPIAPKNTGINGGFNKGVYSKPANNNNFNVSLKGNKNRGEDDHGSKSGKKNSNKKKNNNSDNNNNETKDGGSAADKRFKTLPPSEALPRNETVGGYIFVCNNDTMQENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVFCPPIIS >KJB24184 pep chromosome:Graimondii2_0_v6:4:35845610:35846299:1 gene:B456_004G131900 transcript:KJB24184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNQQSFWHFSDQLRIQNSNLANLSLNDSIWSNSYVSKRPDERRNFDIRVGGEVNSVNNLKPKVSDFNSFNNDGWKIGATTNNIGFGPIAPKNTGINGGFNKGVYSKPANNNNFNVSLKGNKNRGEDDHGSKSGKKNSNKKKNNNSDNNNNETKDGGSAADKRFKTLPPSEALPRNETVGGYIFVCNNDTMQENLKRQLFGMYTSLGLISFFISEADFRFGTCTRKGK >KJB22656 pep chromosome:Graimondii2_0_v6:4:5700959:5705230:-1 gene:B456_004G059100 transcript:KJB22656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIFFYFYTFDFETMVLHFVDQAINEKILSLGYGEFLAEITTVDAQDSHNGGVIVLVTGHLTGKDKVKRKFTQSFFLAPQDKGYFVLNDVFRYIDEAKHQSGSQELVSKIEASLITEKDPSPAPENHIVEQLAAMPEEANGPEVYNPSENGDASIEEEEVPVAEVVDEIPDDSQMFDDSNSKIEEVPKKSYASIVKFMKENAVPLSTPTHSLVKSTVKSHDQPGTVAPPISPAPVSDAQISNNSIPENGINQDVEAEGPSIYVKGLPLNATPSMLENEFKKFGPIKNGGIQVRSQKGFCFGFVEFELASSAQSAIEASPINIGGRNAIVEEKRSTSRGNKGRSSGSGAGYRNDGAKGRGNYGGGGRGYSLGEFGNRSNNRGGYSNRRGDGYQRGDMGSNGGSRMNRTGGLAVNAAAKNMAPRVSAPA >KJB22655 pep chromosome:Graimondii2_0_v6:4:5701501:5703838:-1 gene:B456_004G059100 transcript:KJB22655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHFVDQAINEKILSLGYGEFLAEITTVDAQDSHNGGVIVLVTGHLTGKDKVKRKFTQSFFLAPQDKGYFVLNDVFRYIDEAKHQSGSQELVSKIEASLITEKDPSPAPENHIVEQLAAMPEEANGPEVYNPSENGDASIEEEEVPVAEVVDEIPDDSQMFDDSNSKIEEVPKKSYASIVKFMKENAVPLSTPTHSLVKSTVKSHDQPGTVAPPISPAPVSDAQISNNSIPENGINQDVEAEGPSIYVKGLPLNATPSMLENEFKKFGPIKNGGIQVRSQKGFCFGFVEFELASSAQSAIEASPINIGGRNAIVEEKRSTSRGNKGRSSGSGAGYRNDGAKGRGNYGGGGRGYSLGEFGNRSNNRGGYSNRRGDGYQRGDMGSNGGSRMNRTGGLAVNAAAKNMAPRVSAPA >KJB22652 pep chromosome:Graimondii2_0_v6:4:5700959:5705230:-1 gene:B456_004G059100 transcript:KJB22652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTEQQVPSGITAPTADVVGNAFVHQYYLILHQSPALVHRFYHDNSKLGRPEENGGMSITTTMQAINEKILSLGYGEFLAEITTVDAQDSHNGGVIVLVTGHLTGKDKVKRKFTQSFFLAPQDKGYFVLNDVFRYIDEAKHQSGSQELVSKIEASLITEKDPSPAPENHIVEQLAAMPEEANGPEVYNPSENGDASIEEEEVPVAEVVDEIPDDSQMFDDSNSKIEEVPKKSYASIVKFMKENAVPLSTPTHSLVKSTVKSHDQPGTVAPPISPAPVSDAQISNNSIPENGINQDVEAEGPSIYVKGLPLNATPSMLENEFKKFGPIKNGGIQVRSQKGFCFGFVEFELASSAQSAIEASPINIGGRNAIVEEKRSTSRGNKGRSSGSGAGYRNDGAKGRGNYGGGGRGYSLGEFGNRSNNRGGYSNRRGDGYQRGDMGSNGGSRMNRTGGLAVNAAAKNMAPRVSAPA >KJB22653 pep chromosome:Graimondii2_0_v6:4:5700926:5705264:-1 gene:B456_004G059100 transcript:KJB22653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTEQQVPSGITAPTADVVGNAFVHQYYLILHQSPALVHRFYHDNSKLGRPEENGGMSITTTMQAINEKILSLGYGEFLAEITTVDAQDSHNGGVIVLVTGHLTGKDKVKRKFTQSFFLAPQDKGYFVLNDVFRYIDEAKHQSGSQELVSKIEASLITEKDPSPAPENHIVEQLAAMPEEANGPEVYNPSENGDASIEEEEVPVAEVVDEIPDDSQMFDDSNSKIEEVPKKSYASIVKFMKENAVPLSTPTHSLVKSTVKSHDQPGTVAPPISPAPVSDAQISNNSIPENGINQDVEAEGPSIYVKGLPLNATPSMLENEFKKFGPIKNGGIQVRSQKGFCFGFVEFELASSAQSAIEASPINIGGRNAIVEEKRSTSRGNKGRSSGSGAGYRNDGAKGRGNYGGGGRGYSLGEFGNRSNNRGGYSNRRGDGYQRGDMGSNGGSRMNRTGGLAVNAAAKNMAPRVSAPA >KJB22654 pep chromosome:Graimondii2_0_v6:4:5700959:5705230:-1 gene:B456_004G059100 transcript:KJB22654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTEQQVPSGITAPTADVVGNAFVHQYYLILHQSPALVHRFYHDNSKLGRPEENGGMSITTTMQAINEKILSLGYGEFLAEITTVDAQDSHNGGVIVLVTGHLTGKDKVKRKFTQSFFLAPQDKGYFVLNDVFRYIDEAKHQSGSQELVSKIEASLITEKDPSPAPENHIVEQLAAMPEEANGPEVYNPSENGDASIEEEEVPVAEVVDEIPDDSQMFDDSNSKIEEVPKKSYASIVRSTLLHVKFMKENAVPLSTPTHSLVKSTVKSHDQPGTVAPPISPAPVSDAQISNNSIPENGINQDVEAEGPSIYVKGLPLNATPSMLENEFKKFGPIKNGGIQVRSQKGFCFGFVEFELASSAQSAIEASPINIGGRNAIVEEKRSTSRGNKGRSSGSGAGYRNDGAKGRGNYGGGGRGYSLGEFGNRSNNRGGYSNRRGDGYQRGDMGSNGGSRMNRTGGLAVNAAAKNMAPRVSAPA >KJB22657 pep chromosome:Graimondii2_0_v6:4:5702101:5704644:-1 gene:B456_004G059100 transcript:KJB22657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTEQQVPSGITAPTADVVGNAFVHQYYLILHQSPALVHRFYHDNSKLGRPEENGGMSITTTMQAINEKILSLGYGEFLAEITTVDAQDSHNGGVIVLVTGHLTGKDKVKRKFTQSFFLAPQDKGYFVLNDVFRYIDEAKHQSGSQELVSKIEASLITEKDPSPAPENHIVEQLAAMPEEANGPEVYNPSENGDASIEEEEVPVAEVVDEIPDDSQMFDDSNSKIEEVPKKSYASIVKFMKENAVPLSTPTHSLVKSTVKSHDQPGTVAPPISPAPVSDAQISNNSIPENGINQDVEAEGPSIYVKGLPLNATPSMLENEFKKFGPIKNGGIQVRSQKGFCFGFVEFELASSAQSAIEASPINIGGRNAIVEEKRSTSRGKLLLFLKFPKI >KJB26227 pep chromosome:Graimondii2_0_v6:4:56859107:56860566:1 gene:B456_004G231600 transcript:KJB26227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFICHIHLIKTVTPIWFLLLLGDAFNFAGYFMIWLVVAGKITEPKIWQMCIYICIGANSQNSANTGALVTSVKNFPESRGSMIGLLKGYTGLIGAIMIQIYLAVYGNDPKSLILLIALLPAAISILFVYTIRDVKPINTPMSLYVFYHFLTISIVLAVFLMALDLLEKIIAFSEGGYIASATLVSFLVVLPLVISIREELLIWNVKKQAIDPPTGITVERPKPKAVSPKQGDEKSSLDAIFYRPERGDDYTVLQALTSIDMWVLFLSTFCGLGSSLTAVDNLGQIGESLGYPNKTVTSFVSLVSIWNFFGRVFAGFVSEIMVVKYRLPRPLMMTMVLLLACVGYLLVAFPFPGSLYIASIVTGFSFGAQLPMNLTIVSELFGLKYYSVLFNLVQLANLLGSFVFNVKTTGTLYDKAAMKDLTKKGLRRLPFIVLASVTFFGALSSLILVIRTRNFYSSDICKKFKENTEN >KJB27101 pep chromosome:Graimondii2_0_v6:4:61073732:61076214:-1 gene:B456_004G277900 transcript:KJB27101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLNYHLFAGNPLRSKTPKLHDPLSLSSSFESLKSHLHQNPETHPPNSPFFKVLLFKKGRPLVSSSIEEEDGVAPSWHLGWIDLADCKTILGKHGVQLTESSLVYLGSRAEEHVVYWAMDVVENGELATELSNRKQLCFVELRTLMTATDWTDSWVMGDLAIAGHVVIMLVIDRENNRVLLGRQSRFVPRMWSCLAGFIEPGESLEEAVRREAYEETGIEVGEVVYHSSQPWPVGPNSLPCQLMVGFFAYAKSHEINVDKEELEVKLYVYVDARWHSREDVRKALRFAEYKKAQRLAAAKVDQMIKGVKKRRSSSAGYLAKSGEFAPMFVPGPFAIAHHLISAWANQDPPTGAKAQMKKPPSGFVSKL >KJB27100 pep chromosome:Graimondii2_0_v6:4:61073334:61076298:-1 gene:B456_004G277900 transcript:KJB27100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLNYHLFAGNPLRSKTPKLHDPLSLSSSFESLKSHLHQNPETHPPNSPFFKVLLFKKGRPLVSSSIEEEDGVAPSWHLGWIDLADCKTILGKHGVQLTESSLVYLGSRAEEHVVYWAMDVVENGELATELSNRKQLCFVELRTLMTATDWTDSWVMGDLAIAGHVVIMLVIDRENNRVLLGRQSRFVPRMWSCLAGFIEPGESLEEAVRREAYEETGIEVGEVVYHSSQPWPVGPNSLPCQLMVGFFAYAKSHEINVDKEELEDARWHSREDVRKALRFAEYKKAQRLAAAKVDQMIKGVKKRRSSSAGYLAKSGEFAPMFVPGPFAIAHHLISAWANQDPPTGAKAQMKKPPSGFVSKL >KJB27102 pep chromosome:Graimondii2_0_v6:4:61073732:61076214:-1 gene:B456_004G277900 transcript:KJB27102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLNYHLFAGNPLRSKTPKLHDPLSLSSSFESLKSHLHQNPETHPPNSPFFKVLLFKKGRPLVSSSIEEEDGVAPSWHLGWIDLADCKTILGKHGVQLTESSLVYLGSRAEEHVVYWAMDVVENGELATELSNRKQLCFVELRTLMTATDWTDSWVMGDLAIAGHGRALLEWHNQSRFCGHCGDKTVPKEAGRMKQCSNELCKKSVYPRLDPVVIMLVIDRENNRVLLGRQSRFVPRMWSCLAGFIEPGESLEEAVRREAYEETGIEVGEVVYHSSQPWPVGPNSLPCQLMVGFFAYAKSHEINVDKEELEVKLYVYVDARWHSREDVRKALRFAEYKKAQRLAAAKVDQMIKGVKKRRSSSAGYLAKSGEFAPMFVPGPFAIAHHLISAWANQDPPTGAKAQMKKPPSGFVSKL >KJB27099 pep chromosome:Graimondii2_0_v6:4:61073307:61076368:-1 gene:B456_004G277900 transcript:KJB27099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLNYHLFAGNPLRSKTPKLHDPLSLSSSFESLKSHLHQNPETHPPNSPFFKVLLFKKGRPLVSSSIEEEDGVAPSWHLGWIDLADCKTILGKHGVQLTESSLVYLGSRAEEHVVYWAMDVVENGELATELSNRKQLCFVELRTLMTATDWTDSWVMGDLAIAGHGRALLEWHNQSRFCGHCGDKTVPKEAGRMKQCSNELCKKSVYPRLDPVVIMLVIDRENNRVLLGRQSRFVPRMWSCLAGFIEPGESLEEAVRREAYEETGIEVGEVVYHSSQPWPVGPNSLPCQLMVGFFAYAKSHEINVDKEELEDARWHSREDVRKALRFAEYKKAQRLAAAKVDQMIKGVKKRRSSSAGYLAKSGEFAPMFVPGPFAIAHHLISAWANQDPPTGAKAQMKKPPSGFVSKL >KJB22182 pep chromosome:Graimondii2_0_v6:4:2763449:2765742:-1 gene:B456_004G033700 transcript:KJB22182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) UniProtKB/TrEMBL;Acc:F4K688] MEITLPLDKLCLPSITNGDKIYVVLVATGSFNPPTLMHLRMFELARDALNSNGFCVIGGYMSPVNDAYKKKGLIAADHRIELCNLACKSSDFIMVDPWEAKQSSFQRSLTVLNRVKSFLIEGGLIPKESLKVMLVCGSDLVQSFSIPGFWIPEQVRSICKDYGVVCIRREGQDLEKIINNDEILNENQDNIRIVDELVPNQISSTRLRECISRGLSIKYLTVDEVIEYIRKQNLYLKPNEK >KJB22181 pep chromosome:Graimondii2_0_v6:4:2763174:2765909:-1 gene:B456_004G033700 transcript:KJB22181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) UniProtKB/TrEMBL;Acc:F4K688] MEITLPLDKLCLPSITNGDKIYVVLVATGSFNPPTLMHLRMFGGYMSPVNDAYKKKGLIAADHRIELCNLACKSSDFIMVDPWEAKQSSFQRSLTVLNRVKSFLIEGGLIPKESLKVMLVCGSDLVQSFSIPGFWIPEQVRSICKDYGVVCIRREGQDLEKIINNDEILNENQDNIRIVDELVPNQISSTRLRECISRGLSIKYLTVDEVIEYIRKQNLYLKPNEK >KJB21813 pep chromosome:Graimondii2_0_v6:4:1083972:1087141:-1 gene:B456_004G015100 transcript:KJB21813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSRGNPESLTNELGVASPENNGNVSICSRNESFSKASPTQPPASPSEEASPKPTPKPNPIGTVLCRPMEDVRNTYTIGKELGRGQFGVTYLCTHKVTGEQFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNVVELKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYSERAAASLLRIIVQIVHTCHSMGVFHRDLKPENFLLLNKEENSPLKATDFGLSLFYKPGDVFKDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYILLCGFPPFWAESENGIFSAILRGEIDFESDPWPAISPQAKDLVTKMLNLDPKQRLTAQQVLNHPWIKEDGEAPDIPLDNAVLARLKQFKAMNNFKKVALRVIAGCLSEEEIQGLKEMFKTIDSDNSGTITLEELKQGLAKQGTKLTEYEVKQLMEAADADSNGTIDYEEFITATMHMNRMDREEHLYRAFQHFDKDNSGYITIEELEQALREYGMHDGKDIKEIISEVDNDNDGKINYDEFVAMMRRGNPEANPKKRRDDVMFDNSD >KJB23600 pep chromosome:Graimondii2_0_v6:4:22861212:22865734:1 gene:B456_004G109500 transcript:KJB23600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFVSFVAVLCIVCSNVLADQIFPSHVAGTLGRSSREPKYKIEFHSEDSPYHPDDDQESVFMPNKDGKNFLCFLPKVEKTKTLRPVTQQNVSSMIVESEKQVKLKTPDELLEVLKDRCFIRQEGWWSYEFCYQNRLRQIHVEEDKVVQEFVLGVYDEEATAAFNQNLSDISTLKDPRSKDASQRYHAHQYTNGTQCDLTNQPRETEVIPRRETGVAHH >KJB23597 pep chromosome:Graimondii2_0_v6:4:22861132:22865805:1 gene:B456_004G109500 transcript:KJB23597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFVSFVAVLCIVCSNVLADQIFPSHVAGTLGRSSREPKYKIEFHSEDSPYHPDDDQESVFMPNKDGKNFLCFLPKVEKTKTLRPVTQQNVSSMIVESEKQVKLKTPDELLEVLKDRCFIRQEGWWSYEFCYQNRLRQIHVEEDKVVQEFVLGVYDEEATAAFNQNLSDISTLKDPRSKDASQRYHAHQYTNGTQCDLTNQPRETEVRFVCSEPRAMISSITELSTCKYALTIQSPMLCKHPLFQEERPVWHTINCNVLPKDTKVEEDPHITMIMDSEDQSSNFDSSQ >KJB23602 pep chromosome:Graimondii2_0_v6:4:22861381:22864257:1 gene:B456_004G109500 transcript:KJB23602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFVSFVAVLCIVCSNVLADQIFPSHVAGTLGRSSREPKYKIEFHSEDSPYHPDDDQESVFMPNKDGKNFLCFLPKVEKTKTLRPVTQQNVSSMIVESEKQVKLKTPDELLEVLKDRCFIRQEGWWSYEFCYQNRLRQIHVEEDKVVQEFVLGVYDEEATAAFNQNLSDISTLKDPRSKDASQR >KJB23599 pep chromosome:Graimondii2_0_v6:4:22861212:22865734:1 gene:B456_004G109500 transcript:KJB23599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFVSFVAVLCIVCSNVLADQIFPSHVAGTLGRSSREPKYKIEFHSEDSPYHPDDDQESVFMPNKDGKNFLCFLPKVEKTKTLRPVTQQNVSSMIVESEKQVKLKTPDELLEVLKDRCFIRQEGWWSYEFCYQNRLRQIHVEEDKVVQEFVLGVYDEEATAAFNQNLSDISTLKDPRSKDASQRYHAHQYTNGTQCDLTNQPRETEVRFVCSEPRAMISSITELSTCKLFQEERPVWHTINCNVLPKDTKVEEDPHITMIMDSEDQSSNFDSSQ >KJB23601 pep chromosome:Graimondii2_0_v6:4:22861212:22865734:1 gene:B456_004G109500 transcript:KJB23601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFVSFVAVLCIVCSNVLADQIFPSHVAGTLGRSSREPKYKIEFHSEDSPYHPDDDQESVFMPNKDGKNFLCFLPKVEKTKTLRPVTQQNVSSMIVESEKQVKLKTPDELLEVLKDRCFIRQEGWWSYEFCYQNRLRQIHVEEDKVVQEFVLGVYDEEATAAFNQNLSDISTLKDPRSKDASQRYHAHQYTNGTQCDLTNQPRETEVRFVCSEPRAMISSITELSTCKYALTIQSPMLCKHP >KJB23598 pep chromosome:Graimondii2_0_v6:4:22861381:22864721:1 gene:B456_004G109500 transcript:KJB23598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFVSFVAVLCIVCSNVLADQIFPSHVAGTLGRSSREPKYKIEFHSEDSPYHPDDDQESVFMPNKDGKNFLCFLPKVEKTKTLRPVTQQNVSSMIVESEKQVKLKTPDELLEVLKDRCFIRQEGWWSYEFCYQNRLRQIHVEEDKVVQEFVLGVYDEEATAAFNQNLSDISTLKDPRSKDASQRYHAHQYTNGTQCDLTNQPRETEVRFVCSEPRAMISSITELSTCKYALTIQSPMLCKHP >KJB23603 pep chromosome:Graimondii2_0_v6:4:22861212:22865734:1 gene:B456_004G109500 transcript:KJB23603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFVSFVAVLCIVCSNVLADQIFPSHVAGTLGRSSREPKYKIEFHSEDSPYHPVEKTKTLRPVTQQNVSSMIVESEKQVKLKTPDELLEVLKDRCFIRQEGWWSYEFCYQNRLRQIHVEEDKVVQEFVLGVYDEEATAAFNQNLSDISTLKDPRSKDASQRYHAHQYTNGTQCDLTNQPRETEVRFVCSEPRAMISSITELSTCKYALTIQSPMLCKHPLFQEERPVWHTINCNVLPKDTKVEEDPHITMIMDSEDQSSNFDSSQ >KJB25934 pep chromosome:Graimondii2_0_v6:4:55010474:55013452:-1 gene:B456_004G216900 transcript:KJB25934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSERSAVLAITDDTSYAVTALNAYWEFENENPKRFDPLIILQASSLARLPLESYNVDLVIYISRSVEFPFDELYAEIFRVLVPGGSLVVYGLQSGTKEADTAMSAIEHKLLLAGFLDGKRFHLKSVAQSFGVKAKKPSWKLGSSFAIKKKTVKNPLKIQMDDNSDLIDEDSLLTEEDLKKPQLPPVGDCEVGSTRKACKNCTCGRAEQEEKVQKLELTMDQINNPQSACGNCGLGDAFRCSTCPYKGVPPFKLGEKVSLSGNFLAADI >KJB25936 pep chromosome:Graimondii2_0_v6:4:55011138:55013379:-1 gene:B456_004G216900 transcript:KJB25936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSERSAVLAITDDTSYAVTALNAYWEFENENPKRFDPLIILQASSLARLPLESYNVDLVIYISRSVEFPFDELYAEIFRVLVPGGSLVVYGLQSGTKEADTAMSAIEHKLLLAGFLDGKRFHLKSVAQSFGVKAKKPSWKLGSSFAIKKKTVKNPLKIQMDDNSDLIDEDSLLTEEDLKKPQLPPGMMARLDKIHDYWFFYLLCGKF >KJB25935 pep chromosome:Graimondii2_0_v6:4:55010512:55013179:-1 gene:B456_004G216900 transcript:KJB25935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLLLGVCSARLPLESYNVDLVIYISRSVEFPFDELYAEIFRVLVPGGSLVVYGLQSGTKEADTAMSAIEHKLLLAGFLDGKRFHLKSVAQSFGVKAKKPSWKLGSSFAIKKKTVKNPLKIQMDDNSDLIDEDSLLTEEDLKKPQLPPVGDCEVGSTRKACKNCTCGRAEQEEKVQKLELTMDQINNPQSACGNCGLGDAFRCSTCPYKGVPPFKLGEKVSLSGNFLAADI >KJB23016 pep chromosome:Graimondii2_0_v6:4:9128512:9135666:1 gene:B456_004G077800 transcript:KJB23016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G03090) UniProtKB/Swiss-Prot;Acc:Q42523] MMSLLSSILRRKPAVLLQVRLLSSYAPPGTTSQQQRIEKILVANRGEIACRIMRTAKRLGIRTVAVYSDADKNSLHVKSADEAVHIGPPPARLSYLNGSSIVEAAVRTGAQAVHPGYGFLSESSEFAQLVEDRGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGSEQDVEIMRLEADKIGYPILIKPTHGGGGKGMRIVQSPNEFVDSFLGAQREAAASFGINTILLEKYITQPRHIEVQIFGDKFGNVLHLYERDCSVQRRHQKIIEEAPAPCVTDEFRTHLGQAAVSAAKAVGYHNAGTVEFIVDTKTGHFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIHVANGEPLPISQDQVPLSGHAFEARIYAENVPKGFLPATGVLHHYHPVPPSSTVRVETGVEQGDVVSMHYDPMIAKLVVWGENRAAALVKLKDCLLKFQVAGLPTNINFLQKLANHKAFEEGNVETHFIERHKDDLFVDPNNKVIYEDAYSAARLGAKLVAACLCEKERSAMKESHSGDSSLLPIWYTHPPFRVNHHAQRTMEFECENEYESSSSKPLMLSITYRPDGNYFIQHQQIGENDAHILEVKASNLGNDNFIVEADGLTMNVSLAVYTKGQIKHIHIWNGPHHHHFRQKLGLDLSDEDETQHKTSFETTSHPPGTVVAPMAGLVVKVLVENGAKVEAGQPVLVLEAMKMEHVVKATSGGVVEGLKVGAGQQVSDGSVLFRVKAQE >KJB23012 pep chromosome:Graimondii2_0_v6:4:9128555:9135721:1 gene:B456_004G077800 transcript:KJB23012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G03090) UniProtKB/Swiss-Prot;Acc:Q42523] MMSLLSSILRRKPAVLLQVRLLSSYAPPGTTSQQQRIEKILVANRGEIACRIMRTAKRLGIRTVAVYSDADKNSLHVKSADEAVHIGPPPARLSYLNGSSIVEAAVRTGAQAVHPGYGFLSESSEFAQLVEDRGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGSEQDVEIMRLEADKIGYPILIKPTHGGGGKGMRIVQSPNEFVDSFLGAQREAAASFGINTILLEKYITQPRHIEVQIFGDKFGNVLHLYERDCSVQRRHQKIIEEAPAPCVTDEFRTHLGQAAVSAAKAVGYHNAGTVEFIVDTKTGHFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIHVANGEPLPISQDQVPLSGHAFEARIYAENVPKGFLPATGVLHHYHPVPPSSTVRVETGVEQGDVVSMHYDPMIAKLVVWGENRAAALVKLKDCLLKFQVAGLPTNINFLQKLANHKAFEEGNVETHFIERHKDDLFVDPNNKVIYEDAYSAARLGAKLVAACLCEKERSAMKESHSGDSSLLPIWYTHPPFRVNHHAQRTMEFECENEYESSSSKPLMLSITYRPDGNYFIQHQQIGENDAHILEVKASNLGNDNFIVEADGLTMNVSLAVYTKGQIKHIHIWNGPHHHHFRQKLGLDLSDEDETQHKTSFETTSHPPGTVVAPMAGLVVKVLVENGAKVEAGQPVLVLEAMKMEHVVKATSGGVVEGLKVGAGQQVSDGSVLFRVKE >KJB23014 pep chromosome:Graimondii2_0_v6:4:9128555:9135451:1 gene:B456_004G077800 transcript:KJB23014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G03090) UniProtKB/Swiss-Prot;Acc:Q42523] MMSLLSSILRRKPAVLLQVRLLSSYAPPGTTSQQQRIEKILVANRGEIACRIMRTAKRLGIRTVAVYSDADKNSLHVKSADEAVHIGPPPARLSYLNGSSIVEAAVRTGAQAVHPGYGFLSESSEFAQLVEDRGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGSEQDVEIMRLEADKIGYPILIKPTHGGGGKGMRIVQSPNEFVDSFLGAQREAAASFGINTILLEKYITQPRHIEVQIFGDKFGNVLHLYERDCSVQRRHQKIIEEAPAPCVTDEFRTHLGQAAVSAAKAVGYHNAGTVEFIVDTKTGHFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIHVANGEPLPISQDQVPLSGHAFEARIYAENVPKGFLPATGVLHHYHPVPPSSTVRVETGVEQGDVVSMHYDPMIAKLVVWGENRAAALVKLKDCLLKFQVAGLPTNINFLQKLANHKAFEEGNVETHFIERHKDDLFVDPNNKVIYEDAYSAARLGAKLVAACLCEKERSAMKESHSGDSSLLPIWYTHPPFRVNHHAQRTMEFECENEYESSSSKPLMLSITYRPDGNYFIQHQQIGENDAHILEVKASNLGNDNFIVEADGLTMNVSLAVYTKGQIKHIHIWNGPHHHHFRQKLGLDLSDEDETQHKTSFETTSHPPGTVVAPMAGLVVKVLVENGAKVEAGQPVLVLEAMKMEVYITIYE >KJB23013 pep chromosome:Graimondii2_0_v6:4:9128800:9133152:1 gene:B456_004G077800 transcript:KJB23013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G03090) UniProtKB/Swiss-Prot;Acc:Q42523] MMSLLSSILRRKPAVLLQVRLLSSYAPPGTTSQQQRIEKILVANRGEIACRIMRTAKRLGIRTVAVYSDADKNSLHVKSADEAVHIGPPPARLSYLNGSSIVEAAVRTGAQAVHPGYGFLSESSEFAQLVEDRGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGSEQDVEIMRLEADKIGYPILIKPTHGGGGKGMRIVQSPNEFVDSFLGAQREAAASFGINTILLEKYITQPRHIEVQIFGDKFGNVLHLYERDCSVQRRHQKIIEEAPAPCVTDEFRTHLGQAAVSAAKAVGYHNAGTVEFIVDTKTGHFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIHVANGEPLPISQDQVPLSGHAFEARIYAENVPKGFLPATGVLHHYHPVPPSSTVRVETGVEQGDVVSMHYDPMIAKLVVWGENRAAALVKLKDCLLKFQVAGLPTNINFLQKLANHKAFEEGNVETHFIERHKDDLFVDPNNKVIYEDAYSAARLGAKLVAACLCEKERSAMKESHSGDSSLLPIWYTHPPFRVNHHAQRTMEFECENEYESSSSKPLMLSITYRPDGNYFIQVFFLLT >KJB23010 pep chromosome:Graimondii2_0_v6:4:9128555:9135727:1 gene:B456_004G077800 transcript:KJB23010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G03090) UniProtKB/Swiss-Prot;Acc:Q42523] MMSLLSSILRRKPAVLLQVRLLSSYAPPGTTSQQQRIEKILVANRGEIACRIMRTAKRLGIRTVAVYSDADKNSLHVKSADEAVHIGPPPARLSYLNGSSIVEAAVRTGAQAVHPGYGFLSESSEFAQLVEDRGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGSEQDVEIMRLEADKIGYPILIKPTHGGGGKGMRIVQSPNEFVDSFLGAQREAAASFGINTILLEKYITQPRHIEVQIFGDKFGNVLHLYERDCSVQRRHQKIIEEAPAPCVTDEFRTHLGQAAVSAAKAVGYHNAGTVEFIVDTKTGHFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIHVANGEPLPISQDQVPLSGHAFEARIYAENVPKGFLPATGVLHHYHPVPPSSTVRVETGVEQGDVVSMHYDPMIAKLVVWGENRAAALVKLKDCLLKFQVAGLPTNINFLQKLANHKAFEEGNVETHFIERHKDDLFVDPNNKVIYEDAYSAARLGAKLVAACLCEKERSAMKESHSGDSSLLPIWYTHPPFRVNHHAQRTMEFECENEYESSSSKPLMLSITYRPDGNYFIQHQQIGENDAHILEVKASNLGNDNFIVEADGLTMNVSLAVYTKGQIKHIHIWNGPHHHHFRQKLGLDLSDEDETQHKTSFETTSHPPGTVVAPMAGLVVKVLVENGAKVEAGQPVLVLEAMKMEHVVKATSGGVVEGLKVGAGQQVSDGSVLFRVKAQE >KJB23015 pep chromosome:Graimondii2_0_v6:4:9128555:9136025:1 gene:B456_004G077800 transcript:KJB23015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G03090) UniProtKB/Swiss-Prot;Acc:Q42523] MMSLLSSILRRKPAVLLQVRLLSSYAPPGTTSQQQRIEKILVANRGEIACRIMRTAKRLGIRTVAVYSDADKNSLHVKSADEAVHIGPPPARLSYLNGSSIVEAAVRTGAQAVHPGYGFLSESSEFAQLVEDRGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGSEQDVEIMRLEADKIGYPILIKPTHGGGGKGMRIVQSPNEFVDSFLGAQREAAASFGINTILLEKYITQPRHIEVQIFGDKFGNVLHLYERDCSVQRRHQKIIEEAPAPCVTDEFRTHLGQAAVSAAKAVGYHNAGTVEFIVDTKTGHFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIHVANGEPLPISQDQVPLSGHAFEARIYAENVPKGFLPATGVLHHYHPVPPSSTVRVETGVEQGDVVSMHYDPMIAKLVVWGENRAAALVKLKDCLLKFQVAGLPTNINFLQKLANHKAFEEGNVETHFIERHKDDLFVDPNNKVIYEDAYSAARLGAKLVAACLCEKERSAMKESHSGDSSLLPIWYTHPPFRVNHHAQRTMEFECENEYESSSSKPLMLSITYRPDGNYFIQHQQIGENDAHILEVKASNLGNDNFIVEADGLTMNVSLAVYTKGQIKHIHIWNGPHHHHFRQKLGLDLSDEDETQHKTSFETTSHPPGTVVAPMAGLVVKVLVENGAKVEAGQPVLVLEAMKMEHVVKATSGGVVEGLKVGAGQQVSDGSVLFRVKAQE >KJB23011 pep chromosome:Graimondii2_0_v6:4:9128555:9134745:1 gene:B456_004G077800 transcript:KJB23011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G03090) UniProtKB/Swiss-Prot;Acc:Q42523] MMSLLSSILRRKPAVLLQVRLLSSYAPPGTTSQQQRIEKILVANRGEIACRIMRTAKRLGIRTVAVYSDADKNSLHVKSADEAVHIGPPPARLSYLNGSSIVEAAVRTGAQAVHPGYGFLSESSEFAQLVEDRGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGSEQDVEIMRLEADKIGYPILIKPTHGGGGKGMRIVQSPNEFVDSFLGAQREAAASFGINTILLEKYITQPRHIEVQIFGDKFGNVLHLYERDCSVQRRHQKIIEEAPAPCVTDEFRTHLGQAAVSAAKAVGYHNAGTVEFIVDTKTGHFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIHVANGEPLPISQDQVPLSGHAFEARIYAENVPKGFLPATGVLHHYHPVPPSSTVRVETGVEQGDVVSMHYDPMIAKLVVWGENRAAALVKLKDCLLKFQVAGLPTNINFLQKLANHKAFEEGNVETHFIERHKDDLFVDPNNKVIYEDAYSAARLGAKLVAACLCEKERSAMKESHSGDSSLLPIWYTHPPFRVNHHAQRTMEFECENEYESSSSKPLMLSITYRPDGNYFIQHQQIGENDAHILEVKASNLGNDNFIVEADGLTMNVSLAVYTKGQIKHIHIWNGPHHHHFRQKLGLDLSDEDETQHKTSFETTSHPPGTVVAPMAGLVVKVLVENGAKVEAGQPVLVLEAMKMEHVVKATSGGVVEGLKVGAGQQVSDGSVLFRVKVSHFEFGSWN >KJB25637 pep chromosome:Graimondii2_0_v6:4:52879880:52880464:-1 gene:B456_004G201500 transcript:KJB25637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLILIHLATLLNSSLAVRKPLGTQTNIGTEFIRTSCGTTTDPGLCMTTFSGYASEIQASPKLLARTALSVSLNTTRSASTVIVKLSKSHGLKPKEIAAIRDCVEELKDSVDELKRACDEMGSGGGKSFELRMSDIQTWVSAALTDEDTCMDGFSGKYINGNLKTTVRRQIVKLAHLISIALAFVSHYAAHP >KJB23404 pep chromosome:Graimondii2_0_v6:4:15530219:15532278:-1 gene:B456_004G096600 transcript:KJB23404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRQARPNWPRTFPLFHSYALSLFLSKSRSSQISLPSHSNTHSTIHTCFLCVAFQYIPILKPWKAGRQTALRNLESQLGMAITATAMTMTVTTGLQFAGSLNHKQTSFLGVPLRLSMSNPQPSLPSVFLNVQAKARTRTEDREARHSRIRKKIEGTPDRPRLCVFRSNKHLYVQVIDDSKMHTLASASTMQKPICEEFNYTSGPTIEVAKKVGEFIAKSCLEKGITKVAFDRGGYPYHGRVQALADSAREHGLQF >KJB23406 pep chromosome:Graimondii2_0_v6:4:15529991:15532328:-1 gene:B456_004G096600 transcript:KJB23406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRQARPNWPRTFPLFHSYALSLFLSKSRSSQISLPSHSNTHSTIHTCFLCVAFQYIPILKPWKAGRQTALRNLESQLGMAITATAMTMTVTTGLQFAGSLNHKQTSFLGVPLRLSMSNPQPSLPSVFLNVQAKARTRTEDREARHSRIRKKEVAKKVGEFIAKSCLEKGITKVAFDRGGYPYHGRVQALADSAREHGLQF >KJB23405 pep chromosome:Graimondii2_0_v6:4:15529991:15532328:-1 gene:B456_004G096600 transcript:KJB23405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITATAMTMTVTTGLQFAGSLNHKQTSFLGVPLRLSMSNPQPSLPSVFLNVQAKARTRTEDREARHSRIRKKIEGTPDRPRLCVFRSNKHLYVQVIDDSKMHTLASASTMQKPICEEFNYTSGPTIVRSGEESW >KJB23403 pep chromosome:Graimondii2_0_v6:4:15529917:15532340:-1 gene:B456_004G096600 transcript:KJB23403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITATAMTMTVTTGLQFAGSLNHKQTSFLGVPLRLSMSNPQPSLPSVFLNVQAKARTRTEDREARHSRIRKKIEGTPDRPRLCVFRSNKHLYVQVIDDSKMHTLASASTMQKPICEEFNYTSGPTIEVAKKVGEFIAKSCLEKGITKVAFDRGGYPYHGRVQALADSAREHGLQF >KJB26885 pep chromosome:Graimondii2_0_v6:4:60040667:60055836:-1 gene:B456_004G264800 transcript:KJB26885 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MRFGDVFSRYHPPQIEESIRRAQPTIEFIFAATEESIFVHAIISSKHIRTLSTGDIEKVLEHSSNNTSYLLPVIISPHGMCGKLTGCCPNDLVKQVYFSNSGKIGLPHRTSQSSVCQLRGQNCYVKVSLGCSKSRGDKPLNPNPDYIRNLPKHQSTEAYAMGRVDQKGPLDLLSVHEKTFIYPPEAVLVPVLQTSFARSSLRRFWLQNWIGPSLAGSSFFRHCFCSDGNLDILEKSWAEFSGIHTQHCYNSSSNSNNSSISSLSSSSSDSVTTGARDLEADADSSCRQSGLSSNDQMETDCLKLGSKRPRIGMTESYGQAGVGNDQIGSNWDWDDDDRGIVMDIQSLLSEFGDFGDFFENDVLPFGEPPGTAESSAIMFGASDCGDVGSSPAGVMDTSDQMLLPVGLPSFDSFNPPLPLVTEEFLSKSQEVTNSAVTSGPSNQTPASSTGEFDHLMKAEALMTFAVEYGAVETPASDSSSVFRNPYLPKSRKVESSNSSQSNYKYAATPPSFPCFGGLDEKTGMPMNLKAHPGGHDSNSMLQLKKYYTHVETREERYGRRLLTHNDSNATNEMSGTSQFGNLYSIKSDKSASRKMTQGVFGGERILLSMKTVLGTEVECSMFQASMLRMRHILLSPTSPATINLSRPTASSVLNQLPDDPSTMADNLSSRYEVKKESIPFKSAGDIDGVMLDGHLNAPVGVWRTVGAPKVSKLATSSIEASPSLTHNLYNEESMLSYGQSQPLQELLDGIPLLVQQATSFVDLALDADGGDCPYSWLALQEHWRRGFCCGPSMVHAGCGGTLASCHSLDIAGVELVDPLSANIHASSVISLLHSDIKSALKSAFGSLDGPLSVTDWCRGRGQSGDVGASADGSSTESNISECRDSSSTVTLSVGEAVSPSQTSVGGSSYLKDGGKVDETSQRRLNQETSGSELEQQQSSRLRSTLFVLPSPALLVGYQDDWLKTSGNSLQLWEKAPLEPYALPKPINYSVICPDIDPLTSAAADFFQQLGIVYETCKLGTHSPQSLGNQMEIDSGKWFSSGFVLLDCPQSMKIESSNASLLGSISDFFLSLSNGWDMTCYLKSLSKALKALKIGQFLFTNQKEGISSPCLVIYVVCPFPEPTAVLKTVIESSIAVGSIILPSDRDKRSLLHSQIGKALSSSAAADEASVSNIPVVSGFSVPKVVVQIVTVDAIFRVTSPPFNELIILKEMAFTVYNKARRISRGSMNDLALSSSLSRSHSVLTPMTSIPTMWKDSVGSRIPGSSIPREGEIDSSLRGGAWENSWQTRTGGLSCDPNRNGDFFYPDEVRYMFEPLFILAESGSLEHGVSPTAFGNSTSETSKIVSDESGGGFMQAANSVGSTDPGSGSQHVGLEPDGLDSSNQKIPSLHCCYGWTEDWRWLVCIWTDARGELLDCNIFPFGGISSRQDTKGLQCLFVQVLQQGCHILQACTSSDTGVVKPRDFVITRIGSFYELEYLEWQKAIYSVGGSEVKKWPLQLRRSVPDGMPANTNGTSLDQQEMSLIQERTLPSSPSPLYSSHTKASFMKGGLGQPAARKQLLSGQTLVDNSRGLLQWVQSISFVSVSVDHSLHLVLQADSPSSGTHSGTGPGQSSYIEGFTPVKSLGSTSASYMLIPSPSMRFLPPTPLHLPTCLTAESPPLAHLLHSKGFAIPLSTGFVVSKAVPSMRKDYRNHPKEEWPSVLSISLIDYYGGNKVTQDKVIRGTAKQAGRTPSSESRDSEIDNHLILASIAAELHALSWMTVSPGFLDRRTALPFHTDMVLRLRRLLHFAEKELSGQPDKSQV >KJB26887 pep chromosome:Graimondii2_0_v6:4:60041127:60057153:-1 gene:B456_004G264800 transcript:KJB26887 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MWTNVFRIGGLSQVSWFQFHPVESDLSSLPDKRIKVEQKDAATLLVISSHLQLQKEGFLSAWTNSFVGPWDPSQGLHNPDEKIKLWLFIPGRHASVVDSAQSAVSRLRVVASGLWLAPGDSEEVAAALSQALRNRIERALLGLSYMRFGDVFSRYHPPQIEESIRRAQPTIEFIFAATEESIFVHAIISSKHIRTLSTGDIEKVLEHSSNNTSYLLPVIISPHGMCGKLTGCCPNDLVKQVYFSNSGKIGLPHRTSQSSVCQLRGQNCYVKVSLGCSKSRGDKPLNPNPDYIRNLPKHQSTEAYAMGRVDQKGPLDLLSVHEKTFIYPPEAVLVPVLQTSFARSSLRRFWLQNWIGPSLAGSSFFRHCFCSDGNLDILEKSWAEFSGIHTQHCYNSSSNSNNSSISSLSSSSSDSVTTGARDLEADADSSCRQSGLSSNDQMETDCLKLGSKRPRIGMTESYGQAGVGNDQIGSNWDWDDDDRGIVMDIQSLLSEFGDFGDFFENDVLPFGEPPGTAESSAIMFGASDCGDVGSSPAGVMDTSDQMLLPVGLPSFDSFNPPLPLVTEEFLSKSQEVTNSAVTSGPSNQTPASSTGEFDHLMKAEALMTFAVEYGAVETPASDSSSVFRNPYLPKSRKVESSNSSQSNYKYAATPPSFPCFGGLDEKTGMPMNLKAHPGGHDSNSMLQLKKYYTHVETREERYGRRLLTHNDSNATNEMSGTSQFGNLYSIKSDKSASRKMTQGVFGGERILLSMKTVLGTEVECSMFQASMLRMRHILLSPTSPATINLSRPTASSVLNQLPDDPSTMADNLSSRYEVKKESIPFKSAGDIDGVMLDGHLNAPVGVWRTVGAPKVSKLATSSIEASPSLTHNLYNEESMLSYGQSQPLQELLDGIPLLVQQATSFVDLALDADGGDCPYSWLALQEHWRRGFCCGPSMVHAGCGGTLASCHSLDIAGVELVDPLSANIHASSVISLLHSDIKSALKSAFGSLDGPLSVTDWCRGRGQSGDVGASADGSSTESNISECRDSSSTVTLSVGEAVSPSQTSVGGSSYLKDGGKVDETSQRRLNQETSGSELEQQQSSRLRSTLFVLPSPALLVGYQDDWLKTSGNSLQLWEKAPLEPYALPKPINYSVICPDIDPLTSAAADFFQQLGIVYETCKLGTHSPQSLGNQMEIDSGKWFSSGFVLLDCPQSMKIESSNASLLGSISDFFLSLSNGWDMTCYLKSLSKALKALKIGQFLFTNQKEGISSPCLVIYVVCPFPEPTAVLKTVIESSIAVGSIILPSDRDKRSLLHSQIGKALSSSAAADEASVSNIPVVSGFSVPKVVVQIVTVDAIFRVTSPPFNELIILKEMAFTVYNKARRISRGSMNDLALSSSLSRSHSVLTPMTSIPTMWKDSVGSRIPGSSIPREGEIDSSLRGGAWENSWQTRTGGLSCDPNRNGDFFYPDEVRYMFEPLFILAESGSLEHGVSPTAFGNSTSETSKIVSDESGGGFMQAANSVGSTDPGSGSQHVGLEPDGLDSSNQKIPSLHCCYGWTEDWRWLVCIWTDARGELLDCNIFPFGGISSRQDTKGLQCLFVQVLQQGCHILQACTSSDTGVVKPRDFVITRIGSFYELEYLEWQKAIYSVGGSEVKKWPLQLRRSVPDGMPANTNGTSLDQQEMSLIQERTLPSSPSPLYSSHTKASFMKGGLGQPAARKQLLSGQTLVDNSRGLLQWVQSISFVSVSVDHSLHLVLQADSPSSGTHSGTGPGQSSYIEGFTPVKSLGSTSASYMLIPSPSMRFLPPTPLHLPTCLTAESPPLAHLLHSKGFAIPLSTGFVVSKAVPSMRKDYRNHPKEEWPSVLSISLIDYYGGNKVTQDKVIRGTAKQAGRTPSSESRDSEIDNHLILASIAAELHALSWMTVSPGFLDRRTALPFHTDMVLRLRRLLHFAEKELSGQPDKSQV >KJB26888 pep chromosome:Graimondii2_0_v6:4:60040728:60056293:-1 gene:B456_004G264800 transcript:KJB26888 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MEHGPFLQGGLSQVSWFQFHPVESDLSSLPDKRIKVEQKDAATLLVISSHLQLQKEGFLSAWTNSFVGPWDPSQGLHNPDEKIKLWLFIPGRHASVVDSAQSAVSRLRVVASGLWLAPGDSEEVAAALSQALRNRIERALLGLSYMRFGDVFSRYHPPQIEESIRHIRTLSTGDIEKVLEHSSNNTSYLLPVPFAILVFENDFEEACVCALLRGQNCYVKVSLGCSKSRGDKPLNPNPDYIRNLPKHQSTEAYAMGRVDQKGPLDLLSVHEKTFIYPPEAVLVPVLQTSFARSSLRRFWLQNWIGPSLAGSSFFRHCFCSDGNLDILEKSWAEFSGIHTQHCYNSSSNSNNSSISSLSSSSSDSVTTGARDLEADADSSCRQSGLSSNDQMETDCLKLGSKRPRIGMTESYGQAGTVTNAPTEDVYKSNIRSMEVNRAVITGVGNDQIGSNWDWDDDDRGIVMDIQSLLSEFGDFGDFFENDVLPFGEPPGTAESSAIMFGASDCGDVGSSPAGVMDTSDQMLLPVGLPSFDSFNPPLPLVTEEFLSKSQEVTNSAVTSGPSNQTPASSTGEFDHLMKAEALMTFAVEYGAVETPASDSSSVFRNPYLPKSRKVESSNSSQSNYKYAATPPSFPCFGGLDEKTGMPMNLKAHPGGHDSNSMLQLKKYYTHVETREERYGRRLLTHNDSNATNEMSGTSQFGNLYSIKSDKSASRKMTQGVFGGERILLSMKTVLGTEVECSMFQASMLRMRHILLSPTSPATINLSRPTASSVLNQLPDDPSTMADNLSSRYEVKKESIPFKSAGDIDGVMLDGHLNAPVGVWRTVGAPKVSKLATSSIEASPSLTHNLYNEESMLSYGQSQPLQELLDGIPLLVQQATSFVDLALDADGGDCPYSWLALQEHWRRGFCCGPSMVHAGCGGTLASCHSLDIAGVELVDPLSANIHASSVISLLHSDIKSALKSAFGSLDGPLSVTDWCRGRGQSGDVGASADGSSTESNISECRDSSSTVTLSVGEAVSPSQTSVGGSSYLKGVFLIDAMAVAIDGGKVDETSQRRLNQETSGSELEQQQSSRLRSTLFVLPSPALLVGYQDDWLKTSGNSLQLWEKAPLEPYALPKPINYSVICPDIDPLTSAAADFFQQLGIVYETCKLGTHSPQSLGNQMEIDSGKWFSSGFVLLDCPQSMKIESSNASLLGSISDFFLSLSNGWDMTCYLKSLSKALKALKIGQFLFTNQKEGISSPCLVIYVVCPFPEPTAVLKTVIESSIAVGSIILPSDRDKRSLLHSQIGKALSSSAAADEASVSNIPVVSGFSVPKVVVQIVTVDAIFRVTSPPFNELIILKEMAFTVYNKARRISRGSMNDLALSSSLSRSHSVLTPMTSIPTMWKDSVGSRIPGSSIPREGEIDSSLRGGAWENSWQTRTGGLSCDPNRNGDFFYPDEVRYMFEPLFILAESGSLEHGVSPTAFGNSTSETSKIVSDESGGGFMQAANSVGSTDPGSGSQHVGLEPDGLDSSNQKIPSLHCCYGWTEDWRWLVCIWTDARGELLDCNIFPFGGISSRQDTKGLQCLFVQVLQQGCHILQACTSSDTGVVNRFTIFWNS >KJB26886 pep chromosome:Graimondii2_0_v6:4:60040667:60057534:-1 gene:B456_004G264800 transcript:KJB26886 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MWTNVFRIGGLSQVSWFQFHPVESDLSSLPDKRIKVEQKDAATLLVISSHLQLQKEGFLSAWTNSFVGPWDPSQGLHNPDEKIKLWLFIPGRHASVVDSAQSAVSRLRVVASGLWLAPGDSEEVAAALSQALRNRIERALLGLSYMRFGDVFSRYHPPQIEESIRRAQPTIEFIFAATEESIFVHAIISSKHIRTLSTGDIEKVLEHSSNNTSYLLPVIISPHGMCGKLTGCCPNDLVKQVYFSNSGKIGLPHRTSQSSVCQLRGQNCYVKVSLGCSKSRGDKPLNPNPDYIRNLPKHQSTEAYAMGRVDQKGPLDLLSVHEKTFIYPPEAVLVPVLQTSFARSSLRRFWLQNWIGPSLAGSSFFRHCDGNLDILEKSWAEFSGIHTQHCYNSSSNSNNSSISSLSSSSSDSVTTGARDLEADADSSCRQSGLSSNDQMETDCLKLGSKRPRIGMTESYGQAGVGNDQIGSNWDWDDDDRGIVMDIQSLLSEFGDFGDFFENDVLPFGEPPGTAESSAIMFGASDCGDVGSSPAGVMDTSDQMLLPVGLPSFDSFNPPLPLVTEEFLSKSQEVTNSAVTSGPSNQTPASSTGEFDHLMKAEALMTFAVEYGAVETPASDSSSVFRNPYLPKSRKVESSNSSQSNYKYAATPPSFPCFGGLDEKTGMPMNLKAHPGGHDSNSMLQLKKYYTHVETREERYGRRLLTHNDSNATNEMSGTSQFGNLYSIKSDKSASRKMTQGVFGGERILLSMKTVLGTEVECSMFQASMLRMRHILLSPTSPATINLSRPTASSVLNQLPDDPSTMADNLSSRYEVKKESIPFKSAGDIDGVMLDGHLNAPVGVWRTVGAPKVSKLATSSIEASPSLTHNLYNEESMLSYGQSQPLQELLDGIPLLVQQATSFVDLALDADGGDCPYSWLALQEHWRRGFCCGPSMVHAGCGGTLASCHSLDIAGVELVDPLSANIHASSVISLLHSDIKSALKSAFGSLDGPLSVTDWCRGRGQSGDVGASADGSSTESNISECRDSSSTVTLSVGEAVSPSQTSVGGSSYLKDGGKVDETSQRRLNQETSGSELEQQQSSRLRSTLFVLPSPALLVGYQDDWLKTSGNSLQLWEKAPLEPYALPKPINYSVICPDIDPLTSAAADFFQQLGIVYETCKLGTHSPQSLGNQMEIDSGKWFSSGFVLLDCPQSMKIESSNASLLGSISDFFLSLSNGWDMTCYLKSLSKALKALKIGQFLFTNQKEGISSPCLVIYVVCPFPEPTAVLKTVIESSIAVGSIILPSDRDKRSLLHSQIGKALSSSAAADEASVSNIPVVSGFSVPKVVVQIVTVDAIFRVTSPPFNELIILKEMAFTVYNKARRISRGSMNDLALSSSLSRSHSVLTPMTSIPTMWKDSVGSRIPGSSIPREGEIDSSLRGGAWENSWQTRTGGLSCDPNRNGDFFYPDEVRYMFEPLFILAESGSLEHGVSPTAFGNSTSETSKIVSDESGGGFMQAANSVGSTDPGSGSQHVGLEPDGLDSSNQKIPSLHCCYGWTEDWRWLVCIWTDARGELLDCNIFPFGGISSRQDTKGLQCLFVQVLQQGCHILQACTSSDTGVVKPRDFVITRIGSFYELEYLEWQKAIYSVGGSEVKKWPLQLRRSVPDGMPANTNGTSLDQQEMSLIQERTLPSSPSPLYSSHTKASFMKGGLGQPAARKQLLSGQTLVDNSRGLLQWVQSISFVSVSVDHSLHLVLQADSPSSGTHSGTGPGQSSYIEGFTPVKSLGSTSASYMLIPSPSMRFLPPTPLHLPTCLTAESPPLAHLLHSKGFAIPLSTGFVVSKAVPSMRKDYRNHPKEEWPSVLSISLIDYYGGNKVTQDKVIRGTAKQAGRTPSSESRDSEIDNHLILASIAAELHALSWMTVSPGFLDRRTALPFHTDMVLRLRRLLHFAEKELSGQPDKSQV >KJB26884 pep chromosome:Graimondii2_0_v6:4:60040667:60052443:-1 gene:B456_004G264800 transcript:KJB26884 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] METDCLKLGSKRPRIGMTESYGQAGVGNDQIGSNWDWDDDDRGIVMDIQSLLSEFGDFGDFFENDVLPFGEPPGTAESSAIMFGASDCGDVGSSPAGVMDTSDQMLLPVGLPSFDSFNPPLPLVTEEFLSKSQEVTNSAVTSGPSNQTPASSTGEFDHLMKAEALMTFAVEYGAVETPASDSSSVFRNPYLPKSRKVESSNSSQSNYKYAATPPSFPCFGGLDEKTGMPMNLKAHPGGHDSNSMLQLKKYYTHVETREERYGRRLLTHNDSNATNEMSGTSQFGNLYSIKSDKSASRKMTQGVFGGERILLSMKTVLGTEVECSMFQASMLRMRHILLSPTSPATINLSRPTASSVLNQLPDDPSTMADNLSSRYEVKKESIPFKSAGDIDGVMLDGHLNAPVGVWRTVGAPKVSKLATSSIEASPSLTHNLYNEESMLSYGQSQPLQELLDGIPLLVQQATSFVDLALDADGGDCPYSWLALQEHWRRGFCCGPSMVHAGCGGTLASCHSLDIAGVELVDPLSANIHASSVISLLHSDIKSALKSAFGSLDGPLSVTDWCRGRGQSGDVGASADGSSTESNISECRDSSSTVTLSVGEAVSPSQTSVGGSSYLKDGGKVDETSQRRLNQETSGSELEQQQSSRLRSTLFVLPSPALLVGYQDDWLKTSGNSLQLWEKAPLEPYALPKPINYSVICPDIDPLTSAAADFFQQLGIVYETCKLGTHSPQSLGNQMEIDSGKWFSSGFVLLDCPQSMKIESSNASLLGSISDFFLSLSNGWDMTCYLKSLSKALKALKIGQFLFTNQKEGISSPCLVIYVVCPFPEPTAVLKTVIESSIAVGSIILPSDRDKRSLLHSQIGKALSSSAAADEASVSNIPVVSGFSVPKVVVQIVTVDAIFRVTSPPFNELIILKEMAFTVYNKARRISRGSMNDLALSSSLSRSHSVLTPMTSIPTMWKDSVGSRIPGSSIPREGEIDSSLRGGAWENSWQTRTGGLSCDPNRNGDFFYPDEVRYMFEPLFILAESGSLEHGVSPTAFGNSTSETSKIVSDESGGGFMQAANSVGSTDPGSGSQHVGLEPDGLDSSNQKIPSLHCCYGWTEDWRWLVCIWTDARGELLDCNIFPFGGISSRQDTKGLQCLFVQVLQQGCHILQACTSSDTGVVKPRDFVITRIGSFYELEYLEWQKAIYSVGGSEVKKWPLQLRRSVPDGMPANTNGTSLDQQEMSLIQERTLPSSPSPLYSSHTKASFMKGGLGQPAARKQLLSGQTLVDNSRGLLQWVQSISFVSVSVDHSLHLVLQADSPSSGTHSGTGPGQSSYIEGFTPVKSLGSTSASYMLIPSPSMRFLPPTPLHLPTCLTAESPPLAHLLHSKGFAIPLSTGFVVSKAVPSMRKDYRNHPKEEWPSVLSISLIDYYGGNKVTQDKVIRGTAKQAGRTPSSESRDSEIDNHLILASIAAELHALSWMTVSPGFLDRRTALPFHTDMVLRLRRLLHFAEKELSGQPDKSQV >KJB26883 pep chromosome:Graimondii2_0_v6:4:60040667:60052522:-1 gene:B456_004G264800 transcript:KJB26883 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] METDCLKLGSKRPRIGMTESYGQAGVGNDQIGSNWDWDDDDRGIVMDIQSLLSEFGDFGDFFENDVLPFGEPPGTAESSAIMFGASDCGDVGSSPAGVMDTSDQMLLPVGLPSFDSFNPPLPLVTEEFLSKSQEVTNSAVTSGPSNQTPASSTGEFDHLMKAEALMTFAVEYGAVETPASDSSSVFRNPYLPKSRKVESSNSSQSNYKYAATPPSFPCFGGLDEKTGMPMNLKAHPGGHDSNSMLQLKKYYTHVETREERYGRRLLTHNDSNATNEMSGTSQFGNLYSIKSDKSASRKMTQGVFGGERILLSMKTVLGTEVECSMFQASMLRMRHILLSPTSPATINLSRPTASSVLNQLPDDPSTMADNLSSRYEVKKESIPFKSAGDIDGVMLDGHLNAPVGVWRTVGAPKVSKLATSSIEASPSLTHNLYNEESMLSYGQSQPLQELLDGIPLLVQQATSFVDLALDADGGDCPYSWLALQEHWRRGFCCGPSMVHAGCGGTLASCHSLDIAGVELVDPLSANIHASSVISLLHSDIKSALKSAFGSLDGPLSVTDWCRGRGQSGDVGASADGSSTESNISECRDSSSTVTLSVGEAVSPSQTSVGGSSYLKDGGKVDETSQRRLNQETSGSELEQQQSSRLRSTLFVLPSPALLVGYQDDWLKTSGNSLQLWEKAPLEPYALPKPINYSVICPDIDPLTSAAADFFQQLGIVYETCKLGTHSPQSLGNQMEIDSGKWFSSGFVLLDCPQSMKIESSNASLLGSISDFFLSLSNGWDMTCYLKSLSKALKALKIGQFLFTNQKEGISSPCLVIYVVCPFPEPTAVLKTVIESSIAVGSIILPSDRDKRSLLHSQIGKALSSSAAADEASVSNIPVVSGFSVPKVVVQIVTVDAIFRVTSPPFNELIILKEMAFTVYNKARRISRGSMNDLALSSSLSRSHSVLTPMTSIPTMWKDSVGSRIPGSSIPREGEIDSSLRGGAWENSWQTRTGGLSCDPNRNGDFFYPDEVRYMFEPLFILAESGSLEHGVSPTAFGNSTSETSKIVSDESGGGFMQAANSVGSTDPGSGSQHVGLEPDGLDSSNQKIPSLHCCYGWTEDWRWLVCIWTDARGELLDCNIFPFGGISSRQDTKGLQCLFVQVLQQGCHILQACTSSDTGVVKPRDFVITRIGSFYELEYLEWQKAIYSVGGSEVKKWPLQLRRSVPDGMPANTNGTSLDQQEMSLIQERTLPSSPSPLYSSHTKASFMKGGLGQPAARKQLLSGQTLVDNSRGLLQWVQSISFVSVSVDHSLHLVLQADSPSSGTHSGTGPGQSSYIEGFTPVKSLGSTSASYMLIPSPSMRFLPPTPLHLPTCLTAESPPLAHLLHSKGFAIPLSTGFVVSKAVPSMRKDYRNHPKEEWPSVLSISLIDYYGGNKVTQDKVIRGTAKQAGRTPSSESRDSEIDNHLILASIAAELHALSWMTVSPGFLDRRTALPFHTDMVLRLRRLLHFAEKELSGQPDKSQV >KJB26846 pep chromosome:Graimondii2_0_v6:4:59791221:59795805:1 gene:B456_004G262600 transcript:KJB26846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLESCKLMDQSSSALSTVAIASAALSCEAARANLSAFDLTDSGDGSVSKEDIGVSSDIKVLLNGSKLAVSSNKGDDKVNTDSFSKIPVVYGNVREAVKSLHSVIRVVSNSGEKLGGKVLHLCFELRNLGEGSLERVRSNLGSVGVEGLKGIFEKECLSEESLRNGVKLAVEAGLEKDYVKLVKDVELVLGIVWKIVSWEAVTAFFVLEGVEFLNEKSGGKGGEFDGGNVKAEKKKKRKVLLGKGTSVIVEMIKDRLMSKGEGLEKIVEEFLSFLDPKSADFDGLLKKVKEILESNESRRIPKTPKGTRDFAKEQMTIRKKAFSIITKVFERHCATALDTPAFELKETLTGKYGEDSKLIYDLADQGGELCSLRYDLTVPFSRYVAMNGLTSFKRYHIDKVWRRDNPSKGRYREFYQCDFDIAGQYEKMGPDFEVVRILSEVLNALNIGDYEIKLNHRKLLDGVLEICGVPPAKFRTICSSIDKLDKQSFEQVKKEMVEEKGLSVETADKIGTFVKIRGPPPELLSKIMGGTEGSELLKHNASKEALGDLSILFDALYKSRCIDKVVFDLSLARGLDYYTGVIFEAAFKGGVQVGSIGAGGRYDNLIGNFGTKQVPAVGMSLGIERVLTIMEEKAQNQAVRATETQVLVAVLGDKLAVAAELVSELWDVDIKAEYKVHKKVMKHIEYAIDSKVPWMVIVGERELNEGIVKLKNIETTNEEVIPRSNLVGELQQRLKLNP >KJB22330 pep chromosome:Graimondii2_0_v6:4:3567191:3586511:-1 gene:B456_004G041500 transcript:KJB22330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEEKNFGETNVLSDRVGGSHQGNVNFTTGEEAVLRNDNAVSQGFCSASMIGNKDKSEHVSLENQAKTAGESHGGQVDTNPSEDLDRDRGSSGGFEEHDSFPMIGTWHDRSNSSPGPARHTDYDIRQSSSATCLDSVFYADSGYSPHASPRKAKPKAAMPHVSPELLHLVDSAIMGNPESLNKLKNIVAGVETFGSEEDMESIPFLVVDSLIATMGGVESFEEDEDNNPPSVMLNSRAAIVAGELIPWLPWEGDSDIAMSSRTRMVRGLLVILRACTRNRAMCSMAGLLQVLLRSAENIFAQGVGSLEHIKWDGTPLCYCIQHLAGHSLSVIDLRRWFQVITRTLTTIWAPRLMIALEKAVSGRESRGPARTFEFDGESSGLLGPGESRWPFTNGFSFATWIYIESFADTLNTATAAAAIAAAAAAKSGKSSAMSAAAAASALAGQGTAHMPCLFSFLSADNKGIEAYFHAQFLVVESGSAKGEKTSLHFTHAFKPQCWYFIGLEYVCRQGIIGKADSELRLYIDGSLYESHPFEFPRISKPLAFSCVGTSPPPAMGGLQRRRRQCPLFAEIGPVYIFKEPIGPERMARIASRGGDMLPSFGNGAGLPWLSTNDHVQRMAWESCLLDAEIGDCLHLLYHPCLLTGWFCRDASPSGSAGILRRPAEVLGQVHVATRMRPVEALWALAYGGPMSLLPLAVSKMCQDSLEPEQGSLPLSLATAALAAPIFRIISIALHHPGNNEEIRRTRGLEILSRILDYLLQTLSSLGDGKHDGAGDEELVAAVVSLCQSQKHNYVLKVQLFSTLLLDLKIWSLCSYGLQKKLLSSLADMVFTQSTVMRDANAMQMLLDGCRRCYWTISEKDSLDTFSLLDATQRVGEVNALVDELLVVIELLIGAAPPSLAADDVRCLLGFMVDCPQPNQVARVLHLIYRLVVQPNSTRAQTFASSFMGSGGIETLLVLLQREVKAGDHNIPETSTKEDESLPVQRSEPEGSQDERSTKERDQISQKDFESLFLDGSSSLVAASPSVKMERMSSVSEIAFMKNLGGISISISADNARNNVYNVDKNDGIVVGVIGLLAALVAHGHLKFGSRESSEMTSSLFGVALSDSGGSMFDDKVSLLFYALQKAFQAAPNRLMTSNAYTALLGASINASSTEDRLSFYDSGHRFEHLQLLVVLLRSLPYASRSFQSRALQDLLFLACSHPENRSSLTKMEEWPEWILEVLIYNYEMDERKQSNSVSFGDIEDLIHNFLIIILEHSMRQKDGWQDIEATIHCAEWLSIVGGSSTGDQRIRREEYLPIFKRRLLIGLLDFAARELQAQTQVIAAAAAGVAAEGLSPMEAKAQAENAVQLSIFLVENAIVILMLVEDHLRLQSKLSCASRKAEGIVSPLSLVSPLYNYSNSIASTGRESTGRESSEGSDDRRSGNSGGLPLDVLASMADANGQISAKAMERLTAAAAAEPYDSVSSAFVSYGSCAMDIAEGWKYRSRLWFGVGFPKTGEFGAGGSGWELWSAALQKDPNGNWIEFPLVKKSVSMLQALLLDDSGLGGGLGIGGGSGTGMGGMAAPYQLLDSDQPFLCMLRMVLLSMREDDNGADKMVARNVGIEDGVPEALYHQGENIMSLDNSDGIAAGNPESALLWSVLSPILNMPISDSKRQRVLVASCVLYSEIWHAVGRDRKLLRKQYLEAIVPPFIAVLRRWRPLLAGIHELATADGLNPLAVGNPVLAADAPPLETALAMISPDWAAAFASPPAAMALAMIAAGASGAETPASPATTQFKRESSMLERKTTKLHTFSSFHKPPTASNKSSSFPKDKAAAKAAALAAARDLERNSKIGSGRGLCAIAMATSAQRRNASDLERVKRWNESEAMGVAWMECLQPVDTKSVYGKDFNALSYKFIAVLVASFALARNIQRSEIDRRTQVDLVARHQLFTGIRAWRKLVLCLIEMKCLFGPAGDQPSQTLCKLRFMESSSRMRPYLRRNYTGTAHFDGATNFEDQSDLKNNQQDVISSSTAPILAAEAISTNLLNEDDEQPEHDNEDNRGYENDQGGKDQPRLYGISGQPLHKMVESTDSQFSNEQDLVPSLSAVAPGYNSSELGERIVFELPSSMVRLLKIIRGTFQVTTKKINFIVHNTENNTTMDGLERNSEMRNDQKDHSWLITSLHQMYSRRYLLRRSALELFMVDRSNFFFDFGSSEARRNAYQAIVQAQPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSKSLNLADPSAYRDLSKPVGALNPDRLKKFQERYANFNDPVIPKFHYDSHYSSAGTVLYYLVRIEPFTTLSIQLQGRNFNHSDRIFSDVAATWNRVLEDMSDVKELVPELFYLPEMLTNEYSINFGTTQVGRNLDSVKLPPWAQTPVDFIHKHRMALESEHVSAHLNEWIDLIFGYKQRGREAILSNNMFLYITYEGTVDINRISDPVQQCATRNKIAYFGQTPSQLLTVPHMKKMPLSEVLHLQTIFRNPRAVKPYAVPVPERCNLPASAIHACSDALIIVGTNAPAAHIAQHKWQPNTPDDQGTPFMFEHGKAIASSAGGALIRMLKMPAGSGSDEEQFPQALAYASSGIRSSSIVSITCDKEIVTGGHADNSIKLLSSEGAKTLETAFGHCAPVTCLALSPDNNYLVTGSRDTTVLLWRIHRALTSSSSSTSEATADPGTPTSTTGTLANTFAEKSRKHRIEGPIHVLRGHRNEVICCCVNSDLGIVVSCGHSSDVLLHSIRKGCLMRRFAGVVADTVCLSSAGIILTWNQSQHVLRTFTLNGIPVATAKVPSFGGLSCMEISVDGNSALIGMNSSSNGVFNNNQNFSFKNPGVDDSNLESEEIEELDIPSPSVCFLDLHALKVLHVLKLGKGQDIAALALDKDNTNLLVSTADKQLIIFTNPTLSKKMAEKKPKVGREGEAASPRLKS >KJB22331 pep chromosome:Graimondii2_0_v6:4:3567529:3586511:-1 gene:B456_004G041500 transcript:KJB22331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEEKNFGETNVLSDRVGGSHQGNVNFTTGEEAVLRNDNAVSQGFCSASMIGNKDKSEHVSLENQAKTAGESHGGQVDTNPSEDLDRDRGSSGGFEEHDSFPMIGTWHDRSNSSPGPARHTDYDIRQSSSATCLDSVFYADSGYSPHASPRKAKPKAAMPHVSPELLHLVDSAIMGNPESLNKLKNIVAGVETFGSEEDMESIPFLVVDSLIATMGGVESFEEDEDNNPPSVMLNSRAAIVAGELIPWLPWEGDSDIAMSSRTRMVRGLLVILRACTRNRAMCSMAGLLQVLLRSAENIFAQGVGSLEHIKWDGTPLCYCIQHLAGHSLSVIDLRRWFQVITRTLTTIWAPRLMIALEKAVSGRESRGPARTFEFDGESSGLLGPGESRWPFTNGFSFATWIYIESFADTLNTATAAAAIAAAAAAKSGKSSAMSAAAAASALAGQGTAHMPCLFSFLSADNKGIEAYFHAQFLVVESGSAKGEKTSLHFTHAFKPQCWYFIGLEYVCRQGIIGKADSELRLYIDGSLYESHPFEFPRISKPLAFSCVGTSPPPAMGGLQRRRRQCPLFAEIGPVYIFKEPIGPERMARIASRGGDMLPSFGNGAGLPWLSTNDHVQRMAWESCLLDAEIGDCLHLLYHPCLLTGWFCRDASPSGSAGILRRPAEVLGQVHVATRMRPVEALWALAYGGPMSLLPLAVSKMCQDSLEPEQGSLPLSLATAALAAPIFRIISIALHHPGNNEEIRRTRGLEILSRILDYLLQTLSSLGDGKHDGAGDEELVAAVVSLCQSQKHNYVLKVQLFSTLLLDLKIWSLCSYGLQKKLLSSLADMVFTQSTVMRDANAMQMLLDGCRRCYWTISEKDSLDTFSLLDATQRVGEVNALVDELLVVIELLIGAAPPSLAADDVRCLLGFMVDCPQPNQVARVLHLIYRLVVQPNSTRAQTFASSFMGSGGIETLLVLLQREVKAGDHNIPETSTKEDESLPVQRSEPEGSQDERSTKERDQISQKDFESLFLDGSSSLVAASPSVKMERMSSVSEIAFMKNLGGISISISADNARNNVYNVDKNDGIVVGVIGLLAALVAHGHLKFGSRESSEMTSSLFGVALSDSGGSMFDDKVSLLFYALQKAFQAAPNRLMTSNAYTALLGASINASSTEDRLSFYDSGHRFEHLQLLVVLLRSLPYASRSFQSRALQDLLFLACSHPENRSSLTKMEEWPEWILEVLIYNYEMDERKQSNSVSFGDIEDLIHNFLIIILEHSMRQKDGWQDIEATIHCAEWLSIVGGSSTGDQRIRREEYLPIFKRRLLIGLLDFAARELQAQTQVIAAAAAGVAAEGLSPMEAKAQAENAVQLSIFLVENAIVILMLVEDHLRLQSKLSCASRKAEGIVSPLSLVSPLYNYSNSIASTGRESTGRESSEGSDDRRSGNSGGLPLDVLASMADANGQISAKAMERLTAAAAAEPYDSVSSAFVSYGSCAMDIAEGWKYRSRLWFGVGFPKTGEFGAGGSGWELWSAALQKDPNGNWIEFPLVKKSVSMLQALLLDDSGLGGGLGIGGGSGTGMGGMAAPYQLLDSDQPFLCMLRMVLLSMREDDNGADKMVARNVGIEDGVPEALYHQGENIMSLDNSDGIAAGNPESALLWSVLSPILNMPISDSKRQRVLVASCVLYSEIWHAVGRDRKLLRKQYLEAIVPPFIAVLRRWRPLLAGIHELATADGLNPLAVGNPVLAADAPPLETALAMISPDWAAAFASPPAAMALAMIAAGASGAETPASPATTQFKRESSMLERKTTKLHTFSSFHKPPTASNKSSSFPKDKAAAKAAALAAARDLERNSKIGSGRGLCAIAMATSAQRRNASDLERVKRWNESEAMGVAWMECLQPVDTKSVYGKDFNALSYKFIAVLVASFALARNIQRSEIDRRTQVDLVARHQLFTGIRAWRKLVLCLIEMKCLFGPAGDQPSQARTLCKLRFMESSSRMRPYLRRNYTGTAHFDGATNFEDQSDLKNNQQDVISSSTAPILAAEAISTNLLNEDDEQPEHDNEDNRGYENDQGGKDQPRLYGISGQPLHKMVESTDSQFSNEQDLVPSLSAVAPGYNSSELGERIVFELPSSMVRLLKIIRGTFQVTTKKINFIVHNTENNTTMDGLERNSEMRNDQKDHSWLITSLHQMYSRRYLLRRSALELFMVDRSNFFFDFGSSEARRNAYQAIVQAQPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSKSLNLADPSAYRDLSKPVGALNPDRLKKFQERYANFNDPVIPKFHYDSHYSSAGTVLYYLVRIEPFTTLSIQLQGRNFNHSDRIFSDVAATWNRVLEDMSDVKELVPELFYLPEMLTNEYSINFGTTQVGRNLDSVKLPPWAQTPVDFIHKHRMALESEHVSAHLNEWIDLIFGYKQRGREAILSNNMFLYITYEGTVDINRISDPVQQCATRNKIAYFGQTPSQLLTVPHMKKMPLSEVLHLQTIFRNPRAVKPYAVPVPERCNLPASAIHACSDALIIVGTNAPAAHIAQHKWQPNTPDDQGTPFMFEHGKAIASSAGGALIRMLKMPAGSGSDEEQFPQALAYASSGIRSSSIVSITCDKEIVTGGHADNSIKLLSSEGAKTLETAFGHCAPVTCLALSPDNNYLVTGSRDTTVLLWRIHRALTSSSSSTSEATADPGTPTSTTGTLANTFAEKSRKHRIEGPIHVLRGHRNEVICCCVNSDLGIVVSCGHSSDVLLHSIRKGCLMRRFAGVVADTVCLSSAGIILTWNQSQHVLRTFTLNGIPVATAKVPSFGGLSCMEISVDGNSALIGMNSSSNGVFNNNQNFSFKNPGVDDSNLESEEIEELDIPSPSVCFLDLHALKVLHVLKLGKGQDIAALALDKDNTNLLVSTADKQLIIFTNPTLSKKMAEKKPKVGREGEAASPRLKS >KJB22841 pep chromosome:Graimondii2_0_v6:4:7279555:7281547:-1 gene:B456_004G069300 transcript:KJB22841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELDNPNVMSNLITFLSSLIQKVAESNDLNCGFQAQKISVFHGLTRPTISIQSYLHRIYKYANCSPSCFIVAYVYLDRFAQRQPSLPINSFNVHRLLITSVMVAAKFMDDMYYNNAFYAKVGGISTTEMNFLEVDFLFGLGFHLNVNPKTFHTYYSYLQRQMMMMLQPPPPSTAPESSIESTLKVHLCFSDEESTSHQKEQLAV >KJB25591 pep chromosome:Graimondii2_0_v6:4:51915789:51918164:1 gene:B456_004G198200 transcript:KJB25591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLAPGLIFIIAWACRLEKVDLSCLYSKVKIGGTLLCVLGALTMSLMHSSVSPESARMFAAPTSFVFNKDKIIGCMYLMAAVLVLSSNVVLQATTLGDFPAPMSLCAITSLIGVIITALVQLVENHVFQWSWPLVDVRELLGFSLLGGAVGGACLSFNGWAMKKRGPVLVSMFSPIGTVITVLLSFITLGETISMGSLAGMLLMFSGLYIVLWAKEKEEDCSDGEGSESEFESEKLLLS >KJB25590 pep chromosome:Graimondii2_0_v6:4:51916419:51918164:1 gene:B456_004G198200 transcript:KJB25590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLAPGLIFIIAWACRLEKVDLSCLYSKVKIGGTLLCVLGALTMSLMHSSVSPESARMFAAPTSFVFNKDKIIGCMYLMAAVLVLSSNVVLQATTLGDFPAPMSLCAITSLIGVIITALVQLVENHVFQWSWPLVDVRELLGFSLLGGAVGGACLSFNGWAMKKRGPVLVSMFSPIGTVITVLLSFITLGETISMGSLAGMLLMFSGLYIVLWAKEKEEDCSDGEGSESEFESEKLLLS >KJB25588 pep chromosome:Graimondii2_0_v6:4:51915789:51918164:1 gene:B456_004G198200 transcript:KJB25588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYKKREAVEEVAIYGGLIGVQFVYAGNSILLGYLMSLGLSPFTIVIFFTFATFIIVSPFALYFERSNWPKQLTLKLTIQLLLISFGGLEKVDLSCLYSKVKIGGTLLCVLGALTMSLMHSSVSPESARMFAAPTSFVFNKDKIIGCMYLMAAVLVLSSNVVLQATTLGDFPAPMSLCAITSLIGVIITALVQLVENHVFQWSWPLVDVRELLGFSLLGGAVGGACLSFNGWAMKKRGPVLVSMFSPIGTVITVLLSFITLGETISMGSLAGMLLMFSGLYIVLWAKEKEEDCSDGEGSESEFESEKLLLS >KJB25589 pep chromosome:Graimondii2_0_v6:4:51915789:51918164:1 gene:B456_004G198200 transcript:KJB25589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYKKREAVEEVAIYGGLIGVQFVYAGNSILLGYLMSLGLSPFTIVIFFTFATFIIVSPFALYFESVTLFQSLFLKGINLTSPAVATAMPNLAPGLIFIIAWACRLEKVDLSCLYSKVKIGGTLLCVLGALTMSLMHSSVSPESARMFAAPTSFVFNKDKIIGCMYLMAAVLVLSSNVVLQATTLGDFPAPMSLCAITSLIGVIITALVQLVENHVFQWSWPLVDVRELLGFSLLGGAVGGACLSFNGWAMKKRGPVLVSMFSPIGTVITVLLSFITLGETISMGSLAGMLLMFSGLYIVLWAKEKEEDCSDGEGSESEFESEKLLLS >KJB25587 pep chromosome:Graimondii2_0_v6:4:51915716:51918247:1 gene:B456_004G198200 transcript:KJB25587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYKKREAVEEVAIYGGLIGVQFVYAGNSILLGYLMSLGLSPFTIVIFFTFATFIIVSPFALYFERSNWPKQLTLKLTIQLLLISFGGVTLFQSLFLKGINLTSPAVATAMPNLAPGLIFIIAWACRLEKVDLSCLYSKVKIGGTLLCVLGALTMSLMHSSVSPESARMFAAPTSFVFNKDKIIGCMYLMAAVLVLSSNVVLQATTLGDFPAPMSLCAITSLIGVIITALVQLVENHVFQWSWPLVDVRELLGFSLLGGAVGGACLSFNGWAMKKRGPVLVSMFSPIGTVITVLLSFITLGETISMGSLAGMLLMFSGLYIVLWAKEKEEDCSDGEGSESEFESEKLLLS >KJB23398 pep chromosome:Graimondii2_0_v6:4:15107298:15115031:1 gene:B456_004G096200 transcript:KJB23398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEYESNNWIWDGVYYCPRLFGGLMLTAALLGLSTTYFGGIGLFPLSYVWSDSGIFHKKKCEKKRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIIANKGPPVLPMEERLALVSGLKWVDQVIANAPYAITEQFMNSLFNEHKIDYIIHGDDPCLLPDGSDAYALAKKAGRYKQIKRTEGVSSTDIVGRILCSVKDTEGGGDHTSTLLNGDSGERCHSQRAQISQFLPTSQRIVQFSNGKGPGPNARVVYIDGAFDLFHAGHVEILKKARQLGDFLLVGIHTDHIVREHRGMPYPVMHVHERSLSVLACRYVDEVIIGAPWEVTKDMITTFNISIVVHGTVAESNSLLPGETDPYAFPKSMGIFRLLESPKSLTTSSVSQRIVANHEAYKRNVKKAQSEMKYYEEKTYVAGE >KJB23399 pep chromosome:Graimondii2_0_v6:4:15107424:15115031:1 gene:B456_004G096200 transcript:KJB23399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEYESNNWIWDGVYYCPRLFGGLMLTAALLGLSTTYFGGIGLFPLSYVWSDSGIFHKKKCEKKRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIIANKGPPVLPMEERLALVSGLKWVDQVIANAPYAITEQFMNSLFNEHKIDYIIHGDDPCLLPDGSDAYALAKKAGRYKQIKRTEGVSSTDIVGRILCSVKDTEGGGDHTSTLLNGDSGERCHSQRAQISQFLPTSQRIVQFSNGKGPGPNARVVYIDGAFDLFHAGHVEILKKARQLGDFLLVGIHTDHIVREHRGMPYPVMHVHERSLSVLACRYVDEVIIGAPWEVTKDMITTFNISIVVHGTVAESNSLLPGETDPYAFPKSMGIFRLLESPKSLTTSSVSQRIVANHEAYVKRNVKKAQSEMKYYEEKTYVAGE >KJB23397 pep chromosome:Graimondii2_0_v6:4:15107132:15115031:1 gene:B456_004G096200 transcript:KJB23397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEYESNNWIWDGVYYCPRLFGGLMLTAALLGLSTTYFGGIGLFPLSYVWSDSGIFHKKKCEKKRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIIANKGPPVLPMEERLALVSGLKWVDQVIANAPYAITEQFMNSLFNEHKIDYIIHGDDPCLLPDGSDAYALAKKAGRYKQIKRTEGVSSTDIVGRILCSVKDTEGGGDHTSTLLNGDSGERCHSQRAQISQFLPTSQRIVQFSNGKGPGPNARVVYIDGAFDLFHAGHVEILKKARQLGDFLLVGIHTDHIVREHRGMPYPVMHVHERSLSVLACRYVDEVIIGAPWEVTKDMITTFNISIVVHGTVAESNSLLPGETDPYAFPKSMGIFRLLESPKSLTTSSVSQRIVANHEAYVKRNVKKAQSEMKYYEEKTYVAGE >KJB23583 pep chromosome:Graimondii2_0_v6:4:21553292:21557276:1 gene:B456_004G105900 transcript:KJB23583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSSSPGTNPVELSNSIEELLKFTLQSHLNGTLELDIGLSKQFCSSLLNHPSTSPISLNASSSSEASQNPLYKQLARALYEIITFGSLQESSDCNKVASFCQGSDLKQKDDWFDLVHKEGSELAEILKNINFELHVQEPFFTQLKDGIKAVEGRCAVGDYNRIATGALILFNKCLVLEVQDVHYYASFFEMLEAESLAKVLPGVKTIDEGVQVYRKFYTEEKEKTNGVAAICVAKMAAQPYLSLARILSEIIQRQKESGLLMMLQHRAWMSQHIKQNTQRTICHWCRDTSQRMSRHSLEDTPK >KJB23584 pep chromosome:Graimondii2_0_v6:4:21553316:21557222:1 gene:B456_004G105900 transcript:KJB23584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSSSPGTNPVELSNSIEELLKFTLQSHLNGTLELDIGLSKQFCSSLLNHPSTSPISLNASSSSEASQNPLYKQLARALYEIITFGSLQESSDCNKVASFCQGSDLKQKDDWFDLVHKEGSELAEEPFFTQLKDGIKAVEGRCAVGDYNRIATGALILFNKCLVLEVQDVHYYASFFEMLEAESLAKVLPGVKTIDEGVQVYRKFYTEEKEKTNGVAAICVAKMAAQPYLSLARILSEIIQRQKESGLLMMLQHRAWMSQHIKQNTQRTICHWCRDTSQRMSRHSLEDTPK >KJB22363 pep chromosome:Graimondii2_0_v6:4:3763451:3770258:1 gene:B456_004G043500 transcript:KJB22363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHEGWTAQQAPSGLLPNGLLPNEATSMIRMLDSERWTKAEERTAELIACIQPNAPSEGRRNAVADYVQRLISKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKDQNLKDSWAHQVRDMLQNEEKHENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDLLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFSGPLEVLYRFLEFFSKFDWENFCVSLRGPVPIRSLPDITAEPPRRDGGDLLLSKYFLDTCSSRYAVCQENQGQPFVSKYFNVIDPLRLNNNLGRSVSKGNFFRIRSAFSFGAKKLARLLDCPKEDLYYEVNQFFMNTWERHGCGQRPDAPRNDLWPLRFSNSDHTRGSKNVRNNSSSKGNHISSGHETEAEEVQVSCGVPSHNHPSESTISDVSTVFHSQRSYGSMSNSKTSDQVRWNCNSNQNVHNDTGQRNSKAENLVTDVQGRYIFARTCSSPELTETYEEATSRGRRNRMQESGEKTQIGSMRSDTNRRNNMGSVMLASYNIKSCDDPSSTRQTSTRQSIAAASDPNSLLNGNQDDLGLGTMAQDFSSIPGSQGMHQEEQDLVNMIASSTTHGFNGQVPVPLNLAAGHLPFSLQSLSTGYNQRNLGGIVPANIQMFPHGLVSPPLAHYISGIELPSNAENSVEPSSGNFGSSEMNPGEVEHELHHEHDRGSVGGFDLDNENFEIRPSDDNQLSTSAGYEAGSSGQKFTKETRGSNREHHLDVCQFQDNRASDVYFDERTASSISMLASHTSSLRSKTLTESSWEGSSARASKSAREKRGRKTAASVLHFAACGKGKSVSERSSQADDDGRDWNPPSIGGTEMVERTIGPQPVGSFPVPKHQMPGEEAQTSGPDSSIPIAPFLLGPGSGQRTMDNSGVPPLAFMITGPPVPFLFCPVYNIPAETGTPEASAGHFSWDEGLDNNDSGKTFESSEGLDQSEVLSTSMRKVSSLDPSEHKSDILNSDIASHWQNLLYGRFCQNSRYPPNSLYPSPVVVPPIYLQGHFPWDGPGRPLSYDMNLFSQLMNYGPHVLPVAPLQSVSNRPATFQRYVDEMPRYHSGTGTYLPNPKVSMRERPSANTRRGKYNPDRNDHHGDKEGNLNANTKSRAAGHNHGCNQNDKSRFTFDQFAGESRAERPWGSHRHDSFTSYQSWNRTVRSNSSQSSPASMPYGMYPLAAMNPNGVSSNGPTIPSVVMLYPYDHNSPTEQLEFGSLGPFSFSGMNEVSQPSDGSSSTGVFDDQRFYGTSAQRSLPDQPSSPTPTTKGDFDFIARSMS >KJB22364 pep chromosome:Graimondii2_0_v6:4:3763473:3770244:1 gene:B456_004G043500 transcript:KJB22364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHEGWTAQQAPSGLLPNGLLPNEATSMIRMLDSERWTKAEERTAELIACIQPNAPSEGRRNAVADYVQRLISKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKDQNLKDSWAHQVRDMLQNEEKHENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDLLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFSGPLEVLYRFLEFFSKFDWENFCVSLRGPVPIRSLPDITAEPPRRDGGDLLLSKYFLDTCSSRYAVCQENQGQPFVSKYFNVIDPLRLNNNLGRSVSKGNFFRIRSAFSFGAKKLARLLDCPKEDLYYEVNQFFMNTWERHGCGQRPDAPRNDLWPLRFSNSDHTRGSKNVRNNSSSKGNHISSGHETEAEEVQVSCGVPSHNHPSESTISDVSTVFHSQRSYGSMSNSKTSDQVRWNCNSNQNVHNDTGQRNSKAENLVTDVQGRYIFARTCSSPELTETYEEATSRGRRNRMQESGEKTQIGSMRSDTNRRNNMGSVMLASYNIKSCDDPSSTRQTSTRQSIAAASDPNSLLNGNQDDLGLGTMAQDFSSIPGSQGMHQEEQDLVNMIASSTTHGFNGQVPVPLNLAAGHLPFSLQSLSTGYNQRNLGGIVPANIQMFPHGLVSPPLAHYISGIELPSNAENSVEPSSGNFGSSEMNPGEVEHELHHEHDRGSVGGFDLDNENFEIRPSDDNQLSTSAGYEAGSSGQKFTKETRGSNREHHLDVCQFQDNRASDVYFDERTASSISMLASHTSSLRSKTLTESSWEGSSARASKSAREKRGRKTAASVLHFAACGKGKSVSERSSQADDDGRDWNPPSIGGTEMVERTIGPQPVGSFPVPKHQMPGEEAQTSGPDSSIPIAPFLLGPGSGQRTMDNSGVPPLAFMITGPPVPFLFCPVYNIPAETGTPEASAGHFSWDEGLDNNDSGKTFESSEGLDQSEVLSTSMRKVSSLDPSEHKSDILNSDIASHWQNLLYGRFCQNSRYPPNSLYPSPVVVPPIYLQGHFPWDGPGRPLSYDMNLFSQLMNYGPHVLPVAPLQSVSNRPATFQRYVDEMPRYHSGTGTYLPNPKVSMRERPSANTRRGKYNPDRNDHHGDKEGNLNANTKSRAAGHNHGCNQNDKSRFTFDQFAGESRAERPWGSHRHDSFTSYQSWNRTVRSNSSQSSPASMPYGMYPLAAMNPNGVSSNGPTIPSVVMLYPYDHNSPTEQLEFGSLGPFSFSGMNEVSQPSDGSSSTGVFDDQRFYGTSAQRSLPDQPSSPTPTTKDPCPRRITIER >KJB21296 pep chromosome:Graimondii2_0_v6:4:58009772:58014353:-1 gene:B456_004G2423002 transcript:KJB21296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNAPSSSDRTRTNWTPTMERFFIDLMLDQMHRGNRLGHTFNKQAWTDMLSIFNVKFGCKYDRDTLKSHYTNLWKQYNDVKNLLEQNGFSWDDTRKLVVAPPHVWNAYIKGQPDAQVYRNRTLTNFSDLCLIYAYTQADGRYSRSSHDIDFDDDALGVNFGVGSSVPAVSDENLNIEWTPAMDQYFIEIMLENLRKGNKSKSTFSKQAWNDMLGSFNAKFCFQFSKSFLRRRYRKLLKHYSDVQSLLLQKGFSWDDKQKMIVADDLVWDNYIKAHPDAHTFRNKKMLNYRDLRLIYKNASNSVVSSHMRQGRYTGPKTLPVWTGEENRGHLHDRDEMLGPHWTSAMNRHLIDLLLNQALGGNKIGHAFVPEAWNQIVAMFNVKFGCHYDEEALKSQARHLRRQYNNIKILLEQNGFSWDDKREKVIAADHVWDAYMKEHPNTQSYRHKMVPDYHKLCVIFGQESSNGMCSMAQREHPENEDPDLMIGDDSQFHARNGYSRIDWTPSMERHLIDLLLKQVHRGNMMSGALDTEVWLDMCLSFMESFGLQPDEESLKDHHKSLGKQYRDMRILIDQRVFSWDETRQMLTARDDVWEAYTKEYPDVVSYRHKRKPNYNDLCLIYGNSTDRKDRRSGRDACCNGYGTILKNGYYGRTDWIPSMDRYFIDLMLEHVRQGSMIDKKFSKLAWGDMVAKFSAEFGYQYDKDVLKSRFMNLRKRFNDMKYLLDHDGFTWDEKRQMIIADDHLWAIYLKEHPDARSYRNRTLPSYNDLFLIYGNANINGWNLEAENSSGEEEDESPFSSSPTRIHKTGSAGVKSDIDNDVLDTGFNDIFGDLQSLAAEFEIPDQRKKRKTDASSMAASRKAVRTNQGRLHPSDKRPVKVRTSFNNEDQYYSSIESIVDALQAIPGMDDVLFLDASKLLEDEKKARMFVAMDVHQRRKWLLRKLRR >KJB21295 pep chromosome:Graimondii2_0_v6:4:58009493:58013243:-1 gene:B456_004G2423002 transcript:KJB21295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGSSVPAVSDENLNIEWTPAMDQYFIEIMLENLRKGNKSKSTFSKQAWNDMLGSFNAKFCFQFSKSFLRRRYRKLLKHYSDVQSLLLQKGFSWDDKQKMIVADDLVWDNYIKAHPDAHTFRNKKMLNYRDLRLIYKNASNSVVSSHMRQGRYTGPKTLPVWTGEENRGHLHDRDEMLGPHWTSAMNRHLIDLLLNQALGGNKIGHAFVPEAWNQIVAMFNVKFGCHYDEEALKSQARHLRRQYNNIKILLEQNGFSWDDKREKVIAADHVWDAYMKEHPNTQSYRHKMVPDYHKLCVIFGQESSNGMCSMAQREHPENEDPDLMIGDDSQFHARNGYSRIDWTPSMERHLIDLLLKQVHRGNMMSGALDTEVWLDMCLSFMESFGLQPDEESLKDHHKSLGKQYRDMRILIDQRVFSWDETRQMLTARDDVWEAYTKEYPDVVSYRHKRKPNYNDLCLIYGNSTDRKDRRSGRDACCNGYGTILKNGYYGRTDWIPSMDRYFIDLMLEHVRQGSMIDKKFSKLAWGDMVAKFSAEFGYQYDKDVLKSRFMNLRKRFNDMKYLLDHDGFTWDEKRQMIIADDHLWAIYLKEHPDARSYRNRTLPSYNDLFLIYGNANINGWNLEAENSSGEEEDESPFSSSPTRIHKTGSAGVKSDIDNDVLDTGFNDIFGDLQSLAAEFEIPDQRKKRKTDASSMAASRKAVRTNQGRLHPSDKRPVKVRTSFNNEDQYYSSIESIVDALQAIPGMDDVLFLDASKLLEDEKKARMFVAMDVHQRRKWLLRKLRR >KJB21298 pep chromosome:Graimondii2_0_v6:4:58009493:58014361:-1 gene:B456_004G2423002 transcript:KJB21298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNAPSSSDRTRTNWTPTMERFFIDLMLDQMHRGNRLGHTFNKQAWTDMLSIFNVKFGCKYDRDTLKSHYTNLWKQYNDVKNLLEQNGFSWDDTRKLVVAPPHVWNAYIKGQPDAQVYRNRTLTNFSDLCLIYAYTQADGRYSRSSHDIDFDDDALGVNFGVGSSVPAVSDENLNIEWTPAMDQYFIEIMLENLRKGNKSKSTFSKQAWNDMLGSFNAKFCFQFSKSFLRRRYRKLLKHYSDVQSLLLQKGFSWDDKQKMIVADDLVWDNYIKAHPDAHTFRNKKMLNYRDLRLIYKNASNSVVSSHMRQGRYTGPKTLPVWTGEENRGHLHDRDEMLGPHWTSAMNRHLIDLLLNQALGGNKIGHAFVPEAWNQIVAMFNVKFGCHYDEEALKSQARHLRRQYNNIKILLEQNGFSWDDKREKVIAADHVWDAYMKEHPNTQSYRHKMVPDYHKLCVIFGQESSNGMCSMAQREHPENEDPDLMIGDDSQFHARNGYSRIDWTPSMERHLIDLLLKQVHRGNMMSGALDTEVWLDMCLSFMESFGLQPDEESLKDHHKSLGKQYRDMRILIDQRVFSWDETRQMLTARDDVWEAYTKEYPDVVSYRHKRKPNYNDLCLIYGNSTDRKDRRSGRDACCNGYGTILKNGYYGRTDWIPSMDRYFIDLMLEHVRQGSMIDKKFSKLAWGDMVAKFSAEFGYQYDKDVLKSRFMNLRKRFNDMKYLLDHDGFTWDEKRQMIIADDHLWAIYLKEHPDARSYRNRTLPSYNDLFLIYGNANINGWNLEAENSSGEEEDESPFSSSPTRIHKTGSAGVKSDIDNDVLDTGFNDIFGDLQSLAAEFEIPDQRKKRKTDASSMAASRKAVRTNQGRLHPSDKRPVKVRTSFNNEDQYYSSIESIVDALQAIPGMDDVLFLDASKLLEDEKKARMFVAMDVHQRRKWLLRKLRR >KJB21294 pep chromosome:Graimondii2_0_v6:4:58009772:58013241:-1 gene:B456_004G2423002 transcript:KJB21294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGSSVPAVSDENLNIEWTPAMDQYFIEIMLENLRKGNKSKSTFSKQAWNDMLGSFNAKFCFQFSKSFLRRRYRKLLKHYSDVQSLLLQKGFSWDDKQKMIVADDLVWDNYIKAHPDAHTFRNKKMLNYRDLRLIYKNASNSVVSSHMRQGRYTGPKTLPVWTGEENRGHLHDRDEMLGPHWTSAMNRHLIDLLLNQALGGNKIGHAFVPEAWNQIVAMFNVKFGCHYDEEALKSQARHLRRQYNNIKILLEQNGFSWDDKREKVIAADHVWDAYMKEHPNTQSYRHKMVPDYHKLCVIFGQESSNGMCSMAQREHPENEDPDLMIGDDSQFHARNGYSRIDWTPSMERHLIDLLLKQVHRGNMMSGALDTEVWLDMCLSFMESFGLQPDEESLKDHHKSLGKQYRDMRILIDQRVFSWDETRQMLTARDDVWEAYTKEYPDVVSYRHKRKPNYNDLCLIYGNSTDRKDRRSGRDACCNGYGTILKNGYYGRTDWIPSMDRYFIDLMLEHVRQGSMIDKKFSKLAWGDMVAKFSAEFGYQYDKDVLKSRFMNLRKRFNDMKYLLDHDGFTWDEKRQMIIADDHLWAIYLKEHPDARSYRNRTLPSYNDLFLIYGNANINGWNLEAENSSGEEEDESPFSSSPTRIHKTGSAGVKSDIDNDVLDTGFNDIFGDLQSLAAEFEIPDQRKKRKTDASSMAASRKAVRTNQGRLHPSDKRPVKVRTSFNNEDQYYSSIESIVDALQAIPGMDDVLFLDASKLLEDEKKARMFVAMDVHQRRKWLLRKLRR >KJB21297 pep chromosome:Graimondii2_0_v6:4:58009493:58014364:-1 gene:B456_004G2423002 transcript:KJB21297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNAPSSSDRTRTNWTPTMERFFIDLMLDQMHRGNRLGHTFNKQAWTDMLSIFNVKFGCKYDRDTLKSHYTNLWKQYNDVKNLLEQNGFSWDDTRKLVVAPPHVWNAYIKGQPDAQVYRNRTLTNFSDLCLIYAYTQADGRYSRSSHDIDFDDDALGVNFGVGSSVPAVSDENLNIEWTPAMDQYFIEIMLENLRKGNKSKSTFSKQAWNDMLGSFNAKFCFQFSKSFLRRRYRKLLKHYSDVQSLLLQKGFSWDDKQKMIVADDLVWDNYIKAHPDAHTFRNKKMLNYRDLRLIYKNASNSVVSSHMRQGRYTGPKTLPVWTGEENRGHLHDRDEMLGPHWTSAMNRHLIDLLLNQALGGNKIGHAFVPEAWNQIVAMFNVKFGCHYDEEALKSQARHLRRQYNNIKILLEQNGFSWDDKREKVIAADHVWDAYMKEHPNTQSYRHKMVPDYHKLCVIFGQESSNGMCSMAQREHPENEDPDLMIGDDSQFHARNGYSRIDWTPSMERHLIDLLLKQVHRGNMMSGALDTEVWLDMCLSFMESFGLQPDEESLKDHHKSLGKQYRDMRILIDQRVFSWDETRQMLTARDDVWEAYTKEYPDVVSYRHKRKPNYNDLCLIYGNSTDRKDRRSGRDACCNGYGTILKNGYYGRTDWIPSMDRYFIDLMLEHVRQGSMIDKKFSKLAWGDMVAKFSAEFGYQYDKDVLKSRFMNLRKRFNDMKYLLDHDGFTWDEKRQMIIADDHLWAIYLKEHPDARSYRNRTLPSYNDLFLIYGNANINGWNLEAENSSGEEEDESPFSSSPTRIHKTGSAGVKSDIDNDVLDTGFNDIFGDLQSLAAEFEIPDQRKKRKTDASSMAASRKAVRTNQGRLHPSDKRPVKVRTSFNNEDQYYSSIESIVDALQAIPGMDDVLFLDASKLLEDEKKARMFVAMDVHQRRKWLLRKLRR >KJB23180 pep chromosome:Graimondii2_0_v6:4:10767515:10770339:-1 gene:B456_004G085700 transcript:KJB23180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMMNKMEESSRWWWFDSHHDGSKRSQWLQSTLSELDSKTKAMLKLIEEDADSFAKRAEMYYKKRPELISLVEDFYRSHRLLAERYDQIKLDPGNRLVTTLGSPFSSMKYCHSEKAINLMDKLYDSSSETFESEDYAESEVDDPEHDETGEEVKDPKQEDKYEAGLVTKEGHVVKEDESSKVFGDELMKSREEIEKLKEENKVQKAQLIQKDEEKKEVIRQLSYAVQALKDENMELKKNMVKRPSPSKWSPFEFNKIKGGLFGMLLNGSPLSRSTIIAL >KJB23179 pep chromosome:Graimondii2_0_v6:4:10767697:10769901:-1 gene:B456_004G085700 transcript:KJB23179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMMNKMEESSRWWWFDSHHDGSKRSQWLQSTLSELDSKTKAMLKLIEEDADSFAKRAEMYYKKRPELISLVEDFYRSHRLLAERYDQIKLDPGNRLVTTLGSPFSSMKYCHSEKAINLMDKLYDSSSETFESEDYAESEVDDPEHDETGEEVKDPKQEDKYEAGLVTKEGHVVKEDESSKVFGDELMKSREEIEKLKEENKVQKAQLIQKDEEKKEVIRQLSYAVQALKDENMELKKNMVKRPSPSKWSPFEFNKIKGGLFGMLLNGSPLSRSTIIAL >KJB23181 pep chromosome:Graimondii2_0_v6:4:10767515:10770378:-1 gene:B456_004G085700 transcript:KJB23181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMMNKMEESSRWWWFDSHHDGSKRSQWLQSTLSELDSKTKAMLKLIEEDADSFAKRAEMYYKKRPELISLVEDFYRSHRLLAERYDQIKLDPGNRLVTTLGSPFSSMKYCHSEKAINLMDKLYDSSSETFESEDYAESEVDDPEHDETGEEVKDPKQEDKYEAGLVTKEGHVVKEDESSKVFGDELMKSREEIEKLKEENKVQKAQLIQKDEEKKEVIRQLSYAVQALKDENMELKKNMVKRPSPSKWSPFEFNKIKGGLFGMLLNGSPLSRSTIIAL >KJB23178 pep chromosome:Graimondii2_0_v6:4:10767515:10770484:-1 gene:B456_004G085700 transcript:KJB23178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMMNKMEESSRWWWFDSHHDGSKRSQWLQSTLSELDSKTKAMLKLIEEDADSFAKRAEMYYKKRPELISLVEDFYRSHRLLAERYDQIKLDPGNRLVTTLGSPFSSMKYCHSEKAINLMDKLYDSSSETFESEDYAESEVDDPEHDETGEEVKDPKQEDKYEAGLVTKEGHVVKEDESSKVFGDELMKSREEIEKLKEENKVQKAQLIQKDEEKKEVIRQLSYAVQALKDENMELKKNMVKRPSPSKWSPFEFNKIKGGLFGMLLNGSPLSRSTIIAL >KJB22307 pep chromosome:Graimondii2_0_v6:4:3335668:3337032:1 gene:B456_004G039900 transcript:KJB22307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRSSYKHFCRICKKGFGCGRALGGHMRAHGIGDESWSIEDDDVVSGWEVEKLGGDSPLNNKRMYSLRTNPNRLKSCRVCENCGEEFSSWKSFLEHGKCGYDAAESLVSSPGSGGGWSKRKRSMRAEMGHFNSEEEELANCLMMLSNATVVREVEVDIEAEAEESCASASKEDEMIIKRKNNTMNFIAPIACRVPMDHNKPKGLFECKACKKVFNSHQALGGHRASHKKVKGCFAARLDHFDKTQQVDEDHDVITHEELLPTKSTSHIQLDQGTSTPFAASTSKKKLKVHECSICHRVFSSGQALGGHKRCHWVTSNSPDTSSLTKFHRFQDQTAHIRQKPKLNIDQSLDLKLDLNLPATTDLDLVRRNHVNPYLQPFTGIDPKEKTTINNQNQLQVDAIDRKKSDFNSSCLQNADDEADSKVKLAKLCELKGINVSGGSSSPWLQVGISSDP >KJB26109 pep chromosome:Graimondii2_0_v6:4:56065934:56067306:-1 gene:B456_004G226100 transcript:KJB26109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVDSSLAGLTLDDVLGHAKRSEPPPSHNHTPPETVRDDPANKDKKSWKLFREKLKLRKTGSGWTSSISIPASDVNIQAKKPQNPRRVSFAETNRIAEIGDRAPVRNPRAAQLGRRSSVRYAQDHQDPVDAVMPSDGPPSRSFKPQNIHDDDDGSPGSSPKGAQRILSAREAVASQEAAEAAAAAAAAEKNDSSAAEEPVTMSLMDLLGESGSSYMGEDDEDEYDEDEEDEEEEIVQAKGIEFTCCICKVNLTSSAFMPCGHTYCRLCSKELSVQRGNCPHCNGFVLEVLEIF >KJB26112 pep chromosome:Graimondii2_0_v6:4:56066069:56066953:-1 gene:B456_004G226100 transcript:KJB26112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVDSSLAGLTLDDVLGHAKRSEPPPSHNHTPPETVRDDPANKDKKSWKLFREKLKLRKTGSGWTSSISIPASDVNIQAKKPQNPRRVSFAETNRIAEIGDRAPVRNPRAAQLGRRSSVRYAQDHQDPVDAVMPSDGPPSRSFKPQNIHDDDDGSPGSSPKGAQRILSAREAVASQEAAEAAAAAAAAEKNDSSAAEEPVTMSLMDLLGESGSSYMGEDDEDEYDEDEEDEEEEIVQAKGIEFTCCICKVNLTSSAFMPCGHTYCRLCSKELSVQRGNCPHCNGFVLEVLEIF >KJB26111 pep chromosome:Graimondii2_0_v6:4:56065945:56067741:-1 gene:B456_004G226100 transcript:KJB26111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVDSSLAGLTLDDVLGHAKRSEPPPSHNHTPPETVRDDPANKDKKSWKLFREKLKLRKTGSGWTSSISIPASDVNIQAKKPQNPRRVSFAETNRIAEIGDRAPVRNPRAAQLGRRSSVRYAQDHQDPVDAVMPSDGPPSRSFKPQNIHDDDDGSPGSSPKGAQRILSAREAVASQEAAEAAAAAAAAEKNDSSAAEEPVTMSLMDLLGESGSSYMGEDDEDEYDEDEEDEEEEIVQAKGIEFTCCICKVNLTSSAFMPCGHTYCRLCSKELSVQRGNCPHCNGFVLEVLEIF >KJB26110 pep chromosome:Graimondii2_0_v6:4:56066069:56066953:-1 gene:B456_004G226100 transcript:KJB26110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVDSSLAGLTLDDVLGHAKRSEPPPSHNHTPPETVRDDPANKDKKSWKLFREKLKLRKTGSGWTSSISIPASDVNIQAKKPQNPRRVSFAETNRIAEIGDRAPVRNPRAAQLGRRSSVRYAQDHQDPVDAVMPSDGPPSRSFKPQNIHDDDDGSPGSSPKGAQRILSAREAVASQEAAEAAAAAAAAEKNDSSAAEEPVTMSLMDLLGESGSSYMGEDDEDEYDEDEEDEEEEIVQAKGIEFTCCICKVNLTSSAFMPCGHTYCRLCSKELSVQRGNCPHCNGFVLEVLEIF >KJB23940 pep chromosome:Graimondii2_0_v6:4:30791979:30795802:1 gene:B456_004G122300 transcript:KJB23940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFVVFGALGAVVAALELSKTSKDRINTSPAFNSFKNNYLLVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPEYKVLMIGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLIAILSGLFGNLLVDSLSLGPVAPFDAAACFLAIGMAIILSSWTENYGDASENKDLLTQFRGAAVAITSDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSPRVESYMQIVFVISSVSLVLPIITNFLVAPSKVIGGRISFAGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVNAFPITVMFAMCSIFLFVASILQRRLMAISDKPSIWKAGQQ >KJB23939 pep chromosome:Graimondii2_0_v6:4:30792189:30794815:1 gene:B456_004G122300 transcript:KJB23939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFVVFGALGAVVAALELSKTSKDRINTSPAFNSFKNNYLLVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPEYKVLMIGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLIAILSGLFGNLLVDSLSLGPVAPFDAAACFLAIGMAIILSSWTENYGDASENKDLLTQFRGAAVAITSDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSPRVESYMQIVFVISSVSLVLPIITNVCPSLSLSPFILSDS >KJB23937 pep chromosome:Graimondii2_0_v6:4:30791979:30794445:1 gene:B456_004G122300 transcript:KJB23937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFVVFGALGAVVAALELSKTSKDRINTSPAFNSFKNNYLLVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPEYKVLMIGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLIAILSGLFGNLLVDSLSLGPVAPFDAAACFLAIGMAIILSSWTENYGDASENKDLLTQFRGAAVAITSGRVQYLLLISMH >KJB23936 pep chromosome:Graimondii2_0_v6:4:30791878:30795886:1 gene:B456_004G122300 transcript:KJB23936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFVVFGALGAVVAALELSKTSKDRINTSPAFNSFKNNYLLVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPEYKVLMIGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLIAILSGLFGNLLVDSLSLGPVAPFDAAACFLAIGMAIILSSWTENYGDASENKDLLTQFRGAAVAITSDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSPRVESYMQIVFVISSVSLVLPIITNFLVAPSKVIGGRISFAGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVNAFPITVMFAMCSIFLFVASILQRRLMAISDKPKMESWTAMTERDPEAEPLND >KJB23938 pep chromosome:Graimondii2_0_v6:4:30791979:30795802:1 gene:B456_004G122300 transcript:KJB23938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFVVFGALGAVVAALELSKTSKDRINTSPAFNSFKNNYLLVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPEYKVLMIGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLIAILSGLFGNLLVDSLSLGPVAPFDAAACFLAIGMAIILSSWTENYGDASENKDLLTQFRGAAVAITSDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSPRVESYMQIVFVISSVSLVLPIITNVVSSFLVSVRLRLVWGYSGHPS >KJB23130 pep chromosome:Graimondii2_0_v6:4:10230042:10232547:1 gene:B456_004G083000 transcript:KJB23130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELPTFKEKNPQLEVVTELIRGQHPHLKGFYKNKNERVVCVKNMTPEDILLYATRLRNALGRKVVKLRTRHVTKHPSVQGTWTTDVKF >KJB23131 pep chromosome:Graimondii2_0_v6:4:10229998:10232547:1 gene:B456_004G083000 transcript:KJB23131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMICADGCSMIDRRMAFMESELPTFKEKNPQLEVVTELIRGQHPHLKGFYKNKNERVVCVKNMTPEDILLYATRLRNALGRKVVKLRTRHVTKHPSVQGTWTTDVKF >KJB23136 pep chromosome:Graimondii2_0_v6:4:10231437:10232547:1 gene:B456_004G083000 transcript:KJB23136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELPTFKEKNPQLEVVTELIRGQHPHLKGFYKNKNERVVCVKNMTPEDILLYATRLRNALGRKVVKLRTRHVTKHPSVQGTWTTDVKF >KJB23134 pep chromosome:Graimondii2_0_v6:4:10230932:10232547:1 gene:B456_004G083000 transcript:KJB23134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMICADGCSMIDRRMVSYLSILNVSFFYACMCAFMFICVMFLTNLMGETCSACEEIKAFMESELPTFKEKNPQLEVVTELIRGQHPHLKGFYKNKNERVVCVKNMTPEDILLYATRLRNALGRKVVKLRTRHVTKHPSVQGTWTTDVKF >KJB23132 pep chromosome:Graimondii2_0_v6:4:10230932:10232547:1 gene:B456_004G083000 transcript:KJB23132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMICADGCSMIDRRMAFMESELPTFKEKNPQLEVVTELIRGQHPHLKGFYKNKNERVVCVKNMTPEDILLYATRLRNALGRKVVKLRTRHVTKHPSVQGTWTTDVKF >KJB23133 pep chromosome:Graimondii2_0_v6:4:10229998:10232547:1 gene:B456_004G083000 transcript:KJB23133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMESELPTFKEKNPQLEVVTELIRGQHPHLKGFYKNKNERVVCVKNMTPEDILLYATRLRNALGRKVVKLRTRHVTKHPSVQGTWTTDVKF >KJB23135 pep chromosome:Graimondii2_0_v6:4:10229977:10232547:1 gene:B456_004G083000 transcript:KJB23135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELPTFKEKNPQLEVVTELIRGQHPHLKGFYKNKNERVVCVKNMTPEDILLYATRLRNALGRKVVKLRTRHVTKHPSVQGTWTTDVKF >KJB23262 pep chromosome:Graimondii2_0_v6:4:11931554:11939856:1 gene:B456_004G089000 transcript:KJB23262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSSSSKRPLSSPPPTSSKRSKASEPALSSNNGAAVSGPVNETLGPPKESGSDSRVMELRSSDLRVSDSAKAVDASPIDKPAVADLENGTLVAPRSSGEAAVDADKVETVAAGLTGRAKNRPIKPAKLGSKFPWGKLLSQHSQNPHLVMCGTPFTVGQSHQCNLCLKDHNISAVLCKVKHIERDRTSIALLEITGGKGSVQVNGRTYRKNTSLILNAGDELIFTSTGDHAYIFQQLTNDNLAAPGLPSSLSILEAQTAPIKGIIEARPGEPSAVAGAATILASLSTKENSEMSTLPSGCEVSDDCVPEVDMKDSASNTDPATASSREKTVPSVPDAANENPNRDRLGLDDGMDADNTKIPGAGYSLRPLLHILAGTSTDFDFSGSIAKILDEQREIREMLKEFEPPSGLVSTKRQAFKDSLQDSILNPDNIDLSFENFPYYLSDTTKNVLIASTYVHLKCSKFAKYASDLPTMSPRILLSGPAGSEIYQETLVKALAKHFGARLLIVDSLLLPGGSTSKDVDAVKETSRAENVTVFAKRAAHPAALQQKRPTSSVEADITGGSSLSSQALPKQEVSTATSKNYTFKKGDRVKFVGSASPSGFSSLEPALRGPMIGFRGKVVLAFEENGSSKIGVRFDRSVPEGNDLGGLCEEDHGFFCSASSLRLECSGGDDVDKLAVNELFEVTLNESKSGPLILFVKDIEKSVAGNTDVYASLKSKVENLPANVVVIGSHTQMDSRKEKSHPGGLLFTKFGVNQTALLDLAFPDNFGKLHERSKETPKTMKQVTRLFPNKVTIQLPQDEALLLDWKQQLERDTETLKAQSNIVSIRSILHQNGLDCPDLETFCIKDQTLTNESVGKVVGWALSHQFMHSSGVLLKDAKLVVSTESIKYGLDILQGIQNESKTLKKSLKDVVTENEFEKKLLADVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPSKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEELSPNVDLEAIANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKVSL >KJB23261 pep chromosome:Graimondii2_0_v6:4:11931545:11940939:1 gene:B456_004G089000 transcript:KJB23261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSSSSKRPLSSPPPTSSKRSKASEPALSSNNGAAVSGPVNETLGPPKESGSDSRVMELRSSDLRVSDSAKAVDASPIDKPAVADLENGTLVAPRSSGEAAVDADKVETVAAGLTGRAKNRPIKPAKLGSKFPWGKLLSQHSQNPHLVMCGTPFTVGQSHQCNLCLKDHNISAVLCKVKHIERDRTSIALLEITGGKGSVQVNGRTYRKNTSLILNAGDELIFTSTGDHAYIFQQLTNDNLAAPGLPSSLSILEAQTAPIKGIIEARPGEPSAVAGAATILASLSTKENSEMSTLPSGCEVSDDCVPEVDMKDSASNTDPATASSREKTVPSVPDAANENPNRDRLGLDDGMDADNTKIPGAGYSLRPLLHILAGTSTDFDFSGSIAKILDEQREIREMLKEFEPPSGLVSTKRQAFKDSLQDSILNPDNIDLSFENFPYYLSDTTKNVLIASTYVHLKCSKFAKYASDLPTMSPRILLSGPAGSEIYQETLVKALAKHFGARLLIVDSLLLPGGSTSKDVDAVKETSRAENVTVFAKRAAHPAALQQKRPTSSVEADITGGSSLSSQALPKQEVSTATSKNYTFKKGDRVKFVGSASPSGFSSLEPALRGPMIGFRGKVVLAFEENGSSKIGVRFDRSVPEGNDLGGLCEEDHGFFCSASSLRLECSGGDDVDKLAVNELFEVTLNESKSGPLILFVKDIEKSVAGNTDVYASLKSKVENLPANVVVIGSHTQMDSRKEKSHPGGLLFTKFGVNQTALLDLAFPDNFGKLHERSKETPKTMKQVTRLFPNKVTIQLPQDEALLLDWKQQLERDTETLKAQSNIVSIRSILHQNGLDCPDLETFCIKDQTLTNESVGKVVGWALSHQFMHSSGVLLKDAKLVVSTESIKYGLDILQGIQNESKTLKKSLKDVVTENEFEKKLLADVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPSKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEELSPNVDLEAIANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKERALAAAESRPLPSLYNSADIRSLEMDDFKYAHEQVCASVSSESTNMNELLQWNELYGEGGSRKKKQLSYFM >KJB23264 pep chromosome:Graimondii2_0_v6:4:11931554:11940895:1 gene:B456_004G089000 transcript:KJB23264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSSSSKRPLSSPPPTSSKRSKASEPALSSNNGAAVSGPVNETLGPPKESGSDSRVMELRSSDLRVSDSAKAVDASPIDKPAVADLENGTLVAPRSSGEAAVDADKVETVAAGLTGRAKNRPIKPAKLGSKFPWGKLLSQHSQNPHLVMCGTPFTVGQSHQCNLCLKDHNISAVLCKVKHIERDRTSIALLEITGGKGSVQVNGRTYRKNTSLILNAGDELIFTSTGDHAYIFQQLTNDNLAAPGLPSSLSILEAQTAPIKGIIEARPGEPSAVAGAATILASLSTKENSEMSTLPSGCEVSDDCVPEVDMKDSASNTDPATASSREKTVPSVPDAANENPNRDRLGLDDGMDADNTKIPGAGYSLRPLLHILAGTSTDFDFSGSIAKILDEQREIREMLKEFEPPSGLVSTKRQAFKDSLQDSILNPDNIDLSFENFPYYLSDTTKNVLIASTYVHLKCSKFAKYASDLPTMSPRILLSGPAGSEIYQETLVKALAKHFGARLLIVDSLLLPGGSTSKDVDAVKETSRAENVTVFAKRAAHPAALQQKRPTSSVEADITGGSSLSSQALPKQEVSTATSKNYTFKKGDRVKFVGSASPSGFSSLEPALRGPMIGFRGKVVLAFEENGSSKIGVRFDRSVPEGNDLGGLCEEDHGFFCSASSLRLECSGGDDVDKLAVNELFEVTLNESKSGPLILFVKDIEKSVAGNTDVYASLKSKVENLPANVVVIGSHTQMDSRKEKSHPGGLLFTKFGVNQTALLDLAFPDNFGKLHERSKETPKTMKQVTRLFPNKVTIQLPQDEALLLDWKQQLERDTETLKAQSNIVSIRSILHQNGLDCPDLETFCIKDQTLTNESVGKVVGWALSHQFMHSSGVLLKDAKLVVSTESIKYGLDILQGIQNESKTLKKSLKDVVTENEFEKKLLADVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPSKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEELSPNVDLEAIANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKFACTSTYRYNMQFQERALAAAESRPLPSLYNSADIRSLEMDDFKYAHEQVCASVSSESTNMNELLQWNELYGEGGSRKKKQLSYFM >KJB23263 pep chromosome:Graimondii2_0_v6:4:11931554:11940852:1 gene:B456_004G089000 transcript:KJB23263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSSSSKRPLSSPPPTSSKRSKASEPALSSNNGAAVSGPVNETLGPPKESGSDSRVMELRSSDLRVSDSAKAVDASPIDKPAVADLENGTLVAPRSSGEAAVDADKVETVAAGLTGRAKNRPIKPAKLGSKFPWGKLLSQHSQNPHLVMCGTPFTVGQSHQCNLCLKDHNISAVLCKVKHIERDRTSIALLEITGGKGSVQVNGRTYRKNTSLILNAGDELIFTSTGDHAYIFQQLTNDNLAAPGLPSSLSILEAQTAPIKGIIEARPGEPSAVAGAATILASLSTKENSEMSTLPSGCEVSDDCVPEVDMKDSASNTDPATASSREKTVPSVPDAANENPNRDRLGLDDGMDADNTKIPGAGYSLRPLLHILAGTSTDFDFSGSIAKILDEQREIREMLKEFEPPSGLVSTKRQAFKDSLQDSILNPDNIDLSFENFPYYLSDTTKNVLIASTYVHLKCSKFAKYASDLPTMSPRILLSGPAGSEIYQETLVKALAKHFGARLLIVDSLLLPGGSTSKDVDAVKETSRAENVTVFAKRAAHPAALQQKRPTSSVEADITGGSSLSSQALPKQEVSTATSKNYTFKKGDRVKFVGSASPSGFSSLEPALRGPMIGFRGKVVLAFEENGSSKIGVRFDRSVPEGNDLGGLCEEDHGFFCSASSLRLECSGGDDVDKLAVNELFEVTLNESKSGPLILFVKDIEKSVAGNTDVYASLKSKVENLPANVVVIGSHTQMDSRKEKSHPGGLLFTKFGVNQTALLDLAFPDNFGKLHERSKETPKTMKQVTRLFPNKVTIQLPQDEALLLDWKQQLERDTETLKAQSNIVSIRSILHQNGLDCPDLETFCIKDQTLTNESVGKVVGWALSHQFMHSSGVLLKDAKLVVSTESIKYGLDILQGIQNESKTLKKSLKDVVTENEFEKKLLADVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPSKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEELSPNVDLEAIANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKERALAAAESRPLPSLYNSADIRSLEMDDFKYAHEQVCASVSSESTNMNELLQWNELYGEGGSRKKKQLSYFM >KJB24869 pep chromosome:Graimondii2_0_v6:4:46073571:46077165:-1 gene:B456_004G165500 transcript:KJB24869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05940) UniProtKB/Swiss-Prot;Acc:Q9C5D6] MASTMDDSRHKDATSSSTCFSHFCSSALRAKTLKSSSSANNSSDGLIRRLGVFDLILLGVGASIGAGIFVVTGTVARDAGPGVTISFILAGASCVLNALCYAELASRFPAIVGGAYLYAYTAFNEITAFLVFMQLMLDYHIGAASIARSLASYVATIFELIPALKGNIPPWVGHGGQEFFGGTLSINILAPILLAVLTVILCLGVGESSAVNSFMTAAKVVIVIFVIIVGSFKVDVDNWSPFAPNGVKHIFTGATVVFFAYVGFDAVANSAEESKRPQYWSCCWAYNNPSSWSICPVYMMECFSEQSRLYLGLGRDGLLPSIFAKVHPIRHTPIHSQIWVGIVAAVLGGLFNVHILSHILSVGSLTGYSVVAACVVTLRWKNNTGNRVSSKCISTWCEGVLCIFLVACCGFAAGVLYRFGTHFIVMIVAVVIAIMACAALCFRQAYSDPPGFSCPWVPIVPSVCIFFNIFLFAQLHYEAWIRFIILSIVSVGIYAFYGQYHANPRSDETIIYHMAPEEDRH >KJB24868 pep chromosome:Graimondii2_0_v6:4:46074472:46077081:-1 gene:B456_004G165500 transcript:KJB24868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05940) UniProtKB/Swiss-Prot;Acc:Q9C5D6] MASTMDDSRHKDATSSSTCFSHFCSSALRAKTLKSSSSANNSSDGLIRRLGVFDLILLGVGASIGAGIFVVTGTVARDAGPGVTISFILAGASCVLNALCYAELASRFPAIVGGAYLYAYTAFNEITAFLVFMQLMLDYHIGAASIARSLASYVATIFELIPALKGNIPPWVGHGGQEFFGGTLSINILAPILLAVLTVILCLGVGESSAVNSFMTAAKVVIVIFVIIVGSFKVDVDNWSPFAPNGVKHIFTGATVVFFAYVGFDAVANSAEESKRPQRDLPIGILGSLLVCVVLYVGVCLVITGMVPYNLLGEDAPLSEAFTSKGLKYVSILISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFAKVHPIRHTPIHSQIWVGIVAAVLGGLFNVHILSHILSVGSLTGYSVVAACVVTLRWKNNTGNRVSSKCISTWCEGVLCIFLVACCGFAAGVLYRFGTHFIVMIVAVVIAIMACAALCFRQVNEFIYT >KJB24866 pep chromosome:Graimondii2_0_v6:4:46073522:46077239:-1 gene:B456_004G165500 transcript:KJB24866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05940) UniProtKB/Swiss-Prot;Acc:Q9C5D6] MASTMDDSRHKDATSSSTCFSHFCSSALRAKTLKSSSSANNSSDGLIRRLGVFDLILLGVGASIGAGIFVVTGTVARDAGPGVTISFILAGASCVLNALCYAELASRFPAIVGGAYLYAYTAFNEITAFLVFMQLMLDYHIGAASIARSLASYVATIFELIPALKGNIPPWVGHGGQEFFGGTLSINILAPILLAVLTVILCLGVGESSAVNSFMTAAKVVIVIFVIIVGSFKVDVDNWSPFAPNGVKHIFTGATVVFFAYVGFDAVANSAEESKRPQRDLPIGILGSLLVCVVLYVGVCLVITGMVPYNLLGEDAPLSEAFTSKGLKYVSILISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFAKVHPIRHTPIHSQIWVGIVAAVLGGLFNVHILSHILSVGSLTGYSVVAACVVTLRWKNNTGNRVSSKCISTWCEGVLCIFLVACCGFAAGVLYRFGTHFIVMIVAVVIAIMACAALCFRQAYSDPPGFSCPWVPIVPSVCIFFNIFLFAQLHYEAWIRFIILSIVSVGIYAFYGQYHANPRSDETIIYHMAPEEDRH >KJB24867 pep chromosome:Graimondii2_0_v6:4:46073571:46077165:-1 gene:B456_004G165500 transcript:KJB24867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05940) UniProtKB/Swiss-Prot;Acc:Q9C5D6] MQLMLDYHIGAASIARSLASYVATIFELIPALKGNIPPWVGHGGQEFFGGTLSINILAPILLAVLTVILCLGVGESSAVNSFMTAAKVVIVIFVIIVGSFKVDVDNWSPFAPNGVKHIFTGATVVFFAYVGFDAVANSAEESKRPQRDLPIGILGSLLVCVVLYVGVCLVITGMVPYNLLGEDAPLSEAFTSKGLKYVSILISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFAKVHPIRHTPIHSQIWVGIVAAVLGGLFNVHILSHILSVGSLTGYSVVAACVVTLRWKNNTGNRVSSKCISTWCEGVLCIFLVACCGFAAGVLYRFGTHFIVMIVAVVIAIMACAALCFRQAYSDPPGFSCPWVPIVPSVCIFFNIFLFAQLHYEAWIRFIILSIVSVGIYAFYGQYHANPRSDETIIYHMAPEEDRH >KJB21528 pep chromosome:Graimondii2_0_v6:4:36280:36970:-1 gene:B456_004G000500 transcript:KJB21528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSMIRYISTKPKPKMKPIELKTSPEQTQTITRVIFDILNEHGPLTVGDTWERVKEVGLRGLTSKRYMKIVLRWMRGRQNIRLICNHVGPHKQFLYTTWFTK >KJB21529 pep chromosome:Graimondii2_0_v6:4:36280:36944:-1 gene:B456_004G000500 transcript:KJB21529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSMIRYISTKPKPKMKPIELKTSPEQTQTITRVIFDILNEHGPLTVGDTWERVKEVGLRGLTSKRYMKIVLRWMRGRQNIRLICNHVGPHKQFLYTTWFTK >KJB26428 pep chromosome:Graimondii2_0_v6:4:57868216:57871803:-1 gene:B456_004G240800 transcript:KJB26428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFIITYQKMAQSPFTLILLKRLSLSYKSRLKPHHLSSPPGFTTVFVRPFSSTSPQPAGAATEDPPKRNSLSARMSFVFEQIDKIEKQKQQQSLENDDTLQRIRAWRQSKKESQATQKRFQSKEQNPDSYSGSAKNDAALSDSPELTDLPNGGAFQRIHAWRELKYGENNESAEDAKSEAEVGVLSTDSVTKLGESETQKKGKKVEVEVVHPWPEWIELMERLVQQNYFDHKRRDDEKMVENLGFDMTNVVEEVKDDAGIDFKDYKTVQTACINFGKDRFDILRSLSRQDIQILVGFGCPNADKKLVFSAKLLRKRVHLDEGDVCSSCSLRNSCERAYLLTNKEDEARTIDVMRVLLAYGFDYVNGSVVNESVLKKKSVKTVARKLLYEVVKLSAIPIDPNLPPPVIKKPPPKVKQPPPPPKKRVGRDDIEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPECNFLNYRRNMACFHCDCKRPPDAFMGSKIQEMQPGPRTRLEKVANRPEVSNAWNFDFDDDESDGADVAAFEYADTSVKSGGDFRELEDESDMVGRASRVQERMYSDFNSSKHGLGFDDFDDEDDINSYEVDSQQNNPRQKASSNVYVDKEVFPEPERSRGSKFVRKNIALSGSEDDLDFDSDEELSAHPNWKSSHVADSKHKGRIASKDLSFDSEDLDLNSDGDDGFDNFGSKRWKEDKGSYGRGKSQNRESSSFKGGSFSGSDYENNGPHSRRIVSRGSKTGSGSRGNSVRGSGSNDYKFRKNSHSRSNAKTDGRRNNSNNNFNRSHRGSRGDSRGVGEDDYGRQKGGGRNPGGFGNRPWGKSREYGKEVDHDPSEFRNSRRVIQR >KJB24357 pep chromosome:Graimondii2_0_v6:4:40005130:40006424:1 gene:B456_004G141900 transcript:KJB24357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMKVHGYPLSTATQRVLACLYEKDVEFQFVNVDMVAGEHKSPNFLPLNVSNHLLNILSHTESRATTHYIAHEYADKGTQLLLPGSNKTMAVFQLWKEVEAHQFDPPSTKLVFELRYKPHFGMTTDTAVVEEYEAKFAKVLDVYEARLAESKYLACDHFTLADLHHLPTVQYLLGSSAKKLFDSRPHVSAWVADITARPAWSKVMGMLKQ >KJB24356 pep chromosome:Graimondii2_0_v6:4:40004892:40006445:1 gene:B456_004G141900 transcript:KJB24356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMKVHGYPLSTATQRVLACLYEKDVEFQFVNVDMVAGEHKSPNFLPLNPFGQVPAFEEGDLKLFESRATTHYIAHEYADKGTQLLLPGSNKTMAVFQLWKEVEAHQFDPPSTKLVFELRYKPHFGMTTDTAVVEEYEAKFAKVLDVYEARLAESKYLACDHFTLADLHHLPTVQYLLGSSAKKLFDSRPHVSAWVADITARPAWSKVMGMLKQ >KJB21886 pep chromosome:Graimondii2_0_v6:4:1393460:1400574:-1 gene:B456_004G019000 transcript:KJB21886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNDGVSFTSSPLKIPLSQTPAFQLTGTRHLAFQLIDSLRNRFNNVKHHSTQLNVSAQSELGVRSSTRRSPLLCFASVSLAAEKQSIGRSPLLYSASLSLTQPDSTESTQDGHLATAGRYSEDRVLVGEVLVRNKDGDELERKDLEMEALNALKACGANSALTAWEIQEDVDRIIDSGYFSSCTPVAVDSRDGIRLVFQVEPNQELRGLVCEGANVLPSKFLENAFRDGHGKVVNLKRLDQVISSINGWYMDRGLFGLVYGVDIFSGGIVRLKVAESEVNDISVHFLDQKTGEPTKGKTKPATILRQLTTKKGQVYSRLQGKRDVDAISAMGLMDVSILPQSAGDAGKVDLIMNVVERPSGRFSAGAGISSGITSDLLSGLFGSFAYSERNLFGKNQKLNVSLEKGQIDSTFGINYTDPWIEGNDKQTSRTITVQNSRNSGTLVHGNQHDNSSLSIGRVTAGVEFSRPLWPKWSGTAGLFFQRSGAHDEKRNPLIKDFYGSPLTASGKLCDDILLAKVECVYPGSGNKGSSMFIINMEQGLPVMPDWLYFNRVNARASKSVKLGPARLLLRLSGGHVVGNFPPHEAFAIGGTNSVRGYKEGAVGSGRSYVVGSSEVSLKMLGPVEGVIFSDYGHDLWSGPNVPGNPAGARNKCGSGYGYGIGIRLNSPLGLLRLEYAFNDRFAKRLHFAFGNPK >KJB21887 pep chromosome:Graimondii2_0_v6:4:1393828:1400495:-1 gene:B456_004G019000 transcript:KJB21887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNDGVSFTSSPLKIPLSQTPAFQLTGTRHLAFQLIDSLRNRFNNVKHHSTQLNVSAQSELGVRSSTRRSPLLCFASVSLAAEKQSIGRSPLLYSASLSLTQPDSTESTQDGHLATAGRYSEDRVLVGEVLVRNKDGDELERKDLEMEALNALKACGANSALTAWEIQEDVDRIIDSGYFSSCTPVAVDSRDGIRLVYGVDIFSGGIVRLKVAESEVNDISVHFLDQKTGEPTKGKTKPATILRQLTTKKGQVYSRLQGKRDVDAISAMGLMDVSILPQSAGDAGKVDLIMNVVERPSGRFSAGAGISSGITSDLLSGLFGSFAYSERNLFGKNQKLNVSLEKGQIDSTFGINYTDPWIEGNDKQTSRTITVQNSRNSGTLVHGNQHDNSSLSIGRVTAGVEFSRPLWPKWSGTAGLFFQRSGAHDEKRNPLIKDFYGSPLTASGKLCDDILLAKVECVYPGSGNKGSSMFIINMEQGLPVMPDWLYFNRVNARASKSVKLGPARLLLRLSGGHVVGNFPPHEAFAIGGTNSVRGYKEGAVGSGRSYVVGSSEVSLKMLGPVEGVIFSDYGHDLWSGPNVPGNPAGARNKCGSGYGYGIGIRLNSPLGLLRLEYAFNDRFAKRLHFAFGNPK >KJB21344 pep chromosome:Graimondii2_0_v6:4:13038255:13059975:1 gene:B456_004G092500 transcript:KJB21344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRGSDQSQPMRRITRTQTAGNLGETAFDSEVVPSSLSEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLQGRVKKSDAREMQSFYQHYYKKYIQALTNAADKADRAQLTKAYQTANVLFEVLKAVNLTQAIEVDREILEAQDRVAEQTQILVPYNILPLDPDSANQAIMQYPEIQAAVYALRNTRGLPWPSDHKRKKDEDILDWLQEMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDDHALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPLTGENIQPAYGGEEEAFLKKVVTPIYEVIRREAERSKRGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFCLPTEQLVEKNGDNKPPATRDRWVGKVNFVEIRSFWHVFRSFDRMWSFFILCLQVMIIVAWNGNGQPSSIFTGDVFKKVLSVFITAAILKLGQAVLDVILSWKAQQSMSFHVKLRYILKVVSAAAWVIVLPVTYAYTSDNPSGIARTIQSWFGSASSSPSLFILAVVIYLSPNMLAAILFLFPFVRRFLESSHYKIVMLMMWWSQPRLYVGRGMHESTFSLFKYTMFWVLLIITKLAFSYYIEIKPLVGPTKAIMSVRIRHFQWHEFFPQARNNIGVVIALWAPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNARLIPGDKSEKKKKGFWLFFSRSFGQPRSNKEKEAAKFAQLWNKIISSFREEDLISNKEMNLLLVPYWADRDLDEIQWPPFLLASKIPIALDMAKDSDSRDRELQKRIEADPYMFCAIRECYASFRSIIRFLVEGLREKRVIDDIFSKVDKRIADGSLIKTYRMSALPSLYDHIVKLIKLLLENKQDERGQVVLCFQDMLEIVTKDIMADEEFSSLEHGGSGHDGMIDPLHQLFDTKKLDQLFASTGAIEFPTPVSEAWREKINRLYLLLTTKESAMDVPSNIDARRRISFFSNSLFMDMPAAPKVRNMLSFSVLTPYYTEEVLFSLQELEEPNEDGVSILFYLQKIFPDEWNNFLERVERNNEEELKESPELQEKLRLWASYRGQTLTRTVRGMMYYREALELQAFLDMAKHEDLMEGYKAIELNTEDSKENRSLKAQCEAVADMKFTYVVSCQQYGIQKRSGSERAQDILRLMTKYPSLRVAYIDEIEQRSEDRSKKLNGKVNYFSVLVRAVPKSIDSSEQNLDQEIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLVTVLTVYVFLYGRLYLVLSGLEQELSQEPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFAENYRLYSRSHFVKGIEMMILLIVYQIFGHTYRSTVAYVLITASLWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNRGGIGVPSEKSWESWWEEEQEHLQYSGKRGIIAEILLALRFFIYQYGLVYHLHVTRKTKSFLVYGASWLVIVLILFVMKTVSVGRRKFSASYQLVFRLIKGLIFLTFLSILVILVTLAHMTIQDIIVCIFIFMPTGWGMLLIAQALRPVVKKAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRTSRHKE >KJB21343 pep chromosome:Graimondii2_0_v6:4:13038360:13059807:1 gene:B456_004G092500 transcript:KJB21343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRGSDQSQPMRRITRTQTAGNLGETAFDSEVVPSSLSEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLQGRVKKSDAREMQSFYQHYYKKYIQALTNAADKADRAQLTKAYQTANVLFEVLKAVNLTQAIEVDREILEAQDRVAEQTQILVPYNILPLDPDSANQAIMQYPEIQAAVYALRNTRGLPWPSDHKRKKDEDILDWLQEMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDDHALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPLTGENIQPAYGGEEEAFLKKVVTPIYEVIRREAERSKRGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFCLPTEQLVEKNGDNKPPATRDRWVGKVNFVEIRSFWHVFRSFDRMWSFFILCLQVMIIVAWNGNGQPSSIFTGDVFKKVLSVFITAAILKLGQAVLDVILSWKAQQSMSFHVKLRYILKVVSAAAWVIVLPVTYAYTSDNPSGIARTIQSWFGSASSSPSLFILAVVIYLSPNMLAAILFLFPFVRRFLESSHYKIVMLMMWWSQPRLYVGRGMHESTFSLFKYTMFWVLLIITKLAFSYYIEIKPLVGPTKAIMSVRIRHFQWHEFFPQARNNIGVVIALWAPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNARLIPGDKSEKKKKGFWLFFSRSFGQPRSNKEKEAAKFAQLWNKIISSFREEDLISNKEMNLLLVPYWADRDLDEIQWPPFLLASKIPIALDMAKDSDSRDRELQKRIEADPYMFCAIRECYASFRSIIRFLVEGLREKRVIDDIFSKVDKRIADGSLIKTYRMSALPSLYDHIVKLIKLLLENKQDERGQVVLCFQDMLEIVTKDIMADEEFSSLEHGGSGHDGMIDPLHQLFDTKKLDQLFASTGAIEFPTPVSEAWREKINRLYLLLTTKESAMDVPSNIDARRRISFFSNSLFMDMPAAPKVRNMLSFSVLTPYYTEEVLFSLQELEEPNEDGVSILFYLQKIFPDEWNNFLERVERNNEEELKESPELQEKLRLWASYRGQTLTRTVRGMMYYREALELQAFLDMAKHEDLMEGYKAIELNTEDSKENRSLKAQCEAVADMKFTYVVSCQQYGIQKRSGSERAQDILRLMTKYPSLRVAYIDEIEQRSEDRSKKLNGKVNYFSVLVRAVPKSIDSSEQNLDQEIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLVTVLTVYVFLYGRLYLVLSGLEQELSQEPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFAENYRLYSRSHFVKGIEMMILLIVYQIFGHTYRSTVAYVLITASLWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNRGGIGVPSEKSWESWWEEEQEHLQYSGKRGIIAEILLALRFFIYQYGLVYHLHVTRKTKSFLVYGASWLVIVLILFVMKTVSVGRRKFSASYQLVFRLIKGLIFLTFLSILVILVTLAHMTIQDIIVCIFIFMPTGWGMLLIAQALRPVVKKAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRTSRHKE >KJB21342 pep chromosome:Graimondii2_0_v6:4:13038318:13059817:1 gene:B456_004G092500 transcript:KJB21342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRGSDQSQPMRRITRTQTAGNLGETAFDSEVVPSSLSEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLQGRVKKSDAREMQSFYQHYYKKYIQALTNAADKADRAQLTKAYQTANVLFEVLKAVNLTQAIEVDREILEAQDRVAEQTQILVPYNILPLDPDSANQAIMQYPEIQAAVYALRNTRGLPWPSDHKRKKDEDILDWLQEMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDDHALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPLTGENIQPAYGGEEEAFLKKVVTPIYEVIRREAERSKRGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFCLPTEQLVEKNGDNKPPATRDRWVGKVNFVEIRSFWHVFRSFDRMWSFFILCLQVMIIVAWNGNGQPSSIFTGDVFKKVLSVFITAAILKLGQAVLDVILSWKAQQSMSFHVKLRYILKVVSAAAWVIVLPVTYAYTSDNPSGIARTIQSWFGSASSSPSLFILAVVIYLSPNMLAAILFLFPFVRRFLESSHYKIVMLMMWWSQPRLYVGRGMHESTFSLFKYTMFWVLLIITKLAFSYYIEIKPLVGPTKAIMSVRIRHFQWHEFFPQARNNIGVVIALWAPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNARLIPGDKSEKKKKGFWLFFSRSFGQPRSNKEKEAAKFAQLWNKIISSFREEDLISNKEMNLLLVPYWADRDLDEIQWPPFLLASKIPIALDMAKDSDSRDRELQKRIEADPYMFCAIRECYASFRSIIRFLVEGLREKRVIDDIFSKVDKRIADGSLIKTYRMSALPSLYDHIVKLIKLLLENKQDERGQVVLCFQDMLEIVTKDIMADEEFSSLEHGGSGHDGMIDPLHQLFDTKKLDQLFASTGAIEFPTPVSEAWREKINRLYLLLTTKESAMDVPSNIDARRRISFFSNSLFMDMPAAPKVRNMLSFSVLTPYYTEEVLFSLQELEEPNEDGVSILFYLQKIFPDEWNNFLERVERNNEEELKESPELQEKLRLWASYRGQTLTRTVRGMMYYREALELQAFLDMAKHEDLMEGYKAIELNTEDSKENRSLKAQCEAVADMKFTYVVSCQQYGIQKRSGSERAQDILRLMTKYPSLRVAYIDEIEQRSEDRSKKLNGKVNYFSVLVRAVPKSIDSSEQNLDQEIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLVTVLTVYVFLYGRLYLVLSGLEQELSQEPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFAENYRLYSRSHFVKGIEMMILLIVYQIFGHTYRSTVAYVLITASLWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNRGGIGVPSEKSWESWWEEEQEHLQYSGKRGIIAEILLALRFFIYQYGLVYHLHVTRKTKSFLVYGASWLVIVLILFVMKTVSVGRRKFSASYQLVFRLIKGLIFLTFLSILVILVTLAHMTIQDIIVCIFIFMPTGWGMLLIAQALRPVVKKAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRTSRHKE >KJB22003 pep chromosome:Graimondii2_0_v6:4:1933650:1938703:-1 gene:B456_004G024900 transcript:KJB22003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSPSISRKTRFALTTVVFIALFFFSIVLFSKRTLEPSISVYQESFSLTTSHIHSLVPPFPDPPPPSPPRPAGSEPLNLSTHQDPIPSISQTVKLDSSSNATSVDDPFSAPKRQKPPAGNGAAPEKRNPPPPNNGVVRKGEVKKRKTCDLYTGTWVRDEEYPIYKPGSCPYVDDAFDCHGNGRKDYDYLRWRWKPDGCDLPRFNATDFLERLRGKRLMLVGDSMNRNQFESMLCLLYKGLTDKSKMYEVNGNKVSKGRGYFLFKIKQDYNCTVEFVRSHFLVRQGSRTLSLDLIDKSSRKWKRADILIFNTAHWWVHGKTARGRNYYKEGDYVYPKFDATEAYQRALKTWAKWIDDNIKPTKQLVFFRGYSSAHFRGGDWNSGGTCHGETEPILKRGAFLETYPPKMKIVEEVIREMKLPVALLNITRLTNSRKDGHPSIYRKGLTEGKKVSSRHQDCSHWCLPGVPDTWNELIYANLFFNEQFSRGKN >KJB22002 pep chromosome:Graimondii2_0_v6:4:1932807:1938886:-1 gene:B456_004G024900 transcript:KJB22002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSPSISRKTRFALTTVVFIALFFFSIVLFSKRTLEPSISVYQESFSLTTSHIHSLVPPFPDPPPPSPPRPAGSEPLNLSTHQDPIPSISQTVKLDSSSNATSVDDPFSAPKRQKPPAGNGAAPEKRNPPPPNNGVVRKGEVKKRKTCDLYTGTWVRDEEYPIYKPGSCPYVDDAFDCHGNGRKDYDYLRWRWKPDGCDLPRFNATDFLERLRGKRLMLVGDSMNRNQFESMLCLLYKGLTDKSKMYEVNGNKVSKGRGYFLFKIKDYNCTVEFVRSHFLVRQGSRTLSLDLIDKSSRKWKRADILIFNTAHWWVHGKTARGRNYYKEGDYVYPKFDATEAYQRALKTWAKWIDDNIKPTKQLVFFRGYSSAHFRGGDWNSGGTCHGETEPILKRGAFLETYPPKMKIVEEVIREMKLPVALLNITRLTNSRKDGHPSIYRKGLTEGKKVSSRHQDCSHWCLPGVPDTWNELIYANLFFNEQFSRGKN >KJB22001 pep chromosome:Graimondii2_0_v6:4:1932702:1938935:-1 gene:B456_004G024900 transcript:KJB22001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSPSISRKTRFALTTVVFIALFFFSIVLFSKRTLEPSISVYQESFSLTTSHIHSLVPPFPDPPPPSPPRPAGSEPLNLSTHQDPIPSISQTVKLDSSSNATSVDDPFSAPKRQKPPAGNGAAPEKRNPPPPNNGVVRKGEVKKRKTCDLYTGTWVRDEEYPIYKPGSCPYVDDAFDCHGNGRKDYDYLRWRWKPDGCDLPRFNATDFLERLRGKRLMLVGDSMNRNQFESMLCLLYKGLTDKSKMYEVNGNKVSKGRGYFLFKIKDYNCTVEFVRSHFLVRQGSRTLSLDLIDKSSRKWKRADILIFNTAHWWVHGKTARGRNYYKEGDYVYPKFDATEAYQRALKTWAKWIDDNIKPTKQLVFFRGYSSAHFRGGDWNSGGTCHGETEPILKRGAFLETYPPKMKIVEEVIREMKLPVALLNITRLTNSRKDGHPSIYRKGLTEGKKVSSRHQDCSHWCLPGVPDTWNELIYANLFFNEQFSRG >KJB24764 pep chromosome:Graimondii2_0_v6:4:44877391:44878369:-1 gene:B456_004G159300 transcript:KJB24764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVGYTEVFLPKLFVHTLSFLGFIRNLISALFNFMGLTNFLETDAVWPENPTRTTPEHPPVSALLIREILPVIKYEELVVVGDPPESCAVCLYEFEGGEEIRWLRNCRHVFHRACLDRWMDHDKQTCPLCRTSFVPDELQDEFNQRLWAASGIADFYGEYSSVPGL >KJB24293 pep chromosome:Graimondii2_0_v6:4:38403501:38410198:-1 gene:B456_004G137300 transcript:KJB24293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGASFPTHHRQWASDTVPATFSSTSSPGTEEFVEVTLDLQDDDTIILRNMELASTAITVDDGAYTSASTSRSPTIRKSSSNTLRQFSQGRKVEAVAKAKQFSQELKAELRKLSWGHGHAALTLPGSDSATAARALRKQRAQLDRIRSGAQKALRGLRFITNNEANAWEEVENNFNKLAKHGSLFRSDFAQCIGMKDSKEFAQEMFDALSRRRRLKVEKISKDELYEYWSQITDQSFDSRLQIFFDMVDKNEDGRITEAEVKEILTLSASANKLSRLKEQAEEYAALIMEELDHERFGYIELWQLETLLLQKDAYQSYSQALSYTSQALSQNLQGLTNKSRVRRMIKKLLYYLEENWKRLWVVSLWIMIMIGLFTWKFFQYKQKNSFQVMGYCLLTAKGAAETLKFNMALILLPVCRNTITWLRSTKLGLFLPFDDNINFHKTIAAAIAIGVILHAGNHLACDFPMLIKSSSDHYDLLINDFGSHKPTYLDLVKGAEGVTGILMIICMAIAFILATRWFRRNLIKLPKPFDRITGFNAFWYSHHLFIVVYILLVIHGVFLYLVHVWYRKTTWMYLAVPILLYAGERTLRFFRSGFYTVRLSKVAIYPGGVLALLMSKPPQIRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRLFSEVCEPPVSGKSGLLRADDTTKKGLPKLLIDGPYGAPAQDYWKYDVLLLVGLGIGATPFISILKDLLHNIVKMEEQADLVSDSSRTSELRSGSNDSGTPNRVPPKRKKTLKTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSAFITMVQALNQAKNGVDIVSGTRVRTHFARPKWKKVLSKLSSKHCNARIGVFYCGLPVLAKQLSKLCYEFNQKGSTKFEFHKEHF >KJB22792 pep chromosome:Graimondii2_0_v6:4:6728249:6735792:-1 gene:B456_004G065900 transcript:KJB22792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGDIGGKPMKNLGGQTCQICGDNVGKNTDGDPFIACNICAFPVCRPCYEYERKDGNQSCPQCKTRYKWQIGSPAILGDRETGGDADDGASDFIYSENQEQKQKLAERMQGWNAKYGRGEDVGAPTYDKEISHNHIPLLTSGQEVSGELSAASPERLSMASPRVAGGKSSIRVVDPVREFGSSGLGNVAWKERVDGWKMKQEKNTVPMSTCQATSERGLGDIDASTDVLVDDSLLNDEARQPLSRKVSVSSSKINPYRMVIILRLVILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSELAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKAIREAMCFLMDPNLGKQVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKRAGVLSSLCGGSRKKSSKSSKKGSDKKKSGKPVDPTVPVFSLDDIEEGVEGAGFDDEKSLLMSQMSLEQRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKWLERFAYVNTTIYPVTAIPLLMYCTLPAVCLLTNKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVELCGINC >KJB22794 pep chromosome:Graimondii2_0_v6:4:6728315:6735660:-1 gene:B456_004G065900 transcript:KJB22794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGDIGGKPMKNLGGQTCQICGDNVGKNTDGDPFIACNICAFPVCRPCYEYERKDGNQSCPQCKTRYKWQIGSPAILGDRETGGDADDGASDFIYSENQEQKQKLAERMQGWNAKYGRGEDVGAPTYDKEISHNHIPLLTSGQEVSGELSAASPERLSMASPRVAGGKSSIRVVDPVREFGSSGLGNVAWKERVDGWKMKQEKNTVPMSTCQATSERGLGDIDASTDVLVDDSLLNDEARQPLSRKVSVSSSKINPYRMVIILRLVILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSELAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKAIREAMCFLMDPNLGKQVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKRAGVLSSLCGGSRKKSSKSSKKGSDKKKSGKPVDPTVPVFSLDDIEEGVEGAGFDDEKSLLMSQMSLEQRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKWLERFAYVNTTIYPVTAIPLLMYCTLPAVCLLTNKFIIPQVITLQVYGLYLSFFPSSQLVF >KJB22793 pep chromosome:Graimondii2_0_v6:4:6728249:6735907:-1 gene:B456_004G065900 transcript:KJB22793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGDIGGKPMKNLGGQTCQICGDNVGKNTDGDPFIACNICAFPVCRPCYEYERKDGNQSCPQCKTRYKWQIGSPAILGDRETGGDADDGASDFIYSENQEQKQKLAERMQGWNAKYGRGEDVGAPTYDKEISHNHIPLLTSGQEVSGELSAASPERLSMASPRVAGGKSSIRVVDPVREFGSSGLGNVAWKERVDGWKMKQEKNTVPMSTCQATSERGLGDIDASTDVLVDDSLLNDEARQPLSRKVSVSSSKINPYRMVIILRLVILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSELAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKAIREAMCFLMDPNLGKQVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKRAGVLSSLCGGSRKKSSKSSKKGSDKKKSGKPVDPTVPVFSLDDIEEGVEGAGFDDEKSLLMSQMSLEQRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKWLERFAYVNTTIYPVTAIPLLMYCTLPAVCLLTNKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVELCGINC >KJB25108 pep chromosome:Graimondii2_0_v6:4:48115459:48117412:-1 gene:B456_004G176600 transcript:KJB25108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFIMLFPKMVKAVVSFHVNMFWKAVLWTVAIASLPVRVLTALQRERMLEQHLHEIQFELETLVWDRKELEDHLQAAVRESRIMESMLIELEGEHDKAIARIELLEGELQDLKDENLQLKEVQGKAACSYRGHDATNKDKSLNTVDDNHVIPYSIASWVSNYKGSGISFQELMMNREVWEGKSKSTTEMINFLKAGPAPSGSVEVFTPSVQNLEVDTVIEQRKEVALSQTLFSAILSLLVGMIVWEAEDPCMPLVVALFTVVGMSLQSVVQFFFSIKNKPASDAVALLSFNWFIVGTLSYPALPKVTRMLAPLTLSLVDRLASWLGISFN >KJB25106 pep chromosome:Graimondii2_0_v6:4:48115198:48118754:-1 gene:B456_004G176600 transcript:KJB25106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFIMLFPKMVKAVVSFHVNMFWKAVLWTVAIASLPVRVLTALQRERMLEQHLHEIQFELETLVWDRKELEDHLQAAVRESRIMESMLIELEGEHDKAIARIELLEGELQDLKDENLQLKEVQGKAACSYRGHDATNKDKSLNTVDDNHVIPYSIASWVSNYKGSGISFQELMMNREVWEGKSKSTTEMINFLKAGPAPSGSVEVFTPSVQNLEVDTVIEQRKEVALSQTLFSAILSLLVGMIVWEAEDPCMPLVVALFTVVGMSLQSVVQFFFSIKNKPASDAVALLSFNWFIVGTLSYPALPKVTRMLAPLTLSLVDRLASWLGISFN >KJB25109 pep chromosome:Graimondii2_0_v6:4:48115198:48119207:-1 gene:B456_004G176600 transcript:KJB25109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFIMLFPKMVKAVVSFHVNMFWKAVLWTVAIASLPVRVLTALQRERMLEQHLHEIQFELETLVWDRKELEDHLQAAVRESRIMESMLIELEGEHDKAIARIELLEGELQDLKDENLQLKEVQGKAACSYRGHDATNKDKSLNTVDDNHVIPYSIASWVSNYKGSGISFQELMMNREVWEGKSKSTTEMINFLKAGPAPSGSVEVFTPSVQNLEVDTVIEQRKEVALSQTLFSAILSLLVGMIVWEAEDPCMPLVVALFTVVGMSLQSVVQFFFSIKNKPASDAVALLSFNWFIVGTLSYPALPKVTRMLAPLTLSLVDRLASWLGISFN >KJB25107 pep chromosome:Graimondii2_0_v6:4:48115198:48119207:-1 gene:B456_004G176600 transcript:KJB25107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFIMLFPKMVKAVVSFHVNMFWKAVLWTVAIASLPVRVLTALQRERMLEQHLHEIQFELETLVWDRKELEDHLQAAVRESRIMESMLIELEGEHDKAIARIELLEGELQDLKDENLQLKEVQGKAACSYRGHDATNKDKSLNTVDDNHVIPYSIASWVSNYKGSGISFQELMMNREVWEGKSKSTTEMINFLKAGPAPSGSVEVFTPSVQNLEVDTVIEQRKEVALSQTLFSAILSLLVGMIVWEAEDPCMPLVVALFTVVGMSLQSVVQFFFSIKNKPASDAVALLSFNWFIVGTLSYPALPKVTRMLAPLTLSLVDRLASWLGISFN >KJB25063 pep chromosome:Graimondii2_0_v6:4:47811890:47814480:1 gene:B456_004G175100 transcript:KJB25063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVGQCYTSEPVKVKQLLNEGVDVNVAAWGPKSQGVTPLHLAAEGGHLEVMDELLERGANIDARTKGACGWTPLHTAAKERKREAVKFLIENGAFLPDDINDCRFNPPLHYCPGLEWAYEEMKRLQRDGSSSAGETSYSSEN >KJB25064 pep chromosome:Graimondii2_0_v6:4:47811890:47814480:1 gene:B456_004G175100 transcript:KJB25064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQGQSLRRNLSRRRSFRWGIDRDDRGWTMLHIGARKGDLIQVKQLLNEGVDVNVAAWGPKSQGVTPLHLAAEGGHLEVMDELLERGANIDARTKGTPLHTAAKERKREAVKFLIENGAFLPDDINDCRFNPPLHYCPGLEWAYEEMKRLQRDGSSSAGETSYSSEN >KJB25062 pep chromosome:Graimondii2_0_v6:4:47811852:47814590:1 gene:B456_004G175100 transcript:KJB25062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQGQSLRRNLSRRRSFRWGIDRDDRGWTMLHIGARKGDLIQVKQLLNEGVDVNVAAWGPKSQGVTPLHLAAEGGHLEVMDELLERGANIDARTKGACGWTPLHTAAKERKREAVKFLIENGAFLPDDINDCRFNPPLHYCPGLEWAYEEMKRLQRDGSSSAGETSYSSEN >KJB21943 pep chromosome:Graimondii2_0_v6:4:3271546:3276813:1 gene:B456_004G039100 transcript:KJB21943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEKQAEVIDHFVKQASAQKGSALGSVVVEATSHPSLFAFSEILAVPTVAELEGTDNSVHLEVLRLFAHGTWSDYKRNSCKLPQLVPDQVLKLKQLTVLTLAETKKVLSYDQLMLELDVTNVRELEDFLINDCMYAGLVRGKLDQLRRYFEVQFAAGRDLRPGQLGSWLRQITYLSQFKTR >KJB21942 pep chromosome:Graimondii2_0_v6:4:3271423:3276902:1 gene:B456_004G039100 transcript:KJB21942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEKQAEVIDHFVKQASAQKGSALGSVVVEATSHPSLFAFSEILAVPTVAELEGTDNSVHLEVLRLFAHGTWSDYKRNSCKLPQLVPDQVLKLKQLTVLTLAETKKVLSYDQLMLELDVTNVRELEDFLINDCMYAGLVRGKLDQLRRYFEVQFAAGRDLRPGQLGSMIQTLSNWLATSDNLLVTIQDKIKWADTMSELDKKHRKELEDRVLEVKKAVSVKYDSAILGSIEKLKPPRGRKPSGSCFGLASYGIGVLIILWLPLCFYNARDSWYFSFLSSFRFHFVVFGNSYLFFTDSMVVENTIEKTVHGFMNLPVAWLHGVVL >KJB21939 pep chromosome:Graimondii2_0_v6:4:3271423:3276902:1 gene:B456_004G039100 transcript:KJB21939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEKQAEVIDHFVKQASAQKGSALGSVVVEATSHPSLFAFSEILAVPTVAELEGTDNSVHLEVLRLFAHGTWSDYKRNSCKLPQLVPDQVLKLKQLTVLTLAETKKVLSYDQLMLELDVTNVRELEDFLINDCMYAGLVRGKLDQLRRYFEVQFAAGRDLRPGQLGSMIQTLSNWLATSDNLLVTIQDKIKWADTMSELDKKHRKELEDRVLEVKKAVSVKCSMTRPF >KJB21940 pep chromosome:Graimondii2_0_v6:4:3271423:3276902:1 gene:B456_004G039100 transcript:KJB21940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEKQAEVIDHFVKQASAQKGSALGSVVVEATSHPSLFAFSEILAVPTVAELEGTDNSVHLEVLRLFAHGTWSDYKRNSCKLPQLVPDQVLKLKQLTVLTLAETKKGLVRGKLDQLRRYFEVQFAAGRDLRPGQLGSMIQTLSNWLATSDNLLVTIQDKIKWADTMSELDKKHRKELEDRVLEVKKAVSVKEGWSWEREFFNSSKNA >KJB21938 pep chromosome:Graimondii2_0_v6:4:3271681:3275334:1 gene:B456_004G039100 transcript:KJB21938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEKQAEVIDHFVKQASAQKGSALGSVVVEATSHPSLFAFSEILAVPTVAELEGTDNSVHLEVLRLFAHGTWSDYKRNSCKLPQLVPDQVLKLKQLTVLTLAETKKVLSYDQLMLELDVTNVRELEDFLINDCMYAGLVRGKLDQLRRYFEVQFAAGRDLRPGQLGSMIQTLSNWLATSDNLLVTIQDKIKWADTMSELDKKHRKELEDRVLEVKKAVSVKEGWSWEREFFNSSKNA >KJB21941 pep chromosome:Graimondii2_0_v6:4:3271546:3276115:1 gene:B456_004G039100 transcript:KJB21941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEKQAEVIDHFVKQASAQKGSALGSVVVEATSHPSLFAFSEILAVPTVAELEGTDNSVHLEVLRLFAHGTWSDYKRNSCKLPQLVPDQVLKLKQLTVLTLAETKKVLSYDQLMLELDVTNVRELEDFLINDCMYAGLVRGKLDQLRRYFEVQFAAGRDLRPGQLGSMIQTLSNWLATSDNLLVTIQDKIKWADTMSELDKKHRKELEDRVLEVKKAVSVKTASRPILASKGSMKSTLNLVE >KJB21937 pep chromosome:Graimondii2_0_v6:4:3271423:3276902:1 gene:B456_004G039100 transcript:KJB21937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEKQAEVIDHFVKQASAQKGSALGSVVVEATSHPSLFAFSEILAVPTVAELEGTDNSVHLEVLRLFAHGTWSDYKRNSCKLPQLVPDQVLKLKQLTVLTLAETKKGLVRGKLDQLRRYFEVQFAAGRDLRPGQLGSMIQTLSNWLATSDNLLVTIQDKIKWADTMSELDKKHRKELEDRVLEVKKAVSVKCSMTRPF >KJB23322 pep chromosome:Graimondii2_0_v6:4:13212318:13218132:-1 gene:B456_004G092800 transcript:KJB23322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MALIENLNLWPPSNLQRNLALNSPPKLFNFKPSATHSIRKTLHTCRCSLVNEQQQQQASFTDQEKQLIDALIGIQGRGKSASPQQLNDVERAVQVLEGQDGEGDPTSSDLIEGRWQLMFTTRPGTASPIQRTFVGVDFFSVFQEVYLRTNDPRVANIVKFSDWIGELKVEAAASIKDGKRILFQFDRAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSHSGNLRISRGNKGTTFVLQKRTDPRQKLLAAISTGTGVEEAIDEFISLSKSGAKDEPVLLEGEWQMIWSSQIETDSWLENAGNGLMGSQQIRHKNI >KJB23319 pep chromosome:Graimondii2_0_v6:4:13212298:13218148:-1 gene:B456_004G092800 transcript:KJB23319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MALIENLNLWPPSNLQRNLALNSPPKLFNFKPSATHSIRKTLHTCRCSLVNEQQQQQASFTDQEKQLIDALIGIQGRGKSASPQQLNDVERAVQVLEGQDGEGDPTSSDLIEGRWQLMFTTRPGTASPIQRTFVGVDFFSVFQEVYLRTNDPRVANIVKFSDWIGELKVEAAASIKDGKRILFQFDRAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSHSGNLRISRGNKGTTFVLQKRTDPRQKLLAAISTGTGVEEAIDEFISLSKSGAKDEPVLLEGEWQMIWSSQIETDSWLENAGNGLMGSQIVKNEQMKFLVNILPGIRFSMIGKFVKSGTKTYDVTMDDAALIGGPFGYPLEMETKINMELLYNDDKIRISKGYNNILFVHLRASDGSK >KJB23320 pep chromosome:Graimondii2_0_v6:4:13212705:13218074:-1 gene:B456_004G092800 transcript:KJB23320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MALIENLNLWPPSNLQRNLALNSPPKLFNFKPSATHSIRKTLHTCRCSLVNEQQQQQASFTDQEKQLIDALIGIQGRGKSASPQQLNDVERAVQVLEGQDGEGDPTSSDLIEGRWQLMFTTRPGTASPIQRTFVGVDFFSVFQEVYLRTNDPRVANIVKFSDWIGELKVEAAASIKDGKRILFQFDRAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSHSGNLRISRGNKGTTFVLQKRTDPRQKLLAAISTGTGVEEAIDEFISLSKSGAKDEPVLLEGEWQMIWSSQIETDSWLENAGNGLMGSQIVKNEQMKFLVNILPGIRFSMIGKFVKSGTKTYDVTMDDAALIGGPFGYPLEMETKINMELL >KJB23321 pep chromosome:Graimondii2_0_v6:4:13212318:13218132:-1 gene:B456_004G092800 transcript:KJB23321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MALIENLNLWPPSNLQRNLALNSPPKLFNFKPSATHSIRKTLHTCRCSLVNEQQQQQASFTDQEKQLIDALIGIQGRGKSASPQQLNDVERAVQVLEGQDGEGDPTSSDLIEGRWQLMFTTRPGTASPIQAAASIKDGKRILFQFDRAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSHSGNLRISRGNKGTTFVLQKRTDPRQKLLAAISTGTGVEEAIDEFISLSKSGAKDEPVLLEGEWQMIWSSQIETDSWLENAGNGLMGSQIVKNEQMKFLVNILPGIRFSMIGKFVKSGTKTYDVTMDDAALIGGPFGYPLEMETKINMELLYNDDKIRISKGYNNILFVHLRASDGSK >KJB25529 pep chromosome:Graimondii2_0_v6:4:51667272:51668245:-1 gene:B456_004G196300 transcript:KJB25529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVISWKSDGMVPVSAWLFKLACGAFFVGLDDLESVENRRGRSLSLEKWRRITDCERKCWNLTKYLIFEANFLIISAYCCA >KJB25674 pep chromosome:Graimondii2_0_v6:4:53277861:53280447:1 gene:B456_004G203500 transcript:KJB25674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHRVKCFLNNQLTIKQAKQIHAQILITSLNHLQPSLVHQFLLSTTNYSASVFVYVREILYHLQKPDAFSWGCTVRFLSQHGQFEEAFSLYVKMQKLGLYPSTHAVSSALRACARTGSKTGGISIHAQVHIYGVCNCVFVQTTLVDFYTKLGDMDTAKRVFNEMPEKNVVSWNSILSGYLKAGNLGEAQRVFDEMPKKDVISWNAILSGYAKMGNMDKTLSFFKQMPEKSFASWNTLISGYMERGEIESARRFFDMMHRRNNITWIIMIGGYSKCGDVKSALELFDEMPEKDHLAFNAMISCYAQNSEPKEALKLFDDMLKSGVNIQPDGVTLASVISACSQLGELRFGSWIESYINKHGIQMDDHMATALIDMHSKCGNVDKAYKLFNGLRKKDVVAYSAMIAGCAINGKAIDAIKLFQEMVEAQIQPNLATFTGLLTAYNHAGLVEEGYQCFNLMKDNGLVPSTDHHAIMVDLLGRAGKLEEAYKLIKSMPVKPHTGVWGALLQACSVHKNVEFGEIAAKHCFELEPGTGGYYSLLANIYASVGRWQDARRMRRNMDRKKLDKIPGCSWTEWT >KJB21616 pep chromosome:Graimondii2_0_v6:4:208812:213817:1 gene:B456_004G003300 transcript:KJB21616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSINGSASNLPDSSGRSFATSFSGSIQGLHNIHGSFNVPNLPGTLASRNSTLSNVPTGGVQQPTGSLSGGRFASNNLPVALSQLSHGSSHGHSGVTNRGGISIVGNPGFSSNSNGVGGSIPGILPTSVGIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRTLSSGGGLSVPGLASRLNLSGNSGSASLTVQGQNRLMSGVLPQGSPQVLSMLGNSYPTAGGPLSQSHVQAVNNLSSMGMLNDVNSNDNSPFDINNDFPQLTSRPNSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTMSMMQSQHFSMGRSAGFNLGGSYSSHRPQQQQQHAPSASGSGVSFSPGNNQDLLHLHGSDIFPSSHSSYHSQTNGPPGVGLRPLNSSNTVSGMGYDQLIQQYQQHQNPSQFRLQQISAVNQSFREPGMKSMQATQSNPDPFGLLGLQSVIKMTDPDLTSLALGIDLTTLGLNLNSSENLHKTFRSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFSVDALFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRSWFIRVPNVEPLVKTNTYERGSYHCFDPKSFETIRKDNFVVHYEMLEKRPALPQH >KJB21614 pep chromosome:Graimondii2_0_v6:4:208738:213927:1 gene:B456_004G003300 transcript:KJB21614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSINGSASNLPDSSGRSFATSFSGQSGAASPVFHHTGSIQGLHNIHGSFNVPNLPGTLASRNSTLSNVPTGGVQQPTGSLSGGRFASNNLPVALSQLSHGSSHGHSGVTNRGGLGVSPILGNAGPRITSSMGNMVGGGNIGRTLSSGGGLSVPGLASRLNLSGNSGSASLTVQGQNRLMSGVLPQGSPQVLSMLGNSYPTAGGPLSQSHVQAVNNLSSMGMLNDVNSNDNSPFDINNDFPQLTSRPNSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTMSMMQSQHFSMGRSAGFNLGGSYSSHRPQQQQQHAPSASGSGVSFSPGNNQDLLHLHGSDIFPSSHSSYHSQTNGPPGVGLRPLNSSNTVSGMGYDQLIQQYQQHQNPSQFRLQQISAVNQSFREPGMKSMQATQSNPDPFGLLGLQSVIKMTDPDLTSLALGIDLTTLGLNLNSSENLHKTFRSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFSVDALFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRSWFIRVPNVEPLVKTNTYERGSYHCFDPKSFETIRKDNFVVHYEMLEKRPALPQH >KJB21615 pep chromosome:Graimondii2_0_v6:4:208812:213817:1 gene:B456_004G003300 transcript:KJB21615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSINGSASNLPDSSGRSFATSFSGQSGAASPVFHHTGSIQGLHNIHGSFNVPNLPGTLASRNSTLSNVPTGGVQQPTGSLSGGRFASNNLPVALSQLSHGSSHGHSGVTNRGGISIVGNPGFSSNSNGVGGSIPGILPTSVGIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRTLSSGGGLSVPGLASRLNLSGNSGSASLTVQGQNRLMSGVLPQGSPQVLSMLGNSYPTAGGPLSQSHVQAVNNLSSMGMLNDVNSNDNSPFDINNDFPQLTSRPNSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTMSMMQSQHFSMGRSAGFNLGGSYSSHRPQQQQQHAPSASGSGVSFSPGNNQDLLHLHGSDIFPSSHSSYHSQTNGPPGVGLRPLNSSNTVSGMGYDQLIQQYQQHQNPSQFRLQQISAVNQSFREPGMKSMQATQSNPDPFGLLGLQSVIKMTDPDLTSLALGIDLTTLGLNLNSSENLHKTFRSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFSVDALFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRSWFIRVPNVEPLVKTNTYERGSYHCFDPKSFETIRKDNFVVHYEMLEKRPALPQH >KJB21617 pep chromosome:Graimondii2_0_v6:4:209316:213847:1 gene:B456_004G003300 transcript:KJB21617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFCCLFFAGSIQGLHNIHGSFNVPNLPGTLASRNSTLSNVPTGGVQQPTGSLSGGRFASNNLPVALSQLSHGSSHGHSGVTNRGGISIVGNPGFSSNSNGVGGSIPGILPTSVGIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRTLSSGGGLSVPGLASRLNLSGNSGSASLTVQGQNRLMSGVLPQGSPQVLSMLGNSYPTAGGPLSQSHVQAVNNLSSMGMLNDVNSNDNSPFDINNDFPQLTSRPNSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTMSMMQSQHFSMGRSAGFNLGGSYSSHRPQQQQQHAPSASGSGVSFSPGNNQDLLHLHGSDIFPSSHSSYHSQTNGPPGVGLRPLNSSNTVSGMGYDQLIQQYQQHQNPSQFRLQQISAVNQSFREPGMKSMQATQSNPDPFGLLGLQSVIKMTDPDLTSLALGIDLTTLGLNLNSSENLHKTFRSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFSVDALFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRSWFIRVPNVEPLVKTNTYERGSYHCFDPKSFETIRKDNFVVHYEMLEKRPALPQH >KJB23266 pep chromosome:Graimondii2_0_v6:4:15045867:15048138:-1 gene:B456_004G096000 transcript:KJB23266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVNGGVPMAFAGTEAPAAYGELISIGGLGPSVNAKLSSTVADILQTKLSIDSSRFYIKFYDVQRSFFGFNGSTF >KJB23265 pep chromosome:Graimondii2_0_v6:4:15045823:15048515:-1 gene:B456_004G096000 transcript:KJB23265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLNLYTNVPVDAVTTSDILKDATKAVAKIIGKPESYVMILVNGGVPMAFAGTEAPAAYGELISIGGLGPSVNAKLSSTVADILQTKLSIDSSRFYIKFYDVQRSFFGFNGSTF >KJB23267 pep chromosome:Graimondii2_0_v6:4:15046451:15048441:-1 gene:B456_004G096000 transcript:KJB23267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLNLYTNVPVDAVTTSDILKDATKAVAKIIGKPESYVMILVNGGVPMAFAGTEAPAAYGELISIGGLGPSVNAKLSSTVADILQTKLSIDSSRFYIKFYDVQVTET >KJB26521 pep chromosome:Graimondii2_0_v6:4:58265197:58268885:-1 gene:B456_004G245500 transcript:KJB26521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKSLIAKDVTELIGNTPLVYLNNVVDGCVAKIAAKLEAMEPCSSVKDRIGYSMIADAEEKGLIVPGESVLIEPTSGNTGIGLAFMAAAKGYRLIITMPSSMSLERRMVLRAFGAELVLTDPARGMKGAVQKAEEIMSKTPNSYILQQFENPANPKIHYLTTGPEIWKGSGGKVDVLVSGIGTGGTVTGAGKYLKEQNPDIKLVGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVNLIDEVVQISSEVAIETAKQLALKEGLLVGISSGAAAAAAIEIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAESMVFEP >KJB26526 pep chromosome:Graimondii2_0_v6:4:58265560:58268515:-1 gene:B456_004G245500 transcript:KJB26526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKSLIAKDVTELIGNTPLVYLNNVVDGCVAKIAAKLEAMEPCSSVKDRIGYSMIADAEEKGLIVPGESVLIEPTSGNTGIGLAFMAAAKGYRLIITMPSSMSLERRMVLRAFGAELVLTDPARGMKGAVQKAEEIMSKTPNSYILQQFENPANPKIHYLTTGPEIWKGSGGKVDVLVSGIGTGGTVTGAGKYLKEQNPDIKLVGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVNLIDEVVQISSEVAIETAKQLALKEGLLVGISSGAAAAAAIEIAKRPENAGKLIVVTLESDTCLPCCSSP >KJB26528 pep chromosome:Graimondii2_0_v6:4:58266871:58269109:-1 gene:B456_004G245500 transcript:KJB26528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKSLIAKDVTELIGNTPLVYLNNVVDGCVAKIAAKLEAMEPCSSVKDRIGYSMIADAEEKGLIVPGESVLIEPTSGNTGIGLAFMAAAKGYRLIITMPSSMSLERRMVLRAFGAELVLTDPARGMKGAVQKAEEIMSKTPNSYILQQFENPANPKIHYLTTGPEIWKGSGGKVDVLVSGIGTGGTVTGAGKYLKEQNPDIKLVGVEPVESAVLSGGKPGKLFFYKL >KJB26522 pep chromosome:Graimondii2_0_v6:4:58265227:58269109:-1 gene:B456_004G245500 transcript:KJB26522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKSLIAKDVTELIGNTPLVYLNNVVDGCVAKIAAKLEAMEPCSSVKDRIGYSMIADAEEKGLIVPGESVLIEPTSGNTGIGLAFMAAAKGYRLIITMPSSMSLERRMVLRAFGAELVLTDPARGMKGAVQKAEEIMSKTPNSYILQQFENPANPKIHYLTTGPEIWKGSGGKVDVLVSGIGTGGTVTGAGKYLKEQNPDIKLVGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVNLIDEVVQISSEVAIETAKQLALKEGLLVGISSGAAAAAAIEIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAESMVFEP >KJB26525 pep chromosome:Graimondii2_0_v6:4:58265208:58269109:-1 gene:B456_004G245500 transcript:KJB26525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKSLIAKDVTELIGNTPLVYLNNVVDGCVAKIAAKLEAMEPCSSVKDRIGYSMIADAEEKGLIVPGESVLIEPTSGNTGIGLAFMAAAKGYRLIITMPSSMSLERRMVLRAFGAELVLTDPARGMKGAVQKAEEIMSKTPNSYILQQFENPANPKIHYLTTGPEIWKGSGGKVDVLVSGIGTGGTVTGAGKYLKEQNPDIKLVGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVNLIDEVVQISSEVAIETAKQLALKEGLLVRYIIWCCRRRRD >KJB26523 pep chromosome:Graimondii2_0_v6:4:58265208:58269109:-1 gene:B456_004G245500 transcript:KJB26523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKSLIAKDVTELIGNTPLVYLNNVVDGCVAKIAAKLEAMEPCSSVKDRIGYSMIADAEEKGLIVPGESVLIEPTSGNTGIGLAFMAAAKGYRLIITMPSSMSLERRMVLRAFGAELVLTDPARGMKGAVQKAEEIMSKTPNSYILQQFENPANPKIHYLTTGPEIWKGSGGKVDVLVSGIGTGGTVTGAGKYLKEQNPDIKLVGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVNLIDEVVQISSEVAIETAKQLALKEGLLVGISSGAAAAAAIEIAKRPENAGKLIVLWRAILVFRAVRVRETGSREYGV >KJB26524 pep chromosome:Graimondii2_0_v6:4:58266309:58268515:-1 gene:B456_004G245500 transcript:KJB26524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKSLIAKDVTELIGNTPLVYLNNVVDGCVAKIAAKLEAMEPCSSVKDRIGYSMIADAEEKGLIVPGESVLIEPTSGNTGIGLAFMAAAKGYRLIITMPSSMSLERRMVLRAFGAELVLTDPARGMKGAVQKAEEIMSKTPNSYILQQFENPANPKIHYLTTGPEIWKGSGGKVDVLVSGIGTGGTVTGAGKYLKEQNPDIKLVGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVNLIDEVVQVNIK >KJB26527 pep chromosome:Graimondii2_0_v6:4:58265194:58269158:-1 gene:B456_004G245500 transcript:KJB26527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKSLIAKDVTELIGNTPLVYLNNVVDGCVAKIAAKLEAMEPCSSVKDRIGYSMIADAEEKGLIVPGESVLIEPTSGNTGIGLAFMAAAKGYRLIITMPSSMSLERRMVLRAFGAELVLTDPARGMKGAVQKAEEIMSKTPNSYILQQFENPANPKIHYLTTGPEIWKGSGGKVDVLVSGIGTGGTVTGAGKYLKEQNPDIKLVGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVNLIDEVVQISSEVAIETAKQLALKEGLLVGISSGAAAAAAIEIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAESMVFEP >KJB24725 pep chromosome:Graimondii2_0_v6:4:44772985:44776208:1 gene:B456_004G158500 transcript:KJB24725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIACCCPVLECVYCLACARWAWQKCLYTAGHESEHWGLATVEEFEPVPRLCRLILAVYEDDLHNPLWAPPGGYGINPDWVFLRRNDQETEGRAPPYMIYLDHENADIVFAVRGLNLAKESDYAVLLDNKLGQTKFDGGYVHNGLLKAAEWVFDAECEVLRELLKKNPSYTLTFAGHSLGAGLVALLVMVAVQNRSKLGITERNRFRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTTTALEDVFKSLFCLPCLLCLMCLKDTCTMEEKMLKDPRRLYAPGRLYHIVERRPCRIGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIERESQRALDLMVEKDGVMRVPAKQKMVRHVSLAREHSEEHKAALQRAVALDIPQAYSPSTYGTFHEMEGAGSSGGSSERGF >KJB24387 pep chromosome:Graimondii2_0_v6:4:40354592:40362517:-1 gene:B456_004G142900 transcript:KJB24387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGGVVREKKKKFPGIICGEKMAAEGTLSFSVASVVKDVLEHGNRSKDSDLESRKAELTASRRYEAARWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVLNKVQPGAVPKVVESPCDAALIPDGAALSVFQYFENVRNFLIAGQELRLPTFEASDLEQRGKSTRVVNCVLALKSYNEWKLAGGNGVWKFGGNTKPATTSLGKPFVRKNSEPFMNSFQKTSSMNENLSNGHPPDIDPNTMGGAGSLSMLVHTILIDKKPEEVPLLVESLLGKVVEEFEQRLASQYEVMKTTSTDITASNFNKPLSEPISGDKKMEEKNIKMMKKDDCFLKSITNDELKDQSLKLQMILNKQQRDIQVLKHTIDATTADMQHMQTKFHEEFNNLGMNIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSERSSYVSTVEHIEDGNITIGTPSKYGKGCKSFTFNKVFGQSVTQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMVGPKDLTEENQGVNYRALGDLFVLAERRKDTFRYDVAVQMIEIYNEQVRDLLVIDGSNKRLEIRNSSQTGLNVPDASLMPVSSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGKDLTSGTILRGCMHLVDLAGSERVNKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNTHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELDALAETLSTLKFAERVATVELGAAQVNKDTADVKQLKEQIASLKAALARKEGETEQSRHSISDTDCSEKYITSSDLFPFTPNHRVGDMLGARQLMGDAGNIEARANSMLRQEKQSFSLDELLTNSPPWPPVISPTQNFRDDEKESGSGEWVDKVMVNKQDNINRVGIPLGCWEAENENSPDVFYQKYLQNSSKIYQEQSSYNMFMGGNRFNMANISDIDDLDVSTSHLSEPDFLWQFDQSKLSCITNGIGIGSKTKKPPSKSTSTPERR >KJB24386 pep chromosome:Graimondii2_0_v6:4:40355474:40362517:-1 gene:B456_004G142900 transcript:KJB24386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGGVVREKKKKFPGIICGEKMAAEGTLSFSVASVVKDVLEHGNRSKDSDLESRKAELTASRRYEAARWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVLNKVQPGAVPKVVESPCDAALIPDGAALSVFQYFENVRNFLIAGQELRLPTFEASDLEQRGKSTRVVNCVLALKSYNEWKLAGGNGVWKFGGNTKPATTSLGKPFVRKNSEPFMNSFQKTSSMNENLSNGHPPDIDPNTMGGAGSLSMLVHTILIDKKPEEVPLLVESLLGKVVEEFEQRLASQYEVMKTTSTDITASNFNKPLSEPISGDKKMEEKNIKMMKKDDCFLKSITNDELKDQSLKLQMILNKQQRDIQVLKHTIDATTADMQHMQTKFHEEFNNLGMNIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSERSSYVSTVEHIEDGNITIGTPSKYGKGCKSFTFNKVFGQSVTQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMVGPKDLTEENQGVNYRALGDLFVLAERRKDTFRYDVAVQMIEIYNEQVRDLLVIDGSNKRLEIRNSSQTGLNVPDASLMPVSSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGKDLTSGTILRGCMHLVDLAGSERVNKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNTHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELDALAETLSTLKFAERVATVELGAAQVNKDTADVKQLKEQVILFLVTSTYWSR >KJB24388 pep chromosome:Graimondii2_0_v6:4:40354087:40362848:-1 gene:B456_004G142900 transcript:KJB24388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGTLSFSVASVVKDVLEHGNRSKDSDLESRKAELTASRRYEAARWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVLNKVQPGAVPKVVESPCDAALIPDGAALSVFQYFENVRNFLIAGQELRLPTFEASDLEQRGKSTRVVNCVLALKSYNEWKLAGGNGVWKFGGNTKPATTSLGKPFVRKNSEPFMNSFQKTSSMNENLSNGHPPDIDPNTMGGAGSLSMLVHTILIDKKPEEVPLLVESLLGKVVEEFEQRLASQYEVMKTTSTDITASNFNKPLSEPISGDKKMEEKNIKMMKKDDCFLKSITNDELKDQSLKLQMILNKQQRDIQVLKHTIDATTADMQHMQTKFHEEFNNLGMNIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSERSSYVSTVEHIEDGNITIGTPSKYGKGCKSFTFNKVFGQSVTQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMVGPKDLTEENQGVNYRALGDLFVLAERRKDTFRYDVAVQMIEIYNEQVRDLLVIDGSNKRLEIRNSSQTGLNVPDASLMPVSSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGKDLTSGTILRGCMHLVDLAGSERVNKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNTHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELDALAETLSTLKFAERVATVELGAAQVNKDTADVKQLKEQIASLKAALARKEGETEQSRHSISDTDCSEKYITSSDLFPFTPNHRVGDMLGARQLMGDAGNIEARANSMLRQEKQSFSLDELLTNSPPWPPVISPTQNFRDDEKESGSGEWVDKVMVNKQDNINRVGIPLGCWEAENENSPDVFYQKYLQNSSKIYQEQSSYNMFMGGNRFNMANISDIDDLDVSTSHLSEPDFLWQFDQSKLSCITNGIGIGSKTKKPPSKSTSTPERSKNAYPISGPSPSRKLGNGVGQPLHRNGRQPVPAEGKRKIGSRK >KJB24385 pep chromosome:Graimondii2_0_v6:4:40354087:40362517:-1 gene:B456_004G142900 transcript:KJB24385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTSTDITASNFNKPLSEPISGDKKMEEKNIKMMKKDDCFLKSITNDELKDQSLKLQMILNKQQRDIQVLKHTIDATTADMQHMQTKFHEEFNNLGMNIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSERSSYVSTVEHIEDGNITIGTPSKYGKGCKSFTFNKVFGQSVTQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMVGPKDLTEENQGVNYRALGDLFVLAERRKDTFRYDVAVQMIEIYNEQVRDLLVIDGSNKRLEIRNSSQTGLNVPDASLMPVSSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGKDLTSGTILRGCMHLVDLAGSERVNKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNTHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELDALAETLSTLKFAERVATVELGAAQVNKDTADVKQLKEQIASLKAALARKEGETEQSRHSISDTDCSEKYITSSDLFPFTPNHRVGDMLGARQLMGDAGNIEARANSMLRQEKQSFSLDELLTNSPPWPPVISPTQNFRDDEKESGSGEWVDKVMVNKQDNINRVGIPLGCWEAENENSPDVFYQKYLQNSSKIYQEQSSYNMFMGGNRFNMANISDIDDLDVSTSHLSEPDFLWQFDQSKLSCITNGIGIGSKTKKPPSKSTSTPERSKNAYPISGPSPSRKLGNGVGQPLHRNGRQPVPAEGKRKIGSRK >KJB24384 pep chromosome:Graimondii2_0_v6:4:40354087:40362517:-1 gene:B456_004G142900 transcript:KJB24384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSERSSYVSTVEHIEDGNITIGTPSKYGKGCKSFTFNKVFGQSVTQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMVGPKDLTEENQGVNYRALGDLFVLAERRKDTFRYDVAVQMIEIYNEQVRDLLVIDGSNKRLEIRNSSQTGLNVPDASLMPVSSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGKDLTSGTILRGCMHLVDLAGSERVNKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNTHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELDALAETLSTLKFAERVATVELGAAQVNKDTADVKQLKEQIASLKAALARKEGETEQSRHSISDTDCSEKYITSSDLFPFTPNHRVGDMLGARQLMGDAGNIEARANSMLRQEKQSFSLDELLTNSPPWPPVISPTQNFRDDEKESGSGEWVDKVMVNKQDNINRVGIPLGCWEAENENSPDVFYQKYLQNSSKIYQEQSSYNMFMGGNRFNMANISDIDDLDVSTSHLSEPDFLWQFDQSKLSCITNGIGIGSKTKKPPSKSTSTPERSKNAYPISGPSPSRKLGNGVGQPLHRNGRQPVPAEGKRKIGSRK >KJB24777 pep chromosome:Graimondii2_0_v6:4:44940536:44944832:-1 gene:B456_004G159800 transcript:KJB24777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSIPTTSSGLRSSATMPRRNIPTPLPLVVSLNCVEDCVLEQESLAGVSLFEHVPLSRLADGKIEAAAAVLLHSLAYLPRAAQRRLRPYQLILCLGSSDRAVDSALAADLGLRLVHVDVSRAEEIADTVMALFLGLLRRTHLLSRHALSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASARSLASRSLAFRMSVLYFDVVEENGKVSRSSIRFPPAARRMDTLNDLLAASDLISLHCALTNETVQIINSECLQHVKPGAFLVNTGSSQLLDDCALKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEAWMEIREKAISMLQSFFFDGVIPKDAISDEDEEESEIVDEKGQFSIQDKESALQGSCAEQLINEIQQSPESSLKKDSNQSKQSNQNPSPGLPHNIAAKSEGRRSRSGKKAKKRQARQKTLQKSDEPLILEKESTSQREDDTAMSGTDQALSSGSQSPEGSRSRKTPIELMQVSTSDRLLKTSKKLSELSGDSLKDGYIIALYARVCPALHVSRQRVKGGGWFLDTMSNVTKRDPAAQFLVVYRNKETIGLRSCAAGGKLLQINRRMEFVFASHSFDVWESWTLQGPLEECRLVNCRNPSAVLDVRIEILAAIGEDDGVTRWLD >KJB24778 pep chromosome:Graimondii2_0_v6:4:44941097:44944832:-1 gene:B456_004G159800 transcript:KJB24778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSIPTTSSGLRSSATMPRRNIPTPLPLVVSLNCVEDCVLEQESLAGVSLFEHVPLSRLADGKIEAAAAVLLHSLAYLPRAAQRRLRPYQLILCLGSSDRAVDSALAADLGLRLVHVDVSRAEEIADTVMALFLGLLRRTHLLSRHALSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASARSLASRSLAFRMSVLYFDVVEENGKVSRSSIRFPPAARRMDTLNDLLAASDLISLHCALTNETVQIINSECLQHVKPGAFLVNTGSSQLLDDCALKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEAWMEIREKAISMLQSFFFDGVIPKDAISDEDEEESEIVDEKGQFSIQDKESALQGSCAEQLINEIQQSPESSLKKDSNQSKQSNQNPSPGLPHNIAAKSEGRRSRSGKKAKKRQARQKTLQKSDEPLILEKESTSQREDDTAMSGTDQALSSGSQSPEGSRSRKTPIELMQVSTSDRLLKTSKKLSELSGDSLKDGYIIALYARVCPALHVSRQRVKGGGWFLDTMSNVTKRDPAAQFLVVYRNKVCWQELVTFAIGPYFLP >KJB26472 pep chromosome:Graimondii2_0_v6:4:58111504:58114918:-1 gene:B456_004G243500 transcript:KJB26472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKELDSMSLSELRLLSAFLAMETTDSLLCVARECGGGKLTEKVQSFIWDHCLTKAVGKGYESYSKKFVKKLITEIESNHGNVLDELYEQYASYMISFKDDNPVKENERVCKCISFLFPDCFKLSICPKSRKLVVPLQCSLNMLEGDTGCSVWPSSLFLSELILSYPHIFSGKSCFEVGSGVGLVGICLAHVKASKVILSDGDLSTLANMKLNLEKNRLNTETNLPEPSIENQNVVKCIHLPWESASEKELQNFMPEIILGADVIYDPSCLPHLVKVLAILLNKKKSYIENREGSIPNSFPGDSKVNDAHDLDASSFHAQRINTSEVKGIINNAVDLVSRADPVAYIASVIRNADTFDRFLALADQADLRIKDLTSTLRPFDLLPYMKSYDRSSIRLFTVT >KJB26470 pep chromosome:Graimondii2_0_v6:4:58111686:58114048:-1 gene:B456_004G243500 transcript:KJB26470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESANAFLFFSLVVTDTTYLLLVLRAFTVYLHQLTFNCLLDHIDCFKLSICPKSRKLVVPLQCSLNMLEGDTGCSVWPSSLFLSELILSYPHIFSGKSCFEVGSGVGLVGICLAHVKASKVILSDGDLSTLANMKLNLEKNRLNTETNLPEPSIENQNVVKCIHLPWESASEKELQNFMPEIILGADVIYDPSCLPHLVKVLAILLNKKKSYIENREGSIPNSFPGDSKVNDAHDLDASSFHAQRINTSEVKGIINNAVDLVSRADPVAYIASVIRNADTFDRFLALADQADLRIKDLTSTLRPFDLLPYMKSYDRSSIRLFTVT >KJB26469 pep chromosome:Graimondii2_0_v6:4:58111504:58114830:-1 gene:B456_004G243500 transcript:KJB26469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESANAFLFFSLVVTDTTYLLLVLRAFTVYLHQLTFNCLLDHIDCFKLSICPKSRKLVVPLQCSLNMLEGDTGCSVWPSSLFLSELILSYPHIFSGKSCFEVGSGVGLVGICLAHVKASKVILSDGDLSTLANMKLNLEKNRLNTETNLPEPSIENQNVVKCIHLPWESASEKELQNFMPEIILGADVIYDPSCLPHLVKVLAILLNKKKSYIENREGSIPNSFPGDSKVNDAHDLDASSFHAQRINTSEVKGIINNAVDLVSRADPVAYIASVIRNADTFDRFLALADQADLRIKDLTSTLRPFDLLPYMKSYDRSSIRLFTVT >KJB26471 pep chromosome:Graimondii2_0_v6:4:58111504:58114847:-1 gene:B456_004G243500 transcript:KJB26471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFKDDNPVKENERVCKCISFLFPDCFKLSICPKSRKLVVPLQCSLNMLEGDTGCSVWPSSLFLSELILSYPHIFSGKSCFEVGSGVGLVGICLAHVKASKVILSDGDLSTLANMKLNLEKNRLNTETNLPEPSIENQNVVKCIHLPWESASEKELQNFMPEIILGADVIYDPSCLPHLVKVLAILLNKKKSYIENREGSIPNSFPGDSKVNDAHDLDASSFHAQRINTSEVKGIINNAVDLVSRADPVAYIASVIRNADTFDRFLALADQADLRIKDLTSTLRPFDLLPYMKSYDRSSIRLFTVT >KJB24088 pep chromosome:Graimondii2_0_v6:4:33487487:33502413:-1 gene:B456_004G127300 transcript:KJB24088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSLTAVRSWRTAFLTLRDETLTSPPSIPQLVQSLIFSHSHSSLISAASDLPAHEVTSDLLFLIQLVANASQFQHDLVHTFSNTCRLIHDVSHRVSLDINTSSWALLLDSSTKIIDHFLAKATSSASLYKPTLECLGTLRYLVSENQRKCSLPDDIQLVNVLLHIIARSHTDLISLYSSSRNQKSAIEMGKKLQRNGSLWEVLTASFTMLGELYSRSGSSFPVDIWQSTIQVFRKMMDLLASKNLVVEDIIMSRFYASLLHCLHLVLLDPKGSLSEHVSSFVASLRMFFVYGLTSGNQVICAAVSSKEKEFGSPRLKLTLEEPKQTNSTPYRPPHLRKKDNLNTRQAKALDPQSSSDQISSMVDVTSSDSDYSDSDGSLKDINDSRCSKIRVSAIVCIQDLCQADPKSFTSQWTMLLPTNDVLQPRKFEATLMASLLFDPYLKARMASASALAVMMDGPATVFLQVAEYKESAKLGSFMALSSSLGQILMQLHTGTLYLIQHETNSRLLVLVFKILMLLISSTPYSRMPGELLPKVILSLQARIEAGFPFKSDQTGLQAAAISCLTTALSVSPSIQVKEMILKELSTGFVEADKKSGVFLTLLKHCERLSNPTVCFEALQALRAVSHNYPDLMLVCWGKISAIVYKFLREGNAEVATKSWKELAGNTALFVGEKIVTAAIKVLDECLRAISGFRGTEDLSEENFLDSPFTSDCIRTKKVSSAPSYGPRSPEDVKEERNTFPSGLQQWAETIEKLMPLILWHTSAMVRTASVTCFAGITSSVFFSLLKENQDFIVSSLISAAEHDKVPSVRSAACRAIGVVSCFQKASASAGNLGKFIHAVEINTRDSMVSVRIPASWALANICDSIRHFVDDVPLKHSTDSETNFHLVDLLIECALRLTKDGDKVTMLPARNDLNAFDGGVITSSYPASLKDLHWLERMVQAFISCVTTGNVKVQWNVCHALSNMFLNKTIQLQDMDWAPSVFGILLLLLRDSSNFKIRIQAAAALAVPEAAVDYGKSFPDIVQGLEHVVENLGSDSISAPSSFKYRIALEKQSTSTLLHVLSLASATDHKPLKDFLVKVRFNRPYLTFTNAMNEFSAPLFVSLAYHFCFLK >KJB24086 pep chromosome:Graimondii2_0_v6:4:33487426:33502587:-1 gene:B456_004G127300 transcript:KJB24086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSLTAVRSWRTAFLTLRDETLTSPPSIPQLVQSLIFSHSHSSLISAASDLPAHEVTSDLLFLIQLVANASQFQHDLVHTFSNTCRLIHDVSHRVSLDINTSSWALLLDSSTKIIDHFLAKATSSASLYKPTLECLGTLRYLVSENQRKCSLPDDIQLVNVLLHIIARSHTDLISLYSSSRNQKSAIEMGKKLQRNGSLWEVLTASFTMLGELYSRSGSSFPVDIWQSTIQVFRKMMDLLASKNLVVEDIIMSRFYASLLHCLHLVLLDPKGSLSEHVSSFVASLRMFFVYGLTSGNQVICAAVSSKEKEFGSPRLKLTLEEPKQTNSTPYRPPHLRKKDNLNTRQAKALDPQSSSDQISSMVDVTSSDSDYSDSDGSLKDINDSRCSKIRVSAIVCIQDLCQADPKSFTSQWTMLLPTNDVLQPRKFEATLMASLLFDPYLKARMASASALAVMMDGPATVFLQVAEYKESAKLGSFMALSSSLGQILMQLHTGTLYLIQHETNSRLLVLVFKILMLLISSTPYSRMPGELLPKVILSLQARIEAGFPFKSDQTGLQAAAISCLTTALSVSPSIQVKEMILKELSTGFVEADKKSGVFLTLLKHCERLSNPTVCFEALQALRAVSHNYPDLMLVCWGKISAIVYKFLREGNAEVATKSWKELAGNTALFVGEKIVTAAIKVLDECLRAISGFRGTEDLSEENFLDSPFTSDCIRTKKVSSAPSYGPRSPEDVKEERNTFPSGLQQWAETIEKLMPLILWHTSAMVRTASVTCFAGITSSVFFSLLKENQDFIVSSLISAAEHDKVPSVRSAACRAIGVVSCFQKASASAGNLGKFIHAVEINTRDSMVSVRIPASWALANICDSIRHFVDDVPLKHSTDSETNFHLVDLLIECALRLTKDGDKVKSNAVRALGNLSRFVRYTSSYFDKKPVAKLGFSSTCNQVTMLPARNDLNAFDGGVITSSYPASLKDLHWLERMVQAFISCVTTGNVKVQWNVCHALSNMFLNKTIQLQDMDWAPSVFGILLLLLRDSSNFKIRIQAAAALAVPEAAVDYGKSFPDIVQGLEHVVENLGSDSISAPSSFKYRIALEKQSTSTLLHVLSLASATDHKPLKDFLVKKASFLEDWFKMLYSSLGETISQSDAVGSDSVGNRKKEMIAKAIQSIIEVYESTDQHTICQKFKKLNNSII >KJB24087 pep chromosome:Graimondii2_0_v6:4:33487473:33502587:-1 gene:B456_004G127300 transcript:KJB24087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSLTAVRSWRTAFLTLRDETLTSPPSIPQLVQSLIFSHSHSSLISAASDLPAHEVTSDLLFLIQLVANASQFQHDLVHTFSNTCRLIHDVSHRVSLDINTSSWALLLDSSTKIIDHFLAKATSSASLYKPTLECLGTLRYLVSENQRKCSLPDDIQLVNVLLHIIARSHTDLISLYSSSRNQKSAIEMGKKLQRNGSLWEVLTASFTMLGELYSRSGSSFPVDIWQSTIQVFRKMMDLLASKNLVVEDIIMSRFYASLLHCLHLVLLDPKGSLSEHVSSFVASLRMFFVYGLTSGNQVICAAVSSKEKEFGSPRLKLTLEEPKQTNSTPYRPPHLRKKDNLNTRQAKALDPQSSSDQISSMVDVTSSDSDYSDSDGSLKDINDSRCSKIRVSAIVCIQDLCQADPKSFTSQWTMLLPTNDVLQPRKFEATLMASLLFDPYLKARMASASALAVMMDGPATVFLQVAEYKESAKLGSFMALSSSLGQILMQLHTGTLYLIQHETNSRLLVLVFKILMLLISSTPYSRMPGELLPKVILSLQARIEAGFPFKSDQTGLQAAAISCLTTALSVSPSIQVKEMILKELSTGFVEADKKSGVFLTLLKHCERLSNPTVCFEALQALRAVSHNYPDLMLVCWGKISAIVYKFLREGNAEVATKSWKELAGNTALFVGEKIVTAAIKVLDECLRAISGFRGTEDLSEENFLDSPFTSDCIRTKKVSSAPSYGPRSPEDVKEERNTFPSGLQQWAETIEKLMPLILWHTSAMVRTASVTCFAGITSSVFFSLLKENQDFIVSSLISAAEHDKVPSVRSAACRAIGVVSCFQKASASAGNLGKFIHAVEINTRDSMVSVRIPASWALANICDSIRHFVDDVPLKHSTDSETNFHLVDLLIECALRLTKDGDKVTMLPARNDLNAFDGGVITSSYPASLKDLHWLERMVQAFISCVTTGNVKVQWNVCHALSNMFLNKTIQLQDMDWAPSVFGILLLLLRDSSNFKIRIQAAAALAVPEAAVDYGKSFPDIVQGLEHVVENLGSDSISAPSSFKYRIALEKQSTSTLLHVLSLASATDHKPLKDFLVKKASFLEDWFKMLYSSLGETISQSDAVGSDSVGNRKKEMIAKAIQSIIEVYESTDQHTICQKFKKLNNSII >KJB24089 pep chromosome:Graimondii2_0_v6:4:33488695:33502413:-1 gene:B456_004G127300 transcript:KJB24089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSLTAVRSWRTAFLTLRDETLTSPPSIPQLVQSLIFSHSHSSLISAASDLPAHEVTSDLLFLIQLVANASQFQHDLVHTFSNTCRLIHDVSHRVSLDINTSSWALLLDSSTKIIDHFLAKATSSASLYKPTLECLGTLRYLVSENQRKCSLPDDIQLVNVLLHIIARSHTDLISLYSSSRNQKSAIEMGKKLQRNGSLWEVLTASFTMLGELYSRSGSSFPVDIWQSTIQVFRKMMDLLASKNLVVEDIIMSRFYASLLHCLHLVLLDPKGSLSEHVSSFVASLRMFFVYGLTSGNQVICAAVSSKEKEFGSPRLKLTLEEPKQTNSTPYRPPHLRKKDNLNTRQAKALDPQSSSDQISSMVDVTSSDSDYSDSDGSLKDINDSRCSKIRVSAIVCIQDLCQADPKSFTSQWTMLLPTNDVLQPRKFEATLMASLLFDPYLKARMASASALAVMMDGPATVFLQVAEYKESAKLGSFMALSSSLGQILMQLHTGTLYLIQHETNSRLLVLVFKILMLLISSTPYSRMPGELLPKVILSLQARIEAGFPFKSDQTGLQAAAISCLTTALSVSPSIQVKEMILKELSTGFVEADKKSGVFLTLLKHCERLSNPTVCFEALQALRAVSHNYPDLMLVCWGKISAIVYKFLREGNAEVATKSWKELAGNTALFVGEKIVTAAIKVLDECLRAISGFRGTEDLSEENFLDSPFTSDCIRTKKVSSAPSYGPRSPEDVKEERNTFPSGLQQWAETIEKLMPLILWHTSAMVRTASVTCFAGITSSVFFSLLKENQDFIVSSLISAAEHDKVPSVRSAACRAIGVVSCFQKASASAGNLGKFIHAVEINTRDSMVSVRIPASWALANICDSIRHFVDDVPLKHSTDSETNFHLVDLLIECALRLTKDGDKVTMLPARNDLNAFDGGVITSSYPASLKDLHWLERMVQAFISCVTTGNVKVQWNVCHALSNMFLNKTIQLQDMDWAPSVFGILLLLLRDSSNFKIRIQAAAALAVPEAAVDYGKSFPDIVQGLEHVVENLGSDSISAPSSFKYRIALEKQVILCI >KJB26268 pep chromosome:Graimondii2_0_v6:4:57155558:57158301:-1 gene:B456_004G234000 transcript:KJB26268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQGEVVCVTGASGSIGSWVVKLLLARGYTVHGTVRNLKDEKETKHLEALEGADSRLRLFQIDLLDYDSLAAAINGCAGVFHLASPCSVDRVHDPQKELLDPAIKGTLNVLTAAKELGVKRVVVTSSISSMWPCPNWPADKIRTEDCWTDIEYCKQNELWYPISKTLAEKAAWEFSKEKGLDVVVVNPGTVMGPNIPPNLNASMVMLLRLLQGCTETYQDFFIGSVHFKDVALAHILVYENTSATGRHMCVEALSHYGDFVAKVAELYPEYNIPSLPRDTQPGLLRAKNGGKKLMDLGLEFIPMEQIIKDAVESLKSKGFIS >KJB26266 pep chromosome:Graimondii2_0_v6:4:57155398:57158301:-1 gene:B456_004G234000 transcript:KJB26266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQGEVVCVTGASGSIGSWVVKLLLARGYTVHGTVRNLKDEKETKHLEALEGADSRLRLFQIDLLDYDSLAAAINGCAGVFHLASPCSVDRVHDPQELLDPAIKGTLNVLTAAKELGVKRVVVTSSISSMWPCPNWPADKIRTEDCWTDIEYCKQNELWYPISKTLAEKAAWEFSKEKGLDVVVVNPGTVMGPNIPPNLNASMVMLLRLLQGCTETYQDFFIGSVHFKDVALAHILVYENTSATGRHMCVEALSHYGDFVAKVAELYPEYNIPSLPRDTQPGLLRAKNGGKKLMDLGLEFIPMEQIIKDAVESLKSKGFIS >KJB26269 pep chromosome:Graimondii2_0_v6:4:57155673:57158301:-1 gene:B456_004G234000 transcript:KJB26269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQGEVVCVTGASGSIGSWVVKLLLARGYTVHGTVRNLKDEKETKHLEALEGADSRLRLFQIDLLDYDSLAAAINGCAGVFHLASPCSVDRVHDPQKELLDPAIKGTLNVLTAAKELGVKRVVVTSSISSMWPCPNWPADKIRTEDCWTDIEYCKQNELWYPISKTLAEKAAWEFSKEKGLDVVVVNPGTVMGPNIPPNLNASMVMLLRLLQGCTETYQDFFIGSVHFKDVALAHILVAELYPEYNIPSLPRDTQPGLLRAKNGGKKLMDLGLEFIPMEQIIKDAVESLKSKGFIS >KJB26267 pep chromosome:Graimondii2_0_v6:4:57155398:57158301:-1 gene:B456_004G234000 transcript:KJB26267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQGEVVCVTGASGSIGSWVVKLLLARGYTVHGTVRNLKDEKETKHLEALEGADSRLRLFQIDLLDYDSLAAAINGCAGVFHLASPCSVDRVHDPQKELLDPAIKGTLNVLTAAKELGVKRVVVTSSISSMWPCPNWPADKIRTEDCWTDIEYCKQNELWYPISKTLAEKAAWEFSKEKGLDVVVVNPGTVMGPNIPPNLNASMVMLLRLLQGCTETYQDFFIGSVHFKDVALAHILVYENTSATGRHMCVEALSHYGDFVAKVAELYPEYNIPSLPRDTQPGLLRAKNGGKKLMDLGLEFIPMEQIIKDAVESLKSKGFIS >KJB23839 pep chromosome:Graimondii2_0_v6:4:28528463:28532174:-1 gene:B456_004G117500 transcript:KJB23839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVILHIYDVTNSGSDKTNNTIVHINKIFKDRIGLGGIFHSAIQVYGDDEWSFGFCEQGSGVFCCPSRKNPLYTYREFMVLGRTNSSVFKVNQILCELSREWPGTSYDLLSKNCNHFCDELCERLGVQKLPGCEARGNHILSFHLAGWVNRFANTGDAAIEMAENTALRFKQAKTEIVSASKVAYRFLVGVTSGSTAGDNPLRNSNSGSPRFQSAWFKNLVTAGAKPSNSSEIETQEGDVVLQHQRQHNSAHTLQQTCHNSERPLRQSSQQLEQTLRHNSL >KJB23840 pep chromosome:Graimondii2_0_v6:4:28528463:28532253:-1 gene:B456_004G117500 transcript:KJB23840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVILHIYDVTNSGSDKTNNTIVHINKIFKDRIGLGGIFHSAIQVYGDDEWSFGFCEQGSGVFCCPSRKNPLYTYREFMVLGRTNSSVFKVNQILCELSREWPGTSYDLLSKNCNHFCDELCERLGVQKLPGWVNRFANTGDAAIEMAENTALRFKQAKTEIVSASKVAYRFLVGVTSGSTAGDNPLRNSNSGSPRFQSAWFKNLVTAGAKPSNSSEIETQEGDVVLQHQRQHNSAHTLQQTCHNSERPLRQSSQQLEQTLRHNSL >KJB23199 pep chromosome:Graimondii2_0_v6:4:11252613:11256041:-1 gene:B456_004G086200 transcript:KJB23199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSVISPQRSKDFGKQEHGCDHYKRRCKILAPCCNKIFPCRHCHNETSNSLSNPKDHHDLVRQDIKQVICSICNTQQEVTQVCSHCGVNMGEYFCDICKFYDDDITKGQFHCNDCGICRVGGRDKFFHCEKCGSCYRVDLRDNHFCVENSMKSYCPICFEIDATRMPAEYQFEVSILCNDCNSTSMVQFHVLGLKCRQCNSYNTRRITAPANH >KJB23198 pep chromosome:Graimondii2_0_v6:4:11253641:11255933:-1 gene:B456_004G086200 transcript:KJB23198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSVISPQRSKDFGKQEHGCDHYKRRCKILAPCCNKIFPCRHCHNETSNSLSNPKDHHDLVRQDIKQVICSICNTQQEVTQVCSHCGVNMGEYFCDICKFYDDDITKGQFHCNDCGICRVGGRDKFFHCEKCGSCYRVDLRDNHFCVENSMKSYCPICFEYLFDSVKSTRIMKCGHTMHMECFSQMTMQNQYRCPICCKAVLDMSAFWEDLDMDV >KJB23193 pep chromosome:Graimondii2_0_v6:4:11253641:11255933:-1 gene:B456_004G086200 transcript:KJB23193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSVISPQRSKDFGKQEHGCDHYKRRCKILAPCCNKIFPCRHCHNETSNSLSNPKDHHDLVRQDIKQVICSICNTQQEVTQVCSHCGVNMGEYFCDICKFYDDDITKGQFHCNDCGICRVGGRDKFFHCEKCGSCYRVDLRDNHFCVENSMKSYCPICFEYLFDSVKSTRIMKCGHTMHMECFSQMTMQNQYRCPICCKAVLDMSAFWEDLDMDV >KJB23197 pep chromosome:Graimondii2_0_v6:4:11252613:11256041:-1 gene:B456_004G086200 transcript:KJB23197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSVISPQRSKDFGKQEHGCDHYKRRCKILAPCCNKIFPCRHCHNETSNSLSNPKDHHDLVRQDIKQVICSICNTQQEITKGQFHCNDCGICRVGGRDKFFHCEKCGSCYRVDLRDNHFCVENSMKSYCPICFEYLFDSVKSTRIMKCGHTMHMECFSQMTMQNQYRCPICCKAVLDMSAFWEDLDMDV >KJB23194 pep chromosome:Graimondii2_0_v6:4:11252466:11256100:-1 gene:B456_004G086200 transcript:KJB23194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSVISPQRSKDFGKQEHGCDHYKRRCKILAPCCNKIFPCRHCHNETSNSLSNPKDHHDLVRQDIKQVICSICNTQQEVTQVCSHCGVNMGEYFCDICKFYDDDITKGQFHCNDCGICRVGGRDKFFHCEKCGSCYRVDLRDNHFCVENSMKSYCPICFEYLFDSVKSTRIMKCGHTMHMECFSQMTMQNQYRCPICCKAVLDMSAFWEDLDMDIDATRMPAEYQFEVSILCNDCNSTSMVQFHVLGLKCRQCNSYNTRRITAPANH >KJB23196 pep chromosome:Graimondii2_0_v6:4:11253500:11255933:-1 gene:B456_004G086200 transcript:KJB23196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSVISPQRSKDFGKQEHGCDHYKRRCKILAPCCNKIFPCRHCHNETSNSLSNPKDHHDLVRQDIKQVICSICNTQQEVTQVCSHCGVNMGEYFCDICKFYDDDITKGQFHCNDCGICRVGGRDKFFHCEKCGSCYRVDLRDNHFCVENSMKSYCPICFEYLFDSVKSTRIMKCGHTMHMECFSQMTMQNQYRCPICCKAVLDMSAFWEDLDMDDV >KJB23192 pep chromosome:Graimondii2_0_v6:4:11252466:11256054:-1 gene:B456_004G086200 transcript:KJB23192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSVISPQRSKDFGKQEHGCDHYKRRCKILAPCCNKIFPCRHCHNETSNSLSNPKDHHDLVRQDIKQVICSICNTQQEVTQVCSHCGVNMGEYFCDICKFYDDDITKGQFHCNDCGICRVGGRDKFFHCEKCGSCYRVDLRDNHFCVENSMKSYCPICFEYLFDSVKSTRIMKCGHTMHMECFSQMTMQNQYRCPICCKAVLDMSAFWEDLDMDVSILCNDCNSTSMVQFHVLGLKCRQCNSYNTRRITAPANH >KJB23195 pep chromosome:Graimondii2_0_v6:4:11253641:11255933:-1 gene:B456_004G086200 transcript:KJB23195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSVISPQRSKDFGKQEHGCDHYKRRCKILAPCCNKIFPCRHCHNETSNSLSNPKDHHDLVRQDIKQVICSICNTQQEVTQVCSHCGVNMGEYFCDICKFYDDDITKGQFHCNDCGICRVGGRDKFFHCEKCGSCYRVDLRDNHFCVENSMKSYCPICFEYLFDSVKSTRIMKCGHTMHMECFSQMTMQNQYRCPICCKAVLDMSAFWEDLDMDV >KJB23191 pep chromosome:Graimondii2_0_v6:4:11252686:11255933:-1 gene:B456_004G086200 transcript:KJB23191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSVISPQRSKDFGKQEHGCDHYKRRCKILAPCCNKIFPCRHCHNETSNSLSNPKDHHDLVRQDIKQVICSICNTQQEVTQVCSHCGVNMGEYFCDICKFYDDDITKGQFHCNDCGICRVGGRDKFFHCEKCGSCYRVDLRDNHFCVENSMKSYCPICFEYLFDSVKSTRIMKCGHTMHMECFSQMTMQNQYRCPICCKAVLDMSAFWEDLDMDIDATRMPAEYQFEVVSILCNDCNSTSMVQFHVLGLKCRQCNSYNTRRITAPANH >KJB22762 pep chromosome:Graimondii2_0_v6:4:6522381:6525842:-1 gene:B456_004G064800 transcript:KJB22762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVGNRQFTGLEPALNGVYTPLKPRPTHTHRHMHRVFVDHDYESSSEDEDNEIENYKTMIIKSNSEVEPSILDPRDEATADNWIERNPSLVRLTGKHPFNSEPPLNRLMQHGFITPVPLHYVRNHGAVPKASWDDWTVEITGLVKRPIKLTMDQLVNEFQSREFPVTLVCAGNRRKEQNMIKPTVGFNWGAAGISTSLWRGVPLCDVLKRCGIYSKKHGALNVCFEGAEHLPGGGGCKYGTSIKKEISMDPSRDIILAYMQNGELLTPDHGFPVRIIIPGFNGGRMVKWLKRIIVTTKESDSFYYYRDNKVFPSHVDLQLANAEGWWYNPECAIDELNINSVITRPCHKEILPINSWTTRRPYTLRGYAYSGGGKEVKRVEVTMDGGETWQVCTVDHAEKPNKYGKFWCWCFWSLDVEVLDLLGAKEIAVRAWDETFNTQPEKLIWNLLGMMNNCWFRVKASENGMVFEHPTLPGNQSGGWMAEERHPENPTIKRSVSTPFMNTASRISMSEVKKHNSVDSAWIVVRGHIYDCTRFLKDHPGGMSSILINAGTNCTDEFDAIHSDEAKNMLEDYRIGELIMTGYVSDSAASSPNTSMHGASNISFLGPIKEVAATRPVALVPPEKIPCKLVDKTSISHDVRRFRFALPSEDQVLGLSVGKHIFLCATIDDKLCMRAYTPTSSIDEVGHFDLVVKIYFKGVHPKFPNGGLMSQYLDSLPLGSSLEVKGPMGHIQYTGRGNFLVHGKPKFAKKLAMLAGGTGITPIYQVIQAILKDPKDETEMYMVYANRTEDNILLKDELDDWAKKHDQLKVWYVKYLVQESIREGGQYSTGFIADSVLRDHIPEGSSDTLALACGPPPMIQFAVQQNLEKMNYDIKDSLLIF >KJB22843 pep chromosome:Graimondii2_0_v6:4:7282508:7286045:-1 gene:B456_004G069400 transcript:KJB22843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQPSSLLNHRFSISSADSYSRQLPCRIPKFILSRTPSFQKLPVMCSISQVHSYGTVDFERRPMVKWNALYKKISLMENPELGSASVLNEWEKGGRKLTKWELCRVVKELRKYKRFKQALEVYEWMNNRGERFRFSASDAAIQLDLISKVRGVSSAEDFFLQLSDTLKDKRIYGALLNAYVRARMQEKAESLIDNMRNKGYALHPLPFNVMMTLYMNLKEYDKVESMISEMMEKNIRLDIYSYNIWLSSCGSQGSVERMEQVYEQMKEDRSINPNWTTFSTMATMYIKMGLSEKAEECLRNVESRITGRDRIPYHYLITLYGTVGNKEEVYRIWKVYKSIFPSIPNLGYHAMISSLVRASDIEGAEKIYEEWLSVKTSYDPRIANLLMGLYVKEGNLGKAQSFFNHIADVGGKPNSSSWEILAEGNIQEERIDEALSCLKEAFATEGSRSWRPKPTNVSAFFNLCDEKEDTESREVVVGLLQQSGYLKNEAYASQIGLSDSAVESVLPTYSSGDENQDDDSEVLLNQLQGSA >KJB22844 pep chromosome:Graimondii2_0_v6:4:7282492:7286110:-1 gene:B456_004G069400 transcript:KJB22844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQPSSLLNHRFSISSADSYSRQLPCRIPKFILSRTPSFQKLPVMCSISQVHSYGTVDFERRPMVKWNALYKKISLMENPELGSASVLNEWEKGGRKLTKWELCRVVKELRKYKRFKQALEVYEWMNNRGERFRFSASDAAIQLDLISKVRGVSSAEDFFLQLSDTLKDKRIYGALLNAYVRARMQEKAESLIDNMRNKGYALHPLPFNVMMTLYMNLKEYDKVESMISEMMEKNIRLDIYSYNIWLSSCGSQGSVERMEQVYEQMKEDRSINPNWTTFSTMATMYIKMGLSEKAEECLRNVESRITGRDRIPYHYLITLYGTVGNKEEVYRIWKVYKSIFPSIPNLGYHAMISSLVRASDIEGAEKIYEEWLSVKTSYDPRIANLLMGLYVKEGNLGKAQSFFNHIADVGGKPNSSSWEILAEGNIQEERIDEALSCLKEAFATEGSRSWRPKPTNVSAFFNLCDEKEDTESREVVVGLLQQSGYLKNEAYASQIGLSDSAVESVLPTYSSGDENQDDDSEVLLNQLQGSA >KJB22842 pep chromosome:Graimondii2_0_v6:4:7282969:7285973:-1 gene:B456_004G069400 transcript:KJB22842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQPSSLLNHRFSISSADSYSRQLPCRIPKFILSRTPSFQKLPVMCSISQVHSYGTVDFERRPMVKWNALYKKISLMENPELGSASVLNEWEKGGRKLTKWELCRVVKELRKYKRFKQALEVYEWMNNRGERFRFSASDAAIQLDLISKVRGVSSAEDFFLQLSDTLKDKRIYGALLNAYVRARMQEKAESLIDNMRNKGYALHPLPFNVMMTLYMNLKEYDKVESMISEMMEKNIRLDIYSYNIWLSSCGSQGSVERMEQVYEQMKEDRSINPNWTTFSTMATMYIKMGLSEKAEECLRNVESRITGRDRIPYHYLITLYGTVGNKEEVYRIWKVYKSIFPSIPNLGYHAMISSLVRASDIEGAEKIYEEWLSVKTSYDPRIANLLMGLYVKEGNLGKAQSFFNHIADVGGKPNSSSWEILAEGNIQEERIDEALSCLKEAFATEGSRSWRPKPTNVSAFFNLCDEKEDTESREVVVGLLQQSGYLKNEAYASQIGLSDSAVESVLPTYSSGDENQDDDSEVLLNQLQGSA >KJB26271 pep chromosome:Graimondii2_0_v6:4:57202902:57205285:-1 gene:B456_004G234200 transcript:KJB26271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHVLSAKPCTFYLFSCILLIFAFLASFASAAIHYHEFVIEAKPVKRLCRTHSTITVNGQYPGPTLEVRDGDTLVIKAINKARYNVTLHWHGVRQLRNPWADGPEYVTQCPIQPGRSYTYQFTIQNQEGTLWWHAHSKWLRATVYGALIIYPKLGSPYPFPMPKREMPVILGEWWDRNPLDVLKLALFTGGAPNVSDAYTINGQPGDLYRCSSKETAIYPVEAGETILLRIINSALNQELYFGVANHKLTVVAVDASYTKPFTTNVIMIAPGQTTDVLLTADQPPARYYMAAHAYNTANAAFDNTTTTAILEYESAHYSAKGGKYLKPIFPQLPGFNDTATATAFTAQLRSPCKVKVPIEIDESLFFTVGLGLINCKNKNSPRCQGPNGTRFAASINNVSFVFPRSTSLMQAYYQGQPGVFTTDFPPVPPIQFDYTGNVSRGLWQPVKGTKLVKLKFGSKVQIVFQDTSIVTVEDHPMHIHGYDFYVVGSGFGNFDPKNDPAKFNLVDPPRRNTIGTPPGGWVAIRFVADNPGIWLLHCHLDTHLPWGLATALLVENGVGKLQTVQPPPLDLPQC >KJB27040 pep chromosome:Graimondii2_0_v6:4:60839097:60844467:1 gene:B456_004G273800 transcript:KJB27040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDICESERRLPKLAAVDTTRPPLVPAEKNNAPLTTRQPRTREVSSRFKAPSPSTTFGPRRCPSPNLTRATSTPSQLPQNRAVSAERKRPSTPPSPRSPSTPVHDSSIAVQIPSRRLSTGRTPESLWPSTMRSLSVSFQSDTISIPVSKKEKEKPVTNVSLDRTLRPSSNVSHRQQSEKSTLSRKPTPERKSSPLKGKNAPDQSENAKPVEGLPGRLIDQHRWPSRIRGKLSSNSLNGSVDLGDNRIVKSLSTPVPGTGLSSPKRMPMSDSSGKPLKKFANDTTSLLSLSEISRIRPEVISIDDKSLRVSGPARLLSASSLDKMALATHALKSLSLSAPGSRPHTPNRTSVSRGASPSRARPSTPPPRGVSATTRGVSPSRMRTSTLSSQSHSSTSVLSFIADIKKGRKGANYIEDAHQLRLLYNRYLQWRFANARAEAVLYIQKVTVEEILYNVWKATLGLWDAVIKKKINLQQLKLELKLNTVLNDQMGYLNNWALLERDHISSLAGAVEDLEASTLRLPVAGGARISNH >KJB27037 pep chromosome:Graimondii2_0_v6:4:60839078:60844555:1 gene:B456_004G273800 transcript:KJB27037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDICESERRLPKLAAVDTTRPPLVPAEKNNAPLTTRQPRTREVSSRFKAPSPSTTFGPRRCPSPNLTRATSTPSQLPQNRAVSAERKRPSTPPSPRSPSTPVHDSSIAVQIPSRRLSTGRTPESLWPSTMRSLSVSFQSDTISIPVSKKEKEKPVTNVSLDRTLRPSSNVSHRQQSEKSTLSRKPTPERKSSPLKGKNAPDQSENAKPVEGLPGRLIDQHRWPSRIRGKLSSNSLNGSVDLGDNRIVKSLSTPVPGTGLSSPKRMPMSDSSGKPLKKFANDTTSLLSLSEISRIRPEVISIDDKSLRVSGPARLLSASSLDKMALATHALKSLSLSAPGSRPHTPNRTSVSRGASPSRARPSTPPPRGVSATTRGVSPSRMRTSTLSSQSHSSTSVLSFIADIKKGRKGANYIEDAHQLRLLYNRYLQWRFANARAEAVLYIQKVTVEEILYNVWKATLGLWDAVIKKKINLQQLKLELKLNTVLNDQMGYLNNWALLERDHISSLAGAVEDLEASTLRLPVAGGARADIESLKAAISSAVDVMQAMGSSICSLLSKVEGINNLVSELAAIATLEKNMLDQCEALMASTAAMQLEEYSLRSHLIQTKQALERNKQPMMAAKTLFPWP >KJB27039 pep chromosome:Graimondii2_0_v6:4:60839097:60844467:1 gene:B456_004G273800 transcript:KJB27039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDICESERRLPKLAAVDTTRPPLVPAEKNNAPLTTRQPRTREVSSRFKAPSPSTTFGPRRCPSPNLTRATSTPSQLPQNRAVSAERKRPSTPPSPRSPSTPVHDSSIAVQIPSRRLSTGRTPESLWPSTMRSLSVSFQSDTISIPVSKKEKEKPVTNVSLDRTLRPSSNVSHRQQSEKSTLSRKPTPERKSSPLKGKNAPDQSENAKPVEGLPGRLIDQHRWPSRIRGKLSSNSLNGSVDLGDNRIVKSLSTPVPGTGLSSPKRMPMSDSSGKPLKKFANDTTSLLSLSEISRIRPEVISIDDKSLRVSGPARLLSASSLDKMALATHALKSLSLSAPGSRPHTPNRTSVSRGASPSRARPSTPPPRGVSATTRGVSPSRMRTSTLSSQSHSSTSVLSFIADIKKGRKGANYIEDAHQLRLLYNRYLQWRFANARAEAVLYIQKVTVEEILYNVWKATLGLWDAVIKKKINLQQLKLELKLNTVLNDQMGYLNNWALLERDHISSLAGAVEDLEASTLRLPVAGGARADIESLKAAISSAVDVMQAMGSSICSLLSKVEGINNLVSELAAIATLEKNMLDQCEALMASTAAMQLEEYSLRSHLIQTKQALERNKQPMMAAKTLFPWP >KJB27038 pep chromosome:Graimondii2_0_v6:4:60837296:60844555:1 gene:B456_004G273800 transcript:KJB27038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDICESERRLPKLAAVDTTRPPLVPAEKNNAPLTTRQPRTREVSSRFKAPSPSTTFGPRRCPSPNLTRATSTPSQLPQNRAVSAERKRPSTPPSPRSPSTPVHDSSIAVQIPSRRLSTGRTPESLWPSTMRSLSVSFQSDTISIPVSKKEKEKPVTNVSLDRTLRPSSNVSHRQQSEKSTLSRKPTPERKSSPLKGKNAPDQSENAKPVEGLPGRLIDQHRWPSRIRGKLSSNSLNGSVDLGDNRIVKSLSTPVPGTGLSSPKRMPMSDSSGKPLKKFANDTTSLLSLSEISRIRPEVISIDDKSLRVSGPARLLSASSLDKMALATHALKSLSLSAPGSRPHTPNRTSVSRGASPSRARPSTPPPRGVSATTRGVSPSRMRTSTLSSQSHSSTSVLSFIADIKKGRKGANYIEDAHQLRLLYNRYLQWRFANARAEAVLYIQKVTVEEILYNVWKATLGLWDAVIKKKINLQQLKLELKLNTVLNDQMGYLNNWALLERDHISSLAGAVEDLEASTLRLPVAGGARADIESLKAAISSAVDVMQAMGSSICSLLSKVEGINNLVSELAAIATLEKNMLDQCEALMASTAAMQLEEYSLRSHLIQTKQALERNKQPMMAAKTLFPWP >KJB25546 pep chromosome:Graimondii2_0_v6:4:51730997:51734643:-1 gene:B456_004G196900 transcript:KJB25546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENESKFEILFGLWPRPVVDKSNLDDAGKAKASDGTGTKSSFDPKLSRFESPNGTMHLSKNLTEARLDKSQLVTSAPFVSLNSSIEAKESARVLRMRQNRRKTIDVLKVVHPPPRRSVPRHLQRYLQSLSSHEALLYAKREIEHAPLVKNVGNDSYLYAPVFQNISIFKRSYELMEMILKVYIYRDGKKPIFHQPRLNGIYASEGWFMKLMEANREFVTKEPEKAHLFYLPYSSRQLELALYVRNSHNLRPLSKFLRDYVNVIASKYPFWNRTHGSDHFLVACHDWGPFITTEHVELKDNAIKALCNADLKENFVAGKDVSLPETAIRIANKPLRNIGGGIRVSQRPILAFFAGKMHGRVRPKLLKHWLNKDADMRIYGPLSRRVSKNMSYVRHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPLSEVLEWKAFSVTVAEIDIPKLKEILLAIPLKRYLQMLMNVKMVQKHFLWNPNPMRYDLFHMILHSIWYNRLNQIQIPQS >KJB21285 pep chromosome:Graimondii2_0_v6:4:28458732:28461896:1 gene:B456_004G1170002 transcript:KJB21285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLESAIAARFPAAANFCSSAFHQYRPTCSSDEVTSCHVTSRRPFRRGGFDVTRNRFQRLNTASPWRRALIKKKNQVTAEHLGSASGPTKQNGRPDYHPFEEIGEANSNNSDDATLTAAETCRTIIEVNTKATLMFSGMINDEIHESIMWPDLPYVTDGHGNVYFQVKSDEDILQSFAVENNFLQVIIGFDTTEIMKELELSGASEVDFEIEDIDDEDSDIEDEDEDENAEEEDYDEDWVSALEDNDQDDSDGTLGDWAKLETMHSSHPMYFANKLTEVASDDPIDWMEQPSDGLAIQGLLRPAFIEEHSEIQKHISTNQSRSSDKHQVEKVVGDKVEDVSIINGHRNGLGSSKDNSTSEESEKNEISMNGSLFYKLQMSKIQLITAHGHQVCRRLSCLVVFILFLF >KJB21287 pep chromosome:Graimondii2_0_v6:4:28458732:28462620:1 gene:B456_004G1170002 transcript:KJB21287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLESAIAARFPAAANFCSSAFHQYRPTCSSDEVTSCHVTSRRPFRRGGFDVTRNRFQRLNTASPWRRALIKKKNQVTAEHLGSASGPTKQNGRPDYHPFEEIGEANSNNSDDATLTAAETCRTIIEVNTKATLMFSGMINDEIHESIMWPDLPYVTDGHGNVYFQVKSDEDILQSFAVENNFLQVIIGFDTTEIMKELELSGASEVDFEIEDIDDEDSDIEDEDEDENAEEEDYDEDWVSALEDNDQDDSDGTLGDWAKLETMHSSHPMYFANKLTEVASDDPIDWMEQPSDGLAIQGLLRPAFIEEHSEIQKHISTNQSRSSDKHQVEKVVGDKVEDVSIINGHRNGLGSSKDNSTSEESEKNEISMNGSLFYKLQMSKIQLITAHGHQMLN >KJB21284 pep chromosome:Graimondii2_0_v6:4:28458741:28462402:1 gene:B456_004G1170002 transcript:KJB21284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLESAIAARFPAAANFCSSAFHQYRPTCSSDEVTSCHVTSRRPFRRGGFDVTRNRFQRLNTASPWRRALIKKKNQVTAEHLGSASGPTKQNGRPDYHPFEEIGEANSNNSDDATLTAAETCRTIIEVNTKATLMFSGMINDEIHESIMWPDLPYVTDGHGNVYFQVKSDEDILQSFAVENNFLQVIIGFDTTEIMKELELSGASEVDFEIEDIDDEDSDIEDEDEDENAEEEDYDEDWVSALEDNDQDDSDGTLGDWAKLETMHSSHPMYFANKLTEVASDDPIDWMEQPSDGLAIQGLLRPAFIEEHSEIQKHISTNQSRSSDKHQVEKVVGDKVEDVSIINGHRNGLGSSKDNSTSEESEKNEISMNGSLFYKLQMSKIQLITAHGHQTDVELEDFKQAQPDAIAHSAAKIISRLKAGGEKTVQALKSLCWRCKGIPVEEP >KJB21283 pep chromosome:Graimondii2_0_v6:4:28458588:28462620:1 gene:B456_004G1170002 transcript:KJB21283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLESAIAARFPAAANFCSSAFHQYRPTCSSDEVTSCHVTSRRPFRRGGFDVTRNRFQRLNTASPWRRALIKKKNQVTAEHLGSASGPTKQNGRPDYHPFEEIGEANSNNSDDATLTAAETCRTIIEVNTKATLMFSGMINDEIHESIMWPDLPYVTDGHGNVYFQVKSDEDILQSFAVENNFLQVIIGFDTTEIMKELELSGASEVDFEIEDIDDEDSDIEDEDEDENAEEEDYDEDWVSALEDNDQDDSDGTLGDWAKLETMHSSHPMYFANKLTEVASDDPIDWMEQPSDGLAIQGLLRPAFIEEHSEIQKHISTNQSRSSDKHQVEKVVGDKVEDVSIINGHRNGLGSSKDNSTSEESEKNEISMNGSLFYKLQMSKIQLITAHGHQTDVELEDFKQAQPDAIAHSAAKIISRLKAGGEKTVQALKSLCWRCKGIPVEEAAIISVDSFGFNLRVCCGTQIQTLRFTYNAR >KJB21282 pep chromosome:Graimondii2_0_v6:4:28458741:28462402:1 gene:B456_004G1170002 transcript:KJB21282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLESAIAARFPAAANFCSSAFHQYRPTCSSDEVTSCHVTSRRPFRRGGFDVTRNRFQRLNTASPWRRALIKKKNQVTAEHLGSASGPTKQNGRPDYHPFEEIGEANSNNSDDATLTAAETCRTIIEVNTKATLMFSGMINDEIHESIMWPDLPYVTDGHGNVYFQVKSDEDILQSFAVENNFLQVIIGFDTTEIMKELELSGASEVDFEIEDIDDEDSDIEDEDEDENAEEEDYDEDWVSALEDNDQDDSDGTLGDWAKLETMHSSHPMYFANKLTEVASDDPIDWMEQPSDGLAIQGLLRPAFIEEHSEIQKHISTNQSRSSDKHQVEKVVGDKVEDVSIINGHRNGLGSSKDNSTSEESEKNEISMNGSLFYKLQMSKIQLITAHGHQTDVELEDFKQAQPDAIAHSAAKIISRLKAGGEKTVQALKSLCWRCKGIPVEEP >KJB21286 pep chromosome:Graimondii2_0_v6:4:28458732:28462607:1 gene:B456_004G1170002 transcript:KJB21286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLESAIAARFPAAANFCSSAFHQYRPTCSSDEVTSCHVTSRRPFRRGGFDVTRNRFQRLNTASPWRRALIKKKNQVTAEHLGSASGPTKQNGRPDYHPFEEIGEANSNNSDDATLTAAETCRTIIEVNTKATLMFSGMINDEIHESIMWPDLPYVTDGHGNVYFQVKSDEDILQSFAVENNFLQVIIGFDTTEIMKELELSGASEVDFEIEDIDDEDSDIEDEDEDENAEEEDYDEDWVSALEDNDQDDSDGTLGDWAKLETMHSSHPMYFANKLTEVASDDPIDWMEQPSDGLAIQGLLRPAFIEEHSEIQKHISTNQSRSSDKHQVEKVVGDKVEDVSIINGHRNGLGSSKDNSTSEESEKNEISMNGSLFYKLQMSKIQLITAHGHQTDVELEDFKQAQPDAIAHSAAKIISRLKAGGEKTVQALKSLCWRCKGIPVEEAAIISVDSFGFNLRVCCGTQIQTLRF >KJB21281 pep chromosome:Graimondii2_0_v6:4:28458588:28462620:1 gene:B456_004G1170002 transcript:KJB21281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLESAIAARFPAAANFCSSAFHQYRPTCSSDEVTSCHVTSRRPFRRGGFDVTRNRFQRLNTASPWRRALIKKKNQVTAEHLGSASGPTKQNGRPDYHPFEEIGEANSNNSDDATLTAAETCRTIIEVNTKATLMFSGMINDEIHESIMWPDLPYVTDGHGNVYFQVKSDEDILQSFAVENNFLQVIIGFDTTEIMKELELSGASEVDFEIEDIDDEDSDIEDEDEDENAEEEDYDEDWVSALEDNDQDDSDGTLGDWAKLETMHSSHPMYFANKLTEVASDDPIDWMEQPSDGLAIQGLLRPAFIEEHSEIQKHISTNQSRSSDKHQVEKVVGDKVEDVSIINGHRNGLGSSKDNSTSEESEKNEISMNGSLFYKLQMSKIQLITAHGHQTDVELEDFKQAQPDAIAHSAAKIISRLKAGGEKTVQALKSLCWRCKGIPVEEAAIISVDSFGFNLRVCCGTQIQTLRFTYNAR >KJB26498 pep chromosome:Graimondii2_0_v6:4:58192965:58196399:1 gene:B456_004G244700 transcript:KJB26498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKNQQ >KJB26504 pep chromosome:Graimondii2_0_v6:4:58193813:58196399:1 gene:B456_004G244700 transcript:KJB26504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKNQQ >KJB26496 pep chromosome:Graimondii2_0_v6:4:58192963:58196553:1 gene:B456_004G244700 transcript:KJB26496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKNQQ >KJB26497 pep chromosome:Graimondii2_0_v6:4:58194630:58196129:1 gene:B456_004G244700 transcript:KJB26497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKNQQ >KJB26501 pep chromosome:Graimondii2_0_v6:4:58192965:58196399:1 gene:B456_004G244700 transcript:KJB26501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSI >KJB26503 pep chromosome:Graimondii2_0_v6:4:58193812:58196399:1 gene:B456_004G244700 transcript:KJB26503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFANRNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKNQQ >KJB26499 pep chromosome:Graimondii2_0_v6:4:58192965:58196399:1 gene:B456_004G244700 transcript:KJB26499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKNQQ >KJB26500 pep chromosome:Graimondii2_0_v6:4:58192965:58196399:1 gene:B456_004G244700 transcript:KJB26500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKNQQ >KJB26502 pep chromosome:Graimondii2_0_v6:4:58192965:58196399:1 gene:B456_004G244700 transcript:KJB26502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKNQQ >KJB22957 pep chromosome:Graimondii2_0_v6:4:8629475:8632998:-1 gene:B456_004G075700 transcript:KJB22957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEQEKNVESPLAISKIIEKLPSPEPSIAMGSQNKSPRGRPIFEFNEDGASSPWKFSKEAPRLSLDSRAVVNATGSLKPREIRTNTAILPSNQCESNREEDGVGGIDKQRRSPSVVARLMGLEAPLPDSDPEPNKRAELRRSASEARGRDLFQYRFIDRINIHLNGGISSNVVTKNGAELDEVIRNGTEGSSTVRREPVKTPVRGTVQTKRFYNSVDFFPKTKQTVSINGEIEKELKLRGIDEPSKDLENLKRILEALQLKGLLHTTKPPHQRNKRSFVYEQSPIVVIRPERSSPIRRSSNDSPPPAYRSKNGARRNSKLEPPPPSPRRDPPDTGRNLRNQSRISGIRSPSRRPLRIETQRGNGNVEQRRMSPVQSARVNVRRTELDQANRSAGNRKSTAEKVFIPAEDETSTVSESSSSSSSQTDAEKSKVEEYKEGRSLLERCDKLLHSIAKMNAAQTELQPSPVSVLDASFYKDDSSTSPVMKRRMDFKDQVVESEDELWSPASAMSTAESKSSDKSDDCDFNYISDILKASNYLPDDTDIFLLLEKQQNLKGKDTSKVSKLQRKLIFDTINEILNGKGELPPWKLKYPWSGETSLQQIWSEFEKIRRRDSADDLFEVICGVLRKDLAGDATTGWGDYPIEMSEAVLYIERQIFKDLIVETIRDLIGFSGKSNEVPTSRRKLVF >KJB22960 pep chromosome:Graimondii2_0_v6:4:8629475:8633241:-1 gene:B456_004G075700 transcript:KJB22960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGIVQEQNVEKKIDEKQKGCMAGFFQIFDCHHILAGKRLYTVKRLPSTTSREMTSEQEKNVESPLAISKIIEKLPSPEPSIAMGSQNKSPRGRPIFEFNEDGASSPWKFSKEAPRLSLDSRAVVNATGSLKPREIRTNTAILPSNQCESNREEDGVGGIDKQRRSPSVVARLMGLEAPLPDSDPEPNKRAELRRSASEARGRDLFQYRFIDRINIHLNGGISSNVVTKNGAELDEVIRNGTEGSSTVRREPVKTPVRGTVQTKRFYNSVDFFPKTKQTVSINGEIEKELKLRGIDEPSKDLENLKRILEALQLKGLLHTTKPPHQRNKRSFVYEQSPIVVIRPERSSPIRRSSNDSPPPAYRSKNGARRNSKLEPPPPSPRRDPPDTGRNLRNQSRISGIRSPSRRPLRIETQRGNGNVEQRRMSPVQSARVNVRRTELDQANRSAGNRKSTAEKVFIPAEDETSTVSESSSSSSSQTDAEVKLLNYLTKWLVNHLNLFEWHAQKSKVEEYKEGRSLLERCDKLLHSIAKMNAAQTELQPSPVSVLDASFYKDDSSTSPVMKRRMDFKDQVVESEDELWSPASAMSTAESKSSDKSDDCDFNYISDILKASNYLPDDTDIFLLLEKQQNLKGKDTSKVSKLQRKLIFDTINEILNGKGELPPWKLKYPWSGETSLQQIWSEFEKIRRRDSADDLFEVICGVLRKDLAGDATTGWGDYPIEMSEAVLYIERQIFKDLIVETIRDLIGFSGKSNEVPTSRRKLVF >KJB22956 pep chromosome:Graimondii2_0_v6:4:8629181:8633520:-1 gene:B456_004G075700 transcript:KJB22956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGIVQEQNVEKKIDEKQKGCMAGFFQIFDCHHILAGKRLYTVKRLPSTTSREMTSEQEKNVESPLAISKIIEKLPSPEPSIAMGSQNKSPRGRPIFEFNEDGASSPWKFSKEAPRLSLDSRAVVNATGSLKPREIRTNTAILPSNQCESNREEDGVGGIDKQRRSPSVVARLMGLEAPLPDSDPEPNKRAELRRSASEARGRDLFQYRFIDRINIHLNGGISSNVVTKNGAELDEVIRNGTEGSSTVRREPVKTPVRGTVQTKRFYNSVDFFPKTKQTVSINGEIEKELKLRGIDEPSKDLENLKRILEALQLKGLLHTTKPPHQRNKRSFVYEQSPIVVIRPERSSPIRRSSNDSPPPAYRSKNGARRNSKLEPPPPSPRRDPPDTGRNLRNQSRISGIRSPSRRPLRIETQRGNGNVEQRRMSPVQSARVNVRRTELDQANRSAGNRKSTAEKVFIPAEDETSTVSESSSSSSSQTDAEKSKVEEYKEGRSLLERCDKLLHSIAKMNAAQTELQPSPVSVLDASFYKDDSSTSPVMKRRMDFKDQVVESEDELWSPASAMSTAESKSSDKSDDCDFNYISDILKASNYLPDDTDIFLLLEKQQNLKGKDTSKVSKLQRKLIFDTINEILNGKGELPPWKLKYPWSGETSLQQIWSEFEKIRRRDSADDLFEVICGVLRKDLAGDATTGWGDYPIEMSEAVLYIERQIFKDLIVETIRDLIGFSGKSNEVPTSRRKLVF >KJB22961 pep chromosome:Graimondii2_0_v6:4:8630979:8633352:-1 gene:B456_004G075700 transcript:KJB22961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGIVQEQNVEKKIDEKQKGCMAGFFQIFDCHHILAGKRLYTVKRLPSTTSREMTSEQEKNVESPLAISKIIEKLPSPEPSIAMGSQNKSPRGRPIFEFNEDGASSPWKFSKEAPRLSLDSRAVVNATGSLKPREIRTNTAILPSNQCESNREEDGVGGIDKQRRSPSVVARLMGLEAPLPDSDPEPNKRAELRRSASEARGRDLFQYRFIDRINIHLNGGISSNVVTKNGAELDEVIRNGTEGSSTVRREPVKTPVRGTVQTKRFYNSVDFFPKTKQTVSINGEIEKELKLRGIDEPSKDLENLKRILEALQLKGLLHTTKPPHQRNKRSFVYEQSPIVVIRPERSSPIRRSSNDSPPPAYRSKNGARRNSKLEPPPPSPRRDPPDTGRNLRNQSRISGIRSPSRRPLRIETQRGNGNVEQRRMSPVQSARVNVRRTELDQANRSAGNRKSTAEKVFIPAEDETSTVSESSSSSSSQTDAEKSKVEEYKEGRSLLERCDKLLHSIAKMNAAQTELQPSPVSVLDASFYKDDSSTSPVMKRRMDFKGKRTNLRVFVNLFIYV >KJB22959 pep chromosome:Graimondii2_0_v6:4:8629364:8633352:-1 gene:B456_004G075700 transcript:KJB22959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGIVQEQNVEKKIDEKQKGCMAGFFQIFDCHHILAGKRLYTVKRLPSTTSREMTSEQEKNVESPLAISKIIEKLPSPEPSIAMGSQNKSPRGRPIFEFNEDGASSPWKFSKEAPRLSLDSRAVVNATGSLKPREIRTNTAILPSNQCESNREEDGVGGIDKQRRSPSVVARLMGLEAPLPDSDPEPNKRAELRRSASEARGRDLFQYRFIDRINIHLNGGISSNVVTKNGAELDEVIRNGTEGSSTVRREPVKTPVRGTVQTKRFYNSVDFFPKTKQTVSINGEIEKELKLRGIDEPSKDLENLKRILEALQLKGLLHTTKPPHQRNKRSFVYEQSPIVVIRPERSSPIRRSSNDSPPPAYRSKNGARRNSKLEPPPPSPRRDPPDTGRNLRNQSRISGIRSPSRRPLRIETQRGNGNVEQRRMSPVQSARVNVRRTELDQANRSAGNRKSTAEKVFIPAEDETSTVSESSSSSSSQTDAEKSKVEEYKEGRSLLERCDKLLHSIAKMNAAQTELQPSPVSVLDASFYKDDSSTSPVMKRRMDFKEDELWSPASAMSTAESKSSDKSDDCDFNYISDILKASNYLPDDTDIFLLLEKQQNLKGKDTSKVSKLQRKLIFDTINEILNGKGELPPWKLKYPWSGETSLQQIWSEFEKIRRRDSADDLFEVICGVLRKDLAGDATTGWGDYPIEMSEAVLYIERQIFKDLIVETIRDLIGFSGKSNEVPTSRRKLVF >KJB22958 pep chromosome:Graimondii2_0_v6:4:8629364:8633352:-1 gene:B456_004G075700 transcript:KJB22958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKRVAWLVFFRSLIVTTFLPANAFTLLSASLPRREMTSEQEKNVESPLAISKIIEKLPSPEPSIAMGSQNKSPRGRPIFEFNEDGASSPWKFSKEAPRLSLDSRAVVNATGSLKPREIRTNTAILPSNQCESNREEDGVGGIDKQRRSPSVVARLMGLEAPLPDSDPEPNKRAELRRSASEARGRDLFQYRFIDRINIHLNGGISSNVVTKNGAELDEVIRNGTEGSSTVRREPVKTPVRGTVQTKRFYNSVDFFPKTKQTVSINGEIEKELKLRGIDEPSKDLENLKRILEALQLKGLLHTTKPPHQRNKRSFVYEQSPIVVIRPERSSPIRRSSNDSPPPAYRSKNGARRNSKLEPPPPSPRRDPPDTGRNLRNQSRISGIRSPSRRPLRIETQRGNGNVEQRRMSPVQSARVNVRRTELDQANRSAGNRKSTAEKVFIPAEDETSTVSESSSSSSSQTDAEKSKVEEYKEGRSLLERCDKLLHSIAKMNAAQTELQPSPVSVLDASFYKDDSSTSPVMKRRMDFKDQVVESEDELWSPASAMSTAESKSSDKSDDCDFNYISDILKASNYLPDDTDIFLLLEKQQNLKGKDTSKVSKLQRKLIFDTINEILNGKGELPPWKLKYPWSGETSLQQIWSEFEKIRRRDSADDLFEVICGVLRKDLAGDATTGWGDYPIEMSEAVLYIERQIFKDLIVETIRDLIGFSGKSNEVPTSRRKLVF >KJB22883 pep chromosome:Graimondii2_0_v6:4:7779429:7785429:-1 gene:B456_004G072200 transcript:KJB22883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTESETTTFYQNVVVMRHGDRKDNFDPTWIKTAERPWDPPLVDNGMARAFRTGRTFRTILPFQIHRVFVSPFIRCVQTASEVVAALCAVDVEANAKSSTDVIKFDPSKVKVSIEYGLCEMLNKEAIRVDVAPKDGNFGFDVPLLETMFPSGTLDPSLERVYGKMPQWEETVDESRSRYKQIIKALADKYPSENLLLVTHGEGVGVSISGFLEHTTVVEVEYCGYAELKRLMTCTNGSTTAGNFLVLTKSGQSGITYFD >KJB25596 pep chromosome:Graimondii2_0_v6:4:51950493:51956785:1 gene:B456_004G198500 transcript:KJB25596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRENDTGTTQTKPLPKDTKKKDVNKEDLHAQLEEDLALKQHLELRVERIYDVDPEVQKVALESMRQEVRTSISSMTYVPKLLKFLRPHYRTLKAFYETMLDSGLKKYLADILSVLALTMSVEVKRESLKYRLLGSEGDICSWGHEYVRILAGEISQEYLMRQSEDAPVDDLMELVEQIVAFHMKHNAESEAVDLLMEVDDLDPLTEHVDITNFRKTCLYLTSAARYLPDPDDILFMDTAYSIYLVFYEFASALQIALFLDNLEHVCEVFTSCDDLLMKKQFCYILARQGINFELDDDMVEDDEDRELSQAIINNVKLSEGYLTLARDIEAMEPKCPEDVYKTHLLDGRAIAGANVDTARENLAATFVNAFVNAGFGKDRLMTDLADSSSDGSSGNWLFKNKEHAKISVVASLGMILLWDVNSGLAQIDKYLHSDDNYVIAGALLGVGLVNCSVTNDYDPALALLSEYINKEDSSIQIGAIMGLGIAYAGAQDEQILNSLIAILKHENVPHDVIAFTAISLGLVFVGSSNGEVAQAITDALTGRSVSELGQPLSRLLVLALGLIYLGKQEGMEATSEVSKSFSKKMRNYVDITLLSCAHAGTGNVLTVQKLLGHCSHLENAETSQGSAVLGIAMVAMAEEAAVISLGLIGAGTNNARIAGMLRNLSSFYYNVPGLLFCVRIAQGLVHMGKGLLTLNPYHSNRLLCSPQGC >KJB25594 pep chromosome:Graimondii2_0_v6:4:51950493:51956785:1 gene:B456_004G198500 transcript:KJB25594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRENDTGTTQTKPLPKDTKKKDVNKEDLHAQLEEDLALKQHLELRVERIYDVDPEVQKVALESMRQEVRTSISSMTYVPKLLKFLRPHYRTLKAFYETMLDSGLKKYLADILSVLALTMSVEVKRESLKYRLLGSEGDICSWGHEYVRILAGEISQEYLMRQSEDAPVDDLMELVEQIVAFHMKHNAESEAVDLLMEVDDLDPLTEHVDITNFRKTCLYLTSAARYLPDPDDILFMDTAYSIYLVFYEFASALQIALFLDNLEHVCEVFTSCDDLLMKKQFCYILARQGINFELDDDMVEDDEDRELSQAIINNVKLSEGYLTLARDIEAMEPKCPEDVYKTHLLDGRAIAGANVDTARENLAATFVNAFVNAGFGKDRLMTDLADSSSDGSSGNWLFKNKEHAKISVVASLGMILLWDVNSGLAQIDKYLHSDDNYVIAGALLGVGLVNCSVTNDYDPALALLSEYINKEDSSIQIGAIMGLGIAYAGAQDEQILNSLIAILKHENVPHDVIAFTAISLGLVFVGSSNGEVAQAITDALTGRSVSELGQPLSRLLVLALGLIYLGKQEGMEATSEVSKSFSKKMRNYVDITLLSCAHAGTGNVLTVQKLLGHCSHLENAETSQGSAVLGIAMVAMAEEAAVISLGLIGAGTNNARIAGMLRNLSSFYYNVPGLLFCVRIAQGLVHMGKGLLTLNPYHSNRLLCSPTALAGLVTMLHACLDMKSIILGKYHFVLYYLVLAMKPRMLMTLDKNLEPISVPVRVGEAVDVVGHPGQPKTITGFQTHSTPVLLAAGERAELATEKYVPLSPILEGYVILVENTEYMEDN >KJB25595 pep chromosome:Graimondii2_0_v6:4:51950493:51956785:1 gene:B456_004G198500 transcript:KJB25595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRENDTGTTQTKPLPKDTKKKDVNKEDLHAQLEEDLALKQHLELRVERIYDVDPEVQKVALESMRQEVRTSISSMTYVPKLLKFLRPHYRTLKAFYETMLDSGLKKYLADILSVLALTMSVEVKRESLKYRLLGSEGDICSWGHEYVRILAGEISQEYLMRQSEDAPVDDLMELVEQIVAFHMKHNAESEAVDLLMEVDDLDPLTEHVDITNFRKTCLYLTSAARYLPDPDDILFMDTAYSIYLVFYEFASALQIALFLDNLEHVCEVFTSCDDLLMKKQFCYILARQGINFELDDDMVEDDEDRELSQAIINNVKLSEGYLTLARDIEAMEPKCPEDVYKTHLLDGRAIAGANVDTARENLAATFVNAFVNAGFGKDRLMTDLADSSSDGSSGNWLFKNKEHAKISVVASLGMILLWDVNSGLAQIDKYLHSDDNYVIAGALLGVGLVNCSVTNDYDPALALLSEYINKEDSSIQIGAIMGLGIAYAGAQDEQILNSLIAILKHENVPHDVIAFTAISLGLVFVGSSNGEVAQAITDALTGRSVSELGQPLSRLLVLALGLIYLGKQEGMEATSEVSKSFSKKMRNYVDITLLSCAHAGTGNVLTVQKLLGHCSHLENAETSQGSAVLGIAMVAMAEEVGLEMSIRSLKHFLQYGEQKIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDLEVAMAAVISLGLIGAGTNNARIAGMLRNLSSFYYNVPGLLFCVRIAQGLVHMGKGLLTLNPYHSNRLLCSPTALAGLVTMLHACLDMKSIILGKYHFVLYYLVLAMKPRMLMTLDKNLEPISVPVRVGEAVDVVGHPGQPKTITGFQTHSTPVLLAAGERAELATEKYVPLSPILEGYVILVENTEYMEDN >KJB25597 pep chromosome:Graimondii2_0_v6:4:51950567:51956643:1 gene:B456_004G198500 transcript:KJB25597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRENDTGTTQTKPLPKDTKKKDVNKEDLHAQLEEDLALKQHLELRVERIYDVDPEVQKVALESMRQEVRTSISSMTYVPKLLKFLRPHYRTLKAFYETMLDSGLKKYLADILSVLALTMSVEVKRESLKYRLLGSEGDICSWGHEYVRILAGEISQEYLMRQSEDAPVDDLMELVEQIVAFHMKHNAESEAVDLLMEVDDLDPLTEHVDITNFRKTCLYLTSAARYLPDPDDILFMDTAYSIYLVFYEFASALQIALFLDNLEHVCEVFTSCDDLLMKKQFCYILARQGINFELDDDMVEDDEDRELSQAIINNVKLSEGYLTLARDIEAMEPKCPEDVYKTHLLDGRAIAGANVDTARENLAATFVNAFVNAGFGKDRLMTDLADSSSDGSSGNWLFKNKEHAKISVVASLGMILLWDVNSGLAQIDKYLHSDDNYVIAGALLGVGLVNCSVTNDYDPALALLSEYINKEDSSIQIGAIMGLGIAYAGAQDEQILNSLIAILKHENVPHDVIAFTAISLGLVFVGSSNGEVAQAITDALTGRSVSELGQPLSRLLVLALGLIYLGKQEGMEATSEVSKSFSKKMRNYVDITLLSCAHAGTGNVLTVQKLLGHCSHLENAETSQGSAVLGIAMVAMAEEVGLEMSIRSLKHFLQYGEQKIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDLEVAMAAVISLGLIGAGTNNARIAGMLRNLSSFYYNVPGLLFCVRIAQGLVHMGKGLLTLNPYHSNRLLCSPTALAGLVTMLHACLDMKSIILGKYHFVLYYLVLAMKVCFNIPVCYLLSSVGMYLLMQQVFLVSYNVVFLYSQGC >KJB21621 pep chromosome:Graimondii2_0_v6:4:289678:290995:-1 gene:B456_004G004700 transcript:KJB21621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNVGCSLKIYEAKANYVSPAAGGGGGSAVIQMRIKLRYQLFVKAYDVEFLVEEIITPEFVTAVSVPLDCFLSGSSVMIVSKVLADLKVDAKVIEYSSPKIAKFVVDMAKRRGAAVSDFMTVVEVSINKTDYIREKEFDRISITLSSKAKSSLMMQNPKSEEPNT >KJB21877 pep chromosome:Graimondii2_0_v6:4:1426006:1431017:1 gene:B456_004G019200 transcript:KJB21877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNPNMEVQYINSSYPYNSAGSFMEYFEGLTYQHVNFIFDGASHLQESAYPSMTTSFYKFGLSDFGNNISYYDHSPSYDGSNHEPCPEEYRRASVNSPSMSNEETVAMNVEWEGNANSTSRENPVDCPRRLQNAQDYQVIWQDGVDPDNMTYEELLELGETVGTQSRGLSQELISLLPVSKYKCSLFSRKKSRNERCVICQMEYKRGERQITLPCKHVYHAGCGNRWLSINKACPICYTEVFGDASKH >KJB21876 pep chromosome:Graimondii2_0_v6:4:1425942:1431131:1 gene:B456_004G019200 transcript:KJB21876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNPNMEVQYINSSYPYNSAGSFMEYFEGLTYQHVNFIFDGASHLQESAYPSMTTSFYKFGLSDFGNNISYYDHSPSYDGSNHEPCPEEYRRASVNSPSMSNEETVAMNVEWEGNANSTSRENPVDCPRRLQNAQDYQVIWQDGVDPDNMTYEELLELGETVGTQSRGLSQELISLLPVSKYKCSLFSRKKSRNERCVICQMEYKRGERQITLPCKHVYHAGCGNRWLSINKACPICYTEVFGDASKH >KJB21878 pep chromosome:Graimondii2_0_v6:4:1425970:1431017:1 gene:B456_004G019200 transcript:KJB21878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNPNMEVQYINSSYPYNSAGSFMEYFEGLTYQHVNFIFDGASHLQESAYPSMTTSFYKFGLSDFGNNISYYDHSPSYDGSNHEPCPEEYRRASVNSPSMSNEETVAMNVEWEGNANSTSRENPVDCPRRLQNAQDYQVIWQDGVDPDNMTYEELLELGETVGTQSRGLSQELISLLPVSKYKCSLFSRKKSRNERCVICQMEYKRGERQITLPCKHVYHAGCGNRWLSINKACPICYTEVFGDASKH >KJB24792 pep chromosome:Graimondii2_0_v6:4:45076454:45077457:-1 gene:B456_004G160700 transcript:KJB24792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVTAHGRPLPPPFLTRDLHLNPQHQFQHHHNQQQQQQQSSEDEQSRGQKRDREETATTMGGGATDTSEGKELAVVPGAEGEITRRPRGRPAGSKNKPKPPIIITRDSANALRSHVMEIADGCDIMESVSTFARRRQRGVSILSGSGTVTNVTLRQPGAPGAVVTLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGAVVGPLVASGPVVMMAASFGNAAYERLPLEEEEQPAAGPVPGSGPLGSPGSMVGQQQPPPPQQQQQLLQDPNGSFAQGLPPNLLNSVQLPAEAYWGTGRPSY >KJB26413 pep chromosome:Graimondii2_0_v6:4:58006871:58008879:-1 gene:B456_004G242200 transcript:KJB26413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGQPPAMGMMGSGGQVPYGTNPYQNQVTGTAPNPGSVVTSVGGIQSTGQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHNLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHAPQVGTPGMIMGKPVVDPAIYAQQSHPYMAQQMWPPGPEQQQSSSDH >KJB26408 pep chromosome:Graimondii2_0_v6:4:58007338:58008123:-1 gene:B456_004G242200 transcript:KJB26408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGQPPAMGMMGSGGQVPYGTNPYQNQVTGTAPNPGSVVTSVGGIQSTGQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHNLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHAPQVGTPGMIMGKPVVDPAIYAQQSHPYMAQQMWPPGPEQQQSSSDH >KJB26409 pep chromosome:Graimondii2_0_v6:4:58007338:58008123:-1 gene:B456_004G242200 transcript:KJB26409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGQPPAMGMMGSGGQVPYGTNPYQNQVTGTAPNPGSVVTSVGGIQSTGQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHNLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHAPQVGTPGMIMGKPVVDPAIYAQQSHPYMAQQMWPPGPEQQQSSSDH >KJB26410 pep chromosome:Graimondii2_0_v6:4:58006773:58009306:-1 gene:B456_004G242200 transcript:KJB26410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGQPPAMGMMGSGGQVPYGTNPYQNQVTGTAPNPGSVVTSVGGIQSTGQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHNLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHAPQVGTPGMIMGKPVVDPAIYAQQSHPYMAQQMWPPGPEQQQSSSDH >KJB26411 pep chromosome:Graimondii2_0_v6:4:58006871:58008977:-1 gene:B456_004G242200 transcript:KJB26411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGQPPAMGMMGSGGQVPYGTNPYQNQVTGTAPNPGSVVTSVGGIQSTGQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHNLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHAPQVGTPGMIMGKPVVDPAIYAQQSHPYMAQQMWPPGPEQQQSSSDH >KJB26415 pep chromosome:Graimondii2_0_v6:4:58007338:58008123:-1 gene:B456_004G242200 transcript:KJB26415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGQPPAMGMMGSGGQVPYGTNPYQNQVTGTAPNPGSVVTSVGGIQSTGQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHNLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHAPQVGTPGMIMGKPVVDPAIYAQQSHPYMAQQMWPPGPEQQQSSSDH >KJB26414 pep chromosome:Graimondii2_0_v6:4:58006871:58009151:-1 gene:B456_004G242200 transcript:KJB26414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGQPPAMGMMGSGGQVPYGTNPYQNQVTGTAPNPGSVVTSVGGIQSTGQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHNLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHAPQVGTPGMIMGKPVVDPAIYAQQSHPYMAQQMWPPGPEQQQSSSDH >KJB26412 pep chromosome:Graimondii2_0_v6:4:58006871:58009190:-1 gene:B456_004G242200 transcript:KJB26412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGQPPAMGMMGSGGQVPYGTNPYQNQVTGTAPNPGSVVTSVGGIQSTGQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHNLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHAPQVGTPGMIMGKPVVDPAIYAQQSHPYMAQQMWPPGPEQQQSSSDH >KJB27345 pep chromosome:Graimondii2_0_v6:4:62033775:62035704:-1 gene:B456_004G292100 transcript:KJB27345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFNTQGAEGRCEQIFVQPAATRKQMDPRCYFKVNDQYVMNKLKLILFPFLHKVCTTFYDDVNAPDLYIPLMAFGTYVVLAGFFLGISGNYKGMLGWLFQVLLEATLHTFGDGDVPFLDIVAYGGYTFVAVSITIVWRTIWSYSLCVVAVWEFLSMGMLLVKIIKRILIAEVRSSEKHSSKRHYLLLRLAIDL >KJB26015 pep chromosome:Graimondii2_0_v6:4:55605046:55610888:-1 gene:B456_004G221400 transcript:KJB26015 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MEEEEDRILLSSLGVTSANPEDIERDVLAKAENNALDGSEVGGSTEEEPADKLEGNNPSSSANQVKLLNKLRAVEFEIDAVASTVEERKDVTSGDHDAKDEHVEEGNREDDDESAMQLHSRDSTLQRALATDRLKSLKNTKAQLEKELSGLLKESSSEGVKHDKLIKDLVKEEPRLKRKSKEIQKPSKTKQKRKKSVSFNDDVDFDAVLDAASAGFVETERDELVRKGILTPFHKLKGFERRLQQPGTSNEHSVPYEEDEKDDIVSASVARVAKSISEAAQVRPSTKLLEPDALPKLDAPTFPFQRLKKSLKFSQSKEVEENKGSKRKKKRPLPDKKWRKRISREERDMEVGEDVRDNLTSHDEEEDQEGSEDMDDNDPAYVTLEGGLKIPETIFSKLFDYQKVGVQWMWELHCQRAGGIIGDEMGLGKTVQVLSFLGALHFSNMYEPSIVVCPVTLLRQWKREARRWYPKFHVEILHDSAQDPAYKKNQAKSNEESDYESEGSVDSDYEGNLSSKSSKKWDSLINRVLRSKSGLLITTYEQLRVIGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPISVGGYANASPLQVSTAYRCAVVLRDLVMPYLLRRVKADVNAHLPKKAEHVLFCSLTAEQRSVYRAFLASSDVEQILDGGRNSLYGIDVMRKICNHPDLLEREHSCQNPDYGNPERSGKMKVVAQVLQVWKDQGHRVLLFAQTQQMLDILENFLTTSGYCYRRMDGHTPVKQRMALIDEFNNSDDIFIFILTTKVGGLGTNLTGANRVIIFDPDWNPSTDMQARERAWRIGQKRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQRRFFKARDMKDLFVLNDEGENGSTETSNIFSQLSEDVNIVVMQKDKQHKQEHLRAAGSHSDHGGGRNGNSLNGIHSKRKGKEKDDHSDGEVDEEKNILRSLFDAQGIHSAVNHDAIVNANDEEKVRLEEQASQVAQRAAEALRQSRMLRSHDSISVPTWTGKSGAAGAPSAVRKKFGSALNAQLVKPSGESSSTGIAAGAAAGKALSSAELLARIRGNQEQAIGAGLEHQFGSVSSSSNTTRPSINRTSRSWSSSNVSSVQPEVLIRQICTFIQQKGGSTDSASIVDHFKDRIPSNNLPLFKNLLKEIAKLEKDPNGSRWVLKPEYRQQ >KJB26018 pep chromosome:Graimondii2_0_v6:4:55605046:55610920:-1 gene:B456_004G221400 transcript:KJB26018 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MMMLILMQCWMQHLQDLWKLDELVRKGILTPFHKLKGFERRLQQPGTSNEHSVPYEEDEKDDIVSASVARVAKSISEAAQVRPSTKLLEPDALPKLDAPTFPFQRLKKSLKFSQSKEVEENKGSKRKKKRPLPDKKWRKRISREERDMEVGEDVRDNLTSHDEEEDQEGSEDMDDNDPAYVTLEGGLKIPETIFSKLFDYQKVGVQWMWELHCQRAGGIIGDEMGLGKTVQVLSFLGALHFSNMYEPSIVVCPVTLLRQWKREARRWYPKFHVEILHDSAQDPAYKKNQAKSNEESDYESEGSVDSDYEGNLSSKSSKKWDSLINRVLRSKSGLLITTYEQLRVIGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPISVGGYANASPLQVSTAYRCAVVLRDLVMPYLLRRVKADVNAHLPKKAEHVLFCSLTAEQRSVYRAFLASSDVEQILDGGRNSLYGIDVMRKICNHPDLLEREHSCQNPDYGNPERSGKMKVVAQVLQVWKDQGHRVLLFAQTQQMLDILENFLTTSGYCYRRMDGHTPVKQRMALIDEFNNSDDIFIFILTTKVGGLGTNLTGANRVIIFDPDWNPSTDMQARERAWRIGQKRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQRRFFKARDMKDLFVLNDEGENGSTETSNIFSQLSEDVNIVVMQKDKQHKQEHLRAAGSHSDHGGGRNGNSLNGIHSKRKGKEKDDHSDGEVDEEKNILRSLFDAQGIHSAVNHDAIVNANDEEKVRLEEQASQVAQRAAEALRQSRMLRSHDSISVPTWTGKSGAAGAPSAVRKKFGSALNAQLVKPSGESSSTGIAAGAAAGKALSSAELLARIRGNQEQAIGAGLEHQFGSVSSSSNTTRPSINRTSRSWSSSNVSSVQPEVLIRQICTFIQQKGGSTDSASIVDHFKDRIPSNNLPLFKNLLKEIAKLEKDPNGSRWVLKPEYRQQ >KJB26019 pep chromosome:Graimondii2_0_v6:4:55605032:55610980:-1 gene:B456_004G221400 transcript:KJB26019 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MEEEEDRILLSSLGVTSANPEDIERDVLAKAENNALDGSEVGGSTEEEPADKLEGNNPSSSANQVKLLNKLRAVEFEIDAVASTVEERKDVTSGDHDAKDEHVEEGNREDDDESAMQLHSRDSTLQRALATDRLKSLKNTKAQLEKELSGLLKESSSEGVKHDKLIKDLVKEEPRLKRKSKEIQKPSKTKQKRKKSVSFNDDVDFDAVLDAASAGFVETERDELVRKGILTPFHKLKGFERRLQQPGTSNEHSVPYEEDEKDDIVSASVARVAKSISEAAQVRPSTKLLEPDALPKLDAPTFPFQRLKKSLKFSQSKEVEENKGSKRKKKRPLPDKKWRKRISREERDMEVGEDVRDNLTSHDEEEDQEGSEDMDDNDPAYVTLEGGLKIPETIFSKLFDYQKVGVQWMWELHCQRAGGIIGDEMGLGKTVQVLSFLGALHFSNMYEPSIVVCPVTLLRQWKREARRWYPKFHVEILHDSAQDPAYKKNQAKSNEESDYESEGSVDSDYEGNLSSKSSKKWDSLINRVLRSKSGLLITTYEQLRVIGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPISVGGYANASPLQVSTAYRCAVVLRDLVMPYLLRRVKADVNAHLPKKAEHVLFCSLTAEQRSVYRAFLASSDVEQILDGGRNSLYGIDVMRKICNHPDLLEREHSCQNPDYGNPERSGKMKVVAQVLQVWKDQGHRVLLFAQTQQMLDILENFLTTSGYCYRRMDGHTPVKQRMALIDEFNNSDDIFIFILTTKVGGLGTNLTGANRVIIFDPDWNPSTDMQARERAWRIGQKRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQRRFFKARDMKDLFVLNDEGENGSTETSNIFSQLSEDVNIVVMQKDKQHKQEHLRAAGSHSDHGGGRNGNSLNGIHSKRKGKEKDDHSDGEVDEEKNILRSLFDAQGIHSAVNHDAIVNANDEEKVRLEEQASQVAQRAAEALRQSRMLRSHDSISVPTWTGKSGAAGAPSAVRKKFGSALNAQLVKPSGESSSTGIAAGAAAGKALSSAELLARIRGNQEQAIGAGLEHQFGSVSSSSNTTRPSINRTSRSWSSSNVSSVQPEVLIRQICTFIQQKGGSTDSASIVDHFKDRIPSNNLPLFKNLLKEIAKLEKDPNGSRWVLKPEYRQQ >KJB26016 pep chromosome:Graimondii2_0_v6:4:55605046:55610980:-1 gene:B456_004G221400 transcript:KJB26016 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MEEEEDRILLSSLGVTSANPEDIERDVLAKAENNALDGSEVGGSTEEEPADKLEGNNPSSSANQVKLLNKLRAVEFEIDAVASTVEERKDVTSGDHDAKDEHVEEGNREDDDESAMQLHSRDSTLQRALATDRLKSLKNTKAQLEKELSGLLKESSSEGVKHDKLIKDLVKEEPRLKRKSKEIQKPSKTKQKRKKSVSFNDDVDFDAVLDAASAGFVETERDELVRKGILTPFHKLKGFERRLQQPGTSNEHSVPYEEDEKDDIVSASVARVAKSISEAAQVRPSTKLLEPDALPKLDAPTFPFQRLKKSLKFSQSKEVEENKGSKRKKKRPLPDKKWRKRISREERDMEVGEDVRDNLTSHDEEEDQEGSEDMDDNDPAYVTLEGGLKIPETIFSKLFDYQKVGVQWMWELHCQRAGGIIGDEMGLGKTVQVLSFLGALHFSNMYEPSIVVCPVTLLRQWKREARRWYPKFHVEILHDSAQDPAYKKNQAKSNEESDYESEGSVDSDYEGNLSSKSSKKWDSLINRVLRSKSGLLITTYEQLRVIGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPISVGGYANASPLQVSTAYRCAVVLRDLVMPYLLRRVKADVNAHLPKKAEHVLFCSLTAEQRSVYRAFLASSDVEQILDGGRNSLYGIDVMRKICNHPDLLEREHSCQNPDYGNPERSGKMKVVAQVLQVWKDQGHRVLLFAQTQQMLDILENFLTTSGYCYRRMDGHTPVKQRMALIDEFNNSDDIFIFILTTKVGGLGTNLTGANRVIIFDPDWNPSTDMQARERAWRIGQKRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQRRFFKARDMKDLFVLNDEGENGSTETSNIFSQLSEDVNIVVMQKDKQHKQEHLRAAGSHSDHGGGRNGNSLNGIHSKRKGKEKDDHSDGEVDEEKNILRSLFDAQGIHSAVNHDAIVNANDEEKVRLEEQASQVAQRAAEALRQSRMLRSHDSISVPTWTGKSGAAGAPSAVRKKFGSALNAQLVKPSGESSSTGIAAGAAAGKALSSAELLARIRGNQEQAIGAGLEHQFGSVSSSSNTTRPSINRTSRSWSSSNVSSVQPEVLIRQICTFIQQKGGSTDSASIVDHFKDRIPSNNLPLFKNLLKEIAKLEKDPNGSRWVLKPEYRQQ >KJB26017 pep chromosome:Graimondii2_0_v6:4:55605428:55609058:-1 gene:B456_004G221400 transcript:KJB26017 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MEVGEDVRDNLTSHDEEEDQEGSEDMDDNDPAYVTLEGGLKIPETIFSKLFDYQKVGVQWMWELHCQRAGGIIGDEMGLGKTVQVLSFLGALHFSNMYEPSIVVCPVTLLRQWKREARRWYPKFHVEILHDSAQDPAYKKNQAKSNEESDYESEGSVDSDYEGNLSSKSSKKWDSLINRVLRSKSGLLITTYEQLRVIGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPISVGGYANASPLQVSTAYRCAVVLRDLVMPYLLRRVKADVNAHLPKKAEHVLFCSLTAEQRSVYRAFLASSDVEQILDGGRNSLYGIDVMRKICNHPDLLEREHSCQNPDYGNPERSGKMKVVAQVLQVWKDQGHRVLLFAQTQQMLDILENFLTTSGYCYRRMDGHTPVKQRMALIDEFNNSDDIFIFILTTKVGGLGTNLTGANRVIIFDPDWNPSTDMQARERAWRIGQKRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQRRFFKARDMKDLFVLNDEGENGSTETSNIFSQLSEDVNIVVMQKDKQHKQEHLRAAGSHSDHGGGRNGNSLNGIHSKRKGKEKDDHSDGEVDEEKNILRSLFDAQGIHSAVNHDAIVNANDEEKVRLEEQASQVAQRAAEALRQSRMLRSHDSISVPTWTGKSGAAGAPSAVRKKFGSALNAQLVKPSGESSSTGIAAGAAAGKALSSAELLARIRGNQEQAIGAGLEHQFGSVSSSSNTTRPSINRTSRSWSSSNVSSVQPEVLIRQICTFIQQKGGSTDSASIVDHFKDRIPSNNLPLFKNLLKEIAKLEKDPNGSRWVLKPEYRQQ >KJB21577 pep chromosome:Graimondii2_0_v6:4:134233:140627:1 gene:B456_004G002000 transcript:KJB21577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAAKKPNKRKRPKKPLLCSILYICFVVLVLLLVVVSLFIAQSHLHRFFFLSIPPPPSSTLTAIMSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDNTEGRCPACRSVYDKDKIVGMAANCERLVVGNNSERKMKSQKPKAKSSEGRKQLSSVRVIQRNLVYIVGLPLDLADEDLLQQLEYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLEGRPLKACFGTTKYCHAWLRNMPCNNPDCLYLHEIGPQEDSFTKDEIISAYTRVQQITGATNNMQRRAGNTLPPPVDDYCPNSSASAAKSVSKSAPNNTTVSISRCSPPNGSSGRSIALPAGASWGMRALNQPQSTILACSNGPSKLKSDIDSNTIPFSSAGTNTNQSCTLHVDARKKSSEEIHSMSMKGKPDLLKPLQQTAGFAVEKPPSPDIVSASKSLSSQLSCPPASSYNDQVANVPSTATNSVFDHEQSLISPVGEVGSTSTADGKIQSLCSDMSTLTLDKNLLNGHSDLVRPSSSASGYGSSNSPSSQGLQQCCTELYSEHLSSPVAGRSMTSHNGVCVSQEQSDWRTQTQVVENTSSEVEEDVLSFDNQRIKDPEVISHSSYLPNSTVSLHLSDHSRAHSLQHSETFGAVNMNADTPLVDNKVRDNLHPHGSHISSLSNEYPEKYISSGISSDITSGGFLPLLNEEQGKQMGKPLGNAECNTGKDTGENSIISNILSLDFDTWDESITSPQNLAKLLGDTDKESNSLKLSSSWKALNNNQSRFSFARQEDSKYNSFDADSSFGVFGQMLRNHPSSQDFAESRDLYPNKFGISNGFSSSNFKYSDKFTSSPSVFSSNKLSAVSRTQISAPPGFSVPSKAPPPGFSYHERVDPVFDTVSENHLMDSSSLLRNSYQARTSVGIGGPGDIEFIDPAILAVGKGRHQGGLSNAGLDMRPNFQPQLSPLDDEARLQRLMQRSLSHHQNLRYDIGDNFSSLNDSYGITSRLMDQSQVNNMSPFAQLSLQQSRNSHMSNGHWDGWNDIQGGSNLGVAELLRNERLGFNKFYSGYEDSKYRMPSSGDLYNRTFGM >KJB21576 pep chromosome:Graimondii2_0_v6:4:134233:140627:1 gene:B456_004G002000 transcript:KJB21576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAAKKPNKRKRPKKPLLCSILYICFVVLVLLLVVVSLFIAQSHLHRFFFLSIPPPPSSTLTAIMSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDNTEGRCPACRSVYDKDKIVGMAANCERLVVGNNSERKMKSQKPKAKSSEGRKQLSSVRVIQRNLVYIVGLPLDLADEDLLQQLEYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLEGRPLKACFGTTKYCHAWLRNMPCNNPDCLYLHEIGPQEDSFTKDEIISAYTRVQQITGATNNMQRRAGNTLPPPVDDYCPNSSASAAKSVSKSAPNNTTVSISRCSPPNGSSGRSIALPAGASWGMRALNQPQSTILACSNGPSKLKSDIDSNTIPFSSAGTNTNQSCTLHVDARKKSSEEIHSMSMKGKPDLLKPLQQTAGFAVEKPPSPDIVSASKSLSSQLSCPPASSYNDQVANVPSTATNSVFDHEQSLISPVGEVGSTSTADGKIQSLCSDMSTLTLDKNLLNGHSDLVRPSSSASGYGSSNSPSSQGLQQCCTELYSEHLSSPVAGRSMTSHNGVCVSQEQSDWRTQTQVVENTSSEVEEDVLSFDNQRIKDPEVISHSSYLPNSTVSLHLSDHSRAHSLQHSETFGAVNMNADTPLVDNKVRDNLHPHGSHISSLSNEYPEKYISSGISSDITSGGFLPLLNEEQGKQMGKPLGNAECNTGKDTGENSIISNILSLDFDTWDESITSPQNLAKLLGDTDKESNSLKLSSSWKALNNNQSRFSFARQEDSKYNSFDADSSFGVFGQMLRNHPSSQDFAESRDLYPNKFGISNGFSSSNFKYSDKFTSSPSVFSSNKLSVSRTQISAPPGFSVPSKAPPPGFSYHERVDPVFDTVSGSDKCWHWWPWRHRIY >KJB21580 pep chromosome:Graimondii2_0_v6:4:134233:140871:1 gene:B456_004G002000 transcript:KJB21580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAAKKPNKRKRPKKPLLCSILYICFVVLVLLLVVVSLFIAQSHLHRFFFLSIPPPPSSTLTAIMSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDNTEGRCPACRSVYDKDKIVGMAANCERLVVGNNSERKMKSQKPKAKSSEGRKQLSSVRVIQRNLVYIVGLPLDLADEDLLQQLEYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLEGRPLKACFGTTKYCHAWLRNMPCNNPDCLYLHEIGPQEDSFTKDEIISAYTRVQQITGATNNMQRRAGNTLPPPVDDYCPNSSASAAKSVSKSAPNNTTVSISRCSPPNGSSGRSIALPAGASWGMRALNQPQSTILACSNGPSKLKSDIDSNTIPFSSAGTNTNQSCTLHVDARKKSSEEIHSMSMKGKPDLLKPLQQTAGFAVEKPPSPDIVSASKSLSSQLSCPPASSYNDQVANVPSTATNSVFDHEQSLISPVGEVGSTSTADGKIQSLCSDMSTLTLDKNLLNGHSDLVRPSSSASGYGSSNSPSSQGLQQCCTELYSEHLSSPVAGRSMTSHNGVCVSQEQSDWRTQTQVVENTSSEVEEDVLSFDNQRIKDPEVISHSSYLPNSTVSLHLSDHSRAHSLQHSETFGAVNMNADTPLVDNKVRDNLHPHGSHISSLSNEYPEKYISSGISSDITSGGFLPLLNEEQGKQMGKPLGNAECNTGKDTGENSIISNILSLDFDTWDESITSPQNLAKLLGDTDKESNSLKLSSSWKALNNNQSRFSFARQEDSKYNSFDADSSFGVFGQMLRNHPSSQDFAESRDLYPNKFGISNGFSSSNFKYSDKFTSSPSVFSSNKLSVSRTQISAPPGFSVPSKAPPPGFSYHERVDPVFDTVSENHLMDSSSLLRNSYQARTSVGIGGPGDIEFIDPAILAVGKGRHQGGLSNAGLDMRPNFQPQLSPLDDEARLQRLMQRSLSHHQNLRYDIGDNFSSLNDSYGITSRLMDQSQVNNMSPFAQLSLQQSRNSHMSNGHWDGWNDIQGGSNLGVAELLRNERLGFNKFYSGYEDSKYRMPSSGDLYNRTFGM >KJB21579 pep chromosome:Graimondii2_0_v6:4:134233:140640:1 gene:B456_004G002000 transcript:KJB21579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAAKKPNKRKRPKKPLLCSILYICFVVLVLLLVVVSLFIAQSHLHRFFFLSIPPPPSSTLTAIMSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDNTEGRCPACRSVYDKDKIVGMAANCERLVVGNNSERKMKSQKPKAKSSEGRKQLSSVRVIQRNLVYIVGLPLDLADEDLLQQLEYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLEGRPLKACFGTTKYCHAWLRNMPCNNPDCLYLHEIGPQEDSFTKDEIISAYTRVQQITGATNNMQRRAGNTLPPPVDDYCPNSSASAAKSVSKSAPNNTTVSISRCSPPNGSSGRSIALPAGASWGMRALNQPQSTILACSNGPSKLKSDIDSNTIPFSSAGTNTNQSCTLHVDARKKSSEEIHSMSMKGKPDLLKPLQQTAGFAVEKPPSPDIVSASKSLSSQLSCPPASSYNDQVANVPSTATNSVFDHEQSLISPVGEVGSTSTADGKIQSLCSDMSTLTLDKNLLNGHSDLVRPSSSASGYGSSNSPSSQGLQQCCTELYSEHLSSPVAGRSMTSHNGVCVSQEQSDWRTQTQVVENTSSEVEEDVLSFDNQRIKDPEVISHSSYLPNSTVSLHLSDHSRAHSLQHSETFGAVNMNADTPLVDNKVRDNLHPHGSHISSLSNEYPEKYISSGISSDITSGGFLPLLNEEQGKQMGKPLGNAECNTGKDTGENSIISNILSLDFDTWDESITSPQNLAKLLGDTDKESNSLKLSSSWKALNNNQSRFSFARQEDSKYNSFDADSSFGVFGQMLRNHPSSQDFAESRDLYPNKFGISNGFSSSNFKYSDKFTSSPSVFSSNKLSVSRTQISAPPGFSVPSKAPPPGFSYHERVDPVFDTVSENHLMDSSSLLRNSYQARTSVGIGGPGDIEFIDPAILAVGKGRHQGGLSNAGLDMRPNFQPQLSPLDDEARLQRLMQRSLSHHQNLRYDIGDNFSSLNDSYGITSRLMDQSQVNNMSPFAQLSLQQSRNSHMSNGHWDGWNDIQGGSNLGVAELLRNERLGFNKFYSGYEDSKYRMPSSGDLYNRTFGM >KJB21578 pep chromosome:Graimondii2_0_v6:4:134233:140627:1 gene:B456_004G002000 transcript:KJB21578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAAKKPNKRKRPKKPLLCSILYICFVVLVLLLVVVSLFIAQSHLHRFFFLSIPPPPSSTLTAIMSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDNTEGRCPACRSVYDKDKIVGMAANCERLVVGNNSERKMKSQKPKAKSSEGRKQLSSVRVIQRNLVYIVGLPLDLADEDLLQQLEYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLEGRPLKACFGTTKYCHAWLRNMPCNNPDCLYLHEIGPQEDSFTKDEIISAYTRVQQITGATNNMQRRAGNTLPPPVDDYCPNSSASAAKSVSKSAPNNTTVSISRCSPPNGSSGRSIALPAGASWGMRALNQPQSTILACSNGPSKLKSDIDSNTIPFSSAGTNTNQSCTLHVDARKKSSEEIHSMSMKGKPDLLKPLQQTAGFAVEKPPSPDIVSASKSLSSQLSCPPASSYNDQVANVPSTATNSVFDHEQSLISPVGEVGSTSTADGKIQSLCSDMSTLTLDKNLLNGHSDLVRPSSSASGYGSSNSPSSQGLQQCCTELYSEHLSSPVAGRSMTSHNGVCVSQEQSDWRTQTQVVENTSSEVEEDVLSFDNQRIKDPEVISHSSYLPNSTVSLHLSDHSRAHSLQHSETFGAVNMNADTPLVDNKVRDNLHPHGSHISSLSNEYPEKYISSGISSDITSGGFLPLLNEEQGKQMGKPLGNAECNTGKDTGENSIISNILSLDFDTWDESITSPQNLAKLLGDTDKESNSLKLSSSWKALNNNQSRFSFARQEDSKYNSFDADSSFGVFGQMLRNHPSSQDFAESRDLYPNKFGISNGFSSSNFKYSDKFTSSPSVFSSNKLSGEFSRTQISAPPGFSVPSKAPPPGFSYHERVDPVFDTVSENHLMDSSSLLRNSYQARTSVGIGGPGDIEFIDPAILAVGKGRHQGGLSNAGLDMRPNFQPQLSPLDDEARLQRLMQRSLSHHQNLRYDIGDNFSSLNDSYGITSRLMDQSQVNNMSPFAQLSLQQSRNSHMSNGHWDGWNDIQGGSNLGVAELLRNERLGFNKFYSGYEDSKYRMPSSGDLYNRTFGM >KJB23573 pep chromosome:Graimondii2_0_v6:4:23830743:23832709:1 gene:B456_004G110800 transcript:KJB23573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCCSLPTAKSMSLFSKKPHGLIKASSSSSSSSADIPDFLSAHWLESRRKRPFGPRLTFSAEEAVQHQLDALKYNDQPRQDYGIEFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSSYRVLLGHKERKILSSLFVKEVLD >KJB23575 pep chromosome:Graimondii2_0_v6:4:23830743:23832709:1 gene:B456_004G110800 transcript:KJB23575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCCSLPTAKSMSLFSKKPHGLIKASSSSSSSSADIPDFLSAHWLESRRKRPFGPRLTFSAEEAVQHQLDALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSSYRVLLGHKERKILSSLFVKENQFKQRIWILGSRPNEEEIFEFTMVQVCTSIFFASLLQFFPGTNNTICAI >KJB23572 pep chromosome:Graimondii2_0_v6:4:23830612:23833436:1 gene:B456_004G110800 transcript:KJB23572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCCSLPTAKSMSLFSKKPHGLIKASSSSSSSSADIPDFLSAHWLESRRKRPFGPRLTFSAEEAVQHQLDALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSSYRVLLGHKERKILSSLFVKENQFKQRIWILGSRPNEEEIFEFTMVQRIGGSWDGYWLTESLHHDGDAFAGGLAY >KJB23574 pep chromosome:Graimondii2_0_v6:4:23830816:23831910:1 gene:B456_004G110800 transcript:KJB23574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCCSLPTAKSMSLFSKKPHGLIKASSSSSSSSADIPDFLSAHWLESRRKRPFGPRLTFSAEEAVQHQLDALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSSYRVLLGHKERKILSSLFVKEVLD >KJB23576 pep chromosome:Graimondii2_0_v6:4:23830743:23833268:1 gene:B456_004G110800 transcript:KJB23576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCCSLPTAKSMSLFSKKPHGLIKASSSSSSSSADIPDFLSAHWLESRRKRPFGPRLTFSAEEAVQHQLDALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSSYRVLLGHKERKILSSLFVKENQFKQRIWILGSRPNEEEIFEFTMVQLVFGRGLVVHGMGIG >KJB22529 pep chromosome:Graimondii2_0_v6:4:4817518:4820253:-1 gene:B456_004G052600 transcript:KJB22529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLFPEEDASDVRLRYKPDVINGDMDSIRKEVLDFYRSLGTKIIDKSHDQDTTDLHKCITYIWDFAPDANKSSLCILVAGALGGRYDHEMGNLNVLYCFSSLRIVLLSDDSLIYLLPRTHHHEIHIQTSVEGPHCGLIPIGAPSRSSTTTGLRWNLNNTEMRFGGLISSSNIVVGEKVTVQSDTDLLWTISIKKL >KJB22530 pep chromosome:Graimondii2_0_v6:4:4818293:4820253:-1 gene:B456_004G052600 transcript:KJB22530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLMHHSSTFLLPTIPLHHRPSLTYALVVLNQTLPRFAPLLWKHAQLRLCADGGANRVFDEMPLLFPEEDASDVRLRYKPDVINGDMDSIRKEVLDFYRSLGTKIIDKSHDQDTTDLHKCITYIWDFAPDANKSSLCILVAGALGGRYDHEMGNLNVLYCFSSLRIVLLSDDSLIYLLPRTHHHEIHIQTSVEGPHCGLIPIGAPSRSSTTTGLRWNLSKYMSTLL >KJB22528 pep chromosome:Graimondii2_0_v6:4:4817504:4820341:-1 gene:B456_004G052600 transcript:KJB22528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLMHHSSTFLLPTIPLHHRPSLTYALVVLNQTLPRFAPLLWKHAQLRLCADGGANRVFDEMPLLFPEEDASDVRLRYKPDVINGDMDSIRKEVLDFYRSLGTKIIDKSHDQDTTDLHKCITYIWDFAPDANKSSLCILVAGALGGRYDHEMGNLNVLYCFSSLRIVLLSDDSLIYLLPRTHHHEIHIQTSVEGPHCGLIPIGAPSRSSTTTGLRWNLNNTEMRFGGLISSSNIVVGEKVTVQSDTDLLWTISIKKL >KJB25843 pep chromosome:Graimondii2_0_v6:4:54431398:54445166:-1 gene:B456_004G211700 transcript:KJB25843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVETGQNLTVSSTGSLNIQSGPVYYPSEEEFRDPLGYIYKIRPEAEPYGICKIVPPKTWNPPFSLNLDSFTFPTKTQAIHQLQARPASCDSKTFELEYNRFLEGHCGKKLKKRVIFEGEELDLCKLFNAVRRYGGYDKVVKGKKWGEVFRFVRSGKKISECAKHVLCQLYREHLYDYEGYYNRLNRERAKSYKRGINEDAKNEKKAKIYSSKRRRKNSDHRNVKVCKVEEEDHDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSDKDSFGFIPGKQFTLEAFRRLADRANKKWFGSGCASRVQIEKKFWEIVEGLAGDVEVMYGSDLDTSVHGSGFPRVNDQRPESVEPKAWDEYCKSPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRDCLPDLFDAQPDLLFQLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGSGAELYQLYRKAPVLSHEELLCVVAKSDWDKNASTYLRKELLRMYKKERTFRERLWLSGITRSSPMSPRRSPEFVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSSKHRLLYRHTLAVLADLVLIVDKHESEEMPPSDSLLGNISSSSELNSLKKKVKGAHVTHAQLAEQWLSQACKIFQGPFSGDAYATLLKEAEQFLWAGAEMDSVRDVVKNLTAARKWVQGIRDCLSKIENWSAGGDFEKVAHKRVKKLLSVDPVPCNEPGYHKLKHCAEEANLLVHDIDAALSTFSKLDELELLYSRACSSSIHVEQILKLSQKISLVKVWIDNARKAISNEQPAAVEVDILYKLKTEILELQVQVQEKEMIFDLVSQAESCQARCRSLLSGSVTLKDVEVLLQEMASFSVNIPELALLKQYQIDASLWITKLNDIMINIHQREDQQSVINELNRILVDGESLKIQVDELSLVKIELKKACCREKAIKARNSKMALDFLQQLLADAVILQIEREDLFISLSRELAGALQWEERAKDILACKAQMSEFEDLIRMSEDIVAIMPSLGDVKAAILVANSWLNNSKPFLEPDLSGSSTSRSLLKLDDLKELVSESRFLKITFKQQNVLETILENSKRWQHDAYSLLQDVECLYSVTDIGDGRSNDLILKIEHIVNLIESVSKAGLSFGLDFPEIPKLQNACSTLHWCNKVLSFCYLMPSYEDVESLMNISEQLSIMHSSCNLLSSLIFGAKWLKKVSEVISAPVKCNACKLTDAEEMLAAYQDISVAFPMMVAQLTEATCKHRLWQEQVHQFLSLEMGERSWSQLMQLEEYGKASFFTCPEVDIVVSEVEKVEKWKQRCMDAVKTFAGDESSLLCALQKIKESLDRSLYIYEKSESCGGVCLYMCCMSGSEDWDFLTCSTCKDCYHLQCLEYRRNNAEEAYTCSYCQLLVGRLIPPNRCGFLRHNGKYSDLKLLSDLLFVDEKFCVRIEEREILQQIVDQAYACKKCLTEILDFEMSCYNKDQFTAVGKKLTTAWKGDAMNISPEDHLILKLSELKDIGLQWVDRAKKVAADCGALGLDGVFELITEGERLPVCLKKELELLRARSRLHCICRKPYDERSMIVCGRCDEWYHIRCVNLVFPPKVYICAACMPGTQHLVSSFDSLMDHERCTVEPKTPSPRHKKPRTGPKKSESSATQKMLIGDENGSGIGHLRWRNRKPFRRAAKKRAELDSLTSFFHRPQPIIT >KJB25841 pep chromosome:Graimondii2_0_v6:4:54431716:54444901:-1 gene:B456_004G211700 transcript:KJB25841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVETGQNLTVSSTGSLNIQSGPVYYPSEEEFRDPLGYIYKIRPEAEPYGICKIVPPKTWNPPFSLNLDSFTFPTKTQAIHQLQARPASCDSKTFELEYNRFLEGHCGKKLKKRVIFEGEELDLCKLFNAVRRYGGYDKVVKGKKWGEVFRFVRSGKKISECAKHVLCQLYREHLYDYEGYYNRLNRERAKSYKRGINEDAKNEKKAKIYSSKRRRKNSDHRNVKVCKVEEEDHDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSDKDSFGFIPGKQFTLEAFRRLADRANKKWFGSGCASRVQIEKKFWEIVEGLAGDVEVMYGSDLDTSVHGSGFPRVNDQRPESVEPKAWDEYCKSPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGSGAELYQLYRKAPVLSHEELLCVVAKQSDWDKNASTYLRKELLRMYKKERTFRERLWLSGITRSSPMSPRRSPEFVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSSKHRLLYRHTLAVLADLVLIVDKHESEEMPPSDSLLGNISSSSELNSLKKKVKGAHVTHAQLAEQWLSQACKIFQGPFSGDAYATLLKEAEQFLWAGAEMDSVRDVVKNLTAARKWVQGIRDCLSKIENWSAGGDFEKVAHKRVKKLLSVDPVPCNEPGYHKLKHCAEEANLLVHDIDAALSTFSKLDELELLYSRACSSSIHVEQILKLSQKISLVKVWIDNARKAISNEQPAAVEVDILYKLKTEILELQVQVQEKEMIFDLVSQAESCQARCRSLLSGSVTLKDVEVLLQEMASFSVNIPELALLKQYQIDASLWITKLNDIMINIHQREDQQSVINELNRILVDGESLKIQVDELSLVKIELKKACCREKAIKARNSKMALDFLQQLLADAVILQIEREDLFISLSRELAGALQWEERAKDILACKAQMSEFEDLIRMSEDIVAIMPSLGDVKAAILVANSWLNNSKPFLEPDLSGSSTSRSLLKLDDLKELVSESRFLKITFKQQNVLETILENSKRWQHDAYSLLQDVECLYSVTDIGDGRSNDLILKIEHIVNLIESVSKAGLSFGLDFPEIPKLQNACSTLHWCNKVLSFCYLMPSYEDVESLMNISEQLSIMHSSCNLLSSLIFGAKWLKKVSEVISAPVKCNACKLTDAEEMLAAYQDISVAFPMMVAQLTEATCKHRLWQEQVHQFLSLEMGERSWSQLMQLEEYGKASFFTCPEVDIVVSEVEKVEKWKQRCMDAVKTFAGDESSLLCALQKIKESLDRSLYIYEKSESCGGVCLYMCCMSGSEDWDFLTCSTCKDCYHLQCLEYRRNNAEEAYTCSYCQLLVGRLIPPNRCGFLRHNGKYSDLKLLSDLLFVDEKFCVRIEEREILQQIVDQAYACKKCLTEILDFEMSCYNKDQFTAVGKKLTTAWKAFGVAGVYDHQSYCDLERALARFSWRFQVARLLDALEKDLEKPSVQQIFQHLKEGDAMNISPEDHLILKLSELKDIGLQWVDRAKKVAADCGALGLDGVFELITEGERLPVCLKKELELLRARSRLHCICRKPYDERSMIVCGRCDEWYHIRCVNLVFPPKVYICAACMPGTQHLVSSFDSLMDHERCTVEPKTPSPRHKKPRTGPKKSESSATQKMLIGDENGSGIGHLRWRNRKPFRRAAKKRAELDSLTSFFHRPQPIIT >KJB25848 pep chromosome:Graimondii2_0_v6:4:54435994:54445166:-1 gene:B456_004G211700 transcript:KJB25848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVETGQNLTVSSTGSLNIQSGPVYYPSEEEFRDPLGYIYKIRPEAEPYGICKIVPPKTWNPPFSLNLDSFTFPTKTQAIHQLQARPASCDSKTFELEYNRFLEGHCGKKLKKRVIFEGEELDLCKLFNAVRRYGGYDKVVKGKKWGEVFRFVRSGKKISECAKHVLCQLYREHLYDYEGYYNRLNRERAKSYKRGINEDAKNEKKAKIYSSKRRRKNSDHRNVKVCKVEEEDHDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSDKDSFGFIPGKQFTLEAFRRLADRANKKWFGSGCASRVQIEKKFWEIVEGLAGDVEVMYGSDLDTSVHGSGFPRVNDQRPESVEPKAWDEYCKSPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRDCLPDLFDAQPDLLFQLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGSGAELYQLYRKAPVLSHEELLCVVAKSDWDKNASTYLRKELLRMYKKERTFRERLWLSGITRSSPMSPRRSPEFVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSSKHRLLYRHTLAVLADLVLIVDKHESEEMPPSDSLLGNISSSSELNSLKKKVKGAHVTHAQLAEQWLSQACKIFQGPFSGDAYATLLKEAEQFLWAGAEMDSVRDVVKNLTAARKWVQGIRDCLSKIENWSAGGDFEKVAHKRVKKLLSVDPVPCNEPGYHKLKHCAEEANLLVHDIDAALSTFSKLDELELLYSRACSSSIHVEQILKLSQKISLVKVWIDNARKAISNEQPAAVEVDILYKLKTEILELQVQVQEKEMIFDLVSQAESCQARCRSLLSGSVTLKDVEVLLQEMASFSVNIPELALLKQYQIDASLWITKLNDIMINIHQREDQQSVINELNRILVDGESLKIQVDELSLVKIELKKACCREKAIKARNSKMALDFLQQLLADAVILQIEREDLFISLSRELAGALQWEERAKDILACKAQMSEFEDLIRMSEDIVAIMPSLGDVKAAILVANSWLNNSKPFLEPDLSGSSTSRSLLKLDDLKELVSESRFLKITFKQQNVLETILENSKRWQHDAYSLLQDVECLYSVTDIGDGRSNDLILKIEHIVNLIESVSKAGLSFGLDFPEIPKLQNACSTLHWCNKVLSFCYLMPSYEDVESLMNISEQLSIMHSSCNLLSSLIFGAKWLKKVSEVISAPVKCNACKLTDAEEMLAAYQDISVAFPMMVAQLTEATCKHRSVY >KJB25837 pep chromosome:Graimondii2_0_v6:4:54431716:54444901:-1 gene:B456_004G211700 transcript:KJB25837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVETGQNLTVSSTGSLNIQSGPVYYPSEEEFRDPLGYIYKIRPEAEPYGICKIVPPKTWNPPFSLNLDSFTFPTKTQAIHQLQARPASCDSKTFELEYNRFLEGHCGKKLKKRVIFEGEELDLCKLFNAVRRYGGYDKVVKGKKWGEVFRFVRSGKKISECAKHVLCQLYREHLYDYEGYYNRLNRERAKSYKRGINEDAKNEKKAKIYSSKRRRKNSDHRNVKVCKVEEEDHDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSDKDSFGFIPGKQFTLEAFRRLADRANKKWFGSGCASRVQIEKKFWEIVEGLAGDVEVMYGSDLDTSVHGSGFPRVNDQRPESVEPKAWDEYCKSPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRDCLPDLFDAQPDLLFQLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGSGAELYQLYRKAPVLSHEELLCVVAKQSDWDKNASTYLRKELLRMYKKERTFRERLWLSGITRSSPMSPRRSPEFVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSSKHRLLYRHTLAVLADLVLIVDKHESEEMPPSDSLLGNISSSSELNSLKKKVKGAHVTHAQLAEQWLSQACKIFQGPFSGDAYATLLKEAEQFLWAGAEMDSVRDVVKNLTAARKWVQGIRDCLSKIENWSAGGDFEKVAHKRVKKLLSVDPVPCNEPGYHKLKHCAEEANLLVHDIDAALSTFSKLDELELLYSRACSSSIHVEQILKLSQKISLVKVWIDNARKAISNEQPAAVEVDILYKLKTEILELQVQVQEKEMIFDLVSQAESCQARCRSLLSGSVTLKDVEVLLQEMASFSVNIPELALLKQYQIDASLWITKLNDIMINIHQREDQQSVINELNRILVDGESLKIQVDELSLVKIELKKACCREKAIKARNSKMALDFLQQLLADAVILQIEREDLFISLSRELAGALQWEERAKDILACKAQMSEFEDLIRMSEDIVAIMPSLGDVKAAILVANSWLNNSKPFLEPDLSGSSTSRSLLKLDDLKELVSESRFLKITFKQQNVLETILENSKRWQHDAYSLLQDVECLYSVTDIGDGRSNDLILKIEHIVNLIESVSKAGLSFGLDFPEIPKLQNACSTLHWCNKVLSFCYLMPSYEDVESLMNISEQLSIMHSSCNLLSSLIFGAKWLKKVSEVISAPVKCNACKLTDAEEMLAAYQDISVAFPMMVAQLTEATCKHRLWQEQVHQFLSLEMGERSWSQLMQLEEYGKASFFTCPEVDIVVSEVEKVEKWKQRCMDAVKTFAGDESSLLCALQKIKESLDRSLYIYEKSESCGGVCLYMCCMSGSEDWDFLTCSTCKDCYHLQCLEYRRNNAEEAYTCSYCQLLVGRLIPPNRCGFLRHNGKYSDLKLLSDLLFVDEKFCVRIEEREILQQIVDQAYACKKCLTEILDFEMSCYNKDQFTAVGKKLTTAWKAFGVAGVYDHQSYCDLERALARFSWRFQVARLLDALEKDLEKPSVQQIFQHLKEGDAMNISPEDHLILKLSELKDIGLQWVDRAKKVAADCGALGLDGVFELITEGERLPVCLKKELELLRARSRLHCICRKPYDERSMIVCGRCDEWYHIRCVNLVFPPKVYICAACMPGTQHLVSSFDSLMDHERCTVEPKTPSPRHKKPRTGPKKSESSATQKMLIGDENGSGIGHLRWRNRKPFRRAAKKRAELDSLTSFFHRPQPIIT >KJB25844 pep chromosome:Graimondii2_0_v6:4:54431975:54444901:-1 gene:B456_004G211700 transcript:KJB25844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVETGQNLTVSSTGSLNIQSGPVYYPSEEEFRDPLGYIYKIRPEAEPYGICKIVPPKTWNPPFSLNLDSFTFPTKTQAIHQLQARPASCDSKTFELEYNRFLEGHCGKKLKKRVIFEGEELDLCKLFNAVRRYGGYDKVVKGKKWGEVFRFVRSGKKISECAKHVLCQLYREHLYDYEGYYNRLNRERAKSYKRGINEDAKNEKKAKIYSSKRRRKNSDHRNVKVCKVEEEDHDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSDKDSFGFIPGKQFTLEAFRRLADRANKKWFGSGCASRVQIEKKFWEIVEGLAGDVEVMYGSDLDTSVHGSGFPRVNDQRPESVEPKAWDEYCKSPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRDCLPDLFDAQPDLLFQLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGSGAELYQLYRKAPVLSHEELLCVVAKSDWDKNASTYLRKELLRMYKKERTFRERLWLSGITRSSPMSPRRSPEFVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSSKHRLLYRHTLAVLADLVLIVDKHESEEMPPSDSLLGNISSSSELNSLKKKVKGAHVTHAQLAEQWLSQACKIFQGPFSGDAYATLLKEAEQFLWAGAEMDSVRDVVKNLTAARKWVQGIRDCLSKIENWSAGGDFEKVAHKRVKKLLSVDPVPCNEPGYHKLKHCAEEANLLVHDIDAALSTFSKLDELELLYSRACSSSIHVEQILKLSQKISLVKVWIDNARKAISNEQPAAVEVDILYKLKTEILELQVQVQEKEMIFDLVSQAESCQARCRSLLSGSVTLKDVEVLLQEMASFSVNIPELALLKQYQIDASLWITKLNDIMINIHQREDQQSVINELNRILVDGESLKIQVDELSLVKIELKKACCREKAIKARNSKMALDFLQQLLADAVILQIEREDLFISLSRELAGALQWEERAKDILACKAQMSEFEDLIRMSEDIVAIMPSLGDVKAAILVANSWLNNSKPFLEPDLSGSSTSRSLLKLDDLKELVSESRFLKITFKQQNVLETILENSKRWQHDAYSLLQDVECLYSVTDIGDGRSNDLILKIEHIVNLIESVSKAGLSFGLDFPEIPKLQNACSTLHWCNKVLSFCYLMPSYEDVESLMNISEQLSIMHSSCNLLSSLIFGAKWLKKVSEVISAPVKCNACKLTDAEEMLAAYQDISVAFPMMVAQLTEATCKHRLWQEQVHQFLSLEMGERSWSQLMQLEEYGKASFFTCPEVDIVVSEVEKVEKWKQRCMDAVKTFAGDESSLLCALQKIKESLDRSLYIYEKSESCGGVCLYMCCMSGSEDWDFLTCSTCKDCYHLQCLEYRRNNAEEAYTCSYCQLLVGRLIPPNRCGFLRHNGKYSDLKLLSDLLFVDEKFCVRIEEREILQQIVDQAYACKKCLTEILDFEMSCYNKDQFTAVGKKLTTAWKAFGVAGVYDHQSYCDLERALARFSWRFQVARLLDALEKDLEKPSVQQIFQHLKEGDAMNISPEDHLILKLSELKDIGLQWVDRAKKVAADCGALGLDGVFELITEGERLPVCLKKELELLRARSRLHCICRKPYDERSMIVCGRCDEWYHIRCVNLVFPPKVYICAACMPGTQHLVSSFDSLMDHERYVNGIFNIPNTCST >KJB25838 pep chromosome:Graimondii2_0_v6:4:54431212:54445166:-1 gene:B456_004G211700 transcript:KJB25838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVETGQNLTVSSTGSLNIQSGPVYYPSEEEFRDPLGYIYKIRPEAEPYGICKIVPPKTWNPPFSLNLDSFTFPTKTQAIHQLQARPASCDSKTFELEYNRFLEGHCGKKLKKRVIFEGEELDLCKLFNAVRRYGGYDKVVKGKKWGEVFRFVRSGKKISECAKHVLCQLYREHLYDYEGYYNRLNRERAKSYKRGINEDAKNEKKAKIYSSKRRRKNSDHRNVKVCKVEEEDHDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSDKDSFGFIPGKQFTLEAFRRLADRANKKWFGSGCASRVQIEKKFWEIVEGLAGDVEVMYGSDLDTSVHGSGFPRVNDQRPESVEPKAWDEYCKSPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRDCLPDLFDAQPDLLFQLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGSGAELYQLYRKAPVLSHEELLCVVAKSDWDKNASTYLRKELLRMYKKERTFRERLWLSGITRSSPMSPRRSPEFVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSSKHRLLYRHTLAVLADLVLIVDKHESEEMPPSDSLLGNISSSSELNSLKKKVKGAHVTHAQLAEQWLSQACKIFQGPFSGDAYATLLKEAEQFLWAGAEMDSVRDVVKNLTAARKWVQGIRDCLSKIENWSAGGDFEKVAHKRVKKLLSVDPVPCNEPGYHKLKHCAEEANLLVHDIDAALSTFSKLDELELLYSRACSSSIHVEQILKLSQKISLVKVWIDNARKAISNEQPAAVEVDILYKLKTEILELQVQVQEKEMIFDLVSQAESCQARCRSLLSGSVTLKDVEVLLQEMASFSVNIPELALLKQYQIDASLWITKLNDIMINIHQREDQQSVINELNRILVDGESLKIQVDELSLVKIELKKACCREKAIKARNSKMALDFLQQLLADAVILQIEREDLFISLSRELAGALQWEERAKDILACKAQMSEFEDLIRMSEDIVAIMPSLGDVKAAILVANSWLNNSKPFLEPDLSGSSTSRSLLKLDDLKELVSESRFLKITFKQQNVLETILENSKRWQHDAYSLLQDVECLYSVTDIGDGRSNDLILKIEHIVNLIESVSKAGLSFGLDFPEIPKLQNACSTLHWCNKVLSFCYLMPSYEDVESLMNISEQLSIMHSSCNLLSSLIFGAKWLKKVSEVISAPVKCNACKLTDAEEMLAAYQDISVAFPMMVAQLTEATCKHRLWQEQVHQFLSLEMGERSWSQLMQLEEYGKASFFTCPEVDIVVSEVEKVEKWKQRCMDAVKTFAGDESSLLCALQKIKESLDRSLYIYEKSESCGGVCLYMCCMSGSEDWDFLTCSTCKDCYHLQCLEYRRNNAEEAYTCSYCQLLVGRLIPPNRCGFLRHNGKYSDLKLLSDLLFVDEKFCVRIEEREILQQIVDQAYACKKCLTEILDFEMSCYNKDQFTAVGKKLTTAWKAFGVAGVYDHQSYCDLERALARFSWRFQVARLLDALEKDLEKPSVQQIFQHLKEGDAMNISPEDHLILKLSELKDIGLQWVDRAKKVAADCGALGLDGVFELITEGERLPVCLKKELELLRARSRLHCICRKPYDERSMIVCGRCDEWYHIRCVNLVFPPKVYICAACMPGTQHLVSSFDSLMDHERCTVEPKTPSPRHKKPRTGPKKSESSATQKMLIGDENGSGIGHLRWRNRKPFRRAAKKRAELDSLTSFFHRPQPIIT >KJB25845 pep chromosome:Graimondii2_0_v6:4:54431398:54445166:-1 gene:B456_004G211700 transcript:KJB25845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVETGQNLTVSSTGSLNIQSGPVYYPSEEEFRDPLGYIYKIRPEAEPYGICKIVPPKTWNPPFSLNLDSFTFPTKTQAIHQLQARPASCDSKTFELEYNRFLEGHCGKKLKKRVIFEGEELDLCKLFNAVRRYGGYDKVVKGKKWGEVFRFVRSGKKISECAKHVLCQLYREHLYDYEGYYNRLNRERAKSYKRGINEDAKNEKKAKIYSSKRRRKNSDHRNVKVCKVEEEDHDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSDKDSFGFIPGKQFTLEAFRRLADRANKKWFGSGCASRVQIEKKFWEIVEGLAGDVEVMYGSDLDTSVHGSGFPRVNDQRPESVEPKAWDEYCKSPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRDCLPDLFDAQPDLLFQLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGSGAELYQLYRKAPVLSHEELLCVVAKSDWDKNASTYLRKELLRMYKKERTFRERLWLSGITRSSPMSPRRSPEFVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSSKHRLLYRHTLAVLADLVLIVDKHESEEMPPSDSLLGNISSSSELNSLKKKVKGAHVTHAQLAEQWLSQACKIFQGPFSGDAYATLLKEAEQFLWAGAEMDSVRDVVKNLTAARKWVQGIRDCLSKIENWSAGGDFEKVAHKRVKKLLSVDPVPCNEPGYHKLKHCAEEANLLVHDIDAALSTFSKLDELELLYSRACSSSIHVEQILKLSQKISLVKVWIDNARKAISNEQPAAVEVDILYKLKTEILELQVQVQEKEMIFDLVSQAESCQARCRSLLSGSVTLKDVEVLLQEMASFSVNIPELALLKQYQIDASLWITKLNDIMINIHQREDQQSVINELNRILVDGESLKIQVDELSLVKIELKKACCREKAIKARNSKMALDFLQQLLADAVILQIEREDLFISLSRELAGALQWEERAKDILACKAQMSEFEDLIRMSEDIVAIMPSLGDVKAAILVANSWLNNSKPFLEPDLSGSSTSRSLLKLDDLKELVSESRFLKITFKQQNVLETILENSKRWQHDAYSLLQDVECLYSVTDIGDGRSNDLILKIEHIVNLIESVSKAGLSFGLDFPEIPKLQNACSTLHWCNKVLSFCYLMPSYEDVESLMNISEQLSIMHSSCNLLSSLIFGAKWLKKVSEVISAPVKCNACKLTDAEEMLAAYQDISVAFPMMVAQLTEATCKHRLWQEQVHQFLSLEMGERSWSQLMQLEEYGKASFFTCPEVDIVVSEVEKVEKWKQRCMDAVKTFAGDESSLLCALQKIKESLDRSLYIYEKSESCGGVCLYMCCMSGSEDWDFLTCSTCKDCYHLQCLEYRRNNAEEAYTCSYCQLLVGRLIPPNRCGFLRHNGKYSDLKLLSDLLFVDEKFCVRIEEREILQQIVDQAYACKKCLTEILDFEMSCYNKDQFTAVGKKLTTAWKAFGVAGVYDHQSYCDLERALARFSWRFQVARLLDALEKDLEKPSVQQIFQHLKEVYAMNISPEDHLILKLSELKDIGLQWVDRAKKVAADCGALGLDGVFELITEGERLPVCLKKELELLRARSRLHCICRKPYDERSMIVCGRCDEWYHIRCVNLVFPPKVYICAACMPGTQHLVSSFDSLMDHERCTVEPKTPSPRHKKPRTGPKKSESSATQKMLIGDENGSGIGHLRWRNRKPFRRAAKKRAELDSLTSFFHRPQPIIT >KJB25840 pep chromosome:Graimondii2_0_v6:4:54431212:54444980:-1 gene:B456_004G211700 transcript:KJB25840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVETGQNLTVSSTGSLNIQSGPVYYPSEEEFRDPLGYIYKIRPEAEPYGICKIVPPKTWNPPFSLNLDSFTFPTKTQAIHQLQARPASCDSKTFELEYNRFLEGHCGKKLKKRVIFEGEELDLCKLFNAVRRYGGYDKVVKGKKWGEVFRFVRSGKKISECAKHVLCQLYREHLYDYEGYYNRLNRERAKSYKRGINEDAKNEKKAKIYSSKRRRKNSDHRNVKVCKVEEEDHDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSDKDSFGFIPGKQFTLEAFRRLADRANKKWFGSGCASRVQIEKKFWEIVEGLAGDVEVMYGSDLDTSVHGSGFPRVNDQRPESVEPKAWDEYCKSPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRDCLPDLFDAQPDLLFQLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGSGAELYQLYRKAPVLSHEELLCVVAKSDWDKNASTYLRKELLRMYKKERTFRERLWLSGITRSSPMSPRRSPEFVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSSKHRLLYRHTLAVLADLVLIVDKHESEEMPPSDSLLGNISSSSELNSLKKKVKGAHVTHAQLAEQWLSQACKIFQGPFSGDAYATLLKEAEQFLWAGAEMDSVRDVVKNLTAARKWVQGIRDCLSKIENWSAGGDFEKVAHKRVKKLLSVDPVPCNEPGYHKLKHCAEEANLLVHDIDAALSTFSKLDELELLYSRACSSSIHVEQILKLSQKISLVKVWIDNARKAISNEQPAAVEVDILYKLKTEILELQVQVQEKEMIFDLVSQAESCQARCRSLLSGSVTLKDVEVLLQEMASFSVNIPELALLKQYQIDASLWITKLNDIMINIHQREDQQSVINELNRILVDGESLKIQVDELSLVKIELKKACCREKAIKARNSKMALDFLQQLLADAVILQIEREDLFISLSRELAGALQWEERAKDILACKAQMSEFEDLIRMSEDIVAIMPSLGDVKAAILVANSWLNNSKPFLEPDLSGSSTSRSLLKLDDLKELVSESRFLKITFKQQNVLETILENSKRWQHDAYSLLQDVECLYSVTDIGDGRSNDLILKIEHIVNLIESVSKAGLSFGLDFPEIPKLQNACSTLHWCNKVLSFCYLMPSYEDVESLMNISEQLSIMHSSCNLLSSLIFGAKWLKKVSEVISAPVKCNACKLTDAEEMLAAYQDISVAFPMMVAQLTEATCKHRLWQEQVHQFLSLEMGERSWSQLMQLEEYGKASFFTCPEVDIVVSEVEKVEKWKQRCMDAVKTFAGDESSLLCALQKIKESLDRSLYIYEKSESCGGVCLYMCCMSGSEDWDFLTCSTCKDCYHLQCLEYRRNNAEEAYTCSYCQLLVGRLIPPNRCGFLRHNGKYSDLKLLSDLLFVDEKFCVRIEEREILQQIVDQAYACKKCLTEILDFEMSCYNKDQFTAVGKKLTTAWKAFGVAGVYDHQSYCDLERALARFSWRFQVARLLDALEKDLEKPSVQQIFQHLKEGDAMNISPEDHLILKLSELKDIGLQWVDRAKKVAADCGALGLDGVFELITEGERLPVCLKKELELLRARSRLHCICRKPYDERSMIVCGRCDEWYHIRCVNLVFPPKVYICAACMPGTQHLVSSFDSLMDHERCTVEPKTPSPRHKKPRTGPKKSESSATQKMLIGDENGSGIGHLRWRNRKPFRRAAKKRAELDSLTSFFHRPQPIIT >KJB25839 pep chromosome:Graimondii2_0_v6:4:54431212:54445166:-1 gene:B456_004G211700 transcript:KJB25839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVETGQNLTVSSTGSLNIQSGPVYYPSEEEFRDPLGYIYKIRPEAEPYGICKIVPPKTWNPPFSLNLDSFTFPTKTQAIHQLQARPASCDSKTFELEYNRFLEGHCGKKLKKRVIFEGEELDLCKLFNAVRRYGGYDKVVKGKKWGEVFRFVRSGKKISECAKHVLCQLYREHLYDYEGYYNRLNRERAKSYKRGINEDAKNEKKAKIYSSKRRRKNSDHRNVKVCKVEEEDHDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSDKDSFGFIPGKQFTLEAFRRLADRANKKWFGSGCASRVQIEKKFWEIVEGLAGDVEVMYGSDLDTSVHGSGFPRVNDQRPESVEPKAWDEYCKSPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGSGAELYQLYRKAPVLSHEELLCVVAKSDWDKNASTYLRKELLRMYKKERTFRERLWLSGITRSSPMSPRRSPEFVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSSKHRLLYRHTLAVLADLVLIVDKHESEEMPPSDSLLGNISSSSELNSLKKKVKGAHVTHAQLAEQWLSQACKIFQGPFSGDAYATLLKEAEQFLWAGAEMDSVRDVVKNLTAARKWVQGIRDCLSKIENWSAGGDFEKVAHKRVKKLLSVDPVPCNEPGYHKLKHCAEEANLLVHDIDAALSTFSKLDELELLYSRACSSSIHVEQILKLSQKISLVKVWIDNARKAISNEQPAAVEVDILYKLKTEILELQVQVQEKEMIFDLVSQAESCQARCRSLLSGSVTLKDVEVLLQEMASFSVNIPELALLKQYQIDASLWITKLNDIMINIHQREDQQSVINELNRILVDGESLKIQVDELSLVKIELKKACCREKAIKARNSKMALDFLQQLLADAVILQIEREDLFISLSRELAGALQWEERAKDILACKAQMSEFEDLIRMSEDIVAIMPSLGDVKAAILVANSWLNNSKPFLEPDLSGSSTSRSLLKLDDLKELVSESRFLKITFKQQNVLETILENSKRWQHDAYSLLQDVECLYSVTDIGDGRSNDLILKIEHIVNLIESVSKAGLSFGLDFPEIPKLQNACSTLHWCNKVLSFCYLMPSYEDVESLMNISEQLSIMHSSCNLLSSLIFGAKWLKKVSEVISAPVKCNACKLTDAEEMLAAYQDISVAFPMMVAQLTEATCKHRLWQEQVHQFLSLEMGERSWSQLMQLEEYGKASFFTCPEVDIVVSEVEKVEKWKQRCMDAVKTFAGDESSLLCALQKIKESLDRSLYIYEKSESCGGVCLYMCCMSGSEDWDFLTCSTCKDCYHLQCLEYRRNNAEEAYTCSYCQLLVGRLIPPNRCGFLRHNGKYSDLKLLSDLLFVDEKFCVRIEEREILQQIVDQAYACKKCLTEILDFEMSCYNKDQFTAVGKKLTTAWKAFGVAGVYDHQSYCDLERALARFSWRFQVARLLDALEKDLEKPSVQQIFQHLKEGDAMNISPEDHLILKLSELKDIGLQWVDRAKKVAADCGALGLDGVFELITEGERLPVCLKKELELLRARSRLHCICRKPYDERSMIVCGRCDEWYHIRCVNLVFPPKVYICAACMPGTQHLVSSFDSLMDHERCTVEPKTPSPRHKKPRTGPKKSESSATQKMLIGDENGSGIGHLRWRNRKPFRRAAKKRAELDSLTSFFHRPQPIIT >KJB25847 pep chromosome:Graimondii2_0_v6:4:54431398:54445166:-1 gene:B456_004G211700 transcript:KJB25847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVETGQNLTVSSTGSLNIQSGPVYYPSEEEFRDPLGYIYKIRPEAEPYGICKIVPPKTWNPPFSLNLDSFTFPTKTQAIHQLQARPASCDSKTFELEYNRFLEGHCGKKLKKRVIFEGEELDLCKLFNAVRRYGGYDKVVKGKKWGEVFRFVRSGKKISECAKHVLCQLYREHLYDYEGYYNRLNRERAKSYKRGINEDAKNEKKAKIYSSKRRRKNSDHRNVKVCKVEEEDHDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSDKDSFGFIPGKQFTLEAFRRLADRANKKWFGSGCASRVQIEKKFWEIVEGLAGDVEVMYGSDLDTSVHGSGFPRVNDQRPESVEPKAWDEYCKSPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRDCLPDLFDAQPDLLFQLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGSGAELYQLYRKAPVLSHEELLCVVAKSDWDKNASTYLRKELLRMYKKERTFRERLWLSGITRSSPMSPRRSPEFVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSSKHRLLYRHTLAVLADLVLIVDKHESEEMPPSDSLLGNISSSSELNSLKKKVKGAHVTHAQLAEQWLSQACKIFQGPFSGDAYATLLKEAEQFLWAGAEMDSVRDVVKNLTAARKWVQGIRDCLSKIENWSAGGDFEKVAHKRVKKLLSVDPVPCNEPGYHKLKHCAEEANLLVHDIDAALSTFSKLDELELLYSRACSSSIHVEQILKLSQKISLVKVWIDNARKAISNEQPAAVEVDILYKLKTEILELQVQVQEKEMIFDLVSQAESCQARCRSLLSGSVTLKDVEVLLQEMASFSVNIPELALLKQYQIDASLWITKLNDIMINIHQREDQQSVINELNRILVDGESLKIQVDELSLVKIELKKACCREKAIKARNSKMALDFLQQLLADAVILQIEREDLFISLSRELAGALQWEERAKDILACKAQMSEFEDLIRMSEDIVAIMPSLGDVKAAILVANSWLNNSKPFLEPDLSGSSTSRSLLKLDDLKVHIAPSFAMSAEVIETNLRSLFYIFQELVSESRFLKITFKQQNVLETILENSKRWQHDAYSLLQDVECLYSVTDIGDGRSNDLILKIEHIVNLIESVSKAGLSFGLDFPEIPKLQNACSTLHWCNKVLSFCYLMPSYEDVESLMNISEQLSIMHSSCNLLSSLIFGAKWLKKVSEVISAPVKCNACKLTDAEEMLAAYQDISVAFPMMVAQLTEATCKHRLWQEQVHQFLSLEMGERSWSQLMQLEEYGKASFFTCPEVDIVVSEVEKVEKWKQRCMDAVKTFAGDESSLLCALQKIKESLDRSLYIYEKSESCGGVCLYMCCMSGSEDWDFLTCSTCKDCYHLQCLEYRRNNAEEAYTCSYCQLLVGRLIPPNRCGFLRHNGKYSDLKLLSDLLFVDEKFCVRIEEREILQQIVDQAYACKKCLTEILDFEMSCYNKDQFTAVGKKLTTAWKAFGVAGVYDHQSYCDLERALARFSWRFQVARLLDALEKDLEKPSVQQIFQHLKEGDAMNISPEDHLILKLSELKDIGLQWVDRAKKVAADCGALGLDGVFELITEGERLPVCLKKELELLRARSRLHCICRKPYDERSMIVCGRCDEWYHIRCVNLVFPPKVYICAACMPGTQHLVSSFDSLMDHERCTVEPKTPSPRHKKPRTGPKKSESSATQKMLIGDENGSGIGHLRWRNRKPFRRAAKKRAELDSLTSFFHRPQPIIT >KJB25846 pep chromosome:Graimondii2_0_v6:4:54431398:54445166:-1 gene:B456_004G211700 transcript:KJB25846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVETGQNLTVSSTGSLNIQSGPVYYPSEEEFRDPLGYIYKIRPEAEPYGICKIVPPKTWNPPFSLNLDSFTFPTKTQAIHQLQARPASCDSKTFELEYNRFLEGHCGKKLKKRVIFEGEELDLCKLFNAVRRYGGYDKVVKGKKWGEVFRFVRSGKKISECAKHVLCQLYREHLYDYEGYYNRLNRERAKSYKRGINEDAKNEKKAKIYSSKRRRKNSDHRNVKVCKVEEEDHDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSDKDSFGFIPGKQFTLEAFRRLADRANKKWFGSGCASRVQIEKKFWEIVEGLAGDVEVMYGSDLDTSVHGSGFPRVNDQRPESVEPKAWDEYCKSPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRDCLPDLFDAQPDLLFQLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGSGAELYQLYRKAPVLSHEELLCVVAKQSDWDKNASTYLRKELLRMYKKERTFRERLWLSGITRSSPMSPRRSPEFVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSSKHRLLYRHTLAVLADLVLIVDKHESEEMPPSDSLLGNISSSSELNSLKKKVKGAHVTHAQLAEQWLSQACKIFQGPFSGDAYATLLKEAEQFLWAGAEMDSVRDVVKNLTAARKWVQGIRDCLSKIENWSAGGDFEKVAHKRVKKLLSVDPVPCNEPGYHKLKHCAEEANLLVHDIDAALSTFSKLDELELLYSRACSSSIHVEQILKLSQKISLVKVWIDNARKAISNEQPAAVEVDILYKLKTEILELQVQVQEKEMIFDLVSQAESCQARCRSLLSGSVTLKDVEVLLQEMASFSVNIPELALLKQYQIDASLWITKLNDIMINIHQREDQQSVINELNRILVDGESLKIQVDELSLVKIELKKACCREKAIKARNSKMALDFLQQLLADAVILQIEREDLFISLSRELAGALQWEERAKDILACKAQMSEFEDLIRMSEDIVAIMPSLGDVKAAILVANSWLNNSKPFLEPDLSGSSTSRSLLKLDDLKELVSESRFLKITFKQQNVLETILENSKRWQHDAYSLLQDVECLYSVTDIGDGRSNDLILKIEHIVNLIESVSKAGLSFGLDFPEIPKLQNACSTLHWCNKVLSFCYLMPSYEDVESLMNISEQLSIMHSSCNLLSSLIFGAKWLKKVSEVISAPVKCNACKLTDAEEMLAAYQDISVAFPMMVAQLTEATCKHRLWQEQVHQFLSLEMGERSWSQLMQLEEYGKASFFTCPEVDIVVSEVEKVEKWKQRCMDAVKTFAGDESSLLCALQKIKESLDRSLYIYEKSESCGGVCLYMCCMSGSEDWDFLTCSTCKDCYHLQCLEYRRNNAEEAYTCSYCQLLVGRLIPPNRCGFLRHNGKYSDLKLLSDLLFVDEKFCVRIEEREILQQIVDQAYACKKCLTEILDFEMSCYNKDQFTAVGKKLTTAWKAFGVAGVYDHQSYCDLERALARFSWRFQVARLLDALEKDLEKPSVQQIFQHLKEGDAMNISPEDHLILKLSELKDIGLQWVDRAKKVAADCGALGLDGVFELITEGERLPVCLKKELELLRARSRLHCICRKPYDERSMIVCGRCDEWYHIRCVNLVFPPKVYICAACMPGTQHLVSSFDSLMDHERCTVEPKTPSPRHKKPRTGPKKSESSATQKMLIGDENGSGIGHLRWRNRKPFRRAAKKRAELDSLTSFFHRPQPIIT >KJB25842 pep chromosome:Graimondii2_0_v6:4:54431716:54444901:-1 gene:B456_004G211700 transcript:KJB25842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVETGQNLTVSSTGSLNIQSGPVYYPSEEEFRDPLGYIYKIRPEAEPYGICKIVPPKTWNPPFSLNLDSFTFPTKTQAIHQLQARPASCDSKTFELEYNRFLEGHCGKKLKKRVIFEGEELDLCKLFNAVRRYGGYDKVVKGKKWGEVFRFVRSGKKISECAKHVLCQLYREHLYDYEGYYNRLNRERAKSYKRGINEDAKNEKKAKIYSSKRRRKNSDHRNVKVCKVEEEDHDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSDKDSFGFIPGKQFTLEAFRRLADRANKKWFGSGCASRVQIEKKFWEIVEGLAGDVEVMYGSDLDTSVHGSGFPRVNDQRPESVEPKAWDEYCKSPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRDCLPDLFDAQPDLLFQLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGSGAELYQLYRKAPVLSHEELLCVVAKQSDWDKNASTYLRKELLRMYKKERTFRERLWLSGITRSSPMSPRRSPEFVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSSKHRLLYRHTLAVLADLVLIVDKHESEEMPPSDSLLGNISSSSELNSLKKKVKGAHVTHAQLAEQWLSQACKIFQGPFSGDAYATLLKEAEQFLWAGAEMDSVRDVVKNLTAARKWVQGIRDCLSKIENWSAGGDFEKVAHKRVKKLLSVDPVPCNEPGYHKLKHCAEEANLLVHDIDAALSTFSKLDELELLYSRACSSSIHVEQILKLSQKISLVKVWIDNARKAISNEQPAAVEVDILYKLKTEILELQVQVQEKEMIFDLVSQAESCQARCRSLLSGSVTLKDVEVLLQEMASFSVNIPELALLKQYQIDASLWITKLNDIMINIHQREDQQSVINELNRILVDGESLKIQVDELSLVKIELKKACCREKAIKARNSKMALDFLQQLLADAVILQIEREDLFISLSRELAGALQWEERAKDILACKAQMSEFEDLIRMSEDIVAIMPSLGDVKAAILVANSWLNNSKPFLEPDLSGSSTSRSLLKLDDLKELVSESRFLKITFKQQNVLETILENSKRWQHDAYSLLQDVECLYSVTDIGDGRSNDLILKIEHIVNLIESVSKAGLSFGLDFPEIPKLQNACSTLHWCNKVLSFCYLMPSYEDVESLMNISEQLSIMHSSCNLLSSLIFGAKWLKKVSEVISAPVKCNACKLTDAEEMLAAYQDISVAFPMMVAQLTEATCKHRLWQEQVHQFLSLEMGERSWSQLMQLEEYGKASFFTCPEVDIVVSEVEKVEKWKQRCMDAVKTFAGDESSLLCALQKIKESLDRSLYIYEKSESCGGVCLYMCCMSGSEDWDFLTCSTCKDCYHLQCLEYRRNNAEEAYTCSYCQLLVGRLIPPNRCGFLRHNGKYSDLKLLSDLLFVDEKFCVRIEEREILQQIVDQAYACKKCLTEILDFEMSCYNKDQFTAVGKKLTTAWKAFGVAGVYDHQSYCDLERALARFSWRFQVARLLDALEKDLEKPSVQQIFQHLKEGDAMNISPEDHLILKLSELKDIGLQWVDRAKKVAADCGALGLDGVFELITEGERLPVCLKKELELLRARSRLHCICRKPYDERSMIVCGRCDEWYHIRCVNLVFPPKVYICAACMPGTQHLVSSFDSLMDHERCTVEPKTPSPRHKKPRTGPKKSESSATQKMLIGDENGSGIGHLRWRNRKPFRRAAKKRAELDSLTSFFHRPQPIIT >KJB25849 pep chromosome:Graimondii2_0_v6:4:54436860:54444901:-1 gene:B456_004G211700 transcript:KJB25849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVETGQNLTVSSTGSLNIQSGPVYYPSEEEFRDPLGYIYKIRPEAEPYGICKIVPPKTWNPPFSLNLDSFTFPTKTQAIHQLQARPASCDSKTFELEYNRFLEGHCGKKLKKRVIFEGEELDLCKLFNAVRRYGGYDKVVKGKKWGEVFRFVRSGKKISECAKHVLCQLYREHLYDYEGYYNRLNRERAKSYKRGINEDAKNEKKAKIYSSKRRRKNSDHRNVKVCKVEEEDHDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSDKDSFGFIPGKQFTLEAFRRLADRANKKWFGSGCASRVQIEKKFWEIVEGLAGDVEVMYGSDLDTSVHGSGFPRVNDQRPESVEPKAWDEYCKSPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRDCLPDLFDAQPDLLFQLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGSGAELYQLYRKAPVLSHEELLCVVAKSDWDKNASTYLRKELLRMYKKERTFRERLWLSGITRSSPMSPRRSPEFVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSSKHRLLYRHTLAVLADLVLIVDKHESEEMPPSDSLLGNISSSSELNSLKKKVKGAHVTHAQLAEQWLSQACKIFQGPFSGDAYATLLKEAEQFLWAGAEMDSVRDVVKNLTAARKWVQGIRDCLSKIENWSAGGDFEKVAHKRVKKLLSVDPVPCNEPGYHKLKHCAEEANLLVHDIDAALSTFSKLDELELLYSRACSSSIHVEQILKLSQKISLVKVWIDNARKAISNEQPAAVEVDILYKLKTEILELQVQVQEKEMIFDLVSQAESCQARCRSLLSGSVTLKDVEVLLQEMASFSVNIPELALLKQYQIDASLWITKLNDIMINIHQREDQQSVINELNRILVDGESLKIQVDELSLVKIELKKACCREKAIKARNSKMALDFLQQLLADAVILQIEREDLFISLSRELAGALQWEERAKDILACKAQMSEFEDLIRMSEDIVAIMPSLGDVKAAILVANSWLNNSKPFLEPDLSGSSTSRSLLKLDDLKELVSESRFLKITFKQQNVLETILENSKRWQHDAYSLLQDVECLYSVTDIGDGRSNDLILKIEHIVNLIESVSKAGLSFGLDFPEIPKLQNACSTLHWCNKVLSFCYLMPSYEVTILSILFHFFDALLCMV >KJB26000 pep chromosome:Graimondii2_0_v6:4:55559457:55560407:1 gene:B456_004G220800 transcript:KJB26000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLLSFFQYSTTSEVSPESSFCSPEPYSSVFFNFNDAEELLWMDLLAEGGRPKPEPEEVTSEVKQPEPEPKGERNYRGVRKRPWGKYAAEIRDSTRNGVRVWIGTFDSAEEAALAYDQAAFAMKGALATLNFPMEVVKESLEEMKYRCEEGCSPVVALKKRHYLRKRSLSSSTVKNKRSKRNEVVGKQQQQQQQRNLVVFEDLGADYLEQLLSSC >KJB25505 pep chromosome:Graimondii2_0_v6:4:51433234:51434231:-1 gene:B456_004G195100 transcript:KJB25505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMYPSSNDSDLAVLDSIRRHLLGDSSDFRLDATIDCTTTTTTALPMVCRTSSFNRLYPCLTETWGALPLKENDSEDMLVFGFLRDALTVGWAPSDNSSMNLPPIKPEPQEILFEGPVRKVDRAVNTVVPAAVPAKAGHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDKAAYRMRGSRALLNFPLRVNSGEPDPVRVTSKRASPEPSNSSSFGAENGSPKRRRKVAPSAPVVAQAGLDTGSRADIKYEVRSCRHDNQRLLS >KJB23607 pep chromosome:Graimondii2_0_v6:4:21918287:21921043:-1 gene:B456_004G107200 transcript:KJB23607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLSLSWDSVDSKKLSFYVNPSHLPDQCSSFSFTSCFHVARAASMLTSLTRLKHIRVEPANVPDPNPVDRDSPFSSKNELVNENPKLVEGRKGQNRKKGITRNVDFRFGSRRSGNEVEKGDLFVCRNSGLDVDYTAIKPDLNLEHCNSILKRLEKSNDGNALRFFEWMRSNGKLDGNVTAYRLVLRVLGRRQDWDAAEILVRQAKCDSGCELDFQVFNTIIYACSKRGIVEMGAKWFRMMLEHGVQPNVATYGMLMGLYQKGWNVRDAEFALSQMRSSGIVCQSAYSAMITIYTRLSLYDKAEEVISFMREDKVALNLENWLVMLNAYSQSGKLDEAEQVLVSMQEAGFSPNIVAYNTLITGYGRASNMDAAQLVFLSIRQVGLEPDGTTYRSMIEGWGRTGNYKEAGWYYRAMKQLGFKPNSSNLYTLLTLQAKHGDEEGAIRTLDDMLKMRCQHSSILGTVLQAYEKTGRIYKVPLVITGSFYQHVLEDPTSCSILVMAYVKSGLVNDAIKVLGSKRWKDPVFEDNLYHLLICSCKELDDLDNAVKIFSQIPNSENKPNLHIMCTMIDIYSVMGHFNEAEKLYLKLKSSGVALDMIGFSIVVRMYVKAGSLKDACSALQMMEKQKDIVPDIYLFRDMLRIYQKCNMQEKLTTLYYRILKSGITWDQEMYNCVINCCARALPVDELSKIFNRMLHHGFAPNTITFNVMLDVYGKAKLFRKVKKLFWMAKTGGLVDVISYNTIISAYGQNKDFKNMSSTIREMQFNGFSVSLEAYNCMLDAYGKEGEMEKFRSVLQRMKESNCASDHYTYNIMINIYGERRWIDEVAAVLTELKECGVGPDLCSYNTLIKAYGIAGMVEDAVGLIKEMRGNGIEPDRITYSNLITALRKNDKFLEAVKWSLWMKQMEMENASGPT >KJB23611 pep chromosome:Graimondii2_0_v6:4:21917162:21921179:-1 gene:B456_004G107200 transcript:KJB23611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSLTRLKHIRVEPANVPDPNPVDRDSPFSSKNELVNENPKLVEGRKGQNRKKGITRNVDFRFGSRRSGNEVEKGDLFVCRNSGLDVDYTAIKPDLNLEHCNSILKRLEKSNDGNALRFFEWMRSNGKLDGNVTAYRLVLRVLGRRQDWDAAEILVRQAKCDSGCELDFQVFNTIIYACSKRGIVEMGAKWFRMMLEHGVQPNVATYGMLMGLYQKGWNVRDAEFALSQMRSSGIVCQSAYSAMITIYTRLSLYDKAEEVISFMREDKVALNLENWLVMLNAYSQSGKLDEAEQVLVSMQEAGFSPNIVAYNTLITGYGRASNMDAAQLVFLSIRQVGLEPDGTTYRSMIEGWGRTGNYKEAGWYYRAMKQLGFKPNSSNLYTLLTLQAKHGDEEGAIRTLDDMLKMRCQHSSILGTVLQAYEKTGRIYKVPLVITGSFYQHVLEDPTSCSILVMAYVKSGLVNDAIKVLGSKRWKDPVFEDNLYHLLICSCKELDDLDNAVKIFSQIPNSENKPNLHIMCTMIDIYSVMGHFNEAEKLYLKLKSSGVALDMIGFSIVVRMYVKAGSLKDACSALQMMEKQKDIVPDIYLFRDMLRIYQKCNMQEKLTTLYYRILKSGITWDQEMYNCVINCCARALPVDELSKIFNRMLHHGFAPNTITFNVMLDVYGKAKLFRKVKKLFWMAKTGGLVDVISYNTIISAYGQNKDFKNMSSTIREMQFNGFSVSLEAYNCMLDAYGKEGEMEKFRSVLQRMKESNCASDHYTYNIMINIYGERRWIDEVAAVLTELKECGVGPDLCSYNTLIKAYGIAGMVEDAVGLIKEMRGNGIEPDRITYSNLITALRKNDKFLEAVKWSLWMKQMEMENASGPT >KJB23609 pep chromosome:Graimondii2_0_v6:4:21916931:21921245:-1 gene:B456_004G107200 transcript:KJB23609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLSLSWDSVDSKKLSFYVNPSHLPDQCSSFSFTSCFHVARAASMLTSLTRLKHIRVEPANVPDPNPVDRDSPFSSKNELVNENPKLVEGRKGQNRKKGITRNVDFRFGSRRSGNEVEKGDLFVCRNSGLDVDYTAIKPDLNLEHCNSILKRLEKSNDGNALRFFEWMRSNGKLDGNVTAYRLVLRVLGRRQDWDAAEILVRQAKCDSGCELDFQVFNTIIYACSKRGIVEMGAKWFRMMLEHGVQPNVATYGMLMGLYQKGWNVRDAEFALSQMRSSGIVCQSAYSAMITIYTRLSLYDKAEEVISFMREDKVALNLENWLVMLNAYSQSGKLDEAEQVLVSMQEAGFSPNIVAYNTLITGYGRASNMDAAQLVFLSIRQVGLEPDGTTYRSMIEGWGRTGNYKEAGWYYRAMKQLGFKPNSSNLYTLLTLQAKHGDEEGAIRTLDDMLKMRCQHSSILGTVLQAYEKTGRIYKVPLVITGSFYQHVLEDPTSCSILVMAYVKSGLVNDAIKVLGSKRWKDPVFEDNLYHLLICSCKELDDLDNAVKIFSQIPNSENKPNLHIMCTMIDIYSVMGHFNEAEKLYLKLKSSGVALDMIGFSIVVRMYVKAGSLKDACSALQMMEKQKDIVPDIYLFRDMLRIYQKCNMQEKLTTLYYRILKSGITWDQEMYNCVINCCARALPVDELSKIFNRMLHHGFAPNTITFNVMLDVYGKAKLFRKVKKLFWMAKTGGLVDVISYNTIISAYGQNKDFKNMSSTIREMQFNGFSVSLEAYNCMLDAYGKEGEMEKFRSVLQRMKESNCASDHYTYNIMINIYGERRWIDEVAAVLTELKECGVGPDLCSYNTLIKAYGIAGMVEDAVGLIKEMRGNGIEPDRITYSNLITALRKNDKFLEAVKWSLWMKQMEMENASGPT >KJB23610 pep chromosome:Graimondii2_0_v6:4:21917162:21921043:-1 gene:B456_004G107200 transcript:KJB23610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLSLSWDSVDSKKLSFYVNPSHLPDQCSSFSFTSCFHVARAASMLTSLTRLKHIRVEPANVPDPNPVDRDSPFSSKNELVNENPKLVEGRKGQNRKKGITRNVDFRFGSRRSGNEVEKGDLFVCRNSGLDVDYTAIKPDLNLEHCNSILKRLEKSNDGNALRFFEWMRSNGKLDGNVTAYRLVLRVLGRRQDWDAAEILVRQAKCDSGCELDFQVFNTIIYACSKRGIVEMGAKWFRMMLEHGVQPNVATYGMLMGLYQKGWNVRDAEFALSQMRSSGIVCQSAYSAMITIYTRLSLYDKAEEVISFMREDKVALNLENWLVMLNAYSQSGKLDEAEQVLVSMQEAGFSPNIVAYNTLITGYGRASNMDAAQLVFLSIRQVGLEPDGTTYRSMIEGWGRTGNYKEAGWYYRAMKQLGFKPNSSNLYTLLTLQAKHGDEEGAIRTLDDMLKMRCQHSSILGTVLQAYEKTGRIYKVPLVITGSFYQHVLEDPTSCSILVMAYVKSGLVNDAIKVLGSKRWKDPVFEDNLYHLLICSCKELDDLDNAVKIFSQIPNSENKPNLHIMCTMIDIYSVMGHFNEAEKLYLKLKSSGVALDMIGFSIVVRMYVKAGSLKDACSALQMMEKQKDIVPDIYLFRDMLRIYQKCNMQEKLTTLYYRILKSGITWDQEMYNCVINCCARALPVDELSKIFNRMLHHGFAPNTITFNVMLDVYGKAKLFRKVKKLFWMAKTGGLVDVISYNTIISAYGQNKDFKNMSSTIREMQFNGFSVSLEAYNCMLDAYGKEGEMEKFRSVLQRMKESNCASDHYTYNIMINIYGERRWIDEVAAVLTELKECGVGPDLCSYNTLIKAYGIAGMVEDAVGLIKEMRGNGIEPDRITYSNLITALRKNDKFLEAVKWSLWMKQMEMENASGPT >KJB23608 pep chromosome:Graimondii2_0_v6:4:21917162:21921043:-1 gene:B456_004G107200 transcript:KJB23608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLSLSWDSVDSKKLSFYVNPSHLPDQCSSFSFTSCFHVARAASMLTSLTRLKHIRVEPANVPDPNPVDRDSPFSSKNELVNENPKLVEGRKGQNRKKGITRNVDFRFGSRRSGNEVEKGDLFVCRNSGLDVDYTAIKPDLNLEHCNSILKRLEKSNDGNALRFFEWMRSNGKLDGNVTAYRLVLRVLGRRQDWDAAEILVRQAKCDSGCELDFQVFNTIIYACSKRGIVEMGAKWFRMMLEHGVQPNVATYGMLMGLYQKGWNVRDAEFALSQMRSSGIVCQSAYSAMITIYTRLSLYDKAEEVISFMREDKVALNLENWLVMLNAYSQSGKLDEAEQVLVSMQEAGFSPNIVAYNTLITGYGRASNMDAAQLVFLSIRQVGLEPDGTTYRSMIEGWGRTGNYKEAGWYYRAMKQLGFKPNSSNLYTLLTLQAKHGDEEGAIRTLDDMLKMRCQHSSILGTVLQAYEKTGRIYKVPLVITGSFYQHVLEDPTSCSILVMAYVKSGLVNDAIKVLGSKRWKDPVFEDNLYHLLICSCKELDDLDNAVKIFSQIPNSENKPNLHIMCTMIDIYSVMGHFNEAEKLYLKLKSSGVALDMIGFSIVVRMYVKAGSLKDACSALQMMEKQKDIVPDIYLFRDMLRIYQKCNMQEKLTTLYYRILKSGITWDQEMYNCVINCCARALPVDELSKIFNRMLHHGFAPNTITFNVMLDVYGKAKLFRKVKKLFWMAKTGGLVDVISYNTIISAYGQNKDFKNMSSTIREMQFNGFSVSLEAYNCMLDAYGKEGEMEKFRSVLQRMKESNCASDHYTYNIMINIYGERRWIDEVAAVLTELKECGVGPDLCSYNTLIKAYGIAGMVEDAVGLIKEMRGNGIEPDRITYSNLITALRKNDKFLEAVKWSLWMKQMEMENASGPT >KJB26295 pep chromosome:Graimondii2_0_v6:4:57409706:57411586:1 gene:B456_004G235900 transcript:KJB26295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKPFNFKFFLFMQKVLFTRTWNKIYIQRKASSSPHASQPHFNKYPFLGKGQGTLLNKALMFHMEGALLKSSSVFPYFLLVAFEAGGPLRAFTLLLLYPIICLVGKEWGLKIMVFICFVGLKEASFSVGRTVLPKFLLEDVGNEGFDMVMKCGGTKIAVTDMPRVMVDCFLTDYLRIEYVIGRELKVVCGHFIGLMEDKKTSSGSMELEKVPREGKMDSPVIGISCFNNSLHHQLFSCCEVVYMVSEADKKNWQTLPREKYPRPLIFHDGRLALRPTPIATLFIFMWIPFGIFLLLVRATVFFLLPYKLSILFLHFTGLRGTLIIPDDCRVSNELKQLSKEGGLLYVCNHRTLLDPVYLSMGLVQVRPPSLSLAVVTYSLSRVTELFAPIRTVRLIRNREKDSKMIEKQLQQGHLVICPEGTTCREPYLLRFSPLFAEKTDHIVPVAIDFHVSMFYGTTAGGYKSLDPVFLLMNPTSHCSLAILEKLPRSYTCKGGKSKFEVANHVQALIGKALNFECTSLTRKDKYMILAGNEGIV >KJB24682 pep chromosome:Graimondii2_0_v6:4:44540348:44542133:1 gene:B456_004G157100 transcript:KJB24682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKPGLIALFDVDGTLTAPRKVATPKMLEFMKELKKVVTVGVVGGSDLSKISEQLGKSVINDYDYVFSENGLVAHKDGKLIGTQSLKSFLGEEKVKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVSFSSGLGQNILLEIP >KJB24684 pep chromosome:Graimondii2_0_v6:4:44539974:44542788:1 gene:B456_004G157100 transcript:KJB24684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKPGLIALFDVDGTLTAPRKVATPKMLEFMKELKKVVTVGVVGGSDLSKISEQLGKSVINDYDYVFSENGLVAHKDGKLIGTQSLKSFLGEEKVKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDHEIYESERTEGHTVTSPEDTVEQCKALFMSN >KJB24679 pep chromosome:Graimondii2_0_v6:4:44539974:44542788:1 gene:B456_004G157100 transcript:KJB24679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKPGLIALFDVDGTLTAPRKVATPKMLEFMKELKKVVTVGVVGGSDLSKISEQLGKSVINDYDYVFSENGLVAHKDGKLIGTQSLKSFLGEEKVKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDHEIYESERTEGHTVTSPEDTVEQCKALFMSN >KJB24680 pep chromosome:Graimondii2_0_v6:4:44539825:44542798:1 gene:B456_004G157100 transcript:KJB24680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKPGLIALFDVDGTLTAPRKVATPKMLEFMKELKKVVTVGVVGGSDLSKISEQLGKSVINDYDYVFSENGLVAHKDGKLIGTQSLKSFLGEEKVKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDHEIYESERTEGHTVTSPEDTVEQCKALFMSN >KJB24683 pep chromosome:Graimondii2_0_v6:4:44536709:44542788:1 gene:B456_004G157100 transcript:KJB24683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKPGLIALFDVDGTLTAPRKVATPKMLEFMKELKKVVTVGVVGGSDLSKISEQLGKSVINDYDYVFSENGLVAHKDGKLIGTQSLKSFLGEEKVKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDHEIYESERTEGHTVTSPEDTVEQCKALFMSN >KJB24685 pep chromosome:Graimondii2_0_v6:4:44539982:44542788:1 gene:B456_004G157100 transcript:KJB24685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKPGLIALFDVDGTLTAPRKVATPKMLEFMKELKKVVTVGVVGGSDLSKISEQLGKSVINDYDYVFSENGLVAHKDGKLIGTQSLKSFLGEEKVKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDHEIYESERTEGHTVTSPEDTVEQCKALFMSN >KJB24681 pep chromosome:Graimondii2_0_v6:4:44540348:44542436:1 gene:B456_004G157100 transcript:KJB24681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKPGLIALFDVDGTLTAPRKVATPKMLEFMKELKKVVTVGVVGGSDLSKISEQLGKSVINDYDYVFSENGLVAHKDGKLIGTQSLKSFLGEEKVKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDHEIYESERTEGHTGAFQVHIIHNHNAII >KJB24034 pep chromosome:Graimondii2_0_v6:4:33292196:33293627:1 gene:B456_004G126800 transcript:KJB24034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVCPFCHLSVPSSELQWHANSHFEDEDKEANDMELGNQIQIASSSGSTDVVSISSLIGLQTRSNFYHVKDGLISLLRNCLELEARHNSSVTILSGYVDHFQSLPSVDVGWGCGWRNIQMLSSHLIAHRKEARKVLFGESGFVPDIAFLQRWLEIAWESGFDPPGAQHFNCKIYGTNHRIGTTECASLFRSFGLRARVVDFGPKESELLYLSVPGSTFGQPVKQRNAVRVSGPMDKYVHRQQGLIKGRHFCHSLHNGKSDNAKGKSEGPQVLIDWVWNYFSDKGLTISGSSQVVVSDRA >KJB24035 pep chromosome:Graimondii2_0_v6:4:33292196:33293776:1 gene:B456_004G126800 transcript:KJB24035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVCPFCHLSVPSSELQWHANSHFEDEDKEANDMELGNQIQIASSSGSTDVVSISSLIGLQTRSNFYHVKDGLISLLRNCLELEARHNSSVTILSGYVDHFQSLPSVDVGWGCGWRNIQMLSSHLIAHRKEARKVLFGESGFVPDIAFLQRWLEIAWESGFDPPGAQHFNCKIYGTNHRIGTTECASLFRSFGLRARVVDFGPKESELLYLSVPGSTFGQPVKQRNAVRVSGPMDKYVHRQQGLIKGRHFCHSLHNGKSDNAKGKSEGPQVLIDWVWNYFSDKGLTISGSSQVVVSDRAFYG >KJB24033 pep chromosome:Graimondii2_0_v6:4:33292147:33295817:1 gene:B456_004G126800 transcript:KJB24033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVCPFCHLSVPSSELQWHANSHFEDEDKEANDMELGNQIQIASSSGSTDVVSISSLIGLQTRSNFYHVKDGLISLLRNCLELEARHNSSVTILSGYVDHFQSLPSVDVGWGCGWRNIQMLSSHLIAHRKEARKVLFGESGFVPDIAFLQRWLEIAWESGFDPPGAQHFNCKIYGTNHRIGTTECASLFRSFGLRARVVDFGPKESELLYLSVPGSTFGQPVKQRNAVRVSGPMDKYVHRQQGLIKGRHFCHSLHNGKSDNAKGKSEGPQVLIDWVWNYFSDKGLTISGSSQVVVSDRAEQLLWKGPLKQMWDGRSL >KJB24039 pep chromosome:Graimondii2_0_v6:4:33292196:33295231:1 gene:B456_004G126800 transcript:KJB24039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVCPFCHLSVPSSELQWHANSHFEDEDKEANDMELGNQIQIASSSGSTDVVSISSLIGLQTRSNFYHVKDGLISLLRNCLELEARHNSSVTILSGYVDHFQSLPSVDVGWGCGWRNIQMLSSHLIAHRKEARKVLFGESGFVPDIAFLQRWLEIAWESGFDPPGAQHFNCKIYGTNHRIGTTECASLFRSFGLRARVVDFGPKESELLYLSVPGSTFGQPVKQRNAVRVSGPMDKYVHRQQGLIKGRHFCHSLHNGKSDNAKGKSEGPQVLIDWVWNYFSDKGLTISGSSQVVVSDRAPLYFQHNGHSRTIIGIQVKHQKNGANQFNLLILDPSDVSTTDGTVALERSLKTNVGWQKLIKRGVHTLKKPQYQLCYIDPGIASGEELNELKTINSIFFEL >KJB24036 pep chromosome:Graimondii2_0_v6:4:33292196:33294844:1 gene:B456_004G126800 transcript:KJB24036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVCPFCHLSVPSSELQWHANSHFEDEDKEANDMELGNQIQIASSSGSTDVVSISSLIGLQTRSNFYHVKDGLISLLRNCLELEARHNSSVTILSGYVDHFQSLPSVDVGWGCGWRNIQMLSSHLIAHRKEARKVLFVKQRNAVRVSGPMDKYVHRQQGLIKGRHFCHSLHNGKSDNAKGKSEGPQVLIDWVWNYFSDKGLTISGSSQVVVSDRAPLYFQHNGHSRTIIGIQVKHQKNGANQFNLLILDPSDGTVALERSLKTNVGWQKLIKRGVHTLKKPQYQLCYIDPGIASGEELNELKTINSIFFEL >KJB24031 pep chromosome:Graimondii2_0_v6:4:33292147:33295817:1 gene:B456_004G126800 transcript:KJB24031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVCPFCHLSVPSSELQWHANSHFEDEDKEANDMELGNQIQIASSSGSTDVVSISSLIGLQTRSNFYHVKDGLISLLRNCLELEARHNSSVTILSGYVDHFQSLPSVDVGWGCGWRNIQMLSSHLIAHRKEARKVLFGESGFVPDIAFLQRWLEIAWESGFDPPGAQHFNCKIYGTNHRIGTTECASLFRSFGLRARVVDFGPKESELLYLSVPGSTFGQPVKQRNAVRVSGPMDKYVHRQQGLIKGRHFCHSLHNGKSDNAKGKSEGPQVLIDWVWNYFSDKGLTISGSSQVVVSDRAPLYFQHNGHSRTIIGIQVKHQKNGANQFNLLILDPSDGTVALERSLKTNVGWQKLIKRGVHTLKKPQYQLCYIDPGIASGEELNELKTINSIFFEL >KJB24037 pep chromosome:Graimondii2_0_v6:4:33292196:33294844:1 gene:B456_004G126800 transcript:KJB24037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGNQIQIASSSGSTDVVSISSLIGLQTRSNFYHVKDGLISLLRNCLELEARHNSSVTILSGYVDHFQSLPSVDVGWGCGWRNIQMLSSHLIAHRKEARKVLFGESGFVPDIAFLQRWLEIAWESGFDPPGAQHFNCKIYGTNHRIGTTECASLFRSFGLRARVVDFGPKESELLYLSVPGSTFGQPVKQRNAVRVSGPMDKYVHRQQGLIKGRHFCHSLHNGKSDNAKGKSEGPQVLIDWVWNYFSDKGLTISGSSQVVVSDRAPLYFQHNGHSRTIIGIQVKHQKNGANQFNLLILDPSDGTVALERSLKTNVGWQKLIKRGVHTLKKPQYQLCYIDPGIASGEELNELKTINSIFFEL >KJB24032 pep chromosome:Graimondii2_0_v6:4:33292196:33295231:1 gene:B456_004G126800 transcript:KJB24032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVCPFCHLSVPSSELQWHANSHFEDEDKEANDMELGNQIQIASSSGSTDVVSISSLIGLQTRSNFYHVKDGLISLLRNCLELEARHNSSVTILSGYVDHFQSLPSVDVGWGCGWRNIQMLSSHLIAHRKEARKVLFGESGFVPDIAFLQRWLEIAWESGFDPPGAQHFNCKIYGTNHRIGTTECASLFRSFGLRARVVDFGPKESELLYLSVPGSTFGQPVKQRNAVRVSGPMDKYVHRQQGLIKGRHFCHSLHNGKSDNAKGKSEGPQVLIDWVWNYFSDKGLTISGSSQVVVSDRAPLYFQHNGHSRTIIGIQVKHQKNGANQFNLLILDPSDGTVALERSLKTNVGWQKLIKRGVHTLKKPQYQLCYIDPGIASGEELNELKTINSIFFEL >KJB24040 pep chromosome:Graimondii2_0_v6:4:33292579:33294844:1 gene:B456_004G126800 transcript:KJB24040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGNQIQIASSSGSTDVVSISSLIGLQTRSNFYHVKDGLISLLRNCLELEARHNSSVTILSGYVDHFQSLPSVDVGWGCGWRNIQMLSSHLIAHRKEARKVLFGESGFVPDIAFLQRWLEIAWESGFDPPGAQHFNCKIYGTNHRIGTTECASLFRSFGLRARVVDFGPKESELLYLSVPGSTFGQPVKQRNAVRVSGPMDKYVHRQQGLIKGRHFCHSLHNGKSDNAKGKSEGPQVLIDWVWNYFSDKGLTISGSSQVVVSDRAPLYFQHNGHSRTIIGIQVKHQKNGANQFNLLILDPSDGTVALERSLKTNVGWQKLIKRGVHTLKKPQYQLCYIDPGIASGEELNELKTINSIFFEL >KJB24030 pep chromosome:Graimondii2_0_v6:4:33292147:33295817:1 gene:B456_004G126800 transcript:KJB24030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVCPFCHLSVPSSELQWHANSHFEDEDKEANDMELGNQIQIASSSGSTDVVSISSLIGLQTRSNFYHVKDGLISLLRNCLELEARHNSSVTILSGYVDHFQSLPSVDVGWGCGWRNIQMLSSHLIAHRKEARKVLFGESGFVPDIAFLQRWLEIAWESGFDPPGAQHFNCKIYGTNHRIGTTECASLFRSFGLRARVVDFGPKESELLYLSVPGSTFGQPVKQRNAVRVSGPMDKYVHRQQGLIKGRHFCHSLHNGKSDNAKGKSEGPQVLIDWVWNYFSDKGLTISGSSQVVVSDRAEQLLWKGPLKQMWDGRSL >KJB24038 pep chromosome:Graimondii2_0_v6:4:33292147:33295817:1 gene:B456_004G126800 transcript:KJB24038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVCPFCHLSVPSSELQWHANSHFEDEDKEANDMELGNQIQIASSSGSTDVVSISSLIGLQTRSNFYHVKDGLISLLRNCLELEARHNSSVTILSGYVDHFQSLPSVDVGWGCGWRNIQMLSSHLIAHRKEARKVLFGESGFVPDIAFLQRWLEIAWESGFDPPGAQHFNCKIYGTNHRIGTTECASLFRSFGLRARVVDFGPKESELLYLSVPGSTFGQPVKQRNAVRVSGPMDKYVHRQQGLIKGRHFCHSLHNGKSDNAKGKSEGPQVLIDWVWNYFSDKGLTISGSSQVVVSDRAPLYFQHNGHSRTIIGIQVKHQKNGANQFNLLILDPSDGTVALERSLKTNVGWQKLIKRGVHTLKKPQYQLCYIDPGIASGEELNELKTINSIFFEL >KJB25202 pep chromosome:Graimondii2_0_v6:4:50098250:50099630:1 gene:B456_004G186600 transcript:KJB25202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCISKSVVFQQCCWRRSTYSLFGWNIGKKKTSDLKPLPNYHDTDLPFSLSLVEKTFLRGRELKCCYKATVDGFSATNFHECCDFKGPCVIIGYTNKSFKFGAFNPEGYRSTDDYYDTFDAFLFYWIDNGETDPIILPKIGGSGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGIGDLRQAKSRLGLSYAKRKDGKESLFGDDSKVTLEEVQVFCSPQIASLY >KJB22573 pep chromosome:Graimondii2_0_v6:4:5233313:5235817:-1 gene:B456_004G054900 transcript:KJB22573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPKTIQHEIGGVQNDALRFGLHGVKSDLVGSHPLQSAYESAKKTQEEMKRKVLANTYGTAMPLKMDLDRQILSRFQRPLLPSSMLGLEAMTGTLDDFGFEDYLNDPRDSETVRPLDLHHSMEVRLGLSKGPVCPSFM >KJB22572 pep chromosome:Graimondii2_0_v6:4:5233182:5235942:-1 gene:B456_004G054900 transcript:KJB22572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPKTIQHEIGGVQNDALRFGLHGVKSDLVGSHPLQSAYESAKKTQEEMKRKVLANTYGTAMPLKMDLDRQILSRFQRPLLPSSMLGLEAMTGTLDDFGFEDYLNDPRDSETVRPLDLHHSMEVRLGLSKGPVCPSFM >KJB24702 pep chromosome:Graimondii2_0_v6:4:44660998:44663430:-1 gene:B456_004G158000 transcript:KJB24702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSEPESGIHGSPGIHPFSSPNVQYQSSIPVTTVGSTLAMESPSGIAPHSVNVGTPSAQPSSETVKRKRGRPRKYGPDGTVSLALTPASAPHPVTTTPGQKRGRGRPPGTGRKQQLASLGDWLSGSAGMGFTPHVITVAVGEDSVPCLAAANRQSVL >KJB24696 pep chromosome:Graimondii2_0_v6:4:44659584:44663430:-1 gene:B456_004G158000 transcript:KJB24696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSEPESGIHGSPGIHPFSSPNVQYQSSIPVTTVGSTLAMESPSGIAPHSVNVGTPSAQPSSETVKRKRGRPRKYGPDGTVSLALTPASAPHPVTTTPGQKRGRGRPPGTGRKQQLASLGDWLSGSAGMGFTPHVITVAVGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLLQPSSSGGTVTYEGRFEILCLSGSYLLISNNGSCSRSGALSVSLATPDGRVIGGGVGGMLIAASPVQVIVGSFQWGGPKAKNKKPEGQECLNDSDNQSVDNLVSPSGISPSQNLIPPSPAGVWPGSRTMDMRNTHVDIDLMRG >KJB24693 pep chromosome:Graimondii2_0_v6:4:44659584:44663376:-1 gene:B456_004G158000 transcript:KJB24693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSEPESGIHGSPGIHPFSSPNVQYQSSIPVTTVGSTLAMESPSGIAPHSVNVGTPSAQPSSETVKRKRGRPRKYGPDGTVSLALTPASAPHPVTTTPGQKRGRGRPPGTGRKQQLASLGDWLSGSAGMGFTPHVITVAVGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLLQPSSSGGTVTYEGRFEILCLSGSYLLISNNGSCSRSGALSVSLATPDGRVIGGGVGGMLIAASPVQVIVGSFQWGGPKAKNKKPEGQECLNDSDNQSVDNLVSPSGISPSQNLIPPSPAGVWPGSRTMDMRNTHVDIDLMRG >KJB24688 pep chromosome:Graimondii2_0_v6:4:44659584:44663376:-1 gene:B456_004G158000 transcript:KJB24688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSEPESGIHGSPGIHPFSSPNVQYQSSIPVTTVGSTLAMESPSGIAPHSVNVGTPSAQPSSETVKRKRGRPRKYGPDGTVSLALTPASAPHPVTTTPGQKRGRGRPPGTGRKQQLASLGDWLSGSAGMGFTPHVITVAVGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLLQPSSSGGTVTYEGRFEILCLSGSYLLISNNGSCSRSGALSVSLATPDGRVIGGGVGGMLIAASPVQVIVGSFQWGGPKAKNKKPEGQECLNDSDNQSVDNLVSPSGISPSQNLIPPSPAGVWPGSRTMDMRNTHVDIDLMRG >KJB24697 pep chromosome:Graimondii2_0_v6:4:44659557:44663458:-1 gene:B456_004G158000 transcript:KJB24697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSEPESGIHGSPGIHPFSSPNVQYQSSIPVTTVGSTLAMESPSGIAPHSVNVGTPSAQPSSETVKRKRGRPRKYGPDGTVSLALTPASAPHPVTTTPGQKRGRGRPPGTGRKQQLASLGDWLSGSAGMGFTPHVITVAVGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLLQPSSSGGTVTYEGRFEILCLSGSYLLISNNGSCSRSGALSVSLATPDGRVIGGGVGGMLIAASPVQVIVGSFQWGGPKAKNKKPEGQECLNDSDNQSVDNLVSPSGISPSQNLIPPSPAGVWPGSRTMDMRNTHVDIDLMRG >KJB24700 pep chromosome:Graimondii2_0_v6:4:44659584:44663430:-1 gene:B456_004G158000 transcript:KJB24700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSEPESGIHGSPGIHPFSSPNVQYQSSIPVTTVGSTLAMESPSGIAPHSVNVGTPSAQPSSETVKRKRGRPRKYGPDGTVSLALTPASAPHPVTTTPGQKRGRGRPPGTGRKQQLASLGDWLSGSAGMGFTPHVITVAVGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLLQPSSSGGTVTYEGRFEILCLSGSYLLISNNGSCSRSGALSVSLATPDGRVIGGGVGGMLIAASPVQVIVGSFQWGGPKAKNKKPEGQECLNDSDNQSVDNLVSPSGISPSQNLIPPSPAGVWPGSRTMDMRNTHVDIDLMRG >KJB24692 pep chromosome:Graimondii2_0_v6:4:44659580:44663430:-1 gene:B456_004G158000 transcript:KJB24692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSEPESGIHGSPGIHPFSSPNVQYQSSIPVTTVGSTLAMESPSGIAPHSVNVGTPSAQPSSETVKRKRGRPRKYGPDGTVSLALTPASAPHPVTTTPGQKRGRGRPPGTGRKQQLASLGDWLSGSAGMGFTPHVITVAVGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLLQPSSSGGTVTYEGRFEILCLSGSYLLISNNGSCSRSGALSVSLATPDGRVIGGGVGGMLIAASPVQVIVGSFQWGGPKAKNKKPEGQECLNDSDNQSVDNLVSPSGISPSQNLIPPSPAGVWPGSRTMDMRNTHVDIDLMRG >KJB24699 pep chromosome:Graimondii2_0_v6:4:44661205:44662258:-1 gene:B456_004G158000 transcript:KJB24699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSEPESGIHGSPGIHPFSSPNVQYQSSIPVTTVGSTLAMESPSGIAPHSVNVGTPSAQPSSETVKRKRGRPRKYGPDGTVSLALTPASAPHPVTTTPGQKRGRGRPPGTGRKQQLASLGDWLSGSAGMGFTPHVITVAVGEVCYFLFLTL >KJB24701 pep chromosome:Graimondii2_0_v6:4:44661205:44662258:-1 gene:B456_004G158000 transcript:KJB24701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSEPESGIHGSPGIHPFSSPNVQYQSSIPVTTVGSTLAMESPSGIAPHSVNVGTPSAQPSSETVKRKRGRPRKYGPDGTVSLALTPASAPHPVTTTPGQKRGRGRPPGTGRKQQLASLGDWLSGSAGMGFTPHVITVAVGEVCYFLFLTL >KJB24691 pep chromosome:Graimondii2_0_v6:4:44659584:44663424:-1 gene:B456_004G158000 transcript:KJB24691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSEPESGIHGSPGIHPFSSPNVQYQSSIPVTTVGSTLAMESPSGIAPHSVNVGTPSAQPSSETVKRKRGRPRKYGPDGTVSLALTPASAPHPVTTTPGQKRGRGRPPGTGRKQQLASLGDWLSGSAGMGFTPHVITVAVGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLLQPSSSGGTVTYEGRFEILCLSGSYLLISNNGSCSRSGALSVSLATPDGRVIGGGVGGMLIAASPVQVIVGSFQWGGPKAKNKKPEGQECLNDSDNQSVDNLVSPSGISPSQNLIPPSPAGVWPGSRTMDMRNTHVDIDLMRG >KJB24689 pep chromosome:Graimondii2_0_v6:4:44659580:44663427:-1 gene:B456_004G158000 transcript:KJB24689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSEPESGIHGSPGIHPFSSPNVQYQSSIPVTTVGSTLAMESPSGIAPHSVNVGTPSAQPSSETVKRKRGRPRKYGPDGTVSLALTPASAPHPVTTTPGQKRGRGRPPGTGRKQQLASLGDWLSGSAGMGFTPHVITVAVGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLLQPSSSGGTVTYEGRFEILCLSGSYLLISNNGSCSRSGALSVSLATPDGRVIGGGVGGMLIAASPVQVIVGSFQWGGPKAKNKKPEGQECLNDSDNQSVDNLVSPSGISPSQNLIPPSPAGVWPGSRTMDMRNTHVDIDLMRG >KJB24694 pep chromosome:Graimondii2_0_v6:4:44659927:44662258:-1 gene:B456_004G158000 transcript:KJB24694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSEPESGIHGSPGIHPFSSPNVQYQSSIPVTTVGSTLAMESPSGIAPHSVNVGTPSAQPSSETVKRKRGRPRKYGPDGTVSLALTPASAPHPVTTTPGQKRGRGRPPGTGRKQQLASLGDWLSGSAGMGFTPHVITVAVGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLLQPSSSGGTVTYEGRFEILCLSGSYLLISNNGSCSRSGALSVSLATPDGRVIGGGVGGMLIAASPVQVIVGSFQWGGPKAKNKKPEGQECLNDSDNQSVDNLVSPSGISPSQNLIPPSPAGVWPGSRTMDMRNTHVDIDLMRG >KJB24690 pep chromosome:Graimondii2_0_v6:4:44659532:44663513:-1 gene:B456_004G158000 transcript:KJB24690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSEPESGIHGSPGIHPFSSPNVQYQSSIPVTTVGSTLAMESPSGIAPHSVNVGTPSAQPSSETVKRKRGRPRKYGPDGTVSLALTPASAPHPVTTTPGQKRGRGRPPGTGRKQQLASLGDWLSGSAGMGFTPHVITVAVGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLLQPSSSGGTVTYEGRFEILCLSGSYLLISNNGSCSRSGALSVSLATPDGRVIGGGVGGMLIAASPVQVIVGSFQWGGPKAKNKKPEGQECLNDSDNQSVDNLVSPSGISPSQNLIPPSPAGVWPGSRTMDMRNTHVDIDLMRG >KJB24698 pep chromosome:Graimondii2_0_v6:4:44660515:44662258:-1 gene:B456_004G158000 transcript:KJB24698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSEPESGIHGSPGIHPFSSPNVQYQSSIPVTTVGSTLAMESPSGIAPHSVNVGTPSAQPSSETVKRKRGRPRKYGPDGTVSLALTPASAPHPVTTTPGQKRGRGRPPGTGRKQQLASLGDWLSGSAGMGFTPHVITVAVGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLLQPSSSGGTVTYEVWALNNPVLNFLFFLHETHLDCIYYLYLLFNIPTF >KJB24695 pep chromosome:Graimondii2_0_v6:4:44659532:44663513:-1 gene:B456_004G158000 transcript:KJB24695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSEPESGIHGSPGIHPFSSPNVQYQSSIPVTTVGSTLAMESPSGIAPHSVNVGTPSAQPSSETVKRKRGRPRKYGPDGTVSLALTPASAPHPVTTTPGQKRGRGRPPGTGRKQQLASLGDWLSGSAGMGFTPHVITVAVGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLLQPSSSGGTVTYEGRFEILCLSGSYLLISNNGSCSRSGALSVSLATPDGRVIGGGVGGMLIAASPVQVIVGSFQWGGPKAKNKKPEGQECLNDSDNQSVDNLVSPSGISPSQNLIPPSPAGVWPGSRTMDMRNTHVDIDLMRG >KJB21636 pep chromosome:Graimondii2_0_v6:4:350996:353576:1 gene:B456_004G005500 transcript:KJB21636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHGLFRSLTPDSLLFPPHFSSRSFLILQKQANLSIPSFRYCRGSGFGFSPRQFAPVLFRYYKKRNSRTLLRASWRESPYEVLGVSPSATPDEIKRAYRKLALKYHPDVNKEANAQEKFMRIKHAYNTLLNSESRRRYNPGNRTSDFSYSRTQRSQSPNTQEEEFYGFEDFFRDLQEEFQNWEASASSQEKPKSLWEELAAIGEEFVEFLEKELNISDDEFEENNRNDFSNSEKTGSSFRNEANKGSSIEENIDEIEATLAKLKKELGL >KJB21637 pep chromosome:Graimondii2_0_v6:4:350996:354107:1 gene:B456_004G005500 transcript:KJB21637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHGLFRSLTPDSLLFPPHFSSRSFLILQKQANLSIPSFRYCRGSGFGFSPRQFAPVLFRYYKKRNSRTLLRASWRESPYEVLGVSPSATPDEIKRAYRKLALKYHPDVNKEANAQEKFMRIKHAYNTLLNSESRRRYNPGNRTSDFSYSRTQRSQSPNTQEEEFYGFEDFFRDLQEEFQNWEASASSQEKPKSLWEELAAIGEEFVEFLEKELNISDDEFEENNRNDFSNSEKTGSSFRNEANKGSSIEENIDEIEATLAKLKKELGL >KJB21635 pep chromosome:Graimondii2_0_v6:4:350996:353576:1 gene:B456_004G005500 transcript:KJB21635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHGLFRSLTPDSLLFPPHFSSRSFLILQKQANLSIPSFRYCRGSGFGFSPRQFAPVLFRYYKKRNSRTLLRASWRESPYEVLGVSPSATPDEIKRAYRKLALKYHPDVNKEANAQEKFMRIKHAYNTLLNSESRRRYNPGNRTSDFSYSRTQRSQSPNTQEEEFYGFGNFLRDVQITLEDFFRDLQEEFQNWEASASSQEKPKSLWEELAAIGEEFVEFLEKELNISDDEFEENNRNDFSNSEKTGSSFRNEANKGSSIEENIDEIEATLAKLKKELGL >KJB26590 pep chromosome:Graimondii2_0_v6:4:58621136:58624174:1 gene:B456_004G248900 transcript:KJB26590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFTLFFMAFITIFAPTGITFCEGNPRVVCIESERQALLNFKQDIIDRSNRLSSWSKNGEDCCKWLGVYCDNITGHVYKLDLKPPSSPPVYASDAEFGVYWRSILRGKINPSLLSLNHLVHLDLSHNNFGGTLIPSFLGSMESLTFLDLSDAHFGGVIPHQLGNLSELQHLNLGGNSNKLFEAKNLQWLSGFSSLEFLDLSQVDLSKAIDWLQVTSKLPSLKELHLSACSLDNDPSSTMVNYSSLSVLDLSNNYLTPSVPVWIFSLHSLVSIDLSGNAFEGVIPSSFQSISSLKSLDLSTNSFNSSLPVWLFSLNHLEFLSLSSNLLQGKIPDSIGNLSSIKTLDLSANQLEGTLPISLENLSNLRKLDFSNNKLHQDISETLKILFICCSDKLESLNLANNNLSGHLTDQLGHFKSLSYLILSQNSISGLIPVSLGNLSSLQYIDVSDNQLDGNLPEHLENPMNLEYMNIAYNLLEGAVSEGFFSSLKRLRVFKASQNKLKFEANSNWIPSFQCQTIELSYWFLGPKFPTWIQFQKDLSTLDISSAGIADVVPSWFWNFTSKMVSLNISHNQLEGEIPFLSVHKLVDLRSNRFSGPLPRILPDVATLFFSNNSFSGSLSSFLCDYNLGEPKLFLLQLETNLLSGEIPDCWPPWQSIRVLNMGNNNLTGKIPDSLGYLGFMFLNLRNNKLTGELPLTLQNNSDLFMLDIGENQFNGNIPKWIGKTFPNLVILSLRSNSFNGHIPDELCELSSLQILDLGVNNLSGEIPTCFQNLTAMATKPDDTDAVIDYFVDGEFIRNELLVMKGRVREYSTILSLVTTMDLSNNNLIGNIPKELTNLAGLQSLNLSGNSLRGNIPVRIGDIKMLESLDVSRNHLSGSIPESLSNLNFLSHLNLSYNDLRGRIPSSTQLQSFDKFSYIGNQLFGPPINENCSKKAETPQNVVNGSHGNEESEGWLEKYMIYVSVAVGFLVGFWGVIGPLFLSESWALAYFAKVEAIVVKLSSLWT >KJB26589 pep chromosome:Graimondii2_0_v6:4:58621136:58624174:1 gene:B456_004G248900 transcript:KJB26589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNYSSLSVLDLSNNYLTPSVPVWIFSLHSLVSIDLSGNAFEGVIPSSFQSISSLKSLDLSTNSFNSSLPVWLFSLNHLEFLSLSSNLLQGKIPDSIGNLSSIKTLDLSANQLEGTLPISLENLSNLRKLDFSNNKLHQDISETLKILFICCSDKLESLNLANNNLSGHLTDQLGHFKSLSYLILSQNSISGLIPVSLGNLSSLQYIDVSDNQLDGNLPEHLENPMNLEYMNIAYNLLEGAVSEGFFSSLKRLRVFKASQNKLKFEANSNWIPSFQCQTIELSYWFLGPKFPTWIQFQKDLSTLDISSAGIADVVPSWFWNFTSKMVSLNISHNQLEGEIPFLSVHKLVDLRSNRFSGPLPRILPDVATLFFSNNSFSGSLSSFLCDYNLGEPKLFLLQLETNLLSGEIPDCWPPWQSIRVLNMGNNNLTGKIPDSLGYLGFMFLNLRNNKLTGELPLTLQNNSDLFMLDIGENQFNGNIPKWIGKTFPNLVILSLRSNSFNGHIPDELCELSSLQILDLGVNNLSGEIPTCFQNLTAMATKPDDTDAVIDYFVDGEFIRNELLVMKGRVREYSTILSLVTTMDLSNNNLIGNIPKELTNLAGLQSLNLSGNSLRGNIPVRIGDIKMLESLDVSRNHLSGSIPESLSNLNFLSHLNLSYNDLRGRIPSSTQLQSFDKFSYIGNQLFGPPINENCSKKAETPQNVVNGSHGNEESEGWLEKYMIYVSVAVGFLVGFWGVIGPLFLSESWALAYFAKVEAIVVKLSSLWT >KJB26633 pep chromosome:Graimondii2_0_v6:4:58922481:58927882:-1 gene:B456_004G251500 transcript:KJB26633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDNKSSAEDLQKVAADLRSSIWQQMAAAGIKYIPSNTFSYYDQVLDATSMLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVDEYKEAKALGVDTIPVLIGPVSYLLLSKPAKGVEKTFSLLSLLPKILPIYKEVIAELKAAGALWIQFDEPTLVLDLDSHQLQAFTAAYAELETTLSGLNVLIETYFADLTAEAYETLTELKGVTAYGLDLVRGTQTIELIKSNFPKGKYLFAGVVDGRNIWANDLASSLSTLQALEAVVGKDKLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFTANASAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVTARLDAQQKKLNLPVLPTTTIGSFPQTLELRRVRREYKANKISEDDYVKAIKEEIKKVVDLQEELDIDVLVHGEPEVRIPFSFGPFSVNERLLCI >KJB26632 pep chromosome:Graimondii2_0_v6:4:58922481:58927882:-1 gene:B456_004G251500 transcript:KJB26632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDNKSSAEDLQKVAADLRSSIWQQMAAAGIKYIPSNTFSYYDQVLDATSMLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVDEYKEAKALGVDTIPVLIGPVSYLLLSKPAKGVEKTFSLLSLLPKILPIYKEVIAELKAAGALWIQFDEPTLVLDLDSHQLQAFTAAYAELETTLSGLNVLIETYFADLTAEAYETLTELKGVTAYGLDLVRGTQTIELIKSNFPKGKYLFAGVVDGRNIWANDLASSLSTLQALEAVVGKDKLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFTANASAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVTARLDAQQKKLNLPVLPTTTIGSFPQTLELRRVRREYKANKISEDDYVKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYQKWAVHSFRITNCGVQDTTQVHILLHSF >KJB26634 pep chromosome:Graimondii2_0_v6:4:58922481:58927882:-1 gene:B456_004G251500 transcript:KJB26634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSLMHLTRQLMSTRKLRRSELTPSPSLLALSHTCCCLNQPRVLRRPSLFSLSSQKFSPSTSKIIAELKAAGALWIQFDEPTLVLDLDSHQLQAFTAAYAELETTLSGLNVLIETYFADLTAEAYETLTELKGVTAYGLDLVRGTQTIELIKSNFPKGKYLFAGVVDGRNIWANDLASSLSTLQALEAVVGKDKLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFTANASAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVTARLDAQQKKLNLPVLPTTTIGSFPQTLELRRVRREYKANKISEDDYVKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYQKWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVKPALSNMVAAAKLLRTQLASAK >KJB26635 pep chromosome:Graimondii2_0_v6:4:58922481:58927918:-1 gene:B456_004G251500 transcript:KJB26635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDNKSSAEDLQKVAADLRSSIWQQMAAAGIKYIPSNTFSYYDQVLDATSMLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVDEYKEAKALGVDTIPVLIGPVSYLLLSKPAKGVEKTFSLLSLLPKILPIYKEVIAELKAAGALWIQFDEPTLVLDLDSHQLQAFTAAYAELETTLSGLNVLIETYFADLTAEAYETLTELKGVTAYGLDLVRGTQTIELIKSNFPKGKYLFAGVVDGRNIWANDLASSLSTLQALEAVVGKDKLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFTANASAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVTARLDAQQKKLNLPVLPTTTIGSFPQTLELRRVRREYKANKISEDDYVKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYQKWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVKPALSNMVAAAKLLRTQLASAK >KJB24714 pep chromosome:Graimondii2_0_v6:4:44593544:44594347:-1 gene:B456_004G157500 transcript:KJB24714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFEKETGKGFSQRQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDDWWESRLQVVPEAKKFRTSGIDPEFEAKLDQMFMGIVATGDKAWAPSFGTLRSDFFEDVNNEIPEENEEENMRNDVHILNDVHISNDVHISNDVQIDGNDQKRKNPEISSSRFKTGRKKSSKQIGGAARLSSQIEKLCSAADNMSQATSRLLLWIHMVFHK >KJB26114 pep chromosome:Graimondii2_0_v6:4:56084158:56087228:-1 gene:B456_004G226300 transcript:KJB26114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNNDHNTRSPWDWHAENYGVQKNSDSDASQGVWTPMTLNEEDLSYMFNETTPVKECGDLSYHYTCNDDISKETEDTRETSSRLKRRRVLQFDTFEVDSSLFCDEMPSTFLKSRERDELLAEVLPDASQRVAGFSEDASAFSYEGLDQLCEGWLAEYFNDAEMLLSSNDMNLNTSSDIQIDISEYFNAQPESGPDAVQKQATQTPQNVVFKGRKSFICPPTKLPSSIAYPFAFIKPCGFHGDVTLKDINQRIQTPPPSKPKQSNEDLPTSAFSGKLVVGKTKIRTEGGKGSITIMRTKG >KJB26117 pep chromosome:Graimondii2_0_v6:4:56084520:56086766:-1 gene:B456_004G226300 transcript:KJB26117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNNDHNTRSPWDWHAENYGVQKNSDSDASQGVWTPMTLNEEDLSYMFNETTPVKECGDLSYHYTYDISKETEDTRETSSRLKRRRVLQFDTFEVDSSLFCDEMPSTFLKSRERDELLAEVLPDASQRVAGFSEDASAFSYEGLDQLCEGWLAEYFNDAEMLLSSNDMNLNTSSDIQIDISEYFNAQPESGPDAVQKQATQTPQNVVFKGRKSFICPPTKLPSSIAYPFAFIKPCGFHGDVTLKDINQRIQTPPPSKPKQSNEDLPTSAFSGKLVVGKTKIRTEGGKGSITIMRTKG >KJB26116 pep chromosome:Graimondii2_0_v6:4:56084242:56087198:-1 gene:B456_004G226300 transcript:KJB26116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNNDQSPWDWHAENYGVQKNSDSDASQGVWTPMTLNEEDLSYMFNETTPVKECGDLSYHYTCNDDISKETEDTRETSSRLKRRRVLQFDTFEVDSSLFCDEMPSTFLKSRERDELLAEVLPDASQRVAGFSEDASAFSYEGLDQLCEGWLAEYFNDAEMLLSSNDMNLNTSSDIQIDISEYFNAQPESGPDAVQKQATQTPQNVVFKGRKSFICPPTKLPSSIAYPFAFIKPCGFHGDVTLKDINQRIQTPPPSKPKQSNEDLPTSAFSGKLVVGKTKIRTEGGKGSITIMRTKG >KJB26115 pep chromosome:Graimondii2_0_v6:4:56084242:56087198:-1 gene:B456_004G226300 transcript:KJB26115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNNDHNTRSPWDWHAENYGVQKNSDSDASQGVWTPMTLNEEDLSYMFNETTPVKEYDISKETEDTRETSSRLKRRRVLQFDTFEVDSSLFCDEMPSTFLKSRERDELLAEVLPDASQRVAGFSEDASAFSYEGLDQLCEGWLAEYFNDAEMLLSSNDMNLNTSSDIQIDISEYFNAQPESGPDAVQKQATQTPQNVVFKGRKSFICPPTKLPSSIAYPFAFIKPCGFHGDVTLKDINQRIQTPPPSKPKQSNEDLPTSAFSGKLVVGKTKIRTEGGKGSITIMRTKG >KJB25056 pep chromosome:Graimondii2_0_v6:4:47698072:47701606:-1 gene:B456_004G174600 transcript:KJB25056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNVCNAKKLSYISVPSQIINSLSSSSLQSLLVSPKRNNTNSFFSVYKHSCRSPRVWLFALFLFGLVGMLRLGWNIDTLIPFSPYPNPCLQTQSNTDSVAQKHDALVANTNNLGQPAHDSPSEIAEFWKQPDGMGYRPCLDFSAEYRRTSEVMIKDRSKYLLVVVSGGISQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFSDIFDLAHFKSVLANDVRIVSSLPSTHVMTRPVEEKRTPLHVSPQWIRSRYLKRINREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFQALKFAPSILELGNKLAQRMQSKGPYLALHLRMEKDVWVRTGCLPGLSKEYDELIQSERRRRPELLTARSNMTFHERKLAGLCPLNAIEVTRLLKAFGAPKTAKIYWAGGQPLGGKDALSPLTKEFPHFYNKDDLALPGELEPFAKKASFMAAIDYIVSEKSDVFMPSHGGNMGHAIQGQRAFSGHKKYITPNKRHMLPYFLNSSMPEAEFNRIIKELHHESLGQPELRTSKAGRDVTKYPVPECMCNDAHSHSI >KJB25057 pep chromosome:Graimondii2_0_v6:4:47698072:47701581:-1 gene:B456_004G174600 transcript:KJB25057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNVCNAKKLSYISVPSQIINSLSSSSLQSLLVSPKRNNTNSFFSVYKHSCRSPRVWLFALFLFGLVGMLRLGWNIDTLIPFSPYPNPCLQTQSNTDSVAQKHDALVANTNNLGQPAHDSPSEIAEFWKQPDGMGYRPCLDFSAEYRRTSEVMIKDRSKYLLVVVSGGISQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFSDIFDLAHFKSVLANDVRIVSSLPSTHVMTRPVEEKRTPLHVSPQWIRSRYLKRINREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFQALKFAPSILELGNKLAQRMQSKGPYLALHLRMEKDVWVRTGCLPGLSKEYDELIQSERRRRPELLTARSNMTFHERKLAGLCPLNAIEVTRLLKAFGAPKTAKIYWAGGQPLGGKDALSPLTKEFPHFYNKDDLALPGELEPFAKKASFMAAIDYIVSEKSDVFMPSHGGNMGHAIQGQRAFSGHKKYITPNKRHMLPYFLNSSMPEAEFNRIIKELHHESLGQPELRTSKAGRDVTKYPVPECMCNDAHSHSI >KJB26055 pep chromosome:Graimondii2_0_v6:4:55713148:55716832:1 gene:B456_004G222800 transcript:KJB26055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLFSQPRSSQDHGNPSFGPATAPAPSPIYQAPVASPSRHLVPRHRHGGHHHRHHVKPRTDAPSPSEQGCDQKCVDPLTATPFGSPCGCVFPMKVKLLLSVAPYAVFPVMNELEIEVAAGLYLQQSQVKIMGATADAQDQGRTLVEINLVPLGEKFDNTTAILTSDRLLHKRLSLNSTLFGTYDVVSISYPGVPPSPPYGNIFGSGPTGSTGDLPITANFVNKNQKMNIRIIAIIVLSAFVLLLVLAGAISVLIKWRKVRRPSNAVGPAFPSSLNKRSGIGSMLSSSITSSPSMSLISTMATCALPVKTFTLVELEKATSNFSSMRILGEGGFGRVYRGVMGDGSEVAVKLLTRDNQNGDREFIAEVEMLSRLHHRNLVKLVGICIEGRIRCLVYELVPNGSVESHLHGVDKNKGPLDWDARLKVALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSFGVVLLELLTGRKPVDMSQPQGQENLVTWARPLLSSREGVEQLVDPSLAGTYNFDDMAKVAAIASMCVHPEVTHRPFMGEVVQALKLIYNDTDETGGDCCSQKESSAPESDFKGDLAPSDSSWWNAGAVTPSLTYGRSYPFVTMDYSSGQLDEMENRPFSTSSLFGDRTSLPIRHGNRSGPLRTVRSKPSFYTLRGSMSEHGGLLPRRIWSDSN >KJB26053 pep chromosome:Graimondii2_0_v6:4:55710777:55716832:1 gene:B456_004G222800 transcript:KJB26053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALILLQLLLVYLLSFLSCSCLELQVFSYPLQPVHRLSAVETIVDHAARLISMRVLFSQPRSSQDHGNPSFGPATAPAPSPIYQAPVASPSRHLVPRHRHGGHHHRHHVKPRTDAPSPSEQGCDQKCVDPLTATPFGSPCGCVFPMKVKLLLSVAPYAVFPVMNELEIEVAAGLYLQQSQVKIMGATADAQDQGRTLVEINLVPLGEKFDNTTAILTSDRLLHKRLSLNSTLFGTYDVVSISYPGVPPSPPYGNIFGSGPTGSTGDLPITANFVNKNQKMNIRIIAIIVLSAFVLLLVLAGAISVLIKWRKVRRPSNAVGPAFPSSLNKRSGIGSMLSSSITSSPSMSLISTMATCALPVKTFTLVELEKATSNFSSMRILGEGGFGRVYRGVMGDGSEVAVKLLTRDNQNGDREFIAEVEMLSRLHHRNLVKLVGICIEGRIRCLVYELVPNGSVESHLHGVDKNKGPLDWDARLKVALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSFGVVLLELLTGRKPVDMSQPQGQENLVTWARPLLSSREGVEQLVDPSLAGTYNFDDMAKVAAIASMCVHPEVTHRPFMGEVVQALKLIYNDTDETGGDCCSQKESSAPESDFKGDLAPSDSSWWNAGAVTPSLTYGRSYPFVTMDYSSGQLDEMENRPFSTSSLFGDRTSLPIRHGNRSGPLRTVRSKPSFYTLRGSMSEHGGLLPRRIWSDSN >KJB26052 pep chromosome:Graimondii2_0_v6:4:55710422:55716861:1 gene:B456_004G222800 transcript:KJB26052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALILLQLLLVYLLSFLSCSCLELQVFSYPLQPVHRLSAVETIVDHARLISMRVLFSQPRSSQDHGNPSFGPATAPAPSPIYQAPVASPSRHLVPRHRHGGHHHRHHVKPRTDAPSPSEQGCDQKCVDPLTATPFGSPCGCVFPMKVKLLLSVAPYAVFPVMNELEIEVAAGLYLQQSQVKIMGATADAQDQGRTLVEINLVPLGEKFDNTTAILTSDRLLHKRLSLNSTLFGTYDVVSISYPGVPPSPPYGNIFGSGPTGSTGDLPITANFVNKNQKMNIRIIAIIVLSAFVLLLVLAGAISVLIKWRKVRRPSNAVGPAFPSSLNKRSGIGSMLSSSITSSPSMSLISTMATCALPVKTFTLVELEKATSNFSSMRILGEGGFGRVYRGVMGDGSEVAVKLLTRDNQNGDREFIAEVEMLSRLHHRNLVKLVGICIEGRIRCLVYELVPNGSVESHLHGVDKNKGPLDWDARLKVALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSFGVVLLELLTGRKPVDMSQPQGQENLVTWARPLLSSREGVEQLVDPSLAGTYNFDDMAKVAAIASMCVHPEVTHRPFMGEVVQALKLIYNDTDETGGDCCSQKESSAPESDFKGDLAPSDSSWWNAGAVTPSLTYGRSYPFVTMDYSSGQLDEMENRPFSTSSLFGDRTSLPIRHGNRSGPLRTVRSKPSFYTLRGSMSEHGGLLPRRIWSDSN >KJB26054 pep chromosome:Graimondii2_0_v6:4:55710782:55715749:1 gene:B456_004G222800 transcript:KJB26054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALILLQLLLVYLLSFLSCSCLELQVFSYPLQPVHRLSAVETIVDHARLISMRVLFSQPRSSQDHGNPSFGPATAPAPSPIYQAPVASPSRHLVPRHRHGGHHHRHHVKPRTDAPSPSEQGCDQKCVDPLTATPFGSPCGCVFPMKVKLLLSVAPYAVFPVMNELEIEVAAGLYLQQSQVKIMGATADAQDQGRTLVEINLVPLGEKFDNTTAILTSDRLLHKRLSLNSTLFGTYDVVSISYPGVPPSPPYGNIFGSGPTGSTGDLPITANFVNKNQKMNIRIIAIIVLSAFVLLLVLAGAISVLIKWRKVRRPSNAVGPAFPSSLNKRSGIGSMLSSSITSSPSMSLISTMATCALPVKTFTLVELEKATSNFSSMRILGEGGFGRVYRGVMGDGSEVAVKLLTRDNQNGDREFIAEVEMLSRLHHRNLVKLVGICIEGRIRCLVYELVPNGSVESHLHGVDKNKGPLDWDARLKVALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSQHISTRVMGTFG >KJB26583 pep chromosome:Graimondii2_0_v6:4:58603790:58613650:1 gene:B456_004G248700 transcript:KJB26583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVKNPKVLATPVNIIVGSHVWVEDPDEAWIDGQVTKITGKDAQIQTTNGKTVTEKLSKIYPKDLEAPPGGVDDMTKLSYLHEPGVLQNLKARYELNEIYTYTGNILIAINPFQRLPHIYDSHMMQQYKGAPFGELSPHVFAVADVAYRAMINELKSNSILVSGESGAGKTETTKMLMRYLAFLGGRVATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPAEEVEKYKLGKPQSYHYLNQSHCYELVGVSDAHDYLATRRAMDIVGISEKEQEAIFRVVAAILHLGNIVFAKGKEVDSSVPKDDQAKFHLNTTAELLMCDVKALEDALCKRVMVTPEEVIKRSLDPQSATVSRDGLAKTLYSRLFDWLVDKINNSIGQDPNSNFLIGVLDIYGFESFKANSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEKINWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFANKLYQTFKNHKRFIKPKLSRTDFTIAHYAGDVLYQSDQFLDKNKDYVVPEHQDLLGVSKCPFVAGLFPPLPEETSKSSKFSSIGSRFKLQLQQLMETLNSTEPHYIRCVKPNNQLKPAIFENQNIMQQLRCGGVLEAIRISMAGYPTRKPFFEFINRFGLLCPEALEGNYDEKAACQKILEKAGLEGFQVGLTKIFLRAGQMAELDARRAEVLSSAAKTIQRRIRTHISRRRFLAVRKASVDIQSICRGVLACKLYQNMRRQAAALKIQKHIKRHQARVAYQKLHISALVLQTGLRAMAARREFRSMNLNKNATLLQAWWLCRRAVVYYKKLTRSCIVTQTRWRGRVARRELRKLKMAARETGALKEAKDKLEKNVEELTWRLQLEKRLRTDLEEAKAQEVMKLQNTMQEMQKIIEETNALLAKERETAKKAIEEAPPVIQEKEVLVEDTKKVESLTEEVESLKASLETEKLRADEAEGKYKELQESSEEKRKKLEETEKKVQQLADSMRGLEEKLANIESENKVLRQQAVSIAPNKFLSGRSRSILQRGSESGHLEARAAIPDLHSPSLNLREPAEVEEKPQKSLNEKQQENQELLIRCIAQHLGFAGNQPIAACIIYKCLLQWRSFEVERTSVFDRIIQTIGNAIETQDNNDILAYWLSNASTLLLLLQRTLKASGAAGMTPQRRRSSSATLFGRMTQFPWSATSGVDSLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGASRSVANTAGQQALIAHWQGIVKSLGNFLNTLKANYVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPDVIANMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDISKSMEQIDIADIEPPPLIRENIGFSFLLPRSD >KJB26582 pep chromosome:Graimondii2_0_v6:4:58603790:58611150:1 gene:B456_004G248700 transcript:KJB26582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVKNPKVLATPVNIIVGSHVWVEDPDEAWIDGQVTKITGKDAQIQTTNGKTVTEKLSKIYPKDLEAPPGGVDDMTKLSYLHEPGVLQNLKARYELNEIYTYTGNILIAINPFQRLPHIYDSHMMQQYKGAPFGELSPHVFAVADVAYRAMINELKSNSILVSGESGAGKTETTKMLMRYLAFLGGRVATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPAEEVEKYKLGKPQSYHYLNQSHCYELVGVSDAHDYLATRRAMDIVGISEKEQEAIFRVVAAILHLGNIVFAKGKEVDSSVPKDDQAKFHLNTTAELLMCDVKALEDALCKRVMVTPEEVIKRSLDPQSATVSRDGLAKTLYSRLFDWLVDKINNSIGQDPNSNFLIGVLDIYGFESFKANSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEKINWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFANKLYQTFKNHKRFIKPKLSRTDFTIAHYAGDVLYQSDQFLDKNKDYVVPEHQDLLGVSKCPFVAGLFPPLPEETSKSSKFSSIGSRFKLQLQQLMETLNSTEPHYIRCVKPNNQLKPAIFENQNIMQQLRCGGVLEAIRISMAGYPTRKPFFEFINRFGLLCPEALEGNYDEKAACQKILEKAGLEGFQVGLTKIFLRAGQMAELDARRAEVLSSAAKTIQRRIRTHISRRRFLAVRKASVDIQSICRGVLACKLYQNMRRQAAALKIQKHIKRHQARVAYQKLHISALVLQTGLRAMAARREFRSMNLNKNATLLQAWWLCRRAVVYYKKLTRSCIVTQTRWRGRVARRELRKLKMAARETGALKEAKDKLEKNVEELTWRLQLEKRLRTDLEEAKAQEVMKLQNTMQEMQKIIEETNALLAKERETAKKAIEEAPPVIQEKEVLVEDTKKVESLTEEVESLKASLETEKLRADEAEGKYKELQESSEEKRKKLEETEKKVQQLADSMRGLEEKLANIESENKVLRQQAVSIAPNKFLSGRSRSILQRGSESGHLEARAAIVSRVLVT >KJB22740 pep chromosome:Graimondii2_0_v6:4:6336588:6338871:-1 gene:B456_004G063700 transcript:KJB22740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGISSESGSGAFRDDENCHKQVSPIRGGGSRNTSPSGRVGSRNTSPSRQKVIKTKPRGLDEETVATFGKVVHPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCKRWNLILQDHSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFNFLPQWAFWLVGSSSGLVCFSGLDGLTFRTLVCNPLTQTWRTLPSMNYDQQRQLIVVVDRTDKSFKVIATSDIYGDRSLPTEVYDSKINKWTVHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDLGYWERIPAKFPRSLLDGYLVAGTHKRLFLVGRIGLYSTLQSMRIWELDHAKIMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKVWSWIAGCALQSFNSQVCFYEPRFDASVH >KJB22739 pep chromosome:Graimondii2_0_v6:4:6337087:6338373:-1 gene:B456_004G063700 transcript:KJB22739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGISSESGSGAFRDDENCHKQVSPIRGGGSRNTSPSGRVGSRNTSPSRQKVIKTKPRGLDEETVATFGKVVHPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCKRWNLILQDHSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFNFLPQWAFWLVGSSSGLVCFSGLDGLTFRTLVCNPLTQTWRTLPSMNYDQQRQLIVVVDRTDKSFKVIATSDIYGDRSLPTEVYDSKINKWTVHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDLGYWERIPAKFPRSLLDGYLVAGTHKRLFLVGRIGLYSTLQSMRIWELDHAKIMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKVWSWIAGCALQSFNSQVCFYEPRFDASVH >KJB26317 pep chromosome:Graimondii2_0_v6:4:57541159:57545022:-1 gene:B456_004G236800 transcript:KJB26317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFIFKRSSNGSFCISQYIRTKTRTFSSLHPLDNFLDSNQNSKVFDPGCSSILHNSLKKPFGSFSFLPSSSLLGSQNQHSLRLNRKGWIWAREFGSQAAIEPCTSDGLTVDGIASSKWTILEESESDWKSHAAAIAQSIQVIKRRLQWKKLMVRLDLLSAELNKPDLWNDPVHAGKISREHGSLMGKMKEVKAFEQELLEHIDMIKLAREEDDTELESESMKALLRMRRDSKDKELEALLAGEHDSCSCYIEVQAGAGGTESMDWAAMVMQMYKTWAQRRGYGVTVVDEMPGEIAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDGSSRVQINESDLRIERFRAGGAGGQHVNTTESAVRIVHIPTGVTATCQNERSQHQNKASAMAVLQSRLDQLEMARQAQMNSQHTQSLTEISWGNQIRTYVLHPYRLVKDLRTNYEVSDPDSVLEGDLDSFILSYLSASLDKGEGEQ >KJB26318 pep chromosome:Graimondii2_0_v6:4:57541229:57544280:-1 gene:B456_004G236800 transcript:KJB26318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFIFKRSSNGSFCISQYIRTKTRTFSSLHPLDNFLDSNQNSKVFDPGCSSILHNSLKKPFGSFSFLPSSSLLGSQNQHSLRLNRKGWIWAREFGSQAAIEPCTSDGLTVDGIASSKWTILEESESDWKSHAAAIAQSIQVIKRRLQWKKLMVRLDLLSAELNKPDLWNDPVHAGKISREHGSLMGKMKEVKAFEQELLEHIDMIKLAREEDDTELESESMKALLRMRRDSKDKELEALLAGEHDSCSCYIEVQAGAGGTESMDWAAMVMQMYKTWAQRRGYGVTVVDEMPGEIAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDGSSRVQINESDLRIERFRAGGAGGQHVNTTESAVRIVHIPTGVTATCQNERSQHQNKASAMAVLQSRLDQLEMARQAQMNSQHTQSLTEISWGNQIRTYVLHVNALLP >KJB21569 pep chromosome:Graimondii2_0_v6:4:117160:118267:-1 gene:B456_004G001700 transcript:KJB21569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFSAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLFVTAFGAVLHVISTSLLGITAITMANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFRSLV >KJB21567 pep chromosome:Graimondii2_0_v6:4:115866:118453:-1 gene:B456_004G001700 transcript:KJB21567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFSAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLFVTAFGAVLHVISTSLLGITAITMANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFRSLV >KJB21571 pep chromosome:Graimondii2_0_v6:4:117424:118453:-1 gene:B456_004G001700 transcript:KJB21571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFSAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLFVTAFGAVLHVISTSLLGITAITMANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFRYRMKKDSEFRELHKSI >KJB21570 pep chromosome:Graimondii2_0_v6:4:117160:118267:-1 gene:B456_004G001700 transcript:KJB21570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFSAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLFVTAFGAVLHVISTSLLGITAITMANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFRSLV >KJB21568 pep chromosome:Graimondii2_0_v6:4:115841:118489:-1 gene:B456_004G001700 transcript:KJB21568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFSAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLFVTAFGAVLHVISTSLLGITAITMANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTIAVMTSLVALSFYGASQLKFHWVERYDKVLVGSVLCLVGILTLIFHHHDGDEGLHGQHVHRKVIGL >KJB25702 pep chromosome:Graimondii2_0_v6:4:53528840:53530007:-1 gene:B456_004G205100 transcript:KJB25702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPIPKVGSRRNGRSSARKSARRIPKGVIHVQASFNNTIVTVTDLRGRVISWSSAGTCGFKGTRRGTPFAAQTTTEDSIQAVVDQESVHKILMNLKQIVLRGNFFGTRNAFICAKGPGYVTAQDIILPPFVETVDNTQHVANLTKPIDLCIGLQIERNRGYDTKMPKNFHDRSYPIDVVFMPIRNVNHSIHFYGNDNEKEEILFLEIWTNGSLTLKEALHEASRNLID >KJB24348 pep chromosome:Graimondii2_0_v6:4:39673482:39678440:1 gene:B456_004G141200 transcript:KJB24348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MTCVAMSSTARLFTTFNDFSVRRRFTPQSRCLRVARPWPRSRASRIVCMAEPYLITKLESAEKTWKELSVRLADPDVVSNPSEYQKLAQSVSELDEVVSTFRGFKECEKQLEEAKALSEEEGTDVDMAEMIASEISSLSGQLKELEEKLKVLLLPSDPLDARNIMLEVRAGTGGDEAGIWAGDLVRMYQKYSERNSWKSTLISCSEAEKGGFKTCVIEVKGKCVYSKLKYESGVHRVQRVPQTETQGRVHTSTATVAIMPEADEVEVEIDPKDIELTTARSGGAGGQNVNKVETAVDLFHKPTGIRIFCTEERTQLQNKSRALQLLRAKLYEIKVREQQELIRNRRKSQVGTGARAEKIRTYNYKDNRVTDHRLKTNFELTSFLEGDIENAVQACSAMEQKELLEELAESVATTAG >KJB24350 pep chromosome:Graimondii2_0_v6:4:39673685:39677412:1 gene:B456_004G141200 transcript:KJB24350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MTCVAMSSTARLFTTFNDFSVRRRFTPQSRCLRVARPWPRSRASRIVCMAEPYLITKLESAEKTWKELSVRLADPDVVSNPSEYQKLAQSVSELDEVVSTFRGFKECEKQLEEAKALSEEEGTDVDMAEMIASEISSLSGQLKELEEKLKVLLLPSDPLDARNIMLEVRAGTGGDEAGIWAGDLVRMYQKYSERNSWKSTLISCSEAEKGGFKTCVIEVKGKCVYSKLKYESGVHRVQRVPQTETQGRVHTSTATVAIMPEADEVEVEIDPKDIELTTARSGGAGGQNVNKVETAVDLFHKPTGIRIFCTEERTQLQNKSRALQLLRAKLYEIKVREQQELIRNRRKSQC >KJB24351 pep chromosome:Graimondii2_0_v6:4:39673553:39678412:1 gene:B456_004G141200 transcript:KJB24351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MTCVAMSSTARLFTTFNDFSVRRRFTPQSRCLRVARPWPRSRASRIVCMAEPYLITKLESAEKTWKELSVRLADPDVVSNPSEYQKLAQSVSELDEVVSTFRGFKECEKQLEEAKALSEEEGTDVDMAEMIASEISSLSGQLKELEEKLKVLLLPSDPLDARNIMLEVRAGTGGDEAGIWAGDLVRMYQKYSERNSWKSTLISCSEADEVEVEIDPKDIELTTARSGGAGGQNVNKVETAVDLFHKPTGIRIFCTEERTQLQNKSRALQLLRAKLYEIKVREQQELIRNRRKSQVGTGARAEKIRTYNYKDNRVTDHRLKTNFELTSFLEGDIENAVQACSAMEQKELLEELAESVATTAG >KJB24349 pep chromosome:Graimondii2_0_v6:4:39673553:39677170:1 gene:B456_004G141200 transcript:KJB24349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MTCVAMSSTARLFTTFNDFSVRRRFTPQSRCLRVARPWPRSRASRIVCMAEPYLITKLESAEKTWKELSVRLADPDVVSNPSEYQKLAQSVSELDEVVSTFRGFKECEKQLEEAKALSEEEGTDVDMAEMIASEISSLSGQLKELEEKLKVLLLPSDPLDARNIMLEVRAGTGGDEAGIWAGDLVRMYQKYSERNSWKSTLISCSEAEKGGFKTCVIEVKGKCVYSKLKYESGVHRVQRVPQTETQGRVHTSTATVAIMPEADEVEVEIDPKDIELTTARSGGAGGQNVNKVETAVDLFHKPTGIRIFCTEERTQLQNKSRALQLLRAKL >KJB23965 pep chromosome:Graimondii2_0_v6:4:32328366:32330201:1 gene:B456_004G124200 transcript:KJB23965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVLRVRLASFFTGAATASFLGLYILYKDYKVAHESIAQRVKRLHEPLDRRISALESLKQGETSQHVEATE >KJB23964 pep chromosome:Graimondii2_0_v6:4:32328294:32330201:1 gene:B456_004G124200 transcript:KJB23964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVLRVRLASFFTGAATASFLGLYILYKDYKVAHESIAQRVKRLHEPLDRRISALESLKQGETSQHVEATE >KJB25923 pep chromosome:Graimondii2_0_v6:4:54921525:54926839:-1 gene:B456_004G216000 transcript:KJB25923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAAAVEDTEVVFEEAVSWLPSHVLNEAILETNNQKDVMKYHQYHRHRSKLPTKPFPPSKSRWRGHDQKPRCWATRGGGMQAFFLDTGKRSSGTGVFLPLTQSPGPNNNYFHSTTRPACSPVLLPSRVVQALNLNVHEIGLHISPRRAVKNNSNTTGRGGELKLLNNKNGKNGNGDPSGTNIFLPDEWIY >KJB26359 pep chromosome:Graimondii2_0_v6:4:57663299:57669752:-1 gene:B456_004G238100 transcript:KJB26359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFAKPENALKRAEELINVGQKQDALQALHNLITSKRYRAWQKPLEKIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMHLSTQKAEQARSQAEALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYKDQRDRPDLSAPESLQLYLDTRFEQLKIATELGLWQEAFRSVEDIHGLMSIVKKTPKASLMVVYYAKLTEIFWISGSHLYHAYAWFKLFSLQKSFNKNLSQKDLQLIASSVVLTALSVSPYNQTRGASHLELENEKERNLRMANLIGFNLESKLENREVVSKGVLSCATQEVKDLYHLLENDFLPLDVASKIHPLLMKISKLGGKLTSASSVAEVQLSQYVPSLERLATLRLLKQVSQLYQTMKIESLSQMIPFFEFSMVEKISVDAVKHKFISMKVDHMKGAVLFGNTGLESDKLQDHLAVLAESLNKARAMIYPTPKKASKLGEVLPGLGEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESKRQNLLKKTEEAEKKRLAAMFEQQRAERIRKEIEERELEEAQALLQETEKHLKRGKKKPILDGEKLTKQTLIERALSEQLKERQEQEKRLQKVAKTMDHLERAKREEAAPLIEAAFQRRLVEEKVLHEREQQLEVELSRQRHDGDLKEKNRLARMLENKMIFQERVISRRQAEFDQRRVEGEERIKQIIQARKQERDIKRKKIFFVRSEEERIKKMREEEEARKREEAERRRKEEAEHKAKMDEIAEKQRQRERELEEKERLRREALLGRAPEGISRPSELPAGSRTTEPVVATPAAAAAAAAPTTGKYVPKFRLRPESSGPAPPSEPDRWGKPAPLEPDRWAAGSRAPPSQSDRWTSGSRAPPQDSDRLAGGGSRATPPDSNRFGGGSGSSKAEPWRPSRARNPQRG >KJB26365 pep chromosome:Graimondii2_0_v6:4:57663605:57669329:-1 gene:B456_004G238100 transcript:KJB26365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFAKPENALKRAEELINVGQKQDALQALHNLITSKRYRAWQKPLEKIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMHLSTQKAEQARSQAEALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYKDQRDRPDLSAPESLQLYLDTRFEQLKIATELGLWQEAFRSVEDIHGLMSIVKKTPKASLMVVYYAKLTEIFWISGSHLYHAYAWFKLFSLQKSFNKNLSQKDLQLIASSVVLTALSVSPYNQTRGASHLELENEKERNLRMANLIGFNLESKLENREVLSRSAVLTELVSKGVLSCATQEVKDLYHLLENDFLPLDVASKIHPLLMKISKLGGKLTSASSVAEVQLSQYVPSLERLATLRLLKQVSQLYQTMKIESLSQMIPFFEFSMVEKISVDAVKHKFISMKVDHMKGAVLFGNTGLESDKLQDHLAVLAESLNKARAMIYPTPKKASKLGEVLPGLGEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESKRQNLLKKTEEAEKKRLAAMFEQQRAERIRKEIEERELEEAQALLQETEKHLKRGKKKPILDGEKLTKQTLIERALSEQLKERQEQEKRLQKVAKTMDHLERAKREEAAPLIEAAFQRRLVEEKVLHEREQQLEVELSRQRHDGDLKEKNRLARMLENKMIFQERVISRRQAEFDQRRVEGEERIKQIIQARKQERDIKRKKIFFVRSEEERIKKMREEEEARKREEAERRRKEEAEHKAKMDEIAEKQRQRERELEEKERLRREALLGRAPEGISRPSELPAGSRTTEPVVATPAAAAAAAAPTTGKYVPKFRLRPESSGPAPPSEPDRWGKPAPLEPDRWAAGSRAPPSQSDRWTSGSRAPPQDSDRLAGGGSRATPPDSNRFGGGSGSSKAEPWRPSRARNPQRG >KJB26360 pep chromosome:Graimondii2_0_v6:4:57663135:57669560:-1 gene:B456_004G238100 transcript:KJB26360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFAKPENALKRAEELINVGQKQDALQALHNLITSKRYRAWQKPLEKIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMHLSTQKAEQARSQAEALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYKDQRDRPDLSAPESLQLYLDTRFEQLKIATELGLWQEAFRSVEDIHGLMSIVKKTPKASLMVVYYAKLTEIFWISGSHLYHAYAWFKLFSLQKSFNKNLSQKDLQLIASSVVLTALSVSPYNQTRGASHLELENEKERNLRMANLIGFNLESKLENREVLSRSAVLTELVSKGVLSCATQEVKDLYHLLENDFLPLDVASKIHPLLMKISKLGGKLTSASSVAEVQLSQYVPSLERLATLRLLKQVSQLYQTMKIESLSQMIPFFEFSMVEKISVDAVKHKFISMKVDHMKGAVLFGNTGLESDKLQDHLAVLAESLNKARAMIYPTPKKASKLGEVLPGLGEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESKRQNLLKKTEEAEKKRLAAMFEQQRAERIRKEIEERELEEAQALLQETEKHLKRGKKKPILDGEKLTKQTLIERALSEQLKERQEQEKRLQKVAKTMDHLERAKREEAAPLIEAAFQRRLVEEKVLHEREQQLEVELSRQRHDGDLKEKNRLARMLENKMIFQERVISRRQAEFDQRRVEGEERIKQIIQARKQERDIKRKKIFFVRSEEERIKKMREEEEARKREEAERRRKEEAEHKAKMDEIAEKQRQRERELEEKERLRREALLGRAPEGISRPSELPAGSRTTEPVVATPAAAAAAAAPTTGKYVPKFRLRPESSGPAPPSEPDRWGKPAPLEPDRWAAGSRAPPSQSDRWTSGSRAPPQDSDRLAGGGSRATPPDSNRFGGGSGSSKAEPWRPSRARNPQRG >KJB26361 pep chromosome:Graimondii2_0_v6:4:57663299:57669752:-1 gene:B456_004G238100 transcript:KJB26361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFAKPENALKRAEELINVGQKQDALQALHNLITSKRYRAWQKPLEKIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMHLSTQKAEQARSQAEALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYKDQRDRPDLSAPESLQLYLDTRFEQLKIATELGLWQEAFRSVEDIHGLMSIVKKTPKASLMVVYYAKLTEIFWISGSHLYHAYAWFKLFSLQKSFNKNLSQKDLQLIASSVVLTALSVSPYNQTRGASHLELENEKERNLRMANLIGFNLESKLENREVLSRSAVLTELVSKGVLSCATQEVKDLYHLLENDFLPLDVASKIHPLLMKISKLGGKLTSASSVAEVQLSQYVPSLERLATLRLLKQVSQLYQTMKIESLSQMIPFFEFSMVEKISVDAVKHKFISMKVDHMKGAVLFGNTGLESDKLQDHLAVLAESLNKARAMIYPTPKKASKLGEVLPGLGEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESKRQNLLKKTEEAEKKRLAAMFEQQRAERIRKEIEERELEEAQALLQETEKHLKRGKKKPILDGQLKERQEQEKRLQKVAKTMDHLERAKREEAAPLIEAAFQRRLVEEKVLHEREQQLEVELSRQRHDGDLKEKNRLARMLENKMIFQERVISRRQAEFDQRRVEGEERIKQIIQARKQERDIKRKKIFFVRSEEERIKKMREEEEARKREEAERRRKEEAEHKAKMDEIAEKQRQRERELEEKERLRREALLGRAPEGISRPSELPAGSRTTEPVVATPAAAAAAAAPTTGKYVPKFRLRPESSGPAPPSEPDRWGKPAPLEPDRWAAGSRAPPSQSDRWTSGSRAPPQDSDRLAGGGSRATPPDSNRFGGGSGSSKAEPWRPSRARNPQRG >KJB26362 pep chromosome:Graimondii2_0_v6:4:57663605:57669329:-1 gene:B456_004G238100 transcript:KJB26362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFAKPENALKRAEELINVGQKQDALQALHNLITSKRYRAWQKPLEKIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMHLSTQKAEQARSQAEALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYKDQRDRPDLSAPESLQLYLDTRFEQLKIATELGLWQEAFRSVEDIHGLMSIVKKTPKASLMVVYYAKLTEIFWISGSHLYHAYAWFKLFSLQKSFNKNLSQKDLQLIASSVVLTALSVSPYNQTRGASHLELENEKERNLRMANLIGFNLESKLENREVLSRSAVLTELVSKGVLSCATQEVKDLYHLLENDFLPLDVASKIHPLLMKISKLGGKLTSASSVAEVQLSQYVPSLERLATLRLLKQVSQLYQTMKIESLSQMIPFFEFSMVEKISVDAVKHKFISMKVDHMKGAVLFGNTGLESDKLQDHLAVLAESLNKARAMIYPTPKKASKLGEVLPGLGEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESKRQNLLKKTEEAEKKRLAAMFEQQRAERIRKEIEERELEEAQALLQETEKHLKRGKKKPILDGQTLIERALSEQLKERQEQEKRLQKVAKTMDHLERAKREEAAPLIEAAFQRRLVEEKVLHEREQQLEVELSRQRHDGDLKEKNRLARMLENKMIFQERVISRRQAEFDQRRVEGEERIKQIIQARKQERDIKRKKIFFVRSEEERIKKMREEEEARKREEAERRRKEEAEHKAKMDEIAEKQRQRERELEEKERLRREALLGRAPEGISRPSELPAGSRTTEPVVATPAAAAAAAAPTTGKYVPKFRLRPESSGPAPPSEPDRWGKPAPLEPDRWAAGSRAPPSQSDRWTSGSRAPPQDSDRLAGGGSRATPPDSNRFGGGSGSSKAEPWRPSRARNPQRG >KJB26363 pep chromosome:Graimondii2_0_v6:4:57663605:57669329:-1 gene:B456_004G238100 transcript:KJB26363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFAKPENALKRAEELINVGQKQDALQALHNLITSKRYRAWQKPLEKIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMHLSTQKAEQARSQAEALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYKDQRDRPDLSAPESLQLYLDTRFEQLKIATELGLWQEAFRSVEDIHGLMSIVKKTPKASLMVVYYAKLTEIFWISGSHLYHAYAWFKLFSLQKSFNKNLSQKDLQLIASSVVLTALSVSPYNQTRGASHLELENEKERNLRMANLIGFNLESKLENREVLSRSAVLTELVSKGVLSCATQEVKDLYHLLENDFLPLDVASKIHPLLMKISKLGGKLTSASSVAEVQLSQYVPSLERLATLRLLKQVSQLYQTMKIESLSQMIPFFEFSMVEKISVDAVKHKFISMKVDHMKGAVLFGNTGLESDKLQDHLAVLAESLNKARAMIYPTPKKASKLGEVLPGLGEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESKRQNLLKKTEEAEKKRLAAMFEQQRAERIRKEIEERELEEAQALLQETEKHLKRGKKKPILDGKLTKQTLIERALSEQLKERQEQEKRLQKVAKTMDHLERAKREEAAPLIEAAFQRRLVEEKVLHEREQQLEVELSRQRHDGDLKEKNRLARMLENKMIFQERVISRRQAEFDQRRVEGEERIKQIIQARKQERDIKRKKIFFVRSEEERIKKMREEEEARKREEAERRRKEEAEHKAKMDEIAEKQRQRERELEEKERLRREALLGRAPEGISRPSELPAGSRTTEPVVATPAAAAAAAAPTTGKYVPKFRLRPESSGPAPPSEPDRWGKPAPLEPDRWAAGSRAPPSQSDRWTSGSRAPPQDSDRLAGGGSRATPPDSNRFGGGSGSSKAEPWRPSRARNPQRG >KJB26357 pep chromosome:Graimondii2_0_v6:4:57663299:57669752:-1 gene:B456_004G238100 transcript:KJB26357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFAKPENALKRAEELINVGQKQDALQALHNLITSKRYRAWQKPLEKIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMHLSTQKAEQARSQAEALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYKDQRDRPDLSAPESLQLYLDTRFEQLKIATELGLWQEAFRSVEDIHGLMSIVKKTPKASLMVVYYAKLTEIFWISGSHLYHAYAWFKLFSLQKSFNKNLSQKDLQLIASSVVLTALSVSPYNQTRGASHLELENEKERNLRMANLIGFNLESKLENREVLSRSAVLTELVSKGVLSCATQEVKDLYHLLENDFLPLDVASKIHPLLMKISKLGGKLTSASSVAEVQLSQYVPSLERLATLRLLKQVSQLYQTMKIESLSQMIPFFEFSMVEKISVDAVKHKFISMKVDHMKGAVLFGNTGLESDKLQDHLAVLAESLNKARAMIYPTPKKASKLGEVLPGLGEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESKRQNLLKKTEEAEKKRLAAMFEQQRAERIRKEIEERELEEAQALLQETEKHLKRGKKKPILDGEKLTKQTLIERALSEQLKERQEQEKRLQKVAKTMDHLERAKREEAAPLIEAAFQRRLVEEKVLHEREQQLEVELSRQRHDGDLKEKNRLARMLENKMIFQERVISRRQAEFDQRRVEGEERIKQIIQARKQERDIKRKKIFFVRSEEERIKKMREEEEARKREEAERRRKEEAEHKAKMDEIAEKQRQRERELEEKERLRREALLGRAPEGISRPSELPAGSRTTEPVVATPAAAAAAAAPTTGKYVPKFRLRPESSGPAPPSEPDRWGKPAPLEPDRWAAGSRAPPSQSDRWTSGSRAPPQDSDRLAGGGSRATPPDSNRFGGGSGSSKAEPWRPSRARNPQRG >KJB26364 pep chromosome:Graimondii2_0_v6:4:57663299:57669808:-1 gene:B456_004G238100 transcript:KJB26364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFAKPENALKRAEELINVGQKQDALQALHNLITSKRYRAWQKPLEKIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMHLSTQKAEQARSQAEALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYKDQRDRPDLSAPESLQLYLDTRFEQLKIATELGLWQEAFRSVEDIHGLMSIVKKTPKASLMVVYYAKLTEIFWISGSHLYHAYAWFKLFSLQKSFNKNLSQKDLQLIASSVVLTALSVSPYNQTRGASHLELENEKERNLRMANLIGFNLESKLENREVLSRSAVLTELVSKGVLSCATQEVKDLYHLLENDFLPLDVASKIHPLLMKISKLGGKLTSASSVAEVQLSQYVPSLERLATLRLLKQVSQLYQTMKIESLSQMIPFFEFSMVEKISVDAVKHKFISMKVDHMKGAVLFGNTGLESDKLQDHLAVLAESLNKARAMIYPTPKKASKLGEVLPGLGEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESKRQNLLKKTEEAEKKRLAAMFEQQRAERIRKEIEERELEEAQALLQETEKHLKRGKKKPILDGLKERQEQEKRLQKVAKTMDHLERAKREEAAPLIEAAFQRRLVEEKVLHEREQQLEVELSRQRHDGDLKEKNRLARMLENKMIFQERVISRRQAEFDQRRVEGEERIKQIIQARKQERDIKRKKIFFVRSEEERIKKMREEEEARKREEAERRRKEEAEHKAKMDEIAEKQRQRERELEEKERLRREALLGRAPEGISRPSELPAGSRTTEPVVATPAAAAAAAAPTTGKYVPKFRLRPESSGPAPPSEPDRWGKPAPLEPDRWAAGSRAPPSQSDRWTSGSRAPPQDSDRLAGGGSRATPPDSNRFGGGSGSSKAEPWRPSRARNPQRG >KJB26358 pep chromosome:Graimondii2_0_v6:4:57663605:57669329:-1 gene:B456_004G238100 transcript:KJB26358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFAKPENALKRAEELINVGQKQDALQALHNLITSKRYRAWQKPLEKIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMHLSTQKAEQARSQAEALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYKDQRDRPDLSAPESLQLYLDTRFEQLKIATELGLWQEAFRSVEDIHGLMSIVKKTPKASLMVVYYAKLTEIFWISGSHLYHAYAWFKLFSLQKSFNKNLSQKDLQLIASSVVLTALSVSPYNQTRGASHLELENEKERNLRMANLIGFNLESKLENREVLSRSAVLTELVSKGVLSCATQEVKDLYHLLENDFLPLDVASKIHPLLMKISKLGGKLTSASSVAEVQLSQYVPSLERLATLRLLKQVSQLYQTMKIESLSQMIPFFEFSMVEKISVDAVKHKFISMKVDHMKGAVLFGNTGLESDKLQDHLAVLAESLNKARAMIYPTPKKASKLGEVLPGLGEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESKRQNLLKKTEEAEKKRLAAMFEQQRAERIRKEIEERELEEAQALLQETEKHLKRGKKKPILDGRALSEQLKERQEQEKRLQKVAKTMDHLERAKREEAAPLIEAAFQRRLVEEKVLHEREQQLEVELSRQRHDGDLKEKNRLARMLENKMIFQERVISRRQAEFDQRRVEGEERIKQIIQARKQERDIKRKKIFFVRSEEERIKKMREEEEARKREEAERRRKEEAEHKAKMDEIAEKQRQRERELEEKERLRREALLGRAPEGISRPSELPAGSRTTEPVVATPAAAAAAAAPTTGKYVPKFRLRPESSGPAPPSEPDRWGKPAPLEPDRWAAGSRAPPSQSDRWTSGSRAPPQDSDRLAGGGSRATPPDSNRFGGGSGSSKAEPWRPSRARNPQRG >KJB25685 pep chromosome:Graimondii2_0_v6:4:53571645:53574814:-1 gene:B456_004G205500 transcript:KJB25685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLRSLTPATAIAAASASALPAAFVFSSSFSSSSSSSSSSSRNSSHFPNAKSLPFLSSPPNRLGLIRNFATSPIALNMDSPSSNHNPSQENGSLPDLLTEYMVDMKCEGCVTAVKNKLQTVDVKSVEVDLSNQVVRILGNSPVKTMNEALEQTGRKARLIGQGVPEDFLVSAAVAEFKGPQIFGVVRLAQVSMELARIEASFSGLSPGKHGWSINEFGDLTRGAASTGKVFNPSNEGTAKQPVGDLGTLDVDKNGESFYTGVKQQLRVADLIGRSIAVYETEDRSDPGLTAAVIARSAGVGENYKKICACDGTTIWEASDKDFVTSKV >KJB25686 pep chromosome:Graimondii2_0_v6:4:53571645:53574897:-1 gene:B456_004G205500 transcript:KJB25686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLRSLTPATAIAAASASALPAAFVFSSSFSSSSSSSSSSSRNSSHFPNAKSLPFLSSPPNRLGLIRNFATSPIALNMDSPSSNHNPSQENGSLPDLLTEYMVDMKCEGCVTAVKNKLQTVDGVKSVEVDLSNQVVRILGNSPVKTMNEALEQTGRKARLIGQGVPEDFLVSAAVAEFKGPQIFGVVRLAQVSMELARIEASFSGLSPGKHGWSINEFGDLTRGAASTGKVFNPSNEGTAKQPVGDLGTLDVDKNGESFYTGVKQQLRVADLIGRSIAVYETEDRSDPGLTAAVIARSAGVGENYKKICACDGTTIWEASDKDFVTSKV >KJB25253 pep chromosome:Graimondii2_0_v6:4:49451466:49457563:1 gene:B456_004G182400 transcript:KJB25253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPNAMVLDSKPLVLHGMKRKRETQHTSYFPGASHVIPPQFPSLCLAFQKHDKRRRLEDCEGRVVSCGYTSKRSLLLCYSNFKKTGIPKRIMFYEKGEWTDFPNDLIASIRKDLVTKKPAIEVEIDSQSFVLDFLHMFRLELKTGMRHPIAWIDEAGGCFFPEIFTGEDEAYPCCKDECANNQEFLFSESYAPHEIKLHLEIGINGVEQPKLECSGESSSFVRHFQIAQKPASSYSAVEAEDNCNRSADGKPNKAVEDIQEKKKNLVPESEFVDVQFNEPLESSTVEKMFLMGMNTCEGVDILDIRPCSSTQYRLERFKKQVQIMKKYRGTANVQRAWLASSKKALPTIMKHGLADCRLSSIPDLYAAGVHLAAAEFTNGSAKYCDVDENGVQYMILCCVIMGKTELLFPESGQCFPSNEDVDSGVDDLHHPKYYITWNMNINTHIYPEFIVSFKLSSNAKGNSVGSEANHAISGVTASSKGVQGCLPASAGELGSSKHQNSDSGGSQENDPSLGSNTSKTPKSPWMPFPMLFAAISNKIPRMDMDQVANHYELFRAKKITRDDFVKKLRLIVGDNLLRSTITSLQSKIPSRHELEVAKQNMKGPGSL >KJB25244 pep chromosome:Graimondii2_0_v6:4:49451385:49457606:1 gene:B456_004G182400 transcript:KJB25244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPNAMVLDSKPLVLHGMKRKRETQHTSYFPGASHVIPPQFPSLCLAFQKHDKRRRLEDCEGRVVSCGYTSKRSLLLCYSNFKKTGIPKRIMFYEKGEWTDFPNDLIASIRKDLVTKKPAIEVEIDSQSFVLDFLHMFRLELKTGMRHPIAWIDEAGGCFFPEIFTGEDEAYPCCKDECANNQEFLFSESYAPHEIKLHLEIGINGVEQPKLECSGESSSFVRHFQIAQKPASSYSAVEAEDNCNRSADGKPNKAVEDIQEKKKNLVPESEFVDVQFNEPLESSTVEKMFLMGMNTCEGVDILDIRPCSSTQYRLERFKKQVQIMKKYRGTANVQRAWLASSKKALPTIMKHGLADCRLSSIPDLYAAGVHLAAAEFTNGSAKYCDVDENGVQYMILCCVIMGKTELLFPESGQCFPSNEDVDSGVDDLHHPKYYITWNMNINTHIYPEFIVSFKLSSNAKGNSVGSEANHAISGVTASSKGVQGCLPASAGELGSSKHQNSDSGGSQENDPSLGSNTSKTPKSPWMPFPMLFAAISNKIPRMDMDQVANHYELFRAKKITRDDFVKKLRLIVGDNLLRSTITSLQSKIPSRHELEVAKQNMKGPGSL >KJB25250 pep chromosome:Graimondii2_0_v6:4:49451466:49457563:1 gene:B456_004G182400 transcript:KJB25250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPNAMVLDSKPLVLHGMKRKRETQHTSYFPGASHVIPPQFPSLCLAFQKHDKRRRLEDCEGRVVSCGYTSKRSLLLCYSNFKKTGIPKRIMFYEKGEWTDFPNDLIASIRKDLVTKKPAIEVEIDSQSFVLDFLHMFRLELKTGMRHPIAWIDEAGGCFFPEIFTGEDEAYPCCKDECANNQEFLFSESYAPHEIKLHLEIGINGVEQPKLECSGESSSFVRHFQIAQKPASSYSAVEAEDNCNRSADGKPNKAVEDIQEKKKNLVPESEFVDVQFNEPLESSTVEKMFLMGMNTCEGVDILDIRPCSSTQYRLERFKKQVQIMKKYRGTANVQRAWLASSKKALPTIMKHGLADCRLSSIPDLYAAGVHLAAAEFTNGSAKYCDVDENGVQYMILCCVIMGKTELLFPESGQCFPSNEDVDSGVDDLHHPKYYITWNMNINTHIYPEFIVSFKLSSNAKGNSVGSEANHAISGVTASSKGVQGCLPASAGELMQQIIV >KJB25247 pep chromosome:Graimondii2_0_v6:4:49451466:49457563:1 gene:B456_004G182400 transcript:KJB25247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPNAMVLDSKPLVLHGMKRKRETQHTSYFPGASHVIPPQFPSLCLAFQKHDKRRRLEDCEGRVVSCGYTSKRSLLLCYSNFKKTGIPKRIMFYEKGEWTDFPNDLIASIRKDLVTKKPAIEVEIDSQSFVLDFLHMFRLELKTGMRHPIAWIDEAGGCFFPEIFTGEDEAYPCCKDECANNQEFLFSESYAPHEIKLHLEIGINGVEQPKLECSGESSSFVRHFQIAQKPASSYSAVEAEDNCNRSADGKPNKAVEDIQEKKKNLVPESEFVDVQFNEPLESSTVEKMFLMGMNTCEGVDILDIRPCSSTQYRLERFKKQVQIMKKYRGTANVQRAWLASSKKALPTIMKHGLADCRLSSIPDLYAAGVHLAAAEFTNGSAKYCDVDENGVQYMILCCVIMGKTELLFPESGQCFPSNEDVDSGVDDLHHPKYYITWNMNINTHIYPEFIVSFKLSSNAKGVTASSKGVQGCLPASAGELGSSKHQNSDSGGSQENDPSLGSNTSKTPKSPWMPFPMLFAAISNKIPRMDMDQVANHYELFRAKKITRDDFVKKLRLIVGDNLLRSTITSLQSKIPSRHELEVAKQNMKGPGSL >KJB25254 pep chromosome:Graimondii2_0_v6:4:49451466:49457563:1 gene:B456_004G182400 transcript:KJB25254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPNAMVLDSKPLVLHGMKRKRETQHTSYFPGASHVIPPQFPSLCLAFQKHDKRRRLEDCEGRVVSCGYTSKRSLLLCYSNFKKTGIPKRIMFYEKGEWTDFPNDLIASIRKDLVTKKPAIEVEIDSQSFVLDFLHMFRLELKTGMRHPIAWIDEAGGCFFPEIFTGEDEAYPCCKDECANNQEFLFSESYAPHEIKLHLEIGINGVEQPKLECSGESSSFVRHFQIAQKPASSYSAVEAEDNCNRSADGKPNKAVEDIQEKKKNLVPESEFVDVQFNEPLESSTVEKMFLMGMNTCEGVDILDIRPCSSTQYRLERFKKQVQIMKKYRGTANVQRAWLASSKKALPTIMKHGLADCRLSSIPDLYAAGVHLAAAEFTNGSAKYCDVDENGVQYMILCCVIMGKTELLFPESGQCFPSNEDVDSGVDDLHHPKYYITWNMNINTHIYPEFIVSFKLSSNAKGNSVGSEANHAISGVTASSKGVQGCLPASAGELGSSKHQNSDSGGSQENDPSLGSNTSKTPKSPWMPFPMLFAAISNKIPRMDMDQVANHYELFRAKKITRDDFVKKLRLIVGDNLLRSTITSLQSKIPSRHELEVAKQNMKGPGSL >KJB25245 pep chromosome:Graimondii2_0_v6:4:49451466:49456867:1 gene:B456_004G182400 transcript:KJB25245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPNAMVLDSKPLVLHGMKRKRETQHTSYFPGASHVIPPQFPSLCLAFQKHDKRRRLEDCEGRVVSCGYTSKRSLLLCYSNFKKTGIPKRIMFYEKGEWTDFPNDLIASIRKDLVTKKPAIEVEIDSQSFVLDFLHMFRLELKTGMRHPIAWIDEAGGCFFPEIFTGEDEAYPCCKDECANNQEFLFSESYAPHEIKLHLEIGINGVEQPKLECSGESSSFVRHFQIAQKPASSYSAVEAEDNCNRSADGKPNKAVEDIQEKKKNLVPESEFVDVQFNEPLESSTVEKMFLMGMNTCEGVDILDIRPCSSTQYRLERFKKQVQIMKKYRGTANVQRAWLASSKKALPTIMKHGLADCRLSSIPDLYAAGVHLAAAEFTNGSAKYCDVDENGVQYMILCCVIMGKTELLFPESGQCFPSNEDVDSGVDDLHHPKYYITWNMNINTHIYPEFIVSFKLSSNAKGNSVGSEANHAISGVTASSKGVQGCLPASAGELVSNIISVFTFGSHGVMISRMINELDPTLWWMLTCSYFLAVDFCGIVFGQKTVGLYGYFGFRH >KJB25249 pep chromosome:Graimondii2_0_v6:4:49451466:49457563:1 gene:B456_004G182400 transcript:KJB25249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPNAMVLDSKPLVLHGMKRKRETQHTSYFPGASHVIPPQFPSLCLAFQKHDKRRRLEDCEGRVVSCGYTSKRSLLLCYSNFKKTGIPKRIMFYEKGEWTDFPNDLIASIRKDLVTKKPAIEVEIDSQSFVLDFLHMFRLELKTGMRHPIAWIDEAGGCFFPEIFTGEDEAYPCCKDECANNQEFLFSESYAPHEIKLHLEIGINGVEQPKLECSGESSSFVRHFQIAQKPASSYSAVEAEDNCNRSADGKPNKAVEDIQEKKKNLVPESEFVDVQFNEPLESSTVEKMFLMGMNTCEGVDILDIRPCSSTQYRLERFKKQVQIMKKYRGTANVQRAWLASSKKALPTIMKHGLADCRLSSIPDLYAAGVHLAAAEFTNGSAKYCDVDENGVQYMILCCVIMGKTELLFPESGQCFPSNEDVDSGVDDLHHPKYYITWNMNINTHIYPEFIVSFKLSSNAKGNSVGSEANHAISGVTASSKGVQGCLPASAGELVILNTKIQIQGDPKKMILVWVQTLQRPLNPLGCLSLCCLLLSQIRFPVWIWTKLLIIMNCSGQRR >KJB25252 pep chromosome:Graimondii2_0_v6:4:49453205:49455689:1 gene:B456_004G182400 transcript:KJB25252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPNAMVLDSKPLVLHGMKRKRETQHTSYFPGASHVIPPQFPSLCLAFQKHDKRRRLEDCEGRVVSCGYTSKRSLLLCYSNFKKTGIPKRIMFYEKGEWTDFPNDLIASIRKDLVTKKPAIEVEIDSQSFVLDFLHMFRLELKTGMRHPIAWIDEAGGCFFPEIFTGEDEAYPCCKDECANNQEFLFSESYAPHEIKLHLEIGINGVEQPKLECSGESSSFVRHFQIAQKPASSYSAVEAEDNCNRSADGKPNKAVEDIQEKKKNLVPESEFVDVQFNEPLESSTVEKMFLMGMNTCEGVDILDIRPCSSTQYRLERFKKQVQIMKKYRGTANVQRAWLASSKKALPTIMKHGLADCRLSSIPDLYAAGVHLAAAEFTNGSAKYCDVDENGVQYMILCCVIMGKTELLFPESGQCFPSNEDVDSGVDDLHHPKYYITWNMNINTHIYPEFIVSFKLSSNAKGNSVGSEANHAISGVTASSKGVQGCLPASAGELVSNIISVFTFGSHGVMISRMINELDPTLWWMLTCSYFLAVDFCGIVFGQKTVGLYGYFGFRH >KJB25248 pep chromosome:Graimondii2_0_v6:4:49453205:49455689:1 gene:B456_004G182400 transcript:KJB25248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPNAMVLDSKPLVLHGMKRKRETQHTSYFPGASHVIPPQFPSLCLAFQKHDKRRRLEDCEGRVVSCGYTSKRSLLLCYSNFKKTGIPKRIMFYEKGEWTDFPNDLIASIRKDLVTKKPAIEVEIDSQSFVLDFLHMFRLELKTGMRHPIAWIDEAGGCFFPEIFTGEDEAYPCCKDECANNQEFLFSESYAPHEIKLHLEIGINGVEQPKLECSGESSSFVRHFQIAQKPASSYSAVEAEDNCNRSADGKPNKAVEDIQEKKKNLVPESEFVDVQFNEPLESSTVEKMFLMGMNTCEGVDILDIRPCSSTQYRLERFKKQVQIMKKYRGTANVQRAWLASSKKALPTIMKHGLADCRLSSIPDLYAAGVHLAAAEFTNGSAKYCDVDENGVQYMILCCVIMGKTELLFPESGQCFPSNEDVDSGVDDLHHPKYYITWNMNINTHIYPEFIVSFKLSSNAKVFVVPTGNSVGSEANHAISGVTASSKGVQGCLPASAGELVSNIISVFTFGSHGVMISRMINELDPTLWWMLTCSYFLAVDFCGIVFGQKTVGLYGYFGFRH >KJB25246 pep chromosome:Graimondii2_0_v6:4:49451466:49457563:1 gene:B456_004G182400 transcript:KJB25246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPNAMVLDSKPLVLHGMKRKRETQHTSYFPGASHVIPPQFPSLCLAFQKHDKRRRLEDCEGRVVSCGYTSKRSLLLCYSNFKKTGIPKRIMFYEKGEWTDFPNDLIASIRKDLVTKKPAIEVEIDSQSFVLDFLHMFRLELKTGMRHPIAWIDEAGGCFFPEIFTGEDEAYPCCKDECANNQEFLFSESYAPHEIKLHLEIGINGVEQPKLECSGESSSFVRHFQIAQKPASSYSAVEAEDNCNRSADGKPNKAVEDIQEKKKNLVPESEFVDVQFNEPLESSTVEKMFLMGMNTCEGVDILDIRPCSSTQYRLERFKKQVQIMKKYRGTANVQRAWLASSKKALPTIMKHGLADCRLSSIPDLYAAGVHLAAAEFTNGSAKYCDVDENGVQYMILCCVIMGKTELLFPESGQCFPSNEDVDSGVDDLHHPKYYITWNMNINTHIYPEFIVSFKLSSNAKVFVVPTGNSVGSEANHAISGVTASSKGVQGCLPASAGELGSSKHQNSDSGGSQENDPSLGSNTSKTPKSPWMPFPMLFAAISNKIPRMDMDQVANHYELFRAKKITRDDFVKKLRLIVGDNLLRSTITSLQSKIPSRHELEVAKQNMKGPGSL >KJB25251 pep chromosome:Graimondii2_0_v6:4:49451466:49457563:1 gene:B456_004G182400 transcript:KJB25251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPNAMVLDSKPLVLHGMKRKRETQHTSYFPGASHVIPPQFPSLCLAFQKHDKRRRLEDCEGRVVSCGYTSKRSLLLCYSNFKKTGIPKRIMFYEKGEWTDFPNDLIASIRKDLVTKKPAIEVEIDSQSFVLDFLHMFRLELKTGMRHPIAWIDEAGGCFFPEIFTGEDEAYPCCKDECANNQEFLFSESYAPHEIKLHLEIGINGVEQPKLECSGESSSFVRHFQIAQKPASSYSAVEAEDNCNRSADGKPNKAVEDIQEKKKNLVPESEFVDVQFNEPLESSTVEKMFLMGMNTCEGVDILDIRPCSSTQYRLERFKKQVQIMKKYRGTANVQRAWLASSKKALPTIMKHGLADCRLSSIPDLYAAGVHLAAAEFTNGSAKYCDVDENGVQYMILCCVIMGKTELLFPESGQCFPSNEDVDSGVDDLHHPKYYITWNMNINTHIYPEFIVSFKLSSNAKVFVVPTGNSVGSEANHAISGVTASSKGVQGCLPASAGELAKKITRDDFVKKLRLIVGDNLLRSTITSLQSKIPSRHELEVAKQNMKGPGSL >KJB22141 pep chromosome:Graimondii2_0_v6:4:2584161:2587175:-1 gene:B456_004G0316002 transcript:KJB22141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNVAVRKELHTPASDRSCIHLEFDITGTGLTYETGDHVGVFCENLDEVVEEALRLLGLSPDTYFSVHSDKEDGTSLGGSSLPPPFPPCTLRTALARYADLLSSPKKSALLALAAHASDPTEADRLRHLASPAGKDEYAQWMVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRMAPSRIHVTCALVYEKTPTGRIHKGVCSTWMKNSTPLDNSHDCSWAPIFVRQSNFKLPSNTKVPIIMIGPGTGLAPFRGFLQERLALKEAGADLGPSVLFFGCRNRKMDYIYEDELNNFVNSGALSELVVAFSREGPTKEYVQHKMMEKASDIWNMISEGGYLYVCGDAKGMARDVHRTLLTILHEQVCSFHMYSRLYNC >KJB22140 pep chromosome:Graimondii2_0_v6:4:2584138:2587175:-1 gene:B456_004G0316002 transcript:KJB22140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNVAVRKELHTPASDRSCIHLEFDITGTGLTYETGDHVGVFCENLDEVVEEALRLLGLSPDTYFSVHSDKEDGTSLGGSSLPPPFPPCTLRTALARYADLLSSPKKSALLALAAHASDPTEADRLRHLASPAGKDEYAQWMVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRMAPSRIHVTCALVYEKTPTGRIHKGVCSTWMKNSTPLDNSHDCSWAPIFVRQSNFKLPSNTKVPIIMIGPGTGLAPFRGFLQERLALKEAGADLGPSVLFFGCRNRKMDYIYEDELNNFVNSGALSELVVAFSREGPTKEYVQHKMMEKASDIWNMISEGGYLYVCGDAKGMARDVHRTLLTILHEQGCLDSSKAESMVKNLHTTGRYLRDVW >KJB22142 pep chromosome:Graimondii2_0_v6:4:2585040:2587174:-1 gene:B456_004G0316002 transcript:KJB22142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNVAVRKELHTPASDRSCIHLEFDITGTGLTYETGDHVGVFCENLDEVVEEALRLLGLSPDTYFSVHSDKEDGTSLGGSSLPPPFPPCTLRTALARYADLLSSPKKSALLALAAHASDPTEADRLRHLASPAGKDEYAQWMVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRMAPSRIHVTCALVYEKTPTGRIHKGVCSTWMKNSTPLDNSHDCSWAPIFVRQSNFKLPSNTKVPIIMIGPGTGLAPFRGFLQERLALKEAGADLGPSVLFFGCRNRKMDYIYEDELNNFVNSGALSELVVAFSREGPTKEYVQHKMMEKVYILPTFVFDNLCISVLCSTLNFKF >KJB25162 pep chromosome:Graimondii2_0_v6:4:48838425:48840205:-1 gene:B456_004G179300 transcript:KJB25162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKGHALPGTLFLMVGVWHIWSSVVRFVSNPKSFEVRVWNPVPGFNGKLKHLELYVVAFGAFIDLCIELLYSTHLKFFVDGVLNPSHLNDFEHSGMLLMFFLLGAIALLSEKTSFVTLPQGALCLIAAAAFTAEYLLFYFHSTTHKGLEGYYHLVLVLLIGLCILTTLAGALFPNSFPVDLCSGIAITLQGLWFYQTAFTLYGLMMPDGCRLKDNAIHCLPDNEVRGQLIANFQLFSLVLGVLIAVVGLYNFAASRYGNSDLRSMQSVQN >KJB25159 pep chromosome:Graimondii2_0_v6:4:48837802:48841188:-1 gene:B456_004G179300 transcript:KJB25159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKGHALPGTLFLMVGVWHIWSSVVRFVSNPKSFEVRVWNPVPGFNGKLKHLELYVVAFGAFIDLCIELLYSTHLKFFVDGVLNPSHLNDFEHSGMLLMFFLLGAIALLSEKTSFVTLPQGALCLIAAAAFTAEYLLFYFHSTTHKGLEGYYHLVLVLLIGLCILTTLAGALFPNSFPVDLCSGIAITLQGLWFYQTAFTLYGLMMPDGCRLKDNAIHCLPDNEVRGQLIANFQLFSLVLGVLIAVVGLYNFAASRYGNSDLRSMQSVQN >KJB25160 pep chromosome:Graimondii2_0_v6:4:48837802:48840652:-1 gene:B456_004G179300 transcript:KJB25160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKGHALPGTLFLMVGVWHIWSSVVRFVSNPKSFEVRVWNPVPGFNGKLKHLELYVVAFGAFIDLCIELLYSTHLKFFVDGVLNPSHLNDFEHSGMLLMFFLLGAIALLSEKTSFVTLPQGALCLIAAAAFTAEYLLFYFHSTTHKGLEGYYHLVLVLLIGLCILTTLAGALFPNSFPVDLCSGIAITLQGLWFYQTAFTLYGLMMPDGCRLKDNAIHCLPDNEVRGQLIANFQLFSLVLGVLIAVVGLYNFAASRYGNSDLRSMQSVQN >KJB25161 pep chromosome:Graimondii2_0_v6:4:48837802:48841190:-1 gene:B456_004G179300 transcript:KJB25161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKGHALPGTLFLMVGVWHIWSSVVRFVSNPKSFEVRVWNPVPGFNGKLKHLELYVVAFGAFIDLCIELLYSTHLKFFVDGVLNPSHLNDFEHSGMLLMFFLLGAIALLSEKTSFVTLPQGALCLIAAAAFTAEYLLFYFHSTTHKGLEGYYHLVLVLLIGLCILTTLAGALFPNSFPVDLCSGIAITLQGLWFYQTAFTLYGLMMPDGCRLKDNAIHCLPDNEVRGQLIANFQLFSLVLGVLIAVVGLYNFAASRYGNSDLRSMQSVQN >KJB25158 pep chromosome:Graimondii2_0_v6:4:48838425:48840205:-1 gene:B456_004G179300 transcript:KJB25158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKGHALPGTLFLMVGVWHIWSSVVRFVSNPKSFEVRVWNPVPGFNGKLKHLELYVVAFGAFIDLCIELLYSTHLKFFVDGVLNPSHLNDFEHSGMLLMFFLLGAIALLSEKTSFVTLPQGALCLIAAAAFTAEYLLFYFHSTTHKGLEGYYHLVLVLLIGLCILTTLAGALFPNSFPVDLCSGIAITLQGLWFYQTAFTLYGLMMPDGCRLKDNAIHCLPDNEVRGQLIANFQLFSLVLGVLIAVVGLYNFAASRYGNSDLRSMQSVQN >KJB25163 pep chromosome:Graimondii2_0_v6:4:48837802:48841188:-1 gene:B456_004G179300 transcript:KJB25163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKGHALPGTLFLMVGVWHIWSSVVRFVSNPKSFEVRVWNPVPGFNGKLKHLELYVVAFGAFIDLCIELLYSTHLKFFVDGVLNPSHLNDFEHSGMLLMFFLLGAIALLSEKTSFVTLPQGALCLIAAAAFTAEYLLFYFHSTTHKGLEGYYHLVLVLLIGLCILTTLAGALFPNSFPVDLCSGIAITLQGLWFYQTAFTLYGLMMPDGCRLKDNAIHCLPDNEVRGQLIANFQLFSLVLGVLIAVVGLYNFAASRYGNSDLRSMQSVQN >KJB24497 pep chromosome:Graimondii2_0_v6:4:41647770:41648768:1 gene:B456_004G147700 transcript:KJB24497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGSMTVVSSIQEVEYDDIDAGFDHFHDHQSHPHNLSSFSMSTSSTYTNFHGDDDDMGKNMSRLSIENFDVDVDEEFSIKESLQLLSDSDKEPYCYSLPAVPPHRVLSKVADKDCGSENEAQKGSFGWQKGSKNVRRSGSCWDKEIKSSSEKKEGGLMVGYNGNSFSGESEGEVVVITRPKGGKRSLWFELEMPSRFSSFDATCSDGTSPITNWRISGPGDDPRDVKARLKVWAQAVALASASMHCG >KJB24498 pep chromosome:Graimondii2_0_v6:4:41647770:41648354:1 gene:B456_004G147700 transcript:KJB24498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGSMTVVSSIQEVEYDDIDAGFDHFHDHQSHPHNLSSFSMSTSSTYTNFHGDDDDMGKNMSRLSIENFDVDVDEEFSIKESLQLLSDSDKEPYCYSLPAVPPHRVLSKVADKDCGSENEAQKGSFGWQKGSKNVRRSGSCWDKEIKSSSEKKEGGLMVGYNGNSFSGESEGEVVVITRPKGGKRSLCMDMK >KJB25563 pep chromosome:Graimondii2_0_v6:4:51826952:51839102:1 gene:B456_004G197800 transcript:KJB25563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDENDSQSHNCHLAGEGNNKFPPVLRPYALPRFDFDDNLHGHLRFHSLVETEVFLGIESSEDNQWIEDFSRGGTGIAFSSSAAESCSISRCNNVWSEAASSESVEMLLKSVGQDETIPVQTICKNSDACDELGCIINQMEPTLKHGDRGLPKVGDDLQPALQSGECPGKLPGLKDDIGGDHLLVEDVSQTHEFGTSVDSTLDDLNTRNTDLPVTKRDDSKEHTVNESLVEASVDQSVDDREQEDKCTGSQVDAVIHSVQNTYASNALIDSQDTTHLKHDLIDENVDGSANQNVDLSQEVQTDGQNVSENAVASVTLLAQKNSALDMHSKEERHAIGNITTAGEPVDRISKGNSNLHMVEGCSEGLRVESPLRTTISEDIVLSERKLHDISPMPFVGDTDLKELGSEVSNMDTRNPMSLESNMDSTVQIACDTLEKKDSSDGDGHPDMKILSSKSEKSLVVDDNGSKGEGEGSHNTLGTEPMKECEESIVVEHSDDYKSDQTVSTAANQNTKLSSDSSNTDCGEGGSVPVIKGVDFSSSGTGRTADELASVLQSDVAISGKSMECVLSPSGKDLPAATAVVSDQNKVQVSSAETSFSIMNTSGMTSEKGAPCETSGQSSCSKVDQSLSMEGTSIDEGQHGDQAIHGLSVEVVRDKHVSSIIPDSTVRGTDGAEAQVISKTGSSEAAGAVSIQQNNQTSTSSLPSTSKEPTCDSGQNHPEDTDPKLVTKEKNSDHVAKHHVDGGRAKTDNSSFPSAPSSESQTKIHMMGSGSSSADLDNPSCGSPIVIRTSEQFPSKIGNDGLKGSEGRSASISGVINGEENKDQSISEDMKGNYASPGDRTFTFEVPPLADLSGKEAGKNWQLFSTMQHDTISSVEGTLSTASLSKVGTKAAQEVSHANLQASKSENVRGRSKGTSEGSGSKGTSERRARRVGGKSTGKEAAKKGIAAKEMTPASRSKRSGRTSNASLSSAGIGQLIQSNEVKHSGHMEGATTKPFGVLSTSVSSLPDLNASASSSAVFHQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGLDGGRTMWENAWRACIDRVHSQKSHLVSPETPMQTPLGAKTSDQSVKRNALQNKVTSSPVSRSTSKGTPTTIVNSMVPLSSPLWSISAPSCDALQSTGIPRSAVMDYQQALSPLRPPPIRNFVGHNAPWMSQSPFRVPWVPQTSSFDARFPVLPITEAVNLTPAREASVPHSSAMKQASTVPMVQSGSPANVFAGTPLLDTKKATATRGQHSADPKPRKRKKSTVSEDPGQIKPHSQSESVSATVVTSNVSTPAAITTLATVVSKSSTDKFVTSVPVDHLEKGEQDSDQRVALSEETFGKLQEAQKQAEDASTLAAAAVHHSQEIWTQLGKHRNSGLEPDFETELTSAAVAIAAAASVAKAAAAAAKVASNAALQAKLMADEALVSSGYKNSVPTNAIASDNVKKLGKATPASILRGENATTSSNSIIIAAREAARRRVEAASAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGEPFPLTELVEAGPEAYWKVPQASPEPNGSIREHIDSGRVEGPTSSAGHLKEVQVEKREKQSVEYGMSPTLREIARESLEDHSRLTGGILGPTAASGKDKKGPKGHKASEIAKTKGVTSESEIGFGLPSVITQSEHGKAGETSKNNNLREGSHVEVLRDGDGLKVAWFPADILDLKDGKAYVCYNELRSEDGDKLKEWVELEGEGERAPRIRTARPVTAMPFEGTRKRRRAAMGDYNWAPGDRVDSWMQDSWWEGVVTEKSQTDETSFTVHFPARGETSVVKAWFLRPSLIWKNGSWVEGSSFQDTNGSSHEGDTPQEKRPRIGGPVVEARGEDKLSKSLDRKESWKPGDMRLLDLSDNEKIFNIGRSTRDENKPDSLKMVRTGLKKEGSRVIFGVPKPGKKRKFMEVSKHYVADQSAADVSHAENISGKHNIMEFRSFSSTDGAAKGPVLFSSVAFSSDAPPKKNSASNAKSERVSKPKLGPASGKLAKIEEEKGSNDNSIKTVSEVEPRRSNRKIQPTSRLLEGLQSSLIISKIPSVSHDRSHKSQNRSSRGNNQG >KJB25567 pep chromosome:Graimondii2_0_v6:4:51826952:51839086:1 gene:B456_004G197800 transcript:KJB25567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDENDSQSHNCHLAGEGNNKFPPVLRPYALPRFDFDDNLHGHLRFHSLVETEVFLGIESSEDNQWIEDFSRGGTGIAFSSSAAESCSISRCNNVWSEAASSESVEMLLKSVGQDETIPVQTICKNSDACDELGCIINQMEPTLKHGDRGLPKVGDDLQPALQSGECPGKLPGLKDDIGGDHLLVEDVSQTHEFGTSVDSTLDDLNTRNTDLPVTKRDDSKEHTVNESLVEASVDQSVDDREQEDKCTGSQVDAVIHSVQNTYASNALIDSQDTTHLKHDLIDENVDGSANQNVDLSQEVQTDGQNVSENAVASVTLLAQKNSALDMHSKEERHAIGNITTAGEPVDRISKGNSNLHMVEGCSEGLRVESPLRTTISEDIVLSERKLHDISPMPFVGDTDLKELGSEVSNMDTRNPMSLESNMDSTVQIACDTLEKKDSSDGDGHPDMKILSSKSEKSLVVDDNGSKGEGEGSHNTLGTEPMKECEESIVVEHSDDYKSDQTVSTAANQNTKLSSDSSNTDCGEGGSVPVIKGVDFSSSGTGRTADELASVLQSDVAISGKSMECVLSPSGKDLPAATAVVSDQNKVQVSSAETSFSIMNTSGMTSEKGAPCETSGQSSCSKVDQSLSMEGTSIDEGQHGDQAIHGLSVEVVRDKHVSSIIPDSTVRGTDGAEAQVISKTGSSEAAGAVSIQQNNQTSTSSLPSTSKEPTCDSGQNHPEDTDPKLVTKEKNSDHVAKHHVDGGRAKTDNSSFPSAPSSESQTKIHMMGSGSSSADLDNPSCGSPIVIRTSEQFPSKIGNDGLKGSEGRSASISGVINGEENKDQSISEDMKGNYASPGDRTFTFEVPPLADLSGKEAGKNWQLFSTMQHDTISSVEGTLSTASLSKVGTKAAQEVSHANLQASKSENVRGRSKGTSEGSGSKGTSERRARRVGGKSTGKEAAKKGIAAKEMTPASRSKRSGRTSNASLSSAGIGQLIQSNEVKHSGHMEGATTKPFGVLSTSVSSLPDLNASASSSAVFHQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGLDGGRTMWENAWRACIDRVHSQKSHLVSPETPMQTPLGAKTSDQSVKRNALQNKVTSSPVSRSTSKGTPTTIVNSMVPLSSPLWSISAPSCDALQSTGIPRSAVMDYQQALSPLRPPPIRNFVGHNAPWMSQSPFRVPWVPQTSSFDARFPVLPITEAVNLTPAREASVPHSSAMKQASTVPMVQSGSPANVFAGTPLLDTKKATATRGQHSADPKPRKRKKSTVSEDPGQIKPHSQSESVSATVVTSNVSTPAAITTLATVVSKSSTDKFVTSVPVDHLEKGEQDSDQRVALSEETFGKLQEAQKQAEDASTLAAAAVHHSQEIWTQLGKHRNSGLEPDFETELTSAAVAIAAAASVAKAAAAAAKVASNAALQAKLMADEALVSSGYKNSVPTNAIASDNVKKLGKATPASILRGENATTSSNSIIIAAREAARRRVEAASAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGEPFPLTELVEAGPEAYWKVPQASPEPNGSIREHIDSGRVEGPTSSAGHLKEVQVEKREKQSVEYGMSPTLREIARESLEDHSRLTGGILGPTAASGKDKKGPKGHKASEIAKTKGVTSESEIGFGLPSVITQSEHGKAGETSKNNNLREGSHVEVLRDGDGLKVAWFPADILDLKDGKAYVCYNELRSEDGDKLKEWVELEGEGERAPRIRTARPVTAMPFEGTRKRRRAAMGDYNWAPGDRVDSWMQDSWWEGVVTEKSQTDETSFTVHFPARGETSVVKAWFLRPSLIWKNGSWVEGSSFQDTNGSSHEGDTPQEKRPRIGGPVVEARGEDKLSKSLDRKESWKPGDMRLLDLSDNEKIFNIGRSTRDENKPDSLKMVRTGLKKEGSRVIFGVPKPGKKRKFMEVSKHYVADQSGKTHETSDSAKFTKYLMPQGSEPRETKNKIEPKDKRAAVYRPKVLKSGKPPSVSSRTIPKKDSLSNTLVSEPGDSAAADVSHAENISGKHNIMEFRSFSSTDGAAKGPVLFSSVAFSSDAPPKKNSASNAKSERVSKPKLGPASGKLAKIEEEKGSNDNSIKTVSEVEPRRSNRKIQPTSRLLEGLQSSLIISKIPSVSHDRSHKSQNRSSRGNNQG >KJB25566 pep chromosome:Graimondii2_0_v6:4:51827137:51839115:1 gene:B456_004G197800 transcript:KJB25566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDENDSQSHNCHLAGEGNNKFPPVLRPYALPRFDFDDNLHGHLRFHSLVETEVFLGIESSEDNQWIEDFSRGGTGIAFSSSAAESCSISRCNNVWSEAASSESVEMLLKSVGQDETIPVQTICKNSDACDELGCIINQMEPTLKHGDRGLPKVGDDLQPALQSGECPGKLPGLKDDIGGDHLLVEDVSQTHEFGTSVDSTLDDLNTRNTDLPVTKRDDSKEHTVNESLVEASVDQSVDDREQEDKCTGSQVDAVIHSVQNTYASNALIDSQDTTHLKHDLIDENVDGSANQNVDLSQEVQTDGQNVSENAVASVTLLAQKNSALDMHSKEERHAIGNITTAGEPVDRISKGNSNLHMVEGCSEGLRVESPLRTTISEDIVLSERKLHDISPMPFVGDTDLKELGSEVSNMDTRNPMSLESNMDSTVQIACDTLEKKDSSDGDGHPDMKILSSKSEKSLVVDDNGSKGEGEGSHNTLGTEPMKECEESIVVEHSDDYKSDQTVSTAANQNTKLSSDSSNTDCGEGGSVPVIKGVDFSSSGTGRTADELASVLQSDVAISGKSMECVLSPSGKDLPAATAVVSDQNKVQVSSAETSFSIMNTSGMTSEKGAPCETSGQSSCSKVDQSLSMEGTSIDEGQHGDQAIHGLSVEVVRDKHVSSIIPDSTVRGTDGAEAQVISKTGSSEAAGAVSIQQNNQTSTSSLPSTSKEPTCDSGQNHPEDTDPKLVTKEKNSDHVAKHHVDGGRAKTDNSSFPSAPSSESQTKIHMMGSGSSSADLDNPSCGSPIVIRTSEQFPSKIGNDGLKGSEGRSASISGVINGEENKDQSISEDMKGNYASPGDRTFTFEVPPLADLSGKEAGKNWQLFSTMQHDTISSVEGTLSTASLSKVGTKAAQEVSHANLQASKSENVRGRSKGTSEGSGSKGTSERRARRVGGKSTGKEAAKKGIAAKEMTPASRSKRSGRTSNASLSSAGIGQLIQSNEVKHSGHMEGATTKPFGVLSTSVSSLPDLNASASSSAVFHQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGLDGGRTMWENAWRACIDRVHSQKSHLVSPETPMQTPLGAKTSDQSVKRNALQNKVTSSPVSRSTSKGTPTTIVNSMVPLSSPLWSISAPSCDALQSTGIPRSAVMDYQQALSPLRPPPIRNFVGHNAPWMSQSPFRVPWVPQTSSFDARFPVLPITEAVNLTPAREASVPHSSAMKQASTVPMVQSGSPANVFAGTPLLDTKKATATRGQHSADPKPRKRKKSTVSEDPGQIKPHSQSESVSATVVTSNVSTPAAITTLATVVSKSSTDKFVTSVPVDHLEKGEQDSDQRVALSEETFGKLQEAQKQAEDASTLAAAAVHHSQEIWTQLGKHRNSGLEPDFETELTSAAVAIAAAASVAKAAAAAAKVASNAALQAKLMADEALVSSGYKNSVPTNAIASDNVKKLGKATPASILRGENATTSSNSIIIAAREAARRRVEAASAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGEPFPLTELVEAGPEAYWKVPQASPEPNGSIREHIDSGRVEGPTSSAGHLKEVQVEKREKQSVEYGMSPTLREIARESLEDHSRLTGGILGPTAASGKDKKGPKGHKASEIAKTKGVTSESEIGFGLPSVITQSEHGKAGETSKNNNLREGSHVEVLRDGDGLKVAWFPADILDLKDGKAYVCYNELRSEDGDKLKEWVELEGEGERAPRIRTARPVTAMPFEGTRKRRRAAMGDYNWAPGDRVDSWMQDSWWEGVVTEKSQTDETSFTVHFPARGETSVVKAWFLRPSLIWKNGSWVEGSSFQDTNGSSHEGDTPQEKRPRIGGPVVEARGEDKLSKSLDRKESWKPGDMRLLDLSDNEKIFNIGRSTRDENKPDSLKMVRTGLKKEGSRVIFGVPKPGKKRKFMEVSKHYVADQSGKTHETSDSAKFTKYLMPQGSEPRETKNKIEPKDKRAAVYRPKVLKSGKPPSVSSRTIPKKDSLSNTLVSEPGDSAAADVSHAENISGKHNIMEFRSFSSTDGAAKGPVLFSSVAFSSDAPPKKNSASNAKSERVSKPKLGPASGKLAKIEEEKGSNDNSIKTVSEVEPRRSNRKIQPTSRLLEGLQSSLIISKIPSVSHDRSHKSQNRSSRGEP >KJB25562 pep chromosome:Graimondii2_0_v6:4:51828995:51838576:1 gene:B456_004G197800 transcript:KJB25562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDENDSQSHNCHLAGEGNNKFPPVLRPYALPRFDFDDNLHGHLRFHSLVETEVFLGIESSEDNQWIEDFSRGGTGIAFSSSAAESCSISRCNNVWSEAASSESVEMLLKSVGQDETIPVQTICKNSDACDELGCIINQMEPTLKHGDRGLPKVGDDLQPALQSGECPGKLPGLKDDIGGDHLLVEDVSQTHEFGTSVDSTLDDLNTRNTDLPVTKRDDSKEHTVNESLVEASVDQSVDDREQEDKCTGSQVDAVIHSVQNTYASNALIDSQDTTHLKHDLIDENVDGSANQNVDLSQEVQTDGQNVSENAVASVTLLAQKNSALDMHSKEERHAIGNITTAGEPVDRISKGNSNLHMVEGCSEGLRVESPLRTTISEDIVLSERKLHDISPMPFVGDTDLKELGSEVSNMDTRNPMSLESNMDSTVQIACDTLEKKDSSDGDGHPDMKILSSKSEKSLVVDDNGSKGEGEGSHNTLGTEPMKECEESIVVEHSDDYKSDQTVSTAANQNTKLSSDSSNTDCGEGGSVPVIKGVDFSSSGTGRTADELASVLQSDVAISGKSMECVLSPSGKDLPAATAVVSDQNKVQVSSAETSFSIMNTSGMTSEKGAPCETSGQSSCSKVDQSLSMEGTSIDEGQHGDQAIHGLSVEVVRDKHVSSIIPDSTVRGTDGAEAQVISKTGSSEAAGAVSIQQNNQTSTSSLPSTSKEPTCDSGQNHPEDTDPKLVTKEKNSDHVAKHHVDGGRAKTDNSSFPSAPSSESQTKIHMMGSGSSSADLDNPSCGSPIVIRTSEQFPSKIGNDGLKGSEGRSASISGVINGEENKDQSISEDMKGNYASPGDRTFTFEVPPLADLSGKEAGKNWQLFSTMQHDTISSVEGTLSTASLSKVGTKAAQEVSHANLQASKSENVRGRSKGTSEGSGSKGTSERRARRVGGKSTGKEAAKKGIAAKEMTPASRSKRSGRTSNASLSSAGIGQLIQSNEVKHSGHMEGATTKPFGVLSTSVSSLPDLNASASSSAVFHQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGLDGGRTMWENAWRACIDRVHSQKSHLVSPETPMQTPLGAKTSDQSVKRNALQNKVTSSPVSRSTSKGTPTTIVNSMVPLSSPLWSISAPSCDALQSTGIPRSAVMDYQQALSPLRPPPIRNFVGHNAPWMSQSPFRVPWVPQTSSFDARFPVLPITEAVNLTPAREASVPHSSAMKQASTVPMVQSGSPANVFAGTPLLDTKKATATRGQHSADPKPRKRKKSTVSEDPGQIKPHSQSESVSATVVTSNVSTPAAITTLATVVSKSSTDKFVTSVPVDHLEKGEQDSDQRVALSEETFGKLQEAQKQAEDASTLAAAAVHHSQEIWTQLGKHRNSGLEPDFETELTSAAVAIAAAASVAKAAAAAAKVASNAALQAKLMADEALVSSGYKNSVPTNAIASDNVKKLGKATPASILRGENATTSSNSIIIAAREAARRRVEAASAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGEPFPLTELVEAGPEAYWKVPQASPEPNGSIREHIDSGRVEGPTSSAGHLKEVQVEKREKQSVEYGMSPTLREIARESLEDHSRLTGGILGPTAASGKDKKGPKGHKASEIAKTKGVTSESEIGFGLPSVITQSEHGKAGETSKNNNLREGSHVEVLRDGDGLKVAWFPADILDLKDGKAYVCYNELRSEDGDKLKEWVELEGEGERAPRIRTARPVTAMPFEGTRKRRRAAMGDYNWAPGDRVDSWMQDSWWEGVVTEKSQTDETSFTVHFPARGETSVVKAWFLRPSLIWKNGSWVEGSSFQDTNGSSHEGDTPQEKRPRIGGPVVEARGEDKLSKSLDRKESWKPGDMRLLDLSDNEKIFNIGRSTRDENKPDSLKMVRTGLKKEGSRVIFGVPKPGKKRKFMEVSKHYVADQSGKTHETSDSAKFTKYLMPQGSEPRETKNKIEPKDKRAAVYRPKVLKSGKPPSVSSRTIPKKDSLSNTLVSEPGDSAAADVSHAENISGKHNIMEFRSFSSTDGAAKGPVLFSSVAFSSDAPPKKNSASNAKSERVSKPKLGPASGKLAKIEEEKGSNDNSIKTVSEVEPRRSNRKIQPTSRLLEGLQSSLIISKIPSVSHDRSHKSQNRSSRGEP >KJB25565 pep chromosome:Graimondii2_0_v6:4:51827137:51839086:1 gene:B456_004G197800 transcript:KJB25565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDENDSQSHNCHLAGEGNNKFPPVLRPYALPRFDFDDNLHGHLRFHSLVETEVFLGIESSEDNQWIEDFSRGGTGIAFSSSAAESCSISRCNNVWSEAASSESVEMLLKSVGQDETIPVQTICKNSDACDELGCIINQMEPTLKHGDRGLPKVGDDLQPALQSGECPGKLPGLKDDIGGDHLLVEDVSQTHEFGTSVDSTLDDLNTRNTDLPVTKRDDSKEHTVNESLVEASVDQSVDDREQEDKCTGSQVDAVIHSVQNTYASNALIDSQDTTHLKHDLIDENVDGSANQNVDLSQEVQTDGQNVSENAVASVTLLAQKNSALDMHSKEERHAIGNITTAGEPVDRISKGNSNLHMVEGCSEGLRVESPLRTTISEDIVLSERKLHDISPMPFVGDTDLKELGSEVSNMDTRNPMSLESNMDSTVQIACDTLEKKDSSDGDGHPDMKILSSKSEKSLVVDDNGSKGEGEGSHNTLGTEPMKECEESIVVEHSDDYKSDQTVSTAANQNTKLSSDSSNTDCGEGGSVPVIKGVDFSSSGTGRTADELASVLQSDVAISGKSMECVLSPSGKDLPAATAVVSDQNKVQVSSAETSFSIMNTSGMTSEKGAPCETSGQSSCSKVDQSLSMEGTSIDEGQHGDQAIHGLSVEVVRDKHVSSIIPDSTVRGTDGAEAQVISKTGSSEAAGAVSIQQNNQTSTSSLPSTSKEPTCDSGQNHPEDTDPKLVTKEKNSDHVAKHHVDGGRAKTDNSSFPSAPSSESQTKIHMMGSGSSSADLDNPSCGSPIVIRTSEQFPSKIGNDGLKGSEGRSASISGVINGEENKDQSISEDMKGNYASPGDRTFTFEVPPLADLSGKEAGKNWQLFSTMQHDTISSVEGTLSTASLSKVGTKAAQEVSHANLQASKSENVRGRSKGTSEGSGSKGTSERRARRVGGKSTGKEAAKKGIAAKEMTPASRSKRSGRTSNASLSSAGIGQLIQSNEVKHSGHMEGATTKPFGVLSTSVSSLPDLNASASSSAVFHQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGLDGGRTMWENAWRACIDRVHSQKSHLVSPETPMQTPLGAKTSDQSVKRNALQNKVTSSPVSRSTSKGTPTTIVNSMVPLSSPLWSISAPSCDALQSTGIPRSAVMDYQQALSPLRPPPIRNFVGHNAPWMSQSPFRVPWVPQTSSFDARFPVLPITEAVNLTPAREASVPHSSAMKQASTVPMVQSGSPANVFAGTPLLDTKKATATRGQHSADPKPRKRKKSTVSEDPGQIKPHSQSESVSATVVTSNVSTPAAITTLATVVSKSSTDKFVTSVPVDHLEKGEQDSDQRVALSEETFGKLQEAQKQAEDASTLAAAAVHHSQEIWTQLGKHRNSGLEPDFETELTSAAVAIAAAASVAKAAAAAAKVASNAALQAKLMADEALVSSGYKNSVPTNAIASDNVKKLGKATPASILRGENATTSSNSIIIAAREAARRRVEAASAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGEPFPLTELVEAGPEAYWKVPQASPEPNGSIREHIDSGRVEGPTSSAGHLKEVQVEKREKQSVEYGMSPTLREIARESLEDHSRLTGGILGPTAASGKDKKGPKGHKASEIAKTKGVTSESEIGFGLPSVITQSEHGKAGETSKNNNLREGSHVEVLRDGDGLKVAWFPADILDLKDGKAYVCYNELRSEDGDKLKEWVELEGEGERAPRIRTARPVTAMPFEGTRKRRRAAMGDYNWAPGDRVDSWMQDSWWEGVVTEKSQTDETSFTVHFPARGETSVVKAWFLRPSLIWKNGSWVEGSSFQDTNGSSHEGDTPQEKRPRIGGPVVEARGEDKLSKSLDRKESWKPGDMRLLDLSDNEKIFNIGRSTRDENKPDSLKMVRTGLKKEGSRVIFGVPKPGKKRKFMEVSKHYVADQSGKTHETSDSAKFTKYLMPQGSEPRETKNKIEPKDKRAAVYRPKVLKSGKPPSVSSRTIPKKDSLSNTLVSEPGDSAAADVSHAENISGKHNIMEFRSFSSTDGAAKGPVLFSSVAFSSDAPPKKNSASNAKSERVSKPKLGPASGKLAKIEEEKGSNDNSIKTVSEVEPRRSNRKIQPTSRVSKPISSWKAYKAH >KJB25564 pep chromosome:Graimondii2_0_v6:4:51827137:51838284:1 gene:B456_004G197800 transcript:KJB25564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDENDSQSHNCHLAGEGNNKFPPVLRPYALPRFDFDDNLHGHLRFHSLVETEVFLGIESSEDNQWIEDFSRGGTGIAFSSSAAESCSISRCNNVWSEAASSESVEMLLKSVGQDETIPVQTICKNSDACDELGCIINQMEPTLKHGDRGLPKVGDDLQPALQSGECPGKLPGLKDDIGGDHLLVEDVSQTHEFGTSVDSTLDDLNTRNTDLPVTKRDDSKEHTVNESLVEASVDQSVDDREQEDKCTGSQVDAVIHSVQNTYASNALIDSQDTTHLKHDLIDENVDGSANQNVDLSQEVQTDGQNVSENAVASVTLLAQKNSALDMHSKEERHAIGNITTAGEPVDRISKGNSNLHMVEGCSEGLRVESPLRTTISEDIVLSERKLHDISPMPFVGDTDLKELGSEVSNMDTRNPMSLESNMDSTVQIACDTLEKKDSSDGDGHPDMKILSSKSEKSLVVDDNGSKGEGEGSHNTLGTEPMKECEESIVVEHSDDYKSDQTVSTAANQNTKLSSDSSNTDCGEGGSVPVIKGVDFSSSGTGRTADELASVLQSDVAISGKSMECVLSPSGKDLPAATAVVSDQNKVQVSSAETSFSIMNTSGMTSEKGAPCETSGQSSCSKVDQSLSMEGTSIDEGQHGDQAIHGLSVEVVRDKHVSSIIPDSTVRGTDGAEAQVISKTGSSEAAGAVSIQQNNQTSTSSLPSTSKEPTCDSGQNHPEDTDPKLVTKEKNSDHVAKHHVDGGRAKTDNSSFPSAPSSESQTKIHMMGSGSSSADLDNPSCGSPIVIRTSEQFPSKIGNDGLKGSEGRSASISGVINGEENKDQSISEDMKGNYASPGDRTFTFEVPPLADLSGKEAGKNWQLFSTMQHDTISSVEGTLSTASLSKVGTKAAQEVSHANLQASKSENVRGRSKGTSEGSGSKGTSERRARRVGGKSTGKEAAKKGIAAKEMTPASRSKRSGRTSNASLSSAGIGQLIQSNEVKHSGHMEGATTKPFGVLSTSVSSLPDLNASASSSAVFHQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGLDGGRTMWENAWRACIDRVHSQKSHLVSPETPMQTPLGAKTSDQSVKRNALQNKVTSSPVSRSTSKGTPTTIVNSMVPLSSPLWSISAPSCDALQSTGIPRSAVMDYQQALSPLRPPPIRNFVGHNAPWMSQSPFRVPWVPQTSSFDARFPVLPITEAVNLTPAREASVPHSSAMKQASTVPMVQSGSPANVFAGTPLLDTKKATATRGQHSADPKPRKRKKSTVSEDPGQIKPHSQSESVSATVVTSNVSTPAAITTLATVVSKSSTDKFVTSVPVDHLEKGEQDSDQRVALSEETFGKLQEAQKQAEDASTLAAAAVHHSQEIWTQLGKHRNSGLEPDFETELTSAAVAIAAAASVAKAAAAAAKVASNAALQAKLMADEALVSSGYKNSVPTNAIASDNVKKLGKATPASILRGENATTSSNSIIIAAREAARRRVEAASAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGEPFPLTELVEAGPEAYWKVPQASPEPNGSIREHIDSGRVEGPTSSAGHLKEVQVEKREKQSVEYGMSPTLREIARESLEDHSRLTGGILGPTAASGKDKKGPKGHKASEIAKTKGVTSESEIGFGLPSVITQSEHGKAGETSKNNNLREGSHVEVLRDGDGLKVAWFPADILDLKDGKAYVCYNELRSEDGDKLKEWVELEGEGERAPRIRTARPVTAMPFEGTRKRRRAAMGDYNWAPGDRVDSWMQDSWWEGVVTEKSQTDETSFTVHFPARGETSVVKAWFLRPSLIWKNGSWVEGSSFQDTNGSSHEGDTPQEKRPRIGGPVVEARGEDKLSKSLDRKESWKPGDMRLLDLSDNEKIFNIGRSTRDENKPDSLKMVRTGLKKEGSRVIFGVPKPGKKRKFMEVSKHYVADQSGKTHETSDSAKFTKYLMPQGSEPRETKNKIEPKDKRAAVYRPKVLKSGKPPSVSSRTIPKKDSLSNTLVSEPGDSAAADVSHAENISGKHNIMEFRSFSSTDGAAKGPVLFSSVAFSSDAPPKKNSASNAKSERVSKPKLGPASGKLAKIEEEKGSNDNSIKTVSEVEPRRSNRKIQPTSRVSKPIRSFLL >KJB21952 pep chromosome:Graimondii2_0_v6:4:1875463:1880690:-1 gene:B456_004G024500 transcript:KJB21952 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MGSSFGEAFPAMQETLLEFRAGKMMLEGKRVVPDTRKGLIRIARGEEGLVHFQWLDRTQNAIEDDQIIFPDEAVFEKVNQASGRVYILKFNTDDRKFFFWMQEPKAEDDSQLCCAVNNFINQPLELGVDETDASEPLQASEDMVEDDISSRAGNLTLTNLGAEAISDVTSSSGPVKLEDLQRILSSIGPAEVAGEPDGGLGLDDILKPDLIMPLIETLSLEERLASYLPEGQWTPEDLLELLQSPPFRQQVDSFTYVLRTGQIDLSQFGIDPSKYKFTVLSFLEALEDSVSKTSGETQDEKDVRPQSCNRNDPMDESK >KJB21955 pep chromosome:Graimondii2_0_v6:4:1876483:1880640:-1 gene:B456_004G024500 transcript:KJB21955 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MGSSFGEAFPAMQETLLEFRAGKMMLEGKRVVPDTRKGLIRIARGEEGLVHFQWLDRTQNAIEDDQIIFPDEAVFEKVNQASGRVYILKFNTDDRKFFFWMQEPKAEDDSQLCCAVNNFINQPLELGVDETDASEPLQASEDMVEDDISSRAGNLTLTNLGAEAISDVTSSSGPVKLEDLQRILSSIGPAEVAGEPDGGLGLDDILKPDLIMPLIETLSLEERLASYLPELLVFQGQWTPEDLLELLQSPPFRQQVDSFTYVLRTGQIDLSQFGIDPSKYKFTVLSFLEALEDSVSKTSGETQDEKDVRPQSCNRNDPMDESK >KJB21954 pep chromosome:Graimondii2_0_v6:4:1876127:1880948:-1 gene:B456_004G024500 transcript:KJB21954 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MQETLLEFRAGKMMLEGKRVVPDTRKGLIRIARGEEGLVHFQWLDRTQNAIEDDQIIFPDEAVFEKVNQASGRVYILKFNTDDRKFFFWMQEPKAEDDSQLCCAVNNFINQPLELGVDETDASEPLQASEDMVEDDISSRAGNLTLTNLGAEAISDVTSSSGPVKLEDLQRILSSIGPAEVAGEPDGGLGLDDILKPDLIMPLIETLSLEERLASYLPEGQWTPEDLLELLQSPPFRQQVDSFTYVLRTGQIDLSQFGIDPSKYKFTVLSFLEALEDSVSKTSGETQDEKDVRPQSCNRNDPMDESK >KJB21953 pep chromosome:Graimondii2_0_v6:4:1876127:1880324:-1 gene:B456_004G024500 transcript:KJB21953 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MQEPKAEDDSQLCCAVNNFINQPLELGVDETDASEPLQASEDMVEDDISSRAGNLTLTNLGAEAISDVTSSSGPVKLEDLQRILSSIGPAEVAGEPDGGLGLDDILKPDLIMPLIETLSLEERLASYLPEGQWTPEDLLELLQSPPFRQQVDSFTYVLRTGQIDLSQFGIDPSKYKFTVLSFLEALEDSVSKTSGETQDEKDVRPQSCNRNDPMDESK >KJB21958 pep chromosome:Graimondii2_0_v6:4:1876127:1880948:-1 gene:B456_004G024500 transcript:KJB21958 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MGSSFGEAFPAMQETLLEFRAGKMMLEGKRVVPDTRKGLIRIARGEEGLVHFQWLDRTQNAIEDDQIIFPDEAVFEKVNQASGRVYILKFNTDDRKFFFWMQEPKAEDDSQLCCAVNNFINQPLDETDASEPLQASEDMVEDDISSRAGNLTLTNLGAEAISDVTSSSGPVKLEDLQRILSSIGPAEVAGEPDGGLGLDDILKPDLIMPLIETLSLEERLASYLPEGQWTPEDLLELLQSPPFRQQVDSFTYVLRTGQIDLSQFGIDPSKYKFTVLSFLEALEDSVSKTSGETQDEKDVRPQSCNRNDPMDESK >KJB21957 pep chromosome:Graimondii2_0_v6:4:1876127:1880948:-1 gene:B456_004G024500 transcript:KJB21957 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MGSSFGEAFPAMQETLLEFRAGKMMLEGKRVVPDTRKGLIRIARGEEGLVHFQWLDRTQNAIEDIIFPDEAVFEKVNQASGRVYILKFNTDDRKFFFWMQEPKAEDDSQLCCAVNNFINQPLELGVDETDASEPLQASEDMVEDDISSRAGNLTLTNLGAEAISDVTSSSGPVKLEDLQRILSSIGPAEVAGEPDGGLGLDDILKPDLIMPLIETLSLEERLASYLPEGQWTPEDLLELLQSPPFRQQVDSFTYVLRTGQIDLSQFGIDPSKYKFTVLSFLEALEDSVSKTSGETQDEKDVRPQSCNRNDPMDESK >KJB21959 pep chromosome:Graimondii2_0_v6:4:1876127:1880948:-1 gene:B456_004G024500 transcript:KJB21959 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MGSSFGEAFPAMQETLLEFRAGKMMLEGKRVVPDTRKGLIRIARGEEGLVHFQWLDRTQNAIEDDQIIFPDEAVFEKVNQASGRVYILKFNTDDRKFFFWMQEPKAEDDSQLCCAVNNFINQPLELGVDETDASEPLQASEDMVEDDISSRAGNLTLTNLGAEAISDVTSSSGPVKLEDLQRILSSIGPAEVAGLGLDDILKPDLIMPLIETLSLEERLASYLPEGQWTPEDLLELLQSPPFRQQVDSFTYVLRTGQIDLSQFGIDPSKYKFTVLSFLEALEDSVSKTSGETQDEKDVRPQSCNRNDPMDESK >KJB21956 pep chromosome:Graimondii2_0_v6:4:1876127:1880948:-1 gene:B456_004G024500 transcript:KJB21956 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MGSSFGEAFPAMQETLLEFRAGKMMLEGKRVVPDTRKGLIRIARGEEGLVHFQWLDRTQNAIEDDQIIFPDEAVFEKEPKAEDDSQLCCAVNNFINQPLELGVDETDASEPLQASEDMVEDDISSRAGNLTLTNLGAEAISDVTSSSGPVKLEDLQRILSSIGPAEVAGEPDGGLGLDDILKPDLIMPLIETLSLEERLASYLPEGQWTPEDLLELLQSPPFRQQVDSFTYVLRTGQIDLSQFGIDPSKYKFTVLSFLEALEDSVSKTSGETQDEKDVRPQSCNRNDPMDESK >KJB21951 pep chromosome:Graimondii2_0_v6:4:1876087:1880962:-1 gene:B456_004G024500 transcript:KJB21951 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MGSSFGEAFPAMQETLLEFRAGKMMLEGKRVVPDTRKGLIRIARGEEGLVHFQWLDRTQNAIEDDQIIFPDEAVFEKVNQASGRVYILKFNTDDRKFFFWMQEPKAEDDSQLCCAVNNFINQPLELGVDETDASEPLQASEDMVEDDISSRAGNLTLTNLGAEAISDVTSSSGPVKLEDLQRILSSIGPAEVAGEPDGGLGLDDILKPDLIMPLIETLSLEERLASYLPEGQWTPEDLLELLQSPPFRQQVDSFTYVLRTGQIDLSQFGIDPSKYKFTVLSFLEALEDSVSKTSGETQDEKDVRPQSCNRNDPMDESK >KJB27004 pep chromosome:Graimondii2_0_v6:4:60637721:60639831:-1 gene:B456_004G271300 transcript:KJB27004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVANETVIKKPKRLTSVVWNHFERVRKADLCYAVCVHCNKKLSGSSNSGTTHLRNHLMRCLKRFNYDVSQLLSAKKRKKESTLTIANISYDEGQRKEEYLKPTIVKYEPEQRKDEVFNVQSSWFDQDRSRLDLARMIILHGYPLAMVEHVGFKVFVKNLQPLFDVVPNSTVELSCMEIYGKERQKVHDMLSKLQGRINLAVEMWSSPENTNHVCMMAHYVGDDWKLQKKILNFVTLDSSHTDDLLSGVIIKCLMDWDIGSKLFAVTLDDFSTNDDIVLRIKEQISENKSRLSNGQLLDVRSAAHVLNSIVQDAMEALRVVLQKIRGTVRYVKSSQSIQGKFKEMVLQTGINSQKNLVLDCPIRWNSTYLMLEAAIEYRNAFCQLPDLDLDLALSDEEWEWASSITGYLKLFVEIINVFSSNKCPTANIYFPEICHVHIQLIDWCKSPDNFLSSLAAKMKAKFDKYWSKCSLSLAVAAILDPRFKMKLVEYYYSQIYGSTALERIKEVSDGLKELFNTYSICSTLMDQGSALPLGSLPSSSNDGRDRLKGFDKFLHETSQSQTAISDLEKYLDEPVFPRNCNFNILNWWRVHTPRYPILSMMARDVLGTPMSTVSQESAFHAGGRVLDSCRCPLTPETQQALICTQDWLRIQSDDPGPSSSHYALPLYVETN >KJB27005 pep chromosome:Graimondii2_0_v6:4:60637233:60640794:-1 gene:B456_004G271300 transcript:KJB27005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVANETVIKKPKRLTSVVWNHFERVRKADLCYAVCVHCNKKLSGSSNSGTTHLRNHLMRCLKRFNYDVSQLLSAKKRKKESTLTIANISYDEGQRKEEYLKPTIVKYEPEQRKDEVFNVQSSWFDQDRSRLDLARMIILHGYPLAMVEHVGFKVFVKNLQPLFDVVPNSTVELSCMEIYGKERQKVHDMLSKLQGRINLAVEMWSSPENTNHVCMMAHYVGDDWKLQKKILNFVTLDSSHTDDLLSGVIIKCLMDWDIGSKLFAVTLDDFSTNDDIVLRIKEQISENKSRLSNGQLLDVRSAAHVLNSIVQDAMEALRVVLQKIRGTVRYVKSSQSIQGKFKEMVLQTGINSQKNLVLDCPIRWNSTYLMLEAAIEYRNAFCQLPDLDLDLALSDEEWEWASSITGYLKLFVEIINVFSSNKCPTANIYFPEICHVHIQLIDWCKSPDNFLSSLAAKMKAKFDKYWSKCSLSLAVAAILDPRFKMKLVEYYYSQIYGSTALERIKEVSDGLKELFNTYSICSTLMDQGSALPLGSLPSSSNDGRDRLKGFDKFLHETSQSQTAISDLEKYLDEPVFPRNCNFNILNWWRVHTPRYPILSMMARDVLGTPMSTVSQESAFHAGGRVLDSCRCPLTPETQQALICTQDWLRIQSDDPGPSSSHYALPLYVETN >KJB21486 pep chromosome:Graimondii2_0_v6:4:234769:236528:-1 gene:B456_004G003700 transcript:KJB21486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRNKSKTELLTQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKKKKFLPLDLRPKKTRAIRRRLTKHQQSLKTEREKKKEMYFPMRKYAIKV >KJB24964 pep chromosome:Graimondii2_0_v6:4:47475849:47481236:1 gene:B456_004G173300 transcript:KJB24964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRVLCKFFAHGACLKGEHCEFSHQWKHPPNNICTYYQKGNCFYGSRCRYEHVKASQSDPSASSSSTAPQQSTLSDTMPLAPSKTAFVGSVVSPAASSKVPGCSRACLAPFKQPCDLESGPQDLSDNGESIEPRRTNPVERSICSFAVAGNCPRGEKCPHIHGDLCPTCEKHCLHPFRPEEREEHIKMCKKKKKYLDALKHSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPSSGMDVNTALRACPICRKLSYFVIPSVIWYWTPEEKQRIVDGYKAKLRTINCKHFNFGNGNCPFGNSCFYKHAYRDGQLEEMALRHLRAEDGRTIITKNIRLSNFLGDYAH >KJB22256 pep chromosome:Graimondii2_0_v6:4:3087006:3091901:1 gene:B456_004G037400 transcript:KJB22256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTLETNYFTLGGMPIFVIFKDARLVLKTDSIGMEILRMAFPAALALAADPIASLIDTAFIGRIGAVELAAVGVSIAIFNQAARITIYPLVSITTSFVAQEQTLADTTIHAPKSDHPENDGGNKEQIITPQDLEKGSDKITSTCKQPPCGDDVTSEVKKGKRHIPSASTAMVFGLVLGLLQTLFLVFGAKILLLVMGVKPNSPMMKPALKYLTLRSMGAPAVLLSLAMQGIFRGFKDTKTPLYATVVGDVTNIILDPIFIFVLRLGVSGAAIAHVLSQYLITLILLCQLVKQVKLLPFHIKDLQFGRFLKNGVMLMGRVIAVTFCVTLSASMAARLGPTPMAAFQICLQVWLTSSLLADGLAVAGQAILACAFAEKDYEKVAAVAVRVLQMSFVMGMGLAVVVGVGLHFGSGIFSKDAAVLRLISIGVVILVGGASIASIFLLSKSNGFIGIWVALTIYMVLRAFAGIWRMVTGTGPWRFLRTPL >KJB22257 pep chromosome:Graimondii2_0_v6:4:3088429:3091901:1 gene:B456_004G037400 transcript:KJB22257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILRMAFPAALALAADPIASLIDTAFIGRIGAVELAAVGVSIAIFNQAARITIYPLVSITTSFVAQEQTLADTTIHAPKSDHPENDGGNKEQIITPQDLEKGSDKITSTCKQPPCGDDVTSEVKKGKRHIPSASTAMVFGLVLGLLQTLFLVFGAKILLLVMGVKPNSPMMKPALKYLTLRSMGAPAVLLSLAMQGIFRGFKDTKTPLYATVVGDVTNIILDPIFIFVLRLGVSGAAIAHVLSQYLITLILLCQLVKQVKLLPFHIKDLQFGRFLKNGVMLMGRVIAVTFCVTLSASMAARLGPTPMAAFQICLQVWLTSSLLADGLAVAGQAILACAFAEKDYEKVAAVAVRVLQMSFVMGMGLAVVVGVGLHFGSGIFSKDAAVLRLISIGVVFVAVTQPINSLAFVCDGVNFGSSDFAYTAYSMILVGGASIASIFLLSKSNGFIGIWVALTIYMVLRAFAGIWRMVTGTGPWRFLRTPL >KJB23067 pep chromosome:Graimondii2_0_v6:4:9409027:9416679:-1 gene:B456_004G079300 transcript:KJB23067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLKEELLKKRQSLAQNTGGKRVFKRSEIEQKQIQKLREQEKRELEAKCHRQSTASPSNVSDTSAKPNPSASSTASTIATSTAGSSKSLTDEQNIDNLDLPRQEVIRRLRFLKQPITLFGEDDAARLERLKYVLKAGLFEVDSDMTDGQTNDFLRDIAELRKRQKTGILSDRKRKDREEGEGGGQDREEEGGGGGGELSGDGDGGSSGVDMDKDLKRMKANFDELCDEDKILVFFKRLLNEWKQELDDMGEAEKRTAKGKSMVATFKQCARYLNPLFKFCRKKVLPDDIRQALLVVVECCMKRDYLAAMDHYIKMAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPTLPSKAVEFNSLANGSDLQSLLAEERDSRVSSSDDKLRLMPTPTDT >KJB23066 pep chromosome:Graimondii2_0_v6:4:9413032:9416679:-1 gene:B456_004G079300 transcript:KJB23066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLKEELLKKRQSLAQNTGGKRVFKRSEIEQKQIQKLREQEKRELEAKCHRQSTASPSNVSDTSAKPNPSASSTASTIATSTAGSSKSLTDEQNIDNLDLPRQEVIRRLRFLKQPITLFGEDDAARLERLKYVLKAGLFEVDSDMTDGQTNDFLRDIAELRKRQKTGILSDRKRKDREEGEGGGQDREEEGGGGGGELSGDGDGGSSGVDMDKDLKRMKANFDELCDEDKILVFFKRLLNEWKQELDDMGEAEKRTAKGKSMVATFKQCARYLNPLFKFCRKKVLPDDIRQALLVVVECCMKRDYLAAMDHYIKMAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPTLPSKAVEFNSLANGSDLQSLLAEERDSRVSSSDDKLRLMPTPTDT >KJB27320 pep chromosome:Graimondii2_0_v6:4:61979753:61982106:-1 gene:B456_004G2909001 transcript:KJB27320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAQSQRSPSPSQPSGKSEVSDLKLQLRQLAGSRAPGVDDSKRELFKKVISYMTIGIDVSSLFGEMVMCSATSDIVLKKMCYLYVGNYAKVNPDLALLTINFLQRDCRDEDPMIRGLALRSLCSLRVANLVEYLVGPLGSGLKDSNSYVRMVAVMGVLKLYHISASTCIDADFPSILKHLMLHDSDTQVVANCLSALQEIWSAEASTSEEASREREALISKPVIYYLLNRIKEFCEWAQCLVLELVTKYVPSDSSEIFDIMNLLEDRLQHANGAVVLATIRVFLQLTLSMTDVHQQVYERIKAPLLTLVSSGSPEQSYAVLSHLHLLVMRAPYVFSSDYKHFYCQYNEPSYVKRLKLEMLTAVANESNTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAI >KJB27319 pep chromosome:Graimondii2_0_v6:4:61979753:61981741:-1 gene:B456_004G2909001 transcript:KJB27319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSKSEVSDLKLQLRQLAGSRAPGVDDSKRELFKKVISYMTIGIDVSSLFGEMVMCSATSDIVLKKMCYLYVGNYAKVNPDLALLTINFLQRDCRDEDPMIRGLALRSLCSLRVANLVEYLVGPLGSGLKDSNSYVRMVAVMGVLKLYHISASTCIDADFPSILKHLMLHDSDTQVVANCLSALQEIWSAEASTSEEASREREALISKPVIYYLLNRIKEFCEWAQCLVLELVTKYVPSDSSEIFDIMNLLEDRLQHANGAVVLATIRVFLQLTLSMTDVHQQVYERIKAPLLTLVSSGSPEQSYAVLSHLHLLVMRAPYVFSSDYKHFYCQYNEPSYVKRLKLEMLTAVANESNTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAI >KJB23882 pep chromosome:Graimondii2_0_v6:4:29268407:29271488:1 gene:B456_004G119900 transcript:KJB23882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMASSNTPIPVDDVFNEYESSLKRQKSTTSKVWIEMTKLECENKNELKAQCNHSSSNKDITQYTIATQPSPEGVPSIKNYKFDADECRKAISTFLVCGKHSFRTVEEPGFRYMMRIASPNFKNISRHTAARDVLMYYAKERDRVKEELAKAPGLICLTSDNWNSEHTNDGYICITAHWVDKDWKLQKRIIRFRALFPQYDGLNIADELVLCLSQWGIDKKIFSITLDNASYNDVMVSCLKNRFRANRAILCDGAFFQVRCCAHILNLIVKTGLELADDVVGKSQNGIRYIRKSGIRRKRFYDVADKSFHLNVTKKLRQDVCVRWNSTYLMLESSLYYKDVLDYWGQRDKDYQMFALSNEEWRNVAILCKFLKVFYDVTCVFSSSNYPTANLYFRGVWKVHKVLLDTVKGPYSFLTPMVKQMQEKFNKYWAEYSLISSCAVILDPRYKLNYVQYCFITIYGIHASDFVETILSNLRLSFDEYVKKSKSTSSSLAGSSNVSDKNPVDSGLDEHNDSSADFGGYFDESDDYKRYLNESSTRSEKSQLDIYLEEPELELNSQIDVLDYWSKSSVRYNELSLLARDLLAIPISTVASESAFSMGKKVITPLRSSLKPKTVQAVVCLDDWMRAKGFLAEIGCKKDDEDDEDDDDDDVSSVAF >KJB25329 pep chromosome:Graimondii2_0_v6:4:50034746:50039337:-1 gene:B456_004G186000 transcript:KJB25329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREVQGAAAGGRGLQAQQAQQMVIGPTSSSYPSNSTLITSNQTANIPPSSAHRFSFNPLTSPPQHHLQQFHQQHHHHQQHHQNQQPLKPLHSLNSVAFDGSPQFQYNTEPTIKKKRGRPRKYAPDGNIALQLAPTTQIPSHSANHAGNDSVGLPSVGAAAEPPPKRNRGRPPGSGKRQIDALGGVSGVGFTPHVITVNTGEDIASKITAFSQQGPRTICILSANGAVCNVTLRQSVLSGSMVKFEGRYEIISLSGSFLVSENSGSCSRTGGLNVSLAGSDGRVVGGGVVGALQAASPVQVIVGSFIADGRKQNLDVFKTGPLMPTSNMQNFGGPGTAGSSPSQGGSSESSDENGGSPLNGGSGFYSNSAPPSMHNNNMQMNPQMNSLWPGHTQQ >KJB25328 pep chromosome:Graimondii2_0_v6:4:50035140:50039302:-1 gene:B456_004G186000 transcript:KJB25328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREVQGAAAGGRGLQAQQAQQMVIGPTSSSYPSNSTLITSNQTANIPPSSAHRFSFNPLTSPPQHHLQQFHQQHHHHQQHHQNQQPLKPLHSLNSVAFDGSPQFQYNTEPTIKKKRGRPRKYAPDGNIALQLAPTTQIPSHSANHAGNDSVGLPSVGAAAEPPPKRNRGRPPGSGKRQIDALGGVSGVGFTPHVITVNTGEDIASKITAFSQQGPRTICILSANGAVCNVTLRQSVLSGSMVKFEGRYEIISLSGSFLVSENSGSCSRTGGLNVSLAGSDGRVVGGGVVGALQAASPVQVIVGSFIADGRKQNLDVFKTGPLMPTSNMQNFGGPGTAGSSPSQGGSSESSDENGGSPLNGGSGFYSNSAPPSMHNNNMQMNPQMNSLWPGHTQQ >KJB25331 pep chromosome:Graimondii2_0_v6:4:50035140:50039302:-1 gene:B456_004G186000 transcript:KJB25331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREVQGAAAGGRGLQAQQAQQMVIGPTSSSYPSNSTLITSNQTANIPPSSAHRFSFNPLTSPPQHHLQQFHQQHHHHQQHHQNQQPLKPLHSLNSVAFDGSPQFQYNTEPTIKKKRGRPRKYAPDGNIALQLAPTTQIPSHSANHAGNDSVGLPSVGAAAEPPPKRNRGRPPGSGKRQIDALGGVSGVGFTPHVITVNTASKITAFSQQGPRTICILSANGAVCNVTLRQSVLSGSMVKFEGRYEIISLSGSFLVSENSGSCSRTGGLNVSLAGSDGRVVGGGVVGALQAASPVQVIVGSFIADGRKQNLDVFKTGPLMPTSNMQNFGGPGTAGSSPSQGGSSESSDENGGSPLNGGSGFYSNSAPPSMHNNNMQMNPQMNSLWPGHTQQ >KJB25330 pep chromosome:Graimondii2_0_v6:4:50034746:50039351:-1 gene:B456_004G186000 transcript:KJB25330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREVQGAAAGGRGLQAQQAQQMVIGPTSSSYPSNSTLITSNQTANIPPSSAHRFSFNPLTSPPQHHLQQFHQQHHHHQQHHQNQQPLKPLHSLNSVAFDGSPQFQYNTEPTIKKKRGRPRKYAPDGNIALQLAPTTQIPSHSANHAGNDSVGLPSVGAAAEPPPKRNRGRPPGSGKRQIDALGGVSGVGFTPHVITVNTGEDIASKITAFSQQGPRTICILSANGAVCNVTLRQSVLSGSMVKFEGRYEIISLSGSFLVSENSGSCSRTGGLNVSLAGSDGRVVGGGVVGALQAASPVQVIVGSFIADGRKQNLDVFKTGPLMPTSNMQNFGGPGTAGSSPSQGGSSESSDENGGSPLNGGSGFYSNSAPPSMHNNNMQMNPQMNSLWPGHTQQ >KJB21599 pep chromosome:Graimondii2_0_v6:4:247981:248882:-1 gene:B456_004G004000 transcript:KJB21599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTGKVKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYSQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTEKAAGKEPKSPSKATKSPKKA >KJB25667 pep chromosome:Graimondii2_0_v6:4:53238188:53245800:1 gene:B456_004G203000 transcript:KJB25667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSAKVKDSKSTWKVLIMDKLTVKVMSYSCKMGDITAEGVSLVEDIYRRRQPLPSMDAIYFIQPTKENVIMFLSDMAGRTPLYRKAFVYFSSPISRELVAHIKKDSTVLPRIGALSEMNLEYFAIDNQGFITDNGKALEDLFGDEENTRKADACLNVMANRIATVFASLREFPFVRYRAAKSLDPMTMTTFRDLIPTKLAAGIWNCLVKCKSIPNFPQQETCELLVLDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPSKTGGPPEKKEVLLEEHDPIWLELRHAHIGDANLRLHEKMTNFVSKNKAAQHQHGSRDVGEISTRDLQKMVQALPQYSEQIEKLSLHVEIAGKINRIITEQGLKELGQLEQDLVFGDAGMKDVIKFLTTNEAVSHENKLRLMMILAAIYPEKFEGEKGLSLMKLAKLSSEDANAVNNMSLLAPLSESKKGSASSFSLKFDIHKKKRAARKDRCSEQETWQLSRFYPIIEELVEKLSLGELSKDDYPCMNDPSPSFHGSSPAAASIHEAPVAHSMRSRRTPTWARPRGSDDGYSSDSVLRHTSSDLKKRGKRIFVFIAGGATRSELRVCHKLTGKLNREVVLGSTSIDDPQQFITKLKQLTPSEISLDDLQI >KJB25665 pep chromosome:Graimondii2_0_v6:4:53237227:53245800:1 gene:B456_004G203000 transcript:KJB25665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSDSDSSSGAGDHHYKNFKQISRERLLHEMLRSAKVKDSKSTWKVLIMDKLTVKVMSYSCKMGDITAEGVSLVEDIYRRRQPLPSMDAIYFIQPTKENVIMFLSDMAGRTPLYRKAFVYFSSPISRELVAHIKKDSTVLPRIGALSEMNLEYFAIDNQGFITDNGKALEDLFGDEENTRKADACLNVMANRIATVFASLREFPFVRYRAAKSLDPMTMTTFRDLIPTKLAAGIWNCLVKCKSIPNFPQQETCELLVLDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPSKTGGPPEKKEVLLEEHDPIWLELRHAHIGDANLRLHEKMTNFVSKNKAAQHQHGSRDVGEISTRDLQKMVQALPQYSEQIEKLSLHVEIAGKINRIITEQGLKELGQLEQDLVFGDAGMKDVIKFLTTNEAVSHENKLRLMMILAAIYPEKFEGEKGLSLMKLAKLSSEDANAVNNMSLLAPLSESKKGSASSFSLKFDIHKKKRAARKDRCSEQETWQLSRFYPIIEELVEKLSLGELSKDDYPCMNDPSPSFHGSSPAAASIHEAPVAHSMRSRRTPTWARPRGSDDGYSSDSVLRHTSSDLKKRGKRIFVFIAGGATRSELRVCHKLTGKLNREVVLGSTSIDDPQQFITKLKQLTPSEISLDDLQI >KJB25666 pep chromosome:Graimondii2_0_v6:4:53238188:53245800:1 gene:B456_004G203000 transcript:KJB25666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSAKVKDSKSTWKVLIMDKLTVKVMSYSCKMGDITAEGVSLVEDIYRRRQPLPSMDAIYFIQPTKENVIMFLSDMAGRTPLYRKAFVYFSSPISRELVAHIKKDSTVLPRIGALSEMNLEYFAIDNQGFITDNGKALEDLFGDEENTRKADACLNVMANRIATVFASLREFPFVRYRAAKSLDPMTMTTFRDLIPTKLAAGIWNCLVKCKSIPNFPQQETCELLVLDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPSKTGGPPEKKEVLLEEHDPIWLELRHAHIGDANLRLHEKMTNFVSKNKAAQHQHGSRDVGEISTRDLQKMVQALPQYSEQIEKLSLHVEIAGKINRIITEQGLKELGQLEQDLVFGDAGMKDVIKFLTTNEAVSHENKLRLMMILAAIYPEKFEGEKGLSLMKLAKLSSEDANAVNNMSLLAPLSESKKGSASSFSLKFDIHKLTGKLNREVVLGSTSIDDPQQFITKLKQLTPSEISLDDLQI >KJB24095 pep chromosome:Graimondii2_0_v6:4:33607206:33609098:-1 gene:B456_004G127600 transcript:KJB24095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFGYYMAMVVVQFAYGGSNILIKIALERGLNQFVFLVYRHKLAMLLKERPSLSLPMFGQIFLFSSLGTTIHLNLYFAALAYTSPTVATAWSNVIPSLTFLMAVFLRMENVKIRSGSGGAKVSGTFWRGGFQLKGFVERPLINIYGTNGSALDLVSYIAWSGWLIPQAEVYPPQLSLNALICFIASFQSSVLALFFARNPLLWRLERNVQLLTITTSLLQFSAMFIPLLVIVAVFSAIVFAERLHLGRRDSFVAGNTKNGDDQRLVVISSIKCPIATREAMC >KJB21737 pep chromosome:Graimondii2_0_v6:4:792921:796617:1 gene:B456_004G011300 transcript:KJB21737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTKEHVLKDENTHDPATITSLSLSHKALSDVSCLAEFENLERLDLAFNNLTSLQGLKSCVNLKWLSVVQNKLQTLEGIEGLTKLTVLNAGKNKLRSMEEVKPLVNLRALILNDNEIVSIRGLDDMKDLNTLVLSRNPITEIGNSLVKLKSITKFSASNCQIQAIESSLKCCVELKELRLSHNDIKSLPSELSCNKKLQNLDLGNNLISQWSELKALDSLVHLKNLNLQGNPIAEKDKLAKKVKRLLPNLHIFNARPIDKSIKNKEGEIVNIASYSSDIHMEEKKGQKRKKNPEFLVSVEKQDVNHDNNATAFDTEKELKRKKKKGNDKLSTEVPIAEDDVVVEKEEKKKKTKVEQKGRKTNDKFSTSMHEDGTTGEEKPKRKKKLEKRAELDIIDDAGASFSELFAIDVADPIQDGKRKIVDRASQDTKSSDSLVTYPVKKKKSKHAVLAELELTSAIEVGMGGASTWGDE >KJB21736 pep chromosome:Graimondii2_0_v6:4:792921:796686:1 gene:B456_004G011300 transcript:KJB21736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTKEHVLKDENTHDPATITSLSLSHKALSDVSCLAEFENLERLDLAFNNLTSLQGLKSCVNLKWLSVVQNKLQTLEGIEGLTKLTVLNAGKNKLRSMEEVKPLVNLRALILNDNEIVSIRGLDDMKDLNTLVLSRNPITEIGNSLVKLKSITKFSASNCQIQAIESSLKCCVELKELRLSHNDIKSLPSELSCNKKLQNLDLGNNLISQWSELKALDSLVHLKNLNLQGNPIAEKDKLAKKVKRLLPNLHIFNARPIDKSIKNKEGEIVNIASYSSDIHMEEKKGQKRKKNPEFLVSVEKQDVNHDNNATAFDTEKELKRKKKKGNDKLSTEVPIAEDDVVVEKEEKKKKTKVEQKGRKTNDKFSTSMHEDGTTGEEKPKRKKKLEKRAELDIIDDAGASFSELFAIDVADPIQDGKRKIVDRASQDTKSSDSLVTYPVKKKKSKHAVLAELELTSAIEVGMGGASTWGDE >KJB24420 pep chromosome:Graimondii2_0_v6:4:40752015:40764624:1 gene:B456_004G144800 transcript:KJB24420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRDASLCLLILVYTVSAASANSVHGCGGFVEASSSVIKSRKETDTKLDYSHITVELRTVDGLVKERTQCAPNGYYFIPVYDKGSFVIKISGPEGWSWDPDKVPVVIDENGCNNNEDINFRFTGFTLSGRVVGAVGGQSCSLKNGGPANVNVDLLSPNDDLISSELTMPDGSYLFKNIIPGKYKLHASHPELKIEVKGSTEVELGFQNGIVEDIFFVAGYDIHGSVVAQGNPILGVHIYLYSDDVIEVDCPQGSGNAPEQRKALCHAVSDADGMFTFKSIPCGLYELIPYYKGENTVFDVSPSVVSVSVGHQHVTVPQKFEVTGFSIGGRVVDANNVGVEGVKILVDGQERSITDKEGYYKLDQVTSNHYTIEAIKEHFKFNKLKDYLVKPNMASVSDIKAVSYDVCGVVRTVDSGYKAKVALTHGPENVKPQVKQTDESGKFCFEVPPGEYRISALSAAPESSPELLFLPHYADVVVNGPIFNVEFSQALVNVRGTVVCKEKCGASVSVTLVRLAGKHNERKKIVSLTEERSQFHFPDVLPGKYRLEVKHTSPEAVSKEDNWCWEQSFIDVDVGSEDIEDIKFVQKGYWVNVVSTHDVDAYLTQQDDSPINLKIKKGSQHICVKSPGVHELHFVNSCIFFGSSSMKIDTSNPLPIYLKGEKYLLSGQINVNPSSSNDLPVEIVMNILNSEGTIMYSTNAKLASSANDQMTAVYEYSVWANLGEKLTFLPMDPRNNGEKKFLFYPRLHHVSVTNDGCQASVPPFSGRLGLYLEGSVSPAISGVHIQIIAGDEGSITSVKKGGVVLETATEADGSFVAGPLYDDITYNIRASKPGFHLKQVGPYSFSCQKLSQISVKIYSKDDATEPMPSVLLSLSGDDGYRNNSMSGTGGIFVFENLFPGSFYLRPLLKEYAFSPSAQAIELGSGESREVVFHATRVAYSAMGMVTLLSGQPKEGVSIEARSESKGYYEETVTDSSGTYRLRGLVPDALYSIKVLKKDGLGSAKIERASPESVPVKVGNNDIKGLDFLVFEEPEMTILSGHVEANRTGELHSHLLVEIKSAGDTSKVESVFQLPLSNFFQVKDLPRGKHTVQLKSNLPSSTHKFESEVIEVDLEKNAQVHVGPLKYSVEEYHHKQELTPAPVFPLIVGVSVIILFLSIPRLKDIYQAATGIPTPGFMTTAKKEPRKPVVRKKTF >KJB24421 pep chromosome:Graimondii2_0_v6:4:40752033:40763704:1 gene:B456_004G144800 transcript:KJB24421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRDASLCLLILVYTVSAASANSVHGCGGFVEASSSVIKSRKETDTKLDYSHITVELRTVDGLVKERTQCAPNGYYFIPVYDKGSFVIKISGPEGWSWDPDKVPVVIDENGCNNNEDINFRFTGFTLSGRVVGAVGGQSCSLKNGGPANVNVDLLSPNDDLISSELTMPDGSYLFKNIIPGKYKLHASHPELKIEVKGSTEVELGFQNGIVEDIFFVAGYDIHGSVVAQGNPILGVHIYLYSDDVIEVDCPQGSGNAPEQRKALCHAVSDADGMFTFKSIPCGLYELIPYYKGENTVFDVSPSVVSVSVGHQHVTVPQKFEVTGFSIGGRVVDANNVGVEGVKILVDGQERSITDKEGYYKLDQVTSNHYTIEAIKEHFKFNKLKDYLVKPNMASVSDIKAVSYDVCGVVRTVDSGYKAKVALTHGPENVKPQVKQTDESGKFCFEVPPGEYRISALSAAPESSPELLFLPHYADVVVNGPIFNVEFSQALVNVRGTVVCKEKCGASVSVTLVRLAGKHNERKKIVSLTEERSQFHFPDVLPGKYRLEVKHTSPEAVSKEDNWCWEQSFIDVDVGSEDIEDIKFVQKGYWVNVVSTHDVDAYLTQQDDSPINLKIKKGSQHICVKSPGVHELHFVNSCIFFGSSSMKIDTSNPLPIYLKGEKYLLSGQINVNPSSSNDLPVEIVMNILNSEGTIMYSTNAKLASSANDQMTAVYEYSVWANLGEKLTFLPMDPRNNGEKKFLFYPRLHHVSVTNDGCQASVPPFSGRLGLYLEGSVSPAISGVHIQIIAGDEGSITSVKKGGVVLETATEADGSFVAGPLYDDITYNIRASKPGFHLKQVGPYSFSCQKLSQISVKIYSKDDATEPMPSVLLSLSGDDGYRNNSMSGTGGIFVFENLFPGSFYLRPLLKEYAFSPSAQAIELGSGESREVVFHATRVAYSAMGMVTLLSGQPKEGVSIEARSESKGYYEETVTDSSGTYRLRGLVPDALYSIKVLKKDGLGSAKIERASPESVPVKVGNNDIKGLDFLVFEEPEMTILSGHVEANRTGELHSHLLVEIKSAGDTSKVESVFQLPLSNFFQVKDLPRGKHTVQLKSNLPSSTHKFESEVIEVDLEKNAQVHVGPLKYSVEEYHHKQVCLILLKSCMCSLFSN >KJB24422 pep chromosome:Graimondii2_0_v6:4:40752033:40764617:1 gene:B456_004G144800 transcript:KJB24422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRDASLCLLILVYTVSAASANSVHGCGGFVEASSSVIKSRKETDTKLDYSHITVELRTVDGLVKERTQCAPNGYYFIPVYDKGSFVIKISGPEGWSWDPDKVPVVIDENGCNNNEDINFRFTGFTLSGRVVGAVGGQSCSLKNGGPANVNVDLLSPNDDLISSELTMPDGSYLFKNIIPGKYKLHASHPELKIEVKGSTEVELGFQNGIVEDIFFVAGYDIHGSVVAQGNPILGVHIYLYSDDVIEVDCPQGSGNAPEQRKALCHAVSDADGMFTFKSIPCGLYELIPYYKGENTVFDVSPSVVSVSVGHQHVTVPQKFEVTGFSIGGRVVDANNVGVEGVKILVDGQERSITDKEGYYKLDQVTSNHYTIEAIKEHFKFNKLKDYLVKPNMASVSDIKAVSYDVCGVVRTVDSGYKAKVALTHGPENVKPQVKQTDESGKFCFEVPPGEYRISALSAAPESSPELLFLPHYADVVVNGPIFNVEFSQALVNVRGTVVCKEKCGASVSVTLVRLAGKHNERKKIVSLTEERSQFHFPDVLPGKYRLEVKHTSPEAVSKEDNWCWEQSFIDVDVGSEDIEDIKFVQKGYWVNVVSTHDVDAYLTQQDDSPINLKIKKGSQHICVKSPGVHELHFVNSCIFFGSSSMKIDTSNPLPIYLKGEKYLLSGQINVNPSSSNDLPVEIVMNILNSEGTIMYSTNAKLASSANDQMTAVYEYSVWANLGEKLTFLPMDPRNNGEKKFLFYPRLHHVSVTNDGCQASVPPFSGRLGLYLEGSVSPAISGVHIQIIAGDEGSITSVKKGGVVLETATEADGSFVAGPLYDDITYNIRASKPGFHLKQVGPYSFSCQKLSQISVKIYSKDDATEPMPSVLLSLSGDDGYRNNSMSGTGGIFVFENLFPGSFYLRPLLKEYAFSPSAQAIELGSGESREVVFHATRVAYSAMGMVTLLSGQPKEGVSIEARSESKGYYEETVTDSSGTYRLRGLVPDALYSIKVLKKDGLGSAKIERASPESVPVKVGNNDIKGLDFLVFEEPEMTILSGHVEANRTGELHSHLLVEIKSAGDTSKVESVFQLPLSNFFQVKDLPRGKHTVQLKSNLPSSTHKFESEVIEVDLEKNAQVHVGPLKYSVEEYHHKQVCLILLKSCMCSLFSN >KJB23800 pep chromosome:Graimondii2_0_v6:4:27610096:27616657:1 gene:B456_004G115400 transcript:KJB23800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDSGATTNQGPVVDMISEKDGDGGYVTGGWKSEDGKLSYGYSSFRGKRATMEDFYDIKTSKINGQMVCMFGIFDGHGGSHAAEYLKQHLFENLMKHPKFITDTKLAISETYPQTDVDFLDSERNTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGEAIPLSEDHKPNRTDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGDRMLKQFVVAEPEIQDKEIDEEFELLVLASDGLWDVVPNEDAVSLAGAEEEPEKAARKLTEAAFTRGSADNITCIVVRFHHEKAAPVNPKHE >KJB23798 pep chromosome:Graimondii2_0_v6:4:27610065:27616725:1 gene:B456_004G115400 transcript:KJB23798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDSGATTNQGPVVDMISEKDGDGGYVTGGWKSEDGKLSYGYSSFRGKRATMEDFYDIKTSKINGQMVCMFGIFDGHGGSHAAEYLKQHLFENLMKHPKFITDTKLAISETYPQTDVDFLDSERNTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGEAIPLSEDHKPNRTDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGDRMLKQFVVAEPEIQDKEIDEEFELLVLASDGLWDVVPNEDAVSLAGAEEEPEKAARKLTEAAFTRGSADNITCIVVRFHHEKAAPVNPKHE >KJB23803 pep chromosome:Graimondii2_0_v6:4:27612920:27616725:1 gene:B456_004G115400 transcript:KJB23803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPKFITDTKLAISETYPQTDVDFLDSERNTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGEAIPLSEDHKPNRTDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGDRMLKQFVVAEPEIQDKEIDEEFELLVLASDGLWDVVPNEDAVSLAGAEEEPEKAARKLTEAAFTRGSADNITCIVVRFHHEKAAPVNPKHE >KJB23797 pep chromosome:Graimondii2_0_v6:4:27610096:27616657:1 gene:B456_004G115400 transcript:KJB23797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDSGATTNQGPVVDMISEKDGDGGYVTGGWKSEDGKLSYGYSSFRGKRATMEDFYDIKTSKINGQMVCMFGIFDGHGGSHAAEYLKQHLFENLMKHPKFITDTKLAISETYPQTDVDFLDSERNTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGEAIPLSEDHKPNRTDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGDRMLKQFVVAEPEIQDKEIDEEFELLVLASDGLWDVVPNEDAVSLAGAEEEPEKAARKLTEAAFTRGSADNITCIVVRFHHEKAAPVNPKHE >KJB23796 pep chromosome:Graimondii2_0_v6:4:27610065:27616725:1 gene:B456_004G115400 transcript:KJB23796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSATLPLLLLEKTPRYAVRPYSSPFLIGILHVFQPGSDPVLLSGIIDQRMMVDSGATTNQGPVVDMISEKDGDGGYVTGGWKSEDGKLSYGYSSFRGKRATMEDFYDIKTSKINGQMVCMFGIFDGHGGSHAAEYLKQHLFENLMKHPKFITDTKLAISETYPQTDVDFLDSERNTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGEAIPLSEDHKPNRTDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGDRMLKQFVVAEPEIQDKEIDEEFELLVLASDGLWDVVPNEDAVSLAGAEEEPEKAARKLTEAAFTRGSADNITCIVVRFHHEKAAPVNPKHE >KJB23802 pep chromosome:Graimondii2_0_v6:4:27610096:27616657:1 gene:B456_004G115400 transcript:KJB23802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDSGATTNQGPVVDMISEKDGDGGYVTGGWKSEDGKLSYGYSSFRGKRATMEDFYDIKTSKINGQMVCMFGIFDGHGGSHAAEYLKQHLFENLMKHPKFITDTKLAISETYPQTDVDFLDSERNTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGEAIPLSEDHKPNRTDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGDRMLKQFVVAEPEIQDKEIDEEFELLVLASDGLWDVVPNEDAVSLAGAEEEPEKAARKLTEAAFTRGSADNITCIVVRFHHEKAAPVNPKHE >KJB23801 pep chromosome:Graimondii2_0_v6:4:27610065:27616725:1 gene:B456_004G115400 transcript:KJB23801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDSGATTNQGPVVDMISEKDGDGGYVTGGWKSEDGKLSYGYSSFRGKRATMEDFYDIKTSKINGQMVCMFGIFDGHGGSHAAEYLKQHLFENLMKHPKFITDTKLAISETYPQTDVDFLDSERNTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGEAIPLSEDHKPNRTDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGDRMLKQFVVAEPEIQDKEIDEEFELLVLASDGLWDVVPNEDAVSLAGAEEEPEKAARKLTEAAFTRGSADNITCIVVRFHHEKAAPVNPKHE >KJB23804 pep chromosome:Graimondii2_0_v6:4:27613436:27616725:1 gene:B456_004G115400 transcript:KJB23804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHGGSHAAEYLKQHLFENLMKHPKFITDTKLAISETYPQTDVDFLDSERNTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGEAIPLSEDHKPNRTDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGDRMLKQFVVAEPEIQDKEIDEEFELLVLASDGLWDVVPNEDAVSLAGAEEEPEKAARKLTEAAFTRGSADNITCIVVRFHHEKAAPVNPKHE >KJB23799 pep chromosome:Graimondii2_0_v6:4:27610733:27616725:1 gene:B456_004G115400 transcript:KJB23799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDSGATTNQGPVVDMISEKDGDGGYVTGGWKSEDGKLSYGYSSFRGKRATMEDFYDIKTSKINGQMVCMFGIFDGHGGSHAAEYLKQHLFENLMKHPKFITDTKLAISETYPQTDVDFLDSERNTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGEAIPLSEDHKPNRTDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGDRMLKQFVVAEPEIQDKEIDEEFELLVLASDGLWDVVPNEDAVSLAGAEEEPEKAARKLTEAAFTRGSADNITCIVVRFHHEKAAPVNPKHE >KJB22384 pep chromosome:Graimondii2_0_v6:4:3939990:3941905:-1 gene:B456_004G044700 transcript:KJB22384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFMAAAKVFACPFVFVALFSLVASDPDLLQDLCVANKAAGIKVNGFPCKDEANVTEADFFYSGLANPGVINNAVGSVATGANVEKISGLNTLGVSLARIDYAPGGLNPPHTHPRATEIIFVLDGELDVGFITTSNKLISKSVKKGDVFVFPRGLVHFQKNNGDKSASVIAGFNSQLPGTQSIAATLFTSTPAVPDNVLTKTFQIGTKEVDKIKNKLAPKKT >KJB25367 pep chromosome:Graimondii2_0_v6:4:50319372:50323023:-1 gene:B456_004G188100 transcript:KJB25367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRRGKRSEAFWPSIVMKKWLNIKPKVYDFSEDEVDTETESEDDDSRLHGSEDHTRRTLENHSECRNQIPDAPSKGYRLGHRRGKSETLRAQYINTKDVRVTISTWNVAGRLPSDDLEIDDWLCTKEPADVYIIGFQEVVPLNAGNVFGAEDSRPIPKWEAIIRRTLNKYWEPETKHKCYSAPPSPVLRTSSVADALADKIDALPSEVMINEYLETAIGYDFEGKDLNKDATIGQNLQLNRIYGIDFDSRLDWPEYPLDATPQVISSNLKLRRVLSSSARIGFNMTENSMFYSSHDVVLKESILKRSHHSFGNLRSTCVHEQLELETVDSSSDISDEKSEEEDDAFLEEPVEEQDNNKARSTPKYIRIVSKQMVGIYISIWVRKRLRRHVNNLKVSPVGVGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGQKDGAEHRRNSDVYEIIRRTCFSSVLDSDQPQTIPAHDQIFWFGDLNYRLNMSDADIRKLVAQKRWNELINYDQLHKELRSGHVFEGWKEGIIDFPPTYKYEMDSDRYIGEIPKEGEKKRSPAWCDRILWSGKGIKQLSYKQSDIRLSDHRPVSSMFLLDVEVLDHRKLQRALNVSTAAVHPVVSFDENGEPEF >KJB25370 pep chromosome:Graimondii2_0_v6:4:50318328:50323785:-1 gene:B456_004G188100 transcript:KJB25370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRRGKRSEAFWPSIVMKKWLNIKPKVYDFSEDEVDTETESEDDDSRLHGSEDHTRRTLENHSECRNQIPDAPSKGYRLGHRRGKSETLRAQYINTKDVRVTISTWNVAGRLPSDDLEIDDWLCTKEPADVYIIGFQEVVPLNAGNVFGAEDSRPIPKWEAIIRRTLNKYWEPETKHKCYSAPPSPVLRTSSVADALADKIDALPSEVMINEYLETAIGYDFEGKDLNKDATIGQNLQLNRIYGIDFDSRLDWPEYPLDATPQVISSNLKLRRVLSSSARIGFNMTENSMFYSSHDVVLKESILKRSHHSFGNLRSTCVHEQLELETVDSSSDISDEKSEEEDDAFLEEPVEEQDNNKARSTPKYIRIVSKQMVGIYISIWVRKRLRRHVNNLKVSPVGVGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGQKDGAEHRRNSDVYEIIRRTCFSSVLDSDQPQTIPAHDQIFWFGDLNYRLNMSDADIRKLVAQKRWNELINYDQLHKELRSGHVFEGWKEGIIDFPPTYKYEMDSDRYIGEIPKEGEKKRSPAWCDRILWSGKGIKQLSYKQSDIRLSDHRPVSSMFLLDVEVLDHRKLQRALNVSTAAVHPVVSFDENGEPEF >KJB25371 pep chromosome:Graimondii2_0_v6:4:50318319:50323871:-1 gene:B456_004G188100 transcript:KJB25371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRRGKRSEAFWPSIVMKKWLNIKPKVYDFSEDEVDTETESEDDDSRLHGSEDHTRRTLENHSECRNQIPDAPSKGYRLGHRRGKSETLRAQYINTKDVRVTISTWNVAGRLPSDDLEIDDWLCTKEPADVYIIGFQEVVPLNAGNVFGAEDSRPIPKWEAIIRRTLNKYWEPETKHKCYSAPPSPVLRTSSVADALADKIDALPSEVMINEYLETAIGYDFEGKDLNKDATIGQNLQLNRIYGIDFDSRLDWPEYPLDATPQVISSNLKLRRVLSSSARIGFNMTENSMFYSSHDVVLKESILKRSHHSFGNLRSTCVHEQLELETVDSSSDISDEKSEEEDDAFLEEPVEEQDNNKARSTPKYIRIVSKQMVGIYISIWVRKRLRRHVNNLKVSPVGVGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGQKDGAEHRRNSDVYEIIRRTCFSSVLDSDQPQTIPAHDQIFWFGDLNYRLNMSDADIRKLVAQKRWNELINYDQLHKELRSGHVFEGWKEGIIDFPPTYKYEMDSDRYIGEIPKEGEKKRSPAWCDRILWSGKGIKQLSYKQSDIRLSDHRPVSSMFLLDVEVLDHRKLQRALNVSTAAVHPVVSFDENGEPEF >KJB25369 pep chromosome:Graimondii2_0_v6:4:50318328:50323785:-1 gene:B456_004G188100 transcript:KJB25369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRRGKRSEAFWPSIVMKKWLNIKPKVYDFSEDEVDTETESEDDDSRLHGSEDHTRRTLENHSECRNQIPDAPSKGYRLGHRRGKSETLRAQYINTKDVRVTISTWNVAGRLPSDDLEIDDWLCTKEPADVYIIGFQEVVPLNAGNVFGAEDSRPIPKWEAIIRRTLNKYWEPETKHKCYSAPPSPVLRTSSVADALADKIDALPSEVMINEYLETAIGYDFEGKDLNKDATIGQNLQLNRIYGIDFDSRLDWPEYPLDATPQVISSNLKLRRVLSSSARIGFNMTENSMFYSSHDVVLKESILKRSHHSFGNLRSTCVHEQLELETVDSSSDISDEKSEEEDDAFLEEPVEEQDNNKARSTPKYIRIVSKQMVGIYISIWVRKRLRRHVNNLKVSPVGVGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGQKDGAEHRRNSDVYEIIRRTCFSSVLDSDQPQTIPAHDQIFWFGDLNYRLNMSDADIRKLVAQKRWNELINYDQLHKELRSGHVFEGWKEGIIDFPPTYKYEMDSDRYIGEIPKEGEKKRSPAWCDRILWSGKGIKQLSYKQSDIRLSDHRPVSSMFLLDVEVLDHRKLQRALNVSTAAVHPVVSFDENGEPEF >KJB25368 pep chromosome:Graimondii2_0_v6:4:50319372:50323023:-1 gene:B456_004G188100 transcript:KJB25368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRRGKRSEAFWPSIVMKKWLNIKPKVYDFSEDEVDTETESEDDDSRLHGSEDHTRRTLENHSECRNQIPDAPSKGYRLGHRRGKSETLRAQYINTKDVRVTISTWNVAGRLPSDDLEIDDWLCTKEPADVYIIGFQEVVPLNAGNVFGAEDSRPIPKWEAIIRRTLNKYWEPETKHKCYSAPPSPVLRTSSVADALADKIDALPSEVMINEYLETAIGYDFEGKDLNKDATIGQNLQLNRIYGIDFDSRLDWPEYPLDATPQVISSNLKLRRVLSSSARIGFNMTENSMFYSSHDVVLKESILKRSHHSFGNLRSTCVHEQLELETVDSSSDISDEKSEEEDDAFLEEPVEEQDNNKARSTPKYIRIVSKQMVGIYISIWVRKRLRRHVNNLKVSPVGVGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGQKDGAEHRRNSDVYEIIRRTCFSSVLDSDQPQTIPAHDQIFWFGDLNYRLNMSDADIRKLVAQKRWNELINYDQLHKELRSGHVFEGWKEGIIDFPPTYKYEMDSDRYIGEIPKEGEKKRSPAWCDRILWSGKGIKQLSYKQSDIRLSDHRPVSSMFLLDVEVLDHRKLQRALNVSTAAVHPVVSFDENGEPEF >KJB26451 pep chromosome:Graimondii2_0_v6:4:58017496:58018055:-1 gene:B456_004G2423001 transcript:KJB26451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNAPSSSDRARTNWTPTMERFFIDLMLDQMHRGNRLGHTFNKQAWTDMLSIFNAKFGCKYDRDTLKSHSTNLWKQYNDVKNLLEQNGFSWDDTRKLVVAPPHVWNAYIKGQPDAQVYRNRTLTNFSDLCLIYAYTQADGRYSRSSHDIDFDDDALGVNF >KJB26452 pep chromosome:Graimondii2_0_v6:4:58017496:58018676:-1 gene:B456_004G2423001 transcript:KJB26452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNAPSSSDRARTNWTPTMERFFIDLMLDQMHRGNRLGHTFNKQAWTDMLSIFNAKFGCKYDRDTLKSHSTNLWKQYNDVKNLLEQNGFSWDDTRKLVVAPPHVWNAYIKGQPDAQVYRNRTLTNFSDLCLIYAYTQADGRYSRSSHDIDFDDDALGVNF >KJB27257 pep chromosome:Graimondii2_0_v6:4:61728999:61730685:1 gene:B456_004G287100 transcript:KJB27257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLGSPEYGFSGEAAGKLNVLLTESDDESEFSNDEGKLEEIMQELYKEITATTTSSSPNTSPPMVTLPSPSSSSSSSSPPLYLSPPPFSVSDVKSESCGASMSDSSSTVMAGIEFVVHAGKLPEKEIGVTEMGFDDDNNTTTTINNNNNNSGDFVAREEEMDGCDEGELGNDQWLTIILGWGPLELEDWI >KJB27300 pep chromosome:Graimondii2_0_v6:4:61899929:61901624:1 gene:B456_004G289700 transcript:KJB27300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLREVAGTGDTDALYALIRKDPYMLEHIDQIPFIDTPLHIAANEGQIKFAMEMINLKPSFGRKLNQDGFSPMHLALQMGHTKLVLRLLKTDKDLVRVKGREGMTPFHCAAAVGNSNLLFHFLETCPECVEDVTVRNETALHLALKNDHTDAFNLLHGWLRKNRRGGGNGLERKVVNWRDDDDNTVLHIAATKQQHQAVQLLLDSFYGLDANAKNSEGLTAREIIERVERQGLNMSGAEDDDTTTAKIERIKKRTSRSERALVKLIRARNGLSENMLNATLVVAALVITAIYQSSLSPPRGLWQGDNTSIPTTTSNLTTTTKFKLFNDNYSEYRFKFLLGEETRKTGTAVMNPNLFLGFWFFNFIAFGLPVLLTVLLLSNVPSILLIPLYYLSVSYFNCMTIVSPSTFWANLNFVVMWTAILLPLLLVVRSVWLSKQPKYREIRQLRRLFRK >KJB24315 pep chromosome:Graimondii2_0_v6:4:38902043:38904285:-1 gene:B456_004G138900 transcript:KJB24315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTTPGRKQAFGNIVLLGMVMVLINTNGVASRKVRVLDSFDDSAAGCSRKYSASLTDFGGVGDGVTSNTKAFQAAIDNLSLYASYGGSLLFVPPGKWLTGSFNLTSHFTLYLHKDATILASQDESEWAVINPLPSYGRGRDADGGRYISLIFGTNLTDVVITGDNGTFDGQGATWWDKFHKGELKYTRPYLIEIMYSQGVQISNLTLMNSPSWNVHPVYSSNVVVQGITILAPVTSPNTDGINPDSCTNTRIEDCYIVSGDDCIAVKSGWDEYGIKFGMPTKQLLIRRLTCISPFSAVIALGSEMSGGIEDVRAEDITGINSESAVRIKTAVGRGNYVKDIYVRRMTMKTMKMVFWMAGNYGSHPDNDYDPNAIPVIQNINFRDVVAENVTMAARLEGIPGHPFTGICISNATIELTKKPKKIQWNCTEIAGVSSDVTPKPCNLLKDLGSQNTCNFPEDDFPIVKF >KJB23580 pep chromosome:Graimondii2_0_v6:4:21479279:21483452:-1 gene:B456_004G105600 transcript:KJB23580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAVRSVRNIRSAFPQPMKSYSSAFVRKPSHLIEINSTSVYRLPDNAEIDRFLFPAFFISTPFSTDASSKVDITEVNRGGPLVEYERRIAAGELFDGDACQVGTLRELQRLYDEIVESAAACRLDRYAVPEKPVRSRWFWSRLMPQSSYSPVKGLYLYGGVGTGKTMLMDLFFDQLPCNWRKKRIHFHDFMLDVHSRLQRHRGVADPLEVVAGEISDDAILLCLDEFMVTDVADALILNRLFGHLFSNGVILVATSNRAPDNLYEGGLQRDLFLPFIATLKERCVVHEIGSAVDYRKLTSAEEGFYFIGKDLSSLLKTTFQQLIGEHVARPQVVEVVMGRTLQVPLGANGCAYFSFEELCDRPLGAADYFGLFKNFHTLALEGVPKFGLHNRTAAYRFVTLVDVCSIASYIGLTVFGSHKTSLS >KJB23577 pep chromosome:Graimondii2_0_v6:4:21478110:21483700:-1 gene:B456_004G105600 transcript:KJB23577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAVRSVRNIRSAFPQPMKSYSSAFVRKPSHLIEINSTSVYRLPDNAEIDRFLFPAFFISTPFSTDASSKVDITEVNRGGPLVEYERRIAAGELFDGDACQVGTLRELQRLYDEIVESAAACRLDRYAVPEKPVRSRWFWSRLMPQSSYSPVKGLYLYGGVGTGKTMLMDLFFDQLPCNWRKKRIHFHDFMLDVHSRLQRHRGVADPLEVVAGEISDDAILLCLDEFMVTDVADALILNRLFGHLFSNGVILVATSNRAPDNLYEGGLQRDLFLPFIATLKERCVVHEIGSAVDYRKLTSAEEGFYFIGKDLSSLLKTTFQQLIGEHVARPQVVEVVMGRTLQVPLGANGCAYFSFEELCDRPLGAADYFGLFKNFHTLALEGVPKFGLHNRTAAYRFVTLVDVMYENKARLLCTAEGTPLELFENIVTVSDAQYMAPRTSSRSRRNDDSNLCVDNELGFAKDRTISRLTEMNSKEYLEQHAEERQLSQQFPKADAAQV >KJB23579 pep chromosome:Graimondii2_0_v6:4:21478513:21483452:-1 gene:B456_004G105600 transcript:KJB23579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAVRSVRNIRSAFPQPMKSYSSAFVRKPSHLIEINSTSVYRLPDNAEIDRFLFPAFFISTPFSTDASSKVDITEVNRGGPLVEYERRIAAGELFDGDACQVGTLRELQRLYDEIVESAAACRLDRYAVPEKPVRSRWFWSRLMPQSSYSPVKGLYLYGGVGTGKTMLMDLFFDQLPCNWRKKRIHFHDFMLDVHSRLQRHRGVADPLEVVAGEISDDAILLCLDEFMVTDVADALILNRLFGHLFSNGVILVATSNRAPDNLYEGGLQRDLFLPFIATLKERCVVHEIGSAVDYRKLTSAEEGFYFIGKDLSSLLKTTFQQLIGEHVARPQVVEVVMGRTLQVPLGANGCAYFSFEELCDRPLGAADYFGLFKNFHTLALEGVPKFGLHNRTAAYRFVTLVDVMYENKARLLCTAEGTPLELFENIVTVSDAQYMAPRTSSRSRRNDDSNLCVDNELGFAKDRTISR >KJB23578 pep chromosome:Graimondii2_0_v6:4:21478117:21483452:-1 gene:B456_004G105600 transcript:KJB23578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAVRSVRNIRSAFPQPMKSYSSAFVRKPSHLIEINSTSVYRLPDNAEIDRFLFPAFFISTPFSTDASSKVDITEVNRGGPLVEYERRIAAGELFDGDACQVGTLRELQRLYDEIVESAAACRLDRYAVPEKPVRWFWSRLMPQSSYSPVKGLYLYGGVGTGKTMLMDLFFDQLPCNWRKKRIHFHDFMLDVHSRLQRHRGVADPLEVVAGEISDDAILLCLDEFMVTDVADALILNRLFGHLFSNGVILVATSNRAPDNLYEGGLQRDLFLPFIATLKERCVVHEIGSAVDYRKLTSAEEGFYFIGKDLSSLLKTTFQQLIGEHVARPQVVEVVMGRTLQVPLGANGCAYFSFEELCDRPLGAADYFGLFKNFHTLALEGVPKFGLHNRTAAYRFVTLVDVMYENKARLLCTAEGTPLELFENIVTVSDAQYMAPRTSSRSRRNDDSNLCVDNELGFAKDRTISRLTEMNSKEYLEQHAEERQLSQQFPKADAAQV >KJB22650 pep chromosome:Graimondii2_0_v6:4:5686205:5689656:-1 gene:B456_004G059000 transcript:KJB22650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLCSCNVTDLIQASVQCRFPGSPGPFAFRRRSPVSVSCSPLSRLKLTPVQAVLSSQQKEVAEVVKSGSGSLADLLRLGSLAEDGLSYNEKYIVRCYEVGINKTATIETLANLLQEIGSNHAQSLGFSTDGFATTPTMRKMHLIWVTARMHIEIYKYPAWSDVVEIETWCQSEGRIGTRRDWILKDATTGELIGRATGKWVMMNQDTRRLQKVSDDVREEHLIFCPREPRLAFPEKNNKSLKKIIKLEDPAQYSRLGLTPRRADLDMNHHVNYVTYIGWVLESMPQEIIDTHELQSITLDYRRECLQDDVVDSLTSPEPIDGTETVSEQNGTAREDADCPKFLHLLRVSCDGQEINRGRTEWRKKPAR >KJB22651 pep chromosome:Graimondii2_0_v6:4:5686205:5689726:-1 gene:B456_004G059000 transcript:KJB22651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLCSCNVTDLIQASVQCRFPGSPGPFAFRRRSPVSVSCSPLSRLKLTPVQAVLSSQQKEVAEVVKSGSGSLADLLRLGSLAEDGLSYNEKYIVRCYEVGINKTATIETLANLLQEIGSNHAQSLGFSTDGFATTPTMRKMHLIWVTARMHIEIYKYPAWSDVVEIETWCQSEGRIGTRRDWILKDATTGELIGRATGKWVMMNQDTRRLQKVSDDVREEHLIFCPREPRLAFPEKNNKSLKKIIKLEDPAQYSRLGLTPRRADLDMNHHVNYVTYIGWVLESMPQEIIDTHELQSITLDYRRECLQDDVVDSLTSPEPIDGTETVSEQNGTAREDADCPKFLHLLRVSCDGQEINRGRTEWRKKPAR >KJB23557 pep chromosome:Graimondii2_0_v6:4:21149331:21150579:-1 gene:B456_004G1046002 transcript:KJB23557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSGRRVESVGSGPTLDLAARLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVNCPVLIIHCLKYKQKDSCLGNGIKSSCNLNTIELRISFDQLEKSRRSVDCHEKSRKNVDHQLERARKSVDLLDRIRTG >KJB24214 pep chromosome:Graimondii2_0_v6:4:36591787:36594474:1 gene:B456_004G133400 transcript:KJB24214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLTSLPFIFFLLFLLKPTAAQPCPTSCPGGGPQINFPFGLNPQGSRNGRCSYPGFGLSCSNKTQQLVLNLPGSGEFIVRYIDYEAQQIWINDPNFCLPQRFLHSFNISGTPFDSEFWYTFTFFNCSAIEAAEGGLRPIPCLSNQNYSIVASQAPADIFVDSTDVLQSACHPITTFTVPFVWYGWWDGVRLEWSKPDCRSCIQGRGDCRFKNSTALEIGCFNLPTQGGLPRGAKYGIIIGIGIPGLLSLIGLVSFIGSRVRWYGRGGNLTSLEFSTSIAPSPAVIITGLDGPTIESYPKTKLGESGRLPKPNDNICPICLSEYQPKDTLRTIPECSHYFHSDCIDEWLKMKASCPLCRNSPGGPAEVTPSMSSSPSSSSSLLSP >KJB22986 pep chromosome:Graimondii2_0_v6:4:8915193:8919787:-1 gene:B456_004G077000 transcript:KJB22986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFLPSDLCKETQLNAINPQSWLQVERGKISKLSSSCTTTSSSIESFIKVPEPPIVPFFKPVDYVEVLAQIHEELESCSLQERSNLYLLQFQIFRGLGETKLMRRSLRSAWQKAGTVHERLVFGAWLKYEKQGEELIVDLLATCNKCAQEFGPMDVASQFPVEVDGASQETVVTDGEKSLKNVNFWIGDEKIVCRRQKIASLSAPFHAMLNGYFNESLCEDIDLSENNISPLGLRTISVFSVTGCLSDVPPDLLLEILVFANKFCCERLKDACDRKLASSVCTKDDAVELMEYAIEENSPVLAASCLQVFLHELPDCLNDERVVEIFSHADRQQRLIMAGQATFSLYCLLSEVAMNLDPRSDKTVCFLEQLIESAETDRQRLLAFHQLGCVRLLRKEYDEAESLFERAVGLGHVYSIAGLARLGYIKGHKLWSYEKLSSVISSVNPLGWMYQERSLYCEGDKRWEDLEKATELDPTLTYPYMYRAASLMMKQNVQAALGEINRVLGFKLALECLELRFCLYLANEDYKAALCDVQVILTLSPDYRMFEGRVAASQLRTLVREHVDNWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESGEAKGVLYFRQSLLLLRLNCPDVAMRSLELACQHASSEHERLVYEGWILYDTGHCEEGLRKAEESIRTKRSFEAFFLKAYALADSSMDFACSSTVISLLENALKCPSDNLRKGQALNNLGSVYVDCGKLYLAADCYINALKIRHTRAHQGLARVHFLRNEKAAAYEEMTKLIEKAKNNASAYEKRSEYCDRDLTKADLEMVTQLDPLRVYPYRYRAAVLMDSSKEKEAIGELSRAIAFKADLHLLHLRAAFHEHVGDVLAALRDCRAALSIDPNHQEMLELHSRVNSHEP >KJB25439 pep chromosome:Graimondii2_0_v6:4:50832211:50832708:-1 gene:B456_004G191300 transcript:KJB25439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSVDLRYFLPAFMNTFFIILPNNQDKVAVDHIDSPYLGLKLALFILALFLLLIFLHVSFQLPINITVHPLLLRTHQGFSIALLLSLAASLLLPPALFWFFFFCIILTYPCHPKLFDCFASFLFWLSRTLHSIPSYFITITRLHQHHQRLHRLQLLSDPPQHPN >KJB26606 pep chromosome:Graimondii2_0_v6:4:58723209:58725783:1 gene:B456_004G249800 transcript:KJB26606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPALVSWLVLVLTLAMAVSTTQVEAARAFFVFGDSLVDNGNNNYLATTARADSPPYGIDTPSRRPTGRFSNGKNIPDFISEALGSEPLLPYLSPALNGDRLLVGANFASAGIGILNDTGIQFINIIRMFRQFEYFQEYQGRLANLIGTTEAQRIVSEALVLITVGGNDFVNNYFLVPFSARSRQFSLPDYVRYLISEYRKLLMRLYELGARKVLVTGTGPLGCVPAELAMRSPNGQCAAELQRAAGLFNPQLVQMINGLNSDLGANVFIAANTQQQTNDFISNPRAFGFTTSKIACCGQGPYNGLGLCTVASNLCPNRDLYAFWDPFHPSEKANRIIVNMILRGSTNYMSPMNLSTLLALDTIPGT >KJB26607 pep chromosome:Graimondii2_0_v6:4:58723237:58725718:1 gene:B456_004G249800 transcript:KJB26607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPALVSWLVLVLTLAMAVSTTQVEAARAFFVFGDSLVDNGNNNYLATTARADSPPYGIDTPSRRPTGEALGSEPLLPYLSPALNGDRLLVGANFASAGIGILNDTGIQFINIIRMFRQFEYFQEYQGRLANLIGTTEAQRIVSEALVLITVGGNDFVNNYFLVPFSARSRQFSLPDYVRYLISEYRKLLMRLYELGARKVLVTGTGPLGCVPAELAMRSPNGQCAAELQRAAGLFNPQLVQMINGLNSDLGANVFIAANTQQQTNDFISNPRAFGFTTSKIACCGQGPYNGLGLCTVASNLCPNRDLYAFWDPFHPSEKANRIIVNMILRGSTNYMSPMNLSTLLALDTIPGT >KJB26310 pep chromosome:Graimondii2_0_v6:4:57507777:57515890:1 gene:B456_004G236600 transcript:KJB26310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLSSTSSRVHCLTTPTPSLRIKQEPNSLFYALGRLTGLTQRSPKNSFFRACFCSDSSDGSGSGSGSQPAVEVDEKGAESQSGSDSKASSAIVSTYPKPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTESSTSSETEKSVYDIKGKELFNRLHEVGTLAQISSIQGDQVILIGHRRLRITEMVSEDPLTVKVDHLKEKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYSQHIGDFNFPRLADFGAAISGANKLQCQQVLEELDVHKRLQLTLELVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSAKFKERLEPNREKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVIRAQTILDEDHYGLTDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVDNIPNPLLDRMEVIAIAGYIADEKMHIARDYLEKTAQETCGVKPEQVEVTDAALLGLIENYCREAGVRNLQKHIEKIYRKIALRLVREGSSNESVISGEVVQPAEAKAEVDETDQHAAANETATESSVQTSDQPKDPKDATEAEKLKETETTKAVEKVLVDASNLADFVGKPVFHAERIYDQTPVGVVMGLAWTAMGGSTLYIETTEVEQGEGKGALHVTGQLGDVMKESAQIAHTLARTILLEKEPDNPFFANTKLHLHVPAGATPKDGPSAGCTMITSMLSLAMKMPVRKDLAMTGEVTLTGKILPIGGVKEKTIAARRSEVKNIIFPSANKRDYDELAPNVREGLDVHFVDDYSQIFNLAFDNNTGN >KJB26311 pep chromosome:Graimondii2_0_v6:4:57508037:57514287:1 gene:B456_004G236600 transcript:KJB26311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLSSTSSRVHCLTTPTPSLRIKQEPNSLFYALGRLTGLTQRSPKNSFFRACFCSDSSDGSGSGSGSQPAVEVDEKGAESQSGSDSKASSAIVSTYPKPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTESSTSSETEKSVYDIKGKELFNRLHEVGTLAQISSIQGDQVILIGHRRLRITEMVSEDPLTVKVDHLKEKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYSQHIGDFNFPRLADFGAAISGANKLQCQQVLEELDVHKRLQLTLELVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSAKFKERLEPNREKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVIRAQTILDEDHYGLTDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVDNIPNPLLDRMEVIAIAGYIADEKMHIARDYLEKTAQETCGVKPEQVEVTDAALLGLIENYCREAGVRNLQKHIEKIYRKVLLNCPSYDDETHFAMIT >KJB26312 pep chromosome:Graimondii2_0_v6:4:57507915:57515859:1 gene:B456_004G236600 transcript:KJB26312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLSSTSSRVHCLTTPTPSLRIKQEPNSLFYALGRLTGLTQRSPKNSFFRACFCSDSSDGSGSGSGSQPAVEVDEKGAESQSGSDSKASSAIVSTYPKPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTESSTSSETEKSVYDIKGKELFNRLHEVGTLAQISSIQGDQVILIGHRRLRITEMVSEDPLTVKVDHLKEKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYSQHIGDFNFPRLADFGAAISGANKLQCQQVLEELDVHKRLQLTLELVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSAKFKERLEPNREKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVIRAQTILDEDHYGLTDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVDNIPNPLLDRMEVIAIAGYIADEKMHIARDYLEKTAQETCGVKPEQVEVTDAALLGLIENYCREAGVRNLQKHIEKIYRKIALRLVREGSSNESVISGEVVQPAEAKAEVDETDQHAAANETATESSVQTSDQPKDPKDATEAEKLKETETTKAVEKVLVDASNLADFVGKPVFHAERIYDQTPVGVVMGLAWTAMGGSTLYIETTEVEQGEGKGALHVTGQLGDVMKESAQICWLHHDHFHAIPCHEDAC >KJB26313 pep chromosome:Graimondii2_0_v6:4:57507915:57515859:1 gene:B456_004G236600 transcript:KJB26313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLSSTSSRVHCLTTPTPSLRIKQEPNSLFYALGRLTGLTQRSPKNSFFRACFCSDSSDGSGSGSGSQPAVEVDEKGAESQSGSDSKASSAIVSTYPKPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTESSTSSETEKSVYDIKGKELFNRLHEVGTLAQISSIQGDQVILIGHRRLRITEMVSEDPLTVKVDHLKEKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYSQHIGDFNFPRLADFGAAISGANKLQCQQVLEELDVHKRLQLTLELVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSAKFKERLEPNREKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVIRAQTILDEDHYGLTDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVDNIPNPLLDRMEVIAIAGYIADEKMHIARDYLEKTAQETCGVKPEQVEVTDAALLGLIENYCREAGVRNLQKHIEKIYRKIALRLVREGSSNESVISGEVVQPAEAKAEVDETDQHAAANETATESSVQTSDQPKDPKVFLKSST >KJB26335 pep chromosome:Graimondii2_0_v6:4:57628128:57628900:1 gene:B456_004G237600 transcript:KJB26335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREGESHGGSCYYTVLGIRKDASFSDIRAAYRKLALKWHPDRYVTNPAVAGEAKLRFQQIQEAYSVLSNGSKRSMYDASLYDPLEDDDQVRKNIHVYLFTGLL >KJB26334 pep chromosome:Graimondii2_0_v6:4:57627848:57629556:1 gene:B456_004G237600 transcript:KJB26334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREGESHGGSCYYTVLGIRKDASFSDIRAAYRKLALKWHPDRYVTNPAVAGEAKLRFQQIQEAYSVLSNGSKRSMYDASLYDPLEDDDQDFCDFMQEMISMMNNVKDEVIP >KJB26336 pep chromosome:Graimondii2_0_v6:4:57627692:57629556:1 gene:B456_004G237600 transcript:KJB26336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREGESHGGSCYYTVLGIRKDASFSDIRAAYRKLALKWHPDRYVTNPAVAGEAKLRFQQIQEAYSVLSNGSKRSMYDASLYDPLEDDDQDFCDFMQEMISMMNNVKDEGVSLEDLQKMFADMVGSGDGMSFNVNTDLTETKKAHFTASKT >KJB26330 pep chromosome:Graimondii2_0_v6:4:57627848:57630075:1 gene:B456_004G237600 transcript:KJB26330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREGESHGGSCYYTVLGIRKDASFSDIRAAYRKLALKWHPDRYVTNPAVAGEAKLRFQQIQEAYSVLSNGSKRSMYDASLYDPLEDDDQDFCDFMQEMISMMNNVKDEGVSLEDLQKMFADMVGSGDGMSFNVNTDLTETKKAHFTASKT >KJB26333 pep chromosome:Graimondii2_0_v6:4:57627848:57629556:1 gene:B456_004G237600 transcript:KJB26333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREGESHGGSCYYTVLGIRKDASFSDIRAAYRKLALKWHPDRYVTNPAVAGEAKLRFQQIQEAYSVLSNGSKRSMYDASLYDPLEDDDQDFCDFMQEMISMMNNVKDEFGGSAKDVRRYGRQRRWYELQRQH >KJB26332 pep chromosome:Graimondii2_0_v6:4:57627848:57629556:1 gene:B456_004G237600 transcript:KJB26332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREGESHGGSCYYTVLGIRKDASFSDIRAAYRKLALKWHPDRYVTNPAVAGEAKLRFQQIQEAYSVLSNGSKRSMYDASLYDPLEDDDQQDFCDFMQEMISMMNNVKDEGVSLEDLQKMFADMVGSGDGMSFNVNTDLTETKKAHFTASKT >KJB26331 pep chromosome:Graimondii2_0_v6:4:57627800:57629624:1 gene:B456_004G237600 transcript:KJB26331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREGESHGGSCYYTVLGIRKDASFSDIRAAYRKLALKWHPDRYVTNPAVAGEAKLRFQQIQEAYSVLSNGSKRSMYDASLYDPLEDDDQDFCDFMQEMISMMNNVKDEGVSLEDLQKMFADMVGSGDGMSFNVNTDLTETKKAHFTASKT >KJB23348 pep chromosome:Graimondii2_0_v6:4:14115234:14116635:1 gene:B456_004G094200 transcript:KJB23348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDSAQDSLLDQEETLPTTTTAIHNPKKLSIIPLIFLIYFEVSGGAYGEEAAVGAAGPLWAILGFLIFPFIWSIPEALVTAELATAFPGNGGYVIWAHRAFGPFWGSLMGSWKFLSGVINLASYPVLCVDYIKLVFPLLSSGLPRYAAILFSTLFLSFLNYTGLVIVGYTAVCLGVISLIPFLLLALFSIPMIDPSRWISLGNQGVEKNWSLFFNTLFWNLNFWDNASTLAGEVEQPQKTFPKALFSAGLLTCLAYLVPLLAATGATPLNQASLGKWLKVFLEIGAVLSIIGLYEAQLSSCVYQLLGMAELGFLPQCFSVRSKCLGMLLEFASFLWLRRKLPTMKRPFKVPMKLPGLVVMCLIPSGFLVYIMSVATGTVFSVSCLVTLVTVVWYFVMRFCKSKRPTNVEDEGLEQVG >KJB21478 pep chromosome:Graimondii2_0_v6:4:49774799:49776232:-1 gene:B456_004G184000 transcript:KJB21478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDDPNQPGLVITVTESIRSFLLSASNDRRLSEELRELALTLSSAANAPYKQIRSIWMESVFDTRPGLISLFSGSNFVFTSPKPREKSEELKERLRKLKELAERKEYQELVKDITPKKDLNEPFSTYKDQIGFGLHVALTMFTGYLVGYFAFRALFNHSPIMNSAGGILGLVFGMLLETFLFIIRTSEPNLKSPSSTSRLKKNQ >KJB21481 pep chromosome:Graimondii2_0_v6:4:49774062:49776204:-1 gene:B456_004G184000 transcript:KJB21481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDDPNQPGLVITVTESIRSFLLSASNDRRLSEELRELALTLSSAANAPYKQIRSIWMESVFDTRPGLISLFSGSNFVFTSPKPREKSEELKERLRKLKELAERKEYQELVKDITPKKDLNEPFSTYKDQIGFGLHVALTMFTGYLVGYFAFRALFNHSPIMNSAGGILGLVFGMLLETFLFIIRTSEPNLKSPSSTSRLKKNQ >KJB21480 pep chromosome:Graimondii2_0_v6:4:49774062:49776189:-1 gene:B456_004G184000 transcript:KJB21480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDDPNQPGLVITVTESIRSFLLSASNDRRLSEELRELALTLSSAANAPYKQIRSIWMESVFDTRPGLISLFSGSNFVFTSPKPREKSEELKERLRKLKELAERKEYQELVKDITPKKDLNEPFSTYKDQIGFEFCWRYSWIGFWHAS >KJB21479 pep chromosome:Graimondii2_0_v6:4:49774070:49776232:-1 gene:B456_004G184000 transcript:KJB21479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDDPNQPGLVITVTESIRSFLLSASNDRRLSEELRELALTLSSAANAPYKQIRSIWMESVFDTRPGLISLFSGSNFVFTSPKPREKSEELKERLRKLKELAERKEYQELVKDITPKKDLNEPFSTYKDQIGFEFCWRYSWIGFWHAS >KJB21477 pep chromosome:Graimondii2_0_v6:4:49775250:49776118:-1 gene:B456_004G184000 transcript:KJB21477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDDPNQPGLVITVTESIRSFLLSASNDRRLSEELRELALTLSSAANAPYKQIRSIWMESVFDTRPGLISLFSGSNFVFTSPKPREKSEELKERLRKLKELAERKEYQELVKDITPKKDLNEPFSTYKDQIGFERCLTTVLS >KJB21387 pep chromosome:Graimondii2_0_v6:4:61208561:61209090:1 gene:B456_004G279800 transcript:KJB21387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYATVPSAGKHGSAVRRRLLVLQASLIAATTARHAPRTGVTRDERAGSMVKRCLCSPTKHPGSFRCRHHIAEYAWGARFVGKK >KJB22040 pep chromosome:Graimondii2_0_v6:4:2099853:2101929:-1 gene:B456_004G026800 transcript:KJB22040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKFYCKNSVAFMFLVVFFCCMSVLIISMLKVPDVDTGNGAMSIYDDTPLKSSGNDKSVGTFGNMMIDMLPEDLAFTVFVPSETAFERDLRLSANDSLVEEKINDTYVVISRVLGFSAIPRVLDTATVPISGEEVSYDSLSGFELFVSKYAGGVLVVNGVKSESVDIKRGKLVVHVMDGVIMDAEFEQSIQPDFDGDD >KJB21466 pep chromosome:Graimondii2_0_v6:4:48771564:48775061:1 gene:B456_004G179100 transcript:KJB21466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGIARSRLVEERKAWRKNHPHGFVAKPETRVDGSVDLMVWHCVIPGKKGTDWEGGYFPLTLNFSEEYPSKPPKCKFPNGFFHPNVYPSGIVCLSILSERRGWRPSITVKQILVGIQDLLDQPNATDAAQTEGHQLYISNPNEYRKRVQQQVLQYPQSL >KJB21465 pep chromosome:Graimondii2_0_v6:4:48770787:48775061:1 gene:B456_004G179100 transcript:KJB21465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWHCVIPGKKGTDWEGGYFPLTLNFSEEYPSKPPKCKFPNGFFHPNVYPSGIVCLSILSERRGWRPSITVKQILVGIQDLLDQPNATDAAQTEGHQLYISNPNEYRKRVQQQVLQYPQSL >KJB21464 pep chromosome:Graimondii2_0_v6:4:48771890:48775061:1 gene:B456_004G179100 transcript:KJB21464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGIARSRLVEERKAWRKNHPHGFVAKPETRVDGSVDLMVWHCVIPGKKGTDWEGGYFPLTLNFSEEYPSKPPKCKFPNGFFHPNVYPSGIVCLSILSERRGWRPSITVKQILVGIQDLLDQPNATDAAQTEGHQLYISNPNEYRKRVQQQVLQYPQSL >KJB21467 pep chromosome:Graimondii2_0_v6:4:48771564:48775061:1 gene:B456_004G179100 transcript:KJB21467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWHCVIPGKKGTDWEGGYFPLTLNFSEEYPSKPPKCKFPNGFFHPNVYPSGIVCLSILSERRGWRPSITVKQILVGIQDLLDQPNATDAAQTEGHQLYISNPNEYRKRVQQQVLQYPQSL >KJB21461 pep chromosome:Graimondii2_0_v6:4:48770869:48775061:1 gene:B456_004G179100 transcript:KJB21461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGIARSRLVEERKAWRKNHPHGFVAKPETRVDGSVDLMVWHCVIPGKKGTDWEGGYFPLTLNFSEEYPSKPPKCKFPNGFFHPNVYPSGIVCLSILSERRGWRPSITVKQILVGIQDLLDQPNATDAAQTEGHQLYISNPNEYRKRVQQQVLQYPQSL >KJB21463 pep chromosome:Graimondii2_0_v6:4:48770787:48775061:1 gene:B456_004G179100 transcript:KJB21463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGIARSRLVEERKAWRKNHPHGFVAKPETRVDGSVDLMVWHCVIPGKKGTDWEGGYFPLTLNFSEEYPSKPPKCKFPNGFFHPNVYPSGIVCLSILSERRGWRPSITVKQILVGIQDLLDQPNATDAAQTEGHQLYISNPNEYRKRVQQQVLQYPQSL >KJB21468 pep chromosome:Graimondii2_0_v6:4:48772666:48775076:1 gene:B456_004G179100 transcript:KJB21468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGIARSRLVEERKAWRKNHPHGFVAKPETRVDGSVDLMVWHCVIPGKKGTDWEGGYFPLTLNFSEEYPSKPPKCKFPNGFFHPNVYPSGIVCLSILSERRGWRPSITVKQILVGIQDLLDQPNATDAAQTEGHQLYISNPNEYRKRVQQQVLQYPQSL >KJB21462 pep chromosome:Graimondii2_0_v6:4:48772068:48775061:1 gene:B456_004G179100 transcript:KJB21462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWHCVIPGKKGTDWEGGYFPLTLNFSEEYPSKPPKCKFPNGFFHPNVYPSGIVCLSILSERRGWRPSITVKQILVGIQDLLDQPNATDAAQTEGHQLYISNPNEYRKRVQQQVLQYPQSL >KJB25556 pep chromosome:Graimondii2_0_v6:4:51797401:51798210:-1 gene:B456_004G197500 transcript:KJB25556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKIQHFLHCHLLWLLGVSQDDQLGCRGCCKRITGSIYVCFGCDFYLHRSCAKLPGEIRDLFHPCPLLLTILDYEYICNACFKTDSGLSNRCKRCEFDMHVEGTQRATIETEELIQHFTHWHPLKLLAPNNHLEVGCAIYNKLCFASAFDSFAYGCQDCNFFVHHSCMINIPRQIIHFFHPSCPLVLLTDVPCQYEGFDEASSGLAFHCGKCKFQLDVKCALLPTVESKMPIRFNIHPTSIQCKACGEHGLLAPCFACSKRSCAFFLH >KJB22583 pep chromosome:Graimondii2_0_v6:4:5301914:5307102:-1 gene:B456_004G055400 transcript:KJB22583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNVVALAPTIMMSLSSPRDIVFGPDDLPFGTVEWFVYTGLSCLLVIFAGIMSGLTLGLMSLGLVELEILQRSGTIVERKQVSAILPVVKKQHQLLVTLLLCNACAMEALPISLDKIFHPFVAVLLSVTFVLAFGEIIPQAICSRYGLSVGASFMWLVRILMIICYPIAYPVGKAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDINSKLNWEAIGKILAHGHSRIPIYAGNPKNIIGLLLVKSLLTIRAEMETPVSSVSIRRILRVPAQMPLYDILNEFQKGSSHMAAVVKVKGKTKDLQFVDDGERFDNHKVTNRNSQLTDPLLTKQDSVLVNVEKSSAVKETMNTLQCFSEDTEDGEVIGIITLEDVFEELLQEEIVDETDVYVDVHKRICVAAAAAAVASSVARAPSDRRLIGQKPTGVQSKQGK >KJB22584 pep chromosome:Graimondii2_0_v6:4:5302304:5306894:-1 gene:B456_004G055400 transcript:KJB22584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNVVALAPTIMMSLSSPRDIVFGPDDLPFGTVEWFVYTGLSCLLVIFAGIMSGLTLGLMSLGLVELEILQRSGTIVERKQVSAILPVVKKQHQLLVTLLLCNACAMEALPISLDKIFHPFVAVLLSVTFVLAFGEIIPQAICSRYGLSVGASFMWLVRILMIICYPIAYPVGKVLDVVIGHGDVLFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDINSKLNWEAIGKILAHGHSRIPIYAGNPKNIIGLLLVKSLLTIRAEMETPVSSVSIRRILRVPAQMPLYDILNEFQKGSSHMAAVVKVKGKTKDLQFVDDGERFDNHKVTNRNSQLTDPLLTKQDSVLVNVEKSSAVKETMNTLQCFSEDTEDGEVIGIITLEDVFEELLQEEIVDETDVYVDVHKRICVAAAAAAVASSVARAPSDRRLIGQKPTGVQSKQGK >KJB22582 pep chromosome:Graimondii2_0_v6:4:5301914:5306894:-1 gene:B456_004G055400 transcript:KJB22582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNVVALAPTIMMSLSSPRDIVFGPDDLPFGTVEWFVYTGLSCLLVIFAGIMSGLTLGLMSLGLVELEILQRSGTIVERKQVSAILPVVKKQHQLLVTLLLCNACAMEALPISLDKIFHPFVAVLLSVTFVLAFGEIIPQAICSRYGLSVGASFMWLVRILMIICYPIAYPVGKAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDINSKLNWEAIGKILAHGHSRIPIYAGNPKNIIGLLLVKSLLTIRAEMETPVSSVSIRRILRVPAQMPLYDILNEFQKGSSHMAAVVKVKGKTKDLQFVDDGERFDNHKVTNRNSQLTDPLLTKQDSVLVNVEKSSAVKETMNTLQCFSEDTEDGEVIGIITLEDVFEELLQEEIVDETDVYVDVHKRICVAAAAAAVASSVARAPSDRRLIGQKPTLSISFQGVQSKQGK >KJB23452 pep chromosome:Graimondii2_0_v6:4:16920243:16923367:-1 gene:B456_004G098900 transcript:KJB23452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKLKCAINPLATSQNSSKLSFTVHFVDDYFRTRVVKENCQMERALEKQHMESIRKTMQMHENIFKHQVRELHRLYSVQKMLMDELKKEIKQNRFWATPMTSSDVNQQHLRAQTTCVNNSHVQAELSTRERSGSSGDTMKIVRGFDLERPAGEDISADASAVVEDQAGPSSLMHSRISHMSIQGSDDSEVELTLSIGGSSSSKKMAENSKPHTQELGSSNSHAIHMGNKELASSASFKSEKGEDCSGPNTPMSASSATFDQERKRPHWLFQAIFLQVDKNVNALIAHLFHKC >KJB23453 pep chromosome:Graimondii2_0_v6:4:16920352:16923367:-1 gene:B456_004G098900 transcript:KJB23453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKLKCAINPLATSQNSSKLSFTVHFVDDYFRTRVVKENCQMERALEKQHMESIRKTMQMHENIFKHQVRELHRLYSVQKMLMDELKKEIKQNRFWATPMTSSDVNQQHLRAQTTCVNNSHVQAELSTRERSGSSGDTMKIVRGFDLERPAGEDISADASAVVEDQAGPSSLMHSRISHMSIQGSDDSEVELTLSIGGSSSSKKMAENSKPHTQELGSSNSHAIHMGNKELASSASFKSEKGEDCSGPNTPMSASSATFDQERKRPHWLFQGLSINRT >KJB25389 pep chromosome:Graimondii2_0_v6:4:50423396:50424191:1 gene:B456_004G188900 transcript:KJB25389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGENSVQIKKSVPDLAPLEAVLFDVDGTLCDSDPLHYQVFREMLPQVLVDFFTFLFNSQINNLL >KJB26322 pep chromosome:Graimondii2_0_v6:4:57553156:57555686:1 gene:B456_004G237000 transcript:KJB26322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSEGLTQIVIPLASLVGIGFALLQWFLVSRVKLSSQDSSNGYKQKLIESDEEEEGINNLEISIKCTEIQHAISVGATSFLFTEYKYLGIFMCVFGAIIFLFLGSVKGFSTKSEPCTYSQGNTCKPALANAIFSTIAFLLGALTSVLSGYLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYVSINLFKLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGISHEFTAMSYPLLISSMGIVVCLITTLFATDLFEIKKVSDIEPSLKRQLLISTVLMTAGIAVVSFFALPSEFTLFNFGTEKEVKNWHLFFCVAIGLWAGLIIGYTTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIAIAIYVSFSLAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHKIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGIKTVDVLTPKAFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGRVKPDYANCVKISTDASLREMIPPGALVMLTPLIVGTLFGVETLAGVLAGALVSGVQVRNISITFTSLCSYKQTP >KJB26320 pep chromosome:Graimondii2_0_v6:4:57552905:57556313:1 gene:B456_004G237000 transcript:KJB26320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSEGLTQIVIPLASLVGIGFALLQWFLVSRVKLSSQDSSNGYKQKLIESDEEEEGINNLEISIKCTEIQHAISVGATSFLFTEYKYLGIFMCVFGAIIFLFLGSVKGFSTKSEPCTYSQGNTCKPALANAIFSTIAFLLGALTSVLSGYLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYVSINLFKLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGISHEFTAMSYPLLISSMGIVVCLITTLFATDLFEIKKVSDIEPSLKRQLLISTVLMTAGIAVVSFFALPSEFTLFNFGTEKEVKNWHLFFCVAIGLWAGLIIGYTTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIAIAIYVSFSLAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHKIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGIKTVDVLTPKAFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGRVKPDYANCVKISTDASLREMIPPGALVMLTPLIVGTLFGVETLAGVLAGALVSGVQVAISASNTGGAWDNAKKYIEAGVSEHAKSLGPKGSECHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGFLFKFI >KJB26321 pep chromosome:Graimondii2_0_v6:4:57553047:57556260:1 gene:B456_004G237000 transcript:KJB26321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSEGLTQIVIPLASLVGIGFALLQWFLVSRVKLSSQDSSNGYKQKLIESDEEEEGINNLEISIKCTEIQHAISVGATSFLFTEYKYLGIFMCVFGAIIFLFLGSVKGFSTKSEPCTYSQGNTCKPALANAIFSTIAFLLGALTSVLSGYLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYVSINLFKLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGISHEFTAMSYPLLISSMGIVVCLITTLFATDLFEIKKVSDIEPSLKRQLLISTVLMTAGIAVVSFFALPSEFTLFNFGTEKEVKNWHLFFCVAIGLWAGLIIGYTTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIAIAIYVSFSLAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHKIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGIKTVDVLTPKAFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGRVKPDYANCVKISTDASLREMIPPGALVMLTPLIVGTLFGVETLAGVLAGALVSGVQVAISASNTGGAWDNAKKYIEVSLQIVL >KJB25415 pep chromosome:Graimondii2_0_v6:4:50579949:50587560:-1 gene:B456_004G190200 transcript:KJB25415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVELPGRLAILPFRNKVLLPGAFIRIRCTSHSSVKLVEQELWQREEKGLIGILPVRDAAEMTSMDSVLSQGVGSESGERSSKVQISTSDAHKVDGKSHPEVIHWHKRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNVEELSTRGPYCTAKISSLEMTKAEMEQVEQDPDFVTLSRQFKATAMELISVLEQKQKTGGRIKVLLETLPLHKLADIFVASFEMSLEEQLSMLDSVDPKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDLAALERKMQSAGMPSNIWKHAQRELRRLKKMPPQQPGYNSSRVYLELLADLPWQKASEEQELDLKAAKDRLDCDHYGLSKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVAVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKSFNDHYLNVPYDLSKVIFVATANRVQPIPPPLLDRMEVIELPGYTAEEKLRIAMQHLIPRVLDQHGLNSEYLQIPEAMVKLVIQRYTREAGVRNLERNLAALARAAAVRVAEQEQAVSVSKDVHKLASPLLDNRLAEGAEMEMEVLPMGVNNNEISTAFKISSRLVVDEAMLEKILGPPRFDDREAADRVATPGVSVGLVWTNFGGEVQFVEATAMIGNGELHLTGQLGDVIKESAKIALTWVRARAADLKLAPAEEKNVLQGRDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKCVRADTAMTGEMTLRGLVLPVGGIKDKILAAHRHGIKRVILPERNLKDLVEVPASVLSNLEILLAKRMEDVLEQAFDGGSPWRQHSKL >KJB25416 pep chromosome:Graimondii2_0_v6:4:50580933:50587560:-1 gene:B456_004G190200 transcript:KJB25416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVELPGRLAILPFRNKVLLPGAFIRIRCTSHSSVKLVEQELWQREEKGLIGILPVRDAAEMTSMDSVLSQGVGSESGERSSKVQISTSDAHKVDGKSHPEVIHWHKRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNVEELSTRGPYCTAKISSLEMTKAEMEQVEQDPDFVTLSRQFKATAMELISVLEQKQKTGGRIKVLLETLPLHKLADIFVASFEMSLEEQLSMLDSVDPKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDLAALERKMQSAGMPSNIWKHAQRELRRLKKMPPQQPGYNSSRVYLELLADLPWQKASEEQELDLKAAKDRLDCDHYGLSKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVAVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKSFNDHYLNVPYDLSKVIFVATANRVQPIPPPLLDRMEVIELPGYTAEEKLRIAMQHLIPRVLDQHGLNSEYLQIPEAMVKLVIQRYTREAGVRNLERNLAALARAAAVRVAEQEQAVSVSKDVHKLASPLLDNRLAEGAEMEMEVLPMGVNNNEISTAFKISSRLVVDEAMLEKILGPPRFDDREAADRVATPGVSVGLVWTNFGGEVQFVEATAMIGNGELHLTGQLGDVIKESAKIALTWVRARAADLKLAPAEEKNVLQGRDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKCVRADTAMTGEMTLRGLVLPVGGIKDKVCFIS >KJB24316 pep chromosome:Graimondii2_0_v6:4:39044973:39046381:1 gene:B456_004G139100 transcript:KJB24316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HPSNGPKHKTDIERSRLIHVSIQKSITEKILEASLCFSNINRKLRNTTLLACFSFFIAAAEPDTLPDNHKNIKIYMRGGTASLLPSIYKFKQICPLAGSWGTVATSTPRKSDNNGSKETGSVSNDQTEPIVAFSKPPPQPPILGPLVAFSLLETWSRRDADDN >KJB24317 pep chromosome:Graimondii2_0_v6:4:39045001:39046350:1 gene:B456_004G139100 transcript:KJB24317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMAVTFVCLNSAFPIGIQLQLFKRNNHKNIKIYMRGGTASLLPSIYKFKQICPLAGSWGTVATSTPRKSDNNGSKETGSVSNDQTEPIVAFSKPPPQPPILGPLVAFSLLETWSRRDADDN >KJB25087 pep chromosome:Graimondii2_0_v6:4:48018308:48021198:1 gene:B456_004G176300 transcript:KJB25087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWAFVQKSWEKWASSNIGSSGNLSHSFHYTLFYLLICDWGLHCKLQAVTANLACSKIAGQPLKAALLINYDPLRPSRLLSTIAEQEGIKISPIELSQFVNFIKRNKLQKETFMIGNNQYMVTSIHENWFCARSLNTSKSAGEGAIVMQASVFLLVALYDGSIGLASRAMASVDQLVWQLNRKNL >KJB25084 pep chromosome:Graimondii2_0_v6:4:48018251:48021296:1 gene:B456_004G176300 transcript:KJB25084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWAFVQKSWEKWASSNIGSSGQPLKAALLINYDPLRPSRLLSTIAEQEGIKISPIELSQFVNFIKRNKLQKETFMIGNNQYMVTSIHENWFCARSLNTSKSAGEGAIVMQASVFLLVALYDGSIGLASRAMASVDQLVWQLNRKNL >KJB25082 pep chromosome:Graimondii2_0_v6:4:48018251:48021198:1 gene:B456_004G176300 transcript:KJB25082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWAFVQKSWEKWASSNIGSSGQPLKAALLINYDPLRPSRLLSTIAEQEGIKISPIELSQFVNFIKRNKLQKETFMIGNNQYMVTSIHENWFCARSLNTSKSAGEGAIVMQASVFLLVALYDGSIGLASRAMASVDQLVWQLNRKNL >KJB25085 pep chromosome:Graimondii2_0_v6:4:48018308:48021198:1 gene:B456_004G176300 transcript:KJB25085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWAFVQKSWEKWASSNIGSSGQPLKAALLINYDPLRPSRLLSTIAEQEGIKISPIELSQFVNFIKRNKLQKETFMIGNNQYMVTSIHENWFCARSLNTSKSAGEGAIVMQASVFLLVALYDGSIGLASRAMASVDQLVWQLNRKNL >KJB25086 pep chromosome:Graimondii2_0_v6:4:48018251:48021198:1 gene:B456_004G176300 transcript:KJB25086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWAFVQKSWEKWASSNIGSSGQPLKAALLINYDPLRPSRLLSTIAEQEGIKISPIELSQFVNFIKRNKLQKETFMIGNNQYMVTSIHENWFCARSLNTSKSAGEGAIVMQASVFLLVALYDGSIGLASRAMASVDQLVWQLNRKNL >KJB25083 pep chromosome:Graimondii2_0_v6:4:48018251:48021198:1 gene:B456_004G176300 transcript:KJB25083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWAFVQKSWEKWASSNIGSSGQPLKAALLINYDPLRPSRLLSTIAEQEGIKISPIELSQFVNFIKRNKLQKETFMIGNNQSLNTSKSAGEGAIVMQASVFLLVALYDGSIGLASRAMASVDQLVWQLNRKNL >KJB21923 pep chromosome:Graimondii2_0_v6:4:1630552:1631661:1 gene:B456_004G021700 transcript:KJB21923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPHEVTSDILCRLSVKDLLRFRSVSKPWCRTIDDPYFIKLHLSHSLKTITNHSLILSHWEDHFFSVDYDLFETTQRLNHPFGERRKTLQILGSCNGLLALVDDKDGIFLWNPSTRKSQVLPFNEIGFSSPSSSYYGFGYDPISDEYKLVRMVQSHGNNDEYFHSEAKVYSLRSNCWRRIKDVCFYHKFSREFGFLANNALHWMVFKTPQSRNQELVGFDLGSEEFRFLELPDGCLDKILRFHIKAMGGDICLTSTYRETNNFVVDVWIMKEYGVKQSWFKLISWKEPYFMPCSIVALPVAFSKDGDEVLFFIGYKWFNWGRRIDSFVWYDLGSQVVEDAVIRGIPTSFDVNLYVDSLVPLNSNAQQ >KJB21846 pep chromosome:Graimondii2_0_v6:4:1234114:1240159:1 gene:B456_004G017500 transcript:KJB21846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKVDQISAVGPYITNMSATTTSSTASTTGPKVSRFAAKTGFVIPKNKLSGSLVPIFRGGKKPGGNATANEDNMDQIQRKTKWGPDPTQDAAVRRGRALAYQTRVDQITQQLKSGNLDAGDTEDSPFVSQNMVKSTSDTQLESEKLELLEIERREAIGEILKLNPSYKAPADYKPLLKDATVPIPVKEYPGCNFVGLIFGPGSDTKKRLEKETGAIVQVYGIKANTGEKVEISTPDGNETQDAYEELYVHLSADTFEKVDGAVALIELLVSSISGNLGTGSVPAISCNDVNVLSQIPDTAVSSVTDAALNQQVPQLTLASPQAGYNSIVQNSSLVSSGPQLPRQVQPQPYMPPMHPLSHTGPQNFLIPNPNPPSSQPGNFSSLPFTGNQPHALGPLPGPRPSMPLFPQTASSVSSGLLKEQPAVPAGSSSGWSANSASPGLSNVGQLAPPAILSQVPHPVVPRPVVALSSSAPPNMSASFATGQSGPRLTSAPVNHPSLPFPPGPPLVPAPPPMQSSLPTISMAQPPNPSLNPAMLSRPIISQVPSTSLPPSLPRGSSGSIPGNMANFAPINRPSAIAARSQQSSSGDFTFQPHQTQGPAYPMVPRPVSQAANQHSLAPRSAVHLQPPQAPPFQFGVPNSTPQSGMQVFPRPQSGNQMGLPQTHMSSSQLAAQQNAMSARPPAFPGTGPNPVPQMGLRNFVPPPQAPNIAGSFPSRPGHSLQHQQHYPPLPPRLGNFAPPNPRFSSGGFPQSTRSTLGHSAGQQVYDPFSPTSVPGASQHQGGGKANARKQDSDPEYEDLMASVGVK >KJB21847 pep chromosome:Graimondii2_0_v6:4:1234247:1240151:1 gene:B456_004G017500 transcript:KJB21847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKVDQISAVGPYITNMSATTTSSTASTTGPKVSRFAAKTGFVIPKNKLSGSLVPIFRGGKKPGGNATANEDNMDQIQRKTKWGPDPTQDAAVRRGRALAYQTRVDQITQQLKSGNLDAGDTEDSPFVSQNMVKSTSDTQLESEKLELLEIERREAIGEILKLNPSYKAPADYKPLLKDATVPIPVKEYPGCNFVGLIFGPGSDTKKRLEKETGAIVQVYGIKANTGEKVEISTPDGNETQDAYEELYVHLSADTFEKVDGAVALIELLVSSISGNLGTGSVPAISCNDVNVLSQIPDTAVSSVTDAALNQQVPQLTLASPQGQFQYQNSWFPTSSMPLNFSAPVINSSVPAQSSPSNPSSLFGPRPPPAAGYNSIVQNSSLVSSGPQLPRQVQPQPYMPPMHPLSHTGPQNFLIPNPNPPSSQPGNFSSLPFTGNQPHALGPLPGPRPSMPLFPQTASSVSSGLLKEQPAVPAGSSSGWSANSASPGLSNVGQLAPPAILSQVPHPVVPRPVVALSSSAPPNMSASFATGQSGPRLTSAPVNHPSLPFPPGPPLVPAPPPMQSSLPTISMAQPPNPSLNPAMLSRPIISQVPSTSLPPSLPRGSSGSIPGNMANFAPINRPSAIAARSQQSSSGDFTFQPHQTQGPAYPMVPRPVSQAANQHSLAPRSAVHLQPPQAPPFQFGVPNSTPQSGMQVFPRPQSGNQMGLPQTHMSSSQLAAQQNAMSARPPAFPGTGPNPVPQMGLRNFVPPPQAPNIAGSFPSRPGHSLQHQQHYPPLPPRLGNFAPPNPRFSSGGFPQSTRSTLGHSAGQQVYDPFSPTSVPGASQHQGGGKANARKQDSDPEYEDLMASVGVK >KJB26866 pep chromosome:Graimondii2_0_v6:4:59918769:59926581:-1 gene:B456_004G264000 transcript:KJB26866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A(1) LCAT3 [Source:Projected from Arabidopsis thaliana (AT3G03310) UniProtKB/Swiss-Prot;Acc:Q93V61] MLRDRFCPCFGSQSSGDTGPDLDPVLLVSGIGGSILHSKKKLFGIEFETRVWVRILFSDMEFKKKLWSLYNPKTGYTESLDEDVEILVPDDDYGLYAIDVLDPSLVIKLLHFSEVYHFHDMIDMLVGCGYKKGTSLFGYGYDFRQSNRIDKLMDGLKVKLETAYKASGGRKVTIISHSMGGLLVMCFMSLHNEVFSKYVNKWITIACPFQGAPGCINDALLTGLQFIEGFEAYFFVSRWTMHQLLVECPSVYEMLPNPYFSWKMQPQINVWRGHTEDGETSVKLESYSPIESISLFKEALRHNELDYGGNTIALPFNFSILNWAAGTRKLIDNAKLPSGVRFYNIYGTSFDTPFDVCYGTETSPIADLSEICHTMPQYTYVDGDGTVPAESAMADGFEAVERVGVAATHRGLLCDQTVFKLIQKWLGIEQKIKKQLKTSKVVNASSN >KJB26868 pep chromosome:Graimondii2_0_v6:4:59920431:59926566:-1 gene:B456_004G264000 transcript:KJB26868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A(1) LCAT3 [Source:Projected from Arabidopsis thaliana (AT3G03310) UniProtKB/Swiss-Prot;Acc:Q93V61] MLRDRFCPCFGSQSSGDTGPDLDPVLLVSGIGGSILHSKKKLFGIEFETRVWVRILFSDMEFKKKLWSLYNPKTGYTESLDEDVEILVPDDDYGLYAIDVLDPSLVIKLLHFSEVYHFHDMIDMLVGCGYKKGTSLFGYGYDFRQSNRIDKLMDGLKVKLETAYKASGGRKVTIISHSMGGLLVMCFMSLHNEVFSKYVNKWITIACPFQGAPGCINDALLTGLQFIEGFEAYFFVSRWTMHQLLVECPSVYEMLPNPYFSWKMQPQINVWRGHTEDGETSVKLESYSPIESISLFKEALRHNELDYGGNTIALPFNFSILNWAAGTRKLIDNAKLPSGVRFYNIYGTSFDTPFDVWYVIESLYQLGSICFTENDF >KJB26867 pep chromosome:Graimondii2_0_v6:4:59918833:59926566:-1 gene:B456_004G264000 transcript:KJB26867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A(1) LCAT3 [Source:Projected from Arabidopsis thaliana (AT3G03310) UniProtKB/Swiss-Prot;Acc:Q93V61] MLRDRFCPCFGSQSSGDTGPDLDPVLLVSGIGGSILHSKKKLFGIEFETRVWVRILFSDMEFKKKLWSLYNPKTGYTESLDEDVEILVPDDDYGLYAIDVIKLLHFSEVYHFHDMIDMLVGCGYKKGTSLFGYGYDFRQSNRIDKLMDGLKVKLETAYKASGGRKVTIISHSMGGLLVMCFMSLHNEVFSKYVNKWITIACPFQGAPGCINDALLTGLQFIEGFEAYFFVSRWTMHQLLVECPSVYEMLPNPYFSWKMQPQINVWRGHTEDGETSVKLESYSPIESISLFKEALRHNELDYGGNTIALPFNFSILNWAAGTRKLIDNAKLPSGVRFYNIYGTSFDTPFDVCYGTETSPIADLSEICHTMPQYTYVDGDGTVPAESAMADGFEAVERVGVAATHRGLLCDQTVFKLIQKWLGIEQKIKKQLKTSKVVNASSN >KJB25696 pep chromosome:Graimondii2_0_v6:4:53708453:53710726:1 gene:B456_004G206600 transcript:KJB25696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPGLGMMSSGGGSGGIGGLSSGEVSVSGEQNRQLKAEIAVHPLYEQLLAAHVSCLRVATPIDQLPLIDAQLAQSHNLLRSYASQHHQHGHSLSPHERQELDNFLAQYLIVLCTFKEQLQQHVRVHAIEAVMACREIENNLQALTGLSPLPKLS >KJB25695 pep chromosome:Graimondii2_0_v6:4:53708412:53713947:1 gene:B456_004G206600 transcript:KJB25695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPGLGMMSSGGGSGGIGGLSSGEVSVSGEQNRQLKAEIAVHPLYEQLLAAHVSCLRVATPIDQLPLIDAQLAQSHNLLRSYASQHHQHGHSLSPHERQELDNFLAQYLIVLCTFKEQLQQHVRVHAIEAVMACREIENNLQALTGVTLGEGTGATMSDDEDDMQMDFSLDQSGTDGHDLMGFGPLVPTESERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTTVLKNWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSQSVTSLKSKRKR >KJB25697 pep chromosome:Graimondii2_0_v6:4:53708453:53713947:1 gene:B456_004G206600 transcript:KJB25697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPGLGMMSSGGGSGGIGGLSSGEVSVSGEQNRQLKAEIAVHPLYEQLLAAHVSCLRVATPIDQLPLIDAQLAQSHNLLRSYASQHHQHGHSLSPHERQELDNFLAQYLIVLCTFKEQLQQHVRVHAIEAVMACREIENNLQALTGVTLGEGTGATMSDDEDDMQMDFSLDQSGTDGHDLMGFGPLVPTESERSLMERVRQELKIELKQVISCYFMQAK >KJB27072 pep chromosome:Graimondii2_0_v6:4:60958606:60960571:-1 gene:B456_004G276100 transcript:KJB27072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKNQLREVQQQAQEWETLARAWVGAFPEAKAVTESQVEVLIDSNFGSLPADLQSMPRSELIERLLSIQNYLRFPSQTQHDLPPARFQRTDQWIPVYSWLESLDTDEVVQSKDILDWLNDNPQVKDQLCSRHSRYHLMHYIKKCHLKILKRREKKVGSQPLNKESVLKVRKDVVAKNQHQCLGSYNPLNNIPKDSDLYIAKQNEALRKYEM >KJB26703 pep chromosome:Graimondii2_0_v6:4:59215406:59217585:1 gene:B456_004G255400 transcript:KJB26703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSMAIATDCGSSRWSMASLKSALPRLTSTASSIKLPCRRRAPPPVKSPQLVRSFTALSPVNPLHSLGFSGLTSFEQNFTIIDNGGRFYAMRHGRRVPKLNRPPDQRRALLRGLTTQLLKYGRIKTTRARASAVRKYVDKMITLAKDGSLHKRRQALGFIYEKQIVHALFAEVPERYGERNGGYTRIIRTLPRRGDNAPMAYIELV >KJB27033 pep chromosome:Graimondii2_0_v6:4:60770026:60778497:-1 gene:B456_004G273200 transcript:KJB27033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYAMYGPLAATVMYSWIYEDSYKHPWCVHILIICALRGFMHQLWNSYNNMLFLGNCRIKQQGVEFKQIDNEWDWDNFILLQGLLATMACLMFPSMDDEFPIWNTKGFITLMLLHVMVSEPLYYWMHRFFHGRYLFTHYHSLHHSSSVPHPFTAGHATFLEHLILSMVIGIPIMGSILMGSGSTSMIYGYVLGFDFMRCMGHSNVEVIHGAIFNKLPFLRYLIYTPTYHSLHHSDMGTNFCLFMPLFDAMWNTLNRNSWQLHNKITSNSGENGRVPDFVFLAHIVDVMSAMHIPFVNRSFASIPFSTRMFLLPIWPVTLMILLMMWAWSKTFLHTFYNLRGRLYQTWVVPRFGFQYFLPFAADGINKKIEEAILKADRMGVKVISLAALNKNEALNGGGTLFVNKHPQLKVRVCHGNTLTAAVIVNEIPKDVKEVFLTGSTSKLGRAIALYLCRRRIRVLMLTLSTERFQKIQKEAPADTQNYLVHVTKYQAAQNCKTWIAGKWITPWEQSWAPSGTHFHQFVVPPILASRRDCTYGDLAAMRLPEDVEGLGSCEYKLERGVVHACHAGGAVHQLEGWTHHEIGPIDVDRIDLVWEAALKHGFRPVFQP >KJB27032 pep chromosome:Graimondii2_0_v6:4:60769993:60778624:-1 gene:B456_004G273200 transcript:KJB27032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPLSAWPWENLGSFKYAMYGPLAATVMYSWIYEDSYKHPWCVHILIICALRGFMHQLWNSYNNMLFLGNCRIKQQGVEFKQIDNEWDWDNFILLQGLLATMACLMFPSMDDEFPIWNTKGFITLMLLHVMVSEPLYYWMHRFFHGRYLFTHYHSLHHSSSVPHPFTAGHATFLEHLILSMVIGIPIMGSILMGSGSTSMIYGYVLGFDFMRCMGHSNVEVIHGAIFNKLPFLRYLIYTPTYHSLHHSDMGTNFCLFMPLFDAMWNTLNRNSWQLHNKITSNSGENGRVPDFVFLAHIVDVMSAMHIPFVNRSFASIPFSTRMFLLPIWPVTLMILLMMWAWSKTFLHTFYNLRGRLYQTWVVPRFGFQYFLPFAADGINKKIEEAILKADRMGVKVISLAALNKNEALNGGGTLFVNKHPQLKVRVCHGNTLTAAVIVNEIPKDVKEVFLTGSTSKLGRAIALYLCRRRIRVLMLTLSTERFQKIQKEAPADTQNYLVHVTKYQAAQNCKTWIAGKWITPWEQSWAPSGTHFHQFVVPPILASRRDCTYGDLAAMRLPEDVEGLGSCEYKLERGVVHACHAGGAVHQLEGWTHHEIGPIDVDRIDLVWEAALKHGFRPVFQP >KJB25432 pep chromosome:Graimondii2_0_v6:4:50809712:50811006:-1 gene:B456_004G191100 transcript:KJB25432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKVSEEAMETITERLSALDNLYFPRALQSSASDPSNRKSILHDLLSRDVPVFLERYGSQLTSDELHEFDALNDDYEVNWHLKHLRSKMSPTSEELKLRSVTVKNRRRAYLNKLVCDGHYFSEDAMREREPYLHHEYLGRFQDLSGRSMARPGERWSETLMRRCEEARLVAKIREEQQRLGVAQKDWMLLDHLGDDIVAASATVEQHEEAPSAEEMQERMDQFTYIMQQKFLSGEDYEYLDYSKIDDDETLDDHWLREANHDAEEKYFAED >KJB25433 pep chromosome:Graimondii2_0_v6:4:50809321:50811057:-1 gene:B456_004G191100 transcript:KJB25433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKVSEEAMETITERLSALDNLYFPRALQSSASDPSNRKSILHDLLSRDVPVFLERYGSQLTSDELHEFDALNDDYEVNWHLKHLRSKMSPTSEELKLRSVTVKNRRRAYLNKLVCDGHYFSEDAMREREPYLHHEYLGRFQDLSGRSMARPGERWSETLMRRCEEARCFWTILGMTLLLLLQLWSNTKKHLLQKKCKKGWISSPTSCSRSFSLVKIMSI >KJB25429 pep chromosome:Graimondii2_0_v6:4:50809712:50811006:-1 gene:B456_004G191100 transcript:KJB25429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKVSEEAMETITERLSALDNLYFPRALQSSASDPSNRKSILHDLLSRDVPVFLERYGSQLTSDELHEFDALNDDYEVNWHLKHLRSKMSPTSEELKLRSVTVKNRRRAYLNKLVCDGHYFSEDAMREREPYLHHEYLGRFQDLSGRSMARPGERWSETLMRRCEEARLVAKIREEQQRLGVAQKDWVGNETHPQQQEEEEEEEEEEEEEEEDEDEMKMENGGNHGKNMLLDHLGDDIVAASATVEQHEEAPSAEEMQERMDQFTYIMQQKFLSGEDYEYLDYSKIDDDETLDDHWLREANHDAEEKYFAED >KJB25430 pep chromosome:Graimondii2_0_v6:4:50809321:50811057:-1 gene:B456_004G191100 transcript:KJB25430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKVSEEAMETITERLSALDNLYFPRALQSSASDPSNRKSILHDLLSRDVPVFLERYGSQLTSDELHEFDALNDDYEVNWHLKHLRSKMSPTSEELKLRSVTVKNRRRAYLNKLVCDGHYFSEDAMREREPYLHHEYLGRFQDLSGRSMARPGERWSETLMRRMK >KJB25431 pep chromosome:Graimondii2_0_v6:4:50808175:50811057:-1 gene:B456_004G191100 transcript:KJB25431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKVSEEAMETITERLSALDNLYFPRALQSSASDPSNRKSILHDLLSRDVPVFLERYGSQLTSDELHEFDALNDDYEVNWHLKHLRSKMSPTSEELKLRSVTVKNRRRAYLNKLVCDGHYFSEDAMREREPYLHHEYLGRFQDLSGRSMARPGERWSETLMRRCEEARLVAKIREEQQRLGVAQKDWVGNETHPQQQEEEEEEEEEEEEEEEDEDEMKMENGGNHGKNMLLDHLGDDIVAASATVEQHEEAPSAEEMQERMDQFTYIMQQKFLSGEDYEYLDYSKIDDDETLDDHWLREANHDAEEKYFAED >KJB23669 pep chromosome:Graimondii2_0_v6:4:58280329:58284527:-1 gene:B456_004G245800 transcript:KJB23669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTEETQLNRLENQVDNGGGGAWEYLCLVRKLKVRRSEKVLKYGLSILNDPKKRSALGPEEWTLYEQVAIAAMDCQCLNVAKDCIKALLKKFPESKRVGRLEGMLLEAKGSWAEAEKAYSSLLEDNPLDQVIHKRKVAMAKAQGNISGAIESLNKYLEIFMADHDAWRELAEIYVSLQMYKQAAFCYEELILSQPTAPLYHLAYADVLYTLGGLENLQTSKKYYASTIDLTGGKNTRALLGVCLCTSAIGQVSKGKNKEDKESPELQSLAAKALEKEYRQKADDKLGLLTSALRSLKI >KJB23672 pep chromosome:Graimondii2_0_v6:4:58280377:58284445:-1 gene:B456_004G245800 transcript:KJB23672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCQCLNVAKDCIKALLKKFPESKRVGRLEGMLLEAKGSWAEAEKAYSSLLEDNPLDQVIHKRKVAMAKAQGNISGAIESLNKYLEIFMADHDAWRELAEIYVSLQMYKQAAFCYEELILSQPTAPLYHLAYADVLYTLGGLENLQTSKKYYASTIDLTGGKNTRALLGVCLCTSAIGQVSKGKNKEDKESPELQSLAAKALEKEYRQKADDKLGLLTSALRSLKI >KJB23674 pep chromosome:Graimondii2_0_v6:4:58282243:58284445:-1 gene:B456_004G245800 transcript:KJB23674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTEETQLNRLENQVDNGGGGAWEYLCLVRKLKVRRSEKVLKYGLSILNDPKKRSALGPEEWTLYEQVAIAAMDCQCLNVAKDCIKALLKKFPESKRVGRLEGMLLEAKGSWAEAEKAYSSLLEDNPLDQVNSVTTFRPSLPLLLSAFLEKESVVMDKKEI >KJB23670 pep chromosome:Graimondii2_0_v6:4:58280377:58284445:-1 gene:B456_004G245800 transcript:KJB23670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTEETQLNRLENQVDNGGGGAWEYLCLVRKLKVRRSEKVLKYGLSILNDPKKRSALGPEEWTLYEQVAIAAMDCQCLNVAKDCIKALLKKFPESKRVGKLEGMLLEAKGSWAEAEKAYSSLLEDNPLDQVIHKRKVAMAKAQGNISGAIESLNKYLEIFMADHDAWRELAEIYVSLQMYKQAAFCYEELILSQPTAPLYHLAYADVLYTLGGLENLQTSKKYYASTIDLTGGKNTRALLGVCLCTSAIGQVSKGKNKEDKESPELQSLAAKALEKEYRQKADDKLGLLTSALRSLKI >KJB23673 pep chromosome:Graimondii2_0_v6:4:58281222:58284445:-1 gene:B456_004G245800 transcript:KJB23673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTEETQLNRLENQVDNGGGGAWEYLCLVRKLKVRRSEKVLKYGLSILNDPKKRSALGPEEWTLYEQVAIAAMDCQCLNVAKDCIKALLKKFPESKRVGRLEGMLLEAKGSWAEAEKAYSSLLEDNPLDQVIHKRKVAMAKAQGNISGAIESLNKYLEIFMADHDAWRELAEIYVSLQMYKQAAFCYEELILSQPTAPLYHLAYADVSFFFIVVKNFFYSDLGGG >KJB23671 pep chromosome:Graimondii2_0_v6:4:58280377:58284445:-1 gene:B456_004G245800 transcript:KJB23671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRKDLLLALKVKWTLYEQVAIAAMDCQCLNVAKDCIKALLKKFPESKRVGRLEGMLLEAKGSWAEAEKAYSSLLEDNPLDQVIHKRKVAMAKAQGNISGAIESLNKYLEIFMADHDAWRELAEIYVSLQMYKQAAFCYEELILSQPTAPLYHLAYADVLYTLGGLENLQTSKKYYASTIDLTGGKNTRALLGVCLCTSAIGQVSKGKNKEDKESPELQSLAAKALEKEYRQKADDKLGLLTSALRSLKI >KJB23100 pep chromosome:Graimondii2_0_v6:4:9729465:9730634:-1 gene:B456_004G080900 transcript:KJB23100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YTLWKEGSCFFPLNSQYRISNIRYNVSSGERYPVPDKSAPVYITVEDGGNQESLAGRFLDPQPEYSAFREASYGHLTRSKIGHMHSTIRTAMMMGKKWQLTRLYYTISTVKQSEMKKTKEALFE >KJB22609 pep chromosome:Graimondii2_0_v6:4:5524572:5528828:1 gene:B456_004G057500 transcript:KJB22609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGCECFYRKKMDLLPLSDPEPFSLPAPLPQWPHGQGFASGKLNLGELEVVKISRFKFIWSSNLEDKKKGVTFYKPVGIPDGFYILGHYCQSNDQPLRGFVLVAREAPSKSEAADFSTRVTSPALREPLDYTLAWKSNDGSEGSLEGCGFFWLPQPPEGYKSIGYLVTSSKKPKLDKVRCVRADLTDRCEKYRVMHSEFSFRVWSTRPYHRGMLGRGVSVGTFSCISDLIPGLELPLSCLKNLDPSLHGMPNCDQIHALINHYGPTFFFHPDEIYLPSSVSWFFENGALLFRKGDSVGEPIDVGGSNLPSGGCNDGEFWIDLPSGDQRKTIKLGNLARAKLYLHVKPALGGTFTDIALWIFCPFNGPATLKVGIMNIALNKIGQHVCDWEHVTLRICNFTGELWSIYFSQHSGGVWVNAYELEYTQGNKAIIYSSRNGHASFSHPGTYIQGSAKLGIGIRNDCASSNFYVDSSTHYELVAAEYLGDGIVAEPGWLQFMRKWGPTIVYDSRTELDKIVHVLPVMLQSSVKNIFYKLPVELYGEEGPTGPKEKNNWVGDERG >KJB27340 pep chromosome:Graimondii2_0_v6:4:62025291:62028102:1 gene:B456_004G291800 transcript:KJB27340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLTVMTFNLHEDESEDSPNSWEKRRDLCISVITSYSPIILCTQQGVKSQLDYLQQGLPGYDQFGISRKGPQDTSDECCTIFYDKEKVELIEGGTFWLSESPSVPGSTSWGSVVPCIATWATFQLKGVEPPGFSFQVVNTNMDEFSPRARRRSALLTWQHIASLPPSLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDVWPNARVRKNVSLIRTYHGFKGDKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRSLIPVLCQVVNDNMDGYYPSSHYPMFAEFLLPRTVRLIEPPTKNRSVATVNYPWLDK >KJB27341 pep chromosome:Graimondii2_0_v6:4:62025634:62027737:1 gene:B456_004G291800 transcript:KJB27341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLTVMTFNLHEDESEDSPNSWEKRRDLCISVITSYSPIILCTQQGVKSQLDYLQQGLPGYDQFGISRKGPQDTSDECCTIFYDKEKVELIEGGTFWLSESPSVPGSTSWGSVVPCIATWATFQLKGVEPPGFSFQVVNTNMDEFSPRARRRSALLTWQHIASLPPSLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDVWPNARVRKNVSLIRTYHGFKGDKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRSLIPVLCQVVNDNMDGYYPSSHYPMFAEFLLPRTVRLIEPPTSTQDEN >KJB23786 pep chromosome:Graimondii2_0_v6:4:27473157:27478421:1 gene:B456_004G115100 transcript:KJB23786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDAGRGLECQKIMDGKGNNGNSSQKAIPSCCLKARASAPELEAKCHSTVVSGWFSESQSSSDKAGKMVYFNNPMWPGEAHSLKVESILYKASSDYQEVLVFESSSYGKVLVLDGIVQLTEKDECAYQEMITHLPLCSIPSPKTVLVVGGGDGGVLAEISRHSSVEHIDICEIDRMVIEVSKKFFPELAAGFEDPRVCLHVGDAVEFLRNVPEGKYDVIIVDSSDPVGPAQELVEKPFFDTLARALRPGGVLCNMAESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPAVDFLNPINPIEKLEGAHQHKRELRYYNSQMHKAAFSLPSFLKREVRLLCD >KJB23791 pep chromosome:Graimondii2_0_v6:4:27474063:27478421:1 gene:B456_004G115100 transcript:KJB23791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDAGRGLECQKIMDGKGNNGNSSQKAIPSCCLKARASAPELEAKCHSTVVSGWFSESQSSSDKAGKMVYFNNPMWPGEAHSLKVESILYKASSDYQEVLVFESSSYGKVLVLDGIVQLTEKDECAYQEMITHLPLCSIPSPKTVLVVGGGDGGVLAEISRHSSVEHIDICEIDRMVIEVSKKFFPELAAGFEDPRVCLHVGDAVEFLRNVPEGKYDVIIVDSSDPVGPAQELVEKPFFDTLARALRPGGVLCNMAESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPAVDFLNPINPIEKLEGAHQHKRELRYYNSQMHKAAFSLPSFLKREVRLLCD >KJB23790 pep chromosome:Graimondii2_0_v6:4:27473580:27478421:1 gene:B456_004G115100 transcript:KJB23790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDAGRGLECQKIMDGKGNNGNSSQKAIPSCCLKARASAPELEAKCHSTVVSGWFSESQSSSDKAGKMVYFNNPMWPGEAHSLKVESILYKASSDYQEVLVFESSSYGKVLVLDGIVQLTEKDECAYQEMITHLPLCSIPSPKTVLVVGGGDGGVLAEISRHSSVEHIDICEIDRMVIEVSKKFFPELAAGFEDPRVCLHVGDAVEFLRNVPEGKYDVIIVDSSDPVGPAQELVEKPFFDTLARALRPGGVLCNMAESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPAVDFLNPINPIEKLEGAHQHKRELRYYNSQMHKAAFSLPSFLKREVRLLCD >KJB23789 pep chromosome:Graimondii2_0_v6:4:27473436:27478386:1 gene:B456_004G115100 transcript:KJB23789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDAGRGLECQKIMDGKGNNGNSSQKAIPSCCLKARASAPELEAKCHSTVVSGWFSESQSSSDKAGKMVYFNNPMWPGEAHSLKVESILYKASSDYQEVLVFESSSYGKVLVLDGIVQLTEKDECAYQEMITHLPLCSIPSPKTVLVVGGGDGGVLAEISRHSSVEHIDICEIDRMVIEVSKKFFPELAAGFEDPRVCLHVGDAVEFLRNVPEGKYDVIIVDSSDPVGPAQELVEKPFFDTLARALRPGGVLCNMAESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPAVDFLNPINPIEKLEGAHQHKRELRYYNSQMHKAAFSLPSFLKRELILLVA >KJB23788 pep chromosome:Graimondii2_0_v6:4:27473436:27478386:1 gene:B456_004G115100 transcript:KJB23788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDAGRGLECQKIMDGKGNNGNSSQKAIPSCCLKARASAPELEAKCHSTVVSGWFSESQSSSDKAGKMVYFNNPMWPGEAHSLKVESILYKASSDYQEVLVFEVLVVGGGDGGVLAEISRHSSVEHIDICEIDRMVIEVSKKFFPELAAGFEDPRVCLHVGDAVEFLRNVPEGKYDVIIVDSSDPVGPAQELVEKPFFDTLARALRPGGVLCNMAESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPAVDFLNPINPIEKLEGAHQHKRELRYYNSQMHKAAFSLPSFLKREVRLLCD >KJB23787 pep chromosome:Graimondii2_0_v6:4:27473436:27478386:1 gene:B456_004G115100 transcript:KJB23787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDAGRGLECQKIMDGKGNNGNSSQKAIPSCCLKARASAPELEAKCHSTVVSGWFSESQSSSDKAGKMVYFNNPMWPGEAHSLKVESILYKASSDYQEVLVFESSSYGKVLVLDGIVQLTEKDECAYQEMITHLPLCSIPSPKTVLVVGGGDGGVLAEISRHSSVEHIDICEIDRMVIEVSKKFFPELAAGFEDPRVCLHVGDAVEFLRNVPEGKYDVIIVDSSDPVLLKSL >KJB21935 pep chromosome:Graimondii2_0_v6:4:1725187:1732851:1 gene:B456_004G022800 transcript:KJB21935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRAFRGTNDKIVKMAVHPTHPWLVTADASDYVSVWNWEHRQIIYELKAGGVDRRRLVGAKLEKLAEGDASKGKHAEAIRGGSVKQVSFFDDDVRYWQYWRNHSAAAEAPSATNNFDCAFRPSSIRGQHYLVVCCENKAIFLDLVTMRGRDVPKFELDNKSLLCMEFLPWLATGDSPLVAFGGSDGVIRTLSMMTWKLAARCMGGHKGSISCMMTFLTSSGEALLISGGSDGLLIIWNALETHHGHGVRDLVAKLSMKAHDGGVVAIELNRVIGGSPQLITIGADKTLAIWDTNKFKELRRMKPVPKLACHSVASWRHPRAPNLDILTCVKDSYIWAIEHPTFSALTRPLCDLYSLIPPLLVSTHKKLRVYCMVAHPLQPHLVATGTNVGVIVSEFDPGSLPPVTPLLMSAESRNLSAVCILAKELKLLNFQLSNTTDPSLGNDNSLSETGNLKGDSLEPLHVAQTRKRINAPVPHDSYSVLSVSSSGKYVAIVWPDIPYFSIYKVSNWSIVDSGSARLLAWDTCCDRFAILESTLPPRMPIIPKGSSSRKAKEAAAAAAQAAAAAAANSASANVQVRILLDDGTSNILMRSIGSRNEPVAGLYGGALFGIGYRTSRRATFGSAITISTIQSMPVSGFGSYDDGFSSQRSTAEAATQNFQFFRARLNL >KJB21444 pep chromosome:Graimondii2_0_v6:4:40549946:40550794:1 gene:B456_004G143500 transcript:KJB21444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGHALVGHPAVAALMMMPPSVPLYKHPSWPPDIFHNEAWLRRKRNIKKRRSKSVTDEDLDELKACTELGFAPEVDPLLSHTLPALGRYYAVNKSYNDAISKPSTSSPLVVSDCDSIPSPIGSPHAVVDPYQSLIIQFNLHHL >KJB23723 pep chromosome:Graimondii2_0_v6:4:24868254:24871446:-1 gene:B456_004G111600 transcript:KJB23723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLSSDCSVFCGVSCSSPIHRRDLVFIINPGGANGRTGKEWKKLLPYLQSCLGSDCNISESLTSGPSHAIDITSEAIREGERVCSAWDGEVIAILMQRHVMVDGKGAHGFMRTHKWRDSIGAFINVEASRTGGPGSWPSSVYAQLAIYPMAHSAAQMGVGEYDQRENIRYGDSQPSSGFLGTQHVAQPSMTRTFKPTC >KJB23724 pep chromosome:Graimondii2_0_v6:4:24867821:24871726:-1 gene:B456_004G111600 transcript:KJB23724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLSSDCSVFCGVSCSSPIHRRDLVFIINPGGANGRTGKEWKKLLPYLQSCLGSDCNISESLTSGPSHAIDITSEAIREGERVCSAWDGEVIAILMQRHVMVDGKGAHGFMRTHKWRDSIGAFINVEASRTGGPGSWPSSVYAQLAIYPMAHSAAQMGVGEYDQRENIRYGDSQPSSGFLGTQHVAQPSMTRTFKPTC >KJB23722 pep chromosome:Graimondii2_0_v6:4:24867808:24872059:-1 gene:B456_004G111600 transcript:KJB23722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLSSDCSVFCGVSCSSPIHRRDLVFIINPGGANGRTGKEWKKLLPYLQSCLGSDCNISESLTSGPSHAIDITSEGAHGFMRTHKWRDSIGAFINVEASRTGGPGSWPSSVYAQLAIYPMAHSAAQGRELTIAEKTNYLDFMINAFQMGVGEYDQRENIRYGDSQPSSGFLGTQHVAQPSMTRTFKPTC >KJB21711 pep chromosome:Graimondii2_0_v6:4:703662:707991:1 gene:B456_004G009800 transcript:KJB21711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCVVTFGSQSSIGLLSFWRDYSLKERLINKASSLRYFNGVAYKKQTNLLSLLNFKCCVNSHNVGPNHSKESFLDLHPKKLKLSGEENDAMFYSTTINESLRETCNPSYNYGEAKIKVVGVGGGGSNAVNRMVEHSLKGVEFLIVNTDAQALKMSPMLPNKCLQIGQELTRGLGAGGKPKIGMNAANESREAIEEAVHGADMVFVTAGMGGGTGTGGAPVIAGIAKSKGILTVGIVTTPFSFEGWKRAVQAQEGIAALRENVDTLIIIPNDKLLAAVSQATLMTEAFNLADDILRQGVCGISDIITIPGLVNVDFADVHAIMKDAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTSFEVNAAAEVIYDLVDPKANLIFGAVIDPSLCGQLSITLIATGFKRQEDGKGK >KJB21710 pep chromosome:Graimondii2_0_v6:4:702591:708003:1 gene:B456_004G009800 transcript:KJB21710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCVVTFGSQSSIGLLSFWRDYSLKERLINKASSLRYFNGVAYKKQTNLLSLLNFKCCVNSHNVGPNHSKESFLDLHPKKLKLSGEENDAMFYSTTINESLRETCNPSYNYGEAKIKVVGVGGGGSNAVNRMVEHSLKGVEFLIVNTDAQALKMSPMLPNKCLQIGQELTRGLGAGGKPKIGMNAANESREAIEEAVHGADMVFVTAGMGGGTGTGGAPVIAGIAKSKGILTVGIVTTPFSFEGWKRAVQAQEGIAALRENVDTLIIIPNDKLLAAVSQATLMTEAFNLADDILRQGVCGISDIITIPGLVNVDFADVHAIMKDAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTSFEVNAAAEVIYDLVDPKANLIFGAVIDPSLCGQLSITLIATGFKRQEDGKGKENEGDVSNNFNKHFPFTNDGSLEIPKFLKKKGR >KJB21708 pep chromosome:Graimondii2_0_v6:4:703662:707985:1 gene:B456_004G009800 transcript:KJB21708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCVVTFGSQSSIGLLSFWRDYSLKERLINKASSLRYFNGVAYKKQTNLLSLLNFKCCVNSHNVGPNHSKESFLDLHPKKLKLSGEENDAMFYSTTINESLRETCNPSYNYGEAKIKVVGVGGGGSNAVNRMVEHSLKGVEFLIVNTDAQALKMSPMLPNKCLQIGQELTRGLGAGGKPKIGMNAANESREAIEEAVHGADMVFVTAGMGGGTGTGGAPVIAGIAKSKGILTVGIVTTPFSFEGWKRAVQAQEGIAALRENVDTLIIIPNDKLLAAVSQATLMTEAFNLADDILRQGVCGISDIITIPGLVNVDFADVHAIMKDAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTSFEVNAAAEVIYDLVDPKANLIFGAVIDPSLCGQDGGGYGAEGEHGGGFGCAKRAHGYTC >KJB21712 pep chromosome:Graimondii2_0_v6:4:703662:712127:1 gene:B456_004G009800 transcript:KJB21712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCVVTFGSQSSIGLLSFWRDYSLKERLINKASSLRYFNGVAYKKQTNLLSLLNFKCCVNSHNVGPNHSKESFLDLHPKKLKLSGEENDAMFYSTTINESLRETCNPSYNYGEAKIKVVGVGGGGSNAVNRMVEHSLKGVEFLIVNTDAQALKMSPMLPNKCLQIGQELTRGLGAGGKPKIGMNAANESREAIEEAVHGADMVFVTAGMGGGTGTGGAPVIAGIAKSKGILTVGIVTTPFSFEGWKRAVQAQEGIAALRENVDTLIIIPNDKLLAAVSQATLMTEAFNLADDILRQGVCGISDIITIPGLVNVDFADVHAIMKDAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTSFEVNAAAEVIYDLVDPKANLIFGAVIDPSLCGQLSITLIATGFKRQEDGKGKVQQQRWQLCGLPPLVSFKLQSRTDSQVPLSRPRLLLDLLRTSPNLLA >KJB21709 pep chromosome:Graimondii2_0_v6:4:703747:706321:1 gene:B456_004G009800 transcript:KJB21709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCVVTFGSQSSIGLLSFWRDYSLKERLINKASSLRYFNGVAYKKQTNLLSLLNFKCCVNSHNVGPNHSKESFLDLHPKKLKLSGEENDAMFYSTTINESLRETCNPSYNYGEAKIKVVGVGGGGSNAVNRMVEHSLKGVEFLIVNTDAQALKMSPMLPNKCLQIGQELTRGLGAGGKPKIGMNAANESREAIEEAVHGADMVFVTAGMGGGTGTGGAPVIAGIAKSKGILTVGIVTTPFSFEGWKRAVQAQEGIAALRENVDTLIIIPNDKLLAAVSQATLMTEAFNLADDILRQGVCGISDIITIPGLVNVDFADVHAIMKDAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTSFEVNAAAEVIYDLVDPKANLIFGAVIDPSLCGQVSFNLLE >KJB25412 pep chromosome:Graimondii2_0_v6:4:50563685:50575579:1 gene:B456_004G190100 transcript:KJB25412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSPQKLKLLCLISLLVHYGQMFLWRITQKCWFLFFGPEFRGQISLYRGGEIVFGLSAYPMSEFELVAEELLMSDSIIKVFGAFRVSVKMLLMWNSKIQIDGGGNTVVTASILEVRNLVVLRENSVISSNANLGVYGQGLLQLTGHGDAIKGQRLSLSLFYNITVGPGSLLQAPLDDDASRIVVTNSLCESQTCPIDVITPPDDCHVNYTLSFSLQVCRVEDLLVNGIIKGSIVHIHRARTVTIDANGLITASELGCSKGIGKGNYLNGAGSGAGHGGRGGAGYFNGRVSSGGYQYGNADLPCELGSGTEGPSQSFGHVVGGGMIVIGSNQWPLLRLSIYGSLRADGQSFGEATINGNGSLVGGLGGGSGGTVLLFLQELMLAENSSLSTVGGNGGPRGGGGGGGGRVHFHWSNIGIGDEYVPVATISGFINSSGGAGHKGGLFGDEGTVTGKKCPKGLYGTFCRECPIGTYKDIDGSDEDLCTPCPLELLPNRANFIYVRGGVRQTSCPYKCISEKYRMPNCYTPLEELMYTFGGPWPFALLLSGILVLLAVLLSTLRIKLVESSSYVANIEHQSSHHFPYLLSLSEVRGTRAEETQSHVYRMYFMGPNTFREPWHLPYSPPDSIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKQWRRRKKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMVAYIDFFLGGDEKRVDMVSIIQKRFPMCIIFGGDGSYMSPYNLHSDTLLTNLLGQHIPPTVWNRLIAGLNAQLRTVRHGSIRSALVPVLDWIASHGNPQLEFHGVKIELGWFQATASGYYQLGIVVVVGDLTFHNLHQPDLSDRSNDGYPRNDAASAGKNPKLLQQSWPYPSHALSRKKITGGINGGLINDATLRSLEFKRDFLFPLSLLLHNTRPVGRQDSLQLLITTLLLADLSVTLLTLLQFYWISLGIFLAVLLILPLSLLSPFPAGLNALFSKEPRRASLARIYSLWNATSLSNIAVAFICGIIHYGFSSFLPPDKGNTWNTRSEDNKWWLLPTILLIFKSIQARFVDWHIANLEVQDFSLFCPDPDAFWAHEPTA >KJB25410 pep chromosome:Graimondii2_0_v6:4:50561911:50575718:1 gene:B456_004G190100 transcript:KJB25410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATLSNGLLRWMVMHPLLMHRYLCWCVLFGHLCTSVLCLSLNRSENLFLKPRLILTDESVIATSIHVKKSASLPLNDSLSCEDLEGVGSFNTTCLLNSNLYLSSDLYICGTGNLEILPHVSIKCPTEGCMVTFNMSGNVNVGEYVAIVAGSVVICAANLTVGYNSTINTTSLAGPPPPQTSGTPVGTEGAGGGHGGRGASCLKNNKTSFWGGDVYAWSTLSEPWSYGSKGGSKNDSASDGHWYGGKGGGRVKFIVKDMIYLNGSVTAEGGNGGIKGGGGSGGSIYIRAVKLKGYGTISAAGGMGWGGGGGGRISLDCYSIQEDVKVSVHGGYSLGCPGNSGAAGTYFNADLLSLRVGNDNVTTETETPLLDFSTSPLWSNVFVENNAKVLVPLLWTRVQVRGQISLYRGGEIVFGLSAYPMSEFELVAEELLMSDSIIKVFGAFRVSVKMLLMWNSKIQIDGGGNTVVTASILEVRNLVVLRENSVISSNANLGVYGQGLLQLTGHGDAIKGQRLSLSLFYNITVGPGSLLQAPLDDDASRIVVTNSLCESQTCPIDVITPPDDCHVNYTLSFSLQVCRVEDLLVNGIIKGSIVHIHRARTVTIDANGLITASELGCSKGIGKGNYLNGAGSGAGHGGRGGAGYFNGRVSSGGYQYGNADLPCELGSGTEGPSQSFGHVVGGGMIVIGSNQWPLLRLSIYGSLRADGQSFGEATINGNGSLVGGLGGGSGGTVLLFLQELMLAENSSLSTVGGNGGPRGGGGGGGGRVHFHWSNIGIGDEYVPVATISGFINSSGGAGHKGGLFGDEGTVTGKKCPKGLYGTFCRECPIGTYKDIDGSDEDLCTPCPLELLPNRANFIYVRGGVRQTSCPYKCISEKYRMPNCYTPLEELMYTFGGPWPFALLLSGILVLLAVLLSTLRIKLVESSSYVANIEHQSSHHFPYLLSLSEVRGTRAEETQSHVYRMYFMGPNTFREPWHLPYSPPDSIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKQWRRRKKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMVAYIDFFLGGDEKRVDMVSIIQKRFPMCIIFGGDGSYMSPYNLHSDTLLTNLLGQHIPPTVWNRLIAGLNAQLRTVRHGSIRSALVPVLDWIASHGNPQLEFHGVKIELGWFQATASGYYQLGIVVVVGDLTFHNLHQPDLSDRSNDGYPRNDAASAGKNPKLLQQSWPYPSHALSRKKITGGINGGLINDATLRSLEFKRDFLFPLSLLLHNTRPVGRQDSLQLLITTLLLADLSVTLLTLLQFYWISLGIFLAVLLILPLSLLSPFPAGLNALFSKEPRRASLARIYSLWNATSLSNIAVAFICGIIHYGFSSFLPPDKGNTWNTRSEDNKWWLLPTILLIFKSIQARFVDWHIANLEVQDFSLFCPDPDAFWAHEPTA >KJB25411 pep chromosome:Graimondii2_0_v6:4:50565065:50573843:1 gene:B456_004G190100 transcript:KJB25411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSPQKLKLLCLISLLVHYGQMFLWRITQKCWFLFFGPEFRGQISLYRGGEIVFGLSAYPMSEFELVAEELLMSDSIIKVFGAFRVSVKMLLMWNSKIQIDGGGNTVVTASILEVRNLVVLRENSVISSNANLGVYGQGLLQLTGHGDAIKGQRLSLSLFYNITVGPGSLLQAPLDDDASRIVVTNSLCESQTCPIDVITPPDDCHVNYTLSFSLQVCRVEDLLVNGIIKGSIVHIHRARTVTIDANGLITASELGCSKGIGKGNYLNGAGSGAGHGGRGGAGYFNGRVSSGGYQYGNADLPCELGSGTEGPSQSFGHVVGGGMIVIGSNQWPLLRLSIYGSLRADGQSFGEATINGNGSLVGGLGGGSGGTVLLFLQELMLAENSSLSTVGGNGGPRGGGGGGGGRVHFHWSNIGIGDEYVPVATISGFINSSGGAGHKGGLFGDEGTVTGKKCPKGLYGTFCRECPIGTYKDIDGSDEDLCTPCPLELLPNRANFIYVRGGVRQTSCPYKCISEKYRMPNCYTPLEELMYTFGGPWPFALLLSGILVLLAVLLSTLRIKLVESSSYVANIEHQSSHHFPYLLSLSEVRGTRAEETQSHVYRMYFMGPNTFREPWHLPYSPPDSIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKQWRRRKKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMVAYIDFFLGGDEKRVDMVSIIQKRFPMCIIFGGDGSYMSPYNLHSDTLLTNLLGQHIPPTVWNRLIAGLNAQLRTVRHGSIRSALVPVLDWIASHGNPQLEFHGVKIELGWFQATASGYYQLGIVVVVGDLTFHNLHQPDLSDRSNDGYPRNDAASAGKNPKLLQQSWPYPSHALSRKKITGGINGGLINDATLRSLEFKRDFLFPLSLLLHNTRPVGRQVAI >KJB25413 pep chromosome:Graimondii2_0_v6:4:50565253:50575579:1 gene:B456_004G190100 transcript:KJB25413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLFLNMLLTVKVRGQISLYRGGEIVFGLSAYPMSEFELVAEELLMSDSIIKVFGAFRVSVKMLLMWNSKIQIDGGGNTVVTASILEVRNLVVLRENSVISSNANLGVYGQGLLQLTGHGDAIKGQRLSLSLFYNITVGPGSLLQAPLDDDASRIVVTNSLCESQTCPIDVITPPDDCHVNYTLSFSLQVCRVEDLLVNGIIKGSIVHIHRARTVTIDANGLITASELGCSKGIGKGNYLNGAGSGAGHGGRGGAGYFNGRVSSGGYQYGNADLPCELGSGTEGPSQSFGHVVGGGMIVIGSNQWPLLRLSIYGSLRADGQSFGEATINGNGSLVGGLGGGSGGTVLLFLQELMLAENSSLSTVGGNGGPRGGGGGGGGRVHFHWSNIGIGDEYVPVATISGFINSSGGAGHKGGLFGDEGTVTGKKCPKGLYGTFCRECPIGTYKDIDGSDEDLCTPCPLELLPNRANFIYVRGGVRQTSCPYKCISEKYRMPNCYTPLEELMYTFGGPWPFALLLSGILVLLAVLLSTLRIKLVESSSYVANIEHQSSHHFPYLLSLSEVRGTRAEETQSHVYRMYFMGPNTFREPWHLPYSPPDSIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKQWRRRKKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMVAYIDFFLGGDEKRVDMVSIIQKRFPMCIIFGGDGSYMSPYNLHSDTLLTNLLGQHIPPTVWNRLIAGLNAQLRTVRHGSIRSALVPVLDWIASHGNPQLEFHGVKIELGWFQATASGYYQLGIVVVVGDLTFHNLHQPDLSDRSNDGYPRNDAASAGKNPKLLQQSWPYPSHALSRKKITGGINGGLINDATLRSLEFKRDFLFPLSLLLHNTRPVGRQDSLQLLITTLLLADLSVTLLTLLQFYWISLGIFLAVLLILPLSLLSPFPAGLNALFSKEPRRASLARIYSLWNATSLSNIAVAFICGIIHYGFSSFLPPDKGNTWNTRSEDNKWWLLPTILLIFKSIQARFVDWHIANLEVQDFSLFCPDPDAFWAHEPTA >KJB22678 pep chromosome:Graimondii2_0_v6:4:5827755:5830240:-1 gene:B456_004G060200 transcript:KJB22678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 4A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25480) UniProtKB/Swiss-Prot;Acc:Q56XR7] MPARFPVSPIISTLIIFSTLVFFPRQSLMESFSIILSSSPSFQSHPKTLKSVSSNSMSRQPKSPTIKLPSHNPLSILQNSPILQTVAKTHVSFTLFNLFTSFPCLAVADTVISSPEPGKVNLEAVLVSVDEFFNKNPFFVAGCTFIWLVVVPLTKQYLTKCKFIPAIDAFRKLRDDPSAQLLDIRENKTLASLASPNLSFLNKDTVQLQFTAENEDGFVKEVLEKFPNPANTVICVLDNFDGNSLKAAELLYKKGFKEAYAIRDGVMGKKGWLAIQETLLPPSVHITSKKKKKIKISRGFGVNGAVDQVEDKKEGSSSTTAPILESQTMDHELTESMPHAKYPDLKPPSSPTPSKP >KJB22676 pep chromosome:Graimondii2_0_v6:4:5825967:5830309:-1 gene:B456_004G060200 transcript:KJB22676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 4A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25480) UniProtKB/Swiss-Prot;Acc:Q56XR7] MPARFPVSPIISTLIIFSTLVFFPRQSLMESFSIILSSSPSFQSHPKTLKSVSSNSMSRQPKSPTIKLPSHNPLSILQNSPILQTVAKTHVSFTLFNLFTSFPCLAVADTVISSPEPGKVNLEAVLVSVDEFFNKNPFFVAGCTFIWLVVVPLTKQYLTKCKFIPAIDAFRKLRDDPSAQLLDIRENKTLASLASPNLSFLNKDTVQLQFTAENEDGFVKEVLEKFPNPANTVICVLDNFDGNSLKAAELLYKKGFKEAYAIRDGVMGKKGWLAIQETLLPPSVHITSKKKKKIKISRGFGVNGAVDQVEDKKEGSSSTTAPILESQTMDHELTESMPHAKVGSHSSSPYPNYPDLKPPSSPTPSKP >KJB22677 pep chromosome:Graimondii2_0_v6:4:5828329:5830235:-1 gene:B456_004G060200 transcript:KJB22677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 4A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25480) UniProtKB/Swiss-Prot;Acc:Q56XR7] MPARFPVSPIISTLIIFSTLVFFPRQSLMESFSIILSSSPSFQSHPKTLKSVSSNSMSRQPKSPTIKLPSHNPLSILQNSPILQTVAKTHVSFTLFNLFTSFPCLAVADTVISSPEPGKVNLEAVLVSVDEFFNKNPFFVAGCTFIWLVVVPLTKQYLTKCKFIPAIDAFRKLRDDPSAQLLDIRENKTLASLASPNLSFLNKDTVQLQFTAENEDGFVKEVLEKFPNPANTVICVLDNFDGNSLKAAELLYKKGFKEAYAIRDGVMGKKGWLAIQETLLPPSVHITSKKKKKIKISRGFGVNGAVDQVEDKKEGSSSTTAPILESQTMDHELTESMPHAKVGSHSSSPYPNV >KJB22675 pep chromosome:Graimondii2_0_v6:4:5827695:5830240:-1 gene:B456_004G060200 transcript:KJB22675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 4A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25480) UniProtKB/Swiss-Prot;Acc:Q56XR7] MPARFPVSPIISTLIIFSTLVFFPRQSLMESFSIILSSSPSFQSHPKTLKSVSSNSMSRQPKSPTIKLPSHNPLSILQNSPILQTVAKTHVSFTLFNLFTSFPCLAVADTVISSPEPGKVNLEAVLVSVDEFFNKNPFFVAGCTFIWLVVVPLTKQYLTKCKFIPAIDAFRKLRDDPSAQLLDIRENKTLASLASPNLSFLNKDTVQLQFTAENEDGFVKEVLEKFPNPANTVICVLDNFDGNSLKAAELLYKKGFKEAYAIRDGVMGKKGWLAIQETLLPPSVHITSKKKKKIKISRGFGVNGAVDQVEDKKEGSSSTTAPILESQTMDHELTESMPHAKVGSHSSSPYPNYPDLKPPSSPTPSKP >KJB23324 pep chromosome:Graimondii2_0_v6:4:13435625:13437698:1 gene:B456_004G093000 transcript:KJB23324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 59 [Source:Projected from Arabidopsis thaliana (AT5G19890) UniProtKB/Swiss-Prot;Acc:Q39034] MMKSPNNFKGYYYSLFMISLMLCLGARSQLTTDFYSQTCPSLLSTVRKQVQSAIKTEMRMAASLLRLHFHDCFVNGCDASVLLDGDNSTEKFALANVNSARGFEVIDAIKSAVESSCSGVVSCADILAIAARDSVVLSGGPTWRVLLGRRDGLVSNGTLANAALPSPFEALDAIIQKFVDVGLNITDVVSLSGGHTIGLAKCATFDNRLFNFSGTGAPDSTMEANMLADLQSFCPVNGDSNRFTALDRNSTDLFDNHYFKNLLNGKGLLGSDQILYSSDLAVSTTKSLVESYSSNSKLFFNDFVNSMIKMGNISPLTGTNGEIRKNCRAVNS >KJB25209 pep chromosome:Graimondii2_0_v6:4:49284291:49288557:1 gene:B456_004G181400 transcript:KJB25209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLDSLRNEHPELADWYNSLADLYQKKLWHQLTLKLEQFVGLTVFQAGDALIKLYRNFITDFETKINPLKLAHFAVIVSQQYAEKEAAISFLEGVIEKLQATKEQRIEEPILYIKMQISKFKLEQGDQKECKKLLEDGKSTLDSMTDIDPSVYATYYWVSSQYHKFRQEFAEFYRSALLYLAYTSVESLSESFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGTKVEWLYYILQSFNSGNLVRYEELCRVHNAALSAQPALVENEKKLLEKINILCLMEIIFRFV >KJB25207 pep chromosome:Graimondii2_0_v6:4:49284254:49288617:1 gene:B456_004G181400 transcript:KJB25207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLDSLRNEHPELADWYNSLADLYQKKLWHQLTLKLEQFVGLTVFQAGDALIKLYRNFITDFETKINPLKLAHFAVIVSQQYAEKEAAISFLEGVIEKLQATKEQRIEEPILYIKMQISKFKLEQGDQKECKKLLEDGKSTLDSMTDIDPSVYATYYWVSSQYHKFRQEFAEFYRSALLYLAYTSVESLSESFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGTKVEWLYYILQSFNSGNLVRYEELCRVHNAALSAQPALVENEKKLLEKINILCLMEIIFSRPSDDRTIPLKVIAERTKLSIEDVEYLLMKSLSVRLIEGIIDQVEGTIHVSWVQPRVLGIPQIKSLRDRLDNWMGKVHNAWLSIEAETPDLVAS >KJB25210 pep chromosome:Graimondii2_0_v6:4:49284291:49287703:1 gene:B456_004G181400 transcript:KJB25210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLDSLRNEHPELADWYNSLADLYQKKLWHQLTLKLEQFVGLTVFQAGDALIKLYRNFITDFETKINPLKLAHFAVIVSQQYAEKEAAISFLEGVIEKLQATKEQRIEEPILYIKMQISKFKLEQGDQKECKKLLEDGKSTLDSMTDIDPSVYATYYWVSSQYHKFRQEFAEFYRSALLYLAYTSVESLSESFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGTKVEWLYYILQSFNSGNLVRYEELCRVHNAALSAQPALVENEKKLLEKINILCLMEIIFRCVLF >KJB25208 pep chromosome:Graimondii2_0_v6:4:49284291:49288557:1 gene:B456_004G181400 transcript:KJB25208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLDSLRNEHPELADWYNSLADLYQKKLWHQLTLKLEQFVGLTVFQAGDALIKLYRNFITDFETKINPLKLAHFAVIVSQQYAEKEAAISFLEGVIEKLQATKEQRIEEPILYIKMQISKFKLEQGDQKECKKLLEDGKSTLDSMTDIDPSVYATYYWVSSQYHKFRQEFAEFYRSALLYLAYTSVESLSESFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGTKVEWLYYILQSFNSGNLVRYEELCRVHNAALSAQPALVENEKKLLEKINILCLMEIIFRCVLF >KJB26088 pep chromosome:Graimondii2_0_v6:4:55933793:55934466:-1 gene:B456_004G224700 transcript:KJB26088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFCNNIIFFVLVQVIVIALLIASSSSEPIKGHHDDINSLIPYFKTWHVHTINGLSNGKMLLVHCKSKDNDLGIHNLTAGAEFSWQFKLSVTGRTLFWCYMAYDNFHAALNVFWDNPIFFNKCNNDNCIWIAKDDGIYFRNFAKKVDELRQTWGQGPL >KJB24840 pep chromosome:Graimondii2_0_v6:4:45594613:45595446:-1 gene:B456_004G164000 transcript:KJB24840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVKCKQLCLKSFANGETQLRSNMLNLPIPPNVEQESASPSYSSSSSEEKGQFYGLRENPKRSIRFVDPEFVDADSVVVQSKESETESSKYPTQKRSRRTWDEPEQATSLSDTTTEEDIAFCLMMLSRGQRKSKVAQHDDIDEEEEETDESAEFNRTTRGKYKCETCNKVFNSYQALGGHRASHKKIKTFETELAPENVGTTCSMTEKKTHECPVCFKVFSSGQALGGHKRSHVAAATAQTYVKSSNKLVVNFIDLNLPAPVDDDDDDDDGFLMLK >KJB24841 pep chromosome:Graimondii2_0_v6:4:45594337:45596203:-1 gene:B456_004G164000 transcript:KJB24841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVKCKQLCLKSFANGETQLRSNMLNLPIPPNVEQESASPSYSSSSSEEKGQFYGLRENPKRSIRFVDPEFVDADSVVVQSKESETESSKYPTQKRSRRTWDEPEQATSLSDTTTEEDIAFCLMMLSRGQRKSKVAQHDDIDEEEEETDESAEFNRTTRGKYKCETCNKVFNSYQALGGHRASHKKIKTFETELAPENVGTTCSMTEKKTHECPVCFKVFSSGQALGGHKRSHVAAATAQTYVKSSNKLVVNFIDLNLPAPVDDDDDDDDGFLMLK >KJB24842 pep chromosome:Graimondii2_0_v6:4:45592880:45596145:-1 gene:B456_004G164000 transcript:KJB24842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPPVYPVKCKQLCLKSFANGETQLRSNMLNLPIPPNVEQESASPSYSSSSSEEKGQFYGLRENPKRSIRFVDPEFVDADSVVVQSKESETESSKYPTQKRSRRTWDEPEQATSLSDTTTEEDIAFCLMMLSRGQRKSKVAQHDDIDEEEEETDESAEFNRTTRGKYKCETCNKVFNSYQALGGHRASHKKIKTFETELAPENVGTTCSMTEKKTHECPVCFKVFSSGQALGGHKRSHVAAATAQTYVKSSNKLVVNFIDLNLPAPVDDDDDDDDGFLMLK >KJB23327 pep chromosome:Graimondii2_0_v6:4:13708330:13709956:-1 gene:B456_004G093200 transcript:KJB23327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKMACFQYIVAALCFAVLLEGSLSKAQLTPTFYDETCPNVTAIIRHVLVNASFSDPRIGASLIRLHFHDCFVQGCDASILLDDPVNGEKEAIPNNNSARGYEVIDAMKAALESACPNTVSCADILAIASEQSVSTLAGGPSWAVPLGRRDGFTANRTLANSNLPGAHTFGRAQCLTFTSRLYNFTGVGDTDPTLNTTYLEELRQICPQGGNSSVLTNLDPTTPDGFDNNYFTNLQVNRGLLRSDQNLFSTEGADTIEIVNRFSSNQTAFFESFVESMIRMGNISPLTGTEGEIRSNCRAVNSATIRSNSDAALVSSI >KJB23328 pep chromosome:Graimondii2_0_v6:4:13708535:13709863:-1 gene:B456_004G093200 transcript:KJB23328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKMACFQYIVAALCFAVLLEGSLSKAQLTPTFYDETCPNVTAIIRHVLVNASFSDPRIGASLIRLHFHDCFVQTPCVCFQGCDASILLDDPVNGEKEAIPNNNSARGYEVIDAMKAALESACPNTVSCADILAIASEQSVSTLAGGPSWAVPLGRRDGFTANRTLANSNLPGFNNTLDRLKNRFSNVGLNTSIDLVALSGAHTFGRAQCLTFTSRLYNFTGVGDTDPTLNTTYLEELRQICPQGGNSSVLTNLDPTTPDGFDNNYFTNLQVNRGLLRSDQNLFSTEGADTIEIVNRFSSNQTAFFESFVESMIRMGNISPLTGTEGEIRSNCRAVNSATIRSNSDAALVSSI >KJB23326 pep chromosome:Graimondii2_0_v6:4:13708330:13709956:-1 gene:B456_004G093200 transcript:KJB23326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCDASILLDDPVNGEKEAIPNNNSARGYEVIDAMKAALESACPNTVSCADILAIASEQSVSTLAGGPSWAVPLGRRDGFTANRTLANSNLPGFNNTLDRLKNRFSNVGLNTSIDLVALSGAHTFGRAQCLTFTSRLYNFTGVGDTDPTLNTTYLEELRQICPQGGNSSVLTNLDPTTPDGFDNNYFTNLQVNRGLLRSDQNLFSTEGADTIEIVNRFSSNQTAFFESFVESMIRMGNISPLTGTEGEIRSNCRAVNSATIRSNSDAALVSSI >KJB23325 pep chromosome:Graimondii2_0_v6:4:13708323:13709977:-1 gene:B456_004G093200 transcript:KJB23325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKMACFQYIVAALCFAVLLEGSLSKAQLTPTFYDETCPNVTAIIRHVLVNASFSDPRIGASLIRLHFHDCFVQGCDASILLDDPVNGEKEAIPNNNSARGYEVIDAMKAALESACPNTVSCADILAIASEQSVSTLAGGPSWAVPLGRRDGFTANRTLANSNLPGFNNTLDRLKNRFSNVGLNTSIDLVALSGAHTFGRAQCLTFTSRLYNFTGVGDTDPTLNTTYLEELRQICPQGGNSSVLTNLDPTTPDGFDNNYFTNLQVNRGLLRSDQNLFSTEGADTIEIVNRFSSNQTAFFESFVESMIRMGNISPLTGTEGEIRSNCRAVNSATIRSNSDAALVSSI >KJB22387 pep chromosome:Graimondii2_0_v6:4:3967555:3968005:1 gene:B456_004G045100 transcript:KJB22387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLDKHLVESSVPNEVLKCIQIGLLCVQSDPADRPTMSTVVAMLGSDTITVPLPAKPAFYVGRFIAESVQPNSSDKICSVNEVTISNMSPR >KJB26264 pep chromosome:Graimondii2_0_v6:4:57141490:57143598:1 gene:B456_004G233900 transcript:KJB26264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASGGSDNSIKEAIPATASALLSAASQQGGGGGSESSPSPAPPSRYESQKRRDWNTFLQYLTNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHITDCPYFGHVNPPAPCACPLKQAWGSLDALIGRLRAAYEENGGRPESNPFGARAVRIYLREVREGQAKARGIPYEKKKRKRPTVTTTAVGVNVSRTSTQPVDGGGGRGGIGGGDDSVGAKTGANVGSATAVAAATTNSAGGM >KJB26265 pep chromosome:Graimondii2_0_v6:4:57141490:57147585:1 gene:B456_004G233900 transcript:KJB26265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASGGSDNSIKEAIPATASALLSAASQQGGGGGSESSPSPAPPSRYESQKRRDWNTFLQYLTNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHITDCPYFGHVNPPAPCACPLKQAWGSLDALIGRLRAAYEENGGRPESNPFGARAVRIYLREVREGQAKARGIPYEKKKRKRPTVTTTAVGVNVSRTSTQPVDGGGGRGGIGGGDDSVGAKTGANVGSATAVAAATTNSCGSPLKEEHLDDCGFSTTKLDICTKPEKKIERGC >KJB22424 pep chromosome:Graimondii2_0_v6:4:4188877:4194807:-1 gene:B456_004G047200 transcript:KJB22424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARYGSEAQLHGVSPEDLQAVGKRTLEWNLNDWKWDGDLFVASRMNPVSADGMGRQFFPLGSGIPGNSSNSSSSCSDEVDLETERKRELEKRRRVTVVKDDSLNEEAGSLTLKLGGQDGHGNPLSQRDTINWEGTSGKKTKLSGGSGNRAVCQVDDCRADLSDAKDYHRRHKVCEMHSKASKTLVGNVMQRFCQQCSRFHVLQEFDDGKRSCRRRLAGHNKRRRKRNPEAVVNGNSLNDEQTSGYLLLSLLKILSNLHSNTSGQTTDQDVLSHLLRSLANHTSEQGGRNISGLLPVPQDIEAVSALFSNGQGPPQLFKQHITGPALEMPQKGVCSHDTRGAEVQGSATGVVKMNDFDLNDIYIDSDNSTDDIERSPAPVNIGTSSLDCPSWVQQTSHHSSPPQTSRNSDSASGQSPSSSSGGAQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIVLTVYLRQAEAAWDDLCSNLSFSLSRLLDCSDDTFWRTGWICIRVQDQIAFIYNGQVLVDTYLPLGSIRYGKIVNVKPIAVSATERAQFSVKGINLSRPATRLLCSVEGKYLVQNDSHELIDDNNDFKEQDELQCINFSCSIPTVTGRGFIEIEDPCFSSSFFPFIVAEDDVCSEIRMLESLLEITDTDADVGRMEAKNVAMDFIHEVGWLLHRSQLKSRLGQLDPSSESFSLRRFKWLMEFSMDHEWCAVVKKLLNILLDGTVGSGEHHSIYLALTEMSLLHRAVRKNCRPLVELLLRFIPENASDKLGFENGTVAAGVDKTSLFRPDVLGPGGLTPLHIAAGKDGSEDVLDALTDDPGKVGIDAWNSAQDSTGSTPEDYARLRGHYSYIHLVQRKINKRSLSGHVVVDIPATPSVSSPNQKQNNETTTSFEISQLELRPVKRHCKLCDQKLPYIYGMATNMSLSYRPAMLSMVAIAAVCVCVALLFKSCPEVVYVFRPFRWELLDYGAS >KJB22425 pep chromosome:Graimondii2_0_v6:4:4188878:4194882:-1 gene:B456_004G047200 transcript:KJB22425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARYGSEAQLHGVSPEDLQAVGKRTLEWNLNDWKWDGDLFVASRMNPVSADGMGRQFFPLGSGIPGNSSNSSSSCSDEVDLETERKRELEKRRRVTVVKDDSLNEEAGSLTLKLGGQDGHGNPLSQRDTINWEGTSGKKTKLSGGSGNRAVCQVDDCRADLSDAKDYHRRHKVCEMHSKASKTLVGNVMQRFCQQCSRFHVLQEFDDGKRSCRRRLAGHNKRRRKRNPEAVVNGNSLNDEQTSGYLLLSLLKILSNLHSNTSGQTTDQDVLSHLLRSLANHTSEQGGRNISGLLPVPQDIEAVSALFSNGQGPPQLFKQHITGPALEMPQKGVCSHDTRGAEVQGSATGVVKMNDFDLNDIYIDSDNSTDDIERSPAPVNIGTSSLDCPSWVQQTSHHSSPPQTSRNSDSASGQSPSSSSGGAQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIVLTVYLRQAEAAWDDLCSNLSFSLSRLLDCSDDTFWRTGWICIRVQDQIAFIYNGQVLVDTYLPLGSIRYGKIVNVKPIAVSATERAQFSVKGINLSRPATRLLCSVEGKYLVQNDSHELIDDNNDFKEQDELQCINFSCSIPTVTGRGFIEIEDPCFSSSFFPFIVAEDDVCSEIRMLESLLEITDTDADVGRMEAKNVAMDFIHEVGWLLHRSQLKSRLGQLDPSSESFSLRRFKWLMEFSMDHEWCAVVKKLLNILLDGTVGSGEHHSIYLALTEMSLLHRAVRKNCRPLVELLLRFIPENASDKLGFENGTVAAGVDKTSLFRPDVLGPGGLTPLHIAAGKDGSEDVLDALTDDPGKVGIDAWNSAQDSTGSTPEDYARLRGHYSYIHLVQRKINKRSLSGHVVVDIPATPSVSSPNQKQNNETTTSFEISQLELRPVKRHCKLCDQKLPYIYGMATNMSLSYRPAMLSMVAIAAVCVCVALLFKSCPEVVYVFRPFRWELLDYGAS >KJB24647 pep chromosome:Graimondii2_0_v6:4:44013053:44016335:1 gene:B456_004G155400 transcript:KJB24647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIILATIFFLISLPPLFLLLIFLFLAIKTVAGKSINDPDYPPVKGSVFNQLLYLNYLYDYQAEAAKEQPTYRLLALEQSEIYTIDTRNVEHVLKTRFDRYCKGKRNQEIFLDFLGEGIFAVDGVKWRKQRKLASFEFSTRILRDFSCFVFRGDAAKLVGNIYELAVSGQAFDMQKMLMKSTLESMFKVGFGIDLKCMDGSSKEGNTFMKAFDDANEMVYWRYVDPFWKLKRSLNIGSEAALKNNIQIIHNFVHNVISTKRKLLPMNPELNVKEDILSRFLVESEKDPETMNDKYLRDIILNFIIAGKDTSANTLSWFFYMLCKNPLIQEKIAEEVRDISSREVEDEYVDDFVTNITEATLDQMHYLQAALTETLRLYPAVPIDGRCAMEDDILPDGHKVRKGDGVYYMAYAMGRMSTLWGEDAHCFRPERWLHNGVFRPESPFKFVAFHAGPRICLGKDFAYRQMKIVSIAVLRHFRLKLVDDAKAVNYRTMFTLHMKEGLHLYAVPRTIM >KJB24648 pep chromosome:Graimondii2_0_v6:4:44013112:44015265:1 gene:B456_004G155400 transcript:KJB24648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIILATIFFLISLPPLFLLLIFLFLAIKTVAGKSINDPDYPPVKGSVFNQLLYLNYLYDYQAEAAKEQPTYRLLALEQSEIYTIDTRNVEHVLKTRFDRYCKGKRNQEIFLDFLGEGIFAVDGVKWRKQRKLASFEFSTRILRDFSCFVFRGDAAKLVGNIYELAVSGQAFDMQKMLMKSTLESMFKVGFGIDLKCMDGSSKEGNTFMKAFDDANEMVYWRYVDPFWKLKRSLNIGSEAALKNNIQIIHNFVHNVISTKRKLLPMNPELNVKEDILSRFLVESEKDPETMNDKYLRDIILNFIIAGKDTSANTLSWFFYMLCKNPLIQEKIAEEVRDISSREVEDEYVDDFVTNITEATLDQMHYLQAALTETLRLYPAVPIVSSLKNFLFYKHFPSHFPFSILMLCFDLN >KJB25506 pep chromosome:Graimondii2_0_v6:4:51463658:51464485:-1 gene:B456_004G195200 transcript:KJB25506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMYLSSNDSDLAMLDSIRRHLLGDPSDFRLDASIDCTTTTTTTAPPMVCRSSSFNRLYPCLTETWGDLPLKENDSEDMLVFGFLRDALTVGWAPSDNSSMNFLPIKPEPQEISFEAPVRKVDRAVNTVAPAAVPAKARHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDKAAYRMRGSKALLNFPLRVNSGEPDPVRVTSKRTSPEPSNSSSSGSVNGSPKRRRKVTPSASVVAQAGLDMGSGVEIKYEIGSCTHGNQVLLS >KJB24097 pep chromosome:Graimondii2_0_v6:4:33637588:33645111:-1 gene:B456_004G127800 transcript:KJB24097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTTNPTLKTAFGQPASSPFGQTNPSSNPFAPKSSFGSPTPFGSQTGGSLFGGTSTGVFGAAQPSSPFSSTTTFGASSSPAFGSSMPAFGSSSTPAFGNSSSSFGGPSGFGQKPAFGFGSTPTQSSPFGSSGQQSQPTFGSGIFGSSTPFGSTQSAFGATSTAAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGSTSSPAFGSTGSPFGSTGTAFGVSNAPAFGASSTPAFGTSSTPAFGASSTPSFSFGSSPAFGQSTAAFGSSPFGTTTVGAQSSPFGSQSSSPAFGSTTFGQSAFGGQRGGSRVAPYTPTTDADSGSGTQPAAKLESISAMHVYKDKSHEELRWEDYQLGDKGGPQPAAQPSGGIGFGVSAAPASPFGSSSTIGQTSANPFSGTSANPFSIKPPSFNSASFTTSTTTSNPFQSTSSSLFGPSSSTTPSIFSSSAPAFGTGSSLFSSSVTPSFSSSPSIFGTGAAPATTPTFATGLNFGSSQGSSLFTSTPAIGQASSTFGQNTSSFGPTSIFNTPSTGFSGNMFSSSLSLPPSSNPTAFGSTTPSFASPFQPAQTSGAFSFSNLGQTQMSGGSGIFGQSNVGLPSVTQNAAVVQPVTISNPYGTLPAMPQMSIGRAGTAPSVQYGISSMPVTDKPVPVRISPLLTSRYISQRRIRLPARKYHPNNGNPKVPFFNDDEETPSTPKADAVFIPRENPRALVIRPTENWPSRASVEKVLPLKDASSLVHENGKVSDDGFNAEDKDKNPAENGLVKEQIHHNQKANGVHDDHSVQKEDSFRTLSGHRAGEAAIVYEHGADVEALMPKLRRSDYYTEPRIQELAAKERAEPGYCRRVKDFVVGRHGYGSIKFLGETDVRCLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKRTREQYTEGPKVEKYKEMLKKKAEDQGAEFLSYDPIKGEWRFRVNHFSKYKLEDEEEDVL >KJB24100 pep chromosome:Graimondii2_0_v6:4:33641346:33645106:-1 gene:B456_004G127800 transcript:KJB24100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTTNPFGQPASSPFGQTNPSSNPFAPKSSFGSPTPFGSQTGGSLFGGTSTGVFGAAQPSSPFSSTTTFGASSSPAFGSSMPAFGSSSTPAFGNSSSSFGGPSGFGQKPAFGFGSTPTQSSPFGSSGQQSQPTFGSGIFGSSTPFGSTQSAFGATSTAAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGSTSSPAFGSTGSPFGSTGTAFGVSNAPAFGASSTPAFGTSSTPAFGASSTPSFSFGSSPAFGQSTAAFGSSPFGTTTVGAQSSPFGSQSSSPAFGSTTFGQSAFGGQRGGSRVAPYTPTTDADSGSGTQPAAKLESISAMHVYKDKSHEELRWEDYQLGDKGGPQPAAQPSGGIGFGVSAAPASPFGSSSTIGQTSANPFSGTSANPFSIKPPSFNSASFTTSTTTSNPFQSTSSSLFGPSSSTTPSIFSSSAPAFGTGSSLFSSSVTPSFSSSPSIFGTGAAPATTPTFATGLNFGSSQGSSLFTSTPAIGQASSTFGQNTSSFGPTSIFNTPSTGFSGNMFSSSLSLPPSSNPTAFGSTTVSHL >KJB24098 pep chromosome:Graimondii2_0_v6:4:33637613:33645106:-1 gene:B456_004G127800 transcript:KJB24098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTTNPFGQPASSPFGQTNPSSNPFAPKSSFGSPTPFGSQTGGSLFGGTSTGVFGAAQPSSPFSSTTTFGASSSPAFGSSMPAFGSSSTPAFGNSSSSFGGPSGFGQKPAFGFGSTPTQSSPFGSSGQQSQPTFGSGIFGSSTPFGSTQSAFGATSTAAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGSTSSPAFGSTGSPFGSTGTAFGVSNAPAFGASSTPAFGTSSTPAFGASSTPSFSFGSSPAFGQSTAAFGSSPFGTTTVGAQSSPFGSQSSSPAFGSTTFGQSAFGGQRGGSRVAPYTPTTDADSGSGTQPAAKLESISAMHVYKDKSHEELRWEDYQLGDKGGPQPAAQPSGGIGFGVSAAPASPFGSSSTIGQTSANPFSGTSANPFSIKPPSFNSASFTTSTTTSNPFQSTSSSLFGPSSSTTPSIFSSSAPAFGTGSSLFSSSVTPSFSSSPSIFGTGAAPATTPTFATGLNFGSSQGSSLFTSTPAIGQASSTFGQNTSSFGPTSIFNTPSTGFSGNMFSSSLSLPPSSNPTAFGSTTPSFASPFQPAQTSGAFSFSNLGQTQMSGGSGIFGQSNVGLPSVTQNAAVVQPVTISNPYGTLPAMPQMSIGRAGTAPSVQYGISSMPVTDKPVPVRISPLLTSRYISQRRIRLPARKYHPNNGNPKVPFFNDDEETPSTPKADAVFIPRENPRALVIRPTENWPSRASVEKVLPLKDASSLVHENGKVSDDGFNAEDKDKNPAENGLVKEQIHHNQKANGVHDDHSVQKEDSFRTLSGHRAGEAAIVYEHGADVEALMPKLRRSDYYTEPRIQELAAKERAEPGYCRRVKDFVVGRHGYGSIKFLGETDVRCLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKRTREQYTEGPKVEKYKEMLKKKAEDQGAEFLSYDPIKGEWRFRVNHFSKYKLEDEEEDVL >KJB24099 pep chromosome:Graimondii2_0_v6:4:33638514:33644427:-1 gene:B456_004G127800 transcript:KJB24099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTTNPFGQPASSPFGQTNPSSNPFAPKSSFGSPTPFGSQTGGSLFGGTSTGVFGAAQPSSPFSSTTTFGASSSPAFGSSMPAFGSSSTPAFGNSSSSFGGPSGFGQKPAFGFGSTPTQSSPFGSSGQQSQPTFGSGIFGSSTPFGSTQSAFGATSTAAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGSTSSPAFGSTGSPFGSTGTAFGVSNAPAFGASSTPAFGTSSTPAFGASSTPSFSFGSSPAFGQSTAAFGSSPFGTTTVGAQSSPFGSQSSSPAFGSTTFGQSAFGGQRGGSRVAPYTPTTDADSGSGTQPAAKLESISAMHVYKDKSHEELRWEDYQLGDKGGPQPAAQPSGGIGFGVSAAPASPFGSSSTIGQTSANPFSGTSANPFSIKPPSFNSASFTTSTTTSNPFQSTSSSLFGPSSSTTPSIFSSSAPAFGTGSSLFSSSVTPSFSSSPSIFGTGAAPATTPTFATGLNFGSSQGSSLFTSTPAIGQASSTFGQNTSSFGPTSIFNTPSTGFSGNMFSSSLSLPPSSNPTAFGSTTPSFASPFQPAQTSGAFSFSNLGQTQMSGGSGIFGQSNVGLPSVTQNAAVVQPVTISNPYGTLPAMPQMSIGRAGTAPSVQYGISSMPVTDKPVPVRISPLLTSRYISQRRIRLPARKYHPNNGNPKVPFFNDDEETPSTPKADAVFIPRENPRALVIRPTENWPSRASVEKVLPLKDASSLVHENGKVSDDGFNAEDKDSKKSS >KJB24096 pep chromosome:Graimondii2_0_v6:4:33637549:33645133:-1 gene:B456_004G127800 transcript:KJB24096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTTNPFGQPASSPFGQTNPSSNPFAPKSSFGSPTPFGSQTGGSLFGGTSTGVFGAAQPSSPFSSTTTFGASSSPAFGSSMPAFGSSSTPAFGNSSSSFGGPSGFGQKPAFGFGSTPTQSSPFGSSGQQSQPTFGSGIFGSSTPFGSTQSAFGATSTAAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGSTSSPAFGSTGSPFGSTGTAFGVSNAPAFGASSTPAFGTSSTPAFGASSTPSFSFGSSPAFGQSTAAFGSSPFGTTTVGAQSSPFGSQSSSPAFGSTTFGQSAFGGQRGGSRVAPYTPTTDADSGSGTQPAAKLESISAMHVYKDKSHEELRWEDYQLGDKGGPQPAAQPSGGIGFGVSAAPASPFGSSSTIGQTSANPFSGTSANPFSIKPPSFNSASFTTSTTTSNPFQSTSSSLFGPSSSTTPSIFSSSAPAFGTGSSLFSSSVTPSFSSSPSIFGTGAAPATTPTFATGLNFGSSQGSSLFTSTPAIGQASSTFGQNTSSFGPTSIFNTPSTGFSGNMFSSSLSLPPSSNPTAFGSTTPSFASPFQPAQTSGAFSFSNLGQTQMSGGSGIFGQSNVGLPSVTQNAAVVQPVTISNPYGTLPAMPQMSIGRAGTAPSVQYGISSMPVTDKPVPVRISPLLTSRYISQRRIRLPARKYHPNNGNPKVPFFNDDEETPSTPKADAVFIPRENPRALVIRPTENWPSRASVEKVLPLKDASSLVHENGKVSDDGFNAEDKDKNPAENGLVKEQIHHNQKANGVHDDHSVQKEDSFRTLSGHRAGEAAIVYEHGADVEALMPKLRRSDYYTEPRIQELAAKERAEPGYCRRVKDFVVGRHGYGSIKFLGETDVRCLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKRTREQYTEGPKVEKYKEMLKKKAEDQGAEFLSYDPIKGEWRFRVNHFSKYKLEDEEEDVL >KJB26979 pep chromosome:Graimondii2_0_v6:4:60467386:60472048:-1 gene:B456_004G269700 transcript:KJB26979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVPIMERLQMHVQSESRNITWLRCGNLGNQRLNVPQTITCNIMSLETLKSLTTMSSHKGDVEQPKSIEQDLELGLAGRGSSLVLAGLHACGDLSVTMLKTLLECEEVRAVISIGCCYNLLSEEGFANARIQYGFPMSCGVKSVNLSLGKSSRDLACQSAERWKSLGKDAGLHNFELHAFRAAFQMVLHKYFPEVGISPSIGRQGKALRRKQQRKIIKSELQAEESTYSDLPQMACNMEEACSVTHSGESEAGNTSGVRSDVDALFTKMSLHTGSTCETTMSTYKYSLFEKFCQSGLCRLGLKPSDDINFLGIWKEVEPYVSLIGIYWSLRAAFGPLLETLILLDRLLFLQEQGDVLESVMLPIFDPAISPRNVAIIAKKPDAGISSHHSSR >KJB26977 pep chromosome:Graimondii2_0_v6:4:60467337:60474274:-1 gene:B456_004G269700 transcript:KJB26977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQCKYSCDTAAETLEWIKAIADFIKPFSFLINAHVVNFFKDRLWEAVDEDWLQCLSNESVESLLLIPSGIVQDHWPTSLKEFILTLKSLVYPREQADLKKVFPNFQPTSLNSVLAQGMNLKKKHEVEVLSDIVSSVASAVRADAVIDVGAGQGYLAQVLAFEHQLSVVAIDACSHHGKVTNARAERIKKHYMAQMRKFGSGNQRLNVPQTITCNIMSLETLKSLTTMSSHKGDVEQPKSIEQDLELGLAGRGSSLVLAGLHACGDLSVTMLKTLLECEEVRAVISIGCCYNLLSEEGFANARIQYGFPMSCGVKSVNLSLGKSSRDLACQSAERWKSLGKDAGLHNFELHAFRAAFQMVLHKYFPEVGISPSIGRQGKALRRKQQRKIIKSELQAEESTYSDLPQMACNMEEACSVTHSGESEAGNTSGVRSDVDALFTKMSLHTGSTCETTMSTYKYSLFEKFCQSGLCRLGLKPSDDINFLGIWKEVEPYVSLIGIYWSLRAAFGPLLETLILLDRLLFLQEQGDVLESVMLPIFDPAISPRNVAIIAKKPDAGISSHHSSR >KJB26981 pep chromosome:Graimondii2_0_v6:4:60467386:60474042:-1 gene:B456_004G269700 transcript:KJB26981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQCKYSCDTAAETLEWIKAIADFIKPFSFLINAHVVNFFKDRLWEAVDEDWLQCLSNESVESLLLIPSGIVQDHWPTSLKEFILTLKSLVYPREQADLKKGYLAQVLAFEHQLSVVAIDACSHHGKVTNARAERIKKHYMAQMRKFGSGNQRLNVPQTITCNIMSLETLKSLTTMSSHKGDVEQPKSIEQDLELGLAGRGSSLVLAGLHACGDLSVTMLKTLLECEEVRAVISIGCCYNLLSEEGFANARIQYGFPMSCGVKSVNLSLGKSSRDLACQSAERWKSLGKDAGLHNFELHAFRAAFQMVLHKYFPEVGISPSIGRQGKALRRKQQRKIIKSELQAEESTYSDLPQMACNMEEACSVTHSGESEAGNTSGVRSDVDALFTKMSLHTGSTCETTMSTYKYSLFEKFCQSGLCRLGLKPSDDINFLGIWKEVEPYVSLIGIYWSLRAAFGPLLETLILLDRLLFLQEQGDVLESVMLPIFDPAISPRNVAIIAKKPDAGISSHHSSR >KJB26982 pep chromosome:Graimondii2_0_v6:4:60467952:60474042:-1 gene:B456_004G269700 transcript:KJB26982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQCKYSCDTAAETLEWIKAIADFIKPFSFLINAHVVNFFKDRLWEAVDEDWLQCLSNESVESLLLIPSGIVQDHWPTSLKEFILTLKSLVYPREQADLKKVFPNFQPTSLNSVLAQGMNLKKKHEVEVLSDIVSSVASAVRADAVIDVGAGQGYLAQVLAFEHQLSVVAIDACSHHGKVTNARAERIKKHYMAQMRKFGSGNQRLNVPQTITCNIMSLETLKSLTTMSSHKGDVEQPKSIEQDLELGLAGRGSSLVLAGLHACGDLSVTMLKTLLECEEVRAVISIGCCYNLLSEEGFANARIQYGFPMSCGVKSVNLSLGKSSRDLACQSAERWKSLGKDAGLHNFELHAFRAAFQMVLHKYFPEVGISPSIGRQGKALRRKQQRKIIKSELQAEESTYSDLPQMACNMEEACSVTHSGESEAGNTSGVRSDVDALFTKMSLHTGSTCETTMSTYKYSLFEKFCQSGLCRLGLKPSDDINFLGIWKEVEPYVVCLS >KJB26978 pep chromosome:Graimondii2_0_v6:4:60467813:60474042:-1 gene:B456_004G269700 transcript:KJB26978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQCKYSCDTAAETLEWIKAIADFIKPFSFLINAHVVNFFKDRLWEAVDEDWLQCLSNESVESLLLIPSGIVQDHWPTSLKEFILTLKSLVYPREQADLKKVFPNFQPTSLNSVLAQGMNLKKKHEVEVLSDIVSSVASAVRADAVIDVGAGQGYLAQVLAFEHQLSVVAIDACSHHGKVTNARAERIKKHYMAQMRKFGSGNQRLNVPQTITCNIMSLETLKSLTTMSSHKGDVEQPKSIEQDLELGLAGRGSSLVLAGLHACGDLSVTMLKTLLECEEVRAVISIGCCYNLLSEEGFANARIQYGFPMSCGVKSVNLSLGKSSRDLACQSAERWKSLGKDAGLHNFELHAFRAAFQMVLHKYFPEVGISPSIGRQGKALRRKQQRKIIKSELQAEESTYSDLPQMACNMEEACSVTHSGESEAGNTSGVRSDVDALFTKMSLHTGSTCETTMSTYKYSLFEKFCQSGLCRLGLKPSDDINFLGIWKEVEPYVGKRIIAKC >KJB26980 pep chromosome:Graimondii2_0_v6:4:60467386:60474042:-1 gene:B456_004G269700 transcript:KJB26980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQCKYSCDTAAETLEWIKAIADFIKPFSFLINAHVVNFFKDRLWEAVDEDWLQCLSNESVESLLLIPSGIVQDHWPTSLKEFILTLKSLVYPREQADLKKVFPNFQPTSLNSVLAQGMNLKKKHEVEVLSDIVSSVASAVRADAVIDVGAGQGYLAQVLAFEHQLSVVAIDACSHHGKVTNARAERIKKHYMAQMRKFGTLLECEEVRAVISIGCCYNLLSEEGFANARIQYGFPMSCGVKSVNLSLGKSSRDLACQSAERWKSLGKDAGLHNFELHAFRAAFQMVLHKYFPEVGISPSIGRQGKALRRKQQRKIIKSELQAEESTYSDLPQMACNMEEACSVTHSGESEAGNTSGVRSDVDALFTKMSLHTGSTCETTMSTYKYSLFEKFCQSGLCRLGLKPSDDINFLGIWKEVEPYVSLIGIYWSLRAAFGPLLETLILLDRLLFLQEQGDVLESVMLPIFDPAISPRNVAIIAKKPDAGISSHHSSR >KJB23091 pep chromosome:Graimondii2_0_v6:4:9687842:9693595:-1 gene:B456_004G080500 transcript:KJB23091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase [Source:Projected from Arabidopsis thaliana (AT3G63150) UniProtKB/TrEMBL;Acc:A0A178VC39] MPSRSAAGVRTGVRVVVAGDRGTGKSSLISAAASDAFPEYVPAVLPPTRLPSDFYPDGVPVTIVDTSSSMESRIKLIDELKRADAVVLTYACDQPMTLSRLSSFWLPELRKLEIKAPVIVVGCKLDLRDERQPMNLEQVMAPIMQQFREIETCIECSSATLIQVPDVFYYAQKAVLHPTAPLFDQEKQTLKPRCIRALKRIFMLCDHDMDGALSDAELNEFQVKCFNAPLQPAEIVGVKRVVQERIRGGVSDLGLTLEGFLFLHALFIEKGRLETTWAVLRKFGYNDELKLRDDILPVPTKHAPDQTVELTNEAIDFLRGIFRLYDSDNDGSLQPSEFDDIFVTAPESKTLEALTIYFYCFNLLIFVFFPWTVDPYVDAAERTPQGNLTINGFLSEWALMTTLDPSYCLANLICIGYGGDPTSALRVTRRRSVDRKKKQTEKNVFHCFVFGPKKSGKSALLNSFIGRTFSSNYTPTNDVRYVANAVEQIGGSQKTLILQEIPEDGVKKLLSNKECLAACDVAVFLYDSSDEYSWKRSRELLLDVARRGEESGYGVPCLLIAAKDDLDPFPMSLQNSARVTQQLGMEAPIPVGVKLRDSKSVFSRIVSAAEHPHLSIPETEKGKKRKRYRRLVNSSLMFVSVGAAVAVVGLAAYRAYAARKNT >KJB23093 pep chromosome:Graimondii2_0_v6:4:9687842:9693595:-1 gene:B456_004G080500 transcript:KJB23093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase [Source:Projected from Arabidopsis thaliana (AT3G63150) UniProtKB/TrEMBL;Acc:A0A178VC39] MPSRSAAGVRTGVRVVVAGDRGTGKSSLISAAASDAFPEYVPAVLPPTRLPSDFYPDGVPVTIVDTSSSMESRIKLIDELKRADAVVLTYACDQPMTLSRLSSFWLPELRKLEIKAPVIVVGCKLDLRDERQPMNLEQVMAPIMQQFREIETCIECSSATLIQVPDVFYYAQKAVLHPTAPLFDQEKQTLKPRCIRALKRIFMLCDHDMDGALSDAELNEFQVKCFNAPLQPAEIVGVKRVVQERIRGGVSDLGLTLEGFLFLHALFIEKGRLETTWAVLRKFGYNDELKLRDDILPVPTKHAPDQTVELTNEAIDFLRGIFRLYDSDNDGSLQPSEFDDIFVTAPESPWTVDPYVDAAERTPQGNLTINGFLSEWALMTTLDPSYCLANLICIGYGGDPTSALRVTRRRSVDRKKKQTEKNVFHCFVFGPKKSGKSALLNSFIGRTFSSNYTPTNDVRYVANAVEQIGGSQKTLILQEIPEDGVKKLLSNKECLAACDVAVFLYDR >KJB23094 pep chromosome:Graimondii2_0_v6:4:9687842:9693629:-1 gene:B456_004G080500 transcript:KJB23094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase [Source:Projected from Arabidopsis thaliana (AT3G63150) UniProtKB/TrEMBL;Acc:A0A178VC39] MPSRSAAGVRTGVRVVVAGDRGTGKSSLISAAASDAFPEYVPAVLPPTRLPSDFYPDGVPVTIVDTSSSMESRIKLIDELKRADAVVLTYACDQPMTLSRLSSFWLPELRKLEIKAPVIVVGCKLDLRDERQPMNLEQVMAPIMQQFREIETCIECSSATLIQVPDVFYYAQKAVLHPTAPLFDQEKQTLKPRCIRALKRIFMLCDHDMDGALSDAELNEFQVKCFNAPLQPAEIVGVKRVVQERIRGGVSDLGLTLEGFLFLHALFIEKGRLETTWAVLRKFGYNDELKLRDDILPVPTKHAPDQTVELTNEAIDFLRGIFRLYDSDNDGSLQPSEFDDIFVTAPESPWTVDPYVDAAERTPQGNLTINGFLSEWALMTTLDPSYCLANLICIGYGGDPTSALRVTRRRSVDRKKKQTEKNVFHCFVFGPKKSGKSALLNSFIGRTFSSNYTPTNDVRYVANAVEQIGGSQKTLILQEIPEDGVKKLLSNKECLAACDVAVFLYDSSDEYSWKRSRELLLDVARRGEESGYGVPCLLIAAKDDLDPFPMSLQNSARVTQQLGMEAPIPVGVKLRDSKSVFSRIVSAAEHPHLSIPETEKGKKRKRYRRLVNSSLMFVSVTVGAAVAVVGLAAYRAYAARKNT >KJB23090 pep chromosome:Graimondii2_0_v6:4:9687270:9693668:-1 gene:B456_004G080500 transcript:KJB23090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase [Source:Projected from Arabidopsis thaliana (AT3G63150) UniProtKB/TrEMBL;Acc:A0A178VC39] MPSRSAAGVRTGVRVVVAGDRGTGKSSLISAAASDAFPEYVPAVLPPTRLPSDFYPDGVPVTIVDTSSSMESRIKLIDELKRADAVVLTYACDQPMTLSRLSSFWLPELRKLEIKAPVIVVGCKLDLRDERQPMNLEQVMAPIMQQFREIETCIECSSATLIQVPDVFYYAQKAVLHPTAPLFDQEKQTLKPRCIRALKRIFMLCDHDMDGALSDAELNEFQVKCFNAPLQPAEIVGVKRVVQERIRGGVSDLGLTLEGFLFLHALFIEKGRLETTWAVLRKFGYNDELKLRDDILPVPTKHAPDQTVELTNEAIDFLRGIFRLYDSDNDGSLQPSEFDDIFVTAPESPWTVDPYVDAAERTPQGNLTINGFLSEWALMTTLDPSYCLANLICIGYGGDPTSALRVTRRRSVDRKKKQTEKNVFHCFVFGPKKSGKSALLNSFIGRTFSSNYTPTNDVRYVANAVEQIGGSQKTLILQEIPEDGVKKLLSNKECLAACDVAVFLYDSSDEYSWKRSRELLLDVARRGEESGYGVPCLLIAAKDDLDPFPMSLQNSARVTQQLGMEAPIPVGVKLRDSKSVFSRIVSAAEHPHLSIPETEKGKKRKRYRRLVNSSLMFVSVGAAVAVVGLAAYRAYAARKNT >KJB23092 pep chromosome:Graimondii2_0_v6:4:9688445:9693483:-1 gene:B456_004G080500 transcript:KJB23092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase [Source:Projected from Arabidopsis thaliana (AT3G63150) UniProtKB/TrEMBL;Acc:A0A178VC39] MPSRSAAGVRTGVRVVVAGDRGTGKSSLISAAASDAFPEYVPAVLPPTRLPSDFYPDGVPVTIVDTSSSMESRIKLIDELKRADAVVLTYACDQPMTLSRLSSFWLPELRKLEIKAPVIVVGCKLDLRDERQPMNLEQVMAPIMQQFREIETCIECSSATLIQVPDVFYYAQKAVLHPTAPLFDQEKQTLKPRCIRALKRIFMLCDHDMDGALSDAELNEFQVKCFNAPLQPAEIVGVKRVVQERIRGGVSDLGLTLEGFLFLHALFIEKGRLETTWAVLRKFGYNDELKLRDDILPVPTKHAPDQTVELTNEAIDFLRGIFRLYDSDNDGSLQPSEFDDIFVTAPESPWTVDPYVDAAERTPQGNLTINGFLSEWALMTTLDPSYCLANLICIGYGGDPTSALRVTRRRSVDRKKKQTEKNVFHCFVFGPKKSGKSALLNSFIGRTFSSNYTPTNDVRYVANAVEQIGGSQKTLILQEIPEDGVKKLLSNKECLAACDVAVFLYDSSDEYSWKRSRELLLDVARRGEESGYGVPCLLIAAKDDLDPFPMSLQNSARVTQQLGMEAPIPVGVKLRDSKSVFSRIVSAAEHPHLSIPETEKGKKRKRYRRLVNSSLMFVSG >KJB25024 pep chromosome:Graimondii2_0_v6:4:47339409:47341627:-1 gene:B456_004G172600 transcript:KJB25024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYSHHEDQEALMFHAYPCAYYVQSPSTISHANSADHIRNPTNEDQSAVTLHFHSPTPSESAILTKNPDVSRFTLSRYSSSRGSNNSFLHDKSIVAAADHKGGFNRLIMIDHGVGKGDQNDDDDDDNDDEEGQGFYGKKKGWWWRYCSFRSSNSCAWISLQICWRLVLSLGFALLVFYIATKPPPPKISVKVARVGEFGLGEGVDGSGVTTKILTCNCSVDLLVENKSKLFGLHIHPPTMDLFFGRLPFAISHGRKLYAQSSSPSRFQLSVGTRSKPMYGAGRNMQDLLESGMGLPLVIRLKLSSNFRVVWNLIKPRFHHQAECLLVLDDKYDKKHRTQKYNSTCTITSS >KJB25025 pep chromosome:Graimondii2_0_v6:4:47339464:47341526:-1 gene:B456_004G172600 transcript:KJB25025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYSHHEDQEALMFHAYPCAYYVQSPSTISHANSADHIRNPTNEDQSAVTLHFHSPTPSESAILTKNPDVSRFTLSRYSSSRGSNNSFLHDKSIVAAADHKGGFNRLIMIDHGVGKGDQNDDDDDDNDDEEGQGFYGKKKGWWWRYCSFRSSNSCAWISLQICWRLVLSLGFALLVFYIATKPPPPKISVKVARVGEFGLGEGVDGSGVTTKILTCNCSVDLLVENKSKLFGLHIHPPTMDLFFGRLPFAISHVRTKAICTEFESIKVPAIGGNTK >KJB26680 pep chromosome:Graimondii2_0_v6:4:59153528:59159026:1 gene:B456_004G254500 transcript:KJB26680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLTTADSTVKLLSDLKIDAGDWPPSLVKNLHLLSPDQIQLGKMLLEMGQSHLFQHWAEPGVDDDQKKAFFIQLSKLNSSYPGGLASYIKTARELLADSKAGKNPYDGFTPSVPTGEVLSFGEDNFIKFEDVGVKEAKNAAFVLVAGGLGERLGYNGIKVALPAETTTGTCFLQLYIESILALQEASSRLTQGTCQKEIPFVIMTSDDTHTPMVDLVESNSYFGMKPSQVKFLKQEKVACLDDNDARLALDPHNKYRIQTKPHGHGDVHSLLYSSGLLNVWRDSGLRWVIFFQDTNGLLFKAIPASLGVSATKEYHVNSLAVPRKAKEAIGGITKLTHSDGRSMVINVEYNQLDPLLRATGHPDGDVNCETGYSPFPGNINQLILELGPYIKELTKTGGAIKEFVNPKYKDASKTSFKSSTRLECMMQDYPKTLPPSARVGFTAGVQVEDPVQQVFNGQEVEVWPRVTWKPKWGITFAEIKSKVSGSCSISQRSTMALKGRDIFLENLTLDGALIINSTDGAEVKVGGSIKNKGWLIEGVDYKDTAFPEELRIRGFRMEKKEQLEETYSQPGKYTLKP >KJB26679 pep chromosome:Graimondii2_0_v6:4:59153435:59159096:1 gene:B456_004G254500 transcript:KJB26679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLTTADSTVKLLSDLKIDAGDWPPSLVKNLHLLSPDQIQLGKMLLEMGQSHLFQHWAEPGVDDDQKKAFFIQLSKLNSSYPGGLASYIKTARELLADSKAGKNPYDGFTPSVPTGEVLSFGEDNFIKFEDVGVKEAKNAAFVLVAGGLGERLGYNGIKVALPAETTTGTCFLQLYIESILALQEASSRLTQGTCQKEIPFVIMTSDDTHTPMVDLVESNSYFGMKPSQVKFLKQEKVACLDDNDARLALDPHNKYRIQTKPHGHGDVHSLLYSSGLLNVWRDSGLRWVIFFQDTNGLLFKAIPASLGVSATKEYHVNSLAVPRKAKEAIGGITKLTHSDGRSMVINVEYNQLDPLLRATGHPDGDVNCETGYSPFPGNINQLILELGPYIKELTKTGGAIKEFVNPKYKDASKTSFKSSTRLECMMQDYPKTLPPSARVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYCANSLILKKAGVQVEDPVQQVFNGQEVEVWPRVTWKPKWGITFAEIKSKVSGSCSISQRSTMALKGRDIFLENLTLDGALIINSTDGAEVKVGGSIKNKGWLIEGVDYKDTAFPEELRIRGFRMEKKEQLEETYSQPGKYTLKP >KJB25138 pep chromosome:Graimondii2_0_v6:4:48691926:48695679:1 gene:B456_004G178300 transcript:KJB25138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGMNAGAPEREARRAHASMALVQLINGGYHVITKVALNVGVNQLVFCVFRDLLALSLLAPLGYVREKRIRPPMTKRLLLSFFFLGLTGIFGNQLLFLIGLSYTNPTYAAAIQPSIPVFTFLLAVMMGTERVNLLKTEGQAKVGGTLICVSGAILMVLFRGPALIGQKDADFALNDISARGQPEPSGWLVSTLLGFGLEHWHIGVLCLIGNCMCMATFLAIQAPVLAKYPANISVTAFSYFFGAILMVTTSFFMTNESTDWRLTRSELFAVLYAGIIASALNYGLLTWSNKILGPALVALYNPLQPAASAFLSRVFLGSPIYLGSVLGGFLIIAGLYMVTWASYRERHTAMGMLPHVSRSSEPLVHKDASINKNPYQRGHIFLGPGLSAKPSD >KJB25142 pep chromosome:Graimondii2_0_v6:4:48691783:48695754:1 gene:B456_004G178300 transcript:KJB25142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGMNAGAPEREARRAHASMALVQLINGGYHVITKVALNVGVNQLVFCVFRDLLALSLLAPLGYVREKRIRPPMTKRLLLSFFFLGLTGIFGNQLLFLIGLSYTNPTYAAAIQPSIPVFTFLLAVMMGTERVNLLKTEGQAKVGGTLICVSGAILMVLFRGPALIGQKDADFALNDISARGQPEPSGWLVSTLLGFGLEHWHIGVLCLIGNCMCMATFLAIQAPVLAKYPANISVTAFSYFFGAILMVTTSFFMTNESTDWRLTRSELFAVLYAGIIASALNYGLLTWSNKILGPALVALYNPLQPAASAFLSRVFLGSPIYLGSVLGGFLIIAGLYMVTWASYRERHTAMGMLPHVSRSSEPLVHKDASINKNPYQRGHIFLGPGLSAKPSD >KJB25140 pep chromosome:Graimondii2_0_v6:4:48691885:48695679:1 gene:B456_004G178300 transcript:KJB25140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGMNAGAPEREARRAHASMALVQLINGGYHVITKVALNVGVNQLVFCVFRDLLALSLLAPLGYVREKRIRPPMTKRLLLSFFFLGLTGIFGNQLLFLIGLSYTNPTYAAAIQPSIPVFTFLLAVMMGTERVNLLKTEGQAKVGGTLICVSGAILMVLFRGPALIGQKDADFALNDISARGQPEPSGWLVSTLLGFGLEHWHIGVLCLIGNCMCMATFLAIQAPVLAKYPANISVTAFSYFFGAILMVTTSFFMTNESTDWRLTRSELFAVLYAGIIASALNYGLLTWSNKILGPALVALYNPLQPAASAFLSRVFLGSPIYLGSVLGGFLIIAGLYMVTWASYRERHTAMGMLPHVSRSSEPLVHKDASINKNPYQRGHIFLGPGLSAKPSD >KJB25139 pep chromosome:Graimondii2_0_v6:4:48691885:48695171:1 gene:B456_004G178300 transcript:KJB25139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGMNAGAPEREARRAHASMALVQLINGGYHVITKVALNVGVNQLVFCVFRDLLALSLLAPLGYVREKRIRPPMTKRLLLSFFFLGLTGIFGNQLLFLIGLSYTNPTYAAAIQPSIPVFTFLLAVMMGTERVNLLKTEGQAKVGGTLICVSGAILMVLFRGPALIGQKDADFALNDISARGQPEPSGWLVSTLLGFGLEHWHIGVLCLIGNCMCMATFLAIQAPVLAKYPANISVTAFSYFFGAILMVTTSFFMTNESTDWRLTRSELFAVLYAGIIASALNYGLLTWSNKILGPALVALYNPLQPAASAFLSRVFLGSPIYLGRFYFSLLLQSRT >KJB25141 pep chromosome:Graimondii2_0_v6:4:48691885:48695679:1 gene:B456_004G178300 transcript:KJB25141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGMNAGAPEREARRAHASMALVQLINGGYHVITKVALNVGVNQLVFCVFRDLLALSLLAPLGYVREKRIRPPMTKRLLLSFFFLGLTGIFGNQLLFLIGLSYTNPTYAAAIQPSIPVFTFLLAVMMGTERVNLLKTEGQAKVGGTLICVSGAILMVLFRGPALIGQKDADFALNDISARGQPEPSGWLVSTLLGFGLEHWHIGVLCLIGNCMCMATFLAIQGIIASALNYGLLTWSNKILGPALVALYNPLQPAASAFLSRVFLGSPIYLGSVLGGFLIIAGLYMVTWASYRERHTAMGMLPHVSRSSEPLVHKDASINKNPYQRGHIFLGPGLSAKPSD >KJB24607 pep chromosome:Graimondii2_0_v6:4:43660127:43662562:-1 gene:B456_004G153700 transcript:KJB24607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCWNEFWLIFPLLAFSELVLCVEDGMRLSVPKDFYGIFRMSYHISLGTSCSQVLMNQLVMPMTRSSVNGTASNFHAFRLQTGLLLHHVDWFVSWTMTVEASCRTSHNYTISIVKSKQVPGNFLQWDLSIHIYDSETMMWATSLTEVLTGWRGGDESVICDGVLYFLIYSTGVGTPENRHSLVAYNLSSRSSPLIRSLIPVPGPLTCGRLMNLKGKLVMVGGIGKPDRPDIIKGIGIWVLNGRNWVEVGRMPHKFFQGFGELDDVFASSGTDNLIYIQSYGAPALLVFDMSQKLWKWSLKCPVSKKFPLQLFTGFCFEPRLEIAP >KJB24610 pep chromosome:Graimondii2_0_v6:4:43660256:43663669:-1 gene:B456_004G153700 transcript:KJB24610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGETSWNSHCIDDMSKDIGEFDSFSELSDEGNKEMIVSVDSILPDDLLERILAYLPIASIFRAGSVCRRWHEIVSSKRFLWNFSHVLSHKPWYFMFTSSDEPVGYAYDPILRKWYSIELPCIQTPNWFIASSCGLVCFMDNDSRSELQVCNPITKICKKLQEPPGMKFSDYSALSLSVSRTSHNYTISIVKSKQVPGNFLQWDLSIHIYDSETMMWATSLTEVLTGWRGGDESVICDGVLYFLIYSTGVGTPENRHSLVAYNLSSRSSPLIRSLIPVPGPLTCGRLMNLKGKLVMVGGIGKPDRPDIIKGIGIWVLNGRNWVEVGRMPHKFFQGFGELDDVFASSGTDNLIYIQSYGAPALLVFDMSQKLWKWSLKCPVSKKFPLQLFTGFCFEPRLEIAP >KJB24609 pep chromosome:Graimondii2_0_v6:4:43660628:43662387:-1 gene:B456_004G153700 transcript:KJB24609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFALTLEGHAHSNYLNFLQVLFIMEGETSWNSHCIDDMSKDIGEFDSFSELSDEGNKEMIVSVDSILPDDLLERILAYLPIASIFRAGSVCRRWHEIVSSKRFLWNFSHVLSHKPWYFMFTSSDEPVGYAYDPILRKWYSIELPCIQTPNWFIASSCGLVCFMDNDSRSELQVCNPITKICKKLQEPPGMKFSDYSALSLSVSRTSHNYTISIVKSKQVPGNFLQWDLSIHIYDSETMMWATSLTEVLTGWRGGDESVICDGVLYFLIYSTGVGTPENRHSLVAYNLSSRSSPLIRSLIPVPGPLTCGRLMNLKGKLVMVGGIGKPDRPDIIKGIGIWVLNGRNWVEVGRMPHKFFQGFGELDDVFASSGTDNLIYIQSYGAPALLVFDMSQKLWKWSLKCPVSKKFPLQLFTGFCFEPRLEIAP >KJB24608 pep chromosome:Graimondii2_0_v6:4:43660127:43663669:-1 gene:B456_004G153700 transcript:KJB24608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCWNEFWLIFPLLAFSELVLCVEDGMRLSVPKDFYGIFRMSYHISLGTSCSQVLMNQLVMPMTRSSVNGTASNFHAFRLQTGLLLHHVDWFVSWTMTVEASCRTSHNYTISIVKSKQVPGNFLQWDLSIHIYDSETMMWATSLTEVLTGWRGGDESVICDGVLYFLIYSTGVGTPENRHSLVAYNLSSRSSPLIRSLIPVPGPLTCGRLMNLKGKLVMVGGIGKPDRPDIIKGIGIWVLNGRNWVEVGRMPHKFFQGFGELDDVFASSGTDNLIYIQSYGAPALLVFDMSQKLWKWSLKCPVSKKFPLQLFTGFCFEPRLEIAP >KJB26386 pep chromosome:Graimondii2_0_v6:4:57743174:57745683:-1 gene:B456_004G239300 transcript:KJB26386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSSKIRVQVKAMNNIELGFLIFLLLFNLLLPFSYGEAGGLCVSQGGRFPPFSSEGKPPKRVGKGHKDLTLCRVFRKKTCCDAAQTHPALLSIRRLALTGEASEECLHLWELLECSICDPRVGVQPGPPLICTSFCDRVFQACSNAYFSMDAKTQVLAPCGANDFVCGRASEWASNGTELCLAAGFRVEQSVGMHGGIEELSCYGGKASLDSIADSWGASRSEKPHKTGNSGLLEDFQQWLQDMPSNERVSWAVGGLVLTAGLLFIRFCYFLLVNH >KJB26385 pep chromosome:Graimondii2_0_v6:4:57743128:57745722:-1 gene:B456_004G239300 transcript:KJB26385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSSKIRVQVKAMNNIELGFLIFLLLFNLLLPFSYGEAGGLCVSQGGRFPPFSSEGKPPKRVGKGHKDLTLCRVFRKKTCCDAAQTHPALLSIRRLALTGEASEECLHLWELLECSICDPRVGVQPGPPLICTSFCDRVFQACSNAYFSMDAKTQVLAPCGANDFVCGRASEWASNGTELCLAAGFRVEQSVGMHGGIEELSCYGGKASLDSIADSWGASRSEKPHKTGNSGLLEDFQQWLQDMPSNERVSWAVGGLVLTAGLLFISKRKSHNQRQKLAAIQRAARRLEVKMNPTPTSSQGNRKGNRR >KJB23682 pep chromosome:Graimondii2_0_v6:4:22788781:22791924:-1 gene:B456_004G109400 transcript:KJB23682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHSGKHKKQIGDNNVKQKKVGDNSSKSYDSDTAVFIAMSQELKEEGNKLFQKRDHEGAMLTYEKALKLLPKNHIDVCHLRTNIAACYMQMGLSEYPKAIHECDLALEVTPKYSKALVKRARCYESLNRLELALRDVNTVLNMEPNNVMALEISERVRSSLEKEGLPVELPLESVEPPSTSKTPKVVKEKTKKKISKAKESVERPKGSLISEVVQEKTEKKNKKKISKAEESVEPPRDSQIPEVVEEKTEKKNKKKISKAKDNKAADRIEEKEVDGNVEEKKAEDKLVIEEKISSKAEEPKKTVKLILGEDIRCAQLPLNCSLLELREVIHDRFPSLRAVLVKYRDEEGDLVTITSDEELKLAEISAESQGSVRLYVVEVNPEQDPLFERFKHEEVHILDIKQGKATENGHARKVVETRKESCCIDDWIIEFAQLFKNYVGFDLDAYLNLHELGMKQYSEAMEDIVTSEEAQDLFDMAAEKFQEMTALALFNWGNVHMSRARKRVYFPEDGSRESVLKPIKTTYDYAQLEYSKAGKRYEEALRIKPDFYEAHLALAQQQFEQAKLSWYYAIGNNVDMETWPSEKVLHLYNNAEENMEKGMQMWEELEQQRLLELSDLKDEQKQLLLRSLSMLELLPLM >KJB23683 pep chromosome:Graimondii2_0_v6:4:22789353:22791924:-1 gene:B456_004G109400 transcript:KJB23683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHSGKHKKQIGDNNVKQKKVGDNSSKSYDSDTAVFIAMSQELKEEGNKLFQKRDHEGAMLTYEKALKLLPKNHIDVCHLRTNIAACYMQMGLSEYPKAIHECDLALEVTPKYSKALVKRARCYESLNRLELALRDVNTVLNMEPNNVMALEISERVRSSLEKEGLPVELPLESVEPPSTSKTPKVVKEKTKKKISKAKESVERPKGSLISEVVQEKTEKKNKKKISKAEESVEPPRDSQIPEVVEEKTEKKNKKKISKAKDNKAADRIEEKEVDGNVEEKKAEDKLVIEEKISSKAEEPKKTVKLILGEDIRCAQLPLNCSLLELREVIHDRFPSLRAVLVKYRDEEGDLVTITSDEELKLAEISAESQGSVRLYVVEVNPEQDPLFERFKHEEVHILDIKQGKATENGHARKVVETRKESCCIDDWIIEFAQLFKNYVGFDLDAYLNLHELGMKQYSEAMEDIVTSEEAQDLFDMAAEKFQEMTALALFNWGNVHMSRARKRVYFPEDGSRESVLKPIKTTYDYAQLEYSKAGKRYEEALRIKPDFYEAHLALAQQQFEQAKLSWYYAIGNNVDMETWPSEKVLHLYNNAEENMEKGMQMWEELEQQRLLELSDLKDEQKQLKKMGLDGLLKDITADEAAEQAINMSALINILWGTILYERSNMEFKLGLPVWHECLEVAVEKFEHAGASPTDVAVMVKNHCSNNIALEGLGFKIDEIIQAWNEMYEAKKWQSKIPLWRLEPLLRRRVSKIYHALEHA >KJB23681 pep chromosome:Graimondii2_0_v6:4:22788713:22792660:-1 gene:B456_004G109400 transcript:KJB23681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHSGKHKKQIGDNNVKQKKVGDNSSKSYDSDTAVFIAMSQELKEEGNKLFQKRDHEGAMLTYEKALKLLPKNHIDVCHLRTNIAACYMQMGLSEYPKAIHECDLALEVTPKYSKALVKRARCYESLNRLELALRDVNTVLNMEPNNVMALEISERVRSSLEKEGLPVELPLESVEPPSTSKTPKVVKEKTKKKISKAKESVERPKGSLISEVVQEKTEKKNKKKISKAEESVEPPRDSQIPEVVEEKTEKKNKKKISKAKDNKAADRIEEKEVDGNVEEKKAEDKLVIEEKISSKAEEPKKTVKLILGEDIRCAQLPLNCSLLELREVIHDRFPSLRAVLVKYRDEEGDLVTITSDEELKLAEISAESQGSVRLYVVEVNPEQDPLFERFKHEEVHILDIKQGKATENGHARKVVETRKESCCIDDWIIEFAQLFKNYVGFDLDAYLNLHELGMKQYSEAMEDIVTSEEAQDLFDMAAEKFQEMTALALFNWGNVHMSRARKRVYFPEDGSRESVLKPIKTTYDYAQLEYSKAGKRYEEALRIKPDFYEAHLALAQQQFEQAKLSWYYAIGNNVDMETWPSEKVLHLYNNAEENMEKGMQMWEELEQQRLLELSDLKDEQKQLKKMGLDGLLKDITADEAAEQAINMSALINILWGTILYERSNMEFKLGLPVWHECLEVAVEKFEHAGASPTDVAVMVKNHCSNNIALEGLGFKIDEIIQAWNEMYEAKKWQSKIPLWRLEPLLRRRVSKIYHALEHA >KJB23182 pep chromosome:Graimondii2_0_v6:4:10773031:10775214:1 gene:B456_004G085800 transcript:KJB23182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKSITHDVKGELGSISRKGKSRSLRVVQDSLVCINAFKQSCWATLPYELLRDVLMRIEASEVTWPTRKTVVSCAGVCRNWREIMKEIVKTPEISGKLTFPISLKQPGPRDSLLQCYIKRSCNNQTYYLYLGLYQSSNEDGKFLLAARKCRRPTCTDYIISLNADDVSKGSNTYIGKLRSNFLGTKFEIYDSQPPNVGAKVTKSCSTRLMYAKQISPRVPTGNYPIAHISYELNVLGSRGPRRMQCIMDAIPTSSSELEGVAPMQTEFLHSNLDTFPSLPFFRSKSTRTKSSQFGPLSSLRDGMLVLKNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVAFAKNVVVRQEQQENVILQFGKVGNDVFTMDYQYPISAFQAFAICLSSFDTKIACE >KJB23539 pep chromosome:Graimondii2_0_v6:4:20476972:20478249:-1 gene:B456_004G104000 transcript:KJB23539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPFSLKQEFLKKWILGLQRCRSSKKNMSIFKRKKVIKLSADVAMASARKGTTCWSRALIADAVKEGNADKHLAEKILGPASERQPTEKVPVGAMAYNKRIRSKKILKRSSVIRRTIKCAPQAARPSSIVKTLVGKRRQILRSLIPGGEFMNGVSLIEETLDYIISLRAQVDVMRSLASASKLVNLN >KJB23540 pep chromosome:Graimondii2_0_v6:4:20476972:20478476:-1 gene:B456_004G104000 transcript:KJB23540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFLGSVELLSLSATSFPLLLSRLNFLLFSLSIQEQVLARMRSPFSLKQEFLKKWILGLQRCRSSKKNMSIFKRKKVIKLSADVAMASARKGTTCWSRALIADAVKEGNADKHLAEKILGPASERQPTEKVPVGAMAYNKRIRSKKILKRSSVIRRTIKCAPQAARPSSIVKTLVGKRRQILRSLIPGGEFMNGVSLIEETLDYIISLRAQVDVMRSLASASKLVNLN >KJB21836 pep chromosome:Graimondii2_0_v6:4:1208698:1210031:-1 gene:B456_004G017000 transcript:KJB21836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSNPSFEDQIQIQIVDNQTPFFCSTRRFIYLFFQFYFQGLDIHGRIYINEQGINAQYSGPSKHSFAYVEWLKEDDRFSDILVQTSPAFNGHAFPKLKLRYKPSLVQVSNMFMCLPVCPCIFV >KJB26481 pep chromosome:Graimondii2_0_v6:4:58144519:58146929:-1 gene:B456_004G244100 transcript:KJB26481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNEVNLNECKMVVPLNTWVLISSFKLAYNLLRRPDGTFNRHLAEFLDRKVPANLNPVDGVFSFDVLIDRATGLLCRIYRPATAEEPEPNIVELEKPVVGDVVPVIIFFHGGSFAHSSANSAIYDTLCRRLVGICKAVVVSVNYRRAPENRYPCAYDDGWTAFKWVNSRSWLQSRKDSKVHIYLAGDSSGGNIAHHVAARAVESGIDVLGNILLNPMFGGQERTESEKRLDGKYFVTLRDRDWYWRAFLPEGENRDHPACNPFGPNGRSLEGIKFPKSLVVVAGLDLIQDWQLAYVEGLRKAGKEVKLLYMEQATIGFYLLPNNNHFHTVMDEISEFVSSDC >KJB22219 pep chromosome:Graimondii2_0_v6:4:2927284:2930450:-1 gene:B456_004G035800 transcript:KJB22219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVHLVLVQIWDWRIQCKEMGMVQILLGKPVLHLRFSLAMALIMGMVFYQCKFLGFNATRKMTTLRACNGINGEPTSTGRLYNHISFSSGPMPQIAQVENGGLASNGNGRNGMNFIPNLMSDSRNNASLSGLKRARERDSDLLSGLSRLQTRNQDCRDCSTALTHQLSLPTTYEEVDVWQFQSSVPCKIRAKRGCATHPRSIAERMRRTRISERMRKLQGLFPNIDKQTNTADMLDMAVEYIKDLQKQVKILRDTKAKCSC >KJB22218 pep chromosome:Graimondii2_0_v6:4:2927869:2930450:-1 gene:B456_004G035800 transcript:KJB22218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METADYYYLQQNQQQSCGLMRYRSMPAENRVGYDEYGSDFRSSSSSEMETLFMLCGNGSSGNVSEYEEKSVKQEEEMMYQIQSLPLENVESSFGVGTNLGLENSMQRNGNGSNLVRQTSSPSQVFSSHGLDNGFNATRKMTTLRACNGINGEPTSTGRLYNHISFSSGPMPQIAQVENGGLASNGNGRNGMNFIPNLMSDSRNNASLSGLKRARERDSDLLSGLSRLQTRVHNLAISIR >KJB22220 pep chromosome:Graimondii2_0_v6:4:2927125:2930450:-1 gene:B456_004G035800 transcript:KJB22220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METADYYYLQQNQQQSCGLMRYRSMPAENRVGYDEYGSDFRSSSSSEMETLFMLCGNGSSGNVSEYEEKSVKQEEEMMYQIQSLPLENVESSFGVGTNLGLENSMQRNGNGSNLVRQTSSPSQVFSSHGLDNGFNATRKMTTLRACNGINGEPTSTGRLYNHISFSSGPMPQIAQVENGGLASNGNGRNGMNFIPNLMSDSRNNASLSGLKRARERDSDLLSGLSRLQTRNQDCRDCSTALTHQLSLPTTYEEVDVWQFQSSVPCKIRAKRGCATHPRSIAERMRRTRISERMRKLQGLFPNIDKQTNTADMLDMAVEYIKDLQKQVKILRDTKAKCSC >KJB22221 pep chromosome:Graimondii2_0_v6:4:2928276:2929817:-1 gene:B456_004G035800 transcript:KJB22221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METADYYYLQQNQQQSCGLMRYRSMPAENRVGYDEYGSDFRSSSSSEMETLFMLCGNGSSGNVSEYEEKSVKQEEEMMYQIQSLPLENVESSFGVGTNLGLENSMQRNGNGSNLVRQTSSPSQVFSSHGLDNGFNATRKMTTLRACNGINGEPTSTGRLYNHISFSSGPMPQIAQVENGGLASNGNGRNGMNFIPNLMSDSRNNASLSGLKRARERDSDLLSGLSRLQTRVHNLAISIR >KJB22217 pep chromosome:Graimondii2_0_v6:4:2927284:2930450:-1 gene:B456_004G035800 transcript:KJB22217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METADYYYLQQNQQQSCGLMRYRSMPAENRVGYDEYGSDFRSSSSSEMETLFMLCGNGSSGNVSEYEEKSVKQEEEMMYQIQSLPLENVESSFGVGTNLGLENSMQRNGNGSNLVRQTSSPSQVFSSHGLDNGFNATRKMTTLRACNGINGEPTSTGRLYNHISFSSGPMPQIAQVENGGLASNGNGRNGMNFIPNLMSDSRNNASLSGLKRARERDSDLLSGLSRLQTRNQDCRDCSTALTHQLSLPTTYEEVDVWQFQSSVPCKIRAKRGCATHPRSIAERMRRTRISERMRKLQGLFPNIDKQTNTADMLDMAVEYIKDLQKQVKILRDTKAKCSC >KJB22216 pep chromosome:Graimondii2_0_v6:4:2926398:2930450:-1 gene:B456_004G035800 transcript:KJB22216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METADYYYLQQNQQQSCGLMRYRSMPAENRVGYDEYGSDFRSSSSSEMETLFMLCGNGSSGNVSEYEEKSVKQEEEMMYQIQSLPLENVESSFGVGTNLGLENSMQRNGNGSNLVRQTSSPSQVFSSHGLDNGFNATRKMTTLRACNGINGEPTSTGRLYNHISFSSGPMPQIAQVENGGLASNGNGRNGMNFIPNLMSDSRNNASLSGLKRARERDSDLLSGLSRLQTRNQDCRDCSTALTHQLSLPTTYEEVDVWQFQSSVPCKIRAKRGCATHPRSIAERMRRTRISERMRKLQGLFPNIDKQTNTADMLDMAVEYIKDLQKQVKINY >KJB24814 pep chromosome:Graimondii2_0_v6:4:45324694:45327507:-1 gene:B456_004G162200 transcript:KJB24814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFLLFLLSLLSLSLSSPTERDLLLQIKASLDPDNRFLSSWTPYSDPCSTGSFDGVACNGQGRVVNISLQGKGLSGQIPPALGGLKSLTGLYLHFNALSGEIPKEIVSLSQLTDLYLNVNNLSGKIPLHIGNLNNLQVLQLCYNRLTGGLPTQLGSLHKLSVLALQDNRLTGAIPASLGDLGMLTRLDLSFNKLFGSVPVKLANAPMLKVLDIRNNTLSGNVPPVLKRLHAGFQYENNLGLCGVGFPNLKDCADSSKIYRSRPEPFKPNGLNQKNIPESADLPTECSKANCSSPSGRAKMGVVSGIVGVALVLTVAALFTFAWYRRRKQKIGSAFDSSDSRLSTDQVKEVNRRSASPLISLEYSNGWDPLDKGRSGNGFSQEVFESFMFNLEEVERATQCFSETNLLGKSNFSTIYKGSLRDSSAVVVKCIAKTSCKSDESEFLQGLKILTSLKHENLVRLRGFCCSKGRGIRYLHENRGSKQALVHQNLSAEKVLIDNRSNPLLSDSGLHKLVADDIVFSTLKASAAMGYLAPEYTTTGRFTEKSDVYAFGMIVLQILSGKRKITQQIRHAAETSKVQDLMDMNLEGKYSDSEATRLGRIALLCIHESPYHRPSIDNVIQELSALMSSC >KJB24815 pep chromosome:Graimondii2_0_v6:4:45325001:45327362:-1 gene:B456_004G162200 transcript:KJB24815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFLLFLLSLLSLSLSSPTERDLLLQIKASLDPDNRFLSSWTPYSDPCSTGSFDGVACNGQGRVVNISLQGKGLSGQIPPALGGLKSLTGLYLHFNALSGEIPKEIVSLSQLTDLYLNVNNLSGKIPLHIGNLNNLQVLQLCYNRLTGGLPTQLGSLHKLSVLALQDNRLTGAIPASLGDLGMLTRLDLSFNKLFGSVPVKLANAPMLKVLDIRNNTLSGNVPPVLKRLHAGFQYENNLGLCGVGFPNLKDCADSSKIYRSRPEPFKPNGLNQKNIPESADLPTECSKANCSSPSGRAKMGVVSGIVGVALVLTVAALFTFAWYRRRKQKIGSAFDSSDSRLSTDQVKEVNRRSASPLISLEYSNGWDPLDKGRSGNGFSQEVFESFMFNLEEVERATQCFSETNLLGKSNFSTIYKGSLRDSSAVVVKCIAKTSCKSDESEFLQGLKILTSLKHENLVRLRGFCCSKGRGECFLIYDYVPNGNLLQYLDVKQGNGKVLEWSTRISIIYGIAKGIRYLHENRGSKQALVHQNLSAEKVLIDNRSNPLLSDSGLHKLVADDIVFSTLKASAAMGYLAPEYTTTGRFTEKSDVYAFGMIVLQILSGKRKITQQIRHAAETSKVQDLMDMNLEGKYSDSEATRLGRIALLCIHESPYHRPSIDNVIQELSALMSSC >KJB24008 pep chromosome:Graimondii2_0_v6:4:34128634:34130125:1 gene:B456_004G128300 transcript:KJB24008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEFVVPVRDDVAEYCSSVRGLVLRLLEAISDSLGLKRDHIDKTLSKHGQHMALNYYPPCPQPELTYGLPGHTDPNLITILLQDDVPGLQVLRDGKWIAVNPIRNTFIVNIGDQMQVISNDRYKSVLHRAVVNCNKERISIPTFYCPSPDALIGPATDLIDDDHPAVYRSFSYGEYYEKFWKRGLASECCLDLFKTCIP >KJB24009 pep chromosome:Graimondii2_0_v6:4:34128634:34130125:1 gene:B456_004G128300 transcript:KJB24009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLARDFFYLPESERLKNYSDDPSVANRLSTSFNVKTEKVANWRDFLRLHCYPLQDHVNAWPSNPPSFRDDVAEYCSSVRGLVLRLLEAISDSLGLKRDHIDKTLSKHGQHMALNYYPPCPQPELTYGLPGHTDPNLITILLQDDVPGLQVLRDGKWIAVNPIRNTFIVNIGDQMQVISNDRYKSVLHRAVVNCNKERISIPTFYCPSPDALIGPATDLIDDDHPAVYRSFSYGEYYEKFWKRGLASECCLDLFKTCIP >KJB24011 pep chromosome:Graimondii2_0_v6:4:34128634:34130264:1 gene:B456_004G128300 transcript:KJB24011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTTKLLLADLATTVKLVPTNYIRPISDRPNLIDVHVSNVSIPLIDLQDLHGPNRSHVLKQIALACQHDGFFQVKNHGVSESTINNMLRLARDFFYLPESERLKNYSDDPSVANRLSTSFNVKTEKVANWRDFLRLHCYPLQDHVNAWPSNPPSFRDDVAEYCSSVRGLVLRLLEAISDSLGLKRDHIDKTLSKHGQHMALNYYPPCPQPELTYGLPGHTDPNLITILLQDDVPGLQVLRDGKWIAVNPIRNTFIVNIGDQMQVISNDRYKSVLHRAVVNCNKERISIPTFYCPSPDALIGPATDLIDDDHPAVYRSFSYGEYYEKFWKRGLASECCLDLFKTCIP >KJB24010 pep chromosome:Graimondii2_0_v6:4:34128634:34130125:1 gene:B456_004G128300 transcript:KJB24010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTTKLLLADLATTVKLVPTNYIRPISDRPNLIDVHVSNVSIPLIDLQDLHGPNRSHVLKQIALACQHDGFFQVKNHGVSESTINNMLRLARDFFYLPESERLKNYSDDPSVANRLSTSFNVKTEKVANWRDFLRLHCYPLQDHVNAWPSNPPSFRDDVAEYCSSVRGLVLRLLEAISDSLGLKRDHIDKTLSKHGQHMALNYYPPCPQPELTYGLPGHTDPNLITILLQDDVPGLQVLRDGKWIAVNPIRNTFIVNIGDQMQVKWWIVIVTFVYLFVNPEKKIK >KJB23318 pep chromosome:Graimondii2_0_v6:4:13184576:13186985:1 gene:B456_004G092700 transcript:KJB23318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQSQMINQPPQMMMDPIQSQMMNQSHQLMAAAAAAHSQARSQLGAVLSQPMSSGHQMMNQPPPTLLNRSYMPWQSQDPNPNPSKKFPSFSRNNNWKGKKVGGGKDSKKFDNRPLPNGSVSAASGSNTQGFKPPTLNELQSLNQLKARKFYGNKKKFNNKSNNRFAPYAPRNTTSFIIRAKRSGGVASLLSPCPVTPAVLPTPIFSPSREVLGDMAKEEWGVDGYGSMKGLIRLRTLEAGHDDEEDEDVGDGGGSSESDVEEHVEVERRLDHDLSRFEMIYPSYGGDYNNVLENRVDDQDTHIAQLEEENLTLKEKLFLMERELRDLTRRLQFLERRSQVVEYANEEVVENGSDNESEDAGSDFRMRAASAYHNNVEMAVFAAGNSRDVGTVVEDDNNNNNNNSGLSRNEGPDDVSMDRIAEDNIKNEGKGDDELKGQVLRERTVQQDKENEAEGNKAVCSEFVEKIVAEGEDELRF >KJB21556 pep chromosome:Graimondii2_0_v6:4:89890:93470:1 gene:B456_004G001000 transcript:KJB21556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKMEWAGREKHMRGIPRKMVFLAVGAFAKAVATLLNTTSVHNADTLIRLVRSRPPGIPLLTVSNHMSTLDDPLLWGFKGFPSLDANLARWVLAAEDICFKNSVLTYFFRLGKCIPITRGAGIYQEYMNEALQCLNNGAWLHTFPEGKVSQEDAPIRRLKWGTASLIVRAHVTPIVLPMVHSGFEEVMPEKFFLDRRPPFPLCNKKIKIMIGQPLEFDIPKLTELAISKSSGDVFSSTTTSRGWPVISFFGLDLDEAAQRYLYSSISEEIQSAMEELRSSLK >KJB21557 pep chromosome:Graimondii2_0_v6:4:90072:93342:1 gene:B456_004G001000 transcript:KJB21557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPSFVLCVLDPLAYHSSLLDDPLLWGFKGFPSLDANLARWVLAAEDICFKNSVLTYFFRLGKCIPITRGAGIYQEYMNEALQCLNNGAWLHTFPEGKVSQEDAPIRRLKWGTASLIVRAHVTPIVLPMVHSGFEEVMPEKFFLDRRPPFPLCNKKIKIMIGQPLEFDIPKLTELAISKSSGDVFSSTTTSRGWPVISFFGLDLDEAAQRYLYSSISEEIQSAMEELRSSLK >KJB24271 pep chromosome:Graimondii2_0_v6:4:37606067:37606566:-1 gene:B456_004G136200 transcript:KJB24271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITSFSQLNIRRVTLKGKYPFNIEFLSQTNLAKVCEVPFKVDLVSEDKCQERVFDAGVSILEKHLISTMMFTFFL >KJB27074 pep chromosome:Graimondii2_0_v6:4:60973110:60974117:1 gene:B456_004G276300 transcript:KJB27074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTLLLLAILFILGFIHIYFSFLPNKFYYWIQSLIRNTSPSSSSSSAVNYKTAQKISVTERGDPNNLELKRVFSTFDKNGDGFITKQELRESLKNIRMFMTESEVEEMVVKLDANGDGLIDFDEFCVLCKAIDDDDDGGGEEFKRLGEEGEILKEAFDVFDRDKDGLITVEELGSVLCSLGLKEGDKIEDCKAMIRKVDIDGDGMVNFDEFKTMMKNGGRLVSAF >KJB22968 pep chromosome:Graimondii2_0_v6:4:8709163:8710407:1 gene:B456_004G076000 transcript:KJB22968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGEFNGLQALILNECKYVSHVYCYSHYLRLVLVAVDREVFEVNQNFKDLYDINHMASSSSKRNDELQKAQAYSINFSTTKDLIQKLRDNEWDELLNNVISFCNTWELDVLEMNARYIVENHYRVDIDFATIDNQLQELNHRFTEHIVEHLTLTISVDPKEFFKLDFVEKMEDDFLRNSLVVYVEKEIVKKKINVNEIIDEFNVRKEQRVQFK >KJB25222 pep chromosome:Graimondii2_0_v6:4:49308897:49311815:-1 gene:B456_004G181700 transcript:KJB25222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMEQWKDQLEQLKIQLEPWKIQLEQWLHQAIEYLDQIPPIQLYAAIAVLFISTLLLFSIRLFKRTKSNTIVLTGLSGSGKTVLFYVGTVTSMEPNEGTFVLNSESNKKGKIKSIHLVDVPGHSRLRPKLDEFLPQAAGIVFVVDAVEFLPSCPSVSEYLYDILTKASVVKKKIPVLICCNKSDKVTAHTKEFIRKQIEKEIEKLRASRSAISAADISNDVTLGVSGEVFSFTQCRNAVTVADASGLKGEVAQVEQFIREHVKP >KJB25220 pep chromosome:Graimondii2_0_v6:4:49308897:49311815:-1 gene:B456_004G181700 transcript:KJB25220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMEQWKDQLEQLKIQLEPWKIQLEQWLHQAIEYLDQIPPIQLYAAIAVLFISTLLLFSIRLFKRTKSNTIVLTGLSGSGKTVLFYVLRDGSSHQGTVTSMEPNEGTFVLNSESNKGKIKSIHLVDVPGHSRLRPKLDEFLPQAAGIVFVVDAVEFLPSCPSVSEYLYDILTKASVVKKKIPVLICCNKSDKVTAHTKEFIRKQIEKEIEKLRASRSAISAADISNDVTLGVSGEVFSFTQCRNAVTVADASGLKGEVAQVEQFIREHVKP >KJB25219 pep chromosome:Graimondii2_0_v6:4:49308825:49312033:-1 gene:B456_004G181700 transcript:KJB25219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMEQWKDQLEQLKIQLEPWKIQLEQWLHQAIEYLDQIPPIQLYAAIAVLFISTLLLFSIRLFKRTKSNTIVLTGLSGSGKTVLFYVLRDGSSHQGTVTSMEPNEGTFVLNSESNKKGKIKSIHLVDVPGHSRLRPKLDEFLPQAAGIVFVVDAVEFLPSCPSVSEYLYDILTKASVVKKKIPVLICCNKSDKVTAHTKEFIRKQIEKEIEKLRASRSAISAADISNDVTLGVSGEVFSFTQCRNAVTVADASGLKGEVAQVEQFIREHVKP >KJB25221 pep chromosome:Graimondii2_0_v6:4:49309125:49310129:-1 gene:B456_004G181700 transcript:KJB25221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNVILSLLITFLTLFPCQLRDGSSHQGTVTSMEPNEGTFVLNSESNKKGKIKSIHLVDVPGHSRLRPKLDEFLPQAAGIVFVVDAVEFLPSCPSVSEYLYDILTKASVVKKKIPVLICCNKSDKVTAHTKEFIRKQIEKEIEKLRASRSAISAADISNDVTLGVSGEVFSFTQCRNAVTVADASGLKGEVAQVEQFIREHVKP >KJB26252 pep chromosome:Graimondii2_0_v6:4:57026903:57028324:-1 gene:B456_004G233300 transcript:KJB26252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMKKNPLNLYDEDEEGDDGTKARDGASSSNSIVEECEKKASPNGVRHYVRSKMPRLRWTPELHLSFVRAVERLGGQERATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKINDQGKDDYLPQNLWHQSTLHDHQRVISDISWSAFCGNRTPEPYLTNFINSRRKACSNARNEILQQPVNEMVDDCESNGAQIQPMLMHMHPCFANKWLGRGAERQGTSKRKPLDEDLDLSLSLSTKLRRKTSNEEEATNSNLSLSLS >KJB27264 pep chromosome:Graimondii2_0_v6:4:61758838:61763269:1 gene:B456_004G287700 transcript:KJB27264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTGFKGVIIFLGLTLCYVLGEADLGLDTRKPKILDGKNVPNNPTRHAVKSIQSEDGDIIDCIDIYKQPSLDHPSLKNHIIQKSGSCPKGTIPVVRRTHNDDELLSNSRKKSPLTVHQRNHSKAILLTEGYNYAGVKADIKVWNPHVESDDEYSTSRISLRSGPWYDFESVEVGWAVNPGVYGDRQTRLFAYWTVDASKKTGCFDLTCPGFVQTSHEIALGAAIYPISVFRGLPYQITLFIFKDPNTNNLWVQYGERTNIGYWPPKLFTTLTYGAECAEWGGDVYSSKLEQVPHTETQMGNGNFPDYIDGNSGYMKRMRILDISLNLKFPEWVGTYADEYWCYQSQYVSDYVVDPEFYFGGPGRNEMCP >KJB22439 pep chromosome:Graimondii2_0_v6:4:4223945:4225874:1 gene:B456_004G047500 transcript:KJB22439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETEVAQVRFGIVGCANIARKVARAINLASNSILHAIASRSIDKAKQFASDNGLDPRVKIYGSYDQLLDDPSVDAVYMPLPTSLHVHWAVMAAQKGKHVLIEKPTALDVGELDTILEACQSNGLQFMDGSMWLHHPRTVKMKEMLFDSKLLGDINYIYSTSTTSASPEFFENDIRVKGNLDSLGALGDLGWYCLGAVLWAKNYQLPTVVTALPDVTTNSDGVIVSCSASIQYKEPSSSGATTNAIIHCSFLADTTMDLTITGSKGSLNLNDFIIPQRESSASFEFTLGAKFVDLHIGWNVKPERVVVNCDELPQEGLMVKEFTRIVAGIRTTPGVLPDPKWPEISRKTQMLVDAVKKSVDLGCKPVYF >KJB22505 pep chromosome:Graimondii2_0_v6:4:4700458:4701452:-1 gene:B456_004G051200 transcript:KJB22505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPYEYDIFHQHTFEDNIISQSHDIASQFVNIELTIDFVFISVHHDFSADISTVTNHMSLRETFGFELDILENQHLFHQVLFPAFRRLRINTASLAYHNFVYDIFVRGMRSIGTNREVLPLRSVIHASVVEHDYVPSDGVSMGRALAESALEFESSNYGMVPAKESLVKEMVSMVRVEDGDEEDCMICLEELEVGFYASRMPCSHTFHGDCIEKWLKQSHYCPICRFEMPTN >KJB26534 pep chromosome:Graimondii2_0_v6:4:58295763:58298027:-1 gene:B456_004G246200 transcript:KJB26534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQIPRVKLGTQGFEVSKLGFGCMGLSGHNNSVSDEVGIAIIKHAFERGITHFDTADMYGPKTNEILVGKALKHLPREKVQLATKFGVESMGPGGPVINGTPEFVRSSLEASLQRLDVDYIDLYYIIRVDHKTPIEDTMEELKKLVEEGKIKYIGISEASPETIRRAHAVHPLTAVQLEWSLWTRDVEEEIIPLCRELGIGIVPYSPLGRGFFAGRANKDTSNTPLRMFPRFSGENLEKNRILYSKVEKLAEKHGCTAAQLALAWVLHQGDDVAPIPGKSHSITFNLDSEVIHYECLFKVF >KJB26533 pep chromosome:Graimondii2_0_v6:4:58294911:58298112:-1 gene:B456_004G246200 transcript:KJB26533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQIPRVKLGTQGFEVSKLGFGCMGLSGHNNSVSDEVGIAIIKHAFERGITHFDTADMYGPKTNEILVGKALKHLPREKVQLATKFGVESMGPGGPVINGTPEFVRSSLEASLQRLDVDYIDLYYIIRVDHKTPIEDTMEELKKLVEEGKIKYIGISEASPETIRRAHAVHPLTAVQLEWSLWTRDVEEEIIPLCRELGIGIVPYSPLGRGFFAGRANKDTSNTPLRMFPRFSGENLEKNRILYSKVEKLAEKHGCTAAQLALAWVLHQGDDVAPIPGTTKIENLDSNIESVKVKLTKEDLKEIIDTIPIHEVAGSNYPDSLKQFTWKYGNTPPKKST >KJB25578 pep chromosome:Graimondii2_0_v6:4:51865351:51867911:1 gene:B456_004G198000 transcript:KJB25578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASICNRFISRSSPFIKSAVRSNGPKSSFSRSAAAPSISSPLPSPSISSLRRFSSRCPSELGSVQSLLPLHSAVAVARMTSCLSTTSRSCRALSQDGIDGT >KJB25579 pep chromosome:Graimondii2_0_v6:4:51865471:51866984:1 gene:B456_004G198000 transcript:KJB25579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASICNRFISRSSPFIKSAVRSNGPKSSFSRSAAAPSISSPLPSPSISSLRRFSSRCPSELGSVQSLLPLHSAVAVARMTSCLSTTSRSCRALSQGT >KJB25575 pep chromosome:Graimondii2_0_v6:4:51865409:51868438:1 gene:B456_004G198000 transcript:KJB25575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASICNRFISRSSPFIKSAVRSNGPKSSFSRSAAAPSISSPLPSPSISSLRRFSSRCPSELGSVQSLLPLHSAVAVARMTSCLSTTSRSCRALSQGTLCCTYPGL >KJB25573 pep chromosome:Graimondii2_0_v6:4:51865409:51868438:1 gene:B456_004G198000 transcript:KJB25573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASICNRFISRSSPFIKSAVRSNGPKSSFSRSAAAPSISSPLPSPSISSLRRFSSRCPSELGSVQSLLPLHSAVAVARMTSCLSTTSRSCRALSQGT >KJB25577 pep chromosome:Graimondii2_0_v6:4:51865409:51868438:1 gene:B456_004G198000 transcript:KJB25577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASICNRFISRSSPFIKSAVRSNGPKSSFSRSAAAPSISSPLPSPSISSLRRFSSRCPSELGSVQSLLPLHSAVAVARMTSCLSTTSRSCRALSQGTLCCTYPGL >KJB25580 pep chromosome:Graimondii2_0_v6:4:51865300:51868565:1 gene:B456_004G198000 transcript:KJB25580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASICNRFISRSSPFIKSAVRSNGPKSSFSRSAAAPSISSPLPSPSISSLRRFSSRCPSELGSVQSLLPLHSAVAVARMTSCLSTTSRSCRALSQGTLCCTYPGL >KJB25581 pep chromosome:Graimondii2_0_v6:4:51865254:51868565:1 gene:B456_004G198000 transcript:KJB25581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASICNRFISRSSPFIKSAVRSNGPKSSFSRSAAAPSISSPLPSPSISSLRRFSSRCPSELGSVQSLLPLHSAVAVARMTSCLSTTSRSCRALSQGTLCCTYPGL >KJB25576 pep chromosome:Graimondii2_0_v6:4:51865300:51868565:1 gene:B456_004G198000 transcript:KJB25576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASICNRFISRSSPFIKSAVRSNGPKSSFSRSAAAPSISSPLPSPSISSLRRFSSRCPSELGSVQSLLPLHSAVAVARMTSCLSTTSRSCRALSQGTLCCTYPGL >KJB25572 pep chromosome:Graimondii2_0_v6:4:51865254:51868565:1 gene:B456_004G198000 transcript:KJB25572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASICNRFISRSSPFIKSAVRSNGPKSSFSRSAAAPSISSPLPSPSISSLRRFSSRCPSELGSVQSLLPLHSAVAVARMTSCLSTTSRSCRALSQGT >KJB25574 pep chromosome:Graimondii2_0_v6:4:51865254:51868565:1 gene:B456_004G198000 transcript:KJB25574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASICNRFISRSSPFIKSAVRSNGPKSSFSRSAAAPSISSPLPSPSISSLRRFSSRCPSELGSVQSLLPLHSAVAVARMTSCLSTTSRSCRALSQEFGQSVPR >KJB25571 pep chromosome:Graimondii2_0_v6:4:51865254:51868565:1 gene:B456_004G198000 transcript:KJB25571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASICNRFISRSSPFIKSAVRSNGPKSSFSRSAAAPSISSPLPSPSISSLRRFSSRCPSELGSVQSLLPLHSAVAVARMTSCLSTTSRSCRALSQGT >KJB22647 pep chromosome:Graimondii2_0_v6:4:5666139:5670686:-1 gene:B456_004G058700 transcript:KJB22647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMVVFSFAYSLHPSPLLSSFHPPPRPHIQTQTVFSHISRPKHLKPFHFFTRHSSFGIRSFQHEDGENIGNVEPMGKLDKGDRTDDEELPENQNRNTAGRGSSFLAKLAIALGVAAALTVMSFGIKGASFGSLLGVQRLAEVSPSSTMDTTVGFTFKAFGYKFMLPEYAPGWIYFWLLMAAGCGLFISEEALNIWVGITLARLLSLDGTWHSFVESLSRNAPYIISTVLWVYWGVCISDMIPFYLGKLFRESGASDDVCSKLGIGEEKVSQIRHAVQKYGNLIGFIERFSLGVRNPTAFLAGSLLGIGFLMRKRPVFALATVATVVGIWTVFPYVMAASTALFLYLRRRYSS >KJB22646 pep chromosome:Graimondii2_0_v6:4:5666008:5670815:-1 gene:B456_004G058700 transcript:KJB22646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPRPSFYETLHFPAQNITIQFPSFPAMSTMVVFSFAYSLHPSPLLSSFHPPPRPHIQTQTVFSHISRPKHLKPFHFFTRHSSFGIRSFQHEDGENIGNVEPMGKLDKGDRTDDEELPENQNRNTAGRGSSFLAKLAIALGVAAALTVMSFGIKGASFGSLLGVQRLAEVSPSSTMDTTVGFTFKAFGYKFMLPEYAPGWIYFWLLMAAGCGLFISEEALNIWVGITLARLLSLDGTWHSFVESLSRNAPYIISTVLWVYWGVCISDMIPFYLGKLFRESGASDDVCSKLGIGEEKVSQIRHAVQKYGNLIGFIERFSLGVRNPTAFLAGSLGISPEFFFAGVCCGGLVTLPIQLGIGFLMRKRPVFALATVATVVGIWTVFPYVMAASTALFLYLRRRYSS >KJB27020 pep chromosome:Graimondii2_0_v6:4:60701103:60706936:-1 gene:B456_004G272500 transcript:KJB27020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSSEGDSSTASRTGSRSTMGESSLKGCGFSSFTGFRAEKEDVLRLAMPLYLRLAMLDCIKKKDVDAGDQHFQTSSSEDDPCENPVVVFINPKSGGRNGSVLQDRLQHMISQEQVFDLHNVKPDEFVRYGLGCLEKRAEKDNCAKEARKNIRVVVAGGDGTVGWVLGCLGVLHEKDQFPVPPVAIIPLGTGNDLARSFGWGGSFPFSRRSAIKRALQRATNGRICHLDSWHVVVQMPGGEVVDPPHSLKTTEVCDVDQNLKIEGRVPDKVNCYEGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPISNKIIYSGYSCSQGWFLTPCMSDPSLRGLNNILSIHIKRKNSSKWEPIEIPRSVRAIVALNLHSYGSGRNPWGNLKPDYLQKRGFVEAHVDDGILEIFGLKQGWHASFVMVELISAKHIAQASSIRLELSGGDWRDAFLQMDGEPWKQPMSKEYSTIVEIKRVPFPSVMVNGE >KJB27021 pep chromosome:Graimondii2_0_v6:4:60701143:60706838:-1 gene:B456_004G272500 transcript:KJB27021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSSEGDSSTASRTGSRSTMGESSLKGCGFSSFTGFRAEKEDVLRLAMPLYLRLAMLDCIKKKDVDAGDQHFQTSSSEDDPCENPVVVFINPKSGGRNGSVLQDRLQHMISQEQVFDLHNVKPDEFVRYGLGCLEKRAEKDNCAKEARKNIRVVVAGGDGTVGWVLGCLGVLHEKDQFPVPPVAIIPLGTGNDLARSFGWGGSFPFSRRSAIKRALQRATNGRICHLDSWHVVVQMPGGEVVDPPHSLKTTEVCDVDQNLKIEGRVPDKVNCYEGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPISNKIIYSGYSCSQGWFLTPCMSDPSLRGLNNILSIHIKRKNSSKWEPIEIPRRYISSINFFLLCISILIAVFISFFYCHCVYLSTVHLLNSYLTLSFTYDFYKYLFDLTFCMTPSNIMLFSFSVRAIVALNLHSYGSGRNPWGNLKPDYLQKRGFVEAHVDDGILEIFGLKQGWHASFVMVELISAKHIAQASSIRLELSGGDWRDAFLQMDGEPWKQPMSKEYSTIVEIKRVPFPSVMVNGE >KJB25875 pep chromosome:Graimondii2_0_v6:4:54578290:54578731:-1 gene:B456_004G2130001 transcript:KJB25875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSPADPLRNYTTGETRGGVDRSDVKLLQIIQPEGPSFRWNFRIGFTPREGLVIYYVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPYYRKNAFDAGEDGLGKNAHSLKK >KJB26456 pep chromosome:Graimondii2_0_v6:4:58022944:58025668:-1 gene:B456_004G242600 transcript:KJB26456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQEVMKMQTWILKVNIQCSCDGCKQKIKKLLQKIDGVYTTSINADQGKVTVTGNVDPGILIRKLQKSGKHAQLWGSAQKGSNNFPNQMTNHFMNMHIDGGKGGKDNRSQKGGNGGGGGGGGKNNQQKGGQQFAPPPHLMQQMMKGPKDFKLPPSKDQKSVKFQLPDDDLDESDCDFDEFGDEFDDEFDDEFDDDDDDEGEFGHGHGYGHGHGHGHGHQMQNKMVPMMGKGHGSYGPNGMVNGPPMNGKKGGGNGKKGDAFDISVVMKGMGENKDGKHGNGGKIGGGEKNKGGKQNKGGGGKKGGGGLLGFFKKSKGGKDCNHKKGKNEWDGKNKGAYKGNGGKNGGGNNNGNGAKKGGGRNGGGSHEMNKVKNGGFHDIDVINHGKKGGGGGGAGAGGSKNMGQMGQMGGQMGQMGGQMGYNMGQMGRMGNYPISQMGNFPAVQGLPAAAAAATAMNGVGGGYYQGMGAGNPYNQQQQQQQQYMAMMMNQQRANANGGGIYQPMMYAQPYPPPHAPYGPPYPMHATPANSESYVHFFSDENANSCNIM >KJB26457 pep chromosome:Graimondii2_0_v6:4:58023022:58025525:-1 gene:B456_004G242600 transcript:KJB26457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNHFMNMHIDGGKGGKDNRSQKGGNGGGGGGGGKNNQQKGGQQFAPPPHLMQQMMKGPKDFKLPPSKDQKSVKFQLPDDDLDESDCDFDEFGDEFDDEFDDEFDDDDDDEGEFGHGHGYGHGHGHGHGHQMQNKMVPMMGKGHGSYGPNGMVNGPPMNGKKGGGNGKKGDAFDISVVMKGMGENKDGKHGNGGKIGGGEKNKGGKQNKGGGGKKGGGGLLGFFKKSKGGKDCNHKKGKNEWDGKNKGAYKGNGGKNGGGNNNGNGAKKGGGRNGGGSHEMNKVKNGGFHDIDVINHGKKGGGGGGAGAGGSKNMGQMGQMGGQMGQMGGQMGYNMGQMGRMGNYPISQMGNFPAVQGLPAAAAAATAMNGVGGGYYQGMGAGNPYNQQQQQQQQYMAMMMNQQRANANGGGIYQPMMYAQPYPPPHAPYGPPYPMHATPANSESYVHFFSDENANSCNIM >KJB22451 pep chromosome:Graimondii2_0_v6:4:4265649:4278572:1 gene:B456_004G047900 transcript:KJB22451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMKVAQAVHVLNHDTESSNRVAANQWLVQFQQTEAAWEVATSILTSDHQPFPSDFEVEFFAAQILKRKIQNEGYYLQLGVKDALLNALLVAAKRLSSGPPQLLTQICLALSALILRSVEHGKPIEQLFYSLQNLQTQNDGIVAVLEMLTVLPEEIVDTQNTEISASHRNQYGQELLSHTPMVVEFLLQQSENKFQCGLQPNERNRKVLRCLLSWVRAGCFSETPEGSFPTHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEGLPQVLLCRVPFLKEMLLLPALTGGDVKVIAGLACLMSEIGQAAPSLIVEASAEARALTDALLSCVAFPCEDWDIADSTLQFWSSLASYILGPDVDGTNKKNVEGMFFSVFSALLDALLLRAQLDESTFSDESRTFDLPDGLVQFRMNLVELLVDICQLLQPATFVQKLFFSGWFSTNVAIPWKEVEIKLFALNVVSDVVLQGGQTFDFSVVMQLVTVLSSWPSDDLKGFMCIVYRSVADVIGSYSKLISTLETNARPLLLFLAAGISEPLSSNACASALRKLCEDASAVMYEPSNLDIFMWIGEALEKKCLPLEDEEEVVTAISQVLGYVSNKELQNNLLSKLLSSSYDAIGKLIEDDNNHSLRQNPAAYTQILGLATRGLHRMGVVFSHLEMPLLSEASADNPIIAVIRVFWPMLEKLFRSEHMENSSLSTAACRALSLAIQSSGEHFEMLLPKILDCLSTNFLSFQGHECYIRTASLVIEEFGLKEGYGPLFISTFERFTRASSVRALNSSYVCDQEPDLVEAYTNFASTFVRSSRKEVLAASGALLEISFQKAAICCTAMHRGAALAAMSYLSCKHFMLTATCFPVLVRSKIRDTSCYTLMGSVILTITIRMALFKEAIN >KJB22454 pep chromosome:Graimondii2_0_v6:4:4266223:4275650:1 gene:B456_004G047900 transcript:KJB22454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMKVAQAVHVLNHDTESSNRVAANQWLVQFQQTEAAWEVATSILTSDHQPFPSDFEVEFFAAQILKRKIQNEGYYLQLGVKDALLNALLVAAKRLSSGPPQLLTQICLALSALILRSVEHGKPIEQLFYSLQNLQTQNDGIVAVLEMLTVLPEEIVDTQNTEISASHRNQYGQELLSHTPMVVEFLLQQSENKFQCGLQPNERNRKVLRCLLSWVRAGCFSETPEGSFPTHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEGLPQVLLCRVPFLKEMLLLPALTGGDVKVIAGLACLMSEIGQAAPSLIVEASAEARALTDALLSCVAFPCEDWDIADSTLQFWSSLASYILGPDVDGTNKKNVEGMFFSVFSALLDALLLRAQLDESTFSDESRTFDLPDGLVQFRMNLVELLVDICQLLQPATFVQKLFFSGWFSTNVAIPWKEVEIKLFALNVVSDVVLQGGQTFDFSVVMQLVTVLSSWPSDDLKGFMCIVYRSVADVIGSYSKLISTLETNARPLLLFLAAGISEPLSSNACASALRKLCEDASAVMYEPSNLDIFMWIGEALEKKCLPLEDEEEVVTAISQVLGYVSNKELQNNLLSKLLSSSYDAIGKLIEDDNNHSLRQNPAAYTQILGLATRGLHRMGVVFSHLEMPLLSEASADNPIIAVIRVFWPMLEKLFRSEHMENSSLSTAACRALSLAIQSSGEHFEMLLPKILDCLSTNFLSFQGHECYIRTASLVIEEFGLKEGYGPLFISTFERFTRASSVRALNSSYVCDQEPDLVEAYTNFASTFVRSSRKEVLAASGALLEISFQKAAICCTAMHRGAALAAMSYLSCKHFMLTATCFPVLVRSKIRDTSCYTLMGSVILTITIRMALFKEAIN >KJB22455 pep chromosome:Graimondii2_0_v6:4:4266609:4278572:1 gene:B456_004G047900 transcript:KJB22455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVLPEEIVDTQNTEISASHRNQYGQELLSHTPMVVEFLLQQSENKFQCGLQPNERNRKVLRCLLSWVRAGCFSETPEGSFPTHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEGLPQVLLCRVPFLKEMLLLPALTGGDVKVIAGLACLMSEIGQAAPSLIVEASAEARALTDALLSCVAFPCEDWDIADSTLQFWSSLASYILGPDVDGTNKKNVEGMFFSVFSALLDALLLRAQLDESTFSDESRTFDLPDGLVQFRMNLVELLVDICQLLQPATFVQKLFFSGWFSTNVAIPWKEVEIKLFALNVVSDVVLQGGQTFDFSVVMQLVTVLSSWPSDDLKGFMCIVYRSVADVIGSYSKLISTLETNARPLLLFLAAGISEPLSSNACASALRKLCEDASAVMYEPSNLDIFMWIGEALEKKCLPLEDEEEVVTAISQVLGYVSNKELQNNLLSKLLSSSYDAIGKLIEDDNNHSLRQNPAAYTQILGLATRGLHRMGVVFSHLEMPLLSEASADNPIIAVIRVFWPMLEKLFRSEHMENSSLSTAACRALSLAIQSSGEHFEMLLPKILDCLSTNFLSFQGHECYIRTASLVIEEFGLKEGYGPLFISTFERFTRASSVRALNSSYVCDQEPDLVEAYTNFASTFVRSSRKEVLAASGALLEISFQKAAICCTAMHRGAALAAMSYLSCFLEVGLASLLESMTFSPEGSFGATSIQVISHSGEGLVSNIVYALLGVSAMSRVHKCATILQQLAAFSCLSERTTWKTVLSWEFLHSWLQAAVQALPADYLKQGEAETLVPVWLKALAGAASDYLESKSSNGRTSDYGYMQGKGGRMLKRVIREFADSHRNIPNFT >KJB22447 pep chromosome:Graimondii2_0_v6:4:4265540:4278572:1 gene:B456_004G047900 transcript:KJB22447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMKVAQAVHVLNHDTESSNRVAANQWLVQFQQTEAAWEVATSILTSDHQPFPSDFEVEFFAAQILKRKIQNEGYYLQLGVKDALLNALLVAAKRLSSGPPQLLTQICLALSALILRSVEHGKPIEQLFYSLQNLQTQNDGIVAVLEMLTVLPEEIVDTQNTEISASHRNQYGQELLSHTPMVVEFLLQQSENKFQCGLQPNERNRKVLRCLLSWVRAGCFSETPEGSFPTHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEGLPQVLLCRVPFLKEMLLLPALTGGDVKVIAGLACLMSEIGQAAPSLIVEASAEARALTDALLSCVAFPCEDWDIADSTLQFWSSLASYILGPDVDGTNKKNVEGMFFSVFSALLDALLLRAQLDESTFSDESRTFDLPDGLVQFRMNLVELLVDICQLLQPATFVQKLFFSGWFSTNVAIPWKEVEIKLFALNVVSDVVLQGGQTFDFSVVMQLVTVLSSWPSDDLKGFMCIVYRSVADVIGSYSKLISTLETNARPLLLFLAAGISEPLSSNACASALRKLCEDASAVMYEPSNLDIFMWIGEALEKKCLPLEDEEEVVTAISQVLGYVSNKELQNNLLSKLLSSSYDAIGKLIEDDNNHSLRQNPAAYTQILGLATRGLHRMGVVFSHLEMPLLSEASADNPIIAVIRVFWPMLEKLFRSEHMENSSLSTAACRALSLAIQSSGEHFEMLLPKILDCLSTNFLSFQGHECYIRTASLVIEEFGLKEGYGPLFISTFERFTRASSVRALNSSYVCDQEPDLVEAYTNFASTFVRSSRKEVLAASGALLEISFQKAAICCTAMHRGAALAAMSYLSCFLEVGLASLLESMTFSPEGSFGATSIQVISHSGEGLVSNIVYALLGVSAMSRVHKCATILQQLAAFSCLSERTTWKTVLSWEFLHSWLQAAVQALPADYLKQGEAETLVPVWLKALAGAASDYLESKSSNGRTSDYGYMQGKGGRMLKRVIREFADSHRNIPNFT >KJB22450 pep chromosome:Graimondii2_0_v6:4:4265649:4277164:1 gene:B456_004G047900 transcript:KJB22450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMKVAQAVHVLNHDTESSNRVAANQWLVQFQQTEAAWEVATSILTSDHQPFPSDFEVEFFAAQILKRKIQNEGYYLQLGVKDALLNALLVAAKRLSSGPPQLLTQICLALSALILRSVEHGKPIEQLFYSLQNLQTQNDGIVAVLEMLTVLPEEIVDTQNTEISASHRNQYGQELLSHTPMVVEFLLQQSENKFQCGLQPNERNRKVLRCLLSWVRAGCFSETPEGSFPTHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEGLPQVLLCRVPFLKEMLLLPALTGGDVKVIAGLACLMSEIGQAAPSLIVEASAEARALTDALLSCVAFPCEDWDIADSTLQFWSSLASYILGPDVDGTNKKNVEGMFFSVFSALLDALLLRAQLDESTFSDESRTFDLPDGLVQFRMNLVELLVDICQLLQPATFVQKLFFSGWFSTNVAIPWKEVEIKLFALNVVSDVVLQGGQTFDFSVVMQLVTVLSSWPSDDLKGFMCIVYRSVADVIGSYSKLISTLETNARPLLLFLAAGISEPLSSNACASALRKLCEDASAVMYEPSNLDIFMWIGEALEKKCLPLEDEEEVVTAISQVLGYVSNKELQNNLLSKLLSSSYDAIGKLIEDDNNHSLRQNPAAYTQILGLATRGLHRMGVVFSHLEMPLLSEASADNPIIAVIRVFWPMLEKLFRSEHMENSSLSTAACRALSLAIQSSGEHFEMLLPKILDCLSTNFLSFQGHECYIRTASLVIEEFGLKEGYGPLFISTFERFTRASSVRALNSSYVCDQEPDLVEAYTNFASTFVRSSRKEVLAASGALLEISFQKAAICCTAMHRGAALAAMSYLSCFLEVGLASLLESMTFSPEGSFGATSIQVISHSGEGLVSNIVYALLGVSAMSRVNSVFLNLK >KJB22453 pep chromosome:Graimondii2_0_v6:4:4265649:4278572:1 gene:B456_004G047900 transcript:KJB22453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMKVAQAVHVLNHDTESSNRVAANQWLVQFQQTEAAWEVATSILTSDHQPFPSDFEVEFFAAQILKRKIQNEGYYLQLGVKDALLNALLVAAKRLSSGPPQLLTQICLALSALILRSVEHGKPIEQLFYSLQNLQTQNDGIVAVLEMLTVLPEEIVDTQNTEISASHRNQYGQELLSHTPMVVEFLLQQSENKFQCGLQPNERNRKVLRCLLSWVRAGCFSETPEGSFPTHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEGLPQVLLCRVPFLKEMLLLPALTGGDVKVIAGLACLMSEIGQAAPSLIVEASAEARALTDALLSCVAFPCEDWDIADSTLQFWSSLASYILGPDVDGTNKKNVEGMFFSVFSALLDALLLRAQLDESTFSDESRTFDLPDGLVQFRMNLVELLVDICQLLQPATFVQKLFFSGWFSTNVAIPWKEVEIKLFALNVVSDVVLQGGQTFDFSVVMQLVTVLSSWPSDDLKGFMCIVYRSVADVIGSYSKLISTLETNARPLLLFLAAGISEPLSSNACASALRKLCEDASAVMYEPSNLDIFMWIGEALEKKCLPLEDEEEVVTAISQVLGYVSNKELQNNLLSKLLSSSYDAIGKLIEDDNNHSLRQNPAAYTQILGLATRGLHRMGVVFSHLEMPLLSEASADNPIIAVIRVFWPMLEKLFRSEHMENSSLSTAACRALSLAIQSSGEHFEMLLPKILDCLSTNFLSFQGHECYIRTGPQVCDNIAAIGCIFLFE >KJB22449 pep chromosome:Graimondii2_0_v6:4:4265649:4272938:1 gene:B456_004G047900 transcript:KJB22449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMKVAQAVHVLNHDTESSNRVAANQWLVQFQQTEAAWEVATSILTSDHQPFPSDFEVEFFAAQILKRKIQNEGYYLQLGVKDALLNALLVAAKRLSSGPPQLLTQICLALSALILRSVEHGKPIEQLFYSLQNLQTQNDGIVAVLEMLTVLPEEIVDTQNTEISASHRNQYGQELLSHTPMVVEFLLQQSENKFQCGLQPNERNRKVLRCLLSWVRAGCFSETPEGSFPTHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEGLPQVLLCRVPFLKEMLLLPALTGGDVKVIAGLACLMSEIGQAAPSLIVEASAEARALTDALLSCVAFPCEDWDIADSTLQFWSSLASYILGPDVDGTNKKNVEGMFFSVFSALLDALLLRAQLDESTFSDESRTFDLPDGLVQFRMNLVELLVDICQLLQPATFVQKLFFSGWFSTNVAIPWKEVEIKLFALNVVSDVVLQGGQTFDFSVVMQLVTVLSSWPSDDLKGFMCIVYRSVADVIGSYSKLISTLETNARPLLLFLAAGISEPLSSNACASALRKLCEDASAVMYEPSNLDIFMWIGEALEKKCLPLEDEEEVVTAISQVLGYVSNKELQNNLLSKLLSSSYDAIGKLVSFFLQYLVYYYGSVLIFPFGVVASSCINWVVQNSSMIHRSKLLALKSLNQEIGCKGANKKSCDFF >KJB22456 pep chromosome:Graimondii2_0_v6:4:4265649:4278572:1 gene:B456_004G047900 transcript:KJB22456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMKVAQAVHVLNHDTESSNRVAANQWLVQFQQTEAAWEVATSILTSDHQPFPSDFEVEFFAAQILKRKIQNEGYYLQLGVKDALLNALLVAAKRLSSGPPQLLTQICLALSALILRSVEHGKPIEQLFYSLQNLQTQNDGIVAVLEMLTVLPEEIVDTQNTEISASHRNQYGQELLSHTPMVVEFLLQQSENKFQCGLQPNERNRKVLRCLLSWVRAGCFSETPEGSFPTHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEGLPQVLLCRVPFLKEMLLLPALTGGDVKVIAGLACLMSEIGQAAPSLIVEASAEARALTDALLSCVAFPCEDWDIADSTLQFWSSLASYILGPDVDGTNKKNVEGMFFSVFSALLDALLLRAQLDESTFSDESRTFDLPDGLVQFRMNLVELLVDICQLLQPATFVQKLFFSGWFSTNVAIPWKEVEIKLFALNVVSDVVLQGGQTFDFSVVMQLVTVLSSWPSDDLKGFMCIVYRSVADVIGSYSKLISTLETNARPLLLFLAAGISEPLSSNACASALRKLCEDASAVMYEPSNLDIFMWIGEALEKKCLPLEDEEEVVTAISQVLGYVSNKELQNNLLSKLLSSSYDAIGKLIEDDNNHSLRQNPAAYTQILGLATRGLHRMGVVFSHLEMPLLSEASADNPIIAVIRVFWPMLEKLFRSEHMENSSLSTAACRALSLAIQSSGEHFEMLLPKILDCLSTNFLSFQGHECYIRTASLVIEEFGLKEGYGPLFISTFERFTRASSVRALNSSYVCDQEPDLVEAYTNFASTFVRSSRKEVLAASGALLEISFQKAAICCTAMHRGAALAAMSYLSCFLEVGLASLLESMTFSPEGSFGATSIQVISHSGEGLVSNIVYALLGVSAMSRVHKCATILQQLAAFSCLSERTTWKTVLSWEFLHSWLQAAALPADYLKQGEAETLVPVWLKALAGAASDYLESKSSNGRTSDYGYMQGKGGRMLKRVIREFADSHRNIPNFT >KJB22448 pep chromosome:Graimondii2_0_v6:4:4265575:4278607:1 gene:B456_004G047900 transcript:KJB22448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMKVAQAVHVLNHDTESSNRVAANQWLVQFQQTEAAWEVATSILTSDHQPFPSDFEVEFFAAQILKRKIQNEGYYLQLGVKDALLNALLVAAKRLSSGPPQLLTQICLALSALILRSVEHGKPIEQLFYSLQNLQTQNDGIVAVLEMLTVLPEEIVDTQNTEISASHRNQYGQELLSHTPMVVEFLLQQSENKFQCGLQPNERNRKVLRCLLSWVRAGCFSETPEGSFPTHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEGLPQVLLCRVPFLKEMLLLPALTGGDVKVIAGLACLMSEIGQAAPSLIVEASAEARALTDALLSCVAFPCEDWDIADSTLQFWSSLASYILGPDVDGTNKKNVEGMFFSVFSALLDALLLRAQLDESTFSDESRTFDLPDGLVQFRMNLVELLVDICQLLQPATFVQKLFFSGWFSTNVAIPWKEVEIKLFALNVVSDVVLQGGQTFDFSVVMQLVTVLSSWPSDDLKGFMCIVYRSVADVIGSYSKLISTLETNARPLLLFLAAGISEPLSSNACASALRKLCEDASAVMYEPSNLDIFMWIGEALEKKCLPLEDEEEVVTAISQVLGYVSNKELQNNLLSKLLSSSYDAIGKLIEDDNNHSLRQNPAAYTQILGLATRGLHRMGVVFSHLEMPLLSEASADNPIIAVIRVFWPMLEKLFRSEHMENSSLSTAACRALSLAIQSSGEHFEMLLPKILDCLSTNFLSFQGHECYIRTASLVIEEFGLKEGYGPLFISTFERFTRASSVRALNSSYVCDQEPDLVEAYTNFASTFVRSSRKEVLAASGALLEISFQKAAICCTAMHRGAALAAMSYLSCFLEVGLASLLESMTFSPEGSFGATSIQVISHSGEGLVSNIVYALLGVSAMSRVHKCATILQQLAAFSCLSERTTWKTVLSWEFLHSWLQAAVQALPADYLKQGEAETLVPVWLKALAGAASDYLESKSSNGRTSDYGYMQGKGGRMLKRVIREFADSHRNIPNFT >KJB22457 pep chromosome:Graimondii2_0_v6:4:4266971:4278172:1 gene:B456_004G047900 transcript:KJB22457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVLPEEIVDTQNTEISASHRNQYGQELLSHTPMVVEFLLQQSENKFQCGLQPNERNRKVLRCLLSWVRAGCFSETPEGSFPTHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEGLPQVLLCRVPFLKEMLLLPALTGGDVKVIAGLACLMSEIGQAAPSLIVEASAEARALTDALLSCVAFPCEDWDIADSTLQFWSSLASYILGPDVDGTNKKNVEGMFFSVFSALLDALLLRAQLDESTFSDESRTFDLPDGLVQFRMNLVELLVDICQLLQPATFVQKLFFSGWFSTNVAIPWKEVEIKLFALNVVSDVVLQGGQTFDFSVVMQLVTVLSSWPSDDLKGFMCIVYRSVADVIGSYSKLISTLETNARPLLLFLAAGISEPLSSNACASALRKLCEDASAVMYEPSNLDIFMWIGEALEKKCLPLEDEEEVVTAISQVLGYVSNKELQNNLLSKLLSSSYDAIGKLIEDDNNHSLRQNPAAYTQILGLATRGLHRMGVVFSHLEMPLLSEASADNPIIAVIRVFWPMLEKLFRSEHMENSSLSTAACRALSLAIQSSGEHFEMLLPKILDCLSTNFLSFQGHECYIRTASLVIEEFGLKEGYGPLFISTFERFTRASSVRALNSSYVCDQEPDLVEAYTNFASTFVRSSRKEVLAASGALLEISFQKAAICCTAMHRGAALAAMSYLSCFLEVGLASLLESMTFSPEGSFGATSIQVISHSGEGLVSNIVYALLGVSAMSRVHKCATILQQLAAFSCLSERTTWKTVLSWEFLHSWLQAAVQALPADYLKQGEAETLVPVWLKALAGAASDYLESKSSNGRTSDYGYMQGKGGRMLKRVIREFADSHRNIPNFT >KJB22452 pep chromosome:Graimondii2_0_v6:4:4265649:4277479:1 gene:B456_004G047900 transcript:KJB22452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMKVAQAVHVLNHDTESSNRVAANQWLVQFQQTEAAWEVATSILTSDHQPFPSDFEVEFFAAQILKRKIQNEGYYLQLGVKDALLNALLVAAKRLSSGPPQLLTQICLALSALILRSVEHGKPIEQLFYSLQNLQTQNDGIVAVLEMLTVLPEEIVDTQNTEISASHRNQYGQELLSHTPMVVEFLLQQSENKFQCGLQPNERNRKVLRCLLSWVRAGCFSETPEGSFPTHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEGLPQVLLCRVPFLKEMLLLPALTGGDVKVIAGLACLMSEIGQAAPSLIVEASAEARALTDALLSCVAFPCEDWDIADSTLQFWSSLASYILGPDVDGTNKKNVEGMFFSVFSALLDALLLRAQLDESTFSDESRTFDLPDGLVQFRMNLVELLVDICQLLQPATFVQKLFFSGWFSTNVAIPWKEVEIKLFALNVVSDVVLQGGQTFDFSVVMQLVTVLSSWPSDDLKGFMCIVYRSVADVIGSYSKLISTLETNARPLLLFLAAGISEPLSSNACASALRKLCEDASAVMYEPSNLDIFMWIGEALEKKCLPLEDEEEVVTAISQVLGYVSNKELQNNLLSKLLSSSYDAIGKLIEDDNNHSLRQNPAAYTQILGLATRGLHRMGVVFSHLEMPLLSEASADNPIIAVIRVFWPMLEKLFRSEHMENSSLSTAACRALSLAIQSSGEHFEMLLPKILDCLSTNFLSFQGHECYIRTASLVIEEFGLKEGYGPLFISTFERFTRASSVRALNSSYVCDQEPDLVEAYTNFASTFVRSSRKEVLAASGALLEISFQKAAICCTAMHRGAALAAMSYLSCFLEVGLASLLESMTFSPEGSFGATSIQVISHSGEGLVSNIVYALLGVSAMSRVHKCATILQQLAAFSCLSERTTWKTVLSWEFLHSWLQAAVCSRFPSPLRCI >KJB24000 pep chromosome:Graimondii2_0_v6:4:32364278:32366799:-1 gene:B456_004G124400 transcript:KJB24000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIGGNSWLTAVKKAFRSPTKENDKRSCRRREDGEQEEEEKKRGKRRWIFKKPPYQETVIQHSEASTIVTIANAKVATNSETSALNTVPEAEQRSHAIAVAIATTAAAQAAVATAQAAVEVVRLTRPSVFLREHIAAIVIQTAFRGYLAKRALRALKGLVKLQALVRGHNVRKRANITLRCMEAMARVQARVRDQRKRLSVHERSMDSIFSDHRTNTLWSSYLADRKSIYSREESNYDDDWRTHWDEHPKTLKEIQAILQKTKQATMKREKALAQAFSNQIWTGDRNTVESEDEVDGKTRWVDRWTTRKQWESTGRMSSDHIDSIKTVEIDTSRPYSYSAPHSQNPNSQYHYQQRRPSSFSVASPLHKTINGLPIRSITPSPSKAKPLQIYSASPRYLKEERFPPSPARTPNTGSYYHRVSGNAAAMPNYMAATASAMARVRSQSAPKQRHCSTPEREKVGSAKKCLLFPAPEQSGVEESIKDEVYYDYNLGSPSYKSSHGGHFGMEHKSNLSSCYADSLGHGEDIFPPSTNDLRKWLR >KJB24163 pep chromosome:Graimondii2_0_v6:4:35383172:35384497:1 gene:B456_004G130900 transcript:KJB24163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCSKVGLNRGPWTAREDTLLINYIQAHGEGHWRSLPKMAGLLRCGKSCRLRWINYLRPDIKRGNITPDEDDLIIRLHSLLGNRWSLIAKRLPGRTDNEIKNYWNSHLSKRVLNNSQTNSTTRSSRKAKKATEKKQKANNVEEKEEIKVLHQPKASRVSPFSVISRSSSFDSLISGSSSSGEGSIGTNDAYVFDIPSYWSDFAADTNLEFPDAEAFSDATPVGDSNLLDDIFEEYQKLLDGDDPGERDSIFDVLSCF >KJB21739 pep chromosome:Graimondii2_0_v6:4:821894:823225:1 gene:B456_004G011500 transcript:KJB21739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEDSDRVSKPVMIREVWSDNLESEFELISQVIDEYPFISMDTEFPGIIFRPKVDPVRPYNGQFRPADHYKVLKSNVDALNLIQVGLTLTDSSGNLPGSENGTRFIWEFNFRDFDVERDPHAPDSIELLRRQGIDFDKNKEKGIDSVRFAELMMSSGLVCNDSVSWVTFHSAYDFGYLVKILTRRDLPEKLDEFLTILKVFFGDRVYDLKHMMKFCNSLYGGLDVVARTLDVNRAVGKCHQAGSDSLLTWHAFQKMRDLYFVNDGPEKHAGVLYGLEVY >KJB22111 pep chromosome:Graimondii2_0_v6:4:2384606:2384968:1 gene:B456_004G030000 transcript:KJB22111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFNVSFILSLYALLLISSSATRLLLPFSVSHEFNSFHHRYLPQSAAIASVTSATTAIKPVDKQEVSETNGKSEIFGGTNKCALIEEGRKAIQASLKRNAGNPLESKRRSPGGPDPRHH >KJB24456 pep chromosome:Graimondii2_0_v6:4:41286855:41287241:-1 gene:B456_004G146500 transcript:KJB24456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSGSFESKKRKICNDNGENEEEDDEEEKMEKFYALINNIREARDRFIISNTKKRKLEGEKHVVAVWKPSFQHQDFMEKADDDQLKKPTTMSGFTATSQTKQETGNYDVKDEGVKVKQGLDLTLSL >KJB23652 pep chromosome:Graimondii2_0_v6:4:22640614:22641249:-1 gene:B456_004G109100 transcript:KJB23652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLRKPSPLLLNLAFMAMFITAGNTQKLLPPFAFAPTTGLASFQPGEAQKCWSALSSIQGCILQISTSFFYGQIGVIGPACCQAITHISDDCWLKMFPFNPFFPPFLRISCSSPTPPVGPILNGINKVSSPLQYGSEVDKCWSSLSNVNRCIMEIFNSLSVGQMFTLISPACCNAIMKLNDVCWPKLFPFYPNFSPYLKNYCGGTTEAPK >KJB25481 pep chromosome:Graimondii2_0_v6:4:51212305:51215683:-1 gene:B456_004G193900 transcript:KJB25481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNIKNSDTRVVVATNQRKGFEGIRLENPFVLKVGQVFTGFGIGCGIGIGVGRPINLGRIPMLGEVMSATRGATDAFSGVSRHVNGALRKLGAKNVEAGIGCGVGLGHGFGIGLAVKPGVVNQIQCCVMQTMTKLMNKFGISSNLPFNEGAFPASFQSGLTTTNEPSIQGPHGKLKQLLPNLPDTTSQGLPGPGNTSRGSAYQKLSSESPNETSFGTRTEKVLNSFLQNPLLKEDETNLSELAGRLRSENNLLQLVLKHQRIIEELMEENLKLRQILMEDLKISPSKLQASYSSKIKSPCSECFYCRRKQRRNR >KJB25482 pep chromosome:Graimondii2_0_v6:4:51211906:51215683:-1 gene:B456_004G193900 transcript:KJB25482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNIKNSDTRVVVATNQRKGFEGIRLENPFVLKVGQVFTGFGIGCGIGIGVGRPINLGRIPMLGEVMSATRGATDAFSGVSRHVNGALRKLGAKNVEAGIGCGVGLGHGFGIGLAVKPGVVNQIQCCVMQTMTKLMNKFGISSNLPFNEGAFPASFQSGLTTTNEPSIQGPHGKLKQLLPNLPDTTSQGLPGPGNTSRGSAYQKLSSESPNETSFGTRTEKVLNSFLQNPLLKEDETNLSELAGRLRSENNLLQLVLKHQRIIEELMEENLKLRQILMEDLKISPSKLQASYSSKIKSPCSECFYCRRKQRRNR >KJB25483 pep chromosome:Graimondii2_0_v6:4:51212710:51215445:-1 gene:B456_004G193900 transcript:KJB25483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNIKNSDTRVVVATNQRKGFEGIRLENPFVLKVGQVFTGFGIGCGIGIGVGRPINLGRIPMLGEVMSATRGATDAFSGVSRHVNGALRKLGAKNVEAGIGCGVGLGHGFGIGLAVKPGVVNQIQCCVMQTMTKLMNKFGISSNLPFNEGAFPASFQSGLTTTNEPSIQGPHGKLKQLLPNLPDTTSQGLPGPGNTSRGSAYQKLSSESPNETSFGTRTEKVLNSFLQNPLLKEDETNLSELAGRLRSENNLLQLVLKHQRIIEELMEENLKLRQILMEDLKISPSKLQASYSSKIKSPCSECFYCRRKQRRNR >KJB27016 pep chromosome:Graimondii2_0_v6:4:60689437:60694307:-1 gene:B456_004G272300 transcript:KJB27016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLGKPVTERGGFEKLPDQLRDSLSYDFNKPDFRELDLGSPVSPLRTRQSGLTMTTTTSSSSSSSGSVSGRNGSIVTGRRYESRINNNSGELSGSNETSPTVSTRILKPGQIRSEPNIPVSSPSVNVLPTGNICSSGKILKTGMAVNRSSRPDVLGSRSGNYGHGSIMRGSGMGIGHITASKGGTFDHNNTMGKAMEPDEVKRIGNEMYKKGQFLEALSLYDKAIALSPGIAAYRSNRAAALTALGRLGEAVKECEETVKLDPNYWRAHQRLASLLLRVGQVENARKHLCLLGQPQDRTELQKLQAVEKHLCKCTDARRIRDWKSALRETDAAIASGADFSPQLFMCRVEALLKLHQLDDAESSLSVVPKLEPCTNSCSQTKFFGMFSEAYLFFVQAQIEMALGRFENAVTAAEKAEQIDPRNVEVAVLLNNVKLVARARARGNDLFKSERFTEACSAYGDGLRLDPSNSILYCNRAACWFKLGRWEHSVEDCDQALSIQPNYIKALLRRAASNSKLERWADAVQDYEVLRRELPDDNKIAESLFQAQVALKKSHGDFGEEACSIKQFRAAISLPGVSVVHFKMSSNMQCKQISPFVDSLCDRHPSVDIEESPGVANAENISILPTFKIYKNGCCLKEMVCPSREMLEHSVIHNSSYNP >KJB27015 pep chromosome:Graimondii2_0_v6:4:60689420:60694332:-1 gene:B456_004G272300 transcript:KJB27015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLGKPVTERGGFEKLPDQLRDSLSYDFNKPDFRELDLGSPVSPLRTRQSGLTMTTTTSSSSSSSGSVSGRNGSIVTGRRYESRINNNSGELSGSNETSPTVSTRILKPGQIRSEPNIPVSSPSVNVLPTGNICSSGKILKTGMAVNRSSRPDVLGSRSGNYGHGSIMRGSGMGIGHITASKGGTFDHNNTMGKAMEPDEVKRIGNEMYKKGQFLEALSLYDKAIALSPGIAAYRSNRAAALTALGRLGEAVKECEETVKLDPNYWRAHQRLASLLLRVGQVENARKHLCLLGQPQDRTELQKLQAVEKHLCKCTDARRIRDWKSALRETDAAIASGADFSPQLFMCRVEALLKLHQLDDAESSLSVVPKLEPCTNSCSQTKFFGMFSEAYLFFVQAQIEMALGRFENAVTAAEKAEQIDPRNVEVAVLLNNVKLVARARARGNDLFKSERFTEACSAYGDGLRLDPSNSILYCNRAACWFKLGRWEHSVEDCDQALSIQPNYIKALLRRAASNSKLERWADAVQDYEVLRRELPDDNKIAESLFQAQVALKKSHGDFGEEACSIKQFRAAISLPGVSVVHFKMSSNMQCKQISPFVDSLCDRHPSVNFLNVDIEESPGVANAENISILPTFKIYKNGCCLKEMVCPSREMLEHSVIHNSSYNP >KJB27017 pep chromosome:Graimondii2_0_v6:4:60689470:60694246:-1 gene:B456_004G272300 transcript:KJB27017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLGKPVTERGGFEKLPDQLRDSLSYDFNKPDFRELDLGSPVSPLRTRQSGLTMTTTTSSSSSSSGSVSGRNGSIVTGRRYESRINNNSGELSGSNETSPTVSTRILKPGQIRSEPNIPVSSPSVNVLPTGNICSSGKILKTGMAVNRSSRPDVLGSRSGNYGHGSIMRGSGMGIGHITASKGGTFDHNNTMGKAMEPDEVKRIGNEMYKKGQFLEALSLYDKAIALSPGIAAYRSNRAAALTALGRLGEAVKECEETVKLDPNYWRAHQRLASLLLRVGQVENARKHLCLLGQPQDRTELQKLQAVEKHLCKCTDARRIRDWKSALRETDAAIASGADFSPQLFMCRVEALLKLHQLDDAESSLSVVPKLEPCTNSCSQTKFFGMFSEAYLFFVQAQIEMALGRFENAVTAAEKAEQIDPRNVEVAVLLNNVKLVARARARGNDLFKSERFTEACSAYGDGLRLDPSNSILYCNRAACWFKLGRWEHSVEDCDQALSIQPNYIKALLRRAASNSKLERWADAVQDYEVLRRELPDDNKIAESLFQAQVALKKSHGDFGEEACSIKQFRAAISLPGVSVVHFKMSSNMQCKQISPFVDSLCDRHPSVNFLNVNGPPFIFFSDTRLENNRNVFFRIGGHRGESWSCKCRKHKYLTNIQDIQKRLLPQGNGVSESRNA >KJB25631 pep chromosome:Graimondii2_0_v6:4:57729872:57732262:1 gene:B456_004G238900 transcript:KJB25631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSATSYSYSDSFSDTSSSHGKRRRHRSNRRDRDRDSFKIRKKSRSLGKRRRKKHRRHSSDSDSSRSNSFSDSDNESSHSKRHKKSERQKKSKEKERSKSYRHRRQKNKLKELGSVT >KJB25628 pep chromosome:Graimondii2_0_v6:4:57729866:57732440:1 gene:B456_004G238900 transcript:KJB25628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSATSYSYSDSFSDTSSSHGKRRRHRSNRRDRDRDSFKIRKKSRSLGKRRRKKHRRHSSDSDSSSRSNSFSDSDNESSHSKRHKKSERQKKSKEKERSKSYRHRRQKNKLKELGSVT >KJB25625 pep chromosome:Graimondii2_0_v6:4:57729853:57732284:1 gene:B456_004G238900 transcript:KJB25625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSATSYSYSDSFSDTSSSHGKRRRHRSNRRDRDRDSFKIRKKSRSLGKRRRKKHRRHSSDSDSSRSNSFSDSDNESSHSKRHKKSERQKKSKEKERSKSYRHRRQKNKLKEKQQDEQSSSPVQLSKFLGRDKDDGTRRSVVSGKKILLKLNKSKEDKAAESKRNELLKFLNASFD >KJB25629 pep chromosome:Graimondii2_0_v6:4:57729866:57732262:1 gene:B456_004G238900 transcript:KJB25629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSATSYSYSDSFSDTSSSHGKRRRHRSNRRDRDRDSFKIRKKSRSLGKRRRKKHRRHSSDSDSSRSNSFSDSDNESSHSKRHKKSERQKKSKEKERSKSYRHRRQKNKLKEVGHYSLCLHPCIFPSTKCVIMNGISKL >KJB25626 pep chromosome:Graimondii2_0_v6:4:57729872:57732262:1 gene:B456_004G238900 transcript:KJB25626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSATSYSYSDSFSDTSSSHGKRRRHRSNRRDRDRDSFKIRKKSRSLGKRRRKKHRRHSSDSDSSRSNSFSDSDNESSHSKRHKKSERQKKSKEKERSKSYRHRRQKNKLKEVGHYSLCLHPCIFPSTKCVIMNGISKL >KJB25627 pep chromosome:Graimondii2_0_v6:4:57729866:57732262:1 gene:B456_004G238900 transcript:KJB25627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSATSYSYSDSFSDTSSSHGKRRRHRSNRRDRDRDSFKIRKKSRSLGKRRRKKHRRHSSDSDSSRSNSFSDSDNESSHSKRHKKSERQKKSKEKERSKSYRHRRQKNKLKELGSVT >KJB25630 pep chromosome:Graimondii2_0_v6:4:57729872:57732262:1 gene:B456_004G238900 transcript:KJB25630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSATSYSYSDSFSDTSSSHGKRRRHRSNRRDRDRDSFKIRKKSRSLGKRRRKKHRRHSSDSDSSRSNSFSDSDNESSHSKRHKKSERQKKSKEKERSKSYRHRRQKNKLKEVGHYSLCLHPCIFPSTKCVIMNGISKL >KJB26241 pep chromosome:Graimondii2_0_v6:4:56935645:56936473:1 gene:B456_004G232500 transcript:KJB26241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEPDHEHREDDDAPAADDEDTGAQVAPIVRLEEVAVTTGEEEEDAILDLKSKLYRFDKDGNQWKERGAGTVKLLKHKKTGKVRLVMRQSKTLKICANHYVLATMTVQEHAGNDKSCLWHASDFADDELKDELFCIRFASVESK >KJB26242 pep chromosome:Graimondii2_0_v6:4:56935645:56938365:1 gene:B456_004G232500 transcript:KJB26242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEPDHEHREDDDAPAADDEDTGAQVAPIVRLEEVAVTTGEEEEDAILDLKSKLYRFDKDGNQWKERGAGTVKLLKHKKTGKVRLVMRQSKTLKICANHYVLATMTVQEHAGNDKSCLWHASDFADDELKDELFCIRFASVESKLQKLHGNVPRSC >KJB26243 pep chromosome:Graimondii2_0_v6:4:56935645:56938365:1 gene:B456_004G232500 transcript:KJB26243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPPMMKTPVLKLPLSSGLRKSPLPPARKKKMPYSICTKSKLYRFDKDGNQWKERGAGTVKLLKHKKTGKVRLVMRQSKTLKICANHYVLATMTVQEHAGNDKSCLWHASDFADDELKDELFCIRFASVENCKSFMETFREVAESQKSTEENKEASAAAGLLEKLSVEEKEAKDKVEEEDVKAKEETETKEDTKKVDDSEKKDGEPAST >KJB26240 pep chromosome:Graimondii2_0_v6:4:56935620:56938401:1 gene:B456_004G232500 transcript:KJB26240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTTTVNLDSLLCVNLTPPPSLYKKTLNPNTSSPAEPSKRLTLHKSNMASKEPDHEHREDDDAPAADDEDTGAQVAPIVRLEEVAVTTGEEEEDAILDLKSKLYRFDKDGNQWKERGAGTVKLLKHKKTGKVRLVMRQSKTLKICANHYVLATMTVQEHAGNDKSCLWHASDFADDELKDELFCIRFASVENCKSFMETFREVAESQKSTEENKEASAAAGLLEKLSVEEKEAKDKVEEEDVKAKEETETKEDTKKVDDSEKKDGEPAST >KJB22414 pep chromosome:Graimondii2_0_v6:4:4695293:4696622:1 gene:B456_004G051100 transcript:KJB22414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLALNEGGSASFSITLQRSCAWRPTLNRMPVQGPTCNASNMLAGWHTFKTLPFAGKSTVYIDDRATVLPRKLEWSGFVLNSRLLWKDSRDKSKWIKDIDMLNGDIESPLGLVNDPSVVEPLGNCDRQVLLWWLRVEACTDSKFPPIWIIDSPPEITVSSKRTPWPDAPPELPANEKPVMGIQDPIVKHSTKRTSRSKHRSKRKNEPKTDTQVSTRHSEQN >KJB21593 pep chromosome:Graimondii2_0_v6:4:143543:145079:-1 gene:B456_004G002100 transcript:KJB21593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLNVGSTESAQDSNSAAPFSTERNGDCGNQMDESGTSNSSIVNDEDESFSTRANGDAFTLSFDILKVGSGGNEFQNDDADVVVTRELFPATRVGISEGQSSGNNNKWIDHPFERKEADESRVIRQQSSQTAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSVSDYEEDMKQMKNLCKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDNEVEAARAYDKAAIKCNGREAVTNFEPSAYEGEIIFDTSTNEGIICYW >KJB21592 pep chromosome:Graimondii2_0_v6:4:142035:145454:-1 gene:B456_004G002100 transcript:KJB21592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLNVGSTESAQDSNSAAPFSTERNGDCGNQMDESGTSNSSIVNDEDESFSTRANGDAFTLSFDILKVGSGGNEFQNDDADVVVTRELFPATRVGISEGQSSGNNNKWIDHPFERKEADESRVIRQQSSQTAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSVSDYEEDMKQMKNLCKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDNEVEAARAYDKAAIKCNGREAVTNFEPSAYEGEIIFDTSTNEGNSHNLDLNLGISPPVGNDPKENEQQHHHFHSGPHDVHEGKSSRVTYEPDFSNMFDYN >KJB21590 pep chromosome:Graimondii2_0_v6:4:141774:145553:-1 gene:B456_004G002100 transcript:KJB21590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLNVGSTESAQDSNSAAPFSTERNGDCGNQMDESGTSNSSIVNDEDESFSTRANGDAFTLSFDILKVGSGGNEFQNDDADVVVTRELFPATRVGISEGQSSGNNNKWIDHPFERKEADESRVIRQQSSQTAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSVSDYEEDMKQMKNLCKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDNEVEAARAYDKAAIKCNGREAVTNFEPSAYEGEIIFDTSTNEGNSHNLDLNLGISPPVGNDPKENEQQHHHFHSGPHDVHEGKSSRAENPAGAIASPAFERLTGNSDQPIFWRNVYPRFFPTEERDWAWQMHGARPMPPLLPAAASSGFSFLATPPSAAILPSNLTTPSFHFATPIATSATPQIYIHMEEPPP >KJB21591 pep chromosome:Graimondii2_0_v6:4:143856:145079:-1 gene:B456_004G002100 transcript:KJB21591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLNVGSTESAQDSNSAAPFSTERNGDCGNQMDESGTSNSSIVNDEDESFSTRANGDAFTLSFDILKVGSGGNEFQNDDADVVVTRELFPATRVGISEGQSSGNNNKWIDHPFERKEADESRVIRQQSSQTAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSVSDYEEDMKQMKNLCKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKK >KJB21594 pep chromosome:Graimondii2_0_v6:4:142035:145454:-1 gene:B456_004G002100 transcript:KJB21594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLNVGSTESAQDSNSAAPFSTERNGDCGNQMDESGTSNSSIVNDEDESFSTRANGDAFTLSFDILKVGSGGNEFQNDDADVVVTRELFPATRVGISEGQSSGNNNKWIDHPFERKEADESRVIRQQSSQTAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSVSDYEEDMKQMKNLCKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDNEVEAARAYDKAAIKCNGREAVTNFEPSAYEGEIIFDTSTNEGNSHNLDLNLGISPPVGNDPKENEQQHHHFHSGPHDVHEGKSSRVTYEPDFSNMFDYN >KJB22870 pep chromosome:Graimondii2_0_v6:4:7528583:7533628:-1 gene:B456_004G070400 transcript:KJB22870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTASKLDNEEIVRRCKDRRRLMKEAVHARHHLAAAHADYCRSLRVTGSALASFAAGEPISVSDETPAVLLHPPNPSPPPANPTTPRVPPSPSPSLHPPPPPFFPSPSPSPSPTIACSKLPHILSGSSVSSPVPAPNRRRPRKIPPKLPHILSESSLSSSPRSSKSGFSNHVFPTAYPANSTYSATPSQASSVWNWENFYPPSPPDSEFFDQKVQQRKQPHHLDSNYPEDTEDTETEKSEYDFFRPQKLNHRYNISSGNAKSNFDEETEREEVHCSEWGDHDHDRFTTTSSSEEEGYDDVASRSEIGARDSFRSSRRGESEKLHHLPQTPPPVQPQPRQHMYGSAAGNKMDDKSEDAGLSAGGYGTGAMMDMTMVVRHKDLKEIVDAIKENFDKAAAAGDQVSEMLEISRAQLDKSFRQLKKTVYHSSSIFSNLSSSWTSKPPLAVKYRLDATALNEPGGSKSLCSTLDRLLAWEKKLYEDVKAREGVKIEHEKKLSALQNQEYKGEDEAKINKTKASITRLQSLIIVTSQAVSTTSIAIIGLRDSNLVPQLVEICHGLRYMWGSMHQYHEVQSNIVQQVRGLINRSVKGDSTSELHRQATRDLESAVTAWHSSFCRLIKFQRDFICSLHGWFKLSLLPLSNDNVDGNADPSGVYAFCDEWKLALERVPDTVASEGIKSFINVVRVISAKQTEELKIKKRTENASKELEKKASSLRNIERKFYHSYSMVGIGPPDSGSDHGPVLDARDPLSEKKSELAACQRRVEDEMMRHAKAVEVTRAMTLNNLQTGLPGVFRALTSFSGVFTEALDSVCSRSYHIK >KJB22187 pep chromosome:Graimondii2_0_v6:4:2799018:2805771:1 gene:B456_004G034200 transcript:KJB22187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGNPNQTIPFDVQKFFKPTVSNPSNSNFQQQNLSSSPYPTPSPYPSPSPPFFHPQYHQFYMPPSSPTHANYQDSKSLSFPSPPLSPYNAGTQILALINSSPQNPDFPPQNQPPKLQQPPHVEFLGSGGPNVGPSRVPSCKLPKGRRLSGTQVGYDIDTRLSGEVQPQLEVTPITKYGSDPQLVLGRQIAVNKSYICYGLKGGSIRILNLNTALRSLFRGHTQRITDMAFFAEDVHLLASVSLEGRIFVWKVSEGPDEEEKPQITGKIVIAVQILGDEEYVNPRICWHRHKQEVLVAGIGKHILRVDTMKVGKNEVFSTDAPSPLQCPIDKLIDGIQLVGKHDGEVTDVSMCQWMITRLVSASTDGTIKIWDDRKSVPLTVLRPHDGQPVYSATFLTAPHRPDHIILITGGPLNQEIKVWTSASEEGWLLPSNTEKWTCTQTLELKSSAEPQMEEAFFNQVVALSQAGLLLLANAKRNAIYAVHLEYGSCPSASRMDYMAEFTVTMPILSFTGTSDPPDEHIVKIYCVQTQAIQQYALELCQCIPPPLENIGLEKSDSSISRDAINTEGFDTFNPSGNKPSDLPLYTSVPKPSARVSSSENLTAARYPSSPHSIEANTAQELNTSNIDPKPASSALASTASDADIVCVASPPTVPPSPRLSRKPSGFHNYEDYPVDRQMDTVRSNLSGLHSSEDGSRNDDKKMVSDDKSSAYTPPIIFKHPTHLVTPSEILMAATSSETTNVNEGKSEGEVHIQDVVVNNDARNAELEVKVVGEARSSQNNEFGSHGDSQNRNLENREKFFCSQASDLGIQMSRESSAISRDAYVVDESRQADGVGASGSHVKPNIAEEEINDSRKDLSGKGLESAIPSTYQQSPTSGAKGKKHKGKGSQASAHTSPSSSAFNSADSTTEPGANSNLPSAAAAFPQIAAMQDMLNQLITTQKEMQKQMSSIVNQPVTKEGRRLEAALGRSIEKALKANTDALWARFQEENAKNEKLLREHTHQITSLITNFMNKDLAVMLDKVVKKELAGVGPAVIRTITPAIEKTVTSVINESFQRGVGDKAVNQLEKSVNSKLEAIVARQIQAQFQTSGKQALQEALRTSVEALVVPAFEISCKALFEQVDSAFQKGMVEHTNAAQQQLESASSSLAITLRDAINSASSIAKTLSGEFAEGQRKLLAIAAAGANSNAATSFSSQLSNGPLGGLHDKVVVPMDPTKELSKLLSEGKYEEAFTIALQRSDLSIVSWLCSQVDLRTILSTAPFPLSQGVLLSLLQQLACDINKDTPRKLTWMVDVATAINPGDQMIAVHVRPIFQEVYKRVHEISSSPLLTGADHASIRALIYVINYVLMTCK >KJB22189 pep chromosome:Graimondii2_0_v6:4:2800627:2805722:1 gene:B456_004G034200 transcript:KJB22189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGKNEVFSTDAPSPLQCPIDKLIDGIQLVGKHDGEVTDVSMCQWMITRLVSASTDGTIKIWDDRKSVPLTVLRPHDGQPVYSATFLTAPHRPDHIILITGGPLNQEIKVWTSASEEGWLLPSNTEKWTCTQTLELKSSAEPQMEEAFFNQVVALSQAGLLLLANAKRNAIYAVHLEYGSCPSASRMDYMAEFTVTMPILSFTGTSDPPDEHIVKIYCVQTQAIQQYALELCQCIPPPLENIGLEKSDSSISRDAINTEGFDTFNPSGNKPSDLPLYTSVPKPSARVSSSENLTAARYPSSPHSIEANTAQELNTSNIDPKPASSALASTASDADIVCVASPPTVPPSPRLSRKPSGFHNYEDYPVDRQMDTVRSNLSGLHSSEDGSRNDDKKMVSDDKSSAYTPPIIFKHPTHLVTPSEILMAATSSETTNVNEGKSEGEVHIQDVVVNNDARNAELEVKVVGEARSSQNNEFGSHGDSQNRNLENREKFFCSQASDLGIQMSRESSAISRDAYVVDESRQADGVGASGSHVKPNIAEEEINDSRKDLSGKGLESAIPSTYQQSPTSGAKGKKHKGKGSQASAHTSPSSSAFNSADSTTEPGANSNLPSAAAAFPQIAAMQDMLNQLITTQKEMQKQMSSIVNQPVTKEGRRLEAALGRSIEKALKANTDALWARFQEENAKNEKLLREHTHQITSLITNFMNKDLAVMLDKVVKKELAGVGPAVIRTITPAIEKTVTSVINESFQRGVGDKAVNQLEKSVNSKLEAIVARQIQAQFQTSGKQALQEALRTSVEALVVPAFEISCKALFEQVDSAFQKGMVEHTNAAQQQLESASSSLAITLRDAINSASSIAKTLSGEFAEGQRKLLAIAAAGANSNAATSFSSQLSNGPLGGLHDKVVVPMDPTKELSKLLSEGKYEEAFTIALQRSDLSIVSWLCSQVDLRTILSTAPFPLSQGVLLSLLQQLACDINKDTPRKLTWMVDVATAINPGDQMIAVHVRPIFQEVYKRVHEISSSPLLTGADHASIRALIYVINYVLMTCK >KJB22188 pep chromosome:Graimondii2_0_v6:4:2799318:2805722:1 gene:B456_004G034200 transcript:KJB22188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGNPNQTIPFDVQKFFKPTVSNPSNSNFQQQNLSSSPYPTPSPYPSPSPPFFHPHIRILNLNTALRSLFRGHTQRITDMAFFAEDVHLLASVSLEGRIFVWKVSEGPDEEEKPQITGKIVIAVQILGDEEYVNPRICWHRHKQEVLVAGIGKHILRVDTMKVGKNEVFSTDAPSPLQCPIDKLIDGIQLVGKHDGEVTDVSMCQWMITRLVSASTDGTIKIWDDRKSVPLTVLRPHDGQPVYSATFLTAPHRPDHIILITGGPLNQEIKVWTSASEEGWLLPSNTEKWTCTQTLELKSSAEPQMEEAFFNQVVALSQAGLLLLANAKRNAIYAVHLEYGSCPSASRMDYMAEFTVTMPILSFTGTSDPPDEHIVKIYCVQTQAIQQYALELCQCIPPPLENIGLEKSDSSISRDAINTEGFDTFNPSGNKPSDLPLYTSVPKPSARVSSSENLTAARYPSSPHSIEANTAQELNTSNIDPKPASSALASTASDADIVCVASPPTVPPSPRLSRKPSGFHNYEDYPVDRQMDTVRSNLSGLHSSEDGSRNDDKKMVSDDKSSAYTPPIIFKHPTHLVTPSEILMAATSSETTNVNEGKSEGEVHIQDVVVNNDARNAELEVKVVGEARSSQNNEFGSHGDSQNRNLENREKFFCSQASDLGIQMSRESSAISRDAYVVDESRQADGVGASGSHVKPNIAEEEINDSRKDLSGKGLESAIPSTYQQSPTSGAKGKKHKGKGSQASAHTSPSSSAFNSADSTTEPGANSNLPSAAAAFPQIAAMQDMLNQLITTQKEMQKQMSSIVNQPVTKEGRRLEAALGRSIEKALKANTDALWARFQEENAKNEKLLREHTHQITSLITNFMNKDLAVMLDKVVKKELAGVGPAVIRTITPAIEKTVTSVINESFQRGVGDKAVNQLEKSVNSKLEAIVARQIQAQFQTSGKQALQEALRTSVEALVVPAFEISCKALFEQVDSAFQKGMVEHTNAAQQQLESASSSLAITLRDAINSASSIAKTLSGEFAEGQRKLLAIAAAGANSNAATSFSSQLSNGPLGGLHDKVVVPMDPTKELSKLLSEGKYEEAFTIALQRSDLSIVSWLCSQVDLRTILSTAPFPLSQGVLLSLLQQLACDINKDTPRKLTWMVDVATAINPGDQMIAVHVRPIFQEVYKRVHEISSSPLLTGADHASIRALIYVINYVLMTCK >KJB23184 pep chromosome:Graimondii2_0_v6:4:10960864:10962732:1 gene:B456_004G085900 transcript:KJB23184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKKMAFSFPDEVLEHVFSFIQSDKDRNAVSMVCKSWYEIERWCRRKVFVGNCYAVSPRMVIRRFPEFRSIELKGKPHFADFNLVPDGWGGYVSPWIEEMAGAYPWLEEIRLKRMVVTDESLELIAKSFKNFKVLVLSSCEGFSTDGLAVIAASCKNLKELDLRDCEVDDLSAHWLSHFPETYTSLVSLNISCLGSDEVSFSALERLVGRCTNLKTLRLNRAVPLDKIANILRHAPQLVEFGTGTYTADVRPDVYSDLAGVFSSCKELKSLSGFWDVVPDYLPAIYPVCSKLTSLNLSYATIQSPDLIKLVSHCPNLQRLLVSLLWYCWFIYLHLVFRS >KJB23185 pep chromosome:Graimondii2_0_v6:4:10960619:10963971:1 gene:B456_004G085900 transcript:KJB23185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKKMAFSFPDEVLEHVFSFIQSDKDRNAVSMVCKSWYEIERWCRRKVFVGNCYAVSPRMVIRRFPEFRSIELKGKPHFADFNLVPDGWGGYVSPWIEEMAGAYPWLEEIRLKRMVVTDESLELIAKSFKNFKVLVLSSCEGFSTDGLAVIAASCKNLKELDLRDCEVDDLSAHWLSHFPETYTSLVSLNISCLGSDEVSFSALERLVGRCTNLKTLRLNRAVPLDKIANILRHAPQLVEFGTGTYTADVRPDVYSDLAGVFSSCKELKSLSGFWDVVPDYLPAIYPVCSKLTSLNLSYATIQSPDLIKLVSHCPNLQRLLVLDYIEDSGLEVLASSCKDLQELRVFPSDPFGAEPNVSLTERGLVAVSLGCPKLQSVLYFCRRMSNEALVTIARNRPNFTRSCIRIYWDIREEA >KJB23183 pep chromosome:Graimondii2_0_v6:4:10960559:10964578:1 gene:B456_004G085900 transcript:KJB23183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKKMAFSFPDEVLEHVFSFIQSDKDRNAVSMVCKSWYEIERWCRRKVFVGNCYAVSPRMVIRRFPEFRSIELKGKPHFADFNLVPDGWGGYVSPWIEEMAGAYPWLEEIRLKRMVVTDESLELIAKSFKNFKVLVLSSCEGFSTDGLAVIAASCKNLKELDLRDCEVDDLSAHWLSHFPETYTSLVSLNISCLGSDEVSFSALERLVGRCTNLKTLRLNRAVPLDKIANILRHAPQLVEFGTGTYTADVRPDVYSDLAGVFSSCKELKSLSGFWDVVPDYLPAIYPVCSKLTSLNLSYATIQSPDLIKLVSHCPNLQRLLVLDYIEDSGLEVLASSCKDLQELRVFPSDPFGAEPNVSLTERGLVAVSLGCPKLQSVLYFCRRMSNEALVTIARNRPNFTRFRLCIIEPKTADYLTLEPLDVGFGAIVQYCKDLKRLSLSGLLTDRVFEYIGTYAKKLEMLSVAFAGDSDLGLHHVLSGCESLRKLEIRDCPFGDKALLANAAKLETMRSLWMSSCAVSFAACKLLGQKMPRLNVEVIDERGPPDSRPENCPVDKLYIYRSIAGPRFDMPPFVWTMDEDSGLRLS >KJB23186 pep chromosome:Graimondii2_0_v6:4:10960619:10963971:1 gene:B456_004G085900 transcript:KJB23186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKKMAFSFPDEVLEHVFSFIQSDKDRNAVSMVCKSWYEIERWCRRKVFVGNCYAVSPRMVIRRFPEFRSIELKGKPHFADFNLVPDGWGGYVSPWIEEMAGAYPWLEEIRLKRMVVTDESLELIAKSFKNFKVLVLSSCEGFSTDGLAVIAASCKNLKELDLRDCEVDDLSAHWLSHFPETYTSLVSLNISCLGSDEVSFSALERLVGRCTNLKTLRLNRAVPLDKIANILRHAPQLVEFGTGTYTADVRPDVYSDLAGVFSSCKELKSLSGFWDVVPDYLPAIYPVCSKLTSLNLSYATIQSPDLIKLVSHCPNLQRLLIVGLRCLHLVARTCRNYVCFHLIHLVQSQMCP >KJB25039 pep chromosome:Graimondii2_0_v6:4:47605973:47609230:-1 gene:B456_004G174000 transcript:KJB25039 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MRAVSYYSQSHLLQSLRHINDTSSLSLFPNFRPSSLRIPHLSSFSNTRADTHTKTKIETGNGNNSLPAPDPQQKLLQVVLVSPQIPGNAGCIARTCAASAVALHLVGPLGFKVDDTKLKRAGLDYWPYVVVRVHGSWAEFQNYFKQQEGDKRLLAFTKRGTAIHSDFSYRKGDYLIFGSETCGLPPDVLLDCKSETFGGGTIRIPMVETYVRCLNLSVSVGIAVYEASRQLNYEQLQVPSTNSGDFEQSLLTEDIFA >KJB25040 pep chromosome:Graimondii2_0_v6:4:47606029:47609200:-1 gene:B456_004G174000 transcript:KJB25040 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MRAVSYYSQSHLLQSLRHINDTSSLSLFPNFRPSSLRIPHLSSFSNTRADTHTKTKRNGNNSLPAPDPQQKLLQVVLVSPQIPGNAGCIARTCAASAVALHLVGPLGFKVDDTKLKRAGLDYWPYVVVRVHGSWAEFQNYFKQQEGDKRLLAFTKRGTAIHSDFSYRKGDYLIFGSETCGLPPDVLLDCKSETFGGGTIRIPMVETYVRCLNLSVSVGIAVYEASRQLNYEQLQVPSTNSGDFEQSLLTEDIFA >KJB25038 pep chromosome:Graimondii2_0_v6:4:47607659:47608834:-1 gene:B456_004G174000 transcript:KJB25038 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MRAVSYYSQSHLLQSLRHINDTSSLSLFPNFRPSSLRIPHLSSFSNTRADTHTKTKIETGNGNNSLPAPDPQQKLLQVVLVSPQIPGNAGCIARTCAASAVALHLVGPLGFKVDDTKLKRAGLDYWPYVVVRVHGSWAEFQNYFKQQVFLFLSQQWIRNVLYLLSLISSKYICSYDKGRG >KJB25037 pep chromosome:Graimondii2_0_v6:4:47605973:47609230:-1 gene:B456_004G174000 transcript:KJB25037 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MRAVSYYSQSHLLQSLRHINDTSSLSLFPNFRPSSLRIPHLSSFSNTRADTHTKTKIETGNGNNSLPAPDPQQKLLQVVLVSPQIPGNAGCIARTCAASAVALHLVGPLGFKVDDTKLKRAGLDYWPYPPIFVFSTYPFSS >KJB27396 pep chromosome:Graimondii2_0_v6:4:2059:5396:-1 gene:B456_004G000100 transcript:KJB27396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRRLKTLLNPLSDPHLRLIATESTQNQLSNPSNCLNPITPINQPTLLKVCTILYQQQNSPDSRLHSSLSSYNPSFNPEFFLQEMGKLGLVNDKTFRIALKTLALARELKKCVGFFHLMNGFGVGYKLETLNTVVESLCEDKLVEEAKFVTFKLKECVEPNGVTYKWLICCDLGNLIEASKIWNLMVDEGFEPDVEVVETMMEALFKTNKYDEAMKVFQMMRVKRMHDLGLSSYRLVIKWMCKRGKIEQANGMFEEMCQRGIQADNLTLASIIYGLLARGRIREAYRIVEGIENPDISIYHGLIKGLLRLRKAGEATQVFREMIKRGCEASNAYIYHVTARSFGKKGRKGHDPLVNFDSIFVGGLIKAGKTVEATKYVERTMKRGMEVPRFDYNKCLT >KJB27083 pep chromosome:Graimondii2_0_v6:4:61003734:61004872:-1 gene:B456_004G276700 transcript:KJB27083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSISATKCLVRIFVFVLLACLSSNCQPTQESQKEYVSVKRSDFPDNLLFGGSTAAVQIEGSTKFRGKGPSVWDQFIREFPEKKTDNSNLEVAADSYNRYKEDVSILKDLGVNAYRFSIPWTRILADGTLGGGINQEGINHYNSFIDDLIKNGIDPYVTILHFDSPEALESKYGGFLNHSIVNDFKDYAEICFKTFGDRVKNWITMNEPLIMAKMGYAMGEYQATQGGQIGIALNSHYYEPYSNTSLDKEAAKRGMDFELGWFMEPLMHGEYPESMRRLVKDRLPVFTLEQNELVKGSFDFIGVNYYTSRYAKNIPSTPNAASASYLVDSNVKCHS >KJB25548 pep chromosome:Graimondii2_0_v6:4:51747892:51748938:1 gene:B456_004G197100 transcript:KJB25548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNAINLILDSNNDGPISFGFCCKVYCVMCLFLDYFLISFFLVIACYTVVFSPNRTRFDFDIPIEDFMDDTCIIHSYKVFKRNGDVLNEIFENYPNIADNFRITHPDSQSYFMNSLAELYQKIKSEEEMLQQNDITIMLSMIQDEELQDITDMESKLQDFELNGLQLSGLKERLAEVRESKRLLQQINGRKAIENKAGREREEAEQQLLAKLQKKRRF >KJB21370 pep chromosome:Graimondii2_0_v6:4:5433797:5434365:1 gene:B456_004G056800 transcript:KJB21370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLKMVLASSLNRMIFLLNYTCQAICEESGEEIICQSPKGHPDYMQYIFEDTCSLSILLPLAISCITLVKMMKPRTLRTCYLFPLSFIRPCYNKSKI >KJB24686 pep chromosome:Graimondii2_0_v6:4:44542807:44551407:-1 gene:B456_004G157200 transcript:KJB24686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFACSFKRSTSFHGSEGTSYRILDPLCHRFRCKNLGYNVFDSKIGLKTRSLNKTRKCLVYSGCLSSNLVFRGKFDSHLCCAYSSTSFYGLRDVLKVRGVRSRRQGNDSLAFSVGKGENVEFVENNDENSSGTVSNGLGEEERNESNEVETPTVDELRELLQKAMRKLEVARLNSRMFEEKAQRISEAAIALKDEAAHARIDVNRRLDMIQDILNEERVAKEAVLEATMALSLTKARHQVAVESQKEGNDFPERSWEIDVEIDVREDNGPLLAAQDEIIKCQETLENCEAELSHLRSKKYELHNEAHRLDEVAEKAEMDAFKAEEDVAHIMLLAEQAVAFELEATQCMHDAEMALQKAEKSLSTSTGEAVHGKVLGKEAVVEEGEITQGASDAVTVEREKDGTVVVELEPKEDILSEKARKSSEDLELVDEESDRENGMLGLLSLKEAEIEAEKSKNVQPNKPETPKDLTRESSPTSTPKSLLNKSSRFFSASFFSFSIDGTEFTPASAAQDLVESARKQIPKLVVGILLFGAGAAFYANRAERNSHLLQQPDVIATSIEEVSANAKPLIRQIQKIPKRLKKLVATFPHQEMNEEEASLLDVLWLLLASVIFVPVFQKLPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGTAQVLVTAVAVGLVAHFVAGHPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFGAIAEALGVAAVKAAVAITAIIAGGRLLLRPIYKQIAENKNAEIFSANTLLVILGTSVLTARAGLSMALGAFLAGLLLAETEFALQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPVIAGALGLLIGGKTILVALVGKLCGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMALTPWLAAGGQLIASRFELVDVRSLLPVESETDDLHDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAMGRALDLPVYFGDSGSPEVLHNVGAERACAAAITLDSPGGNYRTVWALSKHFPNVKTFVRAHDVDHGLNLEKAGATAVVPETVEPSLQLAAAVLSQAKIPSSEIAATINEFRSRHLRELTELYQDDGIPLNYGFGVMSKSKAQQSDSSDDNNVTLGNIKDSQE >KJB24687 pep chromosome:Graimondii2_0_v6:4:44542891:44551353:-1 gene:B456_004G157200 transcript:KJB24687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFACSFKRSTSFHGSEGTSYRILDPLCHRFRCKNLGYNVFDSKIGLKTRSLNKTRKCLVYSGCLSSNLVFRGKFDSHLCCAYSSTSFYGLRDVLKVRGVRSRRQGNDSLAFSVGKGENVEFVENNDENSSGTVSNGLGEEERNESNEVETPTVDELRELLQKAMRKLEVARLNSRMFEEKAQRISEAAIALKDEAAHARIDVNRRLDMIQDILNEERVAKEAVLEATMALSLTKARHQVAVESQKEGNDFPERSWEIDVEIDVREDNGPLLAAQDEIIKCQETLENCEAELSHLRSKKYELHNEAHRLDEVAEKAEMDAFKAEEDVAHIMLLAEQAVAFELEATQCMHDAEMALQKAEKSLSTSTGEAVHGKVLGKEAVVEEGEITQGASDAVTVEREKDGTVVVELEPKEDILSEKARKSSEDLELVDEESDRENGMLGLLSLKEAEIEAEKSKNVQPNKPETPKDLTRESSPTSTPKSLLNKSSRFFSASFFSFSIDGTEFTPASAAQDLVESARKQIPKLVVGILLFGAGAAFYANRAERNSHLLQQPDVIATSIEEVSANAKPLIRQIQKIPKRLKKLVATFPHQEMNEEEASLLDVLWLLLASVIFVPVFQKLPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGTAQVLVTAVAVGLVAHFVAGHPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFGAIAEALGVAAVKAAVAITAIIAGGRLLLRPIYKQIAENKNAEIFSANTLLVILGTSVLTARAGLSMALGAFLAGLLLAETEFALQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPVIAGALGLLIGGKTILVALVGKLCGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMALTPWLAAGGQLIASRFELVDVRSLLPVESEIIAQLLSERLIPFVALDVRSDRVAMGRALDLPVYFGDSGSPEVLHNVGAERACAAAITLDSPGGNYRTVWALSKHFPNVKTFVRAHDVDHGLNLEKAGATAVVPETVEPSLQLAAAVLSQAKIPSSEIAATINEFRSRHLRELTELYQDDGIPLNYGFGVMSKSKAQQSDSSDDNNVTLGNIKDSQE >KJB24800 pep chromosome:Graimondii2_0_v6:4:45142315:45145999:1 gene:B456_004G161200 transcript:KJB24800 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 4 [Source:Projected from Arabidopsis thaliana (AT3G60840) UniProtKB/Swiss-Prot;Acc:Q9LZY0] MSNHYSEHFTRMEATCGSLLCELQGLWKEVGETDGQWETTLLEIEQECLKVYMKKIQEAKECRTKLQRDIATAMAELSDIFTSMGESSVQRDLKPGGNLKEELEAIIPLLEDMRRKKVERINQFVGVVEQIQKLSNDILGVKEQNGNKVFVDETNLSLRRLEELHSELHELQHEKINRLNQVQGHLDTINSLCTVLGMNFKQTICRVHPALDDLNGAKDVSNSTIARLAAQIQSLQELKLKRMQKIQDLASALLEFWHLMDTPVEEQQMFLNVTCKITASEPEFTEPDFLSVDSIEKVEDEVSRLEQLKTSRMKEIVLKKKVELEDMCRRTHMVMEALISTDYSIEAMESGAIDPLYLLEQFDLQISKVREEAVSRKEILEKVEKWLAACEEESWLEEYNRDDNRYNAGRGAHLILKQAEKARTVVNKIPALVEALALKTTAWEKERGAEFLYDGGRLLTILEDYSSLRQEKETQRQRQRNQKKLHGQLIAEQEALYGSKPSPTMTAKKASRTPTTAASNRKLSFGGAMLQQVKPEKPTSRFHPNKKADSSNENSFANHHRSSGFTSHSGIFQLSAIEFYNI >KJB24798 pep chromosome:Graimondii2_0_v6:4:45141757:45146041:1 gene:B456_004G161200 transcript:KJB24798 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 4 [Source:Projected from Arabidopsis thaliana (AT3G60840) UniProtKB/Swiss-Prot;Acc:Q9LZY0] MSNHYSEHFTRMEATCGSLLCELQGLWKEVGETDGQWETTLLEIEQECLKVYMKKIQEAKECRTKLQRDIATAMAELSDIFTSMGESSVQRDLKPGGNLKEELEAIIPLLEDMRRKKVERINQFVGVVEQIQKLSNDILGVKEQNGNKVFVDETNLSLRRLEELHSELHELQHEKINRLNQVQGHLDTINSLCTVLGMNFKQTICRVHPALDDLNGAKDVSNSTIARLAAQIQSLQELKLKRMQKIQDLASALLEFWHLMDTPVEEQQMFLNVTCKITASEPEFTEPDFLSVDSIEKVEDEVSRLEQLKTSRMKEIVLKKKVELEDMCRRTHMVMEALISTDYSIEAMESGAIDPLYLLEQFDLQISKVREEAVSRKEILEKVEKWLAACEEESWLEEYNRDDNRYNAGRGAHLILKQAEKARTVVNKIPALVEALALKTTAWEKERGAEFLYDGGRLLTILEDYSSLRQEKETQRQRQRNQKKLHGQLIAEQEALYGSKPSPTMTAKKASRTPTTAASNRKLSFGGAMLQQVKPEKPTSRFHPNKKADSSNENSFANHHRSSGFTSHSGRRSSEVSGRVVKKQPLSAAKMREMESPAARKPLSSVSNVVNPIVEQEKVQKGQRLSPGCKTPMAKASKPTVNGEDQNRTPKAMPNPVPTTPSTVSAPMLMAITPATPATLGAYKFEKILDQVQQIEYSFEEVRAGFFMS >KJB24799 pep chromosome:Graimondii2_0_v6:4:45142332:45144435:1 gene:B456_004G161200 transcript:KJB24799 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 4 [Source:Projected from Arabidopsis thaliana (AT3G60840) UniProtKB/Swiss-Prot;Acc:Q9LZY0] MSNHYSEHFTRMEATCGSLLCELQGLWKEVGETDGQWETTLLEIEQECLKVYMKKIQEAKECRTKLQRDIATAMAELSDIFTSMGESSVQRDLKPGGNLKEELEAIIPLLEDMRRKKVERINQFVGVVEQIQKLSNDILGVKEQNGNKVFVDETNLSLRRLEELHSELHELQHEKINRLNQVQGHLDTINSLCTVLGMNFKQTICRVHPALDDLNGAKDVSNSTIARLAAQIQSLQELKLKRMQKIQDLASALLEFWHLMDTPVEEQQMFLNVTCKITASEPEFTEPDFLSVDSIEKVEDEVSRLEQLKTSRMKEIVLKKKVELEDMCRRTHMVMEALISTDYSIEAMESGAIDPLYLLEQFDLQISKVREEAVSRKEILEKVEKWLAACEEESWLEEYNRVGIKLILFFMNNVLSCFPQRFQGLTDCANFRMTTVIMLEEERTSF >KJB25676 pep chromosome:Graimondii2_0_v6:4:53281457:53283014:1 gene:B456_004G203600 transcript:KJB25676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLNTNLHHPSLSCGTKLYSGLKLQSPCLFATGRPNLTADFFSRINKSLQCGTRNSKPTRSRVGMMEFLVKELGNGLIYGMHCYEHRPVC >KJB25675 pep chromosome:Graimondii2_0_v6:4:53281636:53282576:1 gene:B456_004G203600 transcript:KJB25675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLNTNLHHPSLSCGTKLYSGLKLQSPCLFATGRPNLTADFFSRINKSLQCGTRNSKPTRSRVGMMEFLVKELGNGLIYGMHW >KJB26220 pep chromosome:Graimondii2_0_v6:4:56727621:56729599:-1 gene:B456_004G230900 transcript:KJB26220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKNGNKQGGGDGKMKEKSSSTSVFKFECLCDGCASKILKCINHFEGIIAFHAYFPFLFLAFPSSFLHSALVIYSVFLCFFFLVAGVETVKPEMSSNKVTVIGTADPTAIKEKLDKKTKKKVELISSQPKKDDNKEEKKEKKPDQQKNQDSDNKSDKKPKEAPVTTADLKVQLKCQCDGCFDRIRKIVSETKGVQELKVDRQKEMVTVKGTMDVKALAEALKDKLKKHVEIVAPKKEKDGNKEGGDGGKKKNKGGGEDGGNEANGGKMEGNRMEFMLPPQFGHMPGYIPGYPGYGHPAYAYGNPYPHPHGHGYPGYVPGYPVSVNPPHQMFNDENTNACAIM >KJB26218 pep chromosome:Graimondii2_0_v6:4:56727621:56729440:-1 gene:B456_004G230900 transcript:KJB26218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILCYGCSSCLLQKKNGNKQGGGDGKMKEKSSSTSVFKFECLCDGCASKILKCINHFEGVETVKPEMSSNKVTVIGTADPTAIKEKLDKKTKKKVELISSQPKKDDNKEEKKEKKPDQQKNQDSDNKSDKKPKEAPVTTADLKVQLKCQCDGCFDRIRKIVSETKGVQELKVDRQKEMVTVKGTMDVKALAEALKDKLKKHVEIVAPKKEKDGNKEGGDGGKKKNKGGGEDGGNEANGGKMEGNRMEFMLPPQFGHMPGYIPGYPGYGHPAYAYGNPYPHPHGHGYPGYVPGYPVSVNPPHQMFNDENTNACAIM >KJB26217 pep chromosome:Graimondii2_0_v6:4:56727478:56729854:-1 gene:B456_004G230900 transcript:KJB26217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKNGNKQGGGDGKMKEKSSSTSVFKFECLCDGCASKILKCINHFEGVETVKPEMSSNKVTVIGTADPTAIKEKLDKKTKKKVELISSQPKKDDNKEEKKEKKPDQQKNQDSDNKSDKKPKEAPVTTADLKVQLKCQCDGCFDRIRKIVSETKGVQELKVDRQKEMVTVKGTMDVKALAEALKDKLKKHVEIVAPKKEKDGNKEGGDGGKKKNKGGGEDGGNEANGGKMEGNRMEFMLPPQFGHMPGYIPGYPGYGHPAYAYGNPYPHPHGHGYPGYVPGYPVSVNPPHQMFNDENTNACAIM >KJB26219 pep chromosome:Graimondii2_0_v6:4:56727621:56729599:-1 gene:B456_004G230900 transcript:KJB26219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKRKKKRKNLTNRKTRILIINQTKNPKSLLFIIFVQAPVTTADLKVQLKCQCDGCFDRIRKIVSETKGVQELKVDRQKEMVTVKGTMDVKALAEALKDKLKKHVEIVAPKKEKDGNKEGGDGGKKKNKGGGEDGGNEANGGKMEGNRMEFMLPPQFGHMPGYIPGYPGYGHPAYAYGNPYPHPHGHGYPGYVPGYPVSVNPPHQMFNDENTNACAIM >KJB23230 pep chromosome:Graimondii2_0_v6:4:11699345:11700544:-1 gene:B456_004G088300 transcript:KJB23230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLRAHKLMVDTLCPICRAEEETVQRELGVTNSTTNRESNWKKWLALEFENYSNEACKIRAISFWALWYNCNRISHEGIRERAHEIVRFINAYYSEITQMGEILKNRQETKRFVWEPPVDDAVKINFDASFNQYSRISCSLVIARNKEGLVMASCTYSWENISDPVIAEAMACLQAVTMAEEIGFQDICVEGDALTVIRKLNSTKEDRSCISSMIQEINGRTPNFRRMCFRFVPREANKAVHGMAMEGWRYDNPLYWMEEVPHAVEGLVNCDRSGRDDGG >KJB26258 pep chromosome:Graimondii2_0_v6:4:57054862:57057940:-1 gene:B456_004G233500 transcript:KJB26258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLWGFERLKLRMMVVMLLMLVLSLFEQNMSFSSPLNSEGLALLRFKQRVVSDPFGALSNWKEIDGEIDPCSWFGVECSDEKVVILNLKDLCLVGNLGPEFGKLENLKSIILRNNSFSGSIPQEIGELKELEVLDLGFNNFSGPFPSDFGNNLSLTTLLLDNNEFLGNLAPEIYDVKMLSEFQVDENRLTDAATIPSCKSSGFPWNIAQPGDIAYGRRLQQVLVPSKTANERVSQLSPSPSPSESSFSPSMSASPSSLSPSESPSSIFLTPAPSPSPSPSPSPELALAPALQPPVDPPVSISEPPQSRNAPANSPASTPRQISNENSGSKHHIFPILIASIGGSLIVLVSVLSIILFRNSKVVSVKPWATGLSGQLQKAFVTGVPKLKRSELEAACEDFSNVIGTFSDGTVYKGTLSSGVEIAVTSTAISSREDWSKNLETQFRNKIDSLSKVNHKNFVNLIGYCEENTPFTRMMVFEYVPNGSLFEHLHSNTRS >KJB26255 pep chromosome:Graimondii2_0_v6:4:57053952:57058210:-1 gene:B456_004G233500 transcript:KJB26255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLWGFERLKLRMMVVMLLMLVLSLFEQNMSFSSPLNSEGLALLRFKQRVVSDPFGALSNWKEIDGEIDPCSWFGVECSDEKVVILNLKDLCLVGNLGPEFGKLENLKSIILRNNSFSGSIPQEIGELKELEVLDLGFNNFSGPFPSDFGNNLSLTTLLLDNNEFLGNLAPEIYDVKMLSEFQVDENRLTDAATIPSCKSSGFPWNIAQPGDIAYGRRLQQVLVPSKTANERVSQLSPSPSPSESSFSPSMSASPSSLSPSESPSSIFLTPAPSPSPSPSPSPELALAPALQPPVDPPVSISEPPQSRNAPANSPASTPRQISNENSGSKHHIFPILIASIGGSLIVLVSVLSIILFRNSKVVSVKPWATGLSGQLQKAFVTGVPKLKRSELEAACEDFSNVIGTFSDGTVYKGTLSSGVEIAVTSTAISSREDWSKNLETQFRNKIDSLSKVNHKNFVNLIGYCEENTPFTRMMVFEYVPNGSLFEHLHIQEAEHLDWGMRLRIAMGIAYCLEHMHQLTPPIAHRNLQSCSVYLTEDYAAKISDFSFLNNATAAKVGSATMELLESPSADAESNVYSFGVILFEMITGRIPYSIDNGSLADWASDYLKRDQPLKETVDPTLKFFQEDDLENLFEVVKTCVNPDPKERPTMREVAAKLKEITAMGPDGATPKLSPLWWAELEILSTESS >KJB26257 pep chromosome:Graimondii2_0_v6:4:57053952:57058377:-1 gene:B456_004G233500 transcript:KJB26257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLWGFERLKLRMMVVMLLMLVLSLFEQNMSFSSPLNSEGLALLRFKQRVVSDPFGALSNWKEIDGEIDPCSWFGVECSDEKVVILNLKDLCLVGNLGPEFGKLENLKSIILRNNSFSGSIPQEIGELKELEVLDLGFNNFSGPFPSDFGNNLSLTTLLLDNNEFLGNLAPEIYDVKMLSEFQVDENRLTDAATIPSCKSSGFPWNIAQPGDIAYGRRLQQVLVPSKTANERVSQLSPSPSPSESSFSPSMSASPSSLSPSESPSSIFLTPAPSPSPSPSPSPELALAPALQPPVDPPVSISEPPQSRNAPANSPASTPRQISNENSGSKHHIFPILIASIGGSLIVLVSVLSIILFRNSKVVSVKPWATGLSGQLQKAFVTGVPKLKRSELEAACEDFSNVIGTFSDGTVYKGTLSSGVEIAVTSTAISSREDWSKNLETQFRNKIDSLSKVNHKNFKLSTWTGECA >KJB26259 pep chromosome:Graimondii2_0_v6:4:57053952:57058377:-1 gene:B456_004G233500 transcript:KJB26259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLWGFERLKLRMMVVMLLMLVLSLFEQNMSFSSPLNSEGLALLRFKQRVVSDPFGALSNWKEIDGEIDPCSWFGVECSDEKVVILNLKDLCLVGNLGPEFGKLENLKSIILRNNSFSGSIPQEIGELKELEVLDLGFNNFSGPFPSDFGNNLSLTTLLLDNNEFLGNLAPEIYDVKMLSEFQVDENRLTDAATIPSCKSSGFPWNIAQPGDIAYGRRLQQVLVPSKTANERVSQLSPSPSPSESSFSPSMSASPSSLSPSESPSSIFLTPAPSPSPSPSPSPELALAPALQPPVDPPVSISEPPQSRNAPANSPASTPRQISNENSGSKHHIFPILIASIGGSLIVLVSVLSIILFRNSKVVSVKPWATGLSGQLQKAFVTGVPKLKRSELEAACEDFSNVIGTFSDGTVYKGTLSSGVEIAVTSTAISSREDWSKNLETQFRNKTRCLK >KJB26256 pep chromosome:Graimondii2_0_v6:4:57053944:57058466:-1 gene:B456_004G233500 transcript:KJB26256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLWGFERLKLRMMVVMLLMLVLSLFEQNMSFSSPLNSEGLALLRFKQRVVSDPFGALSNWKEIDGEIDPCSWFGVECSDEKVVILNLKDLCLVGNLGPEFGKLENLKSIILRNNSFSGSIPQEIGELKELEVLDLGFNNFSGPFPSDFGNNLSLTTLLLDNNEFLGNLAPEIYDVKMLSEFQVDENRLTDAATIPSCKSSGFPWNIAQPGDIAYGRRLQQVLVPSKTANERVSQLSPSPSPSESSFSPSMSASPSSLSPSESPSSIFLTPAPSPSPSPSPSPELALAPALQPPVDPPVSISEPPQSRNAPANSPASTPRQISNENSGSKHHIFPILIASIGGSLIVLVSVLSIILFRNSKVVSVKPWATGLSGQLQKAFVTGVPKLKRSELEAACEDFSNVIGTFSDGTVYKGTLSSGVEIAVTSTAISSREDWSKNLETQFRNKIDSLSKVNHKNFVNLIGYCEENTPFTRMMVFEYVPNGSLFEHLHIQEAEHLDWGMRLRIAMGIAYCLEHMHQLTPPIAHRNLQSCSVYLTEDYAAKISDFSFLNNATAAKVGSATMELLESPSADAESNVYSFGVILFEMITGRIPYSIDNGSLADWASDYLKRDQPLKETVDPTLKFFQEDDLENLFEVVKTCVNPDPKERPTMREVAAKLKEITAMGPDGATPKLSPLWWAELEILSTESS >KJB23635 pep chromosome:Graimondii2_0_v6:4:22150791:22155543:-1 gene:B456_004G108200 transcript:KJB23635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKINGHVSPQPCPHLLVFRSRNGPKPFRALQDCIRVKPPGGRAAIRREPTEVPRCGTCEESSRSRLYACVACAAVFCHAPLLHSHASDHSLSIPGHEIAVDVDRAELFCCACGDQVYDRDFDAAVVLAQTVNATATTSTSGSTAMQCSVAGSQPENLRKRRRVDYRPWEPDSGEHISRGNQSIPLLDATNASLVSSTQQPWGFRGLNNLGNTCFMNSILQALLHTPPLRNYFLGDRHNRYYCQQKNGAINGTKNSRLCLACDMDALFSAVFSGDRTPYSPAKFLYSWWQHAANLASYEQQDAHEFFISMLEGIHEKVEKDKRKSQSPGSGDCCIAHRVFSGILRSDVMCMVCGFTSTTYDPCVDISLDLEPNQGGSGKSSSAKSLNSFNFEADCMRPNQNCGISTLKGCLERFTRAEKLGSDQKLFCQKCQVRQESLKQMSIRKLPLVSCFHVKRFEHSSIRKMSRKVDRYLQFPFSLDMAPYLSSSILRSRFGNRIFPFDGDEQDASNDLSTEFELFAVVTHSGRLDAGHYVTYLRLSNQWYKCDDAWITQVNESIVRAAQGYMMFYVQKVLYYKESENQGTS >KJB23636 pep chromosome:Graimondii2_0_v6:4:22151495:22155169:-1 gene:B456_004G108200 transcript:KJB23636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKINGHVSPQPCPHLLVFRSRNGPKPFRALQDCIRVKPPGGRAAIRREPTEVPRCGTCEESSRSRLYACVACAAVFCHAPLLHSHASDHSLSIPGHEIAVDVDRAELFCCACGDQVYDRDFDAAVVLAQTVNATATTSTSGSTAMQCSVAGSQPENLRKRRRVDYRPWEPDSGEHISRGNQSIPLLDATNASLVSSTQQPWGFRGLNNLGNTCFMNSILQALLHTPPLRNYFLGDRHNRYYCQQKNGAINGTKNSRLCLACDMDALFSAVFSGDRTPYSPAKFLYSWWQHAANLASYEQQDAHEFFISMLEGIHEKVEKDKRKSQSPAGSGDCCIAHRVFSGILRSDVMCMVCGFTSTTYDPCVDISLDLEPNQGGSGKSSSAKSLNSFNFEADCMRPNQNCGISTLKGCLERFTRAEKLGSDQKLFCQKCQVRQESLKQMSIRKLPLVSCFHVKRFEHSSIRKMSRKVDRYLQFPFSLDMAPYLSSSILRSRFGNRIFPFDGDEQDASNDLSTEFELFAVVTHSGRLDAGHYVTYLRLSNQWYKCDDAWITQVNESIVRAAQGYMMFYVQKVLYYKESENQGTS >KJB25836 pep chromosome:Graimondii2_0_v6:4:54426656:54429852:-1 gene:B456_004G211600 transcript:KJB25836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYVKPLHLFQNLVKSNASQGGRLLGLDVGDKYVGLAVSDLDNKIASPLSVLVRKKTNIDLVAHDFQSLISELSLVGFVVGYPFDRQRLAPDATQVKLFIDDLSETGKLDGLKFTFWDERFTSKNVELLIKPLSLHPVLAKTVVDKFAAVQILQAYLDYVNKKERR >KJB25833 pep chromosome:Graimondii2_0_v6:4:54427106:54429478:-1 gene:B456_004G211600 transcript:KJB25833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYVKPLHLFQNLVKSNASQGGRLLGLDVGDKYVGLAVSDLDNKIASPLSVLVRKKTNIDLVAHDFQSLISELSLVGFVVGYPFDRQRLAPDATQVKLFIDDLSETGKLDGLKFTFWDERFTSKNVELLIKPLSLHPVLAKTVVDKFAAVQILQAYLDYVNKKERR >KJB25832 pep chromosome:Graimondii2_0_v6:4:54426612:54429923:-1 gene:B456_004G211600 transcript:KJB25832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLWSMQLQLQINPHTNSPLLFFYRQMQYVKPLHLFQNLVKSNASQGGRLLGLDVGDKYVGLAVSDLDNKIASPLSVLVRKKTNIDLVAHDFQSLISELSLVGFVVGYPFDRQRLAPDATQVKLFIDDLSETGKLDGLKFTFWDERFTSKNVELLIKPLSLHPVLAKTVVDKFAAVQILQAYLDYVNKKERR >KJB25830 pep chromosome:Graimondii2_0_v6:4:54426568:54429783:-1 gene:B456_004G211600 transcript:KJB25830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYVKPLHLFQNLVKSNASQGGRLLGLDVGDKYVGLAVSDLDNKIASPLSVLVRKKTNIDLVAHDFQSLISELSLVGFVVGYPFDRQRLAPDATQVKLFIDDLSETGKLDGLKFTFWDERFTSKNVELLIKPLSLHPVLAKTVVDKFAAVQILQAYLDYVNKKERRRTI >KJB25835 pep chromosome:Graimondii2_0_v6:4:54426612:54429923:-1 gene:B456_004G211600 transcript:KJB25835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYVKPLHLFQNLVKSNASQGGRLLGLDVGDKYVGLAVSDLDNKIASPLSVLVRKKTNIDLVAHDFQSLISELSLVGFVVGYPFDRQRLAPDATQVKLFIDDLSETGKLDGLKFTFWDERFTSKNVELLIKPLSLHPVLAKTVVDKFAAVQILQAYLDYVNKKERR >KJB25831 pep chromosome:Graimondii2_0_v6:4:54426612:54428819:-1 gene:B456_004G211600 transcript:KJB25831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFDGRMSLCLFFSPYHFMELGLQMWTCISELSLVGFVVGYPFDRQRLAPDATQVKLFIDDLSETGKLDGLKFTFWDERFTSKNVELLIKPLSLHPVLAKTVVDKFAAVQILQAYLDYVNKKERR >KJB25834 pep chromosome:Graimondii2_0_v6:4:54426687:54429852:-1 gene:B456_004G211600 transcript:KJB25834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYVKPLHLFQNLVKSNASQGGRLLGLDVGDKYVGLAVSDLDNKIASPLSVLVRKKTNIDLVAHDFQSLISELSLVGFVVGYPFDRQRLAPDATQVKLFIDDLSETGKLDGLKFTFWDERFTSKNVELLIKPLSLHPVLAKTVVDKFAAVQILQAYLDYVNKKERR >KJB25929 pep chromosome:Graimondii2_0_v6:4:54991378:54996043:1 gene:B456_004G216500 transcript:KJB25929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLHHHHHRPTPPPSSSSTSMAKRPCSSSSSQNPRLPPHFSQMDHLLHSFLSFPDSSPPISLDLSFDRLLDSSPSDADQSILIDRAHRLGCLLLRAANRSARKRASIHNSVAWVLPPDLTIKVFSMLDSQSLCYAAATCSMFNKCAMDPLCYANIDLTTIVPKVNNAVVSTMIQRAGKSLQSLKLGIVPGPTGSPGSCQPLVYTIRNSGDVSSFSWNEKRTRQGKESSILTRSCLYPLSGDNGATGILLRRLHLYNIERMDNASLCVALAACPSLLDLEIVGLHVELRQTLMSVSSHCRFIERLFFESSKTGRDDSLKSPTCFELVNNCPNLSSLSLRGFKLHDNKVRILVKGFRKLEYADFSTSYSITGTFLRNLGNGGGGSLLEVLILRDCMHLKEMEVARFLTAVIAGDFKFLKHLDISNREGLASEGDWYQRSYNSSLIPLQQVLEVRPDICLLAEFPSEGCFLDIDHMIDSDINSELSLPFQQSGQTSDGALVTSSLESSYNSDQGSGNEEYQDSGFVIYEESSDEVDFLIV >KJB27359 pep chromosome:Graimondii2_0_v6:4:62091211:62099193:1 gene:B456_004G293200 transcript:KJB27359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSITRKKTPFQKHREEEEAKKKREEDETARLYEQFVASFQGDNAPGSKAFVRGGTINPNEKFKPDSEGEKAKDGVSVPKKGSRYVPSFIPPPLSAKGKESEKKEEERPKEKEKGKSRNIDHFMEELKHEQEMRERRNQDREHWRDGRHSDNSAPSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGSSIILSGPSGPPVTSVPNQNSELVLTPNVPDIMVPPPDDDHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFSFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPPLPTTRSPEHEKDYTATYAAGRSRWLEPERTLNDPQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSVTGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSGNSGVAHFHSICGNAPAIKNKISSEDAVNGIKANQDAALAMGKGAAMKELMDLPFAELERRCRHNGLSLVGGREIMVARLLSLEDAEKQRNYELDDELKLRSSSSRYLSGQRGANAEPESVGLSEWTCFGEDEIHSEHKVSVPLAETFPVPQPELKAFTKKDKTDPVLPASKWAREDDDSDDEEKRSTRLSSGSENAVDGPSKADELEFGTHMNTSAPSESAINEAQRKKLRRLEVALIEYRESLEERGTKNAEDIERRVAVHRKRLESEYGLTDSGEDTSGRKRRDRRDDARDSASKRHRSESRSESPPRKSSNRDRDRENGSEKEQERNRDRDRDRGRGQELESERGRERERDYREKSGSRERDDHDRDRARERDRRRRIN >KJB27358 pep chromosome:Graimondii2_0_v6:4:62091090:62099233:1 gene:B456_004G293200 transcript:KJB27358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSITRKKTPFQKHREEEEAKKKREEDETARLYEQFVASFQGDNAPGSKAFVRGGTINPNEKFKPDSEGEKAKDGVSVPKKGSRYVPSFIPPPLSAKGKESEKKKEEERPKEKEKGKSRNIDHFMEELKHEQEMRERRNQDREHWRDGRHSDNSAPSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGSSIILSGPSGPPVTSVPNQNSELVLTPNVPDIMVPPPDDDHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFSFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPPLPTTRSPEHEKDYTATYAAGRSRWLEPERTLNDPQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSVTGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSGNSGVAHFHSICGNAPAIKNKISSEDAVNGIKANQDAALAMGKGAAMKELMDLPFAELERRCRHNGLSLVGGREIMVARLLSLEDAEKQRNYELDDELKLRSSSSRYLSGQRGANAEPESVGLSEWTCFGEDEIHSEHKVSVPLAETFPVPQPELKAFTKKDKTDPVLPASKWAREDDDSDDEEKRSTRLSSGSENAVDGPSKADELEFGTHMNTSAPSESAINEAQRKKLRRLEVALIEYRESLEERGTKNAEDIERRVAVHRKRLESEYGLTDSGEDTSGRKRRDRRDDARDSASKRHRSESRSESPPRKSSNRDRDRENGSEKEQERNRDRDRDRGRGQELESERGRERERDYREKSGSRERDDHDRDRARERDRRRRIN >KJB26781 pep chromosome:Graimondii2_0_v6:4:59569678:59570716:-1 gene:B456_004G259800 transcript:KJB26781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKGIICLVVILLGTISAITGFAAEATRVKRSQVHLDAFGECTYPKSPSHVLGLTSALMLLIGKMILNFSTGCLCCKRRTALTLLLKAAAFQAQHKEATVMNGWEYCSLVRPGLFACGAVLAVISLVFGILYYLTLNSKGKEDAKAPVPTQGDITMAQPQFPLENPDSVNEDAKDKQQFS >KJB23313 pep chromosome:Graimondii2_0_v6:4:12806029:12806731:-1 gene:B456_004G092000 transcript:KJB23313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILHFGSLFQCIQKGLSFVSSFQRMASIFRAFQTILIILILMPFLHSSSRITTKTTMAEETSTATQELQRNKQLNRLRGGGSVLIVEEKREVPTGPDPLHHNCEPTTP >KJB21550 pep chromosome:Graimondii2_0_v6:4:61455:67308:1 gene:B456_004G000700 transcript:KJB21550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPSFSTKEFYWLASCFWGIITCKLVYDITGFISPFCFKGYGKLSDKEKMEWNNRGFSTFHALIAAWASLYLLLFSDLFDEDSSNDLIVNRSSVISNMFLGFSIGYFLSDLAMVFWHFPALGGLEYVLGCCWLEKLYHLYLQWHCIVLWVVDCKDFSVHLFFCSHV >KJB21547 pep chromosome:Graimondii2_0_v6:4:61293:67308:1 gene:B456_004G000700 transcript:KJB21547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPSFSTKEFYWLASCFWGIITCKLVYDITGFISPFCFKGYGKLSDKEKMEWNNRGFSTFHALIAAWASLYLLLFSDLFDEDSSNDLIVNRSSVISNMFLGFSIGYFLSDLAMVFWHFPALGGLEYVLHHGLSMFSISLSLMSSQGQIYILMVLFSESTTPFVNIRWYLDVAGWKSSTIYIYNGIALFFGWLIARIFLFIYFFAHMFNHFDEVKKIFPLGFYSLLTVPPVLGLMNVVWFWKIVKGLIKTISKARHRE >KJB21551 pep chromosome:Graimondii2_0_v6:4:61293:67417:1 gene:B456_004G000700 transcript:KJB21551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPSFSTKEFYWLASCFWGIITCKLVYDITGFISPFCFKGYGKLSDKEKMEWNNRGFSTFHALIAAWASLYLLLFSDLFDEDSSNDLIVNRSSVISNMFLGFSIGYFLSDLAMVFWHFPALGGLEYVLHHGLSMFSISLSLMSSQGQIYILMVLFSESTTPFVNIRWYLDVAGWKSSTIYIYNGIALFFGWLIARIFLFIYFFAHMFNHFDEVKKIFPLGFYSLLTVPPVLGLMNVVWFWKIVKGLIKTISKARHRE >KJB21543 pep chromosome:Graimondii2_0_v6:4:61455:67308:1 gene:B456_004G000700 transcript:KJB21543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPSFSTKEFYWLASCFWGIITCKLVYDITGFISPFCFKGYGKLSDKEKMEWNNRGFSTFHALIAAWASLYLLLFSDLFDEDSSNDLIVNRSSVISNMFLGFSIGYFLSDLAMVFWHFPALGGLEYVLHHGLSMFSISLSLMSSQGQIYILMVLFSESTTPFVNIRWYLDVAGWKSSTIYIYNGIALFFGWLIARIFLFIYFFAHMFNHFDEVKKIFPLGFYSLLTVPPVLGLMNVVWFWKIVKGLIKTISKARHRE >KJB21546 pep chromosome:Graimondii2_0_v6:4:61907:67062:1 gene:B456_004G000700 transcript:KJB21546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPSFSTKEFYWLASCFWGIITCKLVYDITGFISPFCFKGYGKLSDKEKMEWNNRGFSTFHALIAAWASLYLLLFSDLFDEDSSNDLIVNRSSVISNMFLGFSIGYFLSDLAMVFWHFPALGGLEYVLHHGLSMFSISLSLMSSQGQIYILMVLFSESTTPFVNIRWYLDVAGWKSSTIYIYNGIALFFGWLADMTSTCDDFRLQGFFCSSIFLLTCLTTLMRSRRYFRWGSTACSLYLRCWG >KJB21545 pep chromosome:Graimondii2_0_v6:4:61455:67308:1 gene:B456_004G000700 transcript:KJB21545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPSFSTKEFYWLASCFWGIITCKLVYDITGFISPFCFKGYGKLSDKEKMEWNNRGFSTFHALIAAWASLYLLLFSDLFDEDSSNDLIVNRSSVISNMFLGFSIGYFLSDLAMVFWHFPALGGLEYVLHHGLSMFSISLSLMSSQGQIYILMVLFSESTTPFVNIRWYLDVAGWKSSTIYIYNGIALFFGWLIARIFLFIYFFAHMFNHFDEVKKIFPLGFYSLLTVPPVLGLMNVVWFWKIVKGLIKTISKARHRE >KJB21548 pep chromosome:Graimondii2_0_v6:4:61455:67308:1 gene:B456_004G000700 transcript:KJB21548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPSFSTKEFYWLASCFWGIITCKLVYDITGFISPFCFKGYGKLSDKEKMEWNNRGFSTFHALIAAWASLYLLLFSDLFDEDSSNDLIVNRSSVISNMFLGFSIGYFLSDLAMVFWHFPALGGLEYVLHHGLSMFSISLSLMSSQGQIYILMVLFSESTTPFVNIRWYLDVAGWKSSTIYIYNGIALFFG >KJB21544 pep chromosome:Graimondii2_0_v6:4:61411:67414:1 gene:B456_004G000700 transcript:KJB21544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPSFSTKEFYWLASCFWGIITCKLVYDITGFISPFCFKGYGKLSDKEKMEWNNRGFSTFHALIAAWASLYLLLFSDLFDEDSSNDLIVNRSSVISNMFLGFSIGYFLSDLAMVFWHFPALGGLEYVLHHGLSMFSISLSLMSSQGQIYILMVLFSESTTPFVNIRWYLDVAGWKSSTIYIYNGIALFFGWLIARIFLFIYFFAHMFNHFDEVKKIFPLGFYSLLTVPPVLGLMNVVWFWKIVKGLIKTISKARHRE >KJB21549 pep chromosome:Graimondii2_0_v6:4:61907:66421:1 gene:B456_004G000700 transcript:KJB21549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPSFSTKEFYWLASCFWGIITCKLVYDITGFISPFCFKGYGKLSDKEKMEWNNRGFSTFHALIAAWASLYLLLFSDLFDEDSSNDLIVNRSSVISNMFLGFSIGYFLSDLAMVFWHFPALGGLEYVLHHGLSMFSISLSLMSSQGQIYILMVLFSESTTPFVNIRWYLDVAGWKSSTIYIYNGIALFFGWLVLMHIPQILDLSYCRIVNFLHSVLHVGPLTLKIGMRNIGRKHFAYIN >KJB26510 pep chromosome:Graimondii2_0_v6:4:58227521:58229447:-1 gene:B456_004G245100 transcript:KJB26510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVQAIGLLDDLDKELNTYAMRIREWYGWHFPELTRIVQDNIHYAKTVKLMGDRANAAKLDFSEILPEEVETELKEAAVISMGTEVNDLDLMNIKELCDQVLSLSEYRAQLYDYLKSRMNTVAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKLEARLRALEGKELGRSAGSAKGKPKIEVYDKDRKKGAGLITPAKSYNPAADSVLGQTTNSGALDEQDTAPRKKKKVEVEPQEEEAAEVAAIEDKKEKKKKKKKADQEASLPISGNELQNEEPVPKEKEKKKKKKRQAKDSGENVEGEKEEKKKKRKHVEEEEPEVQTKKEKKKKKKSGD >KJB26512 pep chromosome:Graimondii2_0_v6:4:58227708:58230096:-1 gene:B456_004G245100 transcript:KJB26512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKVVSLKAFSKFENTAEALEAATKLLESTPSKGLRKFLRAHCDGETLGVADSKLGNAIKEKLKIDCIHNTSVMELLRGVRTQLTELISGLGAQDLAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRIREWYGWHFPELTRIVQDNIHYAKTVKLMGDRANAAKLDFSEILPEEVETELKEAAVISMGTEVNDLDLMNIKELCDQVLSLSEYRAQLYDYLKSRMNTVAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKLEARLRALEGKELGRSAGSAKGKPKIEVYDKDRKKGAGLITPAKSYNPAADSVLGQTTNSGALDEQDTAPRKKKKVEVEPQEEEAAEVAAIEDKKEKKKKKKKADQEASLPISGNELQNEEPVPKEKEKKKKKKRQAKDSGENVEGEKEEKKKKRKHVEEEEPEVQTKKEKKKKKKSGD >KJB26511 pep chromosome:Graimondii2_0_v6:4:58227521:58230819:-1 gene:B456_004G245100 transcript:KJB26511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLNKVEDLSKEFLAADSARKVVSLKAFSKFENTAEALEAATKLLESTPSKGLRKFLRAHCDGETLGVADSKLGNAIKEKLKIDCIHNTSVMELLRGVRTQLTELISGLGAQDLAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRIREWYGWHFPELTRIVQDNIHYAKTVKLMGDRANAAKLDFSEILPEEVETELKEAAVISMGTEVNDLDLMNIKELCDQVLSLSEYRAQLYDYLKSRMNTVAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKLEARLRALEGKELGRSAGSAKGKPKIEVYDKDRKKGAGLITPAKLQSCSRFSSRANNKLGCS >KJB26509 pep chromosome:Graimondii2_0_v6:4:58227474:58230890:-1 gene:B456_004G245100 transcript:KJB26509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLNKVEDLSKEFLAADSARKVVSLKAFSKFENTAEALEAATKLLESTPSKGLRKFLRAHCDGETLGVADSKLGNAIKEKLKIDCIHNTSVMELLRGVRTQLTELISGLGAQDLAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRIREWYGWHFPELTRIVQDNIHYAKTVKLMGDRANAAKLDFSEILPEEVETELKEAAVISMGTEVNDLDLMNIKELCDQVLSLSEYRAQLYDYLKSRMNTVAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKLEARLRALEGKELGRSAGSAKGKPKIEVYDKDRKKGAGLITPAKSYNPAADSVLGQTTNSGALDEQDTAPRKKKKVEVEPQEEEAAEVAAIEDKKEKKKKKKKADQEASLPISGNELQNEEPVPKEKEKKKKKKRQAKDSGENVEGEKEEKKKKRKHVEEEEPEVQTKKEKKKKKKSGD >KJB22969 pep chromosome:Graimondii2_0_v6:4:8711163:8712937:-1 gene:B456_004G076100 transcript:KJB22969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPSNLHPDEASPPWLNKGDNSWQLTAATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVLICWVGWGYRMSFGDKFIPFLGMPNVSLDSQYLIDRAFLGYLPNATMIYFQFVFAAITLILIAGALLGRMNFLAWMLFVPLWVTFSYTITAYSIWCPGGWLAKRGLIDYSGGYVIHLSSGVAGFTAAYWVGPRTTRDRERFPPNNILLMLAGAGLLWMGWTGFNGGDPYTVSTDASLAVLNTHVCTATSLLTWLILDYIFFGKSSVVGATQGMITGLVCITPAAGVVQGWAAILMGMMSGSIPWYTMMVLHKKVWFLKQVDDTMAVFHTHAVAGSLGGILTGVFAVPKFNRLFYMVTDWQHYIGLFYGFHDGRTTAGFRQLGVQLVGILFVVFVHATMTSIICLVISLVVPLRLSEEELQTGDDAIHGEEAYALWGDGERYESKHNSVYEENARPPSTKGDDDCFKTFWGNLLSRPRV >KJB25001 pep chromosome:Graimondii2_0_v6:4:47826739:47828378:-1 gene:B456_004G175300 transcript:KJB25001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSFYRIPSWQRGDESLNQQKRIEELEAQLGEADDIVRDLRAELREVQDELEKLTKNSMQCSSEQKSGHDVAASEEMSNVKTISDFGYVALKFCP >KJB27073 pep chromosome:Graimondii2_0_v6:4:60960578:60961140:1 gene:B456_004G276200 transcript:KJB27073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGREQDEKEPQLLPISLTIASPSPTLSHSSSLTYRSTTVMSDQFVGPSSSLDLQLSISLRPVHQLPSNRNDFDRVESLKQRTAEQIRLAAIKKAYAERVRELTKSEMEMARSDFARAKHVWKRAREDVEKAEKMKERATLQIDSTCMEITCQSCRQRFKP >KJB22432 pep chromosome:Graimondii2_0_v6:4:52405511:52406760:-1 gene:B456_004G200500 transcript:KJB22432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLAIFFLLYLSLHACNAHRLGFSAKENGNHVDFLASKDANKLKGHKLEMGPSISKKLQTPELQEVRSHGRRIIGAKTMKQTISDSFLAKEAVQNAISGYGIMSSSRVDLQSKETVEHVRTEHRNQMVFTCMHGDGRE >KJB22433 pep chromosome:Graimondii2_0_v6:4:52405362:52406760:-1 gene:B456_004G200500 transcript:KJB22433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLAIFFLLYLSLHACNAHRLGFSAKENGNHVDFLASKDANKLKGHKLEMGPSISKKLQTPELQEVRSHGRRIIGAKTMKQTISDSFLAKEAVQNAISGYGIMSSSRVDLQSKETVEGWKRVRARSMLVNSDAGADEALDSKEKDNVGDIDVMDYAQPHRKPPIHNEKLKN >KJB22434 pep chromosome:Graimondii2_0_v6:4:52405730:52406812:-1 gene:B456_004G200500 transcript:KJB22434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLAIFFLLYLSLHACNAHRLGFSAKENGNHVDFLASKDANKLKGHKLEMGPSISKKLQTPELQEVRSHGRRIIGAKTMKQTISDSFLAKEAVQNAISGYGIMSSSRVDLQSKETVEVKKKKEETISLEPRFSK >KJB22431 pep chromosome:Graimondii2_0_v6:4:52405239:52406812:-1 gene:B456_004G200500 transcript:KJB22431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLAIFFLLYLSLHACNAHRLGFSAKENGNHVDFLASKDANKLKGHKLEMGPSISKKLQTPELQEVRSHGRRIIGAKTMKQTISDSFLAKEAVQNAISGMEESKSKVDVGKFRCWG >KJB25289 pep chromosome:Graimondii2_0_v6:4:49735278:49736661:1 gene:B456_004G183600 transcript:KJB25289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCSSDDANLNKGPWIPEEDEKLLDYINTHGHGNWKTLPKHAGLNRCGKSCRLRWANYLRPDIKRGRFSEEEERLIISLHSTLGNKWSKIATYLPGRTDNEIKNFWNTHIRKKLLNMGLDPNTHKPRTDLNHLLNLTQLICAAQLGSLVNPWESSAPKVQADAAQLLQNLIQTLITNQLSTISAGLMGSRNSYPYEGLINGTSSLYADEPAPVPKKFHLMSQTSSDQHQGQGVIENSWASFEGYESSDISGFLISELVPESPKTATTSMNQMGDDKTNTNHGSTMSPDSSIFQAWEKLMDDETDNSFWKDILDLASSPSSPIL >KJB25002 pep chromosome:Graimondii2_0_v6:4:47216879:47218957:-1 gene:B456_004G171600 transcript:KJB25002 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MCGSRSTITENPPSSSHCLSMATLSFNSTRIKSPSLQSHKPFSKSHFSTKPNNVPFLFPSKTHPQLHHFKPNSISESSVSVPEEAFDDAEEDDPTSELSYLDSETDPSSVTEWELDFCSRPILDIRGKKIWELVVCDSSLSLQYTKYFPNNVINSVTLKDAMETISEDLGVPLPEKVRFFRSQMQTIITKSCKELGIKPVPSKRCLSLLLWLEERYETIYMRHPGFQKGSKPLLALDNPFPMELPENLFGEKWAFVQLPFSAIREEISSLDERFVFGAGLDLDLLGIEVDENTLIPGLAVASSRAKPLAAWMNGLEVCSVEADTSRGCLILSVGISTRYVYATYKKTPTTTSEAEAWEAAKKACGGLHFLAIQEDLDSDDCVGFWLLLDLPPPPV >KJB25004 pep chromosome:Graimondii2_0_v6:4:47216894:47218957:-1 gene:B456_004G171600 transcript:KJB25004 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MCGSRSTITENPPSSSHCLSMATLSFNSTRIKSPSLQSHKPFSKSHFSTKPNNVPFLFPSKTHPQLHHFKPNSISESSVSVPEEAFDDAEEDDPTSELSYLDSETDPSSVTEWELDFCSRPILDIRGKKIWELVVCDSSLSLQYTKYFPNNVINSVTLKDAMETISEDLGVPLPEKVRFFRSQMQTIITKSCKELGIKPVPSKRCLSLLLWLEERYETIYMRHPGFQKGSKPLLALDNPFPMELPENLFGEKWAFVQLPFSAIREEISSLDERFVFGAGLDLDLLGIEVDENTLIPGLAVASSRAKPLAGSLDEWIGSVFSRG >KJB25005 pep chromosome:Graimondii2_0_v6:4:47217289:47218955:-1 gene:B456_004G171600 transcript:KJB25005 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MCGSRSTITENPPSSSHCLSMATLSFNSTRIKSPSLQSHKPFSKSHFSTKPNNVPFLFPSKTHPQLHHFKPNSISESSVSVPEEAFDDAEEDDPTSELSYLDSETDPSSVTEWELDFCSRPILDIRGKKIWELVVCDSSLSLQYTKYFPNNVINSVTLKDAMETISEDLGVPLPEKVRFFRSQMQTIITKSCKELGIKPVPSKRCLSLLLWLEERYETIYMRHPGFQKGSKPLLALDNPFPMELPENLFGEKWAFVQLPFSAIREEISSLDERFVFGAGLDLDLLGIEVDENTLIPGLAVASSRAKPLAGRFTISFNISSFTIRNSCWKFVSQLLASQFNYGVFLEN >KJB25003 pep chromosome:Graimondii2_0_v6:4:47216894:47218957:-1 gene:B456_004G171600 transcript:KJB25003 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MCGSRSTITENPPSSSHCLSMATLSFNSTRIKSPSLQSHKPFSKSHFSTKPNNVPFLFPSKTHPQLHHFKPNSISESSVSVPEEAFDDAEEDDPTSELSYLDSETDPSSVTEWELDFCSRPILDIRGKKIWELVVCDSSLSLQYTKYFPNNVINSVTLKDAMETISEDLGVPLPEKVRFFRSQMQTIITKSCKELGIKPVPSKRCLSLLLWLEERYETIYMRHPGFQKGSKPLLALDNPFPMELPENLFGEKWAFVQLPFSAIREEISSLDERTCSGFFTCKTIGSLDEWIGSVFSRG >KJB25006 pep chromosome:Graimondii2_0_v6:4:47217247:47218955:-1 gene:B456_004G171600 transcript:KJB25006 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MCGSRSTITENPPSSSHCLSMATLSFNSTRIKSPSLQSHKPFSKSHFSTKPNNVPFLFPSKTHPQLHHFKPNSISESSVSVPEEAFDDAEEDDPTSELSYLDSETDPSSVTEWELDFCSRPILDIRGKKIWELVVCDSSLSLQYTKYFPNNVINSVTLKDAMETISEDLGVPLPEKVRFFRSQMQTIITKSCKELGIKPVPSKRCLSLLLWLEERYETIYMRHPGFQKGSKPLLALDNPFPMELPENLFGEKWAFVQLPFSAIREEISSLDERTCSGFFTCKTIGRLNENVLVQLG >KJB23690 pep chromosome:Graimondii2_0_v6:4:22959779:22961595:-1 gene:B456_004G1096001 transcript:KJB23690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRIAGTWVGVIDLELENWTVAMLREEVAKRSNAQRPDSINLISAGKVLKDGDGSQNLTQLGIRNNAKILATRVSVDEGKSLEQELMAEEERSRRLARVKAAATALSKRHVDGSLPIEDFNIELENQGGQKVQLGTETDQRAVMMGLMLHENAKNLLTRQLYKDALEVLTMGEEAFSLCDPKVLE >KJB23689 pep chromosome:Graimondii2_0_v6:4:22959146:22961518:-1 gene:B456_004G1096001 transcript:KJB23689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRIAGTWVGVIDLELENWTVAMLREEVAKRSNAQRPDSINLISAGKVLKDGDGSQNLTQLGIRNNAKILATRVSVDEGKSLEQELMAEEERSRRLARVKAAATALSKRHVDGSLPIEDFNIELENQGGQKVQLGTETDQRAVMMGLMLHENAKNLLTRQLYKDALEVLTMGEEAFSLCDPKVLEFIDNVPILQIDMVWCYFLLRDISWLSVAGIRLEKAREGLERCHGKDCSRVRLLQAGCQPELALHMRLELLEGVVAYHNGQLDKSKKALTSAQAKFSQ >KJB23685 pep chromosome:Graimondii2_0_v6:4:22959146:22961595:-1 gene:B456_004G1096001 transcript:KJB23685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLMLHENAKNLLTRQLYKDALEVLTMGEEAFSLCDPKVLEFIDNVPILQIDMVWCYFLLRDISWLSVAGIRLEKAREGLERCHGKDCSRVRLLQAGCQPELALHMRLELLEGVVAYHNGQLDKSKKALTSAQAKFSQ >KJB23687 pep chromosome:Graimondii2_0_v6:4:22959146:22961644:-1 gene:B456_004G1096001 transcript:KJB23687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRIAGTWVGVIDLELENWTVAMLREEVAKRSNAQRPDSINLISAGKVLKDGDGSQNLTQLGIRNNAKILATRVSVDEGKSLEQELMAEEERSRRLARVKAAATALSKRHVDGSLPIEDFNIELENQGGQKVQLGTETDQRAVMMGLMLHENAKNLLTRQLYKDALEVLTMGEEAFSLCDPKVLEFIDNVPILQIDMVWCYFLLRDISWLSVAGIRLEKAREGLERCHGKDCSRVRLLQAGCQPELALHMRLELLEGVVAYHNGQLDKSKKALTSAQAKFSQ >KJB23686 pep chromosome:Graimondii2_0_v6:4:22959146:22961595:-1 gene:B456_004G1096001 transcript:KJB23686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLMLHENAKNLLTRQLYKDALEVLTMGEEAFSLCDPKVLEFIDNVPILQIDMVWCYFLLRDISWLSVAGIRLEKAREGLERCHGKDCSRVRLLQAGCQPELALHMRLELLEGVVAYHNGQLDKSKKALTSAQAKFSQ >KJB23688 pep chromosome:Graimondii2_0_v6:4:22959146:22961595:-1 gene:B456_004G1096001 transcript:KJB23688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRIAGTWVGVIDLELENWTVAMLREEVAKRSNAQRPDSINLISAGKVLKDGDGSQNLTQLGIRNNAKILATRVSVDEGKSLEQELMAEEERSRRLARVKAAATALSKRHVDGSLPIEDFNIELENQGGQKVQLGTETDQRAVMMGLMLHENAKNLLTRQLYKDALEVLTMGEFIDNVPILQIDMVWCYFLLRDISWLSVAGIRLEKAREGLERCHGKDCSRVRLLQAGCQPELALHMRLELLEGVVAYHNGQLDKSKKALTSAQAKFSQ >KJB25507 pep chromosome:Graimondii2_0_v6:4:51500690:51502087:1 gene:B456_004G195300 transcript:KJB25507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSEISTALQYIQHYLLDELSPVGLGNFSNENRWINEVKAEVSTSQPESLYSQTSSSGSSLTEANFNCLENDDFFKFSPNFRGFQPNGSDVFEYESKPQIIDLITPKSFPSNASSLEFETKPKITELSTAKPQVSSGCNSKIRKPSLKISLPHKVEWIQFDKPDLTRPKPKKSNSEDNRHYRGVRQRPWGKFAAEIRDPTRRGSRIWLGTFDTAIEAAKAYDRAAFKLRGSKAILNFPLEAGKLESGASDGERKRSRDNSEGEEKRAKVVKRESENVTKARDNGDAPLTPSNWTAFWDLDNEIKEIFNVPLLSPLGFSHVMVV >KJB22311 pep chromosome:Graimondii2_0_v6:4:3475395:3477910:1 gene:B456_004G040500 transcript:KJB22311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYEAPITPIKPPQISEMFQKFALAFKTKTFEFFADEDNHNNGSHLSDSDGFSLLDSAEDFITDQKVVVIKPDPPPNSSSSSMNNVSHRGTIDTQIAECLVSSVFAAVSSFEASYLQLQTSQVPFVEESVKAADRALVSHLQRLSDLKRFYMELRRNPSSQVASSLGSCLEAQVQENQSKLRGLETVSNRLQEEIDEKDNEVSVLRKKLAEIHWGNTKLSKKLSGNLNSACDVLLTVRVFHSVLHDACRATHKFSKILIGLMIKAGWDLDLVANSIYPDIDYAKKGHTRFAFLSYVCLGMFRGFDSEGFSLIENEALCNGNKGTCSLKQLLEHISSNPMELLSKNQSCEFSRFCEKKYQDLIHPTMESSIFSNLDRNEAVLNSWRSLSVFYESFVCMASSIWTLHKLAFSFEPVVEIYQVERGLDFSMVYMEDVTKRYNFPGKTKVKVGFTVVPGFKIGRTVIQSQVYLGGSKCED >KJB25015 pep chromosome:Graimondii2_0_v6:4:47252043:47254355:-1 gene:B456_004G172300 transcript:KJB25015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLEIAQATESWSADDRETKNVGFKERDGEADVEMVVDLDSQPKVSWKQMLLEKGVLNQEEGSRSSKVECTEGFEFLEGDVIKDCQWYSDYRVFGLYTTNFDRGYGSNSVLVNGELQRVEYEALPTICFLYGKYGHLKEMCHSSATDKGNDTRKISGNSDLTESMISEAGFRPEVTFGKIKEIINQSKVSGGPSSRTNGASCKSKLGEFQFKGDSRFRPKTQEETDMGLSIMKRELAVTDLGVSKQLENSFSDPSKFSNSSSNSDMTITHFNPTFEESEGVVVVLDRNVLDLGKHSTIVFKEAPSSKKGQVLGDGGNYGVGKEVFIPKVRGSSDKYGTS >KJB23720 pep chromosome:Graimondii2_0_v6:4:24810559:24814352:-1 gene:B456_004G111500 transcript:KJB23720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLFFPYMQKFSEDFQQFIDYCKSQKPNASTISTISEYDLGGEGDLFKAPEPIIEEPNLGLDPMSAAISLISCGEDVITSQGLKAADIESIQNEQLLEVLYECEKDMMAKAAMETPLSEVLDIKIPVVKINENQHLENKVLSDIPFQKSVSSSCLRSMEWSQGAVIKPKFLDFPGMDFGSVYVMRRAFSEGDIQTLGNGNGSVICSPLERPIMISCCSTDDRREKLSRYRDKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEESDNSKRQ >KJB23721 pep chromosome:Graimondii2_0_v6:4:24810563:24813066:-1 gene:B456_004G111500 transcript:KJB23721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRTWCCGSFLSVIRISTISEYDLGGEGDLFKAPEPIIEEPNLGLDPMSAAISLISCGEDVITSQGLKAADIESIQNEQLLEVLYECEKDMMAKAAMETPLSEVLDIKIPVVKINENQHLENKVLSDIPFQKSVSSSCLRSMEWSQGAVIKPKFLDFPGMDFGSVYVMRRAFSEGDIQTLGNGNGSVICSPLERPIMISCCSTDDRREKLSRYRDKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEESDNSKRQ >KJB23719 pep chromosome:Graimondii2_0_v6:4:24810864:24813985:-1 gene:B456_004G111500 transcript:KJB23719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLFFPYMQKFSEDFQQFIDYCKSQKPNASTNNLVQISTISEYDLGGEGDLFKAPEPIIEEPNLGLDPMSAAISLISCGEDVITSQGLKAADIESIQNEQLLEVLYECEKDMMAKAAMETPLSEVLDIKIPVVKINENQHLENKVLSDIPFQKSVSSSCLRSMEWSQGAVIKPKFLDFPGMDFGSVYVMRRAFSEGDIQTLGNGNGSVICSPLERPIMISCCSTDDRREKLSRYRDKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEESDNSKRQ >KJB23718 pep chromosome:Graimondii2_0_v6:4:24810857:24813985:-1 gene:B456_004G111500 transcript:KJB23718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLFFPYMQKFSEDFQQFIDYCKSQKPNASTNNLVQISTISEYDLGGEGDLFKAPEPIIEEPNLGLDPMSAAISLISCGEDVITSQGLKAADIESIQNEQLLEVLYECEKDMMAKAAMETPLSEVLDIKIPVVKINENQHLENKVLSDIPFQKSVSSSCLRSMEWSQGAVIKPKFLDFPGMDFGSVYVMRRAFSEGDIQTLGNGNGSVICSPLERPIMISCCSTDDRREKLSRYRDKKTKRNFGRKIKVFQFPCILQSNNTLAGRLLLTVNQGSVEGLQRLKNLTTPRGSNC >KJB26260 pep chromosome:Graimondii2_0_v6:4:57066917:57068344:-1 gene:B456_004G233600 transcript:KJB26260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALVNSFKFSTSSVSIEDFSDISSSTPYGDEAYSSHFLSTHLPGILQWVNEGDTPNDQFSLDGVENYCSEQMFMEDVSCQNLSPTSGEVSMDVIPLVQAYLVLPDESMEIDNQTSILHLLKACGDAMGSKQMELAQEIIRRLNEKASPTGKSIERLAFYLTLSLDKQANYLMQEASKNYDAAFNALYQIFPYGRFAHFTANLAILESIPAYATVLHIVDFDIGKGIQWPALIETLGRQGLRTLRLTTIKWEEEDGSCNATTSFEETKKQLCKHAKIVGLRMEVEEMDIEGLMSKVKMDNEWLAFNCMVGLPHMEKQRSVKQVEEFLATAKALLRSDTSKGIITLGDGIGIKKWTDDTSFDSFFEAQLVYFQALLESMEQFQFLEARIAMECLFVVPHLCSLVNAQQWKETCSTGCSLTKLGLEPRRMSWENYLEAEELVRQAEGSCWVKTEGQNNNEMVLGYMGAPLVRVSSWT >KJB21819 pep chromosome:Graimondii2_0_v6:4:1134197:1135336:-1 gene:B456_004G015700 transcript:KJB21819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YIHLIEYIFIFDTRNYRYFLVFIVFEDFVTIMEDGNGIFYNHPEIETLQKQHEEKTIRIRDLKRQIEALKLRLAKTKTKKNISRDERREAFNELCEKYHSLRNEYNALLSEI >KJB21820 pep chromosome:Graimondii2_0_v6:4:1134312:1135336:-1 gene:B456_004G015700 transcript:KJB21820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YIHLIEYIFIFDTRNYRYFLVFIVFEDFVTIMEDGNGIFYNHPVQLSEIETLQKQHEEKTIRIRDLKRQIEALKLRLAKTKTKKNISRDERREAFNELCEKYHSLRNEYNALLSEI >KJB22199 pep chromosome:Graimondii2_0_v6:4:2847674:2849657:1 gene:B456_004G034800 transcript:KJB22199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSGLTFKLHPLVIVNISDHYTRVKSQLSLPPSLTSTSSAATSNNNNNNSNGGENNQNPPPRVYGCVIGVQRGRTVEIFNSFELIYDPSTRSLDRSFLEKKQELYKKVFPHFYVLGWYSTGSDAQESDMHFHKALMDINESPLYVLLNPAINPAQKDLPVTIYESELHVIDGVPQLIFVRSSYTIETVEAERISVDHVAHLKPSGGSAATQLAAQLTGIHSAIKMLNSRIRVLHQYLVGMQKGICPFLVIVVQIKFSWNYVNI >KJB22198 pep chromosome:Graimondii2_0_v6:4:2847771:2849393:1 gene:B456_004G034800 transcript:KJB22198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSGLTFKLHPLVIVNISDHYTRVKSQLSLPPSLTSTSSAATSNNNNNNSNGGENNQNPPPRVYGCVIGVQRGRTVEIFNSFELIYDPSTRSLDRSFLEKKQELYKKVFPHFYVLGWYSTGSDAQESDMHFHKALMDINESPLYVLLNPAINPAQKDLPVTIYESELHVIDGVPQLIFVRSSYTIETVEAERISVDHVAHLKPSGGSAATQWNCLCLYVFPASSGCSAYRYT >KJB22202 pep chromosome:Graimondii2_0_v6:4:2847674:2851163:1 gene:B456_004G034800 transcript:KJB22202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSGLTFKLHPLVIVNISDHYTRVKSQLSLPPSLTSTSSAATSNNNNNNSNGGENNQNPPPRVYGCVIGVQRGRTVEIFNSFELIYDPSTRSLDRSFLEKKQELYKKVFPHFYVLGWYSTGSDAQESDMHFHKALMDINESPLYVLLNPAINPAQKDLPVTIYESELHVIDGVPQLIFVRSSYTIETVEAERISVDHVAHLKPSGGSAATQLAAQLTGIHSAIKMLNSRIRVLHQYLVGMQKGDIPCESSLLRQVSSLLRRLPAIESEKFQDDFLMEYNDTLLITYLAMFTNCSSTMNELVDKFNTTYDRHSRRGGRTAFI >KJB22200 pep chromosome:Graimondii2_0_v6:4:2847674:2851052:1 gene:B456_004G034800 transcript:KJB22200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTMCLNYYIFPDKKVFPHFYVLGWYSTGSDAQESDMHFHKALMDINESPLYVLLNPAINPAQKDLPVTIYESELHVIDGVPQLIFVRSSYTIETVEAERISVDHVAHLKPSGGSAATQLAAQLTGIHSAIKMLNSRIRVLHQYLVGMQKGDIPCESSLLRQVSSLLRRLPAIESEKFQDDFLMEYNDTLLITYLAMFTNCSSTMNELVDKFNTTYDRHSRRGGRTAFI >KJB22201 pep chromosome:Graimondii2_0_v6:4:2847674:2851212:1 gene:B456_004G034800 transcript:KJB22201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSGLTFKLHPLVIVNISDHYTRVKSQLSLPPSLTSTSSAATSNNNNNNSNGGENNQNPPPRVYGCVIGVQRGRTVEIFNSFELIYDPSTRSLDRSFLEKKQELYKKVFPHFYVLGWYSTGSDAQESDMHFHKALMDINESPLYVLLNPAINPAQKDLPVTIYESELHVIDGVPQLIFVRSSYTIETVEAERISVDHVAHLKPSGGSAATQLAAQLTGIHSAIKMLNSRIRVLHQYLVGMQKGDIPCESSLLRQVSSLLRRLPAIESEKFQDDFLMEYNDTLLITYLAMFTNCSSTMNELVDKFNTTYDRHSRRGGRTAFI >KJB25930 pep chromosome:Graimondii2_0_v6:4:55001856:55004724:1 gene:B456_004G216600 transcript:KJB25930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVVVVDHRNQYCNRVKSHGLARFGSSSSPSTNFNGINCRAFESGAGLLPTPFKYSSIPITKQPSKTIRKSSAIPINSNNRTPRNDKSFDEISGDGFMYSELWAGPAYSNSPPPSSLPIPKFSLCSKRSMSLDLPAAVPVVDLHPTAKSAPASPTRELDPSVMELFLSVDSATKSLRRILNLDNTDDSKRAKSVCK >KJB25931 pep chromosome:Graimondii2_0_v6:4:55001960:55004281:1 gene:B456_004G216600 transcript:KJB25931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVVVVDHRNQYCNRVKSHGLARFGSSSSPSTNFNGINCRAFESGAGLLPTPFKYSSIPITKQPSKTIRKSSAIPINSNNRTPRNDKSFDEISGDGFMYSELWAGPAYSNSPPPSSLPIPKFSLCSKRSMSLDLPAAVPVVDLHPTAKSAPASPTRELDPSVMELFLSVDSATKSLRRILNLDNTDDSKRAKSVCK >KJB24978 pep chromosome:Graimondii2_0_v6:4:47001603:47004871:1 gene:B456_004G170500 transcript:KJB24978 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63490) UniProtKB/Swiss-Prot;Acc:Q9LY66] MATCTTTPSSSLMITYAAASTVHAQALTPSVLSFKSNKPTFSINPLALKTSIDRKSGIKWANLCPQRDSKHHVVAAVAAEAEVAEATDENDGVAAEGGTATAIATAPPKPKKGKAALPLKRDRTRSKRFLEIQKLRETKKEYDLKTAISLLKEMSSTKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQKVKVAVLTQGEKFDEAKNAGADIVGGEDLIEQIKGGFMDFDKLIASPDMMVKVAGLGKILGPRGLMPNPKAGTVTPNIPQAIEEFKKGKVEYRADKTGIVHIPFGKVDFLEDDLLVNLLAAVVCQTPSLCTYLTFLLSVTFF >KJB24976 pep chromosome:Graimondii2_0_v6:4:47001734:47003567:1 gene:B456_004G170500 transcript:KJB24976 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63490) UniProtKB/Swiss-Prot;Acc:Q9LY66] MATCTTTPSSSLMITYAAASTVHAQALTPSVLSFKSNKPTFSINPLALKTSIDRKSGIKWANLCPQRDSKHHVVAAVAAEAEVAEATDENDGVAAEGGTATAIATAPPKPKKGKAALPLKRDRTRSKRFLEIQKLRETKKEYDLKTAISLLKEMSSTKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQKVKVAVLTQGEKFDEAKNAGADIVGGEDLIEQIKGGFMDFDKLIASPDMMVKVLQALERF >KJB24975 pep chromosome:Graimondii2_0_v6:4:47001603:47003476:1 gene:B456_004G170500 transcript:KJB24975 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63490) UniProtKB/Swiss-Prot;Acc:Q9LY66] MATCTTTPSSSLMITYAAASTVHAQALTPSVLSFKSNKPTFSINPLALKTSIDRKSGIKWANLCPQRDSKHHVVAAVAAEAEVAEATDENDGVAAEGGTATAIATAPPKPKKGKAALPLKRDRTRSKRFLEIQKLRETKKEYDLKTAISLLKEMSSTKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQKVKVAVLTQGEKFDEAKNAGADIVGGEDLIEQIKGGFMDFDKLIASPDMMVKV >KJB24979 pep chromosome:Graimondii2_0_v6:4:47001603:47004871:1 gene:B456_004G170500 transcript:KJB24979 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63490) UniProtKB/Swiss-Prot;Acc:Q9LY66] MATCTTTPSSSLMITYAAASTVHAQALTPSVLSFKSNKPTFSINPLALKTSIDRKSGIKWANLCPQRDSKHHVVAAVAAEAEVAEATDENDGVAAEGGTATAIATAPPKPKKGKAALPLKRDRTRSKRFLEIQKLRETKKEYDLKTAISLLKEMSSTKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQKVKVAVLTQGEKFDEAKNAGADIVGGEDLIEQIKGGFMDFDKLIASPDMMVKVAGLGKILGPRGLMPNPKAGTVTPNIPQAIEEFKKGKVEYRADKTGIVHIPFGKVDFLEDDLLVNLLAAVV >KJB24974 pep chromosome:Graimondii2_0_v6:4:47001575:47004917:1 gene:B456_004G170500 transcript:KJB24974 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63490) UniProtKB/Swiss-Prot;Acc:Q9LY66] MATCTTTPSSSLMITYAAASTVHAQALTPSVLSFKSNKPTFSINPLALKTSIDRKSGIKWANLCPQRDSKHHVVAAVAAEAEVAEATDENDGVAAEGGTATAIATAPPKPKKGKAALPLKRDRTRSKRFLEIQKLRETKKEYDLKTAISLLKEMSSTKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQKVKVAVLTQGEKFDEAKNAGADIVGGEDLIEQIKGGFMDFDKLIASPDMMVKVAGLGKILGPRGLMPNPKAGTVTPNIPQAIEEFKKGKVEYRADKTGIVHIPFGKVDFLEDDLLVNLLAAVKSIETNKPKGAKGVYWKSAHICTSMGPSIKLNIREMLDYKPPSNV >KJB24977 pep chromosome:Graimondii2_0_v6:4:47001603:47004871:1 gene:B456_004G170500 transcript:KJB24977 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63490) UniProtKB/Swiss-Prot;Acc:Q9LY66] MATCTTTPSSSLMITYAAASTVHAQALTPSVLSFKSNKPTFSINPLALKTSIDRKSGIKWANLCPQRDSKHHVVAAVAAEAEVAEATDENDGVAAEGGTATAIATAPPKPKKGKAALPLKRDRTRSKRFLEIQKLRETKKEYDLKTAISLLKEMSSTKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQKVKVAVLTQGEKFDEAKNAGADIVGGEDLIEQIKGGFMDFDKLIASPDMMVKVAGLGKILGPRGLMPNPKAGTVTPNIPQKSIETNKPKGAKGVYWKSAHICTSMGPSIKLNIREMLDYKPPSNV >KJB25028 pep chromosome:Graimondii2_0_v6:4:47389459:47391281:-1 gene:B456_004G172900 transcript:KJB25028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAKIDWTRIDSRFVEDCVYEHINAPKWVDFLAPDPHQPSIDDDAWFCTPDCNHPKTAEDFLQPSPPSKLPRRSVPGGGAGNFPFRELNQGDAKLKRRGQQTQSSSFSKFDDSENHNPNLSTPLPNHQAKSLKAAIKSSSEKNKPIITDGILQSQSDEIRPLKSSASARNLFAGRDILSHISDFCNELKKLASRAREREREENTQDEKTQVLGELDKERKPLLELGQEKHGIANEKEKMKINRNRTHGDEAENMAPSNISLNLEDVKHKNKGEERLLQIRTNPPSPQCFSAPTKTTVTPSKASRSRLMERGILQEVKQNKDMKTEKAGSSVSSCSSSVVNNVNVTDGRQARALDVFWFLKPCTMSE >KJB25029 pep chromosome:Graimondii2_0_v6:4:47389460:47391281:-1 gene:B456_004G172900 transcript:KJB25029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAKIDWTRIDSRFVEDCVYEHINAPKWVDFLAPDPHQPSIDDDAWFCTPDCNHPKTAEDFLQPSPPSKLPRRSVPGGGAGNFPFRELNQGDAKLKRRGQQTQSSSFSKFDDSENHNPNLSTPLPNHQAKSLKAAIKSSSEKNKPIITDGILQSQSDEIRPLKSSASARNLFAGRDILSHISDFCNELKKLASRAREREREENTQDEKTQVLGELDKERKPLLELGQEKHGIANEKEKMKINRNRTHGDEAENMAPSNISLNLEDVKHKNKGEERLLQIRTNPPSPQCFSAPTKTTVTPSKASRSRLMERGILQEVKQNKDMKTEKAGSSVSSCSSSVVNNVNVTDGRQARALDVFWFLKPCTMSE >KJB25011 pep chromosome:Graimondii2_0_v6:4:47235360:47239679:-1 gene:B456_004G172100 transcript:KJB25011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKPGNDDQQIDHGAQSVLQSTAYSEPWWKGVGTNPFGEAASKSPSVEQLNGSVANGAVHSQAHGDLGNEDGRNRQDRQHLKHVPSTTPLTLGEHHEPNSQMELVGHSIVLTSYPYSDPQYGGMLTSYGPQMMVSPHLYGMHHARMPLPLQMEEEPVYVNAKQYHGILRRRQIRAKAELEKKAIKIRKPYLHESRHLHAMRRARGCGGRFLNTKKQGDDVTSPTSQKGMNSDENISTKSSILSGSECVSANGAGNMNSFYSQQEGNCARDIMQGNGAQHGAPSIK >KJB25012 pep chromosome:Graimondii2_0_v6:4:47235366:47239632:-1 gene:B456_004G172100 transcript:KJB25012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKPGNDDQQIDHGAQSVLQSTAYSEPWWKGVGTNPFGEAASKSPSVEQLNGSVANGAVHSQAHGDLGNEDGRNRQDRQHLKHVPSTTPLTLGEHHEPNSQMELVGHSIVLTSYPYSDPQYGGMLTSYGPQMMVSPHLYGMHHARMPLPLQMEEEPVYVNAKQYHGILRRRQIRAKAELEKKAIKIRKPYLHESRHLHAMRRARGCGGRFLNTKKQGDDVTSPTSQKGMNSDENISTKSSILSGSECVSANGAGNMNSFYSQQEGNCARDIMQGNGAQHGAPSIK >KJB25013 pep chromosome:Graimondii2_0_v6:4:47235942:47238760:-1 gene:B456_004G172100 transcript:KJB25013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKPGNDDQQIDHGAQSVLQSTAYSEPWWKGVGTNPFGEAASKSPSVEQLNGSVANGAVHSQAHGDLGNEDGRNRQDRQHLKHVPSTTPLTLGEHHEPNSQMELVGHSIVLTSYPYSDPQYGGMLTSYGPQMMVSPHLYGMHHARMPLPLQMEEEPVYVNAKQYHGILRRRQIRAKAELEKKAIKIRKPYLHESRHLHAMRRARGCGGRFLNTKKQGDDVTSPTSQKGMNSDENISTKSSILSGSECVSANGAGNMNSFYSQQEGNCARDIMQGNGAQHGAPSIK >KJB27092 pep chromosome:Graimondii2_0_v6:4:61046063:61050155:-1 gene:B456_004G277500 transcript:KJB27092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNGVFPESTWKPTRPTRPRYLPPHLRPQNDNAGFLDNVTLIPELTRSRFNSHQPARSTRGRGRGRGPRFAGRRNPNWEDEKLDELEVIDDALEGTNETNNNLEAYEDIPVQASGDNIPPPVATFAEIGLGDGLKKNIRRCNYVKPTPIQRYAIPIAVAGRDLMACAQTGSGKTAAFCFPIISGVLKDPSLVSGRRGGGGGGGSAAVACPLALILAPTRELSCQIFEEAKKFADMTGVKISVAYGGTPIYQQLRSLEKGVDILVATPGRLVDMIERARVSLGMLKYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGTRQTMLFSATFPDDIQRLASDFLSDYIFLAVGRVGSSTDLIVQRVELVQEMDKRSHLLDLLRSQRSNATPHSKHALTLVFVETKRGANELEHWLSRNGFPAIAMHGDKVQMEREQALRSFKSGATPILVATDVASRGLDIPHVAHVINFDMPKNIDDYVHRIGRTGRAGKCGLATAFFSDKNMPLAKSLTELMKESNQEVPSWLVQHAENHSAGGWVRHGGPDFGGYDFRKGSASSSNYNDTYAVPAIDGYHAAASVNAQPFLSSGNFYSDPSVKTGNVDYLYTDAAAAPYEYAYGYGSVIASGWD >KJB21832 pep chromosome:Graimondii2_0_v6:4:1187697:1193771:-1 gene:B456_004G016600 transcript:KJB21832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAFSCPLRECVTEMDNGSLDSMTVKSIIFGDEERAKTPLRSIGFKISNSKPTILKSCGSGKMILEGSVRFKGRDLEERMTSAIDEAVASSKSKAMDVVKSLNPDFPLESSKESRVSDLNNPQMEAAIRLQKVYKSFRTRRKLADCAVLVDQSWLKLVDFAELKRCSISFFDMDEHETAISRWSRARTRAAKVGKGLFKNDKAQKLAFQHWLEAIDPRHRYGHNLHFYYNQWLHSQSQEPFFYWLDYGEGREVNIEKCPRSKLQYQCIKYLVWMERKPYEIVVVDGKFIYKQTGKLLQTTEETSDSKWIFVLSTSKILYVAKKEKGTFQHSSFLAGGATIAAGRLVVDNGVLKVVWRHSGHYRPTKENFNNFISFLRENNVDLTDVKVKEMDENG >KJB25755 pep chromosome:Graimondii2_0_v6:4:53813408:53823364:-1 gene:B456_004G207300 transcript:KJB25755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMESDSSEEQENSTAFLTGIKFHVSNDADNENMSILEITAPSEVSDPRLGFPNFSNHCTTCDARDMKQCEGHFGVIKFPYTILHPFFLSEVVHILNKICPGCKSIRKDLWMKGANSIARLLETKGCKYCVGNSIEWYPPMRFKITSKELFRKSAIMVEVSENSLMKVRKRGRQALPADYWDFIPKDQQQEEGFVKPGRRVLSHAQVRHLLKDVNPEFIKKFISEADSIFLNFFPVTPNSHRVTEIMHTSSNAQRLIFDERTRVYKKLVDFRGLANELSSHVLECLKISKLHVEKPSTEDSALIAALKKNKDSASNMSGLRYMKDVILGKRNDHCFRMVLTGNPNLKLSEIGIPCHVAEKLQIAEQLNRWNEERLKTCCNLRILEKGEIRIRREGKLVRIRHNEKVQVGDTIYRPLNDGDTVLINRPPSIHQHSLIALSVKVLPVNSVVSINPLICSPFRGDFDGDCLHGYVPQSINTRVELSELVSLDRQLINGQSGRNLLSLSHDSLTAAYLVKEDGVLLNLFQMQQLEMFCPNHSPLPAIVKAPLLSNPVWTGKQLFSMLFPPEFDYVFAPSGVVIHNGDLICSSEGSSWLRDADGNLFQSLIKHYQGKVLDFLHAAQEVLCEWLSMRGLSVSLLDLYLSPDTDSQKNMMDEIFYGLQEAEQTCNFKQLMVDSCQDFLAGNDEETNRFMVLDVEQMCYEKQRSAALSQASVDSFKQVFRDIQNLLYKYANKDNSLFTMFKAGSKGNLLKLVQHSMCLGLQHSLVPLSFRFPHQLSCAAWNNQKSHILTQKVDDTDESAKKYIPYAVVESSFVKGLNPLECFVHSVTTRDTSFSDNADLPGTLSRRLMFFMRDLCAAYDGTVRNAYGDQVVQFCYNIDKGTFSPTSCPDKLVSQGSSLPDGIGGQPVGSLSACAISEAAYSALDQPISLLESSPLLNLKRVLECGSKRSNADQTMSLFLSGKLVRRRHGQEYGALEVKNHLERLIFKDIVSTVSIIFSPQMSSENRFSPWVCHFHVFKDIVTRRRLTVHSIIDALHMHCTNAKRLWKINLPDMQVTSKACSVIDMENEDDTFCITVTIVECKTSRIELDVIQDMVIPSLLEAVVKGFPEIKKVEILWNEDFRVPNSHKPAPGELYLRVSVSGDFGITKLWSVLMNDCLQLMDIIDWTRSHPDNINQFCLAFGIDAGWRFFLNNMKSAISDTGKSILNEHLHLAANCLSATGEFVGLNSKGLKQQREHAFVSSPFMQACFSNPSACFVKAAKTGVADNLQGTIDLLAWGRVPRIGTGGQFDIVYSMKDEELAEPVDVYKLLGDSINSKKQDIEFEVPKVFNPTSEKHSSPFKGALRDSVFDEWKKIETKTRSLLRGRLTLNDVQRLERNLKNILRKYPIDHRVSEADWDTLMMALYFHPHRVEKIGSGAKEIKVGHHPDHADSRCFFLVRTDETTVDFSYRKCVVGALEIIAPCRAQSYKSKWLQHGSL >KJB25756 pep chromosome:Graimondii2_0_v6:4:53813440:53823211:-1 gene:B456_004G207300 transcript:KJB25756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSSEEQENSTAFLTGIKFHVSNDADNENMSILEITAPSEVSDPRLGFPNFSNHCTTCDARDMKQCEGHFGVIKFPYTILHPFFLSEVVHILNKICPGCKSIRKDLWMKGANSIARLLETKGCKYCVGNSIEWYPPMRFKITSKELFRKSAIMVEVSENSLMKVRKRGRQALPADYWDFIPKDQQQEEGFVKPGRRVLSHAQVRHLLKDVNPEFIKKFISEADSIFLNFFPVTPNSHRVTEIMHTSSNAQRLIFDERTRVYKKLVDFRGLANELSSHVLECLKISKLHVEKPSTEDSALIAALKKNKDSASNMSGLRYMKDVILGKRNDHCFRMVLTGNPNLKLSEIGIPCHVAEKLQIAEQLNRWNEERLKTCCNLRILEKGEIRIRREGKLVRIRHNEKVQVGDTIYRPLNDGDTVLINRPPSIHQHSLIALSVKVLPVNSVVSINPLICSPFRGDFDGDCLHGYVPQSINTRVELSELVSLDRQLINGQSGRNLLSLSHDSLTAAYLVKEDGVLLNLFQMQQLEMFCPNHSPLPAIVKAPLLSNPVWTGKQLFSMLFPPEFDYVFAPSGVVIHNGDLICSSEGSSWLRDADGNLFQSLIKHYQGKVLDFLHAAQEVLCEWLSMRGLSVSLLDLYLSPDTDSQKNMMDEIFYGLQEAEQTCNFKQLMVDSCQDFLAGNDEETNRFMVLDVEQMCYEKQRSAALSQASVDSFKQVFRDIQNLLYKYANKDNSLFTMFKAGSKGNLLKLVQHSMCLGLQHSLVPLSFRFPHQLSCAAWNNQKSHILTQKVDDTDESAKKYIPYAVVESSFVKGLNPLECFVHSVTTRDTSFSDNADLPGTLSRRLMFFMRDLCAAYDGTVRNAYGDQVVQFCYNIDKGTFSPTSCPDKLVSQGSSLPDGIGGQPVGSLSACAISEAAYSALDQPISLLESSPLLNLKRVLECGSKRSNADQTMSLFLSGKLVRRRHGQEYGALEVKNHLERLIFKDIVSTVSIIFSPQMSSENRFSPWVCHFHVFKDIVTRRRLTVHSIIDALHMHCTNAKRLWKINLPDMQVTSKACSVIDMENEDDTFCITVTIVECKTSRIELDVIQDMVIPSLLEAVVKGFPEIKKVEILWNEDFRVPNSHKPAPGELYLRVSVSGDFGITKLWSVLMNDCLQLMDIIDWTRSHPDNINQFCLAFGIDAGWRFFLNNMKSAISDTGKSILNEHLHLAANCLSATGEFVGLNSKGLKQQREHAFVSSPFMQACFSNPSACFVKAAKTGVADNLQGTIDLLAWGRVPRIGTGGQFDIVYSMKDEELAEPVDVYKLLGDSINSKKQDIEFEVPKVFNPTSEKHSSPFKGALRDSVFDEWKKIETKTRSLLRGRLTLNDVQRLERNLKNILRKYPIDHRVSEADWDTLMMALYFHPHRVEKIGSGAKEIKVRHHPDHADSRCFFLVRTDETTVDFSYRKCVVGALEIIAPCRAQSYKSKWLQHGSL >KJB25757 pep chromosome:Graimondii2_0_v6:4:53813440:53825312:-1 gene:B456_004G207300 transcript:KJB25757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMESDSSEEQENSTAFLTGIKFHVSNDADNENMSILEITAPSEVSDPRLGFPNFSNHCTTCDARDMKQCEGHFGVIKFPYTILHPFFLSEVVHILNKICPGCKSIRKDLWMKGANSIARLLETKGCKYCVGNSIEWYPPMRFKITSKELFRKSAIMVEVSENSLMKVRKRGRQALPADYWDFIPKDQQQEEGFVKPGRRVLSHAQVRHLLKDVNPEFIKKFISEADSIFLNFFPVTPNSHRVTEIMHTSSNAQRLIFDERTRVYKKLVDFRGLANELSSHVLECLKISKLHVEKPSTEDSALIAALKKNKDSASNMSGLRYMKDVILGKRNDHCFRMVLTGNPNLKLSEIGIPCHVAEKLQIAEQLNRWNEERLKTCCNLRILEKGEIRIRREGKLVRIRHNEKVQVGDTIYRPLNDGDTVLINRPPSIHQHSLIALSVKVLPVNSVVSINPLICSPFRGDFDGDCLHGYVPQSINTRVELSELVSLDRQLINGQSGRNLLSLSHDSLTAAYLVKEDGVLLNLFQMQQLEMFCPNHSPLPAIVKAPLLSNPVWTGKQLFSMLFPPEFDYVFAPSGVVIHNGDLICSSEGSSWLRDADGNLFQSLIKHYQGKVLDFLHAAQEVLCEWLSMRGLSVSLLDLYLSPDTDSQKNMMDEIFYGLQEAEQTCNFKQLMVDSCQDFLAGNDEETNRFMVLDVEQMCYEKQRSAALSQASVDSFKQVFRDIQNLLYKYANKDNSLFTMFKAGSKGNLLKLVQHSMCLGLQHSLVPLSFRFPHQLSCAAWNNQKSHILTQKVDDTDESAKKYIPYAVVESSFVKGLNPLECFVHSVTTRDTSFSDNADLPGTLSRRLMFFMRDLCAAYDGTVRNAYGDQVVQFCYNIDKGTFSPTSCPDKLVSQGSSLPDGIGGQPVGSLSACAISEAAYSALDQPISLLESSPLLNLKRVLECGSKRSNADQTMSLFLSGKLVRRRHGQEYGALEVKNHLERLIFKDIVSTVSIIFSPQMSSENRFSPWVCHFHVFKDIVTRRRLTVHSIIDALHMHCTNAKRLWKINLPDMQVTSKACSVIDMENEDDTFCITVTIVECKTSRIELDVIQDMVIPSLLEAVVKGFPEIKKVEILWNEDFRVPNSHKPAPGELYLRVSVSGDFGITKLWSVLMNDCLQLMDIIDWTRSHPDNINQFCLAFGIDAGWRFFLNNMKSAISDTGKSILNEHLHLAANCLSATGEFVGLNSKGLKQQREHAFVSSPFMQACFSNPSACFVKAAKTGVADNLQGTIDLLAWGRVPRIGTGGQFDIVYSMKDEELAEPVDVYKLLGDSINSKKQDIEFEVPKVFNPTSEKHSSPFKGALRDSVFDEWKKIETKTRSLLRGRLTLNDVQRLERNLKNILRKYPIDHRVSEADWDTLMMALYFHPHRVEKIGSGAKEIKVGHHPDHADSRCFFLVRTDETTVDFSYRKCVVGALEIIAPCRAQSYKSKWLQHGSL >KJB26924 pep chromosome:Graimondii2_0_v6:4:60190033:60193482:1 gene:B456_004G266600 transcript:KJB26924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYKVLGVNRSATKDEIKEAFRKLAVKYHPDKHSHSAKPVRDSATLRFKQVSEAYEVLSDDRKRAHYNLTSSSSSASSSCNYYQRYANASRRSGGGGYGFSSANSYKNNRQNYASNFWHVSLRFFTTRAFLLNLAFAGALYGGIVVIDNSREALWKMHNPGKSFEEAMESIEKAKARRDK >KJB26925 pep chromosome:Graimondii2_0_v6:4:60190045:60193445:1 gene:B456_004G266600 transcript:KJB26925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYKVLGVNRSATKDEIKEAFRKLAVKYHPDKHSHSAKPVRDSATLRFKQVSEAYEVLSDDRKRAHYNLTSSSSSASSSCNYYQRYANASRRSGGGGYGFSSANSYKNNRQNYASNFWHVSLRFFTTRAFLLNLAFAGNHLKKPWSQLRKPKHVEINKKTIAQTLFGLC >KJB27053 pep chromosome:Graimondii2_0_v6:4:60886820:60892254:1 gene:B456_004G274700 transcript:KJB27053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYLASQQLMAQLEPISGKMSMGFGIDESLQQQIPSNMAIDQMGSMPNNRESQLPSISNQQVGYVESQAYTQLPQQYLMSNKPVGEMIPTVLDSMRQHQLPTLNKRKELMEPIAPSSLPKKLSLPNKQVAHMEHRPWLQPLSVPSKRPFQMQSVSSSLGSEPSLASNKRSVPSKVGSSASRNQPAPIRPSSKVQTESFQSVRSKMRESLVGALALVSQLQSENAMVEKNSGKTEVGSHPFDSGSGKSDAVHTLSAEPQGILLPNRHGGTVGNNSEGTQVVQCNELQFQSSNLLPDEDVQFTDNLFARDELLQGNGLSWVLEPQLKVGKDGLKQSLQSPQELAYQIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVVSGKITPHRLCSMSAEELASKELSEWRQAKAEEFAQMVVLPDVEVDIRRLVRKTHKGEFQVEVEQTDSASVEVSAGTSTIRRPKTEAKKTPRTIKTVGKKDESDTGSEKSNLEDPNLTITIPSSKGPDPMQGLMGEDEIKNVDFLPPIVSLDEFMQSLGSEPPFENLPDEAGKVTAISAKDDSEAGSDSKSFGRASQVPEKTMPDKPGTSDASNVKSVSDVKLNDIPAKTETIVSTTTSKGERVWEGMLQLNLSTTTSVICTFKSGEKTSTKEWPSILEIKGRVRLEAFEKFLQELPLSRSRAVMVIHIVCKEGSSESERQSLVETADSYISDGRAGLAEPASGVELYCCPPHAKTLEMLTKVLSKDQIQALNALDNGLIGVVVWRRTQLTSPNSTSHHKHISKKQHFTSQKSNTDIDSNSSSVLPPMSSHGGLPHFEPPPDDEGDDIPPGFGPGTMSRDEDDLPEFNFSSGPNTNPSGPQYPARYQSQASRLHAQTSSRPVDQMRELIQKYGQPTTNTPLRVPLQQWNDNDDDEDDDIPEWQPASQQQPLPPQVNRFQQPMQVPDQQNTAQPWQQQQQGNWWVPPPGSQGEQFGNGSQYYGQNVRTGQPLWRKDVSDNRGF >KJB27054 pep chromosome:Graimondii2_0_v6:4:60886820:60892254:1 gene:B456_004G274700 transcript:KJB27054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYLASQQLMAQLEPISGKMSMGFGIDESLQQQIPSNMAIDQMGSMPNNRESQLPSISNQQVGYVESQAYTQLPQQYLMSNKPVGEMIPTVLDSMRQHQLPTLNKRKELMEPIAPSSLPKKLSLPNKQVAHMEHRPWLQPLSVPSKRPFQMQSVSSSLGSEPSLASNKRSVPSKVGSSASRNQPAPIRPSSKVQTESFQSVRSKMRESLVGALALVSQLQSENAMVEKNSGKTEVGSHPFDSGSGKSDAVHTLSAEPQGILLPNRHGGTVGNNSEGTQVVQCNELQFQSSNLLPDEDVQFTDNLFARDELLQGNGLSWVLEPQLKVGKDGLKQSLQSPQELAYQIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVVSGKITPHRLCSMSAEELASKELSEWRQAKAEEFAQMVVLPDVEVDIRRLVRKTHKGEFQVEVEQTDSASVEVSAGTSTIRRPKTEAKKTPRTIKTVGKKDESDTGSEKSNLEDPNLTITIPSSKGPDPMQGLMGEDEIKNVDFLPPIVSLDEFMQSLGSEPPFENLPDEAGKVTAISAKDDSEAGSDSKSFGRASQVPEKTMPDKPGTSDASNVKSVSDVKLNDIPAKTETIVSTTTSKGERVWEGMLQLNLSTTTSVICTFKSGEKTSTKEWPSILEIKGRVRLEAFEKFLQELPLSRSRAVMVIHIVCKEGSSESERQSLVETADSYISDGRAGLAEPASGVELYCCPPHAKTLEMLTKVLSKDQIQALNALDNGLIGVVVWRRTQLTSPNSTSHHKHISKKQHFTSQKSNTDIDSNSSSVLPPMSSHGGLPHFEPPPDDEGDDIPPGFGPGTMSRDEDDLPEFNFSSGPNTNPSGPQYPARYQSQASRLHAQTSSRPVDQMRELIQKYGQPTTNTPLRVPLQQWNDNDDDEDDDIPEWQPASQQQPLPPQVNRFQQPMQVPDQQNTAQPWQQQQQGNWWVPPPGSQGEQFGNGSQYYGQNVRTGQPLWRKDVSDNRGF >KJB27052 pep chromosome:Graimondii2_0_v6:4:60886820:60892221:1 gene:B456_004G274700 transcript:KJB27052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWWRRTLGRQKWVLTHLIPVLASLMLSTLYLQNLRGYCFPTDMVVLSEIIVKGNGLSWVLEPQLKVGKDGLKQSLQSPQELAYQIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVVSGKITPHRLCSMSAEELASKELSEWRQAKAEEFAQMVVLPDVEVDIRRLVRKTHKGEFQVEVEQTDSASVEVSAGTSTIRRPKTEAKKTPRTIKTVGKKDESDTGSEKSNLEDPNLTITIPSSKGPDPMQGLMGEDEIKNVDFLPPIVSLDEFMQSLGSEPPFENLPDEAGKVTAISAKDDSEAGSDSKSFGRASQVPEKTMPDKPGTSDASNVKSVSDVKLNDIPAKTETIVSTTTSKGERVWEGMLQLNLSTTTSVICTFKSGEKTSTKEWPSILEIKGRVRLEAFEKFLQELPLSRSRAVMVIHIVCKEGSSESERQSLVETADSYISDGRAGLAEPASGVELYCCPPHAKTLEMLTKVLSKDQIQALNALDNGLIGVVVWRRTQLTSPNSTSHHKHISKKQHFTSQKSNTDIDSNSSSVLPPMSSHGGLPHFEPPPDDEGDDIPPGFGPGTMSRDEDDLPEFNFSSGPNTNPSGPQYPARYQSQASRLHAQTSSRPVDQMRELIQKYGQPTTNTPLRVPLQQWNDNDDDEDDDIPEWQPASQQQPLPPQVNRFQQPMQVPDQQNTAQPWQQQQQGNWWVPPPGSQGEQFGNGSQYYGQNVRTGQPLWRKDVSDNRGF >KJB24771 pep chromosome:Graimondii2_0_v6:4:44906816:44912233:-1 gene:B456_004G159400 transcript:KJB24771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGSGDQSIKGIPTHGGRYVQYNVYGNLFEVPSKYVAPLRPIGRGAYGIVCAAVNSETQEEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVVAIKDIIRPPQWENFNDVYLVYELMDTDLHQIIRSNQSLTDDHCRYFLYQILRGLKYVHSANVLHRDLKPSNLFLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMSRQPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQNPRQNFSSRFPNMSPGAIDLLEKMLIFDPHRRITVDGALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEENIKELIYRESVKFNPDPMY >KJB24768 pep chromosome:Graimondii2_0_v6:4:44906816:44911870:-1 gene:B456_004G159400 transcript:KJB24768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHENVVAIKDIIRPPQWENFNDVYLVYELMDTDLHQIIRSNQSLTDDHCRYFLYQILRGLKYVHSANVLHRDLKPSNLFLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMSRQPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQNPRQNFSSRFPNMSPGAIDLLEKMLIFDPHRRITVDGALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEENIKELIYRESVKFNPDPMY >KJB24766 pep chromosome:Graimondii2_0_v6:4:44906816:44912183:-1 gene:B456_004G159400 transcript:KJB24766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGSGDQSIKGIPTHGGRYVQYNVYGNLFEVPSKYVAPLRPIGRGAYGIVCAAVNSETQEEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVVAIKDIIRPPQWENFNDVYLVYELMDTDLHQIIRSNQSLTDDHCRYFLYQILRGLKYVHSANVLHRDLKPSNLFLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMSRQPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQNPRQNFSSRFPNMSPGAIDLLEKMLIFDPHRRITVDGALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEENIKELIYRESVKFNPDPMY >KJB24769 pep chromosome:Graimondii2_0_v6:4:44906698:44911916:-1 gene:B456_004G159400 transcript:KJB24769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGSGDQSIKGIPTHGGRYVQYNVYGNLFEVPSKYVAPLRPIGRGAYGIVCAAVNSETQEEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVVAIKDIIRPPQWENFNDVYLVYELMDTDLHQIIRSNQSLTDDHCRYFLYQILRGLKYVHSANVLHRDLKPSNLFLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMSRQPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQNPRQNFSSRFPNMSPGAIDLLEKMLIFDPHRRITVDGALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEENIKELIYRESVKFNPDPMY >KJB24765 pep chromosome:Graimondii2_0_v6:4:44907857:44910820:-1 gene:B456_004G159400 transcript:KJB24765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVLSSHQVVAIKDIIRPPQWENFNDVYLVYELMDTDLHQIIRSNQSLTDDHCRYFLYQILRGLKYVHSANVLHRDLKPSNLFLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMSRQPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQNPRQNFSSRFPNMSPGAIDLLEKMLIFDPHRRITVDGALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEENIKELIYRESVKFNPDPMY >KJB24770 pep chromosome:Graimondii2_0_v6:4:44906698:44912190:-1 gene:B456_004G159400 transcript:KJB24770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGSGDQSIKGIPTHGGRYVQYNVYGNLFEVPSKYVAPLRPIGRGAYGIVCAAVNSETQEEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVVAIKDIIRPPQWENFNDVYLVYELMDTDLHQIIRSNQSLTDDHCRYFLYQILRGLKYVHSANVLHRDLKPSNLFLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMSRQPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQNPRQNFSSRFPNMSPGAIDLLEKMLIFDPHRRITVDGALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEENIKELIYRESVKFNPDPMY >KJB24767 pep chromosome:Graimondii2_0_v6:4:44906816:44912171:-1 gene:B456_004G159400 transcript:KJB24767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHENVVAIKDIIRPPQWENFNDVYLVYELMDTDLHQIIRSNQSLTDDHCRYFLYQILRGLKYVHSANVLHRDLKPSNLFLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMSRQPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQNPRQNFSSRFPNMSPGAIDLLEKMLIFDPHRRITVDGALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEENIKELIYRESVKFNPDPMY >KJB26910 pep chromosome:Graimondii2_0_v6:4:60112681:60117241:1 gene:B456_004G265500 transcript:KJB26910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNGNGNGRRRRREVEETDKGLIWKLPQLKLKEVGKVGPAFGLGAGCGLGFGIGLVGGAGFGPGIPGLQVGFGFGAGCGVGLGFGYGVGRGIAHDEYRTYSNVKSLFGNQHLPMQDEIGGLIDELVINTKKVAVAASREIEKWRR >KJB26909 pep chromosome:Graimondii2_0_v6:4:60113142:60117275:1 gene:B456_004G265500 transcript:KJB26909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNGNGNGRRRRREVEETDKGLIWKLPQLKLKEVGKVGPAFGLGAGCGLGFGIGLVGGAGFGPGIPGLQVGFGFGAGCGVGLGFGYGVGRGIAHDEYRTYSNVKSLFGNQHLPMQDEIGGLIDELVINTKKVAVAASREIEKWRR >KJB26911 pep chromosome:Graimondii2_0_v6:4:60113115:60117215:1 gene:B456_004G265500 transcript:KJB26911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNGNGNGRRRRREVEETDKGLIWKLPQLKLKEVGKVGPAFGLGAGCGLGFGIGLVGGAGFGPGIPGLQVGFGFGAGCGVGLGFGYGVGRGIAHDEYRTYSNVKSLFGNQHLPMQDEIGGLIDELVINTKKVAVAASREIEKWRR >KJB26091 pep chromosome:Graimondii2_0_v6:4:55945911:55946450:-1 gene:B456_004G224800 transcript:KJB26091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWSSSLLVLLALVVVHASARNVPTTTTTMAADAVPSAASASVGDQKNFLTYGGAGGFAGIGSNGMPFGGGGVLGGVTPFGGVGGLGGGGLGGLGGTGGFGGLGGTGGVGGLGGTGGVGGLGGTGGVDGLGGTGGVGGFGGAGGGVGGGVGGAVGGGVGGGAGAGAGGGGGAGLLPSP >KJB26089 pep chromosome:Graimondii2_0_v6:4:55945629:55946515:-1 gene:B456_004G224800 transcript:KJB26089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWSSSLLVLLALVVVHASARNVPTTTTTMAADAVPSAASASVGDQKNFLTYGGAGGFAGIGSNGMPFGGGGVLGGVTPFGGVGGLGGGGLGGLGGTGGFGGLGGTGGVGGLGGTGGLEVEQEQELVVAVALVYFLPHEISLMHGSFTKNSLLRNRLLCLVMFCFVNVFSKKNKINVCSS >KJB26090 pep chromosome:Graimondii2_0_v6:4:55945644:55946515:-1 gene:B456_004G224800 transcript:KJB26090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWSSSLLVLLALVVVHASARNVPTTTTTMAADAVPSAASASVGDQKNFLTYGGAGGFAGIGSNGMPFGGGGVLGGVTPFGGVGGLGGGGLGGLGGTGGFVGGFGGAGGGVGGGVGGAVGGGVGGGAGAGAGGGGGAGLLPSP >KJB26968 pep chromosome:Graimondii2_0_v6:4:60416776:60425907:-1 gene:B456_004G269300 transcript:KJB26968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAESFVRITGGSAVSQAREEEEEEEEDDEERSRIFSQLKPYCLELLELSQNPKKHASSIPALLHLLRSSPPNSLHPFFDYTLFPLLLLLDAAVDCRSSQNKSESSNYKRVSDKVAEGVVECLEELLKKCHLSSVDQMVVVLKKLTYAALLSPSEASEEFREGVIKCFRALLLNLHHCSNQSCLCKQSLDLPMLLATRDMLMPNGTLEFDLEQGECLLAFLQSEAASAAVGHWLSLLLKAADTEVTRGHRGSANLRIESFLTLRVLVAKVGTADALAFFLPGVVSQFAKVLHISKAMISGAAGSVEAIDQAIRGLAEYLMIVLQDDANLSGLDMYKDDSFGHKSNKYKSTTSFLEELRQLPLKAQSRRMLENVNGESINSVSTKTESGEKSSPNLDKGMGSFHVDRTKEWIEKTSGHVNKLLCATFPYICVYQAKKVRHGLFVAIRGLLLKCNFTLEKSKQMFLVTAARLLDVFALCLSQNSAFTGSLSKLVSTRSSSVGYLPSVDELKGLHIVGDSEVLHSAASSKSSKLTGIHEIGKQHTAEARQANFELPRMPPWFVYVGGQKLYKALAGILRLVGLSLMADYKSEGHLSVITDIPLGYLRKLVLEVRQKEYTKESWQSWYHRTGSGQLLRQASTAVCILNEMIFGISGQAVDAFTRMFQKSKIKGAEFQESDDVSSGGQPHKHKPAVLDESVWKIALQKGSRDHFIDCIGKILHEYLCSEVWELPVDHPSLLMQSGAEVEDITSYFFRDIAMLHQEITLTLFFQVIIDGIGIFALCLGSDFASSGFLHSSLYLLLENLICSNFEVRSSSDAVLHLLSTTSGHSTVGQLVLANADYIVDSVCRQLRHLDLNPHVPNVLASMLSYVGVGHKILPLLEEPMRCVSQELEILGRHKHPDLTIPFLKAVSEIGKASKREAFSLPSQAHCNLMLFKSKVSDSEKEVQAELRQGSSSGFADGIDVTLMESEQWETIVFKLTDSRRYRQTVGSIVGSCLTAATPLLASMSQAACLAALDIIEEFLYTEDTKSRIHQRLCSVF >KJB26969 pep chromosome:Graimondii2_0_v6:4:60415653:60425907:-1 gene:B456_004G269300 transcript:KJB26969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAESFVRITGGSAVSQAREEEEEEEEDDEERSRIFSQLKPYCLELLELSQNPKKHASSIPALLHLLRSSPPNSLHPFFDYTLFPLLLLLDAAVDCRSSQNKSESSNYKRVSDKVAEGVVECLEELLKKCHLSSVDQMVVVLKKLTYAALLSPSEASEEFREGVIKCFRALLLNLHHCSNQSCLCKQSLDLPMLLATRDMLMPNGTLEFDLEQGECLLAFLQSEAASAAVGHWLSLLLKAADTEVTRGHRGSANLRIESFLTLRVLVAKVGTADALAFFLPGVVSQFAKVLHISKAMISGAAGSVEAIDQAIRGLAEYLMIVLQDDANLSGLDMYKDDSFGHKSNKYKSTTSFLEELRQLPLKAQSRRMLENVNGESINSVSTKTESGEKSSPNLDKGMGSFHVDRTKEWIEKTSGHVNKLLCATFPYICVYQAKKVRHGLFVAIRGLLLKCNFTLEKSKQMFLVTAARLLDVFALCLSQNSAFTGSLSKLVSTRSSSVGYLPSVDELKGLHIVGDSEVLHSAASSKSSKLTGIHEIGKQHTAEARQANFELPRMPPWFVYVGGQKLYKALAGILRLVGLSLMADYKSEGHLSVITDIPLGYLRKLVLEVRQKEYTKESWQSWYHRTGSGQLLRQASTAVCILNEMIFGISGQAVDAFTRMFQKSKIKGAEFQESDDVSSGGQPHKHKPAVLDESVWKIALQKGSRDHFIDCIGKILHEYLCSEVWELPVDHPSLLMQSGAEVEDITSYFFRDIAMLHQEITLTLFFQVIIDGIGIFALCLGSDFASSGFLHSSLYLLLENLICSNFEVRSSSDAVLHLLSTTSGHSTVGQLVLANADYIVDSVCRQLRHLDLNPHVPNVLASMLSYVGVGHKILPLLEEPMRCVSQELEILGRHKHPDLTIPFLKAVSEIGKASKREAFSLPSQAHCNLMLFKSKVSDSEKEVQAELRQGSSSGFADGIDVTLMESEQWETIVFKLTDSRRYRQTVGSIVGSCLTAATPLLASMSQAACLAALDIIEDGISTLAKVEEAYKLEKETKEAIEEELQSCSLYQLKDTLTAADDSTVENRLLPAMNKIWPLLVVCVQQKNTVVSIPNHLKLALFKFFCIKLDYDLCSSNRLSGGVLVS >KJB26971 pep chromosome:Graimondii2_0_v6:4:60415653:60425996:-1 gene:B456_004G269300 transcript:KJB26971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAESFVRITGGSAVSQAREEEEEEEEDDEERSRIFSQLKPYCLELLELSQNPKKHASSIPALLHLLRSSPPNSLHPFFDYTLFPLLLLLDAAVDCRSSQNKSESSNYKRVSDKVAEGVVECLEELLKKCHLSSVDQMVVVLKKLTYAALLSPSEASEEFREGVIKCFRALLLNLHHCSNQSCLCKQSLDLPMLLATRDMLMPNGTLEFDLEQGECLLAFLQSEAASAAVGHWLSLLLKAADTEVTRGHRGSANLRIESFLTLRVLVAKVGTADALAFFLPGVVSQFAKVLHISKAMISGAAGSVEAIDQAIRGLAEYLMIVLQDDANLSGLDMYKDDSFGHKSNKYKSTTSFLEELRQLPLKAQSRRMLENVNGESINSVSTKTESGEKSSPNLDKGMGSFHVDRTKEWIEKTSGHVNKLLCATFPYICVYQAKKVRHGLFVAIRGLLLKCNFTLEKSKQMFLVTAARLLDVFALCLSQNSAFTGSLSKLVSTRSSSVGYLPSVDELKGLHIVGDSEVLHSAASSKSSKLTGIHEIGKQHTAEARQANFELPRMPPWFVYVGGQKLYKALAGILRLVGLSLMADYKSEGHLSVITDIPLGYLRKLVLEVRQKEYTKESWQSWYHRTGSGQLLRQASTAVCILNEMIFGISGQAVDAFTRMFQKSKIKGAEFQESDDVSSGGQPHKHKPAVLDESVWKIALQKGSRDHFIDCIGKILHEYLCSEVWELPVDHPSLLMQSGAEVEDITSYFFRDIAMLHQEITLTLFFQVIIDGIGIFALCLGSDFASSGFLHSSLYLLLENLICSNFEVRSSSDAVLHLLSTTSGHSTVGQLVLANADYIVDSVCRQLRHLDLNPHVPNVLASMLSYVGVGHKILPLLEEPMRCVSQELEILGRHKHPDLTIPFLKAVSEIGKASKREAFSLPSQAHCNLMLFKSKVSDSEKEVQAELRQGSSSGFADGIDVTLMESEQWETIVFKLTDSRRYRQTVGSIVGSCLTAATPLLASMSQAACLAALDIIEDGISTLAKVEEAYKLEKETKEAIEEELQSCSLYQLKDTLTAADDSTVENRLLPAMNKIWPLLVVCVQQKNTVVVRRCFSVISSVVQICGGDFFSRRFHTDGPHFWKLLSSSPFQKKPNSKERTPLRLPYRSADVSSEDTIAETSSLKVQVALLKMIANLSQNKRSASALEVVMKKVSGLVVGIACSGVAGLHDASVNALKGLASIDPDLIWLLLADVYYSSKKNDLPSPPTLDFPGISEILPPVTSCKEFLYVKYGGQSYGFDVDFKSVERVFRKLQTFGVI >KJB26970 pep chromosome:Graimondii2_0_v6:4:60417029:60425907:-1 gene:B456_004G269300 transcript:KJB26970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAESFVRITGGSAVSQAREEEEEEEEDDEERSRIFSQLKPYCLELLELSQNPKKHASSIPALLHLLRSSPPNSLHPFFDYTLFPLLLLLDAAVDCRSSQNKSESSNYKRVSDKVAEGVVECLEELLKKCHLSSVDQMVVVLKKLTYAALLSPSEASEEFREGVIKCFRALLLNLHHCSNQSCLCKQSLDLPMLLATRDMLMPNGTLEFDLEQGECLLAFLQSEAASAAVGHWLSLLLKAADTEVTRGHRGSANLRIESFLTLRVLVAKVGTADALAFFLPGVVSQFAKVLHISKAMISGAAGSVEAIDQAIRGLAEYLMIVLQDDANLSGLDMYKDDSFGHKSNKYKSTTSFLEELRQLPLKAQSRRMLENVNGESINSVSTKTESGEKSSPNLDKGMGSFHVDRTKEWIEKTSGHVNKLLCATFPYICVYQAKKVRHGLFVAIRGLLLKCNFTLEKSKQMFLVTAARLLDVFALCLSQNSAFTGSLSKLVSTRSSSVGYLPSVDELKGLHIVGDSEVLHSAASSKSSKLTGIHEIGKQHTAEARQANFELPRMPPWFVYVGGQKLYKALAGILRLVGLSLMADYKSEGHLSVITDIPLGYLRKLVLEVRQKEYTKESWQSWYHRTGSGQLLRQASTAVCILNEMIFGISGQAVDAFTRMFQKSKIKGAEFQESDDVSSGGQPHKHKPAVLDESVWKIALQKGSRDHFIDCIGKILHEYLCSEVWELPVDHPSLLMQSGAEVEDITSYFFRDIAMLHQEITLTLFFQVIIDGIGIFALCLGSDFASSGFLHSSLYLLLENLICSNFEVRSSSDAVLHLLSTTSGHSTVGQLVLANADYIVDSVCRQLRHLDLNPHVPNVLASMLSYVGVGHKILPLLEEPMRCVSQELEILGRHKHPDLTIPFLKAVSEIGKASKREAFSLPSQAHCNLMLFKSKVSDSEKEVQAELRQGSSSGFADGIDVTLMESEQWETIVFKLTDSRRYRQTVGSIVGSCLTAATPLLASMSQAACLAALDIIEVFF >KJB27085 pep chromosome:Graimondii2_0_v6:4:61012714:61013637:1 gene:B456_004G276900 transcript:KJB27085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKLDAHINVHHSVLYDYQSNKKLFYVSILTSPTTGGVTASFGMLGDIIIVKPNAYIAFAGKRVIEQTLNKTIPEGSQAAEYLFHKGLFDQIVPRNPLKGVLSELVQLHGFFPLNQNSIK >KJB22904 pep chromosome:Graimondii2_0_v6:4:7826908:7826940:1 gene:B456_004G0729001 transcript:KJB22904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein P >KJB21450 pep chromosome:Graimondii2_0_v6:4:602566:604379:-1 gene:B456_004G008800 transcript:KJB21450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVPFRPREKLIEYQKYFQGIHKHTYLKGPYDKITSVAIPAALAASSLFLIGRGIYNMSHGIGKKE >KJB21449 pep chromosome:Graimondii2_0_v6:4:602567:604296:-1 gene:B456_004G008800 transcript:KJB21449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVPFRPREKLIEYQKYFQGIHKHTYLKGPYDKITSVAIPAALAASSLFLIVRTRDL >KJB21448 pep chromosome:Graimondii2_0_v6:4:602567:604317:-1 gene:B456_004G008800 transcript:KJB21448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVPFRPREKLIEYQKYFQGIHKHTYLKGPYDKITSVAIPAALAASSLFLIGRGIYNMSHGIGKKE >KJB24179 pep chromosome:Graimondii2_0_v6:4:35685482:35687725:1 gene:B456_004G131600 transcript:KJB24179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHLVNTIRNVVGITGNVIALFLFLSPVPTFIRIWKKGSVEQFSPVPYLATLINCIVWVIYGLPMVHPNSILVITINAAGTAIEVVFLTLFLIFCHDKKKRLKVLLIIMVELIFMAALATLILTVLHTTQRRSIIVGIIAILFNVMMYAAPLSVMKLVITTKSVEYMPFFLSLASFANGVAWTTYAFLPFDPFIAVSYT >KJB24178 pep chromosome:Graimondii2_0_v6:4:35685482:35687069:1 gene:B456_004G131600 transcript:KJB24178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHLVNTIRNVVGITGNVIALFLFLSPVPTFIRIWKKGSVEQFSPVPYLATLINCIVWVIYGLPMVHPNSILVITINAAGTAIEVVFLTLFLIFCHDKKKRLKVLLIIMVELIFMAALATLILTVLHTTQRRSIIVGIIAILFNVMMYAAPLSVMVSIIHAHAFFY >KJB24177 pep chromosome:Graimondii2_0_v6:4:35685162:35687813:1 gene:B456_004G131600 transcript:KJB24177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHLVNTIRNVVGITGNVIALFLFLSPVPTFIRIWKKGSVEQFSPVPYLATLINCIVWVIYGLPMVHPNSILVITINAAGTAIEVVFLTLFLIFCHDKKKRLKVLLIIMVELIFMAALATLILTVLHTTQRRSIIVGIIAILFNVMMYAAPLSVMKLVITTKSVEYMPFFLSLASFANGVAWTTYAFLPFDPFIAVPNGVGTIFSLAQLLLYATYYKSTKRIIAARKEAKMEMHLSLSEVTVANGDVDPKKTAGATP >KJB22253 pep chromosome:Graimondii2_0_v6:4:3071386:3072391:1 gene:B456_004G0372001 transcript:KJB22253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLTNDAKAAKKGGERQFNGVVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRALYFGMYDSLKPVLLTGSMQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >KJB22215 pep chromosome:Graimondii2_0_v6:4:2923606:2926206:1 gene:B456_004G035700 transcript:KJB22215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPVIDLAPYLAIADAGNRGSESTKRASGLTELCHEVSRLLKETGALLVKDPRCTAEDNDRFIDMMEKYFEKPAEFKRLQERPFLHYQVGVTPEGVEVPRSLVDEEMQEKLRAMPKEHQPLTPKGPDPKWRYMWRVGPRPSKTRFQELNSEPVIPEGFPEWKETMDSWGYKMISAIEAVAEMAAIGFGLPKDAFTSLMKQGPHLLAPTGSDLKRYGQEGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGEKIEVKVPIGCLLIQTGKQVRDMSTNFHCLMMMVLLVKWPANQVVCCSW >KJB22214 pep chromosome:Graimondii2_0_v6:4:2923600:2927197:1 gene:B456_004G035700 transcript:KJB22214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPVIDLAPYLAIADAGNRGSESTKRASGLTELCHEVSRLLKETGALLVKDPRCTAEDNDRFIDMMEKYFEKPAEFKRLQERPFLHYQVGVTPEGVEVPRSLVDEEMQEKLRAMPKEHQPLTPKGPDPKWRYMWRVGPRPSKTRFQELNSEPVIPEGFPEWKETMDSWGYKMISAIEAVAEMAAIGFGLPKDAFTSLMKQGPHLLAPTGSDLKRYGQEGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGEKIEVKVPIGCLLIQTGKQIEWLTAGECTAGMHEVVVTKRTINAIKLASEQNRSLWRVSSTLFAHIASDAVLKPLGHFAESPLASKYPSICTGEFVEQELSVINLKGNKGES >KJB22541 pep chromosome:Graimondii2_0_v6:4:4899847:4905227:1 gene:B456_004G053200 transcript:KJB22541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYGRNPTRNGSQSGYQPEWSPAGPETGLEESRWQLSVRGVESYPERLGVTDCVYYMRTGFCGYGNSCRYNHPRNRAAVEAAVRATGEYPERPGEPVCQFYLKTGTCKFGASCKFHHPKDGGGSFSHVSLNMHGYPLRPGEKECSYYLKTGQCKFGITCKFHHPQPSGTSMSASAPQFYQPVQSPSVPVPEQYGGASTNVRVARPPPLPGSYVQGGAYGPVLFSPGVVPIPGWSHYLAPVLIPHCPLLLAFQAVTRRNIHFQRDLVNLNANTI >KJB22540 pep chromosome:Graimondii2_0_v6:4:4899739:4905368:1 gene:B456_004G053200 transcript:KJB22540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYGRNPTRNGSQSGYQPEWSPAGPETGLEESRWQLSVRGVESYPERLGVTDCVYYMRTGFCGYGNSCRYNHPRNRAAVEAAVRATGEYPERPGEPVCQFYLKTGTCKFGASCKFHHPKDGGGSFSHVSLNMHGYPLRPGEKECSYYLKTGQCKFGITCKFHHPQPSGTSMSASAPQFYQPVQSPSVPVPEQYGGASTNVRVARPPPLPGSYVQGGAYGPVLFSPGVVPIPGWSHYLAPVSPVLSPGAQPAVGATSLYGLTHLSSSTPSLAGPYSSLPSSTGLSSSNQKEHTFPERPGEPECQYYLRTGDCKFGSSCRYHHPRDRVVPQTNCVLSPMGLPLRPGVQPCAFYLQNGHCKFGSTCKFDHPVGTMRFSP >KJB23919 pep chromosome:Graimondii2_0_v6:4:30046656:30051405:-1 gene:B456_004G121000 transcript:KJB23919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRRLFGAKKPAQPRPDKDRRRWSFTRSSHPTSSTSHLDALSGPCNGTLDANKRAIAVAAATAAVAEAALAAAHAAAEVVRLTSGGVGSADGSNRRLPQELAAVKIQSAFRGYLARRALRALKALVKLQALVRGHIVRKQTADMLRRMQTLVRLQARARASRSYMTKSFYSTCKISHSRHARCGSNSNLMDTINLEKARMGSNWLDNWMEESLRNNHRDSPLIHRHADDAKSDKILEVDTWKPNLNCPPCNRNFRTSQHGSAFDYNQSYMAYGSPRKQSGKGSNQIPDNFSAEVLPLSSLKHPGRKDKAILRTADIDNSPQVLSASSSRPGSSARRSPFAKSECSWGYLSGFSGHPNYMANTESFRAKYRSQSAPRQRLEFDKYGSNRRTFQGLWDSERDPAQHVDYRYRHCCAVLGL >KJB23917 pep chromosome:Graimondii2_0_v6:4:30047019:30051223:-1 gene:B456_004G121000 transcript:KJB23917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRRLFGAKKPAQPRPDKDRRRWSFTRSSHPTSSTSHLDALSGPCNGTLDANKRAIAVAAATAAVAEAALAAAHAAAEVVRLTSGGVGSADGSNRRLPQELAAVKIQSAFRGYLARRALRALKALVKLQALVRGHIVRKQTADMLRRMQTLVRLQARARASRSYMTKSFYSTCKISHSRHARCGSNSNLMDTINLEKARMGSNWLDNWMEESLRNNHRDSPLIHRHADDAKSDKILEVDTWKPNLNCPPCNRNFRTSQHGSAFDYNQSYMAYGSPRKQSGKGSNQIPDNFSAEVLPLSSLKHPGRKDKAILRTADIDNSPQVLSASSSRPGSSARRSPFAKSECSWGYLSGFSGHPNYMANTESFRAKYRSQSAPRQRLEFDKYGSNRRTFQGLWDSERDPAQHVDYRYRYNLASDCLNKLAGAHQGQ >KJB23918 pep chromosome:Graimondii2_0_v6:4:30047019:30051223:-1 gene:B456_004G121000 transcript:KJB23918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRRLFGAKKPAQPRPDKDRRRWSFTRSSHPTSSTSHLDALSGPCNGTLDANKRAIAVAAATAAVAEAALAAAHAAAEVVRLTSGGVGSADGSNRRLPQELAAVKIQSAFRGYLARRALRALKALVKLQALVRGHIVRKQTADMLRRMQTLVRLQARARASRSYMTKSFYSTCKISHSRHAVHAHSLKDECQLHVCSTKYDGSSILKRCGSNSNLMDTINLEKARMGSNWLDNWMEESLRNNHRDSPLIHRHADDAKSDKILEVDTWKPNLNCPPCNRNFRTSQHGSAFDYNQSYMAYGSPRKQSGKGSNQIPDNFSAEVLPLSSLKHPGRKDKAILRTADIDNSPQVLSASSSRPGSSARRSPFAKSECSWGYLSGFSGHPNYMANTESFRAKYRSQSAPRQRLEFDKYGSNRRTFQGLWDSERDPAQHVDYRYRYNLASDCLNKLAGAHQGQ >KJB23473 pep chromosome:Graimondii2_0_v6:4:17701362:17707548:-1 gene:B456_004G100400 transcript:KJB23473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPAPFVDLGKKAKDLLTKDYNFDQKFTLSMLSTTGMGLTATGLKKDQNFFGDINTVYKSGNTTVDMKVDTYSNVSTKVTVNDVWPCSKAALSFRIPDHKSGKLDVQYLHPHAAIDSSIGLNPTPLLELSATIGSKELALGGEIGFDTASASFTEYTAGINLNKPDFSVALLLTDKGQALKASYIHSVNPFTCVAAEMAHRFSTYENTFTIGSSHAVDPFTVVKTRFSDNGKVAMLCQREWRPKSLVTFSAEYDSKAINASPKMGLALALKP >KJB23472 pep chromosome:Graimondii2_0_v6:4:17701362:17707474:-1 gene:B456_004G100400 transcript:KJB23472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPAPFVDLGKKAKDLLTKDYNFDQKFTLSMLSTTGMGLTATGLKKDQNFFGDINTVYKSGNTTVDMKVDTYSNVSTKVTVNDVWPCSKAALSFRIPDHKSGKLDVQYLHPHAAIDSSIGLNPTPLLELSATIGSKELALGGEIGFDTASASFTEYTAGINLNKPDFSVALLLSGIEGILYPFCQPLHLCCR >KJB23474 pep chromosome:Graimondii2_0_v6:4:17703064:17707474:-1 gene:B456_004G100400 transcript:KJB23474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPAPFVDLGKKAKDLLTKDYNFDQKFTLSMLSTTGMGLTATGLKKDQNFFGDINTVYKSGNTTVDMKVDTYSNVSTKVTVNDVWPCSKAALSFRIPDHKSGKLDVQYLHPHAAIDSSIGLNPTPLLELSATIGSKELALGGEIGFDTASASFTEYTAGINLNKPDFSVALLL >KJB21967 pep chromosome:Graimondii2_0_v6:4:1805611:1809942:-1 gene:B456_004G023500 transcript:KJB21967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKGVKFELVLIVLAMAMGVLVLSPSLAEGAFGIQLNPCTLDQCIAACKKILHEKFLSATCASGPQGKYCICLG >KJB22940 pep chromosome:Graimondii2_0_v6:4:8483309:8484725:1 gene:B456_004G075000 transcript:KJB22940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRVNYENDLNLMATELRLGLPGCGDDEPQRKTNPSIRNNKRTAPEISEVSSSESSSSITMSGSDDQDSPPPAKEHVVGWPPIRSYRKNCLQSSKRNEVEGMGMYVKVSVDGAPYLRKIDLKVYRSYPQLLKALENMFKLTIGAYSKREGYNGSDYAPTYEDKDGDWMLVGDVPWE >KJB22938 pep chromosome:Graimondii2_0_v6:4:8483309:8484725:1 gene:B456_004G075000 transcript:KJB22938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRVNYENDLNLMATELRLGLPGCGDDEPQRKTNPSIRNNKRTAPEISEVSSSESSSSITMSGSDDQDSPPPAKEHVVGWPPIRSYRKNCLQSSKRNEVEGMGMYVKVSVDGAPYLRKIDLKVYRSYPQLLKALENMFKLTIGKISLDKLN >KJB22937 pep chromosome:Graimondii2_0_v6:4:8483267:8484845:1 gene:B456_004G075000 transcript:KJB22937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRVNYENDLNLMATELRLGLPGCGDDEPQRKTNPSIRNNKRTAPEISEVSSSESSSSITMSGSDDQDSPPPAKEHVVGWPPIRSYRKNCLQSSKRNEVEGMGMYVKVSVDGAPYLRKIDLKVYRSYPQLLKALENMFKLTIGAYSKREGYNGSDYAPTYEDKDGDWMLVGDVPWEMFISSCKRLRITKGSEARGLGCV >KJB22941 pep chromosome:Graimondii2_0_v6:4:8483473:8484012:1 gene:B456_004G075000 transcript:KJB22941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRVNYENDLNLMATELRLGLPGCGDDEPQRKTNPSIRNNKRTAPEISEVSSSESSSSITMSGSDDQDSPPPAKEHVVGWPPIRSYRKNCLQSSKRNEVEGMGMYVKVSVDGAPYLRKIDLKVYRSYPQLLKALENMFKLTIGKISLDKLN >KJB22939 pep chromosome:Graimondii2_0_v6:4:8483309:8484725:1 gene:B456_004G075000 transcript:KJB22939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPKEKQIPVSEIIKELHLRFLRYRVLRAVLALQCLGVMIKTVPLLPSKEHVVGWPPIRSYRKNCLQSSKRNEVEGMGMYVKVSVDGAPYLRKIDLKVYRSYPQLLKALENMFKLTIGAYSKREGYNGSDYAPTYEDKDGDWMLVGDVPWEMFISSCKRLRITKGSEARGLGCV >KJB22926 pep chromosome:Graimondii2_0_v6:4:8199473:8200830:-1 gene:B456_004G074200 transcript:KJB22926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEDPAVVADPAPETEEDKTAETKAASKSGRAKKAKEPKTKKAAAPRKPRAAPTHPPYEEMIKDAIVTLKERTGSSQYAIAKFIEEKQKNLPGNFKKLLLVHLKKLVAAGKLVKVKASYKLPSARSSKTATAASAPAKKKPATTKSKSKPASKPKEGKSTKTTPKAKAKTKTTSKAKSKPAATSKAKAAPAKTKAVASVKPKTTAATKSKAAAKPKDKPVKASRTSTRTSPGKRAAAPKPAAKKAPAAKKAPAKSVKPKSVKSPAKKATSRRGKK >KJB22924 pep chromosome:Graimondii2_0_v6:4:8197287:8200886:-1 gene:B456_004G074200 transcript:KJB22924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEDPAVVADPAPETEEDKTAETKAASKSGRAKKAKEPKTKKAAAPRKPRAAPTHPPYEEMIKDAIVTLKERTGSSQYAIAKFIEEKQKNLPGNFKKLLLVHLKKLVAAGKLVKVKASYKLPSARSSKTATAASAPAKKKPATTKSKSKPASKPKEGKSTKTTPKAKAKTKTTPKAKAKTKTTSKAKSKPAATSKAKAAPAKTKAVASVKPKTTAATKSKAAAKPKDKPVKASRTSTRTSPGKRAAAPKPAAKKAPAAKKAPAKSVKPKSVKSPAKKATSRRGKK >KJB22925 pep chromosome:Graimondii2_0_v6:4:8199473:8200463:-1 gene:B456_004G074200 transcript:KJB22925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKDAIVTLKERTGSSQYAIAKFIEEKQKNLPGNFKKLLLVHLKKLVAAGKLVKVKASYKLPSARSSKTATAASAPAKKKPATTKSKSKPASKPKEGKSTKTTPKAKAKTKTTSKAKSKPAATSKAKAAPAKTKAVASVKPKTTAATKSKAAAKPKDKPVKASRTSTRTSPGKRAAAPKPAAKKAPAAKKAPAKSVKPKSVKSPAKKATSRRGKK >KJB25185 pep chromosome:Graimondii2_0_v6:4:49193347:49195418:-1 gene:B456_004G181100 transcript:KJB25185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LWEHWNIVGAFLFSLMLQSFLTLVAPFRRSTRDRGLLVIIWCAYLIADATASFAIGLISNNVSSPCDSSRKEEDDLLAFWAPFLLLHLGCPDPITAFSLEDNELWQRHMLSLILQAVASLYVFFQSLSHNKLELPTFLMFIAGIIKYSERIWALYRASTDSFRKYLLQDKDPGPDYALLMDKFACMRDGHLPTKTITVEQTEKEGKDVKLGKLSDLEVLHYAYHFFETFKGLVVDLVFSRHARKESRDFFKVKEPEDARRVIEIELNFLYGVFYTKMMIMHSSVGYVFRIIACGSILAALALFHFHTNQSKYEPFNVKITYSLLLGALALEFVAFLMLFFSDWTFASPVHPALKPLALIYRFCLALTNSSWYLIPENEIQVLGTPLFRRWSGSVSGHNFVRYCRKSSPTTMLKFTSWWDLIPKVVPGRALIANLVGKLKLILWPLSFVSKLCYIPETIAEKMGIKEFLDEMAYVSHKPLAKVLWDLVFQEIKEKSEEALISQHVAKRISSGRGEWVLMSAEYSHIDWTEFMSHEAELDERIILWHIATDLCYHNDLPSPSASSSPSPPYETTSKLLSDYMLYLLVMRPSIMSTLVGLAKIRFQDTLAEAERLFARYPLGARNSDKEAYRSKSVLFDACRLAKELNKFGNRKWVLISKVWVELLSYAATNADQVHMLSK >KJB22304 pep chromosome:Graimondii2_0_v6:4:3322134:3326686:-1 gene:B456_004G039700 transcript:KJB22304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAESCRNGESAPLVAQNDKGFDGLIPQLFTSLPALNEAVSCLAQTTTYFTGCFSDYAVEPSTRDSGDSVVHAQELETFSSVQTEDTVISDHPFSSESTSTLAESSSLVNATSANHVGIARATAGDPSENTGVIVHSNHNGQNGISMFQGLIERAQRTVRGSADDIGWLKRDPEMPPVEDGTEKFAEILDNIRHGLHKLPNTMVYLLVPGLFSNHGPLYFVSTKTSFSKLGLTCHIAKIHSEASVEKNAKEIKDYIEEIYWGSQKRVLLLGHSKGGVDAAAALSIYWSDLKDKVAGLAIAQSPYGGSPIASDILREGQLGDYVNIRKLMEILICKVIKGDMQALEDLTYKRRKEFLKKHHLPRELPVVSFHTEAGITPAVLATLSHIAHAELPLTAPLSDGQPARLPVVMPLGAVMAACAQLLRVRYSEKSDGVVARCDAEAPGSVVVRPKRKLDHAWMVYSSLNDDPSEADAAQVCEALLTLVVEVGQKKRRELSMKDE >KJB22303 pep chromosome:Graimondii2_0_v6:4:3322109:3326721:-1 gene:B456_004G039700 transcript:KJB22303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAESCRNGESAPLVAQNDKGFDGLIPQLFTSLPALNEAVSCLAQTTTYFTGCFSDYAVEPSTRDSGDSVVHAQELETFSSVQTEDTVISDHPFSSESTSTLAESSSLVNATSANHVGIARATAGDPSENTGVIVHSNHNGQNGISMFQGLIERAQRTVRGSADDIGWLKRDPEMPPVEDGTEKFAEILDNIRHGLHKLPNTMVYLLVPGLFSNHGPLYFVSTKTSFSKLGLTCHIAKIHSEASVEKNAKEIKDYIEEIYWGSQKRVLLLGHSKGGVDAAAALSIYWSDLKDKVAGLAIAQSPYGGSPIASDILREGQLGDYVNIRKLMEILICKVIKGDMQALEDLTYKRRKEFLKKHHLPRELPVVSFHTEAGITPAVLATLSHIAHAELPLTAPLSDGQPARLPVVMPLGAVMAACAQLLRVRYSEKSDGVVARCDAEAPGSVVVRPKRKLDHAWMVYSSLNDDPSEADAAQVCEALLTLVVEVGQKKRRELSMKDE >KJB22305 pep chromosome:Graimondii2_0_v6:4:3322109:3326884:-1 gene:B456_004G039700 transcript:KJB22305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAESCRNGESAPLVAQNDKGFDGLIPQLFTSLPALNEAVSCLAQTTTYFTGCFSDYAVEPSTRDSGDSVVHAQELETFSSVQTEDTVISDHPFSSESTSTLAESSSLVNATSANHVGIARATAGDPSENTGVIVHSNHNGQNGISMFQGLIERAQRTVRGSADDIGWLKRDPEMPPVEDGTEKFAEILDNIRHGLHKLPNTMVYLLVPGLFSNHGPLYFVSTKTSFSKLGLTCHIAKIHSEASVEKNAKEIKDYIEEIYWGSQKRVLLLGHSKGGVDAAAALSIYWSDLKDKVAGLAIAQSPYGGSPIASDILREGQLGDYVNIRKLMEILICKVIKGDMQALEDLTYKRRKEFLKKHHLPRELPVVSFHTEAGITPAVLATLSHIAHAELPLTAPLSDGQPARLPVVMPLGAVMAACAQLLRVRYSEKSDGVVARCDAEAPGSVVVRPKRKLDHAWMVYSSLNDDPSEADAAQVCEALLTLVVEVGQKKRRELSMKDE >KJB24113 pep chromosome:Graimondii2_0_v6:4:34283751:34285826:1 gene:B456_004G128700 transcript:KJB24113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTTSHFVSTSSHFSSHGADTKANLAQVGARNQAMTHNGLRSLNKVDRLQIRTTNAKAVVTKAMKQADHRPLGKIICGIGMNIVFVSAECGPWSKTGGLGDVLGGLPPAMAAKGHRVMTVCPRYDQYKDAWDTSVLVDLKVGDKVVTVRFFHCYKRGVDRVFVDHPMFLEKVWGKTASKIYGPRAGLDYEDNQLRFSLLCQAALEAPRVLNLNSSKNFSGPYGEDVVFIANDWHSALLPCYLKSMYQSRGIYMNAKVVFCIHNIAYQGRFAFADFKRLNLPERFKSSFDFIDGYNKPVKGRKINWMKAGILESHRVLTVSPYYAQELVSGEDKGVELDNIIRKTGITGIVNGMDVQEWNPASDKYISVKYDATTVMKAKPLLKEALQAEVGLPCDGDVPLIGFIGRLEEQKGSDILAEAIPKLVAENCQIVVLVSVKF >KJB24115 pep chromosome:Graimondii2_0_v6:4:34283494:34287287:1 gene:B456_004G128700 transcript:KJB24115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHNGLRSLNKVDRLQIRTTNAKAVVTKAMKQADHRPLGKIICGIGMNIVFVSAECGPWSKTGGLGDVLGGLPPAMAAKGHRVMTVCPRYDQYKDAWDTSVLVDLKVGDKVVTVRFFHCYKRGVDRVFVDHPMFLEKVWGKTASKIYGPRAGLDYEDNQLRFSLLCQAALEAPRVLNLNSSKNFSGPYGEDVVFIANDWHSALLPCYLKSMYQSRGIYMNAKVVFCIHNIAYQGRFAFADFKRLNLPERFKSSFDFIDGYNKPVKGRKINWMKAGILESHRVLTVSPYYAQELVSGEDKGVELDNIIRKTGITGIVNGMDVQEWNPASDKYISVKYDATTVMKAKPLLKEALQAEVGLPCDGDVPLIGFIGRLEEQKGSDILAEAIPKLVAENCQIVVLGTGKKAMEKQIEQLEIQYPDNVRAVAKFNVSLAHMIIAGADYILVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGFTGFQMGAFNVECDEVDPSDVIKVVKTVKRALATYGTQALKEMIQNCMAQDFSWKGPSRLWEKMLLSLGVAGSEPGIEGEEVAPLAKENVATP >KJB24114 pep chromosome:Graimondii2_0_v6:4:34283494:34287180:1 gene:B456_004G128700 transcript:KJB24114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTTSHFVSTSSHFSSHGADTKANLAQVGARNQAMTHNGLRSLNKVDRLQIRTTNAKAVVTKAMKQADHRPLGKIICGIGMNIVFVSAECGPWSKTGGLGDVLGGLPPAMAAKGHRVMTVCPRYDQYKDAWDTSVLVDLKVGDKVVTVRFFHCYKRGVDRVFVDHPMFLEKVWGKTASKIYGPRAGLDYEDNQLRFSLLCQAALEAPRVLNLNSSKNFSGPYGEDVVFIANDWHSALLPCYLKSMYQSRGIYMNAKVVFCIHNIAYQGRFAFADFKRLNLPERFKSSFDFIDGYNKPVKGRKINWMKAGILESHRVLTVSPYYAQELVSGEDKGVELDNIIRKTGITGIVNGMDVQEWNPASDKYISVKYDATTVMKAKPLLKEALQAEVGLPCDGDVPLIGFIGRLEEQKGSDILAEAIPKLVAENCQIVVLGTGKKAMEKQIEQLEIQYPDNVRAVAKFNVSLAHMIIAGADYILVPSRFEPCGLIQLHAMRYGTVNASDHLFSATN >KJB24119 pep chromosome:Graimondii2_0_v6:4:34284505:34286794:1 gene:B456_004G128700 transcript:KJB24119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVNDSRSINFLMVAVLMIQVWGKTASKIYGPRAGLDYEDNQLRFSLLCQAALEAPRVLNLNSSKNFSGPYGEDVVFIANDWHSALLPCYLKSMYQSRGIYMNAKVVFCIHNIAYQGRFAFADFKRLNLPERFKSSFDFIDGYNKPVKGRKINWMKAGILESHRVLTVSPYYAQELVSGEDKGVELDNIIRKTGITGIVNGMDVQEWNPASDKYISVKYDATTVMKAKPLLKEALQAEVGLPCDGDVPLIGFIGRLEEQKGSDILAEAIPKLVAENCQIVVLGTGKKAMEKQIEQLEIQYPDNVRAVAKFNVSLAHMIIAGADYILVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGFTGFQMGAFNVECDEVDPSDVIKVVKTVKRALATYGTQALKEMIQNCMAQDFSWKGPSRLWEKMLLSLGVAGSEPGIEGEEVAPLAKENVATP >KJB24111 pep chromosome:Graimondii2_0_v6:4:34283494:34287180:1 gene:B456_004G128700 transcript:KJB24111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTTSHFVSTSSHFSSHGADTKANLAQVGARNQAMTHNGLRSLNKVDRLQIRTTNAKAVVTKAMKQADHRPLGKIICGIGMNIVFVSAECGPWSKTGGLGDVLGGLPPAMAAKGHRVMTVCPRYDQYKDAWDTSVLVDLKVGDKVVTVRFFHCYKRGVDRVFVDHPMFLEKVWGKTASKIYGPRAGLDYEDNQLRFSLLCQAALEAPRVLNLNSSKNFSGPYGEDVVFIANDWHSALLPCYLKSMYQSRGIYMNAKVVFCIHNIAYQGRFAFADFKRLNLPERFKSSFDFIDGYNKPVKGRKINWMKAGILESHRVLTVSPYYAQELVSGEDKGVELDNIIRKTGITGIVNGMDVQEWNPASDKYISVKYDATTVMKAKPLLKEALQAEVGLPCDGDVPLIGFIGRLEEQKGSDILAEAIPKLVAENCQIVVLGTGKKAMEKQIEQLEIQYPDNVRAVAKFNVSLAHMIIAGADYILVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGFTGFQMGAFNVECDEVDPSDVIKVVKTVKRALATYGTQALKEMIQNCMAQDFSWKGPSRLWEKMLLT >KJB24108 pep chromosome:Graimondii2_0_v6:4:34283494:34287180:1 gene:B456_004G128700 transcript:KJB24108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTTSHFVSTSSHFSSHGADTKANLAQVGARNQAMTHNGLRSLNKVDRLQIRTTNAKAVVTKAMKQADHRPLGKIICGIGMNIVFVSAECGPWSKTGGLGDVLGGLPPAMAAKGHRVMTVCPRYDQYKDAWDTSVLVDLKVGDKVVTVRFFHCYKRGVDRVFVDHPMFLEKVWGKTASKIYGPRAGLDYEDNQLRFSLLCQAALEAPRVLNLNSSKNFSGPYGEDVVFIANDWHSALLPCYLKSMYQSRGIYMNAKVVFCIHNIAYQGRFAFADFKRLNLPERFKSSFDFIDGYNKPVKGRKINWMKAGILESHRVLTVSPYYAQELVSGEDKGVELDNIIRKTGITGIVNGMDVQEWNPASDKYISVKYDATTVMKAKPLLKEALQAEVGLPCDGDVPLIGFIGRLEEQKGSDILAEAIPKLVAENCQIVVLGTGKKAMEKQIEQLEIQYPDNVRAVAKFNVSLAHMIIAGADYILVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGFTGFQMGAFNVECDEVDPSDVIKVVKTVKRALATYGTQALKEMIQNCMAQDFSWKGPSRLWEKMLLSLGVAGSEPGIEGEEVAPLAKENVATP >KJB24110 pep chromosome:Graimondii2_0_v6:4:34284334:34287180:1 gene:B456_004G128700 transcript:KJB24110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKVGDKVVTVRFFHCYKRGVDRVFVDHPMFLEKVWGKTASKIYGPRAGLDYEDNQLRFSLLCQAALEAPRVLNLNSSKNFSGPYGEDVVFIANDWHSALLPCYLKSMYQSRGIYMNAKVVFCIHNIAYQGRFAFADFKRLNLPERFKSSFDFIDGYNKPVKGRKINWMKAGILESHRVLTVSPYYAQELVSGEDKGVELDNIIRKTGITGIVNGMDVQEWNPASDKYISVKYDATTVMKAKPLLKEALQAEVGLPCDGDVPLIGFIGRLEEQKGSDILAEAIPKLVAENCQIVVLGTGKKAMEKQIEQLEIQYPDNVRAVAKFNVSLAHMIIAGADYILVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGFTGFQMGAFNVECDEVDPSDVIKVVKTVKRALATYGTQALKEMIQNCMAQDFSWKGPSRLWEKMLLSLGVAGSEPGIEGEEVAPLAKENVATP >KJB24109 pep chromosome:Graimondii2_0_v6:4:34283494:34287180:1 gene:B456_004G128700 transcript:KJB24109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTTSHFVSTSSHFSSHGADTKANLAQVGARNQAMTHNGLRSLNKVDRLQIRTTNAKAVVTKAMKQADHRPLGKIICGIGMNIVFVSAECGPWSKTGGLGDVLGGLPPAMAAKGHRVMTVCPRYDQYKDAWDTSVLVDLKVGDKVVTVRFFHCYKRGVDRVFVDHPMFLEKVWGKTASKIYGPRAGLDYEDNQLRFSLLCQAALEAPRVLNLNSSKNFSGPYGEDVVFIANDWHSALLPCYLKSMYQSRGIYMNAKVVFCIHNIAYQGRFAFADFKRLNLPERFKSSFDFIDGYNKPVKGRKINWMKAGILESHRVLTVSPYYAQELVSGEDKGVELDNIIRKTGITGIVNGMDVQEWNPASDKYISVKYDATTVMKAKPLLKEALQAEVGLPCDGDVPLIGFIGRLEEQKGSDILAEAIPKLVAENCQIVVLGTGKKAMEKQIEQLEIQYPDNVRAVAKFNVSLAHMIIAGADYILVPSRFEPCGLIQLHAMRYGTVNASDHLFSATN >KJB24117 pep chromosome:Graimondii2_0_v6:4:34283494:34287287:1 gene:B456_004G128700 transcript:KJB24117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTTSHFVSTSSHFSSHGADTKANLAQVGARNQAMTHNGLRSLNKVDRLQIRTTNAKAVVTKAMKQADHRPLGKIICGIGMNIVFVSAECGPWSKTGGLGDVLGGLPPAMAAKGHRVMTVCPRYDQYKDAWDTSVLVDLKVGDKVVTVRFFHCYKRGVDRVFVDHPMFLEKVWGKTASKIYGPRAGLDYEDNQLRFSLLCQAALEAPRVLNLNSSKNFSGPYGEDVVFIANDWHSALLPCYLKSMYQSRGIYMNAKVVFCIHNIAYQGRFAFADFKRLNLPERFKSSFDFIDGYNKPVKGRKINWMKAGILESHRVLTVSPYYAQELVSGEDKGVELDNIIRKTGITGIVNGMDVQEWNPASDKYISVKYDATTGTGKKAMEKQIEQLEIQYPDNVRAVAKFNVSLAHMIIAGADYILVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGFTGFQMGAFNVECDEVDPSDVIKVVKTVKRALATYGTQALKEMIQNCMAQDFSWKGPSRLWEKMLLSLGVAGSEPGIEGEEVAPLAKENVATP >KJB24118 pep chromosome:Graimondii2_0_v6:4:34283343:34287287:1 gene:B456_004G128700 transcript:KJB24118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTTSHFVSTSSHFSSHGADTKANLAQVGARNQAMTHNGLRSLNKVDRLQIRTTNAKAVVTKAMKQADHRPLGKIICGIGMNIVFVSAECGPWSKTGGLGDVLGGLPPAMAAKGHRVMTVCPRYDQYKDAWDTSVLVDLKVGDKVVTVRFFHCYKRGVDRVFVDHPMFLEKVWGKTASKIYGPRAGLDYEDNQLRFSLLCQAALEAPRVLNLNSSKNFSGPYGEDVVFIANDWHSALLPCYLKSMYQSRGIYMNAKVVFCIHNIAYQGRFAFADFKRLNLPERFKSSFDFIDGYNKPVKGRKINWMKAGILESHRVLTVSPYYAQELVSGEDKGVELDNIIRKTGITGIVNGMDVQEWNPASDKYISVKYDATTVMKAKPLLKEALQAEVGLPCDGDVPLIGFIGRLEEQKGSDILAEAIPKLVAENCQIVVLGTGKKAMEKQIEQLEIQYPDNVRAVAKFNVSLAHMIIAGADYILVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGFTGFQMGAFNVECDEVDPSDVIKVVKTVKRALATYGTQALKEMIQNCMAQDFSWKGPSRLWEKMLLSLGVAGSEPGIEGEEVAPLAKENVATP >KJB24116 pep chromosome:Graimondii2_0_v6:4:34283494:34287287:1 gene:B456_004G128700 transcript:KJB24116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTTSHFVSTSSHFSSHGADTKANLAQIRTTNAKAVVTKAMKQADHRPLGKIICGIGMNIVFVSAECGPWSKTGGLGDVLGGLPPAMAAKGHRVMTVCPRYDQYKDAWDTSVLVDLKVGDKVVTVRFFHCYKRGVDRVFVDHPMFLEKVWGKTASKIYGPRAGLDYEDNQLRFSLLCQAALEAPRVLNLNSSKNFSGPYGEDVVFIANDWHSALLPCYLKSMYQSRGIYMNAKVVFCIHNIAYQGRFAFADFKRLNLPERFKSSFDFIDGYNKPVKGRKINWMKAGILESHRVLTVSPYYAQELVSGEDKGVELDNIIRKTGITGIVNGMDVQEWNPASDKYISVKYDATTVMKAKPLLKEALQAEVGLPCDGDVPLIGFIGRLEEQKGSDILAEAIPKLVAENCQIVVLGTGKKAMEKQIEQLEIQYPDNVRAVAKFNVSLAHMIIAGADYILVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGFTGFQMGAFNVECDEVDPSDVIKVVKTVKRALATYGTQALKEMIQNCMAQDFSWKGPSRLWEKMLLSLGVAGSEPGIEGEEVAPLAKENVATP >KJB24112 pep chromosome:Graimondii2_0_v6:4:34283494:34287180:1 gene:B456_004G128700 transcript:KJB24112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHNGLRSLNKVDRLQIRTTNAKAVVTKAMKQADHRPLGKIICGIGMNIVFVSAECGPWSKTGGLGDVLGGLPPAMAAKGHRVMTVCPRYDQYKDAWDTSVLVDLKVGDKVVTVRFFHCYKRGVDRVFVDHPMFLEKVWGKTASKIYGPRAGLDYEDNQLRFSLLCQAALEAPRVLNLNSSKNFSGPYGEDVVFIANDWHSALLPCYLKSMYQSRGIYMNAKVVFCIHNIAYQGRFAFADFKRLNLPERFKSSFDFIDGYNKPVKGRKINWMKAGILESHRVLTVSPYYAQELVSGEDKGVELDNIIRKTGITGIVNGMDVQEWNPASDKYISVKYDATTVMKAKPLLKEALQAEVGLPCDGDVPLIGFIGRLEEQKGSDILAEAIPKLVAENCQIVVLGTGKKAMEKQIEQLEIQYPDNVRAVAKFNVSLAHMIIAGADYILVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGFTGFQMGAFNVECDEVDPSDVIKVVKTVKRALATYGTQALKEMIQNCMAQDFSWKGPSRLWEKMLLSLGVAGSEPGIEGEEVAPLAKENVATP >KJB24246 pep chromosome:Graimondii2_0_v6:4:37248217:37254945:1 gene:B456_004G135200 transcript:KJB24246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKRSESAVSTIVNLAEEAKIASEGVKAPSHALLSICKSLVAGGVAGGVSRSAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGFRGMFKGNGTNCARIIPNSAVKFFSYEEASKGILYLYRQQSGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEKSPHQYRGIFHALSTVLREEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLIKRKPLGLVEDSELGVTTRLACGAAAGTIGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGKNKAPIEYNGMVDTFRKTVRYEGFGALYKGLVPNSVKVVPSIAIAFVTYELVKDVLGVELRISD >KJB24249 pep chromosome:Graimondii2_0_v6:4:37248508:37251276:1 gene:B456_004G135200 transcript:KJB24249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKRSESAVSTIVNLAEEAKIASEGVKAPSHALLSICKSLVAGGVAGGVSRSAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGFRGMFKGNGTNCARIIPNSAVKFFSYEEASKGILYLYRQQSGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEKSPHQYRGIFHALSTVLREEGPRALYKGWLPSVIGVVSKTLMALKPAFFTVYCTSGICIQIDVNIG >KJB24247 pep chromosome:Graimondii2_0_v6:4:37248217:37252311:1 gene:B456_004G135200 transcript:KJB24247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKRSESAVSTIVNLAEEAKIASEGVKAPSHALLSICKSLVAGGVAGGVSRSAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGFRGMFKGNGTNCARIIPNSAVKFFSYEEASKGILYLYRQQSGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEKSPHQYRGIFHALSTVLREEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLIKRKPLGLVEDSELGVTTRLACGAAAGTIGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGKNKAPIEYNGMVDTFRKTVRYEGFGALYKGLVPNSVKVVPSIAIAFVTYELVKDVLGVELRISD >KJB24253 pep chromosome:Graimondii2_0_v6:4:37248217:37252265:1 gene:B456_004G135200 transcript:KJB24253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKGNGTNCARIIPNSAVKFFSYEEASKGILYLYRQQSGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEKSPHQYRGIFHALSTVLREEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLIKRKPLGLVEDSELGVTTRLACGAAAGTIGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGKNKAPIEYNGMVDTFRKTVRYEGFGALYKGLVPNSVKVVPSIAIAFVTYELVKDVLGVELRISD >KJB24248 pep chromosome:Graimondii2_0_v6:4:37248217:37252269:1 gene:B456_004G135200 transcript:KJB24248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKRSESAVSTIVNLAEEAKIASEGVKAPSHALLSICKSLVAGGVAGGVSRSAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGFRGMFKGNGTNCARIIPNSAVKFFSYEEASKGILYLYRQQSGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEKSPHQYRGIFHALSTVLREEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLIKRKPLGLVEDSELGVTTRLACGAAAGTIGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGKNKAPIEYNGMVDTFRKTVRYEGFGALYKGLVPNSVKVSLTS >KJB24252 pep chromosome:Graimondii2_0_v6:4:37248209:37252432:1 gene:B456_004G135200 transcript:KJB24252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKRSESAVSTIVNLAEEAKIASEGVKAPSHALLSICKSLVAGGVAGGVSRSAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGFRGMFKGNGTNCARIIPNSAVKFFSYEEASKGILYLYRQQSGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEKSPHQYRGIFHALSTVLREEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLIKRKPLGLVEDSELGVTTRLACGAAAGTIGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGKNKAPIEYNGMVDTFRKTVRYEGFGALYKGLVPNSVKVVPSIAIAFVTYELVKDVLGVELRISD >KJB24251 pep chromosome:Graimondii2_0_v6:4:37248217:37254000:1 gene:B456_004G135200 transcript:KJB24251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKRSESAVSTIVNLAEEAKIASEGVKAPSHALLSICKSLVAGGVAGGVSRSAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGFRGMFKGNGTNCARIIPNSAVKFFSYEEASKGILYLYRQQSGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEKSPHQYRGIFHALSTVLREEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLIKRKPLGLVEDSELGVTTRLACGAAAGTIGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGKNKAPIEYNGMVDTFRKTVRYEGFGALYKGLVPNSVKMVDSMHLM >KJB24250 pep chromosome:Graimondii2_0_v6:4:37248217:37252311:1 gene:B456_004G135200 transcript:KJB24250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKRSESAVSTIVNLAEEAKIASEGVKAPSHALLSICKSLVAGGVAGGVSRSAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGFRGMFKGNGTNCARIIPNSAVKFFSYEEASKGILYLYRQQSGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEKSPHQYRGIFHALSTVLREEGPRALYKGWLPSVIGVVSKTLMALKPAFFTVYCTSGICIQIDVNIG >KJB24619 pep chromosome:Graimondii2_0_v6:4:43824725:43828564:-1 gene:B456_004G153900 transcript:KJB24619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPVSGYMDSNPHLRNQAPYPPHYFPGFEAVPPHFKADPSKSPLMYESWPCSGNCSRYPPVPYYGCCNHGNFPGYYSFRPSFPHFAPSPPFHHYPNYPAFPEPYPLCYSPPHYLNQQPRYEYDKDPRTNYHCCGCPNHLHNQKNDTSLKTEEQENDAKKKEGDSEAPIRPSSFPYPIMWFPPEYMKSKEHGKHNDRMEVSDSDKVPCARPSKSLKSTEQEPRVRNDWFPLDMNGWKSLMQGEGEKQSRNQHNQDNMTQFPVPIFWLPNSDRKQEDENRDKLRMITASDNLKQAPVKVEFIPGESSVNDVILDKPESDKEISQNKNAAETRGKTTSQKCVPIEVKEGKFEGTEKKGKDVKDVRVKRAEDTTKNELGTVAKRKSPSPSKTSKFSPVCLRVDPLPKKKNGNGSSRSPSPRKGQPEDTLIKASAAPGRKEDSAVNTQNTSGSLDSVELVEKKIKEIPVIAERPEENKENKARENISTNQAQVLGDSQEVSEQRTVEKTKEDNHENKTEEETKTSFEEVMGAEKEADSVEVARDQCKTEVGRMSDDEAAKLIQSAFRGFEVRKWEPLKKLKQIADVREQVNEVRNHIQSLESSTDHNKDDKLRLLAGEKIMTLLLRLDSIQGLHSSVRDLRKSLVKELVTLQEKLDSLTRRWTEEKAEDLGTTESADCPNGQVSEDISMEKESENASAALEESTENANDITALDQQCITHMVDSKDGEITELPFVEQGIDGKTENNSMEASHRTSRIEDGGQSTNLGHVIHLSSIPEHKFNADDVMEVNDLTKEKKPGVVEVNDQIIVDINSEDDKLRSLPKPDQVDAVGELEKEIGNNNGEKESDLPINTSSPDEAENLQCTQKDQEINLLEVLPVGVIDEELAISKIDEHLLEAEPNNGVEDEREIDLFKELPAGVIDEHLLEAEPEIDLFKELPAGVIDEHLLEAEPNNGVEDEKEIDSFKELPAGVIDEHLLEAKSNNGVQDEKEVEISQEEVDDDDNKCTMFFKPEEIHSTIGEENNEDLQRHEDDSGVIPVDHMASSESEAGSEATQEKLVLFEEMKAAEQPPMLLGNQM >KJB24618 pep chromosome:Graimondii2_0_v6:4:43824673:43829362:-1 gene:B456_004G153900 transcript:KJB24618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPVSGYMDSNPHLRNQAPYPPHYFPGFEAVPPHFKADPSKSPLMYESWPCSGNCSRYPPVPYYGCCNHGNFPGYYSFRPSFPHFAPSPPFHHYPNYPAFPEPYPLCYSPPHYLNQQPRYEYDKDPRTNYHCCGCPNHLHNQKNDTSLKTEEQENDAKKKEGDSEAPIRPSSFPYPIMWFPPEYMKSKEHGKHNDRMEVSDSDKVPCARPSKSLKSTEQEPRVRNDWFPLDMNGWKSLMQGEGEKQSRNQHNQDNMTQFPVPIFWLPNSDRKQEDENRDKLRMITASDNLKQAPVKVEFIPGESSVNDVILDKPESDKEISQNKNAAETRGKTTSQKCVPIEVKEGKFEGTEKKGKDVKDVRVKRAEDTTKNELGTVAKRKSPSPSKTSKFSPVCLRVDPLPKKKNGNGSSRSPSPRKGQPEDTLIKASAAPGRKEDSAVNTQNTSGSLDSVELVEKKIKEIPVIAERPEENKENKARENISTNQAQVLGDSQEVSEQRTVEKTKEDNHENKTEEETKTSFEEVMGAEKEADSVEVARDQCKTEVGRMSDDEAAKLIQSAFRGFEVRKWEPLKKLKQIADVREQVNEVRNHIQSLESSTDHNKDDKLRLLAGEKIMTLLLRLDSIQGLHSSVRDLRKSLVKELVTLQEKLDSLTRRWTEEKAEDLGTTESADCPNGQVSEDISMEKESENASAALEESTENANDITALDQQCITHMVDSKDGEITELPFVEQGIDGKTENNSMEASHRTSRIEDGGQSTNLGHVIHLSSIPEHKFNADDVMEVNDLTKEKKPGVVEVNDQIIVDINSEDDKLRSLPKPDQVDAVGELEKEIGNNNGEKESDLPINTSSPDEAENLQCTQKDQEINLLEVLPVGVIDEELAISKIDEHLLEAEPNNGVEDEREIDLFKELPAGVIDEHLLEAEPEIDLFKELPAGVIDEHLLEAEPNNGVEDEKEIDSFKELPAGVIDEHLLEAKSNNGVQDEKEVEISQEEVDDDDNKCTMFFKPEEIHSTIGEENNEDLQRHEDDSGVIPVDHMASSESEAGSEATQEKLVLFEEMKAAEQPVGSEEKEEAKLEKEMNILLQADAPWKPNVAKIGEDNKVVEENKKLNEMMEKLMEAGKDQLTFISNLTERVKELEEKLGKTKMSSKAGYRKVRYAPSYYKHGKIKRKANEVAM >KJB27103 pep chromosome:Graimondii2_0_v6:4:61077062:61080606:1 gene:B456_004G278000 transcript:KJB27103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRRERSLSFDGYQRSPFPYSSSCSHRFSPKLSSEIKENLKEWEEARCPVCMEHPHNAILLICSSHEKGCRPYMCDTSYRHSNCFDQFRKSFMDASATTPPDPQLEEAQLVTRTVSPTAAATLELTITDLPEQRTEEGPSTPSIVSLENPVLSKLVCPLCRGQIKDWVVVERARQVMNAKPRSCSSETCNFAGAYKDLRKHARLEHPAVRPSEADPERQRNWRRLERQRDLGDLLSTLQSSFGEERADDYNSLSIDDGSLLTVFFLIRAFGPGSSWSGTSRTRAQSSIRRRSTRHWGESYDGETGSIGDEDNDESSSDGGSFSWRRRRVRRRTTPDNQQ >KJB26396 pep chromosome:Graimondii2_0_v6:4:57793043:57795309:-1 gene:B456_004G239800 transcript:KJB26396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEGKPETKQESKSAEPKQESNSKDVKEIQEPALKYKAWVLKVSIHCEGCKRKVEKILRKIDGVYEVDADLKQQKVTVKANLHVNVDTLIKKIVKKGRHAELWPEKSEKKGKSKNKDKQGGQPNSEEGNHGDDKDKEAAKTEDGASKSGENGGDGCNGGQAPEPEKKGGGGESEGNAATGGGGGGGGSKKNKKKGQKGNATVNFDEGEQHHHHHPTDVGPPPTGSHFTGYGPYGPIPITTPMPSPANHSPPRHHHMDEYPTYYHAPPPVYVTSYNTAYPGSCHSESYYTSPRPYSYMYMHPGYMPEHPSFDTYSSYSSQPSDSFEVFSDENPNACSVM >KJB26397 pep chromosome:Graimondii2_0_v6:4:57793544:57794996:-1 gene:B456_004G239800 transcript:KJB26397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEGKPETKQESKSAEPKQESNSKDVKEIQEPALKYKAWVLKVSIHCEGCKRKVEKILRKIDDHSANQNLGTCVYEVDADLKQQKVTVKANLHVNVDTLIKKIVKKGRHAELWPEKSEKKGKSKNKDKQGGQPNSEEGNHGDDKDKEAAKTEDGASKSGENGGDGCNGGQAPEPEKKGGGGESEGNAATGGGGGGGGSKKNKKKGQKGNATVNFDEGEQHHHHHPTDVGPPPTGSHFTGYGPYGPIPITTPMPSPANHSPPRHHHMDEYPTYYHAPPPVYVTSYNTAYPGSCHSESYYTSPRPYSYMYMHPGYMPEHPSFDTYSSYSSQPSDSFEVFSDENPNACSVM >KJB26131 pep chromosome:Graimondii2_0_v6:4:57078655:57079823:-1 gene:B456_004G233700 transcript:KJB26131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRPWGNKKMPLRIHDTSSPFSCSSFKDIQHLCTQDSSASSKRNANVFYRVRIANSLLRSWGPARTGKITDSVSDPSISIPGAEKRVVVYFTSLQVVRSTFEDCKTVRSILHGFRVSIDERDLSMDSRFLNELRGILGQSNLTLPRVFIGGRYMGGAEEIKQLHEIGELKKIVERLPAAEPGTCVVCGGYRFLLCNECNGSRKLYTQKSGFKTCTACNENGLIRCPSCSCNFFFFCC >KJB26247 pep chromosome:Graimondii2_0_v6:4:56971631:56972181:1 gene:B456_004G232900 transcript:KJB26247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSYGTLVRPIRLTVKLKPSGGASHQESSGSKISERGIPGFVGHFTGPSSCSGSASGSSVSTFFTCSSLSASSACGNMISPASETHH >KJB27050 pep chromosome:Graimondii2_0_v6:4:61753694:61754607:-1 gene:B456_004G287600 transcript:KJB27050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMNVLMLVFVSVFALMASAMAAEAPAPSPTSGTGSITPSFVSVFAAAVALLFGSTLVL >KJB27051 pep chromosome:Graimondii2_0_v6:4:61754341:61754526:-1 gene:B456_004G287600 transcript:KJB27051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMNVLMLVFVSVFALMASAMAAEAPAPSPTSGTGSITPSFVSVFAAAVALLFGSTLVL >KJB27049 pep chromosome:Graimondii2_0_v6:4:61753670:61754681:-1 gene:B456_004G287600 transcript:KJB27049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMNVLMLVFVSVFALMASAMAAEAPAPSPTSGTGSITPSFVSVFAAAVALLFGSTLVL >KJB22175 pep chromosome:Graimondii2_0_v6:4:2700305:2704468:-1 gene:B456_004G033300 transcript:KJB22175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM50 [Source:Projected from Arabidopsis thaliana (AT1G55900) UniProtKB/Swiss-Prot;Acc:Q8VYE2] MSSIVLPSRISSHKCSSKIINRRVFSSGVDTSSSIPSKETVIASQSILSDQSPVPHPPPAPETFPPASGGKLWSFLKYGLVGAVTGTVGYAGYLSYKCSYEEVDQKAKALRAAASYAPSEDASAIDKYRGLLYSAAMTVPAKALESYLDLRRLVEENVLEFTEPTSDKLLPDLHPQEQHVFTLVLDLNETLLYTDWKRERGWRTFKRPGVDAFLEHLAKFYEIVVYSDQMNMYVDPVCERLDPNHCIRYRLSRGDTKYQNGKHYRDLSKLNRNPAKILYVSAHAFESSLQPENCVPIKPFKLEGDDTALLDLIPFLECKRSAQ >KJB22174 pep chromosome:Graimondii2_0_v6:4:2700265:2704633:-1 gene:B456_004G033300 transcript:KJB22174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM50 [Source:Projected from Arabidopsis thaliana (AT1G55900) UniProtKB/Swiss-Prot;Acc:Q8VYE2] MSSIVLPSRISSHKCSSKIINRRVFSSGVDTSSSIPSKETVIASQSILSDQSPVPHPPPAPETFPPASGGKLWSFLKYGLVGAVTGTVGYAGYLSYKCSYEEVDQKAKALRAAASYAPSEDASAIDKYRGLLYSAAMTVPAKALESYLDLRRLVEENVLEFTEPTSDKLLPDLHPQEQHVFTLVLDLNETLLYTDWKRERGWRTFKRPGVDAFLEHLAKFYEIVVYSDQMNMYVDPVCERLDPNHCIRYRLSRGDTKYQNGKHYRDLSKLNRNPAKILYVSAHAFESSLQPENCVPIKPFKLEGDDTALLDLIPFLEYVARNSPADIRQVLQSYERKDLAKEFLERSKEYQRRKQEQRQQQGRFWWR >KJB22639 pep chromosome:Graimondii2_0_v6:4:5832517:5836131:-1 gene:B456_004G060300 transcript:KJB22639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22632 pep chromosome:Graimondii2_0_v6:4:5832233:5836237:-1 gene:B456_004G060300 transcript:KJB22632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGQKCGRGPRELSGLVDLVSRYNLLVHHDFFCKKHLPMSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22630 pep chromosome:Graimondii2_0_v6:4:5832224:5836237:-1 gene:B456_004G060300 transcript:KJB22630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGQKCGRGPRELSGLVDLVSRYNLLVHHDFFCKKHLPMSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22636 pep chromosome:Graimondii2_0_v6:4:5833221:5834610:-1 gene:B456_004G060300 transcript:KJB22636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGQKCGRGPRELSGLVDLVSRYNLLVHHDFFCKKHLPMSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22637 pep chromosome:Graimondii2_0_v6:4:5832517:5836099:-1 gene:B456_004G060300 transcript:KJB22637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGQKCGRGPRELSGLVDLVSRYNLLVHHDFFCKKHLPMSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22629 pep chromosome:Graimondii2_0_v6:4:5833221:5834632:-1 gene:B456_004G060300 transcript:KJB22629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHESLTHGFGRPEMWKSLGPRELSGLVDLVSRYNLLVHHDFFCKKHLPMSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22631 pep chromosome:Graimondii2_0_v6:4:5833221:5834632:-1 gene:B456_004G060300 transcript:KJB22631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHESLTHGFGRPEMWKSLGPRELSGLVDLVSRYNLLVHHDFFCKKHLPMSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22628 pep chromosome:Graimondii2_0_v6:4:5832233:5836237:-1 gene:B456_004G060300 transcript:KJB22628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGQKCGRGPRELSGLVDLVSRYNLLVHHDFFCKKHLPMSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22633 pep chromosome:Graimondii2_0_v6:4:5833221:5834610:-1 gene:B456_004G060300 transcript:KJB22633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGQKCGRGPRELSGLVDLVSRYNLLVHHDFFCKKHLPMSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22641 pep chromosome:Graimondii2_0_v6:4:5832461:5836131:-1 gene:B456_004G060300 transcript:KJB22641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGQKCGRGPRELSGLVDLVSRYNLLVHHDFFCKKHLPMSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22627 pep chromosome:Graimondii2_0_v6:4:5832162:5836237:-1 gene:B456_004G060300 transcript:KJB22627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22640 pep chromosome:Graimondii2_0_v6:4:5833221:5834632:-1 gene:B456_004G060300 transcript:KJB22640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHESLTHGFGRPEMWKSLGPRELSGLVDLVSRYNLLVHHDFFCKKHLPMSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22625 pep chromosome:Graimondii2_0_v6:4:5832224:5836237:-1 gene:B456_004G060300 transcript:KJB22625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGQKCGRGPRELSGLVDLVSRYNLLVHHDFFCKKHLPMSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22635 pep chromosome:Graimondii2_0_v6:4:5832517:5835238:-1 gene:B456_004G060300 transcript:KJB22635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGQKCGRGPRELSGLVDLVSRYNLLVHHDFFCKKHLPMSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22626 pep chromosome:Graimondii2_0_v6:4:5833221:5834610:-1 gene:B456_004G060300 transcript:KJB22626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGQKCGRGPRELSGLVDLVSRYNLLVHHDFFCKKHLPMSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22638 pep chromosome:Graimondii2_0_v6:4:5832517:5836131:-1 gene:B456_004G060300 transcript:KJB22638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22634 pep chromosome:Graimondii2_0_v6:4:5833221:5834632:-1 gene:B456_004G060300 transcript:KJB22634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHESLTHGFGRPEMWKSLGPRELSGLVDLVSRYNLLVHHDFFCKKHLPMSILDTHYLHTVVGGTEIRKGEGMLLGQLIQNTSYNRETNVRLQPFDLDILTDAFDLRETTPVELPEIEKGVPTIFGKSKVEAKDKEKEKKHKKRKDRDKDKNKENKKLKHRHKDKDKETKKDRSRHHDSLKKAP >KJB22237 pep chromosome:Graimondii2_0_v6:4:2998129:2998910:-1 gene:B456_004G036600 transcript:KJB22237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSDEKVKATWDKRLTEIFCDICIKEILNGNRPGTHFTRGGWLKIMTNFEKETGKGFSQRRLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQVVPEAKNFRTSGIDPEFERKLDKMFMGIVATGDKVWAPSSGTLPTDFFEDVNNEIPEENMRNDVYILNDVHILNDVQIDGNSQKRKKTLRCQVHILKLKERNPQSKLEGLQDCPVK >KJB22238 pep chromosome:Graimondii2_0_v6:4:2998129:2998939:-1 gene:B456_004G036600 transcript:KJB22238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSDEKVKATWDKRLTEIFCDICIKEILNGNRPGTHFTRGGWLKIMTNFEKETGKGFSQRRLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQVVPEAKNFRTSGIDPEFERKLDKMFMGIVATGDKVWAPSSGTLPTDFFEDVNNEIPEENMRNDVYILNDVHILNDVQIDGNSQKRKKTLRCQVHILKLKERNPQSKLEGLQDCPVK >KJB22236 pep chromosome:Graimondii2_0_v6:4:2997815:3001008:-1 gene:B456_004G036600 transcript:KJB22236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFEKETGKGFSQRRLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQVVPEAKNFRTSGIDPEFERKLDKMFMGIVATGDKVWAPSSGTLPTDFFEDVNNEIPEENMRNDVYILNDVHILNDVQIDGNSQKRKKTLRCQVHILKLKERNPQSKLEGLQDCPVK >KJB26735 pep chromosome:Graimondii2_0_v6:4:59324643:59328278:-1 gene:B456_004G256900 transcript:KJB26735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKWKQEFLYNFKSITYFLGINDTSLMVNPRIQHRPTICYRPIKPSDLETLERIHSDVFPIRYDSSRVDQTLVYILTLGVVDAYRNLGIATALIREVIKYASSIPVCRAVYLHVISYNNPAIHLYTKMSFNCIRRLHGFYLINGQHYDSYLFVYYVNGGRSPCSPLELVTVIVRCMKTGLKSMAAKLMMAKVKWPKGNETRGPVLTPNKRMTHIECSGLEYV >KJB26738 pep chromosome:Graimondii2_0_v6:4:59326084:59328278:-1 gene:B456_004G256900 transcript:KJB26738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKWKQEFLYNFKSITYFLGINDTSLMVNPRIQHRPTICYRPIKPSDLETLERIHSDVFPIRYESEFFQSVVNGCDVVSWAAVDRSRPDGQSDELIGFVTARIILAKDSEIADLLRYDSSRVDQTLVYILTLGVVDAYRNLGIATALIREVIKYASSIPVCRAVYLHVISYNNPAIHLYTKMSFNCIRRLHGFYLINGQHYDSYLFVYYVNGGRSPCSPL >KJB26734 pep chromosome:Graimondii2_0_v6:4:59324643:59326711:-1 gene:B456_004G256900 transcript:KJB26734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIADLLRYDSSRVDQTLVYILTLGVVDAYRNLGIATALIREVIKYASSIPVCRAVYLHVISYNNPAIHLYTKMSFNCIRRLHGFYLINGQHYDSYLFVYYVNGGRSPCSPLELVTVIVRCMKTGLKSMAAKLMMAKVKWPKGNETRGPVLTPNKRMTHIECSGLEYV >KJB26736 pep chromosome:Graimondii2_0_v6:4:59324643:59328278:-1 gene:B456_004G256900 transcript:KJB26736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKWKQEFLYNFKSITYFLGINDTSLMVNPRIQHRPTICYRPIKPSDLETLERIHSDVFPIRYESEFFQSVVNGCDVVSWAAVDRSRPDGQSDELIGFVTARIILAKDSEIADLLRYDSSRVDQTLVYILTLGVVDAYRNLGIATALIREVIKYASSIPVCRAVYLHVISYNNPAIHLYTKMSFNCIRRLHGFYLINESWSQLLFVA >KJB26733 pep chromosome:Graimondii2_0_v6:4:59324575:59328351:-1 gene:B456_004G256900 transcript:KJB26733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKWKQEFLYNFKSITYFLGINDTSLMVNPRIQHRPTICYRPIKPSDLETLERIHSDVFPIRYESEFFQSVVNGCDVVSWAAVDRSRPDGQSDELIGFVTARIILAKDSEIADLLRYDSSRVDQTLVYILTLGVVDAYRNLGIATALIREVIKYASSIPVCRAVYLHVISYNNPAIHLYTKMSFNCIRRLHGFYLINGQHYDSYLFVYYVNGGRSPCSPLELVTVIVRCMKTGLKSMAAKLMMAKVKWPKGNETRGPVLTPNKRMTHIECSGLEYV >KJB26737 pep chromosome:Graimondii2_0_v6:4:59324643:59328278:-1 gene:B456_004G256900 transcript:KJB26737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSFSKVSVDQTLVYILTLGVVDAYRNLGIATALIREVIKYASSIPVCRAVYLHVISYNNPAIHLYTKMSFNCIRRLHGFYLINGQHYDSYLFVYYVNGGRSPCSPLELVTVIVRCMKTGLKSMAAKLMMAKVKWPKGNETRGPVLTPNKRMTHIECSGLEYV >KJB21288 pep chromosome:Graimondii2_0_v6:4:38945661:38946072:-1 gene:B456_004G1390001 transcript:KJB21288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGSYGGGQSSLGYLFGDDEQQSAPTVAPPIQPPYGIDVTAEMPPAPNKSSSKNQKEKNFSNTNNYHRAQGQNSGNFITDRPSTKVKSVPGGDSSLGYLFGEK >KJB21293 pep chromosome:Graimondii2_0_v6:4:38945436:38946258:-1 gene:B456_004G1390001 transcript:KJB21293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGSYGGGQSSLGYLFGDDEQQSAPTVAPPIQPPYGIDVTAEMPPAPNKSSSKNQKEKNFSNTNNYHRAQGQNSGNFITDRPSTKVKSVPGGDSSLGYLFGENVELAVLRLLLY >KJB21289 pep chromosome:Graimondii2_0_v6:4:38945734:38946072:-1 gene:B456_004G1390001 transcript:KJB21289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGSYGGGQSSLGYLFGDDEQQSAPTVAPPIQPPYGIDVTAEMPPAPNKSSSKNQKEKNFSNTNNYHRAQGQNSGNFITVS >KJB21291 pep chromosome:Graimondii2_0_v6:4:38945283:38946258:-1 gene:B456_004G1390001 transcript:KJB21291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGSYGGGQSSLGYLFGDDEQQSAPTVAPPIQPPYGIDVTAEMPPAPNKSSSKNQKEKNFSNTNNYHRAQGQNSGNFITDRPSTKVKSVPGGDSSLGYLFGENVELAVLRLLLY >KJB21292 pep chromosome:Graimondii2_0_v6:4:38945168:38946258:-1 gene:B456_004G1390001 transcript:KJB21292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGSYGGGQSSLGYLFGDDEQQSAPTVAPPIQPPYGIDVTAEMPPAPNKSSSKNQKEKNFSNTNNYHRAQGQNSGNFITDRPSTKVKSVPGGDSSLGYLFGENSPISVELAVLRLLLY >KJB21290 pep chromosome:Graimondii2_0_v6:4:38945045:38946258:-1 gene:B456_004G1390001 transcript:KJB21290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGSYGGGQSSLGYLFGDDEQQSAPTVAPPIQPPYGIDVTAEMPPAPNKSSSKNQKEKNFSNTNNYHRAQGQNSGNFITDRPSTKVKSVPGGDSSLGYLFGENSPISVELAVLRLLLY >KJB22574 pep chromosome:Graimondii2_0_v6:4:5243140:5243613:-1 gene:B456_004G055000 transcript:KJB22574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKINVDATVSNGRMGFGVIARDDEGFVVGGCGGSKESTLNSEWAELLALEEGVQLARKLKLQRVVFESDNASIVNKIRRNGQDFTILGQRAYDTCMQLKTFEAADVTWAPRSCNKFAILF >KJB24568 pep chromosome:Graimondii2_0_v6:4:43053058:43072424:-1 gene:B456_004G151800 transcript:KJB24568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRNSRGKAKGEKKKKEEKVLPVVMDITVHLPDETHVVLKGISTDRILDVRRLLSVNTEACNITNFSLSHEVRGLRLKDTVDVLALRPCVLTLTEDDYDEESAAAHVRRVLDIVACTTSFGSSVTAKDQLKPDASKNASVAQEKGCAAAKKTVSGANKESASKSSTKDVPVDAEGEMSHSCPNLGTFYDFFSLSHLAPPLQFIRRANTQQVEEISADDHLFSLDIKLCNGKLVHVESCRKGFYSVTKQRILCHNLVDLLRQLSKAFDKAYNDLMKAFTERNKFGNLPYGFRANTWLVPPTVAQSPSNFPPLPMEDETWGGNGGGLGRDGKNDSIPWAYEFSVLASMPSKTAEERQIRDRKAFLLHSLFVDTAIFRAIRTVKHVMGKMNPTCSIKNCETLYSERIGGLNIMVMKDAPNASCKVDTKIDGIQATGVDQTNLRERNLLKGITADENTVAHDTSTLGVLNVRYCGYIAFAKVEGRENEKSSPPYQSIELEQPEGGANALNINSLRLLLHKTIPSELNKAASPSQVLEHEGLSSSQVLIERLLEESLAKLEEEELERKPFVRWELGACWIQHLQDQNSTQKDKKPSREKSKNEMKLAGLGTPLRSLKNKKKSDGNMGSGSSNSHPDAVENVTAASIESQLETSSKDDEFVLKRKLSEEAFARLEESKTGLHHKTLQELIELSQKYYTEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQIRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILQAVIATVVKIDKLAVSIASTLNLMFGVPKNRELHKSCKIHSLVWKWLQVFLMKRYEWDISNLDFKDIRKFAILRGLCQKVGIELVPRDFDMDSPSPFQPSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALSKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQQTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLHILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTKKPDASIASKGHLSVSDLLDYINPNHDAKGKDAAAGRKKSCIMKVKGKLYPSCEESSKVGVNKASDEETHVPEPEDKLDANQEMSSLPARSQAPVTEETTEARPDLDNCILSETHAEGDDGWQPVQRVRTSVSLGRRLKQRRASIGKVFSYQKKNVDPDMELPLVKASHQNNRYYRLKKRTISHGGHVDQHTINPSQGSRFGKRIIKTVTYRVKSTSSSTKRTTEISRNGGEVFRPGDSASAFTPNDHYPKNSTVSLGKSPSYKEVALAPPGSISKLHFRPETSCPDNPDFTIEKHREEMNETKDDTDQLTTGMENIFEKKSENTILDSTESLKEEISKGEIGVDEKKEETRPTAVMEDNSCLMVSERLEGQELEAGGNEVHEVVQDGIFVNGVPDSIDSPKTEICEKDLSTSVSIDSPKKELCEKDLSRSFELHSNSNSTLQGVEELKGKPLVLNSGNGQGLANKKLSASAAPFNPSTSISRAAPLPMNISLPPPPRPVPAIAPWAVNMPLHSAPSTILPNPICSSPHHPYPSPPTPNMMQSLPFMYPPYAQSQPVPTMTFPVTSTPFHPSQFSWQCNVNPSMPEFIPGTVWPGHPMEFSVPSPTIEPIADQILEPEVQGDANPSSAPMLPLDIDTVGEAKKEVNLSAPLAISRVKEEARVGLENIQENVCLNENMIDNSENGLSQRNNLNKNTEGDAERKSDGEKTFSILIRGRRNRKQTLRMPISLLSRPYGSQSFKVIYNRVIRESECPKSTGFC >KJB24569 pep chromosome:Graimondii2_0_v6:4:43052954:43072543:-1 gene:B456_004G151800 transcript:KJB24569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRNSRGKAKGEKKKKEEKVLPVVMDITVHLPDETHVVLKGISTDRILDVRRLLSVNTEACNITNFSLSHEVRGLRLKDTVDVLALRPCVLTLTEDDYDEESAAAHVRRVLDIVACTTSFGSSVTAKDQLKPDASKNASVAQEKGCAAAKKTVSGANKESASKSSTKDVPVDAEGEMSHSCPNLGTFYDFFSLSHLAPPLQFIRRANTQQVEEISADDHLFSLDIKLCNGKLVHVESCRKGFYSVTKQRILCHNLVDLLRQLSKAFDKAYNDLMKAFTERNKFGNLPYGFRANTWLVPPTVAQSPSNFPPLPMEDETWGGNGGGLGRDGKNDSIPWAYEFSVLASMPSKTAEERQIRDRKAFLLHSLFVDTAIFRAIRTVKHVMGKMNPTCSIKNCETLYSERIGGLNIMVMKDAPNASCKVDTKIDGIQATGVDQTNLRERNLLKGITADENTVAHDTSTLGVLNVRYCGYIAFAKVEGRENEKSSPPYQSIELEQPEGGANALNINSLRLLLHKTIPSELNKAASPSQVLEHEGLSSSQVLIERLLEESLAKLEEEELERKPFVRWELGACWIQHLQDQNSTQKDKKPSREKSKNEMKLAGLGTPLRSLKNKKKSDGNMGSGSSNSHPDAVENVTAASIESQLETSSKDDEFVLKRKLSEEAFARLEESKTGLHHKTLQELIELSQKYYTEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQIRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILQAVIATVVKIDKLAVSIASTLNLMFGVPKNRELHKSCKIHSLVWKWLQVFLMKRYEWDISNLDFKDIRKFAILRGLCQKVGIELVPRDFDMDSPSPFQPSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALSKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQQTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLHILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTKKPDASIASKGHLSVSDLLDYINPNHDAKGKDAAAGRKKSCIMKVKGKLYPSCEESSKVGVNKASDEETHVPEPEDKLDANQEMSSLPARSQAPVTEETTEARPDLDNCILSETHAEGDDGWQPVQRVRTSVSLGRRLKQRRASIGKVFSYQKKNVDPDMELPLVKASHQNNRYYRLKKRTISHGGHVDQHTINPSQGSRFGKRIIKTVTYRVKSTSSSTKRTTEISRNGGEVFRPGDSASAFTPNDHYPKNSTVSLGKSPSYKEVALAPPGSISKLHFRPETSCPDNPDFTIEKHREEMNETKDDTDQLTTGMENIFEKKSENTILDSTESLKEEISKGEIGVDEKKEETRPTAVMEDNSCLMVSERLEGQELEAGGNEVHEVVQDGIFVNGVPDSIDSPKTEICEKDLSTSVSIDSPKKELCEKDLSRSFELHSNSNSTLQGVEELKGKPLVLNSGNGQGLANKKLSASAAPFNPSTSISRAAPLPMNISLPPPPRPVPAIAPWAVNMPLHSAPSTILPNPICSSPHHPYPSPPTPNMMQSLPFMYPPYAQSQPVPTMTFPVTSTPFHPSQFSWQCNVNPSMPEFIPGTVWPGHPMEFSVPSPTIEPIADQILEPEVQGDANPSSAPMLPLDIDTVGEAKKEVNLSAPLAISRVKEEARVGLENIQENVCLNENMIDNSENGLSQRNNLNKNTEGDAERKSDGEKTFSILIRGRRNRKQTLRMPISLLSRPYGSQSFKVIYNRVIRESECPKSTGFC >KJB24570 pep chromosome:Graimondii2_0_v6:4:43053058:43072268:-1 gene:B456_004G151800 transcript:KJB24570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITVHLPDETHVVLKGISTDRILDVRRLLSVNTEACNITNFSLSHEVRGLRLKDTVDVLALRPCVLTLTEDDYDEESAAAHVRRVLDIVACTTSFGSSVTAKDQLKPDASKNASVAQEKGCAAAKKTVSGANKESASKSSTKDVPVDAEGEMSHSCPNLGTFYDFFSLSHLAPPLQFIRRANTQQVEEISADDHLFSLDIKLCNGKLVHVESCRKGFYSVTKQRILCHNLVDLLRQLSKAFDKAYNDLMKAFTERNKFGNLPYGFRANTWLVPPTVAQSPSNFPPLPMEDETWGGNGGGLGRDGKNDSIPWAYEFSVLASMPSKTAEERQIRDRKAFLLHSLFVDTAIFRAIRTVKHVMGKMNPTCSIKNCETLYSERIGGLNIMVMKDAPNASCKVDTKIDGIQATGVDQTNLRERNLLKGITADENTVAHDTSTLGVLNVRYCGYIAFAKVEGRENEKSSPPYQSIELEQPEGGANALNINSLRLLLHKTIPSELNKAASPSQVLEHEGLSSSQVLIERLLEESLAKLEEEELERKPFVRWELGACWIQHLQDQNSTQKDKKPSREKSKNEMKLAGLGTPLRSLKNKKKSDGNMGSGSSNSHPDAVENVTAASIESQLETSSKDDEFVLKRKLSEEAFARLEESKTGLHHKTLQELIELSQKYYTEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQIRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILQAVIATVVKIDKLAVSIASTLNLMFGVPKNRELHKSCKIHSLVWKWLQVFLMKRYEWDISNLDFKDIRKFAILRGLCQKVGIELVPRDFDMDSPSPFQPSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALSKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQQTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLHILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTKKPDASIASKGHLSVSDLLDYINPNHDAKGKDAAAGRKKSCIMKVKGKLYPSCEESSKVGVNKASDEETHVPEPEDKLDANQEMSSLPARSQAPVTEETTEARPDLDNCILSETHAEGDDGWQPVQRVRTSVSLGRRLKQRRASIGKVFSYQKKNVDPDMELPLVKASHQNNRYYRLKKRTISHGGHVDQHTINPSQGSRFGKRIIKTVTYRVKSTSSSTKRTTEISRNGGEVFRPGDSASAFTPNDHYPKNSTVSLGKSPSYKEVALAPPGSISKLHFRPETSCPDNPDFTIEKHREEMNETKDDTDQLTTGMENIFEKKSENTILDSTESLKEEISKGEIGVDEKKEETRPTAVMEDNSCLMVSERLEGQELEAGGNEVHEVVQDGIFVNGVPDSIDSPKTEICEKDLSTSVSIDSPKKELCEKDLSRSFELHSNSNSTLQGVEELKGKPLVLNSGNGQGLANKKLSASAAPFNPSTSISRAAPLPMNISLPPPPRPVPAIAPWAVNMPLHSAPSTILPNPICSSPHHPYPSPPTPNMMQSLPFMYPPYAQSQPVPTMTFPVTSTPFHPSQFSWQCNVNPSMPEFIPGTVWPGHPMEFSVPSPTIEPIADQILEPEVQGDANPSSAPMLPLDIDTVGEAKKEVNLSAPLAISRVKEEARVGLENIQENVCLNENMIDNSENGLSQRNNLNKNTEGDAERKSDGEKTFSILIRGRRNRKQTLRMPISLLSRPYGSQSFKVIYNRVIRESECPKSTGFC >KJB27360 pep chromosome:Graimondii2_0_v6:4:62101119:62106500:1 gene:B456_004G293300 transcript:KJB27360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNSVLQSSSQVHAEDGPVCGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGELVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTKSAIADAYSHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDSSLEFLILASDGLWDVVSNEEAVAMIKPIQDPEQAAKRLMQEACQRGSADNITCVVVRFMANQGGTSRSVSA >KJB27362 pep chromosome:Graimondii2_0_v6:4:62101631:62106492:1 gene:B456_004G293300 transcript:KJB27362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNSVLQSSSQVHAEDGPVCGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGELVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTKSAIADAYSHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDSSLEFLILASDGLWDVVSNEEAVAMIKPIQDPEQAAKRLMQEACQRGSADNITCVVVRFMANQGGTSRSVSA >KJB27361 pep chromosome:Graimondii2_0_v6:4:62102206:62105659:1 gene:B456_004G293300 transcript:KJB27361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNSVLQSSSQVHAEDGPVCGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGELVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTKSAIADAYSHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQVSFLKYAEFLRCHCTNRTL >KJB26506 pep chromosome:Graimondii2_0_v6:4:58208198:58211712:-1 gene:B456_004G244900 transcript:KJB26506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLGREVSSGIVSESRESKNGSFEYDRRADNVTVPNTDANVVEVENEGTQEEEKADGKTKQRGERRKSKPNPRLSNLPKHLRGEQVAAGWPSWLSDACGEALNGWIPRRADTFEKIDKIGSGTYSNVYKAKDMVTNNIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFQYMEHDLAGLVASPIVKFTEPQVKCYIHQLLSGLEHCHNRGVLHRDIKGSNLLIDDEGLLKIADFGLATFFDPNRKHLMTSRVVTLWYRAPELLLGATDYGVGVDLWSAGCILAELFAGRPVMPGRTEVEQLHKIYKLCGSPSDEYWKKYKLPNATIFKPREPYKRCIKETFKDFPPSSLFLIDTLLAIDPAERLTATAALRSEFFTTEPYACEPSSLPKYPPSKEIDAKRRDDEARRLRAAGKAQGDGTRKTRTRNRARAVPAPEANAELQSNLDQRRRLISHANAKSKSEKFPPPHQDAAVGIPLGASHRIDPALVPPDIAFSSTSFPYPKEPFQTRSGPLVESSKTGAPSRKKHVVGGSSHERSKPPARSQNDDGDNTRVKGKKSIL >KJB26507 pep chromosome:Graimondii2_0_v6:4:58207906:58212152:-1 gene:B456_004G244900 transcript:KJB26507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLGREVSSGIVSESRESKNGSFEYDRRADNVTVPNTDANVVEVENEGTQEEEKADGKTKQRGERRKSKPNPRLSNLPKHLRGEQVAAGWPSWLSDACGEALNGWIPRRADTFEKIDKIGSGTYSNVYKAKDMVTNNIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFQYMEHDLAGLVASPIVKFTEPQVKCYIHQLLSGLEHCHNRGVLHRDIKGSNLLIDDEGLLKIADFGLATFFDPNRKHLMTSRVVTLWYRAPELLLGATDYGVGVDLWSAGCILAELFAGRPVMPGRTEVEQLHKIYKLCGSPSDEYWKKYKLPNATIFKPREPYKRCIKETFKDFPPSSLFLIDTLLAIDPAERLTATAALRSEFFTTEPYACEPSSLPKYPPSKEIDAKRRDDEARRLRAAGKAQGDGTRKTRTRNRARAVPAPEANAELQSNLDRRRLISHANAKSKSEKFPPPHQDAAVGIPLGASHRIDPALVPPDIAFSSTSFPYPKEPFQTRSGPLVESSKTGAPSRKKHVVGGSSHERSKPPARSQNDDGDNTRVKGKKSIL >KJB24390 pep chromosome:Graimondii2_0_v6:4:40432770:40436024:1 gene:B456_004G143000 transcript:KJB24390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSGGFAVTRTHTEDRFYNPPAVRRQLQLLQQQQLERQQQQQQQLHRTLQKELRRPLKDESRVNSVDSLAESRTDLDESTLSAAQSSPEVASLTNLDRLMESVTPLVPAQCFSEAKMRGWRTHEVNLHPYFCLGDLWECFSEWSVYGVGVPLLLNGSDSVKQYYVPSLSGIQLYVDQHRPRRASEDSDVESSRQTSSAGSSDCEIDRRLKGGVDGAWEKHNSQGTSKPPTSSSSDEMEVGKSPGRLVFEYFEQEQPHHRKPLYDKVSSLASQFPDIRMYRSCDLLPASWISVAWYPIYRIPMGPTLQNLDASFLTFHSLSTHSQTVTGKNQLHSSASSSRKVCGFDASSQISLPVFGLASYKLRGSILTSNCSQEWQQASSLLHAADNWLHGLRVHLPDFQFFVSHNSKR >KJB24391 pep chromosome:Graimondii2_0_v6:4:40432892:40436023:1 gene:B456_004G143000 transcript:KJB24391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSGGFAVTRTHTEDRFYNPPAVRRQLQLLQQQQLERQQQQQQQLHRTLQKELRRPLKDESRVNSVDSLAESRTDLDESTLSAAQSSPEVASLTNLDRLMESVTPLVPAQCFSEAKMRGWRTHEVNLHPYFCLGDLWECFSEWSVYGVGVPLLLNGSDSVKQYYVPSLSGIQLYVDQHRPRRASEDSDVESSRQTSSAGSSDCEIDRRLKGGVDGAWEKHNSQGTSKPPTSSSSDEMEVGKSPGRLVFEYFEQEQPHHRKPLYDKVSSLASQFPDIRMYRSCDLLPASWISVAWYPIYRIPMGPTLQNLDASFLTFHSLSTHSQITGKNQLHSSASSSRKVCGFDASSQISLPVFGLASYKLRGSILTSNCSQEWQQASSLLHAADNWLHGLRVHLPDFQFFVSHNSKR >KJB25118 pep chromosome:Graimondii2_0_v6:4:48394877:48396933:1 gene:B456_004G177300 transcript:KJB25118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYRLGTWCQGVFSGHGIFFYQIERVVVQNVLLSNCPLDLISIYMRNKSCNEGDSYLYKWYIELGKSMKKLMILLYLLSCSARSITQYLWSLPGPYEINWITSYRFVEKYCYLVHGLLEVEGALVGSSQT >KJB21373 pep chromosome:Graimondii2_0_v6:4:3628374:3629119:1 gene:B456_004G041900 transcript:KJB21373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPASYAEVTLPKPLLYALSLLCFIGNLISALLNRLGLSDFIESDMVWPENPTRTTSETTTVPALLFPVIKFEELVVDPPADCAVCLNEFERGEEIRCLKNCRHVFHRACLDRWMDHDQKTCPLCRTLF >KJB25859 pep chromosome:Graimondii2_0_v6:4:54753853:54756060:1 gene:B456_004G214400 transcript:KJB25859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLNFKAHQMVMFSATWPAVVHRLAQEYMDPNPVKVVIGSEDLAANHDVMQIVEVLDDRARYEQLTAFKISLHWLNRMGSI >KJB21790 pep chromosome:Graimondii2_0_v6:4:992285:993358:1 gene:B456_004G013800 transcript:KJB21790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTYIHTYIHMYHYINGILASSIIFIPHFIIFILYILSLGVSRRKKKSNMKQKVVLKVAMKCQKCRTRSLEVAAEQQGVSFVGLEGNEKEKVVVIGDGIDVVKLTTILRKKVGTTEIISLAEQK >KJB23966 pep chromosome:Graimondii2_0_v6:4:31337500:31339929:-1 gene:B456_004G122800 transcript:KJB23966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAQRPQGIEVVKPMEASRGMVERRTRPQNDHALNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRALSSSSPPRPPASSKKLGDLTTPPTPQNPKIHEGQDLNLAYPPPTEDYNSLPKFVEVPYSHKSDHRNSTSSSTHLNAMELLKTGINSRGLSSFMSMSVSDSNTVYSSGFPMQDFKPTLNFSLDGLETGYGNLQGVEESGARLFFPMEELKLVPSNANELEQNRGQGESTGYWNGMLGGGHW >KJB24804 pep chromosome:Graimondii2_0_v6:4:45188153:45189115:-1 gene:B456_004G161400 transcript:KJB24804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFVGLITFFVLIALLALIGYQLMCFADLDPLLDLAARINKVVVPEFVVQAVFCFVCTATGQGFLCFMSLPNLHYNFELSKRHLVDVAELGKEATTS >KJB24802 pep chromosome:Graimondii2_0_v6:4:45188434:45188894:-1 gene:B456_004G161400 transcript:KJB24802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFVGLITFFVLIALLALIGYQLMCFADLDPLLDLAARINKVVVPEFVVQAVFCFVCTATGQGFLCFMSLPNLHYNFELYRSKRHLVDVAELGKEATTS >KJB24803 pep chromosome:Graimondii2_0_v6:4:45188391:45189048:-1 gene:B456_004G161400 transcript:KJB24803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFVGLITFFVLIALLALIGYQLMCFADLDPLLDLAARINKVVVPEFVVQAVFCFVCTATGQGFLCFMSLPNLHYNFELSKRHLVDVAELGKEATTS >KJB24801 pep chromosome:Graimondii2_0_v6:4:45187636:45189115:-1 gene:B456_004G161400 transcript:KJB24801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFVGLITFFVLIALLALIGYQLMCFADLDPLLDLAARINKVVVPEFVVQAVFCFVCTATGQGFLCFMSLPNLHYNFELYRSKRHLVDVAELGKEATTS >KJB21851 pep chromosome:Graimondii2_0_v6:4:1334079:1335454:1 gene:B456_004G018300 transcript:KJB21851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKTSRSGGGSGGDDYMFRASKRLKTETSGGLARFKGIAPQQNGHWGAQIYANHQRIWLGTFKSENEAALAYDSAAIGLRIGDPHRNFPWSYDNIQEPNFQNLHTTRDILNMIKSGSYQSKYADYTKSSANHNKNTIHNDTKFACQQLFQKELTPSDVGKLNRLVIPKKHAVKHFPHIENDHQTFTTANGGCEDVELVFYDTSMKMWRFRYCYCKSSQSFVFTRGWNRFVKDKKLKEKDAIAFYTCECTGEIEHGRGFFLIDVNYNGGDDENGGRIDVFKRLGSSSVLRHHQGELHVGLELNLGTNFYCNLDEKHNENGEEQGLSGLKSSSSHSVKEKRITLFGARIN >KJB27354 pep chromosome:Graimondii2_0_v6:4:62067294:62070401:1 gene:B456_004G292900 transcript:KJB27354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLPTTTKTERRSRSKNLHTSKPSLVMAFFSCVAWLYVAGRLWQDAENRKLLANLLKRNIEQKPKVLTIEDKLIVLGCKDLERRIVEVEMDLTLAKSQGYLKHQLPQSESSSQRKLLAVIGVYTGFGSYLKRNTFRGSWMPRGDALKKLEERGVVIRFVIGRSANRGDSLDRHIDEENSKTKDFFILEGHEEAQEELPKKIKFFFSTAVQNWDAEFYIKVDDNIGVDLEGLIGLLEHRRRQDSAYIGCMKSGEVVSEEGKLWYEPDWWKFGDEKSYFRHASGSLLILSKNLAQYINTNSASLKTYAHDDISVGSWMMGVQAIYIDDNRLCCSSIKQDKVCSVA >KJB23538 pep chromosome:Graimondii2_0_v6:4:20352480:20355082:-1 gene:B456_004G103900 transcript:KJB23538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNSTPSPSEACHETMDFLSRTWCNFAVQALQPQLHDQSIVVLDNQIKALESETSLSFTNTEKSAKIDATDFKSSLPPWKSDDVKSWIWMQQAMHPELNYNSCFRKKWMPWKIVPFKGVSIKKWMKEMKGKRKEKDRLQRAEVQSAISVAGLAAALAAVAAENSKREYCSPTKEAAVASAAALVAAQYAKVAEAMGAKKEQLGSVIASTMSGTTASEILTLTAAANTSLRGAAILKAKTGCKNRFNGSAPLLPFEDSNDLPFEFDKCRSMLAKGAELGVETPDGKYMVRWASIDLDGDSKVIIKLRKLSLFKSKKERIILGLHADLYRDPEADETTDTCYVIVLTTNSGMVKLDMVDDYQLYKTWSTTIYDMLMISTSLTKYDLQFYKN >KJB24278 pep chromosome:Graimondii2_0_v6:4:38711680:38714923:1 gene:B456_004G138200 transcript:KJB24278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFAIAATVFAVLSLSYQLHGHGEKVERGLTVNGCDLFQGSWVYDESYPLYQSSSCSFIQNQFDCLKNGRPDKDYLKYRWQPTTCNLSRFDGEDMLSRIRGKSIMFVGDSLSLNQWQSLTCMLHTAVPHAQYKTITVQGLSTFTFLEYNAKVMFSRNAFLVDLVSLPIGRVLKLDSIEEGAKLWKGIDVLIFNTWHWWLHTGRKQPWDFIEEGNHTQVDMDRLVAYEKGLNTWAKWVDANVNTSKTTVFFQGVSPDHIK >KJB24277 pep chromosome:Graimondii2_0_v6:4:38711523:38715153:1 gene:B456_004G138200 transcript:KJB24277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFAIAATVFAVLSLSYQLHGHGEKVERGLTVNGCDLFQGSWVYDESYPLYQSSSCSFIQNQFDCLKNGRPDKDYLKYRWQPTTCNLSRFDGEDMLSRIRGKSIMFVGDSLSLNQWQSLTCMLHTAVPHAQYKTITVQGLSTFTFLEYNAKVMFSRNAFLVDLVSLPIGRVLKLDSIEEGAKLWKGIDVLIFNTWHWWLHTGRKQPWDFIEEGNHTQVDMDRLVAYEKGLNTWAKWVDANVNTSKTTVFFQGVSPDHINSSDWGEPKAKNCEGQKEPIKGGDYPGGQHPAEKVVEKVLKTMSTPVYLLNVTTLSQLRKDGHPSVYGHGGHRDMDCSHWCLAGVPDTWNQLLYAALIQS >KJB24360 pep chromosome:Graimondii2_0_v6:4:40134052:40135621:-1 gene:B456_004G142200 transcript:KJB24360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHNLEDSKQSTADMSVFVQNLLQQMQSRFQTMSESIITKNILFVELSKVIYTLVSNLSTCWEILDYEVKLYVMVFCRLTLDEMGDRINELEQSINDLKAEMGMEGSPSPLAPPKQKSDGANQEDDSA >KJB23455 pep chromosome:Graimondii2_0_v6:4:17100940:17104165:1 gene:B456_004G099000 transcript:KJB23455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINRDPSSPPPMIGKIGPYTVFVTPPSTPTPTEPPVFQSPQKAVAPPAPAPPPVRPPPQQFDKSFVASDSDGSILGFFRNAAFKVQNAHSSLDDHLARWFGLNQSKYQWALDDYYESKGFVRKGRCKSERNIKQNAKCVACFLCW >KJB23454 pep chromosome:Graimondii2_0_v6:4:17100906:17104190:1 gene:B456_004G099000 transcript:KJB23454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINRDPSSPPPMIGKIGPYTVFVTPPSTPTPTEPPVFQSPQKAVAPPAPAPPPVRPPPQQFDKSFVASDSDGSILGFFRNAAFKVQNAHSSLDDHLARWFGLNQSKYQWALDDYYESKGFEKEGVKVKEISSKMQSV >KJB25076 pep chromosome:Graimondii2_0_v6:4:48010364:48014242:1 gene:B456_004G176200 transcript:KJB25076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGRLRQWLSLLVCVLAVILLKSEGASVGITYLQDAVAKGAVCLDGSPPAYHFDKGSGAGVDNWIVHMEGGGWCEDVPTCLSRKNTDLGSSKQMVKQFGFSGLLSGQQKSNPDFYNWNRIKVRYCDGSSFTGDAVDRANNLFFKGNSIWEFIIADLLAKGMRNAKNAILSGCSAGGLASILHCDRFRALLPASTKVKCISDAGFFLHAQDVSGGKHIEEFYGKVARLHGSVKNLPASCTSRMGARPELCFFPQYVVQTMQTPIFFVNSAYDSWQIKNILAPSAADKSKAWKSCKLDLKKCTAAQLKTIQDFRTQFLSALNRAGVVNSPSKGMFIDSCYAHCQVGKQIQWSSDSSPVVSNMKIAKAVGDWYYERSPIKKLDCPYPCNPTCPKVDSDS >KJB25079 pep chromosome:Graimondii2_0_v6:4:48010223:48014148:1 gene:B456_004G176200 transcript:KJB25079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGRLRQWLSLLVCVLAVILLKSEGASVGITYLQDAVAKGAVCLDGSPPAYHFDKGSGAGVDNWIVHMEGGGWCEDVPTCLSRKNTDLGSSKQMVKQFGFSGLLSGQQKSNPDFYNWNRIKVRYCDGSSFTGDAVDRANNLFFKGNSIWEFIIADLLAKGMRNAKNAILSGCSAGGLASILHCDRFRALLPASTKVKCISDAGFFLHAQDVSGGKHIEEFYGKVARLHGSVKNLPASCTSRMGARPELCFFPQYVVQTMQTPIFFVNSAYDSWQIKNILAPSAADKSKAWKSCKLDLKKCTAAQLKTIQDFRTQFLSALNRAGVVNSPSKGMFIDSCYAHCQVGKQIQWSSDSSPVVSNMKIAKAVGDWYYERSPIKKLDCPYPCNPTCPKVDSDS >KJB25080 pep chromosome:Graimondii2_0_v6:4:48011454:48014242:1 gene:B456_004G176200 transcript:KJB25080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGRLRQWLSLLVCVLAVILLKSEGASVGITYLQDAVAKGAVCLDGSPPAYHFDKGSGAGVDNWIVHMEGGGWCEDVPTCLSRKNTDLGSSKQMVKQFGFSGLLSGQQKSNPDFYNWNRIKVRYCDGSSFTGDAVDRANNLFFKGNSIWEFIIADLLAKGMRNAKNAILSGCSAGGLASILHCDRFRALLPASTKVKCISDAGFFLHAQDVSGGKHIEEFYGKVARLHGSVKNLPASCTSRMGARPELCFFPQYVVQTMQTPIFFVNSAYDSWQIKNILAPSAADKSKAWKSCKLDLKKCTAAQLKTIQDFRTQFLSALNRAGVVNSPSKGMFIDSCYAHCQVGKQIQWSSDSSPVVSNMKIAKAVGDWYYERSPIKKLDCPYPCNPTCPKVDSDS >KJB25075 pep chromosome:Graimondii2_0_v6:4:48010209:48014242:1 gene:B456_004G176200 transcript:KJB25075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGRLRQWLSLLVCVLAVILLKSEGASVGITYLQDAVAKGAVCLDGSPPAYHFDKGSGAGVDNWIVHMEGGGWCEDVPTCLSRKNTDLGSSKQMVKQFGFSGLLSGQQKSNPDFYNWNRIKVRYCDGSSFTGDAVDRANNLFFKGNSIWEFIIADLLAKGMRNAKNAILSGCSAGGLASILHCDRFRALLPASTKVKCISDAGFFLHAQDVSGGKHIEEFYGKVARLHGSVKNLPASCTSRMGARPELCFFPQYVVQTMQTPIFFVNSAYDSWQIKNILAPSAADKSKAWKSCKLDLKKCTAAQLKTIQDFRTQFLSALNRAGVVNSPSKGMFIDSCYAHCQVGKQIQWSSDSSPVVSNMKIAKAVGDWYYERSPIKKLDCPYPCNPTCPKVDSDS >KJB25078 pep chromosome:Graimondii2_0_v6:4:48010223:48014148:1 gene:B456_004G176200 transcript:KJB25078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGRLRQWLSLLVCVLAVILLKSEGASVGITYLQDAVAKGAGRDLFFFLSMFYYMFMYIIYLRHSFFSFFWFGWWCVVCLDGSPPAYHFDKGSGAGVDNWIVHMEGGGWCEDVPTCLSRKNTDLGSSKQMVKQFGFSGLLSGQQKSNPDFYNWNRIKVRYCDGSSFTGDAVDRANNLFFKGNSIWEFIIADLLAKGMRNAKNAILSGCSAGGLASILHCDRFRALLPASTKVKCISDAGFFLHAQDVSGGKHIEEFYGKVARLHGSVKNLPASCTSRMGARPELCFFPQYVVQTMQTPIFFVNSAYDSWQIKNILAPSAADKSKAWKSCKLDLKKCTAAQLKTIQDFRTQFLSALNRAGVVNSPSKGMFIDSCYAHCQVGKQIQWSSDSSPVVSNMKIAKAVGDWYYERSPIKKLDCPYPCNPTCPKVDSDS >KJB25081 pep chromosome:Graimondii2_0_v6:4:48011909:48014148:1 gene:B456_004G176200 transcript:KJB25081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFACMKGGGWCEDVPTCLSRKNTDLGSSKQMVKQFGFSGLLSGQQKSNPDFYNWNRIKVRYCDGSSFTGDAVDRANNLFFKGNSIWEFIIADLLAKGMRNAKNAILSGCSAGGLASILHCDRFRALLPASTKVKCISDAGFFLHAQDVSGGKHIEEFYGKVARLHGSVKNLPASCTSRMGARPELCFFPQYVVQTMQTPIFFVNSAYDSWQIKNILAPSAADKSKAWKSCKLDLKKCTAAQLKTIQDFRTQFLSALNRAGVVNSPSKGMFIDSCYAHCQVGKQIQWSSDSSPVVSNMKIAKAVGDWYYERSPIKKLDCPYPCNPTCPKVDSDS >KJB25077 pep chromosome:Graimondii2_0_v6:4:48010133:48014152:1 gene:B456_004G176200 transcript:KJB25077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGRLRQWLSLLVCVLAVILLKSEGASVGITYLQDAVAKGAVCLDGSPPAYHFDKGSGAGVDNWIVHMEGGGWCEDVPTCLSRKNTDLGSSKQMVKQFGFSGLLSGQQKSNPDFYNWNRIKVRYCDGSSFTGDAVDRANNLFFKGNSIWEFIIADLLAKGMRNAKNAILSGCSAGGLASILHCDRFRALLPASTKVKCISDAGFFLHAQDVSGGKHIEEFYGKVARLHGSVKNLPASCTSRMGARPELCFFPQYVVQTMQTPIFFVNSAYDSWQIKNILAPSAADKSKAWKSCKLDLKKCTAAQLKTIQDFRTQFLSALNRAGVVNSPSKGMFIDSCYAHCQVGKQIQWSSDSSPVVSNMKIAKAVGDWYYERSPIKKLDCPYPCNPTCPKVDSDS >KJB25318 pep chromosome:Graimondii2_0_v6:4:49994963:49998421:1 gene:B456_004G185700 transcript:KJB25318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPILSLSSKTTPYLGSCCVSSSSSNSNFRLLCEFGARKRRMSVRCAKASVERTGEAAIKERESFTGSAMGVTTLDQSFGEDFPVWDKIGAVVRLSYGIGIYGGMALAGRFICSITGIDCMGGFHPSLDAILEGLGYAAPPIMALLFILDDEVVKLSPHARAIRDVEDEELRSFFYGMSPWQFILMVAASSVGEELFYRAAVQGALADIFLRGTELVSDARGMAALTGVLPPFVPFAQAFAAVITAALTGSLYYVAASPKDPTYVVAPVQRSGSAREDMKKLFAAWYERRQMKKIYSPLLEGILALYLGFEWIETNNILAPIITHGIYSAVILGHGLWKIHDHRRRLRQRIQQLKSEGKNSTKL >KJB25325 pep chromosome:Graimondii2_0_v6:4:49995819:49998421:1 gene:B456_004G185700 transcript:KJB25325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGRFICSITGIDCMGGFHPSLDAILEGLGYAAPPIMALLFILDDEVVKLSPHARAIRDVEDEELRSFFYGMSPWQFILMVAASSVGEELFYRAAVQGALADIFLRGTELVSDARGMAALTGVLPPFVPFAQAFAAVITAALTGSLYYVAASPKDPTYVVAPVQRSGSAREDMKKLFAAWYERRQMKKIYSPLLEGILALYLGFEWIETNNILAPIITHGIYSAVILGHGLWKIHDHRRRLRQRIQQLKSEGKNSTKL >KJB25320 pep chromosome:Graimondii2_0_v6:4:49995289:49997445:1 gene:B456_004G185700 transcript:KJB25320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPILSLSSKTTPYLGSCCVSSSSSNSNFRLLCEFGARKRRMSVRCAKASVERTGEAAIKERESFTGSAMGVTTLDQSFGEDFPVWDKIGAVVRLSYGIGIYGGMALAGRFICSITGIDCMGGFHPSLDAILEGLGYAAPPIMALLFILDDEVVKLSPHARAIRDVEDEELRSFFYGMSPWQFILMVAASSVGEELFYRAAVQGALADIFLRGTELVSDARGMAALVYILFYELYILICDWCTTSLCSICSGICSSNNCCSYRFSILCGCLSERSYLRSCTSSTIRFCS >KJB25323 pep chromosome:Graimondii2_0_v6:4:49995213:49998309:1 gene:B456_004G185700 transcript:KJB25323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPILSLSSKTTPYLGSCCVSSSSSNSNFRLLCEFGARKRRMSVRCAKASVERTGEAAIKERESFTGSAMGVTTLDQSFGEDFPVWDKIGAVVRLSYGIGIYGGMALAGRFICSITGIDCMGGFHPSLDAILEGLGYAAPPIMALLFILDDEVVKLSPHARAIRDVEDEELRSFFYGMSPWQFILMVAASSVGEELFYRAAVQTGVLPPFVPFAQAFAAVITAALTGSLYYVAASPKDPTYVVAPVQRSGSAREDMKKLFAAWYERRQMKKIYSPLLEGILALYLGFEWIETNNILAPIITHGIYSAVILGHGLWKIHDHRRRLRQRIQQLKSEGKNSTKL >KJB25324 pep chromosome:Graimondii2_0_v6:4:49996176:49997557:1 gene:B456_004G185700 transcript:KJB25324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGRFICSITGIDCMGGFHPSLDAILEGLGYAAPPIMALLFILDDEVVKLSPHARAIRDVEDEELRSFFYGMSPWQFILMVAASSVGEELFYRAAVQGALADIFLRGTELVSDARGMAALTGVLPPFVPFAQAFAAVITAALTGSLYYVAASPKDPTYVVAPVQRSGSAREDMKKLFAGAHSTRKTSFIQWYFSFVKFQKANLSPWP >KJB25319 pep chromosome:Graimondii2_0_v6:4:49995213:49998309:1 gene:B456_004G185700 transcript:KJB25319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPILSLSSKTTPYLGSCCVSSSSSNSNFRLLCEFGARKRRMSVRCAKASVERTGEAAIKERESFTGSAMGVTTLDQSFGEDFPVWDKIGAVVRLSYGIGIYGGMALAGRFICSITGIDCMGGFHPSLDAILEGLGYAAPPIMALLFILDLRFLFRSLYFDELAWSQDEVVKLSPHARAIRDVEDEELRSFFYGMSPWQFILMVAASSVGEELFYRAAVQGALADIFLRGTELVSDARGMAALTGVLPPFVPFAQAFAAVITAALTGSLYYVAASPKDPTYVVAPVQRSGSAREDMKKLFAAWYERRQMKKIYSPLLEGILALYLGFEWIETNNILAPIITHGIYSAVILGHGLWKIHDHRRRLRQRIQQLKSEGKNSTKL >KJB25321 pep chromosome:Graimondii2_0_v6:4:49995213:49998309:1 gene:B456_004G185700 transcript:KJB25321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPILSLSSKTTPYLGSCCVSSSSSNSNFRLLCEFGARKRRMSVRCAKASVERTGEAAIKERESFTGSAMGVTTLDQSFGEDFPVWDKIGAVVRLSYGIGIYGGMALAGRFICSITGIDCMGGFHPSLDAILEGLGYAAPPIMALLFILDDEVVKLSPHARAIRDVEDEELRSFFYGMSPWQFILMVAASSVGEELFYRAAVQGALADIFLRGTELVSDARGMAALTGVLPPFVPFAQAFAAVITAALTGSLYYVAASPKDPTYVVAPVQRSGSAREDMKKLFAGAHSTRKTSFIQWYFSFVKFQKANLSPWP >KJB25322 pep chromosome:Graimondii2_0_v6:4:49995213:49998309:1 gene:B456_004G185700 transcript:KJB25322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPILSLSSKTTPYLGSCCVSSSSSNSNFRLLCEFGARKRRMSVRCAKASVERTGEAAIKERESFTGSAMGVTTLDQSFGEDFPVWDKIGAVVRLSYGIGIYGGMALAGRFICSITGIDCMGGFHPSLDAILEGLGYAAPPIMALLFILDDEVVKLSPHARAIRDVEDEELRSFFYGMSPWQFILMVAASSVGEELFYRAAVQGALADIFLRGTELVSDARGMAALTGVLPPFVPFAQAFAAVITAALTGSLYYVAASPKDPTYVVAPVQRSGSAREDMKKLFAAWYERRQMKKIYSPLLEGILALYLGFEWIEVTILLHYLRS >KJB25184 pep chromosome:Graimondii2_0_v6:4:49072088:49079643:-1 gene:B456_004G180200 transcript:KJB25184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQSFKSNGNKDAKIMNIDRINGMGLRRSPRLSSAPPETKDCSSKTIFKSSENGSYSETRPSGTDKEAVLSKRNDPNFSDEKQRRKSPRFVAGTEHCGGNGSLRKFQDLNSGFPLKQLRRSLKISQATKNVCPDVSITRLDMDERGSSGEKRLGISPSSVHATENGDSNASFREHRREMSVEKQLKTPSSLSTLLAEGDSAEVNSSSNRLSNSCDEQPSKKFKISSAESDIDDDDDEDKIVWNVECHYAQAEIDGCTINLGDCVYIKGEEAKHHIGRILEFFRTTDGENYFRVQWFYRAEDTVMKQEATLHGESRLFYSTIVNDNLIDCIISKVSVTQISPKFGSKSNSLPQSDFYFDMEYCVDYSTFCTLPTDIFSDNSFKSHSSSKCYEEVFPTTPAFSANIPSFGTYKAQLTKLDLYSGCGGMSTGLCLGAKASCNDLVAKWAVDSDKSPCESLKLNHPETHVRNEAADDFLQLLKEWEKLCKQYRVKNLERTYPSRSRISETVWNNASSAKDSGTPDEHEVSSLVDICYGDPCDTGNRGLKFKVCWKGYSASDDTWEPIESLRNCQECIQEFVTNGFRSKILPLRGDVDVICGGPPCQGISGYNRHRNVDSPLDDEKNRQIVVFVDIVEYLKPKFVLMENVVDIMRLVKASLGRYALSRLVLMKYQARLGIVAAGCYGLPQFRLRVFLFGAHSSEKLPQFPLPTHDVIIRYGYPAEFERNTVAYDEGQPRQLEDALVLRDSFSDLPPVANNEAREKMTYEKPPETDFQRYIRSSEYKMTGSELNGAKRITNLLYDHRPSPLSEDDYIRVCLNPKRKGANFRDLPGVIVGTDNVAWRGRTQEQQFLPSGKPLVPDYVFTLKQGKSKRPFARLWWDETMPTVLTAPFCHSQAILHPEQDWLLTAREWARLQGFPDYYRFRGTIKERYCQIGNAVAVPVGRALGYTMGMAFQKGSGNEPLMILPPKVFSFHQYPVSKIIVPKH >KJB27093 pep chromosome:Graimondii2_0_v6:4:61055156:61060312:1 gene:B456_004G277600 transcript:KJB27093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEANQAKQITNDNSNDCAKVNSNSTIDDSIPHSSLSVDTALPFPLMSPRIIELCKDLFRKWAKLDDSCFSVETVSGGITNLLLKVSVKEESGDNVAVTVRLYGPNTEYVIDRERELQAIKYLSAAGFGAKLLGVFGNGMVQSFINARTLTPLDMRKPKLAAEIANQLRRFHQVEIPGPKEPQLWVDMFKFFEKACALRFEDPDKQRIYETISFKEVHEEATQLKELTELFSASVVFAHNDLLSGNLMLNDEQDKLYIIDFEYGSYNYRGYDIGNHFNEYAGYECDYSLYPSKEEQYHFLRHYLQPEKPYEVSEKDLEDLYVEANTFRLASHLIWALWGLIQARMSPIDFDYLGYFFWRYNEYKKQKEKCFFLAQSHLSGSRTV >KJB27094 pep chromosome:Graimondii2_0_v6:4:61055192:61059801:1 gene:B456_004G277600 transcript:KJB27094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEANQAKQITNDNSNDCAKVNSNSTIDDSIPHSSLSVDTALPFPLMSPRIIELCKDLFRKWAKLDDSCFSVETVSGGITNLLLKVSVKEESGDNVAVTVRLYGPNTEYVIDRERELQAIKYLSAAGFGAKLLGVFGNGMVQSFINARTLTPLDMRKPKLAAEIANQLRRFHQVEIPGPKEPQLWVDMFKFFEKACALRFEDPDKQRIYETISFKEVHEEATQLKELTELFSASVVFAHNDLLSGNLMLNDEQDKLYIIDFEYGSYNYRGYDIGNHFNEYAGYECDYSLYQKKILRIFTLRQIHSG >KJB24153 pep chromosome:Graimondii2_0_v6:4:35109449:35113312:-1 gene:B456_004G130200 transcript:KJB24153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G10770) UniProtKB/Swiss-Prot;Acc:O82485] MEESEDEIRAPLISKDEDDSNLSSSRSEALASKSEAEIKEVEEEENSPVRQVALTVPTTDDPSLPVLTFRMWVLGTISCVLLSFLNQFFWYRTEPLTITAISAQIAVVPLGQLMAAKITKRVFFKGSRWEFTLNPGPFNVKEHVLITIFANSGAGSVYAIHVVTVVKVFYKQHITFFVSLIVILTTQVLGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEERAKGGVTRTQFFLIAFICSFAYYVFPGYLFQMLTSLSWICWIFPKSVVAQQLGSGLYGLGIGAIGLDWSTISSYLGSPLASPWFATANVAAGYIFVMYVLTPICYWLNVYSAKTFPIFSDTLFTSTGQEYNITAIIDSNFHLDVAAYEKEGPLYLSTFFAMTYGVGFAALTATIVHVGLFHGREIWEQSKASFQEKKMDIHTRLMRKYKQVPEWWFVCILLVNIAAIVFACQYYNDQLQLPWWGVLLACGIAIVFTLPIGIITAITNQTPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRTMFMAQIVGTLIACFVYLGTAWWLMENIPDICDTTASNSVWTCPSDTVFYDASVIWGLIAPRRIFGDLGTYEAVNWFFLGGAVAPLLVWLASKAFPSQEWIRLINMPVLIGATGMMPPATAVNYTTWIIVGFLSGFVVYRYRPDLWQRYNYVLSGALDAGLAFMGVLLYLCLGLENISLDWWGNDLDGCPLASCPTAQGIKVEGCPLFT >KJB24152 pep chromosome:Graimondii2_0_v6:4:35109449:35113082:-1 gene:B456_004G130200 transcript:KJB24152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G10770) UniProtKB/Swiss-Prot;Acc:O82485] MWVLGTISCVLLSFLNQFFWYRTEPLTITAISAQIAVVPLGQLMAAKITKRVFFKGSRWEFTLNPGPFNVKEHVLITIFANSGAGSVYAIHVVTVVKVFYKQHITFFVSLIVILTTQVLGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEERAKGGVTRTQFFLIAFICSFAYYVFPGYLFQMLTSLSWICWIFPKSVVAQQLGSGLYGLGIGAIGLDWSTISSYLGSPLASPWFATANVAAGYIFVMYVLTPICYWLNVYSAKTFPIFSDTLFTSTGQEYNITAIIDSNFHLDVAAYEKEGPLYLSTFFAMTYGVGFAALTATIVHVGLFHGREIWEQSKASFQEKKMDIHTRLMRKYKQVPEWWFVCILLVNIAAIVFACQYYNDQLQLPWWGVLLACGIAIVFTLPIGIITAITNQTPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRTMFMAQIVGTLIACFVYLGTAWWLMENIPDICDTTASNSVWTCPSDTVFYDASVIWGLIAPRRIFGDLGTYEAVNWFFLGGAVAPLLVWLASKAFPSQEWIRLINMPVLIGATGMMPPATAVNYTTWIIVGFLSGFVVYRYRPDLWQRYNYVLSGALDAGLAFMGVLLYLCLGLENISLDWWGNDLDGCPLASCPTAQGIKVEGCPLFT >KJB21318 pep chromosome:Graimondii2_0_v6:4:3734199:3738849:-1 gene:B456_004G043200 transcript:KJB21318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKTSESAVSTIVNLAEEAKLAREGVKAPSYAILSICKSLVAGGVAGGLSRTAVAPLERLKILLQVQNPHSIKYNGTVQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYQQQTGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTDSSPFQYRGMFHALSTVLRQEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLIKNKQFGFAEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGRNKAPLEYTGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDILGVEIRISD >KJB21316 pep chromosome:Graimondii2_0_v6:4:3734199:3738765:-1 gene:B456_004G043200 transcript:KJB21316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKTSESAVSTIVNLAEEAKLAREGVKAPSYAILSICKSLVAGGVAGGLSRTAVAPLERLKILLQVQNPHSIKYNGTVQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYQQQTGNDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTDSSPFQYRGMFHALSTVLRQEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLIKNKQFGFAEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGRNKAPLEYTGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDILGVEIRISD >KJB21317 pep chromosome:Graimondii2_0_v6:4:3734199:3738765:-1 gene:B456_004G043200 transcript:KJB21317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMNIELCRSRTAVAPLERLKILLQVQNPHSIKYNGTVQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYQQQTGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTDSSPFQYRGMFHALSTVLRQEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLIKNKQFGFAEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGRNKAPLEYTGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDILGVEIRISD >KJB21314 pep chromosome:Graimondii2_0_v6:4:3734199:3736662:-1 gene:B456_004G043200 transcript:KJB21314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLFVVNSAEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTDSSPFQYRGMFHALSTVLRQEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLIKNKQFGFAEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGRNKAPLEYTGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDILGVEIRISD >KJB21315 pep chromosome:Graimondii2_0_v6:4:3734988:3737990:-1 gene:B456_004G043200 transcript:KJB21315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQNPHSIKYNGTVQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYQQQTGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTDSSPFQYRGMFHALSTVLRQEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLIKNKQFGFAEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGRNKAPLEYTGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDILGVEIRISD >KJB24895 pep chromosome:Graimondii2_0_v6:4:46314469:46316630:-1 gene:B456_004G166500 transcript:KJB24895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKGKWVKNGTGPGARSSHAITIVGQKAYVFGGEFTPRVPVDNKLYVFDLNNLTWSVADVTGDVPPPRVGVTMATVSETIYVFGGRDSAHKELDELYSFDTCTNKWVLLSNGDSGPAHRSYHSMTADDRHVYVFGGCGVSGRLNDLWAYDVACQKWIKYPTPGDSCKGRGGPGLAVVQGKIWVVYGFSGVEMDDVHCFDPVQGQWSQVETSGEKPTARSVFSTVGIGKCIIIYGGEVDPSDLGHLGAGKFSGEVYSLDADTLVWKKWEDERGLETHPGPRGWCAFSSGVRDGQLGLLVYGGNSPSNDRLDDIFIFTPSVA >KJB24894 pep chromosome:Graimondii2_0_v6:4:46314420:46316703:-1 gene:B456_004G166500 transcript:KJB24894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKGKWVKLNQNGTGPGARSSHAITIVGQKAYVFGGEFTPRVPVDNKLYVFDLNNLTWSVADVTGDVPPPRVGVTMATVSETIYVFGGRDSAHKELDELYSFDTCTNKWVLLSNGDSGPAHRSYHSMTADDRHVYVFGGCGVSGRLNDLWAYDVACQKWIKYPTPGDSCKGRGGPGLAVVQGKIWVVYGFSGVEMDDVHCFDPVQGQWSQVETSGEKPTARSVFSTVGIGKCIIIYGGEVDPSDLGHLGAGKFSGEVYSLDADTLVWKKWEDERGLETHPGPRGWCAFSSGVRDGQLGLLVYGGNSPSNDRLDDIFIFTPSVA >KJB22460 pep chromosome:Graimondii2_0_v6:4:4339218:4343474:-1 gene:B456_004G048200 transcript:KJB22460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVSLPPGFRFHPTDEELVVYYLKRKINGRKIELEIIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSQTRAVGMKKTLVYYRGRAPHGTRTNWVMHEYRLDERECESASLGLQDAYALCRVFKKTAIPPKVPGDHYQAVATPNQIAYEHSSSFEESSDFGIPIDTRSPSVLNRSPIDICDANEVKWMQSLSHDVFGLNNASFTNYETLPYHPSKVDVALECARLQHRLALPPLEVEDFPRLGFTNYKLMETTPPPPPTRETDILQEILSLNPIGHEDAWGASSSNNNADDFTFMTAKSMYQNQVNEMSCAQYMNKPLEEAITRPIDITELQGERMAENSRWVGMSSKDLEQYCFMEENKVVPIENISSFTRNEDNGIQGNGHDYNSFEFDDTGINNPEMEDFTHGFIDDDPNDHHFLDEGNMDDLTTSPSFELVEDIKINHGMFVSTRQVANTFFHQTLPSQTVQVHQNAMTPTSFHFQVEKHKGKCMATTMSTFTRQCKEALSGFLCMLVLLLYVGEEDDGLNGGSKECNKEKEVTDYLLIKSKASSWNDFGLVWKKLGFFFTISLVLCTLLC >KJB21313 pep chromosome:Graimondii2_0_v6:4:41728865:41735207:1 gene:B456_004G148500 transcript:KJB21313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYADGKTTPCLNVLPSQVHGCSDLNTSAFIQRMIQAEKPNLIVFTGDNIFGFEGKDSAKSLNAAFAPAIAAGIPWAAVLGNHDQQGTLSREGVMKHIVGLKHTLSQFNPPKAHIIDGFGNYNLEVGGVEGSGFANKSVLNLYFLDSGDYSTVPSIPGYGWIKPSQQLWFQRTSAKLQSAYMRPPMAKKSFAPGLVYFHIPLPEFASFDASNFTGVRQEGISSASINSGFFTTMVEAGDVKAVFTGHDHLNDFCGQMTGIQLCYAGGFGCHAYGKVGWSRRARVVVASLEKTDEGGWGAVKSIKTWKRLDDGHLTAIDEQVLWSKNLIPKV >KJB21312 pep chromosome:Graimondii2_0_v6:4:41728456:41730148:1 gene:B456_004G148500 transcript:KJB21312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYADGKTTPCLNVLPSQVHGCSDLNTSAFIQRMIQAEKPNLIVFTGDNIFGFEGKDSAKSLNAAFAPAIAAGIPWAAVLGNHDQQGTLSREGVMKHIVGLKHTLSQFNPPKAHIIDGFGNYNLEVGGVEGSGFANKSVLNLYFLDSGDYSTVPSIPGYGWIKPSQQLWFQRTSAKLQSAYMRPPMAKKSFAPGLVYFHIPLPEFASFDASNFTGVRQEGISSASINSGFLTTMVEAGDVKAVFTGHDHLNDFCGQMTGIQLCYAGGFGYQAYGKAGWSRRARVVVASLEKTDEGGWGAVKLINTWKRLDDEHLTAIDSQVLWSKHLTPKL >KJB26175 pep chromosome:Graimondii2_0_v6:4:56330355:56335585:1 gene:B456_004G228600 transcript:KJB26175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAVPASFPGLKSKDPGLGFAKSTDFVRICDLRRTKTGRTRISVIRNQNPSQDTAQLQPASEGSPLLVPRQKYCESMHKTVRRKTRTVMVGNVALGSEHPIRIQTMTTTDTKDVAGTVEQVMRIADKGADIVRITVQGKKEADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFEQIEYTNEEYQKELEHIEEVFIPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDYHNFVFSMKASNPVVMVQAYRLLVAEMYVHGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRRMADLGMKAAELQQGVAPFEEKHRHYFDFQRRSGQLPTQKEGEEVDFRGVLHRDGSVLMSVSLDQLKAPELLYKSLAAKLVVGMPFKDLATVDSIILQELPPVDDADARLALKRLIDISMGVITPLSEQLTKPLPNAMALVNLKELSTGAYKLLPEGTRLVVSVRGDEPYEELEILKDIDATMLLHNIPLDEEKIGRVHAARRLFEYLSENSLDFPVIHHIQFPNGTHRDDLVINAGTNAGALLVDGLGDGILLEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRGIAMEHATDALIQLIKDHGRWVDPPAEE >KJB26176 pep chromosome:Graimondii2_0_v6:4:56330357:56335506:1 gene:B456_004G228600 transcript:KJB26176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAVPASFPGLKSKDPGLGFAKSTDFVRICDLRRTKTGRTRISVIRNQNPSQDTAQLQPASEGSPLLVPRQKYCESMHKTVRRKTRTVMVGNVALGSEHPIRIQTMTTTDTKDVAGTVEQVMRIADKGADIVRITVQGKKEADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFEQIEYTNEEYQKELEHIEEVFIPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDYHNFVFSMKASNPVVMVQAYRLLVAEMYVHGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRRMADLGMKAAELQQGAPFEEKHRHYFDFQRRSGQLPTQKEGEEVDFRGVLHRDGSVLMSVSLDQLKAPELLYKSLAAKLVVGMPFKDLATVDSIILQELPPVDDADARLALKRLIDISMGVITPLSEQLTKPLPNAMALVNLKELSTGAYKLLPEGTRLVVSVRGDEPYEELEILKDIDATMLLHNIPLDEEKIGRVHAARRLFEYLSENSLDFPVIHHIQFPNGTHRDDLVINAGTNAGALLVDGLGDGILLEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRGIAMEHATDALIQLIKDHGRWVDPPAEE >KJB23916 pep chromosome:Graimondii2_0_v6:4:29985428:29986335:1 gene:B456_004G120900 transcript:KJB23916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSVSAVFLLTLFLTSGNWVITNAAPIREKPDMCEKAFPDCCCLKDNCDIRCVDIYGKSAFGYCSTLKEEDDVCVCRYHC >KJB23527 pep chromosome:Graimondii2_0_v6:4:19467807:19469766:1 gene:B456_004G103000 transcript:KJB23527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KNPPSIVLPGFAAADEQLRLTTMVFKNIFPDIDINTVSSLRQLNYSIQSDLISFLLPSLTLFGHEMLQVKLSSCQRIVLLNYNKKVSSLHKDRSVGEAGTSALLDDSWFSSDSFLHCFCKDFFSLVQDASVVDGNLLSWTRKLKELLENSLGWEFQQKSAVDGIYFEENDEYAPVVEMLDEPSGSEPTS >KJB23526 pep chromosome:Graimondii2_0_v6:4:19467807:19469766:1 gene:B456_004G103000 transcript:KJB23526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KNPPSIVLPGFAAADEQLRLTTMVFKNIFPDIDINTVKLSSCQRIVLLNYNKKVSSLHKDRSVGEAGTSALLDDSWFSSDSFLHCFCKDFFSLVQDASVVDGNLLSWTRKLKELLENSLGWEFQQKSAVDGIYFEENDEYAPVVEMLDEPSGSEPTS >KJB24238 pep chromosome:Graimondii2_0_v6:4:36874307:36875592:-1 gene:B456_004G134400 transcript:KJB24238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTGDSSMGHYADWGSNKYKGSQNGYAVAYTESECYNQIRKPTHRMIKPQKAYGMAETWDEENAQSYATYKSQTGPPMFKTQVHGPSRNGFGSHSNTATAHMQSYGFSSPGKREGRVYGYGGPTAAARHQKSNGGMGHGFDNHGNYEKTEAYGYEESNGYGEYAMNGMARTQPHIRGKHTGHGNGFVKNQAYGPTKNMGFGYTEAEYNSHSKEEQYQYNGGTGGYHAGYDHSAKGLIRNNSGDNSCSDSESDNDDDDYGFKKKVWVSRAI >KJB22967 pep chromosome:Graimondii2_0_v6:4:8664582:8667293:-1 gene:B456_004G075900 transcript:KJB22967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESETASSTDEQQAAGSAADTRGKHRILAQLKRVEQESKFLEEEMEELEKTDNVSTLCKELLLSMETRPDPLLPLTNGPINPSWDVWFEGPQTSQGCRCQIL >KJB22965 pep chromosome:Graimondii2_0_v6:4:8664543:8667293:-1 gene:B456_004G075900 transcript:KJB22965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESETASSTDEQQAAGSAADTRGKHRILAQLKRVEQESKFLEEEMEELEKTDNVSTLCKELLLSMETRPDPLLPLTNGPINPSWDVWFEGPQTSQGCRCQIL >KJB22966 pep chromosome:Graimondii2_0_v6:4:8664792:8666620:-1 gene:B456_004G075900 transcript:KJB22966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESETASSTDEQQAAGSAADTRGKHRILAQLKRVEQESKFLEEEMEELEKTDNVSTLCKELLLSMETRPDPLLPLTNGPINPSWDVWFEGPQTSQGCRCQIL >KJB24992 pep chromosome:Graimondii2_0_v6:4:47211348:47216851:1 gene:B456_004G171500 transcript:KJB24992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITCRRICFCSLGFPRNLQSTNSKDGFLQEVVQVQGFLKDPWGVRVSREGTVQVPVPKVAPVPAGDGGGGGGADSLEESAQAKRFSLQRKAAAAMVAAEDYARRVESGDISVASKSSALDEQGQSNTNVMCRMCFLGENEGSERARRMLSCRSCGKKYHRSCLKSWAKHRDLFHWSSWTCPYCRTCEVCRSTGDPTRLMFCKRCDGAYHCYCQHPSHKNVSSGPYLCPKHTRCHSCGSNVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDVCQRWVHCHCDGISDERYLQFQVDGNLQYKCATCRGECYQVTDLEDAVRELWKRRDIADQDMIASLRAAAGLPTQEEIFSISPYSDDEENGPMMPKNEFGRSLKFSLKGLADKAPKKNKEYGKKSSSKKYPKKKAYQASFISKGESQLSFEGNQDVQSQGYSLGEDRNNEVASQINDGQDISSPVAGICSTNQPGVLKHKLVDEVMVSDEDRTSRVIKIKSNKPHDLGRGDDNGKHSNKSKTVKTKKLVINLGAQKINVTNSPMSDASSFQRDQDVTSYNGVQDANQHRIGDKFLDWHEGDGVDHSAKSRGVKITGREGNLIKFGKIRSEASELRSKFGVANSSDGYGIGPLEHTRIPSGKGSIDGNRLAAAPSGEVSTLRGGKVVSGKQLEDRADMYGESCDDYGHTPILNSLPKDPKPSLKFKLKKPVLDNQNSQAHYDEEKSSSIKGQRSKRKRPSPFMEKSLFNEDEDVNVTQSHQDSLMDGMMDASWILKKLGKDAVGKKVEIHQASDNSWHKGAVTDSIEGTSTLAVRLDDGRVKTLELGKQGVRFVLQKQKRSKI >KJB24993 pep chromosome:Graimondii2_0_v6:4:47211463:47216845:1 gene:B456_004G171500 transcript:KJB24993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITCRRICFCSLGFPRNLQSTNSKDGFLQEVVQVQGFLKDPWGVRVSREGTVQVPVPKVAPVPAGDGGGGGGADSLEESAQAKRFSLQRKAAAAMVAAEDYARRVESGDISVASKSSALDEQGQSNTNVMCRMCFLGENEGSERARRMLSCRSCGKKYHRSCLKSWAKHRDLFHWSSWTCPYCRTCEVCRSTGDPTRLMFCKRCDGAYHCYCQHPSHKNVSSGPYLCPKHTRCHSCGSNVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDVCQRWVHCHCDGISDERYLQFQVDGNLQYKCATCRGECYQVTDLEDAVRELWKRRDIADQDMIASLRAAAGLPTQEEIFSISPYSDDEENGPMMPKNEFGRSLKFSLKGLADKAPKKNKEYGKKSSSKKYPKKKAYQASFISKGESQLSFEGNQDVQSQGYSLGEDRNNEVASQINDGQDISSPVAGICSTNQPGVLKHKLVDEVMVSDEDRTSRVIKIKSNKPHDLGRGDDNGKHSNKSKTVKTKKLVINLGAQKINVTNSPMSDASSFQRDQDVTSYNGVQDANQHRIGDKFLDWHEGTAKSGDGDGVDHSAKSRGVKITGREGNLIKFGKIRSEASELRSKFGVANSSDGYGIGPLEHTRIPSGKGSIDGNRLAAAPSGEVSTLRGGKVVSGKQLEDRADMYGESCDDYGHTPILNSLPKDPKPSLKFKLKKPVLDNQNSQAHYDEEKSSSIKGQRSKRKRPSPFMEKSLFNEDEDVNVTQSHQDSLMDGMMDASWILKKLGKDAVGKKVEIHQASDNSWHKGAVTDSIEGTSTLAVRLDDGRVKTLELGKQGVRFVLQKQKRSKI >KJB22102 pep chromosome:Graimondii2_0_v6:4:2343826:2352263:-1 gene:B456_004G029600 transcript:KJB22102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYLASTNSVKEKKEETVESLPGSYRLQARKPVLMATNDYESVLKMLEEITTNARQIQEQLLGEILSKNAETEYLQGFLHGQTDKQLFKKNVPIVTYDHIKPYIDRIANGKASSDILLVEPLIGFSLSSGTSGGQPKLIPITAESANVTAALGNLHLSLMIKHFGDLSQVGKRMELLFAKPDVETPSGLKASAVTTRAFKESSFQAILPKLYTSPYETIFCPDTKQSTYCQLLFGLIQRDEVVVIGSIFAATVLRGIKFIENNWRELCSNIKTGKISDWITDSGCRKAASLILKPNPKLADLLEDTCSCKSWEGIVRKLWPKTKYIGTVCTGAMLQFSAELEFYCGGLPLVSGFYACSEGAIAINIEPLSKPSDVSYTILPNTVYYEFLPIKEDCVTDSQNQVQLNTSRHKDTETVDLVNVKPGQCYEILVTSPTGLYRYRVGDIIKVTGFHNNTPQFQFVGRQNVALGVDMERTSEADILKAVAEAKALLDPFGLILTEYTSYGDTSSTPGHYVIFWEIKPKEGNNNNDNGKELDPKTRKPVLMATNDYESGLKMMEELTTDAQQIQDQLFGEILSKNAETEYLQGFLHGQTDKQLFKKKVPIVTYEHLKPYIDRIANGEASSDILLVEPLTGFSLSSGTSGGLPKLVPTTAESAHKTATFNKLYRSVMIKHFGDINQAGKRMELMFAKPEVETPSGLKASAVSTRAFKGSSFKAILPKLYTSPFEIIFCPDTKQSMYCQILFGLIQRNEVVMIGSIFASTVVRNIKFLENNWKELCSNIKTGQISEWITDSGCRNAASLILKPNPELADLIEDVCSCKSWEGIIRKLWPKTKYIGTVCTGAMLQYTAELEFYCGGLPLVSGFYACSEGAIGINLEPLCKPSDVSYTFLPNMVYYEFLSIKEDCDTDSQNQVQLNAMSHHEDTGPVDLVNVKLGQCYEVLVTSSMGLYRYKVGDIIKVTGFHNNTPQFQFVGRQNVALGVDMERTSEADILKAVAEAKALLDPLGLILTEYTSYGDTSSTPGHYVIFWEIKPKEGNNNNNNGKELDPKVMEECCSKMEDSLHFTYRMYRKENMIAALEIRVVKQGTFESLLDYFVSKGASLSQYKTPICIKSKEALNILDSRVIAKFFSPRTPTQDN >KJB22100 pep chromosome:Graimondii2_0_v6:4:2343637:2348249:-1 gene:B456_004G029600 transcript:KJB22100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNDYESGLKMMEELTTDAQQIQDQLFGEILSKNAETEYLQGFLHGQTDKQLFKKKVPIVTYEHLKPYIDRIANGEASSDILLVEPLTGFSLSSGTSGGLPKLVPTTAESAHKTATFNKLYRSVMIKHFGDINQAGKRMELMFAKPEVETPSGLKASAVSTRAFKGSSFKAILPKLYTSPFEIIFCPDTKQSMYCQILFGLIQRNEVVMIGSIFASTVVRNIKFLENNWKELCSNIKTGQISEWITDSGCRNAASLILKPNPELADLIEDVCSCKSWEGIIRKLWPKTKYIGTVCTGAMLQYTAELEFYCGGLPLVSGFYACSEGAIGINLEPLCKPSDVSYTFLPNMVYYEFLSIKEDCDTDSQNQVQLNAMSHHEDTGPVDLVNVKLGQCYEVLVTSSMGLYRYKVGDIIKVTGFHNNTPQFQFVGRQNVALGVDMERTSEADILKAVAEAKALLDPLGLILTEYTSYGDTSSTPGHYVIFWEIKPKEGNNNNNNGKELDPKVMEECCSKMEDSLHFTYRMYRKENMIAALEIRVVKQGTFESLLDYFVSKGASLSQYKTPICIKSKEALNILDSRVIAKFFSPRTPTQDN >KJB22104 pep chromosome:Graimondii2_0_v6:4:2349095:2352263:-1 gene:B456_004G029600 transcript:KJB22104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYLASTNSVKEKKEETVESLPGSYRLQARKPVLMATNDYESVLKMLEEITTNARQIQEQLLGEILSKNAETEYLQGFLHGQTDKQLFKKNVPIVTYDHIKPYIDRIANGKASSDILLVEPLIGFSLSSGTSGGQPKLIPITAESANVTAALGNLHLSLMIKHFGDLSQVGKRMELLFAKPDVETPSGLKASAVTTRAFKESSFQAILPKLYTSPYETIFCPDTKQSTYCQLLFGLIQRDEVVVIGSIFAATVLRGIKFIENNWRELCSNIKTGKISDWITDSGCRKAASLILKPNPKLADLLEDTCSCKSWEGIVRKLWPKTKYIGTVCTGAMLQFSAELEFYCGGLPLVSGFYACSEGAIAINIEPLSKPSDVSYTILPNTVYYEFLPIKEDCVTDSQNQVQLNTSRHKDTETVDLVNVKPGQCYEILVTSPTGLYRYRVGDIIKVTGFHNNTPQFQFVGRQNVALGVDMERTSEADILKAVAEAKALLDPFGLILTEYTSYGDTSSTPGHYVIFWEIKPKEGNNNNDNGKELDPKVMEECCSKMEDSLHFTYRMYRKENIIAALEIRVVKQGAFELLLDYFVSNGASLSQYKTPICIKSKEALNILDSRVIAKFFSPRTPIQDN >KJB22101 pep chromosome:Graimondii2_0_v6:4:2343637:2352263:-1 gene:B456_004G029600 transcript:KJB22101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYLASTNSVKEKKEETVESLPGSYRLQARKPVLMATNDYESVLKMLEEITTNARQIQEQLLGEILSKNAETEYLQGFLHGQTDKQLFKKNVPIVTYDHIKPYIDRIANGKASSDILLVEPLIGFSLSSGTSGGQPKLIPITAESANVTAALGNLHLSLMIKHFGDLSQVGKRMELLFAKPDVETPSGLKASAVTTRAFKESSFQAILPKLYTSPYETIFCPDTKQSTYCQLLFGLIQRDEVVVIGSIFAATVLRGIKFIENNWRELCSNIKTGKISDWITDSGCRKAASLILKPNPKLADLLEDTCSCKSWEGIVRKLWPKTKYIGTVCTGAMLQFSAELEFYCGGLPLVSGFYACSEGAIAINIEPLSKPSDVSYTILPNTVYYEFLPIKEDCVTDSQNQVQLNTSRHKDTETVDLVNVKPGQCYEILVTSPTGLYRYRVGDIIKVTGFHNNTPQFQFVGRQNVALGVDMERTSEADILKAVAEAKALLDPFGLILTEYTSYGDTSSTPGHYVIFWEIKPKEGNNNNDNGKELDPKGFLHGQTDKQLFKKKVPIVTYEHLKPYIDRIANGEASSDILLVEPLTGFSLSSGTSGGLPKLVPTTAESAHKTATFNKLYRSVMIKHFGDINQAGKRMELMFAKPEVETPSGLKASAVSTRAFKGSSFKAILPKLYTSPFEIIFCPDTKQSMYCQILFGLIQRNEVVMIGSIFASTVVRNIKFLENNWKELCSNIKTGQISEWITDSGCRNAASLILKPNPELADLIEDVCSCKSWEGIIRKLWPKTKYIGTVCTGAMLQYTAELEFYCGGLPLVSGFYACSEGAIGINLEPLCKPSDVSYTFLPNMVYYEFLSIKEDCDTDSQNQVQLNAMSHHEDTGPVDLVNVKLGQCYEVLVTSSMGLYRYKVGDIIKVTGFHNNTPQFQFVGRQNVALGVDMERTSEADILKAVAEAKALLDPLGLILTEYTSYGDTSSTPGHYVIFWEIKPKEGNNNNNNGKELDPKVMEECCSKMEDSLHFTYRMYRKENMIAALEIRVVKQGTFESLLDYFVSKGASLSQYKTPICIKSKEALNILDSRVIAKFFSPRTPTQDN >KJB22103 pep chromosome:Graimondii2_0_v6:4:2343738:2348254:-1 gene:B456_004G029600 transcript:KJB22103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLSIYRDSSMAKPTSNSLKKNSGTSGGLPKLVPTTAESAHKTATFNKLYRSVMIKHFGDINQAGKRMELMFAKPEVETPSGLKASAVSTRAFKGSSFKAILPKLYTSPFEIIFCPDTKQSMYCQILFGLIQRNEVVMIGSIFASTVVRNIKFLENNWKELCSNIKTGQISEWITDSGCRNAASLILKPNPELADLIEDVCSCKSWEGIIRKLWPKTKYIGTVCTGAMLQYTAELEFYCGGLPLVSGFYACSEGAIGINLEPLCKPSDVSYTFLPNMVYYEFLSIKEDCDTDSQNQVQLNAMSHHEDTGPVDLVNVKLGQCYEVLVTSSMGLYRYKVGDIIKVTGFHNNTPQFQFVGRQNVALGVDMERTSEADILKAVAEAKALLDPLGLILTEYTSYGDTSSTPGHYVIFWEIKPKEGNNNNNNGKELDPKVMEECCSKMEDSLHFTYRMYRKENMIAALEIRVVKQGTFESLLDYFVSKGASLSQYKTPICIKSKEALNILDSRVIAKFFSPRTPTQDN >KJB24486 pep chromosome:Graimondii2_0_v6:4:41464303:41470397:1 gene:B456_004G147200 transcript:KJB24486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSENGFSDPTDLHEASTSKIQEEPDKVSGSNGDNLESKKVDDEKTNTVPFYKLFAFADSRDTLLMIVGTIGAVGNGICMPLMTILFGDLIDAFGENQNDDRVVDVVSRVALRFVYLAVGAGVAAFLQVTCWMVTGERQAARIRGLYLKTILRQDVAFFDVETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFVIAFVQGWLLTLVMLSSIPPIVISGGVMALIVSKMASRGQSAYAKAASVVEQTIGSIRTVASFTGEKQAISNYNKFLGAAYTSGVHEGFAAGLGLGVLFLVIFCSYSLAIWFGARMVLDRGYSGGDVINVIFAVLTGSMSLGQASPCVTAFAAGQAAAFKMFETIKRKPEIDSYDTRGKVLEDIRGDIELRDVYFTYPARPDEQIFSGFSLSIQNGTTVALVGQSGSGKSTVISLIERFYDPHAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFTSSIRDNIAYGKEGATTEEIRAAAELANASKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMGNRTTVIVAHRLSTVRNADMIAVIHRGKMVEKGSHSELLQDHEGAYSQLIRLQEVNKESEQATESSDIASESFRRSSLKKSLKRSISRGSSMGNSNRHSFSASFGLPTGMNAADLAMADAENPAELPSEKAPKVSVRRLAYLNKPEIPVILLGTIAAAANGVIFPIFGILISSVIDTFFKPPHELREDSRFWALIFLALGAAAFVVCPAQNYFFSIAGSKLIQRIRSMCFEKVVRMEVGWFDEPENSSGAIGARLSADAASIRALVGDALAQLVQNTSSAISGLVIAFVACWQLAFIVLVLLPLIAINGYIQVKFMKGFSADAKLMYEEASQVANDAVGSIRTVASFCAEEKVMQLYKKKCEGPMKTGIKQGLISGTGFGVSFFFLFSVYATSFYAGAQLVEHGYTTFRDVFQVFFALTMAAIGISQSSSFAPDSGKAKSAAASIFAIIDRESKIDPSDESGMKLENVKGDIELHHVSFKYPSRPDIQILRDLSLSIRSGKTVALVGESGSGKSTVISLLQRFYDPDSGRISLDGVDIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKGGNATEAEILAASELANAHKFISSLQQGYDTVVGERGVQMSGGQKQRIAIARAIVKSPQILLLDEATSALDAESERVVQAALDRVVVNRTTVVVAHRLSTIKNADVIAVVKNGVVVEKGKHDTLINIKDGFYASLVALHMSASTA >KJB22429 pep chromosome:Graimondii2_0_v6:4:4210060:4214241:1 gene:B456_004G047300 transcript:KJB22429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEEDNIHNATDNGGQYMVKNSAGNFGGYHTSETASKSAQTVKVQPIEVPAILADELKEITDNYGNDALVGEGSYGRVYYGVLKSGEAAAIKKLDASKQPDDEFLAQVSMVSRLKHENFVQLLGYCVDGNSRILAYEFASNGSLHDILHGVKGAQPGPVLTWAQRVKIAVGAAKGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDQRLRGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARPGPAGETPST >KJB22430 pep chromosome:Graimondii2_0_v6:4:4210060:4214241:1 gene:B456_004G047300 transcript:KJB22430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEEDNIHNATDNGGQYMVKNSAGNFGGYHTSETASKSAQTVKVQPIEVPAILADELKEITDNYGNDALVGEGSYGRVYYGVLKSGEAAAIKKLDASKQPDDEFLAQVSMVSRLKHENFVQLLGYCVDGNSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAAKGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDQRLRGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARPGPAGETPST >KJB22427 pep chromosome:Graimondii2_0_v6:4:4211690:4213436:1 gene:B456_004G047300 transcript:KJB22427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEEDNIHNATDNGGQYMVKNSAGNFGGYHTSETASKSAQTVKVQPIEVPAILADELKEITDNYGNDALVGEGSYGRVYYGVLKSGEAAAIKKLDASKQPDDEFLAQVSMVSRLKHENFVQLLGYCVDGNSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAAKGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWVLFCNLFPYIQPLFHC >KJB22426 pep chromosome:Graimondii2_0_v6:4:4209930:4214398:1 gene:B456_004G047300 transcript:KJB22426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEEDNIHNATDNGGQYMVKNSAGNFGGYHTSETASKSAQTVKVQPIEVPAILADELKEITDNYGNDALVGEGSYGRVYYGVLKSGEAAAIKKLDASKQPDDEFLAQVSMVSRLKHENFVQLLGYCVDGNSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAAKGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDQRLRGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARPGPAGETPST >KJB22428 pep chromosome:Graimondii2_0_v6:4:4210060:4213681:1 gene:B456_004G047300 transcript:KJB22428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEEDNIHNATDNGGQYMVKNSAGNFGGYHTSETASKSAQTVKVQPIEVPAILADELKEITDNYGNDALVGEGSYGRVYYGVLKSGEAAAIKKLDASKQPDDEFLAQVSMVSRLKHENFVQLLGYCVDGNSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAAKGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWVLFCNLFPYIQPLFHC >KJB23064 pep chromosome:Graimondii2_0_v6:4:9350438:9358890:-1 gene:B456_004G079000 transcript:KJB23064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFETSCLQFKPDESEVLPRQDIVENKGDDLKLMVLDLNEEPLVCSLKEVEFEKKEGLVEVNEDDKEDSVKKNAKEEGLKGEVQFSGRVLRSRSAVKNLSVIEGDKVDKTEDDSSSEMKTTEVVKEGNDLSHTEVNDVQRKVGKMGKRKRGRPPKLLDKGNDQSQCEVKDVQSKVGKMGKRKRGRPPKLLDKGNDQSHSEVKDVQSKVGKMGKRKRGRPPKFLVKNGSEKKTPELKVRENDYPDGKVRKELKRKRGRPPKVLGNGGSVKKGFTIKAVESDHVDGDVSRQSKRKRGRPRKVPENSGFEKKGINVKADQSNQLDGGRVKKSNHKRRRGRPMKGQKNEGVNKETDEIKARESYSVETRKEANHKHDVPPKMNVNDGFEMKPVDVEMGEGNHFDCELREEVNRKRGRPPKMKGSDRSDQCNSKVKEGMIRKRGRPPKLQAGSKGLKSRLIDGRKKLGGLRRGRKKLRGRLKFNIPSTSLSEKKLIAKDSNLKRFLSANKDIFDDMEKNDGKASLMVRPKALNAEGSENQVKKARAEGEWRRSEAKQALRDRIVNLLKAAGWKIDYKPRNNKEYNDAVYVNPEGKTHWSVTLAYRVLKSYYENGGCDSKVGPNDFIFTPIPEEELSILKRVVLKKRVRKKMPKGEDDDKVDDGQVQNKMNKQKRKWKDIKKKKKKKQKVLKEKLPRHEEENSDGTLQRGTQVSSRKRKLQQTQKRKRYALLVRNSMDGAESDNNGYVLYDGKRTLLSWMIDLGTVPQNGKVEYLVQRRTRTRESKAGRITRDGIQCNCCSDVFTIADFETHAGGKICQPFLNICLETGVPLLQCLLDAWNKRQQSECRGFHFVDFGGEDPNDDTCGICGDGGDLICCDSCPSTFHQSCLDIEAFPSGNWNCVYCTCKYCGMVGNTYQRAKTEDMSSTVLTCHSCEEKYHEPCIQPMDAFDDDSSSAFFCGKRCKELFERLQMLVGVKHELQEGFSWTLVQRFDITSDVCLNEAYQKVESNSKLAVALSVMDECFLPLVDHRSGINLIHNIVYNFWSNFTRLNYSGFYTAILERGDEVISAASIRIHGNQLAEMPFIGTRYAYRRQGMCRRLLCAIESALRSLNVQKLVIPAVPELRETWTSVFGFQPLETASKPKMRSMNILVFPGVDMLEKPLLTHVMEEQIMGKASYKSVERCPAVFDLNVSAEDPEPEIDDRTDEPAAIESTTLPDGTLKYTSDIMAETVNLPESAAVSSSCIPAPEESNLEFDSQNIYSEEKADDSIVKQNLDSEHAGSVKHSDHIVHADNEVAVPVQASKDAGKDVLTNGFDGTVQMSEDVNDIKHHGNSKLEMVECVSDFVKTVVQSEEAKIFYFVKSIFQSEEEKNCHAIGKDATNQTSPSTSQGAQHAPNGHYDVAFNDSKSGPSRQGVKMEASGEVSSTIDVNFITYEVCSDTSKRENVQQCMCKPAEVVSAGSEVCHD >KJB23060 pep chromosome:Graimondii2_0_v6:4:9350438:9358680:-1 gene:B456_004G079000 transcript:KJB23060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKRGRPPKFLVKNGSEKKTPELKVRENDYPDGKVRKELKRKRGRPPKVLGNGGSVKKGFTIKAVESDHVDGDVSRQSKRKRGRPRKVPENSGFEKKGINVKADQSNQLDGGRVKKSNHKRRRGRPMKGQKNEGVNKETDEIKARESYSVETRKEANHKHDVPPKMNVNDGFEMKPVDVEMGEGNHFDCELREEVNRKRGRPPKMKGSDRSDQCNSKVKEGMIRKRGRPPKLQAGSKGLKSRLIDGRKKLGGLRRGRKKLRGRLKFNIPSTSLSEKKLIAKDSNLKRFLSANKDIFDDMEKNDGKASLMVRPKALNAEGSENQVKKARAEGEWRRSEAKQALRDRIVNLLKAAGWKIDYKPRNNKEYNDAVYVNPEGKTHWSVTLAYRVLKSYYENGGCDSKVGPNDFIFTPIPEEELSILKRVVLKKRVRKKMPKGEDDDKVDDGQVQNKMNKQKRKWKDIKKKKKKKQKVLKEKLPRHEEENSDGTLQRGTQVSSRKRKLQQTQKRKRYALLVRNSMDGAESDNNGYVLYDGKRTLLSWMIDLGTVPQNGKVEYLVQRRTRTRESKAGRITRDGIQCNCCSDVFTIADFETHAGGKICQPFLNICLETGVPLLQCLLDAWNKRQQSECRGFHFVDFGGEDPNDDTCGICGDGGDLICCDSCPSTFHQSCLDIEAFPSGNWNCVYCTCKYCGMVGNTYQRAKTEDMSSTVLTCHSCEEKYHEPCIQPMDAFDDDSSSAFFCGKRCKELFERLQMLVGVKHELQEGFSWTLVQRFDITSDVCLNEAYQKVESNSKLAVALSVMDECFLPLVDHRSGINLIHNIVYNFWSNFTRLNYSGFYTAILERGDEVISAASIRIHGNQLAEMPFIGTRYAYRRQGMCRRLLCAIESALRSLNVQKLVIPAVPELRETWTSVFGFQPLETASKPKMRSMNILVFPGVDMLEKPLLTHVMEEQIMGKASYKSVERCPAVFDLNVSAEDPEPEIDDRTDEPAAIESTTLPDGTLKYTSDIMAETVNLPESAAVSSSCIPAPEESNLEFDSQNIYSEEKADDSIVKQNLDSEHAGSVKHSDHIVHADNEVAVPVQASKDAGKDVLTNGFDGTVQMSEDVNDIKHHGNSKLEMVECVSDFVKTVVQSEEAKIFYFVKSIFQSEEEKNCHAIGKDATNQTSPSTSQGAQHAPNGHYDVAFNDSKSGPSRQGVKMEASGEVSSTIDVNFITYEVCSDTSKRENVQQCMCKPAEVVSAGSEVCHD >KJB23061 pep chromosome:Graimondii2_0_v6:4:9350438:9358680:-1 gene:B456_004G079000 transcript:KJB23061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCRERWVRWESVSRGRPPKLLDKGNDQSHSEVKDVQSKVGKMGKRKRGRPPKFLVKNGSEKKTPELKVRENDYPDGKVRKELKRKRGRPPKVLGNGGSVKKGFTIKAVESDHVDGDVSRQSKRKRGRPRKVPENSGFEKKGINVKADQSNQLDGGRVKKSNHKRRRGRPMKGQKNEGVNKETDEIKARESYSVETRKEANHKHDVPPKMNVNDGFEMKPVDVEMGEGNHFDCELREEVNRKRGRPPKMKGSDRSDQCNSKVKEGMIRKRGRPPKLQAGSKGLKSRLIDGRKKLGGLRRGRKKLRGRLKFNIPSTSLSEKKLIAKDSNLKRFLSANKDIFDDMEKNDGKASLMVRPKALNAEGSENQVKKARAEGEWRRSEAKQALRDRIVNLLKAAGWKIDYKPRNNKEYNDAVYVNPEGKTHWSVTLAYRVLKSYYENGGCDSKVGPNDFIFTPIPEEELSILKRVVLKKRVRKKMPKGEDDDKVDDGQVQNKMNKQKRKWKDIKKKKKKKQKVLKEKLPRHEEENSDGTLQRGTQVSSRKRKLQQTQKRKRYALLVRNSMDGAESDNNGYVLYDGKRTLLSWMIDLGTVPQNGKVEYLVQRRTRTRESKAGRITRDGIQCNCCSDVFTIADFETHAGGKICQPFLNICLETGVPLLQCLLDAWNKRQQSECRGFHFVDFGGEDPNDDTCGICGDGGDLICCDSCPSTFHQSCLDIEAFPSGNWNCVYCTCKYCGMVGNTYQRAKTEDMSSTVLTCHSCEEKYHEPCIQPMDAFDDDSSSAFFCGKRCKELFERLQMLVGVKHELQEGFSWTLVQRFDITSDVCLNEAYQKVESNSKLAVALSVMDECFLPLVDHRSGINLIHNIVYNFWSNFTRLNYSGFYTAILERGDEVISAASIRIHGNQLAEMPFIGTRYAYRRQGMCRRLLCAIESALRSLNVQKLVIPAVPELRETWTSVFGFQPLETASKPKMRSMNILVFPGVDMLEKPLLTHVMEEQIMGKASYKSVERCPAVFDLNVSAEDPEPEIDDRTDEPAAIESTTLPDGTLKYTSDIMAETVNLPESAAVSSSCIPAPEESNLEFDSQNIYSEEKADDSIVKQNLDSEHAGSVKHSDHIVHADNEVAVPVQASKDAGKDVLTNGFDGTVQMSEDVNDIKHHGNSKLEMVECVSDFVKTVVQSEEAKIFYFVKSIFQSEEEKNCHAIGKDATNQTSPSTSQGAQHAPNGHYDVAFNDSKSGPSRQGVKMEASGEVSSTIDVNFITYEVCSDTSKRENVQQCMCKPAEVVSAGSEVCHD >KJB23063 pep chromosome:Graimondii2_0_v6:4:9350438:9358739:-1 gene:B456_004G079000 transcript:KJB23063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFETSCLQFKPDESEVLPRQDIVENKGDDLKLMVLDLNEEPLVCSLKEVEFEKKEGLVEVNEDDKEDSVKKNAKEEGLKGEVQFSGRVLRSRSAVKNLSVIEGDKVDKTEDDSSSEMKTTEVVKEGNDLSHTEVNDVQRKVGKMGKRKRGRPPKLLDKGNDQSQCEVKDVQSKVGKMGKRKRGRPPKLLDKGNDQSHSEVKDVQSKVGKMGKRKRGRPPKFLVKNGSEKKTPELKVRENDYPDGKVRKELKRKRGRPPKVLGNGGSVKKGFTIKAVESDHVDGDVSRQSKRKRGRPRKVPENSGFEKKGINVKADQSNQLDGGRVKKSNHKRRRGRPMKGQKNEGVNKETDEIKARESYSVETRKEANHKHDVPPKMNVNDGFEMKPVDVEMGEGNHFDCELREEVNRKRGRPPKMKGSDRSDQCNSKVKEGMIRKRGRPPKLQAGSKGLKSRLIDGRKKLGGLRRGRKKLRGRLKFNIPSTSLSEKKLIAKDSNLKRFLSANKDIFDDMEKNDGKASLMVRPKALNAEGSENQVKKARAEGEWRRSEAKQALRDRIVNLLKAAGWKIDYKPRNNKEYNDAVYVNPEGKTHWSVTLAYRVLKSYYENGGCDSKVGPNDFIFTPIPEEELSILKRVVLKKRVRKKMPKGEDDDKVDDGQVQNKMNKQKRKWKDIKKKKKKKQKVLKEKLPRHEEENSDGTLQRGTQVSSRKRKLQQTQKRKRYALLVRNSMDGAESDNNGYVLYDGKRTLLSWMIDLGTVPQNGKVEYLVQRRTRTRESKAGRITRDGIQCNCCSDVFTIADFETHAGGKICQPFLNICLETGVPLLQCLLDAWNKRQQSECRGFHFVDFGGEDPNDDTCGICGDGGDLICCDSCPSTFHQSCLDIEAFPSGNWNCVYCTCKYCGMVGNTYQRAKTEDMSSTVLTCHSCEEKYHEPCIQPMDAFDDDSSSAFFCGKRCKELFERLQMLVGVKHELQEGFSWTLVQRFDITSDVCLNEAYQKVESNSKLAVALSVMDECFLPLVDHRSGINLIHNIVYNFWSNFTRLNYSGFYTAILERGDEVISAASIRIHGNQLAEMPFIGTRYAYRRQGMCRRLLCAIESALRSLNVQKLVIPAVPELRETWTSVFGFQPLETASKPKMRSMNILVFPGVDMLEKPLLTHVMEEQIMGKASYKSVERCPAVFDLNVSAEDPEPEIDDRTDEPAAIESTTLPDGTLKYTSDIMAETVNLPESAAVSSSCIPAPEESNLEFDSQNIYSEEKADDSIVKQNLDSEHAGSVKHSDHIVHADNEVAVPVQASKDAGKDVLTNGFDGTVQMSEDVNDIKHHGNSKLEMVECVSDFVKTVVQSEEAKIFYFVKSIFQSEEEKNCHAIGKDATNQTSPSTSQGAQHAPNGHYDVAFNDSKSGPSRQGVKMEASGEVSSTIDVNFITYEVCSDTSKRENVQQCMCKPAEVVSAGSEVCHD >KJB23062 pep chromosome:Graimondii2_0_v6:4:9350438:9358680:-1 gene:B456_004G079000 transcript:KJB23062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFETSCLQFKPDESEVLPRQDIVENKGDDLKLMVLDLNEEPLVCSLKEVEFEKKEGLVEVNEDDKEDSVKKNAKEEGLKGEVQFSGRVLRSRSAVKNLSVIEGDKVDKTEDDSSSEMKTTEVVKEGNDLSHTEVNDVQRKVGKMGKRKRGRPPKLLDKGNDQSHSEVKDVQSKVGKMGKRKRGRPPKFLVKNGSEKKTPELKVRENDYPDGKVRKELKRKRGRPPKVLGNGGSVKKGFTIKAVESDHVDGDVSRQSKRKRGRPRKVPENSGFEKKGINVKADQSNQLDGGRVKKSNHKRRRGRPMKGQKNEGVNKETDEIKARESYSVETRKEANHKHDVPPKMNVNDGFEMKPVDVEMGEGNHFDCELREEVNRKRGRPPKMKGSDRSDQCNSKVKEGMIRKRGRPPKLQAGSKGLKSRLIDGRKKLGGLRRGRKKLRGRLKFNIPSTSLSEKKLIAKDSNLKRFLSANKDIFDDMEKNDGKASLMVRPKALNAEGSENQVKKARAEGEWRRSEAKQALRDRIVNLLKAAGWKIDYKPRNNKEYNDAVYVNPEGKTHWSVTLAYRVLKSYYENGGCDSKVGPNDFIFTPIPEEELSILKRVVLKKRVRKKMPKGEDDDKVDDGQVQNKMNKQKRKWKDIKKKKKKKQKVLKEKLPRHEEENSDGTLQRGTQVSSRKRKLQQTQKRKRYALLVRNSMDGAESDNNGYVLYDGKRTLLSWMIDLGTVPQNGKVEYLVQRRTRTRESKAGRITRDGIQCNCCSDVFTIADFETHAGGKICQPFLNICLETGVPLLQCLLDAWNKRQQSECRGFHFVDFGGEDPNDDTCGICGDGGDLICCDSCPSTFHQSCLDIEAFPSGNWNCVYCTCKYCGMVGNTYQRAKTEDMSSTVLTCHSCEEKYHEPCIQPMDAFDDDSSSAFFCGKRCKELFERLQMLVGVKHELQEGFSWTLVQRFDITSDVCLNEAYQKVESNSKLAVALSVMDECFLPLVDHRSGINLIHNIVYNFWSNFTRLNYSGFYTAILERGDEVISAASIRIHGNQLAEMPFIGTRYAYRRQGMCRRLLCAIESALRSLNVQKLVIPAVPELRETWTSVFGFQPLETASKPKMRSMNILVFPGVDMLEKPLLTHVMEEQIMGKASYKSVERCPAVFDLNVSAEDPEPEIDDRTDEPAAIESTTLPDGTLKYTSDIMAETVNLPESAAVSSSCIPAPEESNLEFDSQNIYSEEKADDSIVKQNLDSEHAGSVKHSDHIVHADNEVAVPVQASKDAGKDVLTNGFDGTVQMSEDVNDIKHHGNSKLEMVECVSDFVKTVVQSEEAKIFYFVKSIFQSEEEKNCHAIGKDATNQTSPSTSQGAQHAPNGHYDVAFNDSKSGPSRQGVKMEASGEVSSTIDVNFITYEVCSDTSKRENVQQCMCKPAEVVSAGSEVCHD >KJB26580 pep chromosome:Graimondii2_0_v6:4:58599554:58603030:1 gene:B456_004G248600 transcript:KJB26580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKFSECAENQARLQRNLMYLAAIADSQPQPPAIHAQAGGHYMQQQQQTQQMTPQSLMAARSSMLYGQQPFSAHQQQALHGPLGMSSGGSTGLHMLQSEASTTGGNGALGAGVFPGFRHGSSGEGKQDIGSAGSAEARGVLGCD >KJB26579 pep chromosome:Graimondii2_0_v6:4:58599686:58603001:1 gene:B456_004G248600 transcript:KJB26579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKFSECAENQARLQRNLMYLAAIADSQPQPPAIHAQAGGHYMQQQQQTQQMTPQSLMAARSSMLYGQQPFSAHQQQALHGPLGMSSGGSTGLHMLQSEASTTGGNGALGAGVFPGFRHGSSGEGKQDIGSAGSAEARGVLGCD >KJB26581 pep chromosome:Graimondii2_0_v6:4:58599745:58602996:1 gene:B456_004G248600 transcript:KJB26581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKFSECAENQARLQRNLMYLAAIADSQPQPPAIHAQFPSSGIMQAGGHYMQQQQQTQQMTPQSLMAARSSMLYGQQPFSAHQQQALHGPLGMSSGGSTGLHMLQSEASTTGGNGALGAGVFPGFRHGSSGEGKQDIGSAGSAEARGVLGCD >KJB22834 pep chromosome:Graimondii2_0_v6:4:7185851:7189077:1 gene:B456_004G068600 transcript:KJB22834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPKKFSPGTSAIVFLLFAGFLYICVWSPSNPLLPFVQPSGSPKDFTPVEFAVKDELDLALEEASMRNKTVIIAVVNRAYVEQSVNAETTMLDLFLESFWLGEDTRPLLDHLLLVAVDQTAYDRCMFKRLHCYRLVTEGVDFGEEKVFMSRDFIKMMWRRTFFLTEVLRRGYSFIFTDTDVVWLRNPLTRLSLNETDDLQISVDKYFGSRRPEHNLINTGFYYVRSNNKTISLFDKWYSLKDNSTRKKEQDVLLDLLRHGVVTELDLRVRFLETRHFSGFCEDSKDVGAVTTVHANCCRHINAKVRDLTAVLRDWKRFKAALTKYPKAARNITRSFGWSRHDGCLNSWKPQTLT >KJB22852 pep chromosome:Graimondii2_0_v6:4:7346594:7350142:1 gene:B456_004G069700 transcript:KJB22852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRGGSFVAVRRISQGLERGNTCHSTSAEVVAGSTAWLGRGLSCVCAQSRESDARPSFDLTPSQEECLQRLQNRIDIAYDSSIPEHQEALRALWNAAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFATNFPKSFKDLLWKLEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTMVGAVFLKFLSENESAFDLLYCITFKLMDHQWLAMRASYMDFNVCILQLEGSFLDIRQ >KJB22854 pep chromosome:Graimondii2_0_v6:4:7345629:7350509:1 gene:B456_004G069700 transcript:KJB22854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRGGSFVAVRRISQGLERGNTCHSTSGSTAWLGRGLSCVCAQSRESDARPSFDLTPSQEECLQRLQNRIDIAYDSSIPEHQEALRALWNAAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFATNFPKSFKDLLWKLEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTMVGAVFLKFLSENESAFDLLYCITFKLMDHQWLAMRASYMDFNTVMKATRRQLERELLLEDITRLEDLPSYSLLTR >KJB22848 pep chromosome:Graimondii2_0_v6:4:7345629:7350509:1 gene:B456_004G069700 transcript:KJB22848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRGGSFVAVRRISQGLERGNTCHSTSAEVVAGSTAWLGRGLSCVCAQSRESDARPSFDLTPSQEECLQRLQNRIDIAYDSSIPEHQEALRALWNAAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFATNFPKSFKDLLWKLEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTMVGAVFLKFLSENESAFDLLYCITFKLMDHQWLAMRASYMDFNTVMKATRRQLERELLLEDITRLEDLPSYSLLTR >KJB22853 pep chromosome:Graimondii2_0_v6:4:7346594:7349901:1 gene:B456_004G069700 transcript:KJB22853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRGGSFVAVRRISQGLERGNTCHSTSAEVVAGSTAWLGRGLSCVCAQSRESDARPSFDLTPSQEECLQRLQNRIDIAYDSSIPEHQEALRALWNAAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFATNFPKSFKDLLWKLEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTMVGAVFLKFLSVHFCP >KJB22849 pep chromosome:Graimondii2_0_v6:4:7345392:7350509:1 gene:B456_004G069700 transcript:KJB22849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRGGSFVAVRRISQGLERGNTCHSTSAEVVAGSTAWLGRGLSCVCAQSRESDARPSFDLTPSQEECLQRLQNRIDIAYDSSIPEHQEALRALWNAAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFATNFPKSFKDLLWKLEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVSFNSTVKPRTMVGAVFLKFLSENESAFDLLYCITFKLMDHQWLAMRASYMDFNTVMKATRRQLERELLLEDITRLEDLPSYSLLTR >KJB22850 pep chromosome:Graimondii2_0_v6:4:7345629:7348993:1 gene:B456_004G069700 transcript:KJB22850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRGGSFVAVRRISQGLERGNTCHSTSAEVVAGSTAWLGRGLSCVCAQSRESDARPSFDLTPSQEECLQRLQNRIDIAYDSSIPEHQEALRALWNAAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFATNFPVCAFSYLVLPVP >KJB22855 pep chromosome:Graimondii2_0_v6:4:7345315:7350592:1 gene:B456_004G069700 transcript:KJB22855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRGGSFVAVRRISQGLERGNTCHSTSAEVVAGSTAWLGRGLSCVCAQSRESDARPSFDLTPSQEECLQRLQNRIDIAYDSSIPEHQEALRALWNAAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFATNFPKSFKDLLWKLEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTMVGAVFLKFLSENESAFDLLYCITFKLMDHQWLAMRASYMDFNTVMKATRRQLERELLLEDITRLEDLPSYSLLTR >KJB22851 pep chromosome:Graimondii2_0_v6:4:7345629:7349812:1 gene:B456_004G069700 transcript:KJB22851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRGGSFVAVRRISQGLERGNTCHSTSAEVVAGSTAWLGRGLSCVCAQSRESDARPSFDLTPSQEECLQRLQNRIDIAYDSSIPEHQEALRALWNAAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFATNFPKSFKDLLWKLEGDRSVWEYPFAVAGVNITFMLIQMLDLEAGMYP >KJB23395 pep chromosome:Graimondii2_0_v6:4:14971586:14974159:1 gene:B456_004G095800 transcript:KJB23395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVQEYPPPAAPSAYPPLATRVSAIEPEYCYPQPVDLAVVRKVLTITEGNFAVTDINGNIMFKIKGKFFSIHDRRLLTDAAGNPVCTLRPKIMTVHDRWQVFRGESTEEKDLIFTVKQSSMIQLKTKLHVFLATNPKEDVCDFRVEGSWLERSCIIYSGESNTILAQMHKKHNVESVLLGKDKFMVTVYPNVDYAFVVALIAILDGINHDDDE >KJB21793 pep chromosome:Graimondii2_0_v6:4:998627:1004333:-1 gene:B456_004G014000 transcript:KJB21793 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGS1 [Source:Projected from Arabidopsis thaliana (AT5G12290) UniProtKB/TrEMBL;Acc:A0A178UP20] MEVTPEGNESSRDAKTLISFYSNYLSNRFTSLFPSFPSNFLEKISNLYRQTLLPISTKRRAGLPLPLPSNSVNLTRTASEASRIYEVLNDIMDRFILNLHKIQENLQFWQSIAEGSNARKVYFMIFERGPRAFVNGSVQLMREAVTDGSAMQNLSHSSSVYISERIAVLSSLRCSLAAFLAQFYVEIDKCGKKLAEDPEKSFSSLMHTLNGLFSKLDASIGHLHALRQNDSSIEGTYSFPLLFETLPEISQEESQWTNSEIKEAINLVCTNLQALDSYLALMVAKHQKPSNVTRYWIRYTCGAVGLSVCSFWLLRHSRLMGSSDIDIWIREAKESTVSFFNDHVEQPLLAIRDELLDTFKKRQKGVMDMEEVKLTSDSLHRMLLAFSEQTKGEAFPENASDQEMLEIVMLRYEKELVHPIQNLLHGELARALLIQVQKLKLDIEMAMLELDQILRANEINFAILAALPAFFLSLGLIVAVRAWFRQDTKAEGRGRIARIQRRLLIVEIEKTIMHYQAYFDQGLENDAQCMFGLLIYCLDRLYHAVRRHAKATGEWQCLKQDIIDLGRPGLQTSYKLSVTARMERVYDCLLPSLKRQ >KJB21796 pep chromosome:Graimondii2_0_v6:4:998281:1004444:-1 gene:B456_004G014000 transcript:KJB21796 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGS1 [Source:Projected from Arabidopsis thaliana (AT5G12290) UniProtKB/TrEMBL;Acc:A0A178UP20] MEVTPEGNESSRDAKTLISFYSNYLSNRFTSLFPSFPSNFLEKISNLYRQTLLPISTKRRAGLPLPLPSNSVNLTRTASEASRIYEVLNDIMDRFILNLHKIQENLQFWQSIAEGSNARKVYFMIFERGPRAFVNGSVQLMREAVTDGSAMQNLSHSSSVYISERIAVLSSLRCSLAAFLAQFYVEIDKCGKKLAEDPEKSFSSLMHTLNGLFSKLDASIGHLHALRQNDSSIEGTYSFPLLFETLPEISQEESQWTNSEIKEAINLVCTNLQALDSYLALMVAKHQKPSNVTRYWIRYTCGAVGLSVCSFWLLRHSRLMGSSDIDIWIREAKESTVSFFNDHVEQPLLAIRDELLDTFKKRQKGVMDMEEVKLTSDSLHRMLLAFSEQTKGEAFPENASDQEMLEIVMLRYEKELVHPIQNLLHGELARALLIQVQKLKLDIEMILKLKAEEELLVSRGGYLLWRLRKQLCTTRLTLTKGWKMMHNACSGC >KJB21794 pep chromosome:Graimondii2_0_v6:4:998164:1004525:-1 gene:B456_004G014000 transcript:KJB21794 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGS1 [Source:Projected from Arabidopsis thaliana (AT5G12290) UniProtKB/TrEMBL;Acc:A0A178UP20] MEVTPEGNESSRDAKTLISFYSNYLSNRFTSLFPSFPSNFLEKISNLYRQTLLPISTKRRAGLPLPLPSNSVNLTRTASEASRIYEVLNDIMDRFILNLHKIQENLQFWQSIAEGSNARKVYFMIFERGPRAFVNGSVQLMREAVTDGSAMQNLSHSSSVYISERIAVLSSLRCSLAAFLAQFYVEIDKCGKKLAEDPEKSFSSLMHTLNGLFSKLDASIGHLHALRQNDSSIEGTYSFPLLFETLPEISQEESQWTNSEIKEAINLVCTNLQALDSYLALMVAKHQKPSNVTRYWIRYTCGAVGLSVCSFWLLRHSRLMGSSDIDIWIREAKESTVSFFNDHVEQPLLAIRDELLDTFKKRQKGVMDMEEVKLTSDSLHRMLLAFSEQTKGEAFPENASDQEMLEIVMLRYEKELVHPIQNLLHGELARALLIQVQKLKLDIEMILKLKAEEELLVSRGGYLLWRLRKQLCTTRLTLTKGWKMMHNACSGC >KJB21792 pep chromosome:Graimondii2_0_v6:4:998140:1004522:-1 gene:B456_004G014000 transcript:KJB21792 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGS1 [Source:Projected from Arabidopsis thaliana (AT5G12290) UniProtKB/TrEMBL;Acc:A0A178UP20] MEVTPEGNESSRDAKTLISFYSNYLSNRFTSLFPSFPSNFLEKISNLYRQTLLPISTKRRAGLPLPLPSNSVNLTRTASEASRIYEVLNDIMDRFILNLHKIQENLQFWQSIAEGSNARKVYFMIFERGPRAFVNGSVQLMREAVTDGSAMQNLSHSSSVYISERIAVLSSLRCSLAAFLAQFYVEIDKCGKKLAEDPEKSFSSLMHTLNGLFSKLDASIGHLHALRQNDSSIEGTYSFPLLFETLPEISQEESQWTNSEIKEAINLVCTNLQALDSYLALMVAKHQKPSNVTRYWIRYTCGAVGLSVCSFWLLRHSRLMGSSDIDIWIREAKESTVSFFNDHVEQPLLAIRDELLDTFKKRQKGVMDMEEVKLTSDSLHRMLLAFSEQTKGEAFPENASDQEMLEIVMLRYEKELVHPIQNLLHGELARALLIQVQKLKLDIEMAMLELDQILRANEINFAILAALPAFFLSLGLIVAVRAWFRQDTKAEGRGRIARIQRRLLIVEIEKTIMHYQAYFDQGLENDAQCMFGLLIYCLDRLYHAVRRHAKATGEWQCLKQDIIDLGRPGLQTSYKLSVTARMERVYDCLLPSLKRQ >KJB21795 pep chromosome:Graimondii2_0_v6:4:998281:1004444:-1 gene:B456_004G014000 transcript:KJB21795 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGS1 [Source:Projected from Arabidopsis thaliana (AT5G12290) UniProtKB/TrEMBL;Acc:A0A178UP20] MEVTPEGNESSRDAKTLISFYSNYLSNRFTSLFPSFPSNFLEKISNLYRQTLLPISTKRRAGLPLPLPSNSVNLTRTASEASRIYEVLNDIMDRFILNLHKIQENLQFWQSIAEGSNARKVYFMIFERGPRAFVNGSVQLMREAVTDGSAMQNLSHSSSVYISERIAVLSSLRCSLAAFLAQFYVEIDKCGKKLAEDPEKSFSSLMHTLNGLFSKLDASIGHLHALRQNDSSIEGTYSFPLLFETLPEISQEESQWTNSEIKEAINLVAKHQKPSNVTRYWIRYTCGAVGLSVCSFWLLRHSRLMGSSDIDIWIREAKESTVSFFNDHVEQPLLAIRDELLDTFKKRQKGVMDMEEVKLTSDSLHRMLLAFSEQTKGEAFPENASDQEMLEIVMLRYEKELVHPIQNLLHGELARALLIQVQKLKLDIEMAMLELDQILRANEINFAILAALPAFFLSLGLIVAVRAWFRQDTKAEGRGRIARIQRRLLIVEIEKTIMHYQAYFDQGLENDAQCMFGLLIYCLDRLYHAVRRHAKATGEWQCLKQDIIDLGRPGLQTSYKLSVTARMERVYDCLLPSLKRQ >KJB24773 pep chromosome:Graimondii2_0_v6:4:44914474:44914950:-1 gene:B456_004G159500 transcript:KJB24773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETSDGVTEIHRDINNLIADLEIPLIDWDDKWLDVYLDISVKLLDISVAFTSEITRLNQSHLLLQCVLHKLESHSPERFMQDCSSLDSWRQHIGTKNPRLETFHPILHNLVESLNLPKVTNSAKGKLLVRAMYGARWLLYISVASLLQLYLVLPQIC >KJB24772 pep chromosome:Graimondii2_0_v6:4:44914474:44914950:-1 gene:B456_004G159500 transcript:KJB24772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETSDGVTEIHRDINNLIADLEIPLIDWDDKWLDVYLDISVKLLDISVAFTSEITRLNQSHLLLQCVLHKLESHSPERFMQDCSSLDSWRQHIGTKNPRLETFHPILHNLVESLNLPKVTNSAKGKLLVRAMYGARWLLYISVASLLQLYLVLPQIC >KJB24774 pep chromosome:Graimondii2_0_v6:4:44913724:44915878:-1 gene:B456_004G159500 transcript:KJB24774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETSDGVTEIHRDINNLIADLEIPLIDWDDKWLDVYLDISVKLLDISVAFTSEITRLNQSHLLLQCVLHKLESHSPERFMQDCSSLDSWRQHIGTKNPRLETFHPILHNLVESLNLPKVTNSAKGKLLYTTMGIGIFRCANYSEFRDGFGSNEGWFQNSVSDLRRTAEELSQALDNLSKAVDGYFKIVSTGGYALLCNLRTSVGVPNSMLGRKVEEQAVR >KJB26468 pep chromosome:Graimondii2_0_v6:4:58276369:58278672:-1 gene:B456_004G245700 transcript:KJB26468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKQALMADAWIREAEEALKLVEDIENRVNNKNPSLQHHGNCVIDIAARSKLLDVGIKIDRLESLLRNPPSKPILTNEDIDYRWKMLSDMQLRTKALALRLYALPTSSRPGNSTHGNHNDMNKIITDYIQDRTKSFSSEEDRELFRPLIANDVSMTSQVQTKQGSTSTPLSFLQKICWFFGAILGLAALTFILILICAVI >KJB22875 pep chromosome:Graimondii2_0_v6:4:7654437:7655801:-1 gene:B456_004G070900 transcript:KJB22875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFADLGHFSYAAIQKPADLEGDCPFIKADAPCPYGLACRFVGTHKDNTPAATSNLLKKSFEVNGLNKDVQKLLWKNKMRFTKADAVVKSLGLAKLVDEEDEVGLDGSHAADVTNCKKVVDDSVDCSLCPSTFRAAVNAEEACETDELRPLKKAKLVVDEKCFDEGEDIIPQRLNWRPSSYYGQDDLETLMAFDSTAEWIRISEMLLGKVPDGFTFAPKHKSNTYDRAKNG >KJB25921 pep chromosome:Graimondii2_0_v6:4:54899447:54906051:1 gene:B456_004G215900 transcript:KJB25921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:bHLH064D MAAPPSSRLQKMLQAAVQSVQWTYSLFWQICPQQGILIWSDGYYNGAIKTRKTVQPMEVSTEEASLQRSQQLRELYDSLSSGDTNQPARRPSAALSPEDLTESEWFYLMCVSFSFPPGVGLPGKAYARRQHVWLTGANEVDSKTFSRAILAKTVLCIPILDGVLELGYTEKVQEDLGLVQHVKTFFNDGKAPNPPPPKPALSEHSTSNPAASSDYTRFHSPSIPLVYAAADPPVNADQGEYNNVDEEEEEEEEDDDDEEGDEEPESYSAETGRTTQQVPTENTLAVVAAEEPSELMQLDMSEDIKLGSPDDASNNLDSDFNMLAVSQSGNPTDNQRRAELFRDESNRRWQMLQEPSCGSLQPLSTGPQPVDEMSQEDTHYSQTVSTILQAQLARWTESPSTVNAATYSTQSAFAKWTTHSDHHLHSAVVEGTSQWLLKYILFTVPFLHNKYRDENSPKLRDAAVAAARFRKGTPNEDLSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLRKKIQDLETRNKQMEADNDRPRSADSMQRNSSSKDQRSGLTTRSSGPDKKKMRIAEATKQKTAEPAPQTAETSVEVSIIESDALLELQCGYREGLLLDIMQMLREKLRIEITAVQSSLNNGLFTAELRAKVKDNMNGKKVSIMEVKRAINQIIP >KJB25920 pep chromosome:Graimondii2_0_v6:4:54899447:54905545:1 gene:B456_004G215900 transcript:KJB25920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:bHLH064D MAAPPSSRLQKMLQAAVQSVQWTYSLFWQICPQQGILIWSDGYYNGAIKTRKTVQPMEVSTEEASLQRSQQLRELYDSLSSGDTNQPARRPSAALSPEDLTESEWFYLMCVSFSFPPGVGLPGKAYARRQHVWLTGANEVDSKTFSRAILAKSACIQTVLCIPILDGVLELGYTEKVQEDLGLVQHVKTFFNDGKAPNPPPPKPALSEHSTSNPAASSDYTRFHSPSIPLVYAAADPPVNADQGEYNNVDEEEEEEEEDDDDEEGDEEPESYSAETGRTTQQVPTENTLAVVAAEEPSELMQLDMSEDIKLGSPDDASNNLDSDFNMLAVSQSGNPTDNQRRAELFRDESNRRWQMLQEPSCGSLQPLSTGPQPVDEMSQEDTHYSQTVSTILQAQLARWTESPSTVNAATYSTQSAFAKWTTHSDHHLHSAVVEGTSQWLLKYILFTVPFLHNKYRDENSPKLRDAAVAAARFRKGTPNEDLSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLRKKIQDLETRNKQMEADNDRPRSADSMQRNSSSKDQRSGLTTRSSGPDKKKMRIAEATKQKTAEPAPQTAETSVEVSIIESDALLELQCGYREGLLLDIMQMLREKLRIEITAVQSSLNNGLFTAELRAKVNN >KJB25922 pep chromosome:Graimondii2_0_v6:4:54901196:54906051:1 gene:B456_004G215900 transcript:KJB25922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:bHLH064D MVLRSYICTWVCRLPGKAYARRQHVWLTGANEVDSKTFSRAILAKSACIQTVLCIPILDGVLELGYTEKVQEDLGLVQHVKTFFNDGKAPNPPPPKPALSEHSTSNPAASSDYTRFHSPSIPLVYAAADPPVNADQGEYNNVDEEEEEEEEDDDDEEGDEEPESYSAETGRTTQQVPTENTLAVVAAEEPSELMQLDMSEDIKLGSPDDASNNLDSDFNMLAVSQSGNPTDNQRRAELFRDESNRRWQMLQEPSCGSLQPLSTGPQPVDEMSQEDTHYSQTVSTILQAQLARWTESPSTVNAATYSTQSAFAKWTTHSDHHLHSAVVEGTSQWLLKYILFTVPFLHNKYRDENSPKLRDAAVAAARFRKGTPNEDLSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLRKKIQDLETRNKQMEADNDRPRSADSMQRNSSSKDQRSGLTTRSSGPDKKKMRIAEATKQKTAEPAPQTAETSVEVSIIESDALLELQCGYREGLLLDIMQMLREKLRIEITAVQSSLNNGLFTAELRAKVKDNMNGKKVSIMEVKRAINQIIP >KJB25919 pep chromosome:Graimondii2_0_v6:4:54899446:54906057:1 gene:B456_004G215900 transcript:KJB25919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:bHLH064D MAAPPSSRLQKMLQAAVQSVQWTYSLFWQICPQQGILIWSDGYYNGAIKTRKTVQPMEVSTEEASLQRSQQLRELYDSLSSGDTNQPARRPSAALSPEDLTESEWFYLMCVSFSFPPGVGLPGKAYARRQHVWLTGANEVDSKTFSRAILAKSACIQTVLCIPILDGVLELGYTEKVQEDLGLVQHVKTFFNDGKAPNPPPPKPALSEHSTSNPAASSDYTRFHSPSIPLVYAAADPPVNADQGEYNNVDEEEEEEEEDDDDEEGDEEPESYSAETGRTTQQVPTENTLAVVAAEEPSELMQLDMSEDIKLGSPDDASNNLDSDFNMLAVSQSGNPTDNQRRAELFRDESNRRWQMLQEPSCGSLQPLSTGPQPVDEMSQEDTHYSQTVSTILQAQLARWTESPSTVNAATYSTQSAFAKWTTHSDHHLHSAVVEGTSQWLLKYILFTVPFLHNKYRDENSPKLRDAAVAAARFRKGTPNEDLSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLRKKIQDLETRNKQMEADNDRPRSADSMQRNSSSKDQRSGLTTRSSGPDKKKMRIAEATKQKTAEPAPQTAETSVEVSIIESDALLELQCGYREGLLLDIMQMLREKLRIEITAVQSSLNNGLFTAELRAKVKDNMNGKKVSIMEVKRAINQIIP >KJB23620 pep chromosome:Graimondii2_0_v6:4:23216208:23218527:-1 gene:B456_004G109900 transcript:KJB23620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSLVCGTTPCMICGSGEIEGALLKYLGVERNEVTNDGLFSVGEMECMGCCVNAPMIAVADYTNGSEVYMYNYYKDVTTQRVVEIVEIVAVGFCQEN >KJB21341 pep chromosome:Graimondii2_0_v6:4:11604741:11605621:1 gene:B456_004G087000 transcript:KJB21341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQMLLFVGEIYHLQVGHLDADGLNNLSGCLNSGAGYGWAVMVKSLLDFSLLFHL >KJB24006 pep chromosome:Graimondii2_0_v6:4:32508425:32510758:1 gene:B456_004G124700 transcript:KJB24006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAGQHKVGGGGGDQGNGTGFGDTTYTKIFVGGLAWETKTDTLKRYFEQFGEILEAVVINDKTTGRSKGYGFVTFKDVDSALRACYNPFPVIDGRRANCNLASLGAQKNRLSSASHSGIEKFSPSAPAPPPRVMAPSVAATPAFYRQFIPQYAFPYSAYGYPGYTQNMSPMSYYNVYGGQAFSSHYSSSGASGSAGVYMGYFPFYPQHGHGHGHGHGHGHSNQSQHPKITHYPHLAQQYRPFGNLTLAPSASAAPATSPGTTTSAQNSSA >KJB24005 pep chromosome:Graimondii2_0_v6:4:32508395:32510758:1 gene:B456_004G124700 transcript:KJB24005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAGQHKVGGGGGDQGNGTGFGDTTYTKIFVGGLAWETKTDTLKRYFEQFGEILEAVVINDKTTGRSKGYGFVTFKDVDSALRACYNPFPVIDGRRANCNLASLGAQKNRLSSASHSVAGIEKFSPSAPAPPPRVMAPSVAATPAFYRQFIPQYAFPYSAYGYPGYTQNMSPMSYYNVYGGQAFSSHYSSSGASGSAGVYMGYFPFYPQHGHGHGHGHGHGHSNQSQHPKITHYPHLAQQYRPFGNLTLAPSASAAPATSPGTTTSAQNSSA >KJB24007 pep chromosome:Graimondii2_0_v6:4:32508425:32510758:1 gene:B456_004G124700 transcript:KJB24007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLLEDQKVTFKDVDSALRACYNPFPVIDGRRANCNLASLGAQKNRLSSASHSGIEKFSPSAPAPPPRVMAPSVAATPAFYRQFIPQYAFPYSAYGYPGYTQNMSPMSYYNVYGGQAFSSHYSSSGASGSAGVYMGYFPFYPQHGHGHGHGHGHGHSNQSQHPKITHYPHLAQQYRPFGNLTLAPSASAAPATSPGTTTSAQNSSA >KJB26626 pep chromosome:Graimondii2_0_v6:4:58820767:58823032:1 gene:B456_004G250900 transcript:KJB26626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGVWVLLGLQNDVEKNLHERKKKIIKFRYSFLRNLKKNREKFKLELEFVTRSWSLMMQDTYEPVVHGEEKIKKEELHFKVKSKSMEPDHDKEEMIKVELELKTKSVEKVKPKHMEEEDEKHKEKDEEKSKETMEDEKKKKEEVEGKNKDEECKNDVAEKLEVSEVGETTNKEEKKKDKDPEVVEEDANVETEEKKNKKKDKKKDEVEKFEKKKKKKDKEKEMEHEVEEEEGTGKKKKDKEKKKEKKSDNEDEQKKDKKKRDKEKKKEEKSDKEDDVEDAKEKEKKKKKKKKDNKDKEKKKDKKCDKEDEEEEEKKKKKDKKDKEKKKEKKSDKEDDVEDAKEEKEKEKEKEKKKKKDNKDKEKKKDKKCDKEDDVEDAEEEEKKKKDKKDKEKKKEKKSDKEHGVEDDKEEKKKKKKEKKDKEKKKEKKHEDEEDKEEVSEELEEKNNKNKDRERKSKWKEEEEEEKSKKKETDENEEKKHGDRANNVKPETAIGSRELQLEDIEKESDSGEKEENKQNGKSKEGKEKNKKCEKKTKEGNAKSKDLSKVKQKLEKINSKIDALLEKKADILMQIKEAEEKNSEAADK >KJB22774 pep chromosome:Graimondii2_0_v6:4:7737348:7739897:-1 gene:B456_004G071600 transcript:KJB22774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMILSTPRLSEPSFLSYARVGIVSTQRKKTAIFCSSHRLKDNGASLQSGCVLHRKENLSRRFLLFVLVSSGLSPTLPSSGKTKSKNPYDEKRLLEQNKRRQKENNAPEDFPNFIREGFEVKVVTSEDYRKSDSGLIYRDYEVGKGDCPKAGQQVTFHYIGYNESGRRIDSTYLQGAPARIRMGTNAVVPDDTCRI >KJB22771 pep chromosome:Graimondii2_0_v6:4:7736395:7739976:-1 gene:B456_004G071600 transcript:KJB22771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMILSTPRLSEPSFLSYARVGIVSTQRKKTAIFCSSHRLKDNGLQSGCVLHRKENLSRRFLLFVLVSSGLSPTLPSSGKTKSKNPYDEKRLLEQNKRRQKENNAPEDFPNFIREGFEVKVVTSEDYRKSDSGLIYRDYEVGKGDCPKAGQQVTFHYIGYNESGRRIDSTYLQGAPARIRMGTNAVVPGFEEGIRAMRPGGKRRIIIPPELGPPVGPSTFFSSKQFEVFDIELLSIQNCQRRTIAFYSDVVCN >KJB22772 pep chromosome:Graimondii2_0_v6:4:7736525:7739912:-1 gene:B456_004G071600 transcript:KJB22772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMILSTPRLSEPSFLSYARVGIVSTQRKKTAIFCSSHRLKDNGASLQSGCVLHRKENLSRRFLLFVLVSSGLSPTLPSSGKTKSKNPYDEKRLLEQNKRRQKENNAPEDFPNFIREGFEVKVVTSEDYRKSDSGLIYRDYEVGKGHLSLYWL >KJB22775 pep chromosome:Graimondii2_0_v6:4:7736687:7739912:-1 gene:B456_004G071600 transcript:KJB22775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMILSTPRLSEPSFLSYARVGIVSTQRKKTAIFCSSHRLKDNGASLQSGCVLHRKENLSRRFLLFVLVSSGLSPTLPSSGKTKSKNPYDEKRLLEQNKRRQKENNAPEDFPNFIREGFEVKVVTSEDYRKSDSGLIYRDYEVGKGDCPKAGQQVTFHYIGYNESGRRIDSTYLQGAPARIRMGTNAVVPGFEEGIRAMRPGGKRRIIIPPELGPPESMLPCAGGTFNVFQLETVRSIRYRITQHTELSKENHSFLFRCCVQLSDWEKS >KJB22770 pep chromosome:Graimondii2_0_v6:4:7736657:7739912:-1 gene:B456_004G071600 transcript:KJB22770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMILSTPRLSEPSFLSYARVGIVSTQRKKTAIFCSSHRLKDNGASLQSGCVLHRKENLSRRFLLFVLVSSGLSPTLPSSGKTKSKNPYDEKRLLEQNKRRQKENNAPEDFPNFIREGFEVKVVTSEDYRKSDSGLIYRDYEVGKGDCPKAGQQVTFHYIGYNESGRRIDSTYLQGAPARIRMGTNAVVPGFEEGIRAMRPGGKRRIIIPPELGPPVGPSTFFSSKQFEVFDIELLSIQNCQRRTIAFYSDVVCN >KJB22776 pep chromosome:Graimondii2_0_v6:4:7737783:7739912:-1 gene:B456_004G071600 transcript:KJB22776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMILSTPRLSEPSFLSYARVGIVSTQRKKTAIFCSSHRLKDNGASLQSGCVLHRKENLSRRFLLFVLVSSGLSPTLPSSGKTKSKNPYDEKRLLEQNKRRQKENNAPEDFPNFIREGFEVKVVTSEDYRKSDSGLIYRDYEVGKGDCPKAGQQVFSFIVFQQSLPFHYLC >KJB22773 pep chromosome:Graimondii2_0_v6:4:7736576:7739912:-1 gene:B456_004G071600 transcript:KJB22773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMILSTPRLSEPSFLSYARVGIVSTQRKKTAIFCSSHRLKDNGASLQSGCVLHRKENLSRRFLLFVLVSSGLSPTLPSSGKTKSKNPYDEKRLLEQNKRRQKENNAPEDFPNFIREGFEVKVVTSEDYRKSDSGLIYRDYEVGKGDCPKAGQQVTFHYIGYNESGRRIDSTYLQGAPARIRMGTNAVVPGFEEGIRAMRPGGKRRIIIPPELGPPVGPSTFFSSKQFEVFDIELLSIQNCQRRTIAFYSDVVCN >KJB23952 pep chromosome:Graimondii2_0_v6:4:31063666:31069566:-1 gene:B456_004G122600 transcript:KJB23952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIKEGRVSGTLPKDEAFAVHYPGYPKTTSRAIQTLGGTEGILKARISQSNRLELHFRPEDPYSHPAFGEISPCNNLLLKISKKKCSNRQTAEASSKLQECSTSGVNDAENPKQPFQVEVERPEEEEEEEEESNLCADIVCRVSEAYNFDGMADYQHVLPVHANAARKRKGNWVEAEETSFEKGGFMDVDQEDVMMILPPLFSPKDMPENVVLRPSTILSSKKNQEVAVHYSAQVDLEPGLAIDFNIKEVPKNVNWEEHITQGSEQWEWQMTVSKLFEERPIWPKESVTERLLQKGLKFSHLVLKRLLLGVAYYFSNGPFRRFWIRKGYDPRKDPESRIYQRTDFRVPEPLRNYADANVANNLTHKWGDLCSFQVFPYKFQMILQLFELDDDYIQQEIRKPPKLETCDPKTGWFSECVLDCLRLRVAVRFLSVYPKTGAESILKSCSNEFEKLKRSCLYKDVFNSHQEEHQQTNKGDGDKERPKSSDNKEDEVEAEDEEELDAYDETLNLVDEDDEISLQPDTYLDMENNSRTYLQELFGSFPSTGSGTDAIQAADTSDGEYEIYEQFGDDNYSDDDDDDDDDDDGGDDS >KJB23946 pep chromosome:Graimondii2_0_v6:4:31062492:31069750:-1 gene:B456_004G122600 transcript:KJB23946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIKEGRVSGTLPKDEAFAVHYPGYPKTTSRAIQTLGGTEGILKSNRLELHFRPEDPYSHPAFGEISPCNNLLLKISKKKCSNRQTAEASSKLQECSTSGVNDAENPKQPFQVEVERPEEEEEEEEESNLCADIVCRVSEAYNFDGMADYQHVLPVHANAARKRKGNWVEAEETSFEKGGFMDVDQEDVMMILPPLFSPKDMPENVVLRPSTILSSKKNQEVAVHYSAQVDLEPGLAIDFNIKEVPKNVNWEEHITQGSEQWEWQMTVSKLFEERPIWPKESVTERLLQKGLKFSHLVLKRLLLGVAYYFSNGPFRRFWIRKGYDPRKDPESRIYQRTDFRVPEPLRNYADANVANNLTHKWGDLCSFQVFPYKFQMILQLFELDDDYIQQEIRKPPKLETCDPKTGWFSECVLDCLRLRVAVRFLSVYPKTGAESILKSCSNEFEKLKRSCLYKDVFNSHQEEHQQTNKGDGDKERPKSSDNKEDEVEAEDEEELDAYDETLNLVDEDDEISLQPDTYLDMENNSRTYLQELFGSFPSTGSGTDAIQAADTSDGEYEIYEQFGDDNYSDDDDDDDDDDDGGDDS >KJB23948 pep chromosome:Graimondii2_0_v6:4:31063260:31069738:-1 gene:B456_004G122600 transcript:KJB23948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIKEGRVSGTLPKDEAFAVHYPGYPKTTSRAIQTLGGTEGILKARISQSNRLELHFRPEDPYSHPAFGEISPCNNLLLKISKKKCSNRQTAEASSKLQECSTSGVNDAENPKQPFQVEVERPEEEEEEEEESNLCADIVCRVSEAYNFDGMADYQHVLPVHANAARKRKGNWVEAEETSFEKGGFMDVDQEDVMMILPPLFSPKDMPENVVLRPSTILSSKKNQEVAVHYSAQVDLEPGLAIDFNIKEVPKNVNWEEHITQGSEQWEWQMTVSKLFEERPIWPKESVTERLLQKGLKFSHLVLKRLLLGVAYYFSNGPFRRFWIRKGYDPRKDPESRIYQRTDFRVPEPLRNYADANVANNLTHKWGDLCSFQVFPYKFQMILQLFELDDDYIQQEIRKPPKLETCDPKTGWFSECVLDCLRLRVAVRFLSVYPKTGAESILKSCSNEFEKLKRSCLYKDVFNSHQEEHQQTNKGDGDKERPKSSDNKEDEVEAEDEEELDAYDETLNLVDEDDEISLQPDTYLDMENNSRTYLQELFGSFPSTGSGTDAIQAADTSDGEYEIYEQFGDDNYSDDDDDDDDDDDGGDDS >KJB23945 pep chromosome:Graimondii2_0_v6:4:31063666:31069566:-1 gene:B456_004G122600 transcript:KJB23945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIKEGRVSGTLPKDEAFAVHYPGYPKTTSRAIQTLGGTEGILKSNRLELHFRPEDPYSHPAFGEISPCNNLLLKISKKKCSNRQTAEASSKLQECSTSGVNDAENPKQPFQVEVERPEEEEEEEEESNLCADIVCRVSEAYNFDGMADYQHVLPVHANAARKRKGNWVEAEETSFEKGGFMDVDQEDVMMILPPLFSPKDMPENVVLRPSTILSSKKNQEVAVHYSAQVDLEPGLAIDFNIKEVPKNVNWEEHITQGSEQWEWQMTVSKLFEERPIWPKESVTERLLQKGLKFSHLVLKRLLLGVAYYFSNGPFRRFWIRKGYDPRKDPESRIYQRTDFRVPEPLRNYADANVANNLTHKWGDLCSFQVFPYKFQMILQLFELDDDYIQQEIRKPPKLETCDPKTGWFSECVLDCLRLRVAVRFLSVYPKTGAESILKSCSNEFEKLKRSCLYKDVFNSHQEEHQQTNKGDGDKERPKSSDNKEDEVEAEDEEELDAYDETLNLVDEDDEISLQPDTYLDMENNSRTYLQELFGSFPSTGSGTDAIQAADTSDGEYEIYEQFGDDNYSDDDDDDDDDDDGGDDS >KJB23947 pep chromosome:Graimondii2_0_v6:4:31063666:31069566:-1 gene:B456_004G122600 transcript:KJB23947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIKEGRVSGTLPKDEAFAVHYPGYPKTTSRAIQTLGGTEGILKSNRLELHFRPEDPYSHPAFGEISPCNNLLLKISKKKCSNRQTAEASSKLQECSTSGVNDAENPKQPFQVEVERPEEEEEEEEESNLCADIVCRVSEAYNFDGMADYQHVLPVHANAARKRKGNWVEAEETSFEKGGFMDVDQEDVMMILPPLFSPKDMPENVVLRPSTILSSKKNQEVAVHYSAQVDLEPGLAIDFNIKEVPKNVNWEEHITQGSEQWEWQMTVSKLFEERPIWPKESVTERLLQKGLKFSHLVLKRLLLGVAYYFSNGPFRRFWIRKGYDPRKDPESRIYQRTDFRVPEPLRNYADANVANNLTHKWGDLCSFQVFPYKFQMILQLFELDDDYIQQEIRKPPKLETCDPKTGWFSECVLDCLRLRVAVRFLSVYPKTGAESILKSCSNEFEKLKRSCLYKDVFNSHQEEHQQTNKGDGDKERPKSSDNKEDEVEAEDEEELDAYDETLNLVDEDDEISLQPDTYLDMENNSRTYLQELFGSFPSTGSGTDAIQAADTSDGEYEIYEQFGDDNYSDDDDDDDDDDDGGDDS >KJB23950 pep chromosome:Graimondii2_0_v6:4:31062492:31069750:-1 gene:B456_004G122600 transcript:KJB23950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIKEGRVSGTLPKDEAFAVHYPGYPKTTSRAIQTLGGTEGILKARISQSNRLELHFRPEDPYSHPAFGEISPCNNLLLKISKKKCSNRQTAEASSKLQECSTSGVNDAENPKQPFQVEVERPEEEEEEEEESNLCADIVCRVSEAYNFDGMADYQHVLPVHANAARKRKGNWVEAEETSFEKGGFMDVDQEDVMMILPPLFSPKDMPENVVLRPSTILSSKKNQEVAVHYSAQVDLEPGLAIDFNIKEVPKNVNWEEHITQGSEQWEWQMTVSKLFEERPIWPKESVTERLLQKGLKFSHLVLKRLLLGVAYYFSNGPFRRFWIRKGYDPRKDPESRIYQRTDFRVPEPLRNYADANVANNLTHKWGDLCSFQVFPYKFQMILQLFELDDDYIQQEIRKPPKLETCDPKTGWFSECVLDCLRLRVAVRFLSVYPKTGAESILKSCSNEFEKLKRSCLYKDVFNSHQEEHQQTNKGDGDKERPKSSDNKEDEVEAEDEEELDAYDETLNLVDEDDEISLQPDTYLDMENNSRTYLQELFGSFPSTGSGTDAIQAADTSDGEYEIYEQFGDDNYSDDDDDDDDDDDGGDDS >KJB23951 pep chromosome:Graimondii2_0_v6:4:31063666:31068868:-1 gene:B456_004G122600 transcript:KJB23951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYQHVLPVHANAARKRKGNWVEAEETSFEKGGFMDVDQEDVMMILPPLFSPKDMPENVVLRPSTILSSKKNQEVAVHYSAQVDLEPGLAIDFNIKEVPKNVNWEEHITQGSEQWEWQMTVSKLFEERPIWPKESVTERLLQKGLKFSHLVLKRLLLGVAYYFSNGPFRRFWIRKGYDPRKDPESRIYQRTDFRVPEPLRNYADANVANNLTHKWGDLCSFQVFPYKFQMILQLFELDDDYIQQEIRKPPKLETCDPKTGWFSECVLDCLRLRVAVRFLSVYPKTGAESILKSCSNEFEKLKRSCLYKDVFNSHQEEHQQTNKGDGDKERPKSSDNKEDEVEAEDEEELDAYDETLNLVDEDDEISLQPDTYLDMENNSRTYLQELFGSFPSTGSGTDAIQAADTSDGEYEIYEQFGDDNYSDDDDDDDDDDDGGDDS >KJB23949 pep chromosome:Graimondii2_0_v6:4:31062593:31069755:-1 gene:B456_004G122600 transcript:KJB23949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIKEGRVSGTLPKDEAFAVHYPGYPKTTSRAIQTLGGTEGILKARISQSNRLELHFRPEDPYSHPAFGEISPCNNLLLKISKKKCSNRQTAEASSKLQECSTSGVNDAENPKQPFQVEVERPEEEEEEEEESNLCADIVCRVSEAYNFDGMADYQHVLPVHANAARKRKGNWVEAEETSFEKGGFMDVDQEDVMMILPPLFSPKDMPENVVLRPSTILSSKKNQEVAVHYSAQVDLEPGLAIDFNIKEVPKNVNWEEHITQGSEQWEWQMTVSKLFEERPIWPKESVTERLLQKGLKFSHLVLKRLLLGVAYYFSNGPFRRFWIRKGYDPRKDPESRIYQRTDFRVPEPLRNYADANVANNLTHKWGDLCSFQVFPYKFQMILQLFELDDDYIQQEIRKPPKLETCDPKTGWFSECVLDCLRLRVAVRFLSVYPKTGAESILKSCSNEFEKLKRSCLYKDVFNSHQEEHQQTNKGDGDKERPKSSDNKEDEVEAEDEEELDAYDETLNLVDEDDEISLQPDTYLDMENNSRTYLQELFGSFPSTGSGTDAIQAADTSDGEYEIYEQFGDDNYSDDDDDDDDDDDGGDDS >KJB23944 pep chromosome:Graimondii2_0_v6:4:31063666:31069566:-1 gene:B456_004G122600 transcript:KJB23944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIKEGRVSGTLPKDEAFAVHYPGYPKTTSRAIQTLGGTEGILKARISQSNRLELHFRPEDPYSHPAFGEISPCNNLLLKISKKKCSNRQTAEASSKLQECSTSGVNDAENPKQPFQVEVERPEEEEEEEEESNLCADIVCRVSEAYNFDGMADYQHVLPVHANAARKRKGNWVEAEETSFEKGGFMDVDQEDVMMILPPLFSPKDMPENVVLRPSTILSSKKNQEVAVHYSAQVDLEPGLAIDFNIKEVPKNVNWEEHITQGSEQWEWQMTVSKLFEERPIWPKESVTERLLQKGLKFSHLVLKRLLLGVAYYFSNGPFRRFWIRKGYDPRKDPESRIYQRTDFRVPEPLRNYADANVANNLTHKWGDLCSFQVFPYKFQMILQLFELDDDYIQQEIRKPPKLETCDPKTGWFSECVLDCLRLRVAVRFLSVYPKTGAESILKSCSNEFEKLKRSCLYKDVFNSHQEEHQQTNKGDGDKERPKSSDNKEDEVEAEDEEELDAYDETLNLVDEDDEISLQPDTYLDMENNSRTYLQELFGSFPSTGSGTDAIQAADTSDGEYEIYEQFGDDNYSDDDDDDDDDDDGGDDS >KJB23902 pep chromosome:Graimondii2_0_v6:4:29730244:29735339:-1 gene:B456_004G120700 transcript:KJB23902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGEMGLIGENFDPGFVGRMKEDGYEIRSESDNFDVASGDDQDAAADGPSKKKKYHRHTPRQIQELESFFKECPHPDEKQRMELSRRLGLEGKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMTTPICNSCGGPAVPGEISYEQHQLRIENARLKDELTRICALTNKFLGRPLSSSGSPIPPHSLNSNLELAVGRNGFGGLNNAGTSLPMGFEFGDGSMMPIVKPMVNEMQYDRSAFVDVALSAMDELIKMAQMDNPLWIKGLGGGMESLNVEEYKRNFSSCIGMKSSSYATEATKATGLVYLRGLALVEALMDANRWVEMFPCMISRAATIDVLSSGTGVTRDNELQVMDAEFQVLSPLVPVRQVRFIRFCKQHSEGVWAVVDVSIDPSQDATDTHMFPNCRRLPSGCVIQDVDTKCSKITWVEHSEYDDNAVHHLLQPLLSSGFGFGAHRWLATLQRQCDCMAILMSQDIPGENNTGITPAGRKSMIKLAQRMTYNFCAGVCASSIHKWDKLSVGNVGEDVRVMTRKNINDPGEPHGVVLSAATSVWMPVTQERLFDFLRDERMRSEWDILSNGGPMQEMVHVAKGMGHGNCVSLLRGSAINANENNMLILQETWSDASGALVVYAPVDISSMSVVMNGGDSAYVALLPSGFAILPGISPSYHGGRSESNGALVKPEIDGSIVSGCLLTVGFQILVNNVPTAKLTVESVETVNNLISCTIQKIKAALTVT >KJB23910 pep chromosome:Graimondii2_0_v6:4:29730728:29734800:-1 gene:B456_004G120700 transcript:KJB23910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGEMGLIGENFDPGFVGRMKEDGYEIRSESDNFDVASGDDQDAAADGPSKKKKYHRHTPRQIQELESFFKECPHPDEKQRMELSRRLGLEGKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMTTPICNSCGGPAVPGEISYEQHQLRIENARLKDELTRICALTNKFLGRPLSSSGSPIPPHSLNSNLELAVGRNGFGGLNNAGTSLPMGFEFGDGSMMPIVKPMVNEMQYDRSAFVDVALSAMDELIKMAQMDNPLWIKGLGGGMESLNVEEYKRNFSSCIGMKSSSYATEATKATGLVYLRGLALVEALMDANRWVEMFPCMISRAATIDVLSSGTGVTRDNELQVMDAEFQVLSPLVPVRQVRFIRFCKQHSEGVWAVVDVSIDPSQDATDTHMFPNCRRLPSGCVIQDVDTKCSKITWVEHSEYDDNAVHHLLQPLLSSGFGFGAHRWLATLQRQCDCMAILMSQDIPGENNTGITPAGRKSMIKLAQRMTYNFCAGVCASSIHKWDKLSVGNVGEDVRVMTRKNINDPGEPHGVVLSAATSVWMPVTQERLFDFLRDERMRSEWDILSNGGPMQEMVHVAKGMGHGNCVSLLRGSAINANENNMLILQETWSDASGALVVYAPVDISSMSVVMNGGDSAYVALLPSGFAILPGISPSYHGGRSESNGALVKPEIDGSIVSGCLLTVGFQILVNNVPTAKLTVESVETVNNLISCTIQKIKAALTVT >KJB23901 pep chromosome:Graimondii2_0_v6:4:29730244:29736437:-1 gene:B456_004G120700 transcript:KJB23901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGEMGLIGENFDPGFVGRMKEDGYEIRSESDNFDVASGDDQDAAADGPSKKKKYHRHTPRQIQELESFFKECPHPDEKQRMELSRRLGLEGKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMTTPICNSCGGPAVPGEISYEQHQLRIENARLKDELTRICALTNKFLGRPLSSSGSPIPPHSLNSNLELAVGRNGFGGLNNAGTSLPMGFEFGDGSMMPIVKPMVNEMQYDRSAFVDVALSAMDELIKMAQMDNPLWIKGLGGGMESLNVEEYKRNFSSCIGMKSSSYATEATKATGLVYLRGLALVEALMDANRWVEMFPCMISRAATIDVLSSGTGVTRDNELQVMDAEFQVLSPLVPVRQVRFIRFCKQHSEGVWAVVDVSIDPSQDATDTHMFPNCRRLPSGCVIQDVDTKCSKITWVEHSEYDDNAVHHLLQPLLSSGFGFGAHRWLATLQRQCDCMAILMSQDIPGITPAGRKSMIKLAQRMTYNFCAGVCASSIHKWDKLSVGNVGEDVRVMTRKNINDPGEPHGVVLSAATSVWMPVTQERLFDFLRDERMRSEWDILSNGGPMQEMVHVAKGMGHGNCVSLLRGSAINANENNMLILQETWSDASGALVVYAPVDISSMSVVMNGGDSAYVALLPSGFAILPGISPSYHGGRSESNGALVKPEIDGSIVSGCLLTVGFQILVNNVPTAKLTVESVETVNNLISCTIQKIKAALTVT >KJB23907 pep chromosome:Graimondii2_0_v6:4:29730244:29736426:-1 gene:B456_004G120700 transcript:KJB23907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGEMGLIGENFDPGFVGRMKEDGYEIRSESDNFDVASGDDQDAAADGPSKKKKYHRHTPRQIQELESFFKECPHPDEKQRMELSRRLGLEGKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMTTPICNSCGGPAVPGEISYEQHQLRIENARLKDELTRICALTNKFLGRPLSSSGSPIPPHSLNSNLELAVGRNGFGGLNNAGTSLPMGFEFGDGSMMPIVKPMVNEMQYDRSAFVDVALSAMDELIKMAQMDNPLWIKGLGGGMESLNVEEYKRNFSSCIGMKSSSYATEATKATGLVYLRGLALVEALMDANRWVEMFPCMISRAATIDVLSSGTGVTRDNELQVMDAEFQVLSPLVPVRQVRFIRFCKQHSEGVWAVVDVSIDPSQDATDTHMFPNCRRLPSGCVIQDVDTKCSKITWVEHSEYDDNAVHHLLQPLLSSGFGFGAHRWLATLQRQCDCMAILMSQDIPGITPAGRKSMIKLAQRMTYNFCAGVCASSIHKWDKLSVGNVGEDVRVMTRKNINDPGEPHGVVLSAATSVWMPVTQERLFDFLRDERMRSEWDILSNGGPMQEMVHVAKGMGHGNCVSLLRGSAINANENNMLILQETWSDASGALVVYAPVDISSMSVVMNGGDSAYVALLPSGFAILPGISPSYHGGRSESNGALVKPEIDGSIVSGCLLTVGFQILVNNVPTAKLTVESVETVNNLISCTIQKIKAALTVT >KJB23905 pep chromosome:Graimondii2_0_v6:4:29730728:29734800:-1 gene:B456_004G120700 transcript:KJB23905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGEMGLIGENFDPGFVGRMKEDGYEIRSESDNFDVASGDDQDAAADGPSKKKKYHRHTPRQIQELESFFKECPHPDEKQRMELSRRLGLEGKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMTTPICNSCGGPAVPGEISYEQHQLRIENARLKDELTRICALTNKFLGRPLSSSGSPIPPHSLNSNLELAVGRNGFGGLNNAGTSLPMGFEFGDGSMMPIVKPMVNEMQYDRSAFVDVALSAMDELIKMAQMDNPLWIKGLGGGMESLNVEEYKRNFSSCIGMKSSSYATEATKATGLVYLRGLALVEALMDANRWVEMFPCMISRAATIDVLSSGTGVTRDNELQVMDAEFQVLSPLVPVRQVRFIRFCKQHSEGVWAVVDVSIDPSQDATDTHMFPNCRRLPSGCVIQDVDTKCSKITWVEHSEYDDNAVHHLLQPLLSSGFGFGAHRWLATLQRQCDCMAILMSQDIPGENNTGITPAGRKSMIKLAQRMTYNFCAGVCASSIHKWDKLSVGNVGEDVRVMTRKNINDPGEPHGVVLSAATSVWMPVTQERLFDFLRDERMRSEWDILSNGGPMQEMVHVAKGMGHGNCVSLLRGSAINANENNMLILQETWSDASGALVVYAPVDISSMSVVMNGGDSAYVALLPSGFAILPGISPSYHGGRSESNGALVKPEIDGSIVSGCLLTVGFQILVNNVPTAKLTVESVETVNNLISCTIQKIKAALTVT >KJB23908 pep chromosome:Graimondii2_0_v6:4:29730244:29735730:-1 gene:B456_004G120700 transcript:KJB23908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGEMGLIGENFDPGFVGRMKEDGYEIRSESDNFDVASGDDQDAAADGPSKKKKYHRHTPRQIQELESFFKECPHPDEKQRMELSRRLGLEGKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMTTPICNSCGGPAVPGEISYEQHQLRIENARLKDELTRICALTNKFLGRPLSSSGSPIPPHSLNSNLELAVGRNGFGGLNNAGTSLPMGFEFGDGSMMPIVKPMVNEMQYDRSAFVDVALSAMDELIKMAQMDNPLWIKGLGGGMESLNVEEYKRNFSSCIGMKSSSYATEATKATGLVYLRGLALVEALMDANRWVEMFPCMISRAATIDVLSSGTGVTRDNELQVMDAEFQVLSPLVPVRQVRFIRFCKQHSEGVWAVVDVSIDPSQDATDTHMFPNCRRLPSGCVIQDVDTKCSKITWVEHSEYDDNAVHHLLQPLLSSGFGFGAHRWLATLQRQCDCMAILMSQDIPGITPAGRKSMIKLAQRMTYNFCAGVCASSIHKWDKLSVGNVGEDVRVMTRKNINDPGEPHGVVLSAATSVWMPVTQERLFDFLRDERMRSEWDILSNGGPMQEMVHVAKGMGHGNCVSLLRGSAINANENNMLILQETWSDASGALVVYAPVDISSMSVVMNGGDSAYVALLPSGFAILPGISPSYHGGRSESNGALVKPEIDGSIVSGCLLTVGFQILVNNVPTAKLTVESVETVNNLISCTIQKIKAALTVT >KJB23913 pep chromosome:Graimondii2_0_v6:4:29731116:29734800:-1 gene:B456_004G120700 transcript:KJB23913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGEMGLIGENFDPGFVGRMKEDGYEIRSESDNFDVASGDDQDAAADGPSKKKKYHRHTPRQIQELESFFKECPHPDEKQRMELSRRLGLEGKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMTTPICNSCGGPAVPGEISYEQHQLRIENARLKDELTRICALTNKFLGRPLSSSGSPIPPHSLNSNLELAVGRNGFGGLNNAGTSLPMGFEFGDGSMMPIVKPMVNEMQYDRSAFVDVALSAMDELIKMAQMDNPLWIKGLGGGMESLNVEEYKRNFSSCIGMKSSSYATEATKATGLVYLRGLALVEALMDANRWVEMFPCMISRAATIDVLSSGTGVTRDNELQVMDAEFQVLSPLVPVRQVRFIRFCKQHSEGVWAVVDVSIDPSQDATDTHMFPNCRRLPSGCVIQDVDTKCSKITWVEHSEYDDNAVHHLLQPLLSSGFGFGAHRWLATLQRQCDCMAILMSQDIPGENNTGITPAGRKSMIKLAQRMTYNFCAGVCASSIHKWDKLSVGNVGEDVRVMTRKNINDPGEPHGVVLSAATSVWMPVTQERLFDFLRDERMRSEWDILSNGGPMQEMVHVAKGMGHGNCVSLLRGSVSH >KJB23904 pep chromosome:Graimondii2_0_v6:4:29730728:29734800:-1 gene:B456_004G120700 transcript:KJB23904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGEMGLIGENFDPGFVGRMKEDGYEIRSESDNFDVASGDDQDAAADGPSKKKKYHRHTPRQIQELESFFKECPHPDEKQRMELSRRLGLEGKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMTTPICNSCGGPAVPGEISYEQHQLRIENARLKDELTRICALTNKFLGRPLSSSGSPIPPHSLNSNLELAVGRNGFGGLNNAGTSLPMGFEFGDGSMMPIVKPMVNEMQYDRSAFVDVALSAMDELIKMAQMDNPLWIKGLGGGMESLNVEEYKRNFSSCIGMKSSSYATEATKATGLVYLRGLALVEALMDANRWVEMFPCMISRAATIDVLSSGTGVTRDNELQVMDAEFQVLSPLVPVRQVRFIRFCKQHSEGVWAVVDVSIDPSQDATDTHMFPNCRRLPSGCVIQDVDTKCSKITWVEHSEYDDNAVHHLLQPLLSSGFGFGAHRWLATLQRQCDCMAILMSQDIPGENNTGITPAGRKSMIKLAQRMTYNFCAGVCASSIHKWDKLSVGNVGEDVRVMTRKNINDPGEPHGVVLSAATSVWMPVTQERLFDFLRDERMRSEWDILSNGGPMQEMVHVAKGMGHGNCVSLLRGSAINANENNMLILQETWSDASGALVVYAPVDISSMSVVMNGGDSAYVALLPSGFAILPGISPSYHGGRSESNGALVKPEIDGSIVSGCLLTVGFQILVNNVPTAKLTVESVETVNNLISCTIQKIKAALTVT >KJB23912 pep chromosome:Graimondii2_0_v6:4:29730244:29735924:-1 gene:B456_004G120700 transcript:KJB23912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGEMGLIGENFDPGFVGRMKEDGYEIRSESDNFDVASGDDQDAAADGPSKKKKYHRHTPRQIQELESFFKECPHPDEKQRMELSRRLGLEGKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMTTPICNSCGGPAVPGEISYEQHQLRIENARLKDELTRICALTNKFLGRPLSSSGSPIPPHSLNSNLELAVGRNGFGGLNNAGTSLPMGFEFGDGSMMPIVKPMVNEMQYDRSAFVDVALSAMDELIKMAQMDNPLWIKGLGGGMESLNVEEYKRNFSSCIGMKSSSYATEATKATGLVYLRGLALVEALMDANRWVEMFPCMISRAATIDVLSSGTGVTRDNELQVMDAEFQVLSPLVPVRQVRFIRFCKQHSEGVWAVVDVSIDPSQDATDTHMFPNCRRLPSGCVIQDVDTKCSKITWVEHSEYDDNAVHHLLQPLLSSGFGFGAHRWLATLQRQCDCMAILMSQDIPGENNTGITPAGRKSMIKLAQRMTYNFCAGVCASSIHKWDKLSVGNVGEDVRVMTRKNINDPGEPHGVVLSAATSVWMPVTQERLFDFLRDERMRSEWDILSNGGPMQEMVHVAKGMGHGNCVSLLRGSAINANENNMLILQETWSDASGALVVYAPVDISSMSVVMNGGDSAYVALLPSGFAILPGISPSYHGGRSESNGALVKPEIDGSIVSGCLLTVGFQILVNNVPTAKLTVESVETVNNLISCTIQKIKAALTVT >KJB23906 pep chromosome:Graimondii2_0_v6:4:29730244:29735992:-1 gene:B456_004G120700 transcript:KJB23906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGEMGLIGENFDPGFVGRMKEDGYEIRSESDNFDVASGDDQDAAADGPSKKKKYHRHTPRQIQELESFFKECPHPDEKQRMELSRRLGLEGKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMTTPICNSCGGPAVPGEISYEQHQLRIENARLKDELTRICALTNKFLGRPLSSSGSPIPPHSLNSNLELAVGRNGFGGLNNAGTSLPMGFEFGDGSMMPIVKPMVNEMQYDRSAFVDVALSAMDELIKMAQMDNPLWIKGLGGGMESLNVEEYKRNFSSCIGMKSSSYATEATKATGLVYLRGLALVEALMDANRWVEMFPCMISRAATIDVLSSGTGVTRDNELQVMDAEFQVLSPLVPVRQVRFIRFCKQHSEGVWAVVDVSIDPSQDATDTHMFPNCRRLPSGCVIQDVDTKCSKITWVEHSEYDDNAVHHLLQPLLSSGFGFGAHRWLATLQRQCDCMAILMSQDIPGENNTGITPAGRKSMIKLAQRMTYNFCAGVCASSIHKWDKLSVGNVGEDVRVMTRKNINDPGEPHGVVLSAATSVWMPVTQERLFDFLRDERMRSEWDILSNGGPMQEMVHVAKGMGHGNCVSLLRGSAINANENNMLILQETWSDASGALVVYAPVDISSMSVVMNGGDSAYVALLPSGFAILPGISPSYHGGRSESNGALVKPEIDGSIVSGCLLTVGFQILVNNVPTAKLTVESVETVNNLISCTIQKIKAALTVT >KJB23903 pep chromosome:Graimondii2_0_v6:4:29730244:29735919:-1 gene:B456_004G120700 transcript:KJB23903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGEMGLIGENFDPGFVGRMKEDGYEIRSESDNFDVASGDDQDAAADGPSKKKKYHRHTPRQIQELESFFKECPHPDEKQRMELSRRLGLEGKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMTTPICNSCGGPAVPGEISYEQHQLRIENARLKDELTRICALTNKFLGRPLSSSGSPIPPHSLNSNLELAVGRNGFGGLNNAGTSLPMGFEFGDGSMMPIVKPMVNEMQYDRSAFVDVALSAMDELIKMAQMDNPLWIKGLGGGMESLNVEEYKRNFSSCIGMKSSSYATEATKATGLVYLRGLALVEALMDANRWVEMFPCMISRAATIDVLSSGTGVTRDNELQVMDAEFQVLSPLVPVRQVRFIRFCKQHSEGVWAVVDVSIDPSQDATDTHMFPNCRRLPSGCVIQDVDTKCSKITWVEHSEYDDNAVHHLLQPLLSSGFGFGAHRWLATLQRQCDCMAILMSQDIPGENNTGITPAGRKSMIKLAQRMTYNFCAGVCASSIHKWDKLSVGNVGEDVRVMTRKNINDPGEPHGVVLSAATSVWMPVTQERLFDFLRDERMRSEWDILSNGGPMQEMVHVAKGMGHGNCVSLLRGSAINANENNMLILQETWSDASGALVVYAPVDISSMSVVMNGGDSAYVALLPSGFAILPGISPSYHGGRSESNGALVKPEIDGSIVSGCLLTVGFQILVNNVPTAKLTVESVETVNNLISCTIQKIKAALTVT >KJB23911 pep chromosome:Graimondii2_0_v6:4:29730244:29736437:-1 gene:B456_004G120700 transcript:KJB23911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGEMGLIGENFDPGFVGRMKEDGYEIRSESDNFDVASGDDQDAAADGPSKKKKYHRHTPRQIQELESFFKECPHPDEKQRMELSRRLGLEGKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMTTPICNSCGGPAVPGEISYEQHQLRIENARLKDELTRICALTNKFLGRPLSSSGSPIPPHSLNSNLELAVGRNGFGGLNNAGTSLPMGFEFGDGSMMPIVKPMVNEMQYDRSAFVDVALSAMDELIKMAQMDNPLWIKGLGGGMESLNVEEYKRNFSSCIGMKSSSYATEATKATGLVYLRGLALVEALMDANRWVEMFPCMISRAATIDVLSSGTGVTRDNELQVMDAEFQVLSPLVPVRQVRFIRFCKQHSEGVWAVVDVSIDPSQDATDTHMFPNCRRLPSGCVIQDVDTKCSKITWVEHSEYDDNAVHHLLQPLLSSGFGFGAHRWLATLQRQCDCMAILMSQDIPGENNTAGRKSMIKLAQRMTYNFCAGVCASSIHKWDKLSVGNVGEDVRVMTRKNINDPGEPHGVVLSAATSVWMPVTQERLFDFLRDERMRSEWDILSNGGPMQEMVHVAKGMGHGNCVSLLRGSAINANENNMLILQETWSDASGALVVYAPVDISSMSVVMNGGDSAYVALLPSGFAILPGISPSYHGGRSESNGALVKPEIDGSIVSGCLLTVGFQILVNNVPTAKLTVESVETVNNLISCTIQKIKAALTVT >KJB23900 pep chromosome:Graimondii2_0_v6:4:29730728:29734800:-1 gene:B456_004G120700 transcript:KJB23900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGEMGLIGENFDPGFVGRMKEDGYEIRSESDNFDVASGDDQDAAADGPSKKKKYHRHTPRQIQELESFFKECPHPDEKQRMELSRRLGLEGKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMTTPICNSCGGPAVPGEISYEQHQLRIENARLKDELTRICALTNKFLGRPLSSSGSPIPPHSLNSNLELAVGRNGFGGLNNAGTSLPMGFEFGDGSMMPIVKPMVNEMQYDRSAFVDVALSAMDELIKMAQMDNPLWIKGLGGGMESLNVEEYKRNFSSCIGMKSSSYATEATKATGLVYLRGLALVEALMDANRWVEMFPCMISRAATIDVLSSGTGVTRDNELQVMDAEFQVLSPLVPVRQVRFIRFCKQHSEGVWAVVDVSIDPSQDATDTHMFPNCRRLPSGCVIQDVDTKCSKITWVEHSEYDDNAVHHLLQPLLSSGFGFGAHRWLATLQRQCDCMAILMSQDIPGENNTGITPAGRKSMIKLAQRMTYNFCAGVCASSIHKWDKLSVGNVGEDVRVMTRKNINDPGEPHGVVLSAATSVWMPVTQERLFDFLRDERMRSEWDILSNGGPMQEMVHVAKGMGHGNCVSLLRGSAINANENNMLILQETWSDASGALVVYAPVDISSMSVVMNGGDSAYVALLPSGFAILPGISPSYHGGRSESNGALVKPEIDGSIVSGCLLTVGFQILVNNVPTAKLTVESVETVNNLISCTIQKIKAALTVT >KJB23909 pep chromosome:Graimondii2_0_v6:4:29730728:29734800:-1 gene:B456_004G120700 transcript:KJB23909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGEMGLIGENFDPGFVGRMKEDGYEIRSESDNFDVASGDDQDAAADGPSKKKKYHRHTPRQIQELESFFKECPHPDEKQRMELSRRLGLEGKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMTTPICNSCGGPAVPGEISYEQHQLRIENARLKDELTRICALTNKFLGRPLSSSGSPIPPHSLNSNLELAVGRNGFGGLNNAGTSLPMGFEFGDGSMMPIVKPMVNEMQYDRSAFVDVALSAMDELIKMAQMDNPLWIKGLGGGMESLNVEEYKRNFSSCIGMKSSSYATEATKATGLVYLRGLALVEALMDANRWVEMFPCMISRAATIDVLSSGTGVTRDNELQVMDAEFQVLSPLVPVRQVRFIRFCKQHSEGVWAVVDVSIDPSQDATDTHMFPNCRRLPSGCVIQDVDTKCSKITWVEHSEYDDNAVHHLLQPLLSSGFGFGAHRWLATLQRQCDCMAILMSQDIPGENNTGITPAGRKSMIKLAQRMTYNFCAGVCASSIHKWDKLSVGNVGEDVRVMTRKNINDPGEPHGVVLSAATSVWMPVTQERLFDFLRDERMRSEWDILSNGGPMQEMVHVAKGMGHGNCVSLLRGSVSVLLPRLVKTYAADIFDFLLSNSIPPFLYFTCVFFVLGFMAPFHARLQAINANENNMLILQETWSDASGALVVYAPVDISSMSVVMNGGDSAYVALLPSGFAILPGISPSYHGGRSESNGALVKPEIDGSIVSGCLLTVGFQILVNNVPTAKLTVESVETVNNLISCTIQKIKAALTVT >KJB23888 pep chromosome:Graimondii2_0_v6:4:29612658:29617695:-1 gene:B456_004G120500 transcript:KJB23888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSFGCSASGERLVSAARDGDLVEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFSAVNGHVRCITLLVADFVPNPPFEAMNNQIEGEKGDGSTVRNKNDQSALSKFVNKAADGGNTALHMAALNGHFDCVQLLLDLHANVSAVTFHYGTSMDLIGAGSTPLHYAACGGNLKCCQILLARGASRLTLNCNGWLPLDVARMWGRHWLEPLLAPNSDTTIPRFPSSNYLSLPLSSVLNIARECGLQSPTTPLDDADTCAVCLERVCSVAAEGCGHELCVRCALYLCSTSHIPSNIVAPPGSIPCPLCRHGILSFVKLPISPVKENRLHVSLGLCTPCMLHPCDVDCPSPASEIRNNRVASVSSDIFCPVTCSPFPSVAIPLCTCNDGPCPSFESGETEMQDGSPRRSQSTSIEQDKIEGPRLERTTCSNMFWGRRSCSREHQCNSEINA >KJB23889 pep chromosome:Graimondii2_0_v6:4:29612669:29617578:-1 gene:B456_004G120500 transcript:KJB23889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSFGCSASGERLVSAARDGDLVEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFSAVNGHVRCITLLVADFVPNPPFEAMNNQIEGEKGDGSTVRNKNDQSALSKFVNKAADGGNTALHMAALNGHFDCVQLLLDLHANVSAVTFHYGTSMDLIGAGSTPLHYAACGGNLKCCQILLARGASRLTLNCNGWLPLDVARMWGRHWLEPLLAPNSDTTIPRFPSSNYLSLPLSSVLNIARECGLQSPTTPLDDADTCAVCLERVCSVAAEGTSSTCCFHKFGCGHELCVRCALYLCSTSHIPSNIVAPPGSIPCPLCRHGILSFVKLPISPVKENRLHVSLGLCTPCMLHPCDVDCPSPASEIRNNRVASVSSDIFCPVTCSPFPSVAIPLCTCNDGPCPSFESGETEMQDGSPRRSQSTSIEQDKIEGPRLERTTCSNMFWGRRSCSREHQCNSEINA >KJB23887 pep chromosome:Graimondii2_0_v6:4:29613093:29616528:-1 gene:B456_004G120500 transcript:KJB23887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFSAVNGHVRCITLLVADFVPNPPFEAMNNQIEGEKGDGSTVRNKNDQSALSKFVNKAADGGNTALHMAALNGHFDCVQLLLDLHANVSAVTFHYGTSMDLIGAGSTPLHYAACGGNLKCCQILLARGASRLTLNCNGWLPLDVARMWGRHWLEPLLAPNSDTTIPRFPSSNYLSLPLSSVLNIARECGLQSPTTPLDDADTCAVCLERVCSVAAEGCGHELCVRCALYLCSTSHIPSNIVAPPGSIPCPLCRHGILSFVKLPISPVKENRLHVSLGLCTPCMLHPCDVDCPSPASEIRNNRVASVSSDIFCPVTCSPFPSVAIPLCTCNDGPCPSFESGETEMQDGSPRRSQSTSIEQDKIEGPRLERTTCSNMFWGRRSCSREHQCNSEINA >KJB25490 pep chromosome:Graimondii2_0_v6:4:51652751:51655685:1 gene:B456_004G196100 transcript:KJB25490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDALQFPIIDLSFPDRFSIANLIRQGCVDHGFFYLVNHGVDEELISKVFEQSSNFFSLPIEEKMKLVRKNHRGYTALYAEKLDTTLTTEGDSKESFYIGPLADDLNQWPLEEDLPSWRSTMETYHQKLLSAVTKLLSLIALSLKLEEDFFEKVGALIEPLAFIRLLHYPDLNSSSEEIFGASAHSDYGMVTLLVTDGVPGLQICREKSKQPQVWEDVPSMSGAFIVNIGDMMERWTNGLFRSTLHRVLQPRQDRYSVAFFTNPSKDCTVECLESCCTESCPLRFPPIKAIDYLEERLRLTYGL >KJB25489 pep chromosome:Graimondii2_0_v6:4:51652921:51654543:1 gene:B456_004G196100 transcript:KJB25489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDALQFPIIDLSFPDRFSIANLIRQGCVDHGFFYLVNHGVDEELISKVFEQSSNFFSLPIEEKMKLVRKNHRGYTALYAEKLDTTLTTEGDSKESFYIGPLADDLNQWPLEEDLPSWRSTMETYHQKLLSAVTKLLSLIALSLKLEEDFFEKVGALIEPLAFIRLLHYPGDLNSSSEEIFGASAHSDYGMVTLLVTDGVPGLQICREKSKQPQVWEDVPSMSG >KJB25487 pep chromosome:Graimondii2_0_v6:4:51652658:51655730:1 gene:B456_004G196100 transcript:KJB25487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDALQFPIIDLSFPDRFSIANLIRQGCVDHGFFYLVNHGVDEELISKVFEQSSNFFSLPIEEKMKLVRKNHRGYTALYAEKLDTTLTTEGDSKESFYIGPLADDLNQWPLEEDLPSWRSTMETYHQKLLSAVTKLLSLIALSLKLEEDFFEKVGALIEPLAFIRLLHYPGDLNSSSEEIFGASAHSDYGMVTLLVTDGVPGLQICREKSKQPQVWEDVPSMSGAFIVNIGDMMERWTNGLFRSTLHRVLQPRQDRYSVAFFTNPSKDCTVECLESCCTESCPLRFPPIKAIDYLEERLRLTYGL >KJB25492 pep chromosome:Graimondii2_0_v6:4:51653022:51655685:1 gene:B456_004G196100 transcript:KJB25492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRKNHRGYTALYAEKLDTTLTTEGDSKESFYIGPLADDLNQWPLEEDLPSWRSTMETYHQKLLSAVTKLLSLIALSLKLEEDFFEKVGALIEPLAFIRLLHYPGDLNSSSEEIFGASAHSDYGMVTLLVTDGVPGLQICREKSKQPQVWEDVPSMSGAFIVNIGDMMERWTNGLFRSTLHRVLQPRQDRYSVAFFTNPSKDCTVECLESCCTESCPLRFPPIKAIDYLEERLRLTYGL >KJB25491 pep chromosome:Graimondii2_0_v6:4:51652768:51655685:1 gene:B456_004G196100 transcript:KJB25491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDALQFPIIDLSFPDRFSIANLIRQGCVDHGFFYLVNHGVDEELISKVFEQSSNFFSLPIEEKMKLVRKNHRGYTALYAEKLDTTLTTEGDSKESFYIGPLADDLNQWPLEEDLPSWRSTMETYHQKLLSAVTKLLSLIALSLKLEEDFFEKVGALIEPLAFIRLLHYPDLNSSSEEIFGASAHSDYGMVTLLVTDGVPGLQICREKSKQPQVWEDVPSMSGAFIVNIGDMMERWTNGLFRLHSLQILAKTVLWNVWKAVALSLVH >KJB25488 pep chromosome:Graimondii2_0_v6:4:51652667:51655730:1 gene:B456_004G196100 transcript:KJB25488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDALQFPIIDLSFPDRFSIANLIRQGCVDHGFFYLVNHGVDEELISKVFEQSSNFFSLPIEEKMKLVRKNHRGYTALYAEKLDTTLTTEGDSKESFYIGPLADDLNQWPLEEDLPSWRSTMETYHQKLLSAVTKLLSLIALSLKLEEDFFEKVGALIEPLAFIRLLHYPGDLNSSSEEIFGASAHSDYGMVTLLVTDGVPGLQICREKSKQPQVWEDVPSMSGAFIVNIGDMMERWTNGLFRLHSLQILAKTVLWNVWKAVALSLVH >KJB22208 pep chromosome:Graimondii2_0_v6:4:2882987:2885065:-1 gene:B456_004G035200 transcript:KJB22208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEGKTADAQFFHLLSNLLHQVEALTNQEEVELRSKIEALGLEVTKVPSKSTHSLDELEIARELDKLSAKLDGVDEMISSAMAADPQVRSLLSGTADVWMPVITANADERRNLAASISTDNPLGNNEDDNKPDN >KJB22209 pep chromosome:Graimondii2_0_v6:4:2882938:2885069:-1 gene:B456_004G035200 transcript:KJB22209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEGKTADAQFFHLLSNLLHQVEALTNQEEVELRSKIEALGLEVTKVPSKSTHSLDELEIARELDKLSAKLDGVDEMISSAMAADPQVRSLLSGTADVWMPVITANADERRNLAASISTDNPLGNNEDDNKPDN >KJB22207 pep chromosome:Graimondii2_0_v6:4:2882987:2885069:-1 gene:B456_004G035200 transcript:KJB22207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEGKTADAQFFHLLSNLLHQVEALTNQEEVELRSKIEALGLEVTKVPSKSTHSLDELEIARELDKLSAKLDGVDEMISSAMAADPQVRSLLSGTADVWMPVITANADERRNLAASISTDNPLGNNEDDNKPDN >KJB23335 pep chromosome:Graimondii2_0_v6:4:13904846:13906193:1 gene:B456_004G093600 transcript:KJB23335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLVGHVLPGLAFFLLGFWHLFNHIKLHSLHPNPYTSPTWFPTPKSRHLELFLIMVASSISISMELFISPARHQPLDPDGTIPSTHLHNFEHSSISMTFFTYAAFAIILDKISPKAKHSLTQFIAAVAFAQQLLLFHFHSADHMGVEGQYHLLLQTVIVVSLTTTLTGIGLPKSFMVVRCSSDEALQRAKSLVNIIFSWTLIAVIIFSMALYLVLAKLYGEKMDYLTLKKEEDLELEVEEESDGFESQKEGKLYAVMDIER >KJB23330 pep chromosome:Graimondii2_0_v6:4:13714453:13715231:-1 gene:B456_004G0933002 transcript:KJB23330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RII >KJB25091 pep chromosome:Graimondii2_0_v6:4:48026095:48032533:-1 gene:B456_004G176500 transcript:KJB25091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) UniProtKB/Swiss-Prot;Acc:Q56WM6] MMTMKKRLACCTRDREISLDFDEQDNKFSGIMTYNGLESCIINNQSYENESRTSRGDGCASDSLDDDFSSCSSSKDAFGSFSSKWMKRDEQGSDEWELSESPEHFYVKEKPAYSIQSSDVETMKENFAKLLLGEDITGGRKGVSTALALSNAITNLAASIFGELWKLEPLAEERKNKWRREMDWLLSPTNYMVELVPAKQNGANGRTMEIMTPKARADIHMNLPALQKLDSMLIETLESMVNAQFYYAEVGSRAEGRNKSARESKRWWLPLPQVPKTGLSDTERQKLQCKGKVVYQVFKAAASINENVLLEIPLPSIIRDALPKSGKASLGDELYKVLTLESTSVDEMFISLNLKSENNALEVINRLEAAVFAWKGRLTEQASGKSPVRKSWSFIKDPISEMDKMELLVDRAEALLHQIKSAYPNLPQTFLDASKIQYGKDVGHSILEAYSRVLKNLAFSIMCRMGEILQEDSLCNPNSGVATWCFPGINVGNPRHSHSLSDVMSKVEGSTANPIRTGSCTVMQV >KJB25095 pep chromosome:Graimondii2_0_v6:4:48026095:48032533:-1 gene:B456_004G176500 transcript:KJB25095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) UniProtKB/Swiss-Prot;Acc:Q56WM6] MMTMKKRLACCTRDREISLDFDEQDNKFSGIMTYNGLESCIINNQSYENESRTSRGDGCASDSLDDDFSSCSSSKDAFGSFSSKWMKRDEQGSDEWELSESPEHFYVKEKPAYSIQSSDVETMKENFAKLLLGEDITGGRKGVSTALALSNAITNLAASIFGELWKLEPLAEERKNKWRREMDWLLSPTNYMVELVPAKQNGANGRTMEIMTPKARADIHMNLPALQKLDSMLIVEVGSRAEGRNKSARESKRWWLPLPQVPKTGLSDTERQKLQCKGKVVYQVFKAAASINENVLLEIPLPSIIRDALPKSGKASLGDELYKVLTLESTSVDEMFISLNLKSENNALEVINRLEAAVFAWKGRLTEQASGKSPVRKSWSFIKDPISEMDKMELLVDRAEALLHQIKSAYPNLPQTFLDASKIQYGKDVGHSILEAYSRVLKNLAFSIMCRMGEILQEDSLCNPNSGVATWCFPGINVGNPRHSHSLSDVMSKVEGSTANPIRTGSCTVMQV >KJB25092 pep chromosome:Graimondii2_0_v6:4:48026049:48032598:-1 gene:B456_004G176500 transcript:KJB25092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) UniProtKB/Swiss-Prot;Acc:Q56WM6] MMTMKKRLACCTRDREISLDFDEQDNKFSGIMTYNGLESCIINNQSYENESRTSRGDGCASDSLDDDFSSCSSSKDAFGSFSSKWMKRDEQGSDEWELSESPEHFYVKEKPAYSIQSSDVETMKENFAKLLLGEDITGGRKGVSTALALSNAITNLAASIFGELWKLEPLAEERKNKWRREMDWLLSPTNYMVELVPAKQNGANGRTMEIMTPKARADIHMNLPALQKLDSMLIETLESMVNAQFYYAEVGSRAEGRNKSARESKRWWLPLPQVPKTGLSDTERQKLQCKGKVVYQVFKAAASINENVLLEIPLPSIIRDALPKSGKASLGDELYKVLTLESTSVDEMFISLNLKSENNALEVINRLEAAVFAWKGRLTEQASGKSPVRKSWSFIKDPISEMDKMELLVDRAEALLHQIKSAYPNLPQTFLDASKIQYGKDVGHSILEAYSRVLKNLAFSIMCRMGEILQEDSLCNPNSGVATWCFPGINVGNPRHSHSLSDVMSKVEGSTANPIRTGSCTVMQV >KJB25094 pep chromosome:Graimondii2_0_v6:4:48026095:48032618:-1 gene:B456_004G176500 transcript:KJB25094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) UniProtKB/Swiss-Prot;Acc:Q56WM6] MMTMKKRLACCTRDREISLDFDEQDNKFSGIMTYNGLESCIINNQSYENESRTSRGDGCASDSLDDDFSSCSSSKDAFGSFSSKWMKRDEQGSDEWELSESPEHFYVKEKPAYSIQSSDVETMKENFAKLLLGEDITGGRKGVSTALALSNAITNLAASIFGELWKLEPLAEERKNKWRREMDWLLSPTNYMVELVPAKQNGANGRTMEIMTPKARADIHMNLPALQKLDSMLIETLESMVNAQFYYAEVGSRAEGRNKSARESKRWWLPLPQVPKTGLSDTERQKLQCKGKVVYQVFKAAASINENVLLEIPLPSIIRDALPKSGKASLGDELYKVLTLESTSVDEMFISLNLKSENNALEVINRLEAAVFAWKGRLTEQASGKSPVRKSWSFIKDPISEMDKMELLVDRAEALLHQIKSAYPNLPQTFLDASKIQYGKDVGHSILEAYSRVLKNLAFSIMCRMGEILQEDSLCNPNSGVATWCFPGINVGNPRHSHSLSDVMSKVEGSTANPIRTGSCTVMQV >KJB25096 pep chromosome:Graimondii2_0_v6:4:48026095:48032497:-1 gene:B456_004G176500 transcript:KJB25096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) UniProtKB/Swiss-Prot;Acc:Q56WM6] MTYNGLESCIINNQSYENESRTSRGDGCASDSLDDDFSSCSSSKDAFGSFSSKWMKRDEQGSDEWELSESPEHFYVKEKPAYSIQSSDVETMKENFAKLLLGEDITGGRKGVSTALALSNAITNLAASIFGELWKLEPLAEERKNKWRREMDWLLSPTNYMVELVPAKQNGANGRTMEIMTPKARADIHMNLPALQKLDSMLIETLESMVNAQFYYAEVGSRAEGRNKSARESKRWWLPLPQVPKTGLSDTERQKLQCKGKVVYQVFKAAASINENVLLEIPLPSIIRDALPKSGKASLGDELYKVLTLESTSVDEMFISLNLKSENNALEVINRLEAAVFAWKGRLTEQASGKSPVRKSWSFIKDPISEMDKMELLVDRAEALLHQIKSAYPNLPQTFLDASKIQYGKDVGHSILEAYSRVLKNLAFSIMCRMGEILQEDSLCNPNSGVATWCFPGINVGNPRHSHSLSDVMSKVEGSTANPIRTGSCTVMQV >KJB25093 pep chromosome:Graimondii2_0_v6:4:48026095:48032497:-1 gene:B456_004G176500 transcript:KJB25093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) UniProtKB/Swiss-Prot;Acc:Q56WM6] MMTMKKRLACCTRDREISLDFDEQDNKFSGIMTYNGLESCIINNQSYENESRTSRGDGCASDSLDDDFSSCSSSKDAFGSFSSKWMKRDEQGSDEWELSESPEHFYVKEKPAYSIQSSDVETMKENFAKLLLGEDITGGRKGVSTALALSNAITNLAASIFGELWKLEPLAEERKNKWRREMDWLLSPTNYMVELVPAKQNGANGRTMEIMTPKARADIHMNLPALQKLDSMLIETLESMVNAQFYYAEVGSRAEGRNKSARESKRWWLPLPQVPKTGLSDTERQKLQCKGKVVYQVFKAAASINENVLLEIPLPSIIRDALPKSGKASLGDELYKVLTLESTSVDEMFISLNLKSENNALEVINRLEAAVFAWKGRLTEQASGKSPVRKSWSFIKDPISEMDKMELLVDRAEALLHQIKSAYPNLPQTFLDASKIQYGKDVGHSILEAYSRVLKNLAFSIMCRMGEILQEDSLCNPNSGVATWCFPGINVGNPRHSHSLSDVMSKVEGSTANPIRTGSCTVMQV >KJB25090 pep chromosome:Graimondii2_0_v6:4:48026095:48032523:-1 gene:B456_004G176500 transcript:KJB25090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) UniProtKB/Swiss-Prot;Acc:Q56WM6] MMTMKKRLACCTRDREISLDFDEQDNKFSGIMTYNGLESCIINNQSYENESRTSRGDGCASDSLDDDFSSCSSSKDAFGSFSSKWMKRDEQGSDEWELSESPEHFYVKEKPAYSIQSSDVETMKENFAKLLLGEDITGGRKGVSTALALSNAITNLAASIFGELWKLEPLAEERKNKWRREMDWLLSPTNYMVELVPAKQNGANGRTMEIMTPKARADIHMNLPALQKLDSMLIETLESMVNAQFYYAEVGSRAEGRNKSARESKRWWLPLPQVPKTGLSDTERQKLQCKGKVVYQVFKAAASINENVLLEIPLPSIIRDALPKSGKASLGDELYKVLTLESTSVDEMFISLNLKSENNALEVINRLEAAVFAWKGRLTEQASGKSPVRKSWSFIKDPISEMDKMELLVDRAEALLHQIKSAYPNLPQTFLDASKIQYGKDVGHSILEAYSRVLKNLAFSIMCRMGEILQEDSLCNPNSGVATWCFPGINVGNPRHSHSLSDVMSKVEGSTANPIRTGSCTVMQV >KJB21442 pep chromosome:Graimondii2_0_v6:4:39949858:39950500:1 gene:B456_004G141800 transcript:KJB21442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHGIHKSTATQRVLGCLCKKDRKPLLPGFNKTMAVLELWKKVEAHQFNPPSTKLVFELRYKPHFGMTIFLDVYEAHLAESKYLACDHFTLVDLHYLPNKLFDSKPYVSTWVADITSRLA >KJB22076 pep chromosome:Graimondii2_0_v6:4:2206215:2214373:1 gene:B456_004G028100 transcript:KJB22076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSKFKAVDFYRKIPRDLTEASVSGAWLCIAAALAMIFLLGMELNKYLTVSTSTSIIIDNSSDGDFLRIGFNISFPALSCEFASVDVSDALGTNRFNITKTIRKFSKDPQLRHKAIEFHSEPVPHVSQHGDEVDDEAVEGSVSLNSDSFAKLSTCFECLSFRHPILVVNFFAPWCYWSTRLRPSWEKAAKIIKERYDPDKDGRIILAKVNCNEAADLCKRHHVQGYPSIRIFRNGSDLRDDDGHHEHESYYGDRDTDALVKAMEELVSPTPLANQKLALEKNRPAPLTGGCRIEGYVRVKKVPGNLIISSRSGAHSFDSSHMNMSHVIGHLSFGKIISPQVLSDVKRLIPYLGRSHDKLNGRSFINHRDLDANVTIEHYLQAVKTEVVTVKSSHESSLIEQYEYTLHSTQQSGTKRKHACCQISFPVISNAGFNNRKPKIIVTLSHQCLRYNWRCFHGCWDFGFNLIQYNKADEKNGDRQKHLTGKQKKRDNFVIM >KJB22075 pep chromosome:Graimondii2_0_v6:4:2206215:2214373:1 gene:B456_004G028100 transcript:KJB22075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSKFKAVDFYRKIPRDLTEASVSGAWLCIAAALAMIFLLGMELNKYLTVSTSTSIIIDNSSDGDFLRIGFNISFPALSCEFASVDVSDALGTNRFNITKTIRKFSKDPQLRHKAIEFHSEPVPHVSQHGDEVDDEAVEGSVSLNSDSFAKLSTCFECLSFRHPILVVNFFAPWCYWSTRLRPSWEKAAKIIKERYDPDKDGRIILAKVNCNEAADLCKRHHVQGYPSIRIFRNGSDLRDDDGHHEHESYYGDRDTDALVKAMEELVSPTPLANQKLALEKNRPAPLTGGCRIEGYVRVKKVPGNLIISSRSGAHSFDSSHMNMSHVIGHLSFGKIISPQVLSDVKRLIPYLGRSHDKLNGRSFINHRDLDANVTIEHYLQAVKTEVVTVKSSHESSLIEQYEYTLHSTQQSGTKRKHACCQISFPVISNAGCWDFGFNLIQYNKADEKNGDRQKHLTGKQKKRDNFVIM >KJB22380 pep chromosome:Graimondii2_0_v6:4:3927468:3933860:1 gene:B456_004G044600 transcript:KJB22380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLREAIATYRNSILRQPDEMKREAALSFFVEYLERYYFLICFAVYIHSERAALRSSSSGHTSFADWMKARPELYSIIRRLLRRDPMGALGYASLKPSLTKSVESADRRPHEVGVVAAMRSGEVLGSQTVLKSDHCPGCQNVSLPERVEGAPNFREVPGFPVFGVANPTIDGIRSVLQRIGSSKGGRPVFWHNMREEPVVYINGKPFVLREVERPYKNMLEYSGIDRERVERMEARLKEDILREAESYEGAIMVIHETKDGQIFDAWEHVNSDSIQTPLEVFKSLEDDGFPIKYARVPITDGKAPKSSDFDRLAANIASASKDTAFVFNCQMGRGRTTTGTVIACLVKLRIDYGRPIKVLPGDVNHEQADGSSSSGEESGSDATRLISSTVKVRTKNEQGRAFGIDDILLLWKITRLFDNGVECREVLDAIIDRCSALQNIRQAVLHYRKVFNQQHIEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDSFCGQGECKMSFKNWLHQRPEVQAMKWSIRLRPGRFFTVPEELRAPHELQHGDAVMEAIVKTRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVFKVDGYPLYSMATPTITGAKEMLAFLGARSIAGVAGQKVVVTDLREEAVVYINGTPFVLRELNKPVDTLKHVGITGPVVEHMEARLKEDILSEVRQSGGRMLLHREEFSPSSNQSSVVGYWENIFTDDVKTAAELYAALKDEGYNIEYRRIPLTREREALASDVDEIQNCQDDSAGCYLYVSHTGFGGVAYAMAIICCRLDAEVNFGTSNAQSLGDAHLNSTPEENLPSWTSEEEARRMGDYRDILSLTRVLMHGPKSKANVDTIIERCAGAGHLRDDILHYSKELEKVPSDDDENRACIMDMGVKAMRRYFFLITFRSYLYSTSPIKMKFTTWMDARPELGHLCNNLRIDK >KJB22382 pep chromosome:Graimondii2_0_v6:4:3929594:3934183:1 gene:B456_004G044600 transcript:KJB22382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARLKEDILREAESYEGAIMVIHETKDGQIFDAWEHVNSDSIQTPLEVFKSLEDDGFPIKYARVPITDGKAPKSSDFDRLAANIASASKDTAFVFNCQMGRGRTTTGTVIACLVKLRIDYGRPIKVLPGDVNHEQADGSSSSGEESGSDATRLISSTVKVRTKNEQGRAFGIDDILLLWKITRLFDNGVECREVLDAIIDRCSALQNIRQAVLHYRKVFNQQHIEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDSFCGQGECKMSFKNWLHQRPEVQAMKWSIRLRPGRFFTVPEELRAPHELQHGDAVMEAIVKTRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVFKVDGYPLYSMATPTITGAKEMLAFLGARSIAGVAGQKVVVTDLREEAVVYINGTPFVLRELNKPVDTLKHVGITGPVVEHMEARLKEDILSEVRQSGGRMLLHREEFSPSSNQSSVVGYWENIFTDDVKTAAELYAALKDEGYNIEYRRIPLTREREALASDVDEIQNCQDDSAGCYLYVSHTGFGGVAYAMAIICCRLDAEVNFGTSNAQSLGDAHLNSTPEENLPSWTSEEEARRMGDYRDILSLTRVLMHGPKSKANVDTIIERCAGAGHLRDDILHYSKELEKVPSDDDENRACIMDMGVKAMRRYFFLITFRSYLYSTSPIKMKFTTWMDARPELGHLCNNLRIDK >KJB22379 pep chromosome:Graimondii2_0_v6:4:3923962:3934183:1 gene:B456_004G044600 transcript:KJB22379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLRVHGVAIPTIVGIHNVLKHIGAQKGGKAHVLWINLREEPVVYINGRPFVLRDVERPFSNLEYTGINRDRVEQMEARLKEDILLEAARYGNKILVTDELPDGQMVDQWERVSCDSVKTPLEVYEKLQVEGYLVDYERVPITDEKSPKELDFDIVVNKISQADISTEVVFNCQMGRGRTTTGMVIATLAYLNRIGASGIPRNDSIGRVSDYASNVTDNLPNSEDAIRRGEYAVIRSLIRVLEGGVEGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREAALSFFVEYLERYYFLICFAVYIHSERAALRSSSSGHTSFADWMKARPELYSIIRRLLRRDPMGALGYASLKPSLTKSVESADRRPHEVGVVAAMRSGEVLGSQTVLKSDHCPGCQNVSLPERVEGAPNFREVPGFPVFGVANPTIDGIRSVLQRIGSSKGGRPVFWHNMREEPVVYINGKPFVLREVERPYKNMLEYSGIDRERVERMEARLKEDILREAESYEGAIMVIHETKDGQIFDAWEHVNSDSIQTPLEVFKSLEDDGFPIKYARVPITDGKAPKSSDFDRLAANIASASKDTAFVFNCQMGRGRTTTGTVIACLVKLRIDYGRPIKVLPGDVNHEQADGSSSSGEESGSDATRLISSTVKVRTKNEQGRAFGIDDILLLWKITRLFDNGVECREVLDAIIDRCSALQNIRQAVLHYRKVFNQQHIEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDSFCGQGECKMSFKNWLHQRPEVQAMKWSIRLRPGRFFTVPEELRAPHELQHGDAVMEAIVKTRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVFKVDGYPLYSMATPTITGAKEMLAFLGARSIAGVAGQKVVVTDLREEAVVYINGTPFVLRELNKPVDTLKHVGITGPVVEHMEARLKEDILSEVRQSGGRMLLHREEFSPSSNQSSVVGYWENIFTDDVKTAAELYAALKDEGYNIEYRRIPLTREREALASDVDEIQNCQDDSAGCYLYVSHTGFGGVAYAMAIICCRLDAEVNFGTSNAQSLGDAHLNSTPEENLPSWTSEEEARRMGDYRDILSLTRVLMHGPKSKANVDTIIERCAGAGHLRDDILHYSKELEKVPSDDDENRACIMDMGVKAMRRYFFLITFRSYLYSTSPIKMKFTTWMDARPELGHLCNNLRIDK >KJB22381 pep chromosome:Graimondii2_0_v6:4:3929594:3934183:1 gene:B456_004G044600 transcript:KJB22381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARLKEDILREAESYEGAIMVIHETKDGQIFDAWEHVNSDSIQTPLEVFKSLEDDGFPIKYARVPITDGKAPKSSDFDRLAANIASASKDTAFVFNCQMGRGRTTTGTVIACLVKLRIDYGRPIKVLPGDVNHEQADGSSSSGEESGSDATRLISSTVKVRTKNEQGRAFGIDDILLLWKITRLFDNGVECREVLDAIIDRCSALQNIRQAVLHYRKVFNQQHIEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDSFCGQGECKMSFKNWLHQRPEVQAMKWSIRLRPGRFFTVPEELRAPHELQHGDAVMEAIVKTRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVFKVDGYPLYSMATPTITGAKEMLAFLGARSIAGVAGQKVVVTDLREEAVVYINGTPFVLRELNKPVDTLKHVGITGPVVEHMEARLKEDILSEVRQSGGRMLLHREEFSPSSNQSSVVGYWENIFTDDVKTAAELYAALKDEGSAGCYLYVSHTGFGGVAYAMAIICCRLDAEVNFGTSNAQSLGDAHLNSTPEENLPSWTSEEEARRMGDYRDILSLTRVLMHGPKSKANVDTIIERCAGAGHLRDDILHYSKELEKVPSDDDENRACIMDMGVKAMRRYFFLITFRSYLYSTSPIKMKFTTWMDARPELGHLCNNLRIDK >KJB22378 pep chromosome:Graimondii2_0_v6:4:3922842:3934181:1 gene:B456_004G044600 transcript:KJB22378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPKELEQVMKLRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQADSLRVHGVAIPTIVGIHNVLKHIGAQKGGKAHVLWINLREEPVVYINGRPFVLRDVERPFSNLEYTGINRDRVEQMEARLKEDILLEAARYGNKILVTDELPDGQMVDQWERVSCDSVKTPLEVYEKLQVEGYLVDYERVPITDEKSPKELDFDIVVNKISQADISTEVVFNCQMGRGRTTTGMVIATLAYLNRIGASGIPRNDSIGRVSDYASNVTDNLPNSEDAIRRGEYAVIRSLIRVLEGGVEGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREAALSFFVEYLERYYFLICFAVYIHSERAALRSSSSGHTSFADWMKARPELYSIIRRLLRRDPMGALGYASLKPSLTKSVESADRRPHEVGVVAAMRSGEVLGSQTVLKSDHCPGCQNVSLPERVEGAPNFREVPGFPVFGVANPTIDGIRSVLQRIGSSKGGRPVFWHNMREEPVVYINGKPFVLREVERPYKNMLEYSGIDRERVERMEARLKEDILREAESYEGAIMVIHETKDGQIFDAWEHVNSDSIQTPLEVFKSLEDDGFPIKYARVPITDGKAPKSSDFDRLAANIASASKDTAFVFNCQMGRGRTTTGTVIACLVKLRIDYGRPIKVLPGDVNHEQADGSSSSGEESGSDATRLISSTVKVRTKNEQGRAFGIDDILLLWKITRLFDNGVECREVLDAIIDRCSALQNIRQAVLHYRKVFNQQHIEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDSFCGQGECKMSFKNWLHQRPEVQAMKWSIRLRPGRFFTVPEELRAPHELQHGDAVMEAIVKTRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVFKVDGYPLYSMATPTITGAKEMLAFLGARSIAGVAGQKVVVTDLREEAVVYINGTPFVLRELNKPVDTLKHVGITGPVVEHMEARLKEDILSEVRQSGGRMLLHREEFSPSSNQSSVVGYWENIFTDDVKTAAELYAALKDEGYNIEYRRIPLTREREALASDVDEIQNCQDDSAGCYLYVSHTGFGGVAYAMAIICCRLDAEVNFGTSNAQSLGDAHLNSTPEENLPSWTSEEEARRMGDYRDILSLTRVLMHGPKSKANVDTIIERCAGAGHLRDDILHYSKELEKVPSDDDENRACIMDMGVKAMRRYFFLITFRSYLYSTSPIKMKFTTWMDARPELGHLCNNLRIDK >KJB24052 pep chromosome:Graimondii2_0_v6:4:35484570:35485888:-1 gene:B456_004G131000 transcript:KJB24052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETLKYLIGSTGVSGFGSKSTAEEVTETCPDLRSITAIITGATSGIGAETARVLAKRGARLVLPARNLKAAEEAKARIVSEFPESEIIVMALDLSSLTSVRNFVSELESLNLPVNILINNAGKFAHEHAISEDGIEMTFATNYLGHFLLTKLLLNTMMKTAKQTGVPGRIVNVSSSIHGWFSGDMIRYIGQISRSKSQYDATRAYALSKLANVLHTKELAQRLKQVDANVTVNCVHPGIVRTRLTREREGLITGIYI >KJB24054 pep chromosome:Graimondii2_0_v6:4:35483848:35486095:-1 gene:B456_004G131000 transcript:KJB24054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFATNYLGHFLLTKLLLNTMMKTAKQTGVPGRIVNVSSSIHGWFSGDMIRYIGQISRSKSQYDATRAYALSKLANVLHTKELAQRLKQVDANVTVNCVHPGIVRTRLTREREGLITDLVFFLASKLLKTIPQAAATTCYVATHPRLLNISGKYFADCNEAWTSKLGSNSNEAAKLWAASEIMVSKHAKAVFDPLSAFDYHHM >KJB24047 pep chromosome:Graimondii2_0_v6:4:35483848:35486095:-1 gene:B456_004G131000 transcript:KJB24047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETLKYLIGSTGVSGFGSKSTAEEVTETCPDLRSITAIITGATSGIGAETARVLAKRGARLVLPARNLKAAEEAKARIVSEFPESEIIVMALDLSSLTSVRNFVSELESLNLPVNILINNAGKFAHEHAISEDGIEMTFATNYLGHFLLTKLLLNTMMKTAKQTGVPGRIVNVSSSIHGWFSGDMIRYIGQISRSKSQYDATRAYALSKLANVLHTKELAQRLKQVDANVTVNCVHPGIVRTRLTREREGLITGSCHDLLRRHASKTAKYKWEILCRLQRSMDIQTGVEFQRSCKALGCL >KJB24049 pep chromosome:Graimondii2_0_v6:4:35484698:35485888:-1 gene:B456_004G131000 transcript:KJB24049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETLKYLIGSTGVSGFGSKSTAEEVTETCPDLRSITAIITGATSGIGAETARVLAKRGARLVLPARNLKAAEEAKARIVSEFPESEIIVMALDLSSLTSVRNFVSELESLNLPVNILINNAGKFAHEHAISEDGIEMTFATNYLGHFLLTKLLLNTMMKTAKQTGVPGRIVNVSSSIHGWFSGDMIRYIGQISRSKSQYDATRAYALSKLANVLHTKELAQRLKVILIIFFIIYLVIKVKFCCFPLFLYFARKCWPC >KJB24053 pep chromosome:Graimondii2_0_v6:4:35483848:35486095:-1 gene:B456_004G131000 transcript:KJB24053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTAKQTGVPGRIVNVSSSIHGWFSGDMIRYIGQISRSKSQYDATRAYALSKLANVLHTKELAQRLKQVDANVTVNCVHPGIVRTRLTREREGLITDLVFFLASKLLKTIPQAAATTCYVATHPRLLNISGKYFADCNEAWTSKLGSNSNEAAKLWAASEIMVSKHAKAVFDPLSAFDYHHM >KJB24046 pep chromosome:Graimondii2_0_v6:4:35484436:35485888:-1 gene:B456_004G131000 transcript:KJB24046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETLKYLIGSTGVSGFGSKSTAEEVTETCPDLRSITAIITGATSGIGAETARVLAKRGARLVLPARNLKAAEEAKARIVSEFPESEIIVMALDLSSLTSVRNFVSELESLNLPVNILINNAGKFAHEHAISEDGIEMTFATNYLGHFLLTKLLLNTMMKTAKQTGVPGRIVNVSSSIHGWFSGDMIRYIGQISRSKSQYDATRAYALSKLANVLHTKELAQRLKQVDANVTVNCVHPGIVRTRLTREREGLITDLVFFLASKLLKTIPQVN >KJB24048 pep chromosome:Graimondii2_0_v6:4:35484698:35485888:-1 gene:B456_004G131000 transcript:KJB24048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETLKYLIGSTGVSGFGSKSTAEEVTETCPDLRSITAIITGATSGIGAETARVLAKRGARLVLPARNLKAAEEAKARIVSEFPESEIIVMALDLSSLTSVRNFVSELESLNLPVNILINNAGKFAHEHAISEDGIEMTFATNYLGHFLLTKLLLNTMMKTAKQTGVPGRIVNVSSSIHGWFSGDMIRYIGQISRSKSQYDATRAYALSKLANVLHTKELAQRLKVILIIFFIIYLVIKVKFCCFPLFLYFARKCWPC >KJB24050 pep chromosome:Graimondii2_0_v6:4:35483848:35486095:-1 gene:B456_004G131000 transcript:KJB24050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETLKYLIGSTGVSGFGSKSTAEEVTETCPDLRSITAIITGATSGIGAETARVLAKRGARLVLPARNLKAAEEAKARIVSEFPESEIIVMALDLSSLTSVRNFVSELESLNLPVNILINNAGKFAHEHAISEDGIEMTFATNYLGHFLLTKLLLNTMMKTAKQTGVPGRIVNVSSSIHGWFSGDMIRYIGQISRSKSQYDATRAYALSKLANVLHTKELAQRLKV >KJB24045 pep chromosome:Graimondii2_0_v6:4:35484570:35485888:-1 gene:B456_004G131000 transcript:KJB24045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETLKYLIGSTGVSGFGSKSTAEEVTETCPDLRSITAIITGATSGIGAETARVLAKRGARLVLPARNLKAAEEAKARIVSEFPESEIIVMALDLSSLTSVRNFVSELESLNLPVNILINNAGKFAHEHAISEDGIEMTFATNYLGHFLLTKLLLNTMMKTAKQTGVPGRIVNVSSSIHGWFSGDMIRYIGQISRSKSQYDATRAYALSKLANVLHTKELAQRLKQVDANVTVNCVHPGIVRTRLTREREGLITGIYI >KJB24044 pep chromosome:Graimondii2_0_v6:4:35483848:35486095:-1 gene:B456_004G131000 transcript:KJB24044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDLSSLTSVRNFVSELESLNLPVNILINNAGKFAHEHAISEDGIEMTFATNYLGHFLLTKLLLNTMMKTAKQTGVPGRIVNVSSSIHGWFSGDMIRYIGQISRSKSQYDATRAYALSKLANVLHTKELAQRLKQVDANVTVNCVHPGIVRTRLTREREGLITDLVFFLASKLLKTIPQAAATTCYVATHPRLLNISGKYFADCNEAWTSKLGSNSNEAAKLWAASEIMVSKHAKAVFDPLSAFDYHHM >KJB24051 pep chromosome:Graimondii2_0_v6:4:35485030:35485888:-1 gene:B456_004G131000 transcript:KJB24051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETLKYLIGSTGVSGFGSKSTAEEVTETCPDLRSITAIITGATSGIGAETARVLAKRGARLVLPARNLKAAEEAKARIVSEFPESEIIVMALDLSSLTSVRNFVSELESLNLPVNILINNAGKFAHEHAISEDGIEMTFATNYLGHFLLTKLLLNTMMKTAKQTGVPGRIVNVSSSIHGWFSGDMIRYIGQISRSKR >KJB24043 pep chromosome:Graimondii2_0_v6:4:35483729:35486171:-1 gene:B456_004G131000 transcript:KJB24043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETLKYLIGSTGVSGFGSKSTAEEVTETCPDLRSITAIITGATSGIGAETARVLAKRGARLVLPARNLKAAEEAKARIVSEFPESEIIVMALDLSSLTSVRNFVSELESLNLPVNILINNAGKFAHEHAISEDGIEMTFATNYLGHFLLTKLLLNTMMKTAKQTGVPGRIVNVSSSIHGWFSGDMIRYIGQISRSKSQYDATRAYALSKLANVLHTKELAQRLKQVDANVTVNCVHPGIVRTRLTREREGLITDLVFFLASKLLKTIPQAAATTCYVATHPRLLNISGKYFADCNEAWTSKLGSNSNEAAKLWAASEIMVSKHAKAVFDPLSAFDYHHM >KJB21896 pep chromosome:Graimondii2_0_v6:4:1477160:1482362:-1 gene:B456_004G019800 transcript:KJB21896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSGAAEKRKARRSSASVQNVGRDPSSDTPPRKQAAKQDVYQLFAEKVRDHKDLESRWAVLQETRVEYFRGKDFVSFMKNHPELKEILESDRDLETEDIANNLLQKNLLVRCDRVVKTVRPGKKKLSTWPAHLEIFPERVFSENDAFFAWTFVKRRPLWQTLLSFFWPILTLAICLFPVYPHRCKLLILYSCAGLLLLILSLLLLRAAIFGAAWIVLGKRIWFFPNILAEEATLRELFRFLPKKDEEERPKWTARLFYAIVAVLVILLLRHHAPDEAARARYQKRMSNIIDDVLEWSPSLALSGMMEKQPVVNATEDNNKFSNESKVNSETVTPPDETENPDETDQHQTNNTI >KJB23661 pep chromosome:Graimondii2_0_v6:4:22462953:22465497:-1 gene:B456_004G108800 transcript:KJB23661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPIELQPQAITVQELAAINSRQVPERYIREGVGALDASFPVLDVPTIDLQLLASSSSTSGDELDKLRSALSSYGCIQAINHGITSAFLDKLREVARQFFALPSEEKKKYSREIGSIEGYGNDMILSEDQILDWTDRLYLILRPEDKQTLKFWPENPESFRGILNDYSTKLHVILEVLLKAMARSLNLEENQFLQQYGDQATMQARFNFYPICPRPDQVLGVKPHADGSVITMLLQDKEVEGLQVLKDDQWFNVPIIPQALLINVGDQAEIMSNGIFKSPVHRVVTNSERERITVAVFCIPHPDQEIQPVEELINETHPRLYKKVKDYVSLYFQYYQQGRRPMEAALI >KJB23662 pep chromosome:Graimondii2_0_v6:4:22463799:22465159:-1 gene:B456_004G108800 transcript:KJB23662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPIELQPQAITVQELAAINSRQVPERYIREGVGALDASFPVLDVPTIDLQLLASSSSTSGDELDKLRSALSSYGCIQAINHGITSAFLDKLREVARQFFALPSEEKKKYSREIGSIEGYGNDMILSEDQILDWTDRLYLILRPEDKQTLKFWPENPESFRGILNDYSTKLHVILEVLLKAMARSLNLEENQFLQQYGDQATMQARFNFYPICPRPDQVLGVKPHADGSVITMLLQDKEVEGLQVLKDDQWFNVPIIPQALLINVGDQAEVMTPII >KJB22327 pep chromosome:Graimondii2_0_v6:4:3633700:3636260:1 gene:B456_004G042100 transcript:KJB22327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQIQSLSFPHCTQTSANLSAFPHGFSYFSSQNRTSTLLGNQYSPSCSFNSLKRVSRIQFRSRKRGGLVRVRCGMVEDESVDDGFYIRRCVELARRATGFTSPNPLVGCVIVKDGKIVGEGFHPKAGQPHAEVFALRDAGNSAENATAYVSLEPCNHYGRTPPCTEALIKAKVKKVVVGMVDPNPIVASKGVARLKDAGIIVTVGVEEELCKRLNETWIHQMLTGKPFVTLRYSLSVNGHFLDQLGEGVTEAGGYYSKLLQEYDAIILSGSLSEKFSSPTSQEPGANQPLRIVVANNPGQFPSLTTEASKTVVFADKEIAAEADLARKEIEAVVLDRINLTPILEHCRCQGLCSILLDMRGSIRDLEELVRETIEQKVLQKIVVEVLPYWDESDGAESLVVLNGLVKRLELKNLRSEISNRSLVIEGYLQNR >KJB22326 pep chromosome:Graimondii2_0_v6:4:3633464:3636260:1 gene:B456_004G042100 transcript:KJB22326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQIQSLSFPHCTQTSANLSAFPHGFSYFSSQNRTSTLLGNQYSPSCSFNSLKRVSRIQFRSRKRGGLVRVRCGMVEDESVDDGFYIRRCVELARRATGFTSPNPLVGCVIVKDGKIVGEGFHPKAGQPHAEVFALRDAGNSAENATAYVSLEPCNHYGRTPPCTEALIKAKVKKVVVGMVDPNPIVASKGVARLKDAGIIVTVGVEEELCKRLNETWIHQMLTGKPFVTLRYSLSVNGHFLDQLGEGVTEAGGYYSKLLQEYDAIILSGSLSEKFSSPTSQEPGANQPLRIVVANNPGQFPSLTTEASKTVVFADKEIAAEADLARKEIEAVVLDRINLTPILEHCRCQGLCSILLDMRGSIRDLEELVRETIEQKVLQKIVVEVLPYWDESDGAESLVVLNGLVKRLELKNLRSEISNRSLVIEGYLQNR >KJB22714 pep chromosome:Graimondii2_0_v6:4:7169636:7170265:1 gene:B456_004G068400 transcript:KJB22714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNWWNDTSLWLDSVEAKDQVGLLAYICWNLWNSRNRFIFENKNEDPVSIWMKAMREAIEFNQRGSAPAPNSVKINCDASWNPSNQTAGIATVARDSFGKIIQGLNACVQVSSVRVAEALAVRSGAVLAVHRQWSNVALESDNKELMTSLEADLDNCWGSKAIEADIKSLLASVNYVFSFISKSGVAKMTRTLACPFDWVLFPPAGLARL >KJB26453 pep chromosome:Graimondii2_0_v6:4:58109239:58111496:1 gene:B456_004G243400 transcript:KJB26453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNGKHRWIFSFYKRSNSSSSPKQSKTLPPREFLCPISGSLMFDPVVIPSGQSFDRISIQVCRDLGFTPTLQDGSTPDFSTIIPNLAIKTTILAWCRDQHAQDPAPPDYSSVEKIVLSKIPEQTSSRLTSGPDIRVSERELLKAVAENPPVLFSHAATEIGPSVNSRLLCSTSTSSSSDESVIVTASSPYTPLPLSTRPACFSASGSSSSSTEITLSETPNLKSQSSSSLEEQELVLKLKSSDIFEQETGLVLLRKITRTKEEARVSLCSPRLLSALRSLITSRYSVVQTNAIASLVNLSLEKSNKVVIVRSGFVPLLIDALKAGSSEAQEHAAGALFSLALEEENKMAIGVLGALQPLLHALRSESERTQHDSALALYHLSLIQSNRVKLVKLGAVLTLLSMVKSGDSASRVLLVLCNLAACPEGKSAMLDANAVAILVGMLRESELVCKATRENCVAALFALSHGSMRFKGLAKEARAVEVLREVEERGSERAKDKAKRILQIMKDRKEDEDDEIDWEAALESDGLSRTRYRVGKDDFAANSTDF >KJB21656 pep chromosome:Graimondii2_0_v6:4:409097:410994:-1 gene:B456_004G007000 transcript:KJB21656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVAANLHLQLSEPNLTHSSAVIPSPSFPKRCRTDAVLVSKTVNRSTLFGSRSAIINRSKSSEIFKPKHKASSPIACIHYLSDDEFSKRIKDSALRFHLHDGDERSDDEEDSFDNELQWGESACCSMKKAFSSTVFIIRELHSYTLQMRESLLYEDLHGIFIRVQNEIHASFLWLFQQVFSRTPTLMIYVMILLANFSVRSMVNNAALAAETAVNPTMGSYSYVPSGEVRGKEQTKFDSLQLQNGKTGGGRGGGGKARPVACGGDEWFDETEWEPSEEELSHRNSIVDGTHCRTELVYKKGLSQEPNNPLLLANYAQFLYLVVHDYDRAEELFKKAIQVEPVDAEVHNKYAIFLWKAKKDLWAAEENFLKAIEVDPNNSYYPASYADFLWSTGGEDTCFPLGSSDSIA >KJB21930 pep chromosome:Graimondii2_0_v6:4:1690282:1697096:-1 gene:B456_004G022500 transcript:KJB21930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIAEGGNPWLRTVNNHVGRQIWEFDPNLGSPEELVEIEKARLNFTENRFRKKHSSDLLMRFQFSKENPLPVVLPPVKVEESENVTEEMVTNTLRRAISFHSSIQAHDGHWPGDYGGPMFLMPGLVITLSITGALNAVLSEEHKKEMSRYLYNHQNEDGGWGLHIEGPSTMFGTALSYVTLRLLGEGPNDGKGAMERGRDWILNHGGATEITSWGKMWLSVLGAFEWSGNNPLPPEIWLLPYMLPVHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTVLALRKELYTIPYHEIDWNRARNACAKEDLYYPHPLVQDILWASLHKIVEPILMCWPGKKLREKALQTAIEHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRLYDYLWVAEDGMKMQGYNGSQLWDTAFAVQAILSTNLTEEYSSTLRKANMYLRNTQVLEDCPGDLNFWYRHISKGAWPFSTADHGWPISDCTAEGLKAVLLLSKIPPEIVGEPLEAKRLYDAVNVILSLQNGDGGFATYELTRSYPWLELINPAETFGDIVIDYSYVECTSAAIQALVSFRKSHPGHRREEIDQCIKKATKFIENIQAPDGSWYGSWGVCFTYGTWFGVKGLVAAGKNFNNSSSIQKACNFLLSKQLPSGGWGESYLSCQNKVYSNVEGNKPHMVNTGWAMLALIDAGQAERDPTPLHKGAKYLINSQMEDGDFPQQEIMGVFNRNCMISYSAYRNIFPIWALGEYRTRVLQQRTTL >KJB21932 pep chromosome:Graimondii2_0_v6:4:1690405:1696996:-1 gene:B456_004G022500 transcript:KJB21932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIAEGGNPWLRTVNNHVGRQIWEFDPNLGSPEELVEIEKARLNFTENRFRKKHSSDLLMRFQFSKENPLPVVLPPVKVEESENVTEEMVTNTLRRAISFHSSIQAHDGHWPGDYGGPMFLMPGLVITLSITGALNAVLSEEHKKEMSRYLYNHQNEDGGWGLHIEGPSTMFGTALSYVTLRLLGEGPNDGKGAMERGRDWILNHGGATEITSWGKMWLSVLGAFEWSGNNPLPPEIWLLPYMLPVHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTVLALRKELYTIPYHEIDWNRARNACAKEDLYYPHPLVQDILWASLHKIVEPILMCWPGKKLREKALQTAIEHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRLYDYLWVAEDGMKMQGYNGSQLWDTAFAVQAILSTNLTEEYSSTLRKANMYLRNTQVLEDCPGDLNFWYRHISKGAWPFSTADHGWPISDCTAEGLKAVLLLSKIPPEIVGEPLEAKRLYDAVNVILSLQNGDGGFATYELTRSYPWLELINPAETFGDIVIDYSYVECTSAAIQALVSFRKSHPGHRREEIDQCIKKATKFIENIQAPDGSWYGSWGVCFTYGTWFGVKGLVAAGKNFNNSSSIQKACNFLLSKQLPSGGWGESYLSCQNKAERDPTPLHKGAKYLINSQMEDGDFPQQEIMGVFNRNCMISYSAYRNIFPIWALGEYRTRVLQQRTTL >KJB21931 pep chromosome:Graimondii2_0_v6:4:1690405:1696996:-1 gene:B456_004G022500 transcript:KJB21931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIAEGGNPWLRTVNNHVGRQIWEFDPNLGSPEELVEIEKARLNFTENRFRKKHSSDLLMRFQFSKENPLPVVLPPVKVEESENVTEEMVTNTLRRAISFHSSIQAHDGHWPGDYGGPMFLMPGLVITLSITGALNAVLSEEHKKEMSRYLYNHQNEDGGWGLHIEGPSTMFGTALSYVTLRLLGEGPNDGKGAMERGRDWILNHGGATEITSWGKMWLSVLGAFEWSGNNPLPPEIWLLPYMLPVHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTVLALRKELYTIPYHEIDWNRARNACAKEDLYYPHPLVQDILWASLHKIVEPILMCWPGKKLREKALQTAIEHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRLYDYLWVAEDGMKMQGYNGSQLWDTAFAVQAILSTNLTEEYSSTLRKANMYLRNTQVLEDCPGDLNFWYRHISKGAWPFSTADHGWPISDCTAEGLKNGDGGFATYELTRSYPWLELINPAETFGDIVIDYSYVECTSAAIQALVSFRKSHPGHRREEIDQCIKKATKFIENIQAPDGSWYGSWGVCFTYGTWFGVKGLVAAGKNFNNSSSIQKACNFLLSKQLPSGGWGESYLSCQNKVYSNVEGNKPHMVNTGWAMLALIDAGQAERDPTPLHKGAKYLINSQMEDGDFPQQEIMGVFNRNCMISYSAYRNIFPIWALGEYRTRVLQQRTTL >KJB25447 pep chromosome:Graimondii2_0_v6:4:50902006:50906267:1 gene:B456_004G191700 transcript:KJB25447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet hypersensitive 1 [Source:Projected from Arabidopsis thaliana (AT5G41150) UniProtKB/TrEMBL;Acc:Q2F6I1] MVLKFHDQIVSDLLEDTNGGLVVLSSGLSLPKLLSSFISFHSRSSGSLLLLHPPQLSSSLKSLLLSLSPDLPLSEITADLPSSNRLSLYSSNRILILSPRILIVDLLTQKAQTSLISGIVFLNAHSLSESSTESFIVRIIKTFNKNASVYAFSDKPHSMVSGFAKTERTMKSLFIRKLHLWPRFQVNVSEELERNPPEVVDIRVPMTKCMLGIQKAIVEVMDACLKEMRKTNKVDVEDLTVENGLSKSFDEIVRRQLDPIWHTLGKKTKQLVSDLKTLRKLLDYLVRYDAVSYLKYLDTLRVSESFRSVWIFAESSYKVFDYAKKRVYRFAKSDDTKISTPSKNLARKKRKLKEDDNNNDEVAIAGTSSTSTSNGVVLQEVLEESPKWKVLREVLEEIEEERKKQASSGEPLLDVEEDNNGIILVACKDECSCMQLEDCITNGSQKVMRDEWQKYLLSKVELRGVQTPQKKKPKAPKGYGILDGIVAVTPARNAEVSSACKQENDALLAAAAEIKRNQAKKEKDAAKDPEPQVGSRGHGKGKGRGRTRQGHARNKDGSNNTELANGDRPEVYGSENGGQINEINPTVIVDGVSGKNIDNDRKADNPKQLPPVHFHALEREQPILDVLKPSVIIVYHPDMTFVREIEVYKAENPGKKVKVYFLFYDASTEVQKFEASIRRENGAFESLIRQKSMMMIPVDQNDFCLGLNSSSEIQGSSSQNSITRKAGGRKDAEKEMQVIVDMREFMSSLPNVLHQKGMRIIPVTLEVGDYVLSPLICVERKSIQDLFMSFTSGRLYHQVETMVRYYRIPVLLIEFSQDKSFSFQSASDIGDDVTPTNIISKLSLLVLHFPRLRIIWSRSLHATADIFASLKANQDEPDETKAMRVGVPSEEGIIENDVRAENYNTSAVEFLRRLPGVTDSNYRTIMDGCQSLAELALLPIEKLAELMGGRKAAQTLRDFLDAKYPTLL >KJB25446 pep chromosome:Graimondii2_0_v6:4:50901996:50906322:1 gene:B456_004G191700 transcript:KJB25446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet hypersensitive 1 [Source:Projected from Arabidopsis thaliana (AT5G41150) UniProtKB/TrEMBL;Acc:Q2F6I1] MVLKFHDQIVSDLLEDTNGGLVVLSSGLSLPKLLSSFISFHSRSSGSLLLLHPPQLSSSLKSLLLSLSPDLPLSEITADLPSSNRLSLYSSNRILILSPRILIVDLLTQKAQTSLISGIVFLNAHSLSESSTESFIVRIIKTFNKNASVYAFSDKPHSMVSGFAKTERTMKSLFIRKLHLWPRFQVNVSEELERNPPEVVDIRVPMTKCMLGIQKAIVEVMDACLKEMRKTNKVDVEDLTVENGLSKSFDEIVRRQLDPIWHTLGKKTKQLVSDLKTLRKLLDYLVRYDAVSYLKYLDTLRVSESFRSVWIFAESSYKVFDYAKKRVYRFAKSDDTKISTPSKNLARKKRKLKEDDNNNDEAIAGTSSTSTSNGVVLQEVLEESPKWKVLREVLEEIEEERKKQASSGEPLLDVEEDNNGIILVACKDECSCMQLEDCITNGSQKVMRDEWQKYLLSKVELRGVQTPQKKKPKAPKGYGILDGIVAVTPARNAEVSSACKQENDALLAAAAEIKRNQAKKEKDAAKDPEPQVGSRGHGKGKGRGRTRQGHARNKDGSNNTELANGDRPEVYGSENGGQINEINPTVIVDGVSGKNIDNDRKADNPKQLPPVHFHALEREQPILDVLKPSVIIVYHPDMTFVREIEVYKAENPGKKVKVYFLFYDASTEVQKFEASIRRENGAFESLIRQKSMMMIPVDQNDFCLGLNSSSEIQGSSSQNSITRKAGGRKDAEKEMQVIVDMREFMSSLPNVLHQKGMRIIPVTLEVGDYVLSPLICVERKSIQDLFMSFTSGRLYHQVETMVRYYRIPVLLIEFSQDKSFSFQSASDIGDDVTPTNIISKLSLLVLHFPRLRIIWSRSLHATADIFASLKANQDEPDETKAMRVGVPSEEGIIENDVRAENYNTSAVEFLRRLPGVTDSNYRTIMDGCQSLAELALLPIEKLAELMGGRKAAQTLRDFLDAKYPTLL >KJB25074 pep chromosome:Graimondii2_0_v6:4:47934241:47938382:-1 gene:B456_004G175800 transcript:KJB25074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSRKWMILVAVIWIQAFTGTNFDFSAYSSEMKKVLGISQVQLNYLAVASDMGKVFGWSSGLALMFFPLWTVLFMAAFMGLFGYGIQWLVICNVISLPYILVFFLCLLAGCSICWFNTVCFVLCIKNFPANRALALSLTVSYNGVSAALYALAGDAISASTSSLYLLLNSLVPLIVSIAALVPILRQPSVDPLSPEAVRSDSIMFLLLNMLAVLTGVYLLLFGSNTSDTSTSRLLLGGAVFLLVFPLCVPGVVYARRWFHHTIHSSFQLRGSGFILVDDDDLELHKRLVSRETSYNNLNGSSLSDDEVCEYRSSSQKLCNKEEDSARCCEKMIEKDQLVMLGEEHPAHVLVGRLDFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGHSSNTTLLLTLYSSFSFFGRLLSAAPDYVRAKFYFARTGWLTIALLPTPVAFFLLAALSNSTAALRVGTALIGLSSGFIFAAAVSVTSELFGPNSVGVNHNILITNIPIGSLIYGVLAAVIYDGNVGRGLKLMFSDSVVCMGRDCYFLTFVWWGCLSVVGLVCSLLLFIRTKHAYDAFERNRALVEPQRNRSSNSIA >KJB25434 pep chromosome:Graimondii2_0_v6:4:50811308:50815355:-1 gene:B456_004G191200 transcript:KJB25434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNLLSHYSFAVLPVIRNTNNPGRGGFGRGRWHNDRGAGILGRPGYPPRQGYGYGNKFANGHRDERFISELKFSKSEETLSRKCIAFQEPCELACYSRVEGGDVYFDDRSLRLFKRLITEEIGADLNQGFDTFIEKKELGSEGFGDLLGCIRDKNIPLQNIHFVTFRNNLNKILATAYIRNEPWEMGVHKRNGVVYLDVHKLPERPRSELDRRRCYWGYCFESLATEDPRREDGEGIHHVDANVEYCSVIKTKLGAHRILMGAEMDCCDSTDEGRRFYVELKTSRELDYHTEERYEREKLLKFWIQSFLAGVPYIVIGFRDDAGRLVRTERLRTKDITHRVKMKNYWQGGVCLAFADEVLCWLYGTVKENEDYILQFAPPFNRLELLQAQSCPDAITNHVEQS >KJB25437 pep chromosome:Graimondii2_0_v6:4:50811372:50816021:-1 gene:B456_004G191200 transcript:KJB25437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEQDVDLFGDDHNNDNRDDSRESSSSHSSSSSSSASSSSSASSSSNGSDGGDSSSASGSASSGGEEENGEEVSNVNTHNYDYNDNIERSIFGYEEEEEEKDLFGSDNEDYCKTTATSPFPIPVLPVIRNTNNPGRGGFGRGRWHNDRGAGILGRPGYPPRQGYGYGNKFANGHRDERFISELKFSKSEETLSRKCIAFQEPCELACYSRVEGGDVYFDDRSLRLFKRLITEEIGADLNQGFDTFIEKKELGSEGFGDLLGCIRDKNIPLQNIHFVTFRNNLNKILATAYIRNEPWEMGVHKRNGVVYLDVHKLPERPRSELDRRRCYWGYCFESLATEDPRREDGEGIHHVDANVEYCSVIKTKLGAHRILMGAEMDCCDSTDEGRRFYVELKTSRELDYHTEERYEREKLLKFWIQSFLAGVPYIVIGFRDDAGRLVRTERLRTKDITHRVKMKNYWQGGVCLAFADEVLCWLYGTVKENEDYILQFAPPFNRLELLQAQSCPDAITNHVEQS >KJB25438 pep chromosome:Graimondii2_0_v6:4:50812084:50816021:-1 gene:B456_004G191200 transcript:KJB25438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEQDVDLFGDDHNNDNRDDSRESSSSHSSSSSSSASSSSSASSSSNGSDGGDSSSASGSASSGGEEENGEEVSNVNTHNYDYNDNIERSIFGYEEEEEEKDLFGSDNEDYCKTTATSPFPIPVLPVIRNTNNPGRGGFGRGRWHNDRGAGILGRPGYPPRQGYGYGNKFANGHRDERFISELKFSKSEETLSRKCIAFQEPCELACYSRVEGGDVYFDDRSLRLFKRLITEEIGADLNQGFDTFIEKKELGSEGFGDLLGCIRDKNIPLQNIHFVTFRNNLNKILATAYIRNEPWEMGVHKRNGVVYLDVHKLPERPRSELDRRRCYWGYCFESLATEDPRREDGEGIHHVDANVEYCSVIKTKLGAHRILMGAEMDCCDSTDEGRRFYVELKTSRELDYHTEERYEREKLLKFWIQSFLAGVPYIVIGFRDDAGRLVRTERLRTKDITHRVKMKNYWQGGVCLAFADEVLCWLYGTVKESKILTSISTSTCA >KJB25435 pep chromosome:Graimondii2_0_v6:4:50811308:50816021:-1 gene:B456_004G191200 transcript:KJB25435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEQDVDLFGDDHNNDNRDDSRESSSSHSSSSSSSASSSSSASSSSNGSDGGDSSSASGSASSGGEEENGEEVSNVNTHNYDYNDNIERSIFGYEEEEEEKDLFGSDNEDYCKTTATSPFPIPVLPVIRNTNNPGRGGFGRGRWHNDRGAGILGRPGYPPRQGYGYGNKFANGHRDERFISELKFSKSEETLSRKCIAFQEPCELACYSRVEGGDVYFDDRSLRLFKRLITEEIGADLNQGFDTFIEKKGSEGFGDLLGCIRDKNIPLQNIHFVTFRNNLNKILATAYIRNEPWEMGVHKRNGVVYLDVHKLPERPRSELDRRRCYWGYCFESLATEDPRREDGEGIHHVDANVEYCSVIKTKLGAHRILMGAEMDCCDSTDEGRRFYVELKTSRELDYHTEERYEREKLLKFWIQSFLAGVPYIVIGFRDDAGRLVRTERLRTKDITHRVKMKNYWQGGVCLAFADEVLCWLYGTVKENEDYILQFAPPFNRLELLQAQSCPDAITNHVEQS >KJB25436 pep chromosome:Graimondii2_0_v6:4:50811702:50815927:-1 gene:B456_004G191200 transcript:KJB25436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEQDVDLFGDDHNNDNRDDSRESSSSHSSSSSSSASSSSSASSSSNGSDGGDSSSASGSASSGGEEENGEEVSNVNTHNYDYNDNIERSIFGYEEEEEEKDLFGSDNEDYCKTTATSPFPIPVLPVIRNTNNPGRGGFGRGRWHNDRGAGILGRPGYPPRQGYGYGNKFANGHRDERFISELKFSKSEETLSRKCIAFQEPCELACYSRVEGGDVYFDDRSLRLFKRLITEEIGADLNQGFDTFIEKKELGSEGFGDLLGCIRDKNIPLQNIHFVTFRNNLNKILATAYIRNEPWEMGVHKRNGVVYLDVHKLPERPRSELDRRRCYWGYCFESLATEDPRREDGEGIHHVDANVEYCSVIKTKLGAHRILMGAEMDCCDSTDEGRRFYVELKTSRELDYHTEERYEREKLLKFWIQSFLAGVPYIVIGFRDDAGRLVRTERLRTKDITHRVKMKNYWQGGVCLAFADEVLCWLYGTVKENEDYILQFAPPFNRLELLQAQSCPDAITNHVEQS >KJB23107 pep chromosome:Graimondii2_0_v6:4:10016712:10019980:-1 gene:B456_004G082300 transcript:KJB23107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGVLQRETDLLLSSPLIELRKGENGKSRGLSIEKKIELLESLTGKVTNRRSRRWLNDRLLMELVPRLNAEEVRGLFAPPPWVDCRTREALRRSFLSDLIASYEDCIRTFIQERGHGDVLALQVQDPFHRLLLHGVCEFYNVVSVTVTQSKGAESLKVTRIKKKKTGVVELPNITLSNFLKMSKEGIW >KJB23106 pep chromosome:Graimondii2_0_v6:4:10016712:10019980:-1 gene:B456_004G082300 transcript:KJB23106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVPRLNAEEVRGLFAPPPWGDDVPPSAFCMTNVGEWDKFRTIDMDKEANIIGALNNSSMKKKFHADADKAAFLSAWLRVDCRTREALRRSFLSDLIASYEDCIRTFIQERGHGDVLALQVQDPFHRLLLHGVCEFYNVVSVTVTQSKGAESLKVTRIKKKKTGVVELPNITLSNFLKMSKEGIW >KJB23108 pep chromosome:Graimondii2_0_v6:4:10017373:10019497:-1 gene:B456_004G082300 transcript:KJB23108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGVLQRETDLLLSSPLIELRKGENGKSRGLSIEKKIELLESLTGKVTNRRSRRWLNDRLLMELVPRLNAEEVRGLFAPPPWGDDVPPSAFCMTNVGEWDKFRTIDMDKEANIIGALNNSSMKKKFHADADKAAFLSAWLRVDCRTREALRRSFLSDLIASYEDCIRTFIQERGHGDVLALQVQDPFHRLLLHGVCEVTPRSSPFF >KJB23109 pep chromosome:Graimondii2_0_v6:4:10017299:10019497:-1 gene:B456_004G082300 transcript:KJB23109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGVLQRETDLLLSSPLIELRKGENGKSRGLSIEKKIELLESLTGKVTNRRSRRWLNDRLLMELVPRLNAEEVRGLFAPPPWGDDVPPSAFCMTNVGEWDKFRTIDMDKEANIIGALNNSSMKKKFHADADKAAFLSAWLRVDCRTREALRRSFLSDLIASYEDCIRTFIQERGHGDVLALQVQDPFHRLLLHGVCEKIAL >KJB23105 pep chromosome:Graimondii2_0_v6:4:10016630:10019980:-1 gene:B456_004G082300 transcript:KJB23105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGVLQRETDLLLSSPLIELRKGENGKSRGLSIEKKIELLESLTGKVTNRRSRRWLNDRLLMELVPRLNAEEVRGLFAPPPWGDDVPPSAFCMTNVGEWDKFRTIDMDKEANIIGALNNSSMKKKFHADADKAAFLSAWLRVDCRTREALRRSFLSDLIASYEDCIRTFIQERGHGDVLALQVQDPFHRLLLHGVCEFYNVVSVTVTQSKGAESLKVTRIKKKKTGVVELPNITLSNFLKMSKEGIW >KJB26443 pep chromosome:Graimondii2_0_v6:4:57988365:57990393:-1 gene:B456_004G241800 transcript:KJB26443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARTPPKQRKFVVAPLNPVLLRETVKKVEKCMARLQELQLTVAGGSKVISGVSLSPRSTRGYMRTSLRCKQESLRMKNSTPRKSPVGKFPATAGGEWRRMSLPAMLVGETVGEILQANQFARQILAAADNKTKNTSEDPKTPLTEHRKQRTQPENTEEFDKENSKHMANRVSPRNRPWAKKTVLFPNPLFSSAPTSQQQKFFKTRSPVIARNRQTPHKFLIKSPPSASKFQVKIKSLPVVSLSPTRSTNTSKKSPKVSAASKLRRSFSPSRLANRLMSPLKSRISLRESDAPMSGLKQRPALMSIRSSTSRV >KJB26442 pep chromosome:Graimondii2_0_v6:4:57988320:57990646:-1 gene:B456_004G241800 transcript:KJB26442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARTPPKQRKFVVAPLNPVLLRETVKKVEKCMARLQELQLTVAGGSKVISGVSLSPRSTRGYMRTSLRCKQESLRMKNSTPRKSPVGKFPATAGGEWRRMSLPAMLVGETVGEILQANQFARQILAAADNKTKNTSEDPKTPLTEHRKQRTQPENTELRARRKREKQNKLQLIRTESDSPSLQRARSRINFKVSPPKIREFDKENSKHMANRVSPRNRPWAKKTVLFPNPLFSSAPTSQQQKFFKTRSPVIARNRQTPHKFLIKSPPSASKFQVKIKSLPVVSLSPTRSTNTSKKSPKVSAASKLRRSFSPSRLANRLMSPLKSRISLRESDAPMSGLKQRPALMSIRSSTSRV >KJB25408 pep chromosome:Graimondii2_0_v6:4:50776141:50777705:1 gene:B456_004G190800 transcript:KJB25408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQRSKRKEHKKPNKSYSHHWGNRTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >KJB25407 pep chromosome:Graimondii2_0_v6:4:50775945:50777705:1 gene:B456_004G190800 transcript:KJB25407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >KJB26813 pep chromosome:Graimondii2_0_v6:4:59763364:59771384:-1 gene:B456_004G262200 transcript:KJB26813 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair initiation protein root hairless 1 (RHL1) [Source:Projected from Arabidopsis thaliana (AT1G48380) TAIR;Acc:AT1G48380] MVKASSSKKPPIAETPEATERRRLKKLALKNNLLSDTPAAPKSYVPLSPSKQVIKHHGRDILRKSQRKNRFLFSFPGLLAPISGGKIGELKNLGSKNPILYLDFPQGQMKLFGTIVYPKNRYLSLLFSRGGKNVMCDDYFDNMIVFSDAWWIGKKDENPDEVRLDFPKELFEGEQMEYDFKGGAGVTSINKQGIPRTEMKQADTESLDTESEDALSDDETSLKVKMEATPTRHSARNAGKRFKFAEASSEEDTVRSDAEPSDEEEKKVGLKLDFSDNDIVAKPITSAPVVLGSDDSEDNQVSKQIQTNLIPVTKFKKTTQTTVTVPKSKENSNTSHGSLVQATISTLFKKAEEKGPRSSDKSSPSKVSGKKSQCKNSEKKVGQNDGSSKKGKVIKGRTSGAGTKRKKEESEVEDDIEEISSTSQDTNGSDEDWTT >KJB26810 pep chromosome:Graimondii2_0_v6:4:59763892:59771175:-1 gene:B456_004G262200 transcript:KJB26810 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair initiation protein root hairless 1 (RHL1) [Source:Projected from Arabidopsis thaliana (AT1G48380) TAIR;Acc:AT1G48380] MVKASSSKKPPIAETPEATERRRLKKLALKNNLLSDTPAAPKSYVPLSPSKQVIKHHGRDILRKSQRKNRFLFSFPGLLAPISGGKIGELKNLGSKNPILYLDFPQGQMKLFGTIVYPKNRYLSLLFSRGGKNVMCDDYFDNMIVFSDAWWIGKKDENPDEVRLDFPKELFEGEQMEYDFKGGAGVTSINKQGIPRTEMKQADTESLDTESEDALSDDETSLKVKMEATPTRHSARNAGKRFKFAEASSEEDTVRSDAEPSDEEEKKVGLKLDFSDNDIVAKPITSAPVVLGSDDSEDNQVSKQIQTNLIPVTKFKKTTQTTVTVPKSKENSNTSHGSLVQATISTLFKKAEEKGPRSSDKSSPSKVSGKKSQCKNSEKKVGQVKLSLDKNDGSSKKGKVIKGRTSGAGTKRKKEESEVEDDIEEISSTSQDTNGSDEDWTT >KJB26811 pep chromosome:Graimondii2_0_v6:4:59763364:59771384:-1 gene:B456_004G262200 transcript:KJB26811 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair initiation protein root hairless 1 (RHL1) [Source:Projected from Arabidopsis thaliana (AT1G48380) TAIR;Acc:AT1G48380] MVKASSSKKPPIAETPEATERRRLKKLALKNNLLSDTPAAPKSYVPLSPSKQVIKHHGRDILRKSQRKNRFLFSFPGLLAPISGGKIGELKNLGSKNPILYLDFPQGQMKLFGTIVYPKNRYLSLLFSRGGKNVMCDDYFDNMIVFSDAWWIGKKDENPDEVRLDFPKELFEGEQMEYDFKGGAGVTSINKQGIPRTEMKQADTESLDTESEDALSDDETSLKVKMEATPTRHSARNAGKRFKFAEASSEEDTVRSDAEPSDEEEKKVGLKLDFSDNDILQPITSAPVVLGSDDSEDNQVSKQIQTNLIPVTKFKKTTQTTVTVPKSKENSNTSHGSLVQATISTLFKKAEEKGPRSSDKSSPSKVSGKKSQCKNSEKKNDGSSKKGKVIKGRTSGAGTKRKKEESEVEDDIEEISSTSQDTNGSDEDWTT >KJB26814 pep chromosome:Graimondii2_0_v6:4:59763892:59771175:-1 gene:B456_004G262200 transcript:KJB26814 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair initiation protein root hairless 1 (RHL1) [Source:Projected from Arabidopsis thaliana (AT1G48380) TAIR;Acc:AT1G48380] MVKASSSKKPPIAETPEATERRRLKKLALKNNLLSDTPAAPKSYVPLSPSKQVIKHHGRDILRKSQRKNRFLFSFPGLLAPISGGKIGELKNLGSKNPILYLDFPQGQMKLFGTIVYPKNRYLSLLFSRGGKNVMCDDYFDNMIVFSDAWWIGKKDENPDEVRLDFPKELFEGEQMEYDFKGGAGVTSINKQGIPRTEMKQADTESLDTESEDALSDDETSLKVKMEATPTRHSARNAGKRFKFAEASSEEDTVRSDAEPSDEEEKKVGLKLDFSDNDIVAKPITSAPVVLGSDDSEDNQVSKQIQTNLIPVTKFKKTTQTTVTVPKSKENSNTSHGSLVQATISTLFKKAEEKGPRSSDKSSPSKVSGKKSQCKNSEKKLKVVTDKQHLLLMSQNDGSSKKGKVIKGRTSGAGTKRKKEESEVEDDIEEISSTSQDTNGSDEDWTT >KJB26809 pep chromosome:Graimondii2_0_v6:4:59763892:59771175:-1 gene:B456_004G262200 transcript:KJB26809 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair initiation protein root hairless 1 (RHL1) [Source:Projected from Arabidopsis thaliana (AT1G48380) TAIR;Acc:AT1G48380] MVKASSSKKPPIAETPEATERRRLKKLALKNNLLSDTPAAPKSYVPLSPSKQVIKHHGRDILRKSQRKNRFLFSFPGLLAPISGGKIGELKNLGSKNPILYLDFPQGQMKLFGTIVYPKNRYLSLLFSRGGKNVMCDDYFDNMIVFSDAWWIGKKDENPDEVRLDFPKELFEGEQMEYDFKGGAGVTSINKQGIPRTEMKQADTESLDTESEDALSDDETSLKVKMEATPTRHSARNAGKRFKFAEASSEEDTVRSDAEPSDEEEKKVGLKLDFSDNDIVLQPITSAPVVLGSDDSEDNQVSKQIQTNLIPVTKFKKTTQTTVTVPKSKENSNTSHGSLVQATISTLFKKAEEKGPRSSDKSSPSKVSGKKSQCKNSEKKVGQVKLSLDKNDGSSKKGKVIKGRTSGAGTKRKKEESEVEDDIEEISSTSQDTNGSDEDWTT >KJB26815 pep chromosome:Graimondii2_0_v6:4:59763364:59773441:-1 gene:B456_004G262200 transcript:KJB26815 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair initiation protein root hairless 1 (RHL1) [Source:Projected from Arabidopsis thaliana (AT1G48380) TAIR;Acc:AT1G48380] MVKASSSKKPPIAETPEATERRRLKKLALKNNLLSDTPAAPKSYVPLSPSKQVIKHHGRDILRKSQRKNRFLFSFPGLLAPISGGKIGELKNLGSKNPILYLDFPQGQMKLFGTIVYPKNRYLSLLFSRGGKNVMCDDYFDNMIVFSDAWWIGKKDENPDEVRLDFPKELFEGEQMEYDFKGGAGVTSINKQGIPRTEMKQADTESLDTESEDALSDDETSLKVKMEATPTRHSARNAGKRFKFAEASSEEDTVRSDAEPSDEEEKKVGLKLDFSDNDIVAKPITSAPVVLGSDDSEDNQVSKQIQTNLIPVTKFKKTTQTTVTVPKSKENSNTSHGSLVQATISTLFKKAEEKGPRSSDKSSPSKVSGKKSQCKNSEKKNDGSSKKGKVIKGRTSGAGTKRKKEESEVEDDIEEISSTSQDTNGSDEDWTT >KJB26812 pep chromosome:Graimondii2_0_v6:4:59763892:59771175:-1 gene:B456_004G262200 transcript:KJB26812 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair initiation protein root hairless 1 (RHL1) [Source:Projected from Arabidopsis thaliana (AT1G48380) TAIR;Acc:AT1G48380] MVKASSSKKPPIAETPEATERRRLKKLALKNNLLSDTPAAPKSYVPLSPSKQVIKHHGRDILRKSQRKNRFLFSFPGLLAPISGGKIGELKNLGSKNPILYLDFPQGQMKLFGTIVYPKNRYLSLLFSRGGKNVMCDDYFDNMIVFSDAWWIGKKDENPDEVRLDFPKELFEGEQMEYDFKGGAGVTSINKQGIPRTEMKQADTESLDTESEDALSDDETSLKVKMEATPTRHSARNAGKRFKFAEASSEEDTVRSDAEPSDEEEKKVGLKLDFSDNDIVLQPITSAPVVLGSDDSEDNQVSKQIQTNLIPVTKFKKTTQTTVTVPKSKENSNTSHGSLVQATISTLFKKAEEKGPRSSDKSSPSKVSGKKSQCKNSEKKNDGSSKKGKVIKGRTSGAGTKRKKEESEVEDDIEEISSTSQDTNGSDEDWTT >KJB26808 pep chromosome:Graimondii2_0_v6:4:59763364:59771384:-1 gene:B456_004G262200 transcript:KJB26808 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair initiation protein root hairless 1 (RHL1) [Source:Projected from Arabidopsis thaliana (AT1G48380) TAIR;Acc:AT1G48380] MVKASSSKKPPIAETPEATERRRLKKLALKNNLLSDTPAAPKSYVPLSPSKQVIKHHGRDILRKSQRKNRFLFSFPGLLAPISGGKIGELKNLGSKNPILYLDFPQGQMKLFGTIVYPKNRYLSLLFSRGGKNVMCDDYFDNMIVFSDAWWIGKKDENPDEVRLDFPKELFEGEQMEYDFKGGAGVTSINKQGIPRTEMKQADTESLDTESEDALSDDETSLKVKMEATPTRHSARNAGKRFKFAEASSEEDTVRSDAEPSDEEEKKVGLKLDFSDNDIVAKPITSAPVVLGSDDSEDNQVSKQIQTNLIPVTKFKKTTQTTVTVPKSKENSNTSHGSLVQATISTLFKKAEEKGPRSSDKSSPSKVSGKKSQCKNSEKKNDGSSKKGKVIKGRTSGAGTKRKKEESEVEDDIEEISSTSQDTNGSDEDWTT >KJB21654 pep chromosome:Graimondii2_0_v6:4:397976:400503:-1 gene:B456_004G006800 transcript:KJB21654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YVRKYPLVSGFIVFVSSLYICFPVGFYYLMCSCPVIVCAYAVIRFYLKAKRKNNEKKSYVDVSRSNGPSLKTLKSVRRNARMEVLEWDRKENEDMNKFSPRSPYGSLCKCGGDVSGVETRNDRVLDGSNGGGGRAEVESIQEGEEENDDRKKGIEWTKHDRENVMILGTLESERNQRLESLMVKRRARNLFKMAVDKNLMNKDAILPSHLAPVMTAKSDLLGGTNEPYEEILQMPGSAPSIWVPKNNPFDLRYNPHEEKPNLAGDSFHQEFMTVNQKEMLFCRHESFCRRTWLTQDSNDAHFNHYYSTQRSLVEEPTDPRFKWQSDKGGDHHHNFSSTVSDVDIVELDESNHENATNSLEGKHEMIREIAMGSPHDVKMEMDSININDSCYTSSSEDTEPVLHQTSKSPEICRDQMQKSLNLLVPPKGKTLHTLPYDFNPSPAERPRPEFNLFYGTYNRHRPTSTCSVASDLQVEVSEVGSPPLTTTGSSIDGESVTYDDSDVDGDIHSDSLKTKPDEPNASHQKPMEELNMEKCQPGDAPNAIQSREKLIENNDSGSKQRFDDPIVMALNQRLLIEQVPENKFSSPRSVIAENILDNEIPLLDKHSVCYVTWTPRLTKCSTGVIYVHARDTKGESQILSREKAMPVVEQATGAASTRSVEDTKHETERLTEAKANSGLSTSAGESGKEGG >KJB21707 pep chromosome:Graimondii2_0_v6:4:856299:859507:-1 gene:B456_004G011900 transcript:KJB21707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase subunit 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G08480) UniProtKB/Swiss-Prot;Acc:Q941A6] MEDSSSKSFLRKQWDEYKEFWADRFPFTNVYSRYIGREQSLPSWSESDVNEFIASDPLKTAREAANIALYGSAIGAITTAGFAWKYSKSLHGAGLSFVGGAVFGWTFGQEIANHAYQLYRLDTMAAQAKFMDWWENKCRR >KJB21706 pep chromosome:Graimondii2_0_v6:4:856297:859597:-1 gene:B456_004G011900 transcript:KJB21706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase subunit 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G08480) UniProtKB/Swiss-Prot;Acc:Q941A6] MEDSSSKSFLRKQWDEYKEFWADRFPFTNVYSRYIGREQSLPSWSESDVNEFIASDPVHGPTLKTAREAANIALYGSAIGAITTAGFAWKYSKSLHGAGLSFVGGAVFGWTFGQEIANHAYQLYRLDTMAAQAKFMDWWENKCRR >KJB26215 pep chromosome:Graimondii2_0_v6:4:56705803:56707835:1 gene:B456_004G230700 transcript:KJB26215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIEGSPGSSMHGVTGREPTFALSVASPMVPTDTTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLKKQDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMLSAPTVFCMSFVSDSGGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLLMPLVYDIIRRLGSTPFTAWRIAFFIPGCLHIIMGILVLTLGQDLPDGNLSSLQKKGDVAKDKFGKVLWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFYDRFNLKLHTAGIIAATFGMANLVARPFGGYASDKAAQLFGMRGRLWVLWILQTLGGVFCIWLGRANSLPIAVLAMILFSIGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLIFFSTSRFSTASGLSWMGAMIVACTLPVTLVHFPQWGSMFFPPTKDVVKSTEEHYYSSEWNEDEKKKGMHSASLKFAENSRSERGKRVASAPTPPNTTPSHV >KJB24431 pep chromosome:Graimondii2_0_v6:4:40892473:40897951:-1 gene:B456_004G145200 transcript:KJB24431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSPAENDPLQQQQPLSKGAPVEVSSDEEGFRGAWYLATILEMPPKSASKKRKKAFVRYKTLLADDGKSPLTEHVDPGYIRPLPPNEKGNAQSGFEVNEIVDARYRDGWWTGVVRKVLAKSKYRVYFDNPPDVIEFDRKDLRVHWDWIDGNWVRPEKQQSTGSVFSSGTAVEVNVDDESLRDVWFPAIVVKENEDKTFLVKYQNSKNDDESGTAKVVVDSLHIRPTPPRYADRNYELLERVDTTYNFGWRTGVITKVLTGRRYNVFFKHGNEDKELSHSDIRPNVEWTDGNWISKSKEVIIASDDQELIGDALCGTRYTKMAGELESSLSTKENTEDKIPLKKMKRNATEQPTPTDENSTLHSGKKKVKLETSNGNTSNSRSSKKQTEGNAVVTPISVTGDQLKDMPSETLCKEGNPRTGGKATKFPKKTVIADQPCAKSESPLTEATTTTKRQKVGSADGKVDNLAKRNVKVRKSPSNSPQVLTAGKEGTTRVAGEINEGDIKTKEVEMPIILGLAAKFSKTLQAENSCQIPSEEPGKLMGDQKNNLNDSVRNENMEIMENNVGESDPKKKRGRPRKAVVTSPKAFHAGKEQNGAGGITDEKVLKDCTSDEAGLSKHKGRINDDQKTREVHLATAGISDMNEDDQPLSTWIGGIHSSGDEESRLSSGRLVNGWNEEKGLVDVPIESHAIDATGRSPLDSDQSLPFVKKSPIWRTIESLDVFQIVPQKPHFQPLAESREEFREGSAIGIMVTFASLFDKISMLHFDDPRNTFDSISEGLDDLEKHGFDVSLLRCRVNKLLSIKEGHSQHLGEKENAEREIMENTKGITKFDEEMEEIEKKIAQLQEQHSSIKSEKETKSLKVGSLKLHADVLNKLIQNAPHEFKKIATASWKLP >KJB24432 pep chromosome:Graimondii2_0_v6:4:40893798:40897951:-1 gene:B456_004G145200 transcript:KJB24432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSPAENDPLQQQQPLSKGAPVEVSSDEEGFRGAWYLATILEMPPKSASKKRKKAFVRYKTLLADDGKSPLTEHVDPGYIRPLPPNEKGNAQSGFEVNEIVDARYRDGWWTGVVRKVLAKSKYRVYFDNPPDVIEFDRKDLRVHWDWIDGNWVRPEKQQSTGSVFSSGTAVEVNVDDESLRDVWFPAIVVKENEDKTFLVKYQNSKNDDESGTAKVVVDSLHIRPTPPRYADRNYELLERVDTTYNFGWRTGVITKVLTGRRYNVFFKHGNEDKELSHSDIRPNVEWTDGNWISKSKEVIIASDDQELIGDALCGTRYTKMAGELESSLSTKENTEDKIPLKKMKRNATEQPTPTDENSTLHSGKKKVKLETSNGNTSNSRSSKKQTEGNAVVTPISVTGDQLKDMPSETLCKEGNPRTGGKATKFPKKTVIADQPCAKSESPLTEATTQTASNDRLFCQHHRSNWTTKRQKVGSADGKVDNLAKRNVKVRKSPSNSPQVLTAGKEGTTRVAGEINEGDIKTKEVEMPIILGLAAKFSKTLQAENSCQIPSEEPGKLMGDQKNNLNDSVRNENMEIMENNVGESDPKKKRGRPRKAVVTSPKAFHAGKEQNGAGGITDEKVLKDCTSDEAGLSKHKGVELSGTWGVSVLGLSLLVICLKDGIKLLYKALLEALS >KJB24428 pep chromosome:Graimondii2_0_v6:4:40892473:40897951:-1 gene:B456_004G145200 transcript:KJB24428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSPAENDPLQQQQPLSKGAPVEVSSDEEGFRGAWYLATILEMPPKSASKKRKKAFVRYKTLLADDGKSPLTEHVDPGYIRPLPPNEKGNAQSGFEVNEIVDARYRDGWWTGVVRKVLAKSKYRVYFDNPPDVIEFDRKDLRVHWDWIDGNWVRPEKQQSTGSVFSSGTAVEVNVDDESLRDVWFPAIVVKENEDKTFLVKYQNSKNDDESGTAKVVVDSLHIRPTPPRYADRNYELLERVDTTYNFGWRTGVITKVLTGRRYNVFFKHGNEDKELSHSDIRPNVEWTDGNWISKSKEVIIASDDQELIGDALCGTRYTKMAGELESSLSTKENTEDKIPLKKMKRNATEQPTPTDENSTLHSGKKKVKLETSNGNTSNSRSSKKQTEGNAVVTPISVTGDQLKDMPSETLCKEGNPRTGGKATKFPKKTVIADQPCAKSESPLTEATTQTASNDRLFCQHHRSNWTTKRQKVGSADGKVDNLAKRNVKVRKSPSNSPQVLTAGKEGTTRVAGEINEGDIKTKEVEMPIILGLAAKFSKTLQAENSCQIPSEEPGKLMGDQKNNLNDSVRNENMEIMENNVGESDPKKKRGRPRKAVVTSPKAFHAGKEQNGAGGITDEKVLKDCTSDEAGLSKHKGRINDDQKTREVHLATAGISDMNEDDQPLSTWIGGIHSSGDEESRLSSGRLVNGWNEEKGLVDVPIESHAIDATGRSPLDSDQSLPFVKKSPIWRTIESLDVFQIVPQKPHFQPLAESREEFREGSAIGIMVTFASLFDKISMLHFDDPRNTFDSISEGLDDLEKHGFDVSLLRCRVNKLLSIKEGHSQHLGEKENAEREIMENTKGITKFDEEMEEIEKKIAQLQEQHSSIKSEKETKSLKVGSLKLHADVLNKLIQNAPHEFKKIATASWKLP >KJB24427 pep chromosome:Graimondii2_0_v6:4:40892126:40898313:-1 gene:B456_004G145200 transcript:KJB24427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSPAENDPLQQQQPLSKGAPVEVSSDEEGFRGAWYLATILEMPPKSASKKRKKAFVRYKTLLADDGKSPLTEHVDPGYIRPLPPNEKGNAQSGFEVNEIVDARYRDGWWTGVVRKVLAKSKYRVYFDNPPDVIEFDRKDLRVHWDWIDGNWVRPEKQQSTGSVFSSGTAVEVNVDDESLRDVWFPAIVVKENEDKTFLVKYQNSKNDDESGTAKVVVDSLHIRPTPPRYADRNYELLERVDTTYNFGWRTGVITKVLTGRRYNVFFKHGNEDKELSHSDIRPNVEWTDGNWISKSKEVIIASDDQELIGDALCGTRYTKMAGELESSLSTKENTEDKIPLKKMKRNATEQPTPTDENSTLHSGKKKVKLETSNGNTSNSRSSKKQTEGNAVVTPISVTGDQLKDMPSETLCKEGNPRTGGKATKFPKKTVIADQPCAKSESPLTEATTTTKRQKVGSADGKVDNLAKRNVKVRKSPSNSPQVLTAGKEGTTRVAGEINEGDIKTKEVEMPIILGLAAKFSKTLQAENSCQIPSEEPGKLMGDQKNNLNDSVRNENMEIMENNVGESDPKKKRGRPRKAVVTSPKAFHAGKEQNGAGGITDEKVLKDCTSDEAGLSKHKGVELSGRINDDQKTREVHLATAGISDMNEDDQPLSTWIGGIHSSGDEESRLSSGRLVNGWNEEKGLVDVPIESHAIDATGRSPLDSDQSLPFVKKSPIWRTIESLDVFQIVPQKPHFQPLAESREEFREGSAIGIMVTFASLFDKISMLHFDDPRNTFDSISEGLDDLEKHGFDVSLLRCRVNKLLSIKEGHSQHLGEKENAEREIMENTKGITKFDEEMEEIEKKIAQLQEQHSSIKSEKETKSLKVGSLKLHADVLNKLIQNAPHEFKKIATASWKLP >KJB24429 pep chromosome:Graimondii2_0_v6:4:40892126:40898231:-1 gene:B456_004G145200 transcript:KJB24429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSPAENDPLQQQQPLSKGAPVEVSSDEEGFRGAWYLATILEMPPKSASKKRKKAFVRYKTLLADDGKSPLTEHVDPGYIRPLPPNEKGNAQSGFEVNEIVDARYRDGWWTGVVRKVLAKSKYRVYFDNPPDVIEFDRKDLRVHWDWIDGNWVRPEKQQSTGSVFSSGTAVEVNVDDESLRDVWFPAIVVKENEDKTFLVKYQNSKNDDESGTAKVVVDSLHIRPTPPRYADRNYELLERVDTTYNFGWRTGVITKVLTGRRYNVFFKHGNEDKELSHSDIRPNVEWTDGNWISKSKEVIIASDDQELIGDALCGTRYTKMAGELESSLSTKENTEDKIPLKKMKRNATEQPTPTDENSTLHSGKKKVKLETSNGNTSNSRSSKKQTEGNAVVTPISVTGDQLKDMPSETLCKEGNPRTGGKATKFPKKTVIADQPCAKSESPLTEATTTTKRQKVGSADGKVDNLAKRNVKVRKSPSNSPQVLTAGKEGTTRVAGEINEGDIKTKEVEMPIILGLAAKFSKTLQAENSCQIPSEEPGKLMGDQKNNLNDSVRNENMEIMENNVGESDPKKKRGRPRKAVVTSPKAFHAGRINDDQKTREVHLATAGISDMNEDDQPLSTWIGGIHSSGDEESRLSSGRLVNGWNEEKGLVDVPIESHAIDATGRSPLDSDQSLPFVKKSPIWRTIESLDVFQIVPQKPHFQPLAESREEFREGSAIGIMVTFASLFDKISMLHFDDPRNTFDSISEGLDDLEKHGFDVSLLRCRVNKLLSIKEGHSQHLGEKENAEREIMENTKGITKFDEEMEEIEKKIAQLQEQHSSIKSEKETKSLKVGSLKLHADVLNKLIQNAPHEFKKIATASWKLP >KJB24430 pep chromosome:Graimondii2_0_v6:4:40892126:40898231:-1 gene:B456_004G145200 transcript:KJB24430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSPAENDPLQQQQPLSKGAPVEVSSDEEGFRGAWYLATILEMPPKSASKKRKKAFVRYKTLLADDGKSPLTEHVDPGYIRPLPPNEKGNAQSGFEVNEIVDARYRDGWWTGVVRKVLAKSKYRVYFDNPPDVIEFDRKDLRVHWDWIDGNWVRPEKQQSTGSVFSSGTAVEVNVDDESLRDVWFPAIVVKENEDKTFLVKYQNSKNDDESGTAKVVVDSLHIRPTPPRYADRNYELLERVDTTYNFGWRTGVITKVLTGRRYNVFFKHGNEDKELSHSDIRPNVEWTDGNWISKSKEVIIASDDQELIGDALCGTRYTKMAGELESSLSTKENTEDKIPLKKMKRNATEQPTPTDENSTLHSGKKKVKLETSNGNTSNSRSSKKQTEGNAVVTPISVTGDQLKDMPSETLCKEGNPRTGGKATKFPKKTVIADQPCAKSESPLTEATTQTASNDRLFCQHHRSNWTTKRQKVGSADGKVDNLAKRNVKVRKSPSNSPQVLTAGKEGTTRVAGEINEGDIKTKEVEMPIILGLAAKFSKTLQAENSCQIPSEEPGKLMGDQKNNLNDSVRNENMEIMENNVGESDPKKKRGRPRKAVVTSPKAFHAGRINDDQKTREVHLATAGISDMNEDDQPLSTWIGGIHSSGDEESRLSSGRLVNGWNEEKGLVDVPIESHAIDATGRSPLDSDQSLPFVKKSPIWRTIESLDVFQIVPQKPHFQPLAESREEFREGSAIGIMVTFASLFDKISMLHFDDPRNTFDSISEGLDDLEKHGFDVSLLRCRVNKLLSIKEGHSQHLGEKENAEREIMENTKGITKFDEEMEEIEKKIAQLQEQHSSIKSEKETKSLKVGSLKLHADVLNKLIQNAPHEFKKIATASWKLP >KJB24426 pep chromosome:Graimondii2_0_v6:4:40892473:40897951:-1 gene:B456_004G145200 transcript:KJB24426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSPAENDPLQQQQPLSKGAPVEVSSDEEGFRGAWYLATILEMPPKSASKKRKKAFVRYKTLLADDGKSPLTEHVDPGYIRPLPPNEKGNAQSGFEVNEIVDARYRDGWWTGVVRKVLAKSKYRVYFDNPPDVIEFDRKDLRVHWDWIDGNWVRPEKQQSTGSVFSSGTAVEVNVDDESLRDVWFPAIVVKENEDKTFLVKYQNSKNDDESGTAKVVVDSLHIRPTPPRYADRNYELLERVDTTYNFGWRTGVITKVLTGRRYNVFFKHGNEDKELSHSDIRPNVEWTDGNWISKSKEVIIASDDQELIGDALCGTRYTKMAGELESSLSTKENTEDKIPLKKMKRNATEQPTPTDENSTLHSGKKKVKLETSNGNTSNSRSSKKQTEGNAVVTPISVTGDQLKDMPSETLCKEGNPRTGGKATKFPKKTVIADQPCAKSESPLTEATTQTASNDRLFCQHHRSNWTTKRQKVGSADGKVDNLAKRNVKVRKSPSNSPQVLTAGKEGTTRVAGEINEGDIKTKEVEMPIILGLAAKFSKTLQAENSCQIPSEEPGKLMGDQKNNLNDSVRNENMEIMENNVGESDPKKKRGRPRKAVVTSPKAFHAGKEQNGAGGITDEKVLKDCTSDEAGLSKHKGVELSGRINDDQKTREVHLATAGISDMNEDDQPLSTWIGGIHSSGDEESRLSSGRLVNGWNEEKGLVDVPIESHAIDATGRSPLDSDQSLPFVKKSPIWRTIESLDVFQIVPQKPHFQPLAESREEFREGSAIGIMVTFASLFDKISMLHFDDPRNTFDSISEGLDDLEKHGFDVSLLRCRVNKLLSIKEGHSQHLGEKENAEREIMENTKGITKFDEEMEEIEKKIAQLQEQHSSIKSEKETKSLKVGSLKLHADVLNKLIQNAPHEFKKIATASWKLP >KJB24433 pep chromosome:Graimondii2_0_v6:4:40893798:40897951:-1 gene:B456_004G145200 transcript:KJB24433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSPAENDPLQQQQPLSKGAPVEVSSDEEGFRGAWYLATILEMPPKSASKKRKKAFVRYKTLLADDGKSPLTEHVDPGYIRPLPPNEKGNAQSGFEVNEIVDARYRDGWWTGVVRKVLAKSKYRVYFDNPPDVIEFDRKDLRVHWDWIDGNWVRPEKQQSTGSVFSSGTAVEVNVDDESLRDVWFPAIVVKENEDKTFLVKYQNSKNDDESGTAKVVVDSLHIRPTPPRYADRNYELLERVDTTYNFGWRTGVITKVLTGRRYNVFFKHGNEDKELSHSDIRPNVEWTDGNWISKSKEVIIASDDQELIGDALCGTRYTKMAGELESSLSTKENTEDKIPLKKMKRNATEQPTPTDENSTLHSGKKKVKLETSNGNTSNSRSSKKQTEGNAVVTPISVTGDQLKDMPSETLCKEGNPRTGGKATKFPKKTVIADQPCAKSESPLTEATTTTKRQKVGSADGKVDNLAKRNVKVRKSPSNSPQVLTAGKEGTTRVAGEINEGDIKTKEVEMPIILGLAAKFSKTLQAENSCQIPSEEPGKLMGDQKNNLNDSVRNENMEIMENNVGESDPKKKRGRPRKAVVTSPKAFHAGKEQNGAGGITDEKVLKDCTSDEAGLSKHKGVELSGTWGVSVLGLSLLVICLKDGIKLLYKALLEALS >KJB21908 pep chromosome:Graimondii2_0_v6:4:1531262:1534383:-1 gene:B456_004G020600 transcript:KJB21908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVAPPADQTAELLQKLTIDSQAKPFDIPEPTKKPSVYQYGSVDSGNTGNTQIPTFDRSTTPLLQDFMDPNMCYIPSGYPSAYYYGGFDGTGNEWDEYSQYLNPDGVDMTSGVYGDNGSLMYHHGYSYAPYGPYSPAASPVPTLGNDGQLYGPQHYQYPPFFQPMTLTSGPFTPNPAAPSHGELLTSAAADQKPLPVETPNSNSNGVANGGSVKGNNGPGAIKPSYPSSFNTNNSYGRGPPPGSVPTSGYQDPRYGFDGFRSPIPWLDGSMFSDGQHRPVSSPAMNSLVSKANGFPSSRNQNFRSNSNYMGLHHPGPMSGMGTTHGYVNRMYPNKPYGQYGTTFRSGMGFGSNGYDLRTNERGWLADNKYRPRGRGNGYFGSGNDIMDGFNELNRGPRAKGPKNQKGATPITASTKGQNIPSDGTKDEEKAYVVPDREQYNLEEFLVDYDDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAAYQEAQQKSGHCPIFLFFSVNTSGQFVGIAEMVGAVDFQKNVEFWQQDKWTGCFPVRWLIIKDVPNNSLKHITLENNENKPVTNSRDTQEIKLDQGLKLLKIFKEHSSKTCILDDFEFYEIRQKAIQEKKAKHQLQKQVWEEKPGDEKKDIATTNGDLIKEINPIPHSNGLLSEDGSGYPKQ >KJB21909 pep chromosome:Graimondii2_0_v6:4:1531438:1534633:-1 gene:B456_004G020600 transcript:KJB21909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVAPPADQTAELLQKLTIDSQAKPFDIPEPTKKPSVYQYGSVDSGNTGNTQIPTFDRSTTPLLQDFMDPNMCYIPSGYPSAYYYGGFDGTGNEWDEYSQYLNPDGVDMTSGVYGDNGSLMYHHGYSYAPYGPYSPAASPVPTLGNDGQLYGPQHYQYPPFFQPMTLTSGPFTPNPAAPSHGELLTSAAADQKPLPVETPNSNSNGVANGGSVKGNNGPGAIKPSYPSSFNTNNSYGRGPPPGSVPTSGYQDPRYGFDGFRSPIPWLDGSMFSDGQHRPVSSPAMNSLVSKANGFPSSRNQNFRSNSNYMGLHHPGPMSGMGTTHGYVNRMYPNKPYGQYGTTFRSGMGFGSNGYDLRTNERGWLADNKYRPRGRGNGYFGSGNDIMDGFNELNRGPRAKGPKNQKGATPITASTKGQNIPSDGTKDEEKAYVVPDREQYNLEEFLVDYDDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAAYQEAQQKSGHCPIFLFFSVNTSGQFVGIAEMVGAVDFQKNVEFWQQDKWTGCFPVRWLIIKDVPNNSLKHITLENNENKPVTNSRDTQEVFFVS >KJB21907 pep chromosome:Graimondii2_0_v6:4:1530886:1534693:-1 gene:B456_004G020600 transcript:KJB21907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVAPPADQTAELLQKLTIDSQAKPFDIPEPTKKPSVYQYGSVDSGNTGNTQIPTFDRSTTPLLQDFMDPNMCYIPSGYPSAYYYGGFDGTGNEWDEYSQYLNPDGVDMTSGVYGDNGSLMYHHGYSYAPYGPYSPAASPVPTLGNDGQLYGPQHYQYPPFFQPMTLTSGPFTPNPAAPSHGELLTSAAADQKPLPVETPNSNSNGVANGGSVKGNNGPGAIKPSYPSSFNTNNSYGRGPPPGSVPTSGYQDPRYGFDGFRSPIPWLDGSMFSDGQHRPVSSPAMNSLVSKANGFPSSRNQNFRSNSNYMGLHHPGPMSGMGTTHGYVNRMYPNKPYGQYGTTFRSGMGFGSNGYDLRTNERGWLADNKYRPRGRGNGYFGSGNDIMDGFNELNRGPRAKGPKNQKGATPITASTKGQNIPSDGTKDEEKAYVVPDREQYNLEEFLVDYDDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAAYQEAQQKSGHCPIFLFFSVNTSGQFVGIAEMVGAVDFQKNVEFWQQDKWTGCFPVRWLIIKDVPNNSLKHITLENNENKPVTNSRDTQEIKLDQGLKLLKIFKEHSSKTCILDDFEFYEIRQKAIQEKKAKHQLQKQVWEEKPGDEKKDIATTNGGLGGGGGCRQAL >KJB21861 pep chromosome:Graimondii2_0_v6:4:1354542:1358235:1 gene:B456_004G018600 transcript:KJB21861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHFSPKTPLFSLSSSSITSLCLRPFLPSATKSPFYPSLACHVSTGGNLRMDSTSPDPSSSSSVSVDSVADGLKNQSLREHDISNNNNMNNENKKNNGKLSLEDLNWDHSFVRELPGDPISDSIPRQVFHACYTKVLPSAEVENPKLVAWSDSVADLLDLDPKEFERPDFPLKFSGASPLAGAVPYAQCYGGHQFGTWAGQLGDGRAITLGEIMNSKLERWELQLKGAGKTPYSRFADGLAVLRSSIREYLCSEAMHFLGIPTTRALCLVTTGKFVTRDMFYDGNPKDEPGAVVCRVSQSFLRFGSFQIHASRGGEDLGIVRSLADYAIRHHFPHIENMSKSESLSFSTGDNDQSVVDLTSNKYAAWTVEIAERTASLVARWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPSYTPNVTDLPGRRYCFANQPDIGLWNIAQFASTLMTANLISDQEANYAMERYVWFVFSFFAIKLKYSIVDNQISCPAIQVWGKIYGRLSSNTEPKAWPPKIQ >KJB21865 pep chromosome:Graimondii2_0_v6:4:1356208:1359380:1 gene:B456_004G018600 transcript:KJB21865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKLERWELQLKGAGKTPYSRFADGLAVLRSSIREYLCSEAMHFLGIPTTRALCLVTTGKFVTRDMFYDGNPKDEPGAVVCRVSQSFLRFGSFQIHASRGGEDLGIVRSLADYAIRHHFPHIENMSKSESLSFSTGDNDQSVVDLTSNKYAAWTVEIAERTASLVARWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPSYTPNVTDLPGRRYCFANQPDIGLWNIAQFASTLMTANLISDQEANYAMERYGAKFMDDYQAILSQKLGLQKYNKQLVNKLLSNLAVDKVDYTNFFRALSNIKADPSVPGDELLVPLKAVLLDIGKERKEAWTSWVQSYIQELVASGISDEERKASMNSVNPKYVLRNYLCQSAIDAAEMGDFEEVRRLLKVMERPYDEQPRMEKYARLPPAWAYRPGVCMLSCSS >KJB21863 pep chromosome:Graimondii2_0_v6:4:1354542:1358235:1 gene:B456_004G018600 transcript:KJB21863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHFSPKTPLFSLSSSSITSLCLRPFLPSATKSPFYPSLACHVSTGGNLRMDSTSPDPSSSSSVSVDSVADGLKNQSLREHDISNNNNMNNENKKNNGKLSLEDLNWDHSFVRELPGDPISDSIPRQVFHACYTKVLPSAEVENPKLVAWSDSVADLLDLDPKEFERPDFPLKFSGASPLAGAVPYAQCYGGHQFGTWAGQLGDGRAITLGEIMNSKLERWELQLKGAGKTPYSRFADGLAVLRSSIREYLCSEAMHFLGIPTTRALCLVTTGKFVTRDMFYDGNPKDEPGAVVCRVSQSFLRFGSFQIHASRGGEDLGIVRSLADYAIRHHFPHIENMSKSESLSFSTGDNDQSVVDLTSNKYAAWTVEIAERTASLVARWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPSYTPNVTDLPGRRYCFANQPDIGLWNIAQFASTLMTANLISDQEANYAMERYVWFVFSFFAIKLKYSIVDNQISCPAIQVWGKIYGRLSSNTEPKAWPPKIQ >KJB21860 pep chromosome:Graimondii2_0_v6:4:1354490:1359386:1 gene:B456_004G018600 transcript:KJB21860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHFSPKTPLFSLSSSSITSLCLRPFLPSATKSPFYPSLACHVSTGGNLRMDSTSPDPSSSSSVSVDSVADGLKNQSLREHDISNNNNMNNENKKNNGKLSLEDLNWDHSFVRELPGDPISDSIPRQVFHACYTKVLPSAEVENPKLVAWSDSVADLLDLDPKEFERPDFPLKFSGASPLAGAVPYAQCYGGHQFGTWAGQLGDGRAITLGEIMNSKLERWELQLKGAGKTPYSRFADGLAVLRSSIREYLCSEAMHFLGIPTTRALCLVTTGKFVTRDMFYDGNPKDEPGAVVCRVSQSFLRFGSFQIHASRGGEDLGIVRSLADYAIRHHFPHIENMSKSESLSFSTGDNDQSVVDLTSNKYAAWTVEIAERTASLVARWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPSYTPNVTDLPGRRYCFANQPDIGLWNIAQFASTLMTANLISDQEANYAMERYGAKFMDDYQAILSQKLGLQKYNKQLVNKLLSNLAVDKVDYTNFFRALSNIKADPSVPGDELLVPLKAVLLDIGKERKEAWTSWVQSYIQELVASGISDEERKASMNSVNPKYVLRNYLCQSAIDAAEMGDFEEVRRLLKVMERPYDEQPRMEKYARLPPAWAYRPGVCMLSCSS >KJB21864 pep chromosome:Graimondii2_0_v6:4:1354540:1359380:1 gene:B456_004G018600 transcript:KJB21864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHFSPKTPLFSLSSSSITSLCLRPFLPSATKSPFYPSLACHVSTGGNLRMDSTSPDPSSSSSVSVDSVADGLKNQSLREHDISNNNNMNNENKKNNGKLSLEDLNWDHSFVRELPGDPISDSIPRQVFHACYTKVLPSAEVENPKLVAWSDSVADLLDLDPKEFERPDFPLKFSGASPLAGAVPYAQCYGGHQFGTWAGQLGDGRAITLGEIMNSKLERWELQLKGAGKTPYSRFADGLAVLRSSIREYLCSEAMHFLGIPTTRALCLVTTGKFVTRDMFYDGNPKDEPGAVVCRVSQSFLRFGSFQIHASRGGEDLGIVRSLADYAIRHHFPHIENMSKTWTVEIAERTASLVARWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPSYTPNVTDLPGRRYCFANQPDIGLWNIAQFASTLMTANLISDQEANYAMERYGAKFMDDYQAILSQKLGLQKYNKQLVNKLLSNLAVDKVDYTNFFRALSNIKADPSVPGDELLVPLKAVLLDIGKERKEAWTSWVQSYIQELVASGISDEERKASMNSVNPKYVLRNYLCQSAIDAAEMGDFEEVRRLLKVMERPYDEQPRMEKYARLPPAWAYRPGVCMLSCSS >KJB21862 pep chromosome:Graimondii2_0_v6:4:1354540:1358829:1 gene:B456_004G018600 transcript:KJB21862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHFSPKTPLFSLSSSSITSLCLRPFLPSATKSPFYPSLACHVSTGGNLRMDSTSPDPSSSSSVSVDSVADGLKNQSLREHDISNNNNMNNENKKNNGKLSLEDLNWDHSFVRELPGDPISDSIPRQVFHACYTKVLPSAEVENPKLVAWSDSVADLLDLDPKEFERPDFPLKFSGASPLAGAVPYAQCYGGHQFGTWAGQLGDGRAITLGEIMNSKLERWELQLKGAGKTPYSRFADGLAVLRSSIREYLCSEAMHFLGIPTTRALCLVTTGKFVTRDMFYDGNPKDEPGAVVCRVSQSFLRFGSFQIHASRGGEDLGIVRSLADYAIRHHFPHIENMSKSESLSFSTGDNDQSVVDLTSNKYAAWTVEIAERTASLVARWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPSYTPNVTDLPGRRYCFANQPDIGLWNIAQFASTLMTANLISDQEANYAMERYGAKFMDDYQAILSQKLGLQKYNKQLVNKLLSNLAVDKVDYTNFFRALSNIKADPSVPGDELLVPLKAVLLDIGKERKEAWTSWVQSYIQEVQLGFSSYEYAHRY >KJB22622 pep chromosome:Graimondii2_0_v6:4:5576816:5577289:-1 gene:B456_004G058200 transcript:KJB22622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFNGYILLLLLLLVFAFTVVSVEPRRGLPPEFTRWHVYVVNGLSDGRMLFVHCKSGDNDLGSRNLDVGTNFTWSFQQHIFRRTLFWCYVSKDDDDYNGGDVLLFHKCSWKDCIWIAKDDGIYIKNVPRNVDEFRWQWKPRLLQH >KJB25233 pep chromosome:Graimondii2_0_v6:4:49380196:49386219:-1 gene:B456_004G182200 transcript:KJB25233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVYQNYRNAVFQSGDPAAVGVVLSNMTVAFDHWLLDVEDPFVFEPYHKALREPFDYYMFGQNYIRPLIDFRNSYVGNLSLFYEIEEKLKQGHNVVLISNHQTEADPIIISLLLEKTNPLIAENMIFVAGDRVITDPLCKPFSMGRNLLCVYSKKHMYDIPELAEMKRKANTRSLKEMALLLRGGSKIVWIAASGGRDRPDPFTEEWYPALFDSSSVDNMRRLIEHSGTPGHVYPLALLCYDIMPPPRQVEKEIGEKRIITFHGAGLSIAPQISFPEIAAACKESEAKDVYSQALYKSVSEQYNVLKSAIHGKQGLEASTAGVSLSQPWN >KJB25229 pep chromosome:Graimondii2_0_v6:4:49381461:49385994:-1 gene:B456_004G182200 transcript:KJB25229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVYQNYRNAVFQSGDPAAVGVVLSNMTVAFDHWLLDVEDPFVFEPYHKALREPFDYYMFGQNYIRPLIDFRNSYVGNLSLFYEIEEKLKQGHNVVLISNHQTEADPIIISLLLEKTNPLIAENMIFVAGDRVITDPLCKPFSMGRNLLCVYSKKHMYDIPELAEMKRKANTRSLKEMALLLRGGSKIVWIAASGGRDRPDPFTEEWYPALFDSSSVDNMRRLIEHSGTPGHVYPLALLCYDIMPPPRQKKKLEKKELSPFMGLDYQ >KJB25234 pep chromosome:Graimondii2_0_v6:4:49380119:49387779:-1 gene:B456_004G182200 transcript:KJB25234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLPLPFFGGTCSKAAFSFSLKSSSPLVPFQRLQLFRSTSRTTTRIRSSLFHSLKAKATAELVQDKESGVVATGKPVVEHSRTFIEARSEQELLSGLRKEVDAGRLPPNVAAGMEEVYQNYRNAVFQSGDPAAVGVVLSNMTVAFDHWLLDVEDPFVFEPYHKALREPFDYYMFGQNYIRPLIDFRNSYVGNLSLFYEIEEKLKQGHNVVLISNHQTEADPIIISLLLEKTNPLIAENMIFVAGDRVITDPLCKPFSMGRNLLCVYSKKHMYDIPELAEMKRKANTRSLKEMALLLRGGSKIVWIAASGGRDRPDPFTEEWYPVPQGMYILWLYCAMTSCPLRDRNWRKKNYHLSWGWIINSTTNQLPRNCCCL >KJB25231 pep chromosome:Graimondii2_0_v6:4:49380852:49387409:-1 gene:B456_004G182200 transcript:KJB25231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLPLPFFGGTCSKAAFSFSLKSSSPLVPFQRLQLFRSTSRTTTRIRSSLFHSLKAKATAELVQDKESGVVATGKPVVEHSRTFIEARSEQELLSGLRKEVDAGRLPPNVAAGMEEVYQNYRNAVFQSGDPAAVGVVLSNMTVAFDHWLLDVEDPFVFEPYHKALREPFDYYMFGQNYIRPLIDFRNSYVGNLSLFYEIEEKLKQGHNVVLISNHQTEADPIIISLLLEKTNPLIAENMIFVAGDRVITDPLCKPFSMGRNLLCVYSKKHMYDIPELAEMKRKANTRSLKEMALLLRGGSKIVWIAASGGRDRPDPFTEEWYPALFDSSSVDNMRRLIEHSGTPGHVYPLALLCYDIMPPPRQVEKEIGEKRIITFHGAGLSIAPQISFPEIAAACKESEAKDVYSQALYKSVSEQYNVLKSAIHGKQGLEASTAGVSLSQPWN >KJB25228 pep chromosome:Graimondii2_0_v6:4:49380852:49385994:-1 gene:B456_004G182200 transcript:KJB25228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVYQNYRNAVFQSGDPAAVGVVLSNMTVAFDHWLLDVEDPFVFEPYHKALREPFDYYMFGQNYIRPLIDFRNSYVGNLSLFYEIEEKLKQGHNVVLISNHQTEADPIIISLLLEKTNPLIAENMIFVAGDRVITDPLCKPFSMGRNLLCVYSKKHMYDIPELAEMKRKANTRSLKEMALLLRGGSKIVWIAASGGRDRPDPFTEEWYPALFDSSSVDNMRRLIEHSGTPGHVYPLALLCYDIMPPPRQVEKEIGEKRIITFHGAGLSIAPQISFPEIAAACKESEAKDVYSQALYKSVSEQYNVLKSAIHGKQGLEASTAGVSLSQPWN >KJB25230 pep chromosome:Graimondii2_0_v6:4:49380119:49387779:-1 gene:B456_004G182200 transcript:KJB25230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLPLPFFGGTCSKAAFSFSLKSSSPLVPFQRLQLFRSTSRTTTRIRSSLFHSLKAKATAELVQDKESGVVATGKPVVEHSRTFIEARSEQELLSGLRKEVDAGRLPPNVAAGMEEVYQNYRNAVFQSGDPAAVGVVLSNMTVAFDHWLLDVEDPFVFEPYHKALREPFDYYMFGQNYIRPLIDFRNSYVGNLSLFYEIEEKLKQGHNVVLISNHQTEADPIIISLLLEKTNPLIAENMIFVAGDRVITDPLCKPFSMGRNLLCVYSKKHMYDIPELAEMKRKANTRSLKEMALLLRGGSKIVWIAASGGRDRPDPFTEEWYPALFDSSSVDNMRRLIEHSGTPGHVYPLALLCYDIMPPPRQKKKLEKKELSPFMGLDYQ >KJB25235 pep chromosome:Graimondii2_0_v6:4:49380196:49387422:-1 gene:B456_004G182200 transcript:KJB25235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRNSYVGNLSLFYEIEEKLKQGHNVVLISNHQTEADPIIISLLLEKTNPLIAENMIFVAGDRVITDPLCKPFSMGRNLLCVYSKKHMYDIPELAEMKRKANTRSLKEMALLLRGGSKIVWIAASGGRDRPDPFTEEWYPALFDSSSVDNMRRLIEHSGTPGHVYPLALLCYDIMPPPRQVEKEIGEKRIITFHGAGLSIAPQISFPEIAAACKESEAKDVYSQALYKSVSEQYNVLKSAIHGKQGLEASTAGVSLSQPWN >KJB25232 pep chromosome:Graimondii2_0_v6:4:49380196:49386767:-1 gene:B456_004G182200 transcript:KJB25232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVYQNYRNAVFQSGDPAAVGVVLSNMTVAFDHWLLDVEDPFVFEPYHKALREPFDYYMFGQNYIRPLIDFRNSYVGNLSLFYEIEEKLKQGHNVVLISNHQTEADPIIISLLLEKTNPLIAENMIFVAGDRVITDPLCKPFSMGRNLLCVYSKKHMYDIPELAEMKRKANTRSLKEMALLLRGGSKIVWIAASGGRDRPDPFTEEWYPALFDSSSVDNMRRLIEHSGTPGHVYPLALLCYDIMPPPRQVEKEIGEKRIITFHGAGLSIAPQISFPEIAAACKESEAKDVYSQALYKSVSEQYNVLKSAIHGKQGLEASTAGVSLSQPWN >KJB26393 pep chromosome:Graimondii2_0_v6:4:57765718:57767258:-1 gene:B456_004G239500 transcript:KJB26393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFMELNLYFFPLCGTEMVIPPPVRPPRILKFLKPYVLKMHFTNKYVSAQVIHSPTATVASAASSQEKALRSSMESTRDVAAAAKIGKILAERLLLKEIPAVSVSLKREQKYHGKVKAVIDSLTEAGVKLL >KJB26392 pep chromosome:Graimondii2_0_v6:4:57765653:57767463:-1 gene:B456_004G239500 transcript:KJB26392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPPPVRPPRILKFLKPYVLKMHFTNKYVSAQVIHSPTATVASAASSQEKALRSSMESTRDVAAAAKIGKILAERLLLKEIPAVSVSLKREQKYHGKVKAVIDSLTEAGVKLL >KJB21971 pep chromosome:Graimondii2_0_v6:4:1822103:1825081:-1 gene:B456_004G023800 transcript:KJB21971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLYLVLVLLQLSWTLSSSLPPPSSHLCLPHQRDALLRFKTTISVDCYFSYDSDYRDPYPRIDIESWSKSIDCCSWDGVKCDNVTGHVIGVDLSQSCLVGSLFANNSLFQLHNLQWLDLSSNNLRGSLLENTSSLFHFHGLQRLNLADNDFNGPISSKLFSQLVSLTHLNIAGNEFSGLISRFDGQGFDMLVTNLTKLRNLVLNGVDMSDVALTSFLNLSSSLEHLSLSHCQLHGEFPTQVSQLPNLKYLDLSENENLTGYLPNTNWSSGLELLDLSHCGFRGPIPATFGNLTKIISVNLQRNSLEGQIPDVFGELRKLTSLSFSSCNLSGPLPTTIFNLTKIVGLDLSNNHLEGPLPNHVSGLQFLEGLWLHNNSISGGVPSWLFTLPSPLLDLSYNKLVGPIDRIQKPSSIRSVDLSNNNIGGSIPYSIFYLVNLTSLDLSSNNLSGVIKSDMLSKLTSLEVLDVSNNSLLSLSTSGNDVNYSFPQLRSVNFSGCSIRQFPNFFQTSNLEELDLSNNMISGGISKWEAEGWEGLLSLDLSHNFLIALDQFPGNNLDYLNLHSNLLQGPILSTCLNPQIPNLKELEVIIISKNKLTGNIPSSICNLSSIDVLDLSENNLSGTIPDCLGNFSHLTFLDLQMNNFIGKIPDSFVSNHLNHLLLNDNQLEGLVPPSLVNSTSLELLNLGNNKLKDRFPHWLASLPSLQILILRFNRFYGSLPHSVASSNFSALRIIDISGNEFTGTLSTKLFRNLRAMKDKPNEWSYSTATYGSGSYEVPVNVTTKRLELELTKAVAFFVSIDLSNNQFYGKIPEDIGQLISLQMLNFSHNNFTGPIPASFGNLVALESLDLSSNKLGGSIPSEMTNLTFLEVLNLSENNLVGPIPHGNQFYTFDNDSYSNNLGLCGLPLSKQCINHEGGEPPSPLVVEHDGSKIPFFWQVVMMGYGSGVVIGLSLGYIVVTTGRPWWFVRMVERDWQYNFTRWVRRKRGRRN >KJB22593 pep chromosome:Graimondii2_0_v6:4:5350623:5365576:-1 gene:B456_004G056100 transcript:KJB22593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLLLLVLGFLVYSLRSSLGESSTCLTVYKEGGAPAVFQSPKCPRWKIPNHGSGARSPTPVTGRCQSAVLQGRRKHMEDRTVCMLDLHIPFPSKIGVKEVSVGVVAVFDGHNGAEASEMASKLLLEYFALHTYFLLDATFSFVLKKQSSRLPNVGEGDIVFQVLNWDKEIGKHGLNFERFKFSVPENLDDSFHLGILKEALLRAIHDIDATFSKEASRNNLASGSTATIILIADGQILVANIGDSKAILCSEKFHSPSEARETLLQLYREWRRNGAVSPFRRSNFKVAASSGLVRYIVKELTRDHHPDRDDERSRVEAAGGYVVEWGGVSRVNGQLAISRSIGDVSYKSYGVIAAPEVTDWQSLTANDSYLVVGSDGIFEKLSLQDVCDLLWEVKNHDTVGSRLSSSCSLSLADCLVNTAFERGSMDNMAAIVVPLGSAFLSQNLLTERCGRKGAKEFPANGLQKFIHGRSSDDISPDLLQLESTHPITTKFNRLLVQGKPSSYSCFYLSENLNDDVDDTIQTQKEDYVHDLPQALPNAFERPCGGPLNVYNDRSLCLNFGMTVDGANDQCVNPEGFTSFLGFLESIPFHDTSSSYGTEEYPMPDSRYILKKRFGRGSYGEVWLSFSWNCHHGSDASRWGEENKTTNFGDIHFDTYGSSSRCNTSRNDSHVGSSDGNLFILKRIMVERGASVYLSGLREKYFGEVFLNASRNLGSFPSGEVSEPFLEESQSGYGDTLDANLELGITLSPENIYLNKSGFHGAAFEEGLNHIARYVESFESRSNEIWLVFHYEGMSLSKLMYTMEEAVHNAAEESDEEVKQVRVLRPSKWWHWLRTTEEGQEEMRNLIWQLLVALKSCHDRNITHRDIKPENMVICFEDQKTGRCLREIPNEDKNFTTRMRIIDFGSSIDGFTLKHLYGSAGPSRSEQTHDYSPPEALLNASWYQGPTSTSLKYDMWSVGVVILEMILGTPNVFQISALTRTLLDHHIEGWNEDMKELAYKLRSFMELCILITGSSSKHHHAMNQAGMSPASWKCSEEFFSRQIRSRDPLKLGFPNVWALRLVRDLLRWDPGDRLSVDYALRHPYFQPHKS >KJB22594 pep chromosome:Graimondii2_0_v6:4:5349226:5365963:-1 gene:B456_004G056100 transcript:KJB22594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLLLLVLGFLVYSLRSSLGESSTCLTVYKEGGAPAVFQSPKCPRWKIPNHGSGARSPTPVTGRCQSAVLQGRRKHMEDRTVCMLDLHIPFPSKIGVKEVSVGVVAVFDGHNGAEASEMASKLLLEYFALHTYFLLDATFSFVLKKQSSRLPNVGEGDIVFQVLNWDKEIGKHGLNFERFKFSVPENLDDSFHLGILKEALLRAIHDIDATFSKEASRNNLASGSTATIILIADGQILVANIGDSKAILCSEKFHSPSEARETLLQLYREWRRNGAVSPFRRSNFKVAASSGLVRYIVKELTRDHHPDRDDERSRVEAAGGYVVEWGGVSRVNGQLAISRSIGDVSYKSYGVIAAPEVTDWQSLTANDSYLVVGSDGIFEKLSLQDVCDLLWEVKNHDTVGSRLSSSCSLSLADCLVNTAFERGSMDNMAAIVVPLGSAFLSQNLLTERCGRKGAKEFPANGLQKFIHGRSSDDISPDLLQLESTHPITTKFNRLLVQGKPSSYSCFYLSENLNDDVDDTIQTQKEDYVHDLPQALPNAFERPCGGPLNVYNDRSLCLNFGMTVDGANDQCVNPEGFTSFLGFLESIPFHDTSSSYGTEEYPMPDSRYILKKRFGRGSYGEVWLSFSWNCHHGSDASRWGEENKTTNFGDIHFDTYGSSSRCNTSRNDSHVGSSDGNLFILKRIMVERGASVYLSGLREKYFGEVFLNASRNLGSFPSGEVSEPFLEESQSGYGDTLDANLELGITLSPENIYLNKSGFHGAAFEEGLNHIARYVESFESRSNEIWLVFHYEGMSLSKLMYTMEEAVHNAAEESDEEVKQVRVLRPSKWWHWLRTTEEGQEEMRNLIWQLLVALKSCHDRNITHRDIKPENMVICFEDQKTGRCLREIPNEDKNFTTRMRIIDFGSSIDGFTLKHLYGSAGPSRSEQTHDYSPPEALLNASWYQGPTSTSLKYDMWSVGVVILEMILGTPNVFQISALTRTLLDHHIEGWNEDMKELAYKLRSFMELCILITGSSSKHHHAMNQAGMSPASWKCSEEFFSRQIRSRDPLKLGFPNVWALRLVRDLLRWDPGDRLSVDYALRHPYFQPHKS >KJB22826 pep chromosome:Graimondii2_0_v6:4:7118452:7121062:-1 gene:B456_004G067600 transcript:KJB22826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFQNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEAEAKARDLHVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKQEDMVDVNLKSTNANASQSQQQSGGCAC >KJB22827 pep chromosome:Graimondii2_0_v6:4:7118470:7119878:-1 gene:B456_004G067600 transcript:KJB22827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTNFRFDIVGRQSFQNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEAEAKARDLHVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKQEDMVDVNLKSTNANASQSQQQSGGCAC >KJB22828 pep chromosome:Graimondii2_0_v6:4:7118470:7120969:-1 gene:B456_004G067600 transcript:KJB22828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFQNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEAEAKARDLHVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKQEDMVDVNLKSTNANASQSQQQSGGCAC >KJB27226 pep chromosome:Graimondii2_0_v6:4:61673553:61676364:-1 gene:B456_004G286100 transcript:KJB27226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFKERMNFSEKGNRISDAKQYAPGKVTTLNPNAAEFVPFSLRSPSSSGSTSAGDGTTRFAASGTLGKPVLDRSRSSISNKSNEEAHRFWRSQLPKDITSDFKFINEEDSQGIGSGNLSLAGLSLRDGSKVSRFVSFADGEYVYSDRQELRNPYGNGNNIAENLRYRVSSYGADPTLASFLHLPAETLDEQLVKSDQLLGNGRQGHLYNRNSRHGLVTNMLREHEIMDGNGTEMNPVDFLVAQFPGFATESLAEAYFANSCDLNRSIEMLTQFEMNGSSDSTIGSSRSSHGSAHTYIAATTRGASADRVQTRGLARATGDAVANLYSELREEVRDHARLDNACFKQARRAFLVGNKALAKQCSLCSCAAHRKARESIYCQRNQVSVSPENVRGKEHMIDLHGLHVSEAIHLLNHELSVLRSTARAADQHLQVYICVGTGHHTRGSRTPARLAGAVQRYLLEEECLEFTVPQTGLLRVVIY >KJB27229 pep chromosome:Graimondii2_0_v6:4:61673430:61676463:-1 gene:B456_004G286100 transcript:KJB27229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPPKGLKYLPKIIFLLFIFSFYLFKLTDPFYLFSQGFRLVFIGTRCWRRILCTSLLCRSFFMFRFKERMNFSEKGNRISDAKQYAPGKVTTLNPNAAEFVPFSLRSPSSSGSTSAGDGTTRFAASGTLGKPVLDRSRSSISNKSNEEAHRFWRSQLPKDITSDFKFINEEDSQGIGSGNLSLAGLSLRDGSKVSRFVSFADGEYVYSDRQELRNPYGNGNNIAENLRYRVSSYGADPTLASFLHLPAETLDEQLVKSDQLLGNGRQGHLYNRNSRHGLVTNMLREHEIMDGNGTEMNPVDFLVAQFPGFATESLAEAYFANSCDLNRSIEMLTQFEMNGSSDSTIGSSRSSHGSAHTYIAATTRGASADRVQTRGLARATGDAVANLYSELREEVRDHARLDNACFKQARRAFLVGNKALAKQCSLCSCAAHRKARESIYCQRNQVSVSPENVRGKEHMIDLHGLHVSEAIHLLNHELSVLRSTARAADQHLQVYICVGTGHHTRGSRTPARLAGAVQRYLLEEECLEFTVPQTGLLRVVIY >KJB27231 pep chromosome:Graimondii2_0_v6:4:61674416:61676364:-1 gene:B456_004G286100 transcript:KJB27231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPPKGLKYLPKIIFLLFIFSFYLFKLTDPFYLFSQGFRLVFIGTRCWRRILCTSLLCRSFFMFRFKERMNFSEKGNRISDAKQYAPGKVTTLNPNAAEFVPFSLRSPSSSGSTSAGDGTTRFAASGTLGKPVLDRSRSSISNKSNEEAHRFWRSQLPKDITSDFKFINEEDSQGIGSGNLSLAGLSLRDGSKVSRFVSFADGEYVYSDRQELRNPYGNGNNIAENLRYRVSSYGADPTLASFLHLPAETLDEQLVKSDQLLGNGRQGHLYNRNSRHGLVTNMLREHEIMDGNGTEMNPVDFLVAQFPGFATESLAEAYFANSCDLNRSIEMLTQFEMNGSSDSTIGSSRSSHGSAHTYIAATTRGASADRVQTRGLARATGDAVANLYSELREEVRDHARLDNACFKQHAME >KJB27228 pep chromosome:Graimondii2_0_v6:4:61673553:61676364:-1 gene:B456_004G286100 transcript:KJB27228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPPKGLKYLPKIIFLLFIFSFYLFKLTDPFYLFSQGFRLVFIGTRCWRRILCTSLLCRSFFMFRFKERMNFSEKGNRISDAKQYAPGKVTTLNPNAAEFVPFSLRSPSSSGSTSAGDGTTRFAASGTLGKPVLDRSRSSISNKSNEEAHRFWRSQLPKDITSDFKFINEEDSQGIGSGNLSLAGLSLRDGSKVSRFVSFADGEYVYSDRQELRNPYGNGNNIAENLRYRVSSYGADPTLASFLHLPAETLDEQLVKSDQLLGNGRQGHLYNRNSRHGLVTNMLREHEIMDGNGTEMNPVDFLVAQFPGFATESLAEAYFANSCDLNRSIEMLTQFEMNGSSDSTIGSSRSSHGSAHTYIAATTRGASADRVQTRGLARATGDAVGNIPHLIKFIHFHSFIYLH >KJB27227 pep chromosome:Graimondii2_0_v6:4:61673553:61676185:-1 gene:B456_004G286100 transcript:KJB27227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGFCRFRLVFIGTRCWRRILCTSLLCRSFFMFRFKERMNFSEKGNRISDAKQYAPGKVTTLNPNAAEFVPFSLRSPSSSGSTSAGDGTTRFAASGTLGKPVLDRSRSSISNKSNEEAHRFWRSQLPKDITSDFKFINEEDSQGIGSGNLSLAGLSLRDGSKVSRFVSFADGEYVYSDRQELRNPYGNGNNIAENLRYRVSSYGADPTLASFLHLPAETLDEQLVKSDQLLGNGRQGHLYNRNSRHGLVTNMLREHEIMDGNGTEMNPVDFLVAQFPGFATESLAEAYFANSCDLNRSIEMLTQFEMNGSSDSTIGSSRSSHGSAHTYIAATTRGASADRVQTRGLARATGDAVANLYSELREEVRDHARLDNACFKQARRAFLVGNKALAKQCSLCSCAAHRKARESIYCQRNQVSVSPENVRGKEHMIDLHGLHVSEAIHLLNHELSVLRSTARAADQHLQVYICVGTGHHTRGSRTPARLAGAVQRYLLEEECLEFTVPQTGLLRVVIY >KJB27230 pep chromosome:Graimondii2_0_v6:4:61674464:61676364:-1 gene:B456_004G286100 transcript:KJB27230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPPKGLKYLPKIIFLLFIFSFYLFKLTDPFYLFSQGFRLVFIGTRCWRRILCTSLLCRSFFMFRFKERMNFSEKGNRISDAKQYAPGKVTTLNPNAAEFVPFSLRSPSSSGSTSAGDGTTRFAASGTLGKPVLDRSRSSISNKSNEEAHRFWRSQLPKDITSDFKFINEEDSQGIGSGNLSLAGLSLRDGSKVSRFVSFADGEYVYSDRQELRNPYGNGNNIAENLRYRVSSYGADPTLASFLHLPAETLDEQLVKSDQLLGNGRQGHLYNRNSRHGLVTNMLREHEIMDGNGTEMNPVDFLVAQFPGFATESLAEAYFANSCDLNRSIEMLTQFEMNGSSDSTIGSSRSSHGSAHTYIAATTRGASADRVQTRGLARATGDAVANLYSELREEVRDHARLDNACFKQVSCYKIVARI >KJB22905 pep chromosome:Graimondii2_0_v6:4:7826190:7826498:1 gene:B456_004G0729002 transcript:KJB22905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKYLQQLKCLEVRLICFPRLNSLKLKDLQKLIGIFHEDYTVDFPALKILKIENSPDLKAFIHKSIDKDNPTGGVLFNEK >KJB21922 pep chromosome:Graimondii2_0_v6:4:1625001:1626620:1 gene:B456_004G021600 transcript:KJB21922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIPHETTIDILGRLSVKDLLRFKCVSKPWCSSIEDPYFIKFHLSHSLKTNTNHSLFLRHREYHFFSVNCDSLETTQILNHPFGEPKRTIQILGSCNGLLALVNDNDSLLLWNPSTRESQRSPPSGYIARSTYYGFGYDPISDDYKLVRMIQSYGLHDENVHSEAKVYSLRSNRWRRIKDFSFYLNFSREFGILANNALHWMVFRTPEPLNKELVGFDLGSEEFRFLELPDCYLDEAFFFDIKAMGGDICLTATFRDFINVDVWIMKEYGVKESWIKLVSYYEPESIQASPFPVPLAFSKNGDKVLLFIAYKWCHTATRTGKFVWYDLESQRVEKVEIRGIPASFDVDLYVDSLVPLNTNDLMFYNEMPED >KJB23333 pep chromosome:Graimondii2_0_v6:4:13753304:13756406:-1 gene:B456_004G093500 transcript:KJB23333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSPNLSSIPHLPSFTLKPSPPHSQIRSDLENESCTTGVSNDSLEPAKKKAAELPTSRRMCLTCLCSSLALISSSSSSASAVSAMAMDGNERAVCRNCAGSGAVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDAQKLLDKMHNGRLLPSS >KJB23334 pep chromosome:Graimondii2_0_v6:4:13753613:13756116:-1 gene:B456_004G093500 transcript:KJB23334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSPNLSSIPHLPSFTLKPSPPHSSSRFVSAQIRSDLENESCTTGVSNDSLEPAKKKAAELPTSRRMCLTCLCSSLALISSSSSSASAVSAMAMDGNERAVCRNCAGSGAVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDAQKLLDKMHNGRLLPSS >KJB26160 pep chromosome:Graimondii2_0_v6:4:56181659:56182899:1 gene:B456_004G227600 transcript:KJB26160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIIANNCPPLRKSEIEYYAMLCKVGVHHYNGSMFLNLSL >KJB26159 pep chromosome:Graimondii2_0_v6:4:56181522:56183281:1 gene:B456_004G227600 transcript:KJB26159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIIANNCPPLRKSEIEYYAMLCKVGVHHYNGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKSMPGDH >KJB22271 pep chromosome:Graimondii2_0_v6:4:3186631:3189823:1 gene:B456_004G038100 transcript:KJB22271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKQSRSDKSEQQQYRKLGRSASSNQQQRTSSGVYGEGGGGGPAPSSSFSSSSSSSRSSAPPNLDEQKCDQACHGSSVKSVSNLPTTVPRQQLPRKDSVASQSNSGEAHPMPMVKKDVQASAGPAVDQTQKSSHLNIPMASMQMPFHHQPQVPMQFGVTNPHIQSQSPSVSGNIEKDGSGNISMKYSRDFLPKFAEQCTDLPQGFEIASDIAEPLMTPNVNGSHLVDRDSYLSPGRKMDRQSSGSRLDRRGRGMVDNERWVKLPGSFRPGRDTRLDPSYGAAASFQPVQGGNFGIVRHPRAQTSLPFVGGILAGPMHPMGLQGGMPRNSPDTDRWQPVANYSQKGLISSPQTPLQTMHRAARKYEMGKVTDEETLKQRQLKAILNKLTPQNFEKLFEQVKAVNIDNAVTLTGVILQIFDKALMEPKMYANFCYHLAGELPEFSEGNEKITFKRLLLNKCQEEFERGGREQEEANKVEEVGESKRSEEEREEKRIKARRRMLGNIRFIGELYKKKMLTERIMHECIKKLLGEYENPDEEDIEALCKLMSTIGEMIDHPKAKVYMDAYFERMAKLSNNVKLSSRVRFMLKGAIDLRKNKWQQRRKVDGPKKIEEVDRDAAQERQAQASRLAHGPGINPAARRAPSDFGPRASVLSSPGPQDARMDDRLRIETRNLCI >KJB25388 pep chromosome:Graimondii2_0_v6:4:50419320:50422242:-1 gene:B456_004G188800 transcript:KJB25388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSIQSLLILFTTLLLKETLVVAETCSNCFTHSRAAYYPNSDEQGTDVGACGFGSFGATINGGDVSAVSDLYRNGVGCGACYQVRCTNSNYCSDKGVTVVITDQGSSHDTDFILSQRAFGRMAQTKDAAASLLALGVVDIEYRHYPKKNITIKIDENSNYPHYFAFVLWYQQGDKDITAVQLCETQNFVCKLLDRSHGAVWTTNSPPSGPLSLRMLLSGEDGDESWIIPVNNIPENWKGGETYDTGVQINV >KJB25387 pep chromosome:Graimondii2_0_v6:4:50419320:50421905:-1 gene:B456_004G188800 transcript:KJB25387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSIQSLLILFTTLLLKETLVVAETCSNCFTHSRAAYYPNSDEQGTDVGACGFGSFGATINGGDVSAVSDLYRNGVGCGACYQVRCTNSNYCSDKGVTVVITDQGSSHDTDFILSQRAFGRMAQTKDAAASLLALGVVDIEYRHVSCSYPKKNITIKIDENSNYPHYFAFVLWYQQGDKDITAVQLCETQNFVCKLLDRSHGAVWTTNSPPSGPLSLRMLLSGEDGDESWIIPVNNIPENWKGGETYDTGVQINV >KJB25046 pep chromosome:Graimondii2_0_v6:4:47671399:47684304:1 gene:B456_004G174400 transcript:KJB25046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSNKGRSRRGSHNSTTCSEQAVSSDAPLKDNVTASKPPNVDSNGVPNMVESSGSKSELTESEALNSSSQPKQGDLHLYPVPVKTQSGERLELQLNPGDSVMDIRQFLLDAPETCYFTCYDLLLHIKDGSTHHLEDYNEISEVADITLGGCSLEMVAALYDDRSIRAHVHRTRDLLSLSTLHASLSTSLALQYENAQSKAPNSGDAAKTDVPELDGLGFMEDVTGSLGKLLCTPSKEIKCVESIVFSSFNPPPSYRRLVGDLIYLDIETLEGNKYCITGTTKMFYVNSSTGNVLDPRPSKAGYEATTLVGLLQKISSKFRKAFHEIMERKATAHPFENVQSLLPPNSWLGLYPVPDHKRDAARAEDALTPSYGSELIGMQRDWNEELQSCREFPHTTPQERILRDRALYKVTSDFVDAAISGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDMEQLSKKRAVETNSSTESGNEAASSEMLPGGRMDSNEERCGRSSIGESDSITELAQGSVETPLAESEQATYASANNDLKGTKAYQEADVPGLHNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVLEAAKRLHLKEHTVLDASGNVFKLAAPVECKGIVGSDDRHYLLDLMRATPRDANFIGPGSRFCILRPELITAFVQAQAPESSKSVPKSEGEVNVATDSSKSDGEVNVATDSSKAAVVETPVVTESHEAATSGDDQGITNEDKNKADTECASASVKSCETNEEILFNPNVFTEFKLAGSQEEIVEDEENVKKASSYLVDVVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINIRYIGNVANGTKHLPHLWDLCSNEIVVRSAKHILKDVLRDTEDHDLGPAISHILSCFFGSCQSVAAKLTSSSQSKNHKKEQANHHSSGKTSKGHARWKGKTSARKNISSYMNVSSESLWSEIQKFAKLKYQFELPEDARLRVKRISVLRNMCQKGMLTEAYTMFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEEDTRTRDSQNWMKTFKMRELQLNAQKQKGQALNSASAQKAIDILKAHPDLMQAFQAAAAAGGSGSSSASFNKSLNAAMIGETLPRGRGFDERAARAAAEVRKKAAARGLVTRSHGIPVQAVPPLTQLLNMINMGATPEAGDGGEASGEKREEANGHHNPNGAVDSKKDESTTSKEGEAAPVGLGKGLASLDAKKQKTKLKATS >KJB25045 pep chromosome:Graimondii2_0_v6:4:47671361:47684337:1 gene:B456_004G174400 transcript:KJB25045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSNKGRSRRGSHNSTTCSEQAVSSDAPLKDNVTASKPPNVDSNGVPNMVESSGSKSELTESEALNSSSQPKQGDLHLYPVPVKTQSGERLELQLNPGDSVMDIRQFLLDAPETCYFTCYDLLLHIKDGSTHHLEDYNEISEVADITLGGCSLEMVAALYDDRSIRAHVHRTRDLLSLSTLHASLSTSLALQYENAQSKAPNSGDAAKTDVPELDGLGFMEDVTGSLGKLLCTPSKEIKCVESIVFSSFNPPPSYRRLVGDLIYLDIETLEGNKYCITGTTKMFYVNSSTGNVLDPRPSKAGYEATTLVGLLQKISSKFRKAFHEIMERKATAHPFENVQSLLPPNSWLGLYPVPDHKRDAARAEDALTPSYGSELIGMQRDWNEELQSCREFPHTTPQERILRDRALYKVTSDFVDAAISGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDMEQLSKKRAVETNSSTESGNEAASSEMLPGGRMDSNEERCGRSSIGESDSITELAQGSVETPLAESEQATYASANNDLKGTKAYQEADVPGLHNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVLEAAKRLHLKEHTVLDASGNVFKLAAPVECKGIVGSDDRHYLLDLMRATPRDANFIGPGSRFCILRPELITAFVQAQAPESSKSVPKSEGEVNVATDSSKSDGEVNVATDSSKAAVVETPVVTESHEAATSGDDQGITNEDKNKADTECASASVKSCETNEEILFNPNVFTEFKLAGSQEEIVEDEENVKKASSYLVDVVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINIRYIGNVANGTKHLPHLWDLCSNEIVVRSAKHILKDVLRDTEDHDLGPAISHILSCFFGSCQSVAAKLTSSSQSKNHKKEQANHHSSGKTSKGHARWKGKTSARKNISSYMNVSSESLWSEIQKFAKLKYQFELPEDARLRVKRISVLRNMCQKVGITIAARKYDFNTAMPFHTSDILNLQPVVKHSVPVCSEAKDLVEMGKVQLVEGMLTEAYTMFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEEDTRTRDSQNWMKTFKMRELQLNAQKQKGQALNSASAQKAIDILKAHPDLMQAFQAAAAAGGSGSSSASFNKSLNAAMIGETLPRGRGFDERAARAAAEVRKKAAARGLVTRSHGIPVQAVPPLTQLLNMINMGATPEAGDGGEASGEKREEANGHHNPNGAVDSKKDESTTSKEGEAAPVGLGKGLASLDAKKQKTKLKATS >KJB25047 pep chromosome:Graimondii2_0_v6:4:47671399:47684304:1 gene:B456_004G174400 transcript:KJB25047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSNKGRSRRGSHNSTTCSEQAVSSDAPLKDNVTASKPPNVDSNGVPNMVESSGSKSELTESEALNSSSQPKQGDLHLYPVPVKTQSGERLELQLNPGDSVMDIRQFLLDAPETCYFTCYDLLLHIKDGSTHHLEDYNEISEVADITLGGCSLEMVAALYDDRSIRAHVHRTRDLLSLSTLHASLSTSLALQYENAQSKAPNSGDAAKTDVPELDGLGFMEDVTGSLGKLLCTPSKEIKCVESIVFSSFNPPPSYRRLVGDLIYLDIETLEGNKYCITGTTKMFYVNSSTGNVLDPRPSKAGYEATTLVGLLQKISSKFRKAFHEIMERKATAHPFENVQSLLPPNSWLGLYPVPDHKRDAARAEDALTPSYGSELIGMQRDWNEELQSCREFPHTTPQERILRDRALYKVTSDFVDAAISGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDMEQLSKKRAVETNSSTESGNEAASSEMLPGGRMDSNEERCGRSSIGESDSITELAQGSVETPLAESEQATYASANNDLKGTKAYQEADVPGLHNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVLEAAKRLHLKEHTVLDASGNVFKLAAPVECKGIVGSDDRHYLLDLMRATPRDANFIGPGSRFCILRPELITAFVQAQAPESSKSVPKSEGEVNVATDSSKSDGEVNVATDSSKAAVVETPVVTESHEAATSGDDQGITNEDKNKADTECASASVKSCETNEEILFNPNVFTEFKLAGSQEEIVEDEENVKKASSYLVDVVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINIRYIGNVANGTKHLPHLWDLCSNEIVVRSAKHILKDVLRDTEDHDLGPAISHILSCFFGSCQSVAAKLTSSSQSKNHKKEQANHHSSGKTSKGHARWKGKTSARKNISSYMNVSSESLWSEIQKFAKLKYQFELPEDARLRVKRISVLRNMCQKVGITIAARKYDFNTAMPFHTSDILNLQPVVKHSVPVCSEAKDLVEMGKVQLVEVSILKSETDPIKLKGMLTEAYTMFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEEDTRTRDSQNWMKTFKMRELQLNAQKQKGQALNSASAQKAIDILKAHPDLMQAFQAAAAAGGSGSSSASFNKSLNAAMIGETLPRGRGFDERAARAAAEVRKKAAARGLVTRSHGIPVQAVPPLTQLLNMINMGATPEAGDGGEASGEKREEANGHHNPNGAVDSKKDESTTSKEGEAAPVGLGKGLASLDAKKQKTKLKATS >KJB24514 pep chromosome:Graimondii2_0_v6:4:41763867:41766293:1 gene:B456_004G148800 transcript:KJB24514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDITVPPPSNSVHKPKLRPTLNSYFNKPTLFKAFFFCFLPFLISLFLIAYHNQSGKNSEMVLLAGFCNGSDIANVDSSESKSVPFQETRTGHACSTMGEPCISCTTFNILAPIYKRLDQQNQSVRESDFRAFWLARNKKIVNWLLYERSSIICLQEFWVGNEELVHMYEESLGAAGYDTFKLARTNNRGDGLLTAIHKEYFKVLNRRELFFNDFGDRVAQLLHVQSVAPFSINQNESVQQEIIIVNTHLLFPHDSSLSIVRLHQVYQILQYLETYQRENKLSQMPVILCGDWNGSKRGHVYKFLRSQGFVSSYDIAHEYTDSDADAHKWVSHRNHRGNICGVDFIWLRNPHNSQKLLKISWAEAAFGIIKVSSAFFILSC >KJB24516 pep chromosome:Graimondii2_0_v6:4:41763867:41766293:1 gene:B456_004G148800 transcript:KJB24516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDITVPPPSNSVHKPKLRPTLNSYFNKPTLFKAFFFCFLPFLISLFLIAYHNQSGKNSEMVLLAGFCNGSDIANVDSSESKSVPFQETRTGHACSTMGEPCISCTTFNILAPIYKRLDQQNQSVRESDFRAFWLARNKKIVNWLLYERSSIICLQEFWVGNEELVHMYEESLGAAGYDTFKLARTNNRGDGLLTAIHKEYFKVLNRRELFFNDFGDRVAQLLHVQSVAPFSINQNESVQQEIIIVNTHLLFPHDSSLSIVRLHQVYQILQYLETYQRENKLSQMPVILCGDWNGSKRGHVYKFLRSQGFVSSYDIAHEYTDSDADAHKWVSHRNHRGNICGVDFIWLRNPHNSQKLLKISWAEAAFGIIKVSSAFFILSC >KJB24511 pep chromosome:Graimondii2_0_v6:4:41763856:41766436:1 gene:B456_004G148800 transcript:KJB24511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDITVPPPSNSVHKPKLRPTLNSYFNKPTLFKAFFFCFLPFLISLFLIAYHNQSGKNSEMVLLAGFCNGSDIANVDSSESKSVPFQETRTGHACSTMGEPCISCTTFNILAPIYKRLDQQNQSVRESDFRAFWLARNKKIVNWLLYERSSIICLQEFWVGNEELVHMYEESLGAAGYDTFKLARTNNRGDGLLTAIHKEYFKVLNRRELFFNDFGDRVAQLLHVQSVAPFSINQNESVQQEIIIVNTHLLFPHDSSLSIVRLHQVYQILQYLETYQRENKLSQMPVILCGDWNGSKRGHVYKFLRSQGFVSSYDIAHEYTDSDADAHKWVSHRNHRGNICGVDFIWLRNPHNSQKLLKISWAEAAFGIIKSVASNIYIRAGHI >KJB24510 pep chromosome:Graimondii2_0_v6:4:41763704:41767870:1 gene:B456_004G148800 transcript:KJB24510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHWNPIVLIHRTNTRPPLPFPCPDFNQSFHPSTCILIKNLDHMNQDITVPPPSNSVHKPKLRPTLNSYFNKPTLFKAFFFCFLPFLISLFLIAYHNQSGKNSEMVLLAGFCNGSDIANVDSSESKSVPFQETRTGHACSTMGEPCISCTTFNILAPIYKRLDQQNQSVRESDFRAFWLARNKKIVNWLLYERSSIICLQEFWVGNEELVHMYEESLGAAGYDTFKLARTNNRGDGLLTAIHKEYFKVLNRRELFFNDFGDRVAQLLHVQSVAPFSINQNESVQQEIIIVNTHLLFPHDSSLSIVRLHQVYQILQYLETYQRENKLSQMPVILCGDWNGSKRGHVYKFLRSQGFVSSYDIAHEYTDSDADAHKWVSHRNHRGNICGVDFIWLRNPHNSQKLLKISWAEAAFGIIKYQLKKVSLAENDAFAFLRADSSGNHITYSAFCDALRQVNLTGLSHGLSFQETKDLWVQADADGNGVVDYEEFKRIWDATWLQHMDEDCSLEDLNEGIAEDEAIGFAVKKAVLFPHEVEKGIWPENYSLSDHARLTAVFSPVRLRCSKQSL >KJB24515 pep chromosome:Graimondii2_0_v6:4:41763856:41767793:1 gene:B456_004G148800 transcript:KJB24515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDITVPPPSNSVHKPKLRPTLNSYFNKPTLFKAFFFCFLPFLISLFLIAYHNQSGKNSEMVLLAGFCNGSDIANVDSSESKSVPFQETRTGHACSTMGEPCISCTTFNILAPIYKRLDQQNQSVRESDFRAFWLARNKKIVNWLLYERSSIICLQEFWVGNEELVHMYEESLGAAGYDTFKLARTNNRGDGLLTAIHKEYFKVLNRRELFFNDFGDRVAQLLHVQSVAPFSINQNESVQQEIIIVNTHLLFPHDSSLSIVRLHQVYQILQYLETYQRENKLSQMPVILCGDWNGSKRGHVYKFLRSQGFVSSYDIAHEYTDSDADAHKWVSHRNHRGNICGVDFIWLRNPHNSQKLLKISWAEAAFGIIKVSKFDWSFSWIKFPRDERSVGPSRC >KJB24513 pep chromosome:Graimondii2_0_v6:4:41763856:41767793:1 gene:B456_004G148800 transcript:KJB24513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDITVPPPSNSVHKPKLRPTLNSYFNKPTLFKAFFFCFLPFLISLFLIAYHNQSGKNSEMVLLAGFCNGSDIANVDSSESKSVPFQETRTGHACSTMGEPCISCTTFNILAPIYKRLDQQNQSVRESDFRAFWLARNKKIVNWLLYERSSIICLQEFWVGNEELVHMYEESLGAAGYDTFKLARTNNRGDGLLTAIHKEYFKVLNRRELFFNDFGDRVAQLLHVQSVAPFSINQNESVQQEIIIVNTHLLFPHDSSLSIVRLHQVYQILQYLETYQRENKLSQMPVILCGDWNGSKRGHVYKFLRSQGFVSSYDIAHEYTDSDADAHKWVSHRNHRGNICGVDFIWLRNPHNSQKLLKISWAEAAFGIIKYQLKKVSLAENDAFAFLRADSSGNHITYSAFCDALRQVLSFTSLIGSLDVQCNWLNSQLFRTMCR >KJB24512 pep chromosome:Graimondii2_0_v6:4:41763856:41767793:1 gene:B456_004G148800 transcript:KJB24512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDITVPPPSNSVHKPKLRPTLNSYFNKPTLFKAFFFCFLPFLISLFLIAYHNQSGKNSEMVLLAGFCNGSDIANVDSSESKSVPFQETRTGHACSTMGEPCISCTTFNILAPIYKRLDQQNQSVRESDFRAFWLARNKKIVNWLLYERSSIICLQEFWVGNEELVHMYEESLGAAGYDTFKLARTNNRGDGLLTAIHKEYFKVLNRRELFFNDFGDRVAQLLHVQSVAPFSINQNESVQQEIIIVNTHLLFPHDSSLSIVRLHQVYQILQYLETYQRENKLSQMPVILCGDWNGSKRGHVYKFLRSQGFVSSYDIAHEYTDSDADAHKWVSHRNHRGNICGVDFIWLRNPHNSQKLLKISWAEAAFGIIKG >KJB26929 pep chromosome:Graimondii2_0_v6:4:60204253:60206439:-1 gene:B456_004G267000 transcript:KJB26929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELVLHNGGCHCKKVRWQVQAPTSVVAWKCNCSNCSMRGTIHFVVPRQRFELLGDSEEFITTYTFGTHTAKHTFCKVCGITYTQRSNPDGIAVTLACLDPGTLSHVEIRNFDGKNWE >KJB21816 pep chromosome:Graimondii2_0_v6:4:1126045:1127011:1 gene:B456_004G015500 transcript:KJB21816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGYSGNSTTPSKEFSTPSSLGTYQAGSYGNKQSIPEASIDSNLNNFKVDDNIVSVDETTFFFQRDLRTGKLVNLPNLIATGDKTPFLPDRVAKSIPFSSAKLPEILNHFSLKPQTRDANTIGETIRGCERAAINGEQKFCATSLESFIDLSISKLGKQIQLLSIEFSKETKNPLFTISRGMQNMGEHELVCHKMEYPGAVFLCHALNKTGVYKVPLVGRDGTKANALAVCHKDTSGWNPKHMAFQILKVKPGTVPICHFLFRDTLVWVSNSTAK >KJB25958 pep chromosome:Graimondii2_0_v6:4:55190466:55192386:1 gene:B456_004G218200 transcript:KJB25958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPMKIQPIDFNTEEVAPPRLETVKPVMKSRFKRLFERQFPSVLRNSAADKVAAPAAVAAADELPFNKECTGEFEPSSVCLAKMVQNFIEETNEKQQSGAVRCSRNRCNCFNRNCNEGSEDEMDGFSFGDSNLTSSGESPEILKSLVSCASVNERNLLADTAKIVENNKICKRKDDFCRKIVTDGLLALGYDASICKSHWEKSPSYPAGEYEYIDVIIEGERLLIDIDFRSEFEIARSTKAYKSILQMLPFIFVGKTDRLQKIIAIVSEAVKQSLKKKGMHVPPWRKAEYVKAKWLSPHNRTTPSPSPTVTPTPTIETPKEVELETKAKEKTQPELNPEMKNSVEDSELGESIFAFSDSSEEGKEEEKEAWKLPEIKPKNSLIGVKLVTGLASVIEDEPIKF >KJB25023 pep chromosome:Graimondii2_0_v6:4:47314770:47318736:-1 gene:B456_004G172500 transcript:KJB25023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIMAENGIMHPPESKWSLLGVPVFVLFKDARLVFKLDSLGLEILRIAFPAALALAADPIASLIDTAFIGRIGPVELAAVGVSIAIFNQASRITIFPLVSMTTSFVAEEDTLAKISPEAVKSEDLEKNEPKNNEMKELMRPEDVVAANLENGPGAAATNTDTKETEDGSSNTSKDPPVVDSKAQNAQVKKGKRHIPSVSTALILGLMLGLLQAICLVFGAKALLRVMGVKPDSPMLNPALKYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDLTNIILDPIFIFVLKLGVSGAAIAHVLSQYLISLILLWRLMKQVNLLPPNMKDLQFGRFLKNGFLLLARVIAVTFCVTLAASMAARLGSTPMAAFQICLQVWMTSSLLADGLAVAGQAILACAFAEKDYKKVTAATSRVLQMSFVLGVGLAVAVGAGLYFGSGIFSKDASVLHLISIGVPFVAATQPINSIAFVFDGVNFGASDFAYTAYSMVFVAGASIASLFILSKSNGFIGIWVALTIYMVLRSFAGVLRMGTGTGPWRFLRSRPIA >KJB25022 pep chromosome:Graimondii2_0_v6:4:47314804:47320666:-1 gene:B456_004G172500 transcript:KJB25022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIMAENGIMHPPESKWSLLGVPVFVLFKDARLVFKLDSLGLEILRIAFPAALALAADPIASLIDTAFIGRIGPVELAAVGVSIAIFNQASRITIFPLVSMTTSFVAEEDTLAKISPEAVKSEDLEKNEPKNNEMKELMRPEDVVAANLENGPGAAATNTDTKETEDGSSNTSKDPPVVDSKAQNAQVKKGKRHIPSVSTALILGLMLGLLQAICLVFGAKALLRVMGVKPDSPMLNPALKYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDLTNIILDPIFIFVLKLGVSGAAIAHVLSQYLISLILLWRLMKQVNLLPPNMKDLQFGRFLKNGFLLLARVIAVTFCVTLAASMAARLGSTPMAAFQICLQVWMTSSLLADGLAVAGQAILACAFAEKDYKKVTAATSRVLQMSFVLGVGLAVAVGAGLYFGSGIFSKDASVLHLISIGVPFVAATQPINSIAFVFDGVNFGASDFAYTAYSMVFVAGASIASLFILSKSNGFIGIWVALTIYMVLRSFAGVLRMGTGTGPWRFLRSRPIA >KJB23795 pep chromosome:Graimondii2_0_v6:4:27570494:27576414:-1 gene:B456_004G115300 transcript:KJB23795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITAGVLRSFTDIFYIIHIIFQFRTGFIAPSSRVFGRGVLVEDSLAIAKRYLKSYFVIDVLAVLPLPQVVILIIIPQMRGLKSLNTKNLLTFIVLFQYLPRVFRIYPLYKEITRTSGILTETAWAGAAFNLFLYMLASHVFGAFWYLFSIEREYTCWKDACRNNTKCNPRSFYCDGDSSFSMFLNQSCPIQTPNTTLFNFGIFLNALQSGVVESENFPEKFFYCFWWGLQNLSSLGQNLETSTYVFEICFAVFISISGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPENLRERIRRYEQYKWQETRGVDEENLLCNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPVLYTEESYIVREGDPVDEMLFIMRGKLLTMTTNGGRTGFFNSEYIGAGDFCGEELLTWALDPHSSSNLPISTRTVRALTEVEAFALVADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLREEEDRLQDALANASGSSPSLGATIYASRFAANALRALRQNRSRKTRVLERVPPMLLQKPAEPDFTAEEQ >KJB23793 pep chromosome:Graimondii2_0_v6:4:27570395:27576517:-1 gene:B456_004G115300 transcript:KJB23793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYPPEKLVRFQDWNSGRSTEGRYSDNNWINSGKIRFTINSFSEKLQRGVESGSERFKGIRRSFKSCSFNRGFGSSKKVLDPQGPFLQRWNKIFLLSCIIAVSIDPLFFYVPVINDDKKCLVLDEKMEITAGVLRSFTDIFYIIHIIFQFRTGFIAPSSRVFGRGVLVEDSLAIAKRYLKSYFVIDVLAVLPLPQVVILIIIPQMRGLKSLNTKNLLTFIVLFQYLPRVFRIYPLYKEITRTSGILTETAWAGAAFNLFLYMLASHVFGAFWYLFSIEREYTCWKDACRNNTKCNPRSFYCDGDSSFSMFLNQSCPIQTPNTTLFNFGIFLNALQSGVVESENFPEKFFYCFWWGLQNLSSLGQNLETSTYVFEICFAVFISISGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPENLRERIRRYEQYKWQETRGVDEENLLCNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPVLYTEESYIVREGDPVDEMLFIMRGKLLTMTTNGGRTGFFNSEYIGAGDFCGEELLTWALDPHSSSNLPISTRTVRALTEVEAFALVADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLREEEDRLQDALANASGSSPSLGATIYASRFAANALRALRQNRSRKTRVLERVPPMLLQKPAEPDFTAEEQ >KJB23794 pep chromosome:Graimondii2_0_v6:4:27570395:27576917:-1 gene:B456_004G115300 transcript:KJB23794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYPPEKLVRFQDWNSGRSTEGRYSDNNWINSGKIRFTINSFSEKLQRGVESGSERFKGIRRSFKSCSFNRGFGSSKKVLDPQGPFLQRWNKIFLLSCIIAVSIDPLFFYVPVINDDKKCLVLDEKMEITAGVLRSFTDIFYIIHIIFQFRTGFIAPSSRVFGRGVLVEDSLAIAKRYLKSYFVIDVLAVLPLPQVVILIIIPQMRGLKSLNTKNLLTFIVLFQYLPRVFRIYPLYKEITRTSGILTETAWAGAAFNLFLYMLASHVFGAFWYLFSIEREYTCWKDACRNNTKCNPRSFYCDGDSSFSMFLNQSCPIQTPNTTLFNFGIFLNALQSGVVESENFPEKFFYCFWWGLQNLSSLGQNLETSTYVFEICFAVFISISGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPENLRERIRRYEQYKWQETRGVDEENLLCNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPVLYTEESYIVREGDPVDEMLFIMRGKLLTMTTNGGRTGFFNSEYIGAGDFCGEELLTWALDPHSSSNLPISTRTVRALTEVEAFALVADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLREEEDRLQDALANASGSSPSLGATIYASRFAANALRALRQNRSRKTRVLERVPPMLLQKPAEPDFTAEEQ >KJB24833 pep chromosome:Graimondii2_0_v6:4:45533871:45534413:-1 gene:B456_004G163600 transcript:KJB24833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSCFLLLLLCLSLQACSARRLVAVDSKHHKIDNILHFSIKNDMKKDRKNNSVAVLQAKSSSSKELRSMKLESIEESHGYNVTQKLEDAEVKYHKTIVEIEKKKNPSVAVSWRVPHKKHDEKNPGFNLDYSPPKTHPPHHN >KJB22688 pep chromosome:Graimondii2_0_v6:4:6015304:6020382:1 gene:B456_004G061000 transcript:KJB22688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNCDFCGHQRPVVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPALVRCTEERVSLCENCDWIGHGTSTSNSTHKRQTINCYSGCPSSAELSSLWPFFWQSPSPGESTCEKELGLMSITENIETTSWGPTGNTVSQNKNSAGVAEVNNDNNADMGSGWDGSSSILELRSPPLALDQPAGVTDTSLPKVSCPQTKRPSLYEDDLYDDFNMDEVDLNLDNYEELFGVALNHSEELFESGGIDSLFRTNDLSAADSDCRGAVAAEGSSVGLVNAIQPACSNGASADSVMSNKTDSVLCFTGRQAHSSLSFSGLTGESSGADYQDCGASSMLLMGEPPWCPPCTESSFQSSTRSNAVMRYKEKKKTRKFEKRVRYASRKARADVRKRVKGRFVKAGDAYDYDPLNQTQSF >KJB22691 pep chromosome:Graimondii2_0_v6:4:6015323:6020382:1 gene:B456_004G061000 transcript:KJB22691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNCDFCGHQRPVVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPALVRCTEERVSLCENCDWIGHGTSTSNSTHKRQTINCYSGCPSSAELSSLWPFFWQSPSPGESTCEKELGLMSITENIETTSWGPTGNTVSQNKNSAGVAEVNNDNNADMGSGWDGSSSILELRSPPLALDQPAGVTDTSLPKVSCPQTKRPSLYEDDLYDDFNMDEVDLNLDNYEELFGVALNHSEELFESGGIDSLFRTNDLSAADSDCRGAVAAEPACSNGASADSVMSNKTDSVLCFTGRQAHSSLSFSGLTGESSGADYQDCGASSMLLMGEPPWCPPCTESSFQSSTRSNAVMRYKEKKKTRKFEKRVRYASRKARADVRKRVKGRFVKAGDAYDYDPLNQTQSF >KJB22689 pep chromosome:Graimondii2_0_v6:4:6015304:6020382:1 gene:B456_004G061000 transcript:KJB22689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNCDFCGHQRPVVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPALVRCTEERVSLCENCDWIGHGTSTSNSTHKRQTINCYSGCPSSAELSSLWPFFWQSPSPGESTCEKELGLMSITENIETTSWGPTGNTVSQNKNSAGVAEVNNDNNADMGSGWDGSSSILELRSPPLALDQPAGVTDTSLPKVSCPQTKRPSLYEDDLYDDFNMDEVDLNLDNYEELFGVALNHSEELFESGGIDSLFRTNDLSAADSDCRGAVAAEGSSVGLVNAIQPACSNGASADSVMSNKTDSVLCFTGRQAHSSLSFSGLTGESSGADYQDCGASSMLLMGEPPWCPPCTESSFQSSTRSNAVMRYKEKKKTRKFEKRVRYASRKARADVRKRVKGRFVKAGDAYDYDPLNQTQSF >KJB22690 pep chromosome:Graimondii2_0_v6:4:6015323:6019008:1 gene:B456_004G061000 transcript:KJB22690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNCDFCGHQRPVVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPALVRCTEERVSLCENCDWIGHGTSTSNSTHKRQTINCYSGCPSSAELSSLWPFFWQSPSPGESTCEKELGLMSITENIETTSWGPTGNTVSQNKNSAGVAEVNNDNNADMGSGWDGSSSILELRSPPLALDQPAGVTDTSLPKVSCPQTKRPSLYEDDLYDDFNMDEVDLNLDNYEELFGVALNHSEELFESGGIDSLFRTNDLSAADSDCRGAVAAEGSSVGLVNAIQPACSNGASADSVMSNKTDSVLCFTGRQAHSSLSFSGLTGESSGADYQDCGASSMLLMGEPPWCPPCTESSFQSSTRSNAVMRYKEKKKTRK >KJB22178 pep chromosome:Graimondii2_0_v6:4:2738908:2743053:1 gene:B456_004G033500 transcript:KJB22178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive beta-amylase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55700) UniProtKB/Swiss-Prot;Acc:Q9FM68] MACKCGGYYYTLNAKASFDRDLAKTRKFLLRNVSVIRMFTSGFFRSKWRSIAGKRRILSMDAREKSRSTILESSKDKRVPIFVMMPVDIFTLDASGCPRIRKLKALTVSLKALKLAGVHGIGVEVWWGIVERFSPFDYDWSLYEELFKLISDSGLKLHVALSFHSNIHSTHGKGGVSLPLWILEIGDVNKDIYYRDQQGFSNNDYLTLGVDHVPLLSGRTALQCYEDFMLSFVNKFESFIGTVIEEISIGLGPSGELRYPAHPFGDGRWKFPGIGEFQCYDKYMMEDLKMAACREGKPQWGDKGPQNAGCYNSLPSGVPFFEEGKESFLSDYGRFFLEWYSGRLICHADAILAKAAKILKKYQENEQTSVMLVAKISGIYWWYQTVSHPAELTAGYYNTALRDGYDPVVSVLSRHGAALHIPCLEMMDSETPPTYLCSPEGLLKQA >KJB22177 pep chromosome:Graimondii2_0_v6:4:2738338:2744508:1 gene:B456_004G033500 transcript:KJB22177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive beta-amylase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55700) UniProtKB/Swiss-Prot;Acc:Q9FM68] MMSTRETREIDEKKKKKKKMACKCGGYYYTLNAKASFDRDLAKTRKFLLRNVSVIRMFTSGFFRSKWRSIAGKRRILSMDAREKSRSTILESSKDKRVPIFVMMPVDIFTLDASGCPRIRKLKALTVSLKALKLAGVHGIGVEVWWGIVERFSPFDYDWSLYEELFKLISDSGLKLHVALSFHSNIHSTHGKGGVSLPLWILEIGDVNKDIYYRDQQGFSNNDYLTLGVDHVPLLSGRTALQCYEDFMLSFVNKFESFIGTVIEEISIGLGPSGELRYPAHPFGDGRWKFPGIGEFQCYDKYIFACRMEDLKMAACREGKPQWGDKGPQNAGCYNSLPSGVPFFEEGKESFLSDYGRFFLEWYSGRLICHADAILAKAAKILKKYQENEQTSVMLVAKISGIYWWYQTVSHPAELTAGYYNTALRDGYDPVVSVLSRHGAALHIPCLEMMDSETPPTYLCSPEGLLKQMQSVSKKRIVNLIGRNTTERLDKTGLWKIRSNCYNPQAEVVRSFTYFRMNDSIFRVENWNNFVPFVRMMSTDL >KJB22179 pep chromosome:Graimondii2_0_v6:4:2738908:2745048:1 gene:B456_004G033500 transcript:KJB22179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive beta-amylase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55700) UniProtKB/Swiss-Prot;Acc:Q9FM68] MACKCGGYYYTLNAKASFDRDLAKTRKFLLRNVSVIRMFTSGFFRSKWRSIAGKRRILSMDAREKSRSTILESSKDKRVPIFVMMPVDIFTLDASGCPRIRKLKALTVSLKALKLAGVHGIGVEVWWGIVERFSPFDYDWSLYEELFKLISDSGLKLHVALSFHSNIHSTHGKGGVSLPLWILEIGDVNKDIYYRDQQGFSNNDYLTLGVDHVPLLSGRTALQCYEDFMLSFVNKFESFIGTVIEEISIGLGPSGELRYPAHPFGDGRWKFPGIGEFQCYDKYMMEDLKMAACREGKPQWGDKGPQNAGCYNSLPSGVPFFEEGKESFLSDYGRFFLEWYSGRLICHADAILAKAAKILKKYQENEQTSVMLVAKISGIYWWYQTVSHPAELTAGYYNTALRDGYDPVVSVLSRHGAALHIPCLEMMDSETPPTYLCSPEGLLKQMQSVSKKRIVNLIGRNTTERLDKTGLWKIRSNCYNPQAEVVRSFTYFRMNDSIFRVENWNNFVPFVRMMSTDL >KJB23836 pep chromosome:Graimondii2_0_v6:4:28513914:28514819:1 gene:B456_004G117400 transcript:KJB23836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKACNKMQTVVSKAKKKQVKDELERIKQAEKKKRRLEKALATSAAIRSELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLGEDSDDSANIMLNKEEGFNCWGYGIYMDGGRAYLPHQPHPNCQGWVSNAYRTGDGDWSFSYGREVQAPFLENGGLGSGGLSVGLIAAQAISALQITEDAKVLH >KJB23838 pep chromosome:Graimondii2_0_v6:4:28512805:28515211:1 gene:B456_004G117400 transcript:KJB23838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCKGFGKLGRMLPRDGPVNAYYSSLLCSPVVSVWDCIVRKMRYSYRPEWVYIKTFILGKRLKIFMDNKACNKMQTVVSKAKKKQVKDELERIKQAEKKKRRLEKALATSAAIRSELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLGEDSDDSANIMLNKEEGFNCWGYGIYMDGGRAYLPHQPHPNCQGWVSNAYRTGDGDWSFSYGREVQAPFLENGGLGSGGLSVGLIAAQAISALQITEDAKVLH >KJB23837 pep chromosome:Graimondii2_0_v6:4:28512805:28515211:1 gene:B456_004G117400 transcript:KJB23837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKACNKMQTVVSKAKKKQVKDELERIKQAEKKKRRLEKALATSAAIRSELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLGEDSDDSANIMLNKEEGFNCWGYGIYMDGGRAYLPHQPHPNCQGWVSNAYRTGDGDWSFSYGREVQAPFLENGGLGSGGLSVGLIAAQAISALQITEDAKVLH >KJB27104 pep chromosome:Graimondii2_0_v6:4:61082508:61083110:1 gene:B456_004G278100 transcript:KJB27104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKIFCSSIQSTLFFFLSTVKNMQRSSSLSNTNRLISDEIFVNLPPSTMATSQLLKATTTSDDRLPEYGDPITGTNKKEIAYNRHHDMTGEKVIHLIPVVLFLCAFTLWFFSLPADGKI >KJB22712 pep chromosome:Graimondii2_0_v6:4:6130708:6130977:-1 gene:B456_004G0618002 transcript:KJB22712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSGGGSGSGGHGGSGGHGGGKGGSGGGGGGHGGSGGHGDSGGSSGGYGGGQGGSGDNNGYGGEQGSGNDKYNGGRRGNPSGNAYNEVNP >KJB22713 pep chromosome:Graimondii2_0_v6:4:6130708:6130979:-1 gene:B456_004G0618002 transcript:KJB22713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSGGGSGSGGHGGSGGHGGGKGGSGGGGGGHGGSGGHGDSGGSSGGYGGGQGGSGDNNGYGGEQGSGNDKYNGGRRGNPSGNAYNEVNP >KJB25962 pep chromosome:Graimondii2_0_v6:4:55207226:55209930:-1 gene:B456_004G218300 transcript:KJB25962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFSVDDFSDPFWVASATGAAAGMSRSQSEWALEKFLEEFSGAGAAIQGSRAGENVIGTSLAAPQAPVSKSENGDGDGGAVEVKKPNNLNPPPSDSTPTVPIDADEYRAILKNKLHQACAAVALSRASAVKAEGSSAQAENQALQSGSDVQGSSKTQEQGEPDAGISAVSTTQKKSGTQMRQATSGSSREDSDDGELEGDTETTENMDPADAKRARRMRSNRESARRSRRRKQAQMNELETQVGQLRAEHSSLLKRLTDMNHKYDEAAVDNRILKADIETLRAKEIMLL >KJB25963 pep chromosome:Graimondii2_0_v6:4:55207226:55209930:-1 gene:B456_004G218300 transcript:KJB25963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFSVDDFSDPFWVASATGAAAGMSRSQSEWALEKFLEEFSGAGAAIQGSRAGENVIGTSLAAPQAPVSKSENGDGDGGAVEVKKPNNLNPPPSDSTPTVPIDADEYRAILKNKLHQACAAVALSRASAVKAEGSSAQAENQALQSGSDVQGSSKTQEQGEPDAGISAVSTTQKKSGTQMRQATSGSSREDSDDGELEGDTETTENMDPADAKRARRMRSNRESARRSRRRKQAQMNELETQVGQLRAEHSSLLKRLTDMNHKYDEAAVDNRILKADIETLRAKEIMLL >KJB25961 pep chromosome:Graimondii2_0_v6:4:55205759:55210003:-1 gene:B456_004G218300 transcript:KJB25961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFSVDDFSDPFWVASATGAAAGMSRSQSEWALEKFLEEFSGAGAAIQGSRAGENVIGTSLAAPQAPVSKSENGDGDGGAVEVKKPNNLNPPPSDSTPTVPIDADEYRAILKNKLHQACAAVALSRASAVKAEGSSAQAENQALQSGSDVQGSSKTQEQGEPDAGISAVSTTQKKSGTQMRQATSGSSREDSDDGELEGDTETTENMDPADAKRARRMRSNRESARRSRRRKQAQMNELETQVGQLRAEHSSLLKRLTDMNHKYDEAAVDNRILKADIETLRAKKFVKISLWQNLKSHGQFKFLHHLKLKDLAVNVLAEYISSKSLGEEGLIWLLAIYMCFISGICWTCPVLTHFYFYGVFN >KJB25959 pep chromosome:Graimondii2_0_v6:4:55205759:55210003:-1 gene:B456_004G218300 transcript:KJB25959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFSVDDFSDPFWVASATGAAAGMSRSQSEWALEKFLEEFSGAGAAIQGSRAGENVIGTSLAAPQAPVSKSENGDGDGGAVEVKKPNNLNPPPSDSTPTVPIDADEYRAILKNKLHQACAAVALSRASAVKAEGSSAQAENQALQSGSDVQGSSKTQEQGEPDAGISAVSTTQKKSGTQMRQATSGSSREDSDDGELEGDTETTENMDPADAKRARRMRSNRESARRSRRRKQAQMNELETQVGQLRAEHSSLLKRLTDMNHKYDEAAVDNRILKADIETLRAKEIMLL >KJB25964 pep chromosome:Graimondii2_0_v6:4:55205759:55210028:-1 gene:B456_004G218300 transcript:KJB25964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEREEKQDQTQIANRRGKKISLKTMNSVFSVDDFSDPFWVASATGAAAGMSRSQSEWALEKFLEEFSGAGAAIQGSRAGENVIGTSLAAPQAPVSKSENGDGDGGAVEVKKPNNLNPPPSDSTPTVPIDADEYRAILKNKLHQACAAVALSRASAVKAEGSSAQAENQALQSGSDVQGSSKTQEQGEPDAGISAVSTTQKKSGTQMRQATSGSSREDSDDGELEGDTETTENMDPADAKRARRMRSNRESARRSRRRKQAQMNELETQVGQLRAEHSSLLKRLTDMNHKYDEAAVDNRILKADIETLRAKVKMAEETVKRVTGINPVLLSRPSVPSVPVPFVSSPLESSTGSVPLPPNTSKLFHQPVPNIAAPIHHPRLDNSFTGNNLVTPIVNPQTEIGVENVNKTSALQHAPRGVQKQIGPCVSPCVPMPGWEPGLPHGAATNNKQS >KJB25960 pep chromosome:Graimondii2_0_v6:4:55205759:55210003:-1 gene:B456_004G218300 transcript:KJB25960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFSVDDFSDPFWVASATGAAAGMSRSQSEWALEKFLEEFSGAGAAIQGSRAGENVIGTSLAAPQAPVSKSENGDGDGGAVEVKKPNNLNPPPSDSTPTVPIDADEYRAILKNKLHQACAAVALSRASAVKAEGSSAQAENQALQSGSDVQGSSKTQEQGEPDAGISAVSTTQKKSGTQMRQATSGSSREDSDDGELEGDTETTENMDPADAKRARRMRSNRESARRSRRRKQAQMNELETQVGQLRAEHSSLLKRLTDMNHKYDEAAVDNRILKADIETLRAKEIMLL >KJB25281 pep chromosome:Graimondii2_0_v6:4:49639793:49641762:1 gene:B456_004G183000 transcript:KJB25281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQSLGSPSSKLRIHGGEESSVSEDQKRQVIIDDDDSKDIRPRRFSFSATSSLTSPPKPEKFIHLIPLLTLLCFLILYLNSHSPSQSDLAEFNGFKHPSKHSDSTAIGHVDHFIDSRRGDVLGIRSLKNLQELDKNVSKSRPHRKIADF >KJB25280 pep chromosome:Graimondii2_0_v6:4:49639793:49641399:1 gene:B456_004G183000 transcript:KJB25280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQSLGSPSSKLRIHGGEESSVSEDQKRQVIIDDDDSKDIRPRRFSFSATSSLTSPPKPEKFIHLIPLLTLLCFLILYLNSHSPSQSGMLFSVSINVRIKLTNEVIQV >KJB27112 pep chromosome:Graimondii2_0_v6:4:61129579:61132162:-1 gene:B456_004G278700 transcript:KJB27112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS19 MAETKPENQQPLLRSSTRNLPDFKKSVKLKYVKLGYHYVVTHGMYLFLSPLVVVIAVQLSTFSIQDLHDLWEHLQYNLISVIICSMLLVFLSTLYFLTRPRPVYLVNFSCYKPDKARICTKKMFVDQSQMAGTFTEENLLFQCKILERSGLGESTYLPEAVLNIPPNPSMKEARKEAEAVMYGAIDELLAKTLVNPKDIGILVVNCSLFNPTPSLSAMVINHYKLRGNIQSYNLGGMGCSAGLISIDLAKNLLQVHPNTYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNKSSDRRRSKYRLVHTVRTHKGADDKCFGCVTQEEDSTGQIGVTLSKDLMGVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSEWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKKGDRTWQIAFGSGFKCNSAVWKALGTINPAKEKNPWMDEIHNFPVNVPRVSTI >KJB24742 pep chromosome:Graimondii2_0_v6:4:45337045:45337833:1 gene:B456_004G162300 transcript:KJB24742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFHLILLHFSKEPDASHLVNGSWVLIAGDSQAQLFTLSLLNLLLGSEPQRMDSVKTVLFKRHSNYSISVDEIGMKLDFVWAPYVLNLTHLLTDFKTKKKYPNVMVMGVGLWHMLHVSNPSDYELVLQTLKSSLVSLFPFSTDIANAYGIAEVVIMLVITALVTLVMLLIWQTNLFMALCFPLLFGSIELIHFFAVLSKVMEGGWLPLVFLLSFSLSCTFGTMAMC >KJB24741 pep chromosome:Graimondii2_0_v6:4:45336626:45337833:1 gene:B456_004G162300 transcript:KJB24741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKTVLFKRHSNYSISVDEIGMKLDFVWAPYVLNLTHLLTDFKTKKKYPNVMVMGVGLWHMLHVSNPSDYELVLQTLKSSLVSLFPFSTDIANAYGIAEVVIMLVITALVTLVMLLIWQTNLFMALCFPLLFGSIELIHFFAVLSKVMEGGWLPLVFLLSFSLSCTFGTMAMC >KJB24740 pep chromosome:Graimondii2_0_v6:4:45336898:45337833:1 gene:B456_004G162300 transcript:KJB24740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKTVLFKRHSNYSISVDEIGMKLDFVWAPYVLNLTHLLTDFKTKKKYPNVMVMGVGLWHMLHVSNPSDYELVLQTLKSSLVSLFPFSTDIANAYGIAEVVIMLVITALVTLVMLLIWQTNLFMALCFPLLFGSIELIHFFAVLSKVMEGGWLPLVFLLSFSLSCTFGTMAMC >KJB26938 pep chromosome:Graimondii2_0_v6:4:60212359:60224121:-1 gene:B456_004G267200 transcript:KJB26938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartokinase/homoserine dehydrogenase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19710) UniProtKB/Swiss-Prot;Acc:O81852] MAAFSATISDSSRFLSSTSLPKRKISAPSQCRSFSLSKPFPLSRSNIGSQLGGRKSLNIFVQASVSDISVEKSMDKVHLPKGDMWAVHKFGGTCVGTSQRIKNVADIIISDDSERKLVVVSAMSKVTDMMYDLINKAQSRDDSYISALDAVLEKHNSTALDLLEGDDLASFLSQLHHDVSNLKAMLRAIYIAGHVTESFSDFVVGHGELWSAQMLSYVVRKNGLDCKWMDTREILIVNPTSSNQVDPDFLESEKRLEKWFSQNPSEIIIATGFIASTPQNIPTTLKRDGSDFSAAIMGALFRARQVTIWTDVDGVYSADPRKVSEAVILTKLSYQEAWEMSYFGANVLHPRTIIPVMRYDIPIVIRNIFNLSAPGTTICRSASADVDGQNLDSPVKGFATIDNLALVNVEGTGMAGVPGTASAIFSAVKDVGANVIMISQASSEHSVCFAVPEKEVKAVADALQSRFRQALDAGRLSQVAVIPNCSILAAVGQKMASTPGVSATLFNALAKANINIRAIAQGCSEYNITVVLKREDCIRALRAVHSRFYLSRTTIAMGIIGPGLIGATLLDQLRDQAAVLKEEFNIDLRVMGITGSRTMLLSEVGLDLSRWRELLKQKGQVADLEKFTQHVHGNHFIPNTVLVDCTADSNVASCYHDWLRKGIHVITPNKKANSGPLDKYLKLRALQRQSYTHYFYEATVGAGLPIISTLRGLLETGDRILRIEGIFSGTLSYIFNNFTGTRTFSEVVAEAKVAGFTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVQTLVPEPLRVRATASAEEFMKQLPEFDKDLAKERQDAEESGEVLRYVGVVDAINQKGVVKLRRYSKSHPFAQLSGSDNIIAFTTTRYKEQPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >KJB26937 pep chromosome:Graimondii2_0_v6:4:60212344:60224121:-1 gene:B456_004G267200 transcript:KJB26937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartokinase/homoserine dehydrogenase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19710) UniProtKB/Swiss-Prot;Acc:O81852] MAAFSATISDSSRFLSSTSLPKRKISAPSQCRSFSLSKPFPLSRSNIGSQLGGRKSLNIFVQASVSDISVEKSMDKVHLPKGDMWAVHKFGGTCVGTSQRIKNVADIIISDDSERKLVVVSAMSKVTDMMYDLINKAQSRDDSYISALDAVLEKHNSTALDLLEGDDLASFLSQLHHDVSNLKAMLRAIYIAGHVTESFSDFVVGHGELWSAQMLSYVVRKNGLDCKWMDTREILIVNPTSSNQVDPDFLESEKRLEKWFSQNPSEIIIATGFIASTPQNIPTTLKRDGSDFSAAIMGALFRARQVTIWTDVDGVYSADPRKVSEAVILTKLSYQEAWEMSYFGANVLHPRTIIPVMRYDIPIVIRNIFNLSAPGTTICRSASADVDGQNLDSPVKGFATIDNLALVNVEGTGMAGVPGTASAIFSAVKDVGANVIMISQASSEHSVCFAVPEKEVKAVADALQSRFRQALDAGRLSQVAVIPNCSILAAVGQKMASTPGVSATLFNALAKANINIRAIAQGCSEYNITVVLKREDCIRALRAVHSRFYLSRTTIAMGIIGPGLIGATLLDQLRDQAAVLKEEFNIDLRVMGITGSRTMLLSEVGLDLSRWRELLKQKGQVADLEKFTQHVHGNHFIPNTVLVDCTADSNVASCYHDWLRKGIHVITPNKKANSGPLDKYLKLRALQRQSYTHYFYEATVGAGLPIISTLRGLLETGDRILRIEGIFSGTLSYIFNNFTGTRTFSEVVAEAKVAGFTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVQTLVPEPLRATASAEEFMKQLPEFDKDLAKERQDAEESGEVLRYVGVVDAINQKGVVKLRRYSKSHPFAQLSGSDNIIAFTTTRYKEQPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >KJB24383 pep chromosome:Graimondii2_0_v6:4:40333256:40334529:1 gene:B456_004G142800 transcript:KJB24383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISEFIPRKGGRRVTAADIWPNSPFVKINGFCSYRDHSVCHFKRSQPEPPSSLAGDEQVEKKPKRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDREARKIRGKKAKVNFPNEDDSFSTPYNLNRSMPNSNPCVYQQHINSNYGFNYDLNEIGGYATDPIVISGDENKGSDDQNVNLCYVPVKAEELEEEEKRREPMNKAVSAVGVQEENNEVQKLSEELMAYENYMKFYQIPYLDGESPTQNGVAPQDSVVGELWSFDDDGVAAPETSTAM >KJB24382 pep chromosome:Graimondii2_0_v6:4:40333226:40334612:1 gene:B456_004G142800 transcript:KJB24382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISEFIPRKGGRRVTAADIWPNSPFVKINGFCSYRDHSVCHFKRSQPEPPSSLGDEQVEKKPKRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDREARKIRGKKAKVNFPNEDDSFSTPYNLNRSMPNSNPCVYQQHINSNYGFNYDLNEIGGYATDPIVISGDENKGSDDQNVNLCYVPVKAEELEEEEKRREPMNKAVSAVGVQEENNEVQKLSEELMAYENYMKFYQIPYLDGESPTQNGVAPQDSVVGELWSFDDDGVAAPETSTAM >KJB26974 pep chromosome:Graimondii2_0_v6:4:60429562:60432614:-1 gene:B456_004G269400 transcript:KJB26974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGRAPCCDKEKVKRGPWSPSEDLRLITFIQKHGHQNWRALPKQAGLLRCGKSCRLRWINYLRPDVKRGNFTQDEEDTIIRVHATLGNKWSKIASYLPGRTDNEIKNVWNTHLKKRLGSMNGNADKKDESMATSSSSSCVTSMSSFNDKKTNEAFEMAVVSDKANHGSPSEEPEALSSSSISSNVTNSNQVNVSNPEIQEGPLFNFTGCYYNTSEEVNKPETAFDIPLESDLEFWNMLDSLVPFQPEGIQSHNETQCPDFGEAENKWLLYLEQELGLDPKDGGFEAEPLLVPETNGMGTMGHYHNTVQPYEPNSKQ >KJB22262 pep chromosome:Graimondii2_0_v6:4:3138855:3141993:-1 gene:B456_004G038000 transcript:KJB22262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSFAAEYTGVVRSERSLFAGAIKPVDGKGEPAVVAIKKLSKNGLQGHKQWVAEVQFLGVVKHPNLVKLVGYCAVNGEREIQRLLVYEFMQNKSLEDHLFGQAFPTLSWETRLRIMLGAAEGLAYLHEGLEVQVIYRDFKASNVLLDENFNPKLSDFGLAREGPMAGNTHVSTAVVGTYGYAAPDYIETGHLTDKSDVWSFGVVLYEIITGRRSLERGRAKPEQKLLDWVKLFPVDSKKFGSIMDPRLENRFSIGAARDIAKLANSCLSKSHKDRPKMSRVVDRLKQIIQAPIEVYGEDLESPEVSDTEPENKSNQSNVSESWKRRMAHLAKLGEHVEGASGRRLMMLQRAKVP >KJB22259 pep chromosome:Graimondii2_0_v6:4:3138780:3142048:-1 gene:B456_004G038000 transcript:KJB22259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRGMKCFYYFKRKSRSKGKISAPEEHSGVDTVTKFSSCSAASPRSIPELYEAKGHCLRVFSFSELKQATHGFNSLLKIGEGGFGCVYKGAIKPVDGKGEPAVVAIKKLSKNGLQGHKQWVAEVQFLGVVKHPNLVKLVGYCAVNGEREIQRLLVYEFMQNKSLEDHLFGQAFPTLSWETRLRIMLGAAEGLAYLHEGLEVQVIYRDFKASNVLLDENFNPKLSDFGLAREGPMAGNTHVSTAVVGTYGYAAPDYIETGHLTDKSDVWSFGVVLYEIITGRRSLERGRAKPEQKLLDWVKLFPVDSKKFGSIMDPRLENRFSIGAARDIAKLANSCLSKSHKDRPKMSRVVDRLKQIIQAPIEVYGEDLESPEVSDTEPENKSNQSNVSESWKRRMAHLAKLGEHVEGASGRRLMMLQRAKVP >KJB22258 pep chromosome:Graimondii2_0_v6:4:3138855:3141415:-1 gene:B456_004G038000 transcript:KJB22258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIRCLRLVKAALGVSIKVRSSLLMEKVNLPWLLLKSLARMACRPYVLLLMSRTVNLRISSKGHKQWVAEVQFLGVVKHPNLVKLVGYCAVNGEREIQRLLVYEFMQNKSLEDHLFGQAFPTLSWETRLRIMLGAAEGLAYLHEGLEVQVIYRDFKASNVLLDENFNPKLSDFGLAREGPMAGNTHVSTAVVGTYGYAAPDYIETGHLTDKSDVWSFGVVLYEIITGRRSLERGRAKPEQKLLDWVKLFPVDSKKFGSIMDPRLENRFSIGAARDIAKLANSCLSKSHKDRPKMSRVVDRLKQIIQAPIEVYGEDLESPEVSDTEPENKSNQSNVSESWKRRMAHLAKLGEHVEGASGRRLMMLQRAKVP >KJB22260 pep chromosome:Graimondii2_0_v6:4:3138799:3141829:-1 gene:B456_004G038000 transcript:KJB22260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRGMKCFYYFKRKSRSKGKISAPEEHSGVDTVTKFSSCSAASPRSIPELYEAKGAIKPVDGKGEPAVVAIKKLSKNGLQGHKQWVAEVQFLGVVKHPNLVKLVGYCAVNGEREIQRLLVYEFMQNKSLEDHLFGQAFPTLSWETRLRIMLGAAEGLAYLHEGLEVQVIYRDFKASNVLLDENFNPKLSDFGLAREGPMAGNTHVSTAVVGTYGYAAPDYIETGHLTDKSDVWSFGVVLYEIITGRRSLERGRAKPEQKLLDWVKLFPVDSKKFGSIMDPRLENRFSIGAARDIAKLANSCLSKSHKDRPKMSRVVDRLKQIIQAPIEVYGEDLESPEVSDTEPENKSNQSNVSESWKRRMAHLAKLGEHVEGASGRRLMMLQRAKVP >KJB22261 pep chromosome:Graimondii2_0_v6:4:3138799:3142048:-1 gene:B456_004G038000 transcript:KJB22261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRGMKCFYYFKRKSRSKGKISAPEEHSGVDTVTKFSSCSAASPRSIPELYEAKGHCLRVFSFSELKQATHGFNSLLKIGEGGFGCVYKGAIKPVDGKGEPAVVAIKKLSKNGLQGHKQWVAEVQFLGVVKHPNLVKLVGYCAVNGEREIQRLLVYEFMQNKSLEDHLFGQAFPTLSWETRLRIMLGAAEGLAYLHEGLEVQVIYRDFKASNVLLDENFNPKLSDFGLAREGPMAGNTHVSTAVVGTYGYAAPDYIETGHLTDKSDVWSFGVVLYEIITGRRSLERGRAKPEQKLLDWVKLFPVDSKKFGSIMDPRLENRFSIGAARDIAKLANSCLSKSHKDRPKMSRVVDRLKQIIQAPIEVYGEDLESPEVSDTEPENKSNQSNVSESWKRRMAHLAKLGEHVEGASGRRLMMLQRAKVP >KJB22988 pep chromosome:Graimondii2_0_v6:4:8933004:8937101:-1 gene:B456_004G077100 transcript:KJB22988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS1 MSTGEMVSRSPLYKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDGVKASKKLHVPFPHNPPRFVSDSTVQPNETTRHKGISVPLNESVPRIRSDPSEFKFSGGNSVQTAVSANDSVSPRSASVAKEPSGQMTIFYCGKVNVYDNIPGRKAEAILQFAASPVSFLQETLVDQRTTPLSIPCHVQAAGDKVSQRSPGVVLSSMQAVKVAENCQFPREDCNVSYEDSLEGPTSRNALLQRYLEKKKDR >KJB22996 pep chromosome:Graimondii2_0_v6:4:8934844:8937101:-1 gene:B456_004G077100 transcript:KJB22996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS1 MSTGEMVSRSPLYKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDGVKASKKLHVPFPHNPPRFVSDSTVQPNETTRHKGISVPLNESVPRIRSDPSEFKFSGGNSVQTAVSANDSVSPRSASVAKEPSGQMTIFYCGKVNVYDNIPGRKAEAILQFAASPVSFLQETLVDQRTTPLSIPCHVQAAGDKVSQRSPGVVLSSMQAVKVAENCQFPREDCNVSYEDSLGKCFLIIIS >KJB22992 pep chromosome:Graimondii2_0_v6:4:8933085:8937101:-1 gene:B456_004G077100 transcript:KJB22992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS1 MSTGEMVSRSPLYKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDGVKASKKLHVPFPHNPPRFVSDSTVQPNETTRHKGISVPLNESVPRIRSDPSEFKFSGGNSVQTAVSANDSVSPRSASVAKEPSGQMTIFYCGKVNVYDNIPGRKAEAILQFAASPVSFLQETLVDQRTTPLSIPCHVQAAGDKVSQRSPGVVLSSMQAVKVAENCQFPREDCNVSYEDSLEGPTSRNALLQRYLEKKKDRYLP >KJB22989 pep chromosome:Graimondii2_0_v6:4:8931782:8937389:-1 gene:B456_004G077100 transcript:KJB22989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS1 MSTGEMVSRSPLYKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDGVKASKKLHVPFPHNPPRAEAILQFAASPVSFLQETLVDQRTTPLSIPCHVQAAGDKVSQRSPGVVLSSMQAVKVAENCQFPREDCNVSYEDSLGPTSRNALLQRYLEKKKDRFKNKRKLATSSSRTLDIYLNQMGDQFSNEQSKQSESYSSTQARPPHTPLWCSSMENLPKIANVTTHPDGKDIFEV >KJB22993 pep chromosome:Graimondii2_0_v6:4:8934398:8937353:-1 gene:B456_004G077100 transcript:KJB22993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS1 MSTGEMVSRSPLYKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDGVKASKKLHVPFPHNPPRFVSDSTVQPNETTRHKGISVPLNESVPRIRSDPSEFKFSGGNSVQTAVSANDSVSPRSASVAKEPSGQMTIFYCGKVNVYDNIPGRKAEAILQFAASPVSFLQETLVDQRTTPLSIPCHVQAAGDKVSQRSPGVVLSSMQAVKVAENCQFPREDCNVSYEDSLASGSLHWTINCVSFK >KJB22994 pep chromosome:Graimondii2_0_v6:4:8934304:8937353:-1 gene:B456_004G077100 transcript:KJB22994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS1 MSTGEMVSRSPLYKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDGVKASKKLHVPFPHNPPRFVSDSTVQPNETTRHKGISVPLNESVPRIRSDPSEFKFSGGNSVQTAVSANDSVSPRSASVAKEPSGQMTIFYCGKVNVYDNIPGRKAEAILQFAASPVSFLQETLVDQRTTPLSIPCHVQAAGDKVSQRSPGVVLSSMQAVKVAENCQFPREDCNVSYEDSLASRCPSPPSVFSLFHVPFLLAVT >KJB22991 pep chromosome:Graimondii2_0_v6:4:8931782:8937389:-1 gene:B456_004G077100 transcript:KJB22991 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS1 MSTGEMVSRSPLYKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDGVKASKKLHVPFPHNPPRFVSDSTVQPNETTRHKGISVPLNESVPRIRSDPSEFKFSGGNSVQTAVSANDSVSPRSASVAKEPSGQMTIFYCGKVNVYDNIPGRKAEAILQFAASPVSFLQETLVDQRTTPLSIPCHVQAAGDKVSQRSPGVVLSSMQAVKVAENCQFPREDCNVSYEDSLGPTSRNALLQRYLEKKKDRFKNKRKLATSSSRTLDIYLNQMGDQFSNEQSKQSESYSSTQARPPHTPLWCSSMENLPKIANVTTHPDGKDIFEV >KJB22995 pep chromosome:Graimondii2_0_v6:4:8934542:8937353:-1 gene:B456_004G077100 transcript:KJB22995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS1 MSTGEMVSRSPLYKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDGVKASKKLHVPFPHNPPRFVSDSTVQPNETTRHKGISVPLNESVPRIRSDPSEFKFSGGNSVQTAVSANDSVSPRSASVAKEPSGQMTIFYCGKVNVYDNIPGRKAEAILQFAASPVSFLQETLVDQRTTPLSIPCHVQAAGDKVSQRSPGVVLSSMQAVKVAENCQFPREDCNVSYEDSLGKCFLIIIS >KJB22987 pep chromosome:Graimondii2_0_v6:4:8932030:8937353:-1 gene:B456_004G077100 transcript:KJB22987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS1 MSTGEMVSRSPLYKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDGVKASKKLHVPFPHNPPRFVSDSTVQPNETTRHKGISVPLNESVPRIRSDPSEFKFSGGNSVQTAVSANDSVSPRSASVAKEPSGQMTIFYCGKVNVYDNIPGRKAEAILQFAASPVSFLQETLVDQRTTPLSIPCHVQAAGDKVSQRSPGVVLSSMQAVKVAENCQFPREDCNVSYEDSLEGPTSRNALLQRYLEKKKDRFKNKRKLATSSSRTLDIYLNQMGDQFSNEQSKQSESYSSTQARPPHTPLWCSSMENLPKIANVTTHPDGKDIFEV >KJB22990 pep chromosome:Graimondii2_0_v6:4:8932034:8937353:-1 gene:B456_004G077100 transcript:KJB22990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS1 MSTGEMVSRSPLYKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDGVKASKKLHVPFPHNPPRAEAILQFAASPVSFLQETLVDQRTTPLSIPCHVQAAGDKVSQRSPGVVLSSMQAVKVAENCQFPREDCNVSYEDSLEGPTSRNALLQRYLEKKKDRFKNKRKLATSSSRTLDIYLNQMGDQFSNEQSKQSESYSSTQARPPHTPLWCSSMENLPKIANVTTHPDGKDIFEV >KJB23099 pep chromosome:Graimondii2_0_v6:4:9719499:9720464:-1 gene:B456_004G080800 transcript:KJB23099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEFSEKPLHTLAKELFNGWHFKPLDSQKPQQYYENILVQTGPVLFKHYIDPKDPNFITHSTAQILKILRPRDWNENPNSIKKFSAKFTTKIDHHPYFTYWDYQMAWYNVFLMNNQHMRHSWLIYFKYEKIQNLWPKFFNKFQPELDQKHIYRTIHFFSKLCISWIVSWNYSYEQDQYTGIPLLVRNYRTKWWDKFNNEKYDSKYLDNFFNKNLRLCKSVAPDQTTAKFLQAKSTASAMLAQAKTNKEYKKIMAEMLSSLDFESEDEKSLAYSIKTVDLADDTTLVTITKTKKR >KJB21655 pep chromosome:Graimondii2_0_v6:4:404589:407511:1 gene:B456_004G006900 transcript:KJB21655 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL4 [Source:Projected from Arabidopsis thaliana (AT1G07320) UniProtKB/TrEMBL;Acc:A0A384KN11] MATSATTLSSLSFFSSSLFLSSPSAKLSTSLSFSFKPSSNPSLSISSQLSTLPILSFTGEKIGETYLDLKSAPPETARAVVHRAIITDLQNKRRGTASTLTRGEVRGGGKKPYPQKKTGRARRGSTRTPLRPGGGVIFGPKPRDWSVKINKKEKRLAISTALSSAAENTIVVEDFGDKFEKPKTKEFIEALKRWGLDPKQKSMFLMMEVPETVNKSSRNIGTLRMLTPRTLNLFDILNCDNLVLTPDTVDYLNGRYGEDYEGDTEDDNEEYEEEEEQSGEDENGDAAQ >KJB24520 pep chromosome:Graimondii2_0_v6:4:41908613:41911926:1 gene:B456_004G149000 transcript:KJB24520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIDGNSHIVGYRFHPTDKELVDHYLWNKILDRDSLVQAIKEVDSLFNKDPWELPGWSKIQSADQVWYFFSRRGDNKRVKRTTDKGFWKVTGKPRKVKGKKGCAAKKSLVFYEGRTPNAKWTPWVIHEYTFTSTLLDNREGIFLCKLKKKEDETANASSSESCQPSLVADEEIPDNSTMFNPDEMLDTLKERDREHELEVDEQQPPSYFNCDGLPHLSKEENDDESWIGYLVDDDEFNPMNLILEVDASATCPGEPSRKRSRCEAGVLCGAIEKEECQPMAVSNSMMLDAHGDSKKHQALAMVNAPNVTSSSVKQDPHGRDRMVSLNNESRELDIPDVESVVDPHSIVRATRFYNPYYQKLGEQEDDAKRINKRGKFCRKIASQDKVRESTCIKER >KJB24519 pep chromosome:Graimondii2_0_v6:4:41908530:41913204:1 gene:B456_004G149000 transcript:KJB24519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIDGNSHIVGYRFHPTDKELVDHYLWNKILDRDSLVQAIKEVDSLFNKDPWELPGWSKIQSADQVWYFFSRRGDNKRVKRTTDKGFWKVTGKPRKVKGKKGCAAKKSLVFYEGRTPNAKWTPWVIHEYTFTSTLLDNREGIFLCKLKKKEDETANASSSESCQPSLVADEEIPDNSTMFNPDEMLDTLKERDREHELEVDEQQPPSCLEFTHLQDFNCDGLPHLSKEENDDESWIGYLVDDDEFNPMNLILEVDASATCPGEPSRKRSRCEAGVLCGAIEKEECQPMAVSNSMMLDAHGDSKKHQALAMVNAPNVTSSSVKQDPHGRDRMVSLNNESRELDIPDVESVVDPHSIVRATRFYNPYYQKLGEQEDDAKRINKRGKFCRKIASQDKAIDVKQHATAVNLPIIMESNGEDLVLPSQLAA >KJB24526 pep chromosome:Graimondii2_0_v6:4:41908613:41913176:1 gene:B456_004G149000 transcript:KJB24526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIDGNSHIVGYRFHPTDKELVDHYLWNKILDRDSLVQAIKEVDSLFNKDPWELPGWSKIQSADQVWYFFSRRGDNKRVKRTTDKGFWKVTGKPRKVKGKKGCAAKKSLVFYEGRTPNAKWTPWVIHEYTFTSTLLDNREGIFLCKLKKKEDETANASSSESCQPSLVADEEIPDNSTMFNPDEMLDTLKERDREHELEVDEQQPPSCLEFTHLQDFNCDGLPHLSKEENDDESWIGYLVDDDEFNPMNLILEVDASATCPGEPSRKRSRCEAGVLCGAIEKEECQPMAVSNSMMLDAHGDSKKHQALAMVNAPNVTSSSVKQDPHGRDRMVSLNNESRELDIPDVESVVDPHSIVRATRFYNPYYQKLGEQEDDAKRINKRGKFCRKIASQDKAIDVKQHATAVNLPIIMESNGKRKTAEHSYRHMRLQMHLNELSDSDKKSFLHVHI >KJB24525 pep chromosome:Graimondii2_0_v6:4:41908613:41913086:1 gene:B456_004G149000 transcript:KJB24525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIDGNSHIVGYRFHPTDKELVDHYLWNKILDRDSLVQAIKEVDSLFNKDPWELPGWSKIQSADQVWYFFSRRGDNKRVKRTTDKGFWKVTGKPRKVKGKKGCAAKKSLVFYEGRTPNAKWTPWVIHEYTFTSTLLDNREGIFLCKLKKKEDETANASSSESCQPSLVADEEIPDNSTMFNPDEMLDTLKERDREHELEVDEQQPPSYFNCDGLPHLSKEENDDESWIGYLVDDDEFNPMNLILEVDASATCPGEPSRKRSRCEAGVLCGAIEKEECQPMAVSNSMMLDAHGDSKKHQALAMVNAPNVTSSSVKQDPHGRDRMVSLNNESRELDIPDVESVVDPHSIVRATRFYNPYYQKLGEQEDDAKRINKRGKFCRKIASQDKAIDVKQHATAVNLPIIMESNGKRKTAEHSYRHMRLQMHLNELSDSDKKSFLHVHI >KJB24518 pep chromosome:Graimondii2_0_v6:4:41908530:41911396:1 gene:B456_004G149000 transcript:KJB24518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIDGNSHIVGYRFHPTDKELVDHYLWNKILDRDSLVQAIKEVDSLFNKDPWELPGWSKIQSADQVWYFFSRRGDNKRVKRTTDKGFWKVTGKPRKVKGKKGCAAKKSLVFYEGRTPNAKWTPWVIHEYTFTSTLLDNREGIFLCKLKKKEDETANASSSESCQPSLVADEEIPDNSTMFNPDEMLDTLKERDREHELEVDEQQPPSCLEFTHLQDFNCDGLPHLSKEENDDESWIGYLVDDDEFNPMNLILEVDASATCPGEPSRKRSRCEAGVLCGAIEKEECQPMGPAKGFAAVLAFLGCLFMWNSASMRRREWKCRTKIILSLFCRQEK >KJB24522 pep chromosome:Graimondii2_0_v6:4:41908613:41912126:1 gene:B456_004G149000 transcript:KJB24522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIDGNSHIVGYRFHPTDKELVDHYLWNKILDRDSLVQAIKEVDSLFNKDPWELPGWSKIQSADQVWYFFSRRGDNKRVKRTTDKGFWKVTGKPRKVKGKKGCAAKKSLVFYEGRTPNAKWTPWVIHEYTFTSTLLDNREGIFLCKLKKKEDETANASSSESCQPSLVADEEIPDMLDTLKERDREHELEVDEQQPPSCLEFTHLQDFNCDGLPHLSKEENDDESWIGYLVDDDEFNPMNLILEVDASATCPGEPSRKRSRCEAGVLCGAIEKEECQPMAVSNSMMLDAHGDSKKHQALAMVNAPNVTSSSVKQDPHGRDRMVSLNNESRELDIPDVESVVDPHSIVRATRFYNPYYQKLGEQEDDAKRINKRGKFCRKIASQDKAIDVKQHATAVNLPIIMESNGKRKTAEHSYRHMRLQMHLNELSDSDKKSFLHVHI >KJB24523 pep chromosome:Graimondii2_0_v6:4:41908613:41912126:1 gene:B456_004G149000 transcript:KJB24523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIDGNSHIVGYRFHPTDKELVDHYLWNKILDRDSLVQAIKEVDSLFNKDPWELPGWSKIQSADQVWYFFSRRGDNKRVKRTTDKGFWKVTGKPRKVKGKKGCAAKKSLVFYEGRTPNAKWTPWVIHEYTFTSTLLDNRKKEDETANASSSESCQPSLVADEEIPDNSTMFNPDEMLDTLKERDREHELEVDEQQPPSCLEFTHLQDFNCDGLPHLSKEENDDESWIGYLVDDDEFNPMNLILEVDASATCPGEPSRKRSRCEAGVLCGAIEKEECQPMAVSNSMMLDAHGDSKKHQALAMVNAPNVTSSSVKQDPHGRDRMVSLNNESRELDIPDVESVVDPHSIVRATRFYNPYYQKLGEQEDDAKRINKRGKFCRKIASQDKAIDVKQHATAVNLPIIMESNGKRKTAEHSYRHMRLQMHLNELSDSDKKSFLHVHI >KJB24521 pep chromosome:Graimondii2_0_v6:4:41908613:41912126:1 gene:B456_004G149000 transcript:KJB24521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIDGNSHIVGYRFHPTDKELVDHYLWNKILDRDSLVQAIKEVDSLFNKDPWELPGWSKIQSADQVWYFFSRRGDNKRVKRTTDKGFWKVTGKPRKVKGKKGCAAKKSLVFYEGRTPNAKWTPWVIHEYTFTSTLLDNREGIFLCKLKKKEDETANASSSESCQPSLVADEEIPDVRCLMQSFLKSFLWTDKSIHNHSCFCMIQNSTMFNPDEMLDTLKERDREHELEVDEQQPPSCLEFTHLQDFNCDGLPHLSKEENDDESWIGYLVDDDEFNPMNLILEVDASATCPGEPSRKRSRCEAGVLCGAIEKEECQPMAVSNSMMLDAHGDSKKHQALAMVNAPNVTSSSVKQDPHGRDRMVSLNNESRELDIPDVESVVDPHSIVRATRFYNPYYQKLGEQEDDAKRINKRGKFCRKIASQDKAIDVKQHATAVNLPIIMESNGKRKTAEHSYRHMRLQMHLNELSDSDKKSFLHVHI >KJB24524 pep chromosome:Graimondii2_0_v6:4:41908613:41913085:1 gene:B456_004G149000 transcript:KJB24524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIDGNSHIVGYRFHPTDKELVDHYLWNKILDRDSLVQAIKEVDSLFNKDPWELPGWSKIQSADQVWYFFSRRGDNKRVKRTTDKGFWKVTGKPRKVKGKKGCAAKKSLVFYEGRTPNAKWTPWVIHEYTFTSTLLDNREGIFLCKLKKKEDETANASSSESCQPSLVADEEIPDNSTMFNPDEMLDTLKERDREHELEVDEQQPPSYFNCDGLPHLSKEENDDESWIGYLVDDDEFNPMNLILEVDASATCPGEPSRKRSRCEAGVLCGAIEKEECQPMAVSNSMMLDAHGDSKKHQALAMVNAPNVTSSSVKQDPHGRDRMVSLNNESRELDIPDVESVVDPHSIVRATRFYNPYYQKLGEQEDDAKRINKRGKFCRKIASQDKLDPFCRL >KJB24451 pep chromosome:Graimondii2_0_v6:4:41085628:41087904:-1 gene:B456_004G146100 transcript:KJB24451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB24450 pep chromosome:Graimondii2_0_v6:4:41085572:41088234:-1 gene:B456_004G146100 transcript:KJB24450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB24452 pep chromosome:Graimondii2_0_v6:4:41085661:41088157:-1 gene:B456_004G146100 transcript:KJB24452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKVSVT >KJB22163 pep chromosome:Graimondii2_0_v6:4:2678946:2682092:1 gene:B456_004G032800 transcript:KJB22163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLVTTLIFAVIGIIASLCTRICCNRGPSANLLHLTLVITATVCCWMMWAIVYLAQMKPLIVPILSEGE >KJB22164 pep chromosome:Graimondii2_0_v6:4:2678801:2682095:1 gene:B456_004G032800 transcript:KJB22164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLVTTLIFAVIGIIASLCTRICCNRGPSANLLHLTLVITATVCCWMMWAIVYLAQMKPLIVPILSEGE >KJB26647 pep chromosome:Graimondii2_0_v6:4:59036710:59039857:1 gene:B456_004G253100 transcript:KJB26647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFLQSMDIQILVGVAVAALAIVVGAVYLFASTKSKGCLDPENFKEFKLVKRQQLSHNVAKFTFELPTPTSALGLPIGQHISCRGKDSQGEEVIKPYTPTTLDSDVGYFELVIKMYPQGRMSHHFRELRVGDYLAVKGPKGRFRYQPGEVRAFGMIAGGSGITPMFQVARAILENPKDTTNVHLIYANVTYEDILLKEELDSLAAKYPGRFKVYYVLNQPPEVWDGGVGFVSKEMIQTHCPAPAPDIRVLRCGPPPMNKAMTGHLDALGYSPDMQFQF >KJB26649 pep chromosome:Graimondii2_0_v6:4:59036846:59039785:1 gene:B456_004G253100 transcript:KJB26649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFLQSMDIQILVGVAVAALAIVVGAVYLFASTKSKGCLDPENFKEFKLVKRQQLSHNVAKFTFELPTPTSALGLPIGQHISCRGKDSQGEEVIKPYTPTTLDSDVGYFELVIKMYPQGRMSHHFRELRVGDYLAVKGPKGRFRYQPGEVRAFGMIAGGSGITPMFQVSRAILENPKDTTNVHLIYANVTYEDILLKEELDSLAAKYPGRFKVYYVLNQPPEVWDGGVGFVSKEMIQTHCPAPAPDIRVLRCGPPPMNKAMTGHLDALGYSPDMQFQF >KJB26648 pep chromosome:Graimondii2_0_v6:4:59036846:59039785:1 gene:B456_004G253100 transcript:KJB26648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFLQSMDIQILVGVAVAALAIVVGAVYLFASTKSKGCLDPENFKEFKLVKRQQLSHNVAKFTFELPTPTSALGLPIGQHISCRGKDSQGEEVIKPYTPTTLDSDVGYFELVIKMYPQGRMSHHFRELRVGDYLAVKGPKGRFRYQPGEVRAFGMIAGGSGITPMFQVARAILENPKDTTNVHLIYANVTYEDILLKEELDSLAAKYPGRFKVYYVLNQPPEVWDGGVGFVSKEMIQTHCPAPAPDIRVCFEVWPSTHEQGHDWTP >KJB21912 pep chromosome:Graimondii2_0_v6:4:1536751:1544081:-1 gene:B456_004G020700 transcript:KJB21912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13490) UniProtKB/Swiss-Prot;Acc:Q9LJE2] MEGLKVWRLSSSIARPSNLRQLFGLGTSSGLVRCLDNGAAAANATNTRFPSRNRRASTSTSTSTNTSDREAVRAIRLKKVEQLRSKGTEPYGYKWDRSHSAKELQEIYKDLNNGEELDSESHHVSIAGRIVARRAFGKLAFLTLRDDSGTIQLYCEKERLLNDQFEQLKTLVDIGDILGASGSIKRTEKGELSVKVDSFSILTKSLLPLPDKFHGLTDIDKRYRQRYVDMIANPEVADIFRKRAKIMREIRKTVESRGFVEVETPVLQGAAGGAEARPFVTYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYQSMMDMAEEIVTQCALEVNGKLTIDYQGTEIQLQRPWRRETMHNLVKEVTGVDFTELEDDLKVAKDVVLKAVGLGLDSKDKSSIEACPSLGHLLNEVFEIFVEPKLLQPTFVLDYPIEISPLAKPHRRHAGLTERFELFICGRELANAFSELTDPLDQRARLEQQVRQHNKKRAEAVSVTDGTGEQKNIDEDSMYEVTLDDDFLTALEYGMPPASGMGLGIDRLVMLLTDSASIRDVIAFPVLKISQ >KJB21913 pep chromosome:Graimondii2_0_v6:4:1536837:1544034:-1 gene:B456_004G020700 transcript:KJB21913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13490) UniProtKB/Swiss-Prot;Acc:Q9LJE2] MEGLKVWRLSSSIARPSNLRQLFGLGTSSGLVRCLDNGAAAANATNTRFPSRNRRASTSTSTSTNTSDREAVRAIRLKKVEQLRSKGTEPYGYKWDRSHSAKELQEIYKDLNNGEELDSESHHVSIAGRIVARRAFGKLAFLTLRDDSGTIQLYCEKERLLNDQFEQLKTLVDIGDILGASGSIKRTEKGELSVKVDSFSILTKSLLPLPDKFHGLTDIDKRYRQRYVDMIANPEVADIFRKRAKIMREIRKTVESRGFVEVETPVLQGAAGGAEARPFVTYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYQSMMDMAEEIVTQCALEVNGKLTIDYQVGTEIQLQRPWRRETMHNLVKEVTGVDFTELEDDLKVAKDVVLKAVGLGLDSKDKSSIEACPSLGHLLNEVFEIFVEPKLLQPTFVLDYPIEISPLAKPHRRHAGLTERFELFICGRELANAFSELTDPLDQRARLEQQVRQHNKKRAEAVSVTDGTGEQKNIDEDSMYEVTLDDDFLTALEYGMPPASGMGLGIDRLVMLLTDSASIRDVIAFPVLKISQ >KJB21911 pep chromosome:Graimondii2_0_v6:4:1535590:1544063:-1 gene:B456_004G020700 transcript:KJB21911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13490) UniProtKB/Swiss-Prot;Acc:Q9LJE2] MEGLKVWRLSSSIARPSNLRQLFGLGTSSGLVRCLDNGAAAANATNTRFPSRNRRASTSTSTSTNTSDREAVRAIRLKKVEQLRSKGTEPYGYKWDRSHSAKELQEIYKDLNNGEELDSESHHVSIAGRIVARRAFGKLAFLTLRDDSGTIQLYCEKERLLNDQFEQLKTLVDIGDILGASGSIKRTEKGELSVKVDSFSILTKSLLPLPDKFHGLTDIDKRYRQRYVDMIANPEVADIFRKRAKIMREIRKTVESRGFVEVETPVLQGAAGGAEARPFVTYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYQSMMDMAEEIVTQCALEVNGKLTIDYQGTEIQLQRPWRRETMHNLVKEVTGVDFTELEDDLKVAKDVVLKAVGLGLDSKDKSSIEACPSLGHLLNEVFEIFVEPKLLQPTFVLDYPIEISPLAKPHRRHAGLTERFELFICGRELANAFSELTDPLDQRARLEQQVRQHNKKRAEAVSVTDGTGEQKNIDEDSMYEVTLDDDFLTALEYGMPPASGMGLGIDRLVMLLTDSASIRDVIAFPVLKISQ >KJB25041 pep chromosome:Graimondii2_0_v6:4:47610338:47613725:1 gene:B456_004G174100 transcript:KJB25041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGVPSSLFVNDGSFMERFKQLQQQKDVKDKAAALEESKPPKFVKGSSASKPAIAPNKIAMECKSSDSHKTTQISSGGKLAFSLKQKPKLVAPPLKLAADDEEEEDQAAGKFSDDRPLKRKKLAPPSPTDPIVKKVADKLASFVAKMDGGSFSTSCSDYKYYEYRLAEEEKALLQNKESQSGGTGISASKSKSSSCRSVLQQSSYRTPASALYENNEEPRSSVTSVGKSSPSSAPPAADPITMMEFYMKKAAEEEKMRLPKQPKDEMLPPPPLQGEGLGSSKNGISDPIMAEVTPYDDIYEQYKKRMMLGYRYRPNSLNNPRKSYYYFMEPLGGSRRLCFNRKKAYAQLGSCFFLF >KJB24632 pep chromosome:Graimondii2_0_v6:4:43891964:43892860:-1 gene:B456_004G154400 transcript:KJB24632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTPATSLAFFCSMVLLLFVTVDAGGIAIYWGQNGYEGTLAETCATGSYDFVNIAFLPTFGNGQTPMINLAGHCDPYSNGCTGLSSDIKSCQAKGIKVMLSIGGGAGSYYLTSAEDAREVATYLWNNFLGGTSSSRPLGDAVLDGIDFDIEGGTIQHWDDLAKYLSGYSKRGKKVYLTAAPQCPFPDAWVGSALKTGLFDYVWVQFYNNPPCQYTSGSSGNLVDAWKQWTTDIPATKIFLGLPAAPAAAGSGFIPVADLTSKVLPAIKGSIKYGGVMLWSKYYDDQTGYSSSIKSHV >KJB23371 pep chromosome:Graimondii2_0_v6:4:14306945:14309857:1 gene:B456_004G094800 transcript:KJB23371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLILFLVRNPCCRMGAALSYPFAKCSDLENALESVTVKSISFGDDGVKTPVRSISFKTSDSEPTILKSVGSGKMILEGSISFKGSNFERMLSLKSSSSSDKLEDLHIKDVSSKREAMDIQSTSPVLDPSNPQHEAAIRLQKVYKSFRTRRKLADCAVLVEQSWWKLLDFAELKRSSISFFDIDKHETAISRWSRARTRAAKVGKGLSKNDKAQKLALQHWLEAIDPRHRYGHNLHFYYNQWLHSQSLEPFFYWLDIGEGKEVNIEKCPRSKLQQQCIKYLGPMERMPYEVVVVDGKFMYKQTGKLLHTTEETDDTKWIFVLSTSKVLYVGVKKKGTFQHSSFLAGGATIAAGRLIVDNGVLKAVWPHSGHYRPTEENFNDFISFLRENDVNLTDVKMTPVDEEASLAGKRRSINHLRCNSSEEDFSLEVEEMNVKDSIEEVINSEEQDISAAVGRPKSRRLISLSRKLTDLEIPKRAELFEMSQDDHGADMPSCNENPMDSPLVDDYERMDETGVEDVPKESILQRINSKKGMKSYQLGKQLSCKWTTGAGPRIGCVRDYPSGLQFRALEQVNLSPRSTSYLKPYCSPQSSSSPSPKVGIPAGGSEEMRTQSLPVGMKEKLLQRSIPSKRQSFPL >KJB23370 pep chromosome:Graimondii2_0_v6:4:14306575:14309929:1 gene:B456_004G094800 transcript:KJB23370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAALSYPFAKCSDLENALESVTVKSISFGDDGVKTPVRSISFKTSDSEPTILKSVGSGKMILEGSISFKGSNFERMLSLKSSSSSDKLEDLHIKDVSSKREAMDIQSTSPVLDPSNPQHEAAIRLQKVYKSFRTRRKLADCAVLVEQSWWKLLDFAELKRSSISFFDIDKHETAISRWSRARTRAAKVGKGLSKNDKAQKLALQHWLEAIDPRHRYGHNLHFYYNQWLHSQSLEPFFYWLDIGEGKEVNIEKCPRSKLQQQCIKYLGPMERMPYEVVVVDGKFMYKQTGKLLHTTEETDDTKWIFVLSTSKVLYVGVKKKGTFQHSSFLAGGATIAAGRLIVDNGVLKAVWPHSGHYRPTEENFNDFISFLRENDVNLTDVKMTPVDEEASLAGKRRSINHLRCNSSEEDFSLEVEEMNVKDSIEEVINSEEQDISAAVGRPKSRRLISLSRKLTDLEIPKRAELFEMSQDDHGADMPSCNENPMDSPLVDDYERMDETGVEDVPKESILQRINSKKGMKSYQLGKQLSCKWTTGAGPRIGCVRDYPSGLQFRALEQVNLSPRSTSYLKPYCSPQSSSSPSPKVGIPAGGSEEMRTQSLPVGMKEKLLQRSIPSKRQSFPL >KJB23372 pep chromosome:Graimondii2_0_v6:4:14307046:14309857:1 gene:B456_004G094800 transcript:KJB23372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAALSYPFAKCSDLENALESVTVKSISFGDDGVKTPVRSISFKTSDSEPTILKSVGSGKMILEGSISFKGSNFERMLSLKSSSSSDKLEDLHIKDVSSKREAMDIQSTSPVLDPSNPQHEAAIRLQKVYKSFRTRRKLADCAVLVEQSWCVLYLWKLLDFAELKRSSISFFDIDKHETAISRWSRARTRAAKVGKGLSKNDKAQKLALQHWLEAIDPRHRYGHNLHFYYNQWLHSQSLEPFFYWLDIGEGKEVNIEKCPRSKLQQQCIKYLGPMERMPYEVVVVDGKFMYKQTGKLLHTTEETDDTKWIFVLSTSKVLYVGVKKKGTFQHSSFLAGGATIAAGRLIVDNGVLKAVWPHSGHYRPTEENFNDFISFLRENDVNLTDVKMTPVDEEASLAGKRRSINHLRCNSSEEDFSLEVEEMNVKDSIEEVINSEEQDISAAVGRPKSRRLISLSRKLTDLEIPKRAELFEMSQDDHGADMPSCNENPMDSPLVDDYERMDETGVEDVPKESILQRINSKKGMKSYQLGKQLSCKWTTGAGPRIGCVRDYPSGLQFRALEQVNLSPRSTSYLKPYCSPQSSSSPSPKVGIPAGGSEEMRTQSLPVGMKEKLLQRSIPSKRQSFPL >KJB23125 pep chromosome:Graimondii2_0_v6:4:10093842:10094336:1 gene:B456_004G082500 transcript:KJB23125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVRIPILFLNSMFLMVVALPIKLPIMAQVRANPPQLFPLYTSQLMIVNYACGTVPLVPSPFLSSNTPDVGNENGNGTWSSQRDGNGIENGAGEGGNKHRHRHKEMTHEQNYSCRWMQILERDCVCDILAHLPLFLSWHLHHYTILVGEACKVTFTCGGRLRP >KJB21910 pep chromosome:Graimondii2_0_v6:4:1637546:1638622:1 gene:B456_004G021900 transcript:KJB21910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPHEMTIEILLRLSVKDLLRFKCVSKPWCSSIDDPDFIKLHLSHSVKTNTNHSLILRHCEYHFFSVNYDSLKTTQRLNHPLGEQKTPIKILGTCNGLLALIDDNGRIFLWNPSTRKSQVLPSTEIEFSSPSIFFPRSTYYGFGYDPIPDDYKLVRMVQVHGKNNSYLHSEAKVYSLRSNSWRRIKDFCFYLSFYREFGFLADNALYWMVLKTPQSGNKSIVGFDLGTEEFRFVELPDSCLNKRFCMNMKAMGGYLCLIATYTEFNDDVVDIWIMKESWIKLISWKKSESILGFPIVIPLAFSKSGDKVLFSIALHKFVWYDLGSKRVENVGIRGLPSSFDVDLYVESLVPLMVML >KJB25606 pep chromosome:Graimondii2_0_v6:4:51991706:51997468:1 gene:B456_004G198600 transcript:KJB25606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPPFHVEDTTDEDFFDKLVNDDDDDIGPTVSKFTEGNDSDEARAFANLTVGEDFGSVSDSSDEKEKDPVDASPTPASAQARDDGNDSLGFDTRVIDSNNHGNEGAEPKVGLDLNLTENIGSMDSGVKEVGWNSFYADSNENGVHGFGSYSDFFNDLGENSPGEFPGKVDASMTTYVLDQNSVNSHGQYYDGGLVCGASTADNGNVQDYNSIQYWESMYPGWKYDTNTGQWYQVDGYEGKFQGTFESSGGDGSGQAGVSFLQHTVQSLAGTTATLENGVHESLANWNRVSQVNNGYPEHMIFDPQYPGWYYDTIAQEWRSLESYNASVQSMTQGSNQQIQNEFASTDGHSQSNSYDVYGEYGQGDSYASQSLGSPGGHGNWGDSFGNFNGPSLNMWQPGAVAKTDTVSSFTGNHQPDTSFGSNMAVSNLVSHFKSSHNALQEVQSLNSANQVHAEANGVTGLRSFIPSEKFNHQFNQTNIKQNEQMHFSNDFYGGQKSINVSQQPLQSDQQFPYASNTGRSSAGRPPHALVTFGFGGKLIVMKDASPLQNSSFGAQGSLGASISVLNLLEVVNGNTNHSGAVLTDCDYFRTLCQQSFPGPLVGGNVSGKELNKWIDERIASCESPDMDYRKGEALKLLLSLLKIAYQHYGKLRSPFGADTLLKETDTPESAVAKLFASAKRKDTPYGTLSHCLQQLPSEGQIHATAIEVQNLLISGRKQEALQRAQEGQLWGPALVLASQLGNQFYIDTVKQMAVRQLVAGSPLRTLCLLIAGQPAEVFSTGTSIDSLNVSEQHTQIGANCMLDDWEENLAVITANRTKDDELVIIHLGDCLWRERSEITAAHICYLVAEANFESYSDSARLCLLGTDHWKFPRTYASAEAIQRTELYEYSKVLGNPQFILLPFQPYKLVYAYMLAEVGKISDSLKYCQAILKSLKTGRAPEVETWKQLILSLEERIRIHQQGGYAANLAPAKLVDKLLNFFDSTAHRVVGSLPPPAPSASNGNFQGNDQFHQQTGPRVSASQSTMAMSSLMPSSSMEPISDWAGRGADGKMTMHNRSVSEPDFGRTPRQVDSSKEAEASTTQVKASGSVGASRFGFGFGSQLLQKTVGLVLRPRSDKQAKLGEKNKFYYDEKLKRWVEEGAEPPAEEPALAPPPTTAAFQNGRSDYNLNSTLNSEVSPSNGIPELKNLTPIEPASGVPPIPTISNQFSARGRMGVRARYSISSILLSLPFVVLEL >KJB25605 pep chromosome:Graimondii2_0_v6:4:51991278:51998668:1 gene:B456_004G198600 transcript:KJB25605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPPFHVEDTTDEDFFDKLVNDDDDDIGPTVSKFTEGNDSDEARAFANLTVGEDFGSVSDSSDEKEKDPVDASPTPASAQARDDGNDSLGFDTRVIDSNNHGNEGAEPKVGLDLNLTENIGSMDSGVKEVGWNSFYADSNENGVHGFGSYSDFFNDLGENSPGEFPGKVDASMTTYVLDQNSVNSHGQYYDGGLVCGASTADNGNVQDYNSIQYWESMYPGWKYDTNTGQWYQVDGYEGKFQGTFESSGGDGSGQAGVSFLQHTVQSLAGTTATLENGVHESLANWNRVSQVNNGYPEHMIFDPQYPGWYYDTIAQEWRSLESYNASVQSMTQGSNQQIQNEFASTDGHSQSNSYDVYGEYGQGDSYASQSLGSPGGHGNWGDSFGNFNGPSLNMWQPGAVAKTDTVSSFTGNHQPDTSFGSNMAVSNLVSHFKSSHNALQEVQSLNSANQVHAEANGVTGLRSFIPSEKFNHQFNQTNIKQNEQMHFSNDFYGGQKSINVSQQPLQSDQQFPYASNTGRSSAGRPPHALVTFGFGGKLIVMKDASPLQNSSFGAQGSLGASISVLNLLEVVNGNTNHSGAVLTDCDYFRTLCQQSFPGPLVGGNVSGKELNKWIDERIASCESPDMDYRKGEALKLLLSLLKIAYQHYGKLRSPFGADTLLKETDTPESAVAKLFASAKRKDTPYGTLSHCLQQLPSEGQIHATAIEVQNLLISGRKQEALQRAQEGQLWGPALVLASQLGNQFYIDTVKQMAVRQLVAGSPLRTLCLLIAGQPAEVFSTGTSIDSLNVSEQHTQIGANCMLDDWEENLAVITANRTKDDELVIIHLGDCLWRERSEITAAHICYLVAEANFESYSDSARLCLLGTDHWKFPRTYASAEAIQRTELYEYSKVLGNPQFILLPFQPYKLVYAYMLAEVGKISDSLKYCQAILKSLKTGRAPEVETWKQLILSLEERIRIHQQGGYAANLAPAKLVDKLLNFFDSTAHRVVGSLPPPAPSASNGNFQGNDQFHQQTGPRVSASQSTMAMSSLMPSSSMEPISDWAGRGADGKMTMHNRSVSEPDFGRTPRQVDSSKEAEASTTQVKASGSVGASRFGFGFGSQLLQKTVGLVLRPRSDKQAKLGEKNKFYYDEKLKRWVEEGAEPPAEEPALAPPPTTAAFQNGRSDYNLNSTLNSEVSPSNGIPELKNLTPIEPASGVPPIPTISNQFSARGRMGVRARYVDTFNQGGGGQANLFQSPAVPSVKPAMAANAKFFIPTPASTNEQMMEAIAENAQEENGTSNNPTTSNTNEFYESALNIQKFPSVDNITKTANGFPPFSRRTASWSGSNFGNVVTPSKAEIGQLGEATGMSPSSLGQRNGSFGDELHEVEL >KJB25609 pep chromosome:Graimondii2_0_v6:4:51991429:51998668:1 gene:B456_004G198600 transcript:KJB25609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRKGEALKLLLSLLKIAYQHYGKLRSPFGADTLLKETDTPESAVAKLFASAKRKDTPYGTLSHCLQQLPSEGQIHATAIEVQNLLISGRKQEALQRAQEGQLWGPALVLASQLGNQFYIDTVKQMAVRQLVAGSPLRTLCLLIAGQPAEVFSTGTSIDSLNVSEQHTQIGANCMLDDWEENLAVITANRTKDDELVIIHLGDCLWRERSEITAAHICYLVAEANFESYSDSARLCLLGTDHWKFPRTYASAEAIQRTELYEYSKVLGNPQFILLPFQPYKLVYAYMLAEVGKISDSLKYCQAILKSLKTGRAPEVETWKQLILSLEERIRIHQQGGYAANLAPAKLVDKLLNFFDSTAHRVVGSLPPPAPSASNGNFQGNDQFHQQTGPRVSASQSTMAMSSLMPSSSMEPISDWAGRGADGKMTMHNRSVSEPDFGRTPRQVDSSKEAEASTTQVKASGSVGASRFGFGFGSQLLQKTVGLVLRPRSDKQAKLGEKNKFYYDEKLKRWVEEGAEPPAEEPALAPPPTTAAFQNGRSDYNLNSTLNSEVSPSNGIPELKNLTPIEPASGVPPIPTISNQFSARGRMGVRARYVDTFNQGGGGQANLFQSPAVPSVKPAMAANAKFFIPTPASTNEQMMEAIAENAQEENGTSNNPTTSNTNEFYESALNIQKFPSVDNITKTANGFPPFSRRTASWSGSNFGNVVTPSKAEIGQLGEATGMSPSSLGQRNGSFGDELHEVEL >KJB25607 pep chromosome:Graimondii2_0_v6:4:51991160:51998710:1 gene:B456_004G198600 transcript:KJB25607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPPFHVEDTTDEDFFDKLVNDDDDDIGPTVSKFTEGNDSDEARAFANLTVGEDFGSVSDSSDEKEKDPVDASPTPASAQARDDGNDSLGFDTRVIDSNNHGNEGAEPKVGLDLNLTENIGSMDSGVKEVGWNSFYADSNENGVHGFGSYSDFFNDLGENSPGEFPGKVDASMTTYVLDQNSVNSHGQYYDGGLVCGASTADNGNVQDYNSIQYWESMYPGWKYDTNTGQWYQVDGYEGKFQGTFESSGGDGSGQAGVSFLQHTVQSLAGTTATLENGVHESLANWNRVSQVNNGYPEHMIFDPQYPGWYYDTIAQEWRSLESYNASVQSMTQGSNQQIQNEFASTDGHSQSNSYDVYGEYGQGDSYASQSLGSPGGHGNWGDSFGNFNGPSLNMWQPGAVAKTDTVSSFTGNHQPDTSFGSNMAVSNLVSHFKSSHNALQEVQSLNSANQVHAEANGVTGLRSFIPSEKFNHQFNQTNIKQNEQMHFSNDFYGGQKSINVSQQPLQSDQQFPYASNTGRSSAGRPPHALVTFGFGGKLIVMKDASPLQNSSFGAQGSLGASISVLNLLEVVNGNTNHSGAVLTDCDYFRTLCQQSFPGPLVGGNVSGKELNKWIDERIASCESPDMDYRKGEALKLLLSLLKIAYQHYGKLRSPFGADTLLKETDTPESAVAKLFASAKRKDTPYGTLSHCLQQLPSEGQIHATAIEVQNLLISGRKQEALQRAQEGQLWGPALVLASQLGNQFYIDTVKQMAVRQLVAGSPLRTLCLLIAGQPAEVFSTGTSIDSLNVSEQHTQIGANCMLDDWEENLAVITANRTKDDELVIIHLGDCLWRERSEITAAHICYLVAEANFESYSDSARLCLLGTDHWKFPRTYASAEAIQRTELYEYSKVLGNPQFILLPFQPYKLVYAYMLAEVGKISDSLKYCQAILKSLKTGRAPEVETWKQLILSLEERIRIHQQGGYAANLAPAKLVDKLLNFFDSTAHRVVGSLPPPAPSASNGNFQGNDQFHQQTGPRVSASQSTMAMSSLMPSSSMEPISDWAGRGADGKMTMHNRSVSEPDFGRTPRQVDSSKEAEASTTQVKASGSVGASRFGFGFGSQLLQKTVGLVLRPRSDKQAKLGEKNKFYYDEKLKRWVEEGAEPPAEEPALAPPPTTAAFQNGRSDYNLNSTLNSEVSPSNGIPELKNLTPIEPASGVPPIPTISNQFSARGRMGVRARYVDTFNQGGGGQANLFQSPAVPSVKPAMAANAKFFIPTPASTNEQMMEAIAENAQEENGTSNNPTTSNTNEFYESALNIQKFPSVDNITKTANGFPPFSRRTASWSGSNFGNVVTPSKAEIGQLGEATGMSPSSLGQRNGSFGDELHEVEL >KJB25608 pep chromosome:Graimondii2_0_v6:4:51991225:51998668:1 gene:B456_004G198600 transcript:KJB25608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPPFHVEDTTDEDFFDKLVNDDDDDIGPTVSKFTEGNDSDEARAFANLTVGEDFGSVSDSSDEKEKDPVDASPTPASAQARDDGNDSLGFDTRVIDSNNHGNEGAEPKVGLDLNLTENIGSMDSGVKEVGWNSFYADSNENGVHGFGSYSDFFNDLGENSPGEFPGKVDASMTTYVLDQNSVNSHGQYYDGGLVCGASTADNGNVQDYNSIQYWESMYPGWKYDTNTGQWYQVDGYEGKFQGTFESSGGDGSGQAGVSFLQHTVQSLAGTTATLENGVHESLANWNRVSQVNNGYPEHMIFDPQYPGWYYDTIAQEWRSLESYNASVQSMTQGSNQQIQNEFASTDGHSQSNSYDVYGEYGQGDSYASQSLGSPGGHGNWGDSFGNFNGPSLNMWQPGAVAKTDTVSSFTGNHQPDTSFGSNMAVSNLVSHFKSSHNALQEVQSLNSANQVHAEANGVTGLRSFIPSEKFNHQFNQTNIKQNEQMHFSNDFYGGQKSINVSQQPLQSDQQFPYASNTGRSSAGRPPHALVTFGFGGKLIVMKDASPLQNSSFGAQGSLGASISVLNLLEVVNGNTNHSGAVLTDCDYFRTLCQQSFPGPLVGGNVSGKELNKWIDERIASCESPDMDYRKGEALKLLLSLLKIAYQHYGKLRSPFGADTLLKETDTPESAVAKLFASAKRKDTPYGTLSHCLQQLPSEGQIHATAIEVQNLLISGRKQEALQRAQEGQLWGPALVLASQLGNQFYIDTVKQMAVRQLVAGSPLRTLCLLIAGQPAEVFSTGTSIDSLNVSEQHTQIGANCMLDDWEENLAVITANRTKDDELVIIHLGDCLWRERSEITAAHICYLVAEANFESYSDSARLCLLGTDHWKFPRTYASAEAIQRTELYEYSKVLGNPQFILLPFQPYKLVYAYMLAEVGKISDSLKYCQAILKSLKTGRAPEVETWKQLILSLEERIRIHQQGGYAANLAPAKLVDKLLNFFDSTAHRVVGSLPPPAPSASNGNFQGNDQFHQQTGPRVSASQSTMAMSSLMPSSSMEPISDWAGRGADGKMTMHNRSVSEPDFGRTPRQVDSSKEAEASTTQVKASGSVGASRFGFGFGSQLLQKTVGLVLRPRSDKQAKLGEKNKFYYDEKLKRWVEEGAEPPAEEPALAPPPTTAAFQNGRSDYNLNSTLNSEVSPSNGIPELKNLTPIEPASGVPPIPTISNQFSARGRMGVRARYVDTFNQGGGGQANLFQSPAVPSVKPAMAANAKFFIPTPASTNEQMMEAIAENAQEENGTSNNPTTSNTNEFYESALNIQKFPSVDNITKTANGFPPFSRRTASWSGSNFGNVVTPSKAEIGQLGEATGMSPSSLGQRNGSFGDELHEVEL >KJB21760 pep chromosome:Graimondii2_0_v6:4:891407:895945:-1 gene:B456_004G012500 transcript:KJB21760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTNFKRIKHGFFPEIINREMRISSPQTFSRHFSSSQVIVEKIDLYGKLNGHEGCVNTVEFNFNGDILVSGSDDKHVMLWNWATKTKTLCYASGHLDNIFQARIMPLTDDKRIITSSADGQVRLGEILVNGQVKTRRLGKHQGRVHSLAVEPGSPHIFFSCGEDGLVQHYDLRCGSASKLFHCFSFKETNSPPLNIRLNAIIIDPRNPNYFAIGGSDEYARVYDIRRYRSDGSNVSDEPVNLFCPRHLLHSNHVHITGLAYSKASELLISYNDELIYLFQKNMGLGPSPLSLESETQLSNEEPQVYAGHRNSRTVKGVSFFGPKDEYVMSGSDCGHIFIWRKKDAKLVRVMVGDRRIVNHLEPHPCMPFLATCGFDKDVKLWAPMAGDAPALPKNLKKITESNRQNREDQSPATLAPDVIMHVVRLQRRQMFGYVERRYSRSDLESDEEDGDEDYISGLANDITFSEEYPGENFGDC >KJB25969 pep chromosome:Graimondii2_0_v6:4:55219023:55220426:1 gene:B456_004G218500 transcript:KJB25969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFYHELYVLVTPLAHGDNFAAKRMRKLTQRRVVDYTSIVVRYMHGLPEFLGIHTNIGLKRMGELDPKTFHDTCKSRFPPDEAEIQATTLYSSWQENLKNPDWHPVFRRN >KJB25966 pep chromosome:Graimondii2_0_v6:4:55218160:55219392:1 gene:B456_004G218500 transcript:KJB25966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLITLEDVLESLMNDGTIDALKLKIINQLKANEELKNTTIKMAKQSKVLTCLVRRNRPKENCLMHLGRNLTHGDNFAAKRMRKLTQRRVVDYTSIVVRYMHVIFSCLVVFISVFSISFYKLLHGLGESD >KJB25968 pep chromosome:Graimondii2_0_v6:4:55217466:55220505:1 gene:B456_004G218500 transcript:KJB25968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLITLEDVLESLMNDGTIDALKLKIINQLKANEELKNTTIKMAKQSKVLTCLVRRNRPKENCLMHLGRNLTHGDNFAAKRMRKLTQRRVVDYTSIVVRYMHFGPMPIDFVFITIQLLPTLSWLIQGLPEFLGIHTNIGLKRMGELDPKTFHDTCKSRFPPDEAEIQATTLYSSWQENLKNPDWHPVFRRN >KJB25967 pep chromosome:Graimondii2_0_v6:4:55217466:55220505:1 gene:B456_004G218500 transcript:KJB25967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLITLEDVLESLMNDGTIDALKLKIINQLKANEELKNTTIKMAKQSKVLTCLVRRNRPKENCLMHLGRNLTHGDNFAAKRMRKLTQRRVVDYTSIVVRYMHGLPEFLGIHTNIGLKRMGELDPKTFHDTCKSRFPPDEAEIQATTLYSSWQENLKNPDWHPVFRRN >KJB25618 pep chromosome:Graimondii2_0_v6:4:52087676:52090967:1 gene:B456_004G199400 transcript:KJB25618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFNFYEEKMLVGDFDMNSMPRDADSLKDVFKQTMLDQEIIFRKQVCELHRLYSVQKTLMKDLTPPELEKYNSWKANLQSFPQETRLPTNSIPMLDSGVSSSLELLEGWKDNSYKYQPRPFDLQLVPDRYIRLGDNNNLPKKVKVGDHLQETLGVNSVHDGGFSDPLELRLSLSLGVAKGKKEDKQRSRCDQKTNTFSRIVIDLEESTERTSDEDAKHSPFDFAAKVTYPGGKHDSQVAINSNPITSECMKKDLSYKIAETSSFVGDRNCQDWSDSDQGLKCHKNMLHKNLLARKQQFTSCGMGNVDLNEVQLDDLSCESDDAIVVNPLMTSSSCGFSGLVSKNHETLCPIILRGKEIKEFPNGTSEMIQQEDGVKLDLINSNNKDRRTEVQVRNSVHSGTNERGISLNNPASESSPRINMPEYCSSHGGITKNGRDELILKLQNGSAHGLNSACLVAKQFGCEKTEEEENVSIYSDKVQITIEDEHHDVSPHSWKSSCISDNDSSPIRTMESGIQSCNSNIPASDQFSETHGRPKVAETLSGEQDQRSSGSNEMKHECYYKWEESAEVDDLIHIAAESLIHISLENSACYQESSTQAASNELENEVKEQPQRSIDSFELMTLEQAETGADEYSVTSKPFEVSDGQTKDFGIKLRRGRRLKDFQKDILPGLASLSRHEICEDKNILEGVLRSREYKKMRAKMAIGENWCTPVRSKQSRLNYVGRKTFR >KJB25616 pep chromosome:Graimondii2_0_v6:4:52086625:52090903:1 gene:B456_004G199400 transcript:KJB25616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFNFYEEKMLVGDFDMNSMPRDADSLKDVFKQTMLDQEIIFRKQVCELHRLYSVQKTLMKDLTPPELEKYNSWKANLQSFPQETRLPTNSIPMLDSGVSSSLELLEGWKDNSYKYQPRPFDLQLVPDRYIRLGDNNNLPKKVKVGDHLQETLGVNSVHDGGFSDPLELRLSLSLGVAKGKKEDKQRSRCDQKTNTFSRIVIDLEESTERTSDEDAKHSPFDFAAKVTYPGGKHDSQVAINSNPITSECMKKDLSYKIAETSSFVGDRNCQDWSDSDQGLKCHKNMLHKNLLARKQQFTSCGMGNVDLNEVQLDDLSCESDDAIVVNPLMTSSSCGFSGLVSKNHETLCPIILRGKEIKEFPNGTSEMIQQEDGVKLDLINSNNKDRRTEVQVRNSVHSGTNERGISLNNPASESSPRINMPEYCSSHGGITKNGRDELILKLQNGSAHGLNSACLVAKQFGCEKTEEEENVSIYSDKVQITIEDEHHDVSPHSWKSSCISDNDSSPIRTMESGIQSCNSNIPASDQFSETHGRPKVAETLSGEQDQRSSGSNEMKHECYYKWEESAEVDDLIHIAAESLIHISLENSACYQESSTQAASNELENEVKEQPQRSIDSFELMTLEQAETGADEYSVTSKPFEVSDGQTKDFGIKLRRGRRLKDFQKDILPGLASLSRHEICEDKNILEGVLRSREYKKMRAKMAIGENWCTPVRSKQSRLNYVGRKTFR >KJB25617 pep chromosome:Graimondii2_0_v6:4:52086097:52090967:1 gene:B456_004G199400 transcript:KJB25617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFNFYEEKMLVGDFDMNSMPRDADSLKDVFKQTMLDQEIIFRKQVCELHRLYSVQKTLMKDLTPPELEKYNSWKANLQSFPQETRLPTNSIPMLDSGVSSSLELLEGWKDNSYKYQPRPFDLQLVPDRYIRLGDNNNLPKKVKVGDHLQETLGVNSVHDGGFSDPLELRLSLSLGVAKGKKEDKQRSRCDQKTNTFSRIVIDLEESTERTSDEDAKHSPFDFAAKVTYPGGKHDSQVAINSNPITSECMKKDLSYKIAETSSFVGDRNCQDWSDSDQGLKCHKNMLHKNLLARKQQFTSCGMGNVDLNEVQLDDLSCESDDAIVVNPLMTSSSCGFSGLVSKNHETLCPIILRGKEIKEFPNGTSEMIQQEDGVKLDLINSNNKDRRTEVQVRNSVHSGTNERGISLNNPASESSPRINMPEYCSSHGGITKNGRDELILKLQNGSAHGLNSACLVAKQFGCEKTEEEENVSIYSDKVQITIEDEHHDVSPHSWKSSCISDNDSSPIRTMESGIQSCNSNIPASDQFSETHGRPKVAETLSGEQDQRSSGSNEMKHECYYKWEESAEVDDLIHIAAESLIHISLENSACYQESSTQAASNELENEVKEQPQRSIDSFELMTLEQAETGADEYSVTSKPFEVSDGQTKDFGIKLRRGRRLKDFQKDILPGLASLSRHEICEDKNILEGVLRSREYKKMRAKMAIGENWCTPVRSKQSRLNYVGRKTFR >KJB23785 pep chromosome:Graimondii2_0_v6:4:27467169:27470189:1 gene:B456_004G115000 transcript:KJB23785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAWRSLQNPEYEILGLTTIVGNVQTEDATRNALLLCEIARRPDVPVAQGSLEPLTGGRPIVADFVHGSGGLGNIFLSPPNLLICRSNN >KJB25349 pep chromosome:Graimondii2_0_v6:4:50193280:50196713:-1 gene:B456_004G187200 transcript:KJB25349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLESLYSIVAVVAATYAVLFGFLKKINEWYYVTRLGKKQNTLPPGDMGWPFIGNLWSFFKAFNSQDPDTFVENLIKRYGKTGIYRTHLFGGPGIIVCSPELCRKALADEHVLFGYPSSAKQIAGKKSLYGISTSEHRRLRKIATNPIHGDEALTSHIEDIERIVTTSLEELATMNRPVNFFYEMKKITFKVIAKIVLGSTQDSVISTMVKCYSDLFPGIISVPINFPGFVFYRALKARKTLLKIFKEKVKERRAKTMETDRKKEMIDFLMEAEYDNGEKLDDEHLFDLLLIFLFAGHETAAHTAMWATIYLHHHPEMLQKAKEEQEAVLKRRPSSQKGLTLAEIKQMEYLPKVIDESMRRANFAFTLFRRVETDVNLNGYTIPKGWNVLVWSRAVHMDQDIYPNPKEFLPSRWENPGLKGKNFIPFGGGSRICPGSDLGKLEVSIFLHHFLLNYKLEELNPKAPTITLPLTRPADNCQARIIKLP >KJB21715 pep chromosome:Graimondii2_0_v6:4:770594:773102:1 gene:B456_004G010900 transcript:KJB21715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNKTMRETTINLPETKAEAKEKAPLLNTSKAIAILEPPNRGTKKGIAIGDFVLRLCTIGATLGATVTMGNADAVAPFTTRFLRFEAQFNDIPTFVFFMVANGIVTGYLFLSLPFSTICIVRPLAKAPRILLVIFDTAMTGLTLASASAVAPIVYLAHYGNPNTNWLPFCQQFGDFCSSTSGAMVGSLLAGTLLMTIIILSAFALKRN >KJB23451 pep chromosome:Graimondii2_0_v6:4:16735414:16738973:1 gene:B456_004G098800 transcript:KJB23451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRSKIVVSLVLLPLLLTTISAQSTKLTPNFYKTTCPNVESIVRSAVQKKFQQTFVTAPATLRLFFHDCFVRGCDASILLATGNGNGEKDHPDDISLAGDGFDTVIKAKAAVDSNPQCRHKVSCADILALATRDVTGGPFYTVELGRRDGRISTKASVQRQLPGPNFKLDQLNSMFARHGLSQTDMIALSGAHTIGFAHCGRFSKRIFNFSPRNTIDPTLNFRYALQLRQMCPRNVDPRIAINMDPTTPRTFDNAYYKNLQQGMGLFRSDQVLFSDPRSRGTVNLFASSNAAFHNAFVAAITKLGRVGVLTGKQGEIRRDCTRPN >KJB23450 pep chromosome:Graimondii2_0_v6:4:16735410:16738973:1 gene:B456_004G098800 transcript:KJB23450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRSKIVVSLVLLPLLLTTISAQSTKLTPNFYKTTCPNVESIVRSAVQKKFQQTFVTAPATLRLFFHDCFVRGCDASILLATGNGNGEKDHPDDISLAGDGFDTVIKAKAAVDSNPQCRHKVSCADILALATRDVVQLTGGPFYTVELGRRDGRISTKASVQRQLPGPNFKLDQLNSMFARHGLSQTDMIALSGAHTIGFAHCGRFSKRIFNFSPRNTIDPTLNFRYALQLRQMCPRNVDPRIAINMDPTTPRTFDNAYYKNLQQGMGLFRSDQVLFSDPRSRGTVNLFASSNAAFHNAFVAAITKLGRVGVLTGKQGEIRRDCTRPN >KJB27271 pep chromosome:Graimondii2_0_v6:4:61783028:61788183:-1 gene:B456_004G288200 transcript:KJB27271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKAFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGAALAEIAQATKKFSDCQMVMNVLWSRLGETGKDWRYVYKALSVIEYLISNGSERAVDDIIGRTFRIASLMSFEYVEPSGKDMGINVRKKAETIVGLLHNKERIQEARNKAAANRDKYIGLSSTGVTFKSSASSFSGGGYQGGGDRCGGSSGRRESDSYKPRDRYGEQKFDKDTYVKPRRGSTASESQANSTNESRRHGSKDPKNKLSAKLSDDDKYSQSTSAPTNNFEADDDDFDDFDPRGTSRSNPATGDSNQVDLFGQSLMDDLFNGPASAPMEHSVTSTESTEVDLFADATFVLAPNKAVIEASPQAQVDLFASQPAIVPAVSPTVDLFAATDPVVQPDIMVPKPDPTNASIIDPFATVPLSNFHSSSDIFGSFTHHSNSPWKEPTQTPINDVNLNNNMNTKPSQDIKPPQKKDATFQVKSGIWADSLSRGIIDLNISAQPCTVKKVSLADVGILGELSDVDKRDKGPPTSLHMGRAMGTGSGFGKTGFTSATAEDDIFSRFKK >KJB27275 pep chromosome:Graimondii2_0_v6:4:61784068:61788075:-1 gene:B456_004G288200 transcript:KJB27275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKAFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGAALAEIAQATKKFSDCQMVMNVLWSRLGETGKDWRYVYKALSVIEYLISNGSERAVDDIIGRTFRIASLMSFEYVEPSGKDMGINVRKKAETIVGLLHNKERIQEARNKAAANRDKYIGLSSTGVTFKSSASSFSGGGYQGGGDRCGGSSGRRESDSYKPRDRYGEQKFDKDTYVKPRRGSTASESQANSTNESRRHGSKDPKNTYVKPRRGSTTSESQANSTKEPRRHGSKDPKNKLSAKLSDDDKYSQSTSAPTNNFEADDDDFDDFDPRGTSRSNPATGDSNQVDLFGQSLMDDLFNGPASAPMEHSVTSTESTEVDLFADATFVLAPNKAVIEASPQAQKQVDLFASQPAIVPAVSPTVDLFAATDPVVQPDIMVPKPDPTNASIIDPFATVPLSNFHSSSDIFGSFTHHSNSPWKEPTQTPINDVNLNNNMNTKPSQDIKPPQKKDATFQVKSGIWADSLSRGIIDLNISARKYPLII >KJB27273 pep chromosome:Graimondii2_0_v6:4:61783280:61787784:-1 gene:B456_004G288200 transcript:KJB27273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKAFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGAALAEIAQATKKFSDCQMVMNVLWSRLGETGKDWRYVYKALSVIEYLISNGSERAVDDIIGRTFRIASLMSFEYVEPSGKDMGINVRKKAETIVGLLHNKERIQEARNKAAANRDKYIGLSSTGVTFKSSASSFSGGGYQGGGDRCGGSSGRRESDSYKPRDRYGEQKFDKDTYVKPRRGSTASESQANSTNESRRHGSKDPKNTYVKPRRGSTTSESQANSTKEPRRHGSKDPKNKLSAKLSDDDKYSQSTSAPTNNFEADDDDFDDFDPRGTSRSNPATGDSNQVDLFGQSLMDDLFNGPASAPMEHSVTSTESTEVDLFADATFVLAPNKAVIEASPQAQKQVDLFASQPAIVPAVSPTVDLFAATDPVVQPDIMVPKPDPTNASIIDPFATVPLSNFHSSSDIFGSFTHHSNSPWKEPTQTPINDVNLNNNMNTKPSQDIKPPQKKDATFQVKSGIWADSLSRGIIDLNISAQPCTVKKVSLADVGILGELSDVDKRDKGPPTSLHMGRAMGTGSGFGKTGFTSATAEDDIFSRFKK >KJB27272 pep chromosome:Graimondii2_0_v6:4:61783028:61788183:-1 gene:B456_004G288200 transcript:KJB27272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKAFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGAALAEIAQATKKFSDCQMVMNVLWSRLGETGKDWRYVYKALSVIEYLISNGSERAVDDIIGRTFRIASLMSFEYVEPSGKDMGINVRKKAETIVGLLHNKERIQEARNKAAANRDKYIGLSSTGVTFKSSASSFSGGGYQGGGDRCGGSSGRRESDSYKPRDRYGEQKFDKDTYVKPRRGSTASESQANSTNESRRHGSKDPKNTYVKPRRGSTTSESQANSTKEPRRHGSKDPKNKLSAKLSDDDKYSQSTSAPTNNFEADDDDFDDFDPRGTSRSNPATGDSNQVDLFGQSLMDDLFNGPASAPMEHSVTSTESTEVDLFADATFVLAPNKAVIEASPQAQVDLFASQPAIVPAVSPTVDLFAATDPVVQPDIMVPKPDPTNASIIDPFATVPLSNFHSSSDIFGSFTHHSNSPWKEPTQTPINDVNLNNNMNTKPSQDIKPPQKKDATFQVKSGIWADSLSRGIIDLNISAQPCTVKKVSLADVGILGELSDVDKRDKGPPTSLHMGRAMGTGSGFGKTGFTSATAEDDIFSRFKK >KJB27274 pep chromosome:Graimondii2_0_v6:4:61783280:61787784:-1 gene:B456_004G288200 transcript:KJB27274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKAFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGAALAEIAQATKKFSDCQMVMNVLWSRLGETGKDWRYVYKALSVIEYLISNGSERAVDDIIGRTFRIASLMSFEYVEPSGKDMGINVRKKAETIVGLLHNKERIQEARNKAAANRDKYIGLSSTGVTFKSSASSFSGGGYQGGGDRCGGSSGRRESDSYKPRDRYGEQKFDKDTYVKPRRGSTASESQANSTNESRRHGSKDPKNKLSAKLSDDDKYSQSTSAPTNNFEADDDDFDDFDPRGTSRSNPATGDSNQVDLFGQSLMDDLFNGPASAPMEHSVTSTESTEVDLFADATFVLAPNKAVIEASPQAQKQVDLFASQPAIVPAVSPTVDLFAATDPVVQPDIMVPKPDPTNASIIDPFATVPLSNFHSSSDIFGSFTHHSNSPWKEPTQTPINDVNLNNNMNTKPSQDIKPPQKKDATFQVKSGIWADSLSRGIIDLNISAQPCTVKKVSLADVGILGELSDVDKRDKGPPTSLHMGRAMGTGSGFGKTGFTSATAEDDIFSRFKK >KJB24358 pep chromosome:Graimondii2_0_v6:4:40041130:40041944:1 gene:B456_004G142000 transcript:KJB24358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHQKLIFNIGGSSGLHRSISIHRPGNFRFTASNSPMYKCMNCEVSGADWCSLHARLCFWQPKWPRWHTPPFVAAQLSPFFPGPLEKQNK >KJB25569 pep chromosome:Graimondii2_0_v6:4:51839325:51844849:-1 gene:B456_004G197900 transcript:KJB25569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKLALLQHPGGSLLSHKHECCKWRSSAAQPRKPISWSLICGLMLFCLGMISLFTGHVASDLEWYSQRLMKRRSYFKLEGINKGPIDIWQSDYAKYFYGCSKRSRNFPLAIRARSSNGYLLIAASGGLNQQRTGISDAVVVARILNATLVIPELDHRSYWKDDSDFANIFDVNWFISYLAKDVTIVRRVPDKFMRSMDKPPYTMRVPRKSPPEYYLDQVLPILQRRSVLQLTKFDYRLANNIDDELQKLRCRANYHALRFTKPIQELGQKLVTRMRAMANRFIAVHLRFEPDMLAFSGCYFGGGDKERNELGEIRRRWETLTDVDADEERRRGRCPLTPHEVGLMLRALGFANDSYIYVASGEIYGGEETLRPLRDLFPNFYTKEMLANEDLKPFLPFSSRLAAIDYIVCDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNAKRFGPLMMERDEMDWDTFARKVKAFQKGFMGEPDEMRPGRGEFHEYPYSCICERPLSDVVDNKRGDPRSEQGNQGLRERSKEEEPALGAKENEA >KJB25568 pep chromosome:Graimondii2_0_v6:4:51839314:51845029:-1 gene:B456_004G197900 transcript:KJB25568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKLALLQHPGGSLLSHKHECCKWRSSAAQPRKPISWSLICGLMLFCLGMISLFTGHVASDLEWYSQRLMKRRSYFKLEGINKGPIDIWQSDYAKYFYGCSKRSRNFPLAIRARSSNGYLLIAASGGLNQQRTGISDAVVVARILNATLVIPELDHRSYWKDDSDFANIFDVNWFISYLAKDVTIVRRVPDKFMRSMDKPPYTMRVPRKSPPEYYLDQVLPILQRRSVLQLTKFDYRLANNIDDELQKLRCRANYHALRFTKPIQELGQKLVTRMRAMANRFIAVHLRFEPDMLAFSGCYFGGGDKERNELGEIRRRWETLTDVDADEERRRGRCPLTPHEVGLMLRALGFANDSYIYVASGEIYGGEETLRPLRDLFPNFYTKEMLANEDLKPFLPFSSRLAAIDYIVCDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNAKRFGPLMMERDEMDWDTFARKVKAFQKGFMGEPDEMRPGRGEFHEYPYSCICERPLSDVVDNKRGDPRSEQGNQGLRERSKEEEPALGAKENEA >KJB25570 pep chromosome:Graimondii2_0_v6:4:51840906:51844849:-1 gene:B456_004G197900 transcript:KJB25570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKLALLQHPGGSLLSHKHECCKWRSSAAQPRKPISWSLICGLMLFCLGMISLFTGHVASDLEWYSQRLMKRRSYFKLEGINKGPIDIWQSDYAKYFYGCSKRSRNFPLAIRARSSNGYLLIAASGGLNQQRTGISDAVVVARILNATLVIPELDHRSYWKDDSDFANIFDVNWFISYLAKDVTIVRRVPDKFMRSMDKPPYTMRVPRKSPPEYYLDQVLPILQRRSVLQLTKFDYRLANNIDDELQKLRCRANYHALRFTKPIQELGQKLVTRMRAMANRFIAVHLRFEPDMLAFSGCYFGGGDKERNELGEIRRRWETLTDVDADEERRRGRCPLTPHEVGLMLRALGFANDSYIYVASGEIYGGEETLRPLRDLFPNFYTKEMLANEDLKPFLPFSSRLAAIDYIVCDESDVFVTNNNGNMAKILAGRR >KJB23664 pep chromosome:Graimondii2_0_v6:4:22956879:22958576:-1 gene:B456_004G1096002 transcript:KJB23664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQVPDEALSHVMSMGFKERDARRALRLNNQDIGRAVDFLFEEKAKRKQKREDDIRHKIEIMELKQYGVTPLKKAVNVEKLKELVAIGFEKKLAAEALRRNENDFQKALDDLTNPETNSAIQLDIESRKRKREQRAVNARIEELVSMGFDRSRVVAVVQAGETMEQTMSRLLPEVDPLLAFDANSSGNPASEASNLNPDSVEGPSTADEERDVEMEDELARDIGKADALSDYDIEVTKEGEAIQEYLALLASTDSKEALSSC >KJB23663 pep chromosome:Graimondii2_0_v6:4:22956522:22958576:-1 gene:B456_004G1096002 transcript:KJB23663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQVPDEALSHVMSMGFKERDARRALRLNNQDIGRAVDFLFEEKAKRKQKREDDIRHKIEIMELKQYGVTPLKKAVNVEKLKELVAIGFEKKLAAEALRRNENDFQKALDDLTNPETNSAIQLDIESRKRKREQRAVNARIEELVSMGFDRSRVVAVVQAGETMEQTMSRLLPEVDPLLAFDANSSGNPASEASNLNPDSVEGPSTADEERDVEMEDELARDIGKADALSDYDIEVTKEGEAIQEYLALLASTDSKEALSSC >KJB23667 pep chromosome:Graimondii2_0_v6:4:22956567:22958576:-1 gene:B456_004G1096002 transcript:KJB23667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQVPDEALSHVMSMGFKERDARRALRLNNQDIGRAVDFLFEEKAKRKQKREDDIRHKIEIMELKQYGVTPLKKAVNVEKLKELVAIGFEKKLAAEALRRNENDFQKALDDLTNPETNSAIQLDIESRKRKREQRAVNARIEELVSMGFDRSRVVAVVQAGETMEQTMSRLLPEVDPLLAFDANSSGNPASEASNLNPDSVEGPSTADEERDVEMEDELARDIGKADALSDYDIEVTKEGEAIQEYLALLASTDSKEALSSC >KJB23666 pep chromosome:Graimondii2_0_v6:4:22956879:22958576:-1 gene:B456_004G1096002 transcript:KJB23666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQVPDEALSHVMSMGFKERDARRALRLNNQDIGRAVDFLFEEKAKRKQKREDDIRHKIEIMELKQYGVTPLKKAVNVEKLKELVAIGFEKKLAAEALRRNENDFQKALDDLTNPETNSAIQLDIESRKRKREQRAVNARIEELVSMGFDRSRVVAVVQAGETMEQTMSRLLPEVDPLLAFDANSSGNPASEASNLNPDSVEGPSTADEERDVEMEDELARDIGKADALSDYDIEVTKEGEAIQEYLALLASTDSKEALSSC >KJB23668 pep chromosome:Graimondii2_0_v6:4:22957282:22958576:-1 gene:B456_004G1096002 transcript:KJB23668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQVPDEALSHVMSMGFKERDARRALRLNNQDIGRAVDFLFEEKAKRKQKREDDIRHKIEIMELKQYGVTPLKKAVNVEKLKELVAIGFEKKLAAEALRRNENDFQKALDDLTNPETNSAIQLDIESRKRKREQRAVNARIEELVSMGFDRSRGNDEIVLN >KJB23665 pep chromosome:Graimondii2_0_v6:4:22956879:22958576:-1 gene:B456_004G1096002 transcript:KJB23665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQVPDEALSHVMSMGFKERDARRALRLNNQDIGRAVDFLFEEKAKRKQKREDDIRHKIEIMELKQYGVTPLKKAVNVEKLKELVAIGFEKKLAAEALRRNENDFQKALDDLTNPETNSAIQLDIESRKRKREQRAVNARIEELVSMGFDRSRVVAVVQAGETMEQTMSRLLPEVDPLLAFDANSSGNPASEASNLNPDSVEGPSTADEERDVEMEDELARDIGKADALSDYDIEVTKEGEAIQEYLALLASTDSKEALSSC >KJB26712 pep chromosome:Graimondii2_0_v6:4:59282432:59284810:1 gene:B456_004G256300 transcript:KJB26712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELPENLVWEILGRIRKTGDRNSVSLACKRLYELDNEQRISLRVGCGLDPANDALASLCNRFPNLTRVEITYSGWMSKLGKQMDDQGLSILSGYCPLLSDLTLSYCTFITDMGLRYLASCSKLSALKLNFTPRITGCGILSLVVGCRSLTILHLIRCLNVNSVEWLEYLGKLETLEDLSIKNCRAIGEGDLIKLGPSWRKLKRLQFEVDANYRYMKVYDRLAVDRWQRQWIPCENMQELSLVNCIISPGRGLACVLGKCRNLEKIHLDMCVGVRDSDIVSLAQKSSNLRSISLRVPSDFSLPLLMNNPLRLTDESLKAIAQNCLMLETLRISFSDGEFPSFSSFTLNGILSVIQNCPVRELALDHVYSFTDVGMEALCMAQHLETLELMRCQEISDEGLQLVSQFPHLHVLRLSKCLGITNGGFRTLIGSYKLDLLAVEDCPQISERAICGAARSISFRQDLSWMY >KJB26713 pep chromosome:Graimondii2_0_v6:4:59282777:59284785:1 gene:B456_004G256300 transcript:KJB26713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELPENLVWEILGRIRKTGDRNSVSLACKRLYELDNEQRISLRVGCGLDPANDALASLCNRFPNLTRVEITYSGWMSKLGKQMDDQGLSILSGYCPLLSDLTLSYCTFITDMGLRYLASCSKLSALKLNFTPRITGCGILSLVVGCRSLTILHLIRCLNVNSVEWLEYLGKLETLEDLSIKNCRAIGEGDLIKLGPSWRKLKRLQFEVDANYRYMKVYDRLAVDRWQRQWIPCENMQELSLVNCIISPGRGLACVLGKCRNLEKIHLDMCVGVRDSDIVSLAQKSSNLRSISLRVPSDFSLPLLMNNPLRLTDESLKAIAQNCLMLETLRISFSDGEFPSFSSFTLNGILSVIQNCPVRELALDHVYSFTDVGMEALCMAQHLETLELMRCQEISDEGLQLVSQFPHLHVLRLSKCLGITNGGFRTLIGSYKLDLLAVEDCPQISERAICGAARSISFRQDLSWMY >KJB26261 pep chromosome:Graimondii2_0_v6:4:57081598:57089102:-1 gene:B456_004G233800 transcript:KJB26261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYMLPRKRAGEGEVVEGESENDNNNINDVTVSPPTKKHRISTTAAADLTADNKSVTTGDNSSNYSNTCVIEPSVMAPRDANHNDIDEDLHSRQLAVYGRETMRRLFASNILISGMQGLGAEIAKNLILAGVKSVTLHDEGVVEMWDLSSSFVFSENDVGKNRALASLQKLQELNNAVVISTLTTTLTKQKLSDFQAVVFTDISLEKSLEYNDYCHNHQPPISFIKTEVRGLFGTVFCDFGPKFTVFDVDGEEPHTGIIASISNDNPALVSCVDDERLEFEDGDLVVFSEIQGMKELNDGKPRKIKSVRPYSFTLEEDTTNFGTYVKGGIVTQVKQPKVLNFKPLRAALKDPGDFLLSDFSKFDRPPLLHIAFQALNRFICEFGRFPVARSEEDAQKFISIAGNINECLGEGGVEDINPKLLTHFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPVEPLDPIDFKPLNSRYDAQISVFGSKLQKNLEDAKVFIVGSGALGCEFLKNVALMGVSCGSQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAVSINPQLKIEALQNRVGPETECVFNDDFWENLTVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPTEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPSEYATAMRNAADAQAKDNLERILQCLEQEKCETFQDCVTWARLRFEDYFVNRVKQLTFTFPEDATTSTGAPFWSAPKRFPRPLQFSTTDPSHLQFIMAASILRAETFGIPVPDWVKNTKMLAKAVEKVIVPDFQPKEGVKIETDEKATSLSTGSVDDAAVINELLFKLERCRNNLPSGFRMKPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGAHKVEDYRNTFANLALPLFSMAEPVPPKVMKHRDMSWTVWDRWILSGNPTVRELIQWLKDKGLNAYSISYGSCLLFNNMFPRHKERLDKKVVDVAREIAKEDLPPYRSHLDVVVACEDDEDNDINIPQISVYYR >KJB26262 pep chromosome:Graimondii2_0_v6:4:57081633:57087389:-1 gene:B456_004G233800 transcript:KJB26262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLSSSFVFSENDVGKNRALASLQKLQELNNAVVISTLTTTLTKQKLSDFQAVVFTDISLEKSLEYNDYCHNHQPPISFIKTEVRGLFGTVFCDFGPKFTVFDVDGEEPHTGIIASISNDNPALVSCVDDERLEFEDGDLVVFSEIQGMKELNDGKPRKIKSVRPYSFTLEEDTTNFGTYVKGGIVTQVKQPKVLNFKPLRAALKDPGDFLLSDFSKFDRPPLLHIAFQALNRFICEFGRFPVARSEEDAQKFISIAGNINECLGEGGVEDINPKLLTHFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPVEPLDPIDFKPLNSRYDAQISVFGSKLQKNLEDAKVFIVGSGALGCEFLKNVALMGVSCGSQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAVSINPQLKIEALQNRVGPETECVFNDDFWENLTVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPTEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPSEYATAMRNAADAQAKDNLERILQCLEQEKCETFQDCVTWARLRFEDYFVNRVKQLTFTFPEDATTSTGAPFWSAPKRFPRPLQFSTTDPSHLQFIMAASILRAETFGIPVPDWVKNTKMLAKAVEKVIVPDFQPKEGVKIETDEKATSLSTGSVDDAAVINELLFKLERCRNNLPSGFRMKPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGAHKVEDYRNTFANLALPLFSMAEPVPPKVMKHRDMSWTVWDRWILSGNPTVRELIQWLKDKGLNAYSISYGSCLLFNNMFPRHKERLDKKVVDVAREIAKEDLPPYRSHLDVVVACEDDEDNDINIPQISVYYR >KJB26263 pep chromosome:Graimondii2_0_v6:4:57081731:57089102:-1 gene:B456_004G233800 transcript:KJB26263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGMDDNMKLSTLAALTIVFLGFYGIFGSLMHYMLPRKRAGEGEVVEGESENDNNNINDVTVSPPTKKHRISTTAAADLTADNKSVTTGDNSSNYSNTCVIEPSVMAPRDANHNDIDEDLHSRQLAVYGRETMRRLFASNILISGMQGLGAEIAKNLILAGVKSVTLHDEGVVEMWDLSSSFVFSENDVGKNRALASLQKLQELNNAVVISTLTTTLTKQKLSDFQAVVFTDISLEKSLEYNDYCHNHQPPISFIKTEVRGLFGTVFCDFGPKFTVFDVDGEEPHTGIIASISNDNPALVSCVDDERLEFEDGDLVVFSEIQGMKELNDGKPRKIKSVRPYSFTLEEDTTNFGTYVKGGIVTQVKQPKVLNFKPLRAALKDPGDFLLSDFSKFDRPPLLHIAFQALNRFICEFGRFPVARSEEDAQKFISIAGNINECLGEGGVEDINPKLLTHFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPVEPLDPIDFKPLNSRYDAQISVFGSKLQKNLEDAKVFIVGSGALGCEFLKNVALMGVSCGSQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAVSINPQLKIEALQNRVGPETECVFNDDFWENLTVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPTEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPSEYATAMRNAADAQAKDNLERILQCLEQEKCETFQDCVTWARLRFEDYFVNRVKQLTFTFPEDATTSTGAPFWSAPKRFPRPLQFSTTDPSHLQFIMAASILRAETFGIPVPDWVKNTKMLAKAVEKVIVPDFQPKEGVKIETDEKATSLSTGSVDDAAVINELLFKLERCRNNLPSGFRMKPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGAHKVEDYRNTFANLALPLFSMAEPVPPKVMKHRDMSWTVWDRWILSGNPTVRELIQWLKDKGLNAYSISYGSCLLFNNMFPRHKERLDKKVVDVAREIAKEDLPPYRSHLDVVVACEDDEDNDINIPQISVYYR >KJB25949 pep chromosome:Graimondii2_0_v6:4:55127406:55132949:1 gene:B456_004G217800 transcript:KJB25949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP63 [Source:Projected from Arabidopsis thaliana (AT3G63400) UniProtKB/Swiss-Prot;Acc:Q9LY75] MSKKKNPMVFFDVSIGGDLVERIIIELFADVVPKTAENFRALCTGEKGIGKCTGKPLHYKGTFFHRIIKGFMAQGGDFSKGNGTGGESIYGGKFADENFKLTHDGPGVLSMANSGPNTNGSQFFITFKRQPHLDGKHVVFGKVIKGIEVLKKIELLGTGDGKPAQPIKISDCGETSESKIQDALGKAKVSGKGKKSGKIPSSDSSDDEHARGSKKSLKDKRKKRKRRYSSSDSYSSDSGSDSYSSDSDSDVSSSESSSSSDGRRHRRKKSAKRGKHQRGKKRKDGRRERKKSRQGKRSKRKSKWSSDSSTDSESEGTSTSSGSDDGKASLRRKKPISRSQPAEDESPQNIGLQRNKLKVTEDNSHEEGELSPKSDELANNGHGNKAAATNLHTNADGSDRLRSVSPTPKRGPNNSRRSSRSMSPPKDDVRSSPRKSGARTSRSPLNSPSRKAPASNQGRIASRSPSPGGTSKRIRKGRGFTNRYSFARRYRTPSPERSPPRSYAYGGRNVPERNHDRYSSYRNHSDRSTRRRYRSPPRGRSPPRYEGRKSRSPSRSPVHYRRRYRDHSESQSPIQSPSPRDKRPAISEGLKSRLGPRIDDQQRSPREQIRVQTSRSKSNASSHSRSPDISPSRKRNRKSQSPNTSKSSSPSGQRGLVSYAD >KJB25950 pep chromosome:Graimondii2_0_v6:4:55127479:55132927:1 gene:B456_004G217800 transcript:KJB25950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP63 [Source:Projected from Arabidopsis thaliana (AT3G63400) UniProtKB/Swiss-Prot;Acc:Q9LY75] MSKKKNPMVFFDVSIGGDLVERIIIELFADVVPKTAENFRALCTGEKGIGKCTGKPLHYKGTFFHRIIKGFMAQGGDFSKGNGTGGESIYGGKFADENFKLTHDGPGVLSMANSGPNTNGSQFFITFKRQPHLDGKHVVFGKVIKGIEVLKKIELLGTGDGKPAQPIKISDCGETSESKIQDALGKAKVSGKGKKSGKIPSSDSSDDEHARGNSDVSSSESSSSSDGRRHRRKKSAKRGKHQRGKKRKDGRRERKKSRQGKRSKRKSKWSSDSSTDSESEGTSTSSGSDDGKASLRRKKPISRSQPAEDESPQNIGLQRNKLKVTEDNSHEEGELSPKSDELANNGHGNKAAATNLHTNADGSDRLRSVSPTPKRGPNNSRRSSRSMSPPKDDVRSSPRKSGARTSRSPLNSPSRKAPASNQGRIASRSPSPGGTSKRIRKGRGFTNRYSFARRYRTPSPERSPPRSYAYGGRNVPERNHDRYEGRKSRSPSRSPVHYRRRYRDHSESQSPIQSPSPRDKRPAISEGLKSRLGPRIDDQQRSPREQIRVQTSRSKSNASSHSRSPDISPSRKRNRKSQSPNTSKSSSPSGQRGLVSYAD >KJB25952 pep chromosome:Graimondii2_0_v6:4:55127479:55132927:1 gene:B456_004G217800 transcript:KJB25952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP63 [Source:Projected from Arabidopsis thaliana (AT3G63400) UniProtKB/Swiss-Prot;Acc:Q9LY75] MSKKKNPMVFFDVSIGGDLVERIIIELFADVVPKTAENFRALCTGEKGIGKCTGKPLHYKGTFFHRIIKGFMAQGGDFSKGNGTGGESIYGGKFADENFKLTHDGPGVLSMANSGPNTNGSQFFITFKRQPHLDGKHVVFGKVIKGIEVLKKIELLGTGDGKPAQPIKISDCGETSESKIQDALGKAKVSGKGKKSGKIPSSDSSDDEHARGNSDVSSSESSSSSDGRRHRRKKSAKRGKHQRGKKRKDGRRERKKSRQGKRSKRKSKWSSDSSTDSESEGTSTSSGSDDGKASLRRKKPISRSQPAEDESPQNIGLQRNKLKVTEDNSHEEGELSPKSDELANNGHGNKAAATNLHTNADGSDRLRSVSPTPKRGPNNSRRSSRSMSPPKDDVRSSPRKSGARTSRSPLNSPSRKAPASNQGRIASRSPSPGGTSKRIRKGRGFTNRYSFARRYRTPSPERSPPRSYAYGGRNVPERNHDRYSSYRNHSDRSTRRRYRSPPRGRSPPRYEGRKSRSPSRSPVHYRRRYRDHSESQSPIQSPSPRDKRPAISEGLKSRLGPRIDDQQRSPREQIRVQTSRSKSNASSHSRSPDISPSRKRNRKSQSPNTSKSSSPSGQRGLVSYAD >KJB25951 pep chromosome:Graimondii2_0_v6:4:55127479:55132927:1 gene:B456_004G217800 transcript:KJB25951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP63 [Source:Projected from Arabidopsis thaliana (AT3G63400) UniProtKB/Swiss-Prot;Acc:Q9LY75] MSKKKNPMVFFDVSIGGDLVERIIIELFADVVPKTAENFRALCTGEKGIGKCTGKPLHYKGTFFHRIIKGFMAQGGDFSKGNGTGGESIYGGKFADENFKLTHDGPGVLSMANSGPNTNGSQFFITFKRQPHLDGKHVVFGKVIKGIEVLKKIELLGTGDGKPAQPIKISDCGETSESKIQDALGKAKDSDVSSSESSSSSDGRRHRRKKSAKRGKHQRGKKRKDGRRERKKSRQGKRSKRKSKWSSDSSTDSESEGTSTSSGSDDGKASLRRKKPISRSQPAEDESPQNIGLQRNKLKVTEDNSHEEGELSPKSDELANNGHGNKAAATNLHTNADGSDRLRSVSPTPKRGPNNSRRSSRSMSPPKDDVRSSPRKSGARTSRSPLNSPSRKAPASNQGRIASRSPSPGGTSKRIRKGRGFTNRYSFARRYRTPSPERSPPRSYAYGGRNVPERNHDRYSSYRNHSDRSTRRRYRSPPRGRSPPRYEGRKSRSPSRSPVHYRRRYRDHSESQSPIQSPSPRDKRPAISEGLKSRLGPRIDDQQRSPREQIRVQTSRSKSNASSHSRSPDISPSRKRNRKSQSPNTSKSSSPSGQRGLVSYAD >KJB25953 pep chromosome:Graimondii2_0_v6:4:55127479:55132927:1 gene:B456_004G217800 transcript:KJB25953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP63 [Source:Projected from Arabidopsis thaliana (AT3G63400) UniProtKB/Swiss-Prot;Acc:Q9LY75] MSKKKNPMVFFDVSIGGDLVERIIIELFADVVPKTAENFRALCTGEKGIGKCTGKPLHYKGTFFHRIIKGFMAQGGDFSKGNGTGGESIYGGKFADENFKLTHDGPGVLSMANSGPNTNGSQFFITFKRQPHLDGKHVVFGKVIKGIEVLKKIELLGTGDGKPAQPIKISDCGETSESKIQDALGKAKVSGKGKKSGKIPSSDSSDDEHARGSKKSLKDKRKKRKRRYSSSDSYSSDSGSDSYSSDSDSDVSSSESSSSSDGRRHRRKKSAKRGKHQRGKKRKDGRRERKKSRQGKRSKRKSKWSSDSSTDSESEGTSTSSGSDDGKASLRRKKPISRSQPAEDESPQNIGLQRNKLKVTEDNSHEEGELSPKSDELANNGHGNKAAATNLHTNADGSDRLRSVSPTPKRGPNNSRRSSRSMSPPKDDVRSSPRKSGARTSRSPLNSPSRKAPASNQGRIASRSPSPGGTSKRIRKGRGFTNRYSFARRYRTPSPERSPPRSYAYGGRNVPERNHDRYEGRKSRSPSRSPVHYRRRYRDHSESQSPIQSPSPRDKRPAISEGLKSRLGPRIDDQQRSPREQIRVQTSRSKSNASSHSRSPDISPSRKRNRKSQSPNTSKSSSPSGQRGLVSYAD >KJB22386 pep chromosome:Graimondii2_0_v6:4:3962809:3966984:1 gene:B456_004G045000 transcript:KJB22386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVKFTALSSILSHLLLSISLVEAFIRCYDTGNFTINSTYGKNRDLILASLPPNVSAKGGFFTANVGQNAYKVYALGMCRGDSSRDDCYKCVNSTIHDLKANCPNQKEALSWEGQPCHVHYADRSFYGTLEELGNPEAGYNTGDIKSNLTEFDTIWESLMDTVVRNASNGSSTRKYATREADFTVFQKIYALMQCTPDLSHEDCDSCLRQSVSNYESCCHGKQGGYVTRPSCYFRWDLYPFYSITTPSPSPDIKGINLTYQSFRCYNDSGSFTTSSTYGKNLDHILDSLPHNVSENGGFYEAIGGQDSNKAYALGMCRGDLSKGDCYRCVSSSVNDLRVKCFHWKEAVSLAVLRPCIVHYANRTFFGNLEVEPTDAAHNNESIKSDVLTKFEMAWDGLVVSLRRNASNGSSKFYATEEAKFTESQTIYALMQCTPDLSQENCWTCLKRATETHNDCCRAKQGGFVQKPNCYFLWDLHPFYALGPDNTFTKDETKQNKPIWIPLGASLSATLGLALFSACGFFIWRRRNGQEDKGQEVQLLDLVMGSVPRGNSSENFDLQNIGRSQEFPSIQLNILQAATNNFCDENKLGQGGFGPVYKGTLADGKEIAVKRLSRTSGQGLLEFKNEVMLIAKLQHRNLVRLLGCCLEKNEKLLVYEFMPNKSLDMFLFDSSLPAQLVWQKRFNIIKGTARGIMYLHEDSRLRIIHRDLKASNVLLDHEMNPKISDFGMAKIFGRDQNEANTNRVVGT >KJB23697 pep chromosome:Graimondii2_0_v6:4:23487587:23489250:1 gene:B456_004G110300 transcript:KJB23697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYDPNLRLPLWPSPEAARKGIMSIWDQSDIIKVSEDEITFLTGGDDPYDDNVVMKKLFHPNLKLLVVTEGSEGCRYYTKAFKSRIPGIKDKPVDTTGAGDAFVSGLLNSLASDSKLFQHGVTRSN >KJB27188 pep chromosome:Graimondii2_0_v6:4:61472682:61479990:-1 gene:B456_004G283400 transcript:KJB27188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNKMQVDELKTMKDYREMLVKSMFRIALNRRLFRISRRKDPPYFSCSVASYVLVRDLKAYIMSSSCKEKGTLEALESMLIEVARVRLHGFSEREISVVRDLLMSSIESAYLERDQIESTSLRYEYSQHFTHNKPIIGIEYEAQLQKSILPDILASEVSKFAEKLWTSCSCVIQIVEPQAFASVDDMKNIVMKINKLENERSISPWDDEQIPEEIVSLKPNIGNIVQQREHENIGATELILSNGMHVCYKCTDFFNDQVLFTGFSYGGLSELPENKYFSSSMGSTIAEEIGMYGHRPSVLMDMLAGKRVDVDVEVRSYKRSFYGDCSPFYLETAFQLVYQLFTTEVTPDDEVIKRVMQVKEETILARERDPYTSFSNRAREINYGNSYFFRPFGISDLQKVDPLKACEYFNTCFKDPSTFTVVIVGKINPTVTVPLILKYLGGIGKPPEPIFHFNCDRIKSLPFKFPKSMTREVVCSPMIEAQCMVRICLPIELKHGTMEEEIHYTGFLAKLLETKLLQLLRFKHGQIYSASVSEFIHGDLPCLTGDIGGDIQIDFSCDPKISLKLVDMALNEILRLQEEGPADQDVETILEIEQRAHENGLQENYYWLNLILGSYQSRIYSGDIGTSFKILDETRSKVRKSLTSLTMQLALRRIMPCNNPHTVVILMPQTSWFKRIKSFSQWTLHGIDMKILAAIAGFTVLALSLKRYSKRS >KJB27189 pep chromosome:Graimondii2_0_v6:4:61474416:61482723:-1 gene:B456_004G283400 transcript:KJB27189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSPHNHQPLIQRKQSFGSLEMVNMELDKQLDLQQPFGVDYGKLENGLVYYVKCNPKPRKRASLALAVKVGSILEEEYERGVAHIVEHLAFSATKKYTNHNIVKFLESIGAEFGPCQNAVTSFDETIYKLLIPIDKPELLSEAIQVLAEFSSEIRLSKDDLEKERGAVMEEYRDNRNANGRILDAYWTLMMEGSKYADRSPIGLENVIKTVSSQTLKKFYQKWYHLCNMAVIAVGDFSDTKSVIELIKTHFGHKYSALDPPSIPHFKVPSHEDPRFSYFVEPEASGCAVMISNKMQVDELKTMKDYREMLVKSMFRIALNRRLFRISRRKDPPYFSCSVASYVLVRDLKAYIMSSSCKEKGTLEALESMLIEVARVRLHGFSEREISVVRDLLMSSIESAYLERDQIESTSLRYEYSQHFTHNKPIIGIEYEAQLQKSILPDILASEVSKFAEKLWTSCSCVIQIVEPQAFASVDDMKNIVMKINKLENERSISPWDDEQIPEEIVSLKPNIGNIVQQREHENIGATELILSNGMHVCYKCTDFFNDQVLFTGFSYGGLSELPENKYFSSSMGSTIAEEIGMYGHRPSVLMDMLAGKRVDVDVEVRSYKRSFYGDCSPFYLETAFQLVYQLFTTEVTPDDEVIKRVMQVKEETILARERDPYTSFSNRAREINYGNSYFFRPFGISDLQKVDPLKACEYFNTCFKDPSTFTVVIVGKINPTVTVPLILKYLGGIGKPPEPIFHFNCDRIKSLPFKFPKSMTREVVCSPMIEAQCMVRICLPIELKHGTMEEEIHYTGFLAKLLETKLLQLLRFKHGQIYSASVSEFIHGDLPCLTGDIGGDIQIDFSCDPKISLKLVDMALNEILRLQEEGPADQDVETILEIEQRAHENGLQVKFLLLYAFNIYYI >KJB27187 pep chromosome:Graimondii2_0_v6:4:61472676:61482823:-1 gene:B456_004G283400 transcript:KJB27187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSPHNHQPLIQRKQSFGSLEMVNMELDKQLDLQQPFGVDYGKLENGLVYYVKCNPKPRKRASLALAVKVGSILEEEYERGVAHIVEHLAFSATKKYTNHNIVKFLESIGAEFGPCQNAVTSFDETIYKLLIPIDKPELLSEAIQVLAEFSSEIRLSKDDLEKERGAVMEEYRDNRNANGRILDAYWTLMMEGSKYADRSPIGLENVIKTVSSQTLKKFYQKWYHLCNMAVIAVGDFSDTKSVIELIKTHFGHKYSALDPPSIPHFKVPSHEDPRFSYFVEPEASGCAVMISNKMQVDELKTMKDYREMLVKSMFRIALNRRLFRISRRKDPPYFSCSVASYVLVRDLKAYIMSSSCKEKGTLEALESMLIEVARVRLHGFSEREISVVRDLLMSSIESAYLERDQIESTSLRYEYSQHFTHNKPIIGIEYEAQLQKSILPDILASEVSKFAEKLWTSCSCVIQIVEPQAFASVDDMKNIVMKINKLENERSISPWDDEQIPEEIVSLKPNIGNIVQQREHENIGATELILSNGMHVCYKCTDFFNDQVLFTGFSYGGLSELPENKYFSSSMGSTIAEEIGMYGHRPSVLMDMLAGKRVDVDVEVRSYKRSFYGDCSPFYLETAFQLVYQLFTTEVTPDDEVIKRVMQVKEETILARERDPYTSFSNRAREINYGNSYFFRPFGISDLQKVDPLKACEYFNTCFKDPSTFTVVIVGKINPTVTVPLILKYLGGIGKPPEPIFHFNCDRIKSLPFKFPKSMTREVVCSPMIEAQCMVRICLPIELKHGTMEEEIHYTGFLAKLLETKLLQLLRFKHGQIYSASVSEFIHGDLPCLTGDIGGDIQIDFSCDPKISLKLVDMALNEILRLQEEGPADQDVETILEIEQRAHENGLQENYYWLNLILGSYQSRIYSGDIGTSFKILDETRSKVRKSLTSLTMQLALRRIMPCNNPHTVVILMPQTSWFKRIKSFSQWTLHGIDMKILAAIAGFTVLALSLKRYSKRS >KJB27203 pep chromosome:Graimondii2_0_v6:4:61568444:61572441:-1 gene:B456_004G284600 transcript:KJB27203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPLSFYRSYFLSKTMSFLRRRNGTDSSKTRVADPNIKKDGAADDDKKKDEYKIPQRRNYGSKKWSCWDNCCWFIGLICSIWWFCLFLYNTMPDSIPQFVTMAITGPLPDPPGVKLRKEGLMANHPVVLVPGVVTGGLELWEGRHCAEGLLGKRLWGGSFGEFYKRPLCWLEHISLDNDTGFDPPGIRVRPVTGLVAADYFATGYFVWAVVIANLAHIGYEEKSLYMAAYDWRLSFQNTEIRDQSLTRIKSNIELLVATHGGKKVVVLPHSMGVQYFLHFMKWVETPAPRGGGGGPDWCAKHIKAIMNIGAPFLGSPKSIALHFSIEVRDIAALRAQAPGLLDKDVLGLQTFKHLMRMFRTWDATFSMIPKGGETIWGGLDWSPETETVNNSAKKGTDNSTRNTGGNGNICNTKGVNYGRLISFSKDWAETHSSKIERVDFKHVAKGDKLTNSSNCDIWLEYHEMGNRAIKAVADHKVYTAGSILDLLHYVAPKLMARGASHFSYGIADDLDDPKYDEYKYWSNPLETKLPNAPDMEIYSLYGVGLPTERGYIYKVAPPSDCSIPFQIDTSVDGDSEDSCLKGGVFSGDGDETVPIISAGFMCAKGWRGKTRFNPSGIRTYNREYKHAPPANLLEGRGTQSGSHVDLLGNFALIEDVLRVAAGATGEDIGGDRVYSDIFKWSERINIKL >KJB27204 pep chromosome:Graimondii2_0_v6:4:61568500:61570907:-1 gene:B456_004G284600 transcript:KJB27204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQYFLHFMKWVETPAPRGGGGGPDWCAKHIKAIMNIGAPFLGSPKSIALHFSIEVRDIAALRAQAPGLLDKDVLGLQTFKHLMRMFRTWDATFSMIPKGGETIWGGLDWSPETETVNNSAKKGTDNSTRNTGGNGNICNTKGVNYGRLISFSKDWAETHSSKIERVDFKHVAKGDKLTNSSNCDIWLEYHEMGNRAIKAVADHKVYTAGSILDLLHYVAPKLMARGASHFSYGIADDLDDPKYDEYKYWSNPLETKLPNAPDMEIYSLYGVGLPTERGYIYKVAPPSDCSIPFQIDTSVDGDSEDSCLKGGVFSGDGDETVPIISAGFMCAKGWRGKTRFNPSGIRTYNREYKHAPPANLLEGRGTQSGSHVDLLGNFALIEDVLRVAAGATGEDIGGDRVYSDIFKWSERINIKL >KJB27205 pep chromosome:Graimondii2_0_v6:4:61569543:61572150:-1 gene:B456_004G284600 transcript:KJB27205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPLSFYRSYFLSKTMSFLRRRNGTDSSKTRVADPNIKKDGAADDDKKKDEYKIPQRRNYGSKKWSCWDNCCWFIGLICSIWWFCLFLYNTMPDSIPQFVTMAITGPLPDPPGVKLRKEGLMANHPVVLVPGVVTGGLELWEGRHCAEGLLGKRLWGGSFGEFYKRPLCWLEHISLDNDTGFDPPGIRVRPVTGLVAADYFATGYFVWAVVIANLAHIGYEEKSLYMAAYDWRLSFQNTEIRDQSLTRIKSNIELLVATHGGKKVVVLPHSMGVQYFLHFMKWVETPAPRGGGGGPDWCAKHIKAIMNIGAPFLGSPKSIALHFSIEVRDIAALRAQAPGLLDKDVLGLQTFKHLMRMFRTWDATFSMIPKGGETIWGGLDWSPETETVNNSAKKGTDNSTRNTGGNGNICNTKGVNYGRLISFSKDWAETHSSKIERVDFKHVAKGDKLTNSSNCDIWLEYHEMGNRAIKAVADHKVYTAGSILDLLHYVAPKLMARGASHFSYGIADDLDDPKYDEYKYWSNPLETK >KJB27206 pep chromosome:Graimondii2_0_v6:4:61568500:61572404:-1 gene:B456_004G284600 transcript:KJB27206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKFRYSSLNLPLCWLEHISLDNDTGFDPPGIRVRPVTGLVAADYFATGYFVWAVVIANLAHIGYEEKSLYMAAYDWRLSFQNTEIRDQSLTRIKSNIELLVATHGGKKVVVLPHSMGVQYFLHFMKWVETPAPRGGGGGPDWCAKHIKAIMNIGAPFLGSPKSIALHFSIEVRDIAALRAQAPGLLDKDVLGLQTFKHLMRMFRTWDATFSMIPKGGETIWGGLDWSPETETVNNSAKKGTDNSTRNTGGNGNICNTKGVNYGRLISFSKDWAETHSSKIERVDFKHVAKGDKLTNSSNCDIWLEYHEMGNRAIKAVADHKVYTAGSILDLLHYVAPKLMARGASHFSYGIADDLDDPKYDEYKYWSNPLETKLPNAPDMEIYSLYGVGLPTERGYIYKVAPPSDCSIPFQIDTSVDGDSEDSCLKGGVFSGDGDETVPIISAGFMCAKGWRGKTRFNPSGIRTYNREYKHAPPANLLEGRGTQSGSHVDLLGNFALIEDVLRVAAGATGEDIGGDRVYSDIFKWSERINIKL >KJB27207 pep chromosome:Graimondii2_0_v6:4:61568500:61572404:-1 gene:B456_004G284600 transcript:KJB27207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPLSFYRSYFLSKTMSFLRRRNGTDSSKTRVADPNIKKDGAADDDKKKDEYKIPQRRNYGSKKWSCWDNCCWFIGLICSIWWFCLFLYNTMPDSIPQFVTMAITGPLPDPPGVKLRKEGLMANHPVVLVPGVVTGGLELWEGRHCAEGLLGKRLWGGSFGEFYKRPLCWLEHISLDNDTGFDPPGIRVRPVTGLVAADYFATGYFVWAVVIANLAHIGYEEKSLYMAAYDWRLSFQNTEIRDQSLTRIKSNIELLVATHGGKKVVVLPHSMGVQYFLHFMKWVETPAPRGGGGGPDWCAKHIKAIMNIGAPFLGSPKSIALHFSIEVRDIAALRAQAPGLLDKDVLGLQTFKHLMRMFRTWDATFSMIPKGGETIWGGLDWSPETETVNNSAKKGTDNSTRNTGGNGNICNTKGVNYGRLISFSKDWAETHSSKIERVDFKGDKLTNSSNCDIWLEYHEMGNRAIKAVADHKVYTAGSILDLLHYVAPKLMARGASHFSYGIADDLDDPKYDEYKYWSNPLETKLPNAPDMEIYSLYGVGLPTERGYIYKVAPPSDCSIPFQIDTSVDGDSEDSCLKGGVFSGDGDETVPIISAGFMCAKGWRGKTRFNPSGIRTYNREYKHAPPANLLEGRGTQSGSHVDLLGNFALIEDVLRVAAGATGEDIGGDRVYSDIFKWSERINIKL >KJB21360 pep chromosome:Graimondii2_0_v6:4:21153749:21157836:-1 gene:B456_004G104700 transcript:KJB21360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIELKTAPADFRFPTTNQTRHCFTRYIEFHRCLAAKGEESNQCEKFAKYYRSLCPGEWIDKWNEQRENGTFPGPL >KJB21359 pep chromosome:Graimondii2_0_v6:4:21153749:21157773:-1 gene:B456_004G104700 transcript:KJB21359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIELKTAPADFRFPTTNQTRHCFTRYIEFHRSNCRCLAAKGEESNQCEKFAKYYRSLCPGEWIDKWNEQRENGTFPGPL >KJB21358 pep chromosome:Graimondii2_0_v6:4:21153749:21157003:-1 gene:B456_004G104700 transcript:KJB21358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRYRIELKTAPADFRFPTTNQTRHCFTRYIEFHRCLAAKGEESNQCEKFAKYYRSLCPGEWIDKWNEQRENGTFPGPL >KJB25098 pep chromosome:Graimondii2_0_v6:4:48547215:48553067:1 gene:B456_004G177500 transcript:KJB25098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWRNAVQEAAASKPLFLTIYTTIIVGIVATSFYVFSAIYSPSAPTSTQSISTSWLSSPPLSQNGGSNFSNNISQPTDKASQPGSNQLKTIWEAPPSNSKMPPLESFKLTKELVGERAKDNVIIVTFGNFAFMDFILTWVKHLTDLDVSNLLVGAMDTKLLEALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVLLINAILPFGYELLMCDTDMVWLKDPLPYLAQYPDADILTSSDQVVPTVVDDRLADWKQVGAAYNIGIFHWRPTEPAIKLAKEWKDMLLADDKIWDQNGFNELARKQTGPAVDDDSGLFYAFDGTLKLGILPESIFCSGHTYFVQAMYEQLRLEPYALHTTFQYGGTEGKRHRLREAMVFYDPPEYYDAPGGFLSFKPSIPKSLLLDGEHNLESHFSLINYQMKQIRSALAIASLLNRTLVMPPLWCRLDRLWFSHPGVLEGSMTRQPFLCPLDHVFEVNVMLKDLPEEEFGPEINIREYSFLNNPLLPQQVKESWLDVQLCQEGTEDCHASSNTSRPGLLRFPKNSTEEMVWLILHLLM >KJB25105 pep chromosome:Graimondii2_0_v6:4:48548241:48553067:1 gene:B456_004G177500 transcript:KJB25105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWNRCDLGAMDTKLLEALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVLLINAILPFGYELLMCDTDMVWLKDPLPYLAQYPDADILTSSDQVVPTVVDDRLADWKQVGAAYNIGIFHWRPTEPAIKLAKEWKDMLLADDKIWDQNGFNELARKQTGPAVDDDSGLFYAFDGTLKLGILPESIFCSGHTYFVQAMYEQLRLEPYALHTTFQYGGTEGKRHRLREAMVFYDPPEYYDAPGGFLSFKPSIPKSLLLDGEHNLESHFSLINYQMKQIRSALAIASLLNRTLVMPPLWCRLDRLWFSHPGVLEGSMTRQPFLCPLDHVFEVNVMLKDLPEEEFGPEINIREYSFLNNPLLPQQVKESWLDVQLCQEGTEDCHASSNTSRPGLLRFPKNSTEEMFKTVFSSFKDVKVIQFSSMQGAFYSFTDKTREGKFRNRMKRYVGIWCCVENHTPGHIYYDMYWDEKPGWKPAPPQTPEEDHPPF >KJB25100 pep chromosome:Graimondii2_0_v6:4:48547215:48553067:1 gene:B456_004G177500 transcript:KJB25100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWRNAVQEAAASKPLFLTIYTTIIVGIVATSFYVFSAIYSPSAPTSTQSISTSWLSSPPLSQNGGSNFSNNISQPTDKASQPGSNQLKTIWEAPPSNSKMPPLESFKLTKELVGERAKDNVIIVTFGNFAFMDFILTWVKHLTDLDVSNLLVGAMDTKLLEALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVLLINAILPFGYELLMCDTDMVWLKDPLPYLAQYPDADILTSSDQVVPTVVDDRLADWKQVGAAYNIGIFHWRPTEPAIKLAKEWKDMLLADDKIWDQNGFNELARKQTGPAVDDDSGLFYAFDGTLKLGILPESIFCSGHTYFVQAMYEQLRLEPYALHTTFQYGGTEGKRHRLREAMVFYDPPEYYDAPGGFLSFKPSIPKSLLLDGEHNLESHFSLINYQMKQIRSALAIASLLNRTLVMPPLWCRLDRLWFSHPGVLEGSMTRQPFLCPLDHVFEVNVMLKDLPEEEFGPEINIREYSFLNNPLLPQQVNENISDRD >KJB25104 pep chromosome:Graimondii2_0_v6:4:48547215:48553067:1 gene:B456_004G177500 transcript:KJB25104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWRNAVQEAAASKPLFLTIYTTIIVGIVATSFYVFSAIYSPSAPTSTQSISTSWLSSPPLSQNKASQPGSNQLKTIWEAPPSNSKMPPLESFKLTKELVGERAKDNVIIVTFGNFAFMDFILTWVKHLTDLDVSNLLVGAMDTKLLEALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVLLINAILPFGYELLMCDTDMVWLKDPLPYLAQYPDADILTSSDQVVPTVVDDRLADWKQVGAAYNIGIFHWRPTEPAIKLAKEWKDMLLADDKIWDQNGFNELARKQTGPAVDDDSGLFYAFDGTLKLGILPESIFCSGHTYFVQAMYEQLRLEPYALHTTFQYGGTEGKRHRLREAMVFYDPPEYYDAPGGFLSFKPSIPKSLLLDGEHNLESHFSLINYQMKQIRSALAIASLLNRTLVMPPLWCRLDRLWFSHPGVLEGSMTRQPFLCPLDHVFEVNVMLKDLPEEEFGPEINIREYSFLNNPLLPQQVKESWLDVQLCQEGTEDCHASSNTSRPGLLRFPKNSTEEMFKTVFSSFKDVKVIQFSSMQGAFYSFTDKTREGKFRNRMKRYVGIWCCVENHTPGHIYYDMYWDEKPGWKPAPPQTPEEDHPPF >KJB25101 pep chromosome:Graimondii2_0_v6:4:48547215:48553067:1 gene:B456_004G177500 transcript:KJB25101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWRNAVQEAAASKPLFLTIYTTIIVGIVATSFYVFSAIYSPSAPTSTQSISTSWLSSPPLSQNGGSNFSNNISQPTDKASQPGSNQLKTIWEAPPSNSKMPPLESFKLTKELVGERAKDNVIIVTFGNFAFMDFILTWVKHLTDLDVSNLLVGAMDTKLLEALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVLLINAILPFGYELLMCDTDMVWLKDPLPYLAQYPDADILTSSDQVVPTVVDDRLADWKQVGAAYNIGIFHWRPTEPAIKLAKEWKDMLLADDKIWDQNGFNELARKQTGPAVDDDSGLFYAFDGTLKLGILPESIFCSGHTYFVQAMYEQLRLEPYALHTTFQYGGTEGKRHRLREAMVFYDPPEYYDAPGGFLSFKPSIPKSLLLDGEHNLESHFSLINYQMKQIRSALAIASLLNRTLVMPPLWCRLDRLWFSHPGVLEGSMTRQPFLCPLDHVFEVNVMLKDLPEEEFGPEINIREYSFLNNPLLPQQVKESWLDVQLCQEGTEDCHASSNTSRPGLLRFPKNSTEEMFKTVFSSFKDVKVIQFSSMQGAFYSFTDKAKRGNSGTV >KJB25103 pep chromosome:Graimondii2_0_v6:4:48547263:48553067:1 gene:B456_004G177500 transcript:KJB25103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLESFKLTKELVGERAKDNVIIVTFGNFAFMDFILTWVKHLTDLDVSNLLVGAMDTKLLEALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVLLINAILPFGYELLMCDTDMVWLKDPLPYLAQYPDADILTSSDQVVPTVVDDRLADWKQVGAAYNIGIFHWRPTEPAIKLAKEWKDMLLADDKIWDQNGFNELARKQTGPAVDDDSGLFYAFDGTLKLGILPESIFCSGHTYFVQAMYEQLRLEPYALHTTFQYGGTEGKRHRLREAMVFYDPPEYYDAPGGFLSFKPSIPKSLLLDGEHNLESHFSLINYQMKQIRSALAIASLLNRTLVMPPLWCRLDRLWFSHPGVLEGSMTRQPFLCPLDHVFEVNVMLKDLPEEEFGPEINIREYSFLNNPLLPQQVKESWLDVQLCQEGTEDCHASSNTSRPGLLRFPKNSTEEMFKTVFSSFKDVKVIQFSSMQGAFYSFTDKTREGKFRNRMKRYVGIWCCVENHTPGHIYYDMYWDEKPGWKPAPPQTPEEDHPPF >KJB25099 pep chromosome:Graimondii2_0_v6:4:48547390:48551744:1 gene:B456_004G177500 transcript:KJB25099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWRNAVQEAAASKPLFLTIYTTIIVGIVATSFYVFSAIYSPSAPTSTQSISTSWLSSPPLSQNGGSNFSNNISQPTDKASQPGSNQLKTIWEAPPSNSKMPPLESFKLTKELVGERAKDNVIIVTFGNFAFMDFILTWVKHLTDLDVSNLLVGAMDTKLLEALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVLLINAILPFGYELLMCDTDMVWLKDPLPYLAQYPDADILTSSDQVVPTVVDDRLADWKQVGAAYNIGIFHWRPTEPAIKLAKEWKDMLLADDKIWDQNGFNELARKQTGPAVDDDSGLFYAFDGTLKLGILPESIFCSGHTYFVQAMYEQLRLEPYALHTTFQYGGTEGKRHRLREAMVFYDPPEYYDAPGGFLSFKPSIPKSLLLDGEHNLESHFSLINYQMKQIRSALAIASLLNRTLVMPPLWCRLDRLWFSHPGVLEGSMTRQPFLCPLDHVFEVNVMLKDLPEEEFGPEINIREYSFLNNPLLPQQVNENISDRD >KJB25097 pep chromosome:Graimondii2_0_v6:4:48547215:48550291:1 gene:B456_004G177500 transcript:KJB25097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWRNAVQEAAASKPLFLTIYTTIIVGIVATSFYVFSAIYSPSAPTSTQSISTSWLSSPPLSQNGGSNFSNNISQPTDKASQPGSNQLKTIWEAPPSNSKMPPLESFKLTKELVGERAKDNVIIVTFGNFAFMDFILTWVKHLTDLDVSNLLVGAMDTKLLEALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVLLINAILPFGYELLMCDTDMVWLKDPLPYLAQYPDADILTSSDQVVPTVVDDRLADWKQVGAAYNIGIFHWRPTEPAIKLAKEWKDMLLADDKIWDQNGFNELARKQTGPAVDDDSGLFYAFDGTLKLGILPESIFCSGHTYFVQAMYEQLRLEPYALHTTFQYGGTEGKRHRLREAMVFYDPPEYYDAPGNFVPVLFQM >KJB25102 pep chromosome:Graimondii2_0_v6:4:48547215:48553086:1 gene:B456_004G177500 transcript:KJB25102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWRNAVQEAAASKPLFLTIYTTIIVGIVATSFYVFSAIYSPSAPTSTQSISTSWLSSPPLSQNGGSNFSNNISQPTDKASQPGSNQLKTIWEAPPSNSKMPPLESFKLTKELVGERAKDNVIIVTFGNFAFMDFILTWVKHLTDLDVSNLLVGAMDTKLLEALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVLLINAILPFGYELLMCDTDMVWLKDPLPYLAQYPDADILTSSDQVVPTVVDDRLADWKQVGAAYNIGIFHWRPTEPAIKLAKEWKDMLLADDKIWDQNGFNELARKQTGPAVDDDSGLFYAFDGTLKLGILPESIFCSGHTYFVQAMYEQLRLEPYALHTTFQYGGTEGKRHRLREAMVFYDPPEYYDAPGGFLSFKPSIPKSLLLDGEHNLESHFSLINYQMKQIRSALAIASLLNRTLVMPPLWCRLDRLWFSHPGVLEGSMTRQPFLCPLDHVFEVNVMLKDLPEEEFGPEINIREYSFLNNPLLPQQVKESWLDVQLCQEGTEDCHASSNTSRPGLLRFPKNSTEEMFKTVFSSFKDVKVIQFSSMQGAFYSFTDKTREGKFRNRMKRYVGIWCCVENHTPGHIYYDMYWDEKPGWKPAPPQTPEEDHPPF >KJB23323 pep chromosome:Graimondii2_0_v6:4:13263174:13263874:1 gene:B456_004G092900 transcript:KJB23323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLMHALQYNSYGGGTAALKHVEVRIRTPNKGEILLKLDCRLVCRLVCRLFYNLTNKIIDWFVDLLIQNG >KJB26416 pep chromosome:Graimondii2_0_v6:4:57821218:57822582:-1 gene:B456_004G240200 transcript:KJB26416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSCFPRCGFARIIAWLQLVLGILVIVVSISSLFRFYSAGFFVHNEDICRHFYGPKDVYQGFDINDRIAEVLTTIENLHKKLEMNLQQMEKSKTRLEYKNYLEVEVLRPVYSAYTALKQLRLPKIGNGTVKEESLIDTFTTEEIRKYIAPKENRIGKINFYGTEKIYNTIGHACILMKKELEEYMDYDIGSYCKDDWNLAQKLMVNGCDPLPRRRCLTRASKVYQKPYPINESLWKLPDDRNVRWGNYQCRNFGCLSGKNPKRGYTKCIGCFEMEKEKLKWVTNTSLQVDFLIRDVLAIKPGQIRIGLDYGVGTGTFAARMRELNVTIVSTALNLGAPFNEIIALRGLIPLYATLNQRLPFFDNTMDLIHTTGFLDGWIDLLLMDFIIFDWDRVLRPGGLLWIDRFFCNKKDMEDYTYMFQQLRYKKHKWVIAPKSQHQVYLSAVLEKPPRAI >KJB24999 pep chromosome:Graimondii2_0_v6:4:47165810:47170247:-1 gene:B456_004G171300 transcript:KJB24999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 4 [Source:Projected from Arabidopsis thaliana (AT3G63500) UniProtKB/Swiss-Prot;Acc:Q84TI3] MKRLRSSDDLDSYEKNASKELNQNQNQNRNRSSFHRSSSSSVGFYYKPSSTFDSNSRAKSNLISSSLSRYDRDRSMADEDSSRERERERLVRKRSEHDFDSFDRRKAGFDRYRESGGGGGSSSSLHRSESFCGPHREFPKGFRSERDRTRRESVCGSSWRRLGIDDVRGSSKVQLRDVRDVSSPTRSRDSLGAGRVVGESREREDLRRRSSKAMSTSMSRSPTWSRDSGSEQSKSVGGGMDETRGSSKVQLKDVRDVSSPTRSRDSLGAGRVVGESREEDLKRRSSKSKSKSRSPTWSRDSGSEQSKSVGGGGGEEKKNEETLVENGTSSEMEEGEFDPEPQAKCETDLATEAGTGNDGKESCQIEMENVEGGMIPNVEGLEEVNEEVVNKKEGDVKDDGELQDCRQNLNGGTKEVVNRREGDVKDNDELQDCGQNLSGGTTGSGDKMDDVAGGEVGKEEEGLKVGGESELDSEDALAMKSSCLEGICKENKGINLEKQLEECEAAESNEEVAEENGEHKINMHVVEIGLSQNVKDKGKGVAVESTNITDSAANGVWNERESKATDLEMEGPSRGFELFSHSPVRRVEKPEQPGANKKEEKLAFESLDLSLSLPNVLLPIGARDTDEVPGSPSHGRSVQSLTNTFRTNSDGFTASMSFSGSQSFYHNPSCSLTQNSMDYEQSVHSRPIFQCVDQLSQGASQSQNESRHKDIPMFQRILMNGNGSFNHSSELQGNSNSQALQAQNMHTLDGSSKIPNVLERQLSLHNDVRSPSQSFGSHEIASNYSLENKQGVREKHGGSLYRSRSQKEQEKLLLGGADCAETVMSSIVSEPILVMARKFYEMMGQSVACLKDNIHKIMLDAGKQGQLRALQEALRNRSDLTLEMLLKSHRGQLEILVSLKTGLPDYLRVDDRIPSSNLAEIFLNLRCRNLMCQTSLPAEDCECKACSKKNGFCSACMCLVCSKFDMASNTCSWVGCDVCLHWCHVDCGLRESYIRNEHTAAEVQFHCVACNHPSQMFGFVKEVFQNFAKEWTLETFSKELEYVKRIFSGSRDLRGKRLHEIANQMLVRLARKSDLSEVYSQIMSFLTDADSSKPDNTTVLSGKEQQVKGVNGMAGPCQDATRLKPVYSDKALQLESASSLLPGFHVDRTGLSEKRPLESELERSGQKQPFLPELDSFVRIKLEEAKMYQARADDARRDAESLKRIAITKDEKIEEEYKSRIKKLRLVEAEEMRKQKFEEFQALERAYRECYGMKTRMEADIKDLLLKMEATRRNLAM >KJB24996 pep chromosome:Graimondii2_0_v6:4:47165200:47170812:-1 gene:B456_004G171300 transcript:KJB24996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 4 [Source:Projected from Arabidopsis thaliana (AT3G63500) UniProtKB/Swiss-Prot;Acc:Q84TI3] MKRLRSSDDLDSYEKNASKELNQNQNQNRNRSSFHRSSSSSVGFYYKPSSTFDSNSRAKSNLISSSLSRYDRDRSMADEDSSRERERERLVRKRSEHDFDSFDRRKAGFDRYRESGGGGGSSSSLHRSESFCGPHREFPKGFRSERDRTRRESVCGSSWRRLGIDDVRGSSKVQLRDVRDVSSPTRSRDSLGAGRVVGESREREDLRRRSSKAMSTSMSRSPTWSRDSGSEQSKSVGGGMDETRGSSKVQLKDVRDVSSPTRSRDSLGAGRVVGESREEDLKRRSSKSKSKSRSPTWSRDSGSEQSKSVGGGGGEEKKNEETLVENGTSSEMEEGEFDPEPQAKCETDLATEAGTGNDGKESCQIEMENVEGGMIPNVEGLEEVNEEVVNKKEGDVKDDGELQDCRQNLNGGTKEVVNRREGDVKDNDELQDCGQNLSGGTTGSGDKMDDVAGGEVGKEEEGLKVGGESELDSEDALAMKSSCLEGICKENKGINLEKQLEECEAAESNEEVAEENGEHKINMHVVEIGLSQNVKDKGKGVAVESTNITDSAANGVWNERESKATDLEMEGPSRGFELFSHSPVRRVEKPEQPGANKKEEKLAFESLDLSLSLPNVLLPIGARDTDEVPGSPSHGRSVQSLTNTFRTNSDGFTASMSFSGSQSFYHNPSCSLTQNSMDYEQSVHSRPIFQCVDQLSQGASQSQNESRHKDIPMFQRILMNGNGSFNHSSELQGNSNSQALQAQNMHTLDGSSKIPNVLERQLSLHNDVRSPSQSFGSHEIASNYSLENKQGVREKHGGSLYRSRSQKEQEKLLLGGADCAETVMSSIVSEPILVMARKFYEMMGQSVACLKDNIHKIMLDAGKQGQLRALQEALRNRSDLTLEMLLKSHRGQLEILVSLKTGLPDYLRVDDRIPSSNLAEIFLNLRCRNLMCQTSLPAEDCECKACSKKNGFCSACMCLVCSKFDMASNTCSWVGCDVCLHWCHVDCGLRESYIRNEHTAAEVQFHCVACNHPSQMFGFVKEVFQNFAKEWTLETFSKELEYVKRIFSGSRDLRGKRLHEIANQMLVRLARKSDLSEVYSQIMSFLTDADSSKPDNTTVLSGKEQQVKGVNGMAGPCQDATRLKPVYSDKALQLESASSLLPGFHVDRTGLSEKRPLESELERSGQKQPFLPELDSFVRIKLEEAKMYQARADDARRDAESLKRIAITKDEKIEEEYKSRIKKLRLVEAEEMRKQKFEEFQALERAYRECYGMKTRMEADIKDLLLKMEATRRNLAM >KJB24995 pep chromosome:Graimondii2_0_v6:4:47165200:47170785:-1 gene:B456_004G171300 transcript:KJB24995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 4 [Source:Projected from Arabidopsis thaliana (AT3G63500) UniProtKB/Swiss-Prot;Acc:Q84TI3] MKRLRSSDDLDSYEKNASKELNQNQNQNRNRSSFHRSSSSSVGFYYKPSSTFDSNSRAKSNLISSSLSRYDRDRSMADEDSSRERERERLVRKRSEHDFDSFDRRKAGFDRYRESGGGGGSSSSLHRSESFCGPHREFPKGFRSERDRTRRESVCGSSWRRLGIDDVRGSSKVQLRDVRDVSSPTRSRDSLGAGRVVGESREREDLRRRSSKAMSTSMSRSPTWSRDSGSEQSKSVGGGMDETRGSSKVQLKDVRDVSSPTRSRDSLGAGRVVGESREEDLKRRSSKSKSKSRSPTWSRDSGSEQSKSVGGGGGEEKKNEETLVENGTSSEMEEGEFDPEPQAKCETDLATEAGTGNDGKESCQIEMENVEGGMIPNVEGLEEVNEEVVNKKEGDVKDDGELQDCRQNLNGGTKEVVNRREGDVKDNDELQDCGQNLSGGTTGSGDKMDDVAGGEVGKEEEGLKVGGESELDSEDALAMKSSCLEGICKENKGINLEKQLEECEAAESNEEVAEENGEHKINMHVVEIGLSQNVKDKGKGVAVESTNITDSAANGVWNERESKATDLEMEGPSRGFELFSHSPVRRVEKPEQPGANKKEEKLAFESLDLSLSLPNVLLPIGARDTDEVPGSPSHGRSVQSLTNTFRTNSDGFTASMSFSGSQSFYHNPSCSLTQNSMDYEQSVHSRPIFQCVDQLSQGASQSQNESRHKDIPMFQRILMNGNGSFNHSSELQGNSNSQALQAQNMHTLDGSSKIPNVLERQLSLHNDVRSPSQSFGSHEIASNYSLENKQGVREKHGGSLYRSRSQKEQEKLLLGGADCAETVMSSIVSEPILVMARKFYEMMGQSVACLKDNIHKIMLDAGKQGQLRALQEALRNRSDLTLEMLLKSHRGQLEILVSLKTGLPDYLRVDDRIPSSNLAEIFLNLRCRNLMCQTSLPAEDCECKACSKKNGFCSACMCLVCSKFDMASNTCSWVGCDVCLHWCHVDCGLRESYIRNEHTAAEVQFHCVACNHPSQMFGFVKEVFQNFAKEWTLETFSKELEYVKRIFSGSRDLRGKRLHEIANQMLVRLARKSDLSEVYSQIMSFLTDADSSKPDNTTVLSGKEQQVKGVNGMAGPCQDATRLKPVYSDKALQLESASSLLPGFHVDRTGLSEKRPLESELERSGQKQPFLPELDSFVRIKLEEAKMYQARADDARRDAESLKRIAITKDEKIEEEYKSRIKKLRLVEAEEMRKQKFEEFQALERAYRECYGMKTRMEADIKDLLLKMEATRRNLAM >KJB24997 pep chromosome:Graimondii2_0_v6:4:47165200:47170526:-1 gene:B456_004G171300 transcript:KJB24997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 4 [Source:Projected from Arabidopsis thaliana (AT3G63500) UniProtKB/Swiss-Prot;Acc:Q84TI3] MSTSMSRSPTWSRDSGSEQSKSVGGGMDETRGSSKVQLKDVRDVSSPTRSRDSLGAGRVVGESREEDLKRRSSKSKSKSRSPTWSRDSGSEQSKSVGGGGGEEKKNEETLVENGTSSEMEEGEFDPEPQAKCETDLATEAGTGNDGKESCQIEMENVEGGMIPNVEGLEEVNEEVVNKKEGDVKDDGELQDCRQNLNGGTKEVVNRREGDVKDNDELQDCGQNLSGGTTGSGDKMDDVAGGEVGKEEEGLKVGGESELDSEDALAMKSSCLEGICKENKGINLEKQLEECEAAESNEEVAEENGEHKINMHVVEIGLSQNVKDKGKGVAVESTNITDSAANGVWNERESKATDLEMEGPSRGFELFSHSPVRRVEKPEQPGANKKEEKLAFESLDLSLSLPNVLLPIGARDTDEVPGSPSHGRSVQSLTNTFRTNSDGFTASMSFSGSQSFYHNPSCSLTQNSMDYEQSVHSRPIFQCVDQLSQGASQSQNESRHKDIPMFQRILMNGNGSFNHSSELQGNSNSQALQAQNMHTLDGSSKIPNVLERQLSLHNDVRSPSQSFGSHEIASNYSLENKQGVREKHGGSLYRSRSQKEQEKLLLGGADCAETVMSSIVSEPILVMARKFYEMMGQSVACLKDNIHKIMLDAGKQGQLRALQEALRNRSDLTLEMLLKSHRGQLEILVSLKTGLPDYLRVDDRIPSSNLAEIFLNLRCRNLMCQTSLPAEDCECKACSKKNGFCSACMCLVCSKFDMASNTCSWVGCDVCLHWCHVDCGLRESYIRNEHTAAEVQFHCVACNHPSQMFGFVKEVFQNFAKEWTLETFSKELEYVKRIFSGSRDLRGKRLHEIANQMLVRLARKSDLSEVYSQIMSFLTDADSSKPDNTTVLSGKEQQVKGVNGMAGPCQDATRLKPVYSDKALQLESASSLLPGFHVDRTGLSEKRPLESELERSGQKQPFLPELDSFVRIKLEEAKMYQARADDARRDAESLKRIAITKDEKIEEEYKSRIKKLRLVEAEEMRKQKFEEFQALERAYRECYGMKTRMEADIKDLLLKMEATRRNLAM >KJB24998 pep chromosome:Graimondii2_0_v6:4:47165162:47170812:-1 gene:B456_004G171300 transcript:KJB24998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 4 [Source:Projected from Arabidopsis thaliana (AT3G63500) UniProtKB/Swiss-Prot;Acc:Q84TI3] MKRLRSSDDLDSYEKNASKELNQNQNQNRNRSSFHRSSSSSVGFYYKPSSTFDSNSRAKSNLISSSLSRYDRDRSMADEDSSRERERERLVRKRSEHDFDSFDRRKAGFDRYRESGGGGGSSSSLHRSESFCGPHREFPKGFRSERDRTRRESVCGSSWRRLGIDDVRGSSKVQLRDVRDVSSPTRSRDSLGAGRVVGESREREDLRRRSSKAMSTSMSRSPTWSRDSGSEQSKSVGGGMDETRGSSKVQLKDVRDVSSPTRSRDSLGAGRVVGESREEDLKRRSSKSKSKSRSPTWSRDSGSEQSKSVGGGGGEEKKNEETLVENGTSSEMEEGEFDPEPQAKCETDLATEAGTGNDGKESCQIEMENVEGGMIPNVEGLEEVNEEVVNKKEGDVKDDGELQDCRQNLNGGTKEVVNRREGDVKDNDELQDCGQNLSGGTTGSGDKMDDVAGGEVGKEEEGLKVGGESELDSEDALAMKSSCLEGICKENKGINLEKQLEECEAAESNEEVAEENGEHKINMHVVEIGLSQNVKDKGKGVAVESTNITDSAANGVWNERESKATDLEMEGPSRGFELFSHSPVRRVEKPEQPGANKKEEKLAFESLDLSLSLPNVLLPIGARDTDEVPGSPSHGRSVQSLTNTFRTNSDGFTASMSFSGSQSFYHNPSCSLTQNSMDYEQSVHSRPIFQCVDQLSQGASQSQNESRHKDIPMFQRILMNGNGSFNHSSELQGNSNSQALQAQNMHTLDGSSKIPNVLERQLSLHNDVRSPSQSFGSHEIASNYSLENKQGVREKHGGSLYRSRSQKEQEKLLLGGADCAETVMSSIVSEPILVMARKFYEMMGQSVACLKDNIHKIMLDAGKQGQLRALQEALRNRSDLTLEMLLKSHRGQLEILVSLKTGLPDYLRVDDRIPSSNLAEIFLNLRCRNLMCQTSLPAEDCECKACSKKNGFCSACMCLVCSKFDMASNTCSWVGCDVCLHWCHVDCGLRESYIRNEHTAAEVQFHCVACNHPSQMFGFVKEVFQNFAKEWTLETFSKELEYVKRIFSGSRDLRGKRLHEIANQMLVRLARKSDLSEVYSQIMSFLTDADSSKPDNTTVLSGKEQQVKGVNGMAGPCQDATRLKPVYSDKALQLESASSLLPGFHVDRTGLSEKRPLESELERSGQKQPFLPELDSFVRIKLEEAKMYQARADDARRDAESLKRIAITKDEKIEEEYKSRIKKLRLVEAEEMRKQKFEEFQALERAYRECYGMKTRMEADIKDLLLKMEATRRNLAM >KJB21694 pep chromosome:Graimondii2_0_v6:4:674106:680178:-1 gene:B456_004G009300 transcript:KJB21694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEKPSWVRHEGMQIFSIDIQPGGLRFATGGGDHKVRVWNMESVGRNLGKDDESTLRLLATLRDHFGSVNCVRWAKHGRFVASGSDDQAILIHERKPGSGTTEFGSGEPPDVENWKVAMTLRGHTADVVDLNWSPDDSMLASGSLDNTIHVWNMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTEGHWAKSLGSTFFRRLGWSPCGHFITTTHGYQKPRHSAPVLERGEWAATFDFLGHNAPVIVVKFNHSMFKRNSANSQEAKATPVGWVNGAAKIGGKESQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFGQSVVDLSWSPDGYSLFACSLDGTVATFHFEVKELGHRLSDAELDELKRSRYGDVRGRQANLAESPAQLLLEAASAKQTTSKKVALDVQQNQIPVKSSLDLGVTNKNSKPPNNDGKKSGLSASDGLNKPVTAAQVTSPVKQREYRRADGRKRIIPEAVGVPTQQENISGGAQSQALDFPVASSDPRKNDNGIVPADCGLREATIRGTVGKNFDLKECSGVTARATITESLVIEKVSAGQDHSINVEQSGSLKPSSSTTGSTKSLSIRVFDEKEGNDLTPVCLEACPKEHAVTDIVGAGNACMTKETEIICTRGGQTLWSDRISGKVLVLAGNANFWAVGCEDGCLQVYTKCGRRALPTMMMGSAATFIDCDESWKLLLVTRKGSLYLWDLLNRTCLLRDSLASLITLDHNSCTKGTIKVISVKLSKSGFPLVVLATRHAFLFDTSLMCWLRVADDCFPASNFASSWNLGSTQTGELASLQVDVRKYLARKPGWSRVTDDGVQTRAHLEAQLASSLALKSPNEYRQSLLSYIRFLAREADESRLREVCESFLGPPTGMASDSKNPTWDAYVLGMKKHKLLREDILPAMASNRKVQRLLNEFMDLLSEYASIENNLDQRDRSPLTVSQPEIDLMDSNPSATCQTDTVEPTTDKKENPSPSIIDQMDSILSNQVNSGTKSSDQVNQAPTSEDPAGS >KJB21695 pep chromosome:Graimondii2_0_v6:4:674240:678621:-1 gene:B456_004G009300 transcript:KJB21695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASGSLDNTIHVWNMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTEGHWAKSLGSTFFRRLGWSPCGHFITTTHGYQKPRHSAPVLERGEWAATFDFLGHNAPVIVVKFNHSMFKRNSANSQEAKATPVGWVNGAAKIGGKESQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFGQSVVDLSWSPDGYSLFACSLDGTVATFHFEVKELGHRLSDAELDELKRSRYGDVRGRQANLAESPAQLLLEAASAKQTTSKKVALDVQQNQIPVKSSLDLGVTNKNSKPPNNDGKKSGLSASDGLNKPVTAAQVTSPVKQREYRRADGRKRIIPEAVGVPTQQENISGGAQSQALDFPVASSDPRKNDNGIVPADCGLREATIRGTVGKNFDLKECSGVTARATITESLVIEKVSAGQDHSINVEQSGSLKPSSSTTGSTKSLSIRVFDEKEGNDLTPVCLEACPKEHAVTDIVGAGNACMTKETEIICTRGGQTLWSDRISGKVLVLAGNANFWAVGCEDGCLQVYTKCGRRALPTMMMGSAATFIDCDESWKLLLVTRKGSLYLWDLLNRTCLLRDSLASLITLDHNSCTKGTIKVISVKLSKSGFPLVVLATRHAFLFDTSLMCWLRVADDCFPASNFASSWNLGSTQTGELASLQVDVRKYLARKPGWSRVTDDGVQTRAHLEAQLASSLALKSPNEYRQSLLSYIRFLAREADESRLREVCESFLGPPTGMASDSKNPTWDAYVLGMKKHKLLREDILPAMASNRKVQRLLNEFMDLLSEYASIENNLDQRDRSPLTVSQPEIDLMDSNPSATCQTDTVEPTTDKKENPSPSIIDQMDSILSNQVNSGTKSSDQVNQAPTSEDPAGS >KJB21696 pep chromosome:Graimondii2_0_v6:4:674240:679946:-1 gene:B456_004G009300 transcript:KJB21696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEKPSWVRHEGMQIFSIDIQPGGLRFATGGGDHKVRVWNMESVGRNLGKDDESTLRLLATLRDHFGSVNCVRWAKHGRFVASGSDDQAILIHERKPGSGTTEFGSGEPPDVENWKVAMTLRGHTADVVDLNWSPDDSMLASGSLDNTIHVWNMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTEGHWAKSLGSTFFRRLGWSPCGHFITTTHGYQKPRHSAPVLERGEWAATFDFLGHNAPVIVVKFNHSMFKRNSANSQEAKATPVGWVNGAAKIGGKESQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFGQSVVDLSWSPDGYSLFACSLDGTVATFHFEVKELGHRLSDAELDELKRSRYGDVRGRQANLAESPAQLLLEAASAKQTTSKKVALDVQQNQIPVKSSLDLGVTNKNSKPPNNDGKKSGLSASDGLNKPVTAAQVTSPVKQREYRRADGRKRIIPEAVGVPTQQENISGGAQSQALDFPVASSDPRKNDNGIVPADCGLREATIRGTVGKNFDLKECSGVTARATITESLVIEKVSAGQDHSINVEQSGSLKPSSSTTGSTKSLSIRVFDEKEGNDLTPVCLEACPKEHAVTDIVGAGNACMTKETEIICTRGGQTLWSDRISGKVLVLAGNANFWAVGCEDGCLQVYTKCGRRALPTMMMGSAATFIDCDESWKLLLVTRKGSLYLWDLLNRTCLLRDSLASLITLDHNSCTKGTIKVISVKLSKSGFPLVVLATRHAFLFDTSLMCWLRVADDCFPASNFASSWNLGSTQTGELASLQVDVRKYLARKPGWSRVTDDGVQTRAHLEAQLASSLALKSPNEYRQSLLSYIRFLAREADESRLREVCESFLGPPTGMASDSKNPTWDAYVLGMKKHKLLREDILPAMASNRKVQRLLNEFMDLLSEYASIENNLDQRDRSPLTVSQPEIDLMDSNPSATCQTDTVEPTTDKKENPSPSIIDQMDSILSNQVNSGTKSSDQVNQAPTSEDPAGS >KJB26901 pep chromosome:Graimondii2_0_v6:4:60096354:60101814:1 gene:B456_004G265300 transcript:KJB26901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAMGGGFMNQIMRSNTVSLVGKAAAPPWPSSYFLVSLKRKGIGFQLYCCSNINNNNSNTYLTLKDEELMRQCELNTFKASGPGGQHRNKRESAVRLKHLPTGIIAQAVEDRSQHMNRASALARLRTLIALKVRNPVSLESYSPPPELLQILPRNSTLRRSDSGPQIGPNNPKFILGMQALLDLIFAVDGSISDAAKLLGMSTGALSRLILSDDSLWKAVNELRASKGMKPLK >KJB26904 pep chromosome:Graimondii2_0_v6:4:60096364:60100734:1 gene:B456_004G265300 transcript:KJB26904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAMGGGFMNQIMRSNTVSLVGKAAAPPWPSSYFLVSLKRKGIGFQLYCCSNINNNNSNTYLTLKDEELMRQCELNTFKASGPGGQHRNKRESAVRLKHLPTGIIAQAVEDRSQHMNRASALARLRTLIALKVRNPVSLESYSPPPELLQILPRNSTLRRSDSGPQIGPNNPKFILGMQALLDLIFAVDGSISDAAKLLGCVILTSG >KJB26905 pep chromosome:Graimondii2_0_v6:4:60096364:60100877:1 gene:B456_004G265300 transcript:KJB26905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAMGGGFMNQIMRSNTVSLVGKAAAPPWPSSYFLVSLKRKGIGFQLYCCSNINNNNSNTYLTLKDEELMRQCELNTFKASGPGGQHRNKRESAVRLKHLPTGIIAQAVEDRSQHMNRASALARLRTLIALKVRNPVSLESYSPPPELLQILPRNSTLRRSDSGPQIGPNNPKFILGMQALLDLIFAVDGSISDAAKLLGMSTGALSRLILSDDSLWKAVNELRASKGMKPLK >KJB26902 pep chromosome:Graimondii2_0_v6:4:60096364:60098270:1 gene:B456_004G265300 transcript:KJB26902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKLFFGFQLYCCSNINNNNSNTYLTLKDEELMRQCELNTFKASGPGGQHRNKRESAVRLKHLPTGIIAQAVEDRSQHMNRASALARLRTLIALKVRNPVSLESYSPPPELLQILPRNSTLRRSDSGPQIGPNNPKFILGMQALLDLIFAVDGSISDAAKLLGCVILTSG >KJB26903 pep chromosome:Graimondii2_0_v6:4:60096364:60100187:1 gene:B456_004G265300 transcript:KJB26903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAMGGGFMNQIMRSNTVSLVGKAAAPPWPSSYFLVSLKRKGIGFQLYCCSNINNNNSNTYLTLKDEELMRQCELNTFKASGPGGQHRNKRESAVRLKHLPTGIIAQAVEDRSQHMNRASALARLRTLIALKVRNPVSLESYSPPPELLQILPRNSTLRRSDSGPQIGPNNPKFILGMQALLDLIFAVDGSISDAAKLLGMSTGALSRLILSDDSLWKAVNELRASKL >KJB24882 pep chromosome:Graimondii2_0_v6:4:46202455:46207008:-1 gene:B456_004G166000 transcript:KJB24882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVSESKLLPRKLPALSSVPNPHIVFEREAGLMLNLQPVYARDKAARSNVGSSQLPESAEPVRTWKGKHSLLEQVEIMPDVITFKCGGDSLEEGGPSSFSGASHPPEPVDTDPMRTVYVPISQKKSDPGCLMKSMSVKGPFLEDLSIRVPHKKPSPAILSPAESLAEESNDFVALSSPFSGPRASQNVDNSLIHRGSDDKECVWDVSLPPSGNVSPHSSIDSTGVVTAMSIVNSSASTCRSDAVTSDGMISMERNCESRKESVRADSLESAKTSVSQASDSSGLSDDSNWSNITGSANKPHKGNDPRWNAILAIRARDGILGMSHFRLLKRLGCGDIGSVYLSELSGTSCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFLEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVFPTLIKSSAFDSDPSKRGAAGAFCVQPACIEPTSVCIQPACFIPRIFPQKNKKKTRKPRIEFGAPSHTLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPDSPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPMESEMPRMPGKLGPVEPVGVGSNSKRMVGQVGTDVKSGGKYLDFEFF >KJB24883 pep chromosome:Graimondii2_0_v6:4:46202402:46207008:-1 gene:B456_004G166000 transcript:KJB24883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVSESKLLPRKLPALSSVPNPHIVFEREAGLMLNLQPVYARDKAARSNVGSSQLPESAEPVRTWKGKHSLLEQVEIMPDVITFKCGGDSLEEGGPSSFSGASHPPEPVDTDPMRTVYVPISQKKSDPGCLMKSMSVKGPFLEDLSIRVPHKKPSPAILSPAESLAEESNDFVALSSPFSGPRASQNVDNSLIHRGSDDKECVWDVSLPPSGNVSPHSSIDSTGVVTAMSIVNSSASTCRSDAVTSDGMISMERNCESRKESVRADSLESAKTSVSQASDSSGLSDDSNWSNITGSANKPHKGNDPRWNAILAIRARDGILGMSHFRLLKRLGCGDIGSVYLSELSGTSCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFLEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVFPTLIKSSAFDSDPSKRGAAGAFCVQPACIEPTSVCIQPACFIPRIFPQKNKKKTRKPRIEFGAPSHTLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPDSPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPMESEMPRMPGKLGPVEPVGVGSNSKRMVGQVGTDVKSGGKYLDFEFF >KJB24405 pep chromosome:Graimondii2_0_v6:4:40619812:40620423:-1 gene:B456_004G144000 transcript:KJB24405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYISTATCFPSDSTGKVILWDGTVQDFGGPVEAAELMVEHPQQVVVEFNAGVNQKRLIPLPADHKLDVKKLYIMLPMKRGKPIALSSEEACRVLSSANSVSRSKSILSSSPMCVPLFARICTADYRVLEGMGQKLPLQKKESAGGERPEEIRCLTELFPESSENLPVYLNRQFSGKGWKPSLDTIKEKKVERKFKIPHWLF >KJB21833 pep chromosome:Graimondii2_0_v6:4:1190452:1191049:1 gene:B456_004G016700 transcript:KJB21833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMGFEEDVRFILGKTCSARQIVMFSATWPVAVHRLAQEYMDPNPVKVVIGSEDLAANHDVMQIVEV >KJB24149 pep chromosome:Graimondii2_0_v6:4:35094247:35101512:1 gene:B456_004G130000 transcript:KJB24149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMGGSRGYSANPSDYKLLEEIGYGASATVYRAIYLPFNDVVAIKCLDLDRCNSNLDDIRREAQTMSLIDHPNVIRAYCSFVVDRNLWVVMPFMSEGSCLHLMKIAYPDGLEEPAIGSILKEALKALDYLHRQGHIHRDVKAGNILLDNNGTVKLADFGVSACMFDAGDRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKHAKPPELSLKKLFSDLPPLWNRVKALQLKDAAQLALKKMPSAEQEALSQSEYQRGVSAWNFDLDDLKAQASLVCDDDDIHECKDDDESMKSSLGHKATAYCEPSLGKLSLNREVSQAECRGPGSFELLQIDCLNGKGKNLECDIVEAGCQEILGLKKNGSIIDVMGSTSENDVVLTRANTVKPRETQTGPLTPGVVLSHSSERFENESLLANERVRQVRKAPSFSGPLMLPNRASGNSLSAPIKPSGGFRDCLDEKSKASLVQIKGRFSVTSENLDLVKDIPLGTVSRRSLQTSPIRKSASVGDWILESKQVAINHAPKDLTNGSVSTSILTTHLQNLFQRTSLQQDLIVNLLNSLQPAEVVDASQNGKLPVLPRSESNGNVELETAASERERLLLGKILELQSRMMNLRDELNAEKWRYEELQQELRLMAGAEENGDDAA >KJB24148 pep chromosome:Graimondii2_0_v6:4:35094247:35100739:1 gene:B456_004G130000 transcript:KJB24148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMGGSRGYSANPSDYKLLEEIGYGASATVYRAIYLPFNDVVAIKCLDLDRCNSNLDDIRREAQTMSLIDHPNVIRAYCSFVVDRNLWVVMPFMSEGSCLHLMKIAYPDGLEEPAIGSILKEALKALDYLHRQGHIHRDVKAGNILLDNNGTVKLADFGVSACMFDAGDRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKHAKPPELSLKKLFSDLPPLWNRVKALQLKDAAQLALKKMPSAEQEALSQSEYQRGVSAWNFDLDDLKAQASLVCDDDDIHECKDDDESMKSSLGHKATAYCEPSLGKLSLNREVSQAECRGPGSFELLQIDCLNGKGKNLECDIVEAGCQEILGLKKNGSIIDVMGSTSENDVVLTRANTVKPRETQTGPLTPGVVLSHSSERFENESLLANERVRQVRKAPSFSGPLMLPNRASGNSLSAPIKPSGGFRDCLDEKSKASLVQIKGRFSVTSENLDLVKDIPLGTVSRRSLQTSPIRKSASVGDWILESKQVVCDLYLSFNVVDYEFLYCFIYCNILHLEA >KJB24147 pep chromosome:Graimondii2_0_v6:4:35094236:35101551:1 gene:B456_004G130000 transcript:KJB24147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMGGSRGYSANPSDYKLLEEIGYGASATVYRAIYLPFNDVVAIKCLDLDRCNSNLDDIRREAQTMSLIDHPNVIRAYCSFVVDRNLWVVMPFMSEGSCLHLMKIAYPDGLEEPAIGSILKEALKALDYLHRQGHIHRDVKAGNILLDNNGTVKLADFGVSACMFDAGDRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKHAKPPELSLKKLFSDLPPLWNRVKALQLKDAAQLALKKMPSAEQEALSQSEYQRGVSAWNFDLDDLKAQASLVCDDDDIHECKDDDESMKSSLGHKATAYCEPSLGKLSLNREVSQAECRGPGSFELLQIDCLNGKGKNLECDIVEAGCQEILGLKKNGSIIDVMGSTSENDVVLTRANTVKPRETQTGPLTPGVVLSHSSERFENESLLANERVRQVRKAPSFSGPLMLPNRASGNSLSAPIKPSGGFRDCLDEKSKASLVQIKGRFSVTSENLDLVKDIPLGTVSRRSLQFQTSPIRKSASVGDWILESKQVAINHAPKDLTNGSVSTSILTTHLQNLFQRTSLQQDLIVNLLNSLQPAEVVDASQNGKLPVLPRSESNGNVELETAASERERLLLGKILELQSRMMNLRDELNAEKWRYEELQQELRLMAGAEENGDDAA >KJB21554 pep chromosome:Graimondii2_0_v6:4:81336:85366:1 gene:B456_004G000900 transcript:KJB21554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENREFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRNIQLSLAILLLGVGIATVTDLQLNILGSILSLLAVVTTCIAQIKKFKVSSTQLLYQSCPYQALTLFIIGPFLDGLLTNKNVFAFKYTPQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILIAVVGMVIYSYYCTVESQQQKASEVSPQLPQVKESESDPLISVDNGSGMLSDGVGPKAPVWNTNKDLHA >KJB21553 pep chromosome:Graimondii2_0_v6:4:81264:85400:1 gene:B456_004G000900 transcript:KJB21553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENREFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRNIQLSLAILLLGVGIATVTDLQLNILGSILSLLAVVTTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFIIGPFLDGLLTNKNVFAFKYTPQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILIAVVGMVIYSYYCTVESQQQKASEVSPQLPQVKESESDPLISVDNGSGMLSDGVGPKAPVWNTNKDLHA >KJB21555 pep chromosome:Graimondii2_0_v6:4:81336:85366:1 gene:B456_004G000900 transcript:KJB21555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENREFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRNIQLSLAILLLGVGIATVTDLQLNILGSILSLLAVVTTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFIIGPFLDGLLTNKNVFAFKYTPQVLVRIRSPPPQWVKRNISSTVFLLDFFNVQSLLQFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILIAVVGMVIYSYYCTVESQQQKASEVSPQLPQVKESESDPLISVDNGSGMLSDGVGPKAPVWNTNKDLHA >KJB26239 pep chromosome:Graimondii2_0_v6:4:56931124:56932679:1 gene:B456_004G232400 transcript:KJB26239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQSNSKKPSWVRGKCLGKGAFGTVSLAIHQSDGAVFAVKSVDLATGVPSQLDSLENEIRILRSLSSPYVVEYLGDDVTKNETSAAGSRNLHVEYMQGGTVSDVAIVKQRLADLDERILRWHTRCLLSALKYMHGQGIVHCDVKGKNVLVGQDFSSVKLADFGSAIEIVKESRGDRCGTVITPRGSPLWMAPEVIRGEYQGPESDVWSLGCTVIEMVTGKPAWEDQGLKSLTRIANSDELPRLPAELSELGKDFVEKCLIRDRTQRWSCDQLLQHPFVASASAPSEIGESSPRCVLDFSSSDFEEDENTGNFETWARERISKLATEEGVVWESDGWVTVRSYARESGVNCEEGTSTEYPELMRIMKEMIEGTNLETADCSDGTHSVEWQCSNYKQSKRSKWSSGELRCGGWRCECSTGSSCLYGSQKMELAVGKGQLRIYMFCNLLLQLFFCNLRIFKYILLVFFNYSFLFTIFFTFSSQPQNFHSHHKNILGY >KJB22224 pep chromosome:Graimondii2_0_v6:4:2937231:2941471:-1 gene:B456_004G035900 transcript:KJB22224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGVPSSLFVNDGSFMERFKQLQQQKDDKDKAAALEESKPPKVVKGSSAPKPSIAFKPNDVRKTTQLPSGGKLAFSLKQKSKLVAPPVKLDADEDEEDQDAGRLDDKPVKKQKLGRSDSSEQALKQVDVAPTSPSDLTVKKVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDESCSDYKYYAYRLAEEEKALLENKEEQTPQSGISASKSPSSSSRAAPQQSSYQIPASALYENEEPRSSGTSAGRAGSSSAPSGADPIAMMEFYMKKAAQEEKMRTPKQSKDEMPPPPSLQAGPVKKGHHMGDYIPQEELEKFMAACNDAAAQKAAKETAQKAKIQSDNVGHKLLSKMGWKEGDGLGSSRKGIADPIMAGDVKMNNLGVGAHNPGEVTPDDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >KJB22222 pep chromosome:Graimondii2_0_v6:4:2937683:2940802:-1 gene:B456_004G035900 transcript:KJB22222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGVPSSLFVNDGSFMERFKQLQQQKDDKDKAAALEESKPPKVVKGSSAPKPSIAFKPNDVRKTTQLPSGGKLAFSLKQKSKLVAPPVKLDADEDEEDQDAGRLDDKPVKKQKLGRSDSSEQALKQVDVAPTSPSDLTVKKVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDESCSDYKYYAYRLAEEEKALLENKEEQTPQSGISASKSPSSSSRAAPQQSSYQIPASALYENEEPRSSGTSAGRAGSSSAPSGADPIAMMEFYMKKAAQEEKMRTPKQSKDEMPPPPSLQAGPVKKGHHMGDYIPQEELEKFMAACNDAAAQKAAKETAQKAKIQSDNVGHKLLSKMGWKEGDGLGSSRKGIADPIMAGDVKMNNLGVGAHNPGEVTPDDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >KJB22226 pep chromosome:Graimondii2_0_v6:4:2937394:2941471:-1 gene:B456_004G035900 transcript:KJB22226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGVPSSLFVNDGSFMERFKQLQQQKDDKDKAAALEESKPPKVVKGSSAPKPSIAFKPNDVRKTTQLPSGGKLAFSLKQKSKLVAPPVKLDADEDEEDQDAGRLDDKPVKKQKLGRSDSSEQALKQVDVAPTSPSDLTVKKVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDESCSDYKYYAYRLAEEEKALLENKEEQTPQSGISASKSPSSSSRAAPQQSSYQIPASALYENEEPRSSGTSAGRAGSSSAPSGADPIAMMEFYMKKAAQEEKMRTPKQSKDEMPPPPSLQAGPVKKGHHMGDYIPQEELEKFMAACNDAAAQKAAKETAQKAKIQSDNVGHKLLSKMGWKEGDGLGSSRKGIADPIMAGDVKMNNLGVGAHNPGEVTPDDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >KJB22223 pep chromosome:Graimondii2_0_v6:4:2937236:2941515:-1 gene:B456_004G035900 transcript:KJB22223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGVPSSLFVNDGSFMERFKQLQQQKDDKDKAAALEESKPPKVVKGSSAPKPSIAFKPNDVRKTTQLPSGGKLAFSLKQKSKLVAPPVKLDADEDEEDQDAGRLDDKPVKKQKLGRSDSSEQALKQVDVAPTSPSDLTVKKVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDESCSDYKYYAYRLAEEEKALLENKEEQTPQSGISASKSPSSSSRAAPQQSSYQIPASALYENEEPRSSGTSAGRAGSSSAPSGADPIAMMEFYMKKAAQEEKMRTPKQSKDEMPPPPSLQAGPVKKGHHMGDYIPQEELEKFMAACNDAAAQKAAKETAQKAKIQSDNVGHKLLSKMGWKEGDGLGSSRKGIADPIMAGDVKMNNLGVGAHNPGEVTPDDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >KJB22225 pep chromosome:Graimondii2_0_v6:4:2937394:2941471:-1 gene:B456_004G035900 transcript:KJB22225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGVPSSLFVNDGSFMERFKQLQQQKDDKDKAAALEESKPPKVVKGSSAPKPSIAFKPNDVRKTTQLPSGGKLAFSLKQKSKLVAPPVKLDADEDEEDQDAGRLDDKPVKKQKLGRSDSSEQALKQVDVAPTSPSDLTVKKVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDESCSDYKYYAYRLAEEEKALLENKEEQTPQSGISASKSPSSSSRAAPQQSSYQIPASALYENEEPRSSGTSAGRAGSSSAPSGADPIAMMEFYMKKAAQEEKMRTPKQSKDEMPPPPSLQGECLKSGPVKKGHHMGDYIPQEELEKFMAACNDAAAQKAAKETAQKAKIQSDNVGHKLLSKMGWKEGDGLGSSRKGIADPIMAGDVKMNNLGVGAHNPGEVTPDDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >KJB24403 pep chromosome:Graimondii2_0_v6:4:40578437:40579201:-1 gene:B456_004G143800 transcript:KJB24403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLTRFLTAVAFLAVGVIFSPETFGSISDGSISPILSTSIKLAHLLCFATAWGTALWVTFIGGILMFKYLPRHQFGNLQSKMFPAYFSMVGVCCAVSAAAFGYMHPWKSATTTEKYQLGFLVSAFAFNLINLFVFTPMTIEVISFSSIPCSS >KJB24402 pep chromosome:Graimondii2_0_v6:4:40576576:40579348:-1 gene:B456_004G143800 transcript:KJB24402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLTRFLTAVAFLAVGVIFSPETFGSISDGSISPILSTSIKLAHLLCFATAWGTALWVTFIGGILMFKYLPRHQFGNLQSKMFPAYFSMVGVCCAVSAAAFGYMHPWKSATTTEKYQLGFLVSAFAFNLINLFVFTPMTIEMMKHRHKVEREENIGNEIGGSKNQEVAKKNPKLAAMNKKFGMIHGLSSLINLMSFGVLAMHTWYLAGKLSL >KJB22952 pep chromosome:Graimondii2_0_v6:4:8533210:8537524:1 gene:B456_004G075400 transcript:KJB22952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTEFSVDDFSDSFWAAPPGMSRSQSEWAFDNFIEEFSGSGGAIPVSRSGESVIGPSLAEPRPSVSKSEEADGEGDVVEIKRPGNQNHNSPPSDLAPTFSIDSDEYRAILKDKLHQACAAVALSRASSVKAEVFSAQAEDQALQSRSQVQGSSKAQGQGELDATPSEVLAMSTTQTKSKVQMRQTTSVSSGEDSDDDELRDTETTDNMDPADAKRARRMRSNRESARRSRRRKQAHMNELEAQVGQLRVEHSTLLKSLTDTNHKYDEAAVDNRILKADIETLRAKVKMAEETVKRVTGINFNSGLLSRHNVASVGMPFVSSPLETSSTAPVPLQPNTNQFFHQPVPLHHQRMENGFMGNTMVPPIVNSRTEGVKHVNETSALQHTPRSERVQDQIGPGVSQRMSGWEPQQHAAAKNKKQN >KJB24466 pep chromosome:Graimondii2_0_v6:4:41369470:41372035:1 gene:B456_004G146800 transcript:KJB24466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRSIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDKGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILQIVKENFDFRPGMITINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQS >KJB24469 pep chromosome:Graimondii2_0_v6:4:41369542:41372023:1 gene:B456_004G146800 transcript:KJB24469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRSIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDKGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILQIVKENFDFRPGMITINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQS >KJB24467 pep chromosome:Graimondii2_0_v6:4:41369470:41372023:1 gene:B456_004G146800 transcript:KJB24467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRSIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDKGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILQIVKENFDFRPGMITINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQS >KJB24465 pep chromosome:Graimondii2_0_v6:4:41369542:41372023:1 gene:B456_004G146800 transcript:KJB24465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRSIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDKGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILQIVKENFDFRPGMITINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQS >KJB24468 pep chromosome:Graimondii2_0_v6:4:41369542:41372023:1 gene:B456_004G146800 transcript:KJB24468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRSIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDKGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILQIVKENFDFRPGMITINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQS >KJB25999 pep chromosome:Graimondii2_0_v6:4:55543667:55545244:1 gene:B456_004G220700 transcript:KJB25999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLVSSWYNDQSLPESYIFPPHERPGKLLVPRCNTVPVIDLSDTRTNLVHQILKASQEFGLFQVVNHGVPPNIMSETMSVFHKFFELPAEDKASLTVYTNDPKRCKLVTSTLDYDREKIHLWRDVLRHPCHPDCIEFWPRKPLRYREVVAACSIEAKKLGLQILELLCEGIGIEHGYFEHELTEDLLLRANHYPPCPDPSLTLGIPKHFDPDILTILLQEHISGLQVLKDGEWIGVRPIPNAFVVNIGYILQVISNNKLKGAEHRVVTNSSDHRISVVFCMNPAGNSNIEPAKSVVTATNPPLCRGFQFKEFLDNFYSMEGDADLALQPFKL >KJB26618 pep chromosome:Graimondii2_0_v6:4:58785497:58789599:-1 gene:B456_004G250500 transcript:KJB26618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKMGFSSSSSSSSSSLLLFFYITVFCSIRCVLGSSICSHEADVFIKNIHFQCSPSISPIPPLKVNGDFLDRQLTSKQQNGYTSVLFYASWCPFSHGLCPKFDILSSMFPQIEHLAVEQSSTSPSILSRYGIHSLPSILIVNRTSRVRYNGPKDLLSIVQFYEKTTGFEPVQFVAQKESDVSGDHNKYMIKSWKESSPMEIVKQEPYLVFALLFLCLRGILLVFPKVLSRLKAVWVSYAPQFNLEIFGETSQLFVRALHMVDVRRVWTKLRLCKTQNFQQGAKSARVWASSLASVSLGESSSARSSFSASS >KJB26619 pep chromosome:Graimondii2_0_v6:4:58785484:58789641:-1 gene:B456_004G250500 transcript:KJB26619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKMGFSSSSSSSSSSLLLFFYITVFCSIRCVLGSSICSHEADVFIKNIHFQCSPSISPIPPLKVNGDFLDRQLTSKQQNGYTSVLFYASWCPFSHGLCPKFDILSSMFPQIEHLAVEQSSTSPSILSRYGIHSLPSILIVNRTSRVRYNGPKDLLSIVQFYEKTTGFEPVQFVAQKESDVSGDHNKYMIKSWKESSPMEIVKQEPYLVFALLFLCLRGILLVFPKVLSRLKAVWVSYAPQFNLEIFGETSQLFVRALHMVDVRRVWTKLRLCKTQNFQQGAKSARVWASSLASVSLGESSSARSSFSASS >KJB25302 pep chromosome:Graimondii2_0_v6:4:49908187:49909198:1 gene:B456_004G184700 transcript:KJB25302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASRTLLFSYGTLKQGHQNHFLMQDLIRKKDANFLGPYVTHQSHPLVIGPHGIPYLINHPGCGHRVKGELYSVSAQGLVRVDELEGTSIGHYERLPIQVCEEGKDSVLVAAQGYFAHRSFGEKLWERKGKVGLAEFGDKEGKEYVRKEDRAPGSGCIIYHL >KJB26988 pep chromosome:Graimondii2_0_v6:4:60536912:60538224:1 gene:B456_004G270400 transcript:KJB26988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMVHGTTTTVDEDVPLPGFRFHPTDEELIGFYLRRKVDNKSLRIELIKQIDIYKYDPSDLPKTSMMGENELYFFCRRGRKYRNSVRPNRVTGSGFWKATGKGTKTDWMMHEFRLPNPTLTAQDAEVWTICRIFKRHKKNKQEWRQGAAKRASTSNGNGVHGYERCSVESSSHENYITFGSQSIENYDDNDDDDGVDGEMVMDHMKNDGSCRREWYHEEMQCMVSSSSPSSSFSTENDFFTNANWDELKSVVELDLDPFLL >KJB26989 pep chromosome:Graimondii2_0_v6:4:60536912:60538224:1 gene:B456_004G270400 transcript:KJB26989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMVHGTTTTVDEDVPLPGFRFHPTDEELIGFYLRRKVDNKSLRIELIKQIDIYKYDPSDLPKTSMMGENELYFFCRRGRKYRNSVRPNRVTGSGFWKATGIDKPVFSVGSSEAIGLKKTLVYYRGSAGKGTKTDWMMHEFRLPNPTLTAQDAEVWTICRIFKRHKKNKQEWRQGAAKRASTSNGNGVHGYERCSVESSSHENYITFGSQSIENYDDNDDDDGVDGEMVMDHMKNDGSCRREWYHEEMQCMVSSSSPSSSFSTENDFFTNANWDELKSVVELDLDPFLL >KJB24796 pep chromosome:Graimondii2_0_v6:4:45122858:45124901:1 gene:B456_004G161000 transcript:KJB24796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVTSASNIPHCSYSPFPIINKQIHPQSWGNGNPSLSLKQAMKPSSCTFSNEPQISFIDAEEKRRFKWVEIGPGITEEQRQAIDKLPFKMTKRCKALMKQIICFNPEKGSLEDLLGAWVNVMKPRRADWLVVLKELKIMEHPLYFQAGNLKLAEDTFEEIKLLGQQLDKRSYGAMIMAYIRAGMPEQGEGLLKEMDNLEIYAGSEVYKALLRAYSTNGDTDGAQRVFGAIQLAGISPDAKLCGLLINAYQVAGQSEEARVAFENMRRAGLEPSDKCVALVLAAYEKQNKLNKALEFLMDLERDGIVVGKEASSILAQWFKKLGVVEQVEQVLREFAAK >KJB24795 pep chromosome:Graimondii2_0_v6:4:45122779:45125152:1 gene:B456_004G161000 transcript:KJB24795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVTSASNIPHCSYSPFPIINKQIHPQSWGNGNPSLSLKQAMKPSSCTFSNEPQISFIDAEEKRRFKWVEIGPGITEEQRQAIDKLPFKMTKRCKALMKQIICFNPEKGSLEDLLGAWVNVMKPRRADWLVVLKELKIMEHPLYFQVAEIALLEETFEANIRDYTKIIHGYGKQNRLREAENILDAMKRRGFICDQVTLTTMVHMYSKAGNLKLAEDTFEEIKLLGQQLDKRSYGAMIMAYIRAGMPEQGEGLLKEMDNLEIYAGSEVYKALLRAYSTNGDTDGAQRVFGAIQLAGISPDAKLCGLLINAYQVAGQSEEARVAFENMRRAGLEPSDKCVALVLAAYEKQNKLNKALEFLMDLERDGIVVGKEASSILAQWFKKLGVVEQVEQVLREFAAK >KJB26827 pep chromosome:Graimondii2_0_v6:4:59777480:59781066:-1 gene:B456_004G262400 transcript:KJB26827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSIDQPNVITCKAGKDLVMEEVEVSPPQPLEIRVKVICTSLCRTDITAWESQAIFPRIFGHEASGIVESVGEGVTEFVKGDHVLTVFTGECKTCKHCESKKSNMCQVLGLERRGVMHSDQGTRFSVKGKPVYHYCAVSSFSEYTVVHSGCAVKVSQQAPLEKICLLSCGVAAGLGAAWNVADISKGSIVVIFGLGTVGLAVAQGAKLRGASQIIGVDINPEKYEKAKCFGVTDFLNPNEYNEPIQQVIKRISGGGTDYSFECIGNTGMVTTALQSCCDGWGLTVTLGVPKTNPEIASHYSAFLSGKTLKGSLFGGWKPKSDLPSLVNKYMNREIQVDEFITHNLPFEDINKAFTLMREGKCLRCVIHMPN >KJB26824 pep chromosome:Graimondii2_0_v6:4:59777292:59781251:-1 gene:B456_004G262400 transcript:KJB26824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSIDQPNVITCKAMVAWEAGKDLVMEEVEVSPPQPLEIRVKVICTSLCRTDITAWESQAIFPRIFGHEASGIVESVGEGVTEFVKGDHVLTVFTGECKTCKHCESKKSNMCQVLGLERRGVMHSDQGTRFSVKGKPVYHYCAVSSFSEYTVVHSGCAVKVSQQAPLEKICLLSCGVAAGLGAAWNVADISKGSIVVIFGLGTVGLAVAQGAKLRGASQIIGVDINPEKYEKAKCFGVTDFLNPNEYNEPIQQVIKRISGGGTDYSFECIGNTGMVTTALQSCCDGWGLTVTLGVPKTNPEIASHYSAFLSGKTLKGSLFGGWKPKSDLPSLVNKYMNREIQVDEFITHNLPFEDINKAFTLMREGKCLRCVIHMPN >KJB26828 pep chromosome:Graimondii2_0_v6:4:59778021:59781133:-1 gene:B456_004G262400 transcript:KJB26828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSIDQPNVITCKAMVAWEAGKDLVMEEVEVSPPQPLEIRVKVICTSLCRTDITAWESQAIFPRIFGHEASGIVESVGEGVTEFVKGDHVLTVFTGECKTCKHCESKKSNMCQVLGLERRGVMHSDQGTRFSVKGKPVYHYCAVSSFSEYTVVHSGCAVKVSQQAPLEKICLLSCGVAAGLGAAWNVADISKGSIVVIFGLGTVGLAVAQGAKLRGASQIIGVDINPEKYEKAKCFGVTDFLNPNEYNEPIQQVIKRISGGGTDYSFECIGNTGMVTTALQSCCDVISLTHDLIILLCSREDS >KJB26825 pep chromosome:Graimondii2_0_v6:4:59777341:59781133:-1 gene:B456_004G262400 transcript:KJB26825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQVLGLERRGVMHSDQGTRFSVKGKPVYHYCAVSSFSEYTVVHSGCAVKVSQQAPLEKICLLSCGVAAGLGAAWNVADISKGSIVVIFGLGTVGLAVAQGAKLRGASQIIGVDINPEKYEKAKCFGVTDFLNPNEYNEPIQQVIKRISGGGTDYSFECIGNTGMVTTALQSCCDGWGLTVTLGVPKTNPEIASHYSAFLSGKTLKGSLFGGWKPKSDLPSLVNKYMNREIQVDEFITHNLPFEDINKAFTLMREGKCLRCVIHMPN >KJB26826 pep chromosome:Graimondii2_0_v6:4:59777341:59781133:-1 gene:B456_004G262400 transcript:KJB26826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSIDQPNVITCKAMVAWEAGKDLVMEEVEVSPPQPLEIRVKVICTSLCRTDITAWESQAIFPRIFGHEASGIVESVGEGVTEFVKGDHVLTVFTGECKTCKHCESKKSNMCQVLGLERRGVMHSDQGTRFSVKGKPVYHYCAVSSFSEYTVVHSGCAVKVSQQAPLEKICLLSCGVAAGLGAAWNVADISKGSIVVIFGLGTVGLAVAQGAKLRGASQIIGVDINPEKYEKAKCFGVTDFLNPNEYNEPIQQVIKRISGGGTDYSFECIGNTGMVTTALQSCCDGWGLTVTLGVPKTNPEIASHYSAFLSGKTLKGSLFGGWKPKSDLPSLVNKYMNRVDEFITHNLPFEDINKAFTLMREGKCLRCVIHMPN >KJB24372 pep chromosome:Graimondii2_0_v6:4:40186959:40189381:-1 gene:B456_004G142400 transcript:KJB24372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHNRKKKVFVKPIVKKQQQQQPNVDHITGNKIPKSFVFSRGKLPGPLRQLQMDLRKLMLPYTALKLKEKKRNNLKDFLNVAGPMGVTHFLILSKTETSPVLRVAKTPQGPTLTFKIDEYSLAVDISQSQLRPRCPQDLFKNPPLVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRNLQDVSDFVTKAGYGSESEADEEAATVTLTSDLSRVNRASTKSAVKLQEIGPRMTLQLTKIEGGLCAGEVMFSEYGNGGNKKKPGNEEGNEKEDGENDGQMEDSDEDDEADNEEDMEEGEED >KJB24375 pep chromosome:Graimondii2_0_v6:4:40187313:40189281:-1 gene:B456_004G142400 transcript:KJB24375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHNRKKKVFVKPIVKKQQQQQPNVDHITGNKIPKSFVFSRGKLPGPLRQLQMDLRKLMLPYTALKLKEKKRNNLKDFLNVAGPMGVTHFLILSKTETSPVLRVAKTPQGPTLTFKIDEYSLAVDISQSQLRPRCPQDLFKNPPLIVLSGFAAADEQLRLTTTMFKNIFPDIDINTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRNLQDVSDFVTKAGYGSESEADEEAATVTLTSDLSRVNRASTKSAVKLQEIGPRMTLQLTKIEGGLCAGEVMFSEYGNGGNKKKPGNEEGNEKEDGENDGQMEDSDEDDEADNEEDMEEGEED >KJB24376 pep chromosome:Graimondii2_0_v6:4:40187587:40189381:-1 gene:B456_004G142400 transcript:KJB24376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHNRKKKVFVKPIVKKQQQQQPNVDHITGNKIPKSFVFSRGKLPGPLRQLQMDLRKLMLPYTALKLKEKKRNNLKDFLNVAGPMGVTHFLILSKTETSPVLRVAKTPQGPTLTFKIDEYSLAVDISQSQLRPRCPQDLFKNPPLIVLSGFAAADEQLRLTTTMFKNIFPDIDINTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRNLQDVSDFVTK >KJB24373 pep chromosome:Graimondii2_0_v6:4:40186959:40189381:-1 gene:B456_004G142400 transcript:KJB24373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHNRKKKVFVKPIVKKQQQQQPNVDHITGNKIPKSFVFSRGKLPGPLRQLQMDLRKLMLPYTALKLKEKKRNNLKDFLNVAGPMGVTHFLILSKTETSPVLRVAKTPQGPTLTFKIDEYSLAVDISQSQLRPRCPQDLFKNPPLIVLSGFAAADEQLRLTTTMFKNIFPDIDINTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRNLQDVSDFVTK >KJB24371 pep chromosome:Graimondii2_0_v6:4:40187950:40189281:-1 gene:B456_004G142400 transcript:KJB24371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHNRKKKVFVKPIVKKQQQQQPNVDHITGNKIPKSFVFSRGKLPGPLRQLQMDLRKLMLPYTALKLKEKKRNNLKDFLNVAGPMGVTHFLILSKTETSPVLRVAKTPQGPTLTFKIDEYSLAVDISQSQLRPRCPQDLFKNPPLIVLSGFAAADEQLRLTTTMFKNIFPDIDINTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRNLQDVSDFVTK >KJB24374 pep chromosome:Graimondii2_0_v6:4:40186959:40189415:-1 gene:B456_004G142400 transcript:KJB24374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHNRKKKVFVKPIVKKQQQQQPNVDHITGNKIPKSFVFSRGKLPGPLRQLQMDLRKLMLPYTALKLKEKKRNNLKDFLNVAGPMGVTHFLILSKTETSPVLRVAKTPQGPTLTFKIDEYSLAVDISQSQLRPRCPQDLFKNPPLIVLSGFAAADEQLRLTTTMFKNIFPDIDINTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRNLQDSGLWIRKRS >KJB23466 pep chromosome:Graimondii2_0_v6:4:17482998:17483009:1 gene:B456_004G0998002 transcript:KJB23466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQ >KJB21772 pep chromosome:Graimondii2_0_v6:4:942549:945487:1 gene:B456_004G012800 transcript:KJB21772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKMECREATPSAVASPNSSNPSSPSTPTSPPVVISPCAACKILRRRCADKCMLAPYFPPTEPAKFTNAHRVFGASNIIKFLQELPESQRADAVSSMVYEASARIRDPVYGCAGAICQLQKQVNELQAQLAKAQAEVVNMQLQQANLVGALLCMEATAPPPQPHPQQLAVDTFMCSPQSYHSNPGFIDDDDDNNNLGSLWEPL >KJB26084 pep chromosome:Graimondii2_0_v6:4:55864596:55865080:-1 gene:B456_004G224300 transcript:KJB26084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFCKNITFFMLVQAITIIPLIASSSLEPDQGHLDDNSRLSLWYKTWHVHTVNDLSNNKTLLVHCKSRDDDLGIHNLTVGTEFYWKFRPRIFGGTLFWCYMAYDNLHAAFKVFWEDPSLYAKCNYGDCYWIARDDGIYLKDIPGERDEYYYDWELGRL >KJB21489 pep chromosome:Graimondii2_0_v6:4:52282762:52285056:1 gene:B456_004G200300 transcript:KJB21489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLSNVASRIMGGTGVVSRSIASSFRLRSGIGLPVGKHIVPDKPLPVNDELIWDNGTAFPEPCIDRIADTVGKAPKVYPYDNLRVELGGEP >KJB21491 pep chromosome:Graimondii2_0_v6:4:52282762:52285056:1 gene:B456_004G200300 transcript:KJB21491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLSNVASRIMGGTGVVSRSIASSFRLRSGIGLPVGKHIVPDKPLPVNDELIWDNGTAFPEPCIDRIADTVGKYEALAWMCGGLSFFASLGFLAWWNDKASKIPFQAPKVYPYDNLRVELGGEP >KJB21490 pep chromosome:Graimondii2_0_v6:4:52282762:52285056:1 gene:B456_004G200300 transcript:KJB21490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLSNVASRIMGGTGVVSRSIASSFRLRSGIGLPVGKHIVPDKPLPVNDELIWDNGTAFPEPCIDRIADTVGKVIRGISLDVWRLELLCIVGFFGLVE >KJB21488 pep chromosome:Graimondii2_0_v6:4:52282692:52285111:1 gene:B456_004G200300 transcript:KJB21488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLSNVASRIMGGTGVVSRSIASSFRLRSGIGLPVGKHIVPDKPLPVNDELIWDNGTAFPEPCIDRIADTVGKYEALAWMCGGLSFFASLGFLAWWNDKASKIPFAPKVYPYDNLRVELGGEP >KJB23854 pep chromosome:Graimondii2_0_v6:4:28645933:28649336:-1 gene:B456_004G117900 transcript:KJB23854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEEPNDSLDTFIRQAIGKEPLLSFSRAGDSSVQWIQLLHALDQQEIPGWPLLTPLKVQMQKCDKCSREFCSPINYRRHIRVHHRLKKLDKDSAKNRDLLAAFWGKLSEDEAKEVVSFKDVALEEVPGSSVIKSLTTLVKRPGFSALPQVCLRAGSALLDLVQARPSRFPISSEELFSILDDASEGTFLCGAAVSMQKYIFDGEAGKIGLDTKNLVACTSFLVEQKLVKAWLADKDAEALRCQKLLVEEEEAAHKRQVELLERKRQKKLRQKEQKAKELRPWEMEEGKQNMDDSLEDNTPAETSSPKAVFDIDGQNAIISTDQLLPALEPIPFPNPEEGVDYRVQTEFYNQYCEPGTSQNGERRVEQVDGCQRIVVARWKTPPKSQRVVLNGFHANQNSHGFKSGGTNKHETNRERIAAMGNSNKMWSRKSKAIDDGDSLQIKAGKQATNQPDQNKNPELVIGSISVPLGKNLAETHDRCPAECQTPKNNVQERFSKHDHVQIVANRSTIKFWRPVSRQERKSSLPVQNGIKEVEVIAENDGVQISSNESYRRSSAVDGSDGVLRMNLSSTLEESVQPGGLQFDSNAAKAFLAESKLGCLIYIYMRVCIGFITESIFTECL >KJB23853 pep chromosome:Graimondii2_0_v6:4:28645329:28650382:-1 gene:B456_004G117900 transcript:KJB23853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEEPNDSLDTFIRQAIGKEPLLSFSRAGDSSVQWIQLLHALDQQEIPGWPLLTPLKVQMQKCDKCSREFCSPINYRRHIRVHHRLKKLDKDSAKNRDLLAAFWGKLSEDEAKEVVSFKDVALEEVPGSSVIKSLTTLVKRPGFSALPQVCLRAGSALLDLVQARPSRFPISSEELFSILDDASEGTFLCGAAVSMQKYIFDGEAGKIGLDTKNLVACTSFLVEQKLVKAWLADKDAEALRCQKLLVEEEEAAHKRQVELLERKRQKKLRQKEQKAKELRPWEMEEALEPIPFPNPEEGVDYRVQTEFYNQYCEPGTSQNGERRVEQVDGCQRIVVARWKTPPKSQRVVLNGFHANQNSHGFKSGGTNKHETNRERIAAMGNSNKMWSRKSKAIDDGDSLQIKAGKQATNQPDQNKNPELVIGSISVPLGKNLAETHDRCPAECQTPKNNVQERFSKHDHVQIVANRSTIKFWRPVSRQERKSSLPVQNGIKEVEVIAENDGVQISSNESYRRSSAVDGSDGVLRMNLSSTLEESVQPGGLQFDSNAAKAFLAERWKEAVAGEHVTLVLSSNPKPPGCSGVEIDSSEKWMVKAGACEASTVGAAIAKYRTKPERGAKTKYIPKQRSAT >KJB23851 pep chromosome:Graimondii2_0_v6:4:28645329:28650343:-1 gene:B456_004G117900 transcript:KJB23851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEEPNDSLDTFIRQAIGKEPLLSFSRAGDSSVQWIQLLHALDQQEIPGWPLLTPLKVQMQKCDKCSREFCSPINYRRHIRVHHRLKKLDKDSAKNRDLLAAFWGKLSEDEAKEVVSFKDVALEEVPGSSVIKSLTTLVKRPGFSALPQVCLRAGSALLDLVQARPSRFPISSEELFSILDDASEGTFLCGAAVSMQKYIFDGEAGKIGLDTKNLVACTSFLVEQKLVKAWLADKDAEALRCQKLLVEEEEAAHKRQVELLERKRQKKLRQKEQKAKELRPWEMEEGKQNMDDSLEDNTPAETSSPKAVFDIDGQNAIISTDQLLPALEPIPFPNPEEGVDYRVQTEFYNQYCEPGTSQNGERRVEQVDGCQRIVVARWKTPPKSQRVVLNGFHANQNSHGFKSGGTNKHETNRERIAAMGNSNKMWSRKSKAIDDGDSLQIKAGKQATNQPDQNKNPELVIGSISVPLGKNLAETHDRCPAECQTPKNNVQERFSKHDHVQIVANRSTIKFWRPVSRQERKSSLPVQNGIKEVEVIAENDGVQISSNESYRRSSAVDGSDGVLRMNLSSTLEESVQPGGLQFDSNAAKAFLAERWKEAVAGEHVTLVLSSNPKPPGCSGVEIDSSEKWMVKAGACEASTVGAAIAKYRTKPERGAKTKYIPKQRSAT >KJB23850 pep chromosome:Graimondii2_0_v6:4:28645445:28650249:-1 gene:B456_004G117900 transcript:KJB23850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEEPNDSLDTFIRQAIGKEPLLSFSRAGDSSVQWIQLLHALDQQEIPGWPLLTPLKVQMQKCDKCSREFCSPINYRRHIRVHHRLKKLDKDSAKNRDLLAAFWGKLSEDEAKEVVSFKDVALEEVPGSSVIKSLTTLVKRPGFSALPQVCLRAGSALLDLVQARPSRFPISSEELFSILDDASEGTFLCGAAVSMQKYIFDGEAGKIGLDTKNLVACTSFLVEQKLVKAWLADKDAEALRCQKLLVEEEEAAHKRQVELLERKRQKKLRQKEQKAKELRPWEMEEGKQNMDDSLEDNTPAETSSPKAVFDIDGQNAIISTDQLLPALEPIPFPNPEEGVDYRVQTEFYNQYCEPGTSQNGERRVEQVDGCQRIVVARWKTPPKSQRVVLNGFHANQNSHGFKSGGTNKHETNRERIAAMGNSNKMWSRKSKAIDDGDSLQIKAGKQATNQPDQNKNPELVIGSISVPLGKNLAETHDRCPAECQTPKNNVQERFSKHDHVQIVANRSTIKFWRPVSRQERKSSLPVQNGIKEVEVIAENDGVQISSNESYRRSSAVDGSDGVLRMNLSSTLEESVQPGGLQFDSNAAKAFLAERWKEAVAGEHVTLVLSSNPKPPGCSGVEIDSSEKWMVKAGACEASTVGAAIAKYRTKPERGAKTKYIPKQRSAT >KJB23855 pep chromosome:Graimondii2_0_v6:4:28645445:28650367:-1 gene:B456_004G117900 transcript:KJB23855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFISQVPHSVSPLIDLSRSLPHILATSSADVMKSEEPNDSLDTFIRQAIGKEPLLSFSRAGDSSVQWIQLLHALDQQEIPGWPLLTPLKVQMQKCDKCSREFCSPINYRRHIRVHHRLKKLDKDSAKNRDLLAAFWGKLSEDEAKEVVSFKDVALEEVPGSSVIKSLTTLVKRPGFSALPQVCLRAGSALLDLVQARPSRFPISSEELFSILDDASEGTFLCGAAVSMQKYIFDGEAGKIGLDTKNLVACTSFLVEQKLVKAWLADKDAEALRCQKLLVEEEEAAHKRQVELLERKRQKKLRQKEQKAKELRPWEMEEGKQNMDDSLEDNTPAETSSPKAVFDIDGQNAIISTDQLLPALEPIPFPNPEEGVDYRVQTEFYNQYCEPGTSQNGERRVEQVDGCQRIVVARWKTPPKSQRVVLNGFHANQNSHGFKSGGTNKHETNRERIAAMGNSNKMWSRKSKAIDDGDSLQIKAGKQATNQPDQNKNPELVIGSISVPLGKNLAETHDRCPAECQTPKNNVQERFSKHDHVQIVANRSTIKFWRPVSRQERKSSLPVQNGIKEVEVIAENDGVQISSNESYRRSSAVDGSDGVLRMNLSSTLEESVQPGGLQFDSNAAKAFLAERWKEAVAGEHVTLVLSSNPKPPGCSGVEIDSSEKWMVKAGACEASTVGAAIAKYRTKPERGAKTKYIPKQRSAT >KJB23852 pep chromosome:Graimondii2_0_v6:4:28645695:28649336:-1 gene:B456_004G117900 transcript:KJB23852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEEPNDSLDTFIRQAIGKEPLLSFSRAGDSSVQWIQLLHALDQQEIPGWPLLTPLKVQMQKCDKCSREFCSPINYRRHIRVHHRLKKLDKDSAKNRDLLAAFWGKLSEDEAKEVVSFKDVALEEVPGSSVIKSLTTLVKRPGFSALPQVCLRAGSALLDLVQARPSRFPISSEELFSILDDASEGTFLCGAAVSMQKYIFDGEAGKIGLDTKNLVACTSFLVEQKLVKAWLADKDAEALRCQKLLVEEEEAAHKRQVELLERKRQKKLRQKEQKAKELRPWEMEEALEPIPFPNPEEGVDYRVQTEFYNQYCEPGTSQNGERRVEQVDGCQRIVVARWKTPPKSQRVVLNGFHANQNSHGFKSGGTNKHETNRERIAAMGNSNKMWSRKSKAIDDGDSLQIKAGKQATNQPDQNKNPELVIGSISVPLGKNLAETHDRCPAECQTPKNNVQERFSKHDHVQIVANRSTIKFWRPVSRQERKSSLPVQNGIKEVEVIAENDGVQISSNESYRRSSAVDGSDGVLRMNLSSTLEESVQPGGLQFDSNAAKAFLAERWKEAVAGEHVTLVLSSNPKPPGCSGVEIDSSEKWMVKAGACEASTVGAAIAKYRTKPERGAKTKYIPKQRSAT >KJB24952 pep chromosome:Graimondii2_0_v6:4:46954140:46954640:-1 gene:B456_004G169900 transcript:KJB24952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFNSLQELSLSDCVALTSIPEGGLPPNITSLEFHNCEKLKQPMSEWGLEKLNCLTEIKIVGACPAADTDSFPAESVMLPSTLTSICLESLENLECLSWELENLMALQELQIKGCHKLRFLPKTGLPVSLGRLCISDCPVLRDMCRKEKGKYWSIIRDIPCLEIY >KJB23363 pep chromosome:Graimondii2_0_v6:4:17244703:17247001:1 gene:B456_004G099300 transcript:KJB23363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVFEMTMGGLLLTMFYTSTVDTRLAIMALASCSTICSIITSKTRKGYMQPLYSGDQSPWLHQSRQRTLMPGCAEFVSQSPRVDSKEKMWNSPETAPAWTNSLVKGYYAKLGEGAIDHQDYYSTFHKTNLQKKFTEQEWEDFSQESTRKAMVELAASSEFTDWMIEHADRIKLLPRDDISDESVGSKSSSDDEDEESHSWFKLF >KJB23361 pep chromosome:Graimondii2_0_v6:4:17244703:17247001:1 gene:B456_004G099300 transcript:KJB23361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASCSTICSIITSKTRKGYMQPLYSGDQSPWLHQSRQRTLMPGCAEFVSQSPRVDSKEKMWNSPETAPAWTNSLVKGYYAKLGEGAIDHQDYYSTFHKTNLQKKFTEQEWEDFSQESTRKAMVELAASSEFTDWMIEHADRIKLLPRDDISDESVGSKSSSDDEDEESHSWFKLF >KJB23364 pep chromosome:Graimondii2_0_v6:4:17245094:17246914:1 gene:B456_004G099300 transcript:KJB23364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASCSTICSIITSKTRKGYMQPLYSGDQSPWLHQSRQRTLMPGCAEFVSQSPRVDSKEKMWNSPETAPAWTNSLVKGYYAKLGEGAIDHQDYYSTFHKTNLQKKFTEQEWEDFSQESTRKAMVELAASSEFTDWMIEHADRIKLLPRDDISDESVGSKSSSDDEDEESHSWFKLF >KJB23362 pep chromosome:Graimondii2_0_v6:4:17244700:17246914:1 gene:B456_004G099300 transcript:KJB23362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASCSTICSIITSKTRKGYMQPLYSGDQSPWLHQSRQRTLMPGCAEFVSQSPRVDSKEKMWNSPETAPAWTNSLVKGYYAKLGEGAIDHQDYYSTFHKTNLQKKFTEQEWEDFSQESTRKAMVELAASSEFTDWMIEHADRIKLLPRDDISDESVGSKSSSDDEDEESHSWFKLF >KJB25465 pep chromosome:Graimondii2_0_v6:4:51101142:51106578:-1 gene:B456_004G193200 transcript:KJB25465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLTNYTPLHARVEPEDYEDHRASRLKIISINKNKEVKLLKRKSNHDKAVVVPDYNQGNRKFVDDDTSNRSSSGSAISNSESCAQFGCADAGDLTGPAQSNVWDSMVPSKKRTCVNRPKPSSVEKLTKDLYTILHEQQSSYFSGSSEEDLLLESETPMVSVEIGHGSVLIRHPSSIAREEESEASSLSVENRQYSRNEAYSYSSSFPACNDSKGIKFLGHGIERAKSSAGQGIQHEQLNRDKSQHEKSLLMESHNSPLCNIDLNDILNYEEFVKCLTNEEQQQLLQYLPPLDIANLPDSLESMFESPQFKENLCYFQQLLEEGVFSVSVPGVKVEDCKTLKRLALFDLTKSHWVERRQTLKKCNSIPGSMNARGPNAIASNNSVTMKRSRNSQIQNFPESRTLKSPKRVIMKASCENKELIDNDGFCFSPRSLFALPSDGSSLMLDSLHFVDESSDQDLLLDVPSNGSFAQAELLHPALSFGQQASTSSSSAHPNPFHP >KJB25708 pep chromosome:Graimondii2_0_v6:4:53583839:53588598:-1 gene:B456_004G205800 transcript:KJB25708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTARENASVVDSSVTEWKNDMGNSDDLENEDGYPFKAQDLEHSHVADKRGKLCNKRYFIIKSLNHQNIQLSIDKGIWATQVMNEPILEDAFHNSGCVILIFSVNMSGFFQGYAQMMSSVGWRRDNVWSQGSGKGNPWGRSFKVKWLCLNHLPFQKTLHLKNPLNDYKPVKISRDCQELPQDIGEALCELLDVSNDVDVLLKRDDLASKRPCLEPPYSLGDQDYSVPPLHVPWPGTPMPYNPFFYQHQADPNRFHLTHPYTLATEYFPTSMGASKVASMKNSRINRNLTNLQINHDMSTQFDAWGLCAESPLASTLTEDDFLEMTYEEYLEAHGRTSKQLCRPVMIPSPTVQESTSGKHGDDSNSSLVTDRRHSRKRTHNSS >KJB25711 pep chromosome:Graimondii2_0_v6:4:53583839:53588598:-1 gene:B456_004G205800 transcript:KJB25711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTARENASVVDSSVTEWKNDMGNSDDLENEDGYPFKAQDLEHSHVADKRGKLCNKRYFIIKSLNHQNIQLSIDKGIWATQVMNEPILEDAFHNSGCVILIFSVNMSGFFQGYAQMMSSVGWRRDNVWSQGSGKGNPWGRSFKVKWLCLNHLPFQKTLHLKNPLNDYKPVKISRDCQELPQDIGEALCELLDVSNDVDVLLKRDDLASKRPCLEPPYSLGDQDYSVPPLHVPWPGTPMPYNPFFYQHQADPNRFHLTHPYTLATEYFPTSMGASKVAKSPLASTLTEDDFLEMTYEEYLEAHGRTSKQLCRPVMIPSPTVQESTSGKHGDDSNSSLVTDRRHSRKRTHNSS >KJB25709 pep chromosome:Graimondii2_0_v6:4:53584268:53587661:-1 gene:B456_004G205800 transcript:KJB25709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTARENASVVDSSVTEWKNDMGNSDDLENEDGYPFKAQDLEHSHVADKRGKLCNKRYFIIKSLNHQNIQLSIDKGIWATQVMNEPILEDAFHNSGCVILIFSVNMSGFFQGYAQMMSSVGWRRDNVWSQGSGKGNPWGRSFKVKWLCLNHLPFQKTLHLKNPLNDYKPVKISRDCQELPQDIGEALCELLDVSNDVDVLLKRDDLASKRPCLEPPYSLGDQDYSVPPLHVPWPGTPMPYNPFFYQHQADPNRFHLTHPYTLATEYFPTSMGASKVASMKNSRINRNLTNLQINHDMSTQFDAWGLCAESPLASTLTEDDFLEMTYEEYLEAHGRTSKQLCRPVSVMIPSPTVQESTSGKHGDDSNSSLVTDRRHSRKRTHNSS >KJB25713 pep chromosome:Graimondii2_0_v6:4:53585379:53588598:-1 gene:B456_004G205800 transcript:KJB25713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTARENASVVDSSVTEWKNDMGNSDDLENEDGYPFKAQDLEHSHVADKRGKLCNKRYFIIKSLNHQNIQLSIDKGIWATQVMNEPILEDAFHNSGCVILIFSVNMSGFFQGYAQMMSSVGWRRDNVWSQGSGKGNPWGRSFKVKWLCLNHLPFQKTLHLKNPLNDYKPVKISRDCQELPQDIGEALCELLDVSNDVDVLLKRDDLASKRPCLEPPYSLGDQDYSVPPLHVPWPGTPMPYNPFFYQHQADPNRFHLTHPYTLATEYFPTSMGASKVASMKNSRINRNLTNLQINHDMSTQFDAWGLCAESPLASTLTEDDFLEMVLGTCLSSILYSVEV >KJB25707 pep chromosome:Graimondii2_0_v6:4:53584268:53587661:-1 gene:B456_004G205800 transcript:KJB25707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTARENASVVDSSVTEWKNDMGNSDDLENEDGYPFKAQDLEHSHVADKRGKLCNKRYFIIKSLNHQNIQLSIDKGIWATQVMNEPILEDAFHNSGCVILIFSVNMSGFFQGYAQMMSSVGWRRDNVWSQGSGKGNPWGRSFKVKWLCLNHLPFQKTLHLKNPLNDYKPVKISRDCQELPQDIGEALCELLDVSNDVDVLLKRDDLASKRPCLEPPYSLGDQDYSVPPLHVPWPGTPMPYNPFFYQHQADPNRFHLTHPYTLATEYFPTSMGASKVASMKNSRINRNLTNLQINHDMSTQFDAWGLCAESPLASTLTEDDFLEMTYEEYLEAHGRTSKQLCRPVMIPSPTVQESTSGKHGDDSNSSLVTDRRHSRKRTHNSS >KJB25712 pep chromosome:Graimondii2_0_v6:4:53583839:53588852:-1 gene:B456_004G205800 transcript:KJB25712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTARENASVVDSSVTEWKNDMGNSDDLENEDGYPFKAQDLEHSHVADKRGKLCNKRYFIIKSLNHQNIQLSIDKGIWATQVMNEPILEDAFHNSGCVILIFSVNMSGFFQGYAQMMSSVGWRRDNVWSQGSGKGNPWGRSFKVKWLCLNHLPFQKTLHLKNPLNDYKPVKISRDCQELPQDIGEALCELLDVSNDVDVLLKRDDLASKRPCLEPPYSLGDQDYSVPPLHVPWPGTPMPYNPFFYQHQADPNRFHLTHPYTLATEYFPTSMGASKVAKSPLASTLTEDDFLEMTYEEYLEAHGRTSKQLCRPVMIPSPTVQESTSGKHGDDSNSSLVTDRRHSRKRTHNSS >KJB25710 pep chromosome:Graimondii2_0_v6:4:53585529:53587661:-1 gene:B456_004G205800 transcript:KJB25710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTARENASVVDSSVTEWKNDMGNSDDLENEDGYPFKAQDLEHSHVADKRGKLCNKRYFIIKSLNHQNIQLSIDKGIWATQVMNEPILEDAFHNSGCVILIFSVNMSGFFQGYAQMMSSVGWRRDNVWSQGSGKGNPWGRSFKVKWLCLNHLPFQKTLHLKNPLNDYKPVKISRDCQELPQDIGEALCELLDVSNDVDVLLKRDDLASKRPCLEPPYSLGDQDYSVPPLHVPWPGTPMPYNPFFYQHQADPNRFHLTHPYTLATEYFPTSMGASKVAKSPLASTLTEDDFLEMVLGTCLSSILYSVEV >KJB22795 pep chromosome:Graimondii2_0_v6:4:6767708:6770159:1 gene:B456_004G066000 transcript:KJB22795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKPRNEDQRMHHGAQSVLNSTAYSEPWWKAVGIDLLGEAASKSPSAEKKNGPTADGDGRNGHDEQYLKHVPSAAPLTLVEHLEPNSQMELAGHSIVLKSYPCSDLQYSGILASYGPQIMVSPLYGMHHARMPLPLQMEEEPVYVNAKQYHGILRRRQIRAKAELEKKVIKVRKPYLHESRHLHAMRRARGSGGRFLNKKKLDDHITSPNSEKGMNSDENISAKSAHLSGFECLSSSGTGNLSSFYGQQEGNESLVKDLHKAQPLANSTLHLSSNNAEKGNYFRQQRDIVQGNGAQHGAPSIK >KJB22796 pep chromosome:Graimondii2_0_v6:4:6767040:6770882:1 gene:B456_004G066000 transcript:KJB22796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKPRNEDQRMHHGAQSVLNSTAYSEPWWKAVGIDLLGEAASKSPSAEKKNGPTADGDGRNGHDEQYLKHVPSAAPLTLVEHLEPNSQMELAGHSIVLKSYPCSDLQYSGILASYGPQIMVSPLYGMHHARMPLPLQMEEEPVYVNAKQYHGILRRRQIRAKAELEKKVIKVRKPYLHESRHLHAMRRARGSGGRFLNKKKLDDHITSPNSEKGMNSDENISAKSAHLSGFECLSSSGTGNLSSFYGQQEGNESLVKDLHKAQPLANSTLHLSSNNAEKGNYFRQQRDIVQGNGAQHGAPSIK >KJB26133 pep chromosome:Graimondii2_0_v6:4:56133391:56136326:1 gene:B456_004G227000 transcript:KJB26133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMVKGFRFHPTDEELIEYLQIKTFNRDSLVQVIAEIPDICEFEPWELPGRSVLQTGDRLWYFMYPPKYKYRNSKLVSRTTLEGYWKITGKARKIVNSETGMEIGNKKTLLFYKGQCNDKIRNNTCWVMHEYELKAMLDSTNSHQKTFKLCKLKKKTNISSKEAGQLDQYSLSDLENHVAINAPLEDILDPNGSSEPEASNNHNDVHNLCRTVDTYGDERSNQHNIVDEDEGPNISTNFVNHVAEDAIPEVPSHIFKVYQNGLEDNNWVQDLYSTIEQDDESCNLIITSFDETITNESSNQHNIVVAEKGIETPIISYDETVTNERSNQHNIVVIEEGTEMSVISYDETVANERSNQHNIVDVDEGFEMPSNLKYLVEEDTISTELLYNDGLYSRSLFGELLAEPEATNNSNWIQNQYITKKEDGEFLNSTLADKNKAYLQEGNTQWCLAADGEGFSLPCIGALMESSNSMEKSSKRPRRM >KJB26132 pep chromosome:Graimondii2_0_v6:4:56133391:56136326:1 gene:B456_004G227000 transcript:KJB26132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMVKGFRFHPTDEELIEYLQIKTFNRDSLVQVIAEIPDICEFEPWELPGRSVLQTGDRLWYFMYPPKYKYRNSKLVSRTTLEGYWKITGKARKIVNSETGMEIGNKKTLLFYKGQCNDKIRNNTCWVMHEYELKAMLDSTNSHQDILDPNGSSEPEASNNHNDVHNLCRTVDTYGDERSNQHNIVDEDEGPNISTNFVNHVAEDAIPEVPSHIFKVYQNGLEDNNWVQDLYSTIEQDDESCNLIITSFDETITNESSNQHNIVVAEKGIETPIISYDETVTNERSNQHNIVVIEEGTEMSVISYDETVANERSNQHNIVDVDEGFEMPSNLKYLVEEDTISTELLYNDGLYSRSLFGELLAEPEATNNSNWIQNQYITKKEDGEFLNSTLADKNKAYLQEGNTQWCLAADGEGFSLPCIGALMESSNSMEKSSKRPRRM >KJB26191 pep chromosome:Graimondii2_0_v6:4:56639668:56657136:-1 gene:B456_004G230500 transcript:KJB26191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLMMMLERPCRKICEVQRSTVVQKSRRLALLMQTSYLDPPILRGEPDVGISTGHAEKPCSYMQQCISSADLQTCNDQNTCIVCKLDGKLLRCHGKGCQRSYHLSCLEPPLEEFHLGVWYCLSCVRKKLESGVYSVSEGIEAIWDSRELEALEDGLRGQKQYFVKYKGLAHVHNCWLPENQVLLEAPLLVAKYNRKNQGSVWKQHWAVPHHLLQKRLLITECDRHCNGHDDDKLCCHVEWLVKWCGLGYEHASWELDNASFFRCPEGQSLIRDYETCFKKGKKSSKFKDRAGTSLKFSQLPAGVSSGIDANLDFVSKLSNNWPRSQNAVIFDNQERIPNVISFIMSFPSDKSRPFLIISTSTLQYLWDEEFLRLEPATDVVVYSGSKEIRNSIRNLEFYEEGGCVMFQVLITSPEVVSEDLNVLDCIGWEVIILDECQRPTIASCFEQIKMFTASKRLLIISSQLKDNVVEYLNLLSLLDSESDSNGSDSLQMTSSDNIAILKERLAKYVAYESSRFVEYWVPVLLSIPQLDRYCFTLLSNSLSLCSPSKTDPVGALRNILITSRKCCDHPYVVDESLQMRLTKGLKDVEFLDVGIKASGKLQLLDAILLEIKKQELKVLVLFQYTGGSGRDLMGDILDDFLRQRFGTDSYERVDGGVTPSRKQSALNKFNNERKRFVFLLETRACLSSIKLSAISTVVIFGSDWSPVNDLRALQKITLDSHFEEIKVFRLYSAFTVEEKILMLSKQDKTLDNNIVNISPSSSHMLLKWGAPYLLSQLEKIHGIPALDASNLPEQSLLKDVIQEFFILLSQTGIDNDASKLSLILQAKQNQGMYRTEMPLFGEQKIQVMNEDPPHIFWTKLLEGKSPRWKYCSSFQRNRKRGLYLDDIQKKSEVESAEVVKRRKVVNDGNDHPSPRHGLQEDRQGSTGTSVSPLSKLADPVSDKIHATNSIDLASDISETPSLNMVEWERRRKQLDSQKTLHVILWPQIAKLCEVLHLSEGVRDLAGKFLEYVMNNHLVNREPETILQAFQISLCWCTASLLKQKIDHKESLALAKQHLGFTCKKEEAAYVNSLLRCLKRMFVYRTGCLKVSNPSKGSELSIKADGNTEDKDSLRFQEASDAQVIAESGVSREFQLAQRDLAKSINESEKKFDKQLTKLTEKQKEEMKQLKKKYEEEKALLENKKQTEAAVIRLHSNFLMRTNKIKNLDIEYASKFDELKQRMDTGLKNLEASQGAARSNVLERKTRWVEAVKSWARVELVKPPVSKANLPEGSSSSSVQSAKGSEVRLSEVLPDKVDPIYMAGPCKENSKVALIEEGNKTVCLGVGEEQAINKDSCPKELVSVGELPNVGVQVPPTVSSGDVTESVLSLRRLNEDQISDEYKLKMSNGNPETVSPTDALENAVPIEACSHEEIPDGTTLSKPNTEVPLKTAKSVIFCEGQNNLASVQVPSSEINSDIYKLTKVDGEVPLKESVVANFNAGQETHVSAEAPSSEKIPDGAALGKAVGDICFRTTKIVNSSGGQENVLLLEAPSPGENPVRTTLSNLDGEVHLRAAETVSSREDHENLPSLVTPSSEKISCGTTLTMVEGELALNASEVCQGNIISANTSSEKEILGGATLNVLDGEVPNISSEIASSSDDMNNVVCTNPSTSKEQEQIPDTAALSMPAEEISLAEPETACSELLEGGSAHRENDGTCAIEIDRLDGILCFMNLEPEFQERSLADPSSLQAVADLVSPNVGSLPYASSGIQTRDVANNEMRNASQVAETLPSNGAVDVTCNVSNPDTQQLRSTESILNLSPDLPSVSATEHQPSNDGQHANLISQAQRQSITNHIDLSSQDVLQPLHSPINGTIGRHLRQISETSTASVPSVSRGHPLQTAPPVSSRTPLPLYPDPLKNEMERISQERDQTIKVHEDTKLQLKFECEKEIEEVVAQVRRKYEVKLQEKETEFLIRKEELDVNYNKVLLNNILAEAFRSKCMDSGASGSAGIQQEANSSFMQQLLQLSSQRMVQQPSTASGLPSTGSATSMQTVSPAVVNTQTMGPSLWPSGASGLPSTSSGFHRSLVECQVSCHTTLHTGSYQLLAKLVGSGMKLPEG >KJB26189 pep chromosome:Graimondii2_0_v6:4:56641385:56656340:-1 gene:B456_004G230500 transcript:KJB26189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPVKRKSEQIEKQKNLSPLRRSERDKMLSSSGSLGSKKSDTSPGFLDRKRKKEKEENSVKPLTTRSVEVKQNEQEDGQGEVQKKRMDARAYRALLRKTPQQVDRADHCGDLNRTDCRNREVELLEKLTERTHERSVVASTNQSVKEAFEKNDEHKSFSTCQKDSCKDMCSTKDVPQIVKNRLVDGEVNDDAGKAMQENLRSPKVNCGSKKQETCSFNANQLFGSSDTKDRGEPDVGISTGHAEKPCSYMQQCISSADLQTCNDQNTCIVCKLDGKLLRCHGKGCQRSYHLSCLEPPLEEFHLGVWYCLSCVRKKLESGVYSVSEGIEAIWDSRELEALEDGLRGQKQYFVKYKGLAHVHNCWLPENQVLLEAPLLVAKYNRKNQGSVWKQHWAVPHHLLQKRLLITECDRHCNGHDDDKLCCHVEWLVKWCGLGYEHASWELDNASFFRCPEGQSLIRDYETCFKKGKKSSKFKDRAGTSLKFSQLPAGVSSGIDANLDFVSKLSNNWPRSQNAVIFDNQERIPNVISFIMSFPSDKSRPFLIISTSTLQYLWDEEFLRLEPATDVVVYSGSKEIRNSIRNLEFYEEGGCVMFQVLITSPEVVSEDLNVLDCIGWEVIILDECQRPTIASCFEQIKMFTASKRLLIISSQLKDNVVEYLNLLSLLDSESDSNGSDSLQMTSSDNIAILKERLAKYVAYESSRFVEYWVPVLLSIPQLDRYCFTLLSNSLSLCSPSKTDPVGALRNILITSRKCCDHPYVVDESLQMRLTKGLKDVEFLDVGIKASGKLQLLDAILLEIKKQELKVLVLFQYTGGSGRDLMGDILDDFLRQRFGTDSYERVDGGVTPSRKQSALNKFNNERKRFVFLLETRACLSSIKLSAISTVVIFGSDWSPVNDLRALQKITLDSHFEEIKVFRLYSAFTVEEKILMLSKQDKTLDNNIVNISPSSSHMLLKWGAPYLLSQLEKIHGIPALDASNLPEQSLLKDVIQEFFILLSQTGIDNDASKLSLILQAKQNQGMYRTEMPLFGEQKIQVMNEDPPHIFWTKLLEGKSPRWKYCSSFQRNRKRGLYLDDIQKKSEVESAEVVKRRKVVNDGNDHPSPRHGLQEDRQGSTGTSVSPLSKLADPVSDKIHATNSIDLASDISETPSLNMVEWERRRKQLDSQKTLHVILWPQIAKLCEVLHLSEGVRDLAGKFLEYVMNNHLVNREPETILQAFQISLCWCTASLLKQKIDHKESLALAKQHLGFTCKKEEAAYVNSLLRCLKRMFVYRTGCLKVSNPSKGSELSIKADGNTEDKDSLRFQEASDAQVIAESGVSREFQLAQRDLAKSINESEKKFDKQLTKLTEKQKEEMKQLKKKYEEEKALLENKKQTEAAVIRLHSNFLMRTNKIKNLDIEYASKFDELKQRMDTGLKNLEASQGAARSNVLERKTRWVEAVKSWARVELVKPPVSKANLPEGSSSSSVQSAKGSEVRLSEVLPDKVDPIYMAGPCKENSKVALIEEGNKTVCLGVGEEQAINKDSCPKELVSVGELPNVGVQVPPTVSSGDVTESVLSLRRLNEDQISDEYKLKMSNGNPETVSPTDALENAVPIEACSHEEIPDGTTLSKPNTEVPLKTAKSVIFCEGQNNLASVQVPSSEINSDIYKLTKVDGEVPLKESVVANFNAGQETHVSAEAPSSEKIPDGAALGKAVGDICFRTTKIVNSSGGQENVLLLEAPSPGENPVRTTLSNLDGEVHLRAAETVSSREDHENLPSLVTPSSEKISCGTTLTMVEGELALNASEVCQGNIISANTSSEKEILGGATLNVLDGEVPNISSEIASSSDDMNNVVCTNPSTSKEQEQIPDTAALSMPAEEISLAEPETACSELLEGGSAHRENDGTCAIEIDRLDGILCFMNLEPEFQERSLADPSSLQAVADLVSPNVGSLPYASSGIQTRDVANNEMRNASQVAETLPSNGAVDVTCNVSNPDTQQLRSTESILNLSPDLPSVSATEHQPSNDGQHANLISQAQRQSITNHIDLSSQDVLQPLHSPINGTIGRHLRQISETSTASVPSVSRGHPLQTAPPVSSRTPLPLYPDPLKNEMERISQERDQTIKVHEDTTSSLNRSCS >KJB26194 pep chromosome:Graimondii2_0_v6:4:56639668:56657044:-1 gene:B456_004G230500 transcript:KJB26194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPVKRKSEQIEKQKNLSPLRRSERDKMLSSSGSLGSKKSDTSPGFLDRKRKKEKEENSVKPLTTRSVEVKQNEQEDGQGEVQKKRMDARAYRALLRKTPQQVDRADHCGDLNRTDCRNREVELLEKLTERTHERSVVASTNQSVKEAFEKNDEHKSFSTCQKDSCKDMCSTKDVPQIVKNRLVDGEVNDDAGKAMQENLRSPKVNCGSKKQETCSFNANQLFGSSDTKDRGEPDVGISTGHAEKPCSYMQQCISSADLQTCNDQNTCIVCKLDGKLLRCHGKGCQRSYHLSCLEPPLEEFHLGVWYCLSCVRKKLESGVYSVSEGIEAIWDSRELEALEDGLRGQKQYFVKYKGLAHVHNCWLPENQVLLEAPLLVAKYNRKNQGSVWKQHWAVPHHLLQKRLLITECDRHCNGHDDDKLCCHVEWLVKWCGLGYEHASWELDNASFFRCPEGQSLIRDYETCFKKGKKSSKFKDRAGTSLKFSQLPAGVSSGIDANLDFVSKLSNNWPRSQNAVIFDNQERIPNVISFIMSFPSDKSRPFLIISTSTLQYLWDEEFLRLEPATDVVVYSGSKEIRNSIRNLEFYEEGGCVMFQVLITSPEVVSEDLNVLDCIGWEVIILDECQRPTIASCFEQIKMFTASKRLLIISSQLKDNVVEYLNLLSLLDSESDSNGSDSLQMTSSDNIAILKERLAKYVAYESSRFVEYWVPVLLSIPQLDRYCFTLLSNSLSLCSPSKTDPVGALRNILITSRKCCDHPYVVDESLQMRLTKGLKDVEFLDVGIKASGKLQLLDAILLEIKKQELKVLVLFQYTGGSGRDLMGDILDDFLRQRFGTDSYERVDGGVTPSRKQSALNKFNNERKRFVFLLETRACLSSIKLSAISTVVIFGSDWSPVNDLRALQKITLDSHFEEIKVFRLYSAFTVEEKILMLSKQDKTLDNNIVNISPSSSHMLLKWGAPYLLSQLEKIHGIPALDASNLPEQSLLKDVIQEFFILLSQTGIDNDASKLSLILQAKQNQGMYRTEMPLFGEQKIQVMNEDPPHIFWTKLLEGKSPRWKYCSSFQRNRKRGLYLDDIQKKSEVESAEVVKRRKVVNDGNDHPSPRHGLQEDRQGSTGTSVSPLSKLADPVSDKIHATNSIDLASDISETPSLNMVEWERRRKQLDSQKTLHVILWPQIAKLCEVLHLSEGVRDLAGKFLEYVMNNHLVNREPETILQAFQISLCWCTASLLKQKIDHKESLALAKQHLGFTCKKEEAAYVNSLLRCLKRMFVYRTGCLKVSNPSKGSELSIKADGNTEDKDSLRFQEASDAQVIAESGVSREFQLAQRDLAKSINESEKKFDKQLTKLTEKQKEEMKQLKKKYEEEKALLENKKQTEAAVIRLHSNFLMRTNKIKNLDIEYASKFDELKQRMDTGLKNLEASQGAARSNVLERKTRWVEAVKSWARVELVKPPVSKANLPEGSSSSSVQSAKGSEVRLSEVLPDKVDPIYMAGPCKENSKVALIEEGNKTVCLGVGEEQAINKDSCPKELVSVGELPNVGVQVPPTVSSGDVTESVLSLRRLNEDQISDEYKLKMSNGNPETVSPTDALENAVPIEACSHEEIPDGTTLSKPNTEVPLKTAKSVIFCEGQNNLASVQVPSSEINSDIYKLTKVDGEVPLKESVVANFNAGQETHVSAEAPSSEKIPDGAALGKAVGDICFRTTKIVNSSGGQENVLLLEAPSPGENPVRTTLSNLDGEVHLRAAETVSSREDHENLPSLVTPSSEKISCGTTLTMVEGELALNASEVCQGNIISANTSSEKEILGGATLNVLDGEVPNISSEIASSSDDMNNVVCTNPSTSKEQEQIPDTAALSMPAEEISLAEPETACSELLEGGSAHRENDGTCAIEIDRLDGILCFMNLEPEFQERSLADPSSLQAVADLVSPNVGSLPYASSGIQTRDVANNEMRNASQVAETLPSNGAVDVTCNVSNPDTQQLRSTESILNLSPDLPSVSATEHQPSNDGQHANLISQAQRQSITNHIDLSSQDVLQPLHSPINGTIGRHLRQISETSTASVPSVSRGHPLQTAPPVSSRTPLPLYPDPLKNEMERISQERDQTIKVHEDTKLQLKFECEKEIEEVVAQVRRKYEVKLQEKETEFLIRKEELDVNYNKVLLNNILAEAFRSKCMDSGASGSAGIQQEANSSFMQQLLQLSSQRMVQQPSTASGLPSTGSATSMQTVSPAVVNTQTMGPSLWPSGASGLPSTSSGTTTRPPCISSVSHVTGNFQMGSEIRAPAPHLHAYRPSASISLSRVPSQSRGMSSQLSHNLAYRQLSTTGQAGRIRHEIAGGLAALPNSSLPSMDVLMGMHNQVSGANPNPPSNLLPGVSSSLALSIRSNPAQHSGGATDIVCLSDDD >KJB26190 pep chromosome:Graimondii2_0_v6:4:56639668:56657044:-1 gene:B456_004G230500 transcript:KJB26190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPVKRKSEQIEKQKNLSPLRRSERDKMLSSSGSLGSKKSDTSPGFLDRKRKKEKEENSVKPLTTRSVEVKQNEQEDGQGEVQKKRMDARAYRALLRKTPQQVDRADHCGDLNRTDCRNREVELLEKLTERTHERSVVASTNQSVKEAFEKNDEHKSFSTCQKDSCKDMCSTKDVPQIVKNRLVDGEVNDDAGKAMQENLRSPKVNCGSKKQETCSFNANQLFGSSDTKDRGEPDVGISTGHAEKPCSYMQQCISSADLQTCNDQNTCIVCKLDGKLLRCHGKGCQRSYHLSCLEPPLEEFHLGVWYCLSCVRKKLESGVYSVSEGIEAIWDSRELEALEDGLRGQKQYFVKYKGLAHVHNCWLPENQVLLEAPLLVAKYNRKNQGSVWKQHWAVPHHLLQKRLLITECDRHCNGHDDDKLCCHVEWLVKWCGLGYEHASWELDNASFFRCPEGQSLIRDYETCFKKGKKSSKFKDRAGTSLKFSQLPAGVSSGIDANLDFVSKLSNNWPRSQNAVIFDNQERIPNVISFIMSFPSDKSRPFLIISTSTLQYLWDEEFLRLEPATDVVVYSGSKEIRNSIRNLEFYEEGGCVMFQVLITSPEVVSEDLNVLDCIGWEVIILDECQRPTIASCFEQIKMFTASKRLLIISSQLKDNVVEYLNLLSLLDSESDSNGSDSLQMTSSDNIAILKERLAKYVAYESSRFVEYWVPVLLSIPQLDRYCFTLLSNSLSLCSPSKTDPVGALRNILITSRKCCDHPYVVDESLQMRLTKGLKDVEFLDVGIKASGKLQLLDAILLEIKKQELKVLVLFQYTGGSGRDLMGDILDDFLRQRFGTDSYERVDGGVTPSRKQSALNKFNNERKRFVFLLETRACLSSIKLSAISTVVIFGSDWSPVNDLRALQKITLDSHFEEIKVFRLYSAFTVEEKILMLSKQDKTLDNNIVNISPSSSHMLLKWGAPYLLSQLEKIHGIPALDASNLPEQSLLKDVIQEFFILLSQTGIDNDASKLSLILQAKQNQGMYRTEMPLFGEQKIQVMNEDPPHIFWTKLLEGKSPRWKYCSSFQRNRKRGLYLDDIQKKSEVESAEVVKRRKVVNDGNDHPSPRHGLQEDRQGSTGTSVSPLSKLADPVSDKIHATNSIDLASDISETPSLNMVEWERRRKQLDSQKTLHVILWPQIAKLCEVLHLSEGVRDLAGKFLEYVMNNHLVNREPETILQAFQISLCWCTASLLKQKIDHKESLALAKQHLGFTCKKEEAAYVNSLLRCLKRMFVYRTGCLKVSNPSKGSELSIKADGNTEDKDSLRFQEASDAQVIAESGVSREFQLAQRDLAKSINESEKKFDKQLTKLTEKQKEEMKQLKKKYEEEKALLENKKQTEAAVIRLHSNFLMRTNKIKNLDIEYASKFDELKQRMDTGLKNLEASQGAARSNVLERKTRWVEAVKSWARVELVKPPVSKANLPEGSSSSSVQSAKGSEVRLSEVLPDKVDPIYMAGPCKENSKVALIEEGNKTVCLGVGEEQAINKDSCPKELVSVGELPNVGVQVPPTVSSGDVTESVLSLRRLNEDQISDEYKLKMSNGNPETVSPTDALENAVPIEACSHEEIPDGTTLSKPNTEVPLKTAKSVIFCEGQNNLASVQVPSSEINSDIYKLTKVDGEVPLKESVVANFNAGQETHVSAEAPSSEKIPDGAALGKAVGDICFRTTKIVNSSGGQENVLLLEAPSPGENPVRTTLSNLDGEVHLRAAETVSSREDHENLPSLVTPSSEKISCGTTLTMVEGELALNASEVCQGNIISANTSSEKEILGGATLNVLDGEVPNISSEIASSSDDMNNVVCTNPSTSKEQEQIPDTAALSMPAEEISLAEPETACSELLEGGSAHRENDGTCAIEIDRLDGILCFMNLEPEFQERSLADPSSLQAVADLVSPNVGSLPYASSGIQTRDVANNEMRNASQVAETLPSNGAVDVTCNVSNPDTQQLRSTESILNLSPDLPSVSATEHQPSNDGQHANLISQAQRQSITNHIDLSSQDVLQPLHSPINGTIGRHLRQISETSTASVPSVSRGHPLQTAPPVSSRTPLPLYPDPLKNEMERISQERDQTIKVHEDTKLQLKFECEKEIEEVVAQVRRKYEVKLQEKETEFLIRKEELDVNYNKVLLNNILAEAFRSKCMDSGASGSAGIQQEANSSFMQQLLQLSSQRMVQQPSTASGLPSTGSATSMQTVSPAVVNTQTMGPSLWPSGASGLPSTSSGTTTRPPCISSVSHVTGNFQMGSEIRAPAPHLHAYRPSASISLSRVPSQSRGMSSQLSHNLAYRQLSTTGQAGRIRHEIAGGLAALPNSSLPSMDVLMGMHNQVSGANPNPPSNLLPGVSSSLALSIRSNPAQHSGGATDIVCLSDDD >KJB26192 pep chromosome:Graimondii2_0_v6:4:56639920:56655579:-1 gene:B456_004G230500 transcript:KJB26192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLMMMLERPCRKICEVQRSTVVQKSRRLALLMQTSYLDPPILRGEPDVGISTGHAEKPCSYMQQCISSADLQTCNDQNTCIVCKLDGKLLRCHGKGCQRSYHLSCLEPPLEEFHLGVWYCLSCVRKKLESGVYSVSEGIEAIWDSRELEALEDGLRGQKQYFVKYKGLAHVHNCWLPENQVLLEAPLLVAKYNRKNQGSVWKQHWAVPHHLLQKRLLITECDRHCNGHDDDKLCCHVEWLVKWCGLGYEHASWELDNASFFRCPEGQSLIRDYETCFKKGKKSSKFKDRAGTSLKFSQLPAGVSSGIDANLDFVSKLSNNWPRSQNAVIFDNQERIPNVISFIMSFPSDKSRPFLIISTSTLQYLWDEEFLRLEPATDVVVYSGSKEIRNSIRNLEFYEEGGCVMFQVLITSPEVVSEDLNVLDCIGWEVIILDECQRPTIASCFEQIKMFTASKRLLIISSQLKDNVVEYLNLLSLLDSESDSNGSDSLQMTSSDNIAILKERLAKYVAYESSRFVEYWVPVLLSIPQLDRYCFTLLSNSLSLCSPSKTDPVGALRNILITSRKCCDHPYVVDESLQMRLTKGLKDVEFLDVGIKASGKLQLLDAILLEIKKQELKVLVLFQYTGGSGRDLMGDILDDFLRQRFGTDSYERVDGGVTPSRKQSALNKFNNERKRFVFLLETRACLSSIKLSAISTVVIFGSDWSPVNDLRALQKITLDSHFEEIKVFRLYSAFTVEEKILMLSKQDKTLDNNIVNISPSSSHMLLKWGAPYLLSQLEKIHGIPALDASNLPEQSLLKDVIQEFFILLSQTGIDNDASKLSLILQAKQNQGMYRTEMPLFGEQKIQVMNEDPPHIFWTKLLEGKSPRWKYCSSFQRNRKRGLYLDDIQKKSEVESAEVVKRRKVVNDGNDHPSPRHGLQEDRQGSTGTSVSPLSKLADPVSDKIHATNSIDLASDISETPSLNMVEWERRRKQLDSQKTLHVILWPQIAKLCEVLHLSEGVRDLAGKFLEYVMNNHLVNREPETILQAFQISLCWCTASLLKQKIDHKESLALAKQHLGFTCKKEEAAYVNSLLRCLKRMFVYRTGCLKVSNPSKGSELSIKADGNTEDKDSLRFQEASDAQVIAESGVSREFQLAQRDLAKSINESEKKFDKQLTKLTEKQKEEMKQLKKKYEEEKALLENKKQTEAAVIRLHSNFLMRTNKIKNLDIEYASKFDELKQRMDTGLKNLEASQGAARSNVLERKTRWVEAVKSWARVELVKPPVSKANLPEGSSSSSVQSAKGSEVRLSEVLPDKVDPIYMAGPCKENSKVALIEEGNKTVCLGVGEEQAINKDSCPKELVSVGELPNVGVQVPPTVSSGDVTESVLSLRRLNEDQISDEYKLKMSNGNPETVSPTDALENAVPIEACSHEEIPDGTTLSKPNTEVPLKTAKSVIFCEGQNNLASVQVPSSEINSDIYKLTKVDGEVPLKESVVANFNAGQETHVSAEAPSSEKIPDGAALGKAVGDICFRTTKIVNSSGGQENVLLLEAPSPGENPVRTTLSNLDGEVHLRAAETVSSREDHENLPSLVTPSSEKISCGTTLTMVEGELALNASEVCQGNIISANTSSEKEILGGATLNVLDGEVPNISSEIASSSDDMNNVVCTNPSTSKEQEQIPDTAALSMPAEEISLAEPETACSELLEGGSAHRENDGTCAIEIDRLDGILCFMNLEPEFQERSLADPSSLQAVADLVSPNVGSLPYASSGIQTRDVANNEMRNASQVAETLPSNGAVDVTCNVSNPDTQQLRSTESILNLSPDLPSVSATEHQPSNDGQHANLISQAQRQSITNHIDLSSQDVLQPLHSPINGTIGRHLRQISETSTASVPSVSRGHPLQTAPPVSSRTPLPLYPDPLKNEMERISQERDQTIKVHEDTKLQLKFECEKEIEEVVAQVRRKYEVKLQEKETEFLIRKEELDVNYNKVLLNNILAEAFRSKCMDSGASGSAGIQQEANSSFMQQLLQLSSQRMVQQPSTASGLPSTGSATSMQTVSPAVVNTQTMGPSLWPSGASGLPSTSSGTTTRPPCISSVSHVTGNFQMGSEIRAPAPHLHAYRPSASISLSRVPSQSRGMSSQLSHNLAYRQLSTTGQAGRIRHEIAGGLAALPNSSLPSMDVLMGMHNQVSGANPNPPSNLLPGVSSSLALSIRSNPAQHSGGATDIVCLSDDD >KJB26193 pep chromosome:Graimondii2_0_v6:4:56639920:56655579:-1 gene:B456_004G230500 transcript:KJB26193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLMMMLERPCRKICEVQSWVNCGSKKQETCSFNANQLFGSSDTKDRGEPDVGISTGHAEKPCSYMQQCISSADLQTCNDQNTCIVCKLDGKLLRCHGKGCQRSYHLSCLEPPLEEFHLGVWYCLSCVRKKLESGVYSVSEGIEAIWDSRELEALEDGLRGQKQYFVKYKGLAHVHNCWLPENQVLLEAPLLVAKYNRKNQGSVWKQHWAVPHHLLQKRLLITECDRHCNGHDDDKLCCHVEWLVKWCGLGYEHASWELDNASFFRCPEGQSLIRDYETCFKKGKKSSKFKDRAGTSLKFSQLPAGVSSGIDANLDFVSKLSNNWPRSQNAVIFDNQERIPNVISFIMSFPSDKSRPFLIISTSTLQYLWDEEFLRLEPATDVVVYSGSKEIRNSIRNLEFYEEGGCVMFQVLITSPEVVSEDLNVLDCIGWEVIILDECQRPTIASCFEQIKMFTASKRLLIISSQLKDNVVEYLNLLSLLDSESDSNGSDSLQMTSSDNIAILKERLAKYVAYESSRFVEYWVPVLLSIPQLDRYCFTLLSNSLSLCSPSKTDPVGALRNILITSRKCCDHPYVVDESLQMRLTKGLKDVEFLDVGIKASGKLQLLDAILLEIKKQELKVLVLFQYTGGSGRDLMGDILDDFLRQRFGTDSYERVDGGVTPSRKQSALNKFNNERKRFVFLLETRACLSSIKLSAISTVVIFGSDWSPVNDLRALQKITLDSHFEEIKVFRLYSAFTVEEKILMLSKQDKTLDNNIVNISPSSSHMLLKWGAPYLLSQLEKIHGIPALDASNLPEQSLLKDVIQEFFILLSQTGIDNDASKLSLILQAKQNQGMYRTEMPLFGEQKIQVMNEDPPHIFWTKLLEGKSPRWKYCSSFQRNRKRGLYLDDIQKKSEVESAEVVKRRKVVNDGNDHPSPRHGLQEDRQGSTGTSVSPLSKLADPVSDKIHATNSIDLASDISETPSLNMVEWERRRKQLDSQKTLHVILWPQIAKLCEVLHLSEGVRDLAGKFLEYVMNNHLVNREPETILQAFQISLCWCTASLLKQKIDHKESLALAKQHLGFTCKKEEAAYVNSLLRCLKRMFVYRTGCLKVSNPSKGSELSIKADGNTEDKDSLRFQEASDAQVIAESGVSREFQLAQRDLAKSINESEKKFDKQLTKLTEKQKEEMKQLKKKYEEEKALLENKKQTEAAVIRLHSNFLMRTNKIKNLDIEYASKFDELKQRMDTGLKNLEASQGAARSNVLERKTRWVEAVKSWARVELVKPPVSKANLPEGSSSSSVQSAKGSEVRLSEVLPDKVDPIYMAGPCKENSKVALIEEGNKTVCLGVGEEQAINKDSCPKELVSVGELPNVGVQVPPTVSSGDVTESVLSLRRLNEDQISDEYKLKMSNGNPETVSPTDALENAVPIEACSHEEIPDGTTLSKPNTEVPLKTAKSVIFCEGQNNLASVQVPSSEINSDIYKLTKVDGEVPLKESVVANFNAGQETHVSAEAPSSEKIPDGAALGKAVGDICFRTTKIVNSSGGQENVLLLEAPSPGENPVRTTLSNLDGEVHLRAAETVSSREDHENLPSLVTPSSEKISCGTTLTMVEGELALNASEVCQGNIISANTSSEKEILGGATLNVLDGEVPNISSEIASSSDDMNNVVCTNPSTSKEQEQIPDTAALSMPAEEISLAEPETACSELLEGGSAHRENDGTCAIEIDRLDGILCFMNLEPEFQERSLADPSSLQAVADLVSPNVGSLPYASSGIQTRDVANNEMRNASQVAETLPSNGAVDVTCNVSNPDTQQLRSTESILNLSPDLPSVSATEHQPSNDGQHANLISQAQRQSITNHIDLSSQDVLQPLHSPINGTIGRHLRQISETSTASVPSVSRGHPLQTAPPVSSRTPLPLYPDPLKNEMERISQERDQTIKVHEDTKLQLKFECEKEIEEVVAQVRRKYEVKLQEKETEFLIRKEELDVNYNKVLLNNILAEAFRSKCMDSGASGSAGIQQEANSSFMQQLLQLSSQRMVQQPSTASGLPSTGSATSMQTVSPAVVNTQTMGPSLWPSGASGLPSTSSGTTTRPPCISSVSHVTGNFQMGSEIRAPAPHLHAYRPSASISLSRVPSQSRGMSSQLSHNLAYRQLSTTGQAGRIRHEIAGGLAALPNSSLPSMDVLMGMHNQVSGANPNPPSNLLPGVSSSLALSIRSNPAQHSGGATDIVCLSDDD >KJB23303 pep chromosome:Graimondii2_0_v6:4:12456350:12459442:1 gene:B456_004G091200 transcript:KJB23303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGGKSKKTKRTAPKSDDIYLKLIVKLYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLIEFMKGKEDKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIDKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFERARGRRNSKGFRV >KJB23305 pep chromosome:Graimondii2_0_v6:4:12457343:12459399:1 gene:B456_004G091200 transcript:KJB23305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVNKPPLSLSRLIEFMKGKEDKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIDKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFERARGRRNSKGFRV >KJB23304 pep chromosome:Graimondii2_0_v6:4:12456971:12458102:1 gene:B456_004G091200 transcript:KJB23304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGGKSKKTKRTAPKSDDIYLKLIVKLYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLIEFMKGKEDKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIDKAGGECLTFDQLALRAPLGQNTVCFIIQIFYFSCLDSAVVYLSSRN >KJB22910 pep chromosome:Graimondii2_0_v6:4:7849259:7856144:1 gene:B456_004G073000 transcript:KJB22910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISGCRLQQLLRSFCLNTEWKYAVFWKLKHRARMVLTWEDAYYDNLDQLDPMANHCFHDTIESLHSGRYSHDTLGLSVAKMSYHVYSLGEGIVGQVAASGKHQWIFADKHVNSYCLLFELCDGWQSQFASGIRTVVVIPVGPHGVVQLGSLNKVYEDVKLVSHIRNVFFALQDSSVGHISSSIECSMKNSLFKQGFPAKLLDSEVIPLDNAVQKDGPDGLLPGFSHPQKYSDSLFVLPLFSNIPKGATEVENKHEGLQLSSIASDSNLEHQNQLGRHLINNGACKGETNGWKKMSLEPENVYAISPAVDDINLFNVAFQAEQYGAGHACYSSNFLSSALNDMVKPSSLSSYPKEVLDIPKSSDIKFQNNSKKLGNQNDLIDMDSINTSLKFSAGCELFEALGPAFLRNGFYADSQVDNAEAGANIEMQEGMSCSQLTFESGSENLLEAVVANVCHSGSDMRTGYSINKSSLVEDSKQHCLNSSELCGSMSSKAYSSTCPSNSKQFEKSSDPAKNNKKRARPGENARPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMVFLQGISKHADKLGKCAESKMHQKGAGMLGSSNYDQGSSWAVEVGSPLKVCSIIVENINKNGQMLVELLCEECSHFLEIAEAIRSLGLTILKGITEAHGEKTWICFVVEGQNRVMHRMDILWSLVHILQSKATS >KJB22909 pep chromosome:Graimondii2_0_v6:4:7849907:7854106:1 gene:B456_004G073000 transcript:KJB22909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISGCRLQQLLRSFCLNTEWKYAVFWKLKHRARMVLTWEDAYYDNLDQLDPMANHCFHDTIESLHSGRYSHDTLGLSVAKMSYHVYSLGEGIVGQVAASGKHQWIFADKHVNSYCLLFELCDGWQSQFASGIRTVVVIPVGPHGVVQLGSLNKVYEDVKLVSHIRNVFFALQDSSVGHISSSIECSMKNSLFKQGFPAKLLDSEVIPLDNAVQKDGPDGLLPGFSHPQKYSDSLFVLPLFSNIPKGATEVENKHEGLQLSSIASDSNLEHQNQLGRHLINNGACKGETNGWKKMSLEPENVYAISPAVDDINLFNVAFQAEQYGAGHACYSSNFLSSALNDMVKPSSLSSYPKEVLDIPKSSDIKFQNNSKKLGNQNDLIDMDSINTSLKFSAGCELFEALGPAFLRNGFYADSQVDNAEAGANIEMQEGMSCSQLTFESGSENLLEAVVANVCHSGSDMRSERSFCRSAQSSLITRNTSEPSSLTNCTVNSAGYSINKSSLVEDSKQHCLNSSELCGSMSSKAYSSTCPSNSKQFEKSSDPAKNNKKRARPGENARPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMVFLQGISKHADKLGKCAESKVNAHAIYWLMIFVTQ >KJB22907 pep chromosome:Graimondii2_0_v6:4:7849101:7856144:1 gene:B456_004G073000 transcript:KJB22907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISGCRLQQLLRSFCLNTEWKYAVFWKLKHRARMVLTWEDAYYDNLDQLDPMANHCFHDTIESLHSGRYSHDTLGLSVAKMSYHVYSLGEGIVGQVAASGKHQWIFADKHVNSYCLLFELCDGWQSQFASGIRTVVVIPVGPHGVVQLGSLNKVYEDVKLVSHIRNVFFALQDSSVGHISSSIECSMKNSLFKQGFPAKLLDSEVIPLDNAVQKDGPDGLLPGFSHPQKYSDSLFVLPLFSNIPKGATEVENKHEGLQLSSIASDSNLEHQNQLGRHLINNGACKGETNGWKKMSLEPENVYAISPAVDDINLFNVAFQAEQYGAGHACYSSNFLSSALNDMVKPSSLSSYPKEVLDIPKSSDIKFQNNSKKLGNQNDLIDMDSINTSLKFSAGCELFEALGPAFLRNGFYADSQVDNAEAGANIEMQEGMSCSQLTFESGSENLLEAVVANVCHSGSDMRSERSFCRSAQSSLITRNTSEPSSLTNCTVNSAGYSINKSSLVEDSKQHCLNSSELCGSMSSKAYSSTCPSNSKQFEKSSDPAKNNKKRARPGENARPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMVFLQGISKHADKLGKCAESKMHQKGAGMLGSSNYDQGSSWAVEVGSPLKVCSIIVENINKNGQMLVELLCEECSHFLEIAEAIRSLGLTILKGITEAHGEKTWICFVVEGQNRVMHRMDILWSLVHILQSKATS >KJB22906 pep chromosome:Graimondii2_0_v6:4:7849089:7856150:1 gene:B456_004G073000 transcript:KJB22906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISGCRLQQLLRSFCLNTEWKYAVFWKLKHRARMVLTWEDAYYDNLDQLDPMANHCFHDTIESLHSGRYSHDTLGLSVAKMSYHVYSLGEGIVGQVAASGKHQWIFADKHVNSYCLLFELCDGWQSQFASGIRTVVVIPVGPHGVVQLGSLNKVYEDVKLVSHIRNVFFALQDSSVGHISSSIECSMKNSLFKQGFPAKLLDSEVIPLDNAVQKDGPDGLLPGFSHPQKYSDSLFVLPLFSNIPKGATEVENKHEGLQLSSIASDSNLEHQNQLGRHLINNGACKGETNGWKKMSLEPENVYAISPAVDDINLFNVAFQAEQYGAGHACYSSNFLSSALNDMVKPSSLSSYPKEVLDIPKSSDIKFQNNSKKLGNQNDLIDMDSINTSLKFSAGCELFEALGPAFLRNGFYADSQVDNAEAGANIEMQEGMSCSQLTFESGSENLLEAVVANVCHSGSDMRSYSINKSSLVEDSKQHCLNSSELCGSMSSKAYSSTCPSNSKQFEKSSDPAKNNKKRARPGENARPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMVFLQGISKHADKLGKCAESKMHQKGAGMLGSSNYDQGSSWAVEVGSPLKVCSIIVENINKNGQMLVELLCEECSHFLEIAEAIRSLGLTILKGITEAHGEKTWICFVVEGQNRVMHRMDILWSLVHILQSKATS >KJB22908 pep chromosome:Graimondii2_0_v6:4:7849259:7854881:1 gene:B456_004G073000 transcript:KJB22908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISGCRLQQLLRSFCLNTEWKYAVFWKLKHRARMVLTWEDAYYDNLDQLDPMANHCFHDTIESLHSGRYSHDTLGLSVAKMSYHVYSLGEGIVGQVAASGKHQWIFADKHVNSYCLLFELCDGWQSQFASGIRTVVVIPVGPHGVVQLGSLNKVYEDVKLVSHIRNVFFALQDSSVGHISSSIECSMKNSLFKQGFPAKLLDSEVIPLDNAVQKDGPDGLLPGFSHPQKYSDSLFVLPLFSNIPKGATEVENKHEGLQLSSIASDSNLEHQNQLGRHLINNGACKGETNGWKKMSLEPENVYAISPAVDDINLFNVAFQAEQYGAGHACYSSNFLSSALNDMVKPSSLSSYPKEVLDIPKSSDIKFQNNSKKLGNQNDLIDMDSINTSLKFSAGCELFEALGPAFLRNGFYADSQVDNAEAGANIEMQEGMSCSQLTFESGSENLLEAVVANVCHSGSDMRSERSFCRSAQSSLITRNTSEPSSLTNCTVNSAGYSINKSSLVEDSKQHCLNSSELCGSMSSKAYSSTCPSNSKQFEKSSDPAKNNKKRARPGENARPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMVFLQGISKHADKLGKCAESKMHQKGAGMLGSSNYDQGSSWAVEVGSPLKVCSIIVENINKNGQMLVEVRIGSV >KJB23402 pep chromosome:Graimondii2_0_v6:4:15373536:15373939:1 gene:B456_004G096500 transcript:KJB23402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVFMKYGNKKHHAYNFHGGCHLSKERARTQRENIKWLESSCEERGFFIEAIYVMELTNHMEMIGSQIVEPFICSAKL >KJB25192 pep chromosome:Graimondii2_0_v6:4:49083038:49092119:-1 gene:B456_004G180300 transcript:KJB25192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTENSDSDSKSQSLTLVTTGDDNVEQPLPLEICVPEEIAAGADDNKLLPRRSASIFQLLPIRSVFSRKSDIETVLERCLRRSPRNSALFVRESANVRPKAIVSLETEGCKRKIKESTTVEGKNLRRSPRFTTISAAAENKKVLSLSAKVMQSRSSKSQRFKLKNKGAKIMNIERTNGMGLRRSPRLTSAPPETKGRASKTIFKSSDKGSYSETRSSGKLNGKRLCLSKTEEADKGTFPLRHNETNLRLIIERQLRRSLKFSQATKNGSSDISVRRLDMDEVVFSEEKLLGISPSSMHATENGDSNASFREHRREMSDEKQLKTPSSLSTLLAEGDSAKVNSSSNRLSNSCDEQPSKKFKISSAESDMGTSDETFSKKAKGSSLSGKKKSQSKTDVIFIGNPIPDDEAQERWRWRYEMKNTKSNRKLISSDDDDDDDEDKVVWNVECHYAQAEIDGCTINLGDCVYIKGEEAKHHIGKILEFFKTTDGENYFRVQWFYRAEDTVMKQEAAFHDERRVFYSTVMNDNPIDCIISKVSVTQISPKLGLKSNSLPRSDFYFDMEYCVDYSTFCNLPPDNSFKSYSSSNCCKEVFPSTPAFSANIPSFGTYQAELTLLDLYSGCGGMSTGLCLGAKASSIDLVTKWAVDSDKSASKSLKLNHPEAHVRNEAADGFLRLLKEWEKLCKRYVVDNLERTYPSRFRASEAVMKNASPAKDADTSADELEVSCLVDVCYGDPCNTGNRGLKFKVRWKGYSASDDTWEPIEGLSNCQECIQEFVIKGFRSKILPLCGDVDVICGGPPCQGISGYNRFRNVDSPLDDERNRQIVVFMDIVEYLKPKFVLMENVVDILRLDKGSLGRYALSRLVHMKYQARLGIIAAGCYGLPQFRLRVFLWGAHPSEKLPQFPLPSHDVIIRYWPPPEFERNTVAYEEGQPRQLEDALLLRDAISDLPPVSNNEVREEMTYEKPPETDFQRYIRSSKYVMTGSALDGATRIRNLLYDHRPAPLSEDDYTRVCLIPKRKGANFRDLPGVIVGADNVARRDPTREKQFLPSGKPLLF >KJB25190 pep chromosome:Graimondii2_0_v6:4:49082203:49092160:-1 gene:B456_004G180300 transcript:KJB25190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTENSDSDSKSQSLTLVTTGDDNVEQPLPLEICVPEEIAAGADDNKLLPRRSASIFQLLPIRSVFSRKSDIETVLERCLRRSPRNSALFVRESANVRPKAIVSLETEGCKRKIKESTTVEGKNLRRSPRFTTISAAAENKKVLSLSAKSRSSKSQRFKLKNKGAKIMNIERTNGMGLRRSPRLTSAPPETKGRASKTIFKSSDKGSYSETRSSGKLNGKRLCLSKTEEADKGTFPLRHNETNLRLIIERQLRRSLKFSQATKNGSSDISVRRLDMDEVVFSEEKLLGISPSSMHATENGDSNASFREHRREMSDEKQLKTPSSLSTLLAEGDSAKVNSSSNRLSNSCDEQPSKKFKISSAESDMGTSDETFSKKAKGSSLSGKKKSQSKTDVIFIGNPIPDDEAQERWRWRYEMKNTKSNRKLISSDDDDDDDEDKVVWNVECHYAQAEIDGCTINLGDCVYIKGEEAKHHIGKILEFFKTTDGENYFRVQWFYRAEDTVMKQEAAFHDERRVFYSTVMNDNPIDCIISKVSVTQISPKLGLKSNSLPRSDFYFDMEYCVDYSTFCNLPPDNSFKSYSSSNCCKEVFPSTPAFSANIPSFGTYQAELTLLDLYSGCGGMSTGLCLGAKASSIDLVTKWAVDSDKSASKSLKLNHPEAHVRNEAADGFLRLLKEWEKLCKRYVVDNLERTYPSRFRASEAVMKNASPAKDADTSADELEVSCLVDVCYGDPCNTGNRGLKFKVRWKGYSASDDTWEPIEGLSNCQECIQEFVIKGFRSKILPLCGDVDVICGGPPCQGISGYNRFRNVDSPLDDERNRQIVVFMDIVEYLKPKFVLMENVVDILRLDKGSLGRYALSRLVHMKYQARLGIIAAGCYGLPQFRLRVFLWGAHPSEKLPQFPLPSHDVIIRYWPPPEFERNTVAYEEGQPRQLEDALLLRDAISDLPPVSNNEVREEMTYEKPPETDFQRYIRSSKYVMTGSALDGATRIRNLLYDHRPAPLSEDDYTRVCLIPKRKGANFRDLPGVIVGADNVARRDPTREKQFLPSGKPLVPEYVFTFEQGKSKRPFARLWWDETVPTVVTYPYCHSQVILHPEQDRVLTVRECARLQGFPDYYRFCGTIKDRYCQIGNAVAIPVGRALGYTMGMAFQKGSGNEPLMILPPKFSLSTNIQLAKSLSQSTDD >KJB25191 pep chromosome:Graimondii2_0_v6:4:49082203:49092215:-1 gene:B456_004G180300 transcript:KJB25191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTENSDSDSKSQSLTLVTTGDDNVEQPLPLEICVPEEIAAGADDNKLLPRRSASIFQLLPIRSVFSRKSDIETVLERCLRRSPRNSALFVRESANVRPKAIVSLETEGCKRKIKESTTVEGKNLRRSPRFTTISAAAENKKVLSLSAKVMQSRSSKSQRFKLKNKGAKIMNIERTNGMGLRRSPRLTSAPPETKGRASKTIFKSSDKGSYSETRSSGKLNGKRLCLSKTEEADKGTFPLRHNETNLRLIIERQLRRSLKFSQATKNGSSDISVRRLDMDEVVFSEEKLLGISPSSMHATENGDSNASFREHRREMSDEKQLKTPSSLSTLLAEGDSAKVNSSSNRLSNSCDEQPSKKFKISSAESDMGTSDETFSKKAKGSSLSGKKKSQSKTDVIFIGNPIPDDEAQERWRWRYEMKNTKSNRKLISSDDDDDDDEDKVVWNVECHYAQAEIDGCTINLGDCVYIKGEEAKHHIGKILEFFKTTDGENYFRVQWFYRAEDTVMKQEAAFHDERRVFYSTVMNDNPIDCIISKVSVTQISPKLGLKSNSLPRSDFYFDMEYCVDYSTFCNLPPDNSFKSYSSSNCCKEVFPSTPAFSANIPSFGTYQAELTLLDLYSGCGGMSTGLCLGAKASSIDLVTKWAVDSDKSASKSLKLNHPEAHVRNEAADGFLRLLKEWEKLCKRYVVDNLERTYPSRFRASEAVMKNASPAKDADTSADELEVSCLVDVCYGDPCNTGNRGLKFKVRWKGYSASDDTWEPIEGLSNCQECIQEFVIKGFRSKILPLCGDVDVICGGPPCQGISGYNRFRNVDSPLDDERNRQIVVFMDIVEYLKPKFVLMENVVDILRLDKGSLGRYALSRLVHMKYQARLGIIAAGCYGLPQFRLRVFLWGAHPSEKLPQFPLPSHDVIIRYWPPPEFERNTVAYEEGQPRQLEDALLLRDAISDLPPVSNNEVREEMTYEKPPETDFQRYIRSSKYVMTGSALDGATRIRNLLYDHRPAPLSEDDYTRVCLIPKRKGANFRDLPGVIVGADNVARRDPTREKQFLPSGKPLVPEYVFTFEQGKSKRPFARLWWDETVPTVVTYPYCHSQVILHPEQDRVLTVRECARLQGFPDYYRFCGTIKDRYCQIGNAVAIPVGRALGYTMGMAFQKGSGNEPLMILPPKFSLSTNIQLAKSLSQSTDD >KJB24074 pep chromosome:Graimondii2_0_v6:4:33433750:33436422:-1 gene:B456_004G127200 transcript:KJB24074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREYSGLQMHHQLEAKRKRLTWILGVSALCILFYVLGAWQTTPSSQYDVYTRVGCDNNSATPDSNGSNQSLTNLDFASHHQVALDSSKTISQFPPCDMAYSEYTPCQDKVRGRKFDRDMMKYRERHCPTKQEMLLCLIPAPPKYKTPFKWPQSRDYAWYDNIPHKELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGADAYIDDIGELIPLTDGNIRTAVDTGCGVASFGAYLLKRNILTMSFAPRDTHEAQVQFALERGVPAMIGIIGSMRLPYPARAFDLAHCSRCLIPWQNNEGLYLMELDRILRPGGYWILSGPPINWKKYWRGWERTKEDLKQEQDAIENVARRLCWKKVTENNDLAIWQKPINHVGCVKEVMCKSEDPDTAWYRSLEACITPVPQVLSSDEVAGGELLKWPERAFSVPPRLSRGSVPGLGVDRFIEDNEQWKDKIAHYNRIIAPLRSGRYRNIMDMNAYLGGFAAAVAKYPVWVMNVIPAHIDYDTLGVIYERGLIGTYQDWCEAFSTYPRTYDLIHASGLFTLYQHRCDITYILLEMDRILRPEGSVIFRDTVELLVKIKSITDGMRWKSHIVDHESGPFNPEKILVAVKTYWTGEATKRKPK >KJB27197 pep chromosome:Graimondii2_0_v6:4:61542031:61543862:1 gene:B456_004G284200 transcript:KJB27197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVDDMINGGDLSSSSTDNLVKPMEGINGSGPPPFLTKTFEMVEDPETDPIVSWSIHRNSFIGFKKIDSDRWEFANEGFQGGKKHLLKNIKRKNRYNSSNINTELEAEIGILKKNQSELKMEIVKLKQKNDESNDKQEAEIGILKKNQSELKMEIVKLKQKNDESNDKLSVFEDRIRFVERRQQQMLNFLVKLVKFPILFQQLMKKKQVEKKELGEGKFSRKKRRLLETQVTKSLLELMGTDQTVTKSLNEPMGNGQSVTKSLPEPIGTDQRVTKNLPEPMETDQRVTKDLPEPTGTDQSVPKTLPKPIETDQRITKTLPKPMETDQRITKTLPKPMETNQCITKTLPEPMEIGESVSNSKNQVDQVLEPMQSDHFSKFLLDCMEKDYWVSMEDQENIDAQEMSSVYHVMAENLLGESSCVENATNEELSVKDSKVYLELEDLINWKPSNLTGLVNDLLVEQTG >KJB22194 pep chromosome:Graimondii2_0_v6:4:2832238:2836240:-1 gene:B456_004G034600 transcript:KJB22194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMIGAVSQSLVIKVRITILKTSMHHHLPSSPLQNRIPLFSLVTPASLPQQSFSNVEVSVQSENSQPSRSVDPRKLQQQNSNMLSLQADFLRSSTEKDTGSNNSADQRVFDPVGGSVEHSLPLDEPQDEEGDQRVSGDCMAGGAPSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPTCQVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRSSIGSSNPLIDVQLELPEQTGLQNGTDGEPVWATAQKAMVAATHDWTHENIEMTSSATICPENGTAPAPNGTHIESGDAVDASSTFSNDEDEDDRGTHGSVSLGYDGEGDESESKRRKIEAYTTEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSATSNTATALASSSVQTHAHRPEPSQLHNSMVRPTSFGPFTLPGRQQLGPSPGFSFGMNQPGLANLAMAGLGPGQPKMPVLPLHPYMPHQRQGNEMGFRLPKGEAKMEPMSDPGLDLSNNTSVYQQLMSRLPLGPQM >KJB22195 pep chromosome:Graimondii2_0_v6:4:2832457:2835120:-1 gene:B456_004G034600 transcript:KJB22195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDDNISIIGDWIPPTQSPRTFFTVMLGDDSGSKQVSKAPLENKSEGLFLGENSDKMDVNQGCEPSNESTFEQKSSTRAGLLERMAARAGFNAPRLNTENIRSTDTSLNPEIRSPYLTIPPGLSPTTLLESPVFVSNSLAQPSPTTGKFSFIPNVNDRSCIPESRDKSEDNYFEDINASSFAFKPIAESNSSFLLGAMSKVTPASLPQQSFSNVEVSVQSENSQPSRSVDPRKLQQQNSNMLSLQADFLRSSTEKDTGSNNSADQRVFDPVGGSVEHSLPLDEPQDEEGDQRVSGDCMAGGAPSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPTCQVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRSSIGSSNPLIDVQLELPEQTGLQNGTDGEPVWATAQKAMVAATHDWTHENIEMTSSATICPENGTAPAPNGTHIESGDAVDASSTFSNDEDEDDRGTHGSVSLGYDGEGDESESKRRKIEAYTTEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSATSNTATALASSSVQTHAHRPEPSQLHNSMVRPTSFGPFTLPGRQQLGPSPGFSFGMNQPGLANLAMAGLGPGQPKMPVLPLHPYMPHQRQGNEMGFRLPKGEAKMEPMSDPGLDLSNNTSVYQQLMSRLPLGPQM >KJB22196 pep chromosome:Graimondii2_0_v6:4:2832238:2836240:-1 gene:B456_004G034600 transcript:KJB22196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDDNISIIGDWIPPTQSPRTFFTVMLGDDSGSKQVSKAPLENKSEGLFLGENSDKMDVNQGCEPSNESTFEQKSSTRAGLLERMAARAGFNAPRLNTENIRSTDTSLNPEIRSPYLTIPPGLSPTTLLESPVFVSNSLAQPSPTTGKFSFIPNVNDRSCIPESRDKSEDNYFEDINASSFAFKPIAESNSSFLLGAMSKVTPASLPQQSFSNVEVSVQSENSQPSRSVDPRKLQQQNSNMLSLQADFLRSSTEKDTGSNNSADQRVFDPVGGSVEHSLPLDEPQDEEGDQRVSGDCMAGGAPSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPTCQVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRSSIGSSNPLIDVQLELPEQTGLQNGTDGEPVWATAQKAMVAATHDWTHENIEMTSSATICPENGTAPAPNGTHIESGDAVDASSTFSNDEDEDDRGTHGSVSLGYDGEGDESESKRRKIEAYTTEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSATSNTATALASSSVQTHAHRPEPSQLHNSMVRPTSFGPFTLPGRQQLGPSPGFSFGMNQPGLANLAMAGLGPGQPKMPVLPLHPYMPHQRQGNEMGFRLPKGEAKMEPMSDPGLDLSNNTSVYQQLMSRLPLGPQM >KJB21498 pep chromosome:Graimondii2_0_v6:4:56698525:56701196:1 gene:B456_004G230600 transcript:KJB21498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEVPRSPDSSYNNVYPGNEDEARDPPVVPPHLHRTLLRYPASVNTSGNLPLPENVILNHLYIENREPPRSVVALGFTQRFRAKYVTVVLYKPVPRRGSSNT >KJB21501 pep chromosome:Graimondii2_0_v6:4:56698645:56701196:1 gene:B456_004G230600 transcript:KJB21501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQYGEDKDEATVMGFEVPRSPDSSYNNVYPGNEDEARDPPVVPPHLHRTLLRYPASVNTSGNLPLPENVILNHLYIENREPPRSVVALGFTQRFRAKYVTVVLYKPVPRRGSSNT >KJB21497 pep chromosome:Graimondii2_0_v6:4:56698392:56701196:1 gene:B456_004G230600 transcript:KJB21497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQYGEDKDEATVMGFEVPRSPDSSYNNVYPGNEDEARDPPVVPPHLHRTLLRYPASVNTSGNLPLPENVILNHLYIENREPPRSVVALGFTQRFRAKYVTVVLYKPVPRRGSSNT >KJB21499 pep chromosome:Graimondii2_0_v6:4:56698367:56701247:1 gene:B456_004G230600 transcript:KJB21499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQYGEDKDEATVMGFEVPRSPDSSYNNVYPGNEDEARDPPVVPPHLHRTLLRYPASVNTSGNLPLPENVILNHLYIENREPPRSVVALGFTQRFRAKYVTVVLYKPVPRRGSSNT >KJB21500 pep chromosome:Graimondii2_0_v6:4:56698645:56701196:1 gene:B456_004G230600 transcript:KJB21500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQYGEDKDEATVMGFEVPRSPDSSYNNVYPGNEDEARDPPVVPPHLHRTLLRYPASVNTSGNLPLPENVILNHLYIENREPPRSVVALGFTQRFRAKYVTVVLYKPVPRRGSSNT >KJB21496 pep chromosome:Graimondii2_0_v6:4:56698592:56701196:1 gene:B456_004G230600 transcript:KJB21496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQYGEDKDEATVMGFEVPRSPDSSYNNVYPGNEDEARDPPVVPPHLHRTLLRYPASVNTSGNLPLPENVILNHLYIENREPPRSVVALGFTQRFRAKYVTVVLYKPVPRRGSSNT >KJB22538 pep chromosome:Graimondii2_0_v6:4:5313207:5314826:-1 gene:B456_004G055500 transcript:KJB22538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGTQTFQHRLLSVKLWPPSHSTRLMLVERMTKNLTTPSIFSRKCGLLSNDEAEEDAKKMEELAFAAADQHYKKEPDGDGSSAVQIYAKESSRLMLEVIRRGPKVNDGELADKATDLRGTVFDISGGHREFIEAEEAKELLRPLGEPGNSYTKICFSNRSFGLDAANVAAPILSTIKDQLTEVDLSDFIAGRPESEALDVMNIFSSVLEGCHLRYLNLSNNALGEKGVRAFGALLKSQNSLQELYLMNDGISEEAARAVSELIPSTEKLKVLHFHNNMTGDEGAFAISEIVKRSCSLEDFRCSSTRVGTDGGLALAEALKRCAHLKKLDLRDNMFGVEAGVALSKAISQFTDLTEVYLSYLNLEDEGTEALANALKHSAPSLEVLEMAGNDITAKGTASLAACIASKQFLTKLNLAENELKDEGAILIANALGEGHGQLNEVDMSTNAIRRAGGRHLAQVVVKKPGFKLLNINGNFISDEGIDEVKEIFRGSLDMLGPLDENDPEEEDDEEEEDEDEEENAEHEKGLESKLKDLKINQ >KJB22536 pep chromosome:Graimondii2_0_v6:4:5313026:5316327:-1 gene:B456_004G055500 transcript:KJB22536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGTQTFQHRLLSVKLWPPSHSTRLMLVERMTKNLTTPSIFSRKCGLLSNDEAEEDAKKMEELAFAAADQHYKKEPDGDGSSAVQIYAKESSRLMLEVIRRGPKVNDGELADKATDLRGTVFDISGGHREFIEAEEAKELLRPLGEPGNSYTKICFSNRSFGLDAANVAAPILSTIKDQLTEVDLSDFIAGRPESEALDVMNIFSSVLEGCHLRYLNLSNNALGEKGVRAFGALLKSQNSLQELYLMNDGISEEAARAVSELIPSTEKLKVLHFHNNMTGDEGAFAISEIVKRSCSLEDFRCSSTRVGTDGGLALAEALKRCAHLKKLDLRDNMFGVEAGVALSKAISQFTDLTEVYLSYLNLEDEGTEALANALKHSAPSLEVLEMAGNDITAKGTASLAACIASKQFLTKLNLAENELKDEGAILIANALGEGHGQLNEVDMSTNAIRRAGGRHLAQVVVKKPGFKLLNINGNFISDEGIDEVKEIFRGSLDMLGPLDENDPEEEDDEEEEDEDEEENAEHEKGLESKLKDLKINQ >KJB22535 pep chromosome:Graimondii2_0_v6:4:5313026:5316534:-1 gene:B456_004G055500 transcript:KJB22535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGTQTFQHRLLSVKLWPPSHSTRLMLVERMTKNLTTPSIFSRKCGLLSNDEAEEDAKKMEELAFAAADQHYKKEPDGDGSSAVQIYAKESSRLMLEVIRRGPKVNDGELADKATDLRGTVFDISGGHREFIEAEEAKELLRPLGEPGNSYTKICFSNRSFGLDAANVAAPILSTIKDQLTEVDLSDFIAGRPESEALDVMNIFSSVLEGCHLRYLNLSNNALGEKGVRAFGALLKSQNSLQELYLMNDGISEEAARAVSELIPSTEKLKVLHFHNNMTGDEGAFAISEIVKRSCSLEDFRCSSTRVGTDGGLALAEALKRCAHLKKLDLRDNMFGVEAGVALSKAISQFTDLTEVYLSYLNLEDEGTEALANALKHSAPSLEVLEMAGNDITAKGTASLAACIASKQFLTKLNLAENELKDEGAILIANALGEGHGQLNEVDMSTNAIRRAGGRHLAQVVVKKPGFKLLNINGNFISDEGIDEVKEIFRGSLDMLGPLDENDPEEEDDEEEEDEDEEENAEHEKGLESKLKDLKINQ >KJB22537 pep chromosome:Graimondii2_0_v6:4:5313207:5314826:-1 gene:B456_004G055500 transcript:KJB22537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGTQTFQHRLLSVKLWPPSHSTRLMLVERMTKNLTTPSIFSRKCGLLSNDEAEEDAKKMEELAFAAADQHYKKEPDGDGSSAVQIYAKESSRLMLEVIRRGPKVNDGELADKATDLRGTVFDISGGHREFIEAEEAKELLRPLGEPGNSYTKICFSNRSFGLDAANVAAPILSTIKDQLTEVDLSDFIAGRPESEALDVMNIFSSVLEGCHLRYLNLSNNALGEKGVRAFGALLKSQNSLQELYLMNDGISEEAARAVSELIPSTEKLKVLHFHNNMTGDEGAFAISEIVKRSCSLEDFRCSSTRVGTDGGLALAEALKRCAHLKKLDLRDNMFGVEAGVALSKAISQFTDLTEVYLSYLNLEDEGTEALANALKHSAPSLEVLEMAGNDITAKGTASLAACIASKQFLTKLNLAENELKDEGAILIANALGEGHGQLNEVDMSTNAIRRAGGRHLAQVVVKKPGFKLLNINGNFISDEGIDEVKEIFRGSLDMLGPLDENDPEEEDDEEEEDEDEEENAEHEKGLESKLKDLKINQ >KJB22557 pep chromosome:Graimondii2_0_v6:4:5181149:5185154:1 gene:B456_004G054200 transcript:KJB22557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSVWLPRKNKKKLKENGNGAFKFNEKRISASSASGALYHFGTSGISVAVATGLTHPLDVLKVRLQMQLVGQRGPLIGMGPLFVQVMKNEGPKSLYLGLTPALTRSLLYGGLRLGLYEPSKYACDWAFGSNNILFKIASGAFAGAISTALTNPVEVLKVRLQMNSDLRQRGPIAEMRRIVSKEGIGALWKGVGPASVRAAALTASQLATYDESKQILVNWTPLEEGFYLHLTSSTIAGLVSTLITAPIDMVKTRLMLQRESVVGSYKNGFHCAYQVLHTEGPRGLYKGGFAIFARLGPQTTITFILCEKLRKLAGLNAI >KJB22556 pep chromosome:Graimondii2_0_v6:4:5180925:5185522:1 gene:B456_004G054200 transcript:KJB22556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNSSSRNSSLSGAFKFNEKRISASSASGALYHFGTSGISVAVATGLTHPLDVLKVRLQMQLVGQRGPLIGMGPLFVQVMKNEGPKSLYLGLTPALTRSLLYGGLRLGLYEPSKYACDWAFGSNNILFKIASGAFAGAISTALTNPVEVLKVRLQMNSDLRQRGPIAEMRRIVSKEGIGALWKGVGPASVRAAALTASQLATYDESKQILVNWTPLEEGFYLHLTSSTIAGLVSTLITAPIDMVKTRLMLQRESVVGSYKNGFHCAYQVLHTEGPRGLYKGGFAIFARLGPQTTITFILCEKLRKLAGLNAI >KJB26103 pep chromosome:Graimondii2_0_v6:4:56037318:56048392:-1 gene:B456_004G226000 transcript:KJB26103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSSGSALLVNAEVDFMGRVVDGGVGIGVKTSPRRSAIEQAQAELRQEYDVREERRRELDFLEKGGNPLDFKYGNAASVSVQSTSLTDQHAQLFVTSEAKGSFAQSASAYGDSVESSGRPGVPAACEPNSADNLLLFDGENELPEGERKSMHSRKRNTVVPSEQSSHMEGTQNVKESEDSTIFRPYARRYRSKIKREGGRSSSTDIVQGRAGHGSSLPARGASKDVKASTSEPNNQKDKNISSVTTAKSATSNGDLASNVITSDDQLNMELDGGKPVEETTGHSKGDQFERKVDVIASKTLIDDLPKEPAMMEAHKSPVSLAFEEPNLVVGKEQVVSASLERSPGTGATKPENETSSHQLNGVADAKIDRKNISNEEQNSSVAIGTKGLDMESSSTKNSLSLDVNNDNEACINPKNVDSNVNPLEHTSEKEESPALAVGESAKEKNEIKVLDNAAVICDANNSMNQNHSLNDSTVKVEEVRSELQIEISCPSNNEVIQSNDAESEADRKVSTVQGDNSNSNIEKACASRPQGTMDNFMCEIPEMTFSGITCTDNADTQTSLENHVEVVDKAREDSILEEARIIEAKRKRIAELSVGTLPLENSRKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVAFTSQFKFEEQCRYWKLKKIALTLANAVMDFWHSAEVLLTSKDANLGLKTCGHDLKGSRANKVTEITTTELDMAAKECQQHTGKNNGFAIRAYALRYLKYNSSPVPSLLAEAPATPDRISDLGIMDISWDEHLTEENLFYAVHSGAMETYRRSIESYMVQTEKTGSTVQEEVETSAYDARAEFGYDDSVYDEDEGETSTYYLPGAFEGRQSSKLNHKKRKNPMKSYPATQYKVGADLPYGNCGQPSIFMGKRPASSLNDGLVPAKRVRTGSRQRVISPFSSAAAARDSQAPTQTDASSGDTNSFQDEQNTLHGGLRTQNSLEVDSIGDFERQQPYDCAGTPTKPKKKKKAKNLGLAYNQGWQLESPVHSEQRDYLKKRPESHHFDSNGTSGLYGQHNAKKLKIMKHQPDSAYDITPNGSIPSPVGSQMSNMPNPSKIIRLIHGSDKGRKVKTPKMSTGQLASGSPWSLLEDQALVVLVHDMGPNWQLVSDAINSTLQFKCIFRKPNECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIILISKKQNYWRSQDLKQIVPVHNSHVALSQVCSNNLNGGVLTPLDLCDATASSQDVLPFGCQASYVSGLAMLNQGDVGSRLPASGGNSSLQGSSSMVLGNNLSSPSAPLNAAVRNVQQSNLSLAGSVSGSERGRMLPAANGVGMMCGVNRSMPMSRPGFQGMASSAMLNSGSMLSSNMGGMPSPVNMPSGPGSGRANSMLRPHDTTHLMRSGPNPEHQMQMAPELQMQAQGNGQGIPAFNGLTSAYPNHPQQQQQTPPQQSRAPSKSHHADLQGSNHATGSQQQVYAMRLAKERQMQPQQLHVHQQQQQPLQPFAASSTLMPQVQPQAQLPISSLQTSSQIQSQASNQPVSLPLTPSSPMPPTSIQRQQKHQLSPHGLGRNPQPGASGLNNQVGKQRQRQSLQQQFQQSSRHHPQQHQQTQSQQQSKLLKGGGRGLMHQNVSADHARLNGVSMAPGNQGSKKGEHMIQSQGLYSGPGVSPVQPSKPVVSSQPLTHSQPQQKVMPGAAALSTKQLQQMASHSDNSSQGQVSTVPSGRTLSAVHQPVLPGAMGSHHQHLQLQSQLHQKQANQNQPSVQKMLQQNRQTNSDPSSKSQAEPAQADQQPRNNASQMGTTTTSAMHQAGPDLVNNMVPVVSPSVGGSLWKSPEAVYDPGMPNVATQVGSIGNAPLTTSTGSDPGPSVSQGLGQRQLSGGLPHLGSNSGAQWSHQPQIQQSSTPPSSQQHYQPPEQLQQDQHNSPPQQLPLQQQPQQQTMHLQAAQGSLYHKHSNSKFE >KJB26106 pep chromosome:Graimondii2_0_v6:4:56037318:56048392:-1 gene:B456_004G226000 transcript:KJB26106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSSGSALLVNAEVDFMGRVVDGGVGIGVKTSPRRSAIEQAQAELRQEYDVREERRRELDFLEKGGNPLDFKYGNAASVSVQSTSLTDQHAQLFVTSEAKGSFAQSASAYGDSVESSGRPGVPAACEPNSADNLLLFDGENELPEGERKSMHSRKRNTVVPSEQSSHMEGTQNVKESEDSTIFRPYARRYRSKIKREGGRSSSTDIVQGRAGHGSSLPARGASKDVKASTSEPNNQKDKNISSVTTAKSATSNGDLASNVITSDDQLNMELDGGKPVEETTGHSKGDQFERKVDVIASKTLIDDLPKEPAMMEAHKSPVSLAFEEPNLVVGKEQVVSASLERSPGTGATKPENETSSHQLNGVADAKIDRKNISNEEQNSSVAIGTKGLDMESSSTKNSLSLDVNNDNEACINPKNVDSNVNPLEHTSEKEESPALAVGESAKEKNEIKVLDNAAVICDANNSMNQNHSLNDSTVKVEEVRSELQIEISCPSNNEVIQSNDAESEADRKVSTVQGDNSNSNIEKACASRPQGTMDNFMCEIPEMTFSGITCTDNADTQTSLENHVEVVDKAREDSILEEARIIEAKRKRIAELSVGTLPLENSRKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVAFTSQFKFEEQCRYWKLKKIALTLANAVMDFWHSAEVLLTSKDANLGLKTCGHDLKGSRANKVTEITTTELDMAAKECQQHTGKNNGFAIRAYALRYLKYNSSPVPSLLAEAPATPDRISDLGIMDISWDEHLTEENLFYAVHSGAMETYRRSIESYMVQTEKTGSTVQEEVETSAYDARAEFGYDDSVYDEDEGETSTYYLPGAFEGRQSSKLNHKKRKNPMKSYPATQYKVGADLPYGNCGQPSIFMGKRPASSLNDGLVPAKRVRTGSRQRVISPFSSAAAARDSQAPTQTDASSGDTNSFQDEQNTLHGGLRTQNSLEVDSIGDFERQQPYDCAGTPTKPKKKKKAKNLGLAYNQGWQLESPVHSEQRDYLKKRPESHHFDSNGTSGLYGQHNAKKLKIMKHQPDSAYDITPNGSIPSPVGSQMSNMPNPSKIIRLIHGSDKGRKVKTPKMSTGQLASGSPWSLLEDQALVVLVHDMGPNWQLCIFRKPNECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIILISKKQNYWRSQDLKQIVPVHNSHVALSQVCSNNLNGGVLTPLDLCDATASSQDVLPFGCQASYVSGLAMLNQGDVGSRLPASGGNSSLQGSSSMVLGNNLSSPSAPLNAAVRDCRYGVPRTSLPADEQHRIQQYNQMLSGRNVQQSNLSLAGSVSGSERGRMLPAANGVGMMCGVNRSMPMSRPGFQGMASSAMLNSGSMLSSNMGGMPSPVNMPSGPGSGRANSMLRPHDTTHLMRSGPNPEHQMQMAPELQMQAQGNGQGIPAFNGLTSAYPNHPQQQQQTPPQQSRAPSKSHHADLQGSNHATGSQQQVYAMRLAKERQMQPQQLHVHQQQQQPLQPFAASSTLMPQVQPQAQLPISSLQTSSQIQSQASNQPVSLPLTPSSPMPPTSIQRQQKHQLSPHGLGRNPQPGASGLNNQVGKQRQRQSLQQQFQQSSRHHPQQHQQTQSQQQSKLLKGGGRGLMHQNVSADHARLNGVSMAPGNQGSKKGEHMIQSQGLYSGPGVSPVQPSKPVVSSQPLTHSQPQQKVMPGAAALSTKQLQQMASHSDNSSQGQVSTVPSGRTLSAVHQPVLPGAMGSHHQHLQLQSQLHQKQANQNQPSVQKMLQQNRQTNSDPSSKSQAEPAQADQQPRNNASQMGTTTTSAMHQAGPDLVNNMVPVVSPSVGGSLWKSPEAVYDPGMPNVATQVGSIGNAPLTTSTGSDPGPSVSQGLGQRQLSGGLPHLGSNSGAQWSHQPQIQQSSTPPSSQQHYQPPEQLQQDQHNSPPQQLPLQQQPQQQTMHLQAAQGSLYHKHSNSKFE >KJB26107 pep chromosome:Graimondii2_0_v6:4:56036475:56048666:-1 gene:B456_004G226000 transcript:KJB26107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSSGSALLVNAEVDFMGRVVDGGVGIGVKTSPRRSAIEQAQAELRQEYDVREERRRELDFLEKGGNPLDFKYGNAASVSVQSTSLTDQHAQLFVTSEAKGSFAQSASAYGDSVESSGRPGVPAACEPNSADNLLLFDGENELPEGERKSMHSRKRNTVVPSEQSSHMEGTQNVKESEDSTIFRPYARRYRSKIKREGGRSSSTDIVQGRAGHGSSLPARGASKDVKASTSEPNNQKDKNISSVTTAKSATSNGDLASNVITSDDQLNMELDGGKPVEETTGHSKGDQFERKVDVIASKTLIDDLPKEPAMMEAHKSPVSLAFEEPNLVVGKEQVVSASLERSPGTGATKPENETSSHQLNGVADAKIDRKNISNEEQNSSVAIGTKGLDMESSSTKNSLSLDVNNDNEACINPKNVDSNVNPLEHTSEKEESPALAVGESAKEKNEIKVLDNAAVICDANNSMNQNHSLNDSTVKVEEVRSELQIEISCPSNNEVIQSNDAESEADRKVSTVQGDNSNSNIEKACASRPQGTMDNFMCEIPEMTFSGITCTDNADTQTSLENHVEVVDKAREDSILEEARIIEAKRKRIAELSVGTLPLENSRKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVAFTSQFKFEEQCRYWKLKKIALTLANAVMDFWHSAEVLLTSKDANLGLKTCGHDLKGSRANKVTEITTTELDMAAKECQQHTGKNNGFAIRAYALRYLKYNSSPVPSLLAEAPATPDRISDLGIMDISWDEHLTEENLFYAVHSGAMETYRRSIESYMVQTEKTGSTVQEEVETSAYDARAEFGYDDSVYDEDEGETSTYYLPGAFEGRQSSKLNHKKRKNPMKSYPATQYKVGADLPYGNCGQPSIFMGKRPASSLNDGLVPAKRVRTGSRQRVISPFSSAAAARDSQAPTQTDASSGDTNSFQDEQNTLHGGLRTQNSLEVDSIGDFERQQPYDCAGTPTKPKKKKKAKNLGLAYNQGWQLESPVHSEQRDYLKKRPESHHFDSNGTSGLYGQHNAKKLKIMKHQPDSAYDITPNGSIPSPVGSQMSNMPNPSKIIRLIHGSDKGRKVKTPKMSTGQLASGSPWSLLEDQALVVLVHDMGPNWQLCIFRKPNECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIILISKKQNYWRSQDLKQIVPVHNSHVALSQVCSNNLNGGVLTPLDLCDATASSQDVLPFGCQASYVSGLAMLNQGDVGSRLPASGGNSSLQGSSSMVLGNNLSSPSAPLNAAVRDCRYGVPRTSLPADEQHRIQQYNQMLSGRNVQQSNLSLAGSVSGSERGRMLPAANGVGMMCGVNRSMPMSRPGFQGMASSAMLNSGSMLSSNMGGMPSPVNMPSGPGSGRANSMLRPHDTTHLMRSGPNPEHQMQMAPELQMQAQGNGQGIPAFNGLTSAYPNHPQQQQQTPPQQSRAPSKSHHADLQGSNHATGSQQQVYAMRLAKERQMQPQQLHVHQQQQQPLQPFAASSTLMPQVQPQAQLPISSLQTSSQIQSQASNQPVSLPLTPSSPMPPTSIQRQQKHQLSPHGLGRNPQPGASGLNNQVGKQRQRQSLQQQFQQSSRHHPQQHQQTQSQQQSKLLKGGGRGLMHQNVSADHARLNGVSMAPGNQGSKKGEHMIQSQGLYSGPGVSPVQPSKPVVSSQPLTHSQPQQKVMPGAAALSTKQLQQMASHSDNSSQGQVSTVPSGRTLSAVHQPVLPGAMGSHHQHLQLQSQLHQKQANQNQPSVQKMLQQNRQTNSDPSSKSQAEPAQADQQPRNNASQMGTTTTSAMHQAGPDLVNNMVPVVSPSVGGSLWKSPEAVYDPGMPNVATQVGSIGNAPLTTSTGSDPGPSVSQGLGQRQLSGGLPHLGSNSGAQWSHQPQIQQSSTPPSSQQHYQPPEQLQQDQHNSPPQQLPLQQQPQQQTMHLQAAQGSLYHKHSNSKFE >KJB26102 pep chromosome:Graimondii2_0_v6:4:56036125:56048483:-1 gene:B456_004G226000 transcript:KJB26102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSSGSALLVNAEVDFMGRVVDGGVGIGVKTSPRRSAIEQAQAELRQEYDVREERRRELDFLEKGGNPLDFKYGNAASVSVQSTSLTDQHAQLFVTSEAKGSFAQSASAYGDSVESSGRPGVPAACEPNSADNLLLFDGENELPEGERKSMHSRKRNTVVPSEQSSHMEGTQNVKESEDSTIFRPYARRYRSKIKREGGRSSSTDIVQGRAGHGSSLPARGASKDVKASTSEPNNQKDKNISSVTTAKSATSNGDLASNVITSDDQLNMELDGGKPVEETTGHSKGDQFERKVDVIASKTLIDDLPKEPAMMEAHKSPVSLAFEEPNLVVGKEQVVSASLERSPGTGATKPENETSSHQLNGVADAKIDRKNISNEEQNSSVAIGTKGLDMESSSTKNSLSLDVNNDNEACINPKNVDSNVNPLEHTSEKEESPALAVGESAKEKNEIKVLDNAAVICDANNSMNQNHSLNDSTVKVEEVRSELQIEISCPSNNEVIQSNDAESEADRKVSTVQGDNSNSNIEKACASRPQGTMDNFMCEIPEMTFSGITCTDNADTQTSLENHVEVVDKAREDSILEEARIIEAKRKRIAELSVGTLPLENSRKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVAFTSQFKFEEQCRYWKLKKIALTLANAVMDFWHSAEVLLTSKDANLGLKTCGHDLKGSRANKVTEITTTELDMAAKECQQHTGKNNGFAIRAYALRYLKYNSSPVPSLLAEAPATPDRISDLGIMDISWDEHLTEENLFYAVHSGAMETYRRSIESYMVQTEKTGSTVQEEVETSAYDARAEFGYDDSVYDEDEGETSTYYLPGAFEGRQSSKLNHKKRKNPMKSYPATQYKVGADLPYGNCGQPSIFMGKRPASSLNDGLVPAKRVRTGSRQRVISPFSSAAAARDSQAPTQTDASSGDTNSFQDEQNTLHGGLRTQNSLEVDSIGDFERQQPYDCAGTPTKPKKKKKAKNLGLAYNQGWQLESPVHSEQRDYLKKRPESHHFDSNGTSGLYGQHNAKKLKIMKHQPDSAYDITPNGSIPSPVGSQMSNMPNPSKIIRLIHGSDKGRKVKTPKMSTGQLASGSPWSLLEDQALVVLVHDMGPNWQLVSDAINSTLQFKCIFRKPNECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIILISKKQNYWRSQDLKQIVPVHNSHVALSQVCSNNLNGGVLTNVQQSNLSLAGSVSGSERGRMLPAANGVGMMCGVNRSMPMSRPGFQGMASSAMLNSGSMLSSNMGGMPSPVNMPSGPGSGRANSMLRPHDTTHLMRSGPNPEHQMQMAPELQMQAQGNGQGIPAFNGLTSAYPNHPQQQQQTPPQQSRAPSKSHHADLQGSNHATGSQQQVYAMRLAKERQMQPQQLHVHQQQQQPLQPFAASSTLMPQVQPQAQLPISSLQTSSQIQSQASNQPVSLPLTPSSPMPPTSIQRQQKHQLSPHGLGRNPQPGASGLNNQVGKQRQRQSLQQQFQQSSRHHPQQHQQTQSQQQSKLLKGGGRGLMHQNVSADHARLNGVSMAPGNQGSKKGEHMIQSQGLYSGPGVSPVQPSKPVVSSQPLTHSQPQQKVMPGAAALSTKQLQQMASHSDNSSQGQVSTVPSGRTLSAVHQPVLPGAMGSHHQHLQLQSQLHQKQANQNQPSVQKMLQQNRQTNSDPSSKSQAEPAQADQQPRNNASQMGTTTTSAMHQAGPDLVNNMVPVVSPSVGGSLWKSPEAVYDPGMPNVATQVGSIGNAPLTTSTGSDPGPSVSQGLGQRQLSGGLPHLGSNSGAQWSHQPQIQQSSTPPSSQQHYQPPEQLQQDQHNSPPQQLPLQQQPQQQTMHLQAAQGSLYHKHSNSKFE >KJB26108 pep chromosome:Graimondii2_0_v6:4:56037087:56048617:-1 gene:B456_004G226000 transcript:KJB26108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSSGSALLVNAEVDFMGRVVDGGVGIGVKTSPRRSAIEQAQAELRQEYDVREERRRELDFLEKGGNPLDFKYGNAASVSVQSTSLTDQHAQLFVTSEAKGSFAQSASAYGDSVESSGRPGVPAACEPNSADNLLLFDGENELPEGERKSMHSRKRNTVVPSEQSSHMEGTQNVKESEDSTIFRPYARRYRSKIKREGGRSSSTDIVQGRAGHGSSLPARGASKDVKASTSEPNNQKDKNISSVTTAKSATSNGDLASNVITSDDQLNMELDGGKPVEETTGHSKGDQFERKVDVIASKTLIDDLPKEPAMMEAHKSPVSLAFEEPNLVVGKEQVVSASLERSPGTGATKPENETSSHQLNGVADAKIDRKNISNEEQNSSVAIGTKGLDMESSSTKNSLSLDVNNDNEACINPKNVDSNVNPLEHTSEKEESPALAVGESAKEKNEIKVLDNAAVICDANNSMNQNHSLNDSTVKVEEVRSELQIEISCPSNNEVIQSNDAESEADRKVSTVQGDNSNSNIEKACASRPQGTMDNFMCEIPEMTFSGITCTDNADTQTSLENHVEVVDKAREDSILEEARIIEAKRKRIAELSVGTLPLENSRKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVAFTSQFKFEEQCRYWKLKKIALTLANAVMDFWHSAEVLLTSKDANLGLKTCGHDLKGSRANKVTEITTTELDMAAKECQQHTGKNNGFAIRAYALRYLKYNSSPVPSLLAEAPATPDRISDLGIMDISWDEHLTEENLFYAVHSGAMETYRRSIESYMVQTEKTGSTVQEEVETSAYDARAEFGYDDSVYDEDEGETSTYYLPGAFEGRQSSKLNHKKRKNPMKSYPATQYKVGADLPYGNCGQPSIFMGKRPASSLNDGLVPAKRVRTGSRQRVISPFSSAAAARDSQAPTQTDASSGDTNSFQDEQNTLHGGLRTQNSLEVDSIGDFERQQPYDCAGTPTKPKKKKKAKNLGLAYNQGWQLESPVHSEQRDYLKKRPESHHFDSNGTSGLYGQHNAKKLKIMKHQPDSAYDITPNGSIPSPVGSQMSNMPNPSKIIRLIHGSDKGRKVKTPKMSTGQLASGSPWSLLEDQALVVLVHDMGPNWQLVSDAINSTLQFKCIFRKPNECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIILISKKQNYWRSQDLKQIVPVHNSHVALSQVCSNNLNGGVLTPLDLCDATASSQDVLPFGCQASYVSGLAMLNQGDVGSRLPASGGNSSLQGSSSMVLGNNLSSPSAPLNAAVRDCRYGVPRTSLPADEQHRIQQYNQMLSGRNVQQSNLSLAGSVSGSERGRMLPAANGVGMMCGVNRSMPMSRPGFQGMASSAMLNSGSMLSSNMGGMPSPVNMPSGPGSGRANSMLRPHDTTHLMRSGPNPEHQMQMAPELQMQAQGNGQGIPAFNGLTSAYPNHPQQQQQTPPQQSRAPSKSHHADLQGSNHATGSQQQVYAMRLAKERQMQPQQLHVHQQQQQPLQPFAASSTLMPQVQPQAQLPISSLQTSSQIQSQASNQPVSLPLTPSSPMPPTSIQRQQKHQLSPHGLGRNPQPGASGLNNQVGKQRQRQSLQQQFQQSSRHHPQQHQQTQSQQQSKLLKGGGRGLMHQNVSADHARLNGVSMAPGNQGSKKGEHMIQSQGLYSGPGVSPVQPSKPVVSSQPLTHSQPQQKVMPGAAALSTKQLQQMASHSDNSSQGQVSTVPSGRTLSAVHQPVLPGAMGSHHQHLQLQSQLHQKQANQNQPSVQKMLQQNRQTNSDPSSKSQAEPAQADQQPRNNASQMGTTTTSAMHQAGPDLVNNMVPVVSPSVGGSLWKSPEAVYDPGMPNVATQVGSIGNAPLTTSTGSDPGPSVSQGLGQRQLSGGLPHLGSNSGAQWSHQPQIQQSSTPPSSQQHYQPPEQLQQDQHNSPPQQLPLQQQPQQQTMHLQAAQGSLYHKHSNSKFE >KJB26101 pep chromosome:Graimondii2_0_v6:4:56037318:56048392:-1 gene:B456_004G226000 transcript:KJB26101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSSGSALLVNAEVDFMGRVVDGGVGIGVKTSPRRSAIEQAQAELRQEYDVREERRRELDFLEKGGNPLDFKYGNAASVSVQSTSLTDQHAQLFVTSEAKGSFAQSASAYGDSVESSGRPGVPAACEPNSADNLLLFDGENELPEGERKSMHSRKRNTVVPSEQSSHMEGTQNVKESEDSTIFRPYARRYRSKIKREGGRSSSTDIVQGRAGHGSSLPARGASKDVKASTSEPNNQKDKNISSVTTAKSATSNGDLASNVITSDDQLNMELDGGKPVEETTGHSKGDQFERKVDVIASKTLIDDLPKEPAMMEAHKSPVSLAFEEPNLVVGKEQVVSASLERSPGTGATKPENETSSHQLNGVADAKIDRKNISNEEQNSSVAIGTKGLDMESSSTKNSLSLDVNNDNEACINPKNVDSNVNPLEHTSEKEESPALAVGESAKEKNEIKVLDNAAVICDANNSMNQNHSLNDSTVKVEEVRSELQIEISCPSNNEVIQSNDAESEADRKVSTVQGDNSNSNIEKACASRPQGTMDNFMCEIPEMTFSGITCTDNADTQTSLENHVEVVDKAREDSILEEARIIEAKRKRIAELSVGTLPLENSRKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVAFTSQFKFEEQCRYWKLKKIALTLANAVMDFWHSAEVLLTSKDANLGLKTCGHDLKGSRANKVTEITTTELDMAAKECQQHTGKNNGFAIRAYALRYLKYNSSPVPSLLAEAPATPDRISDLGIMDISWDEHLTEENLFYAVHSGAMETYRRSIESYMVQTEKTGSTVQEEVETSAYDARAEFGYDDSVYDEDEGETSTYYLPGAFEGRQSSKLNHKKRKNPMKSYPATQYKVGADLPYGNCGQPSIFMGKRPASSLNDGLVPAKRVRTGSRQRVISPFSSAAAARDSQAPTQTDASSGDTNSFQDEQNTLHGGLRTQNSLEVDSIGDFERQQPYDCAGTPTKPKKKKKAKNLGLAYNQGWQLESPVHSEQRDYLKKRPESHHFDSNGTSGLYGQHNAKKLKIMKHQPDSAYDITPNGSIPSPVGSQMSNMPNPSKIIRLIHGSDKGRKVKTPKMSTGQLASGSPWSLLEDQALVVLVHDMGPNWQLVSDAINSTLQFKCIFRKPNECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIILISKKQNYWRSQDLKQIVPVHNSHVALSQVCSNNLNGGVLTPLDLCDATASSQDVLPFGCQASYVSGLAMLNQGDVGSRLPASGGNSSLQGSSSMVLGNNLSSPSAPLNAAVRDCRYGVPRTSLPADEQHRIQQYNQMLSGRNVQQSNLSLAGSVSGSERGRMLPAANGVGMMCGVNRSMPMSRPGFQGMASSAMLNSGSMLSSNMGGMPSPVNMPSGPGSGRANSMLRPHDTTHLMRSGPNPEHQMQMAPELQMQAQGNGQGIPAFNGLTSAYPNHPQQQQQTPPQQSRAPSKSHHADLQGSNHATGSQQQVYAMRLAKERQMQPQQLHVHQQQQQPLQPFAASSTLMPQVQPQAQLPISSLQTSSQIQSQASNQPVSLPLTPSSPMPPTSIQRQQKHQLSPHGLGRNPQPGASGLNNQVGKQRQRQSLQQQFQQSSRHHPQQHQQTQSQQQSKLLKGGGRGLMHQNVSADHARLNGVSMAPGNQGSKKGEHMIQSQGLYSGPGVSPVQPSKPVVSSQPLTHSQPQQKVMPGAAALSTKQLQQMASHSDNSSQGQVSTVPSGRTLSAVHQPVLPGAMGSHHQHLQLQSQLHQKQANQNQPSVQKMLQQNRQTNSDPSSKSQAEPAQADQQPRNNASQMGTTTTSAMHQAGPDLVNNMVPVVSPSVGGSLWKSPEAVYDPGMPNVATQVGSIGNAPLTTSTGSDPGPSVSQGLGQRQLSGGLPHLGSNSGAQWSHQPQIQQSSTPPSSQQHYQPPEQLQQDQHNSPPQQLPLQQQPQQQTMHLQAAQGSLYHKHSNSKFE >KJB26104 pep chromosome:Graimondii2_0_v6:4:56036474:56048617:-1 gene:B456_004G226000 transcript:KJB26104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSSGSALLVNAEVDFMGRVVDGGVGIGVKTSPRRSAIEQAQAELRQEYDVREERRRELDFLEKGGNPLDFKYGNAASVSVQSTSLTDQHAQLFVTSEAKGSFAQSASAYGDSVESSGRPGVPAACEPNSADNLLLFDGENELPEGERKSMHSRKRNTVVPSEQSSHMEGTQNVKESEDSTIFRPYARRYRSKIKREGGRSSSTDIVQGRAGHGSSLPARGASKDVKASTSEPNNQKDKNISSVTTAKSATSNGDLASNVITSDDQLNMELDGGKPVEETTGHSKGDQFERKVDVIASKTLIDDLPKEPAMMEAHKSPVSLAFEEPNLVVGKEQVVSASLERSPGTGATKPENETSSHQLNGVADAKIDRKNISNEEQNSSVAIGTKGLDMESSSTKNSLSLDVNNDNEACINPKNVDSNVNPLEHTSEKEESPALAVGESAKEKNEIKVLDNAAVICDANNSMNQNHSLNDSTVKVEEVRSELQIEISCPSNNEVIQSNDAESEADRKVSTVQGDNSNSNIEKACASRPQGTMDNFMCEIPEMTFSGITCTDNADTQTSLENHVEVVDKAREDSILEEARIIEVAKRKRIAELSVGTLPLENSRKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVAFTSQFKFEEQCRYWKLKKIALTLANAVMDFWHSAEVLLTSKDANLGLKTCGHDLKGSRANKVTEITTTELDMAAKECQQHTGKNNGFAIRAYALRYLKYNSSPVPSLLAEAPATPDRISDLGIMDISWDEHLTEENLFYAVHSGAMETYRRSIESYMVQTEKTGSTVQEEVETSAYDARAEFGYDDSVYDEDEGETSTYYLPGAFEGRQSSKLNHKKRKNPMKSYPATQYKVGADLPYGNCGQPSIFMGKRPASSLNDGLVPAKRVRTGSRQRVISPFSSAAAARDSQAPTQTDASSGDTNSFQDEQNTLHGGLRTQNSLEVDSIGDFERQQPYDCAGTPTKPKKKKKAKNLGLAYNQGWQLESPVHSEQRDYLKKRPESHHFDSNGTSGLYGQHNAKKLKIMKHQPDSAYDITPNGSIPSPVGSQMSNMPNPSKIIRLIHGSDKGRKVKTPKMSTGQLASGSPWSLLEDQALVVLVHDMGPNWQLVSDAINSTLQFKCIFRKPNECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIILISKKQNYWRSQDLKQIVPVHNSHVALSQVCSNNLNGGVLTPLDLCDATASSQDVLPFGCQASYVSGLAMLNQGDVGSRLPASGGNSSLQGSSSMVLGNNLSSPSAPLNAAVRDCRYGVPRTSLPADEQHRIQQYNQMLSGRNVQQSNLSLAGSVSGSERGRMLPAANGVGMMCGVNRSMPMSRPGFQGMASSAMLNSGSMLSSNMGGMPSPVNMPSGPGSGRANSMLRPHDTTHLMRSGPNPEHQMQMAPELQMQAQGNGQGIPAFNGLTSAYPNHPQQQQQTPPQQSRAPSKSHHADLQGSNHATGSQQQVYAMRLAKERQMQPQQLHVHQQQQQPLQPFAASSTLMPQVQPQAQLPISSLQTSSQIQSQASNQPVSLPLTPSSPMPPTSIQRQQKHQLSPHGLGRNPQPGASGLNNQVGKQRQRQSLQQQFQQSSRHHPQQHQQTQSQQQSKLLKGGGRGLMHQNVSADHARLNGVSMAPGNQGSKKGEHMIQSQGLYSGPGVSPVQPSKPVVSSQPLTHSQPQQKVMPGAAALSTKQLQQMASHSDNSSQGQVSTVPSGRTLSAVHQPVLPGAMGSHHQHLQLQSQLHQKQANQNQPSVQKMLQQNRQTNSDPSSKSQAEPAQADQQPRNNASQMGTTTTSAMHQAGPDLVNNMVPVVSPSVGGSLWKSPEAVYDPGMPNVATQVGSIGNAPLTTSTGSDPGPSVSQGLGQRQLSGGLPHLGSNSGAQWSHQPQIQQSSTPPSSQQHYQPPEQLQQDQHNSPPQQLPLQQQPQQQTMHLQAAQGSLYHKHSNSKFE >KJB26105 pep chromosome:Graimondii2_0_v6:4:56037318:56048392:-1 gene:B456_004G226000 transcript:KJB26105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSSGSALLVNAEVDFMGRVVDGGVGIGVKTSPRRSAIEQAQAELRQEYDVREERRRELDFLEKGGNPLDFKYGNAASVSVQSTSLTDQHAQLFVTSEAKGSFAQSASAYGDSVESSGRPGVPAACEPNSADNLLLFDGENELPEGERKSMHSRKRNTVVPSEQSSHMEGTQNVKESEDSTIFRPYARRYRSKIKREGGRSSSTDIVQGRAGHGSSLPARGASKDVKASTSEPNNQKDKNISSVTTAKSATSNGDLASNVITSDDQLNMELDGGKPVEETTGHSKGDQFERKVDVIASKTLIDDLPKEPAMMEAHKSPVSLAFEEPNLVVGKEQVVSASLERSPGTGATKPENETSSHQLNGVADAKIDRKNISNEEQNSSVAIGTKGLDMESSSTKNSLSLDVNNDNEACINPKNVDSNVNPLEHTSEKEESPALAVGESAKEKNEIKVLDNAAVICDANNSMNQNHSLNDSTVKVEEVRSELQIEISCPSNNEVIQSNDAESEADRKVSTVQGDNSNSNIEKACASRPQGTMDNFMCEIPEMTFSGITCTDNADTQTSLENHVEVVDKAREDSILEEARIIEVAKRKRIAELSVGTLPLENSRKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVAFTSQFKFEEQCRYWKLKKIALTLANAVMDFWHSAEVLLTSKDANLGLKTCGHDLKGSRANKVTEITTTELDMAAKECQQHTGKNNGFAIRAYALRYLKYNSSPVPSLLAEAPATPDRISDLGIMDISWDEHLTEENLFYAVHSGAMETYRRSIESYMVQTEKTGSTVQEEVETSAYDARAEFGYDDSVYDEDEGETSTYYLPGAFEGRQSSKLNHKKRKNPMKSYPATQYKVGADLPYGNCGQPSIFMGKRPASSLNDGLVPAKRVRTGSRQRVISPFSSAAAARDSQAPTQTDASSGDTNSFQDEQNTLHGGLRTQNSLEVDSIGDFERQQPYDCAGTPTKPKKKKKAKNLGLAYNQGWQLESPVHSEQRDYLKKRPESHHFDSNGTSGLYGQHNAKKLKIMKHQPDSAYDITPNGSIPSPVGSQMSNMPNPSKIIRLIHGSDKGRKVKTPKMSTGQLASGSPWSLLEDQALVVLVHDMGPNWQLCIFRKPNECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIILISKKQNYWRSQDLKQIVPVHNSHVALSQVCSNNLNGGVLTPLDLCDATASSQDVLPFGCQASYVSGLAMLNQGDVGSRLPASGGNSSLQGSSSMVLGNNLSSPSAPLNAAVRDCRYGVPRTSLPADEQHRIQQYNQMLSGRNVQQSNLSLAGSVSGSERGRMLPAANGVGMMCGVNRSMPMSRPGFQGMASSAMLNSGSMLSSNMGGMPSPVNMPSGPGSGRANSMLRPHDTTHLMRSGPNPEHQMQMAPELQMQAQGNGQGIPAFNGLTSAYPNHPQQQQQTPPQQSRAPSKSHHADLQGSNHATGSQQQVYAMRLAKERQMQPQQLHVHQQQQQPLQPFAASSTLMPQVQPQAQLPISSLQTSSQIQSQASNQPVSLPLTPSSPMPPTSIQRQQKHQLSPHGLGRNPQPGASGLNNQVGKQRQRQSLQQQFQQSSRHHPQQHQQTQSQQQSKLLKGGGRGLMHQNVSADHARLNGVSMAPGNQGSKKGEHMIQSQGLYSGPGVSPVQPSKPVVSSQPLTHSQPQQKVMPGAAALSTKQLQQMASHSDNSSQGQVSTVPSGRTLSAVHQPVLPGAMGSHHQHLQLQSQLHQKQANQNQPSVQKMLQQNRQTNSDPSSKSQAEPAQADQQPRNNASQMGTTTTSAMHQAGPDLVNNMVPVVSPSVGGSLWKSPEAVYDPGMPNVATQVGSIGNAPLTTSTGSDPGPSVSQGLGQRQLSGGLPHLGSNSGAQWSHQPQIQQSSTPPSSQQHYQPPEQLQQDQHNSPPQQLPLQQQPQQQTMHLQAAQGSLYHKHSNSKFE >KJB21647 pep chromosome:Graimondii2_0_v6:4:373801:376575:-1 gene:B456_004G006300 transcript:KJB21647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTVQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVLMMAGNKSDLNHLRSVSEEDGHALAEKEGLSFLETSALEATNIEKAFQTILNEIYHIVSKKALAAQEAAASASIPGQGTTINVGDESGNTKTACCST >KJB21648 pep chromosome:Graimondii2_0_v6:4:374122:376552:-1 gene:B456_004G006300 transcript:KJB21648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTVQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVLMMAGNKSDLNHLRSVSEEDGHALAEKEGLSFLETSALEATNIEKAFQTILNEIYHIVSKKALAAQEAAASASIPGQGTTINVGDESGNTKTACCST >KJB25423 pep chromosome:Graimondii2_0_v6:4:50725726:50728633:-1 gene:B456_004G190600 transcript:KJB25423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFSIFGKDKSKSKKRETRSAPELRNQSESSDNPALSRTTKSLPSPRTIPELYKEKKHNLRVFSLDELRDATNCFNRKLKIGEGGFGSVYKGTIKSLSGRGDPIVVAVKKLNAHGLQGHKEWLAEIQFLGVVSHPNLVKLIGYCSVDGERGIQRMLVYEYMPNRSLEDHLFNSTSTLPWKMRLEIMLGVAEGLAYLHEGLEVKVIYRDFKSSNVLLDENFRPKLSDFGLAREGPTGDRTHVSTGVYGTYGYAAPEYVETGHLTIQSDIWTFGVVLYEILTGRRTVERNLPTSEQKLLDWVKQFPPDSKRFSMIIDPRLRNNYSLNAAQKVGKLANSCLNKNAKERPAMSQVVESLKQVIQELEEASSSSVNNSAGPSSSRNGTRRRSK >KJB25424 pep chromosome:Graimondii2_0_v6:4:50725726:50728731:-1 gene:B456_004G190600 transcript:KJB25424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFSIFGKDKSKSKKRETRSAPELRNQSESSDNPALSRTTKSLPSPRTIPELYKEKKHNLRVFSLDELRDATNCFNRKLKIGEGGFGSVYKGTIKSLSGRGDPIVVAVKKLNAHGLQGHKEWLAEIQFLGVVSHPNLVKLIGYCSVDGERGIQRMLVYEYMPNRSLEDHLFNSTSTLPWKMRLEIMLGVAEGLAYLHEGLEVKVIYRDFKSSNVLLDENFRPKLSDFGLAREGPTGDRTHVSTGVYGTYGYAAPEYVETGHLTIQSDIWTFGVVLYEILTGRRTVERNLPTSEQKLLDWVKQFPPDSKRFSMIIDPRLRNNYSLNAAQKVGKLANSCLNKNAKERPAMSQVVESLKQVIQELEEASSSSVNNSAGPSSSRNGTRRRSK >KJB23018 pep chromosome:Graimondii2_0_v6:4:9137617:9140305:1 gene:B456_004G077900 transcript:KJB23018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATINGETAMEKTLGSIGSFSNNNNNKEVFLRADKIDFKSWDLQLDKHLSRAWSRDSDGSTLTKKEEWEIDLAKLDIRYVIAHGTYGTVYRGVYDTQDVAVKVLDWGEDGIATVAEAAALRASFRQEVAVWHKLDHPNVTKFIGASMGTSNLKIPTKDGISENNNSLPARACCVVVEYLPGGTLKNFLIRNRRKKLAFKVVIQIALDLSRGLSYLHSKKIVHRDVKTENMLLDAHRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLTAWIT >KJB23020 pep chromosome:Graimondii2_0_v6:4:9139403:9140696:1 gene:B456_004G077900 transcript:KJB23020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFIGASMGTSNLKIPTKDGISENNNSLPARACCVVVEYLPGGTLKNFLIRNRRKKLAFKVVIQIALDLSRGLSYLHSKKIVHRDVKTENMLLDAHRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFSICLWEIYCCDMPYADLSFAEVSSAVVCQNLRPEIPRCCPSSLASIMRKCWDAHPERRPDMDEVVRLLEAVDTSKGGGMIPDDQARGCFCFTARGP >KJB23019 pep chromosome:Graimondii2_0_v6:4:9137617:9140898:1 gene:B456_004G077900 transcript:KJB23019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMAPFTVAFMIPKMLQVLDWGEDGIATVAEAAALRASFRQEVAVWHKLDHPNVTKFIGASMGTSNLKIPTKDGISENNNSLPARACCVVVEYLPGGTLKNFLIRNRRKKLAFKVVIQIALDLSRGLSYLHSKKIVHRDVKTENMLLDAHRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFSICLWEIYCCDMPYADLSFAEVSSAVVCQNLRPEIPRCCPSSLASIMRKCWDAHPERRPDMDEVVRLLEAVDTSKGGGMIPDDQARGCFCFTARGP >KJB23017 pep chromosome:Graimondii2_0_v6:4:9137574:9140935:1 gene:B456_004G077900 transcript:KJB23017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATINGETAMEKTLGSIGSFSNNNNNKEVFLRADKIDFKSWDLQLDKHLSRAWSRDSDGSTLTKKEEWEIDLAKLDIRYVIAHGTYGTVYRGVYDTQDVAVKVLDWGEDGIATVAEAAALRASFRQEVAVWHKLDHPNVTKFIGASMGTSNLKIPTKDGISENNNSLPARACCVVVEYLPGGTLKNFLIRNRRKKLAFKVVIQIALDLSRGLSYLHSKKIVHRDVKTENMLLDAHRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFSICLWEIYCCDMPYADLSFAEVSSAVVCQNLRPEIPRCCPSSLASIMRKCWDAHPERRPDMDEVVRLLEAVDTSKGGGMIPDDQARGCFCFTARGP >KJB22227 pep chromosome:Graimondii2_0_v6:4:2958723:2960476:1 gene:B456_004G036000 transcript:KJB22227 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRT100 [Source:Projected from Arabidopsis thaliana (AT3G12610) UniProtKB/TrEMBL;Acc:A0A178VMS3] MGIFSFNAIALAFLLAIISGAVNACPPSDREALLALSSSLKEPYLGIFDSWKGTDCCSNWYGISCDPTTHRVTDVSLRGESEDPILQKTGHSSSGYMTGTINPSICQLDRLTTLIIADWKGIAGEIPSCLASLPNLRVLDLIGNSLSGKIPDQIGNLQKLTVLNLADNKINGEIPSSIVQLSSLKHLDLSNNLLTGEVPANFGNLKMLSRALLSGNQLTGTIPISIGNMYRLADLVISRNKIQGQIPAQLGKMKVLATLDLGSNMLTGEIPPAVLGSTGLGILNLSRNSLEGNIPDVFGPKSYFMALDLSFNNLKGPVPGSLSSAKFVGHLDLSHNHLCGTIPVGTPFDHLEASSFDSNDCLCGNPLKTC >KJB26837 pep chromosome:Graimondii2_0_v6:4:59773008:59775148:1 gene:B456_004G262300 transcript:KJB26837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQGNRERRSMEAEVEAAKKRCRELIEKIDCLDVATIKSNCKHTLLKLVQSEFSFLSRFPIHLASSQPLSVNLGHLEAIVYILQQPFITAVSRVCKPLPLSLSSKHKTLSAAASLNSIHVHIVCNLNKNPVWVIVSDRNPNYITWHSNNKTKGLKSRIQQVLDAAKSTNTLRPYSIILFFSNGLTIFNHQKLKDELGASKLALEFSDSDFDFAEETEGEWVHVIPGMYKEACVLEIKFDHVVNNVISSEHEVKDSFLNVFPPEHQGENVNPNLGSSNSFSALLSQMKKVESTKMEDFSHDDDFINFDTTALIAIVSGISNGCAEELLNKPEVELRHRFKGNYDFVIAQVKFSLKLCSSH >KJB26840 pep chromosome:Graimondii2_0_v6:4:59773008:59776760:1 gene:B456_004G262300 transcript:KJB26840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKEACVLEIKFDHVVNNVISSEHEVKDSFLNVFPPEHQGENVNPNLGSSNSFSALLSQMKKVESTKMEDFSHDDDFINFDTTALIAIVSGISNGCAEELLNKPEVELRHRFKGNYDFVIAQAMSELQYPIHANLSAAVSGKRGIICESVLSEFKELVWMCGGANEKHRADQLLKCLLVVRDSPSERLIGLPTTRKLALKNKIVFGTGDYWRAPTLTANMAFVRAVAQTGMSLFTIEHSPRALTGN >KJB26838 pep chromosome:Graimondii2_0_v6:4:59773008:59776886:1 gene:B456_004G262300 transcript:KJB26838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQGNRERRSMEAEVEAAKKRCRELIEKIDCLDVATIKSNCKHTLLKLVQSEFSFLSRFPIHLASSQPLSVNLGHLEAIVYILQQPFITAVSRVCKPLPLSLSSKHKTLSAAASLNSIHVHIVCNLNKNPVWVIVSDRNPNYITWHSNNKTKGLKSRIQQVLDAAKSTNTLRPYSIILFFSNGLTIFNHQKLKDELGASKLALEFSDSDFDFAEETEGEWVHVIPGMYKEACVLEIKFDHVVNNVISSEHEVKDSFLNVFPPEHQGENVNPNLGSSNSFSALLSQMKKVESTKMEDFSHDDDFINFDTTALIAIVSGISNGCAEELLNKPEVELRHRFKGNYDFVIAQAMSELQYPIHANLSAAVSGKRGIICESVLSEFKELVWMCGGANEKHRADQLLKCLLVVRDSPSERLIGLPTTRKLALKNKIVFGTGDYWRAPTLTANMAFVRAVAQTGMSLFTIEHSPRALTGN >KJB26839 pep chromosome:Graimondii2_0_v6:4:59773008:59776886:1 gene:B456_004G262300 transcript:KJB26839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVDRKNRLFGRRHHQIKLQAHTLEVGPVRVLVPLPLSHSSSFFSTSQAMSELQYPIHANLSAAVSGKRGIICESVLSEFKELVWMCGGANEKHRADQLLKCLLVVRDSPSERLIGLPTTRKLALKNKIVFGTGDYWRAPTLTANMAFVRAVAQTGMSLFTIEHSPRALTGN >KJB26992 pep chromosome:Graimondii2_0_v6:4:60796092:60797933:-1 gene:B456_004G273300 transcript:KJB26992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRLSNNLLGILNFVTFLLSIPILVAGIWLSRKAITECERFIDKPIIIVGVFLMVVSLAGFVGACCHVKWLLWLYLVVMFILIVLGIAGTIFAFVVTNKGAGRVLSGKGYKEYRLGDYSNWLQKRVSDENNWFKIKSCLVDSKVCSDFHNQHMNESVTEFYKVHLSAIQSGCCKPSNDCQFTFLGPTNWTKGNGVFINNTDCNTWSNDLGTLCFDCHACKAGFIDNLRSSWKKVMVVNIIFLVCLIIVYSVGCCAFSNSRKSKS >KJB22856 pep chromosome:Graimondii2_0_v6:4:7351616:7353857:-1 gene:B456_004G069800 transcript:KJB22856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEESGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPAVLKECRRIITESEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >KJB22857 pep chromosome:Graimondii2_0_v6:4:7351974:7353906:-1 gene:B456_004G069800 transcript:KJB22857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEESGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPAVLKECRRIITESEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >KJB22858 pep chromosome:Graimondii2_0_v6:4:7352699:7353857:-1 gene:B456_004G069800 transcript:KJB22858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEESGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPAVLKECRRIITESEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQVS >KJB25186 pep chromosome:Graimondii2_0_v6:4:49333157:49338382:1 gene:B456_004G181900 transcript:KJB25186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEMDKAAKEKEPKTPPAITAQESSSTTNSGSVNADWSGFQAYSPIPPHGFLASSPQAPPYMWGVQHIMPPYGTPPHPYVAMYPHGGIYAHPSLPPGSYPFSPFAMPSPNGIAEASGNTPGSMEMDGKPPEVKEKLPIKRSKGSLGSLNMITGKNNNLGKTSGASANGGYSKSADSGSEGTSEGSDANSQNDSQLQSGDGKDSGEGEASQNGRSAHGPQNGGPNSAHSVANTALAIVPISTTGAATAVPGPTTNLHIGMDYWGTPASSNVPAMHAKVPSTPVAGGIVTPGSRDNVQSQLWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENANLRSEVSRLKSEYEQLHAENASLKERLGEIPGHEDLKSGRNDQHRNEDEQTEIVQGSH >KJB25188 pep chromosome:Graimondii2_0_v6:4:49333425:49338382:1 gene:B456_004G181900 transcript:KJB25188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEMDKAAKEKEPKTPPAITAQESSSTTNSGSVNADWSGFQAYSPIPPHGFLASSPQAPPYMWGVQHIMPPYGTPPHPYVAMYPHGGIYAHPSLPPGSYPFSPFAMPSPNGIAEASGNTPGSMEMDGKPPEVKEKLPIKRSKGSLGSLNMITGKNNNLGKTSGASANGGYSKSADSGSEGTSEGSDANSQNDSQLQSGDGKDSGEGEASQNGRSAHGPQNGGPNSAHSVANTALAIVPISTTGAATAVPGPTTNLHIGMDYWGTPASSNVPAMHAKVPSTPVAGGIVTPGSRDNVQSQLWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENANLRSEVSRLKSEYEQLHAENASLKERLGEIPGHEDLKSGRNDQHRNEDEQTEIVQGSH >KJB25187 pep chromosome:Graimondii2_0_v6:4:49327847:49338382:1 gene:B456_004G181900 transcript:KJB25187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEMDKAAKEKEPKTPPAITAQESSSTTNSGSVNADWSGFQAYSPIPPHGFLASSPQAPPYMWGVQHIMPPYGTPPHPYVAMYPHGGIYAHPSLPPGSYPFSPFAMPSPNGIAEASGNTPGSMEMDGKPPEVKEKLPIKRSKGSLGSLNMITGKNNNLGKTSGASANGGYSKSADSGSEGTSEGSDANSQNDSQLQSGDGKDSGEGEASQNGRSAHGPQNGGPNSAHSVANTALAIVPISTTGAATAVPGPTTNLHIGMDYWGTPASSNVPAMHAKVPSTPVAGGIVTPGSRDNVQSQLWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENANLRSEVSRLKSEYEQLHAENASLKERLGEIPGHEDLKSGRNDQHRNEDEQTEIVQGSH >KJB26532 pep chromosome:Graimondii2_0_v6:4:58292989:58294218:-1 gene:B456_004G246100 transcript:KJB26532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSFLHLSSATRFTPRGTPINHRARTQKSFSIRSQSAPVLSQDELKKLAADKAVESVKSGMVLGLGTGSTAAFVVDKLGQLLSTGQLSNIVGIPTSKRTQEQAASLNIPLSTLDLHPHIDLAIDGADEVDPNLDLVKGRGGALLREKMVEAASSSFIVVADDSKLVSGLGGSGLAMPVEVVQFCWKYNLVRLEGLFNELGCEAKLRLAGDGSEKPYVTDNGNYIVDLYFKNPIKDGFAAGKEISAMEGVVEHGLFLGMATSVIVAGKTGVEVMTK >KJB24359 pep chromosome:Graimondii2_0_v6:4:40131977:40132598:1 gene:B456_004G142100 transcript:KJB24359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTSSFLLGVSLVLTTLLIATSLTVYSSGLVETEGSGFHDWMAECMAMGELEMESEISRRVLESNTRYISYGALQGDTVPCSRRGASYYNCPPGADANPYDRGCSTINRCRH >KJB25382 pep chromosome:Graimondii2_0_v6:4:50410494:50412886:1 gene:B456_004G188400 transcript:KJB25382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGNLPGHLRTCLHTGKLALLAILVSGGIVLQILACALYNNWWPMLTVIMYVLLPMPLLFFSGSDASSLLSESDNGWVNATKFLTGASAVGSIAIPAILKHAGVIGWGALAMELSSYFVFVLAIMCCIRMNDDDDYSFL >KJB25383 pep chromosome:Graimondii2_0_v6:4:50410014:50412958:1 gene:B456_004G188400 transcript:KJB25383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGNLPGHLRTCLHTGKLALLAILVSGGIVLQILACALYNNWWPMLTVIMYVLLPMPLLFFSGSDASSLLSESDNGWVNATKFLTGASAVGSIAIPAILKHAGVIGWGALAMELSSYFVFVLAIMCCIRMNDDDDYSFL >KJB23389 pep chromosome:Graimondii2_0_v6:4:14826408:14835354:1 gene:B456_004G095400 transcript:KJB23389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSASLFNKLKGADPFFLLAGPNVIESEDHILRMAGYIKTITTKLGLPLVFKSSFDKANRTSSKSFRGPGMAEGLKILEKVKVAYDIPIVTDVHETIQCEAVGRVADVIQIPAFLCRQTDLLVEAAKTGRIINIKKGQFCAPSVMINSAEKIRLAGNLNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGRKLDGGGVASGGFRELIPCIARTAVAVGVDGIFMEVHDNPLNAPVDGPTQWIATAPFGGTSGRANCNS >KJB23388 pep chromosome:Graimondii2_0_v6:4:14826009:14836969:1 gene:B456_004G095400 transcript:KJB23388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSASLFNKLKGADPFFLLAGPNVIESEDHILRMAGYIKTITTKLGLPLVFKSSFDKANRTSSKSFRGPGMAEGLKILEKVKVAYDIPIVTDVHETIQCEAVGRVADVIQIPAFLCRQTDLLVEAAKTGRIINIKKGQFCAPSVMINSAEKIRLAGNLNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGRKLDGGGVASGGFRELIPCIARTAVAVGVDGIFMEVHDNPLNAPVDGPTQWPLRHLEELLEELIAIARVSKGKKRFNIDLTPYSG >KJB23386 pep chromosome:Graimondii2_0_v6:4:14826257:14836949:1 gene:B456_004G095400 transcript:KJB23386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSASLFNKLKGADPFFLLAGPNVIESEDHILRMAGYIKTITTKLGLPLVFKSSFDKANRTSSKSFRGPGMAEGLKILEKVKVAYDIPIVTDVHETIQCEAVGRVADVIQIPAFLCRQTDLLVEAAKTGRIINIKKGQFCAPSVMINSAEKIRLAGNLNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGRKLDGGGVASGGFRELIPCIARTAVAVGVDGIFMEVHDNPLNAPVDGPTQWPLRHLEELLEELIAIARVSKGKKRFNIDLTPYSG >KJB23385 pep chromosome:Graimondii2_0_v6:4:14826009:14836969:1 gene:B456_004G095400 transcript:KJB23385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSASLFNKLKGADPFFLLAGPNVIESEDHILRMAGYIKTITTKLGLPLVFKSSFDKANRTSSKSFRGPGMAEGLKILEKVKVAYDIPIVTDVHETIQCEAVGRVADVIQIPAFLCRQTDLLVEAAKTGRIINIKKGQFCAPSVMINSAEKIRLAGNLNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGRKLDGGGVASGGFRELIPCIARTAVAVGVDGIFMEVHDNPLNAPVDGPTQWPLRHLEELLEELIAIARVSKGKKRFNIDLTPYSG >KJB23387 pep chromosome:Graimondii2_0_v6:4:14826352:14836931:1 gene:B456_004G095400 transcript:KJB23387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSASLFNKLKGADPFFLLAGPNVIESEDHILRMAGYIKTITTKLGLPLVFKSSFDKANRTSSKSFRGPGMAEGLKILEKVKVAYDIPIVTDVHETIQCEAVGRVADVIQIPAFLCRQTDLLVEAAKTGRIINIKKGQFCAPSVMINSAEKIRLAGNLNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGRKLDGGGVASGGFRELIPCIARTAVAVGVDGIFMEVHDNPLNAPVDGPTQWPLRHLEELLEELIAIARVSKGKKRFNIDLTPYSG >KJB23390 pep chromosome:Graimondii2_0_v6:4:14826352:14835616:1 gene:B456_004G095400 transcript:KJB23390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLKILEKVKVAYDIPIVTDVHETIQCEAVGRVADVIQIPAFLCRQTDLLVEAAKTGRIINIKKGQFCAPSVMINSAEKIRLAGNLNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGRKLDGGGVASGGFRELIPCIARTAVAVGVDGIFMEVHDNPLNAPVDGPTQWPLRHLEELLEELIAIARVSKGKKRFNIDLTPYSG >KJB22442 pep chromosome:Graimondii2_0_v6:4:4234341:4239416:-1 gene:B456_004G047700 transcript:KJB22442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable polyamine oxidase 4 [Source:Projected from Arabidopsis thaliana (AT1G65840) UniProtKB/Swiss-Prot;Acc:Q8H191] MDGRKVPQEIVVEVGDVFKRILKETEKVRDEHKKDMSVLKAISIVLDRNPELRQEGLAYEVMQWYICRMEAWFAADTDMISLKCWDQEQVLLGGHGLMVQGYDPIIKELAKDIDVRLNHRGCDKVVVKVENGLSFIADAAIVTVPLGVLKANLIQFEPKLPEWKVAAISDIGVGNENKIALLFDRVFWPNVELLGIVARTSYSCGYFLNLHKATGHPILVYMAAGRFADDLEKFSDEYAVKFVMSQLKKMFPDATEPVQYLVSHWGTDPNSLGCYSYDPVGMAGDVYDKLREPLDNLFFGGEAVTEEHQGSVHGAYSSGVLAARNCENHLLERLGDFRKLQLISFSGDALLEPIFPLQISRM >KJB22444 pep chromosome:Graimondii2_0_v6:4:4234517:4237882:-1 gene:B456_004G047700 transcript:KJB22444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable polyamine oxidase 4 [Source:Projected from Arabidopsis thaliana (AT1G65840) UniProtKB/Swiss-Prot;Acc:Q8H191] MDGRKVPQEIVVEVGDVFKRILKETEKVRDEHKKDMSVLKAISIVLDRNPELRQEGLAYEVMQWYICRMEAWFAADTDMISLKCWDQEQVLLGGHGLMVQGYDPIIKELAKDIDVRLNHRVSKISRGCDKVVVKVENGLSFIADAAIVTVPLGVLKANLIQFEPKLPEWKVAAISDIGVGNENKIALLFDRVFWPNVELLGIVARTSYSCGYFLNLHKATGHPILVYMAAGRFADDLEKFSDEYAVKFVMSQLKKMFPDATEPVQYLVSHWGTDPNSLGCYSYDPVGMAGDVYDKLREPLDNLFFGGEAVTEEHQGSVHGAYSSGVLAARNCENHLLERLGDFRKLQLISFSGDALLEPIFPLQISRM >KJB22441 pep chromosome:Graimondii2_0_v6:4:4234220:4239407:-1 gene:B456_004G047700 transcript:KJB22441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable polyamine oxidase 4 [Source:Projected from Arabidopsis thaliana (AT1G65840) UniProtKB/Swiss-Prot;Acc:Q8H191] MDGRKVPQEIVVEVGDVFKRILKETEKVRDEHKKDMSVLKAISIVLDRNPELRQEGLAYEVMQWYICRMEAWFAADTDMISLKCWDQEQVLLGGHGLMVQGYDPIIKELAKDIDVRLNHRVSKISRGCDKVVVKVENGLSFIADAAIVTVPLGVLKANLIQFEPKLPEWKVAAISDIGVGNENKIALLFDRVFWPNVELLGIVARTSYSCGYFLNLHKATGHPILVYMAAGRFADDLEKFSDEYAVKFVMSQLKKMFPDATEPVQYLVSHWGTDPNSLGCYSYDPVGMAGDVYDKLREPLDNLFFGGEAVTEEHQGSVHGAYSSGVLAARNCENHLLERLGDFRKLQLISFSGDALLEPIFPLQISRM >KJB22443 pep chromosome:Graimondii2_0_v6:4:4234341:4239416:-1 gene:B456_004G047700 transcript:KJB22443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable polyamine oxidase 4 [Source:Projected from Arabidopsis thaliana (AT1G65840) UniProtKB/Swiss-Prot;Acc:Q8H191] MDGRKVPQEIVVEVGDVFKRILKETEKVRDEHKKDMSVLKAISIVLDRNPELRQEGLAYEVMQWYICRMEAWFAADTDMISLKCWDQAILLGGHGLMVQGYDPIIKELAKDIDVRLNHRVSKISRGCDKVVVKVENGLSFIADAAIVTVPLGVLKANLIQFEPKLPEWKVAAISDIGVGNENKIALLFDRVFWPNVELLGIVARTSYSCGYFLNLHKATGHPILVYMAAGRFADDLEKFSDEYAVKFVMSQLKKMFPDATEPVQYLVSHWGTDPNSLGCYSYDPVGMAGDVYDKLREPLDNLFFGGEAVTEEHQGSVHGAYSSGVLAARNCENHLLERLGDFRKLQLISFSGDALLEPIFPLQISRM >KJB22445 pep chromosome:Graimondii2_0_v6:4:4234517:4239592:-1 gene:B456_004G047700 transcript:KJB22445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable polyamine oxidase 4 [Source:Projected from Arabidopsis thaliana (AT1G65840) UniProtKB/Swiss-Prot;Acc:Q8H191] IERQEEAAVPCVIVIGGGVILLESRERLGGRIHTDFSFGCPVDMGASWKALDLNISLTLLLYKLHGVCNENPLAPLISSLGLKLYRTSGDNSVLYDHDLESYTLFDMDGRKVPQEIVVEVGDVFKRILKETEKVRDEHKKDMSVLKAISIVLDRNPELRQEGLAYEVMQWYICRMEAWFAADTDMISLKCWDQANQLHFNFKLYIHLSLLQIRISKLFNTGLHEVTLINLEQVLLGGHGLMVQGYDPIIKELAKDIDVRLNHRVSKISRGCDKVVVKVENGLSFIADAAIVTVPLGVLKANLIQFEPKLPEWKVAAISDIGVGNENKIALLFDRVFWPNVELLGIVARTSYSCGYFLNLHKATGHPILVYMAAGRFADDLEKFSDEYAVKFVMSQLKKMFPDATEPVQYLVSHWGTDPNSLGCYSYDPVGMAGDVYDKLREPLDNLFFGGEAVTEEHQGSVHGAYSSGVLAARNCENHLLERLGDFRKLQLISFSGDALLEPIFPLQISRM >KJB22371 pep chromosome:Graimondii2_0_v6:4:3810733:3812558:-1 gene:B456_004G044000 transcript:KJB22371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCSKVGLHRGPWSPREDKLLVNYIQSHGEGKWKSLPKKAGLLRCGKSCRLRWTNYLRPDIKRGNITPEEDDLIIRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNCHLSKRLLNQGTDPNTHKKLSQQATNTRKNISDTSKTFSERPKIHLPKPIRVTKSSFPRNQSFELDNHQCNANTQAGGNPSSDYAYAADHGGENMRGFLADDLDIQFECESTVLVSEDDSSSLEKLYEEYLQILNQNDDDEMQLDTFAQSLLI >KJB24305 pep chromosome:Graimondii2_0_v6:4:38555987:38556967:1 gene:B456_004G138000 transcript:KJB24305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVLKLSLQDDIEKQKTMKAVSGLSGVDSIAIDMKDKKLTVIGEVDPVVVVSKLRKQWYTQILTVGPAKEEKKDGEGKKNEGAKKDDNKKKESEQLAELLKAYKAYNPYMTPYHRVVLAEDHPNSCVIC >KJB22861 pep chromosome:Graimondii2_0_v6:4:7358692:7362236:-1 gene:B456_004G070000 transcript:KJB22861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYVESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIALADEVGIPFMETSAKDSTNVEQAFMAMAASIKDRMASQPAMNNAKPPTVQIRGQPVAQKGGCCSS >KJB22864 pep chromosome:Graimondii2_0_v6:4:7359313:7362236:-1 gene:B456_004G070000 transcript:KJB22864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYVESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVRQWLSEIDRYASDNVNKILVGNKCDLTANKVVSYETGKALADEVGIPFMETSAKDSTNVEQAFMAMAASIKDRYLYSFS >KJB22862 pep chromosome:Graimondii2_0_v6:4:7360153:7362034:-1 gene:B456_004G070000 transcript:KJB22862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYVESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVSVLFLSRFSHCGSFFGFSNSYVCFS >KJB22863 pep chromosome:Graimondii2_0_v6:4:7358692:7362292:-1 gene:B456_004G070000 transcript:KJB22863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYVESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVRQWLSEIDRYASDNVNKILVGNKCDLTANKVVSYETGKALADEVGIPFMETSAKDSTNVEQAFMAMAASIKDRMASQPAMNNAKPPTVQIRGQPVAQKGGCCSS >KJB21392 pep chromosome:Graimondii2_0_v6:4:52205141:52205554:1 gene:B456_004G200000 transcript:KJB21392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRLASLTLFLVLNILFFSLVSGRGSCPSPSPKPNPKPTPSPSPCAKGKCPIDALKLGVCANILGLANVTIGTPPVQPCCSLLQGLTDLEAAVCLCTAIKANILGINLNVPVSLNLLLNICSRKVPSYFQLP >KJB24723 pep chromosome:Graimondii2_0_v6:4:44764513:44766537:1 gene:B456_004G158300 transcript:KJB24723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPQADKLVRRITMVATVTASYFLLTADYGPEPNVLDPIKKSILSAQSSLKEFIAGSSREEQQGSSQSSNNNAKEHP >KJB25526 pep chromosome:Graimondii2_0_v6:4:51656600:51658293:1 gene:B456_004G196200 transcript:KJB25526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDALQLPIVDLSSPDRFSTANSIRQACIDHGFFYLVNHGVDEELVNKVFEQSSKFFSLPIEEKMKVIIKNYSSYSPLYAGKLDTTLSTKGDSNEGFYVGQLAGDLNQWPLEEDLPSWRSTIETYYQQLLSAGTKLLSLIALSLKLDEDFFEKVGAFNEPLASLGLLHYPGDLDSSSKEIYGASAHSDFGMLTLLATDGVPGLQVCCLLIMRQP >KJB25528 pep chromosome:Graimondii2_0_v6:4:51656600:51659587:1 gene:B456_004G196200 transcript:KJB25528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDALQLPIVDLSSPDRFSTANSIRQACIDHGFFYLVNHGVDEELVNKVFEQSSKFFSLPIEEKMKVIIKNYSSYSPLYAGKLDTTLSTKGDSNEGFYVGQLAGDLNQWPLEEDLPSWRSTIETYYQQLLSAGTKLLSLIALSLKLDEDFFEKVGAFNEPLASLGLLHYPGDLDSSSKEIYGASAHSDFGMLTLLATDGVPGLQICREKSEQPQVWEDVPSRSGAFIVNIGDMMERWTNCLFRSRLHRVLPPRQERYSVAFFLNPSKDCNVECLESCCSEDCPPRFPPIKALDYLEERLRLTYGL >KJB25527 pep chromosome:Graimondii2_0_v6:4:51656600:51659587:1 gene:B456_004G196200 transcript:KJB25527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDALQLPIVDLSSPDRFSTANSIRQACIDHGFFYLVNHGVDEELVNKVFEQSSKFFSLPIEEKMKVIIKNYSSYSPLYAGKLDTTLSTKGDSNEGFYVGQLAGDLNQWPLEEDLPSWRSTIETYYQQLLSAGTKLLSLIALSLKLDEDFFEKVGAFNEPLASLGLLHYPGDLDSSSKEIYGASAHSDFGMLTLLATDGVPGLQICREKSEQPQVWEDVPSRSGAFIVNIGDMMERWTNCLFRWHSS >KJB22332 pep chromosome:Graimondii2_0_v6:4:3592786:3595066:-1 gene:B456_004G041600 transcript:KJB22332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLMPSPEISTASAKFFSNPTPRPKFLKSCAISKNDDEKVWSRTNARVGVKDAGSTVSCLSQNLRLYVQFSAPVKRGSKPSKEEEEKQDYYVNMGYAIRTLREEFPDIFYRELSFDIYRDDIVFKDPLNTFIGIDNYKSIFRALRFHGRIFFKALWLDIVSVWQPMENVVMVRWTIHGIPRVPWESRGRFDGTSEYKLDKKGKIYEHRVDNIALNSPPKFQVLAVEDLIRSVGCPSTPRPTYFEISSASPPEKT >KJB24338 pep chromosome:Graimondii2_0_v6:4:39549559:39550966:-1 gene:B456_004G140400 transcript:KJB24338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASQRRRRFHSHHDGLSCSPWLQTTLSELDKKTKAMLKLIEEDADSFAQRAEMYCKKRSELIGLVEDLYRTHHLLVERYDRAKFSHETHVVTTLGASFRSMKHMSDKAYDSCSDTVDFEDYVESEVDDAESQHKYNMNIINEEVTMKLREEVERLKKDNKKVKAQLLGKDEEKRQVIRKLSLAIKVLKDENMKLKKLKESLMKWEFSKLKEGIFGMLFSGSLK >KJB26492 pep chromosome:Graimondii2_0_v6:4:58179219:58182591:1 gene:B456_004G244600 transcript:KJB26492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDRILANFTPLEIANSMENLSLDSQVKTTKVPEPVKKDLYSDNGSYMYPQTYGYMPYGAYTMPLQEYYYPENPYYQPPMQTSQADAFQVEVPSAVDQASLPVETNKGNLSTVATGNSGSGSLKSTLKSSSLNPNAFYKGGGLSSGNLSQGYPDPRFSYDGVQSPIPWLDMSMSCNGQSEHAANGGFSYTSNLSSGRNQNLHPFPHVMNLHNVRPSSGVGQGYGYMYPNNMAYSHYRGGSGFGSYGYGARKKGLGWYNVGSNNKYRFQGYGKENMDGLNELNRGPRVKGYKNKDGLETATLAVKDQNLPPMENSKDNGVSLVLDMEQYNKEDFPGSYSDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNRKLDAAFCEAKEKPDGCPIFLLFSVNTSGQFVGLAEMVGAVDFNRTVEYWQQDKWTGCFPVKWHIVKDVPNTSLRHITLKNNENKPVTNSRDTQEVNFEQGMQILKIFKDHSSKTCILDDFEFYEARQKMIQERKAKHQLLQKQVLSGEPKGENPAMAKETLEKPVEAALTERTATAEAVNATNRDAKPIEEKGSVAATEDSSQ >KJB26495 pep chromosome:Graimondii2_0_v6:4:58179613:58182566:1 gene:B456_004G244600 transcript:KJB26495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLLLLVICTFRYISTLIEFKILNSQIFFLSFTSTITEIANSMENLSLDSQVKTTKVPEPVKKDLYSDNGSYMYPQTYGYMPYGAYTMPLQEYYYPENPYYQPPMQTSQADAFQVEVPSAVDQASLPVETNKGNLSTVATGNSGSGSLKSTLKSSSLNPNAFYKGGGLSSGNLSQGYPDPRFSYDGVQSPIPWLDMSMSCNGQSEHAANGGFSYTSNLSSGRNQNLHPFPHVMNLHNVRPSSGVGQGYGYMYPNNMAYSHYRGGSGFGSYGYGARKKGLGWYNVGSNNKYRFQGYGKENMDGLNELNRGPRVKGYKNKDGLETATLAVKDQNLPPMENSKDNGVSLVLDMEQYNKEDFPGSYSDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNRKLDAAFCEAKEKPDGCPIFLLFSVNTSGQFVGLAEMVGAVDFNRTVEYWQQDKWTGCFPVKWHIVKDVPNTSLRHITLKNNENKPVTNSRDTQEVNFEQGMQILKIFKDHSSKTCILDDFEFYEARQKMIQERKAKHQLLQKQVLSGEPKGENPAMAKETLEKPVEAALTERTATAEAVNATNRDAKPIEEKGSVAATEDSSQ >KJB26493 pep chromosome:Graimondii2_0_v6:4:58179219:58182629:1 gene:B456_004G244600 transcript:KJB26493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDQIANSMENLSLDSQVKTTKVPEPVKKDLYSDNGSYMYPQTYGYMPYGAYTMPLQEYYYPENPYYQPPMQTSQADAFQVEVPSAVDQASLPVETNKGNLSTVATGNSGSGSLKSTLKSSSLNPNAFYKGGGLSSGNLSQGYPDPRFSYDGVQSPIPWLDMSMSCNGQSEHAANGGFSYTSNLSSGRNQNLHPFPHVMNLHNVRPSSGVGQGYGYMYPNNMAYSHYRGGSGFGSYGYGARKKGLGWYNVGSNNKYRFQGYGKENMDGLNELNRGPRVKGYKNKDGLETATLAVKDQNLPPMENSKDNGVSLVLDMEQYNKEDFPGSYSDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNRKLDAAFCEAKEKPDGCPIFLLFSVNTSGQFVGLAEMVGAVDFNRTVEYWQQDKWTGCFPVKWHIVKDVPNTSLRHITLKNNENKPVTNSRDTQEVNFEQGMQILKIFKDHSSKTCILDDFEFYEARQKMIQERKAKHQLLQKQVLSGEPKGENPAMAKETLEKPVEAALTERTATAEAVNATNRDAKPIEEKGSVAATEDSSQ >KJB26494 pep chromosome:Graimondii2_0_v6:4:58179337:58182566:1 gene:B456_004G244600 transcript:KJB26494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDRILANFTPLEIANSMENLSLDSQVKTTKVPEPVKKDLYSDNGSYMYPQTYGYMPYGAYTMPLQEYYYPENPYYQPPMQTSQADAFQVEVPSAVDQASLPVETNKGNLSTVATGNSGSGSLKSTLKSSSLNPNAFYKGGGLSSGNLSQGYPDPRFSYDGVQSPIPWLDMSMSCNGQSEHAANGGFSYTSNLSSGRNQNLHPFPHVMNLHNVRPSSGVGQGYGYMYPNNMAYSHYRGGSGFGSYGYGARKKGLGWYNVGSNNKYRFQGYGKENMDGLNELNRGPRVKGYKNKDGLETATLAVKDQNLPPMENSKDNGVSLVLDMEQYNKEDFPGSYSDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNRKLDAAFCEAKEKPDGCPIFLLFSVNTSGQFVGLAEMVGAVDFNRTVEYWQQDKWTGCFPVKWHIVKDVPNTSLRHITLKNNENKPVTNSRDTQEVNFEQGMQILKIFKDHSSKTCILDDFEFYEARQKMIQERKAKHQLLQKQVLSGEPKGENPAMAKETLEKPVEAALTERTATAEAVNATNRDAKPIEEKGSVAATEDSSQ >KJB21451 pep chromosome:Graimondii2_0_v6:4:12419249:12423672:-1 gene:B456_004G091100 transcript:KJB21451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLCQPSTPYNSPKRMSTEPTSIEGNVTPPTSIDSENLGVGASSQTKGTTWKRKVTPQRSEIWSHFTKIINSEDASLM >KJB21741 pep chromosome:Graimondii2_0_v6:4:823893:833357:-1 gene:B456_004G011600 transcript:KJB21741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMVPETGQNHSGGSQLPAPMEREPQQQNQQLENQDSSSGPGGSLSSPGQQQAVPAASDGQGQAQTTVAGPRCAPPYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQPRPRWHRVVVQGPGPGPRYGHVMALVGQRFLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAIGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAASHAAAAAAATDVHPGRLPGRYGFVDERTRETMPEAAPDGAVVLGNPVAPPVNGDMHTDISTENAMVQGSRRMSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQTSTLIKMPDSSGSNDVAPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSISSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCESAERIFAAEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETISLLLALKIEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASSERHIEDTWMQELNANRPPTPTRGRPQVTNDRGSLAWV >KJB21740 pep chromosome:Graimondii2_0_v6:4:823924:833421:-1 gene:B456_004G011600 transcript:KJB21740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMVPETGQNHSGGSQLPAPMEREPQQQNQQLENQDSSSGPGGSLSSPGQQQAVPAASDGQGQAQTTVAGPRCAPPYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQPRPRWHRVVVQGPGPGPRYGHVMALVGQRFLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAIGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAASHAAAAAAATDVHPGRLPGRYGFVDERTRETMPEAAPDGAVVLGNPVAPPVNGDMHTDISTENAMVQGSRRMSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQTSTLIKMPDSSGSNDVAPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSISSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCESAERIFAAEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETISLLLALKIEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASSERHIEDTWMQELNANRPPTPTRGRPQVTNDRGSLAWV >KJB23373 pep chromosome:Graimondii2_0_v6:4:14326067:14327238:1 gene:B456_004G094900 transcript:KJB23373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFIAASREAKRRIRELGKFFYSKFEICGIFGFFKTEKKLSVSLSDGNEVFFRIKRSTQMKKLMNAYCDKQSVDFNSIAFLIDGRHLRGEQTPNEDGDEIDAMLHQTGGTSA >KJB21612 pep chromosome:Graimondii2_0_v6:4:193971:195027:1 gene:B456_004G003100 transcript:KJB21612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCISNCITDTRVPIRPTYVNLYKWPESDAEFVRSLSSDGRSGGGGRVRSTVVDSISCRQMYLRSYTFHRNEAGPEKTKCFGRVKKERRKRNKSKKKRMALRRAKEVSFAALLAMFRRLLSCTTKVDVADHGG >KJB24151 pep chromosome:Graimondii2_0_v6:4:35106539:35110380:1 gene:B456_004G130100 transcript:KJB24151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFYLVVFGSLASLVAVLELSKTSKDRFSTSSAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSHYGFGKGEIGHLFIAGFGSSMLFGTVVGSLADKRGRKRACVTYCITYILSCITKHSPQYKVLMLGRVLGGIATSLLFSAFESWLVAEHNKRGFDQQWLSITFAKAIFLGNGLMAILSGLFGNVLVDSLKLGPVAPFDAASCFLAIGMIIILSTWTENYGDPSENKDLLTQFKGAAAAIAADEKITLLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLSSMLGSSIASRLLAHPTPRVESYMQTVFMLSSMALLLPVVTNLLVAPSNLKGGSISFSGCIQLIGFCIFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIALYNVKALPMTVMFGMCSAFLFVASLLQRRLMVIAEIHKSRSQDWLNMEEIDPEGVPLNG >KJB24150 pep chromosome:Graimondii2_0_v6:4:35106539:35110380:1 gene:B456_004G130100 transcript:KJB24150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFYLVVFGSLASLVAVLELSKTSKDRFSTSSAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSHYGFGKGEIGHLFIAGFGSSMLFGTVVGSLADKRGRKRACVTYCITYILSCITKHSPQYKVLMLGRVLGGIATSLLFSAFESWLVAEHNKRGFDQQWLSITFAKAIFLGNGLMAILSGLFGNVLVDSLKLGPVAPFDAASCFLAIGMIIILSTWTENYGDPSENKDLLTQFKGAAAAIAADEKITLLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLSSMLGSSIASRLLAHPTPRVESYMQTVFMLSSMALLLPVVTNLLVAPSNLKGGSISFSGCIQLIGFCIFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIALYNVKALPMTVMFGMCSAFLFVASLLQRRLMVIAEIHKSRSQDWLNMEEIDPEGVPLNG >KJB21891 pep chromosome:Graimondii2_0_v6:4:1432113:1438099:-1 gene:B456_004G019300 transcript:KJB21891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFVEGTRLTADKLLEAQSFASSKGYPIPKNVLIPKTKGIVTAVQSLRSFVPAIYDVTIAIPKQQPFFPTLLTFLKMQPCKVAVHIKRYSTKDLPESDQGIAQWCRNRFIAKDELLETFAATGTFDEEEITEFRRSTKSLIVTLIFASIFLVGGWIFLQRLSSGWQYPTLATIIGSVAIVVHIFIEFTKMPPPKIKATQICTQ >KJB25743 pep chromosome:Graimondii2_0_v6:4:53805084:53806412:-1 gene:B456_004G207200 transcript:KJB25743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVAFEPSLGQWQSAVRDGLLEAGVMPSNGFTYDHIYGTKVGGTIFDQQGNRHTAADLLEYANPSGLTVFLHASVHKILFAIKGKRRPKAHGVVFRDATGAKHKAYLKQGSKNEIIISAGALGSPQLLMLSGVGPAQHLKSHDITVVLDQPLVGQGMSDNPMNAVFIPSPLPVEVSLIQVVGITHFGSYIEAASGENFAGGASSSPAVEYMNNLDETTFRGGFILEKVMGPISTGHLELRTRNPNDNPSVTFNYFKDPQDLQRCVQGIQTIEKIIESKAFFRFRYEFLSWPILLNMTANAPLNLLPKHYNPSMPLEVFCKDTVMTIWHYHGGCQVGKVVDLDYKVLGVDALRVIDGSTFNDSPGTNPQATVMMLGRYMGVKILSERLAN >KJB25745 pep chromosome:Graimondii2_0_v6:4:53804667:53809065:-1 gene:B456_004G207200 transcript:KJB25745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGWWWRLFAGALAGFLFFHGFAATETAPNYSFMYDATSASTLSYYDYIIVGGGTAGCMSDNPMNAVFIPSPLPVEVSLIQVVGITHFGSYIEAASGENFAGGASSSPAVEYMNNLDETTFRGGFILEKVMGPISTGHLELRTRNPNDNPSVTFNYFKDPQDLQRCVQGIQTIEKIIESKAFFRFRYEFLSWPILLNMTANAPLNLLPKHYNPSMPLEVFCKDTVMTIWHYHGGCQVGKVVDLDYKVLGVDALRVIDGSTFNDSPGTNPQATVMMLGRYMGVKILSERLAN >KJB25739 pep chromosome:Graimondii2_0_v6:4:53804662:53809065:-1 gene:B456_004G207200 transcript:KJB25739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGWWWRLFAGALAGFLFFHGFAATETAPNYSFMYDATSASTLSYYDYIIVGGGTAGCPLAATLSQNATVLLLERGGSPYGNPNLTKMASFGAALSDLSRSSPSQRFISEDGVINARARVLGGGSCINAGFYTRASDEYIKQAGWDGRLVNESYQWMEKSVAFEPSLGQWQSAVRDGLLEAGVMPSNGFTYDHIYGTKVGGTIFDQQGNRHTAADLLEYANPSGLTVFLHASVHKILFAIKGKRRPKAHGVVFRDATGAKHKAYLKQGSKNEIIISAGALGSPQLLMLSGVGPAQHLKSHDITVVLDQPLVGQGMSDNPMNAVFIPSPLPVEVSLIQVVGITHFGSYIEAASGENFAGGASSSPAVEYMNNLDETTFRGGFILEKVMGPISTGHLELRTRNPNDNPSVTFNYFKDPQDLQRCVQGIQTIEKIIESKAFFRFRYEFLSWPILLNMTANAPLNLLPKHYNPSMPLEVFCKDTVMTIWHYHGGCQVGKVVDLDYKVLGVDALRVIDGSTFNDSPGTNPQATVHGCEDIE >KJB25744 pep chromosome:Graimondii2_0_v6:4:53805202:53808868:-1 gene:B456_004G207200 transcript:KJB25744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGWWWRLFAGALAGFLFFHGFAATETAPNYSFMYDATSASTLSYYDYIIVGGGTAGCPLAATLSQNATVLLLERGGSPYGNPNLTKMASFGAALSDLSRSSPSQRFISEDGVINARARVLGGGSCINAGFYTRASDEYIKQAGWDGRLVNESYQWMEKSVAFEPSLGQWQSAVRDGLLEAGVMPSNGFTYDHIYGTKVGGTIFDQQGNRHTAADLLEYANPSGLTVFLHASVHKILFAIKGKRRPKAHGVVFRDATGAKHKAYLKQGSKNEIIISAGALGSPQLLMLSGVGPAQHLKSHDITVVLDQPLVGQGMSDNPMNAVFIPSPLPVEVSLIQVVGITHFGSYIEAASGENFAGGASSSPAVEYMNNLDETTFRGGFILEKVMGPISTGHLELRTRNPNDNPSVTFNYFKDPQDLQRCVQGIQTIEKIIESKAFFRFRYEFLSWPILLNMTANAPLNLLPKHYNPSMPLEVFCKDTVMTIWHYHGGCQVGKVVDLDYKVLGVDALRVIDGSTFNDSPGTNPQATVMMLGR >KJB25748 pep chromosome:Graimondii2_0_v6:4:53805084:53806374:-1 gene:B456_004G207200 transcript:KJB25748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSDLLEYANPSGLTVFLHASVHKILFAIKGKRRPKAHGVVFRDATGAKHKAYLKQGSKNEIIISAGALGSPQLLMLSGVGPAQHLKSHDITVVLDQPLVGQGMSDNPMNAVFIPSPLPVEVSLIQVVGITHFGSYIEAASGENFAGGASSSPAVEYMNNLDETTFRGGFILEKVMGPISTGHLELRTRNPNDNPSVTFNYFKDPQDLQRCVQGIQTIEKIIESKAFFRFRYEFLSWPILLNMTANAPLNLLPKHYNPSMPLEVFCKDTVMTIWHYHGGCQVGKVVDLDYKVLGVDALRVIDGSTFNDSPGTNPQATVMMLGRYMGVKILSERLAN >KJB25740 pep chromosome:Graimondii2_0_v6:4:53804667:53809065:-1 gene:B456_004G207200 transcript:KJB25740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGWWWRLFAGALAGFLFFHGFAATETAPNYSFMYDATSASTLSYYDYIIVGGGTAGCPLAATLSQNATVLLLERGGSPYGNPNLTKMASFGAALSDLSRSSPSQRFISEDGVINARARVLGGGSCINAGFYTRASDEYIKQAGWDGRLVNESYQWMEKSVAFEPSLGQWQSAVRDGLLEAGVMPSNGFTYDHIYGTKVGGTIFDQQGNRHTAADLLEYANPSGLTVFLHASVHKILFAIKGKRRPKAHGVVFRDATGAKHKAYLKQGSKNEIIISAGALGSPQLLMLSGVGPAQHLKSHDITVVLDQPLVGQGMSDNPMNAVFIPSPLPVEVSLIQVVGITHFGSYIEAASGENFAGGASSSPAVEYMNNLDETTFRGGFILEKVMGPISTGHLELRTRNPNDNPSVTFNYFKDPQDLQRCVQGIQTIEKIIESKAFFRFRYEFLSWPILLNMTANAPLNLLPKHYNPSMPLEVFCKDTVMTIWHYHGGCQVGKVVDLDYKVLGVDALRVIDGSTFNDSPGTNPQATVMMLGRYMGVKILSERLAN >KJB25747 pep chromosome:Graimondii2_0_v6:4:53805084:53806429:-1 gene:B456_004G207200 transcript:KJB25747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRINGWKNHGLTVFLHASVHKILFAIKGKRRPKAHGVVFRDATGAKHKAYLKQGSKNEIIISAGALGSPQLLMLSGVGPAQHLKSHDITVVLDQPLVGQGMSDNPMNAVFIPSPLPVEVSLIQVVGITHFGSYIEAASGENFAGGASSSPAVEYMNNLDETTFRGGFILEKVMGPISTGHLELRTRNPNDNPSVTFNYFKDPQDLQRCVQGIQTIEKIIESKAFFRFRYEFLSWPILLNMTANAPLNLLPKHYNPSMPLEVFCKDTVMTIWHYHGGCQVGKVVDLDYKVLGVDALRVIDGSTFNDSPGTNPQATVMMLGRYMGVKILSERLAN >KJB25741 pep chromosome:Graimondii2_0_v6:4:53804667:53806134:-1 gene:B456_004G207200 transcript:KJB25741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCLVSMAGKRRPKAHGVVFRDATGAKHKAYLKQGSKNEIIISAGALGSPQLLMLSGVGPAQHLKSHDITVVLDQPLVGQGMSDNPMNAVFIPSPLPVEVSLIQVVGITHFGSYIEAASGENFAGGASSSPAVEYMNNLDETTFRGGFILEKVMGPISTGHLELRTRNPNDNPSVTFNYFKDPQDLQRCVQGIQTIEKIIESKAFFRFRYEFLSWPILLNMTANAPLNLLPKHYNPSMPLEVFCKDTVMTIWHYHGGCQVGKVVDLDYKVLGVDALRVIDGSTFNDSPGTNPQATVMMLGRYMGVKILSERLAN >KJB25746 pep chromosome:Graimondii2_0_v6:4:53805084:53808868:-1 gene:B456_004G207200 transcript:KJB25746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGWWWRLFAGALAGFLFFHGFAATETAPNYSFMYDATSASTLSYYDYIIVGGGTAGCPLAATLSQNATVLLLERGGSPYGNPNLTKMASFGAALSDLSRSSPSQRFISEDGVINARARVLGGGSCINAGFYTRASDEYIKQAGWDGRLVNESYQWMEKSVAFEPSLGQWQSAVRDGLLEAGVMPSNGFTYDHIYGTKVGGTIFDQQGNRHTAADLLEYANPSGLTVFLHASVHKILFAIKGKRRPKAHGVVFRDATGAKHKAYLKQGSKNEIIISAGALGSPQLLMLSGVGPAQHLKSHDITVVLDQPLVGQGMSDNPMNAVFIPSPLPVEVSLIQVVGITHFGSYIEAASGENFAGGASSSPAVEYMNNLDETTFRGGFILEKVMGPISTGHLELRTRNPNDNPSVTFNYFKDPQDLQRCVQGIQTIEKIIESKAFFRFRYEFLSWPILLNMTANAPLNLLPKHYNPSMPLEVFCKDTVMTIWHYHGGCQVGKVVDLDYKVLGVDALRVIDGSTFNDSPGTNPQATVMMLGRYMGVKILSERLAN >KJB25742 pep chromosome:Graimondii2_0_v6:4:53805084:53806412:-1 gene:B456_004G207200 transcript:KJB25742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVAFEPSLGQWQSAVRDGLLEAGVMPSNGFTYDHIYGTKVGGTIFDQQGNRHTAADLLEYANPSGLTVFLHASVHKILFAIKGKRRPKAHGVVFRDATGAKHKAYLKQGSKNEIIISAGALGSPQLLMLSGVGPAQHLKSHDITVVLDQPLVGQGMSDNPMNAVFIPSPLPVEVSLIQVVGITHFGSYIEAASGENFAGGASSSPAVEYMNNLDETTFRGGFILEKVMGPISTGHLELRTRNPNDNPSVTFNYFKDPQDLQRCVQGIQTIEKIIESKAFFRFRYEFLSWPILLNMTANAPLNLLPKHYNPSMPLEVFCKDTVMTIWHYHGGCQVGKVVDLDYKVLGVDALRVIDGSTFNDSPGTNPQATVMMLGRYMGVKILSERLAN >KJB22778 pep chromosome:Graimondii2_0_v6:4:6583237:6585635:1 gene:B456_004G065100 transcript:KJB22778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSNKCKAKKQSYISVPSQIITSLSSSSLQSLLLSPKKAHLNSSFFVGHNYSCRRPRVCLSALFLFVLVGLLRLGWNVNTLVLCSQSFGSNTGKVGERDHQVLVTSQLHSPRPLHLESEFWKQPDGMGYRPCLELSAKYRKAREAILNGRSKYLLVVVSGGMNQQRNQIVDAVVIARILGAALVVPVLQVNIIWGDESEFSDIFDLGHFKRVLADDVRILSSLPSTHVMTRPVVENRTPLHVSPQWIRSRYLKRINKEGLLLLRGLDSRLSKGLPPDLQKLRCKVAFQALRFAPPILELGNKLARRMQSKGPYLALHLRIEKDVWVRTGCLPGLSKEYDEIVHNERRRHPEFLTAKSNLSYHERKLAGLCPLNAFEVTRLLKALGAPRSARIYWAGGRPLGGKEALSPLTREFPHFYNKEGLALPGELEPFANKASFMAAIDYIVSEKSDVFMASHGGNMGHAIQSICRA >KJB22777 pep chromosome:Graimondii2_0_v6:4:6582936:6585783:1 gene:B456_004G065100 transcript:KJB22777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSNKCKAKKQSYISVPSQIITSLSSSSLQSLLLSPKKAHLNSSFFVGHNYSCRRPRVCLSALFLFVLVGLLRLGWNVNTLVLCSQSFGSNTGKVGERDHQVLVTSQLHSPRPLHLESEFWKQPDGMGYRPCLELSAKYRKAREAILNGRSKYLLVVVSGGMNQQRNQIVDAVVIARILGAALVVPVLQVNIIWGDESEFSDIFDLGHFKRVLADDVRILSSLPSTHVMTRPVVENRTPLHVSPQWIRSRYLKRINKEGLLLLRGLDSRLSKGLPPDLQKLRCKVAFQALRFAPPILELGNKLARRMQSKGPYLALHLRIEKDVWVRTGCLPGLSKEYDEIVHNERRRHPEFLTAKSNLSYHERKLAGLCPLNAFEVTRLLKALGAPRSARIYWAGGRPLGGKEALSPLTREFPHFYNKEGLALPGELEPFANKASFMAAIDYIVSEKSDVFMASHGGNMGHAIQGQRAYAGHKKYITPNKRHMLPYFVNSSLPEAEFNRIIKELHQESLGQPELRSSKAGRDVTKYPVPECMCKRNKTT >KJB23725 pep chromosome:Graimondii2_0_v6:4:25102668:25106330:1 gene:B456_004G111700 transcript:KJB23725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISEVKDEGAKPSASSSPEPKPTSFVASFNPSNVVGFLEKVFDFIAKESDFLGKESVDKEIALIARVATDKYYKKKAEEMEKKKKKEEEITKKAEKEPTEAGGKDKQTNPNLRVPNKGNGLDLENYSWTQTIQEATVIVPVPPGTRSKSVECQMKKSRLKVGLRGQPPIIDGELFQAIKPDDCYWSMEDNDSISIVLSKQKQLEWWQSLVKGEPEIDTQKVEPESSRISDLDPETRLTVEKLMFDQRQKALGLPASDEIQKQAMLKQFMAMNPTMDLSNAKMM >KJB26753 pep chromosome:Graimondii2_0_v6:4:59385067:59385372:-1 gene:B456_004G2578002 transcript:KJB26753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLNNVNARSLTCKSSKDCINQCGSPGCPPPASCVCVSGKCDCANVETTVESVFGPLNGHV >KJB22618 pep chromosome:Graimondii2_0_v6:4:5550773:5554131:-1 gene:B456_004G057900 transcript:KJB22618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTNCCHVSFAFVLKLLNSLQGFVGVSIILYSIWMLDQWSHHVPISPPPPPSAPSPVSSVSNSILFNSGPEMGEQAARVFDDFAVGLVSGLDNDVGFNLSSVELPAPWFIYSFMGIGITICCIALIGCIAAESINGCCLCFYTLLKIILVLIEAALVAFIAIDRSWEKDLPFDPTGEIDNFRSFVEDNIDICKWVGISVVIIQALAMLVAIILRAMVSARRRDIDDEDEDDYESIRGRTWEPLLNPQSSHSSTSAKGDGRGSRSDVWGSQIREKFGLQSGDKYNSLK >KJB22617 pep chromosome:Graimondii2_0_v6:4:5550775:5554188:-1 gene:B456_004G057900 transcript:KJB22617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTNCCHVSFAFVLKLLNSLQGFVGVSIILYSIWMLDQWSHHVPISPPPPPSAPSPVSSVSNSILFNSGPEMGEQAARVFDDFAVGLVSGLDNDVGFNLSSVELPAPWFIYSFMGIGITICCIALIGCIAAESINGCCLCFYTLLKIILVLIEAALVAFIAIDRSWEKDLPFDPTGEIDNFRSFVEDNIDICKWVGISVVIIQALAMLVAIILRAMVSARRRDIDDEDEDDYESIRGRTWEPLLNPQSSHSSTSAKGDGRGSRSDVWGSQIREKFGLQSGDKYNSLK >KJB22619 pep chromosome:Graimondii2_0_v6:4:5551950:5554131:-1 gene:B456_004G057900 transcript:KJB22619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTNCCHVSFAFVLKLLNSLQGFVGVSIILYSIWMLDQWSHHVPISPPPPPSAPSPVSSVSNSILFNSGPEMGEQAARVFDDFAVGLVSGLDNDVGFNLSSVELPAPWFIYSFMGIGITICCIALIGCIAAESINGCCLCFYTLLKIILVLIEAALVAFIAIDRSWEKDLPFDPTGEIDNFRSFVEDNIDICKWVGISVVIIQVNFLARVFMQFITS >KJB21385 pep chromosome:Graimondii2_0_v6:4:60820568:60824836:1 gene:B456_004G273700 transcript:KJB21385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIRVKRSKTTYFIQCDPTETTLDIKQKLHTLIDQPVNDQRLILMSNGDILEDSKTLADQKVENDAVVALTLRKDDNEFEDVNIVKPNDFYQSRDTDSGNW >KJB21383 pep chromosome:Graimondii2_0_v6:4:60820598:60824831:1 gene:B456_004G273700 transcript:KJB21383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIRVKRSKTTYFIQCDPTETTLDIKQKLHTLIDQPVNDQRLILMSNGDILEDSKTLADQKVENDAVVALTLRKDDNEFEDVNIVKPNDFYQSRDTDSGNW >KJB21384 pep chromosome:Graimondii2_0_v6:4:60820342:60824845:1 gene:B456_004G273700 transcript:KJB21384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIRVKRSKTTYFIQCDPTETTLDIKQKLHTLIDQPVNDQRLILMSNGDILEDSKTLADQKVENDAVVALTLRKDDNEFEDVNIVKPNDFYQSRDTDSGNW >KJB26025 pep chromosome:Graimondii2_0_v6:4:55658084:55662541:1 gene:B456_004G222000 transcript:KJB26025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSIRIKKPRRGGVFRDLGQTMKCLCAGEKLIKVEQMIPPSESLAVEDRSLCGHSSKFSNGENKPDTRNIEEAELSLRESSSLNYEEARALLGRIEYQKGNIEAALHVFEGIDIAAIIPKMKLSLTRKVERRKRQSHDYAPPPMPVHTISLLLEAIFLKAQSLQHLQRFREAAQTCKVILDVIESSLPDGLPENFGANCKLQETLNKAVELLPELWKLSDAPFEAILSYRWALLHTWNLDAETTARIQKHFAVFLLYCGDEACPPNLRSQMGSSFVPRNNIEEAILLLMILLRKVALKRIEWDPSILDHLSFALSVCGDLKALAKQIEELLPGVINRKERYRILSLCYHGAGEDSVALILLRKLLNSNEDPCCVPALMMASRICGEKPILAEEGISFAHRALESLEDECNELEGTSNFLLGVACSMHSKSVLSDFERVAIQSKALQALESAQKITNMKDPSILYHLSLENAEQRKLEAALYFAKSLLKLEGGSNIKGWLLLARILSAQKCFLDGEIVLDAALDQIGKWDQGELLRTKAKLQIARGQLKSAVETYSQLFALLQVQCKSFALGKKLHKDHRYSLTSFEQEIWHDLAYLYISLSQWRDAEICLSKSKAISSYNAVRFHATGVLYERKGLLKEAMEAFCTALDIDPDHVPSMISAAAVLRRVGGQCNAVIKSLLMNALRVDQMNGLAWYNLGLLHKSEEVGSSMEEAAECFEIAAILEESAPIEPFR >KJB26024 pep chromosome:Graimondii2_0_v6:4:55658680:55662541:1 gene:B456_004G222000 transcript:KJB26024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSIRIKKPRRGGVFRDLGQTMKCLCAGEKLIKVEQMIPPSESLAVEDRSLCGHSSKFSNGENKPDTRNIEEAELSLRESSSLNYEEARALLGRIEYQKGNIEAALHVFEGIDIAAIIPKMKLSLTRKVERRKRQSHDYAPPPMPVHTISLLLEAIFLKAQSLQHLQRFREAAQTCKVILDVIESSLPDGLPENFGANCKLQETLNKAVELLPELWKLSDAPFEAILSYRWALLHTWNLDAETTARIQKHFAVFLLYCGDEACPPNLRSQMGSSFVPRNNIEEAILLLMILLRKVALKRIEWDPSILDHLSFALSVCGDLKALAKQIEELLPGVINRKERYRILSLCYHGAGEDSVALILLRKLLNSNEDPCCVPALMMASRICGEKPILAEEGISFAHRALESLEDECNELEGTSNFLLGVACSMHSKSVLSDFERVAIQSKALQALESAQKITNMKDPSILYHLSLENAEQRKLEAALYFAKSLLKLEGGSNIKGWLLLARILSAQKCFLDGEIVLDAALDQIGKWDQGELLRTKAKLQIARGQLKSAVETYSQLFALLQVQCKSFALGKKLHKDHRYSLTSFEQEIWHDLAYLYISLSQWRDAEICLSKSKAISSYNAVRFHATGVLYERKGLLKEAMEAFCTALDIDPDHVPSMISAAAVLRRVGGQCNAVIKSLLMNALRVDQMNGLAWYNLGLLHKSEEVGSSMEEAAECFEIAAILEESAPIEPFR >KJB23351 pep chromosome:Graimondii2_0_v6:4:14124851:14129379:-1 gene:B456_004G094300 transcript:KJB23351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFILRGGRKFSLYSRVVEADPFKAIVLPASGNSVGIELRKQDVSWKEFLSIANQRPRSNHYSPVYRPIDSTINILFSSGTTGEPKAIPWTQLSPIRCSADGWAHNDIRAGDVYCWPTNLGWVMGPVVLFTCFLNGATLALYHGSPSGRGFGKFIQDAGVTVLGTVPSLVKTWKSSNCLEGLDWTKIKCFATTGEASNVDDDLWLSSKSYYKPVLECCGGTELSSAYIQGSLLQPQAFGTFSTAAMTAGFVILDEHGHPYPDDKACVGEVGLLPLYLGATNRLLNADHEKVYFEGMPMHNGMRLRRHGDVLKRTVGGYLIVQGRADDTMNLGGIKVQRSLYSI >KJB23352 pep chromosome:Graimondii2_0_v6:4:14124851:14129379:-1 gene:B456_004G094300 transcript:KJB23352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFILRGGRKFSLYSRVVEADPFKAIVLPASGNSVGIELRKQDVSWKEFLSIANQRPRSNHYSPVYRPIDSTINILFSSGTTGEPKAIPWTQLSPIRCSADGWAHNDIRAGDVYCWPTNLGWVMGPVVLFTCFLNGATLALYHGSPSGRGFGKFIQDAGVTVLGTVPSLVKTWKSSNCLEGLDWTKIKCFATTGEASNVDDDLWLSSKSYYKPVLECCGGTELSSAYIQGSLLQPQAFGTFSTAAMTAGFVILDEHGHPYMTKLVLVKWVYFLST >KJB23349 pep chromosome:Graimondii2_0_v6:4:14123489:14129389:-1 gene:B456_004G094300 transcript:KJB23349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVVLFTCFLNGATLALYHGSPSGRGFGKFIQDAGVTVLGTVPSLVKTWKSSNCLEGLDWTKIKCFATTGEASNVDDDLWLSSKSYYKPVLECCGGTELSSAYIQGSLLQPQAFGTFSTAAMTAGFVILDEHGHPYPDDKACVGEVGLLPLYLGATNRLLNADHEKVYFEGMPMHNGMRLRRHGDVLKRTVGGYLIVQGRADDTMNLGGIKTSSVEIERVCDGADESILETAAISVAPLGGGPEQLIMFVVLKKEYSHQPEKLKILFSRAIQSNLNPLFKVSFVKIVPEFPRTASNKLLRRVLRDQVKQELSPRSRI >KJB23350 pep chromosome:Graimondii2_0_v6:4:14123749:14129379:-1 gene:B456_004G094300 transcript:KJB23350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFILRGGRKFSLYSRVVEADPFKAIVLPASGNSVGIELRKQDVSWKEFLSIANQRPRSNHYSPVYRPIDSTINILFSSGTTGEPKAIPWTQLSPIRCSADGWAHNDIRAGDVYCWPTNLGWVMGPVVLFTCFLNGATLALYHGSPSGRGFGKFIQDAGVTVLGTVPSLVKTWKSSNCLEGLDWTKIKCFATTGEASNVDDDLWLSSKSYYKPVLECCGGTELSSAYIQGSLLQPQAFGTFSTAAMTAGFVILDEHGHPYPDDKACVGEVGLLPLYLGATNRLLNADHEKVYFEGMPMHNGMRLRRHGDVLKRTVGGYLIVQGRADDTMNLGGIKTSSVEIERVCDGADESILETAAISVAPLGGGPEQLIMFVVLKKEYSHQPEKLKILFSRAIQSNLNPLFKVRLQDIKYLFHFYTLSGDLTYPNNVIFNLLHKI >KJB27155 pep chromosome:Graimondii2_0_v6:4:61427907:61432463:-1 gene:B456_004G282900 transcript:KJB27155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEVSSVVSDFIGFLNASPTAFHAVDEAKKRLQKVGYEQVIEREDWKLEAGKRYFFTRNHSTIVAFAIGKKYVAGNGFHVVGAHTDSPCLKLKPVSKVKKADYLEVGVQTYGGGLWHTWFDRDLTVAGRVMIREEKGGSVSYSHRLVRIEEPIMRVPTLAIHLDRGVNDGFKVNTQNHLLPVLATSVKVELNKEFAENGHHAILTQMIATKLGCQPDQICDFELQACDTQPSIVAGAAKEFIFSGRLDNLCMSFCSLKALIDATSSESDLENESGVRMVALFDHEEVGSNSAQGAGSPAMLDALSRITNSFTSDSKMLTKAIQRSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAVTSFIFREIAMKHNLPIQDFVVRNDTPCGSTIGPILASGVGIRTVDVGAPQLSMHSIREMCAVDDVKHSYEHFKAFFKEFSQLDTKITGDT >KJB27157 pep chromosome:Graimondii2_0_v6:4:61428509:61432192:-1 gene:B456_004G282900 transcript:KJB27157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEVSSVVSDFIGFLNASPTAFHAVDEAKKRLQKVGYEQVIEREDWKLEAGKRYFFTRNHSTIVAFAIGKKYVAGNGFHVVGAHTDSPCLKLKPVSKVKKADYLEVGVQTYGGGLWHTWFDRDLTVAGRVMIREEKGGSVSYSHRLVRIEEPIMRVPTLAIHLDSRGVNDGFKVNTQNHLLPVLATSVKVELNKEFAENGHHAILTQMIATKLGCQPDQICDFELQACDTQPSIVAGAAKEFIFSGRLDNLCMSFCSLKALIDATSSESDLENESGVRMVALFDHEEVGSNSAQGAGSPAMLDALSRITNSFTSDSKMLTKAIQRSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAVTSFIFREIAMKHNLPIQDFVVRNDTPCGSTIGPILASGVGIRTVDVGAPQLSMHSIREMCAVDDVKHSYEHFKAFFKEFSQLDTKITGDT >KJB27156 pep chromosome:Graimondii2_0_v6:4:61428195:61432382:-1 gene:B456_004G282900 transcript:KJB27156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEVSSVVSDFIGFLNASPTAFHAVDEAKKRLQKVGYEQVIEREDWKLEAGKRYFFTRNHSTIVAFAIGKKYVAGNGFHVVGAHTDSPCLKLKPVSKVKKADYLEVGVQTYGGGLWHTWFDRDLTVAGRVMIREEKGGSVSYSHRLVRIEEPIMRVPTLAIHLDRGVNDGFKVNTQNHLLPVLATSVELNKEFAENGHHAILTQMIATKLGCQPDQICDFELQACDTQPSIVAGAAKEFIFSGRLDNLCMSFCSLKALIDATSSESDLENESGVRMVALFDHEEVGSNSAQGAGSPAMLDALSRITNSFTSDSKMLTKAIQRSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAVTSFIFREIAMKHNLPIQDFVVRNDTPCGSTIGPILASGVGIRTVDVGAPQLSMHSIREMCAVDDVKHSYEHFKAFFKEFSQLDTKITGDT >KJB23631 pep chromosome:Graimondii2_0_v6:4:22047733:22056770:-1 gene:B456_004G107900 transcript:KJB23631 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MADKPVYEEASIDGQPPKLASIPASDTTVWADASPLLQAACAGLRDGELIHGDNFNLFAAMSALEIMDPKMDSGIVCTYYSIDEAIENGAAPIPISLDSTIDVRCTIDIMDHLLACEAAWHKGHSLAQTVFSCIYLLRLDRTAPHALLHSYCRIIRATCKAVVSVVSDARTHEEEDLFTMTYGLPLNGDGDDKCLSILNAVEETISRQLRACKATSSKRRLPEDLEPLQSNPNLEEGFCKALLCRLRFRKHFFHVLSCMKRPQGRGLESARKHIASCLTELESILKSADFLRSCFDESCEGDIDDKTTASGFEPIGFDAMLNSRLSAPTPPRAIKILSWKKAVEYFVKLLHDLDVICSYSLDPRLESLLRFVVQFQKSQPDLVARSHLQLLLVQDGKLYGRDPFFAVINKAAALPEATKNHDIQKNESFLQLGMLVMNLLKILCTNAAWQRRKLGKFLQDWRVIYVQLELAFRNEYGEVSSSSNDENTCMKIFQHILLWVEEQTYWIAFRFLILGFELELYSTGEYCMVYWYLYAVLIKLTEKTHLMMAVSHDTAKRKGKKKKDSPKDLARESRIPPAVLFLQCYICLAEGLTMYLSRCLLL >KJB23627 pep chromosome:Graimondii2_0_v6:4:22045827:22056994:-1 gene:B456_004G107900 transcript:KJB23627 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MSALEIMDPKMDSGIVCTYYSIDEAIENGAAPIPISLDSTIDVRCTIDIMDHLLACEAAWHKGHSLAQTVFSCIYLLRLDRTAPHALLHSYCRIIRATCKAVVSVVSDARTHEEEDLFTMTYGLPLNGDGDDKCLSILNAVEETISRQLRACKATSSKRRLPEDLEPLQSNPNLEEGFCKALLCRLRFRKHFFHVLSCMKRPQGRGLESARKHIASCLTELESILKSADFLRSCFDESCEGDIDDKTTASGFEPIGFDAMLNSRLSAPTPPRAIKILSWKKAVEYFVKLLHDLDVICSYSLDPRLESLLRFVVQFQKSQPDLVARSHLQLLLVQDGKLYGRDPFFAVINKAAALPEATKNHDIQKNESFLQLGMLVMNLLKILCTNAAWQRRKLGKFLQDWRVIYVQLELAFRNEYGEVSSSSNDENTCMKIFQHILLWVEEQTYWIAFRFLILGFELELYSTGEYCMVYWYLYAVLIKLTEKTHLMMAVSHDTAKRKGKKKKDSPKDLARESRIPPAVLFLQCYICLAEGLTMMLAALRNEIPVFHSPSPFNTEHEKFVQHFELLQRACIPDHVSYPSYKESTTHARFSTLAMYNYFKDAQRIAKEVKSSFSNDPERLAELRKLEQGIFYPI >KJB23629 pep chromosome:Graimondii2_0_v6:4:22046681:22056952:-1 gene:B456_004G107900 transcript:KJB23629 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MKKPPSTANHPSLPRFPPATPLSGPTLRLSFKPPVQIMDPKMDSGIVCTYYSIDEAIENGAAPIPISLDSTIDVRCTIDIMDHLLACEAAWHKGHSLAQTVFSCIYLLRLDRTAPHALLHSYCRIIRATCKAVVSVVSDARTHEEEDLFTMTYGLPLNGDGDDKCLSILNAVEETISRQLRACKATSSKRRLPEDLEPLQSNPNLEEGFCKALLCRLRFRKHFFHVLSCMKRPQGRGLESARKHIASCLTELESILKSADFLRSCFDESCEGDIDDKTTASGFEPIGFDAMLNSRLSAPTPPRAIKILSWKKAVEYFVKLLHDLDVICSYSLDPRLESLLRFVVQFQKSQPDLVARSHLQLLLVQDGKLYGRDPFFAVINKAAALPEATKNHDIQKNESFLQLGMLVMNLLKILCTNAAWQRRKLGKFLQDWRVIYVQLELAFRNEYGEVSSSSNDENTCMKIFQHILLWVEEQTYWIAFRFLILGFELELYSTGEYCMVYWYLYAVLIKLTEKTHLMMAVSHDTAKRKGKKKKDSPKDLARESRIPPAVLFLQCYICLAEGLTMMLAALRNEIPVFHSPSPFNTEHEKFVQHFELLQRACIPDHVSYPSYKESTTHARFSTLAMYNYFKDAQRIAKEVKSSFSNDPERLAELRKLEQVAEHNSVAQNVICRLGALDPSLKVSFEFSHHPFFATVVVKRS >KJB23630 pep chromosome:Graimondii2_0_v6:4:22046681:22057150:-1 gene:B456_004G107900 transcript:KJB23630 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MADKPVYEEASIDGQPPKLASIPASDTTVWADASPLLQAACAGLRDGELIHGDNFNLFAAMSALEIMDPKMDSGIVCTYYSIDEAIENGAAPIPISLDSTIDVRCTIDIMDHLLACEAAWHKGHSLAQTVFSCIYLLRLDRTAPHALLHSYCRIIRATCKAVVSVVSDARTHEEEDLFTMTYGLPLNGDGDDKCLSILNAVEETISRQLRACKATSSKRRLPEDLEPLQSNPNLEEGFCKALLCRLRFRKHFFHVLSCMKRPQGRGLESARKHIASCLTELESILKSADFLRSCFDESCEGDIDDKTTASGFEPIGFDAMLNSRLSAPTPPRAIKILSWKKAVEYFVKLLHDLDVICSYSLDPRLESLLRFVVQFQKSQPDLVARSHLQLLLVQDGKLYGRDPFFAVINKAAALPEATKNHDIQKNESFLQLGMLVMNLLKILCTNAAWQRRKLGKFLQDWRVIYVQLELAFRNEYGEVSSSSNDENTCMKIFQHILLWVEEQTYWIAFRFLILGFELELYSTGEYCMVYWYLYAVLIKLTEKTHLMMAVSHDTAKRKGKKKKDSPKDLARESRIPPAVLFLQCYICLAEGLTMMLAALRNEIPVFHSPSPFNTEHEKFVQHFELLQRACIPDHVSYPSYKESTTHARFSTLAMYNYFKDAQRIAKEVKSSFSNDPERLAELRKLEQVAEHNSVAQNVICRLGALDPSLKVSFEFSHHPFFATVVVKRS >KJB23628 pep chromosome:Graimondii2_0_v6:4:22046681:22053723:-1 gene:B456_004G107900 transcript:KJB23628 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MKRPQGRGLESARKHIASCLTELESILKSADFLRSCFDESCEGDIDDKTTASGFEPIGFDAMLNSRLSAPTPPRAIKILSWKKAVEYFVKLLHDLDVICSYSLDPRLESLLRFVVQFQKSQPDLVARSHLQLLLVQDGKLYGRDPFFAVINKAAALPEATKNHDIQKNESFLQLGMLVMNLLKILCTNAAWQRRKLGKFLQDWRVIYVQLELAFRNEYGEVSSSSNDENTCMKIFQHILLWVEEQTYWIAFRFLILGFELELYSTGEYCMVYWYLYAVLIKLTEKTHLMMAVSHDTAKRKGKKKKDSPKDLARESRIPPAVLFLQCYICLAEGLTMMLAALRNEIPVFHSPSPFNTEHEKFVQHFELLQRACIPDHVSYPSYKESTTHARFSTLAMYNYFKDAQRIAKEVKSSFSNDPERLAELRKLEQVAEHNSVAQNVICRLGALDPSLKVSFEFSHHPFFATVVVKRS >KJB23632 pep chromosome:Graimondii2_0_v6:4:22046773:22056994:-1 gene:B456_004G107900 transcript:KJB23632 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MADKPVYEEASIDGQPPKLASIPASDTTVWADASPLLQAACAGLRDGELIHGDNFNLFAAMSALEIMDPKMDSGIVCTYYSIDEAIENGAAPIPISLDSTIDVRCTIDIMDHLLACEAAWHKGHSLAQTVFSCIYLLRLDRTAPHALLHSYCRIIRATCKAVVSVVSDARTHEEEDLFTMTYGLPLNGDGDDKCLSILNAVEETISRQLRACKATSSKRRLPEDLEPLQSNPNLEEGFCKALLCRLRFRFEPIGFDAMLNSRLSAPTPPRAIKILSWKKAVEYFVKLLHDLDVICSYSLDPRLESLLRFVVQFQKSQPDLVARSHLQLLLVQDGKLYGRDPFFAVINKAAALPEATKNHDIQKNESFLQLGMLVMNLLKILCTNAAWQRRKLGKFLQDWRVIYVQLELAFRNEYGEVSSSSNDENTCMKIFQHILLWVEEQTYWIAFRFLILGFELELYSTGEYCMVYWYLYAVLIKLTEKTHLMMAVSHDTAKRKGKKKKDSPKDLARESRIPPAVLFLQCYICLAEGLTMMLAALRNEIPVFHSPSPFNTEHEKFVQHFELLQRACIPDHVSYPSYKESTTHARFSTLAMYNYFKDAQRIAKEVKSSFSNDPERLAELRKLEQVAEHNSVAQNVICRLGALDPSLKVSFEFSHHPFFATVVVKRS >KJB23815 pep chromosome:Graimondii2_0_v6:4:27907196:27912504:1 gene:B456_004G115700 transcript:KJB23815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKIVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGQDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKGALDGRIIPRYLLSENISPCTLRITYSAHTDLSVKFQSHRSRDYTNPYLPVAPSAIDGSGQFNLGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGPVQKIAMFDKNGGLQALIQYPDVQTAVLAKDTLEGHCIYDGGFCKLHLSYSRHTDLSIKVNNDRSRDYTIPNLAMVNPQPSTLGQQPVQAMGGPAAHQYNGTPYAPGLQQPTMPPQPSGGWTSSVPAMAQQSMPVQMSNHHPYIPPTSMPQTNPGMMRMPGQGGVPPAAAGGAMPPYRSNQM >KJB23812 pep chromosome:Graimondii2_0_v6:4:27907196:27912504:1 gene:B456_004G115700 transcript:KJB23812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKIVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGQDARLVSIDVLHLQDHVKGIISNGSLVHREKENMYFQLLDLCIKLLPLRRQLDFRYLLSENISPCTLRITYSAHTDLSVKFQSHRSRDYTNPYLPVAPSAIDGSGQFNLGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGPVQKIAMFDKNGGLQALIQYPDVQTAVLAKDTLEGHCIYDGGFCKLHLSYSRHTDLSIKVNNDRSRDYTIPNLAMVNPQPSTLGQQPVQAMGGPAAHQYNGTPYAPGLQQPTMPPQPSGGWTSSVPAMAQQSMPVQMSNHHPYIPPTSMPQTNPGMMRMPGQGGVPPAAAGGAMPPYRSNQM >KJB23810 pep chromosome:Graimondii2_0_v6:4:27907157:27912609:1 gene:B456_004G115700 transcript:KJB23810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKIVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGQDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKGALDGRIIPRYLLSENISPCTLRITYSAHTDLSVKFQSHRSSLIWVLMGKNSNQKVMFYLHQSRTCSMLLPWMCYTWSSLLLVLFKRLPCLIRMVDYRH >KJB23811 pep chromosome:Graimondii2_0_v6:4:27907196:27911489:1 gene:B456_004G115700 transcript:KJB23811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKIVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGQDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKGALDGRIIPRYLLSENISPCTLRITYSAHTDLSVKFQSHRSRDYTNPYLPVAPSAIDGSGQFNLGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVLLKATFICLYSTSTNTDYLSFSLFSLSCVRSSLLLVLFKRLPCLIRMVDYRH >KJB23809 pep chromosome:Graimondii2_0_v6:4:27907046:27912609:1 gene:B456_004G115700 transcript:KJB23809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKIVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGQDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKGALDGRIIPRYLLSENISPCTLRITYSAHTDLSVKFQSHRSRDYTNPYLPVAPSAIDGSGQFNLGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGPVQKIAMFDKNGGLQALIQYPDVQTAVLAKDTLEGHCIYDGGFCKLHLSYSRHTDLSIKVNNDRSRDYTIPNLAMVNPQPSTLGQQPVQAMGGPAAHQYNGTPYAPGLQQPTMPPQPSGGWTSSVPAMAQQSMPVQMSNHHPYIPPTSMPQTNPGMMRMPGQGGVPPAAAGGAMPPYRSNQM >KJB23813 pep chromosome:Graimondii2_0_v6:4:27907196:27912504:1 gene:B456_004G115700 transcript:KJB23813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKIVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGQDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKGALDGRIIPRYLLSENISPCTLRITYSAHTDLSVKFQSHRSRDYTNPYLPVAPSAIDGSGQFNLGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGPVQKIAMFDKNGGLQALIQYPDVQTAVLAKDTLEGHCIYDGGFCKLHLSYSRHTDLSIKVFGKYNLLIVI >KJB23814 pep chromosome:Graimondii2_0_v6:4:27907487:27911314:1 gene:B456_004G115700 transcript:KJB23814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKIVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGQDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKGALDGRIIPRYLLSENISPCTLRITYSAHTDLSVKFQSHRSRDYTNPYLPVAPSAIDGSGQFNLGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGPVQKIAMFDKNGGLQALIQYPGNIRTCYQM >KJB26960 pep chromosome:Graimondii2_0_v6:4:60351911:60353772:-1 gene:B456_004G268500 transcript:KJB26960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FITFHSHPSNNKKKDINKMMAGNGQLSVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNKLEPWDLKDKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHLSNSKKIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDDDSDVQEDGWVVCRVFKKKNLIRGNFQADFNQEDNNYNHMKNIASSSAHQMEPRPNNNHLHTLYDYSFDGSMHLPQLFSPESAAVAASSFISPLSLNSMDVECSQNLLRLTSSSGGGGGGGGVGGGGLMQQDQMRYNGEWSFLDKLLTNHPMSLDHHHHHRHPHQSQAKFIPSLQLDHVGSSSQKFPFQYPGCETAEAMKFSK >KJB27376 pep chromosome:Graimondii2_0_v6:4:62143822:62145893:-1 gene:B456_004G2936003 transcript:KJB27376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTVPSLASVYNEYALKSQYETSIYLQNLFLYGYGAIFNFLAILGTTVVKGPSSFDILQGHSKATIFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASATLFGHTLTMNFILGISIVFISMHQFFSPLSKVKEEQENGMLEPVDYKENH >KJB27375 pep chromosome:Graimondii2_0_v6:4:62143822:62145893:-1 gene:B456_004G2936003 transcript:KJB27375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTVPSLASVYNEYALKSQYETSIYLQNLFLYGYGAIFNFLAILGTTVVKGPSSFDILQGHSKATIFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASATLFGHTLTMNFILGISIVFISMHQFFSPLSKVKEEQENGMLEPVDYKENH >KJB27377 pep chromosome:Graimondii2_0_v6:4:62143822:62145893:-1 gene:B456_004G2936003 transcript:KJB27377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTVPSLASVYNEYALKSQYETSIYLQNLFLYGYGAIFNFLAILGTTVVKGPSSFDILQGHSKATIFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASATLFGHTLTMNFILGISIVFISMHQFFSPLSKVKEEQENGMLEPVDYKENH >KJB27374 pep chromosome:Graimondii2_0_v6:4:62143822:62145893:-1 gene:B456_004G2936003 transcript:KJB27374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTVPSLASVYNEYALKSQYETSIYLQNLFLYGYGAIFNFLAILGTTVVKGPSSFDILQGHSKATIFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASATLFGHTLTMNFILGISIVFISMHQFFSPLSKVKEEQENGMLEPVDYKENH >KJB27373 pep chromosome:Graimondii2_0_v6:4:62143822:62145893:-1 gene:B456_004G2936003 transcript:KJB27373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTVPSLASVYNEYALKSQYETSIYLQNLFLYGYGAIFNFLAILGTTVVKGPSSFDILQGHSKATIFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASATLFGHTLTMNFILGISIVFISMHQFFSPLSKVKEEQENGMLEPVDYKENH >KJB23347 pep chromosome:Graimondii2_0_v6:4:14106610:14108364:1 gene:B456_004G094100 transcript:KJB23347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANHFNSHLGLALAYLIFLISIPKSLSVLEPQPAPPSVWDILPKFGLPSGLLPSTVTDYVLHDDGRFIVMLDSPCYIQFEYLVYYEKTITGKLGYGSITDLEGIQVQRFFLWFDVNEIKVDLPPSDSIYFQVGFINKKLDVDQFKTIHSCRDEVTGSCKYSSESLLQLHEDTRKILPEFKLPVLSGVVS >KJB23346 pep chromosome:Graimondii2_0_v6:4:14106540:14109417:1 gene:B456_004G094100 transcript:KJB23346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANHFNSHLGLALAYLIFLISIPKSLSVLEPQPAPPSVWDILPKFGLPSGLLPSTVTDYVLHDDGRFIVMLDSPCYIQFEYLVYYEKTITGKLGYGSITDLEGIQVQRFFLWFDVNEIKVDLPPSDSIYFQVGFINKKLDVDQFKTIHSCRDEVTGSCKYSSESLLQLPMPRNEIEELITE >KJB24437 pep chromosome:Graimondii2_0_v6:4:40899195:40904707:-1 gene:B456_004G145300 transcript:KJB24437 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1-complex protein 4 [Source:Projected from Arabidopsis thaliana (AT2G47210) UniProtKB/Swiss-Prot;Acc:Q8VZL6] MDAKDILGLPKTPLPIPQEKKSRPQKDSQRKPDGISREVYALTGGVAPLMPSIDVSQLKRRPPSDEKITWQWLPFTSSARKDDLQLYHWVRVVNGVPPTGDYSFAKYNKSVDVIRYTDEEYEKYLTDPKWTKEETDQLFDLCERFDLRFIIIADRFPTHRTVEELKDRYYSVSRAILVARAPSPGEVAGHPLVKEPYNVTQETERKRALSMVLSQTKHQERKDAEVLAEAKRIAESRMAARAAEEPDLPVPSDVGPESAEGAVGPGDTISPSNVQAPAAAIAPSTSVIADNASTLASLRMLRVYLRTYALEQMVQAASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYGDMPGTAKRSQRAADQDRTFVPDTISFGGNIYLIFL >KJB24436 pep chromosome:Graimondii2_0_v6:4:40898920:40904707:-1 gene:B456_004G145300 transcript:KJB24436 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1-complex protein 4 [Source:Projected from Arabidopsis thaliana (AT2G47210) UniProtKB/Swiss-Prot;Acc:Q8VZL6] MDAKDILGLPKTPLPIPQEKKSRPQKDSQRKPDGISREVYALTGGVAPLMPSIDVSQLKRRPPSDEKITWQWLPFTSSARKDDLQLYHWVRVVNGVPPTGDYSFAKYNKSVDVIRYTDEEYEKYLTDPKWTKEETDQLFDLCERFDLRFIIIADRFPTHRTVEELKDRYYSVSRAILVARAPSPGEVAGHPLVKEPYNVTQETERKRALSMVLSQTKHQERKDAEVLAEAKRIAESRMAARAAEEPDLPVPSDVGPESAEGAVGPGDTISPSNVQAPAAAIAPSTSVIADNASTLASLRMLRVYLRTYALEQMVQAASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYGDMPGTAKRSQRAADQDRTFVPDTISFGVIPGDRVVKREQKRKGPGRTSETPSSPAGAHKRPRKMKASDL >KJB24434 pep chromosome:Graimondii2_0_v6:4:40898356:40904965:-1 gene:B456_004G145300 transcript:KJB24434 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1-complex protein 4 [Source:Projected from Arabidopsis thaliana (AT2G47210) UniProtKB/Swiss-Prot;Acc:Q8VZL6] MDAKDILGLPKTPLPIPQEKKSRPQKDSQRKPDGISREVYALTGGVAPLMPSIDVSQLKRRPPSDEKITWQWLPFTSSARKDDLQLYHWVRVVNGVPPTGDYSFAKYNKSVDVIRYTDEEYEKYLTDPKWTKEETDQLFDLCERFDLRFIIIADRFPTHRTVEELKDRYYSVSRAILVARAPSPGEVAGHPLVKEPYNVTQETERKRALSMVLSQTKHQERKDAEVLAEAKRIAESRMAARAAEEPDLPVPSDVGPESAEGAVGPGDTISPSNVQAPAAAIAPSTSVIADNASTLASLRMLRVYLRTYALEQMVQAASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYGDMPGTAKRSQRAADQDRTFVPDTISFGGDRVVKREQKRKGPGRTSETPSSPAGAHKRPRKMKASDL >KJB24439 pep chromosome:Graimondii2_0_v6:4:40898393:40904852:-1 gene:B456_004G145300 transcript:KJB24439 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1-complex protein 4 [Source:Projected from Arabidopsis thaliana (AT2G47210) UniProtKB/Swiss-Prot;Acc:Q8VZL6] MDAKDILGLPKTPLPIPQEKKSRPQKDSQRKPDGISREVYALTGGVAPLMPSIDVSQLKRRPPSDEKITWQWLPFTSSARKDDLQLYHWVRVVNGVPPTGDYSFAKYNKSVDVIRYTDEEYEKYLTDPKWTKEETDQLFDLCERFDLRFIIIADRFPTHRTVEELKDRYYSVSRAILVARAPSPGEVAGHPLVKEPYNVTQETERKRALSMVLSQTKHQERKDAEVLAEAKRIAESRMAARAAEEPDLPVPSDVGPESAEGAVGPGDTISPSNVQAPAAAIAPSTSVIADNASTLASLRMLRVYLRTYALEQMVQAASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYGDMPGTAKRSQRAADQDRTFVPDTISFGVIPGDRVVKREQKRKGPGRTSETPSSPAGAHKRPRKMKASDL >KJB24435 pep chromosome:Graimondii2_0_v6:4:40898735:40904852:-1 gene:B456_004G145300 transcript:KJB24435 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1-complex protein 4 [Source:Projected from Arabidopsis thaliana (AT2G47210) UniProtKB/Swiss-Prot;Acc:Q8VZL6] MDAKDILGLPKTPLPIPQEKKSRPQKDSQRKPDGISREVYALTGGVAPLMPSIDVSQLKRRPPSDEKITWQWLPFTSSARKDDLQLYHWVRVVNGVPPTGDYSFAKYNKSVDVIRYTDEEYEKYLTDPKWTKEETDQLFDLCERFDLRFIIIADRFPTHRTVEELKDRYYSVSRAILVARAPSPGEVAGHPLVKEPYNVTQETERKRALSMVLSQTKHQERKDAEVLAEAKRIAESRMAARAAEEPDLPVPSDVGPESAEGAVGPGDTISPSNVQAPAAAIAPSTSVIADNASTLASLRMLRVYLRTYALEQMVQAASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYGDMPGTAKRSQRAADQDRTFVPDTISFGVIPGDRVVKREQKRKGPGRTSETPSSPAGAHKRPRKMKASDL >KJB24438 pep chromosome:Graimondii2_0_v6:4:40898393:40904965:-1 gene:B456_004G145300 transcript:KJB24438 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1-complex protein 4 [Source:Projected from Arabidopsis thaliana (AT2G47210) UniProtKB/Swiss-Prot;Acc:Q8VZL6] MDAKDILGLPKTPLPIPQEKKSRPQKDSQRKPDGISREVYALTGGVAPLMPSIDVSQLKRRPPSDEKITWQWLPFTSSARKDDLQLYHWVRVVNGVPPTGDYSFAKYNKSVDVIRYTDEEYEKYLTDPKWTKEETDQLFDLCERFDLRFIIIADRFPTHRTVEELKDRYYSVSRAILVARAPSPGEVAGHPLVKEPYNVTQETERKRALSMVLSQTKHQERKDAEVLAEAKRIAESRMAARAAEEPDLPVPSDVGPESAEGAVGPGDTISPSNVQAPAAAIAPSTSVIADNASTLASLRMLRVYLRTYALEQMVQAASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYGDMPGTAKRSQRAADQDRTFVPDTISFGGDRVVKREQKRKGPGRTSETPSSPAGAHKRPRKMKASDL >KJB24440 pep chromosome:Graimondii2_0_v6:4:40898427:40904965:-1 gene:B456_004G145300 transcript:KJB24440 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1-complex protein 4 [Source:Projected from Arabidopsis thaliana (AT2G47210) UniProtKB/Swiss-Prot;Acc:Q8VZL6] MDAKDILGLPKTPLPIPQEKKSRPQKDSQRKPDGISREVYALTGGVAPLMPSIDVSQLKRRPPSDEKITWQWLPFTSSARKDDLQLYHWVRVVNGVPPTGDYSFAKYNKSVDVIRYTDEEYEKYLTDPKWTKEETDQLFDLCERFDLRFIIIADRFPTHRTVEELKDRYYSVSRAILVARAPSPGEVAGHPLVKEPYNVTQETERKRALSMVLSQTKHQERKDAEVLAEAKRIAESRMAARAAEEPDLPVPSDVGPESAEGAVGPGDTISPSNVQAPAAAIAPSTSVIADNASTLASLRMLRVYLRTYALEQMVQAASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYGDMPGTAKRSQRAADQDRTFVPDTISFGVIPGDRVVKREQKRKGPGRTSETPSSPAGAHKRPRKMKASDL >KJB26128 pep chromosome:Graimondii2_0_v6:4:56117509:56120172:-1 gene:B456_004G226800 transcript:KJB26128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFSYCNATDLNQALVQCRFAGSFGPLSSRRRSPRAAVSCSRSNLTPIQAVLSCQQQVGSDPVESELGSLADRLRLGGLTEDGLSYKEKFIIRCYEVGINKTATIETIANLLQEVGGNHAQSVGFSRDGFATSPTMRKLHLIWVTARMHIEVYKYPAWSDVIEIETWCQNEGRIGTRRDWILKDVATGEVIGRATSKWVMMNEDTRRLQKVSDDVKEEYLVFCPREPRVSGGEQ >KJB26125 pep chromosome:Graimondii2_0_v6:4:56117458:56120269:-1 gene:B456_004G226800 transcript:KJB26125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFSYCNATDLNQALVQCRFAGSFGPLSSRRRSPRAAVSCSRSNLTPIQAVLSCQQQVGSDPVESELGSLADRLRLGGLTEDGLSYKEKFIIRCYEVGINKTATIETIANLLQEVGGNHAQSVGFSRDGFATSPTMRKLHLIWVTARMHIEVYKYPAWSDVIEIETWCQNEGRIGTRRDWILKDVATGEVIGRATSKWVMMNEDTRRLQKVSDDVKEEYLVFCPREPRLAFPEENNKSLKKISKLEDPVQYSRLGLMPRRADLDMNQHVNNVTYIGWVLESMPEEIIDTHELQTITLDYRRECQRDDVVDSLTGPELVEGSKIHGTNGSATAITREDDLDCHQFLHLLRLSSDGQEINRGRTEWRKKPT >KJB26126 pep chromosome:Graimondii2_0_v6:4:56118191:56120129:-1 gene:B456_004G226800 transcript:KJB26126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFSYCNATDLNQALVQCRFAGSFGPLSSRRRSPRAAVSCSRSNLTPIQAVLSCQQQVGSDPVESELGSLADRLRLGGLTEDGLSYKEKFIIRCYEVGINKTATIETIANLLQEVGGNHAQSVGFSRDGFATSPTMRKLHLIWVTARMHIEVYKYPAWSDVIEIETWCQNEGRIGTRRDWILKDVATGEVIGRATSKWVMMNEDTRRLQKVSDDVKEEYLVFCPREPRLAFPEENNKSLKKISKLEDPVQYSRLGLMVSFPDLSNKCVFVESLMFI >KJB26127 pep chromosome:Graimondii2_0_v6:4:56117509:56120172:-1 gene:B456_004G226800 transcript:KJB26127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFSYCNATDLNQALVQCRFAGSFGPLSSRRRSPRAAVSCSRSNLTPIQAVLSCQQQVGSDPVESELGSLADRLRLGGLTEDGLSYKEKFIIRCYEVGINKTATIETIANLLQEVGGNHAQSVGFSRDGFATSPTMRKLHLIWVTARMHIEVYKYPAWSDVIEIETWCQNEGRIGTRRDWILKDVATGEVIGRATSKWVMMNEDTRRLQKVSDDVKEEYLVFCPREPRLAFPEENNKSLKKISKLEDPVQYSRLGLMVNEPILI >KJB26129 pep chromosome:Graimondii2_0_v6:4:56118399:56120172:-1 gene:B456_004G226800 transcript:KJB26129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFSYCNATDLNQALVQCRFAGSFGPLSSRRRSPRAAVSCSRSNLTPIQAVLSCQQQVGSDPVESELGSLADRLRLGGLTEDGLSYKEKFIIRCYEVGINKTATIETIANLLQEVGGNHAQSVGFSRDGFATSPTMRKLHLIWVTARMHIEVYKYPAWSDVIEIETWCQNEGRIGTRRDWILKDVATGEVIGRATRFIFIPFFAFSLHIRRFIT >KJB23143 pep chromosome:Graimondii2_0_v6:4:10289441:10293369:-1 gene:B456_004G083400 transcript:KJB23143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PALE CRESS, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G48120) UniProtKB/Swiss-Prot;Acc:Q39089] MEAKLFLLTCSLPLQPLLPTCNMRLSRFKTTSPSKTVLRRCMNQEEQLLEGMPKEYYDDEWQARQREKTKELHRRRREEEEEEERKVEEYREIGMRLKGYPQEDVVRARKLVSSFITAEEEVEEKIEEAAERGELTELVLMVIWNRLDLARRDVSGLLDEKDAIRSLDLLYRRVETEILKREASPAMRLLNDLLNMHDGFDNEGWLKECKKRMVETFPREDPFSILVPAGFDIDKHHGPLSLPAEADDVLLRVDFIREVDALLQEVRSEQNEAQTPDGLDPESVAVKLKEHERKRTIRQVETLLDLAINLQW >KJB23146 pep chromosome:Graimondii2_0_v6:4:10289441:10293384:-1 gene:B456_004G083400 transcript:KJB23146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PALE CRESS, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G48120) UniProtKB/Swiss-Prot;Acc:Q39089] MEAKLFLLTCSLPLQPLLPTCNMRLSRFKTTSPSKTVLRRCMNQEEQLLEGMPKEYYDDEWQARQREKTKELHRRRREEEEEEERKVEEYREIGMRLKGYPQEDVVRARKLVSSFITAEEEVEEDEKDAIRSLDLLYRRVETEILKREASPAMRLLNDLLNMHDGFDNEGWLKECKKRMVETFPREDPFSILVPAGFDIDKHHGPLSLPAEADDVLLRVDFIREVDALLQEVRSEQNEAQTPDGLDPESVAVKLKEHERKRTIRQVETLLDLAINLQW >KJB23144 pep chromosome:Graimondii2_0_v6:4:10289883:10293259:-1 gene:B456_004G083400 transcript:KJB23144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PALE CRESS, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G48120) UniProtKB/Swiss-Prot;Acc:Q39089] MEAKLFLLTCSLPLQPLLPTCNMRLSRFKTTSPSKTVLRRCMNQEEQLLEGMPKEYYDDEWQARQREKTKELHRRRREEEEEEERKVEEYREIGMRLKGYPQEDVVRARKLVSSFITAEEEVEEKIEEAAERGELTELVLMVIWNRLDLARRDDEKDAIRSLDLLYRRVETEILKREASPAMRLLNDLLNMHDGFDNEGWLKECKKRMVETFPREDPFSILVPAGFDIDKVSYYLFILIMNLHIYTWMWNQWLQGKVLVACRFRNRKRAHRRKSHPSKVRLHSQLSMQ >KJB23142 pep chromosome:Graimondii2_0_v6:4:10289441:10292585:-1 gene:B456_004G083400 transcript:KJB23142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PALE CRESS, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G48120) UniProtKB/Swiss-Prot;Acc:Q39089] MRLKGYPQEDVVRARKLVSSFITAEEEVEEKIEEAAERGELTELVLMVIWNRLDLARRDDEKDAIRSLDLLYRRVETEILKREASPAMRLLNDLLNMHDGFDNEGWLKECKKRMVETFPREDPFSILVPAGFDIDKHHGPLSLPAEADDVLLRVDFIREVDALLQEVRSEQNEAQTPDGLDPESVAVKLKEHERKRTIRQVETLLDLAINLQW >KJB23145 pep chromosome:Graimondii2_0_v6:4:10289441:10293369:-1 gene:B456_004G083400 transcript:KJB23145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PALE CRESS, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G48120) UniProtKB/Swiss-Prot;Acc:Q39089] MEAKLFLLTCSLPLQPLLPTCNMRLSRFKTTSPSKTVLRRCMNQEEQLLEGMPKEYYDDEWQARQREKTKELHRRRREEEEEEERKVEEYREIGMRLKGYPQEDVVRARKLVSSFITAEEEVEEKIEEAAERGELTELVLMVIWNRLDLARRDDEKDAIRSLDLLYRRVETEILKREASPAMRLLNDLLNMHDGFDNEGWLKECKKRMVETFPREDPFSILVPAGFDIDKHHGPLSLPAEADDVLLRVDFIREVDALLQEVRSEQNEAQTPDGLDPESVAVKLKEHERKRTIRQVETLLDLAINLQW >KJB26061 pep chromosome:Graimondii2_0_v6:4:55739519:55742425:1 gene:B456_004G2231002 transcript:KJB26061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMTSLAVGSLVWVEDPDIAWIDGEVVQVNGEDIKVLCTSGKTVVVKASNLYPKDAEAPPCGVDDMTKLAYLHEPGVLQNLRSRYDMNEIYTYTGNILIAVNPFRKLPHLYDSHMMAQYKGAAFGELSPHPFAVADAAYRLMINEGISQSILVSGESGAGKTESTKLLMQYLAYMGGRAAAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDRRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPE >KJB26060 pep chromosome:Graimondii2_0_v6:4:55739868:55742425:1 gene:B456_004G2231002 transcript:KJB26060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMTSLAVGSLVWVEDPDIAWIDGEVVQVNGEDIKVLCTSGKTVVVKASNLYPKDAEAPPCGVDDMTKLAYLHEPGVLQNLRSRYDMNEIYTYTGNILIAVNPFRKLPHLYDSHMMAQYKGAAFGELSPHPFAVADAAYRLMINEGISQSILVSGESGAGKTESTKLLMQYLAYMGGRAAAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDRRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPE >KJB22569 pep chromosome:Graimondii2_0_v6:4:5214219:5226196:1 gene:B456_004G054600 transcript:KJB22569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLENGESSMKEPLLLHHAKKTRSLEVVFLTTFVAVLGSYEFGSCMGYSAPVQSAITKELHLSIAEFSVFGSILNVGAMIGAITSGRIADFMGRTGAMRISSGFSITGWIAIYFSKGALLLDIGRFLCGYGIGIFAYVVPIYVGEIAPKDVRGGLAALNQLMIVIGASTTFVVGTALEWRILALTGIIPCLIQLLGLNFICESPRWLAKVGSEKEFYSALKRLHGDDEDAVSHEANEIQKHQSNATMLDLFQTRYMHSIIIGVGLMMFQQFGGINGVAFYANQIFTSAGFSSSKIAIIAFASIQIPITAVSAFLVDNCGRKPLLLASSTGTFLGCFIAAISFLMKEHNLLQQWVPLLVLSGLLIFVGSFAIGMGAVPWVLLSEIFPINVKGAAGSLVNLEHWFGAWAVSYTFNFLMDWSPSGTFLVYSEFVQLLLFLSTKLCQKLKEEPWKKYKLPSILQVHNFYHFQIKLFN >KJB25372 pep chromosome:Graimondii2_0_v6:4:50448131:50449691:-1 gene:B456_004G189300 transcript:KJB25372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSGCAAADNRRMTEENLNHKEKKAKSDAEQRRRIREEYEKLTVTEQPKKQMKAAKSNTNISSPSKHGKVDAECVESFIEQLKAKVKSEVDYSDFQILEEDLKKDLKTVGNFRVPLRLAPIANRIKDGFDDITSGSSQSDCAAEPTYILFCAAIKEMDDLKLDQVNETKLLLWRDAINNALNLQFNVDFAIKHLCKIACAYFGFKVMEGKSGVEMLKLKNVDGNMEVPEDCLRDAEHFSGKPLSTGLFPSDFLP >KJB25373 pep chromosome:Graimondii2_0_v6:4:50448179:50449572:-1 gene:B456_004G189300 transcript:KJB25373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEENLNHKEKKAKSDAEQRRRIREEYEKLTVTEQPKKQMKAAKSNTNISSPSKHGKVDAECVESFIEQLKAKVKSEVDYSDFQILEEDLKKDLKTVGNFRVPLRLAPIANRIKDGFDDITSGSSQSDCAAEPTYILFCAAIKEMDDLKLDQVNETKLLLWRDAINNALNLQFNVDFAIKHLCKIACAYFGFKVMEGKSGVEMLKLKNVDGNMEVPEDCLRDAEHFSGKPLSTGLFPSDFLP >KJB23953 pep chromosome:Graimondii2_0_v6:4:32253865:32258603:1 gene:B456_004G124000 transcript:KJB23953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGASRGIAQKECVDSFFSQNSFISYDTLHKLGISQPIQYLLPRFPEGIPLVAAFVHPSMIEMLDAAIEDAIERGSWNLLGEDLGPLNSKELEQLEHQLAYRFYLVWRTQYMLDQLSELQNKLEEISARNQFRVSWEGGEQSVAFTNQQAQSMGLFQPLECNPTLQIGIHIQGARNIAATHWDRAVRIQNQVTHKS >KJB25021 pep chromosome:Graimondii2_0_v6:4:48005789:48006634:-1 gene:B456_004G176100 transcript:KJB25021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSWFGSSSSSDNKKKLKEVFEKYGKKSGDEIRLEKKDLKAAFEYLGALMPGYKAASALKYIDTDKSGYIKGTELDALVEYAYSSGYNRSNSLL >KJB23041 pep chromosome:Graimondii2_0_v6:4:9200048:9202623:1 gene:B456_004G078200 transcript:KJB23041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLIEGLPDAVALRCLARVPFYLYPKLELVSRSWRVAIRGSELFKARQEVGSAEELLCVCAYDPENLWQMYDPLRDLWITLPVLPSKVRHLAYFGVVSTGGKLFVLGGGSDDVDPLTGDQDGSFATDEVWSYDPIMRRWAQRASMVLPRAMFACCVSKGKIIVAGGFTSCRKSISQAEMYDPEKDVWIPIPDLHRTHNSACSGLVIGGKVHVLHKGLSTVQVLDHIGSGWTVEDYGWLQGPMAVVQGALYVMSHGLIVKQEKEVSKVVISASEFRRRIGFAMTGLGDEIFVIGGVIGPDRFNWDIKPMSDVDILTVGGNRPTWRQAASMTKCRGTIFGCTQLRI >KJB23040 pep chromosome:Graimondii2_0_v6:4:9199310:9202623:1 gene:B456_004G078200 transcript:KJB23040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLIEGLPDAVALRCLARVPFYLYPKLELVSRSWRVAIRGSELFKARQEVGSAEELLCVCAYDPENLWQMYDPLRDLWITLPVLPSKVRHLAYFGVVSTGGKLFVLGGGSDDVDPLTGDQDGSFATDEVWSYDPIMRRWAQRASMVLPRAMFACCVSKGKIIVAGGFTSCRKSISQAEMYDPEKDVWIPIPDLHRTHNSACSGLVIGGKVHVLHKGLSTVQVLDHIGSGWTVEDYGWLQGPMAVVQGALYVMSHGLIVKQEKEVSKVVISASEFRRRIGFAMTGLGDEIFVIGGVIGPDRFNWDIKPMSDVDILTVGGNRPTWRQAASMTKCRGTIFGCTQLRI >KJB23039 pep chromosome:Graimondii2_0_v6:4:9199310:9202623:1 gene:B456_004G078200 transcript:KJB23039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLIEGLPDAVALRCLARVPFYLYPKLELVSRSWRVAIRGSELFKARQEVGSAEELLCVCAYDPENLWQMYDPLRDLWITLPVLPSKVRHLAYFGVVSTGGKLFVLGGGSDDVDPLTGDQDGSFATDEVWSYDPIMRRWAQRASMVLPRAMFACCVSKGKIIVAGGFTSCRKSISQAEMYDPEKDVWIPIPDLHRTHNSACSGLVIGGKVHVLHKGLSTVQVLDHIGSGWTVEDYGWLQGPMAVVQGALYVMSHGLIVKQEKEVSKVVISASEFRRRIGFAMTGLGDEIFVIGGVIGPDRFNWDIKPMSDVDILTVGGNRPTWRQAASMTKCRGTIFGCTQLRI >KJB23038 pep chromosome:Graimondii2_0_v6:4:9199896:9202623:1 gene:B456_004G078200 transcript:KJB23038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLIEGLPDAVALRCLARVPFYLYPKLELVSRSWRVAIRGSELFKARQEVGSAEELLCVCAYDPENLWQMYDPLRDLWITLPVLPSKVRHLAYFGVVSTGGKLFVLGGGSDDVDPLTGDQDGSFATDEVWSYDPIMRRWAQRASMVLPRAMFACCVSKGKIIVAGGFTSCRKSISQAEMYDPEKDVWIPIPDLHRTHNSACSGLVIGGKVHVLHKGLSTVQVLDHIGSGWTVEDYGWLQGPMAVVQGALYVMSHGLIVKQEKEVSKVVISASEFRRRIGFAMTGLGDEIFVIGGVIGPDRFNWDIKPMSDVDILTVGGNRPTWRQAASMTKCRGTIFGCTQLRI >KJB25365 pep chromosome:Graimondii2_0_v6:4:50315083:50317590:-1 gene:B456_004G188000 transcript:KJB25365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGVINREGFGGSIPVEKVQALASKNLKDIPSRYIRPEVEFDVVSIDESYQIPVIDMSKLGHDDEQQKLHLACKDWGFFQLINHGVGDEVIDKMKIDIQEFFELPLNEKLACAQLPNNIEGYGQAFVVSEDQKLDWGDMLFLLPRPVPLRNMRFWPTIPPSFRETLDKYSMALQKVAIRLMRLIAKNLGTDLETFASFFEDGTQGIRMNYYPPCAQASKVIGLAPHSDSTALTLLIQVNEVEGLQIKKNGKWIPVKPISGAFIINIGDVMEIMSNGEYKSIEHRAVVNPNKERLSIAAFHSPNISTMIGPLPDVVKAKEAGYRTMPHEEFVRLTISSKLDGKGLLDQMKL >KJB25366 pep chromosome:Graimondii2_0_v6:4:50315824:50317467:-1 gene:B456_004G188000 transcript:KJB25366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQLINHGVGDEVIDKMKIDIQEFFELPLNEKLACAQLPNNIEGYGQAFVVSEDQKLDWGDMLFLLPRPVPLRNMRFWPTIPPSFRETLDKYSMALQKVAIRLMRLIAKNLGTDLETFASFFEDGTQGIRMNYYPPCAQASKVIGLAPHSDSTALTLLIQVNEVEGLQIKKNGKWIPVKPISGAFIINIGDVMEIMSNGEYKSIEHRAVVNPNKERLSIAAFHSPNISTMIGPLPDVVKAKEAGYRTMPHEEFVRLTISSKLDGKGLLDQMKL >KJB26784 pep chromosome:Graimondii2_0_v6:4:59537318:59540608:-1 gene:B456_004G259400 transcript:KJB26784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDCLTRKELQFLCKRNKIPANITNVAMADALKALEIVEGLEEFKSQSQSPEKTMNKTSQEIPSTISRTSTRRKPTKEEHQTAQSTVRTRKVTRRTAELDEENKNLNVTETPAVTTNTSRRAKKIESEVKDQKKSDLVETPALQSGRRRPGVGSTRRKVEDTLQTGYGTRRSVRLLEKCMEGLSLKESEKMEPVKADEMVESEVQNNNGQSEELPLARNLSASLEDERDFKDDVEENSKCENGGSNGTLISLNDKIDEPEGPKGCDDNAVFEDSYETVSTPNEELVADETNDAAVSEELVADETNDAVVTEELVADETYDAAVSQELAADGTNDAAVSEDAPEIESLPEKSVADDTDDVVSHEELVVDEPEVLVANAEDISEEVLDHSSPVEAVSVEEHANQAPQEIEAVHDDLTILPKAKEYAEGEVSQDVPSVLPEDHIDSSAKKGNEASNDDPMTNMVDEESDDDVLGDSNIDDGSVADEDLMTNVVNEAALVDANVTEAKTIPVNSFHNASQSVVDDDFQVQDPEALIDVGVMPEVEFGETTEIISTTTSVPLPSPLKPQLSQPRKSSSKKQTTIPEENISKENIDVVKKVKKNKNVIDEGTMQNLEDLSLRKLMKLTKMFNKLEINDNMKNKEDDNLNKQPFGKSRTALQSLPQNCMNNEEAEKQN >KJB26787 pep chromosome:Graimondii2_0_v6:4:59537349:59540480:-1 gene:B456_004G259400 transcript:KJB26787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDCLTRKELQFLCKRNKIPANITNVAMADALKALEIVEGLEEFKSQSQSPEKTMNKTSQEIPSTISRTSTRRKPTKEEHQTAQSTVRTRKVTRRTAELDEENKNLNVTETPAVTTNTSRRAKKIESEVKDQKKSDLVETPALQSGRRRPGVGSTRRKVEDTLQTGYGTRRSVRLLEKCMEGLSLKESEKMEPVKADEMVESEVQNNNGQSEELPLARNLSASLEDERDFKDDVEENSKCENGGSNGTLISLNDKIDEPEGPKGCDDNAVFEDSYETVSTPNEELVADETNDAAVSEELVADETNDAVVTEELVADETYDAAVSQELAADGTNDAAVSEDAPEIESLPEKSVADDTDDVVSHEELVVDEPEVLVANAEDISEEVLDHSSPVEAVSVEEHANQAPQEIEAVHDDLTILPKAKEYAEGEVSQDVPSVLPEDHIDSSAKKGNEASNDDPMTNMVDEESDDDVLGDSNIDDGSVADEDLMTNVVNEAALVDANVTEAKTIPVNSFHNASQSVVDDDFQVQDPEALIDVGVMPEVEFGETTEIISTTTSVPLPSPLKPQLSQPRKSSSKKQTTIPEENISKENIDVVKKVKKNKNVIDEGTMQNLEDLSLRKLMKLTKMFNKLEINDNMKNKEDDNLNKPFGKSRTALQSLPQNCMNNEEAEKQN >KJB26786 pep chromosome:Graimondii2_0_v6:4:59537349:59540480:-1 gene:B456_004G259400 transcript:KJB26786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDCLTRKELQFLCKRNKIPANITNVAMADALKALEIVEGLEEFKSQSQSPEKTMNKTSQEIPSTISRTSTRRKPTKEEHQTAQSTVRTRKVTRRTAELDEENKNLNVTETPAVTTNTSRRAKKIESEVKDQKKSDLVETPALQSGRRRPGVGSTRRKVEDTLQTGYGTRRSVRLLEKCMEGLSLKESEKMEPVKADEMVESEVQNNNGQSELPLARNLSASLEDERDFKDDVEENSKCENGGSNGTLISLNDKIDEPEGPKGCDDNAVFEDSYETVSTPNEELVADETNDAAVSEELVADETNDAVVTEELVADETYDAAVSQELAADGTNDAAVSEDAPEIESLPEKSVADDTDDVVSHEELVVDEPEVLVANAEDISEEVLDHSSPVEAVSVEEHANQAPQEIEAVHDDLTILPKAKEYAEGEVSQDVPSVLPEDHIDSSAKKGNEASNDDPMTNMVDEESDDDVLGDSNIDDGSVADEDLMTNVVNEAALVDANVTEAKTIPVNSFHNASQSVVDDDFQVQDPEALIDVGVMPEVEFGETTEIISTTTSVPLPSPLKPQLSQPRKSSSKKQTTIPEENISKENIDVVKKVKKNKNVIDEGTMQNLEDLSLRKLMKLTKMFNKLEINDNMKNKEDDNLNKQPFGKSRTALQSLPQNCMNNEEAEKQN >KJB26785 pep chromosome:Graimondii2_0_v6:4:59537349:59539874:-1 gene:B456_004G259400 transcript:KJB26785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKTSQEIPSTISRTSTRRKPTKEEHQTAQSTVRTRKVTRRTAELDEENKNLNVTETPAVTTNTSRRAKKIESEVKDQKKSDLVETPALQSGRRRPGVGSTRRKVEDTLQTGYGTRRSVRLLEKCMEGLSLKESEKMEPVKADEMVESEVQNNNGQSEELPLARNLSASLEDERDFKDDVEENSKCENGGSNGTLISLNDKIDEPEGPKGCDDNAVFEDSYETVSTPNEELVADETNDAAVSEELVADETNDAVVTEELVADETYDAAVSQELAADGTNDAAVSEDAPEIESLPEKSVADDTDDVVSHEELVVDEPEVLVANAEDISEEVLDHSSPVEAVSVEEHANQAPQEIEAVHDDLTILPKAKEYAEGEVSQDVPSVLPEDHIDSSAKKGNEASNDDPMTNMVDEESDDDVLGDSNIDDGSVADEDLMTNVVNEAALVDANVTEAKTIPVNSFHNASQSVVDDDFQVQDPEALIDVGVMPEVEFGETTEIISTTTSVPLPSPLKPQLSQPRKSSSKKQTTIPEENISKENIDVVKKVKKNKNVIDEGTMQNLEDLSLRKLMKLTKMFNKLEINDNMKNKEDDNLNKQPFGKSRTALQSLPQNCMNNEEAEKQN >KJB27057 pep chromosome:Graimondii2_0_v6:4:60906053:60910488:-1 gene:B456_004G275100 transcript:KJB27057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSRPGLNPSQGRLNRRFNRFPRLSSLFTCSSGSSYAPLEMEDYPTQIPVKSSEHRDSLSNMVQTPLEESGSICSTEMGLSCTGTETGTSAESNNEAGGDLSIDGGSRDVEANDCRKCLTESTDLVVPQVSSHGESRRDSSTSASISFKEQQSSDHDSINLSTNEDAVSGCENKGSSRFCPESSISSPQGLEDSHRIPVENQLGEVMTVHSSGSDSAPRDSELATLHSLREESIPSGLGFLVSNREQGHGDGGVLHVDVVSISSNIISDGGADTSNREARRNSRRLFWDAFSRHSSRRLNDSPSDDRNDVASQDRWLLDFSGDFFYDGAGGDSGYLSTSRIHSLNERRRHSRSEIWERLLGGHDENSQQTTFCPSGLHPDGTCSCDSLLLTDESSARASISRIVMLAEALFEVLDEIHRQPVSLSLSMVSLPAPESVVDSFPLRNHKKVDASKVGDTVDQCHICLAEYEEEEKIRVLPCQHEFHMSCVDKWLKEIHGVCPLCRGDVRQGMDSSVPNSEVPSL >KJB27058 pep chromosome:Graimondii2_0_v6:4:60906233:60910425:-1 gene:B456_004G275100 transcript:KJB27058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSRPGLNPSQGRLNRRFNRFPRLSSLFTCSSGSSYAPLEMEDYPTQIPVKSSEHRDSLSNMVQTPLEESGSICSTEMGLSCTGTETGTSAESNNEAGGDLSIDGGSRDVEANDCRKCLTESTDLVVPQVSSHGESRRDSSTSASISFKEQQSSDHDSINLSTNEDAVSGCENKGSSRFCPESSISSPQGLEDSHRIPVENQLGEVMTVHSSGSDSAPRDSELATLHSLREESIPSGLGFLVSNREQGHGDGGVLHVDVVSISSNIISDGGADTSNREARRNSRRLFWDAFSRHSSRRLNDSPSDDRNDVASQDRWLLDFSGDFFYDGAGGDSGYLSTSRIHSLNERRRHSRSEIWERLLGGHDENSQQTTFCPSGLHPDGTCSCDSLLLTDESSARASISRIVMLAEALFEVLDEIHRQPVSLSLSMVSLPAPESVVDSFPLRNHKKVDASKVGDTVDQCHICLAEYEEEEKIRVLPCQHEFHMSCVDKWLKEIHGYNCHYHSFPLHLVRELTSLSSVYRVCPLCRGDVRQGMDSSVPNSEVPSL >KJB21533 pep chromosome:Graimondii2_0_v6:4:46376:49748:-1 gene:B456_004G0006001 transcript:KJB21533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPLGSSPSQFSVDITDSHGNWKPNLSTFSDDMQLDSHYRNSKCSFGDTDISYNIGREDIWDAKVSYLDDGFPHEREDDISWKYWPHKIDGNSGDFLDYENGEIPDNAFEGNYMLRKRCNPIQTNYHVKDLPAQPGWPFFGTEDVKDSLSLL >KJB21534 pep chromosome:Graimondii2_0_v6:4:46376:49283:-1 gene:B456_004G0006001 transcript:KJB21534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPLGSSPSQFSVDITDSHGNWKPNLSTFSDDMQLDSHYRNSKCSFGDTDISYNIGREDIWDAKVSYLDDGFPHEREDDISWKYWPHKIDGNSGDFLDYENGEIPDNAFEGNYMLRKRCNPIQTNYHVKDLPAQPGWPFFGTEDVKDSLSLL >KJB21536 pep chromosome:Graimondii2_0_v6:4:46376:51978:-1 gene:B456_004G0006001 transcript:KJB21536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVTISRKSTHNRQRQYFEQRKQQEKTTGYEDHADETSIAGRHQKECRSLDILSLLNLSTFSAVGKCYPSKLSVFDMLINDESEVGSERSLVHEAHVAFSVEGLGKIRTKTPLHSPKQGGRISSDDCSLAWNFSRQLNSLKGSNFVLNDSELEVDAMMQDMDVPLGSSPSQFSVDITDSHGNWKPNLSTFSDDMQLDSHYRNSKCSFGDTDISYNIGREDIWDAKVSYLDDGFPHEREDDISWKYWPHKIDGNSGDFLDYENGEIPDNAFEGNYMLRKRCNPIQTNYHVKDLPAQPGWPFFGTEDVKDSLSLL >KJB21535 pep chromosome:Graimondii2_0_v6:4:46376:51978:-1 gene:B456_004G0006001 transcript:KJB21535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVTISRKSTHNRQRQYFEQRKQQEKTTGYEDHADETSIAGRHQKECRSLDILSLLNLSTFSAVGKCYPSKLSVFDMLINDESEVGSERSLVHEAHVAFSVEGLGKIRTKTPLHSPKQGGRISSDDCSLAWNFSRQLNSLKGSNFVLNDSELEVDAMMQDMDVPLGSSPSQFSVDITDSHGNWKPNLSTFSDDMQLDSHYRNSKCSFGDTDISYNIGREDIWDAKVSYLDDGFPHEREDDISWKYWPHKIDGNSGDFLDYENGEIPDNAFEGNYMLRKRCNPIQTNYHVKDLPAQPGWPFFGTEDVKDSLSLL >KJB25598 pep chromosome:Graimondii2_0_v6:4:52003192:52004980:-1 gene:B456_004G198800 transcript:KJB25598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVMVVMVGVVQATAFYGVNLKHKNKEHRNAYATVYMGTPRDYEFYVATRVLIRSLNRLQVDADLIVIASLDAPLRWLRALEEEDGAKVLRVENVNNPYKGQQRFKLTLNKLYAWRLVDYDGVVMLDADNLFLHKTDELFQCGQFCAVFINPGIFHTGLFVLQPSLEVFKDMIHQLETGKANPDGADQGFTDGHYRLPMGYQMDASYYYLKLGWRVPCGPNSVITFPGAPWLKPWHENRRQTLGYAAEMPIVMIPSVIFLGIITMTRLARPSISKLCYRNTDKSSSLMQTGLKFIAIWSIIAAYIVPFAIIPRTIHPLVGWTLYFLGSVTLSSVAINSFMLPVVPILVPLIGALGSLLVMACPWYPYGVTRALAVFGYAFCYALIAWGSMVKVTARLQVSLEKRTNFPKIG >KJB21518 pep chromosome:Graimondii2_0_v6:4:29188640:29192164:1 gene:B456_004G119500 transcript:KJB21518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRVRWNEENLGEIEANKPVRQKITEPKTPYHPMIDDDGSLSPRGRSFNDCVIDVDAEELCSALKDVASSSRKTTGQSGGWTSSEDEADPMDHDEEDQNSKSFKEQRKAHYDEFLKIKELRRKGSFIEDEDDEVEGDSSSSLSSGVKDIGIGEGPASLPQKSSASPGHPGNGL >KJB21519 pep chromosome:Graimondii2_0_v6:4:29188790:29192164:1 gene:B456_004G119500 transcript:KJB21519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVRWNEENLGEIEANKPVRQKITEPKTPYHPMIDDDGSLSPRGRSFNDCVIDVDAEELCSALKDVASSSRKTTGQSGGWTSSEDEADPMDHDEEDQNSKSFKEQRKAHYDEFLKIKELRRKGSFIEDEDDEVEGDSSSSLSSGVKDIGIGEGPASLPQKSSASPGHPGNGL >KJB21521 pep chromosome:Graimondii2_0_v6:4:29188578:29192164:1 gene:B456_004G119500 transcript:KJB21521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDDDGSLSPRGRSFNDCVIDVDAEELCSALKDVASSSRKTTGQSGGWTSSEDEADPMDHDEEDQNSKSFKEQRKAHYDEFLKIKELRRKGSFIEDEDDEVEGDSSSSLSSGVKDIGIGEGPASLPQKSSASPGHPGNGL >KJB21520 pep chromosome:Graimondii2_0_v6:4:29188790:29192164:1 gene:B456_004G119500 transcript:KJB21520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRVRWNEENLGEIEANKPVRQKITEPKTPYHPMIDDDGSLSPRGRSFNDCVIDVDAEELCSALKDVASSSRKTTGQSGGWTSSEDEADPMDHDEEDQNSKSFKEQRKAHYDEFLKIKELRRKGSFIEDEDDEVEGDSSSSLSSGVKDIGIGEGPASLPQKSSASPGHPGNGL >KJB21517 pep chromosome:Graimondii2_0_v6:4:29189105:29192164:1 gene:B456_004G119500 transcript:KJB21517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDDDGSLSPRGRSFNDCVIDVDAEELCSALKDVASSSRKTTGQSGGWTSSEDEADPMDHDEEDQNSKSFKEQRKAHYDEFLKIKELRRKGSFIEDEDDEVEGDSSSSLSSGVKDIGIGEGPASLPQKSSASPGHPGNGL >KJB21516 pep chromosome:Graimondii2_0_v6:4:29188498:29192225:1 gene:B456_004G119500 transcript:KJB21516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRVRWNEENLGEIEANKPVRQKITEPKTPYHPMIDDDGSLSPRGRSFNDCVIDVDAEELCSALKDVASSSRKTTGQSGGWTSSEDEADPMDHDEEDQNSKSFKEQRKAHYDEFLKIKELRRKGSFIEDEDDEVEGDSSSSLSSGVKDIGIGEGPASLPQKSSASPGHPGNGL >KJB24674 pep chromosome:Graimondii2_0_v6:4:44452666:44454906:-1 gene:B456_004G156800 transcript:KJB24674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSSKATVPGSRELQASSTSPGGAATSTKSDDGANPYEPQVMRFLKRVGMHDPNVENYSSRDFYSNLLCNTLKTHTVRRGHVTCFATVTPAVANYFGGLHGGAVAAIAERVAIATTRTVVGEDKEIFLVDLGMSYLSAAPKDAELIVDGAVVKSGRNITAVSIEFKMKKTGKLLFTSRATFHNSPLAKL >KJB24675 pep chromosome:Graimondii2_0_v6:4:44454154:44454839:-1 gene:B456_004G156800 transcript:KJB24675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSSKATVPGSRELQASSTSPGGAATSTKSDDGANPYEPQVMRFLKRVGMHDPNVENYSSRDFYSNLLCNTLKTHTVRRGHVTCFATVTPAVANYFGGLHGGAVAAIAERVAIATTRTVVGEDKEIFLVDLGMSYLSAAPKDVSSFGFLISHFWLNPKL >KJB26005 pep chromosome:Graimondii2_0_v6:4:55560936:55563309:1 gene:B456_004G220900 transcript:KJB26005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDGQRGSATPSTMLASLLNKRAKLQEELRSIERQVYDMETSYLQDPSQCGHVLKGFEGFLSSSKNTALLKRSRKFQPEDRLFSLSSVTSPAAEEVAAGRDDGKLYYGAGRSKGGGYFANGQGKPKKGRVREAKRIRHSSEPDFDYDDDPDVTF >KJB26003 pep chromosome:Graimondii2_0_v6:4:55560936:55563309:1 gene:B456_004G220900 transcript:KJB26003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDGQRGSATPSTMLASLLNKRAKLQEELRSIERQVYDMETSYLQDPSQCGHVLKGFEGFLSSSKNTALLKRSRKFQPEDRLFSLSSVTSPAAEEVAAGRDADGKLYYGAGRSKGGGYFANGQGKPKKGRVREAKRIRHSSEPDFDYDDDPDVTF >KJB26001 pep chromosome:Graimondii2_0_v6:4:55560936:55563309:1 gene:B456_004G220900 transcript:KJB26001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDGQRGSATPSTMLASLLNKRAKLQEELRSIERQDPSQCGHVLKGFEGFLSSSKNTALLKRSRKFQPEDRLFSLSSVTSPAAEEVAAGRDDGKLYYGAGRSKGGGYFANGQGKPKKGRVREAKRIRHSSEPDFDYDDDPDVTF >KJB26004 pep chromosome:Graimondii2_0_v6:4:55561184:55562624:1 gene:B456_004G220900 transcript:KJB26004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDGQRGSATPSTMLASLLNKRAKLQEELRSIERQVYDMETSYLQDPSQCGHVLKGFEGFLSSSKNTALLKRSRKFQPEDRLFSLSSVTSPAAEEVAAGRDGEPIICRCINRFPFLMFHWLLFLCV >KJB26002 pep chromosome:Graimondii2_0_v6:4:55560936:55563406:1 gene:B456_004G220900 transcript:KJB26002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDGQRGSATPSTMLASLLNKRAKLQEELRSIERQVYDMETSYLQDPSQCGHVLKGFEGFLSSSKNTALLKRSRKFQPEDRLFSLSSVTSPAAEEVAAGRDDGKLYYGAGRSKGGGYFANGQGKPKKGRVREAKRIRHSSEPDFDYDDDPDVTF >KJB21890 pep chromosome:Graimondii2_0_v6:4:1402099:1406597:-1 gene:B456_004G019100 transcript:KJB21890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVDVLRYLSKDDFRVLTAVEMGMRNHEIVPSDLIGRIASLKHGGTYKVLKNLLKHKLVHHDSSKYDGFRLTYLGYDFLAIKTMVNRGVFTAVGRQIGVGKESDIFEVSNEDGTVMAMKLHRLGRTSFRAVKSKRDYLRHRSSFNWLYLSRLAALKEFAFMKALEEHGFPVPNAVDCNRHCVVMSLIQGYPLVQVKQLQNPETVFETIIGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVSHRNAQMYFDRDIECIFKFFGKRFNLSFEECSDDIDDDESSRPSFSSITKTAGFLDKELAASGFTRKDEDDIQKFIEGDVEDDDVSDDEHDSELSQTNIKGFDSLHLDQEESTSRCNEEGQVVENLQSPEVGQSGDPESQNLSSNEGDENDDTELVKSLAKQRRRVMAAARKGHHKNSTSRNSYKDKGGKSSNNSKIQKQLASW >KJB21889 pep chromosome:Graimondii2_0_v6:4:1402099:1406603:-1 gene:B456_004G019100 transcript:KJB21889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVDVLRYLSKDDFRVLTAVEMGMRNHEIVPSDLIGRIASLKHGGTYKVLKNLLKHKLVHHDSSKYDGFRLTYLGYDFLAIKTMVNRGVFTAVGRQIGVGKESDIFEVSNEDGTVMAMKLHRLGRTSFRAVKSKRDYLRHRSSFNWLYLSRLAALKEFAFMKALEEHGFPVPNAVDCNRHCVVMSLIQGYPLVQVKQLQNPETVFETIIGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVSHRNAQMYFDRDIECIFKFFGKRFNLSFEECSDDIDDDESSRPSFSSITKTAGFLDKELAASGFTRKDEDDIQKFIEGDVEDDDVSDDEHDSELSQTNIKGFDSLHLDQEESTSRCNEEGQVVENLQSPEVGQSGDPESQNLSSNEGDENDDTELVKSLAKQRRRVMAAARKGHHKNSTSRNSYKDKGGKSSNNSKIQKQLASW >KJB21888 pep chromosome:Graimondii2_0_v6:4:1402099:1405548:-1 gene:B456_004G019100 transcript:KJB21888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVDVLRYLSKDDFRVLTAVEMGMRNHEIVPSDLIGRIASLKHGGTYKVLKNLLKHKLVHHDSSKYDGFRLTYLGYDFLAIKTMVNRGVFTAVGRQIGVGKESDIFEVSNEDGTVMAMKLHRLGRTSFRAVKSKRDYLRHRSSFNWLYLSRLAALKEFAFMKALEEHGFPVPNAVDCNRHCVVMSLIQGYPLVQVKQLQNPETVFETIIGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVSHRNAQMYFDRDIECIFKFFGKRFNLSFEECSDDIDDDESSRPSFSSITKTAGFLDKELAASGFTRKDEDDIQKFIEGDVEDDDVSDDEHDSELSQTNIKGFDSLHLDQVKSQLQDVTRKVK >KJB24654 pep chromosome:Graimondii2_0_v6:4:44036606:44041193:-1 gene:B456_004G155600 transcript:KJB24654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRGLSSVGVHRNRSSGSRFPIVILVLFSVLAPLVFFVGRGLYKSDQNNVQSGYTKQTVDWRERLALQSIKNLFTKEVIDVVTTSTADLGPLSLDSFRKGNLSASWEVVETSVKDDATSQAAELKQETSKDGKLLDDDRSPDAPAKTLRRQLRDNRRAKRAAELVHQDDEATLKLENAAIERSRAVDSAVLGRYNIWRREIENENSDSTVRLMRDQIIMAQVYIGIAKMKNKLGLQQELKIRVKESQRAVGESTVDADLPHSAPEKIKAMGKVLSIAREQLYDCKLVTGKLRAMLQISDEQVRSLKKQSTFLSQLAAKTIPNAIHCLSMRLTIEYYLLPLEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKDPLKHVFHLVTDKLNFGAMNMWFLLNPPGKATINVENVDEFKWLNSSYCPVLRQLETSAMKEYYFKADNPTKSASSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQNDLTRLWSVDLHGKVNGAVETCGESFHRFDKYLNFSNPHISRNFDPNACGWAYGMNMFDLKEWKKRDITGIYHKWQNMNEDRALWKLGTLPPGLITFYGLTHPLEKSWHVLGLGYNPSVDKKEIEHAAVIHYNGNMKPWLELAMTKYRSYWTKYIKYDHPYLRSCNLSE >KJB24656 pep chromosome:Graimondii2_0_v6:4:44036615:44041012:-1 gene:B456_004G155600 transcript:KJB24656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRGLSSVGVHRNRSSGSRFPIVILVLFSVLAPLVFFVGRGLYKSDQNNVQSGYTKQTVDWRERLALQSIKNLFTKEVIDVVTTSTADLGPLSLDSFRKGNLSASWEVVETSVKDDATSQPNLKAAELKQETSKDGKLLDDDRSPDAPAKTLRRQLRDNRRAKRAAELVHQDDEATLKLENAAIERSRAVDSAVLGRYNIWRREIENENSDSTVRLMRDQIIMAQVYIGIAKMKNKLGLQQELKIRVKESQRAVGESTVDADLPHSAPEKIKAMGKVLSIAREQLYDCKLVTGKLRAMLQISDEQVRSLKKQSTFLSQLAAKTIPNAIHCLSMRLTIEYYLLPLEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKDPLKHVFHLVTDKLNFGAMNMWFLLNPPGKATINVENVDEFKWLNSSYCPVLRQLETSAMKEYYFKADNPTKSASSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQNDLTRLWSVDLHGKVNGAVETCGESFHRFDKYLNFSNPHISRNFDPNACGWAYGMNMFDLKEWKKRDITGIYHKWQNMNEDRALWKLGTLPPGLITFYGLTHPLEKSWHVLGLGYNPSVDKKEIEHAAVIHYNGNMKPWLELAMTKYRSYWTKYIKYDHPYLRSCNLSE >KJB24655 pep chromosome:Graimondii2_0_v6:4:44036967:44040644:-1 gene:B456_004G155600 transcript:KJB24655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRGLSSVGVHRNRSSGSRFPIVILVLFSVLAPLVFFVGRGLYKSDQNNVQSGYTKQTVDWRERLALQSIKNLFTKEVIDVVTTSTADLGPLSLDSFRKGNLSASWEVVETSVKDDATSQPNLKAAELKQETSKDGKLLDDDRSPDAPAKTLRRQLRDNRRAKRAAELVHQDDEATLKLENAAIERSRAVDSAVLGRYNIWRREIENENSDSTVRLMRDQIIMAQVYIGIAKMKNKLGLQQELKIRVKESQRAVGESTVDADLPHSAPEKIKAMGKVLSIAREQLYDCKLVTGKLRAMLQISDEQVRSLKKQSTFLSQLAAKTIPNAIHCLSMRLTIEYYLLPLEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKDPLKHVFHLVTDKLNFGAMNMWFLLNPPGKATINVENVDEFKWLNSSYCPVLRQLETSAMKEYYFKADNPTKSASSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQNDLTRLWSVDLHGKVNGAVETCGESFHRFDKYLNFSNPHISRNFDPNACGWAYGMNMFDLKEWKKRDITGIYHKWQNMNEDRALWKLGTLPPGLITFYGLTHPLEKSWHVLGLGYNPSVDKKEIEHAAVIHYNGNMKPWLELAMTKYRSYWTKYIKYDHPYLRSCNLSE >KJB24208 pep chromosome:Graimondii2_0_v6:4:36180856:36183393:1 gene:B456_004G133000 transcript:KJB24208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKELDLSTVKYEKETIQAPHLTGSILKLSVRIIEIPIIGSLIISFMKKENNMVERLQNTEIPEKPMFKPEFPPQEAEPSVVIVDEEGKPTDRVESALKCLPRYDPASCWSGDTFPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQATASTQRFKEDINLVKLEHSG >KJB24209 pep chromosome:Graimondii2_0_v6:4:36180993:36183287:1 gene:B456_004G133000 transcript:KJB24209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKELDLSTVKYEKETIQAPHLTGSILKLSVRIIEIPIIGSLIISFMKKENNMVERLQNTEIPEKPMFKPEFPPQEAEPSVVIVDEEGKPTDRVESALKCLPRYDPASCWSGDTFPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQATASTQRFKEGNPLSIFIVPLICLSFCLSDINLVKLEHSG >KJB24206 pep chromosome:Graimondii2_0_v6:4:36180993:36183187:1 gene:B456_004G133000 transcript:KJB24206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKELDLSTVKYEKETIQAPHLTGSILKLSVRIIEIPIIGSLIISFMKKENNMVERLQNTEIPEKPMFKPEFPPQEAEPSVVIVDEEGKPTDRVESALKCLPRYDPASCWSGDTFPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQATASTQRFKEDINLVKLEHSG >KJB24205 pep chromosome:Graimondii2_0_v6:4:36180717:36183411:1 gene:B456_004G133000 transcript:KJB24205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKELDLSTVKYEKETIQAPHLTGSILKLSVRIIEIPIIGSLIISFMKKENNMVERLQNTEIPEKPMFKPEFPPQEAEPSVVIVDEEGKPTDRVESALKCLPRYDPASCWSGDTFPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQATASTQRFKEGNPLSIFIVPLICLSFCLSDINLVKLEHSG >KJB24207 pep chromosome:Graimondii2_0_v6:4:36180856:36183393:1 gene:B456_004G133000 transcript:KJB24207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKELDLSTVKYEKETIQAPHLTGSILKLSVRIIEIPIIGSLIISFMKKENNMVERLQNTEIPEKPMFKPEFPPQEAEPSVVIVDEEGKPTDRVESALKCLPRYDPASCWSGDTFPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQATASTQRFKEGNPLSIFIVPLICLSFCLSDINLVKLEHSG >KJB23504 pep chromosome:Graimondii2_0_v6:4:60951183:60954955:1 gene:B456_004G275800 transcript:KJB23504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVAGQGAVSSNQIQRPMEDSSSQPFDHDQTAPGASKFLSDLPSRGHLSSTIISSNLGGMRVYICEHNTSPPEIQHIKTDQQNILIRSLMLNNNKGGSSSKDVKAAAEGPRKRTS >KJB23508 pep chromosome:Graimondii2_0_v6:4:60951210:60954918:1 gene:B456_004G275800 transcript:KJB23508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSSQPFDHDQTAPGASKFLSDLPSRGHLSSTIISSNLGGMRVYICEHNTSPPEIQHIKTDQQNILIRSLMLNNNKGGSSSKDVKAAAEGPRKRAAEKVMDSSASPKKANTKNNSQSVGSSSSVAEKDYHSFTVERLRPLLKERGLSPKGKKACS >KJB23505 pep chromosome:Graimondii2_0_v6:4:60951208:60954955:1 gene:B456_004G275800 transcript:KJB23505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVYICEHNTSPPEIQHIKTDQQNILIRSLMLNNNKGGSSSKDVKAAAEGPRKRAAEKVMDSSASPKKANTKNNSQSGRADRTLEMCK >KJB23503 pep chromosome:Graimondii2_0_v6:4:60951183:60954955:1 gene:B456_004G275800 transcript:KJB23503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVAGQGAVSSNQIQRPMEDSSSQPFDHDQTAPGASKFLSDLPSRGHLSSTIISSNLGGMRVYICEHNTSPPEIQHIKTDQQNILIRSLMLNNNKGGSSSKDVKAAAEGPRKSGIKQ >KJB23501 pep chromosome:Graimondii2_0_v6:4:60951183:60954955:1 gene:B456_004G275800 transcript:KJB23501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVAGQGAVSSNQIQRPMEDSSSQPFDHDQTAPGASKFLSDLPSRGHLSSTIISSNLGGMRVYICEHNTSPPGLLKKSWIAALHQRKPIQKIILNRWDQAVV >KJB23510 pep chromosome:Graimondii2_0_v6:4:60951211:60954081:1 gene:B456_004G275800 transcript:KJB23510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSSQPFDHDQTAPGASKFLSDLPSRGHLSSTIISSNLGGMRVYICEHNTSPPEIQHIKTDQQNILIRSLMLNNNKGGSSSKDVKAAAEGPRKRAAEKVMDSSASPKKANTKNNSQSGQSIDVLS >KJB23509 pep chromosome:Graimondii2_0_v6:4:60951210:60954918:1 gene:B456_004G275800 transcript:KJB23509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSSQPFDHDQTAPGASKFLSDLPSRGHLSSTIISSNLGGMRVYICEHNTSPPEIQHIKTDQQNILIRSLMLNNNKGGSSSKDVKAAAEGPRKRAAEKVMDSSASPKKANTKNNSQSVGSSSSVAEKDYHSFTVERLRPLLKERGLSPKGKKA >KJB23502 pep chromosome:Graimondii2_0_v6:4:60951183:60954955:1 gene:B456_004G275800 transcript:KJB23502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVAGQGAVSSNQIQRPMEDSSSQPFDHDQTAPGASKFLSDLPSRGHLSSTIISSNLGGMRVYICEHNTSPPEIQHIKTDQQNILIRSLMLNNNKGGSSSKDVKAAAEGPRKRAAEKVMDSSASPKKANTKNNSQSVGSSSSVAEKDYHSFTVERLRPLLKERGLSPKGKKDELIARLKCVNESAK >KJB23506 pep chromosome:Graimondii2_0_v6:4:60953061:60954674:1 gene:B456_004G275800 transcript:KJB23506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVYICEHNTSPPEIQHIKTDQQNILIRSLMLNNNKGGSSSKDVKAAAEGPRKRAAEKVMDSSASPKKANTKNNSQSVGSSSSVAEKDYHSFTVERLRPLLKERGLSPKGKKDELIARLKCVNESAK >KJB23500 pep chromosome:Graimondii2_0_v6:4:60951183:60954955:1 gene:B456_004G275800 transcript:KJB23500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVAGQGAVSSNQIQRPMEDSSSQPFDHDQTAPGASKFLSDLPSRGHLSSTIISSNLGGMRVYICEHNTSPPEIQHIKTDQQNILIRSLMLNNNKGGSSSKDVKAAAEGPRKRAAEKVMDSSASPKKANTKNNSQSGRADRTLEMCK >KJB23511 pep chromosome:Graimondii2_0_v6:4:60951208:60954955:1 gene:B456_004G275800 transcript:KJB23511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSSQPFDHDQTAPGASKFLSDLPSRGHLSSTIISSNLGGMRVYICEHNTSPPEIQHIKTDQQNILIRSLMLNNNKGGSSSKDVKAAAEGPRKRAAEKVMDSSASPKKANTKNNSQSVGSSSSFYCRETSSSS >KJB23507 pep chromosome:Graimondii2_0_v6:4:60951209:60954918:1 gene:B456_004G275800 transcript:KJB23507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVYICEHNTSPPEIQHIKTDQQNILIRSLMLNNNKGGSSSKDVKAAAEGPRKRAAEKVMDSSASPKKANTKNNSQSVGSSSSFYCRETSSSS >KJB24630 pep chromosome:Graimondii2_0_v6:4:43886390:43891856:1 gene:B456_004G154300 transcript:KJB24630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLENGISLKRAGSRNERNPFSSRPRSRFSRLLLFKKLDYLQWICTVAVFLFFVVFFQMFLPGSVMDKSQGSFLDDKDSVFGELSYLKEMGGLDFGEDIRLEPCKLLEKFQRENKLVNLDSSSGFNRSQHRFHYRKPQLALVFADLLAHPQQLLMVTIATALKEIGYELQVYSLEDGLARNAWQSIGVPVTILKVEQNEIAVDWLNFIQEPFKSIPLIWTIHERVLAIRSREYTSSGQTELVNDWKKVFSRATVVVFPNYALPMIYSTFDSGNYYVIPGSPAVVWKGENAMDLLKDSQRIKMGYGPDEVLIAIVGSQFMYKGLWLEHALILQALLPLFADNNSNSHPKIIILSSDSTSNYSMAVERIALNLRYPSGVVKHVAVEEEVDNVLSMTDIVIYGSFLDEPSFPEVLTKAMSLGKPIIAPELSNIRKYVDDRVNGYIFPKENIKVLTQIILQVISNGKLSPLARNIASIGRETVKNLMVQETVEGYAFLLENVLKLPSEVAPHKAVAELPSKLKEEWRWNLFGYFLNFTLEDRSANFLNKLEEQWNHSRREKFGSLIAVDDSFSYEIWEEEKRTHILDTKRRREEQELKDRTDQPRGTWEDVYRNAKKADRMRNDLHERDERELERIGQPLCIYEPYFGEGTWPFLHQNSLYRGIGLSTKGRRPGMDDVDGPSRLQLLNNPYYRDTLGEYGAFFAIANRIDRLHRNAWIGFQSWRATARKASLSGIAETSLLDAIEKRKYGDAVYFWVRMDTDPRNNMQRDFWSFCDAINAGKCKLAFSETLKRMYGLGQDFNSLPPMPEGEGTWSVMQSWALPTKSFLEFVMFSRMFVDALDAQMYDEHYQSGHCYLSFSKDKHCYSRVLELLINVWAYHSARRIVYVNPETGAMQEYHKFKDRRGKMWIKWFSFNTLKVMDEDLAEEADSDHPKRRWLWPSTGEVVWQGVLDRERNLRNRQKETRKQKSKDKLERMRHKHRQKALGKYVKPLPEDIEISNSTTVTSV >KJB24629 pep chromosome:Graimondii2_0_v6:4:43886152:43891880:1 gene:B456_004G154300 transcript:KJB24629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLENGISLKRAGSRNERNPFSSRPRSRFSRLLLFKKLDYLQWICTVAVFLFFVVFFQMFLPGSVMDKSQGSFLDDKDSVFGELSYLKEMGGLDFGEDIRLEPCKLLEKFQRENKLVNLDSSSGFNRSQHRFHYRKPQLALVFADLLAHPQQLLMVTIATALKEIGYELQVYSLEDGLARNAWQSIGVPVTILKVEQNEIAVDWLNYDGILVSSLEAKSVFSSFIQEPFKSIPLIWTIHERVLAIRSREYTSSGQTELVNDWKKVFSRATVVVFPNYALPMIYSTFDSGNYYVIPGSPAVVWKGENAMDLLKDSQRIKMGYGPDEVLIAIVGSQFMYKGLWLEHALILQALLPLFADNNSNSHPKIIILSSDSTSNYSMAVERIALNLRYPSGVVKHVAVEEEVDNVLSMTDIVIYGSFLDEPSFPEVLTKAMSLGKPIIAPELSNIRKYVDDRVNGYIFPKENIKVLTQIILQVISNGKLSPLARNIASIGRETVKNLMVQETVEGYAFLLENVLKLPSEVAPHKAVAELPSKLKEEWRWNLFGYFLNFTLEDRSANFLNKLEEQWNHSRREKFGSLIAVDDSFSYEIWEEEKRTHILDTKRRREEQELKDRTDQPRGTWEDVYRNAKKADRMRNDLHERDERELERIGQPLCIYEPYFGEGTWPFLHQNSLYRGIGLSTKGRRPGMDDVDGPSRLQLLNNPYYRDTLGEYGAFFAIANRIDRLHRNAWIGFQSWRATARKASLSGIAETSLLDAIEKRKYGDAVYFWVRMDTDPRNNMQRDFWSFCDAINAGKCKLAFSETLKRMYGLGQDFNSLPPMPEGEGTWSVMQSWALPTKSFLEFVMFSRMFVDALDAQMYDEHYQSGHCYLSFSKDKHCYSRVLELLINVWAYHSARRIVYVNPETGAMQEYHKFKDRRGKMWIKWFSFNTLKVMDEDLAEEADSDHPKRRWLWPSTGEVVWQGVLDRERNLRNRQKETRKQKSKDKLERMRHKHRQKALGKYVKPLPEDIEISNSTTVTSV >KJB24631 pep chromosome:Graimondii2_0_v6:4:43886390:43891856:1 gene:B456_004G154300 transcript:KJB24631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLENGISLKRAGSRNERNPFSSRPRSRFSRLLLFKKLDYLQWICTVAVFLFFVVFFQMFLPGSVMDKSQGSFLDDKDSVFGELSYLKEMGGLDFGEDIRLEPCKLLEKFQRENKLVNLDSSSGFNRSQHRFHYRKPQLALVFADLLAHPQQLLMVTIATALKEIGYELQVYSLEDGLARNAWQSIGVPVTILKVEQNEIAVDWLNYDGILVSSLEAKSVFSSFIQEPFKSIPLIWTIHERVLAIRSREYTSSGQTELVNDWKKVFSRATVVVFPNYALPMIYSTFDSGNYYVIPGSPAVVWKGENAMDLLKDSQRIKMGYGPDEVLIAIVGSQFMYKGLWLEHALILQALLPLFADNNSNSHPKIIILSSDSTSNYSMAVERIALNLRYPSGVVKHVAVEEEVDNVLSMTDIVIYGSFLDEPSFPEVLTKAMSLGKPIIAPELSNIRKYVDDRVNGYIFPKENIKVLTQIILQVISNGKLSPLARNIASIGRETVKNLMVQETVEGYAFLLENVLKLPSEVAPHKAVAELPSKLKEEWRWNLFGYFLNFTLEDRSANFLNKLEEQWNHSRREKFGSLIAVDDSFSYEIWEEEKRTHILDTKRRREEQELKDRTDQPRGTWEDVYRNAKKADRMRNDLHERDERELERIGQPLCIYEPYFGEGTWPFLHQNSLYRGIGLASLSGIAETSLLDAIEKRKYGDAVYFWVRMDTDPRNNMQRDFWSFCDAINAGKCKLAFSETLKRMYGLGQDFNSLPPMPEGEGTWSVMQSWALPTKSFLEFVMFSRMFVDALDAQMYDEHYQSGHCYLSFSKDKHCYSRVLELLINVWAYHSARRIVYVNPETGAMQEYHKFKDRRGKMWIKWFSFNTLKVMDEDLAEEADSDHPKRRWLWPSTGEVVWQGVLDRERNLRNRQKETRKQKSKDKLERMRHKHRQKALGKYVKPLPEDIEISNSTTVTSV >KJB27353 pep chromosome:Graimondii2_0_v6:4:62058128:62063615:-1 gene:B456_004G292800 transcript:KJB27353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDWINSYLEAILDVGPGIDDAKSSLLLRERGNFSPTRYFVEEVITGFDETDLHRSWVRAAATRGPKERNTRLENMCWRIWNLARTKKKLEVEEAQRKANRRLEHERGRREATADMSEDLSEGEKGDLVGDGSARGDRISRRMPRINSVDIMENLANQLKEKKFYIVLISLHGLIRGESMELGRDSDTGGQVKYVVELARALGTMPGVYRVDLLTRQVSAPDVDWTYAEPTEMLSPRTTENSMQELGESSGAYIIRIPFGPKDKYIPKEMIWPHIPEFVDCALSHIRQMSKVLGEQIGGGEPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRQSREEINTTYKIMRRIEAEELSLDASEVVITSTRQEIEEQWRLYDGFDPILERKLRARIRRGVSCHGRFMPRMVVIPPGMEFHHIVPHDGDMDGDVERNEENSTSPDPPIWSEIMRFFSNPRKPMILALARPDPKKNLTTLVKAFGECRPLRELANLTLIMGNRDSIDEMSGANASVLLSILKLIDKYDLYGQVAYPKHHKQYEVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAYGLPIVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVSDKHLWARCRQNGLKNIHLFSWPEHCKTYLSRIVMCKPRQPRWQSSDVGFENLETNSPGDSLRDIQDLSLNLKFSLDGEKSEGTGTGALDNSFDIDDSVDRKSNLEKADLKFSKGAIGSLMEKAGQHFGGGRFPAMRMRKCIFVIAVDCDSVSDIPKVIRTIMDAAGKENPIGFILSTSLSVSEVHSILISGSISPLDFDAFICNSGGDVYYPSLSSEDGLGLPFTVDSDYQSHIEYRWGGEGLRKTLVRWAASINDKNGQTVEENESRSTTHCYAFRVKDPELVIYPLHKRSPFFVFHFFYTFRE >KJB27352 pep chromosome:Graimondii2_0_v6:4:62058102:62063650:-1 gene:B456_004G292800 transcript:KJB27352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDWINSYLEAILDVGPGIDDAKSSLLLRERGNFSPTRYFVEEVITGFDETDLHRSWVRAAATRGPKERNTRLENMCWRIWNLARTKKKLEVEEAQRKANRRLEHERGRREATADMSEDLSEGEKGDLVGDGSARGDRISRRMPRINSVDIMENLANQLKEKKFYIVLISLHGLIRGESMELGRDSDTGGQVKYVVELARALGTMPGVYRVDLLTRQVSAPDVDWTYAEPTEMLSPRTTENSMQELGESSGAYIIRIPFGPKDKYIPKEMIWPHIPEFVDCALSHIRQMSKVLGEQIGGGEPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRQSREEINTTYKIMRRIEAEELSLDASEVVITSTRQEIEEQWRLYDGFDPILERKLRARIRRGVSCHGRFMPRMVVIPPGMEFHHIVPHDGDMDGDVERNEENSTSPDPPIWSEIMRFFSNPRKPMILALARPDPKKNLTTLVKAFGECRPLRELANLTLIMGNRDSIDEMSGANASVLLSILKLIDKYDLYGQVAYPKHHKQYEVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAYGLPIVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVSDKHLWARCRQNGLKNIHLFSWPEHCKTYLSRIVMCKPRQPRWQSSDVGFENLETNSPGDSLRDIQDLSLNLKFSLDGEKSEGTGTGALDNSFDIDDSVDRKSNLEKADLKFSKGAIGSLMEKAGQHFGGGRFPAMRMRKCIFVIAVDCDSVSDIPKVIRTIMDAAGKENPIGFILSTSLSVSEVHSILISGSISPLDFDAFICNSGGDVYYPSLSSEDGLGLPFTVDSDYQSHIEYRWGGEGLRKTLVRWAASINDKNGQTVEENESRSTTHCYAFRVKDPELIPPVKELRKLMRVQALRCHVIYCQNGTTLNVIPVLASRAQALRYLYIRWGLELSNVITFVGECGDTDYEGLLGGVHKTVILKGIGNDALKLHSNRSYPLEHVLPFNSPNIVQAKGCCNEDVRASLQNIGVIKE >KJB25525 pep chromosome:Graimondii2_0_v6:4:51609635:51613712:-1 gene:B456_004G196000 transcript:KJB25525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPIKSQPLHNFNFPFLKWGAHGGGSSSAATADHSRSPESDSDHDRLRPTRVGSRSTRIHRSSFPPPPKPIKQSHREEEQQQQREEESSKPRENEAEEDEEETVQRPWNLRPRKVVMETSAAVVTSAAEKTSETVGPKSMRLRGFAENGGVAEKKEKRKFWIALSKEEIEEDIFVITGSRPARRPKKRPKNIQKQLDNVFPGLWLVGTTADAYRIADAPVKK >KJB25524 pep chromosome:Graimondii2_0_v6:4:51612634:51613497:-1 gene:B456_004G196000 transcript:KJB25524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPIKSQPLHNFNFPFLKWGAHGGGSSSAATADHSRSPESDSDHDRLRPTRVGSRSTRIHRSSFPPPPKPIKQSHREEEQQQQREEESSKPRENEAEEDEEETVQRPWNLRPRKVVMETSAAVVTSAAEKTSETVGPKSMRLRGFAENGGVAEKKEKRKFWIALSKEEIEEDIFVITGSRPARRPKKRPKNIQKQLDNVFPGLWLVGTTADAYRIADAPVKK >KJB25523 pep chromosome:Graimondii2_0_v6:4:51611790:51613796:-1 gene:B456_004G196000 transcript:KJB25523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPIKSQPLHNFNFPFLKWGAHGGGSSSAATADHSRSPESDSDHDRLRPTRVGSRSTRIHRSSFPPPPKPIKQSHREEEQQQQREEESSKPRENEAEEDEEETVQRPWNLRPRKVVMETSAAVVTSAAEKTSETVGPKSMRLRGFAENGGVAEKKEKRKFWIALSKEEIEEDIFVITGSRPARRPKKRPKNIQKQLDNVFPGLWLVGTTADAYRIADAPVKK >KJB27239 pep chromosome:Graimondii2_0_v6:4:61682081:61685860:-1 gene:B456_004G286300 transcript:KJB27239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAYLDRTVHETDGEPKPNGGVSTLSKSIDLQGSVETGDKTTIASKDFGGLYSTKPLALIKPAGSDDVSRVIKAASRIPRMTVAARGNGHSINGQAMTNGGLVIDMRSTEENHFRLLNINGSFFIDVSGGALWENVLTRCVSRFGLAPRSWTDYLSLTVGGTLSNAGVSGQAFRYGPQISNVAELEIITGKGDIMVCSETRNPELFFGSLGGLGQFGIITRAMVKLQPAPDMVRWIRVVYTEFDEFTRDAEFLVSRDDGESFDYVEGFVFCNNDDPVNGWPSVPLDPVHGFNQGIIPQTGASVLYCLEVAFHYQKGDHPSTVDKAVAGLLKPLRFVEGLKSQVDLSYVEFLLRVKRAEEQAKANGIWDAPHPWLNLFISKSDIVDFDQTVFKKMVKDGIGGPMLIYPLMRSKWDNRTSVALPDSEIFYLVALLRFVSRGPSVEESVAQNREIVEWCIREGLDFKLYLPHYQSKEQWKRHFGNQWTRFVERKASFDPMAILAPGQNIFKRTHLS >KJB26882 pep chromosome:Graimondii2_0_v6:4:60029014:60038283:-1 gene:B456_004G264700 transcript:KJB26882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPDVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNEIFQGNYVDHHVSSREQITLQDTMDGVVYSTSQFGLDERFGDGDTSQIGLLDEELVLDRVASSKHAGVSEYDPQGSDVPQEQVPSNSEAMPMDCSGDQVEDLAANSEFIDYEQDPGTPGLVEVPNLSGVHEELTGGDHAEPEHDNLTELANSKCVENASNKSNLHHEDNNPLDQSLENEINHDAVVIEPPENDCRISDMEKEQTKPHESSLPDAVSVECASAVGTVRGPDGLDRVEEMHNGGMHSIDRTDRECAESPSCSNVTFDLDEPARRTSSNSNCVPVSDGNLENDQALQKFEFGNEAEATCNLEESHGKAIASNPSCPLESPSRPTVIDGEAQAFQEPNVSENLKETGIHEEVSSVQFLGSNNLAAAEQNSVDMSQREEEVHASGAPIEVQGEVCQTQMLEPAFCGHQLENSNSCSMSDLPAPERLLSAPEELLDKPSDLLVESTPDKEVLAGNDEIDAGTKLISGKKRSLTESTLTVESINSVESFGRPQSMRTAESVPDDDDLLSSILVGRKSSVFKMKPTPQFEVASRKRTRSAAKPSATKRKVLMDDTMVLHGDTIRQQLVNTEDIRRIRKKAPCTRTEISLIQRRFLEDEIFSESVLTGMSDDLTRLHSEQYDLSSIRISEGDENHASNEVAKDSGCSVRPDIAEGGFEGSSVPLINGNDEQVQSVGTTVHTKTQQGEYSDLNEGTTVHTETRQGEHSDLNSQQDRNPVDGITEMEIDRDNAEAVNASNHFVLNEFGVSSPTNLGTEFLLEESKANTSADGSIAECFAPIENGTNSLVTLQTGESVNGSENAYEAENDKVDVIDEAQVEVALLDHDDKDPIRKDIEECRMDSTYSENVDVVLNNASLNTGETSTFQEVDAVNKEMTSLVDNQAEFEDVAIGNDTEFLNVDDDELGEDDDNGMPGGDESRLLDNSGWSSRTRAVAKYLQNLFEDEAVHGRKALSMDSLLARKTRKEASRMFFETLVLKTKDYIHVEQGKPFDDICIKPRLKLLKSDF >KJB26179 pep chromosome:Graimondii2_0_v6:4:56337658:56339132:-1 gene:B456_004G228700 transcript:KJB26179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLEFLSIAFLLFLQLTFAAILPQPAPAPAPSPGTQSPAPAPAPSPGTQSPAPPPAPSPGTQSPAPPPAPSPGTQSPAPASSPSDPVQASSPSPYSNIGHNNVNPDVKNASGGGGMSAGKKAGIVVGVLIAACLVVVGGLVYKKRRDNIRRSQYGYAARAELL >KJB22494 pep chromosome:Graimondii2_0_v6:4:4808489:4810902:1 gene:B456_004G052400 transcript:KJB22494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVKWAERADKVYITVLLPDSKNAKVNLEPEGVFTLSANAGADNNLYELKLDLYDKVNVEESKISIGVRNIVCVLEKAEKVWWKKLLRSEGKAPHYIKVDWDKWVDEDEDNGPGDIDLGGMDFSSFGNMGGMDGGGMDEFEDSSDDEGARCRDYGKEGNRCKHMM >KJB22493 pep chromosome:Graimondii2_0_v6:4:4808442:4811026:1 gene:B456_004G052400 transcript:KJB22493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVKWAERADKVYITVLLPDSKNAKVNLEPEGVFTLSANAGADNNLYELKLDLYDKVNVEESKISIGVRNIVCVLEKAEKVWWKKLLRSEGKAPHYIKVDWDKWVDEDEDNGPGDIDLGGMDFSSFGNMGGMDGGGMDEFEDSSDDEEQEVTKPAEQDMNKADGNAKPEEQGAGTMEKKETDAST >KJB21502 pep chromosome:Graimondii2_0_v6:4:61637369:61638185:1 gene:B456_004G285500 transcript:KJB21502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLQNLVRSFPKDTKSGSPSFFPELPYNLRGGGGSGGAAGASSVDTARVLVSRPPRQVVSLWTCSKLCGFCFVAGIIVGYSLKRRVRLWASKLLKRLKDD >KJB24412 pep chromosome:Graimondii2_0_v6:4:40688101:40691940:-1 gene:B456_004G144300 transcript:KJB24412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MCM7 YKDSDEEFLRRVIENTRRYIGIIASAIDDLLLEPTEAFHDDDHDILMTQKVDDGNDNADGFDPCQNMPLEIKRYYEVHIRAPSKWRPFTIREVKASYIGQLVRISGIVTRCSDVKPLMQVAVYTCEECGYEIFQVTNSYVTARVFMPLFECPSKLCFVNRKKGNLILQLRASKFLKFQEAKIQELVEHLPKGHSPRSMSEHFRGELTIKVAPGDVVELSMIFLPIPYTGFRAMCAGLVADTYFEVMFVTHFKKKYEEYELREDEEEQIAKLAENGNIYNKLAQSLAPEIYGHEDVKKSLLLLLVGAPYRKLKGGMKIRGDLHIFLMGDPGVAKSQLLKHIINVEPRGVYTTDRGSNGMVLEEGALVLADMGICTIDKFDKMDESDRTAIHEVMEQQTLSIAKVGITTSLNARTAILATASPAWGRYDLRRTPAENINLPPALLSRFYLLWLTLDRADMDTDLEMARHVVYVHQNRESLALGFTPLEPSVLQSFY >KJB22421 pep chromosome:Graimondii2_0_v6:4:4161817:4162916:-1 gene:B456_004G046900 transcript:KJB22421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFFINIELTIDFVFIPVHHDFLADISTITNHTSLRETFRFELDILENQYLLDQALFPTIGTNREVLPLRSVIHVSIVDSDGVSMGRALAESALEFESSNYGMVPAKESLVKEMVRMVRVEDGDQEDCMICLEELEVGFYASRMPCSHTFHGDCIENWLKQSHYCPFCRFEMPTN >KJB26624 pep chromosome:Graimondii2_0_v6:4:58811771:58816525:-1 gene:B456_004G250800 transcript:KJB26624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 132 [Source:Projected from Arabidopsis thaliana (AT5G08080) UniProtKB/TrEMBL;Acc:F4K9K2] MNDLLSDSFEIPRGQGQGSHGGDIELGAQANADELGLQNFFKKVQEIDKQYEKLDKLLKKLQDAHEESKAVTKAPAMKSIKQRMEKDVDEVGKISRFVKGKIDELDRENLANRQKPGCGKGTGVDRSRTSTTLAVKKKLRDKMAEFQTLRETIHQEYRDVVERRVFTVTGTRPDEETIEKLIDTGDSEQIFQKAIQEQGRGRIMDTVSEIHERHEAVRDLEKKLLDLQQIFLDMAVLVDAQGDMLDNIESQVSSAVDHVQSGNTALQRAKSLQKNSRKWMCIAIIILLLIVVIIVVAVIKPWSSNKGA >KJB25384 pep chromosome:Graimondii2_0_v6:4:50413459:50413846:-1 gene:B456_004G188500 transcript:KJB25384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFSWFTGSSKPQTLTVPKPNDTPKPVPGMNGAVEVPRPESVTVFEFGSVAATADKVTLAGYCPVSDDLEPCRWEILPANGSDAPQFRVVF >KJB24994 pep chromosome:Graimondii2_0_v6:4:47814886:47818175:1 gene:B456_004G175200 transcript:KJB24994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGKQKIEAQRRNAEKNQKAKGSQLEARAVALKVSCPICKVQLANEKQLGDHYGSKHPKENPPAVSS >KJB22110 pep chromosome:Graimondii2_0_v6:4:2374175:2376291:-1 gene:B456_004G029900 transcript:KJB22110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDQMTQRYSDSNSNSGSGIGIGSNNNGRYSKKQRPKKVPQRGLGVAQLEKIRIEEQQKKDAAILPFQSPVVNVNLHHHHHKPSASASISPSCFPLPIPTFLPSNQSCSSLASADISPPNSMFRPPNGDIVSTANTVPLTCNIGQNKTWGSCDYEYNNIEKECCGLDPGLAFRTNLSLPYESEPIWPLPCLMQRAQQQQPFQQPSSSLVNLSSRTSSTSILNFQIEPPSNQSYYGNCTPLFPEDDKVVGMKRSYPFSLDNAPGPPIYTKYPPIVHFINGQVEAASSSNGSTFNFEPGTPNFREGPSCSTSNMESKSKKSIKQNGVYDGDFLTLAPPTTTMCSSSKFKHPSSILTYYNCELPDLESLASFDDSIVRQGGASGFNQSYRPYYSFLPPALVQIDQATTISMANCKGGEVEHVDLDLKL >KJB22347 pep chromosome:Graimondii2_0_v6:4:3659066:3665550:-1 gene:B456_004G042400 transcript:KJB22347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVVSRALLPVALQFLLILVGILAPRGQRLIKNQETVASTSRVPSVARQQNLVVDQLPNEMREMRIRDEKTVSHDDKDPEATVINGNGTETGQIISTTVGGQNGQPKQTMSYMAERVVGTGSFGVVFQAKCLETGEPVAIKKVLQDKRYKNRELQIMRVLDHPNVVRLKHSFFSSTEKDELYLNLVLEYVPETVHRVSKHHSRMNQHMPILYVQLYTYQICRALNYLHHVVGVCHRDIKPQNLLVYPHTHQVKICDFGSAKKLVPGEPNISYICSRYYRAPELIFGVTEYTTAIDMWSVGCVMAELLLGHPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKLFHKQLPPEAVDLVSRLLQYSPKLRCTALEALAHPFFNDLRVPDLSLPNGRPLPSLFNFTAQELAGASTELRQRLVPEHART >KJB22348 pep chromosome:Graimondii2_0_v6:4:3659148:3665477:-1 gene:B456_004G042400 transcript:KJB22348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVMRRLKSIASGRSSISSDPGGDSSSKRAKVDQESGRSRRESNLVESSTSSREHNMASTSQETVASTSRVPSVARQQNLVVDQLPNEMREMRIRDEKTVSHDDKDPEATVINGNGTETGQIISTTVGGQNGQPKQTMSYMAERVVGTGSFGVVFQAKCLETGEPVAIKKVLQDKRYKNRELQIMRVLDHPNVVRLKHSFFSSTEKDELYLNLVLEYVPETVHRVSKHHSRMNQHMPILYVQLYTYQICRALNYLHHVVGVCHRDIKPQNLLVYPHTHQVKICDFGSAKKLVPGEPNISYICSRYYRAPELIFGVTEYTTAIDMWSVGCVMAELLLGHPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKLFHKQLPPEAVDLVSRLLQYSPKLRCTALEALAHPFFNDLRVPDLSLPNGRPLPSLFNFTAQELAGASTELRQRLVPEHART >KJB24713 pep chromosome:Graimondii2_0_v6:4:44566116:44566943:1 gene:B456_004G1574002 transcript:KJB24713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRGRYPSVLGRGRGANANPSFQSRPEQPQYAQRNLVQNHHHHFQQQQHHHHHLQQQQHQQQWLRRNQLPGGNDSTVADEVEKTVQSEAVDSSSQDWKARLKMPPSDTRYKTE >KJB24712 pep chromosome:Graimondii2_0_v6:4:44565986:44566943:1 gene:B456_004G1574002 transcript:KJB24712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRGRYPSVLGRGRGANANPSFQSRPEQPQYAQRNLVQNHHHHFQQQQHHHHHLQQQQHQQQWLRRNQLPGGNDSTVADEVEKTVQSEAVDSSSQDWKARLKMPPSDTRYKTE >KJB22823 pep chromosome:Graimondii2_0_v6:4:7056735:7058058:-1 gene:B456_004G067400 transcript:KJB22823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLTSTFRSVKHPIPIPIPIPNPKPNIVKPSLPSESSAVAKSKSELKACLKQHNGELSGGAKASRAGRPWVCACIVRGFNDQSEACEFEFKWKMVSRKLPRKKKNKEADDCSLTLLQHRQTALNKVKGMLDCSHLEIEWQMSTS >KJB22824 pep chromosome:Graimondii2_0_v6:4:7056735:7058076:-1 gene:B456_004G067400 transcript:KJB22824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLTSTFRSVKHPIPIPIPIPNPKPNIVKPSLPSESSAVAKSKSELKAWCVYLILSTNPPIKTYVGVTNNFSRRLKQHNGELSGGAKASRAGRPWVCACIVRGFNDQSEACEFEFKWKMVSRKLPRKKKNKEADDCSLTLLQHRQTALNKVKGMLDCSHLEIEWQMSTS >KJB27278 pep chromosome:Graimondii2_0_v6:4:61812685:61814415:-1 gene:B456_004G288500 transcript:KJB27278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFRSISNPTFSILKSTINKPTLKPSLASPLLHARSSSPTFSRSVSRLGCLQSLLPLHSAVSSARLTSCLGTDSMSFCNSWFFFSFQHGPFLSLLSIDFNGTVVCKTLTRSQCASITYQKSKEVMHDGRG >KJB27279 pep chromosome:Graimondii2_0_v6:4:61813605:61814415:-1 gene:B456_004G288500 transcript:KJB27279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFRSISNPTFSILKSTINKPTLKPSLASPLLHARSSSPTFSRSVSRLGCLQSLLPLHSAVSSARLTSCLGTDSMSFCNSWFFFSFQHGPFLSLLSIDFNGTVVCKTL >KJB24175 pep chromosome:Graimondii2_0_v6:4:35652252:35655811:-1 gene:B456_004G131400 transcript:KJB24175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSFRARGSLVVLAIVLSGCLFALSIAKEEAAKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVGFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFQDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMILGKMKDTAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRVMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFEGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKRQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLSLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGNFDLTGIPPAPRFALSLCQFCTCILNVST >KJB24174 pep chromosome:Graimondii2_0_v6:4:35652179:35655859:-1 gene:B456_004G131400 transcript:KJB24174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSFRARGSLVVLAIVLSGCLFALSIAKEEAAKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVGFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFQDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMILGKMKDTAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRVMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFEGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKRQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLSLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGNFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTHKTEKITITNDKGRLSQEEIDRMVREAEEFAEEDKKVKERIDARNSIETYVYNLKSQISDKDKLADKLESDEKEKIEAAVKEALEWLDDNQNAEKEDYEEKLKEVEAVCNPIITAVYQRSGGAPGAGGSTEEEDSHDEL >KJB26701 pep chromosome:Graimondii2_0_v6:4:59200778:59204392:1 gene:B456_004G255200 transcript:KJB26701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLSLPIAPIAANYDHSSLLSRNSAFSSRLLPFKCFSSGKNKLNLSSLGQYSFHSLHHRVAPIRALDSDVPHPLHKGSVDFKSKKSYEQWDSLTSTFSGAANIPFLLLQLPQIILNARNLLAGNKTALLAVPWLGMLTGLLGNLSLLSYFAKKKEKEAAVVQTLGVLSTYVVISQLAMAEAMPLPHFMATSVVVGSGLILNLLNYYNILNRRIWQIWEDFITVGGLSVLPQIMWSTFVPYIPNSILPGAIAFILAVAAVTMAHTGKLSEKGVKFVGAISGWTATLLFMWMPVSQMWTNFLNPDNIKGLSAISMLLAMTGNGLMIPRALFIRDFMWFTGSTWGTVSYGYANIVCLYIFNSIGREFFIAATVGLISWLGCFSTTIKLSYVLYPYQPET >KJB26699 pep chromosome:Graimondii2_0_v6:4:59200745:59204454:1 gene:B456_004G255200 transcript:KJB26699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLSLPIAPIAANYDHSSLLSRNSAFSSRLLPFKCFSSGKNKLNLSSLGQYSFHSLHHRVAPIRALDSDVPHPLHKGSVDFKSKKSYEQWDSLTSTFSGAANIPFLLLQLPQIILNARNLLAGNKTALLAVPWLGMLTGLLGNLSLLSYFAKKKEKEAAVVQTLGVLSTYVVISQLAMAEAMPLPHFMATSVVVGSGLILNLLNYYNILNRRIWQIWEDFITVGGLSVLPQIMWSTFVPYIPNSILPGAIAFILAVAAVTMAHTGKLSEKGVKFVGAISGWTATLLFMWMPVSQMWTNFLNPDNIKGLSAISMLLAMTGNGLMIPRALFIRDFMWFTGSTWGTVSYGYANIVCLYIFNSIGREFFIAATVGLISWLGITLWRDTVVHEYESPIRTLKELVFGS >KJB26700 pep chromosome:Graimondii2_0_v6:4:59200778:59204392:1 gene:B456_004G255200 transcript:KJB26700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLSLPIAPIAANYDHSSLLSRNSAFSSRLLPFKCFSSGKNKLNLSSLGQYSFHSLHHRVAPIRALDSDVPHPLHKGSVDFKSKKSYEQWDSLTSTFSGAANIPFLLLQLPQIILNARNLLAGNKTALLAVPWLGMLTGLLGNLSLLSYFAKKKEKEAAVVQTLGVLSTYVVISQLAMAEAMPLPHFMATSVVVGSGLILNLLNYYNILNRRIWQIWEDFITVGGLSVLPQIMWSTFVPYIPNSILPGAIAFILAVAAVTMAHTGKLSEKGVKFVGAISGWTATLLFMWMPVSQMWTNFLNPDNIKGLSAISMLLAMTGNGLMIPRALFIRDFMWNNTLERHRCA >KJB25700 pep chromosome:Graimondii2_0_v6:4:53490999:53492573:1 gene:B456_004G204900 transcript:KJB25700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLNWLMYFVFYLILFQAFHLITRRKLGRSHKLPPGPPTIPIFGNLFQVGDKPHRFLAKLAKIHGDIMTLKLGHTTTVVFSSATMAKEILQKHDAVSCNRTIPDALRALQHHEAGLPWMPVSTTWRNLRKICNLHIFATPKLDANQYLRRSKVEQLLAGVRESSLIGEAIEIRQAAFKTTLSLISNTIFSIDLADSTHTSEEFREIVQGIMEELGKPNFGHQASSGNSFRKMMDLFDKMVDERMELRRMNDYISTDDFLDILLQLSHQGNSEKLDRNLIKHLILDLVVGAIETTTSTLEWAMAELLQNPKVLQEARGELKQIIGEGNLVEESNITCLPYLQAIVKETMRLHPSFPLLLPRKAEADIEIHSFVIPKGTQLLINAWAIGRDPKFWEEPDLFRPERFIRSEMDVKGRDFGLIPFGGGRRICPGLPLAMRMLHLMLGGLIHSFDWKLENNITLESLDMKDKYGLFLHKAQPLRIIPISN >KJB23866 pep chromosome:Graimondii2_0_v6:4:28819205:28825190:1 gene:B456_004G118400 transcript:KJB23866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVVRLNFFSEPDGLVMGNGTFKSSVLVPGKKEAFYLSPPNQDKLPKNSSQGSILLGAISHGKLSYAGQEEGKDPRKNPVSYQISYVIPPNKVTDEDKRKGSSAACTKPIAERLEEEVRDAKLKVFGSLKQDTDEGRSEWKKLAQLLKSEYPEYTPLLVKIMESLLSRDNIDDKTQHYDEVIDAANEVIDSIDRDELAKFFSLKSDPEDEEAEKNKKKMETSRNQLAQALYQKGLALAEIETLKGEKASVLAAIEGTKDSDQTGGQSAVGSDVQSDLFEENFKELTKWVDLKSSKYGTLSVLRERRCGRLGTALKVVNEMIQDDGEPPKKKLYELKLSLLDEIGWSHLSTYERQWMHVRFPPSLPLF >KJB23867 pep chromosome:Graimondii2_0_v6:4:28819205:28825190:1 gene:B456_004G118400 transcript:KJB23867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVVRLNFFSEPDGLVMGNGTFKSSVLVPGKKEAFYLSPPNQDKLPKNSSQGSILLGAISHGKLSYAGQEEGKDPRKNPVSYQISYVIPPNKTDEDKRKGSSAACTKPIAERLEEEVRDAKLKVFGSLKQDTDEGRSEWKKLAQLLKSEYPEYTPLLVKIMESLLSRDNIDDKTQHYDEVIDAANEVIDSIDRDELAKFFSLKSDPEDEEAEKNKKKMETSRNQLAQALYQKGLALAEIETLKGEKASVLAAIEGTKDSDQTGGQSAVGSDVQSDLFEENFKELTKWVDLKSSKYGTLSVLRERRCGRLGTALKVVNEMIQDDGEPPKKKLYELKLSLLDEIGWSHLSTYERQWMHVRFPPSLPLF >KJB21921 pep chromosome:Graimondii2_0_v6:4:1615385:1616502:1 gene:B456_004G021500 transcript:KJB21921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSHDLTIEILRGLSVKDLLRFKCVSKLWCSSIDDPYFIKLHLSHSLKTNTNHSLILRRWGYDFLSVNYDSLKTTQVINPPLTNSPIKILGSCNGLLALIDDKDRIFLWNPSTRKSQVLPSTEIEFSSTSISSAPSTYYGFGYEPISDDYKLVRMVQSHGNNDEYFHSEAKVYSLRSNCWRRIKDVCFYLKFNRKFGFLANNALHWMVFKTPQSDNRNLVGFNLGSEEFRFLELPDFCLDKLFWEINNFVVDVWIMKEYGVKQSWIKSISWNEPHNISDTPVAAPLAFSKNGNKVLFNIAYKWCHLRKRDGKFVWYDLGSQRVENVGNRQIPTSFDMDLFVDSLVPLNSNAQQ >KJB25364 pep chromosome:Graimondii2_0_v6:4:50308769:50312475:1 gene:B456_004G187900 transcript:KJB25364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTISSPSKSSSFLSSSLSSLFTPSSLSRKSFAFNISRSPICAPNSLKCELPQPVNYENGIPTIPVLNERILPKFVESNRMDKAVNRNGAKLKLFSGTSNPALSQEIAWYMGLELGKVSIKRFADGEIYVQLQESVRGCDVYLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVYCQPVVLDYLASKKICSGDLVVVSPDVGGVARARSFAKKLSDAPLAIVDKRRHAHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACCTHAVFRF >KJB25363 pep chromosome:Graimondii2_0_v6:4:50308769:50312475:1 gene:B456_004G187900 transcript:KJB25363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTISSPSKSSSFLSSSLSSLFTPSSLSRKSFAFNISRSPICAPNSLKCELPQPVNYENGIPTIPVLNERILPKFVESNRMDKAVNRNGAKLKLFSGTSNPALSQEIAWYMGLELGKVSIKRFADGEIYVQLQESVRGCDVYLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKARRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVYCQPVVLDYLASKKICSGDLVVVSPDVGGVARARSFAKKLSDAPLAIVDKRRHAHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACCTHAVFSPPAIERLSGGVFQEVIITNTLPVAEKNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFL >KJB25362 pep chromosome:Graimondii2_0_v6:4:50308691:50312497:1 gene:B456_004G187900 transcript:KJB25362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTISSPSKSSSFLSSSLSSLFTPSSLSRKSFAFNISRSPICAPNSLKCELPQPVNYENGIPTIPVLNERILPKFVESNRMDKAVNRNGAKLKLFSGTSNPALSQEIAWYMGLELGKVSIKRFADGEIYVQLQESVRGCDVYLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVYCQPVVLDYLASKKICSGDLVVVSPDVGGVARARSFAKKLSDAPLAIVDKRRHAHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACCTHAVFSPPAIERLSGGVFQEVIITNTLPVAEKNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFL >KJB21690 pep chromosome:Graimondii2_0_v6:4:638075:639784:1 gene:B456_004G009100 transcript:KJB21690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSISNLSSSSSLWFNYTCTIFSFIFFTFTFSFSLFSLSIFILRLKLWCKCSTCQSFLTSSWSKDFNNLCDWYTHLLSKSPTGTIHIHILGNIITADPKNVEHILKTKFENYPKGKPFSILLGDLLGKGIFNVDGDSWKFQRKMASLELGSVSIRMHAFNIVKSEIQTRLIPLLNSVSGQVLDLQDVFRRFSFDNICKFSFGFDPCCLELSLPMPASEFAEAFDLASKLSAQRGLSSSSLIWKVKRVLNLGSEKELKSAIKMVDQFAQRMINQRRELGFSDKSDLLSRFMATMIDDDKYLRDIVVSFLLAGRDTVASGLTSFFWLLSQNPKVEFAIRDELEKVTAGFSPSDDQDFVMFDQMREMHYLHAALCESLRLFPPVQLDSKFAQHDDVLPDFTFVRKGTRVTYHPYAMGRMERVWGSDCLEFKPERWLKNGRYVPENPYKYPVFQAGLRVCLGKEMALVEMKCVVLAIIKRFNIQVADPNQAPKFAPGLTATVRGGLPVLVQRREA >KJB24076 pep chromosome:Graimondii2_0_v6:4:34304466:34309466:1 gene:B456_004G128900 transcript:KJB24076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENGSNQDAAATAVASNDQDQSKQNHVGSRIDPSLHQNDQGLYDKIGAPHHRSNGGDLQMSNGGTGDDVGDSFKRDMRELEELFSKLNPMAEEFVPHSIANHGLNGGFFINNSFLQNNTNISRNGHANGNGAGRRQKIFNQGKRRLNNRTSMAQREEVIRRTVYVSDIDQQVTEEQLAGLFVSCGQVVDCRICGDPNSVLRFAFVEFTDEEGARAALNHAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVYHLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRTPRLPMH >KJB24079 pep chromosome:Graimondii2_0_v6:4:34304602:34309159:1 gene:B456_004G128900 transcript:KJB24079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENGSNQDAAATAVASNDQDQSKQNHVGSRIDPSLHQNDQGLYDKIGAPHHRSNGGDLQMSNGGTGDDVGDSFKRDMRELEELFSKLNPMAEEFVPHSIANHGLNGGFFINNSFLQNNTNISRNGHANGNGAGRRQKIFNQGKRRLNNRTSMAQREEVIRRTVYVSDIDQQVTEEQLAGLFVSCGQVVDCRICGDPNSVLRFAFVEFTDEEGARAALNHAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVYHLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRTPRLPMH >KJB24081 pep chromosome:Graimondii2_0_v6:4:34304602:34309159:1 gene:B456_004G128900 transcript:KJB24081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENGSNQDAAATAVASNDQDQSKQNHVGSRIDPSLHQNDQGLYDKIGAPHHRSNGGDLQMSNGGTGDDVGDSFKRDMRELEELFSKLNPMAEEFVPHSIANHGLNGGFFINNSFLQNNTNISRNGHANGNGAGRRQKIFNQGKRRLNNRTSMAQREEVIRRTVYVSDIDQQVTEEQLAGLFVSCGQVVDCRICGDPNSVLRFAFVEFTDEGARAALNHAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVYHLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRTPRLPMH >KJB24078 pep chromosome:Graimondii2_0_v6:4:34304952:34306827:1 gene:B456_004G128900 transcript:KJB24078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENGSNQDAAATAVASNDQDQSKQNHVGSRIDPSLHQNDQGLYDKIGAPHHRSNGGDLQMSNGGTGDDVGDSFKRDMRELEELFSKLNPMAEEFVPHSIANHGLNGGFFINNSFLQNNTNISRNGHANGNGAGRRQKIFNQGKRRLNNRTSMAQREEVIRRTVYVSDIDQQVTEEQLAGLFVSCGQVVDCRICGDPNSVLRFAFVEFTDEEGARAALNHAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVCLSPEVAGGLPPFNSHCFC >KJB24075 pep chromosome:Graimondii2_0_v6:4:34304602:34309159:1 gene:B456_004G128900 transcript:KJB24075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENGSNQDAAATAVASNDQDQSKQNHVGSRIDPSLHQNDQGLYDKIGAPHHRSNGGDLQMSNGGTGDDVGDSFKRDMRELEELFSKLNPMAEEFVPHSIANHGLNGGFFINNSFLQNNTNISRNGHANGNGAGRRQKIFNQGKRRLNNRTSMAQREEVIRRTVYVSDIDQQVTEEQLAGLFVSCGQVVDCRICGDPNSVLRFAFVEFTDEEGARAALNHAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVYHLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRTPRLPMH >KJB24077 pep chromosome:Graimondii2_0_v6:4:34304602:34309159:1 gene:B456_004G128900 transcript:KJB24077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENGSNQDAAATAVASNDQDQSKQNHVGSRIDPSLHQNDQGLYDKIGAPHHRSNGGDLQMSNGGTGDDVGDSFKRDMRELEELFSKLNPMAEEFVPHSIANHGLNGGFFINNSFLQNNTNISRNGHANGNGAGRRQKIFNQGKRRLNNRTSMAQREEVIRRTVYVSDIDQQVTEEQLAGLFVSCGQVVDCRICGDPNSVLRFAFVEFTDEEGARAALNHAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVYHLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRTPRLPMH >KJB24080 pep chromosome:Graimondii2_0_v6:4:34304602:34309159:1 gene:B456_004G128900 transcript:KJB24080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENGSNQDAAATAVASNDQDQSKQNHVGSRIDPSLHQNDQGLYDKIGAPHHRSNGGDLQMSNGGTGDDVGDSFKRDMRELEELFSKLNPMAEEFVPHSIANHGLNGGFFINNSFLQNNTNISRNGHANGNGAGRRQKIFNQGKRRLNNRTSMAQREEVIRRTVYVSDIDQQVTEEQLAGLFVSCGQVVDCRICGDPNSVLRFAFVEFTDEEGARAALNHAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVYHLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRTPRLPMH >KJB24082 pep chromosome:Graimondii2_0_v6:4:34304717:34309244:1 gene:B456_004G128900 transcript:KJB24082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENGSNQDAAATAVASNDQDQSKQNHVGSRIDPSLHQNDQGLYDKIGAPHHRSNGGDLQMSNGGTGDDVGDSFKRDMRELEELFSKLNPMAEEFVPHSIANHGLNGGFFINNSFLQNNTNISRNGHANGNGAGRRKIFNQGKRRLNNRTSMAQREEVIRRTVYVSDIDQQVTEEQLAGLFVSCGQVVDCRICGDPNSVLRFAFVEFTDEEGARAALNHAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVYHLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRTPRLPMH >KJB25460 pep chromosome:Graimondii2_0_v6:4:51034361:51035812:-1 gene:B456_004G192700 transcript:KJB25460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNSLCIVFISCMMEHISDLSLDFEVHFLITEIESPSIKILCSFISNPIFTASKHACASAAKAESTFSYMVALEAITLSLLFLATIPELALKAPN >KJB21871 pep chromosome:Graimondii2_0_v6:4:1376137:1382618:1 gene:B456_004G018800 transcript:KJB21871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek6 [Source:Projected from Arabidopsis thaliana (AT3G20860) UniProtKB/Swiss-Prot;Acc:Q9LT35] MEEKDQETMSKMDDYEVIEQIGRGAFGAAFLVLHKAEKKKYVLKKIRLAKQTEKFKRTALQEMNLMAKLNNPYIIEHKDGWVEKESYVCIVTNYCEGGDMGEIIKKSRGTFFPEKKLCKWLTQLLVAVDYLHSNRVLHRDLKCSNIFLTEHNDIRLGDFGLAKLLNQEDLTSTVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAARQPAFRAPDMAGLVNKINRSSISPLPTMYSSALKQLIRTMLRKNPEHRPTAAELLRHPHLQPYLTQCQNLSPVFLPVKSEASVKGKLKGSPSPHKYNTMDPQSERPIPSNESGTSRIILECTSSNLHKQEFTSEPSQPGKHDDRSQCNGDLKLIHAPETPQKATMETKRRLQPENRVKTTENTQERSIMNTLTMLHDYEKKIKQDPQSLERAEALESLLEICASLLKQQRLEELAGVLRPFGEEAVSSRETAIWLTKSLMNAKSKIPIDHRK >KJB21872 pep chromosome:Graimondii2_0_v6:4:1376398:1382618:1 gene:B456_004G018800 transcript:KJB21872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek6 [Source:Projected from Arabidopsis thaliana (AT3G20860) UniProtKB/Swiss-Prot;Acc:Q9LT35] MEEKDQETMSKMDDYEVIEQIGRGAFGAAFLVLHKAEKKKYVLKKIRLAKQTEKFKRTALQEMNLMAKLNNPYIIEHKDGWVEKESYVCIVTNYCEGGDMGEIIKKSRGTFFPEKKLCKWLTQLLVAVDYLHSNRVLHRDLKCSNIFLTEHNDIRLGDFGLAKLLNQEDLTSTVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAARQPAFRAPDMAGLVNKINRSSISPLPTMYSSALKQLIRTMLRKNPEHRPTAAELLRHPHLQPYLTQCQNLSPVFLPVKSEASVKGKLKGSPSPHKYNTMDPQSERPIPSNESGTSRIILECTSSNLHKQEFTSEPSQPGKHDDRSQCNGDLKLIHAPETPQKATMETKRRLQPENRVKTTENTQERSIMNTLTMLHDYEKKIKQDPQSLERAEALESLLEICASLLKQQRLEELAGVLRPFGEEAVSSRETAIWLTKSLMNAKSKIPIDHRK >KJB21870 pep chromosome:Graimondii2_0_v6:4:1376014:1382741:1 gene:B456_004G018800 transcript:KJB21870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek6 [Source:Projected from Arabidopsis thaliana (AT3G20860) UniProtKB/Swiss-Prot;Acc:Q9LT35] MEEKDQETMSKMDDYEVIEQIGRGAFGAAFLVLHKAEKKKYVLKKIRLAKQTEKFKRTALQEMNLMAKLNNPYIIEHKDGWVEKESYVCIVTNYCEGGDMGEIIKKSRGTFFPEKVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAARQPAFRAPDMAGLVNKINRSSISPLPTMYSSALKQLIRTMLRKNPEHRPTAAELLRHPHLQPYLTQCQNLSPVFLPVKSEASVKGKLKGSPSPHKYNTMDPQSERPIPSNESGTSRIILECTSSNLHKQEFTSEPSQPGKHDDRSQCNGDLKLIHAPETPQKATMETKRRLQPENRVKTTENTQERSIMNTLTMLHDYEKKIKQDPQSLERAEALESLLEICASLLKQQRLEELAGVLRPFGEEAVSSRETAIWLTKSLMNAKSKIPIDHRK >KJB21869 pep chromosome:Graimondii2_0_v6:4:1376014:1382741:1 gene:B456_004G018800 transcript:KJB21869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek6 [Source:Projected from Arabidopsis thaliana (AT3G20860) UniProtKB/Swiss-Prot;Acc:Q9LT35] MEEKDQETMSKMDDYEVIEQIGRGAFGAAFLVLHKAEKKKYVLKKIRLAKQTEKFKRTALQEMNLMAKLNNPYIIEHKDGWVEKESYVCIVTNYCEGGDMGEIIKKSRGTFFPEKKLCKWLTQLLVAVDYLHSNRVLHRDLKCSNIFLTEHNDIRLGDFGLAKLLNQEDLTSTVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAARQPAFRAPDMAGLVNKINRSSISPLPTMYSSALKQLIRTMLRKNPEHRPTAAELLRHPHLQPYLTQCQNLSPVFLPVKSEASVKGKLKGSPSPHKYNTMDPQSERPIPSNESGTSRIILECTSSNLHKQEFTSEPSQPGKHDDRSQCNGDLKLIHAPETPQKATMETKRRLQPENRVKTTENTQERSIMNTLTMLHDYEKKIKQDPQSLERAEALESLLEICASLLKQQRLEELAGVLRPFGEEAVSSRETAIWLTKSLMNAKSKIPIDHRK >KJB21875 pep chromosome:Graimondii2_0_v6:4:1376398:1382702:1 gene:B456_004G018800 transcript:KJB21875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek6 [Source:Projected from Arabidopsis thaliana (AT3G20860) UniProtKB/Swiss-Prot;Acc:Q9LT35] MEEKDQETMSKMDDYEVIEQIGRGAFGAAFLVLHKAEKKKYVLKKIRLAKQTEKFKRTALQEMNLMAKLNNPYIIEHKDGWVEKESYVCIVTNYCEGGDMGEIIKKSRGTFFPEKKLCKWLTQLLVAVDYLHSNRVLHRDLKCSNIFLTEHNDIRLGDFGLAKLLNQEDLTSTVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAARQPAFRAPDMAGLVNKINRSSISPLPTMYSSALKQLIRTMLRKNPEHRPTAAELLRHPHLQPYLTQCQNLSPVFLPVKSEASVKGKLKGSPSPHKYNTMDPQSERPIPSNESGTSRIILECTSSNLHKQEFTSEPSQPGKHDDRSQCNGDLKLIHAPETPQKATMETKRRLQPENRVKTTENTQERSIMNTLTMLHDYEKKIKQDPQSLERAEALESLLEICASLLKQQRLEELAGVLRPFGEEAVSSRETAIWLTKSLMNAKSKIPIDHRK >KJB21873 pep chromosome:Graimondii2_0_v6:4:1376129:1382688:1 gene:B456_004G018800 transcript:KJB21873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek6 [Source:Projected from Arabidopsis thaliana (AT3G20860) UniProtKB/Swiss-Prot;Acc:Q9LT35] MEEKDQETMSKMDDYEVIEQIGRGAFGAAFLVLHKAEKKKYVLKKIRLAKQTEKFKRTALQEMNLMAKLNNPYIIEHKDGWVEKESYVCIVTNYCEGGDMGEIIKKSRGTFFPEKKLCKWLTQLLVAVDYLHSNRVLHRDLKCSNIFLTEHNDIRLGDFGLAKLLNQEDLTSTVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAARQPAFRAPDMAGLVNKINRSSISPLPTMYSSALKQLIRTMLRKNPEHRPTAAELLRHPHLQPYLTQCQNLSPVFLPVKSEASVKGKLKGSPSPHKYNTMDPQSERPIPSNESGTSRIILECTSSNLHKQEFTSEPSQPGKHDDRSQCNGDLKLIHAPETPQKATMETKRRLQPENRVKTTENTQERSIMNTLTMLHDYEKKIKQDPQSLERAEALESLLEICASLLKQQRLEELAGVLRPFGEEAVSSRETAIWLTKSLMNAKSKIPIDHRK >KJB21874 pep chromosome:Graimondii2_0_v6:4:1376398:1382618:1 gene:B456_004G018800 transcript:KJB21874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek6 [Source:Projected from Arabidopsis thaliana (AT3G20860) UniProtKB/Swiss-Prot;Acc:Q9LT35] MEEKDQETMSKMDDYEVIEQIGRGAFGAAFLVLHKAEKKKYVLKKIRLAKQTEKFKRTALQEMNLMAKLNNPYIIEHKDGWVEKESYVCIVTNYCEGGDMGEIIKKSRGTFFPEKKLCKWLTQLLVAVDYLHSNRVLHRDLKCSNIFLTEHNDIRLGDFGLAKLLNQEDLTSTVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAARQPAFRAPDMAGLVNKINRSSISPLPTMYSSALKQLIRTMLRKNPEHRPTNLSPVFLPVKSEASVKGKLKGSPSPHKYNTMDPQSERPIPSNESGTSRIILECTSSNLHKQEFTSEPSQPGKHDDRSQCNGDLKLIHAPETPQKATMETKRRLQPENRVKTTENTQERSIMNTLTMLHDYEKKIKQDPQSLERAEALESLLEICASLLKQQRLEELAGVLRPFGEEAVSSRETAIWLTKSLMNAKSKIPIDHRK >KJB25110 pep chromosome:Graimondii2_0_v6:4:48135079:48136362:-1 gene:B456_004G176700 transcript:KJB25110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSKFSTSRERATNPLVWCGAIICTILTIAVIIGGIVTFIGYLVIHPRVLYVSVMDAHLDHIQIDYEGILEIQVTILIRAQNGNEKAHASFSDSSYSLSLNREVVAQLVAPPFEVGKNSSVDFNYVVPSSPIPLRPDQVEDVDAGLKKDLIIFDLKGSSRVRWRIGSLGSVRYLCRLDCQLRFHPLNGTYIPSRCSSKAK >KJB25530 pep chromosome:Graimondii2_0_v6:4:51678677:51680805:1 gene:B456_004G196400 transcript:KJB25530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGDSKETFYVGPLADGLNQWPLEEDLPSWRSTMETYHQKVLSAGTKLLSLIALSLKLDEDFFGKVGALNEPLGFIRLLHYPGSGDLDSSSEEVYGASAHSDYGMITLLMTDGVPGLQICREKSKQPQVWEDVPSISGAFVVNIGDMLERWTNCLFRSTLHRVLPPRQERYSVASFMEPSKDCIVECLGSCCSEACPPRFPPIKASDYLEERLRLTYVK >KJB25533 pep chromosome:Graimondii2_0_v6:4:51677930:51680215:1 gene:B456_004G196400 transcript:KJB25533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNALQLPIIDLASPDRFSTANSIRQACIDHGFFYLVNHGVDEELVKKVFEQSSNFFSFPIEEKMKLAIKNHRGYTALYAEKLDTTLTTKGDSKETFYVGPLADGLNQWPLEEDLPSWRSTMETYHQKVLSAGTKLLSLIALSLKLDEDFFGKVGALNEPLGFIRLLHYPGSGDLDSSSEEVYGASAHSDYGMITLLMTDGVPGLQICREKSKQPQVWEDVPSISGAFVVNIGDMLERWTNCLFRSTLHRVLPPRQERYSVMIHPFAVYA >KJB25535 pep chromosome:Graimondii2_0_v6:4:51677930:51681097:1 gene:B456_004G196400 transcript:KJB25535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNALQLPIIDLASPDRFSTANSIRQQACIDHGFFYLVNHGVDEELVKKVFEQSSNFFSFPIEEKMKLAIKNHRGYTALYAEKLDTTLTTKGDSKETFYVGPLADGLNQWPLEEDLPSWRSTMETYHQKVLSAGTKLLSLIALSLKLDEDFFGKVGALNEPLGFIRLLHYPGSGDLDSSSEEVYGASAHSDYGMITLLMTDGVPGLQICREKSKQPQVWEDVPSISGAFVVNIGDMLERWTNCLFRSTLHRVLPPRQERYSVASFMEPSKDCIVECLGSCCSEACPPRFPPIKASDYLEERLRLTYVK >KJB25532 pep chromosome:Graimondii2_0_v6:4:51677930:51679986:1 gene:B456_004G196400 transcript:KJB25532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNALQLPIIDLASPDRFSTANSIRQACIDHGFFYLVNHGVDEELVKKVFEQSSNFFSFPIEEKMKLAIKNHRGYTALYAEKLDTTLTTKGDSKETFYVGPLADGLNQWPLEEDLPSWRSTMETYHQKVLSAGTKLLSLIALSLKLDEDFFGKVGALNEPLGFIRLLHYPGSGDLDSSSEEVYGASAHSDYGMITLLMTDGVPGLQICREKSKQPQVWEDVPSISG >KJB25536 pep chromosome:Graimondii2_0_v6:4:51677930:51681097:1 gene:B456_004G196400 transcript:KJB25536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNALQLPIIDLASPDRFSTANSIRQACIDHGFFYLVNHGVDEELVKKVFEQSSNFFSFPIEEKMKLAIKNHRGYTALYAEKLDTTLTTKGDSKETFYVGPLADGLNQWPLEEDLPSWRSTMETYHQKVLSAGTKLLSLIALSLKLDEDFFGKVGALNEPLGFIRLLHYPGSGDLDSSSEEVYGASAHSDYGMITLLMTDGVPGLQQICREKSKQPQVWEDVPSISGAFVVNIGDMLERWTNCLFRSTLHRVLPPRQERYSVASFMEPSKDCIVECLGSCCSEACPPRFPPIKASDYLEERLRLTYVK >KJB25537 pep chromosome:Graimondii2_0_v6:4:51677930:51681097:1 gene:B456_004G196400 transcript:KJB25537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNALQLPIIDLASPDRFSTANSIRQACIDHGFFYLVNHGVDEELVKKVFEQSSNFFSFPIEEKMKLAIKNHRGYTALYAEKLDTTLTTKGDSKETFYVGPLADGLNQWPLEEDLPSWRSTMETYHQKVLSAGTKLLSLIALSLKLDEDFFGKVGALNEPLGFIRLLHYPGSGDLDSSSEEVYGASAHSDYGMITLLMTDGVPGLQICREKSKQPQVWEDVPSISGAFVVNIGDMLERWTNCLFRSTLHRVLPPRQERYSVMIHPFAVYA >KJB25531 pep chromosome:Graimondii2_0_v6:4:51676658:51681151:1 gene:B456_004G196400 transcript:KJB25531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNALQLPIIDLASPDRFSTANSIRQACIDHGFFYLVNHGVDEELVKKVFEQSSNFFSFPIEEKMKLAIKNHRGYTALYAEKLDTTLTTKGDSKETFYVGPLADGLNQWPLEEDLPSWRSTMETYHQKVLSAGTKLLSLIALSLKLDEDFFGKVGALNEPLGFIRLLHYPGSGDLDSSSEEVYGASAHSDYGMITLLMTDGVPGLQICREKSKQPQVWEDVPSISGAFVVNIGDMLERWTNCLFRSTLHRVLPPRQERYSVASFMEPSKDCIVECLGSCCSEACPPRFPPIKASDYLEERLRLTYVK >KJB25534 pep chromosome:Graimondii2_0_v6:4:51677930:51681097:1 gene:B456_004G196400 transcript:KJB25534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNALQLPIIDLASPDRFSTANSIRQACIDHGFFYLVNHGVDEELVKKVFEQSSNFFSFPIEEKMKLAIKNHRGYTALYAEKLDTTLTTKGDSKETFYVGPLADGLNQWPLEEDLPSWRSTMETYHQKVLSAGTKLLSLIALSLKLDEDFFGKVGALNEPLGFIRLLHYPGSGDLDSSSEEVYGASAHSDYGMITLLMTDGVPGLQICREKSKQPQVWEDVPSISGAFVVNIGDMLERWTNCLFRSTLHRVLPPRQERYSVASFMEPSKDCIVECLGSCCSEACPPRY >KJB24470 pep chromosome:Graimondii2_0_v6:4:41391052:41393623:1 gene:B456_004G146900 transcript:KJB24470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKVVVAGVSIILVVGVAIGVVTTINHSRDAKGKLSPEMKVATSICAPTHYPDTCQKTFDSMNSTDPKEFVKKAIYAAEEEVKKFLNFSNSKIAEAKDNGLTKMALNDCKDMMQYAIDSLEATYADVDGSDLHNIDDRINDFRTWLSAVISYQQSCLDGFEHDRNLKETMEEAIVASSEHAANALTIVTKLIEILTKLGSELASPDTRRLFSVEETNYPSWFSTTDRKLIAKIDNSNLKPNAVVAKDGSGQFKTIAEALAAAPKNSINRHIIYIKAGIYDEYITVDKQYTNILIFGDGPRRTIVAGRKGVKDGGGITTWQTATFSAIGNGFIAKSMGFQNNAGPEKHQAVALRIQSDKSAFFNCRMDGYQDTLYNHANRQFFRNCVISGTVDFIFGDSPTVIQNSLLIVRRPMEGQSNMVTAQGKTRIDENTGTVIQNCRIVPEQKLFIDRFKFPTYLGRPWKPYSTTIIMESTLGDFIRREGWMPFATQNHEDTLYYAEYNNRGPGANLDARVNWKGYHKIDKATAMKFTVEAFLHSKENWLPSTGIPFTAGLRY >KJB24821 pep chromosome:Graimondii2_0_v6:4:45400705:45403288:-1 gene:B456_004G162800 transcript:KJB24821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWRLPISASQRGKLISSGYTSLSSLSSISSSDLARELKVSESEAMNILKVASHSRGSETSNASCSIVEVLDTFQWWAGAQSAWDMLHDEESLMHITTSSADLDNILGGGIHCKEVTEIGGVPGIGKTQLGIQIAVNVQIPNDFGGLGGKAIYIDTEGSFMVERAFQIAEACIEDMSEYNRFLRKDFQECKIKMLPQDILENIFYFRICSYTEQIALINYLDKFLSEHKDVKVIVVDSVTFHFRQDFDDMALRTRVLSGMALKLMNLAKRFSLAVVLLNQVTTKHTEASFQLALALGDSWSHTCTNRIVLYWNGNERYAYIDKSPSLRSASAAYSVTARGIRNSSSSCKRIKMM >KJB24822 pep chromosome:Graimondii2_0_v6:4:45400705:45403288:-1 gene:B456_004G162800 transcript:KJB24822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWRLPISASQRGKLISSGYTSLSSLSSISSSDLARELKVSESEAMNILKVASHSRGSETSNASCSIVEGAQSAWDMLHDEESLMHITTSSADLDNILGGGIHCKEVTEIGWSSLSLIVNSVLKYKLTTAFSSESIIFMLIGGVPGIGKTQLGIQIAVNVQIPNDFGGLGGKAIYIDTEGSFMVERAFQIAEACIEDMSEYNRFLRKDFQECKIKMLPQDILENIFYFRICSYTEQIALINYLDKFLSEHKDVKVIVVDSVTFHFRQDFDDMALRTRVLSGMALKLMNLAKRFSLAVVLLNQVTTKHTEASFQLALALGDSWSHTCTNRIVLYWNGNERYAYIDKSPSLRSASAAYSVTARGIRNSSSSCKRIKMM >KJB24823 pep chromosome:Graimondii2_0_v6:4:45400705:45403441:-1 gene:B456_004G162800 transcript:KJB24823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWRLPISASQRGKLISSGYTSLSSLSSISSSDLARELKVSESEAMNILKVASHSRGSETSNASCSIVEGAQSAWDMLHDEESLMHITTSSADLDNILGGGIHCKEVTEIGGVPGIGKTQLGIQIAVNVQIPNDFGGLGGKAIYIDTEGSFMVERAFQIAEACIEDMSEYNRFLRKDFQECKIKMLPQDILENIFYFRICSYTEQIALINYLDKFLSEHKDVKVIVVDSVTFHFRQDFDDMALRTRVLSGMALKLMNLAKRFSLAVVLLNQVTTKHTEASFQLALALGDSWSHTCTNRIVLYWNGNERYAYIDKSPSLRSASAAYSVTARGIRNSSSSCKRIKMM >KJB25778 pep chromosome:Graimondii2_0_v6:4:54152578:54154650:-1 gene:B456_004G208800 transcript:KJB25778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPSLFGAPLNSPVICSLNTSNITTSLASCASSTHMKVVPDRWEFANDCFRRGEKGLLRDIQRRKMTPTTTAPAATVTVAAIPCKVSPSNSGDEQVISSNSPPVATVLHRTTSSTTPELLEENERLRKENMQLNHELTQLKGLCNNILTLMTNYASGQSENNSNSAEGKALDLLPAKNSGTKAGGVGPKEAVDMEEDVTPKLFGVSIGLKRVRREDSVEEQNNNQELQQEIECEPGVKAEPLDGKSDDQDSSWLELGK >KJB25779 pep chromosome:Graimondii2_0_v6:4:54152578:54154707:-1 gene:B456_004G208800 transcript:KJB25779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPADQPSESTASGAADSQRSLPTPFLTKTYQLVDDPSVNDMISWNEDGSTFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFRRGEKGLLRDIQRRKMTPTTTAPAATVTVAAIPCKVSPSNSGDEQVISSNSPPVATVLHRTTSSTTPELLEENERLRKENMQLNHELTQLKGLCNNILTLMTNYASGQSENNSNSAEGKALDLLPAKNSGTKAGGVGPKEAVDMEEDVTPKLFGVSIGLKRVRREDSVEEQNNNQELQQEIECEPGVKAEPLDGKSDDQDSSWLELGK >KJB24281 pep chromosome:Graimondii2_0_v6:4:38268173:38271431:1 gene:B456_004G136900 transcript:KJB24281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSHSLSHRPILAPSLSKFRVPNRPPTFSLSRIFEHNPRLTKSKWKISCFRHEGFSPENPKPEYIEHFLPEELVQTELYKSSAHRRDWKSTLKEAADAVSRAVGSGWTVPWTAETILQVMLLWVAAFWFIGSWMIPFAAHMAGFSKESLTFKGQALFSLVTDVTEGLAGIAILHRCLSHFHPLPSDWFKFSLRGKWLFDVALGCLMFPFVNQLSQFNLTLLPLMPSTPVTLSSVEQSILARDPVAMALYAIVVSICAPVWEEIVFRGFLLPSLTRYMPVWSAILVSN >KJB24283 pep chromosome:Graimondii2_0_v6:4:38268229:38271402:1 gene:B456_004G136900 transcript:KJB24283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSHSLSHRPILAPSLSKFRVPNRPPTFSLSRIFEHNPRLTKSKWKISCFRHEGFSPENPKPEYIEHFLPEELVQTELYKSSAHRRDWKSTLKEAADAVSRAVGSGWTVPWTAETILQVMLLWVAAFWFIGSWMIPFAAHMAGFSKESLTFKGQALFSLVTDVTEGLAGIAILHRCLSHFHPLPSDWFKFSLRGKWLFDVALGCLMFPFVNQLSQFNLTLLPLMPSTPVTLSSVEQSILARDPVAMALYAIVVSICAPVWEEIVFRGFLLPSLTRYMPVWSAILVSSVAFALAHFNVQRMLPLIFLGMVMGVVFARSRNLLPSMLLHSLWNGFVFLDLMR >KJB24280 pep chromosome:Graimondii2_0_v6:4:38268173:38271402:1 gene:B456_004G136900 transcript:KJB24280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSHSLSHRPILAPSLSKFRVPNRPPTFSLSRIFEHNPRLTKSAADAVSRAVGSGWTVPWTAETILQVMLLWVAAFWFIGSWMIPFAAHMAGFSKESLTFKGQALFSLVTDVTEGLAGIAILHRCLSHFHPLPSDWFKFSLRGKWLFDVALGCLMFPFVNQLSQFNLTLLPLMPSTPVTLSSVEQSILARDPVAMALYAIVVSICAPVWEEIVFRGFLLPSLTRYMPVWSAILVSN >KJB24284 pep chromosome:Graimondii2_0_v6:4:38269286:38271402:1 gene:B456_004G136900 transcript:KJB24284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLWVAAFWFIGSWMIPFAAHMAGFSKESLTFKGQALFSLVTDVTEGLAGIAILHRCLSHFHPLPSDWFKFSLRGKWLFDVALGCLMFPFVNQLSQFNLTLLPLMPSTPVTLSSVEQSILARDPVAMALYAIVVSICAPVWEEIVFRGFLLPSLTRYMPVWSAILVSN >KJB24282 pep chromosome:Graimondii2_0_v6:4:38268229:38271402:1 gene:B456_004G136900 transcript:KJB24282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSHSLSHRPILAPSLSKFRVPNRPPTFSLSRIFEHNPRLTKSAADAVSRAVGSGWTVPWTAETILQVMLLWVAAFWFIGSWMIPFAAHMAGFSKESLTFKGQALFSLVTDVTEGLAGIAILHRCLSHFHPLPSDWFKFSLRGKWLFDVALGCLMFPFVNQLSQFNLTLLPLMPSTPVTLSSVEQSILARDPVAMALYAIVVSICAPVWEEIVFRGFLLPSLTRYMPVWSAILVSSVAFALAHFNVQRMLPLIFLGMVMGVVFARSRNLLPSMLLHSLWNGFVFLDLMR >KJB24843 pep chromosome:Graimondii2_0_v6:4:45622766:45623290:-1 gene:B456_004G1641001 transcript:KJB24843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSLTNDSLLGILNIIKFLFSITILLVGILLSENAALTDCDRFFYKPLIWFGVFLLLVSLTGFIGACFYGHLPRMLWVYQVLMFLLIVAGIIFTIFAFAVTNKGGGRLLPGAGYKVYRLGDYSNWLQNRLNNNRNWNKIKTCLVESKVCSDLYSKYWDVSFNKFHQKPLNPIQ >KJB22859 pep chromosome:Graimondii2_0_v6:4:7354352:7355329:-1 gene:B456_004G069900 transcript:KJB22859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAAALLFLLLAAPAAFAEQYTVGDSSGWTSTGDYQSWVQGKTFTVGDTLLFTYGGSHSVEEVSKSDYDNCNTGNAIKSYSDGNTVITLSNPGAMYFICPTIGHCAGGMKLAINVVAASGNSPSTPSTPGGTTTSPPSTPSGAPSTVNYGFMVALLGAVVGIMC >KJB22860 pep chromosome:Graimondii2_0_v6:4:7354352:7355437:-1 gene:B456_004G069900 transcript:KJB22860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAAALLFLLLAAPAAFAEQYTVGDSSGWTSTGDYQSWVQGKTFTVGDTLLFTYGGSHSVEEVSKSDYDNCNTGNAIKSYSDGNTVITLSNPGAMYFICPTIGHCAGGMKLAINVVAASGNSPSTPSTPSGSTTPSGTPPSGGTTTSPPSTPSGAPSTVNYGFMVALLGAVVGIMC >KJB26895 pep chromosome:Graimondii2_0_v6:4:60082397:60092032:1 gene:B456_004G265100 transcript:KJB26895 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MEADEIAEELQNIEFEIHDVEEQIRELIEKQEKLYERRSELQVLLETCPIDVKDGSAPTNVQAEDWSRSFDWDSQAEDIRFNVFGISSYRPNQREIINAVMSGRDVLVIMAAGGGKSLCYQLPAILRQGIALVVSPLLSLIQDQVMGLVASGIPARMLTSTTSKEDEKFIYKALEKGEEGLKLLYVTPEKVSKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKNLGILKTQFPNVPVVALTATATMKVQNDLIEMLHIPKCVKFVSTVNRPNLFYMVREKSSVGKIVVDEIAKYIKESYPNKESGIVYCFSRKECEQVASELCERGISADYYHADMDVNAREKVHMRWSKNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLLFFRSADMPRQSTMVFHENSGLQNLYDMVQYCQSKRQCRRSAFFRHFAEPLQECNGMCDNCAFSSEIKEVDASGHSKLLISLVREMQEKDQRVTMLQLVDRVKNKQKQLDFELKREEMEQLVVQLILDRVLKEEFQHTAYATNSYVTVGPRANQVMLGKKIIKMDVTSTSKDVDGYRKSSKHGVTLGLEFKLDKLRKELASHDKGIFPHSVLSGQQISSISAHLPNSIEELEKIIGKLKTEKYGGRILEEVKKYGNAEHPNNEVLNEEESSEIRPPRKKLKKANKQVIMVDSSNDESD >KJB26897 pep chromosome:Graimondii2_0_v6:4:60085467:60092010:1 gene:B456_004G265100 transcript:KJB26897 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MDVNAREKVHMRWSKNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLLFFRSADMPRQSTMVFHENSGLQNLYDMVQYCQSKRQCRRSAFFRHFAEPLQECNGMCDNCAFSSEIKEVDASGHSKLLISLVREMQEKDQRVTMLQLVDRVKNKQKQLDFELKREEMEQLVVQLILDRVLKEEFQHTAYATNSYVTVGPRANQVMLGKKIIKMDVTSTSKDVDGYRKSSKHGVTLGLEFKLDKLRKELASHDKGIFPHSVLSGQQISSISAHLPNSIEELEKIIGKLKTEKYGGRILEEVKKYGNAEHPNNEVLNEEESSEIRPPRKKLKKANKQVIMVDSSNDESD >KJB26896 pep chromosome:Graimondii2_0_v6:4:60082905:60092010:1 gene:B456_004G265100 transcript:KJB26896 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MEADEIAEELQNIEFEIHDVEEQIRELIEKQEKLYERRSELQVLLETCPIDVKDGSAPTNVQAEDWSRSFDWDSQAEDIRFNVFGISSYRPNQREIINAVMSGRDVLVIMAAGGGKSLCYQLPAILRQGIALVVSPLLSLIQDQVMGLVASGIPARMLTSTTSKEDEKFIYKALEKGEEGLKLLYVTPEKVSKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKNLGILKTQFPNVPVVALTATATMKVQNDLIEMLHIPKCVKFVSTVNRPNLFYMVREKSSVGKIVVDEIAKYIKESYPNKESGIVYCFSRKECEQVASELCERGISADYYHADMDVNAREKVHMRWSKNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLLFFRSADMPRQSTMVFHENSGLQNLYDMVQYCQSKRQCRRSAFFRHFAEPLQECNGMCDNCAFSSEIKEVDASGHSKLLISLVREMQEKDQRVTMLQLVDRVKNKQKQLDFELKREEMEQLVVQLILDRVLKEEFQHTAYATNSYVTVGPRANQVMLGV >KJB25036 pep chromosome:Graimondii2_0_v6:4:47569220:47570691:1 gene:B456_004G173900 transcript:KJB25036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRTEKEETEFKVPETLTLCVNNCGVTGNPATNNMCQKCFSATTAATSSSSSSTNNTATSATDDKSSRSTPTRSQDNRSDSAPPTTAATTATATATTNSPMTASNRSGYDTAEKKSVNRCSGCRKRVGLTGFRCRCGELFCSDHRYSDRHDCSYDYKAAGREAIARENPVVKAAKIIRV >KJB26642 pep chromosome:Graimondii2_0_v6:4:59002939:59003868:1 gene:B456_004G252600 transcript:KJB26642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKFVVSWMIIFLGFSYLLNSSAAVPVTRCFIPSSPCVQDVVVQDVMKLSGSEEGEALNNERMLLASTDYSGPGANKNHDPKTPGTG >KJB21446 pep chromosome:Graimondii2_0_v6:4:54577553:54577783:-1 gene:B456_004G2130002 transcript:KJB21446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALVSIGKDDGIKGYWKGNLPQVVRVVPYSAIQLFAYETY >KJB21729 pep chromosome:Graimondii2_0_v6:4:756245:758475:1 gene:B456_004G010700 transcript:KJB21729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEKSGEIAINMSETKASTKGKAPLLNSSKAFAVVEPPNRGAKRGIAIGDFFLRLCALGAALGAAISMGTADQLLPFTTQFLQFEAQYDDFDAFRYFVISLAMVTGYLLLSLPFSIVCIIRPLATAPRLFLVIFDSIMGGVTIAAGSAAAAIVYVAHTGNPNTNWLPICQQYGDYCQSASGAVIGSLIAGAVLFFIVILSAFALKRS >KJB22515 pep chromosome:Graimondii2_0_v6:4:4758580:4766065:-1 gene:B456_004G051900 transcript:KJB22515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAPSLSVSVECVNICKFTKGEGSGRYDCSMLSCAWKAPRVLTGFLASTANPSHSSPFGYARHGRRNRIKPAFDVGGWCSTDVSEFILLGKLYRSSVLHVGCKRWQLCSSSSFSSNTSNDISPESLWEGLKPAISYLSSNELELVHNALKLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTNIVTFQRIEEEFGPTVRRIVEGETKVSKLGKLKYKNENDSVQDVKADDLQQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPPHKQSSIAMETLQVFAPLAKLLGMYKIKSELENLSFMYTNPEDYAKVKRRIADLYKEHEKELMEANKILMKKIENDQFLELMTLKTEICAVCKEPYSMYKSVLKSKGSISEVNQIAQLRVIIKPKPSVGVGPLCSSQICYHVLGLVHGIWTPVPRTMKDYIATPKPNGYQSLHTNVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGRVFVTGLVGHAVPNGRNSRGKLVCLNNANIALRVGWLNAIREWQEEFVGNMSSREFVDTITRDLLCSRIFVFTPRGEIKNLPRGATVIDYAYMIHTDIGNKMVAAKVNGNLVSPTHVLANAEVVEIITYNALSSKSAFQRHKQWLQHAKTRSARHKIMKFLREQAALSAVEITTDRVNDFIAYSEEDSEMEDLSHSSRQNRPLWEKILKNIVDFSTPGRSSEDALTAKNGSIWVPKVNGKHNKQVQDVGSKANGYLFSLGNGAAKMIPANNPPHKEVLPGLESWQASKIASWHNLEGHSIQWFSVVCIDRRGMMAEVTTALAAVGITICACVAEIDRGRGMAVMLFHVEANLEILVNACSRLDLILGVLGWSVGCSWPSTIENNQLQNC >KJB22513 pep chromosome:Graimondii2_0_v6:4:4759272:4765850:-1 gene:B456_004G051900 transcript:KJB22513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAPSLSVSVECVNICKFTKGEGSGRYDCSMLSCAWKAPRVLTGFLASTANPSHSSPFGYARHGRRNRIKPAFDVGGWCSTDVSEFILLGKLYRSSVLHVGCKRWQLCSSSSFSSNTSNDISPESLWEGLKPAISYLSSNELELVHNALKLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTNIVTFQRIEEEFGPTVRRIVEGETKVSKLGKLKYKNENDSVQDVKADDLQQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPPHKQSSIAMETLQVFAPLAKLLGMYKIKSELENLSFMYTNPEDYAKVKRRIADLYKEHEKELMEANKILMKKIENDQFLELMTLKTEICAVCKEPYSMYKSVLKSKGSISEVNQIAQLRVIIKPKPSVGVGPLCSSQICYHVLGLVHGIWTPVPRTMKDYIATPKPNGYQSLHTNVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGRVFVTGLVGHAVPNGRNSRGKLVCLNNANIALRVGWLNAIREWQEEFVGNMSSREFVDTITRDLLCSRIFVFTPRGEIKNLPRGATVIDYAYMIHTDIGNKMVAAKVNGNLVSPTHVLANAEVVEIITYNALSSKSAFQRHKQWLQHAKTRSARHKIMKFLREQAALSAVEITTDRVNDFIAYSEEDSEMEDLSHSSRQNRPLWEKILKNIVDFSTPGRSSEDALTAKNGSIWVPKVNGKHNKQVQDVGSKANGYLFSLGNGAAKMIPANNPPHKEVLPGLESWQASKIASWHNLEGHSIQWFSVVCIDRRGMMAEVTTALAAVGITICACVVSWFKFNADYVNSLIVL >KJB22514 pep chromosome:Graimondii2_0_v6:4:4758580:4765938:-1 gene:B456_004G051900 transcript:KJB22514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAPSLSVSVECVNICKFTKGEGSGRYDCSMLSCAWKAPRVLTGFLASTANPSHSSPFGYARHGRRNRIKPAFDVGGWCSTDVSEFILLGKLYRSSVLHVGCKRWQLCSSSSFSSNTSNDISPESLWEGLKPAISYLSSNELELVHNALKLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTNIVTFQRIEEEFGPTVRRIVEGETKVSKLGKLKYKNENDSVQDVKADDLQQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPPHKQSSIAMETLQVFAPLAKLLGMYKIKSELENLSFMYTNPEDYAKVKRRIADLYKEHEKELMEANKILMKKIENDQFLELMTLKTEICAVCKEPYSMYKSVLKSKGSISEVNQIAQLRVIIKPKPSVGVGPLCSSQICYHVLGLVHGIWTPVPRTMKDYIATPKPNGYQSLHTNVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGRVFVTGLVGHAVPNGRNSRGKLVCLNNANIALRVGWLNAIREWQEEFVGNMSSREFVDTITRDLLCSRIFVFTPRGEIKNLPRGATVIDYAYMIHTDIGNKMVAAKVNGNLVSPTHVLANAEVVEIITYNALSSKSAFQRHKQWLQHAKTRSARHKIMKFLREQAALSAVEITTDRVNDFIAYSEEDSEMEDLSHSSRQNRPLWEKILKNIVDFSTPGRSSEDALTAKNGSIWVPKVNGKHNKQVQDVGSKANGYLFSLGNGAAKMIPANNPPHKEVLPGLESWQASKIASWHNLEGHSIQWFSVVCIDRRGMMAEVTTALAAVGITICACVAEIDRGRGMAVMLFHVEANLEILVNACSRLDLILGVLGWSVGCSWPSTIENNQLQNC >KJB23825 pep chromosome:Graimondii2_0_v6:4:28192321:28195291:-1 gene:B456_004G116700 transcript:KJB23825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGENPVSTELQEYAEPVPQLLKLISSNEVAGFDSIMEGETPVFPNPTNSSQPNGHQRKISISISMPSSPTGATSAGTKNVLFVQDNAKGFKNGVPESCVGSKAKPKGVKFLSQPMPKGSVFGEGANMNQNPNVKKFKDKRFDSFKTWSGKLERQLTNLRGRQQESESEDDSVRPSENEALPVDRYFDALEGPELETLRASEEIVLPDDKTWPFLLRYPISSFGICLGVSSQAIMWKTLATATSTNFLHISLTVNLILWWISVALVAIVASIYLTKVILYFEAVRREYYHPIRVNFFFAPWISLLFLAIGVPPSVASSLPVALWYVLMTPFLCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWANIQGSFDYGSRIAYFIALFLYFSLAVRINFFRGFKFSLAWWAYTFPMTGAAIATMRYSSAVTNVVTQTLSVILSVVATLTVTALLVTTILHAFVQRDLFPNDIAIAISDRKPKSHRRWFNLIRHGSSEHNADIDTFLKFANGDTKDIEAGLKISSAEAK >KJB23828 pep chromosome:Graimondii2_0_v6:4:28192838:28194966:-1 gene:B456_004G116700 transcript:KJB23828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGENPVSTELQEYAEPVPQLLKLISSNEVAGFDSIMQEGETPVFPNPTNSSQPNGHQRKISISISMPSSPTGATSAGTKNVLFVQDNAKGFKNGVPESCVGSKAKPKGVKFLSQPMPKGSVFGEGANMNQNPNVKKFKDKRFDSFKTWSGKLERQLTNLRGRQQESESEDDSVRPSENEALPVDRYFDALEGPELETLRASEEIVLPDDKTWPFLLRYPISSFGICLGVSSQAIMWKTLATATSTNFLHISLTVNLILWWISVALVAIVASIYLTKVILYFEAVRREYYHPIRVNFFFAPWISLLFLAIGVPPSVASSLPVALWYVLMTPFLCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWANIQGSFDYGSRIAYFIALFLYFSLAVRINFFRGFKFSLAWWAYTFPMTGAAIATMRYSSAVTNVVTQTLSVILSVVATLTVTALLVTTILHAFVQRDLFPNDIAIAISDRKPKSHRRWFNLIRHGSSEHNADIDTFLKFANGDTKDIEAGLKISSAEAK >KJB23827 pep chromosome:Graimondii2_0_v6:4:28192838:28194966:-1 gene:B456_004G116700 transcript:KJB23827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGENPVSTELQEYAEPVPQLLKLISSNEVAGFDSIMQEGETPVFPNPTNSSQPNGHQRKISISISMPSSPTGATSAGTKNVLFVQDNAKGFKNGVPESCVGSKAKPKGVKFLSQPMPKGSVFGEGANMNQNPNVKKFKDKRFDSFKTWSGKLERQLTNLRGRQQESESEDDSVRPSENEALPVDRYFDALEGPELETLRASEEIVLPDDKTWPFLLRYPISSFGICLGVSSQAIMWKTLATATSTNFLHISLTVNLILWWISVALVAIVASIYLTKVILYFEAVRREYYHPIRVNFFFAPWISLLFLAIGVPPSVASSLPVALWYVLMTPFLCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWANIQGSFDYGSRIAYFIALFLYFSLAVRINFFRGFKFSLAWWAYTFPMTGAAIATMRYSSAVTNVVTQTLSVILSVVATLTVTALLVTTILHAFVQRDLFPNDIAIAISDRKPKSHRRWFNLIRHGSSEHNADIDTFLKFANGDTKDIEAGLKISSAEAK >KJB23826 pep chromosome:Graimondii2_0_v6:4:28192321:28195291:-1 gene:B456_004G116700 transcript:KJB23826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGENPVSTELQEYAEPVPQLLKLISSNEVAGFDSIMEGETPVFPNPTNSSQPNGHQRKISISISMPSSPTGATSAGTKNVLFVQDNAKGFKNGVPESCVGSKAKPKGVKFLSQPMPKGSVFGEGANMNQNPNVKKFKDKRFDSFKTWSGKLERQLTNLRGRQQESESEDDSVRPSENEALPVDRYFDALEGPELETLRASEEIVLPDDKTWPFLLRYPISSFGICLGVSSQAIMWKTLATATSTNFLHISLTVNLILWWISVALVAIVASIYLTKVILYFEAVRREYYHPIRVNFFFAPWISLLFLAIGVPPSVASSLPVALWYVLMTPFLCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWANIQGSFDYGSRIAYFIALFLYFSLAVRINFFRGFKFSLAWWAYTFPMTGAAIATMRYSSAVTNVVTQTLSVILSVVATLTVTALLVTTILHAFVQRDLFPNDIAIAISDRKPKSHRRWFNLIRHGSSEHNADIDTFLKFANGDTKDIEAGLKISSAEAK >KJB23829 pep chromosome:Graimondii2_0_v6:4:28192434:28195168:-1 gene:B456_004G116700 transcript:KJB23829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGENPVSTELQEYAEPVPQLLKLISSNEVAGFDSIMEGETPVFPNPTNSSQPNGHQRKISISISMPSSPTGATSAGTKNVLFVQDNAKGFKNGVPESCVGSKAKPKGVKFLSQPMPKGSVFGEGANMNQNPNVKKFKDKRFDSFKTWSGKLERQLTNLRGRQQESESEDDSVRPSENEALPVDRYFDALEGPELETLRASEEIVLPDDKTWPFLLRYPISSFGICLGVSSQAIMWKTLATATSTNFLHISLTVNLILWWISVALVAIVASIYLTKVILYFEAVRREYYHPIRVNFFFAPWISLLFLAIGVPPSVASSLPVALWYVLMTPFLCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWANIQGSFDYGSRIAYFIALFLYFSLAVRINFFRGFK >KJB23528 pep chromosome:Graimondii2_0_v6:4:19493408:19501749:-1 gene:B456_004G103100 transcript:KJB23528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin domain containing protein [Source: Projected from Oryza sativa (Os08g0258200)] MRFLSFVGNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGARNSPLHYSAAQGHHEIVSLLLESGVDINLRNYRGQTALMQACQYGHWEVVQILILFGANIHRADYLNGGTALHLAALNGHSRCIRLLLADYIPSIPDCWNILTNKSKDKESTSDFDESALREVINKPADGGVTALHMAALNGHVESVQLLLDLGASVAEVTVEDGTTIDLIGAGSTALHYAACGGNAQCCQILIARGASITTTTVNGWSPLMVARSWRKSWLEEILSSQPEGQSQALPSPFLSLPLMSIIRIARECGWRTGDSLFSCQDPCAVCLDRQCTVAAEGCDHEFCTHCALYLCSTNHTTNVAKGPTGSIACPLCRHGIVSFVKLPGAKPIVKAVARTSLSLSFCTCSSEMLEPTSMTTPLCKPVVHCARISPPGSSFCSISGQSSLSMKIHSSLCIGVPNSSPLTRCPADQNLRGLRRSATEGRRSWFSTLNQCVTTGNGC >KJB25763 pep chromosome:Graimondii2_0_v6:4:53887433:53892251:-1 gene:B456_004G207600 transcript:KJB25763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKWWLKSRIVRLNIFKRKLDLLQSAQLQALVQELELQAFLQALNFSLCTTAKTFPPGTTSPSPTPANVAKKISPLSTTASFSSASSTTSLRPAGMTTSFTAAGSKSSFTFGGTTAKFTSVSTTTSFTSSGMTTSFATPWSSGLLSNIQTPVLFGIQSSVAVNNNASDDADEENELPQPSSPSVKKSEEKGIVIVHEVKCKLYVKSTDPADKDSWKDKGTGQLSIKRKEGGKGLTKCFALSRDQDECTKEFRCCNISYIGQWWE >KJB25765 pep chromosome:Graimondii2_0_v6:4:53888150:53890373:-1 gene:B456_004G207600 transcript:KJB25765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKWWLKSRIVRLNIFKRKLDLLQSAQLQALVQELELQAFLQALNFSLCTTAKTFPPGTTSPSPTPANVAKKISPLSTTASFSSASSTTSLRPAGMTTSFTAAGSKSSFTFGGTTAKFTSVSTTTSFTSSGMTTSFATPWSSGLLSNIQTPVLFGIQSSVAVNNNASDDADEENELPQPSSPSVKKSEEKGIVIVHEVKCKLYVKSTDPADKDSWKDKGTGQLSIKRKEGIGKGSKDSKPTIVVRNDVGKVLLNALLYPGIKTSAQKNSVVAIFHTSDNGGNNGNAVARTFLIRTKSEEDRTN >KJB25767 pep chromosome:Graimondii2_0_v6:4:53888214:53892055:-1 gene:B456_004G207600 transcript:KJB25767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAEINDSAFHNKRVIAGSPFDAQKAEPSQQKLTAKPALDPQRAELSWQHLKALNTQFASWVQSQLKNHPDELWQYGVQDYLTHALNIMEKFSDVVNWLKGNAVTGDSLSAAESYKNENKVVAEIKNSEAKYFQEKTGFTPISTTTSFTKTFPPGTTSPSPTPANVAKKISPLSTTASFSSASSTTSLRPAGMTTSFTAAGSKSSFTFGGTTAKFTSVSTTTSFTSSGMTTSFATPWSSGLLSNIQTPVLFGIQSSVAVNNNASDDADEENELPQPSSPSVKKSEEKGIVIVHEVKCKLYVKSTDPADKDSWKDKGTGQLSIKRKEGIGKGSKDSKPTIVVRNDVGKVLLNALLYPGIKTSAQKNSVVAIFHTSVIHMEVKYLDLFDIPFSNLGQWWE >KJB25766 pep chromosome:Graimondii2_0_v6:4:53887491:53892115:-1 gene:B456_004G207600 transcript:KJB25766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKWWLKSRIVRLNIFKRKLDLLQSAQLQALVQELELQAFLQALNFSLCTTAKTFPPGTTSPSPTPANVAKKISPLSTTASFSSASSTTSLRPAGMTTSFTAAGSKSSFTFGGTTAKFTSVSTTTSFTSSGMTTSFATPWSSGLLSNIQTPVLFGIQSSVAVNNNASDDADEENELPQPSSPSVKKSEEKGIVIVHEVKCKLYVKIKIHGKIKAQGSFQLNAKRVLARVQKIPSQQLLFEMMWERSY >KJB25768 pep chromosome:Graimondii2_0_v6:4:53887538:53892055:-1 gene:B456_004G207600 transcript:KJB25768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAEINDSAFHNKRVIAGSPFDAQKAEPSQQKLTAKPALDPQRAELSWQHLKALNTQFASWVQSQLKNHPDELWQYGVQDYLTHALNIMEKFSDVVNWLKGNAVTGDSLSAAESYKNENKVVAEIKNSEAKYFQEKTGFTPISTTTSFTKTFPPGTTSPSPTPANVAKKISPLSTTASFSSASSTTSLRPAGMTTSFTAAGSKSSFTFGGTTAKFTSVSTTTSFTSSGMTTSFATPWSSGLLSNIQTPVLFGIQSSVAVNNNASDDADEENELPQPSSPSVKKSEEKGIVIVHEVKCKLYVKSTDPADKDSWKDKGTGQLSIKRKEGIGKGSKDSKPTIVVRNDVGKVLLNALLYPGIKTSAQKNSVVAIFHTSDNGGNNGNAVARTFLIRTKSEEDRTN >KJB25764 pep chromosome:Graimondii2_0_v6:4:53888901:53890373:-1 gene:B456_004G207600 transcript:KJB25764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKWWLKSRIVRLNIFKRKLDLLQSAQLQALVQELELQAFLQALNFSLCTTAKTFPPGTTSPSPTPANVAKKISPLSTTASFSSASSTTSLRPAGMTTSFTAAGSKSSFTFGGTTAKFTSVSTTTSFTSSGMTTSFATPWSSGLLSNIQTPVLFGIQSSVAVNNNASDDADEENELPQPSSPSVKKSEEKGIVIVHEVKCKLYVKSTDPADKDSWKDKGTGQLSIKRKEGGKGLTKCFALSRDQDECTKEFRCCNISYIGNTYGSQIPGSF >KJB22270 pep chromosome:Graimondii2_0_v6:4:3127595:3131792:-1 gene:B456_004G037800 transcript:KJB22270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSSRRPPQPHGPSPSHKQCLKFVATSMMSGFGKFVAIISAFLLSRILHHFLKPFSQPQITSDFLIGMVLATIPVVHETFGDVSVMNLDNIVDFGMILYTFVLGLEMDPYVIFKAPSRPAIVAYAGMLLTCILTCLITPWLHYGTKDTNMITLTISLSITLSGSGSHVLTRLITNLKIGKSDIGKLAVVAGVHSDMITMFFYSMLFVFLPLEKITKEEIKHVVKMILGILIQSIIAAKVSPFFFNWINNENPEGKALKGSHLVLSMAFMAVICSCATWFGYNPFFSAFLAGLFLPTEGRISKWAISKINYLLSLLFYPLLFFWVGLKIDFSDFQGGQIGTWGRFFVLIFIVVVGKVFGTICGGVLLGFRWPELAAIGMLLTAKGHFHVYLAVHAFRRERIEMPTCISIVIMIFLSIVHTPFIVKHIIRRARALVPVHRMNLQCLDPKTELKILLCVHGSHNLPSAINLLAISRGRPNPGLNVYVTDMVELSDKLASTLEKEEGTNNMVLTDKSVIEMREQITQAFQAYVDEDGTGIMLGRSHALATFNGMSRELIVLAEDFMVSLILLPFHKRLNPDGTHDSGSPGFRYVNRKLLRHAPCSIGILVERGSGFADGISKSSECKVAIIFIGGKDDREALSYAGRVAWHPGVKLTVIRFLVDKNSENAPRRVSNRASTAEEEEEMKHDDESFADFYEKYVAGGKVAYMEKHLANSSETYTNLKSLKGQYTLIIVGRGGRVNTILTLGLNDWQQCPELGSVGDVLSGSGFECESSVLIIQQHRLKGQLDGLSDDFSIM >KJB23167 pep chromosome:Graimondii2_0_v6:4:10607740:10609740:1 gene:B456_004G084800 transcript:KJB23167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILSVLLLWSLIATDCIHYSASVFGVSAESMQCSFDSKRNSVPTILLLMQERLYSQGGLKAEGIFRINPENSQEEHVRDQLNRGIVPDNIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLQCNTEEESVDLVKQLKPTEAALLNWAVDLMADVVEEEESNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIMRTLQEREESATGGRCSPMSSHSCNGPTDEEFDSNREMETSCELKGPTSEYDNALYNSYSGDEDEVQSLGEIEECFLRQLDENNKTVTNSLFGGTS >KJB23165 pep chromosome:Graimondii2_0_v6:4:10607022:10609740:1 gene:B456_004G084800 transcript:KJB23165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLVMMTKGGGCGGGAKGGAKGGGGGGLKSCEEEEERQNQISVVALLLAALRKSMVSCRVDRRDEVMSSSTVHQMEIGWPTNVKHITHVTFDRFNGFLGLPVEFQVEIPGRVPSASASVFGVSAESMQCSFDSKRNSVPTILLLMQERLYSQGGLKAEGIFRINPENSQEEHVRDQLNRGIVPDNIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLQCNTEEESVDLVKQLKPTEAALLNWAVDLMADVVEEEESNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIMRTLQEREESATGGRCSPMSSHSCNGPTDEEFDSNREMETSCELKGPTSEYDNALYNSYSGDEDEVQSLGEIEECFLRQLDENNKTVTNSLFGGTS >KJB23166 pep chromosome:Graimondii2_0_v6:4:10607087:10609740:1 gene:B456_004G084800 transcript:KJB23166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLVMMTKGGGCGGGAKGGAKGGGGGGLKSCEEEEERQNQISVVALLLAALRKSMVSCRVDRRDEVMSSSTVHQMEIGWPTNVKHITHVTFDRFNGFLGLPVEFQVEIPGRVPSASVFGVSAESMQCSFDSKRNSVPTILLLMQERLYSQGGLKAEGIFRINPENSQEEHVRDQLNRGIVPDNIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLQCNTEEESVDLVKQLKPTEAALLNWAVDLMADVVEEEESNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIMRTLQEREESATGGRCSPMSSHSCNGPTDEEFDSNREMETSCELKGPTSEYDNALYNSYSGDEDEVQSLGEIEECFLRQLDENNKTVTNSLFGGTS >KJB26550 pep chromosome:Graimondii2_0_v6:4:58364837:58367902:1 gene:B456_004G246700 transcript:KJB26550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVFSVEEISDHFWPSSSSSATTNSASADDDNKSGSKMNRSASEWAFQQFIREEVDKEGEDEGVTEGDLKKKNGTASFNNGCLESNGNNNVLVDSDEYQAFLKNKLNLACAAVAMSRASFVKPQDSAVRADSGSQASDTPQSVSIATSKGAGDKNGNALSGFPSVVNGQKKIGAQVRPSTSGSSREMSEDDEVEGENETMENMDPADAKRVRRMLSNRESARRSRRRKQAHLTELETQVSQLRVENATLLKRLTDISQKYNESAVDNRVLKADVETLRAKVNESFDLLVLKLSFLLHREPIWISQRSNRLKFWLKS >KJB26549 pep chromosome:Graimondii2_0_v6:4:58364800:58368015:1 gene:B456_004G246700 transcript:KJB26549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVFSVEEISDHFWPSSSSSATTNSASADDDNKSGSKMNRSASEWAFQQFIREEVDKEGEDEGVTEGDLKKKNGTASFNNGCLESNGNNNVLVDSDEYQAFLKNKLNLACAAVAMSRASFVKPQDSAVRADSGSQASDTPQSVSIATSKGAGDKNGNALSGFPSVVNGQKKIGAQVRPSTSGSSREMSEDDEVEGENETMENMDPADAKRVRRMLSNRESARRSRRRKQAHLTELETQVSQLRVENATLLKRLTDISQKYNESAVDNRVLKADVETLRAKVKMAEDSVKRITGLSPVFHTSPEISTAGMSSFDGSPSDTSTEAAASVQDGPKHPLYQAAANKPISTHDLRTNNALADISSVENIQPKSEVSSVSGNKIGRTPSMQRVASLEHLQKRICGGISSCKPQLNGEK >KJB25381 pep chromosome:Graimondii2_0_v6:4:50360251:50365936:-1 gene:B456_004G188300 transcript:KJB25381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTRNDLSAWKDFPKGLRVLLLDEDTNSAAEIKSKLEAMDYIVYTFCNENEALSAVSSRPESFHVAIVEVCTNSNNGGFKFLETAKDLPTIMTSNIHCISTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFSAGGDDLSESLKPVKDYVASMLHQQLENGECKHEDLDKIEDASMIHENDHEPSAVNNKYPAPSTPQLQQGGRLIVNGDCQEHTNCSMEKESGEPDGESKSVETTSGHTIAEVIAPVGQHQKPRETMVKEEADSVDGAKGERTLDSHPQDRVNSEDSRAGAEKPNTVSGLHSSRPNKANRKKLKVDWTTELHKKFVQAVDQLGIDQAIPSRILELMKVEGLTRHNVASHLQKYRMHKRHILPKEDDRRWPQRDQTQRSCHPHKPIMAFPPYHSNPVPVGPVYPMWGTPHPASIQTWGSQGYYHPWQPTESWQWKPYPGVHADAWGCPVMPIPQGNCSTFTQNGYGFHCSRTMDNRSGMPQNSIQHQPAEEVIDEVVKEAINKPWLPLPLGLKPPSTESVLAELSRQGISTIPPRNQRL >KJB25379 pep chromosome:Graimondii2_0_v6:4:50360566:50365384:-1 gene:B456_004G188300 transcript:KJB25379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTRNDLSAWKDFPKGLRVLLLDEDTNSAAEIKSKLEAMDYIVYTFCNENEALSAVSSRPESFHVAIVEVCTNSNNGGFKFLETAKDLPTIMTSNIHCISTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFSAGGDDLSESLKPVKDYVASMLHQQLENGECKHEDLDKIEDASMIHENDHEPSAVNNKYPAPSTPQLQQGGRLIVNGDCQEHTNCSMEKESGEPDGESKSVETTSGHTIAEVIAPVGQHQKPRETMVKEEADSVDGAKGERTLDSHPQDRVNSEDSRAGAEKPNTVSGLHSSRPNKANRKKLKVDWTTELHKKFVQAVDQLGIDQAIPSRILELMKVEGLTRHNVASHLQKYRMHKRHILPKEDDRRWPQRDQTQRSCHPHKPIMAFPPYHSNPVPVGPVYPMWGTPHPASIQTWGSQGYYHPWQPTESWQWKPYPGVHADAWGCPVMPIPQGNCSTFTQNGYGFHCSRTMDNRSGMPQNSIQHQPAEEVIDEVVKEAINKPWLPLPLGLKPPSTESVLAELSRQGISTIPPRNQRL >KJB25380 pep chromosome:Graimondii2_0_v6:4:50360148:50365979:-1 gene:B456_004G188300 transcript:KJB25380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTRNDLSAWKDFPKGLRVLLLDEDTNSAAEIKSKLEAMDYIVYTFCNENEALSAVSSRPESFHVAIVEVCTNSNNGGFKFLETAKDLPTIMTSNIHCISTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFSAGGDDLSESLKPVKDYVASMLHQQLENGECKHEDLDKIEDASMIHENDHEPSAVNNKYPAPSTPQLQQGGRLIVNGDCQEHTNCSMEKESGEPDGESKSVETTSGHTIAEVIAPVGQHQKPRETMVKEEADSVDGAKGERTLDSHPQDRVNSEDSRAGAEKPNTVSGLHSSRPNKANRKKLKVDWTTELHKKFVQAVDQLGIDQAIPSRILELMKVEGLTRHNVASHLQKYRMHKRHILPKEDDRRWPQRDQTQRSCHPHKPIMAFPPYHSNPVPVGPVYPMWGTPHPASIQTWGSQGYYHPWQPTESWQWKPYPGVHADAWGCPVMPIPQGNCSTFTQNGYGFHCSRTMDNRSGMPQNSIQHQPAEEVIDEVVKEAINKPWLPLPLGLKPPSTESVLAELSRQGISTIPPRNQRL >KJB26279 pep chromosome:Graimondii2_0_v6:4:57293491:57295462:-1 gene:B456_004G235000 transcript:KJB26279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENHKFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMNLFEHKPFDATAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRNIQLSLAILLLGVGIATVTDLQLNLLGSILSLLAVITTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQSITLFIVGPFLDGLLTNLNVFAFKYTPQVLVRLESFLTNSTLLMLLIVSLWKLCCSSSLFCPA >KJB26280 pep chromosome:Graimondii2_0_v6:4:57292655:57295554:-1 gene:B456_004G235000 transcript:KJB26280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLAIIPCTVLLETLFFRKKFSRNIQLSLAILLLGVGIATVTDLQLNLLGSILSLLAVITTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQSITLFIVGPFLDGLLTNLNVFAFKYTPQVLFFIVLSCLISVTVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYGLLHDQFSWRNIMGILIAVVGMVLYSYYCTVESQQQKASESSAQLPQVKESESDPLIIADKGSEIMSESDPKAPAWNLKRDLDA >KJB26281 pep chromosome:Graimondii2_0_v6:4:57292655:57295611:-1 gene:B456_004G235000 transcript:KJB26281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENHKFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMNLFEHKPFDATAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRNIQLSLAILLLGVGIATVTDLQLNLLGSILSLLAVITTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQSITLFIVGPFLDGLLTNLNVFAFKYTPQVLFFIVLSCLISVTVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYGLLHDQFSWRNIMGILIAVVGMVLYSYYCTVESQQQKASESSAQLPQVKESESDPLIIADKGSEIMSESDPKAPAWNLKRDLDA >KJB24269 pep chromosome:Graimondii2_0_v6:4:37436893:37439261:-1 gene:B456_004G136000 transcript:KJB24269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSGPAVEVTQDRNGIHQVVLRNPRGASARVSLYGGQVLSWRTDRGEELLFASTKAIFKPPYAVRGGIPICFPQFGQRGSLEQHGFARNRIWIIDDNPPPLHPNDPSEKAYTDLLLKPSEDDLKIWPNSFEFRLRISLTADGNLSLMSRIRNINYKPFSFSIAYLTYFSISDISEVRVEGLETLDYLDNLCQRERFTEQGDALTFESEVDRVYLGSRDTIAIFDHERKRTFLIQKQGLPDVGVWNPWEKKSKTMVDFGDEEYKEMLCVNGAAVEKPITLKPGEEWTGRLELSVVPSS >KJB24267 pep chromosome:Graimondii2_0_v6:4:37436893:37439119:-1 gene:B456_004G136000 transcript:KJB24267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSGPAVEVTQDRNGIHQVVLRNPRGASARVSLYGGQVLSWRTDRGEELLFASTKAIFKPPYAVRGGIPICFPQFGQRGSLEQHGFARNRIWIIDDNPPPLHPNDPSEKAYTDLLLKPSEDDLKIWPNSFEFRLRISLTADGNLSLMSRIRNINYKPFSFSIAYLTYFSISDISEVRVEGLETLDYLDNLCQRERFTEQGDALTFESEVDRVYLGSRDTIAIFDHERKRTFLIQKQGLPDGFGIHGKRNRKPWLILGMKNTRRCFVSMGQQLKNQSP >KJB24268 pep chromosome:Graimondii2_0_v6:4:37436893:37439119:-1 gene:B456_004G136000 transcript:KJB24268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSGPAVEVTQDRNGIHQVVLRNPRGASARVSLYGGQVLSWRTDRGEELLFASTKPPYAVRGGIPICFPQFGQRGSLEQHGFARNRIWIIDDNPPPLHPNDPSEKAYTDLLLKPSEDDLKIWPNSFEFRLRISLTADGNLSLMSRIRNINYKPFSFSIAYLTYFSISDISEVRVEGLETLDYLDNLCQRERFTEQGDALTFESEVDRVYLGSRDTIAIFDHERKRTFLIQKQGLPDVGVWNPWEKKSKTMVDFGDEEYKEMLCVNGAAVEKPITLKPGEEWTGRLELSVVPSS >KJB24797 pep chromosome:Graimondii2_0_v6:4:45126985:45129196:-1 gene:B456_004G161100 transcript:KJB24797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNPSNSGGSVGGGSGSCSGSAGGSGGGGSGGGPCGACKFLRRKCVPGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHIPVHKRLDAVVTICFEAQARLRDPVYGCVAHIFALQQQVVNLQAELSYLQAHLTTLELPSPPPPPPPPSQTLVAAPPLSISDLPSASSVPATYDLSSLFDPMVAQTSWAMQQRQIDPRQYGGTGSASSTGSGDLQALARELLHRQGSPHLPCTDASVSPAPSPSRSLSKGD >KJB21944 pep chromosome:Graimondii2_0_v6:4:1751426:1756259:1 gene:B456_004G023000 transcript:KJB21944 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G58130) UniProtKB/TrEMBL;Acc:Q9FGT1] MAADPTATTRTRIHVGGLGQSVNSDDLRKIFSAVGTVEGVDIVRTKGRSFAYVDILPSTSNSISKLFSTYNGCAWKGGKLKLEKAKEHFLIRLKREWAEAEAEDEANEGSHQAKPSSSDSNNSKNKVQVSQNNQLRIFFPRLSKIKSLPFSGTGKHRYSFQRVEALAFPLHFCDCAEHSGRFNAVKQKEVRRHEEINDGMNEEELSLMSSVMNKLFERENISNTSRPTIVKERNDFIEPVKDLPSNEEENDDDDDDLIINVVSNANKRTAMSRIGESKKVSTEKTRLSENKVSKDGAIPSASKLQKKNALHAEKKRKPNRDDKHEITSLSSQLRMNSQCDEIEAGFEEDDETDDDLVINVASTESKGKALSGSTKRAKVSPKQNFKPSETQSTENEREEKKDRLLPKKKMKLISTKERDGNEAVSTVPAEKGPLIAQMTEQDCSLKPSSTSCLWSQKSSWKALVGGRGDSAFSLSNILQNADTTEEQHIFDDPKVDNTLDSKNDKLSTPENLEGMSDKMEIANAIAEAQPNKPSMTSSNTGRGSSWLHKSSWTQLVSDKSNSFSISQILPGTTTSQELAKPTGEDVVQSADGNYTNEMDRFTTEGVGQGDSVESIPKTSPQTLEGSNNTSLPAVENISNFEPVKGFAVDTSTGGTCSFMRSSTSLKEWAKTKAALKGSRKKKTKGDSM >KJB21946 pep chromosome:Graimondii2_0_v6:4:1751465:1755922:1 gene:B456_004G023000 transcript:KJB21946 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G58130) UniProtKB/TrEMBL;Acc:Q9FGT1] MAADPTATTRTRIHVGGLGQSVNSDDLRKIFSAVGTVEGVDIVRTKGRSFAYVDILPSTSNSISKLFSTYNGCAWKGGKLKLEKAKEHFLIRLKREWAEAEAEDEANEGSHQAKPSSSDSNNSKNKVQVSQNNQLRIFFPRLSKIKSLPFSGTGKHRYSFQRVEALAFPLHFCDCAEHSGRFNAVKQKEVRRHEEINDGMNEEELSLMSSVMNKLFERENISNTSRPTIVKERNDFIEPVKDLPSNEEENDDDDDDLIINVVSNANKRTAMSRIGESKKVSTEKTRLSENKVSKDGAIPSASKLQKKNALHAEKKRKPNRDDKHEITSLSSQLRMNSQCDEIEAGFEEDDETDDDLVINVASTESKGKALSGSTKRAKVSPKQNFKPSETQSTENEREEKKDRLLPKKKMKLISTKERDGNEAVSTVPAEKGPLIAQMTEQDCSLKPSSTSCLWSQKSSWKALVGGRGDSAFSLSNILQNADTTEEQHIFDDPKVDNTLDSKNDKLSTPENLEGMSDKMEIANAIAEAQPNKPSMTSSNTGRGSSWLHKSSWTQLVSDKSNSFSISQILPGTTTSQELAKPTGEDVVQSADGNYTNEMDRFTTEGVGQGDSVESIPKTSPQTLEGSNNTSLPAVENISNFEPVKGFAVDTSTGGTCSFMRSSTSLKEWAKTKAALKGSRKKKTKGDSM >KJB21945 pep chromosome:Graimondii2_0_v6:4:1751423:1755304:1 gene:B456_004G023000 transcript:KJB21945 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G58130) UniProtKB/TrEMBL;Acc:Q9FGT1] MAADPTATTRTRIHVGGLGQSVNSDDLRKIFSAVGTVEGVDIVRTKGRSFAYVDILPSTSNSISKLFSTYNGCAWKGGKLKLEKAKEHFLIRLKREWAEAEAEDEANEGSHQAKPSSSDSNNSKNKVQVSQNNQLRIFFPRLSKIKSLPFSGTGKHRYSFQRVEALAFPLHFCDCAEHSGRFNAVKQKEVRRHEEINDGMNEEELSLMSSVMNKLFERENISNTSRPTIVKERNDFIEPVKDLPSNEEENDDDDDDLIINVVSNANKRTAMSRIGESKKVSTEKTRLSENKVSKDGAIPSASKLQKKNALHAEKKRKPNRDDKHEITSLSSQLRMNSQCDEIEAGFEEDDETDDDLVINVASTESKGKALSGSTKRAKVSPKQNFKPSETQSTENEREEKKDRLLPKKKMKLISTKERDGNEAVSTVPAEKGPLIAQMTEQDCSLKPSSTSCLWSQKSSWKALVGGRGDSAFSLSNILQNADTTEEQHIFDDPKVDNTLDSKNDKLSTPENLEGMSDKMEIANAIAEAQPNKPSMTSSNTGRGSSWLHKSSWTQLVSDKSNSFSISQILPGTTTSQELAKPTGEDVVQSADGNYTNEMDRFTTEGVGQGDSVESIPKTSPQTLEGSNNTSLPAVENISNFEPVKGFAVDTSTGGTCSFMRSSTSLKEWAKTKAALKGSRKKKTKGDSM >KJB21302 pep chromosome:Graimondii2_0_v6:4:61527279:61528341:1 gene:B456_004G2840002 transcript:KJB21302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPRFVIDAVEAEQMAKQSGKTVLQLLPSLVKSAQSVARPPISKYHVGAVGIGSSGRIFFGANLEFPGLPLNHSVHAEQFLITNLSLNAELRLKYIAVSAAPCGHCRQFFQELRGAPDVKMLITSSDDEKENKISNTCNDKDQEFTPLSHFLPHRFGPDDLLGKDAPLLLEPRRNGLSFTSDGCENDELKHAALDAANMSYAPYSGCPSGVALIDVEGKIYKGSYMESAAYNPSLPPVQAAIVAYVASGGGGGYERIVRAVLVEKSDAVIKQEHTARLLLQCISPKCEVKVFSV >KJB21305 pep chromosome:Graimondii2_0_v6:4:61527279:61529616:1 gene:B456_004G2840002 transcript:KJB21305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPRFVIDAVEAEQMAKQSGKTVLQLLPSLVKSAQSVARPPISKYHVGAVGIGSSGRIFFGANLEFPGLPLNHSVHAEQFLITNLSLNAELRLKYIAVSAAPCGHCRQFFQELRGAPDVKMLITSSDDEKENKISNTCNDKDQEFTPLSHFLPHRFGPDDLLGKDAPLLLEPRRNGLSFTSDGCENDELKHAALDAANMSYAPYSGCPSGVALIDVEGKIYKGSYMESAAYNPSLPPVQAAIVAYVASGGGGGYERIVRAVLVEKSDAVIKQEHTARLLLQCISPKCEVKVFSV >KJB21306 pep chromosome:Graimondii2_0_v6:4:61527265:61528797:1 gene:B456_004G2840002 transcript:KJB21306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPRFVIDAVEAEQMAKQSGKTVLQLLPSLVKSAQSVARPPISKYHVGAVGIGSSGRIFFGANLEFPGLPLNHSVHAEQFLITNLSLNAELRLKYIAVSAAPCGHCRQFFQELRGAPDVKMLITSSDDEKENKISNTCNDKDQEFTPLSHFLPHRFGPDDLLGKDAPLLLEPRRNGLSFTSDGCENDELKHAALDAANMSYAPYSGCPSGVALIDVEGKIYKGSYMESAAYNPSLPPVQAAIVAYVASGGGGGYERIVRAVLVEKSDAVIKQEHTARLLLQCISPKCEVKVFSV >KJB21303 pep chromosome:Graimondii2_0_v6:4:61527279:61529217:1 gene:B456_004G2840002 transcript:KJB21303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPRFVIDAVEAEQMAKQSGKTVLQLLPSLVKSAQSVARPPISKYHVGAVGIGSSGRIFFGANLEFPGLPLNHSVHAEQFLITNLSLNAELRLKYIAVSAAPCGHCRQFFQELRGAPDVKMLITSSDDEKENKISNTCNDKDQEFTPLSHFLPHRFGPDDLLGKDAPLLLEPRRNGLSFTSDGCENDELKHAALDAANMSYAPYSGCPSGVALIDVEGKIYKGSYMESAAYNPSLPPVQAAIVAYVASGGGGGYERIVRAVLVEKSDAVIKQEHTARLLLQCISPKCEVKVFSV >KJB21304 pep chromosome:Graimondii2_0_v6:4:61527279:61529355:1 gene:B456_004G2840002 transcript:KJB21304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPRFVIDAVEAEQMAKQSGKTVLQLLPSLVKSAQSVARPPISKYHVGAVGIGSSGRIFFGANLEFPGLPLNHSVHAEQFLITNLSLNAELRLKYIAVSAAPCGHCRQFFQELRGAPDVKMLITSSDDEKENKISNTCNDKDQEFTPLSHFLPHRFGPDDLLGKDAPLLLEPRRNGLSFTSDGCENDELKHAALDAANMSYAPYSGCPSGVALIDVEGKIYKGSYMESAAYNPSLPPVQAAIVAYVASGGGGGYERIVRAVLVEKSDAVIKQEHTARLLLQCISPKCEVKVFSV >KJB26301 pep chromosome:Graimondii2_0_v6:4:57439237:57444438:1 gene:B456_004G236200 transcript:KJB26301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVAITNPQLSFNLFYCSVTGREASISRQTASRIRYRFSSSRWLSLNSSSSTNRRYSFDSFKSRCSIANTDVHLNHVAVEDEDISAVDIGCRTTPIVQLKSDVLDTESLSILTGDTYVDSLLTTLPVLTEEEQKTLAATPAHPEGLYAFYASCLAGNLVEQLWNFAWPSAIALLHPSLLPVAVMGFLTKLVIIIGGPLVGKLMDHSPRVPSYIFLNVVQASAQLLSAAMIIHAHTVSPASTSSILLRPWFAVLVLAGAIERLSGVALGVAMERDWVVLLAGINRPIALAQANAVLNRIDLLCEIAGTSLFGILLSRYDPVTCLKLAASLMVWSLPIMLSTGVLDRAKCCQASRRTSDEGPLPDAQNFVSTGLEAIKHGWREYIQQPVLPASLAYVLLYFNVVLTPGSLMTAFLTQRGLNPSVIGGFSGLCAFMGVAATFLSASLVRRFGILKAGAVGLTFQALLLTIAVAVYQSGTLSQRSPLLLFLCLIVFSRLGHMSYDIVGAQILQTGIPSSKANLIGTTEISVASLAESLMLGVVILANDVSHFGFLAMLSVLSVVGAAWMFCRWLLNPTVEQRSLFSFDPQL >KJB26302 pep chromosome:Graimondii2_0_v6:4:57439237:57444438:1 gene:B456_004G236200 transcript:KJB26302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVAITNPQLSFNLFYCSVTGREASISRQTASRIRYRFSSSRWLSLNSSSSTNRSFDSFKSRCSIANTDVHLNHVAVEDEDISAVDIGCRTTPIVQLKSDVLDTESLSILTGDTYVDSLLTTLPVLTEEEQKTLAATPAHPEGLYAFYASCLAGNLVEQLWNFAWPSAIALLHPSLLPVAVMGFLTKLVIIIGGPLVGKLMDHSPRVPSYIFLNVVQASAQLLSAAMIIHAHTVSPASTSSILLRPWFAVLVLAGAIERLSGVALGVAMERDWVVLLAGINRPIALAQANAVLNRIDLLCEIAGTSLFGILLSRYDPVTCLKLAASLMVWSLPIMISLTWLTNKLSTGVLDRAKCCQASRRTSDEGPLPDAQNFVSTGLEAIKHGWREYIQQPVLPASLAYVLLYFNVVLTPGSLMTAFLTQRGLNPSVIGGFSGLCAFMGVAATFLSASLVRRFGILKAGAVGLTFQALLLTIAVAVYQSGTLSQRSPLLLFLCLIVFSRLGHMSYDIVGAQILQTGIPSSKANLIGTTEISVASLAESLMLGVVILANDVSHFGFLAMLSVLSVVGAAWMFCRWLLNPTVEQRSLFSFDPQL >KJB26303 pep chromosome:Graimondii2_0_v6:4:57439237:57444438:1 gene:B456_004G236200 transcript:KJB26303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVAITNPQLSFNLFYCSVTGREASISRQTASRIRYRFSSSRWLSLNSSSSTNRRYSFDSFKSRCSIANTDVHLNHVAVEDEDISAVDIGCRTTPIVQLKSDVLDTESLSILTGDTYVDSLLTTLPVLTEEEQKTLAATPAHPEGLYAFYASCLAGNLVEQLWNFAWPSAIALLHPSLLPVAVMGFLTKLVIIIGGPLVGKLMDHSPRVPSYIFLNVVQASAQLLSAAMIIHAHTVSPASTSSILLRPWFAVLVLAGAIERLSGVALGVAMERDWVVLLAGINRPIALAQANAVLNRIDLLCEIAGTSLFGILLSRYDPVTCLKLAASLMVWSLPIMISLTWLTNKLSTGVLDRAKCCQASRRTSDEGPLPDAQNFGLEAIKHGWREYIQQPVLPASLAYVLLYFNVVLTPGSLMTAFLTQRGLNPSVIGGFSGLCAFMGVAATFLSASLVRRFGILKAGAVGLTFQALLLTIAVAVYQSGTLSQRSPLLLFLCLIVFSRLGHMSYDIVGAQILQTGIPSSKANLIGTTEISVASLAESLMLGVVILANDVSHFGFLAMLSVLSVVGAAWMFCRWLLNPTVEQRSLFSFDPQL >KJB26299 pep chromosome:Graimondii2_0_v6:4:57439123:57444467:1 gene:B456_004G236200 transcript:KJB26299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVAITNPQLSFNLFYCSVTGREASISRQTASRIRYRFSSSRWLSLNSSSSTNRRYSFDSFKSRCSIANTDVHLNHVAVEDEDISAVDIGCRTTPIVQLKSDVLDTESLSILTGDTYVDSLLTTLPVLTEEEQKTLAATPAHPEGLYAFYASCLAGNLVEQLWNFAWPSAIALLHPSLLPVAVMGFLTKLVIIIGGPLVGKLMDHSPRVPSYIFLNVVQASAQLLSAAMIIHAHTVSPASTSSILLRPWFAVLVLAGAIERLSGVALGVAMERDWVVLLAGINRPIALAQANAVLNRIDLLCEIAGTSLFGILLSRYDPVTCLKLAASLMVWSLPIMISLTWLTNKLSTGVLDRAKCCQASRRTSDEGPLPDAQNFVSTGLEAIKHGWREYIQQPVLPASLAYVLLYFNVVLTPGSLMTAFLTQRGLNPSVIGGFSGLCAFMGVAATFLSASLVRRFGILKAGAVGLTFQALLLTIAVAVYQSGTLSQRSPLLLFLCLIVFSRLGHMSYDIVGAQILQTGIPSSKANLIGTTEISVASLAESLMLGVVILANDVSHFGFLAMLSVLSVVGAAWMFCRWLLNPTVEQRSLFSFDPQL >KJB26300 pep chromosome:Graimondii2_0_v6:4:57439237:57444438:1 gene:B456_004G236200 transcript:KJB26300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVAITNPQLSFNLFYCSVTGREASISRQTASRIRYRFSSSRWLSLNSSSSTNRRYSFDSFKSRCSIANTDVHLNHVAVEDEDISAVDIGCRTTPIVQLKSDVLDTESLSILTGDTYVDSLLTTLPVLTEEEQKTLAATPAHPEGLYAFYASCLAGNLVEQLWNFAWPSAIALLHPSLLPVAVMGFLTKLVIIIGGPLVGKLMDHSPRVPSYIFLNVVQASAQLLSAAMIIHAHTVSPASTSSILLRPWFAVLVLAGAIERLSGVALGVAMERDWVVLLAGINRPIALAQANAVLNRIDLLCEISLTWLTNKLSTGVLDRAKCCQASRRTSDEGPLPDAQNFVSTGLEAIKHGWREYIQQPVLPASLAYVLLYFNVVLTPGSLMTAFLTQRGLNPSVIGGFSGLCAFMGVAATFLSASLVRRFGILKAGAVGLTFQALLLTIAVAVYQSGTLSQRSPLLLFLCLIVFSRLGHMSYDIVGAQILQTGIPSSKANLIGTTEISVASLAESLMLGVVILANDVSHFGFLAMLSVLSVVGAAWMFCRWLLNPTVEQRSLFSFDPQL >KJB22624 pep chromosome:Graimondii2_0_v6:4:5580306:5592260:-1 gene:B456_004G058300 transcript:KJB22624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSPEHRRNSSSASSSSSSVEIPVKSEQEICIDAKQMDSSRDSLEAASGDDSRAGVDRSAMNNGGAESSGTIHRSYSEVGTSPVGISNIEKLDSSQRKLEIPKSEAQRHRNILAEEAAQIFDNNIPAQQKLNLLNRIATLKDDGTVEFEVPGDVEPHALGGGQRDVYTGVAEEESLETELQYIPPLQIVMLIVGTRGDVQPFIAIGKRLQDYGHRVRLATHSNFKDFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPIQRNQIKEIIYSLLPACKDPDPDSAIPFKADAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSMIWLGIRDMINDLRKKKLRLRPVTYLSGSQGSDSDVPYGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPESLVKWLEAGPKPIYIGFGSLPVQEPEKMTQIIVDALEQTGQRGIINKGWGGLGSLAESKDSVYLLDNVPHDWLFLQCMAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPAPIPIDEFSLPKLIDAIKFMLNPEVKEKAVELAKAMENEDGVSGAVKAFFKHLPRKKPELEPSVEPPSSLFSISRCFGCS >KJB22623 pep chromosome:Graimondii2_0_v6:4:5580179:5592304:-1 gene:B456_004G058300 transcript:KJB22623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSPEHRRNSSSASSSSSSVEIPVKSEQEICIDAKQMDSSRDSLEAASGDDSRAGVDRSAMNNGGAESSGTIHRSYSEVGTSPVGISNIEKLDSSQRKLEIPKSEAQRHRNILAEEAAQIFDNNIPAQQKLNLLNRIATLKDDGTVEFEVPGDVEPHALGGGQRDVYTGVAEEESLETELQYIPPLQIVMLIVGTRGDVQPFIAIGKRLQDYGHRVRLATHSNFKDFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPIQRNQIKEIIYSLLPACKDPDPDSAIPFKADAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSMIWLGIRDMINDLRKKKLRLRPVTYLSGSQGSDSDVPYGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPESLVKWLEAGPKPIYIGFGSLPVQEPEKMTQIIVDALEQTGQRGIINKGWGGLGSLAESKDSVYLLDNVPHDWLFLQCMAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPAPIPIDEFSLPKLIDAIKFMLNPEVKEKAVELAKAMENEDGVSGAVKAFFKHLPRKKPELEPSVEPPSSLFSISRCFGCS >KJB26603 pep chromosome:Graimondii2_0_v6:4:58709213:58711289:1 gene:B456_004G249700 transcript:KJB26603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSFTLVTIFGLLFTLGNVGPQAEAARAFFVFGDSLVDNGNNNYLATTARADAYPYGIDYPTHRATGRFSNGLNIPDLISEQIGSEPTLPYLSPELNGQRLLIGANFASAGIGILNDTGVQFVNIIRIGEQLQYFRQYQQRVSALIGPEQTQRLVNEALVLMTLGGNDFVNNYYLVPFSARSRQFALPDYVVYIISEYRKILLRLYELGARRVLVTGTGPLGCVPAELAQHSRAGECAVELQRASALFNPQLAEMLSGLNAELGSDIFVAVNTNEMHMDWINNPQQFGTFSFHI >KJB26602 pep chromosome:Graimondii2_0_v6:4:58709213:58710289:1 gene:B456_004G249700 transcript:KJB26602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSFTLVTIFGLLFTLGNVGPQAEAARAFFVFGDSLVDNGNNNYLATTARADAYPYGIDYPTHRATGRFSNGLNIPDLISEQIGSEPTLPYLSPELNGQRLLIGANFASAGIGILNDTGVQFVNIIRIGEQLQYFRQYQQRVSALIGPEQTQRLVNEALVLMTLGGNDFVNNYYLVPFSARSRQFALPDYVVYIISEYRKILLVKTCPLLVPYILLSQLSSLLKNLLCSTTALLIGLVNLDKMPQCDHQLKH >KJB26605 pep chromosome:Graimondii2_0_v6:4:58709213:58712276:1 gene:B456_004G249700 transcript:KJB26605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSFTLVTIFGLLFTLGNVGPQAEAARAFFVFGDSLVDNGNNNYLATTARADAYPYGIDYPTHRATGRFSNGLNIPDLISEQIGSEPTLPYLSPELNGQRLLIGANFASAGIGILNDTGVQFVNIIRIGEQLQYFRQYQQRVSALIGPEQTQRLVNEALVLMTLGGNDFVNNYYLVPFSARSRQFALPDYVVYIISEYRKILLRLYELGARRVLVTGTGPLGCVPAELAQHSRAGECAVELQRASALFNPQLAEMLSGLNAELGSDIFVAVNTNEMHMDWINNPQQFGFVTSKVACCGQGPYNGIGLCTIASNLCPNRDIYAFWDPFHPSERANKLIVQQIITGSTKYMHPMNLSTIMELDSRV >KJB26604 pep chromosome:Graimondii2_0_v6:4:58709213:58712126:1 gene:B456_004G249700 transcript:KJB26604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSFTLVTIFGLLFTLGNVGPQAEAARAFFVFGDSLVDNGNNNYLATTARADAYPYGEQIGSEPTLPYLSPELNGQRLLIGANFASAGIGILNDTGVQFVNIIRIGEQLQYFRQYQQRVSALIGPEQTQRLVNEALVLMTLGGNDFVNNYYLVPFSARSRQFALPDYVVYIISEYRKILLRLYELGARRVLVTGTGPLGCVPAELAQHSRAGECAVELQRASALFNPQLAEMLSGLNAELGSDIFVAVNTNEMHMDWINNPQQFGFVTSKVACCGQGPYNGIGLCTIASNLCPNRDIYAFWDPFHPSERANKLIVQQIITGSTKYMHPMNLSTIMELDSRV >KJB27285 pep chromosome:Graimondii2_0_v6:4:62147088:62149366:-1 gene:B456_004G2936001 transcript:KJB27285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGTIECSVCHSKLVSPTTRGVSRAYDRHKSRVSSKQRALNVLLVVGDCVLVGFQPILVYMSKVDGRFMFSPISVNFLTEVAKVLFAVLMLILQARRQKVGEKPLLSISTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNL >KJB27287 pep chromosome:Graimondii2_0_v6:4:62147088:62149152:-1 gene:B456_004G2936001 transcript:KJB27287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGTIECSVCHSKLVSPTTRGVSRAYDRHKSRVSSKQRALNVLLVVGDCVLVGFQPILVYMSKVDGRFMFSPISVNFLTEVAKVLFAVLMLILQARRQKVGEKPLLSISTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNL >KJB27284 pep chromosome:Graimondii2_0_v6:4:62147091:62148502:-1 gene:B456_004G2936001 transcript:KJB27284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGTIECSVCHSKLVSPTTRGVSRAYDRHKSRVSSKQRALNVLLVVGDCVLVGFQPILVYMSKVDGRFMFSPISVNFLTEVAKVLFAVLMLILQARRQKVGEKPLLSISTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNL >KJB27288 pep chromosome:Graimondii2_0_v6:4:62147305:62149366:-1 gene:B456_004G2936001 transcript:KJB27288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGTIECSVCHSKLVSPTTRGVSRAYDRHKSRVSSKQRALNVLLVVGDCVLVGFQPILVYMSKVDGRFMFSPISVNFLTEVAKVLFAVLMLILQARRQKVGEKPLLSISTFMQAARNNVLLAVPALLYAINNYLKFIM >KJB27286 pep chromosome:Graimondii2_0_v6:4:62147088:62149501:-1 gene:B456_004G2936001 transcript:KJB27286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGTIECSVCHSKLVSPTTRGVSRAYDRHKSRVSSKQRALNVLLVVGDCVLVGFQPILVYMSKVDGRFMFSPISVNFLTEVAKVLFAVLMLILQARRQKVGEKPLLSISTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNL >KJB23137 pep chromosome:Graimondii2_0_v6:4:10233845:10235035:1 gene:B456_004G083100 transcript:KJB23137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNVHGNGTWSFGKRRNKTHTLCVSCGRHNLSVKAIRRKTTRIGRMRYLCHVPRRFKTGFREGTEAAPRNKVAAVSA >KJB24597 pep chromosome:Graimondii2_0_v6:4:43564695:43564898:-1 gene:B456_004G153200 transcript:KJB24597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCYRSIPILSFSVDSFPTEMYGSMNLCVYIDSVHGLTKMCKSSICLCHSMSLFLFSYGIATPFNSIH >KJB23427 pep chromosome:Graimondii2_0_v6:4:16020228:16021077:-1 gene:B456_004G097700 transcript:KJB23427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEINWDTFFLEVDLLDFGDILDNEPEQVTKPPPQPCSTQNPDEEPTLSSWIEEIEKVLIEDDNFDHMVETQLSPPCSGSDIVGVDSGDLHKQSQTHTDVDKDNPIAKKQKRQLRNRDAVVRSRERKKMYVKDLEMKSRYLEGECRRLSHVLHC >KJB24231 pep chromosome:Graimondii2_0_v6:4:36758865:36763777:1 gene:B456_004G134000 transcript:KJB24231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRALASVLLCCFFLEASFWVHFSYGDLTTCSGIVPMTERGDKISITDFGGVGDGQTLNTIAFRKAIYRIQHLRRRGGTLLFVPSGVYLTDSFNLTSHMTLYLARGAVMKATQDTSNWPLIAPLPSYGRGRERPGGRYMSFIHGDGLEDVVITGENGTIDGQGDIWWNMWRQRTLQFTRPSLVEFVNSRGIVISNVIFRNSPFWNIHPVYCSDVVIRYVTILAPPDSPNTDGIDPDSSSNVCIEDSFISTGDDLVAVKSGWDEYGIAYGRPSSYITVRRVTGSTPFAGVAVGSETSGGVENVWCSHQD >KJB24230 pep chromosome:Graimondii2_0_v6:4:36758798:36763777:1 gene:B456_004G134000 transcript:KJB24230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRALASVLLCCFFLEASFWVHFSYGDLTTCSGIVPMTERGDKISITDFGGVGDGQTLNTIAFRKAIYRIQHLRRRGGTLLFVPSGVYLTDSFNLTSHMTLYLARGAVMKATQDTSNWPLIAPLPSYGRGRERPGGRYMSFIHGDGLEDVVITGENGTIDGQGDIWWNMWRQRTLQFTRPSLVEFVNSRGIVISNVIFRNSPFWNIHPVYCSDVVIRYVTILAPPDSPNTDGIDPDSSSNVCIEDSFISTGDDLVAVKSGWDEYGIAYGRPSSYITVRRVTGSTPFAGVAVGSETSGGVENVLAENINLYNSGVGVHIKTNIGRGGVIKNITVSELFMENVRTGIKVAGDTGDHPDNNFNPNALPYVKDLMLKNIWGVNVQRAGWMHGIENATFTGICLSNVTLQGTTGPRPPSWNCNAIRGAAIEVSPRPCAELTSVEQSGSCASHS >KJB24232 pep chromosome:Graimondii2_0_v6:4:36758865:36763777:1 gene:B456_004G134000 transcript:KJB24232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRALASVLLCCFFLEASFWVHFSYGDLTTCSGIVPMTERGDKISITDFGGVGDGQTLNTIAFRKAIYRIQHLRRRGGTLLFVPSGVYLTDSFNLTSHMTLYLARGAVMKATQDTSNWPLIAPLPSYGRGRERPGGRYMSFIHGDGLEDVVITGENGTIDGQGDIWWNMWRQRTLQFTRPSLVEFVNSRGIVISNVIFRNSPFWNIHPVYCSDVVIRYVTILAPPDSPNTDGIDPDSSSNVCIEDSFISTGDDLVAVKSGWDEYGIAYGRPSSYITVRRVTGSTPFAGVAVGSETSGGVENVLAENINLYNSGVGVHIKTNIGRGGVIKNITVSELFMENVRTASRLDAWHRECHFHRHLSFKRYPPGHDWTKTSFLELQCHKGGCNRG >KJB22745 pep chromosome:Graimondii2_0_v6:4:6419491:6422131:1 gene:B456_004G064200 transcript:KJB22745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLILLLLLAVSQTTAGEDPYIGVNIGTDLSDMPHPTQVVALLKAQRIQHVRLYNADQGMLVALAKTGIRVMVSIPNEELLGIGQSNSTAANWVSRNIVAHYPATNITSISVGSDVLTTLPNAAPVLVNAIKFVHSALVASHLDKQIKVSSPISSSIILDSFPPSQAFFNRSWNPVLVPLLKFLQSTDSFLMLNAYPYYDYRQSNGAISLDYALFKPLAPNKEAVDANTLVHYTNVFDAIVDATYSAMAFLNFTNIPVIVTETGWPSKGDSIEPDATLENANTYNSNLIRHVMNKTGTPKNPGVAVSTYIYELYNEDMKPGPLSEKHWGLFEANGDPVYILRLTGSGSLLSNDTTNQTYCTAKDDADPKMLQAALDWACGPGKVDCSPLLQGKPCYEPDNVIAHATYAFNTYYHRMGKTSDACDFNGVADITTTDPSHGSCRLPESLGRDGTMANITAPLMNSTSSDSSARSFYGMGGFTGILVLIKVMLLTVIYL >KJB22873 pep chromosome:Graimondii2_0_v6:4:7602784:7603796:-1 gene:B456_004G070700 transcript:KJB22873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYDSVSEFDLRLLESIRRYLLEDDFDTNPGQVVENVDKNGLHVHDDAIKVDQWIIFDQSFDAAEKRVGVNNASFPSFEVIFQKETGAAVPKPHALPKEVHYRGVRRRPWGTYAAEIRDPKRNSARIWLGTYETPESAALAYDRAAFKFRGAKAKLNFPHLIGSNQVEPARVNSNKRKSPVPSSTSSSVQLPSSPLFTLTSDDETPKSKRKRSEIKFSSIETEFEGDMHQLMMQTEFFQTLIY >KJB21394 pep chromosome:Graimondii2_0_v6:4:1211590:1214148:-1 gene:B456_004G017100 transcript:KJB21394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G55300) UniProtKB/Swiss-Prot;Acc:B9DG24] MSFHLAGMDEQFILRVPPSVAERINRLLSENASSSEDKSLDLEFSEDGRTGTFVIGNDRFPASVLDLPCVVESYKTYDDSALVKTADVGQMILVRESGEASPDVVEYRHGLTPPMRDARKRRFRREPDLNPELVQRVEKDLVNIMSGGTVENLDILYTNFSIVSLVIIFGNCW >KJB22518 pep chromosome:Graimondii2_0_v6:4:4773712:4775979:-1 gene:B456_004G052100 transcript:KJB22518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLIQYMKGKEDKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSNGRKFERARGRRNSKGFRV >KJB22517 pep chromosome:Graimondii2_0_v6:4:4773712:4775784:-1 gene:B456_004G052100 transcript:KJB22517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVNKPPLSLSRLIQYMKGKEDKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSNGRKFERARGRRNSKGFRV >KJB25827 pep chromosome:Graimondii2_0_v6:4:54415195:54416818:1 gene:B456_004G211300 transcript:KJB25827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISMSKKKSKPSKKLETKHHQFSDTLLFKILNRIPLAIFLLILIFLWSSSTTIISGKFVHVCVSSRKLNNLYCLSAGTQPNFEIPIPALNNNISTGIKEVVDIVQEVPDPIVNNGSDGEVAFAVKVVEQQLQVQRSWISNKDHVTSCDGKGIYVYDLPSKFNKDLVGQCGDMIPWTNFCKYFNNEAMGEPLVKLGKGWYHTHQYALELIFHTRALKHPCRVYNENEAKLFYVPFYGGLDILRWHFKNVSNDVKDTLGLELVKWLENKESWQKNSGKDHVFVLGKISWDFRRKNDDVSSWGTLFLELDQLQKSIKLLIERQPWHVNDIGIPHPTYFHPHLDDDIITWQLKIIRSNRTSLVSFAGAARPDAPQNIRSILINQCNNPGTNCRFLNCSSGGCDQPESVIELFMDSEFCLQPPGDSPTRKSVFDSLVSGCIPVLFDPFTAYYQYPWHLPEDHSKYSVFIEQEEVRKMKVNIIEKLKKVPLREREDMRRYIVYELLPGLVYGDSNSQLEKFQDAFSITINNLLARVNKIE >KJB23279 pep chromosome:Graimondii2_0_v6:4:12120917:12123192:1 gene:B456_004G089800 transcript:KJB23279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKALDSRHSVDACAFQLHSWRPFHLQQALDSSDPQLTPPKLSSSNGFHPKRPCLSDRTTSFSIDLSKLTLLDDDNNNNPISANPKRSSFRLFARKRRRRGSRSVSGRSSDRSGTRRCCSVGASAAYGTCSDFPVAIGTDSSGELFGNGGDSYWVSDVSEARNIRRERGDGGSGEKETLCGQFGVFDAQGNESGYGSEPGYRGDGEFGYGDEVDEEEDDNRLLLWGDHFGADAHSKMEIVGENTFSDQKAHHRCRRKKHDYRMVDSLR >KJB23281 pep chromosome:Graimondii2_0_v6:4:12120757:12123771:1 gene:B456_004G089800 transcript:KJB23281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKALDSRHSVDACAFQLHSWRPFHLQQALDSSDPQLTPPKLSSSNGFHPKRPCLSDRTTSFSIDLSKLTLLDDDNNNNPISANPKRSSFRLFARKRRRRGSRSVSGRSSDRSGTRRCCSVGASAAYGTCSDFPVAIGTDSSGELFGNGGDSYWVSDVSEARNIRRERGDGGSGEKETLCGQFGVFDAQGNESGYGSEPGYRGDGEFGYGDEVDEEEDDNRLLLWGDHFGDAHSKMEIVGENTFSDQKAHHRCRRKKHDYRMVDSLSQKVFQFGMR >KJB23280 pep chromosome:Graimondii2_0_v6:4:12120719:12123800:1 gene:B456_004G089800 transcript:KJB23280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKALDSRHSVDACAFQLHSWRPFHLQQALDSSDPQLTPPKLSSSNGFHPKRPCLSDRTTSFSIDLSKLTLLDDDNNNNPISANPKRSSFRLFARKRRRRGSRSVSGRSSDRSGTRRCCSVGASAAYGTCSDFPVAIGTDSSGELFGNGGDSYWVSDVSEARNIRRERGDGGSGEKETLCGQFGVFDAQGNESGYGSEPGYRGDGEFGYGDEVDEEEDDNRLLLWGDHFGADAHSKMEIVGENTFSDQKAHHRCRRKKHDYRMVDSLSQKVFQFGMR >KJB23282 pep chromosome:Graimondii2_0_v6:4:12120762:12123717:1 gene:B456_004G089800 transcript:KJB23282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKALDSRHSVDACAFQLHSWRPFHLQQALDSSDPQLTPPKLSSSNGFHPKRPCLSDRTTSFSIDLSKLTLLDDDNNNNPISANPKRSSFRLFARKRRRRGSRSVSGRSSDRSGTRRCCSVGASAAYGTCSDFPVAIGTDSSGELFGNGGDSYWVSDVSEARNIRRERGDGGSGEKETLCGQFGVFDAQGNESGYGSEPGYRGDGEFGYGDEVDEEEDDNRLLLWGDHFGDAHSKMEIVGENTFSDQKAHHRCRRKKHDYRMVDSLR >KJB23359 pep chromosome:Graimondii2_0_v6:4:14145953:14147176:-1 gene:B456_004G094400 transcript:KJB23359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLGEVGLEDLYRAGGSTISIKEATHMYQAIAASKASDPDPRRVWKEVVSRRVLKPWHPHHLHQLVYYSVYANWDVSINGPPLYWFPSLDESKITNLGRIMEIHGPKLLGTSYKDPIESFSLFQKFSFQHPETYWSIVLEELSVVFHSSPSCILDNSKKLEPSGAWLPGAVLNIAECCLLPSTHPTKEDNSCALVWREEGRDDLDVNRMTLKELREQVIF >KJB23353 pep chromosome:Graimondii2_0_v6:4:14145017:14147288:-1 gene:B456_004G094400 transcript:KJB23353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLGEVGLEDLYRAGGSTISIKEATHMYQAIAASKASDPDPRRVWKEVVSRRVLKPWHPHHLHQLVYYSVYANWDVSINGPPLYWFPSLDESKITNLGRIMEIHGPKLLGTSYKDPIESFSLFQKFSFQHPETYWSIVLEELSVVFHSSPSCILDNSKKLEPSGAWLPGAVLNIAECCLLPSTHPTKEDNSCALVWREEGRDDLDVNRMTLKELREQVIGCHILKG >KJB23354 pep chromosome:Graimondii2_0_v6:4:14145083:14147176:-1 gene:B456_004G094400 transcript:KJB23354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLGEVGLEDLYRAGGSTISIKEATHMYQAIAASKASDPDPRRVWKEVVSRRVLKPWHPHHLHQLVYYSVYANWDVSINGPPLYWFPSLDESKITNLGRIMEIHGPKLLGTSYKDPIESFSLFQKFSFQHPETYWSIVLEELSVVFHSSPSCILDNSKKLEPSGAWLPGAVLNIAECCLLPSTHPTKEDNSCALVWREEGRDDLDVNRMTLKELREQVMVVANAVDATFSKGDAIAIDMPMTVSAVVIYLGIILAGCVAVSIADSFAAKEIATRLRVSNAKAIFTQDKNVHEEAIIVVLLFIWNPRLVKEKGN >KJB23358 pep chromosome:Graimondii2_0_v6:4:14145389:14147206:-1 gene:B456_004G094400 transcript:KJB23358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLGEVGLEDLYRAGGSTISIKEATHMYQAIAASKASDPDPRRVWKEVVSRRVLKPWHPHHLHQLVYYSVYANWDVSINGPPLYWFPSLDESKITNLGRIMEIHGPKLLGTSYKDPIESFSLFQKFSFQHPETYWSIVLEELSVVFHSSPSCILDNSKKLEPSGAWLPGAVLNIAECCLLPSTHPTKEDNSCALVWREEGRDDLDVNRMTLKELREQGGCKCSGCHILKG >KJB23357 pep chromosome:Graimondii2_0_v6:4:14145389:14147206:-1 gene:B456_004G094400 transcript:KJB23357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLGEVGLEDLYRAGGSTISIKEATHMYQAIAASKASDPDPRRVWKEVVSRRVLKPWHPHHLHQLVYYSVYANWDVSINGPPLYWFPSLDESKITNLGRIMEIHGPKLLGTSYKDPIESFSLFQKFSFQHPETYWSIVLEELSVVFHSSPSCILDNSKKLEPSGAWLPGAVLNIAECCLLPSTHPTKEDNSCALVWREEGRDDLDVNRMTLKELREQVMYDPVTVCNQIGGCKCSGCHILKG >KJB23360 pep chromosome:Graimondii2_0_v6:4:14145389:14147291:-1 gene:B456_004G094400 transcript:KJB23360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLGEVGLEDLYRAGGSTISIKEATHMYQAIAASKASDPDPRRVWKEVVSRRVLKPWHPHHLHQLVYYSVYANWDVSINGPPLYWFPSLDESKITNLGRIMEIHGPKLLGTSYKDPIESFSLFQKFSFQHPETYWSIVLEELSVVFHSSPSCILDNSKKLEPSGAWLPGAVLNIAECCLLPSTHPTKEDNSCALVWREEGRDDLDVNRMTLKELREQVMVVANAVDATFSKGDAIAIDMPMTVSAVVIYLGIILAGCVAVSIADSFAAKEIATRLRVSNAKAIFTQVYFWLSIFL >KJB23356 pep chromosome:Graimondii2_0_v6:4:14145977:14147176:-1 gene:B456_004G094400 transcript:KJB23356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLGEVGLEDLYRAGGSTISIKEATHMYQAIAASKASDPDPRRVWKEVVSRRVLKPWHPHHLHQLVYYSVYANWDVSINGPPLYWFPSLDESKITNLGRIMEIHGPKLLGTSYKDPIESFSLFQKFSFQHPETYWSIVLEELSVVFHSSPSCILDNSKKLEPSGAWLPGAVLNIAECCLLPSTHPTKEDNSCALVWREEGRDDLDVNRMTLKELREQVMYDPVTVCNQIVCSCGLLSFSVLH >KJB23355 pep chromosome:Graimondii2_0_v6:4:14145093:14147206:-1 gene:B456_004G094400 transcript:KJB23355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLGEVGLEDLYRAGGSTISIKEATHMYQAIAASKASDPDPRRVWKEVVSRRVLKPWHPHHLHQLVYYSVYANWDVSINGPPLYWFPSLDESKITNLGRIMEIHGPKLLGTSYKDPIESFSLFQKFSFQHPETYWSIVLEELSVVFHSSPSCILDNSKKLEPSGAWLPGAVLNIAECCLLPSTHPTKEDNSCALVWREEGRDDLDVNRMTLKELREQGGCKCSGCHILKG >KJB24611 pep chromosome:Graimondii2_0_v6:4:43824052:43824646:1 gene:B456_004G153800 transcript:KJB24611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVSLQRNFGETLKRNLSFHVGFSLPIQQCKNRALQRNQSKRQHKFSCDGSFRSFNFDQEKKPFG >KJB27136 pep chromosome:Graimondii2_0_v6:4:61216718:61222045:1 gene:B456_004G280000 transcript:KJB27136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGRRIGKHNSTAHHQRQHSDDFIRSAFNGKCLQSSDVRSSQEFEIYGGKRVSKKSPEPAALPISSRSFSLRMNGDKSVSPEPAAAAPPISSRSMSLRMNGDKYVAYSELSPSLMDLYSFDTVLLPEAYNIYEGYGLHKSAQGKSFNDFEPYQSTDRFSNRARGMAENNVLKSISVDKERANNVAKIKVVVRKRPLNKKEVAKKEEDIITILSNSNALTVHEKKLKVDLTEYVEKHEFLFDAVLNEDVSNEKVYSESVEPIVPLIFNRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMYHSYRNQGFQLFVSFFEIYGGKVFDLLNDRRKLFMREDGKQQVCIVGLQEYKVLDVETIKELIERGNATRSTGTTGANEESSRSHAILQLAIKRSADGSEKKPAKLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDHGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNAAKKDSLSSSSNLRDSTALPLASSLPTDSFEDIIPDNKPRWSDRIERDTSPFKVDRVPSGRVEGNVSVAYPDYYMGQKSGLNRMAEDNLDHSEETNSDDDLNALLKEEEDLVSAHRRQVEHTIEIVREEMNLLVEADQPGNQLDNYISKLSAILSQKAAGILQLQNRLARFQKRLNEYNVLISSGI >KJB25584 pep chromosome:Graimondii2_0_v6:4:52702457:52707598:1 gene:B456_004G200900 transcript:KJB25584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSLSSLSINPLISISSSSSSKASSRHLPSFSKRFGVYCQVNNSEIKARISALAAAIVVFGSDISAMAELNKFEAETRGEFGIGSAAQFGSADLKKAVHVNENFRRANFTSADMRESDFSGSTFNGAYLEKAVAYRANFTGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGALIEGADFSDAVIDLPQKQALCKYANGKNPITGVSTRASLGCGNSRRNAYGSPSSPLLSAPPQKLLDRDGFCNKDTGLCEAK >KJB25582 pep chromosome:Graimondii2_0_v6:4:52702348:52707611:1 gene:B456_004G200900 transcript:KJB25582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSLSSLSINPLISISSSSSSKASSRHLPSFSKRFGVYCQVNNSEIKARISDCSNNTYEVRDAKFKIWKPLLSTALAAAIVVFGSDISAMAELNKFEAETRGEFGIGSAAQFGSADLKKAVHVNENFRRANFTSADMRESDFSGSTFNGAYLEKAVAYRANFTGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGALIEGADFSDAVIDLPQKQALCKYANGKNPITGVSTRASLGCGNSRRNAYGSPSSPLLSAPPQKLLDRDGFCNKDTGLCEAK >KJB25585 pep chromosome:Graimondii2_0_v6:4:52702457:52707598:1 gene:B456_004G200900 transcript:KJB25585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSLSSLSINPLISISSSSSSKASSRHLPSFSKRFGVYCQVNNSEIKARISDCSNNTYEVRDAKFKIWKPLLSTALAAAIVVFGSDISAMAELNKFEAETRGEFGIGSAAQFGSADLKKAVHVNENFRANFTSADMRESDFSGSTFNGAYLEKAVAYRANFTGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGALIEGADFSDAVIDLPQKQALCKYANGKNPITGVSTRASLGCGNSRRNAYGSPSSPLLSAPPQKLLDRDGFCNKDTGLCEAK >KJB25583 pep chromosome:Graimondii2_0_v6:4:52702898:52707309:1 gene:B456_004G200900 transcript:KJB25583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNKFEAETRGEFGIGSAAQFGSADLKKAVHVNENFRRANFTSADMRESDFSGSTFNGAYLEKAVAYRANFTGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGALIEGADFSDAVIDLPQKQALCKYANGKNPITGVSTRASLGCGNSRRNAYGSPSSPLLSAPPQKLLDRDGFCNKDTGLCEAK >KJB27276 pep chromosome:Graimondii2_0_v6:4:61794382:61795920:-1 gene:B456_004G288300 transcript:KJB27276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIGEPTRPNPTVKIPAWDPLNDPTSSYSSVNYNCNAPSSPCPVDYLSSLHRYLPSNDFESSDSLSEDSDLPVDAFSCDHFRMYEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKFHYSGTACPDFRKGICKKGDSCEFAHGVFECWLHPARYRTQPCKDGTSCKRRVCFFAHTPEQLRLLPQQSPRGNGSSSGDLDYVGSPIRHRFDIVSSPTSILASPPLSPPSDSPPLSPSGSFYSVSELAASMRSMQLGKSKMNGAGACSWGMQMGSGYGSPRGSTLRPGFCRSPSTPTRSGLGQFDLWECNNGFEEEPAMERVESGRDLRARMYAKLSKENSLDRLDPTEPELDLDWVSELVK >KJB22974 pep chromosome:Graimondii2_0_v6:4:8738294:8741072:1 gene:B456_004G076300 transcript:KJB22974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMLNGAETFTLIRGVTPKSIGFLGSGLHGKQFSSAGLIYSPRMSRLGTTIAPRCSLSASRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLNDRDMVVVDVGGGTGFTTLGIVQHVDAKNVTILDQSPHQLAKAKQKEPLKECNIIEGDAEDLPFPADFADRYVSAGSIEYWPDPQRGIKEAYRVLKQGGKACLIGPVYPTFWLSRFFADVWMLFPKEEEYIEWFEKAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPTSGDSPLQLGPKAEDVSKPVNPFVFLLRFMLGATAAAYYVLVPIYMWLKDQFVPEGQPI >KJB26404 pep chromosome:Graimondii2_0_v6:4:57807035:57810626:1 gene:B456_004G240000 transcript:KJB26404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFLRKASFSSGSKSIVRIIAIGAASAGLLYLNNNPDSKATVKLSIPTILREHLAFQGHPSFVSSDHWQFGKLPLFLSRVDPALAGDVTKGAPVAVGDGGKPSCGCLGRDFIANAAAKIAPAVVNLSVQQDLYGFTTVRSMCSGTIIDADGTILTCAHGVVDSQGRQLTTKGKIDVTLQDGRTFEGTVVNSDLHSDIAIVKIKSKTPLPTAKLGSSSKLRPGDWVIAMGTPLSLQNTVTAGIVSCVDRKSSDLGLGGMRREYLQTDCAINAGNSGGPLVNIDGEIVGVNIMKVAAADGLSFSIPIDSVSKIIEHFKKSGRVIRPWLGLKMLDLNELIISQLKERDAKFPEVEKGILVPMVTPGSPADRAGFHPGDVVIEFDGKPVQSIKEIVEIMDDRIGKPLNVVVKRANNEVVKLTVIPEEANPDM >KJB26402 pep chromosome:Graimondii2_0_v6:4:57807035:57810579:1 gene:B456_004G240000 transcript:KJB26402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFLRKASFSSGSKSIVRIIAIGAASAGLLYLNNNPDSKATVKLSIPTILREHLAFQGHPSFVSSDHWQFGKLPLFLSRVDPALAGDVTKGAPVAVGDGGKPSCGCLGRDFIANAAAKIAPAVVNLSVQQDLYGFTTVRSMCSGTIIDADGTILTCAHGVVDSQGRQLTTKGKIDVTLQDGRTFEGTVVNSDLHSDIAIVKIKSKTPLPTAKLGSSSKLRPGDWVIAMGTPLSLQNTVTAGIVSCVDRKSSDLGLGGMRREYLQTDCAINAGNSGGPLVNIDGEIVGVNIMKVAAADGLSFSIPIDSVSKIIEHFKKSGYNYISLWN >KJB26399 pep chromosome:Graimondii2_0_v6:4:57807277:57808551:1 gene:B456_004G240000 transcript:KJB26399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFLRKASFSSGSKSIVRIIAIGAASAGLLYLNNNPDSKATVKLSIPTILREHLAFQGHPSFVSSDHWQFGKLPLFLSRVDPALAGDVTKGAPVAVGDGGKPSCGCLGRDFIANAAAKIAPAVVNLSVQQDLYGFTTVRSMCSGTIIDADGTILTCAHGVVDSQGRQLTTKGKIDVTLQDGRTFEGTVVNSDLHSDIAIVKIKSKTPLPTAKLGSSSKLRPGDWVIAMGTPLSLQNTVTAGIVRYFYTEFVFYI >KJB26403 pep chromosome:Graimondii2_0_v6:4:57807035:57810626:1 gene:B456_004G240000 transcript:KJB26403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFLRKASFSSGSKSIVRIIAIGAASAGLLYLNNNPDSKATVKLSIPTILREHLAFQGHPSFVSSDHWQFGKLPLFLSRVDPALAGDVTKGAPVAVGDGGKPSCGCLGRDFIANAAAKIAPAVVNLSVQQDLYGFTTVRSMCSGTIIDADGTILTCAHGVVDSQGRQLTTKGKIDVTLQDGRTFEGTVVNSDLHSDIAIVKIKSKTPLPTAKLGSSSKLRPGDWVIAMGTPLSLQNTVTAGIVSCVDRKSSDLGLGGMRREYLQTDCAINAESYTALAWIKNA >KJB26400 pep chromosome:Graimondii2_0_v6:4:57807035:57808961:1 gene:B456_004G240000 transcript:KJB26400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFLRKASFSSGSKSIVRIIAIGAASAGLLYLNNNPDSKATVKLSIPTILREHLAFQGHPSFVSSDHWQFGKLPLFLSRVDPALAGDVTKGAPVAVGDGGKPSCGCLGRDFIANAAAKIAPAVVNLSVQQDLYGFTTVRSMCSGTIIDADGTILTCAHGVVDSQGRQLTTKGKIDVTLQDGRTFEGTVVNSDLHSDIAIVKIKSKTPLPTAKLGSSSKLRPGDWVIAMGTPLSLQNTVTAGIVSCVDRKSSDLGLGGMRREYLQTDCAINAVLSFSQLVCINNLSHFCSCL >KJB26401 pep chromosome:Graimondii2_0_v6:4:57807035:57810579:1 gene:B456_004G240000 transcript:KJB26401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFLRKASFSSGSKSIVRIIAIGAASAGLLYLNNNPDSTTVKLSIPTILREHLAFQGHPSFVSSDHWQFGKLPLFLSRVDPALAGDVTKGAPVAVGDGGKPSCGCLGRDFIANAAAKIAPAVVNLSVQQDLYGFTTVRSMCSGTIIDADGTILTCAHGVVDSQGRQLTTKGKIDVTLQDGRTFEGTVVNSDLHSDIAIVKIKSKTPLPTAKLGSSSKLRPGDWVIAMGTPLSLQNTVTAGIVSCVDRKSSDLGLGGMRREYLQTDCAINAGNSGGPLVNIDGEIVGVNIMKVAAADGLSFSIPIDSVSKIIEHFKKSGRVIRPWLGLKMLDLNELIISQLKERDAKFPEVEKGILVPMVTPGSPADRAGFHPGDVVIEFDGKPVQSIKEIVEIMDDRIGKPLNVVVKRANNEVVKLTVIPEEANPDM >KJB23479 pep chromosome:Graimondii2_0_v6:4:18347925:18355552:1 gene:B456_004G101000 transcript:KJB23479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNKGIVDHQQQHNNQAVYLMDSPSSTPVSALASSTPSSNDDPPRLKFLCSFLGSILPRPQDGKLRYVGGETRIVSLPRDISYEELMNKMRELYDAAAVLKYQQPDEDLDALVSVVNDDDVTNMMEEYEKLGAGDGFTRLRIFLFSHPDREGSSHYVDGDERETERRYVDALNSLNEGSDFKKCDSPVMAPVSDDIHLAEQFFNGVSVDGSLHSQRNAEMLVPPYNLHHLNIPQMGSGQLLPPVPQRYNEMEGTWSPAYYSPRHHGHHDPRTLSEFPPSPSSSRYRVPFPELADKCLDRLPEEYVRQQLSHHPQYEHQPQFSDNVIWMPTAAIPGNKPAGFPSNILHGHSVYEGNHICEHCRATFSRNQTPLLEHSIMGNGVPQVNSPCPECPPNHEAFMLNADGKLQHGFYSKDHTDPRSAYGETHSHDRGRVLQNQLNPCVEEARNHVPGAGRLNDHYVHDGAGMNLPLGHASLADGHHLPSNYVHHQTVSELGNEVFHDQAVVASPHLHIPPEERGVRYGNYPYPYGGDNVYQVPQGHLHGQSVWRNVQNPTQGAPAYETSGLPEQVNGACNPAILKGVVEGSSIHCVTDGQNPWVESSQKMLGFNATGVPDNAYAHTLKMNISPHDLKTQCSITMEPIRSPQDMLNVALSPEPVQSPEQPTTLIHDKHVSSNNPRSLDNSNATWALRTEEKIVAMEDKEANNAVKVENFEVPSILCTEQNKITENESKTALVETSISSCKKFAEEDGEQAKPGEKDPSAAENSKLSVNHLSFIPQFVASVKKAALEEVEEVKVKVQEGASMKHEAVQGEVAENESESVNAQGELELDPDNDNISPSKIEPTKAEAEAIARGLQTIKNDDLEEIRQLGSGTYGAVYHGKWKGSDVAIKRIKASCFAGKPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVRQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYADMHCASIIGGIVNNTLRPKIPSWCDPEWKALMEKCWASDAAERPPFSEISQRLRSMAAAINVK >KJB23480 pep chromosome:Graimondii2_0_v6:4:18347579:18355552:1 gene:B456_004G101000 transcript:KJB23480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNKGIVDHQQQHNNQAVYLMDSPSSTPVSALASSTPSSNDDPPRLKFLCSFLGSILPRPQDGKLRYVGGETRIVSLPRDISYEELMNKMRELYDAAAVLKYQQPDEDLDALVSVVNDDDVTNMMEEYEKLGAGDGFTRLRIFLFSHPDREGSSHYVDGDERETERRYVDALNSLNEGSDFKKCDSPVMAPVSDDIHLAEQFFNGVSVDGSLHSQRNAEMLVPPYNLHHLNIPQMGSGQLLPPVPQRYNEMEGTWSPAYYSPRHHGHHDPRTLSEFPPSPSSSRYRVPFPELADKCLDRLPEEYVRQQLSHHPQYEHQPQFSDNVIWMPTAAIPGNKPAGFPSNILHGHSVYEGNHICEHCRATFSRNQTPLLEHSIMGNGVPQVNSPCPECPPNHEAFMLNADGKLQHGFYSKDHTDPRSAYGETHSHDRGRVLQNQLNPCVEEARNHVPGAGRLNDHYVHDGAGMNLPLGHASLADGHHLPSNYVHHQTVSELGNEVFHDQAVVASPHLHIPPEERGVRYGNYPYPYGGDNVYQVPQGHLHGQSVWRNVQNPTQGAPAYETSGLPEQVNGACNPAILKGVVEGSSIHCVTDGQNPWVESSQKMLGFNATGVPDNAYAHTLKMNISPHDLKTQCSITMEPIRSPQDMLNVALSPEPVQSPEQPTTLIHDKHVSSNNPRSLDNSNATWALRTEEKIVAMEDKEANNAVKVENFEVPSILCTEQNKITENESKTALVETSISSCKKFAEEDGEQAKPGEKDPSAAENSKLSVNHLSFIPQFVASVKKAALEEVEEVKVKVQEGASMKHEAVQGEVAENESESVGELELDPDNDNISPSKIEPTKAEAEAIARGLQTIKNDDLEEIRQLGSGTYGAVYHGKWKGSDVAIKRIKASCFAGKPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVRQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYADMHCASIIGGIVNNTLRPKIPSWCDPEWKALMEKCWASDAAERPPFSEISQRLRSMAAAINVK >KJB23481 pep chromosome:Graimondii2_0_v6:4:18347512:18355724:1 gene:B456_004G101000 transcript:KJB23481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNKGIVDHQQQHNNQAVYLMDSPSSTPVSALASSTPSSNDDPPRLKFLCSFLGSILPRPQDGKLRYVGGETRIVSLPRDISYEELMNKMRELYDAAAVLKYQQPDEDLDALVSVVNDDDVTNMMEEYEKLGAGDGFTRLRIFLFSHPDREGSSHYVDGDERETERRYVDALNSLNEGSDFKKCDSPVMAPVSDDIHLAEQFFNGVSVDGSLHSQRNAEMLVPPYNLHHLNIPQMGSGQLLPPVPQRYNEMEGTWSPAYYSPRHHGHHDPRTLSEFPPSPSSSRYRVPFPELADKCLDRLPEEYVRQQLSHHPQYEHQPQFSDNVIWMPTAAIPGNKPAGFPSNILHGHSVYEGNHICEHCRATFSRNQTPLLEHSIMGNGVPQVNSPCPECPPNHEAFMLNADGKLQHGFYSKDHTDPRSAYGETHSHDRGRVLQNQLNPCVEEARNHVPGAGRLNDHYVHDGAGMNLPLGHASLADGHHLPSNYVHHQTVSELGNEVFHDQAVVASPHLHIPPEERGVRYGNYPYPYGGDNVYQVPQGHLHGQSVWRNVQNPTQGAPAYETSGLPEQVNGACNPAILKGVVEGSSIHCVTDGQNPWVESSQKMLGFNATGVPDNAYAHTLKMNISPHDLKTQCSITMEPIRSPQDMLNVALSPEPVQSPEQPTTLIHDKHVSSNNPRSLDNSNATWALRTEEKIVAMEDKEANNAVKVENFEVPSILCTEQNKITENESKTALVETSISSCKKFAEEDGEQAKPGEKDPSAAENSKLSVNHLSFIPQFVASVKKAALEEVEEVKVKVQEGASMKHEAVQGEVAENESESVNAQGELELDPDNDNISPSKIEPTKAEAEAIARGLQTIKNDDLEEIRQLGSGTYGAVYHGKWKGSDVAIKRIKASCFAGKPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVRQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYADMHCASIIGGIVNNTLRPKIPSWCDPEWKALMEKCWASDAAERPPFSEISQRLRSMAAAINVK >KJB23057 pep chromosome:Graimondii2_0_v6:4:9573996:9575877:1 gene:B456_004G080100 transcript:KJB23057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVSVDTPSPPPLTKDPTCLMAASSSSPLFSPASDKRFWSTLRSRVDALIGDRNAKISIQNVDPSFPTEINSRESNRAKRLKEDSMLLLRGFDSISQTLSQLSNNLDNALQGARELAKPPTLTDLFHSKLKNSETKEEDPKQKGNQEESKIGLKRKFDYSECSDDNKGDDGSQKENEQSPQNKKMMKKAKNVNFLLCFHLFIN >KJB23058 pep chromosome:Graimondii2_0_v6:4:9573996:9575877:1 gene:B456_004G080100 transcript:KJB23058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVSVDTPSPPPLTKDPTCLMAASSSSPLFSPASDKRFWSTLRSRVDALIGDRNAKISIQNVDPSFPTEINSRESNRAKRLKEDSMLLLRGFDSISQTLSQLSNNLDNALQGARELAKPPTLTDLFHSKLKNSETKEEDPKQKGNQEESKIGLKRKFDYSECSDDNKGDDGSQKENEQSPQNKKMMKKAKNLAISMATKAASLARELKSIKSDLCFMQERCSLLEEENRRLRDGFTKGIRPEEDDLVSFNWKHC >KJB23056 pep chromosome:Graimondii2_0_v6:4:9573967:9575904:1 gene:B456_004G080100 transcript:KJB23056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSLSTDRRTLFKFPSSKLAVTLLLFCFFLPFRFSPPIAMAASVSVDTPSPPPLTKDPTCLMAASSSSPLFSPASDKRFWSTLRSRVDALIGDRNAKISIQNVDPSFPTEINSRESNRAKRLKEDSMLLLRGFDSISQTLSQLSNNLDNALQGARELAKPPTLTDLFHSKLKNSETKEEDPKQKGNQEESKIGLKRKFDYSECSDDNKGDDGSQKENEQSPQNKKMMKKAKNLAISMATKAASLARELKSIKSDLCFMQERCSLLEEENRRLRDGFTKGIRPEEDDLVRLQLEALLAEKSRLANENANLVRENQCLHQLVEYHQMTSQDLSASYEEVIRGMCLDFSSPIAEEEEINGGGDSDDADNRVTQTPRTDIFGISTSLDHYFDEEQH >KJB22346 pep chromosome:Graimondii2_0_v6:4:3646414:3649629:-1 gene:B456_004G042300 transcript:KJB22346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGTIDKCKACDKTVHVVDMLTLEGVPYHKTCFKCSHCKGHLVMSTYSSMDGVLYCKPHFEQLFKESGNFSKNFLTAKPEKQNEANKTSSKFSSMFCGTQDKCASCEKTVYPLEKVTMEGECFHKSCFKCAHGGCHLTHSSYAALNGVLYCKHHFSQLFMEKGNYNHVLEAATHKRNNSAASIEHVDNESDGTPAIEENES >KJB23818 pep chromosome:Graimondii2_0_v6:4:27975291:27977554:-1 gene:B456_004G116000 transcript:KJB23818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFHVSKLIITSQASATYPKFPPFSVFRKSLSFPHSVSQCQRKIATFVSSKSSEAGELPTAEDEWLSRLPDKNKPLYSHSLPCIEAWLRSLGFYQSREDRAVWLIEKPDWHAQLSLDVTDLYIRYLKSGPGNLERDVERRFSYALSREDIENAILGGP >KJB22459 pep chromosome:Graimondii2_0_v6:4:4422573:4424670:1 gene:B456_004G048700 transcript:KJB22459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQTLKRSTSRSPSAWYSPPLPHHHDPILTTLSHAISNSPTKPLHVSLSKLLPSLTPSHVVNLIVLNPHSLSPHSLFSFFNFLSSHPTFRHTVHSYSAMSHFLVAHNMLPQARFLLNFLVSRKGKGSASSIFASVLETKGTHQCDFVFDSLMIAYTDLGFVSDAIQCFRLVRKHKLRVPFRGCKYLFDRMMKISLPIVSLGFYTEILEYGFPPNVYSFNILMNKLCRQGLIKDAQMVFDEIARRGLHASVVSFNTLINGYCKSGNLDEGFRLRSAMEEAGIRPDVFTYSVLINGLCKESRLDKANELFEEMCDRGLIPNDVIFTSLIDGMCKNGRTDLAMGTYQQFLTKGFKPDLIMYNSLINGLCKAGDLKRAKKLIAEMSLRGLKPDKFTYTILLDGFCKEGDMESALGIREEMIKQGIKLDDVAFTALISGLCKEGRHLDAERALREMLDAGMKPDAAAYTMVMDGFCKKGDVRMGFKLLKQMQSDGHVPGVVTYNVLMNGLCKQGQLRNANMLLDNMLNLGVVPDTITYNILLDGHCKKANPKDFGKLKSEMGLVADYASYSSLISKISGASKHHSRR >KJB25112 pep chromosome:Graimondii2_0_v6:4:48304636:48307171:1 gene:B456_004G176900 transcript:KJB25112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHPLGCVLMFSVLASLGLVSFAACLVAEAKRSKKVDLKLDGKMCFLPRKSDAFELGVAASVSLCTAQIIGNVLLCASYWWRANTRKPTKPTLTAILLAISWISFGVAAILISAATSMSRAQPYGEGWLDGECYLVRDGVYLSSGVLSLLAVFTLIGAASITITNNQVLDQAHKINA >KJB25113 pep chromosome:Graimondii2_0_v6:4:48305011:48307163:1 gene:B456_004G176900 transcript:KJB25113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHPLGCVLMFSVLASLGLVSFAACLVAEAKRSKVDLKLDGKMCFLPRKSDAFELGVAASVSLCTAQIIGNVLLCASYWWRANTRKPTKPTLTAILLAISWISFGVAAILISAATSMSRAQPYGEGWLDGECYLVRDGVYLSSGVLSLLAVFTLIGAASITITNNQVLDQAHKINA >KJB22376 pep chromosome:Graimondii2_0_v6:4:3894684:3896835:-1 gene:B456_004G044500 transcript:KJB22376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCCGQWSFVIAMVAVNFALAITNALFKMILNEGMNGVIILIYRHTISVICLTPIAFFRERKSRPKLTPSIMCHLFFNALIGLVLTQYFFLLGLQYASPTFTCAFLNIVPAITLVLALPFGLEKVNMGNKAGKAKVVGTLVCISGAMVLTLYKGRTLVGSSNSSDNNTTVVATKKKDRWGIGPIFPMASAVCFSSWFLLQSRIGKVYPCKYSSTAFMSLFSAFQSTILGLITEREFTKWILKDELEVTTVIIAGIVASGLCYVGMSWCVEHKGPVFTSAFSPLVQIFVAMFDFSFLHGKIYLGSVIGSILIVIGLYILLWGRNSEAQEMKQPQEGEEKDCNVWSQV >KJB22377 pep chromosome:Graimondii2_0_v6:4:3894433:3896946:-1 gene:B456_004G044500 transcript:KJB22377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCCGQWSFVIAMVAVNFALAITNALFKMILNEGMNGVIILIYRHTISVICLTPIAFFRERKSRPKLTPSIMCHLFFNALIGLVLTQYFFLLGLQYASPTFTCAFLNIVPAITLVLALPFGLEKVNMGNKAGKAKVVGTLVCISGAMVLTLYKGRTLVGSSNSSDNNTTVVATKKKDRWGIGPIFPMASAVCFSSWFLLQSRIGKVYPCKYSSTAFMSLFSAFQSTILGLITEREFTKWILKDELEVTTVIIACHWINLNCNWFIYTAMGKKL >KJB22128 pep chromosome:Graimondii2_0_v6:4:2471087:2474229:-1 gene:B456_004G030900 transcript:KJB22128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILRTRFLPLHQSQDGYNSIPSFHRKCRFNRVTAAQFETGNANYERIDMDKRGQQTTRTKRLLNFSGEKPSTPLLDTINHPIHMKNLSIEELRKLADELREEVVYTVSNTGGHLSSNLGVAELTVALHYVFDTPKDKIIWDVGHQSYPHKILTGRRSRMHSIRQTCGLAGFPKREESIHDAFGAGHSSTSISAGLVGRDLLGKNNHVIAVIGDGAMTAGMAYEAMNNAGYLDSNLIIILNDNKQVSLPTATVDGPAPPVGALSKALTKLQSSREFRQLREAAKGITKQIGGQTHEIAAKFDSYMRGVVGGSGASLFEELGLYYIGPVDGHNVEDLVYVLNEVRSMPAPGPVLIHVSTEKGKGYAPAEVAPDKMHGVVKFDPKSGKQMKSKSETCSYTTYFAESLIAEAEEDDKIVGIHAAMGGGTGLSLFQKRFPDRCFDVGIAEQHAVTFAAGLASEGLKPFCAIYSSFLQRGFDQVAHDVDLQKLPVRFAIDRAGLVGADGPTHCGAFDTTFMACLPNMVVMAPSNETELMHMVATAAAIDDRPSCFRYPRGNGIGTIFPPNNKGTPLEIGKGRILREGSGKVAILGYGTIVQSCMKAAEQLQMLGISATVADARFCKPIDGDLLRQLAREHEILITAEEGSMGGFSTHVSHFLCLNGLLDGKLKWRPMILPDKYIDHGSQNDQIEEAGLSSKHIAATVLSTLGHTRGCVHQLDLID >KJB22127 pep chromosome:Graimondii2_0_v6:4:2471241:2474223:-1 gene:B456_004G030900 transcript:KJB22127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILRTRFLPLHQSQDGYNSIPSFHRKCRFNRVTAAQFETGNANYERIDMDKRGQQTTRTKRLLNFSGEKPSTPLLDTINHPIHMKNLSIEELRKLADELREEVVYTVSNTGGHLSSNLGVAELTVALHYVFDTPKDKIIWDVGHQSYPHKILTGRRSRMHSIRQTCGLAGFPKREESIHDAFGAGHSSTSISAGLGMAVGRDLLGKNNHVIAVIGDGAMTAGMAYEAMNNAGYLDSNLIIILNDNKQVSLPTATVDGPAPPVGALSKALTKLQSSREFRQLREAAKGITKQIGGQTHEIAAKFDSYMRGVVGGSGASLFEELGLYYIGPVDGHNVEDLVYVLNEVRSMPAPGPVLIHVSTEKGKGYAPAEVAPDKMHGVVKFDPKSGKQMKSKSETCSYTTYFAESLIAEAEEDDKIVGIHAAMGGGTGLSLFQKRFPDRCFDVGIAEQHAVTFAAGLASEGLKPFCAIYSSFLQRGFDQVAHDVDLQKLPVRFAIDRAGLVGADGPTHCGAFDTTFMACLPNMVVMAPSNETELMHMVATAAAIDDRPSCFRYPRGNGIGTIFPPNNKGTPLEIGKGRILREGSGKVAILGYGTIVQSCMKAAEQLQMLGISATVADARFCKPIDGDLLRQLAREHEILITAEEGSMGGFSTHVSHFLCLNGLLDGKLKWRPMILPDKYIDHGSQNDQIEEAGLSSKHIAATVLSTLGHTRGCVHQLDLID >KJB22233 pep chromosome:Graimondii2_0_v6:4:2992973:2993772:1 gene:B456_004G0365001 transcript:KJB22233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDIYILNGD >KJB22232 pep chromosome:Graimondii2_0_v6:4:2992973:2993115:1 gene:B456_004G0365001 transcript:KJB22232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDIYILNGD >KJB21458 pep chromosome:Graimondii2_0_v6:4:1282689:1283481:1 gene:B456_004G017900 transcript:KJB21458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIESKDFKEKRNTEIQMKGSVIQMKSSNKIQSQTQLKSEKPLKPPFRPAKDDTKPLLQDPILRSDPNETEEAVLRLPPFPSLN >KJB22791 pep chromosome:Graimondii2_0_v6:4:6699563:6702003:-1 gene:B456_004G065800 transcript:KJB22791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWSYSKLCFLQSGLLRQIVVHHKKRLLRVYYASSSALLMEDHDFDCIPKVESDNNEVGEVQVPEKRFKFCRNPSLFPIVVRVFKSLNWCAARKISFHNAVKMYGFDHSIYAFRIIIHIFAMTGMQMEAHALLRDIVCYCEGVKIDVFELLPYLLDSPEHVHRSTSVFNVLIKVFASNLMLGNAVDVFLEVKTIGIELSIMSCNFLLKCLLEANRGDIMRMMFEEMKNSGPSPNVYTYTIMMNFYCKGYYGRGADIEQATKLKEEMEIDGINPSVVTYSTYICGICRVGHVEFALDVIRDLRSGNKPINSFCYNAVIYGFCQKGEPYEASKVLEEMRSCGILPDVHSYSILIDGFCKRGDFVKVFHFIDEMKHNDMKPSVVTYTSLFDGLCKSGRADVSLKLFRNFCTSGYEFDLVAYSVLLKGLCLQGDLDSAMELFNEMINNGLIPTANSFNRLIHGFCKMGPLDKAWELFNIMLQRGVLPTVFTFNVIVDGYCNAGHLEEALKLINEMHELGIFPNSYTYNGIIKRLCKQSSVEKAWELLPQMLKKDIIHDSPYDIILDGFAKQLNPKKAMMLYTRMLKLGVTPTTYTYTILINLFCQSGNMYEAWKLFMDLLGRGLIPDTIFYTTIIDGFCRVGDMRKAWALFREMPQKGCSPNVVTYTCLINGFCNVHRMDVVNSLISEMKKRDINPDVVTYTALIAGYRRLGNADRALELFTEMIRNDILPDYAAYRHAFT >KJB24001 pep chromosome:Graimondii2_0_v6:4:32437102:32440021:-1 gene:B456_004G124500 transcript:KJB24001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTEESVVRERKKRPKSKSRPKSKLKKKTKIEAKMPKKPPTAFFYLLVDFCKEFQEQNPSIKSMRDVEKCMVRLQELQLTVAGGSKIISGVSLSPRSTKGYMRTSLRCKQESLRMKNSTPRKSPLGSFQPLQEVNGGECHYQQC >KJB23775 pep chromosome:Graimondii2_0_v6:4:26857315:26859225:1 gene:B456_004G114500 transcript:KJB23775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTSMVGDSSSWSTALVKISPYTFSAIGIAIAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSSQIYAPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPAKSV >KJB23776 pep chromosome:Graimondii2_0_v6:4:26855269:26859225:1 gene:B456_004G114500 transcript:KJB23776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTSMVGDSSSWSTALVKISPYTFSAIGIAIAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSSQIYAPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPAKSV >KJB24199 pep chromosome:Graimondii2_0_v6:4:36031223:36036152:-1 gene:B456_004G132600 transcript:KJB24199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHKSDEGTREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRTKQISSVPIHLSIFSPNVVNLTLVDLPGLTKVAVEGQPESIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVDILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFASTPEYRHLAHRMGSEHLAKMLSKHLETVIKSRIPGIQSLINKTIVELETELSRLGRPIAADAGGKLYSIMEICRLFDQNFREHLDGVRSGGDKVYNVFDNQLPAALKRLQFDRQLSMENIKKLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEAAVDAVHSILKDLVHKAISETPELKQYPGLRVEVGNAAIESLDRMRDQSKKAALQLVDMECCYLTVEFFRKLPQDVEKGGNPTQSIFDRYHETYLRRIGTTVLSYVNMVCATLRHSIPKSIVYCQVREAKRSLLDFFYTELGKLEQKRLSALLNEDPAVMERRSALAKRLELYRSAQAEIDTVAWSK >KJB24200 pep chromosome:Graimondii2_0_v6:4:36031363:36036127:-1 gene:B456_004G132600 transcript:KJB24200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHKSDEGTREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRTKQISSVPIHLSIFSPNVVNLTLVDLPGLTKVAVEGQPESIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVDILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFASTPEYRHLAHRMGSEHLAKMLSKHLETVIKSRIPGIQSLINKTIVELETELSRLGRPIAADAGVSLYSIMEICRLFDQNFREHLDGVRSGGDKVYNVFDNQLPAALKRLQFDRQLSMENIKKLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEAAVDAVHSILKDLVHKAISETPVCSLLCLSYLCLKRNHAYWMIISHTINLTFVCYLLPLSLYFNLVWFSGIKAVPWSPSRGWKCCNRVS >KJB21622 pep chromosome:Graimondii2_0_v6:4:314087:316157:1 gene:B456_004G004800 transcript:KJB21622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSVPIYLDPPNWQQHNHHQHGGVGTGSTENPQLPPLPPPSHVGVGGAGSIRPGSMAGRARLAKIPQPEAALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKNKSSSSKSTGSAEKQGGSNSTNAVNNNIPSEITGHLPQQTPHLPFMASLQSFSQFGMGNIGLNFGGSANGGQAEMGFHIGTNSGMNSPILSSAGHQQFPFFEPTNGLYSLQSEGTEGSSSMVGESQLLRSITSSSRVSQLAPVKMENNNNQGLNLSRSMMNNASENNQYWGGNNWTDLSGLNASNTNHLL >KJB21623 pep chromosome:Graimondii2_0_v6:4:314273:316157:1 gene:B456_004G004800 transcript:KJB21623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSVPIYLDPPNWQQQHNHHQHGGVGTGSTENPQLPPLPPPSHVGVGGAGSIRPGSMAGRARLAKIPQPEAALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKNKSSSSKSTGSAEKQGGSNSTNAVNNNIPSEITGHLPQQTPHLPFMASLQSFSQFGMGNIGLNFGGSANGGQAEMGFHIGTNSGMNSPILSSAGHQQFPFFEPTNGLYSLQSEGTEGSSSMVGESQLLRSITSSSRVSQLAPVKMENNNNQGLNLSRSMMNNASENNQYWGGNNWTDLSGLNASNTNHLL >KJB24321 pep chromosome:Graimondii2_0_v6:4:39171976:39174814:-1 gene:B456_004G139400 transcript:KJB24321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTGGKTLSNSHSPSSFTKFNSAFTAGLLNPMSPPPNKTRSSPVVCELIASSSNIHQRTQTKNQALIQVPISDPRQNQTPPVIDKRALTMQQISDLLSIRSPGNKFNDPGSSDIKLTLSSKDGISVSMNVHKQILVAHSRFFEVKLSDKLAKYQWNGSAEVYMVEITDCDDVEVYIETLRLMYCKYLRKELMRNGISKNIKILKVAVVIGFDAGVLSCLEHLEAAPWAEDDEEKVTCALAELRLENVGAGDVLKRVSVEVTNGTGEVGDNEQVLLKLLHVVLEGKDEKARREMKGLVLKMLRENSSPNDLRKESLYSACDGCLELLRHHFLRAASSDLQDVSQIARQADNLHWMLDILIERRIAEDFLKSWASQSELSDVHSKVPAVHRHGVSRVTARLFVGMGKGQLLVPKEVRYMLLQTWLVPFYEDFGWMRRVSKGVDTHLIEDGLSNTILTLPLAWQREILLAWFYRFLNSSENCPNIQRGFEVWWRRAFWQRNGEQQLPTQLHLTTPTNANS >KJB23344 pep chromosome:Graimondii2_0_v6:4:14089657:14090070:1 gene:B456_004G094000 transcript:KJB23344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMCLASIPFFFFYFPFALPLKTMKESKHEAFTLFFILLLMLLGLASGDVNQDKAECADQLVGLAPCLPYVGGQAKAPTMDCCGGLKQVLVKSKKCLCVLIKDKDNPSLGLNINASLAATLPHTCHDTVNLTECICN >KJB23342 pep chromosome:Graimondii2_0_v6:4:14089548:14090572:1 gene:B456_004G094000 transcript:KJB23342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMCLASIPFFFFYFPFALPLKTMKESKHEAFTLFFILLLMLLGLASGDVNQDKAECADQLVGLAPCLPYVGGQAKAPTMDCCGGLKQVLVKSKKCLCVLIKDKDNPSLGLNINASLAATLPHTCHDTVNLTECISLLHLAPNSQEAKLFQGYQKLTEKHSTSPPASVLQRRVMEEWERSAWE >KJB23345 pep chromosome:Graimondii2_0_v6:4:14089548:14090572:1 gene:B456_004G094000 transcript:KJB23345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMCLASIPFFFFYFPFALPLKTMKESKHEAFTLFFILLLMLLGLASGDVNQDKAECADQLVGLAPCLPYVGGQAKAPTMDCCGGLKQVLVKSKKCLCVLIKDKDNPSLGLNINASLAATLPHTCHDTVNLTECISLLHLAPNSQEAKLFQGYQKLTEKHSTSPPASNSTSSAAEKSDGGMGKKRVGVVEIAVGVSLWVFSIHQNFVV >KJB23341 pep chromosome:Graimondii2_0_v6:4:14089657:14090427:1 gene:B456_004G094000 transcript:KJB23341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMCLASIPFFFFYFPFALPLKTMKESKHEAFTLFFILLLMLLGLASGDVNQDKAECADQLVGLAPCLPYVGGQAKAPTMDCCGGLKQVLVKSKKCLCVLIKDKDNPSLGLNINASLAATLPHTCHDTVNLTECISLLHLAPNSQEAKLFQGYQKLTEKHSTSPPASDKYIYVRR >KJB23343 pep chromosome:Graimondii2_0_v6:4:14089548:14090572:1 gene:B456_004G094000 transcript:KJB23343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMCLASIPFFFFYFPFALPLKTMKESKHEAFTLFFILLLMLLGLASGDVNQDKAECADQLVGLAPCLPYVGGQAKAPTMDCCGGLKQVLVKSKKCLCVLIKDKDNPSLGLNINASLAATLPHTCHDTVNLTECISLLHLAPNSQEAKLFQGYQKLTEKHSTSPPASGNIYLDLSLLLPHTISFSFFMIFEHLQIYIYKKKNLEKTI >KJB23340 pep chromosome:Graimondii2_0_v6:4:14089445:14090792:1 gene:B456_004G094000 transcript:KJB23340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMCLASIPFFFFYFPFALPLKTMKESKHEAFTLFFILLLMLLGLASGDVNQDKAECADQLVGLAPCLPYVGGQAKAPTMDCCGGLKQVLVKSKKCLCVLIKDKDNPSLGLNINASLAATLPHTCHDTVNLTECISLLHLAPNSQEAKLFQGYQKLTEKHSTSPPASGNSTSSAAEKSDGGMGKKRVGVVEIAVGVSLWVFSIHQNFVV >KJB25335 pep chromosome:Graimondii2_0_v6:4:50061769:50063777:-1 gene:B456_004G186300 transcript:KJB25335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPPGSYTPPVNGAQSQLVCSGCRNLLMYPAGATSVCCAVCNAITAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSAGGSASGAAEQKFNNT >KJB25336 pep chromosome:Graimondii2_0_v6:4:50061769:50064072:-1 gene:B456_004G186300 transcript:KJB25336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPPGSYTPPVNGAQSQLVCSGCRNLLMYPAGATSVCCAVCNAITAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSAGGSASGAAEQKFNNT >KJB25334 pep chromosome:Graimondii2_0_v6:4:50061769:50063775:-1 gene:B456_004G186300 transcript:KJB25334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPPGSYTPPVNAGAQSQLVCSGCRNLLMYPAGATSVCCAVCNAITAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSAGGSASGAAEQKFNNT >KJB22250 pep chromosome:Graimondii2_0_v6:4:3022889:3026126:-1 gene:B456_004G037000 transcript:KJB22250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPWFNFMPPSSNYTSSSSSSTVPTQNHPNPSSQPRTIFNKSQIPHHHPLKEALPFINYLSLTSQQQQESIKEPSSSSMEEEDKSIISLFPTIVDDGDDGYDYDEDDDDDGGVTVELHIGLPNPSSDLKSRASSPSKDMTADKLQRNPVSAGAASGNSTTPLSKGQYWIPTPSQILVGPTQFSCPLCCKTFNRYNNLQMHMWGHGSQYRKGPDSLKGAQPTAMLRLPCYCCSPGCKHNIDNPRAKPLKDFKTLQTHYKRKHGIKPFVCRKCEKAFAVKGDWRTHEKNCGKVWYCICGSDFKHKRSLKDHTKAFGPTGHGAVGGVDSLDEDDEPGSEIEPI >KJB22249 pep chromosome:Graimondii2_0_v6:4:3023291:3026015:-1 gene:B456_004G037000 transcript:KJB22249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPWFNFMPPSSNYTSSSSSSTVPTQNHPNPSSQPRTIFNKSQIPHHHPLKEALPFINYLSLTSQQQQESIKEPSSSSMEEEDKSIISLFPTIVDDGDDGYDYDEDDDDDGGVTVELHIGLPNPSSDLKSRASSPSKDMTADKLQRNPVSAGAASGNSTTPLSKGQYWIPTPSQILVGPTQFSCPLCCKTFNRYNNLQICIFIMHTYIHTCIHVCMYVYMDRADAYVGTWISIQKRTRLSKRSPTNRHAKATMLLLFTWLQAQHRQPKSQALKRFQNPSNAL >KJB25890 pep chromosome:Graimondii2_0_v6:4:54672965:54679632:-1 gene:B456_004G213900 transcript:KJB25890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHDSKYFSTTKKGEIPELKEELNSQHRDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAVCVAKLYDINAELVEDRGFLESLKDLISDNNPMVVANAVAALAEIQEHSSRPIFEITSHTLSKLLTALNECTEWGQVFILDALSRYKAADAREAENIVERITPRLQHANCAVVLSAVKMILLQMELISSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRRAVRAIGRCAIKLDRAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNMYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPPQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDPSLLDELLANIATLSSVYHKPPDAFVTRAKTASQRTEDDDYPDGNEKGYSANVGDGGASPSTSSSSVPYTAARRPSPAQVMPAPASPVPDLLGDLIGLDNNAMVPADPPAMPSGPPLPILLPASTGQGLQISAQLARQDGQIFYSLMFENNSQITLDGFMIQFNKNSFGLAAAGPLQVPPLAPGAAARTLLPMVLFQNMSPGPPSSLLQVAVKNNQQPVWYFNDKILLHVFFSEDGRMERTSFLETWRSLPDSNEVLKEFPGIMVGSAETTMDRLAASNVFFIAKRKHANQDVLYFSAKIPRGIPFLIELTTVIGNPGLKCATKTPNPELAPLFFEAIETLLKI >KJB25891 pep chromosome:Graimondii2_0_v6:4:54673004:54679567:-1 gene:B456_004G213900 transcript:KJB25891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHDSKYFSTTKKGEIPELKEELNSQHRDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAVCVAKLYDINAELVEDRGFLESLKDLISDNNPMVVANAVAALAEIQEHSSRPIFEITSHTLSKLLTALNECTEWGQVFILDALSRYKAADAREAENIVERITPRLQHANCAVVLSAVKMILLQMELISSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRRAVRAIGRCAIKLDRAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNMYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPPQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDPSLLDELLANIATLSSVYHKPPDAFVTRAKTASQRTEDDDYPDGNEKGYSANVGDGGASPSTSSSSVPYTAARRPSPAQVMPAPASPVPDLLGDLIGLDNNAMVPADPPAMPSGPPLPILLPASTGQGLQISAQLARQDGQIFYSLMFENNSQITLDGFMIQFNKNSFGLAAAGPLQVITVGL >KJB22507 pep chromosome:Graimondii2_0_v6:4:4708062:4710455:-1 gene:B456_004G051400 transcript:KJB22507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAENKIWDEYIKVNPDVRPYRVKTIPYYDDLGIIYGDNSARKKGDDITGSSAQPECANKGTVEAVHEIVVVDDCISLRQEVEDTTEMTLNATTNPLYSRTRTYWQPPMDRFFIDLMLEQLQNGNQIDGVFCKEAWTEMIASFNAKFGFNYDVDILKNRYKTLRRQYNVIKNLLQLDGFTWDDDRQMVIADDSVWQDYIKGHKDARQFMTRPVPYYKDLCLICNSPYPAGSDCFSLQCTEPENGVQDAKLGQATKCSLSPVTSFSGEDEIGDVLEPAHRDSNTTGSNPKCKRPPENKLNSSHTKKSRGKDDGMASALREMASMVSSLTEKKDDENTNPIPIENVIRAVQALPDMDEDLILDACDLLEDEIKAKTFMALDVKLRKKWLLRKLRPQQ >KJB22508 pep chromosome:Graimondii2_0_v6:4:4707752:4710495:-1 gene:B456_004G051400 transcript:KJB22508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAENKIWDEYIKVNPDVRPYRVKTIPYYDDLGIIYGDNSARKKGSSAQPECANKGTVEAVHEIVVVDDCISLRQEVEDTTEMTLNATTNPLYSRTRTYWQPPMDRFFIDLMLEQLQNGNQIDGVFCKEAWTEMIASFNAKFGFNYDVDILKNRYKTLRRQYNVIKNLLQLDGFTWDDDRQMVIADDSVWQDYIKGHKDARQFMTRPVPYYKDLCLICNSPYPAGSDCFSLQCTEPENGVQDAKLGQATKCSLSPVTSFSGEDEIGDVLEPAHRDSNTTGSNPKCKRPPENKLNSSHTKKSRGKDDGMASALREMASMVSSLTEKKDDENTNPIPIENVIRAVQALPDMDEDLILDACDLLEDEIKAKTFMALDVKLRKKWLLRKLRPQQ >KJB22509 pep chromosome:Graimondii2_0_v6:4:4708062:4710455:-1 gene:B456_004G051400 transcript:KJB22509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAENKIWDEYIKVNPDVRPYRVKTIPYYDDLGIIYGDNSARKKGFNLPKTLSHSGDDITGSSAQPECANKGTVEAVHEIVVVDDCISLRQEVEDTTEMTLNATTNPLYSRTRTYWQPPMDRFFIDLMLEQLQNGNQIDGVFCKEAWTEMIASFNAKFGFNYDVDILKNRYKTLRRQYNVIKNLLQLDGFTWDDDRQMVIADDSVWQDYIKGHKDARQFMTRPVPYYKDLCLICNSPYPAGSDCFSLQCTEPENGVQDAKLGQATKCSLSPVTSFSGEDEIGDVLEPAHRDSNTTGSNPKCKRPPENKLNSSHTKKSRGKDDGMASALREMASMVSSLTEKKDDENTNPIPIENVIRAVQALPDMDEDLILDACDLLEDEIKAKTFMALDVKLRKKWLLRKLRPQQ >KJB26093 pep chromosome:Graimondii2_0_v6:4:55961518:55968882:1 gene:B456_004G225000 transcript:KJB26093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIREAPNTSDQLIEQEYTSAVPCVAKNVNNYNISVQTGEEFSVEFLKECVGTRGIPAIPDAAQIHEKRVGINQNQNQELMYQDLAQILGLKRMDSECVSDLSDFSSAQGSFRESENGSCVEKLSKYQKEDDDIGQVARKAFGELNCDWSHLNVSAPATTPSNVCDTTSSSNFGGQGASDRTPSGKMKLLCSFGGKILPRPSDGKLRYVGGETRIISIQKCLSWKELVRKTLDVFNQPHSIKYQLPGEDLDALISVSSDEDLQNMMEEFNGLEKLEGSQRLRIFLIPFGESENAPSLEASTIQQSNPDYHYMVAVNGMVDHSPKKTCGGQCLPSEGSQLGPALDHNPSFLKWCPTSLLPLETMSCFNALHPSQVFLDSQYTTRSLITSPPISPLAFQRGDSNTVCAQAIGDNSGMESNSSFITVHLSSENCGIENPKYKQVQQVPPALMNYSLPYIKVDASQTCQPYEGQISNPDPSTNSTSLSVLIKNNRDYNGVSHERPISQAANPLNLLSASVDSMDSHPGMPHAFSDSKLQELGGRSGYYSQEGTSPSSPLNFATTRSASNVVQETLMQQQDSIGLMKSWAENDLSDSEATSDSIPDMLIFSPDPDASSRNKPIHKGADDCNDKCQTAKIDLSKSIFLTLNNYDTTILDASNSSDKIDPVLHQDEKFYEWRTPDSNMVSNDKLSNADCSRTSGVAIDSWKKDSQVSQKMITSSLDIKDNIKHPQTLDKTTNDIIECCDFSGKVIVGQGSITSGTSNPEVTCLFPKTMEDIKDESSAGALISDSLNGPLLIKPQQLQCVSSQKDISKEDMLISSINLYLSAVNIDSYLCSNLDKDDLQSMLQNPAKNAVPRRDFSLIDDDLNYPIQNAGKMAPIRSVHGNSIVEEFTFAQTETASVNQHQIQQDPVVILEDGVTSVPSRIEVSSAMVLPVDVTGRDIVSPIAKDLVDVIPESEFEDAAADDPDKDETFSDAVIAEMEANSYGLQIIKDADLEELRELGSGTYGTVYHGKWRGTDVAIKRIKKSCFSGRSSEQDRLMKDFWREAQILSNLHHPNVVAFYGVVPDGIGGTLATVTEYMVNGSLRNVLTKKERSLDLHKRLIIAMGAAFGMEYLHAKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSTKVSEKVDVFSFGISMWEILTGEEPYADMHCGAIIGGIVKNTLRPPIPEHCDPEWRKLMEQCWSPDPESRPSFTEITKRLRSMSMLLKPKGPRNQPKQTRP >KJB26094 pep chromosome:Graimondii2_0_v6:4:55962349:55966432:1 gene:B456_004G225000 transcript:KJB26094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIREAPNTSDQLIEQEYTSAVPCVAKNVNNYNISVQTGEEFSVEFLKECVGTRGIPAIPDAAQIHEKRVGINQNQNQELMYQDLAQILGLKRMDSECVSDLSDFSSAQGSFRESENGSCVEKLSKYQKEDDDIGQVARKAFGELNCDWSHLNVSAPATTPSNVCDTTSSSNFGGQGASDRTPSGKMKLLCSFGGKILPRPSDGKLRYVGGETRIISIQKCLSWKELVRKTLDVFNQPHSIKYQLPGEDLDALISVSSDEDLQNMMEEFNGLEKLEGSQRLRIFLIPFGESENAPSLEASTIQQSNPDYHYMVAVNGMVDHSPKKTCGGQCLPSEGSQLGPALDHNPSFLKWCPTSLLPLETMSCFNALHPSQVFLDSQYTTRSLITSPPISPLAFQRGDSNTVCAQAIGDNSGMESNSSFITVHLSSENCGIENPKYKQVQQVPPALMNYSLPYIKVDASQTCQPYEGQISNPDPSTNSTSLSVLIKNNRDYNGVSHERPISQAANPLNLLSASVDSMDSHPGMPHAFSDSKLQELGGRSGYYSQEGTSPSSPLNFATTRSASNVVQETLMQQQDSIGLMKSWAENDLSDSEATSDSIPDMLIFSPDPDASSRNKPIHKGADDCNDKCQTAKIDLSKSIFLTLNNYDTTILDASNSSDKIDPVLHQDEKFYEWRTPDSNMVSNDKLSNADCSRTSGVAIDSWKKDSQVSQKMITSSLDIKDNIKHPQTLDKTTNDIIECCDFSGKVIVGQGSITSGTSNPEVTCLFPKTMEDIKDESSAGALISDSLNGPLLIKPQQLQCVSSQKDISKEDMLISSINLYLSAVNIDSYLCSNLDKDDLQSMLQNPAKNAVPRRDFSLIDDDLNYPIQNAGKMAPIRSVHGNSIVEEFTFAQTETASVNQHQIQQDPVVILEDGVTSVPSRIEVSSAMVLPVDVTGRDIVSPIAKDLVDVIPESEFEDAAADDPDKDETFSDAVIAEMEANSYGLQIIKDADLEELRELGSGTYGTVYHGKWRGTDVAIKRIKKSCFSGRSSEQDRLVGFQIDFLSFLPFSFQFAILSRRVDIESRKMLHNDLGYIFDLFMNICLINV >KJB21714 pep chromosome:Graimondii2_0_v6:4:713297:716042:-1 gene:B456_004G009900 transcript:KJB21714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML48 [Source:Projected from Arabidopsis thaliana (AT2G27480) UniProtKB/Swiss-Prot;Acc:Q9ZQH1] MATSRPYSSHSTAPSAPELPPQSYDHHHQPPQQHQQHQSYYGQYPTPSPPPSSSSSYGPSGGGFPAGTSPDVIRAFQMVDRDRSGFIDEYELQQALSSGYQRFNLRTIRLLMFLFKNPYDSLRIGPMEFAALWSCLGHWRAVFERFDRDRSGKIDLMELRDALYSLGYAIPPSVLQLLISKYDNGLNFDSFVE >KJB21713 pep chromosome:Graimondii2_0_v6:4:712513:716042:-1 gene:B456_004G009900 transcript:KJB21713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML48 [Source:Projected from Arabidopsis thaliana (AT2G27480) UniProtKB/Swiss-Prot;Acc:Q9ZQH1] MATSRPYSSHSTAPSAPELPPQSYDHHHQPPQQHQQHQSYYGQYPTPSPPPSSSSSYGPSGGGFPAGTSPDVIRAFQMVDRDRSGFIDEYELQQALSSGYQRFNLRTIRLLMFLFKNPYDSLRIGPMEFAALWSCLGHWRAVFERFDRDRSGKIDLMELRDALYSLGYAIPPSVLQLLISKYDNGLNFDSFVECGMIIKGLTEKFKEKDPGYTGSATLSYDSFMSLVIPFLVSYD >KJB25385 pep chromosome:Graimondii2_0_v6:4:50415380:50416421:1 gene:B456_004G188600 transcript:KJB25385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIPRFFGNRRSSIFDDPFSFDILDPFRGFPLSSSSLTTKPVPESAAFANTRIDWKETPEAHVFKADLPGLKKEEVKVEIEDDRMLQISGERKFEKEDKNDTWHRVERSSGKFMRRFRLPENVKMEQVKASMENGVLTVTVPKEEVKKPNLKSIEISG >KJB26274 pep chromosome:Graimondii2_0_v6:4:57256950:57259896:1 gene:B456_004G234600 transcript:KJB26274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLSDWTATEDVWRTLKRQKSSPTEQDWPLMATKKAKRTAKMRTPKERKRTRMFLRRLGFSEEGSIDGWILARNWKELKRLGKEDTEFTDKAELRNEEMLRSIAKRATLLKPRADSPIIPPLISSQSKLYSSKNAKASHGKSKKGDPKSKTKSKTGGSSSSVASSTGDDFDSAGADDFEAARARRLVEDENDPSLDVGPNGRPLFTSTPSLSLLTRKDCCSYMKFSEKGFNQVLPEGLPTGMVTEFKESMRPALLVRQSFLDLRDNFRRIVDPPMWSSNAKARKQIVLDGPVSCGKSIALAMLVHWARDEGWLVLYAPRGREWSHGGCFYKNSETGFWDTPIQAENVLKDFLKYNESRLQQLPCQIFDPIRLGEGAGVGFMKGVESMALPEGATLYDLVQMGIKYTHAAVGVVVRLRKELSLVKDVPVLIAIDQYNNWFTFSEYEEPVTVRSCRPIHARELAMVNAFRSMMHEDMMVGAFSHSTAVGKLRLDLPDVPVGARVNMPRYSPDEAATVCHYYVRQRLVRREAFSEESWKKVYYLSNGNGAEMRWLLPLMR >KJB26275 pep chromosome:Graimondii2_0_v6:4:57257345:57259896:1 gene:B456_004G234600 transcript:KJB26275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSIAKRATLLKPRADSPIIPPLISSQSKLYSSKNAKASHGKSKKGDPKSKTKSKTGGSSSSVASSTGDDFDSAGADDFEAARARRLVEDENDPSLDVGPNGRPLFTSTPSLSLLTRKDCCSYMKFSEKGFNQVLPEGLPTGMVTEFKESMRPALLVRQSFLDLRDNFRRIVDPPMWSSNAKAPKARKQIVLDGPVSCGKSIALAMLVHWARDEGWLVLYAPRGREWSHGGCFYKNSETGFWDTPIQAENVLKDFLKYNESRLQQLPCQIFDPIRLGEGAGVGFMKGVESMALPEGATLYDLVQMGIKYTHAAVGVVVRLRKELSLVKDVPVLIAIDQYNNWFTFSEYEEPVTVRSCRPIHARELAMVNAFRSMMHEDMMVGAFSHSTAVGKLRLDLPDVPVGARVNMPRYSPDEAATVCHYYVRQRLVRREAFSEESWKKVYYLSNGNGAEMRWLLPLMR >KJB22021 pep chromosome:Graimondii2_0_v6:4:2017603:2022052:-1 gene:B456_004G025600 transcript:KJB22021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane import complex protein METAXIN [Source:Projected from Arabidopsis thaliana (AT2G19080) UniProtKB/Swiss-Prot;Acc:O64471] MEQVVGEREAEEFTVVARKPYFGLPTACPICLPLFIYLKLAHFPFRLSFNSIFPDSDQIPYVESGTYVAYNNENSGVVECLKKDGIVDLDSEFQSAPDWVSIQAMINSWLADALTYELWVGTDGRSAYKIYYSDLSWPIGKVLFLKQVYSVKQRLGITKDNAEHREDENCTSLYSICGQIYRRAKIAYGALSTKLGEQNFLFDDRPSSLDATFLGHVLVTLHALPDTSVLRSKLLEHDNIVRYAEKLKTELIESGSSSSGPQFRSVPSSSTPRKGPSNWSSKPKSKPKREKTEEEKTFKRRAKYFLAAQLLAVLLFLSVMGGYDSGDLELDDEDEGISFN >KJB22019 pep chromosome:Graimondii2_0_v6:4:2017330:2020467:-1 gene:B456_004G025600 transcript:KJB22019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane import complex protein METAXIN [Source:Projected from Arabidopsis thaliana (AT2G19080) UniProtKB/Swiss-Prot;Acc:O64471] MLMLFFNKFLSDQIPYVESGTYVAYNNENSGVVECLKKDGIVDLDSEFQSAPDWVSIQAMINSWLADALTYELWVGTDGRSAYKIYYSDLSWPIGKVLFLKQVYSVKQRLGITKDNAEHREDEIYRRAKIAYGALSTKLGEQNFLFDDRPSSLDATFLGHVLVTLHALPDTSVLRSKLLEHDNIVRYAEKLKTELIESGSSSSGPQFRSVPSSSTPRKGPSNWSSKPKSKPKREKTEEEKTFKRRAKYFLAAQLLAVLLFLSVMGGYDSGDLELDDEDEGISFN >KJB22020 pep chromosome:Graimondii2_0_v6:4:2017330:2022324:-1 gene:B456_004G025600 transcript:KJB22020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane import complex protein METAXIN [Source:Projected from Arabidopsis thaliana (AT2G19080) UniProtKB/Swiss-Prot;Acc:O64471] MEQVVGEREAEEFTVVARKPYFGLPTACPICLPLFIYLKLAHFPFRLSFNSIFPDSDQIPYVESGTYVAYNNENSGVVECLKKDGIVDLDSEFQSAPDWVSIQAMINSWLADALTYELWVGTDGRSAYKIYYSDLSWPIGKVLFLKQVYSVKQRLGITKDNAEHREDEIYRRAKIAYGALSTKLGEQNFLFDDRPSSLDATFLGHVLVTLHALPDTSVLRSKLLEHDNIVRYAEKLKTELIESGSSSSGPQFRSVPSSSTPRKGPSNWSSKPKSKPKREKTEEEKTFKRRAKYFLAAQLLAVLLFLSVMGGYDSGDLELDDEDEGISFN >KJB23982 pep chromosome:Graimondii2_0_v6:4:31956444:31959994:1 gene:B456_004G123200 transcript:KJB23982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALSNSVPSACIICLSASNQFDPPSSPFKSLKPPLFWPWQKVKMGPLTVSPMGFGTWAWGNQLLWGYQPSMDSQLQQVFNLAVENGINLFDTADSYGTGRLNGQSEKLLGKFIRGFQGEKRIRDEIVIATKFAAYPWRLTSGQFVQACRASRDRMQIEQIGIGQLHWSTANYAPPQELALWNGLVAMYEKGLVKAVGVSNYGPKQLVKIHDYLKARGVPLCSAQVQFSLLSIGEDQMEIKNICDSLDIRLISYSPLGLGMLTGKYTPSRLPQGPRGLLFKQILPGLKPLLVSLREIAEKRCKTIPQVAINWCISKGTIPIPGVKTVKQAEENLGALGWRLSSSELLQLDYAAQESPQRMIQNIFQTK >KJB23981 pep chromosome:Graimondii2_0_v6:4:31956554:31959895:1 gene:B456_004G123200 transcript:KJB23981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALSNSVPSACIICLSASNQFDPPSSPFKSLKPPLFWPWQKVKMGPLTVSPMGFGTWAWGNQLLWGYQPSMDSQLQQVFNLAVENGINLFDTADSYGTGRLNGQSEKLLGKFIRGFQGEKRIRDEIVIATKFAAYPWRLTSGQFVQACRASRDRMQIEQIGIGQLHWSTANYAPPQELALWNGLVAMYEKGLVKAVGVSNYGPKQLVKIHDYLKARGVPLCSAQVQFSLLSIGEDQMEIKNICDSLDIRLISYSPLGLGMLTGKYTPSRLPQGPRGLLFKQILPGLKPLLVSLREIAEKRCKTIPQVAINWCISKGTIPIPGVKTVKQAEENLGALGWRLSSSELLQLDYAAQESPQRMIQNIFQTK >KJB25699 pep chromosome:Graimondii2_0_v6:4:53483314:53485325:1 gene:B456_004G204800 transcript:KJB25699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFSWLVSVCLVLFLASFQASRKLTRTDRLPPGPPAIPIFGNLFQLGDKPHKSLANLAKIHGDIMTVKLGQTTTIVLSSETMAKEILQTHDAISCNRTVPDALRALQHHEAGLAWMPVSTTWKNLRKICNLHIFASQKLDANQHLRRSKVEQLLTDVRDSSRIGEAIEISKAVFKTSLNLLSNTVFSIDFADSSSNTALEFRETMQAFKEEFGKPNFSDFFPTLLAKLDLQGIRRRVTILFEKIMKLFDKEIEKRLELRKMNDYIPTNDFLDILLQISQDDNEELDRNLIKHLIFDLFTAGTDTATSTLEWAMAELLCNPKSLLEARRELQQIIGKGNVVEESDVSRLPYLQAIVKETLRLHPPVPFLLPRKVEADIEIHNFVVPKDAQVLINAWAIGRDPSIWEEPDLFHPERFIGSDIDVKGRNFGLIPFGAGRRTCPGLPLAIRMLHLMLGRLIHSFVWELGDGITPESLNMDETYGLILQKAQPLKIIAHSV >KJB21435 pep chromosome:Graimondii2_0_v6:4:19237106:19237561:-1 gene:B456_004G102600 transcript:KJB21435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARETFNVTAASPSTIPHSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLENGERGEGDRDLEAGDKTQKGGAPVMEQKFLVIMAGEVKPTFLATPISSSRSSSFGDKSCCCGEKGEKLEEETTSGDAEDQHQQPPTQETQHH >KJB21433 pep chromosome:Graimondii2_0_v6:4:19235841:19237892:-1 gene:B456_004G102600 transcript:KJB21433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARETFNVTAASPSTIPHSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLENGERGEGDRDLEAGDKTQKGGAPVMEQKFLVIMAGEVKPTFLATPISSSRSSSFGDKSCCCGEKGEKLEEETTSGDAEDQHQQPPTQETQHH >KJB21434 pep chromosome:Graimondii2_0_v6:4:19235842:19237842:-1 gene:B456_004G102600 transcript:KJB21434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARETFNVTAASPSTIPHSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLENGERGEGDRDLEAGDKTQKGGAPVMEQKFLVIMAGEVKPTFLATPISSSRSSSFGDKSCCCGEKGEKLEEETTSGDAEDQHQQPPTQETQHH >KJB21532 pep chromosome:Graimondii2_0_v6:4:34055:35679:-1 gene:B456_004G000400 transcript:KJB21532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLKEASRLYAASWVRDIGPDLRPNDYKKDDGTEGKSNGDKSRSTETEPSTLEDIAIAASGGMETLTPALQRLYMTRASAYRDALKSFIEGYQEGIQQVMEKKEDSSKAQQAGNTDKNST >KJB22458 pep chromosome:Graimondii2_0_v6:4:4400123:4403242:1 gene:B456_004G048600 transcript:KJB22458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRLSASLGLPSSDLNHPPINTNFASLFTHLITVTSSPENPQKPFKPLLHHLSFASASISADPQTAKPTKFRIPLPFANSIGSQQPKSPKFPKWLEPRSRNSSKAQTLMKNLSVFERALIGAGGGGIAGAFTYVCLLPLDTIKTKMQTKGASEIYANTFDAVVKTFQTNGILGFYRGVSAVIVGSTASSAVYFGTCEFGKSFLSKLEYPALLIPPTAGAMGNIVSSAIMVPKELITQRMQAGAKGSSWQVLLRILEKDGILGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVLRKTKQTNLEPIQSVCCGALAGAISASLTTPLDVVKTRLMTQVHGNKVAAAMYSGVNATVKQIFKEEGWIGLTSGLGPRVVHSACFSALGYFAFETARLAILHQYLEHKEKELSKISVAPA >KJB26998 pep chromosome:Graimondii2_0_v6:4:60607762:60613327:1 gene:B456_004G270900 transcript:KJB26998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLWIFSCKKLMAARKQLSIRQAPNILVVQLKRFEGIFGGKINRPVTFEEVLVLSSFMCKASQDPRQEYSLFGTIVHSGSSPESGHYYAYIKDAMGRWYCCNDSFVSLSTLQEVLSEKVYILFFSRTNQRPGSLSTTFSSNGAKPHVSNGSETSKVLKAVQLKPVQTKPFVEQFSQNDKVGKQSSTPWVKFNISEKPGPKKLPITVNGKIDFHKTQNITVNGVSKDSIHVDKNKKDMLPLMNRNVIDKSRKVDTGGSEKSQPFALTNGNSMKSDPFVVNGSSRMAVGVEVNNANFNACDNSKQKKSEDSSDISEAKQKLEDSCDILGPHRKSEDSCNVLGSKRKWNGSFNFSGLKRKPEASCDILGPNRKPKDSCGNSSLMTKLKDSYVNSEPNEKLGDCCDYSALKKIEDSCDLSMLARKSCLLLSQDVRSRAEVENMKEMLKKEASSVLRSCGWYYNVYNFMNLKKQSYALEIGNTLSGNDLEKKLIADAKASFIRQIPEPLKEELIKLIQSFSRRKQEHPIP >KJB26996 pep chromosome:Graimondii2_0_v6:4:60606532:60613276:1 gene:B456_004G270900 transcript:KJB26996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVELQMTWQPSLLSEKRKKGPPLGLRNLGNSCYVNSVLQCLTYTPPLANFCLRSQHSSSCDASASKKPRDCPFCILEAWITRSLTLDLTLDAPSQIQSCLKIFAEHFRFGLQEDAHEFLRYVIDACHNTCLLLKKLRLKGSEGGGREEAVNDNTVVKEIFGGALQNQVKCLGCGGESNKVDEIMDISLDILNSGSLKEALRKFFQPEVLDGNNKYKCENCKKLMAARKQLSIRQAPNILVVQLKRFEGIFGGKINRPVTFEEVLVLSSFMCKASQDPRQEYSLFGTIVHSGSSPESGHYYAYIKDAMGRWYCCNDSFVSLSTLQEVLSEKVYILFFSRTNQRPGSLSTTFSSNGAKPHVSNGSETSKVLKAVQLKPVQTKPFVEQFSQNDKVGKQSSTPWVKFNISEKPGPKKLPITVNGKIDFHKTQNITVNGVSKDSIHVDKNKKDMLPLMNRNVIDKSRKVDTGGSEKSQPFALTNGNSMKSDPFVVNGSSRMAVGVEVNNANFNACDNSKQKKSEDSSDISEAKQKLEDSCDILGPHRKSEDSCNVLGSKRKWNGSFNFSGLKRKPEASCDILGPNRKPKDSCGNSSLMTKLKDSYVNSEPNEKLGDCCDYSALKKIEDSCDLSMLARKSCLLLSQDVRSRAEVENMKEMLKKEASSVLRSCGWYYNVYNFMNLKKQSYALEIGNTLSGNDLEKKLIADAKASFIRQIPEPLKEELIKLIQSFSRRKQEHPIP >KJB26997 pep chromosome:Graimondii2_0_v6:4:60606532:60613276:1 gene:B456_004G270900 transcript:KJB26997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVELQMTWQPSLLSEKRKKGPPLGLRNLGNSCYVNSVLQCLTYTPPLANFCLRSQHSSSCDASASKKPRDCPFCILEAWITRSLTLDLTLDAPSQIQSCLKIFAEHFRFGLQEDAHEFLRYVIDACHNTCLLLKKLRLKGSEGGGREEAVNDNTVVKEIFGGALQNQVKCLGCGGESNKVDEIMDISLDILNSGSLKEALRKFFQPEVLDGNNKYKCENCKKLMAARKQLSIRQAPNILVVQLKRFEGIFGGKINRPVTFEEVLVLSSFMCKASQDPRQEYSLFGTIVHSGSSPESGHYYAYIKDAMGRWYCCNDSFVSLSTLQEVLSEKVYILFFSRTNQRPGSLSTTFSSNGAKPHVSNGSETSKVLKAVQLKPVQTKPFVEQFSQNDKVGKQSSTPWVKFNISEKPGPKKLPITVNGKIDFHKTQNITVNGVSKDSIHVDKNKKDMLPLMNRNVIDKSRKVDTGGSEKSQPFALTNGNSMKSDPFVVNGSSRMAVGVEVNNANFNACDNSKQKKSEDSSDISEAKQKLEDSCDILGPHRKSEDSCNVLGSKRKWNGSFNFSGLKRKPEASCDILGPNRKPKDSCGNSSLMTKLKDSYVNSEPNEKLGDCCDYSALKKIEDSCDLSMLARKSCLLLSQDVRSRAEVENMKEMLKKEASSVLRSCGWYYNVYNFMNLKKQSYALEIGNTLSGNDLEKKLIADAKASFIRQIPEPLKEELIKLIQSFSRRKQEHPIP >KJB25457 pep chromosome:Graimondii2_0_v6:4:51000332:51001639:1 gene:B456_004G192400 transcript:KJB25457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSLKLQYYCLVCVMVLLPALCYSKDYFVKSRATYYGSSDGLGTTSGACGFGEYGRNISSGNVAGVYRLYKNGVGCGACYQVRCTTNPQICTKKGVNVVVTDYGQGDNTDFILSHHAYEAMARPGTADRLLAYGVVDVEYQRVPCQYVGHKIQVKVHEYSRKPDYLAIIMLYQAGKSDILSVDIWQQGKWVEMRRSFGAVFDMPNPPLGAISLRFKVQDNAGIKWPKAVIPRNWKAGVAYDTDIQLQ >KJB24617 pep chromosome:Graimondii2_0_v6:4:45185225:45188082:1 gene:B456_004G161300 transcript:KJB24617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSGVTVIGAEAPSTYHMAPRTENANQISSGLTPVDGLTPVDVPAVSVGLTGSTEKKKRGRPRKYGPDGKMARALSPMPISSSVPPGTAEFPSGGKPGRGRGSAYQIKHHKGMDIDNLGELAGTSVGTNFMPHVITVNPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTETQGTRSRSGGMSVSLASPDGRVVGGGVAGLLIAASPVQVVIGSFVPGNQHDQKSKKQKNESLPATLAPNPATVDLPASNAEKEDGIGVQHSQQNSNTLKQNFATTASFRTENWANIQEPRNSATDINISLPAV >KJB24615 pep chromosome:Graimondii2_0_v6:4:45185965:45187494:1 gene:B456_004G161300 transcript:KJB24615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSGVTVIGAEAPSTYHMAPRTENANQISSGLTPVDGLTPVDVPAVSVGLTGSTEKKKRGRPRKYGPDGKMARALSPMPISSSVPPGTAEFPSGGKPGRGRGSAYQIKHHKGMDIDNLGELAGTSVGTNFMPHVITVNPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTETQGTRSRSGGMSVSLASPDGRVVGGGVAGLLIAASPVQVSFLKFDFSLLEPKDQAICSDP >KJB24612 pep chromosome:Graimondii2_0_v6:4:45184986:45188082:1 gene:B456_004G161300 transcript:KJB24612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSGVTVIGAEAPSTYHMAPRTENANQISSGLTPVDGLTPVDVPAVSVGLTGSTEKKKRGRPRKYGPDGKMARALSPMPISSSVPPGTAEFPSGGKPGRGRGSAYQIKHHKGMDIDNLGELAGTSVGTNFMPHVITVNPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTETQGTRSRSGGMSVSLASPDGRVVGGGVAGLLIAASPVQVVIGSFVPGNQHDQKSKKQKNESLPATLAPNPATVDLPASNAEKEDGIGVQHSQQNSNTLKQNFATTASFRTENWANIQEPRNSATDINISLPAV >KJB24614 pep chromosome:Graimondii2_0_v6:4:45185965:45186931:1 gene:B456_004G161300 transcript:KJB24614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSGVTVIGAEAPSTYHMAPRTENANQISSGLTPVDGLTPVDVPAVSVGLTGSTEKKKRGRPRKYGPDGKMARALSPMPISSSVPPGTAEFPSGGKPGRGRGSAYQIKHHKGMDIDNLGELAGTSVGTNFMPHVITVNPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEVRQIRNYHCIPYYAKFPTIFLVSTMGLML >KJB24613 pep chromosome:Graimondii2_0_v6:4:45185225:45188082:1 gene:B456_004G161300 transcript:KJB24613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSGVTVIGAEAPSTYHMAPRTENANQISSGLTPVDGLTPVDVPAVSVGLTGSTEKKKRGRPRKYGPDGKMARALSPMPISSSVPPGTAEFPSGGKPGRGRGSAYQIKHHKGMDIDNLGELAGTSVGTNFMPHVITVNPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTETQGTRSRSGGMSVSLASPDGRVVGGGVAGLLIAASPVQVVIGSFVPGNQHDQKSKKQKNESLPATLAPNPATVDLPASNAEKEDGIGVQHSQQNSNTLKQNFATTASFRTENWANIQEPRNSATDINISLPAV >KJB24616 pep chromosome:Graimondii2_0_v6:4:45185164:45188133:1 gene:B456_004G161300 transcript:KJB24616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSGVTVIGAEAPSTYHMAPRTENANQISSGLTPVDGLTPVDVPAVSVGLTGSTEKKKRGRPRKYGPDGKMARALSPMPISSSVPPGTAEFPSGGKPGRGRGSAYQIKHHKGMDIDNLGELAGTSVGTNFMPHVITVNPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTETQGTRSRSGGMSVSLASPDGRVVGGGVAGLLIAASPVQVVIGSFVPGNQHDQKSKKQKNESLPATLAPNPATVDLPASNAEKEDGIGVQHSQQNSNTLKQNFATTASFRTENWANIQEPRNSATDINISLPAV >KJB24055 pep chromosome:Graimondii2_0_v6:4:33058371:33062450:1 gene:B456_004G126000 transcript:KJB24055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMLRVGSLKNAVTTLRYLQESSFSTAVIRQHSSSAPPFATTVRHLRTGRDPSFRYETSPPVNWGIRIVPEKKAYVIERFGKYLKTLPSGIHFLIPLVDRIAYVHSLKEEAIPIPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVENPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVEAINVAAKDWGLQCLRYEIRDISPPRGVRAAMEMQAEAERKKRAQVLESEGERQSNINIADGRKSAVILASEAARMDQVNRAQGEAEAILARAQATAKGIALVSQSLKENGGVEAASLRIAEQYIQAFSNIAKEGTTMLLPSSAANPANMIAQALTMYKSLVSNSSTGDSHVNNSLELSRSTKHAPPGETEGEIPNPRAVEARDTNHVGEVGFSLQSSKKKE >KJB24057 pep chromosome:Graimondii2_0_v6:4:33058504:33062427:1 gene:B456_004G126000 transcript:KJB24057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMLRVGSLKNAVTTLRYLQESSFSTAVIRQHSSSAPPFATTVRHLRTGRDPSFRYETSPPVNWGIRIVPEKKAYVIERFGKYLKTLPSGIHFLIPLVDRIAYVHSLKEEAIPIPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVENPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIEAINVAAKDWGLQCLRYEIRDISPPRGVRAAMEMQAEAERKKRAQVLESEGERQSNINIADGRKSAVILASEAARMDQVNRAQAILARAQATAKGIALVSQSLKENGGVEAASLRIAEQYIQAFSNIAKEGTTMLLPSSAANPANMIAQALTMYKSLVSNSSTGDSHVNNSLELSRSTKHAPPGETEGEIPNPRAVEARDTNHVGEVGFSLQSSKKKE >KJB24056 pep chromosome:Graimondii2_0_v6:4:33058504:33062427:1 gene:B456_004G126000 transcript:KJB24056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPSRKKPFLSRTNPLLPKTMSAFSSMAFFMSRLWTPNLPPMAWRTQSMLSFSLLKLPCVASLEAINVAAKDWGLQCLRYEIRDISPPRGVRAAMEMQAEAERKKRAQVLESEGERQSNINIADGRKSAVILASEAARMDQVNRAQGEAEAILARAQATAKGIALVSQSLKENGGVEAASLRIAEQYIQAFSNIAKEGTTMLLPSSAANPANMIAQALTMYKSLVSNSSTGDSHVNNSLELSRSTKHAPPGETEGEIPNPRAVEARDTNHVGEVGFSLQSSKKKE >KJB22913 pep chromosome:Graimondii2_0_v6:4:7906876:7907676:1 gene:B456_004G073200 transcript:KJB22913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCASPCGACKFLRRKCVRGCVFAPYFCHEQGATHFAAIHKVFGASNVSKLLAHLPLSGRSEAALTIAYEAQARLQDPVYGCVSHIFALQQQIVNLQAQLASLKAQAAQSIPSVSVTANPNDKYFGKLHNLQDVQTWFHPNNSSMAPNFNTNLSTSSYGLSDPTSSLGNFGNSVISSGSEDYVTTFEDAPRSMSSFDMLTNNRQWSNFQDVDDLQAMAFGYAQHS >KJB23444 pep chromosome:Graimondii2_0_v6:4:16309703:16311552:-1 gene:B456_004G098200 transcript:KJB23444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRVMLPLLLCLSAAGPPLLVQGGDPYLFFTWNVTYGILINNQFPGPNINSTTNNNIVINVFNYLDEPFLLTWNGIQHRKNSWQDGVLGTNCPIPPKTNYTYRFQVKDQIGSYMYYPVTAMHKAVGGFGGLRINSRLLILVPCDDPADDYTILAGDWFSKGHIAIKKILDSGRNLGRCDGVHINGKVAKGDGKDEPLFTMEPGKTYKYRICNVGIKTTLNIQFQGHNMRLVEMEGSHTMQSMYDSLDVDVGQCYSVLMTANQEPMDYYVVASTRFTRQMVTATGIIRYTNGKGVASSKLPPPPTSWAWSLNQFHTFRWNLTTSAARPNPQGSNHYGSINITRTIKLINTAGKLNDKLRYAINGVSYVEPSTPLKLAEYYNVVDKVFKYDNIVDDPPAEISKITLDPIVLNLTYRDFIEIIFENHENAIQSWHLSGYSFFVVAPKKRKNYKLLDTVSRHTIQVFPQSWAAILLTFDNCGMWNIRSEIWDRHYVGQQLYVSVLSSASSLKDEYNMPDDSPLCGIVESMPKPQPCNV >KJB22542 pep chromosome:Graimondii2_0_v6:4:4917438:4919596:-1 gene:B456_004G053300 transcript:KJB22542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILKGKSYQLKFPWVGVVNRSQADINKNVDMIATRRREREYFSTTPEYRHLAHRMGSEHLTKMLSKHLETVIKSRIPGIQSLINKTIAELETELSHLGKPIAADVGGKLYTIMEICRLFYQNFREHLDGVRTGGDKVYNVFDNQLSAALKSSLLKLMEADGYQPHLIAPEQGYRRLIESTLVTIRGPTEAAVDASHSILKDLVHKAMSETPELKQYPALRVEVGNATIESLERMRDQSKKATLQLVDMECCYLTVEFFRKLPQDVDKGGSATQSIFDRYNDSYLRRIGSTVLSYVNMVCATLRHSIPKSIVYCQVREAKRSLLDFFYTELGKLEQKRLSALMNEDPAIMECRSALAKRLELYRSAQAKIDTVAWSK >KJB24059 pep chromosome:Graimondii2_0_v6:4:33119872:33120999:1 gene:B456_004G126200 transcript:KJB24059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYGKTMAAAPANVIFLSTILGRDGPFPVHKCDWKCQNEHVCGNMYRCKLTGTTHICDKNCNQRILYDNHSSLCRASGQVFPLSQAEEQVIRGVRRKLDADNFPPSDSCGFKRRRDAQIRPSPFERSFSAVSPICSQVGDGMDLS >KJB26763 pep chromosome:Graimondii2_0_v6:4:59416377:59422022:-1 gene:B456_004G258400 transcript:KJB26763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDHEQPGLSSLGKVGRSSGEIGSEHEPLVNGIHTSESYSASAAILPFLFPALGGLLYGYDIGATSCATISIESATLSGISWYDLSSVEVGLITSGSLYGALIGSVLAFNIADFLGRRRELIMASIMYLVGALVTAFAPNLVVMVIGRFVYGIGIGLAMHAAPMYIAETAPSQIRGILISLKEFFIVLGMVAGYGIGSLLVETVSGWRYMYGASTPLAVIMGIGMCWLPASPRWLLLRAIQGKGNMQELRETAVCCLCRLRGQSIGDSASQHVDEILTELSYVGEEKEVTLGEIFHGKCLKAMIIGAGLVLFQQITGQPSVLYYAASILQSAGFSAASDATRVSILLGLLKLIMTGVAVVVVDRLGRRPLLLGGVSGMVISLFLLGSYYLFLDDLAAIAVVALLLYVGCYQLSFGPIGWLMISEVFPLRLRGRGLSVAVLVNFGANALVTFAFSPLKVL >KJB26762 pep chromosome:Graimondii2_0_v6:4:59416377:59422022:-1 gene:B456_004G258400 transcript:KJB26762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDHEQPGLSSLGKVGRSSGEIGSEHEPLVNGIHTSESYSASAAILPFLFPALGGLLYGYDIGATSCATISIESATLSGISWYDLSSVEVGLITSGSLYGALIGSVLAFNIADFLGRRRELIMASIMYLVGALVTAFAPNLVVMVIGRFVYGIGIGLAMHAAPMYIAETAPSQIRGILISLKEFFIVLGMVAGYGIGSLLVETVSGWRYMYGASTPLAVIMGIGMCWLPASPRWLLLRAIQGKGNMQELRETAVCCLCRLRGQSIGDSASQHVDEILTELSYVGEEKEVTLGEIFHGKCLKAMIIGAGLVLFQQITGQPSVLYYAASILQSAGFSAASDATRVSILLGLLKLIMTGVAVVVVDRLGRRPLLLGGVSGMVSYFFVPSWIILPFPG >KJB26764 pep chromosome:Graimondii2_0_v6:4:59416377:59422095:-1 gene:B456_004G258400 transcript:KJB26764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDHEQPGLSSLGKVGRSSGEIGSEHEPLVNGIHTSESYSASAAILPFLFPALGGLLYGYDIGATSCATISIESATLSGISWYDLSSVEVGLITSGSLYGALIGSVLAFNIADFLGRRRELIMASIMYLVGALVTAFAPNLVVMVIGRFVYGIGIGLAMHAAPMYIAETAPSQIRGILISLKEFFIVLGMVAGYGIGSLLVETVSGWRYMYGASTPLAVIMGIGMCWLPASPRWLLLRAIQGKGNMQELRETAVCCLCRLRGQSIGDSASQHVDEILTELSYVGEEKEVTLGEIFHGKCLKAMIIGAGLVLFQQITGQPSVLYYAASILQSAGFSAASDATRVSILLGLLKLIMTGVAVVVVDRLGRRPLLLGGVSGMVISLFLLGSYYLFLDDLAAIAVVALLLYVGCYQLSFGPIGWLMISEVFPLRLRGRGLSVAVLVNFGANALVTFAFSPLKAWLGAGIVFYVFGVIAVLSLVFIFFIVPETKGLTLEEIEVKCL >KJB21894 pep chromosome:Graimondii2_0_v6:4:1466254:1474955:-1 gene:B456_004G019700 transcript:KJB21894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MACFCSIQLLPFAKSLTLLSPISTLSSLPLRPIRSNFSPPPVSSLPSPFICRCTSATSPPPHSDGFIGFLDDEEEEAAAAGEEEEEEDEEELYEDDDLDMEALESEAKDAVRSYSTSLSRQLTIEDETDDLKGFKGKQKRRKGATKTIPDHLLPKVTIVGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSFWGDHEFVVVDTGGVLNVSKSQANVMEDLAISTTIGMDGISLLSREAAVARMPSMIERQATAAVEEASVIIFLVDGQAGLTAADVEIADWLRKSYSSKYIVLAVNKCESPRKGVMQASEFWSLGFSPIPISALSGTGTGELLDLVCSGLKKTEDVENMDEEENYVPSIAIVGRPNVGKSSILNSLLGEDRTIVSPISGTTRDAIDTEFVGPDGQKFRLIDTAGIRRRASVASSGSTTEALSVNRAFRAIRRSDVVALVIEAMACITEQDTRIAERIEKEGKGCLIVVNKWDTIPNKNQQTATYYEQDVREKMRMLDWAPIVYSTAITGQSVEKVIVAASTVEKERARRLSTAILNQVIREAIAFKPPPRTRGGKRGRVYYCTQAAIRPPTFVFFVNDAKLFPETYRRYMEKQLRSDAGFAGTPIRLLWRSRRKVEKDEGKAATRTRSNLSPREGNVALTT >KJB21893 pep chromosome:Graimondii2_0_v6:4:1466254:1472991:-1 gene:B456_004G019700 transcript:KJB21893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MSLFHEFCLQGNRAIVVDEPGVTRDRLYGRSFWGDHEFVVVDTGGVLNVSKSQANVMEDLAISTTIGMDGISLLSREAAVARMPSMIERQATAAVEEASVIIFLVDGQAGLTAADVEIADWLRKSYSSKYIVLAVNKCESPRKGVMQASEFWSLGFSPIPISALSGTGTGELLDLVCSGLKKTEDVENMDEEENYVPSIAIVGRPNVGKSSILNSLLGEDRTIVSPISGTTRDAIDTEFVGPDGQKFRLIDTAGIRRRASVASSGSTTEALSVNRAFRAIRRSDVVALVIEAMACITEQDTRIAERIEKEGKGCLIVVNKWDTIPNKNQQTATYYEQDVREKMRMLDWAPIVYSTAITGQSVEKVIVAASTVEKERARRLSTAILNQVIREAIAFKPPPRTRGGKRGRVYYCTQAAIRPPTFVFFVNDAKLFPETYRRYMEKQLRSDAGFAGTPIRLLWRSRRKVEKDEGKAATRTRSNLSPREGNVALTT >KJB21895 pep chromosome:Graimondii2_0_v6:4:1466310:1471770:-1 gene:B456_004G019700 transcript:KJB21895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MKPTIHSSLSFEEFNLPSSCLYDTEQAGLTAADVEIADWLRKSYSSKYIVLAVNKCESPRKGVMQASEFWSLGFSPIPISALSGTGTGELLDLVCSGLKKTEDVENMDEEENYVPSIAIVGRPNVGKSSILNSLLGEDRTIVSPISGTTRDAIDTEFVGPDGQKFRLIDTAGIRRRASVASSGSTTEALSVNRAFRAIRRSDVVALVIEAMACITEQDTRIAERIEKEGKGCLIVVNKWDTIPNKNQQTATYYEQDVREKMRMLDWAPIVYSTAITGQSVEKVIVAASTVEKERARRLSTAILNQVIREAIAFKPPPRTRGGKRGRVYYCTQAAIRPPTFVFFVNDAKLFPETYRRYMEKQLRSDAGFAGTPIRLLWRSRRKVEKDEGKAATRTRSNLSPREGNVALTT >KJB23089 pep chromosome:Graimondii2_0_v6:4:9624350:9625994:1 gene:B456_004G080300 transcript:KJB23089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVSQGLQSCLEVRVVEPRFLSLKLAPHKSNSPASIAPTNEEKDAKLIGNQNMEMGGWSFLHSLSNANEYSTQNDNVYVHPLVKRSASILSEKSLEMCTERLGSETGSDVSDISLLSLQTGVYNPSNSKPRESSLKRKTSRINTFPPPLTSITGSNGVQVKSHREGGRLVLQAVTIPPCHTYFHAERSEGRLRLSLFKDVTDGFHSEDGEEEVREDVVEKEDDDDDDEDDEDGENDQALEGEFKEESIMREVKLWKEIVGVLGLKLRQGNWLGQADAKKVGVGTKGCLIGSHCWWGDYLKAKSWKVSLSPRDNNYYDWIP >KJB25924 pep chromosome:Graimondii2_0_v6:4:54948715:54950499:-1 gene:B456_004G216100 transcript:KJB25924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKVTLEIFTKLEQKWLSHCEKSGKKVRILSIDGGGTTGIVAGAALIHLEDQIRLKTSDAHAHIADFFDLIAGTGVGAVLAAMISADDGTGHPLFSAREAVEFITQNNSELFKVNKLAGVLRRREKFSGKSMDKALKEMFKREDGTVLTLKDTCKPLLVPCFDLKSSAPFVFSRADASESPSFNFELWKVCRATSATPSLFKPFPLTSIDGKTSCSTVDGGLVMNNPTAAAVTHVLHNKRDFPSVNGVEDLLVLSIGNGPSCGRSKVHNNGECSTSSVVDIALDSVSETVDQMLANSFCWNRTDYVRIQANGVETENTVGPKTEAILKERGVESLPFGGKRLLTETNGERIECFVQRLVATGKSSLPPSPCKESAVSPLANGR >KJB25645 pep chromosome:Graimondii2_0_v6:4:52977794:52981790:1 gene:B456_004G201800 transcript:KJB25645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKLNELKKFIQLCKSSPSILDDPSLSFFRDYLQSLGAKIPSSADTAADSKSKSYVVEESDEELADAGVKINIEEEEEEDEIIESDIELEGDTVEPDNEPPQKMGDPSVEVTDDNLDASHSAKAKAMDAISEGKFEEAIENLTQAILLNPTSAIMYGTRASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGQWEDAAKDLHMASKLDYDEEIKNWLKKVEPNAHRIEEHRRKYDRLHKEREDRKIERERRRRRAQAQAEYEKAKKQEQSSSSRKPGGMPGGFPGGMPGGFPGGMPGGMPGGMPGGMPGGMPGNVDFSKILSDPELMAAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMSKFAGPK >KJB25644 pep chromosome:Graimondii2_0_v6:4:52978381:52981451:1 gene:B456_004G201800 transcript:KJB25644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSNRISSSKVTRLSLIMSLHRRWETLLWRLPMITLMLPIQLRPKPWMPFLKFEEAIENLTQAILLNPTSAIMYGTRASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGQWEDAAKDLHMASKLDYDEEIKNWLKKVEPNAHRIEEHRRKYDRLHKEREDRKIERERRRRRAQAQAEYEKAKKQEQSSSSRKPGGMPGGFPGGMPGGFPGGMPGGMPGGMPGGMPGGMPGNVDFSKILSDPELMAAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMSKFAGPK >KJB25641 pep chromosome:Graimondii2_0_v6:4:52977794:52981687:1 gene:B456_004G201800 transcript:KJB25641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKLNELKKFIQLCKSSPSILDDPSLSFFRDYLQSLGAKIPSSADTAADSKSKSYVVEESDEELADAGVKINIEEEEEEDEIIESDIELEGDTVEPDNEPPQKMGDPSVEVTDDNLDASHSAKAKAMDAISEASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGQWEDAAKDLHMASKLDYDEEIKNWLKKVEPNAHRIEEHRRKYDRLHKEREDRKIERERRRRRAQAQAEYEKAKKQEQSSSSRKPGGMPGGFPGGMPGGFPGGMPGGMPGGMPGGMPGGMPGNVDFSKILSDPELMAAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMSKFAGPK >KJB25640 pep chromosome:Graimondii2_0_v6:4:52977794:52981687:1 gene:B456_004G201800 transcript:KJB25640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKLNELKKFIQLCKSSPSILDDPSLSFFRDYLQSLGAKIPSSADTAADSKSKSYVVEESDEELADAGVKINIEEEEEEDEIIESDIELEGDTVEPDNEPPQKMGDPSVEVTDDNLDASHSAKAKAMDAISEGKFEEAIENLTQAILLNPTSAIMYGTRASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGQWEDAAKDLHMASKLDYDEEIKNWLKKVEPNAHRIEEHRRKYDRLHKEREDRKIERERRRRRAQAQAEYEKAKKQEQSSSSRKPGGMPGGYAWRYAWRHAGWHAWKC >KJB25643 pep chromosome:Graimondii2_0_v6:4:52977794:52981687:1 gene:B456_004G201800 transcript:KJB25643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKLNELKKFIQLCKSSPSILDDPSLSFFRDYLQSLGAKIPSSADTAADSKSKSYVVEESDEELADAGVKINIEEEEEEDEIIESDIELEGDTVEPDNEPPQKMGDPSVEVTDDNLDASHSAKAKAMDAISEGKFEEAIENLTQAILLNPTSAIMYGTRASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGQWEDAAKDLHMASKLDYDEEIKNWLKKVEPNAHRIEEHRRKYDRLHKEREDRKIERERRRRRAQAQAEYEKAKKQEQSSSSRKPGGMPGGYAWRYAWRYAWRHAGWHAWKC >KJB25642 pep chromosome:Graimondii2_0_v6:4:52977794:52981687:1 gene:B456_004G201800 transcript:KJB25642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKLNELKKFIQLCKSSPSILDDPSLSFFRDYLQSLGAKIPSSADTAADSKSSYVVEESDEELADAGVKINIEEEEEEDEIIESDIELEGDTVEPDNEPPQKMGDPSVEVTDDNLDASHSAKAKAMDAISEGKFEEAIENLTQAILLNPTSAIMYGTRASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGQWEDAAKDLHMASKLDYDEEIKNWLKKVEPNAHRIEEHRRKYDRLHKEREDRKIERERRRRRAQAQAEYEKAKKQEQSSSSRKPGGMPGGFPGGMPGGFPGGMPGGMPGGMPGGMPGGMPGNVDFSKILSDPELMAAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMSKFAGPK >KJB27302 pep chromosome:Graimondii2_0_v6:4:61917154:61919102:-1 gene:B456_004G289900 transcript:KJB27302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETKTNLVKAKNSGQDGSSKPKFDAVINKKKIETSRKQPVDSKQKSVITKAEVKSKTNSSSSKTTATTKTKVREKKVYTLPGQKHDPPEEREPLRIFYESLSKQIPTSEMAEFWMMEHGLLSPERARKAYEKKQRRQKQIRAGTPIKPSKPSNKPESSKNGDVKAKKRINNDADDDSDDFIFSPKRRKG >KJB27303 pep chromosome:Graimondii2_0_v6:4:61917218:61918989:-1 gene:B456_004G289900 transcript:KJB27303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWVWFILSLKCMKFTFFLKVKSKTNSSSSKTTATTKTKVREKKVYTLPGQKHDPPEEREPLRIFYESLSKQIPTSEMAEFWMMEHGLLSPERARKAYEKKQRRQKQIRAGTPIKPSKPSNKPESSKNGDVKAKKRINNDADDDSDDFIFSPKRRKG >KJB23458 pep chromosome:Graimondii2_0_v6:4:17341732:17347685:-1 gene:B456_004G099500 transcript:KJB23458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDAELDLPQPSQSAFSIGEYTFADAGNLDHCTKYLNQTLVTFGFPASLDLFANDPVSVARTCNCIYSLLQQRQRDIEFRESANEQRQRLLSDISRLEAKVERLDAQLQAKDREIATITRTEAKNTAAFKAQIEKLQQERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELKAENADLRALLRSMQVDMRDFFNAPNGLPKQSLPVSERHENDPSQSPLGGRTDVFDLPFHMARDQIEESLRTKMASVKERMVQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQVAKFANQKTHSASIMSKHLATADRPRESIIPSPAEGV >KJB23461 pep chromosome:Graimondii2_0_v6:4:17341425:17347862:-1 gene:B456_004G099500 transcript:KJB23461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRYVSVARTCNCIYSLLQQRQRDIEFRESANEQRQRLLSDISRLEAKVERLDAQLQAKDREIATITRTEAKNTAAFKAQIEKLQQERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELKAENADLRALLRSMQVDMRDFFNAPNGLPKQSLPVSERHENDPSQSPLGGRTDVFDLPFHMARDQIEESLRTKMASVKERMVQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASIMSKHLATADRPRESIIPSPAEGV >KJB23459 pep chromosome:Graimondii2_0_v6:4:17341393:17347863:-1 gene:B456_004G099500 transcript:KJB23459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDAELDLPPSQSAFSIGEYTFADAGNLDHCTKYLNQTLVTFGFPASLDLFANDPVSVARTCNCIYSLLQQRQRDIEFRESANEQRQRLLSDISRLEAKVERLDAQLQAKDREIATITRTEAKNTAAFKAQIEKLQQERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELKAENADLRALLRSMQVDMRDFFNAPNGLPKQSLPVSERHENDPSQSPLGGRTDVFDLPFHMARDQIEESLRTKMASVKERMVQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASIMSKHLATADRPRESIIPSPAEGV >KJB23462 pep chromosome:Graimondii2_0_v6:4:17342047:17347862:-1 gene:B456_004G099500 transcript:KJB23462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDAELDLPQPSQSAFSIGEYTFADAGNLDHCTKYLNQTLVTFGFPASLDLFANDPVSVARTCNCIYSLLQQRQRDIEFRESANEQRQRLLSDISRLEAKVERLDAQLQAKDREIATITRTEAKNTAAFKAQIEKLQQERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELKAENADLRALLRSMQVDMRDFFNAPNGLPKQSLPVSERHENDPSQSPLGGRTDVFDLPFHMARDQIEESLRTKMASVKERMVQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQVAKFANQKTHSASIMSKHLATADRPRESIIPSPAEILRMHEVMPKVPDFFLSRAGDLELHERC >KJB23457 pep chromosome:Graimondii2_0_v6:4:17341335:17347966:-1 gene:B456_004G099500 transcript:KJB23457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDAELDLPQPSQSAFSIGEYTFADAGNLDHCTKYLNQTLVTFGFPASLDLFANDPVSVARTCNCIYSLLQQRQRDIEFRESANEQRQRLLSDISRLEAKVERLDAQLQAKDREIATITRTEAKNTAAFKAQIEKLQQERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELKAENADLRALLRSMQVDMRDFFNAPNGLPKQSLPVSERHENDPSQSPLGGRTDVFDLPFHMARDQIEESLRTKMASVKERMVQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASIMSKHLATADRPRESIIPSPAEGV >KJB23460 pep chromosome:Graimondii2_0_v6:4:17341732:17347685:-1 gene:B456_004G099500 transcript:KJB23460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDAELDLPPSQSAFSIGEYTFADAGNLDHCTKYLNQTLVTFGFPASLDLFANDPVSVARTCNCIYSLLQQRQRDIEFRESANEQRQRLLSDISRLEAKVERLDAQLQAKDREIATITRTEAKNTAAFKAQIEKLQQERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELKAENADLRALLRSMQVDMRDFFNAPNGLPKQSLPVSERHENDPSQSPLGGRTDVFDLPFHMARDQIEESLRTKMASVKERMVQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQVAKFANQKTHSASIMSKHLATADRPRESIIPSPAEGV >KJB26702 pep chromosome:Graimondii2_0_v6:4:59205590:59207149:1 gene:B456_004G255300 transcript:KJB26702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTWFLILLAAAIVALLKPFINLNSPSKKPPKTLPPGPSTFPIIGNLIWLTKSFFEIEPILRTLSSKLGPMVTLHIGPHPSIFVFDRTLAHQALVQNGSIFSDRPKALPTNEFMTCHQRNISSGTYGPTWRLLRRNLMSEILHPSRIKSYSHARKWVLDILLNNLMKKSKTGEPVEVLSHFRHAMFCLLVLMCFGDKLSQQQIEEIEVVTRKILLSSDQFNILNVCPSVTKVLFRHQWRKLFQLRKDRENVLLPLIRARRKAKDESKNKETDDYVLAYVDTLLDLELPLEKRKFDEEEIVTLTSEFLNAGTDTTTTALQWIMANLVKYPKIQNKLWLEIKSVMGDNDDEEIKEDDLQKIPYLKAVILEGLRRHPPGHFVLPHRTTEDTVLGGFWVPKNGTINFMVADMGWDPKVWEDPMAFNPERFLKTDDFNGEVFDLTGSREIKMMPFGVGRRICPALGLALLHLEYFVGNMIWKYEWKAMDGDSISLEEKQEFTVVMKTPLKAQISARKKI >KJB25476 pep chromosome:Graimondii2_0_v6:4:51194916:51197795:1 gene:B456_004G193700 transcript:KJB25476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADGSNPLISVQPNALKFVFELEKPSFCDLKVVNNTEHYVAFKVKTTSPKKYFVRPNTGVVQPWDSSVIRVTLQAPREYPPDMQCKDKFLLQSTIVPPNTDVDDLPADTFNKESTKEIQECKLKVFYVTPSSLGNSEDEGFKSYSDQAPDSNSAVQQLKDERDAAVRQTLQLQQELDFLKRRRHRRNDTGFSLKFALIVGLIGIMVGLLLNFSFSSSLSSPAAVPTVETTTSPVEPSTTEGIETPL >KJB25477 pep chromosome:Graimondii2_0_v6:4:51194878:51197795:1 gene:B456_004G193700 transcript:KJB25477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPRLKIIQVLAVDPVMTADGSNPLISVQPNALKFVFELEKPSFCDLKVVNNTEHYVAFKVKTTSPKKYFVRPNTGVVQPWDSSVIRVTLQAPREYPPDMQCKDKFLLQSTIVPPNTDVDDLPADTFNKESTKEIQECKLKVFYVTPSSLGNSEDEGFKSYSDQAPDSNSAVQQLKDERDAAVRQTLQLQQELDFLKRRRHRRNDTGFSLKFALIVGLIGIMVGLLLNFSFSSSLSSPAAVPTVETTTSPVEPSTTEGIETPL >KJB25478 pep chromosome:Graimondii2_0_v6:4:51194749:51197795:1 gene:B456_004G193700 transcript:KJB25478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADGSNPLISVQPNALKFVFELEKPSFCDLKVVNNTEHYVAFKVKTTSPKKYFVRPNTGVVQPWDSSVIRVTLQAPREYPPDMQCKDKFLLQSTIVPPNTDVDDLPADTFNKESTKEIQECKLKVFYVTPSSLGNSEDEGFKSYSDQAPDSNSAVQQLKDERDAAVRQTLQLQQELDFLKRRRHRRNDTGFSLKFALIVGLIGIMVGLLLNFSFSSSLSSPAAVPTVETTTSPVEPSTTEGIETPL >KJB25479 pep chromosome:Graimondii2_0_v6:4:51195030:51197795:1 gene:B456_004G193700 transcript:KJB25479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPIIQVLAVDPVMTADGSNPLISVQPNALKFVFELEKPSFCDLKVVNNTEHYVAFKVKTTSPKKYFVRPNTGVVQPWDSSVIRVTLQAPREYPPDMQCKDKFLLQSTIVPPNTDVDDLPADTFNKESTKEIQECKLKVFYVTPSSLGNSEDEGFKSYSDQAPDSNSAVQQLKDERDAAVRQTLQLQQELDFLKRRRHRRNDTGFSLKFALIVGLIGIMVGLLLNFSFSSSLSSPAAVPTVETTTSPVEPSTTEGIETPL >KJB23548 pep chromosome:Graimondii2_0_v6:4:21088514:21089017:-1 gene:B456_004G104200 transcript:KJB23548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEKQQKIGIELSSSPFRWLYHYISKHQRRIVILSVDLGYALVDNPLPLYQPEFIGVQSSTFFRASSIQYLGMSYRLPSVAKLRRPFPQKPF >KJB23551 pep chromosome:Graimondii2_0_v6:4:21086271:21089285:-1 gene:B456_004G104200 transcript:KJB23551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEKQQKIGIELSSSPFRWLYHYISKHQRRIVILSVDLGYALVDNPLPLYQPEFIGVQSSTFFRASSIQYLGMSYRLPSVAKLRRPFPQKPF >KJB23552 pep chromosome:Graimondii2_0_v6:4:21083053:21089285:-1 gene:B456_004G104200 transcript:KJB23552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEKQQKIGIELSSSPFRWLYHYISKHQRRIVILSVDLGYALVDNPLPLYQPEFIGVQSSTFFRASSIQYLGMSYRLPSVAKLRRPFPQKPF >KJB23550 pep chromosome:Graimondii2_0_v6:4:21088514:21089017:-1 gene:B456_004G104200 transcript:KJB23550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEKQQKIGIELSSSPFRWLYHYISKHQRRIVILSVDLGYALVDNPLPLYQPEFIGVQSSTFFRASSIQYLGMSYRLPSVAKLRRPFPQKPF >KJB23547 pep chromosome:Graimondii2_0_v6:4:21088514:21089017:-1 gene:B456_004G104200 transcript:KJB23547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEKQQKIGIELSSSPFRWLYHYISKHQRRIVILSVDLGYALVDNPLPLYQPEFIGVQSSTFFRASSIQYLGMSYRLPSVAKLRRPFPQKPF >KJB23549 pep chromosome:Graimondii2_0_v6:4:21088514:21089017:-1 gene:B456_004G104200 transcript:KJB23549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEKQQKIGIELSSSPFRWLYHYISKHQRRIVILSVDLGYALVDNPLPLYQPEFIGVQSSTFFRASSIQYLGMSYRLPSVAKLRRPFPQKPF >KJB23546 pep chromosome:Graimondii2_0_v6:4:21084030:21089285:-1 gene:B456_004G104200 transcript:KJB23546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEKQQKIGIELSSSPFRWLYHYISKHQRRIVILSVDLGYALVDNPLPLYQPEFIGVQSSTFFRASSIQYLGMSYRLPSVAKLRRPFPQKPF >KJB25256 pep chromosome:Graimondii2_0_v6:4:49691416:49693827:1 gene:B456_004G183200 transcript:KJB25256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAVEAEAPDLVCRLDNVQGMVDALSAVRWKRHQDAVVELSEHGVVLIVEDTGCLQAKVYLQRELFVLYEYHAEGRPRFGVSLGLFVDCLNSFSAPGRSSMIEIQYPGPDMQLLIKSVDSLDACIYAEIRTRIPDTISWDYNFEPAGSTPLTFTVKSAALKEAIDDLEWPGSSIQINLQPDPPCITFKGEGHGDLQISPIM >KJB25257 pep chromosome:Graimondii2_0_v6:4:49691416:49693827:1 gene:B456_004G183200 transcript:KJB25257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAVEAEAPDLVCRLDNVQGMVDALSAVRWKRHQDAVVELSEHGVVLIVEDTGCLQAKVYLQRELFVLYEYHAEGRPRFGVSLGLFVDCLNSFSAPGRSSMIEIQYPGPDMQLLIKTRIPDTISWDYNFEPAGSTPLTFTVKSAALKEAIDDLEWPGSSIQINLQPDPPCITFKGEGHGDLQIDFTYYVNTDLVIAFHCDCQVSYRYKYKFLRATTSNLPSSVIKDNRGSKLTIGRGGMLKVQHLVSVARSATSHPHIGSAGHQQPSRIAYIEFFVKSEVDE >KJB25255 pep chromosome:Graimondii2_0_v6:4:49691179:49693875:1 gene:B456_004G183200 transcript:KJB25255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAVEAEAPDLVCRLDNVQGMVDALSAVRWKRHQDAVVELSEHGVVLIVEDTGCLQAKVYLQRELFVLYEYHAEGRPRFGVSLGLFVDCLNSFSAPGRSSMIEIQYPGPDMQLLIKSVDSLDACIYAEIRTRIPDTISWDYNFEPAGSTPLTFTVKSAALKEAIDDLEWPGSSIQINLQPDPPCITFKGEGHGDLQIDFTYYVNTDLVIAFHCDCQVSYRYKYKFLRATTSNLPSSVIKDNRGSKLTIGRGGMLKVQHLVSVARSATSHPHIGSAGHQQPSRIAYIEFFVKSEVDE >KJB24728 pep chromosome:Graimondii2_0_v6:4:44776648:44784185:-1 gene:B456_004G158600 transcript:KJB24728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMQKLVGVSQEDDEEEMEMDVKEEDDEDEENGEKQIAAQMMIGVDEVMPSTSSSDQFQYQPQLQEQVSNQSGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQSSPAAGTSAGMTSSSSQMVSQQIPPPPATLQGVSSGYRTTVEYNACRMKGVFMPTPSHYDLSSTAPAQSLGVVADGGEQTERLPLIAGSTQVINDKQIIGLPTKLPERDFAGTPYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSINVDGVMVDCWWGIVEAHAPQEYNWNGYQRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPEIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFDEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEMRGHSFWARGPDNAGSYNSQPHETGFFCDGGGYDGYYGRFFLNWYSQVLLNHGDRVLSLAKLAFDGTCITAKLPGIHWWYKAASHAAELTAGYYNPCNRDGYTAIATMLQKHGAALSFSCAEHHILEQQDHLREALADPRGLVWQVLNAAWDVSIPIASENAFLCHDRVGYNKILDNVKPVNDPDGRHFSSFTYHRLSPLLMERQNFMEFERFVKRMHGEAVLDPQV >KJB24727 pep chromosome:Graimondii2_0_v6:4:44778218:44783086:-1 gene:B456_004G158600 transcript:KJB24727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMQKLVGVSQEDDEEEMEMDVKEEDDEDEENGEKQIAAQMMIGVDEVMPSTSSSDQFQYQPQLQEQVSNQSGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQSSPAAGTSAGMTSSSSQMVSQQIPPPPATLQGVSSGYRTTVEYNACRMKGVFMPTPSHYDLSSTAPAQSLGVVADGGEQTERLPLIAGSTQVINDKQIIGLPTKLPERDFAGTPYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSINVDGVMVDCWWGIVEAHAPQEYNWNGYQRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPEIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFDEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEMRGHSFWARGPDNAGSYNSQPHETGFFCDGGGYDGYYGRFFLNWYSQVLLNHGDRVLSLAKLAFDGTCITAKASSCC >KJB24738 pep chromosome:Graimondii2_0_v6:4:44776650:44784363:-1 gene:B456_004G158600 transcript:KJB24738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMQKLVGVSQEDDEEEMEMDVKEEDDEDEENGEKQIAAQMMIGVDEVMPSTSSSDQFQYQPQLQEQVSNQSGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQSSPAAGTSAGMTSSSSQMVSQQIPPPPATLQGVSSGYRTTVEYNACRMKGVFMPTPSHYDLSSTAPAQSLGVVADGGEQTERLPLIAGSTQVINDKQIIGLPTKLPERDFAGTPYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSINVDGVMVDCWWGIVEAHAPQEYNWNGYQRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPEIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFDEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEMRGHSFWARGPDNAGSYNSQPHETGFFCDGGGYDGYYGRFFLNWYSQVLLNHGDRVLSLAKLAFDGTCITAKVFTGGIKQPVMLLN >KJB24734 pep chromosome:Graimondii2_0_v6:4:44776650:44784041:-1 gene:B456_004G158600 transcript:KJB24734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMQKLVGVSQEDDEEEMEMDVKEEDDEDEENGEKQIAAQMMIGVDEVMPSTSSSDQFQYQPQLQEQVSNQSGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQSSPAAGTSAGMTSSSSQMVSQQIPPPPATLQGVSSGYRTTVEYNACRMKGVFMPTPSHYDLSSTAPAQSLGVVADGGEQTERLPLIAGSTQVINDKQIIGLPTKLPERDFAGTPYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSINVDGVMVDCWWGIVEAHAPQEYNWNGYQRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPEIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFDEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEMRGHSFWARGPDNAGSYNSQPHETGFFCDGGGYDGYYGRFFLNWYSQVLLNHGDRVLSLAKLAFDGTCITAKLPGIHWWYKAASHAAELTAGYYNPCNRDGYTAIATMLQKHGAALSFSCAEHHILEQQDHLREALADPRGLVWQVLNAAWDVSIPIASENAFLCHDRAQPTSHGETEFHGI >KJB24726 pep chromosome:Graimondii2_0_v6:4:44778218:44783086:-1 gene:B456_004G158600 transcript:KJB24726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMQKLVGVSQEDDEEEMEMDVKEEDDEDEENGEKQIAAQMMIGVDEVMPSTSSSDQFQYQPQLQEQVSNQSGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQSSPAAGTSAGMTSSSSQMVSQQIPPPPATLQGVSSGYRTTVEYNACRMKGVFMPTPSHYDLSSTAPAQSLGVVADGGEQTERLPLIAGSTQVINDKQIIGLPTKLPERDFAGTPYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSINVDGVMVDCWWGIVEAHAPQEYNWNGYQRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPEIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFDEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEMRGHSFWARGPDNAGSYNSQPHETGFFCDGGGYDGYYGRFFLNWYSQVLLNHGDRVLSLAKLAFDGTCITAKASSCC >KJB24735 pep chromosome:Graimondii2_0_v6:4:44776648:44784172:-1 gene:B456_004G158600 transcript:KJB24735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMQKLVGVSQEDDEEEMEMDVKEEDDEDEENGEKQIAAQMMIGVDEVMPSTSSSDQFQYQPQLQEQVSNQSGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQSSPAAGTSAGMTSSSSQMVSQQIPPPPATLQGVSSGYRTTVEYNACRMKGVFMPTPSHYDLSSTAPAQSLGVVADGGEQTERLPLIAGSTQVINDKQIIGLPTKLPERDFAGTPYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSINVDGVMVDCWWGIVEAHAPQEYNWNGYQRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPEIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFDEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEMRGHSFWARGPDNAGSYNSQPHETGFFCDGGGYDGYYGRFFLNWYSQVLLNHGDRVLSLAKLAFDGTCITAKLPGIHWWYKAASHAAELTAGYYNPCNRDGYTAIATMLQKHGAALSFSCAEHHILEQQDHLREALADPRGLVWQVLNAAWDVSIPIASENAFLCHDRAQPTSHGETEFHGI >KJB24736 pep chromosome:Graimondii2_0_v6:4:44776650:44784185:-1 gene:B456_004G158600 transcript:KJB24736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMQKLVGVSQEDDEEEMEMDVKEEDDEDEENGEKQIAAQMMIGVDEVMPSTSSSDQFQYQPQLQEQVSNQSGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQSSPAAGTSAGMTSSSSQMVSQQIPPPPATLQGVSSGYRTTVEYNACRMKGVFMPTPSHYDLSSTAPAQSLGVVADGGEQTERLPLIAGSTQVINDKQIIGLPTKLPERDFAGTPYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSINVDGVMVDCWWGIVEAHAPQEYNWNGYQRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPEIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFDEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEMRGHSFWARGPDNAGSYNSQPHETGFFCDGGGYDGYYGRFFLNWYSQVLLNHGDRVLSLAKLAFDGTCITAKLPGIHWWYKAASHAAELTAGYYNPCNRDGYTAIATMLQKHGAALSFSCAEHHILEQQDHLREALADPRGLVWQVLNAAWDVSIPIASENAFLCHDRAQPTSHGETEFHGI >KJB24737 pep chromosome:Graimondii2_0_v6:4:44778112:44783086:-1 gene:B456_004G158600 transcript:KJB24737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMQKLVGVSQEDDEEEMEMDVKEEDDEDEENGEKQIAAQMMIGVDEVMPSTSSSDQFQYQPQLQEQVSNQSGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQSSPAAGTSAGMTSSSSQMVSQQIPPPPATLQGVSSGYRTTVEYNACRMKGVFMPTPSHYDLSSTAPAQSLGVVADGGEQTERLPLIAGSTQVINDKQIIGLPTKLPERDFAGTPYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSINVDGVMVDCWWGIVEAHAPQEYNWNGYQRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPEIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFDEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEMRGHSFWARGPDNAGSYNSQPHETGFFCDGGGYDGYYGRFFLNWYSQVLLNHGDRVLSLAKLAFDGTCITAKVFTGGIKQPVMLLN >KJB24733 pep chromosome:Graimondii2_0_v6:4:44776650:44784041:-1 gene:B456_004G158600 transcript:KJB24733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMQKLVGVSQEDDEEEMEMDVKEEDDEDEENGEKQIAAQMMIGVDEVMPSTSSSDQFQYQPQLQEQVSNQSGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQSSPAAGTSAGMTSSSSQMVSQQIPPPPATLQGVSSGYRTTVEYNACRMKGVFMPTPSHYDLSSTAPAQSLGVVADGGEQTERLPLIAGSTQVINDKQIIGLPTKLPERDFAGTPYVPVYVMLPVRVINMKCELADPDGLLKQLRVLKSINVDGVMVDCWWGIVEAHAPQEYNWNGYQRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPEIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFDEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEMRGHSFWARGPDNAGSYNSQPHETGFFCDGGGYDGYYGRFFLNWYSQVLLNHGDRVLSLAKLAFDGTCITAKLPGIHWWYKAASHAAELTAGYYNPCNRDGYTAIATMLQKHGAALSFSCAEHHILEQQDHLREALADPRGLVWQVLNAAWDVSIPIASENAFLCHDRVGYNKILDNVKPVNDPDGRHFSSFTYHRLSPLLMERQNFMEFERFVKRMHGEAVLDPQV >KJB24730 pep chromosome:Graimondii2_0_v6:4:44777858:44783086:-1 gene:B456_004G158600 transcript:KJB24730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMQKLVGVSQEDDEEEMEMDVKEEDDEDEENGEKQIAAQMMIGVDEVMPSTSSSDQFQYQPQLQEQVSNQSGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQSSPAAGTSAGMTSSSSQMVSQQIPPPPATLQGVSSGYRTTVEYNACRMKGVFMPTPSHYDLSSTAPAQSLGVVADGGEQTERLPLIAGSTQVINDKQIIGLPTKLPERDFAGTPYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSINVDGVMVDCWWGIVEAHAPQEYNWNGYQRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPEIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFDEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEMRGHSFWARGPDNAGSYNSQPHETGFFCDGGGYDGYYGRFFLNWYSQVLLNHGDRVLSLAKLAFDGTCITAKLPGIHWWYKAASHAAELTAGYYNPCNRDGYTAIATMLQKHGAALSFSCAEHHILEQQDHLREALADPRGLVWQVTFGELLIKLNIYLLLEKHFFFGNNLAI >KJB24729 pep chromosome:Graimondii2_0_v6:4:44776648:44784363:-1 gene:B456_004G158600 transcript:KJB24729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMQKLVGVSQEDDEEEMEMDVKEEDDEDEENGEKQIAAQMMIGVDEVMPSTSSSDQFQYQPQLQEQVSNQSGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQSSPAAGTSAGMTSSSSQMVSQQIPPPPATLQGVSSGYRTTVEYNACRMKGVFMPTPSHYDLSSTAPAQSLGVVADGGEQTERLPLIAGSTQVINDKQIIGLPTKLPERDFAGTPYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSINVDGVMVDCWWGIVEAHAPQEYNWNGYQRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPEIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFDEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEMRGHSFWARGPDNAGSYNSQPHETGFFCDGGGYDGYYGRFFLNWYSQVLLNHGDRVLSLAKLAFDGTCITAKLPGIHWWYKAASHAAELTAGYYNPCNRDGYTAIATMLQKHGAALSFSCAEHHILEQQDHLREALADPRGLVWQVLNAAWDVSIPIASENAFLCHDRVGYNKILDNVKPVNDPDGRHFSSFTYHRLSPLLMERQNFMEFERFVKRMHGEAVLDPQV >KJB24732 pep chromosome:Graimondii2_0_v6:4:44776650:44784041:-1 gene:B456_004G158600 transcript:KJB24732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMQKLVGVSQEDDEEEMEMDVKEEDDEDEENGEKQIAAQMMIGVDEVMPSTSSSDQFQYQPQLQEQVSNQSGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQSSPAAGTSAGMTSSSSQMVSQQIPPPPATLQGVSSGYRTTVEYNACRMKGVFMPTPSHYDLSSTAPAQSLGVVADGGEQTERLPLIAGSTQVINDKQIIGLPTKLPERDFAGTPYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSINVDGVMVDCWWGIVEAHAPQEYNWNGYQRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPEIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFDEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEMRGHSFWARGPDNAGSYNSQPHETGFFCDGGGYDGYYGRFFLNWYSQVLLNHGDRVLSLAKLAFDGTCITAKVFTGGIKQPVMLLN >KJB24739 pep chromosome:Graimondii2_0_v6:4:44777016:44784041:-1 gene:B456_004G158600 transcript:KJB24739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMQKLVGVSQEDDEEEMEMDVKEEDDEDEENGEKQIAAQMMIGVDEVMPSTSSSDQFQYQPQLQEQVSNQSGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQSSPAAGTSAGMTSSSSQMVSQQIPPPPATLQGVSSGYRTTVEYNACRMKGVFMPTPSHYDLSSTAPAQSLGVVADGGEQTERLPLIAGSTQVINDKQIIGLPTKLPERDFAGTPYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSINVDGVMVDCWWGIVEAHAPQEYNWNGYQRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPEIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFDEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEMRGHSFWARGPDNAGSYNSQPHETGFFCDGGGYDGYYGRFFLNWYSQVLLNHGDRVLSLAKLAFDGTCITAKLPGIHWWYKAASHAAELTAGYYNPCNRDGYTAIATMLQKHGAALSFSCAEHHILEQQDHLREALADPRGLVWQVLNAAWDVSIPIASENAFLCHDRVGYNKILDNVKPVNDPDGRHFSSFTYHRLSPLLMERQNFMEFERFVKRMHGELLYTFSSRLLLFISISGD >KJB24731 pep chromosome:Graimondii2_0_v6:4:44777761:44783086:-1 gene:B456_004G158600 transcript:KJB24731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMQKLVGVSQEDDEEEMEMDVKEEDDEDEENGEKQIAAQMMIGVDEVMPSTSSSDQFQYQPQLQEQVSNQSGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQSSPAAGTSAGMTSSSSQMVSQQIPPPPATLQGVSSGYRTTVEYNACRMKGVFMPTPSHYDLSSTAPAQSLGVVADGGEQTERLPLIAGSTQVINDKQIIGLPTKLPERDFAGTPYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSINVDGVMVDCWWGIVEAHAPQEYNWNGYQRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPEIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFDEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEMRGHSFWARGPDNAGSYNSQPHETGFFCDGGGYDGYYGRFFLNWYSQVLLNHGDRVLSLAKLAFDGTCITAKLPGIHWWYKAASHAAELTAGYYNPCNRDGYTAIATMLQKHGAALSFSCAEHHILEQQDHLREALADPRGLVWQGRL >KJB27247 pep chromosome:Graimondii2_0_v6:4:61691566:61694378:1 gene:B456_004G286400 transcript:KJB27247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPYYRYPLAAADRAGSIPRSAFPGYFSSEAPSLPSHHADMQINQMQPGKYGVNDISNPGVYPESNLGGLSAGANTRAYPSSFGDPSLGTQRWNASVGIHSNTGVHPEPGYGGVSAGPPIREVPNLVGHRWDTPGVSSSAGVHPEPSLGTASAAATIRGYSSPLEIPSSVGQRRDVPVGISPSSGLHPEPSIGSVPAGASIKGYPSPLKDSTLVDQRNDSPPGTKPGIPDALDENASARNGYGHIDAVGDSNILFIDGLPTNCTRREVGRILFIFACMLS >KJB27243 pep chromosome:Graimondii2_0_v6:4:61691497:61694378:1 gene:B456_004G286400 transcript:KJB27243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPYYRYPLAAADRAGSIPRSAFPGYFSSEAPSLPSHHADMQINQMQPGKYGVNDISNPGVYPESNLGGLSAGANTRAYPSSFGDPSLGTQRWNASVGIHSNTGVHPEPGYGGVSAGPPIREVPNLVGHRWDTPGVSSSAGVHPEPSLGTASAAATIRGYSSPLEIPSSVGQRRDVPVGISPSSGLHPEPSIGSVPAGASIKGYPSPLKDSTLVDQRNDSPPGTKPGIPDALDENASARNGYGHIDAVGDSNILFIDGLPTNCTRREVGHLFRPFTGYKDIKVVHKEPRRVTSSMTRNPTLQPCESNSHISLSIFKTIKMINGLSSNQGFKLQLQT >KJB27240 pep chromosome:Graimondii2_0_v6:4:61691495:61694407:1 gene:B456_004G286400 transcript:KJB27240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPYYRYPLAAADRGSIPRSAFPGYFSSEAPSLPSHHADMQVASSDINQMQPGKYGVNDISNPGVYPESNLGGLSAGANTRAYPSSFGDPSLGTQRWNASVGIHSNTGVHPEPGYGGVSAGPPIREVPNLVGHRWDTPGVSSSAGVHPEPSLGTASAAATIRGYSSPLEIPSSVGQRRDVPVGISPSSGLHPEPSIGSVPAGASIKGYPSPLKDSTLVDQRNDSPPGTKPGIPDALDENASARNGYGHIDAVGDSNILFIDGLPTNCTRREVGHLFRPFTGYKDIKVVHKEPRRRGDRAMVLCFVEFDDSKCAMTAMAALQGYKFDDKKPDSPALRIQFAHFPFHLQNDQND >KJB27244 pep chromosome:Graimondii2_0_v6:4:61691497:61694378:1 gene:B456_004G286400 transcript:KJB27244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPYYRYPLAAADRAGSIPRSAFPGYFSSEAPSLPSHHADMQINQMQPGKYGVNDISNPGVYPESNLGGLSAGANTRAYPSSFGDPSLGTQRWNASVGIHSNTGVHPEPGYGGVSAGPPIREVPNLVGHRWDTPGVSSSAGVHPEPSLGTASAAATIRGYSSPLEIPSSVGQRRDVPVGISPSSGLHPEPSIGSVPAGASIKGYPSPLKDSTLVDQRNDSPPGTKPGIPDALDENASARNGYGHIDAVGDSNILFIDGLPTNCTRREVGHLFRPFTGYKDIKVVHKEPRRRGDRAMVLCFVEFDDSKCAMTAMAALQGYKFDDKKPDSPALRIQFAHFPFHLQNDQND >KJB27242 pep chromosome:Graimondii2_0_v6:4:61691497:61694378:1 gene:B456_004G286400 transcript:KJB27242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPYYRYPLAAADRGSIPRSAFPGYFSSEAPSLPSHHADMQINQMQPGKYGVNDISNPGVYPESNLGGLSAGANTRAYPSSFGDPSLGTQRWNASVGIHSNTGVHPEPGYGGVSAGPPIREVPNLVGHRWDTPGVSSSAGVHPEPSLGTASAAATIRGYSSPLEIPSSVGQRRDVPVGISPSSGLHPEPSIGSVPAGASIKGYPSPLKDSTLVDQRNDSPPGTKPGIPDALDENASARNGYGHIDAVGDSNILFIDGLPTNCTRREVGHLFRPFTGYKDIKVVHKEPRRVTSSMTRNPTLQPCESNSHISLSIFKTIKMINGLSSNQGFKLQLQT >KJB27248 pep chromosome:Graimondii2_0_v6:4:61691800:61694378:1 gene:B456_004G286400 transcript:KJB27248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVASSDINQMQPGKYGVNDISNPGVYPESNLGGLSAGANTRAYPSSFGDPSLGTQRWNASVGIHSNTGVHPEPGYGGVSAGPPIREVPNLVGHRWDTPGVSSSAGVHPEPSLGTASAAATIRGYSSPLEIPSSVGQRRDVPVGISPSSGLHPEPSIGSVPAGASIKGYPSPLKDSTLVDQRNDSPPGTKPGIPDALDENASARNGYGHIDAVGDSNILFIDGLPTNCTRREVGHLFRPFTGYKDIKVVHKEPRRRGDRAMVLCFVEFDDSKCAMTAMAALQGYKFDDKKPDSPALRIQFAHFPFHLQNDQND >KJB27245 pep chromosome:Graimondii2_0_v6:4:61691505:61694407:1 gene:B456_004G286400 transcript:KJB27245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPYYRYPLAAADRAGSIPRSAFPGYFSSEAPSLPSHHADMQVASSDINQMQPGKYGVNDISNPGVYPESNLGGLSAGANTRAYPSSFGDPSLGTQRWNASVGIHSNTGVHPEPGYGGVSAGPPIREVPNLVGHRWDTPGVSSSAGVHPEPSLGTASAAATIRGYSSPLEIPSSVGQRRDVPVGISPSSGLHPEPSIGSVPAGASIKGYPSPLKDSTLVDQRNDSPPGTKPGIPDALDENASARNGYGHIDAVGDSNILFIDGLPTNCTRREVGHLFRPFTGYKDIKVVHKEPRRRGDRAMVLCFVEFDDSKCAMTAMAALQGYKFDDKKPDSPALRIQFAHFPFHLQNDQND >KJB27246 pep chromosome:Graimondii2_0_v6:4:61691566:61694318:1 gene:B456_004G286400 transcript:KJB27246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPYYRYPLAAADRAGSIPRSAFPGYFSSEAPSLPSHHADMQINQMQPGKYGVNDISNPGVYPESNLGGLSAGANTRAYPSSFGDPSLGTQRWNASVGIHSNTGVHPEPGYGGVSAGPPIREVPNLVGHRWDTPGVSSSAGVHPEPSLGTASAAATIRGYSSPLEIPSSVGQRRDVPVGISPSSGLHPEPSIGSVPAGASIKGYPSPLKDSTLVDQRNDSPPGTKPGIPDALDENASARNGYGHIDAVGDSNILFIDGLPTNCTRREVGRILFIFACMLS >KJB27241 pep chromosome:Graimondii2_0_v6:4:61691497:61694378:1 gene:B456_004G286400 transcript:KJB27241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPYYRYPLAAADRGSIPRSAFPGYFSSEAPSLPSHHADMQINQMQPGKYGVNDISNPGVYPESNLGGLSAGANTRAYPSSFGDPSLGTQRWNASVGIHSNTGVHPEPGYGGVSAGPPIREVPNLVGHRWDTPGVSSSAGVHPEPSLGTASAAATIRGYSSPLEIPSSVGQRRDVPVGISPSSGLHPEPSIGSVPAGASIKGYPSPLKDSTLVDQRNDSPPGTKPGIPDALDENASARNGYGHIDAVGDSNILFIDGLPTNCTRREVGHLFRPFTGYKDIKVVHKEPRRRGDRAMVLCFVEFDDSKCAMTAMAALQGYKFDDKKPDSPALRIQFAHFPFHLQNDQND >KJB25285 pep chromosome:Graimondii2_0_v6:4:49701638:49706297:1 gene:B456_004G183400 transcript:KJB25285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLAYGGTPTLSQEKKRFPRVATVPGLLSEVEDDNCNSVSSDAPSSVSQERMIIVGNQLPLRVHQNTDDEGWCFSWDEDSLLLQLKDGLGEDVEVIYVGCLKEEVPPNEQDDVAQTLLETFKCVPAFLPPELFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVINPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVREELLRALLNSDLIGFHTFDYARHFLTCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETESKVAELRNKFRGQTVMLGVDDMDIFKGISLKLLAMEQLLMQHTENAGQVVLVQIANPARGRGRDVEEVRSEAYATVKRINNAFGRPGYDPVVLIDTPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDQTLGLNPLGPKRSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDSALILSEAEKQLRHEKHYRYVSTHDVAYWARSFLQDLERACRDHLRKRCWGIGFGLGFRVVAMDPNFRKLSVEHIVAAYKRTKNRAILLDYDSTLLLTSSLSTIPNVEAVGILNNLCRDPKNVVFLVSAKDRKTLTEWFSCCEKLGIAAENGYFIRPKHDADWETCISVPDFDWKQIAEPVMKLYTETTDGSAIETKESSLVWNYRDADPDFGFCQAKELLDHLESVLANEPVLVKSGQHIVEVKPQGVNKGLVAEHLLVTMKQKGMLPDFVLCIGDDRSDEDMFEVIMRATAGPYLSPVADVFACTVGQKCSKAKYYVEDRTEILRMFQVLANASEHASKIAPRPSNRAIIDRV >KJB25286 pep chromosome:Graimondii2_0_v6:4:49702649:49705316:1 gene:B456_004G183400 transcript:KJB25286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLAYGGTPTLSQEKKRFPRVATVPGLLSEVEDDNCNSVSSDAPSSVSQERMIIVGNQLPLRVHQNTDDEGWCFSWDEDSLLLQLKDGLGEDVEVIYVGCLKEEVPPNEQDDVAQTLLETFKCVPAFLPPELFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVINPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVREELLRALLNSDLIGFHTFDYARHFLTCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETESKVAELRNKFRGQTVMLGVDDMDIFKGISLKLLAMEQLLMQHTENAGQVVLVQIANPARGRGRDVEEVRSEAYATVKRINNAFGRPGYDPVVLIDTPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDQTLGLNPLGPKRSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDSALILSEAEKQLRHEKHYRYVSTHDVAYWARSFLQDLERACRDHLRKRCWGIGFGLGFRVVAMDPNFRKLSVEHIVAAYKRTKNRAILLDYDSTLLLTSSLSTIPNVEAVGILNNLCRDPKNVVFLVSAKDRKTLTEWFSCCEKLGIAAENGYFIRPKHDADWETCISVPDFDWKQIAEPVMKLYTETTDGSAIETKESSLVWNYRDADPDFGFCQAKELLDHLESVLANEPVLVKSGQHIVEVKPQCIEYMC >KJB25287 pep chromosome:Graimondii2_0_v6:4:49701827:49705878:1 gene:B456_004G183400 transcript:KJB25287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLAYGGTPTLSQEKKRFPRVATVPGLLSEVEDDNCNSVSSDAPSSVSQERMIIVGNQLPLRVHQNTDDEGWCFSWDEDSLLLQLKDGLGEDVEVIYVGCLKEEVPPNEQDDVAQTLLETFKCVPAFLPPELFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVINPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVREELLRALLNSDLIGFHTFDYARHFLTCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETESKVAELRNKFRGQTVMLGVDDMDIFKGISLKLLAMEQLLMQHTENAGQVVLVQIANPARGRGRDVEEVRSEAYATVKRINNAFGRPGYDPVVLIDTPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDQTLGLNPLGPKRSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDSALILSEAEKQLRHEKHYRYVSTHDVAYWARSFLQDLERACRDHLRKRCWGIGFGLGFRVVAMDPNFRKLSVEHIVAAYKRTKNRAILLDYDSTLLLTSSLSTIPNVEAVGILNNLCRDPKNVVFLVSAKDRKTLTEWFSCCEKLGIAAENGYFIRPKHDADWETCISVPDFDWKQIAEPVMKLYTETTDGSAIETKESSLVWNYRDADPDFGFCQAKELLDHLESVLANEPVLVKSGQHIVEVKPQGVNKGLVAEHLLVTMKQKGMLPDFVLCIGDDRSDEDMFEVIMRATAGPYLSPVADVFACTVGQKCSKAKYYVEDRTEILRMFQVLANASEHASKIAPRPSNRAIIDRV >KJB22153 pep chromosome:Graimondii2_0_v6:4:2613632:2615489:1 gene:B456_004G031900 transcript:KJB22153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSEVKLLGAWPSPFMLRVRIALHLKSINYEFIEVDLLGPKSELVLKSNPIYKKIPVLFHDDNTILESQIILQYIDEVWPNAHSILPSDVAQRADSRFWVAYFDDKLVPALIIALAAKSKDTKNAAIAELEQGLLHLEEAASTKLSKGKALFGGENLGYMDIALGPFLVWIGIIEKLNGVKLLNETNTPSLLRWADSFFSHAVVKTVFPEAEKLIEFAMKLIPVLRARPELRLLLGISD >KJB22436 pep chromosome:Graimondii2_0_v6:4:4216934:4222241:1 gene:B456_004G047400 transcript:KJB22436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEREDSQKLKKMAAAAYDYENDPRWADYWSNVLIPPHMASRSDVVDHFKRKFYQRYIDPDLVVEAMSSSTPSASSSTSSSSANESTRARNAGSTTRSSRASAAATSNTTSMRWDRQTIQFSVNAWVFIVAVLAIFPLVTRTLSIRAYRLSFMGTACSALYSLYALYGIPRACNLQAIQVYFQSIITTKDFIYFIYSLTFVTSHLCLKFALIPILCRALEHVAKFLRHNFNRSTLYRKYLENPCLWVESNTTTLSILSSHAEIGVGFLLIISLFSSASYIFLPFLVYFSFLSQ >KJB22435 pep chromosome:Graimondii2_0_v6:4:4216852:4222241:1 gene:B456_004G047400 transcript:KJB22435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEREDSQKLKKMAAAAYDYENDPRWADYWSNVLIPPHMASRSDVVDHFKRKFYQRYIDPDLVVEAMSSSTPSASSSTSSSSANESTRARNAGSTTRSSRASAAATSNTTSMRWDRQTIQFSVNAWVFIVAVLAIFPLVTRTLSIRAYRLSFMGTACSALYSLYALYGIPRACNLQAIQVYFQSIITTKDFIYFIYSLTFVTSHLCLKFALIPILCRALEHVAKFLRHNFNRSTLYRKYLENPCLWVESNTTTLSILSSHAEIGVGFLLIISLFSWQRNIIQTFMYWQLLKLMYRAPVTTGYHQSVWAKIGRMVNPLVQQYAPFLNGPVSAIQRWWLR >KJB27046 pep chromosome:Graimondii2_0_v6:4:60876903:60879895:-1 gene:B456_004G274500 transcript:KJB27046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNKRPFSGIISDFKGRRACYRHDWASAFCSGFRILAPTTYIFFASALPVIAFGEQLSRDTGGTLSTVETLASTAICGIIHSIIGGQPMLIVGVAEPTIIMYTYLYNFSIERPELGKELYLAWTSWVCLWTAMMLVLLAIFNACVIINRFTRIAGELFGMLITVLFLQEAIKGVVSEFHMPEGGESHGETHQFHWLYTNGLLAVIFSFGVLYTSLMTRNARSWRYGTGWLRSLIADYGVPLMVLVWTALSYTVPRKVDSGVPRRLYCPLLWEPESLYHWTVVKDMGRVPPLYIFAAIIPASMIAGLYFFDHSVASQLAQQKEFNLKKPSAYHWDILILGITTFVCGLLGLPPSNGVLPQSPMHTKSLAVLNKQMIRKKMVKSVKEGMKQKASQSEIYGRMEAVFIELDASPAHDSADKELRNLKEAMMKDDGGNNGKEKFDPEKHIDAYLPVRVNEQRMSNLLQSILVAIPMFIVPVIRMIPTSVLWGYFAYMAIDSLEGCQFWERILLLFITPSRRYKVLETLHASYLELVPFKAILLFTLFQIAYFIICFGVTWIPIAGILFPVPFFLLISIRRHVLPKFFQPEHLQELDAAEYEEIIGTPRKVSLQEGESSNGAEGTDDDFHDAEILDEMTTSRGELKLRTKSYKEDRLYQVYLLTFLTHIRIFNTNCI >KJB22121 pep chromosome:Graimondii2_0_v6:4:2438214:2439556:-1 gene:B456_004G030700 transcript:KJB22121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSLLVSVVISLVIVASAANFNQDIDVTWGDGRGKILENGNLLTLTLDKFSGSGFQSKNQYLFGKIDMNIKLVPGNSAGTVTTYYLRSEGSTWDEIDFEFLGNLSGDPYIVHTNVYTQGKGDKEQQFYLWFDPTKDFHTYSLLWNPQRIIFSVDGTPIREFKNLESKGVAFPKSQPMRVYSSLWNADDWATRGGLVKTDWSQAPFTASYRTFKADACVWSSGKSSCSSTSSPSQNSWLSQELDIASQQRLKWVQKNYMIYNYCTDTKRFPMGLPKECTAS >KJB22122 pep chromosome:Graimondii2_0_v6:4:2438337:2439546:-1 gene:B456_004G030700 transcript:KJB22122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSLLVSVVISLVIVASAANFNQDIDVTWGDGRGKILENGNLLTLTLDKFSGSGFQSKNQYLFGKIDMNIKLVPGNSAGTVTTYYLRSEGSTWDEIDFEFLGNLSGDPYIVHTNVYTQGKGDKEQQFYLWFDPTKDFHTYSLLWNPQRIMYVLFYNPIFLKTFQFRLFSVCLIVILLNVYSFSVDGTPIREFKNLESKGVAFPKSQPMRVYSSLWNADDWATRGGLVKTDWSQAPFTASYRTFKADACVWSSGKSSCSSTSSPSQNSWLSQELDIASQQRLKWVQKNYMIYNYCTDTKRFPMGLPKECTAS >KJB21824 pep chromosome:Graimondii2_0_v6:4:1148933:1150328:-1 gene:B456_004G015900 transcript:KJB21824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLHPILQSGFLCQSSSKVEMWRSSSSPIRFPLISQPTSPVADLKVLLSSVASNLIDILGTFSCIL >KJB21728 pep chromosome:Graimondii2_0_v6:4:748602:752214:1 gene:B456_004G010600 transcript:KJB21728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYAVVARGTVVLAEFSAVTGNTGAVARRILEKLPQEADSRLCLSQDRYIFHILRSDGLTFLCMANDTFGRRVPFSYLEDIHMRFMKNYGRVARYAPAYAMNDEFSRVLHQQMEFFSSSPSADTLNRVRGDRIELLVDKTATMQDGAFHFKKQSKRLRQALWMKNAKLLAMLTCLIVLILYLIIAACCGGITLPSCRS >KJB21726 pep chromosome:Graimondii2_0_v6:4:748428:752214:1 gene:B456_004G010600 transcript:KJB21726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYAVVARGTVVLAEFSAVTGNTGAVARRILEKLPQEADSRLCLSQDRYIFHILRSDGLTFLCMANDTFGRRVPFSYLEDIHMRFMKNYGRVARYAPAYAMNDEFSRVLHQQMEFFSSSPSADTLNRVRGEVGEIRSIMVENIEKILERGDRIELLVDKTATMQDGAFHFKKQSKRLRQALWMKNAKLLAMLTCLIVLILYLIIAACCGGITLPSCRS >KJB21727 pep chromosome:Graimondii2_0_v6:4:748602:750866:1 gene:B456_004G010600 transcript:KJB21727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYAVVARGTVVLAEFSAVTGNTGAVARRILEKLPQEADSRLCLSQDRYIFHILRSDGLTFLCMANDTFGRRVPFSYLEDIHMRFMKNYGRVARYAPAYAMNDEFSRVLHQQMEFFSSSPSADTLNRVRGEVGEIRSIMVENIEKILERGDRIELLVDKTATMQDGAFHFKKQSKRLRQALWMKNAKLL >KJB22600 pep chromosome:Graimondii2_0_v6:4:5446085:5450581:1 gene:B456_004G056900 transcript:KJB22600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSTSHLKRNLKGFTSALKSAACECFLIVLLFFYAAFSYLLTRFAHYCGLQAPCILCSRLDHVFRNEKPGYYWNLFCSNHRSEVSSLVSCNIHGKLVDGRGMCDNCLSSDVEENKSNSDIQRVSLENLGFEPACFGNCDSQSSFFNRDLSPASKSTRFCLCCDKPWTPRPNAQGLPPLKSRGVAVAKANFYLSRRLRHRNGPKKSKDKFSAPALTHIGNTGIDTSPHAGFTELKITSESESEIPFSDDEVSNCMVLDMNESKKESLVHSAPETPSKRLYNNLVKRKQPDSSEPHDFRYFYPNVHSENYVCDRKGQLADKKTNSSVLPELISLDDIPASSCLVGVPSYSASLLSDLISLVDKPPSVNVMETPLEASSRKHVTGASKNENISINKTDKSLKSISTSAGAGFETDQVVDDTAVVHSAHEDLNAVNTSPVYGEEKGASVFVTEEPMLRYNKGVSKYPSLSVQNSSGEGIDLSFNNVGSEFPDDDDDFETTDESNPYGVQSFDNLFSAERSEFGSFGVNEDQSLAVQNSSEEGIHLSPELQGHSDDFETTNESDPYGVQSFDNLLYTERSEFDILGPLNESTFSEIEGEDPVDRLKRQVEHYQKCMDGLYKELEEERNASAIAANQAMAMITRLQEEKSNLQMEGLHYLRMMEEQAEYDGDALDKANDLLAEREKELQDLEAELEFYRLNFTDETQIENLTGASINLSNGHVSTKTTSTFSVKDDLKFPSNTMFPDSDNPVAKSAWSEFEDEKLYISERLRDLERKASKFARHGTLPHISDRESLDEAANGGQHQQESLDESAPSQELSNTSVSEDQVVSKGNSHMVSNGQKGSENCNETGLASVENEISDLNEKLEALVADYKFLENSLKSLQIGNEGLLLIQEILHELRELRKLGIRRRNMSAS >KJB22598 pep chromosome:Graimondii2_0_v6:4:5445621:5450581:1 gene:B456_004G056900 transcript:KJB22598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSTSHLKRNLKGFTSALKSAACECFLIVLLFFYAAFSYLLTRFAHYCGLQAPCILCSRLDHVFRNEKPGYYWNLFCSNHRSEVSSLVSCNIHGKLVDGRGMCDNCLSSDVEENKSNSDIQRVSLENLGFEPACFGNCDSQSSFFNRDLSPASKSTRFCLCCDKPWTPRPNAQGLPPLKSRGVAVAKANFYLSRRLRHRNGPKKSKDKFSAPALTHIGNTGIDTSPHAGFTELKITSESESEIPFSDDEVSNCMVLDMNESKKESLVHSAPETPSKRLYNNLVKRKQPDSSEPHDFRYFYPNVHSENYVCDRKGQLADKKTNSSVLPELISLDDIPASSCLVGVPSYSASLLSDLISLVDKPPSVNVMETPLEASSRKHVTGASKNENISINKTDKSLKSISTSAGAGFETDQVVDDTAVVHSAHEDLNAVNTSPVYGEEKGASVFVTEEPMLRYNKGVSKYPSLSVQNSSGEGIDLSFNNVGSEFPDDDDDFETTDESNPYGVQSFDNLFSAERSEFGSFGVNEDQSLAVQNSSEEGIHLSPELQGHSDDFETTNESDPYGVQSFDNLLYTERSEFDILGPLNESTFSEIEGEDPVDRLKRQVEHYQKCMDGLYKELEEERNASAIAANQAMAMITRLQEEKSNLQMEGLHYLRMMEEQAEYDGDALDKANDLLAEREKELQDLEAELEFYRLNFTDETQIENLTGASINLSNGHVSTKTTSTFSVKDDLKFPSNTMFPDSDNPVAKSAWSEFEDEKLYISERLRDLERKASKFARHGTLPHISDRESLDEAANGGQHQQESLDESAPSQELSNTSVSEDQVVSKGNSHMVSNGQKGSENCNETGLASVENEISDLNEKLEALVADYKFLENSLKSLQIGNEGLLLIQEILHELRELRKLGIRRRNMSAS >KJB22599 pep chromosome:Graimondii2_0_v6:4:5445373:5450581:1 gene:B456_004G056900 transcript:KJB22599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSTSHLKRNLKGFTSALKSAACECFLIVLLFFYAAFSYLLTRFAHYCGLQAPCILCSRLDHVFRNEKPGYYWNLFCSNHRSEVSSLVSCNIHGKLVDGRGMCDNCLSSDVEENKSNSDIQRVSLENLGFEPACFGNCDSQSSFFNRDLSPASKSTRFCLCCDKPWTPRPNAQGLPPLKSRGVAVAKANFYLSRRLRHRNGPKKSKDKFSAPALTHIGNTGIDTSPHAGFTELKITSESESEIPFSDDEVSNCMVLDMNESKKESLVHSAPETPSKRLYNNLVKRKQPDSSEPHDFRYFYPNVHSENYVCDRKGQLADKKTNSSVLPELISLDDIPASSCLVGVPSYSASLLSDLISLVDKPPSVNVMETPLEASSRKHVTGASKNENISINKTDKSLKSISTSAGAGFETDQVVDDTAVVHSAHEDLNAVNTSPVYGEEKGASVFVTEEPMLRYNKGVSKYPSLSVQNSSGEGIDLSFNNVGSEFPDDDDDFETTDESNPYGVQSFDNLFSAERSEFGSFGVNEDQSLAVQNSSEEGIHLSPELQGHSDDFETTNESDPYGVQSFDNLLYTERSEFDILGPLNESTFSEIEGEDPVDRLKRQVEHYQKCMDGLYKELEEERNASAIAANQAMAMITRLQEEKSNLQMEGLHYLRMMEEQAEYDGDALDKANDLLAEREKELQDLEAELEFYRLNFTDETQIENLTGASINLSNGHVSTKTTSTFSVKDDLKFPSNTMFPDSDNPVAKSAWSEFEDEKLYISERLRDLERKASKFARHGTLPHISDRESLDEAANGGQHQQESLDESAPSQELSNTSVSEDQVVSKGNSHMVSNGQKGSENCNETGLASVENEISDLNEKLEALVADYKFLENSLKSLQIGNEGLLLIQEILHELRELRKLGIRRRNMSAS >KJB22395 pep chromosome:Graimondii2_0_v6:4:4005738:4012110:1 gene:B456_004G045400 transcript:KJB22395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRGINDYEPGPVPSESRVDRYGFIKQNVSNSSAAEGLGKSREARRVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPTHVFFQQRHGPGQRSLYNVLKAYSVFDRAVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLFQFEHLVREHLPKLGEHFSREMINPSMYASQWFITVFSYSFPFPLALRIWDVFLFEGVKIVFKVGLALLKYCHDDLINLSFEKLIHALRNFPEDAMNPDILLPMAYSIKVSRSLAESKIEYEKQNRKPKIEA >KJB22392 pep chromosome:Graimondii2_0_v6:4:4005628:4011099:1 gene:B456_004G045400 transcript:KJB22392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRGINDYEPGPVPSESRVDRYGFIKQNVSNSSAAEGLGKSREARRVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPTHVFFQQRHGPGQRSLYNVLKAYSVFDRAVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLFQFEHLVREHLPKLGEHFSREMINPSMYASQWFITVFSYSFPFPLALRIWDVFLFEGVKIVFKVGLALLKYCHDDLINLSFEKLIHALRNFPEDAMNPDILLPMAYSIKVLSLSTQVFMALSTLKFLMSIHF >KJB22396 pep chromosome:Graimondii2_0_v6:4:4005922:4012110:1 gene:B456_004G045400 transcript:KJB22396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRGINDYEPGPVPSESRVDRYGFIKQNVSNSSAAEGLGKSREARRVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPTHVFFQQRHGPGQRSLYNVLKAYSVFDRAVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLFQFEHLVREHLPKLGEHFSREMINPSMYASQWFITVFSYSFPFPLALRIWDVFLFEGVKIVFKVGLALLKYCHDDLINLSFEKLIHALRNFPEDAMNPDILLPMAYSIKVSRSLAESKIEYEKQNRKPKIEA >KJB22391 pep chromosome:Graimondii2_0_v6:4:4005622:4012162:1 gene:B456_004G045400 transcript:KJB22391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRGINDYEPGPVPSESRVDRYGFIKQNVSNSSAAEGLGKSREARRVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPTHVFFQQRHGPGQRSLYNVLKAYSVFDRAVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLFQFEHLVREHLPKLGEHFSREMINPSMYASQWFITVFSYSFPFPLALRIWDVFLFEGVKIVFKVGLALLKYCHDDLINLSFEKLIHALRNFPEDAMNPDILLPMAYSIKVSRSLAESKIEYEKQNRKPKIEA >KJB22390 pep chromosome:Graimondii2_0_v6:4:4005922:4012110:1 gene:B456_004G045400 transcript:KJB22390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRGINDYEPGPVPSESRVDRYGFIKQNVSNSSAAEGLGKSREARRVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPTHVFFQQRHGPGQRSLYNVLKAYSVFDRAVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLFQFEHLVREHLPKLGEHFSREMINPSMYASQWFITVFSYSFPFPLALRIWDVFLFEGVKIVFKVGLALLKYCHDDLINLSFEKLIHALRNFPEDAMNPDILLPMAYSIKVSRSLAESKIEYEKQNRKPKIEA >KJB22394 pep chromosome:Graimondii2_0_v6:4:4005622:4012162:1 gene:B456_004G045400 transcript:KJB22394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRGINDYEPGPVPSESRVDRYGFIKQNVSNSSAAEGLGKSREARRVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPTHVFFQQRHGPGQRSLYNVLKAYSVFDRAVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLFQFEHLVREHLPKLGEHFSREMINPSMYASQWFITVFSYSFPFPLALRIWDVFLFEGVKIVFKVGLALLKYCHDDLINLSFEKLIHALRNFPEDAMNPDILLPMAYSIKVSRSLAESKIEYEKQNRKPKIEA >KJB22397 pep chromosome:Graimondii2_0_v6:4:4005922:4012110:1 gene:B456_004G045400 transcript:KJB22397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRGINDYEPGPVPSESRVDRYGFIKQNVSNSSAAEGLGKSREARRVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPTHVFFQQRHGPGQRSLYNVLKAYSVFDRAVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLFQFEHLVREHLPKLGEHFSREMINPSMYASQWFITVFSYSFPFPLALRIWDVFLFEGVKIVFKVGLALLKYCHDDLINLSFEKLIHALRNFPEDAMNPDILLPMAYSIKVSRSLAESKIEYEKQNRKPKIEA >KJB22393 pep chromosome:Graimondii2_0_v6:4:4005628:4012110:1 gene:B456_004G045400 transcript:KJB22393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRGINDYEPGPVPSESRVDRYGFIKQNVSNSSAAEGLGKSREARRVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEVGLPLVQQYLFQFEHLVREHLPKLGEHFSREMINPSMYASQWFITVFSYSFPFPLALRIWDVFLFEGVKIVFKVGLALLKYCHDDLINLSFEKLIHALRNFPEDAMNPDILLPMAYSIKVSRSLAESKIEYEKQNRKPKIEA >KJB22398 pep chromosome:Graimondii2_0_v6:4:4005628:4012110:1 gene:B456_004G045400 transcript:KJB22398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRGINDYEPGPVPSESRVDRYGFIKQNVSNSSAAEGLGKSREARRVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPTHVFFQQRHGPGQRSLYNVLKAYSVFDRAVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLFQFEHLVREHLPKLGEHFSREMINPSMYASQWFITVFSYSFPFPLALRIWDVFLFEGVKIVFKVGLALLKYCHDDLINLSFEKLIHALRNFPEDAMNPDILLPMAYSIKVSRSLAESKIEYEKQNRKPKIEA >KJB22213 pep chromosome:Graimondii2_0_v6:4:2915135:2919522:1 gene:B456_004G035600 transcript:KJB22213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 3 [Source:Projected from Arabidopsis thaliana (AT3G59550) UniProtKB/Swiss-Prot;Acc:Q9FQ19] MFYSHTFLSRKAPLGTVWTAAHLQHRLKKSHYASIDILSTVDHIMFPEVPIALRMSAHLLLGISRIYSKKVDYLFHDCNIILIGLSKVFATTQVNLPEDARQAPVQAITLPETLDLDAMDLDVDVYTEDASDNHLKSPEDITLTDQIPVERDAYVAITFDDDIMMDDALPQMDDNLHEPPESGHEGFEDLGPDNQEQVPSVQYAGPSNQTQVLESVSNEGSQDLPEIEMMRDASHDFSTQKLPSMCPDDKNTDALEQALDEKEICSPSLHLLASGELSLPFQQHSNPPTSASNGPPEAFVHESPELVIPPSPPPPQPRQRRKTRQKFDEKLVLPNRFMKRALEDCSDLVRKKKKIPCSAFGVWKSNNDRKMDQVFNEPSLTGLSEGICNMFKRDGISIKSQLVVPEEIVPEPMVPQSTVPTTEASSELRVGPATPDPRAGLSPGSAPGMDMEVERLRHIEGNAADNVFPEFESFPAGSMPSPFRREDTPFSARSLESELAPTAGTASTTNFAASTATRWSDIDTPRTFMEEQSCLGNSGFSTIPEFETSETDLYFLEEDANTPTESAASQGVGSLSARTRAVAKYLNSHSPITPIPEDGRIDLSLNKILEGKTRKICARMFFETVVLKSYGMIDVRQEEAYGDITLQLNPSMLSKVDPSKSV >KJB25189 pep chromosome:Graimondii2_0_v6:4:49768593:49770015:-1 gene:B456_004G183900 transcript:KJB25189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAILRAGSLPVTSSALPGSPKVSLSRQSSFGAVFPVERSGFSLSSPIVSLHFPMDKRKPKESRAQIRRALSETDIIKSETRVPGGSRCFTAGIPEEEYVSDYEIDGGFRTEFGISMEEIGFSGDGFGTGGKIGGDNGDDSYGDKRKMGDYYREMLKLNPGDPLLLRNYGRFLHEVEKDMERAEEYYGRAILASPGDGEVLSLYGDLIWERHRDESRAKSYFDRAVTASPDDCMVLGSYANFLWDAEEDDEEEVEMSKAMVAAF >KJB25639 pep chromosome:Graimondii2_0_v6:4:52957669:52959197:1 gene:B456_004G201700 transcript:KJB25639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFFIMAVLVILGTMVTSAYAQGSCAQNLVSCYPYLNNATAKPEDDCCNPIRQTVAKDLPCLCNLYNDPNTLASFNVTVPEALRISRECGVSTDLSACNATSPTSAPSPPGQSGGADRIALTGVITLFLVLVSITLY >KJB23844 pep chromosome:Graimondii2_0_v6:4:29185217:29185860:1 gene:B456_004G119300 transcript:KJB23844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LWDTRTLTLIKTYVTERPVNAVAMSPLLDHVKGQPESIVQDIENMVRSYIEKVKCI >KJB25993 pep chromosome:Graimondii2_0_v6:4:55515368:55521000:1 gene:B456_004G220200 transcript:KJB25993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFWGQRAANALGMFRLRWGGESSLTTGLLGDVPPEIELSDYGRLPASPRSECPLGLLNGFFLLFVDWNGLRNAKCGMDAVESGTKPCDLAKEALHEHPLTPLTLSKAIVVGYLVLFSVYWVFCFLRFFAQLKDTLGIQHFFYNSLHITDSEIQTMPWATILERVVRLQSSSQLCVVKDLSTHDVVMRLMRKENYLIGMLNKGVLAFPISPWVPGAGPTVKFGSGGMRHRLILTKSLEWTLNWCILQSMFDRNFCVRRDFITNPRTLKKRLIIVGLTMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSKWMFREFNEVEHLFRHRINSSVMHASEYLKQFPSPVISIIAKFISFVSGGFAAVLIIIAFVEESLLEGHIFGRNLFWYAAIFGTITAISRAAVADELLVLDPGGAMSMVVQHTHYMPKRWRGKENIETVRIEFETLYQYTGMILLEEMASIFLPPLLLLFVVPKVDDILQFIADFTIDVEGVGHVCSFSAFDFQNHGNSKYGSPHNVPRAQRSSQGKMEKSFLSFQSSYPLWEPDAQGKQFLSNIRIFREQKLQGQGTGHTYSLDRLWRGSPPRAYRDRNGLSSREMQHNIPGSGNDSRSSWIIDAVQKNHPYLLDWYYTSRPHRVRSHRRDTTTRQFEPAEQQHVDYWGPTSLGHNEGIDEDRWLHHYDNRSQSQLASFDISSFLP >KJB25992 pep chromosome:Graimondii2_0_v6:4:55515368:55521000:1 gene:B456_004G220200 transcript:KJB25992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFWGQRAANALGMFRLRWGGESSLTTGLLGDVPPEIELSDYGRLPASPRSECPLGLLNGESLNVEPIADLDLFFERLYSYYCEKGLWYIIIKWIVELLSVGFTICFSGFFLLFVDWNGLRNAKCGMDAVESGTKPCDLAKEALHEHPLTPLTLSKAIVVGYLVLFSVYWVFCFLRFFAQLKDTLGIQHFFYNSLHITDSEIQTMPWATILERVVRLQSSSQLCVVKDLSTHDVVMRLMRKENYLIGMLNKGVLAFPISPWVPGAGPTVKFGSGGMRHRLILTKSLEWTLNWCILQSMFDRNFCVRRDFITNPRTLKKRLIIVGLTMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSKWMFREFNEVEHLFRHRINSSVMHASEYLKQFPSPVISIIAKFISFVSGGFAAVLIIIAFVEESLLEGHIFGRNLFWYAAIFGTITAISRAAVADELLVLDPGGAMSMVVQHTHYMPKRWRGKENIETVRIEFETLYQYTGMILLEEMASIFLPPLLLLFVVPKQVDDILQFIADFTIDVEGVGHVCSFSAFDFQNHGNSKYGSPHNVPRAQRSSQGKMEKSFLSFQSSYPLWEPDAQGKQFLSNIRIFREQKLQGQGTGHTYSLDRLWRGSPPRAYRDRNGLSSREMQHNIPGSGNDSRSSWIIDAVQKNHPYLLDWYYTSRPHRVRSHRRDTTTRQFEPAEQQHVDYWGPTSLGHNEGIDEDRWLHHYDNRSQSQLASFDISSFLP >KJB26698 pep chromosome:Graimondii2_0_v6:4:59199743:59200299:-1 gene:B456_004G255100 transcript:KJB26698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQAKLLHKFGEDQEVQEVAGQIFVPQLNDFTKAQSRLRMRYDTLIAQLCARLASTEGDKENELPCGIKPSEDELNLQCYVMYEVEGIRTDKVAVRRGDARYAEAFKLYGGMECMRRKTVQLENLRRLEDGQKFRSILALAGGVEALPAAASKRAAT >KJB22367 pep chromosome:Graimondii2_0_v6:4:3779374:3780838:-1 gene:B456_004G043700 transcript:KJB22367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLINILTILVLLTFSSEIADAAPPCQEVIVSITPCLSFIKGQPDPAPRCCWGAKGLAKQANTKLDRQGICECLKGVLPKIGPYDPKRFPLIAQKCGINTLIPPISATTDCTKV >KJB21814 pep chromosome:Graimondii2_0_v6:4:1096158:1097030:1 gene:B456_004G015200 transcript:KJB21814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKSSSKKLICFYLLLLLYFQSYCFSSCSSFVVSAFSQQLMPVKSHGGFEANDDDDHGDEGEDFGDEKRKVYTGPNPLHNR >KJB22836 pep chromosome:Graimondii2_0_v6:4:7235787:7237248:1 gene:B456_004G068800 transcript:KJB22836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRLFEAARCGDISAFHSLLEEDPFLLDRVALNPVYNPLHVSALAGQVEITKEIVSRKPAFTRELNENGFSPIHVASANGHIEIIRELMRVGYDICLLKGKDGKVPLHCAALKGRVDVVKELVWACPESLKQVTACGETALHLAVKSNQIEAARVLIEEIRRLQMMEILNWKDTEGNTVLHQATFNRQHEIISLLIGGEALACGVNINSVNTSGFTPKDVLDLLLQTGSDFHDIHIYQMFQQAGAVTAQEITRDPAYFRTQREPINTAYIVQSACSWNLWKELMKEVAESSTETQNALMVVAVLIASVTYQAILSPPSGFWDADKLNSQTVTVQKRTMMPGEAVMADDPEVFAVFIVFNAIGFFASLAMISLLTSGFPLRAGLRLAIISMTGTYVIAVIYMGPTKMREVYIVVIFMGLLFVAELVRFTMWLLKKWGVLTDTRS >KJB21418 pep chromosome:Graimondii2_0_v6:4:4044108:4046987:1 gene:B456_004G045800 transcript:KJB21418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNSIAVSNIYVVDAADRDGVPISRTELHDLLTKPSLSGIPLLVLGNKIDKSEALSKQALVDQLGLESISDREVCCYMISCKDSVNIDVVIDWLIKHSRSAK >KJB21417 pep chromosome:Graimondii2_0_v6:4:4044030:4047093:1 gene:B456_004G045800 transcript:KJB21417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNSIATGGYSEDMIPTVGFNMKKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAIVYVVDAADRDGVPISRTELHDLLTKPSLSGIPLLVLGNKIDKSEALSKQALVDQLGLESISDREVCCYMISCKDSVNIDVVIDWLIKHSRSAK >KJB21880 pep chromosome:Graimondii2_0_v6:4:1452825:1457181:1 gene:B456_004G019500 transcript:KJB21880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAAPQHHHHHHHPASLEEVRTLWIGDLQFWVDESYLNSCFAHTGELVSIKIIRNKITGLPEGYGFVEFVSHAAAERILQTYNGTPMPGTEQMFRLNWASFGAGERHNDPGPDHSIFVGDLAPDVTDYLLQETFRAHYPSVRGAKVVTDPNTGRSKGYGFVKFSDETERNRAMTEMNGVYCSTRAMRISAATPKKTTGFQQQYAVAKAVYPALAYTATTMPVLPPDNDQTNTTIFVGNLDLNVTEEVLKQFFLPLGEIVCVKIPATKGCGYVQFANRNSAEEAIRRMQGQMIGQQVVQITWGRIPTANQDLPGSWGAQIDPNQWNAYYGYGQGYDAYSYGATQDPSLYAYGAYAGYAQYPQQVGGSQEMAAMAGAVEQREEPYDPLATPDVDKLNATYLSVHGSAILGRPLWQRTSSITPRA >KJB21881 pep chromosome:Graimondii2_0_v6:4:1452825:1457194:1 gene:B456_004G019500 transcript:KJB21881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAAPQHHHHHHHPASLEEVRTLWIGDLQFWVDESYLNSCFAHTGELVSIKIIRNKITGLPEGYGFVEFVSHAAAERILQTYNGTPMPGTEQMFRLNWASFGAGERHNDPGPDHSIFVGDLAPDVTDYLLQETFRAHYPSVRGAKVVTDPNTGRSKGYGFVKFSDETERNRAMTEMNGVYCSTRAMRISAATPKKTTGFQQQYAVAKAAVYPALAYTATTMPVLPPDNDQTNTTIFVGNLDLNVTEEVLKQFFLPLGEIVCVKIPATKGCGYVQFANRNSAEEAIRRMQGQMIGQQVVQITWGRIPTANQDLPGSWGAQIDPNQWNAYYGYGQGYDAYSYGATQDPSLYAYGAYAGYAQYPQQVGGSQEMAAMAGAVEQREEPYDPLATPDVDKLNATYLSVHGSAILGRPLWQRTSSITPRA >KJB21879 pep chromosome:Graimondii2_0_v6:4:1452741:1457249:1 gene:B456_004G019500 transcript:KJB21879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAAPQHHHHHHHPASLEEVRTLWIGDLQFWVDESYLNSCFAHTGELVSIKIIRNKITGLPEGYGFVEFVSHAAAERILQTYNGTPMPGTEQMFRLNWASFGAGERHNDPGPDHSIFVGDLAPDVTDYLLQETFRAHYPSVRGAKVVTDPNTGRSKGYGFVKFSDETERNRAMTEMNGVYCSTRAMRISAATPKKTTGFQQQYAVAKAAVYPALAYTATTMPVLPPDNDQTNTTIFVGNLDLNVTEEVLKQFFLPLGEIVCVKIPATKGCGYVQFANRNSAEEAIRRMQGQMIGQQVVQITWGRIPTANQDLPGSWGAQIDPNQWNAYYGYGQGYDAYSYGATQDPSLYAYGAYAGYAQYPQQVGGSQEMAAMAGAVEQREEPYDPLATPDVDKLNATYLSVHGSAILGRPLWQRTSSITPRA >KJB25782 pep chromosome:Graimondii2_0_v6:4:54157901:54160653:1 gene:B456_004G209000 transcript:KJB25782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALPSTRLLLLFGESLPSSRLPNVSRKVWGQTSVRFVSQVNPDNGPLTLSSLGFKSGFEKTNKIKADKIERLDVPSEAPKSKVRGVGSNGIKTVKAVGLKKSLEIESAPFSAKSFSELGLPPLLLERLDTAGFTVPTDVQSAAVPTILKGHDVVIQSYTGSGKTLAYLLPILSEVGPLKKKSANGESEFEKKKEIDAVIVAPSRELGMQIVREVEKLLGPTDKKVVQQLVGGANRSRQEEALKKNKPAIVVGTPGRIAEISAAGKLHTHSCRFLVLDEVDELLSFNFREDMHRILEHVGRRSGADPRGSIAARRTIMVSATVPFSVIRAARSWGSDPLLVQAKKVMPLEAVSPSGPVNILKPTSGSDSSMRTQAALQSLPPALKHYHCVAKLQHKVDTLRRCVHALDAKCVIAFMNHTKQLKDAVFKLAARGMVAAELHGDLGKLARSTTLKKFKNGEVRVLVTNELSARGLDVAECDLVVNLDLPTDSIHYAHRAGRTGRLGRKGTVVTICEEPEVFVVKKLQKQLGIPIPACEFTEGKLNVIEED >KJB25783 pep chromosome:Graimondii2_0_v6:4:54157704:54160550:1 gene:B456_004G209000 transcript:KJB25783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALPSTRLLLLFGESLPSSRLPNVSRKVWGQTSVRFVSQVNPDNGPLTLSSLGFKSGFEKTNKIKADKIERLDVPSEAPKSKVRGVGSNGIKTVKAVGLKKSLEIESAPFSAKSFSELGLPPLLLERLDTAGFTVPTDVQSAAVPTILKGHDVVIQSYTGSGKTLAYLLPILSEVGPLKKKSANGESEFEKKKEIDAVIVAPSRELGMQIVREVEKLLGPTDKKVVQQLVGGANRSRQEEALKKNKPAIVVGTPGRIAEISAAGKLHTHSCRFLVLDEVDELLSFNFREDMHRILEHVGRRSGADPRGSIAARRTIMVSATVPFSVIRAARSWGSDPLLVQAKKVMPLEAVSPSGPVNILKPTSGSDSSMRTQAALQSLPPALKHYHCVAKLQHKVDTLRRCVHALDAKCVIAFMNHTKQLKDAVFKLAARGMVAAELHGDLGKLARSTTLKKFKNGEVRVLVTNELSARGLDVAECDLVVNLDLPTDSIHYAHRAGRTGRLGRKGTVVTICEEPEVFVVKKLQKQLGIPIPACEFTEGKLNVIEED >KJB26238 pep chromosome:Graimondii2_0_v6:4:56923767:56925971:1 gene:B456_004G232300 transcript:KJB26238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSVAVCNSPVFSPSSSLFCSKTSIISQSPEALNLSLTHLKPSSSSPASPSPSSPSSPFRLRLQRPTSGSLLSTPSSSSVSGSTILKRKRPSRLDIPVSTTALCFGVPATPCEVAREVEREGDGYSVYCKRGRREAMEDRFSASVELQGDSKQAFFGVFDGHGGAKAAEFAAQKLEKNIVDEVVRRGDRSGVKEAVKDGYLKTDAEFLKEDVSGGTCCVTALIQNGNLIVSNAGDCRAVMSRGGVAEPLTSDHRPSREDEKSRIETSGGYVDLCRGVWRIQGSLAVSRGIGDQHLKQWVISEPETKIIGIKPECEFLILASDGLWDKVWY >KJB26236 pep chromosome:Graimondii2_0_v6:4:56923604:56925971:1 gene:B456_004G232300 transcript:KJB26236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSVAVCNSPVFSPSSSLFCSKTSIISQSPEALNLSLTHLKPSSSSPASPSPSSPSSPFRLRLQRPTSGSLLSTPSSSSVSGSTILKRKRPSRLDIPVSTTALCFGVPATPCEVAREVEREGDGYSVYCKRGRREAMEDRFSASVELQGDSKQAFFGVFDGHGGAKAAEFAAQKLEKNIVDEVVRRGDRSGVKEAVKDGYLKTDAEFLKEDVSGGTCCVTALIQNGNLIVSNAGDCRAVMSRGGVAEPLTSDHRPSREDEKSRIETSGGYVDLCRGVWRIQGSLAVSRGIGDQHLKQWVISEPETKIIGIKPECEFLILASDGLWDKVSNQEAVDIARPSCLGINKVNPLGACKKLVELSVSRGSSDDVSVMLVQLGCYL >KJB26237 pep chromosome:Graimondii2_0_v6:4:56923767:56925971:1 gene:B456_004G232300 transcript:KJB26237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSVAVCNSPVFSPSSSLFCSKTSIISQSPEALNLSLTHLKPSSSSPASPSPSSPSSPFRLRLQRPTSGSLLSTPSSSSVSGSTILKRKRPSRLDIPVSTTALCFGVPATPCEVAREVEREGDGYSVYCKRGRREAMEDRFSASVELQGDSKQAFFGVFDGHGGAKAAEFAAQKLEKNIVDEVVRRGDRSGVKEAVKDGYLKTDAEFLKEDVSGGTCCVTALIQNGNLIVSNAGDCRAVMSRGGVAEPLTSDHRPSREDEKSRIETSVSSFSINQRPLHLFTIGNSCVHLRTNLI >KJB26878 pep chromosome:Graimondii2_0_v6:4:60385610:60390581:-1 gene:B456_004G268800 transcript:KJB26878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLGRGHRDKVQQFMTITGASEKLALQALKASDWHLEGAFDFFYGQQSHIKSYTNTTHLEELYKRYKDPYTDMILADGITLLCNDLQVDPQDIVMLVVSWHMKASTMCEYSKEEFFTGLQALGIDSLEKFRERIPFMRSELKDEQKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKQWPLVDHWCQFLQARHNKAISRDTWSQLLEFARVS >KJB26875 pep chromosome:Graimondii2_0_v6:4:60384856:60390581:-1 gene:B456_004G268800 transcript:KJB26875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLGRGHRDKVQQFMTITGASEKLALQALKASDWHLEGAFDFFYGQQSHIKSYTNTTHLEELYKRYKDPYTDMILADGITLLCNDLQLVVSWHMKASTMCEYSKEEFFTGLQALGIDSLEKFRERIPFMRSELKDEQKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKQWPLVDHWCQFLQARHNKAISRDTWSQLLEFARTVDPALLNYDAEGAWPYLIDEFVEYLNENGIIQNGQFK >KJB26879 pep chromosome:Graimondii2_0_v6:4:60386217:60390581:-1 gene:B456_004G268800 transcript:KJB26879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLGRGHRDKVQQFMTITGASEKLALQALKASDWHLEGAFDFFYGQQSHIKSYTNTTHLEELYKRYKDPYTDMILADGITLLCNDLQVDPQDIVMLVVSWHMKASTMCEYSKEEFFTGLQALGIDSLEKFRERIPFMRSELKDEQKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKQWPLVDHWCQFLQVSYGNILCYFPS >KJB26876 pep chromosome:Graimondii2_0_v6:4:60384856:60390581:-1 gene:B456_004G268800 transcript:KJB26876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLGRGHRDKVQQFMTITGASEKLALQALKASDWHLEGAFDFFYGQQSHIKSYTNTTHLEELYKRYKDPYTDMILADGITLLCNDLQVDPQDIVMLVVSWHMKASTMCEYSKEEFFTGLQALGIDSLEKFRERIPFMRSELKDEQKFREIYNFAFGWAKEKKSLALDTAIGMWQLLFAEKQWPLVDHWCQFLQARHNKAISRDTWSQLLEFARTVDPALLNYDAEGAWPYLIDEFVEYLNENGIIQNGQFK >KJB26877 pep chromosome:Graimondii2_0_v6:4:60384856:60390732:-1 gene:B456_004G268800 transcript:KJB26877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLGRGHRDKVQQFMTITGASEKLALQALKASDWHLEGAFDFFYGQQSHIKSYTNTTHLEELYKRYKDPYTDMILADGITLLCNDLQVDPQDIVMLVVSWHMKASTMCEYSKEEFFTGLQALGIDSLEKFRERIPFMRSELKDEQKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKQWPLVDHWCQFLQARHNKAISRDTWSQLLEFARTVDPALLNYDAEGAWPYLIDEFVEYLNENGIIQNGQFK >KJB24672 pep chromosome:Graimondii2_0_v6:4:44448315:44452445:1 gene:B456_004G156700 transcript:KJB24672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVMRRLKSIASSRSSISDSGGDSFTKRAKVDQESEFKATGESNISDRSTPGPEHHMASTSSETVASTSNAASSSSNITEKLDLDQLPNEMHEMRIRDEKTTGHYDKDVGSNVINGNGTEAGQIITTVVGGRGGHPKQTISYMAERVVGTGSFGVVFQAKCMERGEHVAIKKVLQDKRYKNRELQIMHLLDHPNTVQLKHYFFSTTEKNELYLNLVLEFVPETVFRVSKHYCRMNRHMPFFYVQLYTYQICRALNYLHRVVGVCHRDIKPQNLLVNPQTHHLKICDFGSAKMLVPGEPNISYICSRFYRAPELIFGATEYTTAIDMWSVGCVMAELLLGQPLFPGESGVDQLVEIIKKLGTPTREEIKCMNPKYTEFKFPQIKAHPWHKVCLLDRLLIIHICCAVKVDI >KJB24670 pep chromosome:Graimondii2_0_v6:4:44445510:44453846:1 gene:B456_004G156700 transcript:KJB24670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSETVASTSNAASSSSNITEKLDLDQLPNEMHEMRIRDEKTTGHYDKDVGSNVINGNGTEAGQIITTVVGGRGGHPKQTISYMAERVVGTGSFGVVFQAKCMERGEHVAIKKVLQDKRYKNRELQIMHLLDHPNTVQLKHYFFSTTEKNELYLNLVLEFVPETVFRVSKHYCRMNRHMPFFYVQLYTYQICRALNYLHRVVGVCHRDIKPQNLLVNPQTHHLKICDFGSAKMLVPGEPNISYICSRFYRAPELIFGATEYTTAIDMWSVGCVMAELLLGQPLFPGESGVDQLVEIIKKLGTPTREEIKCMNPKYTEFKFPQIKAHPWHKIFNRKIPPEALDLVSRLLQYSPNLRCTAIEACAHPFFDDLRDPTACLPNGRALPPLFDFTAQELAGASPELRQRLIPEHARA >KJB24671 pep chromosome:Graimondii2_0_v6:4:44447827:44453846:1 gene:B456_004G156700 transcript:KJB24671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVMRRLKSIASSRSSISDSGGDSFTKRAKVDQESEFKATGESNISDRSTPGPEHHMASTSSETVASTSNAASSSSNITEKLDLDQLPNEMHEMRIRDEKTTGHYDKDVGSNVINGNGTEAGQIITTVVGGRGGHPKQTISYMAERVVGTGSFGVVFQAKCMERGEHVAIKKVLQDKRYKNRELQIMHLLDHPNTVQLKHYFFSTTEKNELYLNLVLEFVPETVFRVSKHYCRMNRHMPFFYVQLYTYQICRALNYLHRVVGVCHRDIKPQNLLVNPQTHHLKICDFGSAKMLVPGEPNISYICSRFYRAPELIFGATEYTTAIDMWSVGCVMAELLLGQPLFPGESGVDQLVEIIKKLGTPTREEIKCMNPKYTEFKFPQIKAHPWHKIFNRKIPPEALDLVSRLLQYSPNLRCTAIEACAHPFFDDLRDPTACLPNGRALPPLFDFTAQELAGASPELRQRLIPEHARA >KJB24673 pep chromosome:Graimondii2_0_v6:4:44448315:44453405:1 gene:B456_004G156700 transcript:KJB24673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVMRRLKSIASSRSSISDSGGDSFTKRAKVDQESEFKATGESNISDRSTPGPEHHMASTSSETVASTSNAASSSSNITEKLDLDQLPNEMHEMRIRDEKTTGHYDKDVGSNVINGNGTEAGQIITTVVGGRGGHPKQTISYMAERVVGTGSFGVVFQAKCMERGEHVAIKKVLQDKRYKNRELQIMHLLDHPNTVQLKHYFFSTTEKNELYLNLVLEFVPETVFRVSKHYCRMNRHMPFFYVQLYTYQICRALNYLHRVVGVCHRDIKPQNLLVNPQTHHLKICDFGSAKMLVPGEPNISYICSRFYRAPELIFGATEYTTAIDMWSVGCVMAELLLGQPLFPGESGVDQLVEIIKKLGTPTREEIKCMNPKYTEFKFPQIKAHPWHKIFNRKIPPEALDLVSRLLQYSPNLRCTAGTTVV >KJB26994 pep chromosome:Graimondii2_0_v6:4:60556538:60559538:-1 gene:B456_004G270700 transcript:KJB26994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPLVIIKPLLSLLAVGVLILCGWKILNWAWLTPKKLEKCLRQQGFNGNSYRLLFGEIKEMFTMTRQARSKPMSLTDDIAPYVIPHYIRTVKNYGKNSIRWFGPSPRVTIMDPELIREIFNKFNEFQKPRINPLITLLFSGILTLEGDRWAKHRKIITPSFHLDKLKNMLPAFYECCTEMIEKWEEAVSVNGSSEVDVWPCLVNLTRDVISRAAFGSSYEEGRRIFLLLDEQTNLLTEAVQSLYVPGWRFLPTKTNKKLKLMDKEIKDSLRGLVKNREKAVKAGQVKNDDLLGILVESNFKEIEEQGDRKNMGLSIQDVVDECKLFYIAGQETTSVLLVWTMVLLARNPDWQTKAREEVLQVFSDGKPDPDGLSHLKVMTMILNEVLRLYPPVVMLGRSVAKDMKLGKLLLPARAVISIPVLLIHHDQEIWGDDAHEFRPERFAEGVSKATKRNQLAFFPFGGGPRICIGQNFALMEAKMALAMILKRFRFELSPSYAHSPITVITLRPQHGAHLILHKL >KJB25904 pep chromosome:Graimondii2_0_v6:4:54789510:54799677:-1 gene:B456_004G215100 transcript:KJB25904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWEMVGFWHYPIPGFFLLAQFCLGILVALGNLVNNSVFLYLSDEDALSLNNSAVEVDGETKVFIVATIAWGLRKCSRAIMLALIFIIAMKPGLIHAVYLVFFLIYLLSHNISRKIRQSLILLCEAHFALLYLLQIDLISNALEQKGSIVLEIMSQLGLHKHNSSLNFLEIALLACFCAVHNHGFEMLFSFSAIVQHTPSPPVGFSILRAGLNKSVLLSVYASPSASYYHDNPSYERRIASFLSEIGQKFLSIYRSCGTYIALLTILLTVYMVTPNYISFGYIFLLLVWIIGRQLVERTKRRLWFPLKIYAIMVFIIIYSLSSFTSFKIWLSGFINLYFYLGYDPEASLLDNIWQSLAVLIVMQLYSYERRQSKYNRTYHPNPLGSGILGFAKRFLIWHSQKVLFVSLFYASLSPICAFGFLYLLGLVICSTLPKASQIPSKSFLVYTGFLVTSEYLFQMWGKQAEMFPGQKHSDLSLFLGIRAYEPGFWGIESGLRGKVLVISACILQYNVFRWLDNMPSGISNKGKWEEPCPLFLPAEDNYTNGYMSNGEDKPSSSVGTEPIRQDRAASNSWSSLSSAFSQAPHHGSSKAAGGSEVNGVRKFSFGYFWGSTKESHKWNKKRILALRKERFETQKALLKIYLKFWIENMFSLYGLEINMIALLLASFALLNAISMLYIAVLAVCILLNRQIIRKLWPVLVFLFASVLVLEYFAIWKSMFPLNQNKPSQSEIRCHDCWKSSASYFQYCRSCWLGLIIDDPRMLISYFLVFLLACFKLRADHLSDFSGSSTYRQMLSQRKNSFVWRDLSFETKSMWTFLDYVRLYCYCHLLDLVLVLILITGTLEYDILHLGYLAFALVFFRMRLEILKKKNKIFKFLRIYNFVVIVLSLAYQSPFVGEFSSGKCNTVSYIYEVIGFYKYDYGFRITARSALVEIVIFMLVSLQSYMFSSHESDYVSRYLEAEQIGAIVHEQEKKAAWKTAQLQQIREAEEKKHQRNLQVEKMKSEMLNLQIKLHSMNSVATFGDVTPDDEGLRRRRTSLTSNRDVGPLDKEESSLWKQEQVNKQDSVFPPETHTYAAYMKAENPEVVESPKNSMEYAPCEITEIESDADSAFLDSERSRKNQAKEHPLISAVHLLGDGVSQVQSIGNQAVNNLVNFLNIAPEDSDMNEHSFVEDEAFDEMEGQKMQNIHLNRSSSLQSDKSSDATSLQLGRIFRHIWSQMWSNIDVVCYCLFVLVFLWNFSLLSMVYLAALFLYALCVNTGPTYIFWVVMLIYTEVYMLLQYLYQIVIQHCGLSIMSDLLREIGFPAREIKSSFVVSSLPLFLVYLFTLLQSSISAKDGEWMPSTDYNSHRRSSLYRKEVLVNYSWSERVSELFQFVINTAKLVIRSFFWYWKSLIQGAETPPYFIQVSVDVHLWPEDGIQPERVESGMNQLLRLVHDERCTQTIPSNCPFASRVQVQSIERSRESPNVALIVFEVVYASPLTGCTSADWYRSLTPAADVAKEILGAQRAGFVEATGFPYQILSVIGGGKREFDLYAYIFVADLTVFFLVAMFYQSVIKNKSEFLDVYQLEDQFPIEYVTILIIIFFLIVVDRILYLCSFATAKVVFYLFNFVLFTYSITQYAWRMEPSDQHAARLVLRAIFLAKAVSLALQAVQIRHGIPHKSTLFRQFLTSEVSRINYLGYRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINASLYLVKCDALLNRAQHKQGEKQTKMTKCCNGICLFFILICVIWAPMLMYSSGNPTNIANPIKDATFQIDISTIGGRLTLYHTTLCEKIPWDKLNSDANLDPLNYLDTYNKNDIQLICCQADASTLWLVPDVVQRRFIQSLEWDMDMGITSTWLLSRDRPKGKEVAKYEKPLDPKDLPDRSDVQKVFNGSANGFRVYNLYSRYFRVTGSGEVRTFEPEVNSVSLVSADLVINRATFEWWSFHIINSSDMVHCGGFSGPMAIVVSEETPPRYSWRHTKQIQHLGSLHNFCTSCWPVYQASMLRPKNENTV >KJB25903 pep chromosome:Graimondii2_0_v6:4:54789431:54804516:-1 gene:B456_004G215100 transcript:KJB25903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLIGFALPLLLLTASLLNWSLISLVDLIAFLLIQYTAPKIGFRFRRKYLLLWPVIIFSLLVFLSQVAYLVIWAVGGYKQSVGDAWWLKLIGFMIIQSWRSPTVIYFLVTQLLVLVVALLDIHGNRFGLVPWRYTCWGHFLTVVEQLGSHLKVASCLLLPAIQLVVGISHPSWISLPFFIGSCIGLVDWSLTSNFLGLFRLWKALQFYAGFSIFMLYVYQLPIEFSSMLQWIADFVGLFKICSTSEWTEICSSVSLVLFYIMLSYVKCDLEEMDFIMSTRESSLTEQLLPSKHSFFIRESRSGVRHTNVLLRGTAFRTFTINFFTYGFPVSLFALSFWSFHFASICAFGLLAYVGYIVYAFPSLFRLHRLNGLLLVFILLWAVSTYIFNVAFAFLNRNFGKDMEIWEMVGFWHYPIPGFFLLAQFCLGILVALGNLVNNSVFLYLSDEDALSLNNSAVEVDGETKVFIVATIAWGLRKCSRAIMLALIFIIAMKPGLIHAVYLVFFLIYLLSHNISRKIRQSLILLCEAHFALLYLLQIDLISNALEQKGSIVLEIMSQLGLHKHNSSLNFLEIALLACFCAVHNHGFEMLFSFSAIVQHTPSPPVGFSILRAGLNKSVLLSVYASPSASYYHDNPSYERRIASFLSEIGQKFLSIYRSCGTYIALLTILLTVYMVTPNYISFGYIFLLLVWIIGRQLVERTKRRLWFPLKIYAIMVFIIIYSLSSFTSFKIWLSGFINLYFYLGYDPEASLLDNIWQSLAVLIVMQLYSYERRQSKYNRTYHPNPLGSGILGFAKRFLIWHSQKVLFVSLFYASLSPICAFGFLYLLGLVICSTLPKASQIPSKSFLVYTGFLVTSEYLFQMWGKQAEMFPGQKHSDLSLFLGIRAYEPGFWGIESGLRGKVLVISACILQYNVFRWLDNMPSGISNKGKWEEPCPLFLPAEDNYTNGYMSNGEDKPSSSVGTEPIRQDRAASNSWSSLSSAFSQAPHHGSSKAAGGSEVNGVRKFSFGYFWGSTKESHKWNKKRILALRKERFETQKALLKIYLKFWIENMFSLYGLEINMIALLLASFALLNAISMLYIAVLAVCILLNRQIIRKLWPVLVFLFASVLVLEYFAIWKSMFPLNQNKPSQSEIRCHDCWKSSASYFQYCRSCWLGLIIDDPRMLISYFLVFLLACFKLRADHLSDFSGSSTYRQMLSQRKNSFVWRDLSFETKSMWTFLDYVRLYCYCHLLDLVLVLILITGTLEYDILHLGYLAFALVFFRMRLEILKKKNKIFKFLRIYNFVVIVLSLAYQSPFVGEFSSGKCNTVSYIYEVIGFYKYDYGFRITARSALVEIVIFMLVSLQSYMFSSHESDYVSRYLEAEQIGAIVHEQEKKAAWKTAQLQQIREAEEKKHQRNLQVEKMKSEMLNLQIKLHSMNSVATFGDVTPDDEGLRRRRTSLTSNRDVGPLDKEESSLWKQEQVNKQDSVFPPETHTYAAYMKAENPEVVESPKNSMEYAPCEITEIESDADSAFLDSERSRKNQAKEHPLISAVHLLGDGVSQVQSIGNQAVNNLVNFLNIAPEDSDMNEHSFVEDEAFDEMEGQKMQNIHLNRSSSLQSDKSSDATSLQLGRIFRHIWSQMWSNIDVVCYCLFVLVFLWNFSLLSMVYLAALFLYALCVNTGPTYIFWVVMLIYTEVYMLLQYLYQIVIQHCGLSIMSDLLREIGFPAREIKSSFVVSSLPLFLVYLFTLLQSSISAKDGEWMPSTDYNSHRRSSLYRKEVLVNYSWSERVSELFQFVINTAKLVIRSFFWYWKSLIQGAETPPYFIQVSVDVHLWPEDGIQPERVESGMNQLLRLVHDERCTQTIPSNCPFASRVQVQSIERSRESPNVALIVFEVVYASPLTGCTSADWYRSLTPAADVAKEILGAQRAGFVEATGFPYQILSVIGGGKREFDLYAYIFVADLTVFFLVAMFYQSVIKNKSEFLDVYQLEDQFPIEYVTILIIIFFLIVVDRILYLCSFATAKVVFYLFNFVLFTYSITQYAWRMEPSDQHAARLVLRAIFLAKAVSLALQAVQIRHGIPHKSTLFRQFLTSEVSRINYLGYRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINASLYLVKCDALLNRAQHKQGEKQTKMTKCCNGICLFFILICVIWAPMLMYSSGNPTNIANPIKDATFQIDISTIGGRLTLYHTTLCEKIPWDKLNSDANLDPLNYLDTYNKNDIQLICCQADASTLWLVPDVVQRRFIQSLEWDMDMGITSTWLLSRDRPKGKEVAKYEKPLDPKDLPDRSDVQKVFNGSANGFRVYNLYSRYFRVTGSGEVRTFEPEVNSVSLVSADLVINRATFEWWSFHIINSSDMVHCGGFSGPMAIVVSEETPPQGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKPD >KJB23083 pep chromosome:Graimondii2_0_v6:4:9559795:9563533:1 gene:B456_004G079900 transcript:KJB23083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGTQAFQQRSLSVKLWPPSHGTRLMLVERMTKNLTTPSIFSRKYGLLSNEEAEEDAKKIEELAFAAADQHYKKEPDGDGSSAVQVYAKESSRLMLEAIKRGPTVKDEEIADKTSIHETVFDISGGRRAFIDAEEAEEILKPLREPGNSYTKICFSNRSFGLDAANVAAPLLSSVKQQLTEVDLSDFIAGRPESEALEVINLFSSVLEGCQLRYLNLSNNALGEKGVRAFGALLKSQNNLEELYLINDGISEEAAQAVSELIPSTKKLKVLHFHNNMTGDEGAIAISDIVKCSPALEDFRCSSTRVGTDGGVALAEALKTCTRLKKLDLRDNMFGVGAGVALSKALSLFAGLTEVYLSYLNLEDDGAEALANALKESAPSLEVLDMAGNDITAKGAASLAACIASKQFLSKLNLAENELKDEGAILIGKSLGEGHGQLNEIDMSTNAIRRAGARLLAQVVVKIPGFKLLNINGNFISDEGIDEIKELFKSSPDMLGSLEDNDPEGEDYEDEDEDEENAEEGNELESKLKDLKIEQGE >KJB23082 pep chromosome:Graimondii2_0_v6:4:9559795:9563533:1 gene:B456_004G079900 transcript:KJB23082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGTQAFQQRSLSVKLWPPSHGTRLMLVERMTKNLTTPSIFSRKYGLLSNEEAEEDAKKIEELAFAAADQHYKKEPDGDGSSAVQVYAKESSRLMLEAIKRGPTVKDEEIADKTSIHETVFDISGGRRAFIDAEEAEEILKPLREPGNSYTKICFSNRSFGLDAANVAAPLLSSVKQQLTEVDLSDFIAGRPESEALEVINLFSSVLEGCQLRYLNLSNNALGEKGVRAFGALLKSQNNLEELYLINDGISEEAAQAVSELIPSTKKLKVLHFHNNMTGDEGAIAISDIVKCSPALEDFRCSSTRVGTDGGVALAEALKTCTRLKKLDLRDNMFGVGAGVALSKALSLFAGLTEVYLSYLNLEDDGAEALANALKESAPSLEVLDMAGNDITAKGAASLAACIASKQFLSKLNLAENELKDEGAILIGKSLGEGHGQLNEIDMSTNAIRRAGARLLAQVVVKIPGFKLLNINGNFISDEGIDEIKELFKSSPDMLGSLEDNDPEGEDYEDEDEDEENAEEGNELESKLKDLKIEQGE >KJB26284 pep chromosome:Graimondii2_0_v6:4:57311894:57314775:-1 gene:B456_004G235100 transcript:KJB26284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 2 [Source:Projected from Arabidopsis thaliana (AT2G30520) UniProtKB/Swiss-Prot;Acc:Q682S0] MATPLRSNSRLSTAMERTGQWVFSQEIPTDVIVEVGEANFNLHKFMLVAKSNYIRKLIMETNEADLTRINLSEIPGGPEIFEKAAKFCYGVNFEITVHNVAALRCAAEYLQMTDKYCDNNLAGRTEDFLSQVALSSLSGAVVVLKSCEDLLPMAEELKIVQRCIDVASARACNEASFPCRTPPNWWTEELSILDVELFGRIIGAMKQRGAKPLTIATALITYAERWLRDLVRDHSGNGIKCSEPIDSDLRIQQRELLESIVQLLPAEKAAFPIHFLCCLLRCAIFLKASSACRNEVEKRISLILEHVTVDDLLVLSFTYDGERLFDLDSVRRIISGFVEKEKSMAVFNGGDFREVSSSAMQRVAKTVDAYLAEIATAVELSISKFNGIANLVPKGARKVEDDLYRAIDIYLKSHPNLDEIEREKVCSSMDPLKLSYEARVHASQNKRLPVQIVLHALYYDQLKLRSGGADDRNQPDAVTTRNQLQADVSLVKENEALRTELLKMKMYISDMQKNNQTGTSSKSVGTRKPTFFSSMSKTLGKLNPFRHGSKDTSHIDDNLGVDVTKPRRRRFSIS >KJB26282 pep chromosome:Graimondii2_0_v6:4:57311894:57314501:-1 gene:B456_004G235100 transcript:KJB26282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 2 [Source:Projected from Arabidopsis thaliana (AT2G30520) UniProtKB/Swiss-Prot;Acc:Q682S0] MVNFRVFSQEIPTDVIVEVGEANFNLHKFMLVAKSNYIRKLIMETNEADLTRINLSEIPGGPEIFEKAAKFCYGVNFEITVHNVAALRCAAEYLQMTDKYCDNNLAGRTEDFLSQVALSSLSGAVVVLKSCEDLLPMAEELKIVQRCIDVASARACNEASFPCRTPPNWWTEELSILDVELFGRIIGAMKQRGAKPLTIATALITYAERWLRDLVRDHSGNGIKCSEPIDSDLRIQQRELLESIVQLLPAEKAAFPIHFLCCLLRCAIFLKASSACRNEVEKRISLILEHVTVDDLLVLSFTYDGERLFDLDSVRRIISGFVEKEKSMAVFNGGDFREVSSSAMQRVAKTVDAYLAEIATAVELSISKFNGIANLVPKGARKVEDDLYRAIDIYLKSHPNLDEIEREKVCSSMDPLKLSYEARVHASQNKRLPVQIVLHALYYDQLKLRSGGADDRNQPDAVTTRNQLQADVSLVKENEALRTELLKMKMYISDMQKNNQTGTSSKSVGTRKPTFFSSMSKTLGKLNPFRHGSKDTSHIDDNLGVDVTKPRRRRFSIS >KJB26283 pep chromosome:Graimondii2_0_v6:4:57311894:57314749:-1 gene:B456_004G235100 transcript:KJB26283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 2 [Source:Projected from Arabidopsis thaliana (AT2G30520) UniProtKB/Swiss-Prot;Acc:Q682S0] MQFMLVAKSNYIRKLIMETNEADLTRINLSEIPGGPEIFEKAAKFCYGVNFEITVHNVAALRCAAEYLQMTDKYCDNNLAGRTEDFLSQVALSSLSGAVVVLKSCEDLLPMAEELKIVQRCIDVASARACNEASFPCRTPPNWWTEELSILDVELFGRIIGAMKQRGAKPLTIATALITYAERWLRDLVRDHSGNGIKCSEPIDSDLRIQQRELLESIVQLLPAEKAAFPIHFLCCLLRCAIFLKASSACRNEVEKRISLILEHVTVDDLLVLSFTYDGERLFDLDSVRRIISGFVEKEKSMAVFNGGDFREVSSSAMQRVAKTVDAYLAEIATAVELSISKFNGIANLVPKGARKVEDDLYRAIDIYLKSHPNLDEIEREKVCSSMDPLKLSYEARVHASQNKRLPVQIVLHALYYDQLKLRSGGADDRNQPDAVTTRNQLQADVSLVKENEALRTELLKMKMYISDMQKNNQTGTSSKSVGTRKPTFFSSMSKTLGKLNPFRHGSKDTSHIDDNLGVDVTKPRRRRFSIS >KJB22527 pep chromosome:Graimondii2_0_v6:4:4812237:4815097:-1 gene:B456_004G052500 transcript:KJB22527 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPGVGRGRGRGREDGPGGRQPKGAGRGLDDGARTTSGGRGRGGSGGKNTGGRGSGRGRG >KJB22523 pep chromosome:Graimondii2_0_v6:4:4812666:4814677:-1 gene:B456_004G052500 transcript:KJB22523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPGVGRGRGRGREDGPGGRQPKGAGRGLDDGARTTSGGRGRGGSGGKNTGGRGSGRGRG >KJB22525 pep chromosome:Graimondii2_0_v6:4:4812215:4815149:-1 gene:B456_004G052500 transcript:KJB22525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPGVGRGRGRGREDGPGGRQPKGAGRGLDDGARTTSGGRGRGGSGGKNTGGRGSGRGRG >KJB22526 pep chromosome:Graimondii2_0_v6:4:4812237:4815135:-1 gene:B456_004G052500 transcript:KJB22526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPGVGRGRGRGREDDDGARTTSGGRGRGGSGGKNTGGRGSGRGRG >KJB22522 pep chromosome:Graimondii2_0_v6:4:4812666:4814677:-1 gene:B456_004G052500 transcript:KJB22522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPGVGRGRGRGREDGPGGRQPKGAGRGLDDGARTTSGGRGRGGSGGKNTGGRGSGRGRG >KJB22524 pep chromosome:Graimondii2_0_v6:4:4812237:4814789:-1 gene:B456_004G052500 transcript:KJB22524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPGVGRGRGRGREDGPGGRQPKGAGRGLDDGARTTSGGRGRGGSGGKNTGGRGSGRGRG >KJB23974 pep chromosome:Graimondii2_0_v6:4:32511244:32515273:-1 gene:B456_004G124800 transcript:KJB23974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENAGAKIGSAGQNLENTVASVNAPDKSKPRTDSATDAKEANFQQLNPAAAAINDGDSNSKMQNGFNKKNQQQMLTKTAGCNEFSNMENGGDGEIFNNHMNNLVEILSKLNPLAEEFVPPSLANHHHNLNDNQNQNKGHLENGFGYSTDSFVVYTNSGNTNGHTNRRKRNNFSQGKRRLNGRTNMAQQEDVIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYNEEDARAALNLSGTILGYYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFESVCGEVQCMRILGDYHHSSRIAFVEFTMAESAIAALNCSGAVLGSLPIRRLFNPVLYSLR >KJB23968 pep chromosome:Graimondii2_0_v6:4:32511132:32515116:-1 gene:B456_004G124800 transcript:KJB23968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENAGAKIGSAGQNLENTVASVNAPDKSKPRTDSATDAKEANFQQLNPAAAAINDGDSNSKMQNGFNKKNQQQMLTKTAGCNEFSNMENGGDGEIFNNHMNNLVEILSKLNPLAEEFVPPSLANHHHNLNDNQNQNKGHLENGFGYSTDSFVVYTNSGNTNGHTNRRKRNNFSQGKRRLNGRTNMAQQEDVIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYNEEDARAALNLSGTILGYYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFESVCGEVQCMRILGDYHHSSRIAFVEFTMAESAIAALNCSGAVLGSLPIRVSPSKTPIQPRALLPAMN >KJB23975 pep chromosome:Graimondii2_0_v6:4:32511560:32515025:-1 gene:B456_004G124800 transcript:KJB23975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENAGAKIGSAGQNLENTVASVNAPDKSKPRTDSATDAKEANFQQLNPAAAAINDGDSNSKMQNGFNKKNQQQMLTKTAGCNEFSNMENGGDGEIFNNHMNNLVEILSKLNPLAEEFVPPSLANHHHNLNDNQNQNKGHLENGFGYSTDSFVVYTNSGNTNGHTNRRKRNNFSQGKRRLNGRTNMAQQEDVIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYNEEDARAALNLSGTILGYYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFESVCGEVQCMRILGDYHHSSRIAFVEFTMAESAIAALNCSGAVLGSLPIRVSPSKTPIQPRALLPAMN >KJB23972 pep chromosome:Graimondii2_0_v6:4:32511249:32515273:-1 gene:B456_004G124800 transcript:KJB23972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENAGAKIGSAGQNLENTVASVNAPDKSKPRTDSATDAKEANFQQLNPAAAAINDGDSNSKMQNGFNKKNQQQMLTKTAGCNEFSNMENGGDGEIFNNHMNNLVEILSKLNPLAEEFVPPSLANHHHNLNDNQNQNKGHLENGFGYSTDSFVVYTNSGNTNGHTNRRKRNNFSQGKRRLNGRTNMAQQEDVIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYNEEDARAALNLSGTILGYYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFESVCGEVQCMRILGDYHHSSRIAFVEFTMAESAIAALNCSGAVLGSLPIRVSPSKTPIQPRALLPAMN >KJB23976 pep chromosome:Graimondii2_0_v6:4:32511229:32515126:-1 gene:B456_004G124800 transcript:KJB23976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENAGAKIGSAGQNLENTVASVNAPDKSKPRTDSATDAKEANFQQLNPAAAAINDGDSNSKMQNGFNKKNQQQMLTKTAGCNEFSNMENGGDGEIFNNHMNNLVEILSKLNPLAEEFVPPSLANHHHNLNDNQNQNKGHLENGFGYSTDSFVVYTNSGNTNGHTNRRKRNNFSQGKRRLNGRTNMAQQEDVIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYNEEDARAALNLSGTILGYYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFESVCGEVQCMRILGDYHHSSRIAFVEFTMAESAIAALNCSGAVLGSLPIRVSPSKTPIQPRALLPAMN >KJB23971 pep chromosome:Graimondii2_0_v6:4:32511238:32515273:-1 gene:B456_004G124800 transcript:KJB23971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENAGAKIGSAGQNLENTVASVNAPDKSKPRTDSATDAKEANFQQLNPAAAAINDGDSNSKMQNGFNKKNQQQMLTKTAGCNEFSNMENGGDGEIFNNHMNNLVEILSKLNPLAEEFVPPSLANHHHNLNDNQNQNKGHLENGFGYSTDSFVVYTNSGNTNGHTNRRKRNNFSQGKRRLNGRTNMAQQEDVIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYNEEDARAALNLSGTILGYYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFESVCGEVQCMRILGDYHHSSRIAFVEFTMAESAIAALNCSGAVLGSLPIRVSPSKTPIQPRALLPAMN >KJB23970 pep chromosome:Graimondii2_0_v6:4:32511659:32515025:-1 gene:B456_004G124800 transcript:KJB23970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENAGAKIGSAGQNLENTVASVNAPDKSKPRTDSATDAKEANFQQLNPAAAAINDGDSNSKMQNGFNKKNQQQMLTKTAGCNEFSNMENGGDGEIFNNHMNNLVEILSKLNPLAEEFVPPSLANHHHNLNDNQNQNKGHLENGFGYSTDSFVVYTNSGNTNGHTNRRKRNNFSQGKRRLNGRTNMAQQEDVIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYNEEDARAALNLSGTILGYYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFESVCGEVQCMRILGDYHHSSRIAFVEFTMAESAIAALNCSGAVLGSLPIRLVYRHQTLGS >KJB23969 pep chromosome:Graimondii2_0_v6:4:32511242:32515297:-1 gene:B456_004G124800 transcript:KJB23969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENAGAKIGSAGQNLENTVASVNAPDKSKPRTDSATDAKEANFQQLNPAAAAINDGDSNSKMQNGFNKKNQQQMLTKTAGCNEFSNMENGGDGEIFNNHMNNLVEILSKLNPLAEEFVPPSLANHHHNLNDNQNQNKGHLENGFGYSTDSFVVYTNSGNTNGHTNRRKRNNFSQGKRRLNGRTNMAQQEDVIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYNEEDARAALNLSGTILGYYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFESVCGEVQCMRILGDYHHSSRIAFVEFTMAESAIAALNCSGAVLGSLPIRVSPSKTPIQPRALLPAMN >KJB23973 pep chromosome:Graimondii2_0_v6:4:32511244:32515273:-1 gene:B456_004G124800 transcript:KJB23973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENAGAKIGSAGQNLENTVASVNAPDKSKPRTDSATDAKEANFQQLNPAAAAINDGDSNSKMQNGFNKKNQQQMLTKTAGCNEFSNMENGGDGEIFNNHMNNLVEILSKLNPLAEEFVPPSLANHHHNLNDNQNQNKGHLENGFGYSTDSFVVYTNSGNTNGHTNRRRNNFSQGKRRLNGRTNMAQQEDVIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYNEEDARAALNLSGTILGYYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFESVCGEVQCMRILGDYHHSSRIAFVEFTMAESAIAALNCSGAVLGSLPIRVSPSKTPIQPRALLPAMN >KJB27371 pep chromosome:Graimondii2_0_v6:4:2992710:2992809:1 gene:B456_004G0365003 transcript:KJB27371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFLAFGFVHKITTFEKTAEFQVNELNLLLPRLI >KJB27372 pep chromosome:Graimondii2_0_v6:4:2992710:2992809:1 gene:B456_004G0365003 transcript:KJB27372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFLAFGFVHKITTFEKTAEFQVNELNLLLPRLI >KJB23754 pep chromosome:Graimondii2_0_v6:4:26093337:26096867:1 gene:B456_004G113500 transcript:KJB23754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQIGGEGLPANIAGMSKNQLYEIMSQMKTLIEQNQQQAKEILIQNPLLTKALFQAQIMLGMVKPPQVIPTIQPPTSQQSQCQQSAQPPPMPNIQPAKSMPGQPRLQDQVAPSETQPPLRKQHQNQAGAHISAAAVPPASIQSQPTPSHSLQTPQQMKGHLNPPMSLPQSSQLPNVPPVPLHSSSQPHHHQQTHMPMASGQLQQSLQTTGIPHMPLQPSMPQQARPPTLPTLHHQYTPQMGPNVGFQHPGAPQHLSQPIFHAGGVHLGSDFSNQVRGSMQADRGSSWMSSQPDNSTLTQLQGPSALVPSQMGSGNQPPRPASLTPEMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQQILRQ >KJB23755 pep chromosome:Graimondii2_0_v6:4:26093337:26096867:1 gene:B456_004G113500 transcript:KJB23755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQIGGEGLPANIAGMSKNQLYEIMSQMKTLIEQNQQQAKEILIQNPLLTKALFQAQIMLGMVKPPQVIPTIQPPTSQQSQCQQSAQPPPMPNIQPAKSMPGQPRLQDQVAPSETQPPLRKQHQNQAGAHISAAAVPPASIQSQPTPSHSLQTPQQMKGHLNPPMSLPQSSQLPNVPPVPLHSSSQPHHHQQTHMPMASGQLQQSLQTTGIPHMPLQPSMPQQARPPTLPTLHHQYTPQMGPNVGFQHPGAPQHLSQPIFHSSNKPPSFPQGQPPHSNQLPPQPMYQNQEAYI >KJB23753 pep chromosome:Graimondii2_0_v6:4:26094357:26096527:1 gene:B456_004G113500 transcript:KJB23753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNIQPAKSMPGQPRLQDQVAPSETQPPLRKQHQNQAGAHISAAAVPPASIQSQPTPSHSLQTPQQMKGHLNPPMSLPQSSQLPNVPPVPLHSSSQPHHHQQTHMPMASGQLQQSLQTTGIPHMPLQPSMPQQARPPTLPTLHHQYTPQMGPNVGFQHPGAPQHLSQPIFHSSNKPPSFPQGQPPHSNQLPPQPMYQNQAGGVHLGSDFSNQVRGSMQADRGSSWMSSQPDNSTLTQLQGPSALVPSQMGSGNQPPRPASLTPEMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQQILRQ >KJB23752 pep chromosome:Graimondii2_0_v6:4:26093287:26096886:1 gene:B456_004G113500 transcript:KJB23752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQIGGEGLPANIAGMSKNQLYEIMSQMKTLIEQNQQQAKEILIQNPLLTKALFQAQIMLGMVKPPQVIPTIQPPTSQQSQCQQSAQPPPMPNIQPAKSMPGQPRLQDQVAPSETQPPLRKQHQNQAGAHISAAAVPPASIQSQPTPSHSLQTPQQMKGHLNPPMSLPQSSQLPNVPPVPLHSSSQPHHHQQTHMPMASGQLQQSLQTTGIPHMPLQPSMPQQARPPTLPTLHHQYTPQMGPNVGFQHPGAPQHLSQPIFHSSNKPPSFPQGQPPHSNQLPPQPMYQNQAGGVHLGSDFSNQVRGSMQADRGSSWMSSQPDNSTLTQLQGPSALVPSQMGSGNQPPRPASLTPEMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQQILRQ >KJB23097 pep chromosome:Graimondii2_0_v6:4:9697784:9699741:1 gene:B456_004G080700 transcript:KJB23097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEKQISSSTMGAASVEDTEVGFEEAMSWLPSHVLDEAIWQTKIKKDDVKYNYHRHRSKLPAQPFFQPWRGSWRRHQTPRYCGNGGASGGPGMQAFFLDSGQKSCGTGVFLPQRAGTISHSSRRPVLLPSRVVQALNLNVHELGLQISPRRDPRNNTSRRGELKNNSNNGNKNGKDHASTKRCVVSQTETFSADIFLPREWTY >KJB23096 pep chromosome:Graimondii2_0_v6:4:9697784:9699624:1 gene:B456_004G080700 transcript:KJB23096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEKQISSSTMGAASVEDTEVGFEEAMSWLPSHVLDEAIWQTKIKKDDVKYNYHRHRSKLPAQPFFQPWRGSWRRHQTPRYCGNGGASGGPGMQAFFLDSGQKSCGTGVFLPQRAGTISHSSRRPACSPVLLPSRVVQALNLNVHELGLQISPRRDPRNNTSRRGELKNNSNNGNKNGKDHASTKRCVVSQTETFSADIFLPREWTY >KJB21830 pep chromosome:Graimondii2_0_v6:4:1170606:1174042:-1 gene:B456_004G016500 transcript:KJB21830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAFSWPFGECSTEMDNGSLDSMTVKSISFGDEERAKTPLRSISFKISDSEPTILKSCGSGKMILEGSVSFKGRDLEETMTSAIDEAVASSKSKAMDAVESLNPDFPLESSKESRVSDLNNPQMEAAIRLQKVYKSFRTRRKLADCAVLVEQSWWKLLDFAELKRSSISFFDMDKHETAISRWSRARTRAAKVGKGLFKNDKAQKLALQHWLEAIDPRHRYGHNLHFYYNQWLHSQCQEPFFYWLDIGEGKEVNIEKCPRLKLQQQCIKYLGPMERKPYEIVVVDGKFIYKQTGKLLQTTEETSDSKWIFVLSTSKILYVGKKKKGTFQHSSFLAGGATIAAGRLVVDNGVLKAVWPHSGHYRPTEENFNDFISFLRENDVDLTDVKMAPVDGEESFHGTSHHLRCNSSEENSLELEENNVKGSIEKLGDLIKEGSSEGLECTMSSRFLNLSHLEIPMKTELFEVAVAYNQGSNLTVQDEMMNENDHNVEAIAKESILQRINSKKGMKSFQLGKQLSCKWTTGAGPRIGCVRDFPSELQFRALEQVNLSPRSDGYKKFYFSPRFTSGLNPKLSESVTTMREEMRTQSLPLLKKENLLQKSFLSLKGVGV >KJB21829 pep chromosome:Graimondii2_0_v6:4:1170327:1174361:-1 gene:B456_004G016500 transcript:KJB21829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGSLDSMTVKSISFGDEERAKTPLRSISFKISDSEPTILKSCGSGKMILEGSVSFKGRDLEETMTSAIDEAVASSKSKAMDAVESLNPDFPLESSKESRVSDLNNPQMEAAIRLQKVYKSFRTRRKLADCAVLVEQSWWKLLDFAELKRSSISFFDMDKHETAISRWSRARTRAAKVGKGLFKNDKAQKLALQHWLEAIDPRHRYGHNLHFYYNQWLHSQCQEPFFYWLDIGEGKEVNIEKCPRLKLQQQCIKYLGPMERKPYEIVVVDGKFIYKQTGKLLQTTEETSDSKWIFVLSTSKILYVGKKKKGTFQHSSFLAGGATIAAGRLVVDNGVLKAVWPHSGHYRPTEENFNDFISFLRENDVDLTDVKMAPVDGEESFHGTSHHLRCNSSEENSLELEENNVKGSIEKLGDLIKEGSSEGLECTMSSRFLNLSHLEIPMKTELFEVAVAYNQGSNLTVQDEMMNENDHNVEAIAKESILQRINSKKGMKSFQLGKQLSCKWTTGAGPRIGCVRDFPSELQFRALEQVNLSPRSDGYKKFYFSPRFTSGLNPKLSESVTTMREEMRTQSLPLLKKENLLQKSFLSLKGVGV >KJB25458 pep chromosome:Graimondii2_0_v6:4:51004663:51006098:1 gene:B456_004G192500 transcript:KJB25458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSGCLASHLISNLIQLHSPLLLSSFLCLISFCSQKKNMGFSLKLQYCLVSVMVLLPAVCYSQDYFVKSRATYYGSPDCLGTPSGACGFGEYGRSVNDANVAGVSRLYKNGTGCGACYQVRCTNPQICADNGVNIVVTDYGEGDNTDFILSPRAYARMAQPDTGAHLFAYGVVDVEYQRIPCQYSGYKTQVKVHEHSKYPNYLAIVVLYQAGKSEILSVDIWQEDCKEWIGMRRAYGAVFDMANPPSGDISLRFQVRGSAGLTWVQAPNVIPKYWKAGVAYETDIQLY >KJB21969 pep chromosome:Graimondii2_0_v6:4:1816204:1817904:1 gene:B456_004G023700 transcript:KJB21969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYGIGPLKLFDDKSSEFVASVSKPWPSNLEIKSKSPLKERSRWVKLTDWLKNFDEMVPLKSLSARLSRWSPLT >KJB21970 pep chromosome:Graimondii2_0_v6:4:1816204:1817904:1 gene:B456_004G023700 transcript:KJB21970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYGIGPLKLFDDKSSEFVASVSKPWPSNLEIKSKSPLKERSRWVKLTDWLKNFDEMVPLKSLSARLSRWSPLT >KJB23168 pep chromosome:Graimondii2_0_v6:4:10609794:10610217:-1 gene:B456_004G084900 transcript:KJB23168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNKVVDVSYFFHLEATGDSEAGYFDPAISVINHDEDDNDDAESCSCDDATTSESDLLHVVNYSLDHKANVGDDHHEDDEEDGEVVDQNGVHLYKKCINGVVVKQNKKASAVSFDSTMNEMEKNKLFWETCLAS >KJB22264 pep chromosome:Graimondii2_0_v6:4:3105089:3109017:1 gene:B456_004G037600 transcript:KJB22264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLKTLCVPLFITFICLSTSCFGSYREDPFHSDVRQTHVYSRPTTYNQQDNVNPINNNEVWSRIDRVTLKVINVDEFGAKANGFDDSQAFKEAWELACNSSQGAIVVVPKNKIYHLKPIDFFGPCNSPLIVKIHGTIKATVHHSDYKAHGRRRCLYFANVQNLRVEGGGIINGNGRTWWQNSCKINIALPCKEAPTALTFSQCSNLRVAGLRIRNAQQMHLSFNKCVNVKALNLSVIAPGNSPNTDGIHVSETHNIHIKNCVIKTGDDCISVVSGSQNVRATDITCGPGHGISIGSLGARNSAAYVSNVIVNRATISDTTNGVRIKTWQGGSGYAKNIKFQNIMVHNVSNPIIIDQNYCDHHKSPCPCKVSAVQVSNVIYENIRGPPMLP >KJB21724 pep chromosome:Graimondii2_0_v6:4:736883:740115:-1 gene:B456_004G010400 transcript:KJB21724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEASLKSKQIGFKNLRFFFFSLLISLQIHLLVAVPQDPVVISGDGNNISREPKMSRKLSFSVNLVGKHETKANERTAANDGEKGGQHGVTADAGGRKTKLSNKRGGALIPVYTAGAVNSNRRHRQQTQHHSGSSSDTINRIASSRLVLVIFTSFCFVYT >KJB21723 pep chromosome:Graimondii2_0_v6:4:737122:739726:-1 gene:B456_004G010400 transcript:KJB21723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEASLKSKQIGFKNLRFFFFSLLISLQIHLLVAVPQDPVVISVDRLGDGNNISREPKMSRKLSFSVNLVGKHETKANERTAANDGEKGGQHGVTADAGGRKTKLSNKRGGALIPVYTAGAVNSNRRHRQQTQHHSGSSSDTINRIASSRLVLVIFTSFCFVYT >KJB21949 pep chromosome:Graimondii2_0_v6:4:1763324:1768158:-1 gene:B456_004G023200 transcript:KJB21949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCLVLVVLQLSWTLSSSVPPPSSHVCLPHERDALLHYKTTISVDCDLNSGSYNKDPYPRIDIESWNKSTDCCSWEGVKCDNVAGHVIGIDLSHSCLVGSLFANNSLFQLHNLQWLDLSSNNLRGSLLENTSSLFHFHGLRRLNLAGNSFNGTISSKLFSQLVSLTHLNLSFNGIFDDVESYLRFDGEGFDMLARNLTKLRNLVLDTVDMSDVELTSFLNLSSSLEHLSLEACELHGEFPSQVFQLPNLKVLGLNGNFNLTGYLPKTNWSSSLELLDLSSCDFRGSIPTLFGNLTQIIAVDLSGNSLEGQIPDVFGSLRKLTSLSFPYCNLSGPLPRSIFNLTKITHLDLRSNHLEGPFPNHVNELQFLEDLLLNNNSISGGVPSWLFTLPSLLQLDLGYNKLIGPIDQIQKPGFVQHVDLSYNDIGGPIPYSIFYLVNLTELDLSSNNLSGPIPYSIFYLVNLTELDLSSNNLSGPIPVRQFPSFFQTSNLQYLYLSNNMISGGISKWEAEGWERLLFLDLSHNFLTALEQFPGNNLRMLNLQSNLLQGPILSTCLNSQIPILKELEVFIISENNLTGNIPSICNLSSLDVLDLSKNSLSGTIPDCLGNFSHLTFLDLQMNNFFGKIPDSFVNNSELSHLLLNDNQLEGLVPSSLANSISLEVLNLGNNKLTDRFPCWLVSLPSLQVIILRFNRFYGPLPHSVASSNFSALRIIDLSENDFTGTLPMKLFQNLRAMKDKPKEWLYSIAFKFRGSRFGSDIYEIPVNVTTKRLEMELTKTVAIFVSMDLSNNQFCGKIPKDVGQLISLQMLNFSHNNITGPIPASFGNLVALESLDLSSNKLDGRIPSEMTSLTFLEVLNLSNNNLVGPIPHGNQFGTFDNDSYSGNLGLCGLPLSKQCVNHGGAEPPSPLVVEHKGSEIPFFWQVILMGYGSGVVVGLSLGYIVFTTGRPWWFVTKVERDWQYNFMRWIRRNRPRRN >KJB21950 pep chromosome:Graimondii2_0_v6:4:1764580:1767732:-1 gene:B456_004G023200 transcript:KJB21950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCLVLVVLQLSWTLSSSVPPPSSHVCLPHERDALLHYKTTISVDCDLNSGSYNKDPYPRIDIESWNKSTDCCSWEGVKCDNVAGHVIGIDLSHSCLVGSLFANNSLFQLHNLQWLDLSSNNLRGSLLENTSSLFHFHGLRRLNLAGNSFNGTISSKLFSQLVSLTHLNLSFNGIFDDVESYLRFDGEGFDMLARNLTKLRNLVLDTVDMSDVELTSFLNLSSSLEHLSLEACELHGEFPSQVFQLPNLKVLGLNGNFNLTGYLPKTNWSSSLELLDLSSCDFRGSIPTLFGNLTQIIAVDLSGNSLEGQIPDVFGSLRKLTSLSFPYCNLSGPLPRSIFNLTKITHLDLRSNHLEGPFPNHVNELQFLEDLLLNNNSISGGVPSWLFTLPSLLQLDLGYNKLIGPIDQIQKPGFVQHVDLSYNDIGGPIPYSIFYLVNLTELDLSSNNLSGPIPYSIFYLVNLTELDLSSNNLSGPIPVRQFPSFFQTSNLQYLYLSNNMISGGISKWEAEGWERLLFLDLSHNFLTALEQFPGNNLRMLNLQSNLLQGPILSTCLNSQIPILKELEVFIISENNLTGNIPSICNLSSLDVLDLSKNSLSGTIPDCLGNFSHLTFLDLQMNNFFGKIPDSFVNNSELSHLLLNDNQLEGLVPSSLANSISLEVLNLGNNKLTDRFPCWLVSLPSLQVIILRFNRFYGPLPHSVASSNFSALRIIDLSENDFTGTLPMKLFQNLRAMKDKPKEWLYSIAFKFRGSRFGSDIYEIPVNVTTKRLEMELTKTVAIFVSMDLSNNQFCGKIPKDVGQLISLQMLNFSHNNITGPIPASFGNLVALESLDLSSNKLDGRIPSEMTSLTFLEVLNLSNNNLVGPIPHGNQFGTFDNDSYSGNLGLCGLPLSKQCVNHGGAEPPSPLVVEHKGSEIPFFWQVILMGYGSGVVVGLSLGYIVFTTGRPWWFVTKVERDWQYNFMRWIRRNRPRRN >KJB21948 pep chromosome:Graimondii2_0_v6:4:1764229:1767732:-1 gene:B456_004G023200 transcript:KJB21948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCLVLVVLQLSWTLSSSVPPPSSHVCLPHERDALLHYKTTISVDCDLNSGSYNKDPYPRIDIESWNKSTDCCSWEGVKCDNVAGHVIGIDLSHSCLVGSLFANNSLFQLHNLQWLDLSSNNLRGSLLENTSSLFHFHGLRRLNLAGNSFNGTISSKLFSQLVSLTHLNLSFNGIFDDVESYLRFDGEGFDMLARNLTKLRNLVLDTVDMSDVELTSFLNLSSSLEHLSLEACELHGEFPSQVFQLPNLKVLGLNGNFNLTGYLPKTNWSSSLELLDLSSCDFRGSIPTLFGNLTQIIAVDLSGNSLEGQIPDVFGSLRKLTSLSFPYCNLSGPLPRSIFNLTKITHLDLRSNHLEGPFPNHVNELQFLEDLLLNNNSISGGVPSWLFTLPSLLQLDLGYNKLIGPIDQIQKPGFVQHVDLSYNDIGGPIPYSIFYLVNLTELDLSSNNLSGPIPYSIFYLVNLTELDLSSNNLSGPIPVRQFPSFFQTSNLQYLYLSNNMISGGISKWEAEGWERLLFLDLSHNFLTALEQFPGNNLRMLNLQSNLLQGPILSTCLNSQIPILKELEVFIISENNLTGNIPSICNLSSLDVLDLSKNSLSGTIPDCLGNFSHLTFLDLQMNNFFGKIPDSFVNNSELSHLLLNDNQLEGLVPSSLANSISLEVLNLGNNKLTDRFPCWLVSLPSLQVIILRFNRFYGPLPHSVASSNFSALRIIDLSENDFTGTLPMKLFQNLRAMKDKPKEWLYSIAFKFRGSRFGSDIYEIPVNVTTKRLEMELTKTVAIFVSMDLSNNQFCGKIPKDVGQLISLQMLNFSHNNITGPIPASFGNLVALESLDLSSNKLDGRIPSEMTSLTFLEVLNLSNNNLVGPIPHGNQFGTFDNDSYSGNLGLCGLPLSKQCVNHGGAEPPSPLVVEHKGSEIPFFWQVILMGYGSGVVVGLSLGYIVFTTGRPWWFVTKVERDWQYNFMRWIRRNRPRRN >KJB21745 pep chromosome:Graimondii2_0_v6:4:3195688:3204696:1 gene:B456_004G038200 transcript:KJB21745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKQSRSDKSEQQQYRKLGRSASSNQQQRTSSGGYGEGGGGGPAPSSSLSSSSSSSRSFKKSNNAQGGQPRVNTPAVNSTESSNASAARNIQTGARVQPQLQGASNMPVASGVAKPVGPPANQRSTQAVPKAPTSQSATLSSDSSFSTHPTKGDASQAFSLQFGSISPGSMNGMQIPAQTSSAPPNLDEQKRDQAFHGSSVKSVSNLPTTVPRQQLPRKDSVATGQSNSGEAHPMPMVKKDVQASAGPAVNQTQKSSHLNIPMASMQMPFHHQPQVPMQFGVTNPHIQSQSPSVSATSIQMPLYMPIPMENDPQVMQQQLLVPGLSPHPLPLQGMMHQGQGLSYTPPLGGQLAPQLGNLGMGIAPQYPQQQGGKFSVPRKTTVKITHPLTREELRLNKQTDTYLDSGSSGPRSHPIAPSQSQPVPSFAPHSINYYSNPYNTNSIFYPPPNTLQLSSSQIAVAPNAQGLRFNYPVGQSLQNISYMNSAAGHGSLPANKPVNLKHGTLESPSVELACDVHDVASSASSGITQVTVKPAIVSAGEKVTDSSLSINLPPVEKVGSLKSSVPSSDGSLSQAQRDLDTCQESSVQQPKCESESLASNSLPATAKRVQATNLDEFVSPAPAAMSEESVRVVVSNDSGRDSLTYSNSVKDYQKKPGKEGHIQPPNQSMSTSYLASHTAEHSMSSDSAVSDTIRAKTALVSSSAAYVLPQPTKKLTSINGSSTSSIDLRTDRKREGLTSDSSEVSGTGSNVDCLDMVQHANIDGSSKLDEQLKPEINRIKDPLKSMELESDQNSALKASSTSDIVPTFVTARPMLDEDVGASIENKRVSDSMDFSSSKVSESTDLESSHVVITFGSNASAKAIDSNEVTVTKSGASDQQSTLVTTTDLFDSSSKYEGGGVPVPSSKDKTALDLSRTKSTSAKGRKKRQEFLEKADAAGTTSDRYIAFKSPEEKKETVVPSASAESNCTGVDLKLVSHESPQVDSTGSEELAQDKAQLDDWEDVADSCAPKLETSNNGEKAHEGLINIEKDGSGNISKKYSRDFLLKFAEQCTDLPQGFEIASDIAEPLMTPNVNGSHLVDRDSYPSPGRKMDRQSSGSRLDRRGSGMVDNERWVKLPGSFRPGRDLRLDPSYGAAASFQPVQGGNFGIVRHPRAQTSLPFVGGILAGPMHPMGLQGGMPRNSPDTDRWQPVANYSQKGLISSPQTPLQTMHRAARKYEMGKVTDEETLKQRQLKAILNKLTPQNFEKLFEQVKAVNIDNAVTLKGVISQIFDKALMEPTFCEMYANFCYHLAGELPDFSEGNEKITFKRLLLNKCQEEFERGEREQEEANKVEEVGEAKRSEEEREEKRVKARRRMLGNIRLIGEVYKKKMLTERIMHECIKKLLGEYENPDEEDIEALCKLMSTIGEMIDYPKAKVYMDAYFERMAKLSNNVKLSSRVRFMLKDAIDLRKNKWQQRRKVDGPKKIEEVHRDAAQERQAQASRLAHGPGINPAARRAPSDFGPRASVLSSPGPQVGSFRGSSTQLRGFRAQDARMDDRLRIETRTLLVPLPQRPVGDDAIALGPQGGLARGMGFRRPPAMPSTPLADVSPISGDSRRLAAGSNGFSSLPERATYGSREEFMPRDMRERFAAPAAYDQLSSREPGMNFSNRDSRNPNRPLAASPARGQTSGFTQNTSPERGWSEERLQEMSMATIREFYSARDEKEVALCIKDLNSQSFHPTMIALWVTDSFERKDMERHLLAKLLVNLARSRDGVLSQDQLVKGFESVLSTLEDVVNDAPKAAEFLGHIFAKIIVENVVTLNEIGRLIYDGGEEPGRLLETGLAADVLGSTLGVINTEKGETVLNEIRASSSLRLEDFRPPHSNKSSILEKFI >KJB21747 pep chromosome:Graimondii2_0_v6:4:3199869:3203591:1 gene:B456_004G038200 transcript:KJB21747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQSSGSRLDRRGSGMVDNERWVKLPGSFRPGRDLRLDPSYGAAASFQPVQGGNFGIVRHPRAQTSLPFVGGILAGPMHPMGLQGGMPRNSPDTDRWQPVANYSQKGLISSPQTPLQTMHRAARKYEMGKVTDEETLKQRQLKAILNKLTPQNFEKLFEQVKAVNIDNAVTLKGVISQIFDKALMEPTFCEMYANFCYHLAGELPDFSEGNEKITFKRLLLNKCQEEFERGEREQEEANKVEEVGEAKRSEEEREEKRVKARRRMLGNIRLIGEVYKKKMLTERIMHECIKKLLGEYENPDEEDIEALCKLMSTIGEMIDYPKAKVYMDAYFERMAKLSNNVKLSSRVRFMLKDAIDLRKNKWQQRRKVDGPKKIEEVHRDAAQERQAQASRLAHGPGINPAARRAPSDFGPRASVLSSPGPQVGSFRGSSTQLRGFRAQDARMDDRLRIETRTLLVPLPQRPVGDDAIALGPQGGLARGMGFRRPPAMPSTPLADVSPISGDSRRLAAGSNGFSSLPERATYGSREEFMPRDMRERFAAPAAYDQLSSREPGMNFSNRDSRNPNRPLAASPARGQTSGFTQNTSPERGWSEERLQEMSMATIREFYSARDEKEVALCIKDLNSQSFHPTMIALWVTDSFERKDMERHLLAKLLVNLARSRDGVLSQDQLVKGFESVLSTLEDVVNDAPKAAEFLGHIFAKIIVENVVTLNEIGRLIYDGGEEPGRLLETGLAADVLGSTLGVINTEKGETVLNEIRASSSLRLEDFRPPHSNKSSILEKFI >KJB21746 pep chromosome:Graimondii2_0_v6:4:3195317:3204696:1 gene:B456_004G038200 transcript:KJB21746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKQSRSDKSEQQQYRKLGRSASSNQQQRTSSGGYGEGGGGGPAPSSSLSSSSSSSRSFKKSNNAQGGQPRVNTPAVNSTESSNASAARNIQTGARVQPQLQGASNMPVASGVAKPVGPPANQRSTQAVPKAPTSQSATLSSDSSFSTHPTKGDASQAFSLQFGSISPGSMNGMQIPAQTSSAPPNLDEQKRDQAFHGSSVKSVSNLPTTVPRQQLPRKDSVATGQSNSGEAHPMPMVKKDVQASAGPAVNQTQKSSHLNIPMASMQMPFHHQPQVPMQFGVTNPHIQSQSPSVSATSIQMPLYMPIPMENDPQVMQQQLLVPGLSPHPLPLQGMMHQGQGLSYTPPLGGQLAPQLGNLGMGIAPQYPQQQGGKFSVPRKTTVKITHPLTREELRLNKQTDTYLDSGSSGPRSHPIAPSQSQPVPSFAPHSINYYSNPYNTNSIFYPPPNTLQLSSSQIAVAPNAQGLRFNYPVGQSLQNISYMNSAAGHGSLPANKPVNLKHGTLESPSVELACDVHDVASSASSGITQVTVKPAIVSAGEKVTDSSLSINLPPVEKVGSLKSSVPSSDGSLSQAQRDLDTCQESSVQQPKCESESLASNSLPATAKRVQATNLDEFVSPAPAAMSEESVRVVVSNDSGRDSLTYSNSVKDYQKKPGKEGHIQPPNQSMSTSYLASHTAEHSMSSDSAVSDTIRAKTALVSSSAAYVLPQPTKKLTSINGSSTSSIDLRTDRKREGLTSDSSEVSGTGSNVDCLDMVQHANIDGSSKLDEQLKPEINRIKDPLKSMELESDQNSALKASSTSDIVPTFVTARPMLDEDVGASIENKRVSDSMDFSSSKVSESTDLESSHVVITFGSNASAKAIDSNEVTVTKSGASDQQSTLVTTTDLFDSSSKYEGGGVPVPSSKDKTALDLSRTKSTSAKGRKKRQEFLEKADAAGTTSDRYIAFKSPEEKKETVVPSASAESNCTGVDLKLVSHESPQVDSTGSEELAQDKAQLDDWEDVADSCAPKLETSNNGEKAHEGLINIEKDGSGNISKKYSRDFLLKFAEQCTDLPQGFEIASDIAEPLMTPNVNGSHLVDRDSYPSPGRKMDRQSSGSRLDRRGSGMVDNERWVKLPGSFRPGRDLRLDPSYGAAASFQPVQGGNFGIVRHPRAQTSLPFVGGILAGPMHPMGLQGGMPRNSPDTDRWQPVANYSQKGLISSPQTPLQTMHRAARKYEMGKVTDEETLKQRQLKAILNKLTPQNFEKLFEQVKAVNIDNAVTLKGVISQIFDKALMEPTFCEMYANFCYHLAGELPDFSEGNEKITFKRLLLNKCQEEFERGEREQEEANKVEEVGEAKRSEEEREEKRVKARRRMLGNIRLIGEVYKKKMLTERIMHECIKKLLGEYENPDEEDIEALCKLMSTIGEMIDYPKAKVYMDAYFERMAKLSNNVKLSSRVRFMLKDAIDLRKNKWQQRRKVDGPKKIEEVHRDAAQERQAQASRLAHGPGINPAARRAPSDFGPRASVLSSPGPQVGSFRGSSTQLRGFRAQDARMDDRLRIETRTLLVPLPQRPVGDDAIALGPQGGLARGMGFRRPPAMPSTPLADVSPISGDSRRLAAGSNGFSSLPERATYGSREEFMPRDMRERFAAPAAYDQLSSREPGMNFSNRDSRNPNRPLAASPARGQTSGFTQNTSPERGWSEERLQEMSMATIREFYSARDEKEVALCIKDLNSQSFHPTMIALWVTDSFERKDMERHLLAKLLVNLARSRDGVLSQDQLVKGFESVLSTLEDVVNDAPKAAEFLGHIFAKIIVENVVTLNEIGRLIYDGGEEPGRLLETGLAADVLGSTLGVINTEKGETVLNEIRASSSLRLEDFRPPHSNKSSILEKFI >KJB21748 pep chromosome:Graimondii2_0_v6:4:3202061:3203591:1 gene:B456_004G038200 transcript:KJB21748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAVDDGELEKLYARDEKEVALCIKDLNSQSFHPTMIALWVTDSFERKDMERHLLAKLLVNLARSRDGVLSQDQLVKGFESVLSTLEDVVNDAPKAAEFLGHIFAKIIVENVVTLNEIGRLIYDGGEEPGRLLETGLAADVLGSTLGVINTEKGETVLNEIRASSSLRLEDFRPPHSNKSSILEKFI >KJB21749 pep chromosome:Graimondii2_0_v6:4:3202234:3203591:1 gene:B456_004G038200 transcript:KJB21749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALWVTDSFERKDMERHLLAKLLVNLARSRDGVLSQDQLVKGFESVLSTLEDVVNDAPKAAEFLGHIFAKIIVENVVTLNEIGRLIYDGGEEPGRLLETGLAADVLGSTLGVINTEKGETVLNEIRASSSLRLEDFRPPHSNKSSILEKFI >KJB21646 pep chromosome:Graimondii2_0_v6:4:368224:371133:-1 gene:B456_004G006200 transcript:KJB21646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFHIVLERNMQLHPRHFGRNLRENLVSKLMKDVEGTCSGRHGFVVAITGIENVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAAVTMVNKMGFFAETGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIV >KJB21644 pep chromosome:Graimondii2_0_v6:4:367796:371223:-1 gene:B456_004G006200 transcript:KJB21644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFHIVLERNMQLHPRHFGRNLRENLVSKLMKDVEGTCSGRHGFVVAITGIENVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAAVTMVNKMGFFAETGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPTTA >KJB21645 pep chromosome:Graimondii2_0_v6:4:367825:371133:-1 gene:B456_004G006200 transcript:KJB21645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFHIVLERNMQLHPRHFGRNLRENLVSKLMKDVEGTCSGRHGFVVAITGIENVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAAVTMVNKACFFFLSFLGLFSLFDFYHVVFMVVTRFYGFHTDGVLCRNRACSNFCFEPFDSG >KJB21523 pep chromosome:Graimondii2_0_v6:4:258488:259435:-1 gene:B456_004G004100 transcript:KJB21523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSGKVKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYSQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPILLPKKTEKAAGKEPKSPSKATKSPKKA >KJB21524 pep chromosome:Graimondii2_0_v6:4:258542:259314:-1 gene:B456_004G004100 transcript:KJB21524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSGKKKPVSRSVKAGLQFPVGRIGRYLKKGRYSQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPILLPKKTEKAAGKEPKSPSKATKSPKKA >KJB25307 pep chromosome:Graimondii2_0_v6:4:49956148:49957806:1 gene:B456_004G185100 transcript:KJB25307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSRNQRLMLLLAEKELQAHKSQVLESKLPRIGSMERSFLFLDQKIASQNFRISALKSDIENLDTKYDSSSQKLKAMKSEIEELEEVEKERDKFYELKISEMNEFRENVKRFLTETRTRMQELRNSVNEATMVIE >KJB25306 pep chromosome:Graimondii2_0_v6:4:49956099:49957806:1 gene:B456_004G185100 transcript:KJB25306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSRNQRLMLLLAEKELQAHKSQVLESKLPRIGSMERSFLFLDQKIASQNFRISALKSDIENLDTKYDSSSQKLKAMKSEIEELEEVEKERDKFYELKISEMNEFRENVKRFLTETRTRMQELRNSVNELKLTFMELPGNNGYRVVLR >KJB24705 pep chromosome:Graimondii2_0_v6:4:44654776:44659008:1 gene:B456_004G157900 transcript:KJB24705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKLGVEVVGAHDLLAKDGQGSFCPFVELEFDGQRCRTTTKEKDLSPVWNESFYFNVSDPNKLSSLPLEAFVYNHNKANNAKTCLGKVRLTAPSFVNYSDAVVLHYPLEKRSILSRAKGELGLKVFVTDDPSIKPSNPLPAMDPDVVPKSIPKEKNGKRHTFFHLPNAKQPQQQQQKVTPAPPQQQMNYGVHEMKSGPQASKVVNMFPGSSSQPFDYALKETSPFLGGGRIVGGRVIRGDRPTSTYDLVEQMRFLFVRVVKARDLPSKDATGSLDPYVEVKVGNYKGITKHYEKKQNPEWNQVFAFSRETVQSSVLEVVVKDKALVKDDSVGFVRIDLHEVPTRVPPDSPLAAEWYRLEDKKGEKKKGELMLAVWYGTQADEAFPDAWHSDAIAPGDSTSVSLTYIRSKVYHSPRLWYVRVNVIEAQDLVPADKSRFPDAYVKVQIGNQILKTKSVQTRNMNPIWNEEFMFVAAEPFEDHLTFTVEDHAGPNKDETIGRTVIALNSIDRRADDRFVRTRWYNLEKSLSDAMDSDRAKKDKFHGRLHVRLCLDGGYHVLDESTQYSSDLRPTAKQLWKSSIGVLELGILNADGLQPMKTRDGKGASDTYCVAKYGHKWVRTRTIVNSLNPKYNEQYTWEVYDTATVLTVGVFDNSQIGGSDNTRDNKIGKVRIRISTLETGRVYTHCYPLLVLHPSGVKKLGELHLAIRFSSTSMVNMMSQYSRPLLPKMHYKRPLSVIQQDILRHQAVNIVAARLGRAEPPLRREVVEYMSDANAHLWSMRRSKANFLRLTSVFSGLFSAGKWFGEVCTWKKPITTVLGFGTIVIDLGTLLI >KJB24708 pep chromosome:Graimondii2_0_v6:4:44654826:44658947:1 gene:B456_004G157900 transcript:KJB24708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKLGVEVVGAHDLLAKDGQGSFCPFVELEFDGQRCRTTTKEKDLSPVWNESFYFNVSDPNKLSSLPLEAFVYNHNKANNAKTCLGKVRLTAPSFVNYSDAVVLHYPLEKRSILSRAKGELGLKVFVTDDPSIKPSNPLPAMDPDVVPKSIPKEKNGKRHTFFHLPNAKQPQQQQQKVTPAPPQQQMNYGVHEMKSGPQASKVVNMFPGSSSQPFDYALKETSPFLGGGRIVGGRVIRGDRPTSTYDLVEQMRFLFVRVVKARDLPSKDATGSLDPYVEVKVGNYKGITKHYEKKQNPEWNQVFAFSRETVQSSVLEVVVKDKALVKDDSVGFVRIDLHEVPTRVPPDSPLAAEWYRLEDKKGEKKKGELMLAVWYGTQADEAFPDAWHSDAIAPGDSTSVSLTYIRSKVYHSPRLWYVRVNVIEAQDLVPADKSRFPDAYVKVQIGNQILKTKSVQTRNMNPIWNEEFMFVAAEPFEDHLTFTVEDHAGPNKDETIGRTVIALNSIDRRADDRFVRTRWYNLEKSLSDAMDSDRAKKDKFHGRLHVRLCLDGGYHVLDESTQYSSDLRPTAKQLWKSSIGVLELGILNADGLQPMKTRDGKGASDTYCVAKYGHKWVRTRTIVNSLNPKYNEQYTWEVYDTATVLTVGVFDNSQIGGSDNTRDNKIGKVRIRISTLETGRVYTHCYPLLVLHPSGVKKLGELHLAIRFSSTSMVNMMSQYSRPLLPKMHYKRPLSVIQQDILRHQAVNIVAARLGRAEPPLRREVVEYMSDANAHLWSMRRSKANFLRLTSVFSGLFSAGKWFGEVCTWKKPITTVLVHILFAMLVCFPELILPTVFLYMFLTGVWNYRYRPRYPPHMNTSLSCADAVSPDELDEEFESFPASKSSDIVRMRYDRLRSVAGRIQTVVGDIATQGERLQALLSWRDPRATTIFVLLCLVAAIVLYVTPFQMIALLAGFYVMRHPRFRHKTPSAPINFFRRLPARTDSML >KJB24706 pep chromosome:Graimondii2_0_v6:4:44655244:44658947:1 gene:B456_004G157900 transcript:KJB24706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKLGVEVVGAHDLLAKDGQGSFCPFVELEFDGQRCRTTTKEKDLSPVWNESFYFNVSDPNKLSSLPLEAFVYNHNKANNAKTCLGKVRLTAPSFVNYSDAVVLHYPLEKRSILSRAKGELGLKVFVTDDPSIKPSNPLPAMDPDVVPKSIPKEKNGKRHTFFHLPNAKQPQQQQQKVTPAPPQQQMNYGVHEMKSGPQASKVVNMFPGSSSQPFDYALKETSPFLGGGRIVGGRVIRGDRPTSTYDLVEQMRFLFVRVVKARDLPSKDATGSLDPYVEVKVGNYKGITKHYEKKQNPEWNQVFAFSRETVQSSVLEVVVKDKALVKDDSVGFVRIDLHEVPTRVPPDSPLAAEWYRLEDKKGEKKKGELMLAVWYGTQADEAFPDAWHSDAIAPGDSTSVSLTYIRSKVYHSPRLWYVRVNVIEAQDLVPADKSRFPDAYVKVQIGNQILKTKSVQTRNMNPIWNEEFMFVAAEPFEDHLTFTVEDHAGPNKDETIGRTVIALNSIDRRADDRFVRTRWYNLEKSLSDAMDSDRAKKDKFHGRLHVRLCLDGGYHVLDESTQYSSDLRPTAKQLWKSSIGVLELGILNADGLQPMKTRDGKGASDTYCVAKYGHKWVRTRTIVNSLNPKYNEQYTWEVYDTATVLTVGVFDNSQIGGSDNTRDNKIGKVRIRISTLETGRVYTHCYPLLVLHPSGVKKLGELHLAIRFSSTSMVNMMSQYSRPLLPKMHYKRPLSVIQQDILRHQAVNIVAARLGRAEPPLRREVVEYMSDANAHLWSMRRSKANFLRLTSVFSGLFSAGKWFGEVCTWKKPITTVLVHILFAMLVCFPELILPTVFLYMFLTGVWNYRYRPRYPPHMNTSLSCADAVSPDELDEEFESFPASKSSDIVRMRYDRLRSVAGRIQTVVGDIATQGERLQALLSWRDPRATTIFVLLCLVAAIVLYVTPFQMIALLAGFYVMRHPRFRHKTPSAPINFFRRLPARTDSML >KJB24704 pep chromosome:Graimondii2_0_v6:4:44654776:44659008:1 gene:B456_004G157900 transcript:KJB24704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKLGVEVVGAHDLLAKDGQGSFCPFVELEFDGQRCRTTTKEKDLSPVWNESFYFNVSDPNKLSSLPLEAFVYNHNKANNAKTCLGKVRLTAPSFVNYSDAVVLHYPLEKRSILSRAKGELGLKVFVTDDPSIKPSNPLPAMDPDVVPKSIPKEKNGKRHTFFHLPNAKQPQQQQQKVTPAPPQQQMNYGVHEMKSGPQASKVVNMFPGSSSQPFDYALKETSPFLGGGRIVGGRVIRGDRPTSTYDLVEQMRFLFVRVVKARDLPSKDATGSLDPYVEVKVGNYKGITKHYEKKQNPEWNQVFAFSRETVQSSVLEVVVKDKALVKDDSVGFVRIDLHEVPTRVPPDSPLAAEWYRLEDKKGEKKKGELMLAVWYGTQADEAFPDAWHSDAIAPGDSTSVSLTYIRSKVYHSPRLWYVRVNVIEAQDLVPADKSRFPDAYVKVQIGNQILKTKSVQTRNMNPIWNEEFMFVAAEPFEDHLTFTVEDHAGPNKDETIGRTVIALNSIDRRADDRFVRTRWYNLEKSLSDAMDSDRAKKDKFHGRLHVRLCLDGGYHVLDESTQYSSDLRPTAKQLWKSSIGVLELGILNADGLQPMKTRDGKGASDTYCVAKYGHKWVRTRTIVNSLNPKYNEQYTWEVYDTATVLTVGVFDNSQIGGSDNTRDNKIGKVRIRISTLETGRVYTHCYPLLVLHPSGVKKLGELHLAIRFSSTSMVNMMSQYSRPLLPKMHYKRPLSVIQQDILRHQAVNIVAARLGRAEPPLRREVVEYMSDANAHLWSMRRSKANFLRLTSVFSGLFSAGKWFGEVCTWKKPITTVLGFGTIVIDLGTLLI >KJB24703 pep chromosome:Graimondii2_0_v6:4:44654750:44659007:1 gene:B456_004G157900 transcript:KJB24703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKLGVEVVGAHDLLAKDGQGSFCPFVELEFDGQRCRTTTKEKDLSPVWNESFYFNVSDPNKLSSLPLEAFVYNHNKANNAKTCLGKVRLTAPSFVNYSDAVVLHYPLEKRSILSRAKGELGLKVFVTDDPSIKPSNPLPAMDPDVVPKSIPKEKNGKRHTFFHLPNAKQPQQQQQKVTPAPPQQQMNYGVHEMKSGPQASKVVNMFPGSSSQPFDYALKETSPFLGGGRIVGGRVIRGDRPTSTYDLVEQMRFLFVRVVKARDLPSKDATGSLDPYVEVKVGNYKGITKHYEKKQNPEWNQVFAFSRETVQSSVLEVVVKDKALVKDDSVGFVRIDLHEVPTRVPPDSPLAAEWYRLEDKKGEKKKGELMLAVWYGTQADEAFPDAWHSDAIAPGDSTSVSLTYIRSKVYHSPRLWYVRVNVIEAQDLVPADKSRFPDAYVKVQIGNQILKTKSVQTRNMNPIWNEEFMFVAAEPFEDHLTFTVEDHAGPNKDETIGRTVIALNSIDRRADDRFVRTRWYNLEKSLSDAMDSDRAKKDKFHGRLHVRLCLDGGYHVLDESTQYSSDLRPTAKQLWKSSIGVLELGILNADGLQPMKTRDGKGASDTYCVAKYGHKWVRTRTIVNSLNPKYNEQYTWEVYDTATVLTVGVFDNSQIGGSDNTRDNKIGKVRIRISTLETGRVYTHCYPLLVLHPSGVKKLGELHLAIRFSSTSMVNMMSQYSRPLLPKMHYKRPLSVIQQDILRHQAVNIVAARLGRAEPPLRREVVEYMSDANAHLWSMRRSKANFLRLTSVFSGLFSAGKWFGEVCTWKKPITTVLVHILFAMLVCFPELILPTVFLYMFLTGVWNYRYRPRYPPHMNTSLSCADAVSPDELDEEFESFPASKSSDIVRMRYDRLRSVAGRIQTVVGDIATQGERLQALLSWRDPRATTIFVLLCLVAAIVLYVTPFQMIALLAGFYVMRHPRFRHKTPSAPINFFRRLPARTDSML >KJB24707 pep chromosome:Graimondii2_0_v6:4:44655206:44658947:1 gene:B456_004G157900 transcript:KJB24707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKLGVEVVGAHDLLAKDGQGSFCPFVELEFDGQRCRTTTKEKDLSPVWNESFYFNVSDPNKLSSLPLEAFVYNHNKANNAKTCLGKVRLTAPSFVNYSDAVVLHYPLEKRSILSRAKGELGLKVFVTDDPSIKPSNPLPAMDPDVVPKSIPKEKNGKRHTFFHLPNAKQPQQQQQKVTPAPPQQQMNYGVHEMKSGPQASKVVNMFPGSSSQPFDYALKETSPFLGGGRIVGGRVIRGDRPTSTYDLVEQMRFLFVRVVKARDLPSKDATGSLDPYVEVKVGNYKGITKHYEKKQNPEWNQVFAFSRETVQSSVLEVVVKDKALVKDDSVGFVRIDLHEVPTRVPPDSPLAAEWYRLEDKKGEKKKGELMLAVWYGTQADEAFPDAWHSDAIAPGDSTSVSLTYIRSKVYHSPRLWYVRVNVIEAQDLVPADKSRFPDAYVKVQIGNQILKTKSVQTRNMNPIWNEEFMFVAAEPFEDHLTFTVEDHAGPNKDETIGRTVIALNSIDRRADDRFVRTRWYNLEKSLSDAMDSDRAKKDKFHGRLHVRLCLDGGYHVLDESTQYSSDLRPTAKQLWKSSIGVLELGILNADGLQPMKTRDGKGASDTYCVAKYGHKWVRTRTIVNSLNPKYNEQYTWEVYDTATVLTVGVFDNSQIGGSDNTRDNKIGKVRIRISTLETGRVYTHCYPLLVLHPSGVKKLGELHLAIRFSSTSMVNMMSQYSRPLLPKMHYKRPLSVIQQDILRHQAVNIVAARLGRAEPPLRREVVEYMSDANAHLWSMRRSKANFLRLTSVFSGLFSAGKWFGEVCTWKKPITTVLVHILFAMLVCFPELILPTVFLYMFLTGVWNYRYRPRYPPHMNTSLSCADAVSPDELDEEFESFPASKSSDIVRMRYDRLRSVAGRIQTVVGDIATQGERLQALLSWRDPRATTIFVLLCLVAAIVLYVTPFQMIALLAGFYVMRHPRFRHKTPSAPINFFRRLPARTDSML >KJB24424 pep chromosome:Graimondii2_0_v6:4:40820093:40825716:1 gene:B456_004G145000 transcript:KJB24424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLFTRERDIEVDLESGGITSEDEKTKDRVPSNLQTKRTLSGVWSGLLSFDKIGKGESSMNSCSSSSSFGCGVEGENMECLVDKNSEGEENHELMAVAEKNLAEVKCKKKSTRKPPKPPRPPKGPLLDAADQKLVREIAELAMRKRARMKRIKTLRRMKEAKASSSSSGLYAMVITVLFCFVLLFQGICSRRGATVMLNGSPAPVVGSSEGLISVQFSKSFPTTGSHDHDPSSLSEQQVSGSSVIEKEPEKLPEDAEK >KJB27265 pep chromosome:Graimondii2_0_v6:4:61767920:61769565:-1 gene:B456_004G287800 transcript:KJB27265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLLDQKQIGHFPCRSLCVAFSSHLSYKLVHPIQPALNYDSSKIVVLMLANTQLVYLSQFLATNCSG >KJB22186 pep chromosome:Graimondii2_0_v6:4:2793926:2796867:1 gene:B456_004G034100 transcript:KJB22186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHTILSSLLLSFIVFSLSQSPTFAIKKSYIVYLGGHTHGLNPTTADLDYATNSHYELLATSVGSTELAREKIFYSYTRNINGFAAILDDKEASEIAKHPNVVSVFLNKGRKLHTTHSWDFLRLERDGLVPVDSLWNRSNFGADVIIGNLDTGVWPESKSFSDEGYGPIPSRWRGSCSRDVGGVVCNRKLIGVKFFNKGYSAFIGDALNDTFKTVRDHQGHGSHTLSTAGGNFVPGASIFGHANGTAKGGSPRARVAAYKVCWPPLVGGNECFDADIIAAFDAAISDGVDVLSVSLGGNPSEFFEDGISIGAFHAVKKGISVVSSAGNSGPDPGTVSNVSPWMFTVGASTLDREFVSYVQLGNNKQLKGASLSSVAMPSRTFYPLISGDKAKAADALAEDAILCQPETIDPKKTKGKILVCVRGISGRADKGKQALLAGAVGMILVNDRKSGNEVIADPHLLPATHINFTDGNTLFAYINFTRNPTAYISPVETKFGLKPAPVMAAFSSRGPSLIEPSILKPDITAPGVSVLAAFTELVGPTEDESDKRRTPFCLQSGTSMSCPHVSGIVGLLKSLHPDWSPAAIRSAIMTTARIQDDTGNPMLDSSNKRATPFAYGSGHVRLNRAMDPGLVYDITVNDYFNFLCARGYNQSLLSLFSDKPYACPKSYGVMDLNYPSISVSQLNGSMTVSRTVKNVGSARSTYKARVRSPAGVTVSVKPSTLKFEKIGEEKKFEVKFELNKSNAKSEDYVFGELLWSDGSHHVRSPIVVKYT >KJB26308 pep chromosome:Graimondii2_0_v6:4:57471109:57474720:1 gene:B456_004G236400 transcript:KJB26308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLYRLDDRTVSSSNDSARVNNNLAAAVTTTGFHSPVHHLLQFDHQAADTDMYDVIKNQIANHPRYPDLVSAHIECRKVGAPPELGSLLEEIGRENHHPTSGCSEIGADPELDDFMESYCQVLHKYKEELSKPFDEATTFLSNIESQLSTLCKGAVTKTLDYGSDEACESSGWEAEAYESGQEDIKGMLMRKYSGYLCNLRKEFLKKRKKGKLPKDARMVLLHWWNNHYRWPYPTEEEKLKLSEITGLDQKQINNWFINQRKRHWKPSEDMKFALMEGFAGNINGGPT >KJB22047 pep chromosome:Graimondii2_0_v6:4:2135348:2140367:-1 gene:B456_004G027300 transcript:KJB22047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPLSWVMEAAAIMAIALANGDGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWTEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGMLVEIVVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFVKDADKEHVVLLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSNGNWHRASKGAPEQILALCNAKEDLKKRVHSIIDKFAERGLRSLAVSRQQVPEKTKESAGTPWQFVGLLPLFDPPRHDSAETIRQALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIAALPVEELIEKADGFAGVFPEHKYEIVRKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFLFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGGYLALMTVIFFWVMHDTDFFSDKFGVRSLRERDHEMMGALYLQVSIVSQALIFVTRSRSWSYAERPGLLLVTAFIIAQLVATLIAVYANWGFARIKGIGWGWAGVIWLYSIVFYVPLDIMKFAIRYILSGKAWLNLLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPETSNLFNDKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >KJB22049 pep chromosome:Graimondii2_0_v6:4:2135371:2139385:-1 gene:B456_004G027300 transcript:KJB22049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEIVVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFVKDADKEHVVLLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSNGNWHRASKGAPEQILALCNAKEDLKKRVHSIIDKFAERGLRSLAVSRQQVPEKTKESAGTPWQFVGLLPLFDPPRHDSAETIRQALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIAALPVEELIEKADGFAGVFPEHKYEIVRKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFLFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGGYLALMTVIFFWVMHDTDFFSDKFGVRSLRERDHEMMGALYLQVSIVSQALIFVTRSRSWSYAERPGLLLVTAFIIAQLVATLIAVYANWGFARIKGIGWGWAGVIWLYSIVFYVPLDIMKFAIRYILSGKAWLNLLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPETSNLFNDKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >KJB22048 pep chromosome:Graimondii2_0_v6:4:2135369:2142036:-1 gene:B456_004G027300 transcript:KJB22048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGISLEEIKNETVDLEKIPIEEVFEQLKCTREGLSSDEGVNRLQIFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGDGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWTEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGMLVEIVVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFVKDADKEHVVLLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSNGNWHRASKGAPEQILALCNAKEDLKKRVHSIIDKFAERGLRSLAVSRQQVPEKTKESAGTPWQFVGLLPLFDPPRHDSAETIRQALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIAALPVEELIEKADGFAGVFPEHKYEIVRKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFLFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGGYLALMTVIFFWVMHDTDFFSDKFGVRSLRERDHEMMGALYLQVSIVSQALIFVTRSRSWSYAERPGLLLVTAFIIAQLVATLIAVYANWGFARIKGIGWGWAGVIWLYSIVFYVPLDIMKFAIRYILSGKAWLNLLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPETSNLFNDKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >KJB22274 pep chromosome:Graimondii2_0_v6:4:3217922:3221223:-1 gene:B456_004G038500 transcript:KJB22274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHRDRGIGSSKSELIDRKRLVASLDKHLEKLSPCTSRGLNGKDKERSSLPSTSTGKSQLDHRDSRSTALSKARCSDEESETDTEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSHQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKNYDFGRCPRVCCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKPTQNYIPRVFGFKIHKP >KJB22275 pep chromosome:Graimondii2_0_v6:4:3219047:3221060:-1 gene:B456_004G038500 transcript:KJB22275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHRDRGIGSSKSELIDRKRLVASLDKHLEKLSPCTSRGLNGKDKERSSLPSTSTGKSQLDHRDSRSTALSKARCSDEESETDTEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSHQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKNYDFGRCPRVCCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGSILTISSLAFSLFCFSPILCLRHMKQLLSR >KJB22273 pep chromosome:Graimondii2_0_v6:4:3217958:3221060:-1 gene:B456_004G038500 transcript:KJB22273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHRDRGIGSSKSELIDRKRLVASLDKHLEKLSPCTSRGLNGKDKERSSLPSTSTGKSQLDHRDSRSTALSKARCSDEESETDTEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSHQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKNYDFGRCPRVCCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKPTQNYIPRVFGFKIHKP >KJB21926 pep chromosome:Graimondii2_0_v6:4:1649262:1650416:1 gene:B456_004G022100 transcript:KJB21926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFLLDEMIEILCRLSVKDLLRFKCASKHWCSLIDDPYFIKLHLSHSLKTKTNHSLILRRYEYHFFSVNYDSGETIQKLNHPLGEQKKAIKILGSCNGLLALIDDNDRIFLWNPSTRKFQVLPSTEIEISSPSICFDRSNFYGFGYDPISDDYKLVRMVQLHGNNKGYLHSEAKVYSLRSNCWRRIKDFCFYLIFAREFGFLANNALHWMVFKPPKSGKQNLVGFDLRTEEFRSLELPEFCLDKLFCYDIKAMGGFICLTATYRELDTVVVDVWIMKEYGVKESWIKLISWNEPHFIPRFPSLVAPLAFSKNGDKVLFNISYKCRNFGKWYNLRDKFVWYDLWGERVEKVEIRGIPTSFDVHFYVESLVPINGNAVMINNEMP >KJB24529 pep chromosome:Graimondii2_0_v6:4:41921535:41923136:-1 gene:B456_004G149300 transcript:KJB24529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS MDRKSIEMDKERLTAEMAFKDSSSAIIKIRQRLPDFLQSVKLKYVKLGLGYGYSCNHATLLILPLMFATLVQLSGLKPDRVYDLWTINQAFRLQSTDAATRLAASLILFFLCGLYFSKRSRPVYLVDFACYKSQDDRKLSVDSFLKMTEETGAFTDDTLQFQRKIATRSGLGDETYVPRGITSRPPNLCMEEARSETEAVMFGALDSLFEKTGVRPKDIDILIVNSCLFNPTPSLSAMVINHYKLRTDIKSYNLGGMGCSAGLISVELAKNLLRANPNTYAVVVSTENITQNWYFGNDRSMLLCNCIFRMGGAAVLLSNKPRDKARSKYQLLHLVRTHKGADDKHYNCVYQREDDKGTVGVSLGRELMAVAGDALKTNITTLGSLVLPFTEQLKFFVSLVRKKVLKARVKPYIPDFKLAVEHFCIHAGGRAVLDEIQKNLELTDWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRISGGDRVWQIAFGSGFKCNSAVWRALRSTPMSESRCNPWKDEMDKYPVKLDISISK >KJB27309 pep chromosome:Graimondii2_0_v6:4:61944911:61947952:1 gene:B456_004G290200 transcript:KJB27309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPTDEHGKLSEPFLTSSPSKGGFRTLPFILAMEAFERVAIVGITTNMIVYLTGEFGMETATAASVIFVWSAATGFTPIIGAFIADSYMGKYPMIGIGTIIGFLGMILLWSTAMIPQARPYCDQFNTICEAPTIPQLLLLYFSLGLISIGAGGIRSSFMAIGVDQLDERNNSHGFFNWCYVTLMFSSLIAVTLIVYIQDNMGWKMGFGVPVMLMFLSAVAFFLPSSFYIKLKPKASLLTGLAQVIVASFRNRHIALPSHAANEVYYIREGSMLQVPSEKLRFLNKACMIKNPQEDLTSKGTASNPWSLCTIDQVEDLKALIRVMPLCSAGIMLSVTVNQGSLMVIQAGTMDRHVTSNFEIPAASFSLFMMISVVVWIAFYDQIALPLASKIKGQPVRLGLKQRMGIGLLCSCASMVASAFVECTRRKIAIEEGFSDEPQAVVHMSALWVLPFYVLAGLSEAFNAIALIEFCYSNLPKTMSTIAANVNELGGFTGNLVASLITSMVDNVTKKGGESWVSSNMNKGHYDYYYCLLAGLSMLNFVYFLVCCKAHGPCHGDNENEAENSGIGDHESIDDC >KJB27308 pep chromosome:Graimondii2_0_v6:4:61944911:61947952:1 gene:B456_004G290200 transcript:KJB27308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPQARPYCDQFNTICEAPTIPQLLLLYFSLGLISIGAGGIRSSFMAIGVDQLDERNNSHGFFNWCYVTLMFSSLIAVTLIVYIQDNMGWKMGFGVPVMLMFLSAVAFFLPSSFYIKLKPKASLLTGLAQVIVASFRNRHIALPSHAANEVYYIREGSMLQVPSEKLRFLNKACMIKNPQEDLTSKGTASNPWSLCTIDQVEDLKALIRVMPLCSAGIMLSVTVNQGSLMVIQAGTMDRHVTSNFEIPAASFSLFMMISVVVWIAFYDQIALPLASKIKGQPVRLGLKQRMGIGLLCSCASMVASAFVECTRRKIAIEEGFSDEPQAVVHMSALWVLPFYVLAGLSEAFNAIALIEFCYSNLPKTMSTIAANVNELGGFTGNLVASLITSMVDNVTKKGGESWVSSNMNKGHYDYYYCLLAGLSMLNFVYFLVCCKAHGPCHGDNENEAENSGIGDHESIDDC >KJB22694 pep chromosome:Graimondii2_0_v6:4:6033923:6034328:-1 gene:B456_004G0611002 transcript:KJB22694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLIYLFPSQQIVFFPQGIVMSFYEIADLFISSYLWCTIFWNVGNGYDRFDRNEGIVCIFRWDFSGKNHHIFLRFLIKDIQSIRIEVKEGIYARCVLYMEIRDQGVVPLTRADENLTPQKIEQKASELAFFLCTD >KJB24787 pep chromosome:Graimondii2_0_v6:4:45014482:45017052:-1 gene:B456_004G160400 transcript:KJB24787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLTKLSKISPLARVCLSRCMSHVPENTVYGGPTPQTADRRVTLNQLKQKYKKGEPITVVTAYDYPSAVHLDIAGIDICLVGDSASMVVHGHDTTLPISLEEMLVHCRAVARGAKRPLLVGDLPFGTYETSTSQAVDTAVKVLREGGMDAIKLEGGSPSRITAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGKNVSSAIKVVETAMALQEAGCFSVVLECVPAPVAAAATSALRIPTIGIGAGPFCSGQVLVYHDLLGMLQHPHHAKVTPKFCKQYACVGDVIKKALSEYKEEVNNGSFPGPSHTPYKMNPDDVNGFFNELEKLGLNKAASAATAAAEKMNTAQRPDTETSQK >KJB24788 pep chromosome:Graimondii2_0_v6:4:45014534:45017000:-1 gene:B456_004G160400 transcript:KJB24788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLTKLSKISPLARVCLSRCMSHVPENTVYGGPTPQTADRRVTLNQLKQKYKKGEPITVVTAYDYPSAVHLDIAGIDICLVGDSASMVVHGHDTTLPISLEEMLVHCRAVARGAKRPLLVGDLPFGTYETSTSQAVDTAVKVLREGGMDAIKLEGGSPSRITAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGCRDCNGFAGSWMLLGCFGMCAGTCGCCSHICSSNSHNRHRSWSFLQWTGSSLP >KJB24790 pep chromosome:Graimondii2_0_v6:4:45015863:45016971:-1 gene:B456_004G160400 transcript:KJB24790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLTKLSKISPLARVCLSRCMSHVPENTVYGGPTPQTADRRVTLNQLKQKYKKGEPITVVTAYDYPSAVHLDIAGIDICLVGDSASMVVHGHDTTLPISLEEMLVHCRAVARGAKRPLLVGDLPFGTYETSTSQAVDTAVKVLREGGMDAIKLEGGSPSRITAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGKNVSSAIKVVETAMALQEAGCFSVVLECVPAPVAAAATSALRIPTIGIGAGPFCSGQVNFGLLISLPL >KJB24789 pep chromosome:Graimondii2_0_v6:4:45014534:45017000:-1 gene:B456_004G160400 transcript:KJB24789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLTKLSKISPLARVCLSRCMSHVPENTVYGGPTPQTADRRVTLNQLKQKYKKGEPITVVTAYDYPSAVHLDIAGIDICLVGDSASMVVHGHDTTLPISLEEMLVHCRAVARGAKRPLLVGDLPFGTYETSTSQAVDTAVKVLREGGMDAIKLEGGSPSRITAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGKNVSSAIKVVETAMALQEAGCFSVVLECVPAPVAAAATSALRIPTIGIGAGPFCSGQVLVYHDLLGMLQHPHHAKYACVGDVIKKALSEYKEEVNNGSFPGPSHTPYKMNPDDVNGFFNELEKLGLNKAASAATAAAEKMNTAQRPDTETSQK >KJB23604 pep chromosome:Graimondii2_0_v6:4:21774712:21778500:1 gene:B456_004G106900 transcript:KJB23604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO METVEPISLYYKPTWVVAAVCFVIILISLFAERGLNYLGKCLTHSHQDALFEALQKLKAELMLLGFISLLLNVFQGLISQICIPSHFESTMLPCKKHTEIKGHENYSPPAINNERHLLSEKSGSFSDHCSLQGKVPLLSTESFHELHILIFVVAVVHVVSCAVILVLGGARIRQWKPWEDSIKVAAGPAKVTHRHHLNNVLKKLAWGYWRRAAVISWIISFFKQFYSSVTMSEYIALREGFIMTNCLSHQEFDFHKDMVESLERDFRHVVGISWYQWLFVVVFLAMNVEGWHTYFWLSFLPIVLLLLVGAKLEHIIVRLAQDVDVMKKHPGQEPAWVRPSDEYFWFNRPRLLLDFIHFILFQNAFEIAFFFWILWTYGFHSCMMEKKGYIITRLIIGVIVQVLCSYITLPLYALVTKMGTASMAELVSVPVQSPGII >KJB23733 pep chromosome:Graimondii2_0_v6:4:25797321:25805244:-1 gene:B456_004G112500 transcript:KJB23733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVQYANVLLPPLETLCTVEETCVREKAVESLCRIGAQMMEQDLVESFIPLVKRLAAGEWFTARVSSCGLFRIAYPSAPEALKTELRAIYSQLCQDDMPMVRRSAATNLGNFAATIEAPHLKVDIMSMFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCAAHILPVIVNFSQDKSWRVRYMVANQLYEVCEAVGPEPTRSDLVPAYVCLLRDNEAEVRIAAAGKVTKFCRILNPELAIQHILPCVKELSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQETSEDVGSHYDLSFLGNLLTGFGHD >KJB23730 pep chromosome:Graimondii2_0_v6:4:25795734:25805700:-1 gene:B456_004G112500 transcript:KJB23730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVQYANVLLPPLETLCTVEETCVREKAVESLCRIGAQMMEQDLVESFIPLVKRLAAGEWFTARVSSCGLFRIAYPSAPEALKTELRAIYSQLCQDDMPMVRRSAATNLGNFAATIEAPHLKVDIMSMFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCAAHILPVIVNFSQDKSWRVRYMVANQLYEVCEAVGPEPTRSDLVPAYVCLLRDNEAEVRIAAAGKVTKFCRILNPELAIQHILPCVKELSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLHRMTILHSISLLAPVMGSDITCSKLLPVVINASKDRVPNIKFNVAKVLQSLILIVDQSVMEKKIRPCLVELSEDPDVDVRFFASQALESSNQKKMPAPVTCFSNHNQTNS >KJB23731 pep chromosome:Graimondii2_0_v6:4:25795734:25805700:-1 gene:B456_004G112500 transcript:KJB23731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVQYANVLLPPLETLCTVEETCVREKAVESLCRIGAQMMEQDLVESFIPLVKRLAAGEWFTARVSSCGLFRIAYPSAPEALKTELRAIYSQLCQDDMPMVRRSAATNLGNFAATIEAPHLKVDIMSMFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCAAHILPVIVNFSQDKSWRVRYMVANQLYEVCEAVGPEPTRSDLVPAYVCLLRDNEAEVRIAAAGKVTKFCRILNPELAIQHILPCVKELSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLHRMTILHSISLLAPVMGSDITCSKLLPVVINASKDRVPNIKFNVAKVLQSLILIVDQSVVEKKIRPCLVELSEDPDVDVRFFASQALESSNQKKMPAPVTCFSNHNQTNS >KJB23735 pep chromosome:Graimondii2_0_v6:4:25796381:25805700:-1 gene:B456_004G112500 transcript:KJB23735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVQYANVLLPPLETLCTVEETCVREKAVESLCRIGAQMMEQDLVESFIPLVKRLAAGEWFTARVSSCGLFRIAYPSAPEALKTELRAIYSQLCQDDMPMVRRSAATNLGNFAATIEAPHLKVDIMSMFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCAAHILPVIVNFSQDKSWRVRYMVANQLYEVCEAVGPEPTRSDLVPAYVCLLRDNEAEVRIAAAGKVTKFCRILNPELAIQHILPCVKELSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLHRMTILHSISLLAPVMGSDITCSKLLPVVINASKDRVPNIKFNVAKVLQSLILIVDQSVVEKKIRPCLVELSEDPDVDVRFFASQALESSNQVMMS >KJB23734 pep chromosome:Graimondii2_0_v6:4:25796023:25805959:-1 gene:B456_004G112500 transcript:KJB23734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVQYANVLLPPLETLCTVEETCVREKAVESLCRIGAQMMEQDLVESFIPLVKRLAAGEWFTARVSSCGLFRIAYPSAPEALKTELRAIYSQLCQDDMPMVRRSAATNLGNFAATIEAPHLKVDIMSMFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCAAHILPVIVNFSQDKSWRVRYMVANQLYEVCEAVGPEPTRSDLVPAYVCLLRDNEAEVRIAAAGKVTKFCRILNPELAIQHILPCVKELSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLHRMTILHSISLLAPVMGSDITCSKLLPVVINASKDRVPNIKFNVAKVLQSLILIVDQSVVEKKIRPCLVELSEDPDVDVRFFASQALESSNQVMMS >KJB23732 pep chromosome:Graimondii2_0_v6:4:25796381:25805795:-1 gene:B456_004G112500 transcript:KJB23732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVQYANVLLPPLETLCTVEETCVREKAVESLCRIGAQMMEQDLVESFIPLVKRLAAGEWFTARVSSCGLFRIAYPSAPEALKTELRAIYSQLCQDDMPMVRRSAATNLGNFAATIEAPHLKVDIMSMFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCAAHILPVIVNFSQDKSWRVRYMVANQLYEVCEAVGPEPTRSDLVPAYVCLLRDNEAEVRIAAAGKVTKFCRILNPELAIQHILPCVKELSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLHRMTILHSISLLAPVMGSDITCSKLLPVVINASKDRVPNIKFNVAKVLQSLILIVDQSVVEKKIRPCLVELSEDPDVDVRFFASQALESSNQVMMS >KJB24167 pep chromosome:Graimondii2_0_v6:4:36079386:36080635:-1 gene:B456_004G132800 transcript:KJB24167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLFSLRGLPWTGADGQQKVQLTAAELESLRSELADIEEREAHMKARLEHIDGILRSARLSGYLYIRTRWTALPGEPAPIDDTKINDWLPRFIVLHGQCIFFYLRSTDLSPQDSTLLSDVVEVGSLPSFTREDEGTRYSFYILTRQGLRYECSHVSQIQQGQLKTYGEFG >KJB24169 pep chromosome:Graimondii2_0_v6:4:36078968:36080276:-1 gene:B456_004G132800 transcript:KJB24169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLCHCRLEHIDGILRSARLSGYLYIRTRWTALPGEPAPIDDTKINDWLPRFIVLHGQCIFFYLRSTDLSPQDSTLLSDVVEVGSLPSFTREDEGTRYSFYILTRQGLRYECSHVSQIQVDTWLSALQTGCKVVSESDVLVPNGSSKK >KJB24164 pep chromosome:Graimondii2_0_v6:4:36078776:36081653:-1 gene:B456_004G132800 transcript:KJB24164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLFSLRGLPWTGADGQQKVQLTAAELESLRSELADIEEREAHMKARLEHIDGILRSARLSGYLYIRTRWTALPGEPAPIDDTKINDWLPRFIVLHGQCIFFYLRSTDLSPQDSTLLSDVVEVGSLPSFTREDEGTRYSFYILTRQGLRYECSHVSQIQVDTWLSALQTGCKVVSESDVLVPNGSSKK >KJB24168 pep chromosome:Graimondii2_0_v6:4:36078804:36081303:-1 gene:B456_004G132800 transcript:KJB24168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLFSLRGLPWTGADGQQKVQLTAAELESLRSELADIEEREAHMKARLEHIDGILRSARLSGYLYIRTRWTALPGEPAPIDDTKINDWLPRFIVLHGQCIFFYLRSTDLSPQDSTLLSDVVEVGSLPSFTREDEGTRYSFYILTRQGLRYECSHVSQIQVDTWLSALQTGCKVVSESDVLVPNGSSKK >KJB24166 pep chromosome:Graimondii2_0_v6:4:36078968:36080276:-1 gene:B456_004G132800 transcript:KJB24166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLCHCRLEHIDGILRSARLSGYLYIRTRWTALPGEPAPIDDTKINDWLPRFIVLHGQCIFFYLRSTDLSPQDSTLLSDVVEVGSLPSFTREDEGTRYSFYILTRQGLRYECSHVSQIQVDTWLSALQTGCKVVSESDVLVPNGSSKK >KJB24165 pep chromosome:Graimondii2_0_v6:4:36078802:36081303:-1 gene:B456_004G132800 transcript:KJB24165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLFSLRGLPWTGADGQQKVQLTAAELESLRSELADIEEREAHMKARLEHIDGILRSARLSGYLYIRTRWTALPGEPAPIDDTKINDWLPRFIVLHGQCIFFYLRSTDLSPQDSTLLSDVVEVGSLPSFTREDEGTRYSFYILTRQGLRYECSHVSQIQVDTWLSALQTGCKVVSESDVLVPNGSSKK >KJB26766 pep chromosome:Graimondii2_0_v6:4:59440641:59442212:-1 gene:B456_004G258600 transcript:KJB26766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLSEPFSDSSVLIDFVINQGNGVKGLSEMGLKALPKQYIQPLEERMCMTNVQAQESIPIIDMSNPNDPEVEKSICEAASKWGFFQIVNHDVPVEVLENVKDATYKFFGLAAEVKNKYSKEHSLSNNVRFGTSFTPQAEKALEWKDYLSLFYVSEEEAFALWPSVCREQVLDYTRKSEVVIKQLLKVLMKGLNVNEIDETKESLLMGSMRTNLNYYPKCPNPELTVGVGRHSDVSTLTILLQDEIGGLFVRGNDGDNWIHVPPIKGSLVINVGDALQIMSNGKYKSVEHRVVANGSNNRISVPIFVNPRPSDMIGPLPELIENGEKPIYKQVLYSDYVKHFFRKAHDGKKTVAFAEL >KJB22243 pep chromosome:Graimondii2_0_v6:4:3007691:3011649:1 gene:B456_004G036800 transcript:KJB22243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFRLYILYSLNRLWDHLASNLDLYAPSREPHLDEGTRTTVLGNQSIGAESHQLDTDSERGKSTKFPRNRHNRDWKGLVRDAAEPPPLRSSEVENIRFEEKSSRKVSRGRSTSPQPTQKKRSRPDERQPIKREAVPNIDAPRRLLQFAVRDAVGTSRAPISAKEPSSKRLRSVVSTSSGDLPARPRRIQSVARVPNPMATVIKAVAEAAEDVTKVKNAGSVFDRLGPGMDVLETGDLHPEFRESLTEDEEYGDLNQPLEKTHSAYYQREECAGRHVGNVTALESQTGLASESLSDNEWYGDVDVVGHGVMDESHTGHAGRSSGNKGDNSLMVQYNVAKDDEILQTRNKDQNQSTIATNTSRKIVNISVNVNTWKPPHYQEPREASELDSRKPLPESEAVANKANHRLMENGNPVNVGNGNVKGAAYNQELSQKAVQPSASYAAARPLEDADSRTIFVSNVHFAATKDSLSRHFNKFGDVLKVIIVTDAATGQPKGSAYVEFMRKEAADNALSLDGTSFMSRILKVVKKSSAPQEAAAPVMTWPRVARGSPFVAARFGRASFARGMPGAFRPHLPVKPGARSFQWKRDAQTTTTRTDAVTGTTVSSPTFRSLTYVRTEPKSAGNTS >KJB22240 pep chromosome:Graimondii2_0_v6:4:3006336:3011649:1 gene:B456_004G036800 transcript:KJB22240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGSVDRVNDLTFKVNFSGDGAAKLKDRVKEKLKEFMGDYTDDTLVEYVIVLLRNGRRKGEAMNELNVFLGDDSESFVSWLWDHLASNLDLYAPSREPHLDEGTRTTVLGNQSIGAESHQLDTDSERGKSTKFPRNRHNRDWKGLVRDAAEPPPLRSSEVENIRFEEKSSRKVSRGRSTSPQPTQKKRSRPDERQPIKREAVPNIDAPRRLLQFAVRDAVGTSRAPISAKEPSSKRLRSVVSTSSGDLPARPRRIQSVARVPNPMATVIKAVAEAAEDVTKVKNAGSVFDRLGPGMDVLETGDLHPEFRESLTEDEEYGDLNQPLEKTHSAYYQREECAGRHVGNVTALESQTGLASESLSDNEWYGDVDVVGHGVMDESHTGHAGRSSGNKGDNSLMVQYNVAKDDEILQTRNKDQNQSTIATNTSRKIVNISVNVNTWKPPHYQEPREASELDSRKPLPESEAVANKANHRLMENGNPVNVGNGNVKGAAYNQELSQKAVQPSASYAAARPLEDADSRTIFVSNVHFAATKDSLSRHFNKFGDVLKVIIVTDAATGQPKGSAYVEFMRKEAADNALSLDGTSFMSRILKVVKKSSAPQEAAAPVMTWPRVARGSPFVAARFGRASFARGMPGAFRPHLPVKPGARSFQWKRDAQTTTTRTDAVTGTTVSSPTFRSLTYVRTEPKSAGNTS >KJB22241 pep chromosome:Graimondii2_0_v6:4:3006336:3011649:1 gene:B456_004G036800 transcript:KJB22241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGSVDRVNDLTFKVNFSGDGAAKLKDRVKEKLKEFMGDYTDDTLVEYVIVLLRNGRRKGEAMNELNVFLGDDSESFVSWLWDHLASNLDLYAPSREPHLDEGTRTTVLGNQSIGAESHQLDTDSERGKSTKFPRNRHNRDWKGLVRDAAEPPPLRSSEVENIRFEEKSSRKVSRGRSTSPQPTQKKRSRPDERQPIKREAVPNIDAPRRLLQFAVRDAVGTSRAPISAKEPSSKRLRSVVSTSSGDLPARPRRIQSVARVPNPMATVIKAVAEAAEDVTKVKNAGSVFDRLGPGMDVLETGDLHPEFRESLTEDEEYGDLNQPLEKTHSAYYQREECAGRHVGNVTALESQTGLASESLSDNEWYGDVDVVGHGVMDESHTGHAGRSSGNKGDNSLMVQYNVAKDDEILQTRNKDQNQSTIATNTSRKIVNISVNVNTWKPPHYQEPREASELDSRKPLPESEAVANKANHRLMENGNPVNVGNGNVKGAAYNQELSQKAVQPSASYAAARPLEDADSRTIFVHFAATKDSLSRHFNKFGDVLKVIIVTDAATGQPKGSAYVEFMRKEAADNALSLDGTSFMSRILKVVKKSSAPQEAAAPVMTWPRVARGSPFVAARFGRASFARGMPGAFRPHLPVKPGARSFQWKRDAQTTTTRTDAVTGTTVSSPTFRSLTYVRTEPKSAGNTS >KJB22242 pep chromosome:Graimondii2_0_v6:4:3006294:3011749:1 gene:B456_004G036800 transcript:KJB22242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGSVDRVNDLTFKVNFSGDGAAKLKDRVKEKLKEFMGDYTDDTLVEYVIVLLRNGRRKGEAMNELNVFLGDDSESFVSWLWDHLASNLDLYAPSREPHLDEGTRTTVLGNQSIGAESHQLDTDSERGKSTKFPRNRHNRDWKGLVRDAAEPPPLRSSEVENIRFEEKSSRKVSRGRSTSPQPTQKKRSRPDERQPIKREAVPNIDAPRRLLQFAVRDAVGTSRAPISAKEPSSKRLRSVVSTSSGDLPARPRRIQSVARVPNPMATVIKAVAEAAEDVTKVKNAGSVFDRLGPGMDVLETGDLHPEFRESLTEDEEYGDLNQPLEKTHSAYYQREECAGRHVGNVTALESQTGLASESLSDNEWYGDVDVVGHGVMDESHTGHAGRSSGNKGDNSLMVQYNVAKDDEILQTRNKDQNQSTIATNTSRKIVNISVNVNTWKPPHYQEPREASELDSRKPLPESEAVANKANHRLMENGNPVNVGNGNVKGAAYNQELSQKAVQPSASYAAARPLEDADSRTIFVSNVHFAATKDSLSRHFNKFGDVLKVIIVTDAATGQPKGSAYVEFMRKEAADNALSLDGTSFMSRILKVVKKSSAPQEAAAPVMTWPRVARGSPFVAARFGRASFARGMPGAFRPHLPVKPGARSFQWKRDAQTTTTRTDAVTGTTVSSPTFRSLTYVRTEPKSAGNTS >KJB26210 pep chromosome:Graimondii2_0_v6:4:56552094:56559513:-1 gene:B456_004G230200 transcript:KJB26210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSQSGDLKMEGWLHLIRSNRIGLQYSSKRYFVLEDHLLKSFKSMPISNLQEPGRSAIIDSSIRVTDNGRESIHRKVFFIFTLYNSSNHNDQLKLGASSPEEAARWIQSLQEAALKGGPYSGNDAAYSKSRWQSFRSSGSNNENHNNSLDWTLYSSTKMDRVTSDVVAPSPWTIFGCQNGLRLFKEAKERDSHRKWDDHPAIMAVGVVDETSEAIFQTLMSLGPSRSQWDFCFYKGCVVEHLDGHTDIVHKQLYSDWLPWAMKRRDLLLRRYWRREDDGTYVILYHSVCHKNCPPQKSYVRACLKSGGYVISPVNEGKQSVVKHMLAIDWKFWKSYLRTSAARPITIRMLERVAALRELFRAKQVKYPSDNLSSGELIRKVRLHQSVEDGAIDMCTQLEAGKTKETTSEVMERAPSEHSSLLGLNDAADEFFDVPEPTDYEQSEAGWTSDFGPEISQVHIKDTRHPKLSTAAVFVKKLHGLAVQKRGYMDLQDMTREDGICCSYGNTLPKDPTCTLPCSWTAAEPSTFLIRGENYLEDRKKFKAKCTLMQMVAADWLRSDTREDDLGGRPGSIVQKYAEQGGPEFFFIINIQVPGSTTYGLALYYMMSSPVEDSPLLHNFINGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEMNYFQGKNYLELGIDIGSSTVARGVVSLVLGYLNNLVIEMAFLIQANTKEELPEYLLGTCRLNHLDAAKSVPVKV >KJB26209 pep chromosome:Graimondii2_0_v6:4:56551873:56559790:-1 gene:B456_004G230200 transcript:KJB26209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSQSGDLKMEGWLHLIRSNRIGLQYSSKRYFVLEDHLLKSFKSMPISNLQEPGRSAIIDSSIRVTDNGRESIHRKVFFIFTLYNSSNHNDQLKLGASSPEEAARWIQSLQEAALKGGPYSGNDAAYSKSRWQSFRSSGSNNENHNNSLDWTLYSSTKMDRVTSDVVAPSPWTIFGCQNGLRLFKEAKERDSHRKWDDHPAIMAVGVVDETSEAIFQTLMSLGPSRSQWDFCFYKGCVVEHLDGHTDIVHKQLYSDWLPWAMKRRDLLLRRYWRREDDGTYGGGYVISPVNEGKQSVVKHMLAIDWKFWKSYLRTSAARPITIRMLERVAALRELFRAKQVKYPSDNLSSGELIRKVRLHQSVEDGAIDMCTQLEAGKTKETTSEVMERAPSEHSSLLGLNDAADEFFDVPEPTDYEQSEAGWTSDFGPEISQDTRHPKLSTAAVFVKKLHGLAVQKRGYMDLQDMTREDGICCSYGNTLPKDPTCTLPCSWTAAEPSTFLIRGENYLEDRKKFKAKCTLMQMVAADWLRSDTREDDLGGRPGSIVQKYAEQGGPEFFFIINIQVPGSTTYGLALYYMMSSPVEDSPLLHNFINGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEMNYFQGKNYLELGIDIGSSTVARGVVSLVLGYLNNLVIEMAFLIQANTKEELPEYLLGTCRLNHLDAAKSVPVKV >KJB26211 pep chromosome:Graimondii2_0_v6:4:56552094:56559513:-1 gene:B456_004G230200 transcript:KJB26211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSQSGDLKMEGWLHLIRSNRIGLQYSSKRYFVLEDHLLKSFKSMPISNLQEPGRSAIIDSSIRVTDNGRESIHRKVFFIFTLYNSSNHNDQLKLGASSPEEAARWIQSLQEAALKGGPYSGNDAAYSKSRWQSFRSSGSNNENHNNSLDWTLYSSTKMDRVTSDVVAPSPWTIFGCQNGLRLFKEAKERDSHRKWDDHPAIMAVGVVDETSEAIFQTLMSLGPSRSQWDFCFYKGCVVEHLDGHTDIVHKQLYSDWLPWAMKRRDLLLRRYWRREDDGTYVILYHSVCHKNCPPQKSYVRACLKSGGYVISPVNEGKQSVVKHMLAIDWKFWKSYLRTSAARPITIRMLERVAALRELFRAKQVKYPSDNLSSGELIRKVRLHQSVEDGAIDMCTQLEAGKTKETTSEVMERAPSEHSSLLGLNDAADEFFDVPEPTDYEQSEAGWTSDFGPEISQDTRHPKLSTAAVFVKKLHGLAVQKRGYMDLQDMTREDGICCSYGNTLPKDPTCTLPCSWTAAEPSTFLIRGENYLEDRKKFKAKCTLMQMVAADWLRSDTREDDLGGRPGSIVQKYAEQGGPEFFFIINIQVPGSTTYGLALYYMMSSPVEDSPLLHNFINGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEMNYFQGKNYLELGIDIGSSTVARGVVSLVLGYLNNLVIEMAFLIQANTKEELPEYLLGTCRLNHLDAAKSVPVKV >KJB21735 pep chromosome:Graimondii2_0_v6:4:862040:864867:1 gene:B456_004G012000 transcript:KJB21735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKPNVVFEEFEVPTEWVHEVADDTLIAYLPGFKKEQLNVQITSGGFLRIYGERSLGGNKISRFSKEFPFPSNCDLSKIRANFNGGMLRVKFPKSTIQADQNQQAKTSPDPDPGAPPSMAAPPPAGYADAALKQNDAVQQAPPTADLKPHADDDDIDTSMKRKDAVEQQVPPMTGIEKAGKGLTRSRKIVLAVLLVAVVAVYVKNVLTSIIN >KJB26432 pep chromosome:Graimondii2_0_v6:4:57924131:57927437:-1 gene:B456_004G241300 transcript:KJB26432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGNLASSVGNVVGDITGLNKPSKNTITGSVVIVKKNVLDFTAVHSTVADSLFELLGNQVSLQLVSAQNPDPANENGGKLGKLAALEYWNLTYTPLLAGDDSLYKVSFEWDEEFGIPGAIILRNNHTAEFFLKTITLENVPGEGRIHFVCNSWVYPDRRYKKPRIFFSNKTYLPHETPAALRKYREEELQVLRGDGTGQLKTGDRVYDYALYNDLGDPDKGADLARPVLGGSAQYPYPRRGRTSRPPSKTDPKTESRLFLPNILNVYVPRDEQFAHLKLSDFIAYNLKGLVNQIIPLLEAFVNYTPNEFDSFKDVDNLFFNGLPLPTDLINQVANNIPLEMMGEFFRSDGQQLLKFPVPKLIEDRSNPFAWRTDEEFGREMLAGLNPLLIQLLKDSSITKQDIEYNLDGLTVEEALSRKRLFILDHHDTIMPYLQTINEYTEAKTYASRTILFLRGDGTLKPVAIELSLPKMEEDKIGCVNKVYTPAEHGVEGWIWMLAKAFVNVNDSGHHQLVSHWLNTHAVIEPFVIATNRQLSVVHPIYKLLHPHFRDTMTINALARELLINANGIIEGTFCPGKYSLEMSSVIYKSWNFMDQALPNDLKKRGIADGDIKSLDDLDRLLIKDYPYAVDGLKIWFAIEKWVRDYCSFYYKTDEMVQRDPELQAWWKELREVGHGDKKDEPWWPKMQNLEDLIQSCTIIIWIASALHAAVNYGQYAYGGYFPNRPTLSRRFMPEKGTPEYAELEKNPEKVFFRIMSSQLQCLIVITVVETLSNHASDEVYLGQRTPNWTTDAVPLQASDAFNRRLAEIDGEILKMNIDKKLKNRVGPVNVPYNLLHPTGEIGISGKGIPNSISIKFK >KJB24758 pep chromosome:Graimondii2_0_v6:4:44829500:44836978:-1 gene:B456_004G159100 transcript:KJB24758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENSILCSVSSLILSLFTLFPCLFGHGNSIEQLAGYNTFTISSFKYPETQIRPFDMRYIRVDLPPWFSSMSIALKSSVNLDIKSVEKVPKSALPMICFREGSLPLPDVSNTSFGELVALSNSSFEGAQVLQNSEQCYPVPRNMTMKLTNEQISARVLYFGLFNGVGPTRTQSKMIVRGPSYSFAANISVEGCITSSMQGQYCNQTVELLSCGLSRNNSGNGSASGFFNQSMLSCRNNFVTSCLGDEEMKIYTLEILRVAEFLTVSAENVRLRPVNNSGNSSGIDLMCFARYGAMPSATLHDFSGNLNKSPLVINSPKVGQWYISILALNLSKETGGSQSNVSKVCYSLELQELECPLGKAGPNCLSERYMLQTVLRKDSTPFESYFLPYGEKVMSDAANFLLEPLLSNYSFGGLNTWTYFILDIPHGAAGGNLHIRLTSDRKLNYEIYVRNGGLPSLVNWDYYYVNKTSNSHGSMFFALYSSSEEKADFYILYVREGTWNIALRNLNSTDGTSNRQTTMSVSLERCPKRCSYHGDCKSALDASGLTSYSFCACDRTHGGFDCSIEIVSRQGHIWQSIALIASNAAAVLPAFWALRQKAFAEWVIYTSSGISSGLYHACDVGTWCALSFGVLQFFDFWLSFLAVVSTFVYLTTIGEVYKRAIHTVVAILTALMAITKATRPSNVILVMAIGALALLVGWLIEFSTKYRSLSFSMDLCLNSLERRRIREWVNSLVKTIMKRFRWGFLLAGFMALAMAAISRDLENSQNYWIWHSVWHVTIYTSSFFFLCSKVITINSGNERPTDANYQLTRQDSLSRG >KJB24760 pep chromosome:Graimondii2_0_v6:4:44830774:44836978:-1 gene:B456_004G159100 transcript:KJB24760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENSILCSVSSLILSLFTLFPCLFGHGNSIEQLAGYNTFTISSFKYPETQIRPFDMRYIRVDLPPWFSSMSIALKSSVNLDIKSVEKVPKSALPMICFREGSLPLPDVSNTSFGELVALSNSSFEGAQVLQNSEQCYPVPRNMTMKLTNEQISARVLYFGLFNGVGPTRTQSKMIVRGPSYSFAANISVEGCITSSMQGQYCNQTVELLSCGLSRNNSGNGSASGFFNQSMLSCRNNFVTSCLGDEEMKIYTLEILRVAEFLTVSAENVRLRPVNNSGNSSGIDLMCFARYGAMPSATLHDFSGNLNKSPLVINSPKVGQWYISILALNLSKETGGSQSNVSKVCYSLELQELECPLGKAGPNCLSERYMLQTVLRKDSTPFESYFLPYGEKVMSDAANFLLEPLLSNYSFGGLNTWTYFILDIPHGAAGGNLHIRLTSDRKLNYEIYVRNGGLPSLVNWDYYYVNKTSNSHGSMFFALYSSSEEKADFYILYVREGTWNIALRNLNSTDGTSNRQTTMSVSLERCPKRCSYHGDCKSALDASGLTSYSFCACDRTHGGFDCSIEIVSRQGHIWQSIALIASNAAAVLPAFWALRQKAFAEWVIYTSSGISSGLYHACDVGTWCALSFGVLQFFDFWLSFLAVVSTFVYLTTIGEVYKRAIHTVVAILTALMAITKATRPSNVILVMAIGALALLVGWLIEFSTKYRSLSFSMDLCLNSLERTTCTSAQWTFNY >KJB24759 pep chromosome:Graimondii2_0_v6:4:44829512:44834479:-1 gene:B456_004G159100 transcript:KJB24759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQYCNQTVELLSCGLSRNNSGNGSASGFFNQSMLSCRNNFVTSCLGDEEMKIYTLEILRVAEFLTVSAENVRLRPVNNSGNSSGIDLMCFARYGAMPSATLHDFSGNLNKSPLVINSPKVGQWYISILALNLSKETGGSQSNVSKVCYSLELQELECPLGKAGPNCLSERYMLQTVLRKDSTPFESYFLPYGEKVMSDAANFLLEPLLSNYSFGGLNTWTYFILDIPHGAAGGNLHIRLTSDRKLNYEIYVRNGGLPSLVNWDYYYVNKTSNSHGSMFFALYSSSEEKADFYILYVREGTWNIALRNLNSTDGTSNRQTTMSVSLERCPKRCSYHGDCKSALDASGLTSYSFCACDRTHGGFDCSIEIVSRQGHIWQSIALIASNAAAVLPAFWALRQKAFAEWVIYTSSGISSGLYHACDVGTWCALSFGVLQFFDFWLSFLAVVSTFVYLTTIGEVYKRAIHTVVAILTALMAITKATRPSNVILVMAIGALALLVGWLIEFSTKYRSLSFSMDLCLNSLERRRIREWVNSLVKTIMKRFRWGFLLAGFMALAMAAISRDLENSQNYWIWHSVWHVTIYTSSFFFLCSKVITINSGNERPTDANYQLTRQDSLSRG >KJB22889 pep chromosome:Graimondii2_0_v6:4:7759961:7763689:-1 gene:B456_004G072000 transcript:KJB22889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNIRRSIISSRSRWSGSFRSFVESKLYLDDFALAAKRFSAVGNSNFTRQYVTGANNMSKGVLGATGIPLPFRGHCGGDWLVRFQASVPHIENHGSKVISTCFARSFASRTSKQSKETSETRKELSNVEDPFDAPTYNIPDKPVTFTEGASYSLIILVGLGIAAAAGYAVFKELIFQPKEYKIFNQALERIQNESQVRVRIGHPITGYGQETRNRAARQRIPNRIYTDENGVEHVEVNFYIRGPHGAGKVFAEMFKDKTDNKWKYTYLIVQINSPSRAELMLESYLPAAEMRSSTN >KJB22892 pep chromosome:Graimondii2_0_v6:4:7760121:7763466:-1 gene:B456_004G072000 transcript:KJB22892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNIRRSIISSRSRWSGSFRSFVESKLYLDDFALAAKRFSAVGNSNFTRQYVTGANNMSKGVLGATGIPLPFRGHCGGDWLVRFQASVPHIENHGSKVISTCFARSFASRTSKQSKETSETRKELSNVEDPFDAPTYNIPDKPVTFTEGASYSLIILVGLGIAAAAGYAVFKELIFQPKEYKIFNQALERIQNESQVW >KJB22890 pep chromosome:Graimondii2_0_v6:4:7761167:7763305:-1 gene:B456_004G072000 transcript:KJB22890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNIRRSIISSRSRWSGSFRSFVESKLYLDDFALAAKRFSAVGNSNFTRQYVTGANNMSKGVLGATGIPLPFRGHCGGDWLVRFQASVPHIENHGSKVISTCFARSFASRTSKQSKETSETRKELSNVEDPFDAPTYNIPDKPVTFTEGASYSLIILVGLGIAAAAGYAVFKELIFQPKEYKIFNQALERIQNESQVCEK >KJB22893 pep chromosome:Graimondii2_0_v6:4:7761167:7763305:-1 gene:B456_004G072000 transcript:KJB22893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNIRRSIISSRSRWSGSFRSFVESKLYLDDFALAAKRFSAVGNSNFTRQYVTGANNMSKGVLGATGIPLPFRGHCGGDWLVRFQASVPHIENHGSKVISTCFARSFASRTSKQSKETSETRKELSNVEDPFDAPTYNIPDKPVTFTEGASYSLIILVGLGIAAAAGYAVFKELIFQPKEYKIFNQALERIQNESQVCEK >KJB22891 pep chromosome:Graimondii2_0_v6:4:7760121:7763466:-1 gene:B456_004G072000 transcript:KJB22891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNIRRSIISSRSRWSGSFRSFVESKLYLDDFALAAKRFSAVGNSNFTRQYVTGANNMSKGVLGATGIPLPFRGHCGGDWLVRFQASVPHIENHGSKVISTCFARSFASRTSKQSKETSETRKELSNVEDPFDAPTYNIPDKPVTFTEGASYSLIILVGLGIAAAAGYAVFKELIFQPKEYKIFNQALERIQNESQVRVRIGHPITGYGQETRNRAARQRIPNRIYTDENGVEHVEVIL >KJB23425 pep chromosome:Graimondii2_0_v6:4:16011025:16015288:1 gene:B456_004G097500 transcript:KJB23425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWITVTIRIVCLFTLLWSVNGIGVNWGTQASHLLPPDTVVRMLRENGIQKVKLFDADYGILKALGKTGIEVMVGIPNDMLASVGGSMKAAEKWVAKNVSEHISSNNVNIRYVAVGNEPFLETYNGSYLHITLPALSNIQSALIKAGIGSQVKVTVPLNADVYASSNTYPSGGDFRTDIFDPMLKIVKFLNDSNSPFTVNIYPFISLYTDSNFPVEYAFFDGNATPLNDGGTLYYNMFDANLDTLAHALQKNGFGNLPIIVGEIGWPTDGDRNANIEYARRFNQGFMSHISGGKGTPMRPVPIDAYLFSLIDEDEKSIDPGNFERHWGIFYFDGQVKYPLNLGTTNSGALIPAKGVQYLERKWCVMKPSAPLDDPQVAQSVSYACGLADCTSLGYGTSCGNLDARGNISYAFNSYFQKNNQFEKACEFPNVSVITKTDPTPTVGNCKFPIMIQPYYESAGRRFGCGQMPLPLVSVLLLLLLTVVQH >KJB25681 pep chromosome:Graimondii2_0_v6:4:53356941:53359326:1 gene:B456_004G204000 transcript:KJB25681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYDGNSNGEGGEVMPELKVRVEGDDNGVNGKRVTLRQRLLEECEAEERYLLVKEPAFSCIQRRSIWFWVKLGVLFTFIGLLAAVFFRWVGPFLMDKELMPIINWEMTTFSTPMLAVLVFASVALFPTILLPSTPSIWVAGMTFGYGFGFLLIISAAAVGVSLPFFIGSLFLHRIQVRTSS >KJB25683 pep chromosome:Graimondii2_0_v6:4:53356941:53359326:1 gene:B456_004G204000 transcript:KJB25683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYDGNSNGEGGEVMPELKVRVEGDDNGVNGKRVTLRQRLLEECEAEERYLLVKEPAFSCIQRRSIWFWVKLGVLFTFIGLLAAVFFRWVGPFLMDKELMPIINWEMTTFSTPMLAVLVFASVALFPTILLPSTPSIWVAGMTFGYGFGFLLIISAAAVGVSLPFFIGSLFLHRIQGWLEKYPKKAAILRAAGEGNWFHQFKAVTLIRISPFPYIIYNYCAVATHVKYGPYILGSLIGMVPEIFVAIYT >KJB25679 pep chromosome:Graimondii2_0_v6:4:53356866:53359450:1 gene:B456_004G204000 transcript:KJB25679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYDGNSNGEGGEVMPELKVRVEGDDNGVNGKRVTLRQRLLEECEAEERYLLVKEPAFSCIQRRSIWFWVKLGVLFTFIGLLAAVFFRWVGPFLMDKELMPIINWEMTTFSTPMLAVLVFASVALFPTILLPSTPSIWVAGMTFGYGFGFLLIISAAAVGVSLPFFIGSLFLHRIQGWLEKYPKKAAILRAAGEGNWFHQFKAVTLIRISPFPYIIYNYCAVATHVKYGPYILGSLIGMVPEIFVAIYTGILIQTLADASQEQHTLSAPQILLNVGGFLLTVVTTIVFTVYAKRQLKVLQGEELILQ >KJB25684 pep chromosome:Graimondii2_0_v6:4:53358084:53359326:1 gene:B456_004G204000 transcript:KJB25684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELMPIINWEMTTFSTPMLAVLVFASVALFPTILLPSTPSIWVAGMTFGYGFGFLLIISAAAVGVSLPFFIGSLFLHRIQGWLEKYPKKAAILRAAGEGNWFHQFKAVTLIRISPFPYIIYNYCAVATHVKYGPYILGSLIGMVPEIFVAIYTGILIQTLADASQEQHTLSAPQILLNVGGFLLTVVTTIVFTVYAKRQLKVLQGEELILQ >KJB25680 pep chromosome:Graimondii2_0_v6:4:53356941:53359326:1 gene:B456_004G204000 transcript:KJB25680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYDGNSNGEGGEVMPELKVRVEGDDNGVNGKRVTLRQRLLEECEAEERYLLVKEPAFSCIQRRSIWFWVKLGVLFTFIGLLAAVFFRWVGPFLMDKELMPIINWEMTTFSTPMLAVLVFASVALFPTILLPSTPSIWVAGMTFGYGFGFLLIISAAAVGVSLPFFIGSLFLHRIQGWLEKYPKKAAILRAAGEGNWFHQFKAVTLIRISPFPYIIYNYCAVATHVKYGPYILGSLIGMVPEIFVAIYTGILIQTLADASQEQHTLSAPQILLNGEELILQ >KJB25682 pep chromosome:Graimondii2_0_v6:4:53357212:53358453:1 gene:B456_004G204000 transcript:KJB25682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYDGNSNGEGGEVMPELKVRVEGDDNGVNGKRVTLRQRLLEECEAEERYLLVKEPAFSCIQRRSIWFWVKLGVLFTFIGLLAAVFFRWVGPFLMDKELMPIINWEMTTFSTPMLAVLVFASVALFPTILLPSTPSIWVAGMTFGYGFGFLLIISAAAVGVSLPFFIGSLFLHRIQVRTSS >KJB23306 pep chromosome:Graimondii2_0_v6:4:12458601:12461437:-1 gene:B456_004G091300 transcript:KJB23306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIEHTTVTTNGIKMHVASIGSGPIILFLHGFPELWYTWRHQLLSLSSLGYRCVAPDLRGYGDSDAPPSPESYTVFHIVGDLVGLLDALGVDKVFLVGHDWGAMIAWNFCLFRPDRIKALVNLSIPYHPRNPKVKTVDGYRALFGDDFYICRFQVPGEAEAHFAQMDTAKVMKKFLTTRDPNPPCIPRETGLKALPDPPALPSWLSEDEINYFATKFSQKGFTGGLNYYRAMNLNWELMAPWTGLQIQVPVKFIVGDLDITYHIPGVKEYLQNGGFKKNVPFLQELVVMEGVAHFINQEKPQEISMHIYDFIKKF >KJB24953 pep chromosome:Graimondii2_0_v6:4:46954792:46958105:-1 gene:B456_004G170000 transcript:KJB24953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAAVGEAFFSEMFEELLSKFNASDLINFARQKKIYAELQKLKNILQNIKAVVADAEEKQVMDRSAKIWLKELQDLAYQVKDILEEFDYEALRRKSTVKHSKVKMHCLRGLKWSGMFNVKMVSKIKGITTRLQQIASEKNSLRLGDNVGGKAHKARDHQRLPTTSVVNEIKVFGRGNEKEAILKSLLVEKTSEDDIHVPVISITGIAGIGKTTLAQLVYNDGKVKYFFDLRAWIHVSEDFGVTEITKAILQAVSPSISCTTDLNLFQLKLKEELSGKKLLLVLDDVRLQNYNQWSLLIRPFEVGNSGCKIIVTTQDQNISQITGPLVHDTPLKELADDDCLSILAWHALKSKNFKRHPHLKEIGQEIVKKCKGLPSAVKELASHLGAKQVYKEWEAVSRSKIWDLLEEKGGTVAALRWSYHHLSSHLKPCFAYCSLIPKGYEFNSDELVLLWIAEDFVQPKGHKQPEDLGHEYFSDLLSRLFFQKSNNNSSLFVMHDLIIDLAQSVAGDSCFNMEHELHIDEMARHVSFIPHRYDVSQRFEIFNERKHVRSFIALPTPCQRGGYCYLSSKVLYKLLPKLKCLRVLSLSGYFIGELSSSIGDLKLLRCLNLSRTAIRLLPRSVGNLHHLQMLILNQCKELTALPVEICGLSKLHHLDIRDTPKLQELPSGLGNLTSLRVLPKFIIGKAGGLALRELKDLSLQGQLSIIGLHNVVDIQDARIANLRQKQGLKKLALEWSNDFKNRDNQMQEQVLASLNPQKDLQWLSISNYGATKFPFWVGNPSFAKIEQLDFFDCINCKSLPSLGRLRSLKSLNIRGMHAMTKLGPEFYGDGFPSLEILRFENMTEWKEWISCVGSVEGFPCLRELILHNCPKLAGTLPRTLSSLVKLEVQNCPQLMNSPLSSTCLRGLTVEDSTNVILRRMVGQNTIIKLKIKGISGLTCITEELSKALMRLEVLEIEGCSALTCLWQNGSELENLPWLNSLVVKNCPELVSLVGEKQGQGLCLLSSLKDLRIESCQKFVSLPATGLPHTLKCLTILDCKALESLPDMNDSNSNCCLLEELKMKSRVPSRWTSAT >KJB22955 pep chromosome:Graimondii2_0_v6:4:8619749:8623426:-1 gene:B456_004G075600 transcript:KJB22955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEAHNNNNNNNNNNNNNNNNNNNNNNNNNNIIPKMSMRDSVSYNSMIDGYIKKGMIDLARELFDVIPLELVMFMLPKDTTKPSLSTPLYTDEKLVFPKILSLPNKKKKLGLSEEEEEEEEEEEEEEDEEEEEEEEEEEEEEEEEEEGTTEKIEGKRKQSYDINLT >KJB21475 pep chromosome:Graimondii2_0_v6:4:12132976:12133825:1 gene:B456_004G089900 transcript:KJB21475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGEALSAYRALLRATRKSFAGDTLMLNASAVEVRQKFEANRHVTSEPEIQKLLEEAREASHFISTMIVQAKLNERGGYEVKPSKEHAGATLEIPSEEIIRKSASQSKQ >KJB21476 pep chromosome:Graimondii2_0_v6:4:12133009:12133825:1 gene:B456_004G089900 transcript:KJB21476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGEALSAYRALLRATRKSFAGDTLMLNASAVEVRQKFEANRHVTSEPEIQKLLEEAREASHFISTMIVQAKLNERGGYEVKPSKEHAGATLEIPSEEIIRKSASQSKQ >KJB21473 pep chromosome:Graimondii2_0_v6:4:12132944:12134086:1 gene:B456_004G089900 transcript:KJB21473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGEALSAYRALLRATRKSFAGDTLMLNASAVEVRQKFEANRHVTSEPEIQKLLEEAREASHFISTMIVQAKLNERGGYEVKPSKEHAGATLEIPSEEIIRKSASQSKQ >KJB21474 pep chromosome:Graimondii2_0_v6:4:12132976:12133825:1 gene:B456_004G089900 transcript:KJB21474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGEALSAYRALLRATRKSFAGDTLMLNASAVEVRQKFEANRHVTSEPEIQKLLEEAREASHFISTMIVQAKLNERGGYGNLSFCSCFPFNFTCTSCVNASYSMITTCSMHCLFDLL >KJB25717 pep chromosome:Graimondii2_0_v6:4:54162308:54164956:-1 gene:B456_004G209200 transcript:KJB25717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQKAEPDWRIILLRYFNPVGAHESGKIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAIRDYIHVMDLADGHTAALRKLFTTESIGCVAYNLGTECGTSVLEMVAAFEKASGKKIPIKLCPRRPGDATAVYASTEKAQRELGWKAKYGVAEMCRDQWKWASSNPWGYQSKKP >KJB25722 pep chromosome:Graimondii2_0_v6:4:54163304:54164864:-1 gene:B456_004G209200 transcript:KJB25722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASQKTILVTGGAGFIGTHTVVQLLNEGFKVSIIDNLDNSVIEAIDRVKELVGPELSKKLQFNLGDLRNRDDLDKLFSKTKFDAVIHFAGLKAVGESVGNPRRYFDNNLIGTINLYEIMAKYNCKKMVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQKAEPDWRIILLRYFNPVGAHESGKIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAVCVLKAKFPERISVIVLIV >KJB25719 pep chromosome:Graimondii2_0_v6:4:54162636:54164864:-1 gene:B456_004G209200 transcript:KJB25719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASQKTILVTGGAGFIGTHTVVQLLNEGFKVSIIDNLDNSVIEAIDRVKELVGPELSKKLQFNLGDLRNRDDLDKLFSKTKFDAVIHFAGLKAVGESVGNPRRYFDNNLIGTINLYEIMAKYNCKKMVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQKAEPDWRIILLRYFNPVGAHESGKIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAIRDYIHVMDLADGHTAALRKLFTTESIGCVAYNLGTECGTSVLEMVAAFEKASGKKIPIKLCPRRPGDATAVYASTEKAQRELGWKYG >KJB25721 pep chromosome:Graimondii2_0_v6:4:54162703:54164864:-1 gene:B456_004G209200 transcript:KJB25721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASQKTILVTGGAGFIGTHTVVQLLNEGFKVSIIDNLDNSVIEAIDRVKELVGPELSKKLQFNLGDLRNRDDLDKLFSKTKFDAVIHFAGLKAVGESVGNPRRYFDNNLIGTINLYEIMAKYNCKKMVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQKAEPDWRIILLRYFNPVGAHESGKIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAIRDYIHVMDLADGHTAALRKLFTTESIGCVAYNLGTECGTSVLEMVAAFEKASGKKIPIKLCPRRPGDATAVYASTEKAQRELGWKYAYDSHLFPNALFCWNYMDPLLHIPIIYPYL >KJB25714 pep chromosome:Graimondii2_0_v6:4:54162235:54165390:-1 gene:B456_004G209200 transcript:KJB25714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASQKTILVTGGAGFIGTHTVVQLLNEGFKVSIIDNLDNSVIEAIDRVKELVGPELSKKLQFNLGDLRNRDDLDKLFSKTKFDAVIHFAGLKAVGESVGNPRRYFDNNLIGTINLYEIMAKYNCKKMVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQKAEPDWRIILLRYFNPVGAHESGKIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAIRDYIHVMDLADGHTAALRKLFTTESIGCVAYNLGTECGTSVLEMVAAFEKASGKKIPIKLCPRRPGDATAVYASTEKAQRELGWKAKYGVAEMCRDQWKWASSNPWGYQSKKP >KJB25715 pep chromosome:Graimondii2_0_v6:4:54162308:54164956:-1 gene:B456_004G209200 transcript:KJB25715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQKAEPDWRIILLRYFNPVGAHESGKIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAIRDYIHVMDLADGHTAALRKLFTTESIGCVAYNLGTECGTSVLEMVAAFEKASGKKIPIKLCPRRPGDATAVYASTEKAQRELGWKAKYGVAEMCRDQWKWASSNPWGYQSKKP >KJB25718 pep chromosome:Graimondii2_0_v6:4:54163304:54164864:-1 gene:B456_004G209200 transcript:KJB25718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASQKTILVTGGAGFIGTHTVVQLLNEGFKVSIIDNLDNSVIEAIDRVKELVGPELSKKLQFNLGDLRNRDDLDKLFSKTKFDAVIHFAGLKAVGESVGNPRRYFDNNLIGTINLYEIMAKYNCKKMVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQKAEPDWRIILLRYFNPVGAHESGKIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAVCVLKAKFPERISVIVLIV >KJB25716 pep chromosome:Graimondii2_0_v6:4:54162308:54164024:-1 gene:B456_004G209200 transcript:KJB25716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQKAEPDWRIILLRYFNPVGAHESGKIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAIRDYIHVMDLADGHTAALRKLFTTESIGCVAYNLGTECGTSVLEMVAAFEKASGKKIPIKLCPRRPGDATAVYASTEKAQRELGWKYAYDSHLFPNALFCWNYMDPLLHIPIIYPYL >KJB25720 pep chromosome:Graimondii2_0_v6:4:54162308:54164956:-1 gene:B456_004G209200 transcript:KJB25720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYNCKKMVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQKAEPDWRIILLRYFNPVGAHESGKIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAIRDYIHVMDLADGHTAALRKLFTTESIGCVAYNLGTECGTSVLEMVAAFEKASGKKIPIKLCPRRPGDATAVYASTEKAQRELGWKAKYGVAEMCRDQWKWASSNPWGYQSKKP >KJB21758 pep chromosome:Graimondii2_0_v6:4:883061:884940:1 gene:B456_004G012300 transcript:KJB21758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKMTIAYVYCSHCLHYSKAAIEANFFSCSSCGKLVSEVNVKGNPDSVVKKKSKFPRFKRTKKNSMKIKAL >KJB21757 pep chromosome:Graimondii2_0_v6:4:883061:883568:1 gene:B456_004G012300 transcript:KJB21757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKMTIAYVYCSHCLHYSKAAIEANFFSCSSCGKLVSEVNVKGNPDSVVKKKSKFPRFKRTKKNSMKIKGELLLGSDEKKD >KJB22146 pep chromosome:Graimondii2_0_v6:4:2592203:2597345:1 gene:B456_004G031700 transcript:KJB22146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGALRRKVAIGGSSASVLGKAIRPGISASRVSVNVGEEILLLQPRGIAHVRNFSHLILPGCSVGLAKTRDVFSSIQSETIMQKSCRAFSSGGGDLVDVVVPFMGESISDGTLATFLKKPGDSVAADEPIAQIETDKVTIDVVSPQAGVIQEYVAKEGDTVEAGAKIAVISKSGEGVAPAAPAEKKSEKAASKPSPPAESVKEDKPKAKVEASPAAEKPKPRSSPPPKQTATEPVLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGFMSGFVKAAVSALQHQPIVNAVIDGDDIIYRDYVDISIAVGTPKGLVVPVVRDADKMNFAEIEKTINNLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNVLPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >KJB22147 pep chromosome:Graimondii2_0_v6:4:2592146:2597364:1 gene:B456_004G031700 transcript:KJB22147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGALRRKVAIGGSSASVLGKAIRPGISASRVSVNVGEEILLLQPRGIAHVRNFSHLILPGCSVGLAKTRDVFSSIQSETIMQKSCRAFSSGGGDLVDVVVPFMGESISDGTLATFLKKPGDSVAADEPIAQIETDKVTIDVVSPQAGVIQEYVAKEGDTVEAGAKIAVISKSGEGVAPAAPAEKKSEKAASKPSPPAESVKEDKPKAKVEASPAAEKPKPRSSPPPKQTATEPVLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGFMSGFVKAAVSALQHQPIVNAVIDGDDIIYRDYVDISIAVGTPKGLVVPVVRDADKMNFAEIEKTINNLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNVLPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >KJB22143 pep chromosome:Graimondii2_0_v6:4:2591940:2597345:1 gene:B456_004G031700 transcript:KJB22143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGALRRKVAIGGSSASVLGKAIRPGISASRVSVNVGEEILLLQPRGIAHVRNFSHLILPGCSVGLAKTRDVFSSIQSETIMQKSCRAFSSGGGDLVDVVVPFMGESISDGTLATFLKTEPGDSVAADEPIAQIETDKVTIDVVSPQAGVIQEYVAKEGDTVEAGAKIAVISKSGEGVAPAAPAEKKSEKAASKPSPPAESVKEDKPKAKVEASPAAEKPKPRSSPPPKQTATEPVLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGFMSGFVKAAVSALQHQPIVNAVIDGDDIIYRDYVDISIAVGTPKGLVVPVVRDADKMNFAEIEKTINNLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNVLPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >KJB22149 pep chromosome:Graimondii2_0_v6:4:2593026:2597364:1 gene:B456_004G031700 transcript:KJB22149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRDVFSSIQSETIMQKSCRAFSSGGGDLVDVVVPFMGESISDGTLATFLKKPGDSVAADEPIAQIETDKVTIDVVSPQAGVIQEYVAKEGDTVEAGAKIAVISKSGEGVAPAAPAEKKSEKAASKPSPPAESVKEDKPKAKVEASPAAEKPKPRSSPPPKQTATEPVLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGFMSGFVKAAVSALQHQPIVNAVIDGDDIIYRDYVDISIAVGTPKGLVVPVVRDADKMNFAEIEKTINNLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNVLPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >KJB22144 pep chromosome:Graimondii2_0_v6:4:2591940:2597345:1 gene:B456_004G031700 transcript:KJB22144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGALRRKVAIGGSSASVLGKAIRPGISASRVSVNVGEEILLLQPRGIAHVRNFSHLILPGCSVGLAKTRDVFSSIQSETIMQKSCRAFSSGGGDLVDVVVPFMGESISDGTLATFLKKPGDSVAADEPIAQIETDKVTIDVVSPQAGVIQEYVAKEGDTVEAGAKIAVISKSGEGVAPAAPAEKKSEKAASKPSPPAESVKEDKPKAKVEASPAAEKPKPRSSPPPKQTATEPVLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGFMSGFVKVYNDLFLKGSHRHCWPAFTIALVSRFFITVTCFLFQAAVSALQHQPIVNAVIDGDDIIYRDYVDISIAVGTPKGLVVPVVRDADKMNFAEIEKTINNLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNVLPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >KJB22145 pep chromosome:Graimondii2_0_v6:4:2591940:2597364:1 gene:B456_004G031700 transcript:KJB22145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGALRRKVAIGGSSASVLGKAIRPGISASRVSVNVGEEILLLQPRGIAHVRNFSHLILPGCSVGLAKTRDVFSSIQSETIMQKSCRAFSSGGGDLVDVVVPFMGESISDGTLATFLKKPGDSVAADEPIAQIETDKVTIDVVSPQAGVIQEYVAKEGDTVEAGAKIAVISKSGEGVAPAAPAEKKSEKAASKPSPPAESVKEDKPKAKVEASPAAEKPKPRSSPPPKQTATEPVLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGFMSGFVKAAVSALQHQPIVNAVIDGDDIIYRDYVDISIAVGTPKGLVVPVVRDADKMNFAEIEKTINNLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNVLPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >KJB22148 pep chromosome:Graimondii2_0_v6:4:2593442:2597345:1 gene:B456_004G031700 transcript:KJB22148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESISDGTLATFLKKPGDSVAADEPIAQIETDKVTIDVVSPQAGVIQEYVAKEGDTVEAGAKIAVISKSGEGVAPAAPAEKKSEKAASKPSPPAESVKEDKPKAKVEASPAAEKPKPRSSPPPKQTATEPVLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGFMSGFVKAAVSALQHQPIVNAVIDGDDIIYRDYVDISIAVGTPKGLVVPVVRDADKMNFAEIEKTINNLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNVLPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >KJB21840 pep chromosome:Graimondii2_0_v6:4:1218864:1224059:-1 gene:B456_004G017300 transcript:KJB21840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVDSNSHGNLDEQISQLMQCKPLAEQEVRVLCDKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQV >KJB21842 pep chromosome:Graimondii2_0_v6:4:1219904:1223883:-1 gene:B456_004G017300 transcript:KJB21842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVDSNSHGNLDEQISQLMQCKPLAEQEVRVLCDKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMEGYNWGHVSTYPI >KJB21839 pep chromosome:Graimondii2_0_v6:4:1218864:1222033:-1 gene:B456_004G017300 transcript:KJB21839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYHYVSFFFICLFLIFSFCCKLSVQCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCQGHTFIQFEPAPRRGEPDVTRRTPDYFL >KJB21843 pep chromosome:Graimondii2_0_v6:4:1218864:1224059:-1 gene:B456_004G017300 transcript:KJB21843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVDSNSHGNLDEQISQLMQCKPLAEQEVRVLCDKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCQGHTFIQFEPAPRRGEPDVTRRTPDYFL >KJB21841 pep chromosome:Graimondii2_0_v6:4:1220072:1223883:-1 gene:B456_004G017300 transcript:KJB21841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVDSNSHGNLDEQISQLMQCKPLAEQEVRVLCDKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQVCIFFFFLLSFF >KJB21838 pep chromosome:Graimondii2_0_v6:4:1218807:1224132:-1 gene:B456_004G017300 transcript:KJB21838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVDSNSHGNLDEQISQLMQCKPLAEQEVRVLCDKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCQGHTFIQFEPAPRRGEPDVTRRTPDYFL >KJB26966 pep chromosome:Graimondii2_0_v6:4:60398655:60401827:1 gene:B456_004G269100 transcript:KJB26966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPFKADILKGKVGLITGGGSGIGFEISTQLGKHGASIAIMGRRKHVLQAAVSALQSLGIHAVGFEGDVRKPEDAKRVIESTFKHFGHIDILVNAAAGNFLVPAEDLSTNGFRTVMDIDSVGTFTMCREALEYLKKGGRGRNSPSGGSILNISATLHYTASWYQIHVSAAKAAVDSITRNLALEWGTDYDIRVNGIAPGPIADTPGLSKLAPDEITIKAREHLPSYKIGDKWDIAMSAIYLVSDAGKFVNGTTLVVDGGHWLRNPRRLPKEEVKQLSRVVEKRSRETPVGVPSSKL >KJB22375 pep chromosome:Graimondii2_0_v6:4:3882547:3883113:-1 gene:B456_004G044300 transcript:KJB22375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVFKPFDEAEETIKVATPNGGIMELSPPISAACITKQFPGMAIYRRTPHTLLSQQPLFNNEKLRAGQLYYLLPLNNNNNNSNDLVSTSSSPYRMSFDHQQRVLLKRTDTEEAATPRVWKVKLVISPDKLAEIMAQEARTEALIESVRAVAKCGDGVSSSSVAKSDQWCVSCGGKGSLRNYAQDRW >KJB27123 pep chromosome:Graimondii2_0_v6:4:61165146:61169456:-1 gene:B456_004G279300 transcript:KJB27123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAVTSAFFPVTSSPDSSDSKNKKLGSIKSKPSVSSGSLQVKANAQAPPKINGTVAVTTSVEGSKNDDGASSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMVIDPFGIGKIVQDGLVFSQNFSIRSYEIGADQTASIETLMNHLQETAINHCRSAGLLGEGFGATPEMCKKNLIWVVTRMQVVVDRYPTWGDVVQVDTWVSASGKNGMRRDWLVSNSETGEILTRATSVWVMMNKLTRRLSKIPEEVRGEIEPFFMNSDPVLAEDSQKLVKLDDSTAEHVCKGLTPKWSDLDVNQHVNNVKYIGWILESAPLPILESHELSAMTLEYRRECGRDSVLQSLTTVSDSNTENAVNVGEFNCQHLLRLEDGAEIVRGRTRWRPKHAESSANMDQITAERT >KJB27121 pep chromosome:Graimondii2_0_v6:4:61165690:61168374:-1 gene:B456_004G279300 transcript:KJB27121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAVTSAFFPVTSSPDSSDSKNKKLGSIKSKPSVSSGSLQVKANAQAPPKINGTVAVTTSVEGSKNDDGASSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMVIDPFGIGKIVQDGLVFSQNFSIRSYEIGADQTASIETLMNHLQETAINHCRSAGLLGEGFGATPEMCKKNLIWVVTRMQVVVDRYPTWGDVVQVDTWVSASGKNGMRRDWLVSNSETGEILTRATSVWVMMNKLTRRLSKIPEEVRGEIEPFFMNSDPVLAEDSQKLVKLDDSTAEHVCKGLTPKWSDLDVNQHVNNVKYIGWILESAPLPILESHELSAMTLEYRRECGRDSVLQSLTTVSDSNTENAVNVGEFNCQHLLRLEDGAEIVRGRTRWRPKHAESSANMDQITAERT >KJB27122 pep chromosome:Graimondii2_0_v6:4:61165146:61169546:-1 gene:B456_004G279300 transcript:KJB27122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAVTSAFFPVTSSPDSSDSKNKKLGSIKSKPSVSSGSLQVKANAQAPPKINGTVAVTTSVEGSKNDDGASSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMVIDPFGIGKIVQDGLVFSQNFSIRSYEIGADQTASIETLMNHLQETAINHCRSAGLLGEGFGATPEMCKKNLIWVVTRMQVVVDRYPTWGDVVQVDTWVSASGKNGMRRDWLVSNSETGEILTRATSVWVMMNKLTRRLSKIPEEVRGEIEPFFMNSDPVLAEDSQKLVKLDDSTAEHVCKGLTPKWSDLDVNQHVNNVKYIGWILESAPLPILESHELSAMTLEYRRECGRDSVLQSLTTVSDSNTENAVNVGEFNCQHLLRLEDGAEIVRGRTRWRPKHAESSANMDQITAERT >KJB27124 pep chromosome:Graimondii2_0_v6:4:61166108:61169542:-1 gene:B456_004G279300 transcript:KJB27124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAVTSAFFPVTSSPDSSDSKNKKLGSIKSKPSVSSGSLQVKANAQAPPKINGTVAVTTSVEGSKNDDGASSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMVIDPFGIGKIVQDGLVFSQNFSIRSYEIGADQTASIETLMNHLQETAINHCRSAGLLGEGFGATPEMCKKNLIWVVTRMQVVVDRYPTWGDVVQVDTWVSASGKNGMRRDWLVSNSETGEILTRATSVWVMMNKLTRRLSKIPEEVRGEIEPFFMNSDPVLAEDSQKLVKLDDSTAEHVCKGLTPKWSDLDVNQHVNNVKYIGWILEVDSLRLYFKDFLLNMLTLTSSYLKITIKRKS >KJB22336 pep chromosome:Graimondii2_0_v6:4:3629303:3631593:-1 gene:B456_004G042000 transcript:KJB22336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVRPVVETGYENLLLVRLLVESRLPSIRKSSVAEGLTVEDILENWSKIKPVIMEEWDENRDALIDLFGKVRDEWMDNDLATWIGANRFYPGVPDALKFSSSTIYIVTTKQSRFADALLRELAGVTIPPERIYGLGTGPKVKVLKQLQLRPEHQGMKLHFVEDRLATLKNVIKEPELDGWNLYLGDWGYNTQKEREEAANISRIQLLQLSDFSKKLK >KJB22340 pep chromosome:Graimondii2_0_v6:4:3630176:3633586:-1 gene:B456_004G042000 transcript:KJB22340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLYALDFDGVLCDSCGESSISAVKAAKVRWPALFDGVDSVLEDWIVDQMHIVRPVVETGYENLLLVRLLVESRLPSIRKSSVAEGLTVEDILENWSKIKPVIMEEWDENRDALIDLFGKVRDEWMDNDLATWIGANRFYPGVPDALKFSSSTIYIVTTKQSRFADALLRELAGVTIPPERIYGLGTGPKVKVLKQLQLRPEHQGMKLQYVHYISFASLFSDYNDISISIT >KJB22338 pep chromosome:Graimondii2_0_v6:4:3629165:3633652:-1 gene:B456_004G042000 transcript:KJB22338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLYALDFDGVLCDSCGESSISAVKAAKVRWPALFDGVDSVLEDWIVDQMHIVRPVVETGYENLLLVRLLVESRLPSIRKSSVAEGLTVEDILENWSKIKPVIMEEWDENRDALIDLFGKVRDEWMDNDLATWIGANRFYPGVPDALKFSSSTIYIVTTKQSRFADALLRELAGVTIPPERIYGLGTGFVEDRLATLKNVIKEPELDGWNLYLGDWGYNTQKEREEAANISRIQLLQLSDFSKKLK >KJB22334 pep chromosome:Graimondii2_0_v6:4:3629127:3633793:-1 gene:B456_004G042000 transcript:KJB22334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLYALDFDGVLCDSCGESSISAVKAAKVRWPALFDGVDSVLEDWIVDQMHIVRPVVETGYENLLLVRLLVESRLPSIRKSSVAEGLTVEDILENWSKIKPVIMEEWDENRDALIDLFGKVRDEWMDNDLATWIGANRFYPGVPDALKFSSSTIYIVTTKQSRFADALLRELAGVTIPPERIYGLGTGPKVKVLKQLQLRPEHQGMKLHFVEDRLATLKNVIKEPELDGWNLYLGDWGYNTQKEREEAANISRIQLLQLSDFSKKLK >KJB22337 pep chromosome:Graimondii2_0_v6:4:3629165:3631848:-1 gene:B456_004G042000 transcript:KJB22337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEWDENRDALIDLFGKVRDEWMDNDLATWIGANRFYPGVPDALKFSSSTIYIVTTKQSRFADALLRELAGVTIPPERIYGLGTGPKVKVLKQLQLRPEHQGMKLHFVEDRLATLKNVIKEPELDGWNLYLGDWGYNTQKEREEAANISRIQLLQLSDFSKKLK >KJB22341 pep chromosome:Graimondii2_0_v6:4:3629165:3633652:-1 gene:B456_004G042000 transcript:KJB22341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLYALDFDGVLCDSCGESSISAVKAAKVRWPALFDGVDSVLEDWIVDQMHIVRPVVETGYENLLLVRLLVESRLPSIRKSSSRFADALLRELAGVTIPPERIYGLGTGPKVKVLKQLQLRPEHQGMKLHFVEDRLATLKNVIKEPELDGWNLYLGDWGYNTQKEREEAANISRIQLLQLSDFSKKLK >KJB22339 pep chromosome:Graimondii2_0_v6:4:3629303:3633558:-1 gene:B456_004G042000 transcript:KJB22339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSAIVVEKVPSLLLRPAAKVRWPALFDGVDSVLEDWIVDQMHIVRPVVETGYENLLLVRLLVESRLPSIRKSSVAEGLTVEDILENWSKIKPVIMEEWDENRDALIDLFGKVRDEWMDNDLATWIGANRFYPGVPDALKFSSSTIYIVTTKQSRFADALLRELAGVTIPPERIYGLGTGPKVKVLKQLQLRPEHQGMKLHFVEDRLATLKNVIKEPELDGWNLYLGDWGYNTQKEREEAANISRIQLLQLSDFSKKLK >KJB22335 pep chromosome:Graimondii2_0_v6:4:3629165:3633363:-1 gene:B456_004G042000 transcript:KJB22335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEWDENRDALIDLFGKVRDEWMDNDLATWIGANRFYPGVPDALKFSSSTIYIVTTKQSRFADALLRELAGVTIPPERIYGLGTGPKVKVLKQLQLRPEHQGMKLHFVEDRLATLKNVIKEPELDGWNLYLGDWGYNTQKEREEAANISRIQLLQLSDFSKKLK >KJB23529 pep chromosome:Graimondii2_0_v6:4:19629564:19632121:1 gene:B456_004G103200 transcript:KJB23529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWIFHEIEKRSLLSPSEQPYYLPQSPIPLLPPPQNLQSDSFNLNNKVSPSILLIIIILAIIFFVSGLLHLLVRFLMRPPNREPEDIDNVTALQGQLQQLFHLHDAGVDQSFIDTLPVFHYKSIIGVKNPFDCAVCLCEFEPEDKLRLLPKCSHAFHMECIDTWLLSHSTCPLCRASLLPDFSPNDSCSPIVLVLESGSESSREIVTDREGVVGRSSSLVRSSSHLGLSGDTELGSSQRKSCEILEKDEVNPTVVQSGEKVVPVRLGKFRNVDGGEGSSNNNVDDRRCFSMGSFAYVMDENSLLQVPIRTPAKKPSSKKKTCLPLTPGHRPAISECDCESRRGFNGFEITRQFETNGSSSTSDNNGKAIGKNNKESFSISKIWLRGKKEKQNFTEDSSRRAFSFRFPVRQNAAADDCNSKVKNVTDDAKDTISEIDSSRWGNGVSELGFDEENQSSYSLDSQAITPSFARRTLLWLAGRQNKVVHSSFTPKL >KJB27290 pep chromosome:Graimondii2_0_v6:4:61828352:61832542:1 gene:B456_004G288800 transcript:KJB27290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEVEAGTGNIDAFYAIVREHPYMLEHIDQIPFIDTPLHIAANEGQINFAMEMMNLKPSSARKLNQDGFSPMRLAFRNGHPKLMLRVLLKMDKDLVRVTVGNSKLLFQFLEACPECMEDVTVRNETALHLASKNDHIGALNLLIGWLQRNCRGGAFYLSEKVVNWRDGYDNTVLHITAKKEQHEALKLLLYTNVGLHVTAKNSEGLMAREIIENVERKGLNMSGEDDDTADKIKRIKKKLDTLELILIVLIRARNGLSENMINATLVTVALVITAVDQSSFSPPRGVWQVDNNSIPTTTSNVTTTALQIFDDNYNNSRFKHLLGQESRKTEGSNNQHSNSQ >KJB25400 pep chromosome:Graimondii2_0_v6:4:50532779:50533584:1 gene:B456_004G189900 transcript:KJB25400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWGVFVMKIIKQQNDMKRWINEYKPQPSTHSDDHIFFMQNMKGWGILDSPPAKNTHPQLPNRITYRFMSLTRVLLAARKENLFSWANNLTIY >KJB25116 pep chromosome:Graimondii2_0_v6:4:48354490:48356654:-1 gene:B456_004G177200 transcript:KJB25116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSPEAAKFVLVTKSHLFKPTFPASKERMLGKQAIFFSQGQYHAKLRKLVLRAFVPEAIKSFVSNIESIAKDSLQSLEGRLITTFQEMKTYTFNVALLSIFGEDEVKYREDLKRCYYILEKGYNSMPINIPGTLFNKSMKARKELAQILAKIISTRRETKQEYNDLLGSFMGDKEGLTDEQIADNIIGVIFAARDTTASVLTWIIKYLGENPSVLQAVTDEQEAIMKGKEKCGEEQTLSWADTKKMPITSRVIQETLRVASILSFTFREAVADVEYEGYLIPKGWKVLPLFRNIHHSPEIFPDPEKFDPSRFEVVPKPNTFMPFGNGTHSCPGNELAKLEIMVLLHHLTTKYRWSMVGTNSGIQYGPFALPQNGLPIRLIRKS >KJB25117 pep chromosome:Graimondii2_0_v6:4:48354337:48356948:-1 gene:B456_004G177200 transcript:KJB25117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHNFFTIQLPSQDFHPIYNLLNMAFYFMIPLFASILFIFLFNFLLNLFKSKKSRDLPLPPGTLGWPYIGETFQLYSQNPNVFFASKQKRYGSIFKTHILGCPCVMISSPEAAKFVLVTKSHLFKPTFPASKERMLGKQAIFFSQGQYHAKLRKLVLRAFVPEAIKSFVSNIESIAKDSLQSLEGRLITTFQEMKTYTFNVALLSIFGEDEVKYREDLKRCYYILEKGYNSMPINIPGTLFNKSMKARKELAQILAKIISTRRETKQEYNDLLGSFMGDKEGLTDEQIADNIIGVIFAARDTTASVLTWIIKYLGENPSVLQAVTDEQEAIMKGKEKCGEEQTLSWADTKKMPITSRVIQETLRVASILSFTFREAVADVEYEGYLIPKGWKVLPLFRNIHHSPEIFPDPEKFDPSRFEVVYGGYKQWNSVWSFCSSPEWFAHQINQKIIGMHSIS >KJB25115 pep chromosome:Graimondii2_0_v6:4:48354716:48356948:-1 gene:B456_004G177200 transcript:KJB25115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLLHLFSSHNFFTIQLPSQDFHPIYNLLNMAFYFMIPLFASILFIFLFNFLLNLFKSKKSRDLPLPPGTLGWPYIGETFQLYSQNPNVFFASKQKRYGSIFKTHILGCPCVMISSPEAAKFVLVTKSHLFKPTFPASKERMLGKQAIFFSQGQYHAKLRKLVLRAFVPEAIKSFVSNIESIAKDSLQSLEGRLITTFQEMKTYTFNVALLSIFGEDEVKYREDLKRCYYILEKGYNSMPINIPGTLFNKSMKARKELAQILAKIISTRRETKQEYNDLLGSFMGDKEGLTDEQIADNIIGVIFAARDTTASVLTWIIKYLGENPSVLQAVTDEQEAIMKGKEKCGEEQTLSWADTKKMPITSRVIQETLRVASILSFTFREAVADVEYEGYLIPKGWKVLPLFRNIHHSPEIFPDPEKFDPSRFEVVPKPNTFMPFGNGTHSCPGNELAKLEIMVLLHHLTTKYRWSMVGTNSGIQYGPFALPQNGLPIRLIRKS >KJB26143 pep chromosome:Graimondii2_0_v6:4:56159056:56162754:1 gene:B456_004G227300 transcript:KJB26143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSGQGEEYKWESEEVCLCSTRVHRVAFLSSFLHTLRRKRKMTKCYPTVSEEYQNAVQKAKRKLRALIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKQPAELAHAANNGLDIAVRLLEPIKEQFPILSYADFYQVAGVVAVEITGGPEIPFHPGRVDKPYPPPEGRLPNATEGADHLRQVFSHQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLTGEKDGLLQLPTDKVLLSDPVFRPLADKYAADEDAFFADYTEAHLKLSELGFADA >KJB26147 pep chromosome:Graimondii2_0_v6:4:56159922:56162754:1 gene:B456_004G227300 transcript:KJB26147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQNAVQKAKRKLRALIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKQPAELAHAANNGLDIAVRLLEPIKEQFPILSYADFYQVAGVVAVEITGGPEIPFHPGRVDKPYPPPEGRLPNATEGADHLRQVFSHQMGLSDQDIVALSGGHTLVCATRRGLDLRDHGLPTLLSLTTLTSRSS >KJB26145 pep chromosome:Graimondii2_0_v6:4:56159722:56162801:1 gene:B456_004G227300 transcript:KJB26145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQNAVQKAKRKLRALIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKQPAELAHAANNGLDIAVRLLEPIKEQFPILSYADFYQVAGVVAVEITGGPEIPFHPGRVDKPYPPPEGRLPNATEGADHLRQVFSHQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLTGEKDGLLQLPTDKVLLSDPVFRPLADKYAADEDAFFADYTEAHLKLSELGFADA >KJB26148 pep chromosome:Graimondii2_0_v6:4:56159922:56162754:1 gene:B456_004G227300 transcript:KJB26148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQNAVQKAKRKLRALIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKQPAELAHAANNGLDIAVRLLEPIKEQFPILSYADFYQVAGVVAVEITGGPEIPFHPGRVDKPYPPPEGRLPNATEGADHLRQVFSHQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLTGEKDGLLQLPTDKVLLSDPVFRPLADKYAAVRNSFLLTSFLSITTNQDFYTTTN >KJB26144 pep chromosome:Graimondii2_0_v6:4:56159056:56162754:1 gene:B456_004G227300 transcript:KJB26144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSGQGEEYKWESEEVCLCSTRVHRVAFLSSFLHTLRRKRKMTKCYPTVSEEYQNAVQKAKRKLRALIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKQPAELAHAANNGLDIAVRLLEPIKEQFPILSYADFYQVAGVVAVEITGGPEIPFHPGRVDKPYPPPEGRLPNATEGADHLRQVFSHQMGLSDQDIVALSGGHTLVCATRRGLDLRDHGLPTLLSLTTLTSRSS >KJB26146 pep chromosome:Graimondii2_0_v6:4:56159922:56160970:1 gene:B456_004G227300 transcript:KJB26146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQNAVQKAKRKLRALIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKQPAELAHAANNGLDIAVRLLEPIKEQFPILSYADFYQVAGVVAVEITGGPEIPFHPGRVVSLNL >KJB23533 pep chromosome:Graimondii2_0_v6:4:19825924:19828845:1 gene:B456_004G103500 transcript:KJB23533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha,alpha-trehalose-phosphate synthase [UDP-forming] 11 [Source:Projected from Arabidopsis thaliana (AT2G18700) UniProtKB/Swiss-Prot;Acc:Q9ZV48] MVSSCCLDQLNLLSTDDFRVMNRIPRVMKVSGVISDFGEDQQAMPRKRVIIVSNQLPLRAWRDSVSNEWCFEFDENDLLALLRDAFPPDTEVRYVGTLKADVDTADQEEVAQVLHDKFSCETIFLPVDMRNEFYHGFCKHYLWPLFHYMMPMTGNDGGRFDRSQWMAYVSANRIFADKVLEVTDRDDEDEDHVWVHDYHLMALPTFLRRRSNRVKLGFFLHSPFPSSDMYKTLPVRDEILRALLNCDLIGFHTFDYARHFLSSCRRILGLHSESNRGHIALEYYGRTVTIKILPAGIHMGQLESMMSEESTLRMAKELKHKYEGKIMMVGVDDLDLFKGIPQKFSAMGELLETNQELRGKVVLVQITNPARSLGRDVQQLLDEANSIAKEVNNKYGEPGYQPIVFLKGPVTTQEKLAYYAVAEFCVVTPVRDGMNLVPYKYTVCRQGCPALDRALGVDENSPPKNSVIIVSEFIGCSPSLSGAIRVNPWNIDEMANAMYRAIDLSETEKHLRHEKHYKYISSHDVAYWARSFDQDLVRACRDHYHKRYWRVGLGLAFRLVALEPNFRKLLGDTLNSAYKITSSRLILLDYDGTMMPPTSVNKGPSHEVISVLNRLCDDPKNIVFIVSGRDRDTLSKWFSSCEKLGIAAEHGYFTRWTRDSAWETYRSVDLSWKEVVEPIMQLYMEATDGSCIENKESAVVWHHQDADPDFGLFQAKELHDHLENVLANEPVVVKRGQHIVEVKPQVQSVFRSLYQ >KJB23534 pep chromosome:Graimondii2_0_v6:4:19825924:19828845:1 gene:B456_004G103500 transcript:KJB23534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha,alpha-trehalose-phosphate synthase [UDP-forming] 11 [Source:Projected from Arabidopsis thaliana (AT2G18700) UniProtKB/Swiss-Prot;Acc:Q9ZV48] MVSSCCLDQLNLLSTDDFRVMNRIPRVMKVSGVISDFGEDQQAMPRKRVIIVSNQLPLRAWRDSVSNEWCFEFDENDLLALLRDAFPPDTEVRYVGTLKADVDTADQEEVAQVLHDKFSCETIFLPVDMRNEFYHGFCKHYLWPLFHYMMPMTGNDGGRFDRSQWMAYVSANRIFADKVLEVTDRDDEDEDHVWVHDYHLMALPTFLRRRSNRVKLGFFLHSPFPSSDMYKTLPVRDEILRALLNCDLIGFHTFDYARHFLSSCRRILGLHSESNRGHIALEYYGRTVTIKILPAGIHMGQLESMMSEESTLRMAKELKHKYEGKIMMVGVDDLDLFKGIPQKFSAMGELLETNQELRGKVVLVQITNPARSLGRDVQQLLDEANSIAKEVNNKYGEPGYQPIVFLKGPVTTQEKLAYYAVAEFCVVTPVRDGMNLVPYKYTVCRQGCPALDRALGVDENSPPKNSVIIVSEFIGCSPSLSGAIRVNPWNIDEMANAMYRAIDLSETEKHLRHEKHYKYISSHDVAYWARSFDQDLVRACRDHYHKRYWRVGLGLAFRLVALEPNFRKLLGDTLNSAYKITSSRLILLDYDGTMMPPTSVNKGPSHEVISVLNRLCDDPKNIVFIVSGRDRDTLSKWFSSCEKLGIAAEHGYFTR >KJB23532 pep chromosome:Graimondii2_0_v6:4:19825449:19828923:1 gene:B456_004G103500 transcript:KJB23532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha,alpha-trehalose-phosphate synthase [UDP-forming] 11 [Source:Projected from Arabidopsis thaliana (AT2G18700) UniProtKB/Swiss-Prot;Acc:Q9ZV48] MVSSCCLDQLNLLSTDDFRVMNRIPRVMKVSGVISDFGEDQQAMPRKRVIIVSNQLPLRAWRDSVSNEWCFEFDENDLLALLRDAFPPDTEVRYVGTLKADVDTADQEEVAQVLHDKFSCETIFLPVDMRNEFYHGFCKHYLWPLFHYMMPMTGNDGGRFDRSQWMAYVSANRIFADKVLEVTDRDDEDEDHVWVHDYHLMALPTFLRRRSNRVKLGFFLHSPFPSSDMYKTLPVRDEILRALLNCDLIGFHTFDYARHFLSSCRRILGLHSESNRGHIALEYYGRTVTIKILPAGIHMGQLESMMSEESTLRMAKELKHKYEGKIMMVGVDDLDLFKGIPQKFSAMGELLETNQELRGKVVLVQITNPARSLGRDVQQLLDEANSIAKEVNNKYGEPGYQPIVFLKGPVTTQEKLAYYAVAEFCVVTPVRDGMNLVPYKYTVCRQGCPALDRALGVDENSPPKNSVIIVSEFIGCSPSLSGAIRVNPWNIDEMANAMYRAIDLSETEKHLRHEKHYKYISSHDVAYWARSFDQDLVRACRDHYHKRYWRVGLGLAFRLVALEPNFRKLLGDTLNSAYKITSSRLILLDYDGTMMPPTSVNKGPSHEVISVLNRLCDDPKNIVFIVSGRDRDTLSKWFSSCEKLGIAAEHGYFTRWTRDSAWETYRSVDLSWKEVVEPIMQLYMEATDGSCIENKESAVVWHHQDADPDFGLFQAKELHDHLENVLANEPVVVKRGQHIVEVKPQGLSKGIVAENLISSMRSKGKSPDFLLCIGDDRSDEDMFESIARSSANPTLPAIAEIFACTVGQKPSMAKYYVDDIVEVIGLLRGIPEATVQPN >KJB23338 pep chromosome:Graimondii2_0_v6:4:14028891:14029519:1 gene:B456_004G093800 transcript:KJB23338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKKDFGYSFPCNGPGRGGTCDISTWDVFYLMGYFYWYWKHITLWEGNISQFKESSTYLMGWLKDYLWLNSLQLINGYNPFGMNSLSVWAWMFLFRHLVWATGFMFLISWHGDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTLGKFG >KJB24399 pep chromosome:Graimondii2_0_v6:4:41666629:41666883:1 gene:B456_004G148100 transcript:KJB24399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFDSHPAALSHGAGVFWSLPTSNTMKFNVDGSSHGKPGPTGCGDVLRDVASSVKDLFSGPLGFMCSNEAELIDIKTACNFMLIHM >KJB22024 pep chromosome:Graimondii2_0_v6:4:2038632:2043424:1 gene:B456_004G025900 transcript:KJB22024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ5 MALRLVTKTLSSRISPKLCSASLLHSHATSFGFKEVREEEKSQMVGKVFSNVASNYDLMNDFMSGGLHRLWKDRLVSKLSPFPGMKHLDVAGGTGDVAFRILESVNSIKRRALQDPLNDDLQEETRIYVCDINPNMLDVGKNRALEKGLGEDKSLVWVQGDAEALSFEDNSMDGYTIAFGIRNVTHIEKVLSEAYRVLKRGGRFLCLELSHVDIPVFKELYDFYSFSVIPRLGEIVAGDRESYQYLVESIRRFPPQEKFASMIADAGFQKVEYENLVGGVVAIHSGLKI >KJB22026 pep chromosome:Graimondii2_0_v6:4:2038709:2043410:1 gene:B456_004G025900 transcript:KJB22026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ5 MVGKVFSNVASNYDLMNDFMSGGLHRLWKDRLVSKLSPFPGMKHLDVAGGTGDVAFRILESVNSIKRRALQDPLNDDLQEETRIYVCDINPNMLDVGKNRALEKGLGEDKSLVWVQGDAEALSFEDNSMDGYTIAFGIRNVTHIEKVLSEAYRVLKRGGRFLCLELSHVDIPVFKELYDFYSFSVIPRLGEIVAGDRESYQYLVESIRRFPPQEKFASMIADAGFQKVEYENLVGGVVAIHSGLKI >KJB22029 pep chromosome:Graimondii2_0_v6:4:2039864:2043410:1 gene:B456_004G025900 transcript:KJB22029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ5 MYLNSYNLPCVTISMNFTSVMFSGFKEVREEEKSQMVGKVFSNVASNYDLMNDFMSGGLHRLWKDRLVSKLSPFPGMKHLDVAGGTGDVAFRILESVNSIKRRALQDPLNDDLQEETRIYVCDINPNMLDVGKNRALEKGLGEDKSLVWVQGDAEALSFEDNSMDGYTIAFGIRNVTHIEKVLSEAYRVLKRGGRFLCLELSHVDIPVFKELYDFYSFSVIPRLGEIVAGDRESYQYLVESIRRFPPQEKFASMIADAGFQKVEYENLVGGVVAIHSGLKI >KJB22028 pep chromosome:Graimondii2_0_v6:4:2038739:2043410:1 gene:B456_004G025900 transcript:KJB22028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ5 MALRLVTKTLSSRISPKLCSASLLHSHATSFGFKEVREEEKSQMVGKVFSNVASNYDLMNDFMSGGLHRLWKDRLDFVCIFVLIISLLSSCCFLYFTSSAQFIRLVSKLSPFPGMKHLDVAGGTGDVAFRILESVNSIKRRALQDPLNDDLQEETRIYVCDINPNMLDVGKNRALEKGLGEDKSLVWVQGDAEALSFEDNSMDGYTIAFGIRNVTHIEKVLSEAYRVLKRGGRFLCLELSHVDIPVFKELYDFYSFSVIPRLGEIVAGDRESYQYLVESIRRFPPQEKFASMIADAGFQKVEYENLVGGVVAIHSGLKI >KJB22027 pep chromosome:Graimondii2_0_v6:4:2038659:2043410:1 gene:B456_004G025900 transcript:KJB22027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ5 MALRLVTKTLSSRISPKLCSASLLHSHATSFGFKEVREEEKSQMVGKVFSNVASNYDLMNDFMSGGLHRLWKDRLVSKLSPFPGMKHLDVAGGTGDVAFRILESVNSIKRRALQDPLNDDLQEETRIYVCDINPNMLDVGKNRALEKGLGEDKSLVWVQGDAEALSFEDNSMDGYTIAFGIRNVTHIEKVLSEAYRVLKRGGRFLCLELSHVDIPVFKELYDFYSFSVIPRLGEIVAGDRESYQYLVESIRRFPPQEKFASMIADAGFQKVEYENLVGGVVAIHSGLKI >KJB22025 pep chromosome:Graimondii2_0_v6:4:2039547:2042790:1 gene:B456_004G025900 transcript:KJB22025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ5 MALRLVTKTLSSRISPKLCSASLLHSHATSFGFKEVREEEKSQMVGKVFSNVASNYDLMNDFMSGGLHRLWKDRLVSKLSPFPGMKHLDVAGGTGDVAFRILESVNSIKRRALQDPLNDDLQEETRIYVCDINPNMLDVGKNRALEKGLGEDKSLVWVQGDAEALSFEDNSMDGYTIAFGIRNVTHIEKVLSEAYRVLKRGGRFLCLELSHVDIPVFKELYDFYSFSVIPRLGEIVAGDRESYQYLVESIRRFPPQVIIQSSIPSYHF >KJB24865 pep chromosome:Graimondii2_0_v6:4:46061863:46065142:-1 gene:B456_004G165400 transcript:KJB24865 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUO1 [Source:Projected from Arabidopsis thaliana (AT3G60460) UniProtKB/TrEMBL;Acc:F4JBU2] VSTNLLMEYMQQLPFDQGAINKMNDLHLSFDANPPKSSNLHRPQRSLGVGGFDSPWVLCLHISSYLNSNLIGGVVIIQNDNGTHFLAEVKLGEMEGKLVEISQIRKGPWKAEEDEVLINHVKKYGPREWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKNGCKFTAEEERVVIELQAQFGNKWAKIATYLPGRTDNDVKNFWSSRQKRLARILQNSGTPSSSSSSSSSKSLKLKREIPAFHDVPVFEAPNLSSSMEEISCTMAQSCSSSSYLDNTETIIKVEQFPKLVNPKLYTDANMAQLELMSIGNNPYAAAEAQPQAFFPQTPHPQPYLTLSLESQDLLAKFEDPYFSQVFAPMDVPELDSGNVEQQPFLEPVRSGGFGAREEADNPMIPDAFFDDFPADMFDQMEPLPNPST >KJB26643 pep chromosome:Graimondii2_0_v6:4:59013935:59016814:1 gene:B456_004G252700 transcript:KJB26643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDPAFKLFGRKIPVLEPQIHAGDTCGEETNPGTETSQTNTSGKPDKSIRVENGKEEVQTCMKLDEVQINPKDEQPETNRTDQEIVFKKPDKILPCPRCNSLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRKNKHLTSQYRQIIVSSDGVPMTQIETPDSANQLVSPCESTAAFKPSMGNGTVLKFGAEAPLCESMETALSLGEQKRCVETGMVSCGETRKEPSSCGSSVAPCSIRGNEFPGHVMQKERIGVTGPNDRNTQHLPQCYPVPPWVFPWNQSPNNVAPVAAVQCSSDRISAPNSSTSNVVPQWCPTPLVAVPGFCPPNIPVQFVPAYWGCMPLWTTSGGNVSFSGSNGCLSPSSSTSNSSCSGNVSPTLGKHSREANFVEEEKPEKCILVPKTLRMDDPNEASRSPIWATLGIRPAQKDPLQGKDFDSFESKAEGQDHIPDGNHILEANPAPFSEST >KJB21443 pep chromosome:Graimondii2_0_v6:4:40539268:40539664:1 gene:B456_004G143400 transcript:KJB21443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIPKDFSQQEKGHLLYELKHYELDVCKHPDLRKISTLSELFRSLVESGKSIMYPLIDRLIRLILTLPVSTASSERAFSAMKIVKTQLRSKMENNFLSSLVVYIEKEITEKFNINKIIDDFSEVKDRRVQFK >KJB23554 pep chromosome:Graimondii2_0_v6:4:21131399:21133290:-1 gene:B456_004G104400 transcript:KJB23554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNFLMRWISILWTFSISFRHTYKYLQFQVSILADEILKNVEYDALRIVFNKFHSVVSFVPIVSIVLSAEIVERESESGGKLGELDSYAVEGGETKGEILQNLAEFQFSYLGELDSYEVESGETKGEILQNLAEFQFSCVMFNAVLENACSEQGARMSAMDSSSRNASDMLDRLTLTYNRTRQASITTELIEIISGALALEG >KJB21653 pep chromosome:Graimondii2_0_v6:4:391209:395579:-1 gene:B456_004G006700 transcript:KJB21653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDIYTKDGTVDYKGNPANKKTTGTWRACPYIIGNEGCERLAYYGMSTNLVLYFKHQLNQHSATASKNNQNWGGTCYITPLIGAFLADSYLGRYWTIACFSIIYIIGMTLLAMSASVDGMKPFCYSKDNCNPTDRQSTITFLALYLIALGTGGIKPCVSSYGADQFDDTDEREKIHKSSFFNWFYFSINIGALIAASVLVWVQTNVSWGWGFGIPAIAMAIAVGFFFAGTRLYRNQIPGGSPLTRLCQVLVASIRKYNVAVPADKSLLYEKADAESNIKGSRKIDHTDDLSFFDKAAVETQTDQLKGINPWRLCTVTQVEELKAIIRLLPIWASGIIFAAVYSQMSSLFTLQGERMDTRVAHSNFHIPPASLSIFDTLSVIFWVPIYDRIIVPTTRKFTGQKNGLTQLQRMGIGLFISIFAMVAAAILEQERLKIVKKHNYYDVKEMPLTIFWQVPQYFLIGCAEVFTFIGQLEFFYEQAPDAMRSFCSALSLTTVAAGSYLSSLLVTIVTSITAKDGKLGWIPDNLNHGHIDYFFWLLAGLSVANFGVFIGIAKWYTYKTAVGTVPLNQKEAK >KJB21652 pep chromosome:Graimondii2_0_v6:4:391307:394814:-1 gene:B456_004G006700 transcript:KJB21652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDIYTKDGTVDYKGNPANKKTTGTWRACPYIIGNEGCERLAYYGMSTNLVLYFKHQLNQHSATASKNNQNWGGTCYITPLIGAFLADSYLGRYWTIACFSIIYIIGMTLLAMSASVDGMKPFCYSKDNCNPTDRQSTITFLALYLIALGTGGIKPCVSSYGADQFDDTDEREKIHKSSFFNWFYFSINIGALIAASVLVWVQTNVSWGWGFGIPAIAMAIAVGFFFAGTRLYRNQIPGGSPLTRLCQVLVASIRKYNVAVPADKSLLYEKADAESNIKGSRKIDHTDDLSFFDKAAVETQTDQLKGINPWRLCTVTQVEELKAIIRLLPIWASGIIFAAVYSQMSSLFTLQGERMDTRVAHSNFHIPPASLSIFDTLSVIFWVPIYDRIIVPTTRKFTGQKNGLTQLQRMGIGLFISIFAMVAAAILEQERLKIVKKHNYYDVKEMPLTIFWQVPQYFLIGCAEVFTFIGQLEFFYEQAPDAMRSFCSALSLTTVAAGSYLSSLLVTIVTSITAKDGKLGWIPDNLNHGHIDYFFWLLAGLSVANFGVFIGIAKWYTYKTAVGTVPLNQKEAK >KJB22490 pep chromosome:Graimondii2_0_v6:4:4593504:4596320:1 gene:B456_004G050200 transcript:KJB22490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINRQSTYNFERSQAPQRLYVPPSSRHSHDNYDDNDLDDIDYADNNDTSNDADTNGPTSNGNGGEDDEIDPLDAFMQGIEEDLKAKPPPKPKEKAERYKEDEDEDDPVESFLRSKKDVGLTLAADALRAGYDSDEEVYAAAKAVDAGLLEYDSDDNPVVVDKKKIEPIPALDHSSIEYEPFNKDFYEEKASISGMSEQEVAEYRKSLAIRVSGFDVPRPVKTFEDCGFAPELMRAIAKQGYEKPTTIQCQALPIVLSGRDVIGIAKTGSGKTASFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIFLEAKKFAKAYGIRASAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALTMTRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREILSDPVRVTVGEVGTANEDITQHVHVIPSDSEKLPWLLEKLPGMIDEGDVLVFASKKATVDEIESQLSGKGFKVAALHGDKDQASRMEILQKFKSGIYHVLIATDVAARGLDIKSIKSVVNYDIAKDMDMHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSMELMDLAMKDGRFRSKRNARKGEQKCKCIEL >KJB22487 pep chromosome:Graimondii2_0_v6:4:4593397:4597105:1 gene:B456_004G050200 transcript:KJB22487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINRQSTYNFERSQAPQRLYVPPSSRHSHDNYDDNDLDDIDYADNNDTSNDADTNGPTSNGNGGEDDEIDPLDAFMQGIEEDLKAKPPPKPKEKAERYKEDEDEDDPVESFLRSKKDVGLTLAADALRAGYDSDEEVYAAAKAVDAGLLEYDSDDNPVVVDKKKIEPIPALDHSSIEYEPFNKDFYEEKASISGMSEQEVAEYRKSLAIRVSGFDVPRPVKTFEDCGFAPELMRAIAKQGYEKPTTIQCQALPIVLSGRDVIGIAKTGSGKTASFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIFLEAKKFAKAYGIRASAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALTMTRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREILSDPVRVTVGEVGTANEDITQHVHVIPSDSEKLPWLLEKLPGMIDEGDVLVFASKKATVDEIESQLSGKGFKVAALHGDKDQASRMEILQKFKSGIYHVLIATDVAARGLDIKSIKSVVNYDIAKDMDMHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSMELMDLAMKDGRFRSKRNARKGGGKKGRGRGGGGSGRGVRGVDFGLGIGYNPESSNASSQAVQSRNAAVNSLKTGMMAQMKSNFVAASSNSQSQGFNNSSSIRRPTLSGFVSGGTIGGDINRSQMTTSFNTAPTSGLNTSQNTGQNATQSSSERSLFFQLKFHDGILQCFSCFFKKTSMLITLVLGSF >KJB22491 pep chromosome:Graimondii2_0_v6:4:4593397:4597725:1 gene:B456_004G050200 transcript:KJB22491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINRQSTYNFERSQAPQRLYVPPSSRHSHDNYDDNDLDDIDYADNNDTSNDADTNGPTSNGNGGEDDEIDPLDAFMQGIEEDLKAKPPPKPKEKAERYKEDEDEDDPVESFLRSKKDVGLTLAADALRAGYDSDEEVYAAAKAVDAGLLEYDSDDNPVVVDKKKIEPIPALDHSSIEYEPFNKDFYEEKASISGMSEQEVAEYRKSLAIRVSGFDVPRPVKTFEDCGFAPELMRAIAKQGYEKPTTIQCQALPIVLSGRDVIGIAKTGSGKTASFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIFLEAKKFAKAYGIRASAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALTMTRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREILSDPVRVTVGEVGTANEDITQHVHVIPSDSEKLPWLLEKLPGMIDEGDVLVFASKKATVDEIESQLSGKGFKVAALHGDKDQASRMEILQKFKSGIYHVLIATDVAARGLDIKSIKSVVNYDIAKDMDMHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSMELMDLAMKDGRFRSKRNARKGGGKKGRGRGGGGSGRGVRGVDFGLGIGYNPESSNASSQAVQSRNAAVNSLKTGMMAQMKSNFVAASSNSQSQGFNNSSSIRRPTLSGFVSGGTIGGDINRSQMTTSFNTAPTSGLNTSQNTGQNATQSSSESSRDRPRERRRPSGWDR >KJB22488 pep chromosome:Graimondii2_0_v6:4:4593397:4597174:1 gene:B456_004G050200 transcript:KJB22488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINRQSTYNFERSQAPQRLYVPPSSRHSHDNYDDNDLDDIDYADNNDTSNDADTNGPTSNGNGGEDDEIDPLDAFMQGIEEDLKAKPPPKPKEKAERYKEDEDEDDPVESFLRSKKDVGLTLAADALRAGYDSDEEVYAAAKAVDAGLLEYDSDDNPVVVDKKKIEPIPALDHSSIEYEPFNKDFYEEKASISGMSEQEVAEYRKSLAIRVSGFDVPRPVKTFEDCGFAPELMRAIAKQGYEKPTTIQCQALPIVLSGRDVIGIAKTGSGKTASFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIFLEAKKFAKAYGIRASAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALTMTRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREILSDPVRVTVGEVGTANEDITQHVHVIPSDSEKLPWLLEKLPGMIDEGDVLVFASKKATVDEIESQLSGKGFKVAALHGDKDQASRMEILQKFKSGIYHVLIATDVAARGLDIKSIKSVVNYDIAKDMDMHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSMELMDLAMKVGRKAEEGAAVGVVEVCVELILVWVLDIIQNPVMLHLRLFKVEMLP >KJB22489 pep chromosome:Graimondii2_0_v6:4:4593397:4597174:1 gene:B456_004G050200 transcript:KJB22489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINRQSTYNFERSQAPQRLYVPPSSRHSHDNYDDNDLDDIDYADNNDTSNDADTNGPTSNGNGGEDDEIDPLDAFMQGIEEDLKAKPPPKPKEKAERYKEDEDEDDPVESFLRSKKDVGLTLAADALRAGYDSDEEVYAAAKAVDAGLLEYDSDDNPVVVDKKKIEPIPALDHSSIEYEPFNKDFYEEKASISGMSEQEVAEYRKSLAIRVSGFDVPRPVKTFEDCGFAPELMRAIAKQGYEKPTTIQCQALPIVLSGRDVIGIAKTGSGKTASFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIFLEAKKFAKAYGIRASAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALTMTRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREILSDPVRVTVGEVGTANEDITQHVHVIPSDSEKLPWLLEKLPGMIDEGDVLVFASKKATVDEIESQLSGKGFKVAALHGDKDQASRMEILQKFKSGIYHVLIATDVAARGLDIKSIKSVVNYDIAKDMDMHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSMELMDLAMKDGRFRSKRNARKGGMKGRGRGGGGSGRGVRGVDFGLGIGYNPESSNASSQAVQSRNAAVNSLKTGMMAQMKSNFVAASSNSQSQGFNNSSSIRRPTLSGFVSGGTIGGDINRSQMTTSFNTAPTSGLNTSQNTGQNATQSSSESSRDRPRERRRPSGWDR >KJB22492 pep chromosome:Graimondii2_0_v6:4:4593397:4597671:1 gene:B456_004G050200 transcript:KJB22492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINRQSTYNFERSQAPQRLYVPPSSRHSHDNYDDNDLDDIDYADNNDTSNDADTNGPTSNGNGGEDDEIDPLDAFMQGIEEDLKAKPPPKPKEKAERYKEDEDEDDPVESFLRSKKDVGLTLAADALRAGYDSDEEVYAAAKAVDAGLLEYDSDDNPVVVDKKKIEPIPALDHSSIEYEPFNKDFYEEKASISGMSEQEVAEYRKSLAIRVSGFDVPRPVKTFEDCGFAPELMRAIAKQGYEKPTTIQCQALPIVLSGRDVIGIAKTGSGKTASFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIFLEAKKFAKAYGIRASAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALTMTRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREILSDPVRVTVGEVGTANEDITQHVHVIPSDSEKLPWLLEKLPGMIDEGDVLVFASKKATVDEIESQLSGKGFKVAALHGDKDQASRMEILQKFKSGIYHVLIATDVAARGLDIKSIKSVVNYDIAKDMDMHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSMELMDLAMKDGRFRSKRNARKGGGKKGRGRGGGGSGRGVRGVDFGLGIGYNPESSNASSQAVQSRNAAVNSLKTGMMAQMKSNFVAASSNSQSQGFNNSSSIRRPTLSGFVSGGTIGGDINRSQMTTSFNTAPTSGLNTSQNTGQNATQSSSESSRDRPRERRRPSGWDR >KJB21539 pep chromosome:Graimondii2_0_v6:4:44881:46128:-1 gene:B456_004G0006002 transcript:KJB21539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NHLKYLIAVRGETIDSSPPNLMPRQSRRICSTFGRTRMKYDLDNDFAKETRCEDRDNFGQPSGKYMRTPVPLKSTATKRASYYLQGGIELSQKWLLEEGCNGVDIDLGFSSFHCTSQANLPSVGSQLWAEDPIGAFPVPELNLNVKSCFNTPKHSESIHCLPFSCFTSEKFAFCQPLNQTNAFDSPVFSNIGAGSIKHALSPDSGRQGVPLDLFDAGQHREIGFLDLSVRGRVNGDDKRKPKSPPANCKQLEFERENCFGNDLLFSKEPIVMGGSNPNNKEDEFNEAKDGTLETKGSLGVETSPSVKIHEKGESKGYECDVEIPLPCQSWTEQKSLMQEQK >KJB21538 pep chromosome:Graimondii2_0_v6:4:44155:46129:-1 gene:B456_004G0006002 transcript:KJB21538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NHLKYLIAVRGETIDSSPPNLMPRQSRRICSTFGRTRMKYDLDNDFAKETRCEDRDNFGQPSGKYMRTPVPLKSTATKRASYYLQGGIELSQKWLLEEGCNGVDIDLGFSSFHCTSQANLPSVGSQLWAEDPIGAFPVPELNLNVKSCFNTPKHSESIHCLPFSCFTSEKFAFCQPLNQTNAFDSPVFSNIGAGSIKHALSPDSGRQGVPLDLFDAGQHREIGFLDLSVRGRVNGDDKRKPKSPPANCKQLEFERENCFGNDLLFSKEPIVMGGSNPNNKEDEFNEAKDGTLETKGSLGVETSPSVKIHEKGESKGYECDVEIPLPCQSWTEQKEQK >KJB21537 pep chromosome:Graimondii2_0_v6:4:44960:46128:-1 gene:B456_004G0006002 transcript:KJB21537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NHLKYLIAVRGETIDSSPPNLMPRQSRRICSTFGRTRMKYDLDNDFAKETRCEDRDNFGQPSGKYMRTPVPLKSTATKRASYYLQGGIELSQKWLLEEGCNGVDIDLGFSSFHCTSQANLPSVGSQLWAEDPIGAFPVPELNLNVKSCFNTPKHSESIHCLPFSCFTSEKFAFCQPLNQTNAFDSPVFSNIGAGSIKHALSPDSGRQGVPLDLFDAGQHREIGFLDLSVRGRVNGDDKRKPKSPPANCKQLEFERENCFGNDLLFSKEPIVMGGSNPNNKEDEFNEAKDGTLETKGSLGVETSPSVKIHEKGESKGYECDVEIPLPCQSWTEQKVCLLLAYGFFNIYTYMHIKV >KJB21540 pep chromosome:Graimondii2_0_v6:4:44802:46129:-1 gene:B456_004G0006002 transcript:KJB21540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NHLKYLIAVRGETIDSSPPNLMPRQSRRICSTFGRTRMKYDLDNDFAKETRCEDRDNFGQPSGKYMRTPVPLKSTATKRASYYLQGGIELSQKWLLEEGCNGVDIDLGFSSFHCTSQANLPSVGSQLWAEDPIGAFPVPELNLNVKSCFNTPKHSESIHCLPFSCFTSEKFAFCQPLNQTNAFDSPVFSNIGAGSIKHALSPDSGRQGVPLDLFDAGQHREIGFLDLSVRGRVNGDDKRKPKSPPANCKQLEFERENCFGNDLLFSKEPIVMGGSNPNNKEDEFNEAKDGTLETKGSLGVETSPSVKIHEKGESKGYECDVEIPLPCQSWTEQKSLMQEQK >KJB23414 pep chromosome:Graimondii2_0_v6:4:15694845:15707834:1 gene:B456_004G096900 transcript:KJB23414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSGFGSSGSFATFDDGFSSQRSPAEAMPQNFQLFSWETFQPVGGLLPQPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIAYATGAVWQRRQLFVATPTTIECVFVDAGIAPIDIETRKMKEEMKLKEAQTRAVAEHGELALISVEGPQTATQERITLRPPMLQVVRLASFQHAPSVPPFLSLPKQYKVDGDDTTMLKEMEERKVNEIAVGGGGVSVAVTRFPTEQKRPVGPLIVIGVRDGVLWLIDRYMTAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMKSNDLKRALQCLLTMSNSRDVGQDNPGLGLNDILNLTAKKENLVEAVQGTVKFAKEFLDLIDAADATAQVDIAREALKRLATAGSVKGALQGHELRGLALRLANHGELTRLSGLVNNLISLGLGREAAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVEAWNKVLQKEVEHTPSAKTDATAAFLASLEEPKLTSLSEAGKKPPIEILPPGMSALSASITVKKKPAPVTQTSQPQSKPLALEAPPTGPADAPIGGGPPSASAAVPGTPIGAPPSDAPDATPGTTIGAATPDAPAVAATGAAPASEASEPALVEEAPNSSTGSNPDLIASAEMNPATSASDTEVPDSAVVNKPLAEVPPVTPDSQETSISSTLTRSQPFV >KJB23412 pep chromosome:Graimondii2_0_v6:4:15692482:15707834:1 gene:B456_004G096900 transcript:KJB23412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHPLQPHLVATGTNVGIIVSEFDARSLPPVVPLPTPPGSREHSAVYIVERELKLLNFQLSNTTNPSLGNNGSLSETGKLKGDSLESLHVKQIKKHISTPVPHDSYSVLSISSSGKYLAIVWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCSDRFAILESALPPRMPILPKGSSSRKAKEAAAAAAQAAAAATAASANVQVRILLDDGTSNILMRSIGSRSEPVVGLHGGALLGVAYRTPRRISPGAATAISTIQSMPLSGFGSSGSFATFDDGFSSQRSPAEAMPQNFQLFSWETFQPVGGLLPQPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIAYATGAVWQRRQLFVATPTTIECVFVDAGIAPIDIETRKMKEEMKLKEAQTRAVAEHGELALISVEGPQTATQERITLRPPMLQVVRLASFQHAPSVPPFLSLPKQYKVDGDDTTMLKEMEERKVNEIAVGGGGVSVAVTRFPTEQKRPVGPLIVIGVRDGVLWLIDRYMTAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMKSNDLKRALQCLLTMSNSRDVGQDNPGLGLNDILNLTAKKENLVEAVQGTVKFAKEFLDLIDAADATAQVDIAREALKRLATAGSVKGALQGHELRGLALRLANHGELTRLSGLVNNLISLGLGREAAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVEAWNKVLQKEVEHTPSAKTDATAAFLASLEEPKLTSLSEAGKKPPIEILPPGMSALSASITVKKKPAPVTQTSQPQSKPLALEAPPTGPADAPIGGGPPSASAAVPGTPIGAPPSDAPDATPGTTIGAATPDAPAVAATGAAPASEASEPALVEEAPNSSTGSNPDLIASAEMNPATSASDTEVPDSAVVNKPLAEVPPVTPDSQETSISSTLTRSQPFV >KJB23408 pep chromosome:Graimondii2_0_v6:4:15686079:15707937:1 gene:B456_004G096900 transcript:KJB23408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRAFRGTNDKIVKLAVHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGIDQRRLVGVKLEKLAEGESEPKGKPTEAIRGGSVKQVSFFDDDVRFWQLWRNRSAAAEAPTAVNHLTSTFASPAPSTKGRHFLVICCENKAIFLDLVTMRSRDVPKQELDNKSLLCMEFLSRSSAGDSPLVAFGGSDGVIRVLSMMTWKLVRRYTGGHKGSISCLMTFMASSGEALLVSGASDGLLILWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVIGGAPQLITIGADKTLAIWDTMSFKELRRIKPVPRLACHSVASWCHPRAPNLDILTCVKDSYIWAIEHPTYSALTRPLCDLSSLVPPQVVAPNKKLRVYCMVAHPLQPHLVATGTNVGIIVSEFDARSLPPVVPLPTPPGSREHSAVYIVERELKLLNFQLSNTTNPSLGNNGSLSETGKLKGDSLESLHVKQIKKHISTPVPHDSYSVLSISSSGKYLAIVWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCSDRFAILESALPPRMPILPKGSSSRKAKEAAAAAAQAAAAATAASANVQVRILLDDGTSNILMRSIGSRSEPVVGLHGGALLGVAYRTPRRISPGAATAISTIQSMPLSGFGSSGSFATFDDGFSSQRSPAEAMPQNFQLFSWETFQPVGGLLPQPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIAYATGAVWQRRQLFVATPTTIECVFVDAGIAPIDIETRKMKEEMKLKEAQTRAVAEHGELALISVEGPQTATQERITLRPPMLQVVRLASFQHAPSVPPFLSLPKQYKVDGDDTTMLKEMEERKVNEIAVGGGGVSVAVTRFPTEQKRPVGPLIVIGVRDGVLWLIDRYMTAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMKSNDLKRALQCLLTMSNSRDVGQDNPGLGLNDILNLTAKKENLVEAVQGTVKFAKEFLDLIDAADATAQVDIAREALKRLATAGSVKGALQGHELRGLALRLANHGELTRLSGLVNNLISLGLGREAAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVEAWNKVLQKEVEHTPSAKTDATAAFLASLEEPKLTSLSEAGKKPPIEILPPGMSALSASITVKKKPAPVTQTSQPQSKPLALEAPPTGPADAPIGGGPPSASAAVPGTPIGAPPSDAPDATPGTTIGAATPDAPAVAATGAAPASEASEPALVEEAPNSSTGSNPDLIASAEMNPATSASDTEVPDSAVVNKPLAEVPPVTPDSQETSISSTLTRSQPFV >KJB23411 pep chromosome:Graimondii2_0_v6:4:15686118:15707834:1 gene:B456_004G096900 transcript:KJB23411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRAFRGTNDKIVKLAVHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGIDQRRLVGVKLEKLAEGESEPKGKPTEAIRGGSVKQVSFFDDDVRFWQLWRNRSAAAEAPTAVNHLTSTFASPAPSTKGRHFLVICCENKAIFLDLVTMRSRDVPKQELDNKSLLCMEFLSRSSAGDSPLVAFGGSDGVIRVLSMMTWKLVRRYTGGHKGSISCLMTFMASSGEALLVSGASDGLLILWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVIGGAPQLITIGADKTLAIWDTMSFKELRRIKPVPRLACHSVASWCHPRAPNLDILTCVKDSYIWAIEHPTYSALTRPLCDLSSLVPPQVVAPNKKLRVYCMVAHPLQPHLVATGTNVGIIVSEFDARSLPPVVPLPTPPGSREHSAVYIVERELKLLNFQLSNTTNPSLGNNGSLSETGKLKGDSLESLHVKQIKKHISTPVPHDSYSVLSISSSGKYLAIVWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCSDRFAILESALPPRMPILPKGSSSRKAKEAAAAAAQAAAAATAASANVQVRILLDDGTSNILMRSIGSRSEPVVGLHGGALLGVAYRTPRRISPGAATAISTIQSMPLSGFGSSGSFATFDDGFSSQRSPAEAMPQNFQLFSWETFQPVGGLLPQPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIAYATGAVWQRRQLFVATPTTIECVFVDAGIAPIDIETRKMKEEMKLKEAQTRAVAEHGELALISVEGPQTATQERITLRPPMLQVVRLASFQHAPSVPPFLSLPKQYKVDGDDTTMLKEMEERKVNEIAVGGGGVSVAVTRFPTEQKRPVGPLIVIGVRDGVLWLIDRYMTAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMKSNDLKRALQCLLTMSNSRDVGQDNPGLGLNDILNLTAKKENLVEAVQGTVKFAKEFLDLIDAADATAQVDIAREALKRLATAGSVKGALQGHELRGLALRLANHGELTRLSGLVNNLISLGLGREAAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVEAWNKVLQKEVEHTPSAKTDATAAFLASLEEPKLTSLSEAGKKPPIEILPPGMSALSASITVKKKPAPVTQTSQPQSKPLALEAPPTGPCICCSTWHTNRSTSF >KJB23410 pep chromosome:Graimondii2_0_v6:4:15686118:15702644:1 gene:B456_004G096900 transcript:KJB23410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRAFRGTNDKIVKLAVHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGIDQRRLVGVKLEKLAEGESEPKGKPTEAIRGGSVKQVSFFDDDVRFWQLWRNRSAAAEAPTAVNHLTSTFASPAPSTKGRHFLVICCENKAIFLDLVTMRSRDVPKQELDNKSLLCMEFLSRSSAGDSPLVAFGGSDGVIRVLSMMTWKLVRRYTGGHKGSISCLMTFMASSGEALLVSGASDGLLILWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVIGGAPQLITIGADKTLAIWDTMSFKELRRIKPVPRLACHSVASWCHPRAPNLDILTCVKDSYIWAIEHPTYSALTRPLCDLSSLVPPQVVAPNKKLRVYCMVAHPLQPHLVATGTNVGIIVSEFDARSLPPVVPLPTPPGSREHSAVYIVERELKLLNFQLSNTTNPSLGNNGSLSETGKLKGDSLESLHVKQIKKHISTPVPHDSYSVLSISSSGKYLAIVWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCSDRFAILESALPPRMPILPKGSSSRKAKEAAAAAAQAAAAATAASANVQVRILLDDGTSNILMRSIGSRSEPVVGLHGGALLGVAYRTPRRISPGAATAISTIQSMPLSGFGSSGSFATFDDGFSSQRSPAEAMPQNFQLFSWETFQPVGGLLPQPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIAYATGAVWQRRQLFVATPTTIECVFVDAGIAPIDIETRKMKEEMKLKEAQTRAVAEHGELALISVEGPQTATQERITLRPPMLQVVRLASFQHAPSVPPFLSLPKQYKVDGDDTTMLKEMEERKVNEIAVGGGGVSVAVTRFPTEQKRPVGPLIVIGVRDGVLWLIDRYMTAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRFPLSVLFMISFISMVVMAGVLQFQL >KJB23409 pep chromosome:Graimondii2_0_v6:4:15686118:15697934:1 gene:B456_004G096900 transcript:KJB23409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRAFRGTNDKIVKLAVHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGIDQRRLVGVKLEKLAEGESEPKGKPTEAIRGGSVKQVSFFDDDVRFWQLWRNRSAAAEAPTAVNHLTSTFASPAPSTKGRHFLVICCENKAIFLDLVTMRSRDVPKQELDNKSLLCMEFLSRSSAGDSPLVAFGGSDGVIRVLSMMTWKLVRRYTGGHKGSISCLMTFMASSGEALLVSGASDGLLILWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVIGGAPQLITIGADKTLAIWDTMSFKELRRIKPVPRLACHSVASWCHPRAPNLDILTCVKDSYIWAIEHPTYSALTRPLCDLSSLVPPQVVAPNKKLRVYCMVAHPLQPHLVATGTNVGIIVSEFDARSLPPVVPLPTPPGSREHSAVYIVERELKLLNFQLSNTTNPSLGNNGSLSETGKLKGDSLESLHVKQIKKHISTPVPHDSYSVLSISSSGKYLAIVWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCSDRFAILESALPPRMPILPKGSSSRKAKEAAAAAAQAAAAATAASANVQVRILLDDGTSNILMRSIGSRSEPVVGLHGGALLGVAYRTPRRISPGAATAISTIQSMPLSGFGSSGSFATFDDGFSSQRSPAEAMPQNFQLFSWETFQPVGGLLPQPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIAYATGAVWQRRQLFVATPTTIEYDLVTCADILFTLD >KJB23413 pep chromosome:Graimondii2_0_v6:4:15694223:15707834:1 gene:B456_004G096900 transcript:KJB23413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRYLAIVWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCSDRFAILESALPPRMPILPKGSSSRKAKEAAAAAAQAAAAATAASANVQVRILLDDGTSNILMRSIGSRSEPVVGLHGGALLGVAYRTPRRISPGAATAISTIQSMPLSGFGSSGSFATFDDGFSSQRSPAEAMPQNFQLFSWETFQPVGGLLPQPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIAYATGAVWQRRQLFVATPTTIECVFVDAGIAPIDIETRKMKEEMKLKEAQTRAVAEHGELALISVEGPQTATQERITLRPPMLQVVRLASFQHAPSVPPFLSLPKQYKVDGDDTTMLKEMEERKVNEIAVGGGGVSVAVTRFPTEQKRPVGPLIVIGVRDGVLWLIDRYMTAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMKSNDLKRALQCLLTMSNSRDVGQDNPGLGLNDILNLTAKKENLVEAVQGTVKFAKEFLDLIDAADATAQVDIAREALKRLATAGSVKGALQGHELRGLALRLANHGELTRLSGLVNNLISLGLGREAAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVEAWNKVLQKEVEHTPSAKTDATAAFLASLEEPKLTSLSEAGKKPPIEILPPGMSALSASITVKKKPAPVTQTSQPQSKPLALEAPPTGPADAPIGGGPPSASAAVPGTPIGAPPSDAPDATPGTTIGAATPDAPAVAATGAAPASEASEPALVEEAPNSSTGSNPDLIASAEMNPATSASDTEVPDSAVVNKPLAEVPPVTPDSQETSISSTLTRSQPFV >KJB21662 pep chromosome:Graimondii2_0_v6:4:448889:451855:1 gene:B456_004G007500 transcript:KJB21662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAPSPLPHQNDTYEFCIILPPRINSAGIWENLAYPSTMLTYSLPLLEMQIVMIFVSSHLIYAILKPLGITLFASQIIAGLILGPPIMGKLNAFRNIFYRRELGVEMIDAVSVFGFTLFIFLTGVKMDVKMAFNSTKKSVVIGIISLLSPIVVGIVLHKTFNEHKRMNDVIKTERIVGTLIESTTSFSVIACVLTELKIINSELGRLALSSASVGDLSTLFLVHIISLSQDWATSPLLALQRVLVAFLFITVLFFVFRPMMFWIIKKTPEGEPIEEVYIVAILMVAIGCAIFTHWTQQAPLIGAFLFGLAVPDGPPLGSTLINKFECFVNGIFLAVYITASAMRVNPRKMVSNPPRVKFSIISFFLTFLAKFISCFIASFVSFMPFRDSLAFGLIMSSKGVVELAYFSTFRDNWVISEATFSVAVFAILVNATVIPLLVRFLYDPVSRKYAGYKRRNLMHLKPDAELRVLACIHWPENVSAMIDLLDLTCPMTESPSVIYALHLIELMARDSPVFIAHHKHETNTNVGGSFEDILAFYQYEQNNWGLVTVNAFTAISPPKLMHEDICTMALDKQTSFIILPFHRKWSVNGSVEAENNVVRNVNCSVLDRSPCSVGILIDRGGRRKPMKSSSYSVGILFLGGKDDREALTLAKRMARDPRVKLTVIRLIAYQDRRDVLDWDTIMDIEILKDVKQNNGVLGNDCDIMYVEQVSDSGSQTAKIIRSVADYYDLIIVGRRYGVESIQSMGLSEWSEFPELGIVGDLFASTDLDSRVSVLVVQQQHYIAERNKI >KJB22887 pep chromosome:Graimondii2_0_v6:4:7753319:7755665:1 gene:B456_004G071800 transcript:KJB22887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFHSVSETRNNSNETVTETEGVVMASSSALKSQPLHNFQLHDLKWAMNHSNNQRLRKLADSSQKSPQRSDTDTDSDNNRKGNTVREAPFNNGASSGSSLDHKTGKSEKKVINAPDSLVDSDSLGKKVAPPEGRSKIYIRLRTKNQKPVEEVVDVGDQNLNVEDVEEFVPKTWNLRPRKPISKPRNQNGGAPKMVASAHDNKTQRPESSRSRNVTESKPAEKKAKKQKFSISLTREEIDEDIFAMTGSKASRRPKKRAKNVQKQLDCVFPGLWLSSITPDSYRVPEPPLKG >KJB22886 pep chromosome:Graimondii2_0_v6:4:7753141:7754619:1 gene:B456_004G071800 transcript:KJB22886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHIAFLTDINVKKRSNKLKNISVEIVGQRQDRPHSTQPVSHKSIVTALFLLPSSFSFLHNKSLKQHSFTLSSISPFLYFSLFSQISLFSFCSVSFLLSPIKDGEFLFSLFYQSMVFHSVSETRNNSNETVTETEGVVMASSSALKSQPLHNFQLHDLKWAMNHSNNQRLRKLADSSQKSPQRSDTDTDSDNNRKGNTVREAPFNNGASSGSSLDHKTGKSEKKVINAPDSLVDSDSLGKKVAPPEGRSKIYIRLRTKNQKPVEEVVDVGDQNLNVEDVEEFVPKTWNLRPRKPISKPRNQNGGAPKMVASAHDNKTQRPESSRSRNVTESKPAEKKAKKQKFSISLTREEIDEDIFAMTGSKASRRPKKRAKNVQKQLDCVFPGLWLSSITPDSYRVPEPPLKV >KJB25135 pep chromosome:Graimondii2_0_v6:4:48686983:48689908:1 gene:B456_004G178200 transcript:KJB25135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGGLAPGLSRKLKKVLECRTDTPEVLASLNTLSTFYTENTPQARRNLRSTIEKRSLQINLDFLRASQAAQLALDRVEDEVNSLADCCDKIAKALSSCSASTGDIINTTERLNQELEVTTQKQQIVSYFLRDYQLSPQEISALRDEELNENFFKALSHVQEIHANCKILLRTHHQRAGLELMDMMAMYQEGAYERLCRWVQAECRKLGDTDNPEVGDLLKTAVRCLKERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLALLDPDALIETGSAANPFNKNVENDFGKIEADLTFVLDRIFEGVCRPFKVRVEQVLQSQPSLIISYKLSNTLEFYSYTISDLLGRETSLCNTLWALKDAAQKTFFEILKSRGEKLLRYPPLVAVDLSPAPAVREGVSVLLEIIDTYNSMMVPASGKKPPFDPVISALLDPIIQMCEQAAEAHKSKGAGHSSRRSRMSSDSGQLSKSAVDAILSNNNSATFSQVNKVIRSV >KJB25134 pep chromosome:Graimondii2_0_v6:4:48686825:48690829:1 gene:B456_004G178200 transcript:KJB25134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGGLAPGLSRKLKKVLECRTDTPEVLASLNTLSTFYTENTPQARRNLRSTIEKRSLQINLDFLRASQAAQLALDRVEDEVNSLADCCDKIAKALSSCSASTGDIINTTERLNQELEVTTQKQQIVSYFLRDYQLSPQEISALRDEELNENFFKALSHVQEIHANCKILLRTHHQRAGLELMDMMAMYQEGAYERLCRWVQAECRKLGDTDNPEVGDLLKTAVRCLKERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLALLDPDALIETGSAANPFNKNVENDFGKIEADLTFVLDRIFEGVCRPFKVRVEQVLQSQPSLIISYKLSNTLEFYSYTISDLLGRETSLCNTLWALKDAAQKTFFEILKSRGEKLLRYPPLVAVDLSPAPAVREGVSVLLEIIDTYNSMMVPASGKKPPFDPVISALLDPIIQMCEQAAEAHKSKGAGHSSRRSRMSSDSGQLSKSAVDAILSNNNSATFSQNTEAPSKIFLINCLCAIQQPLLGHEVAAEYAKKLGTTIDNHVNVLVEKEVDTILRRCDLSTKMHHFHNWFNKDTTAGTPLAELEDTTPASLSECLKAFFGFILGSDSSLPEFEQMQVPKLRSDACIQVAKSLADTYELIYNAIMDPKNKYPDPKSLARHPPDQIRTILGI >KJB25137 pep chromosome:Graimondii2_0_v6:4:48686855:48690791:1 gene:B456_004G178200 transcript:KJB25137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGGLAPGLSRKLKKVLECRTDTPEVLASLNTLSTFYTENTPQARRNLRSTIEKRSLQINLDFLRASQAAQLALDRVEDEVNSLADCCDKIAKALSSCSASTGDIINTTERLNQELEVTTQKQQIVSYFLRDYQLSPQEISALRDEELNENFFKALSHVQEIHANCKILLRTHHQRAGLELMDMMAMYQEGAYERLCRWVQAECRKLGDTDNPEVGDLLKTAVRCLKERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLALLDPDALIETGSAANPFNKNVENDFGKIEADLTFVLDRIFEGVCRPFKVRVEQVLQSQPSLIISYKLSNTLEFYSYTISDLLGRETSLCNTLWALKDAAQKTFFEILKSRGEKLLRYPPLVAVDLSPAPAVREGVSVLLEIIDTYNSMMVPASGKKPPFDPVISALLDPIIQMCEQAAEAHKSKGAGHSSRRSRMSSDSGQLSKSAVDAILSNNNSATFSQVNKNTEAPSKIFLINCLCAIQQPLLGHEVAAEYAKKLGTTIDNHVNVLVEKEVDTILRRCDLSTKMHHFHNWFNKDTTAGTPLAELEDTTPASLSECLKAFFGFILGSDSSLPEFEQMQVPKLRSDACIQVAKSLADTYELIYNAIMDPKNKYPDPKSLARHPPDQIRTILGI >KJB25136 pep chromosome:Graimondii2_0_v6:4:48686855:48690791:1 gene:B456_004G178200 transcript:KJB25136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGGLAPGLSRKLKKVLECRTDTPEVLASLNTLSTFYTENTPQARRNLRSTIEKRSLQINLDFLRASQAAQLALDRVEDEVNSLADCCDKIAKALSSCSASTGDIINTTERLNQELEVTTQKQQIVSYFLRDYQLSPQEISALRDEELNENFFKALSHVQEIHANCKILLRTHHQRAGLELMDMMAMYQEGAYERLCRWVQAECRKLGDTDNPEVGDLLKTAVRCLKERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLALLDPDALIETGSAANPFNKNVENDFGKIEADLTFVLDRIFEGVCRPFKISDLLGRETSLCNTLWALKDAAQKTFFEILKSRGEKLLRYPPLVAVDLSPAPAVREGVSVLLEIIDTYNSMMVPASGKKPPFDPVISALLDPIIQMCEQAAEAHKSKGAGHSSRRSRMSSDSGQLSKSAVDAILSNNNSATFSQNTEAPSKIFLINCLCAIQQPLLGHEVAAEYAKKLGTTIDNHVNVLVEKEVDTILRRCDLSTKMHHFHNWFNKDTTAGTPLAELEDTTPASLSECLKAFFGFILGSDSSLPEFEQMQVPKLRSDACIQVAKSLADTYELIYNAIMDPKNKYPDPKSLARHPPDQIRTILGI >KJB26518 pep chromosome:Graimondii2_0_v6:4:58243886:58253290:-1 gene:B456_004G245300 transcript:KJB26518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAANNGNSNRPRKEKRLTYVLSDANDTKHCAGLNCLAVLQSSASDGCNYLFTGSRDGTLKRWALGEDAATCSATFESHVDWVNDTVIAGGSTLVSCSSDTTLKTWNCLSDGTCTRTLRQHSDYVTCLAAAEKNTNWVASGGLGGEVFIWDIEAAVTPLSKSSDVMEDDCSIGINGSANPLTISSLRTVSSNNSINASTKQCHIYVPITAKGHKESVYALAMNDSGTLLVSGGTEKVLRVWDPRTGSKTMKLRGHIDNTRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFGHVYSGGRDLSLYLTDLTTRESILLCKKEHPILQLALDDDSIWVATTDSSVHRWPAEGQNPQKVFQRGGSFLAGNLSFSRARVSLEGTAPAPVYKEPIFTIPGTPAIVQHEILNNRRDVLTKLWDIIKGIVIEDYGQVAFDEKKEQLFEMVSIPSWFTVDARLGSLSVHLDTPQCFSAEMYSADLNITGKSEDDKVNLGRETLKGLLAHWMTKRRQRLGLQTPANGNVLSRKDTTPRSLAHSRIEVDGNALNDSTVYPPFELSTVSPPSIITEGSQGGPWRKKITELDGIEDEKDFPWWVLDCVLNNQLPSRENTKCSFYLHPCEGSAVQILTQGKLSAPRILRIQKVINYVKEKMVLDKPIDNLNTIGTFAPGIGGQLQHSAVGDGSFQSGLKPRQKLRPSVEILCNNQVLSPDMSLATVRAYIWKKPEDLVLNYRVIQGR >KJB26516 pep chromosome:Graimondii2_0_v6:4:58244061:58253276:-1 gene:B456_004G245300 transcript:KJB26516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAANNGNSNRPRKEKRLTYVLSDANDTKHCAGLNCLAVLQSSASDGCNYLFTGSRDGTLKRWALGEDAATCSATFESHVDWVNDTVIAGGSTLVSCSSDTTLKTWNCLSDGTCTRTLRQHSDYVTCLAAAEKNTNWVASGGLGGEVFIWDIEAAVTPLSKSSDVMEDDCSIGINGSANPLTISSLRTVSSNNSINASTKQCHIYVPITAKGHKESVYALAMNDSGTLLVSGGTEKVLRVWDPRTGSKTMKLRGHIDNTRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFGHVYSGGRDLSLYLTDLTTRESILLCKKEHPILQLALDDDSIWVATTDSSVHRWPAEGQNPQKVFQRGGSFLAGNLSFSRARVSLEGTAPAPVYKEPIFTIPGTPAIVQHEILNNRRDVLTKDTAGSVKLWDIIKGIVIEDYGQVAFDEKKEQLFEMVSIPSWFTVDARLGSLSVHLDTPQCFSAEMYSADLNITGKSEDDKVNLGRETLKGLLAHWMTKRRQRLGLQTPANGNVLSRKDTTPRSLAHSRIEVDGNALNDSTVYPPFELSTVSPPSIITEGSQGGPWRKKITELDGIEDEKDFPWWVLDCVLNNQLPSRENTKCSFYLHPCEGSAVQILTQGKLSAPRILRIQKVINYVKEKMVLDKPIDNLNTIGTFAPGIGGQLQHSAVGDGSFQSGLKPRQKLRPSVEILCNNQVLSPDMSLATVRAYIWKKPEDLVLNYRVIQGR >KJB26517 pep chromosome:Graimondii2_0_v6:4:58243605:58253290:-1 gene:B456_004G245300 transcript:KJB26517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAANNGNSNRPRKEKRLTYVLSDANDTKHCAGLNCLAVLQSSASDGCNYLFTGSRDGTLKRWALGEDAATCSATFESHVDWVNDTVIAGGSTLVSCSSDTTLKTWNCLSDGTCTRTLRQHSDYVTCLAAAEKNTNWVASGGLGGEVFIWDIEAAVTPLSKSSDVMEDDCSIGINGSANPLTISSLRTVSSNNSINASTKQCHIYVPITAKGHKESVYALAMNDSGTLLVSGGTEKVLRVWDPRTGSKTMKLRGHIDNTRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFGHVYSGGRDLSLYLTDLTTRESILLCKKEHPILQLALDDDSIWVATTDSSVHRWPAEGQNPQKVFQRGGSFLAGNLSFSRARVSLEGTAPAPVYKEPIFTIPGTPAIVQHEILNNRRDVLTKDTAGSVKLWDIIKGIVIEDYGQVAFDEKKEQLFEMVSIPSWFTVDARLGSLSVHLDTPQCFSAEMYSADLNITGKSEDDKVNLGRETLKGLLAHWMTKRRQRLGLQTPANGNVLSRKDTTPRSLAHSRIEVDGNALNDSTVYPPFELSTVSPPSIITEGSQGGPWRKKITELDGIEDEKDFPWWVLDCVLNNQLPSRENTKCSFYLHPCEGSAVQILTQGKLSAPRILRIQKVINYVKEKMVLDKPIDNLNTIGTFAPGIGGQLQHSAVGDGSFQSGLKPRQKLRPSVEILCNNQVLSPDMSLATVRAYIWKKPEDLVLNYRVIQGR >KJB27132 pep chromosome:Graimondii2_0_v6:4:61445379:61446944:1 gene:B456_004G283000 transcript:KJB27132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGETHRLNPTVHVPPWPNLDDDQTAEIYSPLNYNATDSHFNNNGGNPVHLHEALAALQRYLPSNEPDVLYPDSDLPVLENPDSPVDAYSCDHFRMFEFKIRKCPRGRAHDWTECPYAHPGEKARRRDPRKYHYSGTACADFRKGNCRKGDGCEFAHGVFECWLHPARYRTELCKDGPGCKRKVCFFAHSLDQLRVVSSAGSGSPLISPRTESSPPVSPISDSLSRSLGSSSINDMLRNLQLGKVKSMPNGLCSSPSPSMFGSPRDSMILPGFCSLPNTPTQNLTRHGIGGYLDLFEKWCEEEEPAMERVESGRDLRAKLFEKLSKENCLGRGNQGQGSGDPNLDWVSDLVN >KJB24301 pep chromosome:Graimondii2_0_v6:4:38493809:38497190:1 gene:B456_004G137700 transcript:KJB24301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPNLSDILGAGQKPSFHSSPMGSMLGNLPSFDPHNFSQLRPSDPSNPSKMVPSTYRPTHSRTLPPPDQVIATEAKNILIRNIYQRAEEKVSSIEIETCCHRTSNTRAWMQANKAFHLLVVTLRFFL >KJB24300 pep chromosome:Graimondii2_0_v6:4:38493766:38497231:1 gene:B456_004G137700 transcript:KJB24300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPNLSDILGAGQKPSFHSSPMGSMLGNLPSFDPHNFSQLRPSDPSNPSKMVPSTYRPTHSRTLPPPDQVIATEAKNILIRNIYQRAEEKLRSKRAATEHLIPEHGCKQTRPSTS >KJB26730 pep chromosome:Graimondii2_0_v6:4:59602489:59605380:-1 gene:B456_004G260300 transcript:KJB26730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALPSTAWHSMTLQRRPPLPQWRRPLIVQSFRRSDFDTFARRVASGEALKDAWRTANDRFEQFVFEAKKTAERLDRQYSVSRRISSVVQSATDRARELDREFEIGIRWRTSTMDFRRNWPRYRKQLNDFLETPLGRSFATIFFLWFALSGWLFRFLIFAMWILPFAGPLLIGTIANSLVIKGACPACRKQFAGYKNQMIRCASCGNIVWQPEGDFFGRDSRRTGSRKSEPEIIDVEFEEK >KJB26728 pep chromosome:Graimondii2_0_v6:4:59600241:59605337:-1 gene:B456_004G260300 transcript:KJB26728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALPSTAWHSMTLQRRPPLPQWRRPLIVQSFRRSDFDTFARRVASGEALKDAWRTANDRFEQFVFEAKKTAERLDRQYSVSRRISSVVQSATDRARELDREFEIGIRWRTSTMDFRRNWPRYRKQLNDFLETPLGRSFATIFFLWFALSGWLFRFLIFAMWILPFAGPLLIGTIANSLVIKGACPACRKQFAGYKNQMIRCASCGNIVWQPEGDFFGRDSRRTGSRKSEPEIIDVEFEEK >KJB26729 pep chromosome:Graimondii2_0_v6:4:59600027:59605337:-1 gene:B456_004G260300 transcript:KJB26729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALPSTAWHSMTLQRRPPLPQWRRPLIVQSFRRSDFDTFARRVASGEALKDAWRTANDRFEQFVFEAKKTAERLDRQYSVSRRISSVVQSATDRARELDREFEIGIRWRTSTMDFRRNWPRYRKQLNDFLETPLGRSFATIFFLWFALSGWLFRFLIFAMWILPFAGPLLIGTIANSLVIKGACPACRKQFAGYKNQMIRCASCGNIVWQPEGDFFGRDSRRTGSRKSEPEIIDVEFEEK >KJB25790 pep chromosome:Graimondii2_0_v6:4:54283307:54283507:1 gene:B456_004G2103001 transcript:KJB25790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASFAKPQASAARANCGSQASNAPQLGSKTVSRGLNSSLKLLMSNCSFFYSLTAFTVACAFECLGLE >KJB25792 pep chromosome:Graimondii2_0_v6:4:54283307:54283507:1 gene:B456_004G2103001 transcript:KJB25792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASFAKPQASAARANCGSQASNAPQLGSKTVSRGLNSSLKLLMSNCSFFYSLTAFTVACAFECLGLE >KJB25791 pep chromosome:Graimondii2_0_v6:4:54283307:54283638:1 gene:B456_004G2103001 transcript:KJB25791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASFAKPQASAARANCGSQASNAPQLGSKTVSRGLNSSLKLLMSNCSFFYSLTAFTVACAFECLGLE >KJB21725 pep chromosome:Graimondii2_0_v6:4:742659:745652:-1 gene:B456_004G010500 transcript:KJB21725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVREPKEETTTLGPAVRDGEHVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >KJB25985 pep chromosome:Graimondii2_0_v6:4:55503052:55506318:-1 gene:B456_004G220100 transcript:KJB25985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQSCKSFIRHLYNSNILITRQKKLYGRFMNGVLNRFQSQWSKPEGLKEVIKEKEAKDMAEIETSSRFFKYSSGDEDYVGDTKWKLELAWLTKALEPALQLCRWALPIGNEVRDDKPQYAARSVSEIISSIQKSKTVIEGWSLSDLTIGLYLIYLRQASLNPFEDVKGVKIKSVSIVQDLIYHIELAKGCYKDNAAILARTSMLRESNVLKFVKNSSVMRPGYYIGIDPRKKLVIFGIRGTHTVYDLITDIVTSSDGEVTFEGYSTHFGTAEAARWFLHHEIGTIRQCLEKYEGFRLRLVGHSLGAATASLLAIMLRKRSKKELGFSPDVVSAVGYATPPCVSKELAETCSDFVTTIVMQDDIVPRLSAASLARLRNEILETDWMSVVEKADWKSIVDLVTNAKLVVSSVQDVARKLADYAHFKSANGSSDVAIKTEPTSVPKKVTALQKEEGAYTVPEELFVPGTVYYLKRNIDDHTGSNDNRGQEYFSLWRRHPGEHFQKIILSSNLISDHRCDSHYFALRDVLKGLPLSHEEDLFR >KJB25987 pep chromosome:Graimondii2_0_v6:4:55503129:55506220:-1 gene:B456_004G220100 transcript:KJB25987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQSCKSFIRHLYNSNILITRQKKLYGRFMNGVLNRFQSQWSKPEGLKEVIKEKEAKDMAEIETSSRFFKYSSGDEDYVGDTKWKLELAWLTKALEPALQLCRWALPIGNEVRDDKPQYAARSVSEIISSIQKSKTVIEGWSLSDLTIGLYLIYLRQASLNPFEDVKGVKIKSVSIVQDLIYHIELAKGCYKDNAAILARTSMLRESNVLKFVKNSSVMRPGYYIGIDPRKKLVIFGIRGTHTVYDLITDIVTSSDGEVTFEGYSTHFGTAEAARWFLHHEIGTIRQCLEKYEGFRLRLVGHSLGAATASLLAIMLRKRSKKELGFSPDVVSAVGYATPPCVSKELAETCSDFVTTIVMQDDIVPRLSAASLARLRNEILETDCM >KJB25989 pep chromosome:Graimondii2_0_v6:4:55503129:55506220:-1 gene:B456_004G220100 transcript:KJB25989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQSCKSFIRHLYNSNILITRQKKLYGRFMNGVLNRFQSQWSKPEGLKEVIKEKEAKDMAEIETSSRFFKYSSGDEDYVGDTKWKLELAWLTKALEPALQLCRWALPIGNEVRDDKPQYAARSVSEIISSIQKSKTVIEGWSLSDLTIGLYLIYLRQASLNPFEDVKGVKIKSVSIVQDLIYHIELAKGCYKDNAAILARTSMLRESNVLKFVKNSSVMRPGYYIGIDPRKKLVIFGIRGTHTVYDLITDIVTSSDGEVTFEGYSTHFGTAEAARWFLHHEIGTIRQCLEKYEGFRLRLVGHSLGAATASLLAIMLRKRSKKELGFSPDVVSAVGYATPPCVSKELAETCSDFVTTIVMQVNPTHLIEC >KJB25986 pep chromosome:Graimondii2_0_v6:4:55503844:55506220:-1 gene:B456_004G220100 transcript:KJB25986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQSCKSFIRHLYNSNILITRQKKLYGRFMNGVLNRFQSQWSKPEGLKEVIKEKEAKDMAEIETSSRFFKYSSGDEDYVGDTKWKLELAWLTKALEPALQLCRWALPIGNEVRDDKPQYAARSVSEIISSIQKSKTVIEGWSLSDLTIGLYLIYLRQASLNPFEDVKGVKIKSVSIVQDLIYHIELAKGCYKDNAAILARTSMLRESNVLKFVKNSSVMRPGYYIGIDPRKKLVIFGIRGTHTVYDLITDIVTSSDGEVTFEGYSTHFGTAEAARWFLHHEIGTIRQCLEKYEGFRLRLVGHSLGAATASLLAIMLRKRSKKELGFSPDVVSAVGYATPPCVSKELAETCSDFVTTIVMQDDIVPRLSAASLARLRNEILETDW >KJB25988 pep chromosome:Graimondii2_0_v6:4:55504600:55506215:-1 gene:B456_004G220100 transcript:KJB25988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQSCKSFIRHLYNSNILITRQKKLYGRFMNGVLNRFQSQWSKPEGLKEVIKEKEAKDMAEIETSSRFFKYSSGDEDYVGDTKWKLELAWLTKALEPALQLCRWALPIGNEVRDDKPQYAARSVSEIISSIQKSKTVIEGWSLSDLTIGLYLIYLRQASLNPFEDVKGVKIKSVSIVQDLIYHIELAKGCYKDNAAILARTSMLRESNVLKFVKNSSVMRPGYYIGIDPRKKLVIFGIRGTHTVYDLITDIVTSSDGEVTFEGYSTHFGTAEAARWFLHHEIGTIRQCLEKYEGFRLRLVGHSLGAATASLLAIMLRKRSKKELGFSPDVVSAVGYATPPCVSKELAETCSDFVTTIVMQVNPTHLIEC >KJB25990 pep chromosome:Graimondii2_0_v6:4:55504401:55506215:-1 gene:B456_004G220100 transcript:KJB25990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQSCKSFIRHLYNSNILITRQKKLYGRFMNGVLNRFQSQWSKPEGLKEVIKEKEAKDMAEIETSSRFFKYSSGDEDYVGDTKWKLELAWLTKALEPALQLCRWALPIGNEVRDDKPQYAARSVSEIISSIQKSKTVIEGWSLSDLTIGLYLIYLRQASLNPFEDVKGVKIKSVSIVQDLIYHIELAKGCYKDNAAILARTSMLRESNVLKFVKNSSVMRPGYYIGIDPRKKLVIFGIRGTHTVYDLITDIVTSSDGEVTFEGYSTHFGTAEAARWFLHHEIGTIRQCLEKYEGFRLRLVGHSLGAATASLLAIMLRKRSKKELGFSPDVVSAVGYATPPCVSKELAETCSDFVTTIVMQDDIVPRLSAASLARLRNEILETDW >KJB23736 pep chromosome:Graimondii2_0_v6:4:25585835:25593071:-1 gene:B456_004G112100 transcript:KJB23736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASRTRHMLEALVREGSLNWLLSRRSSFAEEFEELERSPSAGNNWIPELSPAANIVVRRCSRILETSSSELQESFNAEASDSIKHKSRYARNFLEYCCFRALALSTQGMGHLADKKFRRLTFDMMVAWEAPAAAGQSLINLDDDLSIGIEAFSRIAPAVPIIANVIICENLFEVLTISTGGRVHFSIYEKYLNGLERVIKKMKNQSESSLLSAVRSTRGEKILEVDGTVTTQPVLEHVGISTWPGRLILTDHALYFEALRVVSYDKPKRYDLSDDLNQTVKPELTGPWGTRLFDKAVLYKSISLSEPAIIEFPELKGHTRRDYWLAVIREILYVHRFINKFHITGIEKDDALSKAILGILRVQAIQEISSSSTVCFESLLMFNLCDQLPGGDLILETLANMSSSRGLNWGNNIVASGGMYSISALTTVSNLGVMFGSSSNNPSEAGLLVGELAVGEMSSLERAVKESRENYKKVVLAQETVNGVKADGIDTNLAVMKELLLPVMEVGNWLLSLVYWDDPLKSLLFSLISTFIIFRGWLGYTLALTLVLIAIFMVVTRFCNQGRPLVELKVMAPPPMNTMEQLLAVQNAISQAEQLVQDGNIVLLKFRALLLSIFPQASDRFAALLVFMALILALVPSKYMLLVVFLETFTRYSPLRKASTERWMRRLREWWFSIPAAPVVLETQRQKEKDAKKRK >KJB23738 pep chromosome:Graimondii2_0_v6:4:25585930:25589083:-1 gene:B456_004G112100 transcript:KJB23738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQSESSLLSAVRSTRGEKILEVDGTVTTQPVLEHVGISTWPGRLILTDHALYFEALRVVSYDKPKRYDLSDDLNQTVKPELTGPWGTRLFDKAVLYKSISLSEPAIIEFPELKGHTRRDYWLAVIREILYVHRFINKFHITGIEKDDALSKAILGILRVQAIQEISSSSTVCFESLLMFNLCDQLPGGDLILETLANMSSSRGLNWGNNIVASGGMYSISALTTVSNLGVMFGSSSNNPSEAGLLVGELAVGEMSSLERAVKESRENYKKVVLAQETVNGVKADGIDTNLAVMKELLLPVMEVGNWLLSLVYWDDPLKSLLFSLISTFIIFRGWLGYTLALTLVLIAIFMVVTRFCNQGRPLVELKVMAPPPMNTMEQLLAVQNAISQAEQLVQDGNIVLLKFRALLLSIFPQASDRFAALLVFMALILALVPSKYMLLVVFLETFTRYSPLRKASTERWMRRLREWWFSIPAAPVVLETQRQKEKDAKKRK >KJB23737 pep chromosome:Graimondii2_0_v6:4:25585888:25592830:-1 gene:B456_004G112100 transcript:KJB23737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASRTRHMLEALVREGSLNWLLSRRSSFAEEFEELERSPSAGNNWIPELSPAANIVVRRCSRILETSSSELQESFNAEASDSIKHKSRYARNFLEYCCFRALALSTQGMGHLADKKFRRLTFDMMVAWEAPAAAGQSLINLDDDLSIGIEAFSRIAPAVPIIANVIICENLFEVLTISTGGRVHFSIYEKYLNGLERVIKKMKNQSESSLLSAVRSTRGEKILEVDGTVTTQPVLEHVGISTWPGRLILTDHALYFEALRVVSYDKPKRYDLSDDLNQTVKPELTGPWGTRLFDKAVLYKSISLSEPAIIEFPELKGHTRRDYWLAVIREILYVHRFINKFHITGIEKDDALSKAILGILRVQAIQEISSSSTVCFESLLMFNLCDQLPGGDLILETLANMSSSRGLNWGNNIVASGGMYSISALTTVSNLGVMFGSSSNNPSEAGLLVGELAVGEMSSLERAVKESRENYKKVVLAQETVNGVKADGIDTNLAVMKELLLPVMEVGNWLLSLVYWDDPLKSLLFSLISTFIIFRGWLGYTLALTLVLIAIFMVVTRFCNQGRPLVELKVMAPPPMNTMEQLLAVQNAISQAEQLVQDGNIVLLKFRALLLSIFPQASDRFAALLVFMALILALVPSKYMLLVVFLETFTRYSPLRKASTERWMRRLREWWFSIPAAPVVLETQRQKEKDAKKRK >KJB27405 pep chromosome:Graimondii2_0_v6:4:54292739:54293814:-1 gene:B456_004G210400 transcript:KJB27405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGSISLWVADTSIPLVRTCLVLSWDQDNKSSFAEEVCASFVEVRTNGLIRDFLRIDLVKSHIFYIRTRNDPSGSELISDNRSDRTNKNPQNHRTIHTLLNRNKESQSLIILSSSNCFRMAHSTISSIIRLWMSVAKYLELDNLKQAFQVLNYYLIAENGRIYKFDPCRNIFLNAVNLNWYFSHHHYHHNYCEEMSTIISLGQFICENVCIAKNGPRLKSGQIFIAQVDSIIIRSAKPYLATPGATVHGHCGEILYEGDTLVTFIYEKSRSGDVL >KJB24890 pep chromosome:Graimondii2_0_v6:4:46252443:46258313:1 gene:B456_004G166100 transcript:KJB24890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESSTQENGFVAIENINSSLNPVNDTESADRHEDAPTSSGSKVPSTTDTNAEVGSGSVINSGVSASEGTFSWKPENCDAPKVAECFTKVAETKFPIKVEEFFNLFFSDNAVNFIESFHRRCGDKEFKCSSWCPHDKFGHVRDVSFQHPIKIYFGAKFGSCQEAQKFRIYRNSHLVIETSQEISDVPYGDYFRVEGLWDVERDNDGPQEGCILRVYVNVAFSKKTVWKGKIVQSTLEECREAYATWIDRAHELLKQNIDKQGGVKPSESSAGNGELQVEREVATTEPSERSHTLSDPVRTLQMSDSLDVNQRIGNLLQESLTSALSIASLLSEFARKSFSYLKSQGHISLVLAVAFTVILLMQVSIVVLLNRPQQVHVNYPVEYMGSMMRGGGGGGGESRAEAVAWLEKRMHHLKEETTMVEARLERMWHEHAALKAELKELGYPKKHNR >KJB24886 pep chromosome:Graimondii2_0_v6:4:46250668:46256166:1 gene:B456_004G166100 transcript:KJB24886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSAAAERIDLPRSSMDRSLSKAVSDDVSDSSTSPNDSSPTHTPDRNDASNASPNPSYKDVDIQSQSALRSEEYRQLFRLPPEEFLVQDFNCAFQESILLQGHMYLFVHYICFYSNIFGFETKKVIAFNEITSVNRAKTAGIFPNAIEIFAGGRRYFFASFLSRDEAFKIINDGWLQHVNGAQEITEQQESMSESSTQENGFVAIENINSSLNPVNDTESADRHEDAPTSSGSKVPSTTDTNAEVGSGSVINSGVSASEGTFSWKPENCDAPKVAECFTKVAETKFPIKVEEFFNLFFSDNAVNFIESFHRRCGDKEFKCSSWCPHDKFGHVRDVSFQHPIKIYFGAKFGSCQEAQKFRIYRNSTSFTLDSHLVIETSQEISDVPYGDYFRVEVQARPELP >KJB24884 pep chromosome:Graimondii2_0_v6:4:46250572:46258374:1 gene:B456_004G166100 transcript:KJB24884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSAAAERIDLPRSSMDRSLSKAVSDDVSDSSTSPNDSSPTHTPDRNDASNASPNPSYKDVDIQSQSALRSEEYRQLFRLPPEEFLVQDFNCAFQESILLQGHMYLFVHYICFYSNIFGFETKKVIAFNEITSVNRAKTAGIFPNAIEIFAGGRRYFFASFLSRDEAFKIINDGWLQHVNGAQEITEQQESMSESSTQENGFVAIENINSSLNPVNDTESADRHEDAPTSSGSKVPSTTDTNAEVGSGSVINSGVSASEGTFSWKPENCDAPKVAECFTKVAETKFPIKVEEFFNLFFSDNAVNFIESFHRRCGDKEFKCSSWCPHDKFGHVRDVSFQHPIKIYFGAKFGSCQEAQKFRIYRNSHLVIETSQEISDVPYGDYFRVEGLWDVERDNDGPQEGCILRVYVNVAFSKKTVWKGKIVQSTLEECREAYATWIDRAHELLKQNIDKQGGVKPSESSAGNGELQVEREVATTEPSERSHTLSDPVRTLQMSDSLDVNQRIGNLLQESLTSALSIASLLSEFARKSFSYLKSQGHISLVLAVAFTVILLMQVSIVVLLNRPQQVHVNYPVEYMGSMMRGGGGGGGESRAEAVAWLEKRMHHLKEETTMVEARLERMWHEHAALKAELKELGYPKKHNR >KJB24889 pep chromosome:Graimondii2_0_v6:4:46250668:46258313:1 gene:B456_004G166100 transcript:KJB24889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSAAAERIDLPRSSMDRSLSKAVSDDVSDSSTSPNDSSPTHTPDRNDASNASPNPSYKDVDIQSQSALRSEEYRQLFRLPPEEFLVQDFNCAFQESILLQGHMYLFVHYICFYSNIFGFETKKVIAFNEITSVNRAKTAGIFPNAIEIFAGGRRYFFASFLSRDEAFKIINDGWLQHVNGAQEITEQQESMSESSTQENGFVAIENINSSLNPVNDTESADRHEDAPTSSGSKVPSTTDTNAEVGSGSVINSGVSASEGTFSWKPENCDAPKVAECFTKVAETKFPIKVEEFFNLFFSDNAVNFIESFHRRCGDKEFKCSSWCPHDKFGHVRDVSFQHPIKIYFGAKFGSCQEAQKFRIYRNSTSFTLDSHLVIETSQEISDVPYGDYFRVEGLWDVERDNDGPQEGCILRVYVNVAFSKKTVWKGKIVQSTLEECREAYATWIDRAHELLKQNIDKQGGVKPSESSAGNGELQVEREVATTEPSERSHTLSDPVRTLQMSDSLDVNQRIGNLLQESLTSALSIASLLSEFARKSFSYLKSQGHISLVLAVAFTVILLMQVSIVVLLNRPQQVHVNYPVEYMGSMMRGGGGGGGESRAEAVAWLEKRMHHLKEETTMVEARLERMWHEHAALKAELKELGYPKKHNR >KJB24885 pep chromosome:Graimondii2_0_v6:4:46250668:46255973:1 gene:B456_004G166100 transcript:KJB24885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSAAAERIDLPRSSMDRSLSKAVSDDVSDSSTSPNDSSPTHTPDRNDASNASPNPSYKDVDIQSQSALRSEEYRQLFRLPPEEFLVQDFNCAFQESILLQGHMYLFVHYICFYSNIFGFETKKVIAFNEITSVNRAKTAGIFPNAIEIFAGGRRYFFASFLSRDEAFKIINDGWLQHVNGAQEITEQQESMSESSTQENGFVAIENINSSLNPVNDTESADRHEDAPTSSGSKVPSTTDTNAEVGSGSVINSGVSASEGTFSWKPENCDAPKVAECFTKVAETKFPIKVEEFFNLFFSDNAVNFIESFHRRCGDKEFKCSSWCPHDKFGHVRDVSFQHPIKIYFGAKFGSCQEAQKFRIYRNSHLVIETSQEISDVPYGDYFRVEVQARPELP >KJB24888 pep chromosome:Graimondii2_0_v6:4:46250730:46257935:1 gene:B456_004G166100 transcript:KJB24888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSAAAERIDLPRSSMDRSLSKAVSDDVSDSSTSPNDSSPTHTPDRNDASNASPNPSYKDVDIQSQSALRSEEYRQLFRLPPEEFLVQDFNCAFQESILLQGHMYLFVHYICFYSNIFGFETKKVIAFNEITSVNRAKTAGIFPNAIEIFAGGRRYFFASFLSRDEAFKIINDGWLQHVNGAQEITEQQESMSESSTQENGFVAIENINSSLNPVNDTESADRHEDAPTSSGSKVPSTTDTNAEVGSGSVINSGVSASEGTFSWKPENCDAPKVAECFTKVAETKFPIKVEEFFNLFFSDNAVNFIESFHRRCGDKEFKCSSWCPHDKFGHVRDVSFQHPIKIYFGAKFGSCQEAQKFRIYRNSHLVIETSQEISDVPYGDYFRVEGLWDVERDNDGPQEGCILRVYVNVAFSKKTVWKGKIVQSTLEECREAYATWIDRAHELLKQNIDKQGGVKPSESSAGNGELQVEREVATTEPSERSHTLSDPRVCEEILLILEKPRPHFTSLSCCLHCDSPDAGKHCCAIKQATTSACELPS >KJB24887 pep chromosome:Graimondii2_0_v6:4:46250668:46258313:1 gene:B456_004G166100 transcript:KJB24887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSAAAERIDLPRSSMDRSLSKAVSDDVSDSSTSPNDSSPTHTPDRNDASNASPNPSYKDVDIQSQSALRSEEYRQLFRLPPEEFLVQDFNCAFQESILLQGHMYLFVHYICFYSNIFGFETKKVIAFNEITSVNRAKTAGIFPNAIEIFAGGRRYFFASFLSRDEAFKIINDGWLQHVNGAQEITEQQESMSESSTQENGFVAIENINSSLNPVNDTESADRHEDAPTSSGSKVPSTTDTNAEVGSGSVINSGVSASEGTFSWKPENCDAPKVAECFTKVAETKFPIKVEEFFNLFFSDNAVNFIESFHRRCGDKEFKCSSWCPHDKFGHVRDVSFQHPIKIYFGAKFGSCQEAQKFRIYRNSHLVIETSQEISDVPYGDYFRVEGLWDVERDNDGPQEGCILRVYVNVAFSKKTVWKGKIVQSTLEECREAYATWIDRAHELLKQNIDKQGGVKPSESSAGNGELQVEREVATTEPSERSHTLSDPVSIVVLLNRPQQVHVNYPVEYMGSMMRGGGGGGGESRAEAVAWLEKRMHHLKEETTMVEARLERMWHEHAALKAELKELGYPKKHNR >KJB26927 pep chromosome:Graimondii2_0_v6:4:60200939:60206439:-1 gene:B456_004G266800 transcript:KJB26927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELVLHNGGCHCKNVRWKVQAPASVIAWKCNCSDCSMRGNVHFVVPRQRFELLGDSDQFITTYTFGTHTAKHTFCKVCGITSFYTPRSNPDGIAVTLACLDPGTLSHVEIRHADGKNWEDFFHRSGIALQSKIHSAQ >KJB22953 pep chromosome:Graimondii2_0_v6:4:8562440:8564418:-1 gene:B456_004G075500 transcript:KJB22953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPMKIQPIDFNTLEEAALPRSETVKPLVKSRFKRLFERPFPSVLRNSTTDKIGTVAADELPLSKECAGEFEPSSVCLAKMVQNFIEENNEKQQSCAVRCSRNRCNCFNRNCSDSSEDDMDSFFGDSNLNSPAEASEILKNLICPMSVSEKILLADTAKIVEKNKICKSKDDFCRKIVTDGLLTLGYDASICKSCWEKSPSCPAGEYEYIDVIIEGERLLIDTDFRSEFELARSTKTYKSILQMLPFIFVGKADRLQKIIVTVSEAVKQCLKKKGMHIPPWRKAEYIKAKWLSPYNRITPSPSPSPSPSPTPTSTSITGTLKEFELDPKAKEQCQPLFELNPEGKNSVDDADLGEPIFALSESSEEERNEKNVKKEERKPPQINPRSSQIGVKIVAGLASAIEDEQ >KJB22954 pep chromosome:Graimondii2_0_v6:4:8562456:8563919:-1 gene:B456_004G075500 transcript:KJB22954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPMKIQPIDFNTLEEAALPRSETVKPLVKSRFKRLFERPFPSVLRNSTTDKIGTVAADELPLSKECAGEFEPSSVCLAKMVQNFIEENNEKQQSCAVRCSRNRCNCFNRNCSDSSEDDMDSFFGDSNLNSPAEASEILKNLICPMSVSEKILLADTAKIVEKNKICKSKDDFCRKIVTDGLLTLGYDASICKSCWEKSPSCPAAGEYEYIDVIIEGERLLIDTDFRSEFELARSTKTYKSILQMLPFIFVGKADRLQKIIVTVSEAVKQCLKKKGMHIPPWRKAEYIKAKWLSPYNRITPSPSPSPSPSPTPTSTSITGTLKEFELDPKAKEQCQPLFELNPEGKNSVDDADLGEPIFALSESSEEERNEKNVKKEERKPPQINPRSSQIGVKIVAGLASAIEDEQ >KJB26931 pep chromosome:Graimondii2_0_v6:4:60307476:60312944:1 gene:B456_004G268000 transcript:KJB26931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLHSRTFASTPEDVKIDAEISEKISLLQTFLRPQHLDIPSALQNEAAWLLAEKELKKINAFKAPREKLLCIINCSRVINNLLLNASISEDHVPGGADDFLPVLIYVTIKANPPQLHSNLKFIQLYRRQSKLVSEAAYYLTNLVSAKSFIVDLNAKSLSIEESEFMESMEAARLVNRVPQETVTTIDKRSIFGKLMDPGPSTPVHVKTQTNVNGGSNYPYMEAEAGELTVGDVERLLSLYKDVVTKYTSLCNTVRHLSVSRTQRHDGLLREPNGTHKDTYSRETEKR >KJB26932 pep chromosome:Graimondii2_0_v6:4:60307460:60312944:1 gene:B456_004G268000 transcript:KJB26932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAIRDHPLWASSSDDETDNALEGLEKYVMTKLHSRTFASTPEDVKIDAEISEKISLLQTFLRPQHLDIPSALQNEAAWLLAEKELKKINAFKAPREKLLCIINCSRVINNLLLNASISEDHVPGGADDFLPVLIYVTIKANPPQLHSNLKFIQLYRRQSKLVSEAAYYLTNLVSAKSFIVDLNAKSLSIEESEFMESMEAARLVNRVPQETVTTIDKRSIFGKLMDPGPSTPVHVKTQTNVNGGSNYPYMEAEAGELTVGDVERLLSLYKDVVTKYTSLCNTVRHLSVSRTQRHDGLLREPNGTHKDTYSRETEKR >KJB26934 pep chromosome:Graimondii2_0_v6:4:60307460:60312944:1 gene:B456_004G268000 transcript:KJB26934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVTHSSPFDSFLDRMRNPASLDLVRSIKSFIVSFSYTASNPETDGKRIQEFFQTMEDAIRDHPLWASSSDDETDNALEGLEKYVMTKLHSRTFASTPEDVKIDAEISEKISLLQTFLRPQHLDIPSALQNEAAWLLAEKELKKINAFKAPREKLLCIINCSRVINNLLLNASISEDHVPGGADDFLPVLIYVTIKANPPQLHSNLKFIQLYRRQSKLVSEAAYYLTNLVSAKSFIVDLNAKSLSIEESEFMESMEAARLVNRVPQETVTTIDKRSIFGKLMDPGPSTPVHVKTQTNVNGGSNYPYMEAEAGELTVGDVERLLSLYKDVVTKYTSLCNTVRHLSVSRTQRHDGLLREPNGTHKDTYSRETEKR >KJB26936 pep chromosome:Graimondii2_0_v6:4:60307476:60314490:1 gene:B456_004G268000 transcript:KJB26936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVTHSSPFDSFLDRMRNPASLDLVRSIKSFIVSFSYTASNPETDGKRIQEFFQTMEDAIRDHPLWASSSDDETDNALEGLEKYVMTKLHSRTFASTPEDVKIDAEISEKISLLQTFLRPQHLDIPSALQNEAAWLLAEKELKKINAFKAPREKLLCIINCSRVINNLLLNASISEDHVPGGADDFLPVLIYVTIKANPPQLHSNLKFIQLYRRQSKLVSEAAYYLTNLVSAKSFIVDLNAKSLSIEESEFMESMEAARLVNRVPQETVTTIDKRSIFGKLMDPGPSTPVHVKTQTNVNGGSNYPYMEAEAGELTVGDVERLLSLYKDVVTKYTSLCNTVRHLSVSRTQRHDGLLREPNGTHKDTYSRETEKRS >KJB26933 pep chromosome:Graimondii2_0_v6:4:60307476:60310058:1 gene:B456_004G268000 transcript:KJB26933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVTHSSPFDSFLDRMRNPASLDLVRSIKSFIVSFSYTASNPETDGKRIQEFFQTMEDAIRDHPLWASSSDDETDNALEGLEKYVMTKLHSRTFASTPEDVKIDAEISEKISLLQTFLRPQHLDIPSALQNEAAWLLAEKELKKINAFKAPREKLLCIINCSRVINNLLLNASISEDHVPGGADDFLPVLIYVTIKASSPW >KJB26935 pep chromosome:Graimondii2_0_v6:4:60307476:60314490:1 gene:B456_004G268000 transcript:KJB26935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVTHSSPFDSFLDRMRNPASLDLVRSIKSFIVSFSYTASNPETDGKRIQEFFQTMEDAIRDHPLWASSSDDETDNALEGLEKYVMTKLHSRTFASTPEDVKIDAEISEKISLLQTFLRPQHLDIPSALQNEAAWLLAEKELKKINAFKAPREKLLCIINCSRVINNLLLNASISEDHVPGGADDFLPVLIYVTIKANPPQLHSNLKFIQLYRRQSKLVSEAAYYLTNLVSAKSFIVDLNAKSLSIEESEFMESMEAARLVNRVPQETVTTIDKRSIFGKLMDPGPSTPVHVKTQTNVNGGSNYPYMEAEAGELTVGDVERLLSLYKDVVTKYTSLCNTVRHLSVSRTQRHDGLLREPNGTHKDTYSRETEKRS >KJB23914 pep chromosome:Graimondii2_0_v6:4:29978304:29978943:-1 gene:B456_004G120800 transcript:KJB23914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTLRSVKVPPNSASLEEARSRVFDFFRQACRSIPTIMDIYNLDDVVTKSELRSSISSEIRKNSHVTNPKIYFMSCLHCALSSDQEGDWK >KJB23915 pep chromosome:Graimondii2_0_v6:4:29975938:29979734:-1 gene:B456_004G120800 transcript:KJB23915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTLRSVKVPPNSASLEEARSRVFDFFRQACRSIPTIMDIYNLDDVVTKSELRSSISSEIRKNSHVTNPKAHA >KJB22263 pep chromosome:Graimondii2_0_v6:4:3094396:3098344:-1 gene:B456_004G037500 transcript:KJB22263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVGPEHSKSGDMNHLNVKTEDSFSSLHELASNNDVEGIKQSIEKDVSSIDEVGLWYCREKGSNRIVAKHRTPLMVAATYGSVDVVKLILTHSEAVNLSCGTDKSTALHCAASGGSLHAMDVVNLLLSAGADTNSSDANGCRPADVVVVHPKLQSMRAVMEELLSGHVSDDPFGKQNLRISIDNSTSGLPPLSSSPENRSSPSPSHLASSPMASKFVDIPVNSTTERKEYPVDPSLPDIKNSVYAADEFRMFSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRMCKDGTSCDRRVCFFAHTAEELRPLYVSTGSAVPSPRSSASAASVMDMAAVMGLLPGSPSSVSALSPSPFNQPMSPSANGLSHSSAAWPQPNVPTLHLPGSNFQSSRLRSSLSARDIPCEGFDMWPDFDAHEQILNDLTCVSQSHNSPVSVSRSCRSKTLTPSNLEELFSAEISSSPRYTDQSAASTIFSPTHKSAVLNQFQQQQSKLSPINTSVFAPRNVEHPLLQASFGVRSPGRMSPRSTEPISPMAARLSAHVQLEKQRQQQLSCLSSRELGSPVNSPWSKWGSPNGKLNWSVSADELGQLRKSSYELRNSSEGPDLSWGQSLVKESPPEMMKEKLVAPAPHGASSGDISNDSNSQINSVDHSVLGAWIEQMQLDQLVV >KJB27191 pep chromosome:Graimondii2_0_v6:4:61495836:61497423:1 gene:B456_004G283600 transcript:KJB27191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRGYIRNFELFDPHGVGKITVEPQGRVNDCQARTYRQDIKVKDIEKYTTFKLPTRQECSFIAYYIEGAGRLGIGYANVPGYVYRILPKLMLFFDDLYPVQWGYVVISTPDGILDHGEAIRRNVGGQVLGYFH >KJB23709 pep chromosome:Graimondii2_0_v6:4:23881223:23886821:-1 gene:B456_004G111000 transcript:KJB23709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDEMIRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSIHILNGTALISLLQPAPETYQLFDDVILLSDGQIVYQGPRENVLDFFKYMGFKCPKRKGVADFLQEVTSKKDQEQYWTRIDEPYSFISVKEFAEAFQSFHIGQKLGDDLAIPFDKSKSHPDALSKDKYGVPKKELLKACLSRELLLMKRNLFVYVFKMFQLIFIGFITVTIFLRIEMHRDTITDGGIFMGALFFILVTVMFNGFAELTLTILKLPVFYKQRDLLFYPSWAYSLPTWILKIPISILDATLWVLMSYYVIGFDPNVGRFFKQYLLLLCLSQMASALFRFMGGLGRNIIVANTCGSFAMLAVLVMGGFVLTRDAVKKWWIWGYWISPLMYGQNAIAVNEFLGKSWRQVPPNSTEPLGVLILKSRGIFPEARWYWIGVGALIGYCFLFNFLFTLALKYLDPFGKPQAVISKETLAEKIASKAREKVDLSSRGEGSSGGGNESQRSVSFRSLSAKVGSVNDANQSRKRGMVLPFEPLSMSFDEIRYAVDMPQEMKAQGISEDRLELLKGICGAFRPGVLTALMGISGAGKTTLMDVLAGRKSGGYVKGTIKISGYPKKQETFARISGYCEQPDIHSPHVTVYESLLFSAWLRLPPEVNPETKTMFIEEVMELVELTSLREALVGLPGVNGLSTEARKRLTIAVELVANPSIIFMDEPTSGLDARAAAVVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGEEIYMGPLGHHSCYLIKYFEEINGIPKIKDGYNPATWMLEITSAAQEEALGVNFADIYKNSELYRRNKALVKELSSPAPGSKDLYFQTRYSQSLLTQCMACLWKQYWSYWRNPPYNAVRFLFTTVIGLLFGTIFWDIGSKRTREQDVFNSMGSMYAAVLFIGFQNCASVQPVVAVERTVFYRERATGMYSALPYAFGQVVIELPYVLVQTAIYGVIVYAMIGFQWTAAKFFWYLFFMYFTFLYFTFYGMMAVAVTPNHNIAAIVSSAFFAIWNLFSGFIIPRTRIPIWWRWYYWACPVSWTIYGLIASQYGDINEKFDSGETVEHFVRNYFDFRNEFVEIVAMVVVGICVLFGSIFAVSIKAFNFQKR >KJB23711 pep chromosome:Graimondii2_0_v6:4:23881439:23888252:-1 gene:B456_004G111000 transcript:KJB23711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGTDAFGVSSARIGSSSICRNNVREAFSMSSHEEDDENALKWAAIQKLPTYLRVRRGILTEQDGQSREIDIKNLGFVERRNLLERLVRIAEEDNERFLLKLKERIDRVGLDMPTIEVRFEHLNVEAEAYVGNRALPTMFNFSVNIVEGLLSNLHILPSRKKPFPILNDVSGIIKPRRMTLLLGPPSSGKTTLLLALAGKLGKDLKFSGRVTYNGHGMEEFVPQRTSAYISQYDLHIGEMTVRETLAFSARCQGVGPRYEMLAELSRREKEANIKPDPDIDIYMKAAALEGQEAGVVTDYILKILGLEVCADTMVGDEMIRGISGGQKKRVTTDGQIVYQGPRENVLDFFKYMGFKCPKRKGVADFLQEVTSKKDQEQYWTRIDEPYSFISVKEFAEAFQSFHIGQKLGDDLAIPFDKSKSHPDALSKDKYGVPKKELLKACLSRELLLMKRNLFVYVFKMFQLIFIGFITVTIFLRIEMHRDTITDGGIFMGALFFILVTVMFNGFAELTLTILKLPVFYKQRDLLFYPSWAYSLPTWILKIPISILDATLWVLMSYYVIGFDPNVGRFFKQYLLLLCLSQMASALFRFMGGLGRNIIVANTCGSFAMLAVLVMGGFVLTRDAVKKWWIWGYWISPLMYGQNAIAVNEFLGKSWRQVPPNSTEPLGVLILKSRGIFPEARWYWIGVGALIGYCFLFNFLFTLALKYLDPFGKPQAVISKETLAEKIASKAREKVDLSSRGEGSSGGGNESQRSVSFRSLSAKVGSVNDANQSRKRGMVLPFEPLSMSFDEIRYAVDMPQEMKAQGISEDRLELLKGICGAFRPGVLTALMGISGAGKTTLMDVLAGRKSGGYVKGTIKISGYPKKQETFARISGYCEQPDIHSPHVTVYESLLFSAWLRLPPEVNPETKTMFIEEVMELVELTSLREALVGLPGVNGLSTEARKRLTIAVELVANPSIIFMDEPTSGLDARAAAVVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGEEIYMGPLGHHSCYLIKYFEEINGIPKIKDGYNPATWMLEITSAAQEEALGVNFADIYKNSELYRRNKALVKELSSPAPGSKDLYFQTRYSQSLLTQCMACLWKQYWSYWRNPPYNAVRFLFTTVIGLLFGTIFWDIGSKRTREQDVFNSMGSMYAAVLFIGFQNCASVQPVVAVERTVFYRERATGMYSALPYAFGQVVIELPYVLVQTAIYGVIVYAMIGFQWTAAKFFWYLFFMYFTFLYFTFYGMMAVAVTPNHNIAAIVSSAFFAIWNLFSGFIIPRTRIPIWWRWYYWACPVSWTIYGLIASQYGDINEKFDSGETVEHFVRNYFDFRNEFVEIVAMVVVGICVLFGSIFAVSIKAFNFQKR >KJB23710 pep chromosome:Graimondii2_0_v6:4:23881223:23888372:-1 gene:B456_004G111000 transcript:KJB23710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGTDAFGVSSARIGSSSICRNNVREAFSMSSHEEDDENALKWAAIQKLPTYLRVRRGILTEQDGQSREIDIKNLGFVERRNLLERLVRIAEEDNERFLLKLKERIDRVGLDMPTIEVRFEHLNVEAEAYVGNRALPTMFNFSVNIVEGLLSNLHILPSRKKPFPILNDVSGIIKPRRMTLLLGPPSSGKTTLLLALAGKLGKDLKFSGRVTYNGHGMEEFVPQRTSAYISQYDLHIGEMTVRETLAFSARCQGVGPRYEMLAELSRREKEANIKPDPDIDIYMKAAALEGQEAGVVTDYILKILGLEVCADTMVGDEMIRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSIHILNGTALISLLQPAPETYQLFDDVILLSDGQIVYQGPRENVLDFFKYMGFKCPKRKGVADFLQEVTSKKDQEQYWTRIDEPYSFISVKEFAEAFQSFHIGQKLGDDLAIPFDKSKSHPDALSKDKYGVPKKELLKACLSRELLLMKRNLFVYVFKMFQLIFIGFITVTIFLRIEMHRDTITDGGIFMGALFFILVTVMFNGFAELTLTILKLPVFYKQRDLLFYPSWAYSLPTWILKIPISILDATLWVLMSYYVIGFDPNVGRFFKQYLLLLCLSQMASALFRFMGGLGRNIIVANTCGSFAMLAVLVMGGFVLTRDAVKKWWIWGYWISPLMYGQNAIAVNEFLGKSWRQVPPNSTEPLGVLILKSRGIFPEARWYWIGVGALIGYCFLFNFLFTLALKYLDPFGKPQAVISKETLAEKIASKAREKVDLSSRGEGSSGGGNESQRSVSFRSLSAKVGSVNDANQSRKRGMVLPFEPLSMSFDEIRYAVDMPQEMKAQGISEDRLELLKGICGAFRPGVLTALMGISGAGKTTLMDVLAGRKSGGYVKGTIKISGYPKKQETFARISGYCEQPDIHSPHVTVYESLLFSAWLRLPPEVNPETKTMFIEEVMELVELTSLREALVGLPGVNGLSTEARKRLTIAVELVANPSIIFMDEPTSGLDARAAAVVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGEEIYMGPLGHHSCYLIKYFEEINGIPKIKDGYNPATWMLEITSAAQEEALGVNFADIYKNSELYRRNKALVKELSSPAPGSKDLYFQTRYSQSLLTQCMACLWKQYWSYWRNPPYNAVRFLFTTVIGLLFGTIFWDIGSKRTREQDVFNSMGSMYAAVLFIGFQNCASVQPVVAVERTVFYRERATGMYSALPYAFGQVVIELPYVLVQTAIYGVIVYAMIGFQWTAAKFFWYLFFMYFTFLYFTFYGMMAVAVTPNHNIAAIVSSAFFAIWNLFSGFIIPRTRIPIWWRWYYWACPVSWTIYGLIASQYGDINEKFDSGETVEHFVRNYFDFRNEFVEIVAMVVVGICVLFGSIFAVSIKAFNFQKR >KJB24668 pep chromosome:Graimondii2_0_v6:4:44376414:44378953:1 gene:B456_004G156500 transcript:KJB24668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGTENGVSDENRSAEDWLSHAQKLVPMAVDKAREVKGFPGRWKVIISKLERIPSCLSDLSTHPCFSKNALCKEQLQAVLKTLKEVIELADLCLKEKYEGKLKMQSDLDALSGKLDLNLRDCGLLIKTGVLGEATLPLSFVSSTLELNTATYGPLKELLARLQIGHLEAKHKALDSLVEVMREDEKSVLSVMGRSNVAALVQLLTATSPIIRQTTVTVICSLAESGSCEAWLVSEGVLPPLIRLVESGNTVGKEKATISLQRLSMTAETARAIVGHGGVRPLIEMCQTGDSVSQAAAASTLKNISAVPEVRQILAEEGIIKVTIDLLEHGILLGSKEYAAECLQNLTAGNESLRRSVIAEGGIRSLLAYLDGPLPQESAVGALRNLVGSVPREVLMSLGFLPRLVHVLKSGSLGAQTAAAAAICRVCNSIETKKLVGEAGCITLLIKMLEAKSNNARETASQALSSLLTISPNRREVKKDDKSVPYLVQLLDPSPQNTAKKYAVSCLALLSTSKKCKKLMISYGAIGYLKKLSEMEIPGAKKLLERLQRGRLRSLFSRK >KJB23783 pep chromosome:Graimondii2_0_v6:4:27180301:27183073:1 gene:B456_004G114800 transcript:KJB23783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPSQFIEDYISNLTTIPVDSSKPLWELRILNLKTPEAEAVAILRIHHSIGDGMSLISSLFACCRKSSDPVALPTIPMQKQTDRPRNRRGVLWLLLSVWSVLRLILNTLVDISLFMATILFMKDTKTPLKGLSGVEHNPKRIVHQTVSLDDIKLVKNAMAVTVNDVILGVMQAGLSRYLNRKYGKVDKSKSNNLPGHFRLRATVLVNIRQAIGIQKSKAKWGNKLGFICFPFTIALRLDPLDYIRQAKTIADRKKLSLLALCTYLINNCVVKLFGSKVSSCLAYRIFFNTTMSISNVVGPVEEISFFGHPIAFIAPTVYGHPQALIVHFQSYINKMSIVVTVDPNVIPDPHLLCDDFSESLKLFKDAIILNNSLD >KJB25977 pep chromosome:Graimondii2_0_v6:4:55302982:55305070:1 gene:B456_004G219300 transcript:KJB25977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEEANKAAVESCHRVLSSLSQPKDQIQYRNLMAETGEAVFRFKRVVSLLNTSLGHARVRKMRKLQTPFPQSILLDNPHRKATTDNPSKNLQLGPFYLGNNHQTAQPASSGPYHMLQQQQQFRQRLQLHQQQMKHQAEMMFRKSNSGISLNFDNSGCTPTMSSTRSFISSLSIDGSVAKLDGGGGSFHFTGGSRSLDQGPRHKKKCSGRGEDGSVKCGSSGKCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKLPCEEARGEVLGRAIHAYCYL >KJB25976 pep chromosome:Graimondii2_0_v6:4:55302762:55305334:1 gene:B456_004G219300 transcript:KJB25976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEEANKAAVESCHRVLSSLSQPKDQIQYRNLMAETGEAVFRFKRVVSLLNTSLGHARVRKMRKLQTPFPQSILLDNPHRKATTDNPSKNLQLGPFYLGNNHQTAQPASSGPYHMLQQQQQFRQRLQLHQQQMKHQAEMMFRKSNSGISLNFDNSGCTPTMSSTRSFISSLSIDGSVAKLDGGGGSFHFTGGSRSLDQGPRHKKKCSGRGEDGSVKCGSSGKCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEEPSMLIVTYEGEHNHRRLPSQTTTT >KJB21452 pep chromosome:Graimondii2_0_v6:4:13088089:13118930:1 gene:B456_004G092600 transcript:KJB21452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRGSDQSQLMRRITRTQTAGNLGETAFDSEVVPSSLSEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLQGRVKKSDAREMQSFYQHYYKKYIQALTNAADKADRAQLTKAYQTANVLFEVLKAVNLTQSIEVDREILEAQDKVAEQTQILVPYNILPLDPDSANQAIMQYPEIQAAVYALRNTRGLPWPNDHKRKKDEDILDWLQEMFGFQKDNVANQREHLILLLANVHIRRFPKPDQQPKLDDHALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPLTGENIQPAYGGEEEAFLQKVVTPIYEVIRREAERSKRGKSKHSQWRNYDDLNEYFWSVDCFRLGWPIRADADFFCLPIEQIEKNGDNKPQATRDRWVGKVNFVEIRSFWHVFRSFDRMWSFFILCLQVMIIVAWNGNGQPSSIFTGDVFKKVLSVFITAAILKLGQAVLDVILSWKAQQSMSFHVKLRYILKVVSAAAWVIVLPVTYAYTSDNPSEIARTIQSWFGSTSSSPSLFILAVVIYLSPNMLAAILFLFPFVRRFLESSHYKIVMLMMWWSQPRLYVGRGMHESTFSLFKYTMFWVLLIITKLAFSYYIEIKPLVRPTKAIMSVRVRHFQWHEFFPQARNNIGVVIALWAPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFGSLPGAFNDCLIPGDKSEKKNKGFWLFFSRSFGQPLSNKEKEAAKFAQLWNKIISSFREEDLISNKEMNLLLVPYWADRDLDQIQWPPFLLASKIPIALDMAKDSDSRDRELQKRIEADPYMFCAIRECYASFRSIIRFLVEGKREKRVIDDIFFKVDKRIADGSLIKTYKMSAVPSLCDHIVKLIKLLLENKQDERGQVVLCFQDMLEIVTKDIMAEEEFSSLEHGGSGHDGMNPDPLHQLFDTKKLDQLFASTGAIKFPTPVSEAWREKINRLYLLLTTKESAMDVPSNIDARRRISFFSNSLFMDMPAAPKVRNMLSFTVLTPYYTEEVLFSLQELEEPNEDGVSILFYLQKIFPDEWNNFLERVERNNEEELKESPELEEKLRLWASYRGQTLTRTVRGMMYYREALELQAFLDMAKHEDLMEGYKAIELNTEDSEENRSLKAQCEAVADMKFMYVVSCQQYGIQKRSGSERAQDILRLMTKYPSLRVAYIDEIEQRSEDRSKKLNGKVNYFSVLVRAVPKSFDSSEQNLDQEIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASRVINLSEDIFAGFSSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLVTVLTVYVFLYGRLYLVLSGLEQGLREEPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFQTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFAENYRLYSRSHFVKGIEMMILLIVYQICGHTYRSTVAYVLITVSLWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNRGGIGVPSEKSWESWWEEEQEHLQYSGKRGIIAEILLALRFFIYQYGLVYHLHVTRETKSFLVYGASWLVIVLILFVMKTVSVGRRKFSASYQLVFRLIKGLIFLTFVSILVILVTLAHMTIQDIIVCIFIFMPTGWGMLLIAQALRPVVKKAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDHASRHKE >KJB21455 pep chromosome:Graimondii2_0_v6:4:13088662:13117204:1 gene:B456_004G092600 transcript:KJB21455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRGSDQSQLMRRITRTQTAGNLGETAFDSEVVPSSLSEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLQGRVKKSDAREMQSFYQHYYKKYIQALTNAADKADRAQLTKAYQTANVLFEVLKAVNLTQSIEVDREILEAQDKVAEQTQILVPYNILPLDPDSANQAIMQYPEIQAAVYALRNTRGLPWPNDHKRKKDEDILDWLQEMFGFQKDNVANQREHLILLLANVHIRRFPKPDQQPKLDDHALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPLTGENIQPAYGGEEEAFLQKVVTPIYEVIRREAERSKRGKSKHSQWRNYDDLNEYFWSVDCFRLGWPIRADADFFCLPIEQIEKNGDNKPQATRDRWVGKVNFVEIRSFWHVFRSFDRMWSFFILCLQVMIIVAWNGNGQPSSIFTGDVFKKVLSVFITAAILKLGQAVLDVILSWKAQQSMSFHVKLRYILKVVSAAAWVIVLPVTYAYTSDNPSEIARTIQSWFGSTSSSPSLFILAVVIYLSPNMLAAILFLFPFVRRFLESSHYKIVMLMMWWSQPRLYVGRGMHESTFSLFKYTMFWVLLIITKLAFSYYIEIKPLVRPTKAIMSVRVRHFQWHEFFPQARNNIGVVIALWAPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFGSLPGAFNDCLIPGDKSEKKNKGFWLFFSRSFGQPLSNKEKEAAKFAQLWNKIISSFREEDLISNKEMNLLLVPYWADRDLDQIQWPPFLLASKIPIALDMAKDSDSRDRELQKRIEADPYMFCAIRECYASFRSIIRFLVEGKREKRVIDDIFFKVDKRIADGSLIKTYKMSAVPSLCDHIVKLIKLLLENKQDERGQVVLCFQDMLEIVTKDIMAEEEFSSLEHGGSGHDGMNPDPLHQLFDTKKLDQLFASTGAIKFPTPVSEAWREKINRLYLLLTTKESAMDVPSNIDARRRISFFSNSLFMDMPAAPKVRNMLSFTVLTPYYTEEVLFSLQELEEPNEDGVSILFYLQKIFPDEWNNFLERVERNNEEELKESPELEEKLRLWASYRGQTLTRTVRGMMYYREALELQAFLDMAKHEDLMEGYKAIELNTEDSEENRSLKAQCEAVADMKFMYVVSCQQYGIQKRSGSERAQDILRLMTKYPSLRVAYIDEIEQRSEDRSKKLNGKVNYFSVLVRAVPKSFDSSEQNLDQEIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASRVINLSEDIFAGFSSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLVTVLTVYVFLYGRLYLVLSGLEQGLREEPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFQTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFAENYRLYSRSHFVKGIEMMILLIVYQICGHTYRSTVAYVLITVSLWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNRGGIGVPSEKSWESWWEEEQEHLQYSGKRGIIAEILLALRFFIYQYGLVYHLHVTRETKSFLVGSSLFPWPFFP >KJB21453 pep chromosome:Graimondii2_0_v6:4:13088662:13118926:1 gene:B456_004G092600 transcript:KJB21453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRGSDQSQLMRRITRTQTAGNLGETAFDSEVVPSSLSEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLQGRVKKSDAREMQSFYQHYYKKYIQALTNAADKADRAQLTKAYQTANVLFEVLKAVNLTQSIEVDREILEAQDKVAEQTQILVPYNILPLDPDSANQAIMQYPEIQAAVYALRNTRGLPWPNDHKRKKDEDILDWLQEMFGFQKDNVANQREHLILLLANVHIRRFPKPDQQPKLDDHALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPLTGENIQPAYGGEEEAFLQKVVTPIYEVIRREAERSKRGKSKHSQWRNYDDLNEYFWSVDCFRLGWPIRADADFFCLPIEQIEKNGDNKPQATRDRWVGKVNFVEIRSFWHVFRSFDRMWSFFILCLQVMIIVAWNGNGQPSSIFTGDVFKKVLSVFITAAILKLGQAVLDVILSWKAQQSMSFHVKLRYILKVVSAAAWVIVLPVTYAYTSDNPSEIARTIQSWFGSTSSSPSLFILAVVIYLSPNMLAAILFLFPFVRRFLESSHYKIVMLMMWWSQPRLYVGRGMHESTFSLFKYTMFWVLLIITKLAFSYYIEIKPLVRPTKAIMSVRVRHFQWHEFFPQARNNIGVVIALWAPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFGSLPGAFNDCLIPGDKSEKKNKGFWLFFSRSFGQPLSNKEKEAAKFAQLWNKIISSFREEDLISNKEMNLLLVPYWADRDLDQIQWPPFLLASKIPIALDMAKDSDSRDRELQKRIEADPYMFCAIRECYASFRSIIRFLVEGKREKRVIDDIFFKVDKRIADGSLIKTYKMSAVPSLCDHIVKLIKLLLENKQDERGQVVLCFQDMLEIVTKDIMAEEEFSSLEHGGSGHDGMNPDPLHQLFDTKKLDQLFASTGAIKFPTPVSEAWREKINRLYLLLTTKESAMDVPSNIDARRRISFFSNSLFMDMPAAPKVRNMLSFTVLTPYYTEEVLFSLQELEEPNEDGVSILFYLQKIFPDEWNNFLERVERNNEEELKESPELEEKLRLWASYRGQTLTRTVRGMMYYREALELQAFLDMAKHEDLMEGYKAIELNTEDSEENRSLKAQCEAVADMKFMYVVSCQQYGIQKRSGSERAQDILRLMTKYPSLRVAYIDEIEQRSEDRSKKLNGKVNYFSVLVRAVPKSFDSSEQNLDQEIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASRVINLSEDIFAGFSSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLVTVLTVYVFLYGRLYLVLSGLEQGLREEPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFQTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFAENYRLYSRSHFVKGIEMMILLIVYQICGHTYRSTVAYVLITVSLWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNRGGIGVPSEKSWESWWEEEQEHLQYSGKRGIIAEILLALRFFIYQYGLVYHLHVTRETKSLWCIMACDCSNIVCNEDCICWKAKVQCKLSACFPAHQGINFLDICVHSGYFGHTCSYDNSRHYSVYFYLHAYGLGNASDCSSFEACCKESWFLGISSNTCSWI >KJB21454 pep chromosome:Graimondii2_0_v6:4:13088662:13118926:1 gene:B456_004G092600 transcript:KJB21454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRGSDQSQLMRRITRTQTAGNLGETAFDSEVVPSSLSEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLQGRVKKSDAREMQSFYQHYYKKYIQALTNAADKADRAQLTKAYQTANVLFEVLKAVNLTQSIEVDREILEAQDKVAEQTQILVPYNILPLDPDSANQAIMQYPEIQAAVYALRNTRGLPWPNDHKRKKDEDILDWLQEMFGFQKDNVANQREHLILLLANVHIRRFPKPDQQPKLDDHALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPLTGENIQPAYGGEEEAFLQKVVTPIYEVIRREAERSKRGKSKHSQWRNYDDLNEYFWSVDCFRLGWPIRADADFFCLPIEQIEKNGDNKPQATRDRWVGKVNFVEIRSFWHVFRSFDRMWSFFILCLQVMIIVAWNGNGQPSSIFTGDVFKKVLSVFITAAILKLGQAVLDVILSWKAQQSMSFHVKLRYILKVVSAAAWVIVLPVTYAYTSDNPSEIARTIQSWFGSTSSSPSLFILAVVIYLSPNMLAAILFLFPFVRRFLESSHYKIVMLMMWWSQPRLYVGRGMHESTFSLFKYTMFWVLLIITKLAFSYYIEIKPLVRPTKAIMSVRVRHFQWHEFFPQARNNIGVVIALWAPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFGSLPGAFNDCLIPGDKSEKKNKGFWLFFSRSFGQPLSNKEKEAAKFAQLWNKIISSFREEDLISNKEMNLLLVPYWADRDLDQIQWPPFLLASKIPIALDMAKDSDSRDRELQKRIEADPYMFCAIRECYASFRSIIRFLVEGKREKRVIDDIFFKVDKRIADGSLIKTYKMSAVPSLCDHIVKLIKLLLENKQDERGQVVLCFQDMLEIVTKDIMAEEEFSSLEHGGSGHDGMNPDPLHQLFDTKKLDQLFASTGAIKFPTPVSEAWREKINRLYLLLTTKESAMDVPSNIDARRRISFFSNSLFMDMPAAPKVRNMLSFTVLTPYYTEEVLFSLQELEEPNEDGVSILFYLQKIFPDEWNNFLERVERNNEEELKESPELEEKLRLWASYRGQTLTRTVRGMMYYREALELQAFLDMAKHEDLMEGYKAIELNTEDSEENRSLKAQCEAVADMKFMYVVSCQQYGIQKRSGSERAQDILRLMTKYPSLRVAYIDEIEQRSEDRSKKLNGKVNYFSVLVRAVPKSFDSSEQNLDQEIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASRVINLSEDIFAGFSSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLVTVLTVYVFLYGRLYLVLSGLEQGLREEPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFQTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFAENYRLYSRSHFVKGIEMMILLIVYQICGHTYRSTVAYVLITVSLWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNRGGIGVPSEKSWESWWEEEQEHLQYSGKRGIIAEILLALRFFIYQYGLVYHLHVTRETKSFLVYGASWLVIVLILFVMKTVSVGRRKFSASYQLVFRLIKGLIFLTFVSILVILVTLAHMTIQDIIVCIFIFMPTGWGMLLIP >KJB22595 pep chromosome:Graimondii2_0_v6:4:5366028:5366868:1 gene:B456_004G056300 transcript:KJB22595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPYEYDIIHQPTFEDNIISQSHDIASQFINIELTIDTFFISVHHDCLADISTITNHASLRETLRFKLDITENQYLFDQVLFPTFRRLRIKTASLAYHNFVHGIFVRGMCSIVTNREVLPLRSVIQASVVEHFHVHSDGVLMGRALVESALEFESSNYGMVPTKESLVKEMVKIVKVEAGDEDCMICLEELEVGFYASRMPGSRTFHGDCIEKWLKQSHYCPICRFQMPTN >KJB25876 pep chromosome:Graimondii2_0_v6:4:54585232:54586969:1 gene:B456_004G213100 transcript:KJB25876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YTSSVSGASSGIRVETARVLALRGGHVVMAVRNLDAGRNVKEAIHKEINGAKIDVMQLDLSSMASVRKFASEFQSSTLPLNILINNAGVMATPFMLSHDNIELQFATNHLGHFLLTNLLLDTMKLTARESKREGRIVNLSSKGHRIVYGEGNPFDHINDESGHFPRFAYGQSKLANILHANELSRRLKDEGVEITTNSLHPGSIIMTDLMRHHGLNHFFGLELGNFFHIGALTLFWSNFKAKDEDLAKRL >KJB26123 pep chromosome:Graimondii2_0_v6:4:56110457:56113208:-1 gene:B456_004G226700 transcript:KJB26123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFPYCNVTDLNQALAQCRFVGLLGPLASRRQSPRAVVSCSPSSSEFSLTPVQAVMSCQQQVGSSLVNRLRLGGLTEDGFSYKEKFIIRGFEVGINKIATIETIANLLQEVGSNHAQSVGFSTDGFGTSPTMRKLHLIWVTARMHIEVYKYPAWRDVIEIETWCQNEGRIGTRRDWILNDVATGEVFGRATSKWVMMNQDTRRMQKVSDDVKEEYLIFCPREPRLAFSEKNNKSLKRIAKLEDPVRYSKLGLKPRRADLDMNQHVNNVTYIGWVLEVSEDKIFQCPKLIWFL >KJB26124 pep chromosome:Graimondii2_0_v6:4:56110987:56113128:-1 gene:B456_004G226700 transcript:KJB26124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFPYCNVTDLNQALAQCRFVGLLGPLASRRQSPRAVVSCSPSSSEFSLTPVQAVMSCQQQVGSSLVNRLRLGGLTEDGFSYKEKFIIRGFEVGINKIATIETIANLLQEVGSNHAQSVGFSTDGFGTSPTMRKLHLIWVTARMHIEVYKYPAWRDVIEIETWCQNEGRIGTRRDWILNDVATGEKIYNMKSISYGSKWVMMNQDTRRMQKVSDDVKEEYLIFCPREPRLAFSEKNNKSLKRIAKLEDPVRYSKLGLKPRRADLDMNQHVNNVTYIGWVLESIPKEIIDTHELQAITLDYRRECQQYNVVDSLTGLELTEGSKMVSVGTNGSATATATTREDDHDCHRFLHLLRLSSDGREINRGRTEWRKKPTR >KJB22006 pep chromosome:Graimondii2_0_v6:4:1965086:1966056:1 gene:B456_004G025100 transcript:KJB22006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSCMNPSLLVASHIKSYVLKTHIDMVHPTQNTLAIAQTKQKVVFLHPRSRSFQSNWKTSFTSS >KJB26820 pep chromosome:Graimondii2_0_v6:4:59692479:59699246:-1 gene:B456_004G261600 transcript:KJB26820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAASSLSYGIGGTQILWVPQGLLEQIVSTHSSYQTHHVDLQHLVNLISCIFQNATVSSSKGVEQYAQLTDMINKLETVDGMRQALENIRNLSCEMSCCVSTMASSVDETTMQMLRRLKDYSWNAKVVLAMAAFACSVAESSMLVKHRNTDPIAMYVETLKGHRYTTTDFTVLEHIGLFKAMIDVANTNLAFLAPSISRIPKEVHSIKDAIACFPTAAYKILRIVLQITSILSKKKDHFESTIQELNVLANEVSHINNILQEKLTLCLRDAEKYTYEDITIRITKISISEFIDKIMQYVRTQGFENLRNKHLLFLISDLDISIDEIKALNWLYQRNDQMYEIVWLPIIDLSMSYDVKRFWELKQLMKWSVAVEPTRVEADVVEFVKKEWHFIRQAIAVSMTSAGEVVCQNALPMLWTWGNTAFPFSDKTEEILWNSIDERHGWKLELVLDDFIVPELRSWRIEQHSFAYSAEVTFHGFKNSPRKSNTPPTPPALP >KJB26821 pep chromosome:Graimondii2_0_v6:4:59692813:59699141:-1 gene:B456_004G261600 transcript:KJB26821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAASSLSYGIGGTQILWVPQGLLEQIVSTHSSYQTHHVDLQHLVNLISCIFQNATVSSSKGVEQYAQLTDMINKLETVDGMRQALENIRNLSCEMSCCVSTMASSVDETTMQMLRRLKDYSWNAKVVLAMAAFACSVAESSMLVKHRNTDPIAMYVETLKGHRYTTTDFTVLEHIGLFKAMIDVANTNLAFLAPSISRIPKEVHSIKDAIACFPTAAYKILRIVLQITSILSKKKDHFESTIQELNVLANEVSHINNILQEKLTLCLRDAEKYTYEDITIRITKISISEFIDKIMQYVRTQGFENLRNKHLLFLISDLDISIDEIKALNWLYQRNDQMYEIVWLPIIDLSMSYDVKRFWELKQLMKWSVAVEPTRVEADVVEFVKKEWHFIRQAIAVSMTSAGEVVCQNALPMLWTWGNTAFPFSDKTEEILWNSIDERHGWKLELVLDDFIVPELRSWIENRTTFVCLFGGGDISWIQEFTEKVKYAAYAAGVTLKLVYVGKNKAKLGLSKTDLSRDINVIESEFRWRFWTKLESILHAKIRRGKTTTAYKTDVVIHEALKVVGHGGKGESWAVFSMGPDPMVTTDGETGLTIMSNYLNWRQDTTGLRFLEGVKHYKEVISRDVHGCLKVHLPVLGRVPGIMVCPDCSKVMDMFYTYRCCDE >KJB21965 pep chromosome:Graimondii2_0_v6:4:1772539:1776379:-1 gene:B456_004G023300 transcript:KJB21965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TWELVSRPEHKRVIGVKWVYRAKYNADGSLNKHKARLVVKGYSQQYGVDYLETFAPVARLDTIKLLFALAAQKQWRVHQLDVKSAFLNGFLKEEIFIEQPEGFEVVGHEDKVYKLRKALYVPSWLFTLPSLLLVDLGYNKLVGPIDRIQKPSSIQEVDLSYNNISDSIPYSIFYLVNLTKLHLSSNNLSGPIPDSIFDLAYLTLLDLSSNNLSGVIKSDMLSKLTSLEFINVSSNSLLSLSTSGNDVEYSFPQLRTVIFSGCSVMQFPNFFQTSYLQILDLSNNMISGGISKWEAVRWEGLLWLDLSHNFLTALEQFPGNSLEYLNLHSNFLTGNITSSICNWSQLTVLDLSKNNLSGTIPDCLGNIGCLQLLDLQMNNFIGKIPNSFLRNSDLSHLLLNNNQLEGLVPLSLANCTSLELLNLGNNKLTDRFPHWLESLPRLKVLILRFNRFYGSLPHSIASSNFSTLRIIDLSGNKFTGTLPTKLFQNLRAMKEKPKEWLYSSVFQVGRRIGRDEISVNVTTKRLEMELTKTVAIFVSIDLSNNQFCGKIPEDVGQLISLQMLNFSHNNFTGSIPASFRNLVELESLDLSSNKLSGEIPAQMTKLTFLEVLNLSNNNLVGPIPHGNQFDTFDNDSYSGNLGFCGLPLSKPCINHGGPEPPSPLVVEHEGSEIPFFWQVVLMGYGSGVVVGLSLGYIVFTIRRPWWLVRKVERDWQYNFMRWIRRNRPRRN >KJB26658 pep chromosome:Graimondii2_0_v6:4:59078994:59079365:-1 gene:B456_004G253500 transcript:KJB26658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEGPSPLVPSLMVGALGWVIFGPSFLSIMDSVLPWAQAGDPDSGNFYVLLGFVLLLLLVLVQLLSKFFPTPRLRVGMFLQTRSSSAGSDDGPSIRSST >KJB27137 pep chromosome:Graimondii2_0_v6:4:61223189:61224494:1 gene:B456_004G280100 transcript:KJB27137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor C-1 [Source:Projected from Arabidopsis thaliana (AT3G24520) UniProtKB/Swiss-Prot;Acc:Q9LV52] MEAANCNDVVPPFVAKTYQMVNDPATDDLITWGKTNNSFIVIDPLDFSHKILPFYFKHSNFTSFIRQLNTYGFRKVDSDKWEFANEWFLRGQKHLLKNVVRRKTGGNRCVRLKKGEVLDGGSDGDGYDDGEIVMEIARMKEEQKWLEEELKGMNERLETTERRPKQMVAFLRQVVEDPGFVLCLVLEKQRSRRIMLEKRRLKVVPPLAVSNNSVKYEGEGWHAELTSSSSSPSPSPEDSMVVLERRDIVGQVMNNGCGTITSQSPVVVPPVNGNSTAVFSSGMSSLVGYGDGNGQLRYLGDMAEVAGGTMEARVSLPYPFSLFGGGF >KJB22506 pep chromosome:Graimondii2_0_v6:4:4707168:4707637:-1 gene:B456_004G051300 transcript:KJB22506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPYQSQPLLITLFNSLASVYTNEVLLTRNFVVFFLLILIANVVDTILAKARDESSMLSPHQLNESQAPP >KJB27007 pep chromosome:Graimondii2_0_v6:4:60643213:60644732:1 gene:B456_004G271400 transcript:KJB27007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTGTKEWSKRDEEEPETSTNKRCCSPPFENLSVDELAQQIQSKLDSLNNVSQHVKPDYCIFRIPGKFSDENLAPYRPRYTVIGPLVYYYYFSGHQEEEKQIYVASLNDFIELIEKYLSKIHGFYEKMYYRCWDDSVGRARQDKRAGTQSHSSLLIGMILVDAGFILELLLRAYSEGLRAENDLIFAKSGTIHDLKRDLMLLENQLPFFLLKKMYKRALKCNPHYPSFLHLTCHFFSHYYNQSISIDEVLSPNNPRSSEYRSNLEDPKHFTDLIRTFSATILHDSEAAAEGKVQQQQQGAYLYSAVLLREAGVKFKVSLNTCLFDIEFDENNGELKIPPLKVDESTESFYGNLMVWEQCYYPNDTFICDYIFLIGYLIKSAEDVSILVRKRILINQLGSPIEIVAMFNRLCKYINVGTENRYSSLFMKLNAYNAVPHHSWIVILKLEYFSTPWRGVATIAAIILLVLTMIQTICALISL >KJB23161 pep chromosome:Graimondii2_0_v6:4:10521894:10523075:1 gene:B456_004G084400 transcript:KJB23161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYALFLIALFLCKFLAVAILDPLDFLALQSIRKSLHDLPGSNFFASWDFTSDPCNFAGVYCDSDKVIALNLGDPRAGSPGLTGRIDPAIGKLSALAELSIVPGRIYGSLPQSVSQLKDLRFLAISRNFISGDIPATLGQLRSLKTLDLSYNQLTGEIPRSIGTLPELTNVILCHNHLSGSVPPFLSQVLTRVDLKHNALTGSLAPDSLPPSLQSLSLAWNQLTGPVDRLLSRLDQLNYLDLSLNQFTGPIPSQLFSFPITNLQLERNLFTGSVQPADQVTISTIDLSHNRLWGQISPMLSTVQNLYLNNNRFNGQVPASFVDRLLSGSIQILYLQHNYLTGIEIKPTAEIPVSSSLCLQYNCMVPPVQTPCPLKAGNEKTRPTAQCNEWKG >KJB26450 pep chromosome:Graimondii2_0_v6:4:58001934:58005946:-1 gene:B456_004G242100 transcript:KJB26450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPMSRSERTKGENYEPNRMNPQQPVLDVAPLNCVPYMGPTNADDMFSSPTKENTEAVEAIGPAMIFLPSQSTREELDNIMAATKNGVALTGAAATGSVGPVIGKVDIGELEDSYYFRVALPGVSMDKRDFNCDIEPDGKVVIKGMSTTGEKIVCKNFQIFHMLTQNLCPPGPFTVSFQLPGPVNNQDAKSYLANGMLEAVVKRM >KJB26449 pep chromosome:Graimondii2_0_v6:4:58001667:58006342:-1 gene:B456_004G242100 transcript:KJB26449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPMRSERTKGENYEPNRMNPQQPVLDVAPLNCVPYMGPTNADDMFSSPTKENTEAVEAIGPAMIFLPSQSTREELDNIMAATKNGVALTGAAATGSVGPVIGKVDIGELEDSYYFRVALPGVSMDKRDFNCDIEPDGKVVIKGMSTTGEKIVCKNFQIFHMLTQNLCPPGPFTVSFQLPGPVNNQDAKSYLANGMLEAVVKRM >KJB22837 pep chromosome:Graimondii2_0_v6:4:7242729:7243112:-1 gene:B456_004G068900 transcript:KJB22837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSETSNEFYVKLCDLSNQAFDLEEEYSNSKLVRKVLRSLSERFFVKVTAIEKVKDLKSLKIDESIGSLQTFELNLDEPKKVKSKEESNITLQFADKMPISNALTIEELQVQIDLLTQNFNEAFKK >KJB23716 pep chromosome:Graimondii2_0_v6:4:24674035:24674280:1 gene:B456_004G111200 transcript:KJB23716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLISTTSVIAVGLAIGIASIGPGVGQGTATGQAVEGIARQPNAKGKIRGTLLLSLAFIEALTIYRLVIALALLFANPFV >KJB24549 pep chromosome:Graimondii2_0_v6:4:42703070:42705283:1 gene:B456_004G150500 transcript:KJB24549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLTNRVERNEIKPGDHIYTYRAVFAYSHHGIFVGGSKVVHFRPERNLDSSIDTSSNLLDPTFPRSTCPTFPDCGFRQPNMRGGTCTTAASDPLETVIHRAMYLLQNGFGNYDIFENNCEDFALYCKTGLLILYNLGIGRSGQASSMIGAPLAALLSSPLKLLMPSPIGVATVTAGMYCMTRYVTDIGIRTDVIKVAVEDLAVNLGWAGRHDEAAEENEASNRQVVAL >KJB22053 pep chromosome:Graimondii2_0_v6:4:2163519:2167584:-1 gene:B456_004G027600 transcript:KJB22053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKRELVYELSKRTSRASEMLQSWSRQEILQVLCAEMGKERKYTGLTKLKIIENLLKIVAEKNSGDHEGVTDPESHSSLSSGSRLSKRQRKADYPSRLPIPVNDPVIRNGGNDMINAIYCKNSACKATLRQDDTFCKRCSCCICYKFDDNKDPSLWLICSSEPPFLGNSCGMSCHLECALKHEKSGIGKDKQHAVLDGSFYCVACGKVNDLLGCLRKQLMAAKDTRRVDILCYRVSLGQKLLNRTEKYRKISEIVDEAVKLLEAEVGPLTGLPVKIGRGIVNRLSSGPEVQKLCSLAVESLDKMRFDTISHSIPAIVRFEDIRPTSVTVIVGTEGPLVSTIIAYTLWHRKAHDRDYPAKSTCIMFVPKTRFVVTGLTPATEYHFKIVSFDGTREFGPWEVPISTSCSVDEGPGCPMMERSQSPATNCSSLSNPSSVEDETNNITPYSDQNDDRADNYITYCKGTDKIVSTSLSKGTINCTVLGEDGIPEEVPMLGEERAMEMVGPILDSDALIVEKNPTSEDRITEETSTDNGSDTLVETDTEHLPFVGCSEAGLPITPCRMEIIKNIQGRSGRLKSSNKEAENGTGKGEDPQDGSTSKKKTGERQDEECMENGLSDTDFEHYVKVIRWLECKGHIEKNFRQKFLTWYSLRATPQEVRIVKVFVDIFISDPASLAEQLVDTFSDCISSKGSSVVPAGFCMKLWH >KJB22056 pep chromosome:Graimondii2_0_v6:4:2163519:2167723:-1 gene:B456_004G027600 transcript:KJB22056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSFEGVALDPSKCSKLSMDEKRELVYELSKRTSRASEMLQSWSRQEILQVLCAEMGKERKYTGLTKLKIIENLLKIVAEKNSGDHEGVTDPESHSSLSSGSRLSKRQRKADYPSRLPIPVNDPVIRNGGNDMINAIYCKNSACKATLRQDDTFCKRCSCCICYKFDDNKDPSLWLICSSEPPFLGNSCGMSCHLECALKHEKSGIGKDKQHAVLDGSFYCVACGKVNDLLGCLRKQLMAAKDTRRVDILCYRVSLGQKLLNRTEKYRKISEIVDEAVKLLEAEVGPLTGLPVKIGRGIVNRLSSGPEVQKLCSLAVESLDKMRFDTISHSIPGSCLTSPAIVRFEDIRPTSVTVIVGTEGPLVSTIIAYTLWHRKAHDRDYPAKSTCIMFVPKTRFVVTGLTPATEYHFKIVSFDGTREFGPWEVPISTSCSVDEGPGCPMMERSQSPATNCSSLSNPSSVEDETNNITPYSDQNDDRADNYITYCKGTDKIVSTSLSKGTINCTVLGEDGIPEEVPMLGEERAMEMVGPILDSDALIVEKNPTSEDRITEETSTDNGSDTLVETDTEHLPFVGCSEAGLPITPCRMEIIKNIQGRSGRLKSSNKEAENGTGKGEDPQDGSTSKKKTGERQDEECMENGLSDTDFEHYVKVIRWLECKGHIEKNFRQKFLTWYSLRATPQEVRIVKVFVDIFISDPASLAEQLVDTFSDCISSKGSSVVPAGFCMKLWH >KJB22055 pep chromosome:Graimondii2_0_v6:4:2163519:2167584:-1 gene:B456_004G027600 transcript:KJB22055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSFEGVALDPSKCSKLSMDEKRELVYELSKRTSRASEMLQSWSRQEILQVLCAEMGKERKYTGLTKLKIIENLLKIVAEKNSGDHEGVTDPESHSSLSSGSRLSKRQRKADYPSRLPIPVNDPVIRNGGNDMINAIYCKNSACKATLRQDDTFCKRCSCCICYKFDDNKDPSLWLICSSEPPFLGNSCGMSCHLECALKHEKSGIGKDKQHAVLDGSFYCVACGKVNDLLGCLRKQLMAAKDTRRVDILCYRVSLGQKLLNRTEKYRKISEIVDEAVKLLEAEVGPLTGLPVKIGRGIVNRLSSGPEVQKLCSLAVESLDKMRFDTISHSIPAIVRFEDIRPTSVTVIVGTEGPLVSTIIAYTLWHRKAHDRDYPAKSTCIMFVPKTRFVVTGLTPATEYHFKIVSFDGTREFGPWEVPISTSCSVDEGPGCPMMERSQSPATNCSSLSNPSSVEDETNNITPYSDQNDDRADNYITYCKGTDKIVSTSLSKGTINCTVLGEDGIPEEVPMLGEERAMEMVGPILDSDALIVEKNPTSEDRITEETSTDNGSDTLVETDTEHLPFVGCSEAGLPITPCRMEIIKNIQGRSGRLKSSNKEAENGTGKGEDPQDGSTSKKKTGERQDEECMENGLSDTDFEHYVKVIRWLECKGHIEKNFRQKFLTWYSLRATPQEVRIVKVFVDIFISDPASLAEQLVDTFSDCISSKGSSVVPAGFCMKLWH >KJB22057 pep chromosome:Graimondii2_0_v6:4:2163545:2167683:-1 gene:B456_004G027600 transcript:KJB22057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSFEGVALDPSKCSKLSMDEKRELVYELSKRTSRASEMLQSWSRQEILQVLCAEMGKERKYTGLTKLKIIENLLKIVAEKNSGDHEGVTDPESHSSLSSGSRLSKRQRKADYPSRLPIPVNDPVIRNGGNDMINAIYCKNSACKATLRQDDTFCKRCSCCICYKFDDNKDPSLWLICSSEPPFLGNSCGMSCHLECALKHEKSGIGKDKQHAVLDGSFYCVACGKVNDLLGCLRKQLMAAKDTRRVDILCYRVSLGQKLLNRTEKYRKISEIVDEAVKLLEAEVGPLTGLPVKIGRGIVNRLSSGPEVQKLCSLAVESLDKMRFDTISHSIPAGSCLTSPAIVRFEDIRPTSVTVIVGTEGPLVSTIIAYTLWHRKAHDRDYPAKSTCIMFVPKTRFVVTGLTPATEYHFKIVSFDGTREFGPWEVPISTSCSVDEGPGCPMMERSQSPATNCSSLSNPSSVEDETNNITPYSDQNDDRADNYITYCKGTDKIVSTSLSKGTINCTVLGEDGIPEEVPMLGEERAMEMVGPILDSDALIVEKNPTSEDRITEETSTDNGSDTLVETDTEHLPFVGCSEAGLPITPCRMEIIKNIQGRSGRLKSSNKEAENGTGKGEDPQDGSTSKKKTGERQDEECMENGLSDTDFEHYVKVIRWLECKGHIEKNFRQKFLTWYSLRATPQEVRIVKVFVDIFISDPASLAEQLVDTFSDCISSKGSSVVPAGFCMKLWH >KJB22054 pep chromosome:Graimondii2_0_v6:4:2163819:2166098:-1 gene:B456_004G027600 transcript:KJB22054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKRELVYELSKRTSRASEMLQSWSRQEILQVLCAEMGKERKYTGLTKLKIIENLLKIVAEKNSGDHEGVTDPESHSSLSSGSRLSKRQRKADYPSRLPIPVNDPVIRNGGNDMINAIYCKNSACKATLRQDDTFCKRCSCCICYKFDDNKDPSLWLICSSEPPFLGNSCGMSCHLECALKHEKSGIGKDKQHAVLDGSFYCVACGKVNDLLGCLRKQLMAAKDTRRVDILCYRVSLGQKLLNRTEKYRKISEIVDEAVKLLEAEVGPLTGLPVKIGRGIVNRLSSGPEVQKLCSLAVESLDKMRFDTISHSIPGSCLTSPAIVRFEDIRPTSVTVIVGTEGPLVSTIIAYTLWHRKAHDRDYPAKSTCIMFVPKTRFVVTGLTPATEYHFKIVSFDGTREFGPWEVPISTSCSVDEGPGCPMMERSQSPATNCSSLSNPSSVEDETNNITPYSDQNDDRADNYITYCKGTDKIVSTSLSKGTINCTVLGEDGIPEEVPMLGEERAMEMVGPILDSDALIVEKNPTSEDRITEETSTDNGSDTLVETDTEHLPFVGCSEAGLPITPCRMEIIKNIQGRSGRLKSSNKEAENGTGKGEDPQDGSTSKKKTGERQDEECMENGLSDTDFEHYVKVIRWLECKGHIEKNFRQKFLTWYSLRATPQEVRIVKVFVDIFISDPASLAEQLVDTFSDCISSKGSSVVPAGFCMKLWH >KJB26462 pep chromosome:Graimondii2_0_v6:4:58048250:58049182:-1 gene:B456_004G242900 transcript:KJB26462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGKNGIVSFLFFLLFFSPPPSAAQLTDSNIGHESYPRFTPTMAMIIAGLVVALFFMGFFSIYLRNCSRSGGNGSSVRPVNVGAWRGRRDEMRGLEASVIETFPTMVYSEVKVHKIGKGALECAVCLNEFEDDETLRLIPKCNHVFHAECIDPWLASHVTCPVCRANLDTQPGDPVSQPTELDNTVIELDLEAQNNDNDLEIREERRENQNINIINSDVEARVSLEVEIINVNQILNMNYTRKPFFPRSHSTGHSLVQPSENTDRFTFQLPIDVRKQLLNRKLNRATSLVLPKERSSLTRKNDYGSSR >KJB22123 pep chromosome:Graimondii2_0_v6:4:2447824:2451163:-1 gene:B456_004G030800 transcript:KJB22123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSSIFITNFLPLQQSQDERPKCRFNGVAVAQLEPENARKTMVKQSQQTRQVKRFLNFSGEKPSTPLLDTINRPINMKNLSIEELGKLADELREEVVYTVSNTGGHLSSNLGVAELTVALHHVFDTPVDKILWDVGHQSYPHKILTGRRSRMHSIRQTCGLAGFPKREESIHDAFGAGHSSTSISAGLGMAVGRDLLGKNNHVIAVIGDGAMTAGMAYEALNNAGYLDTNLIIILNDNKQVSLPTATVDGPAPPVGALSKALTKLHSNREFRQLREAAKGITKQIGGQTHEIAAKFDSCMRGVVGGSGASLFEELGVYYIGPVDGHDVEDLVYVMNEIKSMPAPGPVLIHVITEKGKGYAPAEVAPDKMHGVVKFDPKSGKQMKSKSATRSYTQYFAESLIAEAQEDDKIVGIHAAMGGGTGLNLFQKQFPDRCFDVGIAEQHAVTFAAGLASEGLKPFCAIYSSFLQRGFDQVAHDVDLQKLPVRFAIDRAGLVGADGPTHCGAFDTTFMACLPNMVVMAPSNETELMHMVATAAAIDDRPSCFRYPRGNGIGSILPPNNKGTPLEIGKGKVLREGSGRVAILGYGTIVQSCMKAAEQLQMHDISTMVADARFCKPIDGDLLKQLAQEHEILITVEEGSIGGFSTHVSHFLCLNGLLDGKLKWRPMVLPDRYIDHGSQSDQIEEAGLSSKHIAATVLSLLGLTRGSKCII >KJB22124 pep chromosome:Graimondii2_0_v6:4:2443218:2451163:-1 gene:B456_004G030800 transcript:KJB22124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSSIFITNFLPLQQSQDERPKCRFNGVAVAQLEPENARKTMVKQSQQTRQVKRFLNFSGEKPSTPLLDTINRPINMKNLSIEELGKLADELREEVVYTVSNTGGHLSSNLGVAELTVALHHVFDTPVDKILWDVGHQSYPHKILTGRRSRMHSIRQTCGLAGFPKREESIHDAFGAGHSSTSISAGLGMAVGRDLLGKNNHVIAVIGDGAMTAGMAYEALNNAGYLDTNLIIILNDNKQVSLPTATVDGPAPPVGALSKALTKLHSNREFRQLREAAKGITKQIGGQTHEIAAKFDSCMRGVVGGSGASLFEELGVYYIGPVDGHDVEDLVYVMNEIKSMPAPGPVLIHVITEKGKGYAPAEVAPDKMHGVVKFDPKSGKQMKSKSATRSYTQYFAESLIAEAQEDDKIVGIHAAMGGGTGLNLFQKQFPDRCFDVGIAEQHAVTFAAGLASEGLKPFCAIYSSFLQRGFDQVAHDVDLQKLPVRFAIDRAGLVGADGPTHCGAFDTTFMACLPNMVVMAPSNETELMHMVATAAAIDDRPSCFRYPRGNGIGSILPPNNKGTPLEIGKGKVLREGSGRVAILGYGTIVQSCMKAAEQLQMHDISTMVADARFCKPIDGDLLKQLAQEHEILITVEEGSIGGFSTHVSHFLCLNGLLDGKLKWRPMVLPDRYIDHGSQSDQIEEAGLSSKHIAATVLSLLGLTRGSKCII >KJB22125 pep chromosome:Graimondii2_0_v6:4:2447900:2450973:-1 gene:B456_004G030800 transcript:KJB22125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVVGGSGASLFEELGVYYIGPVDGHDVEDLVYVMNEIKSMPAPGPVLIHVITEKGKGYAPAEVAPDKMHGVVKFDPKSGKQMKSKSATRSYTQYFAESLIAEAQEDDKIVGIHAAMGGGTGLNLFQKQFPDRCFDVGIAEQHAVTFAAGLASEGLKPFCAIYSSFLQRGFDQVAHDVDLQKLPVRFAIDRAGLVGADGPTHCGAFDTTFMACLPNMVVMAPSNETELMHMVATAAAIDDRPSCFRYPRGNGIGSILPPNNKGTPLEIGKGKVLREGSGRVAILGYGTIVQSCMKAAEQLQMHDISTMVADARFCKPIDGDLLKQLAQEHEILITVEEGSIGGFSTHVSHFLCLNGLLDGKLKWRPMVLPDRYIDHGSQSDQIEEAGLSSKHIAATVLSLLGLTRGSKCII >KJB22126 pep chromosome:Graimondii2_0_v6:4:2448084:2451163:-1 gene:B456_004G030800 transcript:KJB22126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSSIFITNFLPLQQSQDERPKCRFNGVAVAQLEPENARKTMVKQSQQTRQVKRFLNFSGEKPSTPLLDTINRPINMKNLSIEELGKLADELREEVVYTVSNTGGHLSSNLGVAELTVALHHVFDTPVDKILWDVGHQSYPHKILTGRRSRMHSIRQTCGLAGFPKREESIHDAFGAGHSSTSISAGLGMAVGRDLLGKNNHVIAVIGDGAMTAGMAYEALNNAGYLDTNLIIILNDNKQVSLPTATVDGPAPPVGALSKALTKLHSNREFRQLREAAKGITKQIGGQTHEIAAKFDSCMRGVVGGSGASLFEELGVYYIGPVDGHDVEDLVYVMNEIKSMPAPGPVLIHVITEKGKGYAPAEVAPDKMHGVVKFDPKSGKQMKSKSATRSYTQYFAESLIAEAQEDDKIVGIHAAMGGGTGLNLFQKQFPDRCFDVGIAEQHAVTFAAGLASEGLKPFCAIYSSFLQRGFDQVAHDVDLQKLPVRFAIDRAGLVGADGPTHCGAFDTTFMACLPNMVVMAPSNETELMHMVATAAAIDDRPSCFRYPRGNGIGSILPPNNKGTPLEIGKGKVLREGSGRVAILGYGTIVQSCMKAAEQLQMHDISTMVADARFCKPIDGDLLKQLAQEHEILITVEEGSIGGFSTHVSHFLCLNGLLDGKLKVTLTSINIYTWWKCMLLF >KJB24296 pep chromosome:Graimondii2_0_v6:4:38458433:38466924:1 gene:B456_004G137500 transcript:KJB24296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSEHKKLFSSSFHFFRRYPWENRQMTAVPPVASGQPISASVVNSFRVAAVAERLATHTQPGRQPQSSEFFSLCLSLARGIDYAIANNEVPAKAQELPLLLKQICQHRNDLFLQAAIMVLMISVKNACKMSWFSDGESRELLTLANEVGSCFCIPGVINNELDGSLSTILEVMSRFYPLMKMGQILASLEAKPGYGALVVDFHISKNMTHSPQEKIRLFVAQKDNVETSACIISPQLVSFLLNGKGVERRTNVLMDMGPQMPTNVTAMLKYGTNLLQAVGQFSGHYLIVVAFMGMEESSPDASTLPDYVQSGDFAPDSEDSDLIEGPSRISLKCPISRTRIKTPVKGHACKHLQCFDFNNYVNINSRRPSWRCPHCNQHVCYTNIRVDQNMVKVLKEVAEDVSDVIISADGSWKAVMENDDDVDELHGNTLNCQKDGSERPESATGVPMVLDLTQTVDAMETIETEDRKPPVATLQSLCAAPNLTLTPELINLAGANQNVMDDDFWSVLYSGHGSGTSTSRTDTQVGGTESTRNFTVSPVFSDAVSPAPNRADAHGNANLATPGIQNQVATANNLPLHPSQVTNSMSNHEYGSLQNIPRHVSRSSIAVQALPAMSQTQTPTQQRSSNSVNTKNTTSSARIPHQSRIQQERSFVPARPVQQVGAAAPSQLPGPYRPPGFRAEYQNPHLQQALNTRLSQPRSPSPGLIRSPSPILRAQAQQGAAQVGVGYTAGNVNSNPTRFMAASQRTTQMARQPPMVAVQTQTPRAASSYPGNVDGSRASAVEQRLNMGGVAPAASRPDTSADLASEQNWRPTGRMRGSLTGRVYSESLSQMMIQPTQSTQAARPQTNITSPPSVPPHLQAFLANSRNPVTPQMRNNATTETTATNGGSGPAR >KJB24297 pep chromosome:Graimondii2_0_v6:4:38458433:38466924:1 gene:B456_004G137500 transcript:KJB24297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSEHKKLFSSSFHFFRRYPWENRQMTAVPPVASGQPISASVVNSFRVAAVAERLATHTQPGRQPQSSEFFSLCLSLARGIDYAIANNEVPAKAQELPLLLKQICQHRNDLFLQAAIMVLMISVKNACKMSWFSDGESRELLTLANEVGSCFCIPGVINNELDGSLSTILEVMSRFYPLMKMGQILASLEAKPGYGALVVDFHISKNMTHSPQEKIRLFVAQKDNVETSACIISPQLVSFLLNGKGVERRTNVLMDMGPQMPTNVTAMLKYGTNLLQAVGQFSGHYLIVVAFMGMEESSPDASTLPDYVQSGDFAPDSEDSDLIEGPSRISLKCPISRTRIKTPVKGHACKHLQCFDFNNYVNINSRRPSWRCPHCNQHVCYTNIRVDQNMVKVLKEVAEDVSDVIISADGSWKAVMENDDDVDELHGNTLNCQKDGSERPESATGVPMVLDLTQTVDAMETIETEDRKPPVATLQSLCAAPNLTLTPELINLAGANQNVMDDDFWSVLYSGHGSGTSTSRTDTQVGGTESTRNFTVSPVFSDAVSPAPNRADAHGNANLATPGIQNQVATANNLPLHPSQVTNSMSNHEYGSLQNIPRHVSRSSIAVQALPAMSQTQTPTQQRSSNSVNTKNTTSSARIPHQMQSRIQQERSFVPARPVQQVGAAAPSQLPGPYRPPGFRAEYQNPHLQQALNTRLSQPRSPSPGLIRSPSPILRAQAQQGAAQVGVGYTAGNVNSNPTRFMAASQRTTQMARQPPMVAVQTQTPRAASSYPGNVDGSRASAVEQRLNMGGVAPAASRPDTSADLASEQNWRPTGRMRGSLTGRVYSESLSQMMIQPTQSTQAARPQTNITSPPSVPPHLQAFLANSRNPVTPQMRNNATTETTATNGGSGPAR >KJB24295 pep chromosome:Graimondii2_0_v6:4:38458412:38466938:1 gene:B456_004G137500 transcript:KJB24295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QIQNSIERSEHKKLFSSSFHFFRRYPWENRQMTAVPPVASGQPISASVVNSFRVAAVAERLATHTQPGRQPQSSEFFSLCLSLARGIDYAIANNEVPAKAQELPLLLKQICQHRNDLFLQAAIMVLMISVKNACKMSWFSDGESRELLTLANEVGSCFCIPGVINNELDGSLSTILEVMSRFYPLMKMGQILASLEAKPGYGALVVDFHISKNMTHSPQEKIRLFVAQKDNVETSACIISPQLVSFLLNGKGVERRTNVLMDMGPQMPTNVTAMLKYGTNLLQAVGQFSGHYLIVVAFMGMEESSPDASTLPDYVQSGDFAPDSEDSDLIEGPSRISLKCPISRTRIKTPVKGHACKHLQCFDFNNYVNINSRRPSWRCPHCNQHVCYTNIRVDQNMVKVLKEVAEDVSDVIISADGSWKAVMENDDDVDELHGNTLNCQKDGSERPESATGVPMVLDLTQTVDAMETIETEDRKPPVATLQSHGSGTSTSRTDTQVGGTESTRNFTVSPVFSDAVSPAPNRADAHGNANLATPGIQNQVATANNLPLHPSQVTNSMSNHEYGSLQNIPRHVSRSSIAVQALPAMSQTQTPTQQRSSNSVNTKNTTSSARIPHQMQSRIQQERSFVPARPVQQVGAAAPSQLPGPYRPPGFRAEYQNPHLQQALNTRLSQPRSPSPGLIRSPSPILRAQAQQGAAQVGVGYTAGNVNSNPTRFMAASQRTTQMARQPPMVAVQTQTPRAASSYPGNVDGSRASAVEQRLNMGGVAPAASRPDTSADLASEQNWRPTGRMRGSLTGRVYSESLSQMMIQPTQSTQAARPQTNITSPPSVPPHLQAFLANSRNPVTPQMRNNATTETTATNGGSGPAR >KJB24806 pep chromosome:Graimondii2_0_v6:4:45238139:45238864:-1 gene:B456_004G161600 transcript:KJB24806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAAPTKCDAHCVNYIYIKYERNYNGGRNRKKPVNREVAMEELLQVIKSTKPDKYTPKIVEKKDDYLVDDVEFWFPPGIASGVGKERMGFRRNVLKGQKHHFVLYSLIVVSHHCSQILL >KJB25266 pep chromosome:Graimondii2_0_v6:4:49567322:49573376:-1 gene:B456_004G182600 transcript:KJB25266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRVSLSKEVNGLELLKRKRLQRVKSETVSRTYCVTNMMARSGGDALRVSASRGMRLQGSAESFSRSNGASSEGTFAKHKVDKFDINDLEWTEKIPECPVYFPTKEEFEDPLVYLQKIAPEASRYGICKIVSPLSAAVPAGVVLMKEKLGFKFTTRVQPLRLSEWNNDDRVTFFMSGRNYTFREFEKMANKVFTRRYYSAGCLPATYLEKEFWHEIACGKTESVEYACDVEGSAFSSSPNDPLGTSKWNLKNLSRLPKSTLRLLETAIPGVSDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPSHAASNFEKVVKEHVYTNDILSVDGEDGAFDVLLGKTTLFPPNILLEHEVPVYKAVQQPGEFVITFPSAYHAGFSHGFNCGEAVNFAIGDWFPLGAVASLRYAHLNRVPLLPHEELLCKEAMLLYTSLELDDLDYLPADLASHYCIKVSFVKLIRFLHRARWSVMKSRACTSVSPYYYRTIVCTLCKRDCYVAFVNCSCYSHPVCLRHGNPLNLLYFGKFSF >KJB25263 pep chromosome:Graimondii2_0_v6:4:49567621:49574163:-1 gene:B456_004G182600 transcript:KJB25263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRVSLSKEVNGLELLKRKRLQRVKSETVSRTYCVTNMMARSGGDALRVSASRGMRLQGSAESFSRSNGASSEGTFAKHKVDKFDINDLEWTEKIPECPVYFPTKEEFEDPLVYLQKIAPEASRYGICKIVSPLSAAVPAGVVLMKEKLGFKFTTRVQPLRLSEWNNDDRVTFFMSGRNYTFREFEKMANKVFTRRYYSAGCLPATYLEKEFWHEIACGKTESVEYACDVEGSAFSSSPNDPLGTSKWNLKNLSRLPKSTLRLLETAIPGVSDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPSHAASNFEKVVKEHVYTNDILSVDGEDGAFDVLLGKTTLFPPNILLEHEVPVYKAVQQPGEFVITFPSAYHAGFSHGFNCGEAVNFAIGDWFPLGAVASLRYAHLNRVPLLPHEELLCKEAMLLYTSLELDDLDYLPADLASHYCIKVSFVKLIRFLHRARWSVMKSRACTSVSPYYYRTIVCTLCKRDCYVAFVNCSCYSHPVCLRHDIKSLDFPCGSYRGLFLRDDVAEMEAAAKKFEQEDTISKEIERQTENGDDLYSYPLSNNSQSDLEDGFFPYCEINVVLSVTTSTVGQPLEHKQPSISLDIPSCRPEAADALSFAASTLCSLAEQVGSSTKNQVQGLGNLGNTTDKRFSEEVSRTTYKLSVSCFSHDDCPGINQYSGNTCVHEPVSRSVVDRDSDNSDSEVFRVKRRSKVEKRSGNDSMSSKKSDHQGLKRLKKHQHEGKCGKSLPSEGCRIDEPTHNIDPENGMKGRLPISIKYKKSGNEEAMSRQREQHRDERWFQQEFGKSVGPKRLKVRGPTCVGWEKKLN >KJB25265 pep chromosome:Graimondii2_0_v6:4:49567322:49573376:-1 gene:B456_004G182600 transcript:KJB25265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRVSLSKEVNGLELLKRKRLQRVKSETVSRTYCVTNMMARSGGDALRVSASRGMRLQGSAESFSRSNGASSEGTFAKHKVDKFDINDLEWTEKIPECPVYFPTKEEFEDPLVYLQKIAPEASRYGICKIVSPLSAAVPAGVVLMKEKLGFKFTTRVQPLRLSEWNNDDRVTFFMSGRNYTFREFEKMANKVFTRRYYSAGCLPATYLEKEFWHEIACGKTESVEYACDVEGSAFSSSPNDPLGTSKWNLKNLSRLPKSTLRLLETAIPGVSDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPSHAASNFEKVVKEHVYTNDILSVDGEDGAFDVLLGKTTLFPPNILLEHEVPVYKAVQQPGEFVITFPSAYHAGFSHGFNCGEAVNFAIGDWFPLGAVASLRYAHLNRVPLLPHEELLCKEAMLLYTSLELDDLDYLPADLASHYCIKVSFVKLIRFLHRARWSVMKSRACTSVSPYYYRTIVCTLCKRDCYVAFVNCSCYSHPVCLRHDIKSLDFPCGSYRGLFLRDDVAEMEAAAKKFEQEDTISKEIERQTENGDDLYSYPLSNNSQSDLEDGFFPYCEINVVLSVTTSTVGQPLEHKQPSISLDIPSCRPEAADALSFAASTLCSLAEQVGSSTKNQVQGLGNLGNTTDKRFSEEVSRTTYKLSVSCFSHDDCPGINQYSGNTCVHEPVSRSVVDRDSDNSDSEVFRVKRRSKVEKRSGNDSMSSKKSDHQGLKRLKKHQHEGKCGKSLPSEGCRIDEPTHNIDPENGMKGRLPISIKYKKSGNEEAMSRQREQHRDERWFQQEFGKSVGPKRLKVRGPTCVGWEKKLN >KJB25262 pep chromosome:Graimondii2_0_v6:4:49567621:49572672:-1 gene:B456_004G182600 transcript:KJB25262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARSGGDALRVSASRGMRLQGSAESFSRSNGASSEGTFAKHKVDKFDINDLEWTEKIPECPVYFPTKEEFEDPLVYLQKIAPEASRYGICKIVSPLSAAVPAGVVLMKEKLGFKFTTRVQPLRLSEWNNDDRVTFFMSGRNYTFREFEKMANKVFTRRYYSAGCLPATYLEKEFWHEIACGKTESVEYACDVEGSAFSSSPNDPLGTSKWNLKNLSRLPKSTLRLLETAIPGVSDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPSHAASNFEKVVKEHVYTNDILSVDGEDGAFDVLLGKTTLFPPNILLEHEVPVYKAVQQPGEFVITFPSAYHAGFSHGFNCGEAVNFAIGDWFPLGAVASLRYAHLNRVPLLPHEELLCKEAMLLYTSLELDDLDYLPADLASHYCIKVSFVKLIRFLHRARWSVMKSRACTSVSPYYYRTIVCTLCKRDCYVAFVNCSCYSHPVCLRHDIKSLDFPCGSYRGLFLRDDVAEMEAAAKKFEQEDTISKEIERQTENGDDLYSYPLSNNSQSDLEDGFFPYCEINVVLSVTTSTVGQPLEHKQPSISLDIPSCRPEAADALSFAASTLCSLAEQVGSSTKNQVQGLGNLGNTTDKRFSEEVSRTTYKLSVSCFSHDDCPGINQYSGNTCVHEPVSRSVVDRDSDNSDSEVFRVKRRSKVEKRSGNDSMSSKKSDHQGLKRLKKHQHEGKCGKSLPSEGCRIDEPTHNIDPENGMKGRLPISIKYKKSGNEEAMSRQREQHRDERWFQQEFGKSVGPKRLKVRGPTCVGWEKKLN >KJB25267 pep chromosome:Graimondii2_0_v6:4:49568186:49573376:-1 gene:B456_004G182600 transcript:KJB25267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRVSLSKEVNGLELLKRKRLQRVKSETVSRTYCVTNMMARSGGDALRVSASRGMRLQGSAESFSRSNGASSEGTFAKHKVDKFDINDLEWTEKIPECPVYFPTKEEFEDPLVYLQKIAPEASRYGICKIVSPLSAAVPAGVVLMKEKLGFKFTTRVQPLRLSEWNNDDRVTFFMSGRNYTFREFEKMANKVFTRRYYSAGCLPATYLEKEFWHEIACGKTESVEYACDVEGSAFSSSPNDPLGTSKWNLKNLSRLPKSTLRLLETAIPGVSDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPSHAASNFEKVVKEHVYTNDILSVDGEDGAFDVLLGKTTLFPPNILLEHEVPVYKAVQQPGEFVITFPSAYHAGFSHGFNCGEAVNFAIGDWFPLGAVASLRYAHLNRVPLLPHEELLCKEAMLLYTSLELDDLDYLPADLASHYCIKVSFVKLIRFLHRARWSVMKSRACTSVSPYYYRTIVCTLCKRDCYVAFVNCSCYSHPVCLRHDIKSLDFPCGSYRGLFLRDDVAEMEAAAKKFEQEDTISKEIERQTENGDDLYSYPLSNNSQSDLEDGFFPYCEINVVLSVTTSTVGQPLEHKQPSISLDIPSCRPEAADALSFAASTLCSLAEQVGSSTKNVQGLGNLGNTTDKRFSEEVSRTTYKLSVSCFSHDDCPGINQYSGNTCVHEPVSRSVVDRDSDNSDSEVFRVKRRSKVEKRSGNDSMSSKKSDHQVQ >KJB25261 pep chromosome:Graimondii2_0_v6:4:49567181:49574617:-1 gene:B456_004G182600 transcript:KJB25261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARSGGDALRVSASRGMRLQGSAESFSRSNGASSEGTFAKHKVDKFDINDLEWTEKIPECPVYFPTKEEFEDPLVYLQKIAPEASRYGICKIVSPLSAAVPAGVVLMKEKLGFKFTTRVQPLRLSEWNNDDRVTFFMSGRNYTFREFEKMANKVFTRRYYSAGCLPATYLEKEFWHEIACGKTESVEYACDVEGSAFSSSPNDPLGTSKWNLKNLSRLPKSTLRLLETAIPGVSDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPSHAASNFEKVVKEHVYTNDILSVDGEDGAFDVLLGKTTLFPPNILLEHEVPVYKAVQQPGEFVITFPSAYHAGFSHGFNCGEAVNFAIGDWFPLGAVASLRYAHLNRVPLLPHEELLCKEAMLLYTSLELDDLDYLPADLASHYCIKVSFVKLIRFLHRARWSVMKSRACTSVSPYYYRTIVCTLCKRDCYVAFVNCSCYSHPVCLRHDIKSLDFPCGSYRGLFLRDDVAEMEAAAKKFEQEDTISKEIERQTENGDDLYSYPLSNNSQSDLEDGFFPYCEINVVLSVTTSTVGQPLEHKQPSISLDIPSCRPEAADALSFAASTLCSLAEQVGSSTKNVQGLGNLGNTTDKRFSEEVSRTTYKLSVSCFSHDDCPGINQYSGNTCVHEPVSRSVVDRDSDNSDSEVFRVKRRSKVEKRSGNDSMSSKKSDHQGLKRLKKHQHEGKCGKSLPSEGCRIDEPTHNIDPENGMKGRLPISIKYKKSGNEEAMSRQREQHRDERWFQQEFGKSVGPKRLKVRGPTCVGWEKKLN >KJB25268 pep chromosome:Graimondii2_0_v6:4:49568682:49573376:-1 gene:B456_004G182600 transcript:KJB25268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRVSLSKEVNGLELLKRKRLQRVKSETVSRTYCVTNMMARSGGDALRVSASRGMRLQGSAESFSRSNGASSEGTFAKHKVDKFDINDLEWTEKIPECPVYFPTKEEFEDPLVYLQKIAPEASRYGICKIVSPLSAAVPAGVVLMKEKLGFKFTTRVQPLRLSEWNNDDRVTFFMSGRNYTFREFEKMANKVFTRRYYSAGCLPATYLEKEFWHEIACGKTESVEYACDVEGSAFSSSPNDPLGTSKWNLKNLSRLPKSTLRLLETAIPGVSDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPSHAASNFEKVVKEHVYTNDILSVDGEDGAFDVLLGKTTLFPPNILLEHEVPVYKAVQQPGEFVITFPSAYHAGFSHGFNCGEAVNFAIGDWFPLGAVASLRYAHLNRVPLLPHEELLCKEAMLLYTSLELDDLDYLPADLASHYCIKVSFVKLIRFLHRARWSVMKSRACTSVSPYYYRTIVCTLCKRDCYVAFVNCSCYSHPVCLRHDIKSLDFPCGSYRGLFLRDDVAEMEAAAKKFEQEDTISKEIERQTENGDDLYSYPLSNNSQSDLEDGFFPYCEINVVLSVTTSTVGQPLEHKQPSISLDIPSCRPEAADALSFAASTLCSLAEQVGSSTKNVRSGSLP >KJB25264 pep chromosome:Graimondii2_0_v6:4:49567181:49574647:-1 gene:B456_004G182600 transcript:KJB25264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRVSLSKEVNGLELLKRKRLQRVKSETVSRTYCVTNMMARSGGDALRVSASRGMRLQGSAESFSRSNGASSEGTFAKHKVDKFDINDLEWTEKIPECPVYFPTKEEFEDPLVYLQKIAPEASRYGICKIVSPLSAAVPAGVVLMKEKLGFKFTTRVQPLRLSEWNNDDRVTFFMSGRNYTFREFEKMANKVFTRRYYSAGCLPATYLEKEFWHEIACGKTESVEYACDVEGSAFSSSPNDPLGTSKWNLKNLSRLPKSTLRLLETAIPGVSDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPSHAASNFEKVVKEHVYTNDILSVDGEDGAFDVLLGKTTLFPPNILLEHEVPVYKAVQQPGEFVITFPSAYHAGFSHGFNCGEAVNFAIGDWFPLGAVASLRYAHLNRVPLLPHEELLCKEAMLLYTSLELDDLDYLPADLASHYCIKVSFVKLIRFLHRARWSVMKSRACTSVSPYYYRTIVCTLCKRDCYVAFVNCSCYSHPVCLRHDIKSLDFPCGSYRGLFLRDDVAEMEAAAKKFEQEDTISKEIERQTENGDDLYSYPLSNNSQSDLEDGFFPYCEINVVLSVTTSTVGQPLEHKQPSISLDIPSCRPEAADALSFAASTLCSLAEQVGSSTKNVQGLGNLGNTTDKRFSEEVSRTTYKLSVSCFSHDDCPGINQYSGNTCVHEPVSRSVVDRDSDNSDSEVFRVKRRSKVEKRSGNDSMSSKKSDHQGLKRLKKHQHEGKCGKSLPSEGCRIDEPTHNIDPENGMKGRLPISIKYKKSGNEEAMSRQREQHRDERWFQQEFGKSVGPKRLKVRGPTCVGWEKKLN >KJB22871 pep chromosome:Graimondii2_0_v6:4:7556593:7557514:-1 gene:B456_004G070500 transcript:KJB22871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCDSASNFDLSLLDSIRQYLLEDDFDTIPGQVVENLPKSELHDDAINVDQWINFDQLFEAAEEKVAVNNVSFPSLEVTSEMETTAAVPKPQAPPKKVNYRGVRRRPWGTYAAEIRDPKRNGARIWLGTYETPEGAALAYDRAAFNMRGAKAKLNFPHLIGSNQVEPVRVSSNKRRSPEPSSSCSSAQSPSSPSSTLTSEDVTPKSKRRSVMNCFSKTEFEVDMYQLI >KJB23865 pep chromosome:Graimondii2_0_v6:4:28793858:28807840:1 gene:B456_004G118300 transcript:KJB23865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSIFNVLTWAKPPVTLLAPPFHTFPSLLIIAPRRKLKYNNNFKGRTKKEGNFHRSRSGSRGGICRAMPCSSIVTTDNTCADVGGGGEENGRFRKFKLNHSTFLASLMPKKEIGADRFIEAHPFYDGRGALIAIFDSGVDPAAAGLQLTSDGKPKILDVIDCTGSGDVDTSNVVKADGDGRIRGASGASLVVSSSWKNPSGEWHVGYKLVYELFTDSLTSRLKKERKKKWDEKNQEEIAKAVMHLDKFEQKHTKVEDPKLKRVRGDLQNRIDILRNQADTYDDKGPVIDAVVWHDGEVCRVALDTQSLEDDTKSGKLADFVPLTNYRIERKYGIFSKLDACTFVVNVYDEGNILSIVTDSSPHGTHVAGIAAAFHPQEPLLNGVAPGAQLISCKIGDSRLGSMETGTGLTRALIAAVEHKCDLINMSYGEPTLLPDYGRFVDLVNEVVNEHRLIFVSSAGNSGPALSTVGAPGGTSSSIIGVGAYVSPAMAAGAHSVVEPPAEGLEYTWSSRGPTADGDLGVCISAPGGAVAPVPTWTLQGRMLMNGTSMASPSACGGIALLISAMKAEGITVSPYSVRKALENTSIPVGGLPEDKLTTGQGLMQVDKAYEYIQKSQDFPCVWYQIKINQSGKSTPTSRGIYLRESTACQHSTEWAVQIEPKFHEGASKLDELVPFEECIELHSSDNAVLRVPEYLLLTHNGRSFNIIVDPMNLRDGLHYYEVYGIDCKAPWRGPLFRIPITITKPKVVMNRPPLVSFSRMSFLPGHIERRYIEVPLGASWVEATIRTSGFDTTRRFFIDTIQICPLRRPIKLERVITFSSPTAKSFAFSVVGGQTMELAIAQFWSSGMGSHETTIVDFEIVFHGIGVNRTEVVLDGSEAPIRIEAEALLASEKLAPTAVLNKIRVPYRPIEAKLCTLPSNRDKLPSGKQILALTLTYKFKLEDGAEVKPHIPLLNNRIYDTKFESQFFMISDTNKRVYAMGDCYPKSSKLIKGEYTLQLYLRHDNVQYLEKMKQLVLFLERNMEEKDLAF >KJB24531 pep chromosome:Graimondii2_0_v6:4:42139427:42141263:-1 gene:B456_004G149500 transcript:KJB24531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELIISPSSSSSLVSFSHETPPSTLQQRLQHVLESQKDWWAYAIFWQTSNDDLGRLLLAWGDGHFQGTNESSKPGANHFQGERRKVMKGIQALIGDNHDIDMGEAEWFYMISLTRCFSAGDGIPGKCLTTGSLVWLTGAHELQFYNCERAKEAQLHGIETLVCIPTSCGVLELGSSEIIRENWGLVQQVKYLFGSDLIRLLPKQSSPNQFLDRNISFSDMGVIAGVQEQEHASLGFKTKKDCPKHGELSFVNSDHSDSECRFRAATESNSNSNTEKRIPKKRGRKPGSGRETLLNHVEAERQRREKLNHRFYALRAVVPNVSRMDKASLLSDAVAYINELKSKIEDLQSELGRDRKKVKIETVDGMDNQSTTTSVEQAAKPSSNSSSGNAGSNGFELDIKIIGNDAMIRVQSENVNHPAARLMDALRNLEFRVHHASMSCVNDLMIQDVVVSFTENGFGLHEQGLKSAILTRLDQ >KJB27120 pep chromosome:Graimondii2_0_v6:4:61459032:61462719:-1 gene:B456_004G283100 transcript:KJB27120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKGIFGKTKPETNALTTLDKLNETLEMLEKKESVLLKKTAAEVEKAKEYAKGRNKKAAIQCLKRKKLYEQQIEQLGNFQLRVHDQMIMLEGAKATTETVDALRTGASAMKAMQKATIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPASSQPARPVPQKHTAEEDELAALQAEMAL >KJB27119 pep chromosome:Graimondii2_0_v6:4:61459497:61462489:-1 gene:B456_004G283100 transcript:KJB27119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKGIFGKTKPETNALTTLDKLNETLEMLEKKESVLLKKTAAEVEKAKEYAKGRNKKAAIQCLKRKKLYEQQIEQLGNFQLRVHDQMIMLEGAKATTETVDALRTGASAMKAMQKATSIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDESETFDIVG >KJB27118 pep chromosome:Graimondii2_0_v6:4:61458787:61462807:-1 gene:B456_004G283100 transcript:KJB27118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKGIFGKTKPETNALTTLDKLNETLEMLEKKESVLLKKTAAEVEKAKEYAKGRNKKAAIQCLKRKKLYEQQIEQLGNFQLRVHDQMIMLEGAKATTETVDALRTGASAMKAMQKATSIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPASSQPARPVPQKHTAEEDELAALQAEMAL >KJB26646 pep chromosome:Graimondii2_0_v6:4:59031521:59031969:1 gene:B456_004G253000 transcript:KJB26646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSLGTYSIDQFSSFCKSCMIRLIIAEYDPFDKASLITLNNFQVVCLHQNPIMLSSLYQCHTIKNTLQCNFESRAFP >KJB22281 pep chromosome:Graimondii2_0_v6:4:3259018:3265543:-1 gene:B456_004G039000 transcript:KJB22281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSVADSRSNRAAVQATNDDASASKLSCVKKGYMKDDYIHLFVRRPVRRSPIINRGYFARWAAFRKLLYQFLDCEGSNSEKGKTRRQILSLGAGFDTTYFQLQDEGKAPYLYVELDFKEVTSKKASLIESYSQLRDKIGATASISRENGEVLSDHYKLLAVDLRDIQKLDDVISLANMDPSLPTFIIAECVLIYLDPDSSRSIVGWASKTFSTAIFFLYEQIHPDDAFGQQMIRNLEQMLIIHNKGFNEASLVISQVAINEGMQMNVLSFLSAVNARNSRGCALLGIDATPTLQAKEKLFLDQGWQKAVAWDMLRVYSKFVDANERRRIERLELFDEFEEWHMMQEHYCVAYGINDAMGLYGKFGFEDDEQHASNTSSPASPSP >KJB22284 pep chromosome:Graimondii2_0_v6:4:3259089:3265461:-1 gene:B456_004G039000 transcript:KJB22284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSVADSRSNRAAVQATNDDASASKLSCVKKGYMKDDYIHLFVRRPVRRSPIINRGYFARWAAFRKLLYQFLDCEGSNSEKGKTRRQILSLGAGFDTTYFQLQDEGKAPYLYVELDFKEVTSKKASLIESYSQLRDKIGATASISRENGEVLSDHYKLLAVDLRDIQKLDDVISLANMDPSLPTFIIAECVLIYLDPDSSRSIVGWASKTFSTAIFFLYEQIHPDDAFGQQMIRNLEMLIIHNKGFNEASLVISQVAINEGMQMNVLSFLSAVNARNSRGCALLGIDATPTLQAKEKLFLDQGWQKAVAWDMLRVYSKFVDANERRRIERLELFDEFEEWHMMQEHYCVAYGINDAMGLYGKFGFEDDEQHASNTSSPASPSP >KJB22280 pep chromosome:Graimondii2_0_v6:4:3259011:3265612:-1 gene:B456_004G039000 transcript:KJB22280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSVADSRSNRAAVQATNDDASASKLSCVKKGYMKDDYIHLFVRRPVRRSPIINRGYFARWAAFRKLLYQFLDCEGSNSEKGKTRRQILSLGAGFDTTYFQLQDEGKAPYLYVELDFKEVTSKKASLIESYSQLRDKIGATASISRENGEVLSDHYKLLAVDLRDIQKLDDVISLANMDPSLPTFIIAECVLIYLDPDSSRSIVGWASKTFSTAIFFLYEQIHPDDAFGQQMIRNLESRGCALLGIDATPTLQAKEKLFLDQGWQKAVAWDMLRVYSKFVDANERRRIERLELFDEFEEWHMMQEHYCVAYGINDAMGLYGKFGFEDDEQHASNTSSPASPSP >KJB22282 pep chromosome:Graimondii2_0_v6:4:3259018:3265612:-1 gene:B456_004G039000 transcript:KJB22282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSVADSRSNRAAVQATNDDASASKLSCVKKGYMKDDYIHLFVRRPVRRSPIINRGYFARWAAFRKLLYQFLDCEGSNSEKGKTRRQILSLGAGFDTTYFQLQDEGKAPYLYVELDFKEVTSKKASLIESYSQLRDKIGATASISRENGEVLSDHYKLLAVDLRDIQKLDDVISLANMDPSLPTFIIAECVLIYLDPDSSRSIVGWASKTFSTAIFFLYEQIHPDDAFGQQMIRNLEQQMLIIHNKGFNEASLVISQVAINEGMQMNVLSFLSAVNARNSRGCALLGIDATPTLQAKEKLFLDQGWQKAVAWDMLRVYSKFVDANERRRIERLELFDEFEEWHMMQEHYCVAYGINDAMGLYGKFGFEDDEQHASNTSSPASPSP >KJB22283 pep chromosome:Graimondii2_0_v6:4:3259089:3264617:-1 gene:B456_004G039000 transcript:KJB22283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDYIHLFVRRPVRRSPIINRGYFARWAAFRKLLYQFLDCEGSNSEKGKTRRQILSLGAGFDTTYFQLQDEGKAPYLYVELDFKEVTSKKASLIESYSQLRDKIGATASISRENGEVLSDHYKLLAVDLRDIQKLDDVISLANMDPSLPTFIIAECVLIYLDPDSSRSIVGWASKTFSTAIFFLYEQIHPDDAFGQQMIRNLESRGCALLGIDATPTLQAKEKLFLDQGWQKAVAWDMLRVYSKFVDANERRRIERLELFDEFEEWHMMQEHYCVAYGINDAMGLYGKFGFEDDEQHASNTSSPASPSP >KJB21691 pep chromosome:Graimondii2_0_v6:4:851677:856260:1 gene:B456_004G011800 transcript:KJB21691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTKSEAHLTSAAAFVEGGIQDACDDACSICLEAFCESDPSTVTGCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNATIFRHPTLGDFELQHLPVGVNDAELEERIIQHLAAAAAMGRAHHISRREALRNRSSAQGRRPQYLVFSHSNDEPSSTGPISSLSPTQSEGEPAPPITVGSPSFPARTAGEESPASITLPSVQAYQQPASASSSSILLVNDRGNSSNTRTSPNSQDRAGPSEFQSFSESLKSRLNAVSTRYKESISRSTRGWKERFFSRNTSMADIGSEVRREVNAGIATVSRMMERLETRDNGTNPPTVTTSLENSSNQEPNRCQISDTSEEAPLPDISAEASRASSSASK >KJB21692 pep chromosome:Graimondii2_0_v6:4:851710:856239:1 gene:B456_004G011800 transcript:KJB21692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMEDTKSEAHLTSAAAFVEGGIQDACDDACSICLEAFCESDPSTVTGCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNATIFRHPTLGDFELQHLPVGVNDAELEERIIQHLAAAAAMGRAHHISRREALRNRSSAQGRRPQYLVFSHSNDEPSSTGPISSLSPTQSEGEPAPPITVGSPSFPARTAGEESPASITLPSVQAYQQPASASSSSILLVNDRGNSSNTRTSPNSQDRAGPSEFQSFSESLKSRLNAVSTRYKESISRSTRGWKERFFSRNTSMADIGSEVRREVNAGIATVSRMMERLETRDNGTNPPTVTTSLENSSNQEPNRCQISDTSEEAPLPDISAEASRASSSASK >KJB21693 pep chromosome:Graimondii2_0_v6:4:851723:854691:1 gene:B456_004G011800 transcript:KJB21693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTKSEAHLTSAAAFVEGGIQDACDDACSICLEAFCESDPSTVTGCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNATIFRHPTLGDFELQHLPVGVNDAELEERIIQHLAAAAAMGRAHHISRREALRNRSSAQGRRPQYLVFSHSNDEPSSTGPISSLSPTQSEGEPAPPITVGSPSFPARTAGEESPASITLPSVQAYQQPASASSSSILLVNDRGNSSNTRTSPNSQDRAGPSEFQSFSESLKSRLNAVSTRYLSYRDIQGFN >KJB25895 pep chromosome:Graimondii2_0_v6:4:54701675:54703087:-1 gene:B456_004G214200 transcript:KJB25895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVKVDVKEVEILFMEGQKTTTNFTLTNLMHTMSVAVCLSTKNSSFFSFNNQFSIIPPLSSSTYTLFSQPSDQPPLTNPPDAITVKTTMLPLGKAHHDDLRRLFSKPGPHVFKDATLPISFTGPHVIQHLISSNTQMTDMDIWCNKAISGCFGDQLTVLLKSAVVSGKVGLVRTLIDHRGDVNDKDDKGRSLVSLAVEAGHVDVVNALISAGCEIDNTVDHVLHYAAAKNRVDLMDVLFRGYKNMDLIDSIDFNGRTPIHISAIHGHTESIKFCLSLGADPEVLDVNKCTPLHLAALGGHLSAVECLLEVSNYTKYALNGQGKTAFALAVENDRSNVYDPLHLGDALHRSARIGDVNGIKSCISEGANMNGKDQNGWTPLHRAAFKGKTECVRALISYGGDINGVDNNGYTPLHRAVEAGHVEAALVLIGHGAKANVKCLKGIGMGVALKSDCSKNHCCYRGSFVQPL >KJB26062 pep chromosome:Graimondii2_0_v6:4:55775230:55776835:1 gene:B456_004G223200 transcript:KJB26062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLFRLVDQDQGFFQRKWTLVNGPLIVGAGPSGLATAACLREQGVPSVVLERAECIASLWQKRAYDRLKLHLPKQFCQLPKVPFPEDFPEYPTKKQFIEYLESYAKRFDINPKFNECVQSARYDETSGFWRVKTVRGSNKAEFEYICRWLVVATGENAESVVPDIDGLADFGGEVIHASEYKSGEKFKGQNVLVVGCGNSGMEVSLDLANHNASPSMVVRSSVHVLPREVLGKSTFELAVLMMKWLPLWLVDMILLILAWLVLGNIEKYGLKRPLMGPLELKNSKGKTPVLDIGALEKIKSGDIQVVPGIKRFSRGRVELVNGEKLDVDSVVLATGYRSNVPAWLQEGEFFSKNGFPKAPFPNGWKGKGGLYAVGFTRRGLSGASSDAINIAQDIGKAWKQENKQPKKRTIACHRRCISLSQF >KJB23615 pep chromosome:Graimondii2_0_v6:4:21922030:21926048:1 gene:B456_004G107300 transcript:KJB23615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEITEATNMETTPVENNNELALITPETQPKRRKKKSMVWEYFTIETVSAGCRRACCNRCKQSFAYSTGSKVAGTSHLKRHIAKGTCPALLRDQYNNQLTPYNPKTGGSEPRKRRYRSPSSPFIPFDQDRCRHEIARMIIMHEYPLHMVEHPGFIAFVQNLQPRFDKVSFNTVQGDCVATYLREKQSLMKLIEGIPGRVCLTLDMWTSNQTLGYVFITGHFIDFEWKLQSRVLNVIMEPYPDSDSALSHAVAACLSDWSLEGKLFSLTFNHPTSEAGLENLRPLLCTKNPLILNGQLLLGNCIARTLSSMAKDVLGAGHEIVKKIRDSVKYVKTSESHDEKFVQVKNQLQVPSEKSLILDNQTQWNTTYQMLAAGTELKEVFNCLDTSDPDYKLAPSIEDWKVAETLCTFLKPLFDAASILTTTTNPTAITFFHEAWKIHADLGRSITNEDPFISNIAKSMLEKIDKYWKDCSLILAIAVVMDPRFKMKLVEFSFTKIFGEDAPTYIKIVDDGIHELFLEYVALPLPLTPTYTEEGNAGNNGKTDESQQGNLLSDQGLTDFDVYIMETSSQQMKSELDQYLEESLLPRVQEFDVLGWWKLNKMKYPTLSKMARDILSIPVSAAAPDSVFDIIIKQLDEYRSSLRPETVEALICAKDWLHYGSEESNALVKMEF >KJB23616 pep chromosome:Graimondii2_0_v6:4:21922030:21926048:1 gene:B456_004G107300 transcript:KJB23616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEITEATNMETTPVENNNELALITPETQPKRRKKKSMVWEYFTIETVSAGCRRACCNRCKQSFAYSTGSKVAGTSHLKRHIAKGTCPALLRDQYNNQLTPYNPKTGGSEPRKRRYRSPSSPFIPFDQDRCRHEIARMIIMHEYPLHMVEHPGFIAFVQNLQPRFDKVSFNTVQGDCVATYLREKQSLMKLIEGIPGRVCLTLDMWTSNQTLGYVFITGHFIDFEWKLQSRVLNVIMEPYPDSDSALSHAVAACLSDWSLEGKLFSLTFNHPTSEAGLENLRPLLCTKNPLILNGQLLLGNCIARTLSSMAKDVLGAGHEIVKKIRDSVKYVKTSESHDEKFVQVKNQLQVPSEKSLILDNQTQWNTTYQMLAAGTELKEVFNCLDTSDPDYKLAPSIEDWKVAETLCTFLKPLFDAASILTTTTNPTAITFFHEAWKIHADLGRSITNEDPFISNIAKSMLEKIDKYWKDCSLILAIAVVMDPRFKMKLVEFSFTKIFGEDAPTYIKIVDDGIHELFLEYVALPLPLTPTYTEEGNAGNNGKTDESQQGNLLSDQGLTDFDVYIMETSSQQMKSELDQYLEESLLPRVQEFDVLGWWKLNKMKYPTLSKMARDILSIPVSAAAPDSVFDIIIKQLDEYRSSLRPETVEALICAKDWLHYGSEESNALVKMEF >KJB25593 pep chromosome:Graimondii2_0_v6:4:51944788:51945689:-1 gene:B456_004G198400 transcript:KJB25593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEVDMSVEFQNLTGDVISRAAFGSNFDEGRLIFLLQKEQGRLFLQSQMKTNFPLLRFLPTKVNKRMKHMNREVGSLPTRIIEKRKKFIRAGDHKDNLLSLFLNSNLNEVEVNKNSGAGMSMADVIEEYKLVYFTGQEITTNLLTLTMIVLNMHNEWQERAREEVLQVSGNNKPDYDDLNGLKIVNMILLEVMRLYPSTSLIRCTKKETKLGNMSLPAKVQLFMPLHLVHRDKEQ >KJB27179 pep chromosome:Graimondii2_0_v6:4:61411583:61415659:-1 gene:B456_004G282600 transcript:KJB27179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) UniProtKB/Swiss-Prot;Acc:Q8VZU9] MIARYGVQLEKGPFERRTADFLWMMIFGALSLLVLSAIPIFRTPFLGVSLVFMLLYVWSREFPNAQINIYGLVTLKAFYLPWAMLALDVIFGSELIPDLLGIIAGHLYYFLTVLHPLATGKVFLKTPMWVNKLVARYRIGAPSRPSSRSAAPSDRPTGVAFSGRSYRLSG >KJB27178 pep chromosome:Graimondii2_0_v6:4:61411493:61415912:-1 gene:B456_004G282600 transcript:KJB27178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) UniProtKB/Swiss-Prot;Acc:Q8VZU9] MSSPAEFYNSLPPISKAYGTVCLLTTTASQLGLLNIFYIALLHEYVFKYFQVWRLFTNFFFLGEFSINFGIRLLMIARYGVQLEKGPFERRTADFLWMMIFGALSLLVLSAIPIFRTPFLGVSLVFMLLYVWSREFPNAQINIYGLVTLKAFYLPWAMLALDVIFGSELIPDLLGIIAGHLYYFLTVLHPLATGKVFLKTPMWVNKLVARYRIGAPSRPSSRSAAPSDRPTGVAFSGRSYRLSG >KJB23240 pep chromosome:Graimondii2_0_v6:4:11694549:11697524:1 gene:B456_004G088200 transcript:KJB23240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MGFRFQNLNPMFRSYLSRLSSSPRNLHPNPIIIQSLNPHFQIYPPQVFSDNPIYNIPRRWHLGHSHGHHDHHHNLTKEGEKIFRLGLGADIGLATSKALTGYLSGSTAIIADAAHSISDVVLSGIALWSFKAANAPKDKEHPYGHGKFETLGALGISCMLLATASGIAWHALELLIGLLSTAPEAVNGHSLVHGDSHHHGIDMDHPILALNMTIIAICIKEGLYWITKRAGERQGSGLMMANAWHHRADAISSVVALIGVGGSILGVKFLDPLAGLVVSGMILKAGLETGYQSVLELVDAALPTEQLEPINQTILQVKGVKGCHRMRGRRAGSNLYLDAHIVVDPFSSVSAAHGIGENVRHQIHKSHPEVTEVFIHIGMLHD >KJB23235 pep chromosome:Graimondii2_0_v6:4:11694457:11698612:1 gene:B456_004G088200 transcript:KJB23235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MGFRFQNLNPMFRSYLSRLSSSPRNLHPNPIIIQSLNPHFQIYPPQVFSDNPIYNIPRRWHLGHSHGHHDHHHNLTKEGEKIFRLGLGADIGLATSKALTGYLSGSTAIIADAAHSISDVVLSGIALWSFKAANAPKDKEHPYGHGKFETLGALGISCMLLATASGIAWHALELLIGLLSTAPEAVNGHSLVHGDSHHHGIDMDHPILALNMTIIAICIKEGLYWITKRAGERQGSGLMMANAWHHRADAISSVVALIGVGGSILGVKFLDPLAGLVVSGMILKAGLETGYQSVLELVDAALPTEQLEPINQTILQVKGVKGCHRMRGRRAGSNLYLDAHIVVDPFSSVSAAHGIGENVRHQIHKSHPEVTEVFIHIGMLHD >KJB23236 pep chromosome:Graimondii2_0_v6:4:11694457:11698612:1 gene:B456_004G088200 transcript:KJB23236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MGFRFQNLNPMFRSYLSRLSSSPRNLHPNPIIIQSLNPHFQIYPPQVFSDNPIYNIPRRWHLGHSHGHHDHHHNLTKEGEKIFRLGLGADIGLATSKALTGYLSGSTAIIADAAHSISDVVLSGIALWSFKAANAPKDKEHPYGHGKFETLGALGISCMLLATASGIAWHALELLIGLLSTAPEAVNGHSLVHGDSHHHGIDMDHPILALNMTIIAICIKEGLYWITKRAGERQGSGLMMANAWHHRADAISSVVALIGVGGSILGVKFLDPLAGLVVSGMILKAGLETGYQSVLELVDAALPTEQLEPINQTILQVKGVKGCHRMRGRRAGSNLYLDAHIVVDPFSSVSAAHGIGENVRHQIHKSHPEVTEVFIHIDPAYVEFSPDVMDQNEGSKTITEQNSNMSVGEGNVQAIVSHILSSKFPKKFKVERITQHTLQGKMVLEVEVTMPPEIMIR >KJB23239 pep chromosome:Graimondii2_0_v6:4:11694457:11698612:1 gene:B456_004G088200 transcript:KJB23239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MGFRFQNLNPMFRSYLSRLSSSPRNLHPNPIIIQSLNPHFQIYPPQVFSDNPIYNIPRRWHLGHSHGHHDHHHNLTKEGEKIFRLGLGADIGLATSKALTGYLSGSTAIIADAAHSISDVVLSGIALWSFKAANAPKDKEHPYGHGKFETLGALGISCMLLATASGIAWHALELLIGLLSTAPEAVNGHSLVHGDSHHHGIDMDHPILALNMTIIAICIKEGLYWITKRAGERQGSGLMMANAWHHRADAISSVVALIGVGGSILGVKFLDPLAGLVVSGMILKAGLETGYQSVLELVDAALPTEQLEPINQTILQVKGVKGCHRMRGRRAGSNLYLDAHIVVDPFSSVSAAHGIGENVRHQIHKSHPEVTEVFIHIDPAYVEFSPDVMDQNEGSKTITEQNSNMSVGEGNVQAIVSHILSSKFPKVRLSSHYVINKPDFKLSRLHEFLQKFKVERITQHTLQGKMVLEVEVTMPPEIMIR >KJB23234 pep chromosome:Graimondii2_0_v6:4:11694457:11698612:1 gene:B456_004G088200 transcript:KJB23234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MLLATASGIAWHALELLIGLLSTAPEAVNGHSLVHGDSHHHGIDMDHPILALNMTIIAICIKEGLYWITKRAGERQGSGLMMANAWHHRADAISSVVALIGVGGSILGVKFLDPLAGLVVSGMILKAGLETGYQSVLELVDAALPTEQLEPINQTILQVKGVKGCHRMRGRRAGSNLYLDAHIVVDPFSSVSAAHGIGENVRHQIHKSHPEVTEVFIHIDPAYVEFSPDVMDQNEGSKTITEQNSNMSVGEGNVQAIVSHILSSKFPKKFKVERITQHTLQGKMVLEVEVTMPPEIMIREAMDTAKEAEKEIMKAASNIVHVNLQLRLGRPIPQFRYI >KJB23237 pep chromosome:Graimondii2_0_v6:4:11694457:11698612:1 gene:B456_004G088200 transcript:KJB23237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MGFRFQNLNPMFRSYLSRLSSSPRNLHPNPIIIQSLNPHFQIYPPQVFSDNPIYNIPRRWHLGHSHGHHDHHHNLTKEGEKIFRLGLGADIGLATSKALTGYLSGSTAIIADAAHSISDVVLSGIALWSFKAANAPKDKEHPYGHGKFETLGALGISCMLLATASGIAWHALELLIGLLSTAPEAVNGHSLVHGDSHHHGIDMDHPILALNMTIIAICIKEGLYWITKRAGERQGSGLMMANAWHHRADAISSVVALIGVGGSILGVKFLDPLAGLVVSGMILKAGLETGYQSVLELVDAALPTEQLEPINQTILQVKGVKGCHRMRGRRAGSNLYLDAHIVVDPFSSVSAAHGIGENVRHQIHKSHPEVTEVFIHIDPAYVEFSPDVMDQNEGSKTITEQNSNMSVGEGNVQAIVSHILSSKFPKVRLSSHYVINKPDFKLSRLHEFLQKFKVERITQHTLQGKMVLEVEVTMPPEIMIREAMDTAKEAEKEIMKAASNIVHVNLQLRLGRPIPQFRYI >KJB23233 pep chromosome:Graimondii2_0_v6:4:11694384:11698865:1 gene:B456_004G088200 transcript:KJB23233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MGFRFQNLNPMFRSYLSRLSSSPRNLHPNPIIIQSLNPHFQIYPPQVFSDNPIYNIPRRWHLGHSHGHHDHHHNLTKEGEKIFRLGLGADIGLATSKALTGYLSGSTAIIADAAHSISDVVLSGIALWSFKAANAPKDKEHPYGHGKFETLGALGISCMLLATASGIAWHALELLIGLLSTAPEAVNGHSLVHGDSHHHGIDMDHPILALNMTIIAICIKEGLYWITKRAGERQGSGLMMANAWHHRADAISSVVALIGVGGSILGVKFLDPLAGLVVSGMILKAGLETGYQSVLELVDAALPTEQLEPINQTILQVKGVKGCHRMRGRRAGSNLYLDAHIVVDPFSSVSAAHGIGENVRHQIHKSHPEVTEVFIHIDPAYVEFSPDVMDQNEGSKTITEQNSNMSVGEGNVQAIVSHILSSKFPKKFKVERITQHTLQGKMVLEVEVTMPPEIMIREAMDTAKEAEKEIMKAASNIVHVNLQLRLGRPIPQFRYI >KJB23242 pep chromosome:Graimondii2_0_v6:4:11694457:11698612:1 gene:B456_004G088200 transcript:KJB23242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MASPCRCDFIGSCTYWGCVLELVDAALPTEQLEPINQTILQVKGVKGCHRMRGRRAGSNLYLDAHIVVDPFSSVSAAHGIGENVRHQIHKSHPEVTEVFIHIDPAYVEFSPDVMDQNEGSKTITEQNSNMSVGEGNVQAIVSHILSSKFPKKFKVERITQHTLQGKMVLEVEVTMPPEIMIREAMDTAKEAEKEIMKAASNIVHVNLQLRLGRPIPQFRYI >KJB23241 pep chromosome:Graimondii2_0_v6:4:11694457:11698612:1 gene:B456_004G088200 transcript:KJB23241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MNVMLIRFKKRNLLGFLIVLVLFSGHGKFETLGALGISCMLLATASGIAWHALELLIGLLSTAPEAVNGHSLVHGDSHHHGIDMDHPILALNMTIIAICIKEGLYWITKRAGERQGSGLMMANAWHHRADAISSVVALIGVGGSILGVKFLDPLAGLVVSGMILKAGLETGYQSVLELVDAALPTEQLEPINQTILQVKGVKGCHRMRGRRAGSNLYLDAHIVVDPFSSVSAAHGIGENVRHQIHKSHPEVTEVFIHIDPAYVEFSPDVMDQNEGSKTITEQNSNMSVGEGNVQAIVSHILSSKFPKKFKVERITQHTLQGKMVLEVEVTMPPEIMIREAMDTAKEAEKEIMKAASNIVHVNLQLRLGRPIPQFRYI >KJB23238 pep chromosome:Graimondii2_0_v6:4:11694435:11698612:1 gene:B456_004G088200 transcript:KJB23238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MVIQSRKCAQGQRTPIWLLMIGLLSTAPEAVNGHSLVHGDSHHHGIDMDHPILALNMTIIAICIKEGLYWITKRAGERQGSGLMMANAWHHRADAISSVVALIGVGGSILGVKFLDPLAGLVVSGMILKAGLETGYQSVLELVDAALPTEQLEPINQTILQVKGVKGCHRMRGRRAGSNLYLDAHIVVDPFSSVSAAHGIGENVRHQIHKSHPEVTEVFIHIDPAYVEFSPDVMDQNEGSKTITEQNSNMSVGEGNVQAIVSHILSSKFPKKFKVERITQHTLQGKMVLEVEVTMPPEIMIREAMDTAKEAEKEIMKAASNIVHVNLQLRLGRPIPQFRYI >KJB27367 pep chromosome:Graimondii2_0_v6:4:62162705:62162866:1 gene:B456_004G2940003 transcript:KJB27367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISDLFQVGDMVQQMKIY >KJB24446 pep chromosome:Graimondii2_0_v6:4:40975674:40976983:-1 gene:B456_004G145800 transcript:KJB24446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVYETGFISETPQSEEDMDVKKGPWTEEEDFTLKAYVNIHGEGRWNSVARLSGLKRTGKSCRLRWLNYLRPEVRRGNISLQEQLLILQLHSQWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQAKQLKCDVNSKQFRDAMRYVWIPRLVEQICSSSGSHSAQQSSSTTTTTYADTIGSVRVDPRLLPELSGTSSDSLDAQVSSVSDLTNSKYPNSLQNGSGSGNTIAGTWGGVEIEATDGGDSMESVWNEENIWFLRQQLYDDDDLN >KJB22737 pep chromosome:Graimondii2_0_v6:4:6311843:6315626:-1 gene:B456_004G063500 transcript:KJB22737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNSRMQTFGQTEINWDKLDKTKFYVVGAGIFTGVTVALYPVSVVKTRLQVASKDTAERSAFSVIRGILKTDGIPGLYRGFGTVITGAIPARVIFLTALETTKVAAFSMVEPFKFSEPTQAAIANGIAGMTASLFAQAVFVPIDVISQKLMVQGYSGHAKYNGGLDVARKVLKSYGIRGLYRGFGLSVMTYSPSSAVWWASYGSSQRVIWRFLGQGTDLKEVAPSLSTIVAVQAAGGIIAGATASCITTPLDTIKTRLQVMGHEKRPSTRQVIKNLIADDGCKGFYRGLGPRFFSMSAWGTSMILAYEYLKRLCVKDD >KJB26928 pep chromosome:Graimondii2_0_v6:4:60203235:60203249:-1 gene:B456_004G266900 transcript:KJB26928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAT >KJB26379 pep chromosome:Graimondii2_0_v6:4:57716606:57719845:1 gene:B456_004G238600 transcript:KJB26379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQAKNNSAICLNQSTLNNVSSVLSPSIIRCLSDISEMDTVHLSVDLVSAARRIVGFLRSVNECQWLHQRPTIIEAIRRYDEVWMPLISNLTVVGSTPPLVLPPFDVEWVWFCHTLNPVGYKKYCESRFSKLIGKPSIFNEENEEYALMRCKEIWVQRYPAEPFENEVESDSQDPPLLNEDLFNEVQKHKLLYSKFSQPYLYELVYLIAARQRYKGFLYMIQRFGDGCFRFVPAFDILLMLLTHQSYPTAYADDLKDMWENMAKVVGLWETVQEKEVEETNKIWERTFDEPYEKAGGEIAMAKRPIYWEISDVDVNTKYKSMIPRFLLEVCIFVRLNARMKATNGDMKHNFLRLRMVRCHRELKLDKSIPDFSYDSWRKAWHLYCEFGTRGLIVEFRGRGGHCFKGSKLVNSMPFSWNDLLRAPSITLTREIDQVRVLASVTPPVQAPYLLKCVPDRVTDDSGAMISDVILKLNNYRPQKGRWLSRTVLDHAGRECFVVRIRVGEGFWRRGAETPSAVNREDRIIEIREGSWSYVAGSIGRAPVQRKW >KJB26377 pep chromosome:Graimondii2_0_v6:4:57716584:57719909:1 gene:B456_004G238600 transcript:KJB26377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQAKNNSAICLNQSTLNNVSSVLSPSIIRCLSDISEMDTVHLSVDLVSAARRIVGFLRSVNECQWLHQRPTIIEAIRRYDEVWMPLISNLTVVGSTPPLVLPPFDVEWVWFCHTLNPVGYKKYCESRFSKLIGKPSIFNEENEEYALMRCKEIWVQRYPAEPFENEVESDSQDPPLLNEDLFNEVQKHKLLYSKFSQPYLYELVYLIAARQRYKGFLYMIQRFGDGCFRFVPAFDILLMLLTHQSYPTAYADDLKDMWENMAKVVGLWETVQEKEVEETNKIWERTFDEPYEKAGGEIAMAKRPIYWEISDVDVNTKYKSMIPRFLLEVCIFVRLNARMKATNGDMKHNFLRLRMVRCHRELKLDKSIPDFSYDSWRKAWHLYCEFGTRGLIVEFRGRGGHCFKGSKLVNSMPFSWNDLLRAPSITLTREIDQVRVLASVTPPVQAPYLLKCVPDRVTDDSGAMISDVILKLNNYRPQKGRWLSRTVLDHAGRECFVVRIRVGEGFWRRGAETPSAVNREDRIIEIREGSWSYVAGSIGRAPEKVVGTATPKESPDQWQAAWQFSTGDELLINCGSSTSSSSLSFSMKSRESSDSFVMLLRGRKMQYRDKETESKVAEDEQEDDDGFVTLVRFTEENPTGRATALLNWRLLVVELSPEEDAILVLLLCISILRTVSEMTKEDAGGLLVRQRLKEAKVGARDWGSIVLHPSSLSSSNTSPYLQPWYWNASQVMAQHEDTGNTRKPAPVEGGDMLYRRGIIT >KJB26378 pep chromosome:Graimondii2_0_v6:4:57716645:57718790:1 gene:B456_004G238600 transcript:KJB26378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQAKNNSAICLNQSTLNNVSSVLSPSIIRCLSDISEMDTVHLSVDLVSAARRIVGFLRSVNECQWLHQRPTIIEAIRRYDEVWMPLISNLTVVGSTPPLVLPPFDVEWVWFCHTLNPVGYKKYCESRFSKLIGKPSIFNEENEEYALMRCKEIWVQRYPAEPFENEVESDSQDPPLLNEDLFNEVQKHKLLYSKFSQPYLYELVYLIAARQRYKGFLYMIQRFGDGCFRFVPAFDILLMLLTHQSYPTAYADDLKDMWENMAKVVGLWETVQEKEVEETNKIWERTFDEPYEKAGGEIAMAKRPIYWEISDVDVNTKYKSMIPRFLLEVCIFVRLNARMKATNGDMKHNFLRLRMVRCHRELKLDKSIPDFSYDSWRKAWHLYCEFGTRGLIVEFRGRGGHCFKGSKLVNSMPFSWNDLLRAPSITLTREIDQVRVLASVTPPVQAPYLLKCVPDRVTDDSGAMISDVILKLNNYRPQKGRWLSRTVLDHAGRECFVVRIRYVLSYPDKIKHICSVYLMQIFECCVAEWEKGFGEEELKLLLP >KJB23068 pep chromosome:Graimondii2_0_v6:4:9416680:9420027:1 gene:B456_004G079400 transcript:KJB23068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIRRFCCNDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFHVAEGPGNRIMGYIMGKVEGQGESWHGHVTAVTVAPEYRRQQLAKKLMNLLEDISDKIDKAYFVDLFVRASNTPAIKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSRDVEKKSIIPLKRPVTPDELEYD >KJB25386 pep chromosome:Graimondii2_0_v6:4:50416486:50418572:1 gene:B456_004G188700 transcript:KJB25386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGLNLSKQAPAHLTSLTVDKFLKSKKNLSKPSPKLWPNDPQLRFPHPVKNQQQPPSILQTLKSCTNLNHFNQIHAQLHVSGLLEQPRVASRAIRKLCNHLNSHSHAILVFDCVKEPDTFLCNIILDGLLNSDDPVSALSFYYEKMVGKFVEENQYTFPLLGKICAEIKSLKEGQKVHARVLKLGFESDVFVKNSLIRFYSFCGQIESAHEVFDDGFVLDLVSWNLLIDGYVKNGQVGIARELFNEMPERDTFSWNIIIAGYAGVGKMEVARDLFEKMPFRDVVSWNCMIDGYAKIENVSEARKLFDQMPLRNVVSWNTMLALYVRCKKYINQALTLFDRMMERGEARPNSASLVSVLTACANLGRLDKGLWVHSYIENNNIKCDVLLSTALLTMYAKCGAMDLARDVFNNMPVKNVVSWNSMIMGYGTHGHVEKALDMFIDMEKRGQIPNATTFISCLSACKNAGKVLEGWWCFDIMCRVYEIEPKVEHFGCMFDLLSRVGLMEQSNELMSKMPAESGPALWSALLSTCRASSNFELGKIVAKRLIDLEQMDIGPYVLLSYIYSVEGKWGEVENVRKFIKDRDLSERSASPHRRTIIYSMLMELGVQLKLSYGDSIGF >KJB26345 pep chromosome:Graimondii2_0_v6:4:57639590:57641067:1 gene:B456_004G237800 transcript:KJB26345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADTWSVRLSSASKRYQSSLESRSNMLMGFEEIDEEDDIREEFPCPFCSEYFDIVGLCCHIDDEHPVEAKNGVCPVCALRVGVDMVAHLTLQHGNIFKMQRKRKSRKGGSHSTLSLLKKELREGNLQTLLGSSSCIVSSSNSAPDPLLSLFFLPMIDDFVSDQPPFPTETSRNNKSSDVNKSER >KJB26346 pep chromosome:Graimondii2_0_v6:4:57639351:57641663:1 gene:B456_004G237800 transcript:KJB26346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADTWSVRLSSASKRYQSSLESRSNMLMGFEEIDEEDDIREEFPCPFCSEYFDIVGLCCHIDDEHPVEAKNGVCPVCALRVGVDMVAHLTLQHGNIFKMQRKRKSRKGGSHSTLSLLKKELREGNLQTLLGSSSCIVSSSNSAPDPLLSLFFLPMIDDFVSDQPPFPTETSRNNKSSDVNKSERTRKRRQRDVNAFKGCCCLQLLMISYKAAAISDTGS >KJB26344 pep chromosome:Graimondii2_0_v6:4:57639351:57641663:1 gene:B456_004G237800 transcript:KJB26344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADTWSVRLSSASKRYQSSLESRSNMLMGFEEIDEEDDIREEFPCPFCSEYFDIVGLCCHIDDEHPVEAKNGVCPVCALRVGVDMVAHLTLQHGNIFKMQRKRKSRKGGSHSTLSLLKKELREGNLQTLLGSSSCIVSSSNSAPDPLLSLFFLPMIDDFVSDQPPFPTETSRNNKSSDVNKSERTIQSSPLSVKDQEEKAKRCECVQGLLLSTIVDDIL >KJB26347 pep chromosome:Graimondii2_0_v6:4:57639334:57641723:1 gene:B456_004G237800 transcript:KJB26347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADTWSVRLSSASKRYQSSLESRSNMLMGFEEIDEEDDIREEFPCPFCSEYFDIVGLCCHIDDEHPVEAKNGVCPVCALRVGVDMVAHLTLQHGNIFKMQRKRKSRKGGSHSTLSLLKKELREGNLQTLLGSSSCIVSSSNSAPDPLLSLFFLPMIDDFVSDQPPFPTETSRNNKSSDVNKSERTIQSSPLSVKDQEEKAKRCECVQGLLLSTIVDDIL >KJB21977 pep chromosome:Graimondii2_0_v6:4:1856759:1868325:-1 gene:B456_004G024200 transcript:KJB21977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAGIRSLLLVSMILLLVSIGTVESRRSAKSSSVFSLFNLKEKSKFWSEAVIRGDFDDFEASSPSKTGVYNYTKAGNIANYLNLMEVDSMYLPVPVNFIFMGFDGKGNQEFTLRPEELERWFTKIDHVFAHTRIPQIGELITAVERVIIDKKQHHHFPVISHVNYNFSVHAIQMGEKVTTVFERAINVLARKDDVSGDRNDTDSLWQVDADMMDVLFTSLVEYLQLEDAYNIFILNPRRDSRIAKYGYRRGLSESEITLLKKDKDLQSKILQAGNTPGNVLALDNIKKPLYGKHPMVKFAWTVTEEADTVEWHNICLDALMNVEKLYQGKTTAEIIQNKVSQLLNGKNEDMKLLLQKDLKSGDFNDYHEECLTDTWIGKDRWAFIDLTAGPFSWGPAVGGEGVRTELSLPNVGKTIGAVEEISEDEAEDRLQDAIQEKFAVFGDAIDILLAEIDIYELFAFKHCKGRKVKLALCDELDERMRDLKDELQSFENEEYDESHRMKAVDALKRMESWNLFSDTHEKFQNYTVARDTFLAQLGATLWGSVRHIISPSVADGAFHYYEKISYQLFFITKEKVRHFKRLPVDLRALQDGLSSLLIPSQKVMFSQHVLALSEDPALAMAFSVARRAAAVPYLLVNGTYRKSTRSYIDSSILQYQLRRLNTHGSLKGSHAHSRSTLEVPIFWFIHTDPLLVDKHYQAKALSDMVIVVQSESSSWESHLQCNGKSLLWDLRRPIKPALAAVSEHLAGLLPLQLVYSHAHGTAIEDWIWSVGCNPFSITSQGWQISKFQSDTIARSYIISTLEESIKLVNSAMHLLLWERTTEKTFKHFQSQERELVNKYNYVVSLWRRISTIAGELRYTDAMKLLYTLEDATKGFVDQVNATIALLHPIHCTKDRKVQVEFDATTIPAFLIVLGILYLVLKPRRPKPKIN >KJB21979 pep chromosome:Graimondii2_0_v6:4:1856759:1868428:-1 gene:B456_004G024200 transcript:KJB21979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAGIRSLLLVSMILLLVSIGTVESRRSAKSSSVFSLFNLKEKSKFWSEAVIRGDFDDFEASSPSKTGVYNYTKAGNIANYLNLMEVDSMYLPVPVNFIFMGFDGKGNQEFTLRPEELERWFTKIDHVFAHTRIPQIGELITAVERVIIDKKQHHHFPVISHVNYNFSVHAIQMGEKVTTVFERAINVLARKDDVSGDRNDTDSLWQVDADMMDVLFTSLVEYLQLEDAYNIFILNPRRDSRIAKYGYRRGLSESEITLLKKDKDLQSKILQAGNTPGNVLALDNIKKPLYGKHPMVKFAWTVTEEADTVEWHNICLDALMNVEKLYQGKTTAEIIQNKVSQLLNGKNEDMKLLLQKDLKSGDFNDYHEECLTDTWIGKDRWAFIDLTAGPFSWGPAVGGEGVRTELSLPNVGKTIGAVEEISEDEAEDRLQDAIQEKFAVFGDKDHQAIDILLAEIDIYELFAFKHCKGRKVKLALCDELDERMRDLKDELQSFENEEYDESHRMKAVDALKRMESWNLFSDTHEKFQNYTVARDTFLAQLGATLWGSVRHIISPSVADGAFHYYEKISYQLFFITKEKVRHFKRLPVDLRALQDGLSSLLIPSQKVMFSQHVLALSEDPALAMAFSVARRAAAVPYLLVNGTYRKSTRSYIDSSILQYQLRRLNTHGSLKGSHAHSRSTLEVPIFWFIHTDPLLVDKHYQAKALSDMVIVVQSESSSWESHLQCNGKSLLWDLRRPIKPALAAVSEHLAGLLPLQLVYSHAHGTAIEDWIWSVGCNPFSITSQGWQISKFQSDTIARSYIISTLEESIKLVNSAMHLLLWERTTEKTFKHFQSQERELVNKYNYVVSLWRRISTIAGELRYTDAMKLLYTLEDATKGFVDQVNATIALLHPIHCTKDRKVQVEFDATTIPAFLIVLGILYLVLKPRRPKPKIN >KJB21978 pep chromosome:Graimondii2_0_v6:4:1856759:1868325:-1 gene:B456_004G024200 transcript:KJB21978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAGIRSLLLVSMILLLVSIGTVESRRSAKSSSVFSLFNLKEKSKFWSEAVIRGDFDDFEASSPSKTGVYNYTKAGNIANYLNLMEVDSMYLPVPVNFIFMGFDGKGNQEFTLRPEELERWFTKIDHVFAHTRIPQIGELITAVERVIIDKKQHHHFPVISHVNYNFSVHAIQMGEKVTTVFERAINVLARKDDVSGDRNDTDSLWQVDADMMDVLFTSLVEYLQLEDAYNIFILNPRRDSRIAKYGYRGLSESEITLLKKDKDLQSKILQAGNTPGNVLALDNIKKPLYGKHPMVKFAWTVTEEADTVEWHNICLDALMNVEKLYQGKTTAEIIQNKVSQLLNGKNEDMKLLLQKDLKSGDFNDYHEECLTDTWIGKDRWAFIDLTAGPFSWGPAVGGEGVRTELSLPNVGKTIGAVEEISEDEAEDRLQDAIQEKFAVFGDKDHQAIDILLAEIDIYELFAFKHCKGRKVKLALCDELDERMRDLKDELQSFENEEYDESHRMKAVDALKRMESWNLFSDTHEKFQNYTVARDTFLAQLGATLWGSVRHIISPSVADGAFHYYEKISYQLFFITKEKVRHFKRLPVDLRALQDGLSSLLIPSQKVMFSQHVLALSEDPALAMAFSVARRAAAVPYLLVNGTYRKSTRSYIDSSILQYQLRRLNTHGSLKGSHAHSRSTLEVPIFWFIHTDPLLVDKHYQAKALSDMVIVVQSESSSWESHLQCNGKSLLWDLRRPIKPALAAVSEHLAGLLPLQLVYSHAHGTAIEDWIWSVGCNPFSITSQGWQISKFQSDTIARSYIISTLEESIKLVNSAMHLLLWERTTEKTFKHFQSQERELVNKYNYVVSLWRRISTIAGELRYTDAMKLLYTLEDATKGFVDQVNATIALLHPIHCTKDRKVQVEFDATTIPAFLIVLGILYLVLKPRRPKPKIN >KJB21976 pep chromosome:Graimondii2_0_v6:4:1858388:1868232:-1 gene:B456_004G024200 transcript:KJB21976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAGIRSLLLVSMILLLVSIGTVESRRSAKSSSVFSLFNLKEKSKFWSEAVIRGDFDDFEASSPSKTGVYNYTKAGNIANYLNLMEVDSMYLPVPVNFIFMGFDGKGNQEFTLRPEELERWFTKIDHVFAHTRIPQIGELITAVERVIIDKKQHHHFPVISHVNYNFSVHAIQMGEKVTTVFERAINVLARKDDVSGDRNDTDSLWQVDADMMDVLFTSLVEYLQLEDAYNIFILNPRRDSRIAKYGYRRGLSESEITLLKKDKDLQSKILQAGNTPGNVLALDNIKKPLYGKHPMVKFAWTVTEEADTVEWHNICLDALMNVEKLYQGKTTAEIIQNKVSQLLNGKNEDMKLLLQKDLKSGDFNDYHEECLTDTWIGKDRWAFIDLTAGPFSWGPAVGGEGVRTELSLPNVGKTIGAVEEISEDEAEDRLQDAIQEKFAVFGDKDHQAIDILLAEIDIYELFAFKHCKGRKVKLALCDELDERMRDLKDELQSFENEEYDESHRMKAVDALKRMESWNLFSDTHEKFQNYTVARDTFLAQLGATLWGSVRHIISPSVADGAFHYYEKISYQLFFITKEKVRHFKRLPVDLRALQDGLSSLLIPSQKVMFSQHVLALSEDPALAMAFSVARRAAAVPYLLVNGTYRKSTRSYIDSSILQYQLRRLNTHGSLKGSHAHSRSTLEVPIFWFIHTDPLLVDKHYQAKALSDMVIVVQSESSSWESHLQCNGKSLLWDLRRPIKPALAAVSEHLAGLLPLQLVYSHAHGTAIEDWIWSVGCNPFSITSQGWQISKFQSDTIARSYIISTLEESIKLVNSAMHLLLWERTMRLNQ >KJB27181 pep chromosome:Graimondii2_0_v6:4:61416941:61420216:1 gene:B456_004G282700 transcript:KJB27181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDAKALAKSKRAHSQHHSKKPHSVQKSKPPSPGVNEPSNSKKQTIKQIKEKAHQAQRISALPSNWNRYEEEFDSGSEDPTQTPDVIVPKSKGADFRHLLSEAQSQLQANPYSNNIPSLDDVFPGDFNQFVGSMLAVRGEGILSWTGNDNFVVDDSTTATPEASFLSLNLQALAEQLEKVDLSKRLFIEEDLLPPDLRSERSKVKNDQEPDQMQAAPDRKEAAKITEGSTPNDLPGSKAIDAILSNSGLDLMAEVQSVSISSQNSESSESRAPDNLNFTTASNKKVPKFEAAAAEAKLDMLLNSFNETKLLDTSNLSSEKPSSIGSLKASNLDSLLDDLLQETSTTVNRGIDSSKTAAVNSTSEDLLDDLLQETSSKIVDAKLGSDNNVRSSSSSSQPVSKSKILNDFDSWLDTI >KJB27180 pep chromosome:Graimondii2_0_v6:4:61418080:61420036:1 gene:B456_004G282700 transcript:KJB27180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLICASSRLIVLFSGSRLDLLHVTTLNQLSNVLGSLLPASFLSLNLQALAEQLEKVDLSKRLFIEEDLLPPDLRSERSKVKNDQEPDQMQAAPDRKEAAKITEGSTPNDLPGSKAIDAILSNSGLDLMAEVQSVSISSQNSESSESRAPDNLNFTTASNKKVPKFEAAAAEAKLDMLLNSFNETKLLDTSNLSSEKPSSIGSLKASNLDSLLDDLLQETSTTVNRGIDSSKTAAVNSTSEDLLDDLLQETSSKIVDAKLGSDNNVRSSSSSSQPVSKSKILNDFDSWLDTI >KJB21771 pep chromosome:Graimondii2_0_v6:4:927838:929848:1 gene:B456_004G012700 transcript:KJB21771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSRQYQEMPNPTLGNMMVCNKGNEQQERKPRPQPEQALKCPRCDSTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNRRSSSSSSSSSSKRSQDQLLMPSNTNLPPIDTSELSLAIARLQKQANVHLGFDENELPVLGNPNSFGLLGNQNHFQGFYNYGFENSGGETMMLPYNNDEEIISDAMIRTASNGMVAAMKQEVVSDGNKSDENQNQWGLQWQFNGDHGNNYYNMVDFDSVRENWSGLSSTWHGLLNSPLM >KJB24173 pep chromosome:Graimondii2_0_v6:4:35644395:35649067:1 gene:B456_004G131300 transcript:KJB24173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLKVATCNLNQWAMDFDCNLKHIKDSITRAKEVGAVIRLGPELEITGYGCEDHFLELDTVNHAWECLKEILLGDWTDGILCSIGMPIIRGSERYNCQVLCFNRKIVMIRPKMWLANDGNYRELRWFTTWKQKDQLVEFQLPPEISEALSQTSVPFGYGYIQFLDTAVAAEICEELFTPNPPHTELALNGVEVFLNASGSHHQLRKLDLRLRAFIGATHTRGGVYMYSNHQGCDGARLYYDGCSCVVVNGEVVAQGSQFSLKDVEVVVAQVDLDAVASLRGSISSFQEQASCKTKVSSVAVPYNICKPFNLKMLLSSPQKIMYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIANGDEQVKADAIRIGNYTDGKFPTDSKEFAKRIFYTVYMGSENSSEATKTRAKVLADEIGSWHLDVCIDGVVSSLLSLFQTVTGKRPRYKVDGGSSVENLGLQNIQARIRMVLAFMLASLLPWVHNKKGFYLVLGSSNVDEALRGYLTKYDCSAADINPIGSISKQDLRTFLRWAANHLGYSSLAEIEAAPPTAELEPIRSNYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFKIS >KJB24172 pep chromosome:Graimondii2_0_v6:4:35644310:35649951:1 gene:B456_004G131300 transcript:KJB24172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLKVATCNLNQWAMDFDCNLKHIKDSITRAKEVGAVIRLGPELEITGYGCEDHFLELDTVNHAWECLKEILLGDWTDGILCSIGMPIIRGSERYNCQVLCFNRKIVMIRPKMWLANDGNYRELRWFTTWKQKDQLVEFQLPPEISEALSQTSVPFGYGYIQFLDTAVAAEICEELFTPNPPHTELALNGVEVFLNASGSHHQLRKLDLRLRAFIGATHTRGGVYMYSNHQGCDGARLYYDGCSCVVVNGEVVAQGSQFSLKDVEVVVAQVDLDAVASLRGSISSFQEQASCKTKVSSVAVPYNICKPFNLKMLLSSPQKIMYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIANGDEQVKADAIRIGNYTDGKFPTDSKEFAKRIFYTVYMGSENSSEATKTRAKVLADEIGSWHLDVCIDGVVSSLLSLFQTVTGKRPRYKVDGGSSVENLGLQNIQARIRMVLAFMLASLLPWVHNKKGFYLVLGSSNVDEALRGYLTKYDCSAADINPIGSISKQDLRTFLRWAANHLGYSSLAEIEAAPPTAELEPIRSNYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFKNLCYKWGATLTPSEVADKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKMDELVQEMNGDTVAFKETGEDGGTAAGGGGMGVVAAASGNPKAGF >KJB24844 pep chromosome:Graimondii2_0_v6:4:45622216:45622506:-1 gene:B456_004G1641002 transcript:KJB24844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGCCKPPNECGFTYVNPARWVEENWQVYSENPDCKAWDNDQNILCYNCESCKAGVLDNTKHSWKKVQWFYVICQMLLIIMYAVGCFAFRNILIDES >KJB24352 pep chromosome:Graimondii2_0_v6:4:39738497:39740163:1 gene:B456_004G141300 transcript:KJB24352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTQNFQTLRMDYLSISSALSFYRKPAQLKGTLAELKPPLVSLQDETPLKPIVPKTTSKQRPFTVTYLINSCGLSLESAISVSDKVQFQSPERPDSVLTLLRNYGFSKTQISNLIRKRPMLLLSHPENTLLPKLEFFQSIGLSSNELARTLSSDPTLLTRSLENQIMPTYDFLKSVLLSDEKIVAALKRTTWVFLEDPSKNLMPNVTYLRESGVPQSCVSLLLTHFPEAVMQKHEPFVETVREVKEMGFDPKKSTFVLAVHALSGKGNKSIWERCYEVYKRWGWSNDDILSAFRKHPHSMMLSEKKIMKSMGYFVNEMGWASRAIAECPVVLFFSLEKRIIPRCSVFQVLLSKGLIKEGFSLTTVLLPVEKRFLERFVMRYQEEVPELLSVYQGKVKLEGL >KJB21389 pep chromosome:Graimondii2_0_v6:4:52155245:52155631:-1 gene:B456_004G199700 transcript:KJB21389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKGCSSAIFLVGINLLFLTLVSGCYTCVHPRPSGSPPTKRSCPRDALKLGVCAKVLNGTVAGVVVGNPPDTQCCSALGGLVDLEAAVCLCTAIKANVLGINIDIPIALSLLINTCGKNLPSDFICA >KJB24936 pep chromosome:Graimondii2_0_v6:4:46660251:46662415:-1 gene:B456_004G168800 transcript:KJB24936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADNESSDSIESEVRTSSGMFLQKAQDEVVANIEARIAAWTFLPAENGKSMQILHYENGQKYEPHFDYFHDKANQELGGHRIATVLMYLSDVESGGETVFPNAEGKLSQPKDDSWSDCAKNGYAVEPRKGDALLFFSLHLDATTDSDSLHRSCPVIKCEKWSATKWIHVRSFDTAKRQSVNRDCVDENENCATWASAGECEKNPSYMIGSEDYYGYCRKSCKVCSS >KJB24937 pep chromosome:Graimondii2_0_v6:4:46660334:46662650:-1 gene:B456_004G168800 transcript:KJB24937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHWYFIGFLLLILLHLCLVLAEINGSVLEMKRGTSSVPFDPTRVTQLSWHPSEECDHLITLAKDKLEKSMAADNESSDSIESEVRTSSGMFLQKAQDEVVANIEARIAAWTFLPAENGKSMQILHYENGQKYEPHFDYFHDKANQELGGHRIATVLMYLSDVESGGETVFPNAEGKLSQPKDDSWSDCAKNGYAVEPRKGDALLFFSLHLDATTDSDSLHRSCPVIKCEKWSATKWIHVRSFDTAKRQSVNRDCVDENENCATWASAGECEKNPSYMIGSEDYYGYCRKSCKVCSS >KJB24143 pep chromosome:Graimondii2_0_v6:4:34959451:34965082:1 gene:B456_004G129800 transcript:KJB24143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETTEGSELQNGFQPQPRPEPEPESELKVESQPEQQPEEELKPEPVVTDADPKPEPNNESSIQSNETTKQASTDQVAPPGRRKDEENRTFTMRELLSELKSDEDDAGSPYSQENTQRQSYQNNAAMELINSVTGTDEEGRSRQRILVYAARRYATALERNPEDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATRLCPTLHDVCAFYNWAIAISDRAKMRGRTKEAEELWEQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVPAREKQKIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRQGSPNLKEVSPDELYSQSAIYIAAAHALKPNYSVYSSALRLVRSMLPLPHLKDGYLTAPPVGNSMAPHGAWKRTEFFLNHEALQQVIRVDQRQITRSLSGRTSDVTDMDKRAIRVDIPDIVSVSACADLTLPPGAGLCIDTTSGPVFLVADSWESLDGWLDAIRLVYTIYARGKTDILAGIITG >KJB24144 pep chromosome:Graimondii2_0_v6:4:34959451:34964401:1 gene:B456_004G129800 transcript:KJB24144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETTEGSELQNGFQPQPRPEPEPESELKVESQPEQQPEEELKPEPVVTDADPKPEPNNESSIQSNETTKQASTDQVAPPGRRKDEENRTFTMRELLSELKSDEDDAGSPYSQENTQRQSYQNNAAMELINSVTGTDEEGRSRQRILVYAARRYATALERNPEDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATRLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWEQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVPAREKQKIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRQGSPNLKEVSPDELYSQSAIYIAAAHALKPNYSVYSSALRLVRSMVLGFVRCLFWW >KJB24140 pep chromosome:Graimondii2_0_v6:4:34959322:34965095:1 gene:B456_004G129800 transcript:KJB24140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETTEGSELQNGFQPQPRPEPEPESELKVESQPEQQPEEELKPEPVVTDADPKPEPNNESSIQSNETTKQASTDQVAPPGRRKDEENRTFTMRELLSELKSDEDDAGSPYSQENTQRQSYQNNAAMELINSVTGTDEEGRSRQRILVYAARRYATALERNPEDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATRLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWEQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVPAREKQKIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRQGSPNLKEVSPDELYSQSAIYIAAAHALKPNYSVYSSALRLVRSMLPLPHLKDGYLTAPPVGNSMAPHGAWKRTEFFLNHEALQQVIRVDQRQITRSLSGRTSDVTDMDKRAIRVDIPDIVSVSACADLTLPPGAGLCIDTTSGPVFLVADSWESLDGWLDAIRLVYTIYARGKTDILAGIITG >KJB24142 pep chromosome:Graimondii2_0_v6:4:34959451:34965082:1 gene:B456_004G129800 transcript:KJB24142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETTEGSELQNGFQPQPRPEPEPESELKVESQPEQQPEEELKPEPVVTDADPKPEPNNESSIQSNETTKQASTDQVAPPGRRKDEENRTFTMRELLSELKSDEDDAGSPYSQENTQRQSYQNNAAMELINSVTGTDEEGRSRQRILVYAARRYATALERNPEDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATRLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWEQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVPAREKQKIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRQGSPNLKEVSPDELYSQSAIYIAAAHALKPNYSVYSSALRLVRSMVLGFVRCLFWW >KJB24145 pep chromosome:Graimondii2_0_v6:4:34959451:34965082:1 gene:B456_004G129800 transcript:KJB24145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETTEGSELQNGFQPQPRPEPEPESELKVESQPEQQPEEELKPEPVVTDADPKPEPNNESSIQSNETTKQASTDQVAPPGRRKDEENRTFTMRELLSELKSDEDDAGSPYSQENTQRQSYQNNAAMELINSVTGTDEEGRSRQRILVYAARRYATALERNPEDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATRLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWEQKSLVFSKECLAVVIKALSFTLIEPNPIVMGFQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVPAREKQKIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRQGSPNLKEVSPDELYSQSAIYIAAAHALKPNYSVYSSALRLVRSMLPLPHLKDGYLTAPPVGNSMAPHGAWKRTEFFLNHEALQQVIRVDQRQITRSLSGRTSDVTDMDKRAIRVDIPDIVSVSACADLTLPPGAGLCIDTTSGPVFLVADSWESLDGWLDAIRLVYTIYARGKTDILAGIITG >KJB24141 pep chromosome:Graimondii2_0_v6:4:34959451:34963447:1 gene:B456_004G129800 transcript:KJB24141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETTEGSELQNGFQPQPRPEPEPESELKVESQPEQQPEEELKPEPVVTDADPKPEPNNESSIQSNETTKQASTDQVAPPGRRKDEENRTFTMRELLSELKSDEDDAGSPYSQENTQRQSYQNNAAMELINSVTGTDEEGRSRQRILVYAARRYATALERNPEDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATRLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWEQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVPAREKQKIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRQGSPNLKEVSPDELYSQSAIYIAAAHALKPNYSVYSSALRLVRSMVSI >KJB27117 pep chromosome:Graimondii2_0_v6:4:61156137:61159202:-1 gene:B456_004G279200 transcript:KJB27117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKEEPKSPESDVTSMSFEETKLTLGLPGEGRSSAGAVKCSAKRGFVETVVDLKVGSSGNCMPGGRDDTTTVIDSGAGKPPPAKEQVVGWPPVRSSRKKAINERFRYVKVAMDGAPFLRKVNLQCYSSYNQLLKDFDNLFDCSNKEESKLMDVVKRMEYVPTYEDKDDDWMLVGDVPWKMFVESCKRIRLMKCSDPTVFTLASKGCSSSSSPKC >KJB23207 pep chromosome:Graimondii2_0_v6:4:11485790:11490739:-1 gene:B456_004G086700 transcript:KJB23207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYGQNPARNGSFSGHQLEWIPAGPETGGLEESMWQLSLRGTGSYPERPGVPDCVYYMRTGFCGYGNRCRYNHPRNRAAVEAAVRATGEYPERPGEPACQFYLKTGTCKFGASCKFHHPKHGGGSFGHVPLNIYGYPLRPGEKECSYYLKTGQCKFGVTCKFHHPQPAGTSMPASAPQFYQPVQSPSVPLPEQYGGAPTSVRVARPPLLPGSYVQGAYGPVLFSPGVVPIPGWSHYSAPVSPALSPGAQPAVGATSLYGLTQLSSSAPSLAGPYSSLPSSTGPSSSNQKEQTFPERPGERECQYYLRTGNCKFGSSCRYHHPRDKVVQQTNCILSPMGLPLRPGVQPCAFYLQNGHCKFGSTCKFDHPIGTMRYSPSASSLIDIPVAPYPVGSLLATLAPSSSSSELLPEMISRSKKDSYLSRISSSASTASSSVGLIFSQTGSVHFSDFQLSSQSLSSSRSTIQGAEVHSN >KJB23208 pep chromosome:Graimondii2_0_v6:4:11486812:11490640:-1 gene:B456_004G086700 transcript:KJB23208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYGQNPARNGSFSGHQLEWIPAGPETGGLEESMWQLSLRGTGSYPERPGVPDCVYYMRTGFCGYGNRCRYNHPRNRAAVEAAVRATGEYPERPGEPACQAPVSPALSPGAQPAVGATSLYGLTQLSSSAPSLAGPYSSLPSSTGPSSSNQKEQTFPERPGERECQYYLRTGNCKFGSSCRYHHPRDKVVQQTNCILSPMGLPLRPGVQPCAFYLQNGHCKFGSTCKFDHPIGTMRYSPSASSLIDIPVAPYPVGSLLATLAPSSSSSELLPEMISRSKKDSYLSRISSSASTASSSVGLIFSQTGSVHFSDFQLSSQSLSSSRSTIQGAEVHSN >KJB23702 pep chromosome:Graimondii2_0_v6:4:23719482:23731937:-1 gene:B456_004G110700 transcript:KJB23702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCPHLRLKFLAATATADTAAMSSYQRPHRGGRNQWGRSFSDRTNSGGRGHLVTGDSNLDSVGEANLGFRRGNFSNQNSFQSQQFGYKPRPSSPYSQNQQFFRQPPPARPYNRYQPPRHPFGQNPAAKPFRPRNSKPWDYREWKYAGTPPPPRSDKFVVLSYNVLADYLASTHRNLYFHIPFHMMNWEWRMRNLMFELSLWSADILCFQEVDRFHDMEEQLNSMGYNGIWKMRTGRAIDGCAIFWRTSRFKLLHEESIEFNKHELRDNVAQICVLELLSQSPPQNTAAPLRSSTNSNKVVICNIHVLYNPRRGEIKLGQLDLSEVNRDKVSGQASAEIPLQTYNPNSGAQSGNIPAQVPPAVDIKRIGVDKNDSHSDTKKQNNLDRNMNDASVNMSGSSETMQDLSDMSCNNLRMGGNDSAEYDEVTEIRCGAVDMQRLSPGHSSCPENMCSGITEMEHIGTDVTIHSNNGKALDDIVMNNHSNGITTDPELLSTSQSETSSTEPLYQTHSLDAIEVSPPNILACQSSESVANDHRDRRPVPSQVDFYGLSAGTDIELEEKMDNLSPEEHSKTMVESENIVADNNAFVDALYGNQDRHPTNSSQSVASDLDHSSMEFLSSQDSQFLLPSADVLDDVLPSLDSEVAEIEQTTYDPSSWSPKELATATGNEDCKFLEHPLQLKSTYTEVKDSPGTRDSYGEPLVTSYNRRFSGTVDYIWRSEGLQTVRVLAPIPKHAMQWTPGFPTKKWGSDHIALAAELAFTKSLTNQDPKS >KJB23703 pep chromosome:Graimondii2_0_v6:4:23719809:23731828:-1 gene:B456_004G110700 transcript:KJB23703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCPHLRLKFLAATATADTAAMSSYQRPHRGGRNQWGRSFSDRTNSGGRGHLVTGDSNLDSVGEANLGFRRGNFSNQNSFQSQQFGYKPRPSSPYSQNQQFFRQPPPARPYNRYQPPRHPFGQNPAAKPFRPRNSKPWDYREWKYAGTPPPPRSDKFVVLSYNVLADYLASTHRNLYFHIPFHMMNWEWRMRNLMFELSLWSADILCFQEVDRFHDMEEQLNSMGYNGIWKMRTGRAIDGCAIFWRTSRFKLLHEESIEFNKHELRDNVAQICVLELLSQSPPQNTAAPLRSSTNSNKVVICNIHVLYNPRRGEIKLGQVRRLLERAHSVSKSWDNAPVVLCGDFNCTPKSPLYNFISEQKLDLSEVNRDKVSGQASAEIPLQTYNPNSGAQSGNIPAQVPPAVDIKRIGVDKNDSHSDTKKQNNLDRNMNDASVNMSGSSETMQDLSDMSCNNLRMGGNDSAEYDEVTEIRCGAVDMQRLSPGHSSCPENMCSGITEMEHIGTDVTIHSNNGKALDDIVMNNHSNGITTDPELLSTSQSETSSTEPLYQTHSLDAIEVSPPNILACQSSESVANDHRDRRPVPSQVDFYGLSAGTDIELEEKMDNLSPEEHSKTMVESENIVADNNAFVDALYGNQDRHPTNSSQSVASDLDHSSMEFLSSQDSQFLLPSADVLDDVLPSLDSEVAEIEQTTYDPSSWSPKELATATGNEDCKFLEHPLQLKSTYTEVKDSPGTRDSYGEPLVTSYNRRFSGTVDYIWRSEGLQTVRVLAPIPKHAMQWTPGFPTKKWGSDHIALAAELAFTKSLTNQDPKS >KJB24244 pep chromosome:Graimondii2_0_v6:4:37199219:37206526:-1 gene:B456_004G135100 transcript:KJB24244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVNWISFSTNKHHHIIVKLAVVVLLLGLAFRLFVAHYKGFASDLESPVSEKVQVRAPYVEPPVLVGISENEDHIHLDVITEKCDLFKGDWIPNPSGPSYTNESCPWIENHQNCMKNGRPDFGYLYWKWKPHDCQLPRFNAERFLELMRNKAWALIGDSISRNHAQSLLCMLSTVHVLVSRAAVQSP >KJB24245 pep chromosome:Graimondii2_0_v6:4:37205312:37206526:-1 gene:B456_004G135100 transcript:KJB24245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVNWISFSTNKHHHIIVKLAVVVLLLGLAFRLFVAHYKGFASDLESPVSEKVQVRAPYVEPPVLVGISENEDHIHLDVITEKCDLFKGDWIPNPSGPSYTNESCPWIENHQNCMKNGRPDFGYLYWKWKPHDCQLPRFNAERFLELMRNKAWALIGDSISRNHAQSLLCMLSTVCT >KJB25672 pep chromosome:Graimondii2_0_v6:4:53273872:53276008:1 gene:B456_004G203400 transcript:KJB25672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLFIWLFSFFILVALLIILVYQLICLADLEFDYINPYDSSSRINKVVLPEFILEGFLCFFYLLTGHWVMSLLCAPYLYYNVRLYTRKQHLVDVTEIFNLLHWEKKKRLFKLTYVVILLFFAVFWMIYSALEDD >KJB25673 pep chromosome:Graimondii2_0_v6:4:53273999:53275783:1 gene:B456_004G203400 transcript:KJB25673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLFIWLFSFFILVALLIILVYQLICLADLEFDYINPYDSSSRINKVVLPEFILEGFLCFFYLLTGHWVMSLLCAPYLYYNVRLYTRKQHLVDVTEIFNLLHWEKKKRLFKLTYVVILLFFAVFWYFSNSRQKCSL >KJB26599 pep chromosome:Graimondii2_0_v6:4:58688697:58689835:1 gene:B456_004G249500 transcript:KJB26599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAPPSTRSRVLEINLVSAEDLTPVSKNMKTYAVVWVKPDEKLSTGVDQKGGTDPIWNDRFTFKVDQKFLNSEDATIAVEIYAAAWVKDALVGSVNVLINDIFHLRSVADAKSNDSARRTVTLQIRRPSGRPQGILKMEVALVDSSMRSTPQVEDQKPEPTIHGGCGSVHENHETNANISSELTTEENYKKRPQGSIVNCGSGSSGSEVKCNGGSMVNGDSLCNSDVGPSASVVAAAIAKGLYQPPGNNQPETKETSKISEWTKKEREEELSKKLERWRSEIPPQAVKSRRRSRKKRSGGGIKLFSCFGNTFQFEISINFGSKKKRSNGNNGSGDGNNNKICHLGSINDNNTKSVA >KJB25450 pep chromosome:Graimondii2_0_v6:4:50941329:50943086:-1 gene:B456_004G191900 transcript:KJB25450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQFPAKPAIFIIIKIFLISRSVDRHRYEIHRIKQRKQVPRRRELASVFKAPLLFRTEPLPQLFHLMTALNGRFHGFRTRSEGYSGRHGSPVGCLEVLASKNEPF >KJB26986 pep chromosome:Graimondii2_0_v6:4:60520811:60522573:1 gene:B456_004G270200 transcript:KJB26986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVPFTSLSQQKESKMNMVHGTTSTVDEGVPLPGFRFHPTEEELVGFYLRQKVDNNCLTIELIKEIDIYKYDPSDLPKTGMMGENDLYFFCKRGRKYRNSVRPNRVTGSGFWKATGIDKPVFSVKSGEAIGLKKTLVYYHGSAGKGTKTDWMMHEFRLPNPTLTAQEAEVWTICRIFKRNKKIKQDSRQGAAKRASTSNGNGVDGYKRCSVESNSHENYITIGSQIIENYDDDDDDGEMVMDHGMNDESCRGEWYHGELQRMASSSSPSSSFSTVNDFFTNANWDELKSILDLDLDPFLL >KJB22080 pep chromosome:Graimondii2_0_v6:4:2217347:2222990:1 gene:B456_004G028200 transcript:KJB22080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGGPAEPADSYYAVRPGCTDVPRTRFKIKPGKTLSARKWQAAFSLDGHLDIGKTLHRIQRGGIHPSIRGEVWEFLLACYDPESTFDERDQIRQHRRWKNECREIFPVIGSGRYITAPVITEDGQPIQDPFVLSEINPGMNGNNTEMMKELTPRGPLDKKAIQWLLTLHQIGLDVMRTDRTLVFYEKQENLSKLWDILSVYAWIDTDVGYGQGMSDLCSPMIILLEDEADAFWCFERLMRRLRGNFRCTGNSVGVEKQLSYLAAVTQVIDPKLHHHFETLGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFSLYEEPGSNITKAVGSNKGKPKSRRPCGKYERENMKIKSSDASLPISVFLVASVLKDKSSKLLHEARGLDDVVKILNDMTGNLDAKKACIGAMKLHKKYLKKAKKT >KJB22079 pep chromosome:Graimondii2_0_v6:4:2217347:2221547:1 gene:B456_004G028200 transcript:KJB22079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGGPAEPADSYYAVRPGCTDVPRTRFKIKPGKTLSARKWQAAFSLDGHLDIGKTLHRIQRGGIHPSIRGEVWEFLLACYDPESTFDERDQIRQHRRVQYARWKNECREIFPVIGSGRYITAPVITEDGQPIQDPFVLSEINPGMNGNNTEMMKELTPRGPLDKKAIQWLLTLHQIGLDVMRTDRTLVFYEKQENLSKLWDILSVYAWIDTDVGYGQGMSDLCSPMIILLEDEADAFWCFERLMRRLRGNFRCTGNSVGVEKQLSYLAAVTQVIDPKLHHHFETLGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFSLYEEPGSNITKAVGSNKGKPKSRRPCGKYERENMKIKSSDASLPISVFLVASVLKDKSSKLLHEARGLDDVVKVSLHVCSF >KJB22078 pep chromosome:Graimondii2_0_v6:4:2217334:2222990:1 gene:B456_004G028200 transcript:KJB22078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGGPAEPADSYYAVRPGCTDVPRTRFKIKPGKTLSARKWQAAFSLDGHLDIGKTLHRIQRGGIHPSIRGEVWEFLLACYDPESTFDERDQIRQHRRVQYARWKNECREIFPVIGSGRYITAPVITEDGQPIQDPFVLSEINPGMNGNNTEMMKELTPRGPLDKKAIQWLLTLHQIGLDVMRTDRTLVFYEKQENLSKLWDILSVYAWIDTDVGYGQGMSDLCSPMIILLEDEADAFWCFERLMRRLRGNFRCTGNSVGVEKQLSYLAAVTQVIDPKLHHHFETLGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFSLYEEPGSNITKAVGSNKGKPKSRRPCGKYERENMKIKSSDASLPISVFLVASVLKDKSSKLLHEARGLDDVVKILNDMTGNLDAKKACIGAMKLHKKYLKKAKKT >KJB22077 pep chromosome:Graimondii2_0_v6:4:2217212:2222990:1 gene:B456_004G028200 transcript:KJB22077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGGPAEPADSYYAVRPGCTDVPRTRFKIKPGKTLSARKWQAAFSLDGHLDIGKTLHRIQRGGIHPSIRGEVWEFLLACYDPESTFDERDQIRQHRRVQYARWKNECREIFPVIGSGRYITAPVITEDGQPIQDPFVLSEINPGMNGNNTEMMKELTPRGPLDKKAIQWLLTLHQIGLDVMRTDRTLVFYEKQENLSKLWDILSVYAWIDTDVGYGQGMSDLCSPMIILLEDEADAFWCFERLMRRLRGNFRCTGNSVGVEKQLSYLAAVTQVIDPKLHHHFETLGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFSLYEEPGSNITKAVGSNKGKPKSRRPCGKYERENMKIKSSDASLPISVFLVASVLKDKSSKLLHEARGLDDVVKILNDMTGNLDAKKACIGAMKLHKKYLKKAKKT >KJB22081 pep chromosome:Graimondii2_0_v6:4:2217347:2222990:1 gene:B456_004G028200 transcript:KJB22081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGGPAEPADSYYAVRPGCTDVPRTRFKIKPGKTLSARKWQAAFSLDGHLDIGKTLHRIQRGGIHPSIRGEVWEFLLACYDPESTFDERDQIRQHRRVQYARWKNECREIFPVIGSGRYITAPVITEDGQPIQDPFVLSEINPEMMKELTPRGPLDKKAIQWLLTLHQIGLDVMRTDRTLVFYEKQENLSKLWDILSVYAWIDTDVGYGQGMSDLCSPMIILLEDEADAFWCFERLMRRLRGNFRCTGNSVGVEKQLSYLAAVTQVIDPKLHHHFETLGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFSLYEEPGSNITKAVGSNKGKPKSRRPCGKYERENMKIKSSDASLPISVFLVASVLKDKSSKLLHEARGLDDVVKILNDMTGNLDAKKACIGAMKLHKKYLKKAKKT >KJB27105 pep chromosome:Graimondii2_0_v6:4:61089865:61093531:-1 gene:B456_004G278200 transcript:KJB27105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMLQWPSKIVFITSMALLMVVMMMKVVVLLWWKPKKIESHFLKQGIKGPPYHFFIGNVKELVGMMLKASATPMPDFSHNILPRVLSFYHHWKKIYGTPFIVWFGPTVRVTVSDPDLIKEIFISKSELYEKNEAHPLIKQLEGNGLLSLKGQKWAHHRKIITPTFHMENLKLLVPVMTQRMTDMLDKWSAMSANGSRDVEIDVCEWFQTLTEDIITRTVFGTSYEDGKAIFCLQAQQMVLAAETFQKVFIPGYRFMPTRRNIRCWKLDKEIRKSLMKLIESRKSENRRREDGAKDLLGLMIESSYSSPDMSVDDIIEECKSFFFAGKQTTSNLLTWTTVLLAMHPQWQVQARDEVLKVCGSRDLPTKDDVVKLKTLTMILNESLRLYPPTIATIRRAKSDAELGGYMIPRGTELLIPILAVHHDQAIWGNDANEFNPGRFSEGVARAAKHPIGFIPFGLGVRTCIGQNLAVLQAKLALSIILQRFTFRLAPTYQHAPTVLMLLYPQYGAPIIFQPR >KJB25341 pep chromosome:Graimondii2_0_v6:4:50100345:50102918:-1 gene:B456_004G186700 transcript:KJB25341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSLPPGFRFHPTDEELVGYYLKRKTQGLEIELEVIPVIDLYKFDPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATKAGYWKATGKDRKVVCQSATGYRKTLVFYRGRAPLGDRMDWVMHEYRLSDEPSQGSNNQGAFALCRVVKRNEPRASDAHGKPKAMRVGNRSSNVELTSPMVSNEPLSNSGDISCQTSYPNNESRYSSPITSPYPYEVTQVPPFEPASVATDPTSIWVSPELILDSSKEYPQICEPAAQYFPQYEFPSSLTRWPQYEQREFSPSSSHSNFGEIEHVDGLGHIGCMPPYSEHANYMDFYGNEGYETSSQAPIC >KJB25342 pep chromosome:Graimondii2_0_v6:4:50100362:50102898:-1 gene:B456_004G186700 transcript:KJB25342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSLPPGFRFHPTDEELVGYYLKRKTQGLEIELEVIPVIDLYKFDPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATKAGYWKATGKDRKVVCQSATGYRKTLVFYRGRAPLGDRMDWVMHEYRLSDEPSQGSNNQGAFALCRVVKRNEPRASDAHGKPKAMRVGNRSSNVELTSPMVSNEPLSNSGDISCQTSYPNNESRYSSPITSPYPYEVTQVPPFEPASVATDPTSIWVSPELILDSSKEYPQICEPAAQYFPQYEFPSSLTRWPQYEQREFSPSSSHSNFGEIEHVDGLGHIGCMPPYSEHANYMDFYGNEGNDQTGSFNCPNPF >KJB26150 pep chromosome:Graimondii2_0_v6:4:56171169:56175660:1 gene:B456_004G227400 transcript:KJB26150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHVIDSEGGLSHHGMSDDGDAEPGEGGEANHVENSLACEEDGISEPYVGMEFNSEDAARTFYDEYARCMGFSSKAGHFSSQYKTDGTIVAREFVCGREGLKRSAESCSAMIRIELKGEKWVVIKFVKEHSHSVMTSRKVHYLRPRRHFAGAAKTMADSYQGVGVVPSGMMYVSMDGSHVSMDANNRGIRKTPPAEANCSGKNVGTLNYALRPVNRKRMLGRDAQNLLDYFKKMQAENPGFFYAIQLDEDNRMANVFWADARSRTAYMHFGDAVKLDTSYRVNRYRVPFAPFTGLNHHGQMILFGCALLFDDSEASFVWLFKTFLTAMNDRRPVSLITDQDRAIQTAMSQVFPGVRHCINKWHVLREGPEKLAHIFHVHPNFQAELYNCINLTETIEEFELSWSSIIEKYNLGAHDWLHSLYNARAQWVPAYFRDSFFAAITPNQGFDGSFFDGYVNQQTTLPIFFRQYELAIENWFEREIEADFDTICTTPVLRTPSPMEKQAADLYTRKIFTKFQEELVETFVYTANRIEGDGAISTFRVAKYEDVNKVYMVTLNYPEIRANCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNAKSGVGTDECGGDLHGQESLTSRYNSLCQEAIKYAEDGAIATETFNVAMAALNEVGKKVSVVKKTVAKLAPPDSLATGAAYDDKKSSTSAPDTAPSLWPQQDEITRHFNLNDTGTPAQSVSDLNLPRMAPVSLHRDDTHPDNMPVLPCLKSMRWLMENKNSKPGNRLAVIILKLSRITLEPMLRSMAYISEQLSTPANRVAVINLKLQDTETSTGESEVKFQVSRDTLGAMLRSMAYIREQLSSVQCEPQAEPLPKKHRK >KJB26152 pep chromosome:Graimondii2_0_v6:4:56171951:56174406:1 gene:B456_004G227400 transcript:KJB26152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHVIDSEGGLSHHGMSDDGDAEPGEGGEANHVENSLACEEDGISEPYVGMEFNSEDAARTFYDEYARCMGFSSKAGHFSSQYKTDGTIVAREFVCGREGLKRSAESCSAMIRIELKGEKWVVIKFVKEHSHSVMTSRKVHYLRPRRHFAGAAKTMADSYQGVGVVPSGMMYVSMDGSHVSMDANNRGIRKTPPAEANCSGKNVGTLNYALRPVNRKRMLGRDAQNLLDYFKKMQAENPGFFYAIQLDEDNRMANVFWADARSRTAYMHFGDAVKLDTSYRVNRYRVPFAPFTGLNHHGQMILFGCALLFDDSEASFVWLFKTFLTAMNDRRPVSLITDQDRAIQTAMSQVFPGVRHCINKWHVLREGPEKLAHIFHVHPNFQAELYNCINLTETIEEFELSWSSIIEKYNLGAHDWLHSLYNARAQWVPAYFRDSFFAAITPNQGFDGSFFDGYVNQQTTLPIFFRQYELAIENWFEREIEADFDTICTTPVLRTPSPMEKQAADLYTRKIFTKFQEELVETFVYTANRIEGDGAISTFRVAKYEDVNKVYMVTLNYPEIRANCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNAKSGVGTDECGGDLHGQESLTSRYNSLCQEAIKYAEDGAIATETFNVAMAALNEVGKKVSVVKKTVAKLAPPDSLATGAAYDDKKSSTSAPDTAPSLWPQQDEITRHFNLNDTGTPAQSVSDLNLPRMAPVSLHRDDTHPDNMPVLPCLKSMRWLMENKNSKPGNRLAVIILKVYAW >KJB26149 pep chromosome:Graimondii2_0_v6:4:56171169:56175660:1 gene:B456_004G227400 transcript:KJB26149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHVIDSEGGLSHHGMSDDGDAEPGEGGEANHVENSLACEEDGISEPYVGMEFNSEDAARTFYDEYARCMGFSSKAGHFSSQYKTDGTIVAREFVCGREGLKRSAESCSAMIRIELKGEKWVVIKFVKEHSHSVMTSRKVHYLRPRRHFAGAAKTMADSYQGVGVVPSGMMYVSMDGSHVSMDANNRGIRKTPPAEANCSGKNVGTLNYALRPVNRKRMLGRDAQNLLDYFKKMQAENPGFFYAIQLDEDNRMANVFWADARSRTAYMHFGDAVKLDTSYRVNRYRVPFAPFTGLNHHGQMILFGCALLFDDSEASFVWLFKTFLTAMNDRRPVSLITDQDRAIQTAMSQVFPGVRHCINKWHVLREGPEKLAHIFHVHPNFQAELYNCINLTETIEEFELSWSSIIEKYNLGAHDWLHSLYNARAQWVPAYFRDSFFAAITPNQGFDGSFFDGYVNQQTTLPIFFRQYELAIENWFEREIEADFDTICTTPVLRTPSPMEKQAADLYTRKIFTKFQEELVETFVYTANRIEGDGAISTFRVAKYEDVNKVYMVTLNYPEIRANCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNAKSGVGTDECGGDLHGQESLTSRYNSLCQEAIKYAEDGAIATETFNVAMAALNEVGKKVSVVKKTVAKLAPPDSLATGAAYDDKKSSTSAPDTAPSLWPQQDEITRHFNLNDTGTPAQSVSDLNLPRMAPVSLHRDDTHPDNMPVLPCLKSMRWLMENKNSKPGNRLAVIILKLQDYSNSPSAEMDVKFQLSRITLEPMLRSMAYISEQLSTPANRVAVINLKLQDTETSTGESEVKFQVSRDTLGAMLRSMAYIREQLSSVQCEPQAEPLPKKHRK >KJB26155 pep chromosome:Graimondii2_0_v6:4:56171019:56175660:1 gene:B456_004G227400 transcript:KJB26155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHVIDSEGGLSHHGMSDDGDAEPGEGGEANHVENSLACEEDGISEPYVGMEFNSEDAARTFYDEYARCMGFSSKAGHFSSQYKTDGTIVAREFVCGREGLKRSAESCSAMIRIELKGEKWVVIKFVKEHSHSVMTSRKVHYLRPRRHFAGAAKTMADSYQGVGVVPSGMMYVSMDGSHVSMDANNRGIRKTPPAEANCSGKNVGTLNYALRPVNRKRMLGRDAQNLLDYFKKMQAENPGFFYAIQLDEDNRMANVFWADARSRTAYMHFGDAVKLDTSYRVNRYRVPFAPFTGLNHHGQMILFGCALLFDDSEASFVWLFKTFLTAMNDRRPVSLITDQDRAIQTAMSQVFPGVRHCINKWHVLREGPEKLAHIFHVHPNFQAELYNCINLTETIEEFELSWSSIIEKYNLGAHDWLHSLYNARAQWVPAYFRDSFFAAITPNQGFDGSFFDGYVNQQTTLPIFFRQYELAIENWFEREIEADFDTICTTPVLRTPSPMEKQAADLYTRKIFTKFQEELVETFVYTANRIEGDGAISTFRVAKYEDVNKVYMVTLNYPEIRANCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNAKSGVGTDECGGDLHGQESLTSRYNSLCQEAIKYAEDGAIATETFNVAMAALNEVGKKVSVVKKTVAKLAPPDSLATGAAYDDKKSSTSAPDTAPSLWPQQDEITRHFNLNDTGTPAQSVSDLNLPRMAPVSLHRDDTHPDNMPVLPCLKSMRWLMENKNSKPGNRLAVIILKLQDYSNSPSAEMDVKFQLSRITLEPMLRSMAYISEQLSTPANRVAVINLKLQDTETSTGESEVKFQVSRDTLGAMLRSMAYIREQLSSVQCEPQAEPLPKKHRK >KJB26151 pep chromosome:Graimondii2_0_v6:4:56171169:56175660:1 gene:B456_004G227400 transcript:KJB26151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHVIDSEGGLSHHGMSDDGDAEPGEGGEANHVENSLACEEDGISEPYVGMEFNSEDAARTFYDEYARCMGFSSKAGHFSSQYKTDGTIVAREFVCGREGLKRSAESCSAMIRIELKGEKWVVIKFVKEHSHSVMTSRKVHYLRPRRHFAGAAKTMADSYQGVGVVPSGMMYVSMDGSHVSMDANNRGIRKTPPAEANCSGKNVGTLNYALRPVNRKRMLGRDAQNLLDYFKKMQAENPGFFYAIQLDEDNRMANVFWADARSRTAYMHFGDAVKLDTSYRVNRYRVPFAPFTGLNHHGQMILFGCALLFDDSEASFVWLFKTFLTAMNDRRPVSLITDQDRAIQTAMSQVFPGVRHCINKWHVLREGPEKLAHIFHVHPNFQAELYNCINLTETIEEFELSWSSIIEKYNLGAHDWLHSLYNARAQWVPAYFRDSFFAAITPNQGFDGSFFDGYVNQQTTLPIFFRQYELAIENWFEREIEADFDTICTTPVLRTPSPMEKQAADLYTRKIFTKFQEELVETFVYTANRIEGDGAISTFRVAKYEDVNKVYMVTLNYPEIRANCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNAKSGVGTDECGGDLHGQESLTSRYNSLCQEAIKYAEDGAIATETFNVAMAALNEVGKKVSVVKKTVAKLAPPDSLATGAAYDDKKSSTSAPDTAPSLWPQQDEITRHFNLNDTGTPAQSVSDLNLPRMAPVSLHRDDTHPDNMPVLPCLKSMRWLMENKNSKPGNRLAVIILKLQDYSNSPSAEMDVKFQLSRITLEPMLRSMAYISEQLSTPANRVAVINLKLQDTETSTGESEVKFQVSRDTLGAMLRSMAYIREQLSSVCEPQAEPLPKKHRK >KJB26154 pep chromosome:Graimondii2_0_v6:4:56171951:56174667:1 gene:B456_004G227400 transcript:KJB26154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHVIDSEGGLSHHGMSDDGDAEPGEGGEANHVENSLACEEDGISEPYVGMEFNSEDAARTFYDEYARCMGFSSKAGHFSSQYKTDGTIVAREFVCGREGLKRSAESCSAMIRIELKGEKWVVIKFVKEHSHSVMTSRKVHYLRPRRHFAGAAKTMADSYQGVGVVPSGMMYVSMDGSHVSMDANNRGIRKTPPAEANCSGKNVGTLNYALRPVNRKRMLGRDAQNLLDYFKKMQAENPGFFYAIQLDEDNRMANVFWADARSRTAYMHFGDAVKLDTSYRVNRYRVPFAPFTGLNHHGQMILFGCALLFDDSEASFVWLFKTFLTAMNDRRPVSLITDQDRAIQTAMSQVFPGVRHCINKWHVLREGPEKLAHIFHVHPNFQAELYNCINLTETIEEFELSWSSIIEKYNLGAHDWLHSLYNARAQWVPAYFRDSFFAAITPNQGFDGSFFDGYVNQQTTLPIFFRQYELAIENWFEREIEADFDTICTTPVLRTPSPMEKQAADLYTRKIFTKFQEELVETFVYTANRIEGDGAISTFRVAKYEDVNKVYMVTLNYPEIRANCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNAKSGVGTDECGGDLHGQESLTSRYNSLCQEAIKYAEDGAIATETFNVAMAALNEVGKKVSVVKKTVAKLAPPDSLATGAAYDDKKSSTSAPDTAPSLWPQQDEITRHFNLNDTGTPAQSVSDLNLPRMAPVSLHRDDTHPDNMPVLPCLKSMRWLMENKNSKPGNRLAVIILKLQDYSNSPSAEMDVKFQLSRITLEPMLRSMAYISEQLSTPANRVAVINLKVC >KJB26153 pep chromosome:Graimondii2_0_v6:4:56171169:56175660:1 gene:B456_004G227400 transcript:KJB26153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHVIDSEGGLSHHGMSDDGDAEPGEGGEANHVENSLACEEDGISEPYVGMEFNSEDAARTFYDEYARCMGFSSKAGHFSSQYKTDGTIVAREFVCGREGLKRSAESCSAMIRIELKGEKWVVIKFVKEHSHSVMTSRKVHYLRPRRHFAGAAKTMADSYQGVGVVPSGMMYVSMDGSHVSMDANNRGIRKTPPAEANCSGKNVGTLNYALRPVNRKRMLGRDAQNLLDYFKKMQAENPGFFYAIQLDEDNRMANVFWADARSRTAYMHFGDAVKLDTSYRVNRYRVPFAPFTGLNHHGQMILFGCALLFDDSEASFVWLFKTFLTAMNDRRPVSLITDQDRAIQTAMSQVFPGVRHCINKWHVLREGPEKLAHIFHVHPNFQAELYNCINLTETIEEFELSWSSIIEKYNLGAHDWLHSLYNARAQWVPAYFRDSFFAAITPNQGFDGSFFDGYVNQQTTLPIFFRQYELAIENWFEREIEADFDTICTTPVLRTPSPMEKQAADLYTRKIFTKFQEELVETFVYTANRIEGDGAISTFRVAKYEDVNKVYMVTLNYPEIRANCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNAKSGVGTDECGGDLHGQESLTSRYNSLCQEAIKYAEDGAIATETFNVAMAALNEVGKKVSVVKKTVAKLAPPDSLATGAAYDDKKSSTSAPDTAPSLWPQQDEITRHFNLNDTGTPAQSVSDLNLPRMAPVSLHRDDTHPDNMPVLPCLKSMRWLMENKNSKPGNRLAVIILKLQDYSNSPSAEMDVKFQLSRITLEPMLRSMAYISEQLSTPANRVAVINLKLQDTETSTGESEVKFQVSRDTLGAMLRSMAYIREQLSSVVSILFFSNYLQFTL >KJB22896 pep chromosome:Graimondii2_0_v6:4:7788267:7789568:-1 gene:B456_004G072400 transcript:KJB22896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNPKKLGIRRITRDFPPAHFLFKVEAFSLLAKTGVEKYESDAFEASGYKWRLSLYPNGDNKSNGNGFISLYLVIEETENLPLTWEVNVSFRLFVLDQIRDKYLTIEDGDGAVKRFHWMKTEWGFAQLISLDSFNDTCNGYLVGDCCIFGAEVFPLARNCKWECLSMVKQPEDNTIIFKMDHFSKLDRKYYESSVHTIGDSKWKLTVYPRGNVKFKGKALSVFLELVEANKLPPKRKVYAEYKLRVRNQMNDNHMEFSGAFLIQIFKSKLKIHL >KJB22895 pep chromosome:Graimondii2_0_v6:4:7787844:7789568:-1 gene:B456_004G072400 transcript:KJB22895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNPKKLGIRRITRDFPPAHFLFKVEAFSLLAKTGVEKYESDAFEASGYKWRLSLYPNGDNKSNGNGFISLYLVIEETENLPLTWEVNVSFRLFVLDQIRDKYLTIEDGDGAVKRFHWMKTEWGFAQLISLDSFNDTCNGYLVGDCCIFGAEVFPLARNCKWECLSMVKQPEDNTIIFKMDHFSKLDRKYYESSVHTIGDSKWKLTVYPRGNVKFKGKALSVFLELVEANKLPPKRKVYAEYKLRVRNQMNDNHMEFSVERWFSATSVNWGYPQFMTLKVLHDPSKGYIVCDSVIVEAEINLVSQVKRFS >KJB26422 pep chromosome:Graimondii2_0_v6:4:57834352:57838728:-1 gene:B456_004G240400 transcript:KJB26422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPRSGSGNGEDNVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKRRAANSALRASLKKKRKLGGDTEMYGGDTKSDDFDVPPVSRKVEDYPPPVSGKKHKEKVSKNQIQYSPETPPMRNLSARNSAKVEDDYQRDGSPFEESWRSYKTPSFSAADSSRNRSQRSNDDVAMAADDSENSSEEVFVGQTCHQCRRNDRERVTSCLKCEKRGYCDGCISSWYSNTPLEEIEKACPACRGSCNCKACLRGDNMIKVRIREIPVLDKLQYHYCLLSSVLPVIKKIHQEQCSEVELERKLRRTEIDLFRAKVNADEQMCCNFCRIPIVDYHRHCPNCSYDLCLRCCQDLRGASSVGVEDIGNETGERTPDKETSMGQVSKLKLNFLDKFSGWKANSDGSIPCPPKEYGGCGHHSLNLNRIFKMNWVAKLVKNVEEMVSGCKVYDVESSEKTELNDPRLCQFADREGSDDNLLYCPSSHDIKAEGIADFRNHWSKGEPVIVKEVFDSSSISSWDPMAIWRGIQETADEKIKDETRMVKAIDCLDWSEVDIELDQFIKGYTEGRTHENGPPEMLKLKDWPSPGASEEFLMFQRPEFISKLPLLEYIHSRLGLLNVAAKLPHYSLQNDAGPKIYLSYGTYEELDRGNSVTNLHFKMRDMVYLLVHTCEVKVNGQRTKKDILKSNGESEVNESLRDYETRSDEKRLPDLSIDGPDMDDESESTSDVHEDQETETTMIAEKSADFEQLNGDSRDVIGNPKAGACWDVFRRQDVPKLTEYLQKHWRDFRKPESAVSDSVMRPLYDEVVYLNEHHKRKLRQEFGVVPWSFEQNLGQAVFLPAGCPFQVRNLQVVQCSVRA >KJB26419 pep chromosome:Graimondii2_0_v6:4:57833473:57839316:-1 gene:B456_004G240400 transcript:KJB26419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPRSGSGNGEDNVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKRRAANSALRASLKKKRKLGGDTEMYGGDTKSDDFDVPPVSRKVEDYPPPVSGKKHKEKVSKNQIQYSPETPPMRNLSARNSAKVEDDYQRDGSPFEESWRSYKTPSFSAADSSRNRSQRSNDDVAMAADDSENSSEEVFVGQTCHQCRRNDRERVTSCLKCEKRGYCDGCISSWYSNTPLEEIEKACPACRGSCNCKACLRGDNMIKVRIREIPVLDKLQYHYCLLSSVLPVIKKIHQEQCSEVELERKLRRTEIDLFRAKVNADEQMCCNFCRIPIVDYHRHCPNCSYDLCLRCCQDLRGASSVGVEDIGNETGERTPDKETSMGQVSKLKLNFLDKFSGWKANSDGSIPCPPKEYGGCGHHSLNLNRIFKMNWVAKLVKNVEEMVSGCKVYDVESSEKTELNDPRLCQFADREGSDDNLLYCPSSHDIKAEGIADFRNHWSKGEPVIVKEVFDSSSISSWDPMAIWRGIQETADEKIKDETRMVKAIDCLDWSEVDIELDQFIKGYTEGRTHENGPPEMLKLKDWPSPGASEEFLMFQRPEFISKLPLLEYIHSRLGLLNVAAKLPHYSLQNDAGPKIYLSYGTYEELDRGNSVTNLHFKMRDMVYLLVHTCEVKVNGQRTKKDILKSNGESEVNESLRDYETRSDEKRLPDLSIDGPDMDDESESTSDVHEDQETETTMIAEKSADFEQLNGDSRDVIGNPKAGACWDVFRRQDVPKLTEYLQKHWRDFRKPESAVSDSVMRPLYDEVVYLNEHHKRKLRQEFGVVPWSFEQNLGQAVFLPAGCPFQVRNLQSNVQLGLDFLFPESVGEAVRLAEDIRCLPNDHDGKLQILEVGKISLYAASSAIKEVQKLVLDPKLGAELGFEDPNLTSAVSENLEVVKRSQITCA >KJB26421 pep chromosome:Graimondii2_0_v6:4:57834034:57838728:-1 gene:B456_004G240400 transcript:KJB26421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPRSGSGNGEDNVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKRRAANSALRASLKKKRKLGGDTEMYGGDTKSDDFDVPPVSRKVEDYPPPVSGKKHKEKVSKNQIQYSPETPPMRNLSARNSAKVEDDYQRDGSPFEESWRSYKTPSFSAADSSRNRSQRSNDDVAMAADDSENSSEEVFVGQTCHQCRRNDRERVTSCLKCEKRGYCDGCISSWYSNTPLEEIEKACPACRGSCNCKACLRGDNMIKVRIREIPVLDKLQYHYCLLSSVLPVIKKIHQEQCSEVELERKLRRTEIDLFRAKVNADEQMCCNFCRIPIVDYHRHCPNCSYDLCLRCCQDLRGASSVGVEDIGNETGERTPDKETSMGQVSKLKLNFLDKFSGWKANSDGSIPCPPKEYGGCGHHSLNLNRIFKMNWVAKLVKNVEEMVSGCKVYDVESSEKTELNDPRLCQFADREGSDDNLLYCPSSHDIKAEGIADFRNHWSKGEPVIVKEVFDSSSISSWDPMAIWRGIQETADEKIKDETRMVKAIDCLDWSEVDIELDQFIKGYTEGRTHENGPPEMLKLKDWPSPGASEEFLMFQRPEFISKLPLLEYIHSRLGLLNVAAKLPHYSLQNDAGPKIYLSYGTYEELDRGNSVTNLHFKMRDMVYLLVHTCEVKVNGQRTKKDILKSNGESEVNESLRDYETRSDEKRLPDLSIDGPDMDDESESTSDVHEDQETETTMIAEKSADFEQLNGDSRDVIGNPKAGACWDVFRRQDVPKLTEYLQKHWRDFRKPESAVMRPLYDEVVYLNEHHKRKLRQEFGVVPWSFEQNLGQAVFLPAGCPFQVRNLQSNVQLGLDFLFPESVGEAVRLAEDIRCLPNDHDGKLQILEVGKISLYAASSAIKEVQKLVLDPK >KJB26420 pep chromosome:Graimondii2_0_v6:4:57833473:57839316:-1 gene:B456_004G240400 transcript:KJB26420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVRIREIPVLDKLQYHYCLLSSVLPVIKKIHQEQCSEVELERKLRRTEIDLFRAKVNADEQMCCNFCRIPIVDYHRHCPNCSYDLCLRCCQDLRGASSVGVEDIGNETGERTPDKETSMGQVSKLKLNFLDKFSGWKANSDGSIPCPPKEYGGCGHHSLNLNRIFKMNWVAKLVKNVEEMVSGCKVYDVESSEKTELNDPRLCQFADREGSDDNLLYCPSSHDIKAEGIADFRNHWSKGEPVIVKEVFDSSSISSWDPMAIWRGIQETADEKIKDETRMVKAIDCLDWSEVDIELDQFIKGYTEGRTHENGPPEMLKLKDWPSPGASEEFLMFQRPEFISKLPLLEYIHSRLGLLNVAAKLPHYSLQNDAGPKIYLSYGTYEELDRGNSVTNLHFKMRDMVYLLVHTCEVKVNGQRTKKDILKSNGESEVNESLRDYETRSDEKRLPDLSIDGPDMDDESESTSDVHEDQETETTMIAEKSADFEQLNGDSRDVIGNPKAGACWDVFRRQDVPKLTEYLQKHWRDFRKPESAVMRPLYDEVVYLNEHHKRKLRQEFGVVPWSFEQNLGQAVFLPAGCPFQVRNLQSNVQLGLDFLFPESVGEAVRLAEDIRCLPNDHDGKLQILEVGKISLYAASSAIKEVQKLVLDPKLGAELGFEDPNLTSAVSENLEVVKRSQITCA >KJB26418 pep chromosome:Graimondii2_0_v6:4:57833459:57839438:-1 gene:B456_004G240400 transcript:KJB26418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPRSGSGNGEDNVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKRRAANSALRASLKKKRKLGGDTEMYGGDTKSDDFDVPPVSRKVEDYPPPVSGKKHKEKVSKNQIQYSPETPPMRNLSARNSAKVEDDYQRDGSPFEESWRSYKTPSFSAADSSRNRSQRSNDDVAMAADDSENSSEEVFVGQTCHQCRRNDRERVTSCLKCEKRGYCDGCISSWYSNTPLEEIEKACPACRGSCNCKACLRGDNMIKVRIREIPVLDKLQYHYCLLSSVLPVIKKIHQEQCSEVELERKLRRTEIDLFRAKVNADEQMCCNFCRIPIVDYHRHCPNCSYDLCLRCCQDLRGASSVGVEDIGNETGERTPDKETSMGQVSKLKLNFLDKFSGWKANSDGSIPCPPKEYGGCGHHSLNLNRIFKMNWVAKLVKNVEEMVSGCKVYDVESSEKTELNDPRLCQFADREGSDDNLLYCPSSHDIKAEGIADFRNHWSKGEPVIVKEVFDSSSISSWDPMAIWRGIQETADEKIKDETRMVKAIDCLDWSEVDIELDQFIKGYTEGRTHENGPPEMLKLKDWPSPGASEEFLMFQRPEFISKLPLLEYIHSRLGLLNVAAKLPHYSLQNDAGPKIYLSYGTYEELDRGNSVTNLHFKMRDMVYLLVHTCEVKVNGQRTKKDILKSNGESEVNESLRDYETRSDEKRLPDLSIDGPDMDDESESTSDVHEDQETETTMIAEKSADFEQLNGDSRDVIGNPKAGACWDVFRRQDVPKLTEYLQKHWRDFRKPESAVMRPLYDEVVYLNEHHKRKLRQEFGVVPWSFEQNLGQAVFLPAGCPFQVRNLQSNVQLGLDFLFPESVGEAVRLAEDIRCLPNDHDGKLQILEVGKISLYAASSAIKEVQKLVLDPKLGAELGFEDPNLTSAVSENLEVVKRSQITCA >KJB26622 pep chromosome:Graimondii2_0_v6:4:58805012:58810971:1 gene:B456_004G250700 transcript:KJB26622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSKIKWVALFVLTLSLGSLTVHLSLTKFSSMNLVQYSAKEALSHDFPYIGSPVGRNKRLWGAVRSLESLQPYANPRNGYPVPGKTSNGFIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESTRSKGISYKFKSFSYLYDEEQFIASLKNDVNIIKSLPEYFKSARRRSEFPTFKPKSSASPNYYVKEILPSLKKAKVVGLIIMDGGCLQPILPPILSEFQRLRCRVAFHSLQLRPEIQILGLRMVERLRAWGQPFLAYHPGLVRDTLAYHGCAELFQDVHTELIQYRREQMIKQGIVNDELSVESHIRRENGSCPLMPEEVGLLLRAMGYPSNTIIYVAGSQTFGGQRLLIPLRAMFANVVDRTSLCSKTELSDLVGPETPLPPDVFKMPNPKSEEQLKEEWNRAGPRPRPLPPPPDRPVYQHEKEGWYAWITENDKEPNPSPMDLRMQAHRLLWDALDYIVSVEADAFFPGFHSDGSRWPDFSGLVIGQRLYERASSRTYRPDRKKIAELFNVIRDDMFHPKRSWILLAREHLNRSLSEEGLIRQSLLSKPTSFLSHPIPECSCRISSVEITKPIKGKDGRILFGGEPECPKWMQSARAEKARTNETEPAEDENDVPEQLEPDVISSLTSLIDHDEEWDPND >KJB26623 pep chromosome:Graimondii2_0_v6:4:58805138:58811085:1 gene:B456_004G250700 transcript:KJB26623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSKIKWVALFVLTLSLGSLTVHLSLTKFSSMNLVQYSAKEALSHDFPYIGSPVGRNKRLWGAVRSLESLQPYANPRNGYPVPGKTSNGFIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESTRSKGISYKFKSFSYLYDEEQFIASLKNDVNIIKSLPEYFKSARRRSEFPTFKPKSSASPNYYVKEILPSLKKAKVVGLIIMDGGCLQPILPPILSEFQRLRCRVAFHSLQLRPEIQILGLRMVERLRAWGQPFLAYHPGLVRDTLAYHGCAELFQDVHTELIQYRREQMIKQGIVNDELSVESHIRRENGSCPLMPEEVGLLLRAMGYPSNTIIYVAGSQTFGGQRLLIPLRAMFANVVDRTSLCSKTELSDLVGPETPLPPDVFKMPNPKSEEQLKEEWNRAGPRPRPLPPPPDRPVYQHEKEGWYAWITENDKEPNPSPMDLRMQAHRLLWDALDYIVSVEADAFFPGFHSDGSRWPDFSGLVIGQRLYERASSRTYRPDRKKIAELFNVIRDDMFHPKRSWILLAREHLNRSLSEEGLIRQSLLSKPTSFLSHPIPECSCRISSVEITKPIKGKDGRILFGGEPECPKWMQSARAEKARTNETEPAEDENDVPEQLEPDVISSLTSLIDHDEEWDPND >KJB21581 pep chromosome:Graimondii2_0_v6:4:220435:223099:-1 gene:B456_004G003500 transcript:KJB21581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFISHTLFPSKSRLFRGLTLYPHRHGFASFTNCSKNNNNLTTPPSQDQDKKLPSSKEKLSFAAATGELFLGIASKLIKNRLNNEDSTSLVFLRPRKRINESEVENNGNNDKERIGLVMKDEIEPEVIWEQRVKDIEAENERRVVTSPGFSFSGGGFLLPYHLGVAQFLIEKGYIQETTPLAGSSAGAIVCAVIASGATMDLALKVAKILADDCRRKGTALRLGAVLRDLLNEYLPPDSHTRCNGRILAITQILWRPRGLLVDQFDSREDLINAVITSSFIPGYVAARPAAIFRNRLCLDGGLTFFMPPTSASKTVRVCAFPASRMGVEGIGISPDCNPENRVTGRELFSWAREPADEENFERLFELGYLDAAVWGEQNPVEDIVVDESPLVENGSRM >KJB21582 pep chromosome:Graimondii2_0_v6:4:220631:222806:-1 gene:B456_004G003500 transcript:KJB21582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFISHTLFPSKSRLFRGLTLYPHRHGFASFTNCSKNNNNLTTPPSQDQDKKLPSSKEKLSFAAATGELFLGIASKLIKNRLNNEDSTSLVFLRPRKRINESEVENNGNNDKERIGLVMKDEIEPEVIWEQRVKDIEAENERRVVTSPGFSFSGGGFLLPYHLGVAQFLIEKGYIQETTPLAGSSAGAIVCAVIASGATMDLALKVAKILADDCRRKGTALRLGAVLRDLLNEYLPPDSHTRCNGRIRVAITQILWRPRGLLVDQFDSREDLINAVITSSFIPGYVAARPAAIFRNRLCLDGGLTFFMPPTSASKTVRVCAFPASRMGVEGIGISPDCNPENRVTGRELFSWAREPADEENFERLFELGYLDAAVWGEQNPVEDIVVDESPLVENGSRM >KJB26514 pep chromosome:Graimondii2_0_v6:4:58235130:58239322:-1 gene:B456_004G245200 transcript:KJB26514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVVTCPVWHCFGINKKPRFRVRAERLDGNDLSVVSTDGVSVKETTVPVVVGRGYLVDGGNGSLKSRIEKKMGGEELELLWDDGYGTNTVKDYVDATKDMIKPDGGPPRWFCPVECGRPIKDSPLLLFLPGLDGVGMGLILHHKPLGKVFEVQCLHIPVHDRTPFEGLVTLVEETVRLEHASRLNCPIYLVGDSLGGCLALAVAARNPSIDLVMILVNPATSLGRSRLQPFLPILEAFPDGLHVRTIPFLLSLVIGEPLKMAEVGVEGRLLPKQKFERISSNLTALLPFYSGLADILPTETLIWKLKLIKSASAYTNSRLHAVKAEVLVLASDKDHLFPSGEEALRLKKLLPNCMIRIFKDNGHTLLMEDSMNLLTVIKGTCKYRRSKSHDFTKDFLPPSMSEYRYTFNNVFGLIFSAPGIAVS >KJB26515 pep chromosome:Graimondii2_0_v6:4:58233668:58239453:-1 gene:B456_004G245200 transcript:KJB26515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVVTCPVWHCFGINKKPRFRVRAERLDGNDLSVVSTDGVSVKETTVPVVVGRGYLVDGGNGSLKSRIEKKMGGEELELLWDDGYGTNTVKDYVDATKDMIKPDGGPPRWFCPVECGRPIKDSPLLLFLPGLDGVGMGLILHHKPLGKVFEVQCLHIPVHDRTPFEGLVTLVEETVRLEHASRLNCPIYLVGDSLGGCLALAVAARNPSIDLVMILVNPATSLGRSRLQPFLPILEAFPDGLHVRTIPFLLSLVIGEPLKMAEVGVEGRLLPKQKFERISSNLTALLPFYSGLADILPTETLIWKLKLIKSASAYTNSRLHAVKAEVLVLASDKDHLFPSGEEALRLKKLLPNCMIRIFKDNGHTLLMEDSMNLLTVIKGTCKYRRSKSHDFTKDFLPPSMSEYRYTFNNVFGFLNFASCSSLFSTMENGKIVKGLAGVPDEGPVLLVGNHMLMGMDLSSLCEAFLREKKILVRGVAHPELFWGNFHTSSNVFNFFDLMKVMGAMPVSPKSLLKALSTNSHVLLYPGGAREALHRKGEAYKLFWPNQPEFVRFAAQFGATIVPFGAVGEDDMAKVVFDYYDYMKIPMLNDRIKEVIRSGGVQIRDKAKGEVASQEIFIPGMIPKIPGRFYYLFGKPIKLKGREDLVENRESANEVYLQAKAEVERCIGYLLKKREEDPFRSIINRLIYRTFHAPLHQVPSFKP >KJB26513 pep chromosome:Graimondii2_0_v6:4:58234585:58239322:-1 gene:B456_004G245200 transcript:KJB26513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVVTCPVWHCFGINKKPRFRVRAERLDGNDLSVVSTDGVSVKETTVPVVVGRGYLVDGGNGSLKSRIEKKMGGEELELLWDDGYGTNTVKDYVDATKDMIKPDGGPPRWFCPVECGRPIKDSPLLLFLPGLDGVGMGLILHHKPLGKVFEVQCLHIPVHDRTPFEGLVTLVEETVRLEHASRLNCPIYLVGDSLGGCLALAVAARNPSIDLVMILVNPATSLGRSRLQPFLPILEAFPDGLHVRTIPFLLSLVIGEPLKMAEVGVEGRLLPKQKFERISSNLTALLPFYSGLADILPTETLIWKLKLIKSASAYTNSRLHAVKAEVLVLASDKDHLFPSGEEALRLKKLLPNCMIRIFKDNGHTLLMEDSMNLLTVIKGTCKYRRSKSHDFTKDFLPPSMSEYRYTFNNVFGFLNFASCSSLFSTMENGKIVKGLAGVPDEGPVLLVGNHMLMGMDLSSLCEAFLREKKILVRGVAHPELFWGNFHTSSNVFNFFDLMKVMGAMPVSPKSLLKALSTNSHVLLYPGGAREALHRKVRLRFRLYPMEPSLNLQSGCKHVFDCVSTVDIENSRILAKIFAIINVFVIAGRSIQIILA >KJB23894 pep chromosome:Graimondii2_0_v6:4:30591868:30595366:1 gene:B456_004G121900 transcript:KJB23894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLIGAFKPACNISITFSDGKSRKQVPMKKENGKTIMVSLFQSKESIAGKVSIEPLQGKKIEHLGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGDIYERKTYPFEFSTVEMPYETYNGANLRLRYVLKVTVSRNYGGSIVEYQDFMMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEIAIYRQQDS >KJB23897 pep chromosome:Graimondii2_0_v6:4:30591868:30595366:1 gene:B456_004G121900 transcript:KJB23897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLIGAFKPACNISITFSDGKSRKQVPMKKENGKTIMVSLFQSKESIAGKVSIEPLQGKKIEHLGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGDIYERKTYPFEFSTVEMPYETYNGANLRLRYVLKVTVSRNYGGSIVEYQDFMCCDCSNLSFAWLMCTRFAIILHLRQSTIASKWKLELKIACTLSLSIIKASII >KJB23893 pep chromosome:Graimondii2_0_v6:4:30591868:30595366:1 gene:B456_004G121900 transcript:KJB23893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLIGAFKPACNISITFSDGKSRKQVPMKKENGKTIMVSLFQSKESIAGKVSIEPLQGKKIEHLGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGDIYERKTYPFEFSTVEMPYETYNGANLRLRYVLKVTVSRNYGGSIVEYQDFMCCDCSNLSFAWLMCTRFAIILHLRQSTIASKWKLELKIACTLSLSIIKASESIPIRLFLSPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEIAIYRQQDS >KJB23891 pep chromosome:Graimondii2_0_v6:4:30592408:30594939:1 gene:B456_004G121900 transcript:KJB23891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKENGKTIMVSLFQSKESIAGKVSIEPLQGKKIEHLGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGDIYERKTYPFEFSTVEMPYETYNGANLRLRYVLKVTVSRNYGGSIVEYQDFMVRNYSPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEIAIYRQQDS >KJB23890 pep chromosome:Graimondii2_0_v6:4:30591866:30595393:1 gene:B456_004G121900 transcript:KJB23890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLIGAFKPACNISITFSDGKSRKQVPMKKENGKTIMVSLFQSKESIAGKVSIEPLQGKKIEHLGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGDIYERKTYPFEFSTVEMPYETYNGANLRLRYVLKVTVSRNYGGSIVEYQDFMVRNYSPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEIAIYRQQDS >KJB23896 pep chromosome:Graimondii2_0_v6:4:30591868:30595366:1 gene:B456_004G121900 transcript:KJB23896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLIGAFKPACNISITFSDGKSRKQVPMKKENGKTIMVSLFQSKESIAGKVSIEPLQGKKIEHLGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGDIYERKTYPFEFSTVEMPYETYNGANLRLRYVLKVTVSRNYGGSIVEYQDFMVRNYSPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGLLVT >KJB23892 pep chromosome:Graimondii2_0_v6:4:30591868:30595366:1 gene:B456_004G121900 transcript:KJB23892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLIGAFKPACNISITFSDGKSRKQVPMKKENGKTIMVSLFQSKESIAGKVSIEPLQGKKIEHLGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGDIYERKTYPFEFSTVEMPYETYNGANLRLRYVLKVTVSRNYGGSIVEYQDFMVRNYSPPPSINNSIKMEVGIEDCLHIEFEYNKSK >KJB23895 pep chromosome:Graimondii2_0_v6:4:30592090:30594423:1 gene:B456_004G121900 transcript:KJB23895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLIGAFKPACNISITFSDGKSRKQVPMKKENGKTIMVSLFQSKESIAGKVSIEPLQGKKIEHLGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGDIYERKTYPFEFSTVEMPYETYNGANLRLRYVLKVTVSRNYGGSIVEYQDFMFLFQCCDCSNLSFAWLMCTRFAIILHLRQSTIASKWKLELKIACTLSLSIIKASII >KJB21678 pep chromosome:Graimondii2_0_v6:4:580588:582214:-1 gene:B456_004G008200 transcript:KJB21678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAISHVQNLNSYLIIRRSKPRFDKPVRLAVPVRCVYHSDSVQFPNGVGSSRVDWQSSCAILSSKVFSQDQDSGDKSNTSSASDHLAAAVNGHKTSLDLNLVPLDKKNKPQPPAKQPPQKPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYLTRVISHPQALSQCENTVTKLGLNVTREAVDDTAGAAEYIATNNLRDTAAIASARAAELYGLNVLADGIQDDSSNVTRFVMLARDPIIPRTDRPFKTSIVFAHEEGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDANVGTAKHFEYMFYVDFEASMAEVRAQNALAEVQEFTSFLRVLGSYPMDMTPWCPNP >KJB22932 pep chromosome:Graimondii2_0_v6:4:9204956:9206717:1 gene:B456_004G078300 transcript:KJB22932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNRSRAVTSKQQPLMADHSSQSSFPSHNHTTPIPSFFGSPRFKVFTTKCLPDTEPVVLKSPTTILDNNKPLFPFGNLFGFDLNQPKSPKTFISLNNKRHHTTQTSEPTGVGLAIVDTLNDKPTKDNKVPPKTSNNKVLFGTKLRVQIPFNGCVPVKEMELSEDYTCVKSHGPNPKTTHIYGNCVVKSYCSSVLDEPRCAAESDFLSFCHTCKKNLEQKIDIYIYRGEKAFCSQECRYQEMVLDGEEK >KJB22931 pep chromosome:Graimondii2_0_v6:4:9204768:9206717:1 gene:B456_004G078300 transcript:KJB22931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNRSRAVTSKQQPLMADHSSQSSFPSHNHTTPIPSFFGSPRFKVFTTKCLPDTEPVVLKSPTTILDNNKPLFPFGNLFGFDLNQPKSPKTFISLNNKRHHTTQTSEPTGVGLAIVDTLNDKPTKDNKVPPKTSNNKVLFGTKLRVQIPFNGCVPVKEMELSEDYTCVKSHGPNPKTTHIYGNCVVKSYCSSVLDEPRCAAESDFLSFCHTCKKNLEQKIDIYIYRGEKAFCSQECRYQEMVLDGEEK >KJB23255 pep chromosome:Graimondii2_0_v6:4:11747399:11750467:-1 gene:B456_004G088400 transcript:KJB23255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMSGDDDGSFSSGEEVQQQKQLLQNNFAASGSGPSAASNSNNGSQQAVKKKRNLPGTPDPNAEVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTTTEVKKRVYICPEPTCVHHNPARALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHQKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEENNKVNQGLMNNMGSNMQNQMPELISSMQTSNGISDLGNFDPKSPLKSHPQELVPMPFMSMNMGGGMFSSSSSSLFGGPRSVSSASSSLQLSSNSSSGLNYLQDSKNGCPIMSATALLQKAAQMGATASNSINSPMMQKSFASSMAGPEQTIRPPSFGGIQQQNTSYDHFPSQTDQTSMVGISEAGGFSNPFMQKSPSEMAQLFTAATGSSAMNEMGMFTNMFINGADRNQVGLMKSMEQEDSGSSSLLQGRTQLPAAMFGTSSGNGGSNMTTLDFMGIGGSRPNSLHEQQQQQQQRMELEAISQQRLPMINPFHLQQQLSHGDSAIEKPIWEV >KJB22116 pep chromosome:Graimondii2_0_v6:4:2392544:2395528:-1 gene:B456_004G030200 transcript:KJB22116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDDLFNINAGIVKGLCSAIAKYCPTALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRGKTFYAEKAKIKVAYVNVPVVGGHAGITILPLFSQATPKANLAEGDIKVLTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVRLRKNGVEEVLGLSSL >KJB22113 pep chromosome:Graimondii2_0_v6:4:2393162:2394349:-1 gene:B456_004G030200 transcript:KJB22113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDDLFNINAGIVKGLCSAIAKYCPTALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRDVNVPVVGGHAGITILPLFSQATPKANLAEGDIKVLTKRTQDGGTEVVEAKAGKGSATLSMA >KJB22115 pep chromosome:Graimondii2_0_v6:4:2393162:2394349:-1 gene:B456_004G030200 transcript:KJB22115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDDLFNINAGIVKGLCSAIAKYCPTALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRGKTFYAEKAKIKVAYVNVPVVGGHAGITILPLFSQATPKANLAEGDIKVLTKRTQDGGTEVVEAKAGKGSATLSMA >KJB22114 pep chromosome:Graimondii2_0_v6:4:2392452:2395553:-1 gene:B456_004G030200 transcript:KJB22114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDDLFNINAGIVKGLCSAIAKYCPTALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRDVNVPVVGGHAGITILPLFSQATPKANLAEGDIKVLTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVRLRKNGVEEVLGLSSL >KJB22947 pep chromosome:Graimondii2_0_v6:4:8494635:8499162:-1 gene:B456_004G075200 transcript:KJB22947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNTNEAPAADDFLEQILGLPNFAPSETGLAGSDAGLAATAPGAGAPMFLQLSSGDGAAHIGGIGGGGGGAFHGQVFPLGLSLEQGQGGFLKPEEASGSGKRFRNGVVDDRASSVKNVFHGQPMQATVSAAPHPPTMRPRVRARRGQATDPHSIAERLRRERITERIRALQELVPSVNKTDRAVMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEYESGEGGRSQPAWEKWSNDGTEQQVAKLMEENVGAAMQFLQSKSLCIMPISLATAIYHTQVPDTSSVVKPETIPPA >KJB22950 pep chromosome:Graimondii2_0_v6:4:8496864:8499162:-1 gene:B456_004G075200 transcript:KJB22950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNTNEAPAADDFLEQILGLPNFAPSETGLAGSDAGLAATAPGAGAPMFLQLSSGDGAAHIGGIGGGGGGAFHGQVFPLGLSLEQGQGGFLKPEEASGSGKRFRNGVVDDRASSVKNVFHGQPMQATVSAAPHPPTMRPRVRARRGQATDPHSIAERVPLIYYK >KJB22949 pep chromosome:Graimondii2_0_v6:4:8495430:8498987:-1 gene:B456_004G075200 transcript:KJB22949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNTNEAPAADDFLEQILGLPNFAPSETGLAGSDAGLAATAPGAGAPMFLQLSSGDGAAHIGGIGGGGGGAFHGQVFPLGLSLEQGQGGFLKPEEASGSGKRFRNGVVDDRASSVKNVFHGQPMQATVSAAPHPPTMRPRVRARRGQATDPHSIAERLRRERITERIRALQELVPSVNKTDRAVMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEVINK >KJB22948 pep chromosome:Graimondii2_0_v6:4:8494599:8499307:-1 gene:B456_004G075200 transcript:KJB22948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNTNEAPAADDFLEQILGLPNFAPSETGLAGSDAGLAATAPGAGAPMFLQLSSGDGAAHIGGIGGGGGGAFHGQVFPLGLSLEQGQGGFLKPEEASGSGKRFRNGVVDDRASSVKNVFHGQPMQATVSAAPHPPTMRPRVRARRGQATDPHSIAERLRRERITERIRALQELVPSVNKTDRAVMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEYESGEGGRSQPAWEKWSNDGTEQQVAKLMEENVGAAMQFLQSKSLCIMPISLATAIYHTQVPDTSSVVKPETIPPA >KJB25703 pep chromosome:Graimondii2_0_v6:4:53545079:53545321:1 gene:B456_004G205300 transcript:KJB25703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKDELDSSNKISFLKKNSLFYLFHLFHDQNRRGYTLHHDFESEERFQEMADLFTLSITESDMVYYKGFVFSIDSYGLD >KJB24636 pep chromosome:Graimondii2_0_v6:4:43905339:43906205:-1 gene:B456_004G154600 transcript:KJB24636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESESKQSAVESMMEKISQKIHGHDSSSSSDSDSDHEKPASPSSVKAKIYRLFGRERPVHHVLGGGKPADVFLWRNKKISAGVLGGATAIWVLFELIEYHLLALICHISILCLALLFLWSNAHTFIHK >KJB24635 pep chromosome:Graimondii2_0_v6:4:43903911:43906296:-1 gene:B456_004G154600 transcript:KJB24635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESESKQSAVESMMEKISQKIHGHDSSSSSDSDSDHEKPASPSSVKAKIYRLFGRERPVHHVLGGGKPADVFLWRNKKISAGVLGGATAIWVLFELIEYHLLALICHISILCLALLFLWSNAHTFIHKSPPRIPEIHLPEEPFLQVASALAIELNQGLKLLRDIASGRNLKEFLMVL >KJB24634 pep chromosome:Graimondii2_0_v6:4:43903806:43906394:-1 gene:B456_004G154600 transcript:KJB24634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESESKQSAVESMMEKISQKIHGHDSSSSSDSDSDHEKPASPSSVKAKIYRLFGRERPVHHVLGGGKPADVFLWRNKKISAGVLGGATAIWVLFELIEYHLLALICHISILCLALLFLWSNAHTFIHKSPPRIPEIHLPEEPFLQVASALAIELNQGLKLLRDIASGRNLKEFLMVIAAFWVLSIVGSWCNFLTLFYICFVLLHTVPVLYEKYEDKVDPFAEKAAIEIKKQYAVFDAKVLSKIPMGPLKAKKV >KJB27386 pep chromosome:Graimondii2_0_v6:4:1102801:1105174:1 gene:B456_004G015300 transcript:KJB27386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIPNRAARTQIQCSLPPFFLTHISFNSLPLSESSRRSSIRNFLSRRPKVREHFFSWFWSSKMLYIIGLGLGDERDITLKGLDAVKKCEKVYIEVYTSLLSFGLSTDGLSTLEKLYGKPIILADREMVEEKVDNILLEARGSDVAFLVVGDPFGATTHTDLVVRAKTMMVDVKVVHNASVMNAIGICGLQLYRYGETVSIPFFTETWRPDSFYEKIERNRNLGLHTLCLLGEVASYQLPF >KJB27388 pep chromosome:Graimondii2_0_v6:4:1103385:1106101:1 gene:B456_004G015300 transcript:KJB27388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIIGLGLGDERDITLKGLDAVKKCEKVYIEVYTSLLSFGLSTDGLSTLEKLYGKPIILADREMVEEKVDNILLEARGSDVAFLVVGDPFGATTHTDLVVRAKTMMVDVKVVHNASVMNAIGICGLQLYRYGETVSIPFFTETWRPDSFYEKIERNRNLGLHTLCLLDIRVKEPTLESLCRGRKQYEPPRFMTINTAIDQLLEVEQKHGKSAYDEDTNCVGFARLGSEDQMIVAGTMRQLCTVNFGEPLHCLVIVGKTHPVEDEMLEFYKIRTENPEQKGNGIA >KJB27385 pep chromosome:Graimondii2_0_v6:4:1102801:1106075:1 gene:B456_004G015300 transcript:KJB27385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIPNRAARTQIQCSLPPFFLTHISFNSLPLSESSRRSSIRNFLSRRPKVREHFFSWFWSSKMLYIIGLGLGDERDITLKGLDAVKKCEKVYIEVYTSLLSFGLSTDGLSTLEKLYGKPIILADREMVEEKVDNILLEARGSDVAFLVVGDPFGATTHTDLVVRAKTMMVDVKVVHNASVMNAIGICGLQLYRYGETVSIPFFTETWRPDSFYEKIERNRNLGLHTLCLLGEVASYQLPF >KJB27390 pep chromosome:Graimondii2_0_v6:4:1102801:1106075:1 gene:B456_004G015300 transcript:KJB27390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEKVDNILLEARGSDVAFLVVGDPFGATTHTDLVVRAKTMMVDVKVVHNASVMNAIGICGLQLYRYGETVSIPFFTETWRPDSFYEKIERNRNLGLHTLCLLDIRVKEPTLESLCRGRKQYEPPRFMTINTAIDQLLEVEQKHGKSAYDEDTNCVGFARLGSEDQMIVAGTMRQLCTVNFGEPLHCLVIVGKTHPVEDEMLEFYKIRTENPEQKGNGIA >KJB27387 pep chromosome:Graimondii2_0_v6:4:1102801:1106075:1 gene:B456_004G015300 transcript:KJB27387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIPNRAARTQIQCSLPPFFLTHISFNSLPLSESSRRSSIRNFLSRRPKVREHFFSWFWSSKMLYIIGLGLGDERDITLKGLDAVKKCEKEKLYGKPIILADREMVEEKVDNILLEARGSDVAFLVVGDPFGATTHTDLVVRAKTMMVDVKVVHNASVMNAIGICGLQLYRYGETVSIPFFTETWRPDSFYEKIERNRNLGLHTLCLLDIRVKEPTLESLCRGRKQYEPPRFMTINTAIDQLLEVEQKHGKSAYDEDTNCVGFARLGSEDQMIVAGTMRQLCTVNFGEPLHCLVIVGKTHPVEDEMLEFYKIRTENPEQKGNGIA >KJB27389 pep chromosome:Graimondii2_0_v6:4:1103360:1106084:1 gene:B456_004G015300 transcript:KJB27389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIIGLGLGDERDITLKGLDAVKKCEKVYIEVYTSLLSFGLSTDGLSTLEKLYGKPIILADREMVEEKVDNILLEARGSDVAFLVVGDPFGATTHTDLVVRAKTMMVDVKVVHNASVMNAIGICGLQLYRYGETVSIPFFTETWRPDSFYEKIERNRNLGLHTLCLLDIRVKEPTLESLCRGRKQYEPPRFMTINTAIDQLLEVEQKHGKSAYDEDTNCVGFARLGSEDQMIVAGTMRQLCTVNFGEPLHCLVIVGKTHPVEDEMLEFYKIRTENPEQKGNGIA >KJB23163 pep chromosome:Graimondii2_0_v6:4:10582871:10585255:1 gene:B456_004G084600 transcript:KJB23163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVLKISDAAAAQSEKLLLNLHKHPSKPKSLISLSCATPRSSLYRFYGDTVAPTTHAPLPNKVPVNVISLFIEKLSLADSQRGPNQELMQKVFQLRMELVNGVYSSGEVVQILEGRGDWLLGCYEYQGGVPARTKETDDRAFSELLKNLGSWPNLALEVFNWRRRKIEQGYPMTSEEYASGITIAGRIKNVDLAVELFSEADSKQLKTTSTYNALMSAYMFNGLIDKCQLVFRNLKKEPYCSPSIVTYNILISVFGRLMLIDHMEAAFQEIQHLNLSPNVSTYNNLIAAYVTAWMWDRMERTFHLMKAGPVKPDIDTHLLMLRGYAHSGKLDQMEETYQMLKHQVDVKTPLIRAMICAYCKSSVEDRTKKVEELLRLIPEDEYRPWLHVLLIRLYARENNLENMEKLIDEAFERKTSVFTVPVMRCIISTYFRYNSVDKLASFIKRAECAGWRICRSLYHCKMVMYGLQTRLEEMENVLNEMNNVRINHTKKTFLILYKAYLMCGKRHKVETVVGLMCKRGYRIPLETFLS >KJB22036 pep chromosome:Graimondii2_0_v6:4:2065069:2067085:-1 gene:B456_004G026500 transcript:KJB22036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPCDENCSSRCEASKNGKRICVKSSLNGIRTCKCLYECSGNGNNNDNDDNTEEHENQECNMGIGRCGVFCNDDCCNHNCVKRFKDGYGTCIGDIGTPSAYNCVCYYHCH >KJB26384 pep chromosome:Graimondii2_0_v6:4:57740532:57743085:1 gene:B456_004G239200 transcript:KJB26384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMTMEGMMDQGVLDDIIRRLLEGKGSKQVQLSEGEIRQLCVNARQIFLSQPNLLQIHAPIRICDIHGQYQDLLRLFEFGGYPPATNYLFLGDYVDRGKQSLETICLLLAYKIRYPDKVFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNSLPVAALVDEKILCMHGGLSPELENLDQIKEIQRPTEVPDNGLLCDLLWSDPDPKIEGWADSDRGISSTFGADVVAEFLDKSDLDLICRGHQVVEDGYEFFASRRLVTIFSAPNYGGEFDNAGALLSVNEDLVCSFEILKPADNKSLPSGSKPLKKPPKMGKV >KJB26383 pep chromosome:Graimondii2_0_v6:4:57740532:57743085:1 gene:B456_004G239200 transcript:KJB26383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMTMEGMMDQGVLDDIIRRLLEGKGSKQVQLSEGEIRQLCVNARQIFLSQPNLLQIHAPIRICGDIHGQYQDLLRLFEFGGYPPATNYLFLGDYVDRGKQSLETICLLLAYKIRYPDKVFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNSLPVAALVDEKILCMHGGLSPELENLDQIKEIQRPTEVPDNGLLCDLLWSDPDPKIEGWADSDRGISSTFGADVVAEFLDKSDLDLICRGHQVVEDGYEFFASRRLVTIFSAPNYGGEFDNAGALLSVNEDLVCSFEILKPADNKSLPSGSKPLKKVPTKDGEGLMFHE >KJB26382 pep chromosome:Graimondii2_0_v6:4:57740121:57743125:1 gene:B456_004G239200 transcript:KJB26382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMTMEGMMDQGVLDDIIRRLLEGKGSKQVQLSEGEIRQLCVNARQIFLSQPNLLQIHAPIRICGDIHGQYQDLLRLFEFGGYPPATNYLFLGDYVDRGKQSLETICLLLAYKIRYPDKVFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNSLPVAALVDEKILCMHGGLSPELENLDQIKEIQRPTEVPDNGLLCDLLWSDPDPKIEGWADSDRGISSTFGADVVAEFLDKSDLDLICRGHQVVEDGYEFFASRRLVTIFSAPNYGGEFDNAGALLSVNEDLVCSFEILKPADNKSLPSGSKPLKKPPKMGKV >KJB26170 pep chromosome:Graimondii2_0_v6:4:56260806:56268420:1 gene:B456_004G228100 transcript:KJB26170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEQDGNGAAPDSLPPPPPVPPDVVPIKAEPEPAKKKVVRVPMARRGLGSKGQKITLLTNHFKVNVGSSDGHFFHYCVSLYYEDGRPVDGKGVGRKVIERVQETYGNELAGKDFAYDGEKSLFTVGALPNNKHEFTVVLEDVTSNRNNGNASPDVHDDSNGQDRKRIKRPYQSKTFKVEISFAAKIPMQAIQNALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFQNNPDNFTDIGGGVLGCRGFHSSFRASQGGLSLNIDVSTTMIIRPGPVVDFLLANQNARDPYSLDWTKAKRSLKNLRIKVSPSNQEYKITGLSEQLCKDQLFSLKQKSMKNDNGEAENLEITVYDYFVNHRNIQLRYSADLPCINVGKPKRPTYIPLELCSLVSLQRYTKALTTFQRASLVEKSRQKPQERMTVLSSALQRSNYGAEPMLRSCGISISTNFTQVEGRVLPAPRLKVGNGEDFFPRNGRWNFNNKKLVEPTKIERWAVVNFSARCDTNSLVRDLIRCGDMKGIRIDPPFDVFQESNQNRRCSPLVRVEKMFEDIQSKLPGAPQFLLCLLPDRKNSDLYGPWKKKNLSEFGIVTQCMAPTRVNDQYLTNLLLKINAKLGGLNSMLAIEQTPSIPVVSKVPTIILGMDVSHGSPGQSDIPSIAAVVSSRQWPLISRYRASVRTQSPKLEMIDSLFKKVSDKEDEGIMREVLLDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNIELDQVIEACKFLDESWNPKFVVIVAQKNHHTKFFQQGSPDNVPPGTVIDNKICHPKNNDFYLCAHAGMIGTTRPTHYHVLLDQIGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGTFMKFEDASETNSSHGGVTAPGAISVPQLPRLKENVCNSMFFC >KJB22229 pep chromosome:Graimondii2_0_v6:4:2983765:2984833:-1 gene:B456_004G036200 transcript:KJB22229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12630) UniProtKB/Swiss-Prot;Acc:Q9LHJ8] MAQRTEKEETEFKVPETLTLCVNDCGVIGNPATNNMCQNCFNATTPKSTAAVVSSSTGGASSGGVSILNQRSTTSRSINKRSDLSPPKTTTFVRSSGSRYDPEPGTEKKVVNRCSGCRKRVGLTGFRCRCGELFCADHRYSDRHDCSYDYKTVGREAIARENPVVKAAKIIKV >KJB26569 pep chromosome:Graimondii2_0_v6:4:58449230:58454937:1 gene:B456_004G247700 transcript:KJB26569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLKWAKFANSTFSKNRPQSEQIILTKIIFWSRFSLLKTPQNLARFCFLFYFSPFQLIFLFIVFSKTHHPPALIFSQYHIKTRLMAEVDQSFVEHEEEQVQEPQNLEQNLNLEHNLNLGNYVDLEPSMNLEHNVSLVHNVNLEQNLHEEEDQEEDPEEQNLQQESEHQPKVEHEDEAVVGGGEKKWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSAKDEPDSSLPPAMDGLLRVHKRIVDGLEGDPSHAPMAAGTKVSTRLLVPASQAGSLIGKQGATVKSIQESSNCIVRVLGAEDLPVFALQDDRVVEVVGEAAGVHKAVELIATHLRKFLVDRSIIPLFEMHMQRSNHQMDHMPPHQSWGPPQGVPPNASGGSGYGHNPQYMPPSRQMDNYYPPADMPPHMEKQPHQGISAYGREVPMGAHGSSNPQNAPSMITQVTQQMQIPLSYADAVIGTAGASISYIRRASGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAEAAAAPAQAQAGGVADQAYNPYAAHSSVYASPPSNPGHAGGYGSVYGSNYGY >KJB26571 pep chromosome:Graimondii2_0_v6:4:58451236:58454927:1 gene:B456_004G247700 transcript:KJB26571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKDEPDSSLPPAMDGLLRVHKRIVDGLEGDPSHAPMAAGTKVSTRLLVPASQAGSLIGKQGATVKSIQESSNCIVRVLGAEDLPVFALQDDRVVEVVGEAAGVHKAVELIATHLRKFLVDRSIIPLFEMHMQRSNHQMDHMPPHQSWGPPQGVPPNASGGSGYGHNPQYMPPSRQMDNYYPPADMPPHMEKQPHQGISAYGREVPMGAHGSSNPQNAPSMITQVTQQMQIPLSYADAVIGTAGASISYIRRASGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAEAAAAPAQAQAGGVADQAYNPYAAHSSVYASPPSNPGHAGGYGSVYGSNYGY >KJB26568 pep chromosome:Graimondii2_0_v6:4:58449230:58454927:1 gene:B456_004G247700 transcript:KJB26568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLKWAKFANSTFSKNRPQSEQIILTKIIFWSRFSLLKTPQNLARFCFLFYFSPFQLIFLFIVFSKTHHPPALIFSQYHIKTRLMAEVDQSFVEHEEEQVQEPQNLEQNLNLEHNLNLGNYVDLEPSMNLEHNVSLVHNVNLEQNLHEEEDQEEDPEEQNLQQESEHQPKVEHEDEAVVGGGEKKWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSAKDEPDSSLPPAMDGLLRVHKRIVDGLEGDPSHAPMAAGTKVSTRLLVPASQAGSLIGKQGATVKSIQESSNCIVRVLGADSAEDLPVFALQDDRVVEVVGEAAGVHKAVELIATHLRKFLVDRSIIPLFEMHMQRSNHQMDHMPPHQSWGPPQGVPPNASGGSGYGHNPQYMPPSRQMDNYYPPADMPPHMEKQPHQGISAYGREVPMGAHGSSNPQNAPSMITQVTQQMQIPLSYADAVIGTAGASISYIRRASGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAEAAAAPAQAQAGGVADQAYNPYAAHSSVYASPPSNPGHAGGYGSVYGSNYGY >KJB26570 pep chromosome:Graimondii2_0_v6:4:58450324:58454927:1 gene:B456_004G247700 transcript:KJB26570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDQSFVEHEEEQVQEPQNLEQNLNLEHNLNLGNYVDLEPSMNLEHNVSLVHNVNLEQNLHEEEDQEEDPEEQNLQQESEHQPKVEHEDEAVVGGGEKKWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSAKDEPDSSLPPAMDGLLRVHKRIVDGLEGDPSHAPMAAGTKVSTRLLVPASQAGSLIGKQGATVKSIQESSNCIVRVLGAEDLPVFALQDDRVVEVVGEAAGVHKAVELIATHLRKFLVDRSIIPLFEMHMQRSNHQMDHMPPHQSWGPPQGVPPNASGGSGYGHNPQYMPPSRQMDNYYPPADMPPHMEKQPHQGISAYGREVPMGAHGSSNPQNAPSMITQVTQQMQIPLSYADAVIGTAGASISYIRRASGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAEAAAAPAQAQAGGVADQAYNPYAAHSSVYASPPSNPGHAGGYGSVYGSNYGY >KJB26567 pep chromosome:Graimondii2_0_v6:4:58449230:58454927:1 gene:B456_004G247700 transcript:KJB26567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLKWAKFANSTFSKNRPQSEQIILTKIIFWSRFSLLKTPQNLARFCFLFYFSPFQLIFLFIVFSKTHHPPALIFSQYHIKTRLMAEVDQSFVEHEEEQVQEPQNLEQNLNLEHNLNLGNYVDLEPSMNLEHNVSLVHNVNLEQNLHEEEDQEEDPEEQNLQQESEHQPKVEHEDEAVVGGGEKKWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSAKDEPDSSLPPAMDGLLRVHKRIVDGLEGDPSHAPMAAGTKVSTRLLVPASQAGSLIGKQGATVKSIQESSNCIVRVLGAEDLPVFALQDDRVVEVVGEAAGVHKAVELIATHLRKFLVDRSIIPLFEMHMQRSNHQMDHMPPHQSWGPPQGVPPNASGGSGYGHNPQYMPPSRQMDNYYPPADMPPHMEKQPHQGISAYGREVPMGAHGSSNPQNAPSMITQVTQQMQIPLSYADAVIGTAGASISYIRRASGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQAIISWQKLLRLQLRHKQVGLQTKPIILMQLIVRYMLRHHPIPDMQEGMALFMVQTMGTK >KJB26959 pep chromosome:Graimondii2_0_v6:4:60338982:60342509:-1 gene:B456_004G268400 transcript:KJB26959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMSLPPGFRFHPTDEELVAYYLHNKITGRPIELEVIPEVDLYKFEPWDLPDKSYLPSKDMEWYFYSPRDKKYPNGSRTNRATRGGYWKATGKDRAVQSQKRAVGIKKTLVYYRGRAPHGIRTNWVMHEYRLLNSTLKDCYALCRVFKKNIQILPKEEEAVGNNNNVDKEKQLHGEETSGNEIPKGRQVEIEDENLITSSSDLTQGTSYETGMAMADDNQPPFTSDEANSSANTISSSLAMDFSSNLIQAEGYTNVEYQVPYPPLELEDFPQINLSETKAEMMDEYMVYDKYKDYMNGSLEEIFSLCSSQDISMPLYMHQD >KJB23926 pep chromosome:Graimondii2_0_v6:4:30252340:30255149:-1 gene:B456_004G121500 transcript:KJB23926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKETQVQIPRMKLSTRELEFVSFMLQPFVKAQKTKACFKRYQVKFKRRREGKTDYQARNRLINQDKNKYNTRKYRLVARFTNKDIIAQIIHASISGDVVLATAYGHELHRYGFEVGLTNYAAALRAIKDTTTVSLAKVNCDYKSLLRDRLLHERPVEEERPPSVSSQLRDRFLHERPVEEERPPSVPVSELNQLSHNSEVKYPLACYFIRMCYIPSTMSNWSL >KJB21825 pep chromosome:Graimondii2_0_v6:4:1152948:1155628:-1 gene:B456_004G016100 transcript:KJB21825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVVAPNPVTKTNDSVVKSGEESKSSPAPAMSPALAPNPVTKTNDSVVRTGLAPAPATSPALAPTPIVETEAKTPKSDCEELKHVNCSDPRVLLAIKKFNSKTFKSIVILEYQTPVNGSKPDECDVAWRFRNKKERSWRKYRDFRRFEFGIGVNCTYRVIHTGRWHSGINARRPWIRPNPKFTRPIRDEEINDTIPTLLETNFRKGKYLYYARGGDYCKGMNHYLWSFLCGLGEAIYLNRTFVMDLNMCLSATHSSSHKDEEGKDFRFYFDFEHLKETASVVEEIEFLRDWKKWDKGHKRKVPLKKIKSYKTTPMQLKKDKSTIIWRQFDGPEPDNYWYRVCEGQAAKYIHRPWHTIWKSKRLMNIVTEISGRMDWDFDAIHVIRGEKAQNKQLWPNLDSDTSPNAILTKLQQMVQPWRNLYVATNEPFYNYFDNLRSHYKVHLLDDYKELWNVGSEWYNETTLLNGGKPVEFDGYMRAVVDTEVLYRAKTRVETFYNLTKDCKDGINTC >KJB22131 pep chromosome:Graimondii2_0_v6:4:2504088:2510423:1 gene:B456_004G031000 transcript:KJB22131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRYMERTNSMARGKRSLDGDDNQQPEPKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPPRLSGRSSPKQIEGPDGRSLQLLFRSRLSLPLFTGGKVEGEQGAAIHIVLVDSKTGHVVTTGPEASVKLDVVVLEGDFNNEDDEDWTQEEFESHVVKEREGKRPLLTGDLQVILKEGVGTLGDLTFTDNSSWIRSRKFRLGLKVASGYCEGMRVREAKTEAFTVKDHRGELYKKHYPPALNDDVWRLEKIGKDGSFHKKLNSAGIFTVEDFLRVAVKDQQKLRTILGSGMSNKMWDALLDHAKTCVLSGKFYVYYNDDTRDVGIVFNNIYELNGLISGEQYLPADSLSDSQKVYVDTLVKKAYDNWHQVIEYDGKSLLNFKQNRRSSARNEAQTDAIGYPNALDHQLQLPVAVPTEQVHSGPQAGGFNDNQSTRYPGQSELVNSNSRSQFDSTQFLQYDQLVNNSEQPQSSRTNNNAVGLALGPPQSSTLGFHNAISSMQPSNLNPFDDWSNNRDKGVEDLFSEEEIRLRSHEMLENEDMQHLLRLFSMGGHHATVTEDGGFGFPNYMPSPMVNFIDEDRSRPGKAVVGWLKIKAAMRWGFFIRKKAAERRAQVVELEDEEEEEE >KJB22129 pep chromosome:Graimondii2_0_v6:4:2503970:2510454:1 gene:B456_004G031000 transcript:KJB22129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRYMERTNSMARGKRSLDGDDNQQPEPKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPPRLSGRSSPKQIEGPDGRSLQLLFRSRLSLPLFTGGKVEGEQGAAIHIVLVDSKTGHVVTTGPEASVKLDVVVLEGDFNNEDDEDWTQEEFESHVVKEREGKRPLLTGDLQVILKEGVGTLGDLTFTDNSSWIRSRKFRLGLKVASGYCEGMRVREAKTEAFTVKDHRGELYKKHYPPALNDDVWRLEKIGKDGSFHKKLNSAGIFTVEDFLRVAVKDQQKLRTILGSGMSNKMWDALLDHAKTCVLSGKFYVYYNDDTRDVGIVFNNIYELNGLISGEQYLPADSLSDSQKVYVDTLVKKAYDNWHQVIEYDGKSLLNFKQNRRSSARNEAQTDAIGYPNALDHQLQLPVAVPTEQVHSGPQAGGFNDNQSTRYPGQSELVNSNSRSQFDSTQFLQYDQLVNNSEQPQSSRTNNNAVGLALGPPQSSTLGFHNAISSMQPSNLNPFDDWSNNRDKGVEDLFSEEEIRLRSHEMLENEDMQHLLRLFSMGGHHATVTEDGGFGFPNYMPSPMVNFIDEDRSRPGKAVVGWLKIKAAMRWGFFIRKKAAERRAQVVELEDEEEEEE >KJB22130 pep chromosome:Graimondii2_0_v6:4:2504201:2510423:1 gene:B456_004G031000 transcript:KJB22130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRYMERTNSMARGKRSLDGDDNQQPEPKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPPRLSGRSSPKQIEGPDGRSLQLLFRSRLSLPLFTGGKVEGEQGAAIHIVLVDSKTGHVVTTGPEASVKLDVVVLEGDFNNEDDEDWTQEEFESHVVKEREGKRPLLTGDLQVILKEGVGTLGDLTFTDNSSWIRSRKFRLGLKVASGYCEGMRVREAKTEAFTVKDHRGELYKKHYPPALNDDVWRLEKIGKDGSFHKKLNSAGIFTVEDFLRVAVKDQQKLRTILGSGMSNKMWDALLDHAKTCVLSGKFYVYYNDDTRDVGIVFNNIYELNGLISGEQYLPADSLSDSQKVYVDTLVKKAYDNWHQVIEYDGKSLLNFKQNRRSSARNEAQTDAIGYPNALDHQLQLPVAVPTEQVHSGPQAGGFNDNQSTRYPGQSELVNSNSRSQFDSTQFLQYDQLVNNSEQPQSSRTNNNAVGLALGPPQSSTLGFHNAISSMQPSNLNPFDDWSNNRDKGVEDLFSEEEIRLRSHEMLENEDMQHLLRLFSMGGHHATVTEDGGFGFPNYMPSPMVNFIDEDRSRPGKAVVGWLKIKAAMRWGFFIRKKAAERRAQVVELEDEEEEEE >KJB23514 pep chromosome:Graimondii2_0_v6:4:18733099:18735432:1 gene:B456_004G101800 transcript:KJB23514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILFTKLFSSLLGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIR >KJB23515 pep chromosome:Graimondii2_0_v6:4:18733099:18737829:1 gene:B456_004G101800 transcript:KJB23515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILFTKLFSSLLGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKEEFHAILEEEELRGAVVLIFANKQDLPGALDAAAVTEALELHKLKSRQWAIFKTSAIKGEGLFEGLDW >KJB23512 pep chromosome:Graimondii2_0_v6:4:18732967:18738423:1 gene:B456_004G101800 transcript:KJB23512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILFTKLFSSLLGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPSGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKEEFHAILEEEELRGAVVLIFANKQDLPGALDAAAVTEALELHKLKSRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGSG >KJB23513 pep chromosome:Graimondii2_0_v6:4:18732967:18738423:1 gene:B456_004G101800 transcript:KJB23513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILFTKLFSSLLGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKEEFHAILEEEELRGAVVLIFANKQDLPGALDAAAVTEALELHKLKSRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGSG >KJB25177 pep chromosome:Graimondii2_0_v6:4:49031018:49033193:-1 gene:B456_004G180000 transcript:KJB25177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLSYGGNGNYGYENGVVMTRDPKPRLRWTADLHDRFVDAVTKLGGPNKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQQSRKQNTADQNKENGRNSYVQFSNHSSGTVTNSPRADDDKRQIQMTEVLKSQMEVQKTLQEQLEVQKKLQMRIEAQGKYLQAILEKAQKSLSFDIHSEENVEEKRAQLTNFNLPLSSLMDSTNEADRKSNMAQMNDVIPTKANFSASFHGHGVGDREENKHVKRKVEGDSINFDLNTKDSNEYGAVNGNQLQSHLVFS >KJB25175 pep chromosome:Graimondii2_0_v6:4:49030851:49033193:-1 gene:B456_004G180000 transcript:KJB25175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLSYGGNGNYGYENGVVMTRDPKPRLRWTADLHDRFVDAVTKLGGPNKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQQSRKQNTADQNKENGSSYVQFSNHSSGTVTNSPRADDDKRQIQMTEVLKSQMEVQKTLQEQLEVQKKLQMRIEAQGKYLQAILEKAQKSLSFDIHSEENVEEKRAQLTNFNLPLSSLMDSTNEADRKSNMAQMNDVIPTKANFSASFHGHGVGDREENKHVKRKVEGDSINFDLNTKDSNEYGAVNGNQLQSHLVFS >KJB25176 pep chromosome:Graimondii2_0_v6:4:49031018:49032922:-1 gene:B456_004G180000 transcript:KJB25176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLSYGGNGNYGYENGVVMTRDPKPRLRWTADLHDRFVDAVTKLGGPNKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQQSRKQNTADQNKENGRSSYVQFSNHSSGTVTNSPRADDDKRQIQMTEVLKSQMEVQKTLQEQLEVQKKLQMRIEAQGKYLQAILEKAQKSLSFDIHSEENVEEKRAQLTNFNLPLSSLMDSTNEADRKSNMAQMNDVIPTKANFSASFHGHGVGDREENKHVKRKVEGDSINFDLNTKDSNEYGAVNGNQLQSHLVFS >KJB22534 pep chromosome:Graimondii2_0_v6:4:4863728:4868475:1 gene:B456_004G053000 transcript:KJB22534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTVSDNDIVGIKDESAEQLHASCSFLDEIFGDPQVIPRVGDQYQAEIPPLVGECRSLQVVKEPIDTKVVTSVPNPFPMGLPIPLIWTKTKAESINGAVDFENGGESHITLSHWCAEYKVESLGSVSGNGNDTREYLKHKPTTKTKMVVDLLSPMEPKSKPNQVDGDLYPLPGSSSEVWKDIELNSFLLGLYIFGKNLILVKNFVESKGMGEILSFYYGKFYKSDGYCRWSDCRKLRGRRCVHGQKLFTGWRQQELLSRLSSHVSEECRDMLLEVSKTFGEGKVSFKEYVFTIKNTVGITMLVEAVGIGKGKQDLTGNAMEPIKPNHVVSFRPEIPVGKACSTLTSVDIINFLTGDFRLSKARCSDLFWEAVWPRLLARGWHSEQPKDQVFSGSKNSLVFLMPGVKKFSRRLVKGNHYFDSVSDVLNKVASEPGLLELEIELPKDGREENENKWEPAIKQDPGFISNKHGRYLKPRNPGCNRDLMKFTIVDTSLVRGTERSKVRELRSLLPEVAANLSTPSSLYSDSEEDTSDDSEDVSEENSVSHAAEATADEGECAEISDCVNSNSNIAIPLTSDMSIVSVKNHESHHASLLDDDWKMVTKEQGLTDYANGESSCSVENVSANRMLNEDNLHYRSNSLDDSENMVFQTGSVNLSPAGSLSKGSPDNRKEGIVAENCLHREESPTKSQLHTLIDLNVGQVSMDFETEGPFVTETTQNSENLFAHTSFNQSEVTMQPDKVAEVNQQPVVHNRRQSTRNRPLTTKALEALECGFFSPKRKRTAAETPEINSQRIRSRPVGNTTFRKRKAVETPQNSSESVRSRPVVSAIFRNGAVGKE >KJB25214 pep chromosome:Graimondii2_0_v6:4:49288789:49295235:-1 gene:B456_004G181500 transcript:KJB25214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKEGESWKKLVGKMLPPGASLPEDSLELDYSIAIEYKGPPVAYEVPRVEPLDVNSHAIPTAEALSESQRSVANTATLVIEPIPLPVSHIASVTSPRTQSPRVSASSESLVSVLQNPDFSSASPSASPGSVGNPPSNPPKQVVNEGKRVPVVTFNTVEKSDRKDMDMVKRVFPEYVGVSTEKKKKKRRVCYRCGKRKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIRQPIDESKRLRLGKHSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLNHDASNGNTEVYMNGREITKLELRVLKLANVQCPHDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFVCTLFSLPVPHGQPQGVREEASNYSTVPNYLEQKRTQKLLLLGLQGSGTSTIFKQAKFLYGNGFSAEELQDLKLMIQSNMYRYLSILLDGRERFEEEAMSGMPELAANDQNFDAGGEVESGETNQCAYSINPRLKHFADWLLDIIATGDLDAFFPAATREYAPLVEELWKDPAIQHTYRRKDELHFLPDVAEYFLSRAVEVSSNEYEPTDRDILYAEGVTQGNGLAFIEFLLDDRSPISETYTDNLEAPSQPLTKYQLIRVNAKGINEGCKWVEMFEDVRVVVFCAALSDYDLMSVAPESSGSGPLFQNKMMQTKELFETMIRHPCFKDTPFVLILNKYDLFEDKVNRVPLSTCEWFNDFSSVRPVHSHQSLAQQAYFYVAMKFKDLYASLTGRKLFVSQARARDHRVTVDEAFKYTREVLKWEEEKDENYYGGEDSFYSTDVSSSPFVRQE >KJB25216 pep chromosome:Graimondii2_0_v6:4:49288793:49294777:-1 gene:B456_004G181500 transcript:KJB25216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKEGESWKKLVGKMLPPGASLPEDSLELDYSIAIEYKGPPVAYEVPRVEPLDVNSHAIPTAEALSESQRSVANTATLVIEPIPLPVSHIASVTSPRTQSPRVSASSESLVSVLQNPDFSSASPSASPGSVGNPPSNPPKQVVNEGKRVPVVTFNTVEKSDRKDMDMVKRVFPEYVGVSTEKKKKKRRVCYRCGKRKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIRQPIDESKRLRLGKHSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLNHDASNGNTEVYMNGREITKLELRVLKLANVQCPHDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFVCTLFSLPVPHGQPQGVREEASNYSTVPNYLEQKRTQKLLLLGLQGSGTSTIFKQAKFLYGNGFSAEELQDLKLMIQSNMYRYLSILLDGRERFEEEAMSGMPELAANDQNFDAGGEVESGETNQCAYSINPRLKHFADWLLDIIATGDLDAFFPAATREYAPLVEELWKDPAIQHTYRRKDELHFLPDVAEYFLSRAVEVSSNEYEPTDRDILYAEGVTQGNGLAFIEFLLDDRSPISETYTDNLEAPSQPLTKYQLIRVNAKGINEGCKWVEMFEDVRVVVFCAALSDYDLMSVAPESSGSGPLFQNKMMQTKELFETMIRHPCFKDTPFVLILNKYDLFEDKVNRVPLSTCEWFNDFSSVRPVHSHQSLAQQAYFYVAMKFKDLYASLTGRKLFVSQARARDHRVTVDEAFKYTREVLKWEEEKDENYYGGEDSFYSTDVSSSPFVRQE >KJB25215 pep chromosome:Graimondii2_0_v6:4:49288790:49295075:-1 gene:B456_004G181500 transcript:KJB25215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKEGESWKKLVGKMLPPGASLPEDSLELDYSIAIEYKGPPVAYEVPRVEPLDVNSHAIPTAEALSESQRSVANTATLVIEPIPLPVSHIASVTSPRTQSPRVSASSESLVSVLQNPDFSSASPSASPGSVGNPPSNPPKQVVNEGKRVPVVTFNTVEKSDRKDMDMVKRVFPEYVGVSTEKKKKKRRVCYRCGKRKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIRQPIDESKRLRLGKHSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLNHDASNGNTEVYMNGREITKLELRVLKLANVQCPHDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFVCTLFSLPVPHGQPQGVREEASNYSTVPNYLEQKRTQKLLLLGLQGSGTSTIFKQAKFLYGNGFSAEELQDLKLMIQSNMYRYLSILLDGRERFEEEAMSGMPELAANDQNFDAATGDLDAFFPAATREYAPLVEELWKDPAIQHTYRRKDELHFLPDVAEYFLSRAVEVSSNEYEPTDRDILYAEGVTQGNGLAFIEFLLDDRSPISETYTDNLEAPSQPLTKYQLIRVNAKGINEGCKWVEMFEDVRVVVFCAALSDYDLMSVAPESSGSGPLFQNKMMQTKELFETMIRHPCFKDTPFVLILNKYDLFEDKVNRVPLSTCEWFNDFSSVRPVHSHQSLAQQAYFYVAMKFKDLYASLTGRKLFVSQARARDHRVTVDEAFKYTREVLKWEEEKDENYYGGEDSFYSTDVSSSPFVRQE >KJB25217 pep chromosome:Graimondii2_0_v6:4:49288793:49294980:-1 gene:B456_004G181500 transcript:KJB25217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKEGESWKKLVGKMLPPGASLPEDSLELDYSIAIEYKGPPVAYEVPRVEPLDVNSHAIPTAEALSESQRSVANTATLVIEPIPLPVSHIASVTSPRTQSPRVSASSESLVSVLQNPDFSSASPSASPGSVGNPPSNPPKQVVNEGKRVPVVTFNTVEKSDRKDMDMVKRVFPEYVGVSTEKKKKKRRVCYRCGKRKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIRQPIDESKRLRLGKHSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLNHDASNGNTEVYMNGREITKLELRVLKLANVQCPHDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFVCTLFSLPVPHGQPQGVREEASNYSTVPNYLEQKRTQKLLLLGLQGSGTSTIFKQAKFLYGNGFSAEELQDLKLMIQSNMYRYLSILLDGRERFEEEAMSGMPELAANDQNFDAATREYAPLVEELWKDPAIQHTYRRKDELHFLPDVAEYFLSRAVEVSSNEYEPTDRDILYAEGVTQGNGLAFIEFLLDDRSPISETYTDNLEAPSQPLTKYQLIRVNAKGINEGCKWVEMFEDVRVVVFCAALSDYDLMSVAPESSGSGPLFQNKMMQTKELFETMIRHPCFKDTPFVLILNKYDLFEDKVNRVPLSTCEWFNDFSSVRPVHSHQSLAQQAYFYVAMKFKDLYASLTGRKLFVSQARARDHRVTVDEAFKYTREVLKWEEEKDENYYGGEDSFYSTDVSSSPFVRQE >KJB23586 pep chromosome:Graimondii2_0_v6:4:21609665:21613571:1 gene:B456_004G106100 transcript:KJB23586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGAKKRKEENTRHMANLRHLIIACNVVYVLVRMLIFHSSFTWKHWVGLLLTSVAYFIPYQQLSQMAKPAYSDDGELLDGGFDMSTGGICGYLHDVIYITSFVQLMSIISDKFWYTYLVIPAFGAYKSFGFIRGFLSLGSEGEVEDEKTRKKREKMEKKASRPKLVKTRNR >KJB24027 pep chromosome:Graimondii2_0_v6:4:32895270:32900425:-1 gene:B456_004G125800 transcript:KJB24027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFGSYGGSAYGGGSSNLSALAPPFTVDRSIPKPSSATPLVDLGEPLNWLDTNPYTFNSPQPAQRLDPIPTSSFDQKSDLFEPKTYFPSYVSPPFHVPTFDEQSLPGLDNTAQWGVGSWGWEKGNPSQLGGSFYSKESNGSPSSIFKDHINLGAHPSKCLNTCEQASQNFYSLGTEKQVAPPSIDKLDYNPVPGQNLSFMPVGYSNTSVIGSSSALPEADLQVPSLNLVSCKNNLVPFNIPYEKPVRQHDMSGNSPSNVKEPHYLLNFGSKNEFDPSLLNYHVDGNCYLYGDSSATRTDKLSTSNMTSKDASDNSFRAKPGVTFTHNGPDNFCSALDCNGVIAMENALENLDHYNPPVDSPCWRGAPSSHNSPFVSSEAVSVQLEKKLEACGDGQELKFMPMNTANMVRLPSEKPGESSMNVENGSVEDSSVSFLKLPSVSIMSSRDHLPDVVGKAGPYNRVTSSARKINFSDDASEQKNSNVLFNKSADEVEKSSCTIEQNVTEGRLASKNLLTFETDFSDLVMKINDVSGCGSSHMSCHEVKSLSCLPSSVEDVSTQHSKFFGKEPVSTNSIGVLLDTMHNLSKLLLHHCSNKSSELKEPERKSLEKVIKNLNTCMSKNVGQESFLSDLHEVPYAVFYFISLSD >KJB24029 pep chromosome:Graimondii2_0_v6:4:32896342:32899994:-1 gene:B456_004G125800 transcript:KJB24029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFGSYGGSAYGGGSSNLSALAPPFTVDRSIPKPSSATPLVDLGEPLNWLDTNPYTFNSPQPAQRLDPIPTSSFDQKSDLFEPKTYFPSYVSPPFHVPTFDEQSLPGLDNTAQWGVGSWGWEKGNPSQLGGSFYSKESNGSPSSIFKDHINLGAHPSKCLNTCEQASQNFYSLGTEKQVAPPSIDKLDYNPVPGQNLSFMPVGYSNTSVIGSSSALPEADLQVPSLNLVSCKNNLVPFNIPYEKPVRQHDMSGNSPSNVKEPHYLLNFGSKNEFDPSLLNYHVDGNCYLYGDSSATRTDKLSTSNMTSKDASDNSFRAKPGVTFTHNGPDNFCSALDCNGVIAMENALENLDHYNPPVDSPCWRGAPSSHNSPFVSSEAVSVQLEKKLEACGDGQELKFMPMNTANMVRLPSEKPGESSMNVENGSVEDSSVSFLKLPSVSIMSSRDHLPDVVGKAGPYNRVTSSARKINFSDDASEQKNSNVLFNKSADEVEKSSCTIEQNVTEGRLASKNLLTFETDFSDLVMKINDVSGCGSSHMSCHEVKSLSCLPSSVEDVSTQHSKFFGKEPVSTNSIGVLLDTMHNLSKLLLHHCSNKSSELKEPERKSLEKVIKNLNTCMSKNVGQESFLSDLHEGTSMGRPQVAAIDFWSQHVQEKTKHSGKKDEKCSDFIPFENGTDIKARNDKMTQAMKKILVENFHEKDETHPQVLLYKNLWLEAEAALCSTNYMARFNKIKIEIEESKLDKRKG >KJB24025 pep chromosome:Graimondii2_0_v6:4:32895226:32900425:-1 gene:B456_004G125800 transcript:KJB24025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFGSYGGSAYGGGSSNLSALAPPFTVDRSIPKPSSATPLVDLGEPLNWLDTNPYTFNSPQPAQRLDPIPTSSFDQKSDLFEPKTYFPSYVSPPFHVPTFDEQSLPGLDNTAQWGVGSWGWEKGNPSQLGGSFYSKESNGSPSSIFKDHINLGAHPSKCLNTCEQASQNFYSLGTEKQVAPPSIDKLDYNPVPGQNLSFMPVGYSNTSVIGSSSALPEADLQVPSLNLVSCKNNLVPFNIPYEKPVRQHDMSGNSPSNVKEPHYLLNFGSKNEFDPSLLNYHVDGNCYLYGDSSATRTDKLSTSNMTSKDASDNSFRAKPGVTFTHNGPDNFCSALDCNGVIAMENALENLDHYNPPVDSPCWRGAPSSHNSPFVSSEAVSVQLEKKLEACGDGQELKFMPMNTANMVRLPSEKPGESSMNVENGSVEDSSVSFLKLPSVSIMSSRDHLPDVVGKAGPYNRVTSSARKINFSDDASEQKNSNVLFNKSADEVEKSSCTIEQNVTEGCGSSHMSCHEVKSLSCLPSSVEDVSTQHSKFFGKEPVSTNSIGVLLDTMHNLSKLLLHHCSNKSSELKEPERKSLEKVIKNLNTCMSKNVGQESFLSDLHEGTSMGRPQVAAIDFWSQHVQEKTKHSGKKDEKCSDFIPFENGTDIKARNDKMTQAMKKILVENFHEKDETHPQVLLYKNLWLEAEAALCSTNYMARFNKIKIEIEESKLDKRKEDASDEDKKSSSKFSAQVNTNKKLTQSAESESPTAVSNQNSSIKSSCYHADDVTARFQALKQRLNNSSSVHTRELDELSSSKLCPDLDGVDLLATEVKDNSTLGLSSQDSIVQGIACQTEDGEASVMARFQILKNRDFDNLDPNEVERKLLPEVVDLPFAGMTKQIPIDKDISEDVTSGVNLEPVSQHHVTNQAGEELVVQHDFMIQSPGNHSSSGRYDNCSSDWEHVLKEEFSGQNS >KJB24028 pep chromosome:Graimondii2_0_v6:4:32895270:32900425:-1 gene:B456_004G125800 transcript:KJB24028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFGSYGGSAYGGGSSNLSALAPPFTVDRSIPKPSSATPLVDLGEPLNWLDTNPYTFNSPQPAQRLDPIPTSSFDQKSDLFEPKTYFPSYVSPPFHVPTFDEQSLPGLDNTAQWGVGSWGWEKGNPSQLGGSFYSKESNGSPSSIFKDHINLGAHPSKCLNTCEQASQNFYSLGTEKQVAPPSIDKLDYNPVPGQNLSFMPVGYSNTSVIGSSSALPEADLQVPSLNLVSCKNNLVPFNIPYEKPVRQHDMSGNSPSNVKEPHYLLNFGSKNEFDPSLLNYHVDGNCYLYGDSSATRTDKLSTSNMTSKDASDNSFRAKPGVTFTHNGPDNFCSALDCNGVIAMENALENLDHYNPPVDSPCWRGAPSSHNSPFVSSEAVSVQLEKKLEACGDGQELKFMPMNTANMVRLPSEKPGESSMNVENGSVEDSSVSFLKLPSVSIMSSRDHLPDVVGKAGPYNRVTSSARKINFSDDASEQKNSNVLFNKSADEVEKSSCTIEQNVTEGRLASKNLLTFETDFSDLVMKINDVSGCGSSHMSCHEVKSLSCLPSSVEDVSTQHSKFFGKEPVSTNSIGVLLDTMHNLSKLLLHHCSNKSSELKEPERKSLEKVIKNLNTCMSKNVGQESFLSDLHEGTSMGRPQVAAIDFWSQHVQEKTKHSGKKDEKCSDFIPFENGTDIKARNDKMTQAMKKILVENFHEKDETHPQVLLYKNLWLEAEAALCSTNYMARFNKIKIEIEESKLDKRKDASDEDKKSSSKFSAQVNTNKKLTQSAESESPTAVSNQNSSIKSSCYHADDVTARFQALKQRLNNSSSVHTRELDELSSSKLCPDLDGVDLLATEVKDNSTLGLSSQDSIVQGIACQTEDGEASVMARFQILKNRDFDNLDPNEVERKLLPEVVDLPFAGMTKQIPIDKDISEDVTSGVNLEPVSQHHVTNQAGEELVVQHDFMIQSPGNHSSSGRYDNCSSDWEHVLKEEFSGQNS >KJB24026 pep chromosome:Graimondii2_0_v6:4:32895261:32900477:-1 gene:B456_004G125800 transcript:KJB24026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFGSYGGSAYGGGSSNLSALAPPFTVDRSIPKPSSATPLVDLGEPLNWLDTNPYTFNSPQPAQRLDPIPTSSFDQKSDLFEPKTYFPSYVSPPFHVPTFDEQSLPGLDNTAQWGVGSWGWEKGNPSQLGGSFYSKESNGSPSSIFKDHINLGAHPSKCLNTCEQASQNFYSLGTEKQVAPPSIDKLDYNPVPGQNLSFMPVGYSNTSVIGSSSALPEADLQVPSLNLVSCKNNLVPFNIPYEKPVRQHDMSGNSPSNVKEPHYLLNFGSKNEFDPSLLNYHVDGNCYLYGDSSATRTDKLSTSNMTSKDASDNSFRAKPGVTFTHNGPDNFCSALDCNGVIAMENALENLDHYNPPVDSPCWRGAPSSHNSPFVSSEAVSVQLEKKLEACGDGQELKFMPMNTANMVRLPSEKPGESSMNVENGSVEDSSVSFLKLPSVSIMSSRDHLPDVVGKAGPYNRVTSSARKINFSDDASEQKNSNVLFNKSADEVEKSSCTIEQNVTEGRLASKNLLTFETDFSDLVMKINDVSGCGSSHMSCHEVKSLSCLPSSVEDVSTQHSKFFGKEPVSTNSIGVLLDTMHNLSKLLLHHCSNKSSELKEPERKSLEKVIKNLNTCMSKNVGQESFLSDLHEGTSMGRPQVAAIDFWSQHVQEKTKHSGKKDEKCSDFIPFENGTDIKARNDKMTQAMKKILVENFHEKDETHPQVLLYKNLWLEAEAALCSTNYMARFNKIKIEIEESKLDKRKEDASDEDKKSSSKFSAQVNTNKKLTQSAESESPTAVSNQNSSIKSSCYHADDVTARFQALKQRLNNSSSVHTRELDELSSSKLCPDLDGVDLLATEVKDNSTLGLSSQDSIVQGIACQTEDGEASVMARFQILKNRDFDNLDPNEVERKLLPEVVDLPFAGMTKQIPIDKDISEDVTSGVNLEPVSQHHVTNQAGEELVVQHDFMIQSPGNHSSSGRYDNCSSDWEHVLKEEFSGQNS >KJB26695 pep chromosome:Graimondii2_0_v6:4:59184464:59193347:1 gene:B456_004G254900 transcript:KJB26695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVGPSNQEEFKGYSGLGTEKESTLQWRPRQLVFRPYSSINGADRKLRVVVRKPLVARLTKDVIETYQICNPEFKYSEELNPKWFLTSPSVGVLNDGHDNVNSDLILTVNFVLINLETRRRYIVKNVLGHGTFGQVAKCWVPETSSFVAVKIIKNQPAYYQQALVEVSVLTTLKKKYDPEDEHHIVRIYDYFVYQHHLCICFELLDTNLYELIKINHFRGLSLSIIQLFSKQILRGLTLLKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDWTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMVEILGGQPPDYVLKEAKNTSKFFKCVGRIHNIGNSEVCGRSAYQVLTEEEYEARELKKPLIGKEYFNHKNLEAIVTCYPYRKNLPKEDIIKESQIRLALIDFLRGLVEFDPAKRWSPFQASKHPFVTGEPFTRPYRPPPETPHLLVALNIKVDHHPGGGHWFAAGFSPNVSKRNRVTFHNSPQFPMVPYGHANCYSSMGSLSSYNDNSGLGSSYGSYGDSSSIFACYSPVGPSVMTLHMQSGASMLGGSPNARWRIMQYSHGNGVGMSPSAKNFALLHLGTSPSQFTPPSSYGQGSVGFPGHYGGPTSAARNSCQGSPLKSLSSSNRPGQVTDGNISNQAERNSQVVDGLPSRIQSNSSSANWKQQQGGIGMATSYSIVQNIPKSIGLGSSVQLQYITGATQDKSEASMPLPGPGYWDPNYSDELLLQEDGSDESHVSAEFDRGMQIGSADSSVRIGRFNHASSTASSNLSTQRVGDKLSGEGAVLSPLPPSFSSRSPHSPGNSSFSNDMPWVTSARY >KJB24757 pep chromosome:Graimondii2_0_v6:4:44812797:44814891:-1 gene:B456_004G159000 transcript:KJB24757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISTALLLLAAITAYLLWFTFISRSLRGPRVWPLLGSLPGLIKNCDRLHDWISDNLRACGGTYQTCICAIPFLAQKQGLVTVTCDPRNLEHILKTRFDNYPKGPTWQSVFHDLLGQGIFNSDGDTWLFQRRTAALEFTTRTLRQAMARWVTRAIKFRFCPILEEAQAKSEPVDLQDVLLRLTFDNICGLAFGKDPETCAQRLPENGFASAFDRATEASLQRFILPEVLWKLKKWLRLGLEASLSRSLVHMNEYLSDVIVTRKQELLSQQKDGNPHDDLLSRFMRKKESYSEEFLQHVALNFILAGRDTSSVALCWFFWLLTQHSTIEDKILHEICTVLIETRGIDTSTWFDEPLGFEEVDRLIYMKAALSETLRLYPSVPEDSKHVVVDDVFPDGTFVPAGSSVTYSIYSVGRMRSIWGDDCLEFRPERWLTADGKEFIMHDSYKFVAFNAGPRICLGRGLAYLQMKSVAAAALLRHKLTVAPGHKVEQKISLTLFMKYGLKVNVHGRDLGAIVEKIINEQKLHGK >KJB22044 pep chromosome:Graimondii2_0_v6:4:2111853:2113406:-1 gene:B456_004G027000 transcript:KJB22044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELEHCGINPIIGSSESNSMEIRVNNMESIKETMQMHEDMFQHQVRELHRVYSVQKALMEELKKGIKSNRLWATPMVTSSHISQSQLINHHQHSTTPLQITCGYNPNLEADPSLRERTSGCSGDHIHAMKLAKGFDLERPAGENSDEDSEVELTLSIGGASKKKKSKGGEISNRGSFKSESGDDFIGPNTPPLLSFPWLKHKQDLHLPLNNI >KJB22043 pep chromosome:Graimondii2_0_v6:4:2111756:2115742:-1 gene:B456_004G027000 transcript:KJB22043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTCSNTSVQKALMEELKKGIKSNRLWATPMVTSSHISQSQLINHHQHSTTPLQITCGYNPNLEADPSLRERTSGCSGDHIHAMKLAKGFDLERPAGENSDEDSEVELTLSIGGASKKKKSKGGEISNRGSFKSESGDDFIGPNTPPLLSFPWLKHKQDLHLPLNNI >KJB25648 pep chromosome:Graimondii2_0_v6:4:53079042:53082309:-1 gene:B456_004G202100 transcript:KJB25648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKPFFRFLFFFIAIAFLFAWTHLHYAPSPGVITLLDCASNSPWCTSSKNRLQSKQPTLTKTPRASTSQRRHHESAVPRHPLDPLTIQEFNKVRKILSSHALFKSSNNYALHSVVLEEPNKELVLKWKKGQPLFPRIASVIARANGVSHVLTVNLETDEVTVLNTAPPTGYPTMTVEDMTSATWAPLSNAKFNRTIIERGVNLKDVTCLPISLGWFGKKEENRRLIKVQCYSMEDTANFYMRPIEGLTVLLDMDTKEVVEVSDTGRSIPIPKATNTDYRFSEQKLQQDLNSINPISIEQPKGPSFVIEDEHLVKWANWEFHLKPDTRAGVMVSRAKVRDLESGVLRDVMYKGFTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGVFAAGDGVPYVRSNMVCVFERYTGDIGWRHAESPITGMEIKEVRPKVTLVVRMAASVANYDYIVDWEFQTDGLIRIKVGLSGILMVKGTAYENMNQVEGQENLFGTLLSENVIGVIHDHYITFYLDMDIDGSDNSFVNVNIKRQETSPGESPRKSYLKAVRNVARTEKDAQIQLKLYDPSEFHVINPTKKTRVGNPVGYKVVPGGTAASLLDHEDPPQKRGAFTNNQIWVTPYNRSEQWAGGLFVYQSHGEDTLDVWSERDRPIENKDIVVWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFESNPILRAPPYFEKDLPVCRPAYSA >KJB27042 pep chromosome:Graimondii2_0_v6:4:60857775:60860418:-1 gene:B456_004G274100 transcript:KJB27042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQGTSSIAASSLPSSSERSSSSALQVEVKEGMESDEEIRRVPEIGGEASAAPAAGREPCSLTRPDRPQPSGEGGQRKRGRSPADKENKRLKRLLRNRVSAQQARERKKAYLNELETRVRDLEKKNSELEERLSTLHNENQMLRQIVKNTTASRRGGNGSSNAADGTL >KJB22007 pep chromosome:Graimondii2_0_v6:4:1974153:1977025:-1 gene:B456_004G025200 transcript:KJB22007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRKVYSEIKGMKVKEVPNHVKPMLSMDYVKKAFQKGLDNYNSKYIQTDSIQPLYHVCFGGMVFSYLVALPNERRHLEHQQHAKEHGHH >KJB22815 pep chromosome:Graimondii2_0_v6:4:6945230:6950582:1 gene:B456_004G067000 transcript:KJB22815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSAVIIIGAGISGISAAKVLADNGIVDLLILEASGRIGGRILKESFGGVSVELGAGWIAGVGGKASNPVWEIASKFGLRTCFSDYSNARYNIYDRSGKIFPSAIAADSYKKAVDSAIQKLRDLESNSVDDVGNGAELHLTAKTPIELAIDFILHDFEMAEVEPISTYVDFGEREFLVADERGYEYLLYKMAEDFLLTSEGKILDNRLKLNKVVRELQHSRNGVTVKTEDGCVYEANYVILSASIGVLQSDLICFRPPLPRWKTDAIGKCDVMVYTKIFLKFPYKFWPCGTDKEFFIYAHERRGYYTFWQQMENAYPGSNILVVTLTNGESKRVEAQSDEETLKEAMGVLRDMFGPDIPTATDILVPRWWNNRFQRGSYSNYPIICNNRVVNDIKAPVGRIFFTGEHTSERFNGYVHGGYLAGIDTSKALLEEIRKDERENESKSFLLEPLIALSGSLTLAQSDAVSGLQKCEVPTQLYLSGKLGIPEAIL >KJB22814 pep chromosome:Graimondii2_0_v6:4:6945230:6950582:1 gene:B456_004G067000 transcript:KJB22814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSAVIIIGAGISGISAAKVLADNGIVDLLILEASGRIGGRILKESFGGVSVELGAGWIAGVGGKASNPVWEIASKFGLRTCFSDYSNARYNIYDRSGKIFPSAIAADSYKKAVDSAIQKLRDLESNSVDDVGNGAELHLTAKTPIELAIDFILHDFEMAEVEPISTYVDFGEREFLVADERGYEYLLYKMAEDFLLTSEGKILDNRLKLNKVVRELQHSRNGVTVKTEDGCVYEANYVILSASIGVLQSDLICFRPPLPRWKTDAIGKCDVMVYTKIFLKFPYKFWPCGTDKEFFIYAHERRGYYTFWQQMENAYPGSNILVVTLTNGESKRVEAQSDEETLKEAMGVLRDMFGPDIPTATDILVPRWWNNRFQRGSYSNYPIICNNRVVNDIKAPVGRIFFTGEHTSERFNGYVHGIDTSKALLEEIRKDERENESKSFLLEPLIALSGSLTLAQSDAVSGLQKCEVPTQLYLSGKLGIPEAIL >KJB22901 pep chromosome:Graimondii2_0_v6:4:7814014:7819793:-1 gene:B456_004G072800 transcript:KJB22901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRGQKAANALGIFKWRWGGESSLTTGLLGDVPSVIELSDYGRVPPSPGSESPSGLLNGETLNAEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSVGFTICFSGFFLLFVDWNGLRNAKCGMDAFESGIKPCDLAKEALHQHPLTPLTLSKAIIVGYLGLFSIYWMFCFLRFFAQLKETLGIRHFYYNSLHVTDNEIQTMPWATILEKVVQLQSSQHLCVVKDLSAHDVVMRLMRKENYLIGMLNKGVLAFPISAWVPGAGPTVKSGPYRTQHCLILTKTLEWTLNWCILQSMFDRHAEQFYNHPSTASSRRWSNLSKWMFREFNEVDHLFKHRINRSVMHASEYLKRFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAVTDELLVLDPEGAMSMVVQHTHYMPKRWRGKENTETVRKEFETLFQYTGMMLLEEMASIFLTPFLLLFVVPKRVDDILQFIADFTVDIEGVGHVCSFSAFDFQNHGNGNYGSPSNAPRSQRSSQGKMEKSFLSFKSSYPSWEPDSQGKQFLLNIRTFREQKLQTQGTRHADSLGRLWRASPLRGHVDRNGLFPREMQQNIPYTSRDLGSLLLIDAEQKNHPYLLDWYYTSRTRRDTATTRPSEPGELQHEDYWMPTNMTHDEARDEEYWQPHHYDGRSRLQSHLDASTSSSFFHESVLQHHDTNELPHQARSHWWARSGPHGIQPQTSFLEPPDFNRYSSAQRYDNMSERSVEEQDQSLDWRDSQRLSRTTYMEDDLETGGDINLHFDDIYSRPPEAPTVNLRLLSFD >KJB22900 pep chromosome:Graimondii2_0_v6:4:7814013:7818945:-1 gene:B456_004G072800 transcript:KJB22900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIHSPSKGNLSNFLLMGRTLPKHLLTLGNGLALFPCHQDLQMMFRGQKAANALGIFKWRWGGESSLTTGLLGDVPSVIELSDYGRVPPSPGSESPSGLLNGETLNAEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSVGFTICFSGFFLLFVDWNGLRNAKCGMDAFESGIKPCDLAKEALHQHPLTPLTLSKAIIVGYLGLFSIYWMFCFLRFFAQLKETLGIRHFYYNSLHVTDNEIQTMPWATILEKVVQLQSSQHLCVVKDLSAHDVVMRLMRKENYLIGMLNKGVLAFPISAWVPGAGPTVKSGPYRTQHCLILTKTLEWTLNWCILQSMFDRNFCVRRDFISNPRTLKKRLMVVGFAMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSKWMFREFNEVDHLFKHRINRSVMHASEYLKRFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAVTDELLVLDPEGAMSMVVQHTHYMPKRWRGKENTETVRKEFETLFQYTGMMLLEEMASIFLTPFLLLFVVPKRVDDILQFIADFTVDIEGVGHVCSFSAFDFQNHGNGNYGSPSNAPRSQRSSQGKMEKSFLSFKSSYPSWEPDSQGKQFLLNIRTFREQKLQTQGTRHADSLGRLWRASPLRGHVDRNGLFPREMQQNIPYTSRDLGSLLLIDAEQKNHPYLLDWYYTSRTRRDTATTRPSEPGELQHEDYWMPTNMTHDEARDEEYWQPHHYDGRSRLQSHLDASTSSSFFHESVLQHHDTNELPHQARSHWWARSGPHGIQPQTSFLEPPDFNRYSSAQRYDNMSERSVEEQDQSLDWRDSQRLSRTTYMEDDLETGGDINLHFDDIYSRPPEAPTVNLRLLSFD >KJB22903 pep chromosome:Graimondii2_0_v6:4:7814261:7818792:-1 gene:B456_004G072800 transcript:KJB22903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRGQKAANALGIFKWRWGGESSLTTGLLGDVPSVIELSDYGRVPPSPGSESPSGLLNGETLNAEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSVGFTICFSGFFLLFVDWNGLRNAKCGMDAFESGIKPCDLAKEALHQHPLTPLTLSKAIIVGYLGLFSIYWMFCFLRFFAQLKETLGIRHFYYNSLHVTDNEIQTMPWATILEKVVQLQSSQHLCVVKDLSAHDVVMRLMRKENYLIGMLNKGVLAFPISAWVPGAGPTVKSGPYRTQHCLILTKTLEWTLNWCILQSMFDRNFCVRRDFISNPRTLKKRLMVVGFAMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSKWMFREFNEVDHLFKHRINRSVMHASEYLKRFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAVTDELLVLDPEGAMSMVVQHTHYMPKRWRGKENTETVRKEFETLFQYTGMMLLEEMASIFLTPFLLLFVVPKRVDDILQFIADFTVDIEGVGHVCSFSAFDFQNHGNGNYGSPSNAPRSQRSSQGKMEKSFLSFKSSYPSWEPDSQGKQFLLNIRTFREQKLQTQGTRHADSLGRLWRASPLRGHVDRNGLFPREMQQNIPYTSRDLGSLLLIDAEQKNHPYLLDWYYTSRTRRDTATTRPSEPGELQHEDYWMPTNMTHDEARDEEYWQPHHYDGRSRLQSHLDASTSSSFFHESVLQHHDTNELPHQARSHWWARSGPHGIQPQTSFLEPPDFNRYSSAQRYDNMSERSVEEQDQSLDWRDSQRLSRTTYMEDDLETGGDINLHFDDIYSRPPEAPTVNLRLLSFD >KJB22902 pep chromosome:Graimondii2_0_v6:4:7814014:7819793:-1 gene:B456_004G072800 transcript:KJB22902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRGQKAANALGIFKWRWGGESSLTTGLLGDVPSVIELSDYGRVPPSPGSESPSGLLNGETLNAEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSVGFTICFSGFFLLFVDWNGLRNAKCGMDAFESGIKPCDLAKEALHQHPLTPLTLSKAIIVGYLGLFSIYWMFCFLRFFAQLKETLGIRHFYYNSLHVTDNEIQTMPWATILEKVVQLQSSQHLCVVKDLSAHDVVMRLMRKENYLIGMLNKGVLAFPISAWVPGAGPTVKSGPYRTQHCLILTKTLEWTLNWCILQSMFDRNFCVRRDFISNPRTLKKRLMVVGFAMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSKWMFREFNEIFGRNLFWYAAVFGTITAISRAAVTDELLVLDPEGAMSMVVQHTHYMPKRWRGKENTETVRKEFETLFQYTGMMLLEEMASIFLTPFLLLFVVPKRVDDILQFIADFTVDIEGVGHVCSFSAFDFQNHGNGNYGSPSNAPRSQRSSQGKMEKSFLSFKSSYPSWEPDSQGKQFLLNIRTFREQKLQTQGTRHADSLGRLWRASPLRGHVDRNGLFPREMQQNIPYTSRDLGSLLLIDAEQKNHPYLLDWYYTSRTRRDTATTRPSEPGELQHEDYWMPTNMTHDEARDEEYWQPHHYDGRSRLQSHLDASTSSSFFHESVLQHHDTNELPHQARSHWWARSGPHGIQPQTSFLEPPDFNRYSSAQRYDNMSERSVEEQDQSLDWRDSQRLSRTTYMEDDLETGGDINLHFDDIYSRPPEAPTVNLRLLSFD >KJB22658 pep chromosome:Graimondii2_0_v6:4:5717674:5718509:1 gene:B456_004G059200 transcript:KJB22658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVYLDSKHQGWIKVLVSSGWRVFENEKTDVWSDFLGLLSFRGITVEKIPTRDSTKQLEPTILVQCEHHLLPFHGIVHIGYIRPADGFNPVGTSLLQLIVHFFGFKLQVQERLTRQIAEALSPILGGDIMVVVEANHTCMISKEIEKLGSNTTTIAILGQFSTDPATRSVLLQNIPNNTTSGTL >KJB25173 pep chromosome:Graimondii2_0_v6:4:49027742:49028784:1 gene:B456_004G179900 transcript:KJB25173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKVLVVGGTGYIGRRIVKASLAEGHETYVLQRPEIGLDIDKLQILLSFKKQGAHLIEGSFNDHKSLVDAVKQVDVVICTMSGVHFRSHNILLQLKLVEAIKEAGNVKRFLPSEFGMDPARMGHALEPGRVTFDEKMVVRKAIEEANIPFTYVIANCFAGYFVGNLSQLGRLTPPKDKVYLYGDGNVKGTFIYLLNAINTCIN >KJB25174 pep chromosome:Graimondii2_0_v6:4:49027650:49029320:1 gene:B456_004G179900 transcript:KJB25174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKVLVVGGTGYIGRRIVKASLAEGHETYVLQRPEIGLDIDKLQILLSFKKQGAHLIEGSFNDHKSLVDAVKQVDVVICTMSGVHFRSHNILLQLKLVEAIKEAGNVKRFLPSEFGMDPARMGHALEPGRVTFDEKMVVRKAIEEANIPFTYVIANCFAGYFVGNLSQLGRLTPPKDKVYLYGDGNVKGFDLAGQVGVGHFYHIFYEGCLTNFEIGEGSEEASKLYPQVEYTRMDDYMKIYV >KJB25172 pep chromosome:Graimondii2_0_v6:4:49027537:49029505:1 gene:B456_004G179900 transcript:KJB25172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKVLVVGGTGYIGRRIVKASLAEGHETYVLQRPEIGLDIDKLQILLSFKKQGAHLIEGSFNDHKSLVDAVKQVDVVICTMSGVHFRSHNILLQLKLVEAIKEAGNVKRFLPSEFGMDPARMGHALEPGRVTFDEKMVVRKAIEEANIPFTYVIANCFAGYFVGNLSQLGRLTPPKDKVYLYGDGNVKVAFTDEEDVATYTIKAIDDPTTLNKTLYIRPPHNILTQSELIQKWETLTGNKLDKISTTADDFLASMKGFDLAGQVGVGHFYHIFYEGCLTNFEIGEGSEEASKLYPQVEYTRMDDYMKIYV >KJB21761 pep chromosome:Graimondii2_0_v6:4:960926:968056:1 gene:B456_004G013200 transcript:KJB21761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKSVSFARAMGCCGCFGFTARPKQTSRPNCRSNFKISRELLLEEEIEEEDDDDCSYYSEVTNTAHRDEVESIGRAKRSEEILRFKLDNGLICRQFPVKETNRIVRSEDGNGNKMINEYVREYKIGSGSYGKVVLYRNSIDGKHYAIKAFHKSQLSKLRVALTETALTDVLREILIMKVLEHPNIVNLIEVIDDPSMDQFYMVLEYVESKWVCDGSGPPGGIGEDTARKYVRDIVSGLMYLHDHNIVHGDIKPDNLLITSTGTVKIGDFSVSQVFENDNDELRRTPGTPVFTAPECCLGSVYHGKAADTWAVGVTLYCMVLGKYPFLGETLQDTYEKIVNNPLILPNDMNSELRSLLEGLLCKDPKERMTLNDVAKHPWVIGKYGPIPQYMCWCKRNNTDEGRNPIRGMMILNGN >KJB21763 pep chromosome:Graimondii2_0_v6:4:961189:968056:1 gene:B456_004G013200 transcript:KJB21763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKSVSFARAMGCCGCFGFTARPKQTSRPNCRSNFKISRELLLEEEIEEEDDDDCSYYSEVTNTAHRDEVESIGRAKRSEEILRFKLDNGLICRQFPVKETNRIVRSEDGNGNKMINEYVREYKIGSGSYGKVVLYRNSIDGKHYAIKAFHKSQLSKLRVALTETALTDVLREILIMKVLEHPNIVNLIEVIDDPSMDQFYMVLEYVESKWVCDGSGPPGGIGEDTARKYVRDIVSGLMYLHDHNIVHGDIKPDNLLITSTGTVKIGDFSVSQVFENDNDELRRTPGTPVFTAPECCLGSVYHGKAADTWAVGVTLYCMVLGKYPFLDC >KJB21765 pep chromosome:Graimondii2_0_v6:4:961189:968056:1 gene:B456_004G013200 transcript:KJB21765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKSVSFARAMGCCGCFGFTARPKQTSRPNCRSNFKISRELLLEEEIEEEDDDDCSYYSEVTNTAHRDEVESIGRAKRSEEILRFKLDNGLICRQFPVKETNRIVRSEDGNGNKMINEYVREYKIGSGSYGKVVLYRNSIDGKHYAIKILIMKVLEHPNIVNLIEVIDDPSMDQFYMVLEYVESKWVCDGSGPPGGIGEDTARKYVRDIVSGLMYLHDHNIVHGDIKPDNLLITSTGTVKIGDFSVSQVFENDNDELRRTPGTPVFTAPECCLGSVYHGKAADTWAVGVTLYCMVLGKYPFLGETLQDTYEKIVNNPLILPNDMNSELRSLLEGLLCKDPKERMTLNDVAKHPWVIGKYGPIPQYMCWCKRNNTDEGRNPIRGMMILNGN >KJB21764 pep chromosome:Graimondii2_0_v6:4:961189:968056:1 gene:B456_004G013200 transcript:KJB21764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKSVSFARAMGCCGCFGFTARPKQTSRPNCRSNFKISRELLLEEEIEEEDDDDCSYYSEVTNTAHRDEVESIGRAKRSEEILRFKLDNGLICRQFPVKETNRIVRSEAFHKSQLSKLRVALTETALTDVLREILIMKVLEHPNIVNLIEVIDDPSMDQFYMVLEYVESKWVCDGSGPPGGIGEDTARKYVRDIVSGLMYLHDHNIVHGDIKPDNLLITSTGTVKIGDFSVSQVFENDNDELRRTPGTPVFTAPECCLGSVYHGKAADTWAVGVTLYCMVLGKYPFLGETLQDTYEKIVNNPLILPNDMNSELRSLLEGLLCKDPKERMTLNDVAKHPWVIGKYGPIPQYMCWCKRNNTDEGRNPIRGMMILNGN >KJB21766 pep chromosome:Graimondii2_0_v6:4:961189:968056:1 gene:B456_004G013200 transcript:KJB21766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKSVSFARAMGCCGCFGFTARPKQTSRPNCRSNFKISRELLLEEEIEEEDDDDCSYYSEVTNTAHRDEVESIGRAKRSEEILRFKLDNGLICRQFPVKETNRIVRSEDGNGNKMINEYVREYKIGSGSYGKVVLYRNSIDGKHYAIKAFHKSQLSKLRVALTETALTDVLREILIMKVLEHPNIVNLIEVIDDPSMDQFYMVLEYVESKWVCDGSGPPGGIGEDTARKYVRDIVSGLMYLHDHNDNDELRRTPGTPVFTAPECCLGSVYHGKAADTWAVGVTLYCMVLGKYPFLGETLQDTYEKIVNNPLILPNDMNSELRSLLEGLLCKDPKERMTLNDVAKHPWVIGKYGPIPQYMCWCKRNNTDEGRNPIRGMMILNGN >KJB21762 pep chromosome:Graimondii2_0_v6:4:961189:967613:1 gene:B456_004G013200 transcript:KJB21762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKSVSFARAMGCCGCFGFTARPKQTSRPNCRSNFKISRELLLEEEIEEEDDDDCSYYSEVTNTAHRDEVESIGRAKRSEEILRFKLDNGLICRQFPVKETNRIVRSEDGNGNKMINEYVREYKIGSGSYGKVVLYRNSIDGKHYAIKAFHKSQLSKLRVALTETALTDVLREILIMKVLEHPNIVNLIEVIDDPSMDQFYMVLEYVESKWVCDGSGPPGGIGEDTARKYVRDIVSGLMYLHDHNIVHGDIKPDNLLITSTGTVKIGDFSVSQVFENDNDELRRTPGTPVFTAPECCLGSVYHGKAADTWAVGVTLYCMVLGKYPFLGETLQDTYEKVCKGLHTLSRYIFLSPTANKYFVHFLLADC >KJB21767 pep chromosome:Graimondii2_0_v6:4:963768:967372:1 gene:B456_004G013200 transcript:KJB21767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKSVSFARAMGCCGCFGFTARPKQTSRPNCRSNFKISRELLLEEEIEEEDDDDCSYYSEVTNTAHRDEVESIGRAKRSEEILRFKLDNGLICRQFPVKETNRIVRSEDGNGNKMINEYVREYKIGSGSYGKVVLYRNSIDGKHYAIKAFHKSQLSKLRVALTETALTDVLREILIMKVLEHPNIVNLIEVIDDPSMDQFYMVLEYVESKWVCDGSGPPGGIGEDTARKYVRDIVSGLMYLHDHNIVHGDIKPDNLLITSTGTVKIGDFSVSQVFENDNDELRRTPGTPVFTAPECCLGSVYHGKAADTWAVGVTLYCMVLGKYPFLGETLQDTYEKIVNNPLILPNDMNSELRSLLEGLLCKG >KJB21823 pep chromosome:Graimondii2_0_v6:4:1141230:1142536:1 gene:B456_004G015800 transcript:KJB21823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTKAIEANDGKPLPLYQKALCGLTAGAIGACFGSPADLALIRMQADATLPAAQRRNYSNAFHALYRIVGDEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFKDSLGLGEAATVIGASAVSGFFASACSLPFDYVKTQIQKMQPDAEGKYPYNGSLDCAMKTLKSGGPLKFYTGFPVYCVRIAPHVMMTWIFLNQIQKAQKNMGL >KJB21821 pep chromosome:Graimondii2_0_v6:4:1139970:1142560:1 gene:B456_004G015800 transcript:KJB21821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEKKTQTGVWPKIKPFVNGGTSGMLATCVIQPIDMIKVRIQLGQGSAATVTKTMLRNEGIGAFYKGLSAGLLRQATYTTARLGSFKMLTTKAIEANDGKPLPLYQKALCGLTAGAIGACFGSPADLALIRMQADATLPAAQRRNYSNAFHALYRIVGDEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFKDSLGLGEAATVIGASAVSGFFASACSLPFDYVKTQIQKMQPDAEGKYPYNGSLDCAMKTLKSGGPLKFYTGFPVYCVRIAPHVMMTWIFLNQIQKAQKNMGL >KJB21822 pep chromosome:Graimondii2_0_v6:4:1140148:1142536:1 gene:B456_004G015800 transcript:KJB21822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEKKTQTGVWPKIKPFVNGGTSGMLATCVIQPIDMIKVRIQLGQGSAATVTKTMLRNEGIGAFYKGLSAGLLRQATYTTARLGSFKMLTTKAIEANDGKPLPLYQKALCGLTAGAIGACFGSPADLALIRMQADATLPAAQRRNYSNAFHALYRIVGDEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFKDSLGLGEAATVIGASAVSGFFASACSLPFDYVKTQIQKMQPDAEGKYPYNGSLDCAMKTLKSGGPLKFYTGFPVYCVRIAPHVMMTWIFLNQIQKAQKNMGL >KJB24423 pep chromosome:Graimondii2_0_v6:4:40803243:40804795:1 gene:B456_004G144900 transcript:KJB24423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKEGVLKMEEELGNSFSDYFPLQSIFDWSCEGGGNMPLGFMELLGVQDLKPPLFDMGQQQAPSAVVNQALNPCSTKNERFNYQPATPNSSSISSASSEAVNDEPIQVDDDQEEDQQKTKKQLKPKKTNQKRQSEPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSSFPRSYYRCTTTSCNVKKRVERSFTDPSMVITTYEGQHTHPSPIKPRSSLGGGAGSAPYHYRQQRQPFVNTLPPLNFGHNNGSIKAGNFHHERRFCSPGSSLLKDHGLLQDVIPSHMLKEE >KJB25296 pep chromosome:Graimondii2_0_v6:4:49838030:49839715:1 gene:B456_004G184200 transcript:KJB25296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EHSPYGDNCTFLYDEQSKNRRWWWECGPNAKTRICNKGELTGYCPFGNRAGAYLCRYFLKNVLAIWNYGRNPSAATAATEGGADMSDGLDPELIQAFPTFYYSSVKDFCPQKYSLECAICLAEFSDGDMLRFLTICCHVFHQECIDHWLESHKTCPVCRQELDVTSKSIVNVSSLQDSVCIEVSEDINNKVDGVEDAQCSLNSKEQHDKKHESIERYLRSHSTGHSITIEEEENKHTLRLPDNVRIKIVRRHKSEGSYIAFGEFTSCEET >KJB24004 pep chromosome:Graimondii2_0_v6:4:32451135:32452073:1 gene:B456_004G124600 transcript:KJB24004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTLCCSSSPLVSDRAKPSCSIKAVTPFSVSFPSKNPCISRLSLVRAQAAGDNNKDMSVDVHVNKDNQGTAVEKRPRGLAVDVSPFGKSMRQMLDTMDRIFEDAMILPGSRSRTGGQVRAPWDIKDDEQEIKMRFDMPGLTKEDVKVSVEDDILVIKGEHKKEEEGEDDSWTNRSYSSYDTRLQLPDNSEKDKIKAELKNGVLFISIPKSKVERKVMDVQIQ >KJB24003 pep chromosome:Graimondii2_0_v6:4:32451404:32451988:1 gene:B456_004G124600 transcript:KJB24003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKTTKAQLLRRGLEGWLSMSLLLESLLDPLSPLRSMRQMLDTMDRIFEDAMILPGSRSRTGGQVRAPWDIKDDEQEIKMRFDMPGLTKEDVKVSVEDDILVIKGEHKKEEEGEDDSWTNRSYSSYDTRLQLPDNSEKDKIKAELKNGVLFISIPKSKVERKVMDVQIQ >KJB24002 pep chromosome:Graimondii2_0_v6:4:32451055:32452073:1 gene:B456_004G124600 transcript:KJB24002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKTTKAQLLRRGLEGLLDPLSPLRSMRQMLDTMDRIFEDAMILPGSRSRTGGQVRAPWDIKDDEQEIKMRFDMPGLTKEDVKVSVEDDILVIKGEHKKEEEGEDDSWTNRSYSSYDTRLQLPDNSEKDKIKAELKNGVLFISIPKSKVERKVMDVQIQ >KJB26967 pep chromosome:Graimondii2_0_v6:4:60405890:60415339:1 gene:B456_004G269200 transcript:KJB26967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRLNKLKTEMKVVSKEQKSIKEGQRQVGAKLQGINYECEQLRRETNRIIQQTATTQIRLALMFNILKAREEGDFAKAHQLTALLREVVAMDELIAQI >KJB22068 pep chromosome:Graimondii2_0_v6:4:2191527:2195959:-1 gene:B456_004G027900 transcript:KJB22068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDIGNEKCVIAAVKQRGVDVLLNDESKRETPALVCFGEKQRFLGSAAAASAMMHPKTTVSQVKRLIGRKFQDPDVQNELRMLPFETSEGQDGGILIHLKYLGETHRFTPVQIMAMLFAHLKYMTETNLGLPVLDCVIGIPSYFTDLQRRAYLDAAKIAGLKPLRLMHDCTATALGYGIYKTDFSNAGPTNVAFVDIGHCDTQVSIVSFEAGQMRILSHAFDNSLGGRDFDEILFGYFAACFKEQYNIDVYSNVRASIRLRAACEKLKKVLSANAEAPLNIECLMDEKDVKGFIKREEFEKLASGLLERINIPCTKAITDAGLTVGKILAVELVGSGSRIPAITRQLASFFRREPSRTINASECVARGCALQCAMLSPIFRVRDYEVQDCIPFSIGFSLDKTPILQGSNYVLFPRGQPIPSAIVLQLQRSSLFHLEPFYANPNELPSGVPSEISCFTIGPFQASNSERARVKVKVQLNLHGIVNVESAMLIEEHVDDSELSTKEVRHVTNGSEDGTYMQPKPSNASADGKTNDKTMRRLEIPVSENINGTMTGVELMEAQDKELKLAQQDRTMEQTKEKKNALESYVYEMRNKLFNIYRGFASDEEREGISKSLQETEEWLYDDGEDETEGAYTSKLEALKKLVDPVENRFKDEEARTQASTDLFKCIADIRMSTKSLPNEDRESILNECNKAEQWLTEKTKQQHSLPKNIDPLLWSSEIKKMTEDLNMKCMHIMSRKASHRNLDNKGRDQRG >KJB22069 pep chromosome:Graimondii2_0_v6:4:2191533:2195959:-1 gene:B456_004G027900 transcript:KJB22069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDIGNEKCVIAAVKQRGVDVLLNDESKRETPALVCFGEKQRFLGSAAAASAMMHPKTTVSQVKRLIGRKFQDPDVQNELRMLPFETSEGQDGGILIHLKYLGETHRFTPVQIMAMLFAHLKYMTETNLGLPVLDCVIGIPSYFTDLQRRAYLDAAKIAGLKPLRLMHDCTATALGYGIYKTDFSNAGPTNVAFVDIGHCDTQVSIVSFEAGQMRILSHAFDNSLGGRDFDEILFGYFAACFKEQYNIDVYSNVRASIRLRAACEKLKKVLSANAEAPLNIECLMDEKDVKGFIKREEFEKLASGLLERINIPCTKAITDAGLTVGKILAVELVGSGSRIPAITRQLASFFRREPSRTINASECVARGCALQCAMLSPIFRVRDYEIGPFQASNSERARVKVKVQLNLHGIVNVESAMLIEEHVDDSELSTKEVRHVTNGSEDGTYMQPKPSNASADGKTNDKTMRRLEIPVSENINGTMTGVELMEAQDKELKLAQQDRTMEQTKEKKNALESYVYEMRNKLFNIYRGFASDEEREGISKSLQETEEWLYDDGEDETEGAYTSKLEALKKLVDPVENRFKDEEARTQASTDLFKCIADIRMSTKSLPNEDRESILNECNKAEQWLTEKTKQQHSLPKNIDPLLWSSEIKKMTEDLNMKCMHIMSRKASHRNLDNKGRDQRG >KJB22070 pep chromosome:Graimondii2_0_v6:4:2191533:2195998:-1 gene:B456_004G027900 transcript:KJB22070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDIGNEKCVIAAVKQRGVDVLLNDESKRETPALVCFGEKQRFLGSAAAASAMMHPKTTVSQVKRLIGRKFQDPDVQNELRMLPFETSEGQDGGILIHLKYLGETHRFTPVQIMAMLFAHLKYMTETNLGLPVLDCVIGIPSYFTDLQRRAYLDAAKIAGLKPLRLMHDCTATALGYGIYKTDFSNAGPTNVAFVDIGHCDTQVSIVSFEAGQMRILSHAFDNSLGGRDFDEILFGYFAACFKEQYNIDVYSNVRASIRLRAACEKLKKVLSANAEAPLNIECLMDEKDVKGFIKREEFEKLASGLLERINIPCTKAITDAGLTVGKILAVELVGSGSRIPAITRQLASFFRREPSRTINASECVARGCALQCAMLSPIFRVRDYEVQDCIPFSIGFSLDKTPILQGSNYVLFPRGQPIPSAIVLQLQRSSLFHLEPFYANPNELPSGVPSEISCFTIGPFQASNSERARVKVKVQLNLHGIVNVESAMLIEEHVDDSELSTKEVRHVTNGSEDGTYMQPKPSNASADGKTNDKTMRRLEIPVSENINGTMTGVELMEAQDKELKLAQQDRTMEQTKEKKNALESYVYEMRNKLFNIYRGFASDEEREGISKSLQETEEWLYDDGEDETEGAYTSKLEALKKLVDPVENRFKDEEARTQASTDLFKCIADIRMSTKSLPNEDRESILNECNKAEQWLTEKTKQQHSLPKNIDPLLWSSEIKKMTEDLNMKCMHIMSRKASHRNLDNKGRDQRG >KJB24072 pep chromosome:Graimondii2_0_v6:4:33372130:33374210:-1 gene:B456_004G127000 transcript:KJB24072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFKICLLVLALLGPSHPFSYSLVLPNPILIFCVASLSLPSTVSHTCSDPPTPPLKTTQLHFHPRIAFLITVSLVLLLALSFAMGLLFRCYRLRKLERRKSTSTVQPDQIKGNDLGVDGEGFVRKFRWEEIKATTKDFSRVIGQGGFSNVYLANLSGSSRGAVKIHAASDRLNQVFKQELDILLRLRHDNIVKLLGYCDDLEEGAMVFEYAPNGNLQEKLHEREKEVLSWKTRTYIAFQLAQAIEYLHEKCTLQIVHGDIKPSNVLLDQYFNCKLCDFGSAKMGFSSTVVPPSCSRTKQVMVGSPGYTDPHYLRTGLASKKNDVYGLGVIILELVTGMKAFCPEKGHLLTSIVAPNLRGISEHGAEEKVAQLVDPRLAGEFDLEEARCLLSIAALCLHQCLTVRPSASQIIEMIKEKITSIGFLFTQAKDCH >KJB21595 pep chromosome:Graimondii2_0_v6:4:153328:157220:1 gene:B456_004G002200 transcript:KJB21595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHLQLLRKSSQTRSRKQLSQLFSTISQISSQPSKPPSFGIAFDIDGVVLRGNTPIGGASRALRRLYDGSGVLKVPFVFLTNGGGIRESKRAAELSELLGVKISPSQVLQGHSPFKQLVNRFENELIVAVGKGEPAVVMSEYGFKNVISIDEYALYFDNIDPLAPYKKWGTIDFAVERNRKCSINSKRVQAAFIVSDSVDWSRDIQVLCDILRTGGLPASEEGPQPPLYFAHDDLKYQGAFPSERLGMGAFRIALESVFNSIHAEALKYTYFGKPNPVAFKNAEVVLKQQASFIYRELNIVNHANSGSHDFQTLYMIGDNPAVDIKGARQWEETVVHIPGWKSLVSHFDKDWCF >KJB22783 pep chromosome:Graimondii2_0_v6:4:6650051:6652556:-1 gene:B456_004G065400 transcript:KJB22783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPYSNLFPGGGFNFVPLNPHYATRFSTTAPPPPPPHPTHSSQPHTNNNIIFNTYSSIEAQTPHPWPAPPQQALPLINYLSPTRQEENEPSSSFMEGDKGMNKEAAAAAAADEEAETVTLHIGLPIPISDLGFGGLSPSAHVTDRLGGNGSAVPGYPLTKGQYWIPTPTQILVGPTQFSCHVCCKTFNRYNNLQMHMWGHGSQYRKGPDSLRGSQPTAMLRLPCYCCAPGCKHNIEHPRARPLKDFRTLQTHYKRKHGVKPFTCRKCDKAFAVKGDWRTHEKNCGKIWYCICGSDFKHKRSLKDHIKAFGPGHAAVGIDCLDEDEEPGSEFELEYESPI >KJB25855 pep chromosome:Graimondii2_0_v6:4:54573200:54574805:1 gene:B456_004G212800 transcript:KJB25855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVKLGANKFSERQPIGTSAQTDKDYKEPPAAPFFEPGELKSWSFYRAGIAEFVATFLFLYITVLTVMGVSQSKTKCATVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMIMQCLGAICGAGVVKGFQGDNRYEMLGGGANVVNHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPVYLGSTSDWVCSVLGSFGHHSHHWNWY >KJB25856 pep chromosome:Graimondii2_0_v6:4:54573051:54575572:1 gene:B456_004G212800 transcript:KJB25856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVKLGANKFSERQPIGTSAQTDKDYKEPPAAPFFEPGELKSWSFYRAGIAEFVATFLFLYITVLTVMGVSQSKTKCATVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMIMQCLGAICGAGVVKGFQGDNRYEMLGGGANVVNHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWDDHWVFWVGPFIGAALAAVYHQIIIRAIPFKTRD >KJB25854 pep chromosome:Graimondii2_0_v6:4:54573051:54575513:1 gene:B456_004G212800 transcript:KJB25854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVKLGANKFSERQPIGTSAQTDKDYKEPPAAPFFEPGELKSWSFYRAGIAEFVATFLFLYITVLTVMGVSQSKTKCATVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMIMQCLGAICGAGVVKGFQGDNRYEMLGGGANVVNHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWDDHVMGVLGWTLHWSCTCCSLPPDNH >KJB25853 pep chromosome:Graimondii2_0_v6:4:54573051:54574044:1 gene:B456_004G212800 transcript:KJB25853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVKLGANKFSERQPIGTSAQTDKDYKEPPAAPFFEPGELKSWSFYRAGIAEFVATFLFLYITVLTVMGVSQSKTKCATVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMIMQCLGAICGAGVVKGFQGDNRYEMLGGGANVVNHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPVCTIFYLP >KJB25425 pep chromosome:Graimondii2_0_v6:4:50755188:50759471:-1 gene:B456_004G190700 transcript:KJB25425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLAAVSEELAEIDGQVADIFRALSNGFQKLEKIKDVNRQSRQLEELTDKMRECKRLIKEFDREVKETERRTDANTHKMLNEKKQSMVKELNSYVALKKQHQSNLENNKRVDLFDGPSEGFGEENVLLASSMTNQQLIDRGNHMMNETDQAIDRAKKVVQDTVDVGTETAAALKAQTEQMSRIVNELDSIHFSIKKASKLVKEIGRQVATDKCIMALLFLIVIGVIAIIIVKLVNPNNKDIRDIPGLAPPAMNRRLLWTPN >KJB25428 pep chromosome:Graimondii2_0_v6:4:50755229:50759427:-1 gene:B456_004G190700 transcript:KJB25428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLAAVSEELAEIDGQVADIFRALSNGFQKLEKIKDVNRQSRQLEELTDKMRECKRLIKEFDREVKETERRTDANTHKMLNEKKQSMVKELNSYVALKKQHQSNLENNKRVDLFDGPSEGFGEENVLLASSMTNQQLIDRGNHMMNETDQAIDRAKKVVQDTVDVGTETAAALKAQTEQMSRIVNELDSIHFSIKKASKLVKEIGRQVNLRNIHSLSFI >KJB25427 pep chromosome:Graimondii2_0_v6:4:50755229:50759427:-1 gene:B456_004G190700 transcript:KJB25427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLAAVSEELAEIDGQVADIFRALSNGFQKLEKIKDVNRQSRQLEELTDKMRECKRLIKEFDREVKETERRTDANTHKMLNEKKQSMVKELNSYVALKKQHQSNLENNKRVDLFDGPSEGFGEENVLLASSMTNQQLIDRGNHMMNETDQAIDRAKKVVQDTVDVGTETAAALKAQVSIKKYQHHSN >KJB25426 pep chromosome:Graimondii2_0_v6:4:50755005:50759471:-1 gene:B456_004G190700 transcript:KJB25426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLAAVSEELAEIDGQVADIFRALSNGFQKLEKIKDVNRQSRQLEELTDKMRECKRLIKEFDREVKETERRTDANTHKMLNEKKQSMVKELNSYVALKKQHQSNLENNKRVDLFDGPSEGFGEENVLLASSMTNQQLIDRGNHMMNETDQAIDRAKKVVQDTVDVGTETAAALKAQTEQMSRIVNELDSIHFSIKKASKLVKEIGRQVATDKCIMALLFLIVIGVIAIIIVKLVNPNNKDIRDIPGLAPPAMNRRLLWTPN >KJB26899 pep chromosome:Graimondii2_0_v6:4:60092835:60094918:-1 gene:B456_004G265200 transcript:KJB26899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MDSGRWRRGAVSRGMLPLLALHAVNEYYRLPWKPPVTAGLLAANTLIYLRPSFLDSLLPFVDEVWFNPHLILKNKDMKRFFLSVFYHVDESHLVYNMMSLLWKGIQLETSMGSTEFASMVVALLGLSQGITLLLAKSLLVFFDYGRPYYSEYAVGFSGLLFAMKVVLNSHSENFTNVHGLIVPARYAAWAELILIQMFVPRVSFLGHLSGIFAGILYLKLKGSYSGPNPLTTIIRELTGLLRWPVRFIRSSFRLRGRRILGRGTVGGGERRSLSGTWRCQACTYDNSDWLSNCEMCGTSRRSESGGFLRQVSPRSRDLSLEELRRRRVERFG >KJB26900 pep chromosome:Graimondii2_0_v6:4:60092848:60094865:-1 gene:B456_004G265200 transcript:KJB26900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MDSGRWRRGAVSRGMLPLLALHAVNEYYRLPWKPPVTAGLLAANTLIYLRPSFLDSLLPFVDEVWFNPHLILKNKDMKRFFLSVFYHVDESHLVYNMMSLLWKGIQLETSMGSTEFASMVVALLGLSQARYAAWAELILIQMFVPRVSFLGHLSGIFAGILYLKLKGSYSGPNPLTTIIRELTGLLRWPVRFIRSSFRLRGRRILGRGTVGGGERRSLSGTWRCQACTYDNSDWLSNCEMCGTSRRSESGGFLRQVSPRSRDLSLEELRRRRVERFG >KJB26898 pep chromosome:Graimondii2_0_v6:4:60092804:60094914:-1 gene:B456_004G265200 transcript:KJB26898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MDSGRWRRGAVSRGMLPLLALHAVNEYYRLPWKPPVTAGLLAANTLIYLRPSFLDSLLPFVDEVWFNPHLILKNKDMKRFFLSVFYHVDESHLVYNMMSLLWKGIQLETSMGSTEFASMVVALLGLSQGITLLLAKSLLVFFDYGRPYYSEYAVGFSGLLFAMKVVLNSHSENFTNVHGLIVPARYAAWAELILIQMFVPRVSFLGHLSGIFAGILYLKLKGSYSGPNPLTTIIRELTGLLRWPVRFIRSSFRLRGRRILGRGTVGGGERRSLSGTWRCQACTYDNSDWLSNCEMCGTSRRSESGGFLRQVSPRSRDLSLEELRRRRVERFG >KJB21563 pep chromosome:Graimondii2_0_v6:4:110958:112534:-1 gene:B456_004G001500 transcript:KJB21563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRLNGLLTFAVTILALMCVIASLSDNLNIPSPSAEIQIMNINWFQKQPKGSDEVSLRMNISADLQSLFTWNTKQVFIFVAAEYETRKNSLNQVSLWDAIIPAKERAKFWIRSSNKYRFVDHGKNLRGKEFNLTLHWHVMPKTGKMFADKIVMSGYSLPEQYI >KJB21564 pep chromosome:Graimondii2_0_v6:4:110355:113058:-1 gene:B456_004G001500 transcript:KJB21564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRLNGLLTFAVTILALMCVIASLSDNLNIPSPSAEIQIMNINWFQKQPKGSDEVSLRMNISADLQSLFTWNTKQVFIFVAAEYETRKNSLNQVSLWDAIIPAKERAKFWIRSSNKYRFVDHGKNLRGKEFNLTLHWHVMPKTGKMFADKIVMSGYSLPEQYI >KJB21562 pep chromosome:Graimondii2_0_v6:4:110355:112947:-1 gene:B456_004G001500 transcript:KJB21562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRLNGLLTFAVTILALMCVIASLSDNLNIPSPSAEIQIMNINWFQKQPKGSDEVSLRMNISADLQSLFTWNTKQVFIFVAAEYETRKNSLNQVSLWDAIIPAKERAKFWIRSSNKYRFVDHGKNLRGKEFNLTLHWHVMPKTGKMFADKIVMSGYSLPEQYI >KJB26587 pep chromosome:Graimondii2_0_v6:4:58614065:58616798:-1 gene:B456_004G248800 transcript:KJB26587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRRMKHEGVLHTALSCDDILCNILIRLPPKSITKFVIVSKRWLHLICSSSFRHSYLSRWRVGFNLLGFFVCNSLYLGRPKGGARRPRSEPALPLLSSSREGDDLKFSGVLKKLGYFIDSSDGLLLCGRHPKTYFVWNPITKQQYKLPHPRVHFEELCMAFIVEDSPDDEICYRVVRAKCESRFEEVYVVTIETFLSKISTWCYSKLRCSSTISLSPWTSGTVIGGVIHWYAAQGNIAIYDPYHHEKHIALVKLPGPFDFDEQVLGESSDGCLQYGWSCKAGLQIWVLEKGFDGYSSLFSTNEQSSLSWSLRYKLNFKIMWRKNPTLATKCVTRKETEILAFSPQDSKSVFIRSGSSIYLYHIGSGRMEVIQYQGRGSSILSDFSKVVPYFKRAWPQSTLCCGGNSST >KJB26588 pep chromosome:Graimondii2_0_v6:4:58614357:58615586:-1 gene:B456_004G248800 transcript:KJB26588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRRMKHEGVLHTALSCDDILCNILIRLPPKSITKFVIVSKRWLHLICSSSFRHSYLSRWRVGFNLLGFFVCNSLYLGRPKGGARRPRSEPALPLLSSSREGDDLKFSGVLKKLGYFIDSSDGLLLCGRHPKTYFVWNPITKQQYKLPHPRVHFEELCMAFIVEDSPDDEICYRVVRAKCESRFEEVYVVTIETFLSKISTWCYSKLRCSSTISLSPWTSGTVIGGVIHWYAAQGNIAIYDPYHHEKHIALVKLPGPFDFDEQVLGESSDGCLQYGWSCKAGLQIWVLEKGFDGYSSLFSTNEQSSLSWSLRYKLNFKIMWRKNPTLATKCVTRKETEILAFSPQDSKSVFIRSGSSIYLYHIGSGRMEVIQYQGRGSSILSDFSKVVPYFKRAWPQSTLCCGGNSST >KJB26586 pep chromosome:Graimondii2_0_v6:4:58614148:58616777:-1 gene:B456_004G248800 transcript:KJB26586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRRMKHEGVLHTALSCDDILCNILIRLPPKSITKFVIVSKRWLHLICSSSFRHSYLSRWRVGFNLLGFFVCNSLYLGRPKGGARRPRSEPALPLLSSSREGDDLKFSGVLKKLGYFIDSSDGLLLCGRHPKTYFVWNPITKQQYKLPHPRVHFEELCMAFIVEDSPDDEICYRVVRAKCESRFEEVYVVTIETFLSKISTWCYSKLRCSSTISLSPWTSGTVIGGVIHWYAAQGNIAIYDPYHHEKHIALVKLPGPFDFDEQVLGESSDGCLQYGWSCKAGLQIWVLEKGFDGYSSLFSTNEQSSLSWSLRYKLNFKIMWRKNPTLATKCVTRKETEILAFSPQDSKSVFIRSGSSIYLYHIGSGRMEVIQYQGRGSSILSDFSKVVPYFKRAWPQSTLCCGGNSST >KJB26208 pep chromosome:Graimondii2_0_v6:4:56522090:56528437:1 gene:B456_004G229800 transcript:KJB26208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWKLTSLSASSPVESILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLRYVVEEPPEDADSKRVFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLEPNRPHSALLAGYFSKVVVCLMLRKTVPIMNYVQVHQDVFRQLVDLIGITSIMEVLVRLVGGDDHVYPNFLDVMQWLADSNLLEMIVDKLSPSCPPEVHANAAETLCTITRNSPSALATKLSSPSFVVRIFGHALEDSHSKSGLIHSLSVCISLLDPKRSAIVSPLMHSFRHQHMYEPSIPVNSETINAMLPKLGDLLMLLNVSSDEKILPTTYGELRPPLGKHRLKIVEFIAVLLRIGNEAAEKELVNTGTIQRVIDLFFEYPYNNALHHHVGSIILSCLESKNDAIVDHLLQECDLIGKFLQTDKNPVLSGDSNQPTLPAAGKCAHRVGNIGHITRISNKILQLGSSNSRIQACIQENSEWNEWQTNVLQERNAVENVYRWACGRPTAFQDRTRDSDEDDLHDRDYDVAALANNLSQAFSYKIYGNDDNEEDHGGLGRDDKDVYFDDESAEVVISSLRLSDDQGSSLFTNSNWFAFQDDKIGNEPMATSPTEVFDDVNLNGTANGGNSSSDDEVIVGEEDELNESKQSMNGTSTSDAMNGFKNSMNGGDLDPQGEKANASNDMGFFRFDTTENKDLLGDRPLPEWVGWGESSDFQVGGSSKNPFLDDDSSDVNLARTTETVMTDVGQPSNGESILANGSSDSVDMSDGSVSSNSSKKSPPSVRSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNIIPNVPEKENSDEVGAGIKEFNDANYWRVDKEVTVSE >KJB26207 pep chromosome:Graimondii2_0_v6:4:56521899:56528442:1 gene:B456_004G229800 transcript:KJB26207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWKLTSLSASSPVESILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLRYVVEEPPEDADSKRVFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLEPNRPHSALLAGYFSKVVVCLMLRKTVPIMNYVQVLVRLVGGDDHVYPNFLDVMQWLADSNLLEMIVDKLSPSCPPEVHANAAETLCTITRNSPSALATKLSSPSFVVRIFGHALEDSHSKSGLIHSLSVCISLLDPKRSAIVSPLMHSFRHQHMYEPSIPVNSETINAMLPKLGDLLMLLNVSSDEKILPTTYGELRPPLGKHRLKIVEFIAVLLRIGNEAAEKELVNTGTIQRVIDLFFEYPYNNALHHHVGSIILSCLESKNDAIVDHLLQECDLIGKFLQTDKNPVLSGDSNQPTLPAAGKCAHRVGNIGHITRISNKILQLGSSNSRIQACIQENSEWNEWQTNVLQERNAVENVYRWACGRPTAFQDRTRDSDEDDLHDRDYDVAALANNLSQAFSYKIYGNDDNEEDHGGLGRDDKDVYFDDESAEVVISSLRLSDDQGSLFTNSNWFAFQDDKIGNEPMATSPTEVFDDVNLNGTANGGNSSSDDEVIVGEEDELNESKQSMNGTSTSDAMNGFKNSMNGGDLDPQGEKANASNDMGFFRFDTTENKDLLGDRPLPEWVGWGESSDFQVGGSSKNPFLDDDSSDVNLARTTETVMTDVGQPSNGESILANGSSDSVDMSDGSVSSNSSKKSPPSVRSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNIIPNVPEKENSDEVGAGIKEFNDANYWRVDKEVTVSE >KJB26206 pep chromosome:Graimondii2_0_v6:4:56521754:56528489:1 gene:B456_004G229800 transcript:KJB26206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWKLTSLSASSPVESILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLRYVVEEPPEDADSKRVFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLEPNRPHSALLAGYFSKVVVCLMLRKTVPIMNYVQVHQDVFRQLVDLIGITSIMEVLVRLVGGDDHVYPNFLDVMQWLADSNLLEMIVDKLSPSCPPEVHANAAETLCTITRNSPSALATKLSSPSFVVRIFGHALEDSHSKSGLIHSLSVCISLLDPKRSAIVSPLMHSFRHQHMYEPSIPVNSETINAMLPKLGDLLMLLNVSSDEKILPTTYGELRPPLGKHRLKIVEFIAVLLRIGNEAAEKELVNTGTIQRVIDLFFEYPYNNALHHHVGSIILSCLESKNDAIVDHLLQECDLIGKFLQTDKNPVLSGDSNQPTLPAAGKCAHRVGNIGHITRISNKILQLGSSNSRIQACIQENSEWNEWQTNVLQERNAVENVYRWACGRPTAFQDRTRDSDEDDLHDRDYDVAALANNLSQAFSYKIYGNDDNEEDHGGLGRDDKDVYFDDESAEVVISSLRLSDDQGSLFTNSNWFAFQDDKIGNEPMATSPTEVFDDVNLNGTANGGNSSSDDEVIVGEEDELNESKQSMNGTSTSDAMNGFKNSMNGGDLDPQGEKANASNDMGFFRFDTTENKDLLGDRPLPEWVGWGESSDFQVGGSSKNPFLDDDSSDVNLARTTETVMTDVGQPSNGESILANGSSDSVDMSDGSVSSNSSKKSPPSVRSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNIIPNVPEKENSDEVGAGIKEFNDANYWRVDKEVTVSE >KJB25812 pep chromosome:Graimondii2_0_v6:4:54324440:54326327:-1 gene:B456_004G210700 transcript:KJB25812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVTLTGLLHKAAAEFPTRRALSVSGKFDLTHAQLQHIIDHAASLLIASGIHPGDVVALTFPNTVELVIMFLAVIRCRATAAPLNSAYTAEEFEFYLSDSESKLLLIPAEGIKPAEAAASKLNIPLVSAALQDENSKITLSSNPESNIDSVKQLVNDPADVSLFLHTSGTTSRPKGVALTQLNLGTSVLNIKSVYELTESDSTVIVLPLFHVHGLLAGLLSSLVSGAAVTLPAAGRFSASTFWTDMIKYKATWYTAVPTIHQIILDRHESNPERPRYPKLRFIRSCSASLAPSILERLEAAFDAPVLEAYAMTEASHLMTSNPLLNNGPHKAGSVGKPVGQDMAILNEQGEIQPPYSNGEVCIRGLNVTKGYKNNPEANKSAFQFGWFHTGDVGFFDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLAHPAVTQAVAFGVPDEKYGEEVRKSNSWF >KJB25810 pep chromosome:Graimondii2_0_v6:4:54324000:54326380:-1 gene:B456_004G210700 transcript:KJB25810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLAVIRCRATAAPLNSAYTAEEFEFYLSDSESKLLLIPAEGIKPAEAAASKLNIPLVSAALQDENSKITLSSNPESNIDSVKQLVNDPADVSLFLHTSGTTSRPKGVALTQLNLGTSVLNIKSVYELTESDSTVIVLPLFHVHGLLAGLLSSLVSGAAVTLPAAGRFSASTFWTDMIKYKATWYTAVPTIHQIILDRHESNPERPRYPKLRFIRSCSASLAPSILERLEAAFDAPVLEAYAMTEASHLMTSNPLLNNGPHKAGSVGKPVGQDMAILNEQGEIQPPYSNGEVCIRGLNVTKGYKNNPEANKSAFQFGWFHTGDVGFFDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLAHPAVTQAVAFGVPDEKYGEEINCAVILRGGSLDEEEIKKYCKRNLASFKVPKKVFITDSVPKTATGKIQRRIVAEHFLAKTSAAKVPKFGA >KJB25809 pep chromosome:Graimondii2_0_v6:4:54323853:54326404:-1 gene:B456_004G210700 transcript:KJB25809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVTLTGLLHKAAAEFPTRRALSVSGKFDLTHAQLQHIIDHAASLLIASGIHPGDVVALTFPNTVELVIMFLAVIRCRATAAPLNSAYTAEEFEFYLSDSESKLLLIPAEGIKPAEAAASKLNIPLVSAALQDENSKITLSSNPESNIDSVKQLVNDPADVSLFLHTSGTTSRPKGVALTQLNLGTSVLNIKSVYELTESDSTVIVLPLFHVHGLLAGLLSSLVSGAAVTLPAAGRFSASTFWTDMIKYKATWYTAVPTIHQIILDRHESNPERPRYPKLRFIRSCSASLAPSILERLEAAFDAPVLEAYAMTEASHLMTSNPLLNNGPHKAGSVGKPVGQDMAILNEQGEIQPPYSNGEVCIRGLNVTKGYKNNPEANKSAFQFGWFHTGDVGFFDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLAHPAVTQAVAFGVPDEKYGEEINCAVILRGGSLDEEEIKKYCKRNLASFKVPKKVFITDSVPKTATGKIQRRIVAEHFLAKTSAAKVPKFGA >KJB25811 pep chromosome:Graimondii2_0_v6:4:54324633:54326327:-1 gene:B456_004G210700 transcript:KJB25811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVTLTGLLHKAAAEFPTRRALSVSGKFDLTHAQLQHIIDHAASLLIASGIHPGDVVALTFPNTVELVIMFLAVIRCRATAAPLNSAYTAEEFEFYLSDSESKLLLIPAEGIKPAEAAASKLNIPLVSAALQDENSKITLSSNPESNIDSVKQLVNDPADVSLFLHTSGTTSRPKGVALTQLNLGTSVLNIKSVYELTESDSTVIVLPLFHVHGLLAGLLSSLVSGAAVTLPAAGRFSASTFWTDMIKYKATWYTAVPTIHQIILDRHESNPERPRYPKLRFIRSCSASLAPSILERLEAAFDAPVLEAYAMTEASHLMTSNPLLNNGPHKAGSVGKPVGQDMAILNEQGEIQPPYSNGEVCIRGLNVTKGYKNNPEANKSAFQFGWFHTGDVGFFDSDGYLHLVGRIKELINRGGIISLLINCVE >KJB27161 pep chromosome:Graimondii2_0_v6:4:61301055:61305132:-1 gene:B456_004G281400 transcript:KJB27161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIIPMASSSIRPSLSPFRCSGGVSSSRFGVSLSPVHLHRHLGFSNLGSVVPQSQFFGFKASKMSRTEETKLGKPLVGNVVRASTAAAQETEALEWVEKDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGTAFGHFGIAVEDVAKTVELVKAKGGKVTREPGPVKGGSTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRSINFYEKAFGMKLLRTRDNPQYKP >KJB27160 pep chromosome:Graimondii2_0_v6:4:61301051:61305225:-1 gene:B456_004G281400 transcript:KJB27160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIIPMASSSIRPSLSPFRCSGGVSSSRFGVSLSPVHLHRHLGFSNLGSVVPQSQFFGFKASKMSRTEETKLGKPLVGNVVRASTAAAQETEALEWVEKDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGTAFGHFGIAVEDVAKTVELVKAKGGKVTREPGPVKGGSTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRSINFYEKAFGMKLLRTRDNPQYKYTIAMMGYGPEDKNAVLELTYNYGVTDYDKGNAYAQIAIGTDDVYKTAEAVRLFGGKITREPGPLPGINTKITACLDPDGWKTVFVDNIDFLKELE >KJB27162 pep chromosome:Graimondii2_0_v6:4:61301641:61305132:-1 gene:B456_004G281400 transcript:KJB27162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIIPMASSSIRPSLSPFRCSGGVSSSRFGVSLSPVHLHRHLGFSNLGSVVPQSQFFGFKASKMSRTEETKLGKPLVGNVVRASTAAAQETEALEWVEKDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGTAFGHFGIAVEDVAKTVELVKAKGGKVTREPGPVKGGSTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRSINFYEKAFGMKLLRTRDNPQYKYTIAMMGYGPEDKNAVLELTYNYGVTDYDKGNAYAQVENFLLSFHFSVKKIVFVTAFSMFHDSSCFL >KJB27260 pep chromosome:Graimondii2_0_v6:4:61741999:61742929:1 gene:B456_004G287300 transcript:KJB27260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKASFFFSSSSTTGMDSAEPPPHGLTKEEYTELKPLIQTYHKFEPIPNTCTSLITQRIDAPVRAVWPFIRSFEAPQKYKHFIKSCNMSSGDGGVGSVREVTVVSGLPASKSTERLEMLDDEKHILSFRVVGGEHRLRNYRSVTSVSEFKEEGKVYTVVLESYIVDIPEGNSGEDTKMFVDTVVKLNLQKLGVIAIAAGSVHGHD >KJB25796 pep chromosome:Graimondii2_0_v6:4:54238713:54243849:1 gene:B456_004G210000 transcript:KJB25796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSVRWRSDKDRIKAVFKLQFHATQLAESLMISVIPDDGGKPIKTLEKATVQDGNCRWENPLYETVKFVREPKTGKINERIYHFILSTGVGKGGLVGEVSIDFAVYAEANKTSTVSLPLKNSNSKAILHVSIQRLHENADQREVEETEDASFKLQERSLKAHLSNGYEDESIKKDLIEDASFSKTTHNVELRGNHKGSDGSDITISSSNSSSELDTPRELGMKDDNVKNEWSAGSDHGMSTEDSNSSQDNFPRENCQHGSDSEIEKLKNEVNALSRQVDVSDMELQTLRKQIVKESKRGQDLSREVVTLKEERDALKLECNKLKAFQKQKNDAKLNNRLQFENGDPWVLVQEIREELKYEKDLNSNLRLQLQKTQESNAELILAVQDLEEMLDAKNMEMSNTPNKSGSHGSAKELRGTISRSDRDENEYKRASEHLVKEHRGTKETSVLEQKVMDLNSELEIYKRDKDELEAQMEQLALDYEILKQENHDISYKLEQTRLREQLKIQYECPSSSATINELENQIECLQRELSKQSKEFSGSLVTINELETHIRSLEEELEKQAKVFERDLGSVTQNKVEQEQRAIRAEEALQMTRLKNANTAIRLQEEFKKLSMQMASTFDANEKVATNALAEANELRLQRNQLEELLKKAKEELQSATDDYEAKLCDLSNLVKLKSNQIEQMLEEIDDKSKQLEHQKKHVEEVSGAFSKEISSLKAEIDKLTTEKKCLREQAEQMESLKLELEHTKALVQGTEEQMQRGNLERNNLVSAIALMKKEATKSEEELQRMRHLKEEKDASIESLQSELDTLKAQCDKLKHSVFEDEIEKEKLRKQVVQLRTDLKKKEDAFTGIEKKLKESNRRGVVSDGTRTPLRNNKSAMVPHSPKEVASLRETIKLLEGQMKLKEAALETSTNVFLEKEKDLQKKIDELENKLNEYNTGFCSYQLQKLQVFKDTKEVTSNGKACISKENGYAVPFVKSSDGGNHDELMAELTSLKERNKSMENELKDMQERYSDISLKFAEVEGERQQLVMTVRNLMNAKKS >KJB25797 pep chromosome:Graimondii2_0_v6:4:54239051:54243849:1 gene:B456_004G210000 transcript:KJB25797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSVRWRSDKDRIKAVFKLQFHATQLAESLMISVIPDDGGKPIKTLEKATVQDGNCRWENPLYETVKFVREPKTGKINERIYHFILSTGVGKGGLVGEVSIDFAVYAEANKTSTVSLPLKNSNSKAILHVSIQRLHENADQREVEETEDASFKLQERSLKAHLSNGYEDESIKKDLIEDASFSKTTHNVELRGNHKGSDGSDITISSSNSSSELDTPRELGMKDDNVKNEWSAGSDHGMSTEDSNSSQDNFPRENCQHGSDSEIEKLKNEVNALSRQVDVSDMELQTLRKQIVKESKRGQDLSREVVTLKEERDALKLECNKLKAFQKQKNDAKLNNRLQFENGDPWVLVQEIREELKYEKDLNSNLRLQLQKTQESNAELILAVQDLEEMLDAKNMEMSNTPNKSGSHGSAKELRGTISRSDRDENEYKRASEHLVKEHRGTKETSVLEQKVMDLNSELEIYKRDKDELEAQMEQLALDYEILKQENHDISYKLEQTRLREQLKIQYECPSSSATINELENQIECLQRELSKQSKEFSGSLVTINELETHIRSLEEELEKQAKVFERDLGSVTQNKVEQEQRAIRAEEALQMTRLKNANTAIRLQEEFKKLSMQMASTFDANEKVATNALAEANELRLQRNQLEELLKKAKEELQSATDDYEAKLCDLSNLVKLKSNQIEQMLEEIDDKSKQLEHQKKHVEEVSGAFSKEISSLKAEIDKLTTEKKCLREQAEQMESLKLELEHTKALVQGTEEQMQRGNLERNNLVSAIALMKKEATKSEEELQRMRHLKEEKDASIESLQSELDTLKAQCDKLKHSVFEDEIEKEKLRKQVVQLRTDLKKKEDAFTGIEKKLKESNRRGVVSDGTRTPLRNNKSAMVPHSPKEVASLRETIKLLEGQMKLKEAALETSTNVFLEKEKDLQKKIDELENKLNEYNTGFCSYQLQKVFKDTKEVTSNGKACISKENGYAVPFVKSSDGGNHDELMAELTSLKERNKSMENELKDMQERYSDISLKFAEVEGERQQLVMTVRNLMNAKKS >KJB27019 pep chromosome:Graimondii2_0_v6:4:60697739:60699932:-1 gene:B456_004G272400 transcript:KJB27019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVNVKKKSRKSLGRILLKGDNITLMMNSGK >KJB27018 pep chromosome:Graimondii2_0_v6:4:60697773:60699856:-1 gene:B456_004G272400 transcript:KJB27018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVNVKKKSRKSLGRILLKGDNITLMMNSGK >KJB21759 pep chromosome:Graimondii2_0_v6:4:886339:892331:1 gene:B456_004G012400 transcript:KJB21759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGEEVFTDGEGQMLPYSARKRGGWATFPFIAGALIGSSIAASGWANNLIVYMIEKFHVRSIDATQTFNIVAASTSLLPMVAAILADSFLGCFSIVWISSLISILGIILIALTAMLDPLLPQPCQHGSTSCPTPSKVQFCILYSGIALAAVGVAGTRFTIAAIGANQFDNSKDQRVFFNWFAISLYTSMVLGATVIVYIEENVSWVFGYWLCVAVNVIGLVVFLSGKRYYRHIKPQGSPFLSLAHVVVATIVKRKVPLSSRAEDYYHKEDGPKEKPVSLPSKSFRFPNHAAAMETEEDSKVNSWRLCSVQQVEDFKNLLRIFPLLSSGVLMCTTLPVISTLTILQTLTVDCHLGPDMKVPAGSMIVFILTSTAINLTLIDRFLFPLWKKITLRSPMPLHRIGLGHLFNMTGMAVASLVESRRLKLAQTHSVLDHPGSVVPMFVLWLVPQLVLVGLVTLYYQEFPQPLRSTAAAMISVVVGFAYYLSTTVIDLVRRVTKWLPDDINNGRLGNVYLMFAMMQMLNFFYFLFCAKCYKYHSVEGGNKNSDDESSKS >KJB22693 pep chromosome:Graimondii2_0_v6:4:6036501:6036565:-1 gene:B456_004G0611001 transcript:KJB22693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLVLINEAIAMSTSGKGKR >KJB26673 pep chromosome:Graimondii2_0_v6:4:59113841:59119073:1 gene:B456_004G254100 transcript:KJB26673 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G17620) UniProtKB/Swiss-Prot;Acc:Q0WTP1] MAAKQMEEIQRKLSMLNYPRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQNLQGDAMDRDEETSRIQYLAEIAKFLGITTTIDTEVIQGRGSYEDRTEMLRLIVDLVEASICADNPEWSVDEQVAKDIQLIDAIAEKQALIFSEECKLFPADVQIQSIYPLPDVSELESKLAEQSKILSNLQQKVDDLASKHAYNPDEEYTEVESKLRAQLESFLETARSFNMIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAVGSSETVAGEPSPVTRIISECDHARPASKFLMIVARQ >KJB26672 pep chromosome:Graimondii2_0_v6:4:59113841:59119073:1 gene:B456_004G254100 transcript:KJB26672 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G17620) UniProtKB/Swiss-Prot;Acc:Q0WTP1] MAAKQMEEIQRKLSMLNYPRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQNLQGDAMDRDEETSRIQYLAEIAKFLGITTTIDTEVIQGRGSYEDRTEMLRLIVDLVEASICADNPEWSVDEQVAKDIQLIDAIAEKQALIFSEECKLFPADVQIQSIYPLPDVSELESKLAEQSKILSNLQQKVDDLASKHAYNPDEEYTEVESKLRAQLESFLETARSFNMIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAVGSSETVLQLLVRKPCKASK >KJB26674 pep chromosome:Graimondii2_0_v6:4:59113903:59119073:1 gene:B456_004G254100 transcript:KJB26674 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G17620) UniProtKB/Swiss-Prot;Acc:Q0WTP1] MAAKQMEEIQRKLSMLNYPRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQNLQGDAMDRDEETSRIQCKVHFLLNLPFFISSFWDLGCVCFIFCLFVFGKNVKFADLAEIAKFLGITTTIDTEVIQGRGSYEDRTEMLRLIVDLVEASICADNPEWSVDEQVAKDIQLIDAIAEKQALIFSEECKLFPADVQIQSIYPLPDVSELESKLAEQSKILSNLQQKVDDLASKHAYNPDEEYTEVESKLRAQLESFLETARSFNMIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAVGSSETVAGEPSPVTRIISECESALTSLNCDLGILSASIAREKAMQGQQVNF >KJB26671 pep chromosome:Graimondii2_0_v6:4:59113835:59119317:1 gene:B456_004G254100 transcript:KJB26671 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G17620) UniProtKB/Swiss-Prot;Acc:Q0WTP1] MAAKQMEEIQRKLSMLNYPRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQNLQGDAMDRDEETSRIQYLAEIAKFLGITTTIDTEVIQGRGSYEDRTEMLRLIVDLVEASICADNPEWSVDEQVAKDIQLIDAIAEKQALIFSEECKLFPADVQIQSIYPLPDVSELESKLAEQSKILSNLQQKVDDLASKHAYNPDEEYTEVESKLRAQLESFLETARSFNMIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAVGSSETVAGEPSPVTRIISECESALTSLNCDLGILSASIAREKAMQGQQVNF >KJB27176 pep chromosome:Graimondii2_0_v6:4:61400192:61402216:-1 gene:B456_004G282400 transcript:KJB27176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQAYVDDHLMCEIEGNHLSAAAIIAHDGSVWAQSANFPQFKPEEINAIMNDFNEPGTLAPTGLHLGGTKYMVIQGEAGAVIRGKKVMDPEVLLLRRPIWP >KJB27174 pep chromosome:Graimondii2_0_v6:4:61400149:61402276:-1 gene:B456_004G282400 transcript:KJB27174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQAYVDDHLMCEIEGNHLSAAAIIAHDGSVWAQSANFPQFKPEEINAIMNDFNEPGTLAPTGLHLGGTKYMVIQGEAGAVIRGKKGPGGVTVKKTNMALIIGIYDEPMTPGQCNMIVERLGDYLLDQGY >KJB27175 pep chromosome:Graimondii2_0_v6:4:61401240:61402124:-1 gene:B456_004G282400 transcript:KJB27175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQAYVDDHLMCEIEGNHLSAAAIIAHDGSVWAQSANFPQFKPEEINAIMNDFNEPGTLAPTGLHLGGTKYMVIQGEAGAVIRGKKVMFLICYWFCFVY >KJB21604 pep chromosome:Graimondii2_0_v6:4:171297:177316:-1 gene:B456_004G002500 transcript:KJB21604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRNLQVSGFKEVVSVFPSKVYHLQTTRSWDFLGLNQTVKRNATAESNVIVGVLDTGIWPESDSFSDEGFGPPPKKWKGACKGGQNFTCNNKLIGARAYHSDSARDTEGHGTHTASTAAGNNVVNASFYGLAEGIARGGVPSARIAAYKVCSGILCLSEDILAGFDDAIADGVDLISVSLGLEIPVDLYEDPVAIGAFHAAEKGVLVLQSAGNSGTTGFQSVSSVAPWILSVAASTTDRLFVDKVVLGNGWKTLTGFSVNSFSLNRTKVPLVYGLQITSSCDEAHARACYSYCLNKTLVKNKIVLCDVMNGVNAAYDAGALGLITKYQVENVSFVVPLSAITLSSKDYDLVISYHNSTKEPKAEILRSETIKDKFAPIVASFSSRGPNAFVPDILKPDISAPGVDILAAYSPVASPSTTTTDPRRVKYNIISGTSMSCPHVAGVAAYVKTFHPHWSPSAVKSALMTTAFPMDAPRTQGAEFAYGSGHINPVKAIDPGLVYDTVEGDNIRFLCSKPFKVTFHRTVTNVGVARCTYNATIATLSELEIKVVPQVLSFKSLTEKKSYNVYIAYLGSLPDGDYIASSHHCNMLSALSKHSSVENHLIRSYKRSFNGFAAKLTNEEAKKLSSFKGVVSVFPSKVYHLHTTRSWDFLGLNQTVKRNATESDVIVGVFDTGIWPESDSFSDEGFGPPPQKWKGACKGGQNFTCNNKLIGARVYITDSARDTEGHGSHTASTAAGNNVVNASFYGFAEGTARGGVPSARIAAYKVCNGICTSEDILAAFDDAIADGVDLITASLGSFFVFEFYSDAVAIGAFHAAEKGVLVVQSAGNSGLAGFQSVASVAPWILSVAASTMDRHFVNKVVLGNGKTLTGLSINTFSLNRAMVPLVYGMQASSDCDEFSARLCFPNCLNKTLVKNKIILCDDMQGVDEAYNAGALGLITKYGFDDVAYVVPMPAITLSSKDYDSVTSYLNSTKQPKAEILISETITDKSAPIVASFSSKGPNFIVPDILKPDISAPGVDILAAYSPVASPSITTTDTRRVKYNIISGTSMSCPHVAGVAAYVKTFHPHWSPSAIKSALMTTAFPMDAPRNQGAEFAYGSGHINPVKAIDPGLVYDNVKGDNIRFLCSIGYDEGSIKNIEGNNTSCPKNSTKMLPRDFNYPTLTALVPAGKPFTVNFHRTVTNVGIARSTYNATISILSEPEIQVVPQVLSFKALTEQKSYNVIVSGKGLGETSMVSATLIWSDGTHNVRSSIVIHTYEGVRGAV >KJB21605 pep chromosome:Graimondii2_0_v6:4:171225:177316:-1 gene:B456_004G002500 transcript:KJB21605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRNLQVSGFKEVVSVFPSKVYHLQTTRSWDFLGLNQTVKRNATAESNVIVGVLDTGIWPESDSFSDEGFGPPPKKWKGACKGGQNFTCNNKLIGARAYHSDSARDTEGHGTHTASTAAGNNVVNASFYGLAEGIARGGVPSARIAAYKVCSGILCLSEDILAGFDDAIADGVDLISVSLGLEIPVDLYEDPVAIGAFHAAEKGVLVLQSAGNSGTTGFQSVSSVAPWILSVAASTTDRLFVDKVVLGNGWKTLTGFSVNSFSLNRTKVPLVYGLQITSSCDEAHARACYSYCLNKTLVKNKIVLCDVMNGVNAAYDAGALGLITKYQVENVSFVVPLSAITLSSKDYDLVISYHNSTKEPKAEILRSETIKDKFAPIVASFSSRGPNAFVPDILKPDISAPGVDILAAYSPVASPSTTTTDPRRVKYNIISGTSMSCPHVAGVAAYVKTFHPHWSPSAVKSALMTTAFPMDAPRTQGAEFAYGSGHINPVKAIDPGLVYDTVEGDNIRFLCSKPFKVTFHRTVTNVGVARCTYNATIATLSELEIKVVPQVLSFKSLTEKKSYNVYIAYLGSLPDGDYIASSHHCNMLSALSKHSSVENHLIRSYKRSFNGFAAKLTNEEAKKLSSFKGVVSVFPSKVYHLHTTRSWDFLGLNQTVKRNATESDVIVGVFDTGIWPESDSFSDEGFGPPPQKWKGACKGGQNFTCNNKLIGARVYITDSARDTEGHGSHTASTAAGNNVVNASFYGFAEGTARGGVPSARIAAYKVCNGFQSVASVAPWILSVAASTMDRHFVNKVVLGNGKTLTGLSINTFSLNRAMVPLVYGMQASSDCDEFSARLCFPNCLNKTLVKNKIILCDDMQGVDEAYNAGALGLITKYGFDDVAYVVPMPAITLSSKDYDSVTSYLNSTKQPKAEILISETITDKSAPIVASFSSKGPNFIVPDILKPDISAPGVDILAAYSPVASPSITTTDTRRVKYNIISGTSMSCPHVAGVAAYVKTFHPHWSPSAIKSALMTTAFPMDAPRNQGAEFAYGSGHINPVKAIDPGLVYDNVKGDNIRFLCSIGYDEGSIKNIEGNNTSCPKNSTKMLPRDFNYPTLTALVPAGKPFTVNFHRTVTNVGIARSTYNATISILSEPEIQVVPQVLSFKALTEQKSYNVIVSGKGLGETSMVSATLIWSDGTHNVRSSIVIHTYEGVRGAV >KJB21603 pep chromosome:Graimondii2_0_v6:4:168260:186478:-1 gene:B456_004G002500 transcript:KJB21603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSFLLFVDITVILMLCISLCHGAVEDDRKVYIAYLGAAPDREDIATSQHSAMLQSLSTLSSVENYLIKSYKRSFNGFAAKLTNEEAKKLASFKEVVSVFPSKVYHLQTTRSWDFLGLNQTVKRNATAESNVIVGVLDTGIWPESDSFSDEGFGPPPKKWKGACKGGQNFTCNNKLIGARAYHSDSARDTEGHGTHTASTAAGNNVVNASFYGLAEGIARGGVPSARIAAYKVCSGILCLSEDILAGFDDAIADGVDLISVSLGLEIPVDLYEDPVAIGAFHAAEKGVLVLQSAGNSGTTGFQSVSSVAPWILSVAASTTDRLFVDKVVLGNGWKTLTGFSVNSFSLNRTKVPLVYGLQITSSCDEAHARACYSYCLNKTLVKNKIVLCDVMNGVNAAYDAGALGLITKYQVENVSFVVPLSAITLSSKDYDLVISYHNSTKEPKAEILRSETIKDKFAPIVASFSSRGPNAFVPDILKPDISAPGVDILAAYSPVASPSTTTTDPRRVKYNIISGTSMSCPHVAGVAAYVKTFHPHWSPSAVKSALMTTAFPMDAPRTQGAEFAYGSGHINPVKAIDPGLVYDTVEGDNIRFLCSKPFKVTFHRTVTNVGVARCTYNATIATLSELEIKVVPQVLSFKSLTEKKSYNVYIAYLGSLPDGDYIASSHHCNMLSALSKHSSVENHLIRSYKRSFNGFAAKLTNEEAKKLSSFKGVVSVFPSKVYHLHTTRSWDFLGLNQTVKRNATESDVIVGVFDTGIWPESDSFSDEGFGPPPQKWKGACKGGQNFTCNNKLIGARVYITDSARDTEGHGSHTASTAAGNNVVNASFYGFAEGTARGGVPSARIAAYKVCNGICTSEDILAAFDDAIADGVDLITASLGSFFVFEFYSDAVAIGAFHAAEKGVLVVQSAGNSGLAGFQSVASVAPWILSVAASTMDRHFVNKVVLGNGKTLTGLSINTFSLNRAMVPLVYGMQASSDCDEFSARLCFPNCLNKTLVKNKIILCDDMQGVDEAYNAGALGLITKYGFDDVAYVVPMPAITLSSKDYDSVTSYLNSTKQPKAEILISETITDKSAPIVASFSSKGPNFIVPDILKPDISAPGVDILAAYSPVASPSITTTDTRRVKYNIISGTSMSCPHVAGVAAYVKTFHPHWSPSAIKSALMTTAFPMDAPRNQGAEFAYGSGHINPVKAIDPGLVYDNVKGDNIRFLCSIGYDEGSIKNIEGNNTSCPKNTFPMDAPRNQGAEFAYGSGHVNPVKAIDPGLVYDTVEGDNIRFLCSIGYDEGSIKKLAGNNTSCPKNSTKMLPRDFNYPTLTALVPAGKPFKVTFHRTVTNVGVARSTYNATIATP >KJB24131 pep chromosome:Graimondii2_0_v6:4:34390663:34392133:1 gene:B456_004G129100 transcript:KJB24131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLFGGLGEGDGVIKVITSNGGVMEFSGLVTAGTITDEFPGHAIFRSQDLFWKPLFHHEELLPGKSYYLLPLNSKEGSGNSGQIVREGHLRSNSIPASLVAPYRMSCDYQGQGTLKRSHTDVLSRHDDNGFWKVKLVITPEQLLEILSQEARTQELIESVRTVAKCGNRVETSVGFSDQWSLSSSRNASSNKDGLLLDF >KJB22899 pep chromosome:Graimondii2_0_v6:4:7811899:7813520:-1 gene:B456_004G072700 transcript:KJB22899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLVSNWYNHRALPESYICPPETRPGKLSIPSGDTIPVVNLGEALINRSNTILNVLRASQEFGFFQVVNHGVSEKVLNDTMNVVEEFFKMPMEEKASLYSEDPNKVCRLYTSNINYGTEKYHFWRDILKHHCHPLDDCIKLWPQKPTRYRDVIRTFSVEGKKLGLTILELLTEGLGLESGYFKKDLSEAMLLVANHYPPCPDPSLTLGLPKHCDPNLITILLQGHTFGLQVFKDGQWIGVQPLPNALVVNIGHQLRIVSNNKLKSAEHRAVTNSKVARTTVGLFISSSEDSIIEPAKSLTNAAQPPLYRAFRYKEFLSYYFSMMGDTELALQPFKLPFNQSVIS >KJB24828 pep chromosome:Graimondii2_0_v6:4:45418300:45420871:1 gene:B456_004G163100 transcript:KJB24828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTGSKDTQLRESNSQKVHPQPMEDSMKQNPEAMEAFISKIFTNISSLKSAYIQLQTAHTPYDPEKIQVADKLIISELKNLSELKHFYRENNPKPVSVSPQDSHLAAEIQEQQSLLRTYEVMVKKFQSEIQNKDSEIRQLQQQIEEANQKRVKLEKNLKLRGLSMKESEGSGEANGFFPADLTPELFVSAVESAFKAIHDFSKPLINMMKAAGWDLDAAADSIEPNVVYAKRAHKKYAFESHICQRMFSGFQVENFSINLDNLTVNKESFFHQYLALREMDPLDVLGQNPDSVFGKFCRSKYPVVVHPKMETSFFGNLDQRNYVIGGGHPRTPFYQAFLKLAKSIWLLHRLACSFDPNVKIFQVKRGSEYSEVYMASVVNNLIMDETDEKPKVGLMVMPGFWIGSIVIRSRVYLSGMKVNE >KJB24829 pep chromosome:Graimondii2_0_v6:4:45418159:45420870:1 gene:B456_004G163100 transcript:KJB24829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSMKQNPEAMEAFISKIFTNISSLKSAYIQLQTAHTPYDPEKIQVADKLIISELKNLSELKHFYRENNPKPVSVSPQDSHLAAEIQEQQSLLRTYEVMVKKFQSEIQNKDSEIRQLQQQIEEANQKRVKLEKNLKLRGLSMKESEGSGEANGFFPADLTPELFVSAVESAFKAIHDFSKPLINMMKAAGWDLDAAADSIEPNVVYAKRAHKKYAFESHICQRMFSGFQVENFSINLDNLTVNKESFFHQYLALREMDPLDVLGQNPDSVFGKFCRSKYPVVVHPKMETSFFGNLDQRNYVIGGGHPRTPFYQAFLKLAKSIWLLHRLACSFDPNVKIFQVKRGSEYSEVYMASVVNNLIMDETDEKPKVGLMVMPGFWIGSIVIRSRVYLSGMKVNE >KJB24830 pep chromosome:Graimondii2_0_v6:4:45418115:45420871:1 gene:B456_004G163100 transcript:KJB24830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTGSKDTQLRESNSQKVHPQPMEDSMKQNPEAMEAFISKIFTNISSLKSAYIQLQTAHTPYDPEKIQVADKLIISELKNLSELKHFYRENNPKPVSVSPQDSHLAAEIQEQQSLLRTYEVMVKKFQSEIQNKDSEIRQLQQQIEEANQKRVKLEKNLKLRGLSMKESEGSGEANGFFPADLTPELFVSAVESAFKAIHDFSKPLINMMKAAGWDLDAAADSIEPNVVYAKRAHKKYAFESHICQRMFSGFQVENFSINLDNLTVNKESFFHQYLALREMDPLDVLGQNPDSVFGKFCRSKYPVVVHPKMETSFFGNLDQRNYVIGGGHPRTPFYQAFLKLAKSIWLLHRLACSFDPNVKIFQVKRGSEYSEVYMASVVNNLIMDETDEKPKVGLMVMPGFWIGSIVIRSRVYLSGMKVNE >KJB22306 pep chromosome:Graimondii2_0_v6:4:3328376:3332237:1 gene:B456_004G039800 transcript:KJB22306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDGSKGPSHYVRIGKEKDPVEDITPGELNQPVNVPQLIVHRCGECGQPLPESYEPPSDEDWTTGIFGCLEDTQSCKMGMFCPCILFGQNVETVKEDVMWNDACMCHAICVEGGMVVAGATMFFHGIVDLKTSFLICETLLFAWWLCGIYNGLFRQSLQKKYHLKNSPCDPCLVHCCLHWCAMCQEYREMQNRISDNSEVQSTIVKPPPLQEMNTVSAATTNDDGDPKKEAQSTASSSSPSAKDKQITKLEIQPV >KJB24202 pep chromosome:Graimondii2_0_v6:4:36084076:36085223:-1 gene:B456_004G132900 transcript:KJB24202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVANDIRLLGSGPRCGLGELILPENEPGSGIMPGKVNPTQCEAITMVCAQVMGNHVAITVGGSNGHFELNVFKPMIANALLHSLRLLGDASASFEKNCVRGIQANRERISKLLHEVS >KJB24204 pep chromosome:Graimondii2_0_v6:4:36083080:36086167:-1 gene:B456_004G132900 transcript:KJB24204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQTCHLSQQKISLKLCGALNTVATSLMKVANDIRLLGSGPRCGLGELILPENEPGSGIMPGKVNPTQCEAITMVCAQVMGNHVAITVGGSNGHFELNVFKPMIANALLHSLRLLGDASASFEKNCVRGIQANRERISKLLHELPRKHTRRGPL >KJB24203 pep chromosome:Graimondii2_0_v6:4:36082913:36086405:-1 gene:B456_004G132900 transcript:KJB24203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVANDIRLLGSGPRCGLGELILPENEPGSGIMPGKVNPTQCEAITMVCAQVMGNHVAITVGGSNGHFELNVFKPMIANALLHSLRLLGDASASFEKNCVRGIQANRERISKLLHEVS >KJB26230 pep chromosome:Graimondii2_0_v6:4:56883433:56886049:1 gene:B456_004G231700 transcript:KJB26230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGIKGSELLPFTLQFLRGRWFALFASFLIMAGAGATYLFGTYSKEIKATLDYDQTTLNLLGFSKDLGANVGVFSGLIAEVTPTWFVLLVGAALNFGGYFMIWLAVTGRIAKPKVWQMCIYICMGANSQNFANTGALVTSVKNFPESRGSMLGLLKGYTGLSGAVMTQIYLAVYGNDSKSLILLIGWLPAAISVIFVYTIRTMKPVRHPNEIRVFYQFLSASILLAVFLMLITLTEKLVTFSKAAYAGAATVVCFLVFSPLFISVREELLIWNMQKHPIGSPMEITVDKSTPEIVESKQVVSMPGSPKQGDEKVEKSCFLTICDRPERGEDYTILQALTSLDMWVLFLATFCGLGASLTAVDNLGQIGESLGYPNKTVTSFVSLVSIWNFFGRVFSGFVSETLIVKYKVPRPLMMTLVLLLACIGYVLVAFPMPGSLYVASIIIGFSFGAQLPLIFAIISELFGLKYYSTLFNCGQLASPLGSYIFNVKLTGYLYDREAMKDLAKKGLTRSSVKALTCIGSHCYRLPFIILTFVTFFGALTSLILVVRTRNYYRSDIYKKFRENAESL >KJB21801 pep chromosome:Graimondii2_0_v6:4:1025171:1027270:-1 gene:B456_004G014500 transcript:KJB21801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVKFLSLLKTCKSLIKGKLIHQKIITSGFHNNIALCKTLIAFYFSCNLHRSAGRVFDTIDNPSDISLWNTLMAAYTKSFMFVETIKLFDKLWKYPLLKPNGFTYPSLLKACGGLGAVGYGEMIHTHLIKVGFLSDVVIGSSVVAMYAKCNMFEEATHVFDEMPERDVACWNTVISCYNQDGKAEKALELFEKMRDDGLEPNLVTLTIVISSCARLMDLERGKKIHRELVETGIGLDGFLGSALVDMYGKCGCIEIAREVFEQIPKKDVNVITWNTMIAGYSSIGNSQSCIELFKKMNVEGIEPSLTTLSSIIIACSRSAQLRYGKFTHGYMIRNMIETDIFVNNSLIDLYFKCGNVHLAENVFKLMPKTDVVSWNVMISGYVSVGKCFDALGIYDSMVKAGVKLDAVTYSSGLAACSQLAALEKGKEIHSSIIENKLERNEVVMGTLLDMYAKCGAVDEAYIIFRDLPERDLVSWTSMITAYGSHGRASEALNLFDKMQKSNTKSDGVTFLAVLSACSHGGLVDEGCSYFHQMINEHSIKPQREHYSCLIDLLGRAGRLHEAYEILQSTRETREDVELLSTLLSACRLHRCLELGEKIAGLLIKKDPDDSSTYIVLSNMYASAKKWSKVREVRLKMKELGLRKNPGCSWIEVDRRIQPFFVEDNSHPESKIMYECLSCLNSYMEKDVMMEAFESSID >KJB21608 pep chromosome:Graimondii2_0_v6:4:189161:190122:1 gene:B456_004G002700 transcript:KJB21608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KJB27254 pep chromosome:Graimondii2_0_v6:4:61713673:61714959:1 gene:B456_004G286800 transcript:KJB27254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLRSWSSDVVHLDLTSPPSSSSSNTTPMSTTATSGGSSCLSIDEEASTETRIQRLISEHPVIIFSRSSCCMCHVMKKLLVTIGVHPTVIELDDREMASLPPPPPPSSSPTHGGIPSMNHPSPAVFIGGTCIGGLESLVALHLSGHLVSKLVEVGALWV >KJB26584 pep chromosome:Graimondii2_0_v6:4:58662708:58666882:1 gene:B456_004G249200 transcript:KJB26584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLNQLETILESDPLIDEVGLIHPSQFITLSKECSESSNSSSDGAFRPANTKFWNRDHKLGISTEILLPVCKAAKSAFMDAMKQYKTHSDLSVNKREDKNMVYGHSSSCQSFESEVMKHSRALLLLSCDLGTAWNARKLVVSKKQQLPMFTDELHLSALVLSYSHKSEQAWSHRRWVIKMICEKYSNLQQIIAKESELVEKIAERSKMNYRAWNHRCWLVSYMTREQMLYELKKSRDWAGLHVADNSCFHYRRRLMLGISENKQGDDPSYDVENYQVVKEELDLNEALIKRYIGREALWLHRRFLSLCLIRHLMTTTHGISCHSTSMDNEINIFLDKELRLLDSCSTIQDTEFEDFKGQAIYSTMYFLWLIKQIPEFRKIELQEKLKAGNLKNILHKTCPERSFLWDVLEL >KJB26585 pep chromosome:Graimondii2_0_v6:4:58663668:58666882:1 gene:B456_004G249200 transcript:KJB26585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMKQYKTHSDLSVNKREDKNMVYGHSSSCQSFESEVMKHSRALLLLSCDLGTAWNARKLVVSKKQQLPMFTDELHLSALVLSYSHKSEQAWSHRRWVIKMICEKYSNLQQIIAKESELVEKIAERSKMNYRAWNHRCWLVSYMTREQMLYELKKSRDWAGLHVADNSCFHYRRRLMLGISENKQGDDPSYDVENYQVVKEELDLNEALIKRYIGREALWLHRRFLSLCLIRHLMTTTHGISCHSTSMDNEINIFLDKELRLLDSCSTIQDTEFEDFKGQAIYSTMYFLWLIKQIPEFRKIELQEKLKAGNLKNILHKTCPERSFLWDVLEL >KJB26485 pep chromosome:Graimondii2_0_v6:4:58164810:58168060:1 gene:B456_004G244300 transcript:KJB26485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFQGTPFGSIYRFTLFGAYLQREVSGAVFGYKGINFILSVEYYRCNRSNHILQVKYRSLLAVTIGILLFLLTSFSDLGNVSAENFSRYLSVYPYDNTICREKECSTCKLPKPSRSKHCSICNPCVACFGHHCRWMAFHSLHLLDWFLLED >KJB26484 pep chromosome:Graimondii2_0_v6:4:58164810:58168060:1 gene:B456_004G244300 transcript:KJB26484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFQGTPFGSIYRFTLFGAYLQREVSGAVFGYKGINFILSVEYYRCNRSNHILQVKYRSLLAVTIGILLFLLTSFSDLGNVSAENFSRYLSVYPYDNTICREKECSTCKLPKPSRSKHCSICNPCVACFGHHCRWMAFHSLHLLDWFLLED >KJB22846 pep chromosome:Graimondii2_0_v6:4:7297905:7300883:-1 gene:B456_004G069500 transcript:KJB22846 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g44745 [Source:Projected from Arabidopsis thaliana (AT2G44745) UniProtKB/TrEMBL;Acc:Q1PEU5] MEGERGVSNYEIQVSFSTTQAIHEMGFVQYEENQMMSFMAPAQSSHHHHHHQTSQPLNNATIGNSSTTNSTTTVAFGLNNQVGRTLDPKAVEGENYSSNINDRNNNSWWRRSASEKGNLNNKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRWQELLSVYAE >KJB22845 pep chromosome:Graimondii2_0_v6:4:7297650:7301317:-1 gene:B456_004G069500 transcript:KJB22845 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g44745 [Source:Projected from Arabidopsis thaliana (AT2G44745) UniProtKB/TrEMBL;Acc:Q1PEU5] MEGERGVSNYEIQVSFSTTQAIHEMGFVQYEENQMMSFMAPAQSSHHHHHHQTSQPLNNATIGNSSTTNSTTTVAFGLNNQVGRTLDPKAVEGENYSSNINDRNNNSWWRRSASEKGNLNNKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTQNNCRVKKRVERLSEDCRMVITTYEGRHNHSPSHDSNSSDHQPFSSF >KJB24218 pep chromosome:Graimondii2_0_v6:4:36627638:36630645:-1 gene:B456_004G133700 transcript:KJB24218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMEKLMRLIEMTPLLLLLFLPSAFAGHDYNQALSKSILFFEAQRSGYLPHNQRVTWRANSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIIEYGKQMGANGELGHAMEAVKWGTDYLIKAHPEPYVLYGEVGDGNSDHYCWQRPEDMTTNRHAYKIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSTELLRHAHQLFEFADKYRGKYDSSITVAQKYYRSISGYNDELLWAAAWLYQASNNEYYLNYLGKNGDSMGGTGWAMTEFGWDVKYAGVQTLVAKFLMQGKAGLHAPVFERYHQKAEYFMCSLIGKGGRNIQKTPGGLIFRQRWNNMQFVTSASFLATVYSDYLASSRGSLKCAAVNVAPSELLSFAKSQVDYILGDNPRATSYMVGYGNNFPRQVHHRASSIVSFKVDPKFVACRQGYATWYSRKASDPNVLTGAIVGGPDAYDNFADERDNYEQTEPATYNNAPLLGILARLGGAHGGYNQLLPGM >KJB24217 pep chromosome:Graimondii2_0_v6:4:36626402:36631042:-1 gene:B456_004G133700 transcript:KJB24217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMEKLMRLIEMTPLLLLLFLPSAFAGHDYNQALSKSILFFEAQRSGYLPHNQRVTWRANSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIIEYGKQMGANGELGHAMEAVKWGTDYLIKAHPEPYVLYGEVGDGNSDHYCWQRPEDMTTNRHAYKIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSTELLRHAHQLFEFADKYRGKYDSSITVAQKYYRSISGYNDELLWAAAWLYQASNNEYYLNYLGKNGDSMGGTGWAMTEFGWDVKYAGVQTLVAKFLMQGKAGLHAPVFERYHQKAEYFMCSLIGKGGRNIQKTPGGLIFRQRWNNMQFVTSASFLATVYSDYLASSRGSLKCAAVNVAPSELLSFAKSQVDYILGDNPRATSYMVGYGNNFPRQVHHRASSIVSFKVDPKFVACRQGYATWYSRKASDPNVLTGAIVGGPDAYDNFADERDNYEQTEPATYNNAPLLGILARLGGAHGGYNQLLPVVVPAPNPVVAKPKPAPKPKFTPTPATSSNPITIEQKMTTSWNAKGKTYYRYSTIVTNKSYKTLKDLKLSISKLYGPLWGLSKSGNSYGFPTWLNSLPAGKSIEFVYIHSTSPADVSVSSYNLA >KJB24220 pep chromosome:Graimondii2_0_v6:4:36626441:36630917:-1 gene:B456_004G133700 transcript:KJB24220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMEKLMRLIEMTPLLLLLFLPSAFAGHDYNQALSKSILFFEAQRSGYLPHNQRVTWRANSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIIEYGKQMGANGELGHAMEAVKWGTDYLIKAHPEPYVLYGEVGDGNSDHYCWQRPEDMTTNRHAYKIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSTELLRHAHQLFEFADKYRGKYDSSITVAQKYYRSISGYNDELLWAAAWLYQASNNEYYLNYLGKNGDSMGGTGWAMTEFGWDVKYAGVQTLVAKFLMQGKAGLHAPVFERYHQKAEYFMCSLIGKGGRNIQKTPGGLIFRQRWNNMQFVTSASFLATVYSDYLASSRGSLKCAAVNVAPSELLSFAKSQVDYILGDNPRATSYMVGYGNNFPRQVHHRASSIVSFKVDPKFVACRQGYATWYSRKASDPNVLTGAIVGGPDAYDNFADERDNYEQTEPATYNNAPLLGILARLGGAHGGYNQLLPGM >KJB24219 pep chromosome:Graimondii2_0_v6:4:36626441:36630917:-1 gene:B456_004G133700 transcript:KJB24219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMEKLMRLIEMTPLLLLLFLPSAFAGHDYNQALSKSILFFEAQRSGYLPHNQRVTWRANSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIIEYGKQMGANGELGHAMEAVKWGTDYLIKAHPEPYVLYGEVGDGNSDHYCWQRPEDMTTNRHAYKIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSTELLRHAHQLFEFADKYRGKYDSSITVAQKYYRSISGYNDELLWAAAWLYQASNNEYYLNYLGKNGDSMGGTGWAMTEFGWDVKYAGVQTLVAKFLMQGKAGLHAPVFERYHQKAEYFMCSLIGKGGRNIQKTPGGLIFRQRWNNMQFVTSASFLATVYSDYLASSRGSLKCAAVNVAPSELLSFAKSQVDYILGDNPRATSYMVGYGNNFPRQVHHRASSIVSFKVDPKFVACRQGYATWYSRKASDPNVLTGAIVGGPDAYDNFADERDNYEQTEPATYNNAPLLGILARLGGAHGGYNQLLPVVVPAPNPVVAKPKPAPKPKFTPTPGIFFASLLNDFPINIVGPTLRN >KJB22946 pep chromosome:Graimondii2_0_v6:4:8487543:8491540:1 gene:B456_004G075100 transcript:KJB22946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSGTIVLFDRKMLRNFRKDGYNWENKKDGKTIKEAHEHLKVGDKERIHVYYAHGEDNSTFVRRCYWLLDKSLEQMVLVHYRETKEVSLATHSNSSLLTDQSTPLLVTKEFDSGIANTYSEGERSLGDVEIFQRLIM >KJB22943 pep chromosome:Graimondii2_0_v6:4:8487543:8489924:1 gene:B456_004G075100 transcript:KJB22943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGGCASLVGAEIHGFHTLQGGTIVLFDRKMLRNFRKDGYNWENKKDGKTIKEAHEHLKVGDKERIHVYYAHGEDNSTFVRRCYWLLDKSLEQMVLVHYRETKEVGVYYKQKTLRYHSRIKQSFMFLPTQNQFVNDVCGFVLQTIAEPGESVNVRNHEMKLLEINTLEWDELLVANGANDSIASRGGIVLVSSISSNTYLVAEL >KJB22945 pep chromosome:Graimondii2_0_v6:4:8487543:8491456:1 gene:B456_004G075100 transcript:KJB22945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSGTIVLFDRKMLRNFRKDGYNWENKKDGKTIKEAHEHLKVGDKERIHVYYAHGEDNSTFVRRCYWLLDKSLEQMVLVHYRETKEVSLATHSNSSLLTDQSTPLLVTKEFDSGIANTYSEEPGESVNVRNHEMKLLEINTLEWDELLVANGANDSIASRGGIVLVSSISSNTYLVAEL >KJB22942 pep chromosome:Graimondii2_0_v6:4:8488838:8489924:1 gene:B456_004G075100 transcript:KJB22942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSGTIVLFDRKMLRNFRKDGYNWENKKDGKTIKEAHEHLKVGDKERIHVYYAHGEDNSTFVRRCYWLLDKSLEQMVLVHYRETKEVGVYYKQKTLRYHSRIKQSFMFLPTQNQFVNDVCGFVLQTIAEPGESVNVRNHEMKLLEINTLEWDELLVANGANDSIASRGGIVLVSSISSNTYLVAEL >KJB22944 pep chromosome:Graimondii2_0_v6:4:8487543:8491456:1 gene:B456_004G075100 transcript:KJB22944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSGTIVLFDRKMLRNFRKDGYNWENKKDGKTIKEAHEHLKVGDKERIHVYYAHGEDNSTFVRRCYWLLDKSLEQMVLVHYRETKEVSLATHSNSSLLTDQSTPLLVTKEFDSGIANTYSEEPGESVNVRNHEMKLLEINTLEWDELLVANGANDSIASRGGIVLVSSISSNTYLVAEL >KJB26433 pep chromosome:Graimondii2_0_v6:4:57957143:57960603:-1 gene:B456_004G241400 transcript:KJB26433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGDLASSIGNVVSDITGINKTTKTVTGSVVLVKKNFLDFTSLTSTVVDGLFELLGHGVTLQLVSAENTDPANENGGKLGKLEALEYWNLTYTPPLAGSDSLYKVSFEWDEELGIPGAIILRNNHAAEFFLKTITLEDVPGEGRIHFVCNSWVYPDKQYKQPRIFFANKTYLPHEMPAALRIYREEELKALRGEGTGELKTGDRVYDYALYNDLGDPDNGADLARPVLGGSAQYPYPRRGRTSRPPSKTDPNTESRVFLPEVLNIYVPRDEQFGHLKLSDFIAFNLKGLVNQIIPLLEAYVNLTPNEFDSFKDVDNLYFNGIPLPTDLVNQIASNIPLEMMQEFFRSDGQQLLKYPVPQVIQDRSNPIAWRTDEEFGREMIAGLNPLLIQLLKEFPPVSNLDPEVYVNQNSSITKQDIEYNLDGLTVEEALTSKRLFILDHHDTLVPYLKMINEYTEAKTYASRTILFLRGDNTLKPVAIELSLPKMEGDKIGCVSKVYTPAEHGVEGWIWQLAKAFVNVNDSGHHQLVSHWLNTHAVLEPFVIATNRQLSAVHPVYKLLHPHFRDTMTINALARELLINANGIIEKTFCPGKYSLEMSSVIYKSWNFMDQALPNDLKKRGIADGDINSLDDLDRLLIKDYPYAVDGLKIWFAIEKWVRDYCSFYYKTDEMVQRDPELQAWWKELREVGHGDKKNDPW >KJB26434 pep chromosome:Graimondii2_0_v6:4:57956547:57960683:-1 gene:B456_004G241400 transcript:KJB26434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGDLASSIGNVVSDITGINKTTKTVTGSVVLVKKNFLDFTSLTSTVVDGLFELLGHGVTLQLVSAENTDPANENGGKLGKLEALEYWNLTYTPPLAGSDSLYKVSFEWDEELGIPGAIILRNNHAAEFFLKTITLEDVPGEGRIHFVCNSWVYPDKQYKQPRIFFANKTYLPHEMPAALRIYREEELKALRGEGTGELKTGDRVYDYALYNDLGDPDNGADLARPVLGGSAQYPYPRRGRTSRPPSKTDPNTESRVFLPEVLNIYVPRDEQFGHLKLSDFIAFNLKGLVNQIIPLLEAYVNLTPNEFDSFKDVDNLYFNGIPLPTDLVNQIASNIPLEMMQEFFRSDGQQLLKYPVPQVIQDRSNPIAWRTDEEFGREMIAGLNPLLIQLLKEFPPVSNLDPEVYVNQNSSITKQDIEYNLDGLTVEEALTSKRLFILDHHDTLVPYLKMINEYTEAKTYASRTILFLRGDNTLKPVAIELSLPKMEGDKIGCVSKVYTPAEHGVEGWIWQLAKAFVNVNDSGHHQLVSHWLNTHAVLEPFVIATNRQLSAVHPVYKLLHPHFRDTMTINALARELLINANGIIEKTFCPGKYSLEMSSVIYKSWNFMDQALPNDLKKRGIADGDINSLDDLDRLLIKDYPYAVDGLKIWFAIEKWVRDYCSFYYKTDEMVQRDPELQAWWKELREPKMQNLENLIQSCTIIIWIASALHAAVNYGQYAYGGYFPNRPTLSRRFMPEKGTPEYAELEKNPEKVFFRTMSSQLQSLTVITVLETLSNHASDEVYLGQRTPNWTTDAIPLAASDAFNKRLAEIEGEILKMNTDKTLKNRVGTVNVPYNLLYPTGDVGISGKGIPNSISI >KJB22611 pep chromosome:Graimondii2_0_v6:4:5540895:5546814:1 gene:B456_004G057700 transcript:KJB22611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLLSPFPNVISQLNLVSRSSPPFFTRAQMSSESLPPLPEHRIVLGCGSVGVDFLASVSSYPKPDDKIRSTSLKVQGGGNAGNALTCVARLGLNPRLISKVANDAQGKSILEELESDGVDTSFFIVSEEGNSPFTYIIVDNETKTRTCIHTPGYPPLIPDELSQSQLLSALDGANMVYFDGRLYETALIIAKEAACKNIPILVDAEREREGLDDLLNFASYAICSARFPQAWTKAPSLPSALLSMLLRLPNLKFVIVTLGEDGCIMLERSVNGGSDAEEIDIHGLLESLKQRKDESKTIPTCISSVVTKLSANGIGTVFGRLFIGTAEKIPPSELVDTTGAGDAFIGAVVYALCAGMPPEKMLPFAAQVAASGCRALGARTGLPHRTDPRLATFL >KJB22613 pep chromosome:Graimondii2_0_v6:4:5540939:5546814:1 gene:B456_004G057700 transcript:KJB22613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLLSPFPNVISQLNLVSRSSPPFFTRAQMSSESLPPLPEHRIVLGCGSVGVDFLASVSSYPKPDDKIRSTSLKVQGGGNAGNALTCVARLGLNPRLISKVANDAQGKSILEELESDGVDTSFFIVSEEGNSPFTYIIVDNETKTRTCIHTPGYPPLIPDELSQSQLLSALDGANMVYFDGRLYETALIIAKEAWTKAPSLPSALLSMLLRLPNLKFVIVTLGEDGCIMLERSVNGGSDAEEIDIHGLLESLKQRKDESKTIPTCISSVVTKLSANGIGTVFGRLFIGTAEKIPPSELVDTTGAGDAFIGAVVYALCAGMPPEKMLPFAAQVAASGCRALGARTGLPHRTDPRLATFL >KJB22610 pep chromosome:Graimondii2_0_v6:4:5540939:5546712:1 gene:B456_004G057700 transcript:KJB22610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLLSPFPNVISQLNLVSRSSPPFFTRAQMSSESLPPLPEHRIVLGCGSVGVDFLASVSSYPKPDDKIRSTSLKVQGGGNAGNALTCVARLGLNPRLISKVANDAQGKSILEELESDGVDTSFFIVSEEGNSPFTYIIVDNETKTRTCIHTPGYPPLIPDELSQSQLLSALDGANMVYFDGRLYETALIIAKEAACKNIPILVDAEREREGLDDLLNFASYAICSARFPQAWTKAPSLPSALLSMLLRLPNLKFVIVTLGEDGCIMLERSVNGGSDAEEIDIHGLLESLKQRKDESKTIPTCISSVVTKLSANGIGTVFGRLFIGTAEKIPPSELVDTTGAGDAFIGAVVYALCAGMPPEKMLPFAAQVAASGCRALGARTGLPHRTDPRLATFL >KJB22612 pep chromosome:Graimondii2_0_v6:4:5540895:5546814:1 gene:B456_004G057700 transcript:KJB22612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLLSPFPNVISQLNLVSRSSPPFFTRAQMSSESLPPLPEHRIVLGCGSVGVDFLASVSSYPKPDDKIRSTSLKVQGGGNAGNALTCVARLGLNPRLISKVANDAQGKSILEELESDGVDTSFFIVSEEGNSPFTYIIVDNETKTRTCIHTPGYPPLIPDELSQSQLLSALDGANMVYFDGRLYETALIIAKEAACKNIPILVDAEREREGLDDLLNFASYAICSARFPQAWTKAPSLPSALLSMLLRLPNLKFVIVTLGEDGCIMLERSVNGGSDAEEIDIHGLLESLKQRKDESKTIPTCISSVVTKLSANGIGTVFGRLFIGTAEKIPPSELVDTTGAGDAFIGAVVYALCAGMPPEKMLPFAAQVAASGCRALGARTGLPHRTDPRLATFL >KJB21561 pep chromosome:Graimondii2_0_v6:4:108247:110076:1 gene:B456_004G001400 transcript:KJB21561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDIESSVEQGSGKDYHDPPPAPLIDAEELTKWSFYRAVIAEFIATLLFLYVTVLTVIGYKVQTDPLKNTVDPDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLGRKVSLIRAIMYMVAQCLGAICGCGLVKAFQKTYYNNYGGGANELQSGFNKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVIYNKEKAWDDQWIFWVGPFIGAAIAAFYHQYILRAAAIKAFGSSRSN >KJB26519 pep chromosome:Graimondii2_0_v6:4:58257529:58264335:-1 gene:B456_004G245400 transcript:KJB26519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHIFKKLHIGSNHEHNRASTADTSPSPSPSCATDHQRSMSENALMSTSTTTTSSSPVNTTPMPLLSSIGLSTPSAANNRALDYMLSEEEFQVQLALAISASNSEDPEKDQIRAATLLSLGNHHGMDLGFGRDKDDVAAEVLARKYWEYNVLDYEERVGDGFYDVYGLSTDSKLQEKMPSLVDLEKSLGNSGFEVVIVNRTIDPALEELLQIAHCITLDCPATNIDVLVQRLAELVTGNMGGPVKDANIILARWMERSTEFRTSLHTSVFPIGSINIGLSRHRALLFKVLADSIRLPCILVKGSHYTGVEDDAVNIIKLEDQREFLVDLMAAPGTLIPADILSAKDATFKPYNPIISNIPTLQSSDNGYSRAKPSSIEGSSQHPVINGSLPINWGSSTGTAEVLPSLSGPTGDSTVSSSGSSNRVIPGTAEVLPSLSGPSADSGVSSSGLSNRVTPNQLDHLPSTAIRTSVNKGSRGANVVDSLRMNINVVPYGQSSQEDPKDPFADLNPFQRKGTSKTSQQNKPTETKVDEFQRLRNNVAVARPTVPLMWKNRTVYNEVPQKKEYNYMEGLFPKISRKPNDFNQSSSASTSSTKPEKVYSHGFNSPGRFDMLNRENKIQNSLSGTSSSVASTTSQFNSSQLVEDANNNFREENFRNGHDLQNKTGDLAKEQDNEIGFYNHRKYTQERYVENNLKLKDPESPSSSLDSGKGKVEQLFDDVDVGECEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGDALAEFKREVRIMRRLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYKILHRPHSRIDEKQRIKMALDVARGMNCLHASIPTIVHRDLKSPNLLVDKNWTVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWEIATLRLPWSGMNPMQVVGAVGFQNRRLDIPKELDPLVARIIWQCWQTANCSFKIVAAACYPFPSRPIKLAYVTTDSGKFYTLKSNVSVLQL >KJB26520 pep chromosome:Graimondii2_0_v6:4:58257729:58264210:-1 gene:B456_004G245400 transcript:KJB26520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHIFKKLHIGSNHEHNRASTADTSPSPSPSCATDHQRSMSENALMSTSTTTTSSSPVNTTPMPLLSSIGLSTPSAANNRALDYMLSEEEFQVQLALAISASNSEDPEKDQIRAATLLSLGNHHGMDLGFGRDKDDVAAEVLARKYWEYNVLDYEERVGDGFYDVYGLSTDSKLQEKMPSLVDLEKSLGNSGFEVVIVNRTIDPALEELLQIAHCITLDCPATNIDVLVQRLAELVTGNMGGPVKDANIILARWMERSTEFRTSLHTSVFPIGSINIGLSRHRALLFKVLADSIRLPCILVKGSHYTGVEDDAVNIIKLEDQREFLVDLMAAPGTLIPADILSAKDATFKPYNPIISNIPTLQSSDNGYSRAKPSSIEGSSQHPVINGSLPINWGSSTGTAEVLPSLSGPTGDSTVSSSGSSNRVIPGTAEVLPSLSGPSADSGVSSSGLSNRVTPNQLDHLPSTAIRTSVNKGSRGANVVDSLRMNINVVPYGQSSQEDPKDPFADLNPFQRKGTSKTSQQNKPTETKVDEFQRLRNNVAVARPTVPLMWKNRTVYNEVPQKKEYNYMEGLFPKISRKPNDFNQSSSASTSSTKPEKVYSHGFNSPGRFDMLNRENKIQNSLSGTSSSVASTTSQFNSSQLVEDANNNFREENFRNGHDLQNKTGDLAKEQDNEIGFYNHRKYTQERYVENNLKLKDPESPSSSLDSGKGKVEQLFDDVDVGECEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGDALAEFKREVRIMRRLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYKILHRPHSRIDEKQRIKMALDVARGMNCLHASIPTIVHRDLKSPNLLVDKNWTVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWEIATLRLPWSGMNPMQVVGAVGFQNRRLDIPKELDPLVARIIWQCWQTDPSLRPSFAELTVALRSLQRLVIPSHQGQSNLPMSQQIPVNSTP >KJB25521 pep chromosome:Graimondii2_0_v6:4:51585450:51587522:-1 gene:B456_004G195900 transcript:KJB25521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGKEDLGLSLSLGFPQNHHSLQLNLRPSLLPSSVDSCSSVPSGFTAFHKSSWNDVSAPSDPNAESFRGETRSFLRGIDVNRLPSTVDCEEEAGVSSPNSTISSVSGKRSEREGTNGDELDIERACSRGISDEEDGDASRKKLRLSKDQSAILEESFKEHNTLNPKQKMALAKQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQELRALKLSPQFYMQMTPPTTLTMCPSCERVGASSTVDPRSHHQLPQTHHRAIPINPWAPAAAPIPHGPFDALRPQS >KJB25522 pep chromosome:Graimondii2_0_v6:4:51585478:51587299:-1 gene:B456_004G195900 transcript:KJB25522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGKEDLGLSLSLGFPQNHHSLQLNLRPSLLPSSVDSCSSVPSGFTAFHKSSWNDVSAPSESFRGETRSFLRGIDVNRLPSTVDCEEEAGVSSPNSTISSVSGKRSEREGTNGDELDIERACSRGISDEEDGDASRKKLRLSKDQSAILEESFKEHNTLNPKQKMALAKQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQELRALKLSPQFYMQMTPPTTLTMCPSCERVGASSTVDPRSHHQLPQTHHRAIPINPWAPAAAPIPHGPFDALRPQS >KJB23990 pep chromosome:Graimondii2_0_v6:4:32182896:32187486:-1 gene:B456_004G123900 transcript:KJB23990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCCSKNVSVNNESTSTVNQSQPLPVPASATPSVETNSYAVSPFASPLPAGVAPSPSPARTPGRKFRWPLPPPSPAKPIMAAIMRRKGSNKAAPTEGTIPEDGEGAVLDKNFGYGKNLGAKFELGKEVGRGHFGHTCWAKGKKGELKGKSVAVKIISKAKMTSTISVEDVRREVKILKALSGHKNMIKFHDAFEDANNVYIVMELCEGGELLDRILSRGGRYTEGDAKNIIVQILSVVAFCHLQGVVHRDLKPENFLFTTRDEDAPMKIIDFGLSDFIRPDQRLNDIVGSAYYVAPEVLHRSYSVEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPSVSLEAKDFVKRLLNKDHRKRMTAAQALAHPWLQDDNRVVPLDILIYKLVKSYIRATPFRRAAQKALSKALPDDALLYLTGQFKLLEPKDGCVSLSNFKTALMKNRTDAMQESRVFDIINVMEPLYYKKMDFEEFCAAAISAYQLEASEEWESIASTAFEYFEQDGNKVISVEELALELNLGPSAHSLLKDWIRVSDGKLSFLGYTKFLHGVTIRASNVRRR >KJB23989 pep chromosome:Graimondii2_0_v6:4:32182896:32187467:-1 gene:B456_004G123900 transcript:KJB23989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCCSKNVSVNNESTSTVNQSQPLPVPASATPSVETNSYAVSPFASPLPAGVAPSPSPARTPGRKFRWPLPPPSPAKPIMAAIMRRKGSNKAAPTEGTIPEDGEGAVLDKNFGYGKNLGAKFELGKEVGRGHFGHTCWAKGKKGELKGKSVAVKIISKAKMTSTISVEDVRREVKILKALSGHKNMIKFHDAFEDANNVYIVMELCEGGELLDRILSRGGRYTEGDAKNIIVQILSVVAFCHLQGVVHRDLKPENFLFTTRDEDAPMKIIDFGLSDFIRPDQRLNDIVGSAYYVAPEVLHRSYSVEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPSVSLEAKDFVKRLLNKDHRKRMTAAQALAHPWLQDDNRVVPLDILIYKLVKSYIRATPFRRAAQKALSKALPDDALLYLTGQFKLLEPKDGCVSLSNFKTALMKNRTDAMQESRVFDIINVMEPLYYKKMDFEEFCAAAISAYQLEASEEWESIASTAFEYFEQDGNKELNLGPSAHSLLKDWIRVSDGKLSFLGYTKFLHGVTIRASNVRRR >KJB24931 pep chromosome:Graimondii2_0_v6:4:46646503:46655951:-1 gene:B456_004G168700 transcript:KJB24931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIKGVNRSASVALSPDAPYMAAGTMAGAVDLSFSSSANLEIFKFDFQSDDLELPVIGECPSSERFNRLAWGKNGSGSDEFSLGLIAGGLVDGSIDLWNPLKLIRSEANDQALVGHLSRHKGPVRGLEFNAIAPNLLASGADDGEICIWDLAAPAQPSHFPPLKGSGSASQGEISYLSWNSKVQHILASTSYNGTTVVWDLKKQKPVISFADSIRRRCSVLQWHPDVATQLVVASDEDGSPTLRLWDMRNIMSPVKEFAGHTKGVIAMAWCPSDSSYLLTCAKDNRTICWDTVTGEAVCELPAGTNWNFDVHWYPKIPGIISASSFDGKIGIYNIEGCSRYGVGDSDFGTVSLRAPKWYKRPVGASFGFGGKLVSFRTRSSGSGTSASSEVFLHNLVAEETLVSRSSEFESVIQSGERSSLRVLCEKKEQESESQDDRETWGFLKVMFEDDGTARTKLLMHLGFSLPEEKDTVQDNISQIVNDITLEDKVTEKVGYEGEKEAAPFAVDNGEDFFNNLPSPKADTPVSTSENNFAVESTVSSTDLTPQEPEGVEESSDPSFDDAVQRALVVGDYKGAVAQCIAANKMADALVIAHVGDPSLWASTCDQYLKMSCSPYLKVVSAMVNNDLMSLVNTRPLKFWKETLALLCTFAQREEWTVLCDTLASKLMASGNTLAATLCYICAGNIDKTVEIWSRCLTTELDGKSYVDLLQDLMEKTIALALATGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLKLLGSDDLSLELVILKDRIALSTEPVKEGKSAVFENSHPTGVPGFEPSQHIYPDPAVPQIQPSVPGSAYDENYQRSFSQYGGYAPPPSYLPQAPPANMFVPTQAPHISQTNFAPPPETTQPTVRPFVPSNPPVLRNADQYQQPTSLGSQLYPVAADPTYPAPPGAGSFAPVPSQMGAASGPRMPQVVAPAPAPRGFMPVTNTSVQRPGMGPMQPPSTTQSAPVQPAAAPAAPPPTVQTADTSNVPAHQKPVIITLTRLFNETSQALGGARANPVKKREIEDNSKKIGALFAKLNSGDISKNASDKLIQLCQALDNNDFGTALQIQVLLTTSEWDECNFWLATLKRMIKTRQNVR >KJB24934 pep chromosome:Graimondii2_0_v6:4:46648115:46655657:-1 gene:B456_004G168700 transcript:KJB24934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIKGVNRSASVALSPDAPYMAAGTMAGAVDLSFSSSANLEIFKFDFQSDDLELPVIGECPSSERFNRLAWGKNGSGSDEFSLGLIAGGLVDGSIDLWNPLKLIRSEANDQALVGHLSRHKGPVRGLEFNAIAPNLLASGADDGEICIWDLAAPAQPSHFPPLKGSGSASQGEISYLSWNSKVQHILASTSYNGTTVVWDLKKQKPVISFADSIRRRCSVLQWHPDVATQLVVASDEDGSPTLRLWDMRNIMSPVKEFAGHTKGVIAMAWCPSDSSYLLTCAKDNRTICWDTVTGEAVCELPAGTNWNFDVHWYPKIPGIISASSFDGKIGIYNIEGCSRYGVGDSDFGTVSLRAPKWYKRPVGASFGFGGKLVSFRTRSSGSGTSASSEVFLHNLVAEETLVSRSSEFESVIQSGERSSLRVLCEKKEQESESQDDRETWGFLKVMFEDDGTARTKLLMHLGFSLPEEKDTVQDNISQIVNDITLEDKVTEKVGYEGEKEAAPFAVDNGEDFFNNLPSPKADTPVSTSENNFAVESTVSSTDLTPQEPEGVEESSDPSFDDAVQRALVVGDYKGAVAQCIAANKMADALVIAHVGDPSLWASTCDQYLKMSCSPYLKVVSAMVNNDLMSLVNTRPLKFWKETLALLCTFAQREEWTVLCDTLASKLMASGNTLAATLCYICAGNIDKTVEIWSRCLTTELDGKSYVDLLQDLMEKTIALALATGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLKLLGSDDLSLELVILKDRIALSTEPVKEGKSAVFENSHPTGVPGFEPSQHIYPDPAVPQIQPSVPGSAYDENYQRSFSQYGGYAPPPSYLPQAPPANMFVPTQAPHISQTNFAPPPETTQPTVRPFVPSNPPVLRNADQYQQPTSLGSQLYPVLPLILLILLPLGLVHLHLSHPKWEQLLVPECHKL >KJB24932 pep chromosome:Graimondii2_0_v6:4:46648210:46655657:-1 gene:B456_004G168700 transcript:KJB24932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIKGVNRSASVALSPDAPYMAAGTMAGAVDLSFSSSANLEIFKFDFQSDDLELPVIGECPSSERFNRLAWGKNGSGSDEFSLGLIAGGLVDGSIDLWNPLKLIRSEANDQALVGHLSRHKGPVRGLEFNAIAPNLLASGADDGEICIWDLAAPAQPSHFPPLKGSGSASQGEISYLSWNSKVQHILASTSYNGTTVVWDLKKQKPVISFADSIRRRCSVLQWHPDVATQLVVASDEDGSPTLRLWDMRNIMSPVKEFAGHTKGVIAMAWCPSDSSYLLTCAKDNRTICWDTVTGEAVCELPAGTNWNFDVHWYPKIPGIISASSFDGKIGIYNIEGCSRYGVGDSDFGTVSLRAPKWYKRPVGASFGFGGKLVSFRTRSSGSGTSASSEVFLHNLVAEETLVSRSSEFESVIQSGERSSLRVLCEKKEQESESQDDRETWGFLKVMFEDDGTARTKLLMHLGFSLPEEKDTVQDNISQIVNDITLEDKVTEKVGYEGEKEAAPFAVDNGEDFFNNLPSPKADTPVSTSENNFAVESTVSSTDLTPQEPEGVEESSDPSFDDAVQRALVVGDYKGAVAQCIAANKMADALVIAHVGDPSLWASTCDQYLKMSCSPYLKVVSAMVNNDLMSLVNTRPLKFWKETLALLCTFAQREEWTVLCDTLASKLMASGNTLAATLCYICAGNIDKTVEIWSRCLTTELDGKSYVDLLQDLMEKTIALALATGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLKLLGSDDLSLELVILKDRIALSTEPVKEGKSAVFENSHPTGVPGFEPSQHIYPDPAVPQIQPSVPGSAYDENYQRSFSQYGGYAPPPSYLPQAPPANMFVPTQAPHISQTNFAPPPETTQPTVRPFVPSNPPVLRNADQYQQPTSLGSQLYPVCCR >KJB24935 pep chromosome:Graimondii2_0_v6:4:46648875:46655842:-1 gene:B456_004G168700 transcript:KJB24935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIKGVNRSASVALSPDAPYMAAGTMAGAVDLSFSSSANLEIFKFDFQSDDLELPVIGECPSSERFNRLAWGKNGSGSDEFSLGLIAGGLVDGSIDLWNPLKLIRSEANDQALVGHLSRHKGPVRGLEFNAIAPNLLASGADDGEICIWDLAAPAQPSHFPPLKGSGSASQGEISYLSWNSKVQHILASTSYNGTTVVWDLKKQKPVISFADSIRRRCSVLQWHPDVATQLVVASDEDGSPTLRLWDMRNIMSPVKEFAGHTKGVIAMAWCPSDSSYLLTCAKDNRTICWDTVTGEAVCELPAGTNWNFDVHWYPKIPGIISASSFDGKIGIYNIEGCSRYGVGDSDFGTVSLRAPKWYKRPVGASFGFGGKLVSFRTRSSGSGTSASSEVFLHNLVAEETLVSRSSEFESVIQSGERSSLRVLCEKKEQESESQDDRETWGFLKVMFEDDGTARTKLLMHLGFSLPEEKDTVQDNISQIVNDITLEDKVTEKVGYEGEKEAAPFAVDNGEDFFNNLPSPKADTPVSTSENNFAVESTVSSTDLTPQEPEGVEESSDPSFDDAVQRALVVGDYKGAVAQCIAANKMADALVIAHVGDPSLWASTCDQYLKMSCSPYLKVVSAMVNNDLMSLVNTRPLKFWKETLALLCTFAQREEWTVLCDTLASKLMASGNTLAATLCYICAGNIDKTVEIWSRCLTTELDGKSYVDLLQDLMEKTIALALATGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLKLLGSDDLSLELVILKDRIALSTEPVKEGKSAVFENSHPTGVPGFEPSQHIYPDPAVPQIQPSVPGSAYDENYQRSFSQYGGYAPPPSYLPQAPPANMFVPTQAPHISQVCCIWFLFVHDTQFYGRSNG >KJB24933 pep chromosome:Graimondii2_0_v6:4:46646504:46655842:-1 gene:B456_004G168700 transcript:KJB24933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIKGVNRSASVALSPDAPYMAAGTMAGAVDLSFSSSANLEIFKFDFQSDDLELPVIGECPSSERFNRLAWGKNGSGSDEFSLGLIAGGLVDGSIDLWNPLKLIRSEANDQALVGHLSRHKGPVRGLEFNAIAPNLLASGADDGEICIWDLAAPAQPSHFPPLKGSGSASQGEISYLSWNSKVQHILASTSYNGTTVVWDLKKQKPVISFADSIRRRCSVLQWHPDVATQLVVASDEDGSPTLRLWDMRNIMSPVKEFAGHTKGVIAMAWCPSDSSYLLTCAKDNRTICWDTVTGEAVCELPAGTNWNFDVHWYPKIPGIISASSFDGKIGIYNIEGCSRYGVGDSDFVSLRAPKWYKRPVGASFGFGGKLVSFRTRSSGSGTSASSEVFLHNLVAEETLVSRSSEFESVIQSGERSSLRVLCEKKEQESESQDDRETWGFLKVMFEDDGTARTKLLMHLGFSLPEEKDTVQDNISQIVNDITLEDKVTEKVGYEGEKEAAPFAVDNGEDFFNNLPSPKADTPVSTSENNFAVESTVSSTDLTPQEPEGVEESSDPSFDDAVQRALVVGDYKGAVAQCIAANKMADALVIAHVGDPSLWASTCDQYLKMSCSPYLKVVSAMVNNDLMSLVNTRPLKFWKETLALLCTFAQREEWTVLCDTLASKLMASGNTLAATLCYICAGNIDKTVEIWSRCLTTELDGKSYVDLLQDLMEKTIALALATGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLKLLGSDDLSLELVILKDRIALSTEPVKEGKSAVFENSHPTGVPGFEPSQHIYPDPAVPQIQPSVPGSAYDENYQRSFSQYGGYAPPPSYLPQAPPANMFVPTQAPHISQTNFAPPPETTQPTVRPFVPSNPPVLRNADQYQQPTSLGSQLYPVAADPTYPAPPGAGSFAPVPSQMGAASGPRMPQVVAPAPAPRGFMPVTNTSVQRPGMGPMQPPSTTQSAPVQPAAAPAAPPPTVQTADTSNVPAHQKPVIITLTRLFNETSQALGGARANPVKKREIEDNSKKIGALFAKLNSGDISKNASDKLIQLCQALDNNDFGTALQIQVLLTTSEWDECNFWLATLKRMIKTRQNVR >KJB24857 pep chromosome:Graimondii2_0_v6:4:45935276:45944847:1 gene:B456_004G165100 transcript:KJB24857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGEADRKRRHFSSISPTAVAAKKQSFLPISEEKKLDTAILQFQNQKLLQKLEAQKVEYSALEYKFIELKEKQKSYDSTLKVVNKCWEALITDLESNSTNTRESIRQVVGRAANTDEAPSPTEDAFLSRLMETGATESSSSDNLEQIKEDREQAASEKTRNILHNLVVSINDLWCMKEGLYAAVRKEHPDNGSCRQKTSIELESDVKNLRLAIGDIHLKHRSMVRALQNHSDIDAKNKAELKRLKGELENAAVELKETNCELAALKAERDATKGAFFPVLNLGSKQVVGDKAKDKHRDLQEMESALKELMEQASSRLIKLKELHVERIELLQKLSNLQNSLKSMKGISSSPVYLSLIDQLEKSKSEVLHYQDLFEKLQAEKDNLAWREKELSIKNDIADVLRRSLAIADSKASHLEAEIQQKFDEIKGIKVKLEEVSREPGRKEIVADFKSLLSSFPEAMSSMQSQLGNYKEAAVDIHSLQADVQSLSSISDRKMKEYENLSIRSADQVAEIHKLQAMVQDLKKSDAELKLILEMHRRELTDLRDVLEVRDSEYKAWARVQSLKSCLDEQNLELRVKKANEAEAISQQRLAAAEAEIADLRQKLEKHTMEKEIQQSSASLNFYEMKAAKIEDQLRFWSDQVQKLEEEKSQKSVWLENTQTLLSDVRKSSHQARESLEESQSKIEKSQVALADLRIELEKERFSKKRIEEELEVARRKVSRLQTEMEGSSTVERLQQELREYKEILKCSICLDRPKEVVITKCYHLFCNPCVQKNITESRQRKCPVCAASFGANDVKPIYI >KJB24856 pep chromosome:Graimondii2_0_v6:4:45934769:45944921:1 gene:B456_004G165100 transcript:KJB24856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGEADRKRRHFSSISPTAVAAKKQSFLPISEEKKLDTAILQFQNQKLLQKLEAQKVEYSALEYKFIELKEKQKSYDSTLKVVNKCWEALITDLESNSTNTRESIRQVVGRAANTDEAPSPTEDAFLSRLMETGATESSSSDNLEQIKEDREQAASEKTRNILHNLVVSINDLWCMKEGLYAAVRKEHPDNGSCRQKTSIELESDVKNLRLAIGDIHLKHRSMVRALQNHSDIDAKNKAELKRLKGELENAAVELKETNCELAALKAERDATKGAFFPVLNLGSKQVVGDKAKDKHRDLQEMESALKELMEQASSRLIKLKELHVERIELLQKLSNLQNSLKSMKGISSSPVYLSLIDQLEKSKSEVLHYQDLFEKLQAEKDNLAWREKELSIKNDIADVLRRSLAIADSKASHLEAEIQQKFDEIKGIKVKLEEVSREPGRKEIVADFKSLLSSFPEAMSSMQSQLGNYKEAAVDIHSLQADVQSLSSISDRKMKEYENLSIRSADQVAEIHKLQAMVQDLKKSDAELKLILEMHRRELTDLRDVLEVRDSEYKAWARVQSLKSCLDEQNLELRVKKANEAEAISQQRLAAAEAEIADLRQKLEASKRNKARLSDTLKSKNEENEAYLSELESIGQAYDDMQTQNQQLLLQITERDDYNIKLVLERAKAKQLQDALLLEKHTMEKEIQQSSASLNFYEMKAAKIEDQLRFWSDQVQKLEEEKSQKSVWLENTQTLLSDVRKSSHQARESLEESQSKIEKSQVALADLRIELEKERFSKKRIEEELEVARRKVSRLQTEMEGSSTVERLQQELREYKEILKCSICLDRPKEVVITKCYHLFCNPCVQKNITESRQRKCPVCAASFGANDVKPIYI >KJB24621 pep chromosome:Graimondii2_0_v6:4:43877952:43881715:1 gene:B456_004G154100 transcript:KJB24621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVELPRPDDPAMTFDEVSMERSKNFVKALQELKNLRPQLYSAAEYCEKSYLHNEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQTLEVSTIELKASSLNQKLLTCQTYTDKEGIRQQQLLAFIPRHHKHYILPNSVSKKVHFSPQLQTDPRQNYLHAKSRLQPSDTPASKTLSWHLSSETKSTLKGTSQTLASNEISKPPAPASEVFQLVENGDNARAKSSAALFPASNALVPSLGITHRELEGSKPLTAFRSFDNPKRDIIRAPVRSKSVLSSFFVKQKQMKLKAGYVA >KJB24622 pep chromosome:Graimondii2_0_v6:4:43878058:43881043:1 gene:B456_004G154100 transcript:KJB24622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVELPRPDDPAMTFDEVSMERSKNFVKALQELKNLRPQLYSAAEYCEKSYLHNEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQTLEVSTIELKASSLNQKLLTCQTYTDKEGIRQQQLLAFIPRHHKHYILPNSVSKKVHFSPQLQTDPRQNYLHAKSRLQPSDTPASKTLSWHLSSETKSTLKGTSQTLASNEISKPPAPASEVFQLVENGDNARAKSSAALFPASNALVPSLGITHRVMFKSARIHTCLMFFKLMLLC >KJB24623 pep chromosome:Graimondii2_0_v6:4:43878058:43881613:1 gene:B456_004G154100 transcript:KJB24623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVELPRPDDPAMTFDEVSMERSKNFVKALQELKNLRPQLYSAAEYCEKSYLHNEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQTLEVSTIELKASSLNQKLLTCQTYTDKEGIRQQQLLAFIPRHHKHYILPNSVSKKVHFSPQLQTDPRQNYLHAKSRLQPSGIVFLSRQELFYVSCFLHCCDIIS >KJB24017 pep chromosome:Graimondii2_0_v6:4:32611791:32613209:-1 gene:B456_004G125100 transcript:KJB24017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGASSSSLNMISGEDVVNNNLSALVVDDSPLLRLLHDIHLKKYGLKVQVAENGKVAVDLFHLGASFDLVLMDKEMPVMNGVEATKELRAMGVTSMIVGVTSKDGPGEQQAFMEAGLDYCFEKPLTPEIISFLLEELNKHNNKN >KJB24018 pep chromosome:Graimondii2_0_v6:4:32611791:32613210:-1 gene:B456_004G125100 transcript:KJB24018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGASSSSLNMISGEDVVNNNLSALVVDDSPLLRLLHDIHLKKYGLKVQVAENGKVAVDLFHLGASFDLVLMDKEMPVMNGVEATKELRAMGVTSMIVGVTSKDGPGEQQAFMEAGLDYCFEKPLTPEIISFLLEELNKHNNKN >KJB22734 pep chromosome:Graimondii2_0_v6:4:6296087:6301349:1 gene:B456_004G063400 transcript:KJB22734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGASTEQGVRDVTENSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEPTVKGIMTFNENSTIAISPVNFHGLPKYEGCCFYIGTPQKNDYFLCAETPGAARAWVSTLHATQLVLKAHKEAVNSLSGNGSAKLGTVATVVAAANSTARECSKEIEAAMQISLRNALGLVTNVPTDGPMDDLTIMKETLRVKDEELQNLARDLRARDSTIREIADKLSETAEAAESAASAAHIMDEQRRIACAEIECLAKDSENQKEVFMLKLRESEEKLGSLSKERDQLIRQRETAMQEAHMWRTELAKARESAVILEAAVVRAEEKVRVTEMDAEARIKDAAQKEAAAVKEKQELLAYVNVLQAHLQRQQSDAKQIFEEKTESSNTSNSPPDTKNVDLSENVDKACLSVSRAVPVPGESVVRMAVDQVNIQPVGEGEWSDIQATEARIADVREIAPETEGSSHDISVDNQAIDKHHEQGASSFRQP >KJB22732 pep chromosome:Graimondii2_0_v6:4:6296085:6301349:1 gene:B456_004G063400 transcript:KJB22732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGASTGVRDVTENSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEPTVKGIMTFNENSTIAISPVNFHGLPKYEGCCFYIGTPQKNDYFLCAETPGAARAWVSTLHATQLVLKAHKEAVNSLSGNGSAKLGTVATVVAAANSTARECSKEIEAAMQISLRNALGLVTNVPTDGPMDDLTIMKETLRVKDEELQNLARDLRARDSTIREIADKLSETAEAAESAASAAHIMDEQRRIACAEIECLAKDSENQKEVFMLKLRESEEKLGSLSKERDQLIRQRETAMQEAHMWRTELAKARESAVILEAAVVRAEEKVRVTEMDAEARIKDAAQKEAAAVKEKQELLAYVNVLQAHLQRQQSDAKQIFEEKTESSNTSNSPPDTKNVDLSENVDKACLSVSRAVPVPGESVVRMAVDQVNIQPVGEGEWSDIQATEARIADVREIAPETEGSSHDISVDNQAIDKHHEQGASSFRQP >KJB22733 pep chromosome:Graimondii2_0_v6:4:6296085:6301832:1 gene:B456_004G063400 transcript:KJB22733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGASTGVRDVTENSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEPTVKGIMTFNENSTIAISPVNFHGLPKYEGCCFYIGTPQKNDYFLCAETPGAARAWVSTLHATQLVLKAHKEAVNSLSGNGSAKLGTVATVVAAANSTARECSKEIEAAMQISLRNALGLVTNVPTDGPMDDLTIMKETLRVKDEELQNLARDLRARDSTIREIADKLSETAEAAESAASAAHIMDEQRRIACAEIECLAKDSENQKEVFMLKLRESEEKLGSLSKERDQLIRQRETAMQEAHMWRTELAKARESAVILEAAVVRAEEKVRVTEMDAEARIKDAAQKEAAAVKEKQELLAYVNVLQAHLQRQQSDAKQIFEEKTESSNTSNSPPDTKNVDLSENVDKACLSVSRAVPVPGESVVRMAVDQVNIQPVGEGEWSDIQATEARIADVREIAPETEGSSHDISVDNQAIDKHHEQGASSFRQP >KJB22735 pep chromosome:Graimondii2_0_v6:4:6296087:6301832:1 gene:B456_004G063400 transcript:KJB22735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGASTEQGVRDVTENSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEPTVKGIMTFNENSTIAISPVNFHGLPKYEGCCFYIGTPQKNDYFLCAETPGAARAWVSTLHATQLVLKAHKEAVNSLSGNGSAKLGTVATVVAAANSTARECSKEIEAAMQISLRNALGLVTNVPTDGPMDDLTIMKETLRVKDEELQNLARDLRARDSTIREIADKLSETAEAAESAASAAHIMDEQRRIACAEIECLAKDSENQKEVFMLKLRESEEKLGSLSKERDQLIRQRETAMQEAHMWRTELAKARESAVILEAAVVRAEEKVRVTEMDAEARIKDAAQKEAAAVKEKQELLAYVNVLQAHLQRQQSDAKQIFEEKTESSNTSNSPPDTKNVDLSENVDKACLSVSRAVPVPGESVVRMAVDQVNIQPVGEGEWSDIQATEARIADVREIAPETEGSSHDISVDNQAIDKHHEQGASSFRQP >KJB25043 pep chromosome:Graimondii2_0_v6:4:47619562:47620430:-1 gene:B456_004G174300 transcript:KJB25043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLLLLFGSNSNTKSKAVPFMVFVLVLTGMVEQGEGTTCHSTFLSALVQLIPCRAAVAPFSRIPPSETCCNAIKALGQPCLCVLVNGPPITGVDRNMARQLPQKCDAMK >KJB25044 pep chromosome:Graimondii2_0_v6:4:47619856:47620312:-1 gene:B456_004G174300 transcript:KJB25044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLLLLFGSNSNTKSKAVPFMVFVLVLTGMVEQGEGTTCHSTFLSALVQLIPCRAAVAPFSRIPPSETCCNAIKALGQPCLCVLVNGPPITGVDRNMARQLPQKCTANFEPCDAMK >KJB26717 pep chromosome:Graimondii2_0_v6:4:59303389:59307292:1 gene:B456_004G256500 transcript:KJB26717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKSKSETPSKVSPATPRVASKLSKGLAKSEPDSPSPLQSTRHLVDRSLRSSLNSKPLNSKPSIDRKSPKAAAPAEKPQTRVGKGLELQAQLNVVQEDLKKAKEQIELIEKEKVQAIDELKEAQKAAEEANEKLREAVVAQKRAEESSEIEKFRAIELEQAGIEAARKKDEEWEKEIESVRNQHALDVAALLSNTEELQRVKQELAATCDAKNRALSHADDATKIAEMHAEKVEILSAELVRLKSLLDSKHETEANENNEMVLNLKAEIESLKRELEKAKIYKEKLMEKEAVIEQLNVELEAARMAESYARNVLEECKNRVEELEMQIEETKKLERSASESLESVMKQLEDNNDALHDAESEIAALKEKVGLLEMTISRQRGDLEESERRIHKAKEETADAEKLVDSLKSELETMKEEKIQALDNEKLAASSVQVLLEEKNKLINELENSRDEEEKSKKAMESLASALHEVSAEAREAKEKLLSSAAEHEHYEAQLEDIRLVLKAKNDKYETMLDDAKNEIDLLTNTIEQSKNEYQNSKIEWEQKEMHLVDCIKKSEEESSSLEKEVNRLVNLLKQSEEEASASKEEEAQLKESLKEVESEVIYLQEALKEVKTESMKLKESLLDKETELQSVTQENEELRAKEAASLKKIEQLLKLLEEATIEKQNEENGELSDSEKDYDLLPKVVEFSEDNGHGSEEKPKLELSSEQPDELKKESRDLNDDSKDEPLQAERAKEENLNGKANNNETKGKEDESVEVEFKMWESCKIEKKEFSPERETEQESFDEEVESKVENTEAEGFDQINGSTENADDGKISPSKQQQQQQQQQQQQKKKKPLLRKFGSLLKKKGSNNPK >KJB26721 pep chromosome:Graimondii2_0_v6:4:59303488:59307292:1 gene:B456_004G256500 transcript:KJB26721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKSKSETPSKVSPATPRVASKLSKGLAKSEPDSPSPLQSTRHLVDRSLRSSLNSKPLNSKPSIDRKSPKAAAPAEKPQTRVGKGLELQAQLNVVQEDLKKAKEQIELIEKEKVQAIDELKEAQKAAEEANEKLREAVVAQKRAEESSEIEKFRAIELEQAGIEAARKKDEEWEKEIESVRNQHALDVAALLSNTEELQRVKQELAATCDAKNRALSHADDATKIAEMHAEKVEILSAELVRLKSLLDSKHETEANENNEMVLNLKAEIESLKRELEKAKIYKEKLMEKEAVIEQLNVELEAARMAESYARNVLEECKNRVEELEMQIEETKKLERSASESLESVMKQLEDNNDALHDAESEIAALKEKLLSSAAEHEHYEAQLEDIRLVLKAKNDKYETMLDDAKNEIDLLTNTIEQSKNEYQNSKIEWEQKEMHLVDCIKKSEEESSSLEKEVNRLVNLLKQSEEEASASKEEEAQLKESLKEVESEVIYLQEALKEVKTESMKLKESLLDKETELQSVTQENEELRAKEAASLKKIEQLLKLLEEATIEKQNEENGELSDSEKDYDLLPKVVEFSEDNGHGSEEKPKLELSSEQPDELKKESRDLNDDSKDEPLQAERAKEENLNGKANNNETKGKEDESVEVEFKMWESCKIEKKEFSPERETEQESFDEEVESKVENTEAEGFDQINGSTENADDGKISPSKQQQQQQQQQQQQKKKKPLLRKFGSLLKKKGSNNPK >KJB26719 pep chromosome:Graimondii2_0_v6:4:59303488:59307292:1 gene:B456_004G256500 transcript:KJB26719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKSKSETPSKVSPATPRVASKLSKGLAKSEPDSPSPLQSTRHLVDRSLRSSLNSKPLNSKPSIDRKSPKAAAPAEKPQTRVGKGLELQAQLNVVQEDLKKAKEQIELIEKEKVQAIDELKEAQKAAEEANEKLREAVVAQKRAEESSEIEKFRAIELEQAGIEAARKKDEEWEKEIESVRNQHALDVAALLSNTEELQRVKQELAATCDAKNRALSHADDATKIAEMHAEKVEILSAELVRLKSLLDSKHETEANENNEMVLNLKAEIESLKRELEKAKIYKEKLMEKEAVIEQLNVELELLSSAAEHEHYEAQLEDIRLVLKAKNDKYETMLDDAKNEIDLLTNTIEQSKNEYQNSKIEWEQKEMHLVDCIKKSEEESSSLEKEVNRLVNLLKQSEEEASASKEEEAQLKESLKEVESEVIYLQEALKEVKTESMKLKESLLDKETELQSVTQENEELRAKEAASLKKIEQLLKLLEEATIEKQNEENGELSDSEKDYDLLPKVVEFSEDNGHGSEEKPKLELSSEQPDELKKESRDLNDDSKDEPLQAERAKEENLNGKANNNETKGKEDESVEVEFKMWESCKIEKKEFSPERETEQESFDEEVESKVENTEAEGFDQINGSTENADDGKISPSKQQQQQQQQQQQQKKKKPLLRKFGSLLKKKGSNNPK >KJB26718 pep chromosome:Graimondii2_0_v6:4:59305014:59306897:1 gene:B456_004G256500 transcript:KJB26718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAEKVEILSAELVRLKSLLDSKHETEANENNEMVLNLKAEIESLKRELEKAKIYKEKLMEKEAVIEQLNVELEAARMAESYARNVLEECKNRVEELEMQIEETKKLERSASESLESVMKQLEDNNDALHDAESEIAALKEKVGLLEMTISRQRGDLEESERRIHKAKEETADAEKLVDSLKSELETMKEEKIQALDNEKLAASSVQVLLEEKNKLINELENSRDEEEKSKKAMESLASALHEVSAEAREAKEKLLSSAAEHEHYEAQLEDIRLVLKAKNDKYETMLDDAKNEIDLLTNTIEQSKNEYQNSKIEWEQKEMHLVDCIKKSEEESSSLEKEVNRLVNLLKQSEEEASASKEEEAQLKESLKEVESEVIYLQEALKEVKTESMKLKESLLDKETELQSVTQENEELRAKEAASLKKIEQLLKLLEEATIEKQNEENGELSDSEKDYDLLPKVVEFSEDNGHGSEEKPKLELSSEQPDELKKESRDLNDDSKDEPLQAERAKEENLNGKANNNETKGKEDESVEVEFKMWESCKIEKKEFSPERETEQESFDEEVESKVENTEAEGFDQINGSTENADDGKISPSKQQQQQQQQQQQQKKKKPLLRKFGSLLKKKGSNNPK >KJB26720 pep chromosome:Graimondii2_0_v6:4:59303488:59307292:1 gene:B456_004G256500 transcript:KJB26720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKSKSETPSKVSPATPRVASKLSKGLAKSEPDSPSPLQSTRHLVDRSLRSSLNSKPLNSKPSIDRKSPKAAAPAEKPQTRVGKGLELQAQLNVVQEDLKKAKEQIELIEKEKVQAIDELKEAQKAAEEANEKLREAVVAQKRAEESSEIEKFRAIELEQAGIEAARKKDEEWEKEIESVRNQHALDVAALLSNTEELQRVKQELAATCDAKNRALSHADDATKIAEMHAEKVEILSAELVRLKSLLDSKHETEANENNEMVLNLKAEIESLKRELEKAKIYKEKLMEKEAVIEQLNVELEKLLSSAAEHEHYEAQLEDIRLVLKAKNDKYETMLDDAKNEIDLLTNTIEQSKNEYQNSKIEWEQKEMHLVDCIKKSEEESSSLEKEVNRLVNLLKQSEEEASASKEEEAQLKESLKEVESEVIYLQEALKEVKTESMKLKESLLDKETELQSVTQENEELRAKEAASLKKIEQLLKLLEEATIEKQNEENGELSDSEKDYDLLPKVVEFSEDNGHGSEEKPKLELSSEQPDELKKESRDLNDDSKDEPLQAERAKEENLNGKANNNETKGKEDESVEVEFKMWESCKIEKKEFSPERETEQESFDEEVESKVENTEAEGFDQINGSTENADDGKISPSKQQQQQQQQQQQQKKKKPLLRKFGSLLKKKGSNNPK >KJB25467 pep chromosome:Graimondii2_0_v6:4:51177174:51178196:-1 gene:B456_004G193400 transcript:KJB25467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVFFSLHHDSVLVAAFVGTAIAFACFSGAAMLAKRREYLYLGGLLSSAVSMLLWLHLASSIFGGSAAFFMFEIYFGLLVFVGYMVVDTQDIIEKAHLGDLDYVKHALTLFTDFVAVFVRILIIMLKNSAEKSEKKKKRRD >KJB25470 pep chromosome:Graimondii2_0_v6:4:51177174:51179452:-1 gene:B456_004G193400 transcript:KJB25470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCPGCLCFWGLSSYLMEHWGLPHIIRMLRNHDLAPLYPSLSGSKRVSLLMASAVFEGASVGPLIDLAIQIDPSVLVAAFVGTAIAFACFSGAAMLAKRREYLYLGGLLSSAVSMLLWLHLASSIFGGSAAFFMFEIYFGLLVFVGYMVVDTQDIIEKAHLGDLDYVKHALTLFTDFVAVFVRILIIMLKNSAEKSEKKKKRRD >KJB25469 pep chromosome:Graimondii2_0_v6:4:51177174:51179452:-1 gene:B456_004G193400 transcript:KJB25469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWLLSIPPYQEQRRVSLLMASAVFEGASVGPLIDLAIQIDPSVLVAAFVGTAIAFACFSGAAMLAKRREYLYLGGLLSSAVSMLLWLHLASSIFGGSAAFFMFEIYFGLLVFVGYMVVDTQDIIEKAHLGDLDYVKHALTLFTDFVAVFVRILIIMLKNSAEKSEKKKKRRD >KJB25471 pep chromosome:Graimondii2_0_v6:4:51177174:51179568:-1 gene:B456_004G193400 transcript:KJB25471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFFESRSRSNWSYDTLKNFRQISPVVQSHLKRVYLTLCCALVASAFGAYLHILWNIGGYLTSFACFGTMIWLLSIPPYQEQRRVSLLMASAVFEGASVGPLIDLAIQIDPSVLVAAFVGTAIAFACFSGAAMLAKRREYLYLGGLLSSAVSMLLWLHLASSIFGGSAAFFMFEIYFGLLVFVGYMVVDTQDIIEKAHLGDLDYVKHALTLFTDFVAVFVRILIIMLKNSAEKSEKKKKRRD >KJB25468 pep chromosome:Graimondii2_0_v6:4:51177174:51179123:-1 gene:B456_004G193400 transcript:KJB25468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWLLSIPPYQEQRRVSLLMASAVFEGASVGPLIDLAIQIDPSVLVAAFVGTAIAFACFSGAAMLAKRREYLYLGGLLSSAVSMLLWLHLASSIFGGSAAFFMFEIYFGLLVFVGYMVVDTQDIIEKAHLGDLDYVKHALTLFTDFVAVFVRILIIMLKNSAEKSEKKKKRRD >KJB25688 pep chromosome:Graimondii2_0_v6:4:53362432:53365686:1 gene:B456_004G204100 transcript:KJB25688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIHQFSVSQALLFLILASCGMRILTISLAAIEKEILLQFRGNITDDPYNSLNSWVPNGNPCVNFSGVFCNPKGFVDKIVLWNTNLSGQLPAALSGLSSIRVLTLFGNRFSGKIPQEYSLLQTLWKINVSSNALSGSIPGFIGDLPNIRFLDFSNNGYSGEIPSALFKNCYKTKYVSVSHNSLSGSIPGTIVKCSKLEGFDFSFNNLIGELPSGICDISVLKYASVGSNALSGNVLQEMSKCQSLSYLDLSRNSFTGLAPFGVIEFKNITYFNVSHNRFFGEIPSIGTCSETMQFIDASWNSLDGEIPTSISNCKSLKVLDLGFNRLNGTIPVNITDLGRLLAISLANNSLNGTIPAGFGSIELLQVLDLHNLNLAGGIPAYVSNCRFLRQLDVSGNALEGHIPDTIYNMTHLEILDLHGNQLNGSIPSGLGNLSKLQFLDLSLNSFSGSIPASLAHLNKITHFNLSYNNLSGIIPTIQTIQSFGPSAFSNNPGLCGFPLTSCSVSGRLPTSGRTRVLSTSAIVAIVAAAVILAGVCVVTILNIGARRSKKEEATMVVESTPPGSSDSNVIIGKLVLFSKILPSKYEDWEAGSKALLDKDSLVGGGSIGTVYRTSFEGGISIAVKKLETLGRIRNQDEFEQEIGRLGNIKHPNLVALQGYYWSSSIQLILSEFIPNGNLYDNLHGLNYPDTSTGVGNTELTWSRRFHVALGTARALSYLHHDCRPPIFHLNIKSSNILLDEEYKAKLSDYGLGKLLPILDNYGLTKFHNAVGYVAPELAQSLRVSEKCDVYSFGVILLELVTGRKPVESPTANEVVILCEYVRGLLEKGSASDCFDRRLRGFADNELIQVMKLGLICTSEIPSRRPSMSEVVQVLEFIRSGIES >KJB26327 pep chromosome:Graimondii2_0_v6:4:57620110:57622781:1 gene:B456_004G237500 transcript:KJB26327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLPTKRPAPDHGDDERNEVMVPEPKRRTNLKKNIMGVGGLSFNKIVLNLEPMLRIWVHEAVEAAIRSSIHPSSRPSLNRIEASRGRRLQLRFVDKPPSTIFTGSKVEAENGNPIRIILVDATSQAMVSSGSLSSIKVEIVVLNGEFGTDERQDWTENEFNASVLREREGRRPLVTGDLNITLVDGVGTVDNVIFTDNSSWIRCRKFRLGARIVQRSAGEVTIREATSDAFMVKDHRGELYKKHYPPLLHDEVWRLERIAKDGAFHKRLACKNVHTVKDFLRLLVTDPIALRNILGGGISNRVWETIIEHALSCVPDDDEWYAYHGTAQRVRLILNSIYKVVEVTFDGQDYLPVENLTFSQKLLVEDAKRQAYKNVWNLTPVDRRAIMGPSMPFTDLLPEPVGTSNLLLQQPDFSGTRPDMPLGLNQSSTSFSYEVENPKPLQGIEPLNPMLRNSSFRMEGIFPYNADNSFSFFADDGFGTNQDNTPAWAQGSGFIFTPDYETSSISFLSSFPSFNVHDRSIGEPREVCPKNRWLKVRAVIQWKSISRGAAKRRRQQWLQQGICT >KJB26329 pep chromosome:Graimondii2_0_v6:4:57620146:57622781:1 gene:B456_004G237500 transcript:KJB26329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGGLSFNKIVLNLEPMLRIWVHEAVEAAIRSSIHPSSRPSLNRIEASRGRRLQLRFVDKPPSTIFTGSKVEAENGNPIRIILVDATSQAMVSSGSLSSIKVEIVVLNGEFGTDERQDWTENEFNASVLREREGRRPLVTGDLNITLVDGVGTVDNVIFTDNSSWIRCRKFRLGARIVQRSAGEVTIREATSDAFMVKDHRGELYKKHYPPLLHDEVWRLERIAKDGAFHKRLACKNVHTVKDFLRLLVTDPIALRNILGGGISNRVWETIIEHALSCVPDDDEWYAYHGTAQRVRLILNSIYKVVEVTFDGQDYLPVENLTFSQKLLVEDAKRQAYKNVWNLTPVDRRAIMGPSMPFTDLLPEPVGTSNLLLQQPDFSGTRPDMPLGLNQSSTSFSYEVENPKPLQGIEPLNPMLRNSSFRMEGIFPYNADNSFSFFADDGFGTNQDNTPAWAQGSGFIFTPDYETSSISFLSSFPSFNVHDRSIGEPREVCPKNRWLKVRAVIQWKSISRGAAKRRRQQWLQQGICT >KJB26326 pep chromosome:Graimondii2_0_v6:4:57620031:57622804:1 gene:B456_004G237500 transcript:KJB26326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLPTKRPAPDHGDDERNEVMVPEPKRRTNLKNIRNIMGVGGLSFNKIVLNLEPMLRIWVHEAVEAAIRSSIHPSSRPSLNRIEASRGRRLQLRFVDKPPSTIFTGSKVEAENGNPIRIILVDATSQAMVSSGSLSSIKVEIVVLNGEFGTDERQDWTENEFNASVLREREGRRPLVTGDLNITLVDGVGTVDNVIFTDNSSWIRCRKFRLGARIVQRSAGEVTIREATSDAFMVKDHRGELYKKHYPPLLHDEVWRLERIAKDGAFHKRLACKNVHTVKDFLRLLVTDPIALRNILGGGISNRVWETIIEHALSCVPDDDEWYAYHGTAQRVRLILNSIYKVVEVTFDGQDYLPVENLTFSQKLLVEDAKRQAYKNVWNLTPVDRRAIMGPSMPFTDLLPEPVGTSNLLLQQPDFSGTRPDMPLGLNQSSTSFSYEVENPKPLQGIEPLNPMLRNSSFRMEGIFPYNADNSFSFFADDGFGTNQDNTPAWAQGSGFIFTPDYETSSISFLSSFPSFNVHDRSIGEPREVCPKNRWLKVRAVIQWKSISRGAAKRRRQQWLQQGICT >KJB26328 pep chromosome:Graimondii2_0_v6:4:57620352:57622781:1 gene:B456_004G237500 transcript:KJB26328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSGSLSSIKVEIVVLNGEFGTDERQDWTENEFNASVLREREGRRPLVTGDLNITLVDGVGTVDNVIFTDNSSWIRCRKFRLGARIVQRSAGEVTIREATSDAFMVKDHRGELYKKHYPPLLHDEVWRLERIAKDGAFHKRLACKNVHTVKDFLRLLVTDPIALRNILGGGISNRVWETIIEHALSCVPDDDEWYAYHGTAQRVRLILNSIYKVVEVTFDGQDYLPVENLTFSQKLLVEDAKRQAYKNVWNLTPVDRRAIMGPSMPFTDLLPEPVGTSNLLLQQPDFSGTRPDMPLGLNQSSTSFSYEVENPKPLQGIEPLNPMLRNSSFRMEGIFPYNADNSFSFFADDGFGTNQDNTPAWAQGSGFIFTPDYETSSISFLSSFPSFNVHDRSIGEPREVCPKNRWLKVRAVIQWKSISRGAAKRRRQQWLQQGICT >KJB25441 pep chromosome:Graimondii2_0_v6:4:50845719:50848597:-1 gene:B456_004G191400 transcript:KJB25441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFHSKVTRHEDLIELASQTAFTVTEVEALFELFKSISSSVIDDGLINKEEFQLALFMNKKKENIFANRIFDLFDAKKKGVIDFGDFVRALNIFHPNASQEDKTDFAFKLYDMDGTGFIERNEVKLMLIALLCESEMKLGDEIIEAILDKTLLDADINQDGKIDILEWQNLVARNPSLLKIMTLPYLRDITTAFPSFVFNFKVDEVAA >KJB25440 pep chromosome:Graimondii2_0_v6:4:50845719:50848588:-1 gene:B456_004G191400 transcript:KJB25440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFHSKVTRHEDLIELASQTAFTVTEVEALFELFKSISSSVIDDGLINKEEFQLALFMNKKKENIFANRKGVIDFGDFVRALNIFHPNASQEDKTDFAFKLYDMDGTGFIERNEVKLMLIALLCESEMKLGDEIIEAILDKTLLDADINQDGKIDILEWQNLVARNPSLLKIMTLPYLRDITTAFPSFVFNFKVDEVAA >KJB25442 pep chromosome:Graimondii2_0_v6:4:50845957:50848387:-1 gene:B456_004G191400 transcript:KJB25442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFHSKVTRHEDLIELASQTAFTVTEVEALFELFKSISSSVIDDGLINKEEFQLALFMNKKKENIFANRIFDLFDAKKKGVIDFGDFVRALNIFHPNASQEDKTDFAFKLYDMDGTGFIERNEVKLMLIALLCESEMKLGDEIIEAILDKTLLDADINQDGKIDILEWQNLVARNPSLLKIMTLPYLRDITTAFPSFVFNFKVDEVAA >KJB21900 pep chromosome:Graimondii2_0_v6:4:1488792:1493192:1 gene:B456_004G020000 transcript:KJB21900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSAILTRKFPHLCRFTGFSSQNACLPAGGTRSPIRESKDGSYPCLSSSNHRAVFKDWGARKTGAGQLGLDYSRVSAVSDGGSGGNGGFGGSGDGNSGGKGGGTGNNGGESGWSLLSWYLALLAKHPVLTKAVTSALLTCVGDLICQLAIDHAPSLDVKRTFLFTLLGLVLVGPTLHFWYLCLSNLVKLPGASGAILRLLLDQFMFSPIFIGVFLSTLVTLEGKPSQVIPKLRQEWFSAVIANWQLWIPFQFLNFRFVPQQFQVLAANFIALVWNVILSFKAHKEILTK >KJB27000 pep chromosome:Graimondii2_0_v6:4:60613875:60615627:1 gene:B456_004G271000 transcript:KJB27000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFAFASGTSPSFLPSNTLKPKHSPLRRPLAAINIRSESMATEKLGIKVETNPSESKLSQLGVRQWPKWGCPPSKFPWTYSSKETCYLLEGKVKVYPDGSNDFVEIGAGDLVEFPKGMSCTWEVSVAVDKHYKFE >KJB26228 pep chromosome:Graimondii2_0_v6:4:56962981:56964978:-1 gene:B456_004G232800 transcript:KJB26228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGELIIRSYDPQYDRTRVEDFERKCEVGPAKRVFLFTDTLGDPICRIRNSPIYKMLVAELGNQLVGVIQGSIKLVTVHKPPNDLAKVGYILGLRVSSLYRRRGIGSSLVIKLEEWFIANDVDYAYMATEKDNKASVKLFVDRLSYVKFQTPTILVNPVSHRMSRISSNVELAKLKVEEAEAIYRKFMSSTEFFPIDIGNILRNKLSLGTWAAYPRGESWSGVPSSWAMLSVWNSGELFKLRLGNAPLSCLMYTKSSRLIEKLVPCVKLPCIPDFFRPFGFYFIYGVYREGPLSGKLVRTLCRFVHNMASKSKDCKVIVTEVGGSDTSRLHIPHWKSLSCPEDLWCIKALKNEKKNSLHELTKTPPTRALFVDPREV >KJB26229 pep chromosome:Graimondii2_0_v6:4:56963427:56964978:-1 gene:B456_004G232800 transcript:KJB26229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGELIIRSYDPQYDRTRVEDFERKCEVGPAKRVFLFTDTLGDPICRIRNSPIYKMLVAELGNQLVGVIQGSIKLVTVHKPPNDLAKVGYILGLRVSSLYRRRGIGSSLVIKLEEWFIANDVDYAYMATEKDNKASVKLFVDRLSYVKFQTPTILVNPVSHRMSRISSNVEEAEAIYRKFMSSTEFFPIDIGNILRNKLSLGTWAAYPRGESWSGVPSSWAMLSVWNSGELFKLRLGNAPLSCLMYTKSSRLIEKLVPCVKLPCIPDFFRPFGFYFIYGVYREGPLSGKLVRTLCRFVHNMASKSKDCKVIVTEVGGSDTSRLHIPHWKSLSCPEDLWCIKALKNEKKNSLHELTKTPPTRALFVDPREV >KJB23169 pep chromosome:Graimondii2_0_v6:4:11667541:11668450:1 gene:B456_004G087900 transcript:KJB23169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGGPRGFLTTSGILSFNVKLKPLCMGNRVGRRGKFVAGLLEKAVGDQGVERGNKQPMVSASYECTTIDMEMRRLLRCRGLLTKHYKDEFNIPKGTRPGLDMVEVGWGSTSHCMRWKPGSIFLLMASCASRLTTMV >KJB24506 pep chromosome:Graimondii2_0_v6:4:41721840:41722411:1 gene:B456_004G1484001 transcript:KJB24506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRAIVVSKKLVDGSDANDKGKLWIPVILGVQWLVLKWIQGAIKKDIATGSGPL >KJB24507 pep chromosome:Graimondii2_0_v6:4:41721840:41722377:1 gene:B456_004G1484001 transcript:KJB24507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRAIVVSKKLVDGSDANDKGKLWIPVILGVQWLVLKWIQGAIKKDIATGSGPL >KJB26625 pep chromosome:Graimondii2_0_v6:4:58964250:58966645:1 gene:B456_004G251900 transcript:KJB26625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSFMMVDGGGLMDQRKGIMKIRHVNNKVRYVKRPIKKKKNRSSYRERKDPKVLQKLFDSCKEVFEGPETVPSDSDVNKLCSILDEMKPKHVGLSKNMQFFKSKSVEKGTPSVAYTPIYQCDEFSLCIFFLAANAVIPLHNHPGMTVFSKLLLGDLHIKSYDWVDSMPPPESQPRLARLKVDSVLKAPCKTSVLYPTTGGNIHEFRAVTPCAVLDVLGPPYSKDDRDCSYYKELQHSALSNGESSRVNEGGSDYLRWLKEIEVPQDSHMERIDYWGPQIVD >KJB25750 pep chromosome:Graimondii2_0_v6:4:54198424:54201300:-1 gene:B456_004G209600 transcript:KJB25750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-10 [Source:Projected from Arabidopsis thaliana (AT1G63260) UniProtKB/Swiss-Prot;Acc:F4I214] MLFNGQLLAIAVAIFGVWMSTHHDGCRKSLTLPVLGLGGFIFLVSMIGFLGALKKNAILLWIYLILLCMILVAILVFTVLAFIITNNGSGHNVSGLRYKEYQLKDYSSWFLKQLNNTDNWKRLKSCLVKSEDCNNIAKQYKTLKQYKMAKLTPIEAGCCRPPSECGYPVVNASYYDLSFHPVSSNEDCKLYKNSRVVKCYNCDSCKAGVAQYMKTEWRVVAIFNLALFVVLSIIYFVGCCARRNAGRTRQSKV >KJB25752 pep chromosome:Graimondii2_0_v6:4:54198424:54201508:-1 gene:B456_004G209600 transcript:KJB25752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-10 [Source:Projected from Arabidopsis thaliana (AT1G63260) UniProtKB/Swiss-Prot;Acc:F4I214] MILVAILVFTVLAFIITNNGSGHNVSGLRYKEYQLKDYSSWFLKQLNNTDNWKRLKSCLVKSEDCNNIAKQYKTLKQYKMAKLTPIEAGCCRPPSECGYPVVNASYYDLSFHPVSSNEDCKLYKNSRVVKCYNCDSCKAGVAQYMKTEWRVVAIFNLALFVVLSIIYFVGCCARRNAGRTRQSKV >KJB25751 pep chromosome:Graimondii2_0_v6:4:54198424:54201508:-1 gene:B456_004G209600 transcript:KJB25751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-10 [Source:Projected from Arabidopsis thaliana (AT1G63260) UniProtKB/Swiss-Prot;Acc:F4I214] MGMGTSNFVIRWINFLTMVIICLLCMLFNGQLLAIAVAIFGVWMSTHHDGCRKSLTLPVLGLGGFIFLVSMIGFLGALKKNAILLWIYLILLCMILVAILVFTVLAFIITNNGSGHNVSGLRYKEYQLKDYSSWFLKQLNNTDNWKRLKSCLVKSEDCNNIAKQYKTLKQYKMAKLTPIEAGCCRPPSECGYPVVNASYYDLSFHPVSSNEDCKLYKNSRVVKCYNCDSCKAGVAQYMKTEWRVVAIFNLALFVVLSIIYFVGCCARRNAGRTRQSKV >KJB25754 pep chromosome:Graimondii2_0_v6:4:54198424:54201654:-1 gene:B456_004G209600 transcript:KJB25754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-10 [Source:Projected from Arabidopsis thaliana (AT1G63260) UniProtKB/Swiss-Prot;Acc:F4I214] MGMGTSNFVIRWINFLTMLLAIAVAIFGVWMSTHHDGCRKSLTLPVLGLGGFIFLVSMIGFLGALKKNAILLWIYLILLCMILVAILVFTVLAFIITNNGSGHNVSGLRYKEYQLKDYSSWFLKQLNNTDNWKRLKSCLVKSEDCNNIAKQYKTLKQYKMAKLTPIEAGCCRPPSECGYPVVNASYYDLSFHPVSSNEDCKLYKNSRVVKCYNCDSCKAGVAQYMKTEWRVVAIFNLALFVVLSIIYFVGCCARRNAGRTRQSKV >KJB25753 pep chromosome:Graimondii2_0_v6:4:54199225:54201194:-1 gene:B456_004G209600 transcript:KJB25753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-10 [Source:Projected from Arabidopsis thaliana (AT1G63260) UniProtKB/Swiss-Prot;Acc:F4I214] MGMGTSNFVIRWINFLTMLLAIAVAIFGVWMSTHHDGCRKSLTLPVLGLGGFIFLVSMIGFLGALKKNAILLWIYLILLCMILVAILVFTVLAFIITNNGSGHNVSGLRYKEYQLKDYSSWFLKQLNNTDNWKRLKSCLVKSEDCNNIAKQYKTLKQYKMAKLTPIEAGCCRPPSECGYPVVNASYYDLSFHPVSSNEDCKLYKNSRVVKCYNCDSCK >KJB26184 pep chromosome:Graimondii2_0_v6:4:56375333:56382236:1 gene:B456_004G229200 transcript:KJB26184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVSSSSSLDDSTQLSPNSDPKAVDQRFDLLSVTDEPIQSEPSHRGNGDGFTNGSLNPERSTGDEDEDQLVEDQELVADEGPSSPNSCSYAGERGSASATSVSRIDAASDIDDIEIQGVRNESSFEGISDSQPSSGVPGQHRVDEDDASISWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFMENGGDRVKLVKAGKHQVVFVVKGPIYLVCISCNEEPFESLKGQLELIYGQMILILTKSINRSFEKNPKFDMTHLLGGTDDVFSSLIHSFSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKHKVISLVGAQKASLHPDDILLLSNFVMSSESFRTSESFSPICLPRYNPLAFLHAYVHFLDVDTYLMLLTTSSDAFYHLKDCRIRIETVLLKSNILSEVQRSLLDGGIQVEDLHVAPLPRSGPSPHQVQQILQTDSPERPREPFVGIGGPAGLWHFIYRSIYLDQYVSTEFSAPLNNHQQQKRLYRAYLRLYTSMHDKGIGPYKTQFKRDENYVLLCWVTQDFELYAAFDPLADKAEAIKTCNRVCQWLKDVENEIFLHGAKPFFMVISQSG >KJB26185 pep chromosome:Graimondii2_0_v6:4:56375333:56381153:1 gene:B456_004G229200 transcript:KJB26185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVSSSSSLDDSTQLSPNSDPKAVDQRFDLLSVTDEPIQSEPSHRGNGDGFTNGSLNPERSTGDEDEDQLVEDQELVADEGPSSPNSCSYAGERGSASATSVSRIDAASDIDDIEIQGVRNESSFEGISDSQPSSGVPGQHRVDEDDASISWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFMENGGDRVKLVKAGKHQVVFVVKGPIYLVCISCNEEPFESLKGQLELIYGQMILILTKSINRSFEKNPKFDMTHLLGGTDDVFSSLIHSFSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKHKVISLVGAQKASLHPDDILLLSNFVMSSESFRTSESFSPICLPRYNPLAFLHAYVHFLDVDTYLMLLTTSSDAFYHLKDCRIRIETVLLKSNILSEVQRSLLDGGIQVEDLHVAPLPRSGPSPHQVQQILQTDSPERPREPFVGIGGPAGLWHFIYRSIYLDQYVSTEFSAPLNNHQQQKRNWAIQNSVQKG >KJB26187 pep chromosome:Graimondii2_0_v6:4:56375321:56382236:1 gene:B456_004G229200 transcript:KJB26187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVSSSSSLDDSTQLSPNSDPKAVDQRFDLLSVTDEPIQSEPSHRGNGDGFTNGSLNPERSTGDEDEDQLVEDQELVADEGPSSPNSCSYAGERGSASATSVSRIDAASDIDDIEIQGVRNESSFEGISDSQPSSGVPGQHRVDEDDASISWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFMENGGDRVKLVKAGKHQVVFVVKGPIYLVCISCNEEPFESLKGQLELIYGQMILILTKSINRSFEKNPKFDMTHLLGGTDDVFSSLIHSFSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKHKVISLVGAQKASLHPDDILLLSNFVMSSESFRTSESFSPICLPRYNPLAFLHAYVHFLDVDTYLMLLTTSSDAFYHLKDCRIRIETVLLKSNILSEVQRSLLDGGIQVEDLHVAPLPRSGPSPHQVQQILQTDSPERPREPFVGIGGPAGLWHFIYRSIYLDQYVSTEFSAPLNNHQQQKRLYRAYLRLYTSMHDKGIGPYKTQFKRDENYVLLCWVTQDFELYAAFDPLADKAEAIKTCNRVCQWLKDVENEIFLHGAKPFFMVISQSG >KJB26186 pep chromosome:Graimondii2_0_v6:4:56375333:56381642:1 gene:B456_004G229200 transcript:KJB26186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVSSSSSLDDSTQLSPNSDPKAVDQRFDLLSVTDEPIQSEPSHRGNGDGFTNGSLNPERSTGDEDEDQLVEDQELVADEGPSSPNSCSYAGERGSASATSVSRIDAASDIDDIEIQGVRNESSFEGISDSQPSSGVPGQHRVDEDDASISWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFMENGGDRVKLVKAGKHQVVFVVKGPIYLVCISCNEEPFESLKGQLELIYGQVMILILTKSINRSFEKNPKFDMTHLLGGTDDVFSSLIHSFSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKHKVISLVGAQKASLHPDDILLLSNFVMSSESFRTSESFSPICLPRYNPLAFLHAYVHFLDVDTYLMLLTTSSDAFYHLKDCRIRIETVLLKSNILSEVQRSLLDGGIQVEDLHVAPLPRSGPSPHQVQQILQTDSPERPREPFVGIGGPAGLWHFIYRSIYLDQYVSTEFSAPLNNHQQQKRLYRAYLRLYTSMHDKGIGPYKTQFKRDENYVLLCWVTQDFELYAAFDPLADKAEAIKTCNRVCQWLKDVENEIFLHGAKPFFMVISQSG >KJB22933 pep chromosome:Graimondii2_0_v6:4:8361471:8364022:-1 gene:B456_004G074700 transcript:KJB22933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLSQTFLSINRQLHDDHQVLPYEKCTSVASLSGQKFCIGRNKSMEFSKRSPRIPRALSKTSEPLSSIIHDFEKEIEPVKQEERRLADVWREIQGQDDWVGMLDPMDPLLRSELIRYGEMAQAAYDAFDFDPFSKYCGSCRFTRRQFFDALGMAHHGYHISRYLFATSNINLPNFFKKSRWPKVWSKNANWIGYVAVSDDEMSKRLGHRDITIAWRGTVTRLEWIADLMDFLKPISSNKIPCPDPMVKVESGFLDLYTDKDVNCRFCKFSAREQILTEVKKLLELYQDEEISITITGHSLGSALAILSAYDIVETGLNLLNDCRAVPVSVFSFSGPRVGNVRFKERMESLGVKVLRVVNVHDIVPKSPGLFFNENVSPVLMKLAEGLPWSYSHVGVELALDHTHSPFLKQTGDLTCAHNLEAHLHLLDGYHGKGRRFVLASGRDPALVNKASDFLKDHYLVPPYWRQDENKGMVRNKDGRWMQQERPILDHHHEDTHHHLKQLGQATEHYS >KJB22934 pep chromosome:Graimondii2_0_v6:4:8361471:8364150:-1 gene:B456_004G074700 transcript:KJB22934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLSQTFLSINRQLHDDHQVLPYEKCTSVASLSGQKFCIGRNKSMEFSKRSPRIPRALSKTSEPLSSIIHDFEKEIEPVKQEERRLADVWREIQGQDDWVGMLDPMDPLLRSELIRYGEMAQAAYDAFDFDPFSKYCGSCRFTRRQFFDALGMAHHGYHISRYLFATSNINLPNFFKKSRWPKVWSKNANWIGYVAVSDDEMSKRLGHRDITIAWRGTVTRLEWIADLMDFLKPISSNKIPCPDPMVKVESGFLDLYTDKDVNCRFCKFSAREQILTEVKKLLELYQDEEISITITGHSLGSALAILSAYDIVETGLNLLNDCRAVPVSVFSFSGPRVGNVRFKERMESLGVKVLRVVNVHDIVPKSPGLFFNENVSPVLMKLAEGLPWSYSHVGVELALDHTHSPFLKQTGDLTCAHNLEAHLHLLDGYHGKGRRFVLASGRDPALVNKASDFLKDHYLVPPYWRQDENKGMVRNKDGRWMQQERPILDHHHEDTHHHLKQLG >KJB25148 pep chromosome:Graimondii2_0_v6:4:48700528:48703269:-1 gene:B456_004G178500 transcript:KJB25148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTVYCMPAVSMVSQTFAADHFFIRYDHHHHHDHKDLRRWRSRTTAAASAYPLFSNPKASSSRHKFYQEALKTARDKFAREISFQSEDKDVSLAKALLYVAAEDETFMVFNREMDACSFLNEGIDVCSSSNAREWDSVEQMPLDGKTISEWLSELDAIAKEVEAELVSRDIGCHLVEVLQAVNLVLFELRGFKRSPVLVDSKHSYLHLVLSSGCGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQTTYPEELFKVTSGHSLFAVVNGRCVEDPRSMASDLTGSSLLGLEIASNRDIVGIALANLIRFHWKCASRSNLGLMLTSPLRHAHNADEKPNKIDKPNVPLLRPQDLKLAIMASERLLILQPHNWALRRDHGMMLYYNREYGKAVQELSICMAFAPEEEAELLEPFVEKLHLMRLESSWKSLGHTASMTVK >KJB25146 pep chromosome:Graimondii2_0_v6:4:48700228:48703128:-1 gene:B456_004G178500 transcript:KJB25146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNREMDACSFLNEGIDVCSSSNAREWDSVEQMPLDGKTISEWLSELDAIAKEVEAELVSRDIGCHLVEVLQAVNLVLFELRGFKRSPVLVDSKHSYLHLVLSSGCGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQTTYPEELFKVTSGHSLFAVVNGRCVEDPRSMASDLTGSSLLGLEIASNRDIVGIALANLIRFHWKCASRSNLGLMLTSPLRHAHNADEKPNKIDKPNVPLLRPQDLKLAIMASERLLILQPHNWALRRDHGMMLYYNREYGKAVQELSICMAFAPEEEAELLEPFVEKLHLMRLESSWKSLGHTASMTVK >KJB25145 pep chromosome:Graimondii2_0_v6:4:48701208:48702360:-1 gene:B456_004G178500 transcript:KJB25145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNREMDACSFLNEGIDVCSSSNAREWDSVEQMPLDGKTISEWLSELDAIAKEVEAELVSRDIGCHLVEVLQAVNLVLFELRGFKRSPVLVDSKHSYLHLVLSSGCGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQTTYPEELFKVTSGHSLFAVVNGRCVEDPRSMASDLTGSSLLGLEIASNRDIVGIALANLIRFHWKCASRSNLGLMLTSPLRHAHNADEKPNKIDKPNVPLLRPQDLK >KJB25147 pep chromosome:Graimondii2_0_v6:4:48699679:48702973:-1 gene:B456_004G178500 transcript:KJB25147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNREMDACSFLNEGIDVCSSSNAREWDSVEQMPLDGKTISEWLSELDAIAKEVEAELVSRDIGCHLVEVLQAVNLVLFELRGFKRSPVLVDSKHSYLHLVLSSGCGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQTTYPEELFKVTSGHSLFAVVNGRCVEDPRSMASDLTGSSLLGLEIASNRDIVGIALANLIRFHWKCASRSNLGLMLTSPLRHAHNADEKPNKIDKPNVPLLRPQDLKLAIMASERLLILQPHNWALRRDHGMMLYYNREYGKAVQELSICMAFAPEEEAELLEPFVEKLHLMRLESSWKSLGHTASMTVK >KJB25409 pep chromosome:Graimondii2_0_v6:4:58515394:58521145:-1 gene:B456_004G248100 transcript:KJB25409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPQSKPRLPKPGDHIYCERKGGLYDHHGIYMGDGMVIHLRGAAKKLGELPGCHKCGDKRVENGEIAKVCIDCFLDGGMIQIFDYGVPLLEFIARKRVISIATDLLERNDFGPYDMFTNNSQHFAVYCKTGFATSFQIEGHIPAGMLAGAAVVATFGVTKGVSKTSSSW >KJB22531 pep chromosome:Graimondii2_0_v6:4:4824979:4827173:1 gene:B456_004G052700 transcript:KJB22531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDNCLGAQRSRKIQRALRHCKVTILCLVLTLVVLRGTIGAGKFGTPGQDLAEIRDHLYSRKRGEPHRVLEEVQTTSLDKHDGADTNAGTNNYNEFDINKILIDEEPDVPKRDPNAPYSLGPRISDWDEQRSRWLQENPNYPNFIGPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDSNFVMHGWNEMVYDQKNWIGLNTGSFLLRNGQWALDILDAWAPMGPKGKIREEAGKVLTRELKNRPVFEADDQSAMVYLLATQREKWGDKVYLENSYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYSVERCLKQMDRAFNFGDNQILQIYGFTHKSLASRRVKRVRNETSNPLEVKDELGLLHPAFKAVKESAS >KJB22696 pep chromosome:Graimondii2_0_v6:4:6042588:6045683:1 gene:B456_004G061200 transcript:KJB22696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRRSNDNDGASPGKIFIGGLARDTTSETFIKYFEKYGDITDFVIMKDRHTGRPRGFGFITFADPSVVDTVMQKDHVINGKQVEIKRTIPKGSSQSNDIKTKKLFVGGILTSVTEDEFKNFFSKYGEVVEHEIIRDHATKRSRGFGFIVFDSEEVVDNMLANGNLIDMEGTQVSTQSDVNRYVCNLCGETVRSGMSNSSADGSNNYCYSQVKYHCVLLLVLRDLVFQLSIQLCLGQVYCCY >KJB22695 pep chromosome:Graimondii2_0_v6:4:6042369:6045834:1 gene:B456_004G061200 transcript:KJB22695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRRSNDNDGASPGKIFIGGLARDTTSETFIKYFEKYGDITDFVIMKDRHTGRPRGFGFITFADPSVVDTVMQKDHVINGKQVEIKRTIPKGSSQSNDIKTKKLFVGGILTSVTEDEFKNFFSKYGEVVEHEIIRDHATKRSRGFGFIVFDSEEVVDNMLANGNLIDMEGTQVEIKKAEPKRASNPAPGPGYGRESNIRSYNDGFGGFCDYGGDGGYGPGPYRSFGGFGTRFGDYGGYAGSGAGFGGSYGGFGGGGFYGYHGDPSFGYSSSFGSYAGGLSGSGIGAYGRAGGGYGSYGGSGSSGSYDSGPGAGFGGPVYGSRTGYGGSSRYHPYSR >KJB21964 pep chromosome:Graimondii2_0_v6:4:1889506:1893557:1 gene:B456_004G024700 transcript:KJB21964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFHLRVIFLISMFFTSSYSLSDSESLLKFKASLVNASKLDSWRNNPKPPCDGNRANWVGVLCKKDTILGLKLEKMGFSGTIDVDSLSGFSKLRTLSFMNNNFNGSLPDFNKLSGLRSLYLSNNQLFGDLPKNAFESLARLKKLYLSKNKFTGEIPSSIANLRKVIEIKLDGNKFSGKIPDFKQHNFTLNLSNNALEGSIPASLSNMDPTIFSGNKGLCGAPLMKTCDSPPSPPTTQSSAPSPALPTTPSPAPSPAPPKTPAIWLPTILFLVVTSIIFAILTLLLICCRSRVQPPHSIEAPPPTSGASQKNDVELSFLRDDRERFDLAELLKSTADVLGSGSFGSSHKAALSVGPSMVVKRHKKMNNGGKEEFIKHMENLGWLRHENVLPLVAYCYRREEKLLVSDFMENGSLAVHLHGHQTLRKAPLDWPTRLNIVKGVTTGLEYLYNELPALISPHGHLKSSNVLLNESLQPLLTDYSLIPLINPESAQELIVAYKSPEYIKHGRITKKTDVWCLGVLILEILTGKFPANFLQKGKGTEEQDLAVWVTSIVGDYENNNPEIMLKIEEVLDKDMGGVNNGDKETMVELLKIGLNCCESDLEKRLDLKDVVERIDGLMK >KJB25654 pep chromosome:Graimondii2_0_v6:4:53184606:53187408:-1 gene:B456_004G202600 transcript:KJB25654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFFLGLVGVTYYAVVVAYYGPHLFRGGFDTLIAVAFLFLFHLLLVMVLWTYATVVLTDPGGVPLNWRPLREEEKGDADPLVGLGYGIAKIGTNQSAVPGDFKNLDIGFCLKCNRLKPPRAHHCSICNRCILKMDHHCGWVANCVGALNYKSFLLFLFYTFLAEILVCLALLRVFMEIFNDDEVDLTPGKLAATFIAFVLNLAFAVSVLGFLIMHITLVGANRTTIEFQAKDKKTGLTWRYDLGWKKNYEQVFGTEKKLWLIPVYSKDDKRRMPALQGFEYPTRPNWDPQH >KJB25655 pep chromosome:Graimondii2_0_v6:4:53184606:53187263:-1 gene:B456_004G202600 transcript:KJB25655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWTYATVVLTDPGGVPLNWRPLREEEKGDADPLVGLGYGIAKIGTNQSAVPGDFKNLDIGFCLKCNRLKPPRAHHCSICNRCILKMDHHCGWVANCVGALNYKSFLLFLFYTFLAEILVCLALLRVFMEIFNDDEVDLTPGKLAATFIAFVSVLGFLIMHITLVGANRTTIEFQAKDKKTGLTWRYDLGWKKNYEQVFGTEKKLWLIPVYSKDDKRRMPALQGFEYPTRPNWDPQH >KJB25653 pep chromosome:Graimondii2_0_v6:4:53184300:53187451:-1 gene:B456_004G202600 transcript:KJB25653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFFLGLVGVTYYAVVVAYYGPHLFRGGFDTLIAVAFLFLFHLLLVMVLWTYATVVLTDPGGVPLNWRPLREEEKGDADPLVGLGYGIAKIGTNQSAVPGDFKNLDIGFCLKCNRLKPPRAHHCSICNRCILKMDHHCGWVANCVGALNYKSFLLFLFYTFLAEILVCLALLRVFMEIFNDDEVDLTPGKLAATFIAFVSVLGFLIMHITLVGANRTTIEFQAKDKKTGLTWRYDLGWKKNYEQVFGTEKKLWLIPVYSKDDKRRMPALQGFEYPTRPNWDPQH >KJB24064 pep chromosome:Graimondii2_0_v6:4:33231473:33234695:-1 gene:B456_004G126600 transcript:KJB24064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPNPPFENDLEDLECIVDDFIFICFFIGNDFLPHMPTLEIREDAINLLMAVYKKEFRSFGGYLTDGSNPNLSRVEHFIQAVGSYEDKIFNKRAQLHQTFSSMIPKLSNKLVKCR >KJB24785 pep chromosome:Graimondii2_0_v6:4:45001845:45003326:-1 gene:B456_004G160200 transcript:KJB24785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMRSMKGLAPNVFTYNCLIDGLCKCGDVEKGKELFDQMNEVGVSPNVITHNILVDGMCRHGRINGALNFFSGMQGNGLKGNAVTYTTLITAYCDIDHIVKVVDLFDQMLASGCSPDTSVYHRLISGLCKAGRMDAASNVLSKLKEAGFRPDIVCYNSLVRGFCKKNMVDKAYDIIKEMEQAGVKPDSVSYNSLIAYFCQNGNFGLAREAMEWMINGHVPTIATYGALIHAYGSNGNIKEAMKLFNDMMHFLVDDMKAKGVKPNSDTYNAMFKGLKENNLLEDAFRLMDAMIEHACKPDYITMEILTGWLSMVNLKN >KJB21686 pep chromosome:Graimondii2_0_v6:4:598836:601086:-1 gene:B456_004G008700 transcript:KJB21686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAPFRPREKLIEYQKYFQGIHKHTYLKGPYDKITSVAIPAALAASSLFLIGRGIYNMSHGIGKKD >KJB21687 pep chromosome:Graimondii2_0_v6:4:599285:601015:-1 gene:B456_004G008700 transcript:KJB21687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAPFRPREKLIEYQKYFQGIHKHTYLKGPYDKITSVAIPAALAASSLFLIGRGIYNMSHGIGKKD >KJB21685 pep chromosome:Graimondii2_0_v6:4:599285:601011:-1 gene:B456_004G008700 transcript:KJB21685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAPFRPREKLIEYQKYFQGIHKHTYLKGPYDKITSVAIPAALAASSLFLIGRGIYNMSHGIGKKD >KJB21684 pep chromosome:Graimondii2_0_v6:4:599594:599914:-1 gene:B456_004G008700 transcript:KJB21684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAPFRPREKLIEYQKYFQGIHKHTYLKGPYDKITSVAIPAALAASSLFLIGRGIYNMSHGIGKKD >KJB26248 pep chromosome:Graimondii2_0_v6:4:56981102:56983679:1 gene:B456_004G233000 transcript:KJB26248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFSSSRRAVNNVVKGEIKWELRPGGMLVQKRDMGDASSGPMIKIKVSHGSFHHDITVPAQSTFGDLKKVLVQETGLEPKEQRLLFQGKEKDDGECLHMVGVKDMSKVVLLEDPASKERKLEEMKRNQSVLKACEEVAKVRAEVVQLSDKVNALEGIVHGGTKVDEKELLGLTELLMVQLLQLDTISANGEAKVQRRAEVRRVQRLVDTLDNMKARNSNPFSSSGKSEAFECASPSFPSSTRITHDWEVFD >KJB26249 pep chromosome:Graimondii2_0_v6:4:56981102:56983679:1 gene:B456_004G233000 transcript:KJB26249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFSSSRRAVNNVVKGEIKWELRPGGMLVQKRDMGDASSGPMIKIKVSHGSFHHDITVPAQSTFGDLKKVLVQETGLEPKEQRLLFQGKEKDDGECLHMVGVKDMSKVVLLEDPASKERKLEEMKRNQSVLKACEEVAKVRAEVNALEGIVHGGTKVDEKELLGLTELLMVQLLQLDTISANGEAKVQRRAEVRRVQRLVDTLDNMKARNSNPFSSSGKSEAFECASPSFPSSTRITHDWEVFD >KJB25352 pep chromosome:Graimondii2_0_v6:4:50249590:50251560:-1 gene:B456_004G187300 transcript:KJB25352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTYLFGSPSIIVSIPETCRKVLADDEQFGLGYPLSTKQLTGKKSFHSIPNSEHKRLRRLTTAPINGHEALSMYIGYIEEIVVNSLDEWSSMKEPIELLNEIRQFTFKVITHIFLGSTAESVMGSVEKRYADLTYGMKSAAINIPGFAFYKALKARKMLVKILQGVLDERRVNDPNGKKGMIDLLMEIEDENGQKLPDEDIIDLLLMFLLAGHESSAHVAMWAILYLHNNPEILKKAKEEQEEILKNRQSTEKGLTLKDIREMYYLQKVIDETLRRASVSFCNFREAKVDVNINGYLIPKGWKVLVWNRGVHMDPQVYSNPKEFLPQRWENHQPRAGSFLPFGAGSRICPGADLAKLEISIFLHYFLLNYKLEQINPGGPIVYLPLPRPVDNCLAKVMKIE >KJB25350 pep chromosome:Graimondii2_0_v6:4:50249402:50252214:-1 gene:B456_004G187300 transcript:KJB25350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYLNILALILAVLLGTYVFVFGFLKKINEWRYVSSLGEKKHSLPPGDMGWPIIGNMWSFLKVFRSNDPDTFIYNLVKRYGRTGMYKTYLFGSPSIIVSIPETCRKVLADDEQFGLGYPLSTKQLTGKKSFHSIPNSEHKRLRRLTTAPINGHEALSMYIGYIEEIVVNSLDEWSSMKEPIELLNEIRQFTFKVITHIFLGSTAESVMGSVEKRYADLTYGMKSAAINIPGFAFYKALKARKMLVKILQGVLDERRVNDPNGKKGMIDLLMEIEDENGQKLPDEDIIDLLLMFLLAGHESSAHVAMWAILYLHNNPEILKKAKEEQEEILKNRQSTEKGLTLKDIREMYYLQKVIDETLRRASVSFCNFREAKVDVNINGYLIPKGWKVLVWNRGVHMDPQVYSNPKEFLPQRWENHQPRAGSFLPFGAGSRICPGADLAKLEISIFLHYFLLNYKLEQINPGGPIVYLPLPRPVDNCLAKVMKIE >KJB25353 pep chromosome:Graimondii2_0_v6:4:50249420:50252176:-1 gene:B456_004G187300 transcript:KJB25353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVWERRNIPFLQAIWDGLSSATCGLSSKFSGPTTLTPSSTTWYGRTGMYKTYLFGSPSIIVSIPETCRKVLADDEQFGLGYPLSTKQLTGKKSFHSIPNSEHKRLRRLTTAPINGHEALSMYIGYIEEIVVNSLDEWSSMKEPIELLNEIRQFTFKVITHIFLGSTAESVMGSVEKRYADLTYGMKSAAINIPGFAFYKALKARKMLVKILQGVLDERRVNDPNGKKGMIDLLMEIEDENGQKLPDEDIIDLLLMFLLAGHESSAHVAMWAILYLHNNPEILKKAKEEQEEILKNRQSTEKGLTLKDIREMYYLQKVIDETLRRASVSFCNFREAKVDVNINGYLIPKGWKVLVWNRGVHMDPQVYSNPKEFLPQRWENHQPRAGSFLPFGAGSRICPGADLAKLEISIFLHYFLLNYKLEQINPGGPIVYLPLPRPVDNCLAKVMKIE >KJB25351 pep chromosome:Graimondii2_0_v6:4:50249411:50252176:-1 gene:B456_004G187300 transcript:KJB25351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYLNILALILAVLLGTYVFVFGFLKKINEWRYVSSLGEKKHSLPPGDMGWPIIGNMWSFLKVFRSNDPDTFIYNLVKRYGRTGMYKTYLFGSPSIIVSIPETCRKVLADDEQFGLGYPLSTKQLTGKKSFHSIPNSEHKRLRRLTTAPINGHEALSMYIGYIEEIVVNSLDEWSSMKEPIELLNEIRQFTFKVITHIFLGSTAESVMGSVEKRYADLTYGMKSAAINIPGFAFYKALKARKMLVKILQGVLDERRVNDPNGKKGMIDLLMEIEDENGQKLPDEDIIDLLLMFLLAGHESSAHVAMWAILYLHNNPEILKKAKEEILKNRQSTEKGLTLKDIREMYYLQKVIDETLRRASVSFCNFREAKVDVNINGYLIPKGWKVLVWNRGVHMDPQVYSNPKEFLPQRWENHQPRAGSFLPFGAGSRICPGADLAKLEISIFLHYFLLNYKLEQINPGGPIVYLPLPRPVDNCLAKVMKIE >KJB25089 pep chromosome:Graimondii2_0_v6:4:48021397:48025755:-1 gene:B456_004G176400 transcript:KJB25089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGSKGCCRVGGGGRDTAPAASSSSSGGLRGRSKVKNRVFRSSCLGALSRSASPKPNFDHRKQPASESDLVKRESNRKVEGEAASNEEMQLPLPTPCISSGGSTSGAAVTAAHRSPSRCLSGISFLPGNLSFRFSRANSMGSSAEMLNPDDDSETLEPQQPPRNSCSPIIFNDSDGSGETLESNARFSRALSVGRLRDRVLGRAPTFCPLQQDIQITQQQLATSPSTTASAHASSVISHDEIETSRSREARYHDLLHHRSNFLERRRRIRSQVRALQRLGSRFENFSGHERSCILSGQHRSGRCTCRVNNRDSSSNDDTTGARSSVSRIVMLAEALFEVLDEIHQQSMVLSSRPSVSPIGSVPAPNEVVESLPVKVYKSPKLKNDEAVQ >KJB25088 pep chromosome:Graimondii2_0_v6:4:48020753:48025829:-1 gene:B456_004G176400 transcript:KJB25088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGSKGCCRVGGGGRDTAPAASSSSSGGLRGRSKVKNRVFRSSCLGALSRSASPKPNFDHRKQPASESDLVKRESNRKVEGEAASNEEMQLPLPTPCISSGGSTSGAAVTAAHRSPSRCLSGISFLPGNLSFRFSRANSMGSSAEMLNPDDDSETLEPQQPPRNSCSPIIFNDSDGSGETLESNARFSRALSVGRLRDRVLGRAPTFCPLQQDIQITQQQLATSPSTTASAHASSVISHDEIETSRSREARYHDLLHHRSNFLERRRRIRSQVRALQRLGSRFENFSGHERSCILSGQHRSGRCTCRVNNRDSSSNDDTTGARSSVSRIVMLAEALFEVLDEIHQQSMVLSSRPSVSPIGSVPAPNEVVESLPVKVYKSPKLKNDEAVQCYICLVEYEEGDSIRRLPCNHEFHRTCIDKWLKEIHRVCPLCRGDICGPDLMPGEK >KJB24221 pep chromosome:Graimondii2_0_v6:4:36928943:36930774:1 gene:B456_004G134600 transcript:KJB24221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMWKWEQGTLVSELIQGMQLAKQLRLHLGAPSSSVESRDLLLQKILSSYEKALLILKLSRPTEQPQQNVGGKTCVPESPLTINGSPRSDDLEKDNQDIRDVSKKRKMMPRWTDQVRVSSENLLEGPHDDGYSWRKYGQKDILGAKYPRSYYRCTYRNTQNCWATKQVQRSDEDPILFEVTYRGTHTCANGNPAVPSPEKQHKSNSNGLNNTNYQPLQSQDTLSEFRAGLRVNTEGLDNKEMAPPFSFASTSFGCIKSENHSFSPSGVLDYYNIFGSFSSPFMSPDTPELNYFSVSQMNNFQGVLNTQHSKSDLTELVSANTSATNSPIMDLDFSLDQVELDPNFPFDTPGFFS >KJB24222 pep chromosome:Graimondii2_0_v6:4:36929706:36930559:1 gene:B456_004G134600 transcript:KJB24222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGTVFCRKMMPRWTDQVRVSSENLLEGPHDDGYSWRKYGQKDILGAKYPRSYYRCTYRNTQNCWATKQVQRSDEDPILFEVTYRGTHTCANGNPAVPSPEKQHKSNSNGLNNTNYQPLQSQDTLSEFRAGLRVNTEGLDNKEMAPPFSFASTSFGCIKSENHSFSPSGVLDYYNIFGSFSSPFMSPDTPELNYFSVSQMNNFQGVLNTQHSKSDLTELVSANTSATNSPIMDLDFSLDQVELDPNFPFDTPGFFS >KJB24223 pep chromosome:Graimondii2_0_v6:4:36928986:36930774:1 gene:B456_004G134600 transcript:KJB24223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMWKWEQGTLVSELIQGMQLAKQLRLHLGAPSSSVESRDLLLQKILSSYEKALLILKLSRPTEQPQQNVGGKTCVPESPLTINGSPRSDDLEKDNQDIRDVSKKRKMMPRWTDQVRVSSENLLEGPHDDGYSWRKYGQKDILGAKYPRCTYRNTQNCWATKQVQRSDEDPILFEVTYRGTHTCANGNPAVPSPEKQHKSNSNGLNNTNYQPLQSQDTLSEFRAGLRVNTEGLDNKEMAPPFSFASTSFGCIKSENHSFSPSGVLDYYNIFGSFSSPFMSPDTPELNYFSVSQMNNFQGVLNTQHSKSDLTELVSANTSATNSPIMDLDFSLDQVELDPNFPFDTPGFFS >KJB21607 pep chromosome:Graimondii2_0_v6:4:178933:180497:-1 gene:B456_004G002600 transcript:KJB21607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLVSLIPESGPSRTASATKASVHHPKSGRELVSKLIGARVYTTDSARDTEGHGSHTASTAAGDNVVSASFYGFAEGTARGGVPSARIATYKACNGIWCTSDILAAFDDTIADGVDLITVPLGSVFMSEFYSDPVATGAFHAAEKGVLVVQSAGNSGLAGFQSVASVAPWILSVAASTTDRHFVAAVDYS >KJB22058 pep chromosome:Graimondii2_0_v6:4:52009658:52010489:-1 gene:B456_004G198900 transcript:KJB22058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICLVALAKLHLLTPAHSISAPLLAGFICPFVVKLAFRFRIVRRVYIDMLYATRLFFFQLSQIAFDAGGDRQPSPGDGERWQRALRLVSERITHVRRSPVEDSDEDSFHTLTVLSL >KJB25277 pep chromosome:Graimondii2_0_v6:4:49609848:49612468:-1 gene:B456_004G182900 transcript:KJB25277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWEITLGTAYFLGLKRTYRLALKIQRRIISPKRPRIRQFVHRRTRAVFDIALKVHQNIQQRDLEVGRNLGNWILRWLDKMKPSAQIRPPSHQKLHHGTGSAKMNITKQVNNSSKTPRSLQTPRNSEADKRLFSSSTYMLSKSLPTIAMMIRPPRAAGNMTQYRHLSINGPHTLRLNYTRGEGVIRKDIMQWMLHN >KJB25279 pep chromosome:Graimondii2_0_v6:4:49609878:49612087:-1 gene:B456_004G182900 transcript:KJB25279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSAQIRPPSHQKLHHGTGSAKMNITKQVNNSSKTPRSLQTPRNSEADKRLFSSSTYMLSKSLPTIAMMIRPPRAAGNMTQYRHLSINGPHTLRLNYTRGEGVIRKDIMQWMLHN >KJB25278 pep chromosome:Graimondii2_0_v6:4:49609878:49611849:-1 gene:B456_004G182900 transcript:KJB25278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRCRRTRAVFDIALKVHQNIQQRDLEVGRNLGNWILRWLDKMKPSAQIRPPSHQKLHHGTGSAKMNITKQVNNSSKTPRSLQTPRNSEADKRLFSSSTYMLSKSLPTIAMMIRPPRAAGNMTQYRHLSINGPHTLRLNYTRGEGVIRKDIMQWMLHN >KJB21307 pep chromosome:Graimondii2_0_v6:4:62167543:62169147:-1 gene:B456_004G2942002 transcript:KJB21307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSEKFPLGIGASPFLCTRFILQTNDNTKKMDCIFSSITTYPFITLRGKLQQSICVTNLAHPNTRICYSKQPKNRRYPRRTKLPPDFGVNLFLEKPRTETDMSTDTEQIKSIYGHNNDSPEEEDDKEDHVNIDLAWESDEVEAISSLFQGRIPQKPGKVGRERPLPVPLPYKLRPLGFPMPKKHVKKSSAGVNSSRASVSQQLYKNPSFLIGLSKEIKDLASDDDVSAVLNKWAPFLRNGSLSITIRELGLMDLPQRALQAFCWAQKMPHLYPDDRILTSTVEVLARKRELKLPVNLEKFTSSTNRSLIEAMLKGFVKGGSLNLAWKLLSVLKQSKRMLDPGIYAKLILELGKNPDKHILVEELLGDLGERDDLNLSQQDCTAIMKVCIRHRKFEIVESLFYWFKQSGRDPSVVMYTTLLHSRYSENKYMEALALVWEMEARECLLDLPAYRVVIKLFIGLKDLARAVRYFSKLKEAGFSPTYDMYRDLINMYMVAGRVGKCKEVCKEASMAGFSLDKRTLLNLSKLEKDILG >KJB21308 pep chromosome:Graimondii2_0_v6:4:62167543:62169147:-1 gene:B456_004G2942002 transcript:KJB21308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSEKFPLGIGASPFLCTRFILQTNDNTKKMDCIFSSITTYPFITLRGKLQQSICVTNLAHPNTRICYSKQPKNRRYPRRTKLPPDFGVNLFLEKPRTETDMSTDTEQIKSIYGHNNDSPEEEDDKEDHVNIDLAWESDEVEAISSLFQGRIPQKPGKVGRERPLPVPLPYKLRPLGFPMPKKHVKKSSAGVNSSRASVSQQLYKNPSFLIGLSKEIKDLASDDDVSAVLNKWAPFLRNGSLSITIRELGLMDLPQRALQAFCWAQKMPHLYPDDRILTSTVEVLARKRELKLPVNLEKFTSSTNRSLIEAMLKGFVKGGSLNLAWKLLSVLKQSKRMLDPGIYAKLILELGKNPDKHILVEELLGDLGERDDLNLSQQDCTAIMKVCIRHRKFEIVESLFYWFKQSGRDPSVVMYTTLLHSRYSENKYMEALALVWEMEARECLLDLPAYRVVIKLFIGLKDLARAVRYFSKLKEAGFSPTYDMYRDLINMYMVAGRVGKCKEVCKEASMAGFSLDKRTLLNLSKLEKDILG >KJB21309 pep chromosome:Graimondii2_0_v6:4:62167377:62169328:-1 gene:B456_004G2942002 transcript:KJB21309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSEKFPLGIGASPFLCTRFILQTNDNTKKMDCIFSSITTYPFITLRGKLQQSICVTNLAHPNTRICYSKQPKNRRYPRRTKLPPDFGVNLFLEKPRTETDMSTDTEQIKSIYGHNNDSPEEEDDKEDHVNIDLAWESDEVEAISSLFQGRIPQKPGKVGRERPLPVPLPYKLRPLGFPMPKKHVKKSSAGVNSSRASVSQQLYKNPSFLIGLSKEIKDLASDDDVSAVLNKWAPFLRNGSLSITIRELGLMDLPQRALQAFCWAQKMPHLYPDDRILTSTVEVLARKRELKLPVNLEKFTSSTNRSLIEAMLKGFVKGGSLNLAWKLLSVLKQSKRMLDPGIYAKLILELGKNPDKHILVEELLGDLGERDDLNLSQQDCTAIMKVCIRHRKFEIVESLFYWFKQSGRDPSVVMYTTLLHSRYSENKYMEALALVWEMEARECLLDLPAYRVVIKLFIGLKDLARAVRYFSKLKEAGFSPTYDMYRDLINMYMVAGRVGKCKEVCKEASMAGFSLDKRTLLNLSKLEKDILG >KJB21310 pep chromosome:Graimondii2_0_v6:4:62167377:62169341:-1 gene:B456_004G2942002 transcript:KJB21310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSEKFPLGIGASPFLCTRFILQTNDNTKKMDCIFSSITTYPFITLRGKLQQSICVTNLAHPNTRICYSKQPKNRRYPRRTKLPPDFGVNLFLEKPRTETDMSTDTEQIKSIYGHNNDSPEEEDDKEDHVNIDLAWESDEVEAISSLFQGRIPQKPGKVGRERPLPVPLPYKLRPLGFPMPKKHVKKSSAGVNSSRASVSQQLYKNPSFLIGLSKEIKDLASDDDVSAVLNKWAPFLRNGSLSITIRELGLMDLPQRALQAFCWAQKMPHLYPDDRILTSTVEVLARKRELKLPVNLEKFTSSTNRSLIEAMLKGFVKGGSLNLAWKLLSVLKQSKRMLDPGIYAKLILELGKNPDKHILVEELLGDLGERDDLNLSQQDCTAIMKVCIRHRKFEIVESLFYWFKQSGRDPSVVMYTTLLHSRYSENKYMEALALVWEMEARECLLDLPAYRVVIKLFIGLKDLARAVRYFSKLKEAGFSPTYDMYRDLINMYMVAGRVGKCKEVCKEASMAGFSLDKRTLLNLSKLEKDILG >KJB26423 pep chromosome:Graimondii2_0_v6:4:59574112:59576805:-1 gene:B456_004G259900 transcript:KJB26423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKRILFLVAVLLGIISVFTGFGAEITRVKASQVGVDWYGDCSYPKSPAHVLGLTSAATLLTAKIIMDTAAGCSHWERTNQSPPNYNRNKALLLHITSWITFIVAIVLLLKGAVSKDQNDQAIERNDMYYCPVVKAGIFAAGASFAVISFISGVLLFQTLNPKGEDANNAPIPNEGGIQRCV >KJB25760 pep chromosome:Graimondii2_0_v6:4:54147747:54150338:1 gene:B456_004G208600 transcript:KJB25760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIPTSRSSSDDITDTTPFLSPTSNASNDDSSTRRTVRRQSLRDAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVVLDIIWNLAFVVVAVGVLILSRNESPDMPLRLWIIGYAFQCFLHIVCVCVEYRRRRRRQSTEYRPFNAGEEGALSPGSRVDSEQYVSLAQLEDDGGSSVAKHLESANTMFSFIWWIIGFYWVSIGGQAMARSSPQLYWLCIIFLGFDVFFVVFCVALACIIGMAVCCCLPCIIAILYAVADQEGALKEDIDQLSKFKFKKIGSDKKSAADVQEPVGGIMTECGTDSPLERVLPMDDAECCICLSAYEEGVELRELPCGHHFHCACVDKWLYINATCPLCKYNILKSQNHEEV >KJB25759 pep chromosome:Graimondii2_0_v6:4:54147685:54150338:1 gene:B456_004G208600 transcript:KJB25759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIPTSRSSSDDITDTTPFLSPTSNASNDDSSTRRTVRRQSLRDAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVVLDIIWNLAFVVVAVGVLILSRNESPDMPLRLWIIGYAFQCFLHIVCVCVEYRRRRRRQSTEYRPFNAGEEGALSPGSRVDSEQYVSLAQLEDDGGSSVAKHLESANTMFSFIWWIIGFYWVSIGGQAMARSSPQLYWLCIIFLGFDVFFVVFCVALACIIGMAVCCCLPCIIAILYAVADQEGALKEDIDQLSKFKFKKIGSDKKSAADVQEPVGGIMTECGTDSPLERVLPMDDAECCICLSAYEEGVELRELPCGHHFHCACVDKWLYINATCPLCKYNILKSQNHEEV >KJB21406 pep chromosome:Graimondii2_0_v6:4:3958313:3960132:1 gene:B456_004G0449001 transcript:KJB21406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLSDSSLPAQLVWQKRFNIIKGTARGIMYLHEDSRLRIIHRDLKASNVLLDHEMNPKISDFGMAKICGRDQNEANTNRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVLLVEVISGKRNNGFHLSEYGESLLTFAWKLWSKGEGMELLDKHLVESSVPNEVLKCIQIGLLCVQSDPADRPTMSTVVAMLGSDTITVPLPAKPAFYVGRFIAESVQPNSSDKICSVNEVTISNMSPR >KJB21407 pep chromosome:Graimondii2_0_v6:4:3958313:3959242:1 gene:B456_004G0449001 transcript:KJB21407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLSDSSLPAQLVWQKRFNIIKGTARGIMYLHEDSRLRIIHRDLKASNVLLDHEMNPKISDFGMAKICGRDQNEANTNRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVLLVEVISGKRNNGFHLSEYGESLLTFAWKLWSKGEGMELLDKHLVESSVPNEVVGV >KJB24442 pep chromosome:Graimondii2_0_v6:4:40921482:40922613:-1 gene:B456_004G145500 transcript:KJB24442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSVLCLPFFPISKIDRHPKSLTLLCCLMCLPPFFSHFQNRRSLPVPFAHLLRSVQFSFNFFLLKLHTLHLGHPFAEC >KJB25317 pep chromosome:Graimondii2_0_v6:4:49989165:49994257:-1 gene:B456_004G185600 transcript:KJB25317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPHSVNSPRTILSFSKRGRTTTASVSFVDPNDEKSNSGEHGPKPSEVYGFVGSITTVVATAIYLAWAYIPEPWLHSIGIFYYPSRYWALAVPSYAMVIIVLAVIFYVGLNFMSTPPPTSLTTMFDEFSREPSSFLSHMEGDEQPIEPISDLGIDKINVLMFDDAK >KJB25313 pep chromosome:Graimondii2_0_v6:4:49989165:49994245:-1 gene:B456_004G185600 transcript:KJB25313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPHSVNSPRTILSFSKRGRTTTASVSFVDPNDEKSNSGEHGPKPSEVYGFVGSITTVVATAIYLAWAYIPEPWLHSIGIFYYPSRYWALAVPSYAMVIIVLAVIFYVGLNFMSTPPPTSLTTMFDEFSREPSSFLSHMEGDEQPIEPISDLGIDKINVLMFDDAK >KJB25314 pep chromosome:Graimondii2_0_v6:4:49989917:49992092:-1 gene:B456_004G185600 transcript:KJB25314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPHSVNSPRTILSFSKRGRTTTASVSFVDPNDEKSNSGEHGPKPSEVYGFVGSITTVVATAIYLAWAYIPEPWLHSIGIFYYPSRYWALAVPSYAMVIIVLAVIFYVGLNFMSTPPPTSLTTMFDEFSREPSSFLSHMEGDEQPIEPISDLGIDKINVLMFDDAK >KJB25316 pep chromosome:Graimondii2_0_v6:4:49989165:49993354:-1 gene:B456_004G185600 transcript:KJB25316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPHSVNSPRTILSFSKRGRTTTASVSFVDPNDEKSNSGEHGPKPSEVYGFVGSITTVVATAIYLAWAYIPEPWLHSIGIFYYPSRYWALAVPSYAMVIIVLAVIFYVGLNFMSTPPPTSLTTMFDEFSREPSSFLSHMEGDEQPIEPISDLGIDKINVLMFDDAK >KJB25315 pep chromosome:Graimondii2_0_v6:4:49989165:49994416:-1 gene:B456_004G185600 transcript:KJB25315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPHSVNSPRTILSFSKRGRTTTASVSFVDPNDEKSNSGEHGPKPSEVYGFVGSITTVVATAIYLAWAYIPEPWLHSIGIFYYPSRYWALAVPSYAMVIIVLAVIFYVGLNFMSTPPPTSLTTMFDEFSREPSSFLSHMEGDEQPIEPISDLGIDKINVLMFDDAK >KJB25312 pep chromosome:Graimondii2_0_v6:4:49989917:49992092:-1 gene:B456_004G185600 transcript:KJB25312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPHSVNSPRTILSFSKRGRTTTASVSFVDPNDEKSNSGEHGPKPSEVYGFVGSITTVVATAIYLAWAYIPEPWLHSIGIFYYPSRYWALAVPSYAMVIIVLAVIFYVGLNFMSTPPPTSLTTMFDEFSREPSSFLSHMEGDEQPIEPISDLGIDKINVLMFDDAK >KJB25937 pep chromosome:Graimondii2_0_v6:4:55019748:55020791:-1 gene:B456_004G217000 transcript:KJB25937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFRSIFLITFALVFGSSHADPDFLQDICVADLSSGVKMNGFPCKNPKTIGADDFFFAGLAQSSIPNNTVGSLVTAANVEKIPGLNTLGVSMSRIDYAPGGVNPPHTHPRATEIVFVLEGELEVGFLTTSNKLISKVIKKGEIFVFPKGLVHFQKNIGWTPAAVVTAFNSQFPGTQSIAATLFAASPTILTDVLSKAFQINTSEVEKIKLKLKPKK >KJB23655 pep chromosome:Graimondii2_0_v6:4:22299905:22306581:-1 gene:B456_004G108600 transcript:KJB23655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVSSQHDQVLVEENSFARILTLNRPKQLNALSFQMISRLLELFLAYEEDPNVKLVILKGKGRAFCAGGDVVAVVHDIWAGGWRAGANFFMKEFSLNYLMATYSKPQVSILNGIVMGGGNGVSMHGRFRVATENSVFAMPETALGLFPDVGASYFLSRLPGFFGEYVGLTGARLDGAEMLACGLATHFVPSAKLPMVEAALCDADSSDPVIISSIIDQYCEKPSLKEQTVYNRLDVIDRCFSQRTVEEILSALEREVVDQRDGWIFATIQTLKKASPTSLKISLRSIRVGRVQGVGACLAREYRMVCHVMRGEFSKDFFEGCRAILLDKDKNPKWEPSKLEHIADTTIDRYFSKVKDEDWEDLKPPTRSKFNLPPYVIAKL >KJB23656 pep chromosome:Graimondii2_0_v6:4:22299916:22306288:-1 gene:B456_004G108600 transcript:KJB23656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRLLELFLAYEEDPNVKLVILKGKGRAFCAGGDVVAVVHDIWAGGWRAGANFFMKEFSLNYLMATYSKPQVSILNGIVMGGGNGVSMHGRFRVATENSVFAMPETALGLFPDVGASYFLSRLPGFFGEYVGLTGARLDGAEMLACGLATHFVPSAKLPMVEAALCDADSSDPVIISSIIDQYCEKPSLKEQTVYNRLDVIDRCFSQRTVEEILSALEREVVDQRDGWIFATIQTLKKASPTSLKISLRSIRVGRVQGVGACLAREYRMVCHVMRGEFSKDFFEGCRAILLDKDKNPKWEPSKLEHIADTTIDRYFSKVKDEDWEDLKPPTRSKFNLPPYVIAKL >KJB26381 pep chromosome:Graimondii2_0_v6:4:57723543:57726707:1 gene:B456_004G238800 transcript:KJB26381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDDSERKKRIAIIVVSSFLLVAVVVAVTVGVTIQEEDSDYDDGTTDGNKHAQVTSSKKAIKMICQPTTFKKTCEEQLQHEAGNKTDVKDLIQAAFKAAMKYVEQAQANSTLLRDLEKDEGTRSALDACKILLNSTMREFNKSLELVDKIEVNTVNKLLGDMKIWLSATISNQQACLDGFEKTKSKTEAGEKMKKFLNITMQLSRNGLAIACELSEQLKQLELAGLFERRRLLQDEDQLPVVGHSDWTSFLERNKEWNRRRLLQDEDQLPVVGHSDWTSFLERNKEWNRRRLLQDEDGLPVIGHSDWTSFLERNKEWNRRRLLQDEDGLPVVGHSDWTSFLERNKDWNRRRMLQEGEDLSVMGHRDIDLEIPAGARRLMSTDLKPDLIVAQDGSGDCKTLNEAKQRIPSGSTKPFVIYVKAGVYAENVDFTSDLTHVALVGDGKEKTKITGNISTGPDGKPTTYFTATVGVDGDHFFAKNIAFENSAGPLKAQAVALRLQSDFGVFYNCSIDGYQDTLYVFSKRQFFRECTISGTIDFVFGDSAAVFQKCKFLVRKPQLGQQNVVTAQKRLDHNQPTAFVIMDSEIIPDAELAPVKNEFPAFLGRPWGKLSRAIIMQTYIDDMVHPEGWTSWDPKEPTNLCQYAEFNNTGPGASTSLRVKWAGVRLLNEAEAINYTPPKFFDVGDAWIKESGVPYIPDLSIGKAEDKGLIPTSPDVPASKPENNGFIPTSPDVPASKPENSGLIPISPDLSASKPENNGVMPISPDLSASKPENNGVMPVSPDLSASKPENNGVIPISPDLSASKPEYNGVIPISPNLSASKAAHKGEIKLKKLKHKKKKHHGKGKKHHGKGKKHRGKGKKKGKKSKKHHGKVELKSITQA >KJB26961 pep chromosome:Graimondii2_0_v6:4:60360672:60369071:-1 gene:B456_004G268600 transcript:KJB26961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFNCIQKLKNQQQQASANRSIDKASKGTNSSNHSTTMSIGNSSNSVSKLSAKAKYGFIDDNFSTLHQVTAALRGSGLESSNLIIGIDFTKSNEWTGQVSFNNRSLHAIGAAPNPYEKAISIIGKTLAPFDDDNLIPCLGFGDATTHDDEVFSFHSDHSSCHGFEEVLDCYKKIVPNLRLSGPTSYAPVIDAAIDIVESSGGQFHVLVIIADGQVTRSVNTSNNELSPQEEKTINSIVNASSHPLSIVLVGVGDGPWEDMKKFDDKIPARDFDNFQFVNFTEIMSKNTSPDEKEAAFALAALMEIPFQYKAVMELGILGHRTGKAKKVVPRPPPAPYRAAARSEPAPTTVSSSPALDDRTQACPICLTSAKDLAFGCGHMTCRECGSRVSNCPICRQRITNRLRLFA >KJB26962 pep chromosome:Graimondii2_0_v6:4:60360765:60369048:-1 gene:B456_004G268600 transcript:KJB26962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFNCIQKLKNQQQQASANRSIDKASKGTNSSNHSTTMSIGNSSNSVSKLSAKAKYGFIDDNFSTLHQVTAALRGSGLESSNLIIGIDFTKSNEWTGQVSFNNRSLHAIGAAPNPYEKAISIIGKTLAPFDDDNLIPCLGFGDATTHDDEVFSFHSDHSSCHGFEEVLDCYKKIVPNLRLSGPTSYAPVIDAAIDIVESSGGQFHVLVIIADGQVTRSVNTSNNELSPQEEKTINSIVNASSHPLSIVLVGVGDGPWEDMKKFDDKIPARDFDNFQFVNFTEIMSKNTSPDEKEAAFALAALMEIPFQYKAVMELGILGHRTGKAKKVVPRPPPAPYRAAARSEPAPTTVSSSPALDDRTQVFSKYCSLKDY >KJB23260 pep chromosome:Graimondii2_0_v6:4:11875395:11876667:1 gene:B456_004G088900 transcript:KJB23260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQSLSGDNNSNNNSVMAESKGRMMIRERVKQACEAAMDAMAKKGVQRGHRVLISKEIERRNGSHYADEPIRTMMFLGSWSHT >KJB22292 pep chromosome:Graimondii2_0_v6:4:3505303:3508759:1 gene:B456_004G040900 transcript:KJB22292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQQANWSPYDNNGGSCVAIAGADYCVIAADTRMSTGYNILTRDYSKICKLADKCVMASSGFQADVRALQKQLAARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYSFNVLGGLDNEGKGCVFTYDAVGSYEKVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLVEAEAIDLVKTVFASASERDIYTVNKLELVIINAAGIRREYMELRKD >KJB22296 pep chromosome:Graimondii2_0_v6:4:3505303:3508759:1 gene:B456_004G040900 transcript:KJB22296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGFQADVRALQKQLAARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYSFNVLGGLDNEGKGCVFTYDAVGSYEKVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLVEAEAIDLVKTVFASASERDIYTGDKLELVIINAAGIRREYMELRKD >KJB22295 pep chromosome:Graimondii2_0_v6:4:3505303:3508759:1 gene:B456_004G040900 transcript:KJB22295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQQANWSPYDNNGGSCVAIAGADYCVIAADTRMSTGYNILTRDYSKICKLADKCVMASSGFQADVRALQKQLAARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYSFNVLGGLDNEGKGCVFTYDAVGSYEKVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLVEAEAIDLVKTVFASASERDIYTGDKLELVIINAAGIRREYMELRKD >KJB22293 pep chromosome:Graimondii2_0_v6:4:3505303:3508759:1 gene:B456_004G040900 transcript:KJB22293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQQANWSPYDNNGGSCVAIAGADYCVIAADTRMSTGYNILTRDYSKICKLADKCVMASSGFQADVRALQKQLAARHLGCVFTYDAVGSYEKVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLVEAEAIDLVKTVFASASERDIYTGDKLELVIINAAGIRREYMELRKD >KJB22294 pep chromosome:Graimondii2_0_v6:4:3505398:3508440:1 gene:B456_004G040900 transcript:KJB22294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQQANWSPYDNNGGSCVAIAGADYCVIAADTRMSTGYNILTRDYSKICKLADKCVMASSGFQADVRALQKQLAARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYSFNVLGGLDNEGKGCVFTYDAVGSYEKVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLVEAEAIDLVKTVFASASERDIYTVRRQTRTCYHKCSWYPPGIHGT >KJB22297 pep chromosome:Graimondii2_0_v6:4:3505303:3512267:1 gene:B456_004G040900 transcript:KJB22297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQQANWSPYDNNGGSCVAIAGADYCVIAADTRMSTGYNILTRDYSKICKLADKCVMASSGFQADVRALQKQLAARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYSFNVLGGLDNEGKGCVFTYDAVGSYEKVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLVEAEAIDLVKTVFASASERDIYTGDKLELVIINAAGIRREYMELRKD >KJB22701 pep chromosome:Graimondii2_0_v6:4:6172557:6174104:-1 gene:B456_004G062100 transcript:KJB22701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHGIPHDFMDSVERLTKEHYKKCMEQRFKELVASKALEGLEAEVTDMDWESTFHLCHLPESNMAEIPDLSDEYRKVMKEFAVKLEKLAEELLDLFCENIGLEKGYLKKAFYGAKGPTFGTKVSNYPPCPTPDKIKGLRAHTDAGGIILLFQDPVVGGLQLLKDGEWVDVPPLRHSIVINLGDQLEVITNGKYKSVEHRVIAQTDGTRMSLASFYNPGSDAVIYPAPALVEKEAEEKNKQVYPKFVFEEYMKLYAGLKFQAKEPRFEAMKAMEATAPIATA >KJB22697 pep chromosome:Graimondii2_0_v6:4:6172562:6174104:-1 gene:B456_004G062100 transcript:KJB22697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEFAVKLEKLAEELLDLFCENIGLEKGYLKKAFYGAKGPTFGTKVSNYPPCPTPDKIKGLRAHTDAGGIILLFQDPVVGGLQLLKDGEWVDVPPLRHSIVINLGDQLEVITNGKYKSVEHRVIAQTDGTRMSLASFYNPGSDAVIYPAPALVEKEAEEKNKQVYPKFVFEEYMKLYAGLKFQAKEPRFEAMKAMEATAPIATA >KJB22698 pep chromosome:Graimondii2_0_v6:4:6172562:6174104:-1 gene:B456_004G062100 transcript:KJB22698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEFAVKLEKLAEELLDLFCENIGLEKGYLKKAFYGAKGPTFGTKVSNYPPCPTPDKIKGLRAHTDAGGIILLFQDPVVGGLQLLKDGEWVDVPPLRHSIVINLGDQLEVITNGKYKSVEHRVIAQTDGTRMSLASFYNPGSDAVIYPAPALVEKEAEEKNKQVYPKFVFEEYMKLYAGLKFQAKEPRFEAMKAMEATAPIATA >KJB22699 pep chromosome:Graimondii2_0_v6:4:6172557:6174159:-1 gene:B456_004G062100 transcript:KJB22699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFPVINLEKLNGDERSRIMEQIKDACENWGFFEVMNHGIPHDFMDSVERLTKEHYKKCMEQRFKELVASKALEGLEAEVTDMDWESTFHLCHLPESNMAEIPDLSDEYRKVMKEFAVKLEKLAEELLDLFCENIGLEKGYLKKAFYGAKGPTFGTKVSNYPPCPTPDKIKGLRAHTDAGGIILLFQDPVVGGLQLLKDGEWVDVPPLRHSIVINLGDQLEVITNGKYKSVEHRVIAQTDGTRMSLASFYNPGSDAVIYPAPALVEKEAEEKNKQVYPKFVFEEYMKLYAGLKFQAKEPRFEAMKAMEATAPIATA >KJB22700 pep chromosome:Graimondii2_0_v6:4:6173047:6173940:-1 gene:B456_004G062100 transcript:KJB22700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFPVINLEKLNGDERSRIMEQIKDACENWGFFEVMNHGIPHDFMDSVERLTKEHYKKCMEQRFKELVASKALEGLEAEVTDMDWESTFHLCHLPESNMAEIPDLSDEYRKVMKEFAVKLEKLAEELLDLFCENIGLEKGYLKKAFYGAKGPTFGTKVSNYPPCPTPDKIKGLRAHTDAGGIILLFQDPVVGGLQLLKDGEWVDVPPLRHSIVINLGDQLEVQIIINGLNITKSKTST >KJB23284 pep chromosome:Graimondii2_0_v6:4:12138298:12141456:1 gene:B456_004G090000 transcript:KJB23284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSELIYRGSETQPSSDSYSPKPVKPWASVTRTIHYLLREQRLLFVFVGIAIATLIFTVFPTSSAPYVAPHSRFTTLIPDSITYFPIETQQKFSSAHRLGFGSANPTSKIPLGLKRKGLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGTKQNVMHHFGNPNFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHIGPFNLGNPGEFTMLELAEVVQETIDPNAKIEFRPNTEDDPHKRKPDISRAKELLGWEPKVSLRKGLPLMVSDFRQRIFGDHKEGSNTNNASSS >KJB23283 pep chromosome:Graimondii2_0_v6:4:12138298:12141453:1 gene:B456_004G090000 transcript:KJB23283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSELIYRGSETQPSSDSYSPKPVKPWASVTRTIHYLLREQRLLFVFVGIAIATLIFTVFPTSSAPYVAPHSRFTTLIPDSITYFPIETQQKFSSAHRLGFGSANPTSKIPLGLKRKGLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGTKQNVMHHFGNPNFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHIGPFNLGNPGEFTMLELAEVSIYYPLILLMN >KJB22731 pep chromosome:Graimondii2_0_v6:4:6292343:6292750:-1 gene:B456_004G063300 transcript:KJB22731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPNKAVIVLQGRYAGRKAVIVKSFDDGTRDRPYGHCLVAGIKKYPSKVIRKDSAKKTAKKSRVKCFVKLVNYQHLMPTRYTLDVDLKDTVTVDALQTKDKKVATCKTIKQRMEERFKTGKNRWFFTKLRF >KJB24084 pep chromosome:Graimondii2_0_v6:4:34182996:34186321:-1 gene:B456_004G128400 transcript:KJB24084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQNSEQGQIIEIRGDVPAAETSLGGNTICGGAACGFSDAQTISKDANERAASMRKLLTAVVLCIVFMSVEVAGGIKANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPRQTYGFFRIEILGALVSIQMIWLLAGILVYEAIARLIHDTGEVQGFLMFVVSAFGLVVNIAMAILLGHDHGHHHGHGGNDHDHHGHSHSHDTEPHSHGLSITSHHHLHHNHGGNSKLHDEHHHAHEAEADHQVPLLKTYSDCEKNPESGASQKKERNINIQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLVFSIIVLATTIRMLRNILEVLMESTPREIDATRLEKGLCEMDEVVAIHELHIWAITVGKVLLACHVLIKREANADMVLNKVIDYIRREYNISHVTIQIERQ >KJB24085 pep chromosome:Graimondii2_0_v6:4:34183276:34186321:-1 gene:B456_004G128400 transcript:KJB24085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQNSEQGQIIEIRGDVPAAETSLGGNTICGGAACGFSDAQTISKDANERAASMRKLLTAVVLCIVFMSVEVAGGIKANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPRQTYGFFRIEILGALVSIQMIWLLAGILVYEAIARLIHDTGEVQGFLMFVVSAFGLVVNIAMAILLGHDHGHHHGHGGNDHDHHGHSHSHDTEPHSHGLSITSHHHLHHNHGGNSKLHDEHHHAHEAEADHQVPLLKTYSDCEKNPESGASQKKERNINIQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLVFSIIVLATTIRMLRNILEVLMESTPREIDATRLEKGLCEMDEVVAIHELHIWAITVGKVLLACHVLIKREANADMVLNKVIDYIRREYNISHVTIQIERQ >KJB24083 pep chromosome:Graimondii2_0_v6:4:34183721:34184965:-1 gene:B456_004G128400 transcript:KJB24083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQNSEQGQIIEIRGDVPAAETSLGGNTICGGAACGFSDAQTISKDANERAASMRKLLTAVVLCIVFMSVEVAGGIKANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPRQTYGFFRIEILGALVSIQMIWLLAGILVYEAIARLIHDTGEVQGFLMFVVSAFGLVVNIAMAILLGHDHGHHHGHGGNDHDHHGHSHSHDTEPHSHGLSITSHHHLHHNHGGNSKLHDEHHHAHEAEADHQVPLLKTYSDCEKNPESGASQKKERNINIQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLVFSIIVLATTIRMLRNILEVLMESTPREIDATRLEKGLCEMDEVVAIHELHIWAITVGKVLLACHVLIKREANADMVLNKVIDYIRREYNISHVTIQIERQ >KJB26612 pep chromosome:Graimondii2_0_v6:4:59839266:59843232:-1 gene:B456_004G263200 transcript:KJB26612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGICGPNPILHLRRTTTQSPLNLHRVHGGARWCCCSVSPENENKTRTPPLLRLAVSGVTELLRLFSSSAQGNRADYQLKSEDRDENSASDIDDVLRILKADYENAYFVTGNFSSAIYAEDCLFEDPTIRFRGKELYSRNLKLLVPFFDSPSIRLQKIEKGANMETDFVAATWRLRTYLKLPWRPLISVNGSTIYELDEKLKIVRHAESWDVTALEAIGQIFTPSIGRPNE >KJB26611 pep chromosome:Graimondii2_0_v6:4:59839132:59843302:-1 gene:B456_004G263200 transcript:KJB26611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGICGPNPILHLRRTTTQSPLNLHRVHGGARWCCCSVSPENENKTRTPPLLRLAVSGVTELLRLFSSSAQGNRADYQLKSEDRDENSASDIDDVLRILKADYENAYFVTGNFSSAIYAEDCLFEDPTIRFRGKELYSRNLKLLVPFFDSPSIRLQKIEKGANMETDFVAATWRLRTYLKLPWRPLISVNGSTIYELDEKLKSWDVTALEAIGQIFTPSIGRPNE >KJB27003 pep chromosome:Graimondii2_0_v6:4:60630956:60636658:1 gene:B456_004G271200 transcript:KJB27003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYTPKNILITRVARFIASHVANRLIRNYLSYKIVNFKFVKGDISSAGLVNYLLVAESIDTIMHFAAQTHFTKNNIYGTHVLLEACKVTGQIRRFIHVSIDEVYGDTDEDAIVGNHEAFQLLPTNPYSATKAGAEMLVMAYNRSYGLPVITTRGNNVYGPNQFLEKLILKFILLVMRGKTLPIHRDGSNVRSYLHCEDVAETFEVILHKGEAGHVYNVGTKKERRGIDVAKDICKLFSIDPETNIQMLMIPGSRHFESKEGKVLSVSNTLRMIVPTSKSFISPLKPSFKFLIYGRTGWIGGILDQLCEKHGIPFEYGRGRLKNQTSLMADIQNIKPTHVFNIRVRGVTFNAAGVTGRPNIDWCESHKTETIRTNVFGTLTLVDICRQHELLMMNFVTGCSGIGFKEEDKPNFIGSFYSKTKAMVEELLREYDNVCTLRVRMPISSDLNSPHNFITKISCYNKVINIPNGMTILDELLPMSIEMAKKNLRGIWNFTNPGVVSHNEILEMYKTYIDPNFEWMNFTLEEQAKVIVAPRSNNKMDASKLKKEFPELLPIKESLVKYVFEPNKRT >KJB22016 pep chromosome:Graimondii2_0_v6:4:2004494:2008954:-1 gene:B456_004G025500 transcript:KJB22016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVAKEWRLGSMGDTKILPGSRHRSPLKRPIWIILMVSFVSLFLVCAYIYPPNSDVACYVFSSRGCKVLTDWLPPPKRELTDEEIISRVVVRDILDTPPVESKNPKIAFMFLTPGSLPFEKLWDMFFRGNEGRFSVYIHASKEKPVHVSPYFLNREIHSSPVTWGAFSMVDAERRLLAYALKDPDNQHFVLLSDSCIPLHNFDYVYNYLMHANMSFIDCFVDPGPHGNGRYSTRMLPEVEEKDFRKGAQWFTMRRQHALLVMADSLYYSRFRDYCRPYADGKNCIADEHYLPTFFNLVDPGGIANWSVTRVDWSERKWHPKSYRAQDVTYDLLRNFTSIDMTTHITSNAGGEELLQPCIWNGTRRPCYLFARKFYPETLDKLVTLLNF >KJB22018 pep chromosome:Graimondii2_0_v6:4:2004520:2008954:-1 gene:B456_004G025500 transcript:KJB22018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVAKEWRLGSMGDTKILPGSRHRSPLKRPIWIILMVSFVSLFLVCAYIYPPNSDVACYVFSSRGCKVLTDWLPPPKRELTDEEIISRVVVRDILDTPPVESKNPKIAFMFLTPGSLPFEKLWDMFFRGNEGRFSVYIHASKEKPVHVTWGAFSMVDAERRLLAYALKDPDNQHFVLLSDSCIPLHNFDYVYNYLMHANMSFIDCFVDPGPHGNGRYSTRMLPEVEEKDFRKGAQWFTMRRQHALLVMADSLYYSRFRDYCRPYADGKNCIADEHYLPTFFNLVDPGGIANWSVTRVDWSERKWHPKSYRAQDVTYDLLRNFTSIDMTTHITSNAGGEELLQPCIWNGTRRPCYLFARKFYPETLDKLVTLLNF >KJB22017 pep chromosome:Graimondii2_0_v6:4:2004520:2008954:-1 gene:B456_004G025500 transcript:KJB22017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVAKEWRLGSMGDTKILPGSRHRSPLKRPIWIILMVSFVSLFLVCAYIYPPNSDVACYVFSSRGCKVLTDWLPPPKRELTDEEIISRVVVRDILDTPPVESKNPKIAFMFLTPGSLPFEKLWDMFFRGNEGRFSVYIHASKEKPVHVSPYFLNREIHSSPVTWGAFSMVDAERRLLAYALKDPDNQHFVLLSDSFVDPGPHGNGRYSTRMLPEVEEKDFRKGAQWFTMRRQHALLVMADSLYYSRFRDYCRPYADGKNCIADEHYLPTFFNLVDPGGIANWSVTRVDWSERKWHPKSYRAQDVTYDLLRNFTSIDMTTHITSNAGGEELLQPCIWNGTRRPCYLFARKFYPETLDKLVTLLNF >KJB25815 pep chromosome:Graimondii2_0_v6:4:54489814:54493099:1 gene:B456_004G212100 transcript:KJB25815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTSTVKGRRKIELSCGSDTSFSFRIVDSLCNSEEESLPRGRDLVMYVGSNLNLASSSSPYLESCSSDDFIEFCLSSDNKMDISFGFKPDDDAAYNPHKPLEGDSFSRTSSRANFSPIRKMLDPLVKSKSPPSPLGYLTVTDADNVDTSVMGNTRRNKTCRKSLLHDFSHNAESDFAFFEKDNVHSPIHLHGCLKLGAKHGVPFFEFSMNEPGDVFLAKTLKANNGFNWVYTFHSVGNKKKTNAGISGPSDNSSKDAASIIAQMQVSSRLCSEMMEGGEVDNSVVTEFVLYDIARAKQRVTVLGSTDVHKAPACSNVVTLKDHLNHACDSDEVEFINGPPAKLHPNLEIAAIVIQVPFKNRESLKYRRGDKIDDRNHSNLLNVSMTEESKSTIQDSRSKEKVKVVIPTGNHGFPCAGTRGPSSLLDRWRFGGGCDCGGWDMACPLVVFDNTGLNCFEDRPLVDNEQPFQLFHQGAKENTPALTMMAIEGGYAIDFHAKLSALQAFSICVAVLHCTETSAAATGEIESKHSSQYNSLKMLIEEEVKSLIKAVTEEETKNKKKKKVSKKVEAIPPSYVINPPFSPIARV >KJB25817 pep chromosome:Graimondii2_0_v6:4:54489837:54492314:1 gene:B456_004G212100 transcript:KJB25817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTSTVKGRRKIELSCGSDTSFSFRIVDSLCNSEEESLPRGRDLVMYVGSNLNLASSSSPYLESCSSDDFIEFCLSSDNKMDISFGFKPDDDAAYNPHKPLEGDSFSRTSSRANFSPIRKMLDPLVKSKSPPSPLGYLTVTDADNVDTSVMGNTRRNKTCRKSLLHDFSHNAESDFAFFEKDNVHSPIHLHGCLKLGAKHGVPFFEFSMNEPGDVFLAKTLKANNGFNWVYTFHSVGNKKKTNAGISGPSDNSSKDAASIIAQMQVSSRLCSEMMEGGEVDNSVVTEFVLYDIARAKQRVTVLGSTDVHKAPACSNVVTLKDHLNHACDSDEVEFINGPPAKLHPNLEIAAIVIQVPFKNRESLKYRRGDKIDDRNHSNLLNVSMTEESKSTIQDSRSKEKVKVVIPTGNHGFPCAGTRGPSSLLDRWRFGGGCDCGGWDMACPLVVFDNTGLNCFEDRPLVDNEQPFQLFHQVRF >KJB25818 pep chromosome:Graimondii2_0_v6:4:54489837:54493291:1 gene:B456_004G212100 transcript:KJB25818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTSTVKGRRKIELSCGSDTSFSFRIVDSLCNSEEESLPRGRDLVMYVGSNLNLASSSSPYLESCSSDDFIEFCLSSDNKMDISFGFKPDDDAAYNPHKPLEGDSFSRTSSRANFSPIRKMLDPLVKSKSPPSPLGYLTVTDADNVDTSVMGNTRRNKTCRKSLLHDFSHNAESDFAFFEKDNVHSPIHLHGCLKLGAKHGVPFFEFSMNEPGDVFLAKTLKANNGFNWVYTFHSVGNKKKTNAGISGPSDNSSKDAASIIAQMQVSSRLCSEMMEGGEVDNSVVTEFVLYDIARAKQRVTVLGSTDVHKAPACSNVVTLKDHLNHACDSDEVEFINGPPAKLHPNLEIAAIVIQVPFKNRESLKYRRGDKIDDRNHSNLLNVSMTEESKSTIQDSRSKEKVKVVIPTGNHGFPCAGTRGPSSLLDRWRFGGGCDCGGWDMACPLVVFDNTGLNCFEDRPLVDNEQPFQLFHQGAKENTPALTMMAIEGGYAIDFHAKLSALQAFSICVAVLHCTETSAAATGEIESKHSSQYNSLKMLIEEEVKSLIKAVTEEETKNKKKKKVSKKVEAIPPSYVINPPFSPIARV >KJB25819 pep chromosome:Graimondii2_0_v6:4:54489837:54493137:1 gene:B456_004G212100 transcript:KJB25819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTSTVKGRRKIELSCGSDTSFSFRIVDSLCNSEEESLPRGRDLVMYVGSNLNLASSSSPYLESCSSDDFIEFCLSSDNKMDISFGFKPDDDAAYNPHKPLEGDSFSRTSSRANFSPIRKMLDPLVKSKSPPSPLGYLTVTDADNVDTSVMGNTRRNKTCRKSLLHDFSHNAESDFAFFEKDNVHSPIHLHGCLKLGAKHGVPFFEFSMNEPGDVFLAKTLKANNGFNWVYTFHSVGNKKKTNAGISGPSDNSSKDAASIIAQMQVSSRLCSEMMEGGEVDNSVVTEFVLYDIARAKQRVTVLGSTDVHKAPACSNVVTLKDHLNHACDSDEVEFINGPPAKLHPNLEIAAIVIQVPFKNRESLKYRRGDKIDDRNHSNLLNVSMTEESKSTIQDSRSKEKVKVVIPTGNHGFPCAGTRGPSSLLDRWRFGGGCDCGGWDMACPLVVFDNTGLNCFEDRPLVDNEQPFQLFHQGAKENTPALTMMAIEGGYAIDFHAKLSALQAFSICVAVLHCTETSAAATGEIESKHSSQYNSLKMLIEEEVKSLIKAVTEEETKNKKKKKVSKKVEAIPPSYVINPPFSPIARV >KJB25816 pep chromosome:Graimondii2_0_v6:4:54489814:54493137:1 gene:B456_004G212100 transcript:KJB25816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVGSNLNLASSSSPYLESCSSDDFIEFCLSSDNKMDISFGFKPDDDAAYNPHKPLEGDSFSRTSSRANFSPIRKMLDPLVKSKSPPSPLGYLTVTDADNVDTSVMGNTRRNKTCRKSLLHDFSHNAESDFAFFEKDNVHSPIHLHGCLKLGAKHGVPFFEFSMNEPGDVFLAKTLKANNGFNWVYTFHSVGNKKKTNAGISGPSDNSSKDAASIIAQMQVSSRLCSEMMEGGEVDNSVVTEFVLYDIARAKQRVTVLGSTDVHKAPACSNVVTLKDHLNHACDSDEVEFINGPPAKLHPNLEIAAIVIQVPFKNRESLKYRRGDKIDDRNHSNLLNVSMTEESKSTIQDSRSKEKVKVVIPTGNHGFPCAGTRGPSSLLDRWRFGGGCDCGGWDMACPLVVFDNTGLNCFEDRPLVDNEQPFQLFHQGAKENTPALTMMAIEGGYAIDFHAKLSALQAFSICVAVLHCTETSAAATGEIESKHSSQYNSLKMLIEEEVKSLIKAVTEEETKNKKKKKVSKKVEAIPPSYVINPPFSPIARV >KJB26639 pep chromosome:Graimondii2_0_v6:4:58979340:58982087:1 gene:B456_004G252300 transcript:KJB26639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTMKISSSITFPINSTPKLHEYNEVLYWSAVSNGSYSISKISGIFSNGSASHGAESRAVGDAYGRRSRLESLFCYDKPIPEERIEEPVGVSLAEKIVGDNPRCSACQAKGVILCTTCAGSGLYVDSILESQGIIVKVRCLGCGGTSNVMCSECSGRGHRGPK >KJB27022 pep chromosome:Graimondii2_0_v6:4:60722127:60722457:1 gene:B456_004G272600 transcript:KJB27022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIMLSRLLIWLEFFSSGTWRSREVSCSTTCRHCSTSWWFEEVQEVRR >KJB26803 pep chromosome:Graimondii2_0_v6:4:59642641:59650377:-1 gene:B456_004G261100 transcript:KJB26803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPEATRRNTNVVSRDISIDVSCDDSNCADSSGCSSDMPDVEIVSEDDKSSSASDSGSNQLSKSRRLTHSKLVKYASKFLDDTVPFRKKIKWLPRLAIVKHDGTVQCDFPTDMKPHALDYVVCSAKDDIDPPDIPNIPPLQIVMLIVGTRGDVQPFVAIGKRLQADGHRVRLATHSNFKDFVLDAGLEFYPLGGDPKVLAGYMVKNKGFLPSNPSEIPVQRQQMKDIIFSLLDACKEDDPHSGVKFDVDAIIANPPAYGHTHVAEALNVPLHIFFTMPWTPTSEFPHPLSRVKHSAGYRLSYHIVDALIWLGIRDMINEFRKKTLKLRPVTYLRGSYSSPPDVPYGYIWSPHLVPKPKDWGKNIDVVGFCFLDLASSYKPPESLLKWIDAGDKPIYIGFGSLPVQQPGEMTKIIVQALEKTGQRGIINKGWGGLGNLEKPKDFVYSLDNCPHDWLFERCVAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGEQVHAKGVGPAPIPIDEFSLDKLVEAIRFMLKPEVKERADELARAMEGEDGVAGSVKAFYKHFPVRKSKDEPDTTQTHHHSGVFSIRRCFGHA >KJB26801 pep chromosome:Graimondii2_0_v6:4:59642382:59650579:-1 gene:B456_004G261100 transcript:KJB26801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPEATRRNTNVVSRDISIDVSCDDSNCADSSGCSSDMPDVEIVSEDDKSSSASGNHAYYHYCTKTTLPCFTERTLAGQNMHAEASTSAIDSGSNQLSKSRRLTHSKLVKYASKFLDDTVPFRKKIKWLPRLAIVKHDGTVQCDFPTDMKPHALDYVVCSAKDDIDPPDIPNIPPLQIVMLIVGTRGDVQPFVAIGKRLQADGHRVRLATHSNFKDFVLDAGLEFYPLGGDPKVLAGYMVKNKGFLPSNPSEIPVQRQQMKDIIFSLLDACKEDDPHSGVKFDVDAIIANPPAYGHTHVAEALNVPLHIFFTMPWTPTSEFPHPLSRVKHSAGYRLSYHIVDALIWLGIRDMINEFRKKTLKLRPVTYLRGSYSSPPDVPYGYIWSPHLVPKPKDWGKNIDVVGFCFLDLASSYKPPESLLKWIDAGDKPIYIGFGSLPVQQPGEMTKIIVQALEKTGQRGIINKGWGGLGNLEKPKDFVYSLDNCPHDWLFERCVAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGEQVHAKGVGPAPIPIDEFSLDKLVEAIRFMLKPEVKERADELARAMEGEDGVAGSVKAFYKHFPVRKSKDEPDTTQTHHHSGVFSIRRCFGHA >KJB26802 pep chromosome:Graimondii2_0_v6:4:59642382:59650591:-1 gene:B456_004G261100 transcript:KJB26802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPEATRRNTNVVSRDISIDVSCDDSNCADSSGCSSDMPDVEIVSEDDKSSSASDSGSNQLSKSRRLTHSKLVKYASKFLDDTVPFRKKIKWLPRLAIVKHDGTVQCDFPTDMKPHALDYVVCSAKDDIDPPDIPNIPPLQIVMLIVGTRGDVQPFVAIGKRLQADGHRVRLATHSNFKDFVLDAGLEFYPLGGDPKVLAGYMVKNKGFLPSNPSEIPVQRQQMKDIIFSLLDACKEDDPHSGVKFDVDAIIANPPAYGHTHVAEALNVPLHIFFTMPWTPTSEFPHPLSRVKHSAGYRLSYHIVDALIWLGIRDMINEFRKKTLKLRPVTYLRGSYSSPPDVPYGYIWSPHLVPKPKDWGKNIDVVGFCFLDLASSYKPPESLLKWIDAGDKPIYIGFGSLPVQQPGEMTKIIVQALEKTGQRGIINKGWGGLGNCSSRWSWNYCCWSQSCVSNNYCSFLRGPAFLG >KJB26756 pep chromosome:Graimondii2_0_v6:4:59400157:59404747:1 gene:B456_004G258100 transcript:KJB26756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTCAMGLISALAAASASSSLLSQTNQNVAFADGPFNFPLFSSSSPSPSPSPSGSPQSSTGQLPSQSSASPASTTDKEGSGNVKARNDNPRTSSAGFDPEALERGAKALREISSSSNAKKAFELMKKQEETRQAELAERAAEFKAMQAQAETERQRVIYDEQKKLTQHQAQTKSQMARYEDELARKRMQAENEYQRARNQELVKLQEESAIRQEQARRATEEQIQAQRRQTEREKAEIERETIRVKAMAEAEGRAHEAKLAEEVNRRMLVDRANAEREKWVAAINTTFDHIGGGLRAILTDQNKLVVAVGGLTALAAGIYTTREGARVIWGYVDRILGQPSLIRESSRGKYPWSGIFSRAMSSLRKGDKTSSKNGNGFGEVILHPSLQKRIQQLSGATANTKAHQAPFRNMLFYGPPGTGKTMAARELAGKSGLDYALMTGGDVAPLGPQAVTKIHQLFDWAKKSKRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEDERFKLLKLYLDKYIAQAGSRKPGLLQNLFKKQQQKIEIKGLTDDILREAAAKTEGFSGREIAKLMASVQAAVYGSENCVLDPSLFREVVDYKVAEHQQRRKLAGADGDGA >KJB22964 pep chromosome:Graimondii2_0_v6:4:8661719:8664360:1 gene:B456_004G075800 transcript:KJB22964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRKGNLVEVLRREDDPCGSWFTGNILSADGDYYIVRYKLFTDCEGRRVVETVKGKDVRPLPPYVNRKNWAVGDVAEVFDIQCWRIGKVAKVLKNNDSFVIKLFGSIQLKEFHVSSLRVRQAWHGNKWMVVGKVAQSKDLVNSFTPKTPYCTGGLRFKTPLLMNKALQSKEKDREGQHKTRAHNVIHKVYAHQSEKCNIEKHFGGNLKTRKSPLKRTLPWFNQVDDFSYLNAGIDETFIKQSTKRNNRMEDASPNCVYHSSRSVQSTQNSNQCSVASCSFNGVAGGRLSPKPLENTPDHSDAESSFPSLCGKRDLPSSPVDKVINIHELELRAYKSTVEALYVSGPLTWEQEALLTNLRLSLNISDEEHLLQLRHLLSAHVL >KJB22963 pep chromosome:Graimondii2_0_v6:4:8661860:8664376:1 gene:B456_004G075800 transcript:KJB22963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRKGNLVEVLRREDDPCGSWFTGNILSADGDYYIVRYKLFTDCEGRRVVETVKGKDVRPLPPYVNRKNWAVGDVAEVFDIQCWRIGKVAKVLKNNDSFVIKLFGSIQLKEFHVSSLRVRQAWHGNKWMVVGKVAQSKDLVNSFTPKTPYCTGGLRFKTPLLMNKALQSKEKDREGQHKTRAHNVIHKVYAHQSEKCNIEKHFGGNLKTRKSPLKRTLPWFNQVDDFSYLNAGIDETFIKQSTKRNNRMEDASPNCVYHSSRSVQSTQNSNQCSVASCSFNGVAGGRLSPKPLENTPDHSDAESSFPSLCGKRDLPSSPVDKVINIHELELRAYKSTVEALYVSGPLTWEQEALLTNLRLSLNISDEEHLLQWVAAP >KJB22962 pep chromosome:Graimondii2_0_v6:4:8662086:8664360:1 gene:B456_004G075800 transcript:KJB22962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRKGNLVEVLRREDDPCGSWFTGNILSADGDYYIVRYKLFTDCEGRRVVETVKGKDVRPLPPYVNRKNWAVGDVAEVFDIQCWRIGKVAKVLKNNDSFVIKLFGSIQLKEFHVSSLRVRQAWHGNKWMVVGKVAQSKDLVNSFTPKTPYCTGGLRFKTPLLMNKALQSKEKDREGQHKTRAHNVIHKVYAHQSEKCNIEKHFGGNLKTRKSPLKRTLPWFNQVDDFSYLNAGIDETFIKQSTKRNNRMEDASPNCVYHSSRSVQSTQNSNQCSVASCSFNGVAGGRLSPKPLENTPDHSDAESSFPSLCGKRDLPSSPVDKVINIHELELRAYKSTVEALYVSGPLTWEQEALLTNLRLSLNISDEEHLLQLRHLLSAHVL >KJB26285 pep chromosome:Graimondii2_0_v6:4:57329689:57332968:1 gene:B456_004G235200 transcript:KJB26285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASFHFYDYQSLAVTSMTLQLTLSFSLCHVSGLSLNMAHKLVQVTLCLWVFTSLLLPSPTAGLYRVSLKKQRLNLNRFKAARIAMSGGGMLHNYGSSDGEVIPLKNYMDAQYYGVIAIGSPPQNFTVLFDTGSSNLWVPSSKCYFSIACYFHSKYKSSRSSTYTKIGKPCEINYGSGSISGFFSQDNVEVGGVVVRDQVFVEATREGSFPTFVLAKFDGILGLGFQEISVGNATPVWYNMLNQDVVREDVFSFWLNRDPSANEGGELVFGGVDPKHYKGKHTYVPVTRKGYWQFDMGDFLIGNNSTGVCEGGCAAIVDSGTSLLAGPTAVVTEINHAIGAEGVVSAECKEVVSQYGDLIWELLVSGVQPDKVCTQIGLCVLNGTRYMSSGIKTVVEKENMEGLSAGDQLLCTTCQMTVFWIQSQLKQKGTKDTVLNYVNELCQSLPSPMGESVIDCARISLMPDITFIIGDKPFKLTPDQYIVKMGEGITTVCVSGFMALDVSPPRGPLWILGDVFMGVYHTVFDYGNLEIGFAEAA >KJB22645 pep chromosome:Graimondii2_0_v6:4:5656657:5659022:1 gene:B456_004G058600 transcript:KJB22645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGVCAKKVVVDARHHMLGRLASIVAKELLNGQKVVVVRCEEICMSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKIFWRTVRGMIPHKTKRGAAALARLKAYEGIPSPYDKIKRMVIPDALKVLRLQKGHKYCLLGRLSSEVGWNHYDTIRVTGEEEEGKGSGCI >KJB22644 pep chromosome:Graimondii2_0_v6:4:5656628:5659125:1 gene:B456_004G058600 transcript:KJB22644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGVCAKKVVVDARHHMLGRLASIVAKELLNGQKVVVVRCEEICMSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKIFWRTVRGMIPHKTKRGAAALARLKAYEGIPSPYDKIKRMVIPDALKVLRLQKGHKYCLLGRLSSEVGWNHYDTIRELEKKRKERAQVAYERKKQLNKLRVKAEKTAEEKLGSQLDILAPVKY >KJB24581 pep chromosome:Graimondii2_0_v6:4:43371924:43376429:-1 gene:B456_004G152400 transcript:KJB24581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSPSIKRASELDTQFPLTKFHSQLKGEPSDQISGGISNLIDVDMEKLLEDSVPCRVRTKRGCATHPRRIAERVRRTQISDRIRKLQELVPNMDKAR >KJB21799 pep chromosome:Graimondii2_0_v6:4:1012851:1013934:-1 gene:B456_004G014300 transcript:KJB21799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQVTNTMEKGSVSIETSDSVPLKPNNNKLMVKLKISKKSYGGSGGGGGGGGGGGGDGGDQHVCPVCSKGFTSGKALGGHVRIHMKANKNGGRYKKISKRQPRNNNNNNIRKKIPIMAAVAAAETPHESHGSEEKVSCCICKKDFKSLKSLFGHMRNHPERNWRGIRPPPSDRNSCCSSVSENDEAVVVDQIKGSASDLLKSLPKWTTTTKRFEKSTRSDHANNTDADTDTDTEDEITEAAYCLMKLSRGNSFEIDNTKIHKTPLKTSFYTKNPKTTPLKEEAKQYYTKNNKTQQLDFDLNEPYIGEDSDDSDSEV >KJB21345 pep chromosome:Graimondii2_0_v6:4:35547341:35548779:-1 gene:B456_004G131100 transcript:KJB21345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSEGNNSTSLISREKLGEVATWVSATVVSAFFSSLERFSCVNLSTNDPDDDDNPNEAKDRPLTYS >KJB24678 pep chromosome:Graimondii2_0_v6:4:44499777:44500242:1 gene:B456_004G157000 transcript:KJB24678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLILGDFPIAQNRETVNRENIGDRSRENVQPNSLGDMGEGQATEVASGFADIGVRIIGGKFNGGQRGKKPNRTIRDRGNIFKNSSTQVSFHELLRILAESL >KJB25647 pep chromosome:Graimondii2_0_v6:4:53047467:53049398:1 gene:B456_004G202000 transcript:KJB25647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVITLYTVLIAVVAMSLLSLSFKKLRSTKVKLPPGPYGLPLVGYLPFLGRNIHQTFMELANIYGPIYKLSIGQKLFVLISCPTLAKEVVRDHDITFANRNPTIAALAFSFGGKDIAFTPYGPEWRMLRRIFVHEMQSNANLDAFYALRRNQVKKSIKDVYGKNGTTIDVGMLAYSTVINMITSMFWGGTLEGDIGANINAQFRAAVSELLIIWGKPNISDFFPFLASFDIQGIHGDMKRASRWIENIFDFVIDQRTKNNTKISNKDFLDFLLEFKDHETGKSLSRPQIKAFLADIVIGGTGTTSTSFEWTMAELMLHPEVMKKTQEELTEVVGDANVVEEYHFHKLPYLQAVVKETLRLHPSAPLLLPRCPSQSCTLGGYTIPKGAKVFLNAWAMHRDSQLWENPYEFRPERFVGDSNKLDFSGNKFHYIPFGSGRRMCAGLHLGERMLMYTLATFLHMFHWKVPDGEKPDTGEKFGVVLEKSTPLIVIPTPRLNNLKLYH >KJB24454 pep chromosome:Graimondii2_0_v6:4:41220604:41224566:1 gene:B456_004G146300 transcript:KJB24454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPLRGIKNDLRGRLMCYKQDWTGGFKAGFRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFVKDVPDLGRDLFLAWSGWVCVWTAALLFLLSILGACSIINRFTRVAGELFGLLIAMLFMQQAIKGIVEEFRIPQHENPKLTEFTPSWRFANGMFALVLSFGLLFSALRSRKARSWRYGTGWLRSFIADYGVPFMVLVWTAASYIPSASVPKGVPRRLFSPNPWSPGAYENWTVIKDMLNVPVVYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSCYHYDLLLLGFLTLLCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNRLVATARKCIRKNASLGQLYGNMQEAYQQMQTPLVYQEPSARGLHELKESTVQAATCTGNIDAPIDETLFDIEKEIDDLLPVEVKEQRLSNLLQATMVGGCVAAMPILKMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEQQHATFVETVPFKTIAMFTIFQTAYLLVCFGLTWIPIAGVMFPLMIMLLVPVRQYVLPKFFKGAHLYDLDAAEYEEAPALPYNLATETELGQGAAYAGDSEILDEVITRSRGEFRHTCSPKITSSTATPANGPRSHQSPRLSCNSPRLNELKGERSPRASGNGSHSPRTGELKPSNLGKSPLNRGSN >KJB24583 pep chromosome:Graimondii2_0_v6:4:43397274:43400805:1 gene:B456_004G152500 transcript:KJB24583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMRTLNAATPSLTPLSVLSETRTETRKAPLLPIASPLKLPNSPAFIKTQPALHQCFSRTLHGGLLLLSSLLPNGFAKALSYEEALQQTTGFSSSVDFDPNGILDTVVSFVTEHPTVVAGGTVALAVPLILSRLLKNPKPWGVESARSAYAKLGDDATAQLLDIRPLKESRDVGSPDIKGFGKKPVSIAYNGEDKPGFLTKLSLKFKEPENTTLFIIDKFDGNSELVAELVTANGFKAAYAVKDGAEGPRGWVNSGLPWIQPKKGLDLSNLTEAFAEAFGEGSDGLSVTVGIAAAAGLGLLAFSEIETILQLLGSAAIVQLVSKKFLYAENRKQTLKQVDEFLNTKVAPKELVDDVKQIGAALLPTTTTSKALPAPTEEKPEPKVKAVAEAPQTNSVPETVPEADGITGFSRPLSPYASYPDLKPPTSPTPSQP >KJB24582 pep chromosome:Graimondii2_0_v6:4:43397173:43400805:1 gene:B456_004G152500 transcript:KJB24582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMRTLNAATPSLTPLSVLSETRTETRKAPLLPIASPLKLPNSPAFIKTQPALHQCFSRTLHGGLLLLSSLLPNGFAKALSYEEALQQTTGFSSSVDFDPNGILDTVVSFVTEHPTVVAGGTVALAVPLILSRLLKNPKPWGVESARSAYAKLGDDATAQLLDIRPLKESRDVGSPDIKGFGKKPVSIAYNGEDKPGFLTKLSLKFKEPENTTLFIIDKFDGNSELVAELVTANGFKAAYAVKDGAEGPRGWVNSGLPWIQPKKGLDLSNLTEAFAEAFGEGSDGLSVTVGIAAAAGLGLLAFSEIETILQLLGSAAIVQLVSKKFLYAENRKQTLKQVDEFLNTKVAPKELVDDVKQIGAALLPTTTTSKALPAPTEEKPEPKVKAVAEAPQTNSVPETVPEADGITGFSRPLSPYASYPDLKPPTSPTPSHPATSKAILSPPEAKPEPKVEAAAETASLINSVPKTDGNSGYPKPLSPYPSYPDLKPPTSPTPSQP >KJB24584 pep chromosome:Graimondii2_0_v6:4:43397340:43400759:1 gene:B456_004G152500 transcript:KJB24584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMRTLNAATPSLTPLSVLSETRTETRKAPLLPIASPLKLPNSPAFIKTQPALHQCFSRTLHGGLLLLSSLLPNGFAKALSYEEALQQTTGFSSSVDFDPNGILDTVVSFVTEHPTVVAGGTVALAVPLILSRLLKNPKPWGVESARSAYAKLGDDATAQLLDIRPLKESRDVGSPDIKGFGKKPVSIAYNGEDKPGFLTKLSLKFKEPENTTLFIIDKFDGNSELVAELVTANGFKAAYAVKDGAEGPRGWVNSGLPWIQPKKGLDLSNLTEAFAEAFGEGSDGLSVTVGIAAAAGLGLLAFSEIETILQLLGSAAIVQLVSKKFLYAENRKQTLKQVDEFLNTKVAPKELVDDVKQIGAALLPTTTTSKALPAPTEEKPEPKVKAVAEAPQTNSVPETVPEADGITGFSRPLSPYASVMSLFITPYAVVCACIRN >KJB27315 pep chromosome:Graimondii2_0_v6:4:61957952:61964228:-1 gene:B456_004G290500 transcript:KJB27315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSMVDKATSSMLIGPDWARNLEICDMLCRDPVQAKDVMRGIKKRLGNKNPKVQLLTLTLLETIVKNCGDIVHMHVAERDVLSDMIKMARKKPDFHVKEKILALIDTWQEAYGANSRYPQYHVAYQELLRAGAVFPEKNDSSAPVFTPQTQPLSYPQSIRNPDRQERVERVESSAEPDFPTLSVTEIQNARGIVDVLSEMINALDPANKEGLKQEVIIDLVEQCRAYKQRVVHLVNSTTDESLLCQGLALNDDLQCILAKHEAIASGTYQADKSKPEAARELVNSNGGLVDTGGSSKQSEGRSTSSTNSQAPATNGSTPPAPVNPKMDLLSGDDYNMPKADDSLALVPVGEPQQTTPASSQQMALVLFDMFPNSNNTSNSVNTQSSGLSGQLYPLAPQIQQQNILAPQIQQQNGNAPNIRFPRYEQSFAQGTGPAWNNQLVQQQQQQQSPSPVYGAPSSSSLPPPPWEASGADPGPVTVPQYPQPLVTQVAATHGQPPLGPQPMGSEQVVGIYIQPITTSHLSAINIQQQPAVFPPQRVQGPQYNIGVFPPQRVQGPQYNIGMVPPQMAVVPQAMAPAYPPQQMYGGHQTVPYSSGYGQQQQYLDQQMYGLSIRDDNGSTSSYNPPTRPSKPEDRLFGDLVDMAKIKSTKTNPARPRTGSM >KJB27259 pep chromosome:Graimondii2_0_v6:4:61737022:61739142:1 gene:B456_004G287200 transcript:KJB27259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVHHQELHRSDPCVPIMDRKQGYDIELTSSTSKVEHELWPLDGIDSKNSKFPCCLVWTPLPVVSWLAPFIGHVGICREDGAVLDFSVSNFVTVDGFAFGAAARYVQLDREKCCFPPNMSGHTCKRGYQHSEYGTAITWDDALRSSARNFEHKSYNLFTCNSHSFVANCLNRLCYDGSMDWNMITVVALVIIKGHWVNNMSIIRSFLPSTVVLCLGLVSVGWPFLVGLFSFSILLLGWFLLGTYCFKTLLER >KJB27258 pep chromosome:Graimondii2_0_v6:4:61736935:61739142:1 gene:B456_004G287200 transcript:KJB27258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVHHQELHRSDPCVPIMDRKQGYDIELTSSTSKVEHELWPLDGIDSKNSKFPCCLVWTPLPVVSWLAPFIGHVGICREDGAVLDFSVSNFVTVDGFAFGAAARYVQLDREKCCFPPNMSGHTCKRGYQHSEYGTAITWDDALRSSARNFEHKSYNLFTCNSHSFVANCLNRLCYDGSMDWNMITVVALVIIKGHWVNNMSIIRSFLPSTVVLCLGLVSVGWPFLVGLFSFSILLLGWFLLGTYCFKTLLER >KJB25889 pep chromosome:Graimondii2_0_v6:4:54660979:54662003:1 gene:B456_004G213800 transcript:KJB25889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQSIHVIAGCSFGHCYHETYSTGSYNGETVRLIEHNYLFIVRLLNCHMSFLYVQLPFCNYIFSCSKEKSCYTLAY >KJB25518 pep chromosome:Graimondii2_0_v6:4:51547710:51552094:1 gene:B456_004G195600 transcript:KJB25518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCMPWTDLNKIIDVSFKKRIIQILLKRVMEKLVDIIHFLHLEIHEAFGAAGISGAPQDNNIMLWNAVIFGLDDTPWDGGYMKIG >KJB24493 pep chromosome:Graimondii2_0_v6:4:41532246:41533488:-1 gene:B456_004G147400 transcript:KJB24493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCICVKCGCFSCKHETPAKADAENQETGFLDVMLAIFLPPVAIYKKEKGCTAKFWVNILLSSVAVVPGSIHAAIVISS >KJB24492 pep chromosome:Graimondii2_0_v6:4:41532591:41533488:-1 gene:B456_004G147400 transcript:KJB24492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCICVKCGCFSCKHETPAKADAENQETGFLDVMLAIFLPPVAIYKKEKGCTAKFWVNILLSSVAVVPGSIHAAIVISS >KJB24494 pep chromosome:Graimondii2_0_v6:4:41532246:41533332:-1 gene:B456_004G147400 transcript:KJB24494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCICVKCGCFSCKHETPAKADAENQETGFLDVMLAIFLPPVAIYKKEKGCTAKFWVNILLSSVAVVPGSIHAAIVISS >KJB25651 pep chromosome:Graimondii2_0_v6:4:53164444:53167214:1 gene:B456_004G202400 transcript:KJB25651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILQFLFFFFLLFTLYTPMSVHANTELRSLMELKASLDPTNKVLDSWKSDGDPCSGSFVGVACNEHRKVANISLQGKGLSGQVSPAIAGLKCLSGLYLHYNSLSGEIPKELSDLQELTDLYLNDNNLSGTIPPHIGNMAALQVVDLCCNQLTGNIPTEIGDLKGLSVLSLQRNRLDDVRNNTLYGNVPSGLKRLNGGFLGDNNRGLCGTGFPTLRVCSRFDNMNINQLEPFQSDLNDTVPGVNSHIVHNQGYCNHTHCSNPLRFPKLPIITGIITVGFVFMFAVFLAIFHYRRKKQKIGNTCESSDGRLSTDHQAKELQRNGSVSPLVTLEYSYGWDPLGDGWDNIGFSKEHLDKFRFNLEEVESATRCFSELNLLGKTNFSSVYKGVLRDGSVVAIRSINVTSCKSEEAEFVKGLYLLTSLRHENLVRLRGFCCSKGRGECFLIYDFASKGNLSKYLDVEDGGEPVLDWPTRISIINGIATGIGYLHKSEVSKPPIVHRNISVEKVLIDHQFSPLIADSGLHKILADDIVYSALKVSAAMGYLAPEYVTTGRFTEKTDIFAFGVIILQILSGKLQLTSSMRLGAECSRFEDFVDANLKGEFSEPMAAKLGEIALRCTNQHPNDRPCMETIIKELNDIS >KJB25652 pep chromosome:Graimondii2_0_v6:4:53164631:53167210:1 gene:B456_004G202400 transcript:KJB25652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILQFLFFFFLLFTLYTPMSVHANTELRSLMELKASLDPTNKVLDSWKSDGDPCSGSFVGVACNEHRKVANISLQGKGLSGQVSPAIAGLKCLSGLYLHYNSLSGEIPKELSDLQELTDLYLNDNNLSGTIPPHIGNMAALQVVDLCCNQLTGNIPTEIGDLKGLSVLSLQRNRLDGKIPASLGNLVMLRRLDLSYNRLFGEIPTTLANILQLETLDVRNNTLYGNVPSGLKRLNGGFLGDNNRGLCGTGFPTLRVCSRFDNMNINQLEPFQSDLNDTVPGVNSHIVHNQGYCNHTHCSNPLRFPKLPIITGIITVGFVFMFAVFLAIFHYRRKKQKIGNTCESSDGRLSTDHQAKELQRNGSVSPLVTLEYSYGWDPLGDGWDNIGFSKEHLDKFRFNLEEVESATRCFSELNLLGKTNFSSVYKGVLRDGSVVAIRSINVTSCKSEEAEFVKGLYLLTSLRHENLVRLRGFCCSKGRGECFLIYDFASKGNLSKYLDVEDGGEPVLDWPTRISIINGIATGIGYLHKSEVSKPPIVHRNISVEKVLIDHQFSPLIADSGLHKILADDIVYSALKVSAAMGYLAPEYVTTGRFTEKTDIFAFGVIILQILSGKLQLTSSMRLGAECSRFEDFVDANLKGEFSEPMAAKLGEIALRCTNQHPNDRPCMETIIKELNDIS >KJB24543 pep chromosome:Graimondii2_0_v6:4:42549947:42551867:-1 gene:B456_004G150000 transcript:KJB24543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFNWLHKRFPHNVLKDGLVRNVKKTDSIAIDTNSKALLEQVALFDLLDGWRYGVLTIGTFGFDPLKSLGEINDHLPPESSDDEEEERFSNNNDEDDDDVDNNSYDEVNPLLLGHSLEDIVEDSTHNEIKFNLEVIEDHSEKLRRRTTLADLFYQDSDMKNKATHLDLELNPCEKASFPTKKGTSFAKKFIPHAGKDSRPIKMLNQMMKRMLKRKIHPELQGKGNKLEGQRKP >KJB24542 pep chromosome:Graimondii2_0_v6:4:42550472:42551565:-1 gene:B456_004G150000 transcript:KJB24542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFNWLHKRFPHNVLKDGLVRNVKKTDSIAIDTNSKALLEQVALFDLLDGWRYGVLTIGTFGFDPLKSLGEINDHLPPESSDDEEEERFSNNNDEDDDDVDNNSYDEVNPLLLGHSLEDIVEDSTHNEIKFNLEVIEDHSEKLRRRTTLADLFYQDSDMKNKATHLDLELNPCEKASFPTKKGTSFAKKFIPHAGKDSRPIKMLNQMMKRMLKRKIHPELQGKGNKLEGQRKP >KJB22764 pep chromosome:Graimondii2_0_v6:4:6556263:6559730:1 gene:B456_004G064900 transcript:KJB22764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNWELNSCCNNGQVTFLVTIGVFTVVILVLWRTVLLLPFKLITVFLHEASHAIACKLTCGHVEGIKVHADEGGVTQTRGGIYWVILPAGYLGSSFWGMALILASTNLLTARIAAGCFLLALVVVLFYAKNWTLRGLSIGFIIFLALIWFLQERTTVHILRYAILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAEICPCPCNGVGWGFIWGMISFIFLGASVYLGLLILA >KJB22763 pep chromosome:Graimondii2_0_v6:4:6556263:6559730:1 gene:B456_004G064900 transcript:KJB22763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNWELNSCCNNGQVTFLVTIGVFTVVILVLWRTVLLLPFKLITVFLHEASHAIACKLTCGHVEGIKVHADEGGVTQTRGGIYWVILPAGYLGSSFWGMALILASTNLLTARIAAGCFLLALVVVLFYAKNWTLRGLSIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAEICPCPCNGVGWGFIWGMISFIFLGASVYLGLLILA >KJB22765 pep chromosome:Graimondii2_0_v6:4:6556361:6559148:1 gene:B456_004G064900 transcript:KJB22765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNWELNSCCNNGQVTFLVTIGVFTVVILVLWRTVLLLPFKLITVFLHEASHAIACKLTCGHVEGIKVHADEGGVTQTRGGIYWVILPAGYLGSSFWGMALILASTNLLTARIAAGCFLLALVVVLFYAKNWTLRGLSIGFIIFLALIWFLQERTTVHILRYAILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAEICPCPCNGVGWGFIW >KJB22555 pep chromosome:Graimondii2_0_v6:4:5147959:5152618:1 gene:B456_004G054100 transcript:KJB22555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNYDIERLDGKRLNLTNLEVLNLDYNSFEKSSLAQLGGFFNLKKLNMQENQLQGSINIKDGERPLNLTNLEELTLNSNSFTNSLLAQLSGFSNLKSLDIGYNQLKGSINIKELLDALSNLEELDISENEVKEIVPIKNKGWCDLRKLETLDLSENSLGGALPSCLANLSSLRYLDISGNQFIGKGASPALANLTSLRFMFLSRNLFEVPSIFMSFANHLHLKVLFGDQNKLVREPTIQTWVPKFQLKAFLFSNCTTKELHIEIPKFLYYQNDLSMVDLSYNNFVGKFPFWLLENNTRMGAFLMEGNSFMGHLNLPSHPKPKMSIVDISGNKLEGPILASVCSIFPQLKGLNLSDNFFQGNIPPCLGSLNTTYLILDLSHNHLSGGIPEMLAQSDSLELLRLSNNDLSGKIAPTIFCSPSLQFLYLDGNKFDGNIPDIDISTCHPYSLTDMDLSNNNLSGELPRWIWNMSLNALAVSNNQLKGLIPKELCHYTLQILDLSKNNFFGPIPSCFNAHSLKHLHLSKNRLSGTLTNAFFNSSSLVTLDLSENQLTGEIPYWIGNLSTLSVLLLKANYFIGEIPIEICKLYSLSIIDLSQNKFSGLIPSCLSRLTLEPNDKKSSTILYALDFDGILDFGGILEGANYIGMTRWDLSDSGFDLHFFIENLEEKVDYTTKGASRTIKGNILEYMSGIDLSCNRLTGEIPREIGNLSEIRSLNLSHNNLTGHIPSTFSKLKQIESLDLSHNNLIGRIPVQLTELYALAVFNVSYNNLSGSIPSPKAQFGTFDESSYVANPFLCGSPLHKNCSDLDSPPTAAPKSSNEEEESGLMDKYVFWVTFFVSYVIVLLVIVLILYINPYWRQAWFSFVEHCIKTCQYFIE >KJB26316 pep chromosome:Graimondii2_0_v6:4:57526506:57528217:1 gene:B456_004G236700 transcript:KJB26316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGVFVPDDNMNIPMNFDFLFGSCSQSTPQEALQMLPVLGLKLSKTPDFLEKLQKLTQQQLQNSNVRQQPNSNVGRGSISATPKSKDVFLQTINKIKAENFPISLLKIGSWQRVSRNEGDLVGKCYFSKKKLVWEFLENGLKSKIEIQWTDITSMKVSMPENQPAVLEIELNQPPTFHHEIDPQPRKHTQWRLVPDFTGGQALTYRRHRLRCPPGLLNKPLEKLLNSDSRLLQLIQQGFPTKSPYFKYSLDFGGKGYINFEHQQQQKQPFSFISNMNDSHSPLPVVPVWDQWMSSTDQICNQIQMPLWGQEAMNDQLAGLQTLNDHQNMSLVDFDDGIDMVYKNEQNVIDNNVVAMSNDCSSCTGGGSFSYPQANNWLLQFQSLEENMNM >KJB23469 pep chromosome:Graimondii2_0_v6:4:17618426:17618875:1 gene:B456_004G100100 transcript:KJB23469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEESDKLLESMFGREDWEFERIICNADLDQKGDIIVLVDEVKKYIAPGLKKKEVQDLENGKSIDILLFDEDSKAFYKLKLNFSRPYFLLCDTTLFYDNKKLTVGRRLGFRYEPCFAMLVVKSLN >KJB24662 pep chromosome:Graimondii2_0_v6:4:44254554:44258311:-1 gene:B456_004G155900 transcript:KJB24662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENHELPEATIQNILDQDSLKWVFVGGKGGVGKTTCSSILSILLARVRSSVLIISTDPAHNLSDAFQQRFTKTPTLVNGFNNLYAMEVDPTVENEDVNGLEGMDSLFSDLANAIPGIDEAMSFAEMLKLVQTMDYSCIVFDTAPTGHTLRLLQFPSTLEKGLAKMMSLKSKFGGLLSQMTRLFGMDDEFGEDAILGRLEGMKDVIEQVNKQFKDPDMTTFVCVCIPEFLSLYETERLVQELAKFEIDTHNIIINQVIFDDEDVESKLLKARMKMQQKYIDQFYMLYDDFNITKLPLLPQEVTGVEALKSFSRHFLSPYQPLCKRGTVEDLERRISMLKVQISEAEAELEKLRK >KJB24661 pep chromosome:Graimondii2_0_v6:4:44255017:44258123:-1 gene:B456_004G155900 transcript:KJB24661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENHELPEATIQNILDQDSLKWVFVGGKGGVGKTTCSSILSILLARVRSSVLIISTDPAHNLSDAFQQRFTKTPTLVNGFNNLYAMEVDPTVENEDVNGLEGMDSLFSDLANAIPGIDEAMSFAEMLKLVQTMDYSCIVFDTAPTGHTLRLLQFPSTLEKGLAKMMSLKSKFGGLLSQMTRLFGMDDEFGEDAILGRLEGMKDVIEQVNKQFKDPDMTTFVCVCIPEFLSLYETERLVQELAKFEIDTHNIIINQVIFDDEDVESKLLKARMKMQQKYIDQFYMLYDDFNITKLPLLPQEVSSSILCDHFSL >KJB24346 pep chromosome:Graimondii2_0_v6:4:39658671:39661272:1 gene:B456_004G141000 transcript:KJB24346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVQDSDGKPCEESLPCTSQKHETRDEMLSRHRREISELQNKEISLKKAAAKGSKAEQKAKKKQVEEEISQLSTNLKAKHAEELASLGYSNGNDKSNMDNLVKAIAGVSVAPQQDHPKPSKGAKRRVKRAQQEAAREQRIQEEQSNIVSDRMIEDEKLEEKLKPLGFTINEIKPDGHCLYRAVEDQLALLSGGSSPYTYQELREMAAAYMRKHASDFLPFFLSENTVDEDSDDSLAERFENYCKEVESTAAWGGQLELGALTHCLRKHIMIFSGSFPDVEMGKEYKSDGGSSSSEGTLRLSYHKHAFGLGEHYNSVIPNSIR >KJB24345 pep chromosome:Graimondii2_0_v6:4:39658679:39661238:1 gene:B456_004G141000 transcript:KJB24345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVQDSDGKPCEESLPCTSQKHETRDEMLSRHRREISELQNKEISLKKAAAKGSKAEQKAKKKQVEEEISQLSTNLKAKHAEELASLGYSNGNDKSNMDNLVKAIAGVSVAPQQDHPKPSKGAKRRVKRAQQEAAREQRIQEEQSNIVSDRMIEDEKLEEKLKPLGFTINEIKPDGHCLYRAVEDQLALLSGGSSPYTYQELREMAAAYMRKHASDFLPFFLSENTVDEDSDDSLAERFENYCKEVESTAAWGGQLELGALTHCLRKHIMIFSGSFPDVEMGKEYKSDGGSSSSEGTLRLSYHKHAFGLGEHYNSVIPNSIR >KJB25773 pep chromosome:Graimondii2_0_v6:4:54041763:54043190:1 gene:B456_004G208100 transcript:KJB25773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHYLVHIVAYLQKLLTCQTYTDKEGIRQQELLAFIPRHHKHYILPNSVSKKVHFSPQLQTDPRQNYLHAKSRLQPSGFTFKEQETSQ >KJB24500 pep chromosome:Graimondii2_0_v6:4:41659837:41661344:1 gene:B456_004G147900 transcript:KJB24500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHYDGGATIIQDEKEESLSEDGVFIEIKKLGGNCRRIRSKIGIEASLDTVWDILTDYEKLADLIPGLAVSEVVEKNDKFARLFQIGQQNLPLGLKFNAKGVLDCYEKDVEILPHGKKREIQFKMVEGDFTQFEGTWLLEQFSKTKNEDNHQVIGGEESSHTTLSYLVDVKPKLWLPIRLVEGRLRKEIKTNLSCIRDEAKRVITAFTSL >KJB21357 pep chromosome:Graimondii2_0_v6:4:54275255:54276898:1 gene:B456_004G2103002 transcript:KJB21357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCEKLANLALANQNGLPSLFVSPDGLITAMAYRLPHV >KJB21356 pep chromosome:Graimondii2_0_v6:4:54275019:54276898:1 gene:B456_004G2103002 transcript:KJB21356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRLPHV >KJB21355 pep chromosome:Graimondii2_0_v6:4:54274955:54276898:1 gene:B456_004G2103002 transcript:KJB21355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPITGLCNEISYFYYVSSPPITDSVLKETITTKMLPTLPSPTFSPQKIVTFIVALDQNGLPSLFVSPDGLITAMAYRLPHV >KJB21620 pep chromosome:Graimondii2_0_v6:4:283338:285851:-1 gene:B456_004G004600 transcript:KJB21620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KJB22831 pep chromosome:Graimondii2_0_v6:4:7144408:7145430:-1 gene:B456_004G067900 transcript:KJB22831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPLLYGVIGGPVASSENNSSERSHSSSSIESAKPSIIIIILILSITLLVSVSLCLLLRHLNRRCLRHLSRSSTSTIVASAASHRVSPEQSPTALLLDSLPLFTFSSITRRRSNGDSTVSGDCAVCLSKFEQQDQLRLLPLCCHAFHAQCIDTWLTSNQTCPLCRSPLFASESDLMKSLLQSSNAAAPIGSGGSDSFRLEIGSVSLRQPGSESGEQRRSYSIGSFDYIVEEESEVTRNQTHQRNVSDKEEVVGGAEAASEASLAGEVATGRSWLKEYVDRLSSSLSSRAMSFRSSGRFFTGSSRRSDIAGVVTADYDVEANRIGEEISEMFRWFSGV >KJB24960 pep chromosome:Graimondii2_0_v6:4:47219623:47223969:1 gene:B456_004G171700 transcript:KJB24960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSAYSLMISSFTPFHSIILKTPRRFSRNIPFNHSTKSRVASYYQNVELHTEDMMGASRYLPPLFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQQGNLFVGEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTRHFIIHSRKALLNGISPADNRRIPPLKYEFYYALLRDFPDLTFTINGGINSVVEANAALREGAHGVMVGRAAYHYPWQTLGHVDTAIYGAPSSGITRREILQRYQEYGDSVLGKDGNNRPNIREVAKPLLNLFYSEPGNGLWKRKADSAFMHCKTMKSFFEETLVAIPDSVLDAPIAGGVPSGREDLFANVHDFLPPQYQAREEEALYA >KJB24963 pep chromosome:Graimondii2_0_v6:4:47219623:47223969:1 gene:B456_004G171700 transcript:KJB24963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSAYSLMISSFTPFHSIILKTPRRFSRNIPFNHSTKSRVASYYQNVELHTEDMMGASRYLPPLFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQQGNLDKFLGYSPEQHPVVLQIGGSKLENLAKATELANAYNYDEINFKFVPFLNMILFTFLLLCIFFSLMHFLFSVFNYCSCPKTSCGCPSPKVAGHGCFGVRLMLDPKFVGEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTRHFIIHSRKALLNGISPADNRRIPPLKYEFYYALLRDFPDLTFTINGGINSVVEANAALREGAHGVMVGRAAYHYPWQTLGHVDTAIYGAPSSGITRREILQRYQEYGDSVLGKDGNNRPNIREVAKPLLNLFYSEPGNGLWKRKADSAFMHCKTMKSFFEETLVAIPDSVLDAPIAGGVPSGREDLFANVHDFLPPQYQAREEEALYA >KJB24956 pep chromosome:Graimondii2_0_v6:4:47219623:47223183:1 gene:B456_004G171700 transcript:KJB24956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSAYSLMISSFTPFHSIILKTPRRFSRNIPFNHSTKSRVASYYQNVELHTEDMMGASRYLPPLFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQQGNLDKFLGYSPEQHPVVLQIGGSKLENLAKATELANAYNYDEINFNCGCPSPKVAGHGCFGVRLMLDPKFVGEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTRHFIIHSRKALLNGISPADNRRIPPLKYEFYYALLRDFPDLTFTINGGINSVVEANAALREGAHGVMVGRAAYHYPWQTLGHVDTAIYGAPSSGITRREILQRYQEYGDSVLGKDGNNRPNIREVAKVGSFVSFTSLLHFFC >KJB24955 pep chromosome:Graimondii2_0_v6:4:47219622:47223971:1 gene:B456_004G171700 transcript:KJB24955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGASRYLPPLFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQQGNLDKFLGYSPEQHPVVLQIGGSKLENLAKATELANAYNYDEINFNCGCPSPKVAGHGCFGVRLMLDPKFVGEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTRHFIIHSRKALLNGISPADNRRIPPLKYEFYYALLRDFPDLTFTINGGINSVVEANAALREGAHGVMVGRAAYHYPWQTLGHVDTAIYGAPSSGITRREILQRYQEYGDSVLGKDGNNRPNIREVAKPLLNLFYSEPGNGLWKRKADSAFMHCKTMKSFFEETLVAIPDSVLDAPIAGGVPSGREDLFANVHDFLPPQYQAREEEALYA >KJB24958 pep chromosome:Graimondii2_0_v6:4:47219623:47223969:1 gene:B456_004G171700 transcript:KJB24958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSAYSLMISSFTPFHSIILKTPRRFSRNIPFNHSTKSRVASYYQNVELHTEDMMGASRYLPPLFRTLARLISKHAWLYTEMLAAETIVYQQGNLDKFLGYSPEQHPVVLQIGGSKLENLAKATELANAYNYDEINFNCGCPSPKVAGHGCFGVRLMLDPKFVGEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTRHFIIHSRKALLNGISPADNRRIPPLKYEFYYALLRDFPDLTFTINGGINSVVEANAALREGAHGVMVGRAAYHYPWQTLGHVDTAIYGAPSSGITRREILQRYQEYGDSVLGKDGNNRPNIREVAKPLLNLFYSEPGNGLWKRKADSAFMHCKTMKSFFEETLVAIPDSVLDAPIAGGVPSGREDLFANVHDFLPPQYQAREEEALYA >KJB24954 pep chromosome:Graimondii2_0_v6:4:47219587:47223969:1 gene:B456_004G171700 transcript:KJB24954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSAYSLMISSFTPFHSIILKTPRRFSRNIPFNHSTKSRVASYYQNVELHTEDMMGASRYLPPLFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQQGNLDKFLGYSPEQHPVVLQIGGSKLENLAKATELANAYNYDEINFNCGCPSPKVAGHGCFGVRLMLDPKFVGEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTRHFIIHSRKALLNGISPADNRRIPPLKYEFYYALLRDFPDLTFTINGGINSVVEANAALREGAHGVMVGRAAYHYPWQTLGHVDTAIYGAPSSGITRREILQRYQEYGDSVLGKDGNNRPNIREVAKPLLNLFYSEPGNGLWKRKADSAFMHCKTMKSFFEETLVAIPDSVLDAPIAGGVPSGREDLFANVHDFLPPQYQAREEEALYA >KJB24962 pep chromosome:Graimondii2_0_v6:4:47219623:47223969:1 gene:B456_004G171700 transcript:KJB24962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGASRYLPPLFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQQGNLDKFLGYSPEQHPVVLQIGGSKLENLAKATELANAYNYDEINFNCGCPSPKVAGHGCFGVRLMLDPKFVGEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTRHFIIHSRKALLNGISPADNRRIPPLKYEFYYALLRDFPDLTFTINGGINSVVEANAALREGAHGVMVGRAAYHYPWQTLGHVDTAIYGAPSSGITRREILQRYQEYGDSVLGKDGNNRPNIREVAKPLLNLFYSEPGNGLWKRKADSAFMHCKTMKSFFEETLVAIPDSVLDAPIAGGVPSGREDLFANVHDFLPPQYQAREEEALYA >KJB24959 pep chromosome:Graimondii2_0_v6:4:47219623:47223969:1 gene:B456_004G171700 transcript:KJB24959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFTQRCLLLKLLFINKGICCGCPSPKVAGHGCFGVRLMLDPKFVGEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTRHFIIHSRKALLNGISPADNRRIPPLKYEFYYALLRDFPDLTFTINGGINSVVEANAALREGAHGVMVGRAAYHYPWQTLGHVDTAIYGAPSSGITRREILQRYQEYGDSVLGKDGNNRPNIREVAKPLLNLFYSEPGNGLWKRKADSAFMHCKTMKSFFEETLVAIPDSVLDAPIAGGVPSGREDLFANVHDFLPPQYQAREEEALYA >KJB24957 pep chromosome:Graimondii2_0_v6:4:47219623:47223969:1 gene:B456_004G171700 transcript:KJB24957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGASRYLPPLFRTLARLISKHAWLYTEMLAAETIVYQQGNLDKFLGYSPEQHPVVLQIGGSKLENLAKATELANAYNYDEINFNCGCPSPKVAGHGCFGVRLMLDPKFVGEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTRHFIIHSRKALLNGISPADNRRIPPLKYEFYYALLRDFPDLTFTINGGINSVVEANAALREGAHGVMVGRAAYHYPWQTLGHVDTAIYGAPSSGITRREILQRYQEYGDSVLGKDGNNRPNIREVAKPLLNLFYSEPGNGLWKRKADSAFMHCKTMKSFFEETLVAIPDSVLDAPIAGGVPSGREDLFANVHDFLPPQYQAREEEALYA >KJB24961 pep chromosome:Graimondii2_0_v6:4:47220122:47223263:1 gene:B456_004G171700 transcript:KJB24961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSAYSLMISSFTPFHSIILKTPRRFSRNIPFNHSTKSRVASYYQNVELHTEDMMGASRYLPPLFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQQGNLDKFLGYSPEQHPVVLQIGGSKLENLAKATELANAYNYDEINFNCGCPSPKVAGHGCFGVRLMLDPKFVGEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTRHFIIHSRKALLNGISPADNRRIPPLKYEFYYALLRDFPDLTFTINGGINSVVEANAALREGAHGVMVGRAAYHYPWQTLGHVDTAIYGAPSSGITRREILQRYQEYGDSVLGKDGNNRPNIREVAKS >KJB27351 pep chromosome:Graimondii2_0_v6:4:62056843:62057241:1 gene:B456_004G292700 transcript:KJB27351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFGAQTSTIQKINGSNYSIKSLQMKFYLKGKELWSIVGGNEVEPPTEANDMEKWEVKAAKAMFSLTVAIEDEYLQQQHIRDAETLKEAWDTLATLFTKTNEAKLQALHNELMKLQNCLWKKCPTRPDCKE >KJB26776 pep chromosome:Graimondii2_0_v6:4:59481025:59493914:1 gene:B456_004G258900 transcript:KJB26776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRLKDLSQPIDVPLLDATVAAFYGTGSKEERATADQILRYLQNNPDMWLQVVHILQQTKSLNTKFFALQVLEGVIKYRWNVLPVEQRDGMKNYISEVIVQLSSNEASFRAERLYVNKLNIILVQILKHDWPARWQSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRIELIRATLSTLHAFLSWIPLGYIFESTLLETLLKFFPVPSSRNLTLQCLTEVASLNFGDYYNVQYVKMYNIFMVQLQTILPPTTNIPEAYAHGSGEEQAFIQNLALFFTSFYKFHIRVLESSQENISALLMGLEYLINISYVDDTEVFKVCLDYWNSLILELFDSHHNMDNPAVTANMMGLQVPLLPGIVDGLCAQLLQRRRLYAGTMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGENEPFVSELLSALATTVADLEPHQIHSFYESVGHMIQAESDPHKRDEYLQRLMALPNQKWGEIIGQARQSVDFLKDQDVIRTVLNILQTNTSVASSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGVPFASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNMPDARESEVLSLFATIINKYKAAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALIQLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLRNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLILQQLFCLVENGLLTEPLWDAATVPFQYPNNEMFVREYTIKLLTTSFPNMTAAEVTQLVNGLFDSRNDLPTFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQREKERQRMLSIPGLIAPNEIQDEMLDS >KJB26778 pep chromosome:Graimondii2_0_v6:4:59481415:59493823:1 gene:B456_004G258900 transcript:KJB26778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRLKDLSQPIDVPLLDATVAAFYGTGSKEERATADQILRYLQNNPDMWLQVVHILQQTKSLNTKFFALQVLEGVIKYRWNVLPVEQRDGMKNYISEVIVQLSSNEASFRAERLYVNKLNIILVQILKHDWPARWQSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRIELIRATLSTLHAFLSWIPLGYIFESTLLETLLKFFPVPSSRNLTLQCLTEVASLNFGDYYNVQYVKMYNIFMVQLQTILPPTTNIPEAYAHGSGEEQAFIQNLALFFTSFYKFHIRVLESSQENISALLMGLEYLINISYVDDTEVFKVCLDYWNSLILELFDSHHNMDNPAVTANMMGLQVPLLPGIVDGLCAQLLQRRRLYAGTMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGENEPFVSELLSALATTVADLEPHQIHSFYESVGHMIQAESDPHKRDEYLQRLMALPNQKWGEIIGQARQSVDFLKDQDVIRTVLNILQTNTSVASSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGVPFASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNMPDARESEVLSLFATIINKYKAAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALIQLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLRNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLILQQLFCLVKYLTLPRFLQLWISFILLNKLFSG >KJB26777 pep chromosome:Graimondii2_0_v6:4:59481415:59491187:1 gene:B456_004G258900 transcript:KJB26777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRLKDLSQPIDVPLLDATVAAFYGTGSKEERATADQILRYLQNNPDMWLQVVHILQQTKSLNTKFFALQVLEGVIKYRWNVLPVEQRDGMKNYISEVIVQLSSNEASFRAERLYVNKLNIILVQILKHDWPARWQSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRIELIRATLSTLHAFLSWIPLGYIFESTLLETLLKFFPVPSSRNLTLQCLTEVASLNFGDYYNVQYVKMYNIFMVQLQTILPPTTNIPEAYAHGSGEEQAFIQNLALFFTSFYKFHIRVLESSQENISALLMGLEYLINISYVDDTEVFKVCLDYWNSLILELFDSHHNMDNPAVTANMMGLQVPLLPGIVDGLCAQLLQRRRLYAGTMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGENEPFVSELLSALATTVADLEPHQIHSFYESVGHMIQAESDPHKRDEYLQRLMALPNQKWGEIIGQARQSVDFLKDQDVIRTVLNILQTNTSVASSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGVPFASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNMPDARESEVLSLFATIINKYDNHLIQYSIFNNVIFNTNQKLLE >KJB27331 pep chromosome:Graimondii2_0_v6:4:62005793:62009505:-1 gene:B456_004G291400 transcript:KJB27331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITSLVVLKCNPEGSDPIILANATDVSHFGYFQRSSVKEFIVFVSRTVAKRTPSGQRQSVQHEEYKVHAYNRNGLCALGFMDDHYPVRSAFSLLNQILDEYQKNFGESWRNAQADSTQPWPYLNDALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGERLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >KJB24323 pep chromosome:Graimondii2_0_v6:4:39218126:39220274:1 gene:B456_004G139500 transcript:KJB24323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGDVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYEKKEKQVEIRKKIEYSMQLNDLLNVSHDHHVYKRLLKDLIVQSLVRLKEPGVLLRCRKEDLHLVESVLDSAKEEYASKVNVHPPEIIIDDVHLPPGPSHHHGFFHHHAEAHGPFCSGGVVIASRDGKIVFENTLDARLDVAFNKKLPEIRKWLFGQVAA >KJB24322 pep chromosome:Graimondii2_0_v6:4:39218088:39220274:1 gene:B456_004G139500 transcript:KJB24322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGDVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYEKKEKQVEIRKKIEYSMQLNASRIKVLQAQDDVVNAMKESASKDLLNVSHDHHVYKRLLKDLIVQSLVRLKEPGVLLRCRKEDLHLVESVLDSAKEEYASKVNVHPPEIIIDDVHLPPGPSHHHGFFHHHAEAHGPFCSGGVVIASRDGKIVFENTLDARLDVAFNKKLPEIRKWLFGQVAA >KJB24326 pep chromosome:Graimondii2_0_v6:4:39219005:39220018:1 gene:B456_004G139500 transcript:KJB24326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNASRIKVLQAQDDVVNAMKESASKDLLNVSHDHHVYKRLLKDLIVQSLVRLKEPGVLLRCRKEDLHLVESVLDSAKEEYASKVNVHPPEIIIDDVHLPPGPSHHHGFFHHHAEAHGPFCSGGVVIASRDGKIVFENTLDARLDVAFNKKLPEIRKWLFGQVAA >KJB24324 pep chromosome:Graimondii2_0_v6:4:39218126:39220274:1 gene:B456_004G139500 transcript:KJB24324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNASRIKVLQAQDDVVNAMKESASKDLLNVSHDHHVYKRLLKDLIVQSLVRLKEPGVLLRCRKEDLHLVESVLDSAKEEYASKVNVHPPEIIIDDVHLPPGPSHHHGFFHHHAEAHGPFCSGGVVIASRDGKIVFENTLDARLDVAFNKKLPEIRKWLFGQVAA >KJB24325 pep chromosome:Graimondii2_0_v6:4:39218126:39220274:1 gene:B456_004G139500 transcript:KJB24325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGDVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYEKKEKQVEIRKKIEYSMQLNASRIKVLQAQDDVVNAMKESASKDLLNVSHDHHVYKRLLKDLIVQSLVRLKEPGVLLRCRKEDLHLVESVLDSAKEEYASKVNVHPPEIIIDDVHLPPGPSHHHGFFHHHAEAHGPFCSGGVVIASRDGKIVFENTLDARLDVAFNKKLPEVGFVRF >KJB24255 pep chromosome:Graimondii2_0_v6:4:37266787:37269407:-1 gene:B456_004G135400 transcript:KJB24255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVEMSKADADKKIERISKLIKRRNRGKKDQELVGLVEDFHKQYQLLYAQYDHLIRQPGKQAREGNGNGSCSYHASSSDSEYYSSEDVEINTALSNNRSFFRRTATNMGEELKKAYAEVADLKHQLACRKKEKEALDSDHRAALSKIQETETMNADLRNEMDGLEKRLFALGTVPMGQVAEQLAGLITELESQHKKKSGLEAELCGKLVELEQQRETNKALLVHNSEEGVTESMEQIKDNENNLTSKIEDSMARVSNLKNEVDDLRSQKCESFDEVNIMKQELESVRSQNTELEMVLERKSTEVSQYLIQVKTLKEELARKSGVEQIMAEENEGLQVQVMDLESEVDALRKQKNKSEDEVKRKLGEINHLREEKGQLNARILELETLYRERSLEEDSKCKRANEIAKMKVEVDLLQPELDSLKAEQSSLELQISNQQTTTKEKDNNKSMPPKTRLVRRLSLGNITNLNYHNLERKMEDLAVEFGKKIDDGIRLLYQRIKVAERIQHENTQIFKLTRERLQQEIETLYLDNEALQQRVGTLDYELRQLRDTMEAEKAAMAGLNAMVDKLEDERNYLTPISNVTDEGVSVIDECEQAKSNVEILVAEMQKENEEELLREKVMSLEAKLREEGEEKLKMLREMRELEQKMREMHEEYELLRERVINLKAKLSEEGEEKLKALKAMSELEKLSKEKDEILSDREEEKREAIRQLCLLIDYHRTCSDHLKQSISTLTLTLRNKKMK >KJB22314 pep chromosome:Graimondii2_0_v6:4:3493820:3496135:-1 gene:B456_004G040700 transcript:KJB22314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGFGISASALPYKRTPPSWLKISSQDVEENIYKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >KJB22315 pep chromosome:Graimondii2_0_v6:4:3493820:3496139:-1 gene:B456_004G040700 transcript:KJB22315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEENIYKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >KJB22313 pep chromosome:Graimondii2_0_v6:4:3493799:3496349:-1 gene:B456_004G040700 transcript:KJB22313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEENIYKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >KJB27009 pep chromosome:Graimondii2_0_v6:4:60654201:60654809:1 gene:B456_004G271600 transcript:KJB27009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated zinc finger protein 1 [Source:Projected from Arabidopsis thaliana (AT1G78600) UniProtKB/TrEMBL;Acc:F4IBS4] MRIQCNLCEAAVAKVLCCADEAALCLECDEKVHAANKLVSEHQRLPLFSSSSFQMPKCDICQEISGFFFCLQDRALLCRKCDVAIHTVNSVVSCHQRFLLTGVEVDVGTKTDTIGASCFNAK >KJB22876 pep chromosome:Graimondii2_0_v6:4:7661048:7662140:1 gene:B456_004G071000 transcript:KJB22876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGNKEGTSKQFRWTKPMEHVFLEILAEEAQKGNKPSNTFKSVSINRVADAISSRFQVQCDTKHVENHLRTVKNQWQIICKIRGESGFGWDDNMKMITCDRATYDATVMAHKKYEPFLNKSIDHYDEMAVVVGKDMATGSFARTFADIDLYDGNEDSMPVDCDNEEAEEVRTNVSSSGTSKRKRKSGQGSLVDEQIKFVGEQLGEIANALKQFTADKTAQLYEQVMSMEEEGFDDDFLCSVCDYLGSHESEAKMFLVKSKKHRKIWLQKFSQG >KJB25995 pep chromosome:Graimondii2_0_v6:4:55524164:55525862:1 gene:B456_004G220400 transcript:KJB25995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLVSSWFSNKTLPQSYIFPPEARPGNHVIPRCNTIPVVDLSKALAHDRIVIVQQILKASQEFGFFQVVNHGVPENLVTDTMNVFKEFFELPAEDKAGIYSEDLKRPCRLYTSSSNYDHENVHLWRDNLRHPCHPLEDCIKIWPLKPTRYREIVATYSIEAKKLGLRILELLSEGLGLGSGFFGDKLSESLLLSVNHYPPCPDPSLTLGVSRHCDPNLLTILHQGDVYGLQVFKDGEWIGVEPLHNAFVVNIGHQLQIISNNKLKSAEHRAVTNSRVARTTVAFFINPSDDCVIEPDKSLIDTDESPAYKPFQFKEFLLNYSSLMGNPEKCLEPFELHA >KJB27158 pep chromosome:Graimondii2_0_v6:4:61292052:61294485:1 gene:B456_004G281200 transcript:KJB27158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFWRVLIILPLFFAYVNGEYFTYPDRHTGKAELAGFKGPDSLATDGGGYFSGPLVVENAATATPGNQGGTTEVTVGKPGDNTLDASVGKDEIKDASMTEAATTFKGSWELFLENGGVSAMHLQLLPKINQALMFDATVWKISKIKLPPPCRHVEGTNEEDCFAHSVLMDIETGKLRPLRLHYDTWCSSGGLDINGRLVSTGGFNNGSDTVRILDLCDTCEWKEYPGALANGRWYATQVTLGDGKFMVFGGRDFPTYEFVPPEGKKNTHQDVVDFNFLVETHDPVENNLYPFIYLSTDGNIFIFANNRSVILNPNTRKIIHEFPVLPNGARNYPASGSACLLPIILKPNEDRRVIPAEILICGGAPHDAFEKADLQRPKVFLPGSCDCARLDFTKRNSKWKILNMPSARLLGDMAVLPTGDVLIVNGAKTGSAGWDDAREPNLNPVLYKFKTDGTGSKFTVLNPSNIARMYHSSFAVLPDAKVLIAGSNTNPGYLDDALFPTEVRVEKFSPPYLDPNLAMFRQEIITEKSDNQLKYGQKFTVQIRGNGVIDQQKLQVTIYSPPFVTHGICMNQRLIQLGILEFNKDVAPNTNNIVLQAPMNGNIAQPGYYMLFVNYNGVPCLRSMWVQILP >KJB26304 pep chromosome:Graimondii2_0_v6:4:57584890:57586303:-1 gene:B456_004G237300 transcript:KJB26304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQTPNSHESSQHGQALDPHEPQGYPEDYDSTCSTPYVSAPSSPGRAPGPGSINGGFFYSAPASPMHFAMTSVASIVSSTRPSSPDNSVPLGFEFEFSGRFGSSGLGQTGSMSSADELFLNGQIKPMKLSTHLERPQVLAPLLDLEHEEDEENEDVDNKARGRDLRLRDKSLRRRTRSMSPLRNDQNMCLDKGLSKQKADSKNHDDEEMYNETSASMSASSSRSSSAGRSSKRWVFLKDFLRSKSEGRSNNKFWSTISFSPTKEKKPGTKTSACVIQQSKEKPSNVSNGVSENQRSIKSKPVNGIGKGKRRVPPSPHELHYTANRAQAEEMRKKTFLPYKQGLFGCLSFSSKGYGAMNGLARALNPVSSS >KJB25780 pep chromosome:Graimondii2_0_v6:4:54155632:54157980:-1 gene:B456_004G208900 transcript:KJB25780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKPAINNNSLKKMKKRVSWNEANLREIEANKQETKKIDEPKTPYPSTIEEDGSSVKSIDFAAHAEALKNALNKLAFTEEHNSPFGETCQDEDQGLAKQKDTVSFEGRRRAHYDEFNKVKEVQEKGCSLDDELDNSIYVSGSGSTLDIDSIEIDIEDDDEILQIIDIEETDDLSFPQYSHFGEVEKSCLGNH >KJB25781 pep chromosome:Graimondii2_0_v6:4:54155811:54156613:-1 gene:B456_004G208900 transcript:KJB25781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNKVAFTSTRLFRIRKRVSWNEANLREIEANKQETKKIDEPKTPYPSTIEEDGSSVKSIDFAAHAEALKNALNKLAFTEEHNSPFGETCQDEDQGLAKQKDTVSFEGRRRAHYDEFNKVKEVQEKGCSLDDELDNSIYVSGSGSTLDIDSIEIDIEDDDEILQIIDIEETDDLSFPQYSHFGEVEKSCLGNH >KJB26338 pep chromosome:Graimondii2_0_v6:4:57632348:57635106:1 gene:B456_004G237700 transcript:KJB26338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] VKVNLTSIIPILISQIRTRDVRIGRCKCAESEFIGDDGAQLQLKAESPLLHHPSTSRRGANPIFYLQVRKTEKRKISFSHFQKCVFGTFFRTRNARCFTSRITPCTRLESIVCLMWYNYPLKPRLVIDQIQMRSAAIYFSMLQAPRGSIHGLANFCWESFRNISCSHVNSSSLKPLASKYGVQGGYRRRWIRRPMTTKTGGRNKTNQAAKPSNLVRKIVDKKVSTSTALNLNKADETSKHQQIEYCGIKQMIAENKDLADLVTFIIYDTETSGLSRKDDRIIEIALQDLAGGENSTFQTLVNPGCYVANSHIHGITSNMVCRRDVPRMEELIPILLQFIKSRQKPGGYVLWGAHNSFAFDLPFLINEFSRCSYEIPPNWLFMDTISLARELMKSGGSNLPSGISLQALREHYKIPLVGSAHRAMSDVRTLSMILQMLTFDLKLTLPNLVTRSFTAFEYVNNKRKKS >KJB26343 pep chromosome:Graimondii2_0_v6:4:57632758:57635024:1 gene:B456_004G237700 transcript:KJB26343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] MVEILSVILILLIQLFFIFRLESIVCLMWYNYPLKPRLVIDQIQMRSAAIYFSMLQAPRGSIHGLANFCWESFRNISCSHVNSSSLKPLASKYGVQGGYRRRWIRRPMTTKTGGRNKTNQAAKPSNLVRKIVDKKVSTSTALNLNKADETSKHQQIEYCGIKQMIAENKDLADLVTFIIYDTETSGLSRKDDRIIEIALQDLAGGENSTFQTLVNPGCYVANSHIHGITSNMVCRRDVPRMEELIPILLQFIKSRQKPGGYVLWGAHNSFAFDLPFLINEFSRCSYEIPPNWLFMDTISLARELMKSGGSNLPSGISLQALREHYKIPLVGSAHRAMSDVRTLSMILQMLTFDLKLTLPNLVTRSFTAFEYVNNKRKKS >KJB26340 pep chromosome:Graimondii2_0_v6:4:57632477:57635024:1 gene:B456_004G237700 transcript:KJB26340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] KAESPLLHHPSTSRRGANPIFYLQVRKTEKRKISFSHFQKCVFGTFFRTRNARCFTSRITPCTRLESIVCLMWYNYPLKPRLVIDQIQMRSAAIYFSMLQAPRGSIHGLANFCWESFRNISCSHVNSSSLKPLASKYGVQGGYRRRWIRRPMTTKTGGRNKTNQAAKPSNLVRKIVDKKVSTSTALNLNKADETSKHQQIEYCGIKQMIAENKDLADLVTFIIYDTETSGLSRKDDRIIEIALQDLAGGENSTFQTLVNPGCYVANSHIHGITSNMVCRRDVPRMEELIPILLQFIKSRQKPGGYVLWGAHNSFAFDLPFLINEFSRCSYEIPPNWLFMDTISLARELMKSGGLSLFPPIFC >KJB26339 pep chromosome:Graimondii2_0_v6:4:57632255:57635024:1 gene:B456_004G237700 transcript:KJB26339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] MWYNYPLKPRLVIDQIQMRSAAIYFSMLQAPRGSIHGLANFCWESFRNISCSHVNSSSLKPLASKYGVQGGYRRRWIRRPMTTKTGGRNKTNQAAKPSNLVRKIVDKKVSTSTALNLNKADETSKHQQIEYCGIKQMIAENKDLADLVTFIIYDTETSGLSRKDDRIIEIALQDLAGGENSTFQTLVNPGCYVANSHIHGITSNMVCRRDVPRMEELIPILLQFIKSRQKPGGYVLWGAHNSFAFDLPFLINEFSRCSYEIPPNWLFMDTISLARELMKSGGSNLPSGISLQALREHYKIPLVGSAHRAMSDVRTLSMILQMLTFDLKLTLPNLVTRSFTAFEYVNNKRKKS >KJB26342 pep chromosome:Graimondii2_0_v6:4:57632758:57635024:1 gene:B456_004G237700 transcript:KJB26342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] MVEILSVILILLIQLFFIFRLESIVCLMWYNYPLKPRLVIDQIQMRSAAIYFSMLQAPRGSIHGLANFCWESFRNISCSHVNSSSLKPLASKYGVQGGYRRRWIRRPMTTKTGGRNKTNQAAKPSNLVRKIVDKKMIAENKDLADLVTFIIYDTETSGLSRKDDRIIEIALQDLAGGENSTFQTLVNPGCYVANSHIHGITSNMVCRRDVPRMEELIPILLQFIKSRQKPGGYVLWGAHNSFAFDLPFLINEFSRCSYEIPPNWLFMDTISLARELMKSGGSNLPSGISLQALREHYKIPLVGSAHRAMSDVRTLSMILQMLTFDLKLTLPNLVTRSFTAFEYVNNKRKKS >KJB26341 pep chromosome:Graimondii2_0_v6:4:57632477:57635024:1 gene:B456_004G237700 transcript:KJB26341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] KAESPLLHHPSTSRRGANPIFYLQVRKTEKRKISFSHFQKCVFGTFFRTRNARCFTSRITPCTRLESIVCLMWYNYPLKPRLVIDQIQMRSAAIYFSMLQAPRGSIHGLANFCWESFRNISCSHVNSSSLKPLASKYGVQGGYRRRWIRRPMTTKTGGRNKTNQAAKPSNLVRKIVDKKVSTSTALNLNKADETSKHQQIEYCGIKQMIAENKDLADLVTFIIYDTETSGLSRKDDRIIEIALQDLAGGENSTFQTLVNPGCYVANSHIHGITSNMVCRRDVPRYSISWVSSTTYEIKTRKFVY >KJB26337 pep chromosome:Graimondii2_0_v6:4:57632356:57635024:1 gene:B456_004G237700 transcript:KJB26337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] MWYNYPLKPRLVIDQIQMRSAAIYFSMLQAPRGSIHGLANFCWESFRNISCSHVNSSSLKPLASKYGVQGGYRRRWIRRPMTTKTGGRNKTNQAAKPSNLVRKIVDKKVSTSTALNLNKADETSKHQQIEYCGIKQMIAENKDLADLVTFIIYDTETSGLSRKDDRIIEIALQDLAGGENSTFQTLVNPGCYVANSHIHGITSNMVCRRDVPRMEELIPILLQFIKSRQKPGGYVLWGAHNSFAFDLPFLINEFSRCSYEIPPNWLFMDTISLARELMKSGGSNLPSGISLQALREHYKIPLVGSAHRAMSDVRTLSMILQMLTFDLKLTLPNLVTRSFTAFEYVNNKRKKS >KJB26706 pep chromosome:Graimondii2_0_v6:4:59247941:59250783:-1 gene:B456_004G255900 transcript:KJB26706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRDSLKKSKTKVDGSEYDSPVVAGLQPPASYKHNLRVSQDDWDLLAYILLANKTNTKHVGQGLLDPEVRTLTFGYIGLNGKKPIIVARSGENHDGQASDNSLLPGLNDDMALNILARSSRSDYPNISCLNKKFRSLIGSGYLYKLRRQLGIREDWVYLACNMMPWKAFDPMRQKWMRLPRIPCDDCFTCADKESLAVGTELLVFGRELSGFAIWMYSLITHDWSRCPVMNLPRCLFGSSSLGEIAIVAGGSDKNGNVLKSAELYNSELGTWQTLPDMNFPRKLCSGFFMDGKFYVIGGMLSHTDCLSCGEEYNMQTRSWRRIENMYPGCNAGGTFHPAMRSPPLVAVVNNQLYSADQATNEVKKYDKVNNSWSVVKTLPVRADWNNGWGLAFKACGNSLLVIGAGGRGSGDNGVIVLHSWNPEDGSSNGADWSVLAVKERAGAFVYNCAVMGC >KJB22239 pep chromosome:Graimondii2_0_v6:4:2999413:3001022:1 gene:B456_004G036700 transcript:KJB22239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEDCIKGLLYLVGVVEHPIRFSELIDTDRERHRARETANIICFIVHKSTGNKTHPMKKR >KJB22354 pep chromosome:Graimondii2_0_v6:4:3701641:3702271:1 gene:B456_004G042800 transcript:KJB22354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSFFGNRWSNIFDPFAINVWDPSKNLESLFQENSALQKNVEKEEKNEMWHRVERSRGKLSRCFRLFENAKTNQVKASMENGVLIITVPKMEVKKPNVKTIQISKKKTIGLMMFE >KJB24378 pep chromosome:Graimondii2_0_v6:4:40275510:40276213:1 gene:B456_004G142600 transcript:KJB24378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSFSNVKVFSTFVVDGISNAISRRGYAATSQGVASNGVKEAARNAAVLKKTGEDMATATKDKVNWVPDPVTGFYRPENTVNEICPAELRATLLKKN >KJB22881 pep chromosome:Graimondii2_0_v6:4:7687420:7694633:-1 gene:B456_004G071500 transcript:KJB22881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVRRKVANKGKDGVVSLSCHETGVEDLGTERNGVVDWTILPDDTVIHLFSRLNYRDRASLSSTCRAWRVLAASQCLWSSLDVRAHKFDTTMATSLAPRCMNLQKLKFRGAESADAVIHLQAKNLCEINGDYCRKITDATLSVIVARHEALESIQLGPDFCERITGDAIKAIAFCCPKLKKLRLSGIKDVFADAINALAKHCPNLIDIGFLDCLNVDEAALGNILSVRFLSVAGSSNMNWSVVSHLWHKLPKLIGLDVSRTDIGSTDVSRLLFSSQSLKVLCALNCPVLEEDTSVSTIKTKGKLLLSLFSDIFIELSSLFADTTKKGRNVLLDWRCSKKKDKNLNEIMTWLEWILSHTLLRTAESNPQGLDDFWLKQGAALLLSLMQSSQEDVQERAATGLATFVVIDDENASIDCRRAEAVMLDGGIRLLLNLAKCWREGLQSEAAKAIANLSVNANVAKAVAEEGGINILALLARSMNRMVAEEAAGGLWNLSVGEEHKAAIAEAGGVKALVELIFKWSSGCDGVLERAAGALANLAADDKCSTEVAIAGGVHALVMLACSCKFEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAAGGGIEALVTLAQSCSNASPGLQERAAGALWGLSVSEANSIAIGQEGGVAPLIALARSDAEDVHETAAGALWNLAFNHSNALRIVEEGGVPALVHLCLTSESKMACFMAALALAYMFDGRTDEFTPMVTSSESSLKSVSMDGPRRLALKHIEAFILRFSDAQAFAAAAVSSAPAALAQVTEKARIQEAGHLRCSGAEIGRFVSMLRNSSSILKTCAAFALLQFTIPGGRHAVHHASLMQSAGAARVLRSAAAAANAPIEAKIFARIVLRNLEHHQVEHSI >KJB22882 pep chromosome:Graimondii2_0_v6:4:7688271:7694370:-1 gene:B456_004G071500 transcript:KJB22882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVRRKVANKGKDGVVSLSCHETGVEDLGTERNGVVDWTILPDDTVIHLFSRLNYRDRASLSSTCRAWRVLAASQCLWSSLDVRAHKFDTTMATSLAPRCMNLQKLKFRGAESADAVIHLQAKNLCEINGDYCRKITDATLSVIVARHEALESIQLGPDFCERITGDAIKAIAFCCPKLKKLRLSGIKDVFADAINALAKHCPNLIDIGFLDCLNVDEAALGNILSVRFLSVAGSSNMNWSVVSHLWHKLPKLIGLDVSRTDIGSTDVSRLLFSSQSLKVLCALNCPVLEEDTSVSTIKTKGKLLLSLFSDIFIELSSLFADTTKKGRNVLLDWRCSKKKDKNLNEIMTWLEWILSHTLLRTAESNPQGLDDFWLKQGAALLLSLMQSSQEDVQERAATGLATFVVIDDENASIDCRRAEAVMLDGGIRLLLNLAKCWREGLQSEAAKAIANLSVNANVAKAVAEEGGINILALLARSMNRMVAEEAAGGLWNLSVGEEHKAAIAEAGGVKALVELIFKWSSGCDGVLERAAGALANLAADDKCSTEVAIAGGVHALVMLACSCKFEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAAGGGIEALVTLAQSCSNASPGLQERAAGALWGLSVSEANSIAIGQEGGVAPLIALARSDAEDVHETAAGALWNLAFNHSNALRIVEEGGVPALVHLCLTSESKMACFMAALALAYMFDGRTDEFTPMVTSSESSLKSVSMDGPRRLALKHIEAFILRFSDAQAFAAAAVSSAPAALAQVTEKARIQEAGHLRCRFAFSLFVLQKHLYFRDNYVLNLNEVTKR >KJB25473 pep chromosome:Graimondii2_0_v6:4:51180272:51184868:-1 gene:B456_004G193500 transcript:KJB25473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNSEQLADSPSLSATEFHKAWHIFSLLVFIGKPASLPDLASQCTLFPASPAIIASLCSIPHSPISLLSNKHFVAISSIGLSFLAAYIAPIFTVANFFASHPILFGVPQKIWFGKRKRDAVCFVEDEERELVHSVKRSRNYFTKVHYNLTDDISKILELSNPSFWVNNIVPNPYFFAKPIARQLCCEVEGIKNAEIEMNMEDNTGRNASAELVASETEIKDSTANPVYYPQCDGHSNISVAVGAKLHMDDTATYFITADNNEAAKGSKKEEKLDLASKGKELDLSPSFDINLSNLPPGRDLETLDEGKCNHKMPSLRVVEYPTPPAGPSVMHSVANPFVLKDRLSEPLEKAKTNQRNRVTPVRQNPSNSLGRTKVVNTYRRKQHGERDNLLVHVVQKSTQNQKDMHVEERRENSACVSSQDQAKPKVLPQFESYIVEEEEGSGGYGTVYRARRKDDGALVAIKCPHASAHKNYVSNELKMLERFGGRNFVIRYEGCIKSANSDCFVLQYVEHDRPEMLKKEIDVFQLQWYAYCLFKGLANLHKQGIVHRDVKPGNFLFSCKTNKGYLIDFNLAMDLHQKSRSVDKSKMGYDLSLHHNSVPAKVIHPANSSKFLNAKSREGINIEMTKGSRLTLEPKNMKKATDKRKAHNDVCSWNKINSQGADGSGITSAKDVSARTPSAERVREPLPCQGRKELISLAQEAMQHPNHGVSRVPAAMRKRVAASPGKVDRQVLHPTPMPLNSISFAISGDGFTKNKGDGKHKREGPCAGTKGFRAPEVLFRSQHQGSKIDIWSAGVTLLYLMIGKSPFFGDPEQNIKDIAKLRGSEDLWEVAKLHNRECSFPEDLYGKQYLTSMSLREWCQMNTKRRDFLKEIPSSLYDLVDKCLTVNPRVRITAEDALKHEFLASIHENLRKQRAFKQGLSSNSGTNSSNNLLLGERISKSLKVSL >KJB25474 pep chromosome:Graimondii2_0_v6:4:51179885:51184868:-1 gene:B456_004G193500 transcript:KJB25474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNSEQLADSPSLSATEFHKAWHIFSLLVFIGKPASLPDLASQCTLFPASPAIIASLCSIPHSPISLLSNKHFVAISSIGLSFLAAYIAPIFTVANFFASHPILFGVPQKIWFGKRKRDVCFVEDEERELVHSVKRSRNYFTKVHYNLTDDISKILELSNPSFWVNNIVPNPYFFAKPIARQLCCEVEGIKNAEIEMNMEDNTGRNASAELVASETEIKDSTANPVYYPQCDGHSNISVAVGAKLHMDDTATYFITADNNEAAKGSKKEEKLDLASKGKELDLSPSFDINLSNLPPGRDLETLDEGKCNHKMPSLRVVEYPTPPAGPSVMHSVANPFVLKDRLSEPLEKAKTNQRNRVTPVRQNPSNSLGRTKVVNTYRRKQHGERDNLLVHVVQKSTQNQKDMHVEERRENSACVSSQDQAKPKVLPQFESYIVEEEEGSGGYGTVYRARRKDDGALVAIKCPHASAHKNYVSNELKMLERFGGRNFVIRYEGCIKSANSDCFVLQYVEHDRPEMLKKEIDVFQLQWYAYCLFKGLANLHKQGIVHRDVKPGNFLFSCKTNKGYLIDFNLAMDLHQKSRSVDKSKMGYDLSLHHNSVPAKVIHPANSSKFLNAKSREGINIEMTKGSRLTLEPKNMKKATDKRKAHNDVCSWNKINSQGADGSGITSAKDVSARTPSAERVREPLPCQGRKELISLAQEAMQHPNHGVSRVPAAMRKRVAASPGKVDRQVLHPTPMPLNSISFAISGDGFTKNKGDGKHKREGPCAGTKGFRAPEVLFRSQHQGSKIDIWSAGVTLLYLMIGKSPFFGDPEQ >KJB25472 pep chromosome:Graimondii2_0_v6:4:51179843:51184946:-1 gene:B456_004G193500 transcript:KJB25472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNSEQLADSPSLSATEFHKAWHIFSLLVFIGKPASLPDLASQCTLFPASPAIIASLCSIPHSPISLLSNKHFVAISSIGLSFLAAYIAPIFTVANFFASHPILFGVPQKIWFGKRKRDVCFVEDEERELVHSVKRSRNYFTKVHYNLTDDISKILELSNPSFWVNNIVPNPYFFAKPIARQLCCEVEGIKNAEIEMNMEDNTGRNASAELVASETEIKDSTANPVYYPQCDGHSNISVAVGAKLHMDDTATYFITADNNEAAKGSKKEEKLDLASKGKELDLSPSFDINLSNLPPGRDLETLDEGKCNHKMPSLRVVEYPTPPAGPSVMHSVANPFVLKDRLSEPLEKAKTNQRNRVTPVRQNPSNSLGRTKVVNTYRRKQHGERDNLLVHVVQKSTQNQKDMHVEERRENSACVSSQDQAKPKVLPQFESYIVEEEEGSGGYGTVYRARRKDDGALVAIKCPHASAHKNYVSNELKMLERFGGRNFVIRYEGCIKSANSDCFVLQYVEHDRPEMLKKEIDVFQLQWYAYCLFKGLANLHKQGIVHRDVKPGNFLFSCKTNKGYLIDFNLAMDLHQKSRSVDKSKMGYDLSLHHNSVPAKVIHPANSSKFLNAKSREGINIEMTKGSRLTLEPKNMKKATDKRKAHNDVCSWNKINSQGADGSGITSAKDVSARTPSAERVREPLPCQGRKELISLAQEAMQHPNHGVSRVPAAMRKRVAASPGKVDRQVLHPTPMPLNSISFAISGDGFTKNKGDGKHKREGPCAGTKGFRAPEVLFRSQHQGSKIDIWSAGVTLLYLMIGKSPFFGDPEQNIKDIAKLRGSEDLWEVAKLHNRECSFPEDLYGKQYLTSMSLREWCQMNTKRRDFLKEIPSSLYDLVDKCLTVNPRVRITAEDALKHEFLASIHENLRKQRAFKQGLSSNSGTNSSNNLLLGERISKSLKVSL >KJB22736 pep chromosome:Graimondii2_0_v6:4:6427121:6428911:1 gene:B456_004G064400 transcript:KJB22736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAKDPICSVQTSTLLEDGSDGFIFQAVNYQPEQPHSLINFKDSGYDNFIRGTNGSLLSFEQNEKGRQHTTCLRTSGYKHGHSMVDQWDPRAVEDFSCFETASNGDWLYYDEAVFADSSSIQDPGSPAADLKRPHVGGSNQALKKQCTNETKKGKTKSEPSKEPQSIAAKNRRERISERLKILQELVPNGSKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPVQGGKAPDISQVREAIDAILSSQKQRNSTSE >KJB26790 pep chromosome:Graimondii2_0_v6:4:59556871:59558830:-1 gene:B456_004G259700 transcript:KJB26790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGIILCSVVVLLGIISTGAGFAAEVTRVKASQVKVDVLGECSYPKSPVLGLSLISAGTLLIGKIIINIAAGCFCCRRTDPSHSSNHTKALTFYIISWITFIIAIGLLLKDIALNNQDDEVTTSDGRYYCYVIKPGVFAAGAVYAALSSSFGVLYYLTLNSKQDDASNAPNLNQVCIVMAQSQFAFENPSFVHGYANNKQQFS >KJB25157 pep chromosome:Graimondii2_0_v6:4:48765090:48766498:-1 gene:B456_004G178900 transcript:KJB25157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM68, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19100) UniProtKB/Swiss-Prot;Acc:O49668] MEPSSLTSAQPSKSSSPFPVNPQNQIRPLYLLPIHATLNSPKGFGSPPKKNKKTKKSKSGGDDNEEEEEEEEEEEENEAEAGVIPEVVTNRMISRMGFTVGIPLLVGLLFFPFFYYLKVELKIDVPTWVPFIVSFIFFGTALLGVSYGIVSSSWDPLREGSVLGWNEAQKNWPVFWQSIWGGGSRKK >KJB25156 pep chromosome:Graimondii2_0_v6:4:48765090:48766449:-1 gene:B456_004G178900 transcript:KJB25156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM68, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19100) UniProtKB/Swiss-Prot;Acc:O49668] MAALALPSLSYCRFKLCRQLTMEPSSLTSAQPSKSSSPFPVNPQNQIRPLYLLPIHATLNSPKGFGSPPKKNKKTKKSKSGGDDNEEEEEEEEEEEENEAEAGVIPEVVTNRMISRMGFTVGIPLLVGLLFFPFFYYLKVELKIDVPTWVPFIVSFIFFGTALLGVSYGIVSSSWDPLREGSVLGWNEAQKNWPVFWQSIWGGGSRKK >KJB26222 pep chromosome:Graimondii2_0_v6:4:56832477:56835708:1 gene:B456_004G231200 transcript:KJB26222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPSFNSRKPISVHALPIFVSLFFSAIPHSALKIPPPLPILPLPSASQLQWQLSSMALFLHFGPNTFTDSEWGTGHASPSVFNPTLLNASQWVHVAKEAGFSRVILTAKHHDGFCLWLSEYTDYSVKASPWRNGKGDVVAELAAGAKEAGIALGLYLSPWDRHESCYGKTLEYNEFYIGQMTELLTRYGDIKEVWLDGAKGEGEKDMEYYFDAWFSLIHQLQPDAVIFSDAGPDTRWIGDEAGVAGSTCWSLFNRSNAVIGGTNPQYSQGGDPHGHDWVPAECDVSIRPGWFWHASQVPKSALELLDIYYKSTGRNCLLLLNVPPNSSGLISDEDIQALQEFKELRRSIFSNNLAEKGLLTASSIRGGNDNYQFSPYNVFEESIYTYWAPEEDQRDWVLYLDLQESVSFNVLQVQEPIHMGQRIIKFHLEILSGGRWKNVISGTTVGYKRLLQFPTVQSRYLKLVIDMSRADPLISYMGLHMDRFSILSHASNTTSQIYVNGSQVLRQVPNKDSQTATV >KJB21680 pep chromosome:Graimondii2_0_v6:4:586338:589518:1 gene:B456_004G008300 transcript:KJB21680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLANAPLLLHGRDHHLPTKRRTPFTVRSSSSLQEIDKSPTTAEDSKLRSELCALVPFPPIKAAKRVVLVRHGQSTWNEEGRIQGSSNFSVLTKKGEAQAETSRQMLIDDSFDICFSSPLIRSKRTAEIIWGTREDEIITDSDLREIDLYSFQGLLKHEGKAKFGPAFRQWQVDAANFNIDGHYPVRELWGRARNCWTKILAHESKSVLVVAHNAVNQALVATAIGLGTEYFRILLQSNCGVSVLDFIPHPDGGSPLICLNRLNQTPGSPVATGSSAGRRTSRRIILVCQGSTQDSEATVSKLGDQQMNMLGVIQAQKTAELLLDLKVSTIISSPNNACAQTATIISQVQEAADCLGADCVPRYVEMKNIPDLDVAEIFQPSTKTIPAGHNRYFISTPWLVKWIRRYSHISTTGAIWTSLAFIAHRTI >KJB21679 pep chromosome:Graimondii2_0_v6:4:586285:589528:1 gene:B456_004G008300 transcript:KJB21679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLANAPLLLHGRDHHLPTKRRTPFTVRSSSSLQEIDKSPTTAEDSKLRSELCALVPFPPIKAAKRVVLVRHGQSTWNEEGRIQGSSNFSVLTKKGEAQAETSRQMLIDDSFDICFSSPLIRSKRTAEIIWGTREDEIITDSDLREIDLYSFQGLLKHEGKAKFGPAFRQWQVDAANFNIDGHYPVRELWGRARNCWTKILAHESKSVLVVAHNAVNQALVATAIGLGTEYFRILLQSNCGVSVLDFIPHPDGGSPLICLNRLNQTPGSPVATGSSAGRRTSRRIILVCQGSTQDSEATVSKLGDQQMNMLGVIQAQKTAELLLDLKVSTIISSPNNACAQTATIISQVQEAADCLGADCVPRYVEMKNIPDLDVAEIFQPSTKDTTDISYLRPGWLNGLDDTVTSALRERSGQAWHSLLTELSDESEEEKIVVAVAHPVAHIALIGHCLNLTEEWLGSFHLDAGSISVVDFPDGPTGRGIIRCINYTAHLGRWSIPITRSTVDDDSF >KJB27001 pep chromosome:Graimondii2_0_v6:4:60616352:60620262:-1 gene:B456_004G271100 transcript:KJB27001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIAFSPSNNFNCYPQIHPIKTLIPIPFRFCNYKTNNPNQSLLSFSINSSKTTQTPITQPPPLVVVGSANADIYVEIDRLPTEGETISAKNGQTLAGGKGANQATCGAKLSYPTYFVGQVGDDAHGKLITQALGNGGVRLEYLKSLGGGVPTGHAVVMLQSNGQNSIIIVGGANMSSWPDKLSDQDLDVVKNAGIVLLQREIPDSVNIQVAKAAKGAGVTVIMDAGGMDAPMPQELLKFVDIFSPNESELRRLTGMPTDNFEQISQAAAKCHKMGVRQVLVKLGAKGSALFIEGEEPITQPIIPAAQVVDTTGAGDTFTASFAVALVEGKSKRECLNFAAAAASLCVQVKGAIPSMPDRKSILNLLQSV >KJB27002 pep chromosome:Graimondii2_0_v6:4:60616384:60620211:-1 gene:B456_004G271100 transcript:KJB27002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIAFSPSNNFNCYPQIHPIKTLIPIPFRFCNYKTNNPNQSLLSFSINSSKTTQTPITQPPPLVVVGSANADIYVEIDRLPTEGETISAKNGQTLAGGKGANQATCGAKLSYPTYFVGQVGDDAHGKLITQALGNGGVRLEYLKSLGGGVPTGHAVVMLQSNGQNSIIIVGGANMSSWPDKLSDQDLDVVKNAGIVLLQREIPDSVNIQVAKAAKGAGVTVIMDAGGMDAPMPQELLKFVDIFSPNESELRRLTGMPTDNFEQISQAAAKCHKMGVRQVLVKLGAKGSALFIEGEEPITQPIIPAAQVVDTTGAGDTFTASFAVALVEGKSKRECLNFAGIPRPLSVTRICVYI >KJB23158 pep chromosome:Graimondii2_0_v6:4:10470427:10471929:-1 gene:B456_004G084200 transcript:KJB23158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLMSTPLCNYGVSKLPLPPSFNLKHNYPKFLSCRKALQIHVNATNEVDAQTGTVEEEPKEENLESEPRKESKSISSATSTTPLDKDLKKVVQKTAATFAPRASTATKNPAVPGTTLYTVFEVQGYVSLLLGGALSFNLIFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLIIPLLNVIIPFFWKSFAVVWSADIVAFFAMYAWKLGWLQKTE >KJB23157 pep chromosome:Graimondii2_0_v6:4:10469886:10471974:-1 gene:B456_004G084200 transcript:KJB23157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLMSTPLCNYGVSKLPLPPSFNLKHNYPKFLSCRKALQIHVNATNEVDAQTGTVEEEPKEENLESEPRKESKSISSATSTTPLDKDLKKVVQKTAATFAPRASTATKNPAVPGTTLYTVFEVQGYVSLLLAIPSLRARDCSKNEKEALNYLFLIIPLLNVIIPFFWKSFAVVWSADIVAFFAMYAWKLGWLQKTE >KJB23159 pep chromosome:Graimondii2_0_v6:4:10471104:10471797:-1 gene:B456_004G084200 transcript:KJB23159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLMSTPLCNYGVSKLPLPPSFNLKHNYPKFLSCRKALQIHVNATNEVDAQTGTVEEEPKEENLESEPRKESKSISSATSTTPLDKDLKKVVQKTAATFAPRASTATKNPAVPGTTLYTVFEVQGYVSLLLGGALSFNLIFPSNEPDIWRLMGMWSIWMFSELIPYLGLEPFIKHYCWFKGVKLQLLHVLQATELTY >KJB26251 pep chromosome:Graimondii2_0_v6:4:57011725:57013836:1 gene:B456_004G233200 transcript:KJB26251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGINNRDVNEETLNAPLPELPSKGTGNANNERVPQSTNGNQVPHGEGQRLESNMVMDPKKLKRVAASREYSQRYRLKQLQYIAQLEAGVKALEEEVANAFPKIRSVDAQNSLLRAENGSMKEKLSTLSGELMLKEAEYHELKNEKEVLKQMSLMYRAPAFAESSQTNHYGYQPVNNIAMDQPGFNQFVEPTAPQAMMQNQNPENQFGFDVNIRDNHNLM >KJB27382 pep chromosome:Graimondii2_0_v6:4:62146071:62146369:-1 gene:B456_004G2936004 transcript:KJB27382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVIAVLLKMIMRRRFSVIQWEALALLLIGISVNQLRSLPEGSTSLGLHVTTGAYIYTLI >KJB27379 pep chromosome:Graimondii2_0_v6:4:62146071:62146369:-1 gene:B456_004G2936004 transcript:KJB27379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVIAVLLKMIMRRRFSVIQWEALALLLIGISVNQLRSLPEGSTSLGLHVTTGAYIYTLI >KJB27380 pep chromosome:Graimondii2_0_v6:4:62146071:62146369:-1 gene:B456_004G2936004 transcript:KJB27380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVIAVLLKMIMRRRFSVIQWEALALLLIGISVNQLRSLPEGSTSLGLHVTTGAYIYTLI >KJB27378 pep chromosome:Graimondii2_0_v6:4:62146068:62146190:-1 gene:B456_004G2936004 transcript:KJB27378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WEALALLLIGISVNQLRSLPEGSTSLGLHVTTGAYIYTLI >KJB27381 pep chromosome:Graimondii2_0_v6:4:62146068:62146369:-1 gene:B456_004G2936004 transcript:KJB27381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVIAVLLKMIMRRRFSVIQWEALALLLIGISVNQLRSLPEGSTSLGLHVTTGAYIYTLI >KJB24058 pep chromosome:Graimondii2_0_v6:4:33063293:33064355:1 gene:B456_004G126100 transcript:KJB24058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCASKPKEFDGPADAPISSDTTAQSNTNGGESQIKKPAVDGSEPEKEAQTAVSAETDAGKSVKPAEDDAKADDKNAEDKVEATPKQEAEHKSNDAPSKEEVKSEAPLASL >KJB26848 pep chromosome:Graimondii2_0_v6:4:59799510:59804591:-1 gene:B456_004G262800 transcript:KJB26848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLLNTPYALSLSRSLASSSFPSPPTRRLLSISSTFALPSPRFPHSSLVSSRVSPSFRTQASAATATMGDAPDVGMDAVQRRLMFEDECILVDESDNVVGHESKYNCHLWEKILTGKMLHRAFSVFLFNTKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELISENHLGVRNAAQRKLLDELGIPAEDVPVDQFVPLSRMLYKAPSDGKWGEHELDYLLFIVRDVNVHPNPDEVAEAKYVNRDQLKELLRKADAGEDGLKLSPWFRLVVDNFLFKWWDHVEKGTLKEVSDMETIHKL >KJB26849 pep chromosome:Graimondii2_0_v6:4:59799586:59800909:-1 gene:B456_004G262800 transcript:KJB26849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVMLCKYSMWKVFFTCLSFWVLGQQRSATKVTFPLVWTNTCCSHPLYRESELISENHLGVRNAAQRKLLDELGIPAEDVPVDQFVPLSRMLYKAPSDGKWGEHELDYLLFIVRDVNVHPNPDEVAEAKYVNRDQLKELLRKADAGEDGLKLSPWFRLVVDNFLFKWWDHVEKGTLKEVSDMETIHKL >KJB24291 pep chromosome:Graimondii2_0_v6:4:38383604:38385642:1 gene:B456_004G137200 transcript:KJB24291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVLQITSPSVSKAQKREYDNINELPDEVLISILARMPMKEAARTSVLSRRWKKLWTSHPYLVFDGSNSLRGIHYRSEQVLESEQFKYLNWVNDVLESHHDAAIDEFKIRFYLDQCYQSDIDDWVRFAFGKKVQRFELDLSSSRVISHVQDSGYELCSHTLHFQTPLSLTSLVLKQVRVSGEVLENLLSNSPFLERLCIGASTSLVHLRLAGPSLCLTYLEITACARMKSLELDAPNLLSLKYSGQNIEIRFIEVPNLAEVFIGGMFVETCTRNYFLPLVPTFASQLQKLVLEMRVDERKMKLFEYPILSQLKELELQVRARDHDSLLCLTSLINASPSLNQLSLEVICYCFELNNG >KJB24290 pep chromosome:Graimondii2_0_v6:4:38383588:38385671:1 gene:B456_004G137200 transcript:KJB24290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVLQITSPSVSKAQKREYDNINELPDEVLISILARMPMKEAARTSVLSRRWKKLWTSHPYLVFDGSNSLRGIHYRSEQVLESEQFKYLNWVNDVLESHHDAAIDEFKIRFYLDQCYQSDIDDWVRFAFGKKVQRFELDLSSSRVISHVQDSGYELCSHTLHFQTPLSLTSLVLKQVRVSGEVLENLLSNSPFLERLCIGASTSLVHLRLAGPSLCLTYLEITACARMKSLELDAPNLLSLKYSGQNIEIRFIEVPNLAEVFIGGMFVETCTRNYFLPLVPTFASQLQKLVLEMRVDERKMKLFEYPILSQLKELELQVRARDHDSLLCLTSLINASPSLNQLSLELSWSKSYTRRRVMKVKRHHYNLKEVEIRGFVGGMVDSEFCIYLVKNAIMLEKMSIDPFTKNEKGGGNCFKAERARAARVRAEDLRSKYGVEDKLVIL >KJB24292 pep chromosome:Graimondii2_0_v6:4:38383604:38385642:1 gene:B456_004G137200 transcript:KJB24292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMKEAARTSVLSRRWKKLWTSHPYLVFDGSNSLRGIHYRSEQVLESEQFKYLNWVNDVLESHHDAAIDEFKIRFYLDQCYQSDIDDWVRFAFGKKVQRFELDLSSSRVISHVQDSGYELCSHTLHFQTPLSLTSLVLKQVRVSGEVLENLLSNSPFLERLCIGASTSLVHLRLAGPSLCLTYLEITACARMKSLELDAPNLLSLKYSGQNIEIRFIEVPNLAEVFIGGMFVETCTRNYFLPLVPTFASQLQKLVLEMRVDERKMKLFEYPILSQLKELELQVRARDHDSLLCLTSLINASPSLNQLSLELSWSKSYTRRRVMKVKRHHYNLKEVEIRGFVGGMVDSEFCIYLVKNAIMLEKMSIDPFTKNEKGGGNCFKAERARAARVRAEDLRSKYGVEDKLVIL >KJB24633 pep chromosome:Graimondii2_0_v6:4:43899849:43900833:1 gene:B456_004G154500 transcript:KJB24633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMESSQPLAIESFSSSWLSDHKTSLDGLVESPRESFGYYYGESSNRFLTDQNFNFDATAQTPEPVIIHADELFTNGFIRPIYIDPSKRDSCNTLDSISIQIPPFTSRTENPKGRSGCCFVRKYRKSTKKVLRSLFEHLRPLCHKLGCSRKSTRVDDIERRMMGRAKSWNGSPQASPQQFTPCASMAASCHLENSIYEAVLHCKRSIEK >KJB24928 pep chromosome:Graimondii2_0_v6:4:46535313:46535909:1 gene:B456_004G168200 transcript:KJB24928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQTLSSSFVIPLFLCIFFFFVSISTSHGLTATNDSLIRKTCKKCAQSDPNLSYNFCITSLQAAPHSHCANDLRQLGKISITLLGRNVTNTRSHIKELLKNQKKMDPFVRSCLHDCFDLYSDAIPTTKQALQDYKAKHYDDANIDVSSVMDATTTCEDGFKEKEGLVSPLTKRNNDAFMLSAISLSIINMIRLNGDSI >KJB22671 pep chromosome:Graimondii2_0_v6:4:5837300:5840105:-1 gene:B456_004G060400 transcript:KJB22671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVKAQKSKAYFKRYQVKFKRRREGKTDYRARNRLINQDKNKYNTPKYRLVARFTNKDIVAQIIHASISGDIVLAAAYAHELPRYGLEVGLTNYAAAYCVGLLLARRTLKQLEMDAEYEGNVEATGEDFTVEPAETRRPFRALLDVGLIRTTTGNRVFGVLKGALDGGIDIPHSDKRFAGFNKDGKQLDPEVHRKYIYGGHVAAYMRFHILLSASLTLSS >KJB22668 pep chromosome:Graimondii2_0_v6:4:5836611:5840318:-1 gene:B456_004G060400 transcript:KJB22668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVKAQKSKAYFKRYQVKFKRRREGKTDYRARNRLINQDKNKYNTPKYRLVARFTNKDIVAQIIHASISGDIVLAAAYAHELPRYGLEVGLTNYAAAYCVGLLLARRTLKQLEMDAEYEGNVEATGEDFTVEPAETRRPFRALLDVGLIRTTTGNRVFGVLKGALDGGIDIPHSDKRFAGFNKDGKQLDPEVHRKYIYGGHVAAYMRNLMEDEPEKYQSHFSEYIKRSIEPDTIEGMYKKVHAAIRASPEAKKSEKAPPKEHKRYNLKKLSYEERKAKLIDRLKALNSAAGVDNDSDADD >KJB22673 pep chromosome:Graimondii2_0_v6:4:5837974:5840318:-1 gene:B456_004G060400 transcript:KJB22673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVKAQKSKAYFKRYQVKFKRRREGKTDYRARNRLINQDKNKYNTPKYRLVARFTNKDIVAQIIHASISGDIVLAAAYAHELPRYGLEVGLTNYAAAYCVGLLLARRTLKQLEMDAEYEGNVEATGEDFTVEPAETRRPFRALLDVGLIRTTTGNRVFGVLKVSGTLSYLWVLIQVVLIGFLCPSLIKVVDSFDHT >KJB22670 pep chromosome:Graimondii2_0_v6:4:5836632:5840318:-1 gene:B456_004G060400 transcript:KJB22670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVKAQKSKAYFKRYQVKFKRRREGKTDYRARNRLINQDKNKYNTPKYRLVARFTNKDIVAQIIHASISGDIVLAAAYAHELPRYGLEVGLTNYAAAYCVGLLLARRTLKQLEMDAEYEGNVEATGEDFTVEPAETRRPFRALLDVGLIRTTTGNRVFGVLKVRCSGWGN >KJB22672 pep chromosome:Graimondii2_0_v6:4:5837385:5840105:-1 gene:B456_004G060400 transcript:KJB22672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVKAQKSKAYFKRYQVKFKRRREGKTDYRARNRLINQDKNKYNTPKYRLVARFTNKDIVAQIIHASISGDIVLAAAYAHELPRYGLEVGLTNYAAAYCVGLLLARRTLKQLEMDAEYEGNVEATGEDFTVEPAETRRPFRALLDVGLIRTTTGNRVFGVLKGALDGGIDIPHSDKRFAGFNKDGKQLDPEVHRKYIYGGHVAAYMRVRFKVYEVVVRGE >KJB22669 pep chromosome:Graimondii2_0_v6:4:5836632:5840318:-1 gene:B456_004G060400 transcript:KJB22669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVKAQKSKAYFKRYQVKFKRRREGKTDYRARNRLINQDKNKYNTPKYRLVARFDIVAQIIHASISGDIVLAAAYAHELPRYGLEVGLTNYAAAYCVGLLLARRTLKQLEMDAEYEGNVEATGEDFTVEPAETRRPFRALLDVGLIRTTTGNRVFGVLKGALDGGIDIPHSDKRFAGFNKDGKQLDPEVHRKYIYGGHVAAYMRNLMEDEPEKYQSHFSEYIKRSIEPDTIEGMYKKVHAAIRASPEAKKSEKAPPKEHKRYNLKKLSYEERKAKLIDRLKALNSAAGVDNDSDADD >KJB23553 pep chromosome:Graimondii2_0_v6:4:21089352:21091314:-1 gene:B456_004G104300 transcript:KJB23553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRLSNNLVGILNFITFLLSIPILGAGIWLSREGVTECERFLDKPVIVIGVFLMLVSLAGLIGACCRVTWLLWLYLVVMFLLIVLGIVFTIFAFAVTNKGAGEALSGKGYKEYRLGDYSNWLQKRVTGQKNWNKIKSCLADSKVCTDFHDKYLNTSASEFYQTHLSSVQSGCCKPSNDCQFMYVGPTNWTRGSAESNNPDCNLWNNNLNTLCFDCQSCKAGFIDNLRSSWKKVAIVNIVFLIFLIIVYSVGCCAFRNNRRDNYYQQQTWKP >KJB22167 pep chromosome:Graimondii2_0_v6:4:2684254:2684959:-1 gene:B456_004G032900 transcript:KJB22167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDSWIKEYNEAVKMVDDINAMISERSSLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGKPLTEKEMNRRKDMVANLRSKVNQMASAFNMSNFANRDSLLGPESKPDAMSRTVGLDNSGLVGLQRQIMKGNKMRILRSWRRQ >KJB22169 pep chromosome:Graimondii2_0_v6:4:2683957:2685731:-1 gene:B456_004G032900 transcript:KJB22169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDSWIKEYNEAVKMVDDINAMISERSSLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGKPLTEKEMNRRKDMVANLRSKVNQMASAFNMSNFANRDSLLGPESKPDAMSRTVGLDNSGLVGLQRQIMKEQDEDLEKLEETIVSTKHIALAVNEELDLQTRLIVCFCLFLLYSFASYFYKINASEV >KJB22168 pep chromosome:Graimondii2_0_v6:4:2683298:2685731:-1 gene:B456_004G032900 transcript:KJB22168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDSWIKEYNEAVKMVDDINAMISERSSLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGKPLTEKEMNRRKDMVANLRSKVNQMASAFNMSNFANRDSLLGPESKPDAMSRTVGLDNSGLVGLQRQIMKEQDEDLEKLEETIVSTKHIALAVNEELDLQTRLIDDLDQHVDVSDSRLRVK >KJB22166 pep chromosome:Graimondii2_0_v6:4:2682458:2685731:-1 gene:B456_004G032900 transcript:KJB22166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDSWIKEYNEAVKMVDDINAMISERSSLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGKPLTEKEMNRRKDMVANLRSKVNQMASAFNMSNFANRDSLLGPESKPDAMSRTVGLDNSGLVGLQRQIMKGK >KJB22165 pep chromosome:Graimondii2_0_v6:4:2682428:2685790:-1 gene:B456_004G032900 transcript:KJB22165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDSWIKEYNEAVKMVDDINAMISERSSLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGKPLTEKEMNRRKDMVANLRSKVNQMASAFNMSNFANRDSLLGPESKPDAMSRTVGLDNSGLVGLQRQIMKEQDEDLEKLEETIVSTKHIALAVNEELDLQTRLIDDLDQHVDVSDSRLRRVQKNLAVLNRRTRDSCSCMCMLLAVIGIVILAVIIYLLIKYL >KJB21459 pep chromosome:Graimondii2_0_v6:4:2886075:2887763:1 gene:B456_004G035300 transcript:KJB21459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFSAPLGEKDVAASQIEPEATVEDDYSEEEIDVDELERRIWRDKMRLKRLKEQNKCKEGIDIAKQRLSQEQARRKKMCGFSRL >KJB23881 pep chromosome:Graimondii2_0_v6:4:29256690:29265174:1 gene:B456_004G119800 transcript:KJB23881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPFFHIYMHLLLQTLLSLSLALILTFFKIPIFFLTGLHTYVHPEKAGSANNASSGLRAATRRPFSDSGSQLDGHHYLSSIPNEELKNRNKSKVKFDFDENNAHIFRLKLEEGHLQTRLLFNEYRNSFDFSFLGLSCLCLSKCLGESEPGSGVLINGNLIPVIFGFIGLTKAFLALAKISFENSASKRSEKQFSAIFGVLGFLVGIMICSGIGPPVFDFHFDSVFCICLPARMHGSFGLELISLALLWINPLAEILVNKNSYNGGVADKLVRNMFLNEAFLFWYQRLHASKVSDLDFSRAKILLHNHYLCLAVLQLFAPPVLVLVFLGLSQVDTNSFDKYNLVCGSLPCSAFIKEASLFMAWWIIFVWVVITSASLVFYRHATLYIF >KJB23880 pep chromosome:Graimondii2_0_v6:4:29256690:29259510:1 gene:B456_004G119800 transcript:KJB23880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPFFHIYMHLLLQTLLSLSLALILTFFKIPIFFLTGLHTYVHPEKAGSANNASSGLRAATRRPFSDSGSQLDGHHYLSSIPNEELKNRNKSKVKFDFDENNAHIFRLKLEEGHLQTRLLFNEYRNSFDFSFLGLSCLCLSKCLGESEPGSGVLINGNLIPVIFGFIGLTKAFLALAKISFENSASKRSEKQFSAIFGVLGFLVGIMICSGIGPPVFDFHFDSVFCICLPARMHGSFGLELISLALLWINPLAEILVNKNSYNGGVADKLVRNMFLNEAFLFWYQRLHASKVSDLDFSRAKILLHNHYLCLAVLQLFAPPVLVLVFLGLSQVDTNSFDKYNLVCGSLPCSAFIKEASLFMAWWIIFVWVVITSASLVFYRHATLYIF >KJB21827 pep chromosome:Graimondii2_0_v6:4:1159734:1163637:-1 gene:B456_004G016300 transcript:KJB21827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLRAASAIGPLPCILTTATRNKTQFPKSIFHNLNLKLPALTRNGSKSRLVMTLSSTPSSTMESIEPPMPELEADGREKERLDWYSQWYPVMPVCDLDKRVPHGKKVLGLDLVVWWDKNENEWKVFDDTCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGNGDCKIIPQAPLDGPPVHTFKKACVAVYPSVVQHDIVWVWPNADPQYKDIITKKKPPYIPVLDDPSFSKWMGNRDLPFGYEFLTENLMDFAHLPYAHYGIIRTPTPKVKVDREGGRPLDMKVKKLDINGFVEQEWGNSNFIAPCIIHTFADAEVDEENHRLMLIFMCVPVSPGNSRLIWIFPRKFGVWIDQIVPRWMFHIGVNLVLDSDLYLLHVEERKIMEIGATNWQKACFLPTKSDATVVGFRRWFNKYAGGEIDWKGKYSGALPPSPPREQLMDRYWSHVVNCKSCNSAYEGLKALEVMLQIMSVVLIGIIAVTKQKIMSMVAKTTMVSMAIICFAASKWLAHFIYKNFHYHGYNHAFH >KJB26426 pep chromosome:Graimondii2_0_v6:4:57862874:57864815:-1 gene:B456_004G240600 transcript:KJB26426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLSLPFVVSSEVHSTCFSILLRFKIWMVHKYPMPSVVEFNKLLGAIVRMKRYAVAVSMCKQMEFFRVSPDVYTLSILINCFCRLGQIDCGFSVLRKMLKLGVGPDVVTFSTLINGLCNQSKISQAVTLFDGIIEKGYEPDLIIYTTILNGLCKTGNTDRAIRFLRMMEERGFEPNIVAYSTVIDCICKNELLNEALNLFSEVKHGIEPNVITYNICIDACYKEGMLSKAKDIVDTMIKQGIEPDVVTYNSIIDGLGLEKQMDKAKRVFQLMIEKGCASNIRSYNIMINGYCKAKRLDEAMELFDEITQNGQIPDTVTYNTLMQGMCQLGRVATACKLLRTLLASGPVPDLVTCSILLDGLCKSGKLEEALKLCRAMQKSRLEVDIISYSILIDGLCKAGNVEAGKELFHELSVSGLKPNVYTYSILINGFCREGLPDEAYQLFRSMRDNDCLPNSCCYNATIRGFLRNSYTSKATQLLTEMVSKGFSADISTSTLFLDLILRSNESILI >KJB21364 pep chromosome:Graimondii2_0_v6:4:3371262:3373804:1 gene:B456_004G040200 transcript:KJB21364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKRRAEAARIRVKYPDRIPVIVEKTKGNDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFLFVDNVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFG >KJB21367 pep chromosome:Graimondii2_0_v6:4:3371262:3377905:1 gene:B456_004G040200 transcript:KJB21367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKIEHDFEKRRAEAARIRVKYPDRIPVIVEKTKGNDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFLFVDNVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFELCMERYS >KJB21368 pep chromosome:Graimondii2_0_v6:4:3371262:3380237:1 gene:B456_004G040200 transcript:KJB21368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKIEHDFEKRRAEAARIRVKYPDRIPVIVEKTKGNDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFLFVDNVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFGIIG >KJB21363 pep chromosome:Graimondii2_0_v6:4:3371247:3373806:1 gene:B456_004G040200 transcript:KJB21363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKIEHDFEKRRAEAARIRVKYPDRIPVIVEKTKGNDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFLFVDNVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFG >KJB21362 pep chromosome:Graimondii2_0_v6:4:3371262:3373804:1 gene:B456_004G040200 transcript:KJB21362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKIEHDFEKRRAEAARIRVKYPDRIPVIVEKTKGNDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFLFVDNVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFG >KJB21361 pep chromosome:Graimondii2_0_v6:4:3371215:3377905:1 gene:B456_004G040200 transcript:KJB21361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKIEHDFEKRRAEAARIRVKYPDRIPVIVEKTKGNDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFLFVDNVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFVALKKKKKEIIELCMERYS >KJB21365 pep chromosome:Graimondii2_0_v6:4:3371262:3373804:1 gene:B456_004G040200 transcript:KJB21365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKIEHDFEKRRAEAARIRVKYPDRIPVIVEKTKGNDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFLFVDNVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFG >KJB21369 pep chromosome:Graimondii2_0_v6:4:3372213:3373804:1 gene:B456_004G040200 transcript:KJB21369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQHLHIINLLDTGDDIDYIIYRYLVPADLTVGQFVYVIRKRIKLSAEKAIFLFVDNVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFG >KJB21366 pep chromosome:Graimondii2_0_v6:4:3371262:3374595:1 gene:B456_004G040200 transcript:KJB21366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKIEHDFEKRRAEAARIRVKYPDRIPVIVEKTKGNDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFLFVDNVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFGFFLGKYFVH >KJB27047 pep chromosome:Graimondii2_0_v6:4:60883044:60885974:-1 gene:B456_004G274600 transcript:KJB27047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQFLSKIPRSFGNSDSPELTRPNSFMTAGLHTHRSNSFNSGCGRPCATKRTSSSIFPASVVAGIEPLLPFKNAPNSEKMNLFVSKVSLCCATFDFSDATKNSIEKDVKRQTLLELLDFVASGSIRFSEPAILAMCRMCSTNLFRVFPPNYRSITSNNGENDDDDPIFCSAWPHLQIVYDLLLKFITSSCLDAKVAKKYIDHAFILRLLDLFDSEDPRERECLKTILHRVYGKFMVHRPLIRKDISNIFYRFVFEEERHNGITELLDIFGSIISGFALPLKEEHKIFLQRVLIPLHKPKTLGVYFQQLSYCVTQFIDKDPKLSSTVIKGLLKYWPITNSQKEVMFLDELKDILEEIDMVEFQKVMVPLSWQIGRCINSFHFQEEEAELSKSAEKRKKAWERLENAANHRPPTTRNTAALVTHSTTSIS >KJB27048 pep chromosome:Graimondii2_0_v6:4:60883408:60885795:-1 gene:B456_004G274600 transcript:KJB27048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQFLSKIPRSFGNSDSPELTRPNSFMTAGLHTHRSNSFNSGCGRPCATKRTSSSIFPASVVAGIEPLLPFKNAPNSEKMNLFVSKVSLCCATFDFSDATKNSIEKDVKRQTLLELLDFVASGSIRFSEPAILAMCRMCSTNLFRVFPPNYRSITSNNGENDDDDPIFCSAWPHLQIVYDLLLKFITSSCLDAKVAKKYIDHAFILRLLDLFDSEDPRERECLKTILHRVYGKFMVHRPLIRKDISNIFYRFVFEEERHNGITELLDIFGSIISGFALPLKEEHKIFLQRVLIPLHKPKTLGVYFQQLSYCVTQFIDKDPKLSSTVIKGLLKYWPITNSQKEVMFLDELKDILEEIDMVEFQKVMVPLSWQIGRCINSFHFQVAERALLFWNKEHIINIIAHNRHVIFPIILPALEKNARNHWNPSVLNLTLNVRKMFMDIDDGFFMSCLIHLKEEEAELSKSAEKRKKAWERLENAANHRPPTTRNTAALVTHSTTSIS >KJB22254 pep chromosome:Graimondii2_0_v6:4:3068316:3071282:1 gene:B456_004G0372002 transcript:KJB22254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQVQHPSIMQKVAGQLLRSSHSQDFQGYNGSFRSPALCQRRAAYGNYSNAALQHPVRACGDLSMVPSTASAICVQAPAEKGFSSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTIKDEGFGSLWRGNTVNVIRYFPTQALNFAFKDYFKRLFNFKK >KJB27363 pep chromosome:Graimondii2_0_v6:4:62152597:62153593:1 gene:B456_004G293700 transcript:KJB27363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKTPVPLPTMISPLGKACARMDLTAVQDILLKTGYKEEEGADNELSFQEWTQQVRDMLNTKKFGDIAFRDKDFKNAIYYYSKLVAMMSVPSGTVFVRRVLSYLIIGQPELALRDAMQAQVWLP >KJB24448 pep chromosome:Graimondii2_0_v6:4:40978115:40980120:-1 gene:B456_004G145900 transcript:KJB24448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSQPVEENYANPKTCFFHVLFKASALAFYMLSTLFADSFVIIFVITVVLAALDFWVVKNVSGRILVGLRWWNEINEQGESIWRFECLDHESLARINQKDSWLFWWTLYLNAVAWTIFGIFSLVRLEVDYLLVVGVCLSLAIANIIGFTKCRKDAKNKIQAFASRTIASRFSSTLQSAFSLV >KJB24447 pep chromosome:Graimondii2_0_v6:4:40978115:40979839:-1 gene:B456_004G145900 transcript:KJB24447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTLFADSFVIIFVITVVLAALDFWVVKNVSGRILVGLRWWNEINEQGESIWRFECLDHESLARINQKDSWLFWWTLYLNAVAWTIFGIFSLVRLEVDYLLVVGVCLSLAIANIIGFTKCRKDAKNKIQAFASRTIASRFSSTLQSAFSLV >KJB24393 pep chromosome:Graimondii2_0_v6:4:40436304:40440827:-1 gene:B456_004G143100 transcript:KJB24393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNARAEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRREGLLSQQQQPQQQQLLSSAAGSEKTLESLPAMVAGVWSDDRNMQLEATTQFRKLLSIERSPPINEVVQSGVIPRFVEFLARDDFPQLQFEAAWALTNIASGTSDNTRVVIDHGAVPIFVKLLGSPTDDVREQAVWALGNVAGDSPKCRDLVLGHGALMPLLAQFNDHAKLSMLRNATWTLSNFCRGKPQPSFEQQTRPALPTLERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIDSGVCPRLVELLLHPSPTVLIPALRTVGNIVTGDDMQTQCIINHQSLPCLLNLLTSNYKKSIKKEACWTISNITAGNVDQIQAVISAGIFAPLVHLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGVAEKNLGHTGEVNLYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWVEEEDEPLPPGDASQSGFQFGGNQLPVPSGGFNFS >KJB24392 pep chromosome:Graimondii2_0_v6:4:40436290:40440842:-1 gene:B456_004G143100 transcript:KJB24392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNARAEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRREGLLSQQQQPQQQQLLSSAAGSEKTLESLPAMVAGVWSDDRNMQLEATTQFRKLLSIERSPPINEVVQSGVIPRFVEFLARDDFPQLQFEAAWALTNIASGTSDNTRVVIDHGAVPIFVKLLGSPTDDVREQAVWALGNVAGDSPKCRDLVLGHGALMPLLAQFNDHAKLSMLRNATWTLSNFCRGKPQPSFEQTRPALPTLERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIDSGVCPRLVELLLHPSPTVLIPALRTVGNIVTGDDMQTQCIINHQSLPCLLNLLTSNYKKSIKKEACWTISNITAGNVDQIQAVISAGIFAPLVHLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGVAEKNLGHTGEVNLYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWVEEEDEPLPPGDASQSGFQFGGNQLPVPSGGFNFS >KJB21438 pep chromosome:Graimondii2_0_v6:4:30144687:30148432:1 gene:B456_004G121300 transcript:KJB21438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDYNDMDMGYEDEPPEPEIEEGAEEEVENNNNDDVPVDPVETEEKEDEDANDKISRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPGGSYEDWGVDELIVEDSWKRQVGAN >KJB25464 pep chromosome:Graimondii2_0_v6:4:51098904:51100457:1 gene:B456_004G193100 transcript:KJB25464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPFAWLMEECKMYSEESGLQRCSYSNPSDSVSGSPDMPDAPLGSTAVATFPPPKSPEGPLPNGQPNLPTPPEFNSTPTCDDNCNDDRRIEKSDEKGSNSSAMKGVNERKRALPSWAYQKVEDDGAVDEKLKGSESELPSPLAKGKVAEDLEVNERKLPSLANGSGVDNEKADQEMVVNGRNSVHSGMCSNGLSENVVEFTILDVLRHLGNDDDNELRLSTLSILEVAERKWGKF >KJB23366 pep chromosome:Graimondii2_0_v6:4:14203392:14204619:1 gene:B456_004G094600 transcript:KJB23366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQLRTLMPIFLMSSIYAEILDLSTSLSFTFLIPVTESPSTTNLLKPRSRHNFIAIVQAIASAAKCEGILE >KJB26095 pep chromosome:Graimondii2_0_v6:4:55996712:55997911:-1 gene:B456_004G225400 transcript:KJB26095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGHKVTLNVYDLSQGMARQLSMTLLGKVIEGIWHTGIVVYGNEYYFGGGIQHIPAGTAPYGRPIKAIDLGVTHVPKDLFEMYLQEISPRYTAETYSLLTHNCNNFSNEVAQFLVGTNIPDYILQLPNEVMSSPMGSLLMPMIQNLETTLRAGAVPQVPQFKPSVSAQPSQPSSASVNSSSDTTKKDEVSSKVKADQQPNHAETDKTTSSVKPTGAQEKSSNSGTATDPLGDARAKVQEEISREFAAIMAAGTLRASEAAALATRKVMQKYGHLNVVMPQS >KJB26096 pep chromosome:Graimondii2_0_v6:4:55996114:55998805:-1 gene:B456_004G225400 transcript:KJB26096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQLSMTLLGKVIEGIWHTGIVVYGNEYYFGGGIQHIPAGTAPYGRPIKAIDLGVTHVPKDLFEMYLQEISPRYTAETYSLLTHNCNNFSNEVAQFLVGTNIPDYILQLPNEVMSSPMGSLLMPMIQNLETTLRAGAVPQVPQFKPSVSAQPSQPSSASVNSSSDTTKKDEVSSKVKADQQPNHAETDKTTSSVKPTGAQEKSSNSGTATDPLGDARAKVQEEISREFAAIMAAGTLRASEAAALATRKVMQKYGHLNVVMPQS >KJB22474 pep chromosome:Graimondii2_0_v6:4:4361613:4366301:1 gene:B456_004G048400 transcript:KJB22474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSWTAAESDMVVKNRLVGFLLWQSILSSLIFLAFTITIVSWRSATAIFVSFFSFHLSQLLFSVSLSTVLSPQPKFRRILPVLLTAAAVSGSLSAVSFCGFNGRVGFKGFASGLFYASFYVYKRRWVLQFPIIQRPLFFSFKMGIPSAIIRALKLSAAAYLFSVLLLVFLPHHFSTDLKLGNFFTQHIISYAACFSMFLCWELTHHLHQVLHTKRFIFAPPKGSAAAETNPSEPLLATLEECSPASLLKYLAYLDLCMVCENNVDYWRRAAFFEETGETYKRVAAVCLRPLEQLASKLVQGFEGSSDDKIFSISDQLQSPTNPRQNSKCHELVNDFQVYTWSARALASLTSRSRKEDRFGVAQLSGSNAAAISTLIACLLAVETLMGKKISLQPSHQLMGAFSTKSATFSTGRRDVRTGKRRDDPLYSKAYAMADVLRTSIYGIVSAFHHEMLNSAKAGLLEKDWITSSKPPFGTRELLLQKLHLFLDFQAS >KJB22473 pep chromosome:Graimondii2_0_v6:4:4361414:4366301:1 gene:B456_004G048400 transcript:KJB22473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSWTAAESDMVVKNRLVGFLLWQSILSSLIFLAFTITIVSWRSATAIFVSFFSFHLSQLLFSVSLSTVLSPQPKFRRILPVLLTAAAVSGSLSAVSFCGFNGRVGFKGFASGLFYASFYVYKRRWVLQFPIIQRPLFFSFKMGIPSAIIRALKLSAAAYLFSVLLLVFLPHHFSTDLKLGNFFTQHIISYAACFSMFLCWELTHHLHQVLHTKRFIFAPPKGSAAAETNPSEPLLATLEECSPASLLKYLAYLDLCMVCENNVDYWRRAAFFEETGETYKRVAAVCLRPLEQLASKLVQGFEGSSDDKIFSISDQLQSPTNPRQNSKCHELVNDFQVYTWSARALASLTSRSRKEDRFGVAQLSGSNAAAISTLIACLLAVETLMGKKISLQPSHQLMGAFSTKSATFSTGRRDVRTGKRRDDPLYSKAYAMADVLRTSIYGIVSAFHHEMLNSAKAGLLEKDWITSSKPPFGTRELLLQKLHLFLDFQAS >KJB22472 pep chromosome:Graimondii2_0_v6:4:4361095:4366388:1 gene:B456_004G048400 transcript:KJB22472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSWTAAESDMVVKNRLVGFLLWQSILSSLIFLAFTITIVSWRSATAIFVSFFSFHLSQLLFSVSLSTVLSPQPKFRRILPVLLTAAAVSGSLSAVSFCGFNGRVGFKGFASGLFYASFYVYKRRWVLQFPIIQRPLFFSFKMGIPSAIIRALKLSAAAYLFSVLLLVFLPHHFSTDLKLGNFFTQHIISYAACFSMFLCWELTHHLHQVLHTKRFIFAPPKGSAAAETNPSEPLLATLEECSPASLLKYLAYLDLCMVCENNVDYWRRAAFFEETGETYKRVAAVCLRPLEQLASKLVQGFEGSSDDKIFSISDQLQSPTNPRQNSKCHELVNDFQVYTWSARALASLTSRSRKEDRFGVAQLSGSNAAAISTLIACLLAVETLMGKKISLQPSHQLMGAFSTKSATFSTGRRDVRTGKRRDDPLYSKAYAMADVLRTSIYGIVSAFHHEMLNSAKAGLLEKDWITSSKPPFGTRELLLQKLHLFLDFQAS >KJB22403 pep chromosome:Graimondii2_0_v6:4:4065477:4071905:1 gene:B456_004G046000 transcript:KJB22403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSTYYDPSVADARFPFAGQNQSFCPVTEQAANHGYLPPLYLQEPLADATNRLNRAIQRKVLLAYIQYKNSAALSGNDAPAYFANYMHSTVCCQYVCRCTKFFSLASHYDGCHDARCDICNTVRYNAVVDTFRPDFEHVKGGLLRVTGSGDSGQPTYGSSEAMQPFLKRLKLENPTAPCFPCHGMFYTMAPSHVQPSYAELPPMVQFSESPVSYNSEVTKVGVELLPKLLDGSTIANRMGMNMEVNTELLPYLREDSTKNFPGPPEVVIAGYKVVETDPVCSHREEDIGFINVTDNADNARYHFNNLEINALPSFSEELAAGCEEGETEARANSTQAELATKTELIAQKSNGRKEIKLESLKQRGVSLIENFTAQQIKEHISSLRECIDQDLGKKEGANRRVNVDSKNSCQLCGADKLSLAPAPIYCSSCCARIRRSAKYYSIPEVDGIRYCLCSSCYKLSRSESITTSGILVSKAKLIKKINDEEAEEWWVQCDKCKSWQHQICALFNEKKNKEKKDCFLCPKCCLEEIENGEHKPPLVKTVFGAKDLPPTMLSDHLEQRLFRCLQKNREEKAKDTGMSIDEVPEVEGLVVREVLSAQKLVKVNEKLLDILKDGNYPAEFPYKSKVILLFQKIDGADVCLFIMYAQEFGAECSQPNQRCVYISYLDSVKYFRPETETAASGEPLRTVVYHEIMIGYLDYCKKRGFATCYIWACPPTKGEDYIFYFHPEIQKIPKSDKLRQWHKTMLLKAAKMGIVVGLTNLYDQFFSTGQYNSKVTAANLPYFDGDYWSGAAEDVIKHIEKASGEDSKKNKKIVSKRTLKAMGHTNPPGHATKDILLMQKLGQNILPMKEDFIIAHLQFVCVCCHRTILDGWRWFCRMCKSFQLCGRCHDAEQYVYKDSTHTSCNGEKHSLSRIMVDVPYDTDDNDASMDSGLFENRYRFLLFCQKNNYQFDTLRRAKHSSMMILYYLHNPTSLLAETTCCICDKDTPLDQCWQCKTCSDFFVCAACYHRHGCSLHVHKLSHPSAVDSSAKNREALKKGLSKLLEVLLHASGCDHCSHPDCRLMKKLFFHSKVCTVRAAGGCKHCNKIWFILRQHSRSCKESNCNVPRCRDIKQHAQSLALKPAAF >KJB27041 pep chromosome:Graimondii2_0_v6:4:60847246:60852812:1 gene:B456_004G273900 transcript:KJB27041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDQTVLSLRPGGGRGGRLFGGPSSSSSSSSSSSLAFGSVSSDLLLFRPHGGASPFSIKAGDSRFEGRERVRYTRDQLLQLREAVEVIDEILKIKGEIKLELFGEDQNRGRAESIPSSNQSQNRYSEPDNRDWRNRSAQFPSSGEERSWEALRDRESGNRYDFRQPEANQFNRHDQLNSQFSKASYDQRGGPTPTLIKAEVPWSARRGNLSEKERVLKTVKGILNKLTPEKYDLLKGQLIDSGITSADILKEVISIIFDKAVLEPTFCPMYARFCADLNDKLPSFPPEEPGGKEITFKRVLLNNCQEAFEGADKLREEVRLMTAPEQEMERRDKERMVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGPDTKACPAEENVEAICQFFNTIGKQLDESPKSRRINDSYFSRLKELTANPQLAPRLRFMVRDVLDLRANNWVPRREEVKAKTITEIHSEAEKNLGLRPGATASIRNSPVSGGPISPGTWGMMPGMPGTRRMPGMPGIDNDNWEVPRTRSMPRGDKSGGHIPSPLVDKSSLMNPRLLPQGSAGLMTGRTSALLQGSSTPPAQPSNSLLGAEPPAQPTRTVPVAAVSPVVEKPLDPAAKLNTDELWRKTQALLEEYFSVRLLDEALQCVEELKSPLYHPEFVKEAISIALEKSPPCVEPVSKLLEYLFIKKVLTATDLGTGCLLYGALLDDIGIDLPKAPNNFGEIIGTLVLVGGLDIKVLKEVLKKMEDDLYKKAVFNATMRIISSNPSGQAILDAQASEVEASQNLL >KJB21510 pep chromosome:Graimondii2_0_v6:4:21712886:21713826:1 gene:B456_004G106500 transcript:KJB21510 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24090) UniProtKB/Swiss-Prot;Acc:Q8VZ55] MASSLNLTTLSLTLPIPHPHPHPHPRPSSSIRLPRGSLQLPQFNKVRKALKLSSSQTISAFPSFASKALPAFSPAPLTLQSPTVFAAKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLAKKNTKRKLRLSKMMA >KJB21511 pep chromosome:Graimondii2_0_v6:4:21712886:21713882:1 gene:B456_004G106500 transcript:KJB21511 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24090) UniProtKB/Swiss-Prot;Acc:Q8VZ55] MASSLNLTTLSLTLPIPHPHPHPHPRPSSSIRLPRGSLQLPQFNKVRKALKLSSSQTISAFPSFASKALPAFSPAPLTLQSPTVFAAKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLAKKNTKRKLRLSKMG >KJB21509 pep chromosome:Graimondii2_0_v6:4:21712808:21715761:1 gene:B456_004G106500 transcript:KJB21509 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24090) UniProtKB/Swiss-Prot;Acc:Q8VZ55] MASSLNLTTLSLTLPIPHPHPHPHPRPSSSIRLPRGSLQLPQFNKVRKALKLSSSQTISAFPSFASKALPAFSPAPLTLQSPTVFAAKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLAKKNTKRKLRLSKMHAVSRSDYDNVIGALPYLKVNRNAK >KJB21642 pep chromosome:Graimondii2_0_v6:4:363003:363567:1 gene:B456_004G006000 transcript:KJB21642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGILLEKRVIDIYETNKPKPPTTRLQKHAPAPLQLSQMKPNTTVIEKGATPIPLLTPLASSPSPFSETQESVFPIDDHMEPVVQAPMGTAIGWRNLPVGSGYAEPSTLFFLFQNKFLLVNDAQ >KJB26574 pep chromosome:Graimondii2_0_v6:4:58457117:58459023:1 gene:B456_004G247800 transcript:KJB26574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSEYFNRCGPKYLSNIDWNNAHHRSQCVAPPWWEFFNFKVVNALIDDNDKSIFGAIFEYKPSAYSYHRSMDRSPRYVIAFRGTLIKLESFARDLKLDIDIIRNGLHHTGRFRTAIKAVLDLVSVVGSSKVWLTGHSLGAAMAMLAGKNMAKRGNFLEAFLFNPPYVSPPIERIKNKKVRHGLRFAGTLIKAGIAFAAAASDNHNNSNGIQDSSFASISGWIPCLFVNHLDPICSEYIGHFKHKKKLEDIGAGGLARLTSQHSLGNMAMSAVGIKGIDTSEPLHLLPSANLTVNLYPCQDMISAHELHQWWRPDLNLSCSVYKYK >KJB26573 pep chromosome:Graimondii2_0_v6:4:58457536:58458560:1 gene:B456_004G247800 transcript:KJB26573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSPRYVIAFRGTLIKLESFARDLKLDIDIIRNGLHHTGRFRTAIKAVLDLVSVVGSSKVWLTGHSLGAAMAMLAGKNMAKRGNFLEAFLFNPPYVSPPIERIKNKKVRHGLRFAGTLIKAGIAFAAAASDNHNNSNGIQDSSFASISGWIPCLFVNHLDPICSEYIGHFKHKKKLEDIGAGGLARLTSQHSLGNMAMSAVGIKGIDTSEPLHLLPSANLTVNLYPCQDMISAHELHQWWRPDLNLSCSVYKYK >KJB23740 pep chromosome:Graimondii2_0_v6:4:25654585:25658205:-1 gene:B456_004G112200 transcript:KJB23740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASFAISIFSLATVAPTCKNYRPFLSQTTYSFKSISIRASSTSLDYSTPSSVNEQKAFKPTKTNYWEWKFKDNVIDVYYEEHEHESTGSQKNILMMPTISDVSTVEEWRGVAKDILERSGKLNLRATIVDWPGLGFSSRPKMDYDADVMENFVVDFINEISSSVGGSFGIIFPVSMCGTLGLNMGTSTKRKSLSSIENDLLVFGGGHAATIVVRAAKKGLVKPKAIAAVAPTWAGPLPIVFGRDSSMQTRYGLLRGILRTPGAGWMMYNMLVSNEGAIQSQYKSHVYANPQNVTPAFVQSRYKLTTEKGSRYVPAAFLTGLLDPVNSRDEFLELFSGLEGKTPILVVSTEGSPKRSKAEMEALREARGVSKFVKVAGALLPHEEYPSMVAEELYKFLQENFEVNA >KJB23739 pep chromosome:Graimondii2_0_v6:4:25654540:25658355:-1 gene:B456_004G112200 transcript:KJB23739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASFAISIFSLATVAPTCKNYRPFLSQTTYSFKSISIRASSTSLDYSTPSSVNEQKAFKPTKTNYWEWKFKDNVIDVYYEEHEHESTGSQKNILMMPTISDVSTVEEWRGVAKDILERSGKLNLRATIVDWPGLGFSSRPKMDYDADVMENFVVDFINEISSSENDLLVFGGGHAATIVVRAAKKGLVKPKAIAAVAPTWAGPLPIVFGRDSSMQTRYGLLRGILRTPGAGWMMYNMLVSNEGAIQSQYKSHVYANPQNVTPAFVQSRYKLTTEKGSRYVPAAFLTGLLDPVNSRDEFLELFSGLEGKTPILVVSTEGSPKRSKAEMEALREARGVSKFVKVAGALLPHEEYPSMVAEELYKFLQENFEVNA >KJB26287 pep chromosome:Graimondii2_0_v6:4:57734616:57735996:-1 gene:B456_004G239000 transcript:KJB26287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGGKSKKSKRTAPKSDDIYLKLLVKLYRFLVRRAGSNFNAVILKRLFMRKVNKPPLSLYFICGSGFLGSLNYCWFGEDKIGVVVGTVTDDIRVYEVPALTVTALRFTETARARIEKAGGECLTFDQLALRAPLGVEMPVLLPCTIKNVTGMISRKHNVPEVLLRGPKNAREAVKHFGPAPGVPHSHSKPYVRSKGRKFEGLEEEGIARDLGFKHRLCS >KJB23927 pep chromosome:Graimondii2_0_v6:4:30255749:30256363:-1 gene:B456_004G121600 transcript:KJB23927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEALTNPDQPDFLTLRDPKLLLKASEKKDINEVMMAADASSMRGGGTTSFASSPSSNLLPNNLLLLTAFLAFALAQFLKLFTNWFVLS >KJB26640 pep chromosome:Graimondii2_0_v6:4:58981752:58983723:-1 gene:B456_004G252400 transcript:KJB26640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLFQIIFYIQLILISILVIVLTIRGFVYAARSTNHFRPEKWYPPLLGSVASAGIVSYLWEWISFHDPSKAIKTAFWVGPFLTCAVGILHILIGCPISLAISTIAIISSVIQSLYTCWVNPRFDYATKILTVYTSFPPDKTATFVILSIITCLVYSSFLVTGIGGATATGTGLDILFIIVILLTYTWTMQVIKNMLYVTISRVRHMNFACGADMNTWIAFRDTVQHLVGRVCIGSAVVPVIGTIRGSARVMKSVVGGTDEFLFSCADCYSRVASTLITYGNRWGFVHVGVYNKGFMQASADTWGAFKTAELIPLIDSDLTGAFCFFSGVAVGSICSLVGGTWALAIHKSYATEVSIYAFFIGYFICRVALASQQACVSAYYVAYAENPQSLQFDATIPVRIQELLQRYNV >KJB27043 pep chromosome:Graimondii2_0_v6:4:60863291:60865991:-1 gene:B456_004G274200 transcript:KJB27043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALSVNSSISVRILQSSYRGRVSVAQPRYFNLLPAPQSRRRYTVAYSRRRNNQPASTEKKKRLKKEKKKGLVEKEEEDFEGDPFEALFKQLEEDLKNDNPDMEDDDDEGDEINEEDIDRLANELAEAFGDFDFEGFSSAPNDAKEEVEDDGEEDGNDDEVEERPVKLKNWQLRRLAAALKVGRRKTSIKTLAAELCLDRAVVLELLREPPPELLMISATLPDEPVKKEPEPESEPEPEPMPETKHVETLAFETTMDNVKHEPKVKEPIHVMQQRWSAQKRLKKVQIDTLEKVYRRSKRPTNTMISSIVQVTNLPRKRVVKWFEDKRNEDGVPEHRQPYRRYGSETVFSD >KJB22316 pep chromosome:Graimondii2_0_v6:4:3500632:3504596:1 gene:B456_004G040800 transcript:KJB22316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPILAAAALSVVLGAVIAFVFFKSYLLKERSNVQAISEPELHSDPKKTSKPQHVPKKYHSKPHSHASDKEQSKRHHPLDLNTLKGHADSVTGMCFSSDGRNLATACADGVVRVFKLDDASSKSFKFLRINVPLGGHAVAVAFADDSSSVVVASQTVTGCSLYMYGEENPKKGSTDSNQQSKLPLPQVKWEHHKIHDKQAILTLTRATASYGTGDGSTIIASCSEGTDILLWHGRTGKVLGHVDTNQLKNTMATISPNGRFLAAAAFTADVKIWEIVYAKDGSVKEVLNVMQLKGHKSAVTWLCFSPNSEQIITTSKDGSIRVWNINVRYHLSEDPKTLKVFPIPFHDSSGSALHYDRLSLSPDGKILAATRGPTLQWLCLETGKVLDAAEKAHDGDITWITWCPKTMPLGNEQVVILATASVDKKVKLWAAPSVTS >KJB22318 pep chromosome:Graimondii2_0_v6:4:3500729:3504542:1 gene:B456_004G040800 transcript:KJB22318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPILAAAALSVVLGAVIAFVFFKSYLLKERSNVQAISEPELHSDPKKTSKPQHVPKKYHSKPHSHASDKEQSKRHHPLDLNTLKGHADSVTGMCFSSDGRNLATACADGVVRVFKLDDASSKSFKFLRINVPLGGHAVAVAFADDSSSVVVASQTVTGCSLYMYGEENPKKGSTDSNQQSKLPLPQVKWEHHKIHDKQAILTLTRATASYGTGDGSTIIASCSEGTDILLWHGRTGKVLGHVDTNQLKNTMATISPNGRFLAAAAFTADIWEIVYAKDGSVKEVLNVMQLKGHKSAVTWLCFSPNSEQIITTSKDGSIRVWNINVRYHLSEDPKTLKVFPIPFHDSSGSALHYDRLSLSPDGKILAATRGPTLQWLCLETGKVLDAAEKAHDGDITWITWCPKTMPLGNEQVVILATASVDKKVKLWAAPSVTS >KJB22317 pep chromosome:Graimondii2_0_v6:4:3500729:3504542:1 gene:B456_004G040800 transcript:KJB22317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPILAAAALSVVLGAVIAFVFFKSYLLKERSNVQAISEPELHSDPKKTSKPQHVPKKYHSKPHSHASDKEQSKRHHPLDLNTLKGHADSVTGMCFSSDGRNLATACADGVVRVFKLDDASSKSFKFLRINVPLGGHAVAVAFADDSSSVVVASQTVTGCSLYMYGEENPKKGSTDSNQQSKLPLPQVKWEHHKIHDKQAILTLTRATASYGTGDGSTIIASCSEGTDILLWHGRTGKVLGHVDTNQLKNTMATISPNGRFLAAAAFTADVKIWEIVYAKDGSVKEVLNVMQLKGHKSAVTWLCFSPNSEQIITTSKDGSIRVWNINGLSAPLCLSPTYFTHLHILVLTMRKSMLMKWSYYFVPADCCKCFVEVRYHLSEDPKTLKVFPIPFHDSSGSALHYDRLSLSPDGKILAATRGPTLQWLCLETGKVLDAAEKAHDGDITWITWCPKTMPLGNEQVVILATASVDKKVKLWAAPSVTS >KJB26830 pep chromosome:Graimondii2_0_v6:4:59726182:59726595:-1 gene:B456_004G261900 transcript:KJB26830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTANPSEKEKKTQKLPNFPFWFFDFIIIINQSIMTGKAKPKKHTAKELAAKVDAATTNRGGGKAGLADRSGVEKGGHAKYECPHCKTTAPDVKSMQIHHDAKHPKIPFEESKLINRHAVHVAEPSKPRPGVRGSLRK >KJB26202 pep chromosome:Graimondii2_0_v6:4:56409523:56412897:-1 gene:B456_004G229500 transcript:KJB26202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSHASVHPAEYPPTTDAGEGGGGGGGGNIEVPRVRMKDFQGMPGTQGGLALRICQFVFAAVGLCIMATTSDFPSVTAFCYLVAATGLQSLWSLSLAIIDIYALLVMRSLQNYRVVTFFTIGDGIASTLTFAAACSSAGITVLIDNDLDICSQNHCVQFETATAMAFISWFTTLPSFLFNLWSLASR >KJB26201 pep chromosome:Graimondii2_0_v6:4:56409524:56412900:-1 gene:B456_004G229500 transcript:KJB26201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSHASVHPAEYPPTTDAGEGGGGGGGGNIEVPRVRMKDFQGMPGTQGGLALRICQFVFAAVGLCIMATTSDFPSVTAFCYLVAATGLQSLWSLSLAIIDIYALLVMRSLQNYRVVTFFTIGDGIASTLTFAAACSSAGITVLIDNDLDICSQNHCVQFETATAMAFISWFTTLPSFLFNLWSLASR >KJB26199 pep chromosome:Graimondii2_0_v6:4:56409524:56412860:-1 gene:B456_004G229500 transcript:KJB26199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSHASVHPAEYPPTTDAGEGGGGGGGGNIEVPRVRMKDFQGMPGTQGGLALRICQFVFAAVGLCIMATTSDFPSVTAFCYLVAATGLQSLWSLSLAIIDIYALLVMRSLQNYRVVTFFTIGDGIASTLTFAAACSSAGITVLIDNDLDICSQNHCVQFETATAMAFISWFTTLPSFLFNLWSLASR >KJB26203 pep chromosome:Graimondii2_0_v6:4:56410797:56412373:-1 gene:B456_004G229500 transcript:KJB26203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSHASVHPAEYPPTTDAGEGGGGGGGGNIEVPRVRMKDFQGMPGTQGGLALRICQFVFAAVGLCIMATTSDFPSVTAFCYLVAATGLQSLWSLSLAIIDIYALLVMRSLQNYRVVTFFTIGDGVRHLPFAVTNEARRLKNM >KJB26200 pep chromosome:Graimondii2_0_v6:4:56410529:56412860:-1 gene:B456_004G229500 transcript:KJB26200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSHASVHPAEYPPTTDAGEGGGGGGGGNIEVPRVRMKDFQGMPGTQGGLALRICQFVFAAVGLCIMATTSDFPSVTAFCYLVAATGLQSLWSLSLAIIDIYALLVMRSLQNYRVVTFFTIGDGVRHLPFAVTNEARRLKNM >KJB24825 pep chromosome:Graimondii2_0_v6:4:45403938:45407573:-1 gene:B456_004G162900 transcript:KJB24825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP1 MVSSLLALPSTFSRLNLVQKSSIFIPFTFKALSLLEFHKQPRLRPFNYPLLHFPSSLNSPEAHFSTSRRPVSSKSFDDDLVVLGIETSCDDTAAAIVRGNGEILSQVVSSQADLLARYGGVAPKMAEEAHSQVIDQVVQDALDKASLTERELSAVAVTIGPGLSLCLRVGVQKARRVAGSFNLPIISVHHMEAHALVARLIEKELQFPFLALLISGGHNLLVLAHDLGHYTQLGTTIDDAIGEAFDKTAKWLGLDMRKSGGPAVEQLAQEGDAESVRFSIPMKQHKDCNFSYAGLKTQVKLAIASRNIDAKVPLSCASSLDRSSRADIAASFQRVAVLHLEERCERAVEWALKIEPSIKHLVM >KJB24824 pep chromosome:Graimondii2_0_v6:4:45403701:45407652:-1 gene:B456_004G162900 transcript:KJB24824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP1 MVSSLLALPSTFSRLNLVQKSSIFIPFTFKALSLLEFHKQPRLRPFNYPLLHFPSSLNSPEAHFSTSRRPVSSKSFDDDLVVLGIETSCDDTAAAIVRGNGEILSQVVSSQADLLARYGGVAPKMAEEAHSQVIDQVVQDALDKASLTERELSAVAVTIGPGLSLCLRVGVQKARRVAGSFNLPIISVHHMEAHALVARLIEKELQFPFLALLISGGHNLLVLAHDLGHYTQLGTTIDDAIGEAFDKTAKWLGLDMRKSGGPAVEQLAQEGDAESVRFSIPMKQHKDCNFSYAGLKTQVKLAIASRNIDAKVPLSCASSLDRSSRADIAASFQRVAVLHLEERCERAVEWALKIEPSIKHLVVSGGVASNQYVRARLDQVVQKYSLQLVCPPPNLCTDNGVMIAWTGIENFRVGRYDPPPPANDPDDFMYDLRPRWPLGEEYAEGRSEARSLRRARVHPSLTSLVQASLQQQ >KJB24826 pep chromosome:Graimondii2_0_v6:4:45404434:45407573:-1 gene:B456_004G162900 transcript:KJB24826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP1 MVSSLLALPSTFSRLNLVQKSSIFIPFTFKALSLLEFHKQPRLRPFNYPLLHFPSSLNSPEAHFSTSRRPVSSKSFDDDLVVLGIETSCDDTAAAIVRGNGEILSQVVSSQADLLARYGGVAPKMAEEAHSQVIDQVVQDALDKASLTERELSAVAVTIGPGLSLCLRVGVQKARRVAGSFNLPIISVHHMEAHALVARLIEKELQFPFLALLISGGHNLLVLAHDLGHYTQLGTTIDDAIGEAFDKTAKWLGLDMRKSGGPAVEQLAQEGDAESVRFSIPMKQHKDCNFSYAGLKTQVKLAIASRNIDAKVPLSCASSLDRSSRADIAASFQRVAVLHLEERCERAVEWALKIEPSIKHLVVSGGVASNQYVRARLDQVVQKYSLQLVCPPPNLCTDNGVMIAWTGIENFRVGRYDPPPPANDPDDFMVRRKYLMT >KJB27185 pep chromosome:Graimondii2_0_v6:4:61467377:61469539:-1 gene:B456_004G283300 transcript:KJB27185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTRGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAEKAMGTKDVRVDVKLNKHIWSRGIRSVPRRIRVRIARRRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKVIDDDDE >KJB27186 pep chromosome:Graimondii2_0_v6:4:61467392:61469527:-1 gene:B456_004G283300 transcript:KJB27186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTRGRKEEVVTREYTINLHKRLHGCITTGCIPEQGFKDMILLRTIQMHENLWGKKSNITITFKKKAPKAIKEIRKFAEKAMGTKDVRVDVKLNKHIWSRGIRSVPRRIRVRIARRRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKVIDDDDE >KJB27140 pep chromosome:Graimondii2_0_v6:4:61233376:61238749:-1 gene:B456_004G280300 transcript:KJB27140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIQLPFPLKSALFVIFLSVSLFHFKPLFNPTRKQTLTHYGSNNLTVRNNYIIRFTEYKPASDHRSYLESNLRSDGWEWIERRNVAAKFPTDFGLVSIEGSVKEALIEEIERLGFVKDVNVDLSYNRGLLGAGGGAFENGRKRPGKIFTSMSFSEEKHCHVSGLSNSSINWSRHLLSQRSQVTSLFGADALWRKGYTGAKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGEDAECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATDMDVLNLSIGGPDYLDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKSLSGTSVASPVVAGVVCLLVSIIPENKRKEIMNPASMKQALVEGAAKLAGPNMYEQGAGRVDLLESYEILKSYQPRASIFPSVLDYTDCPYSWPFCLQPLYAGAMPVIFNATVLNGMGVIGYVHSPPIWHPSNEEGNLLSIRFTYSEVIWPWTGYLALHMQIKEEGAHFSGVIEGNVTVRVHSPPAQGERDVRTSTCVLKLKLNVVPTPPRSKRVLWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYIETLGSPLTCFDASQYGTLLMVDLEDEYFQEEIEKLRDDVINTGLGLAVFAEWYNVDTMVKMRFFDDNTRSWWTPVTGGANIPALNDLLAPFGIAFGDKILNGDFSIDDEQSRYASGTDIVRFPRGGYVHSFPFLDSSESGATQNLLLNSGMNKVDSPILGLLEVGEGRIAVYGDSNCLDSSHMVTNCYRLLRKILDFTGSNIKDPVLFSKSVKQDMPLYEDDNHLSSRRTDVNFSMYSAVLGKDLICRTDSRFEVWGTKGYNLHIRGRNRKLPGYDVIDLGRGLNSTIDTSNSRRPKFTEKNKGDYFGNRYLGELDVPELVASQWLVPAVIAITGFVLFFSIWRIRQKRRRRRRSMSGRLANL >KJB27139 pep chromosome:Graimondii2_0_v6:4:61233882:61237674:-1 gene:B456_004G280300 transcript:KJB27139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILDTEHLWPVLSPVKMLSVLVLHLIPRFMRFVFSRMRSLLQVSYTSWFLDAFNYAIATDMDVLNLSIGGPDYLDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKSLSGTSVASPVVAGVVCLLVSIIPENKRKEIMNPASMKQALVEGAAKLAGPNMYEQGAGRVDLLESYEILKSYQPRASIFPSVLDYTDCPYSWPFCLQPLYAGAMPVIFNATVLNGMGVIGYVHSPPIWHPSNEEGNLLSIRFTYSEVIWPWTGYLALHMQIKEEGAHFSGVIEGNVTVRVHSPPAQGERDVRTSTCVLKLKLNVVPTPPRSKRVLWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYIETLGSPLTCFDASQYGTLLMVDLEDEYFQEEIEKLRDDVINTGLGLAVFAEWYNVDTMVKMRFFDDNTRSWWTPVTGGANIPALNDLLAPFGIAFGDKILNGDFSIDDEQSRYASGTDIVRFPRGGYVHSFPFLDSSESGATQNLLLNSGMNKVDSPILGLLEVGEGRIAVYGDSNCLDSSHMVTNCYRLLRKILDFTGSNIKDPVLFSKSVKQDMPLYEDDNHLSSRRTDVNFSMYSAVLGKDLICRTDSRFEVWGTKGYNLHIRGRNRKLPGYDVIDLGRGLNSTIDTSNSRRPKFTEKNKGDYFGNRYLGELDVPELVASQWLVPAVIAITGFVLFFSIWRIRQKRRRRRRSMSGRLANL >KJB25493 pep chromosome:Graimondii2_0_v6:4:51288884:51292529:-1 gene:B456_004G194400 transcript:KJB25493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKNPFDLLDDDTEDPSLLIAAVEQQKLEKPKKAPASTPAAAQPAKSAKLPTKPLPPSQAVREAKNELGRGGGRGSGRGRGRGGSGFNRDSRVSDNSNGYSGGYRPSEEGDGKQYERRGGYDGPRGSFRGGRRGGFNNGDSGEGERPRRQYDRHSGTGRGNEFKRDGSGRGNWGSSTDEIAPETEENVTENEKKSTEKQFGEEDAADANKENAANEAEEKEPENKEMTLEEYEKILEEKRKALDALKTEGRKVDVKEFESMQQLSNKKSNDDIFIKLGSEKDKRKDADKEEKAKKSVSINEFLKPAEGERYYGPGRGRGRGRGPRGGYGGNTASNVQAPSIADPGHFPSLGGK >KJB25494 pep chromosome:Graimondii2_0_v6:4:51289011:51292430:-1 gene:B456_004G194400 transcript:KJB25494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKNPFDLLDDDTEDPSLLIAAVEQQKLEKPKKAPASTPAAAQPAKSAKLPTKPLPPSQAVREAKNELGRGGGRGSGRGRGRGGSGFNRDSRVSDNSNGYSGGYRPSEEGDGKQYERRGGYDGPRGSFRGGRRGGFNNGDSGEGERPRRQYDRHSGTGRGNEFKRDGSGRGNWGSSTDEIAPETEENVTENEKKSTEKQFGEEDAADANKENAANEAEEKEPENKMTLEEYEKILEEKRKALDALKTEGRKVDVKEFESMQQLSNKKSNDDIFIKLGSEKDKRKDADKEEKAKKSVSINEFLKPAEGERYYGPGRGRGRGRGPRGGYGGNTASNVQAPSIADPGHFPSLGGK >KJB24590 pep chromosome:Graimondii2_0_v6:4:43554988:43557155:1 gene:B456_004G153000 transcript:KJB24590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAPFPSALNKPPGYNDPNSPAGFKPPPRKPVLPPSFRPKKKKGSVCCCCFCISFSILIALIIIFAAVFYFSVNPKLPRFHVRSFQIPRFNVTETPDGTYLDSTTMTVMEVRNPNGKMTYYYGDTAVDISVGEGKDETELGTAKVPKFTSRTQNTTSLKVETKASNKQVDNTLANRLLSGYKSKSLAVNVAARTKVGVGVAGLKTGMLGVTVKCKGITMKQLDGDDMPNCLIHTLRWIKVG >KJB24589 pep chromosome:Graimondii2_0_v6:4:43554815:43556381:1 gene:B456_004G153000 transcript:KJB24589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAPFPSALNKPPGYNDPNSPAGFKPPPRKPVLPPSFRPKKKKGSVCCCCFCISFSILIALIIIFAAVFYFSVNPKLPRFHVRSFQIPRFNVTETPDGTYLDSTTMTVMEVRNPNGKMTYYYGDTAVDISVGEGKDETELGTAKVPKFTSRTQNTTSLKVETKASNKQVDNTLANRLLSGYKSKSLAVNVAARTKVGVGVAGLKTGMLGVTVKCKGITMKQLDGDDMPNCLIHTLRWIKVG >KJB26548 pep chromosome:Graimondii2_0_v6:4:58433727:58435573:-1 gene:B456_004G2475001 transcript:KJB26548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSALPNFSPSFLFHLKTQKSFKPPKPITITCSSQEPKKPSKNPQRPTSRNRKRTPYGTSRRSILKKTFTQEQVKFTAGVSADPQVGIIGGGMAGLLCALSLEKRGVKSTVFDTGMHGLGGRMGTRVIDPQQLIFDHAAQFFTVSDSRFSKLVDYWLEKGLVREWQGLVGQLELGGRFVPFPSSPPRFIGVNGMRPLADSFGAFHYWTLLSQVLNWTSMVNVVRPCCISKLEPFNGMWHLSENGKPRGEFDAIVIAHNVKVCLELETSCFGRNKK >KJB21677 pep chromosome:Graimondii2_0_v6:4:561595:565681:1 gene:B456_004G008100 transcript:KJB21677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMVEQECQRWASKGINITYQIRENRTGYKAGALKEGLKRSYVKHCEYVAIIDADFRPDPDFLRKSIPFLDHNPDIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFLYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVGEIITNKKVRFWKKVYVIYSFFFVRKIIAHMVTFFFYCVVLPLTILVPEVKVPIWGSVYIPSIITILNSVGTPRSIHLLFYWILFENVMSLHRTKATLIGLFETGRANEWVVTEKLGDVAKKVAEANKNKTNSKAVKRYRSKFMDRLNTLELGFSAFLFLCGCFDFMYGKNNYFIYLWLQTITFFITGIGYVGTII >KJB21676 pep chromosome:Graimondii2_0_v6:4:560704:565739:1 gene:B456_004G008100 transcript:KJB21676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQISPKLLIPQSFLVNRDDVTSQLGLMWELIKAPLLVPMLKLSVYICLGMALMLFMERVYMGIVIVLVKLFWKKPEERYKFVPIEDDEEHGSSNFPVVLVQIPMFNEKEVYKISIGAACGLSWPSDRLVIQVLDDSTDSAIKSMVEQECQRWASKGINITYQIRENRTGYKAGALKEGLKRSYVKHCEYVAIIDADFRPDPDFLRKSIPFLDHNPDIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFLYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVGEIITNKKVRFWKKVYVIYSFFFVRKIIAHMVTFFFYCVVLPLTILVPEVKVPIWGSVYIPSIITILNSVGTPRSIHLLFYWILFENVMSLHRTKATLIGLFETGRANEWVVTEKLGDVAKKVAEANKNKTNSKAVKRYRSKFMDRLNTLELGFSAFLFLCGCFDFMYGKNNYFIYLWLQTITFFITGIGYVGTII >KJB25902 pep chromosome:Graimondii2_0_v6:4:54780261:54786589:-1 gene:B456_004G215000 transcript:KJB25902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSTALEPILFKTHFSTMLKILNKRIKGLFPRLRWPVRCRSNSKIVIKVYTKPHDDTIVNGGSQIHQNRESGVLNSASARPIRIATFNAALFSMAPAMPKPDKSSSFDYDNEDFTKSTNDHRPKGILKQSPLHPNSMNENDNLTKQQKFVKSKLRVSINLPDNEISLLRNRQLSFSENEKEGGGRRRCKAPVSFSTDLGNWVDDWEGYRSRKTVLEVLKELDADILGLQDVKAEEEKGMRPLSDLAAALGMNYVFAESWAPEYGNAVLSKWPIKRWKVQKIFDDADFRNVLKATIDVPQTGEIDFHCTQLDHLDENWRMKQINAIIQSDDGPHILAGGLNSLEETDYSTERWTDIVKYYEEIGKPTPKVEVMKYLKNKQYTDAKDFSGECEPVVVIAKGQSKK >KJB25901 pep chromosome:Graimondii2_0_v6:4:54780080:54786749:-1 gene:B456_004G215000 transcript:KJB25901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSTALEPILFKTHFSTMLKILNKRIKGLFPRLRWPVRCRSNSKIVIKVYTKPHDDTIVNGGSQIHQNRESGVLNSASARPIRIATFNAALFSMAPAMPKPDKSSSFDYDNEDFTKSTNDHRPKGILKQSPLHPNSMNENDNLTKQQKFVKSKLRVSINLPDNEISLLRNRQLSFSENEKEGGGRRRCKAPVSFSTDLGNWVDDWEGYRSRKTVLEVLKELDADILGLQDVKAEEEKGMRPLSDLAAALGMNYVFAESWAPEYGNAVLSKWPIKRWKVQKIFDDADFRNVLKATIDVPQTGEIDFHCTQLDHLDENWRMKQINAIIQSDDGPHILAGGLNSLEETDYSTERWTDIVKYYEEIGKPTPKVEVMKYLKNKQYTDAKDFSGECEPVVVIAKGQSVQGTCKYGTRVDYILASPNSSYKFVPGSYSVLSSKGTSDHHIVKVDVVKTDENVIENGSRKRRQQPKQRVVKITADSSPSKSIWKTHT >KJB26121 pep chromosome:Graimondii2_0_v6:4:56108589:56111250:1 gene:B456_004G226600 transcript:KJB26121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKGRTGGKIVNPNDPQENPDLRRDYVAPNKAPPAPRTKPEADELVGDGPVRRGHERGRSRDEGDFKQYAGSPVRHDNVNRRALGDSTPTRYGREAPRQGTRPKVGSENSIEKSPLHHQARVAGRGTMASPAYEAKTLYDSSHGTPGRSKMRPNTRSDESPDEGAAVPKFGDWDENNPASADGYTHIFNKVREERNNGGKTPGMPGEQSPYRTTRNRKQANSNAKSCCLPWCRK >KJB26120 pep chromosome:Graimondii2_0_v6:4:56108530:56111342:1 gene:B456_004G226600 transcript:KJB26120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRSHVPKFGNWEGEEDVPYTAYFDKARKGRTGGKIVNPNDPQENPDLRRDYVAPNKAPPAPRTKPEADELVGDGPVRRGHERGRSRDEGDFKQYAGSPVRHDNVNRRALGDSTPTRYGREAPRQGTRPKVGSENSIEKSPLHHQARVAGRGTMASPAYEAKTLYDSSHGTPGRSKMRPNTRSDESPDEGAAVPKFGDWDENNPASADGYTHIFNKVREERNNGGKTPGMPGEQSPYRTTRNRKQANSNAKSCCLPWCRK >KJB26122 pep chromosome:Graimondii2_0_v6:4:56108589:56111250:1 gene:B456_004G226600 transcript:KJB26122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEEDVPYTAYFDKARKGRTGGKIVNPNDPQENPDLRRDYVAPNKAPPAPRTKPEADELVGDGPVRRGHERGRSRDEGDFKQYAGSPVRHDNVNRRALGDSTPTRYGREAPRQGTRPKVGSENSIEKSPLHHQARVAGRGTMASPAYEAKTLYDSSHGTPGRSKMRPNTRSDESPDEGAAVPKFGDWDENNPASADGYTHIFNKVREERNNGGKTPGMPGEQSPYRTTRNRKQANSNAKSCCLPWCRK >KJB21804 pep chromosome:Graimondii2_0_v6:4:1047304:1049440:-1 gene:B456_004G014700 transcript:KJB21804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEKFYKELRFPSDEMKAQIALQVGLTEKQISSWFCHRRLKDKKRDEYVNGRLDHSSGIIQDRGSGLRQDSSGSIKERDYRNIDPREVESGLISSQEFLATDHVYDRRNHQNPYDACMEDTSSESSSSLQDMHFSENRGPYDTKLGQNGTITQINPRTTKNTVYKPSGYLKVKGESENPAILAVKRQLGRYYIEDGPLLATDFDLLPNGAFEFPSSKAVSEPVDVGDHPQQPRSPRISGAMKQPNLNVVNEVNNSKTSSQDPYMENATFKTMYGLERRNKKSRHQLYKSHFNSFRGQNSSSNIHRSSAENAGTIDCKRSKASSKLAVERMRPDSFTNHPGPNVGKLDNEQEKTCLHDNDNRTYKAPKNKIPSKTSNSKRGCIESPGARMAKVEKLGGQRKPKKEYPVGVKTDPTNESRVRLPSKSMSISRDRDPLRQ >KJB21807 pep chromosome:Graimondii2_0_v6:4:1046824:1051615:-1 gene:B456_004G014700 transcript:KJB21807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEQTEENKVSLDVNKKRTVKTPAQVMALEKFYKELRFPSDEMKAQIALQVGLTEKQISSWFCHRRLKDKKRDEYVNGRLDHSSGIIQDRGSGLRQDSSGSIKERDYRNIDPREVESGLISSQEFLATDHVYDRRNHQNPYDACMEDTSSESSSSLQDMHFSENRGPYDTKLGQNGTITQINPRTTKNTVYKPSGYLKVKGESENPAILAVKRQLGRYYIEDGPLLATDFDLLPNGAFEFPSSKAVSEPVDVGDHPQQPRSPRISGAMKQPNLNVVNEVNNSKTSSQDPYMENATFKTMYGLERRNKKSRHQLYKSHFNSFRGQNSSSNIHRSSAENAGTIDCKRSKASSKLAVERMRPDSFTNHPGPNVGKLDNEQEKTCLHDNDNRTYKAPKNKIPSKTSNSKRGCIESPGARMAKVEKLGGQRKPKKEYPVGVKTDPTNESRVAKQVNVDFPRPRSPPSMNPTKSYRASMDVPSSFSEDEVADTSSSSG >KJB21808 pep chromosome:Graimondii2_0_v6:4:1046903:1053011:-1 gene:B456_004G014700 transcript:KJB21808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRREPAEGVPSIVTGEEQTEENKVSLDVNKKRTVKTPAQVMALEKFYKELRFPSDEMKAQIALQVGLTEKQISSWFCHRRLKDKKRDEYVNGRLDHSSGIIQDRGSGLRQDSSGSIKERDYRNIDPREVESGLISSQEFLATDHVYDRRNHQNPYDACMEDTSSESSSSLQDMHFSENRGPYDTKLGQNGTITQINPRTTKNTVYKPSGYLKVKGESENPAILAVKRQLGRYYIEDGPLLATDFDLLPNGAFEFPSSKAVSEPVDVGDHPQQPRSPRISGAMKQPNLNVVNEVNNSKTSSQDPYMENATFKTMYGLERRNKKSRHQLYKSHFNSFRGQNSSSNIHRSSAENAGTIDCKRSKASSKLAVERMRPDSFTNHPGPNVGKLDNEQEKTCLHDNDNRTYKAPKNKIPSKTSNSKRGCIESPGARMAKVEKLGGQRKPKKEYPVGVKTDPTNESRVAKQVNVDFPRPRSPPSMNPTKSYRASMDVPSSFSEDEVADTSSSSG >KJB21805 pep chromosome:Graimondii2_0_v6:4:1046824:1051552:-1 gene:B456_004G014700 transcript:KJB21805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEKFYKELRFPSDEMKAQIALQVGLTEKQISSWFCHRRLKDKKRDEYVNGRLDHSSGIIQDRGSGLRQDSSGSIKERDYRNIDPREVESGLISSQEFLATDHVYDRRNHQNPYDACMEDTSSESSSSLQDMHFSENRGPYDTKLGQNGTITQINPRTTKNTVYKPSGYLKVKGESENPAILAVKRQLGRYYIEDGPLLATDFDLLPNGAFEFPSSKAVSEPVDVGDHPQQPRSPRISGAMKQPNLNVVNEVNNSKTSSQDPYMENATFKTMYGLERRNKKSRHQLYKSHFNSFRGQNSSSNIHRSSAENAGTIDCKRSKASSKLAVERMRPDSFTNHPGPNVGKLDNEQEKTCLHDNDNRTYKAPKNKIPSKTSNSKRGCIESPGARMAKVEKLGGQRKPKKEYPVGVKTDPTNESRVAKQVNVDFPRPRSPPSMNPTKSYRASMDVPSSFSEDEVADTSSSSG >KJB21806 pep chromosome:Graimondii2_0_v6:4:1047304:1051166:-1 gene:B456_004G014700 transcript:KJB21806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEQTEENKVSLDVNKKRTVKTPAQVMALEKFYKELRFPSDEMKAQIALQVGLTEKQISSWFCHRRLKDKKRDEYVNGRLDHSSGIIQDRGSGLRQDSSGSIKERDYRNIDPREVESGLISSQEFLATDHVYDRRNHQNPYDACMEDTSSESSSSLQDMHFSENRGPYDTKLGQNGTITQINPRTTKNTVYKPSGYLKVKGESENPAILAVKRQLGRYYIEDGPLLATDFDLLPNGAFEFPSSKAVSEPVDVGDHPQQPRSPRISGAMKQPNLNVVNEVNNSKTSSQDPYMENATFKTMYGLERRNKKSRHQLYKSHFNSFRGQNSSSNIHRSSAENAGTIDCKRSKASSKLAVERMRPDSFTNHPGPNVGKLDNEQEKTCLHDNDNRTYKAPKNKIPSKTSNSKRGCIESPGARMAKVEKLGGQRKPKKEYPVGVKTDPTNESRVRLPSKSMSISRDRDPLRQ >KJB25224 pep chromosome:Graimondii2_0_v6:4:49354478:49357089:1 gene:B456_004G182100 transcript:KJB25224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSCSISETITPSLLFFFLFCFIGFATATFNVTTLAFDEGYNPLFGDGNLVRSPDGHSVRLLLDVYTGSGFISSRMYQHGFFSAKIKLPSDYTAGIVVAFYTSNGDVFEKNHDELDIEFLGNIEGKPWRFQTNVYGNGSTNRGREERYNLWFDPSKEFHRYSILWTAKNIIFYVDEVPIREVVRNDAMGGDYPSKPMSLYATIWDASSWATNGGKYKVNYEYAPFTSEFKDLVLDGCAIDPIQKFPNSTACSETDTWLESRDYAVITPKSRSAMRRFRQRYMYYSYCYDNVRYPITPPECAVDSNEKQRFRNTGRLRFGGSHRKQARMERARRKRRSRAAAVSDDQTDM >KJB25227 pep chromosome:Graimondii2_0_v6:4:49354650:49357089:1 gene:B456_004G182100 transcript:KJB25227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQHGFFSAKIKLPSDYTAGIVVAFYTSNGDVFEKNHDELDIEFLGNIEGKPWRFQTNVYGNGSTNRGREERYNLWFDPSKEFHRYSILWTAKNIIFYVDEVPIREVVRNDAMGGDYPSKPMSLYATIWDASSWATNGGKYKVNYEYAPFTSEFKDLVLDGCAIDPIQKFPNSTACSETDTWLESRDYAVITPKSRSAMRRFRQRYMYYSYCYDNVRYPITPPECAVDSNEKQRFRNTGRLRFGGSHRKQARMERARRKRRSRAAAVSDDQTDM >KJB25226 pep chromosome:Graimondii2_0_v6:4:49355196:49356865:1 gene:B456_004G182100 transcript:KJB25226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANGIELFYLCLVLWSNQTSNGDVFEKNHDELDIEFLGNIEGKPWRFQTNVYGNGSTNRGREERYNLWFDPSKEFHRYSILWTAKNIIFYVDEVPIREVVRNDAMGGDYPSKPMSLYATIWDASSWATNGGKYKVNYEYAPFTSEFKDLVLDGCAIDPIQKFPNSTACSETDTWLESRDYAVITPKSRSAMRRFRQRYMYYSYCYDNVRYPITPPECAVDSNEKQRFRNTGRLRFGGSHRKQARMERARRKRRSRAAAVSDDQTDM >KJB25225 pep chromosome:Graimondii2_0_v6:4:49354599:49357089:1 gene:B456_004G182100 transcript:KJB25225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTTLSLAMAILFVPRMVIASASSSTFILTSNGDVFEKNHDELDIEFLGNIEGKPWRFQTNVYGNGSTNRGREERYNLWFDPSKEFHRYSILWTAKNIIFYVDEVPIREVVRNDAMGGDYPSKPMSLYATIWDASSWATNGGKYKVNYEYAPFTSEFKDLVLDGCAIDPIQKFPNSTACSETDTWLESRDYAVITPKSRSAMRRFRQRYMYYSYCYDNVRYPITPPECAVDSNEKQRFRNTGRLRFGGSHRKQARMERARRKRRSRAAAVSDDQTDM >KJB26678 pep chromosome:Graimondii2_0_v6:4:59140392:59141367:1 gene:B456_004G254400 transcript:KJB26678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGQLVPAMFIFGDSIVDVGNNNDLVTLIKANFPPYGRDFAHHEPTGRFCNGKLAIDIIVTDHQPAYMSDKANGEMLLIGAEPILHQLLPVIIMLQQLYTVAITGKSNASSIISNGIHLISFGSSDFLQNYYINPLLFTSYTPNMFSDILIESYDNFIQNLYELGARRIGVTTLPPLGCLPAAITAFGHGSNQCVSRLNNNAISFNNKLNHRSQRLKRRFSNLSCLRHL >KJB26677 pep chromosome:Graimondii2_0_v6:4:59140392:59141726:1 gene:B456_004G254400 transcript:KJB26677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGQLVPAMFIFGDSIVDVGNNNDLVTLIKANFPPYGRDFAHHEPTGRFCNGKLAIDIIVTDHQPAYMSDKANGEMLLIGAEPILHQLLPVIIMLQQLYTVAITGKSNASSIISNGIHLISFGSSDFLQNYYINPLLFTSYTPNMFSDILIESYDNFIQNLYELGARRIGVTTLPPLGCLPAAITAFGHGSNQCVSRLNNNAISFNNKLNHRSQRLKRRFSNLSFAEVRRACCGTRLLETSILCNQHSIGTCSNASQYVFWDSFHPTEAAKKILAANLSNYS >KJB22918 pep chromosome:Graimondii2_0_v6:4:8089299:8089660:1 gene:B456_004G073700 transcript:KJB22918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLHLFIVITVVFFLVRAHQCESSRVLNEDTAALKSFEKEVVPLPPSGHNGCTYIPDAGGTPCTNERALVDHVMTPPRRLLPDSVPPLISNESTLFSLLQQLVN >KJB21920 pep chromosome:Graimondii2_0_v6:4:1608987:1610547:1 gene:B456_004G021400 transcript:KJB21920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPHEMTSDILCRLSVKDLLRFRSVSKPWCRTIDDPYFIKLHLSHSVKTNTNHSLILSHGEDNFFSVNCALFETTQRLNHPFGDQRKTLQILGSCNGLLALVDDKDRIFLWNPSTRKFQVLPFNEIGFSSPSSTYYGFGYDPISDDYKLIRMVQSHGNNDEYFHSEAKVYSLRSNCWRRIKDVCFYHKFSREFGFLANNALHWMVFKTPQSRNQELVGFDLGSEEFRFLELPECRLDKVLWFHINAVGGDICLTSTYRETDNVVVDVWIMKEYGVKQSWFKLISWKEPDLIPCSTVALPLALSKDGGEVLFFIEYKWFNWGRRIDSFVWYYLRSQEVENVVIRDIPTSFEVNWYVDSLVPLNSNA >KJB24073 pep chromosome:Graimondii2_0_v6:4:33402585:33403647:1 gene:B456_004G127100 transcript:KJB24073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQQRHGIQNNNPLFLGFNHAVHLHCPYPRHPETRRNSDPSPPQGNSPLQVVPHHIPHPALIPSLVHPP >KJB24353 pep chromosome:Graimondii2_0_v6:4:39775042:39787852:-1 gene:B456_004G141400 transcript:KJB24353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVQHELLVVYVNQLLEKEDSGIKVLFRDDKVDDLSRTYRVYNKISQGLDLFANVFKQHVTIEGTAFVQQAEEATSNQVLVKNILELHDSFQNHNLFQKLCRNVQYALKEAFEVFCNKTVNGSPSAEILASFADHILRKGGSEKLSDEVIEETLEKVVKLLQYVIDKDVFAEFYRKKLARRLLFDRGTNDDHERSILSKLKQQFGAPLTSKMEGMVTDVVLAKENQSSFQEYLRNNPDVHPGLDFTVTVLTTGFWPSYKWFDLSLPSEMCVEVFKGFYETKTKHRKLTWIFSLGTSHINGKFEPKPIELIVSTHQAAVLLLFNASERLSYSEIVAQLNLAHDDLIRLLHSLSCAKYKILNKEPSSKSISQSDSFKFNPNFTDKMRRIKVPLPPVDERKKVIEDVDKDRPYAIDAAIVRIMKSRKVMTHQQLISETIEQLSRMFKQRQKEG >KJB24354 pep chromosome:Graimondii2_0_v6:4:39783545:39787852:-1 gene:B456_004G141400 transcript:KJB24354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVQHELLVVYVNQLLEKEDSGIKVLFRDDKVDDLSRTYRVYNKISQGLDLFANVFKQHVTIEGTAFVQQAEEATSNQVLVKNILELHDSFQNHNLFQKLCRNVQYALKEAFEVFCNKTVNGSPSAEILASFADHILRKGGSEKLSDEVIEETLEKVVKLLQYVIDKDVFAEFYRKKLARRLLFDRGTNDDHERSILSKLKQQFGAPLTSKMEGMVTDVVLAKENQSSFQEYLRNNPDVHPGLDFTVTVLTTGFWPSYKWFDLSLPSEMCVEVFKGFYETKTKHRKLTWIFSLGTSHINGKFEPKPIELIVSTHQAAVLLLFNASERLSYSEIVAQLNLAHDDLIRLLHSLSCAKYKILNKEPSSKSISQSDSFKFNPNFTDKMRRIKVPLPPVDERKKVIEDVDKDRPYAIDAAIVRIMKSRKVMTHQQLISETIEQLSRMFKPNIKAIKKRVEDLITRDYMERDRDNSHMFRYLA >KJB24021 pep chromosome:Graimondii2_0_v6:4:32669524:32670144:-1 gene:B456_004G125400 transcript:KJB24021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVFSKSPEHCAKQGGLTIDKSYKKLFFAFSSFFSIVLAFIFLIWLTLHPAKPQFSLREADIYQLSLSGTHLLNSSIQFTMLSRNPNKKVGIYYDELQAFAAYKGQQITFDSFLPPFYQGHEESNLLTTSLQGTGLPVALSFGYEVEHDQTAGRIVLNLKVNGKLRWKVGTWVSGRYRFNVNCVSIMSFGPNAPTAPLSSNQAAQ >KJB23243 pep chromosome:Graimondii2_0_v6:4:12075258:12075584:1 gene:B456_004G089500 transcript:KJB23243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRMFGFQINSKIRFGFADEELKRIKTSFTSRFKVLSYGQFICMIPVSKCWNLPTSLAIIILVKQAKQEKSHLRFSFKEEIQTRRETQLTSMVVGCYFESKIKIRAN >KJB23035 pep chromosome:Graimondii2_0_v6:4:9170170:9173787:1 gene:B456_004G078100 transcript:KJB23035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGNSKNSKLSWPKTLVKKWFNIKSKTEDFHADDVDYGGVDEDWRHQFSEREEACTVKKSRTERLSKTYSDRARQGKTDVDGSQFTDVHNYRIFVATWNVAGKSPPSYLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWLALIRKTLNSLPGTSGCCYMPSPIPDPLVELDADFEGSTRQKTSSFFHRRSFQSLSRSMRMDNDMAMTQPQLDRRFSVCDRVIFGNRPSDYDPNFKWGSSDDENGPGDSPGNTQYHSQYSPMSYGGSFAIEENNKQMGHSRYCLVASKQMVGIFLTVWVKSELRDDVRNMKVSCVGRGLMGYLGNKGSVSISMSLHQTSFCFVCSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGMGDETSPETILEHDRIIWLGDLNYRIALSYRSAKALVEMRNWKALLENDQLRIEQRQGRVFEGWCEGKIYFPPTYKYSNNSDRYAGEDRHPKKKRRTPAWCDRILWYGRGLYQLSYVRGESKFSDHRPVYSVFSAKVESMNISRIRKNMSCSSARIEVEELLPQSHGYTELSFF >KJB23037 pep chromosome:Graimondii2_0_v6:4:9170170:9174625:1 gene:B456_004G078100 transcript:KJB23037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGNSKNSKLSWPKTLVKKWFNIKSKTEDFHADDVDYGGVDEDWRHQFSEREEACTVKKSRTERLSKTYSDRARQGKTDVDGSQFTDVHNYRIFVATWNVAGKSPPSYLNLEDWLHTSPPADIYVLGSLLDLLIWSCSFQEIVPLNAGNVLGTEDNGPARKWLALIRKTLNSLPGTSGCCYMPSPIPDPLVELDADFEGSTRQKTSSFFHRRSFQSLSRSMRMDNDMAMTQPQLDRRFSVCDRVIFGNRPSDYDPNFKWGSSDDENGPGDSPGNTQYHSQYSPMSYGGSFAIEENNKQMGHSRYCLVASKQMVGIFLTVWVKSELRDDVRNMKVSCVGRGLMGYLGNKGSVSISMSLHQTSFCFVCSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGMGDETSPETILEHDRIIWLGDLNYRIALSYRSAKALVEMRNWKALLENDQLRIEQRQGRVFEGWCEGKIYFPPTYKYSNNSDRYAGEDRHPKKKRRTPAWCDRILWYGRGLYQLSYVRGESKFSDHRPVYSVFSAKVESMNISRIRKNMSCSSARIEVEELLPQSHGYTELSFF >KJB23036 pep chromosome:Graimondii2_0_v6:4:9170170:9173601:1 gene:B456_004G078100 transcript:KJB23036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGNSKNSKLSWPKTLVKKWFNIKSKTEDFHADDVDYGGVDEDWRHQFSEREEACTVKKSRTERLSKTYSDRARQGKTDVDGSQFTDVHNYRIFVATWNVAGKSPPSYLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWLALIRKTLNSLPGTSGCCYMPSPIPDPLVELDADFEGSTRQKTSSFFHRRSFQSLSRSMRMDNDMAMTQPQLDRRFSVCDRVIFGNRPSDYDPNFKWGSSDDENGPGDSPGNTQYHSQYSPMSYGGSFAIEENNKQMGHSRYCLVASKQMVGIFLTVWVKSELRDDVRNMKVSCVGRGLMGYLGNKGSVSISMSLHQTSFCFVCSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGMGDETSPETILEHDRIIWLGDLNYRIALSYRSAKALVEMRNWKALLENDQLRIEQRQGRVFEGWCEGKIYFPPTYKYSNNSDRYAGEDRHPKKKRRTPAWCDRILWYGRGLYQLSYVRGESKFSDHRPVYSVFSAKVESMNISRIRKNMSCSSARIEVEELLPQSHGYTELSFF >KJB23443 pep chromosome:Graimondii2_0_v6:4:16187138:16191125:-1 gene:B456_004G098000 transcript:KJB23443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHHGFTEKKPKTKIVCTLGPASRSVPMIEKLLMAGMNVARFNFSHGSHAYHQETLDNLRSAMVNTGVLCAVMLDTKGPEIRTGFLKEGKVQLKQGQEITITTDYDIKGDEKLISMSYKKLAEDVKPGMVILCADGTISFTVLSCDKEKGLVHCRCENSALLGERKNVNLPGVIVDLPTLTDKDKEDILEWGIPNQIDMIALSFVRKGSDLVEVRKLLGKHAKNIILMSKVENQEGVANFDDILTNSDAFMVARGDLGMEIPIEKIFLAQKVMVYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDVFKRIMEHSPVPMSPLESLASSAVRTANSAKAALILVLTRGGSTAKLVAKYRPGIPILSVVVPEIKTDSFDWSCSDETPARHSLVFRGLIPVLYAGSARASHEETTGEALEFAIQHAKTKGLCQNGDSIVALHRIGTASVIKILNVK >KJB26975 pep chromosome:Graimondii2_0_v6:4:60455793:60456903:-1 gene:B456_004G269500 transcript:KJB26975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLIRRLSRVADVSAQYNLLRSDSSSSSSGSRHRSSAASAARAESFRVAVKKTVPQGYVPVYVGEEMERFVVSAELLNHPVFVGLLNKSAQEYGYEQKGVLHIPCHVLVFERVMEALRLGVESRDLQDLLRSFSDDCLNDF >KJB24490 pep chromosome:Graimondii2_0_v6:4:41529091:41532091:1 gene:B456_004G147300 transcript:KJB24490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCRQLVVLVDVLLVLAFCGHIAWTVKASSQCKQALSREIRPHSVAITEFGAVGDGVTLNTKAFQNAIFYLNSFSDKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAVILGSDNPDDWPVVDPLPSYGRGVELPGGRHRSLIYGRNLTDVIITGDNGTIDGQGSVWWNWFKRKTLDYTRPHLVELMNSTGVVISNLTFSNSPFWTIHPVYCSHVIVQNVTILAPLDSPNTDGINPDSSNDVCIEDCYISTGDDLIAIKSGWDEYGISFARPSTNIIIRRLIGHNRNGSGIAIGSEMSGGVSEVYAENLYFFDSSTAITIKTARGRGGYVRNIYILNVTLVGVDTAIRFDSTFSPHPDDFYDPNALPVIERITIKDVIGNNIKVAGLLEGIEGDTFHHVCLLNIALTVTSGSPWNCSYIQGYSAMVSPETCEPLKESIYPNHSSDCYHLSNHLRSSVGILILAISQAQQQPHHQ >KJB24487 pep chromosome:Graimondii2_0_v6:4:41528557:41532067:1 gene:B456_004G147300 transcript:KJB24487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFSLVDVLLVLAFCGHIAWTVKASSQCKQALSREIRPHSVAITEFGAVGDGVTLNTKAFQNAIFYLNSFSDKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAVILGSDNPDDWPVVDPLPSYGRGVELPGGRHRSLIYGRNLTDVIITGDNGTIDGQGSVWWNWFKRKTLDYTRPHLVELMNSTGVVISNLTFSNSPFWTIHPVYCSHVIVQNVTILAPLDSPNTDGINPDSSNDVCIEDCYISTGDDLIAIKSGWDEYGISFARPSTNIIIRRLIGHNRNGSGIAIGSEMSGGVSEVYAENLYFFDSSTAITIKTARGRGGYVRNIYILNVTLVGVDTAIRFDSTFSPHPDDFYDPNALPVIERITIKDVIGNNIKVAGLLEGIEGDTFHHVCLLNIALTVTSGSPWNCSYIQGYSAMVSPETCEPLKESIYPNHSSDCYHLSNHLRSSGNQNKGSWLHSW >KJB24489 pep chromosome:Graimondii2_0_v6:4:41528997:41531634:1 gene:B456_004G147300 transcript:KJB24489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFSLVDVLLVLAFCGHIAWTVKASSQCKQALSREIRPHSVAITEFGAVGDGVTLNTKAFQNAIFYLNSFSDKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAVILGSDNPDDWPVVDPLPSYGRGVELPGGRHRSLIYGRNLTDVIITGDNGTIDGQGSVWWNWFKRKTLDYTRPHLVELMNSTGVVISNLTFSNSPFWTIHPVYCSHVIVQNVTILAPLDSPNTDGINPDSSNDVCIEDCYISTGDDLIAIKSGWDEYGISFARPSTNIIIRRLIGHNRNGSGIAIGSEMSGGVSEVYAENLYFFDSSTAITIKTARGRGGYVRNIYILNVTLVGVDTAIRFDSTFSPHPDDFYDPNALPVIERITIKDVIGNNIKVAGLLEGIEGDTFHHVCLLNIALTVTSGSPWNCSYIQGYSAMVSPETCEPLKESIYPNHSSDCYHLSNHLRSSGNQNKGSWLHSW >KJB24491 pep chromosome:Graimondii2_0_v6:4:41529296:41532033:1 gene:B456_004G147300 transcript:KJB24491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCRQLVVLVDVLLVLAFCGHIAWTVKASSQCKQALSREIRPHSVAITEFGAVGDGVTLNTKAFQNAIFYLNSFSDKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAVILGSDNPDDWPVVDPLPSYGRGVELPGGRHRSLIYGRNLTDVIITGDNGTIDGQGSVWWNWFKRKTLDYTRPHLVELMNSTGVVISNLTFSNSPFWTIHPVYCSHVIVQNVTILAPLDSPNTDGINPDSSNDVCIEDCYISTGDDLIAIKSGWDEYGISFARPSTNIIIRRLIGHNRNGSGIAIGSEMSGGVSEVYAENLYFFDSSTAITIKTARGRGGYVRNIYILNVTLVGVDTAIRFDSTFSPHPDDFYDPNALPVIERITIKDVIGNNIKVAGLLEGIEGDTFHHVCLLNIALTVTSGSPWNCSYIQGYSAMVSPETCEPLKESIYPNHSSDCYHLSNHLRSSGNQNKGSWLHSW >KJB24488 pep chromosome:Graimondii2_0_v6:4:41528557:41532105:1 gene:B456_004G147300 transcript:KJB24488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFSLVDVLLVLAFCGHIAWTVKASSQCKQALSREIRPHSVAITEFGAVGDGVTLNTKAFQNAIFYLNSFSDKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAVILGSDNPDDWPVVDPLPSYGRGVELPGGRHRSLIYGRNLTDVIITGDNGTIDGQGSVWWNWFKRKTLDYTRPHLVELMNSTGVVISNLTFSNSPFWTIHPVYCSHVIVQNVTILAPLDSPNTDGINPDSSNDVCIEDCYISTGDDLIAIKSGWDEYGISFARPSTNIIIRRLIGHNRNGSGIAIGSEMSGGVSEVYAENLYFFDSSTAITIKTARGRGGYVRNIYILNVTLVGVDTAIRFDSTFSPHPDDFYDPNALPVIERITIKDVIGNNIKVAGLLEGIEGDTFHHVCLLNIALTVTSGSPWNCSYIQGYSAMVSPETCEPLKESIYPNHSSDCYHLSNHLRSSVGILILAISQAQQQPHHQ >KJB23055 pep chromosome:Graimondii2_0_v6:4:9433952:9439483:-1 gene:B456_004G079500 transcript:KJB23055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNMSSHSIHHLFLCSSPIPSSSLSFSYNHVSIPFAGTWQSWGKDKGHNVDTHRLCRAISKPRTQEYAGVFQNGLPVIKWNEVVDDDIQEGEAFKSLELSKIKEHVENIRSVLGSMEDGEISCSAYDTAWVALIKDVNGSGSPQFPSTLEWISDNQLPDGSWGDKHMFVAHDRLINTLACVVALKTWNLHPDKCRKGLSFFKENISKLEDEKAEHMPIGFEVVFPSLLEIARSLNIEVPYESPIFQNIYEQRDLKLTRIPKEIMHNVATTLLHSLEGMLDLDWKKLLKLQCQDGSFLFSPSSTAYAVMQTKDENCLNYLTKIVQRFNGGVPNVYPVDLFEHIWAIDRLQRLGISRYFNPEIKQCLDYTYRYWTEEGICWARNTRVQDIDDTAMGFRLLRLHGYEVSADVFRHFEKGGEFFCFVGQSNQAVTGIFNLYRASQLRFPGDQILEDANRFSSDFLREKQASNQLLDKWIISKDLSGEVGFALKFPWLASLPRVETRFYIEQYGGDDEVWIGKTLYRMPYVNNNAYLELAKLDFNNCQALHQMEWNGMQRWYWEMGLGDFGMSRRSLLLSYFMAAASIFEPERSQERLAWAKTAFLVETIASSFHSGIHRPSDYELRKRFVQVFTSLDDAPFSHFNGRKLDSNRTMQKLIDALLRTLNYLSLDALVAHGRDISRDIRRAWEKWMLKWAEEGDRHQGVAELVVQTINLTSGYWPTEELVPHPQYPRLSNLINTVCHQLCHYQKQEVHDNGCFNNTDTDQRRTREIESAMQELVQVVLENSSDDIDSRFKQTFLTVAQSFYYAAHCDLETIIFHIAKVLFEKVH >KJB23052 pep chromosome:Graimondii2_0_v6:4:9433831:9439509:-1 gene:B456_004G079500 transcript:KJB23052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSKKGKLLSGSPQFPSTLEWISDNQLPDGSWGDKHMFVAHDRLINTLACVVALKTWNLHPDKCRKGLSFFKENISKLEDEKAEHMPIGFEVVFPSLLEIARSLNIEVPYESPIFQNIYEQRDLKLTRIPKEIMHNVATTLLHSLEGMLDLDWKKLLKLQCQDGSFLFSPSSTAYAVMQTKDENCLNYLTKIVQRFNGGVPNVYPVDLFEHIWAIDRLQRLGISRYFNPEIKQCLDYTYRYWTEEGICWARNTRVQDIDDTAMGFRLLRLHGYEVSADVFRHFEKGGEFFCFVGQSNQAVTGIFNLYRASQLRFPGDQILEDANRFSSDFLREKQASNQLLDKWIISKDLSGEFPWLASLPRVETRFYIEQYGGDDEVWIGKTLYRMPYVNNNAYLELAKLDFNNCQALHQMEWNGMQRWYWEMGLGDFGMSRRSLLLSYFMAAASIFEPERSQERLAWAKTAFLVETIASSFHSGIHRPSDYELRKRFVQVFTSLDDAPFSHFNGRKLDSNRTMQKLIDALLRTLNYLSLDALVAHGRDISRDIRRAWEKWMLKWAEEGDRHQGVAELVVQTINLTSGYWPTEELVPHPQYPRLSNLINTVCHQLCHYQKQEVHDNGCFNNTDTDQRRTREIESAMQELVQVVLENSSDDIDSRFKQTFLTVAQSFYYAAHCDLETIIFHIAKVLFEKVH >KJB23054 pep chromosome:Graimondii2_0_v6:4:9433831:9439509:-1 gene:B456_004G079500 transcript:KJB23054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNMSSHSIHHLFLCSSPIPSSSLSFSYNHVSIPFAGTWQSWGKDKGHNVDTHRLCRAISKPRTQEYAGVFQNGLPVIKWNEVVDDDIQEGEAFKSLELSKIKEHVENIRSVLGSMEDGEISCSAYDTAWVALIKDVNGSGSPQFPSTLEWISDNQLPDGSWGDKHMFVAHDRLINTLACVVALKTWNLHPDKCRKGLSFFKENISKLEDEKAEHMPIGFEVVFPSLLEIARSLNIEVPYESPIFQNIYEQRDLKLTRIPKEIMHNVATTLLHSLEGMLDLDWKKLLKLQCQDGSFLFSPSSTAYAVMQTKDENCLNYLTKIVQRFNGGVPNVYPVDLFEHIWAIDRLQRLGISRYFNPEIKQCLDYTYRYWTEEGICWARNTRVQDIDDTAMGFRLLRLHGYEVSADVFRHFEKGGEFFCFVGQSNQAVTGIFNLYRASQLRFPGDQILEDANRFSSDFLREKQASNQLLDKWIISKDLSGEFPWLASLPRVETRFYIEQYGGDDEVWIGKTLYRMPYVNNNAYLELAKLDFNNCQALHQMEWNGMQRWYWEMGLGDFGMSRRSLLLSYFMAAASIFEPERSQERLAWAKTAFLVETIASSFHSGIHRPSDYELRKRFVQVFTSLDDAPFSHFNGRKLDSNRTMQKLIDALLRTLNYLSLDALVAHGRDISRDIRRAWEKWMLKWAEEGDRHQGVAELVVQTINLTSGYWPTEELVPHPQYPRLSNLINTVCHQLCHYQKQEVHDNGCFNNTDTDQRRTREIESAMQELVQVVLENSSDDIDSRFKQTFLTVAQSFYYAAHCDLETIIFHIAKVLFEKVH >KJB23053 pep chromosome:Graimondii2_0_v6:4:9433952:9438729:-1 gene:B456_004G079500 transcript:KJB23053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSKKGKLLSGSPQFPSTLEWISDNQLPDGSWGDKHMFVAHDRLINTLACVVALKTWNLHPDKCRKGLSFFKENISKLEDEKAEHMPIGFEVVFPSLLEIARSLNIEVPYESPIFQNIYEQRDLKLTRIPKEIMHNVATTLLHSLEGMLDLDWKKLLKLQCQDGSFLFSPSSTAYAVMQTKDENCLNYLTKIVQRFNGGVPNVYPVDLFEHIWAIDRLQRLGISRYFNPEIKQCLDYTYRYWTEEGICWARNTRVQDIDDTAMGFRLLRLHGYEVSADVFRHFEKGGEFFCFVGQSNQAVTGIFNLYRASQLRFPGDQILEDANRFSSDFLREKQASNQLLDKWIISKDLSGEVGFALKFPWLASLPRVETRFYIEQYGGDDEVWIGKTLYRMPYVNNNAYLELAKLDFNNCQALHQMEWNGMQRWYWEMGLGDFGMSRRSLLLSYFMAAASIFEPERSQERLAWAKTAFLVETIASSFHSGIHRPSDYELRKRFVQVFTSLDDAPFSHFNGRKLDSNRTMQKLIDALLRTLNYLSLDALVAHGRDISRDIRRAWEKWMLKWAEEGDRHQGVAELVVQTINLTSGYWPTEELVPHPQYPRLSNLINTVCHQLCHYQKQEVHDNGCFNNTDTDQRRTREIESAMQELVQVVLENSSDDIDSRFKQTFLTVAQSFYYAAHCDLETIIFHIAKVLFEKVH >KJB21672 pep chromosome:Graimondii2_0_v6:4:717383:720539:-1 gene:B456_004G010000 transcript:KJB21672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPKGPNEPEQPAESDERINLDEEDNDPEETMEEEVEYEEVEVEEEEEEEEEEEEEEEEEIEEEDQDVENANGDDDAEGEDEKKKRAELLARPPHGSEVYIGGIPHDVSQEDLKDFCESVGEVTEVRIMKGKDTSENKGFAFVTFRSVELASKAIDELNNSEFKGRKIRCSTSQSKHRLFIGNIPRNWGEEDLRKVVSEVGLGVTGLELVKDMKNSSSNRGFAFVEYYNNACAEYSRQKMMSPEFRLGDNAPSVSWADPKNADSPAASQVKAVYVKNLPKDVTQDQLKKLFEHHGKITKVVLPPAKPGQERNRIGFVHFAERSCAMKALKSSEKYELDGQVVECSLAKPQTDQKTSGGSSSQNSGFLPSYPPQVGYGPVGGAYGALGPGYGVAGLPQPVIYGRGPTPTGMSMMPMLLPDGRVGYVLQQPGSQLQSPPIHQSSNRGGGKSGSSSSSRGKHGSNDNSNRRYRPY >KJB21670 pep chromosome:Graimondii2_0_v6:4:717045:722307:-1 gene:B456_004G010000 transcript:KJB21670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPKGPNEPEQPAESDERINLDEEDNDPEETMEEEVEYEEVEVEEEEEEEEEEEEEEEEEIEEEDQDVENANGDDDAEGEDEKKKRAELLARPPHGSEVYIGGIPHDVSQEDLKDFCESVGEVTEVRIMKGKDTSENKGFAFVTFRSVELASKAIDELNNSEFKGRKIRCSTSQSKHRLFIGNIPRNWGEEDLRKVVSEVGLGVTGLELVKDMKNSSSNRGFAFVEYYNNACAEYSRQKMMSPEFRLGDNAPSVSWADPKNADSPAASQVKAVYVKNLPKDVTQDQLKKLFEHHGKITKVVLPPAKPGQERNRIGFVHFAERSCAMKALKSSEKYELDGQVVECSLAKPQTDQKTSGGSSSQNSGFLPSYPPQVGYGPVGGAYGALGPGYGVAGLPQPVIYGRGPTPTGMSMMPMLLPDGRVGYVLQQPGSQLQSPPIHQSSNRGGGKSGSSSSSRGKHGSNDNSNRRYRPY >KJB21671 pep chromosome:Graimondii2_0_v6:4:717151:722126:-1 gene:B456_004G010000 transcript:KJB21671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPKGPNEPEQPAESDERINLDEEDNDPEETMEEEVEYEEVEVEEEEEEEEEEEEEEEEEIEEEDQDVENANGDDDAEGEDEKKKRAELLARPPHGSEVYIGGIPHDVSQEDLKDFCESVGEVTEVRIMKGKDTSENKGFAFVTFRSVELASKAIDELNNSEFKGRKIRCSTSQSKHRLFIGNIPRNWGEEDLRKVVSEVGLGVTGLELVKDMKNSSSNRGFAFVEYYNNACAEYSRQKMMSPEFRLGDNAPSVSWADPKNADSPAASQVKAVYVKNLPKDVTQDQLKKLFEHHGKITKVVLPPAKPGQERNRIGFVHFAERSCAMKALKSSEKYELDGQVVECSLAKPQTDQKTSGGSSSQNSGFLPSYPPQVGYGPVGGAYGALGPGYGVAGLPQPVIYGRGPTPTGMSMMPMLLPDGRVGYVLQQPGSQLQSPPIHQSSNRGGGKSGSSSSSRGKHGSNDNSNRRYRPY >KJB21674 pep chromosome:Graimondii2_0_v6:4:718209:722126:-1 gene:B456_004G010000 transcript:KJB21674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPKGPNEPEQPAESDERINLDEEDNDPEETMEEEVEYEEVEVEEEEEEEEEEEEEEEEEIEEEDQDVENANGDDDAEGEDEKKKRAELLARPPHGSEVYIGGIPHDVSQEDLKDFCESVGEVTEVRIMKGKDTSENKGFAFVTFRSVELASKAIDELNNSEFKGRKIRCSTSQSKHRLFIGNIPRNWGEEDLRKVVSEVGLGVTGLELVKDMKNSSSNRGFAFVEYYNNACAEYSRQKMMSPEFRLGDNAPSVSWADPKNADSPAASQVKAVYVKNLPKDVTQDQLKKLFEHHGKITKVVLPPAKPGQERNRIGFVHFAERSCAMKALKSSEKYELDGQVVECSLAKPQTDQKTSGGSSSQNSGFLPSYPPQVGYGPVGGAYGALGPGYGVAGLPQVRYLSVHV >KJB21673 pep chromosome:Graimondii2_0_v6:4:717290:722170:-1 gene:B456_004G010000 transcript:KJB21673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKDTSENKGFAFVTFRSVELASKAIDELNNSEFKGRKIRCSTSQSKHRLFIGNIPRNWGEEDLRKVVSEVGLGVTGLELVKDMKNSSSNRGFAFVEYYNNACAEYSRQKMMSPEFRLGDNAPSVSWADPKNADSPAASQVKAVYVKNLPKDVTQDQLKKLFEHHGKITKVVLPPAKPGQERNRIGFVHFAERSCAMKALKSSEKYELDGQVVECSLAKPQTDQKTSGGSSSQNSGFLPSYPPQVGYGPVGGAYGALGPGYGVAGLPQPVIYGRGPTPTGMSMMPMLLPDGRVGYVLQQPGSQLQSPPIHQSSNRGGGKSGSSSSSRGKHGSNDNSNRRYRPY >KJB21669 pep chromosome:Graimondii2_0_v6:4:717290:721005:-1 gene:B456_004G010000 transcript:KJB21669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPKGPNEPEQPAESDERINLDEEDNDPEETMEEEVEYEEVEVEEEEEEEEEEEEEEEEEIEEEDQDVENANGDDDAEGEDEKKKRAELLARPPHGSEVYIGGIPHDVSQEDLKDFCESVGEVTEVRIMKGKDTSENKGFAFVTFRSVELASKAIDELNNSEFKGRKIRCSTSQSKHRLFIGNIPRNWGEEDLRKVVSEVGLGVTGLELVKDMKNSSSNRGFAFVEYYNNACAEYSRQKMMSPEFRLGDNAPSVSWADPKNADSPAASQVKAVYVKNLPKDVTQDQLKKLFEHHGKITKVVLPPAKPGQERNRIGFVHFAERSCAMKALKSSEKYELDGQVVECSLAKPQTDQKTSGGSSSQNSGFLPSYPPQVGYGPVGGAYGALGPGYGVAGLPQPVIYGRGPTPTGMSMMPMLLPDGRVGYVLQQPGSQLQSPPIHQSSNRGGGKSGSSSSSRGKHGSNDNSNRRYRPY >KJB24287 pep chromosome:Graimondii2_0_v6:4:38272903:38274428:-1 gene:B456_004G137000 transcript:KJB24287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHEDKHEESLLEKISEKVKGHDLSSSSSDSDDDKPSESSMKAKVFRLFGRERPVHDVFGGGKQADIFLWRNKKISAGALGVATVIWVLFELLEYHLLTLVCHLLILALALLFLWSNAATFIHKSPPRIPEVQIPKDPVLEFAQALRFEINRGFAVLRDIASGRDLKKFLSVCDRWLVGLVYCGKLVQLLDTVLHSIRTTAHPACSV >KJB24288 pep chromosome:Graimondii2_0_v6:4:38272432:38274540:-1 gene:B456_004G137000 transcript:KJB24288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHEDKHEESLLEKISEKVKGHDLSSSSSDSDDDKPSESSMKADIFLWRNKKISAGALGVATVIWVLFELLEYHLLTLVCHLLILALALLFLWSNAATFIHKSPPRIPEVQIPKDPVLEFAQALRFEINRGFAVLRDIASGRDLKKFLSVIAGLWVLSIVGNWCNFLTLFYIVFVLLHTLPVLYEKYEDKVDQLTEKAWHEIKKQYAVFDAKVLSKIPKRPLKEKEKEKKKD >KJB24285 pep chromosome:Graimondii2_0_v6:4:38272374:38274671:-1 gene:B456_004G137000 transcript:KJB24285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHEDKHEESLLEKISEKVKGHDLSSSSSDSDDDKPSESSMKAKVFRLFGRERPVHDVFGGGKQADIFLWRNKKISAGALGVATVIWVLFELLEYHLLTLVCHLLILALALLFLWSNAATFIHKSPPRIPEVQIPKDPVLEFAQALRFEINRGFAVLRDIASGRDLKKFLSVIAGLWVLSIVGNWCNFLTLFYIVFVLLHTLPVLYEKYEDKVDQLTEKAWHEIKKQYAVFDAKVLSKIPKRPLKEKEKEKKKD >KJB24286 pep chromosome:Graimondii2_0_v6:4:38272432:38274540:-1 gene:B456_004G137000 transcript:KJB24286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHEDKHEESLLEKISEKVKGHDLSSSSSDSDDDKPSESSMKAKVFRLFGRERPVHDVFGGGKQADIFLWRNKKISAGALGVATVIWVLFELLEYHLLTLVCHLLILALALLFLWSNAATFIHKSPPRIPEVQIPKDPVLEFAQALRSSSL >KJB23375 pep chromosome:Graimondii2_0_v6:4:14426763:14434958:-1 gene:B456_004G095000 transcript:KJB23375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLKGKTGDQLNSSRCLKIYFQNENPKNEASTMTKPGVGSKAAVLTTAIDSKGSLKNMTKIKGKRDSSENKIVQRKALSDLSNLTSFVSSTKVYDGSKSMKNERSALLERVSMASAAKTANVSSRRSFKGKERDHLNQGAVVHTSKTESNKDVKTSLSVQSVTTKNSDRKSVLTTSRTTKNPLPPSRKSLPVLKKDKATEARRITSKSKVCESASHQASYGKNHISRNRGSDSFVMMATKSGSNSAARILPRSFKPSVKTALRPSNTQRASKSKCSSGLKKQVSVAAISSKKDIECTLPANNVAAISSEASQKDLMSNGNSDASINMPDILTRKKAKRRRSYTSLLMTRSKLLEGNGVKEEEKLPGIDKDDNPLEVAQYVDEIYQYYWTTEALNPSLENYMSIQKDITPQMRSILINWLIEVHLKFDLMQETLYLMVTLLDRYLSEVQIKKNEMQLVGLTTLLLASKYEDFWHPRVKDLISISAEAYTSEQMLKMLEHLAFYLIELCLVEYEALKFKPSLLCASAIYVARCTLQMSPPWTPLLCRHTRYDASEIRECAEMILRIQRAASSGQLKVTFEKYASHELSQVAMITPLGRLP >KJB23374 pep chromosome:Graimondii2_0_v6:4:14426755:14435018:-1 gene:B456_004G095000 transcript:KJB23374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLKGKTGDQLNSSRCLKIYFQNENPKNEASTMTKPGVGSKAAVLTTAIDSKGSLKNMTKIKGKRDSSENKIVQRKALSDLSNLTSFVSSTKVYDGSKSMKNERSALLERVSMASAAKTANVSSRRSFKGKERDHLNQGAVVHTSKTESNKDVKTSLSVQSVTTKNSDRKSVLTTSRTTKNPLPPSRKSLPVLKKDKATEARRITSKSKVCESASHQASYGKNHISRNRGSDSFVMMATKSGSNSAARILPRSFKPSVKTALRPSNTQRASKSKCSSGLKKQVSVAAISSKKDIECTLPANNVAAISSEASQKDLMSNGNSDASINMPDILTRKKAKRRRSYTSLLMTRSKLLEGNGVKEEEKLPGIDKDDNPLEVAQYVDEIYQYYWTTEALNPSLENYMSIQKDITPQMRSILINWLIEVHLKFDLMQETLYLMVTLLDRYLSEVQIKKNEMQLVGLTTLLLASKYEDFWHPRVKDLISISAEAYTSEQMLKMEKLVLKELKFRLNAPTPYVFMLRFIKAAQSDTKLEHLAFYLIELCLVEYEALKFKPSLLCASAIYVARCTLQMSPPWTPLLCRHTRYDASEIRECAEMILRIQRAASSGQLKVTFEKYASHELSQVAMITPLGRLP >KJB21337 pep chromosome:Graimondii2_0_v6:4:28378919:28382776:-1 gene:B456_004G116900 transcript:KJB21337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLETKAKEAFIDDHFELALHLYSQAIQLNPKHAELYADRAQANIKLNNLTEAVADANKAIELDPSMSKAYLRKATACMKLEEYQTAKSALETGAALAPAESRFSKLIKECEERIAEETGDVSMQMPEALAKNDVPAKEIELDKDQPNLEIEAAPPKPAYRHEFYQKPEEVVVTIFAKGIPHDCVKVDYGEQTLSVAINAPGKEAYHFQPRLFAKIIPEKCRYDVLSTKVEIRLAKSVPIHWTSLEFSREVAITQRVNVSSVSASQRPSYPSSKPQRVDWDKIEAQVKKEKDEKLDGDAALNKFFRDIYKDADEDTRRAMQKSFVESNGTVLSTNWKEVGAKKVEGSPPDGMEMKKWEY >KJB21334 pep chromosome:Graimondii2_0_v6:4:28378919:28382776:-1 gene:B456_004G116900 transcript:KJB21334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLETKAKEAFIDDHFELALHLYSQAIQLNPKHAELYADRAQANIKLNNLTEAVADANKAIELDPSMSKAYLRKATACMKLEEYQTAKSALETGAALAPAESRFSKLIKECEERIAETGDVSMQMPEALAKNDVPAKEIELDKDQPNLEIEAAPPKPAYRHEFYQKPEEVVVTIFAKGIPHDCVKVDYGEQTLSVAINAPGKEAYHFQPRLFAKIIPEKCRYDVLSTKVEIRLAKSVPIHWTSLEFSREVAITQRVNVSSVSASQRPSYPSSKPQRVDWDKIEAQVKKEEKDEKLDGDAALNKFFRDIYKDADEDTRRAMQKSFVESNGTVLSTNWKEVGAKKVEGSPPDGMEMKKWEY >KJB21338 pep chromosome:Graimondii2_0_v6:4:28378919:28382811:-1 gene:B456_004G116900 transcript:KJB21338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLETKAKEAFIDDHFELALHLYSQAIQLNPKHAELYADRAQANIKLNNLTEAVADANKAIELDPSMSKAYLRKATACMKLEEYQTAKSALETGAALAPAESRFSKLIKECEERIAEETGDVSMQMPEALAKNDVPAKEIELDKDQPNLEIEAAPPKPAYRHEFYQKPEEVVVTIFAKGIPHDCVKVDYGEQTLSVAINAPGKEAYHFQPRLFAKIIPEKCRYDVLSTKVEIRLAKSVPIHWTSLEFSREVAITQRVNVSSVSASQRPSYPSSKPQRVDWDKIEAQVKKEEKDEKLDGDAALNKFFRDIYKDADEDTRRAMQKSFVESNGTVLSTNWKEVGAKKVEGSPPDGMEMKKWEY >KJB21336 pep chromosome:Graimondii2_0_v6:4:28379695:28382668:-1 gene:B456_004G116900 transcript:KJB21336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLETKAKEAFIDDHFELALHLYSQAIQLNPKHAELYADRAQANIKLNNLTEAVADANKAIELDPSMSKAYLRKATACMKLEEYQTAKSALETGAALAPAESRFSKLIKECEERIAEETGDVSMQMPEALAKNDVPAKEIELDKDQPNLEIEAAPPKPAYRHEFYQKPEEVVVTIFAKGIPHDCVKVDYGEQTLSVAINAPGKEAYHFQPRLFAKIIPEKCRYDVLSTKVEIRLAKSVPIHWTSLEFSREVAITQRVNVSSVSASQRPSYPSSKPQRVDWDKIEAQVKKEVRER >KJB21335 pep chromosome:Graimondii2_0_v6:4:28379695:28382668:-1 gene:B456_004G116900 transcript:KJB21335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLETKAKEAFIDDHFELALHLYSQAIQLNPKHAELYADRAQANIKLNNLTEAVADANKAIELDPSMSKAYLRKATACMKLEEYQTAKSALETGAALAPAESRFSKLIKECEERIAEETGDVSMQMPEALAKNDVPAKEIELDKDQPNLEIEAAPPKPAYRHEFYQKPEEVVVTIFAKGIPHDCVKVDYGEQTLSVAINAPGKEAYHFQPRLFAKIIPEKCRYDVLSTKVEIRLAKSVPIHWTSLEFSREVAITQRVNVSSVSASQRPSYPSSKPQRVDWDKIEAQVKKEVQR >KJB26547 pep chromosome:Graimondii2_0_v6:4:58357975:58360022:1 gene:B456_004G246600 transcript:KJB26547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRAQIPTSNSALIAMIADEDTVTGFLMAGVGNVDLRRKTNYLIVDSKTTVKAIEDTFKDYTTREDIAIILISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASGRR >KJB26546 pep chromosome:Graimondii2_0_v6:4:58357894:58360153:1 gene:B456_004G246600 transcript:KJB26546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRAQIPTSNSALIAMIADEDTVTGFLMAGVGNVDLRRKTNYLIVDSKTTVKAIEDTFKDYTTREDIAIILISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASGRR >KJB26545 pep chromosome:Graimondii2_0_v6:4:58357940:58360022:1 gene:B456_004G246600 transcript:KJB26545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRAQIPTSNSALIAMIADEDTVTGFLMAGVGNVDLRRKTNYLIVDSKTTVKAIEDTFKDYTTREDIAIILISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASGRR >KJB24626 pep chromosome:Graimondii2_0_v6:4:43882615:43883392:1 gene:B456_004G154200 transcript:KJB24626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLHQRPIQNPFSNAYPVSPRSSSTSQRPISIFSPTGLIILLSLMVILGVFVPWSGMPVATFSNSKKASSSLSKWRDYTLAEAASFVAKNGTLIVCAVSQPYLPFLNNWLISITRQKHQEKVLVIAEDYATLYKVNEKWPGHAVLVPPAIDSQTAHKFGSQGFFNFTSRRPQHLLKILELGYNVMYNDVDMVWLRDPFPYLEGNHDVYFTDDMAAVCMLTLLYVLLC >KJB24624 pep chromosome:Graimondii2_0_v6:4:43882414:43885335:1 gene:B456_004G154200 transcript:KJB24624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLHQRPIQNPFSNAYPVSPRSSSTSQRPISIFSPTGLIILLSLMVILGVFVPWSGMPVATFSNSKKASSSLSKWRDYTLAEAASFVAKNGTLIVCAVSQPYLPFLNNWLISITRQKHQEKVLVIAEDYATLYKVNEKWPGHAVLVPPAIDSQTAHKFGSQGFFNFTSRRPQHLLKILELGYNVMYNDVDMVWLRDPFPYLEGNHDVYFTDDMAAVKALNHSHDLPPPGKKGRTYICSCMIFLRPKDGAKLVMKKWIEELQAQPWSKAKKANDQPAFNWALNKTAGLVDLYLLPQAAFPTGGLYFKNQTWVQQTKGMHVIIHNNYIIGFEKKIKRFQDYGLWLVDDHALDSPLGRL >KJB24628 pep chromosome:Graimondii2_0_v6:4:43882470:43885304:1 gene:B456_004G154200 transcript:KJB24628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGLAMPSLSLPQLIHKLPINLALRRPQHLLKILELGYNVMYNDVDMVWLRDPFPYLEGNHDVYFTDDMAAVKALNHSHDLPPPGKKGRTYICSCMIFLRPKDGAKLVMKKWIEELQAQPWSKAKKANDQPAFNWALNKTAGLVDLYLLPQAAFPTGGLYFKNQTWVQQTKGMHVIIHNNYIIGFEKKIKRFQDYGLWLVDDHALDSPLGRL >KJB24625 pep chromosome:Graimondii2_0_v6:4:43882470:43883997:1 gene:B456_004G154200 transcript:KJB24625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLHQRPIQNPFSNAYPVSPRSSSTSQRPISIFSPTGLIILLSLMVILGVFVPWSGMPVATFSNSKKASSSLSKWRDYTLAEAASFVAKNGTLIVCAVSQPYLPFLNNWLISITRQKHQEKVLVIAEDYATLYKVNEKWPGHAVLVPPAIDSQTAHKFGSQGFFNFTSRRPQHLLKILELGYNVMYNDVDMVWLRDPFPYLEGNHDVYFTDDMAAVKALNHSHDLPPPGKKGRTYICSCMIFLRPKDGAKLVMKKWIEELQAQPWSKAKKANDQPAFNWALNKTAGLVCLCIFLSFPF >KJB24627 pep chromosome:Graimondii2_0_v6:4:43882470:43885304:1 gene:B456_004G154200 transcript:KJB24627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLHQRPIQNPFSNAYPVSPRSSSTSQRPISIFSPTGLIILLSLMVILGVFVPWSGMPVATFSNSKKASSSLSKWRDYTLAEAASFVAKNGTLIVCAVSQPYLPFLNNWLISITRQKHQEKVLVIAEDYATLYKVNEKWPGHAVLVPPAIDSQTAHKFGSQGFFNFTSRRPQHLLKILELGYNVMYNDVDMVWLRDPFPYLEGNHDVYFTDDMAAWIVVVKRMERMERRLNLVLNLIVAPLPQTLGCYILEILLPLLLYRKVASCYFSLVDQVKALNHSHDLPPPGKKGRTYICSCMIFLRPKDGAKLVMKKWIEELQAQPWSKAKKANDQPAFNWALNKTAGLVDLYLLPQAAFPTGGLYFKNQTWVQQTKGMHVIIHNNYIIGFEKKIKRFQDYGLWLVDDHALDSPLGRL >KJB23727 pep chromosome:Graimondii2_0_v6:4:25424671:25430699:1 gene:B456_004G111900 transcript:KJB23727 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 28 [Source:Projected from Arabidopsis thaliana (AT4G25450) UniProtKB/Swiss-Prot;Acc:Q8LPQ6] MSMATASASVTSPLLQKISLTGFSTRTRHASKLKLSLHQSCTFAPFSLISPTKSSRLKNISSLESRAYVTGPPIVSESDPRINGLETNTVGAEPPKLISWRLLLSLLVQHKLRISVSVLALVGGTTCTLSMPIFSGRFFEVLIGARKEPLWKLLSKVGLLYSLEPIFTVIFVVNMNTLWETVMSTLRAQIFRRVLIQKAEFFDRYKVGELSGLLTSDLGSLKDVVSENISRDRGFRALSEVVGTICILFALSPQLAPILGLLMLFVSVSVAIYKRSTVPVFKAHGMAQASMSDCVTETFSAIRTVRSFGGEKRQMLMFGSQVLAYQRSGMKLGTFKSINESLTRVAVYISLLALYCLGGSKVKAGELSVGTVASFIGYTFTLTFAVQGLVNTFGDLRGTFAAVERINSVISGAEIDEALAYGLEKDIQKKEDDENIKLFISNGAFGKSQQLDSHYMSALKSTSDVGRLAWSGGVALEDVHFSYPLRPDVEILNGLNLTLKCGTVTALVGSSGSGKSTIVQLLARFYEPTRGRITVSGEDVRTFDKSEWARVVSIVNQEPVLFSVSVGENIAYGLPDDTVSKDDIIKAAKAANAHEFIISLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDAVSERLVQDALNHLMEGRTTLVIAHRLSTVQNAHQIALCSNGQITELGSHLELLARKGQYASLVDTQRLAFE >KJB23728 pep chromosome:Graimondii2_0_v6:4:25424774:25429078:1 gene:B456_004G111900 transcript:KJB23728 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 28 [Source:Projected from Arabidopsis thaliana (AT4G25450) UniProtKB/Swiss-Prot;Acc:Q8LPQ6] MATASASVTSPLLQKISLTGFSTRTRHASKLKLSLHQSCTFAPFSLISPTKSSRLKNISSLESRAYVTGPPIVSESDPRINGLETNTVGAEPPKLISWRLLLSLLVQHKLRISVSVLALVGGTTCTLSMPIFSGRFFEVLIGARKEPLWKLLSKVGLLYSLEPIFTVIFVVNMNTLWETVMSTLRAQIFRRVLIQKAEFFDRYKVGELSGLLTSDLGSLKDVVSENISRDRGFRALSEVVGTICILFALSPQLAPILGLLMLFVSVSVAIYKRSTVPVFKAHGMAQASMSDCVTETFSAIRTVRSFGGEKRQMLMFGSQVLAYQRSGMKLGTFKSINESLTRVAVYISLLALYCLGGSKVKAGELSVGTVASFIGYTFTLTFAVQGLVNTFGDLRGTFAAVERINSVISGAEIDEALAYGLEKDIQKKEDDENIKLFISNGAFGKSQQLDSHYMSALKSTSDVGRLAWSGGVALEDVHFSYPLRPDVEILNGLNLTLKCGTVTALVGSSGSGKSTIVQLLARFYEPTRGRITVSGEDVRTFDKSEWARVVSIVNQV >KJB26597 pep chromosome:Graimondii2_0_v6:4:58669581:58675131:1 gene:B456_004G249300 transcript:KJB26597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQGGTGIPQLKWFGVEGDYNVMVIELLGPSLEDLYNYCNRKLSLKSVLMLADQLINRVEFMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQSHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMVTPVEVLCQSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRELFIREGYQFDFVYDWTILKYPQLTGSSRQRLSSGKAALNSGASAERTDKLSAGREQRDKSSGAVEVFTRKNASGGGHLSDPSKQKVSEKALPTKDEDNDSGRGRSSTTHPSSLSKRAVASSSRPTTSSVGPSDRSSWLLSGSSRLSGGQRLYSGSEPRSSLSKATTSQAIREDQNQSFEHHSRVTDDRK >KJB26595 pep chromosome:Graimondii2_0_v6:4:58668767:58675014:1 gene:B456_004G249300 transcript:KJB26595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVVGGKFKIGRKIGAGSFGELYLGVNTETGEEIAIKMEPAKTRHPQLHYESKLYMLLQGGTGIPQLKWFGVEGDYNVMVIELLGPSLEDLYNYCNRKLSLKSVLMLADQLINRVEFMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQSHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMVTPVEVLCQSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRELFIREGYQFDFVYDWTILKYPQLTGSSRQRLSSGKAALNSGASAERTDKLSGRDESNEINLQVPLRYLLGKMHQVVDILVILQNRRYQRRHCQQKMRIMILEGDEVLPPILAACPREQLPQAAGRQPLLWGPAIDQVGFFQVVVASQEARDSILGLSQDHLSPKLQLRKLSVKIRIKASSTIQGLQMIESDECSIRFMKRRM >KJB26594 pep chromosome:Graimondii2_0_v6:4:58668628:58675131:1 gene:B456_004G249300 transcript:KJB26594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVVGGKFKIGRKIGAGSFGELYLGVNTETGEEIAIKMEPAKTRHPQLHYESKLYMLLQGGTGIPQLKWFGVEGDYNVMVIELLGPSLEDLYNYCNRKLSLKSVLMLADQLINRVEFMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQSHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMVTPVEVLCQSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRELFIREGYQFDFVYDWTILKYPQLTGSSRQRLSSGKAALNSGASAERTDKLSAGREQRDKSSGAVEVFTRKNASGGGHLSDPSKQKVSEKALPTKDEDNDSGRGRSSTTHPSSLSKRAVASSSRPTTSSVGPSDRSSWLLSGSSRLSGGQRLYSGSEPRSSLSKATTSQAIREDQNQSFEHHSRVTDDRK >KJB26596 pep chromosome:Graimondii2_0_v6:4:58669398:58675131:1 gene:B456_004G249300 transcript:KJB26596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQGGTGIPQLKWFGVEGDYNVMVIELLGPSLEDLYNYCNRKLSLKSVLMLADQLINRVEFMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQSHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMVTPVEVLCQSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRELFIREGYQFDFVYDWTILKYPQLTGSSRQRLSSGKAALNSGASAERTDKLSAGREQRDKSSGAVEVFTRKNASGGGHLSDPSKQKVSEKALPTKDEDNDSGRGRSSTTHPSSLSKRAVASSSRPTTSSVGPSDRSSWLLSGSSRLSGGQRLYSGSEPRSSLSKATTSQAIREDQNQSFEHHSRVTDDRK >KJB27090 pep chromosome:Graimondii2_0_v6:4:61042931:61044918:-1 gene:B456_004G277400 transcript:KJB27090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEPVNPKAYPLADAQLTTTILDLVQQAANYKQLKKGANEGLERYPFFLFFFFWLCSDTGVSLFCWVSATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKSQIQQLKDAIEKLLI >KJB27091 pep chromosome:Graimondii2_0_v6:4:61042931:61044971:-1 gene:B456_004G277400 transcript:KJB27091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEPVNPKAYPLADAQLTTTILDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKSQIQQLKDAIEKLLI >KJB27199 pep chromosome:Graimondii2_0_v6:4:61560496:61563083:1 gene:B456_004G284400 transcript:KJB27199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDLRTMSTRIDRAPYNLTAEKTSLLPNQPCEFTCGRIQGFHGAGLSNHQGGEGDVPVSFGVDHENSCPQSIAHRSNPKSSESFLEVSATLLGERNISDVQDVKRVAMDLAGDDNNRLNEYNPRSPESFLEVPQRKKMRKTESHCLFESNNIPLWGFTSICGRRIQMEDAVVAIPRFLQVPPRILNVESVSNQTSNISNLTADFYAVYDGHGGCQVANYCRERMHTALAEEIEMTKACILDGNIGYDWREQWQKAFLNCFVKVDTEIGGVHRGHVSETAGSTAVIAVVSPTHIIVANCGDSRAVLSRGKFPIPLSIDHKPDREDEQARIEAAGGKIIQWNGPRVSGVLAMSRSIGDKYLKPWIIPDPEVTFVSRANDDECLVLASDGLWDVLSNDEACEVARKRIGLWRKKYRDKSNGEGIDGAAQSAAEYLSRLALSKGSKDNISVIVVDLKAHTKFKNKT >KJB27201 pep chromosome:Graimondii2_0_v6:4:61560140:61563201:1 gene:B456_004G284400 transcript:KJB27201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDLRTMSTRIDRAPYNLTAEKTSLLPNQPCEFTCGRIQGFHGAGLSNHQGGEGDVPVSFGVDHENSCPQSIAHRSNPKSSESFLEVSATLLGERNISDVQDVKRVAMDLAGDDNNRLNEYNPRSPESFLEVPQRKKMRKTESHCLFESNNIPLWGFTSICGRRIQMEDAVVAIPRFLQVPPRILNVESVSNQTSNISNLTADFYAVYDGHGGCQVANYCRERMHTALAEEIEMTKACILDGNIGYDWREQWQKAFLNCFVKVDTEIGGVHRGHVSETAGSTAVIAVVSPTHIIVANCGDSRAVLSRGKFPIPLSIDHKPDREDEQARIEAAGGKIIQWNGPRVSGVLAMSRSIGDKYLKPWIIPDPEVTFVSRANDDECLVLASDGLWDVLSNDEACEVARKRIGLWRKKYRDKSNGEGIDGAAQSAAEYLSRLALSKGSKDNISVIVVDLKAHTKFKNKT >KJB27200 pep chromosome:Graimondii2_0_v6:4:61560304:61563083:1 gene:B456_004G284400 transcript:KJB27200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDLRTMSTRIDRAPYNLTAEKTSLLPNQPCEFTCGRIQGFHGAGLSNHQGGEGDVPVSFGVDHENSCPQSIAHRSNPKSSESFLEVSATLLGERNISDVQDVKRVAMDLAGDDNNRLNEYNPRSPESFLEVPQRKKMRKTESHCLFESNNIPLWGFTSICGRRIQMEDAVVAIPRFLQVPPRILNVESVSNQTSNISNLTADFYAVYDGHGGCQVANYCRERMHTALAEEIEMTKACILDGNIGYDWREQWQKAFLNCFVKVDTEIGGVHRGHVSETAGSTAVIAVVSPTHIIVANCGDSRAVLSRGKFPIPLSIDHKPDREDEQARIEAAGGKIIQWNGPRVSGVLAMSRSIGDKYLKPWIIPDPEVTFVSRANDDECLVLASDGLWDVLSNDEACEVARKRIGLWRKKYRDKSNGEGIDGAAQSAAEYLSRLALSKGSKDNISVIVVDLKAHTKFKNKT >KJB23883 pep chromosome:Graimondii2_0_v6:4:29275627:29279454:-1 gene:B456_004G120000 transcript:KJB23883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNLLFHFLCFLHLLLSISGATREQADGNPDRGALVSFKRGLQNPHFLSSWNQKIHFCKWDGVTCRLGRVTSLSLPSRSLTGSLSPSLSSLSNLTLLDLSSNSFFGQIPTELAELTLLETLKLGSNSFTGNIPPELGSLNTLRTLELSTNALTGTVPTKLGQLTQLQFLDLANNFLSGSLPSTLFENLQSLTSLDISNNSFSGNIPPEIGELKNLTALYIGINQFTGKIPPEIGKLSLLENFFSPSCSITGPLPEQLSNLKSLTKLDLSYNPLKCSIPKSIGKLQNLTILNLVYTELNGSIPAELGNCRNLMMLMLSFNSLSGSLPEELSSLPMLTFSAETNQLSGPLPPWLGKWNQVESLLLSNNHFSGNIPPEIENCSRLKHLSLSNNKLSGSIPRELCNAESLFEVDLDGNNLSGTIENVFVNCRNLAQLVLLNNHINGSIPEYLSELPLMVIDLDSNNFTGTIPVSLWSSNSLMEFSAGNNMLEGTLPVDIGNAVTLETLVLSGNRLKGSIPKEIGNLTALSVLNLNSNFLEGNIPVEIGDCKALTTLDLGNNNFSGSIPMELADLDQLQCLVLSHNNLSGSIPWKPSSYFHQANLPDLSFVQHHGVFDLSHNRLTGPIPEELGNCAVVVDLLLNNNMLTGRIPGSLSRLTNLTTLDLSGNLLRGPIPVEFGDSLKLQGLYLGNNQFTGTISESLGRVGSLVKLNLTGNRLSGVVPASFGNLKELTHLDLSNNKLAGELPSSLSQMLNLVGLYVQKNRLSGEIHNLFSNSVSWKIEDLNLSNNIFYGSLPQSLGNLSYLMYLDLHGNKFTGAIPSEIGNLMQLEYFDVSGNRLSGQIPEEVCSLFSLFYLNLAENRLGGPVPRNGICQNLSKIFLAGNNDLCGRITGLECQIRSSERSSLLNAWGLAGIVAASVFIIFASAFVVRRWIMRSGQLSDPEEIEESKLNNFLDQNLCFLSSSSRSKEPLSINIATFEQPLLKLTLGDILEGTHHFCKTNIIGDGGFGTVYKAKLPSGKTVAVKKLSQAKTQGNREFIAEMETLGKVKHQNLVPLLGYCSLGEEKLLVYEYMINGSLDLWLRNRSGALDVLDWSKRFKIAVGAARGLAFLHHGFIPHIIHRDIKASNILLSEDFEAKVADFGLARLISACETHVSTDIAGTFGYIPPEYGQSGRSTTKGDVFSFGVILLELVTGKEPTGPEFKEIEGGNLVGWVTKKIKKGQAADVLDPVVMSVDSKQMMLQVLSIAAVCLAENPANRPTMLQVLKLLKGIHKE >KJB26455 pep chromosome:Graimondii2_0_v6:4:58019262:58020539:-1 gene:B456_004G242500 transcript:KJB26455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFLLFLLIFLSVYSFILLSESQKTSKPNRFVLQLQKDPKTKLYVTNIYKRTPSQKVPFVVDLNGRLLWVTCEKSYRSSTYHAPRCRSTQCSRADSHYCHICSTRDGLGCHNNTCGVMSMNPVTGLTAMSELAQDVLSIQSTQGSNPGPMVRVPQFLFTCAPSLLLQRGLPSTVQGVAGLGHSPISLPTQLASHFGSAGFAPTFALCLAPKGVMFFGDSPYYMLPNINKQGVGGTKLSAINPYTILHHSIFKAVTQFFSKELSAIPQVKPVAPFGVCFNSKSFKKSRVGPGVPNIDLVLHDKHVMWRIYGANSLVEAAPGVSCLAFVDGGMKNNGASIIIGAYQMENNLVQFDMAISRLGFSSSLLFYKTSCNNFNFTTIP >KJB21782 pep chromosome:Graimondii2_0_v6:4:971959:976011:-1 gene:B456_004G013400 transcript:KJB21782 gene_biotype:protein_coding transcript_biotype:protein_coding description:CNX2 [Source:Projected from Arabidopsis thaliana (AT2G31955) UniProtKB/TrEMBL;Acc:A0A178W0P6] MKRYISRITNWPTPFPFRNLNFFMVDKERNFGSKPTKEPINNEEGNQISDMLIDGFGRKHTYLRISLTERCNLRCQYCMPAEGVDLTPSPKLLTHNEILRLANLFVTSGVDKIRLTGGEPTVRKDIHDICSNLSSLKGLKTLAVTTNGIILAKKLPMLKQCGLTNLNISLDTLVPSKFEFMTRRKGHHKVIESINAAIDLGYNPVKVNCVVMRGFNDDEICDFVNLTVDKPINVRFIEFMPFDGNVWNVKKLIPYSEMLDTVAKKFPTLKRLCDHPTETAKNFQIDGHVGTVSFVTSMTEHFCAGCNRLRLLADGNLKVCLFGPSEVSLRDPLRSGAGDEELREIIGAAVKRKKASHAGMFDIAKTANRPMIHIGG >KJB21781 pep chromosome:Graimondii2_0_v6:4:972679:975985:-1 gene:B456_004G013400 transcript:KJB21781 gene_biotype:protein_coding transcript_biotype:protein_coding description:CNX2 [Source:Projected from Arabidopsis thaliana (AT2G31955) UniProtKB/TrEMBL;Acc:A0A178W0P6] MKRYISRITNWPTPFPFRNLNFFMVDKERNFGSKPTKEPINNEEGNQISDMLIDGFGRKHTYLRISLTERCNLRCQYCMPAEGVDLTPSPKLLTHNEILRLANLFVTSGVDKIRLTGGEPTVRKDIHDICSNLSSLKGLKTLAVTTNGIILAKKLPMLKQCGLTNLNISLDTLVPSKFEFMTRRKGHHKVIESINAAIDLGYNPVKVNCVVMRGFNDDEICDFVNLTVDKPINVRFIEFMPFDGNVWNVKKLIPYSEMLDTVAKKFPTLKRLCDHPTETAKNFQIDGHVGTVSFVTSMTEHFCAGCNRLRLLADGNLKVCLFGPSEVSLRDPLRSGAGDEELREIIGAAVKRKKASHAGMFDIAKTANRPMIHIGG >KJB25826 pep chromosome:Graimondii2_0_v6:4:54411153:54414131:1 gene:B456_004G211200 transcript:KJB25826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAKEMIRNILAKRDAGKEAQSRTGKTKPQVFADRMTPPSRAVIIFCKVNDIDYTERKVDISKREHLTPAFAEINPMKQLPAIVDGNFKLLATQSRLRIT >KJB21348 pep chromosome:Graimondii2_0_v6:4:50272181:50272462:-1 gene:B456_004G187500 transcript:KJB21348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHLMLVCFALNCLHVTINKGTYICTDYVVIKVEDMFCLLDKIYVLFKPLDSFIMLSINRSCKIQNVGKFVCMVLMVEIFCCFIYMSCPMCS >KJB22206 pep chromosome:Graimondii2_0_v6:4:2877980:2880377:-1 gene:B456_004G035100 transcript:KJB22206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIANHLIPPYFITSVDMPLQFPQAQPLQSIIYNLPKTILSSVPVEFSGSCACLVHLRFFIKHLSSMLNSDELCLKLRILVLVIATIFMVSAAGVMGGDSGEDKDKEECTEQLVGLATCLPYVGGNAKAPTPDCCSGLKQVLKNNKKCLCIIIKDRNDPDLGLNINVTLALSLPSVCNAPANISKCPELLHMDPNSPEAQVFYQLSKSSSSNGGLQSPASAPTASVGGSPISSSEGEKSPELQKGHGNCYGCRRNTWVIVTLWTFLLCYFMPYF >KJB24327 pep chromosome:Graimondii2_0_v6:4:39290060:39291234:1 gene:B456_004G139600 transcript:KJB24327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVAYSELLLPRLLLHTLSLLAYLRKFISILFLYLGLPDFLEPDIPWREYAPETHHASAAPVSALLIRELLPVVKFSDLVDPPESCAVCLYDFEGQDEIRRLTNCRHIFHRSCLDRWMGYDQKTCPLCRTSFVPDDMQQTFNERLWAASGIPEVFGDYSQITAL >KJB22665 pep chromosome:Graimondii2_0_v6:4:5801811:5802377:-1 gene:B456_004G059800 transcript:KJB22665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITPFLSLAVPTRKQLSICSKQVTPCCCSRIGSILCGRKGGVDVGRKGNGRRLLVFACSTTPYIRGIGSQRVSIGNKTDGGASKGDLSQALSAMLPFVVAATAVASLVQPSTFTW >KJB23117 pep chromosome:Graimondii2_0_v6:4:9852760:9854272:-1 gene:B456_004G081800 transcript:KJB23117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQYLLFISFLVFFVAPGLAQQSFRPKALVVPVSKDASTLQYLTTINQRTPFVPIRVVVDLGGRYLWVDCERDYVSSTYRPARCSSAQCSLAGANGCGDCFDGPRPGCNNNTCAVGPGNTVTNTAGPGEVAQDVVSLQSTDGRNPGRVVTVPRFLFACAPTSLLQGLANGVVGMAGFGRYRIGLPSQFSAAFSFRRKFAICLSSANGVIFFGDGPYVFLPGVDASESLTFTPLFINPISTAPSFPLGEPSAEYFIGVKSIKVNEKPVSLNTTLLSINSEGVGGTKISTVNPYTALEASIFKAVTEAFINEAAARNITRVAGVAPFEVCFSSRNIVGTRLGAAVPSIDLVLQNENTFWRIFGANSMVEVSREVSCLGFVNGGSNPMTSIVIGGYQLEDTLLQFDLATSRLGFSSTLLGRQTTCANFNFTSNA >KJB23203 pep chromosome:Graimondii2_0_v6:4:11459748:11462450:-1 gene:B456_004G086600 transcript:KJB23203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSMGVQVFGKTKNMISQLMALPNMPISDVSSDLKIEVGTSSFALHKFPLVSRSGRIRKLLIDAKDTKISRINLSSAPGGPEAFELAAKFCYGINVEITLSNVAMLRCISHYLEMAEEFAENNLEARTEAYLKDMVVPNISSTIAVLHRCESLLPISEEINLVNRLINAIANNACKEQLTSGLLKLDHNFPSKAIPNMEPETPSDWWGKSLAVLNIDFFQRVLLAIKSKGLRQDMICKILINYTHNSLQSLIVRDHHFVKGSLLELELQKKQRVIVEAIVSLLPTQSRKSPVPMAFLSSLLKTAISSSVSTSSRSDLERRTGLQLDQAILEDILIPANSHGNNHTAMYDTESILRIFSIFLNLDDDDDDDDDEDNRLRDESEMAYDFDSPGSPKQSSILKVSKLLDSYLAEVALDTNLSPSKFIALAELLPDHARIVSDALYRSVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQLFFGAINGQFPQRSSSGAASGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVRSHPANKLFKSFTKKLSKLNSLFRINNLKPIGGKANSESRFLFQRRRHYSVS >KJB21530 pep chromosome:Graimondii2_0_v6:4:20885:20929:-1 gene:B456_004G0003001 transcript:KJB21530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSIECVFRLLRIQ >KJB22832 pep chromosome:Graimondii2_0_v6:4:7148611:7150415:-1 gene:B456_004G068000 transcript:KJB22832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLSSKKTGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRILAGTCHSAKMVRTIIVRRNYLHYIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVNPAGSSGGGKKAFTAM >KJB26086 pep chromosome:Graimondii2_0_v6:4:55899957:55900415:-1 gene:B456_004G224500 transcript:KJB26086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFCKNIIFFILIQAIAITLLIDSSSCESNNSHHDPWLITWHVHTVNGLSNGEILLVHCKSKDNDLGIHNLTVGTEFSWKFKLNFFGGTLFWCYMAHDNFHATLDVFREIEGFFDECNYGDCIWTAKDDGIYLRKGSVDQLREEWKQGGLW >KJB24022 pep chromosome:Graimondii2_0_v6:4:32785163:32789241:1 gene:B456_004G125500 transcript:KJB24022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKVLSSGIRYSNLPESYVRPESERPRLSEVSQCDNVPVIDLGCDDRSHIVQQVALACINYGFFQVINHGVSKEAVERMLQVAHDFFGLPVEEKMKLYSDDPSKTMRLSTSFNVKKEKVHNWRDYLRLHCYPLHKYVPEWPSNPPSFKQIVSDYCVQVRELGYRLQELISESLGLEKDYIKKVLGEQGQHMAVNYYPPCPEPELTYGLPGHTDPNALTILLQDLQVAGLQVLKDGKWLAVNPQPNAFVINIGDQLQALSNGTYKSVWHRAIVNTDKPRMSVASFLCPYDHALISPAKPLTQHGCGAVYRDFTYAEYYSKFWGRNLDQEHCLELFKN >KJB24023 pep chromosome:Graimondii2_0_v6:4:32785299:32789223:1 gene:B456_004G125500 transcript:KJB24023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKCDNVPVIDLGCDDRSHIVQQVALACINYGFFQVINHGVSKEAVERMLQVAHDFFGLPVEEKMKLYSDDPSKTMRLSTSFNVKKEKVHNWRDYLRLHCYPLHKYVPEWPSNPPSFKQIVSDYCVQVRELGYRLQELISESLGLEKDYIKKVLGEQGQHMAVNYYPPCPEPELTYGLPGHTDPNALTILLQDLQVAGLQVLKDGKWLAVNPQPNAFVINIGDQLQALSNGTYKSVWHRAIVNTDKPRMSVASFLCPYDHALISPAKPLTQHGCGAVYRDFTYAEYYSKFWGRNLDQEHCLELFKN >KJB21484 pep chromosome:Graimondii2_0_v6:4:48349301:48351482:1 gene:B456_004G177100 transcript:KJB21484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRNGGGAPTMIRRRQSDFKMSFNLALHSLLTTCSKEARILVKNFQNLQVQSKNVSTSVYSAFNQWRYGSYSGHYHFAWEYRGRNCP >KJB21482 pep chromosome:Graimondii2_0_v6:4:48349301:48351470:1 gene:B456_004G177100 transcript:KJB21482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRNGGGAPTMIRRRQSDFKMSFNLALHSLLTTCSKEARILVKNFQNLQVQSKNVSTSVYSASSFLSKPLTNGATDHIQVITTSHGSIEVGTALDTVGQLVEEQCLDHFFSDKWVSIWFLTLSECWVLN >KJB21485 pep chromosome:Graimondii2_0_v6:4:48349301:48352060:1 gene:B456_004G177100 transcript:KJB21485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRNGGGAPTMIRRRQSDFKMSFNLALHSLLTTCSKEARILVKNFQNLQVQSKNVSTSVYSASSFLSKPLTNGATDHIQVITTSHGSIEVGTALDTVGQLVEEQCLDHFFSDNLI >KJB21483 pep chromosome:Graimondii2_0_v6:4:48349414:48351254:1 gene:B456_004G177100 transcript:KJB21483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRNGGGAPTMIRRRQSDFKMSFNLALHSLLTTCSKEARILVKNFQNLQVQSKNVSTSVYSASSFLSKPLTNGATDHIQVITTSHGSIEVKYGGGIRPLGFWRSYHSLSCRNCP >KJB24536 pep chromosome:Graimondii2_0_v6:4:42492093:42495149:-1 gene:B456_004G149900 transcript:KJB24536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEIESVWVFALASKWRTFSQESFAWSIFIILLAWLIMTLVYWAYPGGPAWGKYRFVNRSSLIAANKRRIPGPRGLPLIGSMKLMASSLAHHRIAAAAEACKAKRLMAFSLGDTRVIVTCNPDVAREILNSSVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQIRGAEEQRRQIATEMITLFALHNQSFHVRQLLKLASLNNMMASIFGRKYNLNSTSNEVEQLRALVDEGYDLLGTLNWSDHLPWLADFDPQKIRVRCSNLVPKVNRFVSRIISQHRHNTNGETQDFVDVLLSLQGADKLSDSDMIAVLWEMIFRGTDTVAVLMEWILARLVLHPDVQQRAHDELDEIVGRSRSVDESDVMNMTYLLAVIKEVLRLHPPGPLLSWARLAITDTTIDGYDVPKGTTAMVNMWAITRDPQEWPDPLEFMPHRFVTKEGEVEFSVLGSDLRLAPFGSGRRTCPGKNLGLTTVSFWVATLLHEFEWLPSDQNSVDLSEILKLSCEMANPLSVKVRPRRTYQGRDSEMRRSVKKERCN >KJB24538 pep chromosome:Graimondii2_0_v6:4:42492156:42494915:-1 gene:B456_004G149900 transcript:KJB24538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEIESVWVFALASKWRTFSQESFAWSIFIILLAWLIMTLVYWAYPGGPAWGKYRFVNRSSLIAANKRRIPGPRGLPLIGSMKLMASSLAHHRIAAAAEACKAKRLMAFSLGDTRVIVTCNPDVAREILNSSVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQIRGAEEQRRQIATEMITLFALHNQSFHVRQLLKLASLNNMMASIFGRKYNLNSTSNEVEQLRALVDEGYDLLGTLNWSDHLPWLADFDPQKIRVRCSNLVPKVNRFVSRIISQHRHNTNGETQDFVDVLLSLQGADKLSDSDMIAVLWEMIFRGTDTVAVLMEWILARLVLHPDVQQRAHDELDEIVGRSRSVDESDVMNMTYLLAVIKEVLRLHPPGPLLSWARLAITDTTIDGYDVPKGTTAMVNMWAITRDPQEWPDPLEFMPHRFVTKEGEVEFSVLGSDLRLAPFGSGRRTCPGKNLGLTTVSFWVATLLHEFEWLPSDQNSVDLSEILKLSCEMANPLSVKVRPRRSGLNLSL >KJB24537 pep chromosome:Graimondii2_0_v6:4:42492182:42494704:-1 gene:B456_004G149900 transcript:KJB24537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEIESVWVFALASKWRTFSQESFAWSIFIILLAWLIMTLVYWAYPGGPAWGKYRFVNRSSLIAANKRRIPGPRGLPLIGSMKLMASSLAHHRIAAAAEACKAKRLMAFSLGDTRVIVTCNPDVAREILNSSVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQIRGAEEQRRQIATEMITLFALHNQSFHVRQLLKLASLNNMMASIFGRKYNLNSTSNEVEQLRALVDEGYDLLGTLNWSDHLPWLADFDPQKIRVRCSNLVPKVNRFVSRIISQHRHNTNGETQDFVDVLLSLQGADKLSDSDMIAVLWEMIFRGTDTVAVLMEWILARLVLHPDVQQRAHDELDEIVGRSRSVDESDVMNMTYLLAVIKEVLRLHPPGPLLSWARLAITDTTIDGYDVPKGTTAMVNMWAITRDPQEWPDPLEFMPHRFVTKEGEVEFSVLGSDLRLAPFGSGRRTCPGKNLGLTTVSFWVATLLHEFEWLPSDQNSVDLSEILKLSCEMANPLSVKVRPRRSGLNLSL >KJB24892 pep chromosome:Graimondii2_0_v6:4:46296241:46299576:1 gene:B456_004G166300 transcript:KJB24892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLNPFDLLGDDDTGELSLLIAAQQKAVAAATATAAAPKKGPAKPQAKTLPATQAKLPSKPLPPTQAVREAKNEGARGGGRGGRGYGRGRGGSSGYRRDFANDENSFSNSAVPEDGESGKPSERRGYGGPRPYRGGRRGGFSNGEDADGEQPRRLYERRSGTGRGNELKREGSGRGNWGTQTDELAQVTEVTNEGERNLGDEKPAGEEDAREANKESASNEPEEKEPEDKEMTLEEYEKVLEEKRKALQALKIEERKVDAKEFESMQQLSNKKNNDEVFIKLGSDKDKRKEAYEKEERAKKVFLLIFISLCHNLPLFLFEPQSRDYSVFKGP >KJB24891 pep chromosome:Graimondii2_0_v6:4:46296132:46299721:1 gene:B456_004G166300 transcript:KJB24891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLNPFDLLGDDDTGELSLLIAAQQKAVAAATATAAAPKKGPAKPQAKTLPATQAKLPSKPLPPTQAVREAKNEGARGGGRGGRGYGRGRGGSSGYRRDFANDENSFSNSAVPEDGESGKPSERRGYGGPRPYRGGRRGGFSNGEDADGEQPRRLYERRSGTGRGNELKREGSGRGNWGTQTDELAQVTEVTNEGERNLGDEKPAGEEDAREANKESASNEPEEKEPEDKEMTLEEYEKVLEEKRKALQALKIEERKVDAKEFESMQQLSNKKNNDEVFIKLGSDKDKRKEAYEKEERAKKSVSINEFLKPAEGERYYNPSGRGRGRGRGSRGFGGGSAARDVAAPSIEDPGHFPTLGGK >KJB22544 pep chromosome:Graimondii2_0_v6:4:4966213:4971173:-1 gene:B456_004G053500 transcript:KJB22544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRLASSLSDEVLEHVFSFIQSDKDRNVVSAVCKSWYEIERWCRRKIFVGNCYAVSPKMVIRRFPQVRSIELKGKPHFADFNFVPDGWGGYVLPWITEMAGAYPWLDEIRLKRMVVTDESLELIAKSFKDFKVLVLASCEGFSTDGLAAIAASCKNLRELDLRESEVDDSSVHWLSHFPETYTSMVSLNISCLGSGEVSFSALERLVSRCPNLRSLKLNRAVPLDKTANILRLAPQLVEFSTCTYSAELRSDVFSNLAGAFSNCKELRSLSGFWDVVPDYLPAIYSVCSRLTSLNLSYATIQSPDLIKLVSHCPSLQRLLVLDYIEDSGLEALASNCKDLQELRVFPSDPFDAEPNASLTEQGLVAVSLGCPKLQSVLYFCRRMSNAALVTIAQNRPNLTRFRLCIIEPNTADYLTGEPFDVGFGAIVEHCKDLIRLSLSGLLTDCVFEYIGKYAKKLEMLSVAFAGDSDLGLHHVLSGCESLRKLEIRDCPFGDKALLTNAAKLETMRSLWMSSCSVSFEACKLLSQKMPRLNVEVIDERGPPDSRPENYTVDKLYIYRSVAGPRFDMPPFVWTKDEDLALRLSP >KJB22545 pep chromosome:Graimondii2_0_v6:4:4968231:4971173:-1 gene:B456_004G053500 transcript:KJB22545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRLASSLSDEVLEHVFSFIQSDKDRNVVSAVCKSWYEIERWCRRKIFVGNCYAVSPKMVIRRFPQVRSIELKGKPHFADFNFVPDGWGGYVLPWITEMAGAYPWLDEIRLKRMVVTDESLELIAKSFKDFKVLVLASCEGFSTDGLAAIAASCKNLRELDLRESEVDDSSVHWLSHFPETYTSMVSLNISCLGSGEVSFSALERLVSRCPNLRSLKLNRAVPLDKTANILRLAPQLVEFSTCTYSAELRSDVFSNLAGAFSNCKELRSLSGFWDVVPDYLPAIYSVCSRLTSLNLSYATIQSPDLIKLVSHCPSLQRLLVLDYIEDSGLEALASNCKDLQELRVFPSDPFDAEPNASLTEQGLVAVSLGCPKLQSVLYFCRRMSNAALVTIAQNRPNLTRFRLCIIEPNTADYLTGEPFDVGFGAIVEHCKDLIRLSLSGLLTDCVFEYIGKYAKKLEMLSVAFAGDSDLGLHHVLSGCESLRKLEIRDCPFGDKALLTNAAKLETMRSLWMSSCSVSFEACKLLSQKMPRLNVEVIDERGPPDSRPENYTVDKLYIYRSVAGPRFDMPPFVWTKDEDLALRLSP >KJB21267 pep chromosome:Graimondii2_0_v6:4:11165135:11165865:1 gene:B456_004G0861003 transcript:KJB21267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLLIGWASFQSVLTQGFLIIFQPNEKNTIVFKLHVQCKRGSPRITVKSDALKWLPNGSELVKETRNATSDSSSKPKTYTYFSCSQETIPEFVKNPIIPKYPDIIIAKLGPGQVYFTCFCLFQKFLIKHVKMADHALGLEKISSRAKCGA >KJB21266 pep chromosome:Graimondii2_0_v6:4:11165135:11165865:1 gene:B456_004G0861003 transcript:KJB21266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPTMVIEKILIANNTSIIQDEVLAHRLGLVPIRVDPRLFDYLSENDQPNEKNTIVFKLHVQCKRGSPRITVKSDALKWLPNGSELVKETRNATSDSSSKPKTYTYFSCSQETIPEFVKNPIIPKYPDIIIAKLGPGQVYFTCFCLFQKFLIKHVKMADHALGLEKISSRAKCGA >KJB21265 pep chromosome:Graimondii2_0_v6:4:11165135:11165865:1 gene:B456_004G0861003 transcript:KJB21265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPTMVIEKILIANNTSIIQDEVLAHRLGLVPIRVDPRLFDYLSENDQPNEKNTIVFKLHVQCKRGSPRITVKSDALKWLPNGSELVKETRNATSDSSSKPKTYTYFSCSQETIPEFVKNPIIPKYPDIIIAKLGPGQVYFTCFCLFQKFLIKHVKMADHALGLEKISSRAKCGA >KJB22681 pep chromosome:Graimondii2_0_v6:4:59273376:59275020:-1 gene:B456_004G256200 transcript:KJB22681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCELNSCCNNGQVTFLVTIGVFTVVILVIIFFSAMLLVVVRKQYKHEWIKVHADEGGVTQTKGGIYWVILLAGYLGSSFWGMALILASTNLLTAILAAGCFLLALVVVLFYDELISQGVNSSDAEKFAEICPCPCNGVGWGFIWGMISFIFLGASVYLGLLILA >KJB22679 pep chromosome:Graimondii2_0_v6:4:59273376:59274298:-1 gene:B456_004G256200 transcript:KJB22679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVMQLPETYLWSLEWIKVHADEGGVTQTKGGIYWVILLAGYLGSSFWGMALILASTNLLTAILAAGCFLLALVVVLFYDELISQGVNSSDAEKFAEICPCPCNGVGWGFIWGMISFIFLGASVYLGLLILA >KJB22680 pep chromosome:Graimondii2_0_v6:4:59272583:59275586:-1 gene:B456_004G256200 transcript:KJB22680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVMQLPETYLWSLEWIKVHADEGGVTQTKGGIYWVILLAGYLGSSFWGMALILASTNLLTAILAAGCFLLALVVVLFYDELISQGVNSSDAEKFAEICPCPCNGVGWGFIWGMISFIFLGASVYLGLLILA >KJB27193 pep chromosome:Graimondii2_0_v6:4:61512504:61513366:-1 gene:B456_004G283800 transcript:KJB27193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTYPKSSNGACRHNDILRKLDKHVSNKKHLPIKIKSNKQVNGRCRRHLNYGGDDGSSFTPRWLDHGGGDITSICGAPTCDAAPCERPVSGNGRCWQHSNYGRSSSYFTSRNLNYGGYDSSFTPRWLDHGGDDIILICGATTYNSAPCEGPV >KJB27063 pep chromosome:Graimondii2_0_v6:4:60923296:60923571:1 gene:B456_004G275400 transcript:KJB27063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRTCLCSPTKHPGSFKCSCHRHSNEPVSSGCRTVPVCAAFLNYRGLGSIKKTNFNTVNLLQMVNPSSRSRVDMQRIRNFHPKPSRFFLN >KJB27065 pep chromosome:Graimondii2_0_v6:4:60930033:60933032:-1 gene:B456_004G275500 transcript:KJB27065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAFMFSLLLFMQVSRSFASGLEVKSKICGTDRLEYSNSFGRVLFYLNGNLVDKVLFCKALQFHHAEHCAFEGYIGTDYCGLDLSSVELSVVRRKFLREQKKKDDNPEGKKYSASSKVGIAASGIVLTCCVFICPCVYRKKRETASTVLKKEPNSSDSTSPLDVNIYVPHEKVPPTSSVFSVSPNLNRTGSVHLNLAQVTKATRNFSPALQIGEGGFGTVYRAQLDSGQVVAIKRAKKEHFENLQTEFSSEVELLSKIDHRNLVRLLGYVDKGNERLIITEYVPNGTLRDHLDGQRGKILDFNQRLEIAIDVAHGLTYLHLYAEKQIIHRDVKSSNILLTESMRAKVADFGFARLGPMDSDQTHISTKVKGTVGYLDPEYMKTYQLTTKSDVYSFGILLIEILSGRRPVDLRRPVEERITLRWAFRKFNEGQAVELVDPMMEEAVDAEIIVKMFALAFQCAAPIRHDRPEMKFVVEHLWAIRADYRRSSR >KJB27064 pep chromosome:Graimondii2_0_v6:4:60930026:60933351:-1 gene:B456_004G275500 transcript:KJB27064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAFMFSLLLFMQVSRSFASGLEVKSKICGTDRLEYSNSFGRVLFYLNGNLVDKVLFCKALQFHHAEHCAFEGYIGTDYCGLDLSSVELSVVRRKFLREQKKKDDNPEGKKYSASSKVGIAASGIVLTCCVFICPCVYRKKRETASTVLKKEPNSSDSTSPLDVNIYVPHEKVPPTSSVFSVSPNLNRTGSVHLNLAQVTKATRNFSPALQIGEGGFGTVYRAQLDSGQVVAIKRAKKEHFENLQTEFSSEVELLSKIDHRNLVRLLGYVDKGNERLIITEYVPNGTLRDHLDGQRGKILDFNQRLEIAIDVAHGLTYLHLYAEKQIIHRDVKSSNILLTESMRAKVADFGFARLGPMDSDQTHISTKVKGTVGYLDPEYMKTYQLTTKSDVYSFGILLIEILSGRRPVDLRRPVEERITLRWAFRKFNEGQAVELVDPMMEEAVDAEIIVKMFALAFQCAAPIRHDRPEMKFVVEHLWAIRADYRRSSR >KJB27066 pep chromosome:Graimondii2_0_v6:4:60930033:60933252:-1 gene:B456_004G275500 transcript:KJB27066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAFMFSLLLFMQVSRSFASGLEVKSKICGTDRLEYSNSFGRVLFYLNGNLVDKVLFCKALQFHHAEHCAFEGYIGTDYCGLDLSSVELSVVRRKFLREQKKKDDNPEGKKYSASSKVGIAASGIVLTCCVFICPCVYRKKRETASTVLKKEPNSSDSTSPLDVNIYVPHEKVPPTSSVFSVSPNLNRTGSVHLNLAQVTKATRNFSPALQIGEGGFGTVYRAQLDSGQVVAIKRAKKEHFENLQTEFSSEVELLSKIDHRNLVRLLGYVDKGNERLIITEYVPNGTLRDHLDGQRGKILDFNQRLEIAIDVAHGLTYLHLYAEANYSSRCEVVQHSSYGKHESQSG >KJB25269 pep chromosome:Graimondii2_0_v6:4:49686846:49689886:1 gene:B456_004G183100 transcript:KJB25269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGRSFASRQNMINGKQDASQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHHAEVSTSKKITDAKLAKDFQAVLKEFQKAQRLAAERETSYSPFVPQSALPASYTAGEMDASSDKSAEQRALLVERRQEVVLLDNEIAFNEAIIDEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIDDIGTHIENSQAATSQAKSHLVKAAKSQRSNSSLACLLLVIFGIVLLIVIIVLAA >KJB25272 pep chromosome:Graimondii2_0_v6:4:49686892:49689250:1 gene:B456_004G183100 transcript:KJB25272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGRSFASRQNMINGKQDASQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHHAEVSTSKKITDAKLAKDFQAVLKEFQKAQRLAAERETSYSPFVPQSALPASYTAGEMDASSDKSAEQRALLVERRQEVVLLDNEIAFNEAIIDEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIGKKKI >KJB25270 pep chromosome:Graimondii2_0_v6:4:49686892:49689826:1 gene:B456_004G183100 transcript:KJB25270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGRSFASRQNMINGKQDASQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHHAEVSTSKKITDAKLAKDFQAVLKEFQKAQRLAAERETSYSPFVPQSALPASYTAGEMDASSDKSAEQRALLVERRQEVVLLDNEIAFNEAIIDEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIGKKKI >KJB25271 pep chromosome:Graimondii2_0_v6:4:49686892:49689826:1 gene:B456_004G183100 transcript:KJB25271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGRSFASRQNMINGKQDASQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHHAEVSTSKKITDAKLAKDFQAVLKEFQKAQRLAAERETSYSPFVPQSALPARYVSREGLHVHGCVADYTAGEMDASSDKSAEQRALLVERRQEVVLLDNEIAFNEAIIDEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIDDIGTHIENSQAATSQAKSHLVKAAKSQRSNSSLACLLLVIFGIVLLIVIIVLAA >KJB25354 pep chromosome:Graimondii2_0_v6:4:50269103:50270595:-1 gene:B456_004G187400 transcript:KJB25354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEIKSEIVSRQTIKPSTPTPPHLKTFKLSLLDQISPDIHGNMTFFYPSDSSTHPISNDHYFFEKSKLLQDSISKTLSLFYPLGGRLLDAATVDCNDEGAIFVEAKVNIPLSHFLNQPDFILMDHFLPTTDPTTMELSNGAMFLVRFTSFTCGGVAISLSLTHKVADVSALLTLLQCWSSVCRGLSDPITPDLIGEKFLAPRDELSAMSLSVNVAVEKFEQRRFVFSASKIAELKAKVDKEFQKALQSHPSRVEVVLALLWKCAVATKKKKTGSFGPTVLFQAINLRKRLSPLPETAVGNFIWPFMVVAYEEKDLELHALVIQMRKSLNEFNNTKANMFTGEGAPLAIMGAIKERGEFFRNNKEMTVYKCSSWCKFPLYDTDFGWGKPIWHVSVNKLVSNTVALADTRSRDGIEAHLTLDEEEMALFEQNEELLKYATLNPSIYA >KJB27325 pep chromosome:Graimondii2_0_v6:4:61993893:61996631:1 gene:B456_004G291100 transcript:KJB27325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISGSVLSCSIQFKVTTTNQAIIALKMLRGNKNRYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDTKLVMKGITHGACDYLLKPVRIEELKNIWQHVVRRKKPDSKDELAAPNHDKSRGGTGEAGQTWVACSSDQKVNKKRKDQSEDEEEETEDNGHENEDSSSQKKPRVVWSVDLHRKFVAAVNQLGLGDQAVPKKILDLMNVEGLTRENVASHLQKYRLYLRRLSSVATQQANMVVALGSRGPSHLRTGSLDGFGDFRSFTGPRRFSSASLPSYQSRGTFGRLNSSAALTLSGISSGVIQPGNSVNGLGKIQPVVLPANQNQNEALFQGITASIELNQLSQTKSTNHFGEYNCVNERNVFRISSSFPDARVVVGSSSNSLSTASGNALVLHGSTQEVQCSAAFENLPSFGMTSLNRESNDLSVRGSFNCLEHGRCSENWQGTVQLSNFPPSNEQLPSNNLQESIPWRNSSPSNSRIALSSMASSAVLGDSRADMLCKAGLNNSYSHVDSRVSASGSMMDQNNAVSSNTNDVSLFSHLNGEAPFAVRHSEGDKSSSDTDLRSNDNFLFLQSKPQNGFSQNNFESLEDIMSPVFKLEQNNETAFMDGGFGFDVAYPLGSCM >KJB27326 pep chromosome:Graimondii2_0_v6:4:61993642:61996839:1 gene:B456_004G291100 transcript:KJB27326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKMGGSNGEDSGEDQFPVGMRVLAVDDDPICLKILANLLCKCQYQVTTTNQAIIALKMLRGNKNRYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDTKLVMKGITHGACDYLLKPVRIEELKNIWQHVVRRKKPDSKDELAAPNHDKSRGGTGEAGQTWVACSSDQKVNKKRKDQSEDEEEETEDNGHENEDSSSQKKPRVVWSVDLHRKFVAAVNQLGLGDQAVPKKILDLMNVEGLTRENVASHLQKYRLYLRRLSSVATQQANMVVALGSRGPSHLRTGSLDGFGDFRSFTGPRRFSSASLPSYQSRGTFGRLNSSAALTLSGISSGVIQPGNSVNGLGKIQPVVLPANQNQNEALFQGITASIELNQLSQTKSTNHFGEYNCVNERNVFRISSSFPDARVVVGSSSNSLSTASGNALVLHGSTQEVQCSAAFENLPSFGMTSLNRESNDLSVRGSFNCLEHGRCSENWQGTVQLSNFPPSNEQLPSNNLQESIPWRNSSPSNSRIALSSMASSAVLGDSRADMLCKAGLNNSYSHVDSRVSASGSMMDQNNAVSSNTNDVSLFSHLNGEAPFAVRHSEGDKSSSDTDLRSNDNFLFLQSKPQNGFSQNNFESLEDIMSPVFKLEQNNETAFMDGGFGFDVAYPLGSCM >KJB21961 pep chromosome:Graimondii2_0_v6:4:2103129:2106856:1 gene:B456_004G026900 transcript:KJB21961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERVPVELSKGVNGLEKIILRETRGSSAEVYFYGGHVTSWKNEHGEELLFVSSKALFKPPKAIRGGIPICFPQFSNHGPLEPHGFARNKLWSIDTDPPAFPTNSSSKAYIDLILKPSEEDMKIWPHSYEFRLRVALGPGGDLMLTSRIRNTNTDGKPFTFTFAYHTYFSVSDISEVRVEGLETLDYLDNLLNKQRFTEQGDAITFEAEVDKIYLSTPTKIAILDHEKKRTLVLRKDGLPDAGEVYFLQLFY >KJB21963 pep chromosome:Graimondii2_0_v6:4:2104699:2107312:1 gene:B456_004G026900 transcript:KJB21963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPSMCRSSIVVACLDDDHCGHEKHALCLQFSNHGPLEPHGFARNKLWSIDTDPPAFPTNSSSKAYIDLILKPSEEDMKIWPHSYEFRLRVALGPGGDLMLTSRIRNTNTDGKPFTFTFAYHTYFSVSDISEVRVEGLETLDYLDNLLNKQRFTEQGDAITFEAEVDKIYLSTPTKIAILDHEKKRTLVLRKDGLPDAVVWNPWDKKAKAMADFGDDEYKHMLCVEAAAIEKPITLKPGEEWRGRQELSAVPSSYCSGQLDPRRVLQGS >KJB21960 pep chromosome:Graimondii2_0_v6:4:2103054:2109097:1 gene:B456_004G026900 transcript:KJB21960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERVPVELSKGVNGLEKIILRETRGSSAEVYFYGGHVTSWKNEHGEELLFVSSKALFKPPKAIRGGIPICFPQFSNHGPLEPHGFARNKLWSIDTDPPAFPTNSSSKAYIDLILKPSEEDMKIWPHSYEFRLRVALGPGGDLMLTSRIRNTNTDGKPFTFTFAYHTYFSVSDISEVRVEGLETLDYLDNLLNKQRFTEQGDAITFEAEVDKIYLSTPTKIAILDHEKKRTLVLRKDGLPDAVVWNPWDKKAKAMADFGDDEYKHMLCVEAAAIEKPITLKPGEEWRGRQELSAVPSSYCSGQLDPRRVLQGS >KJB21962 pep chromosome:Graimondii2_0_v6:4:2103129:2109049:1 gene:B456_004G026900 transcript:KJB21962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMERSCFSSVVSIDTDPPAFPTNSSSKAYIDLILKPSEEDMKIWPHSYEFRLRVALGPGGDLMLTSRIRNTNTDGKPFTFTFAYHTYFSVSDISEVRVEGLETLDYLDNLLNKQRFTEQGDAITFEAEVDKIYLSTPTKIAILDHEKKRTLVLRKDGLPDAVVWNPWDKKAKAMADFGDDEYKHMLCVEAAAIEKPITLKPGEEWRGRQELSAVPSSYCSGQLDPRRVLQGS >KJB23983 pep chromosome:Graimondii2_0_v6:4:31999693:31999935:-1 gene:B456_004G123300 transcript:KJB23983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSFIKKIERLNTNAEKEIIISWSKASTIIPTMIGHTIAIHNGKEHLPIYITDRMVGHKLGKFVPIINFCGHAKNDNKFRR >KJB25496 pep chromosome:Graimondii2_0_v6:4:51334445:51336022:-1 gene:B456_004G194600 transcript:KJB25496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTGISSTLPAPNLHVFHPKHASFMCNNSTLNPVVSSSAAPSSTRQHLSNLEKLLQKTNQTGPEGVVKGCSNGSVETKDKVLLERLNLSRIWPEMSAADDMSPRNLNRLQRLLSKTMEYSPRNNLGSRWREYHGCHDWSGLLDPLDENLRREVVRYGEFVQAAYHCFHSNPAMSADEAPLPRHVSLPERSYKVTKSLYATSSVGLPQWVDDVAPNLGWMTQQSSWIGFVAVCDDKREIQRMGRRDIVIALRGTATCLEWTENFRAQLVEISESDDPTQKVGCGFLSLHKTPGAHVPSLAESVVDEVQRLTEIYKGENLSITITGHSLGAALSLLVADEISSCAPQVPPMAVFSFGGPRVGNKGFANRLNEKNVKVLRIVNNQDLITKVPGVFIDGRLKQDPDKLKEEQRNESFARVFNMLENNNPWAYSHVGTELKVDTKMSPYLKPNADVACCHDLEAYLHLVDGFLSMECPFRSNAKRSLAKLIDNQKSNVKQLYTDEALSLNLERRDSFSISMVSCLPSPSR >KJB23967 pep chromosome:Graimondii2_0_v6:4:31630579:31633849:-1 gene:B456_004G122900 transcript:KJB23967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSETKFLQELILYAASAAFSCLVLFAGLRHLDPNREASKKAQEHKKEIAKRLGRPLIHTNPYEDVIACDVINPDHIDVEFDSIGGLEAIKQALYELVILPLRRPELFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINIRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRNTDHEAMTNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGVPDCMERAEILKVILKGERVEPSIDFDCIASLCEGYTGSDLLELCKKAAYFPIRDLLDEEKKGKPSGAPRPLSQSDLEKALATSRKTGVAANEYSRLSSQLSGWSRQRESDDYQVQAAINELSKLVVSQIVNLQSDSQDA >KJB23152 pep chromosome:Graimondii2_0_v6:4:10414820:10416151:-1 gene:B456_004G083900 transcript:KJB23152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFKSIFADDPYPPDPESESNSLKDQDSAPDSPPKQSDSNPTGWSFGDLVKTIASRSESVIEVYRRDLQEFGTGLKNEIEVAQGSLGNVGHAIDELGSTVLKGTVQIINQGRDVILAATNESDSPSSESNSRSFSTQRGLNSRGYSRFDAQLRAIQGDISTYGEEPEDLEDYKKWKSGFNLEDKKGQIERLMEENGEIESIYKRVVGVSDGVDHETFWCRYFYRVYKLQVAEDMRVKLVKRAISRDDEDEELSWDVDDDDDEEVDVKNVGSNPILKKDDEIVEGKAVNLESNGDFPANKEQVEKVEKVKQKNPVEELRVESDDAEKKGNADEKSSFGNVVTEKVNSEKDDGVSKEDSVSKSVEKVASEEQDDRKKSSNDNGLSSRPSMPGEEDPGWDEIEDLSSIDDMKGTYGGNSILNRADLRKRLSTAEEDEDLSWDIED >KJB24910 pep chromosome:Graimondii2_0_v6:4:46430995:46432604:-1 gene:B456_004G167500 transcript:KJB24910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >KJB23522 pep chromosome:Graimondii2_0_v6:4:19037941:19039746:-1 gene:B456_004G102300 transcript:KJB23522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCCSSEKVDEGVVVTPGNTTWRMFTYKELHTATNGFSDDNKLGEGGFGSVYWGKTSDGLQIAVKKLKAMTSKAEMEFAVEVEVLGRVRHKNLLGLRGYCVGTDQRLIVYDYMPNLSLLSHLHGHFAGDVQLDWKKRMKIAIGSAEGILYLHHEVTPHIIHRDIKASNVLLDSDFEPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLELLTGRKPIEKLPGGVKRTITEWVEPLIAKERFKELVDPKLRGNFDHNQLKQAIYVAALCVQSEPEKRPNMKQVVGMLKGYDTRGNLMQTRMDSVKYKEELLALDQRSDDDDDDDDDDDDDDDGDGLEESCGVFGAMEVQKMQDPYNRYGNRKSTKHI >KJB23523 pep chromosome:Graimondii2_0_v6:4:19038005:19039281:-1 gene:B456_004G102300 transcript:KJB23523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTYKELHTATNGFSDDNKLGEGGFGSVYWGKTSDGLQIAVKKLKAMTSKAEMEFAVEVEVLGRVRHKNLLGLRGYCVGTDQRLIVYDYMPNLSLLSHLHGHFAGDVQLDWKKRMKIAIGSAEGILYLHHEVTPHIIHRDIKASNVLLDSDFEPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLELLTGRKPIEKLPGGVKRTITEWVEPLIAKERFKELVDPKLRGNFDHNQLKQAIYVAALCVQSEPEKRPNMKQVVGMLKGYDTRGNLMQTRMDSVKYKEELLALDQRSDDDDDDDDDDDDDDDGDGLEESCGVFGAMEVQKMQDPYNRYGNRKSTKHI >KJB23704 pep chromosome:Graimondii2_0_v6:4:23833277:23836584:-1 gene:B456_004G110900 transcript:KJB23704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGREVAISLDGVRDKNVMQLKKLNTALFPVRYNDKYYADALASGEFTKLAYYSDICVGSIACRLEKKEGGAIRVYIMTLGVLAPYRGLGIGTRLLNHVLDLCSKQKIPEIYLHVQTNNEDAINFYKKFGFEITETIKNYYTNIDPPDCFVLTKFTAPSQAKK >KJB23707 pep chromosome:Graimondii2_0_v6:4:23832966:23836444:-1 gene:B456_004G110900 transcript:KJB23707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGREVAISLDGVRDKNVMQLKKLNTALFPVRYNDKYYADALASGEFTKLAYYSDICVGSIACRLEKKEGGAIRVYIMTLGVLAPYRGLGIGTRLLNHVLDLCSKQKIPEIYLHVQTNNEDAINFYKKFGFEITETIKNYYTNIDPPDCFVLTKFTAPSQAKK >KJB23706 pep chromosome:Graimondii2_0_v6:4:23833277:23836444:-1 gene:B456_004G110900 transcript:KJB23706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGREVAISLDGVRDKNVMQLKKLNTALFPVRYNDNDICVGSIACRLEKKEGGAIRVYIMTLGVLAPYRGLGIGTRLLNHVLDLCSKQKIPEIYLHVQTNNEDAINFYKKFGFEITETIKNYYTNIDPPDCFVLTKFTAPSQAKK >KJB23705 pep chromosome:Graimondii2_0_v6:4:23833277:23836444:-1 gene:B456_004G110900 transcript:KJB23705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGREVAISLDGVRDKNVMQLKKLNTALFPVRYNDKYYADALASGEFTKLAYYSDICVGSIACRLEKKEGGAIRVYIMTLGVLAPYRGLGIAKDTGNLLACSDKQ >KJB21730 pep chromosome:Graimondii2_0_v6:4:765666:766094:1 gene:B456_004G0108001 transcript:KJB21730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAALTIAAGSASASMVYLAHNGNDDVNWLPFCQQFGDFCQSASGAVIGSLLAAALLLVIIILSAFALKRN >KJB24216 pep chromosome:Graimondii2_0_v6:4:36615187:36618002:1 gene:B456_004G133600 transcript:KJB24216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSISIQPFLFIIIFFSFQPSISLEICSTLCGSQTIRFPFRLIDQPQYCGYQDFELSCQVQETTQDVTILTFPYAGNFSVFHIDYAQQVVQLSYSEGCLPGILLQGLNLSGSPFMSVNTQSYTFYNCSTMVQYPGVTKIPCLSGFNFYVVAILTDGYTPSTSVCLEIAKVMVPMSTDWWEDGMTLGWNQPDCRWCESHQGTCLFENVGAGLQVRCGSAIKHGLPSSVRDALVFGLEISLVCLIAIISCVKLNFTEGQNHPNPEILMTHPRSTPGSTKGLDRQTIDTYPTTLLGPSRCLPNPRDNICSICLCEYQANETLRTIPNCSHYFHVDCIDQWLKLNATCPVCRDKFEEPPPVAPTLSSLMPVVAFFTLIYVL >KJB23392 pep chromosome:Graimondii2_0_v6:4:14860700:14863667:-1 gene:B456_004G095600 transcript:KJB23392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESTRMIDVEKLISYNDDLVEVLNDINNLTQCFQHFNDLRSHCDADSNEVHRLLREYEEKIEACKKKTEQAKLEVADGAEMESICRRNTKKSLKKNVDLKRSLDNQKKEESRVSDFKPCFQ >KJB23393 pep chromosome:Graimondii2_0_v6:4:14862828:14863667:-1 gene:B456_004G095600 transcript:KJB23393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESTRMIDVEKLISYNDDLVEVLNDINNLTQCFQHFNDLRSHCDADSNEVHRLLREYEEKIEACKKKTEQAKLEVADGAEMESICRRNTKKSLKKNVDLKRSLDKYMTFDIYI >KJB25133 pep chromosome:Graimondii2_0_v6:4:48631373:48634621:-1 gene:B456_004G178100 transcript:KJB25133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNCVAPKPEHACNGRVESETSKSQKKAALAFPIQHNSQPPPRLTLFHITLKFEEVVYKVKLEEKGTCWGSWITREKTVLNGITGVVCPGEILAMLGPSGSGKTTLLTALGGRLTGKLSGKITYNGQPFSGALKRRTGFVAQDDVLYPHLTVTETLLFTALLRLPNSLTRDEKAQHVERVIAELGLTRCRNNMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRILTTIKGLASGGRTVVTTIHQPSSRLYHMFDKVVLLSEGCPIYYGSAASALDYFSSIGFSTSITVNPADLLLDLANVEQVESTEEEQKSVKDALLSAYEKNISTKLKAELCNLDVNNFVNTKEASARYEKSEQWCTSRWYQFKVLLQRGVRERRYEAFNRLRIFQVISVAVLGGLLWWHTPASHISDRIALLFFFSVFWGFYPLYNAVFTFPQERTMLIKERSSGMYRLSSYFLARTFGDLPLELALPTAFVFIIYWMGGLKPDPLTFILSLLIVLYSVLVSQSLGLAIGAILMDIKQATTLASVTTLVFLIAGGYYVQQIPSFILWLKYLSYSYYCYKLLLGVQYNEDDVYECGTGGLCRVGDLPAIKSMGLNHLWIDVAIMALMLLGYRLLAYMALHRVRLR >KJB25132 pep chromosome:Graimondii2_0_v6:4:48631249:48634921:-1 gene:B456_004G178100 transcript:KJB25132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNCVAPKPEHACNGRVESETSKSQKKAALAFPIQHNSQPPPRLTLFHITLKFEEVVYKVKLEEKGTCWGSWITREKTVLNGITGVVCPGEILAMLGPSGSGKTTLLTALGGRLTGKLSGKITYNGQPFSGALKRRTGFVAQDDVLYPHLTVTETLLFTALLRLPNSLTRDEKAQHVERVIAELGLTRCRNNMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRILTTIKGLASGGRTVVTTIHQPSSRLYHMFDKVVLLSEGCPIYYGSAASALDYFSSIGFSTSITVNPADLLLDLANGIGPDFMNSVEQVESTEEEQKSVKDALLSAYEKNISTKLKAELCNLDVNNFVNTKEASARYEKSEQWCTSRWYQFKVLLQRGVRERRYEAFNRLRIFQVISVAVLGGLLWWHTPASHISDRIALLFFFSVFWGFYPLYNAVFTFPQERTMLIKERSSGMYRLSSYFLARTFGDLPLELALPTAFVFIIYWMGGLKPDPLTFILSLLIVLYSVLVSQSLGLAIGAILMDIKQATTLASVTTLVFLIAGGYYVQQIPSFILWLKYLSYSYYCYKLLLGVQYNEDDVYECGTGGLCRVGDLPAIKSMGLNHLWIDVAIMALMLLGYRLLAYMALHRVRLR >KJB23590 pep chromosome:Graimondii2_0_v6:4:21692603:21693342:1 gene:B456_004G106300 transcript:KJB23590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGRYAVLLAARDSEFVERVYGGYFNVFVAAFGEEGERWDLFRVVDDEFPDMSELYKYDGFVISGSPYDAYGNDYWILKLCFLLQTLDAMEKKVLGICFGHQVLCRSLGGKVGKACSGWDIGLRTVKVVKDLWVPSFLEELDEMPSTLSIIECHQDEVFEVPMGAQVVAFSEKTGVEMFVIGQHILGIQGHPEYTKDILYNIIDRLLDNNSIQVNNQ >KJB23589 pep chromosome:Graimondii2_0_v6:4:21692603:21693861:1 gene:B456_004G106300 transcript:KJB23589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGRYAVLLAARDSEFVERVYGGYFNVFVAAFGEEGERWDLFRVVDDEFPDMSELYKYDGFVISGSPYDAYGNDYWILKLCFLLQTLDAMEKKVLGICFGHQVLCRSLGGKVGKACSGWDIGLRTVKVVKDLWVPSFLEELDEMPSTLSIIECHQDEVFEVPMGAQVVAFSEKTGVEMFVIGQHILGIQGHPEYTKDILYNIIDRLLDNNSIQSWEKICRDFLKRRQNSSFS >KJB23588 pep chromosome:Graimondii2_0_v6:4:21692558:21693892:1 gene:B456_004G106300 transcript:KJB23588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGRYAVLLAARDSEFVERVYGGYFNVFVAAFGEEGERWDLFRVVDDEFPDMSELYKYDGFVISGSPYDAYGNDYWILKLCFLLQTLDAMEKKVLGICFGHQVLCRSLGGKVGKACSGWDIGLRTVKVVKDLWVPSFLEELDEMPSTLSIIECHQDEVFEVPMGAQVVAFSEKTGVEMFVIGQHILGIQGHPEYTKDILYNIIDRLLDNNSIQRDIAEKAKFGLETAEPDRKSWEKICRDFLKRRQNSSFS >KJB26834 pep chromosome:Graimondii2_0_v6:4:59727838:59736420:-1 gene:B456_004G262000 transcript:KJB26834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVHGTGVYDFKRHHVAEYPVELADKPETKPPHSSSLPSSITLSEIQRDQLTRIAAANWLKSGGSKPEKPFDPQLVKEIYETELTVKSEGKSQRKTVPLQRVMILEVSQYLENYLWPNFDAETASYEHVMSMILMVNEKFRENVAAWGCFYDRKDVFTGFLERVLRLKEGRELTIAEKTNYLVFMINAFQSLEDEIVRETVLRLASLRSWHSLSYGRFQMELCLNPDLIKKWKRMIKKESDDAKKLGVRLDPLSSLEVNFLRNLIEEFLEVLDHKVFTQKNPVSEDDELNASSFFDQVDDASILYCERFMEFLIDLLSQLPTRRYLRPLVADVAVVSKCHLSALYRHDKGKLFAQLVDLLQFYENFEINDHVGTQLTDDEVLQSHYDRFQSFQLLAFKKVPKLKELALANVGAIHKRVDLSKKLSVLSPGELKDLVCSKLKLVSNEDPWSDRVDFLIEVMVSFFEKHQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAIPHLLSYINNEGETAFRGWSRMAVPIREFKITEVKQPNIGEVKPASVTAAIAYSISSYKSQIRSEWDALKEHDVLFLLSISPSFKPLSAEEEAKASVPQKLGLQYVRGCEIIEIRDEEGTLMNDFSGRTKREEWKPPKGELRTVTVALDAAQYHMDVTDIAEKGTEDVYGTFNVLMRRKPKENNFKAILESIRDLMNEYCIVPDWLHKIFLGYGHPSAAQWTNMPDLLETVDFKDTFLNADHLKESFSHYQVSFVDSDGRETLDPRPPFRIKLPRSFKSDTHAPSENGRPDTGVSDAKMVDACVEKEKLIVEAYIPPDPGPYPQDQPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMERDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFIAACAGNEDKPAFVQDHFPFKEFFSNTSQAVFTGQSFEKDMRAAKGCFRHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAQLYNWRYRDLGDLPSVKEEAIFYRANAGFSYDYQLVDVPDYHGRGESAPSPWFYQNEGEAEYVVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCLPYDFIGPPCKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYVFCRRSLFEQCYELQPTFQRLLQRPDHLALNLNEGTSFTERHVDDIGHPYLVSSVEEMANIVFGRIDQLQQAQAMYQYMAYSEQFTGISEEQNNEQDSTSPNQAMEVDTSVAENGGINNGVHENNGQEAKEDGEDHANGEDGVLPSEDHPNTENETQVSTNDENTVT >KJB26831 pep chromosome:Graimondii2_0_v6:4:59727835:59736538:-1 gene:B456_004G262000 transcript:KJB26831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVHGTGVYDFKRHHVAEYPVELADKPETKPPHSSSLPSSITLSEIQRDQLTRIAAANWLKSGGSKPEKPFDPQLVKEIYETELTVKSEGKSQRKTVPLQRVMILEVSQYLENYLWPNFDAETASYEHVMSMILMVNEKGRELTIAEKTNYLVFMINAFQSLEDEIVRETVLRLASLRSWHSLSYGRFQMELCLNPDLIKKWKRMIKKESDDAKKLGVRLDPLSSLEVNFLRNLIEEFLEVLDHKVFTQKNPVSEDDELNASSFFDQVDDASILYCERFMEFLIDLLSQLPTRRYLRPLVADVAVVSKCHLSALYRHDKGKLFAQLVDLLQFYENFEINDHVGTQLTDDEVLQSHYDRFQSFQLLAFKKVPKLKELALANVGAIHKRVDLSKKLSVLSPGELKDLVCSKLKLVSNEDPWSDRVDFLIEVMVSFFEKHQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAIPHLLSYINNEGETAFRGWSRMAVPIREFKITEVKQPNIGEVKPASVTAAIAYSISSYKSQIRSEWDALKEHDVLFLLSISPSFKPLSAEEEAKASVPQKLGLQYVRGCEIIEIRDEEGTLMNDFSGRTKREEWKPPKGELRTVTVALDAAQYHMDVTDIAEKGTEDVYGTFNVLMRRKPKENNFKAILESIRDLMNEYCIVPDWLHKIFLGYGHPSAAQWTNMPDLLETVDFKDTFLNADHLKESFSHYQVSFVDSDGRETLDPRPPFRIKLPRSFKSDTHAPSENGRPDTGVSDAKMVDACVEKEKLIVEAYIPPDPGPYPQDQPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMERDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFIAACAGNEDKPAFVQDHFPFKEFFSNTSQAVFTGQSFEKDMRAAKGCFRHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAQLYNWRYRDLGDLPSVKEEAIFYRANAGFSYDYQLVDVPDYHGRGESAPSPWFYQNEGEAEYVVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCLPYDFIGPPCKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYVFCRRSLFEQCYELQPTFQRLLQRPDHLALNLNEGTSFTERHVDDIGHPYLVSSVEEMANIVFGRIDQLQQAQAMYQYMAYSEQFTGISEEQNNEQDSTSPNQAMEVDTSVAENGGINNGVHENNGQEAKEDGEDHANGEDGVLPSEDHPNTENETQVSTNDENTVT >KJB26833 pep chromosome:Graimondii2_0_v6:4:59728234:59736249:-1 gene:B456_004G262000 transcript:KJB26833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVHGTGVYDFKRHHVAEYPVELADKPETKPPHSSSLPSSITLSEIQRDQLTRIAAANWLKSGGSKPEKPFDPQLVKEIYETELTVKSEGKSQRKTVPLQRVMILEVSQYLENYLWPNFDAETASYEHVMSMILMVNEKFRENVAAWGCFYDRKDVFTGFLERVLRLKEGRELTIAEKTNYLVFMINAFQSLEDEIVRETVLRLASLRSWHSLSYGRFQMELCLNPDLIKKWKRMIKKESDDAKKLGVRLDPLSSLEVNFLRNLIEEFLEVLDHKVFTQKNPVSEDDELNASSFFDQVDDASILYCERFMEFLIDLLSQLPTRRYLRPLVADVAVVSKCHLSALYRHDKGKLFAQLVDLLQFYENFEINDHVGTQLTDDEVLQSHYDRFQSFQLLAFKKVPKLKELALANVGAIHKRVDLSKKLSVLSPGELKDLVCSKLKLVSNEDPWSDRVDFLIEVMVSFFEKHQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAIPHLLSYINNEGETAFRGWSRMAVPIREFKITEVKQPNIGEVKPASVTAAIAYSISSYKSQIRSEWDALKEHDVLFLLSISPSFKPLSAEEEAKASVPQKLGLQYVRGCEIIEIRDEEGTLMNDFSGRTKREEWKPPKGELRTVTVALDAAQYHMDVTDIAEKGTEDVYGTFNVLMRRKPKENNFKAILESIRDLMNEYCIVPDWLHKIFLGYGHPSAAQWTNMPDLLETVDFKDTFLNADHLKESFSHYQVSFVDSDGRETLDPRPPFRIKLPRSFKSDTHAPSENGRPDTGVSDAKMVDACVEKEKLIVEAYIPPDPGPYPQDQPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMERDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFIAACAGNEDKPAFVQDHFPFKEFFSNTSQAVFTGQSFEKDMRAAKGCFRHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAQLYNWRYRDLGDLPSVKEEAIFYRANAGFSYDYQLVDVPDYHGRGESAPSPWFYQNEGEAEYVVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCLPYDFIGPPCKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYVFCRRSLFEQCYELQPTFQRLLQRPDHLALNLNEGTSFTERHVDDIGHPYLVSSVEEMANIVFGRIDQLQQAQAMYQYMAYSEQFTGISEEQNNEQDSTSPNQAMEVDTSVAENGGINNGVHENNGQEAKEDGEDHANGEDGVLPSEDHPNTENETQVSTNDENTVT >KJB26832 pep chromosome:Graimondii2_0_v6:4:59727827:59736538:-1 gene:B456_004G262000 transcript:KJB26832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVHGTGVYDFKRHHVAEYPVELADKPETKPPHSSSLPSSITLSEIQRDQLTRIAAANWLKSGGSKPEKPFDPQLVKEIYETELTVKSEGKSQRKTVPLQRVMILEVSQYLENYLWPNFDAETASYEHVMSMILMVNEKGRELTIAEKTNYLVFMINAFQSLEDEIVRETVLRLASLRSWHSLSYGRFQMELCLNPDLIKKWKRMIKKESDDAKKLGVRLDPLSSLEVNFLRNLIEEFLEVLDHKVFTQKNPVSEDDELNASSFFDQVDDASILYCERFMEFLIDLLSQLPTRRYLRPLVADVAVVSKCHLSALYRHDKGKLFAQLVDLLQFYENFEINDHVGTQLTDDEVLQSHYDRFQSFQLLAFKKVPKLKELALANVGAIHKRVDLSKKLSVLSPGELKDLVCSKLKLVSNEDPWSDRVDFLIEVMVSFFEKHQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAIPHLLSYINNEGETAFRGWSRMAVPIREFKITEVKQPNIGEVKPASVTAAIAYSISSYKSQIRSEWDALKEHDVLFLLSISPSFKPLSAEEEAKASVPQKLGLQYVRGCEIIEIRDEEGTLMNDFSGRTKREEWKPPKGELRTVTVALDAAQYHMDVTDIAEKGTEDVYGTFNVLMRRKPKENNFKAILESIRDLMNEYCIVPDWLHKIFLGYGHPSAAQWTNMPDLLETVDFKDTFLNADHLKESFSHYQVSFVDSDGRETLDPRPPFRIKLPRSFKSDTHAPSENGRPDTGVSDAKMVDACVEKEKLIVEAYIPPDPGPYPQDQPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMERDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFIAACAGNEDKPAFVQDHFPFKEFFSNTSQAVFTGQSFEKDMRAAKGCFRHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAQLYNWRYRDLGDLPSVKEEAIFYRANAGFSYDYQLVDVPDYHGRGESAPSPWFYQNEGEAEYVVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCLPYDFIGPPCKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYVFCRRSLFEQCYELQPTFQRLLQRPDHLALNLNEGTSFTERHVDDIGHPYLVSSVEEMANIVFGRIDQLQQAQAMYQYMAYSEQFTGISEEQNNEQDSTSPNQAMEVDTSVAENGGINNGVHENNGQEAKEDGEDHANGEDGVLPSEDHPNTENETQVSTNDENTVT >KJB24851 pep chromosome:Graimondii2_0_v6:4:45850591:45851886:1 gene:B456_004G164800 transcript:KJB24851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLQTVCCMCGDVGFPDKLFRCNKCHHRFQHSYCSNYYSEFAEPIELCDWCQSEAKNSRQGRSSKKPTTGNESGIINRSEYSGDKIKQQDRDESGDHQKGKSSGTPSPRPTTRRYKLLKDVMC >KJB24332 pep chromosome:Graimondii2_0_v6:4:39372965:39376053:-1 gene:B456_004G139800 transcript:KJB24332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRIRSRDGLERVQVGGPHVLISQLKALIESQLQIPIHNQTLSTDRNLLLAKTPADLLRFTDMSDPSRPLSSLNLSHGSVIFLYYQGERTVRGGAPVCPAGSFGRKMTMDDLIAKQTRITRQESPHCDSVSFDRDSANAFQRYVNETLAFAVKRGGFMYGTVSEEGRVEVDFIYEPPQQGMEDDLILLRDPEEEKLVDAIAAGLGRKRVGFIFTQTIMQDKKDYNFSNKEVLQAAELHAESGLKEWVTVVVKLEANEDGDADVHFEAFQMSDMCVKLFKEGWFVTEFGEDDDPKLSKMKKEVVVGGKDVKEVDNDFFLVVVKIIDHQGPLSSTFPIENRNNLATMRTLKNHLDRTKSLPFVKRIADFHLLLFLAMSHGLGSDVPALAECVSTETAVPEGYQLLIESMANTS >KJB24642 pep chromosome:Graimondii2_0_v6:4:43990867:43992065:-1 gene:B456_004G155100 transcript:KJB24642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQELISTPPPAAPPPLTAPFFFAPSTTPSSSLHPLPPLESQIIPDIDWVSLLYGQALLLQGHDESKPVTETASSLMAENGGCHQIENGNQDKRKGNRFKKTTRPRFAFQTRSADDILDDGYRWRKYGQKAVKNSNYPRSYYRCTHHTCNVKKQVQRLSKDSSIVVTTYEGVHNHPCEKLMETLTPLLKQMQFLSRF >KJB24641 pep chromosome:Graimondii2_0_v6:4:43991335:43991896:-1 gene:B456_004G155100 transcript:KJB24641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQELISTPPPAAPPPLTAPFFFAPSTTPSSSLHPLPPLESQIIPDIDWVSLLYGQALLLQGHDESKPVTETASSLMAENGGCHQIENGNQDKRKGNRFKKTTRPRFAFQTRSADDILDDGYRWRKYGQKAVKNSNYPRFVTRHAYTSKICMEYINNFFKHT >KJB24211 pep chromosome:Graimondii2_0_v6:4:36325405:36328289:-1 gene:B456_004G133100 transcript:KJB24211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNEAKEEEFGFSRNYFLAKEMGSSGKKSARKLSDINVVDEQEHRETSANIEPKHQNDIADLINSYKSLYPKWVFGLS >KJB24210 pep chromosome:Graimondii2_0_v6:4:36325681:36327174:-1 gene:B456_004G133100 transcript:KJB24210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNEAKEEEFGFSRNYFLAKEMGSSGKKSARKLSDINVVDEQEHRETSANIEPKHQNDIADLINSYKSLYPKWVFGLS >KJB24461 pep chromosome:Graimondii2_0_v6:4:41333060:41336026:1 gene:B456_004G146600 transcript:KJB24461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVYWYDCVCFGIVAAAFLGSLWVLWRKEAASRCEDDSVYESLLAAQQDADGFVHATPTAHVGSNQLWTSCWKGVHPGWLVLTRFVSFAALAGFLSWDIVDWDASIFVYYTEWTFALVMVYFGLGTVISAYGCWVCLNTPLPENGARAEFLKSDVEESRTENSVTYKENNVRDKIRLQSQRAGFWGYLMQTIYQTCAGAVILTDIVFWCVIVPFLSNSHLGLNTVGLNFIYFISSYGNVLSNNGYIML >KJB24463 pep chromosome:Graimondii2_0_v6:4:41333875:41336029:1 gene:B456_004G146600 transcript:KJB24463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSNDEGLGYWLRWQVPVCALIIVSPSVLASYSINKVKTDPLFFNHFWKPQWRNLNPCWLLCYRAFAFICTARILCEVIASEGGAFAFYFYTQWTFALVMVYFGLGTVISAYGCWVCLNTPLPENGARAEFLKSDVEESRTENSVTYKENNVRDKIRLQSQRAGFWGYLMQTIYQTCAGAVILTDIVFWCVIVPFLSNSHLGLNTLMGCMHTLNAVFLILDTLLNSLPFPWFRLAYFVQWSCLYVVFQWVLHACGFTWWPYPFLELNTPWAPLWYFALALVHIPCYGMYALIVKAKNSILPRLFPHAFVRSY >KJB24460 pep chromosome:Graimondii2_0_v6:4:41332970:41336073:1 gene:B456_004G146600 transcript:KJB24460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVYWYDCVCFGIVAAAFLGSLWVLWRKEAASRCEDDSVYESLLAAQQDADGFVHATPTAHVGSNQLWTSCWKGVHPGWLVLTRFVSFAALAGFLSWDIVDWDASIFVYYTEWTFALVMVYFGLGTVISAYGCWVCLNTPLPENGARAEFLKSDVEESRTENSVTYKENNVRDKIRLQSQRAGFWGYLMQTIYQTCAGAVILTDIVFWCVIVPFLSNSHLGLNTLMGCMHTLNAVFLILDTLLNSLPFPWFRLAYFVQWSCLYVVFQWVLHACGFTWWPYPFLELNTPWAPLWYFALALVHIPCYGMYALIVKAKNSILPRLFPHAFVRSY >KJB24462 pep chromosome:Graimondii2_0_v6:4:41333394:41335810:1 gene:B456_004G146600 transcript:KJB24462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVYWYDCVCFGIVAAAFLGSLWVLWRKEAASRCEDDSVYESLLAAQQDADGFVHATPTAHVGSNQLWTSCWKGVHPGWLVLTRFVSFAALAGFLSWDIVDWDASIFVYYTEWQVPVCALIIVSPSVLASYSINKVKTDPLFFNHFWKPQWRNLNPCWLLCYRAFAFICTARILCEVIASEGGAFAFYFYTQWTFALVMVYFGLGTVISAYGCWVCLNTPLPENGARAEFLKSDVEESRTENSVTYKENNVRDKIRLQSQRAGFWGYLMQTIYQTCAGAVILTDIVFWCVIVPFLSNSHLGLNTLMGCMHTLNAVFLILDTLLNSLPFPWFRLAYFVQWSCLYVVFQWVLHACGFTWWPYPFLELNTPWAPLWYFALALVHIPCYGMYALIVKAKNSILPRLFPHAFVRSY >KJB21447 pep chromosome:Graimondii2_0_v6:4:47510122:47510703:1 gene:B456_004G173400 transcript:KJB21447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDKRLTEIFCDICIKEILKGNRPGAHFTRDGWLKIMTNFEKEMGKGFSQRQLKNRWDALKKEWKAWKKLKGEDTGLRWNLIKRTVDASDEWWESRLQVVPEAKKFRTSGIDPEFKGKLDQMFMEIVATGDKAWAPSSSTLPSDFFENVNNEIPEKNE >KJB21811 pep chromosome:Graimondii2_0_v6:4:1073078:1075712:1 gene:B456_004G014900 transcript:KJB21811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDATGMPGGIDPHTHLDAEFMGTVAVDDFFSGQAAALAGGTTMHIDFVHPVNGSLMSGFEAYEKKASKSCMDYGFHMVIRHWDESVSKEIEIMVKENGINSFKFFMAYKGTFMVDNKVLLRGMKRCKSLGALAMVHAENGDAVFEGKKRMIELGITGPEGHALSRPPMVIGEPVVSGLVLDDSKLWDNTFGCWGLVKYCLIDDISNTPPERSIPLNTHTHSWAMYVTCSARIFNIYPRKGAILVGSDADIIIFNELRNKSKISSFDNQYECIRRGRIVWKDDELKVAPGSGKYIPMPPFGFLFDGIERTDAKLISSFKAPVHWFKSDV >KJB24750 pep chromosome:Graimondii2_0_v6:4:44801728:44807766:-1 gene:B456_004G158900 transcript:KJB24750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVDMAVIKPEIMKSYIWLQTVDGSIQQVEQEIAMFCPMICHEVIQKGMGSSKNYAISLPQQVNPAMLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKAPEEIREIFHLPDDLTEEEKLEPLKNATDDPRIRLLNRLYAKKRKELKEREKLKNVEVDEELVDDRSVDDLLSFINGDDGDSKGIKTSKSKKKNRRRKDLLKSTSAHEATKNHNKESNGLNSVCRSAEVGQILPGVGATTNLQDVEDDIFPNKNEFDDGDIDDEIDPTLKEKIDREVEDFARRLNSDWPERMQEILSLGQEKKPVHLSLNGKGS >KJB24751 pep chromosome:Graimondii2_0_v6:4:44801459:44804794:-1 gene:B456_004G158900 transcript:KJB24751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKAPEEIREIFHLPDDLTEEEKLEPLKNATDDPRIRLLNRLYAKKRKELKEREKLKNVEVDEELVDDRSVDDLLSFINGDDGDSKGIKTSKSKKKNRRRKDLLKSTSAHEATKNHNKESNGLNSVCRSAEVGQILPGVGATTNLQDVEDDIFPNKNEFDDGDIDDEIDPTLKEKIDREVEDFARRLNSDWPERMQEILSLGQEKKPVHLSLNGKGS >KJB24755 pep chromosome:Graimondii2_0_v6:4:44802487:44804540:-1 gene:B456_004G158900 transcript:KJB24755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKAPEEIREIFHLPDDLTEEEKLEPLKNATDDPRIRLLNRLYAKKRKELKEREKLKNVEVDEELVDDRSVDDLLSFINGDDGDSKGIKTSKSKKKNRRRKDLLKSTSAHEATKNHNKESNGLNSVCRSAEVGQILPGVGATTNLQDVEDDIFPNKNEFDDGDIDDEIDPTLKEKIDREVEDFARRLNSDWPERMQEILSLGQEKKPVHLSLNGKGS >KJB24752 pep chromosome:Graimondii2_0_v6:4:44801459:44805476:-1 gene:B456_004G158900 transcript:KJB24752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYIWLQTVDGSIQQVEQEIAMFCPMICHEVIQKGMGSSKNYAISLPQQVNPAMLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKAPEEIREIFHLPDDLTEEEKLEPLKNATDDPRIRLLNRLYAKKRKELKEREKLKNVEVDEELVDDRSVDDLLSFINGDDGDSKGIKTSKSKKKNRRRKDLLKSTSAHEATKNHNKESNGLNSVCRSAEVGQILPGVGATTNLQDVEDDIFPNKNEFDDGDIDDEIDPTLKEKIDREVEDFARRLNSDWPERMQEILSLGQEKKPVHLSLNGKGS >KJB24756 pep chromosome:Graimondii2_0_v6:4:44802487:44806058:-1 gene:B456_004G158900 transcript:KJB24756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVDMAVIKPEIMKSYIWLQTVDGSIQQVEQEIAMFCPMICHEVIQKGMGSSKNYAISLPQQVNPAMLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKAPEEIREIFHLPDDLTEEEKLEPLKNATDDPRIRLLNRLYAKKRKELKEREKLKNVEVDEELVDDRSVDDLLSFINGDDGDSKGIKTSKSKKKNRRRKDLLKSTSAHEATKNHNKESNGLNSVCRSAEVGQILPGVGATTNLQDVEDDIFPNKNEFDDGDIDDEIDPTLKEKIDREVEDFARRLNSDWPERMQEILSLGQEKKPVHLSLNGKGS >KJB24753 pep chromosome:Graimondii2_0_v6:4:44801459:44807766:-1 gene:B456_004G158900 transcript:KJB24753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVDMAVIKPEIMKSYIWLQTVDGSIQQVEQEIAMFCPMICHEVIQKGMGSSKNYAISLPQQVNPAMLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKAPEEIREIFHLPDDLTEEEKLEPLKNATDDPRIRLLNRLYAKKRKELKEREKLKNVEVDEELVDDRSVDDLLSFINGDDGDSKGIKTSKSKKKNRRRKDLLKSTSAHEATKNHNKC >KJB24748 pep chromosome:Graimondii2_0_v6:4:44802487:44806058:-1 gene:B456_004G158900 transcript:KJB24748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVDMAVIKPEIMKSYIWLQTVDGSIQQVEQEIAMFCPMICHEVIQKGMGSSKNYAISLPQQVNPAMLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKAPEEIREIFHLPDDLTEEEKLEPLKNATDDPRIRLLNRLYAKKRKELKEREKLKNVEVDEELVDDRSVDDLLSFINGDDGDSKGIKTSKSKKKNRRRKDLLKSTSAHEATKNHNKESNGLNSVCRSAEVGQILPGVGATTNLQDVEDDIFPNKNEFDDGDIDDEIDPTLKEKIDREVEDFARRLNSDWPERMQEILSLGQEKKPVHLSLNGKGS >KJB24749 pep chromosome:Graimondii2_0_v6:4:44801198:44807766:-1 gene:B456_004G158900 transcript:KJB24749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVDMAVIKPEIMKSYIWLQTVDGSIQQVEQEIAMFCPMICHEVIQKGMGSSKNYAISLPQQVNPAMLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKAPEEIREIFHLPDDLTEEEKLEPLKNATDDPRIRLLNRLYAKKRKELKEREKLKNVEVDEELVDDRSVDDLLSFINGDDGDSKGIKTSKSKKKNRRRKDLLKSTSAHEATKNHNKESNGLNSVCRSAEVGQILPGVGATTNLQDVEDDIFPNKNEFDDGDIDDEIDPTLKEKIDREVEDFARRLNSDWPERMQEILSLGQEKKPVHLSLNGKGS >KJB24754 pep chromosome:Graimondii2_0_v6:4:44801238:44806273:-1 gene:B456_004G158900 transcript:KJB24754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVDMAVIKPEIMKSYIWLQTVDGSIQQVEQEIAMFCPMICHEVIQKGMGSSKNYAISLPQQVNPAMLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKAPEEIREIFHLPDDLTEEEKLEPLKNATDDPRIRLLNRLYAKKRKELKEREKLKNVEVDEELVDDRSVDDLLSFINGDDGDSKGIKTSKSKKKNRRRKDLLKSTSAHEATKNHNKESNGLNSVCRSAEVGQILPGVGATTNLQDVEDDIFPNKNEFDDGDIDDEIDPTLKEKIDREVEDFARRLNSDWPERMQEILSLGQEKKPVHLSLNGKGS >KJB25693 pep chromosome:Graimondii2_0_v6:4:53421791:53423325:-1 gene:B456_004G204600 transcript:KJB25693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCAHTQEMEPQDSSPLKTANFSDLGIGLTNLGDSWNKESNRLSGGEGSPPIGLGLELGCGSGHIPTGITKSCGFTVFQLQELQLQSLIYKYMEAGLPVPHHLLLPIWKSVAGSLGGLHGSPYQLYYGFLGCGPLQLGYKNGVDPEPGRCRRTDGKKWRCSKEAVPDHKYCERHMHRGRQRSTKLVEASQATRTSISRNNANTNLSISLQVDSSNNSGNGSNLSSSFTGFSPNIALLRGGDSKAVPHSLQFQELL >KJB25694 pep chromosome:Graimondii2_0_v6:4:53421815:53423165:-1 gene:B456_004G204600 transcript:KJB25694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCAHTQEMEPQDSSPLKTANFSDLGIGLTNLGDSWNKESNRLSGGEGSPPIGLGLELGCGSGHIPTGITKSCGFTVFQLQELQLQSLIYKYMEAGLPVPHHLLLPIWKSVAGSLGGLHGSPYQLYYVLGCGPLQLGYKNGVDPEPGRCRRTDGKKWRCSKEAVPDHKYCERHMHRGRQRSTKLVEASQATRTSISRNNANTNLSISLQVDSSNNSGNGSNLSSSFTGFSPNIALLRGGDSKAVPHSLQFQELL >KJB23877 pep chromosome:Graimondii2_0_v6:4:29023055:29025894:-1 gene:B456_004G119000 transcript:KJB23877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSPVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLTSKLGANSPALVPDWQIGECVAIWWRPNFETIMYPYCPPHITKPKECKKLFLVHLSEKEYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMITT >KJB23878 pep chromosome:Graimondii2_0_v6:4:29023108:29025726:-1 gene:B456_004G119000 transcript:KJB23878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSPVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLTSKLGANSPALVPDWQIGECVAIWWRPNFETIMYPYCPPHITKPKECKKLFLVHLSEKEYFAVPKNLKLLAVPLFELYDNVQVGSNLILVHSSTDLSF >KJB22107 pep chromosome:Graimondii2_0_v6:4:2362204:2370430:1 gene:B456_004G029700 transcript:KJB22107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTPTSVSSSKQRGCGKKRGTRLQTKRKRLDAICEEEYNRNHREGNKGDDVEGPESVDLELRRSSRVRRAPVILDVSPRPPKKRQKVGKSGRSGRGKRRLGSVKEEEEEEQMREVLTLGSWTSRLRARRRNASVKVKMEDRVLSSSRKLFGDVGGNEEDEEEGEEDENDDDEEEDDENDDDDEEEDEMEEEGQMSDREIMVVKSKRLGRVKAASGSGSEVKVDICCEEEEREVEKGGIRGDGVVEGVSAFESEMSENNEDEVVEGTAVAENEISQRNEERLDDSLVEVINKENREVSNCIKLDEGYIDHENAKVIELIERMEPREEQVQQFKCQDEGANGEDVMEVHNVAEEVEDCCVHDAKDNGLFKVPKKTLEHKSDMKVEESNQTAAETISKPRIKQGRRCGLCGGATDGKPPKKLVHDAGDSENEAYSSSASEEPNYDIWDGFGDEPGWLGRLLGPTNDRYGIARIWVHQQCAVWSPEVYFAGLGHLKNVRAALSRGRALKCTRCGRPGATIGCRVDRCPKTYHLPCARANGCIFDHRKFLIACTDHRHLFQPHGLQYLAKIKKMKAKKMKLEMRKESNDAWRKDIEAEEKWLENCGEDEEFLKREGKRLHRDLSRIAPVYIGGSESDSGKFFEGWESVAGLQDVIRCMKEVVILPLLYPEFFDNLGLTPPRGVLLHGYPGTGKTLVVRALIGSCARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKCQPSIIFFDEIDGLAPRRTRQQDQTHSSVVSTLLSLMDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSMEDRAAILELHTQKWPKPVTGSLLKWVARKTVGFAGADLQALCTQAAVIALKRNFPLQEMLSAAEQKVLGAKRVPLPTFKVEERDWLEALSCSPPPCSRREAGMAAQDLVASPLPAHLIPCLVEPLSDLLLSLRLDERLWLPPLLSESGAVIESVIVSTLLERGLPKDHWWSHVRDLLQEGQVVKQIVSRLSCAGMLIGKTSFADYDASVGDISDDVGKFEHSIVHNGCTRSSLSRSTYLTSTRKRGFRILIAGCPGSGQKHLASCLLHCFVGNVEINKVDLATIAQEGHGDLIQGVTQILMKCASLGSSVVFMPRIDLWAVETIYQVAEESNSSSTLHQAPMEEDPQLVEKENGSSQQQSELAETGEDTAAVQSVSCAWSSFVEQVESICVSTSLIILATSETPYLELPDRIREFFRSDLPNCNRKTTLGHAVPRFTVHLGRDFDHDMVVKLSAAELSRDLLQSFVHLIHQRSHVHEGPKRKNSVQTSAATENDNTSHGLACEVGSQPRGDLSVTVPTPPTNSKNLKGKSSLMLAITSFGYQILRCPHFAELCWVTSKLKEGPSAEIGGPWKGWPFNSCIIRPTNSSGKATCGSSNIKSKEKFGLVRGLVAVGLSAYRGLYTSLREVSSEVRKVLELLVGWINAKVTTGKDRYQYVHILSQVAYVEDMVNSWVYSLQSLDQDLQIKASSPNPYALGSQGNYSIHVNDTDRIKEYGPGAKINESTVQNSNFIDLKEKDDNDGKVKLFEAIQGIGLSGNTNSEEHLNCTLADIPVAHVDEQTATNPSLCVSGSTRNPMVDGELNAQNIDSIEMNKMDDDCTPSDEGKVSAVEGAVMNVGLSGNTISMEHRNYSVVDELVCVGKQNGTMPAPSESVTTINPTLVGDPRSSKQCNGFAPSESVPSKNGFCSSDELNGEKFSGSGKSCNQINASETRISITSEDGLHEDHEHEKDPNFSSSGTVLPESEVTCFYQCCSGCLHALLSLMQKVLLKEWKSDESHWTVDDVYETVALLSADHLSTVTKAFDENPSNENNRKLLNCLERSRCRCKSSANCLVLPMECSCHSVGTSSPNIQVEFDPKFIYRNGMMVPIDSSQEVSFHCKFETLCLCPLIESISMTKQPAD >KJB22108 pep chromosome:Graimondii2_0_v6:4:2362280:2370430:1 gene:B456_004G029700 transcript:KJB22108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTPTSVSSSKQRGCGKKRGTRLQTKRKRLDAICEEEYNRNHREGNKGDDVEGPESVDLELRRSSRVRRAPVILDVSPRPPKKRQKVGKSGRSGRGKRRLGSVKEEEEEEQMREVLTLGSWTSRLRARRRNASVKVKMEDRVLSSSRKLFGDVGGNEEDEEEGEEDENDDDEEEDDENDDDDEEEDEMEEEGQMSDREIMVVKSKRLGRVKAASGSGSEVKVDICCEEEEREVEKGGIRGDGVVEGVSAFESEMSENNEDEVVEGTAVAENEISQRNEERLDDSLVEVINKENREVSNCIKLDEGYIDHENAKVIELIERMEPREEQVQQFKCQDEGANGEDVMEVHNVAEEVEDCCVHDAKDNGLFKVPKKTLEHKSDMKVEESNQTAAETISKPRIKQGRRCGLCGGATDGKPPKKLVHDAGDSENEAYSSSASEEPNYDIWDGFGDEPGWLGRLLGPTNDRYGIARIWVHQQCAVWSPEVYFAGLGHLKNVRAALSRGRALKCTRCGRPGATIGCRVDRCPKTYHLPCARANGCIFDHRKFLIACTDHRHLFQPHGLQYLAKIKKMKAKKMKLEMRKESNDAWRKDIEAEEKWLENCGEDEEFLKREGKRLHRDLSRIAPVYIGGSESDSGKFFEGWESVAGLQDVIRCMKEVVILPLLYPEFFDNLGLTPPRGVLLHGYPGTGKTLVVRALIGSCARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKCQPSIIFFDEIDGLAPRRTRQQDQTHSSVVSTLLSLMDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSMEDRAAILELHTQKWPKPVTGSLLKWVARKTVGFAGADLQALCTQAAVIALKRNFPLQEMLSAAEQKVLGAKRVPLPTFKVEERDWLEALSCSPPPCSRREAGMAAQDLVASPLPAHLIPCLVEPLSDLLLSLRLDERLWLPPLLSESGAVIESVIVSTLLERGLPKDHWWSHVRDLLQEGQVVKQIVSRLSCAGMLIGKTSFADYDASVGDISDDVGKFEHSIVHNGCTRSSLSRSTYLTSTRKRGFRILIAGCPGSGQKHLASCLLHCFVGNVEINKVDLATIAQEGHGDLIQGVTQILMKCASLGSSVVFMPRIDLWAVETIYQVAEESNSSSTLHQAPMEEDPQLVEKENGSSQQQSELAETGEDTAAVQSVSCAWSSFVEQVESICVSTSLIILATSETPYLELPDRIREFFRSDLPNCNRKTTLGHAVPRFTVHLGRDFDHDMVVKLSAAELSRDLLQSFVHLIHQRSHVHEGPKRKNSVQTSAATENDNTSHGLACEVGSQPRGDLSVTVPTPPTNSKNLKGKSSLMLAITSFGYQILRCPHFAELCWVTSKLKEGPSAEIGGPWKGWPFNSCIIRPTNSSGKATCGSSNIKSKEKFGLVRGLVAVGLSAYRGLYTSLREVSSEVRKVLELLVGWINAKVTTGKDRYQYVHILSQVAYVEDMVNSWVYSLQSLDQDLQIKASSPNPYALGSQAIQGIGLSGNTNSEEHLNCTLADIPVAHVDEQTATNPSLCVSGSTRNPMVDGELNAQNIDSIEMNKMDDDCTPSDEGKVSAVEGAVMNVGLSGNTISMEHRNYSVVDELVCVGKQNGTMPAPSESVTTINPTLVGDPRSSKQCNGFAPSESVPSKNGFCSSDELNGEKFSGSGKSCNQINASETRISITSEDGLHEDHEHEKDPNFSSSGTVLPESEVTCFYQCCSGCLHALLSLMQKVLLKEWKSDESHWTVDDVYETVALLSADHLSTVTKAFDENPSNENNRKLLNCLERSRCRCKSSANCLVLPMECSCHSVGTSSPNIQVEFDPKFIYRNGMMVPIDSSQEVSFHCKFETLCLCPLIESISMTKQPAD >KJB22412 pep chromosome:Graimondii2_0_v6:4:4129388:4136858:-1 gene:B456_004G046600 transcript:KJB22412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTESSRSSTAGSPDSYIGSLISLTSKSEIRYEGVLFNINTEESSIGLRNVRSFGTEGRKKDGPQVPASDKIYEYILFRGSDIKDLQVKSSPPPVQTPAPIHNDPAIIQSHYPQSAIASTSLPSSASGSMQDMGSQTSSIGLTRPPFQGNLPLYQPGANLGPWGSSGAPTANSGLSIPMYWQGYYGSANGLPPQQQPLLQPPPGLPMPSSMQQPMQYPAMNASLPAAASNLPAQSSNLASDSLTSLNQDRASALTLPTAAPSSSLPLVPPSSTALDKTAVMLPFSEKTKTVPDPVMPFKGMPDPASSTIGTTSSVLNDGMLPSLVTPGQLLQPGLVPASLSMSSQTAQKDVEVVHVSSPELTTSAPALAPVPAKASQPVLAQAPQSLSAQAAPPLLAQTPQQPSKTEGQEPILPSPSPSDHKLHGAPMHAHQYHNYRGGRERGRGRGRGRGNEISRSATKFTEEFDFTAMNEKFNKDEVWGHLGKSSRAKEDADDLQNEDSVESSQVEVKPVYVKDDFFDSLSCDSLGGGSRNGRTRFSEQMRRDTETFGDFPRHRGGRGGRGPFHGGRARGSYYGRGYGYGGRGRGYGTENRTN >KJB22411 pep chromosome:Graimondii2_0_v6:4:4129388:4133507:-1 gene:B456_004G046600 transcript:KJB22411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDMGSQTSSIGLTRPPFQGNLPLYQPGANLGPWGSSGAPTANSGLSIPMYWQGYYGSANGLPPQQQPLLQPPPGLPMPSSMQQPMQYPAMNASLPAAASNLPGSQLPESTPLLLPPFGTGTLNLQSSGPPAQSSNLASDSLTSLNQDRASALTLPTAAPSSSLPLVPPSSTALDKTAVMLPFSEKTKTVPDPVMPFKGMPDPASSTIGTTSSVLNDGMLPSLVTPGQLLQPGLVPASLSMSSQTAQKDVEVVHVSSPELTTSAPALAPVPAKASQPVLAQAPQSLSAQAAPPLLAQTPQQPSKTEGQEPILPSPSPSDHKLHGAPMHAHQYHNYRGGRERGRGRGRGRGNEISRSATKFTEEFDFTAMNEKFNKDEVWGHLGKSSRAKEDADDLQNEDSVESSQVEVKPVYVKDDFFDSLSCDSLGGGSRNGRTRFSEQMRRDTETFGDFPRHRGGRGGRGPFHGGRARGSYYGRGYGYGGRGRGYGTENRTN >KJB22410 pep chromosome:Graimondii2_0_v6:4:4129331:4136899:-1 gene:B456_004G046600 transcript:KJB22410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTESSRSSTAGSPDSYIGSLISLTSKSEIRYEGVLFNINTEESSIGLRNVRSFGTEGRKKDGPQVPASDKIYEYILFRGSDIKDLQVKSSPPPVQTPAPIHNDPAIIQSHYPQSAIASTSLPSSASGSMQDMGSQTSSIGLTRPPFQGNLPLYQPGANLGPWGSSGAPTANSGLSIPMYWQGYYGSANGLPPQQQPLLQPPPGLPMPSSMQQPMQYPAMNASLPAAASNLPGSQLPESTPLLLPPFGTGTLNLQSSGPPAQSSNLASDSLTSLNQDRASALTLPTAAPSSSLPLVPPSSTALDKTAVMLPFSEKTKTVPDPVMPFKGMPDPASSTIGTTSSVLNDGMLPSLVTPGQLLQPGLVPASLSMSSQTAQKDVEVVHVSSPELTTSAPALAPVPAKASQPVLAQAPQSLSAQAAPPLLAQTPQQPSKTEGQEPILPSPSPSDHKLHGAPMHAHQYHNYRGGRERGRGRGRGRGNEISRSATKFTEEFDFTAMNEKFNKDEVWGHLGKSSRAKEDADDLQNEDSVESSQVEVKPVYVKDDFFDSLSCDSLGGGSRNGRTRFSEQMRRDTETFGDFPRHRGGRGGRGPFHGGRARGSYYGRGYGYGGRGRGYGTENRTN >KJB22413 pep chromosome:Graimondii2_0_v6:4:4131302:4136858:-1 gene:B456_004G046600 transcript:KJB22413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTESSRSSTAGSPDSYIGSLISLTSKSEIRYEGVLFNINTEESSIGLRNVRSFGTEGRKKDGPQVPASDKIYEYILFRGSDIKDLQVKSSPPPVQTPAPIHNDPAIIQSHYPQSAIASTSLPSSASGSMQDMGSQTSSIGLTRPPFQGNLPLYQPGANLGPWGSSGAPTANSGLSIPMYWQGYYGSANGLPPQQQPLLQPPPGLPMPSSMQQPMQYPAMNASLPAAASNLPGSQLPESTPLLLPPFGTGTLNLQSSGPPAQSSNLASDSLTSLNQDRASALTLPTAAPSSSLPLVPPSSTALDKTAVMLPFSEKTKTVPDPVMPFKGMPDPASSTIGTTSSVLNDGMLPSLVTPGQLLQPGLVPASLSMSSQTAQKDVEVVHVSSPELTTSAPALAPVPAKASQPVLAQAPQSLSAQAAPPLLAQTPQQPSKTEGQEPILPSPSPSDHKLHGAPMHAHQYHNYRGGRERGRGRGRGRGNEVRFLFLCIARLLLKYGGNTNILSVSWPSSCL >KJB25194 pep chromosome:Graimondii2_0_v6:4:49117740:49119030:1 gene:B456_004G180400 transcript:KJB25194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSFTDNSAATFSLTDEDHTIANAVRFTLNQDPRVTFCGYSIPHPSEARVNIRVQTTGDPAREVLKDACQNLMLMCRHVRCTFDKAVEDFKASNAVKAMKIDSQDSSGDDSEESE >KJB25193 pep chromosome:Graimondii2_0_v6:4:49117740:49118589:1 gene:B456_004G180400 transcript:KJB25193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSFTDNSAATFSLTDEDHTIANAVRFTLNQDPRVTFCGYSIPHPSEARVNIRVQTTGDPAREVLKDACQNLMLMCRHVRCTFDKAVEDFKASNAVKAMKIDSQDSSGDDSEESE >KJB25542 pep chromosome:Graimondii2_0_v6:4:56755915:56757022:-1 gene:B456_004G231000 transcript:KJB25542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKNGNKQGGGDGKMKEKSSSTSVFKPDQQKNQDSDNKSDKKPKKAPVRTADLKVQFGCQCNGCFDRISKIVSETKGVREFKVDRQKEMVRVKGTMDIKALAKALKDKLKKHVEIVAPKKEKDGKEGGDGGKKKNKGGGEDGGNEANGGKMEGKGTEFYFYSIYKLLLIFVICGIIYCVTPIE >KJB25541 pep chromosome:Graimondii2_0_v6:4:56755871:56756977:-1 gene:B456_004G231000 transcript:KJB25541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKSSSTSVFKPDQQKNQDSDNKSDKKPKKAPVRTADLKVQFGCQCNGCFDRISKIVSETKGVREFKVDRQKEMVRVKGTMDIKALAKALKDKLKKHVEIVAPKKEKDGKEGGDGGKKKNKGGGEDGGNEANGGKMEGKGTEFYFYSIYKLLLIFVICGIIYCVTPIE >KJB23581 pep chromosome:Graimondii2_0_v6:4:21524300:21525967:1 gene:B456_004G105700 transcript:KJB23581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCAGKLKVLDHQWIQVIFEPPEFFILNSFMHNLLSIVYISIQPLVYFMEGRLMLFSSEIQYRISNIRYNVSSGERYPVPDKPAPVYITVRDGGNQEGLAGRFLDPQPEYSAF >KJB22475 pep chromosome:Graimondii2_0_v6:4:4484450:4485733:-1 gene:B456_004G049000 transcript:KJB22475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLCSKFHIIALFSFMLGCSIVASASLKVGFYTQTCPSAETIVRKAVNKAVTLNPGIAAGLIRMYFHDCFVRGCDASVLLRSVPGEPPAEMDHPANNPSLRGFEVIDEAKAQIEAQCPGTVSCADIIAFAARDSTYKAGGIYYAIPAGRRDGRVSISDEVPQNLPPPFFNAQQIAQLFDRKGMSVDEMVTLSGAHSIGVSHCSSFSNRLYSFNATHAQDPSLDPNYAAFLKIKCPPPTSAASAGGDRTTVPLERVTPTRLDNKYYIELTRRRGLLTSDQTLMDSSLTSELVLNNVRRGEAWAKKFGDAMVHMGSLDVLTGEQGEIRRNCSVAN >KJB22550 pep chromosome:Graimondii2_0_v6:4:5022091:5027870:1 gene:B456_004G053700 transcript:KJB22550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKSLWVLLTTLLFIIQGWRQIEGCLEQERIALFQLKSFFNDSNWVDVKGSNCCEWEGVECNVTSERVIGLDLGFKRQWDNREWISDPYGYLNVSLFLPFEELKSLDLSGNEIADLVDNQELKSLTNLKTLELNFNSIKSLRQFQGEKAQPMINLEVLNLDYNSLNNNDLAYLKGLSSLRSLSIGGNQLEGSVGITVLNNMTKLKKLDLSANKIENLQSPYDGERPLNLTNLEEFNLDQNSFTNNLLTQLSGFSNLKSLSIQNNQLKGSINIKELLDTLSNLEELDMGGNELKEFVPIKNKENESLGKLKVANLNGVFNNGTASLIQLLETFSSVNTIYLRGNYFNDTFSTQDELQVSSKIEELVLDRSSLNNDILQSIGALASLKILSLSGCGLSGTLPTQGWCDLRKFEVLDLSENALKGTLPSCLANLSSLHYLDISGNQFVGKGVSIGLANLTLLRFISLSRNLFEVPSIFISFANHSHLKVLSSNQNKLVEEPTIPKWVPKFQLKAFRLSNCTTKELQTEIPKFLYYQNNLSIIDLSYNNFGGKVPFWLLENNTRMEAFLMKGNSFMGHLNLPSHPNPNISFVDISDNKIQGPIPANICSIFPQLNGLNLAGNSLQGNIPPCLGSLTTWNLLLDLSHNQLSGGIPEMLAQSDSLRFLRLSNNHLSGKITPTIFCSTSLRLLYLDGNNFDGNIPSIDISTFLSYSLVDMDLSNNNLSGELPRWIWNVSNLNALAVSNNQLKGLIPMELCYLDSLRILDLSENNFSGPIPSCFGPQSIIHLHLSKNRLSGTLTNAFFNSSSLVTLDLSENQLSGEIPYQIGTLSALSVLLLKANYFIGEIPIEICKLYSLSIIDLSQNKLFGLIPSCLSRLTLDPNGEKSLTKTYWEGSGGIFERGNYFGLTEFEFFTLRGGESFDVGFEIENQMEEKVDYTTKRASYTYKGNILEYMSGIDFSCNRLTGEIPIEIGNLSEIRSLNLSHNNLTGHIPSTFSKLKQIESLDLSHNNLIGRIPSQLTELYTLAVFNVSHNNLSGSIPSPKAQFGTFDESSYVENPFLCGPPLHKNCSDLDSPLTTAPNTSNNEEESGLMDKYVFWVTFFVSYVIVLLVIVLILYINPYWRLAWFSFVEHCIKTCQYFLLQFSIFKRSG >KJB22548 pep chromosome:Graimondii2_0_v6:4:5021964:5028018:1 gene:B456_004G053700 transcript:KJB22548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKSLWVLLTTLLFIIQGWRQIEGCLEQERIALFQLKSFFNDSNWVDVKGSNCCEWEGVECNVTSERVIGLDLGFKRQWDNREWISDPYGYLNVSLFLPFEELKSLDLSGNEIADLVDNQVSVSSAFNKLETLVLTQNYFNDSILSKLKSLTNLKTLELNFNSIKSLRQFQGEKAQPMINLEVLNLDYNSLNNNDLAYLKGLSSLRSLSIGGNQLEGSVGITELLDTLSNLEELDMGGNELKEFVPIKNKENESLGKLKVANLNGVFNNGTASLIQLLETFSSVNTIYLRGNYFNDTFSTQDELQVSSKIEELVLDRSSLNNDILQSIGALASLKILSLSGCGLSGTLPTQGWCDLRKFEVLDLSENALKGTLPSCLANLSSLHYLDISGNQFVGKGVSIGLANLTLLRFISLSRNLFEVPSIFISFANHSHLKVLSSNQNKLVEEPTIPKWVPKFQLKAFRLSNCTTKELQTEIPKFLYYQNNLSIIDLSYNNFGGKVPFWLLENNTRMEAFLMKGNSFMGHLNLPSHPNPNISFVDISDNKIQGPIPANICSIFPQLNGLNLAGNSLQGNIPPCLGSLTTWNLLLDLSHNQLSGGIPEMLAQSDSLRFLRLSNNHLSGKITPTIFCSTSLRLLYLDGNNFDGNIPSIDISTFLSYSLVDMDLSNNNLSGELPRWIWNVSNLNALAVSNNQLKGLIPMELCYLDSLRILDLSENNFSGPIPSCFGPQSIIHLHLSKNRLSGTLTNAFFNSSSLVTLDLSENQLSGEIPYQIGTLSALSVLLLKANYFIGEIPIEICKLYSLSIIDLSQNKLFGLIPSCLSRLTLDPNGEKSLTKTYWEGSGGIFERGNYFGLTEFEFFTLRGGESFDVGFEIENQMEEKVDYTTKRASYTYKGNILEYMSGIDFSCNRLTGEIPIEIGNLSEIRSLNLSHNNLTGHIPSTFSKLKQIESLDLSHNNLIGRIPSQLTELYTLAVFNVSHNNLSGSIPSPKAQFGTFDESSYVENPFLCGPPLHKNCSDLDSPLTTAPNTSNNEEESGLMDKYVFWVTFFVSYVIVLLVIVLILYINPYWRLAWFSFVEHCIKTCQYFLLQFSIFKRSG >KJB22547 pep chromosome:Graimondii2_0_v6:4:5021964:5028018:1 gene:B456_004G053700 transcript:KJB22547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKSLWVLLTTLLFIIQGWRQIEGCLEQERIALFQLKSFFNDSNWVDVKGSNCCEWEGVECNVTSERVIGLDLGFKRQWDNREWISDPYGYLNVSLFLPFEELKSLDLSGNEIADLVDNQVSVSSAFNKLETLVLTQNYFNDSILSKLKSLTNLKTLELNFNSIKSLRQFQELLDTLSNLEELDMGGNELKEFVPIKNKENESLGKLKVANLNGVFNNGTASLIQLLETFSSVNTIYLRGNYFNDTFSTQDELQVSSKIEELVLDRSSLNNDILQSIGALASLKILSLSGCGLSGTLPTQGWCDLRKFEVLDLSENALKGTLPSCLANLSSLHYLDISGNQFVGKGVSIGLANLTLLRFISLSRNLFEVPSIFISFANHSHLKVLSSNQNKLVEEPTIPKWVPKFQLKAFRLSNCTTKELQTEIPKFLYYQNNLSIIDLSYNNFGGKVPFWLLENNTRMEAFLMKGNSFMGHLNLPSHPNPNISFVDISDNKIQGPIPANICSIFPQLNGLNLAGNSLQGNIPPCLGSLTTWNLLLDLSHNQLSGGIPEMLAQSDSLRFLRLSNNHLSGKITPTIFCSTSLRLLYLDGNNFDGNIPSIDISTFLSYSLVDMDLSNNNLSGELPRWIWNVSNLNALAVSNNQLKGLIPMELCYLDSLRILDLSENNFSGPIPSCFGPQSIIHLHLSKNRLSGTLTNAFFNSSSLVTLDLSENQLSGEIPYQIGTLSALSVLLLKANYFIGEIPIEICKLYSLSIIDLSQNKLFGLIPSCLSRLTLDPNGEKSLTKTYWEGSGGIFERGNYFGLTEFEFFTLRGGESFDVGFEIENQMEEKVDYTTKRASYTYKGNILEYMSGIDFSCNRLTGEIPIEIGNLSEIRSLNLSHNNLTGHIPSTFSKLKQIESLDLSHNNLIGRIPSQLTELYTLAVFNVSHNNLSGSIPSPKAQFGTFDESSYVENPFLCGPPLHKNCSDLDSPLTTAPNTSNNEEESGLMDKYVFWVTFFVSYVIVLLVIVLILYINPYWRLAWFSFVEHCIKTCQYFLLQFSIFKRSG >KJB22549 pep chromosome:Graimondii2_0_v6:4:5021964:5028018:1 gene:B456_004G053700 transcript:KJB22549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKSLWVLLTTLLFIIQGWRQIEGCLEQERIALFQLKSFFNDSNWVDVKGSNCCEWEGVECNVTSERVIGLDLGFKRQWDNREWISDPYGYLNVSLFLPFEELKSLDLSGNEIADLVDNQVSVSSAFNKLETLVLTQNYFNDSILSKLKSLTNLKTLELNFNSIKSLRQFQGEKAQPMINLEVLNLDYNSLNNNDLAYLKGLSSLRSLSIGGNQLEGSVGITVLNNMTKLKKLDLSANKIENLQSPYDGERPLNLTNLEEFNLDQNSFTNNLLTQLSGFSNLKSLSIQNNQLKGSINIKELLDTLSNLEELDMGGNELKEFVPIKNKENESLGKLKVANLNGVFNNGTASLIQLLETFSSVNTIYLRGNYFNDTFSTQDELQVSSKIEELVLDRSSLNNDILQSIGALASLKILSLSGCGLSGTLPTQGWCDLRKFEVLDLSENALKGTLPSCLANLSSLHYLDISGNQFVGKGVSIGLANLTLLRFISLSRNLFEVPSIFISFANHSHLKVLSSNQNKLVEEPTIPKWVPKFQLKAFRLSNCTTKELQTEIPKFLYYQNNLSIIDLSYNNFGGKVPFWLLENNTRMEAFLMKGNSFMGHLNLPSHPNPNISFVDISDNKIQGPIPANICSIFPQLNGLNLAGNSLQGNIPPCLGSLTTWNLLLDLSHNQLSGGIPEMLAQSDSLRFLRLSNNHLSGKITPTIFCSTSLRLLYLDGNNFDGNIPSIDISTFLSYSLVDMDLSNNNLSGELPRWIWNVSNLNALAVSNNQLKGLIPMELCYLDSLRILDLSENNFSGPIPSCFGPQSIIHLHLSKNRLSGTLTNAFFNSSSLVTLDLSENQLSGEIPYQIGTLSALSVLLLKANYFIGEIPIEICKLYSLSIIDLSQNKLFGLIPSCLSRLTLDPNGEKSLTKTYWEGSGGIFERGNYFGLTEFEFFTLRGGESFDVGFEIENQMEEKVDYTTKRASYTYKGNILEYMSGIDFSCNRLTGEIPIEIGNLSEIRSLNLSHNNLTGHIPSTFSKLKQIESLDLSHNNLIGRIPSQLTELYTLAVFNVSHNNLSGSIPSPKAQFGTFDESSYVENPFLCGPPLHKNCSDLDSPLTTAPNTSNNEEESGLMDKYVFWVTFFVSYVIVLLVIVLILYINPYWRLAWFSFVEHCIKTCQYFLLQFSIFKRSG >KJB22596 pep chromosome:Graimondii2_0_v6:4:5383126:5383873:-1 gene:B456_004G056500 transcript:KJB22596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLLEMGLPFTFSMENPSILLLTDNSQFSGHCITNHFQCISPVIHLLPVPKEERRSSSPMVTKNDGKKQ >KJB25749 pep chromosome:Graimondii2_0_v6:4:54112610:54116634:1 gene:B456_004G208400 transcript:KJB25749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKASKWFRSILGLKRRDSHKQPPSSKRRWSFVKSYREKDSSAVSTATSSLRGLQQPLEDSVSVVDANSGYDAVDDNNDHAIAVAAATAAVAEAAVAAAQAAAVVVELTGSNGRCARDPVAHISNSYGAGEELAAVKIQSTFRGYLARRALRALKGLVKLQALVRGHIERKKTAEWLRRMQAFLQTQPRAGQTQISESSRVSSKSSHYLHPGPPTPENFEHAVQLKSTKSEQSSMLKRNGSRLSGRTCDNYEQIHPGWYTSDHWIDVRSWDQRGHSTRIGHMVDEKNDKILEVDIGKPRFTSKLRNLFRSTHLALNSDLYSCSFTNSRDSHQTAPTPLFEAQSLNPLTFPHEVEESPFCTVDNSPNFHSASSKGGSSMRSPFTPAKSDGSRSYLSGYSDHPNYMAFTESSRAKVRSLSAPKQRPQHDRSISTKRYSIQGFRELKSRTLKSALHANFANKAYPGSGCLDRLGMPVGYRYY >KJB23156 pep chromosome:Graimondii2_0_v6:4:10467011:10470811:1 gene:B456_004G084100 transcript:KJB23156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02990) UniProtKB/Swiss-Prot;Acc:Q9ZT96] MKIRSCVGITKPSLLLVHSELPALTFHKTKLTWTSTLRFPSNYERTSGSVTRFHCSVAGRTGASTSVSSSSSKSNTVHLSRKQVKPSSLYSRPSLSNMKKERIANRAKVYEFLRVLGIIPDELDGLELPVTVEVMQERIDFLHKLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSTFTEFLRRYPQVLHASVVVDLAPVVKYLQGLDIKPNDIPRVLEKYPEVLGFKLEGTMSTSVAYLVGIGVSRREVGGVLTKYPEILGMRVGRVIKPFVEYLEGLGIPRLAIARLIEKRPHILGFGLEERVKPNVASLLEFNVRKASLPSIVAQYPEIIGIDLKPKLLGQRSLIQSIIDLEPEDFGTVVEKMPQIVSLSNTSMVKHGDFLKGCGFSLQQVRSMVVECPQILALNLDIMKLSFDYFQMEMQRPLDDLVAFPAFFTYGLESTIKPRDKIVAKKGFKCSLSWLLNCSDEKFKERLNYDTIEMEEMETMPSFDMNSLMEPRSDESDSDYEEDSDDEYA >KJB23154 pep chromosome:Graimondii2_0_v6:4:10467137:10469666:1 gene:B456_004G084100 transcript:KJB23154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02990) UniProtKB/Swiss-Prot;Acc:Q9ZT96] MKIRSCVGITKPSLLLVHSELPALTFHKTKLTWTSTLRFPSNYERTSGSVTRFHCSVAGRTGASTSVSSSSSKSNTVHLSRKQVKPSSLYSRPSLSNMKKERIANRAKVYEFLRVLGIIPDELDGLELPVTVEVMQERIDFLHKLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSTFTEFLRRYPQVLHASVVVDLAPVVKYLQGLDIKPNDIPRVLEKYPEVLGFKLEGTMSTSVAYLVGIGVSRREVGGVLTKYPEILGMRVGRVIKPFVEYLEGLGIPRLAIARLIEKRPHILGFGLEERVKPNVASLLEFNVRKASLPSIVAQYPEIIGIDLKPKLLGQRSLIQSIIDLEPEDFGTVVEKMPQIVSLSNTSMVKHGDFLKGCGFSLQQVRSMVVECPQILALNLDIMKLSFDYFQMEMQRPLDDLVAFPAFFTYGLESTIKPRDKIVAKKGFKCSLSWLLNCSDEKFKERLNYDTIEMEEMETMPSFDMNSLMEPRSDESDSDYEEDSDDEYA >KJB23155 pep chromosome:Graimondii2_0_v6:4:10467011:10470814:1 gene:B456_004G084100 transcript:KJB23155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02990) UniProtKB/Swiss-Prot;Acc:Q9ZT96] MKIRSCVGITKPSLLLVHSELPALTFHKTKLTWTSTLRFPSNYERTSGSVTRFHCSVAGRTGASTSVSSSSSKSNTVHLSRKQVKPSSLYSRPSLSNMKKERIANRAKVYEFLRVLGIIPDELDGLELPVTVEVMQERIDFLHKLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSTFTEFLRRYPQVLHASVVVDLAPVVKYLQGLDIKPNDIPRVLEKYPEVLGFKLEGTMSTSVAYLVGIGVSRREVGGVLTKYPEILGMRVGRVIKPFVEYLEGLGIPRLAIARLIEKRPHILGFGLEERVKPNVASLLEFNVRKASLPSIVAQYPEIIGIDLKPKLLGQRSLIQSIIDLEPEDFGTVVEKMPQIVSLSNTSMVKHGDFLKGCGFSLQQVRSMVVECPQILALNLDIMKLSFDYFQMEMQRPLDDLVAFPAFFTYGLESTIKPRDKIVAKKGFKCSLSWLLNCSDEKFKERLNYDTIEMEEMETMPSFDMNSLMEPRSDESDSDYEEDSDDEYA >KJB27045 pep chromosome:Graimondii2_0_v6:4:60871759:60876114:1 gene:B456_004G274400 transcript:KJB27045 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (cytosine(38)-C(5))-methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G25480) UniProtKB/Swiss-Prot;Acc:F4JWT7] MKKGKEMAETICQSTKDPWRVLEFYSGIGGMRYSLMKAGVNYHVIEAFEINDKANDVYQHNFGHRPYQGNIQSLTEVDLDSYQANVWLLSPPCQPYTRQGLQKHSADARAFSFLNILELMPRMSKPPDMLLVENVVGFETSDTHAKMVEVLANSGLVTQEFILSPLQFGVPYSRPRYFCLAKRKPLSFQYQLFNNQLLLSPSPLFGNNEKTVIDENSQSQENWDKLIDSCQPIENFLEFTSSSDQVNTETGPFANAEVSGNDQETSETFVEGDEFDFGAIDQFVVPLSLIERWGSAMDIVYPDSKRCCCFTKSYYRYVKGTGSLLATIQPKNKGKTSSLKEQCLRYFTPREVANLHSFPKDFQFPKHISLRQRYAMLGNSLSVAVVAPLLQYMFANRHDFSAQELH >KJB26666 pep chromosome:Graimondii2_0_v6:4:59109211:59113248:1 gene:B456_004G254000 transcript:KJB26666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKSNQNLILKNTTKKSNPTNQVIKISKIRPNPNGPIAIPVKKKKGQNFQHNNVGSHDSTIISHIIHSPKFLLPSSLSFSFSGKLKLLTMAVRSRKWMILVATIWIQAFTGTNFDFSSYSSTLKSVLGISQLQLNYLSVASDMGKAFGWCSGVFLMYFPLWVVMFMAAFFGFFGYSLQWLVIKQIISLPYFLVFLLCLIAGCSITWFNTVCFVLCIRNFPSNRALALSLTISFNGVSAALYTLIANAINPKDDTLYLFLNALVPLLASCLALIPIIRQRPLQLSTYTINQDPFIFIVLNVLAVITGLYLLLLNSLSSEALRARTLLLGALILLFLPLCLPGIVCDRNWGFRTNSSLVDLSDPELHTELIEKDQSNSLNIEPFSAINKEGLFEKVMEKGRLTMLGEEHPARLLVCRWDFWLYYVAYFCGGTIGLVYSNNLGQITQSLGYNSKISAVVTLYSSFSFFGRLFSAAPDFLHGKVNFARTGWLAVALVPTPIAFFLLAVSGSEVVLHASTAMIGLSSGFVFSAAVSITSELFGPNSAGINHNILITNIPIGSLLYGLLAALVYDSNVTSSVDKNVLQEAIVCMGRDCYMQTFIFWGCISLLGLISSFLLFLRTRPAYDDHETNRNRT >KJB26665 pep chromosome:Graimondii2_0_v6:4:59109211:59113248:1 gene:B456_004G254000 transcript:KJB26665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKSNQNLILKNTTKKSNPTNQVIKISKIRPNPNGPIAIPVKKKKGQNFQHNNVGSHDSTIISHIIHSPKFLLPSSLSFSFSGKLKLLTMAVRSRKWMILVATIWIQAFTGTNFDFSSYSSTLKSVLGISQLQLNYLSVASDMGKAFGWCSGVFLMYFPLWVVMFMAAFFGFFGYSLQWLVIKQIISLPYFLVFLLCLIAGCSITWFNTVCFVLCIRNFPSNRALALSLTISFNGVSAALYTLIANAINPKDDTLYLFLNALVPLLASCLALIPIIRQRPLQLSTYTINQDPFIFIVLNVLAVITGLYLLLLNSLSSEALRARTLLLGALILLFLPLCLPGIVCDRNWGFRTNSSLVDLSDPELHTELIEKDQSNSLNIEPFSAINKEGLFEKVMEKGRLTMLGEEHPARLLVCRWDFWLYYVAYFCGGTIGLVYSNNLGQITQSLGYNSKISAVVTLYSSFSFFGRLFSAAPDFLHG >KJB22477 pep chromosome:Graimondii2_0_v6:4:4508302:4509387:1 gene:B456_004G049200 transcript:KJB22477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLCSKFHFIALLSFMLGCSIVASASLKVGFYTQTCPSAETIVRKAVNKAVSLNPGIAAGLIRMYFHDCFVRGCDASVLLRSVPGEPPAEMDHPANNPSLRGFEVIDEAKAQIEAQCPGTVSCADIIAFAARDSTYKAGGIYYAIPAGRRDGRVSIIDEVTQNLPPPSFSAQQIAQLFARKGMSVDEMVTLSGAHSIGVSHCSSISNRLYSFNATHAQDPSLDPNYAAFLKTKCLPPTSAAGAGGDPTTVPLDSVTPNRLDNKYYSELRRRRGLLTSDQTLMDSSLTSALVLNNVRQGAAWAKKFGKSMVRMGSLDVLTGAQGEIRRICSAPN >KJB26022 pep chromosome:Graimondii2_0_v6:4:55825039:55827231:-1 gene:B456_004G223800 transcript:KJB26022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFNDLGSAAGLKKLDEYLLTRSYISGYQASKDDITVYTALSGAPSSSYLNVSRWYKHIDALLRISGVSEEGCGVTVEGFAPAEAVATPPTADSKAAAAEDDDDDDVDLFGEETEEEKKAAEERAAAVKASSKKKESGKSSVLLDIKPWDDETDMKKLEEAVRSVQMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEEHLTVEPINEYVQSCDIVAFNKICKNYFGMLQ >KJB26021 pep chromosome:Graimondii2_0_v6:4:55824979:55827319:-1 gene:B456_004G223800 transcript:KJB26021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFNDLGSAAGLKKLDEYLLTRSYISGYQASKDDITVYTALSGAPSSSYLNVSRWYKHIDALLRISGVSEEGCGVTVEGFAPAEAVATPPTADSKAAAAEDDDDDDVDLFGEETEEEKKAAEERAAAVKASSKKKESGKSSVLLDIKPWDDETDMKKLEEAVRSVQMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEEHLTVEPINEYVQSCDIVAFNKI >KJB26020 pep chromosome:Graimondii2_0_v6:4:55825039:55826698:-1 gene:B456_004G223800 transcript:KJB26020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFNDLGSAAGLKKLDEYLLTRSYISGYQASKDDITVYTALSGAPSSSYLNVSRWYKHIDALLRISGVSEEGCGVTVEGFAPAEAVATPPTADSKAAAAEDDDDDDVDLFGEETEEEKKAAEERAAAVKASSKKKESGKSSVLLDIKPWDDETDMKKLEEAVRSVQMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEEHLTVEPINEYVQSCDIVAFNKI >KJB26023 pep chromosome:Graimondii2_0_v6:4:55825039:55827231:-1 gene:B456_004G223800 transcript:KJB26023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTHRCPFEDLVSVSEEGCGVTVEGFAPAEAVATPPTADSKAAAAEDDDDDDVDLFGEETEEEKKAAEERAAAVKASSKKKESGKSSVLLDIKPWDDETDMKKLEEAVRSVQMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEEHLTVEPINEYVQSCDIVAFNKI >KJB23315 pep chromosome:Graimondii2_0_v6:4:12859513:12861961:-1 gene:B456_004G092200 transcript:KJB23315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAARRREREYFSTTPEYRHLAHRIGSEHLAKMLSKHLETVIKSRIPGIQSLINKTIDELETELSRLGKPIAADAGLCTIMEICRLFYQNFREHLDGVRTGGDKVYNVFDNQLPSALKSSLLKLMEADGYQPHLIATEQGYRRLIESTLVTIRGPAESAVDATHSILKDLVHKAMSKTPKKATLQLVDMECCYLTVEFFRKLPQDVDKGGSVTQSIFDRYNDSYLRRIGSTVLSYVNMVCATLRHSIPKSIVYCQVREAKRSLLDFFYTELGKLEQKRLSALLNEDPAIMERRSALAKRLELYRSAQAEIDTVAWSK >KJB25909 pep chromosome:Graimondii2_0_v6:4:54819762:54821534:-1 gene:B456_004G215400 transcript:KJB25909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAQRRRLNPLSQETESDDSGILNECVLLLVFESIKWDLQVLCLTASVNRKLRAIAKRLLWRKLCVYRAPRMVSALVNGSPNGRIGGGWDALAKLMFFCCGCESTRNFKLSRPSPGHFAGASRFSKTSGRSFLTKKCRADLLFVSDPCEHPVGDKEDDLGIYRGVFRGFLRSNTRACLIGRQVAFDDRVRCPYCGTRVWSMTAARLVPKSAARRLGSRDGRLEYFVCVNGHLHGTCWLVPLSSDEENCEEVEEEEDEDGGDFNGEAYKKHEKVTNGTGSRSSLMF >KJB25484 pep chromosome:Graimondii2_0_v6:4:51217167:51218829:-1 gene:B456_004G194000 transcript:KJB25484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHTVTFLPKLSIEAIQTVTPMRITEPRQTRQVLAGELVGPGIFQRCLNVVQYYMKEKEEDSGWLLAGWIKETLGRALHEQPMISGRLRKGERNDGELEIVSNDCGIRLIEARIQMNLSDFLDLKQREDAEAQLVFWKDIDEQNPQFSPLFYVQVTNFQCGGYSIGISCSILLADLLLMKEFLKTWADIHNKVIINKNDEQKLPLFYLPGLKNTNGASPNIITSNSSKNSAKTMIFQIQAETESPGSDWCRKMALACLEEAESNLGSVVGGEFSLFVNESFESIKVESCSKQGMSKEAEMGVLNRAKWDDLGANEVSFGDGNKPAHVSYWLRSTLGGLIIVIPSLQEDKYTVNIIVTIPSK >KJB25485 pep chromosome:Graimondii2_0_v6:4:51217214:51218637:-1 gene:B456_004G194000 transcript:KJB25485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHTVTFLPKLSIEAIQTVTPMRITEPRQTRQVLAGELVGPGIFQRCLNVVQYYMKEKEEDSGWLLAGWIKETLGRALHEQPMISGRLRKGERNDGELEIVSNDCGIRLIEARIQMNLSDFLDLKQREDAEAQLVFWKDIDEQNPQFSPLFYVQCGGYSIGISCSILLADLLLMKEFLKTWADIHNKIQAETESPGSDWCRKMALACLEEAESNLGSVVGGEFSLFVNESFESIKVESCSKQGMSKEAEMGVLNRAKWDDLGANEVSFGDGNKPAHVSYWLRSTLGGLIIVIPSLQEDKYTVNIIVTIPSK >KJB27334 pep chromosome:Graimondii2_0_v6:4:62016654:62018277:1 gene:B456_004G291600 transcript:KJB27334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEVAVAQLDAAAVIGEPMDINTALPLVIRKSKAHTIEKHNAHLCVIADDCDQPDYVKLVKALCADHNVKMLRAPSAKALGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEQHEAVEVVQQHKD >KJB23477 pep chromosome:Graimondii2_0_v6:4:17912139:17915016:1 gene:B456_004G100800 transcript:KJB23477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYQPNNSLRLSLSNNQPNLELVLEPSSSSSSPHIPAAPRIFSCNYCKRKFYSSQALGGHQNAHKLERTLAKKSRELSSIVRAHGGSSIRGSSHDQSMQSPMATFEQHGRAGRFAGEMSYGKTDVNYGSMGYRNENVEDEFSQLDLSLRL >KJB24289 pep chromosome:Graimondii2_0_v6:4:38326295:38326942:-1 gene:B456_004G137100 transcript:KJB24289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQQQVKPLVPAAFRDEEEALSTQLNLRKRRYVQCCGCISALSLILAVVVLVLFFTLFRIHDPKIKINSVTIQRLELINGSLRNDVNVTLLADVSVTNPNVATFKFSNSTTLIYYGGRVIGEAIHLQGQAKARRTLLRNVTVELDPEKIEAVPSFRSDLNSGAFSISSYSTISGRVKILKIVKKRVVVRLNCTTTYRISGRQFVGESCRPELDF >KJB24793 pep chromosome:Graimondii2_0_v6:4:45084796:45085709:-1 gene:B456_004G160800 transcript:KJB24793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSCKTCWISLFKRVQLFLHVDRCNRRSKHRRLPSSCDVITSSFATMELSNQFKQVFKVMDANGDGKISSLELRQVLLRLGHEKSTASKAAEGMIREMDFNGDGFINLDEFMHAVVNTTSDGAISSCSSGEDDHLMDAFLIFDKDKNGFISAKELRNVLISLGFNKCSLKQCKRMIRGVDKDGDGFVDFKEFRLMMSAAERSS >KJB21928 pep chromosome:Graimondii2_0_v6:4:1662081:1668455:-1 gene:B456_004G022300 transcript:KJB21928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAHMHRKAKGPSKINSQQVAFELKNKVNLALNKLADRDTYQLGVDELEKTAECLTPDKISPFLSCILDTDSEQKSAVRKESVRLMATLARFHQGLILPYLSKMVASIVKRLKDPDSVVRDACHETMGVLASKLSNHEDDNNGVFVMLVKPLFEALGEQSKHVQSGAALCLARVIDSTHDPPVSILQRMLSRTIKLLKNPHLMAKSSVIELNRSIIQAGGATTQSLLAAAMASIQEALKNSDWTTRKAASIALGEIASSGASFLGSFRASCIRSLESCRFDKVKPVRDTVLHSLQYWRSIPGPDTSEPSEAGSYVKENFCGGDSSDITSNNDSCWKDVSGKKVTTNPAIRRIPLSVRKTSQNYVQDPQRCKDDDWHIEISVSEKHNVSLPDLQNEESEGSTITKTLDRMMPDTTSTQDIGYDFVPVDDKQECSSVSNLLSDNFGSKFVTVSHDHIAEGHWQKSMGRSQRFAGEEVSNEEDGVCSGKIRDRRSLDSAVNESSPETVSMCCSWIENKIVGIQKQLSEIDSKQSSMMDLLQVFSTGIMDSMSMLQSKVLSLEHVVDRMVQDLMQGGKHPGLVNSRLEKQGEGASSRLSSCTPRASVDICNRQSSLLSVKNSDVWEEKTLGRNRSPNCAKQGMEIWTNHTVKFCRNPTGKEVHKSSGHGGQGIGQIRKNEAASTCSSIPNVSGRQKYPDNKDGLWQHVKSLLCQGDLDSAYAKALSSGDEIVLIELLDRTGPVLESLSQKTVYNILSTLASYLLEQRFMNCIIPWLQQVVDLSAIHGPNLLIPSAKVRREILSAIQEAMNMEFSNPAERRSVTSLAIRFHQEWGKCS >KJB21718 pep chromosome:Graimondii2_0_v6:4:723940:728519:-1 gene:B456_004G010100 transcript:KJB21718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRATVSRFPIDSDAQEACGIPWGLTVTPFAAKDENGQAPVYGSDGHLLPRCENCYAYFNTYCELDQWAWNCSLCGTLNGLSSEAIARYSRPQSCAEMMSSFVDLEFPLEGSEEEILHARPVYVAAVDLSSSEEFLELTKSALQAALEALAPESLFGLATFSHKLGLYDVQGPIPVVKNVFIPQDAEGTLPIELEDVMPLLQFLAPVDTCKDRITSALETLRPTTSWERTPPGTGQELNGVLMGGRGFGMAMEALCNYLGSEYGNTFALARVFAFLSGPPDHGPGQLDTRRYGEQYASKGEDADRALLPEQTPFYKDLAAVAVQAGVCVDIFAVTNEYTDLASLKFLSIESGGSLFLYSNTDDSTLPQDMYRMLSRPYAFNCVLRLRTSTEFKPGHSYGHFFPDPQYENVQHIICCDSYATYAYDFEFANNVGFSRHSSEQPMVQIAFQYTVVVPPEELPGSELLSSSRGKHSIKRRLRIRTLQYGTARNLNELYDGVDPEVVLSLLVHKVILASLEEGVREGRMLLHDWLVILTSQYNEAFNLIQYKNGSSSITGQLDVTFSQCPQLQPLPRLVFALLRNPLLRFHEEGVHPDYRIYVQCLFSALEPSSLHRAIYPVLTSYSTPDKQAYPRHSLSRAALITSGSPIFFLDAYTTLIVFYSSTADPSLPFPPPHDCKLFYHLHKQVEMETVLACDLF >KJB21716 pep chromosome:Graimondii2_0_v6:4:723281:728598:-1 gene:B456_004G010100 transcript:KJB21716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRATVSRFPIDSDAQEACGIPWGLTVTPFAAKDENGQAPVYGSDGHLLPRCENCYAYFNTYCELDQWAWNCSLCGTLNGLSSEAIARYSRPQSCAEMMSSFVDLEFPLEGSEEEILHARPVYVAAVDLSSSEEFLELTKSALQAALEALAPESLFGLATFSHKLGLYDVQGPIPVVKNVFIPQDAEGTLPIELEDVMPLLQFLAPVDTCKDRITSALETLRPTTSWERTPPGTGQELNGVLMGGRGFGMAMEALCNYLGSEYGNTFALARVFAFLSGPPDHGPGQLDTRRYGEQYASKGEDADRALLPEQTPFYKDLAAVAVQAGVCVDIFAVTNEYTDLASLKFLSIESGGSLFLYSNTDDSTLPQDMYRMLSRPYAFNCVLRLRTSTEFKPGHSYGHFFPDPQYENVQHIICCDSYATYAYDFEFANNVGFSRHSSEQPMVQIAFQYTVVVPPEELPGSELLSSSRGKHSIKRRLRIRTLQYGTARNLNELYDGVDPEVVLSLLVHKVILASLEEGVREGRMLLHDWLVILTSQYNEAFNLIQYKNGSSSITGQLDVTFSQCPQLQPLPRLVFALLRNPLLRFHEEGVHPDYRIYVQCLFSALEPSSLHRAIYPVLTSYSTPDKQAYPRHSLSRAALITSGSPIFFLDAYTTLIVFYSSTADPSLPFPPPHDCLLRSTINKLKQERCITPKLIFIRGGQDDASVFENYLIEEQDVNGTGLTSVMGFVSFLEDITQSVLEYMK >KJB21717 pep chromosome:Graimondii2_0_v6:4:723283:728567:-1 gene:B456_004G010100 transcript:KJB21717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRATVSRFPIDSDAQEACGIPWGLTVTPFAAKDENGQAPVYGSDGHLLPRCENCYAYFNTYCELDQWAWNCSLCGTLNGLSSEAIARYSRPQSCAEMMSSFVDLEFPLEGSEEEILHARPVYVAAVDLSSSEEFLELTKSALQAALEALAPESLFGLATFSHKLGLYDVQGPIPVVKNVFIPQDAEGTLPIELEDVMPLLQFLAPVDTCKDRITSALETLRPTTSWERTPPGTGQELNGVLMGGRGFGMAMEALCNYLGSEYGNTFALARVFAFLSGPPDHGPGQLDTRRYGEQYASKGEDADRALLPEQTPFYKDLAAVAVQAGVCVDIFAVTNEYTDLASLKFLSIESGGSLFLYSNTDDSTLPQDMYRMLSRPYAFNCVLRLRTSTEFKPGHSYGHFFPDPQYENVQHIICCDSYATYAYDFEFANNVGFSRHSSEQPMVQIAFQYTVVVPPEELPGSELLSSKENILLNEDLGYELCSMEQPEILMNSMMVLILKWFYHCLFTRSY >KJB22408 pep chromosome:Graimondii2_0_v6:4:4123288:4126764:1 gene:B456_004G046500 transcript:KJB22408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYDDKSRVEKAKKRAVVKQTEARNRVELFRHLPQYEHGTQLPDLETKFFELDPMHPAVYKVGLQYLSGDIRGGNARCIAMFQAFKEAIKDYSTPPEKTLIRDLTARISSYVSFLIECRPLSISMGNAIKFLKNRIAKLPLALSESEAKSTLMLDIDRFINEKIILSEKVIVKHAVTKIRDGDVLLTYGASSVVEMILLHAHELGKHFHVVVVDSRPKLEGQLLLRRLVRKGLSCTYTHVNAVSCIMQDVTRVFLGASSVLSNGTVYSRVGTACVAMVAHAFHVPVLVCCEAYKFHERVQLDSICFNELGNPDAISKVQGRKEINYLDGLVNQENLQLLNLIYDATPSDYVSMIITDYGMIPPTSVPVIVREYRRDHLWS >KJB22406 pep chromosome:Graimondii2_0_v6:4:4121999:4125879:1 gene:B456_004G046500 transcript:KJB22406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRRTARTVSDPKVRQVGFFTPAPPERSVSDPPRFQDPIQPPSPSPTQVNDHSPSGNSLSPVMIPPAHHHSDNFLRTALQATSPTKAFSYSAKTVTIPPENEDVASSFSPGRKVVSAKSPSSFPGVKASSVPASELTTVSVVNLPPGISEKAGGASVEVQNDRPVSAKTLKEKTSKAERRALQEAQRAAKAAAKAEGTKASSAASKVVTSANTKPSKAAKSSSQKNDGSQVAASEKKGGDRAPEKDRKKDAPHPRMQYDDKSRVEKAKKRAVVKQTEARNRVELFRHLPQYEHGTQLPDLETKFFELDPMHPAVYKVGLQYLSGDIRGGNARCIAMFQAFKEAIKDYSTPPEKTLIRDLTARISSYVSFLIECRPLSISMGNAIKFLKNRIAKLPLALSESEAKSTLMLDIDRFINEKIILSEKVIVKHAVTKIRDGDVLLTYGASSVVEMILLHAHELGKHFHVVVVDSRPKLEGQLLLRRLVRKGLSCTYTHVNAVSCIMQDVTRVFLGASSVLSNGTVYSRVGTACVAMVAHAFHVPVLVCCEAYKFHERVQLDSICFNELGNPDAISKVQGRKEINYLDGLVNQENLQLLNLM >KJB22409 pep chromosome:Graimondii2_0_v6:4:4123894:4126764:1 gene:B456_004G046500 transcript:KJB22409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLLTYGYSVYLVYFSFEQILSNFVPVDHYVFLAEGTKASSAASKVVTSANTKPSKAAKSSSQKNDGSQVAASEKKGGDRAPEKDRKKDAPHPRMQYDDKSRVEKAKKRAVVKQTEARNRVELFRHLPQYEHGTQLPDLETKFFELDPMHPAVYKVGLQYLSGDIRGGNARCIAMFQAFKEAIKDYSTPPEKTLIRDLTARISSYVSFLIECRPLSISMGNAIKFLKNRIAKLPLALSESEAKSTLMLDIDRFINEKIILSEKVIVKHAVTKIRDGDVLLTYGASSVVEMILLHAHELGKHFHVVVVDSRPKLEGQLLLRRLVRKGLSCTYTHVNAVSCIMQDVTRVFLGASSVLSNGTVYSRVGTACVAMVAHAFHVPVLVCCEAYKFHERVQLDSICFNELGNPDAISKVQGRKEINYLDGLVNQENLQLLNLIYDATPSDYVSMIITDYGMIPPTSVPVIVREYRRDHLWS >KJB22407 pep chromosome:Graimondii2_0_v6:4:4121970:4126764:1 gene:B456_004G046500 transcript:KJB22407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRRTARTVSDPKVRQVGFFTPAPPERSVSDPPRFQDPIQPPSPSPTQVNDHSPSGNSLSPVMIPPAHHHSDNFLRTALQATSPTKAFSYSAKTVTIPPENEDVASSFSPGRKVVSAKSPSSFPGVKASSVPASELTTVSVVNLPPGISEKAGGASVEVQNDRPVSAKTLKEKTSKAERRALQEAQRAAKAAAKAEGTKASSAASKVVTSANTKPSKAAKSSSQKNDGSQVAASEKKGGDRAPEKDRKKDAPHPRMQYDDKSRVEKAKKRAVVKQTEARNRVELFRHLPQYEHGTQLPDLETKFFELDPMHPAVYKVGLQYLSGDIRGGNARCIAMFQAFKEAIKDYSTPPEKTLIRDLTARISSYVSFLIECRPLSISMGNAIKFLKNRIAKLPLALSESEAKSTLMLDIDRFINEKIILSEKVIVKHAVTKIRDGDVLLTYGASSVVEMILLHAHELGKHFHVVVVDSRPKLEGQLLLRRLVRKGLSFYSRVGTACVAMVAHAFHVPVLVCCEAYKFHERVQLDSICFNELGNPDAISKVQGRKEINYLDGLVNQENLQLLNLIYDATPSDYVSMIITDYGMIPPTSVPVIVREYRRDHLWS >KJB22405 pep chromosome:Graimondii2_0_v6:4:4121773:4126870:1 gene:B456_004G046500 transcript:KJB22405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRRTARTVSDPKVRQVGFFTPAPPERSVSDPPRFQDPIQPPSPSPTQVNDHSPSGNSLSPVMIPPAHHHSDNFLRTALQATSPTKAFSYSAKTVTIPPENEDVASSFSPGRKVVSAKSPSSFPGVKASSVPASELTTVSVVNLPPGISEKAGGASVEVQNDRPVSAKTLKEKTSKAERRALQEAQRAAKAAAKAEGTKASSAASKVVTSANTKPSKAAKSSSQKNDGSQVAASEKKGGDRAPEKDRKKDAPHPRMQYDDKSRVEKAKKRAVVKQTEARNRVELFRHLPQYEHGTQLPDLETKFFELDPMHPAVYKVGLQYLSGDIRGGNARCIAMFQAFKEAIKDYSTPPEKTLIRDLTARISSYVSFLIECRPLSISMGNAIKFLKNRIAKLPLALSESEAKSTLMLDIDRFINEKIILSEKVIVKHAVTKIRDGDVLLTYGASSVVEMILLHAHELGKHFHVVVVDSRPKLEGQLLLRRLVRKGLSCTYTHVNAVSCIMQDVTRVFLGASSVLSNGTVYSRVGTACVAMVAHAFHVPVLVCCEAYKFHERVQLDSICFNELGNPDAISKVQGRKEINYLDGLVNQENLQLLNLIYDATPSDYVSMIITDYGMIPPTSVPVIVREYRRDHLWS >KJB24938 pep chromosome:Graimondii2_0_v6:4:46691450:46694630:1 gene:B456_004G168900 transcript:KJB24938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRDVSSCNTYNYGDALYWDSRYIQEAGGAFDWYQRYSSLRPFVRRYVPTSSRVLMVGCGNALMSEDMAKDGYEDIMNIDISSVAIDMMRRKYEFVPQLKYMQMDVRDMSFFPDESFDSVMDKGTLDSLMCGTDAPISASRMLGEVSRLLKPGGTYMLITYGDPSARMPHLNRPVYGWNIFLYNLPRPDFKRPGGCSSTKSYLEPIPITEKGLLPADFVLEDPDSHFIYVCKKMDDTELRNIPTYPLTSEIL >KJB24939 pep chromosome:Graimondii2_0_v6:4:46691748:46694054:1 gene:B456_004G168900 transcript:KJB24939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRDVSSCNTYNYGDALYWDSRYIQEAGGAFDWYQRYSSLRPFVRRYVPTSSRVLMVGCGNALMSEDMAKDGYEDIMNIDISSVAIDMMRRKYEFVPQLKYMQMDVRDMSFFPDESFDSVMDKGTLDSLMCGTDAPISASRMLGEVSRLLKPGGTYMLVTISQIRKLDSSTVPFFFYSETSKWMTCL >KJB22590 pep chromosome:Graimondii2_0_v6:4:5324924:5326556:1 gene:B456_004G055700 transcript:KJB22590 gene_biotype:protein_coding transcript_biotype:protein_coding description:COMPASS-like H3K4 histone methylase component WDR5B [Source:Projected from Arabidopsis thaliana (AT4G02730) UniProtKB/Swiss-Prot;Acc:Q9SY00] MASGGTNQQPTAAYKPYRHLKTLAGHQRAVSCVKFSNDGTLLASASLDKTLIIWSASTLSLLHRLVGHSEGISDLAWSSDSHYICSASDDRTLRIWDARPPFDCLKILKGHSDFVFCVNFNPQSNLIVSGSFDETIRIWEVKTGKCLRVIRAHSMPVTSVHFNRDGSLIVSGSHDGTCKIWAAKEGTCLKTLIDDKDPAVSFTKFSPNGKFILVATLDSTLKLWNYSTGKFLKIYQGHTNRVYCITSTFSVTSGKYIVSGSEDKCVYLWDLQSRAMIQRLEGHSDTVISVTCHPVENKIASAGLDGDRTIRVWVQDA >KJB22539 pep chromosome:Graimondii2_0_v6:4:4871590:4883234:-1 gene:B456_004G053100 transcript:KJB22539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGCNDTRKGLGLGFGAREMEETELEEGEACSNNDNDDAYDDTFGPKNDLSSLSYIDEKIQHILGHFQKDFEGGVSAENLGAKFGGYGSFLPTYQRSPGRSHPTSSPKVQNCNAPRFPNTIQLEDGRHPTNFGTFHALKASYANDSIKQEVSLTSPHAHELATRCEYADKKVSNLPDQKTLKLRIKMGSDNFLTQKNAAIYSGLGLDVSPSSSLDESPSESEGMYGGTQEPLFESPTSILQLMTSFPVPGEALLSPLSDHLLNLIVKEKILKENISNSGKGDEILLGDEKANSDSVEKKDFLVERNGGSKREIKNGNGIMSKKEVDIDALPCEDLVSKTLELPLLSNPYSAVGKVKDNSIARNKGVHHVAVEESLEPILTQDIGWENPRVNSAQKVLEEQKTSVLDDNSGCTRKYEYIKAENTYNFVKAESNVPKGSKALNSEAVDPLRKKSNLKATLQEQNNLKLPSAKEQTFSGGKNKSKSSHGQGSLAAEVPKDSLRVGSSLMLKNKQTAHVNNNTNKKDLGDQKLERPFQKAEDRDRDFFGDVGESEHEENLTSSLEIRSKDRLKEDDMIGKNTLAINSSHNGRRSGKKREDLLASKSFAGATLDIASNSGCVNTVGASLGTAAPVLINENWVSCDKCLKWRLLPIGLNPSDLPEKWVCSMLNWLPAMNHCSVDEEETTKAVLTSHQVPAVVSQTNLQNNLDSTMSRLKSADTLQPEQSQQCSGSHAMPPSGRKKHGLKEISNAMDKDGPTPMKKNIQASVLSGSSTDITKSLVVSGSSLCDPRKCGVPVKKHKSKQKKKHKVSEHGSGGGDAKTSKMKGKRISDQDSLRASKKIKSKSLHLVDENSMYEHAGKGDLSTSNDLPTASTGKDQPKPSQLSSYNAPKLDTEDRQQVSGKRPKDKVQVSLADGSVDLVNFDGGEVSRKRKADECINNQLYSGSYQIMGNHLQDGTVCAKEEFSKNKYRQEKKVRLSTTGGKDTSSSKNNGKLEKKKIHSKNHHSGQELDSTLSQRRLCGTEDKNGGQLGGSRAKASIESSPNIRKVQFMNGSVDYLGQEVKYDIELTTADEHLDEENQNDKHGDDNVSQPRKSGKGSSWSKDRSQKFKSDYVDEMQGRTPLCKVKPKNGRNNFQERLGVKSNGSENRSVDDNKESMGKLSSESSKRENQRNVGQSDAKPDETGGQDVMCTVKKSIMQDGNVKKYMKRFHSDKSDRAEIASGRGNSPSLPPSGGTQNEILTHCPHPNGSQKGNRADGSQSDDALKVQKQIKEADRQNGAQHCSSRHTGHRIRGINAPSPMRKDSPNQAATNALKEATDLKHMADRVKNSGSIVESIGLYFQAALKFLYSASLLESCNNEGTKHGEMIQSMQIYSSTAKLFEFCAHEYERLNKMAAASLAYKCTEVAYMRVIYTSHANASRDRHELQTALQMVPPGESPSSSASDVDNLNHPTTADKVAFSKGVSPPQVAANHVISARNRPIFVRFLNFAQDVNYAMEASRKSRITFAHSKSSLSGDEKGEVIYSVKKALDFNFQDIEGMLRLVRLAMEAVIH >KJB23931 pep chromosome:Graimondii2_0_v6:4:30687871:30689394:1 gene:B456_004G122100 transcript:KJB23931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLWSRPQIIMNALQKKNTLESLLRGRFLHEIPVEEERPPSVAASELNQLSHNSEVKYPLACYFIRMCYISSTMSNWSL >KJB26296 pep chromosome:Graimondii2_0_v6:4:57414146:57416246:1 gene:B456_004G236000 transcript:KJB26296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMQFLNHTRTIPISNPYGFSRFFSKSSPFIVKVGIPEFLNGVGHGVETHVAKLESEIGDFQKLLVTRTLKLKKIGIPCKHRKLILKYAHKYRLGLWRPRAEPVKV >KJB26297 pep chromosome:Graimondii2_0_v6:4:57414202:57416236:1 gene:B456_004G236000 transcript:KJB26297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMQFLNHTRTIPISNPYGFSRFFSKSSPFIGHGVETHVAKLESEIGDFQKLLVTRTLKLKKIGIPCKHRKLILKYAHKYRLGLWRPRAEPVKV >KJB26407 pep chromosome:Graimondii2_0_v6:4:57811787:57813061:-1 gene:B456_004G240100 transcript:KJB26407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHQLIKVKVEGEENRRDETSRLNDDILIRILGFLSFKEAVRSSAISRRWQHLWKYFSGCLSFKQTKPRLASWNLNVRTRAEIAETCEFIEWVNKYLNSNQASTIDEFRIDNFDLDKDSKAYVDEWVGIALQKKTKKLQLNFGPEYYSDVRWSSVDASRVAARCYCLTKETFSSALSGIHFLTCLSVKFVGVSDEMLEHIVSICPLLESLELDHSPQLTHPKVSALRLKHLNICHCEYFIESIEIYAPNLVSFDYTGKEIPLHIKYAPKLNQVCYDDGSRSAIQHLSIHLANYLPQLVTLSIGMSVVGVVNNFPKLTSLKHLRCDALTSDGNSLRCLTSLIEASPFLHKFVLEVS >KJB26406 pep chromosome:Graimondii2_0_v6:4:57811031:57813239:-1 gene:B456_004G240100 transcript:KJB26406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMELHQLIKVKVEGEENRRDETSRLNDDILIRILGFLSFKEAVRSSAISRRWQHLWKYFSGCLSFKQTKPRLASWNLNVRTRAEIAETCEFIEWVNKYLNSNQASTIDEFRIDNFDLDKDSKAYVDEWVGIALQKKTKKLQLNFGPEYYSDVRWSSVDASRVAARCYCLTKETFSSALSGIHFLTCLSVKFVGVSDEMLEHIVSICPLLESLELDHSPQLTHPKVSALRLKHLNICHCEYFIESIEIYAPNLVSFDYTGKEIPLHIKYAPKLNQVCYDDGSRSAIQHLSIHLANYLPQLVTLSIGMSVVGVVNNFPKLTSLKHLRCDALTSDGNSLRCLTSLIEASPFLHKFVLEVSHGTGEIIKKIHPSEVRVMCRSVSGHPHEYIKEVEIVGFCGNKAEAEILTYLLKNAVMLETIRIEISGGTPKKNYAYPEEIKQMTNYMINHLIQNRSPPQLLFLLL >KJB21743 pep chromosome:Graimondii2_0_v6:4:843398:849734:1 gene:B456_004G011700 transcript:KJB21743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGMMDPELLRIAQEQMSRMSPAELSRIQQQMMSNPDLMKMASESMKNMRPEDLRQAAEQLKHTRPEDMAEIGEKMANASPEEIAALRARADAQISYELSAAEMLKNQGNELHKQGKFNNASEKYLLAKKNLKGIPSAKGKTLLLACSLNLMSCYLKTRQYDECVKEGSEVLSYDAKNVKALYRRGQAYKELGQFDDAVSDLSNAHEVSPDDETIADVLRDAEERLAREGSHQAPRGVVIEEITEETVSTSPATLQSSSTEHSSKQPRESTGISKSENGNKVADLRTSSECLQALKEDPEALRSFQNFISSADPDTLAAMSGGKVGEVSPGMFKTASSMISKMSPEELQKMVQLASSFQGENPYTGGFGTGPVPPNVTPDMLKTATDMMTKMPTEELQKMFEMAASIKGKDSIPASTAVNGSRLDSDAEITYPTSQTSSITSENVGFGETASSSSSRFPNSMNPQSSFPPTSTADLQEQMRNQMKDPAMRQMFASMMKNMSPEMMANMSEQFGMKLSREDAAKAQQAMSSLSPDDLDRMMRWVDRIQRGAEGAKKAKNWLLGKPGMILAICMLILAVILHRLGFVGS >KJB21742 pep chromosome:Graimondii2_0_v6:4:843412:849719:1 gene:B456_004G011700 transcript:KJB21742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGMMDPELLRIAQEQMSRMSPAELSRIQQQMMSNPDLMKMASESMKNMRPEDLRQAAEQLKHTRPEDMAEIGEKMANASPEEIAALRARADAQISYELSAAEMLKNQGNELHKQGKFNNASEKYLLAKKNLKGIPSAKGKTLLLACSLNLMSCYLKTRQYDECVKEGSEVLSYDAKNVKALYRRGQAYKELGQFDDAVSDLSNAHEVSPDDETIADVLRDAEERLAREGSHQAPRGVVIEEITEETVSTSPATLQSSSTEHSSKQPRESTGISKSENGNKVADLRTSSECLQALKEDPEALRSFQNFISSADPDTLAAMSGGKVGEVSPGMFKTASSMISKMSPEELQKMVQLASSFQGENPYTGGFGTGPVPPNVTPDMLKTATDMMTKMPTEELQKMFEMAASIKGKDSIPASTAVNGSRLDSDAEITYPTSQTSSITSENVGFGETASSSSSRFPNSMNPQSSFPPTSTADLQEQMRNQMKDPAMRQMFASMMKNMSPEMMANMSEQFGMKLSREDAAKAQQAMSSLSPDDLDRMMRWVDRIQRGAEGAKKAKNWLLGKPGMILAICMLILAVILHRLGFVGS >KJB21744 pep chromosome:Graimondii2_0_v6:4:843463:849719:1 gene:B456_004G011700 transcript:KJB21744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGMMDPELLRIAQEQMSRMSPAELSRIQQQMMSNPDLMKMASESMKNMRPEDLRQAAEQLKHTRPEDMAEIGEKMANASPEEIAALRARADAQISYELSAAEMLKNQGNELHKQGKFNNASEKYLLAKKNLKGIPSAKGKTLLLACSLNLMSCYLKTRQYDECVKEGSEVLSYDAKNVKALYRRGQAYKELGQFDDAVSDLSNAHEVSPDDETIADVLRDAEERLAREGSHQAPRGVVIEEITEETVSTSPATLQSSSTEHSSKQPRESTGISKSENGNKVADLRTSSECLQALKEDPEALSRSFQNFISSADPDTLAAMSGGKVGEVSPGMFKTASSMISKMSPEELQKMVQLASSFQGENPYTGGFGTGPVPPNVTPDMLKTATDMMTKMPTEELQKMFEMAASIKGKDSIPASTAVNGSRLDSDAEITYPTSQTSSITSENVGFGETASSSSSRFPNSMNPQSSFPPTSTADLQEQMRNQMKDPAMRQMFASMMKNMSPEMMANMSEQFGMKLSREDAAKAQQAMSSLSPDDLDRMMRWVDRIQRGAEGAKKAKNWLLGKPGMILAICMLILAVILHRLGFVGS >KJB24551 pep chromosome:Graimondii2_0_v6:4:42718120:42719380:1 gene:B456_004G150800 transcript:KJB24551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCTKVGLHRGPWTPREDTLLINYVQTHGEGHWRSLPKKAGLLRCGKSCRLRWMNYLRPDIKRGNISTDEDDLIIRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNTYLSKRLLSQGIDPNTHKKLPKPVVQQVSKRKNSRGSNNKQNPKKAKTTLEPAVIPKVHLPKPSKATSIYFPRNDSFDQCNTFSTVCSSQGEEGIGTQVIQGPWSEYVSHGENGTGFLSESGCHPRVPSSEGDNSLEKLYEEYLQFLKANEDQLQLDAFAESLLI >KJB27282 pep chromosome:Graimondii2_0_v6:4:61815138:61820506:-1 gene:B456_004G288600 transcript:KJB27282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLFRDLSLGHSKRESTPPPPPLQTKPPPMPTNKLTSPDLQSPLGQLASQLTDSDLRLTAYDVFLAVCRTSASKPLSTSASSISDSSSQNSPGQNHNHSPSSPALQRSLTSAAASKMKKALGLKSPGSKKSPGSAPSSGQGKSKRPTTVGELMRIQMRVPESVDARVRRALLRIGGGLVGRRIESVVLPLELLQQLKQSDFSDQQEYDAWQKRNLRVLEAGLLLHPRVPLDKSNNASQRLRQIIHAALDRPIETGKNNESMQVLRSAVMSLASRSDGSLSDSCHWADGIPLNLRLYEMLLEMCFDINDETSIVEEVDELMEQIKKTWVILGINQMLHNLCFAWVLFHHFVSTGQVEMDLLYAADGQLAEVAKDAKTTRDPEYSKILSSTLSSILGWAEKRLLAYHDTFDSGNVYTMQGIVSLGVSAAKILVEDVSTEYRRKRKEVDVARNRIDTYIRSSLRTAFAQRMEKADSSRRASKNQPNPLPVLAILAKDVGELAVHEKQVFSPILKGWHPLAAGVAVATLHSCYANEIKQFISGITELTPDAVQVLRAADKLEKDLVQIAVEDAVDSDDGGKAIIREMPPYEAETAIANLVKGWIKTRLDRLKEWVDRNLQQEVWNPQANQEGFALSAVETLRIIDETLDAFFQLPIPAHPALLPDVIVGLDKCLQYYVIKAKSGCASRNTYIPTMPALTRCEIGSKFQGVWKKKEKSQNTQKRNSQVATMNGDKSFGIPQLCVRINTLHHIRSEMDVLEKRIVTHLRNCESAHLEDFSNGLSKRFELTPAACVEGVQQLSEAVAYKIVFHDLSHVLWDGLYVGEPSSSRIDPLLQELEQNLLVISETVHDRVRTRIITDIMKASCDGFLLVLLAGGPSRAFSRQDSQIIEDDFKALKDLFWANGDGLPADLIDKFSATVRDFLPLFRTDTESLIERFKRMTLEAYGSSARSRLPLPPTSGQWNPTEPNTLLRVLCYRNDEAASRFLKKTYNLPKKLK >KJB27280 pep chromosome:Graimondii2_0_v6:4:61814868:61820506:-1 gene:B456_004G288600 transcript:KJB27280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLFRDLSLGHSKRESTPPPPPLQTKPPPMPTNKLTSPDLQSPLGQLASQLTDSDLRLTAYDVFLAVCRTSASKPLSTSASSISDSSSQNSPGQNHNHSPSSPALQRSLTSAAASKMKKALGLKSPGSKKSPGSAPSSGQGKSKRPTTVGELMRIQMRVPESVDARVRRALLRIGGGLVGRRIESVVLPLELLQQLKQSDFSDQQEYDAWQKRNLRVLEAGLLLHPRVPLDKSNNASQRLRQIIHAALDRPIETGKNNESMQVLRSAVMSLASRSDGSLSDSCHWADGIPLNLRLYEMLLEMCFDINDETSIVEEVDELMEQIKKTWVILGINQMLHNLCFAWVLFHHFVSTGQVEMDLLYAADGQLAEVAKDAKTTRDPEYSKILSSTLSSILGWAEKRLLAYHDTFDSGNVYTMQGIVSLGVSAAKILVEDVSTEYRRKRKEVDVARNRIDTYIRSSLRTAFAQRMEKADSSRRASKNQPNPLPVLAILAKDVGELAVHEKQVFSPILKGWHPLAAGVAVATLHSCYANEIKQFISGITELTPDAVQVLRAADKLEKDLVQIAVEDAVDSDDGGKAIIREMPPYEAETAIANLVKGWIKTRLDRLKEWVDRNLQQEVWNPQANQEGFALSAVETLRIIDETLDAFFQLPIPAHPALLPDVIVGLDKCLQYYVIKAKSGCASRNTYIPTMPALTRCEIGSKFQGVWKKKEKSQNTQKRNSQVATMNGDKSFGIPQLCVRINTLHHIRSEMDVLEKRIVTHLRNCESAHLEDFSNGLSKRFELTPAACVEGVQQLSEAVAYKIVFHDLSHVLWDGLYVGEPSSSRIDPLLQELEQNLLVISETVHDRVRTRIITDIMKASCDGFLLVLLAGGPSRAFSRQDSQIIEDDFKALKDLFWANGDGLPADLIDKFSATVRDFLPLFRTDTESLIERFKRMTLEAYGSSARSRLPLPPTSGQWNPTEPNTLLRVLCYRNDEAASRFLKKTYNLPKKLK >KJB27283 pep chromosome:Graimondii2_0_v6:4:61814890:61820506:-1 gene:B456_004G288600 transcript:KJB27283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLFRDLSLGHSKRESTPPPPPLQTKPPPMPTNKLTSPDLQSPLGQLASQLTDSDLRLTAYDVFLAVCRTSASKPLSTSASSISDSSSQNSPGQNHNHSPSSPALQRSLTSAAASKMKKALGLKSPGSKKSPGSAPSSGQGKSKRPTTVGELMRIQMRVPESVDARVRRALLRIGGGLVGRRIESVVLPLELLQQLKQSDFSDQQEYDAWQKRNLRVLEAGLLLHPRVPLDKSNNASQRLRQIIHAALDRPIETGKNNESMQVLRSAVMSLASRSDGSLSDSCHWADGIPLNLRLYEMLLEMCFDINDETSIVEEVDELMEQIKKTWVILGINQMLHNLCFAWVLFHHFVSTGQVEMDLLYAADGQLAEVAKDAKTTRDPEYSKILSSTLSSILGWAEKRLLAYHDTFDSGNVYTMQGIVSLGVSAAKILVEDVSTEYRRKRKEVDVARNRIDTYIRSSLRTAFAQRMEKADSSRRASKNQPNPLPVLAILAKDVGELAVHEKQVFSPILKGWHPLAAGVAVATLHSCYANEIKQFISGITELTPDAVQVLRAADKLEKDLVQIAVEDAVDSDDGGKAIIREMPPYEAETAIANLVKGWIKTRLDRLKEWVDRNLQQEVWNPQANQEGFALSAVETLRIIDETLDAFFQLPIPAHPALLPDVIVGLDKCLQYYVIKAKSGCASRNTYIPTMPALTRCEIGSKFQGVWKKKEKSQNTQKRNSQVATMNGDKSFGIPQLCVRINTLHHIRSEMDVLEKRIVTHLRNCESAHLEDFSNGLSKRFELTPAACVEGVQQLSEAVAYKIVFHDLSHVLWDGLYVGEPSSSRIDPLLQELEQNLLVISETVHDRVRTRIITDIMKASCDGFLLVLLAGGPSRAFSRQDSQIIEDDFKALKDLFWANGDGLPADLIDKFSATVRDFLPLFRTDTESLIERFKRMTLEAYGSSARSRLPLPPTSGQWNPTEPNTLLRVLCYRNDEAASRFLKKTYNLPKKLK >KJB27281 pep chromosome:Graimondii2_0_v6:4:61814890:61820506:-1 gene:B456_004G288600 transcript:KJB27281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLFRDLSLGHSKRESTPPPPPLQTKPPPMPTNKLTSPDLQSPLGQLASQLTDSDLRLTAYDVFLAVCRTSASKPLSTSASSISDSSSQNSPGQNHNHSPSSPALQRSLTSAAASKMKKALGLKSPGSKKSPGSAPSSGQGKSKRPTTVGELMRIQMRVPESVDARVRRALLRIGGGLVGRRIESVVLPLELLQQLKQSDFSDQQEYDAWQKRNLRVLEAGLLLHPRVPLDKSNNASQRLRQIIHAALDRPIETGKNNESMQVLRSAVMSLASRSDGSLSDSCHWADGIPLNLRLYEMLLEMCFDINDETSIVEEVDELMEQIKKTWVILGINQMLHNLCFAWVLFHHFVSTGQVEMDLLYAADGQLAEVAKDAKTTRDPEYSKILSSTLSSILGWAEKRLLAYHDTFDSGNVYTMQGIVSLGVSAAKILVEDVSTEYRRKRKEVDVARNRIDTYIRSSLRTAFAQRMEKADSSRRASKNQPNPLPVLAILAKDVGITELTPDAVQVLRAADKLEKDLVQIAVEDAVDSDDGGKAIIREMPPYEAETAIANLVKGWIKTRLDRLKEWVDRNLQQEVWNPQANQEGFALSAVETLRIIDETLDAFFQLPIPAHPALLPDVIVGLDKCLQYYVIKAKSGCASRNTYIPTMPALTRCEIGSKFQGVWKKKEKSQNTQKRNSQVATMNGDKSFGIPQLCVRINTLHHIRSEMDVLEKRIVTHLRNCESAHLEDFSNGLSKRFELTPAACVEGVQQLSEAVAYKIVFHDLSHVLWDGLYVGEPSSSRIDPLLQELEQNLLVISETVHDRVRTRIITDIMKASCDGFLLVLLAGGPSRAFSRQDSQIIEDDFKALKDLFWANGDGLPADLIDKFSATVRDFLPLFRTDTESLIERFKRMTLEAYGSSARSRLPLPPTSGQWNPTEPNTLLRVLCYRNDEAASRFLKKTYNLPKKLK >KJB24606 pep chromosome:Graimondii2_0_v6:4:43643665:43647452:-1 gene:B456_004G153600 transcript:KJB24606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESEEISASDLTDSQRYWIQYSRQQCLNLPSGSVTGFEWKDYCPKVFRLIQELENISNEYYMMLICSDETLRKVSSSVRAGNMFLLSKDNRFVIRTLRKSEVRVLLEMLPDYYNHLRKFRNTVLNKLYGAHVVKPVGGAKVYFVVVANIFKSDLLMHRCYDLKGSLQGRKVEKMRFREKILHKESDLDFLFYLEPLVRHRLLKQIKYDCAFLEAAGVMDYSLMLGLHVKGSPQGLLDAGRSRSAGSQGSVDSSSSTDSSRMSCDLLSRSELYSHRSSASSFRDSIDMGSELSFGDQWLPNSSNNSSFGEEMSARGVHVPKNGTANISSEESPKSRECYDVLLYFGIVDFFQNYSVIKRIEHAYKSLQFDRKMIAAVNPKAYSSRFQEFISDIFKADDTPSLPTELTNGCR >KJB24604 pep chromosome:Graimondii2_0_v6:4:43643631:43646003:-1 gene:B456_004G153600 transcript:KJB24604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSLMLGLHVKGSPQGLLDAGRSRSAGSQGSVDSSSSTDSSRMSCDLLSRSELYSHRSSASSFRDSIDMGSELSFGDQWLPNSSNNSSFGEEMSARGVHVPKNGTANISSEESPKSRECYDVLLYFGIVDFFQNYSVIKRIEHAYKSLQFDRKMIAAVNPKAYSSRFQEFISDIFKADDTPSLPTELTNGCR >KJB24605 pep chromosome:Graimondii2_0_v6:4:43643956:43645823:-1 gene:B456_004G153600 transcript:KJB24605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRCYDLKGSLQGRKVEKMRFREKILHKESDLDFLFYLEPLVRHRLLKQIKYDCAFLEAAGVMDYSLMLGLHVKGSPQGLLDAGRSRSAGSQGSVDSSSSTDSSRMSCDLLSRSELYSHRSSASSFRDSIDMGSELSFGDQWLPNSSNNSSFGEEMSARGVHVPKNGTANISSEESPKSRECYDVLLYFGIVDFFQNYSVIKRIEHAYKSLQFDRKMIAAVNPKAYSSRFQEFISDIFKADDTPSLPTELTNGCR >KJB23464 pep chromosome:Graimondii2_0_v6:4:17447204:17447514:1 gene:B456_004G099700 transcript:KJB23464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKSTSIVIRSKIKMLTTKFENLIMEENETIGILYVKSYTNAKLARNVLFSLLDKFNIKATAIEEDKDINTMHIDELIGSLQTVECNLD >KJB24160 pep chromosome:Graimondii2_0_v6:4:35301739:35305698:1 gene:B456_004G130600 transcript:KJB24160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVRAVPPTDLNKNTEWFTYPGVWTAYILIVFFSWLMVLSVFGTSPGIAWTIVHLIHFFVTYHFFHWKKGTPFADDQGIYNGLTWWEQIDNGKQLTPNRKFLTVVPVVLYLIASHTTGYQNPLLFFNTLAVFVLVVAKFPNMHKVRIFGINADH >KJB24159 pep chromosome:Graimondii2_0_v6:4:35301666:35305698:1 gene:B456_004G130600 transcript:KJB24159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVRAVPPTDLNKNTEWFTYPGVWTAYILIVFFSWLMVLSVFGTSPGIAWTIVHLIHFFVTYHFFHWKKGTPFADDQGIYNGLTWWEQIDNGKQLTPNRKFLTVVPVVLYLIASHTTGYQNPLLFFNTLAVFVLVVAKFPNMHKVRIFGINADH >KJB21487 pep chromosome:Graimondii2_0_v6:4:52277223:52278546:-1 gene:B456_004G200200 transcript:KJB21487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGWSPNISLIEGRKTLILSLPRDERKGKWCFTSKPGQKLAITIWLHRRYLVDPRLSDTFPALGLYYDVNKSYNDAISKPSTSPPSVVSDCDSIPSPIGSPHAIVGPGDNPRTVKTRLRQWAQVRTVRVEKRINETDNYSKTGLFQKVMPFSLLYEDKCDFIM >KJB25733 pep chromosome:Graimondii2_0_v6:4:53722628:53726365:1 gene:B456_004G206700 transcript:KJB25733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTICHETLSFGGSSHGQGQQILPFKTGKESLRVLLLHGNLDIWVKEAKNLPNMDMFHKKLGDVFGKFSLKVGNKVESHMPKITSDPYVTISVAGAVIGRTFVISNSENPVWMQHFNVSVAHYASEILFAVKDSDVVGSQIMGAVGIPIEQLFSGTKVEGTFPILSANGKPCKAGAVLTLSIQYIPIQQVAIYHKGLGSGPEYHGVPGTYFPLRQGCKLTLYQDAHIHDGFLPNLKIDGNVQYEHGTCWQDICNAIGQARRLIYIAGWSVYHNVRLVRETDKASKTTLGDLLKIKSQEGVRVLLLVWDDPTSRSILGYKTDLQEGIMNTNDEETRRFFKHSSVQVLLCPRTAGKGSWAKKQETGTIYTHHQKTVIVDSDAGNNKRKITAFVGGLDLCKGRYDTPNHQLFRTLETVHKDDFHNACFTGPDAGCPREPWHDLHCRIDGPAAYDVLTNFEERWLKASKPHGLQKLKTSVDDSLLKIERIPEIVRMSEIPYSRKDDPETWHVQVFRSIDSNSVKGFPDDPKDAIKMNLVCGKNVLIDMSIHTAYVNAIRAAQRFIYIENQYFLGSSYNWDSHTDLGANNLIPMEIALKIANKIRSNERFCAYILIPMWPEGVTTSNPIQRILFWQHKTMQMMYDIIYKALVETGLENRYEPQDFLNFFCLGNREVEDSLVVRDATASNTPQALAKKNRRFMIYIHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPDHTCSSKRYNPHGQIYGYRMSLWAEHIGGLEESFKQPESLDCVRRVRSLSEQNWKQYIADEVTEMKGHLLKYPVEVDRMGKVKALPGCETFPDVGGKILGSFMGPQENLTI >KJB25734 pep chromosome:Graimondii2_0_v6:4:53722628:53725603:1 gene:B456_004G206700 transcript:KJB25734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTICHETLSFGGSSHGQGQQILPFKTGKESLRVLLLHGNLDIWVKEAKNLPNMDMFHKKLGDVFGKFSLKVGNKVESHMPKITSDPYVTISVAGAVIGRTFVISNSENPVWMQHFNVSVAHYASEILFAVKDSDVVGSQIMGAVGIPIEQLFSGTKVEGTFPILSANGKPCKAGAVLTLSIQYIPIQQVAIYHKGLGSGPEYHGVPGTYFPLRQGCKLTLYQDAHIHDGFLPNLKIDGNVQYEHGTCWQDICNAIGQARRLIYIAGWSVYHNVRLVRETDKASKTTLGDLLKIKSQEGVRVLLLVWDDPTSRSILGYKTDLQEGIMNTNDEETRRFFKHSSVQVLLCPRTAGKGSWAKKQETGTIYTHHQKTVIVDSDAGNNKRKITAFVGGLDLCKGRYDTPNHQLFRTLETVHKDDFHNACFTGPDAGCPREPWHDLHCRIDGPAAYDVLTNFEERWLKASKPHGLQKLKTSVDDSLLKIERIPEIVRMSEIPYSRKDDPETWHVQVFRSIDSNSVKGFPDDPKDAIKMNLVCGKNVLIDMSIHTAYVNAIRAAQRFIYIENQYFLGSSYNWDSHTDLGANNLIPMEIALKIANKIRSNERFCAYILIPMWPEGVTTSNPIQRILFWQHKTMQMMYDIIYKALVETGLENRYEPQDFLNFFCLGNREVEDSLVVRDATASNTPQVNRPFQLSLIFQSCSSMRKYEPNVGNTGTC >KJB25732 pep chromosome:Graimondii2_0_v6:4:53722446:53726404:1 gene:B456_004G206700 transcript:KJB25732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTICHETLSFGGSSHGQGQQILPFKTGKESLRVLLLHGNLDIWVKEAKNLPNMDMFHKKLGDVFGKFSLKVGNKVESHMPKITSDPYVTISVAGAVIGRTFVISNSENPVWMQHFNVSVAHYASEILFAVKDSDVVGSQIMGAVGIPIEQLFSGTKVEGTFPILSANGKPCKAGAVLTLSIQYIPIQQVAIYHKGLGSGPEYHGVPGTYFPLRQGCKLTLYQDAHIHDGFLPNLKIDGNVQYEHGTCWQDICNAIGQARRLIYIAGWSVYHNVRLVRETDKASKTTLGDLLKIKSQEGVRVLLLVWDDPTSRSILGYKTEGIMNTNDEETRRFFKHSSVQVLLCPRTAGKGSWAKKQETGTIYTHHQKTVIVDSDAGNNKRKITAFVGGLDLCKGRYDTPNHQLFRTLETVHKDDFHNACFTGPDAGCPREPWHDLHCRIDGPAAYDVLTNFEERWLKASKPHGLQKLKTSVDDSLLKIERIPEIVRMSEIPYSRKDDPETWHVQVFRSIDSNSVKGFPDDPKDAIKMNLVCGKNVLIDMSIHTAYVNAIRAAQRFIYIENQYFLGSSYNWDSHTDLGANNLIPMEIALKIANKIRSNERFCAYILIPMWPEGVTTSNPIQRILFWQHKTMQMMYDIIYKALVETGLENRYEPQDFLNFFCLGNREVEDSLVVRDATASNTPQALAKKNRRFMIYIHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPDHTCSSKRYNPHGQIYGYRMSLWAEHIGGLEESFKQPESLDCVRRVRSLSEQNWKQYIADEVTEMKGHLLKYPVEVDRMGKVKALPGCETFPDVGGKILGSFMGPQENLTI >KJB27172 pep chromosome:Graimondii2_0_v6:4:61395676:61399258:1 gene:B456_004G282300 transcript:KJB27172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFKLLFAVSLFTLLLRFCSGSIVGVCYGRNADDLPTPDKVVELIKLHNIKYVRIYDSNIQVLKAFANTGVELMVAVPNSDLLAFSQFQSNADSWLKNSILPYYPAAKITYITVGLEVTESPDNASALVVPAMHNVLAALKKAGLHKRIKVSSTHSLGVLSRSFPPSAGAFNSSHASFLKPMLEFLAENQSPFMIDLYPYYAYRDSPKKVSLDYALFESSSEVIDPNTGLLYTNMFDAQIDALYFALMALNFKTIKVMVTETGWPSKGSPKEKGATPDNAQTYNTNLIRHVLNDTGTPAKPGEELDVYIFSLFNENRKPGLESERNWGLFYPDKTSIYNLDFTGKGVLDMTNSTDGSKNGTTWCIASSKASEADLQNAIDWACGPGNVDCSAIQPSQPCFEPDNLVSHASFAFNSYYQQNGASDVACSFGGKGVKVDKDPSYDNCIYLTAGGINKTASSNMTATVNTSSSSHTDVCSWICVFLLTTLIAVILNLRNMCDVSF >KJB27173 pep chromosome:Graimondii2_0_v6:4:61395749:61398733:1 gene:B456_004G282300 transcript:KJB27173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFKLLFAVSLFTLLLRFCSGSIVGVCYGRNADDLPTPDKVVELIKLHNIKYVRIYDSNIQVLKAFANTGVELMVAVPNSDLLAFSQFQSNADSWLKNSILPYYPAAKITYITVGLEVTESPDNASALVVPAMHNVLAALKKAGLHKRIKVSSTHSLGVLSRSFPPSAGAFNSSHASFLKPMLEFLAENQSPFMIDLYPYYAYRDSPKKVSLDYALFESSSEVIDPNTGLLYTNMFDAQIDALYFALMALNFKTIKVMVTETGWPSKGSPKEKGATPDNAQTYNTNLIRHVLNDTGTPAKPGEELDVYIFSLFNENRKPGLESERNWGLFYPDKTSIYNLDFTGKGVLDMTNSTDGSKNGTTWCIASSKASEADLQNAIDWACGPGNVDCSAIQPSQPCFEPDNLVSHASFAFNSYYQQNGASDVACSFGGKGVKVDKDPSKSIPIISCLSELFLTMFRLTMQQAYSICLKSDHNLLTS >KJB23174 pep chromosome:Graimondii2_0_v6:4:10653926:10654945:-1 gene:B456_004G085300 transcript:KJB23174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSICFSFAIAAEAIPNSNHLHRPLTPPYPPSILTPNFPLKRTQTSLLPLRSQSSSEPPANQRGQDPENLVEDLRIPDHWLLPSKALEESEWLRVTLHKWLDDEYCPEETNVEISNVAARSYYHSLLHKQTDVGEILLKMARELESISYQESFHGPFSSANAAVSLIIQRIEQL >KJB23173 pep chromosome:Graimondii2_0_v6:4:10653654:10654841:-1 gene:B456_004G085300 transcript:KJB23173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSICFSFAIAAEAIPNSNHLHRPLTPPYPPSILTPNFPLKRTQTSLLPLRSQSSSEPPANQRGQDPENLVEDLRIPDHWLLPSKALEESEWLRVTLHKWLDDEYCPEETNVEISNVAARSYYHSLLHKQTDVGEILLKMARELESISYQESFHGPFSSANAAVSLIIQRIEQL >KJB25956 pep chromosome:Graimondii2_0_v6:4:55163198:55164414:1 gene:B456_004G218000 transcript:KJB25956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FDKKDLLHAIGVTFGGVIRSKILGEFCWLRVLLNVQKPLRRGIFVTIGNGNKSWIPFKYEKLPTYCFGCGKLGHGIHDCSKFTPVEKNRIKVDPPFSLALKAESTLVGRESLKFNALLKKSQSQCSYVGGITKNQEEYQYMEQSSGLVRRI >KJB25558 pep chromosome:Graimondii2_0_v6:4:55993599:55995008:1 gene:B456_004G225300 transcript:KJB25558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEPLWDLGGWFFIFIDCMAATPKTPSSSSSIVAETFAKSHSLLFLLTLFFIFLLLLNPKPTNYPMDSPNGTPSIPFKRLLLDASSNMNLHPKQTRTLGTSSSSRREFGAEAHEVPSGPNPISNRKLLSALISSHGDVSLKMKGKDKKGNEGGQLAVCRL >KJB25938 pep chromosome:Graimondii2_0_v6:4:55023381:55025187:1 gene:B456_004G217100 transcript:KJB25938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRSSSFGNIRVLKSPPPTAFGFQWNTRANATVISVHAPPKAREMTKVGILAVSAPKLAVWQESYFLDNCFLCKKGLRQNDIRFMYGDFRAFCSIECRHKQITEDAEKEKASKEID >KJB26856 pep chromosome:Graimondii2_0_v6:4:59858478:59862557:1 gene:B456_004G263300 transcript:KJB26856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSAESRSPLPGSPLSPHLANMKKRNSRKRLGSRGSSFEYRKDEALHRIPGRFFLNGSTDVASLFTQQGKKGTNQDAMIVWENFGSRTDTVFCGIFDGHGPYGHLVAKRVRDHLPLKLSAHWEANVSSEDVFRDFSLGSMTSENMSLLSVDKESRPSIDFLESEKHPDIFETLKESFLKAFKAMDRELRVHPSIDSFCSGTTAVTLVKQGPYLVIGNLGDSRAVLGTRDKNNMLTAVQLTVDLKPNLPAEAERIRRCKGRVFALRDEPEVTRVWLPNNDSPGLAMARAFGDFCLKDFGLISVPEITFRHLTENDEFVVLATDGIWDVLSNEEAVEIIGSAPARSSAARALVEVAIRAWRYKYPTSKVDDCAVVCLFLDSNSNHLSTASNGNTEVQPTSKDQLDNDIEENNNLDVLTPLNQSGITRTEEETSLEGNEDPSKLEDMNSDMGINWSALEGVSRVNTLVNLPRFIPEKEKAGK >KJB22046 pep chromosome:Graimondii2_0_v6:4:2122998:2125310:-1 gene:B456_004G027200 transcript:KJB22046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVALSASHQTFIANAFSFNRKPKTKLPNSPTFITCRFHSDDHDDSSWRNEKQLAKLAMVTLAAGVLTLGSVGDASAAKTGGRVGGQAFRSAAPRSAPRANNNSRTNIYINPRVAPPLVGGYGYGYGVPFYGGWGWSPFSFFAPGPSVAVGVGGGFDTLLLFMFLGAVAAVVRRFFGSRNEDEDEY >KJB22369 pep chromosome:Graimondii2_0_v6:4:3791481:3795039:-1 gene:B456_004G043900 transcript:KJB22369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRLKRTKGICSCSSPRCINHSTFNWYEQDLWTYIARFLDGRSLVMLGATNKWFNSVIMQDCIWKFTCLRDLQVPDPGHVAFSWSKLYASVVDGSHSFIFRQQEKHIDWMRIGAFSFDSEVAFLSQRMSASVKIPKQENIGDMLQSCGSCLLRKIKTGIWIADLQLVRCPVCDQEKCEGTMQMLDARHIELFLNQGFQDGSWDYELVGSHEIKKKVRGAYGAIFDFKYLTSNSTAGIFDYKSWIGQPNDFQPKAIIALHAVAVNTNLQENQEAGVLIKYHTMRAGAEGEIVSIRISQQLL >KJB22370 pep chromosome:Graimondii2_0_v6:4:3791481:3795127:-1 gene:B456_004G043900 transcript:KJB22370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWRVASYIYQEISNVLLSKSIERMRKRLKRTKGICSCSSPRCINHSTFNWYEQDLWTYIARFLDGRSLVMLGATNKWFNSVIMQDCIWKFTCLRDLQVPDPGHVAFSWSKLYASVVDGSHSFIFRQQEKHIDWMRIGAFSFDSEVAFLSQRMSASVKIPKQENIGDMLQSCGSCLLRKIKTGIWIADLQLVRCPVCDQEKCEGTMQMLDARHIELFLNQGFQDGSWDYELVGSHEIKKKVRGAYGAIFDFKYLTSNSTAGIFDYKSWIGQPNDFQPKAIIALHAVAVNTNLQENQGVLIKYHTMRAGAEGEIVSIRISQQLL >KJB25602 pep chromosome:Graimondii2_0_v6:4:52095552:52097637:-1 gene:B456_004G199600 transcript:KJB25602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFFNWFFFFINIGSLGSVTILVYIQDNLGREWGYGICACAILMGLVVFLLGTKRYRFKKLVGSPLTQIAAVFVAAWKKRHLELPSDSSLLFNIDNVTEGSMKKKKKQKLPHSKQFRFLDRAAIMNQSGLEANKWSLATLTDVEEVKMVLRMLPIWATTVIFWTVYAQMTTFSVSQATTMDRHIGKFQIPPASLTVFFVAAILLTVPVYDKLIAPIAKKVLNNPQGLTPLQRIGVGLVLSIIAMIAAALTEIKRLRTASSHGLTTNPVAKIPLSVFWLVPQFLLVGAGEAFTYIGQLDFFLRECPKGMKAMSTGLFLSTLSLGFFVSSLLVTVVHKVTGNKKPWLPNNLNQGRLYNFYWLLTILSCFNLGIYLVFAKWYMYKDKRLADEGIELEEADPTFH >KJB25604 pep chromosome:Graimondii2_0_v6:4:52095367:52098579:-1 gene:B456_004G199600 transcript:KJB25604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPVTQGKTLPDAWDYRGCPAERSKSGGWTSAAMILATTVTNFLGTSFMLCLLGGFIADTFLGRYLTIGIFATVQATGVTILTISTVIPSLRPPKCSRDSVTTCTPASGIQLVVLYLALYLTALGTGGLKSSVSGFGSDQFDDSDPEERSQMTNFFNWFFFFINIGSLGSVTILVYIQDNLGREWGYGICACAILMGLVVFLLGTKRYRFKKLVGSPLTQIAAVFVAAWKKRHLELPSDSSLLFNIDNVTEGSMKKKKKQKLPHSKQFRFLDRAAIMNQSGLEANKWSLATLTDVEEVKMVLRMLPIWATTVIFWTVYAQMTTFSVSQATTMDRHIGKFQIPPASLTVFFVAAILLTVPVYDKLIAPIAKKVLNNPQGLTPLQRIGVGLVLSIIAMIAAALTEIKRLRTASSHGLTTNPVAKIPLSVFWLVPQFLLVGAGEAFTYIGQLDFFLRECPKGMKAMSTGLFLSTLSLGFFVSSLLVTVVHKVTGNKKPWLPNNLNQGRLYNFYWLLTILSCFNLGIYLVFAKWYMYKDKRLADEGIELEEADPTFH >KJB25600 pep chromosome:Graimondii2_0_v6:4:52095367:52098576:-1 gene:B456_004G199600 transcript:KJB25600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFFNWFFFFINIGSLGSVTILVYIQDNLGREWGYGICACAILMGLVVFLLGTKRYRFKKLVGSPLTQIAAVFVAAWKKRHLELPSDSSLLFNIDNVTEGSMKKKKKQKLPHSKQFRFLDRAAIMNQSGLEANKWSLATLTDVEEVKMVLRMLPIWATTVIFWTVYAQMTTFSVSQATTMDRHIGKFQIPPASLTVFFVAAILLTVPVYDKLIAPIAKKVLNNPQGLTPLQRIGVGLVLSIIAMIAAALTEIKRLRTASSHGLTTNPVAKIPLSVFWLVPQFLLVGAGEAFTYIGQLDFFLRECPKGMKAMSTGLFLSTLSLGFFVSSLLVTVVHKVTGNKKPWLPNNLNQGRLYNFYWLLTILSCFNLGIYLVFAKWYMYKDKRLADEGIELEEADPTFH >KJB25603 pep chromosome:Graimondii2_0_v6:4:52095367:52098576:-1 gene:B456_004G199600 transcript:KJB25603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLILSGVEACERLTTLGIAVNMVTYLTGTMHLGNASSATTVTNFLGTSFMLCLLGGFIADTFLGRYLTIGIFATVQATGVTILTISTVIPSLRPPKCSRDSVTTCTPASGIQLVVLYLALYLTALGTGGLKSSVSGFGSDQFDDSDPEERSQMTNFFNWFFFFINIGSLGSVTILVYIQDNLGREWGYGICACAILMGLVVFLLGTKRYRFKKLVGSPLTQIAAVFVAAWKKRHLELPSDSSLLFNIDNVTEGSMKKKKKQKLPHSKQFRFLDRAAIMNQSGLEANKWSLATLTDVEEVKMVLRMLPIWATTVIFWTVYAQMTTFSVSQATTMDRHIGKFQIPPASLTVFFVAAILLTVPVYDKLIAPIAKKVLNNPQGLTPLQRIGVGLVLSIIAMIAAALTEIKRLRTASSHGLTTNPVAKIPLSVFWLVPQFLLVGAGEAFTYIGQLDFFLRECPKGMKAMSTGLFLSTLSLGFFVSSLLVTVVHKVTGNKKPWLPNNLNQGRLYNFYWLLTILSCFNLGIYLVFAKWYMYKDKRLADEGIELEEADPTFH >KJB25601 pep chromosome:Graimondii2_0_v6:4:52095367:52098019:-1 gene:B456_004G199600 transcript:KJB25601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFFNWFFFFINIGSLGSVTILVYIQDNLGREWGYGICACAILMGLVVFLLGTKRYRFKKLVGSPLTQIAAVFVAAWKKRHLELPSDSSLLFNIDNVTEGSMKKKKKQKLPHSKQFRFLDRAAIMNQSGLEANKWSLATLTDVEEVKMVLRMLPIWATTVIFWTVYAQMTTFSVSQATTMDRHIGKFQIPPASLTVFFVAAILLTVPVYDKLIAPIAKKVLNNPQGLTPLQRIGVGLVLSIIAMIAAALTEIKRLRTASSHGLTTNPVAKIPLSVFWLVPQFLLVGAGEAFTYIGQLDFFLRECPKGMKAMSTGLFLSTLSLGFFVSSLLVTVVHKVTGNKKPWLPNNLNQGRLYNFYWLLTILSCFNLGIYLVFAKWYMYKDKRLADEGIELEEADPTFH >KJB25599 pep chromosome:Graimondii2_0_v6:4:52095235:52098612:-1 gene:B456_004G199600 transcript:KJB25599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPVTQGKTLPDAWDYRGCPAERSKSGGWTSAAMILGVEACERLTTLGIAVNMVTYLTGTMHLGNASSATTVTNFLGTSFMLCLLGGFIADTFLGRYLTIGIFATVQATGVTILTISTVIPSLRPPKCSRDSVTTCTPASGIQLVVLYLALYLTALGTGGLKSSVSGFGSDQFDDSDPEERSQMTNFFNWFFFFINIGSLGSVTILVYIQDNLGREWGYGICACAILMGLVVFLLGTKRYRFKKLVGSPLTQIAAVFVAAWKKRHLELPSDSSLLFNIDNVTEGSMKKKKKQKLPHSKQFRFLDRAAIMNQSGLEANKWSLATLTDVEEVKMVLRMLPIWATTVIFWTVYAQMTTFSVSQATTMDRHIGKFQIPPASLTVFFVAAILLTVPVYDKLIAPIAKKVLNNPQGLTPLQRIGVGLVLSIIAMIAAALTEIKRLRTASSHGLTTNPVAKIPLSVFWLVPQFLLVGAGEAFTYIGQLDFFLRECPKGMKAMSTGLFLSTLSLGFFVSSLLVTVVHKVTGNKKPWLPNNLNQGRLYNFYWLLTILSCFNLGIYLVFAKWYMYKDKRLADEGIELEEADPTFH >KJB25360 pep chromosome:Graimondii2_0_v6:4:50305028:50307522:1 gene:B456_004G187800 transcript:KJB25360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSFKLQEDKNPVLKARIPISIFSQPFVSSLTTTPPTTTDSSGGGSGSGSGSNKSSQNTSFSLSTNFPSGPCFKLSYAPSASSSITIPFSLSLKSGLGLFGSPKDSPLIFSAHFSLSSVNPGTIIPTFALHFKPQFGNFSLYKATSSKPSLEPDSRPHHVLGQSASPSNSEFGTPDSASVWQDVKLEPRNASDDGLDTLKFGYGNGLYSTDGFGMERSLVRKDDDKAGIFGGIAVRARTMFPVTKRAVVKLRWIVNMPSDLGSKMPYLTINKIGVEKIEEAKEAKVEKNKSMARNDDELELLKGMYSWMRRDLDMLEDENREMKQCLEGMKHEILARKASRENEGHGWRAPTPPVKNSNDFERWRNKKNSAEDNGGKEGKKTASKLSEVENELQKAIKASPT >KJB25361 pep chromosome:Graimondii2_0_v6:4:50305028:50307499:1 gene:B456_004G187800 transcript:KJB25361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSFKLQEDKNPVLKARIPISIFSQPFVSSLTTTPPTTTDSSGGGSGSGSGSNKSSQNTSFSLSTNFPSGPCFKLSYAPSASSSITIPFSLSLKSGLGLFGSPKDSPLIFSAHFSLSSVNPGTIIPTFALHFKPQFGNFSLYKATSSKPSLEPDSRPHHVLGQSASPSNSEFGTPDSASVWQDVKLEPRNASDDGLDTLKFGYGNGLYSTDGFGMERSLVRKDDDKAGIFGGIAVRARTMFPVTKRAVVKLRWIVNMPSDLGSKMPYLTINKIGVEKIEEAKEAKVEKNKSMARNDDELELLKGMYSWMRRDLDMLEDENREMKQCLEGMKHEILARKASRENEGHGWRAPTPPVKNSNDFERWRNKKNSAEDNGGKEGKKTASKLSEVENELQKAIKASPT >KJB27238 pep chromosome:Graimondii2_0_v6:4:61677733:61681636:1 gene:B456_004G286200 transcript:KJB27238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKTLFAAVLITWLAAFQCCNGHNFNYKEALTKSLMFLEAQRSGKLPADNRIHWRGDSALDDGKEANVDLVGGYYDAGDNVKYGMPMAFTITTLAWSAIAYEKELKAAGEMGNVHSAIRWGTDYFLKCGKKRGIFYVQVGDPVEDHKCWVRPETMKTPRTVLQINETVPGTEIAAETSAAMAASSIVFRYVDPPYARRLLNKAKSLFYFGKKNKGTFDGECPFYCSFSGYNDELLWAASWLYTATQDQKFRKFITEDAVSAVVDEFNWDLKYAGIQVLLSDTFLQSNDEALKIFKDHADSYICSVLPQSPYFKVPKTPGGLIHLRDGANLQYVTGVSFLFSIYGDLLQRHNVKVKCDCQEFDASTILNFAKQQMDYILGANPLGRSYMVGFGNNPPTQAHHRGASIPLSEANVDINCGMSFARWFNKNSPNPNELTGAILGGPDKQDKFSDLRWTSIYTEPCTYVNSLAVAGLAKLTCHK >KJB21776 pep chromosome:Graimondii2_0_v6:4:950288:953254:-1 gene:B456_004G012900 transcript:KJB21776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKMIALRRNCLINLFHRCPFHYFPFYSVFSCNLGTFKNPQHSPFTFTQINPSSITSPTSISHQFYTYFYSTKAPSRSYRRRVNKRLKASQKPVLDQAKFQQVISQLPPRFTADELYNVITLEDDPLVCWELFNWAAQQPRFKHNVSTYHITIKKLGVAKMYEEMDVVVNQVLALRSFGSEPLYNTMIYFFAEARKLTRAVNIFKHMRNNRKFDCRPSIRTYNILFTAMLSRGKDSYINHMYMETIRCLFRQMVDDGIEPDVFTLNSMIKGYVLSLHVNDALRVFHQMGVVYKCLPNAFSYDYLIYGLCAQGRTNNARELCDEMKRNGFTPSGKSYNSLVNALAIAGEVEEAVHYLREMIEMRKSADLITYRTILDEICRRGRVEEAMGLLRELQSKDLVDGHTYRKLLYAMEDSYGD >KJB21774 pep chromosome:Graimondii2_0_v6:4:950012:953341:-1 gene:B456_004G012900 transcript:KJB21774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKMIALRRNCLINLFHRCPFHYFPFYSVFSCNLGTFKNPQHSPFTFTQINPSSITSPTSISHQFYTYFYSTKAPSRSYRRRVNKRLKASQKPVLDQAKFQQVISQLPPRFTADELYNVITLEDDPLVCWELFNWAAQQPRFKHNVSTYHITIKKLGVAKMYEEMDVVVNQVLALRSFGSEPLYNTMIYFFAEARKLTRAVNIFKHMRNNRKFDCRPSIRTYNILFTAMLSRGKDSYINHMYMETIRCLFRQMVDDGIEPDVFTLNSMIKGYVLSLHVNDALRVFHQMGVVYKCLPNAFSYDYLIYGLCAQGRTNNARELCDEMKRNGFTPSGKSYNSLVNALAIAGEVEEAVHYLREMIEMRKSADLITYRTILDEICRRGRVEEAMGLLRELQSKDLVDGHTYRKLLYAMEDSYGD >KJB21773 pep chromosome:Graimondii2_0_v6:4:949951:953341:-1 gene:B456_004G012900 transcript:KJB21773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKMIALRRNCLINLFHRCPFHYFPFYSVFSCNLGTFKNPQHSPFTFTQINPSSITSPTSISHQFYTYFYSTKAPSRSYRRRVNKRLKASQKPVLDQAKFQQVISQLPPRFTADELYNVITLEDDPLVCWELFNWAAQQPRFKHNVSTYHITIKKLGVAKMYEEMDVVVNQVLALRSFGSEPLYNTMIYFFAEARKLTRAVNIFKHMRNNRKFDCRPSIRTYNILFTAMLSRGKDSYINHMYMETIRCLFRQMVDDGIEPDVFTLNSMIKGYVLSLHVNDALRVFHQMGVVYKCLPNAFSYDYLIYGLCAQGRTNNARELCDEMKRNGFTPSGKSYNSLVNALAIAGEVEEAVHYLREMIEMRKSADLITYRTILDEICRRGRVEEAMGLLRELQSKDLVDGHTYRKLLYAMEDSYGD >KJB21775 pep chromosome:Graimondii2_0_v6:4:951844:953103:-1 gene:B456_004G012900 transcript:KJB21775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKMIALRRNCLINLFHRCPFHYFPFYSVFSCNLGTFKNPQHSPFTFTQINPSSITSPTSISHQFYTYFYSTKAPSRSYRRRVNKRLKASQKPVLDQAKFQQVISQLPPRFTADELYNVITLEDDPLVCWELFNWAAQQPRFKHNVSTYHITIKKLGVAKMYEEMDVVVNQVLALRSFGSEPLYNTMIYFFAEARKLTRAVNIFKHMRNNRKFDCRPSIRTYNILFTAMLSRGKDSYINHMYMETIRCLFRQMVDDGIEPDVFTLNSMIKGYVLSLHVNDALRVFHQMGVVYKCLPNAFSYDYLIYGLCAQGRTNNARELCDEMKRNGFTPSGKSYNSLVNALAIAGEVEEAVHYLREMIEMRKSADLITYRTILDEICRRGRVEEAMGLLRELQSKDLVDGHTYRKLLYAMEDSYGD >KJB22033 pep chromosome:Graimondii2_0_v6:4:2050675:2051585:-1 gene:B456_004G026200 transcript:KJB22033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFFPLFYIFAILLIITTSGKVNGAQCEKEIGICDENCSSKCEASKNGKGICEKSSSNDIGTCKCSYECDGNGDESLSQINRKCNVGIGPCNEHCNDGCCDRNCAIKYPEKQQGYGVCLNIAGIPSSRQCVCYYNC >KJB27338 pep chromosome:Graimondii2_0_v6:4:62019177:62024658:1 gene:B456_004G291700 transcript:KJB27338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATMISNSELRIEAEMEERSDLGNGSLDSASISFDISKKKIEFHPARKPFNAFKSFDDGDFRIETLNTGSDTKRVNGVGSDLLSAKGRKADGTDKWETGLDPILGLKISFRKIGAGLENLGNTCFLNSVLQCLTYTEPLVAYLQSGTHQSSCRIAGFCALCAIQKHVNRALQSTGRILAPKDLVSNLRCISRNFRNSRQEDAHEYMVNLLESMHKCCLPLGVSSESPSSYEKSLVHKIFGGRLCSQVKCMQCSYCSNTFDPFLDLSLEIVKADSLHKALKNFTAAELLDGGERQYQCQRCKQKVKAIKQLTVYNAPHVLTIHLKRFRAYDFGQKIDRKVEFGPTLDMKPFVSGSNEGDLKYTLYGVLVHCGWSTHSGHYYCFVRTSSGMWYSLDDNRVVQVSERTVLEQKAYMLFYVRDRRNTAPRKTTGILQRDNLKANVNGRSVLNQNLKEHMQTCSVGKKLSASGTCAAMTPKVIVNGDLSKETIMKEVPSLQNHVMAEGSVLKESFFPPFNVPSKDSSEACSSNLVQGEDLQPSACSVGGNLGSSKTENSTVTTGGKDSDCNERGNTKGGFEIPVTLSPNCGGFQNSGTAKIASKETLQKISCASKIEVSSTVALEDLIGKAVKKVPGKALSMSTTSETSKNAQATMSLDKPICNGSQAGDVSSHSTIDKTLNERGDNSSEKKIFKSPSSIPNGSLEIKAPCKKPTKKHLKRQLRNMHIGIKLKIFRASLHMHSKKKHKKSKKRTLKAHVLLKDNLLDKDCFPSDLGSSTSEKFSTISLGLIHHRRKKAANKNVNINSGSLMNNINGEVKERIYQNGTVLASDQQAEGSSGSVLEANWHNSREIGSFKDGKTGSSPNRHVLTKGLGETAGACLSKISISSAFFATYF >KJB27335 pep chromosome:Graimondii2_0_v6:4:62019120:62024749:1 gene:B456_004G291700 transcript:KJB27335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATMISNSELRIEAEMEERSDLGNGSLDSASISFDISKKKIEFHPARKPFNAFKSFDDGDFRIETLNTGSDTKRVNGVGSDLLSAKGRKADGTDKWETGLDPILGLKISFRKIGAGLENLGNTCFLNSVLQCLTYTEPLVAYLQSGTHQSSCRIAGFCALCAIQKHVNRALQSTGRILAPKDLVSNLRCISRNFRNSRQEDAHEYMVNLLESMHKCCLPLGVSSESPSSYEKSLVHKIFGGRLCSQVKCMQCSYCSNTFDPFLDLSLEIVKADSLHKALKNFTAAELLDGGERQYQCQRCKQKVKAIKQLTVYNAPHVLTIHLKRFRAYDFGQKIDRKVEFGPTLDMKPFVSGSNEGDLKYTLYGVLVHCGWSTHSGHYYCFVRTSSGMWYSLDDNRVVQVSERTVLEQKAYMLFYVRDRRNTAPRKTTGILQRDNLKANVNGRSVLNQNLKEHMQTCSVGKKLSASGTCAAMTPKVIVNGDLSKETIMKEVPSLQNHVMAEGSVLKESFFPPFNVPSKDSSEACSSNLVQGEDLQPSACSVGGNLGSSKTENSTVTTGGKDSDCNERGNTKGGFEIPVTLSPNCGGFQNSGTAKIASKETLQKISCASKIEVSSTVALEDLIGKAVKKVPGKALSMSTTSETSKNAQATMSLDKPICNGSQAGDVSSHSTIDKTLNERGDNSSEKKIFKSPSSIPNGSLEIKAPCKKPTKKHLKRQLRNMHIGIKLKIFRASLHMHSKKKHKKSKKRTLKAHVLLKDNLLDKDCFPSDLGSSTSEKFSTISLGLIHHRRKKAANKNVNINSGSLMNNINGEVKERIYQNGTVLASDQQAEGSSGSVLEANWHNSREIGSFKDGKTGSSPNRHVLTKGLGETAVARWNDMDIVSPAQTIEANDSESKGIGYVPDEWDEEYDRGKRKKIRQNKHKFGGANLFQQIATKKTRFKKAKLDHSKSENRPFRI >KJB27339 pep chromosome:Graimondii2_0_v6:4:62022009:62024658:1 gene:B456_004G291700 transcript:KJB27339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQVVQVSERTVLEQKAYMLFYVRDRRNTAPRKTTGILQRDNLKANVNGRSVLNQNLKEHMQTCSVGKKLSASGTCAAMTPKVIVNGDLSKETIMKEVPSLQNHVMAEGSVLKESFFPPFNVPSKDSSEACSSNLVQGEDLQPSACSVGGNLGSSKTENSTVTTGGKDSDCNERGNTKGGFEIPVTLSPNCGGFQNSGTAKIASKETLQKISCASKIEVSSTVALEDLIGKAVKKVPGKALSMSTTSETSKNAQATMSLDKPICNGSQAGDVSSHSTIDKTLNERGDNSSEKKIFKSPSSIPNGSLEIKAPCKKPTKKHLKRQLRNMHIGIKLKIFRASLHMHSKKKHKKSKKRTLKAHVLLKDNLLDKDCFPSDLGSSTSEKFSTISLGLIHHRRKKAANKNVNINSGSLMNNINGEVKERIYQNGTVLASDQQAEGSSGSVLEANWHNSREIGSFKDGKTGSSPNRHVLTKGLGETAVARWNDMDIVSPAQTIEANDSESKGIGYVPDEWDEEYDRGKRKKIRQNKHKFGGANLFQQIATKKTRFKKAKLDHSKSENRPFRI >KJB27336 pep chromosome:Graimondii2_0_v6:4:62019177:62024658:1 gene:B456_004G291700 transcript:KJB27336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATMISNSELRIEAEMEERSDLGNGSLDSASISFDISKKKIEFHPARKPFNAFKSFDDGDFRIETLNTGSDTKRVNGVGSDLLSAKGRKADGTDKWETGLDPILGLKISFRKIGAGLENLGNTCFLNSVLQCLTYTEPLVAYLQSGTHQSSCRIAGFCALCAIQKHVNRALQSTGRILAPKDLVSNLRCISRNFRNSRQEDAHEYMVNLLESMHKCCLPLGVSSESPSSYEKSLVHKIFGGRLCSQVKCMQCSYCSNTFDPFLDLSLEIVKADSLHKALKNFTAAELLDGGERQYQCQRCKQKVKAIKQLTVYNAPHVLTIHLKRFRAYDFGQKIDRKVEFGPTLDMKPFVSGSNEGDLKYTLYGVLVHCGWSTHSGHYYCFVRTSSGMWYSLDDNRVVQVSERTVLEQKAYMLFYVRDRRNTAPRKTTGILQRDNLKANVNGRSVLNQNLKEHMQTCSVGKKLSASGTCAAMTPKVIVNGDLSKETIMKEVPSLQNHVMAEGSVLKESFFPPFNVPSKDSSEACSSNLVQGEDLQPSACSVGGNLGSSKTENSTVTTGGKDSDCNERGNTKGGFEIPVTLSPNCGGFQNSGTAKIASKETLQKISCASKIEVSSTVALEDLIGKAVKKVPGRRCFQPQYH >KJB27337 pep chromosome:Graimondii2_0_v6:4:62020737:62024373:1 gene:B456_004G291700 transcript:KJB27337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLLESMHKCCLPLGVSSESPSSYEKSLVHKIFGGRLCSQVKCMQCSYCSNTFDPFLDLSLEIVKADSLHKALKNFTAAELLDGGERQYQCQRCKQKVKAIKQLTVYNAPHVLTIHLKRFRAYDFGQKIDRKVEFGPTLDMKPFVSGSNEGDLKYTLYGVLVHCGWSTHSGHYYCFVRTSSGMWYSLDDNRVVQVSERTVLEQKAYMLFYVRDRRNTAPRKTTGILQRDNLKANVNGRSVLNQNLKEHMQTCSVGKKLSASGTCAAMTPKVIVNGDLSKETIMKEVPSLQNHVMAEGSVLKESFFPPFNVPSKDSSEACSSNLVQGEDLQPSACSVGGNLGSSKTENSTVTTGGKDSDCNERGNTKGGFEIPVTLSPNCGGFQNSGTAKIASKETLQKISCASKIEVSSTVALEDLIGKAVKKVPGKALSMSTTSETSKNAQATMSLDKPICNGSQAGDVSSHSTIDKTLNERGDNSSEKKIFKSPSSIPNGSLEIKAPCKKPTKKHLKRQLRNMHIGIKLKIFRASLHMHSKKKHKKSKKRTLKAHVLLKDNLLDKDCFPSDLGSSTSEKFSTISLGLIHHRRKKAANKNVNINSGSLMNNINGEVKERIYQNGTVLASDQQAEGSSGSVLEANWHNSREIGSFKDGKTGSSPNRHVLTKGLGETAVARWNDMDIVSPAQTIEANDSESKGIGYVPDEWDEEYDRGKRKKIRQNKHKFGGANLFQQIATKKTRFKKAKLDHSKSENRPFRI >KJB23537 pep chromosome:Graimondii2_0_v6:4:20017995:20021705:1 gene:B456_004G103700 transcript:KJB23537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRYSILALALATLFSASSAISFYIWKKNLIEWDSKMKELQKSLNSALEKCAAERQGRIRAQQALRKAVVAQPQAKSENLDIPSYPMAPIGIVQSCFSTRNGTPRQPLLVPLARACLVFDSTRVPPASLEGLEEYSHCWIIYVFHLNTDLEKLWKHPSKSKFKAKVRVPRLKGGRLGVFATRSPHRPCPIGLTVAKVEAVQGNMLLLSGVDLVDGTPVLDIKPYLPYCDSIEGAVVPNWVMLDSMLSVASVGFSNDFSSSLLDCWKSVEKKSLYSSPDELKSLVKQALSWDIRSVSQRNRPHNNLLKIGSGDASDNASDLDDSRDGEASGELIYHLILDGMDFSYKIDGNGNVMVKEVHISSAISFGNEKRCNFLMWKDKHK >KJB22467 pep chromosome:Graimondii2_0_v6:4:4432072:4433903:-1 gene:B456_004G048800 transcript:KJB22467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFYHLPFLPFLFLFLHFIASLSSPTQNLNDTLSFSFPLTSLRISPDVKVQTLSSSLVAGASKHGTRRLARPNSGSYNYKTTFKYSMALIVALPIGTPPQTQQMVLDTGSQLSWIQCHKKLAKKPPPTASFDPSLSSSFSVLPCNHPLCKPRIPDFTLPTSCDQNRLCHYSYFYADGTLAEGNLVREKITFSRSQSTPPLILGCATDSSEDKGILGMNLGRFSFASQSKISKFSYCVPTHRTKPGVSPTGSFYLGQNPNSHGFQYINLLAFPQSRTMPNMDPLAYTLPMLGIKMGAKKLAIPMHVFRPDAGGSGQTMIDSGSEFTYLVDEAYNKVRDEVVRLVGPRLKKGYVYGGVADMCFDGNPVQIGRLIGDMVLEFEKGVEIRVPKDRVLADVGGGVHCLGIGRSNMLGIASNIIGNFHQQNLWVEFDLANRRVGFGKADCSRAAV >KJB24366 pep chromosome:Graimondii2_0_v6:4:40182941:40186912:1 gene:B456_004G142300 transcript:KJB24366 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RFI2 [Source:Projected from Arabidopsis thaliana (AT2G47700) UniProtKB/Swiss-Prot;Acc:O82239] MVRSKASLLDLDHDDHHQLMDGPDGDVSAVPLSSSDISCSICLDLVSDTGGRSRAKLLCGHQFHLDCIGSAFNMKGAMQCPNCRKVEKGQWLYANGSNRSLPELTMEDWNLDDDYYEPVYSEMQFRAQWCPYGEFTRIGSSSEEVESPSTTYHEIHGHHAIFAEHAAASSVAHSYVAYVGPLPSTTLRNSDSVDDPNFNRHWNILSGHNEIFIPHAFPTIRIQYHSWGRHSPNFSISNSHIGNTDPASVPAAALRSSNGEPDASTVPRLFGHPFPFEHGSRGGSSFVSSVFHHHPGSGAHTHDRTWPSLAYYRQQHRFNQQRFNRPGVPALVVPGIRGVAPMTPAVPQPDQTGGFYIYPRSSSSGQNLPEAESSYPNNYIALERERLSHFRTMSRVTGWGAYRPTSGSGSGNRSGNDHR >KJB24367 pep chromosome:Graimondii2_0_v6:4:40182941:40186912:1 gene:B456_004G142300 transcript:KJB24367 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RFI2 [Source:Projected from Arabidopsis thaliana (AT2G47700) UniProtKB/Swiss-Prot;Acc:O82239] MVRSKASLLDLDHDDHHQLMDGPDGDVSAVPLSSSDISCSICLDLVSDTGGRSRAKLLCGHQFHLDCIGSAFNMKGAMQCPNCRKVEKGQWLYANGSNRSLPELTMEDWNLDDDYYEPVYSEMQFRAQWCPYGEFTRIGSSSEEVESPSTTSLRSSNGEPDASTVPRLFGHPFPFEHGSSSRGGSSFVSSVFHHHPGSGAHTHDRTWPSLAYYRQQHRFNQQRFNRPGVPALVVPGIRGVAPMTPAVPQPDQTGGFYIYPRSSSSGQNLPEAESSYPNNYIALERERLSHFRTMSRVTGWGAYRPTSGSGSGNRSGNDHR >KJB24365 pep chromosome:Graimondii2_0_v6:4:40182941:40186912:1 gene:B456_004G142300 transcript:KJB24365 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RFI2 [Source:Projected from Arabidopsis thaliana (AT2G47700) UniProtKB/Swiss-Prot;Acc:O82239] MVRSKASLLDLDHDDHHQLMDGPDGDVSAVPLSSSDISCSICLDLVSDTGGRSRAKLLCGHQFHLDCIGSAFNMKGAMQCPNCRKVEKGQWLYANGSNRSLPELTMEDWNLDDDYYEPVYSEMQFRAQWCPYGEFTRIGSSSEEVESPSTTSAALRSSNGEPDASTVPRLFGHPFPFEHGSRGGSSFVSSVFHHHPGSGAHTHDRTWPSLAYYRQQHRFNQQRFNRPGVPALVVPGIRGVAPMTPAVPQPDQTGGFYIYPRSSSSGQNLPEAESSYPNNYIALERERLSHFRTMSRVTGWGAYRPTSGSGSGNRSGNDHR >KJB24362 pep chromosome:Graimondii2_0_v6:4:40182852:40186925:1 gene:B456_004G142300 transcript:KJB24362 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RFI2 [Source:Projected from Arabidopsis thaliana (AT2G47700) UniProtKB/Swiss-Prot;Acc:O82239] MVRSKASLLDLDHDDHHQLMDGPDGDVSAVPLSSSDISCSICLDLVSDTGGRSRAKLLCGHQFHLDCIGSAFNMKGAMQCPNCRKVEKGQWLYANGSNRSLPELTMEDWNLDDDYYEPVYSEMQFRAQWCPYGEFTRIGSSSEEVESPSTTYHEIHGHHAIFAEHAAASSVAHSYVAYVGPLPSTTLRNSDSVDDPNFNRHWNILSGHNEIFIPHAFPTIRIQYHSWGRHSPNFSISNSHIGNTDPASVPAAALRSSNGEPDASTVPRLFGHPFPFEHGSSSRGGSSFVSSVFHHHPGSGAHTHDRTWPSLAYYRQQHRFNQQRFNRPGVPALVVPGIRGVAPMTPAVPQPDQTGGFYIYPRSSSSGQNLPEAESSYPNNYIALERERLSHFRTMSRVTGWGAYRPTSGSGSGNRSGNDHR >KJB24368 pep chromosome:Graimondii2_0_v6:4:40182941:40186912:1 gene:B456_004G142300 transcript:KJB24368 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RFI2 [Source:Projected from Arabidopsis thaliana (AT2G47700) UniProtKB/Swiss-Prot;Acc:O82239] MVRSKASLLDLDHDDHHQLMDGPDGDVSAVPLSSSDISCSICLDLVSDTGGRSRAKLLCGHQFHLDCIGSAFNMKGAMQCPNCRKVEKGQWLYANGSNRSLPELTMEDWNLDDDYYEPVYSEMQFRAQWCPYGEFTRIGSSSEEVESPSTTYHEIHGHHAIFAEHAAASSVAHSYVAYVGPLPSTTLRNSDSVDDPNFNRHWNILSGHNEIFIPHAFPTIRIQYHTAALRSSNGEPDASTVPRLFGHPFPFEHGSSSRGGSSFVSSVFHHHPGSGAHTHDRTWPSLAYYRQQHRFNQQRFNRPGVPALVVPGIRGVAPMTPAVPQPDQTGGFYIYPRSSSSGQNLPEAESSYPNNYIALERERLSHFRTMSRVTGWGAYRPTSGSGSGNRSGNDHR >KJB24363 pep chromosome:Graimondii2_0_v6:4:40182941:40186912:1 gene:B456_004G142300 transcript:KJB24363 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RFI2 [Source:Projected from Arabidopsis thaliana (AT2G47700) UniProtKB/Swiss-Prot;Acc:O82239] MVRSKASLLDLDHDDHHQLMDGPDGDVSAVPLSSSDISCSICLDLVSDTGGRSRAKLLCGHQFHLDCIGSAFNMKGAMQCPNCRKVEKGQWLYANGSNRSLPELTMEDWNLDDDYYEPVYSEMQQFRAQWCPYGEFTRIGSSSEEVESPSTTYHEIHGHHAIFAEHAAASSVAHSYVAYVGPLPSTTLRNSDSVDDPNFNRHWNILSGHNEIFIPHAFPTIRIQYHSWGRHSPNFSISNSHIGNTDPASVPAAALRSSNGEPDASTVPRLFGHPFPFEHGSSSRGGSSFVSSVFHHHPGSGAHTHDRTWPSLAYYRQQHRFNQQRFNRPGVPALVVPGIRGVAPMTPAVPQPDQTGGFYIYPRSSSSGQNLPEAESSYPNNYIALERERLSHFRTMSRVTGWGAYRPTSGSGSGNRSGNDHR >KJB24369 pep chromosome:Graimondii2_0_v6:4:40185239:40186912:1 gene:B456_004G142300 transcript:KJB24369 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RFI2 [Source:Projected from Arabidopsis thaliana (AT2G47700) UniProtKB/Swiss-Prot;Acc:O82239] MRLKNEILLHVDHEIHGHHAIFAEHAAASSVAHSYVAYVGPLPSTTLRNSDSVDDPNFNRHWNILSGHNEIFIPHAFPTIRIQYHSWGRHSPNFSISNSHIGNTDPASVPAAALRSSNGEPDASTVPRLFGHPFPFEHGSSSRGGSSFVSSVFHHHPGSGAHTHDRTWPSLAYYRQQHRFNQQRFNRPGVPALVVPGIRGVAPMTPAVPQPDQTGGFYIYPRSSSSGQNLPEAESSYPNNYIALERERLSHFRTMSRVTGWGAYRPTSGSGSGNRSGNDHR >KJB24364 pep chromosome:Graimondii2_0_v6:4:40182941:40186912:1 gene:B456_004G142300 transcript:KJB24364 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RFI2 [Source:Projected from Arabidopsis thaliana (AT2G47700) UniProtKB/Swiss-Prot;Acc:O82239] MVRSKASLLDLDHDDHHQLMDGPDGDVSAVPLSSSDISCSICLDLVSDTGGRSRAKLLCGHQFHLDCIGSAFNMKGAMQCPNCRKVEKGQWLYANGSNRSLPELTMEDWNLDDDYYEPVYSEMQQFRAQWCPYGEFTRIGSSSEEVESPSTTSAALRSSNGEPDASTVPRLFGHPFPFEHGSSSRGGSSFVSSVFHHHPGSGAHTHDRTWPSLAYYRQQHRFNQQRFNRPGVPALVVPGIRGVAPMTPAVPQPDQTGGFYIYPRSSSSGQNLPEAESSYPNNYIALERERLSHFRTMSRVTGWGAYRPTSGSGSGNRSGNDHR >KJB24361 pep chromosome:Graimondii2_0_v6:4:40182852:40186925:1 gene:B456_004G142300 transcript:KJB24361 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RFI2 [Source:Projected from Arabidopsis thaliana (AT2G47700) UniProtKB/Swiss-Prot;Acc:O82239] MVRSKASLLDLDHDDHHQLMDGPDGDVSAVPLSSSDISCSICLDLVSDTGGRSRAKLLCGHQFHLDCIGSAFNMKGAMQCPNCRKVEKGQWLYANGSNRSLPELTMEDWNLDDDYYEPVYSEMQFRAQWCPYGEFTRIGSSSEEVESPSTTSAALRSSNGEPDASTVPRLFGHPFPFEHGSSSRGGSSFVSSVFHHHPGSGAHTHDRTWPSLAYYRQQHRFNQQRFNRPGVPALVVPGIRGVAPMTPAVPQPDQTGGFYIYPRSSSSGQNLPEAESSYPNNYIALERERLSHFRTMSRVTGWGAYRPTSGSGSGNRSGNDHR >KJB23758 pep chromosome:Graimondii2_0_v6:4:26205047:26205630:-1 gene:B456_004G113700 transcript:KJB23758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSPSPSLDAFMSISQPKVDYAEDKSSKKHQIYSKEFQAMLDGLDEEDSLEEGGQATEKKRHLSMHQVKALEKNFDVGNKLEPERKVKLTEELGLQPRQVAIWFQNRRARWKTKVLEKDYAMLKANREKEPPRNCSDCLHCPSDNRQLSGDDCPLVPPKSSPEMTVNGS >KJB23757 pep chromosome:Graimondii2_0_v6:4:26205047:26205792:-1 gene:B456_004G113700 transcript:KJB23757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSPSPSLDAFMSISQPKEDKSSKKHQIYSKEFQAMLDGLDEEDSLEEGGQATEKKRHLSMHQVKALEKNFDVGNKLEPERKVKLTEELGLQPRQVAIWFQNRRARWKTKVLEKDYAMLKANREKEPPRNCSDCLHCPSDNRQLSGDDCPLVPPKSSPEMTVNGS >KJB21916 pep chromosome:Graimondii2_0_v6:4:1577171:1579621:-1 gene:B456_004G021000 transcript:KJB21916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERLLYLLKHCSNLKDVETAHGSMVRTALHHDKLLLSQFIETCSSLGFSAYAHSVFSVNSQSHPHIYVFNTMIKGMTLCNSAFEALLVYKSIGRVGLRPDCYSFPFALKAVVDLRAMSLGTQIHSQAICAGLESNVHVVSALIQMYSSCARISDARKVFDEMPLASVCSDDVVVWMAMIAGYVKVGDPDTAMDLLSRMPRTQTDGAVLITWTSVIAGYAQMDRPDEAIAVFRKMQLENVVPDEIAMLAVLSACAQLGAFHIRLGECIHGYVKKRGFDQMVTLKNALIEMYSNSGNISNALHVFVNMTRRTVISWTTIISALAFHGLGTQALDMFSRMEMAQVKPNDITFIAVLSACAHVGLVNLGRYYFHAMKYRYGIQHEIQHYGCMIDLLGRAGYLAEALKLVSEMPFQPNAAIWGSLLAASNIHGDAELGELALLHLVQLEPWNSGNYALLSNIYASAGRWDKSVMARKMMRDKGVRKMPGWSFIQRKTT >KJB21566 pep chromosome:Graimondii2_0_v6:4:113939:115816:1 gene:B456_004G001600 transcript:KJB21566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLNIETDRILEIACIITDGYLTKSLEGPDLVIHQSKECLDRMGEWCQNHHAASGLTKKVLQSTISEREAEKQVIEFVKRHVGTYTPHLAGNSVYMDFIFLKKYMPDLASLFSHVVVDVSSVRALCIRWYPRDQKKAPAKEKKHRAMDDIRESISELKYFKETIFKAKSKK >KJB21565 pep chromosome:Graimondii2_0_v6:4:113571:115849:1 gene:B456_004G001600 transcript:KJB21565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLSNAFSLLDIDVDDHHPPPASSSASKPSGKSKINGKGSTELKPALLSENYKLPLVWIDLEMTGLNIETDRILEIACIITDGYLTKSLEGPDLVIHQSKECLDRMGEWCQNHHAASGLTKKVLQSTISEREAEKQVIEFVKRHVGTYTPHLAGNSVYMDFIFLKKYMPDLASLFSHVVVDVSSVRALCIRWYPRDQKKAPAKEKKHRAMDDIRESISELKYFKETIFKAKSKK >KJB26644 pep chromosome:Graimondii2_0_v6:4:59023476:59024647:1 gene:B456_004G252800 transcript:KJB26644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIFVLYFTILLPLALAQLKVGFYKTSCPRAESIVKAAVQKRFNTDKSITAALLRMHFHDCFVRGCDASILIDSTNQSRSEKESGPNLTVRGYELIDEAKKALEKVCPSKVSCADIITLATRDSVVLAGGPSYDVPTGRRDGRVSNINEVNLPGPSLSVSQAFQSFKDKGLTMDDMVTLLGSHTVGVAHCFFFSGRLTNFQGTGKPDPSMDAGLVTTLKGICGNGSNPTAFLDQGTSFSFDNEFYRQIRGKKGVMKIDQELADDRLSSGIVSRFASNANLFRTRFAQAMVKMGNIQVLVGNAGEIRKNCRVIN >KJB26171 pep chromosome:Graimondii2_0_v6:4:56268395:56272027:-1 gene:B456_004G228200 transcript:KJB26171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKQRSSEPQPTKTTPDPQPIQQQPEYVPDEDPNPKVEEELQLQEVEEEVEVEEEVEVEEEVEEEDDDDDDEEAQNQTLVGSSNANGAPKETGQEEDLDDEPFEKLLEPFGKDQLITLIRRAVDKHPEFISSVREFADADPSHRKIFVHGLSWDTTAETLTAEFCKYGEIEECKAVTDRVSGKSKGYAFILFKHRSGARRALKQPQKKIGNRTTSCQLASQGPVPAPPPTAPPVSEYTQRKIFVSNVSADLDPEKLLEFFKQYGEVEEGPLGLDKQTGKPKGFALFVYRSVESARKALEEPHKNFEGHVLHCQKAIDGPKSTKGGYGGGTSGGHHQQYQQHQHQQGHQIQSHFHHAKKGKYSSGGSETGHLMAPSGPTAVGFNPGVAAGGFNPAAAAPALNPALGQALTALLAGQGGLGLGNLLGGLSGAPVNQGTPAAGYGNQVAGGYGVQGGYQNPQMGQGGAGRNQPGSGAPYMGH >KJB26394 pep chromosome:Graimondii2_0_v6:4:57770635:57771603:-1 gene:B456_004G239600 transcript:KJB26394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNSCKLVWICAVIVAAALMVAVDASGDHHYHNQKILGWIPTPTRSSCNGSIGECLGGEEEFELDSEISRRVLQTTRYISYGALQRNTVPCSRRGASYYNCQPGAQANPYNRGCSRITRCRG >KJB26862 pep chromosome:Graimondii2_0_v6:4:59883828:59886979:-1 gene:B456_004G263700 transcript:KJB26862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKLFNDDVGPENDDISKIEINKEYARRFEHNKKREDLQRYEELKKKGHVEESDEESSDDDDDDDDEVDFTDQIAKDEDFFKALLRVRSRDPKLKEKDVKLFESDDDYESGKSDQEESEQGKRKDKKSMYLKDVVAKHLIEEGPDFDEQDAPVKLKKKVKTYDEEQEEIKKALLDATEEIENEDDGDFLRVKEKKGKDDVGKEGLNHGEFSKKLEEYFGEDAEIDENSKFLKEFFKNKMWIDKERKGGDLEIDNDVVDEVLRDEEEIERQEGYEMEYNFRHEENAEDRVIGYSRKVEGTVRKKESKRKAQRERKEERMRVAEMERKEELKHLKNLKKEEIKERMKKVMEISGINKEDCPFSAKDLEEEFDPDEYDKMMKAVFDEKYYDDEDAELNSDSERIEKPDFDKEDELLGLPKGWDVLESHDGFLAARERNKNKLQSSGDNDSGEEEEEKGEDDVEDEDENEDVGDDEGKEHESEDNEEDDEESKEEETEEGKRKRKRKMSVVQKALNEMWEEFYNLDYEDTIGDLKTRFKYVKIKPNRFGLKPSELLALDEKELNQYVSLKKLAPYTDKEWKVPNSKRYQQKLRIKELLKEKQRHQKVSKKRSRDTAEQSKEDESTKLEDSESSKQGKKKRRQATNISESRRKAYGMISSNPKKNKHKH >KJB24259 pep chromosome:Graimondii2_0_v6:4:37337349:37340437:-1 gene:B456_004G135500 transcript:KJB24259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFRQIGEVLGSLGALMVLQDDTQINRRQCCLLFDIFSSAFNTIAEEIKLNLKLDEKNTKWNALEQPFKELQRIFKEGEVYVRQSMDKRDWWVKAINLHQNKDCVHNHIHNLLSHFPVVIEAIETAGETAGRDRGEMQRRGIALKMKYDKEWNDPKLFQFRFGKQYLIPQDICSRFESAWREDRWNLVETLREKSGSESTTKTQQRLADLLIKKIIGSEGCVGKLFPSSILNGRDYVVRRRVGGQYKEIQWQGDSFVLRNFFGDVETSASEISTLLSLSHPNILQYLCGFYDEEKKEVLLVQELMNKDLTYYMSGSKRRVSFCLPVVVDLMFQIARGMEYLHSQKIYHGDLSPSNIFLKARNSTGDYYQLKISGYGLSPVKTTTGSSLKPNETKPCIWYAPEVLQEQEQCLPGNAASFKYTEKADVYSFGMLCFELLTGKVPFEDGHLQGDKVSRNIRAGERPLFPYTAPKYLANLTKRCWHSRPNQRPSFSSICRILRYVKKFVVMNPDHDEPDARSPVSDYCEIESWFLKAFAANGSFNSLSVAQIPFQMFAYRLAEKDKTILNSMDKNGEGAASTSREDMNSTVDDPLITASDAKSADSDGKSVYSEIQEQRSIHLDSTPQRRSVCSRIPEKKILQMKRNSNVKAARKSTGHKDGIPNGKPTQPPLPRVQSVKNVRVSRLKSMTRSLSTDRLRLAAGDTSD >KJB27031 pep chromosome:Graimondii2_0_v6:4:60765399:60766443:-1 gene:B456_004G273100 transcript:KJB27031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLPHSTLKQEFVKKWIMGLQRCKFSNKKMSIFERKKAIKLSADTAMASARKGLTCWSRALISNPDRPVPTTTRRASSACKKIVKRSRRIRRTAKCGAARKGQITRSIAQCLVRKRTQILKSLIPGGEFMNEVCLIEETLDYITSLRVQVDVMRSLASASARASEPHN >KJB21583 pep chromosome:Graimondii2_0_v6:4:243734:244976:1 gene:B456_004G003900 transcript:KJB21583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKTVAEKAPAEKKPKAGKKLPKEGGAAAGDKKKKRVKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KJB23202 pep chromosome:Graimondii2_0_v6:4:11432157:11432540:1 gene:B456_004G086500 transcript:KJB23202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVITRMVADRPVVIFSRSTCCMSYTIKTLISGFGANPTIYELDEIQNGQQVERELHKMGCKPSVPVVFIGQQLIGGPNQVMTLQVKNQLAPLLKRAGAIWI >KJB22289 pep chromosome:Graimondii2_0_v6:4:3300958:3303257:1 gene:B456_004G039400 transcript:KJB22289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTEF18, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G60400) UniProtKB/Swiss-Prot;Acc:Q9M219] MNFFPKLIFSHFSRHFATLSKLPNNFTKIPSKYRPQAIRDAQQALTDYLHCTRYIPFVYAEHIAKNSFFSLSSLISGINFSTTSFNRNVLRFLRYHPINEYEFFFESIGIDYEEVGGLLPSKKFFFSEDETVLEAACALSGFGFPWNKLGKLYKEEILIFKASSKELKARLFKIKECGFSNIPVIGICLAFPYVLRGGGVNELGGEIVALLNDLKRVFLDFDLGNHVEDNVDAWYEICRKIRVFYDLGCEKGKIGELIGRNKNLFLQYPEEVLVSKIEYFSRFLVPKEDIGMLILRNPEILDSDLETPMISVMGMLKHFGLSVEKRDSVAKEYPYVLGRNKMTNLPNVMRALNLHEWLFDRIKNGNHQLLANYTLNNDEDLDKGFRDSFEKIQFSRTPVHTMEKLMFLHRVGFGENSLTMKVLAHVHGTGSELQERFDSLLGLGFEFSKLCRIVHMTPKVLNQHPETVERKVNFLCHEMGVSLDYLDIFPAFLCFNLEKRIKPRYRFHKWLTENGLCTRNYSIASIVATGEKSFIARLGRIHPDALNEWFENFSYKESNNSIQD >KJB27343 pep chromosome:Graimondii2_0_v6:4:62028350:62030761:-1 gene:B456_004G291900 transcript:KJB27343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb1629 [Source:Projected from Arabidopsis thaliana (AT5G57930) UniProtKB/TrEMBL;Acc:A0A178UP75] MSASPSSMRCWVDFNSKNYLSHGKLVCLPPRMGPSMLSYHSRADFLKLNSLTSLSSFQHRNGKLKLQSKPIAPSRKLHQPCALVIRCDHPQNADLPRYYSKKEKKPFPVPIVELRRAARERFKKSRGQPKKPVPPPKNGLIVKSLVPLAYDVFNERITLINNLKKLLKVVKVHACRYCNEIHVGPIGHPFKSCRGHRASIRKGLHEWTYATVEDVFVPVDSYHLYDRLGKRIRHDERFSIPRLPAVVELCIQAGVDLPEFPTKRRRKPIIRIGKSEFVDADESELPDPVPEPPLKPILTEIPDTEIVAPRDEEETIQLAEETLEAWEQMRRGAKKLMRMYPVRVCGYCPEVHVGPSGHKAQNCGAHKHQQRNGQHGWQSAVLDDLIPPRYVWHVPDVNGPPLQRELRSFYGQAPAVVEICVQAGADVPDQYKPTMRLDIGIPTSLREAEMVV >KJB27342 pep chromosome:Graimondii2_0_v6:4:62028145:62030904:-1 gene:B456_004G291900 transcript:KJB27342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb1629 [Source:Projected from Arabidopsis thaliana (AT5G57930) UniProtKB/TrEMBL;Acc:A0A178UP75] MSASPSSMRCLCLPPRMGPSMLSYHSRADFLKLNSLTSLSSFQHRNGKLKLQSKPIAPSRKLHQPCALVIRCDHPQNADLPRYYSKKEKKPFPVPIVELRRAARERFKKSRGQPKKPVPPPKNGLIVKSLVPLAYDVFNERITLINNLKKLLKVVKVHACRYCNEIHVGPIGHPFKSCRGHRASIRKGLHEWTYATVEDVFVPVDSYHLYDRLGKRIRHDERFSIPRLPAVVELCIQAGVDLPEFPTKRRRKPIIRIGKSEFVDADESELPDPVPEPPLKPILTEIPDTEIVAPRDEEETIQLAEETLEAWEQMRRGAKKLMRMYPVRVCGYCPEVHVGPSGHKAQNCGAHKHQQRNGQHGWQSAVLDDLIPPRYVWHVPDVNGPPLQRELRSFYGQAPAVVEICVQAGADVPDQYKPTMRLDIGIPTSLREAEMVV >KJB24761 pep chromosome:Graimondii2_0_v6:4:44920306:44924429:-1 gene:B456_004G159700 transcript:KJB24761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVNPNPAQGFYFFDPMNMGLPGVNSAPPANTVAPPLHVPPPPATSGAGNTTVYTEDPSKKIRKPYTITKSRESWTEQEHEKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKAPKTASVVPQVAGPYQSSSALHEPGYTYRRHSSSMPGNPIATASLSSWSYNSVPPVTVSQVTKDDAVLAGPTIVHNSCYSSSSESTPRTWSFGETIDRGDHWKQSRVLPDFAEVYSFIGSVFDPGTSGHLQKLKQMDPINLETVLLLMRNLSINLMSPDFEDHRRLLSTYDAGSEGIKLSSPYNDVHTRKSASAVPTA >KJB24762 pep chromosome:Graimondii2_0_v6:4:44920507:44924429:-1 gene:B456_004G159700 transcript:KJB24762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVNPNPAQGFYFFDPMNMGLPGVNSAPPANTVAPPLHVPPPPATSGAGNTTVYTEDPSKKIRKPYTITKSRESWTEQEHEKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKAPKTASVVPQVAGPYQSSSALHEPGYTYRRHSSSMPGNPIATASLSSWSYNSVPPVTVSQVTKDDAVLAGPTIVHNSCYSSSSESTPRTWSFGETIDRGDHWKQSRVLPDFAEVYSFIGSVFDPGTSGHLQKLKQMDPINLETVLLLMRNLSINLMSPDFEDHRRLLSTYDAGSEGIKLSSPYNDVHTRKSASAVPTA >KJB26627 pep chromosome:Graimondii2_0_v6:4:58824318:58825168:1 gene:B456_004G251000 transcript:KJB26627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSYQDVEPEFQYHKGETHDIIEFQVKDFKKDQLRVHFSSKGVLTVSGERPQEGGKRIRFRKDINFPKDCEPNEIRAKLSSGVLFITIPKKDAPQPPQRDSLKQVQQQDNGKLKQGGSSSEEAKGAMATPNENAAMPKPESKSFISGLKMEKKTALKVVANVTVVSLVFVVLFYVYKIYAPVIMHV >KJB21321 pep chromosome:Graimondii2_0_v6:4:17795785:17797243:1 gene:B456_004G100600 transcript:KJB21321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNQNAIKKVKTSFFFSRTSNFSLIFLVRLSRPFVFHRLSCVARNIVFQCFCCKRGTHLSMQDRP >KJB23210 pep chromosome:Graimondii2_0_v6:4:11579644:11589193:1 gene:B456_004G086800 transcript:KJB23210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 6 [Source:Projected from Arabidopsis thaliana (AT1G03000) UniProtKB/Swiss-Prot;Acc:Q8RY16] MMVGRRKPLVLSSTKILVNSVLSSTRLNEAGPANLSGDGLRLKAGILRVSKAKNSISDPQLASLDDSALIGLSTSTLKRLTITSGSLVLVRNLEAKIQRVAQIVVLDCPNTHVHMSLRKESLSDPPHVMLVFPSYSYPCTGSVSLDCDVAYVSPLLAFNLNLHISCLRSLVYKGTETLAYLFEANVDDKACRGDTDISLWLEPLGGLPKYASHLRVSFVKIPECSSLESLRGISSIEADDRQEMIDSALHKYFEVDRYLTRGDVFSVFLNWNCNSAICIPCCSRLQNQSDDIIYFKVVAVEPSDEVILRVNRTQTALVLGGSVPSAVPPDLLISGSKSVAPLQGDTVKILASILTPPLCPSPLSLKFRVSVLLHGLPGCGKKTVVRYVSKRLGLHVVEYSCHDLTASSEKKTSAALTQAFNSSQRYSPTILLLRHFDVFRNFASHEGLPSDQIGLSSEFASVIRKFTEPGASDEDGNAEDMSNGEFAVKDSGNVGRHQVLLVAAADSSEGLPPAIRRCFSHEVSMGPLTEEQRAEMLSQSMQGVSELLSDTRLEDFVKDIVGQTSGFMPRDLCALVADTGANLISKSNFQTGKAESSQSDDSVGVKAEQDTSSNTTARLRGKEDLEKALERSKKRTASALGAPKVPNVKWEDVGGLEDVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKVRYDGLPNYC >KJB23209 pep chromosome:Graimondii2_0_v6:4:11579631:11591522:1 gene:B456_004G086800 transcript:KJB23209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 6 [Source:Projected from Arabidopsis thaliana (AT1G03000) UniProtKB/Swiss-Prot;Acc:Q8RY16] MMVGRRKPLVLSSTKILVNSVLSSTRLNEAGPANLSGDGLRLKAGILRVSKAKNSISDPQLASLDDSALIGLSTSTLKRLTITSGSLVLVRNLEAKIQRVAQIVVLDCPNTHVHMSLRKESLSDPPHVMLVFPSYSYPCTGSVSLDCDVAYVSPLLAFNLNLHISCLRSLVYKGTETLAYLFEANVDDKACRGDTDISLWLEPLGGLPKYASHLRVSFVKIPECSSLESLRGISSIEADDRQEMIDSALHKYFEVDRYLTRGDVFSVFLNWNCNSAICIPCCSRLQNQSDDIIYFKVVAVEPSDEVILRVNRTQTALVLGGSVPSAVPPDLLISGSKSVAPLQGDTVKILASILTPPLCPSPLSLKFRVSVLLHGLPGCGKKTVVRYVSKRLGLHVVEYSCHDLTASSEKKTSAALTQAFNSSQRYSPTILLLRHFDVFRNFASHEGLPSDQIGLSSEFASVIRKFTEPGASDEDGNAEDMSNGEFAVKDSGNVGRHQVLLVAAADSSEGLPPAIRRCFSHEVSMGPLTEEQRAEMLSQSMQGVSELLSDTRLEDFVKDIVGQTSGFMPRDLCALVADTGANLISKSNFQTGKAESSQSDDSVGVKAEQDTSSNTTARLRGKEDLEKALERSKKRTASALGAPKVPNVKWEDVGGLEDVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLNDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDASYRERVLKALTRKFRLHEDISLYSIAKRCPPNFTGADMYALCADAWFHAAKRKVLSPDSSSMDQADSIVVEYDDFVKVLRELSPSLSMAELKKYEMLRDQFEGSSS >KJB27394 pep chromosome:Graimondii2_0_v6:4:7483727:7494561:-1 gene:B456_004G070300 transcript:KJB27394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KFQSPAALRSEEYRQLFWLPPEEFLVQDFNCAFQESILLQGHMYLFVRYLCFYSNIFGFETKRIIAFNEITSVKRAKTVGIFPNAIEIFAGGKKFFFASFLSRYEAFKLINGGRKRHSIGAKETKGQQEPMSESRRQENGFFYICKGNSSKNPINDMESTARDEDVPTSTESNVPSSANGAELVAESVINTRSSAPADCSWKPDNSDAPKVPEGFTKVAETKFQMKVEEFFNLYFSDKAVNFIESFHRRRGDKEFSCSSWCPHDKFGHVRDVSFQHPIKLYFGMSLLSYMNLFPCIIIPADFSIYFGANFGSCQETQKFRIYRNSHLVIETSQEINNVPYGDYFIVEGLWSVERDINGQREDCILRVYVNLAFSKRTVWKGKIVQSTLEECREAYATWIAMGSGTKDPSERSHNSTDPVRTFQISDSSDVNQWIGNLWQGTKASSITYLLREVVRKSYGYLKSHGHLVLGVAFTVMFLMQVSIIVLLNRPQQVHVSYPVACMGRMGGGEGPWLEKQMHQLKEEMMMVEAGLEKMWLEHSALKARLKGLGIRTMKHSSQDKCSYV >KJB27395 pep chromosome:Graimondii2_0_v6:4:7484171:7492927:-1 gene:B456_004G070300 transcript:KJB27395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESRRQENGFFYICKGNSSKNPINDMESTARDEDVPTSTESNVPSSANGAELVAESVINTRSSAPADCSWKPDNSDAPKVPEGFTKVAETKFQMKVEEFFNLYFSDKAVNFIESFHRRRGDKEFSCSSWCPHDKFGHVRDVSFQHPIKLYFGMSLLSYMNLFPCIIIPADFSIYFGANFGSCQETQKFRIYRNSHLVIETSQEINNVPYGDYFIVEGLWSVERDINGQREDCILRVYVNLAFSKRTVWKGKIVQSTLEECREAYATWIAMAHELLQQNKDKQGLDPSGSSIENGELQIKREVAQRILQKDLITRLIQ >KJB25282 pep chromosome:Graimondii2_0_v6:4:51282549:51285124:1 gene:B456_004G194200 transcript:KJB25282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLTASRAVSYETAKAFADEIGIPFMETSAKSATNVEEAFMAMAASIKNRMASQPAMDNARPTTVQMRGQAVDQKSGCCSS >KJB26890 pep chromosome:Graimondii2_0_v6:4:60064460:60066395:1 gene:B456_004G264900 transcript:KJB26890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKERLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFINPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQAPAAGSGDGTGAATTQEEDDEEVPDLVPGETFEAAAEGQAAK >KJB26889 pep chromosome:Graimondii2_0_v6:4:60063954:60066466:1 gene:B456_004G264900 transcript:KJB26889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKERLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFINPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQAPAAGSGDGTGAATTQEEDDEEVPDLVPGETFEAAAEGQAAK >KJB24260 pep chromosome:Graimondii2_0_v6:4:37378316:37380371:-1 gene:B456_004G135700 transcript:KJB24260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHCSVSSIKYTEHRNQTKLLSPPLNVKVENQPEMKPRIVRISVTDADATDSSSDEDEEQTTRRVKKFVNEITIGSSSCPAQNDAAFRSKSSTLSRNSRKRPAAVSVAKASSAKVLPAGKKFRGVRQRPWGKWAAEIRDPLRRVRLWLGTYDTAEEAAMVYDNAAIQLRGPDALTNFTTPQQKSLQDKNSQKPLSSSEYNSVEESHTTTTNICSPTSVLRCPSLSTDEVDSPQSVKESREIESEPREDSCCISREHVSDFSSNPFSIPSDIFSSVPDLFDDDTSFLKDDFDNGFFSSCEDFEFEFGGGFSSWQHVDDHFQDIGDLFGADSILAI >KJB21768 pep chromosome:Graimondii2_0_v6:4:897090:902829:-1 gene:B456_004G012600 transcript:KJB21768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRREKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKAQIQEALQRATFDSSSQVGIIFHVMDAVESPPTYFRTNHFTNAYQEIVDAYGVARYQEANPAVYSVVTFPFLFAVMFGDWGHGICLLLGALVLIAREGRLSTQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGGSAYKCRDVTCSDAKSAGLIKFRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIVLSYFNARFFRSSLDIRYQFVPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHVMIYMFLSPTDDLGENELFWGQRPLQIVLLLLALVAVPWMLFPKPFILKKLHSERFQGRTYGLLGSSEFDLDVEPDSARDHHEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNIIIRLIGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFKPFSFALITDDDD >KJB21770 pep chromosome:Graimondii2_0_v6:4:897791:906271:-1 gene:B456_004G012600 transcript:KJB21770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIEKLIDNLPPMDLMRSEKMTLVQLIIPVESAHRAISYLGELGLLQFRDLNSERSPFQRTFVNQVKRCGEMSRKLRFFKDQISKAGLLSSVHPVVEPDLELEELEIQLAEHEHELIEMNSNSEKLRVTYNELLEFKLVLQKACGFLLPSSNHAVAEERELSENVYSNDDYVETASLLEQETRPADQSGLRFISGIICKSKALRFERMLFRATRGNMLFNQAPAGEEIMDPLSAEMARTKILKICEAFGANCYPVPDDINKQRQITREVSSHLSELETTLDAGIRHRNKALTSIGYHLTQWTSMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKAQIQEALQRATFDSSSQVGIIFHVMDAVESPPTYFRTNHFTNAYQEIVDAYGVARYQEANPAVYSVVTFPFLFAVMFGDWGHGICLLLGALVLIAREGRLSTQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGGSAYKCRDVTCSDAKSAGLIKFRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIVLSYFNARFFRSSLDIRYQFVPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHVMIYMFLSPTDDLGENELFWGQRPLQIVLLLLALVAVPWMLFPKPFILKKLHSERFQGRTYGLLGSSEFDLDVEPDSARDHHEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNIIIRLIGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFKPFSFALITDDDD >KJB21769 pep chromosome:Graimondii2_0_v6:4:897791:904296:-1 gene:B456_004G012600 transcript:KJB21769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLRFFKDQISKAGLLSSVHPVVEPDLELEELEIQLAEHEHELIEMNSNSEKLRVTYNELLEFKLVLQKACGFLLPSSNHAVAEERELSENVYSNDDYVETASLLEQETRPADQSGLRFISGIICKSKALRFERMLFRATRGNMLFNQAPAGEEIMDPLSAEMARTKILKICEAFGANCYPVPDDINKQRQITREVSSHLSELETTLDAGIRHRNKALTSIGYHLTQWTSMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKAQIQEALQRATFDSSSQVGIIFHVMDAVESPPTYFRTNHFTNAYQEIVDAYGVARYQEANPAVYSVVTFPFLFAVMFGDWGHGICLLLGALVLIAREGRLSTQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGGSAYKCRDVTCSDAKSAGLIKFRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIVLSYFNARFFRSSLDIRYQFVPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHVMIYMFLSPTDDLGENELFWGQRPLQIVLLLLALVAVPWMLFPKPFILKKLHSERFQGRTYGLLGSSEFDLDVEPDSARDHHEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNIIIRLIGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFKPFSFALITDDDD >KJB26747 pep chromosome:Graimondii2_0_v6:4:59350347:59355312:1 gene:B456_004G257300 transcript:KJB26747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSFKGSRSSLSISSDAAESHKPPVPPTVTFARRTSSGRYVSYSRDDLDSELGGSDFMNYTVHIPPTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESETNHPQMAGAKGSSCAIPGCDAKVMSDERGLDILPCECDFKICRDCYIDAVKTGGGICPGCKEPYKNTDLDETAVDSNTRPLPLPPPSTMSKMERRLSLMKSTKSALMRSQTGDFDHNRWLFETRGTYGYGNAIWPKDGNLGNGDDDEAAEPTELLNKPWRPLTRKLKIQAAILSPYRLLIFIRVVVLGLFLAWRVTNPNKDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPINRATDLNVLKEKFETPSPSNPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAANYPVEKLACYVSDDGGALLTFEAMAEAASFANVWVPFCRKHDIEPRNPESYFNLKRDPYKNKVKPDFVKDRRRVKREYDEFKVRTNGLPDSIRRRSDAFHAREEIKAMKLQRQNRGDEPIDSVKIPKATWMADGTHWPGTWLNPSTDHSRGDHAGIIQVMLKPPSDEPLHGTAEDGLIDLTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRSKEHHAGCCSCCFGRSRKHSSMAHTPEENRALRMGDSDDEEMNLSLLPKRFGNSTFLIDSIPVAEFQGRPLADHPAVKNGRPPCALTIPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITVTLCLLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDLDDEFADLYIVRWTSLMIPPITIMMVNLIAIAVGFSRTVYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPSGTNQIGGSFQFP >KJB25498 pep chromosome:Graimondii2_0_v6:4:51379390:51383249:-1 gene:B456_004G194700 transcript:KJB25498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNFRNRNLLNTRGAYLGSSGSDSESQDDSDPDFLTPSRTPRRSTQNNTARAGGASSIRNLSGKRARGNGEEHRRGLRERHRRRRKRFSQVPQAQISRRTVLSWLIGSGVIEEKEVVWYVAAQSGNILGEGKVNREGILCSCCSGQLTVEEFEVHSGRKTRKPYQHIYLAGSQVSLLDCQIEAWEDKEEEERRTFNNIQPASNVDRNDDACMVCADGGDLICCERCPSTFHPRCIFMEIIPQGDWLCPYCICKYCGNGNGPLMQCSQCEKKYHFRCGGETLDLMNPPVAFCGSSCRKIYEGLHNLLGSQNELHDGLTWTLLQRTDEPFTGSYGEEEYKRIQCNSKIAVAWLVMNECFLSTVDRHTRANIVQSIVYNRGSYVTRINYSGFYTAILEKNDEIISVASIRVHGKRLAEMPFIGTRGEYRRLGMAYVLENCIESTLCSLKVEKLVIPSVSQLTGMWMDKYFFSRVQEERLVKELSLYNTVMFPSSVVRLHKNLAMLPDLNLSPQENEGHF >KJB25497 pep chromosome:Graimondii2_0_v6:4:51379590:51381632:-1 gene:B456_004G194700 transcript:KJB25497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNFRNRNLLNTRGAYLGSSGSDSESQDDSDPDFLTPSRTPRRSTQNNTARAGGASSIRNLSGKRARGNGEEHRRGLRERHRRRRKRFSQVPQAQISRRTVLSWLIGSGVIEEKEVVWYVAAQSGNILGEGKVNREGILCSCCSGQLTVEEFEVHSGRKTRKPYQHIYLAGSQVSLLDCQIEAWEDKEEEERRTFNNIQPASNVDRNDDACMVCADGGDLICCERCPSTFHPRCIFMEIIPQGDWLCPYCICKYCGNGNGPLMQCSQCEKKYHFRCGGETLDLMNPPVAFCGSSCRKIYEGLHNLLGSQNELHDGLTWTLLQRTDEPFTGSYGEEEYKRIQCNSKIAVAWLVMNECFLSTVDRHTRANIVQSIVYNRGSYVTRINYSGFYTAILEKNDEIISVASIRVHGKRLAEMPFIGTRGEYRRLGMAYVLENCIESTLCSLKVEKLVIPSVSQLTGMWMDKYFFSRVQEERLVKELSLYNTVMFPSSVVRLHKNLAMLPDLNLSPQENEGHF >KJB25030 pep chromosome:Graimondii2_0_v6:4:47421394:47424735:-1 gene:B456_004G173000 transcript:KJB25030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQAQHPTVMQKLAGQLTRFSNSQDFQGYDGSFRMPALYQRNVAYQNCSNAALQYPIVRACGVTSDMPMVPSTASAICIQAPAEKGFTGFAVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGKMQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >KJB25155 pep chromosome:Graimondii2_0_v6:4:48759184:48764623:1 gene:B456_004G178800 transcript:KJB25155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYKLIKEVGDGTFGRVWRAIHKQSGEIVAIKKMKKKYYSWEECVNLREVKSLQRMNHPNIVKLKEVIREHDILYFVFEYMECNLYQLMKDREKLFSEVEIRNWCFQVFQGLAYMHQRGYFHRDLKPENLLVTKDIIKIADFGLAREINSSPPYTEYVSTRWYRAPEVLLQSYLYTSIVDMWAMGAIMAELFTLRPLFPGTSEADEIYKICSVIGTPTKDSWPDGLNLARAINYQFPQFAGVHLSALIPSASNDAISLITSLCSWDPCKRPTAAEALQHPFFQGCFYVPPSLRPRIAVSRTPPPAEVRGTLEQQSVRRYSGALPNGKFTGSFSSLKTNASFSTGADCAQRKPEMLNQDLRKNDKPLKNYSKQPRYWPPGRRTTTISMNKDRNGRGAGGASEVAEKLGNVTIGSRRQYGGQIRAPPMKAGVQWTAESNDMFLRPTQQIPSGRTFTRKVAG >KJB26608 pep chromosome:Graimondii2_0_v6:4:58745440:58749282:1 gene:B456_004G249900 transcript:KJB26608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLDMGKSSIWRRLFIVFVLIHVLLVHQSKGLNSEGQYLLEIKRNLVDKFHHLSNWNPNDPTPCGWNGVSCSPIDYYSYDLVVQSLNLSSMNLSGSLSPSIGGLVQLTVLDLSHNGLSNNIPKEVGNCSNLEILNLNDNEFEAQIPKEIGTLSYLTTLKIYNNRFSGPFPDEIGNLSSLTQLLAYSNDINGSLPSSFCNLKSLKSFRAGQNRLTGSLPSNIGSCESLQYLGLAQNELTGSIPAEIGMLTSLRELILWDNQLSGSIPGEIGNCTNLSTLALYENKLVGSVPKELGNLINLKHLFLYRNELNGTIPREIGNLSFAEQIDFSENLLTGEIPVEFGNIKGLNLLYLFENQLTGVIPVELTSLKNLSKLDLSINNLTGRIPVGFQYLEELVMFQLFDNSLTGTIPRKLGAYSLLWVVDLSNNQLTGRIPRHLCHNLNLMFLNLGTNKLTGNIPSGVTNCLPLVQLLLTGNSLTGSLPLNLCKLVNLSAIELGQNNFRGPIQAEIGNCKALQRLHLHYNYFTSELPREIGNLSQLASFNISSNSFIGRIPPELFNCKMLQRLDLSRNRFTGNLPGEIGALSQLELLKLSDNDLSGIIPPELGNLIRLTELQMGGNSFSGNIPAALGSLSGLQIALNLSYNNLSGVIPQELGNLVLLEYLMLNNNHLSGEIPGSFANLPSLFGYNFSYNDLTGPIPSLPRLNNMAISSFIENRGLCGGPLGGCNPPLSSSPPVPDTKDKQIRLGKLVAIIAATIGGVSLVLIAVIIYFMRRPVRTVATLQEKPPTTQVSDIYFSPKEGFTFRDLVAATENFNESFVVGRGACGTVYKAVLPSGQAVAVKKLASQREGNNNVDNSFRAEILTLGNIRHRNIVKLYGFCYHQGSNLLLYEYMSRGSLGELLYGTSCHLDWRTRFLIALGAAQGLAYLHHDCKPRIFHRDIKSNNILLNEKFEARVGDFGLAKVMDMPQTKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRTPVQPLDQGGDLVTWVRHYIRDHSLSPGMLDSRLNQRDERTVCHMLTVMKIALICTSMSPSDRPTMREVVLMLIESNQRGSRFDISSSHDTDRIE >KJB25926 pep chromosome:Graimondii2_0_v6:4:55289616:55292777:1 gene:B456_004G219200 transcript:KJB25926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRHCDGKLVLKVTDNKECLKFKTDQAQEAKKMEKLNNIFFTLMARGPDVDMSEITGKEQIEAQPAKKGRGRKQ >KJB25925 pep chromosome:Graimondii2_0_v6:4:55289373:55293079:1 gene:B456_004G219200 transcript:KJB25925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYVTSWDEFVERTVQLFRASPESTRYCMKYRHCDGKLVLKVTDNKECLKFKTDQAQEAKKMEKLNNIFFTLMARGPDVDMSEITGKEQIEAQPAKKGRGRKQ >KJB26577 pep chromosome:Graimondii2_0_v6:4:58565156:58567026:1 gene:B456_004G248400 transcript:KJB26577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKHSFKFSSFGPSALIQAASQNHTYRDFVVKLTKTRRSALSETDKFGWTPLHYTAHFGTVDIFKVFLDHIDSSTARKRDKQGMTVIHIAAREGEVTILKELAYNFPEIWDLQDNKGQTAFHLAVERGKLDCVKFILATKLSHYGLINQKDNEGNTALHLATIHGKNQQIFELLIKDCRVDKSARNMEGFTVIDIILLKEYGFFEKTWITMSVASNGGLESLEHAINKDGRKTRSTESTTTNQEQPQTTWSPVAIEAQPQLIPNKRPEPAAIKKPSSDQLHTIASINLLVTTLIATISFAAGFTMPGGYKSDGPGEGMAILSRKLAFRVFVIANALAFCFSSTSMFLHYCKSFVEKLDAHAFYMYITSLLTSYGITAMVIAFVSGTYAALSDSPGLAKAVLSIGCSFFGLQLLVYFK >KJB22228 pep chromosome:Graimondii2_0_v6:4:2978171:2981369:1 gene:B456_004G036100 transcript:KJB22228 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSM7 [Source:Projected from Arabidopsis thaliana (AT2G03870) UniProtKB/TrEMBL;Acc:A0A178VPR3] MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFLRDQDDPLKTTDQTRRLGLIVCRGTAVMLVSPTDGTDEIANPFIQPDGA >KJB23049 pep chromosome:Graimondii2_0_v6:4:9265684:9272705:-1 gene:B456_004G078800 transcript:KJB23049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSLETSCLQFKPDESEVLPRQDVEGNKGDDLKLMVLDLNNDPLTSSLKEVEFEKKEEIEECREDGEVGNLNVSELNEDDRKKEGMVEDDEVDKEDCVKKTVKEEGLESKVQFSGRVLRSRSAMKTRSVIEDDKIESRVDKIEDGNTSEMKTTEVVNEGDDEVKNVQGKVGKMGKRKRGRPPKFLEKNGFEKKTPQLQVQENDYPDGEVRKELKRKRGRPPKVLGTGESVKKRFTIKAVESDHVDRDVSRQSKRKRGRPRKVLENSGFEKNEINVEAGESDQFDDVGINKANHKRGHGRQVKRQKAQVVEKEADEFKARESYHSDIETRKEANHKHELPLKMDVNDGFEMKPVDMEMGEGNRYDSESREEVNHKCERPPKVQGSDRSDQYNGKVKEGMIRKRGRPPKLQGGGKGLKGRLIDGRKKLGGLRRGRKKLRGSSTFSMSADTSLSEKKLIGKESNLKRFLSANKDIFDDMEKNDGKASLMSRPKAVNAEGSKNQVKKARDEGKRRRSKAKQAVRDKIVDLLKAAGWTIDYRPRNKREYNDAVYVNPQGRTHWSVTLAYKVLKRYYENGGCDSKVCPNGFIFTPIPEEELSILKRVVQKKRVGKKKPKGEDDDSDDDGQVKKKMNKQKRKMKENEKKKKKQKLLKEKLLLYEENSDGALQRGTHVSSRKHKLQQTQKRKRYGLLVRNSMDGAESDNDGYVLYDGKRTLLSWMIDSGTVPQNGKVEYLIQRRTRTRERSKPHQPFLNIYLETGLPLLQCLLDAWNKQQQSERKGIHFVDFGGEDPNDDTCGVCGDGGDLICCDSCPSTFHQSCLDIEAFPSGNWNCVYCTCKYCGMVGNTYQRGKNEDADPTVLTCHSCEEKYHESCIQPMDAFDDGSSSAFFCGKRCKELFERLQMLVGVKHELPEGFSWTLVQRFDISSDVCLSEAYQKVESNSKLAVALSVMDECFLPLVDHRSGINLIHNIVYNFWSNFTRLNYGGFYSAILERGDEIICAASIRIHGNQLAEMPFIGTRYAYRRQGMCRRLLCAIESALRSLNVEKLVIPAVPELKETWTSVFGFQPLETVSKPKMRNMNMVVFPGVDMLEKPLLTHIMEEQIMGEVSDKSVEKCSVVFDLNVSAESPAPETDDRNDEPAVVESTMPLPECILKCTSDVMVETVNFPENATVSSSFIPAPEESKLEVDSQSIYSEEKTDDSIVKQNLDSNHVGSDNIVHADNEVAVPVQVSKDAGKDVVTNCFHGTVQMSEEANGIKHHENSKLEMVECVSDFVKTVVQSEEAKICLAIAEEATNQTSPSTTLGAQHAANGHYDVATDDSKSGPSRQGVKMDASGEVSATIDVNSITYEVCNDTSERENMQQRMCKSAEVVSAGSEVCHD >KJB23050 pep chromosome:Graimondii2_0_v6:4:9265090:9273135:-1 gene:B456_004G078800 transcript:KJB23050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSLETSCLQFKPDESEVLPRQDVEGNKGDDLKLMVLDLNNDPLTSSLKEVEFEKKEEIEECREDGEVGNLNVSELNEDDRKKEGMVEDDEVDKEDCVKKTVKEEGLESKVQFSGRVLRSRSAMKTRSVIEDDKIESRVDKIEDGNTSEMKTTEVVNEGDDEVKNVQGKVGKMGKRKRGRPPKFLEKNGFEKKTPQLQVQENDYPDGEVRKELKRKRGRPPKVLGTGESVKKRFTIKAVESDHVDRDVSRQSKRKRGRPRKVLENSGFEKNEINVEAGESDQFDDVGINKANHKRGHGRQVKRQKAQVVEKEADEFKARESYHSDIETRKEANHKHELPLKMDVNDGFEMKPVDMEMGEGNRYDSESREEVNHKCERPPKVQGSDRSDQYNGKVKEGMIRKRGRPPKLQGGGKGLKGRLIDGRKKLGGLRRGRKKLRGSSTFSMSADTSLSEKKLIGKESNLKRFLSANKDIFDDMEKNDGKASLMSRPKAVNAEGSKNQVKKARDEGKRRRSKAKQAVRDKIVDLLKAAGWTIDYRPRNKREYNDAVYVNPQGRTHWSVTLAYKVLKRYYENGGCDSKVCPNGFIFTPIPEEELSILKRVVQKKRVGKKKPKGEDDDSDDDGQVKKKMNKQKRKMKENEKKKKKQKLLKEKLLLYEENSDGALQRGTHVSSRKHKLQQTQKRKRYGLLVRNSMDGAESDNDGYVLYDGKRTLLSWMIDSGTVPQNGKVEYLIQRRTRTRERSKPHQPFLNIYLETGLPLLQCLLDAWNKQQQSERKGIHFVDFGGEDPNDDTCGVCGDGGDLICCDSCPSTFHQSCLDIEAFPSGNWNCVYCTCKYCGMVGNTYQRGKNEDADPTVLTCHSCEEKYHESCIQPMDAFDDGSSSAFFCGKRCKELFERLQMLVGVKHELPEGFSWTLVQRFDISSDVCLSEAYQKVESNSKLAVALSVMDECFLPLVDHRSGINLIHNIVYNFWSNFTRLNYGGFYSAILERGDEIICAASIRIHGNQLAEMPFIGTRYAYRRQGMCRRLLCAIESALRSLNVEKLVIPAVPELKETWTSVFGFQPLETVSKPKMRNMNMVVFPGVDMLEKPLLTHIMEEQIMGEVSDKSVEKCSVVFDLNVSAESPAPETDDRNDEPAVVESTMPLPECILKCTSDVMVETVNFPENATVSSSFIPAPEESKLEVDSQSIYSEEKTDDSIVKQNLDSNHVGSDNIVHADNEVAVPVQVSKDAGKDVVTNCFHGTVQMSEEANGIKHHENSKLEMVECVSDFVKTVVQSEEAKICLAIAEEATNQTSPSTTLGAQHAANGHYDVATDDSKSGPSRQGVKMDASGEVSATIDVNSITYEVCNDTSERENMQQRMCKSAEVVSAGSEVCHD >KJB23048 pep chromosome:Graimondii2_0_v6:4:9265090:9273135:-1 gene:B456_004G078800 transcript:KJB23048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSLETSCLQFKPDESEVLPRQDVEGNKGDDLKLMVLDLNNDPLTSSLKEVEFEKKEEIEECREDGEVGNLNVSELNEDDRKKEGMVEDDEVDKEDCVKKTVKEEGLESKVQFSGRVLRSRSAMKTRSVIEDDKIESRVDKIEDGNTSEMKTTEVVNEGDDEVKNVQGKVGKMGKRKRGRPPKFLEKNGFEKKTPQLQVQENDYPDGEVRKELKRKRGRPPKVLGTGESVKKRFTIKAVESDHVDRDVSRQSKRKRGRPRKVLENSGFEKNEINVEAGESDQFDDVGINKANHKRGHGRQVKRQKAQVVEKEADEFKARESYHSDIETRKEANHKHELPLKMDVNDGFEMKPVDMEMGEGNRYDSESREEVNHKCERPPKVQGSDRSDQYNGKVKEGMIRKRGRPPKLQGGGKGLKGRLIDGRKKLGGLRRGRKKLRGSSTFSMSADTSLSEKKLIGKESNLKRFLSANKDIFDDMEKNDGKASLMSRPKAVNAEGSKNQVKKARDEGKRRRSKAKQAVRDKIVDLLKAAGWTIDYRPRNKREYNDAVYVNPQGRTHWSVTLAYKVLKRYYENGGCDSKVCPNGFIFTPIPEEELSILKRVVQKKRVGKKKPKGEDDDSDDDGQVKKKMNKQKRKMKENEKKKKKQKLLKEKLLLYEENSDGALQRGTHVSSRKHKLQQTQKRKRYGLLVRNSMDGAESDNDGYVLYDGKRTLLSWMIDSGTVPQNGKVEYLIQRRTRTRERSKPHQPFLNIYLETGLPLLQCLLDAWNKQQQSERKGIHFVDFGGEDPNDDTCGVCGDGGDLICCDSCPSTFHQSCLDIEAFPSGNWNCVYCTCKYCGMVGNTYQRGKNEDADPTVLTCHSCEEKYHESCIQPMDAFDDGSSSAFFCGKRCKELFERLQMLVGVKHELPEGFSWTLVQRFDISSDVCLSEAYQKVESNSKLAVALSVMDECFLPLVDHRSGINLIHNIVYNFWSNFTRLNYGGFYSAILERGDEIICAASIRIHGNQLAEMPFIGTRYAYRRQGMCRRLLCAIESALRSLNVEKLVIPAVPELKETWTSVFGFQPLETVSKPKMRNMNMVVFPGVDMLEKPLLTHIMEEQIMGEVSDKSVEKCSVVFDLNVSAESPAPETDDRNDEPAVVESTMPLPECILKCTSDVMVETVNFPENATVSSSFIPAPEESKLEVDSQSIYSEEKTDDSIVKQNLDSNHVGSDNIVHADNEVAVPVQVSKDAGKDVVTNCFHGTVQMSEEANGIKHHENSKLEMVECVSDFVKTVVQSEEAKICLAIAEEATNQTSPSTTLGAQHAANGHYDVATDDSKSGPSRQGVKMDASGEVSATIDVNSITYEVCNDTSERENMQQRMCKSAEVVSAGSEVCHD >KJB23047 pep chromosome:Graimondii2_0_v6:4:9264987:9273152:-1 gene:B456_004G078800 transcript:KJB23047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSLETSCLQFKPDESEVLPRQDVEGNKGDDLKLMVLDLNNDPLTSSLKEVEFEKKEEIEECREDGEVGNLNVSELNEDDRKKEGMVEDDEVDKEDCVKKTVKEEGLESKVQFSGRVLRSRSAMKTRSVIEDDKIESRVDKIEDGNTSEMKTTEVVNEGDDEVKNVQGKVGKMGKRKRGRPPKFLEKNGFEKKTPQLQVQENDYPDGEVRKELKRKRGRPPKVLGTGESVKKRFTIKAVESDHVDRDVSRQSKRKRGRPRKVLENSGFEKNEINVEAGESDQFDDVGINKANHKRGHGRQVKRQKAQVVEKEADEFKARESYHSDIETRKEANHKHELPLKMDVNDGFEMKPVDMEMGEGNRYDSESREEVNHKCERPPKVQGSDRSDQYNGKVKEGMIRKRGRPPKLQGGGKGLKGRLIDGRKKLGGLRRGRKKLRGSSTFSMSADTSLSEKKLIGKESNLKRFLSANKDIFDDMEKNDGKASLMSRPKAVNAEGSKNQVKKARDEGKRRRSKAKQAVRDKIVDLLKAAGWTIDYRPRNKREYNDAVYVNPQGRTHWSVTLAYKVLKRYYENGGCDSKVCPNGFIFTPIPEEELSILKRVVQKKRVGKKKPKGEDDDSDDDGQVKKKMNKQKRKMKENEKKKKKQKLLKEKLLLYEENSDGALQRGTHVSSRKHKLQQTQKRKRYGLLVRNSMDGAESDNDGYVLYDGKRTLLSWMIDSGTVPQNGKVEYLIQRRTRTRESKSGRITRDGIQCNCCSVVFTIAEFEIHAGSKPHQPFLNIYLETGLPLLQCLLDAWNKQQQSERKGIHFVDFGGEDPNDDTCGVCGDGGDLICCDSCPSTFHQSCLDIEAFPSGNWNCVYCTCKYCGMVGNTYQRGKNEDADPTVLTCHSCEEKYHESCIQPMDAFDDGSSSAFFCGKRCKELFERLQMLVGVKHELPEGFSWTLVQRFDISSDVCLSEAYQKVESNSKLAVALSVMDECFLPLVDHRSGINLIHNIVYNFWSNFTRLNYGGFYSAILERGDEIICAASIRIHGNQLAEMPFIGTRYAYRRQGMCRRLLCAIESALRSLNVEKLVIPAVPELKETWTSVFGFQPLETVSKPKMRNMNMVVFPGVDMLEKPLLTHIMEEQIMGEVSDKSVEKCSVVFDLNVSAESPAPETDDRNDEPAVVESTMPLPECILKCTSDVMVETVNFPENATVSSSFIPAPEESKLEVDSQSIYSEEKTDDSIVKQNLDSNHVGSDNIVHADNEVAVPVQVSKDAGKDVVTNCFHGTVQMSEEANGIKHHENSKLEMVECVSDFVKTVVQSEEAKICLAIAEEATNQTSPSTTLGAQHAANGHYDVATDDSKSGPSRQGVKMDASGEVSATIDVNSITYEVCNDTSERENMQQRMCKSAEVVSAGSEVCHD >KJB23051 pep chromosome:Graimondii2_0_v6:4:9265333:9273088:-1 gene:B456_004G078800 transcript:KJB23051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSLETSCLQFKPDESEVLPRQDVEGNKGDDLKLMVLDLNNDPLTSSLKEVEFEKKEEIEECREDGEVGNLNVSELNEDDRKKEGMVVEKEADEFKARESYHSDIETRKEANHKHELPLKMDVNDGFEMKPVDMEMGEGNRYDSESREEVNHKCERPPKVQGSDRSDQYNGKVKEGMIRKRGRPPKLQGGGKGLKGRLIDGRKKLGGLRRGRKKLRGSSTFSMSADTSLSEKKLIGKESNLKRFLSANKDIFDDMEKNDGKASLMSRPKAVNAEGSKNQVKKARDEGKRRRSKAKQAVRDKIVDLLKAAGWTIDYRPRNKREYNDAVYVNPQGRTHWSVTLAYKVLKRYYENGGCDSKVCPNGFIFTPIPEEELSILKRVVQKKRVGKKKPKGEDDDSDDDGQVKKKMNKQKRKMKENEKKKKKQKLLKEKLLLYEENSDGALQRGTHVSSRKHKLQQTQKRKRYGLLVRNSMDGAESDNDGYVLYDGKRTLLSWMIDSGTVPQNGKVEYLIQRRTRTRESKSGRITRDGIQCNCCSVVFTIAEFEIHAGSKPHQPFLNIYLETGLPLLQCLLDAWNKQQQSERKGIHFVDFGGEDPNDDTCGVCGDGGDLICCDSCPSTFHQSCLDIEAFPSGNWNCVYCTCKYCGMVGNTYQRGKNEDADPTVLTCHSCEEKYHESCIQPMDAFDDGSSSAFFCGKRCKELFERLQMLVGVKHELPEGFSWTLVQRFDISSDVCLSEAYQKVESNSKLAVALSVMDECFLPLVDHRSGINLIHNIVYNFWSNFTRLNYGGFYSAILERGDEIICAASIRIHGNQLAEMPFIGTRYAYRRQGMCRRLLCAIESALRSLNVEKLVIPAVPELKETWTSVFGFQPLETVSKPKMRNMNMVVFPGVDMLEKPLLTHIMEEQIMGEVSDKSVEKCSVVFDLNVSAESPAPETDDRNDEPAVVESTMPLPECILKCTSDVMVETVNFPENATVSSSFIPAPEESKLEVDSQSIYSEEKTDDSIVKQNLDSNHVGSDNIVHADNEVAVPVQVSKDAGKDVVTNCFHGTVQMSEEANGIKHHENSKLEMVECVSDFVKTVVQSEEAKICLAIAEEATNQTSPSTTLGAQHAANGHYDVATDDSKSGPSRQGVKMDASGEVSATIDVNSITYEVCNDTSERENMQQRMCKSAEVVSAGSEVCHD >KJB21831 pep chromosome:Graimondii2_0_v6:4:1279928:1280555:1 gene:B456_004G017800 transcript:KJB21831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNYNYSSYSFIDDLSPPPLHLCFFIIILFFMLGLSWYINYESKLEDLTIQLKLFLLLVPVVLLLLVHCLSAESLDFVVPFTDQDSLHRAGGSPWGVALVLVVVIYMISYQSYFHERWFPFGIK >KJB24845 pep chromosome:Graimondii2_0_v6:4:45625239:45626801:-1 gene:B456_004G164200 transcript:KJB24845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTLGNMCSLLAFGVTFSVLFNGCFGFYPKLLNVSLAASEYDWSPAGATWYGSPTGAGSDGGSCGYGGSVSQAPFSSLVSAGGPSLYKSGKGCGACYEVKCTSNSACSGNAATVVITDECPGCVSESVHFDLSGTSFGAMAKSGQAEKLRDAGVLQIQYRKVECNYPGTTIAFHVDAGSNPNYFATLIEYEDGDGDLASVDLKQALDTDTWQPMQQSWGAVWKLDAGSRLRAPFSIKLTSLDSRNTIVATGVIPAGWEPGKTYRSVVNFKV >KJB25820 pep chromosome:Graimondii2_0_v6:4:54392601:54395636:-1 gene:B456_004G210900 transcript:KJB25820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLKLGVDVVSAHNLLPKDGQGSSSAFVELYFDGQKYRTTIKEKDLNPVWNESFYFNISDPSMLHFHTLEAYVYNNIRGTNTRAFLGKVCLTGTSFVPYSDAVVLHYPLEKRGIFSRVRGELGLKVYITDDPSIKSSIPAPAVESLATNESHVTRIHAQTVQSSVMNDRVESSRHTFHHLPNPNLHQQDHHHHHHHSSDPAGHHHHVPKHIADEMKPEPPPPKLVRMYSAASAQPVDFALKETSPFLGGGRVVGGRVIHADKTASTYDLVERMHFLYVRVVKARELPSVDVTGGIDPFVEVKVGNYKGITKHFEKKQNPEWNQVFAFSRDRMQASVLEVVIKDKSMVKDETVGVVRFDINEVPLRVPPDSPLAPQWYRLEDKKGDKVKGELMLAVWIGTQADEAFSDAWHSDAATPVDSSPAIFAVLRSKVYHSPRLWYVRVNIVEAQDLVPTEKNRFPDVYVKAQIGHQVLKTKPCQARTLNAIWNEDLLFVAAEPFEDHLVLSVEDRVAPGKDEIIGRVIIPLNTVDKRADDRMIHSRWFNLEKPVAVDVDQLKKEKFSSRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWRPPIGVLELGILNAVGLHPMKTRDGRGTSDTYCVAKYGQKWVRTRTLVDNLSPKYNEQYTWEVFDPATVLTVGVFDNSQLGEKGSNGNKDLKIGKVRIRISTLEAGRVYTHSYPLLVLHPTGVKKMGELHLAIRFTCISFVNMLYQYSRPLLPKMHYVRPFSVMQLDMLRHQAVNIVAARLGRAEPPLRKEVIEYMSDVDSHLWSMRKSKANFFRLMTVFSGLFAIGKWFGDICMWKNPITTVLVHVLFLMLACLPELILPTVFLYMFLIGVWNFRYRPRYPPHMNTKVSQAEAVHPDELDEEFDTFPTSKSPELVRMRYDRLRSVAGRIQTVIGDIATQGERFQALLSWRDPRATAIFITFCLIAAIVLFVTPFQVIAALAGFYAMRHPRFRYRLPAVPINFFRRLPARTDSML >KJB22664 pep chromosome:Graimondii2_0_v6:4:5795625:5800359:-1 gene:B456_004G059700 transcript:KJB22664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETAGCCGLLQACCHRHDPQKYIIQGEFEIDRSPWFSLCASGLSVAVRLYSQKMDPTTRKGIESENAYVMKGKEPERKKHEVFKVPMKVIKFKLKFEVTRDFGIPGAFVVENRDKKHEFFLKSVILHYIKHPDILEERKFHFYCGSWVYPITKTGFKRIFFSDQLYLPKKTLEGLIELRKKELQKLQAPRLKGEWNPWDQIYDYDVYNNLGDPENGRLYVRPVLGGSTKFPYPRRLKTGHPNCQHDHSRESGPASCFQFYVPPDERRKTTRTEKQLRRGSYSISCATLPPLWNRECPDFVTRVAHFLVPKAAISKKDVRFIRSIIDFCRKLKLPSSHGGPSRSDPSEEQDIFEDIIGFYADKEVEELNNSDKQRLEKLVPKEILNQVVATLALKGQHVGAQFPSIIAEDKFAWAEDKEFGRQMLAGTNPVRIRKCKWQGEGLLPLEIWCKMSGYAELSKDGVPEEEDLSLLQEIWYKILYYDCKSPEDGVLKEMKSNPQKWGDLFKEMKSNPQKLEDLFKALVNDRVFILDHHYLEQFLTMINGKGVCAYATRTILIAPDSSFEMLQPVAIELSLSGGSTRLVVPQETPLWEFAKFHVASNDTAYHQLVSHWLQTHAVVEPFIIATRRRLSVMHPIHRLLDPHFKDTLHINALARAIFLNAGGILETLLFTGEYSMELSSHLYKEWRFDKQALPEDLLERGMAKPRVRDEVVSGPMEHVERDKSSNKAATGAEQEMFEVDAEVELVLEDYPYAKDGIEIWTAIETWVTKYCNIFYHNNNDVMEDEEIQEWWNEIKTRGHKDRKEGWYDINTFESLVKALTTLIWISSGLHAAVNFGQYGYGGWPPNRPMLLRKFLPTDEVLEKMSDKDIMKFLEEMLPDKFQMKLAIAVMDLLSRHTSDEVHLGQTSPQKEWPLIEDQDIIQKKFKEFRENLKAIERNIKERNKEYLLMNRWGNAKIPYKLLYPDTSKSMPPTSKEKGKHHPEKADINERGIPNSISI >KJB23885 pep chromosome:Graimondii2_0_v6:4:29500848:29501048:-1 gene:B456_004G120200 transcript:KJB23885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVCYYNHLLTPVGGVRVPIAKPSILRAETNNPMASDLSSDRFVFCGVSSSSPIRCRDLVFIINP >KJB25402 pep chromosome:Graimondii2_0_v6:4:50534539:50544593:-1 gene:B456_004G190000 transcript:KJB25402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMSTLEYINQMFPTEASLSGVEPLMQKIQSEIRRVDAGILAAVRQQSNSGTKAKEDLAAATHAVEELTYKIREIKTKAEQSEMMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQIMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKSIKQILKSHVFSDFSSLGTGKESEETNLLQHLSDACLVVDALEPSVKEELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRTNEEIWKIFPTSWLVPYRLCIQFCKKTRKQLEGILDNMKEKPDVATLLMALQRTLEFEDELAEKFGGGTQSREIGNEIEEIGRQNNSQSASDIRKKYEKKLSAHQGSENEEKDGNKDLSAPGAGFNFHGIISSCFEPHLIVYIELEEKTLMENLEKLVQEETWDVEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKSQTLYNLFKVFQRVLKAYATKLFGRLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTSGELAESVSKIIDSQFADRVDMSEVQDEFSAVITKSLVTLVHGLETKFDAEMAAMTRVPWGTLESVGDQSGYVNGINMILSSSIPVLGSLLSPIYFQFFLDKLASSVGPRFYMNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGRQTSGAAGYSKFVSREMSKAEALLKVCYTISC >KJB25403 pep chromosome:Graimondii2_0_v6:4:50535209:50544002:-1 gene:B456_004G190000 transcript:KJB25403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMSTLEYINQMFPTEASLSGVEPLMQKIQSEIRRVDAGILAAVRQQSNSGTKAKEDLAAATHAVEELTYKIREIKTKAEQSEMMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQIMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKSIKQILKSHVFSDFSSLGTGKESEETNLLQHLSDACLVVDALEPSVKEELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRTNEEIWKIFPTSWLVPYRLCIQFCKKTRKQLEGILDNMKEKPDVATLLMALQRTLEFEDELAEKFGGGTQSREIGNEIEEIGRQNNSQSASDIRKKYEKKLSAHQGSENEEKDGNKDLSAPGAGFNFHGIISSCFEPHLIVYIELEEKTLMENLEKLVQEETWDVEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKSQTLYNLFKVFQRVLKAYATKLFGRLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTSGELAESVSKIIDSQFADRVDMSEVQDEFSAVITKSLVTLVHGLETKFDAEMAAMTRVPWGTLESVGDQSGYVNGINMILSSSIPVLGSLLSPIYFQFFLDKLASSVGPRFYMNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGRQTSGAAGYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKVIDFDFSFS >KJB25406 pep chromosome:Graimondii2_0_v6:4:50536027:50544593:-1 gene:B456_004G190000 transcript:KJB25406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMSTLEYINQMFPTEASLSGVEPLMQKIQSEIRRVDAGILAAVRQQSNSGTKAKEDLAAATHAVEELTYKIREIKTKAEQSEMMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQIMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKSIKQILKSHVFSDFSSLGTGKESEETNLLQHLSDACLVVDALEPSVKEELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRTNEEIWKIFPTSWLVPYRLCIQFCKKTRKQLEGILDNMKEKPDVATLLMALQRTLEFEDELAEKFGGGTQSREIGNEIEEIGRQNNSQSASDIRKKYEKKLSAHQGSENEEKDGNKDLSAPGAGFNFHGIISSCFEPHLIVYIELEEKTLMENLEKLVQEETWDVEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKSQTLYNLFKVFQRVLKAYATKLFGRLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTSGELAESVSKIIDSQFADRVDMSEVQDEFSAVITKSLVTLVHGLETKFDAEMAAMTRVPWGTLESVGDQSGYVNGINMILSSSIPVLGSLLSPIYFQFFLDKLASSVGPRFYMNIFKCKQISETGAQQVLFTYCFSQFPSCSCGYVILILPLPLLLYLLTFCEY >KJB25404 pep chromosome:Graimondii2_0_v6:4:50534388:50544782:-1 gene:B456_004G190000 transcript:KJB25404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMSTLEYINQMFPTEASLSGVEPLMQKIQSEIRRVDAGILAAVRQQSNSGTKAKEDLAAATHAVEELTYKIREIKTKAEQSEMMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQIMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKSIKQILKSHVFSDFSSLGTGKESEETNLLQHLSDACLVVDALEPSVKEELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRTNEEIWKIFPTSWLVPYRLCIQFCKKTRKQLEGILDNMKEKPDVATLLMALQRTLEFEDELAEKFGGGTQSREIGNEIEEIGRQNNSQSASDIRKKYEKKLSAHQGSENEEKDGNKDLSAPGAGFNFHGIISSCFEPHLIVYIELEEKTLMENLEKLVQEETWDVEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKSQTLYNLFKVFQRVLKAYATKLFGRLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTSGELAESVSKIIDSQFADRVDMSEVQDEFSAVITKSLVTLVHGLETKFDAEMAAMTRVPWGTLESVGDQSGYVNGINMILSSSIPVLGSLLSPIYFQFFLDKLASSVGPRFYMNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGRQTSGAAGYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKSDQQSILDDFNKGSPAISQPSSAAPVGQSMAPAPPASTVPAISNPASAGFIASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >KJB25405 pep chromosome:Graimondii2_0_v6:4:50535729:50544593:-1 gene:B456_004G190000 transcript:KJB25405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMSTLEYINQMFPTEASLSGVEPLMQKIQSEIRRVDAGILAAVRQQSNSGTKAKEDLAAATHAVEELTYKIREIKTKAEQSEMMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQIMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKSIKQILKSHVFSDFSSLGTGKESEETNLLQHLSDACLVVDALEPSVKEELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRTNEEIWKIFPTSWLVPYRLCIQFCKKTRKQLEGILDNMKEKPDVATLLMALQRTLEFEDELAEKFGGGTQSREIGNEIEEIGRQNNSQSASDIRKKYEKKLSAHQGSENEEKDGNKDLSAPGAGFNFHGIISSCFEPHLIVYIELEEKTLMENLEKLVQEETWDVEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKSQTLYNLFKVFQRVLKAYATKLFGRLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTSGELAESVSKIIDSQFADRVDMSEVQDEFSAVITKSLVTLVHGLETKFDAEMAAMTRVPWGTLESVGDQSGYVNGINMILSSSIPVLGSLLSPIYFQFFLDKLASSVGPRFYMNIFKCKQISETGAQQVLFTYCFSQFPSCSCGYVILILPLPLLLYLLTFCEY >KJB25401 pep chromosome:Graimondii2_0_v6:4:50534539:50544593:-1 gene:B456_004G190000 transcript:KJB25401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMSTLEYINQMFPTEASLSGVEPLMQKIQSEIRRVDAGILAAVRQQSNSGTKAKEDLAAATHAVEELTYKIREIKTKAEQSEMMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQIMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKSIKQILKSHVFSDFSSLGTGKESEETNLLQHLSDACLVVDALEPSVKEELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRTNEEIWKIFPTSWLVPYRLCIQFCKKTRKQLEGILDNMKEKPDVATLLMALQRTLEFEDELAEKFGGGTQSREIGNEIEEIGRQNNSQSASDIRKKYEKKLSAHQGSENEEKDGNKDLSAPGAGFNFHGIISSCFEPHLIVYIELEEKTLMENLEKLVQEETWDVEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKSQTLYNLFKVFQRVLKAYATKLFGRLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTSGELAESVSKIIDSQFADRVDMSEVQDEFSAVITKSLVTLVHGLETKFDAEMAAMTRVPWGTLESVGDQSGYVNGINMILSSSIPVLGSLLSPIYFQFFLDKLASSVGPRFYMNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGRQTSGAAGYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKSDQQSILDDFNKGSPAISQPSSAAPVGQSMAPAPPASTVPAISNPASAGFIASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >KJB22321 pep chromosome:Graimondii2_0_v6:4:3619885:3624593:-1 gene:B456_004G041800 transcript:KJB22321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNKHRLGPTEYCDVNRWIAPKIIHHKSNEHKTICIGRIFYAFRIILLLFSTAPLARLPCFSFPRETKSHFLSSIRVKVGKIHFLGYVSTIVPLICLAAIMAGIRVSRLRSLSNSLYSSLKPSSLPLYSSYTTLTRIADHSYVRASYAHLNSFYDKRETQSAAMFMNTPIRVAASDPLADTCFRKTNPVSALPSTSSTLRFWSIFPFVSLSPVLRHQPGLLSFSSKADKPVESEVSAASGGEGVDVSNGGVIGNDWIDKVKDAWHSAEDVLGYTGEKAKEVSNKLKPHVEQLLDTYPYLKDVVAPVGFTLTGTVLAWVVLPRLLRRFHNYAIQTSVLPSGSLLGNQVPYEKSFWGALEDPVRYLITFMAFSQIGMMVAPSTIASQYVTQAWRGAAILSCVWFLYRWKTNLLARALATHNLAGTDREKLLTLDRVSSIGLFVVGLMALAEACGVAVQSILTVGGIGGVATAFAAKDILGNVLSGLSMQFSKPFSLGDTIKAGSIEGQVVEMGLTNTTLLNSEKFPVLVPNSLFSSQVIVNKSRAQWRAVVTKIPLKIKGLDKVPQISDDIKNMLRSNSNIFLGKEAPYCFLSHIDSYYAELTIGCNLRRMSKDEIYSAQQDILLQSVQIIRKHGAKLGRA >KJB22015 pep chromosome:Graimondii2_0_v6:4:1998152:2001596:1 gene:B456_004G025400 transcript:KJB22015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPRLSRLSSSSLPKTPPLQTLLKRGFTPTLKSINQLLLFLSRSRRFNAVIHLFSQLDSNKINPNSQTHSILICSLLKLHKFEEAEHLVSTQMSKYPDFPKTRFWDSLIQGFGVIRNNPEKGLLLLKDCLRDSGTLPSSFTFCSLIHSFVSQGNMDRAIEVLELMTGDNVRYPFDNFVCSSVIVGFCKIGKPEVAVRFFENCMNSGALKPNVVTYTALLSSFNLLGKFDEGCELVYSMKKEGQALDAILYSCWILGYFRNGCLMEALRKYREMVERGISPDTVSYTVLIDGFSKEGSVGKAVGFLKKMLKDGVMPNVITYTAIMLGFCKEGKFEKAFRLFKEVQDMGIEVDEFMYATLIDGACRKGDFDCVFRLLDEMEKKGIKPSIVTYNIVINGLCKVGRTSEADNVFKEVAGDIITYSTLLYGYTEEGNIKGIFKTKEKLEKSGLCMDVVACNILIKAFFMVGAFEDARALYQAMPEMDLNADSITYCTMIDGYCKVGRIEEALEVFDEYRVSLVSSVACYNCIISGLCKQGMVNMAIQVIIELGEKGFILDMGISMMLIRAAFAQMGAVGVMNFVYKLENFGSDTYNSLCDDAIRFLCKRGFVETATEVYFVMRRKGLILMKSSYNLVLEKLIYGGKTSLVGPFLNFFLKDYGLVEPFVGKILAQYLCLNNMDIALQFLKKMEQVSTVSLPPSILKNIVKEGRLLDAYKLVLEASESFADMDVVDYSFLVHALCKEGYPNQALNLCSFAKNNGITPNIVTYNSVINGLCCQGCLGEALRLFDSLEKIGLVPSIVTYATLIDNLCKQGLLLEAKNLFDGMIYKECKPNIRVYNSFIDNYCKFGQMDEALKLLSDLEIKSVKPDEFTVSALIYGYCIKGDMEGALTFYSEFKMKNVSPDFLGFIHMVRGLCAKGRMEEARSILREMLQTKSVVELINNIDTKIESESIESFLVFLCDQGSIQEALVVLNEIASILFPSQKWSVHQESQALNNGLKSEALSAVSTVSAGSNKISGLDGAAEYYDIGKEESQFRSFDFYYSLLSSLCSKGELHKANKVMNDMLSSLQGDM >KJB26246 pep chromosome:Graimondii2_0_v6:4:57246629:57247061:1 gene:B456_004G234500 transcript:KJB26246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRHDAFTYAMFLTMSQNMYLANFSENKNERVVCVKNMTPEDILLYATRLRNALGRKVVKLKTRHVTKHLVCKVHGQLM >KJB26183 pep chromosome:Graimondii2_0_v6:4:56368865:56370157:-1 gene:B456_004G229100 transcript:KJB26183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKEWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKTKNIKHNGNIGLDDVIEIAKVMRPRSMAKDLRGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGDVDVPLE >KJB22437 pep chromosome:Graimondii2_0_v6:4:4534568:4537233:-1 gene:B456_004G049500 transcript:KJB22437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 4 [Source:Projected from Arabidopsis thaliana (AT1G29000) UniProtKB/Swiss-Prot;Acc:Q9SHQ8] MIEKVSNKKVEILSPQIKIPDKDKATTTPSKPKETKKPILRTTSIKVHLHCDKCEQDLRNKLLKHKDIYSVKSDVKAQTLTVEGIMEPEKLLSYIKKKVHKHAEMISSKTMEAKEEKKEIVKVEAKKEEVKVVGESSENKIVKSTDASAPYFVHYVYAPQLFSDENPNACTII >KJB22438 pep chromosome:Graimondii2_0_v6:4:4534700:4537138:-1 gene:B456_004G049500 transcript:KJB22438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 4 [Source:Projected from Arabidopsis thaliana (AT1G29000) UniProtKB/Swiss-Prot;Acc:Q9SHQ8] MAAKTEGVITAVYKVNLHCRQCASDIKKPLMRTQGVHGVEFDVGKGEIKVTGVIDVIKIHRMIEKVSNKKVEILSPQIKIPDKDKATTTPSKPKETKKPILRTTSIKVHLHCDKCEQDLRNKLLKHKDIYSVKSDVKAQTLTVEGIMEPEKLLSYIKKKVHKHAEMISSKTMEAKEEKKEIVKVEAKKEEVKVVGESSENKIVKSTDASAPYFVHYVYAPQLFSDENPNACTII >KJB23419 pep chromosome:Graimondii2_0_v6:4:15893190:15894219:1 gene:B456_004G097100 transcript:KJB23419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPISTLSSAVELHFLIITNASPSISTFLAPPSTCTSLSKKGGCSRLFQSCLRGQNLTSIVSSNQSRCQSEHFTIVGRIKVNVNKSRLRRFPTHIKKLFVQITQVAQQNS >KJB24863 pep chromosome:Graimondii2_0_v6:4:45947504:45949192:-1 gene:B456_004G165200 transcript:KJB24863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSQRIHEAFKGTVERITGPRTVSAFKEKGVLSVSEFILAGDNLVSKCPTWSWESGEPSKRKSYLPPEKQYLITRNVPCLRRAASVEEEYEAAGGEVLLDNEDNDGWLATHGKPKDKNDVEENLPSMETLEIRQNAVRSIPSYFGVGEDEEDIPDMADYEEADNLVETDAATLQPTYLVAQEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDEVGI >KJB24862 pep chromosome:Graimondii2_0_v6:4:45947742:45949018:-1 gene:B456_004G165200 transcript:KJB24862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSQRIHEAFKGTVERITGPRTVSAFKEKGVLSVSEFILAGDNLVSKCPTWSWESGEPSKRKSYLPPEKQYLITRNVPCLRRAASVEEEYEAAGGEVLLDNEDNDGWLATHGKPKDKNDVEENLPSMETLEIRQNAVRSIPSYFGVGEDEEDIPDMADYEEADNLVETDAATLQPTYLVAQEPDDDNILRTRTYDVSIT >KJB24859 pep chromosome:Graimondii2_0_v6:4:45945826:45949298:-1 gene:B456_004G165200 transcript:KJB24859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSQRIHEAFKGTVERITGPRTVSAFKEKGVLSVSEFILAGDNLVSKCPTWSWESGEPSKRKSYLPPEKQYLITRNVPCLRRAASVEEEYEAAGGEVLLDNEDNDGWLATHGKPKDKNDVEENLPSMETLEIRQNAVRSIPSYFGVGEDEEDIPDMADYEEADNLVETDAATLQPTYLVAQEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVLEDVSQDHAHKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFVASVIPTIEYDYTMDFDLGSTST >KJB24860 pep chromosome:Graimondii2_0_v6:4:45946150:45949192:-1 gene:B456_004G165200 transcript:KJB24860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRESGEPSKRKSYLPPEKQYLITRNVPCLRRAASVEEEYEAAGGEVLLDNEDNDGWLATHGKPKDKNDVEENLPSMETLEIRQNAVRSIPSYFGVGEDEEDIPDMADYEEADNLVETDAATLQPTYLVAQEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVLEDVSQDHAHKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFVASVIPTIEYDYTMDFDLGSTST >KJB24858 pep chromosome:Graimondii2_0_v6:4:45945826:45949297:-1 gene:B456_004G165200 transcript:KJB24858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSQRIHEAFKGTVERITGPRTVSAFKEKGVLSVSEFILAGDNLVSKCPTWSWESGEPSKRKSYLPPEKQYLITRNVPCLRRAASVEEEYEAAGGEVLLDNEDNDGWLATHGKPKDKNDVEENLPSMETLEIRQNAVRSIPSYFGVGEDEEDIPDMADYEEADNLVETDAATLQPTYLVAQEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVLEDVSQDHAHKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFVASVIPTIEYDYTMDFDLGSTST >KJB24861 pep chromosome:Graimondii2_0_v6:4:45946694:45949192:-1 gene:B456_004G165200 transcript:KJB24861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSQRIHEAFKGTVERITGPRTVSAFKEKGVLSVSEFILAGDNLVSKCPTWSWESGEPSKRKSYLPPEKQYLITRNVPCLRRAASVEEEYEAAGGEVLLDNEDNDGWLATHGKPKDKNDVEENLPSMETLEIRQNAVRSIPSYFGVGEDEEDIPDMADYEEADNLVETDAATLQPTYLVAQEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVLEDVSQDHAHKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDK >KJB27168 pep chromosome:Graimondii2_0_v6:4:61384597:61385519:-1 gene:B456_004G282100 transcript:KJB27168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTGKSSKGNGKSSSSSADARKDRKSGTGMSGSPKKGGHGGKYTWAGDGLSPAEIGFEKEVIDVKDPNFEDADEIVTV >KJB26752 pep chromosome:Graimondii2_0_v6:4:59389825:59389925:-1 gene:B456_004G2578001 transcript:KJB26752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSTTTMVLLLVLFLSTF >KJB22894 pep chromosome:Graimondii2_0_v6:4:7764453:7768751:1 gene:B456_004G072100 transcript:KJB22894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWDEILSLPVQNPPTLEFSAHDIVWSKVEGWRDNIDRVALIPFARVDDFVRGESSNKDCPTRFHVEARRRRSPKAPYKPKVDGILEYILYWCSFGPDDHRKGGLVRPSRNTYIPKKTNAGRPNTKRGCTCHFIVKRLIAEPSVALIIYNQDKHVDKKGLPCHGPQDKKAAGTRAMFAPYISEDLRLRVLSLLHVGVSVETIMQRHNESVEKQGGPYNRDDLLTHRYVRRQERSIRRSTYELDADDAVSVSMWVESHQNCVFFYEDFTDSDPFILGIQTEWQLQQMIRFGNHSLIASDSRFATNKLKYPIHSLIVFNSDKKAIPVAWIITPRFASVDAHRWMRALYNRVRTKDPIWKLAGFIVDDPSIDVHTVRDVFECTVLISFWRVRHAWHKNLVKRCSETEMRVEISRRLGQAVDDICRGCGNVDLFEKFMEDFVDCLDFMDYFKAVWYPRIGTWVSALKTLPLASLETCAAMEFYHNQLKLRLLNEKDPAVYQRTDWLVDKLGTKVHSYFWLDEYSGKDDFARYWKDEWVSGLTSWRKALKIPDSDVASERRFAKVTDQIDRDTVYVVWNPGSQFGICDCSWAEMGYLCEHVLKVIKVYREKGSISPSVSIFQYNKALIDMLHCPPHDSLIRDHAVSLAIFVQKQLNSLVGHVQKQTKDAIEQESAPVASAKQNRGLADEDHCVNRNILPNHKYGYVDCSESLAGIASDLGSESVDQGVGINSETAGEGIFGSEMDVDPPSSICQPELPSLSEEIVPGNAFPGHGDSAFINKVPNMDAYSLPKDDALRDNECEEIFNINCHESAMAVEPQPDEVPQTEQLSKPCTVTNQDHLGSKCIEPSVPSPSLCCTLKPQVLDTAEPSGVLNLDISVVLESENENTSKNCSTDTGFASEDHVNLEIVADLGHEAKVVDSSMAELLKTSQNHLTTPPDGDGQPSTEVAARETDDSDDKELLSNKEPSTTNSESVEDGRCDSKEIHESVNNDQNGAVDMEIVSEEATVDFTISAGSHKR >KJB23714 pep chromosome:Graimondii2_0_v6:4:23985129:23990301:-1 gene:B456_004G111100 transcript:KJB23714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMHELAAKVDEYARGMMGPLGSTLFEELGLYYIGPVDGHKLEDLICVLQEVASLDSMGPVLIHVITEENQCSEDKQKKVTVEKQQEGLSLNDIYSFSNDDHCRTYSDCLVEALVMEAEKEKDIVVVHAGIEMDPSLKLFRERFSDRFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSAFLQRAYDQVVHDVDRQRIPVRFVITSAGLVGSDGPLQCGAFDITFMSCLPNMIVMAASDEDELIDMVATATRIDDRPVCFRYPRGALVRTDYPIHGGSALEIGTGKVLVEGKDVALLGYGAMVQNCLKAQALLSKLGINVTVADARFCKPLDIKLLRWLCSNHEILITVEEGSVGGFGSHVAQFIALDGQLDGRLKWRPIVLPDNYIEHASPKEQLALAGLTGHHIAATTLSLLGRTREALLLMC >KJB23713 pep chromosome:Graimondii2_0_v6:4:23985086:23990281:-1 gene:B456_004G111100 transcript:KJB23713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFMLRWTRYSGMPGNSFSFSWQTYAHKILTGRRILMPTLRQKNGLSGYTSRTESEYDPFGAGHGCNSISAGLGMAVARDIKGKRERVVTVINNTTTMAGQVYEAMSNAGYLDSNLVVILNDSRHSLHPKIEEGPKTSINALSSTLSRLQSSKSFRKFREAAKDVTKRIGMGMHELAAKVDEYARGMMGPLGSTLFEELGLYYIGPVDGHKLEDLICVLQEVASLDSMGPVLIHVITEENQCSEDKQKKVTVEKQQEGLSLNDIYSFSNDDHCRTYSDCLVEALVMEAEKEKDIVVVHAGIEMDPSLKLFRERFSDRFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSAFLQRAYDQVVHDVDRQRIPVRFVITSAGLVGSDGPLQCGAFDITFMSCLPNMIVMAASDEDELIDMVATATRIDDRPVCFRYPRGALVRTDYPIHGGSALEIGTGKVLVEGKDVALLGYGAMVQNCLKAQALLSKLGINVTVADARFCKPLDIKLLRWLCSNHEILITVEEGSVGGFGSHVAQFIALDGQLDGRLKWRPIVLPDNYIEHASPKEQLALAGLTGHHIAATTLSLLGRTREALLLMC >KJB23715 pep chromosome:Graimondii2_0_v6:4:23986123:23990163:-1 gene:B456_004G111100 transcript:KJB23715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAPTQCPSGITANFHAKFDNRTEFSCSSFPFTLNLSKLNSHPTSKGLVNKIGSLPDIDDLFWEKVPTPILDVVENPTHLKSLSIRELKLLADEIRLELSSTMSKPQRSFKASLAAVELTVALHYVFHAPVDKILWDAGEQTYAHKILTGRRILMPTLRQKNGLSGYTSRTESEYDPFGAGHGCNSISAGLGMAVARDIKGKRERVVTVINNTTTMAGQVYEAMSNAGYLDSNLVVILNDSRHSLHPKIEEGPKTSINALSSTLSRLQSSKSFRKFREAAKDVTKRIGMGMHELAAKVDEYARGMMGPLGSTLFEELGLYYIGPVDGHKLEDLICVLQEVASLDSMGPVLIHVITEENQCSEDKQKKVTVEKQQEGLSLNDIYSFSNDDHCRTYSDCLVEALVMEAEKEKDIVVVHAGIEMDPSLKLFRERFSDRFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSAFLQRAYDQVVHDVDRQRIPVRFVITSAGLVGSDGPLQCGAFDITFMSCLPNMIVMAASDEDELIDMVATATRIDDRPVCFRYPRGALVRTDYPIHGGSALEVIVSCPLFLIYCFSSLSFINWKKAVSCNANNSI >KJB23712 pep chromosome:Graimondii2_0_v6:4:23985074:23990384:-1 gene:B456_004G111100 transcript:KJB23712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAPTQCPSGITANFHAKFDNRTEFSCSSFPFTLNLSKLNSHPTSKGLVNKIGSLPDIDDLFWEKVPTPILDVVENPTHLKSLSIRELKLLADEIRLELSSTMSKPQRSFKASLAAVELTVALHYVFHAPVDKILWDAGEQTYAHKILTGRRILMPTLRQKNGLSGYTSRTESEYDPFGAGHGCNSISAGLGMAVARDIKGKRERVVTVINNTTTMAGQVYEAMSNAGYLDSNLVVILNDSRHSLHPKIEEGPKTSINALSSTLSRLQSSKSFRKFREAAKDVTKRIGMGMHELAAKVDEYARGMMGPLGSTLFEELGLYYIGPVDGHKLEDLICVLQEVASLDSMGPVLIHVITEENQCSEDKQKKVTVEKQQEGLSLNDIYSFSNDDHCRTYSDCLVEALVMEAEKEKDIVVVHAGIEMDPSLKLFRERFSDRFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSAFLQRAYDQVVHDVDRQRIPVRFVITSAGLVGSDGPLQCGAFDITFMSCLPNMIVMAASDEDELIDMVATATRIDDRPVCFRYPRGALVRTDYPIHGGSALEIGTGKVLVEGKDVALLGYGAMVQNCLKAQALLSKLGINVTVADARFCKPLDIKLLRWLCSNHEILITVEEGSVGGFGSHVAQFIALDGQLDGRLKWRPIVLPDNYIEHASPKEQLALAGLTGHHIAATTLSLLGRTREALLLMC >KJB23256 pep chromosome:Graimondii2_0_v6:4:11772368:11772647:-1 gene:B456_004G088500 transcript:KJB23256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKSFTPLLYLTSIVFLPWQFKTFLKDIKEKSILEQFIEVEELFLLDEMIKEYPKTHLQKLRIGTHKETI >KJB23772 pep chromosome:Graimondii2_0_v6:4:26784964:26788627:1 gene:B456_004G114300 transcript:KJB23772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSGWFSTVKKVFKSSSKDLPEKKKESVEKWPNEAPEVVSFEHFPAESSPDITKDESETSTPNKDSDHAIAVALATAAAAEAAVAAAQAAAKVVRLAGYGRHSMEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQNTMRCMQALVRVQARVRARRLQLTQEKLQKRVDDDEDDNEEEKRGIEELKLERKPKSPLNKYDSWDGENVNGCASKKHDAVMRRERALAYAYSYQEEQRQHHEQLLVQPQHNGKDVGVYLNEREKAQWGWNWLECWMSPQPYPARQLGLQEGAYLALPRATTTTDNMLEKTVEMDVVTPIKSGSYLTQQQLQSDSSSVPSYMVTTQSVKAKGRSQGSAKQQRGAYVPEWNSSTKKASGCDSSSSGGGPTIYQAPRSPGPKSNGARVPSRRLRGCSPDAGAGGEDCRLPVGSRGW >KJB23773 pep chromosome:Graimondii2_0_v6:4:26784964:26788618:1 gene:B456_004G114300 transcript:KJB23773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSGWFSTVKKVFKSSSKDLPEKKKESVEKWPNEAPEVVSFEHFPAESSPDITKDESETSTPNKDSDHAIAVALATAAAAEAAVAAAQAAAKVVRLAGYGRHSMEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQNTMRCMQALVRVQARVRARRLQLTQEKLQKRVDDDEDDNEEEKRGIEELKLERKPKSPLNKYDSWDGENVNGCASKKHDAVMRRERALAYAYSYQEEQRQHHEQLLVQPQHNGKDVGVYLNEREKAQWGWNWLECWMSPQPYPARQLGLQEGAYLALPRATTTTDNMLEKTVEMDVVTPIKSGSYLTQQQLQSDSSSVPSYMVTTQSVKAKGRSQGSAKQQRGAYVPEWNSSTKKASGCDSSSSGGGPTIYQAPRSPGPKSNGARVPSRRLRGCSPDAGAGGEDCRLPVGSRGW >KJB23770 pep chromosome:Graimondii2_0_v6:4:26785072:26788618:1 gene:B456_004G114300 transcript:KJB23770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSGWFSTVKKVFKSSSKDLPEKKKESVEKWPNEAPEVVSFEHFPAESSPDITKDESETSTPNKDSDHAIAVALATAAAAEAAVAAAQAAAKVVRLAGYGRHSMEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQNTMRCMQALVRVQARVRARRLQLTQEKLQKRVDDDEDDNEEEKRGIEELKLERKPKSPLNKYDSWDGENVNGCASKKHDAVMRRERALAYAYSYQEEQRQHHEQLLVQPQHNGKDVGVYLNEREKAQWGWNWLECWMSPQPYPARQLGLQEGAYLALPRATTTTDNMLEKTVEMDVVTPIKSGSYLTQQQLQSDSSSVPSYMVTTQSVKAKGRSQGSAKQQRGAYVPEWNSSTKKASGCDSSSSGGGPTIYQAPRSPGPKSNGARVPSRRLRGCSPDAGAGGEDCRLPVGSRGW >KJB23771 pep chromosome:Graimondii2_0_v6:4:26785071:26788629:1 gene:B456_004G114300 transcript:KJB23771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSGWFSTVKKVFKSSSKDLPEKKKESVEKWPNEAPEVVSFEHFPAESSPDITKDESETSTPNKDSDHAIAVALATAAAAEAAVAAAQAAAKVVRLAGYGRHSMEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQNTMRCMQALVRVQARVRARRLQLTQEKLQKRVDDDEDDNEEEKRGIEELKLERKPKSPLNKYDSWDGENVNGCASKKHDAVMRRERALAYAYSYQEEQRQHHEQLLVQPQHNGKDVGVYLNEREKAQWGWNWLECWMSPQPYPARQLGLQEGAYLALPRATTTTDNMLEKTVEMDVVTPIKSGSYLTQQQLQSDSSSVPSYMVTTQSVKAKGRSQGSAKQQRGAYVPEWNSSTKKASGCDSSSSGGGPTIYQAPRSPGPKSNGARVPSRRLRGCSPDAGAGGEDCRLPVGSRGW >KJB25502 pep chromosome:Graimondii2_0_v6:4:51407456:51411079:1 gene:B456_004G194900 transcript:KJB25502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDESPAAKRWLPLEANPDVMNQFLWGLGLPESEAECCDVYGLDDELLEMVPQPVLAVLFLYPITSQTEEERLQQDNEKRDVSSEVYFMKQTVGNACGTIGLLHSVGNITSEIKLQEVSFLDRFFKSTATMDPLERAAFLEKDGEMEVAHTVAATAGDTEASDDVDTHFICFTCVDAL >KJB25503 pep chromosome:Graimondii2_0_v6:4:51407869:51411079:1 gene:B456_004G194900 transcript:KJB25503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQPVLAVLFLYPITSQTEEERLQQDNEKRDVSSEVYFMKQTVGNACGTIGLLHSVGNITSEIKLQEVSFLDRFFKSTATMDPLERAAFLEKDGEMEVAHTVAATAGDTEASDDVDTHFICFTCVDGQLYELDGRKSGPISHGASSRSTLLQDAAKVIKGMIQKNPESLNFNVIALTKKVAGAI >KJB25501 pep chromosome:Graimondii2_0_v6:4:51407342:51411079:1 gene:B456_004G194900 transcript:KJB25501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDESPAAKRWLPLEANPDVMNQFLWGLGLPESEAECCDVYGLDDELLEMVPQPVLAVLFLYPITSQTEEERLQQDNEKRDVSSEVYFMKQTVGNACGTIGLLHSVGNITSEIKLQEVSFLDRFFKSTATMDPLERAAFLEKDGEMEVAHTVAATAGDTEASDDVDTHFICFTCVDGQLYELDGRKSGPISHGASSRSTLLQDAAKVIKGMIQKNPESLNFNVIALTKKVAGAI >KJB22979 pep chromosome:Graimondii2_0_v6:4:8810789:8818275:1 gene:B456_004G076600 transcript:KJB22979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSLRLQSFDRLPSAAQFASQIESRNVPAVFVGCVKDWKAVSKWNPSNGGLGYLEERVGSFMVEAMLSQTAPVFYGDLRSHERVPLPFSTFIGFCKWHRQNVGNSCSINSNSQGHQLGESDTEQGCSTFVDAAPKQIYLAQIPIINAENEARVQLETLQEDIQLPAILEEKNLSSINLWMNIAQARSSAHYDPHHNLLCIVTGRKQVVLWPPSASPMLYPMPIYGEASNHSSVALENPDFSIHPRAQCSMEYSQKVTLQAGDALFIPEGWFHQVDSDELTMAVNYWWRSSIMSSLADHMDAYYLRRILRRLTDREMDQVLLKGSTPTWRQESNVDHSLDQAGQSKDLKRKEQEQHSLLLHELRPCALQALHELVALVHDRVNASDQNQPVSSDSTNGLMCSKTNEQDKTLTTEIFHLEEDPVAKILWILEPGIFKDIFLAMAHNFPRTLEALVLHLLSPVGAEVLTRKFDEIDQQHTEENQNKFYQIFYGVFDNQFAAMDAILNGKESFARQAFKNVLDKYVGGNFDVPKLSVGRDIS >KJB22977 pep chromosome:Graimondii2_0_v6:4:8810645:8818189:1 gene:B456_004G076600 transcript:KJB22977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSLRLQSFDRLPSAAQFASQIESRNVPAVFVGCVKDWKAVSKWNPSNGGLGYLEERVGSFMVEAMLSQTAPVFYGDLRSHERVPLPFSTFIGFCKWHRQNVGNSCSINSNSQGHQLGESDTEQGCSTFVDAAPKQIYLAQIPIINAENEARVQLETLQEDIQLPAILEEKNLSSINLWMNIAQARSSAHYDPHHNLLCIVTGRKQVVLWPPSASPMLYPMPIYGEASNHSSVALENPDFSIHPRAQCSMEYSQKVTLQAGDALFIPEGWFHQVDSDELTMAVNYWWRSSIMSSLADHMDAYYLRRILRRLTDREMDQVLLKGSTPTWRQESNVDHSLDQAGQSKDLKRKEQEQHSLLLHELRPCALQALHELVALVHDRVNASDQNQPVSSDSTNGLMCSKTNEQDKTLTTEIFHLEEDPVAKILWILEPGIFKDIFLAMAHNFPRTLEALVLHLLSPVGAEVLTRKFDEIDQQHTEENQNKFYQIFYGVFDNQFAAMDAILNGKESFARQAFKNVLDKYVGGNFDVPKLSVGRDIS >KJB22978 pep chromosome:Graimondii2_0_v6:4:8810789:8817588:1 gene:B456_004G076600 transcript:KJB22978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSLRLQSFDRLPSAAQFASQIESRNVPAVFVGCVKDWKAVSKWNPSNGGLGYLEERVGSFMVEAMLSQTAPVFYGDLRSHERVPLPFSTFIGFCKWHRQNVGNSCSINSNSQGHQLGESDTEQGCSTFVDAAPKQIYLAQIPIINAENEARVQLETLQEDIQLPAILEEKNLSSINLWMNIAQARSSAHYDPHHNLLCIVTGRKQVVLWPPSASPMLYPMPIYGEASNHSSVALENPDFSIHPRAQCSMEYSQKVTLQAGDALFIPEGWFHQVDSDELTMAVNYWWRSSIMSSLADHMDAYYLRRILRRLTDREMDQVLLKGSTPTWRQESNVDHSLDQAGQSKDLKRKEQEQHSLLLHELRPCALQALHELVALVHDRVNASDQNQPVSSDSTNGLMCSKTNEQDKTLTTEIFHLEEDPVAKILWILEPGIFKDIFLAMAHNFPRTLEALVLHLLSPVGAEVLTRKFDEIDQQHTEENQYVSIILGVNPDLVVLCYVVALASVLFFFMVSGTNSTRYSMGFLITNLQQWMLF >KJB22520 pep chromosome:Graimondii2_0_v6:4:4804542:4807272:1 gene:B456_004G052300 transcript:KJB22520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWGINGTKGRCYDFWVDFSECMSRCREPKDCALLREDYLECLHHSKEFQRRNRIYKEEQRKLRAAARKDKEGEAGVHHHG >KJB22521 pep chromosome:Graimondii2_0_v6:4:4804669:4805972:1 gene:B456_004G052300 transcript:KJB22521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWGINGTKGRCYDFWVDFSECMSRCREPKDCALLREDYLECLHHSKEICVIPPPVLGHVASLEDT >KJB27143 pep chromosome:Graimondii2_0_v6:4:61254321:61261655:1 gene:B456_004G280500 transcript:KJB27143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSKVTIEVGNDGVAVITISNPPVNALAIPIIDGLKEKFAEATRRNDVKAIVLTGKGGRFSGGFDINVFTKVHGTGDVSIMPDVSVDLVTNAVEDCKKPIVAAVEGLALGGGLEFAMGCHARIAAPRTQLGLPELSLGVIPGFGGTQRLPRLVGLSKAIEMMLSSKPIMSEEGKKLGLIDALVPSKELLKVSCAWALDMAERRKPWLRSLHRTDKIGSLSEAREVLRMARLQAKKTAPNLPQHQVCLDVIEEGIVHGGYTGVLKEAKVFKEIVLSDTSRGLVHVFLAQRATSKVPNVTDVGLKPRQVKKVAIIGGGLMGSGIATALIVNNIFVVLKEVNSEYLLKGIKTVEANVRGLANRGKITKDKAEKALSMLKGVLDYSEFKDVDMVIEAVIENVALKQKIFSEIEKACPPHCILATNTSTIDLNLIGEKMNSQDRLIGAHFFSPAHLMPLLEIVRTQKTSPQIILDLMMVGKVIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVNLGVDAYRIDRVICNFGFPLGPFQLQDLAGYGVAFAVGQEYAKAFSDRIFESPLLELLVKEGRNGKNNGKGYYIYEKGSKPKPDPSVLSIIEESRRLTNVMPGGKPISVTDREVLEMILFPVVNEACRVLDEGVVVRASDLDVASVLGMSFPSYRGGIVFWADMVGANHVYRSLKKWSEMYGSFYKPSKFLEERAMKGIPLSAPATSSASIKSRL >KJB27144 pep chromosome:Graimondii2_0_v6:4:61254765:61261557:1 gene:B456_004G280500 transcript:KJB27144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVSVDLVTNAVEDCKKPIVAAVEGLALGGGLEFAMGCHARIAAPRTQLGLPELSLGVIPGFGGTQRLPRLVGLSKAIEMMLSSKPIMSEEGKKLGLIDALVPSKELLKVSCAWALDMAERRKPWLRSLHRTDKIGSLSEAREVLRMARLQAKKTAPNLPQHQVCLDVIEEGIVHGGYTGVLKEAKVFKEIVLSDTSRGLVHVFLAQRATSKVPNVTDVGLKPRQVKKVAIIGGGLMGSGIATALIVNNIFVVLKEVNSEYLLKGIKTVEANVRGLANRGKITKDKAEKALSMLKGVLDYSEFKDVDMVIEAVIENVALKQKIFSEIEKACPPHCILATNTSTIDLNLIGEKMNSQDRLIGAHFFSPAHLMPLLEIVRTQKTSPQIILDLMMVGKVIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVNLGVDAYRIDRVICNFGFPLGPFQLQDLAGYGVAFAVGQEYAKAFSDRIFESPLLELLVKEGRNGKNNGKGYYIYEKGSKPKPDPSVLSIIEESRRLTNVMPGGKPISVTDREVLEMILFPVVNEACRVLDEGVVVRASDLDVASVLGMSFPSYRGGIVFWADMVGANHVYRSLKKWSEMYGSFYKPSKFLEERAMKGIPLSAPATSSASIKSRL >KJB27145 pep chromosome:Graimondii2_0_v6:4:61254337:61261557:1 gene:B456_004G280500 transcript:KJB27145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVSVDLVTNAVEDCKKPIVAAVEGLALGGGLEFAMGCHARIAAPRTQLGLPELSLGVIPGFGGTQRLPRLVGLSKAIEMMLSSKPIMSEEGKKLGLIDALVPSKELLKVSCAWALDMAERRKPWLRSLHRTDKIGSLSEAREVLRMARLQAKKTAPNLPQHQVCLDVIEEGIVHGGYTGVLKEAKVFKEIVLSDTSRGLVHVFLAQRATSKVPNVTDVGLKPRQVKKVAIIGGGLMGSGIATALIVNNIFVVLKEVNSEYLLKGIKTVEANVRGLANRGKITKDKAEKALSMLKGVLDYSEFKDVDMVIEAVIENVALKQKIFSEIEKACPPHCILATNTSTIDLNLIGEKMNSQDRLIGAHFFSPAHLMPLLEIVRTQKTSPQIILDLMMVGKVIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVNLGVDAYRIDRVICNFGFPLGPFQLQDLAGYGVAFAVGQEYAKAFSDRIFESPLLELLVKEGRNGKNNGKGYYIYEKGSKPKPDPSVLSIIEESRRLTNVMPGGKPISVTDREVLEMILFPVVNEACRVLDEGVVVRASDLDVASVLGMSFPSYRGGIVFWADMVGANHVYRSLKKWSEMYGSFYKPSKFLEERAMKGIPLSAPATSSASIKSRL >KJB22246 pep chromosome:Graimondii2_0_v6:4:3011976:3017538:-1 gene:B456_004G036900 transcript:KJB22246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTKGVLCKFFAHGACFKGENCEFSHDWKDPPNNICTYYQKGICSYGSQCRYEHVKASQTDLSASSSSTKPRQFGVSGSAPLGPSTSTYCGSVVLPATSGEFPGSNGGFLPPSTNQAWHLESGQQDLLDDGDVLQPRSANLVELPICSFAAAGNCPRGDNCPHIHGDLCPTCGKHCLHPFRAEEREEHVKMCEKKQKHLEALKYSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPSSGMDVNTALRACPICRKLSYFVIPSVIWYSTPEEKQEIIDSYKAKLRSIDCKHFNFGNGNCPFGTSCFYKHAYRDGRLEEVALRHLGAEDGHTVIAKNIRLLDFLSDLHIR >KJB22248 pep chromosome:Graimondii2_0_v6:4:3015259:3017538:-1 gene:B456_004G036900 transcript:KJB22248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTKGVLCKFFAHGACFKGENCEFSHDWKDPPNNICTYYQKGICSYGSQCRYEHVKASQTDLSASSSSTKPRQFGVSGSAPLGPSTSTYCGSVVLPATSGEFPGSNGGFLPPSTNQAWHLESGQQDLLDDGDVLQPRSANLVELPICSFAAAGNCPRGDNCPHIHGDLCPTCGKHCLHPFRAEEREEHVKMCEKKQKHLEALKYSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPSSGMDVNTALRACPICRKLSYFVIPSVIWYSTPEEKQEIIDSYKAKLRSIDCKHFNFGNGNCPFGTSCFYKVKIVYLFSFVKWRISLS >KJB22247 pep chromosome:Graimondii2_0_v6:4:3011938:3017563:-1 gene:B456_004G036900 transcript:KJB22247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTKGVLCKFFAHGACFKGENCEFSHDWKDPPNNICTYYQKGICSYGSQCRYEHVKASQTDLSASSSSTKPRQFGVSGSAPLGPSTSTYCGSVVLPATSGEFPGSNGGFLPPSTNQAWHLESGQQDLLDDGDVLQPRSANLVELPICSFAAAGNCPRGDNCPHIHGDLCPTCGKHCLHPFRAEEREEHVKMCEKKQKHLEALKYSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPSSGMDVNTALRACPICRKLSYFVIPSVIWYSTPEEKQEIIDSYKAKLRSIDCKHFNFGNGNCPFGTSCFYKHAYRDGRLEEVALRHLGAEDGHTVIAKNIRLLDFLSDLHIR >KJB26572 pep chromosome:Graimondii2_0_v6:4:59264697:59265874:-1 gene:B456_004G256100 transcript:KJB26572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSENSSCTSLIFTYGTLKRGFSNHVLLQDLMRTGDAVFKGSYQTVEKYPLVCGPYRVPFLLDMPGSGHRVTGELYAVSSRGLARVDELEGTSRGHYERLPILLIPAGYGYESGNNKENEEDQAVLTRAAAGGITCTAEAYYAHKSFEKEMWMKNGGKGFGIYSDKEAKGYVKRKDRPQDLSFLDHVRIFISSPSD >KJB25304 pep chromosome:Graimondii2_0_v6:4:49928343:49928660:-1 gene:B456_004G184900 transcript:KJB25304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNCLICQHRSNSNNYREYCAKEENCKERVGTSCSGISPAYYDEIKSDHEPTSLVIAKNKINKGHRRLKTIDSPYRATAFESDNDEPKLVRSSGVRRNWSFKDM >KJB21845 pep chromosome:Graimondii2_0_v6:4:1229525:1229864:-1 gene:B456_004G0174002 transcript:KJB21845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSTGNGAENSGGGKGNEMALGASLWVFTMLLLNFGV >KJB23104 pep chromosome:Graimondii2_0_v6:4:9732038:9733910:1 gene:B456_004G081100 transcript:KJB23104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTEGEGSSEPEIQFTEIETTAECLDGSLIFHVVKDTIGFILYMHQQIPSILQDITLEFDLMHTEYKELEVDLAKTELKASLRRKHIGRMREVKQGIRKMEKFMSTISSLQSALQLLISQIPNIHEVILVLGTSPIRPQHVYQLCFSHANPAPSAEANFIKGKTAEWLSRKAIRALISKDAGSSSYPGPTKLFLMVKAPTSLNLPLHFLPKRDFRYSKKIVPFRLRFRCRTQGLKIDEDHNSLPGRSTGIDSSNDLIWYRASLHLTYLLCIIIVVNCCSSEFRSNYFGSNGKLRSPSM >KJB23102 pep chromosome:Graimondii2_0_v6:4:9731959:9734662:1 gene:B456_004G081100 transcript:KJB23102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTEGEGSSEPEIQFTEIETTAECLDGSLIFHVVKDTIGFILYMHQQIPSILQDITLEFDLMHTEYKELEVDLAKTELKASLRRKHIGRMREVKQGIRKMEKFMSTISSLQSALQLLISQIPNIHEVILVLGTSPIRPQHVYQLCFSHANPAPSAEANFIKGKTAEWLSRKAIRALISKDAGSSSYPGPTKLFLMVKAPTSLNLPLHFLPKRDFRYSKKIVPFRLRFRCRTQGLKIDEDHNSLPGRSTGIDSSNDLIWFQCRHAIKGIAFKTPEEE >KJB23103 pep chromosome:Graimondii2_0_v6:4:9732038:9733336:1 gene:B456_004G081100 transcript:KJB23103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTEGEGSSEPEIQFTEIETTAECLDGSLIFHVVKDTIGFILYMHQQIPSILQDITLEFDLMHTEYKELEVDLAKTELKASLRRKHIGRMREVKQGIRKMEKFMSTISSLQSALQLLISQIPNIHEVILVLGTSPIRPQHVYQLCFSHANPAPSAEANFIKGKTAEWLSRKAIRALISKDAGSSSYPGPTKLFLMVKAPTSLNLPLHFLPKRDFRYSKKVKRGLRSPMKS >KJB27311 pep chromosome:Graimondii2_0_v6:4:61947953:61950618:-1 gene:B456_004G290300 transcript:KJB27311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTEVENNVTLNPYALVQRQGMIEGNLDNAPTNQSLPRDINPGSRKLLIVITPTHARPHQAYYLNRLAYTLKLVQPPLLWIVVEMTSQSEETAGILRKSGIMYRHLVCKKNLTDIKDRNVHQRNVALSHIETHRLDGIVYFADEYNVYSIDLFEQMRHIRQFGTWTVAKQTQDNNRVTLEGPVCNGTKVIGWHLNELSKRYRRFHAEMPGFAFNSTILWDPRRWHRPTLEQIRQLETVKDGFQASSIIEQVVEDESQMEGLLQDCSRIMVWELNIESNSFYPQKWLMKNNLDVIAPLA >KJB27312 pep chromosome:Graimondii2_0_v6:4:61948155:61950284:-1 gene:B456_004G290300 transcript:KJB27312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPVPRPGTPVTGEAERSVPSPLSKSTTPQTGGLLSSLIGLSDSQALVFGVFSPITTRPVDRPNPKHKGQVWRRALFHFFICFLLGFVIGLTSFVSVGFSYMNLISEQQAFAFEVEPTVASFHTHDGSERNVTSMADSTEVENNVTLNPYALVQRQGMIEGNLDNAPTNQSLPRDINPGSRKLLIVITPTHARPHQAYYLNRLAYTLKLVQPPLLWIVVEMTSQSEETAGILRKSGIMYRHLVCKKNLTDIKDRNVHQRNVALSHIETHRLDGIVYFADEYNVYSIDLFEQMRHIRQFGTWTVAKQTQDNNRVTLEGPVCNGTKVIGWHLNELSKRYRRFHAEMPGFAFNSTILWDPRRWHRPTLEQIRQLETVKDGFQASSIIEQVVEDESQMEGLLQDCSRIMVWELNIESNSFYPQKWLMKNNLDVIAPLA >KJB27310 pep chromosome:Graimondii2_0_v6:4:61947953:61950481:-1 gene:B456_004G290300 transcript:KJB27310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLISEQQAFAFEVEPTVASFHTHDGSERNVTSMADSTEVENNVTLNPYALVQRQGMIEGNLDNAPTNQSLPRDINPGSRKLLIVITPTHARPHQAYYLNRLAYTLKLVQPPLLWIVVEMTSQSEETAGILRKSGIMYRHLVCKKNLTDIKDRNVHQRNVALSHIETHRLDGIVYFADEYNVYSIDLFEQMRHIRQFGTWTVAKQTQDNNRVTLEGPVCNGTKVIGWHLNELSKRYRRFHAEMPGFAFNSTILWDPRRWHRPTLEQIRQLETVKDGFQASSIIEQVVEDESQMEGLLQDCSRIMVWELNIESNSFYPQKWLMKNNLDVIAPLA >KJB27313 pep chromosome:Graimondii2_0_v6:4:61948155:61949910:-1 gene:B456_004G290300 transcript:KJB27313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPVPRPGTPVTGEAERSVPSPLSKSTTPQTGGLLSSLIGLSDSQALVFGVFSPITTRPVDRPNPKHKGQVWRRALFHFFICFLLGFVIGLTSFVSVGFSYMNLISEQQAFAFEVEPTVASFHTHDGSERNVTSMADSTEVENNVTLNPYALVQRQGMIEGNLDNAPTNQSLPRDINPGSRKLLIVITPTHARPHQAYYLNRLAYTLKLVQPPLLWIVVEMTSQSEETAGILRKSGIMYRHLVCKKNLTDIKDRNVHQRNVALSHIETHRLDGIVYFADEYNVYSIDLFEQMRHIRQFGTWTVAKQTQDNNRVTLEGPVCNGTKVIGWHLNELSKRYRRFHAEMPGFAFNSTILWDPRRWHRPTLEQIRQLETVKDGFQASSIIEQVVEDESQMEGLLQDCSRIMVWELNIESNSFYPQKWLMKNNLDVIAPLA >KJB23296 pep chromosome:Graimondii2_0_v6:4:12330371:12333202:-1 gene:B456_004G090600 transcript:KJB23296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISQDEEIPPNMTLYINNLNEKIKIDQLKKSLHAVFSQFGKILDVLAFKTLKHKGQAWVIFEDVNSATNALRRMQGFPFYDKEMRIQYAKTKSDIIAKADGTFVPREKRKRHDEKGGKKRKEQLDPNQAAAGLNPSYAGAYGATPPNLPHDATAMMLQMLFNQYPGLKDVRMVEAKPGIAFVEYENEMQSTVAMQALQGFKIQQNQMLITYAKK >KJB23297 pep chromosome:Graimondii2_0_v6:4:12331951:12333334:-1 gene:B456_004G090600 transcript:KJB23297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISQDEEIPPNMTLYINNLNEKIKIDQLKKSLHAVFSQFGKILDVLAFKTLKHKGQAWVIFEDVNSATNALRRMQGFPFYDKEMRIQYAKTKSDIIAKADGTFVPREKRKRHDEKGTFLFFFHLWLETFTIEQVISVLLYAIVYVCACN >KJB23294 pep chromosome:Graimondii2_0_v6:4:12330324:12333310:-1 gene:B456_004G090600 transcript:KJB23294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISQDEEIPPNMTLYINNLNEKIKIDQLKKSLHAVFSQFGKILDVLAFKTLKHKGQAWVIFEDVNSATNALRRMQGFPFYDKEMRIQYAKTKSDIIAKADGTFVPREKRKRHDEKGGKKRKEQLDPNQAAAGLNPSYAGAYGATPPLSQIPYLGARPIVPEAPAPPNNILFVQNLPHDATAMMLQMLFNQYPGLKDVRMVEAKPGIAFVEYENEMQSTVAMQALQGFKIQQNQMLITYAKK >KJB23295 pep chromosome:Graimondii2_0_v6:4:12330371:12333202:-1 gene:B456_004G090600 transcript:KJB23295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISQDEEIPPNMTLYINNLNEKIKIDQLKKSLHAVFSQFGKILDVLAFKTLKHKGQAWVIFEDVNSATNALRRMQGFPFYDKEMRIQYAKTKSDIIAKADGTFVPREKRKRHDEKGKKRKEQLDPNQAAAGLNPSYAGAYGATPPLSQIPYLGARPIVPEAPAPPNNILFVQNLPHDATAMMLQMLFNQYPGLKDVRMVEAKPGIAFVEYENEMQSTVAMQALQGFKIQQNQMLITYAKK >KJB24834 pep chromosome:Graimondii2_0_v6:4:45535888:45538368:-1 gene:B456_004G163700 transcript:KJB24834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSSFKIEHDFEKRRAEAARIRGKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFIYVIRKRIKLSAEKAIFIFVDGVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFG >KJB24835 pep chromosome:Graimondii2_0_v6:4:45535875:45538005:-1 gene:B456_004G163700 transcript:KJB24835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSSFKIEHDFEKRRAEAARIRGKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFIYVIRKRIKLSAEKAIFIFVDGVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFG >KJB26557 pep chromosome:Graimondii2_0_v6:4:58398000:58402251:-1 gene:B456_004G247100 transcript:KJB26557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSALPSFSSSFLFPLKTQKSFKPPKPLTIICSSQEPKKPSKNPQRPTSRNRKRTPYGTSRRSILKKTFTQEQVKFTAGVSADPQVGIIGGGMAGLLCALSLEKRGVKSTVFDTFFTVSDSRFSKLVDYWLEKGLVREWQGLVGQLELGGRFIPLPSSPPRFIGVNGMRPLADSLLSETSMVNVVRPCWISKLEPFNGMWHLSENGKPRGEFDAIVIAHNGKCANRLLASSGLPLIARQMKRLDLSSIWALLAAFEHHLPMGDGNVTFQGAFVKGVDSVSWMANNSLKLLGSQGNGPHCWTFFSTAAYGKQNKVPQENIPSQTAEKVKTSMLEGVEAALGLPKGSLPRPIYSRVQLWGAALPTNTPGIPCIFDPHGRAGICGDWLLGSNLESAALSGMALANHIADYLQSDGACPEEFAVGLEKEFEAIEGHDIGQFALAGVESSLKQHNEMSAFQLAT >KJB26555 pep chromosome:Graimondii2_0_v6:4:58397639:58402251:-1 gene:B456_004G247100 transcript:KJB26555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVVRPCWISKLEPFNGMWHLSENGKPRGEFDAIVIAHNGKCANRLLASSGLPLIARQMKRLDLSSIWALLAAFEHHLPMGDGNVTFQGAFVKGVDSVSWMANNSLKLLGSQGNGPHCWTFFSTAAYGKQNKVPQENIPSQTAEKVKTSMLEGVEAALGLPKGSLPRPIYSRVQLWGAALPTNTPGIPCIFDPHGRAGICGDWLLGSNLESAALSGMALANHIADYLQSDGACPEEFAVGLEKEFEAIEGHDIGQFALAGVESSLKQHNEMSAFQLAT >KJB26554 pep chromosome:Graimondii2_0_v6:4:58397639:58402262:-1 gene:B456_004G247100 transcript:KJB26554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSALPSFSSSFLFPLKTQKSFKPPKPLTIICSSQEPKKPSKNPQRPTSRNRKRTPYGTSRRSILKKTFTQEQVKFTAGVSADPQVGIIGGGMAGLLCALSLEKRGVKSTVFDTTSMVNVVRPCWISKLEPFNGMWHLSENGKPRGEFDAIVIAHNGKCANRLLASSGLPLIARQMKRLDLSSIWALLAAFEHHLPMGDGNVTFQGAFVKGVDSVSWMANNSLKLLGSQGNGPHCWTFFSTAAYGKQNKVPQENIPSQTAEKVKTSMLEGVEAALGLPKGSLPRPIYSRVQLWGAALPTNTPGIPCIFDPHGRAGICGDWLLGSNLESAALSGMALANHIADYLQSDGACPEEFAVGLEKEFEAIEGHDIGQFALAGVESSLKQHNEMSAFQLAT >KJB26556 pep chromosome:Graimondii2_0_v6:4:58397639:58402301:-1 gene:B456_004G247100 transcript:KJB26556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSALPSFSSSFLFPLKTQKSFKPPKPLTIICSSQEPKKPSKNPQRPTSRNRKRTPYGTSRRSILKKTFTQEQVKFTAGVSADPQVGIIGGGMAGLLCALSLEKRGVKSTVFDTGMHGLGGRMGTRVIDPQQLIFDHAAQFFTVSDSRFSKLVDYWLEKGLVREWQGLVGQLELGGRFIPLPSSPPRFIGVNGMRPLADSLLSETSMVNVVRPCWISKLEPFNGMWHLSENGKPRGEFDAIVIAHNGKCANRLLASSGLPLIARQMKRLDLSSIWALLAAFEHHLPMGDGNVTFQGAFVKGVDSVSWMANNSLKLLGSQGNGPHCWTFFSTAAYGKQNKVPQENIPSQTAEKVKTSMLEGVEAALGLPKGSLPRPIYSRVQLWGAALPTNTPGIPCIFDPHGRAGICGDWLLGSNLESAALSGMALANHIADYLQSDGACPEEFAVGLEKEFEAIEGHDIGQFALAGVESSLKQHNEMSAFQLAT >KJB26558 pep chromosome:Graimondii2_0_v6:4:58399209:58402251:-1 gene:B456_004G247100 transcript:KJB26558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSALPSFSSSFLFPLKTQKSFKPPKPLTIICSSQEPKKPSKNPQRPTSRNRKRTPYGTSRRSILKKTFTQEQVKFTAGVSADPQVGIIGGGMAGLLCALSLEKRGVKSTVFDTGMHGLGGRMGTRVIDPQQLIFDHAAQFFTVSDSRFSKLVDYWLEKGLVREWQGLVGQLELGGRFIPLPSSPPRFIGVNGMRPLADSLLSETSMVNVVRPCWISKLEPFNGMWHLSENGKPRGEFDAIVIAHNGKCANRLLASSGLPLIARQMKRLDLSSIWALLAAFEHHLPMGDGNVTFQGAFVKGVDSVSWMANNSLKLLGSQGNGPHCWTFFSTAAYGKQNKVPQENIPSQTAEKVKTSMLEGVEAALGLPKGSLPRPIYSRVQLW >KJB23832 pep chromosome:Graimondii2_0_v6:4:28487388:28492154:1 gene:B456_004G117100 transcript:KJB23832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFKQLYLRKVFVLVSIILLVSVILVLGVSSATWNKVSSDHYSLQFYDFEIVNEFPHDSTAFTQGLLYAGNDTLFESTGLYRQSSVRKVAIRTGKVEILHKMADSYFGEGLTLLGERLFQVTWLTKIGFIYDRKNLQKLEQFTHEMEDGWGLATDGKILYGSDGTSTLYQIDSQTLKVTTKNVIKFNGQNVRYLNELEYINGEIWANVWQTDCIARISPNNGTILGWILLPSLRGGLLAAGYNGIDVLNGIAWDSSNNRIFVTGKLWPKLYEIKLHPAKKHYNSEDIEKLCLA >KJB24898 pep chromosome:Graimondii2_0_v6:4:46355569:46358423:1 gene:B456_004G166800 transcript:KJB24898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGERGVPNYMLQVSFSSSTPPPQAIHETGFLQFEENQVMSFLSPSQPLTTSPVSNTTNSTTALRFITHNDQVGTLDPIPKAVHDENCGSNVKDGNNNSWWRSSALEKNKVKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHSPCDDSNSSENDGFTSF >KJB26880 pep chromosome:Graimondii2_0_v6:4:59986738:59989150:1 gene:B456_004G264500 transcript:KJB26880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTISNDPPATLIQDLKITTQNVSLVFPSRKTERKKSMFLSNIDKVLNFPVETVHFFPSHEDFPPHVAAEKLKTALSELLVPYDFLAGRLRSNPETGRLQIDCNSAGIGFAVASSDYALDDVGDLVYPNPAFGQLICKSVDSLEQDDQPLCIVQVTSFKCGGFAMGVATNHVTFDGLSFKIFLDNLAAVTAGKPLAVTPCNDRLLLAARSPPCVTFPHHELDKFHDSNPPVIDATSEALDFKVFRLTSDDIARLKEKAKASATGSHVSDARITGFNVVTALVWHCKALSWDNKESNLDRVSTLLYAVNIRPRLIPPLPISYTGNAVLTAYARATCKEIDEAPFSRLVELVTEGAKRMTDEYARSAIDWGDIYQGFPNGEFLVSSWWKLGFDEVEYPWGRPKYSCPVVFQRKDIILFFPDIDDNNGVNVLVSLPSKEMSKFKTLFHKYLA >KJB25660 pep chromosome:Graimondii2_0_v6:4:53295858:53298193:-1 gene:B456_004G203700 transcript:KJB25660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREAVTNTNISTNTTTTTTTTTTTTTAGVTVVGSDAPSDYHIAPRSENSTQNPNPAPGSAPPQIPQQPAAQQVPQPVVVPGMPLKKKRGRPRKYGPDGSVTMALSPKPISTAAPPPVIDFSAGKRAKVKSPISFPKTKYELENLGEWVACSVGGNFAPHIITVNAGEDVTMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEVIHCFSSILDWLQCHFLSCLALKELFIQLLS >KJB25662 pep chromosome:Graimondii2_0_v6:4:53295027:53298193:-1 gene:B456_004G203700 transcript:KJB25662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREAVTNTNISTNTTTTTTTTTTTTTAGVTVVGSDAPSDYHIAPRSENSTQNPNPAPGSAPPQIPQQPAAQQVPQPVVVPGMPLKKKRGRPRKYGPDGSVTMALSPKPISTAAPPPVIDFSAGKRAKVKSPISFPKTKYELENLGEWVACSVGGNFAPHIITVNAGEDVTMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPNDTGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAAGPVQV >KJB25663 pep chromosome:Graimondii2_0_v6:4:53294397:53298443:-1 gene:B456_004G203700 transcript:KJB25663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREAVTNTNISTNTTTTTTTTTTTTTAGVTVVGSDAPSDYHIAPRSENSTQNPNPAPGSAPPQIPQQPAAQQVPQPVVVPGMPLKKKRGRPRKYGPDGSVTMALSPKPISTAAPPPVIDFSAGKRAKVKSPISFPKTKYELENLGEWVACSVGGNFAPHIITVNAGEDVTMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPNDTGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAAGPVQLSSREPT >KJB25659 pep chromosome:Graimondii2_0_v6:4:53294329:53298637:-1 gene:B456_004G203700 transcript:KJB25659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREAVTNTNISTNTTTTTTTTTTTTTAGVTVVGSDAPSDYHIAPRSENSTQNPNPAPGSAPPQIPQQPAAQQVPQPVVVPGMPLKKKRGRPRKYGPDGSVTMALSPKPISTAAPPPVIDFSAGKRAKVKSPISFPKTKYELENLGEWVACSVGGNFAPHIITVNAGEDVTMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPNDTGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAAGPVQVVVGSFLAGNQHEQKPKKQKDESFSVATPVAAVPVSSADPKSNITSSFRSESWSPLPSDSRNKPTDINVSLPGS >KJB25661 pep chromosome:Graimondii2_0_v6:4:53294397:53298443:-1 gene:B456_004G203700 transcript:KJB25661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREAVTNTNISTNTTTTTTTTTTTTTAGVTVVGSDAPSDYHIAPRSENSTQNPNPAPGSAPPQIPQQPAAQQVPQPVVVPGMPLKKKRGRPRKYGPDGSVTMALSPKPISTAAPPPVIDFSAGKRAKVKSPISFPKTKYELENLGEWVACSVGGNFAPHIITVNAGEDVTMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEVIHCFSSILDWLQCHFLSCLALKELFIQLLS >KJB21322 pep chromosome:Graimondii2_0_v6:4:27730867:27731359:1 gene:B456_004G1156001 transcript:KJB21322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRRRLLTLVGEESNIATILGANFSAGFVAGSLAAAATYPLDVTKTRRQIEVFVLQKLADGS >KJB26099 pep chromosome:Graimondii2_0_v6:4:56026224:56028995:1 gene:B456_004G225800 transcript:KJB26099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLIEYNFPRSVRCIAAERRALLDFKKGLTVVANHLVSWTSEEEECCNWIGVGCDNSTGHVVKLDLYWMFTTGEIGYSLLELKHLSHLDLSFNSFHKIPDFIGSLSELTYLDLSYNPLTGIIPHQLGNLSRLLYLDLVPDLFYLHSLKSDNLEWLSHLSSLKSLKIGSTNFTKATNWLQVIQSHPSLSLLHFDLCDFPEVDPSSLSHFNSSNSLSVLHLTSSTLQPSTFPLLLNLSQKFVELDFSDDYLSSSISLSFDNMPALQRINLRGNNLEGGIPKFLGNICNLKELDLRDNKLSGSLGVVVKNLGCAKDSLEALALAGNRLTGALPDLSILSSLRKLSLSGNQLEGPLPVNIGKMSQLELLDVSSNSLHGVFCEVHLFNLTKLKELSISFNSLSFNLSSDWIPPFHLDYIDMRSCKLGPQFPSWLRWQTNFSVMDISDNNISGNMPNWFWNLPSGLMFLNLSFNKISGSIPNLQLEFDDSPFIVLRSNLFHGSIPPFLFNSGVLDLSINMFSGPLSLLCMRMNNGLSYLDLSYNLLVGGIPDCWNKYKSLTAINLENNNLLGVIPNSLGSLQNLKSLRLRNTSLYGEIPHSLKNCTALQLLDLGDNKLTGIIPPWIGERLDRLIVLRLRSNEFHGNIPSTLCRQQFLQVLDLSLNNISGDIPSCLNYLTAMAHFGSSMETISIMGIGYAMDFSGGIGEDFDEHLLVVWKGFEREYGNTLGLLKSIDLSCNKLSGEIPRELASLQGLINLNLSRNMLRGSIIREIGQLKSLDSLDLSTNNLSGEIPESMSELSFLSVLDLSNNKLSGKIPSSTQLQSFNAISYSGNLRLCGEPLRKCPEDEPPKVPNNGGIERSSEGDEGLFEPLWFFIGMTTGFLLVNKLREWIRLRMALMVVKLQRKLGLKE >KJB22319 pep chromosome:Graimondii2_0_v6:4:3509715:3512100:1 gene:B456_004G041000 transcript:KJB22319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRKTEPDLFHHHHPDLSPLPPHHLHIILPSQSQPSISLPQVLLFRPSSPAHSSSDNDDVPADSRQISAQPLGYHNISPEPHISSQFYTFNADSHSLMIRCIREHRLATPAEIRAATPRSVLKSWRAVWKDRNEDTAYLTAWKRIQDKLTAHVDPDSGNEFICFKNNSSQFVSHVNQWQEIVMSFHSDADLKHLGLKETIERIKQVWTVGAKFYGIPESYIRVCVAACPVCNASSGSASRSSKRRRFEYTESFDVPAKEVPHRLQQLAAKYKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPTGKKSRILKREPYASKRCGCGFRIRAIVPIANYNEKDKTFVYQEEGMAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGGFFMDQDIVYGVSEDLDSEGFGLMGKEDEELHLAIFRQVQELRAEIGLLEGRIDKIPHQLLGSVSRELFDILSKVRSLGEEGPNSMELLSDKPHSDDLLVGENDLAHWSDHHDRIYGDGKDAELIEDDEDSFGRTLGDVVPWDQMQTDCRSPKDLISEPCKTDKWLKCADFDEKSILDCEDTKLTKPMRHDDGIVTDVGLVGLQVDSFYQENPKWYESPCELDSSTDCGDGGFRHGEIV >KJB23475 pep chromosome:Graimondii2_0_v6:4:17780523:17781611:-1 gene:B456_004G100500 transcript:KJB23475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSSKPSEGKRFILSSVTFIFFLCALASINEIRFESLFKLGRCALSNAPLPLNSSSEMSTLAPNSSSDDIRILIGILTLPDQYHRRHFLRLIYGTQTPVGAQVDVKFVFCNLTKEDQKVLVALEIMRYDDIIILNCKENMNNGKTYTFFSSLPEIFNSSDRPYPPYHYVMKGDDDTYLRLDKLVESLRPLPREDLYYGYVIPCPSMDPFVHYMSGMGYLVSWDIAEWIRESEIPKNHLEGPEDKVFGEWLRDGHRAKNRFNAKWSMYNYPEPPTRCTHELWPDTIAVHLLKNQEKWIHTLKYFNVTTNLKPSKLYHIP >KJB23446 pep chromosome:Graimondii2_0_v6:4:16406552:16416339:-1 gene:B456_004G098400 transcript:KJB23446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANKNLQKSYFDVLGLCCSSEVPLIENILKSLEGVKEVSVIVPTRTVIVLHDNLLLSQLQIVKALNQARLEANVRAHGEIKYQKKWPSPFAVVCGLLLLLSFLKYVYHPLQWLAVGAVVIGIYPVLFKGFAAITHFRIDINILILIAVIGSVAMKDYTEAGTIVFLFTIAEWLESRASHKASAVMSSLMSITPQKAVIAENGEEVDVDEVKLNTLLAVKAGEAIPIDGIVVDGRCEVDEKSLTGESLPVTKEKDSTVWAGTINLNGYISVKTTAVAEDCVVAKMAKLVEEAQNSKSNTQRFIDKCAQFYTPAIIIVSAAIAVIPTALRVKNIHHWFHLALVVLVSACPCSLILSTPVASFCALTKAATSGLLVKGGDYLETLSKIRITAFDKTGTLTRGEFIVTDFQPLSQDISLDTLLYWVSSIESKSSHPMAAALVDYGRSHSIEPNPETVEDYQNFPGEGIYGRVDGRDIYIGSKKISVRAHGTVPTLEGNMMKGKTIGFVYSGATPAGIFSLSDACRTGVPEAVDELKSMRIKVAMLTGDNQAVAIHVQEQLGNRLDVVHAELLPEDKARIIKDFKKEGATAMIGDGVNDAPALATADIGISMGISGSALATETGHVVLMSNDIRKIPKAIKLARKAHRKVIENVILSISTKTAILALAFAGHPLVWAAVLADVGTCLLVICNSMLLLRGKHKDGRKCCKSSVAAHTNKHGSKASHCDSFHKHQDASLDKKVQKACEPPTCCSERCASRCHSGIFKTDSSSNSRGRDKCEDSRRTHDGSVIREAKYCNQRSCNLVNHKIEAQNLPRKCCSGHGSLDLGKEANATHGVKQCHQGHLHQYSSSTPEKEQQGTKNDHCHSTYCGENHVDIHGNNLTAFENLVEHRCLESLNQTGHLDSHEPTHTAIDITMNPDEVHGCTSVEKRELGGCCKSYMKECCGKHGRFRPGLTEIITE >KJB26863 pep chromosome:Graimondii2_0_v6:4:59887182:59890102:1 gene:B456_004G263800 transcript:KJB26863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLASKRLLGLTSREIPSRPVQILPRLYHENVIDHYNNPRNVGSFDKNDPNVGTGLVGAPACGDVMKLQIKIDEESGKIVDACFKTFGCGSAIASSSVATEWVKGKSMDEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDMELKRAKLKGNSSADAANAPIEKAADA >KJB24832 pep chromosome:Graimondii2_0_v6:4:45523612:45525661:1 gene:B456_004G163500 transcript:KJB24832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSSHLPRWLQVLLTEKFFNACIIHEDAKKNEKNIYCLDCCISICPNCLSPHSSHRLLQIRRYVYHDVIRLDDATRLMDCAFVQSYITNSAKVIFINQRPQTRQFRGSGNFCNTCDRSLQDPYLFCSLSCKVNDLLRTEDGVSKFLFECNFLPLPEAGSEDYGLVTPDSVLEPSGSTGSGGYGEVSLTSTATTEIVRKKRSSLKACRPTCPPTVSEVSGSLMNRRKKTPQRAPLY >KJB23151 pep chromosome:Graimondii2_0_v6:4:10409875:10414473:1 gene:B456_004G083800 transcript:KJB23151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAAGAATPRERVQAMGPAAVVPMMQAVPAVADVLAKDTIISWFRGEFAAANAIIDALCGHLAQLQGGGGEGSEYEAVFAAIHRRRLNWIPVLQMQKYHSIADVTAELKKVTAKKTGGGDGIGKEEMEGGVGGGGEDGGCLDGVKEEEKVAEEVVENEANGEVGGEEEEDSPDSDITDSGSQEIQHVEENIDICSNHEECDARPSQIKLTKGFSAKEHVKGHMVNVVKGLKLYEDVFTESELAKLGDLMSELRSSGQNGELSGETFILFNKQIKGNKRELIQFGVPIFGHIKEELTSNNQTINIEPIPALLQDVIEHLIQWQLIPEYKKPNGCIINFFDEDEYSQPFLKPPHLEQPISTLLLSESTMAFGRTLTSDSEGNYRGPLQLSLKEGSLLVMRGNSSDMARHVMCPSPNKRVSITFFRVRPDINQGQSPPTTPQSGAMTLWQPGVPGPYAMSNGVLSGYEALDTMPKWGVLRAPVVMLAPVRPVVVSPRKLPRGGTGVFLPWTMGSKKHTKHLPPRAQKGRMLALPSAVETHVSESTSEPSNNLKGKSE >KJB25941 pep chromosome:Graimondii2_0_v6:4:58524205:58525088:-1 gene:B456_004G248200 transcript:KJB25941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVRIADLRPGDHIFSDRKSRLYFHHGIYVGDQMVIHLMGPSKTYNLRPCERCGFKPQAGIFITCLDCFLNGHSLYRYEYDISYLRLAFKRSGSCSTWDCRPANEVVETAYRLLEDKSFGSYNFFLNNCEDFAVHCKTGMAMSNQTARLFAFKLVGAVGYHATKVIYEALTTKDQLRTHQP >KJB24720 pep chromosome:Graimondii2_0_v6:4:45030137:45032852:1 gene:B456_004G160500 transcript:KJB24720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGILAMANSGPNTNGSQFFITYAKQPHLNGLYTVFGKVIHGFEVLDLMEKTQTGAGDRPLAEIRLNRVTIHANPLAG >KJB24718 pep chromosome:Graimondii2_0_v6:4:45030112:45032898:1 gene:B456_004G160500 transcript:KJB24718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLHTNLGDIKCEIFCDEVAKTAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGILAMANSGPNTNGSQFFITYAKQPHLNGLYTVFGKVIHGFEVLDLMEKTQTGAGDRPLAEIRLNRVTIHANPLAG >KJB24719 pep chromosome:Graimondii2_0_v6:4:45030137:45032223:1 gene:B456_004G160500 transcript:KJB24719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLHTNLGDIKCEIFCDEVAKTAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGILAMANSGPNTNGSQFFITYAKQPHLNGLYTVFGKVIHGFEVLDLMEKVRCASTSI >KJB24341 pep chromosome:Graimondii2_0_v6:4:39574008:39590545:-1 gene:B456_004G140600 transcript:KJB24341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDESHTQIQRRLCDYCNQSTALLYCRADSAKLCFSCDREVHSANQLFTKHSRSQLCDACDESPASLFCETEQSVFCSNCDWERHRCSLHNRRPVEVFTGCPSVSELLSFLGIEDLGNDKASCWSEDDGFLDFLTWECPLISGFDGVIVPNDMDHGFKATDVPPLPKNRNSSCGQHKEEIFHQLHELAKSDPTLTFQKIDLQSLIPETDHFQPASMQPSCNNDADPVSCPALETSPLQCLKFNDNVEITNQALLYTEENARVANTHVDCSRNIDVNDGPEDQQMKPQTGVGTISALPKVSVHELNSQERETAISRYKEKKKTRRYDKHVRYESRKARAESRTRIKGRFAKVKR >KJB22914 pep chromosome:Graimondii2_0_v6:4:8035645:8036452:1 gene:B456_004G073300 transcript:KJB22914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCGSPCGACKFLRRKCVRGCVFAPYFCHEQGATHFAAIHKVFGASNVSKLLAHLPLSDRSEAALTISYEAQARLHDPIYGCVSHIFALQQQVVNLQAQLASLKAQAAQSIANGSVTANPNDKYHGKLHNLQDVQTWFHPNDSSMAPNFNPNLSTSSYGLSDRTSSLGNFENSVISSGSDDYVSFNTNFEDAPRSMSPFDMQTHNRQWTNFQDVDDLQAMALGYAQHS >KJB25055 pep chromosome:Graimondii2_0_v6:4:47684556:47687227:-1 gene:B456_004G174500 transcript:KJB25055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRMQSLNAQAPLLPGDHQEDYNLKDTSPQLGERWPNGGTFGGRGWMNGGDRFTSTYDLVEQMFYLYVRVVKAKELPPSSLTGSCDPYVEVKLGNYKGRTKHFDRKSNPEWNQVFAFSKDRVQSSLLEVFVKDKEMAGRDDYVRRVAFDLNEVPTRVPPDSPLAPQWYRLEDRRGEGKVRGEVMLAVWMGTQADEAFTEAWHADAASVHGEGVFNIRSKVYVSPKLWYLRVNVIEAQDVVANDRSRLPDVFVKAQIGNQVLRTKICPTRTPNPLWNEDLVFVTAEPFEEQLLITVEDRVHPSKEDVLGKISLPLNEFEKRQDHRPVNSRWFNLEKYGFGVMEGDRRKEHKFSSRIHLRVCLEGGYHVLDESTMYISDQRPTAKQLWKQPVGILEVGILGAQGLLPMKMKDGRGSTDAYCVAKYGQKWVRTRTIMETFNPRWNEQYTWEVYDPCTVITLGVFDNSHLGGNSGGGSNAGRDARIGKVRIRLSTLEAHRTYTHSYPLLVLHPHGLKKMGELQLAIRFTTLSLANMIYIYGQPLLPKMHYLHPFTVNQVDNLRYQAMNIVARRLGRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMSLVSGMVAIGQWFGSVCYWKNPITSVLVHILFLILVWYPELILPTLCFYMFLVGLWNYRYRPRYPPHMDTKLSWAESVNPDELDEEFDTFPTCRPHDVIRMRYDRLRSVAGRIQTVVGDIATQGERFESLLGWRDPRATSLFIVFCVCAAVVLYATPFRVVALVGGLYYLRHPRFRSKLPSVPSSFFKRLPARTDSLL >KJB23784 pep chromosome:Graimondii2_0_v6:4:27371596:27372003:-1 gene:B456_004G114900 transcript:KJB23784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTSFGVSNSVAQEKECSGNIDCASKCKAESLGICELKIRKFNCLPTPKVVAKVVLMVDAKCKKDVDCAKVCPPGCKIHNCINGTCFCE >KJB23339 pep chromosome:Graimondii2_0_v6:4:14085817:14087600:1 gene:B456_004G093900 transcript:KJB23339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLFPALSPFATTLPVFLAVENSSSMMVLAIIVLLFRYT >KJB26637 pep chromosome:Graimondii2_0_v6:4:58973496:58975208:-1 gene:B456_004G252100 transcript:KJB26637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTWISKSGNKSKSSSRLLVVLTSSNSQQDCKTLENLDDYKAKCSSLKLNNPCVSQGYIDYLYFFYCNFGTCPLLGHCLLILWLLVLFYLLGNTASEYFCYSLESLSSLLKLSPTLAGVTLLSLGNGAPDVFSSIVSFTDSGTQDIGLNTVLGGAFFVTCVVVGTISTLVHHKHVQVNKPAFVRDVCYLLTVIATLILMLAYGKISLWGAIAFASMYMVYVTLVYVMYVVWNSGDMDKTDSDSSLNVPILNRIEKVELGYVEEGNVKDDHEKGVCLRTSSSCKLLLWILEMPLYLPRRLTIPIACQERWSKPIAVVSVTLAPILLSILWDLQDDNVNLSLTFNSGLVYGTGVFAGTVFGVLAYLKTEKSSPPKNCLLPWLAGGFFMSVVWSYIIAQELVSLLISLGYIIGINQAILGLTVLAWGNSLGDLITNLTMALNGGPIGAQVAISGCYAGPIFNTLFGLGMSLIGSAWYGYPSPVEIPKDTHLLETLGFLIAALIWALLVLPLRHMKLDGVLGGGLFLIYFTSMSLRFIQVVRDPLPNTVSIT >KJB24940 pep chromosome:Graimondii2_0_v6:4:46695583:46698982:1 gene:B456_004G169000 transcript:KJB24940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENANSRQVTFSKRRAGLLKKAKELAILCDAEVAVIIFSNTGKLFEFSSSGMKKTFSRYNKCLQGSTEMALVEHKAEKQVCKEADNLKDEVAKLQMKQLQLLGKNLTSVSLKELEVLEQQLSEGLLSVKEKKEQLLMEQLEQSRLQEQRVMLENETLRRQVEELRGFFPSTDHLVRSYLEYYPVERKNSLMSHNVRGPDVTCACNLEKGDSDTTLYLGLPSDHHKRKKPERESHSNDSESQ >KJB22813 pep chromosome:Graimondii2_0_v6:4:6878761:6879450:1 gene:B456_004G066900 transcript:KJB22813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWMKPEVYPLVGAMAFVTSMCIFQLTRNVFLNPAVRINKSDRSQAVLENYEEGEKYAEHGLRKFLRTRPPEVMPAINHFFSEDK >KJB22212 pep chromosome:Graimondii2_0_v6:4:2903262:2909842:1 gene:B456_004G035500 transcript:KJB22212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVDGLPFSLNSSSSSSSSSKSQPSDLLVALMEHQVLVSATHSFKSIQERKVSASMDSKLITSSNSSRYVYLFQREYATVDPSLVHYVGTDEATTCVGVVIRNRKNGMTSVSHMDSPKIVDIGFYQMLALVVDHNLDAGLDVHLVGAFEDVSPNHASGRSISQGKRDGYSIPLCRKIVETMQKRPEKFHVKTLCVLRHNTKYDSQGNALPIFNGLLVETSSGTLIPASFDKTSRCPDEIVRRLRVSSSHEDPSWQGKLLDTYDTKTDRFIIAPCSWTKRLVQIVLSLQSLSDSEILASCSTSPLAEGPEFVDNERRLWNYLIKNPDWRTTFPMRQPRVFERTGDGGWKRAGLSSQ >KJB22210 pep chromosome:Graimondii2_0_v6:4:2903366:2909815:1 gene:B456_004G035500 transcript:KJB22210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVDGLPFSLNSSSSSSSSSKSQPSDLLVALMEHQVLVSATHSFKSIQERKVSASMDSKLITSSNSSRYVYLFQREYATVDPSLVHYVGTDEATTCVGVVIRNRKNGMTSVSHMDSPKIVDIGFYQMLALVVDHNLDAGLDVHLVGAFEDVSPNHASGRSISQGKRDGYSIPLCRKIVETMQKRPEKFHVKTLCVLRHNTKYDSQGNALPIFNGLLVETSSGTLIPASFDKTSRCPDEIVRRLRVSSSHEDPSWQGKLLDTYDTKTDRFIIAPCSWTKRLVQIVLSLQSLSDSEILASCSTSPLAEGPEFVDNERRLWNYLIKNPDWRTTFPMRQPRVFERTGDGGWKRAGLSSQ >KJB22211 pep chromosome:Graimondii2_0_v6:4:2903366:2908345:1 gene:B456_004G035500 transcript:KJB22211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVDGLPFSLNSSSSSSSSSKSQPSDLLVALMEHQVLVSATHSFKSIQERKVSASMDSKLITSSNSSRYVYLFQREYATVDPSLVHYVGTDEATTCVGVVIRNRKNGMTSVSHMDSPKIVDIGFYQMLALVVDHNLDAGLDVHLVGAFEDVSPNHASGRSISQGKRDGYSIPLCRKIVETMQKRPEKFHVKTLCVLRHNTKYDSQGNALPIFNGLLVETSSGTLIPASFDKTSRCPDEIVRRLRVSSSHEDPSWQGKLLDTYDTKTDRFIIAPCSWTKRLVQIVLSLQSLSDSEILASCSTSPLAEGPEFVDNERRSAHL >KJB22615 pep chromosome:Graimondii2_0_v6:4:5547338:5548478:-1 gene:B456_004G057800 transcript:KJB22615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCSGMASAASRFLLMPNLTGTAASKTNNISMLFSPSRNNYYNSSRLVVRAAEEEASPPAPTPATTTAPPEGGEAPKPKPPPIGPKRGTKVRILRRESYWYNGYGSVVTVDQVYFYF >KJB22614 pep chromosome:Graimondii2_0_v6:4:5547048:5548635:-1 gene:B456_004G057800 transcript:KJB22614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCSGMASAASRFLLMPNLTGTAASKTNNISMLFSPSRNNYYNSSRLVVRAAEEEASPPAPTPATTTAPPEGGEAPKPKPPPIGPKRGTKVRILRRESYWYNGYGSVVTVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIEEVK >KJB22616 pep chromosome:Graimondii2_0_v6:4:5547051:5548571:-1 gene:B456_004G057800 transcript:KJB22616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCSGMASAASRFLLMPNLTGTAASKTNNISMLFSPSRNNYYNSSRLVVRAAEEEASPPAPTPATTTAPPEGGEAPKPKPPPIGPKRGTKDPKTRYPVVVRFNKVNYANVSTNNYALDEIEEVK >KJB22872 pep chromosome:Graimondii2_0_v6:4:7596733:7597425:-1 gene:B456_004G070600 transcript:KJB22872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCDSASDFDLSLLDSIRQYLLEDDFDTIPGQVVENLRKNELHDDAINVDQWINFDQLFDAAEETDAVNNASFPSFEVSFQMESTAAVPKPHAPPKMFNYRGVRRRPWGTYAAEIRDPKRNGARIWLGTYETPEGAALAYDRTAFKMRGAKAKLNFPHLIGSDQVEPVRVSSKKRRSAEPPSSCSWAQWPSSPWDDGTPKSKRRRSEIKTESEVDMYQLMPTEFCQTLIC >KJB23746 pep chromosome:Graimondii2_0_v6:4:25914418:25914602:-1 gene:B456_004G112900 transcript:KJB23746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFNSFPFIFVPLVGLVFPAIAMASLFLYVQKTSIFLIFRKKIEGGKLRK >KJB22984 pep chromosome:Graimondii2_0_v6:4:8872142:8873936:-1 gene:B456_004G076900 transcript:KJB22984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYFPVKEEYPNSSYSQSDDDPPLMMMGPPPPQPMESLRDSGPPPFLTKTFDIVDDPSTNYIVSWSRGGSSFVVWDPHAFSTNLLPRYFKHNNFSSFVRQLNTYGFRKTDPDKWEFANEGFLRGHKHLLKNIRRRKTTSQPPPSQQPLGPCVEVGRFGVDGEVGRLKRDKQVLMMELVKLRQQQQSTRAYIEAMEERLQGTEKKQQQMMSFLARAMQNPAFLRQLMQQKEKRKELEEAMSKKRRRPIDQRHVGQSSRGSEGINPVKTEPPEYGEYGYQVTELEALALEMQGYGRARREQEEPQDELQHGHGHDRELDEGFWEELLNERFEGELDIPEGGEGEDEDVNVLADRLGYLGSSPK >KJB22985 pep chromosome:Graimondii2_0_v6:4:8871262:8874372:-1 gene:B456_004G076900 transcript:KJB22985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYFPVKEEYPNSSYSQSDDDPPLMMMGPPPPQPMESLRDSGPPPFLTKTFDIVDDPSTNYIVSWSRGGSSFVVWDPHAFSTNLLPRYFKHNNFSSFVRQLNTYGFRKTDPDKWEFANEGFLRGHKHLLKNIRRRKTTSQPPPSQQPLGPCVEVGRFGVDGEVGRLKRDKQVLMMELVKLRQQQQSTRAYIEAMEERLQGTEKKQQQMMSFLARAMQNPAFLRQLMQQKEKRKELEEAMSKKRRRPIDQRHVGQSSRGSEGINPVKTEPPEYGEYGYQVTELEALALEMQGYGRARREQEEPQDELQHGHGHDRELDEGFWEELLNERFEGELDIPEGGEGEDEDVNVLADRLGYLGSSPK >KJB26167 pep chromosome:Graimondii2_0_v6:4:56530616:56531676:1 gene:B456_004G229900 transcript:KJB26167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQRSFNDATPVWRSWNECVVGDDGCCNVGCWAFVREDSCCGTKAGKETAAAALRRKGFAGFIGLG >KJB22137 pep chromosome:Graimondii2_0_v6:4:2587371:2589697:-1 gene:B456_004G0316001 transcript:KJB22137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSSSGSMKVSPLDLMSAIIKAKMDPSNASADSAAEMATMLLENKEFVMILTTSIAVLVGCVVVLVWRRSGSQKPKQITPPKPLIVKDRENEVDDGKKKVTIFFGTQTGTAEGFAKALVEEAKARYDKATFKVVDLDDYAADDDEYEEKMKKESLAFFFLATYGDGEPTDNAARFYKWFTEGKERGEWLQNMKYGVFGLGNRQYEHFNKVAKVVDEILTEQGAKRLVPVGLGDDDQCIEDDFTAWRESVWPELDQLLRDDDDATTVSTPYTAAVLEYRVVFYDPANAPVKDKNWNNANGHTVYDAQHPC >KJB22135 pep chromosome:Graimondii2_0_v6:4:2587371:2589428:-1 gene:B456_004G0316001 transcript:KJB22135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSSSGSMKVSPLDLMSAIIKAKMDPSNASADSAAEMATMLLENKEFVMILTTSIAVLVGCVVVLVWRRSGSQKPKQITPPKPLIVKDRENEVDDGKKKVTIFFGTQTGTAEGFAKALVEEAKARYDKATFKVVDLDDYAADDDEYEEKMKKESLAFFFLATYGDGEPTDNAARFYKWFTEGKERGEWLQNMKYGVFGLGNRQYEHFNKVAKVVDEILTEQGAKRLVPVGLGDDDQCIEDDFTAWRESVWPELDQLLRDDDDATTVSTPYTAAVLEYRVVFYDPANAPVKDKNWNNANGHTVYDAQHPC >KJB22136 pep chromosome:Graimondii2_0_v6:4:2587371:2589576:-1 gene:B456_004G0316001 transcript:KJB22136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSSSGSMKVSPLDLMSAIIKAKMDPSNASADSAAEMATMLLENKEFVMILTTSIAVLVGCVVVLVWRRSGSQKPKQITPPKPLIVKDRENEVDDGKKKVTIFFGTQTGTAEGFAKALVEEAKARYDKATFKVVDLDDYAADDDEYEEKMKKESLAFFFLATYGDGEPTDNAARFYKWFTEGKERGEWLQNMKYGVFGLGNRQYEHFNKVAKVVDEILTEQGAKRLVPVGLGDDDQCIEDDFTAWRESVWPELDQLLRDDDDATTVSTPYTAAVLEYRVVFYDPANAPVKDKNWNNANGHTVYDAQHPC >KJB25031 pep chromosome:Graimondii2_0_v6:4:47464358:47466788:-1 gene:B456_004G173100 transcript:KJB25031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHQQPSITFLNELQTSLYITVIGTLLLQINLRISFTRISMGSLPDQPHFVLFPFMAQGHLIPMVDIGRLLAQRDVIVTIVTTPHNAGRVQNTIARAIESGLPIRLVQLQFPGKEVGLQDGVENVDMLYSREDFFDFFTAANKMEEAVQQLFEKLTPRPNCIISDICLYYTHKIATKFQVPRISFHGFCCFCLLCLHNIRSSQILETITSDSEYFTVPGLTEKIEFTKAQLPLIRDEPKDTVEPMIEADRASYGVVINTFEELESTYVKEYRKIKKAWCIGPVSLSHKDELDKAERGNKASISEQQCLKWLDSQQPNSVIYACLGSISTVKCPELIELGLGLEASNKPFIWVLRGNDTTSNQVEKWIKEDGFEERIKGRGLVVVGWAPQVLILSHPAIGGFLTHCGWNSTIEGISVGVPLITLPLFADQFSNEKLVVQVLKIGVSLGIGKPTMFGDEKSGFMLKKEDVKNAIAQLMDEGNEGIERRKRAKEFGEKAKKAVEVGGSSYINMTLLVQDIIQQSSKICLD >KJB27027 pep chromosome:Graimondii2_0_v6:4:60725472:60729150:1 gene:B456_004G272800 transcript:KJB27027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGLSTIQQTLTPEAATVLNHSIAEASRRNHGQTTPLHVAAMLLSSPAGFLRQACIKSHPSSSHPLQCRALELCFSVALERLPTSQSADNGGTGLDPPISNALMAALKRAQAHQRRGCPEQQQPLLAVKVELEQLIISILDDPSVSRVMSEASFSSPAVKSAIEQSLNGKNPTGTIRLGFKPAVAAPSVNRNLYLNPRLQQKADSQSGHQRNEDVQKVFDILTKRKKRNPVLVGEPAPESVVQEILRMIQGKEIDGIFKNVEVVHLWKDLALDKPQMVAKIKELGTQIGAKIGNLDFGGVILDLGDLKWLVESNQPIGAQRLSLLEPGGAAAVAEMAKLQRFGEGNGRLWLIGTATCETYLRCQVYYPSMENDWDLQAVPVASKMLSRFGSNGILNGSVESLSSMNGFVTTAARPRQLSENFDPTRKQGCCPQCMQNYEQEVAKLLAAKEFEKPLSDANSKSTQPALPQWLQNARVHDDQAQKIQELQKKWNDTCLHLHPKFHQSGLSSDQFAPMALSMTSLVPFQPKLTLNRCSGETLQRIPNLVASQPGSPVRTDLVLGRPKITETELERTHKECVRDFLGCLPSEPWNKLQDLKSNKLLNALDADSFKKLLKGLTEKVWWQQDAASAVAAAVTRCKLDNGKRQGAGSKGDVWLLFSGPDRVGKKKMASVLSNQVCGADPVVIGLGSRRDDGESDLSFRGKTVLDRIAEAVKRNPFSVVMLEDIDEANMLVRGGIKQAMERGRLTDSHGREISLGNVIFILTANWLPDNLKFSSNGISLDETKLTCIASGGWQLRLSVLEKTSKRRACWLHEASRATKPRKDTSSPLCFDLNEATNVEDGSHHSSDLTVNHEEHGLANRLSSNSTSSIPRELLDSVNDAIVFKPVDFGPIRRNISNNITKRFSSIIGERVSIEIIDDALEKIMSGVWIGRTGLEEWIEKTLVPSLQRLKTQFPVSDESLVVRLELDDESCERSHGDWLPSSVKVVLDAFS >KJB27028 pep chromosome:Graimondii2_0_v6:4:60725738:60729114:1 gene:B456_004G272800 transcript:KJB27028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGLSTIQQTLTPEAATVLNHSIAEASRRNHGQTTPLHVAAMLLSSPAGFLRQACIKSHPSSSHPLQCRALELCFSVALERLPTSQSADNGGTGLDPPISNALMAALKRAQAHQRRGCPEQQQPLLAVKVELEQLIISILDDPSVSRVMSEASFSSPAVKSAIEQSLNGKNPTGTIRLGFKPAVAAPSVNRNLYLNPRLQQKADSQSGHQRNEDVQKVFDILTKRKKRNPVLVGEPAPESVVQEILRMIQGKEIDGIFKNVEVVHLWKDLALDKPQMVAKIKELGTQIGAKIGNLDFGGVILDLGDLKWLVESNQPIGAQRLSLLEPGGAAAVAEMAKLQRFGEGNGRLWLIGTATCETYLRCQVYYPSMENDWDLQAVPVASKMLSRFGSNGILNGSVESLSSMNGFVTTAARPRQLSENFDPTRKQGCCPQCMQNYEQEVAKLLAAKEFEKPLSDANSKSTQPALPQWLQNARVHDDQAQQKIQELQKKWNDTCLHLHPKFHQSGLSSDQFAPMALSMTSLVPFQPKLTLNRCSGETLQRIPNLVASQPGSPVRTDLVLGRPKITETELERTHKECVRDFLGCLPSEPWNKLQDLKSNKLLNALDADSFKKLLKGLTEKVWWQQDAASAVAAAVTRCKLDNGKRQGAGSKGDVWLLFSGPDRVGKKKMASVLSNQVCGADPVVIGLGSRRDDGESDLSFRGKTVLDRIAEAVKRNPFSVVMLEDIDEANMLVRGGIKQAMERGRLTDSHGREISLGNVIFILTANWLPDNLKFSSNGISLDETKLTCIASGGWQLRLSVLEKTSKRRACWLHEASRATKPRKDTSSPLCFDLNEATNVEDGSHHSSDLTVNHEEHGLANRLSSNSTSSIPRELLDSVNDAIVFKPVDFGPIRRNISNNITKRFSSIIGERVSIEIIDDALEKIMSGVWIGRTGLEEWIEKTLVPSLQRLKTQFPVSDESLVVRLELDDESCERSHGDWLPSSVKVVLDAFS >KJB21559 pep chromosome:Graimondii2_0_v6:4:99301:101886:-1 gene:B456_004G001200 transcript:KJB21559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTIGSQLQTCKPSNGMLGNPAQNGATILDSNAPPSVVAADATLGRHLARRLVEIGVKDVFSVPGDFNLTLLDHLIAEPGLKNIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSIINAIAGAYSENLPVICIVGGPNTNDYGTNRILHHTIGLSDFSQELRCFQTVTCYQAVVNNLEDAHEQIDRAISTSLKESKPVYISISCNLPAIPHPTFTREPIPFSLSPRSSNKMGLEAAVEAATTFLNKAVKPVIIGGPKLRVAKACEAFVELADACGYPIGVMPSAKGLVPEHHSRFIGTYWGAVSTSFCAEIVESADAYLFVGPIFNDYSSVGYSLLLKKEKAIVVQPDRVVIANGPAFGCVLMKDFLQALAKKVNRNPTAFENYQRIFIPDGVPTKSDPKEPLRVNIMFQHVQKMLSSSTAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQSVPDKRVISCIGDGSFQVTAQDVSTMLRCEQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVEAIHNGEGNCWTKKVRNEGELIEAIGTATGEKKDCLCFIEVIVHKDDTSKELLEWGSRVCAANSRPPNPQ >KJB24969 pep chromosome:Graimondii2_0_v6:4:46967961:46970973:-1 gene:B456_004G170200 transcript:KJB24969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVVEGENKEGRKEDGKERVDEVVEQEKEGEKLDLEKGPVGAVVVEDSKGLERFEDERDHFHVSMLQRLNPTNPLRIVINGGTRVATPSPSQSSRFQPTPPPPRSQPRSTPTPQPSVTTLNSRRFTNKLALLLYLVHKVAAIALVCFLIFKGIQGLIDGSNPAKRKEERVLKYLLPQVEAASLLSITLAFAWQKALREWPQIMVYFILWCTFFMSLSAGILLICFQKPATDGVGVCFIAFAIGNGLYACWVSQRVGFCYKVLLKSLEPVDKFRDLNQPAYWMLGAGFLWMSLWILAVVGALNFYYPALVIIALLLSLCWTTEVMRNVVNLTVSRVIALYYLRGMQSDTQFCFQRALTRNLGSACLGSLFVPAIEAMRIIARGLNLLEGEDEFMFSCAHCCLNVMQSIFRYGNGWAYVQIAAYGKGFVRASQDTWALFEREEMEPIVDSDMMSAICFLTGVCSGSICVIVVAAWTAKVHQPFTATISLLAFFIGYLMTRIAMALPHACVSCYYVCYAENPENRLFDKTIKERLELIKAGRDVVVPTPRVPRRFTR >KJB24971 pep chromosome:Graimondii2_0_v6:4:46968715:46970954:-1 gene:B456_004G170200 transcript:KJB24971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVVEGENKEGRKEDGKERVDEVVEQEKEGEKLDLEKGPVGAVVVEDSKGLERFEDERDHFHVSMLQRLNPTNPLRIVINGGTRVATPSPSQSSRFQPTPPPPRSQPRSTPTPQPSVTTLNSRRFTNKLALLLYLVHKVAAIALVCFLIFKGIQGLIDGSNPAKRKEERVLKYLLPQVEAASLLSITLAFAWQKALREWPQIMVYFILWCTFFMSLSAGILLICFQKPATDGVGVCFIAFAIGNGLYACWVSQRVGFCYKVLLKSLEPVDKFRDLNQPAYWMLGAGFLWMSLWILAVVGALNFYYPALVIIALLLSLCWTTEVMRNVVNLTVSRVIALYYLRGMQSDTQFCFQRALTRNLGSACLGSLFVPAIEAMRIIARGLNLLEGEDEFMFSCAHCCLNVMQSIFRYGNGWAYVQIAAYGKGFVRASQDTWALFEREEMEPIVDSDMMSAICFLTGVCSGSICVIVVAAWTAKVHQPFTATISLLAFFIGYLMVSISYWIIPNF >KJB24970 pep chromosome:Graimondii2_0_v6:4:46968159:46970954:-1 gene:B456_004G170200 transcript:KJB24970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVVEGENKEGRKEDGKERVDEVVEQEKEGEKLDLEKGPVGAVVVEDSKGLERFEDERDHFHVSMLQRLNPTNPLRIVINGGTRVATPSPSQSSRFQPTPPPPRSQPRSTPTPQPSVTTLNSRRFTNKLALLLYLVHKVAAIALVCFLIFKGIQGLIDGSNPAKRKEERVLKYLLPQVEAASLLSITLAFAWQKALREWPQIMVYFILWCTFFMSLSAGILLICFQKPATDGVGVCFIAFAIGNGLYACWVSQRVGFCYKVLLKSLEPVDKFRDLNQPAYWMLGAGFLWMSLWILAVVGALNFYYPALVIIALLLSLCWTTEVMRNVVNLTVSRVIALYYLRGMQSDTQFCFQRALTRNLGSACLGSLFVPAIEAMRIIARGLNLLEGEDEFMFSCAHCCLNVMQSIFRYGNGWAYVQVVKQVMNFTSELLLICLD >KJB26165 pep chromosome:Graimondii2_0_v6:4:56205929:56210256:1 gene:B456_004G227700 transcript:KJB26165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASASKIQEDKALQLCRERKKYVRQALDGRCLLAAAHVAYIETLKSSGTALRKFVELEAPVESSLYTSTNATPEPLALTEKSLSHFSYSSPSFSRVDAPETFSPSPSPPTSSHFQVNHMRFGVTSSRKVEEKPPLPDIKLVTSSSTPQSTTPRSTEKPESSPFEASSLPSGTPEWDFFGLWHPIDHQLSFQEGKEVNQGPEAINDFRRHREEEVVTELEDEEGSSLHDREETQGSEDEFDDEPPADTLVRSFENLNRVNDHKIVNGPSAIPSVGSVASETDFVSREKNNSPNLSPLRGTPSSVSIPIEIKKMPVKEDASENKVAPKDFLSVMKEIEFHFVKASDSGKEVPRMLEANKLHFRPIFPGKGGGLMASAFFRACFSCGEDPSQVKEEPPQSDVKYLTWHRTTSSSSSGNPLGLNSKDDVEELHTNIFYNTCMFSGSHASTLDRLYAWERKLYDEVKASEAVRSEYDLKCKMLRQLESRAESPSKIDKTRAVVKDLHSRIRVAIQRIHSISKRIEDLRDNELQPQLEELIEGLSRMWEVMSECHRRQFQIISVANKNGIMRISVLPESHRHITAHLEHELSLLCSSFTKWIGALKAYLKAINSWLSKCVTIGTSLKRSKKKNIETQITQLLRLRGPPIYVTCGCWYDELEALEPSTKQVSDSIKRLAADTSGFLPHQEKNEGKNAKRPHEASGNDSNIDSAINMSRDEAMKESTSASNEISDSAYNMFRNDALKDSFETSLVLFIGQLKRFAEASVKMYAELDRDIRQAKHNYEISVKGVEVANEENNAESNNQSGSDQQPKPQV >KJB26166 pep chromosome:Graimondii2_0_v6:4:56204967:56210256:1 gene:B456_004G227700 transcript:KJB26166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASASKIQEDKALQLCRERKKYVRQALDGRCLLAAAHVAYIETLKSSGTALRKFVELEAPVESSLYTSTNATPEPLALTEKSLSHFSYSSPSFSRVDAPETFSPSPSPPTSSHFQVNHMRFGVTSSRKVEEKPPLPDIKLVTSSSTPQSTTPRSTEKPESSPFEASSLPSGTPEWDFFGLWHPIDHQLSFQEGKEVNQGPEAINDFRRHREEEVVTELEDEEGSSLHDREETQGSEDEFDDEPPADTLVRSFENLNRVNDHKIVNGPSAIPSVGSVASETDFVSREKNNSPNLSPLRGTPSSVSIPIEIKKMPVKEDASENKVAPKDFLSVMKEIEFHFVKASDSGKEVPRMLEANKLHFRPIFPGKGGGLMASAFFRACFSCGEDPSQVKEEPPQSDVKYLTWHRTTSSSSSGNPLGLNSKDDVEELHTNIFYNTCMFSGSHASTLDRLYAWERKLYDEVKASEAVRSEYDLKCKMLRQLESRAESPSKIDKTRAVVKDLHSRIRVAIQRIHSISKRIEDLRDNELQPQLEELIEGLSRMWEVMSECHRRQFQIISVANKNGIMRISVLPESHRHITAHLEHELSLLCSSFTKWIGALKAYLKAINSWLSKCVTIGTSLKRSKKKNIETQITQLLRLRGPPIYVTCGCWYDELEALEPSTKQVSDSIKRLAADTSGFLPHQEKNEGKNAKRPHEASGNDSNIDSAINMSRDEAMKESTSASNEISDSAYNMFRNDALKDSFETSLVLFIGQLKRFAEASVKMYAELDRDIRQAKHNYEISVKGVEVANEENNAESNNQSGSDQQPKPQV >KJB23956 pep chromosome:Graimondii2_0_v6:4:32815870:32818917:-1 gene:B456_004G125600 transcript:KJB23956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGETQMTPTQVSDEEANLFAMQLTSASVLPMVLKSAIELDLLEIMAKAGPGAFLSPKELASQLPTSNPDAPVMLDRILRLLATYSILTCSLRTLPDGKVERLYGLGPVCKFVTKNEDGVTLSALSLMNQDKVLMESWYYLKDAVLEGGIPFNKAYGMTAFEYHGTDPRFNKVFNRGMSDHSTITMKKILETYDGFEGLKTLVDVGGGTGATLNMIVTKHPSIKGINFDLPHVIEDAPAYPGMTLSMFP >KJB23958 pep chromosome:Graimondii2_0_v6:4:32815870:32818921:-1 gene:B456_004G125600 transcript:KJB23958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGETQMTPTQVSDEELPTSNPDAPVMLDRILRLLATYSILTCSLRTLPDGKVERLYGLGPVCKFVTKNEDGVTLSALSLMNQDKVLMESWYYLKDAVLEGGIPFNKAYGMTAFEYHGTDPRFNKVFNRGMSDHSTITMKKILETYDGFEGLKTLVDVGGGTGATLNMIVTKHPSIKGINFDLPHVIEDAPAYPGVEHVGGDMFESVPKGDAIFMKWICHDWSDEHCSKFLKKCYEALPDSGKVIVAECILPDYPDPSLATKLVVHIDCIMLAHNPGGKERTEKEFEALARSAGFQGFQVKCCAFGTYIMEFVKRV >KJB23954 pep chromosome:Graimondii2_0_v6:4:32815856:32819013:-1 gene:B456_004G125600 transcript:KJB23954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGETQMTPTQVSDEEANLFAMQLTSASVLPMVLKSAIELDLLEIMAKAGPGAFLSPKELASQLPTSNPDAPVMLDRILRLLATYSILTCSLRTLPDGKVERLYGLGPVCKFVTKNEDGVTLSALSLMNQDKVLMESWYYLKDAVLEGGIPFNKAYGMTAFEYHGTDPRFNKVFNRGMSDHSTITMKKILETYDGFEGLKTLVDVGGGTGATLNMIVTKHPSIKGINFDLPHVIEDAPAYPGVEHVGGDMFESVPKGDAIFMKWICHDWSDEHCSKFLKKCYEALPDSGKVIVAECILPDYPDPSLATKLVVHIDCIMLAHNPGGKERTEKEFEALARSAGFQGFQVKCCAFGTYIMEFVKRV >KJB23957 pep chromosome:Graimondii2_0_v6:4:32815870:32818917:-1 gene:B456_004G125600 transcript:KJB23957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGETQMTPTQVSDEEANLFAMQLTSASVLPMVLKSAIELDLLEIMAKAGPGAFLSPKELASQLPTSNPDAPVMLDRILRLLATYSILTCSLRTLPDGKVERLYGLGPVCKFVTKNEDGVTLSALSLMNQDKVLMESWYYLKDAVLEGGIPFNKAYGMTAFEYHGTDPRFNKVFNRGMSDHSTITMKKILETYDGFEGLKTLVDVGGGTGATLNMIVTKHPSIKGINFDLPHVIEDAPAYPGVEHVGGDMFESVPKGDAIFMKVMLRSFAGQRKSNCCRMYSPRLPRS >KJB23955 pep chromosome:Graimondii2_0_v6:4:32816550:32818853:-1 gene:B456_004G125600 transcript:KJB23955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGETQMTPTQVSDEEANLFAMQLTSASVLPMVLKSAIELDLLEIMAKAGPGAFLSPKELASQLPTSNPDAPVMLDRILRLLATYSILTCSLRTLPDGKVERLYGLGPVCKFVTKNEDGVTLSALSLMNQDKVLMESWYYLKDAVLEGGIPFNKAYGMTAFEYHGTDPRFNKVFNRGMSDHSTITMKKILETYDGFEGLKTLVDVGGGTGATLNMIVTKHPSIKGINFDLPHVIEDAPAYPGVEHVGGDMFESVPKGDAIFMKVSLN >KJB24279 pep chromosome:Graimondii2_0_v6:4:38141366:38143460:-1 gene:B456_004G136700 transcript:KJB24279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKKGSVEIDIPPPNKAKQPEDGNKSGFQGFPCKAWIWSAWEFCKDDVDRVIFSFKVGLAVLLVSLLILLRGAYEIFGTNIIWSIITVAIMFEYTVGETFNRGFNRALGSLLAAILAIAVGELAQLTGRIAEPIIIGLSIFLIGAITSFVKLWPPLVPYEHGFRIIIFTYCFIIVSGYRMGNPIRTSMDRLYSIAIGGFVAAFVNVLVFPMWAGEQLHEELVNSFNSLADSLEECVKKYLEDEELNQAEFSEKVMDEFPDEPAYRKCRTTLNSSAKLESLADASKWEPPHGRFRNFFYPWSEYVKVGAVLRYCAYEVMALHGVLHSEIQAPYNLRIAFQSEIQDAANQAAELVRSLGKDIWNMKRSLKTSLLNRVHSSTERLQRVIDMHSYLLTPHYDLPDNSSNPVPKLSHVLPTTPYDFLDQDDDVCSTNHEKSSNHLTQNLTESYYEMMRKQSRRLNSWPSSEVDPFEKGGGFRIGILPQMRAVKSTAALSLTTFTSLLIEFVVRLDHLVEAVDELSKMAKFKHEDL >KJB23699 pep chromosome:Graimondii2_0_v6:4:23642748:23645928:-1 gene:B456_004G110500 transcript:KJB23699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLYQQQRRFLLLNVNDLRRLFFSDQSRISKKGDPSMKWPSPSSSPLSNTRPHPTPTSGFSQNKISIISNLLKDPAITSSSSFESALDQTRVDPDPDLVQAIFERFDSSPKLLHNLFLWAEKKPGFESSATLFNSMINILGKARKFEDAWTLVLDRIDVGKEDSNLVSVNTFIILIRRYARSGMTQPAIRTFEFASCLDKICSSNDKSNLFEIILDSLCKEGHVGVASEYFSRKKETDLCWVPSIKVYNMLLNGWLRLRKLKHAEKLWLDMKKNGVSPSVVSYGTLIEGYCTMRRVERAMELVDEMKGVGIQPNLKVYNPIIDALGEAGRLKDALVMMGRVLFCELSPDISTYNSLVKGYCKSKDLAGASKILKMMISRGCIPTTTTYNYFFRYFLKFRKIEEAMNLYTKIIQSGHTPDRLTYQVLLKLLCEEERLDLAVQVSKEMMVRGYDRDLASSTMLVHLLCKMHRFEDAFWEFEDMIQRGLAPQYLTFQRMNDELKKRGMTKMASKLCRIMSSIHSSKKLPNTYGGDEDSSQARRTSIKQLSDMLKTCNDPRELVKHRFLSENAVSRAGQLIEIIKKRAKETSMNS >KJB22922 pep chromosome:Graimondii2_0_v6:4:8191741:8196066:1 gene:B456_004G074100 transcript:KJB22922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLFPVFGLSSDSDQEHSSSFWNLKTCREILVASSIGIIVAAAVRYHVKKRRDQKIIPRLRARDTGHGRIEKLESFPHYVARQMGFKDRRHCPLLCKLATEYIRKCDGCEEDIYTYFSNEPDVDSLFVKLVEEFERCILSYFAFHWSHADLMISQVLSSDAEPKRKLKQIVMAATREQRFERVTKSLKVARVFNTLVEEMKAMGLTSTDDSRCTEVMAPVAHSDRSPVLLLMGGGMGAGKSTVLKDILKETFWAGAAGNAVVIEADAFKESDVIYRALSSKGHADMVHTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARCVHRRRYRMGVGYKRKPDGTVIENYWEQIEEDQLQGGKRRKPYRIELVGVVCEAYLAVIRGIRRAIMCRRAVRVQSQLKSHKRFANAFPTYCQLVDSARLYSTNALEGPPKAE >KJB22921 pep chromosome:Graimondii2_0_v6:4:8191537:8196246:1 gene:B456_004G074100 transcript:KJB22921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLFPVFGLSSDSDQEHSSSFWNLKTCREILVASSIGIIVAAAVRYHVKKRRDQKIIPRLRARDTGHGRIEKLESFPHYVARQMGFKDRRHCPLLCKLATEYIRKCDGCEEDIYTYFSNEPDVDSLFVKLVEEFERCILSYFAFHWSHADLMISQVLSSDAEPKRKLKQIVMAATREQRFERVTKSLKVARVFNTLVEEMKAMGLTSTDDSRCTEVMAPVAHSDRSPVLLLMGGGMGAGKSTVLKDILKETFWAGAAGNAVVIEADAFKESDVIYRALSSKGHADMVHTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARCVHRRRYRMGVGYKRKPDGTVIENYWEQIEEDQLQGGKRRKPYRIELVGVVCEAYLAVIRGIRRAIMCRRAVRVQSQLKSHKRFANAFPTYCQLVDSARLYSTNALEGPPKLIGWKERERTLLVDPDEIDCLKKVGRLNEEAESIYELYKHPNPACEAGSIWKDIVLSPSRLNIQKELKFTIQKVERMTQHKKS >KJB22923 pep chromosome:Graimondii2_0_v6:4:8191823:8195867:1 gene:B456_004G074100 transcript:KJB22923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDRNTKPTFTQILVASSIGIIVAAAVRYHVKKRRDQKIIPRLRARDTGHGRIEKLESFPHYVARQMGFKDRRHCPLLCKLATEYIRKCDGCEEDIYTYFSNEPDVDSLFVKLVEEFERCILSYFAFHWSHADLMISQVLSSDAEPKRKLKQIVMAATREQRFERVTKSLKVARVFNTLVEEMKAMGLTSTDDSRCTEVMAPVAHSDRSPVLLLMGGGMGAGKSTVLKDILKETFWAGAAGNAVVIEADAFKESDVIYRALSSKGHADMVHTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARCVHRRRYRMGVGYKRKPDGTVIENYWEQIEEDQLQGGKRRKPYRIELVGVVCEAYLAVIRGIRRAIMCRRAVRVQSQLKSHKRFANAFPTYCQLVDSARLYSTNALEGPPKLIGWKERERTLLVDPDEIDCLKKVGRLNEEAESIYELYKHPNPACEAGSIWKDIVLSPSRLNIQKELKFTIQKVERMTQHKKS >KJB24571 pep chromosome:Graimondii2_0_v6:4:43105610:43109250:1 gene:B456_004G151900 transcript:KJB24571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEVIMLNPCAASYIPLAKRKGSIAKDIKAGNESSWFDPSSRSNASLESAILGIGNHPVALKSDPGHGSLMQNQGEMSGEQIMDEEFDMDLDYLRMMFPGLSNDSVLDVYMANNGDLEATIDMLNQLEMYTVESSDTLPDTLDIGDISESISSANCGTLKLKNVAGETGASSSGSTESAVTS >KJB23860 pep chromosome:Graimondii2_0_v6:4:29186001:29186691:1 gene:B456_004G119400 transcript:KJB23860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCATLRHSIPKSIVYCQVHEAKRSLLDFFYTELGKLEQKRLSALLNEDPAIMERRSALAKRLELYRSAQAEIDTVAWSK >KJB22423 pep chromosome:Graimondii2_0_v6:4:4184732:4187366:-1 gene:B456_004G047100 transcript:KJB22423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEDPRNGESPHRSSKKPKFSSNYIPESEIRDEFCHHQPRVARINNGSFGSCPGSVLAAQRRWQLQFLRQPDAFYFNTLRNGITASRMIIKDLINADHVDEVSLVDNATTAAAIVLQQIGHSFAEGKFKKSDTVLMLHCAFQAVKKSIQAYVTRAGGSVVEVRLPFPVNSEEEIISEFKKSITEGKSNGRKIRLAIIDHITSMPSVVIPVKELVRVCREEGIDQVFVDAAHAIGSLKVDVKEIGADFYVSNLHKWFFCPPSVAFLHCKKANASSDVHHPVVSHEYGNGLPIESSWIGTRDYSSQLVIPAVLEFVNRFEGGIEGIMERNHEQVVKMGKMLAESWGTNLGSPPEMCTAMIMVGLPSRLCLNSEEDASRLRSYLRDCHEVEVPIFYQVPKDGEDGVRDNDGCITGYVRISHQVYNTLDDYEKLRDAINQIVKDGKTCKMLCIE >KJB22884 pep chromosome:Graimondii2_0_v6:4:7785764:7786639:-1 gene:B456_004G072300 transcript:KJB22884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPHWALSSDGSGWMIYHPQPWMTQPVETKPLDATAKEWSPQTDGAPEEDRCLFMTFSNGHPVTEQEISRFFTLHYGDCVERVYVHWPQPKDSEENNITTPQFGKVVFKTSWIPLVMTLCGKRQIKFMVDGKTLWCKMFDPQKAQAFKRNGAS >KJB23985 pep chromosome:Graimondii2_0_v6:4:32022862:32024223:-1 gene:B456_004G123500 transcript:KJB23985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREYHPAADEAIAEQAPQTPKNKKKNKMKQKRRFSDEQIRLLESIFESETKLEPRKKMQLARELGLQPRQVAIWFQNRRARWKSKQIEQDYNTLKANYDNLESRFESLKKEKHSLILQIQKLSELLEEPHKGGKGLDGSSTGGGGGSEYGETKCETEVEAQPSFQHKECLGLQTENERGDIKQTGQGGEEFLIMDEYKNDSPASPDKFYGLHSVDMFDHSYTNCQWLNFWT >KJB22005 pep chromosome:Graimondii2_0_v6:4:1945173:1955712:1 gene:B456_004G025000 transcript:KJB22005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIADASENGSAKTQAPNNTAIVADPSAVSPSETTANNPAVEKWPGWPGHCVFRLIVPVSKVGSIIGRKGELIKKMCEETRARIRVLDGAVGTPDRIVLVSGKEAPEAPLSPAMDAAIRVFKRVSGLPDNDGDAKAAGAAFCSIRLLVASTQAISLIGKQGSLIKSLQESTGASVKVLSTDETPSYVVADERIAELQGEALKVLKALEAVVGHLRKFLVDHTVLPLFEKTHNTVVTQDLQAETRAEKSSLLTVSQSGIGIDLPITARRDSLFLDHEKQFESRIPSGISFYGQDPALPTVHSSSGLLRTAVPIVTQIAQTMQIPFSYAEDIIGIGGVNIAHIRRTSGAVITVQESGGLSDEITVEIKGTSSQVQLAQQLIQEFMSNHKDPVMSSGYRDTSYRSSFSQLGSSSALSSQPYGGYGGSSS >KJB22004 pep chromosome:Graimondii2_0_v6:4:1944680:1949645:1 gene:B456_004G025000 transcript:KJB22004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIADASENGSAKTQAPNNTAIVADPSAVSPSETTANNPAVEKWPGWPGHCVFRLIVPVSKVGSIIGRKGELIKKMCEETRARIRVLDGAVGTPDRIVLVSGKEAPEAPLSPAMDAAIRVFKRVSGLPDNDGDAKAAGAAFCSIRLLVASTQAISLIGKQGSLIKSLQESTGASVKVLSTDETPSYVVADERIAELQGEALKVLKALEAVVGHLRKFLVDHTVLPLFEKTHNTVVTQDLQAETRAEKSSLLTVSQSGIGIDLPITARRDSLFLDHEKQFESRIPSGISFYGQDPALPTVHSSSGLLRTAVPIVTQIAQTMQIPFSYAEDIIGIGGVNIAHIRRTSGAVITVQESGGLSDEITVEIKGTSSQVQLAQQLIQEFMSNHKDPVMSSGYRDTSYRSSFSQLGSSSALSSQPYGGYGGSSSVSGYSTFRL >KJB24786 pep chromosome:Graimondii2_0_v6:4:45005037:45005703:-1 gene:B456_004G160300 transcript:KJB24786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTYQKHKITGKCIDWPVQELESYCWPPKQSLVPYQSGSDDGSNSVQHSVPNLERYCTLDSSSSMQNSSSTASFSPNGSPVSQQNYTYPLDLHHSPENTCATPVSGSCVIDNENDLGLMVRQ >KJB24060 pep chromosome:Graimondii2_0_v6:4:33156294:33160809:-1 gene:B456_004G126300 transcript:KJB24060 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein BIN4 [Source:Projected from Arabidopsis thaliana (AT5G24630) UniProtKB/Swiss-Prot;Acc:Q9FLU1] MNNSRENSPDWLRSFQAPTSTLTLSSDSNSSPNGSPRRVDKTDADEEDTLEKRNHDKVKQKPSGKKKNDAFKDSEGSEEDGKVAMEEMSEKHLGSHAANHLIWTLSSDSESFPGHSPQRKEKVSLSEESGEASDPVLTGRANRSPLKKTSKGKSPKKGVKARGQTPKKEKNVKDDAKITENDVNVETAEEAFEKHIEPHVSTSRLPLVLSEKVHRSKALVECEGDSIDLSGDMGAVGRIVVSGSASENHEMFLDLKGTIYKTTIVPCRTFCIVSFGQTEAKIEAIMNDFIQLKPQSNVYEAETMVEGTLEGFSFDSEDEAEKMPKAIPHQTDQNEDIDGQMNGKSKGRADKTSMVSRKRGKTGGGKSQPAKKARKKAISKKPKAKK >KJB24061 pep chromosome:Graimondii2_0_v6:4:33156489:33160674:-1 gene:B456_004G126300 transcript:KJB24061 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein BIN4 [Source:Projected from Arabidopsis thaliana (AT5G24630) UniProtKB/Swiss-Prot;Acc:Q9FLU1] MEEMSEKHLGSHAANHLIWTLSSDSESFPGHSPQRKEKVSLSEESGEASDPVLTGRANRSPLKKTSKGKSPKKGVKARGQTPKKEKNVKDDAKITENDVNVETAEEAFEKHIEPHVSTSRLPLVLSEKVHRSKALVECEGDSIDLSGDMGAVGRIVVSGSASENHEMFLDLKGTIYKTTIVPCRTFCIVSFGQTEAKIEAIMNDFIQLKPQSNVYEAETMVEGTLEGFSFDSEDEAEKMPKAIPHQTDQNEDIDGQMNGKSKGRADKTSMVSRKRGKTGGGKSQPAKKARKKAISKKPKAKK >KJB22034 pep chromosome:Graimondii2_0_v6:4:2054927:2055671:-1 gene:B456_004G026300 transcript:KJB22034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFFPLFYIFAVLLILNTSGKVDGAQCEKKIGICDENCNSKCEASKSGKGICEKLSPNEIGTCKCLYECDGNESSSPKNKKCNGGIGLCSEQCADDCCDRNCAIKYPGPLGGVWVLHEYCWCTCSI >KJB24417 pep chromosome:Graimondii2_0_v6:4:40731132:40731731:-1 gene:B456_004G144600 transcript:KJB24417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYVFLLLSLSCLFSFSVSAAPGPEPSFITLDTHVAKPVSIMPGNFHSGLQKVCSATDEPVDCIQLLSPLMTDRTAVDPISILRVGIEATNQKVREALDKANIIMKDPSTTPECGEILWTCVKNFEDIIYSDQKAMEAITEHNANHIIEELSADSASVSPCEDELEAANIQSPVRDIVKSIHKTIRINLAIAAVQVHF >KJB24406 pep chromosome:Graimondii2_0_v6:4:40648209:40648652:-1 gene:B456_004G144100 transcript:KJB24406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYSHFDCSFCCFCSHRFLLEPMVAWSQVNALNCMFYGFQVDAVVYLVDAYDKERFAESKKELDALLSDEALANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLADSNVRPLEVFMCSIVRKMGYGDGFKWMSQYIK >KJB24407 pep chromosome:Graimondii2_0_v6:4:40647526:40650191:-1 gene:B456_004G144100 transcript:KJB24407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDEALANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLADSNVRPLEVFMCSIVRKMGYGDGFKWMSQYIK >KJB24408 pep chromosome:Graimondii2_0_v6:4:40647526:40650183:-1 gene:B456_004G144100 transcript:KJB24408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDEALANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLADSNVRPLEVFMCSIVRKMGYGDGFKWMSQYIK >KJB26792 pep chromosome:Graimondii2_0_v6:4:59610840:59617184:-1 gene:B456_004G260500 transcript:KJB26792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCPQNSILYNGTRCACQVGRFLNVAANSCITYAGNSAIKTDSGIDYYAISIPKNILSFDSIKKFTQSQAVFLEATLVMLLSWLVFCFFLRFMKLGDGRNVWFKIRCWISRLDVCFATRHWLDDQKLVVKRKTELGGTFSIASWILFTGLFAALLYQLIAKRTIEVHNVIATNAPDLASFRNDVEFNMTPVSSMSCSNLRSPTTLLSGSSGFLEDKVLPISDILNVSCHNTSLGPTITLKCSNCRLNQDFMSISWQFVDLPNSPASAVGFQFNVTAKDHIRRDHVSFVSGTLMNGSNFDNSPVTFRGTDTNILIFNLFPRIYRSAKNLRLIQPLFHEFVPGSSLRDTSQLRASLENANDGLINTTLYVNYLSSYIIETENQNIIGAVGFLADLGGLYCISMGIFFYLLVQCEFRIKRLRNEDSILRRIRNRRKAQEHWDKVRKYVMYTWNCSALDVDNHSKAEPGHGCFQLPLGPGNGSVRGGGSSRKRKQLRETELSFHKQVSSEKALKHNTRGSAKAETKHCIGSKDAVAIQHQAFALDDDIIPPPPTLELKSGSEIELSDIQKNFQRLYDYNVMLREKFVATQSLLRDLAAKSPSPTTERQT >KJB22833 pep chromosome:Graimondii2_0_v6:4:7171280:7173614:1 gene:B456_004G068500 transcript:KJB22833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASAATAAATSTAQTRFIKCVTVGDGAVGKTCLLISYTTNTFPTDYVPTVFDNFSANVMVDGQTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISRPSYENVTKKWLPELKHYAPAVPIVLVGTKLDLREDRQFHLDYPGASTISTEQGLELKKQIGALAYIECSSKTQQNVKAVFDGAIKVVVQPPKQKKQKKRPSVCRVL >KJB27171 pep chromosome:Graimondii2_0_v6:4:61388427:61394779:1 gene:B456_004G282200 transcript:KJB27171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEHVEYMGSLKAQLAKLFEVSLKVTVPNELDVEPLVAACNGKFGDYQCNNAMGLWSKIKGKGAPFRGPPAVGEAIKNNLPTSEMIESCSVAGPGFVNVVLSKNWMAKSIQKMLIEGIDTWAPLPPVKTAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVKVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQAFYKASKQRFDADPAFKERAQQAVVRLQGGEDKYRRAWAQICQISRTEFHKVYQRLGVHLEEKGESFYNPYIPGVIEALNKQGLVEESQGARVIFIEGINIPLIVVKSDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMFFKAAKRAGWLPQGDSSYPKASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKVRSKAALIERGKGEEWTEEEIESTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDIEELKNKGTLVLGHKDERDLGLHLLQFAEVVEEACTNLLPNVLCDYLYNLSEIFSKFYSNPECKVIGSDMETSRLLLCEATAVVMRKCFDLLGITPIYKI >KJB27169 pep chromosome:Graimondii2_0_v6:4:61388517:61394790:1 gene:B456_004G282200 transcript:KJB27169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEHVEYMGSLKAQLAKLFEVSLKVTVPNELDVEPLVAACNGKFGDYQCNNAMGLWSKIKGKGAPFRGPPAVGEAIKNNLPTSEMIESCSVAGPGFVNVVLSKNWMAKSIQKMLIEGIDTWAPLPPVKTAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVKVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQAFYKASKQRFDADPAFKERAQQAVVRLQGGEDKYRRAWAQICQISRTEFHKVYQRLGVHLEEKGESFYNPYIPGVIEALNKQGLVEESQGARVIFIEGINIPLIVVKSDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMFFKAAKRAGWLPQGDSSYPKASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKVRSKAALIERGKGEEWTEEEIESTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDIEELKNKGTLVLGHKDERDLGLHLLQFAEVVEEACTNLLPNVLCDYLYNLSEIFSKFYSNPECKVIGSDMETSRLLLCEATAVVMRKCFDLLGITPIYKI >KJB27170 pep chromosome:Graimondii2_0_v6:4:61388532:61394772:1 gene:B456_004G282200 transcript:KJB27170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEHVEYMGSLKAQLAKLFEVSLKVTVPNELDVEPLVAACNGKFGDYQCNNAMGLWSKIKGKGAPFRGPPAVGEAIKNNLPTSEMIESCSVAGPGFVNVVLSKNWMAKSIQKMLIEGIDTWAPLPPVKTAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVKVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQAFYKASKQRFDADPAFKERAQQAVVRLQGGEDKYRRAWAQICQISRTEFHKVYQRLGVHLEEKGESFYNPYIPGVIEALNKQGLVEESQGARVIFIEGINIPLIVVKSDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMFFKAAKRAGWLPQGDSSYPKASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKVRSKAALIERGKGEEWTEEEIESTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDIEELKNKGTLVLGHKDERDLGLHLLQFAEVVEEACTNLLPNVLCDYLYNLSEIFSKFYSNPECKVIGSDMETSRLLLCEATAVVMRKCFDLLGITPIYKI >KJB23483 pep chromosome:Graimondii2_0_v6:4:18506047:18507126:1 gene:B456_004G101200 transcript:KJB23483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARIRGCFGAIIVSLLLVSTTQAANYLSLINQFLAPQNAARVAIRMRPLVWDSRLARYAQWYANQRRKDCALRHSNGPYGENIFWGSGNGWTPSQAAEAWVSERKWYNYWSNSCAGGEECGHYTQVVWGRTKTVGCARVVCNGGRGIFMTCNYDPPGNYIGERPY >KJB22361 pep chromosome:Graimondii2_0_v6:4:3744116:3750380:1 gene:B456_004G043300 transcript:KJB22361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLYNVGTFNADTGFKVGYLNELERMLQKVLPNAMLNAKPNIESRIMLLKREWSIVYDMLNGQNNSGFGWDEHRQLIVAEDAVWDSYLKSHKEAAQFRHRTFPYYDQLTTIYARDRATGKDAQTVADVLEEINAEGVPTIGMDEERNAFYDCEVDISLDDMDVSAAEPQRDRDQGGSSSSNKRKKKSDARDMYSSFDEASTLLAENIKAVGDQISRSIASEVVVQQKSEEYQKMEEKASNLYSTLWEIEGLIDDQRYEALSKIPDHPTQMIVFFSLPSVARLEWVRRFLSHH >KJB25195 pep chromosome:Graimondii2_0_v6:4:49118752:49123673:-1 gene:B456_004G180500 transcript:KJB25195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLCTKLRFLALQSTQTLPSLSASRRILHHSFPPVHFISTKWSFEPFLNNSVASPPLAVNSFSSSPLLLSLVQVRHVSSRERAKRRKPMTPRTSKLKKIKMKSYSSYKSRFRTMNDGNIRRWREGKNHNAHLKSKKSRRRLRQPAIVPAAYAKASKQAKDVPKKIIRVQKRYWRSIPHLSKPGETTSNLKKPNRKSPQPEIVDANNMLLYSP >KJB25196 pep chromosome:Graimondii2_0_v6:4:49121234:49123669:-1 gene:B456_004G180500 transcript:KJB25196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLCTKLRFLALQSTQTLPSLSASRRILHHSFPPVHFISTKWSFEPFLNNSVASPPLAVNSFSSSPLLLSLVQVRHVSSRERAKRRKPMTPRTSKLKKIKMKSYSSYKSRFRTMNDGNIRRWREGKNHNAHLKSKKSRRRLRQPAIVPAAYAKVMKKLNFCT >KJB22867 pep chromosome:Graimondii2_0_v6:4:9207678:9210461:-1 gene:B456_004G078400 transcript:KJB22867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVVVAQHRSQYCSRVKPHGPARFGSSQPSRNFRGVNCRTFQSGAGLLPTPFKYSSTPLTKRPSSPPSSSSSPKTPSPFADGTHSKATKKSSPIPINCSRAPRNDKPFNVEIPGEGFLYSELWAGPAYSNSPPPSSLPIPKFSLRVKRTVSLDLPAAYPIVDVHQTAKSAPASPTRELDPSVAEVFCSAASATKALRRILNLDNTDD >KJB22868 pep chromosome:Graimondii2_0_v6:4:9207661:9210480:-1 gene:B456_004G078400 transcript:KJB22868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVVVAQHRSQYCSRVKPHGPARFGSSQPSRNFRGVNCRTFQSGAGLLPTPFKYSSTPLTKRPSSPPSSSSSPKTPSPFADGTHSKATKKSSPIPINCSRAPRNDKPFNVEIPGEGFLYSELWAGPAYSNSPPPSSLPIPKFSLRVKRTVSLDLPAAYPIVDVHQTAKSAPASPTRELDPSVAEVFCSAASATKALRRILNLDNTDD >KJB22869 pep chromosome:Graimondii2_0_v6:4:9209105:9209734:-1 gene:B456_004G078400 transcript:KJB22869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVVVAQHRSQYCSRVKPHGPARFGSSQPSRNFRGVNCRTFQSGAGLLPTPFKYSSTPLTKRPSSPPSSSSSPKTPSPFADGTHSKATKKSSPIPINCSRAPRNDKPFNVEIPGEGFLYSELWAGPAYSNSPPPSSLPIPKFSLRVKRTVSLDLPAAYPIVDVHQTAKSAPASPTRELDPSVAEVFCSAASATKALRRILNLDNTDD >KJB25394 pep chromosome:Graimondii2_0_v6:4:50498222:50498878:1 gene:B456_004G189500 transcript:KJB25394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSTCDENGEKFDDTQVILLQEWDDKTKRQLQYSDFNWLPEELNKVEHGCFPSILDPIIQKIKDTRRDIIENSKLGNCAAYPTLVMFYATIKEMNEVKEVKDFDISRLRIWRNAICDALQINMEVQFAKQHLINIAYAYFASKKVDPEIYAEKEQLQEKLGQISTKIQLYKECQSKAKIFSDKPLNTGLFGEHRPEMQQETSYSAAVAQHEDQHWS >KJB24071 pep chromosome:Graimondii2_0_v6:4:33296742:33300030:-1 gene:B456_004G126900 transcript:KJB24071 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g10380 [Source:Projected from Arabidopsis thaliana (AT4G10380) UniProtKB/TrEMBL;Acc:A3KPG0] MPDEETATPTASAPATPGTPGGPLFNSMRVDSLSYDRKSMPRCKCFPVTAPTWGQPHTCFTDFPAPDVSLTRKLGAEFVGTFILIFAATAGPIVNQKYSGAETLLGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAACRHFPWTQVPAYIAAQVSASICASFALKGVFHPFMSGGVTVPSVSHGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGGSMNPVRTLGPAVAAGNYRALWIYLIAPTLGAVIGSATYTLVKLREDEVDAPRQVRSFRR >KJB24069 pep chromosome:Graimondii2_0_v6:4:33296830:33301074:-1 gene:B456_004G126900 transcript:KJB24069 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g10380 [Source:Projected from Arabidopsis thaliana (AT4G10380) UniProtKB/TrEMBL;Acc:A3KPG0] MPDEETATPTASAPATPGTPGGPLFNSMRVDSLSYDRKSMPRCKCFPVTAPTWGQPHTCFTDFPAPDVSLTRKLGAEFVGTFILIFAATAGPIVNQKYSGAETLLGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAACRHFPWTQVPAYIAAQVSASICASFALKGVFHPFMSGGVTVPSVSHGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGGSMNPVRTLGPAVAAGNYRALWIYLIAPTLGAVIGSATYTLVKLREDEVDAPRQVRSFRR >KJB24070 pep chromosome:Graimondii2_0_v6:4:33296830:33301074:-1 gene:B456_004G126900 transcript:KJB24070 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g10380 [Source:Projected from Arabidopsis thaliana (AT4G10380) UniProtKB/TrEMBL;Acc:A3KPG0] MPDEETATPTASAPATPGTPGGPLFNSMRVDSLSYDRKSMPRCKCFPVTAPTWGQPHTCFTDFPAPDVSLTRKLGAEFVGTFILIFAATAGPIVNQKYSGAETLLGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAACRHFPWTQVPAYIAAQVSASICASFALKGVFHPFMSGGVTVPSVSHGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGGSMNPVRTLGPAVAAGNYRALWIYLIAPTLGAVIGSATYTLVKLREDEVDAPRQVRSFRR >KJB24068 pep chromosome:Graimondii2_0_v6:4:33296773:33301074:-1 gene:B456_004G126900 transcript:KJB24068 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g10380 [Source:Projected from Arabidopsis thaliana (AT4G10380) UniProtKB/TrEMBL;Acc:A3KPG0] MPDEETATPTASAPATPGTPGGPLFNSMRVDSLSYDRKSMPRCKCFPVTAPTWGQPHTCFTDFPAPDVSLTRKLGAEFVGTFILIFAATAGPIVNQKYSGAETLLGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAACRHFPWTQVPAYIAAQVSASICASFALKGVFHPFMSGGVTVPSVSHGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGGSMNPVRTLGPAVAAGNYRALWIYLIAPTLGAVIGSATYTLVKLREDEVDAPRQVRSFRR >KJB24564 pep chromosome:Graimondii2_0_v6:4:42964812:42966362:1 gene:B456_004G151500 transcript:KJB24564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLELGMGGGAFKDEDKAMVASVLGTKALDDKGSSSVSKENLLTTICSDENLQNKLSGLVDRPNASNFSWNYAVFCVNDTEMFFLASTYYLLPSGEGGPGKCFASGKHVWILDALKSGSNYCVWSFLIKTVVLFSTDVAVVELGSVTSVLESLELLQSIRSSFSTKSELLRAKQVRVAIPMVNEKIDENTLVSNSTILERLLGDPKIFGQDLNNATWHGHSNYREKLAVRPTWGAHVNGGRLSFLSNQNGLHWPHVHSVKQGSTIEFYSSQNTTNDFQEFANEARKKFRLNQGAIAYINELQAELKIVKAEKEKLGNPSRVSPAIDPNLNAENHT >KJB24908 pep chromosome:Graimondii2_0_v6:4:46427898:46430036:1 gene:B456_004G167400 transcript:KJB24908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKKSEVQIGKESSGVSSGFNPKPSTVHHHHHHQHFNYHHRLQQLSDTTAPAGTGTSPVYVFPHIITQSTSGNDAIAPPPSSSSTSPTPYKRPLLTQTPSLTKSPTLYRFTTPPQFNSRNTASFFSFSVAAKSSFYRILHRFKHLRRLRVYLRLILLLSLPFLYFLLSPPSHSLLLDFLTAFAFSVALLFSLNLALPRLPSIRLFLARSFPVTLKSSSSLSRSHLPVFWSIGSRSKSEKRSSSGCWVQVYSNGDVYEGEFHKGKCSGSGVYHYYMSGRYEGDWVDGKYDGYGVETWARGSRYRGQFRQGLRHGFGVYWFYTGDVYAGEWSNGQSHGCGIHTCEDGSRYVGEFQWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYCFANGHQYEGAWHEGRRQGLGMYTFRSGETQSGHWQNGILDVPSTRNNTYPVSPVAVYHSKVLNAVQVKFFFCAIGCNKFSQSLLGLMANLKLFVSKT >KJB24909 pep chromosome:Graimondii2_0_v6:4:46427898:46430785:1 gene:B456_004G167400 transcript:KJB24909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKKSEVQIGKESSGVSSGFNPKPSTVHHHHHHQHFNYHHRLQQLSDTTAPAGTGTSPVYVFPHIITQSTSGNDAIAPPPSSSSTSPTPYKRPLLTQTPSLTKSPTLYRFTTPPQFNSRNTASFFSFSVAAKSSFYRILHRFKHLRRLRVYLRLILLLSLPFLYFLLSPPSHSLLLDFLTAFAFSVALLFSLNLALPRLPSIRLFLARSFPVTLKSSSSLSRSHLPVFWSIGSRSKSEKRSSSGCWVQVYSNGDVYEGEFHKGKCSGSGVYHYYMSGRYEGDWVDGKYDGYGVETWARGSRYRGQFRQGLRHGFGVYWFYTGDVYAGEWSNGQSHGCGIHTCEDGSRYVGEFQWGVKHGLGHYHFRQDAWIWCVLFCKWPSV >KJB24907 pep chromosome:Graimondii2_0_v6:4:46427856:46430853:1 gene:B456_004G167400 transcript:KJB24907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKKSEVQIGKESSGVSSGFNPKPSTVHHHHHHQHFNYHHRLQQLSDTTAPAGTGTSPVYVFPHIITQSTSGNDAIAPPPSSSSTSPTPYKRPLLTQTPSLTKSPTLYRFTTPPQFNSRNTASFFSFSVAAKSSFYRILHRFKHLRRLRVYLRLILLLSLPFLYFLLSPPSHSLLLDFLTAFAFSVALLFSLNLALPRLPSIRLFLARSFPVTLKSSSSLSRSHLPVFWSIGSRSKSEKRSSSGCWVQVYSNGDVYEGEFHKGKCSGSGVYHYYMSGRYEGDWVDGKYDGYGVETWARGSRYRGQFRQGLRHGFGVYWFYTGDVYAGEWSNGQSHGCGIHTCEDGSRYVGEFQWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYCFANGHQYEGAWHEGRRQGLGMYTFRSGETQSGHWQNGILDVPSTRNNTYPVSPVAVYHSKVLNAVQEARRAAEKAYDVAKVDERVNKAVASANRAANAARVIAVKAVQKQMDHNHNNNNAV >KJB22083 pep chromosome:Graimondii2_0_v6:4:2223257:2227420:-1 gene:B456_004G028300 transcript:KJB22083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVKIYGVVRTICLGFLVALLCVSLTCSLDYSKVEEEEAFFNQLVDPVTGEIDENLAELLWISCRQDLNGLNEAFGDPNLYLLGETFGTTNDITTKGYSLAKENSQNLISVLHPELKQAISDCIRKNNLLFQVSGEDCGLKTWYIRYIDSLFHWHDVPRRTLATQSIAIAPSPNLGPSIAPAPSPTSFFPRLSPASLQSPASLPSPLPSTNNLPESTSPTNVDPRHKGNDNSRTIIIACVVTAVVTSVVAVLFFILCCRRGSASKQNDERPLLSLSLNDFSGGSSHAYAFGTNKEEKLGHHQSLGNESSLHKKTSSYGNVYVESNAQQISFDGGKSSFGGVGAANKASVESFDTIPPLPLPPGRVGASQPGLPPLKSTLPPEPPAPIRASSPPPPPPPAPIRASPPAPPPAPPPSMKPASATMAPRPPPPPIPPGAKPGPRPPPPPSTGIGPPRPPPPMPLGSKVPRPPSGPQRTANAISGEGSGSVDDTNAPKAKLKPFFWDKVAANPDHSMVWNQIKSGSFQFNEEMIETLFGYASADKNKNDKKKDASTQEFVPQYIQLLDPKKAQNLAILLRALNVTTEEVCDALREGNELPIELLQTLLKMAPTMDEELKLRMFNGEISQLGPAERFLKVLVDIPFVFKRMEVLLYMCSLYEEVTFARESFETLEIACKELRSSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGVDGKTTLLHFVVQEIIRTEGLRAARAARENKSSLLEDVSPDMEEHFHNLGLEVVSRLSSELENVKKAAAIDAETLTGTVAKLGHGLLKARDFLKSEMKNTNEQSGFHEALKSFVQNAEVDVTSLLEEEKRIMALVKSTGDYFHGNAHKDEGLRLFVIVRDFLIILDKVCKEVRNAPRKPVKAHKKHACNPSSSSSESRLAPTSLDPHQKLFNAIAERRMENFSSSSDDES >KJB22084 pep chromosome:Graimondii2_0_v6:4:2222574:2227700:-1 gene:B456_004G028300 transcript:KJB22084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVKIYGVVRTICLGFLVALLCVSLTCSLDYSKVEEEEAFFNQLVDPVTGEIDENLAELLWISCRQDLNGLNEAFGDPNLYLLGETFGTTNDITTKGYSLAKENSQNLISVLHPELKQAISDCIRKNNLLFQVSGEDCGLKTWYIRYIDSLFHWHDVPRRTLATQSIAIAPSPNLGPSIAPAPSPTSFFPRLSPASLQSPASLPSPLPSTNNLPESTSPTNVDPRHKGNDNSRTIIIACVVTAVVTSVVAVLFFILCCRRGSASKQNDERPLLSLSLNDFSGGSSHAYAFGTNKEEKLGHHQSLGNESSLHKKTSSYGNVYVESNAQQISFDGGKSSFGGVGAANKASVESFDTIPPLPLPPGRVGASQPGLPPLKSTLPPEPPAPIRASSPPPPPPPAPIRASPPAPPPAPPPSMKPASATMAPRPPPPPIPPGAKPGPRPPPPPSTGIGPPRPPPPMPLGSKVPRPPSGPQRTANAISGEGSGSVDDTNAPKAKLKPFFWDKVAANPDHSMVWNQIKSGSFQFNEEMIETLFGYASADKNKNDKKKDASTQEFVPQYIQLLDPKKAQNLAILLRALNVTTEEVCDALREGNELPIELLQTLLKMAPTMDEELKLRMFNGEISQLGPAERFLKVLVDIPFVFKRMEVLLYMCSLYEEVTFARESFETLEIACKELRSSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGVDGKTTLLHFVVQEIIRTEGLRAARAARENKSSLLEDVSPDMEEHFHNLGLEVVSRLSSELENVKKAAAIDAETLTGTVAKLGHGLLKARDFLKSEMKNTNEQSGFHEALKSFVQNAEVDVTSLLEEEKRIMALVKSTGDYFHGNAHKDEGLRLFVIVRDFLIILDKVCKEVRNAPRKPVKAHKKHACNPSSSSSESRLAPTSLDPHQKLFNAIAERRMENFSSSSDDES >KJB24600 pep chromosome:Graimondii2_0_v6:4:43622488:43624917:1 gene:B456_004G153300 transcript:KJB24600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASASMSATAVLIPRVPAAVRTTRCSALPPLPPRVSTTSFSSSVKLTPESRRFPFLQTKATEDTSVDTGELFDDLKEKWDKVENKTTVLLYGGGAIVAGWLSSILVGAINSVPLVSETLHATLSNLFYMLILSAINAFLCLSASKDNGVGWTRIFRMVCL >KJB24598 pep chromosome:Graimondii2_0_v6:4:43622273:43624917:1 gene:B456_004G153300 transcript:KJB24598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASASMSATAVLIPRVPAAVRTTRCSALPPLPPRVSTTSFSSSVKLTPESRRFPFLQTKATEDTSVDTGELFDDLKEKWDKVENKTTVLLYGGGAIVAGWLSSILVGAINSVPLLPKIMELVGLGYSGWFVYRYLLFKSSRKELATDIEALKKKIAGTE >KJB24599 pep chromosome:Graimondii2_0_v6:4:43622488:43624917:1 gene:B456_004G153300 transcript:KJB24599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASASMSATAVLIPRVPAAVRTTRCSALPPLPPRVSTTSFSSSVKLTPESRRFPFLQTKATEDTSVDTGELFDDLKEKWDKVENKTTVLLYGGGAIVAGWLSSILVGAINSVPLLPKIMELVGLGYSGWFVYRYLLFKQERTSYGY >KJB24601 pep chromosome:Graimondii2_0_v6:4:43622488:43624917:1 gene:B456_004G153300 transcript:KJB24601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASASMSATAVLIPRVPAAVRTTRCSALPPLPPRVSTTSFSSSVKLTPESRRFPFLQTKATEDTSVDTGELFDDLKEKWDKVENKTTVLLYGGGAIVAGWLSSILVGAINSVPLLPKIMELVGLGYSGWFVYRYLLFKVRFQSKYITSHEFCVWNNPSSLWVSHII >KJB25670 pep chromosome:Graimondii2_0_v6:4:53263338:53266027:-1 gene:B456_004G203200 transcript:KJB25670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFNTIEEATTFLGRNLTVAETLWFNYSAQKSDYYLYCHNILFLFLIFTFVPLPLVFVETMRSLGFLKYKIQPKVKMSLSEMFRCYKDVMRMFVLLVGPLQLSSYPSIKMVGIRTGLPLPSMWEILAQLTVYFMIEDYTNYWIHRFLHGKWGYENIHWVHHEYSAPIGFAAPYAHWLEVLILGIPTFLGPAIVPGHMITFWLWIALRQIEAIETHSGYDFPWTPTKYIPFYGGADYHDYHHYVGQQSQSNFASVFTYCDYIYGTDKGYRYHKKVLRKLKVQSRIYGTQNGGSYYAFTQDLKSE >KJB27107 pep chromosome:Graimondii2_0_v6:4:61113250:61113624:-1 gene:B456_004G278400 transcript:KJB27107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLLSFGPTGIHASAVSDHDRRKSTSSSSYANWWAPLFRVSSEPDYIGSDKKSEVTERREGGSEADPLLNMARSKFYPGCFTEKKAKQLRLMITNSSSFHDVMYHSAIASRLASDFKGRSDL >KJB26662 pep chromosome:Graimondii2_0_v6:4:59087021:59091077:-1 gene:B456_004G253700 transcript:KJB26662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLLPQFKCQPDTFSINFRTHHSHHSRHKESICFRAHCVLSTTSPSASTRTTSVLDVEKLRLPFFEAQPNSIVADRERTYIGGTGPPSEACFGTTLGTENLLTSDEAVIAAAAAEAVALARAAVKVSKDAVLMFKNYSCARSERKSATDTSTSNWAMFTEAERAGIVGDFLEDDCEFEEGDSGQNSTKESDEPEPTNEELELLEEQLSGNVAVRSKRQPERKARRMKAAEKATANVVSVKSGSTNKKRHGAVRDGNSDPLRFLRGTNVTSRLLTANEEHELSEGIQDLLKLESLQEELAKRFGELPTFAQWAAAAGVDQKTLRRRLDYGVLCKDKMIKSNIRLVISIAKNYLGTGMNFQDLVQEGCRGLIKGAEKFDASKGFKFSTYAHWWIKQAVRKFLSEQSRTIRLPLYMVEATYRVKEARKQLYSENGRHPDNKEVAEATGLSMKRLTTVLLTPKAPRSLDQKIGIYQNLKPSVRLTSNPAITVYLLKFQPGSILELLDFSF >KJB26660 pep chromosome:Graimondii2_0_v6:4:59086390:59091315:-1 gene:B456_004G253700 transcript:KJB26660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLLPQFKCQPDTFSINFRTHHSHHSRHKESICFRAHCVLSTTSPSASTRTTSVLDVEKLRLPFFEAQPNSIVADRERTYIGGTGPPSEACFGTTLGTENLLTSDEAVIAAAAAEAVALARAAVKVSKDAVLMFKNYSCARSERKSATDTSTSNWAMFTEAERAGIVGDFLEDDCEFEEGDSGQNSTKESDEPEPTNEELELLEEQLSGNVAVRSKRQPERKARRMKAAEKATANVVSVKSGSTNKKRHGAVRDGNSDPLRFLRGTNVTSRLLTANEEHELSEGIQDLLKLESLQEELAKRFGELPTFAQWAAAAGVDQKTLRRRLDYGVLCKDKMIKSNIRLVISIAKNYLGTGMNFQDLVQEGCRGLIKGAEKFDASKGFKFSTYAHWWIKQAVRKFLSEQSRTIRLPLYMVEATYRVKEARKQLYSENGRHPDNKEVAEATGLSMKRLTTVLLTPKAPRSLDQKIGIYQNLKPSFLSGSNCGP >KJB26661 pep chromosome:Graimondii2_0_v6:4:59086377:59091329:-1 gene:B456_004G253700 transcript:KJB26661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLLPQFKCQPDTFSINFRTHHSHHSRHKESICFRAHCVLSTTSPSASTRTTSVLDVEKLRLPFFEAQPNSIVADRERTYIGGTGPPSEACFGTTLGTENLLTSDEAVIAAAAAEAVALARAAVKVSKDAVLMFKNYSCARSERKSATDTSTSNWAMFTEAERAGIVGDFLEDDCEFEEGDSGQNSTKESDEPEPTNEELELLEEQLSGNVAVRSKRQPERKARRMKAAEKATANVVSVKSGSTNKKRHGAVRDGNSDPLRFLRGTNVTSRLLTANEEHELSEGIQDLLKLESLQEELAKRFGELPTFAQWAAAAGVDQKTLRRRLDYGVLCKDKMIKSNIRLVISIAKNYLGTGMNFQDLVQEGCRGLIKGAEKFDASKGFKFSTYAHWWIKQAVRKFLSEQSRTIRLPLYMVEATYRVKEARKQLYSENGRHPDNKEVAEATGLSMKRLTTVLLTPKAPRSLDQKIGIYQNLKPSEVIADPEADTSEDILTKQLMKEDLEKVLESLSPRERQVIRWRFGMEDGRMKTLQEIGESIGVSRERIRQIEMSAFRKLKSKTRTKHLQQYLISCAS >KJB22929 pep chromosome:Graimondii2_0_v6:4:8321784:8324255:1 gene:B456_004G074500 transcript:KJB22929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRYQRQRILILSLLSFSLFAPIVWLSPKLKTLNSIGLYEVREDLASVKYRTDDFKLNAIEQKRAEDLKGPKLIVLNENEFSSVGSRSSDENPDSNQSKVAQDVSKLLATNDSETNDEGKGGYQIQQKKMPIMSRKQEQFNQEAGRHHRNSQSQSHRVKDKKLKKISYQLSRAKAYLSLASPSTHPELEKELRMRIKEGELAVGKASKDSELPRR >KJB23830 pep chromosome:Graimondii2_0_v6:4:28302154:28303850:1 gene:B456_004G116800 transcript:KJB23830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALKFLRFWKPSCGAMKENQTQRCCGSIDIPHHELDEEDDSFIDLELPLHHSNHLHLRTPHKTLDSKHDSAKNDDFPLLPMQNDHFSKRKILPIDSTSKPQSPLHLLKSAPKFRAFTLNKSKSMANTSNTCTPQRKELIGISTETPKHEERSSSKDLIQKYLNIIKYVKVSKNSIPSDKAKVSLSASGELPKVASPATVYPMKGKQGSVSTGVCKQLVKSRSASYAASPINRKDDSLLLRHDAIESAILHCKKSFNSSSESSWLSRCTSDSSKYKLSNASSSTDSSLSSTNYKQLLSPE >KJB21640 pep chromosome:Graimondii2_0_v6:4:356923:357757:1 gene:B456_004G005800 transcript:KJB21640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAANESSIKEMIERVKVEAAEEDCMLCLEELKVGFEASQIQCSHVFHGGCMEKWVWRAVTSFLAISFLPNKVMFNSLLSLLTKIVMLGCMPHMIGQRNVKALILSVSVLVAIEIFNSLNILSQVGTLFAMPPWGKL >KJB23834 pep chromosome:Graimondii2_0_v6:4:28510765:28512280:-1 gene:B456_004G117300 transcript:KJB23834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQLFSANPKPTLLDSPLNPLLYPKCLKPPKPYSKSKPAPISSVLQWNRKPELAGETPRVVVITSGKGGVGKTTTTANVGLSLARLGFSVVAIDADVGLRNLDLLLGLENRVNYTVVEVLNGDCRLDQALVRDKRWSNFELLCISKPRSKLPIGFGGKALVWLVDALKAREEGSPDFILIDCPAGIDAGFITAITPANEAVLVTTPDITSLRDADRVTGLLECDGIRDIKMIVNRVRTDMIKGEDMMSVLDVQEMLGLALLGVIPEDSEVIRSTNRGYPLVLNKPPTLAGLAFEQAAWRLVEQDSMKAVMVEEEPKKRGFFSFFGG >KJB23835 pep chromosome:Graimondii2_0_v6:4:28510873:28512280:-1 gene:B456_004G117300 transcript:KJB23835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQLFSANPKPTLLDSPLNPLLYPKCLKPPKPYSKSKPAPISSWPSTPTLASVLNGDCRLDQALVRDKRWSNFELLCISKPRSKLPIGFGGKALVWLVDALKAREEGSPDFILIDCPAGIDAGFITAITPANEAVLVTTPDITSLRDADRVTGLLECDGIRDIKMIVNRVRTDMIKGEDMMSVLDVQEMLGLALLGVIPEDSEVIRSTNRGYPLVLNKPPTLAGLAFEQAAWRLVEQDSMKAVMVEEEPKKRGFFSFFGG >KJB22805 pep chromosome:Graimondii2_0_v6:4:6827477:6830126:-1 gene:B456_004G066600 transcript:KJB22805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVTTSANITFHPCFLRFDAAGVSFSGKMVALIATYVLSRVVHHLLKPVSQPYMTSDLVIGLILASLPHARDSFTSLFSITLENIVDFGMISYAFVLGLEMDPYVIFKSPTRHAMVAYAGTVSTFVAACAITPWLHYLRNSSIITFTLSLSISLSGSGSHILTRLMTYLKVGKSDIGKLSIGAGVHSDMITMFSVVLSFVFFPLQETENFREGIKTSVLIGAALMLQTLLAAKISPVFMNWINQSNPEGKPLKGSHLVLSMAFMTVICSLAPWMGYNSCLSAFMGGLFLPSEGRISKWTISRINNLLSTLFYPLFFFWVGLRVDISSFAVGNIGTWVRLFCLILIATIGKVGGTLICGLTLGYHRPELVAVGLLLTAKGHFHVYFAVDALRQGKIDVTTCISMVILIFLSVIHTPFVVKHIIERARKRVPVQRMTLRWLAPSSELRILLCLHGTHNLESGISLMEISRGKPAPGLFVHVTDMIELTEKIASTLVPGEGVDNMTITDNSVTEMRDEITRAFQTHTNENVDGGIMLSRMLVLSTFNSMPHDISVLAEDLMVSLILLPFHKRVKADGTLDEGHPGFRHVNRKLLRNAPCSIGILVDRGFGFTERLSTSSVSKVAVIFIGGKDDQEALAYAGRVVWHPGVELTVIRFLVDKNSEHAPRRVNNRASVAEQEEEMKLDDECFAEFYEKYVAGGNVAYMEKHLANSSETYTNLMSIEGQYSLIIVGRAGRVNTVLTQGLNDWQQCPELGPVGDVLSGSNSASGTSILIIQLHNIKGQLDGLSDEFSIM >KJB26221 pep chromosome:Graimondii2_0_v6:4:56776023:56777881:-1 gene:B456_004G231100 transcript:KJB26221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKASVKKGPWSPEEDSKLKDYIEKYGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPNIKHGEFSEEEDMVICNLFATIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMALSSQSQRKPPPLPIPSSHQTIPPSYKDCSSYYCTPSTRSFAGFEPLSTVQSDLLNHNNTFLATDSSLNHTTPDSFVSYYPVKEKFLMFGSEPSCSSSDGSSHGKEIKQEDVSNFQGFCAPNGYEDNHKFMLSYGNRNQWAEKPSGYHGETPLDYDLEDVKRLIDSSSSNNSCNNNNNNHFFIDESKRQEKVMYYYY >KJB26270 pep chromosome:Graimondii2_0_v6:4:57165432:57166094:1 gene:B456_004G234100 transcript:KJB26270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSPCASCKLLRRRCAKDCIFAPYFPSDDPHKFAIVHRVFGASNVSKMLQELPVQQRADAVSSLVYEANARVRDPVYGCVGAISYLENQVSQLQMQLAVAQTEMLCIRMQQESALPMPMPTQMQQDDKSFLAATNNLDNISQYLNFASSSSSSNVIQDPSALKRESLWT >KJB25775 pep chromosome:Graimondii2_0_v6:4:54072861:54075166:-1 gene:B456_004G208300 transcript:KJB25775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASFSLSFTDQDGFSSSSSQSLGDLPESCVASIIGYLDPPQICKLAKLNRAFRAASWADFVWESKLPPNYETLVGEVLSFVPEKLGKRDIYTRLCRVNTFDGGTKKIWLDKSTGGVCMSISSKGLQITGIDDRRYWNHIPTEESRFGSIAYLQQIWWFEVDGEVEFPFPPGTYSVFFRLQLGRAARRFGRRICNSEHVHGWDIKPVRFQLWTSDGQYATSQCTVSDPGEWFHYHVGDFNVENSNSSTRIKISMTQIDCTHTKGGLCLDSVVIYPSKFRERLKQRGYLKCAKPM >KJB27026 pep chromosome:Graimondii2_0_v6:4:60811813:60815086:-1 gene:B456_004G273600 transcript:KJB27026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRLQGEKAAMKMEASQYKRLAEEKIGHAEESLAILEELVYQKEMEISSLEFQIQAYRYKLLSLGYDDLNDLESQFTMIQSTEKTNGFIGEKGVKSTVKRLSSLPASLPIGFGAKKNSNDGENNLGPVQDLCLSADTGSSDMVVCGLGSESRRSSVNSTTGDFKSYLEQIRLLDEKVKEISDCELKKNSDVSKSHEDSLERSISSTACCTSIVHDIFEVTETRAKKEKCIGKSVLGSDDRLTKPDLIMEDTLGLPVKDEIDCIKMNNFLSAKPEKVSCKLRDEYGTECVKVNKVLSVNHDKSLYNKLIDHTDADCKSGPICRATTDVTDYQSELKQLSQRVEQLESGRNNARHDEIVEAREEELNLLKDVREQLNLIQSEMQNWRPKKLELASRSAEVDLVPLTEAMLYFWL >KJB27025 pep chromosome:Graimondii2_0_v6:4:60811331:60815086:-1 gene:B456_004G273600 transcript:KJB27025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSAATLPTQETDVTVLKDTLRSQQQLLQKLYSELDVERESSATATNEALSMILRLQGEKAAMKMEASQYKRLAEEKIGHAEESLAILEELVYQKEMEISSLEFQIQAYRYKLLSLGYDDLNDLESQFTMIQSTEKTNGFIGEKGVKSTVKRLSSLPASLPIGFGAKKNSNDGENNLGPVQDLCLSADTGSSDMVVCGLGSESRRSSVNSTTGDFKSYLEQIRLLDEKVKEISDCELKKNSDVSKSHEDSLERSISSTACCTSIVHDIFEVTETRAKKEKCIGKSVLGSDDRLTKPDLIMEDTLGLPVKDEIDCIKMNNFLSAKPEKVSCKLRDEYGTECVKVNKVLSVNHDKSLYNKLIDHTDADCKSGPICRATTDVTDYQSELKQLSQRVEQLESGRNNARHDEIVEAREEELNLLKDVREQLNLIQSEMQNWRPKKLELASRSAEVDLVPLTEAMLYFWL >KJB27024 pep chromosome:Graimondii2_0_v6:4:60811972:60813458:-1 gene:B456_004G273600 transcript:KJB27024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSAATLPTQETDVTVLKDTLRSQQQLLQKLYSELDVERESSATATNEALSMILRLQGEKAAMKMEASQYKRLAEEKIGHAEESLAILEELVYQKEMEISSLEFQIQAYRYKLLSLGYDDLNDLESQFTMIQSTEKTNGFIGEKGVKSTVKRLSSLPASLPIGFGAKKNSNDGENNLGPVQDLCLSADTGSSDMVVCGLGSESRRSSVNSTTGDFKSYLEQIRLLDEKVKEISDCELKKNSDVSKSHEDSLERSISSTACCTSIVHDIFEVTETRAKKEKCIGKSVLGSDDRLTKPDLIMEDTLGLPVKDEIDCIKMNNFLSAKPEKVSCKLRDEYGTECVKVNKVLSVNHDKSLYNKLIDHTDADCKSGPICRATTDVTDYQSELKQLSQRVEQLESGRNNARHDEIVEAREEELNLLKDVREQLNLIQSEMQNWRPKKLELASRSAEVDLVPLTEAMLYFWL >KJB25398 pep chromosome:Graimondii2_0_v6:4:50520888:50531560:1 gene:B456_004G189700 transcript:KJB25398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQYETASQPDPATDAYTFLEFNTQGESDFEYTDFRDPIRSWPTPSDAHSAERSGSDHHSDTAASSSPSSASKGAGRGVASNNNSHSSSISNSAAVVDALATGISGLNFEETVGDEDGGYDYGKGDFAEHSCRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRSKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKIPSEQEQLRARQISAQQINKVEELWKTNPDASFEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPVWQAVGHVIKLTAQEEVALELRASQGVPIDVNHGFSVDFVWKSTSFDRMQGALKTFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISTTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTINERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIIVSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKENECLVEGPLNNLKQSMVQFQKPKKIYNERRLFFGGGPGIVPNDNFGAASSSPNIDRRSSRARGTYMPPGPPNGTHKPGVHPTGFPMPRVPLPPFPGSPSQPYAIPSRGAVHGPVRAVPQVPQPGSRGFGAGRGNASAPIGHHVPHQQGTQQNVGTIGSPFNFPPLDIPNSQPSVGGPLSQPGFVNNMPVQGASQTIRDGFSMGGTSQDFLGEDFKSQGSHVPYNIADFSTQGGYAVDYASQGAQSGFPGNFLNQNSQAGYSRFGAGNDFMTQDYMNHGSQGLFTQAGFNDPSQDDASQSHFGAANPNQLQSQVCRI >KJB25397 pep chromosome:Graimondii2_0_v6:4:50520797:50531599:1 gene:B456_004G189700 transcript:KJB25397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQYETASQPDPATDAYTFLEFNTQGESDFEYTDFRDPIRSWPTPSDAHSAERSGSDHHSDTAASSSPSSASKGAGRGVASNNNSHSSSISNSAAVVDALATGISGLNFEETVGDEDGGYDYGKGDFAEHSCRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRSKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKIPSEQEQLRARQISAQQINKVEELWKTNPDASFEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPVWQAVGHVIKLTAQEEVALELRASQGVPIDVNHGFSVDFVWKSTSFDRMQGALKTFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISTTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTINERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEENECLVEGPLNNLKQSMVQFQKPKKIYNERRLFFGGGPGIVPNDNFGAASSSPNIDRRSSRARGTYMPPGPPNGTHKPGVHPTGFPMPRVPLPPFPGSPSQPYAIPSRGAVHGPVRAVPQVPQPGSRGFGAGRGNASAPIGHHVPHQQGTQQNVGTIGSPFNFPPLDIPNSQPSVGGPLSQPGFVNNMPVQGASQTIRDGFSMGGTSQDFLGEDFKSQGSHVPYNIADFSTQGGYAVDYASQGAQSGFPGNFLNQNSQAGYSRFGAGNDFMTQDYMNHGSQGLFTQAGFNDPSQDDASQSHFGAANPNQLQSQGLMNSLYSQPFAHYNTQPLNLQSPQQQQPQQGQGSQNQNLHYNG >KJB25396 pep chromosome:Graimondii2_0_v6:4:50520797:50531560:1 gene:B456_004G189700 transcript:KJB25396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQYETASQPDPATDAYTFLEFNTQGESDFEYTDFRDPIRSWPTPSDAHSAERSGSDHHSDTAASSSPSSASKGAGRGVASNNNSHSSSISNSAAVVDALATGISGLNFEETVGDEDGGYDYGKGDFAEHSCRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRSKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKIPSEQEQLRARQISAQQINKVEELWKTNPDASFEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPVWQAVGHVIKLTAQEEVALELRASQGVPIDVNHGFSVDFVWKSTSFDRMQGALKTFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISTTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTINERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIIVSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKENECLVEGPLNNLKQSMVQFQKPKKIYNERRLFFGGGPGIVPNDNFGAASSSPNIDRRSSRARGTYMPPGPPNGTHKPGVHPTGFPMPRVPLPPFPGSPSQPYAIPSRGAVHGPVRAVPQVPQPGSRGFGAGRGNASAPIGHHVPHQQGTQQNVGTIGSPFNFPPLDIPNSQPSVGGPLSQPGFVNNMPVQGASQTIRDGFSMGGTSQDFLGEDFKSQGSHVPYNIADFSTQGGYAVDYASQGAQSGFPGNFLNQNSQAGYSRFGAGNDFMTQDYMNHGSQGLFTQAGFNDPSQDDASQSHFGAANPNQLQSQGLMNSLYSQPFAHYNTQPLNLQSPQQQQPQQGQGSQNQNLHYNG >KJB24416 pep chromosome:Graimondii2_0_v6:4:40721231:40724246:1 gene:B456_004G144500 transcript:KJB24416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRFCSPRFLVFVFLISAIPIAYIISEERAVPTTHVFHYHSAGFFRECAKWDDQGRRFLVSFLEGGVGEIRVPDDYAPGPGAVVLKEVTLVKDFDLAGNSSLGIAVDRLRNRLLVVVADLLGNRYSGLAAYDLSSWKRLFLTRLSGPSDEKSFADDVAIDAGGNAYVTDAKASKIWKVGADGEFLSIIRNPLFTPKQWYKTLVALNGIVYHPDGYLIVIHTFSGNLFKIDLAKGYEVKLIEVVGGSLVFGDGLDLLSPTKLVVAGNPSGRLVESSDGWETASVVAKFKGPIHRLSTAATVKDGKVYLNHLVGMGYPKKTHALVEAVF >KJB22193 pep chromosome:Graimondii2_0_v6:4:2814773:2820529:-1 gene:B456_004G034500 transcript:KJB22193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIENPSSDPSCSPQFTGSDDEVDLLKQPSLDHHHHKNPLPNFSIRDYVFNGRSKDINRNWPFSSESLQLVLKHGMKDPLPPFQHLDIVRNQPKETHVVETNPIEKQRSTRHGVLDSYDIDSVLVDKQSISVEEGSSAQVKAVVALKSQKTEKTTKPSGKKCRLIVKFGGHSDCSSTEDIASNCSVVSESMGSKVCPVCKSFSSSSNTTLNAHIDQCLSVESTPKWTVDSKLTRHKIKPRKTKLLVDVYATAKSYTLEELDRRNGRSWALASNISDFEGLEMCDEGKKKRTYSQNNGEGTVDLGAVYVDANGTKVRILSKVNDVPVLVPLPPVSKHGDDLGPSYKSLKIGKGSKKKRCHALKHHKYLKHAPQCRKNCSHKTPSSMIVGGREGYREEEDSCKRVELCVSKQIKSNDTRNFKESVCYKQEGLSRTPNNQEKTGDLRFQSDQSHEGGRVMERNCVRKLKHSSKNPFSSPRKCARIEKPVYEAPVICRKERSLGMKRVRSALFQASMQNKVEKLLHQREQNAKQSISEDRPCLDDDHTMRSLNNENCTSSLSEMMVDIDANSNPDSPIADTTTTISHQFSAPKCFSFSLQKKKISASSRSSMVESGSNLVKKHSTRENQLHFMAEIDEAIARDYEADQECKLVHDDAKNQREGNEITEELPSEPHSYYHDETENMYSSTGGSEDILDKLDGLESVEETITSLSQSLGTKPGKLSNIPNKISDSFQTNEDYTGPLCGGEGLVFPTEPNLVDKPNMFCAEVGLNIIGQAANVGELDSDVAQSNSFLEVDPILIPGQPGSFLPSPRDMGSDDFQGNASTANQIRSSRDQLDLVDGDSSDSPLSVLSTISNSMEARFDLKYEEPLAFLGAPAAMETDRSGYSTAKSDTLVENGVAVEHTRTFEGEKFQVPRISIEKKPFFSKNDDQPCCCQTKERSFQGFALNYHESQLLRRRTMASMMVPAIRMRNGANPGFGPNNLAARPETFSLTSNAELGSEQMVLPVMKPPLGPIPFKGCPDGGVKLSGHGVCDSASPSSSNPVLRLMGKNLMVVNKEEEASMPLGQGQPCAQSDNRNQDEMPFHHAMPPCSMIFSQNPNDLVERTFDAYSTNGYRNRATLATPPQTTLQLPVGLFLDEHRHHSFTTSMEPYKLLPTARCNMEKVSTLDCKDRSEESIVCSKEVIVIDDDPKIESNKTTDIAKRSEVSGDTHGISKPLDRNHSIANHRYPVVIQNNNFHGITSSSFMGTSHFR >KJB22592 pep chromosome:Graimondii2_0_v6:4:5332857:5333794:1 gene:B456_004G055900 transcript:KJB22592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEENRKAMADKKKKMWLMGAGFIGGVADGSVAPLIIYLIGRISASAAGMLTHNVHQVDLYLVLTACGRWVGSLLDGFCWTRTGDRQATRMRTRYLKAVLRQEVGYFDLNMTNTAEVVTGIANDCFTIQEVISEKVPTLITRGVTFIGTCIAAFLILWRLAIVFFPFLSAAASYFNIWKSFTISYKEGYGGLE >KJB27217 pep chromosome:Graimondii2_0_v6:4:61629226:61632559:1 gene:B456_004G285300 transcript:KJB27217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLVSPLCNQSQSPLLHWIGDRRKAFISPPCNGGSATSKDGGAFEPCNEYYSSKNGSFSSFFGSKNVSFNINHKHPRLNRGVARSGQAMAVAVEPAREIRTKQKPPTKQRRVVVTGMGVVTPLGHDPDVFYNNLLEGASGISEIEAFDCAQFPTRIAGEIKSLSADGWIAPKLSKRMDKFMLYMLIAGKKALEDGGVTEDVMEELDKEKCGVLIGSAMGGMKVFNDAIEALRISYRKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHMIRGEADMMLCGGSDAAIIPIGLGGFVACKALSKRNGDPTKASRPWDVNRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFTCDAYHMTEPHPDGNKLDLYYYKSLRFCISYFRWQCHENQARTCNLHA >KJB27216 pep chromosome:Graimondii2_0_v6:4:61629129:61633734:1 gene:B456_004G285300 transcript:KJB27216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLVSPLCNQSQSPLLHWIGDRRKAFISPPCNGGSATSKDGGAFEPCNEYYSSKNGSFSSFFGSKNVSFNINHKHPRLNRGVARSGQAMAVAVEPAREIRTKQKPPTKQRRVVVTGMGVVTPLGHDPDVFYNNLLEGASGISEIEAFDCAQFPTRIAGEIKSLSADGWIAPKLSKRMDKFMLYMLIAGKKALEDGGVTEDVMEELDKEKCGVLIGSAMGGMKVFNDAIEALRISYRKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHMIRGEADMMLCGGSDAAIIPIGLGGFVACKALSKRNGDPTKASRPWDVNRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFTCDAYHMTEPHPDGVGVVRCIEKALAQSGVPREDINYINAHATSTPSGDIKEYQALLHCFGKNPELRVNSTKSMIGHLLGAAGAVEAVAAVQAIRTGWVHPNINLENPDQEVDTNVLVGPKKERLNVKAALSNSFGFGGHNSSIIFAPYK >KJB27218 pep chromosome:Graimondii2_0_v6:4:61629226:61633017:1 gene:B456_004G285300 transcript:KJB27218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLVSPLCNQSQSPLLHWIGDRRKAFISPPCNGGSATSKDGGAFEPCNEYYSSKNGSFSSFFGSKNVSFNINHKHPRLNRGVARSGQAMAVAVEPAREIRTKQKPPTKQRRVVVTGMGVVTPLGHDPDVFYNNLLEGASGISEIEAFDCAQFPTRIAGEIKSLSADGWIAPKLSKRMDKFMLYMLIAGKKALEDGGVTEDVMEELDKEKCGVLIGSAMGGMKVFNDAIEALRISYRKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHMIRGEADMMLCGGSDAAIIPIGLGGFVACKALSKRNGDPTKASRPWDVNRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFTCDAYHMTEPHPDGVGVVRCIEKALAQSGVPREDINYINAHATSTPSGDIKEYQALLHCFGKNPEVNFS >KJB27219 pep chromosome:Graimondii2_0_v6:4:61629226:61633649:1 gene:B456_004G285300 transcript:KJB27219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLVSPLCNQSQSPLLHWIGDRRKAFISPPCNGGSATSKDGGAFEPCNEYYSSKNGSFSSFFGSKNVSFNINHKHPRLNRGVARSGQAMAVAVEPAREIRTKQKPPTKQRRVVVTGMGVVTPLGHDPDVFYNNLLEGASGISEIEAFDCAQFPTRIAGEIKSLSADGWIAPKLSKRMDKFMLYMLIAGKKALEDGGVTEDVMEELDKEKCGVLIGSAMGGMKVFNDAIEALRISYRKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHMIRGEADMMLCGGSDAAIIPIGLGGFVACKALSKRNGDPTKASRPWDVNRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFTCDAYHMTEPHPDGVGVVRCIEKALAQSGVPREDINYINAHATSTPSGDIKEYQALLHCFGKNPEVNFS >KJB27220 pep chromosome:Graimondii2_0_v6:4:61629226:61633649:1 gene:B456_004G285300 transcript:KJB27220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLVSPLCNQSQSPLLHWIGDRRKAFISPPCNGGSATSKDGGAFEPCNEYYSSKNGSFSSFFGSKNVSFNINHKHPRLNRGVARSGQAMAVAVEPAREIRTKQKPPTKQRRVVVTGMGVVTPLGHDPDVFYNNLLEGASGISEIEAFDCAQFPTRIAGEIKSLSADGWIAPKLSKRMDKFMLYMLIAGKKALEDGGVTEDVMEELDKEKCGVLIGSAMGGMKVFNDAIEALRISYRKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHMIRGEADMMLCGGSDAAIIPIGLGGFVACKALSKRNGDPTKASRPWDVNRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFTCDAYHMTEPHPDGVGVVRCIEKALAQSGVPREDINYINAHATSTPSGDIKEYQALLHCFGKNPELRVNSTKSMIGHLLGAAGAVEAVAAVQVCNSEISLCFFPNLNIGSVMSYFLCRR >KJB25153 pep chromosome:Graimondii2_0_v6:4:48753307:48756211:1 gene:B456_004G178700 transcript:KJB25153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKDEKNQSQSDRALKIIPVTLLVFALCGLSFYLGGIFCSEKSLLEGKIVQELSKAVSSPEYSAENALQIKPVTFSECSIDYQDYTPCTDPRRWKKYGYRRLTFLERHCPPLYERKECLVPPPVGYKPPIRWPKSRKECWYRNVPYDWINKQKSNQNWLKKKGEKFLFPGGGTMFPKGVGAYVNLMQDLIPEMRDGTVRTAIDTGCGVASWGGDLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSSSFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPINYEHRYQGWNTTIEEQRAALEKLQDLLTSMCFTVYATQDDIAVWQKSSDIGCYEKLTGPDVYPGTCDDSIEQDAAWYTPLRPCVTSPRQKLKRSSVGSLPKWPQRLNIAPERISDVPGGSGNALKRDDSNWKVRAQHYKKILPAIGTDKIRNVMDMNTVYGGLAAALIDDPLWVMNVVSSYSANTLPVVFDRGLVGTYHDWCEAFSTYPRTYDLLHLDGLFAAESHRCHMKYVLLEMDRILRPEGHALIWESSYFMDAIETIAKGMRWNCHKEDTENSGENEKILVCQKTLWYASSTNSTR >KJB25154 pep chromosome:Graimondii2_0_v6:4:48752741:48756211:1 gene:B456_004G178700 transcript:KJB25154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKDEKNQSQSDRALKIIPVTLLVFALCGLSFYLGGIFCSEKSLLEGKIVQELSKAVSSPEYSAENALQIKPVTFSECSIDYQDYTPCTDPRRWKKYGYRRLTFLERHCPPLYERKECLVPPPVGYKPPIRWPKSRKECWYRNVPYDWINKQKSNQNWLKKKGEKFLFPGGGTMFPKGVGAYVNLMQDLIPEMRDGTVRTAIDTGCGVASWGGDLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSSSFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPINYEHRYQGWNTTIEEQRAALEKLQDLLTSMCFTVYATQDDIAVWQKSSDIGCYEKLTGPDVYPGTCDDSIEQDAAWYTPLRPCVTSPRQKLKRSSVGSLPKWPQRLNIAPERISDVPGGSGNALKRDDSNWKVRAQHYKKILPAIGTDKIRNVMDMNTVYGGLAAALIDDPLWVMNVVSSYSANTLPVVFDRGLVGTYHDWCEAFSTYPRTYDLLHLDGLFAAESHRCHMKYVLLEMDRILRPEGHALIWESSYFMDAIETIAKGMRWNCHKEDTENSGENEKILVCQKTLWYASSTNSTR >KJB25152 pep chromosome:Graimondii2_0_v6:4:48753338:48756211:1 gene:B456_004G178700 transcript:KJB25152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKDEKNQSQSDRALKIIPVTLLVFALCGLSFYLGGIFCSEKSLLEGKIVQELSKAVSSPEYSAENALQIKPVTFSECSIDYQDYTPCTDPRRWKKYGYRRLTFLERHCPPLYERKECLVPPPVGYKPPIRWPKSRKECWYRNVPYDWINKQKSNQNWLKKKGEKFLFPGGGTMFPKGVGAYVNLMQDLIPEMRDGTVRTAIDTGCGVASWGGDLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSSSFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPINYEHRYQGWNTTIEEQRAALEKLQDLLTSMCFTVYATQDDIAVWQKSSDIGCYEKLTGPDVYPGTCDDSIEQDAAWYTPLRPCVTSPRQKLKRSSVGSLPKWPQRLNIAPERISDVPGGSGNALKRDDSNWKVRAQHYKKILPAIGTDKIRNVMDMNTVYGGLAAALIDDPLWVMNVVSSYSANTLPVVFDRGLVGTYHDWCEAFSTYPRTYDLLHLDGLFAAESHRCHMKYVLLEMDRILRPEGHALIWESSYFMDAIETIAKGMRWNCHKEDTENSGENEKILVCQKTLWYASSTNSTR >KJB25151 pep chromosome:Graimondii2_0_v6:4:48752584:48756211:1 gene:B456_004G178700 transcript:KJB25151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKDEKNQSQSDRALKIIPVTLLVFALCGLSFYLGGIFCSEKSLLEGKIVQELSKAVSSPEYSAENALQIKPVTFSECSIDYQDYTPCTDPRRWKKYGYRRLTFLERHCPPLYERKECLVPPPVGYKPPIRWPKSRKECWYRNVPYDWINKQKSNQNWLKKKGEKFLFPGGGTMFPKGVGAYVNLMQDLIPEMRDGTVRTAIDTGCGVASWGGDLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSSSFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPINYEHRYQGWNTTIEEQRAALEKLQDLLTSMCFTVYATQDDIAVWQKSSDIGCYEKLTGPDVYPGTCDDSIEQDAAWYTPLRPCVTSPRQKLKRSSVGSLPKWPQRLNIAPERISDVPGGSGNALKRDDSNWKVRAQHYKKILPAIGTDKIRNVMDMNTVYGGLAAALIDDPLWVMNVVSSYSANTLPVVFDRGLVGTYHDWCEAFSTYPRTYDLLHLDGLFAAESHRCHMKYVLLEMDRILRPEGHALIWESSYFMDAIETIAKGMRWNCHKEDTENSGENEKILVCQKTLWYASSTNSTR >KJB22821 pep chromosome:Graimondii2_0_v6:4:7039961:7049030:1 gene:B456_004G067200 transcript:KJB22821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIKGVNRSAQVAMAPDAPYMAAGTMAGAVDMSFSSSANLEIFKFDFQSGDRELPLVGECPSSERFNRLAWGKNGSGSDEFSLGLIAGGLVDGNIDLWNPSNLIRSMSSEQAIVGRLSRHKGPVRGLEFNAIAPNLLASGAEDGEICIWDLSAPAQPSHFPPLKGSGSAAQGEISYLSWNSKVQHILASTSHNGTTVVWDLKKQKPVISFADSVRRRCSVLQWHPDVATQLVVASDEDGSPILRLWDMRNIMSPVKEFVGHTKGVIAMAWCPSDSSYLLTCAKDNRTICWDTVTGEIVCELPAGTNWNFDVHWYTKIPGVISASSFDGKIGIYNIEGCSRYGVGEGDFGAVSLRAPKWYKRPVGVSFGFGGKVVSFRPQIHGVGTSPSSEVFVHNLVAEESLVSRSSEFESAIQNGERSSLRVLCEKKSQESESQHDRETWGFLKVMFEDDGTARTKLLMHLGFSPPAEEKDTVQNDLSQSVTDITLEEKVSYEKEATPFAADNGEDFFNNLPSPKADTPVATSENNFHVESAVPSTDLTPQESDGLEESADPSFDDAVLRALVVGDYKGAVAQCIAANKMADALVIAHVGGTSLWESTCDQYLKMSHSPHLKVVSAMVNNDLMSLVNRRPLKLWKETLALLCTFAQREEWTVLCDMLASKLMASGNTLAATLCYICAGNIDKTVEIWSRCLTTEHDGKSYVDLLQDLMEKTIVLALATGQKQYSASLCKLVEKYAEILASQGLLITAMEYLKLLGSDELSPELVILKDRIALSTEPAKKREIEDNSKKIGALFAKLNSGDISKNASDKLIQLCQALDNNDFGTALHIQVLLTTNEWDECNFWLATLKRMIKTRQNVR >KJB22820 pep chromosome:Graimondii2_0_v6:4:7039879:7049083:1 gene:B456_004G067200 transcript:KJB22820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIKGVNRSAQVAMAPDAPYMAAGTMAGAVDMSFSSSANLEIFKFDFQSGDRELPLVGECPSSERFNRLAWGKNGSGSDEFSLGLIAGGLVDGNIDLWNPSNLIRSMSSEQAIVGRLSRHKGPVRGLEFNAIAPNLLASGAEDGEICIWDLSAPAQPSHFPPLKGSGSAAQGEISYLSWNSKVQHILASTSHNGTTVVWDLKKQKPVISFADSVRRRCSVLQWHPDVATQLVVASDEDGSPILRLWDMRNIMSPVKEFVGHTKGVIAMAWCPSDSSYLLTCAKDNRTICWDTVTGEIVCELPAGTNWNFDVHWYTKIPGVISASSFDGKIGIYNIEGCSRYGVGEGDFGAVSLRAPKWYKRPVGVSFGFGGKVVSFRPQIHGVGTSPSSEVFVHNLVAEESLVSRSSEFESAIQNGERSSLRVLCEKKSQESESQHDRETWGFLKVMFEDDGTARTKLLMHLGFSPPAEEKDTVQNDLSQSVTDITLEEKVSYEKEATPFAADNGEDFFNNLPSPKADTPVATSENNFHVESAVPSTDLTPQESDGLEESADPSFDDAVLRALVVGDYKGAVAQCIAANKMADALVIAHVGGTSLWESTCDQYLKMSHSPHLKVVSAMVNNDLMSLVNRRPLKLWKETLALLCTFAQREEWTVLCDMLASKLMASGNTLAATLCYICAGNIDKTVEIWSRCLTTEHDGKSYVDLLQDLMEKTIVLALATGQKQYSASLCKLVEKYAEILASQGLLITAMEYLKLLGSDELSPELVILKDRIALSTEPEKETTSTAFENSHLASGSVFEPMQQIYPESTTSQIQPSVPSSAYDENYQRSFSQYGGYVPPPSYRPQPPAPANTFVPTQSPHVSQGNFAPSPGTTQPVVRPFVPSNPPGLRNADQYQQPAALGSQLYPGAANPTYPVPQGTGSPAPVPLQMGSVPGPKVPHFVAPTPTPRGFMPVTDTPVVQRPGMSPVQSTSLTQSASIQLAAAPAAPPPTVQTADTSNVPAHQKPVITTLTRLFNETSQALGGPRANPAKKREIEDNSKKIGALFAKLNSGDISKNASDKLIQLCQALDNNDFGTALHIQVLLTTNEWDECNFWLATLKRMIKTRQNVR >KJB23531 pep chromosome:Graimondii2_0_v6:4:19803739:19804351:-1 gene:B456_004G103400 transcript:KJB23531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPFMNYYKPNRWIHGLLDLPLLGPSQYLQEFEKFSKEERNYLALHVDILFEIALLCQKKDSYTDLIYSKNTFGRILMISQRLSFGEFLFTDPIFFEINPLQEYVELDMSRSTRECSFIDIITSIRYWVIHSITISSLFSAGWSFVSTGLAYDVFGSPRPNEYFIGSRQGIPLITGYFDSLAQLDEFSRSF >KJB25900 pep chromosome:Graimondii2_0_v6:4:54776104:54778499:-1 gene:B456_004G214900 transcript:KJB25900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDALLKQLEESTKDFSRHQAETLRSILQHQRGVRYLQRHLPDAGDHNLPIDAATFRRSVPLSCYDDYADYINQLADGDKCTSDDDHDPRHLLSVDPLVCFFYSSGTSSMKPKLIPYFDSTLSKGASHIAHQGSAAVLRKFFPPRPEVNKRLVFIYADSITTTKGGFKVMAASSFPLHGSSNPNRSLFMSLSSPMEVILGSNVEHQMYCHLLCGLRNSDSIDAIHVPYAIGLIKAMRVLESKWKQLCDDIEKGFLCSVINDVRMRDSVVEVLGGPQPDLSNRIRLIFEKKDWGGILNKLWPNVRYIRSVTTGSMKQYYSKLKYYAGEVPLVGGDYFASECCVAINLDIKQPPDLTRFVMLPTAAYFEFLPFDLAENNVVGEETVDVCGVEVGKMYEVVVTTYRGFYRYRLGDIVRVVGFYNSSPLLEFVMRAPKTSYEIVTEGDLMAAMENFQLVLRNTMGMAIEIVEFTSSVDFGSSPKRLKIFIEAKECDMILQDKLQESNLRKCCSDLEDSLGSIYKVQRNKGEISPLSLSVLKCGSFDRLLKLAIENGTPASQYKPPKIIRNPSIVDVLEENVIVTI >KJB26057 pep chromosome:Graimondii2_0_v6:4:55726192:55726725:-1 gene:B456_004G223000 transcript:KJB26057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVTSKIPKQPVPSSSSLFFPNPPPPIHLRSSTRLRPIPESEVSLEQCFYRSTYSTFEFQRVWTYMAESI >KJB22255 pep chromosome:Graimondii2_0_v6:4:3078926:3080794:1 gene:B456_004G037300 transcript:KJB22255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPVADPPNMGKDNNKVKLRKGLWSPEEDEKLMKYMLSNGQGCWSDIARNAGLQRCGKSCRLRWINYLRPDLKRGAFCPQEEDLIIHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTLKKRLKTTTTTPTCSSLNNSDSISEQPRTDGVGAIFPVNEHDIDIMGASLSSSSSSPTSMSYPSMVTLNQFDPFPHLNNSYDMKGSFNAPTWLTTTQGGWGEGLFYGDYGKIGLEGEFSLPPLETTAAIANNNNNSCFNNTAGEKNHGQSFKVEVDNMFGLENNHPHWHGESLRMGEWDFEELMDNISSFPNFLDNFHC >KJB22808 pep chromosome:Graimondii2_0_v6:4:6875192:6878229:1 gene:B456_004G066800 transcript:KJB22808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSPLSAVNLSCPLSVSSPPITCSTKIRPQQLIRSSNRSSVYCLTGSQKDSKDALSRIIRREAAIEGIGRKAKSKKQRKRLWPKAVLEALDEAIKDNAWYSALEIFALLRKQQWYEPRCQTYTKLIVMLGKCKQPEQASLLFETMLSEGLKPAIDVYTALVHAYGKSGLIDKAFSAVEDMKSVFDCKPDVYTYSILIDSCVKHRRFDLMSRILAEMSYLGIGFSTITYNSIIDGYGKAELFEDMENLLTDMIESGDSLPDIFTFNSIIGAYGNIGQIEKMEKWYEEFQLMGIRPDINTFNILIKSFGKTGMYEKMGSVMKFMSKRFFSPTIVTYNIVIEVLGKAGKIEKMEEYFKEMKHKGMKPNAITYCSLVSAYSKAGLIKKVASILRQVENSDVILDTPFFNCVISAYGKVDDIKRMAELFMLMKEKKCMPDNITFATMIQAYNTHGMIEAAQDLQNKLINNTSKSLVMVKEMRRNEVM >KJB22811 pep chromosome:Graimondii2_0_v6:4:6875239:6877769:1 gene:B456_004G066800 transcript:KJB22811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKCKQPEQASLLFETMLSEGLKPAIDVYTALVHAYGKSGLIDKAFSAVEDMKSVFDCKPDVYTYSILIDSCVKHRRFDLMSRILAEMSYLGIGFSTITYNSIIDGYGKAELFEDMENLLTDMIESGDSLPDIFTFNSIIGAYGNIGQIEKMEKWYEEFQLMGIRPDINTFNILIKSFGKTGMYEKMGSVMKFMSKRFFSPTIVTYNIVIEVLGKAGKIEKMEEYFKEMKHKGMKPNAITYCSLVSAYSKAGLIKKVASILRQVENSDVILDTPFFNCVISAYGKVDDIKRMAELFMLMKEKKCMPDNITFATMIQAYNTHGMIEAAQDLQNKLINNTSKSLVMVKEMRRNEVM >KJB22809 pep chromosome:Graimondii2_0_v6:4:6874910:6878300:1 gene:B456_004G066800 transcript:KJB22809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSPLSAVNLSCPLSVSSPPITCSTKIRPQQLIRSSNRSSVYCLTGSQKDSKDALSRIIRREAAIEGIGRKAKSKKQRKRLWPKAVLEALDEAIKDNAWYSALEIFALLRKQQWYEPRCQTYTKLIVMLGKCKQPEQASLLFETMLSEGLKPAIDVYTALVHAYGKSGLIDKAFSAVEDMKSVFDCKPDVYTYSILIDSCVKHRRFDLMSRILAEMSYLGIGFSTITYNSIIDGYGKAELFEDMENLLTDMIESGDSLPDIFTFNSIIGAYGNIGQIEKMEKWYEEFQLMGIRPDINTFNILIKSFGKTGMYEKMGSVMKFMSKRFFSPTIVTYNIVIEVLGKAGKIEKMEEYFKEMKHKGMKPNAITYCSLVSAYSKAGLIKKVASILRQVENSDVILDTPFFNCVISAYGKVDDIKRMAELFMLMKEKKCMPDNITFATMIQAYNTHGMIEAAQDLQNKLINNTSKSLVMVKEMRRNEVM >KJB22810 pep chromosome:Graimondii2_0_v6:4:6875239:6877795:1 gene:B456_004G066800 transcript:KJB22810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKCKQPEQASLLFETMLSEGLKPAIDVYTALVHAYGKSGLIDKAFSAVEDMKSVFDCKPDVYTYSILIDSCVKHRRFDLMSRILAEMSYLGIGFSTITYNSIIDGYGKAELFEDMENLLTDMIESGDSLPDIFTFNSIIGAYGNIGQIEKMEKWYEEFQLMGIRPDINTFNILIKSFGKTGMYEKMGSVMKFMSKRFFSPTIVTYNIVIEVLGKAGKIEKMEEYFKEMKHKGMKPNAITYCSLVSAYSKAGLIKKVASILRQVENSDVILDTPFFNCVISAYGKVDDIKRMAELFMLMKEKKCMPDNITFATMIQAYNTHGMIEAAQDLQNKLINNTSKSLVMVKEMRRNEVM >KJB22812 pep chromosome:Graimondii2_0_v6:4:6875239:6877769:1 gene:B456_004G066800 transcript:KJB22812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKCKQPEQASLLFETMLSEGLKPAIDVYTALVHAYGKSGLIDKAFSAVEDMKSVFDCKPDVYTYSILIDSCVKHRRFDLMSRILAEMSYLGIGFSTITYNSIIDGYGKAELFEDMENLLTDMIESGDSLPDIFTFNSIIGAYGNIGQIEKMEKWYEEFQLMGIRPDINTFNILIKSFGKTGMYEKMGSVMKFMSKRFFSPTIVTYNIVIEVLGKAGKIEKMEEYFKEMKHKGMKPNAITYCSLVSAYSKAGLIKKVASILRQVENSDVILDTPFFNCVISAYGKVDDIKRMAELFMLMKEKKCMPDNITFATMIQAYNTHGMIEAAQDLQNKLINNTSKSLVMVKEMRRNEVM >KJB27116 pep chromosome:Graimondii2_0_v6:4:61151477:61154045:-1 gene:B456_004G279100 transcript:KJB27116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PWRIRSLKKSKPTIVISTSSLHNVVDPFLNSSSEADFAAFNSNLLAGNACAIVNCGQGTCRETGGILISFECDCYPGWTKFSLFPPCIIPNSCTFTWCGDGSCKANGTGYECDCYEGYANLLAKPTLPCFKECNFFTKLSC >KJB24527 pep chromosome:Graimondii2_0_v6:4:41914707:41916874:1 gene:B456_004G149100 transcript:KJB24527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTMGMSSFSSSTFLFTPLHFANGGSSSRSYHAHIPKNTATIFLSSSLLPLSQSAHFPSLSVFPNIDSNRLRASAFNKGRKGGGGTTLFEMDGSDEDNDGDEFIDFDDEFDADDEDGDEAMFLPLGKMKKWLENKPRGFGEGKVYDTSIEEKLLEEIEQSRQAQTVNVNNLKNNPVKPGSKKGDQQNKKAESVPSGIRVRVGNLPKKKNIHRDLKAAFDGVSGIINISPAVSGNKKTKDPVCKGFAFVEFKHEVDAIRFVQIFSGHNLTFGRIQKQIKCEMINSLSHSPAHEELWDNGSITEEVAISHFVDGPNANFDMKNSSSDLSLESVSDEVDDHDDELVSDEFDDLDDELVSDEFDDQDDEFDEVEVGEGRNNLNAISEAEASTADIMEPRFKRAAADLIALTPLERIRALEQKLLARGKQQRVPKEQKGQKLERVGSNNKKKVVAKQNQQKVTKEQKVQKLDIPGSAKRLKIKEKAQLTGAFSKYGLKTPSNSKEES >KJB22180 pep chromosome:Graimondii2_0_v6:4:2759783:2762334:1 gene:B456_004G033600 transcript:KJB22180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGDEFSRFPKLAKSNSGLGIIQHGDSSNSINNVNNIFNVTDNVSNAGNISNTSNTNAVSTMPPPSPVLREQDQYMPIANVIRIMRRILPPHAKISDEAKETIQECVSEFISFITGEANERCQSEQRKTVTAEDILCAMGKLGFDDYIEPLTVYLTRYRQSENERTSLRGDTFLKRGNAYGPMMIPPHGVEPFNAGFQEGMTDATSAAARAIMGGYNHGAHPGGAAGSSSQQAPFDNNLDPFVEFK >KJB24528 pep chromosome:Graimondii2_0_v6:4:41916875:41918348:-1 gene:B456_004G149200 transcript:KJB24528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESTEAPRPRLNERILSAMSKRSVAAHPWHDLEIGSEAPTVFNCVVEISKGSKVKYELDKKTGMIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDCLVIMQEPVLPGCFLRARALGLMPMIDQGEKDDKIIAVCADDPEYKHFTDIKDLPPHRLTEIRRFFEDYKKNENKEVAVNDILPVNTAIEAIQYSMDLYNEYIIQTLKQ >KJB25333 pep chromosome:Graimondii2_0_v6:4:50058823:50059622:-1 gene:B456_004G186200 transcript:KJB25333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTMKEKISNMASSAKEHVNIGKAQLEEKLEKASASTEEQKELAHERKKAKEARAKMELHQDKVKHIQEKMRAKQPQYLHGYGYDLDHDPTLDRDETTAPPYHHTAHPPTHGHHKY >KJB21982 pep chromosome:Graimondii2_0_v6:4:1888062:1889507:-1 gene:B456_004G024600 transcript:KJB21982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKILIGSLVLLVYYILGRRGVSLQRIVKRQALFVKKFLVYLWELAFSYQVNPLAAIQPLSAAT >KJB23310 pep chromosome:Graimondii2_0_v6:4:12652944:12655438:1 gene:B456_004G091700 transcript:KJB23310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISLSLLLLAREEILEHKVFGSFDNGGDSQETKLEPSIKPNRTVILKKPTIKLIEPIVFSSPRPVTELDSTATKLQKVYKGWKTLDSAALRRCSISFNEIEKQETVISKWSRAKTTAAKLGKGLSKDENAQKLALQHWLEAIDPRHRYVHNLHFYYDVWSASKSSQPFFYWLDIGDGKELSLKKCQRTSLQQQCINYLRPLFFVGKLVYKQSRLLVNTIDDSKWIFVLSTSRSLYVGQKKKGVFQHSSFLSSGATTAAKRLVVSQGVLEAIWPYGGHYLPTEDNFKEFIIFLEEQNVDLTNVKRCTVDDDYAVCKVAVDESKHGEIKDPTAIVSLQSSIGKSATKVEEPAFNMANRLSCKWSSGVGPQIGCVNDYPKELQSQALEQVNLSPRIEHGRFRNCGPIPSPRPSPKIRVSPRLAYMGLPIPRVSVMAAN >KJB24155 pep chromosome:Graimondii2_0_v6:4:35219851:35223216:-1 gene:B456_004G130500 transcript:KJB24155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVAMGSALKQTLKNLCCSNGWCYGVFWRFDPRNSMLLTMEDAYYEQKMGPLVDKMLLKFHILGEGIIGQAAFTGKHQWIFSDSNGKGSDSAGNEKIFQDESELQVQFSSGIKTIAVISVGRRGVVQFGSTKKILERLELLAETEKLFYDMGSCHGLTPLEIETCNLDGWFTSFILGQNPTTEQGGCSVEPTGWSCSLQSLIEPSCSVHDYSMNSVQQNLSHLTSQIQTISIEAQPISAGKISRVNSLAANTPCTSEGSILTSLPGEKGEWDPSIVFPKKDTEQNLQGHSTFTSFYSTGELVDSELHNLDSFGKTKQEQHSFGTNAGLLDSVISQQRSIEEFNLDDFITDLSSSFTMDDFSQWFSPLPQHHIHGAGATTTTDPSCSVGVTSVPSVPIGGDAVINIPVGQIVNSSKSSIADALTSSVEKSTMVHDNGNDLFSGTGMDFRLRKAGELSENIDMPLLHSANKVVASGMSSSMAGKRKGLFSELGLEELLGQLEGLSCSGGGMNLAQHSHTWDKSNDTIFSKEFHLKSQVGLWIDDSYGVKAGNAVVTTAKKPTRKRAKPGESSRPRPKDRQLIQDRIKELRGIIPHSGKQLSIDHLLERTIKYLLFLQGVTKYADEIKQADEPKLIGQGNRKLLKHNTMSGGATWAFEVGAQSIPIVVKDLNPPGQMLVEV >KJB24156 pep chromosome:Graimondii2_0_v6:4:35218700:35223662:-1 gene:B456_004G130500 transcript:KJB24156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVAMGSALKQTLKNLCCSNGWCYGVFWRFDPRNSMLLTMEDAYYEQKMGPLVDKMLLKFHILGEGIIGQAAFTGKHQWIFSDSNGKGSDSAGNEKIFQDESELQVQFSSGIKTIAVISVGRRGVVQFGSTKKILERLELLAETEKLFYDMGSCHGLTPLEIETCNLDGWFTSFILGQNPTTEQGGCSVEPTGWSCSLQSLIEPSCSVHDYSMNSVQQNLSHLTSQIQTISIEAQPISAGKISRVNSLAANTPCTSEGSILTSLPGEKGEWDPSIVFPKKDTEQNLQGHSTFTSFYSTGELVDSELHNLDSFGKTKQEQHSFGTNAGLLDSVISQQRSIEEFNLDDFITDLSSSFTMDDFSQWFSPLPQHHIHGAGATTTTDPSCSVGVTSVPSVPIGGDAVINIPVGQIVNSSKSSIADALTSSVEKSTMVHDNGNDLFSGTGMDFRLRKAGELSENIDMPLLHSANKVVASGMSSSMAGKRKGLFSELGLEELLGQLEGLSCSGGGMNLAQHSHTWDKSNDTIFSKEFHLKSQVGLWIDDSYGVKAGNAVVTTAKKPTRKRAKPGESSRPRPKDRQLIQDRIKELRGIIPHSGKQLSIDHLLERTIKYLLFLQGVTKYADEIKQADEPKLIGQGNRKLLKHNTMSGGATWAFEVGAQSIPIVVKDLNPPGQMLVEMLCEDRGFFLEIAEVIRGFPLNILKGVMELQEDKIWARFIVEADKQIERTGIIWSLLPLLQQKDNSGIDCATQPSGDMDGGISLLNNHQQQPFLLRPVSVAETLQ >KJB24157 pep chromosome:Graimondii2_0_v6:4:35219851:35223216:-1 gene:B456_004G130500 transcript:KJB24157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVAMGSALKQTLKNLCCSNGWCYGVFWRFDPRNSMLLTMEDAYYEQKMGPLVDKMLLKFHILGEGIIGQAAFTGKHQWIFSDSNGKGSDSAGNEKIFQDESELQVQFSSGIKTIAVISVGRRGVVQFGSTKKILERLELLAETEKLFYDMGSCHGLTPLEIETCNLDGWFTSFILGQNPTTEQGGCSVEPTGWSCSLQSLIEPSCSVHDYSMNSVQQNLSHLTSQIQTISIEAQPISAGKISRVNSLAANTPCTSEGSILTSLPGEKGEWDPSIVFPKKDTEQNLQGHSTFTSFYSTGELVDSELHNLDSFGKTKQEQHSFGTNAGLLDSVISQQRSIEEFNLDDFITDLSSSFTMDDFSQWFSPLPQHHIHGAGATTTTDPSCSVGVTSVPSVPIGGDAVINIPVGQIVNSSKSSIADALTSSVEKSTMVHDNGNDLFSGTGMDFRLRKAGELSENIDMPLLHSANKVVASGMSSSMAGKRKGLFSELGLEELLGGVNNSSSVAKSSVEDEIFTTRRRKIENSSSNFHLGQLEGLSCSGGGMNLAQHSHTWDKSNDTIFSKEFHLKSQVGLWIDDSYGVKAGNAVVTTAKKPTRKRAKPGESSRPRPKDRQLIQDRIKELRGIIPHSGKQLSIDHLLERTIKYLLFLQGVTKYADEIKQADEPKLIGQGNRKLLKHNTMSGGATWAFEVGAQSIPIVVKDLNPPGQMLVEV >KJB24158 pep chromosome:Graimondii2_0_v6:4:35218730:35223420:-1 gene:B456_004G130500 transcript:KJB24158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVAMGSALKQTLKNLCCSNGWCYGVFWRFDPRNSMLLTMEDAYYEQKMGPLVDKMLLKFHILGEGIIGQAAFTGKHQWIFSDSNGKGSDSAGNEKIFQDESELQVQFSSGIKTIAVISVGRRGVVQFGSTKKILERLELLAETEKLFYDMGSCHGLTPLEIETCNLDGWFTSFILGQNPTTEQGGCSVEPTGWSCSLQSLIEPSCSVHDYSMNSVQQNLSHLTSQIQTISIEAQPISAGKISRVNSLAANTPCTSEGSILTSLPGEKGEWDPSIVFPKKDTEQNLQGHSTFTSFYSTGELVDSELHNLDSFGKTKQEQHSFGTNAGLLDSVISQQRSIEEFNLDDFITDLSSSFTMDDFSQWFSPLPQHHIHGAGATTTTDPSCSVGVTSVPSVPIGGDAVINIPVGQIVNSSKSSIADALTSSVEKSTMVHDNGNDLFSGTGMDFRLRKAGELSENIDMPLLHSANKVVASGMSSSMAGKRKGLFSELGLEELLGGVNNSSSVAKSSVEDEIFTTRRRKIENSSSNFHLGQLEGLSCSGGGMNLAQHSHTWDKSNDTIFSKEFHLKSQVGLWIDDSYGVKAGNAVVTTAKKPTRKRAKPGESSRPRPKDRQLIQDRIKELRGIIPHSGKQLSIDHLLERTIKYLLFLQGVTKYADEIKQADEPKLIGQGNRKLLKHNTMSGGATWAFEVGAQSIPIVVKDLNPPGQMLVEMLCEDRGFFLEIAEVIRGFPLNILKGVMELQEDKIWARFIVEADKQIERTGIIWSLLPLLQQKDNSGIDCATQPSGDMDGGISLLNNHQQQPFLLRPVSVAETLQ >KJB23187 pep chromosome:Graimondii2_0_v6:4:11131621:11133437:-1 gene:B456_004G086000 transcript:KJB23187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANIHDQSFSIVFGLLGNILSFFVYLAPLPTFYKIFKKKSTEGFQSIPYSVALFSAMLLLYYAFLKQHDAVMLITINSIGSGIESIYLIFYLIYATKTARIYTTKLVIFFNIVALGLIILVTLVFFKGHLRVSIVGWICAIFSVCVFAAPLSIITPNILGFSFGITQMILYLVYRGETKALVLPDSNNKVQLEQFPNANNVQQSTVNQNQEGAMNYGVAGMISNSQVVPSELNV >KJB23188 pep chromosome:Graimondii2_0_v6:4:11131921:11133193:-1 gene:B456_004G086000 transcript:KJB23188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANIHDQSFSIVFGLLGNILSFFVYLAPLPTFYKIFKKKSTEGFQSIPYSVALFSAMLLLYYAFLKQHDAVMLITINSIGSGIESIYLIFYLIYATKTARIYTTKLVIFFNIVALGLIILVTLVFFKGHLRVSIVGWICAIFSVCVFAAPLSIIRLVIKTKSVEYMPFPLSFFLTLCAITWFLYGFSLKDFYIATPNILGFSFGITQMILYLVYRGETKALVLPDSNNKVQLEQFPNANNVQQSTVNQNQEGAMNYGVAGMISNSQVVPSELNV >KJB21826 pep chromosome:Graimondii2_0_v6:4:1156238:1158531:-1 gene:B456_004G016200 transcript:KJB21826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLRASSSTLIHPPYISTTTRRNKTQFPKPIFHHLKLKLIVFDSSFTLFERNGSKSRLFMMLSSSPASTMESIEPPMLELEADGREKKRFDWYSHWFPVMPICDLDKRVPHAKKVLGLDLVVWWDRNENEWKVFDDTCPHRLAPLSDGRIDQWGRLQCVYHGWCFNGNGDCKLIPQAPLDGPPVHTFKEACVTVYPSTVQHDIVWVWPNADPQYKDIIMKKKPPYIPVLDDPSFSGPMGNREFPFGYEVLIENLMDPAHLPYAHYGLISNPTPKVKVDREGGSPLGMKVEKLDINGFNGKQDWGCSYFIAPCIYHAFIDVDQRNGSAISETEKNFGVWIDKIVPRWMFHIGQNLILDSDLYLLHVQEHKVMELEATNWQEACFVPTKSDAFVVGFRRWLNKYGGGTVDWKGKFSGALPPSPPREQLMDSLHQEPCTVHEGRAL >KJB22865 pep chromosome:Graimondii2_0_v6:4:7363372:7365021:1 gene:B456_004G070100 transcript:KJB22865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVGKKEHHLWKKRDLADSRQKALNLVRIIQYVKTKSTCITKNDGNFVPRENKKKQEEKGDNLAF >KJB24925 pep chromosome:Graimondii2_0_v6:4:46531367:46533319:1 gene:B456_004G168100 transcript:KJB24925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFLALPKTFTSNKPTFPSLSTHKLLAGTRRNSLRINAGATKWEPTKVVPQADRVLIRLQELPEKSAGGVLLPKSAVKFERYLMGEIVSVGAEVGNVETGKKVLFSDINAYEVDLGTDTRHVFCKESDLLAEVD >KJB24923 pep chromosome:Graimondii2_0_v6:4:46531280:46534820:1 gene:B456_004G168100 transcript:KJB24923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFLALPKTFTSNKPTFPSLSTHKLLGTRRNSLRINAGATKWEPTKVVPQADRVLIRLQELPEKSAGGVLLPKSAVKFERYLMGEIVSVGAEVGNVETGKKVLFSDINAYEVDLGTDTRHVFCKESDLLAEVD >KJB24926 pep chromosome:Graimondii2_0_v6:4:46531367:46533319:1 gene:B456_004G168100 transcript:KJB24926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFLALPKTFTSNKPTFPSLSTHKLLGTRRNSLRINAGATKWEPTKVVPQADRVLIRLQELPEKSAGGVLLPKSAVKFER >KJB24924 pep chromosome:Graimondii2_0_v6:4:46531367:46532862:1 gene:B456_004G168100 transcript:KJB24924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFLALPKTFTSNKPTFPSLSTHKLLGTRRNSLRINAGATKWEPTKVVPQADRVLIRLQELPEKSAGGVLLPKSAVKFERYLMGEIVSVGAEVGNVETGKKVLFSDINAYEVS >KJB24927 pep chromosome:Graimondii2_0_v6:4:46531367:46533319:1 gene:B456_004G168100 transcript:KJB24927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFLALPKTFTSNKPTFPSLSTHKLLGTRRNSLRINAGATKWEPTKVVPQADRVLIRLQELPEKSAGGVLLPKSAVKFERYLMGEV >KJB23562 pep chromosome:Graimondii2_0_v6:4:21354029:21355906:-1 gene:B456_004G105400 transcript:KJB23562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVMQRSDNQQPFGKENFLAGLPTLLGEKVRNQIRENYKGIIPYGKLTYGELIRFTQKEGLQIYQDLKLQKQLKKERYQCRKELGSFCHQFDIRNEPTASKPRYPKIQKRKETTKNKKKNKIDKTIKCYRCGKPGHISKYCKIKRKINNLNLDEEIEQKLNEILLETTSSENYTSTETDELQIDELHTTSQSSNDENEPSINMLTKDQEFMIEVIDKIQDLELKRECLLKLKSLLKDKPEKEKEIISSQSQMYNIQDIIFNKYEKIKPRQITNSELQLKIKQIKLELSQLKTEQQEMNEQMGTLKHETPEKSSSETEPEENTEEYMMILTEVSIQRYLIKINIVINNEFQLETIALFDTGADQNCIREGIIPTKYYNKTSESLKVAYGKKLKTTYKIPNAEISNKGTPFISLLKPYKVTNNSISTKVLNTKVEFPFVKKPKIRNLNLLKSLSIHNEKINNLINYKQKQISFLKEEICFKKLTEQLRKREIQKRIYQIKKEIESTICSDIPNAFWNRKKQEVSLPYGNDFDERQIPTKERPIQMIKEMEEFCRKEIQDLLNKILIRKSSSPWSCSAFYVIKKCRT >KJB27071 pep chromosome:Graimondii2_0_v6:4:60955560:60957716:1 gene:B456_004G276000 transcript:KJB27071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSREPDNPTKSCKARGSDLRVHFKNTRETAFAIRKLPLTKAKRYLEDVIAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDSLVISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEAVKKEPETQLAPRKSKGASA >KJB22780 pep chromosome:Graimondii2_0_v6:4:6600541:6607050:1 gene:B456_004G065200 transcript:KJB22780 gene_biotype:protein_coding transcript_biotype:protein_coding description:GFA2 [Source:Projected from Arabidopsis thaliana (AT5G48030) UniProtKB/TrEMBL;Acc:A0A178UJR3] MVGSNGVRLIHCLLRRSHSLSSTLFHHPNSTLISGGSRSFTAGLGNPVNVIGEHTPQYAKTRNCLVFGLFNVNLGAKRLIHGTAPLSARDYYDTLGISKNATASEIKKAYFGLAKKLHPDVNKDDPEAEKKFQEVSKAYEVLKDENKRAEYDQVGHEAFEQQQNNSGFSEEDFNPFKFHNFHDIFNVQDIFRNQMGGEDIKVAIELSFMEAVQGCSKTVTFQAPVLCQACGGEGVPPGVKPERCRHCGGSGMLSINKGFMSIRSTCPHCGGSGQFVSKLCKSCNGARLVKGPKTVKLDIMPGVDNNETLKVYGSGGADPDRTHPGDLYVTIKVRQDPVFRREGANIHVDAVLSVVQAILGGTIQVPTLTGDVVLKVRPGTQPGQKVVLKNKGIKTRNSYSFGDQYVHFNVSIPK >KJB22781 pep chromosome:Graimondii2_0_v6:4:6601141:6607050:1 gene:B456_004G065200 transcript:KJB22781 gene_biotype:protein_coding transcript_biotype:protein_coding description:GFA2 [Source:Projected from Arabidopsis thaliana (AT5G48030) UniProtKB/TrEMBL;Acc:A0A178UJR3] MPAKTRNCLVFGLFNVNLGAKRLIHGTAPLSARDYYDTLGISKNATASEIKKAYFGLAKKLHPDVNKDDPEAEKKFQEVSKAYEVLKDENKRAEYDQVGHEAFEQQQNNSGFSEEDFNPFKFHNFHDIFNVQDIFRNQMGGEDIKVAIELSFMEAVQGCSKTVTFQAPVLCQACGGEGVPPGVKPERCRHCGGSGMLSINKGFMSIRSTCPHCGGSGQFVSKLCKSCNGARLVKGPKTVKLDIMPGVDNNETLKVYGSGGADPDRTHPGDLYVTIKVRQDPVFRREGANIHVDAVLSVVQAILGGTIQVPTLTGDVVLKVRPGTQPGQKVVLKNKGIKTRNSYSFGDQYVHFNVSIPKNLTGRQRELIEEFAREEQGESDKRAAGAAG >KJB22779 pep chromosome:Graimondii2_0_v6:4:6600452:6607113:1 gene:B456_004G065200 transcript:KJB22779 gene_biotype:protein_coding transcript_biotype:protein_coding description:GFA2 [Source:Projected from Arabidopsis thaliana (AT5G48030) UniProtKB/TrEMBL;Acc:A0A178UJR3] MVGSNGVRLIHCLLRRSHSLSSTLFHHPNSTLISGGSRSFTAGLGNPVNVIGEHTPQYAKTRNCLVFGLFNVNLGAKRLIHGTAPLSARDYYDTLGISKNATASEIKKAYFGLAKKLHPDVNKDDPEAEKKFQEVSKAYEVLKDENKRAEYDQVGHEAFEQQQNNSGFSEEDFNPFKFHNFHDIFNVQDIFRNQMGGEDIKVAIELSFMEAVQGCSKTVTFQAPVLCQACGGEGVPPGVKPERCRHCGGSGMLSINKGFMSIRSTCPHCGGSGQFVSKLCKSCNGARLVKGPKTVKLDIMPGVDNNETLKVYGSGGADPDRTHPGDLYVTIKVRQDPVFRREGANIHVDAVLSVVQAILGGTIQVPTLTGDVVLKVRPGTQPGQKVVLKNKGIKTRNSYSFGDQYVHFNVSIPKNLTGRQRELIEEFAREEQGESDKRAAGAAG >KJB23201 pep chromosome:Graimondii2_0_v6:4:11329732:11330432:-1 gene:B456_004G086400 transcript:KJB23201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVMRLATERGVVLFSKSSCCLCYAVKILFQELGVTPTIHEIDQDPEGREMERALMRLGCKAPVPAVFIGGKLVGSTNEVMSLHLGGGLIPLLRPYQALC >KJB23805 pep chromosome:Graimondii2_0_v6:4:27701778:27703558:-1 gene:B456_004G115500 transcript:KJB23805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQGQGLRLISYQSRPNVSHPFLLTAHTLQPSRSWNHFSFKTPGMPKPFHLQLPLPLPSHNSHQTQTGTQDDGIPIDDVKVIAKFKTRYNYIRVLQVSRRADHPFAGSRLLLLDSPGNIHSISFLFKSLTNTYFDVFATLPPILPPGAVGILGFGAGSTARLMLELYPDLIIHGWELDPSVVAVGREYFGLEKLEKQYPNRLFISIGNGLKASIRNGFAGILVDLFSKGSLIPELQEPSTWHKLRKSLRKGGRIMVNVGGSCVEAEDEGRNGKMVMEETLKAMHRVFGDKLFVLNLRNRKDDDSSLALTGELAVPDRDAWKKALPHSLKGYVDMWAPYQG >KJB23808 pep chromosome:Graimondii2_0_v6:4:27701681:27703535:-1 gene:B456_004G115500 transcript:KJB23808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQGQGLRLISYQSRPNVSHPFLLTAHTLQPSRSWNHFSFKTPGMPKPFHLQLPLPLPSHNSHQTQTGTQDDGIPIDDVKVIAKFKTRYNYIRVLQVSRRADHPFAGSRLLLLDSPGNIHSISFLFKSLTNTYFDVFATLPPILPPGAVGILGFGAGSTARLMLELYPDLIIHGWELDPSVVAVGREYFGLEKLEKQYPNRLFISIGNGLKASIRNGFAGILVDLFSKGSLIPELQEPSTWHKLRKSLRKGGRIMVNVGGSCVEAEDEGRNGKMVMEETLKAMHRVFGDKLFVLNLRNRKDDDSSLALTGELAVPDRDAWKKALPHSLKGYVDMWAPYQG >KJB23806 pep chromosome:Graimondii2_0_v6:4:27701681:27703558:-1 gene:B456_004G115500 transcript:KJB23806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQGQGLRLISYQSRPNVSHPFLLTAHTLQPSRSWNHFSFKTPGMPKPFHLQLPLPLPSHNSHQTQTGTQDDGIPIDDVKVIAKFKTRYNYIRVLQVSRRADHPFAGSRLLLLDSPGNIHSISFLFKSLTNTYFDVFATLPPILPPGAVGILGFGAGSTARLMLELYPDLIIHGWELDPSVVAVGREYFGLEKLEKQYPNRLFISIGNGLKASIRNGFAGILVDLFSKGSLIPELQEPSTWHKLRKSLRKGGRIMVNVGGSCVEAEDEGRNGKMVMEETLKAMHRVFGDKLFVLNLRNRKDDDSSLALTGELAVPDRDAWKKALPHSLKGYVDMWAPYQG >KJB23807 pep chromosome:Graimondii2_0_v6:4:27702462:27703487:-1 gene:B456_004G115500 transcript:KJB23807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQGQGLRLISYQSRPNVSHPFLLTAHTLQPSRSWNHFSFKTPGMPKPFHLQLPLPLPSHNSHQTQTGTQDDGIPIDDVKVIAKFKTRYNYIRVLQVSRRADHPFAGSRLLLLDSPGNIHSISFLFKSLTNTYFDVFATLPPILPPGAVGILGFGAGSTARLMLELYPDLIIHGWELDPSVVAVGREYFGLEKLEKQYPNRLFISIGNGLKASIRNGFAGILVDLFSKGSLIPELQEPSTWHKLRKSLRKGGRIMVNVGGSCVEAEDEGRNGKMVMEETLKAMHRVFGDKLFVLNLRNRKDDDSSLALTGELAVPDRDAWKKALPHSLKGYVDMWAPYQG >KJB23231 pep chromosome:Graimondii2_0_v6:4:12099737:12102220:-1 gene:B456_004G089700 transcript:KJB23231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWGINGTKGRCYDFWVDFSECMSRCREPKDCSLLREDYLECLHHSKEFQRRNRIYKEEQRKIRAAARKEKDGGDGVHHHA >KJB22183 pep chromosome:Graimondii2_0_v6:4:2770386:2773465:1 gene:B456_004G033800 transcript:KJB22183 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 26 [Source:Projected from Arabidopsis thaliana (AT3G13220) UniProtKB/Swiss-Prot;Acc:Q9LK50] MENRKEGEIEDMPMPLSPPTMGSMQIAGSNGFGHNIEFMSQAYLRNRYSEIDIEDYTVYADKDHPLPIFLKFEDVEYKVRSSQAASINPVRVVVSKFASQLNLEQDKYKEILKGVTGSTGPGEILALMGPSGSGKTTLLKIIGGRLTDNVKGNITYNDIPYNPALKRRIGFVTQDDILLPQLTVEETLVFSAFLRLPSDMSLQQKYAKVEMIMKELGLERCRHTRIGGGLVKGISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSANKLIHILQGVAKAGRTVITTIHQPSSRMFHMFDKLLLISEGYPIYSGKARECMEYFSSLRFIPEIAMNPAEFLLDLATGQVNDITLPEDLVASQGTADSDRAVIKYLQLKYKTHLEPKEKEENHRSTKAPQQLQLAIQVKKDWTITWWEQFMIIMKRTFRERRRDYFDKLRLLQSLGVAVLLGLLWWKSSAATEAQLRDQVGLLFYICIFWTSSSIFGAVYVFPFEKVYLVKERKADMYRLSVYYVCSTLCDMVAHVLYPTSFMLIVYFMAGFKRTVVCFFLTLFTILLIAIISQGAGELFGAAVLSIKRAGMMASLVLMLFLLTGGYYVQAPGESAILWRSIVRMSKQRWLSDSAEFTFV >KJB27196 pep chromosome:Graimondii2_0_v6:4:61532957:61533496:-1 gene:B456_004G284100 transcript:KJB27196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYGTIPTELPQQSLSLIVQAREFLGSSLGSRRKWEDMINIRALNLPPNVNEAVGRIRTNIVFFKTNYVIVVLFLLLLTLFFHPTSLVIIVVIMIAWFLQYFLRDNPLSIYGFVVDRNMIITVLTLFTIAVFFLTDVMSNIMSGITFGLTVVLAHGFFRTTDDLFVGDEKDINNASQC >KJB23336 pep chromosome:Graimondii2_0_v6:4:14017056:14027403:1 gene:B456_004G093700 transcript:KJB23336 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 2 [Source:Projected from Arabidopsis thaliana (AT1G55250) UniProtKB/Swiss-Prot;Acc:Q9C895] MESFESEEPEKKRPHLDSPAMARNSSTSPNHTKSVDAAVLQYQNQKLVQQLDIQKHELHDLETKIKELKDKQASYDDMLITVNQLWNQLVDDLVLLGIRAGGGHNALRILDEADNSRGSIPSCPVEEMFLCRLLETDFIDRNDKDGIANYVEQVLFSRHSSTSELIKSLEDTISAERMKTESMALSLHGKLSVEDTIIQLSKIYDMMKEEAKNLREVIDTLHLKHKEYADGIQTYISSHATDQSDVKRLQGELEEIMAELEESRRKLVDLKMQKNIASGMHASTPVLANGSLSPEKPGDKTMGLREIKDLIEETKIVAGDRLSELQDTQEENLIYSKQLKDLQNELKDDKFIQSSRMYTLLNDQLQHWNAEMEQYKALTDSLQTDRFLVMRREKELNMKAETADAVRNTINNADSRVEELELQLQKCIIERNDLEIKMEEAIQDAGRNDIKAEIRVMASALSKEMGMMEAQLNRWKETAHEAISLHEEAQALKALLSDKTNLQKRLAEECAEQIAEIKSLNDMIEKLQKEKLELQIFLDMYGQEGYDNRDVMEIRESKNRAHSQAEILKNALDEHSLELRVKAANEAEAACQERLSVAEVEIADLRAKLDASERDVLELTEAIKSKDRESETYISEIETIGQAYEDMQTQNQHLLQQMTERDDYNIKLVSESVKTKQAHSFLLSEKQALARQLKQVNSSIESVKMRIGQSEEQIKVCLTDAVKFTQEDRHFMISLETAKWELADAEKEFKWLKSAAASSEKDYEQLQRKVDEFQMKLDKEQSQRKKLEEELGELNSKVAELSSETGETAIQKLQDEIKNCKNILKCGVCFDRPKEVVIVKCYHLFCNPCIQRNLEIRHRKCPGCGTAFGQNDVRFVKI >KJB23337 pep chromosome:Graimondii2_0_v6:4:14017056:14027403:1 gene:B456_004G093700 transcript:KJB23337 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 2 [Source:Projected from Arabidopsis thaliana (AT1G55250) UniProtKB/Swiss-Prot;Acc:Q9C895] MLITVNQLWNQLVDDLVLLGIRAGGGHNALRILDEADNSRGSIPSCPVEEMFLCRLLETDFIDRNDKDGIANYVEQVLFSRHSSTSELIKSLEDTISAERMKTESMALSLHGKLSVEDTIIQLSKIYDMMKEEAKNLREVIDTLHLKHKEYADGIQTYISSHATDQSDVKRLQGELEEIMAELEESRRKLVDLKMQKNIASGMHASTPVLANGSLSPEKPGDKTMGLREIKDLIEETKIVAGDRLSELQDTQEENLIYSKQLKDLQNELKDDKFIQSSRMYTLLNDQLQHWNAEMEQYKALTDSLQTDRFLVMRREKELNMKAETADAVRNTINNADSRVEELELQLQKCIIERNDLEIKMEEAIQDAGRNDIKAEIRVMASALSKEMGMMEAQLNRWKETAHEAISLHEEAQALKALLSDKTNLQKRLAEECAEQIAEIKSLNDMIEKLQKEKLELQIFLDMYGQEGYDNRDVMEIRESKNRAHSQAEILKNALDEHSLELRVKAANEAEAACQERLSVAEVEIADLRAKLDASERDVLELTEAIKSKDRESETYISEIETIGQAYEDMQTQNQHLLQQMTERDDYNIKLVSESVKTKQAHSFLLSEKQALARQLKQVNSSIESVKMRIGQSEEQIKVCLTDAVKFTQEDRHFMISLETAKWELADAEKEFKWLKSAAASSEKDYEQLQRKVDEFQMKLDKEQSQRKKLEEELGELNSKVAELSSETGETAIQKLQDEIKNCKNILKCGVCFDRPKEVVIVKCYHLFCNPCIQRNLEIRHRKCPGCGTAFGQNDVRFVKI >KJB23820 pep chromosome:Graimondii2_0_v6:4:28067644:28068407:-1 gene:B456_004G116200 transcript:KJB23820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISDELVVSPDDAYEEDINYKLCLVGKFLGARNINFNAMERMLLNLWKPFQGENIRMLGDNLNLVQFYHMADMKMMISGGPWSFNHCVLLIHLLAKGENPEDVHKLSPGFTSKSLAKNLGNVLGSLFLDYDPGVKRAGRNNYMLIWVRLDNRLS >KJB27270 pep chromosome:Graimondii2_0_v6:4:61779432:61782254:1 gene:B456_004G288100 transcript:KJB27270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFIFRVFLTLVLSYLCNRVNGNANFKDVEEKLKQLNKPAVKTIQSEDGDIIDCVDIYKQPAFDHPALKNHVVQMKPSFDLKDEKLSSKNEPAELAVFQTWQRSGSCPEGTVPIRRIRREDLLRAKSVQQFGRKPHEAVLNSSTTIEHRDGQLPSINTTAVALPIIVNRSAATLVTLGYNYIGAKGIFNIWNPNVEAQDEYTTAQIWLKAGPGDNFESIESGWTVNPQLYGDKRTRLFVHWTKDSYKTTGCFDMTCSGFVQTSSQHVLGGSIGPVSTEFGKQYYLRVGIYMDPNTANWWLKIGKDIPVGYWPASTLMFYLNHSSTMVEWGGQVYSSNVKKKPHTKTGMGSGKFATGLKGNACSILNIAIVDFSMQLKYPQWVGTWADEQYCYTALNYQEGYGKLPIFYFGGPGQNYNCP >KJB23700 pep chromosome:Graimondii2_0_v6:4:23679272:23680885:-1 gene:B456_004G110600 transcript:KJB23700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLSSKKTGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGPVSVRGRILAGTCHSAKMMRTIIVRRNYLHYIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTGM >KJB23701 pep chromosome:Graimondii2_0_v6:4:23679326:23680796:-1 gene:B456_004G110600 transcript:KJB23701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTLFPEGTYIDKKCPFTGPVSVRGRILAGTCHSAKMMRTIIVRRNYLHYIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTGM >KJB26087 pep chromosome:Graimondii2_0_v6:4:55923713:55924189:-1 gene:B456_004G224600 transcript:KJB26087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFCKNVIFFILVQAIAITLLIHSSSCEFNKGHHDDINKLSPWLITWHLHVVNGLSNGRILLVHCKSKDDDLGIHNLTADTEFTWKFKPNFFGGTLFWCYMAHSNFHAAFDAFRENDDFFQECNYGDCIWTAKDDGIYLRNGKLDKLTYGWEQGRLW >KJB25211 pep chromosome:Graimondii2_0_v6:4:49312478:49315381:-1 gene:B456_004G181800 transcript:KJB25211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKGIMGTGETTRSVGSRRQASGENLKEEESGDVGKGVDGGEWGVGRLRSRGSRRMSTVGKILARSVGGKVGDDEMEESSKSKRVKVAEETMTLAEMQLEMKQKANQGREKLNKKEKVKDAGEKEKESERDEPKQSSLSKNEKPKASEKSKGFEIENQGPSQASVNLGIMTRSTKKRSVDFETKTQENGKKNQNSELTKQGTSRKRVNSDIEKRRSSKSRDMEIVAVEDLDFYDFYKDRSERNFKKGQVWAIYDDDGMPRRYGLIEEVFSVNPFEVKMSWLDSQNNGDDKLISWEEMGFHVSCGRFKVARKSSINSLNIFSHMVECERAAKEFYRIYPRKGSVWVLYNEAKLGAAGRNRSARDKHCYDIVVLLTTYSEVHGLSMAYLEKVDGFKTVFKRQEIGCQAIRWLEKDDIRLFSHQIPARKLSGDDVPDLLKDCWELDPASVPPDLAIGC >KJB25212 pep chromosome:Graimondii2_0_v6:4:49312478:49315381:-1 gene:B456_004G181800 transcript:KJB25212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTHSDAEQEAQRLKALAETKYKNSNLQSALKHAKKAHRLCPNLEGISSMLTAFKILRAASKPKHAPDWYKILQVEPFSHFNSIKKQYRKLALILHPDKNPFLGCEEAFKLVGEGFRMFSDKIRRKEYDMKLRIRIQEERLDGLEGNNGAVGETFWTACSRCRLLHKFEKMYLGHNLVCPSCKKSFLAVEVDGEDDGHGESTGEEDVLASKPGERLRSRGSRRMSTVGKILARSVGGKVGDDEMEESSKSKRVKVAEETMTLAEMQLEMKQKANQGREKLNKKEKVKDAGEKEKESERDEPKQSSLSKNEKPKASEKSKGFEIENQGPSQASVNLGIMTRSTKKRSVDFETKTQENGKKNQNSELTKQGTSRKRVNSDIEKRRSSKSRDMEIVAVEDLDFYDFYKDRSERNFKKGQVWAIYDDDGMPRRYGLIEEVFSVNPFEVKMSWLDSQNNGDDKLISWEEMGFHVSCGRFKVARKSSINSLNIFSHMVECERAAKEFYRIYPRKGSVWVLYNEAKLGAAGRNRSARDKHCYDIVVLLTTYSEVHGLSMAYLEKVDGFKTVFKRQEIGCQAIRWLEKDDIRLFSHQIPARKLSGDDVPDLLKDCWELDPASVPPDLAIGC >KJB25213 pep chromosome:Graimondii2_0_v6:4:49312478:49315610:-1 gene:B456_004G181800 transcript:KJB25213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSDKIRRKEYDMKLRIRIQEERLDGLEGNNGAVGETFWTACSRCRLLHKFEKMYLGHNLVCPSCKKSFLAVEVDGEDDGHGESTGEEDVLASKPGERLKRKMDGPKGVGLEGGKGTGETTRSVGSRRQASGENLKEEESGDVGKGVDGGEWGVGRLRSRGSRRMSTVGKILARSVGGKVGDDEMEESSKSKRVKVAEETMTLAEMQLEMKQKANQGREKLNKKEKVKDAGEKEKESERDEPKQSSLSKNEKPKASEKSKGFEIENQGPSQASVNLGIMTRSTKKRSVDFETKTQENGKKNQNSELTKQGTSRKRVNSDIEKRRSSKSRDMEIVAVEDLDFYDFYKDRSERNFKKGQVWAIYDDDGMPRRYGLIEEVFSVNPFEVKMSWLDSQNNGDDKLISWEEMGFHVSCGRFKVARKSSINSLNIFSHMVECERAAKEFYRIYPRKGSVWVLYNEAKLGAAGRNRSARDKHCYDIVVLLTTYSEVHGLSMAYLEKVDGFKTVFKRQEIGCQAIRWLEKDDIRLFSHQIPARKLSGDDVPDLLKDCWELDPASVPPDLAIGC >KJB23741 pep chromosome:Graimondii2_0_v6:4:25694638:25695781:-1 gene:B456_004G112300 transcript:KJB23741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGAILYQISCLKEMLDQVNEEIESNIQVTREIESEMVKCTEFEAALTTRESLLTKSLYISHFEIDGLLSFIADSRNSLKFLEEELSCLTTKRDEMLKRIEDKRDGFTKQCFEFQREIDKGENNELVNLLSEKELLENEIHLLDKKNNALRNSMSAFVEEILEDLYTSNAGNTI >KJB22830 pep chromosome:Graimondii2_0_v6:4:7127833:7132833:1 gene:B456_004G067800 transcript:KJB22830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLDSAMGSAFWDQTISTPQILEGSAKSVPGEPFPLDGARASKTLRIQQLSLLRNGFPLGIIPSLWLGLIGQFRPKKLISAVKTELQSADELELSVFRDAANHFLDKSLGLLELVLHMRICNDNLIQKRGKNDDIALEESRKKHCWSICQWEVQSLLLHPMIKSISQSAIREALYKLLKSMILPDHDITLDHAWPELFMDHKGRYWEVPESISLDMSSLPSDSGLLYRFCIHKNNSEAPSALMPGLCAKVAFSYEKKKDFWRRKETKEDLIVKTDDGSFWQPSYDTHLKEPHATISGIIGSFAAWFGKDSASAGESQKGGNGPTTNKKESPLNMDLFSSVCYTFQHGQFWKLYGDLTRVDARLDICSLPSIAKRVVKSCWFSADSSLSFPRLNLIFQQQVAGPIVCRIDSKLLLDRKLGKGGPHIEDLIYSPSYSFRLLRSGKVVAWFSPKRKEGMIELRLFEF >KJB26822 pep chromosome:Graimondii2_0_v6:4:59706471:59715618:-1 gene:B456_004G261700 transcript:KJB26822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTCKHLLLPTWAIAAARMKGEFPERVGQPECQYYLKTGTCKFGATCKFHHPREQAGIAGRVSLNILGYPFRPNETECAYYLRTGQCKFGSTCKFHHPQPTNMMISLRGSPIYPTVASPTTPGQQSYPGGITNWSRASFIPSPRWQGPSSYAPLILPQGMVSVPGWNAYSASVSSSENLQQTNGNNQIYGTSNQNESVTGGSQASFSQFRSGSVPIGFYALPRENVFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERVPPAPDCLLSPIGLPLRPGEPLCDFYSRYGICKFGPSCKFNHPMGIFTYNYSISSPSGAPVHHLLESSSGTSGLNLSSEGLVESGSTKLRRISLSENRQLSSSDENIDTEG >KJB26823 pep chromosome:Graimondii2_0_v6:4:59706471:59717875:-1 gene:B456_004G261700 transcript:KJB26823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEWKLLFDAGISMSRIASAVAVAVTEGASLSPSLNQDAMWQMNLRSSETMESGYYPERPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERVGQPECQYYLKTGTCKFGATCKFHHPREQAGIAGRVSLNILGYPFRPNETECAYYLRTGQCKFGSTCKFHHPQPTNMMISLRGSPIYPTVASPTTPGQQSYPGGITNWSRASFIPSPRWQGPSSYAPLILPQGMVSVPGWNAYSASVSSSENLQQTNGNNQIYGTSNQNESVTGGSQASFSQFRSGSVPIGFYALPRENVFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERVPPAPDCLLSPIGLPLRPGEPLCDFYSRYGICKFGPSCKFNHPMGIFTYNYSISSPSGAPVHHLLESSSGTSGLNLSSEGLVESGSTKLRRISLSENRQLSSSDENIDTEG >KJB27110 pep chromosome:Graimondii2_0_v6:4:61120646:61125962:1 gene:B456_004G278500 transcript:KJB27110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLRNKGMFRVSSVCGQQQEEVEPRESSHYSSSTGILPSLGARSNRRVKLRRFIVSPYDRRYRIWQTFLVILVVYTAWVSPFEFGFLHKPRPILSVTDNVVNGFFALDIILTFFVAYLDKATYLFIDDPKKIAWKYGRSWLVFDIISSIPSELARKISPKPLRSYGLFNMLRLWRLRRVSAMFSRLEKDKNYNYFWVRCAKLVCVTLFIVHCAGCFIYLIAARYHDPGRTWIGAVLGDNFIEQSLLSRYVTSIYWSITTLTTVGYGDIHPVNTGEMAFDVLYMLLNLGLIAYLIGNMTNLVVHRTSRTRLFRDTIQAASSFAVRNQLPVRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLVDNVFLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDILVLKNGVEQVVGEAKKGDIFGEIGVLCYRPQLFTVRTKRLCQLLRLNRTTFLNIIQANVGDGTIIMNNLLQHLKDTDNPIMQGILTETENMLARGRMDLPLNLCFATLRGDDSLLHQLLKRGLDPNESDNNGRTALHIAASKGSENCILLLLYYGADPNYKDSEGIVPLWEALLGGHNKVAKLLKENGANINVGDVGHYACTAAEQNNLTLLKQIIYYGGDVTCPSHNGHTALHAAVCEGNIEIVKFLVEQGADINKPDVHHWTPSELAEQQGHEEIKAIFESSKELKTKADQSSMFVPEKQFLGRFTSEPVIRPAPIDTSDGSCSQSRPRRRTNNFHNSLFGIMSAARNGEKDLLLSVNRNKGVTDSIVTSARVVISCPEIGHAHGKLIVLPGTFKELIEIGAKKFGIFGAKVVTKEGVEIDDIDVIRDGDHLVFVTNNGHQ >KJB23118 pep chromosome:Graimondii2_0_v6:4:9973945:9975025:-1 gene:B456_004G081900 transcript:KJB23118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTAAEFKFSETCYLTRIPNFTSPNPKFCLRWFAPVTEVKLCGHVTLASAHTLFTTALVNSNIIEFDALFATLTAERLPDISLTNVSEIQNGGVDGCFLIELNFHTVPVTNFNSAEASLISKALNDAPLIDVKRTTTDGDIFVIPQ >KJB23119 pep chromosome:Graimondii2_0_v6:4:9973945:9974937:-1 gene:B456_004G081900 transcript:KJB23119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTAAEFKFSETCYLTRIPNFTSPNPKFCLRWFAPVTEVKLCGHVTLASAHTLFTTALVNSNIIEFDALFATLTAERLPDISLTNVSEIQNGGVDGCFLIELNFHTVPVTNFNSAEASLISKALNDAPLIDVKRTTTDGDIFVIPQ >KJB22981 pep chromosome:Graimondii2_0_v6:4:8846292:8849451:-1 gene:B456_004G076800 transcript:KJB22981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPAAISNSTSLSEEASVSSITRVQDLGSLNSIVSTISPHQPPQQNVVKKKRNLPGNPDPDSEVIALSPKTLLSTNRFVCEVCNKGFQRDQNLQLHRRGHNLPWKLKQRSKEMKKKVYVCPEPTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKIYAVQSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARFSANHLPSGAAAAVSAANPRPSLRYFPSQSNCDPSSLSPFQTHIAFQPWLEPTQTCNPNPNNNPLHIKPQSYHFVPLFQEQAPPPPKACITSPYQNLLPVGNNTSSNVAMSATALLQKAATVGVTATKINNNNNNNNSNMASYVSSDMFGFGGGNMAAAWQKSSNHFTRDFLGLTGDQHQHHGSGNGNANVSMNMREVLTYAGGVELQQFERDHSLLKPQGSGFDEPASETWGD >KJB22982 pep chromosome:Graimondii2_0_v6:4:8846292:8849532:-1 gene:B456_004G076800 transcript:KJB22982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPAAISNSTSLSEEASVSSITRVQDLGSLNSIVSTISPHQPPQQNVVKKKRNLPGNPDPDSEVIALSPKTLLSTNRFVCEVCNKGFQRDQNLQLHRRGHNLPWKLKQRSKEMKKKVYVCPEPTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKIYAVQSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARFSANHLPSGAAAAVSAANPRPSLRYFPSQSNCDPSSLSPFQTHIAFQPWLEPTQTCNPNPNNNPLHIKPQSYHFVPLFQEQAPPPPKACITSPYQNLLPVGNNTSSNVAMSATALLQKAATVGVTATKINNNNNNNNSNMASYVSSDMFGFGGGNMAAAWQKSSNHFTRDFLGLTGDQHQHHGSGNGNANVSMNMREVLTYAGGVELQQFERDHSLLKPQGSGFDEPASETWGD >KJB22983 pep chromosome:Graimondii2_0_v6:4:8846400:8849373:-1 gene:B456_004G076800 transcript:KJB22983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKVYVCPEPTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKIYAVQSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARFSANHLPSGAAAAVSAANPRPSLRYFPSQSNCDPSSLSPFQTHIAFQPWLEPTQTCNPNPNNNPLHIKPQSYHFVPLFQEQAPPPPKACITSPYQNLLPVGNNTSSNVAMSATALLQKAATVGVTATKINNNNNNNNSNMASYVSSDMFGFGGGNMAAAWQKSSNHFTRDFLGLTGDQHQHHGSGNGNANVSMNMREVLTYAGGVELQQFERDHSLLKPQGSGFDEPASETWGD >KJB24784 pep chromosome:Graimondii2_0_v6:4:44999954:45002111:1 gene:B456_004G160100 transcript:KJB24784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDWDLHAVVRGCATVTTTTTSSGGGCGGVAANSSLMADFYPQSRFSSFGSQEEPFQGQFSCFLNQFEAKNAMEELHELYKPFFPKSQPQRKQQQEHQQVKPKLSQVGSVTTASSSTSTNNSRSKRRKNQLKRVCHVPPEGLSSDVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERHRSDPNMFIVTYTAEHNHPAPTHRNSLAGSTRQKPFTPQTVTAGDSVKPSSSKPADSLSPTTSVDEGVVVQSTQVHSREDLVEDEGEDEFGMSDTAVSDDFFEGLEGLAEIVTGNCFPENFPASFDFSWTANNAATAAGGI >KJB27332 pep chromosome:Graimondii2_0_v6:4:62011288:62013327:1 gene:B456_004G291500 transcript:KJB27332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTSLSLPRLSILSESLSSSISSPKRRLVLVVPCAVLALCIHPTTSHNLLNRISWAFCVYLEAISVLPQLRVMQNTKIVEPFTAHYVFALGVASL >KJB27333 pep chromosome:Graimondii2_0_v6:4:62011288:62013347:1 gene:B456_004G291500 transcript:KJB27333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTSLSLPRLSILSESLSSSISSPKRRLVLVVPCAVLALCIHPTTSHNLLNRISWAFCVYLEAISVLPQLRVMQNTKIVEPFTAHYVFALGVARDKVLCSL >KJB27135 pep chromosome:Graimondii2_0_v6:4:61194020:61198538:-1 gene:B456_004G279600 transcript:KJB27135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLRGGIRQSKRINDNPESSASLVPTARNAAANRGRGRGRGRGRGRGGMNPEENQKLVGPSACGRGCNGINLPVRQVVEKSAEKPVAVKEEGSTSSLPERVQLGNSPVYKLDRKLGKGGFGQVFVGRRISGGTGRSGPDAFEVALKFEHQNGKGCSSGPPYEWQVYSTLNGCYGLPLVHYKGQLGGYYILVMDMLGPSLWDVWNSNNQMLTEEMVACIAMEAISILEQLHLKGFVHGDVKPENFLLGQPGTSKEKTLYLVDLGLASRWKEAASARHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGKLPWQGYIGENKGFLVCKKKMGTSPAALCSLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFNSSIHPIQIDGAIKVGQKRERSLTELEDGEQLKKRVRLGSPASQWISIYNSRTSMKQRYHFNVMDSRLNQHVEKGKEDGLYISCVASSSNLWAIVMDAGTGFTSQVYELSPIFLHKEWIMEQWEKNYYITSVAGACNGSALVIMSKGTPYTQQSYKVTDVFPFKWINKKWKEGFSVTSMTAAGNKWGIVMSRNAGYRLQVCPISSL >KJB27134 pep chromosome:Graimondii2_0_v6:4:61192881:61199402:-1 gene:B456_004G279600 transcript:KJB27134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLRGGIRQSKRINDNPESSASLVPTARNAAANRGRGRGRGRGRGRGGMNPEENQKLVGPSACGRGCNGINLPVRQVVEKSAEKPVAVKEEGSTSSLPERVQLGNSPVYKLDRKLGKGGFGQVFVGRRISGGTGRSGPDAFEVALKFEHQNGKGCSSGPPYEWQVYSTLNGCYGLPLVHYKGQLGGYYILVMDMLGPSLWDVWNSNNQMLTEEMVACIAMEAISILEQLHLKGFVHGDVKPENFLLGQPGTSKEKTLYLVDLGLASRWKEAASARHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGKLPWQGYIGENKGFLVCKKKMGTSPAALCSLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFNSSIHPIQIDGAIKVGQKRERSLTELEDGEQLKKRVRLGSPASQWISIYNSRTSMKQRYHFNVMDSRLNQHVEKGKEDGLYISCVASSSNLWAIVMDAGTGFTSQVYELSPIFLHKEWIMEQWEKNYYITSVAGACNGSALVIMSKGTPYTQQSYKVTDVFPFKWINKKWKEGFSVTSMTAAGNKWGIVMSRNAGYRLQVVELDFLYPSEGIHRRWEKGFRITSAAATEDQAAFILSAPKRKSQDVMQETLRTSAFPSTQVKDKWLKNLYISSICYGRTVS >KJB27133 pep chromosome:Graimondii2_0_v6:4:61192959:61199296:-1 gene:B456_004G279600 transcript:KJB27133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLRGGIRQSKRINDNPESSASLVPTARNAAANRGRGRGRGRGRGRGGMNPEENQKLVGPSACGRGCNGINLPVRQVVEKSAEKPVAVKEEGSTSSLPERVQLGNSPVYKLDRKLGKGGFGQVFVGRRISGGTGRSGPDAFEVALKFEHQNGKGCSSGPPYEWQVYSTLNGCYGLPLVHYKGQLGGYYILVMDMLGPSLWDVWNSNNQMLTEEMVACIAMEAISILEQLHLKGFVHGDVKPENFLLGQPGTSKEKTLYLVDLGLASRWKEAASARHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGKLPWQGYIGENKGFLVCKKKMGTSPAALCSLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFNSSIHPIQIDGAIKVGQKRERSLTELEDGEQLKKRVRLGSPASQWISIYNSRTSMKQRYHFNVMDSRLNQHVEKGKEDGLYISCVASSSNLWAIVMDAGTGFTSQVYELSPIFLHKEWIMEQWEKNYYITSVAGACNGSALVIMSKGTPYTQQSYKVTDVFPFKWINKKWKEGFSVTSMTAAGNKWGIVMSRNAGYRLQVVELDFLYPSEGIHRRWEKGFRITSAAATEDQAAFILSAPKRKSQDVMQETLRTSAFPSTQVKDKWLKNLYISSICYGRTVS >KJB27148 pep chromosome:Graimondii2_0_v6:4:61263536:61264910:1 gene:B456_004G280700 transcript:KJB27148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEYSIQRIFHNTPFTCNMILLSPIKYYSKAIKAKPTYPTPRKRKKKKKYKDQANSTPKVHYRLPEAPLSFRKLIKPTKVQERPLPPANKISYHGPMQCSISVKPSNTIIFPAKGPTLPLIAILNRLTLSVFQFPYDVLQIS >KJB22998 pep chromosome:Graimondii2_0_v6:4:8968178:8971939:-1 gene:B456_004G077300 transcript:KJB22998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNISFQKPKIIIIIIINAVLFNHINVPLSLLQLPIRRGRKEMEPISEEKELFKCAMKGEWNTVIRIYKNNPSVHVAKIIRSGDTALHIAVSNVQEDIVEQLVELISAQSECRKALEMRNGLGNTPLHAAASLGNVRMCQCIVRVDSSLLEIQNNVGETPLFMAALYGKKEAFLCLNSYCQSQEEGYSYCRRKDGENVLHCAISGDYFDLAFQIIYLYEDLVNYVNENGISALHLLASNPTAFRSGSHLGRCSHLIYNCITVEPLKVETSFKQSSSKGQSKETMRISYPGNYQTCMHLFHLLKQLVQVVTKRSSRKTNRGGSDENIEAPNVTSETVPKEDGRRHGDGHQFFPSNYVTLVDFVKLLSKAMLVILGLGSRRIRKLREKKRKHTWAVQVMNELLQHVSIYQYEDNGCRPQLSLYDVDEIKPYEFTEDGDIKMPIDDSDPAKQRDQSKGKTLEGEKKKTKEVAKRETPILIAAKSGITEMVDQILQRFPVAIHEMNSENKNIVLLAVENRQPHVYQLLLKKNIMKDSVFRAVDEKGNSALHLAAMLGDHKPWLIPGAALQMQWEIKWYEFVKHSMPVHFFARYNTENKTPKDIFTDTHKQLVDKGGEWLTNTSESCSVVAALIATVAFATSTTVPGGVKSESGKPTLENHPAFDVFAISSLIALCFSVTAVVMFLSILTSRYQERDFGIDLPRKLLLGLTSLFVSIASVLVSFCAGHFFILKDKLKYAAFPVYAVTCLPVTLFAIAQFPLYLDLAWALFKKVPQRSYEAIPL >KJB22999 pep chromosome:Graimondii2_0_v6:4:8968319:8971911:-1 gene:B456_004G077300 transcript:KJB22999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNISFQKPKIIIIIIINAVLFNHINVPLSLLQLPIRRGRKEMEPISEEKELFKCAMKGEWNTVIRIYKNNPSVHVAKIIRSGDTALHIAVSNVQEDIVEQLVELISAQSECRKALEMRNGLGNTPLHAAASLGNVRMCQCIVRVDSSLLEIQNNVGETPLFMAALYGKKEAFLCLNSYCQSQEEGYSYCRRKDGENVLHCAISGDYFDLAFQIIYLYEDLVNYVNENGISALHLLASNPTAFRSGSHLGRCSHLIYNCITVEPLKVETSFKQSSSKGQSKETMRISYPGNYQTCMHLFHLLKQLVQVVTKRSSRKTNRGGSDENIEAPNVTSETVPKEDGRRHGDGHQFFPSNYVTLVDFVKLLSKAMLVILGLGSRRIRKLREKKRKHTWAVQVMNELLQHVSIYQYEDNGCRPQLSLYDVDEIKPYEFTEDGDIKMPIDDSDPAKQRDQSKGKTLEAGEKKKTKEVAKRETPILIAAKSGITEMVDQILQRFPVAIHEMNSENKNIVLLAVENRQPHVYQLLLKKNIMKDSVFRAVDEKGNSALHLAAMLGDHKPWLIPGAALQMQWEIKWYEFVKHSMPVHFFARYNTENKTPKDIFTDTHKQLVDKGGEWLTNTSESCSVVAALIATVAFATSTTVPGGVKSESGKPTLENHPAFDVFAISSLIALCFSVTAVVMFLSILTSRYQERDFGIDLPRKLLLGLTSLFVSIASVLVSFCAGHFFILKDKLKYAAFPVYAVTCLPVTLFAIAQFPLYLDLAWALFKKVPQRSYEAIPL >KJB23080 pep chromosome:Graimondii2_0_v6:4:9534112:9536293:-1 gene:B456_004G079800 transcript:KJB23080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAIDTECVDSDIYRALGFKELGKGVVGTPRILSLLSSLLEESVQRNEMESETVNFKDNVAMFHGLRAPTISIQHYIDRIFKYAGCSPSCFLVAYIYVDRFVQQTDVHLTSLNVHRLLITSVLVAAKFIDDAFFNNAYYARVGGVSTAELNRLEMSFLFGLDFRLQVTVNTFQGYCCQLQKECWEGNQIERPIRACGIKDSWPSKSDTQCAPTISR >KJB23076 pep chromosome:Graimondii2_0_v6:4:9534112:9535776:-1 gene:B456_004G079800 transcript:KJB23076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAIDTECVDSDIYRALGFKELGKGVVGTPRILSLLSSLLEESVQRNEMESETVNFKDNVAMFHGLRAPTISIQHYIDRIFKYAGCSPSCFLVAYIYVDRFVQQTDVHLTSLNVHRLLITSVLVAAKFIDDAFFNNAYYARVGGVSTAELNRLEMSFLFGLDFRLQVTVNTFQGYCCQLQKECWEGNQIERPIRACGIKDSWPSKSDTQCAPTISR >KJB23075 pep chromosome:Graimondii2_0_v6:4:9534112:9535712:-1 gene:B456_004G079800 transcript:KJB23075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAIDTECVDSDIYRALGFKELGKGVVGTPRILSLLSSLLEESVQRNEMESETVNFKDNVAMFHGLRAPTISIQHYIDRIFKYAGCSPSCFLVAYIYVDRFVQQTDVHLTSLNVHRLLITSVLVAAKFIDDAFFNNAYYARVGGVSTAELNRLEMSFLFGLDFRLQVTVNTFQGYCCQLQKECWEGNQIERPIRACGIKDSWPSKSDTQCAPTISR >KJB23081 pep chromosome:Graimondii2_0_v6:4:9534112:9536147:-1 gene:B456_004G079800 transcript:KJB23081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAIDTECVDSDIYRALGFKELGKGVVGTPRILSLLSSLLEESVQRNEMESETVNFKDNVAMFHGLRAPTISIQHYIDRIFKYAGCSPSCFLVAYIYVDRFVQQTDVHLTSLNVHRLLITSVLVAAKFIDDAFFNNAYYARVGGVSTAELNRLEMSFLFGLDFRLQVTVNTFQGYCCQLQKECWEGNQIERPIRACGIKDSWPSKSDTQCAPTISR >KJB23079 pep chromosome:Graimondii2_0_v6:4:9534112:9535848:-1 gene:B456_004G079800 transcript:KJB23079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAIDTECVDSDIYRALGFKELGKGVVGTPRILSLLSSLLEESVQRNEMESETVNFKDNVAMFHGLRAPTISIQHYIDRIFKFFNNAYYARVGGVSTAELNRLEMSFLFGLDFRLQVTVNTFQGYCCQLQKECWEGNQIERPIRACGIKDSWPSKSDTQCAPTISR >KJB23074 pep chromosome:Graimondii2_0_v6:4:9534112:9535298:-1 gene:B456_004G079800 transcript:KJB23074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVDPAERGYYYLTNDLFHLLQVKLAMGTLAIDTECVDSDIYRALGFKELGKGVVGTPRILSLLSSLLEESVQRNEMESETVNFKDNVAMFHGLRAPTISIQHYIDRIFKYAGCSPSCFLVAYIYVDRFVQQTDVHLTSLNVHRLLITSVLVAAKFIDDAFFNNAYYARVGGVSTAELNRLEMSFLFGLDFRLQVTVNTFQGYCCQLQKECWEGNQIERPIRACGIKDSWPSKSDTQCAPTISR >KJB23078 pep chromosome:Graimondii2_0_v6:4:9534112:9535803:-1 gene:B456_004G079800 transcript:KJB23078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAIDTECVDSDIYRALGFKELGKGVSETVNFKDNVAMFHGLRAPTISIQHYIDRIFKYAGCSPSCFLVAYIYVDRFVQQTDVHLTSLNVHRLLITSVLVAAKFIDDAFFNNAYYARVGGVSTAELNRLEMSFLFGLDFRLQVTVNTFQGYCCQLQKECWEGNQIERPIRACGIKDSWPSKSDTQCAPTISR >KJB23077 pep chromosome:Graimondii2_0_v6:4:9534112:9535695:-1 gene:B456_004G079800 transcript:KJB23077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAIDTECVDSDIYRALGFKELGKGVVGTPRILSLLSSLLEESVQRNEMESETVNFKDNVAMFHGLRAPTISIQHYIDRIFKYAGCSPSCFLVAYIYVDRFVQQTDVHLTSLNVHRLLITSVLVAAKFIDDAFFNNAYYARVGGVSTAELNRLEMSFLFGLDFRLQVTVNTFQGYCCQLQKECWEGNQIERPIRACGIKDSWPSKSDTQCAPTISR >KJB27030 pep chromosome:Graimondii2_0_v6:4:60748048:60749346:1 gene:B456_004G273000 transcript:KJB27030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIFHETKQRMLVQYLSPLEQPPPYFPPQSPPPLQPPPQSLQSNGSNFINKVSPSLLLIIIILAIIFFVSGLVHLLIRFLLRPSNREPGDSDHVTVLQGQLQQLFHLHDAGVDQSLIDNLPVFHYKSIIGLKHNPFDCAVCLCGFEVEDKLRLLPICSHAFHMECIDTWLLSHSTCPLCRASLIIDSSNPTLMVDENIVPVKLGKFRNVDNGIEGCSGINSNVDSRRCFSMGSFEYVMDENSFLQVPIRTPLKKPPRKKLCLPLTPGHHLAMSECDCESRRGFNGFETTTTTIDDNGSKSIRRSNKESFSISKIWLRGKKGRQMDSSRRAFSFRLKVKNGGGGDDVFEMGSSRWGNGGGSEELGLDEENQRCHSLDDHSQGKTPSFARRTMLWLGGRQNKVVHSSFNPN >KJB24331 pep chromosome:Graimondii2_0_v6:4:39369202:39372434:-1 gene:B456_004G139700 transcript:KJB24331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQHLEKMQLRQNYRNLWHTDLISTIHRHPLYCCFALWCGPCASYMLRKRALYGDMSRYTCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQIACIFSLVAMIVGSDEIQEASQILSCLADMVYCTVCACMQTQHKVEMDKRDGKFGPQPMAVPPVQQMSRIDQPVPPAAGYPPAAYGQPYPAQGYPPAAYPPPQYPPSGYSS >KJB24329 pep chromosome:Graimondii2_0_v6:4:39370007:39372208:-1 gene:B456_004G139700 transcript:KJB24329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQHLEKMQLRQNYRNLWHTDLISTIHRHPLYCCFALWCGPCASYMLRKRALYGDMSRYTCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQIACIFSLVAMIVGSDEIQEASQILSCLADMVYCT >KJB24330 pep chromosome:Graimondii2_0_v6:4:39369202:39372375:-1 gene:B456_004G139700 transcript:KJB24330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQHLEKMQLRQNYRNLWHTDLISTIHRHPLYCCFALWCGPCASYMLRKRALYGDMSRYTCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQIACIFSLVAMIVGSDEIQEASQILSCLADMVYCTVCACMQTQHKVEMDKRDGKFGPQPMAVPPVQQMSRIDQPVPPAAGYPPAAYGQPYPAQGYPPAAYPPPQYPPSGYSR >KJB24328 pep chromosome:Graimondii2_0_v6:4:39369202:39372029:-1 gene:B456_004G139700 transcript:KJB24328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRALYGDMSRYTCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQIACIFSLVAMIVGSDEIQEASQILSCLADMVYCTVCACMQTQHKVEMDKRDGKFGPQPMAVPPVQQMSRIDQPVPPAAGYPPAAYGQPYPAQGYPPAAYPPPQYPPSGYSR >KJB25422 pep chromosome:Graimondii2_0_v6:4:50690049:50725263:1 gene:B456_004G190500 transcript:KJB25422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDQVAYLLQRYLGNYVRGLNKEALKISVWKGDVELTNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVYLDQIFLLAEPATFVEGCSEDAIQEAKKNRVREMEMKLLERMHHLKSEMNKSWLGSLISTIIGNLKLSISNIHIRYEDLESNPGHPFAAGLTLEKLSAVTVDDSGKETFVTGGALDRIQKSVELDRLALYLDSDILPWHIDKLWEDLLPSEWIQVFRFGTKDGRPADHPIEEHAYILQPVTGNAKYSKLRQNGSSDSGEPLQKGAVSLDDVTLCLSKDGYRDILKLADNFTAFNQRLKYAHYRPTVSLKSDPRSWWKYAYKAVSDQMKKASGKLSWEQVLRYTRLQKKYISLYASLLKSDVNRPVVDDCKEIEELDRGLDIELILQWRMLAHKFVQRSIESENYLKQQKGKKSWWSLGWASQSLKDDSESFSFSEEDWEKLNKIIGYKEDDDQSLMINAKPDILKTSLEIHMKRNASKLLDGARTCLADLSCEGLNCSIKLYPETKVFDVNLGSYQLSSPNGLLAESATTSDSLVGVFCYKPFDTKVDWSLVAKASPCYATYLKESIDEVINFFESNIAVSQTMAVETATAVQMTIDGVRRSAQQQVNKALKDHARFLLDLDIAAPKITIPTEFRPNSKHSTKLLLDLGNLIIRSQDDYTRASSEVLDLYLQFDLVLSDVSAFLVDGDYHWSQTFPKKSAGSTNVDVVSFLPVIEKCGVILKLQQIRLENPSYPSTRLAVQLPSLGFHFSPARYHRLMQVIKIFREEDKDNPDIFRPWNQADFEGWLFVLSRKGVGNREAVWQRRYLCLVGPFLYVLETPGSKSYKQYVSLRGKQVYLVPTELAGDMESVLAVCDAARSNSKVMEDVNALILQCDGDDSRKAWQSRLQGAIYHASASAPITSLSETSSDSETEPNDKHDTVDLAKIESVFITGVLDELKISFSYNHEHERSFIKVLLAEEYPLFEFRAIGGQVELSIKGNDMFIGTMLKSLEIEDMICCSTVSKPCYLARSFIRSADAHSVLDDASIVLSPSEGDEKFYEAPENLVDSVESTTPTPRKASELVSLQGFLSSGKTSLPTQSFSRVTGLLPDGKLLPRTEDIELSDTLNSFVKAQIVIYDQNSPLYDNIDMKVTVTLATLSFFCRRPTILAIMEFASSITIEEESCESFSDSSSAAGVKRDISSEDPTDNLQSTSIEEPVVKGLLGKGKSRIIFNLTLNMAHAQTLLMNENETKFATLSQENLRTDIKVFPSSFSINAALGNLRISDDSLPSNHMYFWICDMRDPGGTSFVELVFTSFSIDDEDYEGFEYSLFGQLSEVRIVYLNRFVQEVISYFMGLVPKDSKSVVKLKDQGTNSEKWFTTSEIEGSPALRLDLSLRKPIILMPRKTDSLDYLKLDVVHITVQNTFHWFCGSKSDLNAVHFEIMTIMVEDINLNVGTESDLSESIIKDVKGVSIVIRRSLRDLMHQIPSIEADIKIEELKAELSNREYMIITECALSNISETPHIVPPIGSDFVTSSEDVVEDVIPQNTADVERRTPNDETSTAMKVSVVINLVEMGLYVGEEWCSPLATVQASGTWLLYMSNTLGEGFLSASLKGFTVIDNRVGTKEEFKLAIAMPKNPVVSVANTNGQLISNANVTKGNNIKPFPTMLLLDAKFGQSTTSVSVCVQKPQLLVALDFLLALVEFFVPTVGTMLTDEEDKKSLHIVDAIILDKSTYTQPLAQFSLSPVKPLIADDEKFDHFIYDGNGGILHLKDREGIDLCAPSNEAMIYVGNGKKLQFKNVLIKNGHYLDSCISLGTNSGYSASKDDLVYFEGGEEDPQVDTAREIANQLAPQNAIVDRSAEFIVEFQAIGPELTFYNASKDVGESSVLSNKLLHAQLDAFGRLVLKGDNVEMTANALGLTMESNGVRILEPFDTTIKYSNASGKTNIHLSVSDIFMNFSFSILRLFLAVEEDILAFLRRTSREMTVNCSQFDTVGTIRNPDSDQIYSFWRACAPVGFAVLGDCLTPLDKPPTKGVLAVNTNYVPVKRPVSFKRIWPPLDSGGISDECEVNSKTSSNGVLGEGESCCSVWFPEPPEGYVALGCVVSPGKLRPSSSSTFCILDSFVSPCSLRDCITTSATNRLAFWRVDNSLGTFLPAEPTTLRLLARAYELRYGILRSPEFHPKASRSSDIEASVSGRVSNQQSNRSTVANSGRRFEAVASFRLVWWNRGSSSRKQLSIWRPEVPQGMVYFGDIAVQGYEPPNACIVLHDAEDEELFKAPSGFQPVGQIKKQRGMENISFWLPQAPPGYVSLGCIACKGPPKQQDICTLRCIRSDMVTGDQFLEESVWDTTDAKFGTVPFSIWVVANELGTFFVRGGSRKPPRRFALKLADPYLHSGSDDTVIDAEITTFSAALFDDYGGLMVPLFNISLSGIAFSLRGRPNYSNSTVSFSLAARSYNDKYESWEPIVEPMDGFLRYQYDPSSPGAATQLRFTSTRDLNLNISVSNANMIIQAYASWNNLSAIHQYYKRPEACFPTSATRSIVNVHQKRSYYIIPQNKLGQDIFVRATERRGFSDIIKMPAGNMKPINVPVSKSMLDSHLKGELCRKIRTMVTIIIADAVLPRVEGLSSHQYTVAVRLSPSHSLPSESLLHQQSARTCGRISSIMSSDSELVDWSEMFFFIVDSPDSYTLELIVADVGKGDTIGFFSAPLNQIAVYIPDDSLDYANSLMWRDLFLPPSMNITQADRSSKKSSGKLRCAVILPPKFNVDETNEPFVGGRKSGFIQISPSMEGPWTTVQLNYAAPTASWHLGNDIVAGEVSVKDGNRYVNIRSLVSVHNNTDFTLDLCLVSKASSETEQPTDVRMPEGSQLDGSRIQTDEFFETEKYDPNIGWIGCNVQLNQDQPYGGGSQQAAFGVELPSGWDWLDDWHLDTPSTSTAGGWVHAPDVESLKWPESDDSIASLSSVRQRRWIRNRKQISLDAKELFVAELKRGDTVPLPLSALSKSRSFVFQLRPSNVDGSNKYSWSSVVGKPGQPEVSEKPKGTCEIYVSALTESEELLCCTQLVESSSNASSPKLWFCLGIQATEISKDIRSDPILDWSLVIKSPLSITNYLPLTAEYSILEMRPSGHFIACSRGIFHHGGTVNIYNADICRPLFFSLLPQRGWLPLREAVLISHPHEIPSKTISLKSSISGRIVQLVIEQNYDKEQTNLSKTIRVYAPYWFSVSRCPSLTYRLVDIGGKKSTRKIRFPLRAKKENEGIEEITDEEMYNGHTIASSLNFNLYGLCVAVTEPSNEHFGPVKDLSPLSDMDGSVDLYAYNADGRCIHLFVSAKPCPYQSVPTKVITVRPYMTFTNRIGQDLYIKLSNEDEPNVLCASDSRVSFVHYKNDGMEKLQIRLEDTEWSFPVQIVKEDTISLVLRRRDATRTFLNVVIRGYEEGSRFIVVFRLGSAKGPIRIENRTTEKTICIRQSGFGENTWISLGALSTTNFSWEDPYGQKFIDAKIYGDCDSQIWKIDLERAGQFSEGEGEFGMQLHVFEIGNIKVVRFTDDWTWKLSSHEDRRSLTAAGEPQIDVTPIEIIIELGVVGVSVVDHMPRELFYLYLDRLFISYSTGYDGGTTSRFKLILGYLQIDNQLPLTLMPVLLAPEQMSDIHHPVFKMTITMRNVNSDGVQVYPYVYIRVTDKSWRLNVHEPIIWAIVDFYNNLQLDHIPQSSSVTQVDPEIRVDLIDVSEVRLKVSLETAPAQRPQGVLGVWSPILSAIGNAFKIQVHLRRVMRKDRFMRRSSILPAIENRIWRDLIHNPLHLLFSVDVLGMTSSTLASLSKGFAELSTDGQFLQLRSKQVTSRRITGVGDGIIQGTEALAQGVAFGVSGIVRKPVESTRQNGFMGLAHGIGRACLGFIVQPVSGALDFFSLTVGGIEASCSKCLEALNNRSTFQRIRNPRAIHSDGILREYSEKEAAGQMVLYLAEASRRFGCTEIFKEPSKFAWSDYYEEHFIVPYQKILLVTNKRVTLLQCSSLDKMDKKPCKIIWDVPWEELMALELAKAGSHLPSCLLLHLKNFRRSEAFVRVIKCNVEEVEGTEPQAVQICSVVRKMWRMHQSDLKSIVPKVSSSHRHLHFSWSETDKKAPHAMKKSIIKSGEFSSSSSASDGIKIIKHSMNFLKIWSSERELKGRCTLCRKQVADDGGVCSIWRPICPDGYGGIV >KJB25421 pep chromosome:Graimondii2_0_v6:4:50690049:50725263:1 gene:B456_004G190500 transcript:KJB25421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDQVAYLLQRYLGNYVRGLNKEALKISVWKGDVELTNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVYLDQIFLLAEPATFVEGCSEDAIQEAKKNRVREMEMKLLERMHHLKSEMNKSWLGSLISTIIGNLKLSISNIHIRYEDLESNPGHPFAAGLTLEKLSAVTVDDSGKETFVTGGALDRIQKSVELDRLALYLDSDILPWHIDKLWEDLLPSEWIQVFRFGTKDGRPADHPIEEHAYILQPVTGNAKYSKLRQNGSSDSGEPLQKGAVSLDDVTLCLSKDGYRDILKLADNFTAFNQRLKYAHYRPTVSLKSDPRSWWKYAYKAVSDQMKKASGKLSWEQVLRYTRLQKKYISLYASLLKSDVNRPVVDDCKEIEELDRGLDIELILQWRMLAHKFVQRSIESENYLKQQKGKKSWWSLGWASQSLKDDSESFSFSEEDWEKLNKIIGYKEDDDQSLMINAKPDILKTSLEIHMKRNASKLLDGARTCLADLSCEGLNCSIKLYPETKVFDVNLGSYQLSSPNGLLAESATTSDSLVGVFCYKPFDTKVDWSLVAKASPCYATYLKESIDEVINFFESNIAVSQTMAVETATAVQMTIDGVRRSAQQQVNKALKDHARFLLDLDIAAPKITIPTEFRPNSKHSTKLLLDLGNLIIRSQDDYTRASSEVLDLYLQFDLVLSDVSAFLVDGDYHWSQTFPKKSAGSTNVDVVSFLPVIEKCGVILKLQQIRLENPSYPSTRLAVQLPSLGFHFSPARYHRLMQVIKIFREEDKDNPDIFRPWNQADFEGWLFVLSRKGVGNREAVWQRRYLCLVGPFLYVLETPGSKSYKQYVSLRGKQVYLVPTELAGDMESVLAVCDAARSNSKVMEDVNALILQCDGDDSRKAWQSRLQGAIYHASASAPITSLSETSSDSETEPNDKHDTVDLAKIESVFITGVLDELKISFSYNHEHERSFIKVLLAEEYPLFEFRAIGGQVELSIKGNDMFIGTMLKSLEIEDMICCSTVSKPCYLARSFIRSADAHSVLDDASIVLSPSEGDEKFYEAPENLVDSVESTTPTPRKASELVSLQGFLSSGKTSLPTQSFSRVTGLLPDGKLLPRTEDIELSDTLNSFVKAQIVIYDQNSPLYDNIDMKVTVTLATLSFFCRRPTILAIMEFASSITIEEESCESFSDSSSAAGVKRDISSEDPTDNLQSTSIEEPVVKGLLGKGKSRIIFNLTLNMAHAQTLLMNENETKFATLSQENLRTDIKVFPSSFSINAALGNLRISDDSLPSNHMYFWICDMRDPGGTSFVELVFTSFSIDDEDYEGFEYSLFGQLSEVRIVYLNRFVQEVISYFMGLVPKDSKSVVKLKDQGTNSEKWFTTSEIEGSPALRLDLSLRKPIILMPRKTDSLDYLKLDVVHITVQNTFHWFCGSKSDLNAVHFEIMTIMVEDINLNVGTESDLSESIIKDVKGVSIVIRRSLRDLMHQIPSIEADIKIEELKAELSNREYMIITECALSNISETPHIVPPIGSDFVTSSEDVVEDVIPQNTADVERRTPNDETSTAMKVSVVINLVEMGLYVGEEWCSPLATVQASGTWLLYMSNTLGEGFLSASLKGFTVIDNRVGTKEEFKLAIAMPKNPVVSVANTNGQLISNANVTKGNNIKPFPTMLLLDAKFGQSTTSVSVCVQKPQLLVALDFLLALVEFFVPTVGTMLTDEEDKKSLHIVDAIILDKSTYTQPLAQFSLSPVKPLIADDEKFDHFIYDGNGGILHLKDREGIDLCAPSNEAMIYVGNGKKLQFKNVLIKNGHYLDSCISLGTNSGYSASKDDLVYFEGGEEDPQVDTAREIANQLAPQNAIVDRSAEFIVEFQAIGPELTFYNASKDVGESSVLSNKLLHAQLDAFGRLVLKGDNVEMTANALGLTMESNGVRILEPFDTTIKYSNASGKTNIHLSVSDIFMNFSFSILRLFLAVEEDILAFLRRTSREMTVNCSQFDTVGTIRNPDSDQIYSFWRACAPVGFAVLGDCLTPLDKPPTKGVLAVNTNYVPVKRPVSFKRIWPPLDSGGISDECEVNSKTSSNGVLGEGESCCSVWFPEPPEGYVALGCVVSPGKLRPSSSSTFCILDSFVSPCSLRDCITTSATNRLAFWRVDNSLGTFLPAEPTTLRLLARAYELRYGILRSPEFHPKASRSSDIEASVSGRVSNQQSNRSTVANSGRRFEAVASFRLVWWNRGSSSRKQLSIWRPEVPQGMVYFGDIAVQGYEPPNACIVLHDAEDEELFKAPSGFQPVGQIKKQRGMENISFWLPQAPPGYVSLGCIACKGPPKQQDICTLRCIRSDMVTGDQFLEESVWDTTDAKFGTVPFSIWVVANELGTFFVRGGSRKPPRRFALKLADPYLHSGSDDTVIDAEITTFSAALFDDYGGLMVPLFNISLSGIAFSLRGRPNYSNSTVSFSLAARSYNDKYESWEPIVEPMDGFLRYQYDPSSPGAATQLRFTSTRDLNLNISVSNANMIIQAYASWNNLSAIHQYYKRPEACFPTSATRSIVNVHQKRSYYIIPQNKLGQDIFVRATERRGFSDIIKMPAGNMKPINVPVSKSMLDSHLKGELCRKIRTMVTIIIADAVLPRVEGLSSHQYTVAVRLSPSHSLPSESLLHQQSARTCGRISSIMSSDSELVDWSEMFFFIVDSPDSYTLELIVADVGKGDTIGFFSAPLNQIAVYIPDDSLDYANSLMWRDLFLPPSMNITQADRSSKKSSGKLRCAVILPPKFNVDETNEPFVGGRKSGFIQISPSMEGPWTTVQLNYAAPTASWHLGNDIVAGEVSVKDGNRYVNIRSLVSVHNNTDFTLDLCLVSKASSETEQPTDVRMPEGSQLDGSRIQTDEFFETEKYDPNIGWIGCNVQLNQDQPYGGGSQQAAFGVELPSGWDWLDDWHLDTPSTSTAGGWVHAPDVESLKWPESDDSIASLSSVRQRRWIRNRKQISLDAKELFVAELKRGDTVPLPLSALSKSRSFVFQLRPSNVDGSNKYSWSSVVGKPGQPEVSEKPKGTCEIYVSALTESEELLCCTQLVESSSNASSPKLWFCLGIQATEISKDIRSDPILDWSLVIKSPLSITNYLPLTAEYSILEMRPSGHFIACSRGIFHHGGTVNIYNADICRPLFFSLLPQRGWLPLREAVLISHPHEIPSKTISLKSSISGRIVQLVIEQNYDKEQTNLSKTIRVYAPYWFSVSRCPSLTYRLVDIGGKKSTRKIRFPLRAKKENEGIEEITDEEMYNGHTIASSLNFNLYGLCVAVTEPSNEHFGPVKDLSPLSDMDGSVDLYAYNADGRCIHLFVSAKPCPYQSVPTKVITVRPYMTFTNRIGQDLYIKLSNEDEPNVLCASDSRVSFVHYKNDGMEKLQIRLEDTEWSFPVQIVKEDTISLVLRRRDATRTFLNVVIRGYEEGSRFIVVFRLGSAKGPIRIENRTTEKTICIRQSGFGENTWISLGALSTTNFSWEDPYGQKFIDAKIYGDCDSQIWKIDLERAGQFSEGEGEFGMQLHVFEIGNIKVVRFTDDWTWKLSSHEDRRSLTAAGEPQIDVTPIEIIIELGVVGVSVVDHMPRELFYLYLDRLFISYSTGYDGGTTSRFKLILGYLQIDNQLPLTLMPVLLAPEQMSDIHHPVFKMTITMRNVNSDGVQVYPYVYIRVTDKSWRLNVHEPIIWAIVDFYNNLQLDHIPQSSSVTQVDPEIRVDLIDVSEVRLKVSLETAPAQRPQGVLGVWSPILSAIGNAFKIQVHLRRVMRKDRFMRRSSILPAIENRIWRDLIHNPLHLLFSVDVLGMTSSTLASLSKGFAELSTDGQFLQLRSKQVTSRRITGVGDGIIQGTEALAQGVAFGVSGIVRKPVESTRQNGFMGLAHGIGRACLGFIVQPVSGALDFFSLTVGGIEASCSKCLEALNNRSTFQRIRNPRAIHSDGILREYSEKEAAGQMVLYLAEASRRFGCTEIFKEPSKFAWSDYYEEHFIVPYQKILLVTNKRVTLLQCSSLDKMDKKPCKIIWDVPWEELMALELAKAGSHLPSCLLLHLKNFRRSEAFVRVIKCNVEEVEGTEPQAVQICSVVRKMWRMHQSDLKSIVPKVSSSHRHLHFSWSETDKKAPHAMKKSIIKSGEFSSSSSASDGIKIIKHSMNFLKIWSSERELKGRCTLCRKQVADDGGVCSIWRPICPDGYVSIGDIARVGSHPPNVAAVYRNTDKLFALPVGYDLVWRNCLDDYTTPVSIWYPRAPDGFFSLGCVAVAGFEEPEADLVRCVAETVAEETTFEEQKVWCAPESYPWGCHVYQVQSDALHFVALRETKEESNWKPSRVRDDFQSPRSSESQ >KJB27008 pep chromosome:Graimondii2_0_v6:4:60651288:60653036:1 gene:B456_004G271500 transcript:KJB27008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPISATQCLISIFVFVLFTCLCSNCQSTQEPQKEYVSIKRSDFPNNFLFGATTSAAQIEGSAKSGGKGPSVWDQFIREFPEKIKDNSNLEVAADSYNRYKEDVSILKDLGVNAYRFSIPWTRILPDGTLGGGINQEGISHYNSFIDELIKNDIEPFVTILHFDSPEALENKYGGFLNYSIVNDFKDYAEICFKTFGDRVKNWITINEPLIMAKMGYGLGVAPPGRCSDRKMCPNGNAATEPYIVAHNVLLAHAAAAKLYKKEYQATQGGQIGIALNSHYYEPYSKSSLDKEAAKRGMDFELGWFMEPLMHGEYPESMRRLVKDRLPVFTPEQNELVKGSFDFIGINYYTSRYAKSIPSTPNAAPASCLVDPNVNATVDKDGVLIGPNAGGNVLYVYPEGLYKLLTFMKKNYSKNLTIYVTENGYPEKSNMSISEELKDQSRIEFVQKHLHQLQIAIKNGVNGKGYFYYSLLDSLEWGDASTIGFGLYHVDFKNFTRSRKESAKWYHNFIKGIK >KJB24414 pep chromosome:Graimondii2_0_v6:4:40713745:40716744:-1 gene:B456_004G144400 transcript:KJB24414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRVAHATLKGPSVVKEIVIGITLGLCAGGLWKMHHWNEQRKVRAFYDMLEKDRR >KJB24415 pep chromosome:Graimondii2_0_v6:4:40713714:40716824:-1 gene:B456_004G144400 transcript:KJB24415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRVAHATLKGPSVVKEIVIGITLGLCAGGLWKMHHWNEQRKVRAFYDMLEKEDEQNSIWSF >KJB24413 pep chromosome:Graimondii2_0_v6:4:40714087:40714278:-1 gene:B456_004G144400 transcript:KJB24413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRVAHATLKGPSVVKEIVIGITLGLCAGGLWKMHHWNEQRKVRAFYDMLEKGEISVIAEE >KJB22898 pep chromosome:Graimondii2_0_v6:4:7810469:7811088:1 gene:B456_004G072600 transcript:KJB22898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HVNVAILGYAPHSVLPIRVVTLAERTCFMPLPKLKCLTINPVFYTPFLRHMWTWLGASPATRKNFCSLLEAGYSCIVVPGGVQETFLM >KJB24306 pep chromosome:Graimondii2_0_v6:4:38645602:38656531:1 gene:B456_004G138100 transcript:KJB24306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNDLYQFSNSFRANSSSRWRDSGGYNVFSKSQREQENEDEEDLKWAAIERLPSVRKGLVTTSDGKMANVDITKLGPEQRKHLMDRLIKHAEKDNESFLLKVRERLDRVGIEIPKLEVRFEHLKVKAEAYIGSRALPSFFNFFINYLESILYGLHLLSSRKKHLSILRDVSGIIRPCRMTLLLGPPSSGKTTLLLALAGKLDRDLEFSGRVTYNGHEMDDFVPQRTAAYIGQHDVHIPEMTVRETIAFSARCQGVGPRFEMLAELSKREKAANITPDPDIDVFMKATSVAGKETSVLTDYILKILGLEICADTMVGNELIRGVSGGQKKRVTTGEMLAGPAKLLLMDEISTGLDSSTTYQIVKSLRQFVHILNGTAFISLLQPAPETYDLFDDIILLSDGHIVYQGPREHVLEFFESIGFKCPTRKGVADFLQEVTSRKDQRQYWTQADKDYSFVTVEQFVEAFQSFHVGKGLQNDLATPFIKNERDTQLLTTKNYGVRKMELLKVCFSKEMLLMKRNSFLYIFKLVQLLVMAVIGSTVFLRTEMHKGTPTDGIIQTGAAFFSVFMIMFNGLAEISMVVFKLPVFFKQRDSLFYPAWAYAIPTCLLKIPISFLEVGLWVVMTYYPMGMDPSIIRFLKQLLLLLLLNQMSSALFRLIAAMGREMTVTSTLSAFFLLVLFANCGYILSRDEVKKWWIWGYWASPMMYAQNAIAANEFLGDSWNKVIPLANEKLGVLVLKARGCFAKAYWYWIGVGALLGFVLVFNLFYTLALTFLNPLKESRSLKSEDERNEEDDKSGVHAPPQPKGSDTEQLKSKAELDRKKGMILPFEPHCITFEEVVYSVDMPQEMKAQGVTEDRLLLLRGITGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIKVSGFPKTQETFARISGYCEQADIHSPHLTVHESVLYSAWLRLAPEVNSETRKMFVKEVMELVELNAWGQALVGLPGVNGLSLEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRIVRKTVDTGRTVVCTIHQPGIDIFEAFDELLLMKQGGQEIYVGPLGHNSCELIKYFEGIEGVSKIKDGYNPSTWMLEISTAAQEMALGVDFAAVYKNSELYKRNKALIEQLNMPSPGAKELHFSTQYSQSFLSQFLACLWKQRCLYWRNTEYTAVRFFFTTIIALMFGTMFWKVGSKRGRRQDLFNAMGSMYAAVLFIGVQNASSIQPVVNVERTVFYREKAARMYSPMAYAFAQVAIELPYILVQTVTYGIIVYPLMAFEWSGARFFWYLFFAYFTFLFFTFFGMMSVAITPNYHIAGIISTAFYAIWNLFIGFLVPRTKIPIWWRWNYWVCPLAWSLYGLTVSQFGEVEDVLDTGETTKDFLKSYFGFRHEFVGVVAVVMVGWAVVFAFLFAVFIKILDFQHR >KJB23922 pep chromosome:Graimondii2_0_v6:4:30057306:30058368:1 gene:B456_004G121200 transcript:KJB23922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSIVNSKEHICTALQIQDKQAKIKTKFQVVDVAVVKQSALGSSKLVFLLAAPFFSVFTSTFLHLLNLIPSSPVNLCKFEDPS >KJB25300 pep chromosome:Graimondii2_0_v6:4:49860822:49863659:-1 gene:B456_004G184500 transcript:KJB25300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGPDEEDNRWPPWLKPLLREHFFVQCKLHADSHKSECNMYCLDCMNGALCSFCLAYHKDHRYIQIRRSSYHDVIRVSEIQKYLDISGIQTYVINSAKVVFINERPQPRPGKGVTNTCEVCDRSLVDSFRFCSLGCKIVGTSKNFQKKKRHLAMASDSEDSYSSSSSHGKLMNNNNKMRSFSPSTPPPTSVNSRTAKRRKGIPHRSPMGGLIIEY >KJB25299 pep chromosome:Graimondii2_0_v6:4:49861642:49863173:-1 gene:B456_004G184500 transcript:KJB25299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCLDCMNGALCSFCLAYHKDHRYIQIRRSSYHDVIRVSEIQKYLDISGIQTYVINSAKVVFINERPQPRPGKGVTNTCEVCDRSLVDSFRFCSLGCKIVGTSKNFQKKKRHLAMASDSEDSYSSSSSHGKLMNNNNKMRSFSPSTPPPTSVNSRTAKRRKGIPHRSPMGGLIIEY >KJB24850 pep chromosome:Graimondii2_0_v6:4:45716934:45718434:-1 gene:B456_004G164700 transcript:KJB24850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIYGISTSEQLDVDDLLDLSNDEFFPSASAATSHDQFPPSETHFSDASAASSSSAALTPSFSLDFNHDICLPSDDVAELEWLSQFVEDSFTDFPSYSLPGILNPRNDNSSFSSKARSKRSRAVTTLNGTNWTTTTSGAAGTLTGKTKTKREIQRQASPAAVEGMRRCSHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTQHSNSHRKVLELRRQKEEQSTRRQQEQVCRQQHRQQVC >KJB26800 pep chromosome:Graimondii2_0_v6:4:59640642:59641736:1 gene:B456_004G261000 transcript:KJB26800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTSLMHKKQHETFLFFLLSKACIFRIPCPQPLYSMQLFRLILTLCSCKLLFCMVTMIYSWVKSGLGLILTKIVSCPCPARNMLENFIQAWPVLLRHHTVKCAKQVRLEQKCHVQKYLVNLVRT >KJB25033 pep chromosome:Graimondii2_0_v6:4:47513677:47515750:-1 gene:B456_004G173600 transcript:KJB25033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGLAVEIVGRYRVSPPPNTVPPTSLPLTFFDIPWLFFSPTQPLFFYDYPYPTSHFLSTALPPLIHSLSLTLRHFFALAATLVCPPHSSNPPFIVYDRSNFVSLVVAHSTADFHHLCSNHQRCVNDFYPLLPPLPSGEQEETQTPLLAAQITIFSNVGVCIGFAYHNVAADGRSFNSFIKTWASLFKDPSSCSVNSSLLPFYDRTAIKDSYRLQSIFLNHWRKRSSTNMVIGVSDKDLDSAMVRATFLMCPDDMEKIKGWIVGRCKVKNMDQPPRLTPSNLTCAYVWVCLIKSHEKVNGKLTGKNPSYFGFNAGGITRLGYPVPAAYFGNCIGFARTMATQSELSGEDGIIVAADAIGNRVKDLDEAFLEGAENWISEWGEFYGSDSEPHVMVSGSPKLDFYETDFGWGKARRIEEISMDNAKGKAVWFTQSRDVKGGIEVGLALPKPKMDAFTCFFTQLLPHH >KJB26913 pep chromosome:Graimondii2_0_v6:4:60124463:60126341:1 gene:B456_004G265700 transcript:KJB26913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFAVNFSRRSDGTINRYIMNLFDFKAPPSQQPFDGVKTSDTVVDATRNLYFRLFLPSLNQDDDVPVIVYFHGGGFAYLSASSIPCDDFCRRLCKKTGAVIISVNYRLAPEHKYPSQYDDGFDVLKFIDDNANAKNLPLNVNLKQCFIAGDSAGGNLAHHVAVKACEYGLRNVKLIGLIAIQPFFGGEERTESETRIVDAPMISVKGTDWLWKAFLPEGSDRNHPACNVFGPKSVDDISRLKFPATMVVVGGFDPMHDWQIRLSNGIPKYYELNRCISKWLG >KJB25801 pep chromosome:Graimondii2_0_v6:4:54418731:54420715:1 gene:B456_004G211400 transcript:KJB25801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSSKGSVHDFTVKDARGNDVDLSIYKGKVLLIVNVASQCGLTNSNYTELSKLYEQYKDQGFEILAFPCNQFGGQEPGNNEQILEFACTRFKAEYPIFDKVDVNGEKAAPIYKFLKSSKGGLFGDSIKWNFSKFLVDKEGHVVDRYAPTTSPLSIEVSPVNFMYFATDIVTRESGNENIPREIKPWN >KJB25799 pep chromosome:Graimondii2_0_v6:4:54418717:54420760:1 gene:B456_004G211400 transcript:KJB25799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSSKGSVHDFTVKDARGNDVDLSIYKGKVLLIVNVASQCGLTNSNYTELSKLYEQYKDQGFEILAFPCNQFGGQEPGNNEQILEFACTRFKAEYPIFDKVDVNGEKAAPIYKFLKSSKGGLFGDSIKWNFSKFLVDKEGHVVDRYAPTTSPLSIEKDIKKLLA >KJB25800 pep chromosome:Graimondii2_0_v6:4:54418731:54420715:1 gene:B456_004G211400 transcript:KJB25800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSSKGSVHDFTVKDARGNDVDLSIYKGKVLLIVNVASQCGLTNSNYTELSKLYEQYKDQGFEILAFPCNQFGGQEPGNNEQILEFACTRFKAEYPIFDKVDVNGEKAAPIYKFLKSSKGGLFGDSIKWNFSKFLVDKEGHVVDRYAPTTSPLSIEVRYKETAGLNLMGGRD >KJB25010 pep chromosome:Graimondii2_0_v6:4:47229830:47233726:1 gene:B456_004G172000 transcript:KJB25010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) UniProtKB/Swiss-Prot;Acc:Q8L4Y5] MEAAVVDAGSKLLKAGLAVPDQAPSLIMPTQMKRMLEDGSSVDNSLLEDVTIDPVVRGCVKDWDAMEDLLHHVLYTGLEWEVGNEGQILFTEPLCTPKAVRERLVQLLFETFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAAVLEGAVQHIASRRFEVGGIDLTKLLAQELGKSNPMVNLSLSDVEKLKEQFSCCAEDEVAYDRMLRSCETEEHTLPDGQVIRIGRERFTVGEALFQPSILGLEAHGIVEQLVRSIATVSSENQKQLLENTVLCGGTTSMTGSRKKQASAHQLSVLL >KJB25009 pep chromosome:Graimondii2_0_v6:4:47229748:47233737:1 gene:B456_004G172000 transcript:KJB25009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) UniProtKB/Swiss-Prot;Acc:Q8L4Y5] MEAAVVDAGSKLLKAGLAVPDQAPSLIMPTQMKRMLEDGSSVDNSLLEDVTIDPVVRGCVKDWDAMEDLLHHVLYTGLEWEVGNEGQILFTEPLCTPKAVRERLVQLLFETFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAAVLEGAVQHIASRRFEVGGIDLTKLLAQELGKSNPMVNLSLSDVEKLKEQFSCCAEDEVAYDRMLRSCETEEHTLPDGQVIRIGRERFTVGEALFQPSILGLEAHGIVEQLVRSIATVSSENQKQLLENTVLCGGTTSMTGFESRFQKEASLCSSAIRPSLVKPPEYMPENLSVYSAWVGGAILAKVVFPQNQHVTKADYDETGPSVVHRKCF >KJB21721 pep chromosome:Graimondii2_0_v6:4:730030:733076:-1 gene:B456_004G010200 transcript:KJB21721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRMVARYMSRRFSSGGKVLSEEEKAAENVYIKKTEKEKLEKLARKGPKPEEKPAVGSGGSVTDAKPSSSTSTSRASSTEKVSTDKYQNYAVLTGVVTFASALGWYMISADKKKETQD >KJB21720 pep chromosome:Graimondii2_0_v6:4:730343:732036:-1 gene:B456_004G010200 transcript:KJB21720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRMVARYMSRRFSSGGKVLSEEEKAAENVYIKKTEKEKLEKLARKGPKPEEKPAVGSGGSVTDAKPSSSTSTSRASSTEKVSTDKYQNYAVLTGVVTFASALGWYMISADKKKETQD >KJB21719 pep chromosome:Graimondii2_0_v6:4:729966:733168:-1 gene:B456_004G010200 transcript:KJB21719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRMVARYMSRRFSSGGKVLSEEEKAAENVYIKKTEKEKLEKLARKGPKPEEKPAVGSGGSVTDAKPSSSTSTSRASSTEKVSTDKYQNYAVLTGVVTFASALGWYMISADKKKETQD >KJB22000 pep chromosome:Graimondii2_0_v6:4:1990134:1996886:1 gene:B456_004G025300 transcript:KJB22000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASGLGWAVLLVLLGLVVKGKAEIYIVTVEGEPIISYKGGENGFEATAVESDEKLDTTSELVTSYASHLEKKHDMLLGMLFEHGSYKKLYSYKHLINGFSVHLSPQQAETLKRAPGVKSVERDWKVRKLTTHTPQFLGLPTGVWPAGGGFDRAGEDIVIGFVDSGIYPHHPSFAAHHDDPYGPVPKYRGKCEIDPDTKRDFCNGKIIGAQYFAEAAKAAGAFNPTIDFASPMDGDGHGSHTAAIAAGNNGIPVRLHGHEFGKAMENVSPGTKFDPVPVGIPGILITDVSKTMDLIDYYNVSTPRDWTGRVKSFKAIGSIGDGLMPILHKSAPEVALFSARGPNIRDFSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNFVGEGFALISGTSMAAPHIAGIAALLKQKHPQWSPAAIKSALMTTSTKLDRAGRPLQAQQYSETEAMKLVPATPFDYGSGHVNPRAALDPGLIFDAGYEDYVGFLCTTPGIDIHEIKKYTNSPCNSTIGRPSNLNTPSITISHLVGTQTVTRTVTNVAEEETYVITARMHPSVAIETRPSAMTLRPGASRKFSVTLTARSVTGSYCFGEITMKGSRGHKVTIPVVAMGHWR >KJB21999 pep chromosome:Graimondii2_0_v6:4:1990134:1996886:1 gene:B456_004G025300 transcript:KJB21999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASGLGWAVLLVLLGLVVKGKAEIYIVTVEGEPIISYKGGENGFEATAVESDEKLDTTSELVTSYASHLEKKHDMLLGMLFEHGSYKKLYSYKHLINGFSVHLSPQQAETLKRAPGVKSVERDWKVRKLTTHTPQFLGLPTGVWPAGGGFDRAGEDIVIGFVDSGIYPHHPSFAAHHDDPYGPVPKYRGKCEIDPDTKRDFCNGKIIGAQYFAEAAKAAGAFNPTIDFASPMDGDGHGSHTAAIAAGNNGIPVRLHGHEFGKASGMAPRARIAVYKAIYRLFGGFVADVVAAIDQAVHDGVDILSLSVGPNSPPTNTKTTFLNPFDATLLAAVKAGVFVAQAAGNGGPFPKTLVSYSPWIASVAAAIDDRRYKNHLNLGNGKALAGLGLSPSTHPNQTYTMVAANDVLLDSSATKFSPSDCQRPEVLNKKLVEGNILLCGYSFNFVVGTASIKKVSETAKALGAVGFVLAVENVSPGTKFDPVPVGIPGILITDVSKTMDLIDYYNVSTPRDWTGRVKSFKAIGSIGDGLMPILHKSAPEVALFSARGPNIRDFSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNFVGEGFALISGTSMAAPHIAGIAALLKQKHPQWSPAAIKSALMTTSTKLDRAGRPLQAQQYSETEAMKLVPATPFDYGSGHVNPRAALDPGLIFDAGILAFCFGSWPLYL >KJB21997 pep chromosome:Graimondii2_0_v6:4:1990057:1996888:1 gene:B456_004G025300 transcript:KJB21997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASGLGWAVLLVLLGLVVKGKAEIYIVTVEGEPIISYKGGENGFEATAVESDEKLDTTSELVTSYASHLEKKHDMLLGMLFEHGSYKKLYSYKHLINGFSVHLSPQQAETLKRAPGVKSVERDWKVRKLTTHTPQFLGLPTGVWPAGGGFDRAGEDIVIGFVDSGIYPHHPSFAAHHDDPYGPVPKYRGKCEIDPDTKRDFCNGKIIGAQYFAEAAKAAGAFNPTIDFASPMDGDGHGSHTAAIAAGNNGIPVRLHGHEFGKASGMAPRARIAVYKAIYRLFGGFVADVVAAIDQAVHDGVDILSLSVGPNSPPTNTKTTFLNPFDATLLAAVKAGVFVAQAAGNGGPFPKTLVSYSPWIASVAAAIDDRRYKNHLNLGNGKALAGLGLSPSTHPNQTYTMVAANDVLLDSSATKFSPSDCQRPEVLNKKLVEGNILLCGYSFNFVVGTASIKKVSETAKALGAVGFVLAVENVSPGTKFDPVPVGIPGILITDVSKTMDLIDYYNVSTPRDWTGRVKSFKAIGSIGDGLMPILHKSAPEVALFSARGPNIRDFSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNFVGEGFALISGTSMAAPHIAGIAALLKQKHPQWSPAAIKSALMTTSTKLDRAGRPLQAQQYSETEAMKLVPATPFDYGSGHVNPRAALDPGLIFDAGYEDYVGFLCTTPGIDIHEIKKYTNSPCNSTIGRPSNLNTPSITISHLVGTQTVTRTVTNVAEEETYVITARMHPSVAIETRPSAMTLRPGASRKFSVTLTARSVTGSYCFGEITMKGSRGHKVTIPVVAMGHWR >KJB21998 pep chromosome:Graimondii2_0_v6:4:1990134:1996886:1 gene:B456_004G025300 transcript:KJB21998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASGLGWAVLLVLLGLVVKGKAEIYIVTVEGEPIISYKGGENGFEATAVESDEKLDTTSELVTSYASHLEKKHDMLLGMLFEHGSYKKLYSYKHLINGFSVHLSPQQAETLKRAPGVKSVERDWKVRKLTTHTPQFLGLPTGVWPAGGGFDRAGEDIVIGFVDSGIYPHHPSFAAHHDDPYGPVPKYRGKCEIDPDTKRDFCNGKIIGAQYFAEAAKAAGAFNPTIDFASPMDGDGHGSHTAAIAAGNNGIPVRLHGHEFGKASGMAPRARIAVYKAIYRLFGGFVADVVAAIDQAVHDGVDILSLSVGPNSPPTNTKTTFLNPFDATLLAAVKAGVFVAQAAGNGGPFPKTLVSYSPWIASVAAAIDDRRYKNHLNLGNGKALAGLGLSPSTHPNQTYTMVAANDVLLDSSATKFSPSDCQRPEVLNKKLVEGNILLCGYSFNFVVGTASIKKVSETAKALGAVGFVLAVENVSPGTKFDPVPVGIPGILITDVSKTMDLIDYYNVSTPRDWTGRVKSFKAIGSIGDGLMPILHKSAPEVALFSARGPNIRDFSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNFVGKDDLL >KJB22032 pep chromosome:Graimondii2_0_v6:4:2049121:2049697:-1 gene:B456_004G026100 transcript:KJB22032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLFSLLYIFAILLLFTSGKVNSAVCEEELGKCDENCDFNCQTSKSGKGICDANGICECVYECEGPGTKRCNVGIGPCSVRCSDACCEQNCESKFPGAQDGHGFCLEITGIPASNQCLCYFNC >KJB22109 pep chromosome:Graimondii2_0_v6:4:2371735:2373224:-1 gene:B456_004G029800 transcript:KJB22109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPERSHGGSSSSSSMSDLFICFTSGPSSSSSSFGRRLMRSNGSMKGGQASPMFSNGKKKSSGFENPEPSSPKVTCIGQVRVKSKKQGKKFRACRSKRTGMDHNNGSNNNSQECKKWVHLPLTICEALRAFGAEYFYCFLPSCMTNQREDKQDKTEARSGDNDDDDNGKRKSSERHAFKDIEINDDEDEEDETRLSISCIPPKDAFLLTRCRSDPIKMAAFANKFLDLDPKNEEEQKPEAETNAGESKEEQGNDEDADQQESTIKEQTLNLHGEENVQEKGSTSMVEEEEKTQERSELECTEAMKTDQEGDESKESESQQNLLPDCLLLMMCEPKLSMEVSKETWVCSKDFIREKEKQPLVKQKVVDFNNPVPVSLQPPSSSCSFSAAPPTAAAAITAKVDEKVVGGKGCEPFVLTRCMSEPMMRSSAKLASNGCKWKNEPTKLGVGF >KJB21382 pep chromosome:Graimondii2_0_v6:4:21198617:21199087:-1 gene:B456_004G104800 transcript:KJB21382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFKSLALVAFLLAMPTIVSESRVVRKDLGIDLGGSGAGAGSGSGSGSGSSSSSSSSSSSSSYSNSGSGSDAGSYAGSSAGSRAGSGSGGNKGRQGGSGHGEGYGEGSGRGNGSGNGESYGEGHGYGEGHGSGGDN >KJB23824 pep chromosome:Graimondii2_0_v6:4:28183917:28190673:-1 gene:B456_004G116600 transcript:KJB23824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVVATRSIRANFFSKASGSLQDPVEKLKPASFASKVLLPDHRKSNAVVLTRNSRITAKRASRAEPELIPVSPEDVLKREEQYEQLRGIQQVGDGSVGMWSKPTVRRKTKIVCTIGPSTNTREMVWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNTIAIMLDTKGPEVRSGDLPQPITLTTGQEFTFTIKRGVGTPDCVSVNYDDFVNDVEVGDMLLVDGGMMSLVVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAEVVHELKNYLQSYDADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRTCRSLGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATISGCEMPPNLGQAFKNHMSEMFAYHATMMSNTLGISTVVFTRTGFMAILLSHYRPSGTIFAFTNEKRIQQRLALYQGVCPIYMEFSDDAEETFKTALALLQRQGMVKEGEEVALVQSGRQPIWRFQSTHNIQVRKV >KJB25638 pep chromosome:Graimondii2_0_v6:4:52914451:52915071:-1 gene:B456_004G201600 transcript:KJB25638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSLRHWKVIPILLITLQFTTNMVLSLADAPFDKQRNIEYIKSSCSSTTYPRLCYRSLSIYANKIKTSPRLLVDTALNVTLKASKSTSRLMVKISTIHGLRPRVAAAMADCIEVIGDSVDELQQSIEELGHISRSNFALTMSDIQTWVSAALTDEDTCMDGFAGKSMNGNVKTMVRKRIVKIAHLTSNALALVNNYASTQSNLP >KJB21472 pep chromosome:Graimondii2_0_v6:4:10307709:10308423:-1 gene:B456_004G083500 transcript:KJB21472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMVFPLSFPFLFFMGIYERIT >KJB21471 pep chromosome:Graimondii2_0_v6:4:10305081:10308453:-1 gene:B456_004G083500 transcript:KJB21471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPDGVDIELLHDATRREARGG >KJB23299 pep chromosome:Graimondii2_0_v6:4:12367846:12368916:1 gene:B456_004G090700 transcript:KJB23299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSFSNAKLFSTFVVDGISNVISRRGYAAASQGIVSSGIRGGAGRSAAAVVKKTGEEMSGGAKEKVSWVPDPVTGCYRPENCANETDVAELRATLLKNTSLHIFSSTKLTCLLAPPSFV >KJB23298 pep chromosome:Graimondii2_0_v6:4:12368149:12368538:1 gene:B456_004G090700 transcript:KJB23298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSFSNAKLFSTFVVDGISNVISRRGYAAASQGIVSSGIRGGAGRSAAAVVKKTGEEMSGGAKEKVSWVPDPVTGCYRPENCANETDVAELRATLLKK >KJB24197 pep chromosome:Graimondii2_0_v6:4:36028564:36031042:-1 gene:B456_004G132500 transcript:KJB24197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEREVFGLSGPLHLTNIDWNNAHHRRSVAASLVEGVYILERDRQEKREGSQALAPPWWEFFHFKLVRKLIDDVDFCIFGAIYEYKPPSSHCNDSIVSIDGNPRYVIAFRGTITKPDSFTRDFELDIHIMRNGLHQTSRFEIGMQAVRNMVGTVGASNVWLAGHSLGAAMAMLAGKTMAKMGNFLEAFLFNPPYLSAPIERIKDKKVKHGIRIAGSVITAGLALAARGKNPRSRSEDPFSALSAWTPSLCVNPADHLCSEYIGYFEHRKKMEEIGAGAIERLATQHSLGGLFMSVVGKGVEAAEPLHLLPSANLTVNLSPSNDFKQAHGIHQWWRPDLSLKCSLYKFK >KJB24198 pep chromosome:Graimondii2_0_v6:4:36028564:36031134:-1 gene:B456_004G132500 transcript:KJB24198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEREVFGLSGPLHLTNIDWNNAHHRRSVAASLVEGVYILERDRQEKREGSQALAPPWWEFFHFKLVRKLIDDVDFCIFGAIYEYKPPSSHCNDSIVSIDGNPRYVIAFRGTITKPDSFTRDFELDIHIMRNGLHQTSRFEIGMQAVRNMVGTVGASNVWLAGHSLGAAMAMLAGKTMAKMGNFLEAFLFNPPYLSAPIERIKDKKVKHGIRIAGSVITAGLALAARGKNPRSRSEDPFSALSAWTPSLCVNPADHLCSEYIGYFEHRKKMEEIGAGAIERLATQHSLGGLFMSVVGKGVEAAEPLHLLPSANLTVNLSPSNDFKQAHGIHQWWRPDLSLKCSLYKFK >KJB22835 pep chromosome:Graimondii2_0_v6:4:7192011:7194091:1 gene:B456_004G068700 transcript:KJB22835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPKDLCPGTSAIVFLLLTGLLYLCVWSPSNPLLPFHEPNGSPKNYTGVEFLVKDELDLALEEASMPNKTVIIAVVNRAYVEQSVNAETTMLDLFLESFWVGEDTRALLEHLLLVTVDQTAYDRCMFKRLHCYRLVTEGVDFGEEKVYMSRDFVKMMWRRTLFLLDVLRRGYSFIFTDTDVMWLRNPFAKLSLNGTEDIQISVDKFYGDPRPEHNLINTGFYHIRSNNRTISLFEKWYSLNNSTWKKEQDVLIDLLRQGIVTQLHLRVRFLETRHFSGFCEDSRDVSYVTTVHANCCRHINAKVRDLTAVLRDWKRFKAAVTKYPNAAGNITMSFGWSPHSGCWNSWKPQH >KJB21809 pep chromosome:Graimondii2_0_v6:4:1271157:1272466:1 gene:B456_004G017700 transcript:KJB21809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 19 [Source:Projected from Arabidopsis thaliana (AT1G58170) UniProtKB/Swiss-Prot;Acc:Q9C523] MARILLLLASKFIFLSILSSSGVIRCTRGENNDDHGFIQSLDRESMGLKKEKLSHFRIYWHDIVSGHNATSIQVVRPSNASATGFGIINMIDNPLTLGPNLSSKLVGRAQGFYALSSQEEVGLLMSMNFAFTEGKYNGSTITVLGRNPVFNKVREMPVIGGSGLFRFARGYVQARTNTFNLTSGDAIIEYTCYVMHY >KJB25632 pep chromosome:Graimondii2_0_v6:4:52703453:52704658:-1 gene:B456_004G201000 transcript:KJB25632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQNNRALPEETLGEQIERKGGFDFPNDFALWQRFAYECAHHLDDSETSSPTSSYCSSEPEALVVSPLYNALQSIFPKGVERKDDEALGTLNPNPIDAVTISEGTPNPNPIDVFTISDDEASESPEKVLPKGYKPHKRVVAVRDFPTPTKFQTPCSEGQYSSPSYDERKKLESIKSMEAEPSEYKDELECGYGLISRDAIIPLYNISLISSEEGRDMPKVRGSVELNDLSETSEHEAMDLTEEVASNFTSPLDTIDSTTGSPNGYRGRGSPIREEGILESTSFKLKGFSHKLSDAEDPLLEAQSDSERFSKNSRQNSESLSSQCPNTTEKRVLNSPGSKKRSASRSSTTTDTNKRKREKTVLQSWASSSF >KJB26544 pep chromosome:Graimondii2_0_v6:4:58349656:58352163:-1 gene:B456_004G246500 transcript:KJB26544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTDGTSYGAYTYDALEREPYWPSEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMENCLKVTNGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARISGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSIDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQIEKEKVQGIDLSVYGSSKVVGTQAPVQLGSLRAADGKE >KJB26543 pep chromosome:Graimondii2_0_v6:4:58349574:58352755:-1 gene:B456_004G246500 transcript:KJB26543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTDGTSYGAYTYDALEREPYWPSEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMENCLKVTNGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARISGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSIDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQIEKEKVQGIDLSVYGSSKVVGTQAPVQLGSLRAADGKE >KJB21901 pep chromosome:Graimondii2_0_v6:4:1495705:1497865:1 gene:B456_004G020100 transcript:KJB21901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMICCCSQNLRDAFQACLRDYDKLLYVAVILIYIQIGCALTGSLGALYNGVLLANLGIALFALVAIESGSQSLGRAYAVLLFCAILLDISWFILFSHDIWNMSKETDGGEEGESRGMLFIFSVRLTLAMEMVGLFVRLWSSLLWIQIYRLGVSNVGNPSDLRDPDFDLKNGFLSPSTPSNPLLRQCSHNESDDALGGAIYDPAYYYSLFEDRQQCNRNSFTGHNNGVGGSGSASGAETSLLKPSPVRTHLGIDEENGTKLPQTV >KJB21902 pep chromosome:Graimondii2_0_v6:4:1495381:1498421:1 gene:B456_004G020100 transcript:KJB21902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMICCCSQNLRDAFQACLRDYDKLLYVAVILIYIQIGCALTGSLGALYNGVLLANLGIALFALVAIESGSQSLGRAYAVLLFCAILLDISWFILFSHDIWNMSKETDGGEEGESRGMLFIFSVRLTLAMEMVGLFVRLWSSLLWIQIYRLGVSNVGNPSDLRDPDFDLKNGFLSPSTPSNPLLRQCSHNESDDALGGAIYDPAYYYSLFEDRQQCNRNSFTGHNNGVGGSGSASGAETSLLKPSPVRTHLGGEWYKVASNCLNPGIISVKS >KJB24555 pep chromosome:Graimondii2_0_v6:4:42920452:42920667:-1 gene:B456_004G151100 transcript:KJB24555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWVGASMASAFFASLERCSCINLSTTDFDDEEEAKDRLLMFIKPVVHDEPQSQPDPAAANRPTAGKLNI >KJB24554 pep chromosome:Graimondii2_0_v6:4:42920344:42920865:-1 gene:B456_004G151100 transcript:KJB24554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWVGASMASAFFASLERCSCINLSTTDFDDEEEAKDRLLMFIKPVVHDEPQSQPDPAAANRPTAGKLNI >KJB24556 pep chromosome:Graimondii2_0_v6:4:42920452:42920712:-1 gene:B456_004G151100 transcript:KJB24556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSCSFISCDKLDRMATWVGASMASAFFASLERCSCINLSTTDFDDEEEAKDRLLMFIKPVVHDEPQSQPDPAAANRPTAGKLNI >KJB21325 pep chromosome:Graimondii2_0_v6:4:28469695:28470028:1 gene:B456_004G1170001 transcript:KJB21325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSWRGPWRTSWKI >KJB21323 pep chromosome:Graimondii2_0_v6:4:28467481:28467706:1 gene:B456_004G1170001 transcript:KJB21323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLQSIVLSDKLRTYCFQGVIKSHRRGEQGHQNES >KJB21324 pep chromosome:Graimondii2_0_v6:4:28467481:28467935:1 gene:B456_004G1170001 transcript:KJB21324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATSEYSAERQIKDLLFPRSHQKPQKRRTGSSK >KJB26806 pep chromosome:Graimondii2_0_v6:4:59654094:59658442:-1 gene:B456_004G261200 transcript:KJB26806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHALVVPDSSDAIVEHSLVIGQEFPDVETCRRTLKDIAIAMHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFTIRTLHGEHTCEGVRNLHHQQASVGWVARSVEARIRDNPQYKPKEILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSVASVVATRQENCFQCLFISYRASIYGFINACRPLLELDKVDLKGKYLGSLLCAAAVDADDALFPLAIAIVDVENDENWMWFVSELRKLLGVNTENMPRLTILSERRQSIVGAVETHFPSAFHGFCLRYISENFRDTFKNTKLVNIFWNAVYALTSAEFESKIAEMVEISHDVLQWFQVFPPQLWAVAYFEGVRYGHFTLGVTELLYNWALECHELPVVQMMEHIRLQLTSWFNNRRETGIRWTSILVPSAEKRISEAIADAHCYQVLRANEVEFEIVSTERTNIVDIRSRVCSCRRWQLYGLPCAHAAAALISSGQNVHLFAEPCFTVASHRETYLQMINPIPDKSYWKESREGTEGGAANLDITIRPPKTRRPPGRPKKKVLRVENLKRPKRVVQCGRCHLLGHSQKKCTMPI >KJB26807 pep chromosome:Graimondii2_0_v6:4:59654095:59658339:-1 gene:B456_004G261200 transcript:KJB26807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHALVVPDSSDAIVEHSLVIGQEFPDVETCRRTLKDIAIAMHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFTIRTLHGEHTCEGVRNLHHQQASVGWVARSVEARIRDNPQYKPKEILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSVASVVATRQENCFQCLFISYRASIYGFINACRPLLELDKVDLKGKYLGSLLCAAAVDADDALFPLAIAIVDVENDENWMWFVSELRKLLGVNTENMPRLTILSERRQSIVGAVETHFPSAFHGFCLRYISENFRDTFKNTKLVNIFWNAVYALTSAEFESKIAEMVEISHDVLQWFQVFPPQLWAVAYFEGVRYGHFTLGVTELLYNWALECHELPVVQMMEHIRLQLTSWFNNRRETGIRWTSILVPSAEKRISEAIADAHCYQVLRANEVEFEIVSTERTNIVDIRSRVCSCRRWQLYGLPCAHAAAALISSGQNVHLFAEPCFTVASHRETYLQMINPIPDKSYWKESREGTEGGAANLDITIRPPKTRRPPGRPKKKVLRVENLKRPKRVVQCGRCHLLGHSQKKCTMPI >KJB21868 pep chromosome:Graimondii2_0_v6:4:1361471:1362780:-1 gene:B456_004G018700 transcript:KJB21868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPSSASNPSLQLNLETLKAIKILGKGATGTVFLVHDTSIDPAARSPFALKVVQRFNNGGDRRARWEVGVLKMVSDPDPTRNHPFLPRLLGFFETDEFIGWAVPYCPGADLNVLRHRQNDGVFAISVIRFYLAEILCALEHLHSMGVVYRDLKPENVLVQRSGHVTLTDFDLSRGLKKKQLSEILDYRKNSPEINVRRKCRVNFTQWITGVPYNKALKKPKSARVSPVSRRKLSFSNGECSNSFVGTEEYVSPEVVRGDGHEFAVDWWALGILSYEMMYGTTPFKGNNRKETFRNVLGKQPEFMGQRNGLTDLIGRLLQKDPKMRLGYHGGACEIKEHPFFEGVKWDILTEVLRPPFIPSGDDAELMENVTARGIDIREYFWSLKASLSMPYRRYSHRHRSIDGAFLSPSSNACVREFECTYIS >KJB27357 pep chromosome:Graimondii2_0_v6:4:62075137:62077465:1 gene:B456_004G293100 transcript:KJB27357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTARLRSIINNCCLHCRVFSNMQSFIILYITRKYYEKYVKPKDVVGAEEVKSSDKNCLVLYMMFSSRKIRTRQNRVTCGKIPTYPSLSLLSMDVCVN >KJB26920 pep chromosome:Graimondii2_0_v6:4:60155831:60160713:1 gene:B456_004G266200 transcript:KJB26920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCDKRGQFGDTTFTKVFVGGLAWETQKDTMKKYFEQFGDILEAVVITDKATGRSKGYGFVTFREPEAAMRACVDAAPVIDGRRANCNLGFLGAQRSKPSTPKHGGRNLRGMRCFPTAIAFSSAATFPHYYGIPQGLPFNLYGYSPCSPDYSNYATNYYSLYGGATGQYPVYGGGLMTGAGTAFYPYLQFGEGMAGPVYSSGQGYGVQFPHHLFPYSTGSYPQHYGAPMALAPAPPLQ >KJB23467 pep chromosome:Graimondii2_0_v6:4:17553415:17555828:-1 gene:B456_004G099900 transcript:KJB23467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLALSLQPANGSDILLQTREWFPPARALIALHAFRQTRLAFSNKSPSSVAATSSSSSSSTAETSAATESIGDDPLSASSGQLIVGVESKYRVVYRLVNSIYVLGITTADHDNSINVFECINIVNQAVSVIVTACRGVDVTPEKLSRKYAEVYMALDIVLRGVSNIRLAAMLSYMHGDGIAKMVHSALDTEAKIRGADTWPAVELHSTEHQSNIEAFSNASFELPPETLAAGDQKVSTIVPQSTIEQDEKMIKAEESEAEKDPFAASEAINKQEDLVGGFKKTKDPSATDLTLALAGLEVTTLPPAEATQSTDITVEGFEGKYGGIEFGSEQATLGEAFEGFTDAWGGGLDASEFLENKKVKKQEGLSGLELLQTGDSAAPTAAAAGADGGKSLENLLVKSTEMKGPEMYILEEISAEFRESLLARVGLMGVVYLRTMPPRVSGDKDTEFSFRVEGTSSVKRFVIQSSRCSSLGNGMFHVRTPPSEEPIPILKYSLLPRLTPLPLRVRLIKRLSGTLLSVMIQYVSNPELLAPLTDVTFVLKLPVDPTLLKVSPKAVLNRSERELKWHVPEVPLKGTPGKLRVRMPLDSADIDEELEVVGYVKFSVQGATSLSGVCLKPATEGKTDFYEVNHRYQSGVYTCN >KJB21299 pep chromosome:Graimondii2_0_v6:4:58409189:58410900:1 gene:B456_004G2473003 transcript:KJB21299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFPINVKGSAGSICNFMGSFIGWVVAYYFNFLREWSSTGTFFIVSAFCCANFILLAIMVLETKGRTLEEIQASSIG >KJB24603 pep chromosome:Graimondii2_0_v6:4:43635993:43640107:-1 gene:B456_004G153500 transcript:KJB24603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVRRGRMMVGKRAGPSTPSTPWPHFDSTQHLTPPPVSARTLCVNLWQLQPLSPPPIMPKLPPNLRPHHVQDKGFHADAYHRLHQPSSLRRHNAASVERSGHGFLSPVSPSVVASYNPAITSCTSLGGSGYRLKTSSELLKGFRSSTVSFIFLEGFIGFIGLQRFHFSSSC >KJB24195 pep chromosome:Graimondii2_0_v6:4:35937841:35948094:-1 gene:B456_004G132300 transcript:KJB24195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDLVASGILDEDTIPVIDQNNDLSNFDGGRCGICMDIIIDRGVLDCCQHWFCFACIDNWATITNLCPLCQSEFQLITCVPVYDTIGSNKVEDETFSREDDWSIEGKSNTLSFPSYYIDENSVICLDGDGCKVRSLSTTIEGDPDLDTSIACDSCDIWYHAFCVGFDTEGTSEDTWLCPRCVANQASQESGVVLEKKNTAHGPEIANGEYVTETTFSGKMSVSVADTGETAIVVSMVGGNHWTEEPSENFLSILEVSNSQKIELPSSEGNCSDTEKASCDKSTIQPILEGEELELSLSRNTFSTLLSNSSVHGEFKTSKATETIKERTNLDGVGNTSGKSLNESCTRNQFSETKSSAGLHLGLSIGSFLSVDDDVRSSGSKDQVNIETEHQSHMEALTPLDEKTERDNKENFGTVTGLKRKNSCFRSDVLSSDGEETKCKNETEALKKKIKVEELVHIAPESKVDTSVSDNTPKCLTLKAVSRDGKVKSHPEKEDPITDLMSIVQGTSRRTSTKGLARRNPTDESLKGENLAGLRVKKIMRTSEDKESSVVVQKLRKEIREAVRNKSTKEFGESLFDPKLLAAFRAAISGPKTETVKKLSPSALKMKKSLLQKGKVRENLTKKIYADSNGRRKRAWDRDCEVEFWKYRCMGASRPEKVETLKSVLDLLRNNEEGSERWPTSECQASNPILSRLYLADTSVFPRKDDIRPLSALKTTGSSEQSREQDVAVGKTPLPSLDQTGKSTEENKVSSKVGALSADLKGAKTGVLNSKGSVASSKVDSNKGSEGSLPRNPKVESLKVVGAKSDDVKVDKRKFALAVLARKKAAESKSGTQERQEDNAVLKGNYPLLAQLPPDMRPSPAPSRHNKIPISVRQAQLYRLTEHFLRKANLPIIRRTAETELAVADAINIERDVADRSNSKVVYLNLCSQEVLHRSDDIRCVRAKEADTSSPSEISTNRQEQGSDECSTDPMVVEALRNAGLLSDSPPTSPLHKTEVPNEVDDSSAKIMDEEPDNIFEMDSHLEADIYGDFEYDLEDEDYIGVTAEKALKVQPEGVAKMKVVLSTVSNEPSKSNNLADAEDHEKLGNIVVLNDSTCLPKNSNEPLIKCSTADDGTDRSCAVLEPPGEELSIAECEELYGPDKEPLVNKFTEASQKIQGLVDAGIPADNTAIIVNENKVIDPISHGSSGRENPAEQIQTGENVKKKDKKSNMETDKQSDGANHVSKKVEAYIKEHIRPLCKSGVITAEQYRWAVAKTTDKVMKYHISAKNANFLVKEGDKVKKLAEQYVEAAQQKDKTDPSL >KJB27152 pep chromosome:Graimondii2_0_v6:4:61270647:61273548:-1 gene:B456_004G280900 transcript:KJB27152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSDDEIKRLFRIRKTVMQMLKDRGYFVGDFEINLSKQQFISKYGENMKREDLVINKTKRNDNSDQIYVFFPEEAKVGVKTMKTYTNRMKSENVFRAILVVQQNLTPFARTCINEISSKFHLEVFQEAELLVNVKEHALVPEHQVLTNEEKKTLLHRYTVKETQLPRIQVSDPIARYFGLKRGQVVKIIRPSETAGRYITYRYVV >KJB27150 pep chromosome:Graimondii2_0_v6:4:61270647:61273548:-1 gene:B456_004G280900 transcript:KJB27150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSDDEIKRLFRIRKTVMQMLKDRGYFVGDFEINLSKQQFISKYGENMKREDLVINKTKRNDNSDQIYVFFPEEAKVGVKTMKTYTNRMKSENVFRAILVVQQNLTPFARTCINEISSKFHLEVFQEAELLVNVKEHALVPEHQVLTNEEKKTLLHRYTVKETQLPRIQVSDPIARYFGLKRGQVVKIIRPSETAGRYITYRYVV >KJB27153 pep chromosome:Graimondii2_0_v6:4:61270415:61273849:-1 gene:B456_004G280900 transcript:KJB27153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSDDEIKRLFRIRKTVMQMLKDRGYFVGDFEINLSKQQFISKYGENMKREDLVINKTKRNDNSDQIYVFFPEEAKVGVKTMKTYTNRMKSENVFRAILVVQQNLTPFARTCINEISSKFHLEVFQEAELLVNVKEHALVPEHQVLTNEEKKTLLHRYTVKETQVSDPIARYFGLKRGQVVKIIRPSETAGRYITYRYVV >KJB27151 pep chromosome:Graimondii2_0_v6:4:61270379:61273924:-1 gene:B456_004G280900 transcript:KJB27151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSDDEIKRLFRIRKTVMQMLKDRGYFVGDFEINLSKQQFISKYGENMKREDLVINKTKRNDNSDQIYVFFPEEAKVGVKTMKTYTNRMKSENVFRAILVVQQNLTPFARTCINEISSKFHLEVFQEAELLVNVKEHALVPEHQVLTNEEKKTLLHRYTVKETQLPRIQVSDPIARYFGLKRGQVVKIIRPSETAGRYITYRYVV >KJB25932 pep chromosome:Graimondii2_0_v6:4:55005862:55007391:-1 gene:B456_004G216700 transcript:KJB25932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTMNGATIIVATLMIAMCLWKGALAQSSCTNVLTSMSPCLDYILGNSSTPSSSCCSQLANVVRSQPQCLCQVLNGGGSSLGINVNQTQAMALPTACNVETPPTSRCNASSPSGSPSGTPDSNSPSGSGSKTVPTTDDGTSAANSAKLSVPLLYSVLFIASWRASSFVADI >KJB26788 pep chromosome:Graimondii2_0_v6:4:59541942:59543064:-1 gene:B456_004G259500 transcript:KJB26788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMGILCFVVALLGSAGAGFAAEVTRVKASQVKLDSFRQSHIISAATILMAQIIINVATGCFCCIRRTAEPHFTRAFCFYIISWNITFIIGMGLLLAGAALNDRHDEVIVKNGGYYCYVIKPGVFATGAVLAAVSSIFGVFYYQTLNSKEKGTSNVEIPNQGGIVMAQPQFPIQKSGFVTEDAYNKRQFS >KJB21470 pep chromosome:Graimondii2_0_v6:4:9626389:9627191:1 gene:B456_004G080400 transcript:KJB21470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNYKVSDPQSMQEPANMNMQLTSLLIIIHVLCYAFVICCFHAIISTGEPLLWFLLLLLLLLLLLLRLHLCHMTDNFSFTYKATPQFLPLKLELYLY >KJB24304 pep chromosome:Graimondii2_0_v6:4:38513423:38516294:1 gene:B456_004G137900 transcript:KJB24304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMSKIATGNGHGENSPYFDGWKAYETNPFHPTERPEGVIQMGLAENQLCFNLIKNWLIKHPEASLCTPQGVNKFKETALFQDYHGMPEFRQAVAKFMGKVRGDRVKFDPDRIVMSGGATGAHEMVAFCLADPGEAFLVPTPYYPGFDRDLKWRTGVELVPVNCESCNNFKITRNALEAAYVKVQEANLRVKGLLITNPSNPLGTVLDRDTLKSMVRFINDKNIHLIGDEIYASTVFMEPEFVSISEIIEEEDVECNRDLIHIVYSLSKDMGFPGFRVGIVYSYNDSVVSCARKMSSFGLVSSQTQHLIASMLSDDEFVDNFIIESKELLFNRHKYFTWSLSQVGIGCLKGNAGLFIWMDLRKLLKEETFAAEMELWRVITNEVKLNVSPGSSFHCQEPGWFRVCFANMDDYTMKVALLRIRTFMLKNNEAMVPLKLCRPSSLKLSLSRSLSRRMDDFMSPGIMSPHSPLPQSPLVRART >KJB26845 pep chromosome:Graimondii2_0_v6:4:59784511:59788294:1 gene:B456_004G262500 transcript:KJB26845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKNANLEAAIDQLLNVEKQMRFAGDVVGTKKAVSDILQLCFEAKDWKSLNEQIVNLSKKRGQLKQAVTAMVQQAMQYIDEAPDLETRIELIKTLNSVSAGKIYVEIERARLIRRLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFEVDTSKEKKKPKEGDNVVEEPPADIPSLLELKRIYYELMIRYYFHNNDYLEICRCYKAIYEIPSIREDPSQWIPVLRKICWYLILAPHDPMQSSLLNSTLEDKNLSEIPKFKLLLKQLVTMEVIQWTSLWNLYKDEFENEKNMLGGSLGDKAAEDLRMRIIEHNILVVSKYYSRITLKRLAELLCLTIQEAEKHLSEMVVSKALVQRIAMKFSIRGLRTWKNFLILSRRVATKYTKKPWFTKLL >KJB26843 pep chromosome:Graimondii2_0_v6:4:59784511:59788294:1 gene:B456_004G262500 transcript:KJB26843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQAMQYIDEAPDLETRIELIKTLNSVSAGKIYVEIERARLIRRLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFEVDTSKEKKKPKEGDNVVEEPPADIPSLLELKRIYYELMIRYYFHNNDYLEICRCYKAIYEIPSIREDPSQWIPVLRKICWYLILAPHDPMQSSLLNSTLEDKNLSEIPKFKLLLKQLVTMEVIQWTSLWNLYKDEFENEKNMLGGSLGDKAAEDLRMRIIEHNILVVSKYYSRITLKRLAELLCLTIQEAEKHLSEMVVSKALVAKIDRPMGIVCFQVAKDSNEILNSWASNLEKLLDLVEKSCHQIHKETMVHKAALKV >KJB26841 pep chromosome:Graimondii2_0_v6:4:59784443:59788313:1 gene:B456_004G262500 transcript:KJB26841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKNANLEAAIDQLLNVEKQMRFAGDVVGTKKAVSDILQLCFEAKDWKSLNEQIVNLSKKRGQLKQAVTAMVQQAMQYIDEAPDLETRIELIKTLNSVSAGKIYVEIERARLIRRLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFEVDTSKEKKKPKEGDNVVEEPPADIPSLLELKRIYYELMIRYYFHNNDYLEICRCYKAIYEIPSIREDPSQWIPVLRKICWYLILAPHDPMQSSLLNSTLEDKNLSEIPKFKLLLKQLVTMEVIQWTSLWNLYKDEFENEKNMLGGSLGDKAAEDLRMRIIEHNILVVSKYYSRITLKRLAELLCLTIQEAEKHLSEMVVSKALVAKIDRPMGIVCFQVAKDSNEILNSWASNLEKLLDLVEKSCHQIHKETMVHKAALKV >KJB26844 pep chromosome:Graimondii2_0_v6:4:59784511:59788294:1 gene:B456_004G262500 transcript:KJB26844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKNANLEAAIDQLLNVEKQMRFAGDVVGTKKAVSDILQLCFEAKDWKSLNEQIVNLSKKRGQLKQAVTAMVQQAMQYIDEAPDLETRIELIKTLNSVSAGKIYVEIERARLIRRLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFEVDTSKEKKKPKEGDNVVEEPPADIPSLLELKRIYYELMIRYYFHNNDYLEICRCYKAIYEIPSIREDPSQWIPLYLQVLRKICWYLILAPHDPMQSSLLNSTLEDKNLSEIPKFKLLLKQLVTMEVIQWTSLWNLYKDEFENEKNMLGGSLGDKAAEDLRMRIIEHNILVVSKYYSRITLKRLAELLCLTIQEAEKHLSEMVVSKALVAKIDRPMGIVCFQVAKDSNEILNSWASNLEKLLDLVEKSCHQIHKETMVHKAALKV >KJB26842 pep chromosome:Graimondii2_0_v6:4:59784511:59787031:1 gene:B456_004G262500 transcript:KJB26842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKNANLEAAIDQLLNVEKQMRFAGDVVGTKKAVSDILQLCFEAKDWKSLNEQIVNLSKKRGQLKQAVTAMVQQAMQYIDEAPDLETRIELIKTLNSVSAGKIYVEIERARLIRRLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFEVDTSKEKKKPKEGDNVVEEPPADIPSLLELKRIYYELMIRYYFHNNDYLEICRCYKAIYEIPSIREDPSQWIPVLRKICWYLILAPHDPMQSSLLNSTLEDKNLSEIPKFK >KJB23421 pep chromosome:Graimondii2_0_v6:4:15921578:15925798:-1 gene:B456_004G097300 transcript:KJB23421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHLSHILFISFIAFIIINYAHRTRKPLSGGGDCVLDLNKKQSTTVYLSSLLLQANFFEAVFSDIGTGKQQFHGQMDYKAAGLYTLDEALETVGFGKFQGFVLGYAGLGWFAEAMEIMILSFIGQAVKSEWQLSSGQESLLSTIVFAGMLLGANTWGLLSDNYGRRKGFLTISMVTFGAGLLSTFSPNYLTLVLLRGLVGFGLGGSSVFLSWFLEFIPASNRGMWMVVFSTFWTFGSIFEATLAWIVMPRLNWRWVLAFSAVPSFALLILYGVAPESPRYLCMKGNTSDALRILEKIASVNQTKLPPGVLVSGRSNDKDEESAPSENTAPLLPSLSKSTTQSKSGFSSFFMLFSSKLIRTTLLLWVLFFGDSFSYYGIILLTSKLSSGQSTCFPSLQSNINPQDDGLYLNAFITSMAELPGLLLSAILVDRVGRKHSMAIMFGLAFIFLTPLLIQQPAVLTTCLLFGARMNAMGTFTVASIYSPELYPTSVRTTGAGVASAIGRIGGMVCPLVAVGLVNECHQTAAVALFLVAIVVSIVCIQLFPYDTKGRELSDTS >KJB23424 pep chromosome:Graimondii2_0_v6:4:15921729:15925800:-1 gene:B456_004G097300 transcript:KJB23424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKAAGLYTLDEALETVGFGKFQGFVLGYAGLGWFAEAMEIMILSFIGQAVKSEWQLSSGQESLLSTIVFAGMLLGANTWGLLSDNYGRRKGFLTISMVTFGAGLLSTFSPNYLTLVLLRGLVGFGLGGSSVFLSWFLEFIPASNRGMWMVVFSTFWTFGSIFEATLAWIVMPRLNWRWVLAFSAVPSFALLILYGVAPESPRYLCMKGNTSDALRILEKIASVNQTKLPPGVLVSGRSNDKDEESAPSENTAPLLPSLSKSTTQSKSGFSSFFMLFSSKLIRTTLLLWVLFFGDSFSYYGIILLTSKLSSGQSTCFPSLQSNINPQDDGLYLNAFITSMAELPGLLLSAILVDRVGRKHSMAIMFGLAFIFLTPLLIQQPAVLTTCLLFGARMNAMGTFTVASIYSPELYPTSVRTTGAGVASAIGRIGGMVCPLVAVGLVNECHQTAAVALFLVAIVVSIVCIQLFPYDTKGRELSDTS >KJB23423 pep chromosome:Graimondii2_0_v6:4:15921729:15925798:-1 gene:B456_004G097300 transcript:KJB23423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHLSHILFISFIAFIIINYAHRTRKPLSGGGDCVLDLNKKQSTTVYLSSLLLQANFFEAVFSDIGTGKQQFHGQMDYKAAGLYTLDEALETVGFGKFQGFVLGYAGLGWFAEAMEIMILSFIGQAVKSEWQLSSGQESLLSTIVFAGMLLGANTWGLLSDNYGRRKGFLTISMVTFGAGLLSTFSPNYLTLVLLRGLVGFGLGGSSVFLSWFLEFIPASNRGMWMVVFSTFWTFGSIFEATLAWIVMPRLNWRWVLAFSAVPSFALLILYGVAPESPRYLCMKGNTSDALRILEKIASVNQTKLPPGVLVSGRSNDKDEESAPSENTAPLLPSLSKSTTQSKSGFSSFFMLFSSKLIRTTLLLWVLFFGDSFSYYGIILLTSKLSSGQSTCFPSLQSNINPQDDGLYLNAFITSMAGIMSHSHFLLYALPSFS >KJB23422 pep chromosome:Graimondii2_0_v6:4:15921611:15924583:-1 gene:B456_004G097300 transcript:KJB23422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLNWRWVLAFSAVPSFALLILYGVAPESPRYLCMKGNTSDALRILEKIASVNQTKLPPGVLVSGRSNDKDEESAPSENTAPLLPSLSKSTTQSKSGFSSFFMLFSSKLIRTTLLLWVLFFGDSFSYYGIILLTSKLSSGQSTCFPSLQSNINPQDDGLYLNAFITSMAELPGLLLSAILVDRVGRKHSMAIMFGLAFIFLTPLLIQQPAVLTTCLLFGARMNAMGTFTVASIYSPELYPTSVRTTGAGVASAIGRIGGMVCPLVAVGLVNECHQTAAVALFLVAIVVSIVCIQLFPYDTKGRELSDTS >KJB24308 pep chromosome:Graimondii2_0_v6:4:38865894:38867553:1 gene:B456_004G138400 transcript:KJB24308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPEKNRREISKYLFQEGVCYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKQAKPAGRPMGPPGDRPRGPPRFGDGERRFGDRDGYRGGPRGGGDFGDKGGAPADYQPSFRGPGTRPAFGRGGGGYGGAGPAGSAGLP >KJB24309 pep chromosome:Graimondii2_0_v6:4:38866028:38867553:1 gene:B456_004G138400 transcript:KJB24309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPEKNRREISKYLFQEGVCYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYKQAKPAGRPMGPPGDRPRGPPRFGDGERRFGDRDGYRGGPRGGGDFGDKGGAPADYQPSFRGPGTRPAFGRGGGGYGGAGPAGSAGLP >KJB25199 pep chromosome:Graimondii2_0_v6:4:49182433:49185869:1 gene:B456_004G180800 transcript:KJB25199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FKIFVPITVVALLILIPVNVSSGTLFFLRKELEYDNVATMRLRFLASQRRRAEQFTVLVRNVPQISGNSISDSLDQFFKTSHPDTYLCYQRLYNSCHYFCTQAVYNAYKFAKLVRKRDRLQNWLDYNQLKFESHSEKRPTKKTGFLGLWGKRVDSIDFYKQQIKEFDKNMTLERQKVLKDTKSILPVAFVSFKSRWGAAVCAQTQQSKNPTLWLANWAPEPRDIYWQNLAIPFLSLTIRKLIISLSVFALVFFYMIPIAFVQSLANLEGLERVAPFLRPVIELKFIKSFLQGFLPGLALKISLYILPTVLMIMSKIEGHIALSILERRASAKYYYFMLVNVFLGSIVTGTNHQLMMSRIPRTIGVSIPMKATFFITFIMVDGWAGIAGEILRLKPLVIFHLKNMFLLKTESDREQAMDPGSVDSPETLRITIINVYNQQYESGAAFWPHVHSRIIASLVISQLLLLGLLSTKEAANSTPLLAILPILTLSFNQYCKHRFEPAFRRYHSRKQ >KJB25058 pep chromosome:Graimondii2_0_v6:4:47711281:47714196:1 gene:B456_004G174700 transcript:KJB25058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHSTPCLFPRYSNYSPIPQFGSPFTDSGVLLLHSYSLSSCNRYSGVLAWNSYSPFSTSHFETKIACLLLPKNFSSISYPQIKPNRFAFIFFQPKRLRNTSGKGGNLFLPSSSFILSDVCFH >KJB21586 pep chromosome:Graimondii2_0_v6:4:272256:276755:-1 gene:B456_004G004400 transcript:KJB21586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQQEEASPRRRSSAYLNALSLEIEKRLQRRRNSLQELFADLALEIDDRAKDIILSREDLISSAEYSVNGRLCFYDVLANYYVRVPLRGKPILDLIVQVWSQSFASHTFALLFHKWLFEVELDHADVLLRYSSALVQGATNVLWIDIQSNARCFQSLFKYLLDEVALEPTRLNKIPVQAQRDLYLLLSRFIFFYNAVEKLESFLKLCPTFPNAILVGGPADIFVTEVADQECN >KJB21587 pep chromosome:Graimondii2_0_v6:4:273287:276233:-1 gene:B456_004G004400 transcript:KJB21587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQQEEASPRRRSSAYLNALSLEIEKRLQRRRNSLQELFADLALEIDDRAKDIILSREDLISSAEYSVNGRLCFYDVLANYYVRVPLRGKPILDLIVQVWSQSFASHTFALLFHKWLFEVELDHADVLLRYSSALVQGATNVLWIDIQSNARCFQSLFKYLLDEVALEPTRLNKIPVQAQRDLYLLLSRFIFFYNAVEKLESFLKLCPTFPNAILVGGPADIFVTEVADQPASKIKSGASPAALSCSD >KJB21588 pep chromosome:Graimondii2_0_v6:4:272439:276233:-1 gene:B456_004G004400 transcript:KJB21588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQQEEASPRRRSSAYLNALSLEIEKRLQRALASSSQRRNSLQELFADLALEIDDRAKDIILSREDLISSAEYSVNGRLCFYDVLANYYVRVPLRGKPILDLIVQVWSQSFASHTFALLFHKWLFEVELDHADVLLRYSSALVQGATNVLWIDIQSNARCFQSLFKYLLDEVALEPTRLNKIPVQAQRDLYLLLSRFIFFYNAVEKLESFLKLCPTFPNAILVGGPADIFVTEVADQLQKLKVEPVLLHYLVQIKVLGGMQLRIPTSTRLKTCLYNFTSPGGPMYPTRAVRHAAWDALDLLYPVGRYPRHLISMFFRLLYPWYWPSSCWNFILCYIKALFYCLSRLIFSSWGKLRAPKRA >KJB21584 pep chromosome:Graimondii2_0_v6:4:273287:276233:-1 gene:B456_004G004400 transcript:KJB21584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQQEEASPRRRSSAYLNALSLEIEKRLQRALASSSQRRNSLQELFADLALEIDDRAKDIILSREDLISSAEYSVNGRLCFYDVLANYYVRVPLRGKPILDLIVQVWSQSFASHTFALLFHKWLFEVELDHADVLLRYSSALVQGATNVLWIDIQSNARCFQSLFKYLLDEVALEPTRLNKIPVQAQRDLYLLLSRFIFFYNAVEKLESFLKLCPTFPNAILVGGPADIFVTEVADQPASKIKSGASPAALSCSD >KJB21589 pep chromosome:Graimondii2_0_v6:4:272274:276644:-1 gene:B456_004G004400 transcript:KJB21589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQQEEASPRRRSSAYLNALSLEIEKRLQRRRNSLQELFADLALEIDDRAKDIILSREDLISSAEYSVNGRLCFYDVLANYYVRVPLRGKPILDLIVQVWSQSFASHTFALLFHKWLFEVELDHADVLLRYSSALVQGATNVLWIDIQSNARCFQSLFKYLLDEVALEPTRLNKIPVQAQRDLYLLLSRFIFFYNAVEKLESFLKLCPTFPNAILVGGPADIFVTEVADQLQKLKVEPVLLHYLVQIKVLGGMQLRIPTSTRLKTCLYNFTSPGGPMYPTRAVRHAAWDALDLLYPVGRYPRHLISMFFRLLYPWYWPSSCWNFILCYIKALFYCLSRLIFSSWGKLRAPKRA >KJB21585 pep chromosome:Graimondii2_0_v6:4:272256:276755:-1 gene:B456_004G004400 transcript:KJB21585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQQEEASPRRRSSAYLNALSLEIEKRLQRALASSSQRRNSLQELFADLALEIDDRAKDIILSREDLISSAEYSVNGRLCFYDVLANYYVRVPLRGKPILDLIVQVWSQSFASHTFALLFHKWLFEVELDHADVLLRYSSALVQGATNVLWIDIQSNARCFQSLFKYLLDEVALEPTRLNKIPVQAQRDLYLLLSRFIFFYNAVEKLESFLKLCPTFPNAILVGGPADIFVTEVADQECN >KJB26942 pep chromosome:Graimondii2_0_v6:4:60317435:60323958:-1 gene:B456_004G268200 transcript:KJB26942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKAVSGKAILVLCLASFLAGSLITSRTWTSQSHNNNHPIVNHVTASNKLGEFDHKLRKLGEGKAEDIMGEVSKTHKAIQSLEKTISNLEMELAVSRMSSVGNGVTLQKPRSYSNHTLQKAFVVIGINTAFSSRKRRDSLRQTWMPTGEKLKKLEKEKGIVIRFVIGHSATTGGVLDKALDKEEAEHKDFLRLNHVEGYHQLSTKTRLYFSTAVSMWDAEFYVKVDDDVHLNLGMLATTLAQYRSKPRVYIGCMKSGPVLSEKGVKYHEPEYWKFGEDGNKYFRHATGQIYGISKDLAAYISINSPILHRYANEDVSLGSWLIGLEVEHVDDRSMCCGTPPDCEWKAQAGNICVASFDWSCSGVCKSVEKMKYVHNSCGEGDSGIWKSDF >KJB23293 pep chromosome:Graimondii2_0_v6:4:12318729:12324647:-1 gene:B456_004G090500 transcript:KJB23293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFPFGPAFATGCNTLVGCTGDLIIDEREHHKSLGIPLYPDAPISDQCCFKLASGISFIDRSERDLIERLIALGFYYRELDRFTTKSRNLSWIRSGDVSPSNRASELSNRKTEKPSIYRRAFANGLVEVLSVYRSVVLQIEQKLLSETMPILATVIQGLNKFFVVLPPLYELVLEIERDDLRGGKLLNLLHKRFHCGVPELQACIQRLLWHGHQVLYNQLASWMVYGTLQDHHGEFFIRRQEDQNMEYGSFIPDTSEKLARLSADDTSLMDWHLGFHIFLDMLPEYIHMHAAESILFAGKAIKILRNPSPGFKFQDALCKQQTGKGSQNVHESAGHHRFHKKPISGRIIGEELLPQSEADKIETMLQDLKESLDFHKRSFECSVDSIRAIAASHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESQQLMRLPPHQSTAEIDIIVPFQMAAIKTISQEDKYFSRVSLRMPSFGITVKSSQVDLSKTKTNAGGSSETSLDGWDGIALEYSVDWPLQLFFTKEVLSKYRTIFQYLRRLKRTQLELEKSWASVMHQDHADFARHHNDRMNHSTSQPRRQHFRPMWHVREHMAFLIRNLQFYIQVLDSIKFKSCVDVVESQWDFLQSHIQNSNDFTELVTFHQEYLSALISQSFLDIGSVSRILGSIMTLCLQFCWTIENQESSQNTCELERITEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRMNFNSFFEATARGVLNVVRPRPSQ >KJB26861 pep chromosome:Graimondii2_0_v6:4:59875337:59881850:-1 gene:B456_004G263600 transcript:KJB26861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRRLALAVVTMVAALVGEFGCSAYGNVVTFDVKHKFAGKRNNLRAMKAHDMRRHGRFLSTVDVDVPIGGDGHPSGAGLYFAKLKIGNPLEEFHVQVDTGSDILWVNCVGCDKCPEKSDLGIPLKQYDHKKSSTSSLVYCNNEFCATAHDGKLKDCKPDLQCEYYVTYGDGTSNGGYFVKDIIELQQVTGNLQTSPTNGSIVFGCGSKRAGDSNTSSESVDGILGFGQANSSMISQLAQNGAVKNKFAHCLDTVDGGGIFAIGEVVGPQMNKTKMIARQPHYKITLKEVHIGDTIVKLETSFFGGIDENAAIIDSGTTLAYLPTAIHEQIIQKITSGKSLEMEKIEDHFSCFKYDKNVDDEFPVIKLLFKDSLVLTVYPHDYLFRFRDDMRCIGWQDGGPKSPDDDEMILLGDLVLSNKLVIYDIENQTIGWTEYNCTSSVKVKNGNTEYSVGYHSLSSASSFTIGGILAFLFILYSFTSLFYIT >KJB26794 pep chromosome:Graimondii2_0_v6:4:59619318:59626879:-1 gene:B456_004G260600 transcript:KJB26794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVHRDLVPNGPGSVKMIPVDSEDLWFAYNLIATGDWVMARTVRKVLRETAGGRDAERVALKLEIKVEAIDYDKEGSVLRIRGKNTLENEHVKIGQFHTLELELQRPFVLRKASDPGASADLAVVLMQEGLANILLVGKSMTSTRSRIETSIPRKHGPAIAGYESALKKFFENVLQAFLKHVDFNVVRCAVIASPGFTKDQFHRHLMLEAERRQLRPIIENKSRIILVHTSSGYKHSLKEVLDAPNVMSMIKDTKAAQEVRALKDFFNMLSNDPARACYGPKHVEVAHERMAVQTLLITDDLFRNSDVITRQKYVGLVNSVKNSGGTAHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >KJB26796 pep chromosome:Graimondii2_0_v6:4:59619318:59626879:-1 gene:B456_004G260600 transcript:KJB26796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVHRDLVPNGPGSVKMIPVDSEDLWFAYNLIATGDWVMARTVRKVLRETAGGRDAERVALKLEIKVEAIDYDKEGSVLRIRGKNTLENEHVKIGQFHTLELELQRPFVLRKKIWDSLALDVLRQASDPGASADLAVVLMQEGLANILLVGKSMTSTRSRIETSIPRKHGPAIAGYESALKKFFENVLQDQFHRHLMLEAERRQLRPIIENKSRIILVHTSSGYKHSLKEVLDAPNVMSMIKDTKAAQEVRALKDFFNMLSNDPARACYGPKHVEVAHERMAVQTLLITDDLFRNSDVITRQKYVGLVNSVKNSGGTAHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >KJB26793 pep chromosome:Graimondii2_0_v6:4:59619316:59626957:-1 gene:B456_004G260600 transcript:KJB26793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVHRDLVPNGPGSVKMIPVDSEDLWFAYNLIATGDWVMARTVRKVLRETAGGRDAERVALKLEIKVEAIDYDKEGSVLRIRGKNTLENEHVKIGQFHTLELELQRPFVLRKKIWDSLALDVLRQASDPGASADLAVVLMQEGLANILLVGKSMTSTRSRIETSIPRKHGPAIAGYESALKKFFENVLQAFLKHVDFNVVRCAVIASPGFTKDQFHRHLMLEAERRQLRPIIENKSRIILVHTSSGYKHSLKEVLDAPNVMSMIKDTKAAQEVRALKDFFNMLSNDPARACYGPKHVEVAHERMAVQTLLITDDLFRNSDVITRQKYVGLVNSVKNSGGTAHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >KJB26795 pep chromosome:Graimondii2_0_v6:4:59619543:59626508:-1 gene:B456_004G260600 transcript:KJB26795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVDSEDLWFAYNLIATGDWVMARTVRKVLRETAGGRDAERVALKLEIKVEAIDYDKEGSVLRIRGKNTLENEHVKIGQFHTLELELQRPFVLRKKIWDSLALDVLRQASDPGASADLAVVLMQEGLANILLVGKSMTSTRSRIETSIPRKHGPAIAGYESALKKFFENVLQAFLKHVDFNVVRCAVIASPGFTKDQFHRHLMLEAERRQLRPIIENKSRIILVHTSSGYKHSLKEVLDAPNVMSMIKDTKAAQEVRALKDFFNMLSNDPARACYGPKHVEVAHERMAVQTLLITDDLFRNSDVITRQKYVGLVNSVKNSGGTAHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >KJB25689 pep chromosome:Graimondii2_0_v6:4:53365383:53369136:-1 gene:B456_004G204200 transcript:KJB25689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTRYYRTRSPEPEKEKTGGGGGTAMRVIVPLQGVVQGRGGLVLGSIIPCALFYFLQFYLKRNRDDPDDKNESNSTGQNPTTRSPSSEHLTELPGLTRSLSRALLSPRNSGPVSISARVSGIVKGGDSPYYMGLKRVKEDPYDELDNPNGVIQLGLAENKLSLDLVKDWLAENAREAILGNGKELSISGIAPYQPFDGLMEFKVAVAGFMSQVMENAVSFNPSQIVPTAGATPAIEILSFCLADTGNAFLVPTPYYPGFDRDVKWRTGVEIIHVPCRSADNFHLSIAALDRSFNQAKKRGLKVRGVIISNPSNPVGNLLNRETLYSLLDFAREKNIHIISNEILAGSTHGNEEFVSMAEIVAQEDTDRKRVHIVYGLSKDLSLPGFRVGVIYTFNEDVLAAARKLSRFSATSAPTQRLLISMLSDTKFVRTFITTNWERLQRMYVQLVAGLEKMGIKSIKSSGGFYCWADMSGFISSYSEKGEVELWDKLLNIGKVNVTPGSCCHCIEPGWFRFCFATLTEKDIPVVMERIQKVLKPVN >KJB22566 pep chromosome:Graimondii2_0_v6:4:5650940:5655173:-1 gene:B456_004G058500 transcript:KJB22566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYAFVARGTVVLADYTEFTGNFTGIASQCLQKLPSSNNKFTYNCDGHTFNYLVDNGFTYCVVAIESVGRQVPMAFLERIKEDFTKRYGGGKAAAAPANSLSKEFGPKLKEHMQYCIDHPEEISKIAKVKAQVSEVKGVMMENIEKAQDFRQQGTQMRRKMWLQNMKVKLIVLGILVALILIIVLSVCHGFKCS >KJB22568 pep chromosome:Graimondii2_0_v6:4:5651283:5654852:-1 gene:B456_004G058500 transcript:KJB22568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYAFVARGTVVLADYTEFTGNFTGIASQCLQKLPSSNNKFTYNCDGHTFNYLVDNGFTYCVVAIESVGRQVPMAFLERIKEDFTKRYGGGKAAAAPANSLSKEFGPKLKEHMQYCIDHPEEISKIAKVKAQVSEVKGVMMENIEKVLDRGERIELLVDRTENLRSQAQDFRQQGTQMRRKMWLQNMKVKLIVLGILVALILIIVLSVCHGFKCS >KJB22567 pep chromosome:Graimondii2_0_v6:4:5651283:5654852:-1 gene:B456_004G058500 transcript:KJB22567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYAFVARGTVVLADYTEFTGNFTGIASQCLQKLPSSNNKFTYNCDGHTFNYLVDNGFTYCVVAIESVGRQVPMAFLERIKEDFTKRYGGGKAAAAPANSLSKEFGPKLKEHMQYCIDHPEEISKIAKVKAQVSEVKGVMMENIEKLTNFFQVLDRGERIELLVDRTENLRSQAQDFRQQGTQMRRKMWLQNMKVKLIVLGILVALILIIVLSVCHGFKCS >KJB24509 pep chromosome:Graimondii2_0_v6:4:41720204:41721549:1 gene:B456_004G1484002 transcript:KJB24509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPLPREWLGLQQFPAATQTKLFELLGKLKQENVNTLTIVVMGKGGVGKSSTINSLIGEQVVRVTAFQSEGLRPVMVSRSWAGFTLNVIDTPGLVEAGYVNHQALELIKGFLLNKTIDVLLYVDRLDVYRVDNLDKQIIRAITNSFGKEIWRKSLLVLTHAQLCPPDGLNYDVFSSKRSEGVLKAIRMGARIRKMDLE >KJB24508 pep chromosome:Graimondii2_0_v6:4:41720204:41721549:1 gene:B456_004G1484002 transcript:KJB24508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFSVMGTPLPREWLGLQQFPAATQTKLFELLGKLKQENVNTLTIVVMGKGGVGKSSTINSLIGEQVVRVTAFQSEGLRPVMVSRSWAGFTLNVIDTPGLVEAGYVNHQALELIKGFLLNKTIDVLLYVDRLDVYRVDNLDKQIIRAITNSFGKEIWRKSLLVLTHAQLCPPDGLNYDVFSSKRSEGVLKAIRMGARIRKMDLE >KJB22972 pep chromosome:Graimondii2_0_v6:4:9392449:9394551:1 gene:B456_004G079100 transcript:KJB22972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLLGDLKKEAIKKIDTSAHLNSNAVFIAKREHIVGKKFYGAKAQFCDKGRMHDVVIECDTVDLMDPCLVIRIDSKTVMQVKRLKWKFRGNHTILVDGLPVEVFWDVHNWLFGNALGNAVFMFQTCLSAEKLWANQSVIDPSVLTWSYSQKFRDHQAHGLGFSLILYAWKHE >KJB22971 pep chromosome:Graimondii2_0_v6:4:9392061:9394333:1 gene:B456_004G079100 transcript:KJB22971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIMRDFPSCFGENGVQVADSSSSSSSSAAKAAQNLVTCVYQCRLQGRSCLITVTWTKNLMGQGLSVVIDDLANNCLCKVDIKPWLFSKRKGSKNLEVDSGKIDICWDLSNARFGVGPEPVEGYYLAVAFEQEMVLLLGDLKKEAIKKIDTSAHLNSNAVFIAKREHIVGKKFYGAKAQFCDKGRMHDVVIECDTVDLMDPCLVIRIDSKTVMQVKRLKWKFRGNHTILVDGLPVEVFWDVHNWLFGNALGNAVFMFQTCLSAEKLWANQSVIDPSVLTWSYSQKFRDHQAHGLGFSLILYAWKHE >KJB22973 pep chromosome:Graimondii2_0_v6:4:9392449:9394954:1 gene:B456_004G079100 transcript:KJB22973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLLGDLKKEAIKKIDTSAHLNSNAVFIAKREHIVGKKFYGAKAQFCDKGRMHDVVIECDTVDLMDPCLVIRIDSKTVMQVKRLKWKFRGNHTILVDGLPVEVFWDVHNWLFGNALGNAVFMFQTCLSAEKLWANQSVIDPSVLTWSYSQKFRDHQAHGLGFSLILYAWKHE >KJB21784 pep chromosome:Graimondii2_0_v6:4:976636:979699:1 gene:B456_004G013500 transcript:KJB21784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKAVIPESLLKKNKRNEEWELAKKQELEAAKKKKVENRKLIFNRAKQYAKEYEAQEKELIRLKREAKLKGGFYVDPEAKLLFIVRIRGINAMHPRTRKILQLLRLRQIFNGVFLKVNKATMNMLHLVEPYVTYGYPNLKSVKELIYKRGFGKLNKQRVALTDNAIVEQALGKYGIICVEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >KJB24620 pep chromosome:Graimondii2_0_v6:4:43829745:43830468:-1 gene:B456_004G154000 transcript:KJB24620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQKGNQTTSLLVVLDLVLAIVVYLPLAFFPAASTIPYTEYSCPPYTGYRRWIPRCQFGPKPGPRPIPRSPPPAQLCTPPPPVELSPPPPPNSELQPPSPPPRPKLPPPPPCCPCHHYRRGCRPCHHDDDDYLICHRKNITN >KJB22500 pep chromosome:Graimondii2_0_v6:4:4617038:4619632:-1 gene:B456_004G050700 transcript:KJB22500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPESSSATSSTSTLTSTHSPTGKRSRDPEDEVYLDNLRSHKRFLSEIMASSLNGLTVGDSLPENLMESPARSEGMFYTRDEMSWQYSPMSEDSDDSRFGEAPMNTCLSHSDSMPTSPVSPYRYQRPLNGFCSTSSTSSYPLHGNVSTVSSSQPRQRGSDTEGRFPSSPSDICHSADLRRAALLRSVQMRTQPSGPSPFELPFGSGQENVPNIEVEERPCSFIKSLVDDQEYQIEECSLLGTSDPEFSQEKSCRVLSMTLKGDDSED >KJB27223 pep chromosome:Graimondii2_0_v6:4:61652798:61655976:-1 gene:B456_004G285800 transcript:KJB27223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPPPFQEAPRCDVCKCSFNTFRRRHHCRCCGRTLCHEHSSDQMPLPQFGILSSVRVCADCFNNSSGSAKADPQPPLGGVGSATDEVARLNINADVGAQTEATAKQQPVVSIPECKCGMPLCICESPAPKTDAVPLTMKNPPSSVASSNPKPKKTDTVPKSRGSTSNCKSSSVFNPGLVTNGTAADKSQTDYDVNGEGLREAIKNGDTAAVKRLLSEGVDANYRDKQGLSVLHLAALFNRTDIVFALMDHGASMDYKNAQGN >KJB27222 pep chromosome:Graimondii2_0_v6:4:61650087:61656068:-1 gene:B456_004G285800 transcript:KJB27222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPPPFQEAPRCDVCKCSFNTFRRRHHCRCCGRTLCHEHSSDQMPLPQFGILSSVRVCADCFNNSSGSAKADPQPPLGGVGSATDEVARLNINADVGAQTEATAKQQPVVSIPECKCGMPLCICESPAPKTDAVPLTMKNPPSSVASSNPKPKKTDTVPKSRGSTSNCKSSSVFNPGLVTNGTAADKSQTDYDVNGEGLREAIKNGDTAAVKRLLSEGVDANYRDKQGLSVLHLAALFNRTDIVFALMDHGASMDYKNAQGETPMDCAPVTLQYKMRTKLKEGRAA >KJB27221 pep chromosome:Graimondii2_0_v6:4:61650087:61655976:-1 gene:B456_004G285800 transcript:KJB27221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPPPFQEAPRCDVCKCSFNTFRRRPLPQFGILSSVRVCADCFNNSSGSAKADPQPPLGGVGSATDEVARLNINADVGAQTEATAKQQPVVSIPECKCGMPLCICESPAPKTDAVPLTMKNPPSSVASSNPKPKKTDTVPKSRGSTSNCKSSSVFNPGLVTNGTAADKSQTDYDVNGEGLREAIKNGDTAAVKRLLSEGVDANYRDKQGLSVLHLAALFNRTDIVFALMDHGASMDYKNAQGETPMDCAPVTLQYKMRTKLKEGRAA >KJB24016 pep chromosome:Graimondii2_0_v6:4:32589430:32591527:-1 gene:B456_004G125000 transcript:KJB24016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLLASSQTSFHHPLSVSDSSFSSPSSSSSSVSMFTLTKPRILSLPSYKSSFFNPIGSYFKCIEMEPRKIRSSSLAVRMSWDGPLASVKLIIQGKNLELTDTVKQHVEEKVGKAVQKHSHLVREVDVRLSVRGGELGKGPRIRRCEVTLFTKKHGVVRAEEDAETVYGSIDLVSSILQRKLRKIKEKESDRGRHMKGFSRSKVREPVAVVVDDDAEAFPEQVVADDDVAAVPEQEDDSFIDEIVRTKYFEMPPLTVSEAVEQLENVDHDFYGFRNEETGEINILYKRKAGGYGLIIPKGNGKAENLNPLVV >KJB26964 pep chromosome:Graimondii2_0_v6:4:60377939:60380024:-1 gene:B456_004G268700 transcript:KJB26964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPKKPSTMNSHHDNRPMCVQPDSGLVLTTDPKPRLRWTVELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDDLQRTSASSSGMMARSMNEMQMEVQRRLHEQLEVQRHLQLRIEAQGKYMQSILEKACQTLAGENMASAGGAAGGYKGVGNHRGVLPHMDGAMKDNFGPTLNFPPFQDLNIYGGDQHLDIQHNVERSSPSLDGHFMSNKRHGPYGGGGGTGKSPLIWSDELRLQDLGMAPSCLGPQDDPFKTGVIQIGPPSIDTSGIGKLESLSDIYDTKPGISNDGIDERKFELSPKPERPSPRRAPFQTERMNP >KJB26963 pep chromosome:Graimondii2_0_v6:4:60378096:60379862:-1 gene:B456_004G268700 transcript:KJB26963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPKKPSTMNSHHDNRPMCVQPDSGLVLTTDPKPRLRWTVELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDDLQRTSASSSGMMARSMNEMQMEVQRRLHEQLEVCRELPHLNVQRHLQLRIEAQGKYMQSILEKACQTLAGENMASAGGAAGGYKGVGNHRGVLPHMDGAMKDNFGPTLNFPPFQDLNIYGGDQHLDIQHNVERSSPSLDGHFMSNKRHGPYGGGGGTGKSPLIWSDELRLQDLGMAPSCLGPQDDPFKTGVIQIGPPSIDTSGIGKLESLSDIYDTKPGISNDGIDERKFELSPKPERPSPRRAPFQTERMNP >KJB24852 pep chromosome:Graimondii2_0_v6:4:46421008:46424611:1 gene:B456_004G167300 transcript:KJB24852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHRDRGGGGGGPLDRKRINDALDKSSPSTSRGFNSKDKGRPSVPSTSAGKSLLSHRDSRSKAKCSAEESETDSEESDVSGSEGDDTSWISWFCGLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKSYDFGRCPRVYCCGQSCLPVGQSDIPRASTVKIYCPKCEDVYYPRSKYQGSILFISCTMLLLFFLG >KJB24853 pep chromosome:Graimondii2_0_v6:4:46421008:46424676:1 gene:B456_004G167300 transcript:KJB24853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHRDRGGGGGGPLDRKRINDALDKSSPSTSRGFNSKDKGRPSVPSTSAGKSLLSHRDSRSKAKCSAEESETDSEESDVSGSEGDDTSWISWFCGLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKSYDFGRCPRVYCCGQSCLPVGQSDIPRASTVKIYCPKCEDVYYPRSKYQGNIDGAYFGATFPHLFLMTYGHLKPQKAAQNYIPRVFGFKIHKP >KJB24411 pep chromosome:Graimondii2_0_v6:4:40681867:40683050:-1 gene:B456_004G144200 transcript:KJB24411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVNLKKKQCKIVVAVDESAESMYALSWCLSNLISQATINTLVLLYVKPPPPVYSSLDVAGYIFSGDMIRALEAYGNDLVNSVMGRAEDICGKFSSNIKVDRIVGSGDARDVICNIVDKIKADTLVMGSHGYGFFKRKRE >KJB24409 pep chromosome:Graimondii2_0_v6:4:40681609:40683051:-1 gene:B456_004G144200 transcript:KJB24409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVNLKKKQCKIVVAVDESAESMYALSWCLSNLISQATINTLVLLYVKPPPPVYSSLDVAGYIFSGDMIRALEAYGNDLVNSVMGRAEDICGKFSSNIKVDRIVGSGDARDVICNIVDKIKADTLVMGSHGYGFFKRAILGSVSDHCAKHVKCPVVIVKHPEKI >KJB24410 pep chromosome:Graimondii2_0_v6:4:40682483:40682868:-1 gene:B456_004G144200 transcript:KJB24410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVNLKKKQCKIVVAVDESAESMYALSWCLSNLISQATINTLVLLYVKPPPPVYSSLDVAGYIFSGDMIRALEAYGNDLVNSVMGRAEDICGKFSSNVRFLFV >KJB26759 pep chromosome:Graimondii2_0_v6:4:59408421:59416402:1 gene:B456_004G258300 transcript:KJB26759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g27270 [Source:Projected from Arabidopsis thaliana (AT5G27270) UniProtKB/Swiss-Prot;Acc:O04647] MESLKSTFLSSTTHLHPLFTLPKPPSKPPKLRIRSSVRPDPFSLSDGNPTKPKPKSKNPKNPLSDDNARRIIKKKAQYLSALRRNQGPRAMTPKWIKRTPEQMVKYLEDERNGELYGKHVVAAIKAVRAMGERKEGEADVRRVMGSFVGKLSFRDMCVVLKEQRNWRQVRDFFAWMKLQLSYRPSVIVYTIVLRAYGQVGKIKLTEQTFLEMLEAGCEPDEVACGTMLCTYARWGRHKAMQSFYSAVQERGITLSTAVYNFMLSSLQKKSLHEKVIDLWRQMVDKGVAPDRFTYTVVIHSLVKGGLCEEAFKAFDEMKKLEFVPEEATYSLLISSHTKDGKWQDALNLYEDMRSRGIVPSNYTCASLLTLYYKNEDYSQALSLFTEMERNKIAVDEVIYGLLIRIYGKLGLYEDAQRTFEEIDQLGLLSDEKTYLAMAQVHLNSGNAKRALDIIEMMKSRDIWFSRFAYIVSLQCYVMSENLDAAEVTFQALAKTGLPDSGSCNDMLRLYIKLNLTGRAKKFIVQLREDQIAFDEELYRTVVRIYCKEGMLEDIGQLTKEMVTNDSYKDNKFIQTFFKAICGEPLRHQKVKGNVASNQLDFTALGYLLRLYLECKDFNNLEEILKLLLETAGSMSVLTQLISNFLKEGDLSNVKALNDQVVRLGCSVDDATIASIIGIYGKEQKLKQAQDIFTAVADSPTCGKLIYNSMIDAYIKCGKPEAAYSLYKEAFKKGHYLGAIAISKVVYSLTTSGKHQEAKEMIHLSFQDNLELDTVAYNTFIKAMLEAGKLNFATSIYEHMLSKGVSPSIQTYNTLISVYGRGRKLDKAVEMFNLARSSGMTLDEKAYMNLICYYGKAGKRDEAFSLFTRMQEEGINPGMVSYKIMINMYTSAGLCDEVEKLIEAMQRDGCSLDNSAYLSLIQAYTKCLKYAEAEQTISCMRRMGIPPTCAHFNLLLYAFANVGMMSEAERVYKELITTGISPDLACYRAMLRGYIDYGLVEEGINFFEQIRDTAEPDKYIMSAAVHIYKYAGKEPEASSVQDSMNNFGIPFLENLKVGAKMKIL >KJB26758 pep chromosome:Graimondii2_0_v6:4:59408421:59416236:1 gene:B456_004G258300 transcript:KJB26758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g27270 [Source:Projected from Arabidopsis thaliana (AT5G27270) UniProtKB/Swiss-Prot;Acc:O04647] MESLKSTFLSSTTHLHPLFTLPKPPSKPPKLRIRSSVRPDPFSLSDGNPTKPKPKSKNPKNPLSDDNARRIIKKKAQYLSALRRNQGPRAMTPKWIKRTPEQMVKYLEDERNGELYGKHVVAAIKAVRAMGERKEGEADVRRVMGSFVGKLSFRDMCVVLKEQRNWRQVRDFFAWMKLQLSYRPSVIVYTIVLRAYGQVGKIKLTEQTFLEMLEAGCEPDEVACGTMLCTYARWGRHKAMQSFYSAVQERGITLSTAVYNFMLSSLQKKSLHEKVIDLWRQMVDKGVAPDRFTYTVVIHSLVKGGLCEEAFKAFDEMKKLEFVPEEATYSLLISSHTKDGKWQDALNLYEDMRSRGIVPSNYTCASLLTLYYKNEDYSQALSLFTEMERNKIAVDEVIYGLLIRIYGKLGLYEDAQRTFEEIDQLGLLSDEKTYLAMAQVHLNSGNAKRALDIIEMMKSRDIWFSRFAYIVSLQCYVMSENLDAAEVTFQALAKTGLPDSGSCNDMLRLYIKLNLTGRAKKFIVQLREDQIAFDEELYRTVVRIYCKEGMLEDIGQLTKEMVTNDSYKDNKFIQTFFKAICGEPLRHQKVKGNVASNQLDFTALGYLLRLYLECKDFNNLEEILKLLLETAGSMSVLTQLISNFLKEGDLSNVKALNDQVVRLGCSVDDATIASIIGIYGKEQKLKQAQDIFTAVADSPTCGKLIYNSMIDAYIKCGKPEAAYSLYKEAFKKGHYLGAIAISKVVYSLTTSGKHQEAKEMIHLSFQDNLELDTVAYNTFIKAMLEAGKLNFATSIYEHMLSKGVSPSIQTYNTLISVYGRGRKLDKAVEMFNLARSSGMTLDEKAYMNLICYYGKAGKRDEAFSLFTRMQEEGINPGMVSYKIMINMYTSAGLCDEVEKLIEAMQRDGCSLDNSAYLSLIQAYTKCLKYAEAEQTISCMRRMGIPPTCAHFNLLLYAFANVGMMSEAERVYKELITTGISPDLACYRAMLRGYIDYGLVEEGINFFEQIRDTAEPDKYIMSAAVHIYKYAGKEPEASSVQDSMNNFGIPFLENLKVGAKMKIL >KJB26760 pep chromosome:Graimondii2_0_v6:4:59408421:59416236:1 gene:B456_004G258300 transcript:KJB26760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g27270 [Source:Projected from Arabidopsis thaliana (AT5G27270) UniProtKB/Swiss-Prot;Acc:O04647] MESLKSTFLSSTTHLHPLFTLPKPPSKPPKLRIRSSVRPDPFSLSDGNPTKPKPKSKNPKNPLSDDNARRIIKKKAQYLSALRRNQGPRAMTPKWIKRTPEQMVKYLEDERNGELYGKHVVAAIKAVRAMGERKEGEADVRRVMGSFVGKLSFRDMCVVLKEQRNWRQVRDFFAWMKLQLSYRPSVIVYTIVLRAYGQVGKIKLTEQTFLEMLEAGCEPDEVACGTMLCTYARWGRHKAMQSFYSAVQERGITLSTAVYNFMLSSLQKKSLHEKVIDLWRQMVDKGVAPDRFTYTVVIHSLVKGGLCEEAFKAFDEMKKLEFVPEEATYSLLISSHTKDGKWQDALNLYEDMRSRGIVPSNYTCASLLTLYYKNEDYSQALSLFTEMERNKIAVDEVIYGLLIRIYGKLGLYEDAQRTFEEIDQLGLLSDEKTYLAMAQVHLNSGNAKRALDIIEMMKSRDIWFSRFAYIVSLQCYVMSENLDAAEVTFQALAKTGLPDSGSCNDMLRLYIKLNLTGRAKKFIVQLREDQIAFDEELYRTVVRIYCKEGMLEDIGQLTKEMVTNDSYKDNKFIQTFFKAICGEPLRHQKVKGNVASNQLDFTALGYLLRLYLECKDFNNLEEILKLLLETAGSMSVLTQLISNFLKEGDLSNVKALNDQVVRLGCSVDDATIASIIGIYGKEQKLKQAQDIFTAVADSPTCGKLIYNSMIDAYIKCGKPEAAYSLYKEAFKKGHYLGAIAISKVVYSLTTSGKHQEAKEMIHLSFQDNLELDTVAYNTFIKAMLEAGKLNFATSIYEHMLSKGVSPSIQTYNTLISVYGRGRKLDKAVEMFNLARSSGMTLDEKAYMNLICYYGKAGKRDEAFSLFTRMQEEGINPGMVSYKIMINMYTSAGLCDEVEKLIEAMQRDGCSLDNSAYLSLIQAYTKCLKYAEAEQTISCMRRMGIPPTCAHFNLLLYAFANVGMMSEAERVYKELITTGISPDLACYRAMLRGYIDYGLVEEGINFFEQIRDTAEPDKYIMSAAVHIYKYAGKEPEASSVQDSMNNFGIPFLENLKVGAKMKIL >KJB22930 pep chromosome:Graimondii2_0_v6:4:8330249:8333832:1 gene:B456_004G074600 transcript:KJB22930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLAKANHAFTDCFEIAETLRAMANDTEEQVQVEKNEESYLIQLAGRTTPKGLHCLSIRLTTEYLLLQPEERQFPNQHKLQDLDFYHYAVFSDEILACAVVVNSTTASAKEPEKIVFHVVTDSLNLPAISMWFLLNPPGKATIDVQSIENFEWLSTEYSSALKEQKSNDPRYTSALSHLRFYLADIFPALDKIVLFDHDVVVQRDLTGLWSVNLNGKVHAAVESCHESEASFHSMHLFMNILDQFSAKRLNASVCTWAFGMNFFNLQEWRRQNLTNLYGDYLQLGLKRPLWKTKSLPLDWITFYNQTVALEKSWHAFGLGHNSGLSQGDIEHAAVIHYDGIMKPWLEIGIAEYKGYWSKHVQ >KJB25813 pep chromosome:Graimondii2_0_v6:4:54370428:54376381:1 gene:B456_004G210800 transcript:KJB25813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P-type ATPase, Xylem loading of coppe [Source: Projected from Oryza sativa (Os04g0556000)] MGTKLLALACIRKESYGDLSPRPHYPSMPKYPKGITAQETSLQGSEAKAMFSVMGMTCSACAGSVEKAVKRLPGIKEAVVDVLNNKAQVMFYPSFVNEESILEAIEDAGFQAALIQDETDDKSVQVCRIRINGMTCTSCSTTLENALQPVPGVQKVQVALATEEAQIHHDPKIITYNQLMQKIEETGFGAVLVSTGEDMSKINLRIDGVRTVNSMRMLENSLQALPGVQAVQTSPELKKIAVSYKPDMTGPRNFIKVIDSTGSSRRFKATIYPEGEGAGRESHRKEEIKQYFRSFLWSLIFTTPVFLTSMVFMYIPGIKHGLDTKVVNMLTIGEVIRWVLSTPVQFIIGRRFYTGSYKALRHGSANMDVLIALGTNAAYFYSVYTVIRAASSPDFEGTDFFETSAMLISFILLGKYLEVLAKGKTSEAIAKLMNLAPETAILLSLDEEGNVISEEEIDSRLIQKNDIIKIIPGAKVASDGFVLWGQSHINESMITGEARPVAKRKGDTVIGGTVNENGVLHIKATKVGSESALAQIVRLVESAQMAKAPVQKFADRISKYFVPLVIMLSFSTWLAWFLAGKLHGYPESWIPSSMDSFELALQFGISVMVIACPCALGLATPTAVMVGTGVGASLGVLIKGGQALEGAHKVNCIVFDKTGTLTVGKPVVVNTRLLKNMVLHEFYELVAATEVNSEHPLAKAIIEYAKKFREDEENPAWPEARDFVSITGHGVKAIVRNKEVIVGNKSLMLENNIVIPVDAQDMLTETELMAQTGILVSIDGEVTGVLAISDPVKPGAQEVISILKSMNVRSIMVTGDNWGTASSIASQIGIETVVAEAKPEQKAEKVKELQAEGYAVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIHLSKKTFSRIRLNYIWALGYNILGIPIAAGALFPSTGFRLPPWIAGAAMAASSVSVVCCSLLLKNYERPKKLENLEIGGIQIE >KJB25814 pep chromosome:Graimondii2_0_v6:4:54370428:54385136:1 gene:B456_004G210800 transcript:KJB25814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P-type ATPase, Xylem loading of coppe [Source: Projected from Oryza sativa (Os04g0556000)] MGTKLLALACIRKESYGDLSPRPHYPSMPKYPKGITAQETSLQGSEAKAMFSVMGMTCSACAGSVEKAVKRLPGIKEAVVDVLNNKAQVMFYPSFVNEESILEAIEDAGFQAALIQDETDDKSVQVCRIRINGMTCTSCSTTLENALQPVPGVQKVQVALATEEAQIHHDPKIITYNQLMQKIEETGFGAVLVSTGEDMSKINLRIDGVRTVNSMRMLENSLQALPGVQAVQTSPELKKIAVSYKPDMTGPRNFIKVIDSTGSSRRFKATIYPEGEGAGRESHRKEEIKQYFRSFLWSLIFTTPVFLTSMVFMYIPGIKHGLDTKVVNMLTIGEVIRWVLSTPVQFIIGRRFYTGSYKALRHGSANMDVLIALGTNAAYFYSVYTVIRAASSPDFEGTDFFETSAMLISFILLGKYLEVLAKGKTSEAIAKLMNLAPETAILLSLDEEGNVISEEEIDSRLIQKNDIIKIIPGAKVASDGFVLWGQSHINESMITGEARPVAKRKGDTVIGGTVNENGVLHIKATKVGSESALAQIVRLVESAQMAKAPVQKFADRISKYFVPLVIMLSFSTWLAWFLAGKLHGYPESWIPSSMDSFELALQFGISVMVIACPCALGLATPTAVMVGTGVGASLGVLIKGGQALEGAHKVNCIVFDKTGTLTVGKPVVVNTRLLKNMVLHEFFELVAATEVNSEHPLAKAIIEYAKKFREDEENPAWPEARDFVSITGHGVKAIVRNKEVIVGNKSLMLENNIVIPVDAQDMLTETESMAQTGILVSIDGEVTGVLAISDPVKPGAQEVISILKSMNVRSIMVTGDNWGTASSIASQIGIETVVAEAKPEQKAEKVKELQAEGYAVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIHLSRKTFSRIRLNYIWALGYNILGIPIAAGALFPSTGFRLPPWIAGAAMAASSVSVVCCSLLLKNYERPKKLENLEIGGIQIE >KJB27109 pep chromosome:Graimondii2_0_v6:4:61173574:61176425:1 gene:B456_004G279400 transcript:KJB27109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCCTAKFRFSISSCRAARSPRPFPGSLIHSFPLFHSPFARPMKPTNLRSVSASTEVSAGAASSTPTFSWNDVVRVSQPEFNPNDSSNLRGFFDKIKACNRGAELQSEFLPFVVEDQIVGYIHKGFAENLRSFEDVFILSKDNCDGGKVMLHQSLKSADDRTRAVGEVIKCLAENELIPGIRNELFPVTSSYGAPVFFSLERAAAPYFGIKAYGVHMNGYVEKDEQKFLWLGKRSLVKSTFPGMLDHLVAGGLPHGIACGENLIKECEEEAGIPRTLSSRAISVGAVSYSDIDGHRFKRDVLFTYDLKLPESFVPNNQDGEVESFKLIPVKHVANIIKRTDFFKTNCNIVIIDFLFRHGYITPECFGYLDLLQSLKSGDCS >KJB27108 pep chromosome:Graimondii2_0_v6:4:61173434:61177484:1 gene:B456_004G279400 transcript:KJB27108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCCTAKFRFSISSCRAARSPRPFPGSLIHSFPLFHSPFARPMKPTNLRSVSASTEVSAGAASSTPTFSWNDVVRVSQPEFNPNDSSNLRGFFDKIKACNRGAELQSEFLPFVVEDQIVGYIHKGFAENLRSFEDVFILSKDNCDGGKVMLHQSLKSADDRTRAVGEVIKCLAENELIPGIRNELFPVTSSYGAPVFFSLERAAAPYFGIKAYGVHMNGYVEKDEQKFLWLGKRSLVKSTFPGMLDHLVAGGLPHGIACGENLIKECEEEAGIPRTLSSRAISVGAVSYSDIDGHRFKRDVLFTYDLKLPESFVPNNQDGEVESFKLIPVKHVANIIKRTDFFKTNCNIVIIDFLFRHGYITPECFGYLDLLQSLKSGDCS >KJB23308 pep chromosome:Graimondii2_0_v6:4:12529791:12531424:1 gene:B456_004G091500 transcript:KJB23308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSFRGCLVKVYSISGYFFIYIPFPLHHLPIRCLKTLSYHHQILTSKTLKRQPFLTSFDSGIRPLHPRSVFVDKGKPYSLESSFALSYMPERHHQWNLLILLVKKSDGDSNETNQPLKWNINHFHKQKVNKRINPKNSIL >KJB21504 pep chromosome:Graimondii2_0_v6:4:13574071:13575241:-1 gene:B456_004G093100 transcript:KJB21504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSHSGHQYKLQSDAKCDTMGTLWRILGVNNAAQRVFGEATGFSLLLTTLHSFQGVCGNTINRTKLHAIISSHTFYDLLSESGLLCADYEKQMICLLLEPAQKFFSNAYCFEFITRFP >KJB21508 pep chromosome:Graimondii2_0_v6:4:13574088:13575240:-1 gene:B456_004G093100 transcript:KJB21508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSHSGHQYKLQSDAKCDTMGTLWRILGVNNAAQRVFGEATGFSLLLTTLHSFQGDGHSEEPCLLVYIKVFTYLLRLMTAGVCGNTINRTKLHAIISSHTFYDLLSESGLLCADYEKQMICLLLEPAQKFFSNAYCFEFITRFP >KJB21506 pep chromosome:Graimondii2_0_v6:4:13574092:13575135:-1 gene:B456_004G093100 transcript:KJB21506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSHSGHQYKLQSDAKCDTMGTLWRILGVNNAAQRVFGEATGFSLLLTTLHSFQGDGHSEEPCLLVYIKVFTYLLRLMTAGVCGNTINRTKLHAIISSHTFYDLLSESGLLCADYEKQMICLLLEPAQKFFSNAYCFEFITRFP >KJB21503 pep chromosome:Graimondii2_0_v6:4:13574221:13574943:-1 gene:B456_004G093100 transcript:KJB21503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHPEELGSLVEVLKSGMVTSHSGHQYKLQSDAKCDTMGTLWRILGVNNAAQRVFGEATGFSLLLTTLHSFQGVCGNTINRTKLHAIISSHTFYDLLSESGLLCADYEKQMICLLLEPAQKFFSNAYCFEFITRFP >KJB21505 pep chromosome:Graimondii2_0_v6:4:13574071:13575240:-1 gene:B456_004G093100 transcript:KJB21505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHPEELGSLVEVLKSGMVTSHSGHQYKLQSDAKCDTMGTLWRILGVNNAAQRVFGEATGFSLLLTTLHSFQGVCGNTINRTKLHAIISSHTFYDLLSESGLLCADYEKQMICLLLEPAQKFFSNAYCFEFITRFP >KJB21507 pep chromosome:Graimondii2_0_v6:4:13574088:13575241:-1 gene:B456_004G093100 transcript:KJB21507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHPEELGSLVEVLKSGMVTSHSGHQYKLQSDAKCDTMGTLWRILGVNNAAQRVFGEATGFSLLLTTLHSFQGDGHSEEPCLLVYIKVFTYLLRLMTAGVCGNTINRTKLHAIISSHTFYDLLSESGLLCADYEKQMICLLLEPAQKFFSNAYCFEFITRFP >KJB26610 pep chromosome:Graimondii2_0_v6:4:58753436:58756138:1 gene:B456_004G250100 transcript:KJB26610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENLLLNANPTSNSSLQFLSFHGKTKAQISFLKAPSSLPFSSRSSSSCFVPLCSSTPYTSSTTKEFNLESNGLPQTSKSNLDGESNENYNVGIGHPIVPNFIPTQKMSLSDQAFYLFTFIACTASLAFTSLVIAAVPTLFAMRRAAVSLSKLADTAREELPSTMAAIRLSGMEISDLTLELSDLSQEIADGVNKSAQAMQAAEAGIRQIGSLAHQQTMSMIQERASLPIISIQPVVAGAARKTSHAVGQATKTIMNIIHRGESESENDDDSVIDRVEI >KJB23059 pep chromosome:Graimondii2_0_v6:4:9346335:9348788:1 gene:B456_004G078900 transcript:KJB23059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKEMVNLRFLYSLLVFQLLLLKCDQSNAVKDLKETHSAASFNEDCDQAEEKSNSSPSPSYIKRLESKYKPGYIMDEEEDKSSSSSSYILRLESKYKPGYVVDGAEEKSSSSSSYLSRLESKYKPGYVVDEVDEENSCPSSRIARLESKYKPGYGVDGAEEKSSSSSSYISRLESKYKPGYVVDEIEEKNSSPSSRIARLESKYKPSYVVDGADEKNEYMQSPSRIDHHNLENDRDDDIGSEDVGVFTIDDVHAFNVGRKLSTFFSIRNNSLYPGFLPKELADSISFSSSEIPKILKFFSIPAASQKAKVVKDTIRKCELEPVKGETKICATSLESMLEFVRNALGPDVDFELISTSHPTMTTPILQSYTITEPPREIKSPKKVACHPLPYLYTIYMCHYDTYKTKIFKVALVGDNGDKVDALIVCHIDTSAWSPKHVAFSLLGTKPGIPVCHTFTEGHGVWIQASTIAAI >KJB26080 pep chromosome:Graimondii2_0_v6:4:55819359:55820035:1 gene:B456_004G223600 transcript:KJB26080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator 24 [Source:Projected from Arabidopsis thaliana (AT5G26594) UniProtKB/Swiss-Prot;Acc:F4JZT3] MAQSKHGGRKLGQSNKMTALVVDDNMINRTIHHRLLDNLGVENEVVSNGKEAVDIHYSGKMFDLILMDMDMPIMNGIEATKKLREMGIRSVIAGVSSRAMEEEIREFMEAGLDDYQEKPLTMSKLVSIIHKING >KJB23886 pep chromosome:Graimondii2_0_v6:4:29539870:29540665:1 gene:B456_004G120400 transcript:KJB23886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVPDPLIIGRVIGEVVDNFFPSVKITVTYNSNKQVANGHELMPALITGRPRVEIGGDDMRPSYTLIMTDPDAPSPSDPYLIEHLHWMVTDIPGTTDASFGREVVSYETPKPTVGIHRYVFVLFKQRGRQTVRPPSSRDCFNTRRFSADNGLGLPVAAVYFNAQRETAARSRR >KJB26050 pep chromosome:Graimondii2_0_v6:4:55696374:55697318:1 gene:B456_004G222600 transcript:KJB26050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENSLRIFVEKNPSDSKLSELNIKCWPKWGCSPGKYQLKFDAEETCYLLKGKVRVYPKASLSTSTAEMVEFGAGDLVIIPKGLSCIWDVSIAVDKHYQFQSSSSSSCDH >KJB27314 pep chromosome:Graimondii2_0_v6:4:61951913:61957371:1 gene:B456_004G290400 transcript:KJB27314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMSFIFAFFFFSSLQPILSLYEDQVGLMDWHQQYIGKVKQAVFHTHKTGRKRVVASTEQNIIATLDLRSGEIFWRHVLGPNDVVDGIDIALGKYVITLSSGGSILRAWNLPDGQMVWESSLHGPKHSKSLLLTTNLIIEKDNSLIVFSNGRLNAVSCIDGEVLWKKDFEEESLEVQQVIQPPGSDFIYVVGFAASSQFETYKINAKNGELLKHESTAFSGRFSGEVSLVSSETVAALDSTGSILLTISFHNGKISSQQTPVSNLLEDSPGLAVIIHSSVTGIFAIKTDAATIFIRVIGEGKLEVVEKTNHETVVSDALSISEGQQAFALVQHAGSNIHLTVKPAHDWDSNLLKESIKMDQQRGFVHKVFINNYIRTDRSHGFRALIVMEDHSLLLLQQGAIVWSREDGLASIIDVTTSELPVEKAGVSVAKVELNLFEWLKGHVLKLKGTLMLATPEDIAAIQYMRLKSSEKSKMTRDHNGFRKLLIALTRAGKLFALHTGDGRIVWSHLLQSLHKSESCRQPIGLNLHQWQVPHHHALDENPSVLVVGRCGPSSDALGVLSLVDSYTGKEFSSLRLVHSVAQVIPLPYTDSTEQRLHLLIDADKHAHLYPKTPEAIDIFQSEFSNVYWYSVDDDNGIIKGYALKTKCSGNVADEFCFDSRELWSIVLPSESEKIITTATRKLNEAVHTQAKLIADQDVMYKYISSNLLFVATVAPKASGEIGSVTPEESWLVVYLIDTVTGRVLHRMTHHGSQGPVQAVLSENWVVYHYFNLRAHRYEMSVIEIYDQSRADNKDVWKLVVGNHNLTSPVSSYSRAEVITKSQSYFFTHSLKAIAVTLTVKGITSKQLLIGTIGDQVLALDKRFLDPRRSVNPTQAEREEGIIPLTDSLPIIPQSYITHSLRVEGLQSIITVAAKLESTTLVFAHGLDLFFTHYAPSRTYDSLTEDFSYALLLITIVALVAAIFVTWILSQRKELQDRWR >KJB22345 pep chromosome:Graimondii2_0_v6:4:3636546:3642628:-1 gene:B456_004G042200 transcript:KJB22345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLIMDEADKLLSPEFQPSIEQLIRFLSANRQILMFSATFPVTVKYFKDRYLQKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >KJB22344 pep chromosome:Graimondii2_0_v6:4:3636536:3642628:-1 gene:B456_004G042200 transcript:KJB22344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGRYPPGIGVGRGGGLNSNPGFQSRPPQQNYVQRNFVQNHHQFHNQHQHQQQQQWLRRNQLPGTNDSSVVDEVEKTIQSEAFDSSSQDWKARLKMPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLIMDEADKLLSPEFQPSIEQLIRFLSANRQILMFSATFPVTVKYFKDRYLQKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >KJB22343 pep chromosome:Graimondii2_0_v6:4:3636522:3642658:-1 gene:B456_004G042200 transcript:KJB22343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGRYPPGIGVGRGGGLNSNPGFQSRPPQQNYVQRNFVQNHHQFHNQHQHQQQQQWLRRNQLPGTNDSSVVDEVEKTIQSEAFDSSSQDWKARLKMPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLIMDEADKLLSPEFQPSIEQLIRFLSANRQILMFSATFPVTVKYFKDRYLQKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >KJB26745 pep chromosome:Graimondii2_0_v6:4:59606652:59610451:1 gene:B456_004G260400 transcript:KJB26745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITQFAMVEELAFLVKDNLPCKHLVLSMEEAFMNFLQDDTSSDGILELEPMNSYNRLLLHRLADIFGFAHESIGEGDDRHLILQRCPETSIPSILVSDILWQCDEPQSLTASRHILTREGTTPVMEKNLPSFELSLEEREAAYLAARERIFAMDVGEVREPVKHKPRTVPIVARRMIAHALGQRINLCNLDDSARDLKDWGKTDEPSVHDTDKVDNNSRTETHQDAVLEQRKPVDACSKANSNTSKHNTSVVCERNVSDEQTEKRPTNASIPGRSRNRVNKEYSKEEHLGAAKRMFANALGLRSAKDSRSSERKTTQ >KJB26746 pep chromosome:Graimondii2_0_v6:4:59606652:59610451:1 gene:B456_004G260400 transcript:KJB26746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITQFAMVEELAFLVKDNLPCKHLVLSMEEAFMNFLQDDTSSDGILELEPMNSYNRLLLHRLADIFGFAHESIGEGDDRHLILQRCPETSIPSILVSDILWQCDEPQSLTASRHILTREGTTPVMEKNLPSFELSLEEREAAYLAARERIFAMDVGEVREPVKHKPRTVPIVARRMIAHALGQRINLCNLDDSARDLKDWGKTDEPSVHDTDKVDNNSRTETHQDAVLEQRKPVDACSKANSNTSKHNTSVVCERNVSDEQTEKRPTNASIPGRSRNRVNKEYSKEEHLGAAKRMFANALGLRSAKDSRSSERKTTQ >KJB24344 pep chromosome:Graimondii2_0_v6:4:39633289:39633806:-1 gene:B456_004G140900 transcript:KJB24344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVRELASKKAAVIFTKSSCCMCYSIKTLFYELGASPAIHELDQDPYGRDMERALRALGCDPSVPAVFIGGRFVGSSKDVISLHVDGSLKQMLKDAKAIWF >KJB22235 pep chromosome:Graimondii2_0_v6:4:2991894:2991998:1 gene:B456_004G0365002 transcript:KJB22235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFGSGVLARFVVEWESGKEGCTMHVSPDQLWPHTK >KJB22234 pep chromosome:Graimondii2_0_v6:4:2991894:2991998:1 gene:B456_004G0365002 transcript:KJB22234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFGSGVLARFVVEWESGKEGCTMHVSPDQLWPHTK >KJB21399 pep chromosome:Graimondii2_0_v6:4:3463582:3464502:1 gene:B456_004G040400 transcript:KJB21399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB21400 pep chromosome:Graimondii2_0_v6:4:3463598:3464502:1 gene:B456_004G040400 transcript:KJB21400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB25054 pep chromosome:Graimondii2_0_v6:4:48784781:48787430:1 gene:B456_004G179200 transcript:KJB25054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAQLKKHIDATLGSGNLREAVRLPLGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIESQLDDESIFPQKLGAPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDKKELAPLQELIDSIIVPY >KJB25052 pep chromosome:Graimondii2_0_v6:4:48782777:48787247:1 gene:B456_004G179200 transcript:KJB25052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGLGKISEHSVQKKVHLQEVRLGAQLKKHIDATLGSGNLREAVRLPLGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIESQLDDESIFPQKLGAPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDKKELAPLQELIDSIIVPY >KJB25053 pep chromosome:Graimondii2_0_v6:4:48782732:48787430:1 gene:B456_004G179200 transcript:KJB25053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLHLNKVKSKSLSNHESLRSGQNQRTFRPKKSAPSGSKGAQLKKHIDATLGSGNLREAVRLPLGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIESQLDDESIFPQKLGAPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDKKELAPLQELIDSIIVPY >KJB23309 pep chromosome:Graimondii2_0_v6:4:12634498:12639606:1 gene:B456_004G091600 transcript:KJB23309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYMDLQGKHLDYALNGKVMLCTGVVLFILLLTVLCFHSYVRILFRDIRRRHMRRRAQRLLSISTAGTTSSTTGASKGLDSSVIRTIPTIVYTTKASYFPPLECAVCLSEFEDDEKARVLPTCNHTFHVDCIDMWFYSHSNCPMCRAPIQAVIPVNPPKTLEQTAATVSETALPLPPGDDIEANSFFPPSSSSSSSSSLEMESCPMKRLELVGLGTVVEVTIGTPCGIGFGSRRSHASIAARRFPRCCSRRTRIPVMKTTSHEQ >KJB23751 pep chromosome:Graimondii2_0_v6:4:26091175:26092528:-1 gene:B456_004G113400 transcript:KJB23751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMASVEALPSSRKQEHLEAEKRRLEEFRKKKAAEKAKKAASTSQTNVFDVSLNEKQQLEIAISEVYYL >KJB27070 pep chromosome:Graimondii2_0_v6:4:60952021:60952776:-1 gene:B456_004G275900 transcript:KJB27070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDGNKSRAIKEAKWVGGSTVLLSSRILMCDAFVWQDRVKTSNFLILHYLTDERNNHKACLQENAKQANMTLCLISTFKCLVPKTHGKLSQTETRILLKTTDNSNSKISYFKQVFIFKS >KJB22291 pep chromosome:Graimondii2_0_v6:4:3310211:3311907:1 gene:B456_004G039500 transcript:KJB22291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAENGGDNDKILINSHWYWAIASVSQLGWAVSSYRRGYTGDHRFMPLKAFAVASLFLGASASASVAFLKASGIHKVEDLMGVGASIRSGLGIRPRTGDK >KJB22290 pep chromosome:Graimondii2_0_v6:4:3310264:3311907:1 gene:B456_004G039500 transcript:KJB22290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAENGGDNDKILINSHWYWAIASVSQLGWAVSSYRRGYTGDHRFMPLKAFAVASLFLGASASASVAFLKASGIHKVEDLMGVGASIRSGLGIRPRTGDK >KJB26638 pep chromosome:Graimondii2_0_v6:4:58978898:58979184:-1 gene:B456_004G252200 transcript:KJB26638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGAATVGGELALGKNILVAYMPWEGYNFKDVLLINERLVYEDIYISFHIRKYEIQTHETSQGPERITNEIPHLEIHLLCNLDKNGIVMLGS >KJB21803 pep chromosome:Graimondii2_0_v6:4:1028600:1036577:-1 gene:B456_004G014600 transcript:KJB21803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTDRMASDLSRTGPVERDIEQAITALKKGACLLKYGRRGKPKFCPFRLSNDESVLIWLSGKEEKHLKLSHISRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHQRKWRTESRSDGIPSEVNSPRTYTRRSSPLHSPFGSNDSLQKDGGDHLRLHSPYESPPKNGLGKAFSDVILYAVPPKGFFPPESASGSVHSLSSGGSDSVHGHMKTMAMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGLDKVGSCGIKMDSLLPKALESAVVLDVQNIACGGRHAALVTKQGEVFSWGEESGGRLGHGVDSDVLQPKLIDALSNTNIELVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDQNSVSIPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVAALVEPNFCQVACGHSLTVALTTSGHVYTMGSPVYGQLGNPQADGKVPTRVEGKLSKSFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGNVDDKNSPTLVEALKDKQVKSIACGTNFTAAICLHKWASGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHACSSKKCLKASMAPNPNKPYRVCDNCFNRLRKAIETDASSQSSVSRRGSINHGTNEFVDKDDKLDSRSRAQLARFSPMESFKQGESRSKKNKKLEFNSSRVSPVPNGGSQRGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNDGLNQEVTRLRAQVENLTRKTQLQEVELERTTKQLKEAIAIAEEETAKCKAAKEVIKSLTAQLKDMAERLPVGATRNIKSPSFTSFGSSPPSNDASSVSLERPNGQIVYQEPDSNVSSGQLLSNGSNTTNNRSTSHTKQGHSEPATKSGGRTKESEPRNESEWVEQDEPGVYITLTSLPGGAKDLKRVRFSRKRFSEKQAEQWWAENRARVYEQYNVRTIDKSSVGVGSEDLAH >KJB21802 pep chromosome:Graimondii2_0_v6:4:1028572:1036577:-1 gene:B456_004G014600 transcript:KJB21802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTDRMASDLSRTGPVERDIEQAITALKKGACLLKYGRRGKPKFCPFRLSNDESVLIWLSGKEEKHLKLSHISRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHQRKWRTESRSDGIPSEVNSPRTYTRRSSPLHSPFGSNDSLQKDGGDHLRLHSPYESPPKNGLGGSDSVHGHMKTMAMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGLDKVGSCGIKMDSLLPKALESAVVLDVQNIACGGRHAALVTKQGEVFSWGEESGGRLGHGVDSDVLQPKLIDALSNTNIELVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDQNSVSIPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVAALVEPNFCQVACGHSLTVALTTSGHVYTMGSPVYGQLGNPQADGKVPTRVEGKLSKSFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGNVDDKNSPTLVEALKDKQVKSIACGTNFTAAICLHKWASGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHACSSKKCLKASMAPNPNKPYRVCDNCFNRLRKAIETDASSQSSVSRRGSINHGTNEFVDKDDKLDSRSRAQLARFSPMESFKQGESRSKKNKKLEFNSSRVSPVPNGGSQRGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNDGLNQEVTRLRAQVENLTRKTQLQEVELERTTKQLKEAIAIAEEETAKCKAAKEVIKSLTAQLKDMAERLPVGATRNIKSPSFTSFGSSPPSNDASSVSLERPNGQIVYQEPDSNVSSGQLLSNGSNTTNNRSTSHTKQGHSEPATKSGGRTKESEPRNESEWVEQDEPGVYITLTSLPGGAKDLKRVRFSRKRFSEKQAEQWWAENRARVYEQYNVRTIDKSSVGVGSEDLAH >KJB22329 pep chromosome:Graimondii2_0_v6:4:3551842:3555721:-1 gene:B456_004G041400 transcript:KJB22329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEHLPSSSSAAAATSPVNWHRSIFDLPVNFFDSCRLLSPSLTSVFEPLSISENYSSENPHSTDDREEKSTKTGVFFPRWTCNTCKAEFDSLQDQRSHFKSDIHRFNVKLSIAGKDIVKEEDFDELTMDSFKDYDVSSISGSEDEADKGAYPCNDASKGLIENIRQKLFILLQTGERVSVWKSLIINESESVLYENDKDAWNDNPLCLRENEVIERLRTLIQEPKDSTSFRIVLLSSGGHFAGCVFHGNTVVAHKTFHRYVVRAKAGKKQSSKDATGKAAHSAGAALRRHNELALKKEIQELLASWKSYFDASSCVFIHAPSSNRNVLFNGDKSCFSHQFCAIRNVPLTVRRPTLKEVKRIYSQLTQVSYEVEEKEIPPSTKEDMLLSSSTNDNGNLDPCKEELGNDLNCRDSSKSPSINVKSDTISSESDSEVVCTSTPLHEAAQSGDAQKVLELLEQGLDPCIKDQRGRTPYMLANEKEVRNTFRRFMASNLEKWDWNAAKVPSALTKEMEESQAAKQAEKESKRKARAKELKKLRRAREKKAEAEAAQSQKTVAVSQNQATVASVSKGWQSQSVGISRISKEEELKRAQALEREKRAAAAERRIAEAAAAATHSCDAQGSGTTVGSTTSLQSKSGLSGPGDISCSCCNTSLAGKVPFHRYNYKYCSTSCMHVHREILEDT >KJB24839 pep chromosome:Graimondii2_0_v6:4:45578625:45579653:-1 gene:B456_004G163900 transcript:KJB24839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGMASLAQAPPTMSIARTPQVRSISLQLLKSSKVPHLLAIHRIHIRRYPSICCTKLPPWEHSPLTSAHTDKSGNNFLENPSELFETLRSGNRAEDPTTKADHLTARTDQAVAAAQARYLKLPMWVFGPCLLLITGMVPTLWLPISTIFIGPNVVSLFSLIGLDCIFHLGATLFLLMADYCAKTMNLRQVSKSQSPSNYHLCNLGATLAGFLIPLMLLLASQRGFMQPHLPFLPFAVLLGPYTLLLSVQVLTEMLTWHWKSPVWLVTPVVYEGYRVLQLMRGLKLGAEIDAPAWVMHSIRGLVCWWILVLGMQLMRVAWFVGFTARASQQQVSSSASDAQH >KJB22154 pep chromosome:Graimondii2_0_v6:4:2616047:2617322:1 gene:B456_004G032000 transcript:KJB22154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHFTQLDDGASADVKPASNFGAAVATVLLALLLQIFWRCCRSICRNHVKKYKFSSSSAAANMDRSIIAAVEKILKYTFKDKRLLKEALTHSSCREDMSYERLEFIGDAALGLAVATHFFCWEPRLDPDQLTLLRKKSVSNERLALVAARHELDRFVRSKDTAPLNRKIWQEKTFSWAHFFAQSAKRV >KJB22155 pep chromosome:Graimondii2_0_v6:4:2616126:2617756:1 gene:B456_004G032000 transcript:KJB22155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHFTQLDDGASADVKPASNFGAAVATVLLALLLQIFWRCCRSICRNHVKKYKFSSSSAAANMDRSIIAAVEKILKYTFKDKRLLKEALTHSSCREDMSYERLEFIGDAALGLAVATHFFCWEPRLDPDQLTLLRKKSVSNERLALVAARHELDRFVRSKDTAPLNRKVREYVKAVKQGDDHKNLTVRSPDILADI >KJB25348 pep chromosome:Graimondii2_0_v6:4:50164019:50166271:-1 gene:B456_004G187100 transcript:KJB25348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIELLWSFVAIVAATYVTLFGFLKKINEWYYVTMSEKKQNPLPPGHMGWPFIGNMWSFFKASNSQDPDSFIDNLVKRYGRTSIYRTHLFGSPSVIVCSQELCRKVLTDDEHFSYGYPSSAIQLGGKKSLYGISNSEHRRLRRLRADPINGHQALALHIEDVVITSLEELATMNRPIKFFNEMKTIALNVIAKVSLGSTQDSILWSMVKYYTELSPGILSMPINIPGFAFHRALKTKDELDERRRKTVAERKKGMMDLLMEVENEIGEKLEDKHINDLLLLILFSGRETAAHTAMWVRLELVLEHTRRATGDHKEKTILPESLTLTEIKEIEYLPKVIDESLRRNYFAFAIYRKVVANVNINGYTIPKGWKVLVWPTAIYMDHIVNSKSTFGSLYWNRGVMD >KJB24192 pep chromosome:Graimondii2_0_v6:4:35913781:35918130:-1 gene:B456_004G132200 transcript:KJB24192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23940) UniProtKB/Swiss-Prot;Acc:O22993] MQSWVGKIPEYPHPVASSISSRVMVELGMVTAVITAAAVLVGGFLAAAAFAVTSFVFVTTVYVVWPIVKPFVKLFLGIIFSILERIWDNLVDVFSDGGIFSKLYEFYTFGGVSASIEMLKPITIVLLTMVLLVRFTLSRRPKNFRKWDLWQGIDFSRSKAEARVDGSTGVKFSDVAGIDEAVEELQELVRYLKNPELFDKIGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFIDEIDALATRRQGIFKETTDHLYNAATQERETTLNQLLIELDGFDTGKGVIFLAATNRRDLLDPALLRPGRFDRKIRIRPPNAKGRLQILKIHASKVKMSESVDLSSYANNLPGWTGAKLAQLVQEAALVAVRKRHESILQSDMDDAVDRLTVGPKRVGIDLGHQGQCRRATTEVGVAITSHLLRRYENAEVECCDRISVVPRGQTLSQVVFHRLDDESYMFERRPQLLHRLQVFLGGRAAEEVIYGRDTSRASLSYLADASWLARKILTIWNLENPMVIHGEPPPWRKKVKFVGPRLDFEGSLYDDYDLIEPPVNFNMDDEIAKRSEELLRDMYGRTVSLLRRHHAALLKAVKVLLNQKEINGGEIDYILNKYPPQTPLNLVLEEENPGSLPFIKQEQEREPDLQQVLLTPSISETL >KJB24193 pep chromosome:Graimondii2_0_v6:4:35913781:35919602:-1 gene:B456_004G132200 transcript:KJB24193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23940) UniProtKB/Swiss-Prot;Acc:O22993] MVNVNMARIFFKAMRLNESEAQDLLREYTGQRYEIETPMTSWVGKIPEYPHPVASSISSRVMVELGMVTAVITAAAVLVGGFLAAAAFAVTSFVFVTTVYVVWPIVKPFVKLFLGIIFSILERIWDNLVDVFSDGGIFSKLYEFYTFGGVSASIEMLKPITIVLLTMVLLVRFTLSRRPKNFRKWDLWQGIDFSRSKAEARVDGSTGVKFSDVAGIDEAVEELQELVRYLKNPELFDKIGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFIDEIDALATRRQGIFKETTDHLYNAATQERETTLNQLLIELDGFDTGKGVIFLAATNRRDLLDPALLRPGRFDRKIRIRPPNAKGRLQILKIHASKVKMSESVDLSSYANNLPGWTGAKLAQLVQEAALVAVRKRHESILQSDMDDAVDRLTVGPKRVGIDLGHQGQCRRATTEVGVAITSHLLRRYENAEVECCDRISVVPRGQTLSQVVFHRLDDESYMFERRPQLLHRLQVFLGGRAAEEVIYGRDTSRASLSYLADASWLARKILTIWNLENPMVIHGEPPPWRKKVKFVGPRLDFEGSLYDDYDLIEPPVNFNMDDEIAKRSEELLRDMYGRTVSLLRRHHAALLKAVKVLLNQKEINGGEIDYILNKYPPQTPLNLVLEEENPGSLPFIKQEQEREPDLQQVLLTPSISETL >KJB24191 pep chromosome:Graimondii2_0_v6:4:35913670:35919710:-1 gene:B456_004G132200 transcript:KJB24191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23940) UniProtKB/Swiss-Prot;Acc:O22993] MATIDTLISARVNFPKPYSTPIKSLPKRIHPSNLTRKFRLRTPLLHRSFTVLCELQSSQPGGDTSKPKGDDFVTRVLKENPSQVEPRYLVGNKIYTLKEKDDLRKGNNLGLIEILKKKLNTKSKSKSETIGGERESETSENDYVYLNDILREYKGKLYVPEQIFGAELSEEEEFEKNLEELPKMSLEDFRKAMKSDKVKLLTSKEVSGVSYVGRYWDFVVDLEDIPGDKSLQRTKWAMRLNESEAQDLLREYTGQRYEIETPMTSWVGKIPEYPHPVASSISSRVMVELGMVTAVITAAAVLVGGFLAAAAFAVTSFVFVTTVYVVWPIVKPFVKLFLGIIFSILERIWDNLVDVFSDGGIFSKLYEFYTFGGVSASIEMLKPITIVLLTMVLLVRFTLSRRPKNFRKWDLWQGIDFSRSKAEARVDGSTGVKFSDVAGIDEAVEELQELVRYLKNPELFDKIGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFIDEIDALATRRQGIFKETTDHLYNAATQERETTLNQLLIELDGFDTGKGVIFLAATNRRDLLDPALLRPGRFDRKIRIRPPNAKGRLQILKIHASKVKMSESVDLSSYANNLPGWTGAKLAQLVQEAALVAVRKRHESILQSDMDDAVDRLTVGPKRVGIDLGHQGQCRRATTEVGVAITSHLLRRYENAEVECCDRISVVPRGQTLSQVVFHRLDDESYMFERRPQLLHRLQVFLGGRAAEEVIYGRDTSRASLSYLADASWLARKILTIWNLENPMVIHGEPPPWRKKVKFVGPRLDFEGSLYDDYDLIEPPVNFNMDDEIAKRSEELLRDMYGRTVSLLRRHHAALLKAVKVLLNQKEINGGEIDYILNKYPPQTPLNLVLEEENPGSLPFIKQEQEREPDLQQVLLTPSISETL >KJB24194 pep chromosome:Graimondii2_0_v6:4:35913781:35919602:-1 gene:B456_004G132200 transcript:KJB24194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23940) UniProtKB/Swiss-Prot;Acc:O22993] MATIDTLISARVNFPKPYSTPIKSLPKRIHPSNLTRKFRLRTPLLHRSFTVLCELQSSQPGGDTSKPKGDDFVTRVLKENPSQVEPRYLVGNKIYTLKEKDDLRKGNNLGLIEILKKKLNTKSKSKSETIGGERESETSENDYVYLNDILREYKGKLYVPEQIFGAELSEEEEFEKNLEELPKMSLEDFRKAMKSDKVKLLTSKEVSGVSYVGRYWDFVVDLEDIPGDKSLQRTKWAMRLNESEAQDLLREYTGQRYEIETPMTSWVGKIPEYPHPVASSISSRVMVELGMVTAVITAAAVLVGGFLAAAAFAVTSFVFVTTVYVVWPIVKPFVKLFLGIIFSILERIWDNLVDVFSDGGIFSKLYEFYTFGGVSASIEMLKPITIVLLTMVLLVRFTLSRRPKNFRKWDLWQGIDFSRSKAEARVDGSTGVKFSDVAGIDEAVEELQELVRYLKNPELFDKIGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFIDEIDALATRRQGIFKETTDHLYNAATQERETTLNQLLIELDGFDTGKGVIFLAATNRRDLLDPALLRPGRFDRKIRIRPPNAKGRLQILKIHASKVKMSESVDLSSYANNLPGWTGAKLAQLVQEAALVAVRKRHESILQSDMDDAVDRLTVGPKRVGIDLGHQGQCRRATTEVGVAITSHLLRRYENAEVECCDRISVVPRGQTLSQVVFHRLDDESYMFERRPQLLHRLQVFLGGRAAEEVIYGRDTSRASLSYLADASWLARKILTIWNLENPMVIHGEPPPWRKKVKFVGPRLDFEGSLYDDYDLIEPPVNFNMDDEIAKRSEELLRDMYGRTVSLLRRHHAALLKAVKVI >KJB26078 pep chromosome:Graimondii2_0_v6:4:55844735:55849122:-1 gene:B456_004G224000 transcript:KJB26078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGLNRQGFPGDRKQDGSDKKEKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPHTKCKLRLLKLERVKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >KJB26079 pep chromosome:Graimondii2_0_v6:4:55845518:55849065:-1 gene:B456_004G224000 transcript:KJB26079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGLNRQGFPGDRKQDGSDKKEKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPHTKCKLRLLKLERVKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVRLI >KJB23170 pep chromosome:Graimondii2_0_v6:4:10611165:10615792:-1 gene:B456_004G085000 transcript:KJB23170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDDGDDYMGHVEDVKVEQVMCELDMEIVLDSEDEGSCRTETITLFNCRKVTGGSEKNVSKKREGQSPCSDVDSIDKQLSAGTKRSSMDHDRSDGKKDVPQLSIGDHEIRRLEYVDSQEPGESSQAIALCFVDNFLSFNSVDLCQGVEERTRTKSPLVSSAKGTRHLAKIINRGSSVKKVGTFEWFESCHQGETDSFSKRMTGASEFGDLSHQHLHNKGQISLSNEHEEKHARRHSLSGFKDQGLKASIGIEKESEGNMVNGSFKEVDELIKTKSSSEKFEASSTARDIPDMFDVGFSTQIAAEAMEALCYGLPPSCKACDTCEAVEGALTDLLEGEAMSRTHLVHHSLQKVAACEIGEVGKESIRRKRSARRYNKNISSSSWNCNYQELSHKLKPETTKSKQSKLDESVSQNNLENCETYVTAFTPDMQNSCRKQLSQEEPIIHQTRHCKGGANVKKIKDQMEKPRVMTNNVKEGSTLTYKRKRKSVVADPPKLLSGKQKCTKLHSYASAETLDGKLSEQRSSPQEAAIARYLRLDTWNCPKGKRTQRKVPIHSSGKSNMHASFTSVGAEEHKLDPVRNKKMPEDDETNSSNFNMKGRMCTSLSWPSLESNSDESLSRQNCKEQVSGVTTNSDLAAPNIRESAWDLDGVNAAQTEKPYYVDSTSIINGLKNHNFGEPLRNTIEPSGKECITTLCCKKGVNEASLNNRPYVYHRKPCNKNLPKPSLLKELIGLGVPKLMSDFTHRGFRARKELAYIRVLFSQHLDDDVVKQQKKIAARLGISITSCSLDATHFIADKFVRTRNMLEAIALGKSVVTNLWLDSCGQASCLLDERNYILRDSKREKEIGFNMAVSLARARRYPLLKDRRICITQTVKPNKEMIASLAKAVGGEVVEAKDQKIPDDLLILSCEQDLAICKPLLEKGATVYSSELLLNGIVIQKLEYQRHQLFSERNRRDQSSRKH >KJB26578 pep chromosome:Graimondii2_0_v6:4:58584763:58585086:1 gene:B456_004G248500 transcript:KJB26578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKVTVIFAILLMLSFHHVLAIRTLEEEQAKIFIFNKNLTIQLLPRGPVPPSAGNPCTNIPGRSHGRCTLTEMNVAGGGSHNRVVHHAPPTFSDSVSKFHEISIA >KJB26460 pep chromosome:Graimondii2_0_v6:4:58043858:58046015:1 gene:B456_004G242800 transcript:KJB26460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEARDGVQVKRVVFVTVGTTCFDALVKVADSQEVKDELYRRGYTDLLIQMGRGSYLPTKSTGDDGSLAVDYFTFSSSIADHLRSASLVISHAGSGSIFETLQLGKPLIVVVNEDLMDNHQSELAEELAERKHLYCARPLTLHQTIASMDLNCLVPYSPGDATPVAKLINRFLGFPEES >KJB26461 pep chromosome:Graimondii2_0_v6:4:58042442:58046015:1 gene:B456_004G242800 transcript:KJB26461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEARDGVQVKRVVFVTVGTTCFDALVKVADSQEVKDELYRRGYTDLLIQMGRGSYLPTKSTGDDGSLAVDYFTFSSSIADHLRSASLVISHAGSGSIFETLQLGKPLIVVVNEDLMDNHQSELAEELAERKHLYCARPLTLHQTIASMDLNCLVPYSPGDATPVAKLINRFLGFPEES >KJB26459 pep chromosome:Graimondii2_0_v6:4:58039861:58046015:1 gene:B456_004G242800 transcript:KJB26459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEARDGVQVKRVVFVTVGTTCFDALVKVADSQEVKDELYRRGYTDLLIQMGRGSYLPTKSTGDDGSLAVDYFTFSSSIADHLRSASLVISHAGSGSIFETLQLGKPLIVVVNEDLMDNHQSELAEELAERKHLYCARPLTLHQTIASMDLNCLVPYSPGDATPVAKLINRFLGFPEES >KJB23120 pep chromosome:Graimondii2_0_v6:4:10014128:10016342:-1 gene:B456_004G082200 transcript:KJB23120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTPIKYYVVDAFTNSAFKGNPAAVCLLEEERDEKWMQAVAAEFNISETCYLTRITNSTSPNTRFRLRWFTPVAEVNLCGHATLASAHTLFTTGLVNSNIIEFDTLSGILTAKKVPDVCPTNVSEVQNGGVSDRFLIELNFPTVPVTDFNSAEASLISKALNDAPLIDVKRTTTADDIFVVLQSGKSVIEMEPRFDDILKCPGRGLIVSGAAPPDSEFDFISRFFCPKYGINEDPVCGSAHCALAPYWSQKLGKLDFVAHAASPRGGIVKIHLDEQNHRVLLRGKAVMVMEGSVLV >KJB23121 pep chromosome:Graimondii2_0_v6:4:10013930:10016483:-1 gene:B456_004G082200 transcript:KJB23121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTPIKYYVVDAFTNSAFKGNPAAVCLLEEERDEKWMQAVAAEFNISETCYLTRITNSTSPNTRFRLRWFTPVAEVNLCGHATLASAHTLFTTGLVNSNIIEFDTLSGILTAKKVPDVCPTNVSEVQNGGVSDRFLIELNFPTVPVTDFNSAEASLISKALNDAPLIDVKRTTTADDIFVVLQSGKSVIEMEPRFDDILKCPGRGLIVSGAAPPDSEFDFISRFFCPKYGINEFAEVHIVPWHPIGAKSWES >KJB23122 pep chromosome:Graimondii2_0_v6:4:10014323:10016068:-1 gene:B456_004G082200 transcript:KJB23122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVAAEFNISETCYLTRITNSTSPNTRFRLRWFTPVAEVNLCGHATLASAHTLFTTGLVNSNIIEFDTLSGILTAKKVPDVCPTNVSEVQNGGVSDRFLIELNFPTVPVTDFNSAEASLISKALNDAPLIDVKRTTTADDIFVVLQSGKSVIEMEPRFDDILKCPGRGLIVSGAAPPDSEFDFISRFFCPKYGINEDPVCGSAHCALAPYWSQKLGKLDFVAHAASPRGGIVKIHLDEQNHRVLLRGKAVMVMEGSVLV >KJB23123 pep chromosome:Graimondii2_0_v6:4:10014749:10016340:-1 gene:B456_004G082200 transcript:KJB23123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NCVLSNSNLSLSNMAKTPIKYYVVDAFTNSAFKGNPAAVCLLEEERDEKWMQAVAAEFNISETCYLTRITNSTSPNTRFRLRWFTPVAEVNLCGHATLASAHTLFTTGLVNSNIIEFDTLSGILTAKKVPDVCPTNVSEVQNGGVSDRFLIELNFPTVPVTDFNSAEASLISKALNDAPLIDVKRTTTADDIFVVLQSGKSVIEMEPRFDDILKCPGRGLIVSGAAPPDSEFDFISRFFCPKYGINEVSHGIQHFLFHLSRQFLLNLCSMPFVEDEMCRIYVPGMNI >KJB26727 pep chromosome:Graimondii2_0_v6:4:59586340:59589614:-1 gene:B456_004G260000 transcript:KJB26727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGIIICFVVILLGIISAITGFAAESTRVKTSQVTIDASGQCVYPKNSAHALGLISALMLLIAKIIINVATGCFCCRKTDQSRSSNQIKPLVFYIAFWITFVIAIGLLLTGAAFNERNKNAIVRDNMYYCRVVKPGIFAVGAILAVISLIVGIFYYVTQNSKANASLPNQGGIVMVQPQFPQENPGFVHGYTYSK >KJB21601 pep chromosome:Graimondii2_0_v6:4:162661:167688:1 gene:B456_004G002400 transcript:KJB21601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIEKNNFKVSRFDSEFSSDSRDTTSSTDEDELQRPSSAINSDGAGDDDDEDDDDDEFDDADSGAGSDDFDLLELGEMGAEFCQVGNSTCSVPFDLYDLPGLEDILSLDVWNECLSDEERFSLTKFLPDMDQDTFMWTLNDLLKGNNFHFGSPIKKLFDILKGGLCEPRVALYREGLNFFQKRRHYHHLRMHQNNMVAKLCQTRDAWLNCRGYSIEERLRVLNIMRSQKILVYEKSEDEDSESSERGDFGEGLWTKRVKDQKALQKKGHHGVGPTLESVSRGQMVGLEPSEYRKQNPKGILKARGSKFPSAKEFGGGVYQGLDIDTEPYGLHGTLPRHKYKSGAANRTRDQMRLDDDGDPMFGTSIQQDRHAVHDSKSRKSGLLRAGKKYGLLRGEELAGDSFMALPLSSKHDSRAYGRNRNVNQFPDAKVYTSKPPNMRTPYDFAKTSKYSENHQQFAVGNQIKLMKGRTPQLPLKGSRFDLSERTELFWQNKNQGEDFSVDSSVRSDDWNIRSRKCKMGPQCPDKASLQQMNDRFLFSDNRIKSSQEKIRGSNVQNGGPLMAVSKGSRAFLKNEETESDSSEQFDDDDDSNPLMRSKFDYPSGVMEGSRLSSLKSGLDSRKIKSSKKDTMEDGWPLDGINRISEKSFGENVHVPGVESYYFKGKQKSKMHEISPLQNSASRALGKVDRKKVSKLSKTGQLGEKLGDRLKMSSTKAYPTEKRLKGEVVYDHPMSQRDYLLNYPVDEEDASPVTLPLADENNRRRTGKKGRSIETYDCGEKREASLLGCKTGKEYVGDVDRRGEDGNLQSNLQKRTDDSLSLKKKGKRKLEVDAVTSDMEALEPHGAEVQVTDVEMEIKPQKKPFTLITPTVHTGFSFSIIHLLSAVRMGMITPLPEDSLEVGRPRREQNGKQEGGVNGVLSCENTATDNPDQPVQRSIPSLTVQEIVNRVTVNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVAYEKSTKSWYWVGPIMHSSIDHETIEEMTSPEAWGLPHKMLVKLVDSFANWLKNGQETLQQIGSLPAPPLELMQVNLDEKERFRDLRAQKSLNTIGPSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRGGGKPTSKARDHFMLKRDRPPHVTILCLVRDAASRLPGSIGTRADVCTLIRDSQYIVEEVSDAQVNQIVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDTAEQSDQGAVIVAFHATGNQLGFDDDKKMETECEDRQNPEDNADDSHASEQGHPITWEPLDANLVQEDKLLCEENSTNEEFDDN >KJB21600 pep chromosome:Graimondii2_0_v6:4:162339:167688:1 gene:B456_004G002400 transcript:KJB21600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDTTSSTDEDELQRPSSAINSDGAGDDDDEDDDDDEFDDADSGAGSDDFDLLELGEMGAEFCQVGNSTCSVPFDLYDLPGLEDILSLDVWNECLSDEERFSLTKFLPDMDQDTFMWTLNDLLKGNNFHFGSPIKKLFDILKGGLCEPRVALYREGLNFFQKRRHYHHLRMHQNNMVAKLCQTRDAWLNCRGYSIEERLRVLNIMRSQKILVYEKSEDEDSESSERGDFGEGLWTKRVKDQKALQKKGHHGVGPTLESVSRGQMVGLEPSEYRKQNPKGILKARGSKFPSAKEFGGGVYQGLDIDTEPYGLHGTLPRHKYKSGAANRTRDQMRLDDDGDPMFGTSIQQDRHAVHDSKSRKSGLLRAGKKYGLLRGEELAGDSFMALPLSSKHDSRAYGRNRNVNQFPDAKVYTSKPPNMRTPYDFAKTSKYSENHQQFAVGNQIKLMKGRTPQLPLKGSRFDLSERTELFWQNKNQGEDFSVDSSVRSDDWNIRSRKCKMGPQCPDKASLQQMNDRFLFSDNRIKSSQEKIRGSNVQNGGPLMAVSKGSRAFLKNEETESDSSEQFDDDDDSNPLMRSKFDYPSGVMEGSRLSSLKSGLDSRKIKSSKKDTMEDGWPLDGINRISEKSFGENVHVPGVESYYFKGKQKSKMHEISPLQNSASRALGKVDRKKVSKLSKTGQLGEKLGDRLKMSSTKAYPTEKRLKGEVVYDHPMSQRDYLLNYPVDEEDASPVTLPLADENNRRRTGKKGRSIETYDCGEKREASLLGCKTGKEYVGDVDRRGEDGNLQSNLQKRTDDSLSLKKKGKRKLEVDAVTSDMEALEPHGAEVQVTDVEMEIKPQKKPFTLITPTVHTGFSFSIIHLLSAVRMGMITPLPEDSLEVGRPRREQNGKQEGGVNGVLSCENTATDNPDQPVQRSIPSLTVQEIVNRVTVNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVAYEKSTKSWYWVGPIMHSSIDHETIEEMTSPEAWGLPHKMLVKLVDSFANWLKNGQETLQQIGSLPAPPLELMQVNLDEKERFRDLRAQKSLNTIGPSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRGGGKPTSKARDHFMLKRDRPPHVTILCLVRDAASRLPGSIGTRADVCTLIRDSQYIVEEVSDAQVNQIVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDTAEQSDQGAVIVAFHATGNQLGFDDDKKMETECEDRQNPEDNADDSHASEQGHPITWEPLDANLVQEDKLLCEENSTNEEFDDN >KJB21602 pep chromosome:Graimondii2_0_v6:4:162661:167688:1 gene:B456_004G002400 transcript:KJB21602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIEKNNFKVSRFDSEFSSDSRDTTSSTDEDELQRPSSAINSDGAGDDDDEDDDDDEFDDADSGAGSDDFDLLELGEMGAEFCQVGNSTCSVPFDLYDLPGLEDILSLDVWNECLSDEERFSLTKFLPDMDQDTFMWTLNDLLKGNNFHFGSPIKKLFDILKGGLCEPRVALYREGLNFFQKRRHYHHLRMHQNNMVAKLCQTRDAWLNCRGYSIEERLRVLNIMRSQKILVYEKSEDEDSESSERGDFGEGLWTKRVKDQKALQKKGHHGVGPTLESVSRGQMVGLEPSEYRKQNPKGILKARGSKFPSAKEFGGGVYQGLDIDTEPYGLHGTLPRHKYKSGAANRTRDQMRLDDDGDPMFGTSIQQDRHAVHDSKSRKSGLLRAGKKYGLLRGEELAGDSFMALPLSSKHDSRAYGRNRNVNQFPDAKVYTSKPPNMRTPYDFAKTSKYSENHQQFAVGNQIKLMKGRTPQLPLKGSRFDLSERTELFWQNKNQGEDFSVDSSVRSDDWNIRSRKCKMGPQCPDKASLQQMNDRFLFSDNRIKSSQEKIRGSNVQNGGPLMAVSKGSRAFLKNEETESDSSEQFDDDDDSNPLMRSKFDYPSGVMEGSRLSSLKSGLDSRKIKSSKKDTMEDGWPLDGINRISEKSFGENVHVPGVESYYFKGKQKSKMHEISPLQNSASRALGKVDRKKVSKLSKTGQLGEKLGDRLKMSSTKAYPTEKRLKGEVVYDHPMSQRDYLLNYPVDEEDASPVTLPLADENNRRRTGKKGRSIETYDCGEKREASLLGCKTGKEYVGDVDRRGEDGNLQSNLQKRTDDSLSLKKKGKRKLEVDAVTSDMEALEPHGAEVQVTDVEMEIKPQKKPFTLITPTVHTGFSFSIIHLLSAVRMGMITPLPEDSLEVGRPRREQNGKQEGGVNGVLSCENTATDNPDQPVQRSIPSLTVQEIVNRVTVNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVAYEKSTKSWYWVGPIMHSSIDHETIEEMTSPEAWGLPHKMLVKLVDSFANWLKNGQETLQQIGSLPAPPLELMQVNLDEKERFRDLRAQKSLNTIGPSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRGGGKPTSKARDHFMLKRDRPPHVTILCLVRDAASRLPGSIGTRADVCTLIRDSQYIVEEVSDAQVNQIVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDTAEQSDQGAVIVAFHATGNQLGFDDDKKMETECEDRQNPEDNADDSHASEQGHPITWEPLDANLVQEDKLLCEENSTNEEFDDN >KJB26791 pep chromosome:Graimondii2_0_v6:4:59598374:59602068:1 gene:B456_004G260200 transcript:KJB26791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISSMNHPKTLHFFRIILSQTQKLPLPKRFVSLYGDDLSNTVIVKAPTGPEWKVELMKCDGEIWLANGWKDFADYFSLKYGNLLIFRYEGHSRFYVFMIFDQTTFEIEYPNGIDNHDVELQIPDVEEVGSEDVVEIVNNVSPSRKTTEKTRSSPRKRTRMQGATNAKKSEFRMSSRDGSKIGPEQAGGGTSAAQRSSASSANHFGSEKPFFVVNIQPSYITAFHANVPFTFARTYFTWKEADVILKDRDGRTWPIHLKVYNKYHCTSFGRGWKAFAIDNDLRVGDSCAFELLEGPEISFRVTIFRNPEDSNDRHTSTRGSKIGHGRHKRELNARSQIEYDHSSEDEMIRYSKRNKGSQVPRRKIKTRDGKFDEAMQNKTSARRAIQRLPSKGTAIARALERVSKLRSAHPLFKVIITTNFLQRGFPSIPERFCDEHMEQIPEDVTLKYKHKSWRVRMIICSDRAGRFSVGWRAFVQGNKLKVGDVCVFKMIKKTNIVFEVSIFRDGLTLG >KJB25466 pep chromosome:Graimondii2_0_v6:4:51144974:51146987:-1 gene:B456_004G193300 transcript:KJB25466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVKTNRVKYPEGWELIEPTLRELQAKMREAENDPHDGKRKCETLWPIFKIAHQKSRYIFDLYHRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFATTCVCRVPKHLREEKVIECVHCGCKGCASGD >KJB26424 pep chromosome:Graimondii2_0_v6:4:57854697:57860726:1 gene:B456_004G240500 transcript:KJB26424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSGPDTGHGNSGVSGPLLIPMRFVWPYGGRRVFLSGSFTRWLEHIPMSPMEGCPTVFQVICSLSPGYHQFKFFVDGEWRHDEHQPFVNDNYGIVNTIFIAREPNLVAPSFNPETPGRSNMDVDDAFVSSEPVPTVSDADLEASRNRVSAFLSGHTAYELLAESGKVIALDVNIAVKQAFHILYEQGIPVAPLWDSCKGQFVGVLSALDFILILRELGNHGSNLTEEELETHTISAWKEGKVYLGRQIDGNARSYPRCLVQAGPYDSLKDVALKILKSKVATVPIMHSTSQDGSFPQLLHLATLSEILKCICRHFKHSASSLPILQQPICSIPLGTWVPNIGEPNGRPLAMLRPTATLGAALSLLIQAEVSSIPIVDENDSLLDIYSRSDITALAKDKAYAQIHLDEMSVHQALQLGQDANFFNGQRCQMCLGSDTLHKVMERLANPGVRRLVIVEAGTKRVEGIVSLSDVFRFLLGV >KJB26425 pep chromosome:Graimondii2_0_v6:4:57854792:57860668:1 gene:B456_004G240500 transcript:KJB26425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSGPDTGHGNSGVSGPLLIPMRFVWPYGGRRVFLSGSFTRWLEHIPMSPMEGCPTVFQVICSLSPGYHQFKFFVDGEWRHDEHQPFVNDNYGIVNTIFIAREPNLVAPSFNPETPGRSNMDVDDAFVSSEPVPTVSDADLEASRNRVSAFLSGHTAYELLAESGKVIALDVNIAVKQAFHILYEQLGNHGSNLTEEELETHTISAWKEGKVYLGRQIDGNARSYPRCLVQAGPYDSLKDVALKILKSKVATVPIMHSTSQDGSFPQLLHLATLSEILKCICRHFKHSASSLPILQQPICSIPLGTWVPNIGEPNGRPLAMLRPTATLGAALSLLIQAEVSSIPIVDENDSLLDIYSRSDITALAKDKAYAQIHLDEMSVHQALQLGQDANFFNGQRCQMCLGSDTLHKVMERLANPGVRRLVIVEAGTKRVEGIVSLSDVFRFLLGV >KJB27277 pep chromosome:Graimondii2_0_v6:4:61806727:61808788:1 gene:B456_004G288400 transcript:KJB27277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPEFFQGTTYCSQLTPEKPAAGGDHFIVEDLLDFSNEDAVITDVANFNSSVAGHSTDSSTITAVESCNSSSFSGPETNLGGGIGCRSFTDGQFAGDLCVPYDDLAELEWLSNFAEESFSSEDLQKLQLISGMKTLPNVSSEPRGLQPELPNQIENAIDGGGGDNNHVFHPDMTVPAKARSKRSRAAPCNWASRLLVLSPTVSSPEPDIIVPVQPLPSNQPGKKPVKTTSSSSKKKDGGETSSDGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVLELRRQKEMVRAQQHHQQQFMHHHHHHHHQNMVFDVSNGDDYLIHQPVGPDFRQLI >KJB25444 pep chromosome:Graimondii2_0_v6:4:50880062:50883029:1 gene:B456_004G191500 transcript:KJB25444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os10g0564500)] MEERYEPVKELGSGNFGVARLVKDKKTKELVAVKYIERGKKIDENVQREIINHRSLRHPNIIRFKEVVVTPTHLAIVMEYAAGGELFERICSAGRFSEDELISGVSYCHSMQICHRDLKLENTLLDGSPTPRLKICDFGYSKSAVLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGRIMSVQYTIPDYVRVSADCRQLLSRIFVANPAKRISIPEIKEHPWFLKNLPKELVEIEKTNYAESVRDQPSQSVEEIMRIIQEAKTTTAEGAKVGEQAAAGSSDPDDVEADLESEIDVSGDFEAPM >KJB25443 pep chromosome:Graimondii2_0_v6:4:50880036:50883029:1 gene:B456_004G191500 transcript:KJB25443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os10g0564500)] MEERYEPVKELGSGNFGVARLVKDKKTKELVAVKYIERGKKIDENVQREIINHRSLRHPNIIRFKEVVVTPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSPTPRLKICDFGYSKSAVLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGRIMSVQYTIPDYVRVSADCRQLLSRIFVANPAKRISIPEIKEHPWFLKNLPKELVEIEKTNYAESVRDQPSQSVEEIMRIIQEAKTTTAEGAKVGEQAAAGSSDPDDVEADLESEIDVSGDFEAPM >KJB25417 pep chromosome:Graimondii2_0_v6:4:50630185:50632128:1 gene:B456_004G190300 transcript:KJB25417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNVLFLNRGGQEEHEFSLQQQAGGVFLNQPHMLFNNGTNNNINPRKRAREVTAGAAITTPMNSYSLQMQPPQLIELSQLHQPNVVSTGLRLSFGGDQQQYQNQNLQNQQQQHQHQQRQQNLVPSSTLFLSVVSDELATQIKRQREELDQFLQAQGKELRRTLAEKRNRHYHALLAAAEESVARRLREKEAEVEKAKRRNAELEARAAQLSVEVQVWQAKARAQEATATSLQAQLQQAMIGCGAAAVTQDSRRGEEGIEGQAEDAESAYVDPERMVSSGPSCKACRTRVASVVLLPCRHLCLCTECDRVAQACPLCLAVRNSSVEVFLS >KJB25418 pep chromosome:Graimondii2_0_v6:4:50630314:50632128:1 gene:B456_004G190300 transcript:KJB25418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNNGTNNNINPRKRAREVTAGAAITTPMNSYSLQMQPPQLIELSQLHQPNVVSTGLRLSFGGDQQQYQNQNLQNQQQQHQHQQRQQNLVPSSTLFLSVVSDELATQIKRQREELDQFLQAQGKELRRTLAEKRNRHYHALLAAAEESVARRLREKEAEVEKAKRRNAELEARAAQLSVEVQVWQAKARAQEATATSLQAQLQQAMIGCGAAAVTQDSRRGEEGIEGQAEDAESAYVDPERMVSSGPSCKACRTRVASVVLLPCRHLCLCTECDRVAQACPLCLAVRNSSVEVFLS >KJB22603 pep chromosome:Graimondii2_0_v6:4:5462025:5462681:1 gene:B456_004G057000 transcript:KJB22603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFFQYHASSQSLFRLPSPSTETLPGFWDELLLNFNDFEEMVWDDMAAAALDKSKNSDNGVKEEGVTSDIPKMEELPKEEKAYRGVRKRPWGKYAAEIRDSTRNGVRVWLGTFDSPEAAALAYDQAAFSTRGPSATLNFPVEVVRESLRSIKYRCDEGCSPVLALKKRHCLRKRSKKNKMVSPQKEVISRETRQQKNLVVLEDLGSDYLEQLLTCSS >KJB22519 pep chromosome:Graimondii2_0_v6:4:4781341:4782069:-1 gene:B456_004G052200 transcript:KJB22519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLFSTLVVNGIFNAISRRGYSAAASASRGVVSSGVRGGAASNAAVAKQAREETKEKVSWVPDPVTGCYRPENCGNEIDVAELRAVLLKKN >KJB21515 pep chromosome:Graimondii2_0_v6:4:61641514:61641950:1 gene:B456_004G285600 transcript:KJB21515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRGGGGSKVAGIRQIVRLKEILKKWQNESCTSPKPSTDVPKGYLAVSVGSELRRFIIPTSYLTHPVFKILLEKAEEEFRYNHNGGPTHPCEIETFKYLL >KJB23742 pep chromosome:Graimondii2_0_v6:4:25741892:25747302:-1 gene:B456_004G112400 transcript:KJB23742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLKLSPFIFPLSFFFSHVLFASSLSPPPPPPQTTTLDISSSLKQAEDILSLDPLSFKPFATSQSQLLHSNSSSPPACVFSIPLHSRASLHKTDHTDYKSLVLSRLHRDFARFHSLTTKLLLALNGVQKSQILPYELSTPIIFGTSLGSGEYFSQVEVGRPEEIDGVHQAVQMVSSILKNIFALQIQDKQAKIKTKFQDCYQQSDPIFNHSTSSTYIPLSCVSRQCSSLDVSSCDSGKCLYHVLYGDGSYTMGEFVTETLSFGNSGEVNNIALGCGQYNIGLFAGAAGLLGLGDGSFSLTSQIKATSFFYCLVDRDSASSSTLDFNSGLPADSVIASLIRNQKVDTFYYVRLTDFSVGGQPVQLPSGLFDMDDSGNRGYSFTWFFTLSTAVEATYSAIFVDSLMRLKFRVGALEATNCGTAITRLQTQAYNALRDAFVKLAQDLPITSGVALFNTCYDFSSMSEVSVPTVSFIFGSGRSLDLPAKNYLVPVDSEGTFCLAFALTLLSLSIIVNVQQ >KJB26616 pep chromosome:Graimondii2_0_v6:4:58773627:58778018:1 gene:B456_004G250400 transcript:KJB26616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALESFQLPITDFHIGNETRRNSVLICVPIMAESVDQMLVQMQKAKDFGGDLVELRVDFLKNFVPRQDLDILIKQAPLPTLVTYRPRWEGGQYDGDESKRREALRLAMELGADYIDIELKVAHDFFNSLPGKRPEKVKIIVSSHNYERTPSVKELSHLVARIQATGADIVKIATTAVDIIDNARIFQVLVHSQVPMIGLVMGERGLMSRILAAKFGGFLTFGSLEAGLVSAPGQPTVKELLDLYNMRLIGPDTKVYGVIGNPIGHSKSPHIYNASFKSSSFNGIYLPLLVDDVSTFITTYSAPDFAGYSYTIPHKEAGLKCCDEVDPIAKAIGAISCMIKRSTEGKLIGYNIDYLGGIAAIEEELRVSNGATLASGSPLAGKTFVIIGAGGAGKALAYGAYEKGARIVVANRTYDKAKELASKVRGQALTLAELNDFCPEEGMILVNTTPVGMEPRIEETPVSKETLKHYSLVFDAVYTPKLTRLLREAKQSGATIVYGTEMFINQAFIQFEMFTGLPAPKQLIRDVLAITT >KJB26617 pep chromosome:Graimondii2_0_v6:4:58773671:58777430:1 gene:B456_004G250400 transcript:KJB26617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALESFQLPITDFHIGNETRRNSVLICVPIMAESVDQMLVQMQKAKDFGGDLVELRVDFLKNFVPRQDLDILIKQAPLPTLVTYRPRWEGGQYDGDESKRREALRLAMELGADYIDIELKVAHDFFNSLPGKRPEKVKIIVSSHNYERTPSVKELSHLVARIQATGADIVKIATTAVDIIDNARIFQVLVHSQVPMIGLVMGERGLMSRILAAKFGGFLTFGSLEAGLVSAPGQPTVKELLDLYNMRLIGPDTKVYGVIGNPIGHSKSPHIYNASFKSSSFNGIYLPLLVDDVSTFITTYSAPDFAGYSYTIPHKEAGLKCCDEVDPIAKAIGAISCMIKRSTEGKLIGYNIDYLGGIAAIEEELRVSNGATLASGSPLAGKTFVIIGAGGAGKALAYGAYEKGARIVVANRTYDKAKELASKVRGQALTLAELNDFCPEEGMILVNTTPVGMEPRIEETPVSKETLKHYSLVFDAVYTPKLTRLLREAKQSGATIVYGTEMFINQAFIQFEMFTGLPGKTMPYD >KJB21704 pep chromosome:Graimondii2_0_v6:4:693825:701716:1 gene:B456_004G009700 transcript:KJB21704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSGNNLSRGNAGLSSDMPPLPQCLPLEPISLGSQKYTRSGELSRVLGVPLRNSTSEDHSFGVSHPKPSPPVATEELKNFKESVQDTSRKARDRVKKLRESISKLERYREALSSKKRQRSDISSERTSGVSITKMGSQIHRNGHDLLTQRLEDRPKSMGLNKRVRTSVADLRADNRTAVNPRQQGTIEKDGDVPPAINGGSARIEEKIRRLPGEGWETKMKRKRSGAAVGNRVAGGDRDIKRVIQPKMSSESKLRSCDIQGFRSKSSPGVGGIRKSDGSFEVAGSDASTVLRNELESTSIPRDRAAMLEQRVVVKANTKATLQDDNQASGPSTMLKGKGSRAPRTGSIMVLDSSSKGHLLSGALQGWEQSNLNKTQPLGVGSNQKRLMSTGSSHAMSQWGGQRPHKNSRTRRANLLTPTSNAEAQISNQGFATPDFGARASIGTGGSVLGSNVDNVTPRIKREPENVSSPFGFSESEESGAGDNKSKEKGIDCSEVTLPASQKAGSFLLPTRKNKMSTIEIGDGVRRQGRTGSSTPSLTKPGVPPMREKLENITTKPIQSARSASDKNRSKTGRPPSKKLKDRKATARVGLVQNNVSSDFTGESDDDRDELFAAATSARNANSLACTGPFWKKMGSMFNSVSSEDTSFLRQQLNLAEGLDESLSQMFGDGYTVLGGVVPKDAPTSVEETAKTHTSIGGFDLKQFDKVTPLCQRVLSALIEEDESEEIYNHIEAKNMSLHYASDDSHCGSCNQMDVESKDRDRMESEVESNADFQCQKNSLLDRLSYDASVASNTFRNSSMSNSLHSSERWLGEDECLHSDMGPVSEICSTDLGQVLPKEINVSAVSSLDGQYQFMSMEDKLVLELHSIGIYPETLPDLTEGEEAINQNVVELNENLYQQIQKKKKKLGKIDKAIQNGREVDRRNIEYAAMDQLVQMANKKRLACRGSNSSKSAVRKVSKQVALSFIKRTLDRCRKFEQTGNSCFSEPALQDIMFSVHPCSNEAKSVEGIGSGTASNTCNETSNHQAEARGSGAVCGTFERYDSPDALLAVHSTEHAVSKYGSTLNKGRKREVLIEDVVGSASSRVTPALDGTAGGLRGNRSERDSRNTSSVSGAGRTSLDGAKGDRRTKAKPKQKSGHGFNGRLSDPLLPPLANSNKMTEREARSLSPTPSNVRPKESDEPDDFANLQLNDLDPMEELGVSNDIGGSQDLSSWLNFDEDGLQDHDSIGLEIPMDDLSELKFAF >KJB21705 pep chromosome:Graimondii2_0_v6:4:693830:701716:1 gene:B456_004G009700 transcript:KJB21705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSGNNLSRGNAGLSSDMPPLPQCLPLEPISLGSQKYTRSGELSRVLGVPLRNSTSEDHSFGVSHPKPSPPVATEELKNFKESVQDTSRKARDRVKKLRESISKLERYREALSSKKRQRSDISSERTSGVSITKMGSQIHRNGHDLLTQRLEDRPKSMGLNKRVRTSVADLRADNRTAVNPRQQGTIEKDGDVPPAINGGSARIEEKIRRLPGEGWETKMKRKRSGAAVGNRVAGGDRDIKRVIQPKMSSESKLRSCDIQGFRSKSSPGVGGIRKSDGSFEVAGSDASTVLRNELESTSIPRDRAAMLEQRVVVKANTKATLQDDNQASGPSTMLKGKGSRAPRTGSIMVLDSSSKGSSHAMSQWGGQRPHKNSRTRRANLLTPTSNAEAQISNQGFATPDFGARASIGTGGSVLGSNVDNVTPRIKREPENVSSPFGFSESEESGAGDNKSKEKGIDCSEVTLPASQKAGSFLLPTRKNKMSTIEIGDGVRRQGRTGSSTPSLTKPGVPPMREKLENITTKPIQSARSASDKNRSKTGRPPSKKLKDRKATARVGLVQNNVSSDFTGESDDDRDELFAAATSARNANSLACTGPFWKKMGSMFNSVSSEDTSFLRQQLNLAEGLDESLSQMFGDGYTVLGGVVPKDAPTSVEETAKTHTSIGGFDLKQFDKVTPLCQRVLSALIEEDESEEIYNHIEAKNMSLHYASDDSHCGSCNQMDVESKDRDRMESEVESNADFQCQKNSLLDRLSYDASVASNTFRNSSMSNSLHSSERWLGEDECLHSDMGPVSEICSTDLGQVLPKEINVSAVSSLDGQYQFMSMEDKLVLELHSIGIYPETLPDLTEGEEAINQNVVELNENLYQQIQKKKKKLGKIDKAIQNGREVDRRNIEYAAMDQLVQMANKKRLACRGSNSSKSAVRKVSKQVALSFIKRTLDRCRKFEQTGNSCFSEPALQDIMFSVHPCSNEAKSVEGIGSGTASNTCNETSNHQAEARGSGAVCGTFERYDSPDALLAVHSTEHAVSKYGSTLNKGRKREVLIEDVVGSASSRVTPALDGTAGGLRGNRSERDSRNTSSVSGAGRTSLDGAKGDRRTKAKPKQKSGHGFNGRLSDPLLPPLANSNKMTEREARSLSPTPSNVRPKESDEPDDFANLQLNDLDPMEELGVSNDIGGSQDLSSWLNFDEDGLQDHDSIGLEIPMDDLSELKFAF >KJB25344 pep chromosome:Graimondii2_0_v6:4:50131875:50136040:1 gene:B456_004G186900 transcript:KJB25344 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g32500 [Source:Projected from Arabidopsis thaliana (AT1G32500) UniProtKB/TrEMBL;Acc:Q058Q9] MAALTFIHQNLLHSTPKLTTSKPNLKPKRTKTKLSIQTIRESPQASFTDQFVLQLADSLEDSLPSSSSSSLPLQKLRDNSSETLLSTQWPSRKDESFRFTDTSFIKNSDINPISHPPNSLDLLEVSRDTQFEIFNFVDGFLVDSSFSSSNLPDGVYVGSLSKLSSEGILKRVCELLGDFEWGDLFWSVNGLGAPDLMVVYVPEGCRVENPIYLKYIAVEGADKGSKKMPLSNPRVFVLVEKGGEVGIVEEFVGKVGSECYWTNSVLEVVVGEGGKVSHSYLQKQSLSAAHIKWTSVRQEKTSTYELVEVSTGGKLSRHNVHVQQLGSDTVTELTTFHLSVGDQTQDLHSRIVLDHPRGYSQQVHKCIVAHSSGQAVFDGNVKVNRYAQQTDAGQLTRSLLLEPRATVNVKPNLQIIADDVKCSHGAAISDLEDSQLFYFQARGIDLEIAREALVFSFGAEVIDKLPYSFVQKQVKDHVKALLKSRRKGSS >KJB25346 pep chromosome:Graimondii2_0_v6:4:50131877:50136033:1 gene:B456_004G186900 transcript:KJB25346 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g32500 [Source:Projected from Arabidopsis thaliana (AT1G32500) UniProtKB/TrEMBL;Acc:Q058Q9] MAALTFIHQNLLHSTPKLTTSKPNLKPKRTKTKLSIQTIRESPQASFTDQFVLQLADSLEDSLPSSSSSSLPLQKLRDNSSETLLSTQWPSRKDESFRFTDTSFIKNSDINPISHPPNSLDLLEVSRDTQFEIFNFVDGFLVDSSFSSSNLPDGVYVGSLSKLSSEGILKRVCELLGDFEWGDLFWSVNGLGAPDLMVVYVPEGCRVENPIYLKYIAVEGADKGSKKMPLSNPRVFVLVEKGGEVGIVEEFVGKVGSECYWTNSVLEVVVGEGGKVSHSYLQKQSLSAAHIKWTSVRQN >KJB25345 pep chromosome:Graimondii2_0_v6:4:50131877:50136031:1 gene:B456_004G186900 transcript:KJB25345 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g32500 [Source:Projected from Arabidopsis thaliana (AT1G32500) UniProtKB/TrEMBL;Acc:Q058Q9] MAALTFIHQNLLHSTPKLTTSKPNLKPKRTKTKLSIQTIRESPQASFTDQFVLQLADSLEDSLPSSSSSSLPLQKLRDNSSETLLSTQWPSRKDESFRFTDTSFIKNSDINPISHPPNSLDLLEVSRDTQFEIFNFVDGFLVDSSFSSSNLPDGVYVGSLSKLSSEGILKRVCELLGDFEWGDLFWSVNGLGAPDLMVVYVPEGCRVENPIYLKYIAVEGADKGSKKMPLSNPRVFVLVEKGGEVGIVEEFVGKVGSECYWTNSVLEVVVGEGGKVSHSYLQKQSLSAAHIKWTSVRQEKTSTYELVEVSTGGKLSRHNVHVQQLGSDTVTELTTFHLSVGDQTQDLHSRIVLDHPRGYSQQVHKCIVAHSSGQAVFDGNVKVNSYFYFLKCQSLLIAVCKLTKLGRSLGASCDF >KJB23766 pep chromosome:Graimondii2_0_v6:4:26429230:26432790:-1 gene:B456_004G114000 transcript:KJB23766 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F transcription factor-like E2FE [Source:Projected from Arabidopsis thaliana (AT3G48160) UniProtKB/Swiss-Prot;Acc:Q8LSZ4] MPVSSSSSLPESSSRHYTYSRKQKSLGLLCSNFLSMYNKDGIEFIGLDEAAARLGVERRRIYDIVNVLESVGVLTRKAKNKYTWKGFGAIPKALQNLKEEGFSSNFNKFDVHNIAKVSDDDDEDEEDEGFFNPITGSQTETSKSTSILKSSSVKVDNRREKSLGLLTQNFVKLFLCSSAELISLDEAAKLLLGNAHNTSVMRTKVRRLYDIANVLSSMNLIEKTHTVDTRKPAFRWLGLRGKSEKGSADALVLNESKKRVFGTDVTNVSFKKNKVDSSNDQNFNKSQQKHKKVENLAPADDISRSEDSKQASKSYQFGPFAPVNLTKISNSENDAKPVHDWESLASTYRPQYHNQALRDLFSHYMEAWKSWYSEVAGKTPIKQIS >KJB23767 pep chromosome:Graimondii2_0_v6:4:26429262:26432706:-1 gene:B456_004G114000 transcript:KJB23767 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F transcription factor-like E2FE [Source:Projected from Arabidopsis thaliana (AT3G48160) UniProtKB/Swiss-Prot;Acc:Q8LSZ4] MPVSSSSSLPESSSRHYTYSRKQKSLGLLCSNFLSMYNKDGIEFIGLDEAAARLGVERRRIYDIVNVLESVGVSDDDDEDEEDEGFFNPITGSQTETSKSTSILKSSSVKVDNRREKSLGLLTQNFVKLFLCSSAELISLDEAAKLLLGNAHNTSVMRTKVRRLYDIANVLSSMNLIEKTHTVDTRKPAFRWLGLRGKSEKGSADALVLNESKKRVFGTDVTNVSFKKNKVDSSNDQNFNKSQQKHKKVENLAPADDISRSEDSKQASKSYQFGPFAPVNLTKISNSENDAKPVHDWESLASTYRPQYHNQALRDLFSHYMEAWKSWYSEVAGKTPIKQIS >KJB26356 pep chromosome:Graimondii2_0_v6:4:57649668:57652401:-1 gene:B456_004G238000 transcript:KJB26356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFAIRSAKLLRLSVSTTRNSSLVAAGVQRTSKMWYSTGSLDDFENEEAKNEEIDEILGDKPNRREPLLQGVRPGKGWNFRGVHKAIICGKVGQAPVQKILRNGRTVTIFTVGTGGMLDLRVVRDQNTPKPVQWHRIAVHNDILGAYAVQQVTKNSQVFVEGDIETRVYNDSINGEVKHVPEICIRRDGIIRVIKPGTGVNNVSFDDLSEGLV >KJB26354 pep chromosome:Graimondii2_0_v6:4:57649998:57652304:-1 gene:B456_004G238000 transcript:KJB26354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFAIRSAKLLRLSVSTTRNSSLVAAGVQRTSKMWYSTGSLDDFENEEAKNEEIDEILGDKPNRREPLLQGVRPGKGWNFRGVHKAIICGKVGQAPVQKILRNGRTVTIFTVGTGGMLDLRVVRDQNTPKPVQWHRIAVHNDILGAYAVQQVTKNSQVFVEGDIETRVYNDSINGEVKHVPEICIRRDGRIRVIKPGTGVNNVSFDDLSEGLV >KJB26355 pep chromosome:Graimondii2_0_v6:4:57649668:57652118:-1 gene:B456_004G238000 transcript:KJB26355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYSTGSLDDFENEEAKNEEIDEILGDKPNRREPLLQGVRPGKGWNFRGVHKAIICGKVGQAPVQKILRNGRTVTIFTVGTGGMLDLRVVRDQNTPKPVQWHRIAVHNDILGAYAVQQVTKNSQVFVEGDIETRVYNDSINGEVKHVPEICIRRDGRIRVIKPGTGVNNVSFDDLSEGLV >KJB26353 pep chromosome:Graimondii2_0_v6:4:57649638:57652481:-1 gene:B456_004G238000 transcript:KJB26353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFAIRSAKLLRLSVSTTRNSSLAGVQRTSKMWYSTGSLDDFENEEAKNEEIDEILGDKPNRREPLLQGVRPGKGWNFRGVHKAIICGKVGQAPVQKILRNGRTVTIFTVGTGGMLDLRVVRDQNTPKPVQWHRIAVHNDILGAYAVQQVTKNSQVFVEGDIETRVYNDSINGEVKHVPEICIRRDGRIRVIKPGTGVNNVSFDDLSEGLV >KJB22659 pep chromosome:Graimondii2_0_v6:4:5720722:5723141:1 gene:B456_004G059300 transcript:KJB22659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRSTGGKQKAWRKKRKYELGRQPGNTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSESVTRKTRILDVVYNASNNELVRTQTLVKSAIIQVDAAPFKQWYLQHYGIDIGRKKKMASKKEATEEPEGAAEETKRSKHVARKLEKRQKDRKLDPHVEEQFGGGRLLACISSRPGQCGRADGYILEGKELEFYMKKMHKKKGKGAGAA >KJB22660 pep chromosome:Graimondii2_0_v6:4:5720844:5723022:1 gene:B456_004G059300 transcript:KJB22660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRRSTGGKQKAWRKKRKYELGRQPGNTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSESVTRKTRILDVVYNASNNELVRTQTLVKSAIIQVDAAPFKQWYLQHYGIDIGRKKKMASKKEATEEPEGAAEETKRSKHVARKLEKRQKDRKLDPHVEEQFGGGRLLACISSRPGQCGRADGYILEGKELEFYMKKMHKKKGKGAGAA >KJB22204 pep chromosome:Graimondii2_0_v6:4:2862463:2868252:-1 gene:B456_004G035000 transcript:KJB22204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSIEDDSFSKPVGRWSVYCYGVGHMLNDITSACWFTYLLLFLTEIGLSSRDAAIVMLSGQIADGLATIFFGELIDRFGHFKIWHGAGAILVAVSFSSVFGGCIPCKLLSSFSAIVETVSYSTFAAIFNVGWAATQVSHMSMVSCITLNSTSRVVLASCRNAFTMVANLSLYGIAFIVFEVIRAETRADIENQYRWIAYLSIFIGCCFVGVFYLGTKEPRSKTGIHGDIHARISWTYWFLKILYYQVAIVYMLTRLVLNVSQAYLAFYVIDDLQMAQSSKALVPAIIYICSFVVSILLQEIAWKEQRLKAYYTAGGILWIFCGIAIILLPRRMSLFVYAISIFIGIANALMTVTAVSMQNVLIGQDLNGCAFVCGSLSFLDKISCGLTLFVLQSFHSSSPIVAGNYSTGNFSITRYGLGLVPAFCSLVGVAVTWTMELRIPSYKPLTETLLE >KJB22205 pep chromosome:Graimondii2_0_v6:4:2862577:2868482:-1 gene:B456_004G035000 transcript:KJB22205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSIEDDSFSKPVGRWSVYCYGVGHMLNDITSACWFTYLLLFLTEIGLSSRDAAIVMLSGQIADGLATIFFGELIDRFGHFKIWHGAGAILVAVSFSSVFGGCIPCKLLSSFSAIVETVSYSTFAAIFNVGWAATQVSHMSMVSCITLNSTSRVVLASCRNAFTMVANLSLYGIAFIVFEVIRAETRADIENQYRWIAYLSIFIGCCFVGVFYLGTKEPRSKTGIHGDIHARISWTYWFLKILYYQVAIVYMLTRLVLNVSQAYLAFYVIDDLQMAQSSKALVPAIIYICSFVVSILLQEIAWKEQRLKAYYTAGGILWIFCGIAIILLPRRMSLFVYAISIFIGIANALMTVTAVSMQNVLIGQDLNGCAFVCGSLSFLDKISCGLTLFVLQSFHSSSPIVAGNYSTGNFSITRYGLGLVPAFCSLVGVAVTWTMELRIPSYKPLTETLLE >KJB23071 pep chromosome:Graimondii2_0_v6:4:9976473:9977630:-1 gene:B456_004G082000 transcript:KJB23071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTPIKYYLVDAFTDSAFKGNPAAVCLLEEERDKKWMQAVAAEFNISQTCYLTRIPNSTSPNTRFRLRWFTPVTEVKLCGHATLASAHTLFTNGLVNSNIIEFDTLSGILTATKVPDVSPTNVSEVQNGGVTDCFLIELNFPTVPAIDFNSAEASLVSKALNDAPLIDVKRTTPADDIFVIPQ >KJB23070 pep chromosome:Graimondii2_0_v6:4:9975142:9977630:-1 gene:B456_004G082000 transcript:KJB23070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVAAEFNISQTCYLTRIPNSTSPNTRFRLRWFTPVTEVKLCGHATLASAHTLFTNGLVNSNIIEFDTLSGILTATKVPDVSPTNVSEVQNGGVTDCFLIELNFPTVPAIDFNSAEASLVSKALNDAPLIDVKRTTPADDIFVVLPSGKSVIEMEPRFDDILKCPGRGLIVSGAAPPDSEFDFISRFFCPKYGIKEDPVCGSAHCALAPYWSQKLGKLDFVAHAASPRGGIVKIHLDEQNQRVLLRGKAVMVMEGSILV >KJB23069 pep chromosome:Graimondii2_0_v6:4:9975141:9977802:-1 gene:B456_004G082000 transcript:KJB23069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTPIKYYLVDAFTDSAFKGNPAAVCLLEEERDKKWMQAVAAEFNISQTCYLTRIPNSTSPNTRFRLRWFTPVTEVKLCGHATLASAHTLFTNGLVNSNIIEFDTLSGILTATKVPDVSPTNVSEVQNGGVTDCFLIELNFPTVPAIDFNSAEASLVSKALNDAPLIDVKRTTPADDIFVVLPSGKSVIEMEPRFDDILKCPGRGLIVSGAAPPDSEFDFISRFFCPKYGIKEDPVCGSAHCALAPYWSQKLGKLDFVAHAASPRGGIVKIHLDEQNQRVLLRGKAVMVMEGSILV >KJB22643 pep chromosome:Graimondii2_0_v6:4:5640887:5643721:1 gene:B456_004G058400 transcript:KJB22643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQKVEKPKSESPINDNEIRITSQGAIRNYINYAIALLQEKQAKEIVLKAMGQAISKTVAIAEIIKKRVPQLHQDTAISSLSITDVWDPIEEGLVPVEMTRHVSMISITLSTGELNKNSAGYQPPHFVEESKPQYHYHQQQSQKQARIPYNSVNEDNGGYSNWGRGGGRGRGWGYCGSGYERGRRGGGRGYNRGRGRMHGGRSRGGGGGY >KJB22642 pep chromosome:Graimondii2_0_v6:4:5640843:5643721:1 gene:B456_004G058400 transcript:KJB22642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQKVEKPKSESPINDNEIRITSQGAIRNYINYAIALLQEKQAKEIVLKAMGQAISKTVAIAEIIKKRVPQLHQDTAISSLSITDVWDPIEEGLVPVEMTRHVSMISITLSTGELNKNSAGYQPPHFVEESKPQYHYHQQQSQKQARIPYNSVNEDSYGRARGRGRGRGRSWGRGGYGNYQDNGGYSNWGRGGGRGRGWGYCGSGYERGRRGGGRGYNRGRGRMHGGRSRGGGGGY >KJB21702 pep chromosome:Graimondii2_0_v6:4:3134232:3137213:-1 gene:B456_004G037900 transcript:KJB21702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASMQSFQNTTKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSVEEAEAKARDLNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKQEDMVDVNLKSTNANSSQSQQEAGGCAC >KJB21701 pep chromosome:Graimondii2_0_v6:4:3133937:3137327:-1 gene:B456_004G037900 transcript:KJB21701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFQNTTKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSVEEAEAKARDLNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKQEDMVDVNLKSTNANSSQSQQEAGGCAC >KJB21703 pep chromosome:Graimondii2_0_v6:4:3135119:3137213:-1 gene:B456_004G037900 transcript:KJB21703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFQNTTKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSVEEAEAKARDLNVMFIETSAKAGFNIKVNHS >KJB24906 pep chromosome:Graimondii2_0_v6:4:46413423:46415803:1 gene:B456_004G167100 transcript:KJB24906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPSSPSPEQGIPSNTSPPPPSETLLSLPAPPTSRSTPSATATVTPNPRRLPPPCWSHDETVALIDAYRDKWYTLRRGNLKASHWQEVADAVTRRCPMATPPKTAVQCRHKMEKLRKRYRTEIQRARSMPVSRFVSSWVHFKRMDAMEKGPNVKADYNSDSPDDENGEDEEDGQDQEFYDDGYKNGSLNTRSVQKLYRNGIGNNGGSVSGDGNSGGFRIRIPTGVSIAQPGPRFYGKIDHKYGTNPNPIPNVNANSHPSKGNFGGSGSGSAYGTRILRGFEDMPEKTAASGKRERERDAVTEMVSAIKVLGDGFVRMEQMKMEMAREIETMRMEMEMKRTEVILESQQRIVEAFAKAVSERKKKPKRMPSPQS >KJB24904 pep chromosome:Graimondii2_0_v6:4:46413558:46415803:1 gene:B456_004G167100 transcript:KJB24904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPSSPSPEQGIPSNTSPPPPSETLLSLPAPPTSRSTPSATATVTPNPRRLPPPCWSHDETVALIDAYRDKWYTLRRGNLKASHWQEVADAVTRRCPMATPPKTAVQCRHKMEKLRKRYRTEIQRARSMPVSRFVSSWVHFKRMDAMEKGPNVKADYNSDSPDDENGEDEEDGQDQEFYDDGYKNGSLNTRSVQKLYRNGIGNNGGSVSGDGNSGGFRIRIPTGVSIAQPGPRFYGKIDHKYGTNPNPIPNVNANSHPSKGNFGGSGSGSAYGTRILRGFEDMPEKTAASGKRERERDAVTEMVSAIKVLGDGFVRMEQMKMEMAREIETMRMEMEMKRTEVILESQQRIVEAFAKAVSERKKKPKRMPSPQS >KJB24905 pep chromosome:Graimondii2_0_v6:4:46413558:46415803:1 gene:B456_004G167100 transcript:KJB24905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPSSPSPEQGIPSNTSPPPPSETLLSLPAPPTSRSTPSATATVTPNPRRLPPPCWSHDETVALIDAYRDKWYTLRRGNLKASHWQEVADAVTRRCPMATPPKTAVQCRHKMEKLRKRYRTEIQRARSMPVSRFVSSWVHFKRMDAMEKGPNVKADYNSDSPDDENGEDEEDGQDQEFYDDGYKNGSLNTRSVQKLYRNGIGNNGGSVSGDGNSGGFRIRIPTGVSIAQPGPRFYGKIDHKYGTNPNPIPNVNANSHPSKGNFGGSGSGSAYGTRILRGFEDMPEKTAASGKRERERDAVTEMVSAIKVLGDGFVRMEQMKMEMAREIETMRMEMEMKRTEVILESQQRIVEAFAKAVSERKKKPKRMPSPQS >KJB21700 pep chromosome:Graimondii2_0_v6:4:692066:692480:-1 gene:B456_004G009600 transcript:KJB21700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQFSSMFLKDNLTNKQSITLTTNKEKKQTDNLNGKLCLLVQGQNMKQRSLTIVLVFVFYMFLFEYLIGNYR >KJB26923 pep chromosome:Graimondii2_0_v6:4:60181566:60185629:-1 gene:B456_004G266500 transcript:KJB26923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIFSKEAQEENNNDKDIDSNSNKDSEKNNGNGDVSIAKGEKKLVIEGGLVSAGWPSWLASVAGEAIDGWLPRRVESFEKLDKVGQGTYSSVYKARDVETGKTVAMKKVRFVNMDPHSVRFMAREIIILRRLDHPNVMKLECIVTSRMSSSLYLVFEYMEHDLAGLAATPGNKFTEPQIKFYMQQLFRGLEHCHSRSVLHRDIKGSNLLVDNNGILKIADFGLGTVFQVGQKQPLTSRVVTLWYRAPELLLGDTEYGIAIDLWSTGCILAELFAGKPIMPGRTEVEQMHKIFKLCGSPSEEYWQKTKLPHATSFKPQQPYKRHVTDMFRNFPQSALSLVDKLLSMEPEDRGTAASALNSEFFTTEPFPCDPSDLPKYSPCKELDIKLRDEEARRKRTEAVKGRGPESVRRGTSDFKGVRTLEFIEEGQSKIRAGHIEDGASGFHIDTHDVTLQNCLSQSSSMIHRNAVGTWKKSVPTRNSVDLRPKPSLMPQATKASHKTQDTATNEDPTSVRALRKTRIHCSGPLMPPGGNIEDILKEHERHIQQAVRRARLEKP >KJB26922 pep chromosome:Graimondii2_0_v6:4:60182953:60185400:-1 gene:B456_004G266500 transcript:KJB26922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIFSKEAQEENNNDKDIDSNSNKDSEKNNGNGDVSIAKGEKKLVIEGGLVSAGWPSWLASVAGEAIDGWLPRRVESFEKLDKVGQGTYSSVYKARDVETGKTVAMKKVRFVNMDPHSVRFMAREIIILRRLDHPNVMKLECIVTSRMSSSLYLVFEYMEHDLAGLAATPGNKFTEPQIKFYMQQLFRGLEHCHSRSVLHRDIKGSNLLVDNNGILKIADFGLGTVFQVGQKQPLTSRVVTLWYRAPELLLGDTEYGIAIDLWSTGCILAELFAGKPIMPGRTEVEQMHKIFKLCGSPSEEYWQKTKLPHATSFKPQQPYKRHVTDMFRNFPQSALSLVDKLLSMEPEDRGTAASALNSEFFTTEPFPCDPSDLPKYSPCKELDIKLRDEEARRYFFYGLFQCYEFLF >KJB23864 pep chromosome:Graimondii2_0_v6:4:28716235:28717033:-1 gene:B456_004G118200 transcript:KJB23864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSASWSPSSPQLRLAFRCRNCREPRPLLLLDYRRPRLLSVSLSRTKELERRRNVASRIVSDSAAGADTFSGWSDSDTVEDSIGSGGRRRFGGIMGAGSAGLVLVAGLSFAAMSLSNRSTS >KJB26620 pep chromosome:Graimondii2_0_v6:4:58801386:58804021:1 gene:B456_004G250600 transcript:KJB26620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSVYNASKQQYPPTFKLFFFFLPISLAITSFLLIFIYIYTTSKLSTDPQSSPFLEPATNYSLFDLLIPGSTDYETIQFSIDNTAEDLFFDLPRTSSYAKQNQWSLGDLFGFTGNTLNNTEIYHDIDVFLEDYKEMNKSFKIFVYPHKPDDPFANVLLPEDYNPEGPYTSELYFKKALFNSHFITKDPNEAHLFYMPFSILHLRHDPRIGPEKLQDFVKDYIFNISHKYPFWNRTGGADHFYTACHSISEIAMDKTVEAKENSIQLVCTSTYFVTGYFPHKDVSLPQIWVKKHDPGTLVSSKRNRLAFFAGRVNSPVRAALFKHWVNDTEIFAHFGGLETNDGKEQLRSKFCLHVKGFEINTARVTDALHYGCVPVILANHHDLPFTDILNWKSFSVVVHYMDVPVLRKILQGISFEELSWLQSNVMKVRKHFEWNVPAVDYDAFYMTMYELWLRKSSVRV >KJB27142 pep chromosome:Graimondii2_0_v6:4:61244265:61247846:1 gene:B456_004G280400 transcript:KJB27142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRALSRRCSIQSWGSFRIRRANHDDHNNDHDDDHDDDDHKQQQQLRTIDARNTNKPMEDQPPFIGEAPKEKPQSDMDQMKERFAKLLLGEDMSGGGKGVSSALALSNAVTNLAASIFGEQGKLAPMAPERKARWRKEMDWLLSVTDHIVEFVPSQQKSKDGTNMEIMVTKQRKDLLVNIPGLRKLDTLLIDTLDNFGQEQEFWYVSKNDDPENESSRREGKWWHPKVKVPPNGLSETSRRWLLSEKEAVSQVLKAAMAINASVLSEIEVPESYIDSLPKNGRSSLGDSIYKSITVEYFDPAYFLSSMDLSTEHKVLDLKNRIEASIIIWKRKMHHKEGKSSWGSAVSIEKRELFEERVETILHLLKYRFPGLPQSSLDISKIQDNKDIGHAILESYSRIIESLAFSILSRIEDVLHADSLAQSSSSNSDDDATRLSSAETPTLLDFMGWGIDPLKRSSIDMENLFKVDSDKKASKPPVSPTPRPPIPELSDI >KJB23943 pep chromosome:Graimondii2_0_v6:4:31059049:31061659:1 gene:B456_004G122500 transcript:KJB23943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDASPRIPNYLVPPLLPPPPPSPLEPEPEPPPSSPPPTKPYQFLSSLSSLRVTSEFDSDSRVFFHKLSCKLFDNLAKLKLSFINNAKREITETQLALSSKYLSIYYDPEEQNAIFQGYYNVGPTWHFKAAIDVKAQQGELAAIAKLADPSYAVEVSSPVPNVSLPKATFRFPIGEFSLEEREEDDVPRLSINGIVKGPILYGVGAARYMDEELKLRYSYKDGTMSFIPSISLPTNRASFAFKRRFSPSDKLSYWYNLDSNYWSVVYKHTCDKDLKFKAGYDSEVRLCWASLWVGDENGKAKTAPMKMKIQFMLQVPQDDIKSTVILFRVKKRWDILLLSHATLLFLLAIVFGHLFM >KJB23942 pep chromosome:Graimondii2_0_v6:4:31058934:31062431:1 gene:B456_004G122500 transcript:KJB23942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDASPRIPNYLVPPLLPPPPPSPLEPEPEPPPSSPPPTKPYQFLSSLSSLRVTSEFDSDSRVFFHKLSCKLFDNLAKLKLSFINNAKREITETQLALSSKYLSIYYDPEEQNAIFQGYYNVGPTWHFKAAIDVKAQQGELAAIAKLADPSYAVEVSSPVPNVSLPKATFRFPIGEFSLEEREEDDVPRLSINGIVKGPILYGVGAARYMDEELKLRYSYKDGTMSFIPSISLPTNRASFAFKRRFSPSDKLSYWYNLDSNYWSVVYKHTCDKDLKFKAGYDSEVRLCWASLWVGDENGKAKTAPMKMKIQFMLQVPQDDIKSTVILFRVKKRFQFPSLINSSSGASTQDCISPSLL >KJB23819 pep chromosome:Graimondii2_0_v6:4:27979352:27979764:-1 gene:B456_004G116100 transcript:KJB23819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVQLEHENLTKFVLVTLRDRVDKGRRFLNEKKDPMTSKELNEESGKKGDLSVNFSTITPKKSNSVLHKAARLQLTSGFEITAYIPGIGHNSLEHFSDNQD >KJB22501 pep chromosome:Graimondii2_0_v6:4:4640643:4647824:1 gene:B456_004G050800 transcript:KJB22501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVLITGGVVSGLGKGVTASSIGVVLQACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKDNNVTTGKIYQSVLDKERKGDYLGKTVQVVPHITDAIKDRIEAVALIPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLSFSVGKDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAQPLLDNTKMKLSQFCHVEAANILNIHDVPNIWHIPLLLRNQNAHHSILKQLNLLSIATPPDLEAWTRRAETFDNLTDSVRIAMVGKYVGLTDSYLSVVKALLHACIACSLKPSIDWIAASDLEDDTAQSAPEAYAAAWKSLRNAECVLVPGGFGDRGVSGMILAAKYARESNVPYLGICLGMQISVIEYARSVLGLEKANSNEFDDETPDPVVIFMPEGSRTHMGSTMRLGSRRTLFQTPDCVTSKLYCNPYYVDERHRHRYEVNPDVIGVLEEAGLKFVGKDETGKRMEVLELPSHPFYVGVQFHPEFKSRPGKPSALFLGLILAARGKLEAYLTRHQNGS >KJB22502 pep chromosome:Graimondii2_0_v6:4:4642456:4647824:1 gene:B456_004G050800 transcript:KJB22502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYSYSGKDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAQPLLDNTKMKLSQFCHVEAANILNIHDVPNIWHIPLLLRNQNAHHSILKQLNLLSIATPPDLEAWTRRAETFDNLTDSVRIAMVGKYVGLTDSYLSVVKALLHACIACSLKPSIDWIAASDLEDDTAQSAPEAYAAAWKSLRNAECVLVPGGFGDRGVSGMILAAKYARESNVPYLGICLGMQISVIEYARSVLGLEKANSNEFDDETPDPVVIFMPEGSRTHMGSTMRLGSRRTLFQTPDCVTSKLYCNPYYVDERHRHRYEVNPDVIGVLEEAGLKFVGKDETGKRMEVLELPSHPFYVGVQFHPEFKSRPGKPSALFLGLILAARGKLEAYLTRHQNGS >KJB27126 pep chromosome:Graimondii2_0_v6:4:61179830:61185134:1 gene:B456_004G279500 transcript:KJB27126 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MASRVKEDERNERIIRGLLKQPENRRCINCNSLGPQYVCTNFWTFVCTTCSGIHREFTHRVKSVSMAKFTSQEVTALQEGGNQRAREIYMKEWDPQRNSGPDSSNVERLRDFIKHVYEDRRYSGERNYDKPPRGKMGDKEDVYGSRRADGYRGGSRSPPYEDTYDRRYGDRSSPGGRNDDRNSRYGYDEKRSPGYDQESRQYGDYRRSPARPEVINDWRREDRFGNGRKPDDNRVSDGDPKLEGRSPERPKESSSPPVVRPVREILGENVIPLRVSEPPKANSDRTVDGPQTQRTASSSSLGHSSGNPVEAKLETTGSLIDFDADPEPPVAPMVTQTQQTTATQSIVQPTSSTNENWASFDVSPQTNVSQTSSNVNTLDSVLSQLSVPVSVPGHLSGVPSGVGGQLPAHAANMNMAPSTAAFTGQIQTIPFGAGAPASAPVGNFSTLPPTGPLAAAPGLTHTVPVSSGSSQIGVNNAGQWPNMQHQQTHFFSTAGGQTSQQLNGASTSQPWNFAPSPHMQGPQAVSKPFQDVTSAIASQRPPTETKASGRQELPADLFTATYPTYPAPTPGWQMAPPRGMGFTMQYHTAAPLSAFPQLSRSINPFDLGGEAPRAQTQTPLSMASLQGALPNLPPASGLLRTSSRGTPSSAWMPSQSLPYASGMPSQSLPYASALPQRPYLGAQLPDNLPSSSHQAGGIGSESSYGFITTDQHVAGRFSAPATPQPFSSVGGGNPFG >KJB27131 pep chromosome:Graimondii2_0_v6:4:61180036:61185134:1 gene:B456_004G279500 transcript:KJB27131 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MASRVKEDERNERIIRGLLKQPENRRCINCNSLGPQYVCTNFWTFVCTTCSGIHREFTHRVKSVSMAKFTSQEVTALQEGGNQRAREIYMKEWDPQRNSGPDSSNVERLRDFIKHVYEDRRYSGERNYDKPPRGKMGDKEDVYGSRRADGYRGGSRSPPYEDTYDRRYGDRSSPGGRNDDRNSRYGYDEKRSPGYDQESRQYGDYRRSPARPEVINDWRREDRFGNGRKPDDNRVSDGDPKLEGRSPERPKESSSPPVVRPVREILGENVIPLRVSEPPKANSDRTVDGPQTQRTASSSSLGHSSGNPVEAKLETTGSLIDFDADPEPPVAPMVTQTQQTTATQSIVQPTSSTNENWASFDVSPQTNVSQTSSNVNTLDSVLSQLSVPVSVPGHLSGVPSGVGGQLPAHAANMNMAPSTAAFTGQIQTIPFGAGAPASAPVGNFSTLPPTGPLAAAPGLTHTVPVSSGSSQIGVNNAGQWPNMQHQQTHFFSTAGGQTSQQLNGASTSQPWNFAPSPHMQGPQAVSKPFQDVTSAIASQRPPTETKASGRQELPADLFTATYPTYPAPTPGWQMAPPRGMGFTMQYHTAAPLSAFPQLSRSINPFDLGGEAPRAQTQTPLSMASLQGALPNLPPASGLLRTSSRGTPSSAWMPSQSLPYASGMPSQSLPYASALPQSKPFSFLLLGHTWELNCPITCRRRAIKPEALAANHPTVS >KJB27129 pep chromosome:Graimondii2_0_v6:4:61180036:61183518:1 gene:B456_004G279500 transcript:KJB27129 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MASRVKEDERNERIIRGLLKQPENRRCINCNSLGPQYVCTNFWTFVCTTCSGIHREFTHRVKSVSMAKFTSQEVTALQEGGNQRAREIYMKEWDPQRNSGPDSSNVERLRDFIKHVYEDRRYSGERNYDKPPRGKMGDKEDVYGSRRADGYRGGSRSPPYEDTYDRRYGDRSSPGGRNDDRNSRYGYDEKRSPGYDQESRQYGDYRRSPARPEVINDWRREDRFGNGRKPDDNRVSDGDPKLEGRSPERPKESSSPPVVRPVREILGENVIPLRVSEPPKANSDRTVDGPQTQRTASSSSLGHSSGNPVEAKLETTGSLIDFDADPEPPVAPMVTQTQQTTATQSIVQPTSSTNENWASFDVSPQTNVSQTSSNVNTLDSVLSQLSVPVSVPGHLSGVPSGVGGQLPAHAANMNMAPSTAAFTGQIQTIPFGAGAPASAPVGNFSTLPPTGPLAAAPGLTHTVPVSSGSSQIGVNNAGQWPNMQHQQTHFFSTAGGQTSQQLNGASTSQPWNFAPSPHMQGPQAVSKPFQDVTSAIASQRPPTETKASGRQELPADLFTATYPTYPAPTPGWQMAPPRGMGFTMQYHTAAVLLHS >KJB27127 pep chromosome:Graimondii2_0_v6:4:61179920:61185134:1 gene:B456_004G279500 transcript:KJB27127 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MASRVKEDERNERIIRGLLKQPENRRCINCNSLGPQYVCTNFWTFVCTTCSGIHREFTHRVKSVSMAKFTSQEVTALQEGGNQRAREIYMKEWDPQRNSGPDSSNVERLRDFIKHVYEDRRYSGERNYDKPPRGKMGDKEDVYGSRRADGYRGGSRSPPYEDTYDRRYGDRSSPGGRNDDRNSRYGYDEKRSPGYDQESRQYGDYRRSPARPEVINDWRREDRFGNGRKPDDNRVSDGDPKLEGRSPERPKESSSPPVVRPVREILGENVIPLRVSEPPKANSDRTVDGPQTQRTASSSSLGHSSGNPVEAKLETTGSLIDFDADPEPPVAPMVTQTQQTTATQSIVQPTSSTNENWASFDVSPQTNVSQTSSNVNTLDSVLSQLSVPVSVPGHLSGVPSGVGGQLPAHAANMNMAPSTAAFTGQIQTIPFGAGAPASAPVGNFSTLPPTGPLAAAPGLTHTVPVSSGSSQIGVNNAGQWPNMQHQQTHFFSTAGGQTSQQLNGASTSQPWNFAPSPHMQGPQAVSKPFQDVTSAIASQRPPTETKASGRQELPADLFTATYPTYPAPTPGWQMAPPRGMGFTMQYHTAALSRSINPFDLGGEAPRAQTQTPLSMASLQGALPNLPPASGLLRTSSRGTPSSAWMPSQSLPYASGMPSQSLPYASALPQRPYLGAQLPDNLPSSSHQAGGIGSESSYGFITTDQHVAGRFSAPATPQPFSSVGGGNPFG >KJB27130 pep chromosome:Graimondii2_0_v6:4:61180036:61185134:1 gene:B456_004G279500 transcript:KJB27130 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MASRVKEDERNERIIRGLLKQPENRRCINCNSLGPQYVCTNFWTFVCTTCSGIHREFTHRVKSVSMAKFTSQEVTALQEGGNQRAREIYMKEWDPQRNSGPDSSNVERLRDFIKHVYEDRRYSGERNYDKPPRGKMGDKEDVYGSRRADGYRGGSRSPPYEDTYDRRYGDRSSPGGRNDDRNSRYGYDEKRSPGYDQESRQYGDYRRSPARPEVINDWRREDRFGNGRKPDDNRVSDGDPKLEGRSPERPKESSSPPVVRPVREILGENVIPLRVSEPPKANSDRTVDGPQTQQRTASSSSLGHSSGNPVEAKLETTGSLIDFDADPEPPVAPMVTQTQQTTATQSIVQPTSSTNENWASFDVSPQTNVSQTSSNVNTLDSVLSQLSVPVSVPGHLSGVPSGVGGQLPAHAANMNMAPSTAAFTGQIQTIPFGAGAPASAPVGNFSTLPPTGPLAAAPGLTHTVPVSSGSSQIGVNNAGQWPNMQHQQTHFFSTAGGQTSQQLNGASTSQPWNFAPSPHMQGPQAVSKPFQDVTSAIASQRPPTETKASGRQELPADLFTATYPTYPAPTPGWQMAPPRGMGFTMQYHTAAPLSAFPQLSRSINPFDLGGEAPRAQTQTPLSMASLQGALPNLPPASGLLRTSSRGTPSSAWMPSQSLPYASGMPSQSLPYASALPQRPYLGAQLPDNLPSSSHQAGGIGSESSYGFITTDQHVAGRFSAPATPQPFSSVGGGNPFG >KJB27128 pep chromosome:Graimondii2_0_v6:4:61180025:61185134:1 gene:B456_004G279500 transcript:KJB27128 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MASRVKEDERNERIIRGLLKQPENRRCINCNSLGPQYVCTNFWTFVCTTCSGIHREFTHRVKSVSMAKFTSQEVTALQEGGNQRAREIYMKEWDPQRNSGPDSSNVERLRDFIKHVYEDRRYSGERNYDKPPRGKMGDKEDVYGSRRADGYRGGSRSPPYEDTYDRRYGDRSSPGGRNDDRNSRYGYDEKRSPGYDQESRQYGDYRRSPARPEVINDWRREDRFGNGRKPDDNRVSDGDPKLEGRSPERPKESSSPPVVRPVREILGENVIPLRVSEPPKANSDRTVDGPQTQRTASSSSLGHSSGNPVEAKLETTGSLIDFDADPEPPVAPMVTQTQQTTATQSIVQPTSSTNENWASFDVSPQTNVSQTSSNVNTLDSVLSQLSVPVSVPGHLSGVPSGVGGQLPAHAANMNMAPSTAAFTGQIQTIPFGAGAPASAPVGNFSTLPPTGPLAAAPGLTHTVPVSSGSSQIGVNNAGQWPNMQHQQTHFFSTAGGQTSQQLNGASTSQPWNFAPSPHMQGPQAVSKPFQDVTSAIASQRPPTETKASGRQELPADLFTATYPTYPAPTPGWQMAPPRGMGFTMQYHTAAPLSAFPQLSRSINPFDLGGEAPRAQTQTGHTWELNCPITCRRRAIKPEALAANHPTVS >KJB26489 pep chromosome:Graimondii2_0_v6:4:58174571:58177252:1 gene:B456_004G244400 transcript:KJB26489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALVATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLATFVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSKSELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEHGKSISGQKFVIQGFGNVGSWAAQLIHEKGGKVVALSDITGAIKNSNGIDIPSLLKHVKENKGIKGFHGGDSIDPKSVLVEDCDILIPAALGGVINRENANEIKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANSGGVTVSYFEWVQVIN >KJB26488 pep chromosome:Graimondii2_0_v6:4:58174571:58177252:1 gene:B456_004G244400 transcript:KJB26488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALVATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLATFVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSKSELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEHGKSISGQKFVIQGFGNVGSWAAQLIHEKGGKVVALSDITGAIKNSNGIDIPSLLKHVKENKGIKGFHGGDSIDPKSVLVEDCDILIPAALGGVINRENANEIKAKFIIEAANHPTDPEADEVGHCDLKLHNYNKMKACNVFSLYKSADFVKERSHYTARHLCKLWRSHC >KJB26490 pep chromosome:Graimondii2_0_v6:4:58174571:58177328:1 gene:B456_004G244400 transcript:KJB26490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALVATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLATFVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSKSELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEHGKSISGQKFVIQGFGNVGSWAAQLIHEKGGKVVALSDITGAIKNSNGIDIPSLLKHVKENKGIKGFHGGDSIDPKSVLVEDCDILIPAALGGVINRENANEIKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNSELKTYMTKGFKDVKEMCQTHNCDLRMGAFTLGVNRVARATVLRGWEA >KJB26487 pep chromosome:Graimondii2_0_v6:4:58174571:58177252:1 gene:B456_004G244400 transcript:KJB26487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALVATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLATFVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSKSELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEHGKSISGQKFVIQGFGNVGSWAAQLIHEKGGKVVALSDITGAIKNSNGIDIPSLLKHVKENKGIKGFHGGDSIDPKSVLVEDCDILIPAALGGVINR >KJB27069 pep chromosome:Graimondii2_0_v6:4:60948196:60948439:-1 gene:B456_004G275700 transcript:KJB27069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFFSKAESNIECHLLSAVDPEPEDHHHFSLLTLGLLILTTHRLIWLPSSSVSAIPLAAISHIFLN >KJB21409 pep chromosome:Graimondii2_0_v6:4:58408217:58408734:1 gene:B456_004G2473002 transcript:KJB21409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKEESFQ >KJB21371 pep chromosome:Graimondii2_0_v6:4:6157845:6158610:-1 gene:B456_004G062000 transcript:KJB21371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKFQAFYNHPAGPKTIHFWAPTFKWALNIANVVDISTKPAETVSYPQQAALACSGLIWAKYSTVITPKNWNLFSVSIVTLATASYQISRKFQYELIHKSSAGEEC >KJB21372 pep chromosome:Graimondii2_0_v6:4:6158085:6158548:-1 gene:B456_004G062000 transcript:KJB21372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKFQAFYNHPAGPKTIHFWAPTFKWALNIANVVDISTKPAETVSYPQQAALACSGLIWAKYSTVITPVRIRLILCF >KJB26985 pep chromosome:Graimondii2_0_v6:4:60504954:60507743:-1 gene:B456_004G270100 transcript:KJB26985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDRYSIAVFMMISFLVGVLNASEGDSDLHYRSCLAECEETGCVGDQCFRHCNFPSDGVLVDGPWYMKEPLYLKWKQWECESDCRYACMTDREKEREERGEEPIKYHGKWPFKRVLGIQEPASVAFSALNLAMHLHGWLSFVNLLYYNLPLNQDKKAYYEFASLCHVYAILAMNSWFWSIVFHTREVDLTESLDYSSAVALLGYSLILSILRSFNVRDEAGRVMVAAPFLAFFTTHILYLNFYNLDYGWNVKVCAIMGVAQLLVWAIWAGVTRHPSRWKLWTVVFGSGLAMLLEFYDFPPHYGVFDAHSLWHGTTVPLTFLWWSFIRDDAEIRTLDFIKKIK >KJB26917 pep chromosome:Graimondii2_0_v6:4:60141784:60146104:1 gene:B456_004G266000 transcript:KJB26917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein WHY2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G71260) UniProtKB/Swiss-Prot;Acc:Q8VYF7] MVHSVISGNNQSNIPVNPRTYTKMLKQCRSLLSRSLQSAKWGDGFESRAAFSTSLQDFAATGNANGRVFAPYTIYKGKASLSISPVLPTFVKIDSDIGGLKVNRRGSMMLTFCPAIGERKYDWEQRQKFALSPTEVGSLISMGAHDASEFYHDPSMKSSNAGQVSKKLCIKAFDSGNGYMISLTVTNNILKSNEQFNIPVTTAEFAVLKTACSVFCIASHHGLGSVDESVT >KJB26916 pep chromosome:Graimondii2_0_v6:4:60141784:60145367:1 gene:B456_004G266000 transcript:KJB26916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein WHY2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G71260) UniProtKB/Swiss-Prot;Acc:Q8VYF7] MVHSVISGNNQSNIPVNPRTYTKMLKQCRSLLSRSLQSAKWGDGFESRAAFSTSLQDFAATGNANGRVFAPYTIYKGKASLSISPVLPTFVKIDSDIGGLKVNRRGSMMLTFCPAIGERKYDWEQRQKFALSPTEVGSLISMGAHDASEFYHDPSMKSSNAGQVSKKLCIKAFDSGNGYMISLTVTNNILKSNEQFNIPVTTAEFAVLKTACSVCYDD >KJB26918 pep chromosome:Graimondii2_0_v6:4:60141784:60146168:1 gene:B456_004G266000 transcript:KJB26918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein WHY2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G71260) UniProtKB/Swiss-Prot;Acc:Q8VYF7] MVHSVISGNNQSNIPVNPRTYTKMLKQCRSLLSRSLQSAKWGDGFESRAAFSTSLQDFAATGNANGRVFAPYTIYKGKASLSISPVLPTFVKIDSDIGGLKVNRRGSMMLTFCPAIGERKYDWEQRQKFALSPTEVGSLISMGAHDASEFYHDPSMKSSNAGQVSKKLCIKAFDSGNGYMISLTVTNNILKSNEQFNIPVTTAEFAVLKTACSFALPHIMGWDRLTNQSPKGIKGSPSKVNPKQHFDLEWDR >KJB25545 pep chromosome:Graimondii2_0_v6:4:51729563:51730684:1 gene:B456_004G196800 transcript:KJB25545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLYSFTSLLLFLTNHLSLPFSLFASSDCWLIMAPKKAGVSKRVFNKGSWTAEEDRRLAKYIEIHGAKRWKTIAIKSGLNRCGKSCRLRWLNYLRPNIKRGNISDEEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKMINHDVRTEQTSSLEQIVPYKAWETVQMEEEEVVKGSDEIENSEFSIDVDEFFDFSTEGCFGLDWVNKFLELDDQQDPLAMV >KJB26475 pep chromosome:Graimondii2_0_v6:4:58116804:58119951:1 gene:B456_004G243600 transcript:KJB26475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRRFNTVRSLYQTLEMHQSSSFLSSISYSSGFSNVSQFYGQGVTSCLYKTQTNALPWTCRNTLTLRSTMAAELLIFLNDKKSLSTQAPTQTRKVGAQISMTSPGFVYEPYALREPIPFWKRYFTRTGWRQTKEDIKSELKSAYAIAKLRKTGYSKQKFYKEAVELYKEISTLVANGDKTSLRKAVTENMFSALKNEIKQRESIWSKVYWELVEPIVKIRTLRARLIGVDKNDLNKAFIQLTLEFLTKEKFEAYDSKGAVVAGDKTKEVLFIILLYDVDPRLFPPF >KJB26476 pep chromosome:Graimondii2_0_v6:4:58118243:58120673:1 gene:B456_004G243600 transcript:KJB26476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFQLIFPYKSSICIFPQMSSNLWCIRYFTRTGWRQTKEDIKSELKSAYAIAKLRKTGYSKQKFYKEAVELYKEISTLVANGDKTSLRKAVTENMFSALKNEIKQRESIWSKVYWELVEPIVKIRTLRARLIGVDKNDLNKAFIQLTLEFLTKEKFEAYDSKGAVVAGDKTKEVLVRSIWVFEKSLFHSGAHWRLCGRIKV >KJB26474 pep chromosome:Graimondii2_0_v6:4:58116690:58120673:1 gene:B456_004G243600 transcript:KJB26474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRRFNTVRSLYQTLEMHQSSSFLSISYSSGFSNVSQFYGQGVTSCLYKTQTNALPWTCRNTLTLRSTMAAELLIFLNDKKSLSTQAPTQTRKVGAQISMTSPGFVYEPYALREPIPFWKRYFTRTGWRQTKEDIKSELKSAYAIAKLRKTGYSKQKFYKEAVELYKEISTLVANGDKTSLRKAVTENMFSALKNEIKQRESIWSKVYWELVEPIVKIRTLRARLIGVDKNDLNKAFIQLTLEFLTKEKFEAYDSKGAVVAGDKTKEVLVRSIWVFEKSLFHSGAHWRLCGRIKV >KJB26473 pep chromosome:Graimondii2_0_v6:4:58116655:58120776:1 gene:B456_004G243600 transcript:KJB26473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRRFNTVRSLYQTLEMHQSSSFLSSISYSSGFSNVSQFYGQGVTSCLYKTQTNALPWTCRNTLTLRSTMAAELLIFLNDKKSLSTQAPTQTRKVGAQISMTSPGFVYEPYALREPIPFWKRYFTRTGWRQTKEDIKSELKSAYAIAKLRKTGYSKQKFYKEAVELYKEISTLVANGDKTSLRKAVTENMFSALKNEIKQRESIWSKVYWELVEPIVKIRTLRARLIGVDKNDLNKAFIQLTLEFLTKEKFEAYDSKGAVVAGDKTKEVLVRSIWVFEKSLFHSGAHWRLCGRIKV >KJB25395 pep chromosome:Graimondii2_0_v6:4:50517724:50518661:1 gene:B456_004G189600 transcript:KJB25395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTGAAVDPRDKMRARDVNRVARGEQAPRPVHEYGTVSAPPSPQSTSPPPARKIANKDMARKVAEGEEDVAPKASHCYDSYVEYHKCIKEKGKDAPQCDKLARHFRSLCPDEWIERWDEARAVGTFPAI >KJB25592 pep chromosome:Graimondii2_0_v6:4:51930446:51932154:1 gene:B456_004G198300 transcript:KJB25592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHKCKLCARTFSNGRALGGHMKGHLANHPLPPKTTHHQLSNRTDSASSSSSSSGDDEQEKSRETLLEDKSLVYGLRENPKKSFRFADPEFSFAGDSGSVVQDRESETESRNPTRRRSKRKPKVGTANATTATDEIKKPKLLTSFPSLIDSPAEPEPLSSVSDTSPEEDVAVCLMLLSRDVWKRNNVEQRSEKPMESSKKKMNGKHRCGKCKKAFGSYNTLEEHKRVCLSSAADSKRFECPFCYRVFGSGQALGGHKRSHLLASASSSNTAAKFTKFDNNFIDLNLPAPLEDDEFSVVSDA >KJB23624 pep chromosome:Graimondii2_0_v6:4:21965278:21966386:1 gene:B456_004G107600 transcript:KJB23624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKSVLGVLCDCNIPKWKMKFRRMTIWLDLLYGKRMLANKKQLIQKVGVVEMKDRVIILDICLKVGIAPMDDKDEENCLQLFGHIRHGLGNAPDRKSKLTLHKAKG >KJB21815 pep chromosome:Graimondii2_0_v6:4:1118786:1119620:1 gene:B456_004G015400 transcript:KJB21815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSIHETIFFFQKDLRTGKLVNLPSLIAASDRTPFLPDRVAKSIPFSSSKFPEILNHFSLKPQTREAEIMKRTIRVCEREAINGEQMFCVTSLESFIDLSIATLGKDIQLLSNQLSKETNNPLFTIARGMQDMGENELICHNERYPRAVFLCHSINKTTVYKVPLLGRDGTKANALAVCHKDTSAWSPKHKAFQILKVKPGTVPICHFLARDTLAWVSN >KJB26444 pep chromosome:Graimondii2_0_v6:4:57991452:57994426:-1 gene:B456_004G241900 transcript:KJB26444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCCDENDDESTLTSPLNSFSSNPILIDSTATISPMNSHFAALTCRDTLRLIFEKLSLADLARASCVCKTWNAVASDDNMVVEAFKAPWKLLDVVGTPSSRSFWRDNGIGKFAISHRILRGETVASLAVTDIKRLNNMMSDHGIYSRERLLIPVSNPDILINGTCYIELDTYAKREVAVLYLDGKPESKPGTLLNRVTSDRGKRRVIDSLKRSMHVDDGTAQYYLSISNGDPRAALSQFSSDLRWERQTGLA >KJB26445 pep chromosome:Graimondii2_0_v6:4:57991452:57994436:-1 gene:B456_004G241900 transcript:KJB26445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCCDENDDESTLTSPLNSFSSNPILIDSTATISPMNSHFAALTCRDTLRLIFEKLSLADLARASCVCKTWNAVASDDNMVVEAFKAPWKLLDVVGTPSSRSFWRDNGIGKFAISHRILRGETVASLAVKYSSQVTDIKRLNNMMSDHGIYSRERLLIPVSNPDILINGTCYIELDTYAKREVAVLYLDGKPESKPGTLLNRVTSDRGKRRVIDSLKRSMHVDDGTAQYYLSISNGDPRAALSQFSSDLRWERQTGLA >KJB22497 pep chromosome:Graimondii2_0_v6:4:4610446:4613009:1 gene:B456_004G050500 transcript:KJB22497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLADSNVRPLEVFMCSIVRKMGYGDGFKWMSQYIN >KJB22498 pep chromosome:Graimondii2_0_v6:4:4610453:4612984:1 gene:B456_004G050500 transcript:KJB22498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLADSNVRPLETPKL >KJB22037 pep chromosome:Graimondii2_0_v6:4:2070157:2079063:-1 gene:B456_004G026600 transcript:KJB22037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKLLKSLEVVAISVLFVILQNWANAKPQVPCYFIFGDSLSDNGNNNNLSTLAKVNYSPYGIDFPVGPTGRFSNGRTVVDFIVENLGFTSSMPPFAESQGENILNGVNYASGAAGIRDETGKHLGDRISLNRQIQNHKIIILRLLRLMRNNTETRLLLNQCIYSIQIGSNDYINNYFKPEFYGTSRLFTQMQYATLLVHQLSNQLKTLYNTGARKFAVYGLSLIGCAPFAILEYGTNGSLCVDKLNNAAAHFNERLMPLVDQLNNDLPNAQFTYLAPAPDSTTFVTNGSCCTIGGGGGELCLKNSKPCSNRRRFIFWDGYHPTEAWNEKVAERAYSSESSLEANPFNIHKLAKL >KJB26621 pep chromosome:Graimondii2_0_v6:4:58944266:58945412:-1 gene:B456_004G2516001 transcript:KJB26621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLISLEPSNLVVIRVEPGQKCSGQLTLRNVMYTMPVAFRFQPRNKDRYTVKPLTGIVAPLGTVTVEIVYHLPPGSFLPDSFPCSDDSFLLHSMVVPGATIKGSMSSFDAVPNDWFTTKKKQVFVDSGIKIMFVGSPVLAQLVMDGSMDDEREVLDRSDPAWNPANSVDQHGETLLHIAIAQSRPDIVQLLLEFEPDIELRSRSGSTPLEAAAGCGEELIVELLLAHKASTKRSESSSWGPIHRAAVGGHVEVLRLLFLKGANVDALTKDGNTTLHLAVEERRKDCIRLLLANGSKPDVRNTKEGDTPLHIAAGLGDEQIVKLLLQKGANKDIRNKTGKTAYDVAAEYGHVRLFDALKLGDSLCFAARKGEVRSIQRLIEN >KJB21666 pep chromosome:Graimondii2_0_v6:4:527640:535528:1 gene:B456_004G007900 transcript:KJB21666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFPSENGAEGEDERDEEEEDDVEDEEEEEEEEEEEEPRLKYQRMGGSVPSFLSNEAASCIAVAERMIALGTYDGTIHILDFLGNQVKAFAAHSAAVNELSFDKEGEYLGSCSDDGSVVINSLFIDEKLKFEYHRPMKAIALDPDYARKSSRRFVAGGLAGHLYFNTKKWLGYKDQVLHSGEGPIHAVKWRTSLVAWANNVGVKVYDAANDQRITFIERPRCSPHPEVLLPHLVWQDDTLLVIGWGTSVKIAAIRTNLNNGANGTYRHVSMSNMNQVDIVASFQTSYFISGIAPFGDALVLLAYIPSEEDGENGFSSTIPSRQGSAQRPEVRVVTWNNDELATDALPVHGFEHYKAKDYSLAHSPFSGSSYAGGQWAAGDEPIYYIVSPKDVVIAKPRDAEDHIAWLLQHGRHEKALAAAEAGQGRSELLDEVGSKYLDHLIVERKYTEAASLCPKLLRGSATAWERWVFHFAHLRQLPALVPYIPTENPRLRDTAYEVALVALATNPAFHTDLLSTVKSWPPVIYSALPVISAIEPQLNTSSMTDALKEALAELYIINGQYEKAFSLYADLMKPDIFDFIERHNLHDSIREKVVQLMMLDCKHAVSLLIQNRDLITPSEVVSQLLSSGNKCDSRYFLHLYLHSLFEVNPHARKDYHDMQVELYAEYDPKVLLPFLRSSQHYTLEKAYEICVRRDLLKEQVFILGRMGNSKQALAVIINELGDIEEAVEFVSMQHDDDLWEELIQQCLHKPEMVGVLLEHTVGNLDPLYIVNIVPNGLEIPRLRDRLVKIITDYRTETSLRHGCNDILKADCVDLLVKYYKEARRAVYLSNEEDDTRSKRDASGASLPIEKTSSVRNTVVKSKTRGGGRCCLCFDPLSIQNVLVTVFFCCHAYHTTCLTDSTYSNSNKKGTGPTSQEPYGYDNDDDEDEEDDQAGDARMRCILCTTAAS >KJB21668 pep chromosome:Graimondii2_0_v6:4:527746:533976:1 gene:B456_004G007900 transcript:KJB21668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFPSENGAEGEDERDEEEEDDVEDEEEEEEEEEEEEPRLKYQRMGGSVPSFLSNEAASCIAVAERMIALGTYDGTIHILDFLGNQVKAFAAHSAAVNELSFDKEGEYLGSCSDDGSVVINSLFIDEKLKFEYHRPMKAIALDPDYARKSSRRFVAGGLAGHLYFNTKKWLGYKDQVLHSGEGPIHAVKWRTSLVAWANNVGVKVYDAANDQRITFIERPRCSPHPEVLLPHLVWQDDTLLVIGWGTSVKIAAIRTNLNNGANGTYRHVSMSNMNQVDIVASFQTSYFISGIAPFGDALVLLAYIPSEEDGENGFSSTIPSRQGSAQRPEVRVVTWNNDELATDALPVHGFEHYKAKDYSLAHSPFSGSSYAGGQWAAGDEPIYYIVSPKDVVIAKPRDAEDHIAWLLQHGRHEKALAAAEAGQGRSELLDEVGSKYLDHLIVERKYTEAASLCPKLLRGSATAWERWVFHFAHLRQLPALVPYIPTENPRLRDTAYEVALVALATNPAFHTDLLSTVKSWPPVIYSALPVISAIEPQLNTSSMTDALKEALAELYIINGQYEKAFSLYADLMKPDIFDFIERHNLHDSIREKVVQLMMLDCKHAVSLLIQNRDLITPSEVVSQLLSSGNKCDSRYFLHLYLHSLFEVNPHARKDYHDMQVELYAEYDPKVLLPFLRSSQHYTLEKAYEICVRRDLLKEQVFILGRMGNSKQALAVIINELGDIEEAVEFVSMQHDDDLWEELIQQCLHKPEMVVA >KJB21667 pep chromosome:Graimondii2_0_v6:4:527685:533125:1 gene:B456_004G007900 transcript:KJB21667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFPSENGAEGEDERDEEEEDDVEDEEEEEEEEEEEEPRLKYQRMGGSVPSFLSNEAASCIAVAERMIALGTYDGTIHILDFLGNQVKAFAAHSAAVNELSFDKEGEYLGSCSDDGSVVINSLFIDEKLKFEYHRPMKAIALDPDYARKSSRRFVAGGLAGHLYFNTKKWLGYKDQVLHSGEGPIHAVKWRTSLVAWANNVGVKVYDAANDQRITFIERPRCSPHPEVLLPHLVWQDDTLLVIGWGTSVKIAAIRTNLNNGANGTYRHVSMSNMNQVDIVASFQTSYFISGIAPFGDALVLLAYIPSEEDGENGFSSTIPSRQGSAQRPEVRVVTWNNDELATDALPVHGFEHYKAKDYSLAHSPFSGSSYAGGQWAAGDEPIYYIVSPKDVVIAKPRDAEDHIAWLLQHGRHEKALAAAEAGQGRSELLDEVGSKYLDHLIVERKYTEAASLCPKLLRGSATAWERWVFHFAHLRQLPALVPYIPTENPRLRDTAYEVALVALATNPAFHTDLLSTVKSWPPVIYSALPVISAIEPQLNTSSMTDALKEALAELYIINGQYEKAFSLYADLMKPDIFDFIERHNLHDSIREKVVQLMMLDCKHAVSLLIQNRDLITPSEVVSQLLSSGNKCDSRYFLHLYLHSLFEVNPHARKDYHDMQLAANNGLSGSNIHQQSSI >KJB25882 pep chromosome:Graimondii2_0_v6:4:54612149:54613753:-1 gene:B456_004G213300 transcript:KJB25882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSTALMILSAMVAYLVWFKFISRSLNGPRVWPLLGSLPGLIQNSTCMHEWIADNLRACGGTYQTCIAAIPLLARKQGLVTVTCDPKNLEHILKIRFDNYPKGPTWQAVFHDLLGDGIFNSDGDTWLFQRKTAALEFTTRTLRHAMARWVSRAIKYRFCPILESAQLQGKRIDLQDLLLRLTFDNICGLTFGKDPQTLSPGLPENGFAMAFDRATEATLQRFILPEIVWKLRKWLRLGMEVKLSQSLKHMDKYLSEIINTRKQELVSQHQSEIQHDDLLSRFMKKKESYSDEFLQHVALNFILAGRDTSSVALCWFFWLVNQNSRVEDKILIEICTILMETRGSDTSKWVDEPLVFEEVDRMIYLKAALSETLRLYPSVPQDSKHVIADDVLPNGAFIPAGSNVTYSIYSTGRMKFIWGEDCLEFKPERWLSEDGKRFETQDSYKFVSFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLTVAEGHRVEQKMSLTLFMKYGLVMDVHPRNLKPVLEKIGKAGEVIASNGNYMTN >KJB26854 pep chromosome:Graimondii2_0_v6:4:59830899:59837036:1 gene:B456_004G263000 transcript:KJB26854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRR, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47390) UniProtKB/Swiss-Prot;Acc:Q9STY4] MALSFAVVASSSSIMCKAINANNHSHLQDLSYIKRAALISDKSAGFTSPHPNFGCVIVTPSVEVVGEGYLYAQGTKPAEVLAVEAAGERCRGATAYVNMEPGDCHGDDTAVSALVQAGITRAVIGMRHPLQHLRGNAIRALRSQGLQVDVLGEDMQSKLVEEARKACLLVNLPFVHRAASRVPFSVLKYAMTLDGKIAASSGHAAWISSKLSRNRVFELRGRSDAIIVGGNTVRRDNPRLTARHGGGHMPIRIVLSQSLDLPEEANLWDLSDVSTVVVTQRGARRGFQRYLASKGVEVVEFDILNPRDVMEYFHDRGYLSILWECGGTLAASAISSGVIHKVFAFVAPKIIGGKNAPSPVGELGMVEMSQALDLIDVCFEQIGPDMLISGFLQPIPDLTPTIPSEDETFAIDPTVAPFETSIIFFYKTWDLYGAFSNFSPHPIQMPDEDGNYVTWFSVEHYYQANKFIGVSNPLAQDCIDKIKSAKSPEEAARMGRLTQRRQPHLVRSDWESVKIDVMYRALKCKFSIYPHLNSMLLSTAGSVLVEASPHDLFWGGGRDGEGLNYLGRLLMKLRSEFLGESSAASENTCIAL >KJB26855 pep chromosome:Graimondii2_0_v6:4:59831071:59836942:1 gene:B456_004G263000 transcript:KJB26855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRR, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47390) UniProtKB/Swiss-Prot;Acc:Q9STY4] MALSFAVVASSSSIMCKAINANNHSHLQDLSYIKRAALISDKSAGFTSPHPNFGCVIVTPSVEVVGEGYLYAQGTKPAEVLAVEAAGERCRGATAYVNMEPGDCHGDDTAVSALVQAGITRAVIGMRHPLQHLRGNAIRALRSQGLQVDVLGEDMQSKLVEEARKACLLVNLPFVHRAASRVPFSVLKYAMTLDGKIAASSGHAAWISSKLSRNRVFELRGRSDAIIVGGNTVRRDNPRLTARHGGGHMPIRIVLSQSLDLPEEANLWDLSDVSTVVVTQRGARRGFQRYLASKGVEVVEFDILNPRDVMEYFHDRGYLSILWECGGTLAASAISSGVIHKVFAFVAPKIIGGKNAPSPVGELGMVEMSQALDLIDVCFEQIGPDMLISGFLQPIPDLTPTIPSEDETFAIDPTVAPFETSIIFFYKTWDLYGAFSNFSPHPIQMPDEDGNYVTWFSVEHYYQVLPIIGSSITPNHVYIH >KJB26852 pep chromosome:Graimondii2_0_v6:4:59830899:59837036:1 gene:B456_004G263000 transcript:KJB26852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRR, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47390) UniProtKB/Swiss-Prot;Acc:Q9STY4] MRHPLQHLRGNAIRALRSQGLQVDVLGEDMQSKLVEEARKACLLVNLPFVHRAASRVPFSVLKYAMTLDGKIAASSGHAAWISSKLSRNRVFELRGRSDAIIVGGNTVRRDNPRLTARHGGGHMPIRIVLSQSLDLPEEANLWDLSDVSTVVVTQRGARRGFQRYLASKGVEVVEFDILNPRDVMEYFHDRGYLSILWECGGTLAASAISSGVIHKVFAFVAPKIIGGKNAPSPVGELGMVEMSQALDLIDVCFEQIGPDMLISGFLQPIPDLTPTIPSEDETFAIDPTVAPFETSIIFFYKTWDLYGAFSNFSPHPIQMPDEDGNYVTWFSVEHYYQANKFIGVSNPLAQDCIDKIKSAKSPEEAARMGRLTQRRQPHLVRSDWESVKIDVMYRALKCKFSIYPHLNSMLLSTAGSVLVEASPHDLFWGGGRDGEGLNYLGRLLMKLRSEFLGESSAASENTCIAL >KJB26853 pep chromosome:Graimondii2_0_v6:4:59830899:59837036:1 gene:B456_004G263000 transcript:KJB26853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRR, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47390) UniProtKB/Swiss-Prot;Acc:Q9STY4] MTLDGKIAASSGHAAWISSKLSRNRVFELRGRSDAIIVGGNTVRRDNPRLTARHGGGHMPIRIVLSQSLDLPEEANLWDLSDVSTVVVTQRGARRGFQRYLASKGVEVVEFDILNPRDVMEYFHDRGYLSILWECGGTLAASAISSGVIHKVFAFVAPKIIGGKNAPSPVGELGMVEMSQALDLIDVCFEQIGPDMLISGFLQPIPDLTPTIPSEDETFAIDPTVAPFETSIIFFYKTWDLYGAFSNFSPHPIQMPDEDGNYVTWFSVEHYYQANKFIGVSNPLAQDCIDKIKSAKSPEEAARMGRLTQRRQPHLVRSDWESVKIDVMYRALKCKFSIYPHLNSMLLSTAGSVLVEASPHDLFWGGGRDGEGLNYLGRLLMKLRSEFLGESSAASENTCIAL >KJB25131 pep chromosome:Graimondii2_0_v6:4:48619766:48623419:-1 gene:B456_004G178000 transcript:KJB25131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIGEEGGGIRLRKKFPDDNKPGSSAEVDYKTKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQTHAQRVRRADEVAREYSQEQEFFRQTALISKKEKEKVEMMKAVSFMYVRPPGYNAESAKAAEIADERKKTDHNNVSDDHSTDVPSTAMQPESLPGGDATTKEKRKSRPKDVFGRSLPTEEEFEVLKNAPRLETGVPGRVKPFAVEVRNVKCLRCGNYGHQSGDRDCPLKDAIMPNEESRLKRDDPLTAIMAQMDPTEPLKWELKQKPGMSPPRGGFQPDDPNQQIVAEDIFDEYGGFLSGGNIPDLLTNISCKPKKRKSSKKSKHKRNSSPSSWDSDVPNQDGLSSSSDDDEKKSKKKKTKKKRRNYSGSSSSGGAEFDKHKRQRINKHSYSSEDSDSGRQYRTKESREKRSYTSEGSKSNPEPCGKKNRHDSKKKSTRKPCTLDETDTDRHRKSQKSRQRHSNSSDENHRHDRKSKNKHSYSSEDSDCHRHEPRKKTMRKPYNSEDSVIVRHNSRREKYRLKHHYSSEDDAYRHLKGEKSRHKHSSSCGYEDYSNSDSERRSKHHRRHH >KJB25130 pep chromosome:Graimondii2_0_v6:4:48620339:48623336:-1 gene:B456_004G178000 transcript:KJB25130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIGEEGGGIRLRKKFPDDNKPGSSAEVDYKTKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQTHAQRVRRADEVAREYSQEQEFFRQTALISKKEKEKVEMMKAVSFMYVRPPGYNAESAKAAEIADERKKTDHNNVSDDHSTDVPSTAMQPESLPGGDATTKEKRKSRPKDVFGRSLPTEEEFEVLKNAPRLETGVPGRVKPFAVEVRNVKCLRCGNYGHQSGDRDCPLKDAIMPNEESRLKRDDPLTAIMAQMDPTEPLKWELKQKPGMSPPRGGFQPDDPNQQIVAEDIFDEYGGFLSGGNIPDLLTNISCKPKKRKSSKKSKHKRNSSPSSWDSDVPNQDGLSSSSDDDEKKSKKKKTKKKRRNYSGSSSSGGAEFDKHKRQRINKHSYSSEDSDSGRQYRTKESREKRSYTSEGSKSNPEPCGKKNRSKHSYSSADDFDRHYRKGKYKRSYTPEDSDSGRHDSKKKSTRKPCTLDETDTDRHRKSQKSRQRHSNSSDENHRHDRKSKNKHSYSSEDSDCHRHEPRKKTMRKPYNSEDSVIVRHNSRREKYRLKHHYSSEDDAYRHLKGEKSRHKHSSSCGYEDYSNSDSERRSKHHRRHH >KJB25129 pep chromosome:Graimondii2_0_v6:4:48619623:48623482:-1 gene:B456_004G178000 transcript:KJB25129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIGEEGGGIRLRKKFPDDNKPGSSAEVDYKTKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQTHAQRVRRADEVAREVEMMKAVSFMYVRPPGYNAESAKAAEIADERKKTDHNNVSDDHSTDVPSTAMQPESLPGGDATTKEKRKSRPKDVFGRSLPTEEEFEVLKNAPRLETGVPGRVKPFAVEVRNVKCLRCGNYGHQSGDRDCPLKDAIMPNEESRLKRDDPLTAIMAQMDPTEPLKWELKQKPGMSPPRGGFQPDDPNQQIVAEDIFDEYGGFLSGGNIPDLLTNISCKPKKRKSSKKSKHKRNSSPSSWDSDVPNQDGLSSSSDDDEKKSKKKKTKKKRRNYSGSSSSGGAEFDKHKRQRINKHSYSSEDSDSGRQYRTKESREKRSYTSEGSKSNPEPCGKKNRSKHSYSSADDFDRHYRKGKYKRSYTPEDSDSGRHDSKKKSTRKPCTLDETDTDRHRKSQKSRQRHSNSSDENHRHDRKSKNKHSYSSEDSDCHRHEPRKKTMRKPYNSEDSVIVRHNSRREKYRLKHHYSSEDDAYRHLKGEKSRHKHSSSCGYEDYSNSDSERRSKHHRRHH >KJB25553 pep chromosome:Graimondii2_0_v6:4:51760137:51764033:1 gene:B456_004G197200 transcript:KJB25553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFLNLSKLFSATRSVVRVPDLDPKFKIGILASKQDHCLVDLLHRWQEGRLPVEITCVISNHERGPNTHVIRFLERNNIPYHYLNTTKENKREGEMLELVLDTDFLVLARYMQILSGNFLRTYGKDVINIHHGLLPSFKGGNPSKQAFDAGVKLIGATSHFVTEELDSGPIIEQMVERVSHRDNLESFVQKSENLERQCLAKAMRSYCELRVLPYEENRTVVF >KJB25551 pep chromosome:Graimondii2_0_v6:4:51759563:51764033:1 gene:B456_004G197200 transcript:KJB25551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRRISSSIPHYFGFAERSFKSYKLPGGPSSSSSLTHGIHVFHCPDQVGIVAKLSERIASRGGNILGADIFVPEKKNVFYSRNEFLFDPVKWPREQMDEDFLNLSKLFSATRSVVRVPDLDPKFKIGILASKQDHCLVDLLHRWQEGRLPVEITCVISNHERGPNTHVIRFLERNNIPYHYLNTTKENKREGEMLELVLDTDFLVLARFYLVIF >KJB25550 pep chromosome:Graimondii2_0_v6:4:51759563:51764033:1 gene:B456_004G197200 transcript:KJB25550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRRISSSIPHYFGFAERSFKSYKLPGGPSSSSSLTHGIHVFHCPDQVGIVAKLSERIASRGGNILGADIFVPEKKNVFYSRNEFLFDPVKWPREQMDEDFLNLSKLFSATRSVVRVPDLDPKFKIGILASKQDHCLVDLLHRWQEGRLPVEITCVISNHERGPNTHVIRFLERNNIPYHYLNTTKENKREGEMLELVLDTDFLVLARFYLVIF >KJB25552 pep chromosome:Graimondii2_0_v6:4:51759563:51764055:1 gene:B456_004G197200 transcript:KJB25552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRRISSSIPHYFGFAERSFKSYKLPGGPSSSSSLTHGIHVFHCPDQVGIVAKLSERIASRGGNILGADIFVPEKKNVFYSRNEFLFDPVKWPREQMDEDFLNLSKLFSATRSVVRVPDLDPKFKIGILASKQDHCLVDLLHRWQEGRLPVEITCVISNHERGPNTHVIRFLERNNIPYHYLNTTKENKREGEMLELVLDTDFLVLARYMQILSGNFLRTYGKDVINIHHGLLPSFKGGNPSKQAFDAGVKLIGATSHFVTEELDSGPIIEQMVERVSHRDNLESFVQKSENLERQCLAKAMRSYCELRVLPYEENRTVVF >KJB25549 pep chromosome:Graimondii2_0_v6:4:51759563:51763169:1 gene:B456_004G197200 transcript:KJB25549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRRISSSIPHYFGFAERSFKSYKLPGGPSSSSSLTHGIHVFHCPDQVGIVAKLSERIASRGGNILGADIFVPEKKNVFYSRNEFLFDPVKWPREQMDEDFLNLSKLFSATRSVVRVPDLDPKFKIGILASKQDHCLVDLLHRWQEGRLPVEITCVISNHERGPNTHVIRFLERNNIPYHYLNTTKENKREGEMLELVLDTDFLVLARYMQILSGNFLRTYGKDVINIHHGLLPSFKGGNPSKQAFDAGVKLIGATSHFVTEELDSGPIIEQMETGAS >KJB24190 pep chromosome:Graimondii2_0_v6:4:35891302:35892292:1 gene:B456_004G132100 transcript:KJB24190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCSQDLVWNGIRREKLRMKCGSFYIAKDRASKPQGDDSHFIYGVGGWSKHGVDAGLYAAELINNSLLATLTQPLTQVDPMKVLDEAFSKTKAQGSSTACIITLQEGNMLHAVNVGDSRFMVIRRGEIIYKSPIQLHSFNFPYQLGNSANCAKPRQAQVIKVRVEAGDVIIAGTDGLFDNLFELQILATASKGIEQDLGAEEMVWETAQLAYQTSRDKAAVTPFMEASKRGGRFRDGGKGDDITVIVSRILGA >KJB26368 pep chromosome:Graimondii2_0_v6:4:57703644:57706788:1 gene:B456_004G238400 transcript:KJB26368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHFSSMFNGLARSFSMRRGKNSSNGDGREAAETMAKDAKKHDMILRSSGFVNVDGSNNLASVCSKRGRKGVNQDCAIVWEGFGSQADMLFCGIFDGHGPWGHFVAKKVRESMPSSLLCNWQETLAQTSLDPDLESDKKHQRFHIWKHSYLKTCAAVDHELEQYRKIDSFYSGTTALTIVRQGDIIYVANVGDSRAVLATNSDDGNLVPVQLTVDFKPNLPQESERIIQCKGRVFCMHDEPGVHRVWLPNEESPGLAMSRAFGDYCIKDYGLISVPEVTHRHITNKDQFVVLATDGVWDVVSNQEAIQIVSSTPDKAKAAKRLVEFAACAWKKKRKGIAMDDISAICLFFHSSHHEVNLVTTSK >KJB26366 pep chromosome:Graimondii2_0_v6:4:57703725:57706713:1 gene:B456_004G238400 transcript:KJB26366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHFSSMFNGLARSFSMRRGKNSSNGDGREAAETMAKDAKKHDMILRSSGFVNVDGSNNLASVCSKRGRKGVNQDCAIVWEGFGSQADMLFCGIFDGHGPWGHFVAKKVRESMPSSLLCNWQETLAQTSLDPDLESDKKHQRFHIWKHSYLKTCAAVDHELEQYRKIDSFYSGTTALTIVRQGDIIYVANVGDSRAVLATNSDDGNLVPVQLTVDFKPNLPQESERIIQCKGRVFCMHDEPGVHRVWLPNEESPGLAMSRAFGDYCIKDYGLISVPEVTHRHITNKDQFVVLATDGVWDVVSNQEAIQIVSSTPDKAKAAKRLVEFAACAWKKKRKGIAMDDISAICLFFHSSHHEVNLVTTSK >KJB26367 pep chromosome:Graimondii2_0_v6:4:57704425:57706788:1 gene:B456_004G238400 transcript:KJB26367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCGIFDGHGPWGHFVAKKVRESMPSSLLCNWQETLAQTSLDPDLESDKKHQRFHIWKHSYLKTCAAVDHELEQYRKIDSFYSGTTALTIVRQGDIIYVANVGDSRAVLATNSDDGNLVPVQLTVDFKPNLPQESERIIQCKGRVFCMHDEPGVHRVWLPNEESPGLAMSRAFGDYCIKDYGLISVPEVTHRHITNKDQFVVLATDGVWDVVSNQEAIQIVSSTPDKAKAAKRLVEFAACAWKKKRKGIAMDDISAICLFFHSSHHEVNLVTTSK >KJB26965 pep chromosome:Graimondii2_0_v6:4:60395897:60396817:-1 gene:B456_004G269000 transcript:KJB26965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTVPKKITLRTADNHEFEVDEAVAMELGTVKTFFDENPDATDEPIPLPNVSSKCLSTIIEYCKFHLSLRARGNDSSSAEEEGKVYDEELIKAHDNESLVELILAVNYLNIKDMLDTLNQGVADRIKNKSVEYVRRFFGIENDYTPEEEAEIRAQYEWAFEGVDPDDD >KJB25311 pep chromosome:Graimondii2_0_v6:4:49985712:49986797:1 gene:B456_004G185500 transcript:KJB25311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAHIHDHINPSYPVSSNLVKEKQKKKNLLSLYIFSFFGISKGSSKPRNFQNLKVVIQSKLPLLSSLVPLKVSLSDLIFYENIHGSLLIGCCDLEVCCECEL >KJB26014 pep chromosome:Graimondii2_0_v6:4:55579426:55582922:-1 gene:B456_004G221300 transcript:KJB26014 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g28530 [Source:Projected from Arabidopsis thaliana (AT4G28530) UniProtKB/TrEMBL;Acc:Q8GUJ6] MGLRDIGATLPPGFRFYPSDEELVCHYLFKKVANEEILKGTLVDIDLHTCEPWQLPEVAKLNASEWYFFSFRDRKYATGFRTNRATASGYWKATGKDRTVIDPRTQEVVGMRKTLVFHKNRAPNGIKTGWIMHEFRLETPHMPPKEDWVLCRVFHKNKGDNINNNPTTMLETSSSRAFSLRDQTSLHYGHQLQQIATSLSFPQPHPSHGQSLLSLLQQNNNNNPYTNEVISSKVEDEFDFFWDINTVENNLEDIKFDNNEDDDNVGFL >KJB24264 pep chromosome:Graimondii2_0_v6:4:37425764:37429128:-1 gene:B456_004G135900 transcript:KJB24264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSADMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >KJB24263 pep chromosome:Graimondii2_0_v6:4:37426432:37428104:-1 gene:B456_004G135900 transcript:KJB24263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >KJB24265 pep chromosome:Graimondii2_0_v6:4:37425764:37429128:-1 gene:B456_004G135900 transcript:KJB24265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >KJB24262 pep chromosome:Graimondii2_0_v6:4:37425761:37429128:-1 gene:B456_004G135900 transcript:KJB24262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >KJB24266 pep chromosome:Graimondii2_0_v6:4:37425764:37429194:-1 gene:B456_004G135900 transcript:KJB24266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >KJB26892 pep chromosome:Graimondii2_0_v6:4:60066987:60077032:-1 gene:B456_004G265000 transcript:KJB26892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLSRFFYRRPPDGLLEFVERVYVFDSCFSTEVLPDGMYQVYLHEIVTELHEEFPESSFLAFNFREGEKPSDFAEILCQYDVTVLDYPRQYEGCPLLPLSLIQHFLRVCESWLSLGNHQNVVLLHCERGGWPLLAFLLASFLIFKKLHSGERKTLEIVHREAPKGFLQLLSPLNPFPSQLRYLQYVARRNIAPEWPPPERALSLDCIILRAIPSFDAQNGCRPIIRIFGRNLHNRDGLSTQMLFSMSKKRKMLRHYRQADCDVIKIDIQCSVQGDVVLECVHLDLDPEREVMMFRVMFNTAFIRSNILMLNSENLDILWDSKERFPKGFRAEVLFGKVENSTPPKAPITVLNGEEKGGLPIEAFSRVQELFSGVEWVDNSDDAALWLLKQLSVLSDVKELSRLQNKGNLYASPVDSEEENNASSTADSSDEAFDVINKPLGDPARFLPPDVDKVPLHSESDSLQDLDHASVEAVQVVSCSGMPSHSSPLESSAPLVPTPPAPPPVPSKSLSSGFAPPGPPPPPPPPPPPPPPLHLPSSVNIITSKPSAPPPPPPPPPPPLPGLSERNPAISLPATTKRGPPPPAPPPPLSFSGRAFSTSPESTNNGPPPPPPPPPPFNSSQSPSPPLPPPLPPPLHPPSFSSKSKMQEPNQPPPPPPPPPMVVSTASSSHKGSALSARFYSSSTPPPKAPPAPPPPPLPKAPPAPPPPPPPKSSSTPSTKALQRPSTPSTRKAPTAPPPPPPPKGSAVPPPPPPLKGHAVPPPPPPPKGPAVPPPPPGARLPGAKVSSVPPPPPASSGRGKALGQTGHGRGRGIGVSNTPKKNSLKPLHWVKVTRAMQGSLWADSQKQDNQSRAPEIDMSELESLFSAASASDGSSAKLGGRRGSNINKPEKVQLVELRRAYNCEIMLTKIKIPLPDMINAVLALDSSALDIDQVENLIKFCPTKEDMELLKNYTGDKAMLGKCEQFFLELMKVPRVESKLRVFAFRITFSSQVDDLRSNLSIINNATREVKESAKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLSEKMPELLDFDKDLVHLEAASKIQLKNLAEEMQAVSKGLEKVEQELTASDNDGDISLGFQKVLKNFLDTAEAEVRSLISLYSEVGRSADSLSQYFGEDPARCPFEQVTQILVVFVKMFKKSREENEKLADAEKKKLEKEAIKERAAANVTVKKDGTDTNEPNLTSHILNSHILKHAP >KJB26893 pep chromosome:Graimondii2_0_v6:4:60066987:60077195:-1 gene:B456_004G265000 transcript:KJB26893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLSRFFYRRPPDGLLEFVERVYVFDSCFSTEVLPDGMYQVYLHEIVTELHEEFPESSFLAFNFREGEKPSDFAEILCQYDVTVLDYPRQYEGCPLLPLSLIQHFLRVCESWLSLGNHQNVVLLHCERGGWPLLAFLLASFLIFKKLHSGERKTLEIVHREAPKGFLQLLSPLNPFPSQLRYLQYVARRNIAPEWPPPERALSLDCIILRAIPSFDAQNGCRPIIRIFGRNLHNRDGLSTQMLFSMSKKRKMLRHYRQADCDVIKIDIQCSVQGDVVLECVHLDLDPEREVMMFRVMFNTAFIRSNILMLNSENLDILWDSKERFPKGFRAEVLFGKVENSTPPKAPITVLNGEEKGGLPIEAFSRVQELFSGVEWVDNSDDAALWLLKQLSVLSDVKELSRLQNKGNLYASPVDSEEENNASSTADSSDEAFDVINKPLGDPARFLPPDVDKVPLHSESDSLQDLDHASVEAVQVVSCSGMPSHSSPLESSAPLVPTPPAPPPVPSKSLSSGFAPPGPPPPPPPPPPPPPPLHLPSSVNIITSKPSAPPPPPPPPPPPLPGLSERNPAISLPATTKRGPPPPAPPPPLSFSGRAFSTSPESTNNGPPPPPPPPPPFNSSQSPSPPLPPPLPPPLHPPSFSSKSKMQEPNQPPPPPPPPPMVVSTASSSHKGSALSARFYSSSTPPPKAPPAPPPPPLPKAPPAPPPPPPPKSSSTPSTKALQRPSTPSTRKAPTAPPPPPPPKGSAVPPPPPPLKGHAVPPPPPPPKGPAVPPPPPGARLPGAKVSSVPPPPPASSGRGKALGQTGHGRGRGIGVSNTPKKNSLKPLHWVKVTRAMQGSLWADSQKQDNQSRAPEIDMSELESLFSAASASDGSSAKLGGRRGSNINKPEKVQLVELRRAYNCEIMLTKIKIPLPDMINAVLALDSSALDIDQVENLIKFCPTKEDMELLKNYTGDKAMLGKCEQFFLELMKVPRVESKLRVFAFRITFSSQVDDLRSNLSIINNATREVKESAKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLSEKMPELLDFDKDLVHLEAASKIQLKNLAEEMQAVSKGLEKVEQELTASDNDGDISLGFQKVLKNFLDTAEAEVRSLISLYSEVGRSADSLSQYFGEDPARCPFEQVTQILVVFVKMFKKSREENEKLADAEKKKLEKEAIKERAAANVTVKKDGTDTNEPNLTSHILNSHILKHAP >KJB26891 pep chromosome:Graimondii2_0_v6:4:60066987:60076814:-1 gene:B456_004G265000 transcript:KJB26891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLSRFFYRRPPDGLLEFVERVYVFDSCFSTEVLPDGMYQVYLHEIVTELHEEFPESSFLAFNFREGEKPSDFAEILCQYDVTVLDYPRQYEGCPLLPLSLIQHFLRVCESWLSLGNHQNVVLLHCERGGWPLLAFLLASFLIFKKLHSGERKTLEIVHREAPKGFLQLLSPLNPFPSQLRYLQYVARRNIAPEWPPPERALSLDCIILRAIPSFDAQNGCRPIIRIFGRNLHNRDGLSTQMLFSMSKKRKMLRHYRQADCDVIKIDIQCSVQGDVVLECVHLDLDPEREVMMFRVMFNTAFIRSNILMLNSENLDILWDSKERFPKGFRAEVLFGKVENSTPPKAPITVLNGEEKGGLPIEAFSRVQELFSGVEWVDNSDDAALWLLKQLSVLSDVKELSRLQNKGNLYASPVDSEEENNASSTADSSDEAFDVINKPLGDPARFLPPDVDKVPLHSESDSLQDLDHASVEAVQVVSCSGMPSHSSPLESSAPLVPTPPAPPPVPSKSLSSGFAPPGPPPPPPPPPPPPPPLHLPSSVNIITSKPSAPPPPPPPPPPPLPGLSERNPAISLPATTKRGPPPPAPPPPLSFSGRAFSTSPESTNNGPPPPPPPPPPFNSSQSPSPPLPPPLPPPLHPPSFSSKSKMQEPNQPPPPPPPPPMVVSTASSSHKGSALSARFYSSSTPPPKAPPAPPPPPLPKAPPAPPPPPPPKSSSTPSTKALQRPSTPSTRKAPTAPPPPPPPKGSAVPPPPPPLKGHAVPPPPPPPKGPAVPPPPPGARLPGAKVSSVPPPPPASSGRGKALGQTGHGRGRGIGVSNTPKKNSLKPLHWVKVTRAMQGSLWADSQKQDNQSRAPEIDMSELESLFSAASASDGSSAKLGGRRGSNINKPEKVQLVELRRAYNCEIMLTKIKIPLPDMINAVLALDSSALDIDQVENLIKFCPTKEDMELLKNYTGDKAMLGKCEQFFLELMKVPRVESKLRVFAFRITFSSQVDDLRSNLSIINNATREVKESAKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLSEKMPELLDFDKDLVHLEAASKIQLKNLAEEMQAVSKGLEKVEQELTASDNDGDISLGFQKVLKNFLDTAEAEVRSLISLYSEVVKVRIHYPNTSERILHDVLLNK >KJB27198 pep chromosome:Graimondii2_0_v6:4:61544592:61548069:-1 gene:B456_004G284300 transcript:KJB27198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRNGFSDSNEISNNNSTTCCIVPAAATTTSETLVSSEPLNTPDVAALQLLSKNLESLYESTDSDYFYSDAKIALSSGREVAVHRCILSARSSVFKTVFSGLKDSGAKFELKELARDYEIGYDSLVAVLAYLYTGKVKSLPKGVCLCVDDGCSHVGCRPALDFIAEVLYAAFVFQVPELIALYQRHLLDIIDWVAVDDILVVLYIANMCGNVCEKLVSKCVEIVVKSDVDIVTLDKALPQPIVKQIIDSRLELSLDKPENVGFPDKHVRRIHRALESDDVELVRMLLKEGHTNLDEAYALHYAVAYCDAKTTTELLDLGLADVNHRNSRGYTVLHVAAMRKEPKIIVSLLTKGARPSDLTIDGRKALQISKRLTRAADYYKSTEEGKASPKDRLCIEILEQAERRDPLHGEASLSLAIAGDDLRMKLLYLENRVGLAKLLFPMEAKVVMDIAQVDGTSEFTFATINSNKLNGAQTTVDLNEAPFRIQEEHLNRLKALSRTVELGKRFFPRCSEVLNKIMDADDLSQLACGGNDTAEERVVKRQRYMELQDVLSKAFHEDKEEFDRSAISSSSSSKSIVVTRPKGTAHC >KJB22803 pep chromosome:Graimondii2_0_v6:4:6821839:6824518:1 gene:B456_004G066400 transcript:KJB22803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLKTLCLPLVITFLSLSSYCFGLGRYREEDPFHVDFRRGRAYHLPTSYRHPYFRTFGVRGQPNYHNSYYKNEIQSRLDRASGAATPKVVNVDDFGAKANGRDDSQAFKKAWNYACSSSQGAIMVVPKKKIYRLKPINFSGPCKSPLLLKIYGTLEATEDHSDYQDNGRRWLYFDNVENLRVEGGGIIDGNGKTWWEKSCKVNKALPCKEAPTAVTFNECTNLRVSSLRIKDAQQMHVIFQKCVNVKAFNLLVTAPGNSPNTDGIHVTGTQNIYINNCVIGTGDDCISIVSGSKNVRATGITCGPGHGISIGSLGAGNSAAYVSNVMINKATLSGTDNGVRIKTWQGGSGYAKNIKFQNIVMYNVSNPIIIDQNYCDQENPCRKQTSAVQVSNVLYQNIRGTSASNVAMKFDCSQSVPCRQIYLQNVALKAEETEQASSSCANVILSYRGDVSPPCSSRS >KJB21386 pep chromosome:Graimondii2_0_v6:4:21202292:21203227:-1 gene:B456_004G104900 transcript:KJB21386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFRFTALMIALLVTMSAVVSESRVARKDLGLDLGGIGLGVGAGIGLGLGGGSGSGSGAGAGSGSGSGGSSSSSSSSSASSSSSSGGSGAGSYAGSRAGSGAGSEAGSSAGSYAGSRAGSGRGRGRGNGN >KJB21390 pep chromosome:Graimondii2_0_v6:4:52172829:52173869:1 gene:B456_004G199800 transcript:KJB21390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRSASVALFFALNILFFSLVSANCSCPSPKPKPNPTPSPTPAAEGKCPINTLKLGVCAEVLGLVNVTVGSPPVQPCCTLLKGLADLEAAVCLCTAIKGNILGINLNIPVSLSLLLNVCSKKVPSGFQCP >KJB22066 pep chromosome:Graimondii2_0_v6:4:2306424:2307480:-1 gene:B456_004G029200 transcript:KJB22066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQRYSSAVIKNFLARQTATKNLLATNDAVTKNKAYCDVFINHRGIDTKRTLATLLYDHLSRQKLKPFLDNKNMKPGDKLFDHIDNAIRNCKVGIAVFSPNYCKSYFCLHELALFAESKKKVIPIFCDVKPSQLSVTDNGNVPKKDLLRFESALEEAKCTVGLTFDSLEGNWLDVVNSASEIVMESLIEMKSINFH >KJB22356 pep chromosome:Graimondii2_0_v6:4:4079994:4080187:1 gene:B456_004G0462001 transcript:KJB22356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSGQVKLHQIVFPFKIFYTFYLKGIGELPEELLCKPVDPHPAVEAVPAAKACEPHATIANFTN >KJB25777 pep chromosome:Graimondii2_0_v6:4:54150368:54152491:1 gene:B456_004G208700 transcript:KJB25777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEDVNRCQIQEWYPKFKSVSIRTFIHELPESFVQYLLDDSGPFLLPASISNEDAFPNRIHNPEEEEDYQVSEGSGDEAEALSAPCFPELELKIKESIETLGGAIFPKLNWSAPKDSAWISTSGTLRCTTFSEIALLLRSSDSLIHDLCHAYDSCSDKTMSRPPKFFLALRKWYPRFQPEMEFRCFVKGQKLVGISQREVTTFYPVLCEKKNKVEVLIEEFFNDNVRVKFESDDYTFDVYVTEDERVKVLDFNPWGAFTLPLLFTWEELEQKAREGDDLELRIVESRCGVRPGLKTAVPYDYLDVSAGSGWDQFLRNASEELQQQTKSPEAGA >KJB25776 pep chromosome:Graimondii2_0_v6:4:54150521:54152481:1 gene:B456_004G208700 transcript:KJB25776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEDVNRCQIQEWYPKFKSVSIRTFIHELPESFVQYLLDDSGPFLLPASISNEDAFPNRIHNPEEEEDYQVSEGSGDEAEALSAPCFPELELKIKESIETLGGAIFPKLNWSAPKDSAWISTSGTLRCTTFSEIALLLRSSDSLIHDLCHAYDSCSDKTMSRPPKFFLALRKWYPRFQPEMEFRCFVKGQKLVGISQREVTTFYPVLCEKKNKVEVLIEEFFNDNVRVKFESDDYTFDVYVTEDERVKVLDFNPWGAFTLPLLFTWEELEQKAREGDDLELRIVESRCGVRPGLKTAVPYDYLDVSAGSGWDQFLRNASEELQQQTKSPEAGA >KJB26771 pep chromosome:Graimondii2_0_v6:4:59467048:59477705:-1 gene:B456_004G258800 transcript:KJB26771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKLKDLSQPIDVPLLDATVAAFYGTGSKEERAQADQILRHLQNNPDMWLQVVHILQQTKSLNTKFFALQVLEGVIKYRWNALPAEQRDGMKNYISEVIVQLSSNEASFRAERLYVNKLNIILVQILKHDWPARWRSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESTLLETLLKLFPAPSYQNLTLQCLTEVAALNFGDYYNVQYVKMYNIFMVQLQSILPPTTNIPEAYAQGSSEEQAFIQNLALFFTSFYKFHIRVLETAQDNISMLLMGLEYLINISYVDDTEVFKVCLDYWNSLVLELFDAHHNMDNPAVTANMMGLQVPLLPGMVDGLGAQLLQRRQLYAGTMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEDQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGENEPFVSELLSALATTVADLEPHQIHTFYESVGHMIQAESDPLKRDEYLQRLMALPNQKWGEIIGQARQSVDVLKDQDVIRTVLNILQTNTSVASSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPFASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIDDVPRIFEAVFQCTLEVSLLFIYLFHA >KJB26769 pep chromosome:Graimondii2_0_v6:4:59464535:59477877:-1 gene:B456_004G258800 transcript:KJB26769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKLKDLSQPIDVPLLDATVAAFYGTGSKEERAQADQILRHLQNNPDMWLQVVHILQQTKSLNTKFFALQVLEGVIKYRWNALPAEQRDGMKNYISEVIVQLSSNEASFRAERLYVNKLNIILVQILKHDWPARWRSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESTLLETLLKLFPAPSYQNLTLQCLTEVAALNFGDYYNVQYVKMYNIFMVQLQSILPPTTNIPEAYAQGSSEEQAFIQNLALFFTSFYKFHIRVLETAQDNISMLLMGLEYLINISYVDDTEVFKVCLDYWNSLVLELFDAHHNMDNPAVTANMMGLQVPLLPGMVDGLGAQLLQRRQLYAGTMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEDQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGENEPFVSELLSALATTVADLEPHQIHTFYESVGHMIQAESDPLKRDEYLQRLMALPNQKWGEIIGQARQSVDVLKDQDVIRTVLNILQTNTSVASSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPFASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFHALIQLSSQQLKLVMDSIVWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLILQQLFCLVESSLLTEPLWDAATVPYQYPNNRMFVREYTIKLLSTSFPNMTATEVTQLVNGLFESRNDLSTFKNHIRDFLVQSKEFSAQDNKDLYAEEAALQRERERQRMLSIPGLIAPNEIQDEMLDS >KJB26770 pep chromosome:Graimondii2_0_v6:4:59464875:59477542:-1 gene:B456_004G258800 transcript:KJB26770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKLKDLSQPIDVPLLDATVAAFYGTGSKEERAQADQILRHLQNNPDMWLQVVHILQQTKSLNTKFFALQVLEGVIKYRWNALPAEQRDGMKNYISEVIVQLSSNEASFRAERLYVNKLNIILVQILKHDWPARWRSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESTLLETLLKLFPAPSYQNLTLQCLTEVAALNFGDYYNVQYVKMYNIFMVQLQSILPPTTNIPEAYAQGSSEEQAFIQNLALFFTSFYKFHIRVLETAQDNISMLLMGLEYLINISYVDDTEVFKVCLDYWNSLVLELFDAHHNMDNPAVTANMMGLQVPLLPGMVDGLGAQLLQRRQLYAGTMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEDQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGENEPFVSELLSALATTVADLEPHQIHTFYESVGHMIQAESDPLKRDEYLQRLMALPNQKWGEIIGQARQSVDVLKDQDVIRTVLNILQTNTSVASSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPFASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFHALIQLSSQVQLKLVMDSIVWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLILQQLFCLVESSLLTEPLWDAATVPYQYPNNRMFVREYTIKLLSTSFPNMTATEVTQLVNGLFESRNDLSTFKNHIRDFLVQSKEFSAQDNKDLYAEEAALQRERERQRMLSIPGLIAPNEIQDEMLDS >KJB26768 pep chromosome:Graimondii2_0_v6:4:59464675:59477705:-1 gene:B456_004G258800 transcript:KJB26768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKLKDLSQPIDVPLLDATVAAFYGTGSKEERAQADQILRHLQNNPDMWLQVVHILQQTKSLNTKFFALQVLEGVIKYRWNALPAEQRDGMKNYISEVIVQLSSNEASFRAERLYVNKLNIILVQILKHDWPARWRSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESTLLETLLKLFPAPSYQNLTLQCLTEVAALNFGDYYNVQYVKMYNIFMVQLQSILPPTTNIPEAYAQGSSEEQAFIQNLALFFTSFYKFHIRVLETAQDNISMLLMGLEYLINISYVDDTEVFKVCLDYWNSLVLELFDAHHNMDNPAVTANMMGLQVPLLPGMVDGLGAQLLQRRQLYAGTMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEDQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGENEPFVSELLSALATTVADLEPHQIHTFYESVGHMIQAESDPLKRDEYLQRLMALPNQKWGEIIGQARQSVDVLKDQDVIRTVLNILQTNTSVASSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPFASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFHALIQLSSQQLKLVMDSIVWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLILQQLFCLVESSLLTEPLWDAATVPYQYPNNRMFVREYTIKLLSTSFPNMTATEVTQLVNGLFESRNDLSTFKNHIRDFLVQSKEFSAQDNKDLYAEEAALQRERERQRMLSIPGLIAPNEIQDEMLDS >KJB26987 pep chromosome:Graimondii2_0_v6:4:60531311:60532620:1 gene:B456_004G270300 transcript:KJB26987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVRGTTPTVDEDVPLPGFRFHPTDEELVGFYLRRKVDNKPLTIELIKEIDIYKYDPSDLPKTGMVGENELYFFCRRGRKYRNSVRPNRVTESGFWKATGIDKPVFSVEGGEAIGLKKTLVYYRGSGGKGTKTDWMMHEFRLPNPTMTAQDAEVWTICRFFKRHRKIKQDWRQGAAKPASTGNGNGVQGYESGSVGSSSHENYITLGSQNVENYDDDDGEMVMDHMMNDGSCRREWYHGEMQCMASSSSPSSSFSTENDFFTNANWDELKSIVDLDLDPFHFAKNHF >KJB23204 pep chromosome:Graimondii2_0_v6:4:12083879:12087936:-1 gene:B456_004G089600 transcript:KJB23204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMTETPFSKLPEDVVLNIFSKLEEDPASWASLACVCTRFSSLILNTCLKLKCSKTIPAVSADLLSSSSAPPGGWASLHKLSVCCPGLLHAGVLLDNSDFGLERELGPDENYQRPAHSHLSTPSADPNSSKTECNSNPNMETDVPGSDCPWSLFDDLYFDTVYNASDSMDSSPSETMDTATIKTGREFPVCKRRKISRSLRSHLATGVRNLSREQGNKLLASRFRGDCLYICDWPGCVHTEEKRNYMLFRGVFKNFKKSRVWRTINDGNRSKIGLDCAFCSCKETWDLHSAFCLRRVFGYHDDGEPVVRAFVCENGHVSGAWTDLPLYT >KJB23205 pep chromosome:Graimondii2_0_v6:4:12086467:12087928:-1 gene:B456_004G089600 transcript:KJB23205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMTETPFSKLPEDVVLNIFSKLEEDPASWASLACVCTRFSSLILNTCLKLKCSKTIPAVSADLLSSSSAPPGGWASLHKLSVCCPGLLHAGVLLDNSDFGLERELGPDENYQRPAHSHLSTPSADPNSSKTECNSNPNMETDVPGSDCPWSLFDDLYFDTVYNASDSMDSSPSETMDTATIKTGREFPVCKRRKISRSLRSHLATGVRNLSREQGNKLLASRFRGDCLYICDWPGCVHTEEKRNYMLFRGVFKNFKKSRVWRTINDGNRSKIGLDCAFCSCKETWDLHSAFCLRRVFGYHDDGEPVVRAFVCENGHVSGAWTDLPLYT >KJB23206 pep chromosome:Graimondii2_0_v6:4:12079745:12087918:-1 gene:B456_004G089600 transcript:KJB23206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMTETPFSKLPEDVVLNIFSKLEEDPASWASLACVCTRFSSLILNTCLKLKCSKTIPAVSADLLSSSSAPPGGWASLHKLSVCCPGLLHAGVLLDNSDFGLERELGPDENYQRPAHSHLSTPSADPNSSKTECNSNPNMETDVPGSDCPWSLFDDLYFDTVYNASDSMDSSPSETMDTATIKTGREFPVCKRRKISRSLRSHLATGVRNLSREQGNKLLASRFRGDCLYICDWPGCVHTEEKRNYMLFRGVFKNFKKSRVWRTINDGNRSKIGLDCAFCSCKETWDLHSAFCLRRVFGYHDDGEPVVRAFVCENGHVSGAWTDLPLYT >KJB21751 pep chromosome:Graimondii2_0_v6:4:868250:872437:1 gene:B456_004G012100 transcript:KJB21751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAKRKEKATSDVNTTIKRSKKSKGKQRRKCIFL >KJB21752 pep chromosome:Graimondii2_0_v6:4:868835:870348:1 gene:B456_004G012100 transcript:KJB21752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAK >KJB21750 pep chromosome:Graimondii2_0_v6:4:868242:870348:1 gene:B456_004G012100 transcript:KJB21750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAK >KJB25273 pep chromosome:Graimondii2_0_v6:4:49598830:49599816:1 gene:B456_004G182700 transcript:KJB25273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGDKQPTKLDEEQMAELREIFSSFDRNNDGSLTQLELGSLLRSLGLKPSLDQVEALIQKADSNNNGLIEFSEFVAMLAPELQPEKSPYSEEQLKQLFKMFDRDGNGFITAAELAQTMAKLGHALTVEELTGMIKEADADGDGRISFDEFSQAITSAAFHNNSWA >KJB25274 pep chromosome:Graimondii2_0_v6:4:49598794:49599975:1 gene:B456_004G182700 transcript:KJB25274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGDKQPTKLDEEQMAELREIFSSFDRNNDGSLTQLELGSLLRSLGLKPSLDQVEALIQKADSNNNGLIEFSEFVAMLAPELQPEKSPYSEEQLKQLFKMFDRDGNGFITAAELAQTMAKLGHALTVEELTGMIKEADADGDGRISFDEFSQAITSAAFHNNSWA >KJB27266 pep chromosome:Graimondii2_0_v6:4:61765757:61774205:1 gene:B456_004G287900 transcript:KJB27266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFIFRVFLAVILLCLSNRVNGKAKFKDVEEKLKQLNKPAVKIIQSEDGDIIDCVEIYKQPAFDHPALRNHVIQMKPSFDLKEVKINSKNESSKLTVFQTWQKSGSCPEGTVSIRRIRREDLLRANSVQQFGRKPQEVVLKSNTTIEHKDGQSPFFNNTKFTIVNRSAATLVTVGYNYIGAKADINVWTPNVESEDEFTTAQIWLKAGPGDNFESLESGWMVNPQLYGDKKTRFFAHWTKDSYKTTGCFDLQCSGFIQTSSKIALGAAISPISMELGQQYYITIGIYMDENTNNWWLIFGNGITIGYWPASTLNVLKNSATMVEWGGQVYSPNVRKSPHTKTAMGSGKFASSLKGNACYMENIGIVDFSTQLQYPPAVTTLAEENYCYTALNHQDGSESSPTFYFGGPGQNYNCP >KJB27267 pep chromosome:Graimondii2_0_v6:4:61770833:61774205:1 gene:B456_004G287900 transcript:KJB27267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFIFGVFLILVLSYLSNRVTGKAPVKEIHADVDGKLKQLNKPAVKTIQSDDGDIIDCVDIYRQPAFDHPALRNHVIQMKPNFNFKEMEFNSKNGSSKLTVFQTWQKSGSCPKGTVPIRRIRREDLLRAQSVQQFGRKPQEVVLKSNTTIGRFPSINSNALALPAIVNRSAAILATVGANYTGAKADINVWNPNVESEDFTTAQVWLKAGPNDNFESIESGWTVNPQLYGDKKTRLFAHWTKDSYKTTGCFDLQCSGFIQTSSKIALGAAISPISMELGQQYYITIGIYMDENTNNWWLIFGNGITIGYWPASTLNVLKNSATMVEWGGQVYSPNVRKSPHTKTAMGSGKFASSLKGNACYMENIGIVDFSTQLQYPPAVTTLAEENYCYTALNHQDGSESSPTFYFGGPGQNYNCP >KJB27268 pep chromosome:Graimondii2_0_v6:4:61770854:61774205:1 gene:B456_004G287900 transcript:KJB27268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFIFGVFLILVLSYLSNRVTGKAPVKEIHADVDGKLKQLNKPAVKTIQSDDGDIIDCVDIYRQPAFDHPALRNHVIQAAILATVGANYTGAKADINVWNPNVESEDFTTAQVWLKAGPNDNFESIESGWTVNPQLYGDKKTRLFAHWTKDSYKTTGCFDLQCSGFIQTSSKIALGAAISPISMELGQQYYITIGIYMDENTNNWWLIFGNGITIGYWPASTLNVLKNSATMVEWGGQVYSPNVRKSPHTKTAMGSGKFASSLKGNACYMENIGIVDFSTQLQYPPAVTTLAEENYCYTALNHQDGSESSPTFYFGGPGQNYNCP >KJB21848 pep chromosome:Graimondii2_0_v6:4:1283927:1290915:-1 gene:B456_004G018000 transcript:KJB21848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRKGVSRRRTTLAQPQQGGKQTGRSNRGRKRAAEGEVGRPRTRLAAKRLKEENKVQVGVVAPTGGEDREFNQQVISISERGSDIERKELVEVDKRKEGGMGDDSGGLSANRAVGQEEEGNTAPFPERVHFGGSPVYKVERKLGKGGFGQVFVGRRVSGGNERGTGSAAMEVALKFEHRNSKGCNYGPPYEWQVYNALGGSHGVPKVHYKGKQGDYYVMVMDMLGPSLWDVWNSSGQAMSAEMVACIAVESLSILEKMHSKGYVHGDVKPENFLLGQPSTPQEKKLFLVDLGLATKWKDSSSGLHVDYDQRPDMFRGTVRYASAHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPPALKQFLEIVVNMKFDEEPNYSKLISLFEGLLGPNPAIRPINTDGAQKIIYQVGQKRGRLNIDEEDDQPKKKVRLGVPATQWISVYNARLPMKQRYHYNVADARLAQHVEKGIADGLLISCVASCTNLWALIMDAGTGFTSQVYELSPSFLHKEWIMDQWEKNYYISSIAGSNSGNSLVVMSKGTQYTQQSYKVSDSFPFKWINKKWREGFYVTSMTTAGSRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDSGYRITSTAATLDQAALILSIPKRKPGDETQETLRTSQFPSIHVKEKWAKNLYLACLCYGRTVS >KJB21849 pep chromosome:Graimondii2_0_v6:4:1285243:1290685:-1 gene:B456_004G018000 transcript:KJB21849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRKGVSRRRTTLAQPQQGGKQTGRSNRGRKRAAEGEVGRPRTRLAAKRLKEENKVQVGVVAPTGGEDREFNQQVISISERGSDIERKELVEVDKRKEGGMGDDSGGLSANRAVGQEEEGNTAPFPERVHFGGSPVYKVERKLGKGGFGQVFVGRRVSGGNERGTGSAAMEVALKFEHRNSKGCNYGPPYEWQVYNALGGSHGVPKVHYKGKQGDYYVMVMDMLGPSLWDVWNSSGQAMSAEMVACIAVESLSILEKMHSKGYVHGDVKPENFLLGQPSTPQEKKLFLVDLGLATKWKDSSSGLHVDYDQRPDMFRGTVRYASAHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPPALKQFLEIVVNMKFDEEPNYSKLISLFEGLLGPNPAIRPINTDGAQKIIYQVGQKRGRLNIDEEDDQPKKKVRLGVPATQWISVYNARLPMKQRYHYNVADARLAQHVEKGIADGLLISCVASCTNLWALIMDAGTGFTSQVYELSPSFLHKEWIMDQWEKNYYISSIAGSNSGNSLVVMSKGTQYTQQSYKVSDSFPFKWINKKWREGFYVTSMTTAGSRWGVVMSRNAGFSDQVSSQLH >KJB24776 pep chromosome:Graimondii2_0_v6:4:44916650:44919788:-1 gene:B456_004G159600 transcript:KJB24776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid long-chain bases kinase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G46090) UniProtKB/Swiss-Prot;Acc:O82359] MSLPPGFITLVLTRGFGGAGANGRTGKEWKKLLPYLQSRLGSDCNICESLTSGPSHAIDITREAIREGADAVIAVGGDGTLHEVVNGFFWDGKPVANWNTEAVHSTALGLIPLGTGSDFARTLGWKNDPREAIDRIARGVRSRIDVGVISREGSHYFINVADIHLSAKAGFYASRYKKFGNLCYVIGALQAFIGHHNQDLRIKVNEGEWQTLSQVTALCVGNAKYFGGGMKITPNADPQSGSFEVVILQDFKWYDFILKLHKLYNGTHLSLNNVTSRNVYTIEVDEISGSGNIFIQSDGEHLGFLPRKLSILPGAIEMIC >KJB24775 pep chromosome:Graimondii2_0_v6:4:44916358:44920143:-1 gene:B456_004G159600 transcript:KJB24775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid long-chain bases kinase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G46090) UniProtKB/Swiss-Prot;Acc:O82359] MVMVCYYNHLLTPVGGVRFSITKPSVLRAETNNPMASDLSSDRSVFRGVSSSSPIRRRDLVFVVNPRGANGRTGKEWKKLLPYLQSRLGSDCNICESLTSGPSHAIDITREAIREGADAVIAVGGDGTLHEVVNGFFWDGKPVANWNTEAVHSTALGLIPLGTGSDFARTLGWKNDPREAIDRIARGVRSRIDVGVISREGSHYFINVADIHLSAKAGFYASRYKKFGNLCYVIGALQAFIGHHNQDLRIKVNEGEWQTLSQVTALCVGNAKYFGGGMKITPNADPQSGSFEVVILQDFKWYDFILKLHKLYNGTHLSLNNVTSRNVYTIEVDEISGSGNIFIQSDGEHLGFLPRKLSILPGAIEMIC >KJB26430 pep chromosome:Graimondii2_0_v6:4:57889451:57894846:-1 gene:B456_004G241100 transcript:KJB26430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPSTVVLMRKTEKDFNDPDDKWSALHDAGKILFLQKKVSLKLISADIVDSNPKNKYGGKIGSEIHLKGWNNRSAYLEDRTFHVYFEWDEDFGTPGAVLVHNPYESEFYLKTITLQDVPGRGPIHFLCNSWVYQNQGSRIFFSTKAYLPRDTPEALRKCREEELRMLRGNGEGKLEVWDRVYDYAVYNDLGRLPVLGDSHEYPYPRRGRTGRPILDHKEKTESRNFKYDQFNIYVPRDEQFSRHKKSEFRDNKWKSLIHAVSPVIEAYIRDEFESFQEINNVYDDGTSNVFDSFTSGFFRAISRKGKFPLPQVIKEDRAAWGRDEEFAREMIAGLNPLLIRLLKEHEFPPLSKLDPEFYGNQNSCITKQDIQSNLDGLSAEQALKNKRLFILDHHDSVMPYLRKINKETKTKTYASRTLLFLRDDNTLKPVAIELSLPNEKGDKFGAVSKVYTPTQDGVEGHIWQLAKAYVAVVDTGHHQLISHWLNSHAAIEPFIIATNRQLSVVHPIYKLLHPHYRDTMAINALAREVLVNAGGVLETTFYTGQYSMEMSSVIYRSWNFMEQSLPNDLKKRGIADGDINSLKDLDKLVIEDYPYAVDGLKIWFAIKKWVSDYCSFYYKVDGMVQEDPELQAWWKELREVGHGDKKDEPWWPKMQTREELIESCTIIIWLASAFHAAVNFGQYAYGGYSPNRPTGSRRFMPEKGTPEYTELANNPEKAFLKTITPQLTCLQGMTLVETLSQQSSEEVYLGTREDNWTTDKEPLSYFKAFHDRLAEIEDEITSMNEDGKWKNRVGPVKVPYTLLFPTGEVGLPGKGIPNSISI >KJB26082 pep chromosome:Graimondii2_0_v6:4:55823282:55824824:1 gene:B456_004G223700 transcript:KJB26082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEATKDPLKGVDWKAIGSELQKDPSAGGKSVVKKRLPKKIRQIPDCYFLPRMSTPSTLAFYGACIAGGIGAGMLLEVWINKKIKEDGGVVWEFGK >KJB26081 pep chromosome:Graimondii2_0_v6:4:55823116:55824862:1 gene:B456_004G223700 transcript:KJB26081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEATKDPLKGVDWKAIGSELQKDPSAGGKSVVKKRLPKKIRQIPDCYFLPRMSTPSTLAFYGACIAGGIGAGMLLEVWINKKIKEDGGVVWEFGK >KJB26010 pep chromosome:Graimondii2_0_v6:4:55564965:55568408:-1 gene:B456_004G221000 transcript:KJB26010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKLVRDFLIARQPLFHRLTTQQGSNVRLRLLSGKGYSRNRQFSVFNEFSKKIKGEANRNQDFKQSVEELKGKAEELKVRTKQTSEQLYKQVDGVWTGAEATAKKVSANVKEKISAAKEEVKGTFGIGKEEFSQSTDTSAQQGADAKDEGTTSSKEQNDQQPGTSDAAETVFGKFKSRMSSPKVSSAFQRLKEAKVVDLAKKGYDIVKDELSGNPSKRKHLEYTPPPSSTGERSTRTDIVVLPSKQSPWSKKWEAFKEKMQGHPLFKRVSGMSEPVVTKGQEIAEDMRERWETSDNPIVHKIQDINESIFQETDAAASYKEIRRRDPYGHVSFCLCFSTLSSIS >KJB26008 pep chromosome:Graimondii2_0_v6:4:55563765:55568408:-1 gene:B456_004G221000 transcript:KJB26008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKLVRDFLIARQPLFHRLTTQQGSNVRLRLLSGKGYSRNRQFSVFNEFSKKIKGEANRNQDFKQSVEELKGKAEELKVRTKQTSEQLYKQVDGVWTGAEATAKKVSANVKEKISAAKEEVKGTFGIGKEEFSQSTDTSAQQGADAKDEGTTSSKEQNDQQPGTSDAAETVFGKFKSRMSSPKVSSAFQRLKEAKVVDLAKKGYDIVKDELSGNPSKRKHLEYTPPPSSTGERSTRTDIVVLPSKQSPWSKKWEAFKEKVSHPLFKRVSGMSEPVVTKGQEIAEDMRERWETSDNPIVHKIQDINESIFQETDAAASYKEIRRRDPSFSLPEFVAEVQEAIRPVLNAYIKGDVETLKKYCSPEVIDRCKAEHIAYQSHGIFFDNKILHISDVEVRETKMMGTSPIIIVAFQTQQIYCVRNRDGKITEGGKDTIHTVYYAWAMQQVDVEELGEGALYPIWKLREMQQIGIQALI >KJB26007 pep chromosome:Graimondii2_0_v6:4:55563731:55568502:-1 gene:B456_004G221000 transcript:KJB26007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKLVRDFLIARQPLFHRLTTQQGSNVRLRLLSGKGYSRNRQFSVFNEFSKKIKGEANRNQDFKQSVEELKGKAEELKVRTKQTSEQLYKQVDGVWTGAEATAKKVSANVKEKISAAKEEVKGTFGIGKEEFSQSTDTSAQQGADAKDEGTTSSKEQNDQQPGTSDAAETVFGKFKSRMSSPKVSSAFQRLKEAKVVDLAKKGYDIVKDELSGNPSKRKHLEYTPPPSSTGERSTRTDIVVLPSKQSPWSKKWEAFKEKMQGHPLFKRVSGMSEPVVTKGQEIAEDMRERWETSDNPIVHKIQDINESIFQETDAAASYKEIRRRDPSFSLPEFVAEVQEAIRPVLNAYIKGDVETLKKYCSPEVIDRCKAEHIAYQSHGIFFDNKILHISDVEVRETKMMGTSPIIIVAFQTQQIYCVRNRDGKITEGGKDTIHTVYYAWAMQQVDVEELGEGALYPIWKLREMQQIGIQALI >KJB26009 pep chromosome:Graimondii2_0_v6:4:55564235:55568408:-1 gene:B456_004G221000 transcript:KJB26009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKLVRDFLIARQPLFHRLTTQQGSNVRLRLLSGKGYSRNRQFSVFNEFSKKIKGEANRNQDFKQSVEELKGKAEELKVRTKQTSEQLYKQVDGVWTGAEATAKKVSANVKEKISAAKEEVKGTFGIGKEEFSQSTDTSAQQGADAKDEGTTSSKEQNDQQPGTSDAAETVFGKFKSRMSSPKVSSAFQRLKEAKVVDLAKKGYDIVKDELSGNPSKRKHLEYTPPPSSTGERSTRTDIVVLPSKQSPWSKKWEAFKEKMQGHPLFKRVSGMSEPVVTKGQEIAEDMRERWETSDNPIVHKIQDINESIFQETDAAASYKEIRRRDPSFSLPEFVAEVQEAIRPVLNAYIKVRGSPGFMLYRFIFSNKNNVSDSGFL >KJB21947 pep chromosome:Graimondii2_0_v6:4:1755165:1759567:-1 gene:B456_004G023100 transcript:KJB21947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSYPGPFSVHKFLNSDPIALYSAYENSPSPYFMLQHQQEEALAPISQPAMSQPHPYRFNTSLNQSWLPNSKTGNHDGNRRYNQKVAVCDPSLCGEKDDFMAFTHVPASSPCGSPQGSMYAKQSLTLKEKLQLQYLTKELEIDVSESSPLGLDQEIHEETPQVSSDQGIHQLGFKRNHVTSIVNMDGYIHSMNQQHTDAMAAHKQRIRWIPELHELFLNAVDQLGGPDCATPKNILKLMNVEGLSIYHVKSHLQKYRLAKGVSELKNDKGSSRFEEKRATLIESDDHQSDGNIEREVDVVETLQMQIEVQKLLHEQLKVQKELQLQIQQQGQLLKKLMDERRRKSGSADKKMFPSENPFLFSAETASFFQKSGSAKSITDCSSSTHSPKHKASETTESEQCQKRHRGESS >KJB26162 pep chromosome:Graimondii2_0_v6:4:56303006:56306747:1 gene:B456_004G228500 transcript:KJB26162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKMKTEEKTVTEEHDVGDAARRGTVSVPIQQVEDSAGITEETADARNWKRTNLFLEIPSRSLDDSSQESVTVKMPQTPSLTPRKVNFHLTPSPSDARINGSSSGPSSSKGKSLRSLLPKLSFKARSISSDIEKAANLAPESSITSLKEKPSMSRTLSLTKIFTPMINRTSSLPVTQTANSNPESASGGSLGGSSKGSMLKISRSFSVPVNEKEGKLRRMDSFFRVVPSTPLTKEGEISSNPNPSIRPDSENRDPDGEDILEEEAVCRICMVELCEGGETFKMECSCKGELALAHKDCAVKWFTIKGNKTCDVCKQEVQNLPVTLLRIQSVRARHGGTSRGFPDEARGYRVWQEVPVLVIISMLAYFCFLEQLLVG >KJB26163 pep chromosome:Graimondii2_0_v6:4:56303006:56306747:1 gene:B456_004G228500 transcript:KJB26163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKISRSFSVPVNEKEGKLRRMDSFFRVVPSTPLTKEGEISSNPNPSIRPDSENRDPDGEDILEEEAVCRICMVELCEGGETFKMECSCKGELALAHKDCAVKWFTIKGNKTCDVCKQEVQNLPVTLLRIQSVRARHGGTSRGFPDEARGYRVWQEVPVLVIISMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLASMTSSTMVKRRFIWVYASIQFALVVFFAHMFYSLVKVQAVLSILLATFSGFGVAMSGSSIIVEIMRWRRRWQAWSDQQHHNSQVPTPPPVQPPRAVNSPRRVPDGNQQRAETFSGS >KJB26161 pep chromosome:Graimondii2_0_v6:4:56302881:56306747:1 gene:B456_004G228500 transcript:KJB26161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKMKTEEKTVTEEHDVGDAARRGTVSVPIQQVEDSAGITEETADARNWKRTNLFLEIPSRSLDDSSQESVTVKMPQTPSLTPRKVNFHLTPSPSDARINGSSSGPSSSKGKSLRSLLPKLSFKARSISSDIEKAANLAPESSITSLKEKPSMSRTLSLTKIFTPMINRTSSLPVTQTANSNPESASGGSLGGSSKGSMLKISRSFSVPVNEKEGKLRRMDSFFRVVPSTPLTKEGEISSNPNPSIRPDSENRDPDGEDILEEEAVCRICMVELCEGGETFKMECSCKGELALAHKDCAVKWFTIKGNKTCDVCKQEVQNLPVTLLRIQSVRARHGGTSRGFPDEARGYRVWQEVPVLVIISMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLASMTSSTMVKRRFIWVYASIQFALVVFFAHMFYSLVKVQAVLSILLATFSGFGVAMSGSSIIVEIMRWRRRWQAWSDQQHHNSQVPTPPPVQPPRAVNSPRRVPDGNQQRAETFSGS >KJB26164 pep chromosome:Graimondii2_0_v6:4:56303006:56306747:1 gene:B456_004G228500 transcript:KJB26164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKMKTEEKTVTEEHDVGDAARRGTVSVPIQQVEDSAGITEETADARNWKRTNLFLEIPSRSLDDSSQESVTVKMPQTPSLTPRKVNFHLTPSPSDARINGSSSGPSSSKGKSLRSLLPKLSFKARSISSDIEKAANLAPESSITSLKEKPSMSRTLSLTKIFTPMINRTSSLPVTQTANSNPESASGGSLGGSSKGSMLKISRSFSVPVNEKEGKLRRMDSFFRVVPSTPLTKEGEISSNPNPSIRPDSENRDPDGEDILEEEAVCRICMVELCEGGETFKMECSCKGELALAHKDCAVKWFTIKGNKTCDVCKQEVQNLPVTLLRIQSVRARHGGTSRGFPDEARGYRVWQEVPVLVIISMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLASMTSSTMVKRRFIWVYASIQFALVVFFAHMFYSLVSDFSILLSTR >KJB24355 pep chromosome:Graimondii2_0_v6:4:39810962:39812411:1 gene:B456_004G141500 transcript:KJB24355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKLDLELLRFLTALHLAAWARQAHVVSYLCKQKADVGAVAMDGMGAIHFATQKGHLEVV >KJB27411 pep chromosome:Graimondii2_0_v6:4:50161381:50161537:1 gene:B456_004G1870002 transcript:KJB27411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKDDDYGEEKDSEYVLLDLEAVRGQIDIPPNAPYTLS >KJB23046 pep chromosome:Graimondii2_0_v6:4:9263232:9264414:1 gene:B456_004G078700 transcript:KJB23046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSVGKNINLCFIKIKFPLTSSSLLTASENGLPLPSSAATPSSLLFSPSNSLSTHSSSHPNPELESHSEPDFATIFASQRFFFTSPGSSNSIIESTPTSTAVNQTSNKGHHHTRSTVKDGVAVPTLSPNPYMDFRQSMQEMVEARGLMDVKANWDNLHELLLCYLALNPKSTHKYIVGAFADLVVSLMANASTPQRRRH >KJB26276 pep chromosome:Graimondii2_0_v6:4:57259849:57261405:-1 gene:B456_004G234700 transcript:KJB26276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAEVEDAENLAMAHWPIPVDLFVSEKHPSLPRGLLAFADSSGNILFRLNSLSHHPKKTLRILDSAGNPLISIYRHHDGSWQGFKGDDGQKDLIFQVQRVSNKFARTELEVFLVAENHRGELTCDFKVKGCHFQRSCTIYNGDSIVAQTSLMHKLRQIYVSRRKIQLTMFPSFVDPALIVAVVVIFLVNGPKKSKILDKLPVSI >KJB26277 pep chromosome:Graimondii2_0_v6:4:57259876:57261337:-1 gene:B456_004G234700 transcript:KJB26277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAEVEDAENLAMAHWPIPVDLFVSEKHPSLPRGLLAFADSSGNILFRLNSLSHHPKKTLRILDSAGNPLISIYRHHDGSWQGFKGDDGQKDLIFQVQRVSNKFARTELEVFLVAENHRGELTCDFKVKGCHFQRSCTIYNGDSIVAQVDQSHAQASADLC >KJB23110 pep chromosome:Graimondii2_0_v6:4:9735695:9741428:1 gene:B456_004G081200 transcript:KJB23110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQIEDVTVYFPYDHIYPEQYSYMIELKRTLDAKGHCLLEMPTGTGKTIALLSLITSYSLSKPQSPMKLIYCTRTVHEMEKTLAELKILHNYQIKHLGPQARILAIGLSSRKNLCVNPTVVAAENRDSVDAGCRKLTASWVRALAEENTDVTTCEFFESYEKAASAAVLPPGVYTLQELRAFGKEKGWCPYFLARHMVQFANVVVYSYQYLLDPKVAGIISKEMQKESVVVFDEAHNIDNVCIEALSVSVRRQTLEGATRNLSRISQEIDRFKATDTSILRAEYNRLVEGLALRGNLPITDNWLSNPALPDDILKEAVPGNIRRAEHFLHVLRRLVQYLSGRLDTENVEKESPVGFVASISSHAGIDQKTLKFCYDRLHSLMLTLEITDTDEFLHIQTICDFATLVGTYGRGFSTIIEPFDERMPHIPDPVLQLSCHDASLAIKPVFDRFQSVVITSGTLSPIDLYPRLLNFHPVVSRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGKLLLEMVSVVPDGIVCFFVSYSYMDGIINTWNDTGILKEIMQHKLVFIETQDVVETTLALDNYRRACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMIGVPFQYTLSKILLARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPSWILSHLQDANLNLSTDMALHIAREFLKKMAQPYDKTGSSDKKTLLSQEELEKLGDRSMHEMSY >KJB24559 pep chromosome:Graimondii2_0_v6:4:43140526:43143718:-1 gene:B456_004G152200 transcript:KJB24559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLQNTQDIKPSIQASNESKTEQQNNQTSADSASSNDGQKVSRQDIELVQNLIERCLQLYMNKDEVVKTLLNRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLERQYYLMKYPMPPKVPLVPIQNGIHPMPVNNLPMGYPVLQQPPIPAAGQPHLDSMGISSCHVVNGVPAPSHFQPMQMNSGNDMMDNNATDATPAVPPTTAMMSSMSEMPVSPTSVASSGNFPFTATDMSEMGVDTATLDSAFTADVASSIGLQLGPGNGADDSRDSLRPLDQIQWNFSLSDLTADFSNLGDLGALGNHPGSPFLPSDSEIMLGSSEHEDIEEFFVDSVPGQPCTPSDEEK >KJB24560 pep chromosome:Graimondii2_0_v6:4:43140762:43143157:-1 gene:B456_004G152200 transcript:KJB24560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLQNTQDIKPSIQASNESKTEQQNNQTSADSASSNDGQKVSRQDIELVQNLIERCLQLYMNKDEVVKTLLNRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLERQYYLMKYPMPPKVPLVPIQNGIHPMPVNNLPMGYPVLQQPPIPAAGQPHLDSMGISSCHVVNGVPAPSHFQPMQMNSGNDMMDNNATDATPAVPPTTAMMSSMSEMPVSPTSVASSGNFPFTATDMSEMGVDTATLDSAFTADVASSIGLQLGPGNGADDSRDSLRPLDQIQWNFSLSDLTADFSNLGDLGALGNHPGSPFLPSDSEIMLGSSEHEDIVEEFFVDSVPGQPCTPSDEEK >KJB24558 pep chromosome:Graimondii2_0_v6:4:43140762:43143157:-1 gene:B456_004G152200 transcript:KJB24558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLQNTQDIKPSIQASNESKTEQQNNQTSADSASSNDGQKVSRQDIELVQNLIERCLQLYMNKDEVVKTLLNRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLERQYYLMKYPMPPKVPLVPIQNGIHPMPVNNLPMGYPVLQQPPIPAAGQPHLDSMGISSCHVVNGVPAPSHFQPMQMNSGNDMMDNNATDATPAVPPTTAMMSSMSEMPVSPTSVASSGNFPFTATDMSEMGVDTATLDSAFTADVASSIGLQLGPGNGADDSRDSLRPLDQIQWNFSLSDLTADFSNLGDLGALGNHPGSPFLPSDSEIMLGSSEHEDIVEEFFVDSVPGQPCTPSDEEK >KJB24557 pep chromosome:Graimondii2_0_v6:4:43140416:43143743:-1 gene:B456_004G152200 transcript:KJB24557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLQNTQDIKPSIQASNESKTEQQNNQTSADSASSNDGQKVSRQDIELVQNLIERCLQLYMNKDEVVKTLLNRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLERQYYLMKYPMPPKVPLVPIQNGIHPMPVNNLPMGYPVLQQPPIPAAGQPHLDSMGISSCHVVNGVPAPSHFQPMQMNSGNDMMDNNATDATPAVPPTTAMMSSMSEMPVSPTSVASSGNFPFTATDMSEMGVDTATLDSAFTADVASSIGLQLGPGNGADDSRDSLRPLDQIQWNFSLSDLTADFSNLGDLGALGNHPGSPFLPSDSEIMLGSSEHEDIEEFFVDSVPGQPCTPSDEEK >KJB24644 pep chromosome:Graimondii2_0_v6:4:43993236:43995203:-1 gene:B456_004G155200 transcript:KJB24644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFKSFPFDGGSSIDANILMNSWTCLNLGKGKGDYQIDYVPAPRITEADKTNDRKSLQRALDRRLYLLLYGDSNAAPSRKPVWHFPEKVYDSEETLRKCAESALASVLGDLSHTYFVGNAPMGHMVIQQMENVPEPFKRFFFKSQVIDTNKFNIRKCEDFVWVTKDELLEYFPEQAEFFKKMIIS >KJB24643 pep chromosome:Graimondii2_0_v6:4:43992730:43995484:-1 gene:B456_004G155200 transcript:KJB24643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSLVRPFMGARGFSSTSEKIVASVLFERLPVVIPKLDPVVYAFQEFSFRWRQQYRRKYPDEFLDMSKSRGKGDYQIDYVPAPRITEADKTNDRKSLQRALDRRLYLLLYGDSNAAPSRKPVWHFPEKVYDSEETLRKCAESALASVLGDLSHTYFVGNAPMGHMVIQQMENVPEPFKRFFFKSQVIDTNKFNIRKCEDFVWVTKDELLEYFPEQAEFFKKMIIS >KJB22721 pep chromosome:Graimondii2_0_v6:4:6212107:6213774:-1 gene:B456_004G062600 transcript:KJB22721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEQLLSMGFSSELAAQALAATGGKSTLKATEWILSHKSSNPNPNQNPNPSPPPFQPKLDRFFHLHTKLSTSPSSPEPTATAPSIHTVPEHDPDDDSTTPSPHHNKRQKLQHPKPTIQLGKTDRTHEPLSERMRPRTVFEVVGQEHILGNNSLLHSAIDRNRIPSIIFWGPPGTGKTSIAKSIINSAQDRSIYRFVSLSAVTCGVKDVRDAVEEARKMRVKNNKRTLLFVDEVHRFNKSQQDSFLPVIEDGSIVFMGATTENPSFHLITPLLSRCRVLTLNPIKPHHIETLLKRSVNDNEKGLSQSVGMRVDVKDDAIDFLSMNCDGDARVALNALEIAATTAAARVADNQLEQNDEAVVTVDDVKEALQCKHLAYDKAGEEHYNLISALHKSMRGSDADAAIYWLARMLEGGEEPLYIARRLIRFASEDVGLADPLALNQAVACYQACHFLGMPECNVILAQCAAYLALAPKSISVYRAIGAAQKVVRESVGQNEGVPLHLRNAPTKLMKELGYGKDYIYPPDNPSSSTLSYLPPSLLGYKFLDWPDQNSTQQ >KJB25899 pep chromosome:Graimondii2_0_v6:4:54773628:54775622:1 gene:B456_004G214800 transcript:KJB25899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHYCLLFFIISTFFPVGLAQNGSLPCPLTFAILQPILPTTLPQLNTTLSCMVVRQGLRFVLSDYLRRTGSFAPPLNSSESCWQSYQSLIPNFNIRSSCGFETAWISQGCMDLTTKVDFEALTPNATLTDVVSNCNQSLRGTACASCTISLANLQAVYLTNSSIASISDCVAYPSIYAGASAIYFGATGTAMCLFSLDIPVPDTSNGEGKKQGVNLGVLISVGVVGLAVFIGGPWIVYRKYRDSRKKRRDRIGNLETDAGLDSISETIDFVRFTFDEIKKATENFSTDSIIGKGGYGNVYKGYLPDGSEVAFKRFKNCSAAGDAEFAHEVKVIASIRHVNLVALRGYCTATTPLEGHQRIIVCDLMKNGSLHDHLFGSTKGRLTWPVRQKIALGTARGLAYLHYGAQPAIIHRDIKASNILLDDMFEAKVADFGLAKFALEGMTHLSTRVAGTMGYVAPEYALYGQLTDRSDVYSFGVVLLELLSGKKAFTMSDENQPSVLADWVWSLVKNEKALDIIEGGMPELGSPEVMEKYVLIAVLCSHPELQCRPSMDQVVKMLETDISVPSIPDRSIPIVAIDEIDRSIRNRDSGCEKGRTSSGSKLEVPHKLNSK >KJB21783 pep chromosome:Graimondii2_0_v6:4:1008352:1009781:-1 gene:B456_004G014200 transcript:KJB21783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIFGEEMKNSVGRFIAGRVSTILGNSISPSPLRFYSSHSPPSSSSKLFVAGLSWSVDEKSLKDAFSSYGNVSEVRIMYDKETGRSRGFGFVHFSNENEAMSAKDAMDGKALLGRPLRISFALEKVRGVPVIVPRLPHT >KJB25128 pep chromosome:Graimondii2_0_v6:4:48617504:48619004:-1 gene:B456_004G177900 transcript:KJB25128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATSRLFGRCGGLLEAAKAFSASFAYSSSSSSSGNGRGLMRVIPVSPQLGKFLGASEASRTDAIKKIWDYIKLHSLQNPANKKEIICDEKLKTIFAGKESVGMLEISKYLSPHFLKSK >KJB26375 pep chromosome:Graimondii2_0_v6:4:57709317:57711376:1 gene:B456_004G238500 transcript:KJB26375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein S11 (Nuclear encoded) [Source: Projected from Oryza sativa (Os03g0385900)] MHPLSSIRQLRHSSTSALLQRFSNFNWVCRASSNRPAFLGGLKSFSAGAHSSENVGTPEKNFDQQTMKDNVSATYASTFQNFFRPLGEQSTENVGHLGRNLDQQAVKGNVSARYASAFRDFTRPSGQTEFRSGGNYRSMDVVMEAIDGDRRANYRGSQFRQDHIEQNEHFAHIKIMRNNTFVTVTDSNGNKKCGASAGMSGLLGGTKVSKYATEAVAEYAGRKARKMGIKSVVVRVKGFIHFKKKKQAILSFREGFKNPIVFIEDVTRHPHNGCRLPKKRRI >KJB26376 pep chromosome:Graimondii2_0_v6:4:57709366:57711288:1 gene:B456_004G238500 transcript:KJB26376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein S11 (Nuclear encoded) [Source: Projected from Oryza sativa (Os03g0385900)] MKDNVSATYASTFQNFFRPLGEQSTENVGHLGRNLDQQAVKGNVSARYASAFRDFTRPSGQTEFRSGGNYRSMDVVMEAIDGDRRANYRGSQFRQDHIEQNEHFAHIKIMRNNTFVTVTDSNGNKKCGASAGMSGLLGGTKVSKYATEAVAEYAGRKARKMGIKSVVVRVKGFIHFKKKKQAILSFREGFKNPIVFIEDVTRHPHNGCRLPKKRRI >KJB22184 pep chromosome:Graimondii2_0_v6:4:2773498:2776641:-1 gene:B456_004G033900 transcript:KJB22184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIHIIPDKTNNTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTAKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKPNNIKLYVRRVFIMDNCEELIPEFLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKEALKEKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDNSMAGYMSSKKTMEINPENPIMEELRKRADAEKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDAGEGEADADMPPLEDADAEGSKMEEVD >KJB23979 pep chromosome:Graimondii2_0_v6:4:31728603:31732854:-1 gene:B456_004G123000 transcript:KJB23979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSANNSPTGIHHFGGGGGGFWSSVPMPPSKTTVAVTAVAGLALFAAIFFTTTSGRLRSPWCRRRKKHALSPQQWRSLFTPDGRLRDGGVKFLKKVRCRGVDPSIRAEVWPFLLGVYDLNSSKEERDAVRTQKRKEYEKLRRKCRRLLKHGTGSFKSSETGATCRNGDSGSLVRETDTSSSEDVVSARESLCSEERSPDAEFSDEPSSILLEDDSSRRITNADVSALNSESSDSDSSEDPEVIQGSPTLDSREDNDLDVASERNVSPSSTEGISKLRATEDFSTWQRIIRLDAVRANAEWMPYSTSQALVSDGRAHRSAEAVGLKDYDFLEPCRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIVSVIPEDYEAFWCFVGFMKKARHNFRLDEVGIRRQLNIDCFFVYRMVVVLFRRELTFEQTMCLWEVMWADQATIRAGLSKSTWSRIRQKAPPTDDLLLYAIAASVLQRRKLIIEKYSSMDEILRECNSMTGQLDVWKLLNDAHDLVVTLHDKIESSF >KJB23977 pep chromosome:Graimondii2_0_v6:4:31728513:31732903:-1 gene:B456_004G123000 transcript:KJB23977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSANNSPTGIHHFGGGGGGFWSSVPMPPSKTTVAVTAVAGLALFAAIFFTTTSGRLRSPWCRRRKKHALSPQQWRSLFTPDGRLRDGGVKFLKKVRCRGVDPSIRAEVWPFLLGVYDLNSSKEERDAVRTQKRKEYEKLRRKCRRLLKHGTGSFKSSETGATCRNGDSGSLVRETDTSSSEDVVSARESLCSEERSPDAEFSDEPSSILLEDDSSRRITNADVSALNSESSDSDSSEDPEVIQGSPTLDSREDNDLDVASERNVSPSSTEGISKLRATEDFSTWQRIIRLDAVRANAEWMPYSTSQALVSDGRAHRSAEAVGLKDYDFLEPCRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIVSVIPEDYEAFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKCKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTMCLWEVMWADQATIRAGLSKSTWSRIRQKAPPTDDLLLYAIAASVLQRRKLIIEKYSSMDEILRECNSMTGQLDVWKLLNDAHDLVVTLHDKIESSF >KJB23978 pep chromosome:Graimondii2_0_v6:4:31729041:31732234:-1 gene:B456_004G123000 transcript:KJB23978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALRRSQTSSSSPNSPSPSPSSSSSSSSSSSSWIHLRSVLFVVTSSSPVSCSSSDRGRLRSPWCRRRKKHALSPQQWRSLFTPDGRLRDGGVKFLKKVRCRGVDPSIRAEVWPFLLGVYDLNSSKEERDAVRTQKRKEYEKLRRKCRRLLKHGTGSFKSSETGATCRNGDSGSLVRETDTSSSEDVVSARESLCSEERSPDAEFSDEPSSILLEDDSSRRITNADVSALNSESSDSDSSEDPEVIQGSPTLDSREDNDLDVASERNVSPSSTEGISKLRATEDFSTWQRIIRLDAVRANAEWMPYSTSQALVSDGRAHRSAEAVGLKDYDFLEPCRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIVSVIPEDYEAFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKCKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTMCLWEVMWADQATIRAGLSKSTWSRIRQKAPPTDDLLLYAIAASVLQRRKLIIEKYSSMDEILRECNSMTGQLDVWKLLNDAHDLVVTLHDKIESSF >KJB26026 pep chromosome:Graimondii2_0_v6:4:55615833:55622559:1 gene:B456_004G221500 transcript:KJB26026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSNTSRSWLSKLQPRDKTRSSRKNQASSSSGGGGGEGNQDGITDEEALSNITKQKAAAAKQYIENHYKEQMKNLQDRKERRMVLEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKIGVEDFELLTIIGKGAFGEVRICREKTTGQVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYFSFQDDDFLYLIMEYLPGGDMMTLLMRKDILSDDEARFYVAETVLAIESIHRHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLQEQDFSGANINEGTENDERSAAPKRTQQEQLEHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMFEMLVGYPPFYSDDPMTTCRKIVNWKNHLKFPEEANLSSEAQDLINKLLCNVNQRLGSNGANEIKAHPWFEGVDWDRIYQMDAAFIPEVNDELDTQNFEKFDESENPVRKPSSKSGPWRKMLSSKDLNFVGYTYKNFEIVNDYAVPGMAELKKKSTQSRRPSVKSLFDGEDSQDGSDSAANDQSV >KJB27035 pep chromosome:Graimondii2_0_v6:4:60805449:60806897:1 gene:B456_004G273500 transcript:KJB27035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLEDELFPSTPGKFKIDRGHNINRQFHRCFASTSTMFIWALFLIALTASYLSFQSFVDSGNRYFTASWGGIQWEKQIRNSAKTNRPGGMSVLVTGAAGFIGSHVSLALKKRGDGVVGLDNFNNYYDPSLKKARQSLLHSQAILVVEGDLNDVKLMAKLFDLVAFTHVMHLAAQAGVRYAMENPSSYVHSNIAGLVTLLETCKSANPQPAIVWASSSSVYGLNDKVPFSELDRTDQPASLYAATKKAGEEITHTYNHIYGLAITGLRFFTVYGPWGRPDMAYFSFTRNILQGKTITIYRGKNKADLARDFTYIDDIVKGCLGSLDTSGRSTGSGGKKRGPAPYRNFNLGNTTPVKVPTLVNILEKHLRVKAKRKIVEMPGNGDVPYTHANISLARREFGYKPTTDLQTGLKKFVRWYLSYYGYNRKDVW >KJB27036 pep chromosome:Graimondii2_0_v6:4:60805449:60807320:1 gene:B456_004G273500 transcript:KJB27036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLEDELFPSTPGKFKIDRGHNINRQFHRCFASTSTMFIWALFLIALTASYLSFQSFVDSGNRYFTASWGGIQWEKQIRNSAKTNRPGGMSVLVTGAAGFIGSHVSLALKKRGDGVVGLDNFNNYYDPSLKKARQSLLHSQAILVVEGDLNDVKLMAKLFDLVAFTHVMHLAAQAGVRYAMENPSSYVHSNIAGLVTLLETCKSANPQPAIVWASSSSVYGLNDKVPFSELDRTDQPASLYAATKKAGEEITHTYNHIYGLAITGLRFFTVYGPWGRPDMAYFSFTRNILQGKTITIYRGKNKADLARDFTYIDDIVKGCLGSLDTSGRSTGSGGKKRGPAPYRNFNLGNTTPVKVPTLVNILEKHLRVKAKRKIVEMPGNGDVPYTHANISLARREFGALA >KJB26032 pep chromosome:Graimondii2_0_v6:4:56102164:56104851:-1 gene:B456_004G226500 transcript:KJB26032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFLVLFAEGVMAFLLLVKIGPLRELVIKSLDQLKMGKGPATVKTIAGTMSAILLSSFMSILKIQNKGAKLGTMSPMDQVLWRTHLLEASLIGFTLFLGFIIDRIHHYIKKLIRLRSSIGSSTEEVQRLHEERKQLKEKDDKASLEIKKLKEEISTLSQNLKKLKSESEEKDRKIETAEAHVASLQKQSADLLLEYDRLLEDNQNLQNQGNKS >KJB26033 pep chromosome:Graimondii2_0_v6:4:56101850:56105051:-1 gene:B456_004G226500 transcript:KJB26033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLLVKIGPLRELVIKSLDQLKMGKGPATVKTIAGTMSAILLSSFMSILKIQNKGAKLGTMSPMDQVLWRTHLLEASLIGFTLFLGFIIDRIHHYIKKLIRLRSSIGSSTEEVQRLHEERKQLKEKDDKASLEIKKLKEEISTLSQNLKKLKSESEEKDRKIETAEAHVASLQKQSADLLLEYDRLLEDNQNLQNQGNKS >KJB24820 pep chromosome:Graimondii2_0_v6:4:45368798:45370099:-1 gene:B456_004G162700 transcript:KJB24820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHIPSTPGKFKMEKSPYILNKSRWHWHSSIMKLTFWSFIFLGLIFIFFFRSPSSNPIPQDPSRRSLRTHNWGGPAWEKRVRSSARIRSRNGISVLVTGAAGFVGTHVSTALKRRGDGVLGLDNFNDYYDPSLKRARQALLDRTGVFVVEGDINDSTLLRKLFEVVPFTHVMHLAAQAGVRYAMENPSSYVHSNIAGLVSLLEACKSANPQPAIVWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILKGKTISIFEAANHGTVARDFTYIDDIVKGCLAALDTAEKSTGSGGKKKGPAQFRVYNLGNTSPVPVSDLVSILERLLKVDAKRNVMKLPQNGDVRFTHANISLAQRELGYKPSTDLQTGMKKFVRWYLSYYSGGNKAAG >KJB24848 pep chromosome:Graimondii2_0_v6:4:45642427:45643443:-1 gene:B456_004G164400 transcript:KJB24848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSLTISPRKLRSDLYSYSYQNDAKTPLVISVLASLIERTMARNERIAKNCKWALAKDYNSRVFECQETPDMTIQSYLERIFRYTKAGPSVYVVAYVYMDRFRQANPGFRINARNVHRLLITIIMVASKNYRNSYFARVGGLTTKELNKLEVEFLFMTGFKLHVNVRVFESYCSHLEREVSIGGGYHIERTLRCAEDIKSKQVEQERYNSNIARILL >KJB24847 pep chromosome:Graimondii2_0_v6:4:45642419:45643483:-1 gene:B456_004G164400 transcript:KJB24847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSLTISPRKLRSDLYSYSYQNDAKTPLVISVLASLIERTMARNERIAKNCKWALAKDYNSRVFECQETPDMTIQSYLERIFRYTKAGPSVYVVAYVYMDRFRQANPGFRINARNVHRLLITIIMVASKYIEDMNYRNSYFARVGGLTTKELNKLEVEFLFMTGFKLHVNVRVFESYCSHLEREVSIGGGYHIERTLRCAEDIKSKQVEQERYNSNIARILL >KJB22276 pep chromosome:Graimondii2_0_v6:4:3233678:3237491:1 gene:B456_004G038600 transcript:KJB22276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRGGSFVAVRRISQGLERENSCHSTSAEVVAGSAAWLSRGLSCVCAQRRESDARPSFDLTPSEEECLQRLQNRIDIAYDSSIPEHQEALRALWNAVFPEEELHGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARHFPKSFKDLLWKQEGDRSAWEYPFAVAGVNITFMLIQMLDLEAVKPRTMVGAIFLKFLSANGSSMACYACILHGLQYCDESHASPTGKGVFTGRYNTSGRTALVQSSYTIVERYFFNYTSFVNGKSQDACYE >KJB26592 pep chromosome:Graimondii2_0_v6:4:58651035:58663451:-1 gene:B456_004G249100 transcript:KJB26592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFSDGGADLGQLQSTMRNIEVACTSIQMHINPAAAEATILALSQSPQPYTACRFILENSQVPNARFQAAAAIRDAAIREWSFLSNEERRSLISFCLCFVMQHASSPEGYVQAKVSSVAAQLMKRGWLDFTEAEKEAFFYQVNQAILGAHGVDVQFIGVNFLESLVSEFSPSTSSVMGLPREFHEQCRTSLELNYLKMFYCWARDAALSVTNKIMEPNAVIPEVKVCTAALRLMLQILNWEFRSDPTSMKAGIDVFSAGVRHDNASSKRSECVLVQPGPAWFDVLISSGHVSWLLSLYSALRQKFSREGYWIDCPIAVSARKLIVQLCSLAGTIFPSDSGKMQENHLLQLLSGILQWIDPPDVVSKAIEEGKSESEMLDGCRALLSIATATTPFVFDQLLKAIRPYGTFTLLSTLMCEVVKVLMTNNTDEETWSWEARDLLLDTWTILLVPMDGSGGDASLPSEGKHAAANLFAMIVESELKVASASVTNDEGDSDYLQASISAMDERLSSYALIARAAIDVTIPLLTRLFSERFARLHQGRGIIDPTETLEELYSLLLITGHVLADEGEGETPLVPTAIQTNFVDIVEAEKHPVVVLSGSIIRFAEQSMDPEVRAAIFSPRLMEAVIWFLARWSHTYLMPLEEANCLSNDYQKQHQSINSRKALLNFFGEHNQGQVVLNIIVRISAMTLMSYPGEKDLQGLTCHHLLHTLVRRKTICYQLVSLDSWRDLANAFTNEKSLFLLSSANQRSLAQTLVLSASGLRNSEAANQYVKGLMGHMTTYLVELSNRSDLKSVAHQPDIIMSVSCLLERLRGAAAAAGPRRQRAMYEIGISVMNPVLLLLEVYKDESTVVYLLLKFVVDWVDGQISYLESRETASVIDFCMRLLQLYSSHNIGKISISLSSTLLSEAKTEKYKDLRALLQLLSSLCSKDLVDFSSDSIEATGINISQVVFFGLHIVTPLISLELLKYPKLCHDYFSLLSHIVEVYPETLAQLNSEAFAHIIGTLDFGLHHQDLEVVSMCLGALKALAAYNYREICAGKTGLVSAGHGNSPEGIFSQFLRSLLQLLLFEDYSPDLVSAAADALLPLILCEQGLYQKLGNELIERQTNPALKSRLANALHSLTSSNHLAFRLDRVNYQRFRKNLNSFLVEVRGFLRTI >KJB26593 pep chromosome:Graimondii2_0_v6:4:58651052:58662925:-1 gene:B456_004G249100 transcript:KJB26593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFSDGGADLGQLQSTMRNIEVACTSIQMHINPAAAEATILALSQSPQPYTACRFILENSQVPNARFQAAAAIRDAAIREWSFLSNEERRSLISFCLCFVMQHASSPEGYVQAKVSSVAAQLMKRGWLDFTEAEKEAFFYQVNQAILGAHGVDVQFIGVNFLESLVSEFSPSTSSVMGLPREFHEQCRTSLELNYLKMFYCWARDAALSVTNKIMEPNAVIPEVKVCTAALRLMLQILNWEFRSDPTSMKAGIDVFSAGVRHDNASSKRSECVLVQPGPAWFDVLISSGHVSWLLSLYSALRQKFSREGYWIDCPIAVSARKLIVQLCSLAGTIFPSDSGKMQENHLLQLLSGILQWIDPPDVVSKAIEEGKSESEMLDGCRALLSIATATTPFVFDQLLKAIRPYGTFTLLSTLMCEVVKVLMTNNTDEETWSWEARDLLLDTWTILLVPMDGSGGDASLPSEGKHAAANLFAMIVESELKVASASVTNDEGDSDYLQASISAMDERLSSYALIARAAIDVTIPLLTRLFSERFARLHQGRGIIDPTETLEELYSLLLITGHVLADEGEGETPLVPTAIQTNFVDIVEAEKHPVVVLSGSIIRFAEQSMDPEVRAAIFSPRLMEAVIWFLARWSHTYLMPLEEANCLSNDYQKQHQSINSRKALLNFFGEHNQGQVVLNIIVRISAMTLMSYPGEKDLQGLTCHHLLHTLVRRKTICYQLVSLDSWRDLANAFTNEKSLFLLSSANQRSLAQTLVLSASGLRNSEAANQYVKGLMGHMTTYLVELSNRSDLKSVAHQPDIIMSVSCLLERLRGAAAAAGPRRQRAMYEIGISVMNPVLLLLEVYKDEISISLSSTLLSEAKTEKYKDLRALLQLLSSLCSKDLVDFSSDSIEATGINISQVVFFGLHIVTPLISLELLKYPKLCHDYFSLLSHIVEVYPETLAQLNSEAFAHIIGTLDFGLHHQDLEVVSMCLGALKALAAYNYREICAGKTGLVSAGHGNSPEGIFSQFLRSLLQLLLFEDYSPDLVSAAADALLPLILCEQGLYQKLGNELIERQTNPALKSRLANALHSLTSSNHLAFRLDRVNYQRFRKNLNSFLVEVRGFLRTI >KJB23436 pep chromosome:Graimondii2_0_v6:4:17149276:17153218:-1 gene:B456_004G099100 transcript:KJB23436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIGSMDSSSGPNNSLAFSLSSHHHHQNHLSFFSSSSNPALFQAFNTSAAAAVPTTTSPANSLSAEKDAGGEGPATTASATTDLSMFKGGPKLEDFLGGSSTTPGVGGAPQLQLLHHHNFSTQTPVTVSDNDIYDSELKTIAAIFLRGFSSEQIDIHKQQQQAPIAEPAPKRSVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGPTTTTNFPISNYEKELEEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVNSIANSNLPIGGLNNKSKNCNDSASDRSTDEERDHSGSSGMTFTSQPTACSTVGFAINIKQDPSDYWSNVLGYNDTGASLSSAKNPMFHHSPNGSGFQSPSGFCTGNGGNSAVNGSINNGLFNRGGYVGQEQQESSAATNSHPLATPIVLNNSDNYESSSSGYGGNWNLAQSFQSTYQTAKPSLSVFQTPIFGME >KJB23437 pep chromosome:Graimondii2_0_v6:4:17149970:17152816:-1 gene:B456_004G099100 transcript:KJB23437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIGSMDSSSGPNNSLAFSLSSHHHHQNHLSFFSSSSNPALFQAFNTSAAAAVPTTTSPANSLSAEKDAGGEGPATTASATTDLSMFKGGPKLEDFLGGSSTTPGVGGAPQLQLLHHHNFSTQTPVTVSDNDIYDSELKTIAAIFLRGFSSEQIDIHKQQQQAPIAEPAPKRSVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEDKAARAYDLAALKYWGPTTTTNFPISNYEKELEEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVNSIANSNLPIGGLNNKSKNCNDSASDRSTDEERDHSGSSGMTFTSQPTACSTVGFAINIKQDPSDYWSNVLGYNDTGASLSSAKNPMFHHSPNGSGFQSPSGFCTGNGGNSAVNGSINNGLFNRGGYVGQEQQESSAATNSHPLATPIVLNNSDNYESSSSGYGGNWNLAQSFQSTYQTAKPSLSVFQTPIFGME >KJB25789 pep chromosome:Graimondii2_0_v6:4:54191184:54191441:-1 gene:B456_004G209500 transcript:KJB25789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRNPKFSFLLFIIIIILSSTIAFSSCRYIHRRLSNEDEQPSKTDFFAWHFPTKSSEESKAEKSRPIHEVSYRTVPGGPNPLHN >KJB26726 pep chromosome:Graimondii2_0_v6:4:59312048:59318360:-1 gene:B456_004G256700 transcript:KJB26726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNCFLKRFLQISSSSRFLNSSRRNKVSAPFIDKEGETAESLRENPGFSYGLNWGLAGKGVIVKDKAFQNLKSSELLAKGATIAGLPLHVRGTLGGNSTISKAQYSKLLKQVTTHISSISNIFVHDGAIGLSPESDAKVRVISDSPSAILSLSRVLWETPTRAVSHDSCPLTVYTATSISTAVEDIIGLGAQGNNGFIAADIERSSLILCGKAFADINGTKLALTALSEPVIFARGGLPLSARLLVSCDSVVLLFAPENAIQSYSDLLVSADAGVVLSPQGVAPLFQTKKLGGINPYRIPSAVILATSDSSGTIPSISKLSPGQAAYHFLAGYQNGEFVPAYYAKGSSYIGVLDLAKTLLSKVKRN >KJB26724 pep chromosome:Graimondii2_0_v6:4:59312118:59318323:-1 gene:B456_004G256700 transcript:KJB26724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNCFLKRFLQISSSSRFLNSSRRNKVSAPFIDKEGETAESLRENPGFSYGLNWGLAGKGVIVKDKAFQNLKSSELLAKGATIAETLSGLPLHVRGTLGGNSTISKAQYSKLLKQVTTHISSISNIFVHDGAIGLSPESDAKVRVISDSPSAILSLSRVLWETPTRAVSHDSCPLTVYTATSISTAVEDIIGLGAQGNNGFIAADIERSSLILCGKAFADINGTKLALTALSEPVIFARGGLPLSARLLVSCDSVVLLFAPENAIQSYSDLLVSADAGVVLSPQGVAPLFQTKKLGGINPYRIPSAVILATSDSSGTIPSISKLSPGQAAYHFLAGYQNGEFVPAYYAKGSSYIGVLDLAKTLLSKLKQYQVPTFLVNVDGGVENITDLVKLVTSEKVAPFRLKGGDLQRKYNAFLSYKFQDIPEEFSF >KJB26723 pep chromosome:Graimondii2_0_v6:4:59311949:59318395:-1 gene:B456_004G256700 transcript:KJB26723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNCFLKRFLQISSSSRFLNSSRRNKVSAPFIDKEGETAESLRENPGFSYGLNWGLAGKGVIVKDKAFQNLKSSELLAKGATIAGLPLHVRGTLGGNSTISKAQYSKLLKQVTTHISSISNIFVHDGAIGLSPESDAKVRVISDSPSAILSLSRVLWETPTRAVSHDSCPLTVYTATSISTAVEDIIGLGAQGNNGFIAADIERSSLILCGKAFADINGTKLALTALSEPVIFARGGLPLSARLLVSCDSVVLLFAPENAIQSYSDLLVSADAGVVLSPQGVAPLFQTKKLGGINPYRIPSAVILATSDSSGTIPSISKLSPGQAAYHFLAGYQNGEFVPAYYAKGSSYIGVLDLAKTLLSKLKQYQVPTFLVNVDGGVENITDLVKLVTSEKVAPFRLKGGDLQRKYNAFLSYKFQDIPEEFSF >KJB26725 pep chromosome:Graimondii2_0_v6:4:59313103:59318323:-1 gene:B456_004G256700 transcript:KJB26725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNCFLKRFLQISSSSRFLNSSRRNKVSAPFIDKEGETAESLRENPGFSYGLNWGLAGKGVIVKDKAFQNLKSSELLAKGATIAETLSGLPLHVRGTLGGNSTISKAQYSKLLKQVTTHISSISNIFVHDGAIGLSPESDAKVRVISDSPSAILSLSRVLWETPTRAVSHDSCPLTVYTATSISTAVEDIIGLGAQGNNGFIAADIERSSLILCGKAFADINGTKLALTALSEPVIFARGGLPLSARLLVSCDSVVLLFAPENAIQSYSDLLVSADAGVVLSPQGVAPLFQTKKLGGINPYRIPSAVILATSDSSGTIPSISKLSPGQAAYHFLAGYQNGEFVPAYYAKGSSYIGVLDLAKTLLSKVKRN >KJB22279 pep chromosome:Graimondii2_0_v6:4:3253299:3254023:1 gene:B456_004G038900 transcript:KJB22279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPKLSYNSTIRGGSISINHEVRASYKFFCCRHRYNVEIVCLSKGFIYWLYIYRIGSMLNILGLLYLISFQYE >KJB25774 pep chromosome:Graimondii2_0_v6:4:54046928:54050094:1 gene:B456_004G208200 transcript:KJB25774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLYSQFQVKNPFKTHILFPFFQPPPSLHWLSLLQTIIPKFLSGLPLVLFLLSFSHRTIKKKCRIMGGFSSSCKQSLDHENLATIIVQESDMLSTPLNLKIPTEQRQDMTSEKTCLSPIIGELNCIANVAFPMVLTGLLLYSRSMISMLFLGRLGELALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAKRFKVLGLTMQKMILMLLLTSIAIASLWFSMKNILLFCGQDENIANEAQSYILYSLPDLLAQSILHPLRTYLRTQSITLPLTYCSTLAILLHIPINYLLVSVLNLGIKGVALGSVWTNFNLVGSLIVYVKISGVYKKTWGGISSDCFKGWKYLLNLSIPSCISVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSFIYIFPSSLSFGVSTRVGNELGANNPNKAKIAAIVGLSSSSVLGFSAFVFTILIRKKWAAMFTQDPEIIALTSMVLPILGLCELGNCPQTTGCGVLRGTARPKLGANINLGCFYLVGMPVAVWLSFFTGFDFKGLWLGLLAAQGSCLLTMLFTVTRTDWDFQAWRAQELTREVPVDDDDHTNQDGSMKESSGLLHHDIKQKQLTCLI >KJB24639 pep chromosome:Graimondii2_0_v6:4:43939079:43939677:-1 gene:B456_004G154900 transcript:KJB24639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLDPTTNGEAKMQYGCDQCDSLGINEYVELGMSGSTRKRSFADIIASIRYWVIHSITIPSLFIMGWLFVSKGLAYDVFESPRPNDCFRVGYNSLGQFRSKMTFYFFVYPMDV >KJB21812 pep chromosome:Graimondii2_0_v6:4:1075998:1077137:-1 gene:B456_004G015000 transcript:KJB21812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNKNENKKWMSVPEFGGWDKQPPGATNYSMVFSQARANRKQQKSDVFRNLGNHRDFTADSSPQPPQPHQQADNNDQDSAVTNYAWMLVPEFRGCDRKSTGSMDYSTVFSKARANRKRQMSGDWRSLGNDDDFITVSLPHEQPPYQKKHKVVCLRKLNCTFFFLSRIRITKLISITMQKRNKIFAFLLCCFWQ >KJB22320 pep chromosome:Graimondii2_0_v6:4:3513144:3515887:-1 gene:B456_004G041100 transcript:KJB22320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYEAPITPIKPPQISEMFQKFALAFKTKTFEFFADEDNHNNGSHLSDSDGFSLLDSAEDFITDQKVVVIKPDPPPNSSSSMNNGSHRGTIDTQIAECLVSSVFAAVSSFEASYIQLQTSQVPFVEESVKAADRALVSHLQRLSDLKRFYRELRKNPSFQVGSSLGSCLEAQVQENQSKLRSLETVSNRLQEEIDEKDNEVSVLRKKSTEIQWGNTKLSNKLSGNLNSACDVLLTVRVFHSVLHDACRATHKFSKILIGLMRKAGWDLDLVANSIYPDIDYAKKGHTRFAFLSYVCLGMFRGFDSEGFSLIKNEVLCNGNKGTCSLKQLLEHILSNPMELLSRNQSCEFSRFCEKKYQDLIHPTMESSIFSNLDRNEAVLNSWRSLSEFYESFVCMASSIWTLHKLAFSFEPVVEIFQVERGLNFSMVYMEDVSKRDNLPGETKVKVGFTVVPGFKIGRTVIQSQVYLGGSKCKD >KJB26169 pep chromosome:Graimondii2_0_v6:4:56232599:56232858:1 gene:B456_004G228000 transcript:KJB26169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIIMCHHHITIFTIFCFTIFYGFVSNDCACLPATHMVIVIYYKWSGHHLHQLSLPNLNFSNRDMG >KJB22065 pep chromosome:Graimondii2_0_v6:4:2185520:2188996:-1 gene:B456_004G027800 transcript:KJB22065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQSMRFSFSNVVSLLWCASLLLLGWFPATVARPRTTYDDNESDLKALLAIRSQIKHDPFGATRSWNRSVTLCQWPGITCGRRHRRITKLDLSQQRLGGTLSPYVGNLSFLRFINLKDNNFNGVIPPEIGRLPRLQSLILHNNSFTGTIPPNLTHCSNLIQFKASRNNLVGNIPSELGNLSKLEELDIAHNNLTGQLPTSLGDASSLQEISLKWNSLEGRLPHTLGLLKKLTWLELNGNNFSGFIPPSFCNMSCLESLSLGNNRLSGILPVNLGSNLPNLLGIYIGSNNLSGTLPESLSNASKLETLEISNNHFSNKVAIDFRNTQNLSWLNMQNNSLGSGGAGDLEFITTLTNCSRLQMVSLLSNQFGGLLPNSITNLSITLRNLYLGDNKITGTIPLGITNLVNLWGLGLEYNYLRGTIPESIGKLKNLQGMSLGGNALTGRIPASIGNLSQLIVIGLEENLLEGSIPAELGKCQHVSELGLHTNRLTGEVPKEIFSIASLSVFLELSRNLLTGSIPSDVGYLKSLVDLDLSENKFSGQIPAALSSCTSLEGLYLGSNNFYGSIPASLSSLRGIQELDLSNNNLSGQVPEYLAKLSSLTFLNLSYNQFEGQVPTKGVFSNASTIALTGNDKLCGGIAELHLPPCHFLPSKQHKTSDSLKIILIVCGVIGILMLSILFLWLRKRGAKTELSSAVPLGTASILMVSFQQLLKATDGFSPANLIGQGSFGRVYRGVLDRNQEQNVIAVKVMNLQEQGASRSFLTECKTLGNVRHRNLVKIISACSSIDFQGNPFKALIYEFMPNRSLERWLHDAPNETNTIQPKMLNFAQRLNIAIEVASALDYLHHHCVVPLLHCDLKPSNILLDHDMVAHVGDFGLARFFPKSMNKFSGNSTSTVGLKGTVGYAAPEYGIGMEPTTSGDMYSFGILLLEMFTRKRPIDETFKDGQTLHLFVKTALPDRVLDVVDPLLLAGDNNRQQEASSSRNPRRATMESTKMKECLISIFNVGIASSVESSKDRMDIVDAAKELHFIRNKFLGTGIPTPRETRV >KJB26991 pep chromosome:Graimondii2_0_v6:4:60544181:60546788:-1 gene:B456_004G270500 transcript:KJB26991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase B1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G53670) UniProtKB/Swiss-Prot;Acc:Q9C8M2] MAIVNSLSIPTYIPLKTQSFFSPRSKAFSVLNKPRKVPFSVQSMGSSASSQRPENNNAVQDINGASLAETAAVDYTSLSDEEWKKRLTPEQFYITRQKGTERAFTGEYWNTKTPGTYHCICCDTPLFKSLTKFDSGTGWPSYYQPIGKNVQSKLDLSIIFMPREEVLCATCGAHLGHVFDDGPPPTGKRYCINR >KJB26990 pep chromosome:Graimondii2_0_v6:4:60543839:60547033:-1 gene:B456_004G270500 transcript:KJB26990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase B1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G53670) UniProtKB/Swiss-Prot;Acc:Q9C8M2] MAIVNSLSIPTYIPLKTQSFFSPRSKAFSVLNKPRKVPFSVQSMGSSASSQRPENNNAVQDINGASLAETAAVDYTSLSDEEWKKRLTPEQFYITRQKGTERAFTGEYWNTKTPGTYHCICCDTPLFKSLTKFDSGTGWPSYYQPIGKNVQSKLDLSIIFMPREEVLCATCGAHLGHVFDDGPPPTGKRYCINSASLKLKPE >KJB21279 pep chromosome:Graimondii2_0_v6:4:27720207:27723699:1 gene:B456_004G1156002 transcript:KJB21279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAETERRTKNQWLSSEPSSTVEINGHDLFIAEPMITHINGSEFCAPQRQKDNNACNLNLGIGEKTFSAAGAAFLSAIIVNPLDVVKTRLQAQAAGVPYSHPLSNLIGQMAYFGPNTMFADLRCSPSCTRAGVHGTVAICPPDCFQYKGTLDVFNKIIRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWMEGLAAEHIPIVTPYVPLVAGSSARSIACATCYPIELARTRMQAVKDTQIQKKPPGVFSTLLGVLSNVKGTNPQNSSLGYRVLWTGMGAQLARDVPFSGICWSTLEPARQMHSKLNGTIQEFVSKALDAYMKERENQDFKY >KJB21280 pep chromosome:Graimondii2_0_v6:4:27720579:27722174:1 gene:B456_004G1156002 transcript:KJB21280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAETERRTKNQWLSSEPSSTVEINGHDLFIAEPMITHINGSEFCAPQRQKDNNACNLNLGIGEKTFSAAGAAFLSAIIVNPLDVVKTRLQAQAAGVPYSHPLSNLIGQMAYFGPNTMFADLRCSPSCTRAGVHGTVAICPPDCFQYKGTLDVFNKIIRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWMEGLAAEHIPIVTPYVPLVAGSSARSIACATCYPIELARTRMQAVKDTQIQKKPPGVFSTLLGVLSNVKGTNPQNSCMLISTVPVSGFFFNN >KJB21278 pep chromosome:Graimondii2_0_v6:4:27720207:27723445:1 gene:B456_004G1156002 transcript:KJB21278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAETERRTKNQWLSSEPSSTVEINGHDLFIAEPMITHINGSEFCAPQRQKDNNACNLNLGIGEKTFSAAGAAFLSAIIVNPLDVVKTRLQAQAAGVPYSHPLSNLIGQMAYFGPNTMFADLRCSPSCTRAGVHGTVAICPPDCFQYKGTLDVFNKIIRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWMEGLAAEHIPIVTPYVPLVAGSSARSIACATCYPIELARTRMQAVKDTQIQKKPPGVFSTLLGVLSNVKGTNPQNSSLGYRVLWTGMGAQLARDVPFSGICWSTLEPIKGPTNAFQTKWDHSGVC >KJB21277 pep chromosome:Graimondii2_0_v6:4:27720207:27722971:1 gene:B456_004G1156002 transcript:KJB21277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAETERRTKNQWLSSEPSSTVEINGHDLFIAEPMITHINGSEFCAPQRQKDNNACNLNLGIGEKTFSAAGAAFLSAIIVNPLDVVKTRLQAQAAGVPYSHPLSNLIGQMAYFGPNTMFADLRCSPSCTRAGVHGTVAICPPDCFQYKGTLDVFNKIIRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWMEGLAAEHIPIVTPYVPLVAGSSARSIACATCYPIELARTRMQAVKDTQIQKKPPGVFSTLLGVLSNVKGTNPQNSSLGYRVLWTGMGAQLARDVPFSGICWSTLEP >KJB22877 pep chromosome:Graimondii2_0_v6:4:7663923:7664864:1 gene:B456_004G071100 transcript:KJB22877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVVISVLVVVAMVQLMVRPGEATISCEEVVNLVRPCASYIMTGIGSPTVACCNGLDQLRKSATTTADKQQACQCAKDAAAGFPMINEQAAASLPTICKIHIDFPISKNINCQDIH >KJB23651 pep chromosome:Graimondii2_0_v6:4:22283295:22287561:1 gene:B456_004G108400 transcript:KJB23651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKLILICQHGGEFETKDDGSMLYAGGEAHALDISPETGFDDLKYKLAEKCNLELKSLAIKYFLPGNRRTLITLSNEKDLKRMCDFHKDSVTADVFLTGKAGFVPANHGMPAKRKKKATAARPATSKVAPSAGGLKDVTISIATPSDSVAGVNAALRSPSRAAKRTAGRNIVDGLFEVSVADATDTDTIDMSASPADTVKKRRRTASWKRAANGLTIVTVDDNLEETEKTPPRKKVARKFNPIVVANNGDHQLGPVDGSVEKQVESWKNGITEGQDFRSVAEFRDALQKYAIAHRFGYKLRKNDTNRANAVCAVEGCPWRIHASWVPSASVFRVKMLHEPHTCGGESWKIATPAKNWLVNVIKDRLRDSPHHKPKEIATGLLRDFGLELNYAQVWRGIEDARQQLQGSYKDAYNQLPWYCEKIEKTNPGSFTKLVIGDDKRFQRLFLSFNALIRGFQSGCRPLLFLEAIPLKSKYHEILLTATALDGDDGIFPVAFAVVDDENEDSWHWFLEQLRSAVSTSRSLTFVSDRDKGLMKHVLEIFENAHHGYSIYYLMDSFIQNLKGPFFGEGRASLPGCFLAAAKAVRPGGFRMYTEQIKRVSSSAYDWIMQNEPEYWANAFFKGEHFNHITLNIAEAYANWIEEARDLPIIPKVEVIRCKIMELMELRRTESSNWTMKLTPSKQEKLQEECVKARGLKVLFSSDTLFEVHDSSINVVDIVKQHCSCAMWKPTGLPCHHAVAVFNCTGRSVYDYCSKYYTAESFRLAFAESINPASTIAHPCGNEEDSEEDEDQIMPPSISRPVAAQQPKKIRRNKSQGIIRRSVCCTRCKGVGHNKVSCKVSL >KJB22510 pep chromosome:Graimondii2_0_v6:4:4721710:4728244:1 gene:B456_004G051500 transcript:KJB22510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFREERDTFGPINVPSDKLWGAQTQRSLQNFDIGGERERMPEPIIRAFGVLKKCAAKVNMEYGLDQSIGKAIMQAAQEVAEGKLNDHFPLLVWQTGSGTQSNMNANEVISNRAAEIMGHKRGEKSVHPNDHVNKSQSSNDTFPTSVEFKDIVKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRVSGTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETKLPFVTAENKFEALAAHDAFVETSGALNTIAASLMKIANDIRFLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAITVGGSNGHFELNVFKPLMASALLHSIRLVSDASASFVKNCVKGIQANKDRISKLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGSSLKEAALNLGMLTEEEFESLVVPEKMIAPSD >KJB23759 pep chromosome:Graimondii2_0_v6:4:26232763:26239903:-1 gene:B456_004G113800 transcript:KJB23759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKAAAYYDELTRKGEGAARFKRGLGFSSCDDQHDAVPQRGTTFVSPSSSFLRSFVRASSPTTASKLEKESQLQPIQHKLKKKPERGARVSARTNVERDGDKHSRRRSRSTSRERYWEKDRERRKRRRSRSPRRDRRSGSRDRRRRSRSPRREGKLERRNRSRSLSPRESWRSERHGTREGKSKKEKNGAVNYSLLIQGYDSMLPPERLKAKMKLQLAEAAEKDPTTGPGWERFEFDKDAPVDDDEIEVAEDDASLVKHIGQSFRFSAIEKRREEQLKAAHDEAIFGTSTASLSVTADSEPEEENLKKDSNDNAVATGLLSEKVLAKQPGSWRDRVRKA >KJB23763 pep chromosome:Graimondii2_0_v6:4:26234771:26239854:-1 gene:B456_004G113800 transcript:KJB23763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKAAAYYDELTRKGEGAARFKRGLGFSSCDDQHDAVPQRGTTFVSPSSSFLRSFVRASSPTTASKLEKESQLQPIQHKLKKKPERGARVSARTNVERDGDKHSRRRSRSTSRERYWEKDRERRKRRRSRSPRRDRRSGSRDRRRRSRSPRREGKLERRNRSRSLSPRESWRSERHGTREGKSKKEKNGAVNYSLLIQGYDSMLPPERLKAKMKLQLAEAAEKDPTTGPGWERFEFDKDAPVDDDEIEVAEDDASLVKHIGQSFRFSAIEVKGGRSN >KJB23764 pep chromosome:Graimondii2_0_v6:4:26237305:26239901:-1 gene:B456_004G113800 transcript:KJB23764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKAAAYYDELTRKGEGAARFKRGLGFSSCDDQHDAVPQRGTTFVSPSSSFLRSFVRASSPTTASKLEKESQLQPIQHKLKKKPERGARVSARTNVERDGDKHSRRRSRSTSRERYWEKDRERRKRRRSRSPRRDRRSGSRDRRRRSRSPRREGKLERRNRSRSLSPRESWRSERHGTREGKSKKEKNGAVNYSLLIQGYDSMLPPERLKAKMKLQLAEAAEKDPTTGPGWERFEFDKDAPVDDDEIEG >KJB23761 pep chromosome:Graimondii2_0_v6:4:26234143:26239944:-1 gene:B456_004G113800 transcript:KJB23761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKAAAYYDELTRKGEGAARFKRGLGFSSCDDQHDAVPQRGTTFVSPSSSFLRSFVRASSPTTASKLEKESQLQPIQHKLKKKPERGARVSARTNVERDGDKHSRRRSRSTSRERYWEKDRERRKRRRSRSPRRDRRSGSRDRRRRSRSPRREGKLERRNRSRSLSPRESWRSERHGTREGKSKKEKNGAVNYSLLIQGYDSMLPPERLKAKMKLQLAEAAEKDPTTGPGWERFEFDKDAPVDDDEIEEKEGGATESCS >KJB23760 pep chromosome:Graimondii2_0_v6:4:26234105:26239944:-1 gene:B456_004G113800 transcript:KJB23760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKAAAYYDELTRKGEGAARFKRGLGFSSCDDQHDAVPQRGTTFVSPSSSFLRSFVRASSPTTASKLEKESQLQPIQHKLKKKPERGARVSARTNVERDGDKHSRRRSRSTSRERYWEKDRERRKRRRSRSPRRDRRSGSRDRRRRSRSPRREGKLERRNRSRSLSPRESWRSERHGTREGKSKKEKNGAVNYSLLIQGYDSMLPPERLKAKMKLQLAEAAEKDPTTGPGWERFEFDKDAPVDDDEIEVAEDDASLVKHIGQSFRFSAIEKRREEQLKAAHDEAIFGTSTASLSVTADSEPEEENLKKDSNDNAVATGLLSEKVLAKQPGSWRDRVRKA >KJB23762 pep chromosome:Graimondii2_0_v6:4:26234147:26239901:-1 gene:B456_004G113800 transcript:KJB23762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKAAAYYDELTRKGEGAARFKRGLGFSSCDDQHDAVPQRGTTFVSPSSSFLRSFVRASSPTTASKLEKESQLQPIQHKLKKKPERGARVSARTNVERDGDKHSRRRSRSTSRERYWEKDRERRKRRRSRSPRRDRRSGSRDRRRRSRSPRREGKLERRNRSRSLSPRESWRSERHGTREGKSKKEKNGAVNYSLLIQGYDSMLPPERLKAKMKLQLAEAAEKDPTTGPGWERFEFDKDAPVDDDEIEGGRSN >KJB23463 pep chromosome:Graimondii2_0_v6:4:17430182:17431638:1 gene:B456_004G099600 transcript:KJB23463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA33 [Source:Projected from Arabidopsis thaliana (AT5G57420) UniProtKB/Swiss-Prot;Acc:Q9FKM7] MNSFEPERHDSLKRRWQDKRLTNINTSSRFVASPAPPHSSSAPLTLTPNPSYNRRFPCLDDDYLVSTVVPPVTVVLEGRSICQRISLHKHASYHSLAKALRQMFVDGNDNEITSENDLDLSNAVPGHLIAYEDMENDLLLAGDLNWKDFVRVAKRIRILPAKGNSRKE >KJB26538 pep chromosome:Graimondii2_0_v6:4:58317086:58318652:-1 gene:B456_004G246300 transcript:KJB26538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIPRVKLGTQGFEVSKLGFGCMSLTGHDHSVSDEDGIAIIKHAVERGVTHIDTADLYGPKTNEILVGKALKHLPREKVQLATKFGVESMGPDGPVINGTPEFVRSSLEASLQRLDVDYIDLYYIIRVDRNTPIEDTMEELKKLVEEGKIKYIGISEASPETIRRAHAVHPLTAVQLEWSLWTRDVEEELIPLCRELGIGIVAYSPLGHGFFAGKAKGDTSNSSLVWKLTLYGFNLYLIYVIWVQE >KJB26536 pep chromosome:Graimondii2_0_v6:4:58316013:58318652:-1 gene:B456_004G246300 transcript:KJB26536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIPRVKLGTQGFEVSKLGFGCMSLTGHDHSVSDEDGIAIIKHAVERGVTHIDTADLYGPKTNEILVGKALKHLPREKVQLATKFGVESMGPDGPVINGTPEFVRSSLEASLQRLDVDYIDLYYIIRVDRNTPIEDTMEELKKLVEEGKIKYIGISEASPETIRRAHAVHPLTAVQLEWSLWTRDVEEELIPLCRELGIGIVAYSPLGHGFFAGKAKGDTSNSSLEQPRLKI >KJB26537 pep chromosome:Graimondii2_0_v6:4:58316013:58318652:-1 gene:B456_004G246300 transcript:KJB26537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIPRVKLGTQGFEVSKLGFGCMSLTGHDHSVSDEDGIAIIKHAVERGVTHIDTADLYGPKTNEILVGKALKHLPREKVQLATKFGVESMGPDGPVINGTPEFVRSSLEASLQRLDVDYIDLYYIIRVDRNTPIEDTMEELKKLVEEGKIKYIGISEASPETIRRAHAVHPLTAVQLEWSLWTRDVEEELIPLCRECYQGFKERTWSEIGSYIRK >KJB26535 pep chromosome:Graimondii2_0_v6:4:58315954:58318689:-1 gene:B456_004G246300 transcript:KJB26535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIPRVKLGTQGFEVSKLGFGCMSLTGHDHSVSDEDGIAIIKHAVERGVTHIDTADLYGPKTNEILVGKALKHLPREKVQLATKFGVESMGPDGPVINGTPEFVRSSLEASLQRLDVDYIDLYYIIRVDRNTPIEDTMEELKKLVEEGKIKYIGISEASPETIRRAHAVHPLTAVQLEWSLWTRDVEEELIPLCRELGIGIVAYSPLGHGFFAGKAKGDTSNSSLGVLPRFQGENLERNRILYSKVEKLAEKHGCTPAQLALAWVLHQGDDVSPIPGTTKIKNLDSNIESVKVKLTKEDLKEISDAIPIHEVAGGTYPDDLKQFTWKYGNTPPKKST >KJB26539 pep chromosome:Graimondii2_0_v6:4:58317394:58318652:-1 gene:B456_004G246300 transcript:KJB26539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIPRVKLGTQGFEVSKLGFGCMSLTGHDHSVSDEDGIAIIKHAVERGVTHIDTADLYGPKTNEILVGKALKHLPREKVQLATKFGVESMGPDGPVINGTPEFVRSSLEASLQRLDVDYIDLYYIIRVDRNTPIEDTMEELKKLVEEGKIKYIGISEASPETIRRAHAVHPLTAVQLEWSLWTRDVEEELIPLCRFILLSSIIVTFFF >KJB26783 pep chromosome:Graimondii2_0_v6:4:59527921:59535886:1 gene:B456_004G259300 transcript:KJB26783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKHFEDQVQAGEWDEVERYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLAFPAFKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFMDHSCSPSTNGARPPPPTSSPLVGPIPKAGTFPPIGAHVPFQPVVSPSSGAIAGWISSGNPSLPHAAAVAAGPPGLVQPSNAAAFLKHPRTPSGMPGMDYQSADSDHLMKRIRTGQPDEVSFSGIAHTPNVYSQDDIPKTVVRALNQGSNVMSMDFHPHQQTILLVGTNVGDISLWEVGSRERLAHKLFKVWDISAASMPLQTALLNDAVISVNRCVWAPDGLMLGVAFSKHIVQIYQYNSTGELRSHLEIDAHVGGVNDIAFAYPNKQFCIITCGDDKTIKVWDTVGGRRLHIFEGHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGLWCTTMAYSADGTRLFSCGTSKDGESHLVEWNESEGAIKRTYSGFRKRSLGVVQFDTTRNRFLAAGDEFQIKFWDMDNTAMLTAVDADGGLPASPRLRFNKEGSLLAVTTSDNGIKILANSDGSRLIRMLESRGVDKSRGPSEPVNSKPLIINALGPVGNAAIPPSLERPDRVPTAVSISSLGTMENSRLVDVKPRISDDADKIKGWRIPDIIDPSHLKVLRLSDAITAGKVVRLLYTNSGLALLALASNAVHKLWKWQRSERSPLGKATAYVAPQLWQPPSGTPMTNDINETKPAEESAACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPEATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKTKLKGHQNRITGLAFSQTLNSLVSSGADAQLCVWSIDGWEKKKSRFIQAPAGRQSPLAGETKVQFHNDQTHLLVVHESQIAIYDSKLECLRSLIFIFAVVSKRVIKCSHFECNIFLRWLTGLCRFL >KJB26782 pep chromosome:Graimondii2_0_v6:4:59527510:59535912:1 gene:B456_004G259300 transcript:KJB26782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKHFEDQVQAGEWDEVERYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLAFPAFKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFMDHSCSPSTNGARPPPPTSSPLVGPIPKAGTFPPIGAHVPFQPVVSPSSGAIAGWISSGNPSLPHAAAVAAGPPGLVQPSNAAAFLKHPRTPSGMPGMDYQSADSDHLMKRIRTGQPDEVSFSGIAHTPNVYSQDDIPKTVVRALNQGSNVMSMDFHPHQQTILLVGTNVGDISLWEVGSRERLAHKLFKVWDISAASMPLQTALLNDAVISVNRCVWAPDGLMLGVAFSKHIVQIYQYNSTGELRSHLEIDAHVGGVNDIAFAYPNKQFCIITCGDDKTIKVWDTVGGRRLHIFEGHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGLWCTTMAYSADGTRLFSCGTSKDGESHLVEWNESEGAIKRTYSGFRKRSLGVVQFDTTRNRFLAAGDEFQIKFWDMDNTAMLTAVDADGGLPASPRLRFNKEGSLLAVTTSDNGIKILANSDGSRLIRMLESRGVDKSRGPSEPVNSKPLIINALGPVGNAAIPPSLERPDRVPTAVSISSLGTMENSRLVDVKPRISDDADKIKGWRIPDIIDPSHLKVLRLSDAITAGKVVRLLYTNSGLALLALASNAVHKLWKWQRSERSPLGKATAYVAPQLWQPPSGTPMTNDINETKPAEESAACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPEATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKTKLKGHQNRITGLAFSQTLNSLVSSGADAQLCVWSIDGWEKKKSRFIQAPAGRQSPLAGETKVQFHNDQTHLLVVHESQIAIYDSKLECLRSWSPKESLNAPISSAIYSCDGSLVYAGFCDGAIGVFDSDNLRLRCRIAPSAYIPSLSVSGSNGAAYAVVIAAHPSEPNQIALGMSDGAVHVIEPSQDNGSHNPSNSSNPSLTVKQPTELPSR >KJB25896 pep chromosome:Graimondii2_0_v6:4:54763452:54764654:-1 gene:B456_004G214500 transcript:KJB25896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGRKRLVSYALFLAALFQCRFLAFAILDPLDFLALQLIRKSMNDLPGSSFFASWDFTSDPCNFTGVYCESDKVIALNLGDPRAGFPGLTGRLDTAIGKLSALTEFSLVPGRVFGSLPMSISRLENLRVLAITRNFISGDIPASLGRLRRLKTLDLSYNRLTGKIPRSIGTLPELTNVLLCHNNLSGSVPPFLSQALTRLDLKRNALTGSLPNSFSPSLQFLSLSWNQLTGPVDSVLSRLDQLSFLDLSFNRFTGPIPDRIFSFPLTNLHLQRNSFYGPVQPGDQVKISTVDLSHNMLSGRISPMFSTVQNLYLNHNRFTGQVPDSLVDRLLSASLQTLYLQHNFLTGIGINPTAEIPQSSSLCIQYNCMVPPVQTPCPLKFETQKTRPTSLCNEWKG >KJB27252 pep chromosome:Graimondii2_0_v6:4:61701393:61702243:1 gene:B456_004G286600 transcript:KJB27252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIVPLDGDVVIHPALPFSLVVAATAATIAIITGLCGFFRKPPPELQEPTSADTTSPTNEEQEPNTTADGGETEEGGNELLPPPPCMAALTFKNPENAGNLMKKSASTRSKLSSTFSVKKHLRSISVREIIEKGKHVQQKHHQEDSLWTKKIILGEKCKVSYGVEDDDEDQNGGNKGEVDTIPAAGNEDAIVDKEKEITSKEE >KJB27347 pep chromosome:Graimondii2_0_v6:4:62040274:62041493:1 gene:B456_004G292300 transcript:KJB27347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVTLFLVSVDVEVIKSATIADLKLAVQHVFSHMPKKGPGKISWPHVWGHFCLCYDGQKLLTDTDHIMNYGIKDGDQLHFIRHVTSSYNLTKIQSKRRMAAQKQSYLSISSSMSTLACEQNGEEDEDEDDKEAGRCKSGNDKKQSMIVQQECQFGQLWRGWSSHSKTSTVRRKGSSQGRVCQPRDGPGFVGNFRKIYQLWGTAKYSPKPK >KJB27346 pep chromosome:Graimondii2_0_v6:4:62039943:62041626:1 gene:B456_004G292300 transcript:KJB27346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRACLGYMVFLQLTQKGASPYFIDSMLSNQVQDLVIPRASLEKPRRSIGSSYSYSKLPEEPIKLSVRKLDGSSFDVEVIKSATIADLKLAVQHVFSHMPKKGPGKISWPHVWGHFCLCYDGQKLLTDTDHIMNYGIKDGDQLHFIRHVTSSYNLTKIQSKRRMAAQKQSYLSISSSMSTLACEQNGEEDEDEDDKEAGRCKSGNDKKQSMIVQQECQFGQLWRGWSSHSKTSTVRRKGSSQGRVCQPRDGPGFVGNFRKIYQLWGTAKYSPKPK >KJB24794 pep chromosome:Graimondii2_0_v6:4:45085936:45095481:-1 gene:B456_004G160900 transcript:KJB24794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEADSRLSQVVARALEKIIKNASWRKHSKLAHQCKALLERLTSPSGSPLASPDSEPENSIPGPLHDGSAVEYSLFESEFILSPLINACATAFNKIVDPAVDCIQKLIAYGYLRGEADPSGGPEAQLLSKLIESVCKCHDLSDDAIELLVLKTLLSAVTSVSLRIHGDCLLQIVRTCYDIYLGSKNVVNQTTAKASLVQMLVIVFRRMEADSSAVPVQPIVVAELMEPIEKSDADGSMTQFVQGFITKIMQDIDGVLNPVTPSRVSLGGHDGAFETTAVETTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKGELADGEADRDEDLEIQIGNKFKRDAFLVFRALCKLSMKTPPKEALADPLLMRGKIVALELLKILLENSGTVFRTSERFLGAIKQYLCLSLLKNSASTLMIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLRFLDKLCLDSQTLVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGVATTLLPPQEATMKLEAMRCLVAILRSMGDWMNKQLRIPDPYSTKRFEDVENSPEPGNVPMAILNGDEPAEGSDSHSEASNEASDVLTIEQRRAYKLELQEGISLFNRKPKKGIEFLIKANKVGDSPEEIAAFLKNASDLNKTLIGDYLGEREDLSLKVMHAYVDSFDFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFTSADTAYVLAYSVIMLNTDSHNPMVKNKMSADDFIRNNRGIDDGKDLPEEYLRSLFERISRNEIKMKENDLSLHQKQSVNSNRILGLDNILNIVIRKRDEDQHMETSDDLIRHMQEQFKEKARKSKSVYYAATDVVILRFMIEVCWAPMLAAFSVPLDQSDDEVVITLCLEGFRYAIHVTAVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIYAIKAIVTIADEDGNYLREAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQDESEKSKQAKSTNLPVLNKKGPGKIQYAAASVMRGSYDGAGIGGNSAGAVTSEQVDNLVSNLNMLEQVGSSEMNRIFTRSQKLNSEAIIDFVKALCKVSMEELRSTSDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSNFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFATAAYDNHKNIVLLAFEIMEKIIRDYFPYITETETTTFTDCVNCLVAFTNSRYNKDISLNAIAFLRFCAAKLAEGDLGSSSKKKEKENGKVSPSSPHKGKDGRQENGELIDKDDHLYFWFPLLAGLSELSFDPRPEIRKNALELLFETLRNHGHLFSLPLWERVFESVLFPIFDYVRHGIDPAGGDSNEEGIVSDMDELDQDAWLYETCTLALQLVVDLFVNFYNTVHPLLRKVLSLLISFIKRPHQSLAGIGTAAFVRLMSNAGDLFSEEKWLEVGYSLKEAANATLPDFSCVVSGDNMAGTNEHALNSQGNEASAGSDTSQGGSESLKTQHIYASLSEAKCRAAVQLLLIQAVMEIYNMYRTHLSAKNTLVLYEAIHDVASHAHRINIDSPLQSKLQEFSPMIQMQDPPLLRLENEAYQSCLTILQNLILDRPPRYEEVKVESHLVDLCQEVLLFYIETARSGQTSETSPEEQSQWLIPSVSGKRRELASRAPLIVATLQAICSLGDTLFEKNLVQFFPLLSSLISCEHGSNEVQVALSDMLSSSVGPVLLRSC >KJB23394 pep chromosome:Graimondii2_0_v6:4:14893403:14895098:1 gene:B456_004G095700 transcript:KJB23394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSAKTLLIVLAIFCPFYILFYISKHGKGKGKTFPGPRPLPIIGNLHMLGMLPHRSLYHLAKKHGPIMSIMLGSVRTIVVSSPQAAEMFLKTHDDVFASRPKLQVLQSIYNGKKGIAFTEYGPYWRSVRKLCSQQLFTVSKIESFAPSRKDVLTYFIESLKKAATTKEVVNISKMVGNLNEKMTLKMILGPVERYEEFNLQELIEELTNMVGVFNLADFVPFFGAFDLQGLKARTRTLGEKLDKALEMIINDHQQEKQDDFIGTMLTELNKQMNPNGDIMDRNSIKAITIDMFVASLDTSSTTLEWALSALIRHPRVMLKLQSELESIIGKRMVEENDLPKLEYLDMVVSETLRLYPVAPMLIPRESMKDIIIDGCYISKKSRVIVNVFAIGRDPNVWSNNVEEFFPERFINSNIELHGHDFELIPFGSGRRVCPGKKLGLITVKLILAQLVHCFDWELPGGMSPNDLDMTEKFSASLPRKTNLCAKPIYRM >KJB22749 pep chromosome:Graimondii2_0_v6:4:6466423:6478018:1 gene:B456_004G064500 transcript:KJB22749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPLERLGSEAAMGLESTIKEELSMEIDPPLKENLATAEDWRKALNKVVPAVVVLRTTACRAFDTEPAGASYATGFVVDKRRGIILTNRHVVKPGPVVAEAMFVNREEITVHPIYRDPVHDFGFFRYNPDAIQFLDYEEIPLSPEAACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALKFLQKGGDSYMSKWEAISIPRGTLQATFVHKGFDEIRRLGLQSETEQIARHASALGETGMLVVDSVVPGGPAHSHLEPGDVLVRVNGEVITQFLKLETLLDDSVDQKIELEIERGGTPLSVQLVVQDLHSITPAHFLEVSGAVIHSLSYQQARNFRFQCGLVYVSEPGYMLFRAAVPRHAIIKKFAGEEISKLEDLVSVLSKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDDSSGLWTAKPAFQLDSMIPSSGVNGKATHMEHVNQVNHQELTDGMFSMETCCEHASAELNSHNEAGIGSKKRRVEEDLSSDGVLADGSLNETGEVKLENKSATENAMVSDYPGATAAAANASIAEQVIEPTLVMFEVHVPPSCMLDGVHSQHFFGTGVIIYHSHSMGLVAVDKNTVAISSSDVMLSFAAYPIEIPGEVCYLT >KJB22748 pep chromosome:Graimondii2_0_v6:4:6466408:6485032:1 gene:B456_004G064500 transcript:KJB22748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPLERLGSEAAMGLESTIKEELSMEIDPPLKENLATAEDWRKALNKVVPAVVVLRTTACRAFDTEPAGASYATGFVVDKRRGIILTNRHVVKPGPVVAEAMFVNREEITVHPIYRDPVHDFGFFRYNPDAIQFLDYEEIPLSPEAACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALKFLQKGGDSYMSKWEAISIPRGTLQATFVHKGFDEIRRLGLQSETEQIARHASALGETGMLVVDSVVPGGPAHSHLEPGDVLVRVNGEVITQFLKLETLLDDSVDQKIELEIERGGTPLSVQLVVQDLHSITPAHFLEVSGAVIHSLSYQQARNFRFQCGLVYVSEPGYMLFRAAVPRHAIIKKFAGEEISKLEDLVSVLSKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDDSSGLWTAKPAFQLDSMIPSSGVNGKATHMEHVNQVNHQELTDGMFSMETCCEHASAELNSHNEAGIGSKKRRVEEDLSSDGVLADGSLNETGEVKLENKSATENAMVSDYPGATAAAANASIAEQVIEPTLVMFEVHVPPSCMLDGVHSQHFFGTGVIIYHSHSMGLVAVDKNTVAISSSDVMLSFAAYPIEIPGEVVFLHPVHNYALVAYDPSALGPVGASVVRAAELLPEPTLRRGDSVYLVGLSRSLQATSRKSVVTNPCAALNIASADCPRYRATNMEVIELDTDFGSTFSGVLTDEHGRVQAIWGSFSTQLKFGCNTSEDHQFVRGIPVYAISQVLDKIIAGANGPPLLINGVKRPMPLVRILEVEFYPTLLSKARSFGLSDDWIQALVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAVNKEPVTCFRDIENACQALDNGDNSGNLNMTIFRQGCEIDLLVGTDVRDGNGTTRVINWCGCIVQDPHPAVRALGYLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEINGKSTPDLDAFVNVTKELEHGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDPESAIWHRRMIKTLDCRNL >KJB22751 pep chromosome:Graimondii2_0_v6:4:6469838:6484925:1 gene:B456_004G064500 transcript:KJB22751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWEAISIPRGTLQATFVHKGFDEIRRLGLQSETEQIARHASALGETGMLVVDSVVPGGPAHSHLEPGDVLVRVNGEVITQFLKLETLLDDSVDQKIELEIERGGTPLSVQLVVQDLHSITPAHFLEVSGAVIHSLSYQQARNFRFQCGLVYVSEPGYMLFRAAVPRHAIIKKFAGEEISKLEDLVSVLSKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDDSSGLWTAKPAFQLDSMIPSSGVNGKATHMEHVNQVNHQELTDGMFSMETCCEHASAELNSHNEAGIGSKKRRVEEDLSSDGVLADGSLNETGEVKLENKSATENAMVSDYPGATAAAANASIAEQVIEPTLVMFEVHVPPSCMLDGVHSQHFFGTGVIIYHSHSMGLVAVDKNTVAISSSDVMLSFAAYPIEIPGEVVFLHPVHNYALVAYDPSALGPVGASVVRAAELLPEPTLRRGDSVYLVGLSRSLQATSRKSVVTNPCAALNIASADCPRYRATNMEVIELDTDFGSTFSGVLTDEHGRVQAIWGSFSTQLKFGCNTSEDHQFVRGIPVYAISQVLDKIIAGANGPPLLINGVKRPMPLVRILEVEFYPTLLSKARSFGLSDDWIQALVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAVNKEPVTCFRDIENACQALDNGDNSGNLNMTIFRQGCEIDLLVGTDVRDGNGTTRVINWCGCIVQDPHPAVRALGYLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEINGKSTPDLDAFVNVTKELEHGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDPESAIWHRRMIKTLDCRNL >KJB22750 pep chromosome:Graimondii2_0_v6:4:6466423:6480629:1 gene:B456_004G064500 transcript:KJB22750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPLERLGSEAAMGLESTIKEELSMEIDPPLKENLATAEDWRKALNKVVPAVVVLRTTACRAFDTEPAGASYATGFVVDKRRGIILTNRHVVKPGPVVAEAMFVNREEITVHPIYRDPVHDFGFFRYNPDAIQFLDYEEIPLSPEAACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALKFLQKGGDSYMSKWEAISIPRGTLQATFVHKGFDEIRRLGLQSETEQIARHASALGETGMLVVDSVVPGGPAHSHLEPGDVLVRVNGEVITQFLKLETLLDDSVDQKIELEIERGGTPLSVQLVVQDLHSITPAHFLEVSGAVIHSLSYQQARNFRFQCGLVYVSEPGYMLFRAAVPRHAIIKKFAGEEISKLEDLVSVLSKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDDSSGLWTAKPAFQLDSMIPSSGVNGKATHMEHVNQVNHQELTDGMFSMETCCEHASAELNSHNEAGIGSKKRRVEEDLSSDGVLADGSLNETGEVKLENKSATENAMVSDYPGATAAAANASIAEQVIEPTLVMFEVHVPPSCMLDGVHSQHFFGTGVIIYHSHSMGLVAVDKNTVAISSSDVMLSFAAYPIEIPGEVVFLHPVHNYALVAYDPSALGPVGASVVRAAELLPEPTLRRGDSVYLVGLSRSLQATSRKSVVTNPCAALNIASADCPRYRATNMEVIELDTDFGSTFSGVLTDEHGRVQAIWGSFSTQLKFGCNTSEDHQFVRGIPVYAISQVLDKIIAGANGPPLLINGVKRPMPLVRILEVEFYPTLLSKARSFGLSDDWIQVLLLFLYSINFVEQFSF >KJB22747 pep chromosome:Graimondii2_0_v6:4:6466387:6484977:1 gene:B456_004G064500 transcript:KJB22747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPLERLGSEAAMGLESTIKEELSMEIDPPLKENLATAEDWRKALNKVVPAVVVLRTTACRAFDTEPAGASYATGFVVDKRRGIILTNRHVVKPGPVVAEAMFVNREEITVHPIYRDPVHDFGFFRYNPDAIQFLDYEEIPLSPEAACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALKFLQKGGDSYMSKWEAISIPRGTLQATFVHKGFDEIRRLGLQSETEQIARHASALGETGMLVVDSVVPGGPAHSHLEPGDVLVRVNGEVITQFLKLETLLDDSVDQKIELEIERGGTPLSVQLVVQDLHSITPAHFLEVSGAVIHSLSYQQARNFRFQCGLVYVSEPGYMLFRAAVPRHAIIKKFAGEEISKLEDLVSVLSKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDDSSGLWTAKPAFQLDSMIPSSGVNGKATHMEHVNQVNHQELTDGIGSKKRRVEEDLSSDGVLADGSLNETGEVKLENKSATENAMVSDYPGATAAAANASIAEQVIEPTLVMFEVHVPPSCMLDGVHSQHFFGTGVIIYHSHSMGLVAVDKNTVAISSSDVMLSFAAYPIEIPGEVVFLHPVHNYALVAYDPSALGPVGASVVRAAELLPEPTLRRGDSVYLVGLSRSLQATSRKSVVTNPCAALNIASADCPRYRATNMEVIELDTDFGSTFSGVLTDEHGRVQAIWGSFSTQLKFGCNTSEDHQFVRGIPVYAISQVLDKIIAGANGPPLLINGVKRPMPLVRILEVEFYPTLLSKARSFGLSDDWIQALVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAVNKEPVTCFRDIENACQALDNGDNSGNLNMTIFRQGCEIDLLVGTDVRDGNGTTRVINWCGCIVQDPHPAVRALGYLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEINGKSTPDLDAFVNVTKELEHGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDPESAIWHRRMIKTLDCRNL >KJB27366 pep chromosome:Graimondii2_0_v6:4:62162702:62163223:1 gene:B456_004G294100 transcript:KJB27366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSGINGVGNRYRCICILFQVQDVSDSLLLYYGALLLGIYLRLSHLLVSKYNMLKCVICYSVRSNNL >KJB22496 pep chromosome:Graimondii2_0_v6:4:4602695:4604685:-1 gene:B456_004G050400 transcript:KJB22496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVQRPASSGSESDPRNANIDERKRKRMLSNRESARRSRMKKRKLMEDLGNEVSLLQKENSRLSKEINASTQRYIEMESANNLLRAEAMGLTERLRSLNSVLHIVEEVNGHAVEIPEIPDDPLLKPLVVAVPEANYGVSR >KJB27348 pep chromosome:Graimondii2_0_v6:4:62042197:62043249:-1 gene:B456_004G292400 transcript:KJB27348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGSLSHSVLRKILLSYTYVAIWIFLSFTVIVYNKYILDKKMYNWPFPISLTIIHMSFCASLAFLLINVFKFVEPVSMSSHLYLSSVVPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLLKKEPFKPNTMLNMLSISLGVAIAAYGEARFDTWGVILQLGAVSFEATRLVMIQILLTSKGITLNPITSLYYVAPCCLVFLLVPWIYVEFPVLKEISDFHFDFLIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHSKLQALKVKEAQKTTTEAGEEAGRLLKDTQGQETGSSNTESQN >KJB25624 pep chromosome:Graimondii2_0_v6:4:52679541:52682845:1 gene:B456_004G200800 transcript:KJB25624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTKPLLPASNSDGSYLTGSRVNILKPIKVYLTIFCGLLAVGLFAALLIGNYWSDHARIHGSLATSTSKRTHPLRPVSRGPAQGVSEKTSGIWAGVSRGPTQGVSEKTNRVRAGVPWNILPEPWNSTMLEWQRTAFHFQPEKNWMNDPNGPLFYNGWYHFFYQYNPNGAVWGDIVWGHAVSKDLINWFHLPLAMVADQWYDKNGVWTGSATILPDGKIVMLYTGSTTEAVQVQNLAFPANHSDPFLIDWVKYHGNPVLVPPPGIYKKDFRDPTTAWLTSEGKWRITIGSKRDKTGISLVYDTKDFINYEMLEGELHAVSGTGMWECVDFFPVSKTEEKGLDTSINGPEVKHVVKVSLDDDRHDYYALGTYHEKNGSWIPDLPEIDVGIGIRYDYGIFYASKTFYDQNKNRRVLWGWIGEGDSEAADMEKGWASLQSIPRTILFDNNTGTNLLQWPVEEIESLRLNSTEFEKVEVKAGSVVPLDVGPGSQLDIIAEFEMDKEALKKATGSNITFSCQTGGGAAKSGALGPFGILVLADESLSEQTPVYFYIAKDSDGDLKTFFCTDLSRSSKAPDVDKQIFGSYVPVLKDENLSLRVLVDHSIVESFAQGGRTVITSRIYPTEAINGASRVFLFNNATETCVIASVKIWQMDSAFIRPYSDDDDKDDDDRKSSAPCLLLCPINYIVSCVTCLYFIYLVSKLS >KJB21664 pep chromosome:Graimondii2_0_v6:4:476939:480859:1 gene:B456_004G007700 transcript:KJB21664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLPPPYVLYENATYEFCFVLPPKVNSAGIWENATDPSMIFSYSLPFLELQFVMIFLVNHLVYTILRSIGITLFASQMFELGIQIMDTVSLFGFTLFFFLTGVKMDIKSAFRTTKRSLGIGIVSLLSPILVGGAVQVTLRQPNEPEHIRTERLVGTLIEALTSFPVIACLLAELKILNTELGRLALSSAAVGDLSTLFLVHIITFSRHISSSPFSVLVRGVIMCCFIALIFFVFRPLMYWVIKKTPNGGPIAEVYITTTMMVAIGCAVLTHWTDRSPLIGAFLFGLAVPDGPPLGSALIDKFECFTNGLFLSVYVTSSTMRVRLQNWLSDPSHVKFSIIFAIATFFAKLIPCCIGSFLNFMPFRDALAFGLIMSSKGIVQLSHICTFRDNKIISQNVFTAMIFCILANVTIVPLLVRFLYDPNSRKYRSYETRNLMHLKPDAELRVLACVHTPDNVPAMIYLLDLSCPTKESPNLVYVLHLIELRGRNSPVFIAHHNQETSTAPSSFFENIIPFYEYEGNNWDLVTVNAFTTITPLKLMHDDICTMALDKKTSFIILPFHRKWSIDGSLEEENNVVKNLNCNILDQAPCSIGILIDRGRIQKSMKPSSPSFSIGMLFLGGNDDREALVLAKRMARDPRVKLTVIHLTAYQDCKNVVYWDTVLDIEMLKDVKQNNGVLGNGCDIKYVEEVSNSGAQTIKLIRSIANGYDLMIVGRRYGVESILLTGLSEWSEFPDLGVVGDLFASMDLDSRVSVLVVQQQKCTNFKL >KJB24813 pep chromosome:Graimondii2_0_v6:4:45309310:45309423:1 gene:B456_004G162100 transcript:KJB24813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRASVSKIYEKCRLIRRRGRIIVICFNPRHKQRQG >KJB24445 pep chromosome:Graimondii2_0_v6:4:40955146:40956132:1 gene:B456_004G145700 transcript:KJB24445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYESFATISSCTVCPFPSIVGSDTSVVNSGRHLIFFVTLPTPQFEAVEFAPIAKSTRESISNMFSLIVIQVNC >KJB24444 pep chromosome:Graimondii2_0_v6:4:40955146:40956132:1 gene:B456_004G145700 transcript:KJB24444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYESFATISSCTVCPFPSIVGSDTSVVNSGRHLIFFVTLPTPQFEAVEFAPIAKSTRESISNMFSLIVIQVNC >KJB27086 pep chromosome:Graimondii2_0_v6:4:61013824:61014084:1 gene:B456_004G277000 transcript:KJB27086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGIVCIFRWGFPGKNRRIFLRFLMKDIQSIRIEVKEGIYARHVLYMEIRGQRAVPLTRTDENLTPREIEQKAAELAYFLRVPIEVF >KJB27013 pep chromosome:Graimondii2_0_v6:4:60683175:60687351:1 gene:B456_004G272100 transcript:KJB27013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSNSNKMQKLASIDAQLRLLVPSKVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKNDPKKLEELGNVLTSLDPGDSIVVAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFVDENSATTESDIEETLKRLVVDLNKSPEEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLAQLYGKDISPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARLMAANLYYSQIEDLMFELSMWRCSDELRVRAEELHRSSRRDAKHYIEFWKQVPPNEPYRVILGDVRDKLYQTRERSRQMLSHGISDIPVEATFTNIEQFLEPLELCYRSLCSCGDQPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDAIDAITKYLEIGSYREWSEEQKQEWLLSELRGKRPLFGLDLPKTEEIADVLDTFHVIAELPADSFGAYIISMATTPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEKSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVVATEEYRSIVFKEPRFVEYFRLVSELAYSHS >KJB27012 pep chromosome:Graimondii2_0_v6:4:60683004:60687518:1 gene:B456_004G272100 transcript:KJB27012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSNSNKMQKLASIDAQLRLLVPSKVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKNDPKKLEELGNVLTSLDPGDSIVVAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFVDENSATTESDIEETLKRLVVDLNKSPEEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLAQLYGKDISPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARLMAANLYYSQIEDLMFELSMWRCSDELRVRAEELHRSSRRDAKHYIEFWKQVPPNEPYRVILGDVRDKLYQTRERSRQMLSHGISDIPVEATFTNIEQFLEPLELCYRSLCSCGDQPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDAIDAITKYLEIGSYREWSEEQKQEWLLSELRGKRPLFGLDLPKTEEIADVLDTFHVIAELPADSFGAYIISMATTPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEKSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVVATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFRAAFKHAIQKDIKNLHMLRQMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDNLLVSQELWSFGERLRTNYEETKSLLLQIAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPSYDVKFRPHISKEIMESNKSADELVKLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >KJB21631 pep chromosome:Graimondii2_0_v6:4:343867:348639:1 gene:B456_004G005400 transcript:KJB21631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELDRRPMIGSSEGSFGDDLEKEIGLLLREQHSRQDADDLERELNLYRSGSAPPTVEGSLSAVGGLFGGATSSAGTGGGFSASAFSAFAGVKNGNGFASEEELRSDPAYHSYYYSNVNLNPRLPPPLLSKEDWKFAQRLKGEGLAIGGIGDRRKLNRADNGSNRSLFSMPPGFDTRKQENEVEAEKVHTSADWGGDGLIGLSGIGLGSKQKSFAEIFQDDLGHTAPVARIPSRPASRNAFDENFENVGSAESELAHLRRQLTSADPLRSSASGQAVHNIGPPSSYTYAAAVGASMSRSTTPDPQLVARASSPCLTPIGGGRVGNSEKRNINSPSTFSGITSGVNGSDDLVAALSGMNLSSNHGIDEDNQLASQIEQDVENHQNYLFGLQDGQDHIKQQAYLKKPEPGHLHMPSVKSNGIRSDLKSPSLLSDRQAVLQKSAVPSNNSYLKGSPASTLNGSGSLPAQYQHGDGANASFPNYGLSGYSLNPALANMVPSQLGSGNLPPLFENVAAASAMAVPGMDSRLLGGAFGSGQNISNAASESHNLGRVGSQIAGNALNAPFIDPLYLQYLRTSDYAAAQQAALNDPTMDRNFLGNSYMNLLELQKAYLGALLSPQKSQYVPLGAKSSSSNLHGFYGNTTFGAGMAYPGSPLANAVLPNSPVGPGSPIRHTDLNMRFPSGMRNLAGSVMGPWHLDSGCNIDESFSSSLLEEFKGNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEEKTMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPSQRRELAGKLFGHVLTLGLQMYGCRVIQKAIEVVDLDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEENIQFIVTTFFDQVVTLSAHPYGCRVIQRILEHCKDPKTQSKVMDEILGSVSMLAQDQYGNYVVQHVLEHGKPHERSIIIKELAGKIVQMSQQKFASNVVEKCLTFGGPSERQLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGGTDTFLPSMTAL >KJB21630 pep chromosome:Graimondii2_0_v6:4:343365:349735:1 gene:B456_004G005400 transcript:KJB21630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELDRRPMIGSSEGSFGDDLEKEIGLLLREQHSRQDADDLERELNLYRSGSAPPTVEGSLSAVGGLFGGATSSAGTGGGFSASAFSAFAGVKNGNGFASEEELRSDPAYHSYYYSNVNLNPRLPPPLLSKEDWKFAQRLKGEGLAIGGIGDRRKLNRADNGSNRSLFSMPPGFDTRKQENEVEAEKVHTSADWGGDGLIGLSGIGLGSKQKSFAEIFQDDLGHTAPVARIPSRPASRNAFDENFENVGSAESELAHLRRQLTSADPLRSSASGQAVHNIGPPSSYTYAAAVGASMSRSTTPDPQLVARASSPCLTPIGGGRVGNSEKRNINSPSTFSGITSGVNGSDDLVAALSGMNLSSNHGIDEDNQLASQIEQDVENHQNYLFGLQDGQDHIKQQAYLKKPEPGHLHMPSVKSNGIRSDLKSPSLLSDRQAVLQKSAVPSNNSYLKGSPASTLNGSGSLPAQYQHGDGANASFPNYGLSGYSLNPALANMVPSQLGSGNLPPLFENVAAASAMAVPGMDSRLLGGAFGSGQNISNAASESHNLGRVGSQIAGNALNAPFIDPLYLQYLRTSDYAAAQQAALNDPTMDRNFLGNSYMNLLELQKAYLGALLSPQKSQYVPLGAKSSSSNLHGFYGNTTFGAGMAYPGSPLANAVLPNSPVGPGSPIRHTDLNMRFPSGMRNLAGSVMGPWHLDSGCNIDESFSSSLLEEFKGNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEEKTMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPSQRRELAGKLFGHVLTLGLQMYGCRVIQKAIEVVDLDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEENIQFIVTTFFDQVVTLSAHPYGCRVIQRILEHCKDPKTQSKVMDEILGSVSMLAQDQYGNYVVQHVLEHGKPHERSIIIKELAGKIVQMSQQKFASNVVEKCLTFGGPSERQLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSPHPTA >KJB21633 pep chromosome:Graimondii2_0_v6:4:343524:349685:1 gene:B456_004G005400 transcript:KJB21633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELDRRPMIGSSEGSFGDDLEKEIGLLLREQHSRQDADDLERELNLYRSGSAPPTVEGSLSAVGGLFGGATSSAGTGGGFSASAFSAFAGVKNGNGFASEEELRSDPAYHSYYYSNVNLNPRLPPPLLSKEDWKFAQRLKGEGLAIGGIGDRRKLNRADNGSNRSLFSMPPGFDTRKQENEVEAEKVHTSADWGGDGLIGLSGIGLGSKQKSFAEIFQDDLGHTAPVARIPSRPASRNAFDENFENVGSAESELAHLRRQLTSADPLRSSASGQAVHNIGPPSSYTYAAAVGASMSRSTTPDPQLVARASSPCLTPIGGGRVGNSEKRNINSPSTFSGITSGVNGSDDLVAALSGMNLSSNHGIDEDNQLASQIEQDVENHQNYLFGLQDGQDHIKQQAYLKKPEPGHLHMPSVKSNGIRSDLKSPSLLSDRQAVLQKSAVPSNNSYLKGSPASTLNGSGSLPAQYQHGDGANASFPNYGLSGYSLNPALANMVPSQLGSGNLPPLFENVAAASAMAVPGMDSRLLGGAFGSGQNISNAASESHNLGRVGSQIAGNALNAPFIDPLYLQYLRTSDYAAAQQAALNDPTMDRNFLGNSYMNLLELQKAYLGALLSPQKSQYVPLGAKSSSSNLHGFYGNTTFGAGMAYPGSPLANAVLPNSPVGPGSPIRHTDLNMRFPSGMRNLAGSVMGPWHLDSGCNIDESFSSSLLEEFKGNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEEKTMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPSQRRELAGKLFGHVLTLGLQMYGCRVIQKAIEVVDLDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEENIQFIVTTFFDQVVTLSAHPYGCRVIQRILEHCKDPKTQSKVMDEILGSVSMLAQDQYGNYVVQHVLEHGKPHERSIIIKELAGKIVQMSQQKFASNVVEKCLTFGGPSERQLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGGDIAFFFQKGELLLNLHTLLLSWCRK >KJB21634 pep chromosome:Graimondii2_0_v6:4:343524:350631:1 gene:B456_004G005400 transcript:KJB21634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELDRRPMIGSSEGSFGDDLEKEIGLLLREQHSRQDADDLERELNLYRSGSAPPTVEGSLSAVGGLFGGATSSAGTGGGFSASAFSAFAGVKNGNGFASEEELRSDPAYHSYYYSNVNLNPRLPPPLLSKEDWKFAQRLKGEGLAIGGIGDRRKLNRADNGSNRSLFSMPPGFDTRKQENEVEAEKVHTSADWGGDGLIGLSGIGLGSKQKSFAEIFQDDLGHTAPVARIPSRPASRNAFDENFENVGSAESELAHLRRQLTSADPLRSSASGQAVHNIGPPSSYTYAAAVGASMSRSTTPDPQLVARASSPCLTPIGGGRVGNSEKRNINSPSTFSGITSGVNGSDDLVAALSGMNLSSNHGIDEDNQLASQIEQDVENHQNYLFGLQDGQDHIKQQAYLKKPEPGHLHMPSVKSNGIRSDLKSPSLLSDRQAVLQKSAVPSNNSYLKGSPASTLNGSGSLPAQYQHGDGANASFPNYGLSGYSLNPALANMVPSQLGSGNLPPLFENVAAASAMAVPGMDSRLLGGAFGSGQNISNAASESHNLGRVGSQIAGNALNAPFIDPLYLQYLRTSDYAAAQQAALNDPTMDRNFLGNSYMNLLELQKAYLGALLSPQKSQYVPLGAKSSSSNLHGFYGNTTFGAGMAYPGSPLANAVLPNSPVGPGSPIRHTDLNMRFPSGMRNLAGSVMGPWHLDSGCNIDESFSSSLLEEFKGNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEEKTMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPSQRRELAGKLFGHVLTLGLQMYGCRVIQKAIEVVDLDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEENIQFIVTTFFDQVVTLSAHPYGCRVIQRILEHCKDPKTQSKVMDEILGSVSMLAQDQYGNYVVQHVLEHGKPHERSIIIKELAGKIVQMSQQKFASNVVEKCLTFGGPSERQLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSPHPTA >KJB21632 pep chromosome:Graimondii2_0_v6:4:343524:349685:1 gene:B456_004G005400 transcript:KJB21632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELDRRPMIGSSEGSFGDDLEKEIGLLLREQHSRQDADDLERELNLYRSGSAPPTVEGSLSAVGGLFGGATSSAGTGGGFSASAFSAFAGVKNGNGFASEEELRSDPAYHSYYYSNVNLNPRLPPPLLSKEDWKFAQRLKGEGLAIGGIGDRRKLNRADNGSNRSLFSMPPGFDTRKQENEVEAEKVHTSADWGGDGLIGLSGIGLGSKQKSFAEIFQDDLGHTAPVARIPSRPASRNAFDENFENVGSAESELAHLRRQLTSADPLRSSASGQAVHNIGPPSSYTYAAAVGASMSRSTTPDPQLVARASSPCLTPIGGGRVGNSEKRNINSPSTFSGITSGVNGSDDLVAALSGMNLSSNHGIDEDNQLASQIEQDVENHQNYLFGLQDGQDHIKQQAYLKKPEPGHLHMPSVKSNGIRSDLKSPSLLSDRQAVLQKSAVPSNNSYLKGSPASTLNGSGSLPAQYQHGDGANASFPNYGLSGYSLNPALANMVPSQLGSGNLPPLFENVAAASAMAVPGMDSRLLGGAFGSGQNISNAASESHNLGRVGSQIAGNALNAPFIDPLYLQYLRTSDYAAAQQAALNDPTMDRNFLGNSYMNLLELQKAYLGALLSPQKSQYVPLGAKSSSSNLHGFYGNTTFGAGMAYPGSPLANAVLPNSPVGPGSPIRHTDLNMRFPSGMRNLAGSVMGPWHLDSGCNIDESFSSSLLEEFKGNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEEKTMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPSQRRELAGKLFGHVLTLGLQMYGCRVIQKAIEVVDLDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEENIQFIVTTFFDQVVTLSAHPYGCRVIQRILEHCKDPKTQSKVMDEILGSVSMLAQDQYGNYVVQHVLEHGKPHERSIIIKELAGKIVQMSQQKFASNVVEKCLTFGGPSERQLLVNEMLGSTDENEPLQVWSILC >KJB24917 pep chromosome:Graimondii2_0_v6:4:46637149:46640295:1 gene:B456_004G168500 transcript:KJB24917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVISYNSPRCCNLNRRLVVKKSSSRQQVKIPLCRKHRILCTRVQFRSGSLGLPNKHHLFLKNVYHGKGSRPFKALEGFNNVDSKVLSGNYNGYVIGSEDEMGRMSETGESVTKVLIPGLPDESNGECGARISSCFWQWKPKFNVHYEKSGAENVNSPPLLFLPGFGVGSFHYENQLKDLGREYRVWAIDFLGQGMSLPFEDPTSPSREEGITEGKDFLWGFGDKTEPWANGLVYSMDLWRDQVRHFVEEVIGEPVYIVGNSLGGFVALYFAACNPQLVKGVTLLNATPFWGFIPNPMKSPRLARIFSWSGTFPLPANVRKLTEFVWQKISDPESMADVLRQVYADHSINVDKVFSHILETTQHPAAAASFASIMFAPQGELSFREALSRCHMNNVPICLMYGKEDPWVKPVWGRQVKKQVPEAPYYEISPAGHCPHDEVPEVVNYLLRGWIKNRESEGAVGLPLLDDMEMESIQNNITRDLEFVREGSKKSVMVRFLGSKFSLWNLIKSSLKSRFGKLETKSP >KJB23626 pep chromosome:Graimondii2_0_v6:4:22006948:22007055:1 gene:B456_004G107800 transcript:KJB23626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVYKFLLVSTLGIIFLAIFFREPPKILTEKMK >KJB26630 pep chromosome:Graimondii2_0_v6:4:58901634:58903041:1 gene:B456_004G251300 transcript:KJB26630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSYEDFEPLCKWRRDQNGDKLEVHLPGFRRQQLKVEIHSSGILEISGERLMEEGKSKRIISRFRKEFPVSEDYQRTQIRAKFYNGILHLVMPKQIIPTISAPAGGGGDENNDDKASSSGTSYLTCSMKLNKNLALENHDISNFLGNCCSLCEEILSMFFFGISCTKFVISASYNMYPYCMTEDDIM >KJB26212 pep chromosome:Graimondii2_0_v6:4:56572327:56573924:-1 gene:B456_004G230300 transcript:KJB26212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMSSFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFCTLHAAQRFNLFENKSIDIKTVMLFGILNGVSIGFLNLSLGFNSIGFYQMTKLAIIPFTVLLETVFLKKQFSQKIKLSLFLLLVGVGIASITDLQLNFVGTILSLLAIATTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAILFVSGPLVDQFLTKQNVFAYKYSPIVLAFIILSCIISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYTLLHDPFTDRNILGILIAIFGMGLYSYFCTQENKKKQADPLECQMKDKEDKPFLAHEKEGHEVKSSDKNSIV >KJB26213 pep chromosome:Graimondii2_0_v6:4:56572048:56574426:-1 gene:B456_004G230300 transcript:KJB26213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMSSFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFCTLHAAQRFNLFENKSIDIKTVMLFGILNGVSIGFLNLSLGFNSIGFYQMTKLAIIPFTVLLETVFLKKQFSQKIKLSLFLLLVGVGIASITDLQLNFVGTILSLLAIATTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAILFVSGPLVDQFLTKQNVFAYKYSPIVLAFIILSCIISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYTLLHDPFTDRNILGILIAIFGMGLYSYFCTQENKKKQADPLECQMKDKEDKPFLAHEKEGHEVKSSDKNSIV >KJB22591 pep chromosome:Graimondii2_0_v6:4:5328541:5331721:1 gene:B456_004G055800 transcript:KJB22591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSTASVSPSFLSALLSCRHFSVARICRCPSPVHGSYRWVCGISDSLSNNTLNASSSSPNRLMLFSTMAGTILVQARDPAKLNMEILNAIDERRYIDTWKLYEQHMQMEGFPRKSVVNRLLTGFVESLDVKWLEKAYAMVEQAFEESKQNLLEKEPLIYLSLGLAKCGLPVPASTILRKLVETEQFPPVTAWSAILAHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTAFNIALAGCLLFCTTRKAEQLLDIMPRICVKADANLLIVMAHIYERNGRREELKKLQRHIDEACNLTDIQFRQFYNCLLTCHLKFGDLDSASNMVLEMLRKAKDARNSLAVATLVLETARNDNRAFTARISGPNLSQNEPEGSHKDRLIEHQIISYDEFSRDRNFVKIGNEAKEVLLSLLAKLQTQVELITTEHGILQPTEKIYVKLVKAFLEAGKLKDLARFLIKAEKEDSPVSNDDSALVHVIKSCISLGWLDQAHDLLDEMRFAGVRTGSSVYASLLKAYCKANRLQEVTSLLRDAQKAGIQLDSSCYDTLIQSQVLRQDTQGALDLFKEMKEAKIPRGGNPEFEQLVEGCAGNAEAGLMAKLLREIREGQKLDSGVHDWNNVIHFFCKKRLMADAEKALAKMRSLGHTPNAQTFHSMVTGYAAIGGKYIEVTELWGEMKSLASSATMKFDQELLDSLLYTFVRGGFFIRANEVVDMMEKGNMFIDKYKYRTLYLKYHKTLYKGKTPKFQTESQLKKREAALSFKKWIGLC >KJB27147 pep chromosome:Graimondii2_0_v6:4:61261935:61266517:-1 gene:B456_004G280600 transcript:KJB27147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRDKDQTTSHHQPLLSSLVVGPSASDGADGGASGGRGRGGGGSDYEPGEVRREPHPYSRSDRYKDEPGYRIRAGSSSPIRRRDADHHGSHFDRSGGPSRGRDFGNGRDPSRYRDSSPPYSRGPGGGRQHSSRGFDGTGYGPGPIGGEGMTRNNPNVRPREGDWICPDPLCANLNFARREYCNNCKRFRYAPTGSPRGGYPGPPPPHAPPRRFPGSPVDLSPPRRNINGNFRSPPRSWAREGPRDFRAAGPPPPRHEGRFFDQSMRRDRLEYLDDDYRGRSRFDRPMPMDWGQRDRGRDHFFNSRKGYERRPPSPPLPPPQLPPRGRPRDMRERSRSPIRGAPPPKEYRRDMYMERGREDLRGGAGRDRIGGPY >KJB25671 pep chromosome:Graimondii2_0_v6:4:53266910:53268236:1 gene:B456_004G203300 transcript:KJB25671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKNLPRSFPSFRRRRPPYPSSTSILLTPPPHRRSLKRSSKHSRILKRCASEPCLWSSTRTFMGSKGAESPLFGPQTSIDAFASSPSLSDFASPKQSFEGYNKEAKVVINVWVERSPGPVRTMVKLGASVEDAIKLVLHKYADERRTPKLDYSLGFELHHSYFSLQSLDKLQLIGDASCRTFYLRKKSSLDHCRNGGSGSYVSEIGPAKAKSPAYFVPAFMARTVAKIVRGIRRLWKVFLCFR >KJB21408 pep chromosome:Graimondii2_0_v6:4:34221670:34222229:1 gene:B456_004G1286001 transcript:KJB21408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFSSMIPKLSSKLAKCG >KJB22112 pep chromosome:Graimondii2_0_v6:4:2388361:2388714:1 gene:B456_004G030100 transcript:KJB22112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFNFFFILSLYALLWISCSATRLLLPFSVSDEFSSFRGRYLPQSAVITSVTSTIKPVEKQQVRETNGESQQYGSTIKRALIMEGREAIKASLKRNAGNPLESKRRSPVGPDPHHH >KJB26278 pep chromosome:Graimondii2_0_v6:4:57267164:57268664:1 gene:B456_004G234800 transcript:KJB26278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVVSRERRMAAATCKKMRTLRSITNSHAYSKTSIILDATKYIDELKHRVERINQDIAMAQNSTCQKSCPVQLRVEAQDKGFLIKVFSERCCGGLLVFLLEAFEELGLDIFQARVSCSEGFLFEAVGVKDDQGSSECLNVQDIKRGVWKAIQNWSNQVKERT >KJB22720 pep chromosome:Graimondii2_0_v6:4:6208029:6211802:1 gene:B456_004G062500 transcript:KJB22720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPTATLSVPSSSSPSLFPLSSFSSSNNPHVSSFCPAPPTLPKFPSLSKPKTPLRNLPPLSAVSIEKETLISERPHTFLREADGGDDGSVRSRFQRMILEAQESVCAALEAVDGAGKFKEDAWTRPGGGGGISRVLQDGAVFEKAGVNISVVYGVMPPEAYRAAKAAADDQKPGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDTPGAPRQWWFGGGTDLTPAYIFEEDVKHFHSIQKKACDKFDPSFYPRFKKWCDDYFYIKHRGERRGLGGIFFDDLNDYDQEMLLSFATECANSVVPAYIPIIEKRKDTPFNESQKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLSARWEYDHKPEEGSEEWKLLDACINPKEWI >KJB25698 pep chromosome:Graimondii2_0_v6:4:53434845:53435684:1 gene:B456_004G204700 transcript:KJB25698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTTWRNLRKICNLHIFATQKLDANQHLRRNKVEQLLADVGDSSRIGESIEISTVAFKTTLNLISNTIFYVDYANPSSNTALEFRENLQVIKEELGKANFGDLFPTLAKLDLQGIRRRMAIHSKKMMNIFDKEIDNRSELRKMNDYIPAQEFLDTLLQISEDDNEELDRNLIKHLFFDLFTAGTDTTTSTLEWAMAELLHNPKALQEVRRELQQIIGEGNVVEESNVTRLPYLQDCILHFLSYSLEKLK >KJB24818 pep chromosome:Graimondii2_0_v6:4:45360054:45362517:1 gene:B456_004G162500 transcript:KJB24818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSLTNGSIRILRCCVTRRPTVPSQLSTFPALHLLENTVLNNTMSSSFSSFAHSNRSGGRGQDMKHDRERSRGRGGGGSKDKIDALGRLLTRILRHMATELNLNMRSDGYVKVEDLLKLNMKTFANIPLRSHTVDDIKEAVRKDNKQRFSLLEENGELLIRANQGHTVTTVESERLLKQILSADEVQFCVHGTYKRNLESILESGLKRMKRLHVHFSSGLPSDGEVISGMRRDVNILIYLDVRKALEGEHACFFQVSVW >KJB24817 pep chromosome:Graimondii2_0_v6:4:45360054:45362517:1 gene:B456_004G162500 transcript:KJB24817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSLTNGSIRILRCCVTRRPTVPSQLSTFPALHLLENTVLNNTMSSSFSSFAHSNRSGGRGQDMKHDRERSRGRGGGGSKDKIDALGRLLTRILRHMATELNLNMRSDGYVKVEDLLKLNMKTFANIPLRSHTVDDIKEAVRKDNKQRFSLLEENGELLIRANQGHTVTTVESERLLKQILSADEVQCMRRDVNILIYLDVRKALEEGMKLYISDNKVILTEGFDGVVPVKYFEKIESWPDRKPIPFSNV >KJB24816 pep chromosome:Graimondii2_0_v6:4:45359931:45362691:1 gene:B456_004G162500 transcript:KJB24816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSLTNGSIRILRCCVTRRPTVPSQLSTFPALHLLENTVLNNTMSSSFSSFAHSNRSGGRGQDMKHDRERSRGRGGGGSKDKIDALGRLLTRILRHMATELNLNMRSDGYVKVEDLLKLNMKTFANIPLRSHTVDDIKEAVRKDNKQRFSLLEENGELLIRANQGHTVTTVESERLLKQILSADEVQFCVHGTYKRNLESILESGLKRMKRLHVHFSSGLPSDGEVISGMRRDVNILIYLDVRKALEEGMKLYISDNKVILTEGFDGVVPVKYFEKIESWPDRKPIPFSNV >KJB26233 pep chromosome:Graimondii2_0_v6:4:56912256:56915912:1 gene:B456_004G232000 transcript:KJB26233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSEMEMRMKKYSQVSPERAKVWTEKSPKYRQHNRRVPVVYYLCRNRQLEHPHFIEVPLSSPDGLYLRDVIERLNILRGRGVASSYSWSCKRSYRNGFVWHDLSEDDLIPPAHGNEYVLKGSELFEESSPDRFSPVGTSIRFQNLKQLPEPPSSSRSQDDSSQSSSLNGNRTKHSQDDELSSPDASTQTEENTSRLKTRETCTRGVSTDDGSLEPECNANFQNQVPNVKDCSEICRNSGSPPSTSSASSSGGKTETLESLIRADASKINSFRILEEEEIRTPTNPRLKATNMLMQLISCGSISVKGHSFGLVPSYKPRFSHSKNSLPLFSTSIMLGELNCLSENPKLLGLRLEDKECFSGSLIETKMLKERHGHTTLKRSSSCSADRTCKELASTEEKEELNSGRTKCILRSVKASLSKQPRSESMRSPVSENPRSSSDGINNLGSVLSSISNGDSRRTTESICSKKQSKTLDSLREDEKLIKIEESLLQELGL >KJB26232 pep chromosome:Graimondii2_0_v6:4:56910494:56915696:1 gene:B456_004G232000 transcript:KJB26232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSEMEMRMKKYSQVSPERAKVWTEKSPKYRQHNRRVPVVYYLCRNRQLEHPHFIEVPLSSPDGLYLRDVIERLNILRGRGVASSYSWSCKRSYRNGFVWHDLSEDDLIPPAHGNEYVLKGSELFEESSPDRFSPVGTSIRFQNLKQLPEPPSSSRSQDDSSQSSSLNGNRTKHSQDDELSSPGNRPTPGSSGESPESLSLTEYKVYNGEGLADASTQTEENTSRLKTRETCTRGVSTDDGSLEPECNANFQNQVPNVKDCSEICRNSGSPPSTSSASSSGGKTETLESLIRADASKINSFRILEEEEIRTPTNPRLKATNMLMQLISCGSISVKGHSFGLVPSYKPRFSHSKNSLPLFSTSIMLGELNCLSENPKLLGLRLEDKECFSGSLIETKMLKERHGHTTLKRSSSCSADRTCKELASTEEKEELNSGRTKCILRSVKASLSKQPRSESMRSPVSENPRSSSDGINNLGSVLSSISNGDSRRTTESICSKKQSKTLDSLREDEKLIKIEESLLQELGL >KJB22662 pep chromosome:Graimondii2_0_v6:4:5763993:5768587:-1 gene:B456_004G059500 transcript:KJB22662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETAGCCGLLQACCHRHDPQKYIIQGEFEIDRSPWFSLCASGLSVVVRLYSQDNTDPITRKGIESENAYVMKGKELERKKHEVLNMKVPTKVIKFKLKFEVTQDFGIPGAFVVENRDKKHEFFLKSFHFYCGSWVYPITKTEFKRIFFLDQLYLPKKTPQGLVELRKKELQKLQAPRPKGEWNPCDRIYDYDVYNNLGDPENGRLYDRPVLGGSTKFPYPRRLKTGHPNCQHDHSRESGPASCFQFYVPPDERMSDEKLQELKDNFVEALIRFLGPESTLPHLWDRECPDFITRVAHFFVPKAAISKKDVRFIRSIIDFCRKLKLPSSHGGPSRSDPSEEQDIFEDIIGFYADKEVEELDNSDKQRLEKLVPKEILNQVVATLALKRRHVSAQLPSIIAEEKFAWAEDKEFGRQMLAGTNPVRIRKCKWQALVNDRVFILDHHYLERFLTMINGKGVCAYATRTILIASTSSSATLQPIGIELSLTGDSTRLLVPQDTPLWEFAKFHVASNDTAYHQLVSHWLHTHAVVEPFIIATRRQLSVMHPIHRLLDPHFKDTLHINALARAIFLNAGGILETLLFTGEYSMELSSDLYREWRFDKQALPEDLLERGMAKPRVRDEVVSGPMEHVESDKSSNKAAKGAEQEMFEVDAEVELVLEDYPYVKDGIEIWTAIETWVTEYCNVFYHNDNDVKEDEEIQEWWNEIKTRGHEDRKEGWYDINTFESLVKALTTLIWITSGLHAAVNFGQYGYGGWPPNRPMLLRKFLPRDEEIKEDKDIFKFVEEMLPDKFQMKLAIAVMDLLSRHTSDEVYLGQTSPQKERPLIEDHESIIQKKFKEFRGNLEAIERNIKERNKEYLLMNRWGNAKIPYKLLYPDTSKSIPLTAKEKGKHHPEKADINESGISNSISI >KJB24065 pep chromosome:Graimondii2_0_v6:4:33237706:33239897:-1 gene:B456_004G126700 transcript:KJB24065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QIMFLENIGTSVLVSKDQLPKLHKMMIEAAGILNIESPDLYVRQSPVPNAYTLAISGKKPFVVIHTSIVELLTRNELQAVLAHELGHLKCDHGVWLTFANLLTLGAYTVPTQTLEEQLFRWLRAAELTCDRAALLVAQVPKVVISILMKLAGGCPSMADQLNMDAFLQQARSYDKASSSPVGYYIRNAQTRQLSHPLPVLHAREFDEWSRSNEYRSLLKCATQMNVVEKV >KJB24921 pep chromosome:Graimondii2_0_v6:4:46474908:46475353:1 gene:B456_004G167900 transcript:KJB24921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHTSRKCLAPKHARGESSSAPLDHPSIIECFEDSDFFEKFNTYFATCTVQISRLADLNFLANTLKFKYLDQLRYWGWLECLQLRGPCYDNLVRAFYSNAKLNFQSFSIFY >KJB26731 pep chromosome:Graimondii2_0_v6:4:59319535:59322795:-1 gene:B456_004G256800 transcript:KJB26731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSNLSLLLHLYYCLCCLSFGNAFDTITSSTPINDSQALVSNNGVFRLGFFSLSSSTDHRYVGIWYNNRGVLEESVIWIANRNKPLKDDSGVFMVSDDGNLVVSDGQNQTLWSSNVRNPRGVNVSAQILDTGNLVLKANGNTVWESFWEPLNVFVPMMRLSTNVRTGEKVELTSWKSSSDPSTGSFTSGLQPLAIPQNFVWNNTKPYWRSGPWNGHSFTGIPNMNSFVLDGLSLVNDNQGTFYLTLAFFNSSYLSYAYLDPQGITRQRFWDDEKGNWTTYDSPAETECALYGKCGAFGICNSQKPSICSCMKGFKPNNIDEWNSGNWTNGCTRINPLRCHRVNNTDSEPDDNEYGFLKLERIKVPDFAEWSEHLENECKNRCLNNCSCIAYAFDAGIGCMSWSKDLIDIQKFSYGGLDLYIRLPRSELDKKNITVAIIITVVIGTTIIIVVSLIFLLRWMNKDRDRTGRRVYVKFEELAMFEDNGKETKLHQLPLFKFEELATATTDFNPSNKLGQGGFGPVYRGKLLDGKEIAVKRLSSVSGQGFEEFANEVLVISKLQHRNLVRLLGCCIEREEKMLVYEYMPNKSLDAFLFDSTKRKLLDWKNRFNIIKGISRGLLYLHQDSRLRIIHRDLKASNILLDEEMNPKISDFGMARIFGGNENQANTRRIVGTYGYMAPEYVLRGHFSEKSDVFSYGVLLLEIVSGRRNTSFYNNEHFFNLLGYAWKLWIEDNILALADTELVSKQCCHQEILRCIHVGLLCVQEHANNRPSMSMVISMLNSEIIDLLSPTQPAFTAAFYGESLPNNTWSINDVTITNIASR >KJB26732 pep chromosome:Graimondii2_0_v6:4:59320554:59322795:-1 gene:B456_004G256800 transcript:KJB26732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSNLSLLLHLYYCLCCLSFGNAFDTITSSTPINDSQALVSNNGVFRLGFFSLSSSTDHRYVGIWYNNRGVLEESVIWIANRNKPLKDDSGVFMVSDDGNLVVSDGQNQTLWSSNVRNPRGVNVSAQILDTGNLVLKANGNTVWESFWEPLNVFVPMMRLSTNVRTGEKVELTSWKSSSDPSTGSFTSGLQPLAIPQNFVWNNTKPYWRSGPWNGHSFTGIPNMNSFVLDGLSLVNDNQGTFYLTLAFFNSSYLSYAYLDPQGITRQRFWDDEKGNWTTYDSPAETECALYGKCGAFGICNSQKPSICSCMKGFKPNNIDEWNSGNWTNGCTRINPLRCHRVNNTDSEPDDNEYGFLKLERIKVPDFAEWSEHLENECKNRCLNNCSCIAYAFDAGIGCMSWSKDLIDIQKFSYGGLDLYIRLPRSELDKKNITVAIIITVVIGTTIIIVVSLIFLLRWMNKDRDRTGRRVYVKFEELAMFEDNGKETKLHQLPLFKFEELATATTDFNPSNKLGQGGFGPVYRGKLLDGKEIAVKRLSSVSGQGFEEFANEVLVISKLQHRNLVRLLGCCIEREEKMLVYEYMPNKSLDAFLFG >KJB22483 pep chromosome:Graimondii2_0_v6:4:4577970:4581411:1 gene:B456_004G049900 transcript:KJB22483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKPQALLQQSKKKKGPARISVTTIILYSLIVVVTVFFLYATYRHWSQRSRTQVENRQSVFKGDTDSSFMDSKKSDLPGYAILDTAKGSITVELFKDSSPEVVDQFLDLCHRGHFNGMLFRHVIKHYVIQAGDSDKLEAAEDWTLKGKHYSQLDTSLKHEAFMLGTSKKKRDNGAFELFITTAPIPDLNEKLIVFGKVVKGEDIVQEIEEVDTDEHYRPKSSIAIRSVTLKQSV >KJB22481 pep chromosome:Graimondii2_0_v6:4:4577975:4581673:1 gene:B456_004G049900 transcript:KJB22481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKPQALLQQSKKKKGPARISVTTIILYSLIVVVTVFFLYATYRHWSQRSRTQVENRQSVFKGDTDSSFMDSKKSDLPGYAILDTAKGSITVELFKDSSPEVVDQFLDLCHRGHFNGMLFRHVIKHYVIQAGDSDKLEAAEDWTLKGKHYSQLDTSLKHEAFMLGTSKKKRDNGAFELFITTAPIPDLNEKLIVFGKVVKGEDIVQEIEEVDTDEHYRPKSSIAIRSVTLKQSV >KJB22482 pep chromosome:Graimondii2_0_v6:4:4577996:4581381:1 gene:B456_004G049900 transcript:KJB22482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKPQALLQQSKKKKGPARISVTTIILYSLIVVVTVFFLYATYRHWSQRSRTQVENRQSVFKGDTDSSFMDSKKSDLPGYAILDTAKGSITVELFKDSSPEVVDQFLDLCHRGHFNGMLFRHVIKHYVIQAGDSDKLEAAEDWTLKGKHYSQLDTSLKHEAFMLGTSKKKRDNGAFELFITTAPIPDLNEKLIVFGKVVKGEDIVQEIEEVDTDEHYRPKSSIAIRSVTLKQSV >KJB22092 pep chromosome:Graimondii2_0_v6:4:2271360:2274843:1 gene:B456_004G028900 transcript:KJB22092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSALGSLNSSGNGVSLSASFSATCSTPPPCANLPPPPPPTTAFTADPFYSLPEMMLSPASFTVMSRFLVYGNVRRVGRSIHSNWKNSFREMNNNGVHPKVEYPFSLYRLCSQYKHSFREDTMHYALYKVMERLSKNYQPRSFYSLSPSYVFSDKAQVTWKRLFHVFYYDGPALTPISRIARAASLALSRSNQVAPGVMAFLIGELAWNKQRWAVAEGFPAKDTLYMQAQDGHIYLASFVFFVLEFVILLFRAIYLVILFLPSVAMAPFVDSLGVEFRKKWLRIVHHTLEKAGPAFIKWGQWAATRPDLFPRDLCDVLAELHTKAPSHSFSFTKKSIENAFGRKLSEIFADFEEEPLASGSVAQVHRATLKYRYPGQQTKPIVVAVKVRHPGVGESIRRDFTIINSVAKISKFIPTLKWLRLDESLQQFAVYMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETFEHGESVLHYVDELEGHEQIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRIKDRRSRNQLFSSRPHVILLDVGMTAELSRTDRMNLLEFFKAVALRDGRSAAECTLRLSKQQRCPNPKGFIEDVEKSFHLWDSLDGESIHPGECIQQLLDHVRRHKVNIDGNVCTVIVTTLVLEGWQRKLDPQLNVMRTLQTLLFKVDWAESLFYTIEGLVAP >KJB21350 pep chromosome:Graimondii2_0_v6:4:56903928:56904806:-1 gene:B456_004G231900 transcript:KJB21350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNDQNTMKLPRKMIVRTIQEFDYISDCFSRVKGTYNLLSIFLKLKAVKFFIALDFNLYEYVLASLISSSL >KJB27410 pep chromosome:Graimondii2_0_v6:4:13230:15408:1 gene:B456_004G000200 transcript:KJB27410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKENLSYTLCNNNRFLFLLRVITIVTNASITQINTVDSTINKHSEYSTYPTINNIYVPSKEAKHMSK >KJB25883 pep chromosome:Graimondii2_0_v6:4:54620401:54623059:-1 gene:B456_004G213400 transcript:KJB25883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTLLGTQGFRKGMDLCFKRHDGQAVTCEDFFSAMRDTNDADFANFLLCQEVPPTPGQPVKEPMFIPVAVGLLDSSGRDIPLSSVYHNGKLRSVACNNQPVLNTVLRVTKVCKESSYVSSSYLAPIRLESDLTDNDLFFLLAHDSDEFNRFVLLEEFIAKAITLPGEGEIMDMMEVADLDAVHAVQTFIRKEIASQLKSEFLNTVKNNRSSDEFVFNHPKMAQYALKNVALDIKELALHEYKAATNMTEQFAALAAITQKPGKTCNHVLTDLYNKWQHDFLVVNKWFAFQAMSDIPGNVENVRNLLTHPAFDLRNPTKVASCMVSAFSRWRRFDESRQKLAKAQLEKIVSVNGLSENIFKIASKSLSAALN >KJB25378 pep chromosome:Graimondii2_0_v6:4:50804377:50807247:-1 gene:B456_004G191000 transcript:KJB25378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGEGAVGGSKGEVGAVDRKRINEALDKQLERSSPSTSRVINGKDKSARYLLPGKHPPDHHRDSRSVSLPKPNAEDESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKIYCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKASQSYVPRVFGFKIHKP >KJB25376 pep chromosome:Graimondii2_0_v6:4:50804377:50807247:-1 gene:B456_004G191000 transcript:KJB25376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGEGAVGGSKGEVGAVDRKRINEALDKQLERSSPSTSRVINGKDKSARYLLPGKHPPDHHRDSRSVSLPKPNAEDESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHEEQNELVESAAEMLYGLIHARYILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKIYCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKASQSYVPRVFGFKIHKP >KJB25377 pep chromosome:Graimondii2_0_v6:4:50803454:50807597:-1 gene:B456_004G191000 transcript:KJB25377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGEGAVGGSKGEVGAVDRKRINEALDKQLERSSPSTSRVINGKDKSARYLLPGKHPPDHHRDSRSVSLPKPNAEDESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHEEQNELVESAAEMLYGLIHARYILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKIYCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKASQSYVPRVFGFKIHKP >KJB25375 pep chromosome:Graimondii2_0_v6:4:50803454:50807505:-1 gene:B456_004G191000 transcript:KJB25375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGEGAVGGSKGEVGAVDRKRINEALDKQLERSSPSTSRVINGKDKSARYLLPGKHPPDHHRDSRSVSLPKPNAEDESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHEEQNELVESAAEMLYGLIHARYILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKIYCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKASQSYVPRVFGFKIHKP >KJB24983 pep chromosome:Graimondii2_0_v6:4:47025806:47032115:1 gene:B456_004G170700 transcript:KJB24983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAIIRILNNSPTMKFIIGDVLIIIFILFITRLPQSFSTTEAIQPSLTRLDSKRSSPSVQESAAKAVLGRLLPTHLLSFHFKIVSKDVCGGQGCFLIENYDGPTENGPEILIKGTTAVEIASGLHWYIKYFCGAHVSWDKTGGVQLASVPKPGSLPLVKDGGVLIQRPVPWNYYQNVVTSSYSYVWWDWERWEKEIDWMALQGINLPLAFSGQEAIWQKVFMGFNISMEDLNDFFGGPAFLAWARMGNLHTWGGPLSKNWLKQQLVLQKKILSRMVELGMTPVLPSFSGNVPAALKTIFPTANITRLGDWNTVDGDPHWCCTYLLNPSDPLFVEIGEAFIKMQIKEYGDVTDIYNCDTFNENSPPTNDTIYISSLGAAVYKAMSNGDKDAVWLMQGWLFYSDSTFWKPPQMKALLHSVPVGKMIVLDLFADVKPIWATSSQFYGTPYVWCLLHNFGGNIEMYGTLDAISSGPVDARISKNSTMVGVGMCMEGIEQNPVVYELMSEMAFRKEKVQVLEWLKTYTHRRYGKSVQQIKEAWAILYHTVYNCTDGIADHNIDFIVKFPDWDPSINFGSRPSKLNSMHTFRLRTRSRRFSFQERSSDLPQAHLWYSTHEVVSALKLFLAAGNDLAGSLTYRYDLVDLTRQVLSKLANQVYLDAINAFRRKDVKALNIHSQKFIQLIKDIDVLLASDDNFLLGTWLESAKLLAENPSEMRQFKPLLDFDWNAAEVIFILCDSMNGMLERK >KJB24982 pep chromosome:Graimondii2_0_v6:4:47025514:47033037:1 gene:B456_004G170700 transcript:KJB24982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAIIRILNNSPTMKFIIGDVLIIIFILFITRLPQSFSTTEAIQPSLTRLDSKRSSPSVQESAAKAVLGRLLPTHLLSFHFKIVSKDVCGGQGCFLIENYDGPTENGPEILIKGTTAVEIASGLHWYIKYFCGAHVSWDKTGGVQLASVPKPGSLPLVKDGGVLIQRPVPWNYYQNVVTSSYSYVWWDWERWEKEIDWMALQGINLPLAFSGQEAIWQKVFMGFNISMEDLNDFFGGPAFLAWARMGNLHTWGGPLSKNWLKQQLVLQKKILSRMVELGMTPVLPSFSGNVPAALKTIFPTANITRLGDWNTVDGDPHWCCTYLLNPSDPLFVEIGEAFIKMQIKEYGDVTDIYNCDTFNENSPPTNDTIYISSLGAAVYKAMSNGDKDAVWLMQGWLFYSDSTFWKPPQMKALLHSVPVGKMIVLDLFADVKPIWATSSQFYGTPYVWCLLHNFGGNIEMYGTLDAISSGPVDARISKNSTMVGVGMCMEGIEQNPVVYELMSEMAFRKEKVQVLEWLKTYTHRRYGKSVQQIKEAWAILYHTVYNCTDGIADHNIDFIVKFPDWDPSINFGSRPSKLNSMHTFRLRTRSRRFSFQERSSDLPQAHLWYSTHEVVSALKLFLAAGNDLAGSLTYRYDLVDLTRQVLSKLANQVYLDAINAFRRKDVKALNIHSQKFIQLIKDIDVLLASDDNFLLGTWLESAKLLAENPSEMRQYEWNARTQVTMWFDTTPTNQSKLHDYANKFWSGLLEGYYLPRASSYFSYLSKSLEKNESFKLVEWRKQWVSFSNKWQAGLELYPVKAQGNFLTIAKALFDKYLS >KJB24984 pep chromosome:Graimondii2_0_v6:4:47025703:47032781:1 gene:B456_004G170700 transcript:KJB24984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAIIRILNNSPTMKFIIGDVLIIIFILFITRLPQSFSTTEAIQPSLTRLDSKRSSPSVQESAAKAVLGRLLPTHLLSFHFKIVSKDVCGGQGCFLIENYDGPTENGPEILIKGTTAVEIASGLHWYIKYFCGAHVSWDKTGGVQLASVPKPGSLPLVKDGGVLIQRPVPWNYYQNVVTSSYSYVWWDWERWEKEIDWMALQGINLPLAFSGQEAIWQKVFMGFNISMEDLNDFFGGPAFLAWARMGNLHTWGGPLSKNWLKQQLVLQKKILSRMVELGMTPVLPSFSGNVPAALKTIFPTANITRLGDWNTVDGDPHWCCTYLLNPSDPLFVEIGEAFIKMQIKAMSNGDKDAVWLMQGWLFYSDSTFWKPPQMKALLHSVPVGKMIVLDLFADVKPIWATSSQFYGTPYVWCLLHNFGGNIEMYGTLDAISSGPVDARISKNSTMVGVGMCMEGIEQNPVVYELMSEMAFRKEKVQVLEWLKTYTHRRYGKSVQQIKEAWAILYHTVYNCTDGIADHNIDFIVKFPDWDPSINFGSRPSKLNSMHTFRLRTRSRRFSFQERSSDLPQAHLWYSTHEVVSALKLFLAAGNDLAGSLTYRYDLVDLTRQVLSKLANQVYLDAINAFRRKDVKALNIHSQKFIQLIKDIDVLLASDDNFLLGTWLESAKLLAENPSEMRQYEWNARTQVTMWFDTTPTNQSKLHDYANKFWSGLLEGYYLPRASSYFSYLSKSLEKNESFKLVEWRKQWVSFSNKWQAGLELYPVKAQGNFLTIAKALFDKYLS >KJB24985 pep chromosome:Graimondii2_0_v6:4:47026387:47032809:1 gene:B456_004G170700 transcript:KJB24985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQGINLPLAFSGQEAIWQKVFMGFNISMEDLNDFFGGPAFLAWARMGNLHTWGGPLSKNWLKQQLVLQKKILSRMVELGMTPVLPSFSGNVPAALKTIFPTANITRLGDWNTVDGDPHWCCTYLLNPSDPLFVEIGEAFIKMQIKEYGDVTDIYNCDTFNENSPPTNDTIYISSLGAAVYKAMSNGDKDAVWLMQGWLFYSDSTFWKPPQMKALLHSVPVGKMIVLDLFADVKPIWATSSQFYGTPYVWCLLHNFGGNIEMYGTLDAISSGPVDARISKNSTMVGVGMCMEGIEQNPVVYELMSEMAFRKEKVQVLEWLKTYTHRRYGKSVQQIKEAWAILYHTVYNCTDGIADHNIDFIVKFPDWDPSINFGSRPSKLNSMHTFRLRTRSRRFSFQERSSDLPQAHLWYSTHEVVSALKLFLAAGNDLAGSLTYRYDLVDLTRQVLSKLANQVYLDAINAFRRKDVKALNIHSQKFIQLIKDIDVLLASDDNFLLGTWLESAKLLAENPSEMRQYEWNARTQVTMWFDTTPTNQSKLHDYANKFWSGLLEGYYLPRASSYFSYLSKSLEKNESFKLVEWRKQWVSFSNKWQAGLELYPVKAQGNFLTIAKALFDKYLS >KJB23625 pep chromosome:Graimondii2_0_v6:4:21976699:21977784:-1 gene:B456_004G107700 transcript:KJB23625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTKISPLFLICMLFISSATPILGCGSCGTGKAPPKPPKGPITIPPIVNPPINLPPILNPPVTVPPVTVVPPIITKPPSGKPCPSATCPTDTLKLGACVDLLGGLVHIGLGDPLVNACCPVLAGLVELEAAVCLCTTLKLKVLNLNIYVPLALQLLITCGKTPPPGYTCSL >KJB24532 pep chromosome:Graimondii2_0_v6:4:42301355:42304675:-1 gene:B456_004G149600 transcript:KJB24532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLFRSKSCGLVGLTEFNPAPPSPFFNPTQTNSVDANDDEEKMFDDEDEEEEENGCYGNPIATRARRGNSDSTSKENNQLPFRDILTALLRKSLVTCSVDTGDVSSMDISLPTEVKHVSHVTFDRFNGFLGLPIDLQPDVPRRVPSASASVFGVSAKSMQCSYDARGNSVPTILLMMQKRLYAEGGLKAEGIFRINADNTQEEYVRNKLNRGVVPRGIDVHCSAGLIKAWLRELPSGVLDSLTPEQVMHCNTEDDCIQLTRLIPSTEAALLDWAINLMADVVQHEQYNKMDARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLREREELAAKGRSLPSYSDSPTAITGIHTETINSGVPHDEALDGSALKEPHTAKFFRSATLSRLECSAYDKLWSFQYE >KJB24533 pep chromosome:Graimondii2_0_v6:4:42301368:42304455:-1 gene:B456_004G149600 transcript:KJB24533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLFRSKSCGLVGLTEFNPAPPSPFFNPTQTNSVDANDDEEKMFDDEDEEEEENGCYGNPIATRARRGNSDSTSKENNQLPFRDILTALLRKSLVTCSVDTGDVSSMDISLPTEVKHVSHVTFDRFNGFLGLPIDLQPDVPRRVPSASASVFGVSAKSMQCSYDARGNSVPTILLMMQKRLYAEGGLKAEGIFRINADNTQEEYVRNKLNRGVVPRGIDVHCSAGLIKAWLRELPSGVLDSLTPEQVMHCNTEDDCIQLTRLIPSTEAALLDWAINLMADVVQHEQYNKMDARNIAMVFAPNMTQVFLLFFLHSFLSQTKMRENVRWTFQLNQP >KJB22839 pep chromosome:Graimondii2_0_v6:4:7265196:7265729:1 gene:B456_004G069100 transcript:KJB22839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKNFASICLTRKVSKSPLISLPEGCLFGETCMGLVYFSLGLRHCTKPPTPTISFFPVDRCCTFIGLVQCFLGS >KJB24240 pep chromosome:Graimondii2_0_v6:4:45343644:45346151:1 gene:B456_004G162400 transcript:KJB24240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >KJB23045 pep chromosome:Graimondii2_0_v6:4:9228939:9231593:1 gene:B456_004G078600 transcript:KJB23045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQPRRPKPDHSRDQEPIKYSDVFSVTGELASKPIAPQDAAAMQSAETRVLGQTRKSGPAAVMESAAAANERAGLVRHDQANVTGDEGVTVTKTNAGSEAWITEAVEGQVVGQYIQPEVPAVNNPSVTPDPITIGEALETAALSAADKAVELSDVAAIQAAERRATGINETLPGGVAAEAQCAATRNARTMRFEDKTTLSDVLSDATTMLPRDKAVTPEDADRVVASELRNNPYMSTAPGGVAASMAAAARLNQNSTT >KJB23043 pep chromosome:Graimondii2_0_v6:4:9228939:9231593:1 gene:B456_004G078600 transcript:KJB23043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQPRRPKPDHSRDQEPIKYSDVFSVTGELASKPIAPQDAAAMQSAETRVLGQTRKSGPAAVMESAAAANERAGLVRHDQANVTGDEGVTVTKTNAGSEAWITEAVEGQVVGQYIQPEVPAVNNPSVTPDPITIGEALETAALSAADKAVELSDVAAIQAAERRATGINETLPGGVAAEAQCAATRNARTMRFEDKTTLSDVLSDATTMLPRDKAVTPEDADRVVASELRNNPYMSTAPGGVAASMAAAARLNQNSTT >KJB23044 pep chromosome:Graimondii2_0_v6:4:9228939:9231543:1 gene:B456_004G078600 transcript:KJB23044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQPRRPKPDHSRDQEPIKYSDVFSVTGELASKPIAPQDAAAMQSAETRVLGQTRKSGPAAVMESAAAANERAGLVRHDQANVTGDEGVTVTKTNAGSEAWITEAVEGQVVGQYIQPEVPAVNNPSVTPDPITIGEALETAALSAADKAVELSDVAAIQAAERRATGINETLPGGVAAEAQCAATRNARTMRFEDKTTLSDVLSDATTMLPRDKAVTPEDADRVVASELRNNPYMSTAPGGVAASMAAAARLNQNSTT >KJB26780 pep chromosome:Graimondii2_0_v6:4:59502990:59503921:1 gene:B456_004G259100 transcript:KJB26780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINTIVNGYSTENQKTNKSKVIFVVGATATGKSKLSVDLAVHFNGEIINSDKIQVYKGLDIVTNKVTNEETRGIPHHLLGIVDDPDQDFSADDFRRHGKEAIESVLSNGKVPIVAGGSNSYVEHLVDDPNFSRRFECCFIWVDVSLPVLHKRAKERVDQMVAAGLLDEVREMFVAGADYGRGIRRAIGLPELEAYFTVEKDSNVDEATKAKILANCIEETKKNTLKLIDSQLGKIRRLREEVGWKLQRVDATAVHEKCGRDAQLAWEQRVLKKSFEIVGAFLN >KJB27225 pep chromosome:Graimondii2_0_v6:4:61669937:61674143:1 gene:B456_004G286000 transcript:KJB27225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQALSGKNMAMGGLKRVETINSKGCSRLFVGFSSSIPSFRTFQSFDLMSPSPTTSHGSELSTMVRSLGPLSGLVICVTGLSKEAKKQVMEATERLGGQYSTSLHPQCTHLVVQSISGSKFEHVLKHRSRNGLFVVTIGWFVDSVKSNIRLSESVYTVKGIGECSPRVDELNLHVGSTDSSCLPSGFHETKKIKTIKKLQLRSSDGISDKYMDSTLSGHTLYIDSNISGELWNKVLEVVSKEGAATIDRWFVGCGVSLVVCEESSVHQYIGHSSNVVTPLWVLKTAKDRNLLRLVRVSVDLARQIGTVLETSRNHNAAKFMQNTESLKRNATHEERQQIVHLAKTRIQNRRNCHMQTCQTPIHPISPSTLLDSICWSISKPTSSARIYTESFSGDEDSDHRSIFFDANGDGKNSGGSFMDLTRLLTESEKNELILENQFLTILFPVDRFLEMGPSSRTYFGRNGFTCLQVLDYIYEFYQENMSAHEIESAIHTDSRHADRLRAAYSSKETVECGYVIFKRIDFLGSRKSFEMLKRVSCDNNSIVYELLLRA >KJB21445 pep chromosome:Graimondii2_0_v6:4:45497584:45498096:-1 gene:B456_004G163400 transcript:KJB21445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIRGFKIGKRLVRISRWFIRKARHPRGYCRLTQSESKSFCKSKSFSKLISWGQCLTKRAKSICSSKPGLGYVPIGQDPINEKLTEVPKGHLAIYIGQKDGDYHRVLVPVIYFNHPLFGELLREAEEEYGFSHQGGITIPCRFSEFERVQTRIAAGTGGRKMVWKRHHH >KJB24233 pep chromosome:Graimondii2_0_v6:4:36763778:36764920:-1 gene:B456_004G134100 transcript:KJB24233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAFLFTLTFLLFTFSHARFITSESQHDVTPEKSESESDPESAILLPSEKPHFEPPKLLDFKHDDDASGVASVPLTRISFNPVNRHFPRRPLIPFRHKHNCRFHKRFRPLNPRFHQKRFISYGNDMILSNERSFDPESRGVVRQIEASWGSFDDDGTESKHHVGFMKPDHHDHEHHDEDHDHEHHDEDHDQEHHDEDHDHEKSITTTTTTTIITTAIITMERKKGMRQRSTRAGSWRSSGSILFIFEYTLHVI >KJB27236 pep chromosome:Graimondii2_0_v6:4:62038783:62039427:1 gene:B456_004G292200 transcript:KJB27236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLTSNTPAEQSFAHSQNKVIRSKLNTEEKKSTGKERTLGRLATGFDLNQKVKTKETTLAHPSPMFDLNQKQQKVFSEKEEVTLRTSLPALDSYQKERPYSEKEATARSMAPVFDLNQISTEEEEVQASNNSMGVEELKRSSLRIGSDEQHNDIKLSACWNTGNGPNRVGKRKITWQDQVALKV >KJB27234 pep chromosome:Graimondii2_0_v6:4:62037302:62039786:1 gene:B456_004G292200 transcript:KJB27234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKGVAASVEYSPYPIYEDQRNRFKHHSLMQDFDDLFKETEAMRKRSQVLKDKKLTLLAEVRFLKRRHKFLMQNLTSNTPAEQSFAHSQNKVIRSKLNTEEKKSTGKERTLGRLATGFDLNQKVKTKETTLAHPSPMFDLNQKQQKVFSEKEEVTLRTSLPALDSYQKERPYSEKEATARSMAPVFDLNQISVIYS >KJB27237 pep chromosome:Graimondii2_0_v6:4:62037302:62039786:1 gene:B456_004G292200 transcript:KJB27237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLTSNTPAEQSFAHSQNKVIRSKLNTEEKKSTGKERTLGRLATGFDLNQKVKTKETTLAHPSPMFDLNQKQQKVFSEKEEVTLRTSLPALDSYQKERPYSEKEATARSMAPVFDLNQISTEEEEVQASNNSMGVEELKRSSLRIGSDEQHNDIKLSACWNTGNGPNRVGKRKITWQDQVALKV >KJB27235 pep chromosome:Graimondii2_0_v6:4:62037302:62039786:1 gene:B456_004G292200 transcript:KJB27235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKGVAASVEYSPYPIYEDQRNRFKHHSLMQDFDDLFKETEAMRKRSQVLKDKKLTLLAEVRFLKRRHKFLMQNLTSNTPAEQSFAHSQNKVIRSKLNTEEKKSTGKERTLGRLATGFDLNQKVKTKETTLAHPSPMFDLNQKQQKVFSEKEEVTLRTSLPALDSYQKERPYSEKEATARSMAPVFDLNQISVIYS >KJB27233 pep chromosome:Graimondii2_0_v6:4:62037302:62039786:1 gene:B456_004G292200 transcript:KJB27233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKGVAASVEYSPYPIYEDQRNRFKHHSLMQDFDDLFKETEAMRKRSQVLKDKKLTLLAEVRFLKRRHKFLMQNLTSNTPAEQSFAHSQNKVIRSKLNTEEKKSTGKERTLGRLATGFDLNQKTEEEEVQASNNSMGVEELKRSSLRIGSDEQHNDIKLSACWNTGNGPNRVGKRKITWQDQVALKV >KJB27232 pep chromosome:Graimondii2_0_v6:4:62037229:62039843:1 gene:B456_004G292200 transcript:KJB27232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKGVAASVEYSPYPIYEDQRNRFKHHSLMQDFDDLFKETEAMRKRSQVLKDKKLTLLAEVRFLKRRHKFLMQNLTSNTPAEQSFAHSQNKVIRSKLNTEEKKSTGKERTLGRLATGFDLNQKVKTKETTLAHPSPMFDLNQKQQKVFSEKEEVTLRTSLPALDSYQKERPYSEKEATARSMAPVFDLNQISTEEEEVQASNNSMGVEELKRSSLRIGSDEQHNDIKLSACWNTGNGPNRVGKRKITWQDQVALKV >KJB23111 pep chromosome:Graimondii2_0_v6:4:9746073:9746545:1 gene:B456_004G081300 transcript:KJB23111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSLTIVVAHHMYSMPPYPYLATDYGTQLSFFTHHMWVSGFLIVGAAAHAAIFMVRDYDPTTRYNNLLDRVLRHCDTFV >KJB22486 pep chromosome:Graimondii2_0_v6:4:4591773:4592456:-1 gene:B456_004G050100 transcript:KJB22486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMNHLILALVSLSCLFSFSTSASTPPSYSYHYSFSHSSSSAPSAAFNVHFSKSSGTRMDQTFNKLPTLPTNNVDPGLQQICKDTDHPEECISNIVPFLGHSKFVAEPVSVLKLEIQAMDNKVKEAIDKAMKLMQDHSTQKMTASCLETCLDNYKSILDSDKRVLDALSLHDVYQVSMELSSNIENLQTCEDAFLEANIKSPNIQMDSAIRKMISNTLAIGVDKVHF >KJB23556 pep chromosome:Graimondii2_0_v6:4:21151539:21151570:-1 gene:B456_004G1046001 transcript:KJB23556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTTFALWK >KJB26930 pep chromosome:Graimondii2_0_v6:4:60208600:60211228:1 gene:B456_004G267100 transcript:KJB26930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNPKPSSKRLLFDRRYGWVFDEWKDPSEEALAAGRGMFCIVPLTKAFLKTATNSIDFVARSTVKVLEKPDLLDPRQLQASLKDQFSRVTNIIQKP >KJB24091 pep chromosome:Graimondii2_0_v6:4:33574253:33577049:-1 gene:B456_004G127500 transcript:KJB24091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to actin [Source: Projected from Oryza sativa (Os10g0510000)] MADEDIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDFEQELETAKSSSSIEKSYELPDGQVITIGTERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >KJB24093 pep chromosome:Graimondii2_0_v6:4:33574253:33577080:-1 gene:B456_004G127500 transcript:KJB24093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to actin [Source: Projected from Oryza sativa (Os10g0510000)] MADEDIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDFEQELETAKSSSSIEKSYELPDGQVITIGTERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIDVDIKSGV >KJB24092 pep chromosome:Graimondii2_0_v6:4:33574125:33577169:-1 gene:B456_004G127500 transcript:KJB24092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to actin [Source: Projected from Oryza sativa (Os10g0510000)] MADEDIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDFEQELETAKSSSSIEKSYELPDGQVITIGTERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >KJB24094 pep chromosome:Graimondii2_0_v6:4:33574875:33576167:-1 gene:B456_004G127500 transcript:KJB24094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to actin [Source: Projected from Oryza sativa (Os10g0510000)] MADEDIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDFEQELETAKSSSSIEKSYELPDGQVITIGTERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQVCIMFHIAVTSLFYL >KJB23190 pep chromosome:Graimondii2_0_v6:4:11164509:11164948:1 gene:B456_004G0861002 transcript:KJB23190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLLFIYGVLRNSGFLGLFNWTESIQYPGAYASMGIDNSSRLDRFSNNFRVEVVRLNEDDMEFDMIGIDAAIANSFRRILIAE >KJB23189 pep chromosome:Graimondii2_0_v6:4:11164129:11164948:1 gene:B456_004G0861002 transcript:KJB23189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGAGEEKKKFSIWDLPNVPMGQLLPHLELQRSRVSCNKDTSIHTESIQYPGAYASMGIDNSSRLDRFSNNFRVEVVRLNEDDMEFDMIGIDAAIANSFRRILIAE >KJB23821 pep chromosome:Graimondii2_0_v6:4:28069627:28070645:1 gene:B456_004G116300 transcript:KJB23821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGRLNWIESWYGNLRSDNFQIQRNPGMKNGQS >KJB21755 pep chromosome:Graimondii2_0_v6:4:877483:880724:1 gene:B456_004G012200 transcript:KJB21755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILATTIVSNFIKYVFYVSDMLMEGQWEKKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYMRYRKIASNMNDRFPDATAEELAANDATCIICREEMTTAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGTSSSGGQHGPWSDAQGQGSGTSSARQGFGGDMAADNLTQHQARLQAAATAASIYEKSYVYPSANTLVWSPGYAVLPKAYGQPDDSTKGESSGETTSTGEQFVIPGGQVNLSFPWFPHCAFVPFQLPRVNGNMGEASSSNLHIPDSQLEAQKKIIQQQIEVLQNQLQLLQMQKPKTEGSADAGPTALSDRKGKTISSSSSGD >KJB21753 pep chromosome:Graimondii2_0_v6:4:875317:881246:1 gene:B456_004G012200 transcript:KJB21753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLQTYAGLSLVAMLAVIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVFMCILWQITKKIFLGSLREAEVERLNEQSWREVMEILFAITIFRQDFSVTFLAMVTALLLIKALHWLAQKRVEYIETTPSVPMLSHIRIVSFLGFLLLLDCLFLSSSIKFLIQTRQASVSLFFAFEYMILATTIVSNFIKYVFYVSDMLMEGQWEKKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYMRYRKIASNMNDRFPDATAEELAANDATCIICREEMTTAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGTSSSGGQHGPWSDAQGQGSGTSSARQGFGGDMAADNLTQHQARLQAAATAASIYEKSYVYPSANTLVWSPGYAVLPKAYGQPDDSTKGESSGETTSTGEQFVIPGGQVNLSFPWFPHCAFVPFQLPRVNGNMGEASSSNLHIPDSQLEAQKKIIQQQIEVLQNQLQLLQMQKPKTEGSADAGPTALSDRKGKTISSSSSGD >KJB21756 pep chromosome:Graimondii2_0_v6:4:875339:880891:1 gene:B456_004G012200 transcript:KJB21756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLQTYAGLSLVAMLAVIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVFMCILWQITKKIFLGSLREAEVERLNEQSWREVMEILFAITIFRQDFSVTFLAMVTALLLIKALHWLAQKRVEYIETTPSVPMLSHIRIVSFLGFLLLLDCLFLSSSIKFLIQTRQASVSLFFAFEYMILATTIVSNFIKYVFYVSDMLMEGQWEKKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYMRYRKIASNMNDRFPDATAEELAANDATCIICREEMTTAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGTSSSGGQHGPWSDAQGQAQLDKVLVVTWLLII >KJB21754 pep chromosome:Graimondii2_0_v6:4:875339:880249:1 gene:B456_004G012200 transcript:KJB21754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLQTYAGLSLVAMLAVIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVFMCILWQITKKIFLGSLREAEVERLNEQSWREVMEILFAITIFRQDFSVTFLAMVTALLLIKALHWLAQKRVEYIETTPSVPMLSHIRIVSFLGFLLLLDCLFLSSSIKFLIQTRQASVSLFFAFEYMILATTIVSNFIKYVFYVSDMLMEGQWEKKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYMRYRKIASNMNDRFPDATAEELAANDATCIICREEMTTAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGTSSSGGQHGPWSDAQGQGSGTSSARQGFGGDMAADNLTQHQARLQAAATAASIYEKSYVYPSANTLVWSPGYAVLPKAYGQPDDSTKGESSGETTSTGEQFVIPGGQVNLSFPWFPHCAFVPFQLPRVNGNMGEASSSNLHIPDSQLEAQKKIIQQQIEVSFMCHKVAIVAFSI >KJB24242 pep chromosome:Graimondii2_0_v6:4:37129674:37130731:-1 gene:B456_004G134900 transcript:KJB24242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSQARYQNHHPQEKKEKPQQQQQQKQSQNQEKARQHPVWDCGSNLYDSFELNSFNRQLDSAIHSRTMSMPHLVDRAPPQSTASALPPPVSKKQLSKFSRSIQKLIKSMFRFRQSSSSSLMWLKQRSHDDYYVVYDKTGSLTTIPEVPETDFGRPSPEINSLVAKRTASERFTAASTVGISCA >KJB24781 pep chromosome:Graimondii2_0_v6:4:44966364:44968450:1 gene:B456_004G160000 transcript:KJB24781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIVLKLDLHDDKAKHKALKTVSTLSGIDTIAMDMKAQQLTVIGTVDPVNVVSKLRKNWSTTIVSVGPAKPPEKEEPKKEEPKKEEPKKEEPKKEEPKKEEEPKKEEPKKEEEPKKEEEPKKEEEKQPQPPAQQQPQLLPPPDPVLELVKAYRAYNPQMTTYYYVQSMEENPNACVIC >KJB24783 pep chromosome:Graimondii2_0_v6:4:44966929:44968411:1 gene:B456_004G160000 transcript:KJB24783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKAQQLTVIGTVDPVNVVSKLRKNWSTTIVSVGPAKPPEKEEPKKEEPKKEEPKKEEPKKEEPKKEEEPKKEEPKKEEEPKKEEEPKKEEEKQPQPPAQQQPQLLPPPDPVLELVKAYRAYNPQMTTYYYVQSMEENPNACVIC >KJB24782 pep chromosome:Graimondii2_0_v6:4:44966434:44968411:1 gene:B456_004G160000 transcript:KJB24782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVLKLDLHDDKAKHKALKTVSTLSGIDTIAMDMKAQQLTVIGTVDPVNVVSKLRKNWSTTIVSVGPAKPPEKEEPKKEEPKKEEPKKEEPKKEEPKKEEEPKKEEPKKEEEPKKEEEPKKEEEKQPQPPAQQQPQLLPPPDPVLELVKAYRAYNPQMTTYYYVQSMEENPNACVIC >KJB25658 pep chromosome:Graimondii2_0_v6:4:53232597:53234013:1 gene:B456_004G202900 transcript:KJB25658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFSSPPFLSYPLTRTPRFSSSSQTPPPNSQPPNPPPSPKLTTTSSEQQPPVTVREPKPPKPTTTVETTDWIASSLTRRFGLGAGLAWAAFLAVGVISEQIKTRIEVSEQEANTRDVEKQEEVVLPNGIRYYELRIGGGASPRNGDLVVLDLKGKIESRGEVFVDTFDGDKKPLALVMGSRPYTKGMCEGIEYIVRSMKAGGKRRVIVPPNLGFGEKGADLGTGVQIPPFATLEYIVEVDKVSIAPA >KJB24176 pep chromosome:Graimondii2_0_v6:4:35657402:35658259:1 gene:B456_004G131500 transcript:KJB24176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECGLCWANLLLSLSYTVFSFSSALPGTSDSFTRELVCLSSFRSISASPTPCLKWNLLFSPAMESNFSLTFLNLRAISKRNKSGFRKEKKAVTWLLASVCRLRLRASVLKSSSTTSRSSAACLSFPSQFLIASLFHCFSSAP >KJB26851 pep chromosome:Graimondii2_0_v6:4:59825329:59830123:1 gene:B456_004G262900 transcript:KJB26851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKEKPTLGGTRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELVAKNLESSDLNFSRYGDTFFEVVFTGGRTQPGTIKPDEGERHPYSVIECEAKREAILPSVIYIQKILRRRPFLIKNLENVMRRFLQSLELFEDNERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVVKGLVLSFITDFFKEYLVDNSLDDLISILKRGKMEDNLLDFFPSAKRSPEGFSEHFTKEGLVPLVEYNEKKIFEVKLKEMKSALTTQIAEESDISEVIENVKQRVKDAKLPDNEVVRILWDVIMDAVQWSGKNQQQNANSALRQVKTWAKLLNSFCSSGKLELELMYKVQMQCYEDAKLMKLFPEIVKSLYDQDVLAEDTILYWFRKGSNPKGKPS >KJB26850 pep chromosome:Graimondii2_0_v6:4:59825191:59830123:1 gene:B456_004G262900 transcript:KJB26850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKEKPTLGGTRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELVAKNLESSDLNFSRYGDTFFEVVFTGGRTQPGTIKPDEGERHPYSVIECEAKREAILPSVIYIQKILRRRPFLIKNLENVMRRFLQSLELFEDNERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVVKGLVLSFITDFFKEYLVDNSLDDLISILKRGKMEDNLLDFFPSAKRSPEGFSEHFTKEGLVPLVEYNEKKIFEVKLKEMKSALTTQIAEESDISEVIENVKQRVKDAKLPDNEVVRILWDVIMDAVQWSGKNQQQNANSALRQVKTWAKLLNSFCSSGKLELELMYKVQMQCYEDAKLMKLFPEIVKSLYDQDVLAEDTILYWFRKGSNPKGRQTFVKALEPFVNWLEEAEEEE >KJB25761 pep chromosome:Graimondii2_0_v6:4:53824317:53824845:1 gene:B456_004G207400 transcript:KJB25761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPSTLFNASCFKTKSIVLYRKQQKKIALTETTMQNKKTSLVTTKRPKNHNQVSKQTRSSQSAKNPKIHIH >KJB26750 pep chromosome:Graimondii2_0_v6:4:59366158:59366866:-1 gene:B456_004G257600 transcript:KJB26750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLSTLLSHNSLSSPELSTKRHGCPLHQPMLPPLSTELNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKSNLKGKSLTAALMLDAKFDGADMSEAVMSKAYAVGASFKDTDI >KJB22554 pep chromosome:Graimondii2_0_v6:4:5130529:5131904:-1 gene:B456_004G054000 transcript:KJB22554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGVGKKGLNASLIRALASAPVGLSAGLNSSSTFDLAVKSLSASFKCVPGKLSHPYLSRHALACLMCQ >KJB23870 pep chromosome:Graimondii2_0_v6:4:28883286:28887876:1 gene:B456_004G118700 transcript:KJB23870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMPMEFVMDTNDQGSTMEVDDVDTSEIFGEGVIASDNKLAYADFFNNFEDDFDDSDID >KJB21402 pep chromosome:Graimondii2_0_v6:4:3889954:3890842:1 gene:B456_004G044400 transcript:KJB21402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGEAACSYAALILYDDGIPITAEKIATIVKAANVSVESYWPSLFAKLFEKCNIEDLITNVGAAGAGAPVAAAAPVAAGGGGGAAAPPPAEEKKKEEPEEESDDDMGFSLFD >KJB21403 pep chromosome:Graimondii2_0_v6:4:3889769:3890866:1 gene:B456_004G044400 transcript:KJB21403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGEAACSYAALILYDDGIPITAEKIATIVKAANVSVESYWPSLFAKLFEKCNIEDLITNVGAAGAGAPVAAAAPVAAGGGGGAAAPPPAEEKKKNQRKRVMTIWDSVCLIRSSFQCLIWLITVLMH >KJB21405 pep chromosome:Graimondii2_0_v6:4:3890035:3890604:1 gene:B456_004G044400 transcript:KJB21405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGEAACSYAALILYDDGIPITAEKIATIVKAANVSVESYWPSLFAKLFEKCNIEDLITNVGAAGAGAPVAAAAPVAAGGGGGAAAPPPAEEKKKEEPEEESDDDMGFSLFD >KJB21404 pep chromosome:Graimondii2_0_v6:4:3889846:3890841:1 gene:B456_004G044400 transcript:KJB21404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGEAACSYAALILYDDGIPITAEKIATIVKAANVSVESYWPSLFAKLFEKCNIEDLITNVGAAGAGAPVAAAAPVAAGGGGGAAAPPPAEEKKKRKRVMTIWDSVCLIRSSFQCLIWLITVLMH >KJB24990 pep chromosome:Graimondii2_0_v6:4:47151882:47153972:-1 gene:B456_004G171200 transcript:KJB24990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISASFLLASTLLLTGCVLGPISLVSAKHGVPSYAHKKIASKPFEGYLENGNFEEQPSPTALKKTVLKGAHALPKWTITGLVEYISGGPQPGGMFYPVAHGVHAVKLGNEATISQTIPVKPGVLYALTFGASRTCAQDEVLRVSVGTQSGDLPLQTLYSSIGDDVYAWGFIPKTKYATVKFHNPGVQEDPTCGPLLDAVAIKELVRPRATRYNLVKNNGFEEGPHRLVNSTNGVLLPPRQEDFTSPLPGWIIESLKAVKFIDAKHFNVPAGHSAVELVAGRESAIAQILRTIPNKSYNMTFIIGDARNGCNGEMMVEAFAAKHTVKVPFTSRGKGEFKAASLMFKADTARTRVTFYSSYYHTRSDDFGSLCGPVLDEVRVYPIA >KJB24991 pep chromosome:Graimondii2_0_v6:4:47151977:47153826:-1 gene:B456_004G171200 transcript:KJB24991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISASFLLASTLLLTGCVLGPISLVSAKHGVPSYAHKKIASKPFEGKKYHWVFVVIYLFIFYLQMEILVLLVVSGYLENGNFEEQPSPTALKKTVLKGAHALPKWTITGLVEYISGGPQPGGMFYPVAHGVHAVKLGNEATISQTIPVKPGVLYALTFGASRTCAQDEVLRVSVGTQSGDLPLQTLYSSIGDDVYAWGFIPKTKYATVKFHNPGVQEDPTCGPLLDAVAIKELVRPRATRYNLVKNNGFEEGPHRLVNSTNGVLLPPRQEDFTSPLPGWIIESLKAVKFIDAKHFNVPAGHSAVELVAGRESAIAQILRTIPNKSYNMTFIIGDARNGCNGEMMVEAFAAKHTVKVPFTSRGKGEFKAASLMFKADTARTRVTFYSSYYHTRSDDFGSLCGPVLDEVRVYPIA >KJB21460 pep chromosome:Graimondii2_0_v6:4:55990303:55990503:-1 gene:B456_004G225200 transcript:KJB21460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGAATSVSASVNSGSGSNMVRFYTDDALGLKISPTVVLVMSLCFIAFVAALDVFGKIYRAKAGGGP >KJB21319 pep chromosome:Graimondii2_0_v6:4:5368674:5388683:1 gene:B456_004G056400 transcript:KJB21319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWISLLKDIKEKVGLTQAPAASAAATASSSSSSSSSSNRDARASYLFDYIPSPSRDKHELEVDFKRFWEEFRSSNSEKEKETALNLTVDAFCRLVKQHASVAQLVTQLVETHIFSFVVGRAFVTDIEKLKISSKTRSLDIPKVLQFFSEINKGGFCPGSNLLAVVEFLVSGPTDKQSLLDSGIFCCLIHILNALLSPDEANQGRKITDSEESMLAGKDSVADVGPARRLEVEGSVVHVMKALASHPSAAQSLIEDDSLMLLFQMVASGSVTVFSRYKEGLVSLHTIQLHRHAMQILGLLLANDNGNTAKYIHKHHLIKVLLMAVKDFNPDCGDPAYTVGIVDLLLQCVELSYRPEAGGIRLREDIHNAHGYHFFVQFALVLSSMPQNQGIESIHLEHQDDEDSSANSAQAFDVVQENDFRGKENPSSEHLSPTLSRLLDVLVSLAQTGPAEDKDFKYSHTKASGHSRSRTPSIDRLGDEIWELGNNKVKDLEAIQMLQDLFLKADSRDLQAEVLNRMFKIFSSHLENYMLCQQLRTVPLFILNMAGFPYSLQEIILKILEYAVTVVNCIPEQELLSLCCLLQQPITSELKLTILSFFVKLLSFDQQYKRVLREVGVLEVLLDDLGHHKFLLGPDQHGGHDGPLERKSLKKNLDNKDVIIASPKLMESNSEKFRIFEVDSTVTVAWDCMVSLLKKAEANQASFRSSNGVTIILPFLVSNIHRPGVLRTLSCLITEDTMQEHPEELGVLVEVLKSGMVTSVSGYPYKLPSDAKCDVMGALWRILGVNNAAQRVFGDATAFSLLLTTLHSFQGDERLSEESSLLVYIKLFTYLLRLMTAGVCGNAINRTKLHAIISSHTFYDLLSESGLLCADHEKQVIHLLLELALEIVLPPFMTSEGVMSADVAGNDSTGFLFTTPSGLVNLDKGRVYNAGAVKVLIRSLLLFTSKVQLEVLDLIGKLAHSGPFNQENLTSAGCVELLLEMIHSFLSSSSPLLSCALKIVEVLGAYRLSTSELRALVRCILQMRRMKCGLAIIDMMERLILMEDMALENVSLAPFVEMDMSKVGHASVQVSLGERSWPPTAGYSFVCWFQFQNFLRKQAKETDPAKVGSSKRKSGSNRQHHNQHNLQIFSVSALNDENTFFAELYLQEDGVLTLSTSNSCSLSFSGLELEEGRWHHLAVVHSKPNALAGLFQASVAYVYLDGKLRHTGKLGYSPSPIGKPLLATIGTLVTCARDSDLTWRLRSCYLFDEVLMPGAICFMYILGRAYRGLFHDADILRFVPNQACGGGSMAILDSLDTDLSLTLGTQKIDGTSKQGDSKADGSGIVWNLDRIRNLSFQLYGKKLIFAFDGTYTEAVQSSGTSFMINLVDPQSTAASPIGGIPRFGHLHGDIYICRQCVIGDTIRPIGGMAVILALVQAADTRDMLHMALSLLACALHHNPQNVRDMQTHRGYHLLALFLHRRMSLFDMQCLEIFFQIAACEASFSEPKKLERTQTFTSPTATIHETSFEDLNFSKFQDETSSVGSHVEMDYFTAPKDSFSHISELENPDIPEETSNCIVLSNADMVEHILLDWTLWVTAQVSIQIALLNFLEHLVSMHWYRNHNLTVLRRINLVQHLLATLQRGDVEVPVLEKLVSLLGVILEDGFLPSELENVVRFVIMTFDPPELKPQHQIMRESMGKHVIVRNMLLEMLIDLQVTIKSEEMLEQWHRIVSSKIITYFLDGAVHPTSMRWIMTLLGVCLASSPTFVLKFRTSGGYQGLMHVLPSVYDCPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPSDVGHVELKFVEVLESIIAMAKSTFDRLSWQSILASQTGNLSQLVAELVEENADTAGELQGEALMHKTYAARLMAGEASAPATATSVLRFLVDLAKMCPTFSAVCRRAEFLESCVDLYFSCVRSVHAVKMARELSAKTEEKILNDCDDDISQNTFSSFVAEQEQPAKTTISAGSFPQAQVSSISEEMPISSNIPAEYKEVMKPSTSQKELEKSFQEDVQVIQGADGDSADQVSAASSTNEFGFNGIKDNLAIQPPDSQGSVSLAIPDSPSLSEKSNSKISLTPSSSPVISATSWSSANHSESKNPIIAAPSMESSMSFSASDQSSDLKAGSGPTSANTTFSVSSKLLLEVDDSSYSGGPCSAGASAMLDFMAEVLADFLTEQIKAAQVVESLLEMVPLYVEAESVLVFQGLFLGRLMNFVERRLLRDDEEDEKKLDKTKWSSNLDALCSMIVDRVYMGAFPQPGSVLKTLEFLLSMLQLANKDGRVEEAAPSGKGLLSITRGSRQLDAYVHSILKNTNRMILYCFLPSFLVTIGEDDLLSSLGLLMEPKKPTPTSSSQEDSGIDICYVLQLLVAHRQVIFCPSNLDPELNSCLCVNLISLLCDQRQNVQNMAIDVVKYLLVHRKASLEDLLVSKPNQGQHLDVLHGGFDKLLTGSLSAFFDWFQSSEHIVNKVVEQSAAIMWMQHIAVSAKFPGIRIKGIEGRRKREMGRRSRDTSRLDLKHREQVNDRRYALEVVRDTMSTELRVVRQEKYGWVLHAESEWQTHLQQLVHERGIFPIHKSAVPEEPEWQLCPIEGPYRMRKKLERCRINSIQNVLDGQLELAEAELSKGKHEDGPYDSDSEAIFNPLDDSSKQNGVDTELYDEPLYKEAGDAKDVTSVRNDWIDDTASSVNEASLHSAVEFGGKSSAVSVPLSESIPGKSEYGSPMQFIKTDEVKATEDKSDKELHDNGEYLVRPYLEPREKIRFRYNCERVVGLDKHDGIFLIGELCLYVIENFYIDDSACICEKEFEDDLSVIDRALGVTKDSMTFQSKSTSSWATAPKTLVGGRAWAYNGGAWGKEKVSSSGNLPHPWRMWKLDNVHELLKRDYQLRPVAVELFSMDGCNDLLVFHKRERDEVFKNLVGMNLPRNSMLDTTISGSTKQESNEGSVIFKIMANSFSKRWQNGEITNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLSDPKTFRKLDKPMGCQTPEGEQEFKKRYEGWDDPEVPKFHYGSHYSSAGIVLYFLIRLPPFSAENQKLQGGQFDHADRLFNSIRETWLSASGKGNTSDVKELIPEFYYMPEFLENRCSFDLGEKQSGEKVGNVLLPPWANGSAREFIRRHREALESDFVSENLHHWIDLIFGYKQRGKAAEQAVNVFYHYTYEGSVDIDAITDPLMKASILAQINHFGQTPKQLFLKPHAKRRSDRKLPPHPLKHSTLLVAHEIRKSSSSITQIANFHEKILVAGANTLLKPRTFTKYVAWGFPDRSLRFISYDQDRLLSTHENLHWGNQIRCAGVSHDGQILVTGADDGSVSVWRISTEGPRASIRLLLENVLCAHTAKITRLHVSQPYMLIVSGSDDCTVIIWDLSSFGFIRQLPNFPVPVSAVHVNDLTGEILTAAGILFAVWSINGDCLAVINTSQLPSDTIHCVTSCTFSDWLDTNWYVTGHQSGAVKVWHMVHHTEQGNTEGKSASSGAGVLDLCKPPEYRLVLHKVLKSHSHPVTALHVTSDLKQLLSGDSNGHLLSWTLPDMHLKSFKNLG >KJB24180 pep chromosome:Graimondii2_0_v6:4:35735761:35741248:-1 gene:B456_004G131700 transcript:KJB24180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEPEEDNACPFPPKQLQPQHQGIMDFDDLDLQSSWPFDQLTFLSNPTSPFVTSSSSEQPCSPLWAFSDDDKLGSAAAAGYNLFVTCTPNPVNENAKEENDNRGLPSLFLGLLPLENPDSYCVIKERMTRALRYFKESTEQHVLAQVWAPVKDGGRYVLTTLGQPFVLDPHSSGLHQYRMVSLMYMFSVDGESDVQLGLPGRVFRQKLPEWTPNVQYYSSREYSRLNHALHYNVQGTLALPIFEPSGQSCVGVLELIMTSQKINYAPEVDKVCKALEAVNLKSSEILGYPSTQICNESRQNALAEILEILTVVCETHKLPLAQTWVPCRHRKVLVHGGGLKKSCTSFDGSCMGQVCMSTTDVAFYVVDAHMWGFRDACLEHHLQKGQGVAGRAFLSLNSCFCSDITQFCKTEYPLVHYARMFKLTSCFAICLRSTYTRDDDYVLEFFLPPAIADCNEQHALLGSILTTMKQHFQSLMVASGAELEEDEGSIEIIGASSEERFVTRLECIPIPPPVKSPPKNNTSPNKGELQLDSSKQQLTVNFDPSAHGGRVVASGSHNLDCPLQNKDLKKPERKRGKTEKSISLEVLQQYFTGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLTKLKHVIESVHGTDGAFGLTSLANSPLPVGVGSISWPTGLNGSNQQNSPNSRPFEHKGEKNDSPTCLTPQSNGQVVVEDQLLGGTTLSPEPFPQQNGLSSHFDKGVKRSRRGSTSREESAGTPISYSSCQGSLGIENAATKASFGSIHDQCLKAHGSPELAFQQLLGEPNISAMFSMPKVLVAAELEEPIGGMLVEAAGSSKDLRNLCPIADIGVNEQFPESSWTPPPCSDLALKQAMSTFTQPTPRVTAREETKSVTIKATYREDIIRFRISMSSGILELKEEVANRLKLEVGACDIKYLDDDNEWVLMACDADLEECIDVSRSSGNNIIRLCIHDTMANLESSCESTGEL >KJB24181 pep chromosome:Graimondii2_0_v6:4:35735797:35741057:-1 gene:B456_004G131700 transcript:KJB24181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEPEEDNACPFPPKQLQPQHQGIMDFDDLDLQSSWPFDQLTFLSNPTSPFVTSSSSEQPCSPLWAFSDDDKLGSAAAAGYNLFVTCTPNPVNENAKEENDNRGLPSLFLGLLPLENPDSYCVIKERMTRALRYFKESTEQHVLAQVWAPVKDGGRYVLTTLGQPFVLDPHSSGLHQYRMVSLMYMFSVDGESDVQLGLPGRVFRQKLPEWTPNVQYYSSREYSRLNHALHYNVQGTLALPIFEPSGQSCVGVLELIMTSQKINYAPEVDKVCKALEAVNLKSSEILGYPSTQICNESRQNALAEILEILTVVCETHKLPLAQTWVPCRHRKVLVHGGGLKKSCTSFDGSCMGQVCMSTTDVAFYVVDAHMWGFRDACLEHHLQKGQGVAGRAFLSLNSCFCSDITQFCKTEYPLVHYARMFKLTSCFAICLRSTYTRDDDYVLEFFLPPAIADCNEQHALLGSILTTMKQHFQSLMVASGAELEEDEGSIEIIGASSEERFVTRLECIPIPPPVKSPPKNNTSPNKGELQLDSSKQQLTVNFDPSAHGGRVVASGSHNLDCPLQNKDLKKPERKRGKTEKSISLEVLQQYFTGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLTKLKHVIESVHGLNGSNQQNSPNSRPFEHKGEKNDSPTCLTPQSNGQVVVEDQLLGGTTLSPEPFPQQNGLSSHFDKGVKRSRRGSTSREESAGTPISYSSCQGSLGIENAATKASFGSIHDQCLKAHGSPELAFQQLLGEPNISAMFSMPKVLVAAELEEPIGGMLVEAAGSSKDLRNLCPIADIGVNEQFPESSWTPPPCSDLALKQAMSTFTQPTPRVTAREETKSVTIKATYREDIIRFRISMSSGILELKEEVANRLKLEVGACDIKYLDDDNEWVLMACDADLEECIDVSRSSGNNIIRLCIHDTMANLESSCESTGEL >KJB27317 pep chromosome:Graimondii2_0_v6:4:61967058:61969422:-1 gene:B456_004G290600 transcript:KJB27317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEVGDYTIFMGLDKYENEELIKYGFPEDIWFHVDKMSSAHVYVRLHKGQTIDDISEGLLEDCAQLVKANSIQGNKVNNVDVVYTPWSNLKKTPSMDVGQVGFHNSKMVRTVRVEKRINEIVNRLNKTKVERKPDLKAEREAVNAAERAERKQQLREKKRHEELQRLEKERQAEIRSYKGLMVSEKMTSNKQIATTSKSLQELEEDFM >KJB27316 pep chromosome:Graimondii2_0_v6:4:61967113:61969434:-1 gene:B456_004G290600 transcript:KJB27316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEVGDYTIFMGLDKYENEELIKYGFPEDIWFHVDKMSSAHVYVRLHKGQTIDDISEGLLEDCAQLVKANSIQGNKVNNVDVVYTPWSNLKKTPSMDVGQVGFHNSKMVRTVRVEKRINEIVNRLNKTKVERKPDLKAEREAVNAAERAERKQQLREKKRHEELQRLEKERQAEIRSYKGLMVSEKMTSNKQIATTSKSLQELEEDFM >KJB23591 pep chromosome:Graimondii2_0_v6:4:21700847:21710862:1 gene:B456_004G106400 transcript:KJB23591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHRRHRKVATVENDYKQLDRFESGEEDRMKKQRFKRKLLRYEELPDYLKDNEFILDYYRCEWPLKDILLSVFSLHNETINIWTHLAGFLLFVGLTVFSSMEDFGDGSLITSFSKAQVSGPSMMMMNDVNASDNKHSIFQGSELRHIPQLDLKKDRYNAIPKWPWFVFLSGAMGCLVCSSVSHLFACHSRRFSLFFWRLDYAGISLMIVCSFFAPVYYAFFCHPYSCLFYLTSISVLGVLAIITLLSPTLSASRFRSFRASLFLAMGFSGVIPAAHAVSLHWGHPHIFVSLGYELVMAFLYAAGAAVYTSRIPERWKPGAFDIAGHSHQIFHVFVVLAALAHCVATLVIMDFRRESATCAY >KJB26051 pep chromosome:Graimondii2_0_v6:4:55698314:55703873:-1 gene:B456_004G222700 transcript:KJB26051 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein WDS homolog [Source:Projected from Arabidopsis thaliana (AT5G43920) UniProtKB/Swiss-Prot;Acc:Q9FND4] MENSPTTLGSKGLINKHEFLRVIIQCLYSLGYKKSASCLEIESGVRYKSIEFDLLESQILSGDWDDCIDTLNKIKDVKDDTRSSVLFLVFKQCFLEYLNRGDDSLALSVLQKRVPTLHMSNETVHKLAYNILALKEMELGKLDEDVISELRKRLVMELGKQLPPPIVLPERRLEHLVETSVTAQIDSCIYHNSQDAVLLYEDHCCGRDQIPTETVQILTEHSNEVWFIQFSNNGEYLASSSSDCTAIIWKVMDNDKLTMKHVLRSHQNPVSFVAWSPDDTKLLTCGNLEVLKLWDVETGTCKHTFGDHGFTVSSCAWFPDSKRIVCGSSDPEKGICMWDCDGNEMKAWRGMRMPKVLDLAVTSDGENLISIFSDKEIRILNLRTNAEQVIFEEHSITSLSVSIDSKFFIVNLNSQEIHLRDVAGKWAKPLKYTGHKQNKYVIRSCFGGINSMFIASGSENTQVYIWNRQSSTPIEVLSGHSMTVNSVSWNPKRPLMLASASDDHTIRIWGPSQSNKIQPAETST >KJB25898 pep chromosome:Graimondii2_0_v6:4:54769387:54771273:1 gene:B456_004G214700 transcript:KJB25898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHYLLFLFFILNLFPFCFAQNDTVSCPLNFTILRPALPNRPQHNTTSECHYLLQGLRLVLSDYLKRTGLFFPPLNTSESCWESYQSLLPSFNIRSSCGLETDWISQGCNNLTTKAEFEASTPNATLNDVVLNCNQSLHGSACASCVRSLANVQAVHSVNSSIASVSDCTAYPFIYAAAEANYLGPTDEDTASCLFSIDFSNGEGKKKNVNLGVIIGVATGLAVFIVGFWFVYRKLKDSKKKRRDQIGNLENNSGLDSISESTNLVKFTFNEIKMATRNFSINNIIGRGGYGNVYKGYLLDGSEVAFKRFKNCSAAGNANFVHEVEVIASVRHVNLVALRGYCTATTPSEGHQRIIVCDLMKNGSLHDHLFGSTKRRLTWPIRQKIALGTARGLAYLHFGAQSTIIHRDIKASNILLDDMFEAKVADFGLAKFAPEGMTHLSTRVTGTMGYVAPEYALYGQLTDRSDVYSFGVVLLELLSGKKALDMGDENQPLVADWAWSLVKNEKALDVIEDGMPELGSPDVMEKYVLIAVLCSHPELQCRPSMDQVVKMLETDISVPSIPDRPIPIVARIDEIERSIRSNGSGQFISSGGHQMFGYENSHHSGYKMGGTSSGSSIEVPHKHNSA >KJB24182 pep chromosome:Graimondii2_0_v6:4:35769837:35772697:-1 gene:B456_004G131800 transcript:KJB24182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVLSGSCSSRLLLRDREAGSNGASLPQYNGLRAVDTMHLPSSRTPKLSCSGPKCRTIRAMASPTVSAPKRETDPKKRIVITGMGLVSVFGSDIDNFYNKLLEGESGITQIDKFDATNYSVRFAGQIRDFSSKGYIDGKNDRRLDDCWRYCLVAGRRALDEANLGSEALEKLDKTRIGVLVGTGMGGLTAFSNGVEALIQKGYKKITPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFYAAANHIRRGEADIMVAGGTEAAVMPTGIGGFIACRALSQRNDEPKRASRPWDKDRDGFVMGEGCGVLVIESLDHAMQRGANIIAEYLGGAVTCDAHHMTDPRSDGLGVSSCISKSLEDAGVSPEEVNYVNAHATSTLAGDLAEVNAIKKVFKNTSEIKMNGTKSMIGHGLGAAGGLEAIATVKAITTGWLHPTINQDNLEPEVTIDTVPNVKKQHEVNVAISNSFGFGGHNSVVVFAPFKP >KJB23623 pep chromosome:Graimondii2_0_v6:4:21959581:21969750:1 gene:B456_004G107500 transcript:KJB23623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRDSSPSSTPNRDGNAGDDDGVLSATSALAKDAALYFQSRKFAECVDVLNQLNSKKENDPKVLHNIAIAEFFRDGCSDPKKLLEVLNNVKKRSEELALLAFGEQVESGSNIGNNITSGSKGCGTTTSLPASNCASIIYTDEFDTSVASLNIAVIWFHLHEYSKALSVLEHVYQNIEPIDETTALHICLLLLDVLLACRDVSKSADVLNYLEKAFGVGNVSQGENGNTAPQQSLNVVGKSSSDPNSSLISDVSCSDLVASVNASESPLSRTLSEDPLDEMFSTLDIGGQNFARHTGLTSANDLPRITVDRSISGVDLKLKLQLYKVRLLLLTRNVKLAKREVKHAMNIARGRDSSMALFLKAQLEYARGNHRKAIKLLMASSNRTDAAMSSMFNNNLGCIYYQLGKYHTSAVFFSKALSNCSSLQKEKPLKLLTFSQDKSLLLTYNCGLQYLACGKPLLAAHCFQKASLVFYRRPLMWLRLAECCLMAVEKGIVKGSWAPSDRSEVRVSVIGKGRWRRLLIENGISRNRHVDSVEREVWALGGDGQPKLSLPLARQCLYNALHLLNCSELCNSKSIVCSDSSLEENESSDGASSKNSNYKNLPCNDSKASTMPAALINLNGDLKEPKGGTNQEGIQNSISYYEDICRRENQMIKQALLANLAYVELELENPLKALSAAQALLELPDCSRIYVFLGHVYVAEALCLLNKPKEAAEHLSIYLSGESNIKLPFGLEDCEQWRVKKHIDCEEANVGAAAAKNSSPEGLEDFMFLKPEEARGTLYANLAAVSAIQGDLERAHHFVTQALSLVPNSSEATMTAIYVDLILGYDSLDL >KJB23621 pep chromosome:Graimondii2_0_v6:4:21959250:21970378:1 gene:B456_004G107500 transcript:KJB23621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRDSSPSSTPNRDGNAGDDDGVLSATSALAKDAALYFQSRKFAECVDVLNQLNSKKENDPKVLHNIAIAEFFRDGCSDPKKLLEVLNNVKKRSEELALLAFGEQVESGSNIGNNITSGSKGCGTTTSLPASNCASIIYTDEFDTSVASLNIAVIWFHLHEYSKALSVLEHVYQNIEPIDETTALHICLLLLDVLLACRDVSKSADVLNYLEKAFGVGNVSQGENGNTAPQQSLNVVGKSSSDPNSSLISDVSCSDLVASVNASESPLSRTLSEDPLDEMFSTLDIGGQNFARHTGLTSANDLPRITVDRSISGVDLKLKLQLYKVRLLLLTRNVKLAKREVKHAMNIARGRDSSMALFLKAQLEYARGNHRKAIKLLMASSNRTDAAMSSMFNNNLGCIYYQLGKYHTSAVFFSKALSNCSSLQKEKPLKLLTFSQDKSLLLTYNCGLQYLACGKPLLAAHCFQKASLVFYRRPLMWLRLAECCLMAVEKGIVKGSWAPSDRSEVRVSVIGKGRWRRLLIENGISRNRHVDSVEREVWALGGDGQPKLSLPLARQCLYNALHLLNCSELCNSKSIVCSDSSLEENESSDGASSKNSNYKNLPCNDSKASTMPAALINLNGDLKEPKGGTNQEGIQNSISYYEDICRRENQMIKQALLANLAYVELELENPLKALSAAQALLELPDCSRIYVFLGHVYVAEALCLLNKPKEAAEHLSIYLSGESNIKLPFGLEDCEQWRVKKHIDCEEANVGAAAAKNSSPEGLEDFMFLKPEEARGTLYANLAAVSAIQGDLERAHHFVTQALSLVPNSSEATMTAIYVDLILVWTFKLLEPRTGLWQMGRKLSNFID >KJB23622 pep chromosome:Graimondii2_0_v6:4:21959250:21970378:1 gene:B456_004G107500 transcript:KJB23622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRDSSPSSTPNRDGNAGDDDGVLSATSALAKDAALYFQSRKFAECVDVLNQLNSKKENDPKVLHNIAIAEFFRDGCSDPKKLLEVLNNVKKRSEELALLAFGEQVESGSNIGNNITSGSKGCGTTTSLPASNCASIIYTDEFDTSVASLNIAVIWFHLHEYSKALSVLEHVYQNIEPIDETTALHICLLLLDVLLACRDVSKSADVLNYLEKAFGVGNVSQGENGNTAPQQSLNVVGKSSSDPNSSLISDVSCSDLVASVNASESPLSRTLSEDPLDEMFSTLDIGGQNFARHTGLTSANDLPRITVDRSISGVDLKLKLQLYKVRLLLLTRNVKLAKREVKHAMNIARGRDSSMALFLKAQLEYARGNHRKAIKLLMASSNRTDAAMSSMFNNNLGCIYYQLGKYHTSAVFFSKALSNCSSLQKEKPLKLLTFSQDKSLLLTYNCGLQYLACGKPLLAAHCFQKASLVFYRRPLMWLRLAECCLMAVEKGIVKGSWAPSDRSEVRVSVIGKGRWRRLLIENGISRNRHVDSVEREVWALGGDGQPKLSLPLARQCLYNALHLLNCSELCNSKSIVCSDSSLEENESSDGASSKNSNYKNLPCNDSKASTMPAALINLNGDLKEPKGGTNQEGIQNSISYYEDICRRENQMIKQALLANLAYVELELENPLKALSAAQALLELPDCSRIYVFLGHVYVAEALCLLNKPKEAAEHLSIYLSGESNIKLPFGLEDCEQWRVKKHIDCEEANVGAAAAKNSSPEGLEDFMFLKPEEARGTLYANLAAVSAIQGDLERAHHFVTQALSLVPNSSEATMTAIYVDLILGKSQEAVYKLKHCSHVRFLPSNQQFNKSS >KJB26178 pep chromosome:Graimondii2_0_v6:4:56541434:56544728:-1 gene:B456_004G230100 transcript:KJB26178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSWFNKCFDGFGVNDGLFDDVVKYLDFPLEDVEGTDDDGASGEGVVKDFHLPLGDVEDKSYVGGGGDDGGEDWDCNFQNLEPPPANVLAGLSSGFCGDFFSDTLENKFPVSCDESSELNQLSGITKASSSRSTTTLNGESVDVKGSTWFQTSSPVSVLETSSACSSAKPAPINPKLSFLVKRDRSKRRRASTFNLQFTLPSISSTGSTSLVGSESESESHLTEKSAKKRQKKKNLTWLSGSCEIDKSSSEEAAVVRKCTHCEVTKTPQWREGPKGPKTLCNACGVRYRSGRLFPEYRPAASPTFVPSLHSNSHKKVIEMRKQCQAAEVGNTIYGVNSTRNRNAI >KJB26836 pep chromosome:Graimondii2_0_v6:4:59837619:59838432:1 gene:B456_004G263100 transcript:KJB26836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNPRVSSSRRKNRKAHFTAPSSARRLLMSAPLTLELRSKYNVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGVNPSKVVITKLRLDKDRKSLLDRKAKGRVAADKDKGAKFSAEDIMQSID >KJB25547 pep chromosome:Graimondii2_0_v6:4:51737704:51744799:1 gene:B456_004G197000 transcript:KJB25547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYYKFKSARDFDSIAMDGPFISVGTLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRMPIVAAQEPKVENQTKNAQLEKINFLDAESSVPKYTEDTEWDEFGNDLYSIPETLPVQSSNPVHNAPPSNKADEDNKIKALIDTPALDWQRQGADGFGPGRGFGRGMGGRMGGRGFGLERKTPPPGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLKPNEAAFEREIEGLPSTRPVGDLPPELHCPLCKEVMKDAVLTSKCCFTSFCDKCIRDHIISKLMCVCGASNILADDLLPNKTLRDTINRILESGNSSADNAGSTFQVQDMESARCPQPKIPSPTASAASKGEQKPVSAKEECPDVYDKANEVKVAIPQQVLEKVKVVKAADASEATLESMSVKEPASQGSAPLAEEEVQQKVASGDAGKKKKKKKVRLLANELQWKTPQDLAAENYMMSMGPSAYNPYWAGMQPGMDGFMGPYAGAMPYMGGYGLGPLDMPFGGVMPPDPFGTQGYMFPPVLPQRDLADFGMGMNVAPPIRSREEFQARQADLRRRRENERGGERDFSRDREFGREMSSSGDFSSLKPKSKPTPQMLGGDHRHEHPRLQSERTSHEHSMRDHEAPPRPTKRNPDQHHDRDHDRDRHHHHRPESSKLAPETVTKATSTTATAAMDKSQKTSVFSRISFPEEHSKKRRLSSDVPASSGHHKSTSNGYYDDYKTSSAAPKAISGTSGGGRKSSRSNAVDYESSDDDRHFKRKPSRYSRSPSSEWIEQPRHSRGSKERERSSYNKHR >KJB27080 pep chromosome:Graimondii2_0_v6:4:60991408:60993829:1 gene:B456_004G276500 transcript:KJB27080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNFKIHSKFKFSEGKKAATMEENKREKKTAGKRGLWKAEEDLILKNYVETHGEGNWAKVSKLLGLKRGGKSCRLRWKNYLRPNIKRGAMSKEEEDLIIRMHNLLGNRWSLIAGRLPGRTDNEVKNYWNTHLNKKTSVLGKRKGKAIDESNHQSIPNHQNNTNTNIAIENQGGEEPIAPPPAELPMISSPKTTTDDEGSMGLLKVDGLTDNTWMERAVRCFDYDYDNNYEIETPLMMMNKNLNYAHMVFDEEPFTPCLDSFVLFEAFGTDSDLGKTQPFLP >KJB25119 pep chromosome:Graimondii2_0_v6:4:48433991:48434833:1 gene:B456_004G177400 transcript:KJB25119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKADVKNKWESSSWGRKLIVQKRRASLNDFDRFKLCWLRSRVLFLKALPQNFNRSLTSCNTVLQRSGVIKQELAKLKKENAS >KJB25120 pep chromosome:Graimondii2_0_v6:4:48434319:48434833:1 gene:B456_004G177400 transcript:KJB25120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQALVDAPDMVRGQMNFKRLTLTDITIDIPHVKNKWESSSWGRKLIVQKRRASLNDFDRFKLCWLRSRVLFLKALPQNFNRSLTSCNTVLQRSGVIKQELAKLKKENAS >KJB27183 pep chromosome:Graimondii2_0_v6:4:61421698:61426911:-1 gene:B456_004G282800 transcript:KJB27183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTAASRLRALKGRTSHIAPARFASSSTVATTSSSSGGLLSWLTGSQSKSVPSLDFPLPGVALPPSLPDYVEPGKTKITTLPNGLKVASETSASPAASIGLYVDCGSIYESPASFGVSHLLERMAFKSTTNRSHLRIVRGVEAIGGNVNAAVSREQIGYTFDALKTYVPEMVELLIDCVRNPAYLDWEVNEQLQKVKEEIAEAAKNPHGLLLEAIHSAGYSGALANPLLAPESAVNMLNSTVLEDFAAENFTASRMVLAATGVEHEELLSVAEPLLSDLPNIPRAQEPNSVYTGGDYRCQADSGGQTHFALAFELPGGWHNEKEAIILTVLQILMGGGGSFSAGGPGKGMYSRLYLRVLNQYPQVQSFSAFNSIYNHTGLFGIQATTGSDFVPSAIDVAVKELIAVATPGQVEQKELERAKQSTKSAILMNLESRAVASEDIGKQILTYGERKPVEHFLKVVDKITPKDISSVAEKLLSSNLTMASYGNVINVPRYDSISSKFKGK >KJB27182 pep chromosome:Graimondii2_0_v6:4:61421698:61426848:-1 gene:B456_004G282800 transcript:KJB27182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTAASRLRALKGRTSHIAPARFASSSTVATTSSSSGGLLSWLTGSQSKSVPSLDFPLPGVALPPSLPDYVEPGKTKITTLPNGLKVASETSASPAASIGLYVDCGSIYESPASFGVSHLLERMAFKSTTNRSHLRIVRGVEAIGGNVNAAVSREQIGYTFDALKTYVPEMVELLIDCVRNPAYLDWEVNEQLQKVKEEIAEAAKNPHGLLLEAIHSAGYSGALANPLLAPESAVNMLNSTVLEDFAAENFTASRMVLAATGVEHEELLSVAEPLLSDLPNIPRAQEPNSVYTGGDYRCQADSGGQTHFALAFELPGGWHNEKEAIILTVLQILMGGGGSFSAGGPGKGMYSRLSDLRVLNQYPQVQSFSAFNSIYNHTGLFGIQATTGSDFVPSAIDVAVKELIAVATPGQVEQKELERAKQSTKSAILMNLESRAVASEDIGKQILTYGERKPVEHFLKVVDKITPKDISSVAEKLLSSNLTMASYGNVINVPRYDSISSKFKGK >KJB24137 pep chromosome:Graimondii2_0_v6:4:34693862:34694524:1 gene:B456_004G129500 transcript:KJB24137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAYSPYAAGPLLLHRLVRWNPNPRVIGYPPMQLLRVITITLPHPRFPNPCFPLLCFSVFCPRPQPSDSPTPPCTDTFFIAILPDFAFTRLVFQRLLFIELFSDFPGYRLVFLPK >KJB24136 pep chromosome:Graimondii2_0_v6:4:34693862:34694524:1 gene:B456_004G129500 transcript:KJB24136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAYSPYAAGPLLLHRLVRWNPNPRVIGYPPMQLLRVITITLPHPRFPNPCFPLLCFSVFCPRPQPSDSPTPPCTDTFFIAILPDFAFTRLLFIELFSDFPGYRLVFLPK >KJB24318 pep chromosome:Graimondii2_0_v6:4:39074812:39078039:1 gene:B456_004G139200 transcript:KJB24318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWDQVQLRSSSGNHPLVSGHPNRPIPKLMVCSILFVSLTYLLYTLKLLTTSAQQTFHHTPFTSYLRRSTSFTSAPLVLNQTAVTAPLHHGRRDVREKQKVVMQMGQAPPKPKPTEIHDVVFGIAASSKLWQQRKEYIKIWYKPNQLRGVVWLDDRVKYSAQDNRTLPPFRVSSDTSKFPYTNRKGHRSAIRISRIVTETLKMNMDNVRWFVMGDDDTVFITENLVRILRKYDHTQYYYIGSLSESHIQNIFFSYGMAYGGGGFAISYPLAKALAKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIFPNVSRVQALQRLMLPTNLDSAGIMQQSICYDKTKSWTISVSWGFAVQVFRGIFSPREIEMPSRTFLNWYKRADYTAYAFNTRPVSRNPCQKPFVFYMSSVRMDSELNKTVSEYERHHVPHPLCRWKMANPDELETVIVHKKPDPHLWDRSPRRNCCRVMESKERRRMVIDVGVCKDGEVSEI >KJB24919 pep chromosome:Graimondii2_0_v6:4:46699073:46700244:-1 gene:B456_004G169100 transcript:KJB24919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLVQRLSKLYHKLENHYHHHHHHQAEVDALSASLQAFRSDVSNCVNQLLHPKPGSEILSFSWIQRCFELLPVINKAFLKLVGDIDYPLSFWDVASLDEYLNYGLHLLELLNCVTSSLSHLAQARLSFAHALNLVESSPSTAIEHLKAIQSQSSSKDLKGLVRNKEGGEGKLSSCKERVVHEALMEVKSVGLWVFGVVLATLSGETKPYLEIKQVIVRFNSALLIDVDSCVFEVMVEKGETLKEVKELNSAANSLVSAILSGKTSDAAMDFGGKLGVFEKEMDALEKQVDALFSSVLAARNELLNGVRQRKQ >KJB21469 pep chromosome:Graimondii2_0_v6:4:7161297:7162251:1 gene:B456_004G068300 transcript:KJB21469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYYYHSGCPDRYGAHYLDACSVCGKSLHNSDIFMYRGNTPFCSKECRQEQMEMDEAREKKLKFGRAHRKSDSKTSTPNKTVRTGTITVS >KJB22919 pep chromosome:Graimondii2_0_v6:4:8093749:8094072:-1 gene:B456_004G073800 transcript:KJB22919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEKLFTALLVFTLLIASLQLGAMRPLDGEQWLAKHELLLQSLQKGPVTGTGHNPCTEIPGRETGICKLGGMNVAGNVMHALPALTGVVPEFGVLASTAKETHHDS >KJB22935 pep chromosome:Graimondii2_0_v6:4:8456505:8457722:1 gene:B456_004G074800 transcript:KJB22935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNLEPRPVWARPYLNRICSIGSYLCFLKFKRDRIPSLVDEPRLCDLSVITGPVMPLLALLDRRGSFSACLVLLGRSHSCLTDSTPFFCFFHLNLQSYC >KJB22355 pep chromosome:Graimondii2_0_v6:4:3721009:3723130:1 gene:B456_004G042900 transcript:KJB22355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLMPSPEVSTAFAKIFSIPTPHHKFLKSCAISKNDDEKVWSKTNARVGVKDVGSTVSGLSQNLRLYVQFSAPVKRGSKSSKEEEEKQDYYVNMGYAIRTLREELPDIFYRELSFDIYRDDIVFKDPLNTFIGIDNYKSFFSALRFHGRIFFKALWLDIVSVWQPMENVIMVRWTIHGIPRVPWESHGRFDGTSEYKLDKKGKIYEHRVDNTALNSPPKFHVLAVEDLIRSVGCPSTPRPTYFEISSVSPPEKT >KJB22118 pep chromosome:Graimondii2_0_v6:4:2405629:2408897:-1 gene:B456_004G030400 transcript:KJB22118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIFRTNYLPLQQYQDGYNPIYRKFRSNGVAVAQLETGNANNERNTMAKRGQQATQIKRLLNFSGKEPSTPLLDTINHPIDTKNLSIQELGKLADELREEVVYTVSNTGGHLSASLGVAELTVALHHVFDTPKDKIIWDVGHQSYPHKILTGRRSRMHSIRQTCGLAGFPKREESIHDAFGTGHSSTSISAGLGMAVGRDLLGKNNHVIAVIGDGAMTAGMAYEALNNAGYLDTNLIIILNDNKQVSLPTATIDGPAPPVGALSKALKKLQSSREFRQLREAAKGITKQIGGQTHEIAAKFDSHMRGVVGGSGASLFEELGLYYIGPVDGHNVEDLVYVLNEVRSMPAPGPVLIHVITEKGKGYAPAEIAPDKMHGVVKFDPKSGKQMKNKSATRSYTQYFAESLIAEADEDDKIVGIHAAMSGGTGLNLFQKRFPDRCFDVGIAEQHAVTFAAGLASEGLKPFCAIYSSFLQRGFDQVAHDVDLQKLPVRFAIDRAGLVGADGPTHCGSFDTTFLACLPNMVVMAPSNETELMHMVATAAAIDDRPSCFRYPRGNGIGSILPPNNKGTPLQIGKGRVLREGSGRVAILGYGTIVQSCMKAAEQLQMLGISTTVADARFCKPIDGDLLRQLAREHEILITAEEGSIGGFSTHVSHFLCLNGLLDGKLKWRPMVLPDRYIDHGSQSDQIEEAGLSSKHIAATVLSLLGQTRGDM >KJB26984 pep chromosome:Graimondii2_0_v6:4:60494039:60499853:-1 gene:B456_004G270000 transcript:KJB26984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASETLKQIGDTSTGGAVFDASQYAFFGNDVLEEVELGGLDEEEDLPAVGLDEEEFLFDGEELNTTINGPRGSGIVGDRGSREGSSVAEWAHGEELPYWLGQQALETESVPRDKHWSSQLSPNLDSKHLYRTSSYPEQQQKQHFSSEPILVPNSSYNKPYPPPPGGRSHQASPNLNSGHLNNPYMVGGSQMAPSPNLSGFSSSQFQMPHLHQGLNYGRNMPDFAPGLSGNSLPSNQWGSQPKLHGGDNSSVLTNMLQQQLPHQNGLITSQLRPQLQAHQQRLQHPVQPSFSHLSGVQSQLVNPHRSPSPPLMNKFEAILGIGDLRDQRPKSAQKSRYSQLGFDSSGMKSDIGWPKFRSKYMSTDEIEGILRMQLAATHSNDPYVDDYYHQACLARKSAGATLRHHFCPAHLRDLPSRARANAEPHAFLQVDALGRVPFSSVRRPRPLLEVDPPNSSFVTNNDQKASDMPLELEPMLAARVTIEDGLCLLLDVDDIDRLLKFNQLQDGGAQLRRRRQVLLEELAASLQLDDPLAKNGHTDELAQKDDLVFLQIVALPKGRKLLARYLRLLFPGDELMRIVCMAIFRHLRFLFGGLLTDPGAETTDNLSKVISSCVHGMDLRALSVCLAAVVCSSEQPPLRPLGNPSGDWASLILKSVLDRATKLMIDFRAAGNYNMANQSLWKASFNEFFNLLTKYCINKYDTVMQSLRMQVKPNVAIDESDVTKAIKREMPVDLLHACLPHINDQQKKLILDLSQRSLVVGQS >KJB22090 pep chromosome:Graimondii2_0_v6:4:2260836:2267512:-1 gene:B456_004G028800 transcript:KJB22090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) UniProtKB/Swiss-Prot;Acc:Q8L6Y1] MEGINPMELLRSNLSRVRIPEPTNRIYKQECCLSFDSPRSEGGLFVDMTTFLAFGKDYVGWNYEKTGNPVYLHIKQTKKLVSEDRPLKKPTLLAIGVDGGFDNNELEYEETHKIVILPSYATLPFPSVELPEKVRLAVDAILMAEGAERKEQVAAWTADKKQISAYAMDLRQIGNVVVPPSGWKCAKCDKRDNLWLNLTDGMILCGRRNWDGTGGNNHAIEYYKETGYPLAVKLGTITSDLDAADVFSYPEDDSVIDPLLAQHLAYFGIDFSSLQKTEMTTAERELDQNTNFDWDRIQESGQDVEPIFGPGYTGLVNLGNSCYMAATMQVVFSTQSFCRRYYMNQSLKMAFETAPADTTVDLNMQLTKLAHGLLSGKYSFPAVEKGETTAPSVKDAKQEGIPPRMFKAVIAASHPEFSTMRQQDALEFFLHFLDQVERSNAVKPELDPSRSFKFGVEERILCSSGKVAYNKRLDYILSLNIPLHEATNKELEAFNKSKAEKISEGKDVSSDEIVRPRVPLEACLANFAAPEEIPDFYSSALKAKTTAIKTAGLTSFPDYLVLHMRKFVMEAGWVPKKLDVYIDVPDVIDISHMRSKGLQPGEELLPEAAPEGAAESSQPVADEAIVAQLASMGFNQLHCQKAAINTLNAGVEEAMNWLLSHMDDPDIDAPISHGSQSAEISIDQSKVDTLISFGFQEEIARMALKASGGDIEKATDWIFNNPSASASSAMDTNASSNGPSTPVDAGLPDGGGRYRLFGIVSHIGTSTQCGHYVAHILKDGRWVIFNDDKVGASINTPKDMGYLYFFERINS >KJB22091 pep chromosome:Graimondii2_0_v6:4:2261029:2267264:-1 gene:B456_004G028800 transcript:KJB22091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) UniProtKB/Swiss-Prot;Acc:Q8L6Y1] MEGINPMELLRSNLSRVRIPEPTNRIYKQECCLSFDSPRSEGGLFVDMTTFLAFGKDYVGWNYEKTGNPVYLHIKQTKKLVSEDRPLKKPTLLAIGVDGGFDNNELEYEETHKIVILPSYATLPFPSVELPEKVRLAVDAILMAEGAERKEQVAAWTADKKQISAYAMDLRQIGNVVVPPSGWKCAKCDKRDNLWLNLTDGMILCGRRNWDGTGGNNHAIEYYKETGYPLAVKLGTITSDLDAADVFSYPEDDSVIDPLLAQHLAYFGIDFSSLQKTEMTTAERELDQNTNFDWDRIQESGQDVEPIFGPGYTGLVNLGNSCYMAATMQVVFSTQSFCRRYYMNQSLKMAFETAPADTTVDLNMQLTKLAHGLLSGKYSFPAVEKGETTAPSVKDAKQEGIPPRMFKAVIAASHPEFSTMRQQDALEFFLHFLDQVERSNAVKPELDPSRSFKFGVEERILCSSGKVAYNKRLDYILSLNIPLHEATNKEELEAFNKSKAEKISEGKDVSSDEIVRPRVPLEACLANFAAPEEIPDFYSSALKAKTTAIKTAGLTSFPDYLVLHMRKFVMEAGWVPKKLDVYIDVPDVIDISHMRSKGLQPGEELLPEAAPEGAAESSQPVADEAIVAQLASMGFNQLHCQKAAINTLNAGVEEAMNWLLSHMDDPDIDAPISHGSQSAEISIDQSKVDTLISFGFQEEIARMALKASGGDIEKATDWIFNNPSASASSAMDTNASSNGPSTPVDAGLPDGGGRYRLFGIVSHIGTSTQCGHYVAHILKDGRWVIFNDDKVGASINTPKDMGYLYFFERINS >KJB23456 pep chromosome:Graimondii2_0_v6:4:17241486:17242082:-1 gene:B456_004G099200 transcript:KJB23456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERENSIVEEKPNPLFPVDETFWKKLLSRKPLKGQSTGMLDNENVVSVPFDWEMKPGTPKHPQTDNVVPLIKPSPAVESQNLMIPSFAFTHTTMTSCFWNKPRKNHRQGKKMGKGNAKSRRGRFHSGNNVAEADGKANHTPESVELSDVNAYSTSSSDHSRSCSSSSSLVSNTSCNSNSSSNSSSLRSFAKGLIKWSF >KJB22035 pep chromosome:Graimondii2_0_v6:4:2057750:2059414:-1 gene:B456_004G026400 transcript:KJB22035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFSPLFHIFAILLILTTSAKVNGAQCEAELGICDDKCDSTCEASKNGKGKCEKLSPNEVGTCKCLYECGSNTDGNGKSRPENKKCSVGIGPCSVKCNDICCRFKCAFKYPGPLEGTGMCLNIIGLPSTNECICYFNC >KJB21649 pep chromosome:Graimondii2_0_v6:4:379080:379469:-1 gene:B456_004G006400 transcript:KJB21649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGHLTLFWGKNTEDIFPGWSGGSFCSYFFGLIWVFLLSFMVERLSHTKFIKQGTNHVVAGLLQTLMYATRVALAYLVMLAVMSFNVGVLLASVGGYAVGFLVYGSQVFRKADFIQYEESSDIPPLNC >KJB25453 pep chromosome:Graimondii2_0_v6:4:50982088:50983587:1 gene:B456_004G192300 transcript:KJB25453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMGFSLKLPYCCLVSIMMLLPALCYSDKFDKSTATYYSRPDGLGTPSGACGFGEYGRNISDGNVAGVYRLYNNGIGCGACYQVRCTTSPQICTDKGVNIVVTDYGQGDNTDFILSHHAFEAMAQPGTADRLFAYGVVDVEYQRVPCQYVGHKIQVKVHENSRNPDYLAIIMLYQTGKSDILSVDFGQQGKWVEMRRSFGAVFDMSNPPLGAISLRFNVQDSAGSKWAVEAPNVIPPNWKAGVAYDTNIQL >KJB25454 pep chromosome:Graimondii2_0_v6:4:50982216:50983574:1 gene:B456_004G192300 transcript:KJB25454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSLKLPYCCLVSIMMLLPALCYSDKFDKSTATYYSRPDGLGTPSGACGFGEYGRNISDGNVAGVYRLYNNGIGCGACYQVRCTTSPQICTDKGVNIVVTDYGQGDNTDFILSHHAFEAMAQPGTADRLFAYGVVDVEYQRVPCQYVGHKIQVKVHENSRNPDYLAIIMLYQTGKSDILSVDFGQQGKWVEMRRSFGAVFDMSNPPLGAISLRFNVQDSAGSKWAVEAPNVIPPNWKAGVAYDTNIQL >KJB26439 pep chromosome:Graimondii2_0_v6:4:57978996:57985087:1 gene:B456_004G241600 transcript:KJB26439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSNPEGKTGVRIVVAGDRGTGKSSLIVTAAAETFPTNVPRLLPPTRLPEDFYPDRVPITIIDTSSNPEDRGKLAEELKRADAVVLTYACDQPETLNRLSTFWLPELRQLEVKVPVIVVGCKLDLRDDQQQVSLEQVMSPIMQQFREIETCIECSAYKHIQIPEVFYYAQKAVLHPTGPLFDQETQTLKPRCVRALKRIFILCDHDRDGALSDAELNNFQVKCFNAPLQPSEIVGVKRVVQDKLVEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLSDDLIPYSSFKRAPDQSVELTNEAIEFLKGVYELFDSDLDNNLRPIEVEDVFSTAPENPWNDAPYKDAAEKTALGGLSLDAFLSEWALMTLLDPARSLENLIYIGYPGDSSSAIRITRRRRLDRKKQQSERNVFQCFVFGPANAGKSALMNSFLGRPYLDSYSPTADEQYAVNVVELPGF >KJB26437 pep chromosome:Graimondii2_0_v6:4:57978926:57985235:1 gene:B456_004G241600 transcript:KJB26437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSNPEGKTGVRIVVAGDRGTGKSSLIVTAAAETFPTNVPRLLPPTRLPEDFYPDRVPITIIDTSSNPEDRGKLAEELKRADAVVLTYACDQPETLNRLSTFWLPELRQLEVKVPVIVVGCKLDLRDDQQQVSLEQVMSPIMQQFREIETCIECSAYKHIQIPEVFYYAQKAVLHPTGPLFDQETQTLKPRCVRALKRIFILCDHDRDGALSDAELNNFQVKCFNAPLQPSEIVGVKRVVQDKLVEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLSDDLIPYSSFKRAPDQSVELTNEAIEFLKGVYELFDSDLDNNLRPIEVEDVFSTAPENPWNDAPYKDAAEKTALGGLSLDAFLSEWALMTLLDPARSLENLIYIGYPGDSSSAIRITRRRRLDRKKQQSERNVFQCFVFGPANAGKSALMNSFLGRPYLDSYSPTADEQYAVNVVELPGGIKKTLVLREIPEDGVSKLLSSKESLAPCDIAVFVYDSSDESSWKRATELLMDVAGHGEDTGYEVPCLIVAAKDDLDSFPMAIQNSTRVSQDMGIEAPIPISSKLSDFNNIFRRIVNAAEHPHLSIPETEAGRSRKQYHRLINRSLMVVSVGAAVAIVGFAAYRVYAARKNASS >KJB26440 pep chromosome:Graimondii2_0_v6:4:57978996:57985087:1 gene:B456_004G241600 transcript:KJB26440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSNPEGKTGVRIVVAGDRGTGKSSLIVTAAAETFPTNVPRLLPPTRLPEDFYPDRVPITIIDTSSNPEDRGKLAEELKRADAVVLTYACDQPETLNRLSTFWLPELRQLEVMSPIMQQFREIETCIECSAYKHIQIPEVFYYAQKAVLHPTGPLFDQETQTLKPRCVRALKRIFILCDHDRDGALSDAELNNFQVKCFNAPLQPSEIVGVKRVVQDKLVEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLSDDLIPYSSFKRAPDQSVELTNEAIEFLKGVYELFDSDLDNNLRPIEVEDVFSTAPENPWNDAPYKDAAEKTALGGLSLDAFLSEWALMTLLDPARSLENLIYIGYPGDSSSAIRITRRRRLDRKKQQSERNVFQCFVFGPANAGKSALMNSFLGRPYLDSYSPTADEQYAVNVVELPGGIKKTLVLREIPEDGVSKLLSSKESLAPCDIAVFVYDSSDESSWKRATELLMDVAGHGEDTGYEVPCLIVAAKDDLDSFPMAIQNSTRVSQDMGIEAPIPISSKLSDFNNIFRRIVNAAEHPHLSIPETEAGRSRKQYHRLINRSLMVVSVGAAVAIVGFAAYRVYAARKNASS >KJB26438 pep chromosome:Graimondii2_0_v6:4:57978996:57983966:1 gene:B456_004G241600 transcript:KJB26438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSNPEGKTGVRIVVAGDRGTGKSSLIVTAAAETFPTNVPRLLPPTRLPEDFYPDRVPITIIDTSSNPEDRGKLAEELKRADAVVLTYACDQPETLNRLSTFWLPELRQLEVKVPVIVVGCKLDLRDDQQQVSLEQVMSPIMQQFREIETCIECSAYKHIQIPEVFYYAQKAVLHPTGPLFDQETQTLKPRCVRALKRIFILCDHDRDGALSDAELNNFQVKCFNAPLQPSEIVGVKRVVQDKLVEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLSDDLIPYSSFKRAPDQSVELTNEAIEFLKGVYELFDSDLDNNLRPIEVEDVFSTAPENPWNDAPYKDAAEKTALGGLSLDAFLSEWALMTLLDPARSLENLIYIGYPGDSSSAIRITRRRRLDRKKQQSERNVFQCFVFGPANAGKSALMNSFLGRPYLDSYSPTADEQYAVNVVELPGGIKKTLVLREIPEDGVSKLLSSKESLAPCDIAVFVYDSSDESSWKRATELLMDVAGHGEDTGYEVPCLIVAAKDDLDSFPMAIQNSTRVSCFIYFFVI >KJB25771 pep chromosome:Graimondii2_0_v6:4:53950350:53950832:-1 gene:B456_004G207900 transcript:KJB25771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCKILLAVTLVVLHFALPSFAQNLKKDFLDAQNNARAEVNVGPLAWDDQVAAYALAYAKQRIGDCNLVHSEGPYGENIAMGSDDMSVADALKMWIDEKAYYDHDSNSCDLGEVCGHYTQVVWRDSVHVGCAKVRCDNGGTFITCNYDPFGNVVGHSPF >KJB25614 pep chromosome:Graimondii2_0_v6:4:52077502:52078876:1 gene:B456_004G199200 transcript:KJB25614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSIEAASKRVPKSEESSSPFKELRTVACGLLVVCTVATASPVIATNQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKSNLKGKSVAAALMSDAKFDGADMSEAVMSKAYAVRASFKDFSNAVLDRVNFRKANLQGALFKNTVLSGSTFDNAQLEDAVFEDTIIGYIDLQKLCTNTSIGAQGRVELGCQ >KJB22323 pep chromosome:Graimondii2_0_v6:4:3532177:3537904:-1 gene:B456_004G041300 transcript:KJB22323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVYRNGTGSHKGAMRPLAASNSSNVKSFSFKSRIPPSSNHSPGSAPLRRSNSAGGSDGVPGRVRVAVRLRPRNAEESVADADFADCVELQPELKRLKLRKNNWDSETYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGEEDTSARGIMVRSMEEILANVSLETDSVSVSYLQLYMESIQDLLDPANDNISIVEDPKTGDVSLPGATHVEVRDERDFMELLRTGEAHRIAANTKLNTESSRSHAILMVHVKRSVLGAEDVIPSETDKSSHFAKPPKPLVRKSKLVLVDLAGSERVHKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLIVTIGPSPRHRGETTSTILFGQRAMKVENMLRIKEEFDYKSLSRKLEIQLDKLIAENERQQKVFDDEVERINLEALNRVSEVERNFAGALEKERLKCQMEYMESVKKLEEKMIENQQKHGCDGFMQDKCNGEGPGSSIVEDVAEVKKLLEKEIHMRKEAQEEVNKLKSQLGQCPDSGEGGGSEILKLQKALADEVRQKKKLEEEMIILRSQMLQLTFEADQMRRCLGRNGSANAYGGLDSPMSQVRDSLNGHKTPVAALFEQVGLQKILALLESEDANVSIHAVKVVANLAAEEANQERIVEAGGLTSLLVLLRSYEDENIRRVVAGAIANLAMNETNQELIMIQGGINLLSVTASRAEDPQTLRMVAGAIANLCGNDKLQTKLRSEGGIRALLGMARCGQPDVLSQVARGIANFAKCESRASTNGKSLISNLTLSDCLPVKFLIIF >KJB22324 pep chromosome:Graimondii2_0_v6:4:3531266:3537971:-1 gene:B456_004G041300 transcript:KJB22324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVYRNGTGSHKGAMRPLAASNSSNVKSFSFKSRIPPSSNHSPGSAPLRRSNSAGGSDGVPGRVRVAVRLRPRNAEESVADADFADCVELQPELKRLKLRKNNWDSETYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGEEDTSARGIMVRSMEEILANVSLETDSVSVSYLQLYMESIQDLLDPANDNISIVEDPKTGDVSLPGATHVEVRDERDFMELLRTGEAHRIAANTKLNTESSRSHAILMVHVKRSVLGAEDVIPSETDKSSHFAKPPKPLVRKSKLVLVDLAGSERVHKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLIVTIGPSPRHRGETTSTILFGQRAMKVENMLRIKEEFDYKSLSRKLEIQLDKLIAENERQQKVFDDEVERINLEALNRVSEVERNFAGALEKERLKCQMEYMESVKKLEEKMIENQQKHGCDGFMQDKCNGEGPGSSIVEDVAEVKKLLEKEIHMRKEAQEEVNKLKSQLGQCPDSGEGGGSEILKLQKALADEVRQKKKLEEEMIILRSQMLQLTFEADQMRRCLGRNGSANAYGGLDSPMSQVRDSLNGHKTPVAALFEQVGLQKILALLESEDANVSIHAVKVVANLAAEEANQERIVEAGGLTSLLVLLRSYEDENIRRVVAGAIANLAMNETNQELIMIQGGINLLSVTASRAEDPQTLRMVAGAIANLCGNDKLQTKLRSEGGIRALLGMARCGQPDVLSQVARGIANFAKCESRASTNGIKSGRSVLIDDGALPWIVQNANNDSSPIRRHIELALCHLAQHEVNAKDMISGGALWELVRISRDCSREDIRSLARRTLNLSPIFRAEMRRLRIEV >KJB22325 pep chromosome:Graimondii2_0_v6:4:3531266:3537993:-1 gene:B456_004G041300 transcript:KJB22325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVYRNGTGSHKGAMRPLAASNSSNVKSFSFKSRIPPSSNHSPGSAPLRRSNSAGGSDGVPGRVRVAVRLRPRNAEESVADADFADCVELQPELKRLKLRKNNWDSETYEFDEVLTEFASQKRVYEVVAKPVVETGTGKTFTLGRLGEEDTSARGIMVRSMEEILANVSLETDSVSVSYLQLYMESIQDLLDPANDNISIVEDPKTGDVSLPGATHVEVRDERDFMELLRTGEAHRIAANTKLNTESSRSHAILMVHVKRSVLGAEDVIPSETDKSSHFAKPPKPLVRKSKLVLVDLAGSERVHKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLIVTIGPSPRHRGETTSTILFGQRAMKVENMLRIKEEFDYKSLSRKLEIQLDKLIAENERQQKVFDDEVERINLEALNRVSEVERNFAGALEKERLKCQMEYMESVKKLEEKMIENQQKHGCDGFMQDKCNGEGPGSSIVEDVAEVKKLLEKEIHMRKEAQEEVNKLKSQLGQCPDSGEGGGSEILKLQKALADEVRQKKKLEEEMIILRSQMLQLTFEADQMRRCLGRNGSANAYGGLDSPMSQVRDSLNGHKTPVAALFEQVGLQKILALLESEDANVSIHAVKVVANLAAEEANQERIVEAGGLTSLLVLLRSYEDENIRRVVAGAIANLAMNETNQELIMIQGGINLLSVTASRAEDPQTLRMVAGAIANLCGNDKLQTKLRSEGGIRALLGMARCGQPDVLSQVARGIANFAKCESRASTNGIKSGRSVLIDDGALPWIVQNANNDSSPIRRHIELALCHLAQHEVNAKDMISGGALWELVRISRDCSREDIRSLARRTLNLSPIFRAEMRRLRIEV >KJB23005 pep chromosome:Graimondii2_0_v6:4:9066680:9073753:-1 gene:B456_004G077600 transcript:KJB23005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEILEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRARRSAHQEMELISKVKNPFIVEYKDSWVERGCYVCIIIGFCEGGDMAEAIKRANGVHFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCLYEMTAHKPAFKAFDMRALINKINRSIVAPLPTMYTSAFRGLIKSMLRKNPELRPSASELLSHPHLQPYVLKVHLKLNSPRCNNIPTRWSDLNFVKKTRFVEQDVIGRRRSFSNDRALNPSVSETEQDSLSYIQREQEIPSYLFEKFTEFSVGFDNEEIATVDESAATKFPTAVKTPRVTPAVSVTPRKHTIPAKKSQTGQKHDLVPISKTPVRKSSYSSRRESLPLPTRTAALVTPYRANVGLLRSISSPDVSVNTPRIDKIAEFPLAPSDGPLFCVRGTSSTSAKCSSSSIDSANHSITKDNCTVQTLDKVVTTSNGSDQSLGVGRDDGEGSEHDHAAASTHSSSDSRQRRFDTSSYRQRAEALEGLLEFSARLLQHQRYDELGVLLKPFGTEKVSPRETAIWLAKSFKETQA >KJB21275 pep chromosome:Graimondii2_0_v6:4:11166816:11166917:1 gene:B456_004G0861001 transcript:KJB21275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIESTGALPPEVLFTEAVKILEDECECVITELS >KJB21276 pep chromosome:Graimondii2_0_v6:4:11166814:11166917:1 gene:B456_004G0861001 transcript:KJB21276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIESTGALPPEVLFTEAVKILEDECECVITELS >KJB21274 pep chromosome:Graimondii2_0_v6:4:11166816:11166917:1 gene:B456_004G0861001 transcript:KJB21274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIESTGALPPEVLFTEAVKILEDECECVITELS >KJB22559 pep chromosome:Graimondii2_0_v6:4:5195943:5196904:1 gene:B456_004G054400 transcript:KJB22559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTVSTKGGRGKPKSSKSVSRSQKAGLQFPVGRIARFLKAGKYADRVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGTVTIANGGVLPNIHQNLLPKKIGKGKGDIGSASQEF >KJB24441 pep chromosome:Graimondii2_0_v6:4:40917991:40918654:1 gene:B456_004G145400 transcript:KJB24441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYDPWPCCYVLSPFELDQKSGNAKLCNPLALMDVKAIGLTQGDATMESSPVSQTTDLRPTFSDNVPNLGNGGNVPAMNVNNKLPTL >KJB22716 pep chromosome:Graimondii2_0_v6:4:6189816:6190046:-1 gene:B456_004G062300 transcript:KJB22716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFQLFSLLLIILLLFTPTLREANRRNATTATSDHTSTNDGRQPRQRYQVSIGQAKQLEEKRRVPTGSNPLHNKK >KJB25310 pep chromosome:Graimondii2_0_v6:4:49963794:49964957:-1 gene:B456_004G185400 transcript:KJB25310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNDHLGVNKTGKKIRKSPLHQPNFGNNATREQPQPYVYNISKNNFRNIVQQLTVLAPTPSHTPALVPPPAHYNNSLVRPGQYGQPSPGMLQPMIPGDAIWANTAESPISAYILLPNPPMSALPSPRGVNGAVPQMPNPPSQQMNGSIPLIPMNGPALLPSPTSQFLWPSLTGFMNLLCSIFPPMTPNFAFSPIVQPEVLGPGPQPPPSSGFVFPLLPSGFFPFPSPRWSD >KJB24637 pep chromosome:Graimondii2_0_v6:4:43935079:43936998:-1 gene:B456_004G154700 transcript:KJB24637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLNSLVKMGTWKSVNRTIFILGICALLVSVATLSGSYSFRSLLVTNSFCDYVNPLNEGEARMNFEVVIRKIRQEMDELKNGTLKESSSSEILLRHSAFLADILGLIESMQALGPDVENATVDHPLVKLNHQSDEPADYFLIEEIRKYVRVKPNRLGKQNFMGANGTFTSIGHACFAMKEELEEYMDYDVGEICNDDWKLAQKLMVHGCDPLPRRRCLARAPRLYTQPFPINESIWKLPDDRNVRWSGYWCKNFTCLANNGTRKGFFKCADCFNLTHHEMPRWIQPTDLDPETNITADFLIPEVLKIKPGEVRIGLDFSVGTGTFAARMREFNVTIVSATINLGAPFNEMIALRGLVPLYLSINQRLPFFDNTLDLIHTTRFLDGWIDFVLLDFVLFDWDRVLRPGGLLWIDSFFCLKEDLEDYMEAFKVLRYRKHKWVVVPKRDKDDDREVFFSAVLEKPPRPF >KJB23376 pep chromosome:Graimondii2_0_v6:4:15082020:15082807:1 gene:B456_004G096100 transcript:KJB23376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVDHAFSITDEDILVDTSYAVNNRPPFKEIGLAVSLLVFGTLGIILGIFMAYNRVGGDRAHGLFFTLLGCVLFIPGFYYTRIAYYAYKGYKGFSFSNIPPV >KJB26097 pep chromosome:Graimondii2_0_v6:4:56010591:56012669:1 gene:B456_004G225500 transcript:KJB26097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSKITGDVAVMEVSHRSTMGSRTRAAKTLALQRLSKTTQVTQVVGSNQDVSSLSYLQLRNRRLEKLASPVSSKTKQRQEEKESGFREEEEGKNGGKKNKGCFGNREIVLEVGICCGTEQAMDFELRDRSTPCSLTKDLKTIPSPGLVNDVLQSVPSTQEMEEFFVYAEQQQHRRFIEKYNFDIVNDLPLQGRYDWVKIIP >KJB25032 pep chromosome:Graimondii2_0_v6:4:47468480:47472016:1 gene:B456_004G173200 transcript:KJB25032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPISPLPKGWLGLLMQREQISFLFRNCLRVITFFKHKGRISFGVLSLIMITLPLKEVITSAEFHPTHCDMLAYSSSKGSIRLIDLRRSALCDSHAKLQVFYRF >KJB22706 pep chromosome:Graimondii2_0_v6:4:6069746:6074004:1 gene:B456_004G061400 transcript:KJB22706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESGSKLFIGGISWGTDEDRLKEYFSSFGEVVEAVIMKDRTTGRARGFGFVVFADPAVAERVIKEKHNIDGRMVEAKKAVPRDDQNIMSRSTSSIHGSPSPGPTRKIFVGGLTSTVTESDFKKYFDQFGNIIDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLLKKFHELNGKMVEVKRAVPRELSPGPSRSPLGGYNYGLNRVNNFLNGYTLGYAPSSVGGYGLGMDGKFSAVAGGRSGFSPFGAGYGISMNFEPGLNLNFGNGANFSGNMSYGQGLNPYYIGNTNRLDSPIGHDRSSGGSTSFFSSATRNLWGGNGGLNYNTNAASSGAYMGSGSGSLRGNALGNNGTNWGSSAISGQGGRDNISGNSVNFGNGNGDNNFGLGTAGYERNIGTNVVPASSYTASNGGYDISFANLPASASIYGDTTWQSSTSVRDSSGTFGYGLDSATSDVTRKSSPGFVGGYNVNKRQANRGIAT >KJB22704 pep chromosome:Graimondii2_0_v6:4:6069746:6074004:1 gene:B456_004G061400 transcript:KJB22704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESGSKLFIGGISWGTDEDRLKEYFSSFGEVVEAVIMKDRTTGRARGFGFVVFADPAVAERVIKEKHNIDGRMVEAKKAVPRDDQNIMSRSTSSIHGSPSPGPTRKIFVGGLTSTVTESDFKKYFDQFGNIIDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLLKKFHELNGKMVEVKRAVPRELSPGPSRSPLGGYNYGLNRVNNFLNGYTLGYAPSSVGGYGLGMDGKFSAVAGGRSGFSPFGAGYGISMNFEPGLNLNFGNGANFSGNMSYGQGLNPYYIGNTNRLDSPIGHDRSSGGSTSFFSSATRNLWGGNGGLNYNTNAASSGAYMGSGSGSLRGNALGNNGTNWGSSAISGQGGRDNISGNSVNFGNGNGDNNFGLGTAGYERNIGTNVVPASSYTASNGGYDISFANLPASASIYGDTTWQSSTSVRDSSGTFGYGLDSATSDVTRKSSPGFVGGYNVNKRQANRGIAT >KJB22705 pep chromosome:Graimondii2_0_v6:4:6070058:6073540:1 gene:B456_004G061400 transcript:KJB22705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESGSKLFIGGISWGTDEDRLKEYFSSFGEVVEAVIMKDRTTGRARGFGFVVFADPAVAERVIKEKHNIDGRMVEAKKAVPRDDQNIMSRSTSSIHGSPSPGPTRKIFVGGLTSTVTESDFKKYFDQFGNIIDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLLKKFHELNGKMVEVKRAVPRELSPGPSRSPLGGYNYGLNRVNNFLNGYTLGYAPSSVGGYGLGMDGKFSAVAGGRSGFSPFGAGYGISMNFEPGLNLNFGNGANFSGNMSYGQGLNPYYIGNTNRLDSPIGHDRSSGGSTSFFSSATRNLWGGNGGLNYNTNAASSGAYMGSGSGSLRGNALGNNGTNWGSSAISGQGGRDNISGNSVNFGNGNGDNNFGLGTAGYERNIGTNVVPASSYTASNGGYDISFANLPASASIYGDTTWQSSTSVRDSSGTFGYGLDSATSDVTRKSSPGFVGGYNVNKRQANRGIAT >KJB25704 pep chromosome:Graimondii2_0_v6:4:53569690:53571257:1 gene:B456_004G205400 transcript:KJB25704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLSWLMYPVSYMILFQALLAITRKLGRRAKLPPGPPPIPIFGNLFQLGDNPHKSLAKLASVHGDIMTLKLGQITTIVFSSATMAEEILQKHDAVSCNRTVPDAIRALRHHEVGLPWMPVSTTWRNHRKICKLHIFASHRLDANQYLRRTKVEQLLADEFREIVQGILEELRKPNFGDYFPIANLDLQGNRRRMTIHLRKIMDLFDNVIDERVELRRMSEYVSTNDLLDTLLQLSQENNQELDKNQIKHLILVLFTAATETTTSTIEWAMAELLHSPQALLEARRELKKIIGKGNLVEESNVTCLPYLQAIVKETLRLHPPAPFLIPRKAEADIEVHNFVVPKGAQVFINAWVIGRDPNFWEEPDLFRPERFIRSEMDVKGKDFGLIPFGGGRRICPGLPLAMRMLHSMLGTLIHSFDWELEDGVAPENLNMDEKFHFVLQKAKPLRAIPHSI >KJB24243 pep chromosome:Graimondii2_0_v6:4:37190997:37195872:-1 gene:B456_004G135000 transcript:KJB24243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGKKSIDQIHVCDAINSSHNGSNPLIFNSFLRCFIDRDDESPHTSISVEQPVQIHQDVDSKSKRWHFQSYNLTISNIWSPFLVKAATFEDINGVSTAEVQLHLDKLDKKWLDIYPSLDYMIISTGKWFLKAAVYHENDVIVGCHLCPGKNLIELGFEYAYKKTLHHVMDFIKTSKHKGLIFFRTSTPDHFKNGEWHNGGTCPKTIPAKEGEVKIKDLNRILRNIELEEFEKASAKVANNELNLKLLDFTNLLLSRPDGHPGPYRQFQPYSKNKTAVVQNDCLHWCIPGPIDFWNDVIMEIVANG >KJB22471 pep chromosome:Graimondii2_0_v6:4:4354037:4361011:1 gene:B456_004G048300 transcript:KJB22471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLDHIKSHLATLSQIGENEKNGFINLVSRYLSGEAQHIEWSKIQTPTDEVVVPYDTLAPLSDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVQQIENLNKKYGCNVPLVLMNSFNTHDDTLKIVDKYANSNIQIHTFNQSQYPRLVAEDFTPFPCKGQPGKDGWYPPGHGDVFPALMNSGKLSTFLSQDKEYAFIANSDNLGAIVDLKILNHLVKNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWLNLNAVKRLVEADALKMEIIPNPKEVEGTKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVSRNSARENPENPSIELGPEFKKVTTS >KJB22470 pep chromosome:Graimondii2_0_v6:4:4353931:4361011:1 gene:B456_004G048300 transcript:KJB22470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLDHIKSHLATLSQIGENEKNGFINLVSRYLSGEAQHIEWSKIQTPTDEVVVPYDTLAPLSDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVQQIENLNKKYGCNVPLVLMNSFNTHDDTLKIVDKYANSNIQIHTFNQSQYPRLVAEDFTPFPCKGQPGKDGWYPPGHGDVFPALMNSGKLSTFLSQDKEYAFIANSDNLGAIVDLKILNHLVKNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWLNLNAVKRLVEADALKMEIIPNPKEVEGTKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVSRNSARENPENPSIELGPEFKKVSNFLSRFKTIPSIINLDSLTVTGDVWFGAGIVLKGKVKIAAKPGEKLEIPDGIVIENKEINGPGDLSS >KJB27210 pep chromosome:Graimondii2_0_v6:4:61594955:61597505:1 gene:B456_004G284900 transcript:KJB27210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMASSSSNFFFIFFLVLCLKITAKKTYIVQMKHQDKPLSFETHHDWHSSSLQSLTDSPPESLLYSYTEAFNGFAASLNEEQAESLSKSESILGVYEDTVYTLHTTRSPQFLGLDADLGLWATGGSTQASEDVIIGVLDTGVWPESKSFDDSGMPEIPSKWRGECEPGPDFGPKFCNKKLIGARSFSKGYRMAIGGGINKKPKEIDSPRDQDGHGTHTASTAAGSHVANASLLGYASGIARGMATRARVAAYKVCWETGCFGSDILAGMDRAIRDGVDVLSVSLGGRLAPYYRDTIALGAFAAVEKGIFVSCSAGNSGPTRATLANVAPWIMTVGAGTLDRDFPAYAVLGNKIRYNGVSLYSGRGIGKKPVGLVYNKDNNTFGNLCLPGSLKPASVRGKVVVCDRGTNARVEKGGVVRDAGGVGMILANTADSGEELVADSHLLPAVAVGRKTGDLIRKYAQSNPNPTAKLVFGGTVLNVRPSPVVAAFSSRGPNMVTPQILKPDVIGPGVNILAAWSEAIGPTGLVKDTRKTKFNIVSGTSMSCPHISGLAAWLKAAHPDWSPSAIKSALMTTAYTRDNTNSSLRDAADGSLSNPWVHGAGHVDPQKALSPGLIYDITTKQYISFLCSLGYTIDQVKTIVKRPNITCSKRFKDPGELNYPSFSVLFGDKRVVRYTRELTNVGPARSIYKVAVDGPSSVGISVRPRTLVFRHIGEKKRYTVSFVAKRKRRGSTVRSEYGSIVWGNAQHQVKSPVSFSWTFL >KJB27211 pep chromosome:Graimondii2_0_v6:4:61595007:61597445:1 gene:B456_004G284900 transcript:KJB27211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMASSSSNFFFIFFLVLCLKITAKKTYIVQMKHQDKPLSFETHHDWHSSSLQSLTDSPPESLLYSYTEAFNGFAASLNEEQAESLSKSESILGVYEDTVYTLHTTRSPQFLGLDADLGLWATGGSTQASEDVIIGVLDTGVWPESKSFDDSGMPEIPSKWRGECEPGPDFGPKFCNKKLIGARSFSKGYRMAIGGGINKKPKEIDSPRDQDGHGTHTASTAAGSHVANASLLGYASGIARGMATRARVAAYKVCWETGCFGSDILAGMDRAIRDGVDVLSVSLGGRLAPYYRDTIALGAFAAVEKGIFVSCSAGNSGPTRATLANVAPWIMTVGAGTLDRDFPAYAVLGNKIRYNGVSLYSGRGIGKKPVGLVYNKDNNTFGNLCLPGSLKPASVRGKVVVCDRGTNARVEKGGVVRDAGGVGMILANTADSGEELVADSHLLPAVAVGRKTGDLIRKYAQSNPNPTAKLVFGGTVLNVRPSPVVAAFSSRGPNMVTPQILKPDVIGPGVNILAAWSEAIGPTGLVKDTRKTKFNIVSGTSMSCPHISGLAAWLKAAHPDWSPSAIKSALMTTAYTRDNTNSSLRDAADGSLSNPWVHGADSKTLVNSITHHFRSCLGTKGLFGTLGN >KJB23259 pep chromosome:Graimondii2_0_v6:4:11847776:11849199:1 gene:B456_004G088800 transcript:KJB23259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANQDDSGTLKYKTWVLKVFIHCEGCKKKVKRVLQAIDGVYETTIDARQHKVTVTGSVDEELLIKKLSKSGKYVEPWPEKVEKKEKKPGKSKNNEKQKDGEGEAGGDDDNHDPKKNKSDEKPELAATKDGGSDGSKGQPDGDNQPPAGDQMGGESEPDTSAAESGGGNGGNKKKKKKGKKGNPGPNGDAPASGEGLSAQALPVSNQAPPMGSSTNPSPPHQPMYPYIPPPMYYGPPLFGVSYATTHPSSTSSYYGTIMHPNAYRPPSPPSDPVHKFNEDDRDYYDDDETGCSIM >KJB23171 pep chromosome:Graimondii2_0_v6:4:10617747:10618927:1 gene:B456_004G085100 transcript:KJB23171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSPQFQRLWTNRRDQMTSRDWTHLPLLPSIVDRLGLIDLLRFRAVCKDWNSASFAATAEIEAIPDQEPWCLIYGGNNSECSLITESDGKHTIYIPEMNGATCLASSQGWLLLFREGSMFFFCPLSGAKIDLPGPFPHTAINDHVAVFSAPPTSKDCVVAVVSRTETETLELHMIERGATAWTEHKLASMVPTKIQYAAHYNGGFYFFDNKSDSMVYMSIEKRELRLGKVRYMKSAKDKSIPLRFRTNSEKENMKKRLGLEDGVQVSICGTVVSCESSADKMVPYENTGVGADDAEGRQIVKAAWFQPRFHRVSQNQSW >KJB25613 pep chromosome:Graimondii2_0_v6:4:52036515:52038903:1 gene:B456_004G199100 transcript:KJB25613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCVCLLYLFLFPTCWLTVSMSETLLLPLNHAFSKTHFTTPHHLLKTTSARSAARFRHRHKQVPLPLSSGSDYTLSFTLGSPPSPTISLYLDTGSDVVWLPCSPFECILCESKAPPLSPPLNLSASATAVPCKSSACSAAHSSLPSSDLCAMARCPLDAIETSDCNSFPCPPFYYAYGDGSLIARLYKDSLTLPNSLSIQNFTFGCAHTTLAEPVGVAGFGFGRLSLPAQLSSVSPQLGNRFSYCLVSHSFDSDKVRRPSPLILGRNEEKEKQFGNEVVEFVYTDMLHNPKHPYFYSVGLEGISVGKRNIPAPENLKKVDRRGSGGMVVDSGTTFTMLPSNLYDSVVNEFDHRVGRFNERASAVEETTGLGPCYYYDQVAKVPVISLHFVGNGSRVLLPRRNYFYEFLDGGDGIGKKRNVGCLMLMNGGDEEELSGGPGATLGNYQQQGFEVVYDLEKRKIGFARRKCSSLWDSFKN >KJB23717 pep chromosome:Graimondii2_0_v6:4:24683621:24685806:1 gene:B456_004G111400 transcript:KJB23717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEQALKRIPRIKFPQRHPKPSPSGSISETQATRKDGGVIGNFIARSRLSSDVPAPPSNTAVGGKASLLPKRTPVSEREIEAILLGGCF >KJB23084 pep chromosome:Graimondii2_0_v6:4:9563534:9565818:-1 gene:B456_004G080000 transcript:KJB23084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALASSSSSSLLFSSPPTKFPSPSLCPPLRLSLPTSFLSSSLSLSPSFHAYPTSSRRYSASSFTIRASAAEKKKVLIVNTNSGGHAVIGFYFAKELLGSGHEVTIFTVGEEGSDKMKKPPFNRFSTVWGDPADVGKVVAGATFDVVLDNNGKDLDTVRPVVDWAKSSGVKQFLFISSAGIYKPTDEPPHVEGDVVKADAGHVGVEKYVAEVFSSWAVFRPQYMIGSGNNKDCEEWFFDRIVRKRPVPIPGSGMQLTNIAHVRDLSSMLTLAVEKPEAACCNIFNCVSDRAVTLDGMAKLCAAAAGLPVEIVHYDPKAIGIDAKKAFPFRNMHFYAEPRAAKDILGWKSTTNLPEDLKERFEEYVKIGRDKKPMQFEMDDKILGSLKVPVAV >KJB23085 pep chromosome:Graimondii2_0_v6:4:9564197:9565764:-1 gene:B456_004G080000 transcript:KJB23085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALASSSSSSLLFSSPPTKFPSPSLCPPLRLSLPTSFLSSSLSLSPSFHAYPTSSRRYSASSFTIRASAAEKKKVLIVNTNSGGHAVIGFYFAKELLGSGHEVTIFTVGEEGSDKMKKPPFNRFSEITSAGGKTVWGDPADVGKVVAGATFDVVLDNNGKDLDTVRPVVDWAKSSGVKQFLFISSAGIYKPTDEPPHVEGDVVKADAGHVGVEKYVAEVFSSWAVFRPQYMIGSGNNKDCEEWFFDRIVRKRPVPIPGSGMQLTNIAHVRDLSSMLTLAVEKPEAACCNIFNCVSDRAVTLDGMAKLCAAAAGLPVEIVHYDPKAIGIDAKKAFPFRNMVIIIMTSSS >KJB23086 pep chromosome:Graimondii2_0_v6:4:9563534:9566029:-1 gene:B456_004G080000 transcript:KJB23086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALASSSSSSLLFSSPPTKFPSPSLCPPLRLSLPTSFLSSSLSLSPSFHAYPTSSRRYSASSFTIRASAAEKKKVLIVNTNSGGHAVIGFYFAKELLGSGHEVTIFTVGEEGSDKMKKPPFNRFSEITSAGGKTVWGDPADVGKVVAGATFDVVLDNNGKDLDTVRPVVDWAKSSGVKQFLFISSAGIYKPTDEPPHVEGDVVKADAGHVGVEKYVAEVFSSWAVFRPQYMIGSGNNKDCEEWFFDRIVRKRPVPIPGSGMQLTNIAHVRDLSSMLTLAVEKPEAACCNIFNCVSDRAVTLDGMAKLCAAAAGLPVEIVHYDPKAIGIDAKKAFPFRNMHFYAEPRAAKDILGWKSTTNLPEDLKERFEEYVKIGRDKKPMQFEMDDKILGSLKVPVAV >KJB23833 pep chromosome:Graimondii2_0_v6:4:28491722:28494110:-1 gene:B456_004G117200 transcript:KJB23833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEVSYLSEKLSNHTSFLGLPLWILILAFLSFFLLLSFSIVSCYVVCYRRTKSSRTPPFCLPNPIAPTNYRTAYCSSSLNRSLLPLSISDREMNTSTQPELQVMLPDQWSTRANYLADIEHFPNFSHGVPDTWTSNRFSLRDVDVFTNGFAYANLIGNGDYGVVYRGVLLDGTTVAVKRLPTNSCQTEGFVTEAEAIGHVRHKNLVKLIGYCMEQGYRMLVYEYVNNSNLHQWLHGPLGQESPLTWTVRMNIIHGIAKGLAYLHEDIEPHIVHQNLKANNILLDHLWNPKISDAALSRLLGPNHTHGTTPSLVKLGYAAEGHGSTSRWDKKSDVYSFGILVMELVSGRMPVDHHHQPQIYLIDWLKSMVANKKIADVVDPKMPETPSVKELKRITLISFRCVDPDLDHRPKMGEVIHMLEPRDLLLNDERRIRREASLRNHAEGSRVDVWKHNEDASHA >KJB26741 pep chromosome:Graimondii2_0_v6:4:59329642:59333606:1 gene:B456_004G257000 transcript:KJB26741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLHDSISAANAAAAANGNTVPPFLSKTYDVVNDPSTDSVVSWSSGNNSFVVWKVPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTISRRKPPNVQSNQQPQVQNSSVGACIEVGKFGIEEEVERLKRDKNVLMQELVRLRQQQQTTDNQLQTVGQRVQVMEQRQQQMMSFLAKAMQSPSFLGQLVQQQNESNRRITGANKKRRLPRQDEENLAGENGAVSAKGQIVKFQPSLNEAAKAMLHQIMKMNTSPSLDPSINNSGSFLIDGVPPSNAPDSRSSSSRISGVTLSEVQPASAQSYLQAESGFPDTCLSAAAHLTSEHTNVDQISRINEHKSQKHGVIPNVSQMQGTMPDSTVGLTDRSLAGSERGNAEYLDPLLDVLDGTMPVETDNFSADHDMDILLNGSPELPAINDVFWEKFLATSPLTGDTDEISSSSLENGANLEQQQAPVRQENGWDGIHHMNHLTEQMGLLSSNGQIRG >KJB26739 pep chromosome:Graimondii2_0_v6:4:59329642:59333564:1 gene:B456_004G257000 transcript:KJB26739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLHDSISAANAAAAANGNTVPPFLSKTYDVVNDPSTDSVVSWSSGNNSFVVWKVPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTISRRKPPNVQSNQQPQVQNSSVGACIEVGKFGIEEEVERLKRDKNVLMQELVRLRQQQQTTDNQLQTVGQRVQVMEQRQQQMMSFLAKAMQSPSFLGQLVQQQNESNRRITGANKKRRLPRQDEENLAGENGAVSAKGQIVKFQPSLNEAAKAMLHQIMKMNTSPSLDPSINNSGSFLIDGVPPSNAPDSRSSSSRISGVTLSEVQPASAQSYLQAESGFPDTCLSAAAHLTSEHTNVDQISRINEHKSQKHGVIPNVSQMQGTMPDSTVGLTDRSLAGSERGNAEYLDPLLDVLDGTMPVETDNFSADHDMDILLNGSPELPAINDVFWEKFLATSPLTGDTDEISSSSLENGANLEQQQAPVRQENGWDGIHHMNHLTEQMGLLSSNGQIR >KJB26740 pep chromosome:Graimondii2_0_v6:4:59329642:59332993:1 gene:B456_004G257000 transcript:KJB26740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLHDSISAANAAAAANGNTVPPFLSKTYDVVNDPSTDSVVSWSSGNNSFVVWKVPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTISRRKPPNVQSNQQPQVQNSSVGACIEVGKFGIEEEVERLKRDKNVLMQELVRLRQQQQTTDNQLQTVGQRVQVMEQRQQQMMSFLAKAMQSPSFLGQLVQQQNESNRRITGANKKRRLPRQDEENLAGENGAVSAKGQIVKFQPSLNEAAKAMLHQIMKMNTSPSLDPSINNSGSFLIDGVPPSNAPDSRSSSSRISGVTLSEVQPASAQSYLQAESGFPDTCLSAAAHLTSEHTNVDQISRINEHKSQKHGVIPNVSQMQGTMPDSTVGLTDRSLAGSERGNAEYLDPLLDVLDGTMPVETDNFSADHDMDILLNGSPELPAINDVFWEKFLATSPLTGDTDEISSSSLENGANLEQQQAPVRQENGWDGIHHMNHLTEQMGLLSSNGQIR >KJB24121 pep chromosome:Graimondii2_0_v6:4:35156505:35158119:1 gene:B456_004G130300 transcript:KJB24121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMVGPRLYSCCNCRNQIALHDDVISKSFQGRNGRAFLFSHATNIMVGPKEDRHLMTGLHTVADVYCCDCREVLGWKYERAYEETQKYKEGKFILEKSKIVKENW >KJB24122 pep chromosome:Graimondii2_0_v6:4:35156865:35158119:1 gene:B456_004G130300 transcript:KJB24122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMVGPRLYSCCNCRNQIALHDDVISKSFQGRNGRAFLFSHATNIMVGPKEDRHLMTGLHTVADVYCCDCREVLGWKYERAYEETQKYKEGKFILEKSKIVKENW >KJB24120 pep chromosome:Graimondii2_0_v6:4:35156865:35158119:1 gene:B456_004G130300 transcript:KJB24120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMVGPRLYSCCNCRNQIALHDDVISKSFQGRNGRAFLFSHATNIMVGPKEDRHLMTGLHTVADVYCCDCREVLGWKYERAYEETQKYKEGKFILEKSKIVKENW >KJB24102 pep chromosome:Graimondii2_0_v6:4:33818506:33819201:1 gene:B456_004G128000 transcript:KJB24102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVAIVAELMEEYTVLLCRLVEHLFHEAPFPRRIRFLILSSLPVASSTAPLLPAPA >KJB22601 pep chromosome:Graimondii2_0_v6:4:5536997:5539743:1 gene:B456_004G057600 transcript:KJB22601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNPVVFDISSDDDDEVASGLEESKGDDYDWFSEVLEAVNKGFDDSDEVVVIGEVNPNKKSKTRNSSVRKDVTEEDDDCIVLEGDPEKVVSDVNDYHDDSDELLVVGHKGQVACRDFPHPRHDCAKFPFSSTSHDQHCELCHCFVCDVRAPCCYWGSGITNTDHCHATDKEEIWSTLRKNFRHGRNVSVPPVTSHSTIVPQHNQVLHRDIIRLTTQNHVSRPTPVRASGNCIPQNNVPRPSIIRACSSSSTKHGVPYNPTVGSRHVLNRSTIQPRSGSQELLGVRNSVIQRDRGTKTRNMSSQFVSSNTMSKRLDTEVPATVTRNAYVPPENIENIISAHASQYQPENIENITSAHASQYQRNPASVTTSNERNSNLIVCPNNSDTSLGTHTYQSSSQPRMDPIFANSAPSLYPPYNLCVPQSNVYNDTSRVQNQNHPATYNGFSDFDINWVNNIDLSNQQSSADYLQFQTSGSTNGEGPFKVNGGDKSYYNELESLLLDNQCAPGGSLTAGLNAPSPPDHIPLETGMLFFDIESSWDRLTCA >KJB22602 pep chromosome:Graimondii2_0_v6:4:5536590:5540652:1 gene:B456_004G057600 transcript:KJB22602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNPVVFDISSDDDDEVASGLEESKGDDYDWFSEVLEAVNKGFDDSDEVVVIGEVNPNKKSKTRNSSVRKDVTEEDDDCIVLEGDPEKVVSDVNDYHDDSDELLVVGHKGQVACRDFPHPRHDCAKFPFSSTSHDQHCELCHCFVCDVRAPCCYWGSGITNTDHCHATDKEEIWSTLRKNFRHGRNVSVPPVTSHSTIVPQHNQVLHRDIIRLTTQNHVSRPTPVRASGNCIPQNNVPRPSIIRACSSSSTKHGVPYNPTVGSRHVLNRSTIQPRSGSQELLGVRNSVIQRDRGTKTRNMSSQFVSSNTMSKRLDTEVPATVTRNAYVPPENIENIISAHASQYQPENIENITSAHASQYQRNPASVTTSNERNSNLIVCPNNSDTSLGTHTYQSSSQPRMDPIFANSAPSLYPPYNLCVPQSNVYNDTSRVQNQNHPATYNGFSDFDINWVNNIDLSNQQSSADYLQFQTSGSTNGEGPFKVNGGDKSYYNELESLLLDNQCAPGGSLTAGLNAPSPPDHIPLETDPEEVIVRMLFRRGELGAQSLA >KJB24227 pep chromosome:Graimondii2_0_v6:4:36704097:36706230:1 gene:B456_004G133800 transcript:KJB24227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEINHPLGFNDIVNIGSSLSQLILSGGSNTLDSIFSHCAPSTTTTSTAITTNCIYEPIGSSVYLRQRELLQKFSQENNGNASFSCNSLMNRIPSYPNPASTSNFYLSPEKKKQYRGVRQRHWGKWVAEIRLPQNRMRIWLGTYETAEAAAYAYDRAAYKLRGEYARLNFENIKDPSKLGFGDGARLSALKNSVDAKIQAICQKVKRERAKKKAKKDRPAEAEKTVKVTESCSSSSSLSPVVFSDSVSDGGFWRCENSPSSVSNDYPMMMAEEPQFEDCLLARMPSFDAELIWDILAN >KJB21732 pep chromosome:Graimondii2_0_v6:4:778163:781960:1 gene:B456_004G011000 transcript:KJB21732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDKSVKETAINMPEIKSDDKAKAPLLNSSKAIAVTVEQPNRGSKKGIASGDFVLRLFAMGAALGAAVTMGNNQQILPFFTQFIEFLAQYNDITTFVYFVTANAAVAGYLFLSLPFSVICINRPLATTPRLVLVIFDTVMMGITITAASASASMVYLAHNGNQNTMWLPFCQQFGNFCQTASGAVVGSLIAGTFLMFIIILSAFALK >KJB27249 pep chromosome:Graimondii2_0_v6:4:61694992:61699575:1 gene:B456_004G286500 transcript:KJB27249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMELGNGDDGVTSSASPTSAMASVLPLASVSQQPYVSELLSFTLDRLHKEPELLRVDAERIQRQMQEVAVGNYRAFISAADALVAIKEELSSIDKHLESLITEIPSLTSGCTEFIESAEQILEKRKMNQTLLSNHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVCKLSTMHPNIPVIQALAQEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYEMRLQFLRCRETWLTGILEDLDQRNAYEYLKGMISCHRMHLFDVVNQYRAIFADDTSGSEENYDGGLIFSWAMHQITSHLKTLKVMLPKITEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPPLFEEAVLNLFSKNMNTAVENFQLVLDSHRWVPLPAVGFSAASISEESQEDVTPPSYLMEHPPLAVFVNGVSAAMNELRPCAPVSLKNVLSQELIKGLQAVSDSLLRYNATRMLRENESGLFLSLCRAFIEVVFPHCATCFGRCYPGGAALIMDAKNLYEGLGRLLTISSTKEPPKPVGNGEEKTASGNGDLPSQPVVENGIEPTVDNAADEKEQNSPALHTDEKKAGETS >KJB27251 pep chromosome:Graimondii2_0_v6:4:61695599:61699483:1 gene:B456_004G286500 transcript:KJB27251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTLLSNHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVCKLSTMHPNIPVIQALAQEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYEMRLQFLRCRETWLTGILEDLDQRNAYEYLKGMISCHRMHLFDVVNQYRAIFADDTSGSEENYDGGLIFSWAMHQITSHLKTLKVMLPKITEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPPLFEEAVLNLFSKNMNTAVENFQLVLDSHRWVPLPAVGFSAASISEESQEDVTPPSYLMEHPPLAVFVNGVSAAMNELRPCAPVSLKNVLSQELIKGLQAVSDSLLRYNATRMLRENESGLFLSLCRAFIEVVFPHCATCFGRCYPGGAALIMDAKNLYEGLGRLLTISSTKEPPKPVGNGEEKTASGNGDLPSQPVVENGIEPTVDNAADEKEQNSPALHTDEKKAGETS >KJB27250 pep chromosome:Graimondii2_0_v6:4:61695032:61699454:1 gene:B456_004G286500 transcript:KJB27250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMELGNGDDGVTSSASPTSAMASVLPLASVSQQPYVSELLSFTLDRLHKEPELLRVDAERIQRQMQEVAVGNYRAFISAADALVAIKEELSSIDKHLESLITEIPSLTSGCTEFIESAEQILEKRKMNQTLLSNHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVCKLSTMHPNIPVIQALAQEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYEMRLQFLRCRETWLTGILEDLDQRNAYEYLKGMISCHRMHLFDVVNQYRAIFADDTSGSEENYDGGLIFSWAMHQITSHLKTLKVMLPKITEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPPLFEEAVLNLFSKNMNTAVENFQFWIRIDGFHYQQLAFQPLASVKKVRRM >KJB23654 pep chromosome:Graimondii2_0_v6:4:22288029:22291215:-1 gene:B456_004G108500 transcript:KJB23654 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MATASTASRITRKIGIAVKKAASRNKGWYDLHMAAASGAIAQRLPLVDLVAEIRDARIPLSSENELLRDFPALSKRIVVMNKIDLADQTQVKGWMRYFEQQNCIPYGVNSHNKDSVKGLLNFIQAQVRGLCKANHHASETITIMLVGIPNVGKSALANSLHQMGRISAAEKGRLKHATVSPQPGETKDISSFKQYNGVFHAQHLHLQIGSHPNIYLLDTPGILPRMIHDAELCSKLVLTGAIRDGLIEQKELARYFLTILNLSDQYKKWAKFSTNEDRLLSFIEHKVEDSISSKLEMRQKKQHMMDHTQDLIVNDVRGTIFDTISCFDGNIELEEDMIKLMEAELVALREAFRVPQGLGEYVYNRVVSVKLLDLYRTGRLGHYTLDTLPLTLHHPL >KJB23653 pep chromosome:Graimondii2_0_v6:4:22287950:22291933:-1 gene:B456_004G108500 transcript:KJB23653 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MATASTASRITRKIGIAVKKAASRNKGWYDLHMAAASGAIAQRLPLVDLVAEIRDARIPLSSENELLRDFPALSKRIVVMNKIDLADQTQVKGWMRYFEQQNCIPYGVNSHNKDSVKGLLNFIQAQVRGLCKANHHASETITIMLVGIPNVGKSALANSLHQMGRISAAEKGRLKHATVSPQPGETKDISSFKIGSHPNIYLLDTPGILPRMIHDAELCSKLVLTGAIRDGLIEQKELARYFLTILNLSDQYKKWAKFSTNEDRLLSFIEHKVEDSISSKLEMRQKKQHMMDHTQDLIVNDVRGTIFDTISCFDGNIELEEDMIKLMEAELVALREAFRVPQGLGEYVYNRVVSVKLLDLYRTGRLGHYTLDTLPLTLHHPL >KJB24545 pep chromosome:Graimondii2_0_v6:4:42641895:42644017:1 gene:B456_004G150200 transcript:KJB24545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILNNPFSLLFITSISLFLFRVILIKTGFIFTFKRKWRCIKDCFHVYQFFKVPEFSESMQRNQLYYKVLLYLHSLASLEDSDFTNLFAGRKPNEILLRLDTNQIIEDDFLGAKMYWINQDKNLVLKIRKSDKRRVLQPYLQHIHTVFDEFDGRKRELKLYMNVVDDQKGRWRSVPFTHPSTFETTAMESDLKIKVKSDLVSFLRAKQYYHKLGRVWKRSYLLYGPSGTGKSSFVAAVANFLSYDVYDIDLTKVSDDSDLKFLLLQTTAKSVVVIEDLDRYLSEKSTAVSSSGILNFMDGILSSSCGEERVMVYTMNGKDHVDPAILRPGRIDVHIHFPLCDFTAFKTLANSYLGLKDHKLFPQVEEIFQNGSSLSPAEIGELMIANRGSPSRALKSVINALQTEGDGKGGRCGRKAVEESGEPSGVFCTEGANAVKEFKKLYGLFRVKSNRKSPSFNLDNDLKSGL >KJB21933 pep chromosome:Graimondii2_0_v6:4:1714526:1722757:-1 gene:B456_004G022700 transcript:KJB21933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFEPYRAIGYITSGVPFSVQRLGTETFVTVTVGKAFQIYNCSNLKLMLIGPQLPKKIRALASYRDFTFAAYGTDIAVFKRAHQVANWSGHSAKVNFLLLFGDHILSVDADGNVFIWSFKGIEDNPAPIEHIKLDANFTPTCIMHPDTYLNKVLIGSQEGSLQLWNISAKKKLYEFKGWNSSICSCVSSPALDVTAVGCSDGTIHVHNIRYDKEVVTFKHSARGTVTALSFSTDGQPLLASGGSSGVISIWNLEKKRLQSVIREAHESSIISLHFFANEPVLMSSSADNSIKMWIFDTSDGDPRLLRFRSGHSAPPLCIRFYANGRHILSAGQDRAFRLFSVIQDQQSRELSQGHISKRAKKLRMKEEELKLKPVIAFDCAEIRERDWCNVVTCHMDTEQAYVWRLQNFVIGEHILRPCPENPTPVKACAISACGNFAVLGTAGGWIERFNLQSGISRGSYVDTPKGSAHDGEVVGVACDSTNTLMISAGYHGDIKVWDFKGCYVKSSWEVGCTVVKIVYNRLNGLLATVTDDLVIRLYDVVALRMVRKFEGHTDRITDLCFSEDGKWLLSSSMDGSLRIWDVVLARQIDAIHVDVSITALSLSPNMDVLATTHVDQNGVYLWVNRSIFSGASNVDSFASGKEVVNVRLPSISSMNGSQTEDSDEPVTDNSVSKDASVSPTFIKQIPELVTLSLLPKSQWQGLINLDIIKVRNKPIEPPKKPEKAPFFLPSVPSLSGEILFTPSEANGDVKGNGVEMNDRKLDMAPSTFLQLLQSSTEIKNFSAFTDYIKGLSPSALDMELRMLQIIDDENLEDLDGKPEMISIELLLDYFVCEISYKNNFEFIQAVIRLFLKIHGETIRRHPKLQGKAKKLLEIQSDVWQKIDTMFQRTRCMVTFLSNSQF >KJB21934 pep chromosome:Graimondii2_0_v6:4:1714682:1722632:-1 gene:B456_004G022700 transcript:KJB21934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFEPYRAIGYITSGVPFSVQRLGTETFVTVTVGKAFQIYNCSNLKLMLIGPQLPKKIRALASYRDFTFAAYGTDIAVFKRAHQVANWSGHSAKVNFLLLFGDHILSVDADGNVFIWSFKGIEDNPAPIEHIKLDANFTPTCIMHPDTYLNKVLIGSQEGSLQLWNISAKKKLYEFKGWNSSICSCVSSPALDVTAVGCSDGTIHVHNIRYDKEVVTFKHSARGTVTALSFSTDGQPLLASGGSSGVISIWNLEKKRLQSVIREAHESSIISLHFFANEPVLMSSSADNSIKMWIFDTSDGDPRLLRFRSGHSAPPLCIRFYANGRHILSAGQDRAFRLFSVIQDQQSRELSQGHISKRAKKLRMKEEELKLKPVIAFDCAEIRERDWCNVVTCHMDTEQAYVWRLQNFVIGEHILRPCPENPTPVKACAISACGNFAVLGTAGGWIERFNLQSGISRGSYVDTPKGSAHDGEVVGVACDSTNTLMISAGYHGDIKVWDFKGCYVKSSWEVGCTVVKIVYNRLNGLLATVTDDLVIRLYDVVALRMVRKFEGHTDRITDLCFSEDGKWLLSSSMDGSLRIWDVVLARQIDAIHVDVSITALSLSPNMDVLATTHVDQNGVYLWVNRSIFSGASNVDSFASGKEVVNVRLPSISSMNGSQTEDSDEPVTDNSVSKDASVSPTFIKQIPELVTLSLLPKSQWQGLINLDIIKVRNKPIEPPKKPEKAPFFLPSVPSLSGEILFTPSEANGDVKGNGVEMNDRKLDMAPSTFLQLLQSSTEIKNSFTDYIKGLSPSALDMELRMLQIIDDENLEDLDGKPEMISIELLLDYFVCEISYKNNFEFIQAVIRLFLKIHGETIRRHPKLQGKAKKLLEIQSDVWQKIDTMFQRTRCMVTFLSNSQF >KJB22402 pep chromosome:Graimondii2_0_v6:4:4049280:4051607:-1 gene:B456_004G045900 transcript:KJB22402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMAANALLSLSICFVSFTFLSIQTVVSSSTDSFVFGGCSQQKYTPNSPFESNLNSLFTSLVNSATYSTYNTYTIIGSSPQDVVYGLYQCRGDLSMPDCATCVARAITKAGSYCPSACGGAVQLQGCFVKYDNATFLGVQDKNVVFKKCGPSVEYDKESEIVGRRDAVMNGLMNGGGSFRVGGSGDVQGLAQCVGDLNADDCRDCLSEAIGRLKTECRAAAYGDLYLGKCYARFSTFGAWDNLAKRHNSPFSLVFWLLMPLLSSSFFLLS >KJB26216 pep chromosome:Graimondii2_0_v6:4:56719432:56720114:1 gene:B456_004G230800 transcript:KJB26216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATRFYIIFIYLLLMFSSYSDVAMAGRPIPSSVPSTMMPTTVDYVKTNPQVLNHNKHQVFSKKEIFKGCMPKGSTHSSAPSRYVNYQTLGSSCATNKPHSKRP >KJB27321 pep chromosome:Graimondii2_0_v6:4:61976594:61979547:-1 gene:B456_004G2909002 transcript:KJB27321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVKDLLRKYPQWSHDCIAVVGNISSKNVQEPKAKAALIWMLGEYSQDMQDAPYVLESLVENWDEEHSAEVRLHLLTAVMKCFFKRPPETQSALGAALAAGIADFHQDVHDRALFYYRILRYNVSVAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVLYQKPSYMFTDKEHRGPLEFADELGNLSIGEAANNVVPTQMVEENDKDLLLTTSEKEETKGSRNNGSAYSVPYDGSSTSVFASQTQIESAVSNPTLAGHSPQASFAIDDLLGLGIPAAPAVLSPPQLKLNTKAALDPSTFQQKWRQLPVALSQEISVSPQGVAALTAPQALLRHMQSHSIHCIASGGQSPNFKFFFFAQKFEESSNYLVECVINTSSAKAQVKIKADDQNTSQDFSTLFESALSKFGTS >KJB27322 pep chromosome:Graimondii2_0_v6:4:61976325:61979547:-1 gene:B456_004G2909002 transcript:KJB27322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVKDLLRKYPQWSHDCIAVVGNISSKNVQEPKAKAALIWMLGEYSQDMQDAPYVLESLVENWDEEHSAEVRLHLLTAVMKCFFKRPPETQSALGAALAAGIADFHQDVHDRALFYYRILRYNVSVAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVLYQKPSYMFTDKEHRGPLEFADELGNLSIGEAANNVVPTQMVEENDKDLLLTTSEKEETKGSRNNGSAYSVPYDGSSTSVFASQTQIESAVSNPTLAGHSPQASFAIDDLLGLGIPAAPAVLSPPQLKLNTKAALDPSTFQQKWRQLPVALSQEISVSPQGVAALTAPQALLRHMQSHSIHCIASGGQSPNFKFFFFAQKFEESSNYLVECVINTSSAKAQVKIKADDQNTSQDFSTLFESALSKFGTS >KJB22570 pep chromosome:Graimondii2_0_v6:4:5219370:5220113:-1 gene:B456_004G054700 transcript:KJB22570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKKIKLAYITDDSTRKATYKKRTKGLMKKLSELSSLCGIDACSIMFSPYESQPKVWPSPMGVQQVLSKLETIPEMEKNKNMLNQESFLSQKTTKAAEQLKKHCKENWEKEMTHVMFNTICGKGVIHGLNFEALSEINLLLDKKMSDIDKRIDALAKTPLNPQGVSSSSSSSLVALPPMKMVMPEAMPMTGTEDIVQADVNEMDPMQREQWIMELMSNNNNNPQTHVGDNGMMFQFGDNINPNNGL >KJB21657 pep chromosome:Graimondii2_0_v6:4:421850:426527:-1 gene:B456_004G007100 transcript:KJB21657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSEGSNKSLMDKETCCNNNNGVTIRTAQNMSSSFMRKKSDPILVSTIRFRILRELLANLQEVILGTKLAVLFPAIPLAIAADFYKFGRPWVFALSLLGLTPLAERVSFLTEQIAYFTGPTVGGLLNATCGNATELIIALFALYRNKIHVLKYSLMGSILSNLLLVLGTSLLCGGLANLKREQRYDRKQADVNSGLLLLGLLCHMLPLMFKYAVKPETGVSIAEYTLELSRASSVVMLVAYIGYIVFQLKTHRQIFDSQEEVEDEDEEKAVIGFWSGFSWLVGMTLIIALLSEYVVGTIEAASETWGISISFISIILIPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQISMFAVPLCVVVGWIMRIKMDLDFNLLETGCLALTILVVAFTLQDGTSHYMKGVVLCLCYAAISACFFVHKIPAPLDQTNVQSGLNPSLSA >KJB25795 pep chromosome:Graimondii2_0_v6:4:54231908:54232273:-1 gene:B456_004G209900 transcript:KJB25795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQAGTYSGILSGGISGKTGPHLLPLARIKKIMKKSGEDVKMISGESPIVFSKACELFIKELTQRSWMVTMQGKRRTLNKEDVASAVMATDLFDFLVNLVSESGNSGEAPPPLELDTFTSS >KJB23440 pep chromosome:Graimondii2_0_v6:4:16149323:16162268:1 gene:B456_004G097900 transcript:KJB23440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP214 [Source:Projected from Arabidopsis thaliana (AT1G55540) UniProtKB/Swiss-Prot;Acc:F4I1T7] MGSRLEICEENEGEHVETQDFFFEKIGEPVPVKSQPDSQFDLQSPPSQPLVLSQRFQLLFLAHSSGGFLVARTKDVIDLAKDIREKASSSSIEDLSLVEVPIGKVHILALSTIDDTILAVSVAADIHFFSVSSLLNKDIKPCFSTSLPQSSFVKDIRWRKKKDNSFLVLSDDRKLYHGTLAHPLKHVMDNVDAVEWSAKGAFVAVARDNSLSILSSKFNEKLCVALSFKSWVGDSNDDCTVKVDTIRWIRPDCIILGCFQFTRDAKEENYLVQVVKSKSGKITDATSDLVVLSFSDLFAGLIDDIVPFGTGPYLFLSYLEQCKLAIAANRKNTDQHIVLLSWSLGETGEASVVDIERDNWLPRIELQDNDDDNLIMGLCIDNVSVFGSVKVQLGVEEVRELSPYCVLICLTLEGKLIMFQVASARENDVPPDVSALSAKEEDTPVVVSAEIDPPELTYGQGEQKSELIALSLPLMDKGKTELLTNGSSDVNLSQMNVNSVTHATDNLFHNDDIKKAVSLKNSQSSEAVGQQKPPTSMLYQEAGTQQKLFFGGQGTNSGQSFLRTSQLEGSGNKVRDGSQTEAQKIPGFGSVTPVAKVSNDSLLQPNHESIPKMFELVKEPVGEAGSIGLQSTSVKLWPNPSSQPFSSGKFMVSKESDARASFSSPSNFQYASSQSTRGATSIPGGNVEKPSHLKDTTGISVSVNKISGTPVDSGAQKFSIGGGNIESVPLIRGSQSSSLLNFAMEKSFNQKLHPPKDEYKSATQSRMLKSEPQLLKQFGNIKEMAKELDTLLESIEEAGGFRDVCTVFQRSSVEELEQGIAVLSKKCRSWKNVMDEQLGKIQQHLDKTVQVLARKIYIEGIIKQASDSQYWDLWNCQKLSSELELKQRHILKLNQDLTNQLIELERHFNTSELHKFGDDDGVRDGRRTLQSRFGPSRRVLSLHSLHSTMSSQLAAAEQLSECLSEQMAMLSVESPVKKQNVKEELFQMIGIAHDASFTSPHATKPSNKSKKLVLSSGSPASRNQSRRNQSSALKSSDPEYSRRRRESLDQSWASFEPPKTTVKRMLLQESANVKRSLLTDKQNFSPYASEELTSLFSKELKVTSTMLHQSGNEVKTKIQDALPRQESELTVFRWANNSSLLPPNYAGWKSSTVQTSNFNALSSASGSQPMLVQNSSGETHSIPVAKLNSGASQVERSNISSFNENEIQSTLQFRPNLHQESSISQVASLPKKSTDTLNSDGKGTVLANSALGDVKHVSSTTKSTLFGSNSNNSQFIPPAAVSASPAPSAKVSQFNVVTSKIQPSEKVSQSFAFSKPVADLSSSVFSSSTPSLSSPFSTMTASSLTSVNVSSTETSPTSAPKFSFSTSFSAVPTSPATQSSEPSSVVAVDANRKASSSSPSLVPFSAFVSSSDSLSFQPLEMPIPLPADLSPVSSSEILKVEAQPHKEIHDLKKDGDPIIRAPPLQHELPATELSLKPKFAVSSPKTSETPTGVLSGSQTRIIDLASPATNLASNPQPLQPSTGDSLSAPSSISINTANGKSGSLDLTQEDEMEEEAPETNQMTEHGLGSLSSFGIGSNPNPTATKPNPFGAPFGVVATSAATSSFTSTVNPGELFRPASFSFQSPQPSQSAQPASFGTFSGGFASGAPGQAPAQSAFGQPAQLGAGQQALGSVLGAFGQSRQLGTALPGSGFASGSGFGGGFASPQSAAGFSNAATGGGFAGLGSGGGGFSGVASGGGFGGLPLGGGGFGSLASGGLTGSASGGGGFAAPASGGGFAGAAGSGIGGFGAFGSQQRNAGFSAFGGGGGQTGKPPELFTQMRK >KJB23439 pep chromosome:Graimondii2_0_v6:4:16149314:16162268:1 gene:B456_004G097900 transcript:KJB23439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP214 [Source:Projected from Arabidopsis thaliana (AT1G55540) UniProtKB/Swiss-Prot;Acc:F4I1T7] MGSRLEICEENEGEHVETQDFFFEKIGEPVPVKSQPDSQFDLQSPPSQPLVLSQRFQLLFLAHSSGGFLVARTKDVIDLAKDIREKASSSSIEDLSLVEVPIGKVHILALSTIDDTILAVSVAADIHFFSVSSLLNKDIKPCFSTSLPQSSFVKDIRWRKKKDNSFLVLSDDRKLYHGTLAHPLKHVMDNVDAVEWSAKGAFVAVARDNSLSILSSKFNEKLCVALSFKSWVGDSNDDCTVKVDTIRWIRPDCIILGCFQFTRDAKEENYLVQVVKSKSGKITDATSDLVVLSFSDLFAGLIDDIVPFGTGPYLFLSYLEQCKLAIAANRKNTDQHIVLLSWSLGETGEASVVDIERDNWLPRIELQDNDDDNLIMGLCIDNVSVFGSVKVQLGVEEVRELSPYCVLICLTLEGKLIMFQVASARENDVPPDVSALSAKEEDTPVVVSAEIDPPELTYGQGEQKSELIALSLPLMDKGKTELLTNGSSDVNLSQMNVNSVTHATDNLFHNDDIKKAVSLKNSQSSEAVGQQKPPTSMLYQEAGTQQKLFFGGQGTNSGQSFLRTSQLEGSGNKVRDGSQTEAQKIPGFGSVTPVAKVSNDSLLQPNHESIPKMFELVKEPVGEAGSIGLQSTSVKLWPNPSSQPFSSGKFMVSKESDARASFSSPSNFQYASSQSTRGATSIPGGNVEKPSHLKDTTGISVSVNKISGTPVDSGAQKFSIGGGNIESVPLIRGSQSSSLLNFAMEKSFNQKLHPPKDEYKSATQSRMLKSEPQLLKQFGNIKEMAKELDTLLESIEEAGGFRDVCTVFQRSSVEELEQGIAVLSKKCRSWKNVMDEQLGKIQQHLDKTVQVLARKIYIEGIIKQASDSQYWDLWNCQKLSSELELKQRHILKLNQDLTNQLIELERHFNTSELHKFGDDDGVRDGRRTLQSRFGPSRRVLSLHSLHSTMSSQLAAAEQLSECLSEQMAMLSVESPVKKQNVKEELFQMIGIAHDASFTSPHATKPSNKSKKLVLSSGSPASRNQSRRNQSSALKSSDPEYSRRRRESLDQSWASFEPPKTTVKRMLLQESANVKRSLLTDKQNFSPYASEELTSLFSKELKVTSTMLHQSGNEVKTKIQDALPRQESELTVFRWANNSSLLPPNYAGWKSSTVQTSNFNALSSASGSQPMLVQNSSGETHSIPVAKLNSGASQVERSNISSFNENEIQSTLQFRPNLHQESSISQVASLPKKSTDTLNSDGKGTVLANSALGDVKHVSSTTKSTLFGSNSNNSQFIPPAAVSASPAPSAKVSQFNVVTSKIQPSEKPLEMPIPLPADLSPVSSSEILKVEAQPHKEIHDLKKDGDPIIRAPPLQHELPATELSLKPKFAVSSPKTSETPTGVLSGSQTRIIDLASPATNLASNPQPLQPSTGDSLSAPSSISINTANGKSGSLDLTQEDEMEEEAPETNQMTEHGLGSLSSFGIGSNPNPTATKPNPFGAPFGVVATSAATSSFTSTVNPGELFRPASFSFQSPQPSQSAQPASFGTFSGGFASGAPGQAPAQSAFGQPAQLGAGQQALGSVLGAFGQSRQLGTALPGSGFASGSGFGGGFASPQSAAGFSNAATGGGFAGLGSGGGGFSGVASGGGFGGLPLGGGGFGSLASGGLTGSASGGGGFAAPASGGGFAGAAGSGIGGFGAFGSQQRNAGFSAFGGGGGQTGKPPELFTQMRK >KJB23441 pep chromosome:Graimondii2_0_v6:4:16149342:16162268:1 gene:B456_004G097900 transcript:KJB23441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP214 [Source:Projected from Arabidopsis thaliana (AT1G55540) UniProtKB/Swiss-Prot;Acc:F4I1T7] MDNVDAVEWSAKGAFVAVARDNSLSILSSKFNEKLCVALSFKSWVGDSNDDCTVKVDTIRWIRPDCIILGCFQFTRDAKEENYLVQVVKSKSGKITDATSDLVVLSFSDLFAGLIDDIVPFGTGPYLFLSYLEQCKLAIAANRKNTDQHIVLLSWSLGETGEASVVDIERDNWLPRIELQDNDDDNLIMGLCIDNVSVFGSVKVQLGVEEVRELSPYCVLICLTLEGKLIMFQVASARENDVPPDVSALSAKEEDTPVVVSAEIDPPELTYGQGEQKSELIALSLPLMDKGKTELLTNGSSDVNLSQMNVNSVTHATDNLFHNDDIKKAVSLKNSQSSEAVGQQKPPTSMLYQEAGTQQKLFFGGQGTNSGQSFLRTSQLEGSGNKVRDGSQTEAQKIPGFGSVTPVAKVSNDSLLQPNHESIPKMFELVKEPVGEAGSIGLQSTSVKLWPNPSSQPFSSGKFMVSKESDARASFSSPSNFQYASSQSTRGATSIPGGNVEKPSHLKDTTGISVSVNKISGTPVDSGAQKFSIGGGNIESVPLIRGSQSSSLLNFAMEKSFNQKLHPPKDEYKSATQSRMLKSEPQLLKQFGNIKEMAKELDTLLESIEEAGGFRDVCTVFQRSSVEELEQGIAVLSKKCRSWKNVMDEQLGKIQQHLDKTVQVLARKIYIEGIIKQASDSQYWDLWNCQKLSSELELKQRHILKLNQDLTNQLIELERHFNTSELHKFGDDDGVRDGRRTLQSRFGPSRRVLSLHSLHSTMSSQLAAAEQLSECLSEQMAMLSVESPVKKQNVKEELFQMIGIAHDASFTSPHATKPSNKSKKLVLSSGSPASRNQSRRNQSSALKSSDPEYSRRRRESLDQSWASFEPPKTTVKRMLLQESANVKRSLLTDKQNFSPYASEELTSLFSKELKVTSTMLHQSGNEVKTKIQDALPRQESELTVFRWANNSSLLPPNYAGWKSSTVQTSNFNALSSASGSQPMLVQNSSGETHSIPVAKLNSGASQVERSNISSFNENEIQSTLQFRPNLHQESSISQVASLPKKSTDTLNSDGKGTVLANSALGDVKHVSSTTKSTLFGSNSNNSQFIPPAAVSASPAPSAKVSQFNVVTSKIQPSEKVSQSFAFSKPVADLSSSVFSSSTPSLSSPFSTMTASSLTSVNVSSTETSPTSAPKFSFSTSFSAVPTSPATQSSEPSSVVAVDANRKASSSSPSLVPFSAFVSSSDSLSFQPLEMPIPLPADLSPVSSSEILKVEAQPHKEIHDLKKDGDPIIRAPPLQHELPATELSLKPKFAVSSPKTSETPTGVLSGSQTRIIDLASPATNLASNPQPLQPSTGDSLSAPSSISINTANGKSGSLDLTQEDEMEEEAPETNQMTEHGLGSLSSFGIGSNPNPTATKPNPFGAPFGVVATSAATSSFTSTVNPGELFRPASFSFQSPQPSQSAQPASFGTFSGGFASGAPGQAPAQSAFGQPAQLGAGQQALGSVLGAFGQSRQLGTALPGSGFASGSGFGGGFASPQSAAGFSNAATGGGFAGLGSGGGGFSGVASGGGFGGLPLGGGGFGSLASGGLTGSASGGGGFAAPASGGGFAGAAGSGIGGFGAFGSQQRNAGFSAFGGGGGQTGKPPELFTQMRK >KJB25050 pep chromosome:Graimondii2_0_v6:4:47843482:47850230:1 gene:B456_004G175500 transcript:KJB25050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLMKYQWPWLLLILFSFILANRIRAISPDGEALLSFRIAIISSDGVLSQWRPEDPDPCKWKGVNCDSKTKRVTTLSLTNHKLSGPISPDLGKLEHLRLLMLHNNNFYGAIPSELGNCTELQGIYLQGNYLSGLIPSELGNLSSLQNLDISSNSLSGSIPPSIGRLDKLVTFNVSNNFLVGPIPSQGVFSNYTASSFVGNRDLCGKQINVACKDDNGGATPYSESPTSAQVGKRKYSGKLLISASATVGALLLVALMCFWGCFLYKKFGKKESRTLAMDVGGGASIVMFHGDLPYSSKDIIKKLETLTEEDIIGTGGFGTVYKLAMDDGNVFALKRIVKMNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLAGGSLDEALHERSEQLDWEARLNIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDSNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMASGRATEKTDVYSFGVLVLEVISGKRPTDASFIEKGLNIVGWVCFHFVEILSSLLVSPFINEFLIKPLNHVLEKSKAYESQVALTMLCA >KJB25051 pep chromosome:Graimondii2_0_v6:4:47843482:47851662:1 gene:B456_004G175500 transcript:KJB25051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLMKYQWPWLLLILFSFILANRIRAISPDGEALLSFRIAIISSDGVLSQWRPEDPDPCKWKGVNCDSKTKRVTTLSLTNHKLSGPISPDLGKLEHLRLLMLHNNNFYGAIPSELGNCTELQGIYLQGNYLSGLIPSELGNLSSLQNLDISSNSLSGSIPPSIGRLDKLVTFNVSNNFLVGPIPSQGVFSNYTASSFVGNRDLCGKQINVACKDDNGGATPYSESPTSAQVGKRKYSGKLLISASATVGALLLVALMCFWGCFLYKKFGKKESRTLAMDVGGGASIVMFHGDLPYSSKDIIKKLETLTEEDIIGTGGFGTVYKLAMDDGNVFALKRIVKMNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLAGGSLDEALHGEAPFTIFI >KJB25049 pep chromosome:Graimondii2_0_v6:4:47843304:47851662:1 gene:B456_004G175500 transcript:KJB25049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLMKYQWPWLLLILFSFILANRIRAISPDGEALLSFRIAIISSDGVLSQWRPEDPDPCKWKGVNCDSKTKRVTTLSLTNHKLSGPISPDLGKLEHLRLLMLHNNNFYGAIPSELGNCTELQGIYLQGNYLSGLIPSELGNLSSLQNLDISSNSLSGSIPPSIGRLDKLVTFNVSNNFLVGPIPSQGVFSNYTASSFVGNRDLCGKQINVACKDDNGGATPYSESPTSAQVGKRKYSGKLLISASATVGALLLVALMCFWGCFLYKKFGKKESRTLAMDVGGGASIVMFHGDLPYSSKDIIKKLETLTEEDIIGTGGFGTVYKLAMDDGNVFALKRIVKMNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLAGGSLDEALHERSEQLDWEARLNIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDSNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMASGRATEKTDVYSFGVLVLEVISGKRPTDASFIEKGLNIVGWLNFLITENREREILDPNCEGVQAESLDSLLSVAIQCVCSNPEDRPTMHRVVQLVESEVMTPCPSDFYDSNSD >KJB25912 pep chromosome:Graimondii2_0_v6:4:54844457:54846802:1 gene:B456_004G215500 transcript:KJB25912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRITIKTQSLDSLPIYILQPKKQLQKNPNHREKFTKNPKLLTFLITQNTKMGASESVPQKSIHEFTVKDYKNQDVDLSMYRGKALLVVNVASKCGLTDSNYTQLTDLYNKYKDQGLEILAFPCNQFLSQEPGTSQDAQEFACTRYKAEYPIFQKVHVNGPKTEPVYKFLKTNKSGFLGNRIKWNFTKFLVDKDGHVLARYGPSTTPLAIEVLPPSLLSLCICNLRE >KJB25910 pep chromosome:Graimondii2_0_v6:4:54844392:54846972:1 gene:B456_004G215500 transcript:KJB25910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESVPQKSIHEFTVKDYKNQDVDLSMYRGKALLVVNVASKCGLTDSNYTQLTDLYNKYKDQGLEILAFPCNQFLSQEPGTSQDAQEFACTRYKAEYPIFQKVHVNGPKTEPVYKFLKTNKSGFLGNRIKWNFTKFLVDKDGHVLARYGPSTTPLAIEADIKKTLGVDM >KJB25911 pep chromosome:Graimondii2_0_v6:4:54844457:54845522:1 gene:B456_004G215500 transcript:KJB25911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRITIKTQSLDSLPIYILQPKKQLQKNPNHREKFTKNPKLLTFLITQNTKMGASESVPQKSIHEFTVKDYKNQDVDLSMYRGKALLVVNVASKCGLTDSNYTQLTDLYNKYKDQGLEILAFPCNQFLSQEPGTSQDAQEFACTRYKAEYPIFQKVMKICMYVCVSFVLAAYFVLLI >KJB26119 pep chromosome:Graimondii2_0_v6:4:56094405:56096895:1 gene:B456_004G226400 transcript:KJB26119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVAKLTSKGNKHDELDFEFLGNREGKPISLQTNVFAGGVGNREQRVILWFDPSADFHNYRILWNQHQIVFYVDDIPIRVFKNNTNIGVGYPSQAMKIEASLWDGDSWATDGGQTKINWSMAPFKAHFQGFDISGCPKTDSNNNNNNNKDCFLPEHWWNSEKYWTLDSKQKTNFKNVRKKYMNYDYCSDRKRYPVPPPECLVNS >KJB26118 pep chromosome:Graimondii2_0_v6:4:56094379:56096895:1 gene:B456_004G226400 transcript:KJB26118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTNTGKMQYHFSILALLSIFFFFIWVGFADDNTVKFDENYVITWGNDHVLSLNQDTEIQLSMDKSSGAGFGSKLSYGTGFFNMRIKLPDKDSAGVVTAYYLTSKGNKHDELDFEFLGNREGKPISLQTNVFAGGVGNREQRVILWFDPSADFHNYRILWNQHQIVFYVDDIPIRVFKNNTNIGVGYPSQAMKIEASLWDGDSWATDGGQTKINWSMAPFKAHFQGFDISGCPKTDSNNNNNNNKDCFLPEHWWNSEKYWTLDSKQKTNFKNVRKKYMNYDYCSDRKRYPVPPPECLVNS >KJB21606 pep chromosome:Graimondii2_0_v6:4:225037:226950:-1 gene:B456_004G003600 transcript:KJB21606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPATEQPSVPARRKKMTKQLTGKRDDTNLHAAARAGNLAAVSELLKNTGPDELKELLPKQNQSGETALYVAAEYGYVDLVEEMINYYDLTDAAIKARNGFDAFHIAAKQGDLEILKLLLGVHPELAMTVDLSNTTALHTAATQGHIEIVNFLLEAGSGLATIARSNGKTALHSAARNGHLEVVKALLASEPGIAPRTDKKGQTALHMAVKGQNLVVVEELIGVDPSLMINMVDTKGNTALHIASRKGRIEIVKLLLRYKETDMKAVNRSGETAFDTAEKTGQPDIAALLQQHGVQSARLIKPATPNPARELKQTVSDIKHEVHNQLEHTRQTRKHVQGIVKRLHKMHSEGLNNAINSTTVVAVLIATVAFAGIFQVPGQYVDDAKNVPPGLSLGEARIGQNPAFVIFFIFDSISLFISLAVVVVQTSVVVIESKAKKQLMAVINKIMWLACVLVSVAYLALSFVVVGDERWLAIGVTIIGSVIMFATLGTMCYWVIRHRIKASSKRNIRRSSMESQSQSYPLSDTEILNNELNKMYAI >KJB25445 pep chromosome:Graimondii2_0_v6:4:50899265:50901814:1 gene:B456_004G191600 transcript:KJB25445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRPNDPEHSRFASLVLLLVALFSCLLVYAVVSTLLNPTVNPQDSSFESLESVAGIDFGEKKGECCRGIENLELWGAAVKWGSDFKFNSSVECCQACKAMCSGNDGPCLCDTWVFCGNKDACGSRFGECWLKKQKDTLCPDRQEAGQTVSWTSGIVFGKGEGIVEMETEYGTLHIKLFPDCAPYSVTYILELLTLRHCAGCQIYRAESRGQSWDSQGNHIKDASYGPPFALIQGILEAQGTPFKKIPMEVCPTIRRGSVAWIGSGPEFFISLANHEEWKNSYTVFGSVLSEDMEIAEKIAQLPTKSDVWNNINVSVLQRPIPLVMRRMKKSLGDVNTNMKSE >KJB23175 pep chromosome:Graimondii2_0_v6:4:10655617:10660105:-1 gene:B456_004G085400 transcript:KJB23175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEGHGSKAKFPFHSTRGENNDLYTKLWHACAGPSVYVPRSGDKVLYFPQGHMEQVEAYMSEDGTMEMPIYNLPWKILCRVLHVELKVEPDTDEIFAEIILLPEAEQDEQRMEHRYYRASPRENYSRYFSKKLTPSDIKTHGGFSIPKRHANDGCLPLLDMSQEIPQQELLATDLHGHPWYFRHVFRGYPKRNLLTTGWSTFVTSKKLAAGDSFIFLRGENGEFGVGVRRSMTKLLNSPSPSIISAHSVRHGILASAFHAFATRSIFNVYYRPWARSSQFITPLDQYIKAVQFDYCFGTRCRMRVEGGESGEQRSLGTIIGTEDLDPIRWQNSKWRCVKVKWDPAASSVLLPERVCPWSIDLTEFTKKKKASTLHHQKRARPNNASSPEFSNLLMDGMLHGTAKNQSQSSSGVLQGQEDSDTCVNQSSVLQQSLPHLLPQDPGCASMQQQMHKQLEIQIPTCDPFYQCSSNTAHFSGRKVPGLCNGLSTISSNRVHDDARATKNGTSLSRPNGSHRCMVFGVNLFNGSPELPSPQVLTSSEVQCSTPLTSQSSVSIASKGISSKQCNNCCSVGDRTCTKVLKYGTNLGRSVDLYRFNGYKGLILELDHMFDFNGKLIDGSSGWHITYTNEDGDMMLIGDPYPWQKFQHEVRRMVIRPKEEINRLNPSSPSSASY >KJB25059 pep chromosome:Graimondii2_0_v6:4:47719188:47721899:-1 gene:B456_004G174800 transcript:KJB25059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPKIGDCEECKKKASKYKCPGCCLRTCSLPCVNAHKQRTGCTGKRNITSFVPLSRFDDNLLLSDYNLLEETKRVAESATRIRSKLFNTTNGGYHPHFKLPHPLRNLRTAAASRRTKLLFLPSGMSKRETNQTRFNHRKKYISWTIEWRFHSTDVVLLDHGIHEDTSLCSLIENHLQPGPWNHPLRKFCEEQLDSLKFFIRKYPKGSKSPFRELDIKTPLRKLLADMVVLEYPVIHVFLPSEHCDFEVIRENHLVTNGQEGKDSSGADNEIPKGVTFKEEEIEDNGSSLEPQVFDLMKHVLSSPMHQIPSQNKSEKAFGGNSVLSLSARAGAGNRVHSSPQAKDSGLFDDMEFDFDQGLIDAYSDLIAEINPDDFLDLEGEFAKQPETEDRTDLSNSRGVFFAEELEEGEILD >KJB27213 pep chromosome:Graimondii2_0_v6:4:61603052:61607249:-1 gene:B456_004G285000 transcript:KJB27213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRFIPLFFILFSTLCFSQSDEEALIKLKKSFIGGNLDNWVLGSGACEKKWVGVMCSGDNVTGLHLADMQLTGEVDIQALLQIRGLKAISLINNSFTGDIPDLHKLTNLRAIYLSKNKFSGSIPDNYFDKMGLLKKVWLDDNQFSGRIPDSLCQLPNLMELHLELNQFSGQIPPLIYPAMVRDLNLSKNNLEGEIPESYMQFNATSFEGNPGLCGSILGKECENGDNKSGQKQESASSPSEGIDRSGSGSNSKVLAISIGSVVAVVFLVIIVVLARKRRREDEFSILSKEPFKEEVLPVKVPEAESTTRRKPSESSRRSRSSKKGSSHGGSSGVTDLVMVNEEKGEFGMQDLMKAAAEVLGNGGLGSAYKAVMSNGLAVVVKRMKEMNRLGKEAFDVEMRRLGKLRQPNILTPLAYHFRREEKLIVSEYMPKGSLLYVLHGDRGIIHANLNWPTRLKIIKGIAQGLDFIHTEFATYEVPHGNLKSSNILLTENYDPLLSDFAFQPMANPNLINQGLFAYRSPEYVRSQQISAKSDIYCLGIVILEIITGKFPAQYLNNAKGGIDIVQWVQTSIADNQVEDLIDPEISHGSNSIDQMVKLLRIGAGCTESNPDKRLGMKEVIDKINEVNV >KJB22159 pep chromosome:Graimondii2_0_v6:4:2648039:2651076:1 gene:B456_004G032400 transcript:KJB22159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHFTQLDDGASADVKPASNFGAAVATVLLALLLQIFWRCCRSICHNHVKKYKFSSSSAAANMDPSIIAAVEKILKYTFKDKRLLKEALTHSSCREDMSYERLEFIGDAALGLAVATYFFCWEPRLNPDQLTLFRIKSVSNERLALVAARHELDRFVRSKDTAPLNRNVREYVKAVKQGDDHKNLTVRSPDILAAIVEALAGAVYLDLNFDLTKLWTIFKDVLMIDEITVPNDFESSEINGAQTELYGLCGKRNWGKPVYSLVKADRCQYEMKYVYSVEVETDAGVCRHKGDEKSTPKDARNSAAYLLLRSLQ >KJB26463 pep chromosome:Graimondii2_0_v6:4:58050908:58052387:-1 gene:B456_004G243000 transcript:KJB26463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTAKHGIVFVLFLLLFLSPPPSTAQPTDPNNDRYPYARFTPSMAIIVVVLISALFFMGFFSIYLRNCSEANANGSVVRPFNGETGRSRRGMRGLEPSVIETFPTMVYSEVKVHKIGKGALECAVCLNEFEDEETLRLIPKCDHVFHPDCIDAWLASHTTCPVCRANLAPQPGDPLSQPAELDNTTTELDLEAQNHDDDSELEEERRINPNNINSDAEAQVAPEVEVIDLNRTLNRNRTRGSISSRTRKFFFLRSHTTGHSLVQPGENTDRFTLRLPVDVRKQLINRKLNRATSLVLPRERSSRRGYRTGEDGGGSSRGKLFRSLDKSDGGVKSDRWVFSMTPPFFSRVSSTKSPKVTANNGEDTSSSPVEPAGDSSRPPV >KJB23899 pep chromosome:Graimondii2_0_v6:4:29622525:29626050:-1 gene:B456_004G120600 transcript:KJB23899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFSYTRNLLKPRSSSPFPFTSIMHMSQSTSIPKKQDRVRDHGFDNYMEAEKKTRKVLKFQSLILSQPSQTLPISRFDSLARRLGLGFKENEAAAYLLKFPHVFEIYEHPVLRILFCRLTQKALLQIEQEKQALHAQLPDAVTRLRKLVMMSNTGRLRLEHVRIARKEFGLPDDFEYSVILKYPEFFRLFDARDTRNKYIEIVERDPELAICAIEKVREREYREKGLDAEDVRFSFLVNFPPGFKIGKYYRIAVWKWQRVPYWSPYENVSGYDLRSLEALKRMEKRAVATIHELLSLTVEKKISLERIAHFRMAMNLPKKLKDFLLQHQGIFYVSTRGNYGKLHTVFLREAYRKGELIEPNDLYLARRKLGELVLLSSRKAKVDKELVSYRRDTEVDDIVCVRPDYMENDVKDFGVEYEIEHDGRREGCSLDSDFVSDDIDETEDLDERMDEKEDTV >KJB23898 pep chromosome:Graimondii2_0_v6:4:29621743:29626050:-1 gene:B456_004G120600 transcript:KJB23898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFSYTRNLLKPRSSSPFPFTSIMHMSQSTSIPKKQDRVRDHGFDNYMEAEKKTRKVLKFQSLILSQPSQTLPISRFDSLARRLGLGFKENEAAAYLLKFPHVFEIYEHPVLRILFCRLTQKALLQIEQEKQALHAQLPDAVTRLRKLVMMSNTGRLRLEHVRIARKEFGLPDDFEYSVILKYPEFFRLFDARDTRNKYIEIVERDPELAICAIEKVREREYREKGLDAEDVRFSFLVNFPPGFKIGKYYRIAVWKWQRVPYWSPYENVSGYDLRSLEALKRMEKRAVATIHELLSLTVEKKISLERIAHFRMAMNLPKKLKDFLLQHQGIFYVSTRGNYGKLHTVFLREAYRKGELIEPNDLYLARRKLGELVLLSSRKAKVDKELVSYRRDTEVDDIVCVRPDYMENDVKDFGVEYEIEHDGRREGCSLDSDFVSDDIDETEDLDERMDEKEDTV >KJB22094 pep chromosome:Graimondii2_0_v6:4:2297217:2299618:-1 gene:B456_004G029100 transcript:KJB22094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRLFSCLGKVSSSSRVQGKNNGTVVDSSAATCPDGPVLVELFSSQGCATSSEAELLLSRLVRGDYQLDAPVLVLSYHVDNMGGKDPYASSKWTVRQKAYVQALKIDDMFIPRVVVQGRAHCPANEEDALLSTIASAPRFPAPKFKANFQRPTSETLEVTLTGVLRYKVDNNVDNVMVALYESGLVNECLNGENKGKTLYNDFVVRKLEKLHTMEDISAMKTLSVTVRFTLWDGFNSNKCSIVVFVQNISQQIFGSQNFQLPDDL >KJB27408 pep chromosome:Graimondii2_0_v6:4:15550160:15552355:-1 gene:B456_004G096800 transcript:KJB27408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C4 [Source:Projected from Arabidopsis thaliana (AT5G20500) UniProtKB/Swiss-Prot;Acc:Q8LFQ6] MAVKFLIASILVIAASLCWVSSADSSEAAFVKKTISVHKIVIFSKSYCPYCRKAKSVFKELKEVPFVVELDERDDGWNIQDALSEIVGRRTVPQVFINGKHIGGSDDTVEAYQSGKLAKLLGIEVENKDDL >KJB27407 pep chromosome:Graimondii2_0_v6:4:15550292:15552355:-1 gene:B456_004G096800 transcript:KJB27407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C4 [Source:Projected from Arabidopsis thaliana (AT5G20500) UniProtKB/Swiss-Prot;Acc:Q8LFQ6] MAVKFLIASILVIAASLCWVSSADSSEAAFVKKTISVHKIVIFSKSYCPYCRKAKSVFKELKEVPFVVELDERDDGWNIQDALSEIVGRRTVPQVFINGKHIGGSDDTVEAYQSGKLAKLLGIEVENKDDL >KJB22708 pep chromosome:Graimondii2_0_v6:4:6082365:6083916:1 gene:B456_004G061600 transcript:KJB22708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAVGAGPSSSNMDDKAGSAEKTTTNHRPESDDDNEEENRNLHLGPQYTLKEQLEKDKDDESLRKWKEQLLGGVDINNIGETLEPEVKFLSVAIVSPDREDIVLSIPADGKPEGLWFTLKEASHYRLKFSFQVSNNIVSGLRYTNTVWKTGVKVDSTKDMIGTFSPQLEPYTHEMPEETAPSGFLARGSYTAKSKFLDDDNKCYLEINYTFDIRKDWAATE >KJB22030 pep chromosome:Graimondii2_0_v6:4:4451073:4453818:1 gene:B456_004G048900 transcript:KJB22030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATMSDSSNFVSQVEEEVIEKRNEKPEWVEAFLQRTFFDGCTLHPIRRNEKNRYCINCDSSACQYCLSSTTHRHHKILKIYRHVYKDVVSLVAMEKYIDCADIQPYKCNKRLVIALNPLPHCGPILNTETSCDICKRRLAEPDLYRYCSISCKVIAFERKSSDLAPPFLSIMSPKKALKVEQLKHKRKGKPQRAPFF >KJB23415 pep chromosome:Graimondii2_0_v6:4:15988602:15993086:1 gene:B456_004G097400 transcript:KJB23415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEQVGLYTLDEALESLGFGKFQAFVLAYAGLGWFAEAMEIMILSFIGQAVKSEWGLSSSQESLLSTIVFAGMVIGAYGWGTISDTYGRRTGFLTISIVTSGAGFLSTFSPNYLSLVILRGFVGFGLGGTSVFLSWFLEFVPASSRGMWMIVFSTFWTFGSIFEATLVWIVMAKLNWRWVLALSSVPSFVLLFLYGVAPESPRYLCMKGRTGDALRILEKIASVNRTKVPAGVLISSRSTDKAEESTPSEDRIPLLPSLSKSSMQSKLGLSSFFMLFSSKLIKTTALLWVLLFGDSFSYYGIILLTSKLSSGESGCFPTFQSSGYLLGDSLYVNAFITSIAELPGLFLSALLVDRVGRKQTMSIMFGLAFIFLLPLLTRQSAVLTTCLLFGARMNAMATFTVASIYAPELYPTSVRTTGAGVASAVGRIGGMVCPLVSVALVNACHQTEAIAIYLAVIVVSLVCIQLFPYDTKGRELSDTAS >KJB26224 pep chromosome:Graimondii2_0_v6:4:56835430:56838102:-1 gene:B456_004G231300 transcript:KJB26224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFCQNLCLLSLFVLFSVFSAKPISHWKNQSPSSSQAKLRAEKLIRGLNLFPKDAINAGDNAPAVETSKIVEKQFRFPVLGEPGPSIQEFGHHAGYYKLPHAVSARMFYLFFESRNSKKDPVVIWLTGGPGCSSELALFYENGPFHLTKNLSLVWNDYGWDKASNILFVDQPTGTGFSYTSDENDLRHNETGVSNDLYDFLQEFFKQHPEYVENDFYITGESYAGHYIPAFAVRVHQGNKAKEGIHVNLKGFAIGNGLTDPEIQYQAYPDYALNTSIITQSDYVDIKKLVPSCVQAIKRCSKGGDACVDSFDTCNTLFDQILSIAGNINYYDIRKECEGELCYDFSAVETFLNQKTVRDALGVGNIDFVSCSTEVYDAMITDWMRNLEVGIPALLEDGIKVLIYAGEYDLICNWLGNSNWVHAMKWSGQKEFGAAPTVSFMVDGVEAGQLKSHGPLAFLKVHNAGHMVPMDQPKASLQMLQNWMQGKLASSKTAERLAPQ >KJB26223 pep chromosome:Graimondii2_0_v6:4:56834234:56838511:-1 gene:B456_004G231300 transcript:KJB26223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFCQNLCLLSLFVLFSVFSAKPISHWKNQSPSSSQAKLRAEKLIRGLNLFPKDAINAGDNAPAVETSKIVEKQFRFPVLGEPGPSIQEFGHHAGYYKLPHAVSARMFYLFFESRNSKKDPVVIWLTGGPGCSSELALFYENGPFHLTKNLSLVWNDYGWDKASNILFVDQPTGTGFSYTSDENDLRHNETGVSNDLYDFLQEFFKQHPEYVENDFYITGESYAGHYIPAFAVRVHQGNKAKEGIHVNLKGFAIGNGLTDPEIQYQAYPDYALNTSIITQSDYVDIKKLVPSCVQAIKRCGSKGGDACVDSFDTCNTLFDQILSIAGNINYYDIRKECEGELCYDFSAVETFLNQKTVRDALGVGNIDFVSCSTEVYDAMITDWMRNLEVGIPALLEDGIKVLIYAGEYDLICNWLGNSNWVHAMKWSGQKEFGAAPTVSFMVDGVEAGQLKSHGPLAFLKVHNAGHMVPMDQPKASLQMLQNWMQGKLASSKTAERLAPQ >KJB21731 pep chromosome:Graimondii2_0_v6:4:763797:764860:1 gene:B456_004G0108002 transcript:KJB21731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKNGETAINMPETKASVKGTAPLLNSSKAVSTVEHPNRGVKKGFAIGDFVLRLCALGAALGATVAMGNADQVLPFFTQFLQFEAQYDDFDTFRFFVMAMGMVSGYLFISLPFSIIGIIRPFATKPRLFL >KJB23499 pep chromosome:Graimondii2_0_v6:4:18699909:18700145:-1 gene:B456_004G101700 transcript:KJB23499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKADYDYDYLFKVVLIGDSGVEKTNLFSRFTKNEFSLESTSTIGVEFATSSIRVDDKVIKAQIWDTTGQERFLFF >KJB24947 pep chromosome:Graimondii2_0_v6:4:46807821:46808170:-1 gene:B456_004G169500 transcript:KJB24947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPGLLDLENHFTFYGAYHSNPINILIHTLFVWPIFNHALVLNYGYAFSIFLCLFYVVLDKKDGSLAALLCLACWVGASFIAAKLGYSLAWKVNFCSFVVKYQP >KJB21347 pep chromosome:Graimondii2_0_v6:4:42715508:42716060:1 gene:B456_004G150600 transcript:KJB21347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVLAGLLIVPFLENVNKFQNPFRRSVVTTVFLIGTAVALWLGIGVALPIDKSLTLGLF >KJB26645 pep chromosome:Graimondii2_0_v6:4:59026382:59030429:1 gene:B456_004G252900 transcript:KJB26645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTRFLTILSPSSPLLLHHRHTTSSLLRLTRHRIQLSFLRQHHFPPPRPSLSTTKTKKHSSLFIPRPSPRNFHTQPASSNFASSSVLAPPNHPWPEFSALVNNISAAGYFDAPHTSSELAVDASLFSDELLVVLNACLAFARDKTDLLRLLSRKEIEAVVQNGKPFLFKDGEESARRLILFLNSSESNVADVDKANMVDLMSFLLSYASNVTISLERSSLYNSDLVESSVRHLLGELAKLSDSSRVSNYFEHEQTQLPERNGQMSRSFGPNIEMKRGDWTCPRCNFMNFARNAKCLECEEARPKRQLTGGEWECPQCDFFNYGRNTVCLRCDCKRPGHISLGNTHSKPGLAYNTGTSSNKADLDRRLAANEEKAQRWFSKVSQLDSTADVSSAIADEDFPEIMPLRRGVNRFVVSTRKSPLERRLANAQYRRNMDNDGIPERDDFQTGEVNKTLDTKVSRSLDEVLGRSSTPSGSNDGSVNSRAENGHPRGTKSNYVPFVPLPADMFAKKPENSEIQEKNLNVMSNDHDSVVSNAVGQMDDVSGSEELQKSLQSRQHSETLMNEKESIDKEVEQAEKSDRWFKKVTELHNVTDLANAIPDEDFPEAMPMRKGENKFVVSRKKDRSLTSPTYKRRAATEQAGNTNYVPFVPFPSDYFAKKDKQQGNGTDSSAKAARETPTSATLEKPSEKLSEVSVADTQPAQNWNPKPSGENSSETRRDAAYPAQTSGYSNPNSINPQTSNNDSRKQVTDLTGSPSQQSEYQNVRSTWSGKSLEGSAVKEPDPLDMSEEAKAERWFRRVAQIKDISELSQIPDEDFPSIMPMRKGVNRFVVSKRKTPLERRLTSQQYRKNLPVANSDPCKNGTDSS >KJB22797 pep chromosome:Graimondii2_0_v6:4:6770079:6774848:-1 gene:B456_004G066100 transcript:KJB22797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YHPLRYNNLCTLPRFLHHYQFQNRREMAEDKSKLVNDDRVGAEKGILEVKPSPQKGLKSTLIDWLEQLIVKLMYDSSQPQHYLSGNFGPAPTETPPTHNLPVKGHLPECLNGEFVRVGPNPKFPPVAAYHWFDGDGMIHGLRIKDGKATYVSRFVKTSRLKQEEFFGGSKFMKVGDLKGLFGLLMVNIYKLREKAKVLDLSYGNGTANTNLIYHHGKLLALQEADKPYVLKVLEDGDLQTLGMIDYDKRLAHSFTAHPKVDPVTGEMFTFGYGHEGPPYITYRVISKDGFMHDPVPITISEPIMMHDFAITENYAIFLDLPLYFRPKDMVKDKKLIYSFDASKKARFGVLPRYAKDDLLIRWFELPNCFIFHNANAWEEGDEVVLITCRLENPDLDMVNGEVKEKLENFSNELYEMRFNMKSGLASQKKLSASAVDFPRVNEYYTGRKQRYVYGTILDSIAKVKGIVKFDLHTEPEAGKEKIEVGGNVVGVFDLGPGRFGSEAVFVPREPGTTCEEDDGYLIFFAHDENTGKSAVNVIDAKTMSADPVAVVELPYRVPYGFHAFFVTEEQLKEQAN >KJB22799 pep chromosome:Graimondii2_0_v6:4:6770443:6776275:-1 gene:B456_004G066100 transcript:KJB22799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNTEALSLNESRTQPFIVLNAKTHYVMDVGPWTLRFQNRREMAEDKSKLVNDDRVGAEKGILEVKPSPQKGLKSTLIDWLEQLIVKLMYDSSQPQHYLSGNFGPAPTETPPTHNLPVKGHLPECLNGEFVRVGPNPKFPPVAAYHWFDGDGMIHGLRIKDGKATYVSRFVKTSRLKQEEFFGGSKFMKVGDLKGLFGLLMVNIYKLREKAKVLDLSYGNGTANTNLIYHHGKLLALQEADKPYVLKVLEDGDLQTLGMIDYDKRLAHSFTAHPKVDPVTGEMFTFGYGHEGPPYITYRVISKDGFMHDPVPITISEPIMMHDFAITENYAIFLDLPLYFRPKDMVKDKKLIYSFDASKKARFGVLPRYAKDDLLIRWFELPNCFIFHNANAWEEGDEVVLITCRLENPDLDMVNGEVKEKLENFSNELYEMRFNMKSGLASQKKLSASAVDFPRVNEYYTGRKQRYVYGTILDSIAKVKGIVKFDLHTEPEAGKEKIEVGGNVVGVFDLGPGRFGSEAVFVPREPGTTCEEDDGYLIFFAHDENTGKSAVNVIDAKTMSADPVAVVELPYRVPYGFHAFFVTEEQLKEQAN >KJB22798 pep chromosome:Graimondii2_0_v6:4:6770443:6776275:-1 gene:B456_004G066100 transcript:KJB22798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNTEALSLNESRTQPFIVLNAKTHYVMDVGPWTLRFQNRREMAEDKSKLVNDDRVGAEKGILEVKPSPQKGLKSTLIDWLEQLIVKLMYDSSQPQHYLSGNFGPAPTETPPTHNLPVKGHLPECLNGEFVRVGPNPKFPPVAAYHWFDGDGMIHGLRIKDGKATYVSRFVKTSRLKQEEFFGGSKFMKVGDLKGLFGLLMVNIYKLREKAKVLDLSYGNGTANTNLIYHHGKLLALQEADKPYVLKVLEDGDLQTLGMIDYDKRLAHSFTAHPKVDPVTGEMFTFGYGHEGPPYITYRVISKDGFMHDPVPITISEPIMMHDFAITENYAIFLDLPLYFRPKDMVKDKKLIYSFDASKKARFGVLPRYAKDDLLIRWFELPNCFIFHNANAWEEGDEVVLITCRLENPDLDMVNGEVKEKLENFSNELYEMRFNMKSGLASQKKLSASAVDFPRVNEYYTGRYVYGTILDSIAKVKGIVKFDLHTEPEAGKEKIEVGGNVVGVFDLGPGRFGSEAVFVPREPGTTCEEDDGYLIFFAHDENTGKSAVNVIDAKTMSADPVAVVELPYRVPYGFHAFFVTEEQLKEQAN >KJB24333 pep chromosome:Graimondii2_0_v6:4:39422973:39423700:-1 gene:B456_004G139900 transcript:KJB24333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YGGQTKLVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >KJB22552 pep chromosome:Graimondii2_0_v6:4:5064274:5065624:1 gene:B456_004G053800 transcript:KJB22552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAAFIWQAHPLYPLLLLQNRDEYHNRPMKALAWWDVDGCEILGGRDESTKSPMEFAEQLATDAHQYNGFNLIVADIHSKSKVSPGIHVLSNAKLDSPWHKAQRLGKGFKQMLNRYGKNEVNVKEMVEKLMKDKVKANKSKLPGICALDMEFILSSIFVEMDTPLGLYGTRSTAAMTVGAGGEISFYDEYLEKGVWFERTVNYHCNTLFWPGSKSPKL >KJB22551 pep chromosome:Graimondii2_0_v6:4:5064393:5065624:1 gene:B456_004G053800 transcript:KJB22551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAEQLATDAHQYNGFNLIVADIHSKSKVSPGIHVLSNAKLDSPWHKAQRLGKGFKQMLNRYGKNEVNVKEMVEKLMKDKVKANKSKLPGICALDMEFILSSIFVEMDTPLGLYGTRSTAAMTVGAGGEISFYDEYLEKGVWFERTVNYHCNTLFWPGSKSPKL >KJB27077 pep chromosome:Graimondii2_0_v6:4:60976122:60983957:1 gene:B456_004G276400 transcript:KJB27077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAELHDLSDDADYAASQQEGSASMMRCYSSKLSTSSETEGAEIVYLKDNVAIHPTQYASERISGRLKLIKQGSTLFMTWIPYKRQSTNAKLSEKDRNLYTIRAVPFADVRSILRHTPALGWQYIIVVLSSGLAFPPLYFYNGGVREFLTTIKQHVFLVRSVEDTNVFLLNDFQIPLQRTLSSLELPRAVSIPSMPSTPVLARESTSHENRERPDVGVSDLFRESHSNGFRPLERRKSNQSDDYSHKPSDDAEGVAVQSPVAPDPVEKVPYREHNRDGEAATNVGTFELINYKEFDKLSLVWGKPRQPPLGLEEWVTFLDSEGRVVDSKALRKRIFYGGIEHKLRKEVWAILLGYHTYESTYAERKHQRSIKKIEYETIKSQWQSISPAQAKRFTKFRERKGLIEKDVVRTDKSLSFYDGDDNPNVSLLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESESFWCFVALMECLGPNFNRDQSGLHSQLFALSKLVELLDNPLHNYFKQNDCLNYFFCFRWMLIQFKRELEYEKTMRLWEVLWTHYLSEHIHLYVSVAILKRYRGKIIGDQMDFDTLLRFINELSGRVDLDATLRDAEALCICAGEKGADCIPPGTPPSLPVDNGLLYSQQDEVL >KJB27075 pep chromosome:Graimondii2_0_v6:4:60976122:60983957:1 gene:B456_004G276400 transcript:KJB27075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAELHDLSDDADYAASQQEGSASMMRCYSSKLSTSSETEGAEIVYLKDNVAIHPTQYASERISGRLKLIKQGSTLFMTWIPYKRQSTNAKLSEKDRNLYTIRAVPFADVRSILRHTPALGWQYIIVVLSSGLAFPPLYFYNGGVREFLTTIKQHVFLVRSVEDTNVFLLNDFQIPLQRTLSSLELPRAVSIPSMPSTPVLARESTSHENRERPDVGVSDVSASIPQYNGRRRQKFHDPARDISIQVLEKFSFVTKFARETTSQLFRESHSNGFRPLERRKSNQSDDYSHKPSDDAEGVAVQSPVAPDPVEKVPYREHNRDGEAATNVGTFELINYKEFDKLSLVWGKPRQPPLGLEEWVTFLDSEGRVVDSKALRKRIFYGGIEHKLRKEVWAILLGYHTYESTYAERKHQRSIKKIEYETIKSQWQSISPAQAKRFTKFRERKGLIEKDVVRTDKSLSFYDGDDNPNVSLLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESESFWCFVALMECLGPNFNRDQSGLHSQLFALSKGT >KJB27078 pep chromosome:Graimondii2_0_v6:4:60976122:60983957:1 gene:B456_004G276400 transcript:KJB27078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAELHDLSDDADYAASQQEGSASMMRCYSSKLSTSSETEGAEIVYLKDNVAIHPTQYASERISGRLKLIKQGSTLFMTWIPYKRQSTNAKLSEKDRNLYTIRAVPFADVRSILRHTPALGWQYIIVVLSSGLAFPPLYFYNGGVREFLTTIKQHVFLVRSVEDTNVFLLNDFQIPLQRTLSSLELPRAVSIPSMPSTPVLARESTSHENRERPDVGVSDVSASIPQYNGRRRQKFHDPARDISIQVLEKFSFVTKFARETTSQLFRESHSNGFRPLERRKSNQSDDYSHKPSDDAEGVAVQSPVAPDPVEFDKLSLVWGKPRQPPLGLEEWVTFLDSEGRVVDSKALRKRIFYGGIEHKLRKEVWAILLGYHTYESTYAERKHQRSIKKIEYETIKSQWQSISPAQAKRFTKFRERKGLIEKDVVRTDKSLSFYDGDDNPNVSLLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESESFWCFVALMECLGPNFNRDQSGLHSQLFALSKLVELLDNPLHNYFKQNDCLNYFFCFRWMLIQFKRELEYEKTMRLWEVLWTHYLSEHIHLYVSVAILKRYRGKIIGDQMDFDTLLRFINELSGRVDLDATLRDAEALCICAGEKGADCIPPGTPPSLPVDNGLLYSQQDEVL >KJB27076 pep chromosome:Graimondii2_0_v6:4:60976122:60983414:1 gene:B456_004G276400 transcript:KJB27076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAELHDLSDDADYAASQQEGSASMMRCYSSKLSTSSETEGAEIVYLKDNVAIHPTQYASERISGRLKLIKQGSTLFMTWIPYKRQSTNAKLSEKDRNLYTIRAVPFADVRSILRHTPALGWQYIIVVLSSGLAFPPLYFYNGGVREFLTTIKQHVFLVRSVEDTNVFLLNDFQIPLQRTLSSLELPRAVSIPSMPSTPVLARESTSHENRERPDVGVSDVSASIPQYNGRRRQKFHDPARDISIQVLEKFSFVTKFARETTSQLFRESHSNGFRPLERRKSNQSDDYSHKPSDDAEGVAVQSPVAPDPVEKVPYREHNRDGEAATNVGTFELINYKEFDKLSLVWGKPRQPPLGLEEWVTFLDSEGRVVDSKALRKRIFYGGIEHKLRKEVWAILLGYHTYESTYAERKHQRSIKKIEYETIKSQWQSISPAQAKRFTKFRERKGLIEKDVVRTDKSLSFYDGDDNPNVSLLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESESFWCFVALMECLGPNFNRDQSGLHSQLFALSKLVELLDNPLHNYFKQNDCLNYFFCFRWMLIQFKRSDSIADIHFGYIFLQSYNAHMLRSRVVCCITLTMPSDVKMGSVVYYEMQG >KJB27079 pep chromosome:Graimondii2_0_v6:4:60976122:60983957:1 gene:B456_004G276400 transcript:KJB27079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAELHDLSDDADYAASQQEGSASMMRCYSSKLSTSSETEGAEIVYLKDNVAIHPTQYASERISGRLKLIKQGSTLFMTWIPYKRQSTNAKLSEKDRNLYTIRAVPFADVRSILRHTPALGWQYIIVVLSSGLAFPPLYFYNGGVREFLTTIKQHVFLVRSVEDTNVFLLNDFQIPLQRTLSSLELPRAVSIPSMPSTPVLARESTSHENRERPDVGVSDVSASIPQYNGRRRQKFHDPARDISIQVLEKFSFVTKFARETTSQLFRESHSNGFRPLERRKSNQSDDYSHKPSDDAEGVAVQSPVAPDPVEKVPYREHNRDGEAATNVGTFELINYKEFDKLSLVWGKPRQPPLGLEEWVTFLDSEGRVVDSKALRKRIFYGGIEHKLRKEVWAILLGYHTYESTYAERKHQRSIKKIEYETIKSQWQSISPAQAKRFTKFRERKGLIEKDVVRTDKSLSFYDGDDNPNVSLLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESESFWCFVALMECLGPNFNRDQSGLHSQLFALSKLVELLDNPLHNYFKQNDCLNYFFCFRWMLIQFKRELEYEKTMRLWEVLWTHYLSEHIHLYVSVAILKRYRGKIIGDQMDFDTLLRFINELSGRVDLDATLRDAEALCICAGEKGADCIPPGTPPSLPVDNGLLYSQQDEVL >KJB26912 pep chromosome:Graimondii2_0_v6:4:60120277:60121610:1 gene:B456_004G265600 transcript:KJB26912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNNPISNFQDVPWKQRFYRSMLGYGIHFSRRSDGTINRFIMNLFDLKAQPSKQPIDGVITSDTVVDATRNLYFRLFLPSFEQNTNMPLIVYFHGGGFAYMSANSIACDDLCRNLCKKTGAVIISVNYRLSPEHKYPSQYDDGFDVLKFIDDKANTKNFPSNVNLKQCFIAGDSAGGNLAHHVTVKACEYGLRNVKLIGLIAIQPFFGGEERTESENRLVDAPVLSVKGTDWLWKTFLPEGSDRDHPACNVFGSKSVDDIKRLKFPATMVVVGGVDPLYDWQIRYYEGLKKCGKEAYLIEYPNAFHSFYGAPELKESGLLMEEVKDFIGKLIK >KJB27406 pep chromosome:Graimondii2_0_v6:4:29533011:29533649:1 gene:B456_004G120300 transcript:KJB27406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNVVSFRLLYIIMFRLSFGYIFMLILLIVIGHLIHPEFCRKKMSSLEKERQDFLSTIEALKEGKYANS >KJB25738 pep chromosome:Graimondii2_0_v6:4:53760067:53762395:1 gene:B456_004G207100 transcript:KJB25738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTGKRKATPQRSEVWSHFTKIINSEGGNKVKCNYCQKEFCCDMKKNGIRSLKYHIGSCKKNPSNVVDTSQGQLVLPRKGVERAEGNLSTWRFDQEACRKRLTQMIVIDELPFKFVESEGFKKFMFVACPRFHIPLRTTMTKDVYQLYLDERVKIKQLLRSSCSRVCLTTDTWASLQRVTYFCITAHFIDNDWKLNKKILNLCPISSHKGESIGMVIEKCLLNWRIDKLFTVTVDNASSNDVAIGYLRKKFNSRGGLVQSDKYLHMRCMTHIVNLIIVEGLKEMNKFVERIRGAVRYGRQSPARLQKFKECVVVEKIECKKMLCLDVCTRWNSTYLMIDTAQNFERAFERFEEQDTNFRAEFERGEGWPSVDDWDNVRNLRDFLEHFYEVTLHAQLNSNIDFNVMAIKMKEKYDKYWGDIDKMNLLIFVACVLDPRQKLNYLEFALSEMSSSEKACEMMQKLKESFTCSQSSMPTHVSLGEPQQKIERRMQVLYKKRELKICGEDKTPELDKYLAEANDEFVEDFDILLCPRFPTLSKMVRDVLVILISTAASKFAFSTGGCVLDQYRRSLTPKIVQALVCTQDWIRKSSSQEDIKKIEEQIQELDKIENGLMQMEIFWREEIDTNGEY >KJB26958 pep chromosome:Graimondii2_0_v6:4:60310968:60315567:-1 gene:B456_004G268100 transcript:KJB26958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENHGITRPLKRGRRSNRITAGADLSDFLAFPSPSIAASASGKPFRDAVRSFLSANARLTTAFAPPVLPCLMTWQILFRFGGFDPDANDPSPIVVSLYVIEEDVTRSSRSVYCDQCRVVGWSGHPVCKKRYHFVIKSSCDNGICANPHHISDSRRCKCCNRVDDVDVEEWGYSQLEDNNTHLLHGVVHSNGYGHLLTVNGREGGSEFLSGFHIMNFWDRLCTVLSVRKVTVMDVSKKYGMEYRLLHAIARGHSWYGNWGYEFGSGSYALTQDAYKRAVDDLSNMPLSALLFQGNKSRTRLQNIVAFYQSLSCSELSTFRDLFSFLLRLITDSHKASMPKASKMSKSSTNVLCAWTRNDVEKLQQGMIKVLMAAAPGPNWVSRRALKGVMCKAGSPELLDYCLKHLRGKLAPNGKVVEARSNLNSSDIEFRLQTPCSLLYDSNCPSEEHIKGDLRYMLDSLLDPETMSAYRPYATRERVMDLATKLLDCKQFLKDYKPNAHNPCAICVWCHLELSNPTKDDPVPPPELIILPLSATVGDLKTEATKVFEDVYAMFKRLQIEDLPDYGSVEDSITLKLLIGTTGSVRVRGKCTSRHGLNRFRMERGTENWTVDCVCGAKDDDGERMLACDKCSVWQHTRCAGIDNADAIPSMFICMRCTKSLHKKSLTITNSGKEARNFSQQLNSSCRGNLGSNEGSQVTTTLIVR >KJB24213 pep chromosome:Graimondii2_0_v6:4:36559477:36560867:1 gene:B456_004G133300 transcript:KJB24213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHCLLTSKNGTPSLTNPTGLLYKPHPKSALSAQKSVIKGGEKKRKTHKNKACLFSFFPSKMLTFLALCFQKVLSGEILDFIESKSSSKFIYRDELSKKKIPSNQKKYYGDYLSSSTPLLKVKDRERDPKISSSARGFDGKAGTTVTRVKLKMTKEEAARLLSKCKDGGILDFKDVARELVHLPPNRVIVVSPFTATNSVLDGIPEEC >KJB23486 pep chromosome:Graimondii2_0_v6:4:18617642:18636691:1 gene:B456_004G101500 transcript:KJB23486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAISPPPPTATLGPGRIRIPNSNRHSIRFSNLGFLLWKPKLNWLSYERVQQMQMAWVRSMAGGCFKSKEPLPEHRIKVEEDSGGQDFFDPDAMASKVSPEHLVIMVNGLIGSAADWRFAAEKFVAKLPDKVIEHRSECNYSKLTFDGVDMMGERLAQEVLDVVKRRPEVRKISFVAHSLGGLVARYAIGRLYENSTKLGQSGPFVSTLTGEHTNSSMQDLEQHSEDRIAGLEPMNFITFATPHLGSRGHKQLSLLCGLPFLEKRASETAHFVVGRSGKHLFLTDNDEGKPPLLLRMVDDGDDLKFISALHAFKRRVAYANANYDHMVGWRTSSIRRQNELPKSNLLVLDEKYPHVVYIERETTSKIQNKASSIAGSQTSTSDVEEVMIQGLNQVSWERVDVSFQKSKQRYVAHNTIQASSIV >KJB23485 pep chromosome:Graimondii2_0_v6:4:18617602:18637307:1 gene:B456_004G101500 transcript:KJB23485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAISPPPPTATLGPGRIRIPNSNRHSIRFSNLGFLLWKPKLNWLSYERVQQMQMAWVRSMAGGCFKSKEPLPEHRIKVEEDSGGQDFFDPDAMASKVSPEHLVIMVNGLIGSAADWRFAAEKFVAKLPDKVIEHRSECNYSKLTFDGVDMMGERLAQEVLDVVKRRPEVRKISFVAHSLGGLVARYAIGRLYENSTKLGQSGPFVSTLTGEHTNSSMQDLEQHSEDRIAGLEPMNFITFATPHLGSRGHKQLSLLCGLPFLEKRASETAHFVVGRSGKHLFLTDNDEGKPPLLLRMVDDGDDLKFISALHAFKRRVAYANANYDHMVGWRTSSIRRQNELPKSNLLVLDEKYPHVVYIERETTSKIQNKASSIAGSQTSTSDVEEVMIQGLNQVSWERVDVSFQKSKQRYVAHNTIQVKSYWLNSDGTDVVYHMIDNFLL >KJB23487 pep chromosome:Graimondii2_0_v6:4:18617642:18637199:1 gene:B456_004G101500 transcript:KJB23487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAISPPPPTATLGPGRIRIPNSNRHSIRFSNLGFLLWKPKLNWLSYERVQQMQMAWVRSMAGGCFKSKEPLPEHRIKVEEDSGGQDFFDPDAMASKVSPEHLVIMVNGLIGSAADWRFAAEKFVAKLPDKVIEHRSECNYSKLTFDGVDMMGERLAQEVLDVVKRRPEVRKISFVAHSLGGLVARYAIGRLYENSTKLGQSGPFVSTLTGEHTNSSMQDLEQHSEDRIAGLEPMNFITFATPHLGSRGHKQLSLLCGLPFLEKRASETAHFVVGRSGKHLFLTDNDEGKPPLLLRMVDDGDDLKFISALHAFKRRVAYANANYDHMVGWRTSSIRRQNELPKSNLLVLDEKYPHVVYIERETTSKIQNKASSIAGSQTSTSDVEEVMIQGLNQVSWERVDVSFQKSKQRYVAHNTIQAKLLVELRWNRCSLPHDRQFPSLVLEKFGVRLLVIQQLVRSLIF >KJB22468 pep chromosome:Graimondii2_0_v6:4:4301371:4303465:-1 gene:B456_004G048100 transcript:KJB22468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLSKCEANYVPLTPLTFLKRAGSVYANRTSIIYESIRFTWRQTYERCCRLASSLRSLNILNNHVVSVLAPNVPAMYEMHFAVPMAGAVLNTINSRLDAKNVATILRHSEAKALFVDYQYVQLASEALRILMADSQQGQSSMPLVIVIDDVDSPTGVRLGELEYEQLIQMGNPRFVPIEVQDEWDPISLNYTSGTTSEPKGVVYSHRGAYLSTLALVLGWEMGNEPVYLWSLPMFHCNGWTFTWGIAARGGTNVCIRNTTAYDMYRSIATHKVTHMCCAPIVFNILLEAKLNERREISSPVQILTGGAPPPAPLLEKMEHLGFHVTHAYGLTEATGPALVCEWQAKWNHLPEEHKAKIKSRQGISVLTLADVDVKNMETMESVPHDGKTIGEIVLRGSSIMKGYLKDEVATSKAFKNGWFFTGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELESVLYKHPRILEAAVVAMPHPRWGESPCAFISLRENETGQKGDVKEADIISYCRKNLPHFMIPKKVKFLSQLPKTSTGKIQKFQLRAMAKTFQVTEKKSTQVNKEIPQYHVEGHEQILAMSRL >KJB27154 pep chromosome:Graimondii2_0_v6:4:61282873:61285548:1 gene:B456_004G281000 transcript:KJB27154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFWRGLIILPLLFVYASSHFIYTKRHFDKPEVVGFKDLDYLHGDGGGFLSGPIEADDGVKASKTVVEAESDDDDNDDDDGDKKSKKKKEKKNQKNKKEKKKSKKDKKYDESFHTLPVESLVNEKGGDGNTDDSSQLVGYNVVTAQTTFKGSWELFVENSGVSAMHVVLLPNINQALIFDATVWKVSKLKLPGPPCRHVEGTNEEDCFAHSILLDVETAHIRPLRLNYDTWCSSGALDINGRLVSTGGYNNGSDTVRILDLCDTCEWQEFPGALGNGRWYATQVTLADGKFIVFGGRDFPTYEFVPPEGQKNTINEVINFPFLKETHDPVENNLYPFVFLSTDGNLFIFANNRSVLLNTQTHTIIHEFPVLPGGARNYPSSGCASLLPIMLKPNEDRKSIPAEVLVCGGTLHDAYTKADLQRPKVFLPGNTDCARIDITKRNGKWKIQNMPSARLLGDMVVLPTGDVLLVNGAKTGSAGWDDARDPNLHPVLYKFQTDGTGSKFTVLNPSNIPRMYHSSFAVLPDAKILIAGSNTNPGYLDDALFPTEVRVEKFSPHYLDPNFGMFQQEIIVENSNNQVKYGQKFTVQIRGNVEIDQQKLQVTIYSPPFVTHGVAMNQRLIQLGIVEFNKNVAINTNNIVLQAPINSNIAPPGYYMLFVNYNGVPCRRSMWVRILP >KJB21779 pep chromosome:Graimondii2_0_v6:4:957631:958619:1 gene:B456_004G013100 transcript:KJB21779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSSQSQNTASSTTTRPGVMAPRGSAAATAGMRRRRVTGGAAATSELTTVGSGSSNMLRFYTDDAPGLKISPTVVLVMSFCFIAFVVALHAFGKIYRAKAGAGAGP >KJB24972 pep chromosome:Graimondii2_0_v6:4:46984720:46987706:-1 gene:B456_004G170300 transcript:KJB24972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTFSTRSYFLQSPSHFHAHHHRQQSFSITSCRVSTASISTLFTHYSHSLKAVSPSTRNKLNPSTIVFSSGNGGIGGTGGRGTGGGGGGHDGHDDQERSRNRSEAILALADAGRTFESLPKDVAAAIEAGRLPGSIVHRYFELEKSPVFSWLLNFGGFKERLLADDLFLTKVGIECGVGIFTKSAAELEKRREKFRKELDFVFADVVMALVADFMLVWLPAPTVSLRPPIALSAGPISKFFYNCPDNAFQVALAGTSYSFLQRFGAILRNGAKLFGVGSGASLVGVGVTNTLINARKVFDKSFAEKAEDVPIFSTSVQYGVYMSISSNLRYQIIAGVIEQRILEPLLHHHKFILSAICFAVRTGNTFLGSLMWVDYARWVGVQRSRE >KJB25994 pep chromosome:Graimondii2_0_v6:4:55521558:55523663:1 gene:B456_004G220300 transcript:KJB25994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASNLLLSKFNRQRSIKAAPLLSRAYISENSPSSSSSSQFHSTGRHLQCCAKTRGLIFKLHQFQTNPSRNLNTLRKLREKSDLEEAFESGKTSGEMFRVFKDMEACEDPEKALSFADRALKVLDQDGKPSLLVAVALQLMGSVSYGLKRFNDSLGYLNKANRLLGRLEEEGIANVENIRPVLHAVQLELGNVKTAMGRREEALLNFKKALEIKEMTLGKDSKELGVGYRDLAEAYVSVLNFKEALPFGLKALEIHRKGLGHNSVEVAHDRRILGVIFTRMEEHEKALEQNQLSQRVLKNWGLSSELLRAEIDAANMQIALGKYDEAINTLKSIVQQTEKDTLCHWEKFADSKRCLEIACGNLDKKETVSPIEVAEAYCEISMLYENMNEFETAISLLKRTLAILEKRPQEQQSEGGVSARTGWLLLLKGEVPQAIPYLENAVEKLKDSFGSRHFGVGYIYNNLGAAYLELDRPQSATQIFAVAKDILDVSLGPHHADSIETCQNLSKAYSAMGSYTLAIEFQQRVIDAWEGH >KJB21457 pep chromosome:Graimondii2_0_v6:4:17245219:17245845:-1 gene:B456_004G099400 transcript:KJB21457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCKCILLKYQGAYHVALSQVSELDMVLSNTCIFNFIFKFFQHMYIQFCFQVFPYIGRILEESNPYTHM >KJB24377 pep chromosome:Graimondii2_0_v6:4:40214802:40220959:-1 gene:B456_004G142500 transcript:KJB24377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFDYYHEFENFNYENINNSRGTMLFTCRWLPSSSPKALVFLCHGYGMECSDFMKECGTRLAAAGYAAFGGARCYINKFQNIVNDCREFFKSISEEVKPHPMVVNMLTEVEEIIPKWKIVPTKNVIDTAFKDPIKRETIRKNKLIYQDKPGLKTALEMLRTSISLERGLNEVTLPFFVLHGEADIVTDPEVSKALYEKSSSSDKTIKLYPGMWHGLTSGEPDENIEIVFTDITAWLDKQLL >KJB26869 pep chromosome:Graimondii2_0_v6:4:59930497:59937200:1 gene:B456_004G264100 transcript:KJB26869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G36170) UniProtKB/Swiss-Prot;Acc:Q9LVY0] MDAILNPLLSSPTILKPTNPFSLSNVNKAPFLLSLTHFTTTSFPFSAILSTPPPRFTSSVTYATSDSQLSEGVETSTREWVMQDFYALRKDVETASERVNEIRTSAGMQQLEKELVVLESKTADSSFWDDRAKAQETLLALTDVKDKINLLNEFKTKVEDAETIVNLTEEMDSIDAALLEEAVNVINDLNKALDRFELTQLLSGPYDKEGAVISITAGAGGTDAQDWADMLLRMYTRWGEKQKYKTRVVEKSLGEEAGIKSVTIEVEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFSGVEVMPLLPEDSVDVELPEEDLEISFSRAGGKGGQNVNKVETAVRITHIPTGVTVRCTEERSQLANKIKALTRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGFETSDIVSVMDGELDAFIKAYLKYKHSINASATATTTGA >KJB26288 pep chromosome:Graimondii2_0_v6:4:57336131:57337668:-1 gene:B456_004G235400 transcript:KJB26288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVATAKTVRPSSPKRAFVTFLAGNGDYVKGVVGLAKGLRKVKSKYPLVVAVLPDVPDDHKKILVDQGCIVKEIDPVYPPENQTQFAMAYYVINYSKLRIWEFVEFSKMIYLDGDIQVFDNIDHLFDMEDGYFYAVMDCFCEKTWSQTPQYKIGYCQQCPDKVQWPSRLGPKPPLYFNAGMFVYEPNLSVYGDLLTTLKVTPPTPFAEQDYLNMYFRDIYRPIPPIYNLVMAMLWRHPENIELEKVKVVHYCAAGSKPWRFTGKEDNMDRKDIKTLVTKWWDIYNDESLDYANAVGYGEAEDEQTGLEPFLAAMSDACVVQYINAPSAA >KJB26939 pep chromosome:Graimondii2_0_v6:4:60236363:60240646:1 gene:B456_004G267300 transcript:KJB26939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTNGGVPPGFRFHPTDEELLHYYLTKKVSFQKFDMEVIREVDLNKMEPWELQERCRIGSSPQNEWYFFSHKDRKYPTGSRTNRATNAGFWKATGRDKCIRNSYKKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDGDDPQGNPTEDGWVVCRVFKKKNLFKVSNTINGGSSLNSSDQHHHLNMNHTNTTSSSSQTQPPPPLSFMHRSNDQYNLNTTTTTTLELNKAELALHYPPHIPTPTHQYSLFHPQTLLPTHKSMTAYEYAPPLPVGLPSESSHPIMIKQLMSSNPRDCDSGSESVRYQCETGLEGVAAGGGGGSGRDDENEGMNEWGMLDRLVTSHMGIGQDSSSKGVRFEDSNNNGTNATSVHPINQLSLRGEMDFWGYGK >KJB22099 pep chromosome:Graimondii2_0_v6:4:2341993:2342409:-1 gene:B456_004G029500 transcript:KJB22099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSPPVVEPPKQSSVLPGPSPWPAHSPKHIGPVAEPALAPSSPSPLAEPPKQSSPGPAYSPKHHGPVAGPVLAPTYTPPPAHVAEPPKQSSASPAHSPKHHAPTLAPTYPPPPPPKQSPPPLPSTSPQDSTSSPSK >KJB25737 pep chromosome:Graimondii2_0_v6:4:53750818:53754952:-1 gene:B456_004G207000 transcript:KJB25737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVGVLAMEIYFPPTCVQQEALEAHDGASKGKYTIGLGQDCMAFCTEVEDVISMSLTAVTSLLEKYTIDPKQIGRFEVGSETVIDKSKSIKTFLMQIFEKCGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLVGPDAPIVFESKFRGSHMSHAYDFYKPNLASEYPVVDGKLSQTCYLMALDTCYKYFCYKYEKLEGKQFSISDADYIVFHSPYNKLVQKSFACLLFNDFMRNASSVDDIAKEKLSPFSNLTGDESYQSRDLEKVSQQISKSLYDAKVQPTTLIPKQVGNMYTASLYAAFASLIHNKHSELAGKRVILFSYGSGLNFHNVFIATP >KJB23253 pep chromosome:Graimondii2_0_v6:4:11684823:11692042:1 gene:B456_004G088100 transcript:KJB23253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein LUMINIDEPENDENS [Source:Projected from Arabidopsis thaliana (AT4G02560) UniProtKB/Swiss-Prot;Acc:Q38796] MDVLKENLAELEIGSTVESIQKFIEIQRELFHSQIDQLQNVVVTQCKLTGVNPLAQEMAAGALSIKIGKRPRDLLNPKAVKYMQAVFSIKDAITKKESREISAQFGVTVTQVRDFFNSQRTRVRKQVRLSREKALRSNACKEAEDGVLPTGSEAVIPVEPVPLNSVGPVSSEEAPSCSTQDDSLTGIDELDKHFVENIFSKMHKEETFSGQVKLMEWILQIQNPSVLYWFLNKGGVMILATWLSQAAVEEQTTVVLIILKVLSHLPLQKALPEHMSAILQSVNKLCLYRFSDISNRARLLISRWSKMFARSQAAKKPNGLRSSTETQNEMLLKQSISEIMGHGSWQSNVYNSEGTLATSNVRKESPQVLKLLPASMDDSAKKNLLGVSSSHSRERRRVQLVEQPGQKVAGKNSQTTRPVPISQSRPMSADDIQKAKMRALYMQSKHGKTGSSSNGMNEVKSEGLNKSSPSKASFSRPVSKVSSHPAEEQKKPVVLPPKTSSRVETSLDPKQPVASKESPWEKCQKVKIPWHIPPEVKINDLWSVGAGESSKEVHVQKNRNRRERETFYYTNQEIPSNPKEPWDREMDHDDSLTPEIPTEQPPDNETETQVTHGEHVNGAATLEPSTSQTGGAVSAEPDLELLAVLLKNPALVFALTSGQAGNLTSEETVKLLDMIKAGGADTGNNVEEKVEVSLPSPTPSTNPGTVRDIIGFYQEFIFSFNWGIHISYKIKNENYM >KJB23254 pep chromosome:Graimondii2_0_v6:4:11684823:11693467:1 gene:B456_004G088100 transcript:KJB23254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein LUMINIDEPENDENS [Source:Projected from Arabidopsis thaliana (AT4G02560) UniProtKB/Swiss-Prot;Acc:Q38796] MDVLKENLAELEIGSTVESIQKFIEIQRELFHSQIDQLQNVVVTQCKLTGVNPLAQEMAAGALSIKIGKRPRDLLNPKAVKYMQAVFSIKDAITKKESREISAQFGVTVTQVRDFFNSQRTRVRKQVRLSREKALRSNACKEAEDGVLPTGSEAVIPVEPVPLNSVGPVSSEEAPSCSTQDDSLTGIDELDKHFVENIFSKMHKEETFSGQVKLMEWILQIQNPSVLYWFLNKGGVMILATWLSQAAVEEQTTVVLIILKVLSHLPLQKALPEHMSAILQSVNKLCLYRFSDISNRARLLISRWSKMFARSQAAKKPNGLRSSTETQNEMLLKQSISEIMGHGSWQSNVYNSEGTLATSNVRKESPQVLKLLPASMDDSAKKNLLGVSSSHSRERRRVQLVEQPGQKVAGKNSQTTRPVPISQSRPMSADDIQKAKMRALYMQSKHGKTGSSSNGMNEVKSEGLNKSSPSKASFSRPVSKVSSHPAEEQKKPVVLPPKTSSRVETSLDPKQPVASKESPWEKCQKVKIPWHIPPEVKINDLWSVGAGESSKEVHVQKNRNRRERETFYYTNQEIPSNPKEPWDREMDHDDSLTPEIPTEQPPDNETETQVTHGEHVNGAATLEPSTSQTGGAVSAEPDLELLAVLLKNPALVFALTSGQAGNLTSEETVKLLDMIKAGGADTGNNVEEKVEVSLPSPTPSTNPGTSGWRPEAVRNPFSQHSQMGNRVAQASVGVVTPIPVAERLSATGMAAPQQEANGLSLAQQLAAAMAELLPQSNATTLDKRHSPNVAFSNHGHPSNLPASDIALTMKNPSLVNSLTNSSAAAGPSMWVETMNVKTAAISMAPHIPEKVHTSFSPSPLMPTLTQRQTPAQLQPQVPHASDPYSTRPPVGNLGPMPDPWRGRQSLGSNLHSQANQNNYNASSFGGSMHPQLRTDPPREGKEYAGNEGFESWSPDNSPNRSSEYVAGRNYMEPRMNSGWNYRADRPSWQGNSSGYRDPNRQGNRWRDRR >KJB22874 pep chromosome:Graimondii2_0_v6:4:7611487:7612349:-1 gene:B456_004G070800 transcript:KJB22874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCDSASDFDLSLLDSIRQYLLEDDCDTIPGQVVENLPKTELHEDAINVDQWINFDQSFDAAEETVAVNNASFPSFEVNFQMETTAAVPKSHAPPKEVNYRGVRRRPWGTYAAEIRDPKRNGARIWLGTYETPEGAALAYDRAAFNMRGAKAKLNFPHLIGSNQVEPVRVSSNKRRSPKPSSSCSSAQSPSSTWDDGTPKSKQMRSEKYSSVKTEFEVDMYQLIPTEFCQTINC >KJB25610 pep chromosome:Graimondii2_0_v6:4:52000036:52003123:1 gene:B456_004G198700 transcript:KJB25610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHSDDLDQLLDSALDDFQNLNLTRPPQKLGGGDGEGKKQESGSLPSGVQGLGMGLPDLKSKKKGKQKVLKESHVTEALDKLREQTRETVKGLESMSKPGADDFGKDAMMEDWVKQFEELAGSQDMESIVETMMQQLLSKEILHEPMKEIGERYPQWLEEHKANLSEEEYERYSRQYELIKELNGVYENDPNNFTRIVDLMQKMQECGQPPNDIVQELAPEFDLSNLGQLSPEMLNSQQNCCII >KJB25612 pep chromosome:Graimondii2_0_v6:4:52000102:52003123:1 gene:B456_004G198700 transcript:KJB25612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHSDDLDQLLDSALDDFQNLNLTRPPQKLGGGDGEGKKQESGSLPSGVQGLGMGLPDLKSKKKGKQKVLKESHVTEALDKLREQTRETVKGLESMSKPGADDFGKDAMMEDWVKQFEELAGSQDMESIVETMMQQLLSKEILHEPMKEIGERYPQWLEEHKANLSEEEYERYSRQYELIKELNGVYENDPNNFTRIVDLMQKMQECGQPPNDIVQELAPEFDLSNLGQL >KJB25611 pep chromosome:Graimondii2_0_v6:4:52000102:52003123:1 gene:B456_004G198700 transcript:KJB25611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPDLKSKKKGKQKVLKESHVTEALDKLREQTRETVKGLESMSKPGADDFGKDAMMEDWVKQFEELAGSQDMESIVETMMQQLLSKEILHEPMKEIGERYPQWLEEHKANLSEEEYERYSRQYELIKELNGVYENDPNNFTRIVDLMQKMQECGQPPNDIVQELAPEFDLSNLGQLSPEMLNSQQNCCII >KJB25787 pep chromosome:Graimondii2_0_v6:4:54185182:54189061:1 gene:B456_004G209400 transcript:KJB25787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQEKLDRFKQQQEKCQSMLSNIAAKSASSRSTPKPAPAAASPFPSARPSAPVKFSNDTERLQHINSIRKAPVGAQMKPCYVDVNGNKDVFEGLRKNPKVNYDGKRFSYKAKHDVKNKNELLVLIRKYIEGIAVIDLRDAYPNVMEDLQGLKAAGQIWLLSNFDSQEDIAFPNDPRVPIKVDDDLKELFRSIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGITSKPKPRQKNKEFSKKAKLTNAHLPELFQSLKNS >KJB25786 pep chromosome:Graimondii2_0_v6:4:54185123:54189061:1 gene:B456_004G209400 transcript:KJB25786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQEKLDRFKQQQEKCQSMLSNIAAKSASSRSTPKPAPAAASPFPSARPSAPVKFSNDTERLQHINSIRKAPVGAQMKRVIDILFQTRQAFTPEQINEACYVDVNGNKDVFEGLRKNPKVNYDGKRFSYKAKHDVKNKNELLVLIRKYIEGIAVIDLRDAYPNVMEDLQGLKAAGQIWLLSNFDSQEDIAFPNDPRVPIKVDDDLKELFRSIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGITSKPKPRQKNKEFSKKAKLTNAHLPELFQSLKNS >KJB25788 pep chromosome:Graimondii2_0_v6:4:54185182:54189123:1 gene:B456_004G209400 transcript:KJB25788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQEKLDRFKQQQEKCQSMLSNIAAKSASSRSTPKPAPAAASPFPSARPSAPVKFSNDTERLQHINSIRKAPVGAQMKRVIDILFQTRQAFTPEQINEACYVDVNGNKDVFEGLRKNPKVNYDGKRFSYKAKHDVKNKNELLVLIRKYIEGIAVIDLRDAYPNVMEDLQGLKAAGQIWLLSNFDSQEDIAFPNDPRVPIKVDDDLKELFRSIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGITSKPKPRQKNKEFSKKAKLTNAHLPELFQSLKNS >KJB26636 pep chromosome:Graimondii2_0_v6:4:58956465:58959923:1 gene:B456_004G251800 transcript:KJB26636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKHLSSIANHVLRTTAQELGTSVEHLVEEFEAGWNPEVGDYSRKLMEFCSSKALISLCQNIEERLNNGSYSRFTYDMMLAWENPSDALLEKPQAENEGSKISVNLPPDLDDIPLFYSDMMPLLVNDGPSVGEDAFVWLGSLVPLVADIVNGRFTFETLTAPTGNRLFFPAYDKFLKEIDNCMKHLQKQAPPKGVQLADDEYILHVEGTATTQRVVRHIEGTSWPGRLTLTNHALFFEASGVLTYDDALKIDLSRDIEHGVKPAATGPWGAPLFDKAIIYESPEFQEGVLLEFPEMTSSTRRDHWLALTKEVLLMHKFLSKFKAESPIQAWEMHARTILSIIRLHAAREMLRICPPDPTKFLIFALYDELPKGDYVLEQLAESLNKVNIAQPCSAHSILRKMNFSEPTISEIEEKGVNEKRETIAGSENDDKTSLETAINQTRKEEREVVNAKEAIEGLKDEGISENALILMELLKPLKSGFVWFWKTLSWERPGTTFIVIAIVTVIVYKEWVGKAISAGLLVLVAKMIQARQEKLKDKQKEITVCTAFETTASTRENIVSAQYMYMTICQIIHQANVTILKLHSVLVSRAPKHANVMMVGITGLAILFAVTPLKYLIISAVFHWMIMRSKLGKYMKNNQNERRVKEWWDSIPPTPVRIVDQCL >KJB25858 pep chromosome:Graimondii2_0_v6:4:54575590:54576957:-1 gene:B456_004G212900 transcript:KJB25858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINVRREKISERMKYLQDLVPGCNKITDKAGMLNEIINYVQSLQRQVEEPKEKKKKQVLSTGCQAQAKKPNSKHDPFQKRSDHDQI >KJB23365 pep chromosome:Graimondii2_0_v6:4:14150517:14155886:-1 gene:B456_004G094500 transcript:KJB23365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILERCLGSMLLVLSMLSNVLGAFVGVNIGTDVSSMPPASDVVAIVKAHQITHIRLYDADPHMLKALAGSGIEVTVGVTNEEVLGIGESASAAAAWINKNVAAYMPSTNITAIAVGSEVLTSVPHAAPVLVTAMNNLHKALVAADLNFQVKVSTPQSMDIIPKPFPPSTATFNSSWNSTIYQLLQFLKNTNSYYMLNAYPYYGYTNGNGIFPIDYALFKPLPSVKQIVDPNTLFHYNSMFDAMVDATYYSMDALNFSVIPIIVTETGWPWQGGSNEPDATVENAETFINKLIQRVSNNSGPPSQPTIPINTYIYELFNEDKRPGPISEKNWGLLFTNGTAVFPLSLGGPSQITGNSTAVFCVAKDDASEDKLRDGINWACGQGQANCSAIQSGQPCYLPNNIKNHASYAYNDYYQKMHTVGGTCDFDGTATTTTIDPSYGSCIFTGSSNSSTGRESLPPTALGPISPGGSTKLPLSKIQFLISATCLLVVLL >KJB25520 pep chromosome:Graimondii2_0_v6:4:51557435:51560057:1 gene:B456_004G195800 transcript:KJB25520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEHFLDDHTLSFIQEGNQMFICDGCVKPISGPCYGCEECIFYLHYECAKLPESIEHFLHPCPLSLSLYSYTCNACFMKGSGFSYRCNICHFNMHVECVSKPTISSENDEGLIQHFTHWHPLSLIDLNTFDEKPCCAICEKPCSPNSTYGCSSCNFFLHNSCMATIPRRTYHSFHPCPLILLPYPEYECFGCQRERSGLTYSCGKCRFKLDVKCGLLPTVEAKGADVIQSFTHSHPLALLGNKDAESTGLGARHRCRACGEDCLDHGFSCSISCDFFIHTSCIELPLEIHHPLHLLHPLYLMYLPLLLHGTDCSSCNQPLDGFLLAYRCDGCNFNLHKHCAEFRPSFKFGISLHVLTLWDKRPSPFDCYVCGKKASQNFLRCVLCRFTIHLFCLPSASKTITHKCHIDCLSLTQSPLDFELNRLEDAYNLDDEFYCDVCEEKREKKDPVYYCAECKFIAEVGCVISELVPSYIMPEEQNGVTSRAIAKDEDNSTIETRLAKLNNEIAELSAKLKLLIQEREPLKEEIERLNLKRVQMQERLRNIETELWQIARNIDNLEVESLPVKHPPKHHITENKLLTKASTSRGLSAEKARHMEDGSKLLPALNIPRDKESATGAEIKKVNIEILKLKVKEKALKVQNEKYRSTIKQLEEKLELINLRLKELQVDGFLHNDLLSRSMKENVYATEASTSE >KJB24517 pep chromosome:Graimondii2_0_v6:4:41899350:41901981:1 gene:B456_004G148900 transcript:KJB24517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKCFVAPSVEPMFQTFNGPQHLAETRRFKAWFLDQFGVLHDGKQPYPGLATTGAKMVIIINSSGCASTTIEKLKNLGFDPSLFMGVITGGELTHQRGNAWFAALGRSCIHMTWSDRGAISLEGLGLQVIENVEEADFILAHGTEALGLPSGL >KJB26908 pep chromosome:Graimondii2_0_v6:4:60104326:60110584:-1 gene:B456_004G265400 transcript:KJB26908 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MSGGMWRRWRKLVVMEHLNCLGHWRRIAVGIGGIQHRFSSGGGGDGGGGGGGGDSYDDNNKNDVAAGSNGRTKSRGKARMKSSGTATSALTRRIKAEINCPRCSNHNFFNFNLPDASLDSSDGNSSNGKSTVNFCPTCRTAYHFRPHRIYPLQGTFLEIKSNSKAVPPPPPPPPYPRNGVKTSFWDNLRSENSPPTPPAGNGLAVQTPPGPPFPPGVNFVRADGPKEGHAHGNGTWLGGANLGKDLPTPREICKALDKFVIGQQKAKKVLSVAVYNHYKRIYHSSLEKGSGVEEGSLEALEDNENVELEKSNVLLVGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTVAEFNVEAAQQGIVYIDEVDKITKKAERLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRSDNIQIDTKDILFICSGAFIDLDKTISERQQDSSIGFGAPVRANMRTSWVTNAAVTSSLLESVESSDLIAYGLIPEFIGRFPILVTLSALTEEQLVQVTFHITFLPWSTRAGVCARFLVTQVNPFRYMVQIILLSLSIKIIVHFFLNC >KJB26906 pep chromosome:Graimondii2_0_v6:4:60101131:60110700:-1 gene:B456_004G265400 transcript:KJB26906 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MSGGMWRRWRKLVVMEHLNCLGHWRRIAVGIGGIQHRFSSGGGGDGGGGGGGGDSYDDNNKNDVAAGSNGRTKSRGKARMKSSGTATSALTRRIKAEINCPRCSNHNFFNFNLPDASLDSSDGNSSNGKSTVNFCPTCRTAYHFRPHRIYPLQGTFLEIKSNSKAVPPPPPPPPYPRNGVKTSFWDNLRSENSPPTPPAGNGLAVQTPPGPPFPPGVNFVRADGPKEGHAHGNGTWLGGANLGKDLPTPREICKALDKFVIGQQKAKKVLSVAVYNHYKRIYHSSLEKGSGVEEGSLEALEDNENVELEKSNVLLVGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTVAEFNVEAAQQGIVYIDEVDKITKKAERLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRSDNIQIDTKDILFICSGAFIDLDKTISERQQDSSIGFGAPVRANMRTSWVTNAAVTSSLLESVESSDLIAYGLIPEFIGRFPILVTLSALTEEQLVQVLTEPKNALGKQFKKLFSMNNVKLHFTPKALRLIAKKAMAKNTGARGLRAILESILTEPMYEIPDVKTGHNKVDAVVVDEESVGSTDNPGCGGKILRGDGALKHYFAKTMLKDSIENLSSVEGQSPEAESEVSTRAVSL >KJB26907 pep chromosome:Graimondii2_0_v6:4:60104998:60110519:-1 gene:B456_004G265400 transcript:KJB26907 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MSGGMWRRWRKLVVMEHLNCLGHWRRIAVGIGGIQHRFSSGGGGDGGGGGGGGDSYDDNNKNDVAAGSNGRTKSRGKARMKSSGTATSALTRRIKAEINCPRCSNHNFFNFNLPDASLDSSDGNSSNGKSTVNFCPTCRTAYHFRPHRIYPLQGTFLEIKSNSKAVPPPPPPPPYPRNGVKTSFWDNLRSENSPPTPPAGNGLAVQTPPGPPFPPGVNFVRADGPKEGHAHGNGTWLGGANLGKDLPTPREICKALDKFVIGQQKAKKVLSVAVYNHYKRIYHSSLEKGSGVEEGSLEALEDNENVELEKSNVLLVGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTVAEFNVEAAQQGIVYIDEVDKITKKAERLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRSDNIQIDTKDILFICSGAFIDLDKTISER >KJB21665 pep chromosome:Graimondii2_0_v6:4:511568:514524:1 gene:B456_004G007800 transcript:KJB21665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRASPPPSPLPFLLYENETYEYCIVLPPKVNSAGIWENEASPLVIFNYSLPLLEMQVVLTFVITHVIYTILRPLGITLFASQMFAGITISPMMNLQSFRSIFFNKESSIEVIDTVSMFGFALFLFLTGVKMDMKLALRTTKRSVGIGVVSLLSSILVGAAINETFKQPNQTEQVKTERLIGTVIEALTSFSVIACLLAELKILNTELGRLALTSAAVGDLSTMFLVHVMSLSRNFTASPLLVIERVVIMFCFITLIIFVFRPLMYWVIKRTPDGGPVAEVYITATMMVALGCAVFTHWTDRSPLIGAFLFGLAVPDGPPLGSALIDKFECFINAFFLSIYVIASTLRANFGNMLSDPSHVKFFTFFFSMTFLAKLIPCCIGSFLNFMPFRDSLAFGLVMSSKGIVQLSHYSTFRDNQVLSETGFTAMVIAILVNATIIPILVRFLYDPDSRKYAAYEQRNIMHLKPDAELRILACVHTSDNVPAMINLLDLTYPTRESPNVVYVLHLIELMARNSPVFIAHHNHESSTATTKSFEKIIPFYRYEGNNWGLVTVNAFTVITPRKLMHEDICMMALDKQTSFIILPFHRKWSIDGSLEEENNVVRNLNCTVLDQAPCSVGILIDRGRRQKPMKPSSPSSCSIGMLFLGGKDDREALMLAKRMARGPRVKLTVTRLIEYQDCSDVVDWDTVLDTEMLKDVKQNNGVLGNGYDITYVEEVLHCGSQTIKLVRSIANDYDLMIVGRHYGVESVLLAGLSEWSEFPELGILGDLFASMDLNSRVSILVVQQQIV >KJB25657 pep chromosome:Graimondii2_0_v6:4:53223406:53225438:1 gene:B456_004G202800 transcript:KJB25657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTVPSSSPCSSKSLPPTPPPPYQSPLFTPIQECEREEQEEGTPSVTTDKGLTPKHLPTPLHDKTTRKPNARKRSESGGGDGDGSVSCNKCRPNSREKISVVPLDNNNGVNKHSFSMPSPNGIFKSIFHSLTRKSPKSTDMSTDREEQWKIAAAELSHKLIQATRKRDEALMEASRLKYSMAELEKKLNKLEVYCHNLKSGLDECNSNSPYRMGQTHNIHQVKKQDGVIGANEKVVQQFLASVSESRSSIRLLSRSLTMQLRHMGSRVYERISVLLQPYDMKISHSKNPKSLLLYLEALLNKAFFEDFESTGLQKNAVNQILNPIDRCEANYKSFNELQGLTWEEVLNKGTRHFSEEFSKFCDRKMNEIVAMLGWNRAWPEPLLQAFFGASKSVWLVHLLANSVHPGLPIFRVDKGVRFDSVYMEDMDGERAKKLVPSMVRIMITPGFYVYGNVVKCKVICRYSNNVDSSLIDKRLTSSSP >KJB22156 pep chromosome:Graimondii2_0_v6:4:2626962:2628597:1 gene:B456_004G032100 transcript:KJB22156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSEVKLLGAWPSPFMLRVRIALHLKSINYEFIEVDLLGPKSELVLKSNPIYKKIPVLFHDGNTILESQIIVQYIDEVWHNAPSILPSDAAQRADSRFWVAYFDDKLVPALIVALAAKSKDKKNAAIAELEQGLVHLEEAASTKLSKGKALFGGENLGYMDIALGPFLVWIGIIEKLNGVKLLNETNTPSLLRWADSFFSHAVVKTIFPEAEKLIEFAMKLIPVLRARPELRLLLGISD >KJB25301 pep chromosome:Graimondii2_0_v6:4:49902480:49904034:1 gene:B456_004G184600 transcript:KJB25301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYVDEEEVWKCPSHPSKRRRTGICPVCLRDKLASLCPDCAHARPCSCITTSSSSSSSSFSRFSTAAAGGNTGVGSVGRVSNLIESEPAFRRSRSLAIPFLRSKPEDSSERNGLAGRKSKTPSFWSIFRASNKSKRYESEDDQSQGEKERRAAADEERRRRMMRKSRSVAVTKGKSWYFPSPMKVLRQTRVSKLVFQERSPLYRG >KJB25048 pep chromosome:Graimondii2_0_v6:4:47828722:47829610:-1 gene:B456_004G175400 transcript:KJB25048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFLSSISVCNSVDQPSIMANSVNSNDFLMNSKSSSSRKQKVSTYLPSSLKIPSCERSRSAAIDVFILIAVITTSAFYLFKEEIVRTLMIYASIGLDFSCAAIATSILLLCTNRKCRNPNCRGLRKAAEFDIQLETEECVKNSNTLVKDGAKRGLFELPLDHHKELKTELKKISPVNGRAVLVFQARCGCSVGRLEVPGPKKQRKVKK >KJB25870 pep chromosome:Graimondii2_0_v6:4:54516850:54519619:-1 gene:B456_004G212300 transcript:KJB25870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKAVKSDLVLILDFGSQYTHLITRRIRSLSVFSLCISGTFPLSSITSLNPKVVILSGGPHSVHADDSPSFADGFVEWAQSNGVFVLGICYGLHLLVQRLGGEVRVGEKQEYGRMEIEVEKSCGIFGGKKVGDRQVVWMSHGDEAATLPNGFEVVARSQQGAVAAVEDKDRRFYGLQYHPEVTHSPEGMETLRSFLFEVCGVNAGWKMEDVMAEEIKVINNRVGSDDHVICALSGGVDSTVAATLVHRAIGDWLHCIFVDNGERVMETFERDLHLPVTCVDATEQFLSKLKGVVDPELKRKIIGKEFICVFDAFAKELENNLGKKPAFLVQGTLYPDVIESCPPPGTGRSHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRQLGRILTVPYSFLKRHPFPGPGLAVRVLGDVTEDNALDTLRQVDEIFIQSIKDAGIYDSIWQAFAVFLPVKSVGVQGDQRTHSHVVALRAVTSQDGMTADWYHFEREFLDDVVQKICNNVRGVNRVALDITSKPPSTIEWE >KJB25972 pep chromosome:Graimondii2_0_v6:4:55236018:55240417:-1 gene:B456_004G218800 transcript:KJB25972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISLKSTAAGLFLLKNHNTHSPSRFPSFKPLVSTKRFTPMAAVATSQTLGISETFSKLKNQGKVAFIPYITAGDPDLSTTAEALKVLDSCGSDIIELGVPYSDPLADGPVIQAAATRSLARGTNLNAILSMLKEVAPQLSCPIALFAYYNPILKRGVEKFMSTIRDVGIQGLVVPDVPLEETELLRREALKNKIELVMLTTPTTPTDRMKAIVEAAEGFVYLVSSVGVTGARASVSDRVQTLLTEIKEVTTKPIVVGFGISKPEHVKQVYGELTV >KJB25971 pep chromosome:Graimondii2_0_v6:4:55235921:55240454:-1 gene:B456_004G218800 transcript:KJB25971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISLKSTAAGLFLLKNHNTHSPSRFPSFKPLVSTKRFTPMAAVATSQTLGISETFSKLKNQGKVAFIPYITAGDPDLSTTAEALKVLDSCGSDIIELGVPYSDPLADGPVIQAAATRSLARGTNLNAILSMLKEVAPQLSCPIALFAYYNPILKRGVEKFMSTIRDVGIQGLVVPDVPLEETELLRREALKNKIELVMLTTPTTPTDRMKAIVEAAEGFVYLVSSVGVTGARASVSDRVQTLLTEIKEVTTKPIVVGFGISKPEHVKQVARWGADGVIVGSAIVKVLGEAKSPEEGLKALETFTKSLKSVLP >KJB23594 pep chromosome:Graimondii2_0_v6:4:21771611:21773881:1 gene:B456_004G106800 transcript:KJB23594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCQWTYDGNAFPIPPAQYNPLDEPSPLGLKLRKSPSLLDLIQMRLSQSQSGSSAAQAESLNSGVKKESKAVAATDKLKASNFPASILRIGHWEYKSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIMGLKANCPDNGPGTLNVVLARQPLFFRETNPQPRKHTLWQTTSDFTDGQASIHRQHFLQCPQGLLNKHFEKLVQCDMRLNCLSRQPEIILDSLYFESRSSVFEDPSEPKGHDYGQGETGKGSTSSGFQNIASPVAAHSSSLEIEKGDSAAITSENMSREAPSPSSGSGVCEAVDSKGPRNWDQIKVPGLHPSMSMSDLMNHIGHRLSEHMTSENPSSENRPDCQEMLEDIAQYLLSDTQFTTASDEKSLMSRVNSLCCLLQKDNTTGTSSQANGENYDGRDDGKDVQLNRSHGFEFNITGKDDVKAFEGETKEVSSSRQAPGMSRKDSFSELLLHLPRIASLPKFLFNISEEDGERQAR >KJB23595 pep chromosome:Graimondii2_0_v6:4:21771153:21774228:1 gene:B456_004G106800 transcript:KJB23595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLMRSGTPTAEPEACRDKAPVKLEIVEDSLEEEHGPVNKRSKLSPNLQQWTYDGNAFPIPPAQYNPLDEPSPLGLKLRKSPSLLDLIQMRLSQSQSGSSAAQAESLNSGVKKESKAVAATDKLKASNFPASILRIGHWEYKSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIMGLKANCPDNGPGTLNVVLARQPLFFRETNPQPRKHTLWQTTSDFTDGQASIHRQHFLQCPQGLLNKHFEKLVQCDMRLNCLSRQPEIILDSLYFESRSSVFEDPSEPKGHDYGQGETGKGSTSSGFQNIASPVAAHSSSLEIEKGDSAAITSENMSREAPSPSSDL >KJB23593 pep chromosome:Graimondii2_0_v6:4:21771153:21774228:1 gene:B456_004G106800 transcript:KJB23593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLARQPLFFRETNPQPRKHTLWQTTSDFTDGQASIHRQHFLQCPQGLLNKHFEKLVQCDMRLNCLSRQPEIILDSLYFESRSSVFEDPSEPKGHDYGQGETGKGSTSSGFQNIASPVAAHSSSLEIEKGDSAAITSENMSREAPSPSSGSGVCEAVDSKGPRNWDQIKVPGLHPSMSMSDLMNHIGHRLSEHMTSENPSSENRPDCQEMLEDIAQYLLSDTQFTTASDEKSLMSRVNSLCCLLQKDNTTGTSSQANGENYDGRDDGKDVQLNRSHGFEFNITGKDDVKAFEGETKEVSSSRQAPGMSRKDSFSELLLHLPRIASLPKFLFNISEEDGERQAR >KJB23596 pep chromosome:Graimondii2_0_v6:4:21771153:21774228:1 gene:B456_004G106800 transcript:KJB23596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLMRSGTPTAEPEACRDKAPVKLEIVEDSLEEEHGPVNKRSKLSPNLQQWTYDGNAFPIPPAQYNPLDEPSPLGLKLRKSPSLLDLIQMRLSQSQSGSSAAQAESLNSGVKKESKAVAATDKLKASNFPASILRIGHWEYKSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIMGLKANCPDNGPGTLNVVLARQPLFFRETNPQPRKHTLWQTTSDFTDGQASIHRQHFLQCPQGLLNKHFEKLVQCDMRLNCLTVDSKGPRNWDQIKVPGLHPSMSMSDLMNHIGHRLSEHMTSENPSSENRPDCQEMLEDIAQYLLSDTQFTTASDEKSLMSRVNSLCCLLQKDNTTGTSSQANGENYDGRDDGKDVQLNRSHGFEFNITGKDDVKAFEGETKEVSSSRQAPGMSRKDSFSELLLHLPRIASLPKFLFNISEEDGERQAR >KJB23592 pep chromosome:Graimondii2_0_v6:4:21771098:21774237:1 gene:B456_004G106800 transcript:KJB23592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLMRSGTPTAEPEACRDKAPVKLEIVEDSLEEEHGPVNKRSKLSPNLQQWTYDGNAFPIPPAQYNPLDEPSPLGLKLRKSPSLLDLIQMRLSQSQSGSSAAQAESLNSGVKKESKAVAATDKLKASNFPASILRIGHWEYKSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIMGLKANCPDNGPGTLNVVLARQPLFFRETNPQPRKHTLWQTTSDFTDGQASIHRQHFLQCPQGLLNKHFEKLVQCDMRLNCLSRQPEIILDSLYFESRSSVFEDPSEPKGHDYGQGETGKGSTSSGFQNIASPVAAHSSSLEIEKGDSAAITSENMSREAPSPSSGSGVCEAVDSKGPRNWDQIKVPGLHPSMSMSDLMNHIGHRLSEHMTSENPSSENRPDCQEMLEDIAQYLLSDTQFTTASDEKSLMSRVNSLCCLLQKDNTTGTSSQANGENYDGRDDGKDVQLNRSHGFEFNITGKDDVKAFEGETKEVSSSRQAPGMSRKDSFSELLLHLPRIASLPKFLFNISEEDGERQAR >KJB24965 pep chromosome:Graimondii2_0_v6:4:46963845:46967212:1 gene:B456_004G170100 transcript:KJB24965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGPSGGSTAMRRRSGVRGGTRMECLEHDILCIIFSFLDVFDLVRCTAVCKSWNAVIRKSELLQVLYFKLRRDSGESTSSGQRKSMEFGLQEVAMKYHRLCLQRGRIDIHRWKAHSVGVDQCRMKMGLLLTGVGDKVMRLWSLDSYKCEEEYYIPDTASLVDFDFDESKIVGLLGSRVGIWTRNGKRSIFPSREGTFSKGLCVRYIDPDAVIGCEDGTARVFDMYSRTCSRIIKMHAGPVTCLALSDDELILSGSSLGSVSISDLASDQRVARLRSTDSAGIRTLCFNPCSHLVFAGTTVGYTYCWDLRTMKSLWETRISSNVLYSLSYLRSDNSTLAVGGIDGVLRVLNQNTGEVLSRCLIDDERPISSTRNMHAFIEKKKGIRLPEDAEVDRIPRTCRPPITCLSVGMKKVVTAHNSKYIRMWKFNM >KJB24967 pep chromosome:Graimondii2_0_v6:4:46963845:46967212:1 gene:B456_004G170100 transcript:KJB24967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYFVSSSPSSTSSTSFAALPFANPVIRKSELLQVLYFKLRRDSGESTSSGQRKSMEFGLQEVAMKYHRLCLQRGRIDIHRWKAHSVGVDQCRMKMGLLLTGVGDKVMRLWSLDSYKCEEEYYIPDTASLVDFDFDESKIVGLLGSRVGIWTRNGKRSIFPSREGTFSKGLCVRYIDPDAVIGCEDGTARVFDMYSRTCSRIIKMHAGPVTCLALSDDELILSGSSLGSVSISDLASDQRVARLRSTDSAGIRTLCFNPCSHLVFAGTTVGYTYCWDLRTMKSLWETRISSNVLYSLSYLRSDNSTLAVGGIDGVLRVLNQNTGEVLSRCLIDDERPISSTRNMHAFIEKKKGIRLPEDAEVDRIPRTCRPPITCLSVGMKKVVTAHNSKYIRMWKFNM >KJB24966 pep chromosome:Graimondii2_0_v6:4:46963845:46967212:1 gene:B456_004G170100 transcript:KJB24966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTKRSHRHSSVESSLSRCRMKMGLLLTGVGDKVMRLWSLDSYKCEEEYYIPDTASLVDFDFDESKIVGLLGSRVGIWTRNGKRSIFPSREGTFSKGLCVRYIDPDAVIGCEDGTARVFDMYSRTCSRIIKMHAGPVTCLALSDDELILSGSSLGSVSISDLASDQRVARLRSTDSAGIRTLCFNPCSHLVFAGTTVGYTYCWDLRTMKSLWETRISSNVLYSLSYLRSDNSTLAVGGIDGVLRVLNQNTGEVLSRCLIDDERPISSTRNMHAFIEKKKGIRLPEDAEVDRIPRTCRPPITCLSVGMKKVVTAHNSKYIRMWKFNM >KJB24968 pep chromosome:Graimondii2_0_v6:4:46963688:46967304:1 gene:B456_004G170100 transcript:KJB24968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGPSGGSTAMRRRSGVRGGTRMECLEHDILCIIFSFLDVFDLVRCTAVCKSWNAVIRKSELLQVLYFKLRRDSGESTSSGQRKSMEFGLQEVAMKYHRLCLQRGRIDIHRWKAHSVGVDQCRMKMGLLLTGVGDKVMRLWSLDSYKCEEEYYIPDTASLVDFDFDESKIVGLLGSRVGIWTRNGKRSIFPSREGTFSKGLCVRYIDPDAVIGCEDGTARVFDMYSRTCSRIIKMHAGPVTCLALSDDELILSGSSLGSVSISDLASDQRVARLRSTDSAGIRTLCFNPCSHLVFAGTTVGYTYCWDLRTMKSLWETRISSNVLYSLSYLRSDNSTLAVGGIDGVLRVLNQNTGEVLSRCLIDDERPISSTRNMHAFIEKKKGIRLPEDAEVDRIPRTCRPPITCLSVGMKKVVTAHNSKYIRMWKFNM >KJB22309 pep chromosome:Graimondii2_0_v6:4:3364281:3367863:1 gene:B456_004G040100 transcript:KJB22309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGQLLSIEPQELQFPFELRKQISCSLNLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCNVVVTMQAQKEAPTDMQCKDKFLLQSVVASPGATPKDITAEMFNKESGHNVEECKLRVVYVAPPRPPSPVREGSEEGSSPRASVSDNGSFNAAELTSVSRAHVERHETQDNSYDARSLISKLTEEKNSAIQQNSKLQQELELLRREAKRNRSGIPFIYVFLVGLIGIILGYLLKRT >KJB22310 pep chromosome:Graimondii2_0_v6:4:3364281:3367863:1 gene:B456_004G040100 transcript:KJB22310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGQLLSIEPQELQFPFELRKQISCSLNLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCNVVVTMQAQKEAPTDMQCKDKFLLQSVVASPGATPKDITAEMFNKESGHNVEECKLRVVYVAPPRPPSPVREGSEEGSSPRASVSDNGSFNAAELTSARSLISKLTEEKNSAIQQNSKLQQELELLRREAKRNRSGIPFIYVFLVGLIGIILGYLLKRT >KJB26894 pep chromosome:Graimondii2_0_v6:4:60168755:60174419:-1 gene:B456_004G266400 transcript:KJB26894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase RHA4A [Source:Projected from Arabidopsis thaliana (AT4G24015) UniProtKB/Swiss-Prot;Acc:Q84TF5] MGVPQNQTQTPLSAPHLYPQALQLKLYQAFIFSIPILFSIILFLLFYLFYLKRRATNISNPRPILPTATTFNPSQQPYQLSLKSGFKDKLPTVLFDEELRTRDTLCSVCLGEFEMKEELLQVPSCKHVFHIECMHRWLHSNSTCPLCRCCVAVGVIPITKLHPPIPFIHIDPPPPPSSPPSSPHQQNVLS >KJB23782 pep chromosome:Graimondii2_0_v6:4:27048026:27050535:-1 gene:B456_004G114700 transcript:KJB23782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSWSGSGRRPRIMASSLSLPQNTITDILSRLPVKSLTRFKSVSKNWADLTSAPAFIAAHLRRSSTDPSLLIRRYHSQSGWDYGIWLITNPTLSYATQLLRITLEESLPLFPKIVGSVDGLVCLDISPCYASDFAMWNPGTKQIKRLPLPLITSSRDNPIWMVFHGFGFDSFNNDYKLVRIVSFRGNDASPFLRVEVYSLREAVWKEIEERFELALLCGGQDGVVVDGSLNWAAIGLQGFADRKVVISFDMGREVFKTIPLPPVTRYGNVKVVSYMGLLAVAVYPLVFAANGNNMNRFEFWVQGDCEDGMKRWSNMVVVENFSKSLVPVGTWGDRELVFKHVGVRDRENQSSLFLFDPVDHTTKRLPVDFVDICFQGFSYVESLVSVS >KJB22067 pep chromosome:Graimondii2_0_v6:4:2581246:2582404:-1 gene:B456_004G031500 transcript:KJB22067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEDITTSIQVLHEEDEEALSLCDLPLEYLDTKNSPTNDHLPNLKHTRTQKSSSEYDPEFFEFFSDKNGSHMCPADDLIFGGKLVPLKQQQQQYFPSQTQSHVLFRKRSESLSELRTQNRAVLLRNSRSLDYQKPKLHRSDMAERNSKPEVSSPKKVVKPRWYVFMFGSVKFPPEMELKDIKSRQFRRNPAVMFPDDGKKMGDNRSSDKGSSSWCLLKALSCRDYTSVAVTSSFHLSHA >KJB26480 pep chromosome:Graimondii2_0_v6:4:58141196:58143127:1 gene:B456_004G244000 transcript:KJB26480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKVTHRPNDPKQRNPPQEIHDTAKDSTFSKASNPLSRQSSMEDPKEKIQNLKSLNSLLVKEAFESRQQIDSLVQAKEALEVELIERKKLEAEESEKNVSFELQNGLVSVYMVNQMKELGVERETVIGALKNKVSGLMGSLEKERKMLSLVCEERDLVRNDFELQVNEGKLMKEKLTEMEGNERKFVEEIGKLKVEYDRLVWEKEELEKVKSSMVKDRNLLEKNMKDMAGKVEHLRRENGKVVREKKEIEIEKNEQRVKIDEMEKEMSEVILSLRKEDGVLRSKIFELEKNCGEAMDREAERAIEIGALVEEKRAKERSIERLMEEKDFMSRSLEAIMVESEDRQRRIEKLLEESDANRRVLEMNEKELRDMRKKIKELLGDKTEIEKAKIHGENENIKLHNEVSELRNIVHRLQEECLDHQKKKDELVSEVSRFKALVDQVTLERDNALKGFDKEKHNGVSLRSKVSEMENMLKKTEEELARKRTEWQNLIEEKKEMGSHIGSLAEDKDRLHLELLERKRSFNDLRAKMESTTINYERALTLLKTTASLLCQSKDEKSPEEAAIAEQKLEDEIELYAMELEAIKKAFKNKETVAQDLKQKVELMEKSMVEAQKKKSFWTLVSSATTLLAAITVAYAARGR >KJB27087 pep chromosome:Graimondii2_0_v6:4:61028598:61032885:-1 gene:B456_004G277100 transcript:KJB27087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSGTKLQLHKKKTWFLLLTFSLLLSTLLITISITSTSSTTPSLLNYAHNRKPKPPLPQFVESKLHVASISPNPIPKLAYLISGSAGDGVSLLRTLKALYHPRNQYAVHLDLEASAEERLEVAELVENEPVFKRVGNVRMVTRANLVTYRGPTMVTNTLHAAAILFKEGGDWDWFINLSASDYPLVTQDDLLHTLSTIPRDLNFIEHTSDIGWKEYQRAKPVIIDPGLYSRRKSDVFWVTEKRSVPTAYRLFTGSAWMMLSRPFIEYCLWGWDNLPRIVLMYYANFLSSPEGYFHTVICNAKEFRNTTVNHDLHFISWDNPPKQHPHFLTVNDYQRMVDSNTPFARKFSKNEPVLDKIDSELLGRSTNGFIPGGWFNNKGNPNITLPQHVRANTTELKPGPGAERLKRLINSLFSSDDFIAKQCS >KJB24918 pep chromosome:Graimondii2_0_v6:4:46640660:46642736:1 gene:B456_004G168600 transcript:KJB24918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSCKAESAVSVISAAKTTQNSSSKAAAADKQEKSIKIQQFDYCDLEAATKGFSDQRLLGKGSHGAVYKAVLRGRHVAIKRPSSRNQETNQEADNEIDILSTIRSPRLVNLLGFSNDAKHRLLVVEFMSNGTLYDVLHSNSSRPLNWGRRIRLALQVAKALETLHSQKPPIIHRDVKSANVLIDRNFNARLGDFGLALRCGVDDYRLRSTPPAGTIGYLDPGYVTPDNLSTKTDVFSFGILLLEIISGRKAIDVAHSPPSIVDWAIPLVKKGNIVAVYDPRILPPKDPIVRKQLAVIAAKCVRSCRERRPAMKEVVGWLTGLSKLVPLHSWNGFNNPCMMVETVGRPVDFRNAQENLDAVHGTLAAKDSRRVYSDLGFRSNLMELMGITSIDGEASHRFGNKSYGNQVKSRKKNVGNEKGGFGLRRNHSAGESCELFSGKGDGFSQPSFSSRAVGDM >KJB24347 pep chromosome:Graimondii2_0_v6:4:39661534:39662412:1 gene:B456_004G141100 transcript:KJB24347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVTRMVADRPVVIFSRTTCCMSHTIKTLISGLGANPTVYELDEVPYGGQIERALQQMGCKPSVPVVFIGQQLIEGPNQVMSLQVKNQLVPLLIRAAAIWL >KJB26028 pep chromosome:Graimondii2_0_v6:4:55629916:55636160:1 gene:B456_004G221700 transcript:KJB26028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLIGGKFKLARKIGSGSFGELYLGVNVQTGEDVAVKLEPVKTKHPQLHYESKLYMLLQGGTGIPRLRWFGLEANYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLISRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDVESIGYVLLYFLRGSLPWQGLKTGTKKQKYDRITEKKVSTPIEVLCKSFPSEFVSYFHYCRSLRFEDKPDYSYLKRIFRDLFVREGFQFDYIFDWTLLKYPQITGGSKGRPSGGKAGLAAGPAVEKPEKFSGGKDFRDRFGGVEGVPRRHISTTRQKAVEDVNLSKHVHHDSDKRHSASRYGSTSRRAVIASRASSAGEANDIPKTRRLSTGSRIHNPAERIQLAIEAKTGRTAARGSHDDHPLRSFELLNIKK >KJB26029 pep chromosome:Graimondii2_0_v6:4:55630168:55636160:1 gene:B456_004G221700 transcript:KJB26029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLIGGKFKLARKIGSGSFGELYLGVNVQTGEDVAVKLEPVKTKHPQLHYESKLYMLLQGGTGIPRLRWFGLEANYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLISRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDVESIGYVLLYFLRGSLPWQGLKTGTKKQKYDRITEKKVSTPIEVLCKSFPSEFVSYFHYCRSLRFEDKPDYSYLKRIFRDLFVREGFQFDYIFDWTLLKYPQITGGSKGRAEKIFGTDLVESKGFPEDTFRQRDRRLLRMSICQSMCIMIQIKDIVPLDTAALQEEL >KJB22928 pep chromosome:Graimondii2_0_v6:4:8266871:8271311:-1 gene:B456_004G074400 transcript:KJB22928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREAYLVPVLEAQVATLKKKTAVTTSWISIDAKGKSVILDVDKYAIMRRVQVHARDLRILDPMLSYPSTILGREKHIKAIITAEEVLLRDPYDDNVIPIVAELKRRLPQDNLTCQDQGGEEEEHPSARNDMDTEEENEFPFEFRALEVALEAICSFLDARTRELEIDAYPALDELTSKISSRNLDRVRKLKSAMTRLTNRVQKVRDELEQLLDDDDDMADLYLSRKLAGACSPVSSFSVPSWYPPSPTIGSKISRTSRASAVTVEEDNDVEELEMLLEAYFMQIDSTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFVSSGTVCLSIYSLVAAIFGMNIPYTWKEGHEYMFKWVVILTGLLCTSTFTSIVSYARHKGLIGS >KJB27029 pep chromosome:Graimondii2_0_v6:4:60736564:60737100:-1 gene:B456_004G272900 transcript:KJB27029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPLPPQSSPTGGSTSTPSKSKEKFLSLILKAIIMMLLISFFFLILPLASFLLLLPLLLRHRRHRHRRHHLHPSSGFSSKQLKKLPQFRFSHETESVGSDSDSCVICLDGFRQGQWCRSLVGCGHLFHRKCLDGWLIKVAACPICRTRVRFENEDNCNSGPCCSRRAEFQGLFDL >KJB22804 pep chromosome:Graimondii2_0_v6:4:6825556:6827212:1 gene:B456_004G066500 transcript:KJB22804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPDRYERFVVPEGTKKVSYERDTKIINAATFTIEREDHTIGNILRTQLHGDENVLFAGYKLPHPLQYKILVRIHTTSQSSPMQAYNQAINDLDKELDHLKSAFEVEVEKHSRDF >KJB21698 pep chromosome:Graimondii2_0_v6:4:681798:686137:-1 gene:B456_004G009400 transcript:KJB21698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVHEDNEGNYSKAFPLYMNALEYFKTHLKYEKNPKIREAITQRLIEYLRRAEEIRAVLDEGGPGPASNGDAAVATRPKSKPKNGGGGGEGGDGGEDPDQAKLRSGLNSAIIREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATESDSTFFSISSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHTDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFENLARLTEGFSGSDVSVCVSEKIRSPNSVLSASLTMKGH >KJB21697 pep chromosome:Graimondii2_0_v6:4:681763:686188:-1 gene:B456_004G009400 transcript:KJB21697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVHEDNEGNYSKAFPLYMNALEYFKTHLKYEKNPKIREAITQRLIEYLRRAEEIRAVLDEGGPGPASNGDAAVATRPKSKPKNGGGGGEGGDGGEDPDQAKLRSGLNSAIIREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATESDSTFFSISSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHTDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFENLARLTEGFSGSDVSVCVKDVLFEPVRKTQDAMFFYKTPEDMWMPCGPRQPSAVQITMQELAAKGHAAQILPPPISRSDFDKVLARQRPTVSKGDLEVHERFTKEFGEEG >KJB25374 pep chromosome:Graimondii2_0_v6:4:50343426:50347570:-1 gene:B456_004G188200 transcript:KJB25374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRGCLECLLKLLNFLMTLAGLAMVGYGIYLFVEYKNAADTAMLLSPVGSDQDLIQFRRPMLMAVSLSSSIFDNLPKAWFIYLFIGVGAVLFVISCFGCIGAATRNLCCLTCYSVLVILLILVELGCAAFIFFDKNWKDELPTDKTGDFDMIYDFLEENWTIIKWAALGIVILEALIFLLALLVRAANRPADYDSDDEFIAPRPQIRQPLINRPPAPAAGVPVVPTLEQRPSRNDAWSTRMREKYGLDTSEFTYNPSESNRYQPVAPQPAEERSRCTIM >KJB27327 pep chromosome:Graimondii2_0_v6:4:61996845:62000847:-1 gene:B456_004G291200 transcript:KJB27327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSRNKRGHEEGIEDATYITETKKPKLPALASVIVEALKVDSMQRLCSSLEPMLRRIVSEEVERALTRIGNATLTARNSPPRIQSADGRNLQLHFRTRMPPHLFTGGKVEGEQGAAIHVVLLDMISGTVVQTGPESALKLNVVVLEGDFNEEADEDWTKEQFESNEVKEREGKRPLLTGELQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGLKVAPGYGEGIRVREAKTEAFAVKDHRGELYKKHYPPALHDEVWRLDRIAKDGALHKKLLKAEIVTVEDFLRLLVRDSQKLRNILGSGMSNRMWENTVEHAKTCILGGKLYVYYPDQTHNTGVVFNHIYELRGLIADGQFLSLESLNHNQKLFVDSLVKRAYENWHQVIEYDDKVLNTLRSTKVANPSAAPVNDNNYDADYYATTAQKSRQQYITSEPSPQCHNNNTHQTVHQLIEFPFGRSDQNAAMTMNNQKALLPSTTTISYMPIGGNSTAGVSGFAGDWSRPRTGHGFEDFFAEEIRLRSSEMLETDDMQRLLKTFGVGVGMGAGFGHPDESSYAYTIPYDHQMDHPYPYVPERGKGSGKAVVGWLKLKAALRWGIFIRKKAAERRAQLVELD >KJB27328 pep chromosome:Graimondii2_0_v6:4:61996876:62000837:-1 gene:B456_004G291200 transcript:KJB27328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSRNKRGHEEGIEDATYITETKKPKLPALASVIVEALKVDSMQRLCSSLEPMLRRIVSEEVERALTRIGNATLTARNSPPRIQSADGRNLQLHFRTRMPPHLFTGGKVEGDFNEEADEDWTKEQFESNEVKEREGKRPLLTGELQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGLKVAPGYGEGIRVREAKTEAFAVKDHRGELYKKHYPPALHDEVWRLDRIAKDGALHKKLLKAEIVTVEDFLRLLVRDSQKLRNILGSGMSNRMWENTVEHAKTCILGGKLYVYYPDQTHNTGVVFNHIYELRGLIADGQFLSLESLNHNQKLFVDSLVKRAYENWHQVIEYDDKVLNTLRSTKVANPSAAPVNDNNYDADYYATTAQKSRQQYITSEPSPQCHNNNTHQTVHQLIEFPFGRSDQNAAMTMNNQKALLPSTTTISYMPIGGNSTAGVSGFAGDWSRPRTGHGFEDFFAEEIRLRSSEMLETDDMQRLLKTFGVGVGMGAGFGHPDESSYAYTIPYDHQMDHPYPYVPERGKGSGKAVVGWLKLKAALRWGIFIRKKAAERRAQLVELD >KJB25730 pep chromosome:Graimondii2_0_v6:4:53665583:53667233:-1 gene:B456_004G206400 transcript:KJB25730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSFAPILPTKPRPSVFEQNKSPFVSRRRSKKKNLPIVPVARLFGPAIFEASKLKVLFLGVDEKKHPGKLPRTYTLTHSDITSKLTLAISQTINNSQLQGWANKLYRDEVVAEWKKVKGKMSLHVHCHISGGHFLLDLCAGLRYFIFCKELPVVLKAFVHGDGNLLKNYPELQEALVWVYFHSNIPEFNKVECWGPLVEGGSQQGGKKQEILASNWELPHPCPDNCDCCFPPMSLIPWSQELPHQN >KJB26058 pep chromosome:Graimondii2_0_v6:4:55743434:55754184:1 gene:B456_004G2231001 transcript:KJB26058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DIQRYKLGNPRTFHYLNQSNCYELDGIDDSKEYTATRRAMDVVGISPEEQDAIFCVVAAILHLGNIEFAKGKEIDSSVPKDEKSWFHLRTAAELLMCDEKLLEDSLCKRIIVTRDETITKWLDPESAALSRDALAKIVYSRLFDWIVDKINSSIGQDPDSKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQMFKNHKRFSKPKLSRSDFTICHYAGDVTYQTQLFLDKNKDYVVAEHQALLTASKCSFVSGLFPPLAQESSKSSKFSSIGSRFKQQLQALLETLSSTEPHYIRCVKPNNLLKPSIFENKNVLQQLQCGGVMEAIRISCAGYPTKKPFVEFVDRFGLLAPEVLDGSSDEVTACKKLLEKGKLQGYQIGKTKVFLRAGQMAELDTRRSEVLGRSASVIQRKIRSYLARRSFIMLRRSALQIQSVCRGQLARNVYNGMRREAASLRIQRHLRMHLGRKAYKELCSSAVSIQTVLRGMAARNELCIRRQTRASIIVQSQCRKFLAQLHYMKLKKAAIATQCAWRGRVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRVDMEEAKTKENAKLQSALQDMQLQFKETKELLAKEREAARRAAEVVPVIQEVLVVDNVTLEKLTSENEKLKAMVSSLEKKIGETEEKFEETNKISEERLKQALEAESKIVQLKTVMHRLEEKILDMESENQVLRQQTLLSTPVKKISEHLQIPATPNLENGHHIDEANKSNEPQSVTPVKKVGIESNGKLQKSNLERQHENVDALINCVTKDIGFSHGKPVAALTIYKCLLHWKSFEAERTNVFDRLIQMIGTAIENEEDNGHMAYWLSNASALLFLLQKTLKASGANGATPSRKPPTPTSLFGRMTMSFRSSPSSNNLAAAAALAVVRKVEGKYPALLFKQQLAAYVEKIYGIIRDNLKKEISSLLSLCIQAPRTSKGNVLRSGRSFGKDSPSTHWQGIIDSLNTLLSTLKENFVPQVLIQKIFTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWSCQVKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDDITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPDVSYFQHEGIDDRGFQ >KJB26059 pep chromosome:Graimondii2_0_v6:4:55743434:55754357:1 gene:B456_004G2231001 transcript:KJB26059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DIQRYKLGNPRTFHYLNQSNCYELDGIDDSKEYTATRRAMDVVGISPEEQDAIFCVVAAILHLGNIEFAKGKEIDSSVPKDEKSWFHLRTAAELLMCDEKLLEDSLCKRIIVTRDETITKWLDPESAALSRDALAKIVYSRLFDWIVDKINSSIGQDPDSKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQMFKNHKRFSKPKLSRSDFTICHYAGDVTYQTQLFLDKNKDYVVAEHQALLTASKCSFVSGLFPPLAQESSKSSKFSSIGSRFKQQLQALLETLSSTEPHYIRCVKPNNLLKPSIFENKNVLQQLQCGGVMEAIRISCAGYPTKKPFVEFVDRFGLLAPEVLDGSSDEVTACKKLLEKGKLQGYQIGKTKVFLRAGQMAELDTRRSEVLGRSASVIQRKIRSYLARRSFIMLRRSALQIQSVCRGQLARNVYNGMRREAASLRIQRHLRMHLGRKAYKELCSSAVSIQTVLRGMAARNELCIRRQTRASIIVQSQCRKFLAQLHYMKLKKAAIATQCAWRGRVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRVDMEEAKTKENAKLQSALQDMQLQFKETKELLAKEREAARRAAEVVPVIQEVLVVDNVTLEKLTSENEKLKAMVSSLEKKIGETEEKFEETNKISEERLKQALEAESKIVQLKTVMHRLEEKILDMESENQVLRQQTLLSTPVKKISEHLQIPATPNLENGHHIDEANKSNEPQSVTPVKKVGIESNGKLQKSNLERQHENVDALINCVTKDIGFSHGKPVAALTIYKCLLHWKSFEAERTNVFDRLIQMIGTAIENEEDNGHMAYWLSNASALLFLLQKTLKASGANGATPSRKPPTPTSLFGRMTMSFRSSPSSNNLAAAAALAVVRKVEGKYPALLFKQQLAAYVEKIYGIIRDNLKKEISSLLSLCIQAPRTSKGNVLRSGRSFGKDSPSTHWQGIIDSLNTLLSTLKENFVPQVLIQKIFTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWSCQVKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDDITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPDVISSMRVLMTEDSNDAVSSSFLLDDNSSIPFSLDDLAKSLQEKEFSEVKPADELLQNSAFEFLLE >KJB23073 pep chromosome:Graimondii2_0_v6:4:9519671:9520429:1 gene:B456_004G079700 transcript:KJB23073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPKHVMLMFTIIAPSAICFGAVYRVGDASGWHPMFNYTKWASSNKFHVGDTIRFEYNAIFHNVKEVTEPNYRACNGTKAIATYFSGNDSFTLDHPGHRYFLCGFPYHCRYGQKVEIYVVGEGTSPCPSPSPVADKNPANSASSSHHIFSVQFVTKYLAMVILVLFYLF >KJB26056 pep chromosome:Graimondii2_0_v6:4:55717217:55717501:1 gene:B456_004G222900 transcript:KJB26056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIHITNLIRTARFLSEACNLVFDAASKGKQFLIVGTKNKAANSVARAAIRVRCHYVNRKWLGGMLTNWLTIETRLHKFRDLRTEQKTGGDSTVF >KJB23329 pep chromosome:Graimondii2_0_v6:4:13715559:13718254:-1 gene:B456_004G0933001 transcript:KJB23329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKTGYWWSLDGKFIAFTEVDYSEIPLFRIMHQVAVLRHTTQPVSKGMRHSLNPRQNGTATYTDKEGIRQQQLLVFIPRHHKHYILPNSVSKKVHFSPQLQTDRRQNYLHAKSCLQPSGLLRTSKMLMVRQLALLN >KJB24396 pep chromosome:Graimondii2_0_v6:4:40504869:40507283:-1 gene:B456_004G143300 transcript:KJB24396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARDKASRGSYKIKEEKQKAKMEKSQIWLAFGAMALLLASATADDVVVLTEDIFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGSSFKKAKSVLIGKVDCDEHKSVCSKYGVQGYPTIQWFPKGSLEPKKYEGPRTAEALAEFVNTEGGTNVKIATLPSSVVVLNADNFDEVVLDETKDVLVEFYAPWCGHCKNLAPTYEKVALAFKMEEDVVIANLDADKHKDLAEKYGVSGYPTLKFFPKTNKAGEDYNGGRGLDDFVSFINEKCGTSRDGKGQLTSKAGILSSLDVLVKDFVAANNDEKKTVFSKIEQEVEKLKGSSERYSICLSIVFSEGELVNP >KJB24397 pep chromosome:Graimondii2_0_v6:4:40504869:40507283:-1 gene:B456_004G143300 transcript:KJB24397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARDKASRGSYKIKEEKQKAKMEKSQIWLAFGAMALLLASATADDVVVLTEDIFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGSSFKKAKSVLIGKVDCDEHKSVCSKYGVQGYPTIQWFPKGSLEPKKYEGPRTAEALAEFVNTEGGTNVKIATLPSSVVVLNADNFDEVVLDETKDVLVEFYAPWCGHCKNLAPTYEKVALAFKMEEDVVIANLDADKHKDLAEKYGVSGYPTLKFFPKTNKAGEDYNGGRGLDDFVSFINEKCGTSRDGKGQLTSKAGILSSLDVLVKDFVAANNDEKKTVFSKIEQEVEKLKGSSERYSICLSIVFSEGELVNP >KJB24395 pep chromosome:Graimondii2_0_v6:4:40504014:40507366:-1 gene:B456_004G143300 transcript:KJB24395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARDKASRGSYKIKEEKQKAKMEKSQIWLAFGAMALLLASATADDVVVLTEDIFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGSSFKKAKSVLIGKVDCDEHKSVCSKYGVQGYPTIQWFPKGSLEPKKYEGPRTAEALAEFVNTEGGTNVKIATLPSSVVVLNADNFDEVVLDETKDVLVEFYAPWCGHCKNLAPTYEKVALAFKMEEDVVIANLDADKHKDLAEKYGVSGYPTLKFFPKTNKAGEDYNGGRGLDDFVSFINEKCGTSRDGKGQLTSKAGILSSLDVLVKDFVAANNDEKKTVFSKIEQEVEKLKGSSERYGKIYLKAAKSCLEKGADYPKKEIERLQRILDKSISPAKADEFTLKKNILSTFM >KJB24398 pep chromosome:Graimondii2_0_v6:4:40504047:40507311:-1 gene:B456_004G143300 transcript:KJB24398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARDKASRGSYKIKEEKQKAKMEKSQIWLAFGAMALLLASATADDVVVLTEDIFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGSSFKKAKSVLIGKVDCDEHKSVCSKYGVQGYPTIQWFPKGSLEPKKYEGPRTAEALAEFVNTEGGTNVKIATLPSSVVVLNADNFDEVVLDETKDVLVEFYAPWCGHCKNLAPTYEKVALAFKMEEDVVIANLDADKHKDLAEKYGVSGYPTLKFFPKTNKAGEDYNGGRGLDDFVSFINEKCWYFV >KJB27089 pep chromosome:Graimondii2_0_v6:4:61039051:61041673:-1 gene:B456_004G277300 transcript:KJB27089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source: Projected from Oryza sativa (Os06g0160001)] MKNKVELKVEEPPKADFKLKGKILMISAFMSKFSLRKFLNFLASQTDFTSYLRTISSMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB22752 pep chromosome:Graimondii2_0_v6:4:6498520:6504565:1 gene:B456_004G064600 transcript:KJB22752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDADKALELVKSGATLLLLDVPQYTLIGIDTQVFSVGPAFKGIKMIPPGVHFVFYSSSTRDGKEFSPITGFFIDAGYSQVVVRMWDQQEERLIKVPEEEEERYRQAVRSFEFDKHLGPYDLSLYADWKRLSNYITKSTIERLEPIGGEITVTYEHGMLKNTCKSAMERVLDEQLRNSKFSSPAEKHPKRGCYYTPIPRIIKRKGIESEQLTSLNLDKTELLETLLVKDYGGSEESLLGELQFAFIAFLMGQSLEAFMQWKSLVSLLLGCTEAPFQTRSQLFTKFIKVIYYQLKYGLQKDRSVGEAGTSALLDDSWFSSDSFLHCFCKDFFSLVQDASVVDGDLLSWTRKLKELLENSLGWEFQQKSAVDGIYFEENDEYAPVVEMLDEPSGSEPTTS >KJB22754 pep chromosome:Graimondii2_0_v6:4:6500547:6504565:1 gene:B456_004G064600 transcript:KJB22754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNTCKSAMERVLDEQLRNSKFSSPAEKHPKRGCYYTPIPRIIKRKGIESEQLTSLNLDKTELLETLLVKDYGGSEESLLGELQFAFIAFLMGQSLEAFMQWKSLVSLLLGCTEAPFQTRSQLFTKFIKVIYYQLKYGLQKDRSVGEAGTSALLDDSWFSSDSFLHCFCKDFFSLVQDASVVDGDLLSWTRKLKELLENSLGWEFQQKSAVDGIYFEENDEYAPVVEMLDEPSGSEPTTS >KJB22753 pep chromosome:Graimondii2_0_v6:4:6498670:6503135:1 gene:B456_004G064600 transcript:KJB22753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDADKALELVKSGATLLLLDVPQYTLIGIDTQVFSVGPAFKGIKMIPPGVHFVFYSSSTRDGKEFSPITGFFIDAGYSQVVVRMWDQQEERLIKVPEEEEERYRQAVRSFEFDKHLGPYDLSLYADWKRLSNYITKSTIERLEPIGGEITVTYEHGMLKNTCKSAMERVLDEQLRNSKFSSPAEKHPKRGCYYTPIPRIIKRKGIESEQLTSLNLDKTELLETLLVKDYGGSEESLLGELQFAFIAFLMGQSLEAFMQWKSLVSLLLGCTEANHSSCQSTVCKLEELNA >KJB26253 pep chromosome:Graimondii2_0_v6:4:57273081:57274766:1 gene:B456_004G234900 transcript:KJB26253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRSHYFNLCCFFAMIILFGSCSAQLSSYYYAKTCPKALYIIKTAVLGAVAKESRMGASLLRLHFHDCFVNGCDASVLLDDDDASNFTGEKSAAPNANSLRGFEVIDSIKSKLEAACPGVVSCADILAVAARDSVATLGGPTWTVELGRRDSTTASFSNANTDLASPLMNLDELISVFSKKGFTEKEMVALSGSHTTGKARCLLFRNRIYNETNIDSEFAKSIQSNCPSSGGGDNLSPLDDGSPVIFDNSYFKNLVKNKGLLHSDQALFNGGSMDSQVATYSENPITFYVDFADAMVKMGNLSVLTGTDGEIRTNCRKVN >KJB23382 pep chromosome:Graimondii2_0_v6:4:14568775:14570769:-1 gene:B456_004G095200 transcript:KJB23382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVHDRWQVFRGESTEEKDLMFTVKRSSMIQLKTKLHVFLATNPKENVCDFKVEGSWLERSCIIYSGESNTILAQMHKKHNVESVFLGKDKFMVTVYPNVVYAFVVALIAILDGINHDYDE >KJB23383 pep chromosome:Graimondii2_0_v6:4:14568606:14571244:-1 gene:B456_004G095200 transcript:KJB23383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEIPFALFDTRGESTEEKDLMFTVKRSSMIQLKTKLHVFLATNPKENVCDFKVEGSWLERSCIIYSGESNTILAQMHKKHNVESVFLGKDKFMVTVYPNVVYAFVVALIAILDGINHDYDE >KJB22071 pep chromosome:Graimondii2_0_v6:4:2320681:2327646:1 gene:B456_004G029300 transcript:KJB22071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MVEDEKNDKEMRSLALTPTWSVATVLTIFVVVSLIVERSIHRLSKWLRKTNRKPLLAAVEKMKEELMLLGFISLLLIATSSTIANICIPSKFYDSTFAPCTKSEIDEDLEDDSSKERKLLTAANFHLFRRMLNGMNRNTCAKDHEPFVSYEGLEQLHRFIFVMAITHVSYSCLTMLLAIVKIHSWRAWEDEAHMDRHDILNAKARELIMRRQTAFVVNRESNNLTKNSLFIWVKCFFQQFGYSVVRADYLTLRKGFIMNHNLTSKYDFHGYMIRSMEEEFQRIVGVSAPLWGFVVAFMLFNVKGSNLYFWIAVLPITLVLLVGAKLQHVIATLALETADMTGYVTGAKLRPRDELFWFKKPEWLLSLIHFILFQNAFELASFFWFWWQFGYDSCFIRNHTLVYIRLILGFAGQFICSYITLPLYALATQMGTNYKAALIPQRIRDTIHGWGKAARRKRRLGRFTDDSTIHTETSTVMSLEEDEHQLIDIHEDDKDTYNEVELQPPPNVTSTPSPAFANENSSRVGTPLLRPSSSVSASTISKLQKEDFQRSSSMPK >KJB22073 pep chromosome:Graimondii2_0_v6:4:2321134:2326940:1 gene:B456_004G029300 transcript:KJB22073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MVEDEKNDKEMRSLALTPTWSVATVLTIFVVVSLIVERSIHRLSKWLRKTNRKPLLAAVEKMKEELMLLGFISLLLIATSSTIANICIPSKFYDSTFAPCTKSEIDEDLEDDSSKERKLLTAANFHLFRRMLNGMNRNTCAKDHEPFVSYEGLEQLHRFIFVMAITHVSYSCLTMLLAIVKIHSWRAWEDEAHMDRHDILNAKARELIMRRQTAFVVNRESNNLTKNSLFIWVKCFFQQFGYSVVRADYLTLRKGFIMNHNLTSKYDFHGYMIRSMEEEFQRIVGVSAPLWGFVVAFMLFNVKGSNLYFWIAVLPITLVLLVGAKLQHVIATLALETADMTGYVTGAKLRPRDELFWFKKPEWLLSLIHFILFQNAFELASFFWFWWQFGYDSCFIRNHTLVYIRLILGFAGQFICSYITLPLYALATQVLYLLVYIPFTRGKESKQIQTRMGTNYKAALIPQRIRDTIHGWGKAARRKRRLGRFTDDSTIHTETSTVMSLEEDEHQLIDIHEDDKDTYNEVELQPPPNVTSTPSPAFANENSSRVGTPLLRPSSSVSASTISKLQKEDFQRSSSMPK >KJB22072 pep chromosome:Graimondii2_0_v6:4:2321134:2326940:1 gene:B456_004G029300 transcript:KJB22072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MVEDEKNDKEMRSLALTPTWSVATVLTIFVVVSLIVERSIHRLSKWLRKTNRKPLLAAVEKMKEELMLLGFISLLLIATSSTIANICIPSKFYDSTFAPCTKSEIDEDLEDDSSKERKLLTAANFHLFRRMLNGMNRNTCAKDHEPFVSYEGLEQLHRFIFVMAITHVSYSCLTMLLAIVKIHSWRAWEDEAHMDRHDILNAKARELIMRRQTAFVVNRESNNLTKNSLFIWVKCFFQQFGYSVVRADYLTLRKGFIMNHNLTSKYDFHGYMIRSMEEEFQRIVGVSAPLWGFVVAFMLFNVKGSNLYFWIAVLPITLVLLVGAKLQHVIATLALETADMTGYVTGAKLRPRDELFWFKKPEWLLSLIHFILFQNAFELASFFWFWVPSLL >KJB21420 pep chromosome:Graimondii2_0_v6:4:4373051:4374798:1 gene:B456_004G048500 transcript:KJB21420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSHPKSALKVTPKPAAKENTKPQEFKLHTGQRAVKRAMFNYSLATKFYLLEIQKKQVEKVQKMIEDEEIRCLRKEMVPKAQLMPFFDRPFFPQRSNRPLTIPREPSFRTVNSKCWSCISENDLYYFHHAHAWNPIK >KJB21419 pep chromosome:Graimondii2_0_v6:4:4372957:4374831:1 gene:B456_004G048500 transcript:KJB21419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSHPKSALKPKDQVKDKYSKVTPKPAAKENTKPQEFKLHTGQRAVKRAMFNYSLATKFYLLEIQKKQVEKVQKMIEDEEIRCLRKEMVPKAQLMPFFDRPFFPQRSNRPLTIPREPSFRTVNSKCWSCISENDLYYFHHAHAWNPIK >KJB26742 pep chromosome:Graimondii2_0_v6:4:59337302:59340410:1 gene:B456_004G257100 transcript:KJB26742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNLMDKVSAFGELLKTEGAEVGRKMSAGMSSVSFKVKELFQGPNPADKLVDDATSEALDEPDWALNLDICDMINHEKISSVELIRGIKRKIMLKNPRVQYLSLVLLETCVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALMLIEAWGESTSELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPPRSVSAAEVDASLANQFQHDMQLQHDTPPQAFTAEQTKEAFDVARNSIELLSTVLSSSPQQDALEDDLTTTLVQQCRQSQSTILRIIDTAGDNEALLFEALNVNDEIQKALSKYEELNEPSAVRREPEPAMIPVAVEPDDSPRHPKEDGLIRKPAGTRHGTHGGSNDDMMDDLDEMIFGKKGGGSSGGGQDSKKQQGPKDDLITF >KJB26743 pep chromosome:Graimondii2_0_v6:4:59337285:59340446:1 gene:B456_004G257100 transcript:KJB26743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNLMDKVSAFGELLKTEGAEVGRKMSAGMSSVSFKVKELFQGPNPADKLVDDATSEALDEPDWALNLDICDMINHEKISSVELIRGIKRKIMLKNPRVQYLSLVLLETCVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALMLIEAWGESTSELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPPRSVSAAEVDASLANQFQHDMQLQHDTPPQAFTAEQTKEAFDVARNSIELLSTVLSSSPQQDALEDDLTTTLVQQCRQSQSTILRIIDTAGDNEALLFEALNVNDEIQKALSKYEELNEPSAVRREPEPAMIPVAVEPDDSPRHPKEDGLIRKPAGTRHGTHGGSNDDMMDDLDEMIFGKKGGGSSGGGQDSKKQQGPKDDLITF >KJB26744 pep chromosome:Graimondii2_0_v6:4:59337642:59340410:1 gene:B456_004G257100 transcript:KJB26744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNLMDKVSAFGELLKTEGAEVGRKMSAGMSSVSFKVKELFQGPNPADKLVDDATSEALDEPDWALNLDICDMINHEKISSVELIRGIKRKIMLKNPRVQYLSLVLLETCVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALMLIEAWGESTSELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPPRSVSAAEVDASLANQFQHDMQLQHDTPPQAFTAEQTKEAFDVARNSIELLSTVLSSSPQQDALEDDLTTTLVQQCRQSQSTILRIIDTAGDNEALLFEALNVNDEIQKALSKYEELNEPSAVRREPEPAMIPVAVEPDDSPRHPKEDGLIRKPAGTRHGTHGGSNDDMMDDLDEMIFGKKGGGSSGGGQDSKKQQGPKDDLITF >KJB25917 pep chromosome:Graimondii2_0_v6:4:54850676:54852504:-1 gene:B456_004G215700 transcript:KJB25917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFHSFFTFFFFILFLYPFSIQSPAHAISFVSPIQKDNATLLYSLTVYLKTPLQPTRLHLDVGASFSWVACDAGYNSTTYQHIPWASLLCDSLGHNLPCSVCFNAPSPSCANDSCSLFPENSVTRKIALSPALTDSLALPTSDGSTQGPPILLPGYIFSCSPSSLLEGLANNVTGLAAFGRSNYSLPAQVSNTFSVPRCFALCLPGSPSDPGVALIGSVGPYYFSPQKTDLSKLLVYTPLVLNPVGSTVVTYAGEPSDEYYINMTSINVNGKPIQINSSLLAVEENGSGGTKISTAVPYTVLESSIYNALTTAFVNESSALNLTVTDTVKPFGVCYSAADITVTRVGPGVPTVDFVMQSDNVFWRVFGSNSMVRITRDGGGDVWCLGFVDGGVNPRTSVVIGGQQMVDNLLQFDLDNSRLGFTSSVLLKGTTCSNFNFASTR >KJB21573 pep chromosome:Graimondii2_0_v6:4:119686:125531:1 gene:B456_004G001800 transcript:KJB21573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVVNMPVDSLDRRPGGDRKDTNNNHNNRQTPSSDDPNSSPPPPPPPPHRRRDRDSRERRDRDYYDRNRCPPPPLPRERDQRSRGSISPPPPPLNFRDRRHSPPPRRSPPYKRSRREDGGYEGRRGSPRGGFGPGDRRFRNNYGGGYDREMGRPSYPDERPHGRYFNRSSGGHEDDWDSGRGGYGIASYSGSAQREGLMSYKQFIQELEDDILPTEAERRYQEYKSEYISTQKRAYFDAHKDEEWLRDKYHPIKLVTVIERRNELARKVAKDFLLDLQSGTLDLSPSVNALSSNKSGQTSDPNSEDEAEVGGKRRWHGRGPAKETDFSAAPKGHPVSADPRRIEIDIEQAQGLVCKLDSEKGIEENILRGSDNNKINRDKSHGSLTGPVIIVRGLTTVKGLEGVELLDTLITYLWRVHGLDYYGMIETNEAKGLRHVRAEGKRSDVTSNGSEWEKKLDSHWQERLRSQDPLELMTAKDKIDASAIEAFNPFVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVVELTSKVSEELYFHNYMNDPDAPGGTPVMQQSIPKDKPQRRKILENHLKDDRDLHGERDRSDNPQTSDFSLNDDGLDGGNRDDPIFDAFGGQGMHVAAPFSSDVAPPPVLMPVPGAGPLGPFVPAPPELAMQVFREQGAPPFEGNGRSGRSGPNLSGPTPFLLPPGFRQDPRRLRSYQDLDAPEDEVTVIDYRSL >KJB21572 pep chromosome:Graimondii2_0_v6:4:119686:125491:1 gene:B456_004G001800 transcript:KJB21572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVVNMPVDSLDRRPGGDRKDTNNNHNNRQTPSSDDPNSSPPPPPPPPHRRRDRDSRERRDRDYYDRNRCPPPPLPRERDQRSRGSISPPPPPLNFRDRRHSPPPRRSPPYKRSRREDGGYEGRRGSPRGGFGPGDRRFRNNYGGGYDREMGRPSYPDERPHGRYFNRSSGGHEDDWDSGRGGYGIASYSGSAQREGLMSYKQFIQELEDDILPTEAERRYQEYKSEYISTQKRAYFDAHKDEEWLRDKYHPIKLVTVIERRNELARKVAKDFLLDLQSGTLDLSPSVNALSSNKSGQTSDPNSEDEAEVGGKRRWHGRGPAKETDFSAAPKGHPVSADPRRIEIDIEQAQGLVCKLDSEKGIEENILRGSDNNKINRDKSHGSLTGPVIIVRGLTTVKGLEGVELLDTLITYLWRVHGLDYYGMIETNEAKGLRHVRAEGKRSDVTSNGSEWEKKLDSHWQERLRSQDPLELMTAKDKIDASAIEAFNPFVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVVELTSKVSEELYFHNYMNDPDAPGGTPVMQQSIPKDKPQRRKILENHLKDDRDLHGERDRSDNPQTSDFSLNDDGLDGGNRDDPIFDAFGGQGMHVAAPFSSDVAPPPVLMPVPGAGPLGPFVPAPPELAMQVFREQGAPPFEGNGRSGRSGPNLSGPTPFLLPPGFRQDPRRLRSYQDLDAPEDEVTVIDYRSL >KJB23633 pep chromosome:Graimondii2_0_v6:4:22619344:22619985:-1 gene:B456_004G109000 transcript:KJB23633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPRKPSPLLLNLAFMAMFITVGNSQQLLHPFPLAPMPSLAPFQPSEAQKCWSALTSIQGCMLEISASFFYGEIGVIGPDCCQAITHISDDCWLKMFPFNPFSPPFLRTSCSSPAPSAGPILNGINKVSSPLQSGSEVDKCWSSLSNVNGCVTEIINSFFGGQMFTIISPACCNAIMKLNDDCWPILFPFYPYFPPYLKNYCGETATATAPK >KJB21996 pep chromosome:Graimondii2_0_v6:4:2034801:2038287:-1 gene:B456_004G025800 transcript:KJB21996 gene_biotype:protein_coding transcript_biotype:protein_coding description:RID2 [Source:Projected from Arabidopsis thaliana (AT5G57280) UniProtKB/TrEMBL;Acc:A0A178UNY0] MSSRPELQAPPEIFYDDVEARKYTSSSRIAEIQTKLSERALELLALPDDGVPRLLLDIGCGSGLSGETITENGHQWIGLDISESMLNVALQNEVEGDLLLGDMGQGLGLRPGVIDGAISISAVQWLCNADKSSHEPRLRLKAFFGSLYRCLARGARAVLQVYPENIAQRELILSYAMRSGFAGGVVVDYPHSTKKRKEYLVLTCGPPSVSSAVPSGKGEDGESCSDDESSGDEENQTVCISDRHRPRKKQKVNKKGKGREWVLRKKDQMRRKGNVVPPDTKYTARKRKARF >KJB21995 pep chromosome:Graimondii2_0_v6:4:2034801:2038246:-1 gene:B456_004G025800 transcript:KJB21995 gene_biotype:protein_coding transcript_biotype:protein_coding description:RID2 [Source:Projected from Arabidopsis thaliana (AT5G57280) UniProtKB/TrEMBL;Acc:A0A178UNY0] MSSRPELQAPPEIFYDDVEARKYTSSSRIAEIQTKLSERALELLALPDDGVPRLLLDIGCGSGLSGETITENGHQWIGLDISESMLNVALQNEVEGDLLLGDMGQGLGLRPGVIDGAISISAVQWLCNADKSSHEPRLRLKCLARGARAVLQVYPENIAQRELILSYAMRSGFAGGVVVDYPHSTKKRKEYLVLTCGPPSVSSAVPSGKGEDGESCSDDESSGDEENQTVCISDRHRPRKKQKVNKKGKGREWVLRKKDQMRRKGNVVPPDTKYTARKRKARF >KJB23517 pep chromosome:Graimondii2_0_v6:4:18922045:18923759:1 gene:B456_004G102000 transcript:KJB23517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDTTNVKGLTGRWGMAAKTCDTCKSAAAAIFCRSDSAFMCLNCDSRIHSANDKLVSCRHERVWMCEVCEQAPAAVTCKADAAALCVACDSDIHSANPLARRHERVPVQPFFDSADSIVKSSPFSFLVPTDPNTGSNCQQEDVETGSWLLPNPKLTMETNQVKTGDFFFSDMDPFIDFEYQDSFQQHDGAMDSVVPVQTKPATISMINNENCFDVDFCRSKFPTFSYQTKSQSHSVSSSSLEVGVVPDGNSVSDISYTLGRTMGDPSAPIWAATANNQAPPQAQVDGMDREARVLRYREKRKNRKFEKTIRYASRKAYAESRPRIKGRFAKRSETDNEVDHMYNSASSAATAAAFMYDNQYGIVPSF >KJB23518 pep chromosome:Graimondii2_0_v6:4:18921948:18923796:1 gene:B456_004G102000 transcript:KJB23518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDTTNVKGLTGRWGMAAKTCDTCKSAAAAIFCRSDSAFMCLNCDSRIHSANDKLVSCRHERVWMCEVCEQAPAAVTCKADAAALCVACDSDIHSANPLARRHERVPVQPFFDSADSIVKSSPFSFLVPTDPNTGSNCQQEDVETGSWLLPNPKLTMETNQVKTGDFFFSDMDPFIDFEYQDSFQQHDGAMDSVVPVQTKPATISMINNENCFDVDFCRSKFPTFSYQTKSQSHSVSSSSLEVGVVPDGNSVSDISYTLGRTMGDPSAPIWAATANNQAPPQAQVDGMDREARVLRYREKRKNRKFEKTIRYASRKAYAESRPRIKGRFAKRSETDNEVDHMYNSASSAATAAAFMYDNQYGIVPSF >KJB21531 pep chromosome:Graimondii2_0_v6:4:18523:18931:-1 gene:B456_004G0003002 transcript:KJB21531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMDSLTKISFNRCCIRGGDLIIVYERHDTMKVVKIVRQFGSSKSFGCI >KJB25343 pep chromosome:Graimondii2_0_v6:4:50105489:50105926:-1 gene:B456_004G186800 transcript:KJB25343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVGKMGDVGQCKKVPSDVRYQMQENLVEDHKRVSETVREVSNPSGRSAHQFEGDVLEEQDVRILGEGNSMTSKKKRHATIKIALQSKEKYQADMTVARFFYDSCISMNASQPYYYQPMVDAIALSCIANQSIRRLKKGITVAC >KJB26722 pep chromosome:Graimondii2_0_v6:4:59308470:59311898:1 gene:B456_004G256600 transcript:KJB26722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLEIICGIIIYQLFRRFFCGGNDVLDVETSDFNAIFSVANRLEKLCGGKVYVGLNIPDADTGSRKSIDIVLVTKGEAAVISVKNVSGFVSINDDGSWTSEGGRSHRKEHIPDPVAEAKNTASVLESYLEQRGVTLPEGYFSYKVIIPNPNFREIYRKFPPEVITYDQWVQLEPEPKGMISGWVKGAFRGGKKEMQDSFHQQLNFILSTAPMWDRLELKGSKHVLGEFLEFKGKQEDTLALRNIKRSKVGHMAVQKTSMLGLAHSKLQVVYYPRDYRSEGVSASEWREVEVRSSTEVIFHPQNSTKVRKYKLSSISSMSLSA >KJB23986 pep chromosome:Graimondii2_0_v6:4:32101871:32103004:1 gene:B456_004G123600 transcript:KJB23986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFSAIDFFYVAFIATLLVLSVFSICFILLLRFKSRSSRHLQNFNSLWTVRFLFVIFIILWSLTEVLRLPSFRRFYLYPLFPRLTLPQQANFCKLHLVLSLGFFEPGFLIILLFLLDVSVKKTTHKSFFSVFYVFASCLPLFLLQVYFVFLGGFKVRLPQPFHRTWFIPDMDHGNEALVFCDYPLLSTILFGLFGVIFILCFLLSFWKVVSQVINKALKLRIFALALTILIGLPLQVLLMGMSAFWRPDKPTYNGIAVTVFLITFTFAAVGEGILVIKPIADSLAAAVALVEQQPLRENGQGDDESSSPPPPAEDGLTATKCDA >KJB25981 pep chromosome:Graimondii2_0_v6:4:55416037:55429030:1 gene:B456_004G219700 transcript:KJB25981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-16 [Source:Projected from Arabidopsis thaliana (AT3G58160) UniProtKB/Swiss-Prot;Acc:Q9M2K0] MPQEITVGSHVWVEDQELAWVDGVVTSVNGNEAEVETINGNQVTTKLSKLYPKDMEAPDTGVDDMTKLSYLHEPAVLHNLATRYGIKEIYVRSTSFLTYCGNILIAINPFQAISHLYDTELMDSYKGAQLGDRSPHVFAITDVAYRAMNNEGKSNSILVSGESGAGKTETTKMIMRYLAYLGGHAAAEARTVERKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDACGQISGAAIRTYLLEKSRVCQISEPERNYHCFYLLCAAPPKEIEKYKLGDPKSFHYLNQSSCYELVGVDDSHDYLATKKAMDIVGISVEEQDAIFRIVAAILHLGNIAFALEGEDSSVLEDDKAKFHLQVAAELLMCDLEALETALCKRNMVTPEEVIKRSLDPLGAAISRDGLAKTIYARLFDWLVKKINVSIGQDPDSKCLIGVLDIYGFESFKTSSFEQFCINFTNEKLQQHFNQHVFKMEQSVYQEEEIDWSYIDFVDNQDVLDLIEKKPGGIISLLDETCMFPKSTHETFAQKLYQTFKDHKRFVKPKLARTEFTIVHYAGEVQYQCDQFLDKNKDYIVPEHQELLSTSKCSFIASLFPSLNAETPKSGKFSSIGSRFKVKSYVLASLLQLQLQQLMDILNSTEPHYIRCIKPNSDLRPEIFENVSVLQQLRSGGVLEAIRVKCEGYPTNRIFSEFLERFSILVPEVLKENVEENVACKSIMEKVGLSNYQIGKTKIFLRAGQMAELDGRKAKLLGESAKVIQKQVRSRIARKRYVRIQTASICIQTVLRGEKRTAAAVKIQKSARRKSASRKYTNIKSSAIVVQTGIRAMVARNEFRSKMQNHSATIKAATEEEEKDSGKEEKDIGKEEKDNGKEEKDIGKEEKDVGKEEKDVGKEEKDSGKEVEEPEDKEPEKQPTVEVQEKEELPDPPVAVLEQNKPDKTDVSPDKEQEVTEESNEPYHIVEEISSPIQDVLTAEELPSEVEQLKVLLIGEKKRADEYQKKHAEAQELSEQRRKKLEETEKKVHQLQESLNRLLFSMSEQFSQLKTILQTPSSSKPASPPIARVDYFDNSDNSDASSTGSDFAFPASGRDSANNSCPRPKAPQVHVKDATATEITGTADSDKEGAFDDYF >KJB23274 pep chromosome:Graimondii2_0_v6:4:12007730:12013346:1 gene:B456_004G089200 transcript:KJB23274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSATWFTSLSCSSSVIQSPEDTSIPIIIQWLRFIFLTPCPQRALFSAVDLLFLLTLFFFVVVNKLYSRFLGNSHGSSDMNKPLIIANRVHSSTTTWFKLSVIVTVVLAVCYTIVCVLAYNSSNPEPWKQINGTFWLIQAITHAVIAVLIICEKRFHVVNHPVFLRIYWTANFIIVSLFTVTGIIRVVQTNQDWYLRLDDIVSFASFPLSVLLLVVAISGSTGISVTGESEPAMDEETKFNEPLLSKSNVTGFASAPVVSKAFWLWLNPLLKKGYKSPLKMDEVPTLSPEHRAETMSKLFEASWPNPQEKSEHPVRTALLRCFWKELAFTAFLAIVRLSVTYVGPVLIQSFVDYTAGKRNSPYEGYYLTLVLLAAKFLEVLTGHQYNFNSQKLGMLIRCTLITSLYKKGLRLTCSARQAHGVGQIVNYMVVDAQQLSDMMLQLHSIWLTPLQVIVALVLLYGYLGASVVTSMLGLLGIFIFVVIGTRRNNHFQFNVMENRDSRMKAMNEMLNYMRVIKLQAWEEHFNERIRSFRETEFGWLSKFLYSISGNIIVMWSTPLLIATLTFGTALILGVRLDAGVVFTAITIFRVLQEPIRTFPQSMISLSQAMISLGRLDSYMLSKELDDSSVERQEDRHGPIAVEVKDAAFSWDDETGEMVLKNINLEVKKGELTSIVGTVGSGKSSLLDSILGEMHKISGKVKLCGTTAYVAQTSWIQNGTIEENILFGLPMNREKYREVTRVCCLEKDLDMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDRDIYLLDDIFSAVDAHTGTDIFKECLRGALKEKTILLVTHQVDFLHNVDLILVMRDGMIIQSGKYNDLLDSSTDFGALVAAHETAMELVEAGNTMPAEGSSKISKSNQVVSSIGEADGENKSQDHPKSDKGDSKLIKEEERETGKVSLQVYKMYCTEAFGWWGVAAVLLLSLSWQASLMAGDYWLSYETSPEHAVSFNPSLFISVYAIMAVVSIVLLVIRSFFVTLMGLKTSQIFFRQILQSILHAPISFYDTTPSGRILSRVSSDQTNIDIFVPFIMGITISMYIALLSIFIITCQYAWPSVFLIIPLGWLNYWYRGYYLASSRELTRLDSITKAPVIHHFSESISGVMTIRAFRKEDSFCQENVDRVNSNLRMDFHNNGSNEWLGFRLELIGTVVLCLSTMCMILLPSSIVRPENVGLSLSYGLSLNGVLFWAIFLSCFVENRMVSVERIKQFSSIQPEAAWHIEDRLPPPNWPTHGNVELKDLQVRYRPNTPLVLKGITLSIKGGEKIGVVGRTGSGKSTLIQVLFRLVEPTAGKIIIDCRDICMLGLHDIRSRFGIIPQEPVLFEGTVRSNIDPVGQFSDEEIWKSLERCQLKDVVASKPDKLDSLVVDNGDNWSVGQRQLLCLGRVILKHSKLLFMDEATASVDSQTDAVIQKIIREEFAACTIISIAHRIPTVMDCDRVLVMDAGRAKEFEPPSRLLERPTLFAALVQEYADRSAGL >KJB25420 pep chromosome:Graimondii2_0_v6:4:50663278:50664477:1 gene:B456_004G190400 transcript:KJB25420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGPSRRPCFIEEDDGLASLVDMEAGYSGTHYQSCNQNGFFSRPLLCYSRRSSLRNLASSSSSSVFSPRFTRFYDARFEDHHYHQPHFLDACFLCKKPLGGNRDIFIGDTPFCSEECRQEQIDMDEALEKNRNLSSSMKALRKKEQRKSNSPNKAQDYPFYTGTVAAA >KJB25419 pep chromosome:Graimondii2_0_v6:4:50663192:50664477:1 gene:B456_004G190400 transcript:KJB25419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGPSRRPCFIEEDDGLASLVDMEAGYSGTHYQSCNQNGFFSRPLLCYSRRSSLRNLASSSSSSVFSPRFTRFYDARFEDHHYHQPHFLDACFLCKKPLGGNRDIFMYRGDTPFCSEECRQEQIDMDEALEKNRNLSSSMKALRKKEQRKSNSPNKAQDYPFYTGTVAAA >KJB26983 pep chromosome:Graimondii2_0_v6:4:60480534:60482571:-1 gene:B456_004G269800 transcript:KJB26983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACVNNLTVVSPETNFHPTPPYSPYVSPPEIQDTAAGGDFEFRLEEDPVTMLPADELFSDGKLVPLHFSAPKHPPPANVSSLETPKSRRRTEPEVSGKAPKGSSKWREILGLRKSTQQNNTNQNPKSLKQFLKKSSSSTAESSLSLPLLKDNSDNHDSVPRLSLSSSSSTHEDLPRLSLDSDNNNKPSFSPNPFAPSRIRMVKPKPNSGSDTINNNQTPVVTSAGGNRTSDSPRMNSSGKIMFQSLERSSSSPSTFNGGRPKLKQRGIERSYSANVRVSPVLNVFGFFSSSSPQKNTNGGRNKTK >KJB24805 pep chromosome:Graimondii2_0_v6:4:45194472:45196894:1 gene:B456_004G161500 transcript:KJB24805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRSVPVHVSIIVSCNAHTSYEMGLLTRAVGQNIRLHDVACIRFDNWTLPRVPTNQVYKKTTFEDLNVFCSYIIKTKERSLPIQKEYETIQLLDKVVINKLKEQKYKYVNFRLVQVGTIETSLYIGQIHFNCYPNFMVSLTNKNILQPLTLQIHTHNYKMLPGSEFHFKALLQSPKGETLLIEIDTARSHTTIRITKQWHGINLHDRWKLKGATDLVVPTPIRNTSLSEIGRTNTTFKRLNLKEESNPETQTTNFKTTRAFVFSIPTTFRTNLQGTDNSSNIAQLIYAR >KJB26446 pep chromosome:Graimondii2_0_v6:4:57996416:57998330:-1 gene:B456_004G242000 transcript:KJB26446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQGVSSGEGGNDFFENLTTLDLNNEFPRSERTTGETYVPDPMGPTNPGDMLSSPTEENAEAVEKNSPAMIFLPSQSTREVLNEMIDATNNGVALTGAAATGSMGPLIGKVEIGELKDSYYFRVSLPGVSMDKREFNCVIRADGKVTIQGILTTGEQIVSNESGVFRMLTQNLGPPGPFTVSFRLPGPVNNQEVKSHLACGFLEAIVKKM >KJB26448 pep chromosome:Graimondii2_0_v6:4:57996658:57997433:-1 gene:B456_004G242000 transcript:KJB26448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTNPGDMLSSPTEENAEAVEKNSPAMIFLPSQSTREVLNEMIDATNNGVALTGAAATGSMGPLIGKVEIGELKDSYYFRVSLPGVSMDKREFNCVIRADGKVTIQGILTTGEQIVSNESGVFRMLTQNLGPPGPFTVSFRLPGPVNNQEVKSHLACGFLEAIVKKM >KJB26447 pep chromosome:Graimondii2_0_v6:4:57996416:58000875:-1 gene:B456_004G242000 transcript:KJB26447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPMRSERTTGETYVPDPMGPTNPGDMLSSPTEENAEAVEKNSPAMIFLPSQSTREVLNEMIDATNNGVALTGAAATGSMGPLIGKVEIGELKDSYYFRVSLPGVSMDKREFNCVIRADGKVTIQGILTTGEQIVSNESGVFRMLTQNLGPPGPFTVSFRLPGPVNNQEVKSHLACGFLEAIVKKM >KJB22553 pep chromosome:Graimondii2_0_v6:4:5111533:5114462:1 gene:B456_004G053900 transcript:KJB22553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKKRIFPGISLGGSPKNEDKSIWQATMPGPLSSPYEGGTFELSIHLPPDYPLKPPKVAFRTKIYHPNIDSDGGSIGIDILKDKNWLPGRTIKEVLLAIYLILGDPQLDNPLEENTSNMVKTVARKWTQMYAMGPAYKRISEELKSLEKSNPSYANAGLVDGDMFHWQAAILDLRGTPYNGGMFQVDIHFSRQYPNEPPKVVFRTKIFHPNIDENGSIGLDILKDRWSKDLSISQVLHSIRSLLKNPNPDAPLVPWIAHMCKTNCSEYDTTARSWTLKYAKG >KJB21858 pep chromosome:Graimondii2_0_v6:4:1346691:1351198:-1 gene:B456_004G018500 transcript:KJB21858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYALSDSSGTDDDLPPSHQNRFQRGGRTAAGNGRSAVAGSTPLPRMHGDMETQIHLIEQEAYCSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNAEDIIRRIREWRTMSGLQPGMLSTSQPLHDPLPSPSVSGSRKKQKTSQSVASLSMGAPSPALHPSMQPSSSALRRGPPPGAKSKKSKSSTQYPSTGLPGRPQPPNRTSSGAFATNEPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNAAEGRHALVYDINTADETWEWVNLKEISPEDIKWEGEDPGISRRGGRPGQGHGVKKSMSRGGGVAGAGRGRGSLKGQAKKDFPSKQNGVGKKVLGDIEILHTDTLIKEVEKVFGASHPDPIEIEKAKKVLKEHEQALVDAIARLEEASDDESGNN >KJB21856 pep chromosome:Graimondii2_0_v6:4:1345842:1351198:-1 gene:B456_004G018500 transcript:KJB21856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLQPGMLSTSQPLHDPLPSPSVSGSRKKQKTSQSVASLSMGAPSPALHPSMQPSSSALRRGPPPGAKSKKSKSSTQYPSTGLPGRPQPPNRTSSGAFATNEPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNAAEGRHALVYDINTADETWEWVNLKEISPEDIKWEGEDPGISRRGGRPGQGHGVKKSMSRGGGVAGAGRGRGSLKGQAKKDFPSKQNGVGKKVLGDIEILHTDTLIKEVEKVFGASHPDPIEIEKAKKVLKEHEQALVDAIARLEEASDDESDGEHRFSQGQSMDQERAWRKRQYDEMGEGRMIEGSDGNK >KJB21854 pep chromosome:Graimondii2_0_v6:4:1345627:1351222:-1 gene:B456_004G018500 transcript:KJB21854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYALSDSSGTDDDLPPSHQNRFQRGGRTAAGNGRSAVAGSTPLPRMHGDMETQIHLIEQEAYCSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNAEDIIRRIREWRTMSGLQPGMLSTSQPLHDPLPSPSVSGSRKKQKTSQSVASLSMGAPSPALHPSMQPSSSALRRGPPPGAKSKKSKSSTQYPSTGLPGRPQPPNRTSSGAFATNEPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNAAEGRHALVYDINTADETWEWVNLKEISPEDIKWEGEDPGISRRGGRPGQGHGVKKSMSRGGGVAGAGRGRGSLKGQAKKDFPSKQNGVGKKVLGDIEILHTDTLIKEVEKVFGASHPDPIEIEKAKKVLKEHEQALVDAIARLEEASDDESDGEHRFSQGQSMDQERAWRKRQYDEMGEGRMIEGSDGNK >KJB21855 pep chromosome:Graimondii2_0_v6:4:1345627:1351315:-1 gene:B456_004G018500 transcript:KJB21855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYALSDSSGTDDDLPPSHQNRFQRGGRTAAGNGRSAVAGSTPLPRMHGDMETQIHLIEQEAYCSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNAEDIIRRIREWRTMSGLQPGMLSTSQPLHDPLPSPSVSGSRKKQKTSQSVASLSMGAPSPALHPSMQPSSSALRRGPPPGAKSKKSKSSTQYPSTGLPGRPQPPNRTSSGAFATNEPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNAAEGRHALVYDINTADETWEWVNLKEISPEDIKWEGEDPGISRRGGRPGQGHGVKKSMSRGGGVAGAGRGRGSLKGQAKKDFPSKQNGVGKKVLGDIEILHTDTLIKEVEKVFGASHPDPIEIEKAKKVLKEHEQALVDAIARLEEASDDESDGEHRFSQGQSMDQERAWRKRQYDEMGEGRMIEGSDGNK >KJB21857 pep chromosome:Graimondii2_0_v6:4:1346754:1351198:-1 gene:B456_004G018500 transcript:KJB21857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYALSDSSGTDDDLPPSHQNRFQRGGRTAAGNGRSAVAGSTPLPRMHGDMETQIHLIEQEAYCSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNAEDIIRRIREWRTMSGLQPGMLSTSQPLHDPLPSPSVSGSRKKQKTSQSVASLSMGAPSPALHPSMQPSSSALRRGPPPGAKSKKSKSSTQYPSTGLPGRPQPPNRTSSGAFATNEPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNAAEGRHALVYDINTADETWEWVNLKEISPEDIKWEGEDPGISRRGGRPGQGHGVKKSMSRGGGVAGAGRGRGSLKGQAKKDFPSKQNGVGKKVLGDIEILHTDTLIKEVEKVFGASHPDPIEIEKAKKVLKVSIAVVLFCSTLSYCSNRDGYLSL >KJB21859 pep chromosome:Graimondii2_0_v6:4:1346842:1349809:-1 gene:B456_004G018500 transcript:KJB21859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYALSDSSGTDDDLPPSHQNRFQRGGRTAAGNGRSAVAGSTPLPRMHGDMETQIHLIEQEAYCSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNAEDIIRRIREWRTMSGLQPGMLSTSQPLHDPLPSPSVSGSRKKQKTSQSVASLSMGAPSPALHPSMQPSSSALRRGPPPGAKSKKSKSSTQYPSTGLPGRPQPPNRTSSGAFATNEPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNAAEGRHALVYDINTADETWEWVNLKEISPEDIKWEGEDPGISRRGGRPGQGHGVKKSMSRGGGVAGAGRGRGSLKGQAKKDFPSKQNGVGKKVLGDIEILHTDTLIKEVEKVFGASHPDPIEIEKAKKVLKVSIAVVLFCSTLSYCSNRDGYLSL >KJB24881 pep chromosome:Graimondii2_0_v6:4:46258709:46262392:1 gene:B456_004G166200 transcript:KJB24881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLNPFDLLGDDDTGELSLLIAAQQKAVAATATAAAPKKGPAKSQAKTQPATQAKLPSKPLPPAQAGEEAKSEGARGGGRGGRGYGRGRGGSGSGGYRRDFANDENLFSNNAVPEDGESGKPSERRGYGGPRPYRGGRRGGFSNGEDADGERPRRLYERRSGTGRGNELKREGSGRGNWGTQTDELAQVTEEVANEGERNLGDEKPAGEEDARDANKESASNEPEEKEPEDKEMTLEEYEKVLEEKRKALQALKIEERKVDAKEFESMQQLSNKKSNDEVFIKLGSDKDKRKEAYDREERAKKSVSINEFLKPAEGERYYNPSGRGRGRGRGSRGFGGGNAARDVAAPSIEDPGHFPILGGK >KJB24880 pep chromosome:Graimondii2_0_v6:4:46258619:46262392:1 gene:B456_004G166200 transcript:KJB24880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLNPFDLLGDDDTGELSLLIAAQQKAVAATATAAAPKKGPAKSQAKTQPATQAKLPSKPLPPAQAVREAKSEGARGGGRGGRGYGRGRGGSGSGGYRRDFANDENLFSNNAVPEDGESGKPSERRGYGGPRPYRGGRRGGFSNGEDADGERPRRLYERRSGTGRGNELKREGSGRGNWGTQTDELAQVTEEVANEGERNLGDEKPAGEEDARDANKESASNEPEEKEPEDKEMTLEEYEKVLEEKRKALQALKIEERKVDAKEFESMQQLSNKKSNDEVFIKLGSDKDKRKEAYDREERAKKSVSINEFLKPAEGERYYNPSGRGRGRGRGSRGFGGGNAARDVAAPSIEDPGHFPILGGK >KJB24464 pep chromosome:Graimondii2_0_v6:4:41352063:41354605:1 gene:B456_004G146700 transcript:KJB24464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSCAVCAETLEWVAYGACGHRDVCSNCVARLRFICNNRRCCICKTESDVIFVTKSLGDYTSLVNDFSVLPYDVREGRMGSYWYHEDTQAFFDDVDHYKMIKAMCKLSCTVCDKMEDRSNDGMKRRVQFRNIEQLKNHLIHRHRRAMCSLCLAGRKVFICEQKLYTRAQLNQHINTGNSEVDGTESERGGFMGHPKCVFCNTTFYGESELYSHMSIEHYTCHICQRRHPGHNKYYKNYDDLEIHFRLDHYLCEDEVCLAKKFIVFKSVADLKRHNTSEHGGRMFRARCNTALQIPTSSQHHRNNEDNRYGRGRTVRGQPSDNDYQLSMAIEASLRTANVPSASSTVQVASAHGDTNDVHPFESLSTTDPESSSRYLQALGAGSRGAPFQASSFPPLPMVPSTIRQKSKQGSEDNTKQAHLPCQKNKNEKSFSLVQEWAMQASSSSSQITKTTNIAAVASPVTGKGVAELSYTSSSHAQAQTQSTTADILTESGSGMSSGNVSMISHSSSAPNHANGGNPESEPLVSGFPPVCGAQRHKHSSSSRVLRIVEETFGLAHLFQKQTQLTDAYNANLEKSNVLQLKGGADGSVKLTYNN >KJB21968 pep chromosome:Graimondii2_0_v6:4:1809144:1817284:-1 gene:B456_004G023600 transcript:KJB21968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKRVKFELVLIVMAMAMGVLVLSPSLAEGAFGIQLNPCTLDQCIAACKKILHEKFLSATCASGPQGKYCICLG >KJB22666 pep chromosome:Graimondii2_0_v6:4:5808443:5813008:-1 gene:B456_004G059900 transcript:KJB22666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETAGCCGLLQACCHRHDPQKYIIQGEFEIDRSPWFSLCASGLSVAVRLYSQNMDPTTRKGIESENAYIMGKELERKKHEVLKVPTKVIKFKLKFEVTQDFGIPRAFVVENRDKKHEFFLKSVTLHYIKHPNLEEQKFHFYCSSWVYPITKTGFKRIFFSDQLYLPKKTPEGLVELRKKELQKLQAPRPKGKWNPWDRIYDYDVYNNLGDPENGRLYDRPVLGGSTKFPYPRRLKTGHPNCQHDHSRESGPASCFQFYVPPDERMSYEKLQELKNNFVEALIRFLAPESTLPHLWDRECPDFVNRVAHFFVPKAAISKKDVRFIRSIIDFCRTLKLPSSHGGPSRSDPSEEQDIFEDIISFYADKEVEELDNSDKQRLEKLVPKEILNQVVATLALKRHDVSAQLPSIIAEDKFAWAEDKEFGRQMLAGANPVRIRKWKWQEADVSLLREIIEKMWEYDSESPKHGVLKEMKWNKQNWEALFKEKFNQPQWQDFYKALVNDRVFILDHQYLEKFLTMINGKGVCAYATRTILIAPDSLPVTLQPVGIELSLPGDSPRLVLPQDTLLWEFAKFHVASNDTAYHQLVSHWLQTHAVVEPFIIATRRQLSVMHPIHRLLDPHFKDTLHINALARAIFLNAGGILETLLFTGEYSMELSSHLYKEWRFDKQALPEDLLERGMAKPGVRDEVVSGPMEHVESDKSRNKAATGAEQKKFEVDAEVELVLEDYPYAKDGIEIWTAIETWVTEYCNVFYLNDNDVKEDEEIQEWWNEIKTRGHKDRTEGWYDINTFESLVKALTTLIWITSGLHAAVNFGQYGYGGWPPNRPMLLRKFLPRDGELGEMSYMEIMKFMEEMLPDKFQMKLAIAVMDLLSRHTSDEVNLGQTSPQKEDHKSIIQKKFEEFTKNLKAIERNIKERNKEYLLMNRWGDAKIPYKLLYPDTSKSMPPTSKEKRKHHPEKADINEMGIPNSISI >KJB23127 pep chromosome:Graimondii2_0_v6:4:10199575:10199829:1 gene:B456_004G082700 transcript:KJB23127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYIFAKRKGIDITNLIRTARFLSEACDLVFDTTSKGKQFLIVGAKNKAADSMAWAAIKARCHYVNKKWLGGMLTNWP >KJB26204 pep chromosome:Graimondii2_0_v6:4:56470739:56471524:-1 gene:B456_004G229600 transcript:KJB26204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAYITDDSARKATYKKRTKGLVKKLSELSTLCDIDACSIMYNPYESQLEVWPSPMEVQQVLSKLETIPEMEKSKNMLNQESFLSQKTTKASEQLKKHCKENWEKEMTQVMFNTICGKGVIHGLNFEALSVINLLLDKKMSDIDKRIDALSRTPLNPQWVSSSSSSSLVAVPPMMMVAPEAMPRTGTEDIVQEDVNEMDQIQRQQWIMELMSNNNNNNPQTHVGGDGMMYQFGDNINSNNGL >KJB24950 pep chromosome:Graimondii2_0_v6:4:46908270:46910375:1 gene:B456_004G1697002 transcript:KJB24950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCGTAVVVENIISSLLETLSEEVVSPMLLEFARKEKLHNHFNNWQTILLKIQAVLEDAEDRQFTDRFVKIWLNELKDLAYDIEDVLDDFSTVALRQKSKEESQTIIGKIRKYVYSFFDQFTFKYSLAAQIKEITARMQKVVKQKDDLGLIERIGGSRNRGQRRIASTSLVNKSLVFGRESDKDFIINKLLFGEEESCEGGVRVIPIVGMGGLGKTTLAQLVYNDDRVKTFFKLRAWVCVSEEFDIVRVMKTLLESLTSMASDKNDLNELQVEVKKKLSKNRFLIVLDDVWNENYNDWMALRSPFEAGSPESKIIVTTRNQPVASTMGTVPAFQLKEMSYDHCLSLFAQHALGSTNFDNHPNLKVVGEAIVKRCKGLPLAVKTLAGLLRCKTGYHEWENILNSKIWDLPEKNCDILPALKLSYYYLPSHLKQCFAYCSLFPKDYEFQKDEVVHLWIAVGFIHQPKGMKEVEDLGSEYFHDLLSRSFFQQSSVSKSCYMMHDLINDLAQYVAGEVCFRLEDKVNSNGQYNVSERVRHSSFIRQKYDVFRKFEPFYKTKCLRTFLALPVFVPDLEVECYLTNKVFQDLLAKLGCLRVLSLTGYCISELPDCIGDLSHLRYLNLSRTKIIYLPESLCALCHLQTLDLSHCKNLTKLPQGMGNLISLHYLNI >KJB23884 pep chromosome:Graimondii2_0_v6:4:29497672:29500748:-1 gene:B456_004G120100 transcript:KJB23884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPVKSGRNCFLIFNLASICESLTSGPSHATDITREGAHGFMRTHKWRDSIGAFINVEASGTGGPGSWPSSVYAQSAIYPMAHSVAQGRELIIAEKINYLVFMINAFQMGVGEYDQRENIRYGDSQPRSGFLGTQHFAQPNMTRTSKPTC >KJB24951 pep chromosome:Graimondii2_0_v6:4:46911911:46912616:-1 gene:B456_004G169800 transcript:KJB24951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYPYYLGSPRICRWTRFGGIVKSSGKETISLSKSPTIKSLREVSLCRCHIARRNLSCLQPNIVSDSRFGGKPPSGKVSIHGQSDTVRCCRVVRSCIRLGRDLRFSHSNINSALRLWMGNPLSGKYSKEEH >KJB24949 pep chromosome:Graimondii2_0_v6:4:46918925:46922501:1 gene:B456_004G1697001 transcript:KJB24949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHIGKLINLKKLSKFIVAKGNGRRITELKGLSHLQGQLSLFDLQNVAEIRDVRVANLKEKRGLDDLVMKWSSAPNDIRSEVDEFEVLDMLEPHQNLKKLSIFFYEGSKFPSWIGDPSFVNMVYLNLCDCSKITSLPSLGRLPLLKDLHIERMCGVSLVDSEFYGATPYSDKLFPSLETLTFGEMSKWEKWFQPLEFEAAEKIFPYLHELVLQSCPKLVGDLPNLLTSLIKLSIFECPLLTAPNLSLPSLHFTCLVSLEVLEVEDCGELRSLWQESAGFKNLSCLKRLAVIKCPQLLQLIDEDEDEELPSSLEYIEIEDCSNLVKLPNGMQKLRTLKDLSIKWCPKLLSFPSESFPSLLKNLVILECALLQSLPKGLGHNGSSSITRCHLEHLEILGCQSLRFFPAGELLAALKHLEIWDCNWLDYIPERLLLNSRSLEFIRIGNCKNLRALPHCLSTFEHLTELHVNQCSSLEYFPESGLPIHNLRTLLIFDCENLKSLPNRMHDLTTLQHLTVFGCPCIETFPEGGFPPNLLSLTILDCKQLKSHFAMWHLHKLTSLKDLIVGDFDRDMVSFPEDFTIPPNLVHLQIQSLPKLKSISEGLLDLISLEALDIWNCPNLQCLPEKGLPITLGVLQIRNCPLLEEECRNEKGAYWPIISNLPRVRINYDDIL >KJB25678 pep chromosome:Graimondii2_0_v6:4:53339701:53342665:-1 gene:B456_004G203900 transcript:KJB25678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWHACLDEYEKLVIRMSTPRVVIDNAVCPTATLVKVDSARRHGILLDAVQVLTDLNLSIKKAYISSDGQWFMDVFHVTDLNGNKLTDESVISYIEQSLETTDPDRSHGFDGLTALELTGTDRVGLLSEVFAVLADLQCNVVDAKVWTHNGRIASLIYVKDCNSGSPIEDSQQIDRIEARLRNVLKGDNDIRSAKTSVSMAVTHTERRLHQMMFADRDYDRKPILQHKVDLPVVTVQNWVERGYSVVNVQCKDRTKLLFDVVCTLTDMQYVVFHATINTTGDKAYQEFYIRHSDGTPISSEPERQRVIQCLQAAVERRAYEGVRLELCTVDRQALLADVTRTFRENGLNVTRAEISTTRDMALNVFYVTDAIGNLADPKTIEAVRQKIGLGKLKVKELPLVYQEKVEREEQAVGVGGTVLLSLGSIVRRNLYNLGLIKSYS >KJB21624 pep chromosome:Graimondii2_0_v6:4:318851:321797:1 gene:B456_004G004900 transcript:KJB21624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLAYIALDYEQELETSKTSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KJB21975 pep chromosome:Graimondii2_0_v6:4:1851453:1855260:-1 gene:B456_004G024100 transcript:KJB21975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein APEM9 [Source:Projected from Arabidopsis thaliana (AT3G10572) UniProtKB/Swiss-Prot;Acc:Q8W4B2] MADAIWAEIERSESFLVCSMYEEAASLASSIIKQRGSPNVSIDDDSEFDLYEAMEAAGMVLVQSLKQLSRTSTILNELKTLFVSIESIPVQVLLTGVCFQISEASALGAKEFLEEFLSKWRYVDEQCYVLASAETSSNFKGGSDSYSVLGVDKYIEVVELYAVMLLGTVSSDVDLAISWVEQTALPEKNRQDLLRRLHSLYSTKVTHLPQGSSSHLPLANHESHSSNKGSNVPEGTPKGLKTSSLLNGERDSKQANLKLYGQSHGFLSWFSNITLKFGNHRVVISNGKILIGCLVLLVYYILRRRGISLQRIVKRQALFVKKCLVDLWELAFSYQVNPLAAIQPLSAAT >KJB21828 pep chromosome:Graimondii2_0_v6:4:1165053:1168784:-1 gene:B456_004G016400 transcript:KJB21828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLKASPTIVYSPYIPTTTRNKTQFSKPIFHNLNLQLPCFTRNGSKSRLFTTLSSSPISTTESIEPPMPEVEAEVGKERFDWYSQWYPVMPVCDLDKRVPHGKKVLGLDLVVWWDKNENEWKVFDDTCPHRLAPLSEGRIDQWGRLQCVYHGWCFGGNGDCKIIPQAPLDGPPVHTFKKACVAVYPSVVQHDIVWVWPNADPQYKDIITKKKPPYIPVLDDPSFSKLMGNRDIPYGYEVLVENLMDPAHVPYAHYGIMRTRTPTVKVDREGGRPLDMKVKKLDINGFLGKQDWGSSNFIAPCIFHAFADVEVDQENGSATSVKAPPPNRRLSLIFMCVPVSPGNSRLIWTFPRNFGVWIDKIVPRWIFHIGQNLILDSDLYLLHVEERKIMEIGATNWQKACFVPTKSDALVVGFRRWFNKYAGGEIDWKGKYSGALPPSPPREQLMDRYWSHVVNCKSCNTAHTGLKALEVILQIMSVVLIGIVAVTKQNMISMVVKTTMVSMAIVCFAASKWLAHFIYKNFHYHDYNHAFV >KJB25793 pep chromosome:Graimondii2_0_v6:4:54223487:54224593:-1 gene:B456_004G209700 transcript:KJB25793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSGTTEKCKACDKTVHVIDLLTADGISYHKTCFKCSHCHGVLVMGNYCSMDGVLYCKPHFEQLFKETGTYQNKNAKPASEKSNGTGKAPSKFASFFSGTQDKCGVCKKTAYPLEKVTVEGENYHKSCFRCSHGGCVLTPSTYAALEGFLYCKHHFSQLFKEKGTYAHLTETSASKKSSAAPSPEVRSEAESDSESKPKEDESQPKAEAAEEETEA >KJB21918 pep chromosome:Graimondii2_0_v6:4:1598104:1600013:1 gene:B456_004G021200 transcript:KJB21918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSNDMILDIFRCLSVKDLSRFKCVSKFWCSWIEDQNFIKLHLSYSLKTNTNRSLILRHRRYEIFSVNYDSPKTTRRLEQLEQNKNIQILGSCNGLLAVEEQNGRILLWNPSTRKCQVLPSAKIVFSPPPAFYSCSTYSGFGYDPVSDDYKLVLIVQLLGTYEEYLHSEAKVYSLRSNSWRTIKGFCFYFISERQLGVVANNVLHLLAFKIPESSKRSSVDLDVFAYKNPETSYKHLVGFDLWSEELSLVELPDFCLDGEANVDVKALGGYLCLTATHRDMFVSGDLWIMKEYGVKESWVKLISTTQLDFLPGSPFVVPLAFSKNGNKVLFHKKSCKGDMDRDSLVWYDLGSERVEKVGIEGLPLAYDVYLYVESLVPLNDNVPRKYSRPIALEPREKRMADLEARKKRISTSKSRFVNNSWRKAYFYGKR >KJB27263 pep chromosome:Graimondii2_0_v6:4:61747256:61751005:-1 gene:B456_004G287500 transcript:KJB27263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAASLSGSNIFHRNYRFSRSINRRRYIASGSRYFSNSDLNTQNHSVFKGPNLSNVQIQKRNLRLQMNCALGVCTFPENVMESHHSHIVEEKLGVLLLNLGGPETLNDVQPFLYNLFADPDIIRLPRLLRFLQRPLAKLISVLRAPKSKEGYAAIGGGSPLRKITDEQADALRMALEAKNIRANVYVGMRYWFPFTEEAIEQFSISTTGSSIRVLQRIFKEDAYLSRLPVSVILSWYQRQGYINSMADLIEKELGKFAKPEEVMIFFSAHGVPVSYVEEAGDPYKDQMEECIYLIMQELKARGIGNDHTLAYQSRVGPVQWLKPYTDEVLVEIGQKGVKSLLAVPISFVSEHIETLEEIDMEYKHLALESGIKNWGRVPALGCNSLFITDLADAVVEALPSAKALSASTENDEEAEYDPLRYLVKMFFGSILAFLLLLTPKVVLAFRNSLF >KJB27262 pep chromosome:Graimondii2_0_v6:4:61747227:61751234:-1 gene:B456_004G287500 transcript:KJB27262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAASLSGSNIFHRNYRFSRSINRRRYIASGSRYFSNSDLNTQNHSVFKGPNLSNVQIQKRNLRLQMNCALGVCTFPENVMESHHSHIVEEKLGVLLLNLGGPETLNDVQPFLYNLFADPDIIRLPRLLRFLQRPLAKLISVLRAPKSKEGYAAIGGGSPLRKITDEQADALRMALEAKNIRANVYVGMRYWFPFTEEAIEQIKRDRITKLVVLPLYPQFSISTTGSSIRVLQRIFKEDAYLSRLPVSVILSWYQRQGYINSMADLIEKELGKFAKPEEVMIFFSAHGVPVSYVEEAGDPYKDQMEECIYLIMQELKARGIGNDHTLAYQSRVGPVQWLKPYTDEVLVEIGQKGVKSLLAVPISFVSEHIETLEEIDMEYKHLALESGIKNWGRVPALGCNSLFITDLADAVVEALPSAKALSASTENDEEAEYDPLRYLVKMFFGSILAFLLLLTPKVVLAFRNSLF >KJB22139 pep chromosome:Graimondii2_0_v6:4:2889498:2892742:-1 gene:B456_004G035400 transcript:KJB22139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTSSSPTTAIRGSSSGANAAATAVVVAAGGVGVTMTMRGPCPPTTATATTITTSYHEQQCPPTAAVVYPVASSGRGFLSTNHSCRPILPYHPHPHSHSHPFGNPRPPPPPPPLPYPTHFHPPLKGLPPSLHPKVASSPFSHAETKGYKGVRERTKDDSLVNVRDRKVRISDGASIYSLCRSWLRNGFPDEPQPQYGDIFKSLPQPLPIPVTGSLPKEAEDREEQVEEDKKEDEQSVENLSTEDLLKRHINRAKKVTTRTFETNREIQNKACTSATSPCRTVPK >KJB22138 pep chromosome:Graimondii2_0_v6:4:2889444:2892816:-1 gene:B456_004G035400 transcript:KJB22138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTSSSPTTAIRGSSSGANAAATAVVVAAGGVGVTMTMRGPCPPTTATATTITTSYHEQQCPPTAAVVYPVASSGRGFLSTNHSCRPILPYHPHPHSHSHPFGNPRPPPPPPPLPYPTHFHPPLKGLPPSLHPKVASSPFSHAETKGYKGVRERTKDDSLVNVRDRKVRISDGASIYSLCRSWLRNGFPDEPQPQYGDIFKSLPQPLPIPVTGSLPKEAEDREEQVEEDKKEDEQSVENLSTEDLLKRHINRAKKVRSRLRQERLKRIVRYKTRLALLLPPLVEQFRSDAAAAAAAAALGN >KJB26197 pep chromosome:Graimondii2_0_v6:4:56401941:56406305:1 gene:B456_004G229400 transcript:KJB26197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVAQHRESSSGSNINKHLDNGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCRDKQKTEASRLQTVNRKLNAMNKLLMEENDRLQKQVSQLVNENGYMKQQLHTVNASTNDASCDSVVTTPPHSLKDANNPAGLLSIAEGTLKEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRNVEVFTMFRAGNGGTIELIYTQMFAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGSGAGPNAAAAAQFVRAEMLPSGYLIRSCEGGGSIVHIVDHLNLEAWSVPEVLRPLYESSKVVAQKMTIAALHYIRQIAQETSGEVVYGPGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSIMNCDGAEDVIIAINSNKSLNSTPNAVNALPLLGGVLCAKASMLIQNVSPAVLVRFLREHRSEWADFNVDAYSAVSLKAGSYAYPGMRPTRFTGSQIIMPLGHTIEHEELLEVVRLEGHSFAEDNAFLSRDIHLLQLCSGIDENAVGGCSELLFAPINEMFPDDAPLLPSGFRVIPLDTKSSDTQDSLATNRTLDLTSSLEPGPATNHIAVDAPSCPNIRSVLTIAFQFPFDSNLEDNVANMAHQYVRSVISSVQRIAIAISPSGLIPAVGPKQSPSSPEALTLAHWICRSYR >KJB26196 pep chromosome:Graimondii2_0_v6:4:56401247:56407308:1 gene:B456_004G229400 transcript:KJB26196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVAQHRESSSGSNINKHLDNGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCRDKQKTEASRLQTVNRKLNAMNKLLMEENDRLQKQVSQLVNENGYMKQQLHTVNASTNDASCDSVVTTPPHSLKDANNPAGLLSIAEGTLKEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRNVEVFTMFRAGNGGTIELIYTQMFAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGSGAGPNAAAAAQFVRAEMLPSGYLIRSCEGGGSIVHIVDHLNLEAWSVPEVLRPLYESSKVVAQKMTIAALHYIRQIAQETSGEVVYGPGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSIMNCDGAEDVIIAINSNKSLNSTPNAVNALPLLGGVLCAKASMLIQNVSPAVLVRFLREHRSEWADFNVDAYSAVSLKAGSYAYPGMRPTRFTGSQIIMPLGHTIEHEELLEVVRLEGHSFAEDNAFLSRDIHLLQLCSGIDENAVGGCSELLFAPINEMFPDDAPLLPSGFRVIPLDTKSSDTQDSLATNRTLDLTSSLEPGPATNHIAVDAPSCPNIRSVLTIAFQFPFDSNLEDNVANMAHQYVRSVISSVQRIAIAISPSGLIPAVGPKQSPSSPEALTLAHWICRSYSYHLGAELLRPEPAVGDSLLKNLWQHQDAILCCSLKSLPVMIFANQAGLDMLETTLMALQDITLDKIFDETGRKTLCSDFAKLMQEGLAYLPAGICMSTMGRHVSYEQAVAWKVLEADESTVHCLAFSFVNWSFV >KJB26198 pep chromosome:Graimondii2_0_v6:4:56401804:56407232:1 gene:B456_004G229400 transcript:KJB26198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVAQHRESSSGSNINKHLDNGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCRDKQKTEASRLQTVNRKLNAMNKLLMEENDRLQKQVSQLVNENGYMKQQLHTVNASTNDASCDSVVTTPPHSLKDANNPAGLLSIAEGTLKEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRNVEVFTMFRAGNGGTIELIYTQMFAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGSGAGPNAAAAAQFVRAEMLPSGYLIRSCEGGGSIVHIVDHLNLEAWSVPEVLRPLYESSKVVAQKMTIAALHYIRQIAQETSGEVVYGPGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSIMNCDGAEDVIIAINSNKSLNSTPNAVNALPLLGGVLCAKASMLIQNVSPAVLVRFLREHRSEWADFNVDAYSAVSLKAGSYAYPGMRPTRFTGSQIIMPLGHTIEHEELLEVVRLEGHSFAEDNAFLSRDIHLLQLCSGIDENAVGGCSELLFAPINEMFPDDAPLLPSGFRVIPLDTKSSDTQDSLATNRTLDLTSSLEPGPATNHIAVDAPSCPNIRSVLTIAFQFPFDSNLEDNVANMAHQYVRSVISSVQRIAIAISPSGLIPAVGPKQSPSSPEALTLAHWICRSYSYHLGAELLRPEPAVGDSLLKNLWQHQDAILCCSLKVRLQCYQKI >KJB26195 pep chromosome:Graimondii2_0_v6:4:56401247:56407308:1 gene:B456_004G229400 transcript:KJB26195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVAQHRESSSGSNINKHLDNGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCRDKQKTEASRLQTVNRKLNAMNKLLMEENDRLQKQVSQLVNENGYMKQQLHTVNASTNDASCDSVVTTPPHSLKDANNPAGLLSIAEGTLKEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRNVEVFTMFRAGNGGTIELIYTQMFAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGSGAGPNAAAAAQFVRAEMLPSGYLIRSCEGGGSIVHIVDHLNLEAWSVPEVLRPLYESSKVVAQKMTIAALHYIRQIAQETSGEVVYGPGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSIMNCDGAEDVIIAINSNKSLNSTPNAVNALPLLGGVLCAKASMLIQNVSPAVLVRFLREHRSEWADFNVDAYSAVSLKAGSYAYPGMRPTRFTGSQIIMPLGHTIEHEELLEVVRLEGHSFAEDNAFLSRDIHLLQLCSGIDENAVGGCSELLFAPINEMFPDDAPLLPSGFRVIPLDTKSSDTQDSLATNRTLDLTSSLEPGPATNHIAVDAPSCPNIRSVLTIAFQFPFDSNLEDNVANMAHQYVRSVISSVQRIAIAISPSGLIPAVGPKQSPSSPEALTLAHWICRSYSYHLGAELLRPEPAVGDSLLKNLWQHQDAILCCSLKSLPVMIFANQAGLDMLETTLMALQDITLDKIFDETGRKTLCSDFAKLMQEGLAYLPAGICMSTMGRHVSYEQAVAWKVLEADESTVHCLAFSFVNWSFV >KJB26427 pep chromosome:Graimondii2_0_v6:4:57866058:57867264:1 gene:B456_004G240700 transcript:KJB26427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPAELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >KJB21818 pep chromosome:Graimondii2_0_v6:4:1127924:1134196:1 gene:B456_004G015600 transcript:KJB21818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATTCSSLPLFHLHLQSSSPVLFFPSSSRFNSKKRFHARSTTRFTRVLAVSNTALDSNNGAAAAIGSESEDPSSSYGRRYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGRRGTAKTVMARGLHAVLPPIDVVVGSMANADPTCPEEWEDGLAQRAEYDSNGNVKTQVVRSPFVQIPLGVTEDRLIGSVDVEESVKTGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFKHPCKPLLIATYNPEEGAVREHLLDRIAINLSADLPMNFEDRVAAVGIATQFQEASNKVFKMVEEETEYAKTQIILAREYLKDVTIGREQLKYLVMEALRGGCQGHRAELYAARVAKCLAALEGRERVGVDDLKKAVELVILPRSIVNENPPDQQNQQPPPPPPPPQNEDSGEEQNEEEEQEDESDQENEQQEQLPEEFIFDAEGGLVDEKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRRERDIQKSRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDAAEVLLPPSRSIAMARKRLERLPCGGGSPLAHGLSMVFRVGLNAEKSGDVGRVMIVAITDGRANISLKRSTDPEAAASDAPRPSAQELKDEILEVSGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISATTKEALSALKSS >KJB21817 pep chromosome:Graimondii2_0_v6:4:1127878:1134196:1 gene:B456_004G015600 transcript:KJB21817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATTCSSLPLFHLHLQSSSPVLFFPSSSRFNSKKRFHARSTTRFTRVLAVSNTALDSNNGAAAAIGSESEDPSSSYGRRYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGRRGTAKTVMARGLHAVLPPIDVVVGSMANADPTCPEEWEDGLAQRAEYDSNGNVKTQVVRSPFVQIPLGVTEDRLIGSVDVEESVKTGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFKHPCKPLLIATYNPEEGAVREHLLDRIAINLSADLPMNFEDRVAAVGIATQFQEASNKVFKMVEEETEYAKTQIILAREYLKDVTIGREQLKYLVMEALRGGCQGHRAELYAARVAKCLAALEGRERVGVDDLKKAVELVILPRSIVNENPPDQQNQQPPPPPPPPQNEDSGEEQNEEEEQEDESDQENEQQEQLPEEFIFDAEGGLVDEKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRRERDIQKSRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDAAEVLLPPSRSIAMARKRLERLPCGGGSPLAHGLSMAVRVGLNAEKSGDVGRVMIVAITDGRANISLKRSTDPEAAASDAPRPSAQELKDEILEVSGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISATTKEALSALKSS >KJB24254 pep chromosome:Graimondii2_0_v6:4:37252986:37254344:-1 gene:B456_004G135300 transcript:KJB24254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLVHHVDPKKDEQLKVSKLGAWNQPSVQIKELEAQMTSLEQELELLRTTNRDMEVQIENKAIEAKHLAEQNVGLQSKISEPEMVSQKREKELLTLTKKLEDNENLTVQTNNLLLDMKRLRTQKANMEEYIVFRSDEASTCIKSLMDQLNTLQQELESLHSQKAELELQLERKTRTISDYVTDIEKARSTNNLKLHYRTARQLLKSRKGRCKKWLESTIKNIQSNHQIVADLEQIIEDLKRDFEIKGEDLSTLIENVHTIEVKLSSLKNKK >KJB24303 pep chromosome:Graimondii2_0_v6:4:38497823:38499653:1 gene:B456_004G137800 transcript:KJB24303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHYYSCCSIPAKPTSQTSSNNSIWLPNHLVSIPRHRNNLVPYLSSAFSLGDSISAAATSVGVDGPTTSTNPSRSLPFRVGHGFDLHRLEPGYPLIIGGIDIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDSKWKGAASSVFIKEAEKPVSYTTSKVMLYADINLCYMLSSRSSVVVFCMYSLQENPC >KJB24302 pep chromosome:Graimondii2_0_v6:4:38497638:38500699:1 gene:B456_004G137800 transcript:KJB24302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHYYSCCSIPAKPTSQTSSNNSIWLPNHLVSIPRHRNNLVPYLSSAFSLGDSISAAATSVGVDGPTTSTNPSRSLPFRVGHGFDLHRLEPGYPLIIGGIDIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDSKWKGAASSVFIKEAVRLMHEAGYEIGNLDATLILQRPKLGPHKEAIKSNLSQLLGADPAVVSLKAKTRKKVDSLGENRSIAAHTVVLLMRK >KJB25042 pep chromosome:Graimondii2_0_v6:4:47617599:47619237:1 gene:B456_004G174200 transcript:KJB25042 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMG1 [Source:Projected from Arabidopsis thaliana (AT5G47960) UniProtKB/TrEMBL;Acc:A0A178UQI5] MSSLQRGFDQKIDYVFKVVLIGDSAVGKSQLLSRFTRNEFNIDSKATIGVEFQTKTLLIDHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVAKWLEELRGHADKNIVIMLVGNKSDLASLRAVPIEDAKEFAQRESLFFMETSALEATNVESAFLTVVTEIYRVISKKNLVANDEQEESGGNASLLKGTTIVVPGPQPQSGSGGKSFSCCASSS >KJB27318 pep chromosome:Graimondii2_0_v6:4:61970085:61972708:-1 gene:B456_004G290700 transcript:KJB27318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQHQSHCPACMKKESCRTVRSRTKLMNILIERGKPQEALSIFDCLIEGGHRPSLITYTTLLAALTIQKNFGSIHSIISQVEENGMKPDSIFFNAVINAFSECGNIEEAMETFWKMRESGLKPTTSTYNTLIKGYGIAGKPEESVKLVDLMLQEGNVKPNLRTYNVLVRAWCKKNNMTEAWNAVNKMIAFGMQPDAVTYNTIATAYSQNQETERAEGVSLEMQKNGVQPNERTCGIIIGGYCKEGKIKEALRFVYRMKELGVCPNLVMFNGLIKGFVDIMDRDGVDEVLSLMEESRVKPDVITFSTIMNAWSSAGFMGKCREIFDDMVKAGIQPDIHAYSILAKGYVRAQEPDKAEELLTIMIESEASPNVVIFTTVISGWCSAGRMDQAIKIFYQMCEYGVSPNLKTFETLICGYSEAKQPWKAEELLQVMKEFEVRPEKSTIFLIAEAWRASGLSKEANRILGSVHSKEMKIETTKEIPTESLEKLYHRQTTMPSHSSLLQIPNMVTDDQKWSALSLRKGRMVLRDADPPLDCSWLVTKSKHIPLGCKFRARCPIVCQKQCQQQLGIYGQLTHSCTVVFLN >KJB23930 pep chromosome:Graimondii2_0_v6:4:30684878:30685556:1 gene:B456_004G122000 transcript:KJB23930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETLDEKQSEEEELKDKENKEGSKEELFSYNIGRPPIPCRQLELESNRSQSIMQVVGLKVELCRLLEEKRSTILKLIIYIICSLPLLCHNAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIK >KJB23278 pep chromosome:Graimondii2_0_v6:4:12071001:12073102:1 gene:B456_004G089400 transcript:KJB23278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTEKQAMVVGIDDSDHSTYALQWILDHFFASFASNPPFKLVIVHAKPTASSAVGLAGPGAADVLPYVDADLRKIAARVVEKAKELCLSKSVHDAVVEVVEGDARNVLCDAVEKHHASVLAVGSHGYGAIKRY >KJB23277 pep chromosome:Graimondii2_0_v6:4:12070970:12073150:1 gene:B456_004G089400 transcript:KJB23277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTEKQAMVVGIDDSDHSTYALQWILDHFFASFASNPPFKLVIVHAKPTASSAVGLAGPGAADVLPYVDADLRKIAARVVEKAKELCLSKSVHDAVVEVVEGDARNVLCDAVEKHHASVLAVGSHGYGAIKRAVLGSVSDYCSHHAHCSVMIVKKPKIKH >KJB21660 pep chromosome:Graimondii2_0_v6:4:650887:656790:-1 gene:B456_004G009200 transcript:KJB21660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSALHFLDSKSILVTGAAGFLAKIFVEKILRVQPNVKKFYLLLRAADHKSAIHRLHNEIIGKDLFKVLKEKCGKNFSSFISEKITLIPGDISHEDLGVKDCNLVQEMLNEVDVVVNLAATTNFDERYDVALGLNTFGAKFVANFAKKCVKLKVLVHVSTAYVSGEKTGLILENSYSMGETLNGVSGLDINFEKNVIEQKLNELRLLGASDKDITQAMKDLGIQRARFYGWPNTYVFTKAMGEMLVGEFKANMATIILRPTIITSTFKEPFPGWAEGVRTIDSLAMGYAKGKLTFFLGDVDSVVDLIPADMVVNAIIVAMVAHASNQPSETIYQVGSSMRNPVKYLSLQDFGYRYFSKKPWINKDGKAVIVGKIRVMDSMASFHRYMALRYLLPLKGLEFANTAFCHFFQGVYSDLNRKISFVTRLIDIYRPYLFFDAIFDDINTEKLRMAARSSLAENDMFYFDPKCINWDDYFMNTHIPGIVKYIFK >KJB24104 pep chromosome:Graimondii2_0_v6:4:33930181:33932445:-1 gene:B456_004G128200 transcript:KJB24104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSTNEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKDKKLDAGDIVSFQRGAGEVGKDRFFIDSKRKPDAPTLVSFHPHQHYFSLGRSIPWNPLLMRPPPTGRDHLYLSPVNPINRRNSYYGGYPTGSNVVNPGDTVGSLFYLRSAVGSGAPQMGMTQRQQQGGVVEPIVFDSVPVVQSKAAPKRMRLFGVNMECPMPESDECEMLTTSTLAHATMAPQHPDQPPSSSQHPLQLRLYNGTPLPPTDFLNANKGKASLSFDLDT >KJB24105 pep chromosome:Graimondii2_0_v6:4:33930507:33931763:-1 gene:B456_004G128200 transcript:KJB24105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGSSGREGLCEEEQMGKGKLPFTYSPPSPSSSSSQHKSQLPPLRNPGWEEQPRPIYDNRHHRMTSEWLSYRRVDSDSTLELRTSASAADIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSTNEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKDKKLDAGDIVSFQRGAGEVGKDRFFIDSKRKPDAPTLVSFHPHQHYFSLGRSIPWNPLLMRPPPTGRDHLYLSPVNPINRRNSYYGGYPTGSNVVNPGDTVGSLFYLRSAVGSGAPQMGMTQRQQQGGVVEPIVFDSVPVVQSKAAPKRMRLFGVNMECPMPESDECEMLTTSTLAHATMAPQHPDQPPSSSQHPLQLRLYNGTPLPPTDFLNANKGKASLSFDLDT >KJB25948 pep chromosome:Graimondii2_0_v6:4:55113955:55114566:-1 gene:B456_004G217700 transcript:KJB25948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLPQNLEEYSASSTTVKFGRPLPLLRGPIPAGTSDDPSSGPYILAFKDLPSWAAAYKSCESKIIFQCEEGARIGCAITASNKCKPAWWQSLIGWKSMDLTERERCEDIEMEACLVAAKEKCVGFAKVKCTTPFLDARIAVGEKEIMNKRVERMVHAASLQEESKWAYFIGSDNLGGSKPRVTNSRASQYLVPGSQLQM >KJB22082 pep chromosome:Graimondii2_0_v6:4:3279464:3279781:1 gene:B456_004G039200 transcript:KJB22082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLVISVIVVVTMVQFMAEPGETAVVSCDEVDECLVYCVPYLTSRTGYPSALCCGGLAKLEKIAVTTAEKQTACSCIKQAAAGFPSIKEDVAIRLIQRTANMGK >KJB23448 pep chromosome:Graimondii2_0_v6:4:16628179:16629793:1 gene:B456_004G098600 transcript:KJB23448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAMAWDDHDEANDSSSSDESSSSNPDSEAEDGPPNTKLKNSSQAKSRKQKSAALDFEALKRHGYNGGLSVLNVPPPKEKPDWSWSTGRESSEIREIKESFEDRQKTRAAILDAEELVNVQTRKEKQNVSFSQKEKRKRELGQASRGKNYVEEEKRLLRESGIYSGFDT >KJB24258 pep chromosome:Graimondii2_0_v6:4:45660783:45662450:1 gene:B456_004G164600 transcript:KJB24258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGTAPPRGSAAAAANMRRRRTSSGAGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVMGKLYFVRKE >KJB24256 pep chromosome:Graimondii2_0_v6:4:45660874:45662450:1 gene:B456_004G164600 transcript:KJB24256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGTAPPRGSAAAAANMRRRRTSSGAGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVMGKLYFVRKE >KJB24257 pep chromosome:Graimondii2_0_v6:4:45660881:45662450:1 gene:B456_004G164600 transcript:KJB24257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGTAPPRGSAAAAANMRRRRTSSGAGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVMGKLYFVRKE >KJB21683 pep chromosome:Graimondii2_0_v6:4:596394:598055:-1 gene:B456_004G008600 transcript:KJB21683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIQTQFVLALIVFLELKRREKGRLESFTRMTKVYPNASFTGGVPPCKAEMEEVMTVWKKSLIFNCNGFTVFDGKGDLVYRVDNYMEGNKGSILLMDANGKALLTLRRKKMSLGLGDNWLVYEGEETMAIPRLSVKKSLNIFNNKCLAYVTAGESDGRNNKTMYEIEGSYSRRCCAVSDDRRRLAAEIKRKEGGRGVAFGTDIFRLVVQPNNIRTDFAMALVILLDQMF >KJB26465 pep chromosome:Graimondii2_0_v6:4:58070330:58071414:1 gene:B456_004G243100 transcript:KJB26465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVIFQQDLLGYNSNWSHDFGLGKPESKDSFGCFPDNQTPEINHFVHGDYWVSTTPTSSMAAPVPYHHQLQHHCPNSSSDAANVNGLSSSGDPFDASTTPRPKRRRFKARKNKQEIENQRMTHIAVERNRRKQMNDYLSVLRSLMPESYVQRGDQASIIGGAINFVKELEHRLQCLSAEKEVKERSNLTNGGRRSCSSVFDEFFTFPQYSASSKQGDRKDSISMNDQSTVETQSAIADIEVTMVERHVNLRIRSKKRPAQLLKVVSGLNCMRLSILHLNVTTLDQTVLYSLSVKVQNAGRRRL >KJB26464 pep chromosome:Graimondii2_0_v6:4:58070079:58071766:1 gene:B456_004G243100 transcript:KJB26464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVIFQQDLLGYNSNWSHDFGLGKPESKDSFGCFPDNQTPEINHFVHGDYWVSTTPTSSMAAPVPYHHQLQHHCPNSSSDAANVNGLSSSGDPFDASTTPRPKRRRFKARKNKQEIENQRMTHIAVERNRRKQMNDYLSVLRSLMPESYVQRGDQASIIGGAINFVKELEHRLQCLSAEKEVKERSNLTNGGRRSCSSVFDEFFTFPQYSASSKQGDRKDSISMNDQSTVETQSAIADIEVTMVERHVNLRIRSKKRPAQLLKVVSGLNCMRLSILHLNVTTLDQTVLYSLSVKVEEDCKLTSADDIATAVNQLLGSIEDALLTRNFP >KJB22366 pep chromosome:Graimondii2_0_v6:4:3773631:3778680:1 gene:B456_004G043600 transcript:KJB22366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLQSTEDKKPSTEDSHEPKTEQQNNQTANGSLADTGSLSASSNNGGKVSCQDIELVQNLIERCLQLYMNRDEVVKTLLNRARIDPGFTTLVWQKLEEENAEFFRAYYIRLKLKKQILLFNHLLEHQYHMMKYPVPPKVPLAPIQNGIHPMPVNNLPMGYPVLQPPIPAAGQPHLDSMGISSCHVVNGVPAPSNFQPMRMNSEKDNATDVTPAAPPTTTVSSMSEMPVSPTSVASSGNFPFTASDMSGIGVDTSALDSAFTTDVASSVGLQLGPENGAGNSRDSLRSLDQIQWNFSLTDLTADLSNLGDLGALGNYPGSPFLASDSEILLDSSEQEDIVEEFFVDSVPDQPNSPSEEEKP >KJB22365 pep chromosome:Graimondii2_0_v6:4:3774067:3778602:1 gene:B456_004G043600 transcript:KJB22365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLQSTEDKKPSTEDSHEPKTEQQNNQTANGSLADTGSLSASSNNGGKVSCQDIELVQNLIERCLQLYMNRDEVVKTLLNRARIDPGFTTLVWQKLEEENAEFFRAYYIRLKLKKQILLFNHLLEHQYHMMKYPVPPKVPLAPIQNGIHPMPVNNLPMGYPVLQPPIPAAGQPHLDSMGISSCHVVNGVPAPSNFQPMRMNSEKDNATDVTPAAPPTTTVSSMSEMPVSPTSVASSGNFPFTASDMSGIGVDTSALDSAFTTDVASSVGLQLGPENGAGNSRDSLRSLDQIQWNFSLTDLTADLSNLGDLGALGNYPGSPFLASDSEILLDSSEQEDIVEEFFVDSVPDQPNSPSEEEKP >KJB24443 pep chromosome:Graimondii2_0_v6:4:40954711:40955394:-1 gene:B456_004G145600 transcript:KJB24443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIGSMIGSVYRIDARTDAAVKGRFARLAVSVDLKKPLVSKVRINGRIQIVEYQGLPNICLSCGLFGHTSLLCTENKSPAAEDAGRTVGGNNRFGGSKFSVLEAEWEETRINNHRVSESIGTGVMEENLMIFSFKNETVIEVRKGTSFLERKIVEAANGDAGVRRRDVRVKGKRLIGNFGLKSIRRVLIPNNGGGGFV >KJB23470 pep chromosome:Graimondii2_0_v6:4:17619271:17630119:-1 gene:B456_004G100200 transcript:KJB23470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGYSILLELSDADPFFDKKKRLLNDMGFDVKEIVHIKSSLDRDSLSTTLNQMLQIARIIHLDEVELYFGQIGGLGFYSPRNEMEAQNFILSLIKSALSHHVRMQTHALQDLRDALINRIHELGTEFKVENKIDINYKCDKEKCLLQWAENNGAKTRLQIAYVEGAGRGAIAMEDMEVGDIAMEIPASITISEDLVYKSDMYQVLEKIDGMSSETMSLLWCMKERHNCNSQFKMYFDTLPENFNTGLSFGVEAIMALDGTLLFEEIMQAKEHLRVQYDELFPALSKDHPDIFPPELYTWEQFLWACELWYSNSMKIMFADGNLKTCLIPVAGFLNHSLHPHIVHYGKIDSTTNSLKFRLSRPCSAGEQCCLSYGNFSGSHLITFYGFLPQGDNPYDVIPLDFEVDQVDTMVECPLSNWTTHMVRGTWLSENQNIFYYGLPSPLLDCLRSARSQMPFTKTLIKANMEVDKEILEDLQSTFNAMMENLSDMDSVDRESSSWDVKLASQFKEMQRRIVSSILTSCSTGIKLVEHELSKCKAEE >KJB24812 pep chromosome:Graimondii2_0_v6:4:45263972:45265384:-1 gene:B456_004G162000 transcript:KJB24812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQMVWSQEIASRAYLDTVKALCQNFKEPGMSEFLSAMAAGWNSKLIVESWSYGSPIATSVGLAVAARHTCGRHVCVVPDERSRLGYIKAMEEAGTVSSTAVIVGEAEEVMEGLHDVDFLVLDLKRKDFDRVLRCAKLNHDGAVLACKNACHRGISGFRWHGVLEKWTRVVRSLFLPVGQGLHVAHAGANSGSVRTKKCPSRWIKYIDHRSGEEHVFRG >KJB24811 pep chromosome:Graimondii2_0_v6:4:45264517:45265086:-1 gene:B456_004G162000 transcript:KJB24811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFLSAMAAGWNSKLIVESWSYGSPIATSVGLAVAARHTCGRHVCVVPDERSRLGYIKAMEEAGTVSSTAVIVGEAEEVMEGLHDVDFLVLDLKRKDFDRVLRCAKLNHDGAVLACKNACHRGISGFRWHGVLEKWTRVVRSLFLPVGQGLHVAHAGANSGSVRTKKCPSRWIKYIDHRSGEEHVFRG >KJB22117 pep chromosome:Graimondii2_0_v6:4:2397135:2398635:-1 gene:B456_004G030300 transcript:KJB22117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEISGGTIIPGGWGAAAMAVAAKTCDACKSSAAAIFCRTDWVFLCLNCDSNFHSGHERVSMCEVCEQAPAAVTCKADAAALCVTCDADIHSANPLARRHERVPIEPFYDSADSIVKSSPFSFLVPTTDHNGTNCKQEIESNKGDFFFTEMDRFIDFGYPNSSQHLHDAAMDSVVPVQTPKPVIPLINDGSCFDTFSYQTQSSLSHSVSSSSLEVGTVPDGNYHATQVGGSIDREARVLRYKEKRKNRKFEKTIRYASRKAYAESRPRIKGRFAKRTETHNDDVDHMFNNSSFAVGPAGFMAETDYGVVPSF >KJB27391 pep chromosome:Graimondii2_0_v6:4:1150720:1152058:1 gene:B456_004G016000 transcript:KJB27391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKASGGFGGLHVNSRLLIPVPYPDPADDYTLLVGDFFNKGHKSLKKILDSGRNLGRCDGVHLNGKVAKGDGKDEPLFTMEAGKTYKYRICNVGLKTAINVRFQNHQMKLVEMEGSHPMQNEYKSLDVHVGQCSAVLVKADQDPEDYHVVASTRFTRRQVTATGIIRYKNGKGPASSELPPPPVGWAWSLNQFRTFRWNLTASAARPNPQGSYKYGAINITRTIKLANTAGEVDGKLRYALHGVSHDNEFKTPLKLAEYFNIVKKEFEYDTIPDNPPEKTETIQVKPIVLNITHRHFVEIIFENHKTAIQSYHLSGYSFAVAIETGTWSPEKRKNNYNLLDAVSRHTIQVFPKSWAAILLTFDNCGMWNIRSEVWDRFYLGQQLYVSVLSPNLSTKDEYNIPEDAQLCGDVIGLPKPKPYT >KJB21629 pep chromosome:Graimondii2_0_v6:4:333836:335675:-1 gene:B456_004G005300 transcript:KJB21629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYEDQINRDIDSKYECLLFDLDDTLYPLTSGLSREVTKNIQEYMIKKLEMEEEKVPELCVSLYKQYGTTMAGLKAIGYNFDCNEFHDFVHGRLPYELLKPDPFLKTLLHSLPIRKVIFTNADKNHADMVLNRLGLEDCFEGIICFETLNPTNEDDSSADDSNTEIFDYYTCITCPDSQLELPSPKVVCKPLEKAYEQVFEMANINPQKTLFFDDSIRNIQTGKSMGLHTVWVGTSQETDSADYALESIHNIRQALPELWEAADEKVDNILHSREVPAETTVIA >KJB21628 pep chromosome:Graimondii2_0_v6:4:333836:336094:-1 gene:B456_004G005300 transcript:KJB21628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYEDQINRDIDSKYECLLFDLDDTLYPLTSGLSREVTKNIQEYMIKKLEMEEEKVPELCVSLYKQYGTTMAGLKAIGYNFDCNEFHDFVHGRLPYELLKPDPFLKTLLHSLPIRKVIFTNADKNHADMVLNRLGLEDCFEGIICFETLNPTNEDDSSADDSNTEIFDYYTCITCPDSQLELPSPKVVCKPLEKAYEQVFEMANINPQKTLFFDDSIRNIQTGKSMGLHTVWVGTSQETDSADYALESIHNIRQALPELWEAADEKVDNILHSREVPAETTVIA >KJB22717 pep chromosome:Graimondii2_0_v6:4:6205208:6207302:1 gene:B456_004G062400 transcript:KJB22717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYSHPLMPVQILLFKLVFNSKAKKPHAKPSSTATMVLQNDIDLLNPPAELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >KJB22719 pep chromosome:Graimondii2_0_v6:4:6205448:6207320:1 gene:B456_004G062400 transcript:KJB22719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPAELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >KJB22718 pep chromosome:Graimondii2_0_v6:4:6205208:6206854:1 gene:B456_004G062400 transcript:KJB22718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYSHPLMPVQILLFKLVFNSKAKKPHAKPSSTATMVLQNDIDLLNPPAELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >KJB27397 pep chromosome:Graimondii2_0_v6:4:62155966:62157163:1 gene:B456_004G293800 transcript:KJB27397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCGSKGSCRGGASSLSGGRKGISIGKNRVFQSSCLGAISGSADSHFDRQVVVVDHHNKDYGSNFTNQNSRERESVSDQVKRECSRKVEAESASDYEMPLPCISSNGVDIDASRSGSSSGRATTAVHHSPSRCLSGFSFFSGNISFAELIVWVRLGHILFLLQVLQY >KJB26601 pep chromosome:Graimondii2_0_v6:4:58690143:58694021:-1 gene:B456_004G249600 transcript:KJB26601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPPKSVGAVIPTEDGLASRFWIKFRRESVLSLYSPFVICLASGSLEIDTFRHCIAQDVHFLKAFAQAYELAEDCADDDDAKLAISKLRKGVLEALKLHNSFVQEWGLDFVKECPINSATLKYTEFVLATASGKVEGLKAPGKLDTPFEKTKIAAYTLGAMTPCMRLYAFLGKELEALLDPNEHDHPYKKWIRNYSSEGFQATTLQTEDLLDKLSVSLTGEELNIIEKLYHQAMKLEIEFFYAQTLTQPTVIPLTKEHDPAGDCLMIFSDFDLTCTVVDSSAILAEIAIVTAPKSDQNQPEGQITRMSSSELRNTWGELSQQYTEEYEQCIESMLPSKKEFNYETLHIALEKLSDFEKRANSRVIESGVLKGLNFEDIKRAGERLILQDGCTNFLQKIVRDENLNANVHLLSYCWCGDLIRAAFSSGGLDVVNIHANELSFQESVSTGEIIMEVQSPIDKIEAFDKIIQGCSDDKRNLTVYIGDSVGDLLCLLKADIGIVIGSSSSLRTVGDQYGVSFVPLFPGLVKKQKEYGADGSCCIWKGQSGILYTASGWDDIHALFLGH >KJB26600 pep chromosome:Graimondii2_0_v6:4:58690143:58694001:-1 gene:B456_004G249600 transcript:KJB26600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPPKSVGAVIPTEDGLASRFWIKFRRESVLSLYSPFVICLASGSLEIDTFRHCIAQDVHFLKAFAQAYELAEDCADDDDAKLAISKLRKGVLEALKLHNSFVQEWGLDFVKECPINSATLKYTEFVLATASGKVEGLKAPGKLDTPFEKTKIAAYTLGAMTPCMRLYAFLGKELEALLDPNEHDHPYKKWIRNYSSEGFQATTLQTEDLLDKLSVSLTGEELNIIEKLYHQAMKLEIEFFYAQTLTQPTVIPLTKEHDPAGDCLMIFSDFDLTCTVVDSSAILAEIAIVTAPKSDQNQPEGQITRMSSSELRNTWGELSQQYTEEYEQCIESMLPSKKEFNYETLHIALEKLSDFEKRANSRVIESGVLKGLNFEDIKRAGERLILQDGCTNFLQKIVRDENLNANVHLLSYCWCGDLIRAAFSSAGGLDVVNIHANELSFQESVSTGEIIMEVQSPIDKIEAFDKIIQGCSDDKRNLTVYIGDSVGDLLCLLKADIGIVIGSSSSLRTVGDQYGVSFVPLFPGLVKKQKEYGADGSCCIWKGQSGILYTASGWDDIHALFLGH >KJB23862 pep chromosome:Graimondii2_0_v6:4:28711445:28715983:-1 gene:B456_004G118100 transcript:KJB23862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IETGTHKDLSAPTESNGTSTENNLDNDNGTYLVDSSTSNGDSASNTSPIQEDRQNVSSLDGESVFLDTTPISPNLPESDAVGVSSVASSLRESDSNLDIGSPEATSEIDDKLISVQETIDTNLSDPINLDNDLNEGKLEGKENSSISVDSSYSSNSISDPSIVGFSVSSEVEPILEPQAIPEDNLETIDSSQTEENLESRKMSLPSVEIKNASLEDNKLNESESSQTTPVSAPAHSLTIEQSKIDYDGMKDSIPDFESPTPRSCFSPVGIPAPSAVSADLQAHSGEVLVPAVVDQFQGQALAALQVLKVIEAEALPGDLCTRREYARWLVAASNALSRNVVSKVYPAMYVENVTELAFDDIIPEDADFSSIQGLAEAGLISSKLSNQELLNYNRGPVYFSPESPLSRQDLVSWKMALEKRQLPEADREILYQLSGFIDIDKINPNAWPALVADLSTGEPGIIALAFGCTRLFQPSKPVTKAQAAVAIATGEASDLVSEELARIEAESVAENAVSAHNALVAEVEKDVNANFEKELSMEREKIDALEKMAEEAKRELERLRAEREEENMVLMKDRAAISAETEILSRLRREVEEQLESMINDKVEISYEKERISKLRKETEGETQEIVRLQHELEVERKALSMARAWAEDEAKRAREQAKALEEAREQWERRGVKVVVDNDLHEESVAGDTWVNVGKQ >KJB23863 pep chromosome:Graimondii2_0_v6:4:28711783:28715983:-1 gene:B456_004G118100 transcript:KJB23863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IETGTHKDLSAPTESNGTSTENNLDNDNGTYLVDSSTSNGDSASNTSPIQEDRQNVSSLDGESVFLDTTPISPNLPESDAVGVSSVASSLRESDSNLDIGSPEATSEIDDKLISVQETIDTNLSDPINLDNDLNEGKLEGKENSSISVDSSYSSNSISDPSIVGFSVSSEVEPILEPQAIPEDNLETIDSSQTEENLESRKMSLPSVEIKNASLEDNKLNESESSQTTPVSAPAHSLTIEQSKIDYDGMKDSIPDFESPTPRSCFSPVGIPAPSAVSADLQAHSGEVLVPAVVDQFQGQALAALQVLKVIEAEALPGDLCTRREYARWLVAASNALSRNVVSKVYPAMYVENVTELAFDDIIPEDADFSSIQGLAEAGLISSKLSNQELLNYNRGPVYFSPESPLSRQDLVSWKMALEKRQLPEADREILYQLSGFIDIDKINPNAWPALVADLSTGEPGIIALAFGCTRLFQPSKPVTKAQAAVAIATGEASDLVSEELARIEAESVAENAVSAHNALVAEVEKDVNANFEKELSMEREKIDALEKMAEEAKRELERLRAEREEENMVLMKDRAAISAETEILSRLRREVEEQLESMINDKVEISYEKERISKLRKETEGETQEIVRLQHELEVERKALSMARAWAEDEAKRAREQAKALEEAREQWERRGVKVVVDNDLHEESVAGDTWVNVGKQVAVEGTISRGETLVGKLKTLASEVEGKSREFIDKIVQRIQYLISVLRKWASEAGAKAEELKDGAVLKARGSVQEMQQTTAGFSSAVKEGAKRVAGDCREGVEKLTQRFRT >KJB26657 pep chromosome:Graimondii2_0_v6:4:59073094:59074004:1 gene:B456_004G253400 transcript:KJB26657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKNPFRGLEQTKSYTKLRTSKEKEKFQIQTRHQNQQSYLFAEIYQCVAVELGNPWTTTAQEATIKEPQAPSAHSP >KJB25621 pep chromosome:Graimondii2_0_v6:4:52658130:52661293:1 gene:B456_004G200600 transcript:KJB25621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQLQVNGAVELPGFGSICQQDKWIKQQEGVANGFYYNNEQEPTSVLHMRRSQSPPTSASTLASSFNGGAGGGNSTENTTNTEATIAPPNDKEEWATELRQIPSEIDLVPEHEGTQRCNIGLGDWETLLSESAVSPSQDHSLLRWIAGDADDHSFGIKQLLQSGSTGPNQGHDFEGNAGSWVVDPCPGFDPIGSLATSGNVLSSAAPILGGFPDSGFVPNPNNNENGKVGVATPSCSSVEGVNNHEVLGATVGLDINIQDIMSTSPANNIGLPVTLPTLYQLQQQDLEKPQILMGQQRHQQRPQNPNFSFPLPREQDLLEPLPKRLNAGNLEFSSQIPKLAGHELFTRKQLQQHMVAEEEVLELLHKAAELVGTGNFAHARGILARLNHQLSPVGKPFQRAAFYIRKALQLLITNNSVSPPPPKSPTSFDVIFKLVAYKVFSEVSPFIQFVNFTSNQALLEALDDAERIHIMDFDIGCGAQWASFMQELPMRIRGVRSLRITAFASPLTHHPIELGLMRESLMLFANEIGLSFELVVLNFDSLDQAPYSLPLFRTNQNEAVAVNFPVWSSSNQPSALPNLLRFVKQLSPKIVVSLDGGWDRNDLPFPELVTCAFQSYKNIFESLDAGNVTSDVVNKIERFLIVPRIESTVLGRLRAPENMPLWKTLLCSSGFTPVTFSNFTKTQADCVVKRAQVRGFHVEKRQPSLVLCWQQRELISASAWRC >KJB21689 pep chromosome:Graimondii2_0_v6:4:619694:622395:-1 gene:B456_004G009000 transcript:KJB21689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVAAYLLAVLGGNASPSADDLKVILGSVGAEADDDRIELLLSEVKGKDITELIASGREKLASVPSGGGAVAVAAPTAGGGGGAAAPAAEAKKEEKVEEKEESDDDMGFSLFD >KJB22819 pep chromosome:Graimondii2_0_v6:4:6977216:6981868:1 gene:B456_004G067100 transcript:KJB22819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIASSLVEAVVSGMFRSLSDHFSSTHFNKFARKEKILSELKKWEILLLKINACLEDAEEKQSKSCSVKLWLRDLRDIAYAAEDIIDELAYEARRRQMKEDAGPSSSTHKMMRKYVSACCVNFNPSTLKFSTKVESKIKKLTARLEAAVAIKNDLSLEENDRGRRERVTERLRTSSLVEPRVYGREKDKEVILDILMNDADEGFGDIAVACIWGMPGVGKTTLAQLVYNDIKVESSFDLKIWVCVSEEFDVIRLTAIMLEAVTSASWNSKDLNLLQVSLKEKLSGKKFLLVLDDVWNENYEQWEALCKPFIAGAAGSKILVTTRNVDTASIMAPCGTYHLRELADKDCLSLFTRHALGGSDFDGHPNLKTFGEEIVKKCSGLPLAAKTLGGLLRTKRNSDEWEDIMNSKIWHLPEKGNSILPALLLSYHHLPSHLKRCFSYCAIFPKDYEFDKEELIRLWKAEGFLHHTKRKKQMEDIGIEYFRDLWSRSFFQQSTINKNRYAMHDLINDLAQFVSKEICFFNNGDKLNDGVKLESFRHFSFLRHQYDVSKRFEMLSQMTSLRTLVALPIHMLPMAASSFLTNTVLQQFVPKLGCLRVLSLNGYCIDELPHSIGDLIHLRYLNLSRTSIKSLPESVGSLFNLQTLILHGCKNLTKLPRAIENLIDLCVLDLTDTDSLKEMPMQIGNLKNLKVLSKFIVRRDSGSGIKELKGLLHLRKEISVIGLENVVDTGEARDYVLKDKNKLEGLHLQWGHESFDHRNGENGLPVFNMLQPHQDIKRVRVACYGGTKFPSWLGGSSMANIADINLSNCRNVMSLPALGRLPSLKKLSLTGMNGVKRLDFEFFGDNLPSSKPFPVLEVLQFQNMLNWEYWCYPNNRPDEEDREFPNLRELMIHNCPKLYQKLPRYLPSLVKLNIKGCPNMAYSVMSLPSLLDLSIEDCNKMVPRSMVDLTSLTTLRIKRVPDLTCLPNVFEQFPGALKHLSLSNCIGLTALWQKGNEQELEPNELHCLTSLEHLRIESCSELVSFPDIGFCPKLKRLQLRDFPWLKNLPCWIMKQGELTDCLIEDLEIEECPSLTSFPRGILPPTLKRLKIQDCICLCSLPDGLMQADNSKNTFCLENLEIISCPSLVRFPHGRLPTSLKMLKIWECLQLEPLSDRLLPNNASLEYIDIWNCPTLISLPDSLNNLKCLMELIIGNCQYLKYFPEIDLSLPNLKTLNISNCANLKSLPHQILNLTSLLYLTICNCPCIVSFPKGGLPPNLLSLEIWDCEQLKEPISNWNLHTSTSLKDLSIVGGPDLVSIPDEKCLLPTTLVSIYIAKLNNLESLSKGLLNLPSLEELEVVDCPKLRSLPREGLPTTLGRLRIRNCSLLKDQCSRERGEYWPLIASIPCVEIQSTGF >KJB24562 pep chromosome:Graimondii2_0_v6:4:42947047:42947793:1 gene:B456_004G151300 transcript:KJB24562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQTLARLGIRTHHQPCAACRMLRRRCDSNCILAPYFPGDEPDKFAGVHKVFGASNVIKLIQMVEETNREDAVKALVYEARARLRDPVYGSTGTIFQLQKMVQDLKLQLKSTNARVLELQQQKDQLLGIFMNVDHLDVLSSVDHGPSFSLDYEDSMASNLLIGKPH >KJB25223 pep chromosome:Graimondii2_0_v6:4:49337907:49339385:-1 gene:B456_004G182000 transcript:KJB25223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRALGWSDGELMRSDAKPCSRLMRQTAGIFTVGGALGFWVLCRLHYGPRITVPRSLRWAACGAVSVSSASALLVRLFSPECESQNIAAYDKRKE >KJB22743 pep chromosome:Graimondii2_0_v6:4:6359749:6362851:1 gene:B456_004G063900 transcript:KJB22743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKKEGDLPVYGFHDPESFVQSIQKPRVVIMLVKAGAPVDQTIKTLSAYLEKGDCIIDGGNEWYENTERRQKEMSALGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELHSVFSEWNKGELLSFLIEITADIFGIKDDKADGYLVDKVLDKTGMKGTGKWTVQQAADLSIAAPTIAASLDSRFLSGLKEERVEAAKVFKSGGFGDVLTDQVVDKARLIDDVRQALYASKICSYAQGMNLIRAKSIEKGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNSDLANLLVDPEFAKEIIDRQSAWRRVVCLAINSGISTPGMSASLAYFDTYRRERVPANLVQAQRDYFGAHTYERVDMDGSFHTEWFKIAKKLKD >KJB22742 pep chromosome:Graimondii2_0_v6:4:6360144:6362851:1 gene:B456_004G063900 transcript:KJB22742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKKEGDLPVYGFHDPESFVQSIQKPRVVIMLVKAGAPVDQTIKTLSAYLEKGDCIIDGGNEWYENTERRQKEMSALGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELHSVFSEWNKGELLSFLIEITADIFGIKDDKADGYLVDKVLDKTGMKGTGKWTVQQAADLSIAAPTIAASLDSRFLSGLKEERVEAAKVFKSGGFGDVLTDQVVDKARLIDDVRQALYASKICSYAQGMNLIRAKSIEKGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNSDLANLLVDPEFAKEIIDRQSAWRRVVCLAINSGISTPGMSASLAYFDTYRRERVPANLVQAQRDYFGAHTYERVDMDGSFHTEWFKIAKKLKD >KJB25509 pep chromosome:Graimondii2_0_v6:4:51517308:51520491:1 gene:B456_004G195400 transcript:KJB25509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSFFSSKYMPMSEIAHLGRTNSSQFSSFRPLVEVKSTPHFSSCRGISPKASHSSTPNYSYVPKQAVSSVDEEKLGAGNLLYQINGTNSSLFSRKLRILDSFDDEYGGVIVDSERLPANPNVFASMLSFSISHWKSKGKKGIWLKLPVEKSDLVPVAVKEGFEYHHAERGYVMLTYWLPEGPSMLPANASHQVGVGGFVINDKNEVLVVQEKYCAPQFVGLWKIPTGFIVESEEIFTGAVREVKEETGVDTEFVEVVAFRHVHNVAFEKSDLFFICMLKPVSTHIMVDDHEIQAAKWMPLVEFVEQPLIQQDCMFKKVIDICVERLGKRYCGLSVHQVASAFDGKTSSLYYNVIDSQHNNCIGN >KJB25508 pep chromosome:Graimondii2_0_v6:4:51518112:51520152:1 gene:B456_004G195400 transcript:KJB25508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGISPKASHSSTPNYSYVPKQAVSSVDEEKLGAGNLLYQINGTNSSLFSRKLRILDSFDDEYGGVIVDSERLPANPNVFASMLSFSISHWKSKGKKGIWLKLPVEKSDLVPVAVKEGFEYHHAERGYVMLTYWLPEGPSMLPANASHQVGVGGFVINDKNEVLVVQEKYCAPQFVGLWKIPTGFIVESEEIFTGAVREVKEETGVDTEFVEVVAFRHVHNVAFEKSDLFFICMLKPVSTHIMVDDHEIQAAKWMPLVEFVEQPLIQQDCMFKKVIDICVERLGKRYCGLSVHQVASAFDGKTSSLYYNVIDSQHNNCIGN >KJB22074 pep chromosome:Graimondii2_0_v6:4:2197492:2202398:-1 gene:B456_004G028000 transcript:KJB22074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQPAAGGVAPPPPMAADQSQAHQYQQQPQSQPWMMMSQQQQGGQPVPPPTGWTPQPVPPPTQMQQYSAGSAAGSGEIRSLWIGDLQPWMDENYLINIFAQTGEVVSAKVIRNKQSALPEGYGFIEFVSRVAAERVLQSYNGLPMPNAEQNFRLNWASLGSGEKRQEEGPEYTIFVGDLAADVSDYMLQETFKAVYPSIKGAKVVTDRTTGRSKGYGFVRFADESEQIRAMTEMNGMYCSTRAMRIGPASNKKPVTGQPYQKATYQNAQGNPGENDPSNTTIFVGGLDPSVSEDQLKQIFSQLGEVVHVKIPANKNCGFVQYANRASAEQALSVLNGTIFGGRNVRLSWGRSPSTKQAQPDPAQWNSGYYGYAQGYEAYGYAPPPQDPNMYYGGYPAGYGNYQQPGAYQQPQQ >KJB21661 pep chromosome:Graimondii2_0_v6:4:444079:446622:1 gene:B456_004G007400 transcript:KJB21661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAPPPYLPYGNKTLEVCVPGEVDSKGIWENLSYPSVFLSYPLPRLELQILLTFLCSHIIYLIVKPLGITFFATQMFAGLLLGHTVLGKLDTINELLFPQVSGIEIIDTTGLFGFVIFLFLMGVKMDLRVAFRSTKRAAVIGFISILAPITVGLMIFAAFKKPEQSKEVNLERLLGTTIESLTSFSVIACLLSELNILNSELGRLALSASAIADVGTLILVFVFSSMAKWANAPSVAAVNTAASIAFVVILFFVFRPIMFWVINTTPEGKPIKEVYIVLIVMVAIGCSIFSHFFGDSPLIGAFVFGLAVPDGPPLGSALVDKLECFVNGVFLAVFTTTSTMRVDVKSMMENQSRFLFSIIFVCVTFLAKVISCFIVTFWSLMPLKDSLAFALIMSSKGIVELSYFCSFIDNKIMSKATFSVLVLGILVNSTMVPLLVKLLYNPDSRRYAGYEKRTLMHLKPDSELRVLACTHRSENVSTIIELLDLTCPAKESPQLVFALHIVELKGRDSPVFIAHQKNGNSALSSLENIFAYNQYEQNNWDSVTVHAFTAISPPKLMHEDICIMALNKQTSFVILPFHRKFSIDGSIEDENSVMRNVNCSVLDRAPCSVGILIDSRVADRGRKTLKTSSRMPTCSIGMLFIGGKDDREALTLAKRMARDPQVNLTVIRLIADHHHSGNIMDWDGILDSEILKDVKQKNEVGNSCNIMYAEKVSNDGPQAAGVIRSIVNNYDLIIVGRRYGVESVQTMGLAEWCEFPELGVVGDLLASTDLNSSVSVLVVQQQYYVELGRH >KJB25706 pep chromosome:Graimondii2_0_v6:4:53582083:53582506:1 gene:B456_004G205700 transcript:KJB25706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQPGEPGILKPDIIGPGVNFLAAWPFPLDNNINSKSTFNIMSGTSMSCPHLSGIAALLKSSHPTWSPAAIKSAMMTSTDLFNIGGKLIVDETLQPADVFATGAGHVNPSRADKTGLIYDIQPDDYIPYLCGLATKMRKLV >KJB23941 pep chromosome:Graimondii2_0_v6:4:30955412:30956467:-1 gene:B456_004G122400 transcript:KJB23941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLRDLWRGHKRKVLVTAGVLGSGYLLYKLYNAHKRGLIDLERQLENERENDEFIKAQMQLHFENIQRIADTTTLPHEMPHLSYRIAEDLNLSHLMERLVKGKDQPNSLSSLEKLELWERLKILSFTRMVVSIWAVTILSLYIRVQVIILGRHLYIDIARGLGSSYLLVSAPHFYL >KJB21659 pep chromosome:Graimondii2_0_v6:4:437981:439981:1 gene:B456_004G007300 transcript:KJB21659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTQTGGATQNFSDLLELSASNDLISFRIAIEEEGHDIDEPSLWYGRRIGTKKMGFEERTPLMIASIFGCKDVVNYIIKSGQVDVNRACGSDGARALHCAAAGGSSGSPEIVKILLHACADINSVDANGNRPGDLIAPASCNSDCSSRKKLLEALLKSNVDGREGFPFRVGNEMDVSEVQVNSIHRVWKDGNEKKEYPYDLTLPDIKNGMYGTDEFRMYTFKIQPCSRAYSHDWTECPFVHPGENARRRDPRKYAYSCVPCPEYRKGSCKQGDSCEYAHGIFESWLHPAQYRTRLCKDETNCNRRVCFFAHKPEELRPLYASTGSALPSPRSYSGSGIEFGSMSPLAPASPSVIKPSTSTPPLTPTGTNSIGGSMWPNQSSIVPPTLQLPFSRLKAARTARGKDLNMDKLNLETHRRFQQQQQQLEQSPTSWNNPLSGELNRFGGVKSTSLDDIFGSLDSAKPQLQGLPLEAASPQLQSPTGVPKWQNLNQQLRASYPTNLASSPIMMSPTFGVETSGPTAASSLSSKRSPSVIERPAGNRNSGFSTPASPVGAMPPNLSDWGSVDGKLDWGIQGEELKKLRKSASLVFLNNGSNLSNTTRSLSSTSEEPEASRVQPDMNGGTHSAGQVRPEDQKQQKCRNTGNSDMLIAWMEQLYKDQDQILN >KJB23879 pep chromosome:Graimondii2_0_v6:4:29080081:29080907:1 gene:B456_004G119100 transcript:KJB23879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPSYISQFLVVLIILGFVEVASSRYVDQATIDQRLRDKYSPIFLTSLSAIRSLGKPASDKIRAVHAVSHRVVPSGPNQLHN >KJB23246 pep chromosome:Graimondii2_0_v6:4:11637335:11639544:-1 gene:B456_004G087500 transcript:KJB23246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAFLYKLQNLWPFSVFKFDDLRASRDFVQKLSVPDRIKKFVFAIRVPHSQSVIYILSVQNLSERSAADAECLIRELRPEAVVAQIGNHAALSDIQSEDSLVVNTVPTSSFEVLKRCFVDKINKDQYDNVAGNLVLREIFGVGFHGHFLAAKRAAREVGSDFVVLESPFPNSFLEYDPSKEVEAGSKIQGPVNSLVPQKGTSAPVSSFTRSCIINDVQSQMVKFLSLHINFLEPGSVSEVGTNEIQPTASYKAPPFAQSIYPLLLDLHDIFVDLPSMGRALALSQKLLLDVNRGEVVDTRIMSEVYTFRIAVEALRIALNNAGQLPIEKLRNVSTSEIAFSELPVEDKSHAILAQALQSQAKKFKTVVAIVDASSLAGLRTNWNTPVPPEVKDLVDHLVVDDVGDGETSNHTDNKRLLSNKSVAAVGASATAVLGASSISKVIPASTVMKVVTWNVPASVKLAMTQTQKVVGIALGKALGPSKFVVPGLANSGANSSLFKAAASAEKIRTVVHGVIASAEKTSFSAMRTAFYEIMRKRQVQPIGVLPWATFGCSIATCTSLLVYGDGIECAAESLPAAPSIASLGRGIQSLQQASQAVRQKDDNRIQKSIERLMYRLKKAKIQ >KJB23148 pep chromosome:Graimondii2_0_v6:4:10309496:10311534:1 gene:B456_004G083600 transcript:KJB23148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGREKQERKSRLLFAFAKDFVTAPPISMALLYILHSLLKLAFLCSFWPTVIPLSLNYPAVFNFGDSNSDTGGLVAGKAFPMTQFNGETYFHEPSGRFCDGRLIIDFLMEAMELPYMNPYLESVGSPSFQTGCNFATGGSTILPANAASTNPFSFNLQLSQFFRFKNRALTLLSKDKELQNYLPAEDDFNKALYIFDIGQNDLDRVFYFPVSDEQVVAFISKLMSELNYGMKRLYDAGARNFWVHNTGPLGCLPRIIATFGKKPSNLDEHGCVASHNRAATVFNKKLHDMCLQFLAHSPEANITYVDIFSIKLNLISNYSLYGFQHPLAACCGYGGPPLNFDTRIACGVTKDLNGSIVTANPCNNTAEYINWDGTHYTEAANGFVADEILTGNYSDSPHLRNSPFLT >KJB23147 pep chromosome:Graimondii2_0_v6:4:10309496:10311395:1 gene:B456_004G083600 transcript:KJB23147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGREKQERKSRLLFAFAKDFVTAPPISMALLYILHSLLKLAFLCSFWPTVIPLSLNYPAVFNFGDSNSDTGGLVAGKAFPMTQFNGETYFHEPSGRFCDGRLIIDFLMEAMELPYMNPYLESVGSPSFQTGCNFATGGSTILPANAASTNPFSFNLQLSQFFRFKNRALTLLSKDKELQNYLPAEDDFNKALYIFDIGQNDLDRVFYFPVSDEQVVAFISKLMSELNYGMKRLYDAGARNFWVHNTGPLGCLPRIIATFGKKPSNLDEHGCVASHNRAATVFNKKLHDMCLQFLAHSPEANITYVDIFSIKLNLISNYSLYGKQAINHFFSFNVLLQSIRICFQDSSIH >KJB23149 pep chromosome:Graimondii2_0_v6:4:10310126:10311395:1 gene:B456_004G083600 transcript:KJB23149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPYMNPYLESVGSPSFQTGCNFATGGSTILPANAASTNPFSFNLQLSQFFRFKNRALTLLSKDKELQNYLPAEDDFNKALYIFDIGQNDLDRVFYFPVSDEQVVAFISKLMSELNYGMKRLYDAGARNFWVHNTGPLGCLPRIIATFGKKPSNLDEHGCVASHNRAATVFNKKLHDMCLQFLAHSPEANITYVDIFSIKLNLISNYSLYGFQHPLAACCGYGGPPLNFDTRIACGVTKDLNGSIVTANPCNNTAEYINWDGTHYTEAANGFVADEILTGNYSDSPHLRNSPFLT >KJB25455 pep chromosome:Graimondii2_0_v6:4:53183028:53183896:1 gene:B456_004G202500 transcript:KJB25455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQCELHNGSGVAELVSAMAAGWNARFIVETWSKGGAMATSIGLAVASSHTNGRRICIVPDERSRLEYAEALEEVGMSAEAIVGEPEEVMKGLNGIDFMVVDSQRNDFSKILKLAKLSDRGAVLARKNAHPKTASTFRWKSVVDERSYRVVRCVFLPVGKGLDIAHVASSGGNSGEAERRWIKHVDPQSGEEHVIRR >KJB25456 pep chromosome:Graimondii2_0_v6:4:53183048:53183806:1 gene:B456_004G202500 transcript:KJB25456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVWSPGTASKAYIDTVKSCELHNGSGVAELVSAMAAGWNARFIVETWSKGGAMATSIGLAVASSHTNGRRICIVPDERSRLEYAEALEEVGMSAEAIVGEPEEVMKGLNGIDFMVVDSQRNDFSKILKLAKLSDRGAVLARKNAHPKTASTFRWKSVVDERSYRVVRCVFLPVGKGLDIAHVASSGGNSGEAERRWIKHVDPQSGEEHVIRR >KJB22171 pep chromosome:Graimondii2_0_v6:4:2690905:2691210:1 gene:B456_004G033100 transcript:KJB22171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEFELILVYLLLSIWRGKECRYFATNFILYTVRGFVFLLIGVLGLDLYGSNEPTLNFETLANQSYIAALKIIFYIGFLIVFAVKLPIIPLHAYMVLGYSQG >KJB25297 pep chromosome:Graimondii2_0_v6:4:49840268:49841922:1 gene:B456_004G184300 transcript:KJB25297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNNVIGAINFVAMLLSIPIIGAGIWLANQPDNSCVKILQWPVIVLGVLILVVALAGFIGGFWRIPWLLIAYLVGMLILIILLACLVVFVYMVTMRGSGHLEPSRAYLEYHLEDFSGWLQRRVRSSFKWERIKICLSSTDICTQLNQTYTMAIDFFNSHLTPIESGCCKPPTECGYTFVNPTNWISPINNIEDPDCIQWSNDQTQLCYNCNSCKAGLLANIKQEWRKADIILLITLIALICVYLVGCCAFRNAKTEDIFRKYKQGYT >KJB22511 pep chromosome:Graimondii2_0_v6:4:4744080:4746207:-1 gene:B456_004G051700 transcript:KJB22511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLGWLNAIREWQEELVGNMSSREFVPEISYAVVSSSLPHGERGATAIDYAYMIHTDIGNKMVAAKVNGNLVSPTHVLANAEVEEIITYNVEDSEMEDLSYSSRQNRSLWEKILRNIVDFSTPGRSSEDALTAKNGSIWVPKVNGKHNKQVQDVGSKANGYLFSLGNSAAKMIPANDPPQKEVLPGLESWQASKIASWHNLEGHPIQ >KJB23676 pep chromosome:Graimondii2_0_v6:4:22658526:22666213:1 gene:B456_004G109200 transcript:KJB23676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIEVVEDVQPLKQSDGVVGNGNGYYGGGNNGVVGGFVDDESLRNDVYTVAAYGDLEKLQRLVEYEGRSLSEPDGLGYYALQWAALNNRTAAAQYIIEHGGDIHAADHNGQTALHWSAVRGAIQVADILLQEGARVHAADIYGYQVTHVATQYGQTAFLYHIVSKWNADPDIPDYSGSSPLHWAAYKGFADCIRLLLFLDADRGRQDKEGCTPLHWAAIKGNLEACTVLVQAGKKEDLMITDNSGLTPAQLASEKNHRQVAFFLGNARRLLEKRCDGNSRLGRLSKLSLAPVLLFIILVMLVTYTQSVITASNLPKLTAGFGLLAWMGVFLASAGLVMFYRCSSKDPGYIKMNALDPQNMKDSVRCFSERLLKIEINNPILLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKRNKWDFFLFLVLEVSAMLSTGAVAITRIVTDPLAPSPFFPWINHAFTHHIGAITFLIVDFFLFFGVAALTVVQASQIARNITTNEMANVMRYSYLRSATGRFRNPYDHGCRKNCSDFLINGYNEDVQISEEPAHFEGIGMVQMGRDLNLENGDLETAANRNGHIAINVNSSDANAHHHGHGHSHSHSHSHGHSRGHVHSSQCNHSKPSKPKNESVPLGLGIGLGLGHGRSNGRSVATS >KJB23675 pep chromosome:Graimondii2_0_v6:4:22658440:22666240:1 gene:B456_004G109200 transcript:KJB23675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIEVVEDVQPLKQSDGVVGNGNGYYGGGNNGVVGGFVDDESLRNDVYTVAAYGDLEKLQRLVEYEGRSLSEPDGLGYYALQWAALNNRTAAAQYIIEHGGDIHAADHNGQTALHWSAVRGAIQVADILLQEGARVHAADIYGYQVTHVATQYGQTAFLYHIVSKWNADPDIPDYSGSSPLHWAAYKGFADCIRLLLFLDADRGRQDKEGCTPLHWAAIKGNLEACTVLVQAGKKEDLMITDNSGLTPAQLASEKNHRQVAFFLGNARRLLEKRCDGNSRLGRLSKLSLAPVLLFIILVMLVTYTQSVITASNLPKLTAGFGLLAWMGVFLASAGLVMFYRCSSKDPGYIKMNALDPQNMKDSERLLKIEINNPILLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKRNKWDFFLFLVLEVSAMLSTGAVAITRIVTDPLAPSPFFPWINHAFTHHIGAITFLIVDFFLFFGVAALTVVQASQIARNITTNEMANVMRYSYLRSATGRFRNPYDHGCRKNCSDFLINGYNEDVQISEEPAHFEGIGMVQMGRDLNLENGDLETAANRNGHIAINVNSSDANAHHHGHGHSHSHSHSHGHSRGHVHSSQCNHSKPSKPKNESVPLGLGIGLGLGHGRSNGRSVATS >KJB24836 pep chromosome:Graimondii2_0_v6:4:45570773:45573039:-1 gene:B456_004G163800 transcript:KJB24836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSIDPQELQFPFELRKQISCSLNLSNKTHSYVAFKVKTTNPEKYCVRPNTGVVLPASTGNVIVTMQAQKEAPPDMQCKDKFLLQSVVASPGTAAKDITPEMFNKESGNRVEECKLRVVYLAPPQPPSPVQEGSEEGSSSTASVSDNGSLNASEFTSVPRAHVKQHQPQHNSSDARSRISKLAEEKNAAIQQNKSLQQELELLRRKARKGHTGIPLMYVILVGLIGVILGYLLKRT >KJB24838 pep chromosome:Graimondii2_0_v6:4:45570569:45573105:-1 gene:B456_004G163800 transcript:KJB24838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSIDPQELQFPFELRKQISCSLNLSNKTHSYVAFKVKTTNPEKYCVRPNTGVVLPASTGNVIAVTMQAQKEAPPDMQCKDKFLLQSVVASPGTAAKDITPEMFNKESGNRVEECKLRVVYLAPPQPPSPVQEGSEEGSSSTASVSDNGSLNASEFTSVPRAHVKQHQPQHNSSDARSRISKLAEEKNAAIQQNKSLQQELELLRRKARKGHTGIPLMYVILVGLIGVILGYLLKRT >KJB24837 pep chromosome:Graimondii2_0_v6:4:45570481:45573310:-1 gene:B456_004G163800 transcript:KJB24837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSIDPQELQFPFELRKQISCSLNLSNKTHSYVAFKVKTTNPEKYCVRPNTGVVLPASTGNVIVTMQAQKEAPPDMQCKDKFLLQSVVASPGTAAKDITPEMESGNRVEECKLRVVYLAPPQPPSPVQEGSEEGSSSTASVSDNGSLNASEFTSVPRAHVKQHQPQHNSSDARSRISKLAEEKNAAIQQNKSLQQELELLRRKARKGHTGIPLMYVILVGLIGVILGYLLKRT >KJB26754 pep chromosome:Graimondii2_0_v6:4:59393820:59394211:-1 gene:B456_004G257900 transcript:KJB26754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSTTTMVLLLVLFLSTFDSLNNVNARSLTCKSSKDCINQCGSPGCPPPASCVCVSGKCDCANVETTVESVFGPLNGHV >KJB24896 pep chromosome:Graimondii2_0_v6:4:46319967:46321824:1 gene:B456_004G166600 transcript:KJB24896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTFIMIKPDGVQRGLVGEIIGRFEKKGFYLKGLKLITVDQSFAEKHYADLSAKPFFNGLVEYIISGPVVAMIWEGKNVVTTGRKIIGATNPAESAPGTIRGDFAIDIGRNVIHGSDSVESARKEIALWFPESPVNWQSSVHPWIYE >KJB24138 pep chromosome:Graimondii2_0_v6:4:34739504:34741299:-1 gene:B456_004G129600 transcript:KJB24138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSMISFGLDAPPPTLQQRLQFIVQSRPEWWVYSIFWQASRDAHGRLVFSWGDGYFQGTKGFAGKSGNKLSQPRFGRRRSGEEIQSVFCEEIDIERMVDGDVTDYEWYYTVSVNRSFAIGDDILGKAFGSGSYIWLCGDQELQLYECERVTEARMRGIQTLVFLSTSFGVVELGSSEMIQENWSLVQLAKSIFGSEINCLGSKHPGLESQLQMSTQTVPFLDFGKVASDQKEWILDDRKQQIEAKKDNCVLLRPLSSDSGADSEMEFSVGSKKRGRKSGTGKTTPSNHVEAERLRREKLNHRFYALRSVVPTVSKMDKASLLSDAVAYIKELRSQIDKLDVKLKVQSQKAKLNAINVSNNQRNASTFDSTRPTYDYGPNTMEVDVKIIGSEAMIRVQCPDVNYPAARLMDALRDLELHVHHASVSTVNELVLQDVVVILPAGFISEEMLRTAIFQRCS >KJB26466 pep chromosome:Graimondii2_0_v6:4:58100845:58102193:-1 gene:B456_004G243200 transcript:KJB26466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCKFLTAEQVGQWSNLPDDVLTIVLSHLFGKDYVNLLSVCRSWRSAPPPLRSVPNPSTSPYPSLFHFSGNNSMCKFYDPFYNGTYVAQIPDELIDARIFFSNYGWLLMCQDTQLFFLHPFANQRIDLPSIERHDLEEYGRMCFSTPPTSPNCMVFGILDDCPIISDVTIIRRGESSWANICYKTNNVRFYTSHGNPVFYKGAFYCLGMDEKLGILGPNKRGSRYESNGELISICMGHTGEYVRIFRLNYHYEIRWEEVHNLGDEMIFASRTGSMCMRTHSMGNTIYFPNFDNGGNGLFYSLASRKFHSLGAALPRKDLYNTKLMLHCAWITPTALEWKSERHGGNSTHIPHLSSQILRTRTINSSLTCSIPLTMLREYLKFVKCAPEHVLSVGYFRLIGIVQFVFVA >KJB27369 pep chromosome:Graimondii2_0_v6:4:62172373:62172526:1 gene:B456_004G2943002 transcript:KJB27369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AILSTLAALLDDPILADVPKKPSLSDVDILINLELGSAMCISIFKLDGTSF >KJB25495 pep chromosome:Graimondii2_0_v6:4:51327067:51328589:-1 gene:B456_004G194500 transcript:KJB25495 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-(hydroxymethyl)glutathione dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G43940) UniProtKB/TrEMBL;Acc:F4K7D6] MMSCLIYLFMDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLYGKVRTATGAGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPQTPLDKVCLIGYGVPTGLGAVWNTAKVEPGAIVAIFGLGTIGLAVSVKYASCVEICLYCLL >KJB22051 pep chromosome:Graimondii2_0_v6:4:2161049:2163416:1 gene:B456_004G027500 transcript:KJB22051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIKKDPSIPPPMISKIGPYTVFVTPPSTPSPTDPPVFHSPKKAAPPSPSPYPSAPPPVQPPPQQFDKSFLTSQSLSDGSFLGFFKNAAFKLQNAHSSLDDHLARWFGLNQSKYQWALDDYYESKGLEKEGVKVKEISSKIQSV >KJB22052 pep chromosome:Graimondii2_0_v6:4:2161120:2163416:1 gene:B456_004G027500 transcript:KJB22052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIKKDPSIPPPMISKIGPYTVFVTPPSTPSPTDPPVFHSPKKAAPPSPSPYPSAPPPVQPPPQQFDKSFLTSQSLSDGSFLGFFKNAAFKLQNAHSSLDDHLARWFGLNQSKYQWALDDYYESKGLEKEGVKVKEISSKIQSV >KJB23381 pep chromosome:Graimondii2_0_v6:4:14523325:14525920:-1 gene:B456_004G095100 transcript:KJB23381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVQAYPPLATPSAYPPLATRVSVIGPQYCYPQPIDLVVVRKVLTISEGKFAVTDIYGNIMFKTKGKFFSIHDRRLLTDAAGNPVCTLRHKIMTVHDRWQVFRGESTEEKDLIFTAKRSSMIQLKTKLHVFLATNPKEDVCDFRMEGSWLERSCFIYSGERNTILAQMHKKCSVESEFLGKDKFMVTIYPNVDYAFVVALIAILDGINNDDDFE >KJB24381 pep chromosome:Graimondii2_0_v6:4:40279633:40284603:-1 gene:B456_004G142700 transcript:KJB24381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Sucrose synthase (EC 2.4.1.13) [Source: Projected from Oryza sativa (Os03g0340500)] MANPKLGRSPSMRDRVEDTLSAHRNELVALLSRYVAQGKGILQPHTLIDELENVVGDDKAREKLSDGPFSEVLKSAQEAIILPPYVAIAIRPRPGVWEYVRVNVHELSVEQLDVSEYLRFKEALADVREDNHFLLELDFEPFNASFPRPNRSSSIGNGVQFLNRHLSSNMFRNKDSLEPLLNFLRAHKYKGHALMLNDRIQSIPRLQAALAKAEDHLAKLSSDAPYSEFEYELQGMGFERGWGDTAAHVLETMHLLLDILQAPDPSILETFLGRVPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIKRQGLDITPRILIVTRLIPDAKGTSCNQRLERVSGTEHTHILRVPFRSEHGVLRKWISRFDVWPFLETYAEDVASEIAAELQGIPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSEKEKRLTALHGSIEELLFDPKQNDEHIGTLSDRSKPLIFSMARLDRVKNMTGLVELYAKNNKLRELANLVVVAGYIDVKKSKDREEIAEIEKMHDLMKEYKLDGQFRWIAAQTNRARNGELYRYIADSKGIFVQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIEHGISGFHIDPYHPDQTAELLATFFERCKEDPSHWTKISDGGLKRIYERYTWKIYSERLMTLAGVYDFWKYVSKLERRETRRYLEMFYILKFRELVSKICSLGQ >KJB24379 pep chromosome:Graimondii2_0_v6:4:40279216:40284816:-1 gene:B456_004G142700 transcript:KJB24379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Sucrose synthase (EC 2.4.1.13) [Source: Projected from Oryza sativa (Os03g0340500)] MANPKLGRSPSMRDRVEDTLSAHRNELVALLSRYVAQGKGILQPHTLIDELENVVGDDKAREKLSDGPFSEVLKSAQEAIILPPYVAIAIRPRPGVWEYVRVNVHELSVEQLDVSEYLRFKEALADVREDNHFLLELDFEPFNASFPRPNRSSSIGNGVQFLNRHLSSNMFRNKDSLEPLLNFLRAHKYKGHALMLNDRIQSIPRLQAALAKAEDHLAKLSSDAPYSEFEYELQGMGFERGWGDTAAHVLETMHLLLDILQAPDPSILETFLGRVPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIKRQGLDITPRILIVTRLIPDAKGTSCNQRLERVSGTEHTHILRVPFRSEHGVLRKWISRFDVWPFLETYAEDVASEIAAELQGIPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSEKEKRLTALHGSIEELLFDPKQNDEHIGTLSDRSKPLIFSMARLDRVKNMTGLVELYAKNNKLRELANLVVVAGYIDVKKSKDREEIAEIEKMHDLMKEYKLDGQFRWIAAQTNRARNGELYRYIADSKGIFVQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIEHGISGFHIDPYHPDQTAELLATFFERCKEDPSHWTKISDGGLKRIYERYTWKIYSERLMTLAGVYDFWKYVSKLERRETRRYLEMFYILKFRELVKSVPLASDD >KJB24380 pep chromosome:Graimondii2_0_v6:4:40279285:40284709:-1 gene:B456_004G142700 transcript:KJB24380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Sucrose synthase (EC 2.4.1.13) [Source: Projected from Oryza sativa (Os03g0340500)] MFRNKDSLEPLLNFLRAHKYKGHALMLNDRIQSIPRLQAALAKAEDHLAKLSSDAPYSEFEYELQGMGFERGWGDTAAHVLETMHLLLDILQAPDPSILETFLGRVPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIKRQGLDITPRILIVTRLIPDAKGTSCNQRLERVSGTEHTHILRVPFRSEHGVLRKWISRFDVWPFLETYAEDVASEIAAELQGIPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSEKEKRLTALHGSIEELLFDPKQNDEHIGTLSDRSKPLIFSMARLDRVKNMTGLVELYAKNNKLRELANLVVVAGYIDVKKSKDREEIAEIEKMHDLMKEYKLDGQFRWIAAQTNRARNGELYRYIADSKGIFVQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIEHGISGFHIDPYHPDQTAELLATFFERCKEDPSHWTKISDGGLKRIYERYTWKIYSERLMTLAGVYDFWKYVSKLERRETRRYLEMFYILKFRELVKSVPLASDD >KJB23141 pep chromosome:Graimondii2_0_v6:4:10288744:10289298:1 gene:B456_004G083300 transcript:KJB23141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPTPCSIKFQPNDNPFPTLKHHQPQNIPIISSTLKTSTKSLHRFISSTDNYNPVVDSSRRGQVLGYQTLTTKGGHSSELKTKQHDEVSLEREAEIVIFKLRAVVEKLRDMEVEKINGRFKGTMSDEERLLVENTSREIADKFLKRPVEYLKASHGDFETKLKDLNLLIRMLENSCCTGRQR >KJB24337 pep chromosome:Graimondii2_0_v6:4:39541266:39543923:-1 gene:B456_004G140300 transcript:KJB24337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNIVYGYDLHKIQSEITCGVETSKAFDCAKLLTSIVSESKQGVVCEMLKQVIWNLRKRVVSDSGDKLILPCMYVPTCSFHSRQVHCSQRSFFGVEDFLDDDNSRPYTYQKEKKSKNPNKHVSFKQRTEAYMEPFTLDVFISKRFVSASVTHRVTCKQVAVAGTNSKDTKAVLRSRSDIPACLAIGRILAERAREGDVYTASYTPREKSELWLNPSLIMELILKFILIE >KJB22328 pep chromosome:Graimondii2_0_v6:4:4096767:4097958:1 gene:B456_004G046300 transcript:KJB22328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDKVQELHVYEMNERDRGSPAYLRLSQKPVNSLGDIVPFSNKIYRGDLEKRIGITAGMCILIEHKPELKGDRYEAIFSFYFGDYGHIAVQGPYLTYQDSYLAITGGSGIFEGVSGQVKLHQIVFPFKIFYTFYLKGIGELPEELLCKPVDPHPAVEAAPAAKACEPHAAIANFTN >KJB21663 pep chromosome:Graimondii2_0_v6:4:452411:455814:1 gene:B456_004G007600 transcript:KJB21663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLPPPYLLYENATYEFCFVLPSKVNSAGIWENATNPAVILSYSLPFLELQLVIIFLVNLLVYTILRSIGITLFASQMFYQKLGIQIMDTASLFGFTLFFFLTGVKMDIKSAFRTTKRSLGIGIVSLLSPILVGGAVQVTLRQPNEPEHVRTERLIGTLIEALTSFSVIACLLAELKILNTELGRLALSSAAVGDLSTLFLVRIITFSRHISSSPFSVLVRGVITCCFIALLFFVFRPLMYWVIKRTPNGEPVAEVYITATTMAAVGCAVLTHWTDQSPLIGAFLFGLAVPDGPPLGSALIDKFECFTNGLFLSVYVTSSTMRIRLQKWLSDPSHVKFSAIFAIATFFAKLIPCCIGSFLNFMPFRDALAFGLIMSSKGIVQLSHICTFRDNKVISQKVFTAMIFCILANATIVPLLVRFLYDSNSRKYGSYEQRNLMNLKPDAELRVLACVHTPNNIPAMICLLDLTCSTKENPNAVYVIHLIELRGRNSPLFIAHHNQETSTAASSFFENIIPFYEYEGNNWDLVTVKAFTIITPPKLMHDDICTMALEKQTSFIILPFHRKWSIDGSLEEENNVVRNLNCNILDQAPCSVGILIDRGRIQKWMKPSSSSSFSIGMLFLGGKDDREALTLAKRMAREPRVNLTVIHLIPYQDCVNVVYWDTVLDTEMLKDVKQNIGVLGNGCDIMYLEEVSNCGAQTIKLIRSIANNYDLMIVGRRYGVESVLLTGLSEWSEFPELGVVGDLFASTDLDSRVSVLVVQQQQ >KJB26319 pep chromosome:Graimondii2_0_v6:4:57544400:57551013:1 gene:B456_004G236900 transcript:KJB26319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFSLHQAQQCFFLYSPKPLSKFPRARTLFFLCSKMSSNSNSLQNYPTPLSPPLPSISKDIELARAMSASSKSSLFGLSRSDILYEDEWLIAVNKPQGIYCDTILASVPRFLSGSAPSDRLCEGNQASTLELHLANRLDRDTSGVMVITKSHKVASVLVKAFTNHKVQKTYVAFCIGSAPKSERITIKSGHGRSKFGAWRVYAAGDVGRKLPGGSIVRDMETTFEVLSINGQQNYKEQSECMEDEEHSIVVEEKAVTDVDANKDEILVRAFPRSGRTHQIRLHCQYLGFPIRGDVKYEGVYEWKGRTYDAHELHAESLTFQHPVTGFPLLIQAPLPLWASQALQLLTD >KJB26915 pep chromosome:Graimondii2_0_v6:4:60135664:60137297:-1 gene:B456_004G265900 transcript:KJB26915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFHMITTLLFLLTIMLGASNAQLSATFYAKTCPNVSTIVSNVLQQAQGNDIWIFPKIVRLHFHDCFVHGCDASLLLNGTDGEKTATPNLSTEGYEVIDDIKTALEKACPRVVSCADVLALAAQISVSLGGGPKWQVPLGRRDSLTAHREGTGSIPTGHESLANIATLFKSVGLDSTDLVALSGVHTFGRARCAAFMDRLYNFNNITGKTDPTLNATYANTLKQRCPKGGDTKSLIDLDEQSSLTFDNKYFSNLQNRRGLLQTDQELFSTNGAETVAIVNRFASSQSQFFSSFAKAMIKMGNLNPLTGTNGEIRLDCKKVN >KJB26914 pep chromosome:Graimondii2_0_v6:4:60135667:60137258:-1 gene:B456_004G265900 transcript:KJB26914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFHMITTLLFLLTIMLGASNAQLSATFYAKTCPNVSTIVSNVLQQAQGNDIWIFPKIVRLHFHDCFVHGCDASLLLNGTDGEKTATPNLSTEGYEVIDDIKTALEKACPRVVSCADVLALAAQISVSLGGGPKWQVPLGRRDSLTAHREGTGSIPTGHESLANIATLFKSVGLDSTDLVALSGVHTFGRARCAAFMDRLYNFNNITGKTDPTLNATYANTLKQRCPKGGDTKSLIDLDEQSSLTFDNKYFSNLQNRRGLLQTDQELFSTNGAETVAIVNRFASSQSQFFSSFAKAMIKMGNLNPLTGTNGEIRLDCKKVN >KJB22385 pep chromosome:Graimondii2_0_v6:4:3953607:3954383:1 gene:B456_004G044800 transcript:KJB22385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPFSYYPLPSPSDPIKGTQLSISLPDDDVLNSFFIVFSTSPSSSALQWLTNKYESPPIPPNMFILSFRTKETTPPA >KJB22161 pep chromosome:Graimondii2_0_v6:4:2664913:2669087:-1 gene:B456_004G032600 transcript:KJB22161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKDHGSSAPSTPTSARFRHRRRSNEFNFEMSKTNGNHLLINDHDKYKSMWIRACSSLWMLGGFLLIIYMGHLYILAMVVVIQIFMASELFNLLRRAHEDKRLPGFRILNWHFYFTAMLFVYGRILSHQLVNTVTSDKIFYKLVSKLIKYQMVICYFLYIAGVMWFILTLKKKMYKYQFGQFAWTHMILIVVFTQSAFTVANIFEGIFWFLLPASLIAVNDVAAYFFGFFFGKTPLIKLSPKKTWEGFIGASVVTTISAFVLANVFGHFQWLTCPRKDLSTGWLLCDPGPLFKPEYYPLPGWLSRWVLPWKEVAILPVQWHALCLSLFASSIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYHQSFVVPQDYTVEMIINEILRSLTLEEQNILYTKLGQILQERMVELY >KJB25884 pep chromosome:Graimondii2_0_v6:4:54639301:54642183:-1 gene:B456_004G213500 transcript:KJB25884 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT4G11400) UniProtKB/Swiss-Prot;Acc:Q9LDD4] MAGWSSLTNGSGLDCVSHVTKDSVEEFCDHKDRLRCLFDLVLSGYLKEVTGKGFIRPMPPMLGNDMQSPDLLKLFLVVREIGGYEFVSKKGLWAFVVKELGLDLEVSASVKLIYAKYLHELEKWLKKRNREGSDVGNFGFLSLEHEEEFRGLFTNGVRPKVVVNRVALLEYVKHDQFIGMDAANRLKCRLQMFGDYEEKERVCRNDFGPPFPQKEFTTRKRKRESLSGMLNWVIQVAKCLDDPSAGAILEPSKWKDHVGNEFWIQAIRAREALRRKRDDRSVVEPSLSQKNRKMHPSMFDDTSLHHQFAERLRSCSCCISASTLENNLMCLNSTKSECGPEEQPVVAMDLSSLDTSMAAEPSVDDSFRRQVFVGPRFQAEVPEWSGIVSDTDSKWLGTLEWPVKCEEHNSLAVTDQIGKGRPNSCSCQIPGSVECIRLHIAEKRMKLKLELGSVFYRWKFDGMGEEVTLRWTAEEEKRFKYMVQFEPPSLNTFWPSASKFFPGKTRQDVGSYYFNVFLIRRRSYQNRVTPKSIDSDDDETEFGCISGSLGSEAVKVPGSNMLTCFQNSQCINWE >KJB23112 pep chromosome:Graimondii2_0_v6:4:9799904:9801870:1 gene:B456_004G081400 transcript:KJB23112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTVIAINVDREGVALAPPYSSKSQKKKLDRFYCQPIVSILKQKPMNGHDMRKVIHSVKVGVALVLVSLLYLLGPLYKRVGENAMWAIMTVVVIFEFFAGATLSKGLNRGIGTVLGGGLGCLGAAFAQAVGGVGKAIVVGIAVFIFGAGATYTRQIPNIKKKYDYGALIFILTFNLVVVSGLRADQVLELARDRLATIVMGFAICIFISLLVFPIWAGDELHHSLISRFEDLARSLEGFSKEYFENDNHKEKKSSANFSGKCKSILHSKAKDESLVNFARWEPWHGKFGFSYPWGKYLKIGEDLRDLAIIILSLKGCHDQSSEILEASVKEACEGIIASLAWTIKELGESIKEMSKCRYEDMIMPKMKSVRIEVSAIVNPFALGTYLENSDGLGIASFVHSLMKMVEKLEELAKEVEELGQLGGFHENS >KJB25955 pep chromosome:Graimondii2_0_v6:4:55150836:55152204:-1 gene:B456_004G217900 transcript:KJB25955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLLATKTTAANKDKDKDKKRPVSRSSRAGIQFPVGRIHRQLKSRASAHGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKD >KJB25954 pep chromosome:Graimondii2_0_v6:4:55150524:55152584:-1 gene:B456_004G217900 transcript:KJB25954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLLATKTTAANKDKDKDKKRPVSRSSRAGIQFPVGRIHRQLKSRASAHGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKD >KJB26351 pep chromosome:Graimondii2_0_v6:4:57645341:57649277:1 gene:B456_004G237900 transcript:KJB26351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAEVLRMKPPLVPLATLIGRELRNEKVEKPFVKYGQAALAKKGEDYFLVKLDCQRIPGNPATSFSVFAIFDGHNGISAAIFAKENLLSNILSAIPQGISREEWLQALPRALVAGFVKTDIEFQQRGETSGTTVTFVVIDAWTVTVASVGDSRCILDTQGGIVSLLTVDHRLEENAEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDMAAKSCRGLPADLGAKMVVKEALRSRGLKDDTTCLVVDIIPSDQPVLPSTPRKKQNMLSSILFRKKSLNFTNKTTNKLSAIGVVEELFEEGSAVLAERLGKDFPTNANSGIFRCAVCQVDQPPAEGLTMSSGPFFSHGSKHWEGPFLCTNCRKKKDAMEGKRPSRPTVVA >KJB26349 pep chromosome:Graimondii2_0_v6:4:57646424:57647791:1 gene:B456_004G237900 transcript:KJB26349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAEVLRMKPPLVPLATLIGRELRNEKVEKPFVKYGQAALAKKGEDYFLVKLDCQRIPGNPATSFSVFAIFDGHNGISAAIFAKENLLSNILSAIPQGISREEWLQALPRALVAGFVKTDIEFQQRGETSGTTVTFVVIDAWTVTVASVGDSRCILDTQGGIVSLLTVDHRLEENAEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKVTYSSLLLMHFFPSKQSNLKLVFHFNLVVKCWRSTYYCFRWYLGCFIFRHGCQVLSGITSRPWSKDGC >KJB26352 pep chromosome:Graimondii2_0_v6:4:57645341:57649229:1 gene:B456_004G237900 transcript:KJB26352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAEVLRMKPPLVPLATLIGRELRNEKVEKPFVKYGQAALAKKGEDYFLVKLDCQRIPGNPATSFSVFAIFDGHNGISAAIFAKENLLSNILSAIPQGISREEWLQALPRALVAGFVKTDIEFQQRGETSGTTVTFVVIDAWTVTVASVGDSRCILDTQGGIVSLLTVDHRLEENAEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDMAAKSCRGLPADLGAKMVVKEALRSRGLKDDTTCLVVDIIPSDQPVLPSTPRKKQNMLSSILFRKKSLNFTNKTTNKLSAIGVVEELFEEGSAVLAERLGKDFPTNANSGIFRCAVCQVDQPPAEGLTMSSGPFFSHGSKHWEGPFLCTNCRKKKDAMEGKRPSRPTVVA >KJB26350 pep chromosome:Graimondii2_0_v6:4:57645341:57649229:1 gene:B456_004G237900 transcript:KJB26350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAEVLRMKPPLVPLATLIGRELRNEKVEKPFVKYGQAALAKKGEDYFLVKLDCQRIPGNPATSFSVFAIFDGHNGISAAIFAKENLLSNILSAIPQGISREEWLQALPRALVAGFVKTDIEFQQRVTFVVIDAWTVTVASVGDSRCILDTQGGIVSLLTVDHRLEENAEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDMAAKSCRGLPADLGAKMVVKEALRSRGLKDDTTCLVVDIIPSDQPVLPSTPRKKQNMLSSILFRKKSLNFTNKTTNKLSAIGVVEELFEEGSAVLAERLGKDFPTNANSGIFRCAVCQVDQPPAEGLTMSSGPFFSHGSKHWEGPFLCTNCRKKKDAMEGKRPSRPTVVA >KJB26348 pep chromosome:Graimondii2_0_v6:4:57645303:57649395:1 gene:B456_004G237900 transcript:KJB26348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAEVLRMKPPLVPLATLIGRELRNEKVEKPFVKYGQAALAKKGEDYFLVKLDCQRIPGNPATSFSVFAIFDGHNGISAAIFAKENLLSNILSAIPQGISREEWLQALPRALVAGFVKTDIEFQQRGETSGTTVTFVVIDAWTVTVASVGDSRCILDTQGGIVSLLTVDHRLEENAEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDMAAKSCRGLPADLGAKMVVKEALRSRGLKDDTTCLVVDIIPSDQPVLPSTPRKKQNMLSSILFRKKSLNFTNKTTNKLSAIGVVEELFEEGSAVLAERLGKDFPTNANSGIFRCAVCQVDQPPAEGLTMSSGPFFSHGSKHWEGPFLCTNCRKKKDAMEGKRPSRPTVVA >KJB23923 pep chromosome:Graimondii2_0_v6:4:30241016:30248469:-1 gene:B456_004G121400 transcript:KJB23923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRVAGGLTQSSSSSGIFFQGDGQSQVVVNTHLCSPYENSSNLIPGTGHPNLAPVSGDMNSAVLNNVANSGPSVGASSFVKDANLAFSRGPHLQRSASINADSYMRLPASPMSFSSNNINMSGSSVIGGSSIGQHGSHQDPSVQQMQQSQQLQQGASSASSLPISQTGQVSLTMGPRGPGTFVQDPNIVSQVQKKTRVDVKQEDILQQQVLQQLLQRQDSMQLPGRNPHLEALIQQQRLRQQQMLQSMPPLQRAHLQQQMQLRQQLQRQDMQQVASIKRPSDGVCARRLMQYLYHQRQRPSDNTIAYWRKFVAEYYSPRAKKRWCLSLYDNVGSHAHGIFPQAAMDTWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDLPRECRFPSGIMMLEYGKAVQESVYEQLRVVRQGQLRIIFTQDLKILSWEFCARRHEELLPRRLVTPQVNQLLQVAQKCQSTICEGGSEGVSQEDLQTNSNMVLTAGRQLVKSLELQSLNDLGFSKRYVRCLQIAEVVNSMKDLMDFCREHKFGPIGGLRNYPKHATAAKLQTQEMEHLANAQGQPTDRNTLNKLMALHPGINNPMGSSNHIAGGGASSGSAQATLALTNHRNLLRRQNSVNSSPNSHHQETSSSFNNSIHSRSIFQGSVALLPGSMQSLPVSGLSSPSLAAHQPTASTNDLMKQNHPESSQDNQALQQQMIHQLLHEMSNNNTGGQQQSLSGHNGTGSEGRNGVCFGSNAPASAAATSNVSGGVAGPAPSQSNSFKAASNSDSSAAGGSNVFTRGIPDLPQNQLHFQDDIVTDIAHEFTENGFFNSDLDDDQGYGWKA >KJB23924 pep chromosome:Graimondii2_0_v6:4:30241016:30248501:-1 gene:B456_004G121400 transcript:KJB23924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSAVLNNVANSGPSVGASSFVKDANLAFSRGPHLQRSASINADSYMRLPASPMSFSSNNINMSGSSVIGGSSIGQHGSHQDPSVQQMQQSQQLQQGASSASSLPISQTGQVSLTMGPRGPGTFVQDPNIVSQVQKKTRVDVKQEDILQQQVLQQLLQRQDSMQLPGRNPHLEALIQQQRLRQQQMLQSMPPLQRAHLQQQMQLRQQLQRQDMQQVASIKRPSDGVCARRLMQYLYHQRQRPSDNTIAYWRKFVAEYYSPRAKKRWCLSLYDNVGSHAHGIFPQAAMDTWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDLPRECRFPSGIMMLEYGKAVQESVYEQLRVVRQGQLRIIFTQDLKILSWEFCARRHEELLPRRLVTPQVNQLLQVAQKCQSTICEGGSEGVSQEDLQTNSNMVLTAGRQLVKSLELQSLNDLGFSKRYVRCLQIAEVVNSMKDLMDFCREHKFGPIGGLRNYPKHATAAKLQTQEMEHLANAQGQPTDRNTLNKLMALHPGINNPMGSSNHIAGGGASSGSAQATLALTNHRNLLRRQNSVNSSPNSHHQETSSSFNNSIHSRSIFQGSVALLPGSMQSLPVSGLSSPSLAAHQPTASTNDLMKQNHPESSQDNQALQQQMIHQLLHEMSNNNTGGQQQSLSGHNGTGSEGRNGVCFGSNAPASAAATSNVSGGVAGPAPSQSNSFKAASNSDSSAAGGSNVFTRGIPDLPQNQLHFQDDIVTDIAHEFTENGFFNSDLDDDQGYGWKA >KJB23925 pep chromosome:Graimondii2_0_v6:4:30241406:30247553:-1 gene:B456_004G121400 transcript:KJB23925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSAVLNNVANSGPSVGASSFVKDANLAFSRGPHLQRSASINADSYMRLPASPMSFSSNNINMSGSSVIGGSSIGQHGSHQDPSVQQMQQSQQLQQGASSASSLPISQTGQVSLTMGPRGPGTFVQDPNIVSQVQKKTRVDVKQEDILQQQVLQQLLQRQDSMQLPGRNPHLEALIQQQRLRQQQMLQSMPPLQRAHLQQQMQLRQQLQRQDMQQVASIKRPSDGVCARRLMQYLYHQRQRPSDNTIAYWRKFVAEYYSPRAKKRWCLSLYDNVGSHAHGIFPQAAMDTWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDLPRECRFPSGIMMLEYGKAVQESVYEQLRVVRQGQLRIIFTQDLKILSWEFCARRHEELLPRRLVTPQVNQLLQVAQKCQSTICEGGSEGVSQEDLQTNSNMVLTAGRQLVKSLELQSLNDLGFSKRYVRCLQIAEVVNSMKDLMDFCREHKFGPIGGLRNYPKHATAAKLQTQEMEHLANAQGQPTDRNTLNKLMALHPGINNPMGSSNHIAGGGASSGSAQATLALTNHRNLLRRQNSVNSSPNSHHQETSSSFNNSIHSRSIFQGSVALLPGSMQSLPVSGLSSPSLAAHQPTASTNDLMKQNHPESSQDNQALQQQMIHQLLHEMSNNNTGGQQQSLSGHNGTGSEGRNGVCFGSNAPASAAATSNVSGGVAGPAPSQSNSFKAASNSDSSAAGGSNVFTRGIPDLPQNQLHFQDDIVTDIAHEFTENGFFNSDLDDDQGYGWKA >KJB22741 pep chromosome:Graimondii2_0_v6:4:6355324:6356439:1 gene:B456_004G063800 transcript:KJB22741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSEISGLQYIVPSNPSPYSVPAFELSRFSNPLHNLYIPPQLQEIISPHPSCINNSTSDEADEQQLCVINERKQRRMISNRESARRSRMRKQRHLDELWSQVVWLRNENHQLIDKLNHVSESHDKVVEENVQLKEEASQLRRMLSDVQLTSPYSPLTDLEHALADN >KJB24550 pep chromosome:Graimondii2_0_v6:4:42715868:42717338:-1 gene:B456_004G150700 transcript:KJB24550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPIPKVGSRRNGRSSARKSARRILKGVIHVQASFNNTIVTVTDVRGRVISWSSVGTCGFKGNVIQAIVEQGMQRAKVMVKGPSLERDAALRPIVEVRKHCDAKSFAWRTRRNMHYTCKSKKIPHEYSTIVGIQESIHEILMNLKEIVLKGNLYETRNSFICAKGLGYVTVQDIILPPSMEIVDNTQHVASLTEPIDLLVKLIKKKKEIALKYIFIDQSKLPPRIYNCLKKSNIHTLLDLLNNSREDLMKIEHFHIEDVKQTLGILEKK >KJB22916 pep chromosome:Graimondii2_0_v6:4:8061208:8061723:-1 gene:B456_004G073500 transcript:KJB22916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANHLEDSKSSSEETDKSEQIIDDMRAGRRSYECVFCKRGFTTAQALGGHMNIHRKDRAKSRPSSVPIVSLSGNKADDKNYPSFRPYSYPPIRSYQPHYSIAPEVHVSYQAFLPVSGWGFTLPPHTAQLFVDNSKHLNPFGEDDHENKKADGYNDKEDELDLELRLGHDP >KJB26031 pep chromosome:Graimondii2_0_v6:4:55646965:55647864:-1 gene:B456_004G221900 transcript:KJB26031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKTMRLPPRRVSMPNNKRKERDDFDIKRLNPPPLTKLPKPAVSLAGSEKAASQPGLSNQLLAGYLAHEFLTHGTLFGQTWDLARPQQTSAESRKRIGEDSAPNQRSDAEPKPREEKRQRYVEVASLLKTDGAHIPGIANPTQLARFLQM >KJB23745 pep chromosome:Graimondii2_0_v6:4:25890259:25892972:1 gene:B456_004G112800 transcript:KJB23745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITPPQRRLPIPRLSLFSLPFSALVLNAPILPRFLAQGEVLRPLSEIDDLSSTFLKFNTTINGPRGSGIVGDRGSREGSSVAEWPHGEEVPYWLGQQALETESVPRDKHWSSQLSPNLDSKHLCRTSSYPEQQQNQHFSSEPILVFDFDFLFFSCV >KJB21401 pep chromosome:Graimondii2_0_v6:4:3677981:3681058:-1 gene:B456_004G042600 transcript:KJB21401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSGMNLITTVIGFGMSATFIVFVCTKMICRRIRGSQTRLLFQTESRIDLEQAEAEIRGVEPVVVAAIPTIKFNREAFKSMEDAQCSICLGEYVEKEVLRIMPKCAHNFHLSCIDVWLRKHSTCPVCRTPLLDSLEFDTGQSGT >KJB24646 pep chromosome:Graimondii2_0_v6:4:44005851:44008201:-1 gene:B456_004G155300 transcript:KJB24646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRSEEGEGNSKRKWSLQDFEIGKPLGKGKFGRVYLAREVKSKYIVALKIIFKEQLEKYRIHHQLRREMEIQTSLRHPNILRLYGWFHDSERIFLILEYAYGGELYNELRKHGHFSEEQAATYIASLTTALAYCHEKHVIHRDIKPENLLLDHEGRLKIADFGWSVQSRSKRHTMCGTLDYLAPEMVENKAHDYAVDNWTLGILCYEFLYGAPPFESESQSDTFKRIMKVDLSFPSTPNVSMEARNLISRLLVKDSSKRLSLQKIMEHPWIIKNANPTGTCKK >KJB24645 pep chromosome:Graimondii2_0_v6:4:44005851:44008181:-1 gene:B456_004G155300 transcript:KJB24645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRSEEGEGNSKRKWSLQDFEIGKPLGKGKFGRVYLAREVKSKYIVALKIIFKEQLEKYRIHHQLRREMEIQTSLRHPNILRLYGWFHDSERIFLILEYAYGGELYNELRKHGHFSEEQAATYIASLTTALAYCHEKHVIHRDIKPENLLLDHEGRLKIADFGWSVQSRSKRHTMCGTLDYLAPEMVENKAHDYAVDNWTLGILCYEFLYGAPPFESESQSDTFKRIMKVDLSFPSTPNVSMEARNLISRVTICIGYEKSWSNSIEASC >KJB26188 pep chromosome:Graimondii2_0_v6:4:56383012:56386610:1 gene:B456_004G229300 transcript:KJB26188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGETFESPHYCSTLRLAEHSAAEVALQSLSSRGPSHSLAARILDETGVYKNLLQEIAQRVGAPLPQYTTFRSGLGHLPVFTGTVELAGITFTGESAKNKKQAEKNAAMAAWTSLKQLAKETASFSSEPENNDELEQITIARALLNYRIKEKMAMANSSTAPIPFTKKFPIQNPRPTSPQPPATTSKILPFICRKVVPRNRSMSATASDKPILLSLQAPESRGPRMQKFPVAGAAPYVPIQQFRTPCHGIAPPVTIRTAVPVFSAPPRPAPSAVSPQPPASAVPTQQGQSGLSSQPPQCAASPLQPPSTVPPQLLRIPPPVTIRQAIPVFASPPVRKQDTPPVINEDTTTATKSHAQVEEDANTKTKDLQESETVRSLEQLKI >KJB26751 pep chromosome:Graimondii2_0_v6:4:59378363:59379721:1 gene:B456_004G257700 transcript:KJB26751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKFAQLFVSTLLLLTPLLSIADGRDIGVCYGLNGDNLPPPNEVINLYKRCQIDNIRIYQPYPEVLEALRGSGISVTIGPRNEDIASFATSQDAANDWVNTNIVPYADDIVFRWITIGNEVIPGPLGSNVPAAMNSIRNALDSAGITLAKVTTVLPGTALAASYPPSAGAFGSDITETMTGIAAILAQEDTPLLINVYPYFAYASDPSHISAQYAMFTSTAPVVIDGSFQYFNLFDAMVDAFNAALEKINFGNVKVAVAETGWSTVGNEPYTSVANAQTYNRNLLNHVTQNGTPRRPDYIMPTFFFEMFNEDLKGNVVEQNFGFFYPSMQPVYPFW >KJB25288 pep chromosome:Graimondii2_0_v6:4:49706791:49707986:1 gene:B456_004G183500 transcript:KJB25288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCSSDDANLKKGPWTPEEDEKLLDYINTHGHGNWKTLPKHAGLNRCGKSCRLRWANYLRPDIKRGRFSEEEERLIVNLHSTLGNKWSKIATYLPGRTDNEIKNFWNTHIRKKLLNMGLDPNTHKPRTDLNHLLNLTQLICAAQLGSLMNPWESSALKVQADAAQLLQNLIQTLNTNQLSTITAGLMGSQKSYPYEGLINGTSSLYADEPAPVPQKFHSMSQGYESADMSDFLISELVSESPETATTSMNQMGDDKTNTNHSSTMSPADTSMFQAWEKLMGDETDSSFWKDILDLTSSPSSPIQR >KJB27344 pep chromosome:Graimondii2_0_v6:4:62032369:62033162:1 gene:B456_004G292000 transcript:KJB27344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAYITNDSARKATFKKRKKGLMKKVSELSTLCGIDACAIMYSPYESQPEVWPSAMGVQRVLSKFKKVPEMEQSKKMVNQESFLAQRIAKANEQLKKQCKDNREKEMTHVMFQNLNGKAGILGLNVVDLNDLAWLIDQNLKEIDKRVDALAKTSLNPQGQGGSSSSVTVPPMVTPEVASTGDMVQGEVNNMDWMQRQQWIMELMNTNNNPQTQTHVGFSGEETVFPFGDKIHNVNNGLWSNAFFPWEK >KJB21627 pep chromosome:Graimondii2_0_v6:4:331990:333871:1 gene:B456_004G005200 transcript:KJB21627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFKFDHGSVPMPVYANHNLDNGSKESPIDSSSSSPSSGMSSDSPFPNAMLKYINQMLMEEDLEEKTCMLQDCLALQAAERSFYEVLCHNYPIPAGLNHYYPDDDCNSSKGTIERLGEGSISPSVDVDKVRGRKNYQREDVEEGRINKQLALSLDDSEQTEMFDDVLLCKGENEDSPKCSLNGNSAQHRQIKRGFMESLTQCAQSVAVNDQRTANEMLKLIRQHSSEFGDGTQRSAHDFANALTTRLAGMGAPLFFAHLLSNRTSAADALKAYGVYVLACPFKKMSNFYANKRIMELAEKATTLHIIDFGICYGFQWPCLIQLLSAQAGGPSKLRITGSSSHNQVSDLQKGYCERFNVLFKCNVIAKKWETIQLEELKITKDEVVVVNCMHRLKNLPDDTVSPTSARETVLKLIRSINPELFIHGVANGTYNAPFFFSRFRKALFHFSAQFDIFEATVAQDDPQRMMFEKEIHGRDIMNLVACEGTERVERPETYKQWQVRTLRTGFKQVPLNQELVKKVMDMVQSSYHRDFVVDVDGHWMLQGWKGRIIYALSCWKPVKN >KJB22707 pep chromosome:Graimondii2_0_v6:4:6075055:6078233:-1 gene:B456_004G061500 transcript:KJB22707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVEMVQHLHELLVFVLGNTETREKKRAEKIGELDKLLLDIRTVLFGDGQIEPNAEACAQVTKEFFQKDTFRLLINCLPSLDSGARQNATHVIANLQRQRVNSKVIASEYLENNLDIMDILVPGYEDSDIAWTYGAILRECIRHQNVARYVLESYHMKKFFGYLQNPNFDIASDVQATFKELLTRHKSTVAEFLSANYDWFFQEYNSQLLQSESYITRRHAVKLLGDMLLDRSNSAVMVRYVTSLDHMRIMMNLLRDSNKTIKLDTFHVFKLFVANHNKPPEIISVLVTNRSKLLRFFGEFNIDKEDEQFEADKSQIIKEIATLQPTDRPCQDLDSF >KJB27141 pep chromosome:Graimondii2_0_v6:4:61287923:61290333:1 gene:B456_004G281100 transcript:KJB27141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFWRGLIILPLLFVYVNGEHFLYPKRHTGKAELAGYKGPDSLAVDGGGFYSGPLIADDDGSTAGGNAGGTTEVKVENLGKADGDNTNGNASSVESTQVAAKNGIGNGTIVEAKTTFKGSWELFVENAGVSAMHLILLPKINQALMFDATVWKISKMKLPGPPCRRVEGTNKEDCYVHSILMDVETGKIRPLRLNYDTWCSSGALDINGRLVSTGGYNNGSDTVRILDLCDTCGWEEYPGALGNGRWYATQVTLGDGRFMVFGGRDFPTYEFVPPQGQKNTLKDVIDFNFLVETHDPVENNLYPFVYLSTDGNVFIFANNRSVLLNPNTQTIIHEFPVLPNGARNYPASGSACLLPIMLKPNEDRRVIPSEVLICGGASHDAYTKADLQRPKVFLPGNTDCARLDITKRNGKWKIINMPSARLLGDMVVLPTGDVLIVNGAKTGSAGWDDAREPNLNPVLYKFQTDGTGSKFTVLNPSNIPRMYHSSFALLPDAKILIAGSNTNPGYLDDALFPTEVRVEKFSPHYLDPNLAMFRQEIIVEKSNNQVKYGQKFTVQIRGNGEIDQQKLQVTVYSPPFVTHGISMNQRLIQLGIMEFNKNVAPNTNNIVLQAPMNGNIAPPGYYMLFVNYNGVPCRQSMWVQFLP >KJB26181 pep chromosome:Graimondii2_0_v6:4:56357623:56358042:-1 gene:B456_004G228900 transcript:KJB26181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFASFLLLLSSLAFLVSIGNGQDRAPHGIAHENPMAFSPSAYEFFHPKTQNPDTKNPCATSKCSPLPVVAEVDSNKALETKALPQQKPGHPLGAGGVVAIVFGLAFVVLSAMGVFYVIKTRRVTATNNQPNTVQLEA >KJB22621 pep chromosome:Graimondii2_0_v6:4:5570076:5570585:-1 gene:B456_004G058100 transcript:KJB22621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPAQNVVTKKMILVRALCLTQSLITHWILNKFHVHVINGFNHGEILEAHSRSKDDDLGVRHIPAYGEFNWTFRTDFFSRTKFSCHMWWTGGEKYLDVFWVDNKFLSNECGGNNCRRRSQDDGVYLLLFSYHHN >KJB27299 pep chromosome:Graimondii2_0_v6:4:61897784:61898309:1 gene:B456_004G289600 transcript:KJB27299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFIASKRNRRGRRFCFVRYGRLEEAKRALWSLDGRWFSNHRLTVSMAKFIPRDDLWRKANGREIRQHT >KJB23869 pep chromosome:Graimondii2_0_v6:4:28877205:28880026:1 gene:B456_004G118600 transcript:KJB23869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGVKALHLSFVPHALAIAGAVMVLVWCIHFRGGLAWESSNKNLIFNLHPVLMLIGFIILGGEAIMSYKSLPLKKEVKKVIHLVLHATALILGIIGIYTAFKNHNESSIPNLYSVHSWLGIGIITLYGIQWIYGFLIFFYPGGSTGLRSESLPWHVLLGLFVYILAVGNATLGFLEKLTFLENSGLDKYGAEAYLVNFTAIVTILYGAFVIFTVLSQGPQEDDYSYSAI >KJB25205 pep chromosome:Graimondii2_0_v6:4:49233683:49243311:1 gene:B456_004G181200 transcript:KJB25205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNPKTEGQSSGTPSSDNAGEGKQEPQTNNPQETRITTAKDKVGHVVEEIRRDLESYHKGLALTGKPGVGKTWLAKQITESAVSPEGPFYMSLWMSLSLNQKKDKMSLFQSIARQLSIPNLGSMWEDADDIDDDDKREEVADEKLEAKVRGGLNERYECYNGKEKGEKKHMFLLVVLDCEGEVKSEDYEEIMDQILFPKDYKNGSDIKRLTSFLITSRELGNPDFIEKKVQPYSGDEAVAFLKDRVNNHVSLPLKFQTLCADIKKRSQVLPTEIIMLAEALNPTATDLNNAFDAAHFILKAAENDDVIPLLPFIYGQLPDDNCLIDCFWHSWNLLGKHGGVQYNELIARWILEGHLDLADGIRKAYNKGYNVMMKLVDRGMLKMQEDNLIVLEKATLGLEDRNCRELFEKSNLGLAGILEDKGRKVFERMTPTDGMMKTVKVDKKGKSVSSLLIDGSRFSREDPKKFFKEKQHLEVLALFNPRLTCIPDPIPEMKNLLLLVIRGCYLLQTVDCIKGLKELMALEITGSPLLKEMPKDFFTKMTKLRSLNLSALGIESLPDVFSLTKLRRLILRNCTYLKALPKLASLKDLEVFDVSGSSNLKRLQEKSFQSFHKLRFADFSGTSIEKLPIVQTLANLTVLLVRGCVCLSGLRFMKHLHNLKVLDVSGATKIKEIFYDCFTDTDNLKILDLSETDIRFLPESLGKSLCDLKLKGCSKLERLLDTKNLTSLESLDLSDCSSLKGFPNNFFEHLTSLKSLDLSNSQVKSFPSHFDLPFLRHLLLKNCSFESLPESKTFASFDELRNLVELDLSGCKSPAEKLPSLEHLIELEVINLSGYKALSEIDASFEHMSWLQVLNLSETKVSRLPKLSNPSKLRSLILNNCTQLRTSPDFDILSELEELDLRGTCSLTNIKAESLSCLPQLKTLRLSKSSFEAIQSYLPNLKQLEVLDLSGEDVEFVPSLDNLISLRQLLLGGCSKLNKLPPLNLLSELEVLDLSGTKVLKIGEKIWNLKLKRLHLPEEAIEEFNNGIHRKKLEDLPLELKLDHCYVSKHSEIPQGDNVPRIIVQGVELLKSLKKDSALLERIRHSIFSVRAQPKKEDNYSDSRKHIFSCIYSKIKKLPSEVKDDQCLEIQGFDVFPSDIEVLLEHATYVFLVENGFLKNLSDLKPDSLKNIRGCWLERCNNMEKSIFMEADLGKWGTLEILWISNLLELKSLYEEKVQSLSFGSIKHLYIDCCPKLETVFPSWLIAENLETLQITFCDNLKTLFGDKGSDEEKEQTSNLEDTRPTNKKEQYTTGLEDKKVHETKSSAKGKVQPKSPINKKEEVQPETLTDKEKQEKVQQPESLPDNKGKDAPKILLDKEEKEKTRQPESLLDKEGQDASKSLRDKEEKEKAPQPESLPDKEGKDAPKNLPNKEEKEKAQQPESLPDKEGKDTPKSLPDKEEKKKVQQPESLLDKERKDAPKSLSDKEEKEEAQQPESLQDKKDKDVPKSLLDKEEKEEAQQPESLPNKEEKDVPKSLPDKEVNEEAHQLESLPDKEEKDKPKSLPDKEENEEAQQSESLPDKAANPVNMQEEQAVSSADMKKIKLKHLHISYCPVLETIFSSEEVPRNLEILRVKHCDKLKSVFQLELSNHELPKLGTLHLSDLPAWASSGYGFKLNELVTKNIRISRVKKLEDIHPDNASMEATPGYEN >KJB25203 pep chromosome:Graimondii2_0_v6:4:49233683:49243311:1 gene:B456_004G181200 transcript:KJB25203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNPKTEGQSSGTPSSDNAGEGKQEPQTNNPQETRITTAKDKVGHVVEEIRRDLESYHKGLALTGKPGVGKTWLAKQITESAVSPEGPFYMSLWMSLSLNQKKDKMSLFQSIARQLSIPNLGSMWEDADDIDDDDKREEVADEKLEAKVRGGLNERYECYNGKEKGEKKHMFLLVVLDCEGEVKSEDYEEIMDQILFPKDYKNGSDIKRLTSFLITSRELGNPDFIEKKVQPYSGDEAVAFLKDRVNNHVSLPLKFQTLCADIKKRSQVLPTEIIMLAEALNPTATDLNNAFDAAHFILKAAENDDVIPLLPFIYGQLPDDNCLIDCFWHSWNLLGKHGGVQYNELIARWILEGHLDLADGIRKAYNKGYNVMMKLVDRGMLKMQEDNLIVLEKATLGLEDRNCRELFEKSNLGLAGILEDKGRKVFERMTPTDGMMKTVKVDKKGKSVSSLLIDGSRFSREDPKKFFKEKQHLEVLALFNPRLTCIPDPIPEMKNLLLLVIRGCYLLQTVDCIKGLKELMALEITGSPLLKEMPKDFFTKMTKLRSLNLSALGIESLPDVFSLTKLRRLILRNCTYLKALPKLASLKDLEVFDVSGSSNLKRLQEKSFQSFHKLRFADFSGTSIEKLPIVQTLANLTVLLVRGCVCLSGLRFMKHLHNLKVLDVSGATKIKEIFYDCFTDTDNLKILDLSETDIRFLPESLGKSLCDLKLKGCSKLERLLDTKNLTSLESLDLSDCSSLKGFPNNFFEHLTSLKSLDLSNSQVKSFPSHFDLPFLRHLLLKNCSFESLPESKTFASFDELRNLVELDLSGCKSPAEKLPSLEHLIELEVINLSGYKALSEIDASFEHMSWLQVLNLSETKVSRLPKLSNPSKLRSLILNNCTQLRTSPDFDILSELEELDLRGTCSLTNIKAESLSCLPQLKTLRLSKSSFEAIQSYLPNLKQLEVLDLSGEDVEFVPSLDNLISLRQLLLGGCSKLNKLPPLNLLSELEVLDLSGTKVLKIGEKIWNLKLKRLHLPEEAIEEFNNGIHRKKLEDLPLELKLDHCYVSKHSEIPQGDNVPRIIVQGVELLKSLKKDSALLERIRHSIFSVRAQPKKEDNYSDSRKHIFSCIYSKIKKLPSEVKDDQCLEIQGFDVFPSDIEVLLEHATYVFLVENGFLKNLSDLKPDSLKNIRGCWLERCNNMEKSIFMEADLGKWGTLEILWISNLLELKSLYEEKVQSLSFGSIKHLYIDCCPKLETVFPSWLIAENLETLQITFCDNLKTLFGDKGSDEEKEQTSNLEDTRPTNKKEQYTTGLEDKKVHETKSSAKGKVQPKSPINKKEEVQPETLTDKEKQEKAQQPESLPDKEGKDTPKSLPDKEEKKKVQQPESLLDKERKDAPKSLSDKEEKEEAQQPESLQDKKDKDVPKSLLDKEEKEEAQQPESLPNKEEKDVPKSLPDKEVNEEAHQLESLPDKEEKDKPKSLPDKEENEEAQQSESLPDKAANPVNMQEEQAVSSADMKKIKLKHLHISYCPVLETIFSSEEVPRNLEILRVKHCDKLKSVFQLELSNHELPKLGTLHLSDLPAWASSGYGFKLNELVTKNIRISRVKKLEDIHPDNASMEATPGYEN >KJB25204 pep chromosome:Graimondii2_0_v6:4:49233683:49243311:1 gene:B456_004G181200 transcript:KJB25204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNPKTEGQSSGTPSSDNAGEGKQEPQTNNPQETRITTAKDKVGHVVEEIRRDLESYHKGLALTGKPGVGKTWLAKQITESAVSPEGPFYMSLWMSLSLNQKKDKMSLFQSIARQLSIPNLGSMWEDADDIDDDDKREEVADEKLEAKVRGGLNERYECYNGKEKGEKKHMFLLVVLDCEGEVKSEDYEEIMDQILFPKDYKNGSDIKRLTSFLITSRELGNPDFIEKKVQPYSGDEAVAFLKDRVNNHVSLPLKFQTLCADIKKRSQVLPTEIIMLAEALNPTATDLNNAFDAAHFILKAAENDDVIPLLPFIYGQLPDDNCLIDCFWHSWNLLGKHGGVQYNELIARWILEGHLDLADGIRKAYNKGYNVMMKLVDRGMLKMQEDNLIVLEKATLGLEDRNCRELFEKSNLGLAGILEDKGRKVFERMTPTDGMMKTVKVDKKGKSVSSLLIDGSRFSREDPKKFFKEKQHLEVLALFNPRLTCIPDPIPEMKNLLLLVIRGCYLLQTVDCIKGLKELMALEITGSPLLKEMPKDFFTKMTKLRSLNLSALGIESLPDVFSLTKLRRLILRNCTYLKALPKLASLKDLEVFDVSGSSNLKRLQEKSFQSFHKLRFADFSGTSIEKLPIVQTLANLTVLLVRGCVCLSGLRFMKHLHNLKVLDVSGATKIKEIFYDCFTDTDNLKILDLSETDIRFLPESLGKSLCDLKLKGCSKLERLLDTKNLTSLESLDLSDCSSLKGFPNNFFEHLTSLKSLDLSNSQVKSFPSHFDLPFLRHLLLKNCSFESLPESKTFASFDELRNLVELDLSGCKSPAEKLPSLEHLIELEVINLSGYKALSEIDASFEHMSWLQVLNLSETKVSRLPKLSNPSKLRSLILNNCTQLRTSPDFDILSELEELDLRGTCSLTNIKAESLSCLPQLKTLRLSKSSFEAIQSYLPNLKQLEVLDLSGEDVEFVPSLDNLISLRQLLLGGCSKLNKLPPLNLLSELEVLDLSGTKVLKIGEKIWNLKLKRLHLPEEAIEEFNNGIHRKKLEDLPLELKLDHCYVSKHSEIPQGDNVPRIIVQGVELLKSLKKDSALLERIRHSIFSVRAQPKKEDNYSDSRKHIFSCIYSKIKKLPSEVKDDQCLEIQGFDVFPSDIEVLLEHATYVFLVENGFLKNLSDLKPDSLKNIRGCWLERCNNMEKSIFMEADLGKWGTLEILWISNLLELKSLYEEKVQSLSFGSIKHLYIDCCPKLETVFPSWLIAENLETLQITFCDNLKTLFGDKGSDEEKEQTSNLEDTRPTNKKEQYTTGLEDKKVHETKSSAKGKVQPKSPINKKEEVQPETLTDKEKQEKAPQPESLPDKEGKDAPKNLPNKEEKEKAQQPESLPDKEGKDTPKSLPDKEEKKKVQQPESLLDKERKDAPKSLSDKEEKEEAQQPESLQDKKDKDVPKSLLDKEEKEEAQQPESLPNKEEKDVPKSLPDKEVNEEAHQLESLPDKEEKDKPKSLPDKEENEEAQQSESLPDKAANPVNMQEEQAVSSADMKKIKLKHLHISYCPVLETIFSSEEVPRNLEILRVKHCDKLKSVFQLELSNHELPKLGTLHLSDLPAWASSGYGFKLNELVTKNIRISRVKKLEDIHPDNASMEATPGYEN >KJB25060 pep chromosome:Graimondii2_0_v6:4:47738397:47740755:-1 gene:B456_004G174900 transcript:KJB25060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSGQWGQEETRELIFIRGELERDFTAAKHNKTLWEIVSARMKDRGYTRTPDQCKSKWKNLLNRYKGKETSDPENGCQFPFFEELHAVFTERAKTMQRRLLEPEAGSGSTQAKKKVKRTITERSSDEFSEGEDEDESEEEKPARGISHKRKKSDSTVLDKSPRPNSGTSTGLQEMLREFFDQQLRMEMQWREMMEKRAQERQLFEQEWQHWMEKLERERLMVEKAWREREEEMRRREESRAERRDSLLTTLLNKLINDNN >KJB21981 pep chromosome:Graimondii2_0_v6:4:1872858:1875450:1 gene:B456_004G024400 transcript:KJB21981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSIFVFLSGLFVTSSGGLYSHSIRPNFTASFITLVDNSGAFLLSPNATFRAAISNYPDQQLPQFYFSIIHSSTNTIIWTANRGRPVSDSAKVLLTPNGLVITNNFDQIVWSTPSLDSPVAFLQLDDSGNLILLDQQNISLWESFNYPTDTLWNGMTYWQLSMDNQAFKESNVLMSFMAVNGSGLYWVGDDGSTIVFKVPLAPIDAAGLEFIYAKLGSNGKFTISSFDGNNLIPNLQIPVENCRTPSICEKIGFCNGDKRPETCLCPSAFHNGIDGCVPINPLLTLPKACNTSNSYGNETNNQVSYMEIGDAIDYFSTNFIEPLSQRISLLNCQLLCSRNCSCLGVLYGNSSGSCYPIWNNVGSIFSSTFGLGQDFSGYVKAMTLSSNINLSSKTDTDNKFSVLGSVLIPSLGTLVLVVLVIGFSWWKTRKWHSRAAVVRLGSKASFSMEIDLLSIAGLPVKFEYEELATATDNFTTQIGSGGFGTVYRGVLPDESVVAVKRITNLGVEGKKDFCTEIAIIGNIHHINLVKLKGFCLQGKQRFLVLEYMNKGSLDHVIFNNESVLEWKERFKIAVGTARGLAYLHSGCQHKIIHCDVKPENILLHEENLHQNLQVKISDFGISKLLTPEQSNLLTTLRGTRGYLAPEWLTSSGISEKSDVFSYGMVLLEIVRGRRNFSIQPCAPLSGTELKRLYFPLLALEMHEQKRYMELVDPKLNGRAESDEVERLVQVALCCVQMQPWQRPTMSNVVGMLEGSLPVEQPRIESLNFLRIYGGRYASVLTTSTDGCNEQNEFIMDYHQSSNDC >KJB26954 pep chromosome:Graimondii2_0_v6:4:60335281:60337017:1 gene:B456_004G268300 transcript:KJB26954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRCCSKQKVKRGLWSPEEDDKLVKHITTHGHGSWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFTAEEEQIIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLLSQGLDPKTHNLLSSRQRASNKLACKYSSSSPSSQSQHGSFTVFNITSHAKDTNNNNNTTTTTMMMNPPPPVVTFPHQSPNPNTTYAQNLYGSSMDTPFVSSSSCFGNMLYESDPCIWDDANAVVETFEEPRVDSLPPAPQPQQQENDDKIDMDCSLMEGGAGSFDLGLLESTLLCAAMDDFGWNF >KJB25880 pep chromosome:Graimondii2_0_v6:4:54954818:54957769:-1 gene:B456_004G216200 transcript:KJB25880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSLRFPFLFSQPTNLPGSGSSSQNNHRTTPRSRFSATFAAATAASIGAAAAAGVSVVSQNPNHPFFQHAANLLLPNSSSLLLASVSLADGSAPVVEPKSGVSFPAVLGSSLTLLGVGLRKKSILGLKNIDVYAFGVYANGDDVKKFLSEKYGNLSTFELKNSKDFNNDLMEADISMTVRLQIVYSKLSIKSIRSAYEESVGSRLQKFSGSDNKELLQRFTSQFKDEYKLPRGSLVELSKEPGYVLKTIIDGKEIGSIESKLLCRSILDLYIGEDPFDRRAKDDVDLNVASLLQQK >KJB25881 pep chromosome:Graimondii2_0_v6:4:54955421:54957599:-1 gene:B456_004G216200 transcript:KJB25881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSLRFPFLFSQPTNLPGSGSSSQNNHRTTPRSRFSATFAAATAASIGAAAAAGVSVVSQNPNHPFFQHAANLLLPNSSSLLLASVSLADGSAPVVEPKSGVSFPAVLGSSLTLLGVGLRKKSILGLKNIDVYAFGVYANGDDVKKFLSEKYGNLSTFELKNSKDFNNDLMEADISMTVRLQIVYSKLSIKSIRSAYEESVGSRLQKFSGSDNKELLQRFTSQFKDEYKLPRGSLVELSKEPGYVLKTISKLFFASFIFKLDIRSYLFPIFLHSDSVEINSSGICIKCTLFPLFFLTQCL >KJB25705 pep chromosome:Graimondii2_0_v6:4:53577659:53579869:1 gene:B456_004G205600 transcript:KJB25705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAICLVTLVFMFIVPLAVASKENGKNSLSTYIVHLKKPKGVFAQAVDLDGWYRSFLPVTIPGQRTRMVFSYRNVVTGFAAKLTAEEAEAMKRKEGIKSVWPEKVYSLHTTHSPDFMGLQQNLGFWNQSNYGRGVIIGVLDTGITPGHPSFSDEGMPPPPAKWKGKCVFEGGCNNKLIGARSFVEGEVGPPADEEGHGTHTASTAGGNFVKGANVFGNANGTASGMAPLAHLAMYKVCGAGCSESAILAAMDAAVEDGVDVLSLSLGGGSIPFYMDSIALGAFTAIQKGVFVSCSAGNEGPSYGTLSNEAPWILTVGASTTDRSIAAVPKLGNGLTFDGESLYQPNDFPSMLLPLVYSGANGKAASAFCAPGSLKDVDVKGKVVLCERGGDIGRIDKGQEVKDNGGAAMILMNDKLNGFSTIADPHVLPATHVGYETGLSIQKYINSTMNPTATIIFKGTVLGKQTAPEVTSFSSRGPSLQSFGILKPDIIGPGVSILAAWPVSVENKTNTNSTFNMISGTSMSCPHLSGIAALLKSSHPDWSPAAIKSAIMTTASLVNLGGNTIVDETNTTADIFATGAGHVNPSKANDPGLIYDIQPDDYIPYLCGLNYTDEEVGTILQQTVNCSSESIIAEAELNYPSFSILLAESGGSQTYTRTVTNVGPASSSYTYEVFVPTGVDVSVKPEEIVFTEVNQKQTYSVTFSRQKNISLPFSQGLLKWVSAQHDVNTPIVAIFE >KJB25984 pep chromosome:Graimondii2_0_v6:4:55469127:55469856:-1 gene:B456_004G220000 transcript:KJB25984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAGSPCGACKFLRRRCVKGCVFAPYFSHEQGATHFAAIHKVFGASNVSKLLTHLPVNERCEAAVTISYEAEARLQDPIYGCVSHIFALQQQVVNLQAQLACLKEQAASSTFINGTSGSGNPNNVLLQSWFHNNSTMATPNLNPNLSENGFLDPNSLVNYESSSVISSSSGEDRSSFNAFGEAVPCSMASFEEQWSNFQDVDDLQSMAFGFVHHS >KJB26298 pep chromosome:Graimondii2_0_v6:4:57426291:57428976:-1 gene:B456_004G236100 transcript:KJB26298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QKWFLFIIIRISHQTKKISIGSSFFLSFHSLFRNYFASLIPNLEPSPVFKRRKTEGDPVSVQPNQTMKRWRTKREHQIYSSKLFQALCRSRRASSSTSAKELHQTADRVLAITAKGTTRWSRAILAAPLTAGTNMKKHKKAKVTVHTRLRKPVVNREKKKLPVVERKLKALRRLVPGCRKLSCNNLLEETSDYIAALEMQVRAMTAITEFLARGEAQAPTDRLGSIGNM >KJB25166 pep chromosome:Graimondii2_0_v6:4:48942157:48953468:1 gene:B456_004G179600 transcript:KJB25166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVVYEGWMVRYGRRKIGRSFIHMRYFVLENRLLAYYKRKPQDSQVPIKTLLIDGNCRVEDRGLKTQHGHMVYVLSVYNKKEKYNRITMAAFNIQEALIWKEKIESAIDQHQDSQMANGNKYVSFEYKSGMDNGRNASSSDYESQFSAQEDEDEAPPKTLLRRTTIGNGPPDSILDWTQEFDSELSNQNANSQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSKAMRAVGVVEATCEEIFGLVMSMDGTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFSMFVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGYVRAHVESGGFNISPLKSRNGKSRTQVQHLMQIDLKGWGVGCISAFQQHCLLQMLNSVAGLREWFAQTDERGAPPRIPVMVNMASSSVSLKKTRKIDELSVPPAPSLYQMNAASRNSLLMDEYSDEDEEQMLEAEQEPYPTRSDYHVKRTALEEEPIEKIDLSCFSGNLRRDDRDNSRDCWRISDGNNFRVRSKHFCYNKTKIPAGKHLMDLVAVDWFKDSKRMDHVARRQGCAAQVASEKGFFSLIFNFQVPGSTHYSMVFYFVTRELVPGSLLQRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEVDIDIGSSTVANGVLGLVTGVIRTLVVDMAFLVQANTTDELPERLIGAVRASHIELSSAIVPKLDVDPS >KJB25167 pep chromosome:Graimondii2_0_v6:4:48945474:48953468:1 gene:B456_004G179600 transcript:KJB25167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKWLNISCIINGYCTWVHHGTDMWTHQFPKFSAQEDEDEAPPKTLLRRTTIGNGPPDSILDWTQEFDSELSNQNANSQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSKAMRAVGVVEATCEEIFGLVMSMDGTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFSMFVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGYVRAHVESGGFNISPLKSRNGKSRTQVQHLMQIDLKGWGVGCISAFQQHCLLQMLNSVAGLREWFAQTDERGAPPRIPVMVNMASSSVSLKKTRKIDELSVPPAPSLYQMNAASRNSLLMDEYSDEDEEQMLEAEQEPYPTRSDYHVKRTALEEEPIEKIDLSCFSGNLRRDDRDNSRDCWRISDGNNFRVRSKHFCYNKTKIPAGKHLMDLVAVDWFKDSKRMDHVARRQGCAAQVASEKGFFSLIFNFQVPGSTHYSMVFYFVTRELVPGSLLQRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEVDIDIGSSTVANGVLGLVTGVIRTLVVDMAFLVQANTTDELPERLIGAVRASHIELSSAIVPKLDVDPS >KJB25451 pep chromosome:Graimondii2_0_v6:4:50943104:50946414:-1 gene:B456_004G192000 transcript:KJB25451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIQSTHNKVFIFNYLQSLSQTPHRLRKRMLATWTPDQELNQVRLRSGADMKRKLKWYDLVALGIGGMLGVGVFVTTGRVARNNSGPAVFISYIIAGISALLSSLCYTEFSVQIPVAGGAFSYLRVTFGEFVGYFAGANILMEYVLSNAAVARSFTEYLCSAFGVSDPNSWRVEVHGLLEGYNKLDFTAVALVLLLTLCLCHSTKESSTLNLIMTIFHVIFFGFIIIVGFCNGSVDNLVKPNGIAPHGIRGVLDGAAIVYFSYIGYDSVSTLAEEIQNPSVSLPVGIVGSVLIVSALYCLMALALCMMVPYNQIAAKASYSMAFQNIGWKWAGNVVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPSWLSNVHPSTGTPLNATLFLGLCTASIALFTDLDIVLEMISIGTLLVFYLVANATIYRKYVIKSQNPPLRTLSFLFLLTSSAIGFSISWKLKQQWWGLPLFGGLMVTMTAFFQYMVPCIRQPSEWSIPFMPWPAAISIFLNVFLMTTLSMLSFQRFSVWACLITVFYLLYGVHSTFEAEEMEKELAINEVPNSSIQLTKLDV >KJB25007 pep chromosome:Graimondii2_0_v6:4:47976888:47977130:-1 gene:B456_004G176000 transcript:KJB25007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QPYYTSTNRQHRGSSTTLRNQQHENYVINDSLSLSGSELREINGWDNKYSSCSCFRYPYNHVEVIRRSPNLIQSRLVKGF >KJB22158 pep chromosome:Graimondii2_0_v6:4:2640657:2641387:1 gene:B456_004G032300 transcript:KJB22158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHYDTFTLVPALIIALAAKSKDSKNAAIAELEQGLVHLEEAASTKLSKGKALFGGENLGYMDIALGPFLVWIGIMEKLNGVKLLNETNTPSLLRWADSFFSHAVVKTIFPEAEKLIEFAMKLIPVLGDRPELRLLLGISD >KJB23257 pep chromosome:Graimondii2_0_v6:4:11779814:11783527:1 gene:B456_004G088600 transcript:KJB23257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRNAFQENPDSDQSISDEDDFDDDFRENCASLGDRLEKEKEERFQLQSRPETLKEKCDNNRSYHQEHISFCLEEDVEVPDSSDEGNCFPSEKLCRRVSCEELVSYGEENVMRSETSIASGAKESDHSYGIKDGNGENAWSMVAKEAEALINLDKNVSSFSSASKADKSYKGAKSKIKPRFSFRFQSHKGLSWPATSDNENEVSTKAGEMPERLKASDHGTLEHSIAEVLEDFNGEEENQLEIVPADVEAHGHGFIEHSMAELLDDLQDNTSLLRGNFKMHSRARGKRVQAALKRSICSLGDRTIESEDLNEPFSGGSSSNDEADYQNLKLATPEIKKPTISDKFQEALGATSLTVEGIFIPRPGAFSTGLFGKLQQVMQQEKETDTHFLMKLQNGASFKNEPSCITVNIVSRYLDAKLTVCYCSFVKTLEGILQPENPKILENEGQKVTVIFNQRICANVDLEIGKLICIHPPWKEVDVTGDGEKTILSTYFSDISP >KJB22353 pep chromosome:Graimondii2_0_v6:4:3688101:3695415:-1 gene:B456_004G042700 transcript:KJB22353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVSGMFMGMVFGISLMAGWRHMMKYRSTKRIAKAADIKILGALSRDDLKKICGDNYPEWISFPVYEQVKWLNKHLSKLWPFVAEAASAVIKESVEPLLEEYRPPGITSLKFSKLSLGTVAPKIEGIRVQNLKKGQITMDIDLRWGGDPSIILGVEAALVASIPIQLKNLQVFTVVRVIFQLAEEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTAVPGISDMIDDTVNSIVTDMLQWPHRIVVPIGGIPVDTSELELKPEGKLKVTVVKANNLKNLEMIGKSDPYVVVHIRPLFKIKTKVIENNLNPIWNETFELIAEDRETQELTVEVFDQDIGQDKRLGIAKFRLIELEPETPKEVNLNLLSSLDTLKIKDNKDRGSCTIKLLYHQFNKEEQLIALEEEKRILEERKRLKEAGVIGSTMDALDGAASLVGSGVGMVGTGIGTGVGLVGSVVSVLESGWWGVD >KJB22351 pep chromosome:Graimondii2_0_v6:4:3688100:3695460:-1 gene:B456_004G042700 transcript:KJB22351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVSGMFMGMVFGISLMAGWRHMMKYRSTKRIAKAADIKILGALSRDDLKKICGDNYPEWISFPVYEQVKWLNKHLSKLWPFVAEAASAVIKESVEPLLEEYRPPGITSLKFSKLSLGTVAPKIEGIRVQNLKKGQITMDIDLRWGGDPSIILGVEAALVASIPIQLKNLQVFTVVRVIFQLAEEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTAVPGISDMIDDTVNSIVTDMLQWPHRIVVPIGGIPVDTSELELKPEGKLKVTVVKANNLKNLEMIGKSDPYVVVHIRPLFKIKTKVIENNLNPIWNETFELIAEDRETQELTVEVFDQDIGQDKRLGIAKFRLIELEPETPKEVNLNLLSSLDTLKIKDNKDRGSCTIKLLYHQFNKEEQLIALEEEKRILEERKRLKEAGVIGSTMDALDGAASLVGSGVGMVGTGIGTGVGLVGSGVSAGVGMVGSGVSAGVGMVGSGLSKAGKFMGRTVTGHSSKKSETTTTPDESKEENGGARPQ >KJB22350 pep chromosome:Graimondii2_0_v6:4:3688100:3695450:-1 gene:B456_004G042700 transcript:KJB22350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVSGMFMGMVFGISLMAGWRHMMKYRSTKRIAKAADIKILGALSRDDLKKICGDNYPEWISFPVYEQVKWLNKHLSKLWPFVAEAASAVIKESVEPLLEEYRPPGITSLKFSKLSLGTVAPKIEGIRVQNLKKGQITMDIDLRWGGDPSIILGVEAALVASIPIQLKNLQVFTVVRVIFQLAEEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTAVPGISDMIDDTVNSIVTDMLQWPHRIVVPIGGIPVDTSELELKPEGKLKVTVVKANNLKNLEMIGKSDPYVVVHIRPLFKIKTKVIENNLNPIWNETFELIAEDRETQELTVEVFDQDIGQDKRLGIAKFRLIELEPETPKEVNLNLLSSLDTLKIKDNKDRGSCTIKLLYHQFNKEEQLIALEEEKRILEERKRLKEAGVIGSTMDALDGAASLVGSGVGMVGTGIGTGVGLVGSGVSAGVGMVGSGVSAGVGMVGSGLSKAGKFMGRTVTGHSSKKSETTTTPDESKEENGGARPQ >KJB22352 pep chromosome:Graimondii2_0_v6:4:3688101:3695415:-1 gene:B456_004G042700 transcript:KJB22352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVSGMFMGMVFGISLMAGWRHMMKYRSTKRIAKAADIKILGALSRDDLKKICGDNYPEWISFPVYEQVKWLNKHLSKLWPFVAEAASAVIKESVEPLLEEYRPPGITSLKFSKLSLGTVAPKIEGIRVQNLKKGQITMDIDLRWGGDPSIILGVEAALVASIPIQLKNLQVFTVVRVIFQLAEEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTAVPGISDMIDDTVNSIVTDMLQWPHRIVVPIGGIPVDTSELELKPEGKLKVTVVKANNLKNLEMIGKSDPYVVVHIRPLFKIKTKVIENNLNPIWNETFELIAEDRETQELTVEVFDQDIGQDKRLGIAKFRLIELEPETPKEVNLNLLSSLDTLKIKDNKDRGSCTIKLLYHQFNKEEQLIALEEEKRILEERKRLKEAGVIGSTMDALDGAASLVGSGVGMVGTGIGTGVGLVGSGVSAGVGMVGMD >KJB23465 pep chromosome:Graimondii2_0_v6:4:17484881:17485462:1 gene:B456_004G0998001 transcript:KJB23465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QSIPFQTRKDCSFEEGRENHVLRKRSESLSELRSDSITRTGSTKEYTKLLRNSRSLDYKKLRRYDMDRNPSTRSGGKSEVPTKKLIKRKWYVFMFGMVKFPPEMELKDIKIRQCRRNPSIMFPTAEDGGKKHSGNRSSGKGSWSLLKALSCRDHRSVAVAASLWMPQA >KJB23442 pep chromosome:Graimondii2_0_v6:4:18559198:18560549:-1 gene:B456_004G101300 transcript:KJB23442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKFLFLFLVLCSLTIDNVLATSLGTHGKIPDNETIYRVSKQQCWGCIGESLEFLFTHNLVRATKWEPLLFWDFQLEKYARWWALQRKADCKLQHSFPEGDFKLGENIYWGSGSAWRPGDAVSAWSEEEKYYDYATNSCQEGQMCGHYTQIVWKNTRRIGCARVVCDTGDVFMTCNYDPPGNYIGERPY >KJB26613 pep chromosome:Graimondii2_0_v6:4:58756511:58760979:-1 gene:B456_004G250200 transcript:KJB26613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSYGAVYLHIFAVETPSMPCFKFLQFELIKYQTVSSSLDKIHGTNAILHFHHNHYSKCSNLSLSQIQGSPLYLEAVKLCSDLSSSFDNTCKKCTDAIEKVAESLLALVQRQKDHTERTLCSLAAVLSVAAANVTDSSFGPNLFSCMSSLDDFDPGYIKLKRNLAKALVAVFVAVMVLILILVLVKFVTLKKSKIQKRLPKPLLPKDINNWSCLYIFSKAEIEKAISINNRKKSLGRGSAGEVFEGRLPSGQVVAIKQIKKGNSLDSFTREVAGLSRIRHPNLVSLLGCCIEGDEQYLVLEYCHSGNLADHILRKDTVLTWEKRVKILRDCALGLRYLHNYIDGCIVHRDIKLTNILLTEDLEPKLSDFGLAKMLGMEESKVFTDVRGTIGYMDPEYMSNAKLTCASDIYSFGIVALQLLSGQKVFELDLDASEQLTRKAKDVSLGNRPSTDFEDPRLHGNLNRKDFEAILKIAVLCVAKSSRGRPPIDVVFDELEKAWKNTVADMKMRKEIGPSATPQSRSMEVTSL >KJB23876 pep chromosome:Graimondii2_0_v6:4:28989006:28990191:-1 gene:B456_004G118900 transcript:KJB23876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRPCEKPKAKHRKGLWSPEEDLKLKNSVLKHGHFCWSSVPINAGLQRNGKSCRLRWINYLRPGLKRGMFTPQEDDAILSLHHLLGNKIAKVENVEAQHTSSTSESSVLPQNLTDQSASFATDQAVPMHFDLPQRSPLPKLLFAEWLSLDEEGGRFGTDLQDLLNDASVNQLFKFESQISGNDFIGSKWE >KJB27055 pep chromosome:Graimondii2_0_v6:4:60893028:60896567:-1 gene:B456_004G274800 transcript:KJB27055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGSRRDEGSLVITNTNVFAALETLRKKKKSDKDRRSKKSSSKSEKQQQKSQQEAESQVFWAPAPLTVKSWADVDDEDDDDYYATTAPPQSVWGSSEPSQSHEEKTTNVEDSESEEDILDEGDDDIEEDHEHEPEIQVHPEPVLKKDPEIPAPPKEAERQLSKKERKKKELEELEALLADFGVTQKENNSNDESRDVAQEKKGGEGEKKDNPPGESKSAKKKKKKDKSKEGKESQDQPTSADTTNGPDDFAGTEQTEEDVAAVDVKERLKKVASMKKKKSSKEMDAAAKAAAQEAAARSAKLAAAKKKEKNRYNQQPVR >KJB27190 pep chromosome:Graimondii2_0_v6:4:61491243:61494710:1 gene:B456_004G283500 transcript:KJB27190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLNPGTTVLQSPNNVRPNISFHFNIFPPFSTVKFALRSHYASPKTSFSMLKAKRREEDISSQPFDIEMDDDYGDDNEGYEASSGFRGREEEKNYDKDPEFAEILGSCLDDPEKARSKMEDRLRKKRNKILHTKTGSGTPMKVTFNKFDFSNSYIWFEFYNTPLEKDISLICDTIRSWHIIGRLGGCNSMNMQLSQSPLEKRPSYDAIQGANVNPTTFYNIGDLEVQDNLARIWVDIGTTEPLILDVLINALTQISSDYIAIKQLVFGGSELENWKESLTTEDAGYSVHRI >KJB23920 pep chromosome:Graimondii2_0_v6:4:30054167:30056056:-1 gene:B456_004G1211001 transcript:KJB23920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPREEFPTKGLEGAPSNDIGWHFGTPVPNAKGNIVCKLCGKVVKGGITRFKEHIAHKTGNVAPCPNVTGVIRESMMNLLKEGNTKKVDKKRRKDEFLSQLREEEHEHEEFIDEVSAIRQATRETSSPWLYNLIHVSAEVGQGVKLPTPYEVSDVYLENHFWKSVDVSSVRSRDAEVYYYLLDSVVEEIGENYIVQIVTDNEAAMKAAGKKLMLKRKHLYWTSCAAHCLDLCLEDIGKKPSVAKVLDEAKKVTCFIYNHIWTVDLMKKYTQGKQILRPALTRFATYFIQLEEITRQKQGLREMFNSKEFKESKWGQQKSGPAYEAKKIVLGKDFWKKPNDLIKFYEPLVKVLRLVDSDEKPMMGFIYEAVDRAKRAIQQDCRYFTEYEKIIDNRWNFMHSDLHSA >KJB24530 pep chromosome:Graimondii2_0_v6:4:42119410:42120198:-1 gene:B456_004G149400 transcript:KJB24530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVVQADKDEKTCTECIDLKCNSPKDLGCFEASTMTFSNEDQVLLVVKETPIVNAGICVASPGSVTKDANSGSTIIQASTNTPDQFLFHPMSSTLVYY >KJB26391 pep chromosome:Graimondii2_0_v6:4:57755343:57756066:-1 gene:B456_004G2394002 transcript:KJB26391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFRACSNAYFSMDAKTQVLAPCGANDFVCGRASEWASNGTELCLAAGFRVEQSVGMHGGIEELSCYGGKASLDSIADSWGASRSEKPHKTGNSGLLEDFQQWLQDMPSNERVSWAVGGLVLTAGLLFIRFCYFLLVNH >KJB26390 pep chromosome:Graimondii2_0_v6:4:57754911:57756067:-1 gene:B456_004G2394002 transcript:KJB26390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFRACSNAYFSMDAKTQVLAPCGANDFVCGRASEWASNGTELCLAAGFRVEQSVGMHGGIEELSCYGGKASLDSIADSWGASRSEKPHKTGNSGLLEDFQQWLQDMPSNERVSWAVGGLVLTAGLLFISRRKSHNQRQKLAAINSQLFDDFESDTQVSK >KJB24659 pep chromosome:Graimondii2_0_v6:4:44238191:44245768:1 gene:B456_004G155700 transcript:KJB24659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTLERYQQCCFTPQPQHNIPEHETQSWYQEIIKLKAKYEALERTQRHLLGDDLGPLNLKELQNLEKQLEGTLVLARQRKTQIMMEQMEDLRKKKRQLGELNKQLKIKLDGEGQNQKTSQGLWSCCTTAENSHFPLLPSHPNPMECDHEPVLQIGYHHHYVEAEGSSVPRSMAGETNFIHGWVI >KJB24657 pep chromosome:Graimondii2_0_v6:4:44237436:44245768:1 gene:B456_004G155700 transcript:KJB24657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFGSSGMTKTLERYQQCCFTPQPQHNIPEHETQSWYQEIIKLKAKYEALERTQRHLLGDDLGPLNLKELQNLEKQLEGTLVLARQRKTQIMMEQMEDLRKKKRQLGELNKQLKIKLDGEGQNQKTSQGLWSCCTTAENSHFPLLPSHPNPMECDHEPVLQIGYHHHYVEAEGSSVPRSMAGETNFIHGWVI >KJB24658 pep chromosome:Graimondii2_0_v6:4:44238414:44245453:1 gene:B456_004G155700 transcript:KJB24658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTLERYQQCCFTPQPQHNIPEHETQSWYQEIIKLKAKYEALERTQRHLLGDDLGPLNLKELQNLEKQLEGTLVLARQRKTQIMMEQMEDLRKKKRQLGELNKQLKIKLDGEGQNQKTSQGLWSCCTTAENSHFPLLPSHPNPMECDHEPVLQIGYHHHYVEAEGSSVPRSMAGETNFIHGWVI >KJB24139 pep chromosome:Graimondii2_0_v6:4:34901716:34904005:1 gene:B456_004G129700 transcript:KJB24139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSDCFWLLFIASKCKTFSSQNSIWLLLLLCMGWLGMTLCFWLYPGGPAWGKYHWLRKRGATKHNNIIPGPRGFPVLGSMDIMLNLAHHKISAAAKCFDAKRLMAFSLGDTRVMVTCNPDVAKEILNSSVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQISFTEAQRSDIASQMRSIIACRGGTGEISVRDILKKASLNNMMCSVFGSKYQLGSSNTETEQLSQLVEEGYDLLGKLNWSDHLPWLAGLDLQKIRLRCTQLVPKVNKFVSRIIQQHKLQPETTNHDFAHVLLSLTGPDRLSDNDMIAVLWEMIFRGTDTVAVLIEWILARMVLHPEIQSRVQAELDEVVGKSRALMESDIQSMVYLQAVVKEVLRLHPPGPLLSWARLAITDATIDGYHVPAGTTAMVNMWAITRDPEIWVDPLKFMPERFVSKDSSTDVEFSVLGSDLRLAPFGSGRRTCPGKTLGLASVNFWVGSLLHEFEWVQSDANPVDLTERLRLSCEMANPLKVKVQPRRR >KJB26941 pep chromosome:Graimondii2_0_v6:4:60246711:60252398:1 gene:B456_004G267400 transcript:KJB26941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISRTALLRRRALDGVMSVRSRFYKVSLSLVFVLWVLLFLFSLWLCHGDGYTGGSVAFGLSTGDEARVGDNEHSDSPGQCLADKSGSFSSHDGFCTNGAEITALHVDSPASEEVCKNDVSTHEQLYADNSVVDIKSENGSPKSDRLSHSVPVGLDEFKSRAFISKSKSGTGQAGITHRLEPGGKEYNYASASKGAKVLAYNKEAKGASNILGRDEDKYLRNPCSAEEKFVVIELSEETLVDTIVIANFEHYSSNLKAFELLGSLVFPTDAWINLGNFTATNVKLDQRFVLQEPKWVRYLKLNLLSHYGSEFYCTLSVIEVYGVDAVEKMLEDLISVQDNPFGPEDGVRDQKQPASQMESTQRDDINQNSHKEMGSEPPVDQLNVQFDITSNVVPNPIEEIRHQQVGRVPGDSVLKILMQKVRALDLNLSVLERYLEDLNYKYGNIFKEFDKDIGEKDKVLEKIKSNVKDLTESQNIIVC >KJB26940 pep chromosome:Graimondii2_0_v6:4:60246602:60252468:1 gene:B456_004G267400 transcript:KJB26940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISRTALLRRRALDGVMSVRSRFYKVSLSLVFVLWVLLFLFSLWLCHGDGYTGGSVAFGLSTGDEARVGDNEHSDSPGQCLADKSGSFSSHDGFCTNGAEITALHVDSPASEEVCKNDVSTHEQLYADNSVVDIKSENGSPKSDRLSHSVPVGLDEFKSRAFISKSKSGTGQAGITHRLEPGGKEYNYASASKGAKVLAYNKEAKGASNILGRDEDKYLRNPCSAEEKFVVIELSEETLVDTIVIANFEHYSSNLKAFELLGSLVFPTDAWINLGNFTATNVKLDQRFVLQEPKWVRYLKLNLLSHYGSEFYCTLSVIEVYGVDAVEKMLEDLISVQDNPFGPEDGVRDQKQPASQMESTQRDDINQNSHKEMGSEPPVDQLNVQFDITSNVVPNPIEEIRHQQVGRVPGDSVLKILMQKVRALDLNLSVLERYLEDLNYKYGNIFKEFDKDIGEKDKVLEKIKSNVKDLTESQNIIAKHVADIASWKSLVSVQLDTLVRDNADLRWEIEKVWKRQTSMENKFIAVFVVTLVFGLFAFVRLLVDMLLSIFKSFISVETLEKPWKFCSSSYSWLLLLCSCSIILFILSI >KJB25731 pep chromosome:Graimondii2_0_v6:4:53677587:53684843:1 gene:B456_004G206500 transcript:KJB25731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATPPPVAADASSSSSPLTLASSACESKEVAVNEGQYQEQQKQDGIKECLHKTKPIQFLGRTTPIILQNDNGPCPLLAICNILLLRNNLSLSADIAEVSQEKLLSLVADRLIDSNSNVNNKDAGYVENQQQNIADAIDLLPRLATGIDVNIKFRRIDDFEFTPECAIFDLLDIPLYHGWIVDPQDYETASAIGSKSYNAIMGELVALETRNMEISRKNNSEDCVDFAAATTATLGVPSPCLSKTRSFDDSPRSVSDQQSLRKGDLEEEAELMIALKLSEAELPTSNGDPGSLDIRSCSNNPVSVDSVDKQEGDGSAEHQNLHQHEPSGSAEHQNLHQHEPSFSDNCISLSNNSVGKTCFQTVSKEELLKTDGINQDQSFYVKSGDITLSNDVVEEKNVETMVVKGSSADELLQIENAVTTSLAKNTASADGKNTEISQGGGNIEIQSTSATDALDIPDNVNGCDTTEESSVSLQNAGSDSSSGRIHHLDVPEVFTSSLDGSEPIYEGEDCILDSITTTYQDREPIYEGEVVLAKQAGKTAVEDSDMRSKDEITLQQGELIGNFLKNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYDGELYLLATDQGYLNQPDLVWEKLNEVNGDTLFMTGNFKEFKVDSHTNGAWDQQNAMASTADYIARIDNAAQGGLDITSDLQLAIALQQQEFEQQPQRQNVQQPPVVGGSRLVTGPQVPRNSGRNPSSSMPRQDAKSKEKCIVM >KJB25459 pep chromosome:Graimondii2_0_v6:4:51006775:51008597:-1 gene:B456_004G192600 transcript:KJB25459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIHMRNAASKGDVEMLYKIIDRNPNVLDNIDKHPVVETPLHVAASEGHTSFAIEIMRLKPCFSQKLDGRGMSPMHLALENNRISTVLRLLETENGEDLVRNKGKDGITPLLHVVNQGDLRLLDKFLSACPTSIVDITNQGETALHIAVKTRKIEVLDYLLEYLQRSWKREALNQEERVLNWKDENGYTVLHTAVELNQLQMVNSLLKTNINVNAENLKDLTVLDMVNKSEPVNTEMKGLLIQARALEFHSIQIKGTTDSMNQPSTKSKVSCFGNVIGLLKGQKASISTESRDALLVAGALIATTTFQAILSPPGGLGQGENNEGKVVMKAWVYMIFIILNGTSFCVTVITIFLLLPHGFFGWLLTVSLGLLSAGYLFSSMVISPNLECALFNLGLFILFVALLVVGILLSSKRQRFISIRKFCKCSK >KJB23519 pep chromosome:Graimondii2_0_v6:4:19001839:19003595:-1 gene:B456_004G102100 transcript:KJB23519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAPAKILVGISLDPDDSKEVLSWAIRVLTHPNDEVVALHVLVGKETKKRRLMTKDQEKFRHAKAHVISVLGEFAKTCQSKQVNLEARVGFSSSVRKGLIEEAKSISADFLLLRGSKNHSKKASNKIKRYCLKHAPEGCSVVAIRKPRQPSSDSIPCERKVQKLSPMTVLEALEDDSCSSRGTKVAGSSSPKVSKFKGQTCIKKQMSPYKLISSLFSCLLGKRKASLSSKGKENHLIKCFSYEEISNATNNFHPDNIVGRGGYSEVYRGYLPDGRAIAVKRLANDNKDETKEKEFLAELGIIGHVCHPNTATLVGCCIENGLYLIFNFSEKGTVASALHGKTSASLDWPMRYKIALGVARGLHYLHKCCKHRIIHRDIKASNVLLGWIMNRRSVILVWQNGFLTNGLTML >KJB23520 pep chromosome:Graimondii2_0_v6:4:19001097:19003595:-1 gene:B456_004G102100 transcript:KJB23520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAPAKILVGISLDPDDSKEVLSWAIRVLTHPNDEVVALHVLVGKETKKRRLMTKDQEKFRHAKAHVISVLGEFAKTCQSKQVNLEARVGFSSSVRKGLIEEAKSISADFLLLRGSKNHSKKASNKIKRYCLKHAPEGCSVVAIRKPRQPSSDSIPCERKVQKLSPMTVLEALEDDSCSSRGTKVAGSSSPKVSKFKGQTCIKKQMSPYKLISSLFSCLLGKRKASLSSKGKENHLIKCFSYEEISNATNNFHPDNIVGRGGYSEVYRGYLPDGRAIAVKRLANDNKDETKEKEFLAELGIIGHVCHPNTATLVGCCIENGLYLIFNFSEKGTVASALHGKTSASLDWPMRYKIALGVARGLHYLHKCCKHRIIHRDIKASNVLLGWIMNRSDFGLAKWLPNKWTHHAVIPIEGTFGYLALEYFMHGIVDEKIDVFAFGVLLLEIITGRRPVDSSKQSLLLCAKPLMESGNITELADPKLKGKYDEDEMHRTVLTACYCMRQSAVLKLLMTGHDSDVQKSWRMPKFTSDELDDYCRVFGYEVPLEEEIL >KJB24553 pep chromosome:Graimondii2_0_v6:4:42819188:42820558:1 gene:B456_004G151000 transcript:KJB24553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCSKVGLHRGQWTSREDTLLVNYIQAHDEGHWRSLPMKAGLLRCGKSCRLRWMNYLRPDIKRGNISPDEDDLIIRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLSKRLLSQGIDPNTHQSLPNPVVQQVKKKNNNRGSNNKQNPKKANATHEPAVIPKLHLPKPSRITTVFLPRNDSFDQCTRFSTVSSSQRGEGALDTEAIQCPWSEYVNDGENGTGLLSYLKCHSGLPASEDENSLEKLYEEYLQLLRTDEDQLQLDDFAESFLI >KJB25871 pep chromosome:Graimondii2_0_v6:4:54527032:54530077:-1 gene:B456_004G212400 transcript:KJB25871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASRLMIMSARQPRNLVLLIMLMQIMFGLLQAVEEWQAVSEEEKLGMERQLKIINKSPVKSFKTDYGDIFDCIDIYKQHAFDHPLLKDHKVQMRPKHIPESMVRGKSPRFLPKHIKCPPGSVLIKRTTQEDLIMDKKIKALGLINYPTSSHFNTTGVGPIDLTIGNAYAAAHYEKHNFGAKTIMNVWSPTTLSDQASFAYLWIANGPADALNVVQAGWGVQPQLFSSNYTRLLTYWTVDGYRKTGCTNYLCPGFVQVHRQISLGMVLNQISIYNGTQSDIEIAILRDGEWWLKLFNQFIGYWPQKLFYYMYGGANVAVWGGMAASPTNELSPPMGSGHFPKDGHQNKSAYFKQTRIWDNVNFVDPEADKLVLSATRPDCYGSETAPDDAAPNAIDFFYGGPGQCNL >KJB24535 pep chromosome:Graimondii2_0_v6:4:42420825:42421787:1 gene:B456_004G149800 transcript:KJB24535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSSTSFERPGSPVPEDLTRATKKVKNKSIDDQVLGDVVMKDGLPKVSCRDKFVGNVEGRGSKEEAVLEQELEITGDDYMINTEGEYLKIAFFERIHEWIDKSMAKTTVVRLLGKNIGYNALKDYDNALTGGPWVIYGHYLVVQPWSRGITTRESFPSKMVVWVKREQFAGLAVMIDLKKPLVSHMGIDGHIQYMEYEESYLKLQNKGKEADSTEQTMVQASESVADTTVGAEKALG >KJB25298 pep chromosome:Graimondii2_0_v6:4:49842253:49843758:1 gene:B456_004G184400 transcript:KJB25298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEITIIVFGLAKCITGKLKLILIKEGTQFIVIRVPLAGLKLGNITLNIFFIFSCTLSLFYAIPFPVIRHYSIRFIRPQRKDILIILDLNDMAANSTIVGVINFITLLLSIPVIGAGIWLANEPDNACVKILQWPLIILGTSIAVVALLGFVGGCWRITWLLIFYLFAMFILILVFACLVVLIYLITNQGSGHPASGRIYLEHDLDDFSGWLRRKVTNPYKWDRIRSCLNSTDMCSELNQRYRIAQDFFNARLTSIQYGCCMPPAECGYSYINPTYWLTPNNTAASMDCLQWSNDQMQLCFHCDSCKAGLLANLTKEWRSVDIILFITLVVLICVYLIGFCFALRKSKTGDTSPRRHKQNT >KJB23449 pep chromosome:Graimondii2_0_v6:4:16686265:16688153:-1 gene:B456_004G098700 transcript:KJB23449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKMHLQPWWLLPISLLLQLSNLKNWANAAPQVPCYFIFGDSLSDSGNNNNLKTLAKVNYRPYGIDFPKGPTGRFSNGRNVQDAIVELLGFEEYMPPFAKSERKNIFQGANYASGASGILDETGSLMILRNDSSTEKLLRQCIYSIQIGSNDFVNNYFKPNFYNSSHGYSASEFATMLVRQFAHQIKDLYKTGARIFALFGLGQLGCTPNAIATHGTNGSLCVTKLNDAAFLFNQRLIPLVMALNSKLADAKFTYLNYSPMKVAHNRKQYAFWDGVHPTDASNVLIAKNLYGTRSFSDARPFNIQSLACTQIK >KJB27261 pep chromosome:Graimondii2_0_v6:4:61745526:61747148:1 gene:B456_004G287400 transcript:KJB27261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFRPIKLKYCCLLPLFFPLLIKSLSVCHLGFPFPYSLTNGDSHQCKYGGQTLPSSGGWPPDLAVKPSVLVSRTPFTVVSDLVVKEDTLNCR >KJB23391 pep chromosome:Graimondii2_0_v6:4:14857786:14860122:1 gene:B456_004G095500 transcript:KJB23391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVQAYPPPAAPSAYPPLATPVPVIGPQYCYPQPVDLAVVRKVLTITEGNFAVTDINGNIMFKIKGKFFSIHDRRLLTDAAGNPVCTLRPKIMTVHDRWQVFRGESTEEKDLIFTVKRSSMIQLKTKLHVFLATNPKEDVCDFRVEGSWLERSCIIYSGESNTILAQMHKKHSVESILLGKDKFMVTVYPNVDYAFVVALIAILDGINQDDDVV >KJB25544 pep chromosome:Graimondii2_0_v6:4:51719029:51720310:1 gene:B456_004G196700 transcript:KJB25544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPLDVESKTPFPSSSSSSPPGFKSRKVKIGSESKGKDGKHPTYRGVRMRQWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALTIKGNSAYLNFPELTHQLPRPVSASPKDIQAAAAKAATLTYTKSHDVEDDPMLPRSPTTTATSQEESHLINDDEAFIDLPDLLLDMNLQIDEFWSSVSWKLDDAVETGFGGEEPRASNFIFLQ >KJB25164 pep chromosome:Graimondii2_0_v6:4:48914257:48917361:1 gene:B456_004G179400 transcript:KJB25164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLRHHSFTSTSVWTEARLHHQAYASIKLSNHRQGRWKTNGKRRASGNGCVVQCCTSSSSSAAAKPGVELTGNAEEDNNGRWEEKEEEEPLYLASEYGWKVRRLEEDQPEIKKVAEIQAEAFHQPMAFFDDLFFQFFQAEVLAGLMYKLRNSPPNRYACLVAESPSDANSESKTELVGVVDVTALRDEAVLQHLQGADEYLYVSGLAVSKRFRRRKIGSCLLKACEMLSVLWGFKYLVLRAYEDDLGARTLYANAGYRVVSGDPPWLTSWIGRRRRVLMIKQSNFLNLINSTFQS >KJB27356 pep chromosome:Graimondii2_0_v6:4:62070377:62073071:-1 gene:B456_004G293000 transcript:KJB27356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMLFKLVLLYLWVHWVNQGSDFVTGRSGNVTSGTVFVNGTASIGKTDDNFICATLDWWAPNKCDYGTCSWERSSLLNLIRMGGTLQDKVIYETKDDKSPCAPFVKNSSEMFGFSKGCLPMSRWDQLNVFFKKAGAMVVFGLNALNGKAIGSDGSATGAWNSSNAESLIRYTVNKGYSIHGWELGNELCGTGVGAKVAPDQYASDVKSLENIVQNIYRGFEVKPLVIAPGGFIDTNWFAQFIQRTPKSLQVVTQHIYNLGPGTRAKSSYLKVPSNKAAFQITLNVGYVGNDNQLINNILDPSYLDGGAQPFRDLEAILKNSATPAVAWVGEAGGAYNSGQNLVTNSFVNAFWYLGQLGMASSYDTKTYCRQTLIGGNYGLLDTATFVPNPDYYGALLWHRLMGSNVLSTSFSGTTGVRAYAHCSKQSQGISLLLINFNANISVNVRVVTDGEQAPRVKLGNTSREEYHFTAKDENLHSQKVVLNGKILALNSSGGIPPMEPVNRSMTDPIIVAPFSYVFSHISSIILPACIN >KJB27355 pep chromosome:Graimondii2_0_v6:4:62070043:62073071:-1 gene:B456_004G293000 transcript:KJB27355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMLFKLVLLYLWVHWVNQGSDFVTGRSGNVTSGTVFVNGTASIGKTDDNFICATLDWWAPNKCDYGTCSWERSSLLNLIRMGGTLQDKVIYETKDDKSPCAPFVKNSSEMFGFSKGCLPMSRWDQLNVFFKKAGAMVVFGLNALNGKAIGSDGSATGAWNSSNAESLIRYTVNKGYSIHGWELGNELCGTGVGAKVAPDQYASDVKSLENIVQNIYRGFEVKPLVIAPGGFIDTNWFAQFIQRTPKSLQVVTQHIYNLGPGNDNQLINNILDPSYLDGGAQPFRDLEAILKNSATPAVAWVGEAGGAYNSGQNLVTNSFVNAFWYLGQLGMASSYDTKTYCRQTLIGGNYGLLDTATFVPNPDYYGALLWHRLMGSNVLSTSFSGTTGVRAYAHCSKQSQGISLLLINFNANISVNVRVVTDGEQAPRVKLGNTSREEYHFTAKDENLHSQKVVLNGKILALNSSGGIPPMEPVNRSMTDPIIVAPFSYVFSHISSIILPACIN >KJB25014 pep chromosome:Graimondii2_0_v6:4:47241060:47247396:-1 gene:B456_004G172200 transcript:KJB25014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIRTIKESLSNGSNFALIRRILQLLQDEEVWPSQPDSRNSAKLPLGFLGLKTMASFRSLKSAIFDREEKKQQYQAHIRGLNAYDRHKKFLNDYVGFYGKEKSTDVKLPIKTDHDTLREGYRFIRSEEDDMNHSWEQKLVKRYYDKLFKEYCIADMSQYKCGKIGLRWRTEKEVISGKGQFICGNKHCNEKDGLASYEVNFSYFEDGENKQALVKLVTCERCAEKLHYKKRKEKEKEKEKEKEKEKEKEKEFDRKQKKDYKRKRDQSKDKDDTDEERERSREKRKGKRASSSAINHESDDDDEDFDKYLEGMFP >KJB27081 pep chromosome:Graimondii2_0_v6:4:60994620:61002606:-1 gene:B456_004G276600 transcript:KJB27081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPILRPLPNESWNCMLPGPPSRNNFGSADLSPSGLLAFASGSSVSVVDSNSLQLVTTVPLPSHSPSSSSTASLSPFVTSVRWTPLPPRRDLLATEPSSSHLILAAADRHGRISLLDFRLRSIILSIDPPDPSSKTGVQDLCWVQARPDSFLLAALSGPSHISLYNTSTCRFIFKYDASPEYLSCVRRDPFDSRHLCIIGLKGFLLSIKVLGESEEDIALKKFLIRTKCKEILKLEKDAAAAATGGSSTSTPASAVFPLYAVRLAFSPHWEHVIYVTFPRELVVFDMKYETTLFSAALPRGCSKFLDVLPDTNQELVYCAHIDGKLSIWQRKEEQIHIMCTMEDLMPSFGSYVPSPSFLSVLISQSEPILQNISKICSKLSTAASDIDFDNPFDFCDDTLLVSKTHLISISDDGKLWSWILTAEGTGDMQNGAISSGRNANVFEESTNANTTVSSNDESTADGGRQLHKVNGARIQQSNSTDARPDVTFKISLVGQLQLLSSTVTMLAVPSPSLTATLARGGNSPAVAVPLVALGTQNGTIDVIDVSANAVAAGFSVHSSKVRGLRWLGNSRLVSFSYTEVSEKIGGYNNRLVVTCLRSGLNRTFRALQKPERAPVRALRASSSGRYLLIVFRDAPVEVWAMTKNPIMLRSLALPFTVLEWTLPTVPRPVQNGPSKQSPLSPNGNAAAASEEAASSTTASSSDTKTGSSDGSHDDSSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFVSSDGLITAMAYRLPHVVMGDRSGVVRWWDVTTGHSSSFNTHREGIRRIKFSPAVAGDHSRGRIAVLFYDNTFSVFDLDSPEPLVNSLLQPLCPGTLVLELDWLPLWTENDPLVLCIAGADSSFRLYEVNINDKRRIPGRQPRNLKERFRPMPLCCPILLPTPHALALRMILQLGVKPSWFNTLGTTAIDRRPQSITRTTSSTGDLRSYMIELPPVGDSVVPELLLKVLEPYRKEGCIFDEERARLYATIVNKGCAARFAFAAATFGEVSEALFWLQLPRAMNHLMSKLVKKSPEKVPFLEDSELDDTALLSRITSKGKLAPETGWGDALSKGQLRLMAFDQEDLWKSANERIPWHEKLEGEEAIQNRIHDLVSVGNLEGAVSLLLSTSPESPYFYPNALRAVALSSAVSRSLLELAVKVVAANMVRTDRSLSGIHLLCAVGRYQEACSQLQDAGCWTDAATLAAAHLKGSDYARVLQRWADHVLSAEHNIWRALILFVAAGAMQEALAALRKAQQPDTASMFIIACREIHADIITNVLGSDDESASSSNKDTMVELPGLNPEYEDVIAVGEFYGQYQRKLVHLCMDSQPFSD >KJB26682 pep chromosome:Graimondii2_0_v6:4:59174602:59176062:-1 gene:B456_004G254700 transcript:KJB26682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLAPFIFLTFLLFEAKGQIVPALFIFGDSIVDVGNNNDLVTLIKANFPPYGRDFVDHEPTGRFSNGKLALDIIAEKVGFTNHQPAYMSDKANGEMLLIGANFASAASGYYNATAILYNTISLSQQLENYREYKNKLVDITGKSNASSRISRGIHLISAGSSDFLQNYYINPLLFATNTPDTFSDILLNSYDHFIQNLYELGARRIGVATLPPLGCLPAAITAFGHGNNHCVARLNNNAISFNNKLRHRSQRLTRRLSKLKLVVLDIYNPLYTLITEPAENGFAEVRRACCGTGLLETSILCNQHSIGTCSNASQYVFWDGFHPTEAANQILADKLFKSGSSLIQP >KJB26817 pep chromosome:Graimondii2_0_v6:4:59676325:59681790:1 gene:B456_004G261400 transcript:KJB26817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSATFSSSLLGPFPVVTSTRHCDLGKERNKFCCFNEKKGQRNVICACMAPPTRNLGRDEFHGTKFTESSKYGNFSKEVKHENDSDVLIECRNVYKSFGEKHILRGVSFKIRHGEAVGIIGPSGTGKSTILKIIAGLLAPDKGEVYIRGKKRMGLIGDDEISGLRIGLVFQSAALFDSLTVRENVGFLLYENSCMSDEQISQLVTETLAAVGLKGVEERLPSELSGGMKKRVALARSIICDISKESIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHTKGEDASGKQGKIASYVVVTHQHSTILRAVDRLLFLHEGKVVWQGMTEEFTTSTNPIVRQFASGNLDGPIRY >KJB26818 pep chromosome:Graimondii2_0_v6:4:59676283:59681773:1 gene:B456_004G261400 transcript:KJB26818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSATFSSSLLGPFPVVTSTRHCDLGKERNKFCCFNEKKGQRNVICACMAPPTRNLGRDEFHGTKFTESSKYGNFSKEVKHENDSDVLIECRNVYKSFGEKHILRGVSFKIRHGEAVGIIGPSGTGKSTILKIIAGLLAPDKGEVYIRGKKRMGLIGDDEISGLRIGLVFQSAALFDSLTVRENVGFLLYENSCMSDEQISQLVTETLAAVGLKGVEERLPSELSGGMKKRVALARSIICDISKESIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHTKGEDASGKQGKIASYVVVTHQHSTILRAVDRLLFLHEGKVVWQGMTEEFTTSTNPIVRQFASGNLDGPIRY >KJB24496 pep chromosome:Graimondii2_0_v6:4:41602813:41604990:1 gene:B456_004G147600 transcript:KJB24496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQRGIDPVTHKPLSEVENGEDNNKSQHTNSLDMVSGPSSELKLNTDNLKPGTALYEQRPTSSITAPAPGYQLEMEGCSSSNNLMTSTTRKDLFLDRFASTATTTNGQPCDFMPHFPVQQLSYASTNPRLSPWFSQSEFSSSSMSTLLPPLTTSPFLSSPIGFNPSVTLPSHTPSMPSFTVNNSYAWGMADCSTSEKEEAPIHLMETQPDEMKWPEYLNNPLLMAAALQNQAPQSSLYNIEIKSETHCSTNTKSLSNSMWPQNQQPQQQQEGLQNSDMCAKDIQRLTTAYGHI >KJB25414 pep chromosome:Graimondii2_0_v6:4:50781745:50785030:-1 gene:B456_004G190900 transcript:KJB25414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKKSGKQQEIGNLSIDCFNKTTSPYLFCSQFNHQSYLESTPQNRVDFPLPNKPNLTIRVPFPPISPNPSPPLPASVPTPTITPTHHHKQPDFHHHKPSFSNNPSPTHALLSTPHKRPIMTHNSLPHSPTLSSFKNHRRDHCRTVERLPLFRYFSCSACFAIPFDTQQLGRKLVHHLNRGRLLCFHLRFLVLLSLPSLYFLVSDPRRFFVLNFLALLAFSVTLLVSLNLALPRLPSIRFLARSLPAKFIQLGSSSKSSKPVVWSIGSKPKSEKKANSGTWVQVYSNGDVYEGEFHKGKCSGSGVYYYCMKARYEGDWIDGKYDGYGVETWAKGSRYRGQYRQGLRHGIGVYRFYTGDVYAGEWSNGHCHGCGVHTCEDGSKYVGEFKWGVKHGLGHYHFRNGDIYLGEYFADKMHGFGVYQFGNGHRYEGAWHEGRRQGFGTYTFRNGETQSGHWQHGVLNVHSTQSIHLGSPHAVTRSRVLNAVQEAQRAAEKAYSIAKIDERVNKAVSAANKAANAARVVAVKAVQKRMHQDNSDDPPTSIV >KJB21626 pep chromosome:Graimondii2_0_v6:4:328965:329348:-1 gene:B456_004G005100 transcript:KJB21626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWIFDKKGVARLIPNPTRESFEHKHPIYPGTSTAPGARPRVLVYLPTNQVINSYSELEHRLTELGWTRYHNFTQPHLLQFHKSENSAHLISLPRNFAHFRALHMYDIVVKNPSFFQVREAAATQ >KJB24922 pep chromosome:Graimondii2_0_v6:4:46508600:46511319:1 gene:B456_004G168000 transcript:KJB24922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPPLFYGVMGGGAVNFDENYNTSDRLHSSSSIDNVKPSIIVIILILSITVLLSVSLYLLLRHLNRRCLSRVFRSSASNITASASHRVTPEQSPVPSFLDSLPMFTFSSITRRSNNGSTVSGDCAVCLSKFEPLDQLRLLPLCCHAFHAQCIDAWLTTNQTCPLCRSSLFASESDLMKVLLQSSNAACAFTSGGTDSFRLEIGSISQQRTGSDSGDQRRSYSIGSFDYIVEEESQLNRNQIHQRNMSDKEEVGRAEAISEASLAAEVANGRSRLKEYVDRLSFSLSSRAMSFRSSGRLVNGSSGRSDISGADGDYDVEANRIGEEISEMFRWFSGV >KJB21625 pep chromosome:Graimondii2_0_v6:4:322993:324666:1 gene:B456_004G005000 transcript:KJB21625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKFFVGGNWKCNGTTEEVKKIVTTLNEAEVPSEDVVEVVVSPPFVFLTLVKSLLRSDFHVAAQNCWVRKGGAFTGEISAEMLVNLGIPWVIIGHSERRALLNFVGDKVAYAVSQGVKAIACIGETLEQRESGSTMAVVAAQTKAIAEKVTNWENVVLAYEPVHCELRKWLNDNVNSEVAASTRIIYGGSVNGANCNELAAQPDVDGFLVGGASLKPEFVHIIKATTVKKN >KJB23302 pep chromosome:Graimondii2_0_v6:4:12411396:12412638:-1 gene:B456_004G090900 transcript:KJB23302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLPPITTFAAALLLFLHTPLATSSPLNLTGDSLSPYEVLQGYNFPVGLLPQGVLKYDLDESTGRFHAYLEGSCSFSLEGSYQLKYKSTISGIISNNRLKNLSGISVKVLFLWLNIVEVVRDEDELEFSVGIASASFPIDNFYECPQCGCGLECVDSKGKVSKLRNKSSFSSI >KJB22703 pep chromosome:Graimondii2_0_v6:4:6062981:6068761:1 gene:B456_004G061300 transcript:KJB22703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASRTFHATLFSLPTFSPRLHFKLSYSHSHPSLHLKRARSVQRSISETKTMSSDTTLPWGCDIDSLENAEALQKWLSDSGLPPQKVGINKVEMGKRGLVALKKINRGEKLLFVPPSLLITSDSDWSSPEVGHVLKQHNVADLPLLATYLISEANLQKSSRWSNYISSLPRQPYSLLYWTRSELDRYLKASQIRLRAIERIADITGTFDDLRRRILSKHPHLFPKEIFNLVTFRWSFGILLSRLIYLSSMDGKVALVPWADMLNHSCEVETYLNYDKSSQAVVFTTDRAYQSGEQVFISYGKKSNAELLLSYGFVPKEGTNLNDSVELPLSLKISDKCYKQKLKALKKHGLSASQCYTIQISGWPLELMAYAYLTVSPPSMSKQFEEMAAMASNESIIRKDLRYPEIEEKALQFILDNCESSISKYSKFLKESGSMDLDITSQELQNRGVFLKQLAVDLCISEQKILHRAQYILKRRLRDMRSGELRA >KJB22702 pep chromosome:Graimondii2_0_v6:4:6062981:6066184:1 gene:B456_004G061300 transcript:KJB22702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASRTFHATLFSLPTFSPRLHFKLSYSHSHPSLHLKRARSVQRSISETKTMSSDTTLPWGCDIDSLENAEALQKWLSDSGLPPQKVGINKVEMGKRGLVALKKINRGEKLLFVPPSLLITSDSDWSSPEVGHVLKQHNVADLPLLATYLISEANLQKSSRWSNYISSLPRQPYSLLYWTRSELDRYLKASQIRLRAIERIADITGTFDDLRRRILSKHPHLFPKEIFNLVTFRWSFGILLSRLIYLSSMDGKVALVPWADMLNHSCEVETYLNYDKSSQAVVFTTDRAYQSGEQITGFLQESWLVFRYGSFMRRGKKLTINAHRYMHDIFLLHIENMNACSL >KJB23618 pep chromosome:Graimondii2_0_v6:4:21926785:21930306:-1 gene:B456_004G107400 transcript:KJB23618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKPAVRISERKLVVKERTILTGVPENVIATSGTAAGSVEGVFLGAVFDEENSRHVVPIGTLRDVRFMSCFRFKLWWMAQKMGDQGKDVPLETQFLLVETKDGSHLDSTEENQIVYTVFLPLIEGPFRAVLQGNQNDQLELCLESGDADTKASSFTHSVYIHAGTDPFTTITEAIRAVKLHLKTFRQRHEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGIESLAAGGTPPKFVIIDDGWQSVGGDAREEDKASSSADQAETKQQPLLRLTGIKENEKFQKKDDPTVGIKNIVNIAKEKHGLKYVYVWHAITGYWGGVRPGVEEMEGYGSRIRYPMVSKGVVDNEPGWKTDAIAVQGLGLVNPKNVYKFYNELHSYLADAGIDGVKVDVQCILETLGAELGGRVELTRQYHQALDASVGRNFPDNGIIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIAAVAYNSVFLGEFMQPDWDMFHSLHPAAEYHASARAISGGPVYVSDAPGRHNFELLKKLVLPDGSILRARLPGRPTRDCLFTDPARDGVSLLKIWNMNKYTGVLVVYNCQGAAWNSAARKNTFHQTKTDSLSGHVKGHDVHLISEASLDPEWAGDSAVYCHQTGELITLPYNAAMPVSLKVLEHEIFTITPIKHLAPGFSFAPLGLINMYNSGGAIVDLKYEVKDGAKLSELDIEYGGESSGLRVRAENSSNELVGKVCMEIKGCGNFGAYSSAKPRQCSVGSSEVQFDYDSLSGLVKISLEKLPEEGPKVHVVEVEL >KJB23619 pep chromosome:Graimondii2_0_v6:4:21926785:21930492:-1 gene:B456_004G107400 transcript:KJB23619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNTCYCSRFLAINRSLPSIYLHSKSNQRRISLFFRSKQNFQKRVSSGISGVGVSGVSINPALSVLSFKRGDLKPPLKKEGVEGMTIKPAVRISERKLVVKERTILTGVPENVIATSGTAAGSVEGVFLGAVFDEENSRHVVPIGTLRDVRFMSCFRFKLWWMAQKMGDQGKDVPLETQFLLVETKDGSHLDSTEENQIVYTVFLPLIEGPFRAVLQGNQNDQLELCLESGDADTKASSFTHSVYIHAGTDPFTTITEAIRAVKLHLKTFRQRHEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGIESLAAGGTPPKFVIIDDGWQSVGGDAREEDKASSSADQAETKQQPLLRLTGIKENEKFQKKDDPTVGIKNIVNIAKEKHGLKYVYVWHAITGYWGGVRPGVEEMEGYGSRIRYPMVSKGVVDNEPGWKTDAIAVQGLGLVNPKNVYKFYNELHSYLADAGIDGVKVDVQCILETLGAELGGRVELTRQYHQALDASVGRNFPDNGIIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIAAVAYNSVFLGEFMQPDWDMFHSLHPAAEYHASARAISGGPVYVSDAPGRHNFELLKKLVLPDGSILRARLPGRPTRDCLFTDPARDGVSLLKIWNMNKYTGVLVVYNCQGAAWNSAARKNTFHQTKTDSLSGHVKGHDVHLISEASLDPEWAGDSAVYCHQTGELITLPYNAAMPVSLKVLEHEIFTITPIKHLAPGFSFAPLGLINMYNSGGAIVDLKYEVKDGAKLSELDIEYGGESSGLRVRAENSSNELVGKVCMEIKGCGNFGAYSSAKPRQCSVGSSEVQFDYDSLSGLVKISLEKLPEEGPKVHVVEVEL >KJB23617 pep chromosome:Graimondii2_0_v6:4:21927994:21930250:-1 gene:B456_004G107400 transcript:KJB23617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNTCYCSRFLAINRSLPSIYLHSKSNQRRISLFFRSKQNFQKRVSSGISGVGVSGVSINPALSVLSFKRGDLKPPLKKEGVEGMTIKPAVRISERKLVVKERTILTGVPENVIATSGTAAGSVEGVFLGAVFDEENSRHVVPIGTLRDVRFMSCFRFKLWWMAQKMGDQGKDVPLETQFLLVETKDGSHLDSTEENQIVYTVFLPLIEGPFRAVLQGNQNDQLELCLESGDADTKASSFTHSVYIHAGTDPFTTITEAIRAVKLHLKTFRQRHEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGIESLAAGGTPPKFVIIDDGWQSVGGDAREEDKASSSADQAETKQQPLLRLTGIKENEKFQKKDDPTVGIKNIVNIAKEKHGLKYVYVWHAITGYWGGVRPGVEEMEGYGSRIRYPMVSKGVVDNEPGWKTDAIAVQGLGLVNPKNVYKFYNELHSYLADAGIDGVKVDVQCILETLGAELGGRVELTRQYHQALDASVGRNFPDNGIIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIAAVAYNSVFLGEFMQPDWDMFHSLHPAAEYHASARAISGGPVYVSDAPGRHNFELLKKLVLPDGSILRARLPGRPTRDCLFTDPARDGVR >KJB22401 pep chromosome:Graimondii2_0_v6:4:4019882:4022839:1 gene:B456_004G045700 transcript:KJB22401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPVSAIGYEGYEKRLEISFFDPGFSVDPRGMGLRSLSKAQLDEILKPAECTIVSSLSNNHVDSYVLSESSLFVYPYKIIIKTCGTTKLLFSIPAILKLANSLSLSLQSVRYTRGSFIFPGAQPFPHRNFCEEVAILDRYFLKLGSHRKAYIMGGSDKTKTWHVYSASARMSSHSNPVYTLEMCMTGLDRKKASIFYKTHASSAASMTEHSGIRKILPHSQICDFEFDPCGYSMNSIEKGAISTIHVTPEDGFSYASFEASGYDFETLNSNKLIERVLACFKPTEFSVSLHVNMTRDDLRCRMPSYVNGYCCEKRSFEQLGNGGSVMYYSFVGGDMCVSPRSILKSCSWNEDEQSVGVRPAPYHLSAL >KJB22661 pep chromosome:Graimondii2_0_v6:4:5751294:5754644:-1 gene:B456_004G059400 transcript:KJB22661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSITPFLSLAVPTGKQLSICSKQVTPCCYSRIGSIRCRRKGGVDVGRKGNGRRLLVFACSTTPYVRGIGSQRVSIGNKTDGGATKGDLSQALSAMLPFVVAATAVASLVQPSTFTWVYAPALGGIMLSIGIKLSLDDFALAVKRPLPLSVGLIVQYMLKPGLGVLIAKAFGMSPMFYAGFIFTSCVAGAQLSSYASFLSKGDVAVSILLTSFTTIASVLLTPLLTGLLIGSVVPVDAVMMSKSILQVVLVPVALGLVLNTYAKPIVTILRPVMPFVAMICTSLCIGSPLALNQSQILSKDGLQLVLPVLAFHAVAFAIGYWILKIPAFWQREEVCRTVSLCGGMQSSTMAGLLAIQFLGGCSQAVPAACSVIAMAIMGLSLASFRGSGYRLRDLPSLLAPQTGPAVQEAQ >KJB21575 pep chromosome:Graimondii2_0_v6:4:128683:129751:1 gene:B456_004G001900 transcript:KJB21575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPHVAGVAAYVKTFHPHWSPSTIKSALMTTAFPMDAPRNQGAEFAYGSGHINPVKAIDPGLVYNTVKGDNIRFLCSIGYDEGSIKNIAGNNTSCPKNNTKMLPRDFNYPTLTALVPASKPFKVTFHRTVTNVGVARSTYNATIATLLELEIKVVPHVLSFKSLTEKKSYKVTVSGKALGEQSMVSATLIWSDGTHNVRSSIVIHTYEGVRGTV >KJB21574 pep chromosome:Graimondii2_0_v6:4:126748:132877:1 gene:B456_004G001900 transcript:KJB21574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFLLLVDIFVILMLCISLCHGAVDDDRKVYIAYLGSAPDRDYIATSQHSTMLQALSTHSSMENYLIRSYKRSFNGFAAKLTNEEAKKLASFKEVVSVFPSKVYHLHTTRSWDFLGLNQTTKHNATAESNVIVGVIDSGIWPESDSFSDEGFGPPPKKWKGACKGGQNFTCNKKLIGARVYTTDSARDMDGHGSHTASTAAGNNVRNASFYGLAEGIARGGVPSARIAAYKVCDDTGCTSEDIMAAFDDAIADGNDLLSVSLGPESSSEFYLDPIAIGAFHAAEKGVLVVQSAGNSGLAGFQSVASVAPWILSVAASTTDRHFVNKVVLGNGKTLTGLSINSFSLNRTKVPLVYGLQASSDCDEFSARLCLPNCLNKTLVKNKIILCDDMQGVDEAYNAGALGLITKYRSDDVSFVVPLPAITLSSKDYDSVTSYLNSTKQPKAKILRSETITDKFAPIVASFSSRGPNFIVPDILKPDISAPGVDILAAYSPVASPSITATDTRRVKYNIISGTSMSCPHVAGVAAYVKTFHPHWSPSTIKSALMTTAFPMDAPRNQGAEFAYGSGHINPVKAIDPGLVYNTVKGDNIRFLCSIGYDEVTFHRTVTNVGVARSTYNATIATLLELEIKVVPHVLSFKSLTEKKSYKYDDRSLFFLLCSSVENYIIRSYKRSFNGFAAKLTNEEAKKLSSFKGVVSVFPSKVNHLHTTSSWDFLGLNQTVKHNATESNVIVSVIDTGIWPESDSFSNEGFGPPPKSGRELVSKLIRARVYTTDSARDTEGHGSHTAFTAARNNVVNASFYGLAEGTTREGFHQQGLLHIKYAMFIRIIFVSEFYSVPVAIGAFHAAENGILVVQSAGNSGTLGKQTVSIVAPWILTVAARTIDRLFIDKGFSVNFFSLNRTKVPLVYGLQAKSYCHKSIARVCDPGCLDTSSVKNKIVLCDKEAYNASALGSITPIPWTNISFVVPLSAFSFKMRPDRNAPSGDILAAYSPVASHSTTTTDRRRVKYNIISGTSMSCPHVTGVVAYVKTFQPHWSPSAIGSALLTTAIDHGLVYDTVKGDNIRFLCSIGYVEGTIRIVTGHNSSCPEKTLPRDYTYPTLTAAIPQYLLKLQHISYNSKLEIKVASQVLSFKSLMEKKPYNVTVTGKTLKPFLMVCASFLWSGDNHNV >KJB26486 pep chromosome:Graimondii2_0_v6:4:59218762:59224336:1 gene:B456_004G255500 transcript:KJB26486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHCGTSILITKPLLTFTHCRKPKPKHQFSRKPSKFSCLVANIGVDDIVQLAHNKVLVAAAASAAIGQLSKPFTSVLLYGKDFDFKTAFQAGGFPSTHSSSVVAAATSLALERGFSDSIFGVTLVYAGLIMYDAQGVRREVGNHARALNTMLPKVEVNSVVYEDRDNLIDSREKSSERLGPILSKKSSSSTSKNANVPVLIASEKETRQTKEAAASFEFAANDYAGLEGDANYSQVRLKESIGHTEVEVIAGALLGFVVSLAVYSIIT >KJB26069 pep chromosome:Graimondii2_0_v6:4:55791108:55794414:1 gene:B456_004G223300 transcript:KJB26069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMAQEMSKEMASRHNPPNVVAKLMGLDALPRQQHNLSAQRCHSKGSSRQSSSHSHILAEGWEQDQGFADKEMLSEVNPCQELDKYKDVYEIWQQSPRATYSRDSSPQKGRYKDNVNEKKMALVRQKFMEAKHLVTDEKLRQTKEFQDALEVLSSNRELFLKFLEEPNSNFSQHDFQSLPQPPEKKRITVLKPKMVDKEKFTGIGSGDKQKKKPSRMHHVTKYDRNNRACYPSLPSPKVDEYPPQPTRIVVLKPSPGKSQDIKTVASPSPSSPRILHGEDFCDEPEDDGAREPREVAKEITTQMHENTMGHRRDETLLSSVFSNGYIGDDSSLNRSENEYAVENLSDSEVVSPTSRHSWDYINRFGSPYSCSSFSHASCSPESSVCREAKKRLSERWAMTMMASNGNSQEQRHVRRSSSTLGEMLALSDTKKLVRSEEEGSTKDQEPRGSTSCTASNPNKEENTSDSPKDLLRSKSVPVSSTVYSARHSVELSDPESSKEQVSKELTKAKSMKSSLKGKVSSFFFSKNKKTNIEKCTGSQSTDESPSTTPGRLGSPISHPLKISNDASQSFNDSCNQVCLSPVLDGSVSKSTLPDLTGTGQKQGTNAVEGGLAVAKPSMLVHISENQDQPSPISVLEPPFYEDENTNPESSCSSKPVHRGVPPKSNLIDKSPPIGSIARTLSWDDLCSDTATLNSSKPSKVSPGAKEEEHDWVLSVQTLLSSAGLNDKEYFESFIDGWHSPESPLDPSLRDKYANLNDKEPLHEAKRRQWRSNKKLVFDCVNAAIVEITGYGSNNRMNEMSLCRSHIRDMESASPTLVDHVCAQIKEWVSGDSNHVVDNDDDWDTDSLVVEKAVRKEVGKGWVDQTKTETDNLGNEIEGKLLQELVEEAVVDLTGTMF >KJB26067 pep chromosome:Graimondii2_0_v6:4:55789975:55794501:1 gene:B456_004G223300 transcript:KJB26067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFESRRGQNIEKFPGCLGRMVNLFDLNTNVPGNRLLTDKQHDGSSLSRSQSDVARTLRPTFGDQNHQIEDKVIISELRRAFSNKKGNKTPIKMLMAQEMSKEMASRHNPPNVVAKLMGLDALPRQQHNLSAQRCHSKGSSRQSSSHSHILAEGWEQDQGFADKEMLSEVNPCQELDKYKDVYEIWQQSPRATYSRDSSPQKGRYKDNVNEKKMALVRQKFMEAKHLVTDEKLRQTKEFQDALEVLSSNRELFLKFLEEPNSNFSQHDFQSLPQPPEKKRITVLKPKMVDKEKFTGIGSGDKQKKKPSRMHHVTKYDRNNRACYPSLPSPKVDEYPPQPTRIVVLKPSPGKSQDIKTVASPSPSSPRILHGEDFCDEPEDDGAREPREVAKEITTQMHENTMGHRRDETLLSSVFSNGYIGDDSSLNRSENEYAVENLSDSEVVSPTSRHSWDYINRFGSPYSCSSFSHASCSPESSVCREAKKRLSERWAMTMMASNGNSQEQRHVRRSSSTLGEMLALSDTKKLVRSEEEGSTKDQEPRGSTSCTASNPNKEENTSDSPKDLLRSKSVPVSSTVYSARHSVELSDPESSKEQVSKELTKAKSMKSSLKGKVSSFFFSKNKKTNIEKCTGSQSTDESPSTTPGRLGSPISHPLKISNDASQSFNDSCNQVCLSPVLDGSVSKSTLPDLTGTGQKQGTNAVEGGLAVAKPSMLVHISENQDQPSPISVLEPPFYEDENTNPESSCSSKPVHRGVPPKSNLIDKSPPIGSIARTLSWDDLCSDTATLNSSKPSKVSPGAKEEEHDWVLSVQTLLSSAGLNDKEYFESFIDGWHSPESPLDPSLRDKYANLNDKEPLHEAKRRQWRSNKKLVFDCVNAAIVEITGYGSNNRMNEMSLCRSHIRDMESASPTLVDHVCAQIKEWVSGDSNHVVDNDDDWDTDSLVVEKAVRKEVGKGWVDQTKTETDNLGNEIEGKLLQELVEEAVVDLTGTMF >KJB26065 pep chromosome:Graimondii2_0_v6:4:55789024:55794501:1 gene:B456_004G223300 transcript:KJB26065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSHHQGVEMNGFESRRGQNIEKFPGCLGRMVNLFDLNTNVPGNRLLTDKQHDGSSLSRSQSDVARTLRPTFGDQNHQIEDKVIISELRRAFSNKKGNKTPIKMLMAQEMSKEMASRHNPPNVVAKLMGLDALPRQQHNLSAQRCHSKGSSRQSSSHSHILAEGWEQDQGFADKEMLSEVNPCQELDKYKDVYEIWQQSPRATYSRDSSPQKGRYKDNVNEKKMALVRQKFMEAKHLVTDEKLRQTKEFQDALEVLSSNRELFLKFLEEPNSNFSQHDFQSLPQPPEKKRITVLKPKMVDKEKFTGIGSGDKQKKKPSRMHHVTKYDRNNRACYPSLPSPKVDEYPPQPTRIVVLKPSPGKSQDIKTVASPSPSSPRILHGEDFCDEPEDDGAREPREVAKEITTQMHENTMGHRRDETLLSSVFSNGYIGDDSSLNRSENEYAVENLSDSEVVSPTSRHSWDYINRFGSPYSCSSFSHASCSPESSVCREAKKRLSERWAMTMMASNGNSQEQRHVRRSSSTLGEMLALSDTKKLVRSEEEGSTKDQEPRGSTSCTASNPNKEENTSDSPKDLLRSKSVPVSSTVYSARHSVELSDPESSKEQVSKELTKAKSMKSSLKGKVSSFFFSKNKKTNIEKCTGSQSTDESPSTTPGRLGSPISHPLKISNDASQSFNDSCNQVCLSPVLDGSVSKSTLPDLTGTGQKQGTNAVEGGLAVAKPSMLVHISENQDQPSPISVLEPPFYEDENTNPESSCSSKPVHRGVPPKSNLIDKSPPIGSIARTLSWDDLCSDTATLNSSKPSKVSPGAKEEEHDWVLSVQTLLSSAGLNDKEYFESFIDGWHSPESPLDPSLRDKYANLNDKEPLHEAKRRQWRSNKKLVFDCVNAAIVEITGYGSNNRMNEMSLCRSHIRDMESASPTLVDHVCAQIKEWVSGDSNHVVDNDDDWDTDSLVVEKAVRKEVGKGWVDQTKTETDNLGNEIEGKLLQELVEEAVVDLTGTMF >KJB26066 pep chromosome:Graimondii2_0_v6:4:55789138:55794414:1 gene:B456_004G223300 transcript:KJB26066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSHHQGVEMNGFESRRGQNIEKFPGCLGRMVNLFDLNTNVPGNRLLTDKQHDGSSLSRSQSDVARTLRPTFGDQNHQIEDKVIISELRRAFSNKKGNKTPIKMLMAQEMSKEMASRHNPPNVVAKLMGLDALPRQQHNLSAQRCHSKGSSRQSSSHSHILAEGWEQDQGFADKEMLSEVNPCQELDKYKDVYEIWQQSPRATYSRDSSPQKGRYKDNVNEKKMALVRQKFMEAKHLVTDEKLRQTKEFQDALEVLSSNRELFLKFLEEPNSNFSQHDFQSLPQPPEKKRITVLKPKMVDKEKFTGIGSGDKQKKKPSRMHHVTKYDRNNRACYPSLPSPKVDEYPPQPTRIVVLKPSPGKSQDIKTVASPSPSSPRILHGEDFCDEPEDDGAREPREVAKEITTQMHENTMGHRRDETLLSSVFSNGYIGDDSSLNRSENEYAVENLSDSEVVSPTSRHSWDYINRFGSPYSCSSFSHASCSPESSVCREAKKRLSERWAMTMMASNGNSQEQRHVRRSSSTLGEMLALSDTKKLVRSEEEGSTKDQEPRGSTSCTASNPNKEENTSDSPKDLLRSKSVPVSSTVYSARHSVELSDPESSKEQVSKELTKAKSMKSSLKGKVSSFFFSKNKKTNIEKCTGSQSTDESPSTTPGRLGSPISHPLKISNDASQSFNDSCNQVCLSPVLDGSVSKSTLPDLTGTGQKQGTNAVEGWL >KJB26068 pep chromosome:Graimondii2_0_v6:4:55790240:55794414:1 gene:B456_004G223300 transcript:KJB26068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGVEMNGFESRRGQNIEKFPGCLGRMVNLFDLNTNVPGNRLLTDKQHDGSSLSRSQSDVARTLRPTFGDQNHQIEDKVIISELRRAFSNKKGNKTPIKMLMAQEMSKEMASRHNPPNVVAKLMGLDALPRQQHNLSAQRCHSKGSSRQSSSHSHILAEGWEQDQGFADKEMLSEVNPCQELDKYKDVYEIWQQSPRATYSRDSSPQKGRYKDNVNEKKMALVRQKFMEAKHLVTDEKLRQTKEFQDALEVLSSNRELFLKFLEEPNSNFSQHDFQSLPQPPEKKRITVLKPKMVDKEKFTGIGSGDKQKKKPSRMHHVTKYDRNNRACYPSLPSPKVDEYPPQPTRIVVLKPSPGKSQDIKTVASPSPSSPRILHGEDFCDEPEDDGAREPREVAKEITTQMHENTMGHRRDETLLSSVFSNGYIGDDSSLNRSENEYAVENLSDSEVVSPTSRHSWDYINRFGSPYSCSSFSHASCSPESSVCREAKKRLSERWAMTMMASNGNSQEQRHVRRSSSTLGEMLALSDTKKLVRSEEEGSTKDQEPRGSTSCTASNPNKEENTSDSPKDLLRSKSVPVSSTVYSARHSVELSDPESSKEQVSKELTKAKSMKSSLKGKVSSFFFSKNKKTNIEKCTGSQSTDESPSTTPGRLGSPISHPLKISNDASQSFNDSCNQVCLSPVLDGSVSKSTLPDLTGTGQKQGTNAVEGGLAVAKPSMLVHISENQDQPSPISVLEPPFYEDENTNPESSCSSKPVHRGVPPKSNLIDKSPPIGSIARTLSWDDLCSDTATLNSSKPSKVSPGAKEEEHDWVLSVQTLLSSAGLNDKEYFESFIDGWHSPESPLDPSLRDKYANLNDKEPLHEAKRRQWRSNKKLVFDCVNAAIVEITGYGSNNRMNEMSLCRSHIRDMESASPTLVDHVCAQIKEWVSGDSNHVVDNDDDWDTDSLVVEKAVRKEVGKGWVDQTKTETDNLGNEIEGKLLQELVEEAVVDLTGTMF >KJB22440 pep chromosome:Graimondii2_0_v6:4:4231970:4234038:1 gene:B456_004G047600 transcript:KJB22440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLAKEYGYVALVVVAYCFLSIWMGIQVGMARKKYKVYYPTLYALESENKDAKLFNCVQRGHQNSLEMMPMFFVLMILGGMAHPCISAALGLFYTVTRYFYFTGYSTGDPQKRLTIGKYGFLALLGLKICTISLAINLLRQ >KJB24587 pep chromosome:Graimondii2_0_v6:4:43442543:43446211:-1 gene:B456_004G152800 transcript:KJB24587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEKMELLDVDKLIEEFESMTKDAGNVQRETLKKILEENGCAEYLQNLGLNGRTDPESFKACVPLVTHNDLQPYIQRIVDGSSSPILTGKPITTISLSSGTTQGKPKFVPFNDELMETTLQIYRTSYAFRTREFPIENGKALQLIYSSKQSKTKGGLIAGTATTNVFRNSQFKNTMKAMQSQCCSPDEVIFGPDFHQSLYCHLLCGLIFREEIQFISSTFSHSIVHAFRTFEQVWEELCADIREGVLTSRITFPSVRSAMAKLLKPNPELADLIHKKCLGLNNWYGLIPELFPNVKYIYGIMTGSMEPYLKKLRHYARDLPLISADYGSSEGWIGANVNPNVPPELATYVVLPDIGYFEFIPLKENVEEAKPVGLTEVKVGEEYEVIITSFAGLYRYRLGDVVKVTGFHNLSPELKFICRRNLMLTINIDKNTEKDLQLAVEAASKLNTEEKLEVLDFSSHVDLSTNPGHYVIFWEISGEVREEVLEECCNCLDRSFVDAGYVSSRKINAIGPLELRVVQRGTFQKILNHYVGLGAALSQFKTPRCVGSTNNEVLQILCNNVVKSYFSTAF >KJB27061 pep chromosome:Graimondii2_0_v6:4:60920280:60922214:1 gene:B456_004G275300 transcript:KJB27061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAPPSLHKYLYAKYPCVSHDFRFRHSLRFSCRPFRFTARASSTVSLETDLSIQKNQTEETDLFSCPVCYEPLIRKGPPGLNLAAIYRSGFKCKQCDKSYSSKDTYLDLTVTAGLRDYTEVKPAGTELFRSPFVSFVYERGWRQNFNRRGFPGPDEEFRMAQEYFKPVEGGILVDVSCGSGLFSRKFVKSGTYSGVIALDFSENMLRQCNDFIEQDASILASNIALVRADVSRLPFSSGSVDAVHAGAALHCWPSPLNAVAEISRILRSGGVFVGSTFLRYTSSTPRIIRPFREVQANSFGFLFNFIPNIYATPILFLQVSISDTRVLVFF >KJB27062 pep chromosome:Graimondii2_0_v6:4:60920239:60922595:1 gene:B456_004G275300 transcript:KJB27062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAPPSLHKYLYAKYPCVSHDFRFRHSLRFSCRPFRFTARASSTVSLETDLSIQKNQTEETDLFSCPVCYEPLIRKGPPGLNLAAIYRSGFKCKQCDKSYSSKDTYLDLTVTAGLRDYTEVKPAGTELFRSPFVSFVYERGWRQNFNRRGFPGPDEEFRMAQEYFKPVEGGILVDVSCGSGLFSRKFVKSGTYSGVIALDFSENMLRQCNDFIEQDASILASNIALVRADVSRLPFSSGSVDAVHAGAALHCWPSPLNAVAEISRILRSGGVFVGSTFLRYTSSTPRIIRPFRERILQNYSYLTEEEIEALCTSCGLTNYTRNIQQSFIMFSAQKP >KJB27404 pep chromosome:Graimondii2_0_v6:4:54306769:54307620:-1 gene:B456_004G210500 transcript:KJB27404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSASGMAVNDECKMRFLELKAKRSYRFIVFKIEENIQQVMVEKLGGPKEKYDDFSACLPHNECRYAVFDFDFTTDENVQKSKIFFIAWSPDTSRVRSKMLYASSKDRFRRELDGVQVELQATDASEMSIDIVKERAF >KJB22789 pep chromosome:Graimondii2_0_v6:4:6688698:6690232:-1 gene:B456_004G065600 transcript:KJB22789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVGEEPVLSRLDRVDNMVRQLEEMRGSHGNGNYNRSPSPRSSSASTPSSGTLGSTCTEGHPSSIDFSPTAKSLEKYCRPIDHVMVETQLKGTLLQRLDQVEDRLLKLCVQLEEELEEEKKREAVHVEKKGHKKGIKQFVKQCVKGKHSKQHEGTEWNGKAKSSLSLSLSSKIK >KJB21810 pep chromosome:Graimondii2_0_v6:4:1068575:1070396:1 gene:B456_004G014800 transcript:KJB21810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKDFFFYYKGRKIYFCYFFVVVIVATTRGQLPSDTGGTFADWATSSSTAIRAGPDDLLSLGFNPNAASPAATAAAPAQWPPSARPINNGLAGPEMGMVGLRDFVVVAPAASFNHHHHHHHHHTQDPIMVNEQINGPSSAAAAVTALGVGVIPLLTAAPCLPPQNVEDTGKFSGIQLWQNQSSSHYLKKPASFLDNSNPSMMAGDGGGMGGGSGGSGSSSGATCQDCGNQAKKDCTHRRCRTCCKSRGFDCPTHVKSTWVPAARRRERQLMSAAATTAGAGSSGSTSGAKKPRLVTSQTTTTSHTSTSNTTPPRSFDTSSSHQDVGLKETLPGQVRAPAVFKCVRVTAVEGGEDEYAYQAVVKIGGHVFKGFLYDQGVEGRDGFPNISELHLGGGGRNAGSSSSPGLDPSDVYAATGGGFLGGGLGYGNPIN >KJB23396 pep chromosome:Graimondii2_0_v6:4:15010404:15014437:1 gene:B456_004G095900 transcript:KJB23396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLNTLRKAYGVLKDKTKIGLAKFNNIAIIKATNHIESPPKERHVRKIFAATSVSRPQTDIAYCVQALAKRLSKTRSWIVAAKILIVIHRTLREGDPTFRDELLNYAHRGNFLQISNFKDDSSHFAWVKTYAVFLEKRLDCFRVLQYDIEAERLTKLASGGSSRGQSRRMSSEELIEQLPALQQLLSRLIGCQPEGAASDIYLIQYALALVLKESFKIYCAINDGIMNLIDVFFKMSQDDAVKALGIYKRAGQQVEELAEFYEYCKGLELAKNFQFPALRQPPPSFLATMEEYVKEAPQSGTNLASHDLSEQWELALFTTPSNNTTHAVESELLLVDSVYEDEAIRRQKQLTNARYGSEGQPMMMTVPYQYPQQLQVQYMGHFNPFGEPPLFSIPPNSILLQ >KJB23747 pep chromosome:Graimondii2_0_v6:4:25961240:25963398:1 gene:B456_004G113000 transcript:KJB23747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITDKKAANVMGGKTARACDGCLQKRARWYCADDDAFLCQGCDTSVHSANQLASRHERVSLQTASSNFNASMHSTIDQDAPPAWHQGFTRKARTARQNKPMLGQQKGEGTVLALNPIDPFVPEVGSEEGSVDENEEQLLCRVPVFDPFSEELCNMVTSDCDEVATPNEDGNLVVDGYEHERTYELDDSLHGFLPSDLDLANFAADVESLLGVRLDEDSCDIKKIESLDCTDENGSELFHERKIMKVEEEEEVEGITACFCESAFEVTRASLNWTFDYDSLIITEEEEKEIPVAKTKRNKLLRLNYESVITAWASQGSRTTGTRPELNPDDFMGSYPKDEHHQNGGIGGINRPVRANNTDGEREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRTSFVGLGTAFPYTN >KJB22807 pep chromosome:Graimondii2_0_v6:4:6870719:6874315:1 gene:B456_004G066700 transcript:KJB22807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol-C-24-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G13710) UniProtKB/Swiss-Prot;Acc:Q9LM02] MCPCKICYRVTNTIGYERYHVFYGGEEEERKANYTDMVNKYYDLVTSFYEFGWGESFHFAPRWKGESLKESIKRHEHFLALQLGLKPGHKVLDVGCGIGGPLREIARFSSTLVTGINNNEYQITRGKELNSIAGVEKTCNFVKADFMKMPFPDSTFDAVYAIEATCHAPDAYGCYKEIYRVLKPGQYFAAYEWCMTDSFDPNNSEHQKIKAEIEIGDGLPDIRLTGQCLEALKQAGFEIIWEKDLAVGSPLPWYLPLDTSHFSLSSFRLTSVGRFITRNMVKGLEFVGLAPKGSQRVQDFLEKAAEGLVEGGRKEIFTPMYFFLARKPLSERQ >KJB22806 pep chromosome:Graimondii2_0_v6:4:6869174:6874315:1 gene:B456_004G066700 transcript:KJB22806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol-C-24-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G13710) UniProtKB/Swiss-Prot;Acc:Q9LM02] MSKAGALDLASGLGGKIEKTEVLSAVEQYERYHVFYGGEEEERKANYTDMVNKYYDLVTSFYEFGWGESFHFAPRWKGESLKESIKRHEHFLALQLGLKPGHKVLDVGCGIGGPLREIARFSSTLVTGINNNEYQITRGKELNSIAGVEKTCNFVKADFMKMPFPDSTFDAVYAIEATCHAPDAYGCYKEIYRVLKPGQYFAAYEWCMTDSFDPNNSEHQKIKAEIEIGDGLPDIRLTGQCLEALKQAGFEIIWEKDLAVGSPLPWYLPLDTSHFSLSSFRLTSVGRFITRNMVKGLEFVGLAPKGSQRVQDFLEKAAEGLVEGGRKEIFTPMYFFLARKPLSERQ >KJB26675 pep chromosome:Graimondii2_0_v6:4:59121396:59122685:-1 gene:B456_004G254200 transcript:KJB26675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIVFAIISLSTRCLTDAQNVGFSVELIHRDSIKSPFYNPFETTFDRVTNAFRRSFSRVHRFYPNSITTTEANPDIIVNTGEYLMNISLGTPSFSVVALADTGSDLIWTQCSPCSQCFKQDAPLFDPTKSSTYRKMSCSSNSCENIQGGTCASPTDTSCIYSVTYGDNSFSKGDIAYDILTLGSTTGQAVALPDTIIGCGNNNAGTFSGKASGIIGLGGGEISLINQLGSPINGKFSYCLLPMTQIGKSSKMNFGSNAIVSGPGTVSTPLIEKSPTTFYFLTLKAISVGTQRIEFKGSSFGTDEGNIVIDSGTTLTLLPSDFYSQLESAMDSQFNGIRAQGPQGFNLCYVAIHEFEAPEVTVHFANADVKLKTLNTFVKVDDSTACFAFSPAQNIAIYGNLAQMNFLIGYDTKSQTVSFKPTDCSKN >KJB26993 pep chromosome:Graimondii2_0_v6:4:60547359:60551122:-1 gene:B456_004G270600 transcript:KJB26993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLGPILEIVHIMLDPIAKYFKYHRGVKKYMMILHKKLEELKCRKDDIESRLNIDLLQSGKTLKKEVQLWLDGACKIISQVDSLSKEVGDMKYLSRAHFGKKITVTIKVVDEHHRKGDFVDRLVIDAPTGNKVTLPTTELVGKSTARRNMEQLWDCLMDDSCRKIGVYGMGGVGKTTMIKLIHNRLLEETNKFDNVIWVSVSKFASVTQLQDKLARAIGVCMPENESEMVRAAKLFAMIQTMKNCVLIFDDVWEAFQLEDVGIPEPGADNKSKFVLTTRLADVCLRMGCKQIKAELLSEEEAWNLFVEKASLDVISPHIKPIAKEVAKQCACLPLAIVTIARSLKGVTESCEWRNALEELRDSTRGHHDMRRVLEQLKFSYNHLDDEKLRNCLLYCALYPEDFSIRRKELIERLIAGGVIDRMCSRRAAFDKGHAMLNKLEKACLLECVMKKSEDNKQVKMHDLVRDMVIHVNGISSRLMVKSGMHLREIPDGNYWTEDLEMVSLMHNSISGIPSDVSPMCTRISTLLLASNHCLTMIPDPFFMHMATLEVLDLSYTSIEALPNSISKLGKLSALLLRRCAKLRLIPSLEKLILLRKLDLCHAGIKEIPQGLEMLINLRYLNLHTPYLEFLPCGVLSKLLNLQVLITFGASKTSKVKGDEVACLRKLETFSGQFYNIHEFNIFVYSISTRGREPDMYFIQVGEYHTVTFKEEPESFGKHVKLVKCFIGRGDDELVLPNGIQSLDIHDCHGVASLCDISSLNNATDLRSLEISKCDDVKYAFRASSSTCFRSLESLRLIDLLNFVSLSYKAGAAALPNDMFSNLKSFRIHQCPNIKRLFTPGLLLQLHSLSVIEVTFCPQMKDIIAEDSDESIENHSIDMKKTTNHPMLRHLVLCELPKLKSIYTQTLHCDSLQVIEVFGCPRLNRIPFSPSPVPSNLDKILATKEWWESLEWDQPNAKTAFQPYFKNCIPQKLKFRNRSI >KJB23561 pep chromosome:Graimondii2_0_v6:4:21351497:21353757:-1 gene:B456_004G105300 transcript:KJB23561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDIFYQYSQFTIVYIDDVLSWHETCHEEDEKSSSKSSKNTIIQDAQDPNEIGSQIKKWIESLSQSPEVSLAFSQMKEETPLKQIVAEAAKKFPAKFTTKIDHYPYFTYWDYQMAWYNSFLMNNQHMRHSWLIYFKYGTQFKFPNKFQEWWNWYGPSSFEILPEKIQNLWPKFFDKFHPGPDQKHIYRTIYFFSKLCISWIVSWNYSYEQDQHTGIPLLVRNSRTKWWDKFNDEKYDSKYLDNFFNKNPRLCKSAAPDQTTAKFLQAKSTASAMLAQAKTKKEYKKLMAEMLSSLDSKSEDESSASSIKKVDLADDTTLVTITRSKKK >KJB25983 pep chromosome:Graimondii2_0_v6:4:55460872:55462241:1 gene:B456_004G219900 transcript:KJB25983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSGTASPCGACRFLRRKCTHDCIFAPYFGSEQGPAKFAAVHKVFGASNVSKLLLQIPPHDRPEAVATIAYEAQARIQDPVYGCVAHIFTLQQQVAFLQAQLMEARAHLAQNAVTSHNNIENIFESAQIMMNMQENTKQKGVFFPSLS >KJB24270 pep chromosome:Graimondii2_0_v6:4:37444052:37444769:-1 gene:B456_004G136100 transcript:KJB24270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AFEELSQCGTKDEGFLLDKFCDAYSLVFILFNSLGLAFKFAEMEYVAKVGNLVEASKRFATLENIVDVDIGNGTVKKQKSPSRDLRRVRQGLDLVRALFEQFLSSKDYSLRNAASTAYAQVLHRITHGR >KJB23126 pep chromosome:Graimondii2_0_v6:4:10122333:10123807:-1 gene:B456_004G082600 transcript:KJB23126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTVSMSMCKEMVSLLDISSGVLKSDFFSPRRHKEKVVILMGATGTGKSRLSIDLATRFPAEIINSDKIQVHEGLDIVTNKISEEERSGIPHHLLGVISPNIDFTVTNFVDMASRATASIVSRSQLPIIAGGSNSYIEALVDDERSRFRSKYECCFLWVDVAMPVLHQYVSGRVDKMVENGMVDEARSFFDYNANYSKGIRKAIGVPEFDRYFRAEPFLDKRQRAKLLQEAIQEIKRNTCRLACRQFDKIQRLRNKKNWTIHRLDATEVFLRRGKGADEAWEELVADPSTEIVAQFLCNIGSGALLSTSPAIEFLVV >KJB25808 pep chromosome:Graimondii2_0_v6:4:54313316:54315714:1 gene:B456_004G210600 transcript:KJB25808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYGGDSELQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAVFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPIGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEEYEEEEEYEAEA >KJB25806 pep chromosome:Graimondii2_0_v6:4:54313316:54315714:1 gene:B456_004G210600 transcript:KJB25806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAVFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPIGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEEYEEEEEYEAEA >KJB25807 pep chromosome:Graimondii2_0_v6:4:54313316:54315714:1 gene:B456_004G210600 transcript:KJB25807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYGGDSELQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAVFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPIGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEEYEEEEEYEAEA >KJB22416 pep chromosome:Graimondii2_0_v6:4:4142083:4145904:-1 gene:B456_004G046700 transcript:KJB22416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSFFLILLMQILKENKDKKDAEFNERFKHRPPKALDEDETEFLDKLVMSRREYERQVADEEAEQLRSFQAAVAAQSNVVLELKETPPVLANQEQKTVGKKNPATRPLGMLIKVKPQAKRAKTEPEEKLVSGTKAPDVDNVESPKSDPDKSLNVAKTGLVSYSDESEDDD >KJB22415 pep chromosome:Graimondii2_0_v6:4:4141997:4146319:-1 gene:B456_004G046700 transcript:KJB22415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDESSHSIKLVNFVSEQQLDEAKRTRGERIEDGTAQRDRPLYEILKENKDKKDAEFNERFKHRPPKALDEDETEFLDKLVMSRREYERQVADEEAEQLRSFQAAVAAQSNVVLELKETPPVLANQEQKTVGKKNPATRPLGMLIKVKPQAKRAKTEPEEKLVSGTKAPDVDNVESPKSDPDKSLNVAKTGLVSYSDESEDDD >KJB22419 pep chromosome:Graimondii2_0_v6:4:4145199:4146204:-1 gene:B456_004G046700 transcript:KJB22419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDESSHSIKLVNFVSEQQLDEAKRTRGERIEDGTAQRDRPLYEILKENKDKKDAEFNERFKHRPPKALDEDETEFLDKLVMSRREYERQVADEEAEQLRSFQVIFYLTFFTMKKVLKLNYPYLVALG >KJB22418 pep chromosome:Graimondii2_0_v6:4:4142083:4146295:-1 gene:B456_004G046700 transcript:KJB22418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDESSHSIKLVNFVSEQQLDEAKRTRGERIEDGTAQRDRPLYEILKENKDKKDAEFNERFKHRPPKALDEDETEFLDKLVMVKGNMNDKWRMRKLNSCEVSKQLLQHSPMSCLN >KJB22417 pep chromosome:Graimondii2_0_v6:4:4142083:4146295:-1 gene:B456_004G046700 transcript:KJB22417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDESSHSIKLVNFVSEQQLDEAKRTRGERIEDGTAQRDRPLYEILKENKDKKDAEFNERFKHRPPKALDEDETEFLDKLVMSRREYERQVADEEAEQLRSFQAAVAAQSNVVLELKETPPVLANQEQKTVGKKNPATRPLGMLIKVKPQAKRAKTEPEEKL >KJB25996 pep chromosome:Graimondii2_0_v6:4:55533589:55535269:1 gene:B456_004G220500 transcript:KJB25996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLYCYESLHHNYQKQIKDLKNLNIQEMEKLVSSWFNNKTLPQSYIFPPETRPGNHVIPRCNTIPVVDLSKALAHERTAVVQQILEASQEFGFFQVNGLFCFRKVQFFCSQIIILFFLKQVINHGVSENLVNDTMNVFKEFFELPSEDKAGIYSEDLKRPCRLYTSSPNYNREKVHLWRDSLRLPCHPLKECIKIWPQKPTRYKEIVAAYSIEAKKLGLRILELLSEGFGLGSGFFGDKLSESAGDVYGLQVLKDGEWIGVEPLHNAFVVNIGHQLEIISNNKLKSAEHRAVTNLKVARTTAAFFINPSDDCIIEPAKSLIGTDESPVYRAFEFKEFLLNYINMEGNFEKSVEPFKLHG >KJB25997 pep chromosome:Graimondii2_0_v6:4:55533598:55535132:1 gene:B456_004G220500 transcript:KJB25997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLVSSWFNNKTLPQSYIFPPETRPGNHVIPRCNTIPVVDLSKALAHERTAVVQQILEASQEFGFFQVINHGVSENLVNDTMNVFKEFFELPSEDKAGIYSEDLKRPCRLYTSSPNYNREKVHLWRDSLRLPCHPLKECIKIWPQKPTRYKEIVAAYSIEAKKLGLRILELLSEGFGLGSGFFGDKLSESAVLLLNHYPPCPDPSLTLGVSKHCDPNLLTILLQGDVYGLQVLKDGEWIGVEPLHNAFVVNIGHQLEIISNNKLKSAEHRAVTNLKVARTTAAFFINPSDDCIIEPAKSLIGTDESPVYRAFEFKEFLLNYINMEGNFEKSVEPFKLHG >KJB25867 pep chromosome:Graimondii2_0_v6:4:54453643:54459262:-1 gene:B456_004G211900 transcript:KJB25867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISNELQYIYLLLPVLTHTCHHFERSDQNDEYMLGGVEQVKSRPGPPDPVPLLSLEWWGLSIGFQAVGNYLEVVCGAGKRDQRQID >KJB22244 pep chromosome:Graimondii2_0_v6:4:3211043:3212296:-1 gene:B456_004G038300 transcript:KJB22244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWENQEMQHHHQQGEDYLQYQIQNGVCGKVMTDEQVEELRKQIVAYSAISEQLAELHKSMSAHHNFTGIRLGNLYCDPISASVGHKITARQRWTPTALQLQILENIYEQGTGTPSKQKIKEIASELAQHGQISETNVYNWFQNRRARSKRKLQSSTDSVNAETDMSTKEKRTKPVDPDFITNISQGVESFYFQASISRGYDSYNNLAEQFGLLG >KJB22245 pep chromosome:Graimondii2_0_v6:4:3210943:3212443:-1 gene:B456_004G038300 transcript:KJB22245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWENQEMQHHHQQGEDYLQYQIQNGVCGKVMTDEQVEELRKQIVAYSAISEQLAELHKSMSAHHNFTGIRLGNLYCDPISASVGHKITARQRWTPTALQLQILENIYEQGTGTPSKQKIKEIASELAQHGQISETNVYNWFQNRRARSKRKLQSSTDSVNAETDMSTKEKRTKPVDPDFITNISQGVESFYFQASISRGYDSYNNLAEQFGLLG >KJB27195 pep chromosome:Graimondii2_0_v6:4:61634457:61636274:-1 gene:B456_004G285400 transcript:KJB27195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSLSSHTVASLFPSHTSKPQLSLSKSIPILTKSSQSQFHGLKFSHSSSLSIPRFSTFKTSISAKVNKGAVPPAFTLKDQDGKTVSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISVDSAESHKATIYVAKRRGQQGEERVGSSIGSIRNIAWKTNICSRQKRGCSTHLQQPVSAGETYRRNLENTSKFVKNICALGVRQVVFFFFGMIL >KJB21786 pep chromosome:Graimondii2_0_v6:4:980573:982672:1 gene:B456_004G013600 transcript:KJB21786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEEKTLVEIIDDNNQINLAKYINYVSAPQAGAIATFSGTTRDTFEGKTVVELRYEAYVPMAIKNLKSICSAARSSWDLHSIAVAHRLGIVPVGETSVFVAVSATHRADSLDACKFLIDELKASVPIWKKEVYSDGEVWKENPEFLERRLELGKDGGCCRRKIETAAHDKKSCCKPKVKVEEAID >KJB21785 pep chromosome:Graimondii2_0_v6:4:980583:982671:1 gene:B456_004G013600 transcript:KJB21785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEEKTLVEIIDDNNQINLAKYINYVSAPQAGAIATFSGTTRDTFEGKTVVELRYEAYVPMAIKNLKSICSAARSSWDLHSIAVAHRLGIVPVGETSVFVAVSATHRADSLDACKFLIDELKASVPIWKKEVYSDGEVWKENPEFLERRLELGKDGGCCRRKIETAAHDKKSCCKPKVKVEEAID >KJB26482 pep chromosome:Graimondii2_0_v6:4:58163013:58164387:1 gene:B456_004G244200 transcript:KJB26482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTEGSTKGGRGKPKSTKAVSRSHKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQTLLPSKGGKNKGDIGSASQEF >KJB26483 pep chromosome:Graimondii2_0_v6:4:58163002:58164738:1 gene:B456_004G244200 transcript:KJB26483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTEGSTKGGRGKPKSTKAVSRSHKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQTLLPSKGGKNKGDIGSASQEF >KJB23065 pep chromosome:Graimondii2_0_v6:4:9395232:9396049:-1 gene:B456_004G079200 transcript:KJB23065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNPKLYHPRQHLILFSFINPSSPHKHQYPNCTSITPIISIPMATQAGLFTPTITTSKSLAPWNPSSPFSLATPKSINFSMAQRTSVRAAAADEAAAAAATKEAPVGFTPPELDPNTPSPIFGGSTGGLLRKAQVEEFYVITWDSPKEQIFEMPTGGAAIMRQGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNFRSIGKNVSPIEVKFTGKQVYDL >KJB24667 pep chromosome:Graimondii2_0_v6:4:44348938:44349782:-1 gene:B456_004G156400 transcript:KJB24667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNMSMAEAQLTPPAAAAVQLTDLVYSLEQATQMAKQLPVTSDPTYLFQIHSSLHRAHHSLSSFLSAAHPQHLPPPVAAANSLSSATGAANENGSDPMQVGDENEAEAEENSKTSIDKVEERMRECFFIKNKRVKRQLSPSSAALAEERRVCDDRFVGGIMGFDPIGEKLRALDLVYQFHG >KJB26761 pep chromosome:Graimondii2_0_v6:4:59593532:59596493:-1 gene:B456_004G260100 transcript:KJB26761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 26S proteasome subunit RPN12 [Source: Projected from Oryza sativa (Os07g0435100)] MDPQLTEVSQMFERFKAAFIRKDFDSCANLLSQLKVLLTKFRSLPPLFENTPNSVNEVLLAREIYEHAVVLSVKIEDQDAFERDFFQLKPYYTDAGSRLPPSQQEYLILGLNLLRLLVQNRIAEFHTELELLSATALENPCIKHAVELEQSFMEGAYNRVLSARQNAPHETYVYFMDLLAKTVRDEIAGCSEKAYDYLSINDARQMLLFSSDQELLEYIKEEHPDWEVKNGSVYFQKAKDSAPCKEIPSLQLINQTLSYARELERIV >KJB23748 pep chromosome:Graimondii2_0_v6:4:26013401:26023158:-1 gene:B456_004G113100 transcript:KJB23748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKGVPEFEETGPDDFDPANPYKDPVAMLEMREHIVREKWIDIEKAKILRDKVRWCYRIEGVNHLQKCRHLVRLYLDATRGIGWGKDGRHPSLHGPKVEEVESE >KJB24342 pep chromosome:Graimondii2_0_v6:4:39591515:39594050:-1 gene:B456_004G140700 transcript:KJB24342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDEELIELKFRIYDGTDIAHNTYTSSMTVANLKQKIVAEWPREKTVTPKSINDLKLIHAGKVLENNKTLADFRITFDELPIGFIIMHVVVQLATTKKKTEKRKEDVQKLNSCGCVIL >KJB22927 pep chromosome:Graimondii2_0_v6:4:8259958:8265188:-1 gene:B456_004G074300 transcript:KJB22927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQVVKVRREAVAACMTCPLCNTLVKDATTISECLHTFCRKCIFDKIEEEDLECCPICNIDLGSVPLEKLRPDHNLQDVRAKIFPLKGRKAKSPEVLPPVTMPTRRKERSLSSLVVNAPKVSTQTTMTGRRTKAVARKAGSLRGSSFSVEKPVKRERDSVEDHKESASSPETLNKFTQNKRQCTSSAEPSQQKDKGVENGGESRDGKLDLWKPLDCLAEVANRSKSFKSNSQGCDSKLELEPTHVINPEAQTCRNRHRKDNGKTKVEEEKNNAAPATTSGIVNPKKLRRIRQKSGSGFGDSGISLQAVLDAAGPRHEKRIGPVWFSLVASEDQVGEVPLPQIPANFLRIKDGNIPVSFIKKYLMKKLHLADEDEVEIKFMGQPVVPTLQLYNLVHLWLQKASTSQRVPASVGSSAKDFIMVLAYARKAPQQL >KJB24024 pep chromosome:Graimondii2_0_v6:4:32893315:32894375:1 gene:B456_004G125700 transcript:KJB24024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGPVVEAAHPDTELLPNPSAEEPQKKPLEEAVVEDVKEIEIEDDEEEEDDDDKEDSAQGANASSKQSRSEKKSRKAMLKLGMKPVTDVSRVTIKRTKNILFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDLASVMAKSDTSAAAAGTAADEEEEEIDETGVEQRDIDLVMTQAGVSRSKAVKALKTHKGDIVSAIMELTT >KJB23176 pep chromosome:Graimondii2_0_v6:4:10668767:10672269:-1 gene:B456_004G085500 transcript:KJB23176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQPVIIIGAGPSGLATAASLNLHSIPYIILEREDCFASLWKKYAYDRLHLHLHKQFCQLPHLPFPHSYPRFISKQQFVSYLDDYVSHFKITPLYCRCVELAVFDEITKEWTVKVRNLGSGEVEEFRGRFLVVASGEATDPYTPELEGLKSFPGDVLHSTQFKNGKAFRDKNVLVVGSGNSGMEIAMDLANHGAKTSIVVRSPVHILSREMVYLGLNLLKYIPLNMVDWLMVMLSKLVYRELSKYGLSRPKEGPFFMKVADGKYPVLDVGTYSKIRSREIQVLPAILSVKGNEVVFENAESHAFDTIVFCTGFKRSTHIWLKGDDYLLNDDGIPKPSFPNHWKGKNGLYCVGLSRRGLYGAGFDARNIADDIKSLLK >KJB24540 pep chromosome:Graimondii2_0_v6:4:46415804:46419071:-1 gene:B456_004G167200 transcript:KJB24540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAATAAATATTQTKFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVMVDGQTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRPSYENVSKKWVPELRHYAPRAPIVLVGTKLDLRDDRQFHLDYPGACTISTEQGLELKKQIGALAYIECSSKTQQNVKAVFDAAIKVVLQPAKKKKQKKRTGSLQ >KJB24541 pep chromosome:Graimondii2_0_v6:4:46416275:46418837:-1 gene:B456_004G167200 transcript:KJB24541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAATAAATATTQTKFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVMVDGQTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRPSYENVSKKWVPELRHYAPRAPIVLVGTKLDLRDDRQFHLDYPGACTISTEQGLELKKQIGALAYIECSSKTQQNVKAVFDAAIKVVLQPAKKKKQKKRTGICLVL >KJB24539 pep chromosome:Graimondii2_0_v6:4:46415804:46418997:-1 gene:B456_004G167200 transcript:KJB24539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAATAAATATTQTKFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVMVDGQTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRPSYENVSKKWVPELRHYAPRAPIVLVGTKLDLRDDRQFHLDYPGACTISTEQGLELKKQIGALAYIECSSKTQQNVKAVFDAAIKVVLQPAKKKKQKKRTGICLVL >KJB27006 pep chromosome:Graimondii2_0_v6:4:60853194:60855717:-1 gene:B456_004G274000 transcript:KJB27006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGITEGVNNLNIMDSSASNNNNKKNRIQVSNTKKPLFFYVNLAKRYMQQYNEVELSALGMAIATVVTIAEILKNNGLAIEKKIMTSTIDMREELGGRPVQKAKIEILLGKSEKFDELMAAAAAEDALENEEQS >KJB22911 pep chromosome:Graimondii2_0_v6:4:7858037:7861384:1 gene:B456_004G073100 transcript:KJB22911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g06143 [Source:Projected from Arabidopsis thaliana (AT1G06143) UniProtKB/Swiss-Prot;Acc:Q56X05] MALKTITILNRLSIQPIPLGPPQNTQAIVDQIKQCSKLYQLEMIYATMVKTNANQDCFLSNQFVTACSSFRRMDYAILAFTEVEKPNVFVYNALIKALVHCHHPFTALDYYKHMLRARVWPTSFTFSSLVKACCLVSEFGFGESVHGHVWKHGFKSHVFVQTALIDFYANVGKIIESKRVFDEMPERDVFAWTTMISGFLKAGDLGSSRRLFDETPERSTATWNAMIDGYARVGDVASAELLFNQMPVKDTISWTTMINCYSQNKQYAEALVLFEEMRRYKVSPDEVTMASVISACAHLGALDTGKEIHNYLMQNFFDLDVYIGSALIDMYAKCGGLERSLLVFFKLREKNLFCWNSVIEGLAVHGHAVEALAMFDRMERQHVKPNRVTFVSVLTACTHAGLVEVGRQRFLSMTRDYSILPGVEHFGCMVDLLSKAGLLEDALFLIRSMKLEPNSVIWGALLGGCKLHKNLEIAQVAVDELMVLEPYNSGYYTLLVNMYAEVNRWGQVAKIRQMMRELGVGKRCPGSSWIEMENEIHQFSASDKSHLASDEIYSILAELDVQLKRAGYLPALVSLL >KJB22912 pep chromosome:Graimondii2_0_v6:4:7858371:7861055:1 gene:B456_004G073100 transcript:KJB22912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g06143 [Source:Projected from Arabidopsis thaliana (AT1G06143) UniProtKB/Swiss-Prot;Acc:Q56X05] MALKTITILNRLSIQPIPLGPPQNTQAIVDQIKQCSKLYQLEMIYATMVKTNANQDCFLSNQFVTACSSFRRMDYAILAFTEVEKPNVFVYNALIKALVHCHHPFTALDYYKHMLRARVWPTSFTFSSLVKACCLVSEFGFGESVHGHVWKHGFKSHVFVQTALIDFYANVGKIIESKRVFDEMPERDVFAWTTMISGFLKAGDLGSSRRLFDETPERSTATWNAMIDGYARVGDVASAELLFNQMPVKDTISWTTMINCYSQNKQYAEALVLFEEMRRYKVSPDEVTMASVISACAHLGALDTGKEIHNYLMQNFFDLDVYIGSALIDMYAKCGGLERSLLVFFKLREKNLFCWNSVIEGLAVHGHAVEALAMFDRMERQHVKPNRVTFVSVLTACTHAGLVEVGRQRFLSMTRDYSILPGVEHFGCMVDLLSKAGLLEDALFLIRSMKLEPNSVIWGALLGGCKLHKNLEIAQVAVDELMVLEPYNSGYYTLLVNMYAEVNRWGQVAKIRQMMRELGVGKRCPGSSWIEMENEIHQFSASDKSHLASDEIYSILAELDVQLKRAGYLPALVSLL >KJB22485 pep chromosome:Graimondii2_0_v6:4:4582045:4586206:-1 gene:B456_004G050000 transcript:KJB22485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINRQSTYNFERSQAPQRLYVPPSSRHSHDNYEDNDLDDIDYADNNDTSNDADTNDPTTSNGNGGEDDEIDPLDAFMQGIEEDLKAKPTPKPKEKAERYRDDEDEDDPVESFLRSKKDVGLTLAADALRAGYDSDEEVYAAAKAVDAGLLEYDSDDNPVVVDKKKIEPIPALDHSSIEYEPFNKDFYEEKASISGMSEQEVAEYRKSLAIRVSGFDVPRPVKRFEDCGFAPELMRAIAKQGYEKPTTIQCQALPIVLSGRDVIGIAKTGSGKTASFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIFLEAKKFAKAYGIRASAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALTMMRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREVLSDPIRVTVGEVGTANEDITQHVHVIPSDSEKFPWLLEKLPGMIDEGDVLVFASKKATVDEIESQLSGKGFKVAALHGDKDQASRMEILQMFKSGIYHVLIATDVAARGLDIKSIKSVVNYDIAKDMDMHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSMELMDLAMKDGRFRSKRNARKGGGKKGRGRGGGGSGRGVRGVDFGMGIGYNPESSNASSQAVQSRNAAVNSLKTGMMAQMKSNFVAASTNPPSQGFNNSSSIRRPTLSGFVSGGTIGGDINRSQMATSFSTAPTSGLNTSQNTGENTTQRSSESSRDRPRERRRPSGWDR >KJB22484 pep chromosome:Graimondii2_0_v6:4:4582045:4586119:-1 gene:B456_004G050000 transcript:KJB22484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINRQSTYNFERSQAPQRLYVPPSSRHSHDNYEDNDLDDIDYADNNDTSNDADTNDPTTSNGNGGEDDEIDPLDAFMQGIEEDLKAKPTPKPKEKAERYRDDEDEDDPVESFLRSKKDVGLTLAADALRAGYDSDEEVYAAAKAVDAGLLEYDSDDNPVVVDKKKIEPIPALDHSSIEYEPFNKDFYEEKASISGMSEQEVAEYRKSLAIRVSGFDVPRPVKRFEDCGFAPELMRAIAKQGYEKPTTIQCQALPIVLSGRDVIGIAKTGSGKTASFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIFLEAKKFAKAYGIRASAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALTMMRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREVLSDPIRVTVGEVGTANEDITQHVHVIPSDSEKFPWLLEKLPGMIDEGDVLVFASKKATVDEIESQLSGKGFKVAALHGDKDQASRMEILQMFKSGIYHVLIATDVAARGLDIKSIKSVVNYDIAKDMDMHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSMELMDLAMKVGRKAEEGAAVGVVEVCVELILVWVLDIIQNPVMLHLRLFKVEMLP >KJB25305 pep chromosome:Graimondii2_0_v6:4:49948259:49950430:-1 gene:B456_004G185000 transcript:KJB25305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPHSVNSPRTILSFSKRGRTTTASVSFVDPNDEKPNSGEHGPKPFEVYGFVGSITIVVATTIYLAWAYIPEPWLHSIVIFYLLNFVPSYAMVIIVLAVIFYIGLNFMSTPPPTSLTTMFDEFSREPSSFLSHMERDEQPIEPISDLGIDKINVLMFDDVK >KJB22744 pep chromosome:Graimondii2_0_v6:4:6364088:6364462:-1 gene:B456_004G064000 transcript:KJB22744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKQFVSPEECSSSESGWTSYLASPMEDDFECSEENYNSNHNIRDDVHDGEGNSDDSMVSDASSAPSHHQHNKQKDGEGSSHGKYNSSKHSSRREAKREMKKGSENSGKSKKGLSGQAKSRK >KJB26797 pep chromosome:Graimondii2_0_v6:4:59631731:59631828:1 gene:B456_004G260700 transcript:KJB26797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHFGVSNIRFSFTMDFELISMMNFCSLCRMF >KJB27370 pep chromosome:Graimondii2_0_v6:4:19376:19425:-1 gene:B456_004G0003003 transcript:KJB27370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTKVSLLWTSAIHINP >KJB22134 pep chromosome:Graimondii2_0_v6:4:2545064:2545935:-1 gene:B456_004G031300 transcript:KJB22134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 2 [Source:Projected from Arabidopsis thaliana (AT5G57520) UniProtKB/Swiss-Prot;Acc:Q39261] MNYQPNTSLHLSLPNDQLNLDLALEPSSSSSSSNSPTTTEPRVFSCNYCQRKFFSSQALGGHQNAHKLERTLAKKSRELSSSVQIHGSSLSGLSHPQRFQSHVATFEQHHRAGRFAGEIGYGRRDINYGPREGMMGSWSSKGYRPEDVQDEFSHLDLSLRL >KJB21311 pep chromosome:Graimondii2_0_v6:4:62126270:62131559:1 gene:B456_004G293500 transcript:KJB21311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTPRSSYGERREIRTNVGLVAVAIDKDKNSHNALKWAIDHLLQKGQTLILIHVKVKPFSPYTTPLPTSLTGLNQFSEMNGDLPLVYKDPDPQTRELFLPFRCFCTRKDIPCKDVVLEETDVAKALIEYVSQAGIEVLVVGASTRTGFLSKFKPTDISAMVSKNAPDFCSVYVISKSKISSMRSASRPAPAISPLRNHLLNQPGLMSTPPESHILPDNSSRAILDECRSPFNRGGQNVKPYLALPMPDTDISFVSSGRQSIDRMFPEFYDYQEASRTATTPRLSNVSDYESNFSFESMQFGRNSVDLSSPHNFSYVSQDSDNFSNLSTSMEDVEAEMRRLKLELKQTMEMYSNACKEALTAKQKARELQLWKLEEERRLEKARLAEEAALAIAEKEKAKSKAAMEAAEAAQRIAEIESQKRVNAEMKALKESVEKKKALDALAHSDFRYRKYTIEEIEAATEFFSEVLKIGEGGYGPVYKARLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEFMSNGSLEDRLFRRGNTSTLSWQLRFRIAAEIGTALLFLHQTKPEPIVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADNVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGIMLLQIITAKPPMGLTHHVQRAIEKGTFVQMLDPSIDDWPVQAALSFAKIALNCAELRRKDRPDLGKVVLPELNRLRLLAEETMHLTWEAGSPGHSPNYSQVSLQLEHSSCPNFLHSDEYSRSPPNENP >KJB21738 pep chromosome:Graimondii2_0_v6:4:801806:802797:1 gene:B456_004G011400 transcript:KJB21738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLPIFLPTLNSKSSYINIFLETSYYYLISHFVHLEIGCFEGLFSPKVKKTTSRSPNQGLKLNSTSTTPARGSQSMSVSPPEISPENSCLSSEPGDEKEAASVVTMRNRPEVTTMLLVGCPRCLIYVMLSKVEPKCPQCKSTVLLDFLDEETAKWAST >KJB25504 pep chromosome:Graimondii2_0_v6:4:51412899:51414005:-1 gene:B456_004G195000 transcript:KJB25504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMHPSSNETDLAMLDSIRRHLLGESSDFRLDASVECTTTTAPPVFCRSSSFNRLYPCLTETWGDLPLKENDSEDMLVFEFLRDALTVGWAPSENSSIKFPPIKPEPQEILVEAPAANTVVPAVVPTKARHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDKAAYRMRGSKALLNFPLRVNSGKPDPVRVTSKRASPEPSNSSSSGSEQGSPKRRRKVAPSAPVVGEAWLDMSSAAAVKYEIGSCTNSNQLLIS >KJB24307 pep chromosome:Graimondii2_0_v6:4:38774326:38776159:1 gene:B456_004G138300 transcript:KJB24307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPSAPPTSTAPPPSTTEHSQINYGSNQLELVNQELMTRAIPNHQETLFSSPAPLFIFDTTPLDGAQVHQHGNNVRGELFHEPANLNTESSWNLNQHQVQAFPPSNSFTVGMDTNNYLPPLVENMENMVVPVEVQSCSIEEEGEMTLECLQRQQQELNEWVESQQCSNFLFWDTLEGQLGGEALPPTSSNMGTTLSSFPTSL >KJB21638 pep chromosome:Graimondii2_0_v6:4:354753:355778:-1 gene:B456_004G005600 transcript:KJB21638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITMKDMRTWHPRSFRTNLDFLQSKKLPSSHYKITRNILPFLKFPISLFKSFKFLNFHSISKMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KJB26389 pep chromosome:Graimondii2_0_v6:4:57756383:57757029:-1 gene:B456_004G2394001 transcript:KJB26389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHGSKIRVQVKAMNNIELGFLIFLLLFNLLLPFSYDSFVFIYQEKPVVFVYLKVVAFRPSLLRGNLL >KJB26388 pep chromosome:Graimondii2_0_v6:4:57756386:57757029:-1 gene:B456_004G2394001 transcript:KJB26388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHGSKIRVQVKAMNNIELGFLIFLLLFNLLLPFSYDSFVFIYQEKPVVFVYLKVVAFRPSLLRGNLL >KJB22105 pep chromosome:Graimondii2_0_v6:4:2574495:2579172:1 gene:B456_004G031400 transcript:KJB22105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVANSFDLWKKDAFFSAAEEVQESADIMESAYRMWIKERKEGLRTGDSVELCRELQTALGTAKWQLEEFERAIRLSHGHCSDDITATRHKQFISAIESQISHVEAALKEAFIEEGKQPLRWVNLDEEECDDLAIFLSGTSPSLLQPSTKNTLSENCQKRKDTDSNNATCNGDTSEVQMTKVFKDSGKDAECIIDVEDGESSGRSNDVSCGLERTTGTRKTWCSPNLGTLNIVIADKYNDRTKTRSGIESTPKEKGSKPFFRKQRCGDLPQAKGAFNLFYQRFGWISGLLRHLQSPVHLQFSCSLQLTLALLIAIFLIVPFVLYSS >KJB22106 pep chromosome:Graimondii2_0_v6:4:2574726:2577725:1 gene:B456_004G031400 transcript:KJB22106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVANSFDLWKKDAFFSAAEEVQESADIMESAYRMWIKERKEGLRTGDSVELCRELQTALGTAKWQLEEFERAIRLSHGHCSDDITATRHKQFISAIESQISHVEAALKEAFIEEGKQPLRWVNLDEEECDDLAIFLSGTSPSLLQPSTKNTLSENCQKRKDTDSNNATCNGDTSEVQMTKVFKDSGKDAECIIDVEDGESSGRSNDVSCGLERTTGTRKTWCSPNLGTLNIVIADKYNDRTKTRSGIESTPKEKGSKPFFRKQRCGDLPQAKGAFNLFYQRFGWISGLLRHLQSPVHLQFSCSLQLTLALLIAIFLIVPFVLYSS >KJB22157 pep chromosome:Graimondii2_0_v6:4:2637185:2640062:1 gene:B456_004G032200 transcript:KJB22157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHFTQLDDGASADVKPPSNFGAAVATVLLALLLQIFWRCCRSIYRNHVKKYKFSNMDRSIIAAVEKILKYTFEDKRLLKEALTHSSCREDMSYERLEFIGDAALGLAVATHFFCSEPRLNPDQLTRLRKQSVSNERLALVAARHKLHRFIRSKGTGPLNDNVRKYVDAVKQGDDHKNLTVRSPDILADIVEALAGAVYLDLNFDLTKLWTIFKDVLMIDEIKVLLEDFGSSEIIGAQDELYGLCGKRKWGKPVYSLVKFERCQYEKIYVFSVEVETDVGVCHHKGDEKLIPRDARNSAAYLLLRYLQQVSII >KJB23868 pep chromosome:Graimondii2_0_v6:4:28865307:28865775:1 gene:B456_004G118500 transcript:KJB23868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFNSFPFIFVPLVGLVFLAIAMASLSLYVKKTKIF >KJB23744 pep chromosome:Graimondii2_0_v6:4:25888359:25889464:1 gene:B456_004G112700 transcript:KJB23744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAFTPILMLLLLVASLPTSLSQDPITPGPTVSDCSPRLVALMPCAPFVQGNAPRPAQSCCDNLNQLYGLQPGCLCLLLNDTTLSAFPINRTLAQQLPVLCKLQANSSSCSGLPSPPGSSGSQVSLGTNHNSSVAASSPMGNPNVPVAPRPSIMGLGFGRSKAGRLKAQGLLAMVAAAVILCSRLALPYY >KJB21331 pep chromosome:Graimondii2_0_v6:4:57874134:57886149:-1 gene:B456_004G241000 transcript:KJB21331 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MLNSQSDSSVFSVRIVSIDHYMAPPIPGFDVCYSSFQGEKVNEVPVIRIYGSTPAGQKTCLHIHRALPYLYVPLADMLPQSTRILQEADDECTHGLALALEKALKLKGGAGSKRQHVHGCSLVRAKKFYGYHSSEELFVKIHLYYPHDVSRAANLLLAGAVLDKILQPHESHIPFILQFLVDYNLYGMGHLHLSGMKFRNPIPDLFHPRKFNCYGQKEQKVDDLTLGSGGLQADSSSDVRVSSPIWISSTIPSEWMWHVPNELDESSVQDICNVKRQSLCELEGDTTIDDILNHQFKIYTSLSQTSTDVKMVQSLIPIWEEEYKRTRMHERVLLSDPDKPLPEDVLKALSLGLGFDNKLKELCSKVENLPCSDLGFEHSVLPSADDGNLVGHTHINSEHNVPQARSCSKEQNQLGSLPQHCKPCEKEMNTALSEDKDVSPVLLSVGEIHSSETLLPSNSKATDTEALGLLRWLATSHAAEDINSDDELVRESILTPLLPATTIDKVLEKASIDYESESQKECQDILDSVEDLIDFEGLKDSTYNSFDQTQVSSGKTIPQIDGSSDDLPLSPSAGSVTNSSKSDIKTEYKKSSQDSDKTLSIKRKRKKSLWGSLPLSVTEKGKDNLDSVGFNITEACADEIKEYLGTSFPAENNLGKTSNPLNVNIDANDCNKTEASTLVECSVRDLMRKKRSRHIGSAGCGFVRSESVHLKGEKETPIFFRPKQLDFHELHDELDKRAPGSLNHRPSITNVQEELHEAVGFKPTHSDPTDCILPWISGVYNPPQANTGNPEQMGKTSTVKLYPEKHDSAISISPCETCNSKKFDFLAASVEPVTPDADTLQSHKEIVSPDERMQQTGTSGSWCLSASSCKHEVLGMDGYILKDYNASGTSLSTDKLVLMDAMTDKKDLQNEDCGVGPGGQHGPHTGLAVDNEERPVELVGMTFCKRPPAADWNEGTTENVSHTHTTRYWPPVFIEGNYQVASGRALDEILPFFSGDREETESQNNFIENKNSKFQEAALGVPTHYQNDGSFLYLLTPVFSPPSANSVYGWLSCVDKGASKQRNALSAESPSLTGSSECLIALENSSPVNYNEDLIETTSKYHTGSIWEQGHPEKNVVLGSEVKPCCDESTCLSEGKVTKVNSCSDGSQDLSQISGPDGKSLPTPLSKIGFRDPASVGAGQQLTVLSLEVHTESRGDLRPDPRFDAITVIALAIQNDNDYVTEVYVLLYSNTGFCQRSLDGISGFKVFVFDEEKHLFGQFMKVLCSLDPDILMGWDVQGSSLGFLAERASYLGIGLLNKISRTPSETKIKAEETSISEEGLEDELLLKPLVADNIVTEDAIIEDEWGRTHASGVHVGGRIVLNVWRLMRSEVKLNMYTVEAVSESVLGRKIPSIPCKVLTKWFSSGPAQARYRCVEYVVERAKLNLEMMNQLDMINRTSELARVFGIDFFSVLSRGSQFRVESMFLRLAHTQNYLAVSPGNQQVACQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCFCTCLGKIANSEVNTLGVSSYAPDPTVLRDLKDQLLLTPNGVMYVPSKVRKGVLPRLLEEILSTRIMVKQAMKNLTPSQKVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRGTLEKAISYVNAHEKWMANVIYGDTDSMFVLLKGRTVEESFKIGREIATAITAMNPYPVTLKMEKVYHPCFLLTKKRYVGYSYESPGQVKPIFNAKGIETVRRDTCGAVAKTLEQSLKLFFEHQDISKVRAYLHRQWTRILSGRVSLQDFVFAKEVRLGTYSTKVSSLPPAAIVAAKAMRADPRAEPRYAERVPYVVIHGEPGARLVDMVVDPLEILAINSPYRLNDLYYINKQIIPALQRVFGLVGGDLNRWFSEMPRPAREAFGKCGVHALNPQRTRIDYYYLSKHCILCGELVQASTHLCSKCSENKTAVVAAITGRTSKLEREMQHLVAICRHCGGGDWLVESGVKCHSLACSVFYERRKVQKELQGLSAVATDKGLYPKCMVEWF >KJB21327 pep chromosome:Graimondii2_0_v6:4:57877817:57883364:-1 gene:B456_004G241000 transcript:KJB21327 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MWHVPNELDESSVQDICNVKRQSLCELEGDTTIDDILNHQFKIYTSLSQTSTDVKMVQSLIPIWEEEYKRTRMHERVLLSDPDKPLPEDVLKALSLGLGFDNKLKELCSKVENLPCSDLGFEHSVLPSADDGNLVGHTHINSEHNVPQARSCSKEQNQLGSLPQHCKPCEKEMNTALSEDKDVSPVLLSVGEIHSSETLLPSNSKATDTEALGLLRWLATSHAAEDINSDDELVRESILTPLLPATTIDKVLEKASIDYESESQKECQDILDSVEDLIDFEGLKDSTYNSFDQTQVSSGKTIPQIDGSSDDLPLSPSAGSVTNSSKSDIKTEYKKSSQDSDKTLSIKRKRKKSLWGSLPLSVTEKGKDNLDSVGFNITEACADEIKEYLGTSFPAENNLGKTSNPLNVNIDANDCNKTEASTLVECSVRDLMRKKRSRHIGSAGCGFVRSESVHLKGEKETPIFFRPKQLDFHELHDELDKRAPGSLNHRPSITNVQEELHEAVGFKPTHSDPTDCILPWISGVYNPPQANTGNPEQMGKTSTVKLYPEKHDSAISISPCETCNSKKFDFLAASVEPVTPDADTLQSHKEIVSPDERMQQTGTSGSWCLSASSCKHEVLGMDGYILKDYNASGTSLSTDKLVLMDAMTDKKDLQNEDCGVGPGGQHGPHTGLAVDNEERPVELVGMTFCKRPPAADWNEGTTENVSHTHTTRYWPPVFIEGNYQVASGRALDEILPFFSGDREETESQNNFIENKNSKFQEAALGVPTHYQNDGSFLYLLTPVFSPPSANSVYGWLSCVDKGASKQRNALSAESPSLTGSSECLIALENSSPVNYNEDLIETTSKYHTGSIWEQGHPEKNVVLGSEVKPCCDESTCLSEGKVTKVNSCSDGSQDLSQISGPDGKSLPTPLSKIGFRDPASVGAGQQLTVLSLEVHTESRGDLRPDPRFDAITVIALAIQNDNDYVTEVYVLLYSNTGFCQRSLDGISGFKVFVFDEEKHLFGQFMKVLCSLDPDILMGWDVQGSSLGFLAERASYLGIGLLNKISRTPSETKIKAEETSISEEGLEDELLLKPLVADNIVTEDAIIEDEWGRTHASGVHVGGRIVLNVWRLMRSEVKLNMYTVEAVSESVLGRKIPSIPCKVLTKWFSSGPAQARYRCVEYVVERAKLNLEMMNQLDM >KJB21330 pep chromosome:Graimondii2_0_v6:4:57874134:57886119:-1 gene:B456_004G241000 transcript:KJB21330 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MLNSQSDSSVFSVRIVSIDHYMAPPIPGFDVCYSSFQGEKVNEVPVIRIYGSTPAGQKTCLHIHRALPYLYVPLADMLPQSTRILQEDDECTHGLALALEKALKLKGGAGSKRQHVHGCSLVRAKKFYGYHSSEELFVKIHLYYPHDVSRAANLLLAGAVLDKILQPHESHIPFILQFLVDYNLYGMGHLHLSGMKFRNPIPDLFHPRKFNCYGQKEQKVDDLTLGSGGLQADSSSDVRVSSPIWISSTIPSEWMWHVPNELDESSVQDICNVKRQSLCELEGDTTIDDILNHQFKIYTSLSQTSTDVKMVQSLIPIWEEEYKRTRMHERVLLSDPDKPLPEDVLKALSLGLGFDNKLKELCSKVENLPCSDLGFEHSVLPSADDGNLVGHTHINSEHNVPQARSCSKEQNQLGSLPQHCKPCEKEMNTALSEDKDVSPVLLSVGEIHSSETLLPSNSKATDTEALGLLRWLATSHAAEDINSDDELVRESILTPLLPATTIDKVLEKASIDYESESQKECQDILDSVEDLIDFEGLKDSTYNSFDQTQVSSGKTIPQIDGSSDDLPLSPSAGSVTNSSKSDIKTEYKKSSQDSDKTLSIKRKRKKSLWGSLPLSVTEKGKDNLDSVGFNITEACADEIKEYLGTSFPAENNLGKTSNPLNVNIDANDCNKTEASTLVECSVRDLMRKKRSRHIGSAGCGFVRSESVHLKGEKETPIFFRPKQLDFHELHDELDKRAPGSLNHRPSITNVQEELHEAVGFKPTHSDPTDCILPWISGVYNPPQANTGNPEQMGKTSTVKLYPEKHDSAISISPCETCNSKKFDFLAASVEPVTPDADTLQSHKEIVSPDERMQQTGTSGSWCLSASSCKHEVLGMDGYILKDYNASGTSLSTDKLVLMDAMTDKKDLQNEDCGVGPGGQHGPHTGLAVDNEERPVELVGMTFCKRPPAADWNEGTTENVSHTHTTRYWPPVFIEGNYQVASGDREETESQNNFIENKNSKFQEAALGVPTHYQNDGSFLYLLTPVFSPPSANSVYGWLSCVDKGASKQRNALSAESPSLTGSSECLIALENSSPVNYNEDLIETTSKYHTGSIWEQGHPEKNVVLGSEVKPCCDESTCLSEGKVTKVNSCSDGSQDLSQISGPDGKSLPTPLSKIGFRDPASVGAGQQLTVLSLEVHTESRGDLRPDPRFDAITVIALAIQNDNDYVTEVYVLLYSNTGFCQRSLDGISGFKVFVFDEEKHLFGQFMKVLCSLDPDILMGWDVQGSSLGFLAERASYLGIGLLNKISRTPSETKIKAEETSISEEGLEDELLLKPLVADNIVTEDAIIEDEWGRTHASGVHVGGRIVLNVWRLMRSEVKLNMYTVEAVSESVLGRKIPSIPCKVLTKWFSSGPAQARYRCVEYVVERAKLNLEMMNQLDMINRTSELARVFGIDFFSVLSRGSQFRVESMFLRLAHTQNYLAVSPGNQQVACQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCFCTCLGKIANSEVNTLGVSSYAPDPTVLRDLKDQLLLTPNGVMYVPSKVRKGVLPRLLEEILSTRIMVKQAMKNLTPSQKVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRGTLEKAISYVNAHEKWMANVIYGDTDSMFVLLKGRTVEESFKIGREIATAITAMNPYPVTLKMEKVYHPCFLLTKKRYVGYSYESPGQVKPIFNAKGIETVRRDTCGAVAKTLEQSLKLFFEHQDISKVRAYLHRQWTRILSGRVSLQDFVFAKEVRLGTYSTKVSSLPPAAIVAAKAMRADPRAEPRYAERVPYVVIHGEPGARLVDMVVDPLEILAINSPYRLNDLYYINKQIIPALQRVFGLVGGDLNRWFSEMPRPAREAFGKCGVHALNPQRTRIDYYYLSKHCILCGELVQASTHLCSKCSENKTAVVAAITGRTSKLEREMQHLVAICRHCGGGDWLVESGVKCHSLACSVFYERRKVQKELQGLSAVATDKGLYPKCMVEWF >KJB21329 pep chromosome:Graimondii2_0_v6:4:57877817:57883675:-1 gene:B456_004G241000 transcript:KJB21329 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MGHLHLSGMKFRNPIPDLFHPRKFNCYGQKEQKVDDLTLGSGGLQADSSSDVRVSSPIWISSTIPSEWMWHVPNELDESSVQDICNVKRQSLCELEGDTTIDDILNHQFKIYTSLSQTSTDVKMVQSLIPIWEEEYKRTRMHERVLLSDPDKPLPEDVLKALSLGLGFDNKLKELCSKVENLPCSDLGFEHSVLPSADDGNLVGHTHINSEHNVPQARSCSKEQNQLGSLPQHCKPCEKEMNTALSEDKDVSPVLLSVGEIHSSETLLPSNSKATDTEALGLLRWLATSHAAEDINSDDELVRESILTPLLPATTIDKVLEKASIDYESESQKECQDILDSVEDLIDFEGLKDSTYNSFDQTQVSSGKTIPQIDGSSDDLPLSPSAGSVTNSSKSDIKTEYKKSSQDSDKTLSIKRKRKKSLWGSLPLSVTEKGKDNLDSVGFNITEACADEIKEYLGTSFPAENNLGKTSNPLNVNIDANDCNKTEASTLVECSVRDLMRKKRSRHIGSAGCGFVRSESVHLKGEKETPIFFRPKQLDFHELHDELDKRAPGSLNHRPSITNVQEELHEAVGFKPTHSDPTDCILPWISGVYNPPQANTGNPEQMGKTSTVKLYPEKHDSAISISPCETCNSKKFDFLAASVEPVTPDADTLQSHKEIVSPDERMQQTGTSGSWCLSASSCKHEVLGMDGYILKDYNASGTSLSTDKLVLMDAMTDKKDLQNEDCGVGPGGQHGPHTGLAVDNEERPVELVGMTFCKRPPAADWNEGTTENVSHTHTTRYWPPVFIEGNYQVASGRALDEILPFFSGDREETESQNNFIENKNSKFQEAALGVPTHYQNDGSFLYLLTPVFSPPSANSVYGWLSCVDKGASKQRNALSAESPSLTGSSECLIALENSSPVNYNEDLIETTSKYHTGSIWEQGHPEKNVVLGSEVKPCCDESTCLSEGKVTKVNSCSDGSQDLSQISGPDGKSLPTPLSKIGFRDPASVGAGQQLTVLSLEVHTESRGDLRPDPRFDAITVIALAIQNDNDYVTEVYVLLYSNTGFCQRSLDGISGFKVFVFDEEKHLFGQFMKVLCSLDPDILMGWDVQGSSLGFLAERASYLGIGLLNKISRTPSETKIKAEETSISEEGLEDELLLKPLVADNIVTEDAIIEDEWGRTHASGVHVGGRIVLNVWRLMRSEVKLNMYTVEAVSESVLGRKIPSIPCKVLTKWFSSGPAQARYRCVEYVVERAKLNLEMMNQLDM >KJB21326 pep chromosome:Graimondii2_0_v6:4:57874134:57884951:-1 gene:B456_004G241000 transcript:KJB21326 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MGHLHLSGMKFRNPIPDLFHPRKFNCYGQKEQKVDDLTLGSGGLQADSSSDVRVSSPIWISSTIPSEWMWHVPNELDESSVQDICNVKRQSLCELEGDTTIDDILNHQFKIYTSLSQTSTDVKMVQSLIPIWEEEYKRTRMHERVLLSDPDKPLPEDVLKALSLGLGFDNKLKELCSKVENLPCSDLGFEHSVLPSADDGNLVGHTHINSEHNVPQARSCSKEQNQLGSLPQHCKPCEKEMNTALSEDKDVSPVLLSVGEIHSSETLLPSNSKATDTEALGLLRWLATSHAAEDINSDDELVRESILTPLLPATTIDKVLEKASIDYESESQKECQDILDSVEDLIDFEGLKDSTYNSFDQTQVSSGKTIPQIDGSSDDLPLSPSAGSVTNSSKSDIKTEYKKSSQDSDKTLSIKRKRKKSLWGSLPLSVTEKGKDNLDSVGFNITEACADEIKEYLGTSFPAENNLGKTSNPLNVNIDANDCNKTEASTLVECSVRDLMRKKRSRHIGSAGCGFVRSESVHLKGEKETPIFFRPKQLDFHELHDELDKRAPGSLNHRPSITNVQEELHEAVGFKPTHSDPTDCILPWISGVYNPPQANTGNPEQMGKTSTVKLYPEKHDSAISISPCETCNSKKFDFLAASVEPVTPDADTLQSHKEIVSPDERMQQTGTSGSWCLSASSCKHEVLGMDGYILKDYNASGTSLSTDKLVLMDAMTDKKDLQNEDCGVGPGGQHGPHTGLAVDNEERPVELVGMTFCKRPPAADWNEGTTENVSHTHTTRYWPPVFIEGNYQVASGRALDEILPFFSGDREETESQNNFIENKNSKFQEAALGVPTHYQNDGSFLYLLTPVFSPPSANSVYGWLSCVDKGASKQRNALSAESPSLTGSSECLIALENSSPVNYNEDLIETTSKYHTGSIWEQGHPEKNVVLGSEVKPCCDESTCLSEGKVTKVNSCSDGSQDLSQISGPDGKSLPTPLSKIGFRDPASVGAGQQLTVLSLEVHTESRGDLRPDPRFDAITVIALAIQNDNDYVTEVYVLLYSNTGFCQRSLDGISGFKVFVFDEEKHLFGQFMKVLCSLDPDILMGWDVQGSSLGFLAERASYLGIGLLNKISRTPSETKIKAEETSISEEGLEDELLLKPLVADNIVTEDAIIEDEWGRTHASGVHVGGRIVLNVWRLMRSEVKLNMYTVEAVSESVLGRKIPSIPCKVLTKWFSSGPAQARYRCVEYVVERAKLNLEMMNQLDMINRTSELARVFGIDFFSVLSRGSQFRVESMFLRLAHTQNYLAVSPGNQQVACQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCFCTCLGKIANSEVNTLGVSSYAPDPTVLRDLKDQLLLTPNGVMYVPSKVRKGVLPRLLEEILSTRIMVKQAMKNLTPSQKVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRGTLEKAISYVNAHEKWMANVIYGDTDSMFVLLKGRTVEESFKIGREIATAITAMNPYPVTLKMEKVYHPCFLLTKKRYVGYSYESPGQVKPIFNAKGIETVRRDTCGAVAKTLEQSLKLFFEHQDISKVRAYLHRQWTRILSGRVSLQDFVFAKEVRLGTYSTKVSSLPPAAIVAAKAMRADPRAEPRYAERVPYVVIHGEPGARLVDMVVDPLEILAINSPYRLNDLYYINKQIIPALQRVFGLVGGDLNRWFSEMPRPAREAFGKCGVHALNPQRTRIDYYYLSKHCILCGELVQASTHLCSKCSENKTAVVAAITGRTSKLEREMQHLVAICRHCGGGDWLVESGVKCHSLACSVFYERRKVQKELQGLSAVATDKGLYPKCMVEWF >KJB21328 pep chromosome:Graimondii2_0_v6:4:57874134:57884951:-1 gene:B456_004G241000 transcript:KJB21328 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MWHVPNELDESSVQDICNVKRQSLCELEGDTTIDDILNHQFKIYTSLSQTSTDVKMVQSLIPIWEEEYKRTRMHERVLLSDPDKPLPEDVLKALSLGLGFDNKLKELCSKVENLPCSDLGFEHSVLPSADDGNLVGHTHINSEHNVPQARSCSKEQNQLGSLPQHCKPCEKEMNTALSEDKDVSPVLLSVGEIHSSETLLPSNSKATDTEALGLLRWLATSHAAEDINSDDELVRESILTPLLPATTIDKVLEKASIDYESESQKECQDILDSVEDLIDFEGLKDSTYNSFDQTQVSSGKTIPQIDGSSDDLPLSPSAGSVTNSSKSDIKTEYKKSSQDSDKTLSIKRKRKKSLWGSLPLSVTEKGKDNLDSVGFNITEACADEIKEYLGTSFPAENNLGKTSNPLNVNIDANDCNKTEASTLVECSVRDLMRKKRSRHIGSAGCGFVRSESVHLKGEKETPIFFRPKQLDFHELHDELDKRAPGSLNHRPSITNVQEELHEAVGFKPTHSDPTDCILPWISGVYNPPQANTGNPEQMGKTSTVKLYPEKHDSAISISPCETCNSKKFDFLAASVEPVTPDADTLQSHKEIVSPDERMQQTGTSGSWCLSASSCKHEVLGMDGYILKDYNASGTSLSTDKLVLMDAMTDKKDLQNEDCGVGPGGQHGPHTGLAVDNEERPVELVGMTFCKRPPAADWNEGTTENVSHTHTTRYWPPVFIEGNYQVASGRALDEILPFFSGDREETESQNNFIENKNSKFQEAALGVPTHYQNDGSFLYLLTPVFSPPSANSVYGWLSCVDKGASKQRNALSAESPSLTGSSECLIALENSSPVNYNEDLIETTSKYHTGSIWEQGHPEKNVVLGSEVKPCCDESTCLSEGKVTKVNSCSDGSQDLSQISGPDGKSLPTPLSKIGFRDPASVGAGQQLTVLSLEVHTESRGDLRPDPRFDAITVIALAIQNDNDYVTEVYVLLYSNTGFCQRSLDGISGFKVFVFDEEKHLFGQFMKVLCSLDPDILMGWDVQGSSLGFLAERASYLGIGLLNKISRTPSETKIKAEETSISEEGLEDELLLKPLVADNIVTEDAIIEDEWGRTHASGVHVGGRIVLNVWRLMRSEVKLNMYTVEAVSESVLGRKIPSIPCKVLTKWFSSGPAQARYRCVEYVVERAKLNLEMMNQLDMINRTSELARVFGIDFFSVLSRGSQFRVESMFLRLAHTQNYLAVSPGNQQVACQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCFCTCLGKIANSEVNTLGVSSYAPDPTVLRDLKDQLLLTPNGVMYVPSKVRKGVLPRLLEEILSTRIMVKQAMKNLTPSQKVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRGTLEKAISYVNAHEKWMANVIYGDTDSMFVLLKGRTVEESFKIGREIATAITAMNPYPVTLKMEKVYHPCFLLTKKRYVGYSYESPGQVKPIFNAKGIETVRRDTCGAVAKTLEQSLKLFFEHQDISKVRAYLHRQWTRILSGRVSLQDFVFAKEVRLGTYSTKVSSLPPAAIVAAKAMRADPRAEPRYAERVPYVVIHGEPGARLVDMVVDPLEILAINSPYRLNDLYYINKQIIPALQRVFGLVGGDLNRWFSEMPRPAREAFGKCGVHALNPQRTRIDYYYLSKHCILCGELVQASTHLCSKCSENKTAVVAAITGRTSKLEREMQHLVAICRHCGGGDWLVESGVKCHSLACSVFYERRKVQKELQGLSAVATDKGLYPKCMVEWF >KJB21437 pep chromosome:Graimondii2_0_v6:4:19346914:19347249:1 gene:B456_004G102800 transcript:KJB21437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIETSGNSKIPTIEDALKLISTSVRQVILDAKVGPPSYEKGLANDIFSTMSYFASLPLF >KJB21398 pep chromosome:Graimondii2_0_v6:4:3384334:3386805:-1 gene:B456_004G040300 transcript:KJB21398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLFSDNWESWRSEDLNLSPYPFTVSTSLLELNHVFMIHECFKPFSCICKVYCCQKNCLQRRPKSRNSFSFVLPQLISPLPQLSASKLELLSLSVIDCLQGKKKSRSRMVLLRGKTWLCLLCLQWGKSRSVLSKTLVLSSFKFIYCKS >KJB24132 pep chromosome:Graimondii2_0_v6:4:34638367:34640420:1 gene:B456_004G129200 transcript:KJB24132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEMNLSINGQSQVPPGFRFHPTEEELLHYYLRKKVAYEKIDLDVIREVDLNKLEPWDIQEKCRIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYSSFRRIGLRKTLVFYKGRAPHGQKSDWIMHEYRLDDTNTLDSNASNPIGDSMAEEGWVVCRVFRKKNYQKTLESPKSSSSTSLDSKTQMLCSSNDGVLDQFFLYMGRTCKMENDSLNIPNANTNNHLRMLVANNAGGISDGLHESFMHLPRLESQSLPALPINTPHFDQHRSFKPCFQSIDDMLTEIEPSAAAGFDNTNNESKNGVNDWVTLDRLVASQLNGQVETSKQLSCFTDPNAVFGLCHDDDEDDDDIQLSHINMHRSNQNPQVYSNENDLWSLTKSSSPSSSDPLCHLSV >KJB24133 pep chromosome:Graimondii2_0_v6:4:34638574:34640370:1 gene:B456_004G129200 transcript:KJB24133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGKLILTSLSPGIYKVQKCRIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYSSFRRIGLRKTLVFYKGRAPHGQKSDWIMHEYRLDDTNTLDSNASNPIGDSMAEEGWVVCRVFRKKNYQKTLESPKSSSSTSLDSKTQMLCSSNDGVLDQFFLYMGRTCKMENDSLNIPNANTNNHLRMLVANNAGGISDGLHESFMHLPRLESQSLPALPINTPHFDQHRSFKPCFQSIDDMLTEIEPSAAAGFDNTNNESKNGVNDWVTLDRLVASQLNGQVETSKQLSCFTDPNAVFGLCHDDDEDDDDIQLSHINMHRSNQNPQVYSNENDLWSLTKSSSPSSSDPLCHLSV >KJB25957 pep chromosome:Graimondii2_0_v6:4:55168789:55171917:1 gene:B456_004G218100 transcript:KJB25957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSFRCSISLSPLHSNEFPRIPVTIPRIHVSVPKPVTVALSKVVSPLRVAAPGVMIEAAGLEVDRVTEAELKENGFRSTRKTKIVCTIGPRTCEFEEIEALAVGGMNVARVNMCHGTREWHRDVIRRVRQLNEEKGFAVAVMMDTEGSEIHMDDLNDVESTKAEDGQIWTFTVRAFDQPSRPERTITVNYDGFAEDVKVGDELLVDGGMVTFVVIEKIGPDVICRCTDPGLLLPRANLTFWRNGSLVRERNAMLPTISSKDWLDIDFGIEEGVDFIAVSFVKSAEVIKTLKSYIIDRSPGSQIGIIAKIESIDSLKNLEEIIQVSDGTMVARGDLGAQIPLEQVPSVQHEVIQLCRQLNKPVIVASQLLESMIEYPIPTRAEVADVSEAVGQQADALMLSSESAMGQYPEKALAVLRSVSLRIEKCRREEKHWDVTDLRIVSSSKLDEISEEICNTTSRMANKLKADAIFVYTKTGQTASLLSRNRPDCPIFAFAPSTSIQRCLNLKWGLIPFRLDFSDDVESNLNRTFSLLKARAMIKSGDLIIVVSDVMQSIQAIKVP >KJB26859 pep chromosome:Graimondii2_0_v6:4:59871668:59874264:-1 gene:B456_004G263500 transcript:KJB26859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASLSSALPPTASASAGSRRNPHLRIPKTLFTFHHPHHHHHPFLLRVTNETSRTEVSTTDPTNERLESDKIVDGMDFGELCNEFECISSPLVESTARQLVRDILELREGNRALGTYAVSVKYKDPVRSFTGREKYKRPLWITGALENPTVVRKLLCVLTYYRFSITDILLLVLLQRVQEMVMLSTSVLNIKWTIQGKPKSFVAGIGGDLIIRVNSQFTLNQISGQVIEHEELWDLSSSSIIAQAFFWTSRRLFATVEAAKDLADGAKDLSTRFSTKQENTEVYPDPSGDPTKFFQRDDGFQRDVYQIALFLAVLYFVVQFLRTTL >KJB26858 pep chromosome:Graimondii2_0_v6:4:59871590:59874392:-1 gene:B456_004G263500 transcript:KJB26858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASLSSALPPTASASAGSRRNPHLRIPKTLFTFHHPHHHHHPFLLRVTNETSRTEVSTTDPTNERLESDKIVDGMDFGELCNEFECISSPLVESTARQLVRDILELREGNRALGTYAVSVKYKDPVRSFTGREKYKRPLWITGALENPTVRVQEMVMLSTSVLNIKWTIQGKPKSFVAGIGGDLIIRVNSQFTLNQISGQVIEHEELWDLSSSSIIAQAFFWTSRRLFATVEAAKDLADGAKDLSTRFSTKQENTEVYPDPSGDPTKFFQRDDGFQRDVYQIALFLAVLYFVVQFLRTTL >KJB26860 pep chromosome:Graimondii2_0_v6:4:59872664:59874264:-1 gene:B456_004G263500 transcript:KJB26860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASLSSALPPTASASAGSRRNPHLRIPKTLFTFHHPHHHHHPFLLRVTNETSRTEVSTTDPTNERLESDKIVDGMDFGELCNEFECISSPLVESTARQLVRDILELREGNRALGTYAVSVKYKDPVRSFTGREKYKRPLWITGALENPTVRVQEMVMLSTSVLNIKWTIQGKPKSFVAGIGGDLIIRVNSQFTLNQISGQVIEHEELWDLSSSSIIAQAFFWTSRRLFATVEAAKDLADGAKDLSTRFSTKQENTEVYPDPSGDPTKVS >KJB26182 pep chromosome:Graimondii2_0_v6:4:56359985:56362118:-1 gene:B456_004G229000 transcript:KJB26182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDVETAAGRDYHDPPPAPLINAEELTQWSFYRALIAEFIATLLFLYVTVLTVIGYKVQTDPLKNTVDPDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLGRKVSLVRAVMYMVAQCLGAICGCGLVKWFQKSYYNTYGGGANELQDGFNKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNKDKAWDDQWMFWVGPFIGAAIAANYHQYILRAGAMKALGSFRSNA >KJB27095 pep chromosome:Graimondii2_0_v6:4:61060878:61063941:-1 gene:B456_004G277700 transcript:KJB27095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGTPVGGGYMRQRHSQGYASSNEDLEDDACSRLQPFSPSIPRAKTWTEVLENVLWVASALFIIYFGDRHSNLIYLLLHDERIRRMGKMQSDWASMVLLPQNAWKISYLDSLGCECRIRMPLYLGLVSTVLNVAIFFYMSMLTWSVRRFDEKWELLSINALPFLTLLGLISFCLLCFALWPIWGFLTLPLLFTLFMAGLVVYPHIMIETLKQQNDSFRID >KJB27096 pep chromosome:Graimondii2_0_v6:4:61061379:61063705:-1 gene:B456_004G277700 transcript:KJB27096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGTPVGGGYMRQRHSQGYASSNEDLEDDACSRLQPFSPSIPRAKTWTEVLENVLWVASALFIIYFGDRHSNLIYLLLHDERIRRMPLYLGLVSTVLNVAIFFYMSMLTWSVRRFDEKWELLSINALPFLTLLGLISFCLLCFALWPIWGFLTLPLLFTLFMAGLVVYPHIMIETLKQQNDSFRID >KJB27097 pep chromosome:Graimondii2_0_v6:4:61060878:61064250:-1 gene:B456_004G277700 transcript:KJB27097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQAMKTLKMMLVQGYNHFHLQFQELRLGLRMPLYLGLVSTVLNVAIFFYMSMLTWSVRRFDEKWELLSINALPFLTLLGLISFCLLCFALWPIWGFLTLPLLFTLFMAGLVVYPHIMIETLKQQNDSFRID >KJB27256 pep chromosome:Graimondii2_0_v6:4:61723719:61725307:-1 gene:B456_004G287000 transcript:KJB27256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKHVKDQFQRPINGYNLILGGGAFLYVFKNKAAAKGGTDESPPSTTAPANGGAKKTHLYNPTSRPTYRPRLNYCCWTILIILILILLAAITGTVLYVLYRPHRPSFTLASFRIHRLNLTTSADSASSHLSTLFNLTISSKNPNAYLSFSYDPFTVSCVSSDGDVFLGNGTLPAFFSGSKSETTFRGVAVATSSDVDAETMNGLRPELKKKKGFLLKVEMDTRVMVKMGSLKSKKVGIRVTCDGIKGIVPKGKSPAVANVFGAKCGVDLRIKIWRWTF >KJB22723 pep chromosome:Graimondii2_0_v6:4:6219488:6219887:-1 gene:B456_004G062800 transcript:KJB22723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFNQPQLFTFLPFSILFPPLVRGDCTCDLGNEDRSKALALKYKMAAIVSILVATAIGVCFPLLGKTIDALYSEMDVFFVIKAFAVCVILSTRFIHVLPDATENFTSHCLDENPWWKFSFVGIVAWYQRLLR >KJB24453 pep chromosome:Graimondii2_0_v6:4:41107105:41108945:1 gene:B456_004G146200 transcript:KJB24453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGGFSKDLILLLWIWVFLAMIDVSKCSLGRPLIINFGDSNSDTGGVLAGTGLPIGLPHGITFFHRGTGRLGDGRLIIDFFCEHLNLSYLSPYLDSLAPNFTSGVNFAVSGAMTLPQFVPFVLDVQVRQFIRFKNRSIELQKTGTGSFIDEKGFRSAVYMIDIGQNDLLMALYASNLTYEPVAKQIPSFLAEIKLAIQNIYSYGGRKFWIHNTGPLGCAPKELALHPHNKTDLDRIGCFRVHNDLAKAFNRGLRNICKEMRTILKDATIVYVDVYSIKYKLFAKYKKYGFEDPFMACCGYGGPPNNYSQKATCGQPGSTICNNVSRSIVWDGVHYTEASNRVVATTILSGRYSKPQMQLESFGEP >KJB22730 pep chromosome:Graimondii2_0_v6:4:6289681:6291375:-1 gene:B456_004G063200 transcript:KJB22730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSLVPQSPISSNTTSSNPSSSMKSKRVERDVSAATGEDSTADDPSNKRPNYSSGDKAAAADEHDETVIEGESTGLRLLGLLLQCAECVAMDNLEDATDLLPEISQLSSPFGSSPERVGAYFAHALQARVVSSSLRTYSPLDNKSLTLTQSQKIFNALQSYNSISPLVKFSHFTANQAIFQALSGEDCVHVIDLDIMQGLQWPGLFHILASRSKKIRSMRITGFGSSSELLELTGKRLADFAASLGLPFEFHPLEGKIGNVTDLNQLGVRSSEAVVVHWMHHCLYDITGSDLATLRLLTVLKPKLITIVEQDLSHGGSFLGRFVEALHYYSALFDALGDGLSVDSLERHTVEQQLFGSEIRNIVAVGGPKRTGEELRRVGFQPVSLGGNPAAQASLLLGMFPWKGYTLLEENGCLKLGWKDLSLLTASAWQPSD >KJB27212 pep chromosome:Graimondii2_0_v6:4:61852118:61853538:1 gene:B456_004G289100 transcript:KJB27212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREIIENVERKGLSMSGEDDDKIKRIKKKLDTLELILVMLIRARNGLSENMINATLVTVALVITAIYQSSLSPPRGVWQVDNNSIPTTTSNVTTTALQIFDDNYNNSRFKHLLGQESRKTEGSNNQHSNSQ >KJB22915 pep chromosome:Graimondii2_0_v6:4:8040435:8041241:-1 gene:B456_004G073400 transcript:KJB22915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSTTGTGSPCGACKFLRRKCASDCVFAPYFCSEQGPARFAAIHKVFGASNVSKLLLHIPAHDRCEAVVTIAYEAEARIRDPVYGCVAHIFALQQQVACLQAQLMQIKAQLAQNDMNSSHNIVENQWQENLSGTVPSYMNPISPQSSLESVELNSGDSVNLQEIQSRHEEFCFQGYHKKRLNNNSDLGELQTLALRMMRN >KJB21853 pep chromosome:Graimondii2_0_v6:4:1340180:1344655:1 gene:B456_004G018400 transcript:KJB21853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAASGLQLASARPCIASSHRVFKAGAASLDVNSKAVPWNKLASACHLSQSLEPFRRSFASSSVYFNKVVTRAMSESSENKPVSGLPIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRLLPNGSLMEITKVYPLDAVFDNPDDVPEDIKTNKRYAGSANWTVKEVAESVKQDFGSIDILVHSLANGPEVSKPLLETSRNGYLAALSASSYSYVSLLKHFIPLMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKIRVNTISAGPLRSRAAKAIGFIDTIIEYSIANAPLQKELSADEVGNAAAFLASPLASAITGAVIYVDNGLNAMGVGVDSPIFKDLNIPGEKH >KJB21852 pep chromosome:Graimondii2_0_v6:4:1339877:1344695:1 gene:B456_004G018400 transcript:KJB21852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAASGLQLASARPCIASSHRVFKAGAASLDVNSKAVPWNKLASACHLSQSLEPFRRSFASSSVYFNKVVTRAMSESSENKPVSGLPIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRLLPNGSLMEITKVYPLDAVFDNPDDVPEDIKTNKRYAGSANWTVKEVAESVKQDFGSIDILVHSLANGPEVSKPLLETSRNGYLAALSASSYSYVSLLKHFIPLMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKIRVNTISAGPLRSRAAKAIGFIDTIIEYSIANAPLQKELSADEVGNAAAFLASPLASAITGAVIYVDNGLNAMGVGVDSPIFKDLNIPGEKH >KJB23692 pep chromosome:Graimondii2_0_v6:4:23356534:23356824:-1 gene:B456_004G110100 transcript:KJB23692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKSEGRRCLCAPTSHPGSFGCSLHRNFNRLPPGRTKLVRVPPTHWKIPKANLINPFLIQILRPSTHDMQRRRNFQPKPSRFCLLNANTDAIGVAVS >KJB27082 pep chromosome:Graimondii2_0_v6:4:61647128:61647649:-1 gene:B456_004G285700 transcript:KJB27082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFFVDDPGLILSHFLYKTAVFLAVLRWVLSWLLNLRFKDINSFFFTPKFSSNSHQISSDMIRDNLVLTTYGDAKQRMPWVSDTCAVCLCELKEGDDVRELRNCCHVFHQDCIDRWAGYDHDHDHDDGDDNHKTCPVCRAPLLTCSQSFGWPNNEPSWAVDRLLYLFGDDLLP >KJB25821 pep chromosome:Graimondii2_0_v6:4:54396972:54397361:-1 gene:B456_004G211000 transcript:KJB25821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPKNAGLHKIKLRKSYVLKKVKNDESESKTTSTCSFFSSSCSLSNGCIVVFFFLYHSVLDYRALPLTCNNSLTPLFYFSQKL >KJB27307 pep chromosome:Graimondii2_0_v6:4:61927887:61932823:1 gene:B456_004G290100 transcript:KJB27307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSDENNKIREPFLSSSPSKGGFRTLPFILATEAFERVATIGLSPNMIVYLRREFGMETAKAANVIFFWSAATNFTPIIGAFIADSYMGKYRMIGFGSVLCFLGMILLWSTAIIPQARPYCDQFNTICEAPTTPQLLLLYCSLGLISIGAGGIRSSCMAFGADQLDKRNNPENTKTLQSFFGWYYATITFSALIAVTLIVYVQDTLGWGMGFGIPVMLMFGSAVSFFLASSFYIKLKAKTSLLTGLAQVIVASFRNRRIELPSHATNEVYHIRKGSMLQVPSEKLRFLNKACVIKDPQEDLTSNGDASNPWSLCTVDQVEDLKALIRVMPLCSAGIMMSVNVYQSSFMVIQAGTMDRHVTPNFEIPAGSFSMFMMISIVVWIPFYDRIALPLASKIKGKPVRLGLKQRMGIGLLCSGSSMVASAIIEYTRRKIAIEEGLSDEPAAVVHMSALWILPFYILGGLAEPFNGIGQIEFCYSELPKTMSTIAANIYGFGTFISNLVASFITSMVDNVTKRGGESWISSNMNKGHYDYYYCLLAGLSMLNFIYFLACCKAYGPCHGDDKNQAEKSKKGDYESIDDS >KJB24334 pep chromosome:Graimondii2_0_v6:4:39428956:39431914:1 gene:B456_004G140000 transcript:KJB24334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPVMVTKGGGCGGGGSGKKRRAKNSEEEQQQNQISVAALLLAALRKSMVSCRVDGEDEAMHSAVNNMEIGWPTNVRHVSHVTFDRFNGFLGLPLEFQVEVPGRVPSASASVFGVSAESMQCSLDPKGNSVPTILLLMQERLYSQGGLQAEGIFRINPENSQEELVRDQLNRGIVPDNIDVHCLAGLIKAWFRELPAGVLDGLSPEQVLHCDNEEECVELVKQLKPTEAGLLNWAVDLMADVVEEEETNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIMKTLREREETASSSRSFDHHTDEEFDCRSLDEMDMSCEGPISDYDNSLYGNYSGDDEEDGVEAFGEIEECFLRQPEDENNKDATYSFLEKPSDESHTSTPPGSWTGCNLESSISFTDSKNENSGSTTSDGEDNDGVDMMDKLVESTTLNVI >KJB23478 pep chromosome:Graimondii2_0_v6:4:18103133:18104063:1 gene:B456_004G100900 transcript:KJB23478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIVFLVVLPWVAVFARNFSQDFDLTWGSDRVRILNNGELLTLSLDKDSGSAFQSKNEYLFGKISVQLKLVPGYSAGTVTSYYGDPYTVHTNVITEGKGDREQQFRLWFYPTADFHTYSILWNPHVIIFYVDGTRIREFKNLDSTNVPFPESRAMRIHSSIWNADDWATKGGLIKTDWSKAPFTASFRNISAQACIWASGSGAYPCSSNSWQAKQLDAASLERLMWVQKHYMIYNY >KJB27292 pep chromosome:Graimondii2_0_v6:4:61849948:61851434:-1 gene:B456_004G289000 transcript:KJB27292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNDSLREAAATGNIDAFYALIQKDPYMLERIDHIPFIDTPLHIAATEGQIKLAMEMMNLKPSFARKLSQDGFSPMHLAFRNRHTELLLRLLKTDKDLVRVKGREGMTPFHCAAAMGNSNLLFHFLEACPECIEDVTVRDETALHLALKNDHLEAFNLLTGWLQSNRRRGANELEKKVINWRDDDGNTVLHIAAIKEQHQALKMLLYVGKYLDVTAKNSDGLTSREIIEKVERRGLNMSDATATKIKHIKKGTHWHKRRLTMLVRARNGLSGDMINATLVVATLVITAVYQSSLSPPRGVWQGDNNSIPTTNSNTLQILDDNYNKSRFKHLLGEETRKTGTTVMNPDMYYGFWVYNLIAYGLPVLLTVFLLSNVPRLLLIPLYYLSVSYFYSMTIISPSSFWVDVNYILANVTAAIPFALLFGVIRLWMSPNYKEFRQLHRLLRSGNLVIIMFIFNYVIDFFF >KJB22546 pep chromosome:Graimondii2_0_v6:4:4984972:4987058:-1 gene:B456_004G053600 transcript:KJB22546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQQVGAAFNQHLMAQRPRLPVMSIPGAPLPVNQPMVPGMRPPVLPRPIPGAPGYIPVPGMPPMMAPPGAPLPGQVNGLPRPPTLAPPTTVSGTVTTPTSSNGAPTISAPYQANPTAPTSGGFDNFNANAQPSEANQ >KJB23162 pep chromosome:Graimondii2_0_v6:4:10526429:10527352:-1 gene:B456_004G0845002 transcript:KJB23162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRNYDWDYRWMDYPPQARNLKHPSDQSIATYKGHLVLRTLIRCYFSPGYSTGQKYIYTGSHDSRVTGAQVAVLKHHTSPVRDCSWHPYYPMLVSSS >KJB23311 pep chromosome:Graimondii2_0_v6:4:12681179:12688135:1 gene:B456_004G091800 transcript:KJB23311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATASETFKRKESDNNSNNEVSRKEIEAAIAKAVELRALHAALMLGSSPANLKYPSFSSPVSRPASHFSAQDYPVFTPSYEDEALTGYHTNNQVLSESGPETVLSDYKEEIWGSRKVFSPALPAFESHICPADDHKSIPDSCANHITLLRTSPGSTFYKSSRSRRNSLGDFKSLSTCNRCKPAVITTDSDTLVRNIKNSNTVVPLTDSHSSVQPQPKNRGVISWLFPKLKKKHKNESSPAPTESEEVSQIFKDLGMLSIEKLKRELMEAHENRDAALMEVAEMRSSLGQLNQKLEYLETYCEELKKALGQATQTKDSQINEKLGKGKSVNPMPVDEEVMVEGFLQIVSEARLSVKQLCKALVAQMEESDTTLMDNLNLVLQPYKLSLNSKYSKTVLYHLEAIINQSLYQDFENCVFQKNGSPKLLDPQQDRQAQFSSYVALRNLSWNEVVRKGTKYYSEEFSKFCDQKMSLIISTLNWTRLWPEQLLQAFFVAAKCIWLLHLLAFSFSPPLGILRVEENTTFDPQYMEDMLMERQKSHGGSRVKIMVMPGFYVQGRVLRCKVICRYKIVA >KJB24913 pep chromosome:Graimondii2_0_v6:4:46438544:46441158:1 gene:B456_004G167600 transcript:KJB24913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPPGPGGMYYNYPPPMLVNCSGCHTPLQLPQGARSIRCAICKAVTNIADPRSLPPASQPPAPSTHAPPSPSPYNHAPPGAPPHAHGRKRAVVCGISYRYSRHELKGCINDAKCMRYLLVNKFKFPEDSILMLTEEETDPYRLPTKHNIRMALFWLVQGCQPGDSLLFHYSGHGSRQRNYTGDEVDGYDETLCPLDFETQGMIVDDEINATIVRPLPHGVRLHAIIDACHSGTVLDLPFLCRMNRTGQYVWEDHRPASGLWKGTSGGEVISFSGCDDDQTSADTSALSRITSTGAMTFCFIQAIERGHAATYGSILTAMRNAIRSVGSGGGGGDFGGGAVTSLISMLLTGGSTVGLGGLRQVISPTYWFNFLFLRKKVV >KJB24911 pep chromosome:Graimondii2_0_v6:4:46438516:46441177:1 gene:B456_004G167600 transcript:KJB24911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPPGPGGMYYNYPPPMLVNCSGCHTPLQLPQGARSIRCAICKAVTNIADPRSLPPASQPPAPSTHAPPSPSPYNHAPPGAPPHAHGRKRAVVCGISYRYSRHELKGCINDAKCMRYLLVNKFKFPEDSILMLTEEETDPYRLPTKHNIRMALFWLVQGCQPGDSLLFHYSGHGSRQRNYTGDEVDGYDETLCPLDFETQGMIVDDEINATIVRPLPHGVRLHAIIDACHSGTVLDLPFLCRMNRTGQYVWEDHRPASGLWKGTSGGEVISFSGCDDDQTSADTSALSRITSTGAMTFCFIQAIERGHAATYGSILTAMRNAIRSVGSGGGGGDFGGGAVTSLISMLLTGGSTVGLGGLRQEPQLTACEPFDVYMKPFSL >KJB24912 pep chromosome:Graimondii2_0_v6:4:46438544:46440693:1 gene:B456_004G167600 transcript:KJB24912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPPGPGGMYYNYPPPMLVNCSGCHTPLQLPQGARSIRCAICKAVTNIADPRSLPPASQPPAPSTHAPPSPSPYNHAPPGAPPHAHGRKRAVVCGISYRYSRHELKGCINDAKCMRYLLVNKFKFPEDSILMLTEEETDPYRLPTKHNIRMALFWLVQGCQPGDSLLFHYSGHGSRQRNYTGDEVDGYDETLCPLDFETQGMIVDDEINATIVRPLPHGVRLHAIIDACHSGTVLDLPFLCRMNRTGQYVWEDHRPASGLWKGTSGGEVISFSGCDDDQTSADTSALSRITSTGAMTFCFIQAIERGHAATYGSILTAMRNAIRSVGSGGGGGDFGGGAVTSLISMLLTGGSTVGLGGLRQVISPTYWFNFLFLRKKVVWLIVLYTREALALSTFCVVQNLKHVNV >KJB23559 pep chromosome:Graimondii2_0_v6:4:21289144:21290253:1 gene:B456_004G105100 transcript:KJB23559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFRMTALMLALLVTMSAIVSESRVARKDLGLDLGGIGLGVGAGIGVGLGGGGSGSGAGAGAGSGSGSGGSSSSSSSSSSSSSSSSGGSGAGSEAGSSAGSYAGSGGGNGRGHGN >KJB21972 pep chromosome:Graimondii2_0_v6:4:1829049:1829521:-1 gene:B456_004G023900 transcript:KJB21972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSEPIPTPTISSSPSLSPNSLISSNSPPSPPLSPPLVVISPCVACKILRRRCADKCVLAPYFPPTEPAKFAIAHRVFGASNIIKFLQVPSTSPAENQLTSVVKKKPHCTDSLNQTTQQ >KJB24499 pep chromosome:Graimondii2_0_v6:4:41649003:41651349:-1 gene:B456_004G147800 transcript:KJB24499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rotamase CYP 4 [Source:Projected from Arabidopsis thaliana (AT3G62030) UniProtKB/TrEMBL;Acc:F4IX26] MASTFSLQMVHCPRFSFQGQAVSNAHSPHMIGSWSAYSQPNSSATVLASKLNYASGLPVTQLSKSPSVKYRRMVCNSMANDVELQAKVTTKCFFDVEIGGKAVGRIVMGLFGDVVPRTVENFRALCTGENGYGFKGCSFHRIIKDFMIQGGDFTNGNGTGGMSIYGSKFEDESFALKHVGPGVLSMANAGPNTNGSQFFICTVKTPWLDNRHVVFGHVIDGMDVVRELESQETSRTDGPRVPCRIVNCGELPIGG >KJB23252 pep chromosome:Graimondii2_0_v6:4:11645832:11647829:1 gene:B456_004G087800 transcript:KJB23252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGLDISDNQIRVLPDSFRLLSKLRVFRADETPLEVPPREVIKLGAQAVVEFMADLFAKRDTKAAPPKKEKGFWFRICSICWPFRTANTDDNM >KJB27084 pep chromosome:Graimondii2_0_v6:4:61006529:61010079:-1 gene:B456_004G276800 transcript:KJB27084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALVNYGGDDDFYSGGSFYTNSTDLGRLCSISSQVDVYYPPRKRARITAPFVVGETLFEQSKQASIDVLPDECLFEIFKRLPGGRERSSCACVSKHWLMLLISIRKGEYESSKAVKENTDSVSDEDDGYLTRCLEGKKATDMRLAAVAVGTSGHGGLGKLSIRGSSSSSGVTNFGLSAIARGCPSLKALSLWNVPRVGDEGLSEIAKECHLLEKLDLCQCPSFSNKGLIAIAENCPNLTSLSIESCPKIGNEGLQAIGKLCPKLQSVSIKDCLLVGDHGVSSLLSSTSSVLSKVKFQGLNITDFSLAVIGHYGKSVTNLMLSGLENVSEKGFWVMGNAQGLQKLVSLTIISCRGVTDVSLEAMGKGCANLRQMCLRRCCFISDDGLVAFAKSAGSLESLQLEECNRITQSGTIGVLSNCSLKSLTVVKCMGIKDISSEVPLSCCNSLKSLSVRNCPGFGTAGLAVVGRLCPQLQHVDLSGLCGITDAGLLPLLESCEAGLVKVNLSGCLNITDKVVLALTRLHGGTLELLNLDGCRRITDASLMAIAESCVFLSDLDVSRSAVTDSGVAALSRAEQLNLQVLSFSGCSRVSNKSLSSLKKLGKTLLGLNLQHCNSISIRTVELLVETLWKCDILF >KJB23476 pep chromosome:Graimondii2_0_v6:4:17820441:17820803:-1 gene:B456_004G100700 transcript:KJB23476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKWYLLSRLRRAVNKVKVLLNFNMNRWRIASMIGTSRSSKRLSFTDRPGLRACADDNDSEDSGYCCNRLARTTSYPSEDDIDKRAEMFIANFHRQLQIERQVSLELKYLRLNSFDNISP >KJB25928 pep chromosome:Graimondii2_0_v6:4:54985884:54988824:1 gene:B456_004G216400 transcript:KJB25928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLKEELLKKRQSLAQETGGRRVFKRSEIEQKQIQKLREQEKRELEAKSRRQSNASSSAANDASAKSNPSASSTVSATATSAAGSSKSLTDERNIDDLDLPKQEVIRRLRFLKQPVTLFGEDDAARLDRLKYVLKAGLFEVDSDMTEGQTNDFLRDIAELRKRQKTGIVSERKRKDREEGGGGEDGDGGGGEEELSGDGGSSGVDMDKDLKRMKANFDELCGEDKILVFFKRLLNEWNQELDEMGEAEKRTAKGKSMVATFKQCARYLNPLFKFCRKKVLPDDIRQALLVVVECCMKRDYLAAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSIKRLMTFCQRRYPTLPSKAVEFNSLANGSDLQSLLAEEKFSGGYSSEERLRLMPAPKEN >KJB22667 pep chromosome:Graimondii2_0_v6:4:5814916:5815622:-1 gene:B456_004G060000 transcript:KJB22667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITPFLSLAVPTRKQLSICSKQVTPCCCYSRIGSIPCGRKGGVDVGRKGNGLRLLVFVCSTTPYVRGIGSQRVSIGNKRDGGATKGDLSRALSAMLPFVVAATAVASLVQPSTFTW >KJB21682 pep chromosome:Graimondii2_0_v6:4:594074:595552:-1 gene:B456_004G008500 transcript:KJB21682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIEKEKSVEMEGTSHGEIFHHVITIMEEKINQPPRRLSENAGNEFCCIFRVPESFVGINKKAYQPHIVSIGPYHYGKDHLEMMQEHKWRFLGSFLRRTQRHNVDLVRLFRAVEQMEERIRECYSEMIEIDKNSLVKMMVLDGCFVVELFCIVGGLSDTNIDDPIFNMQWILTFIMRDLLRLENQIPFFVLETLFKLSISGSDRKNVRSLTQLSLEFFNYMVQRPVEVIENHADLIGKHLLDLFRMSFLPSSSQVTPRNRTTSSSGELSRNTSSTERTSTISTCLSEETSKMSTSSTEEPSTFLQLIPSAKKLHLAGIQFKPGKSDSFLDVKFNNGVLQIPLLTIDDFSSSVFLNCVAFEQCYHYITNHVTTYATFMGCLINTPSDAGFLCDHKIIENYFGTDEEIARFFNNIRKDVAFDIEKSYLSKLFEDVNEYYRNDWHVRWAGFKHTYFDTPWSFMSAMAALILLILTLIQAFFGMYPYIFPRKSPK >KJB22230 pep chromosome:Graimondii2_0_v6:4:2988516:2989677:-1 gene:B456_004G036300 transcript:KJB22230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTRNFVEGDSSLATKAVWDDELTLIFCELCVNEVNAGNRPTTHLNSKGWENVIALFQAKTQKNYGKPQLKNKWDTLKKEWRLWRELLKESTGIGWCPSKKTVDATEEWWAAKIQENPDFKGFKKKGIEPRLNELMWQMFGGIVATGENAWAPSSGVLPSGVPMGDDAPYEGFGDSDEHSNENEGIPPDEVPSNPSHEIPNRRKQTLGAAHGKGKKSSSSRKSSRNTLTTQIEKLCESMASPRKSVNEIIFPHSQYTISNAMDALRALGDEIPKRDELYYFATKMFQIPVKREMFLNLDPDDRVWWLRREYAEQNTIASFSSLVATSSFPFQPYHQPPPPSAP >KJB22649 pep chromosome:Graimondii2_0_v6:4:5684572:5687013:1 gene:B456_004G058900 transcript:KJB22649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase isoenzyme 6 homolog [Source:Projected from Arabidopsis thaliana (AT5G60340) UniProtKB/Swiss-Prot;Acc:Q9FJI1] MAADGNKRKRPNILVTGTPGTGKTTTSSALAEATNLRHINIGDLVKEKNLHDGWDDDLQCHVINEDLVCDELEDVMEEGGNIVDYHGCDFFPERWFDVVVVLQTDNTVLYDRLSKRGYEGAKLSNNIECEIFQVLLEEAKESYSEDIVKALKSDNIDDITRNVSSLTDWIRSWPPTS >KJB24272 pep chromosome:Graimondii2_0_v6:4:37637884:37640358:1 gene:B456_004G136300 transcript:KJB24272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVKCTYNVWGLETELPFGSTQKWRSNKNEKAFCDLSSLSQAYVFYKLSQTQVISFYKFKPILQYHGTSLFLKNEIKDWFEKQGVPHSRLRHHYGLRQKIIWHSRMNEWKNWDLYAYGSPFQMLWGFFSHRKFFNWRFLHFCLRNKVDIESWVNTNTKSNKNIKTVQPIEKKGSHFDWMRLNEEILSRPIPNLELWFFPEFVLLYNAYKGLPYSTKRKKVIGLENRNQEEKGPICEGGLISNAQKQGNFKSVLSNQEKDVEEDYYKSDKKKEHRKKKQYKSNTKAKVDFFLKRYLRFQLRWDDSLNQRIINNIKVYYLFLRLINPNEIVISSI >KJB22383 pep chromosome:Graimondii2_0_v6:4:4079253:4079837:1 gene:B456_004G0462002 transcript:KJB22383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALRSTISSGIIRSTSPTHSLLPAASSFKPIKNPCLPQTHKLFTSNSNTFSAPKRGFTCKSQAIPSDNSAPEKVQELHVYELNERDRGSPAYLRLSQKSVNSLGDLVPFSNKIYRGDLEKRIGITSGICILIEHKPEMK >KJB23129 pep chromosome:Graimondii2_0_v6:4:10209953:10210287:-1 gene:B456_004G082900 transcript:KJB23129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGQTPMTQAGGQCYLPTTCLLHSYLQLLSSYKMDSKFSTASKQLQVSESSFSTRSMKGRSWQRCSKQIREQRARLYIVWRCTVLLLCWHD >KJB22251 pep chromosome:Graimondii2_0_v6:4:3040533:3043420:1 gene:B456_004G037100 transcript:KJB22251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSGVLLYTKGVLHMAITPMLLCSILSGLLETCLWFHQQLLLFAFRLLLRKDLAALLLISSWVEFLLLYQKQLLHQLSVLKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTIKDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSMQVISSLALLLVGSSQMVLGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >KJB22252 pep chromosome:Graimondii2_0_v6:4:3040533:3043605:1 gene:B456_004G037100 transcript:KJB22252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSGVLLYTKGVLHMAITPMLLCSILSGLLETCLWFHQQLLLFAFRLLLRKDLAALLLISSWVEFLLLYQKQLLHQLSVLKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTIKDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSMQDSFFASFALGWLITNGAGPCILPN >KJB24660 pep chromosome:Graimondii2_0_v6:4:44247110:44250191:1 gene:B456_004G155800 transcript:KJB24660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKKNMNMLAFSMRKLGFLFILIVLNIVAHGEETKNFYIVYLGDSPLSKQSAVQKHIGLLSSVKGSEHGAKESIVYSYTKSFNAFAAKLSENEAEMLKGLDEVASVFPNRYRKLHTTKSWDFIGLPLTAKRNLKFERNIIVGLLDTGITPQSESFKDEGFGPPPSKWKGTCGRFMNFSGCNNKIIGAKYYKLDGNPDPADILSPIDMDGHGTHTSSTLAGNLVRDANLYGLAKGTARGAVPSARIAMYKVCWASSGCADMDILAALDDATSDGMDIISISIGGGTQDFVTDSISVGAFHALKKGIVTVTSAGNDGPSLSSVSNYSPWLLTVAASGIDRQFMSTVKLGNGKSFQGIGINTFESKEGLYPVVSGADVAMNSESKENARFCFDNTLDPSKVKGRLVYCTLSQWGVDSVVKGIGGIGTIVESEKYLDTAQIFMAPATMVNSTVGETIQNYIHSTRSPTAVVYKSEEVKIPAPSVASFSSRGPNPGSHRILKPDIAAPGVDILAAYTLVKSLTGLKGDTQRSKFTLMSGTSMACPHVAGVAAYVKSFHPTWTPAAIKSAIMTTAKPMSKRVNKDAEFSYGAGQLNPARAINPGLVYDIDEMSYVQFLCHEGYSGSSIAHLIGTKSVNCSSLLPGFGYDALNYPSMQLNMKNSQQPTVGVFKRRVTNVGPPSVYNATIKAPKELQITVRPTSLLFTRLLQKRSFKVVVVAKPELSTKFRVLSASLVWKTTHHTVRSPIVVYSLPD >KJB25500 pep chromosome:Graimondii2_0_v6:4:51403666:51406899:1 gene:B456_004G194800 transcript:KJB25500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSSWFHCYSNNEANVVSKKSDDPSLRCCFFSRNREGERVSMEDLNSEISGSSCSTPSEPPDIRNWFSSYKYESFVLDTCENFGGFFSEERESDKYDLGVGKINRENEENFDGSAAEIRKADEHDNFNSKEDSLHSLSVLSEPPDIRKWCSSYVYESPLLDTNDGFKRDVSSKNECGEDELVNEECINDFADSDKFQQNTSDKICSIKVIKCSSSLVERKNESHPFSSEPLDIGNWFPDYVCESPVLDTNDEFEDSLSKNTEPSRDKFVVEDSKRQKRDNFKTTTKTGCRDEVVVGKKIFSNGFEECNSPIRHDEQENKSTSKDFGEVKPTHGSNDKENGFITTKKNKFIRANDENCLRGAGEIVLQGSRKTTGGEKDYGVTKRKVLAETTKVKVEQQCEAKAMEIMGKWRCPQKSKPPRGPPLKQLRLERWINRV >KJB25499 pep chromosome:Graimondii2_0_v6:4:51403666:51406809:1 gene:B456_004G194800 transcript:KJB25499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSSWFHCYSNNEANVVSKKSDDPSLRCCFFSRNREGERVSMEDLNSEISGSSCSTPSEPPDIRNWFSSYKYESFVLDTCENFGGFFSEERESDKYDLGVGKINRENEENFDGSAAEIRKADEHDNFNSKEDSLHSLSVLSEPPDIRKWCSSYVYESPLLDTNDGFKRDVSSKNECGEDELVNEECINDFADSDKFQQNTSDKICSIKVIKCSSSLVERKNESHPFSSEPLDIGNWFPDYVCESPVLDTNDEFEDSLSKNTEPSRDKFVVEDSKRQKRDNFKTTTKTGCRDEVVVGKKIFSNGFEECNSPIRHDEQENKSTSKVLSLLVLSPLASLIP >KJB23987 pep chromosome:Graimondii2_0_v6:4:32147732:32148868:-1 gene:B456_004G123700 transcript:KJB23987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNMGRHHPSMHGVLHLIVTPDGEDVDCEPILGYLHKGMGKIAKNQTIIQYLPYVTQVITLNGSKKLGSIQVPKKASYIRVIMLELSLYDLLEAATGMGMMHNYFHIGGVVTDLPFEQVEGIGIIGGEEEINWSLSSPILQASRIKWDFQKVYHYDCYDKFDLEIQWQKRRFISSLFSLIKKPSRTFELLRQELNARMEAPKGELGIFLIRDQSGFPWRWKIRSLSFINLQIIPHLFKRMKLVDIMTILGSINIIMGELDH >KJB21905 pep chromosome:Graimondii2_0_v6:4:1518876:1523484:-1 gene:B456_004G020400 transcript:KJB21905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFHPTTTTKTTFLDSFQTSLSRFHGLPLPFFRYSSSHFPLKTLNFCASKHAITSNAQIHPNPESKTKAFPTFSSNWLDNWNKTHKRNGPKPPKTVFNYRKDGNLWSLSYSKSDNNGSGSSSTMEKIVEKLKKFGYIGEENEQKEEQPRKVIERGSIEDMFYVEEGMLPNTRGGFSKESPLGMETEFGSDGEIMFPWEKRKEEQEEGKWTARGDNKASLAELTLPESELRRLRNLTFRTKSKMRIKGAGVTQEVVDTIHEKWKTMEIVRLKVEGAPALNMKRMHEILERKTGGLVIWRSGTSISLYRGVSYEVPSVHLNKQIYKRNEMSTYSSPLVSDKTEDPSGLVPHKDVAPPQSNSETATEEHKNTEPLPEIKYEDEVDKLLEGLGPRYADWPGCDPLPVDADLLPGIVPGYQPPFRVLPYGVRSSLGVKEATSLRRLARVLPPHFAIGRSRQLQGLAVAMTKLWEKSSIAKIALKRGVQLTTSERMAEDLKKLTGGILLSRNKDFLVFYRGKNFLSADVAEALLERERLAKSLQDVEEQARLRASALFAQSTEVAEQSGAAGTLGETLDADARWGKRLDDHHKEKVLKEAEILRHANLVRKLEKNLAFAERKLLKAERALSKVEDYLKPADRQADPESITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKIIMKAKNFDQVKKVAIALEAESGGVLVSVDKISKGYAIIVYRGKDYERPSTIRPKNLLTKRRALARSIELQRREVPCSLRF >KJB21904 pep chromosome:Graimondii2_0_v6:4:1518241:1523493:-1 gene:B456_004G020400 transcript:KJB21904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFHPTTTTKTTFLDSFQTSLSRFHGLPLPFFRYSSSHFPLKTLNFCASKHAITSNAQIHPNPESKTKAFPTFSSNWLDNWNKTHKRNGPKPPKTVFNYRKDGNLWSLSYSKSDNNGSGSSSTMEKIVEKLKKFGYIGEENEQKEEQPRKVIERGSIEDMFYVEEGMLPNTRGGFSKESPLGMETEFGSDGEIMFPWEKRKEEQEEGKWTARGDNKASLAELTLPESELRRLRNLTFRTKSKMRIKGAGVTQEVVDTIHEKWKTMEIVRLKVEGAPALNMKRMHEILERKTGGLVIWRSGTSISLYRGVSYEVPSVHLNKQIYKRNEMSTYSSPLVSDKTEDPSGLVPHKDVAPPQSNSETATEEHKNTEPLPEIKYEDEVDKLLEGLGPRYADWPGCDPLPVDADLLPGIVPGYQPPFRVLPYGVRSSLGVKEATSLRRLARVLPPHFAIGRSRQLQGLAVAMTKLWEKSSIAKIALKRGVQLTTSERMAEDLKKLTGGILLSRNKDFLVFYRGKNFLSADVAEALLERERLAKSLQDVEEQARLRASALFAQSTEVAEQSGAAGTLGETLDADARWGKRLDDHHKEKVLKEAEILRHANLVRKLEKNLAFAERKLLKAERALSKVEDYLKPADRQADPESITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKIIMKAKNFDQVKKVAIALEAESGGVLVSVDKISKGYAIIVYRGKDYERPSTIRPKNLLTKRRALARSIELQRREALVKHISALQAKVEKLRSEIDQMHSMDARGDEEFYDRLDSSYPSDDDDDTEEEGDEAYLGTYDGENDGEEENDDLHLETNFPFHNQHQES >KJB22191 pep chromosome:Graimondii2_0_v6:4:2807700:2809637:1 gene:B456_004G034300 transcript:KJB22191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRINKELKDLQKDPPASCSAGPVGDDMFHWQATIMGPADSPFAGGLFLVSIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVNILMPRLVI >KJB22190 pep chromosome:Graimondii2_0_v6:4:2807634:2810288:1 gene:B456_004G034300 transcript:KJB22190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRINKELKDLQKDPPASCSAGPVGDDMFHWQATIMGPADSPFAGGLFLVSIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMN >KJB22597 pep chromosome:Graimondii2_0_v6:4:5390740:5393883:-1 gene:B456_004G056600 transcript:KJB22597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT3G63380) UniProtKB/TrEMBL;Acc:A0A178VEV7] MSSSDECKLYDCSTSLLNVTAPSGFTVAQRRWRIAYIRIYSSRVMLSLADKIISQRDTQLPSMTSQQFDHYVAEFDHYVALDIDHKINQKRLVKTVKEKDLVSLNHLRGVDGVVDALCTNSEHGIRDDEQEVIKRQEMFGFNKYHKPPPKGLLYFVLEAFKDTTILILLVCAALSLGFGIKEHGAEEGWYEGGSIFVAVFLVIVVSALSNFRQETQFDKLSKISNNIKVEVVRSGRRQQISIFDLVAGDVVFLKIGDQIPADGLFLDGHSLQVDESSMTGESDHVEVDACRNPFLSSGSKVVDGYARMLVASVGMDTAWGEMMSSITSDKNERTPLQARLDKLTSSIGKVGLVVAFLVLAVLLIRYFTGNTKDDNGQTEYHGSETDVDDILNAVVRIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATIICTDKTGTLTLNQMKVTQFWLGQESVEEDLAKKIAPSVLELFYQGVGLNTTGSVCIPLSGSLPEFSGSPTEKAILSWAVLGLGMNIEKLKQQYSILHVETFNSEKKRSGVSVQRKADEMVHIHWKGAAEMIVAMCSQYYESNGIIRSMNEDGRDRIETIIQSMAASSLRCIAFAHKQVLKGETEDGDDQSRKTNRGIKEDGLTLLGIVGLKDPCRPGVKKAVQACQSAGVSIKMITGDNIFTAKAIATECGILGPDYQQGSGEVVEGIEFRNYAPDERMEKVEKIRVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFSSVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSAGEVPLTTVQLLWVNLIMDTLGALALATDRPTNELMEKPPVGRTEPLITNIMWRNLLAQALYQIAILLILQFRGESIVNVPETVKDTLIFNTFVLCQVFNEFNARKLEKQNVFEGILKNRLFLGIIGVTIVLQVVMVEFLKKFADTEQLKLWQWGVCILLAAFSWPIAWFVKLIPVSNTPFFSYLKRLRIIYTIVKRPINHQKP >KJB24237 pep chromosome:Graimondii2_0_v6:4:36802681:36804531:1 gene:B456_004G134300 transcript:KJB24237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRAFTKPKRSFKEYGENSRSGSISEYDDCIVGFIDDLPLIPCENNGPSLSLKEVLRTSVSVMGENARGLTEKVVLSNARLCTLKRFRKVIVRKSEFGRRVEKLAQICNNCDYLVPITAYLYAKRIKLVVSDYYPMGSLADLLSGGRRGQTALNWNERVIIIESIARAISFIHGQSPETVKNMKMNVHGNIKSSNIMINIDLTARLSDYGFVQLADCVEDCDTKEKHATTHNIYCENLSQKSDVFNFGMVLLDMLAGVQDLSYMDCIVKLEESIKQGDIPFFEFHMKGKERKQALKVLEIALACTSSLPETRPSIHQILFDLTHLLKTSK >KJB24226 pep chromosome:Graimondii2_0_v6:4:36930837:36932828:-1 gene:B456_004G134700 transcript:KJB24226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSCLQPLSKPPNYVVPVSSTVPGSCHFLQNYQATIPSRGSWSYKDGMFVNRKGGIARGWLLPVDPWAPNIDSQSIASQLFAVSLFPYIGFLYFITKSKSAPKLTLFGFYFLLAFVGATTLVIDEQHEVHKICPKQT >KJB24225 pep chromosome:Graimondii2_0_v6:4:36930837:36932828:-1 gene:B456_004G134700 transcript:KJB24225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSCLQPLSKPPNYVVPVSSTVPGSCHFLQNYQATIPSRGSWSYKDGMFVNRKGGIARGWLLPVDPWAPNIDSQSIASQLFAVSLFPYIGFLYFITKSKSAPKLTLFGFYFLLAFVGATIPAGIYGKFLLMINNSFNT >KJB24224 pep chromosome:Graimondii2_0_v6:4:36930822:36932898:-1 gene:B456_004G134700 transcript:KJB24224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSCLQPLSKPPNYVVPVSSTVPGSCHFLQNYQATIPSRGSWSYKDGMFVNRKGGIARGWLLPVDPWAPNIDSQSIASQLFAVSLFPYIGFLYFITKSKSAPKLTLFGFYFLLAFVGATIPAGIYAKVKYGTSLSNVDWLHGGAESLLTLTNLFIVLGLRQALRKTKDAKEGASSSVQDVENQKKPYI >KJB23276 pep chromosome:Graimondii2_0_v6:4:12013632:12015589:1 gene:B456_004G089300 transcript:KJB23276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRRKYKQSRAKVKVGLPRKNPHVFKPCFTLPPKLRSLANVDDALSKWDDKASVIQNYKSFGVVSNPNYLGVRSRTSHIVESDSLQVPHSPPSDEPADEFEPIDSGSDLEEDDLKTALGKKRRDGKSTHLQPLTTMQRLYIGQLIEKYGDDYQVGLVFLSYICSSYSISLNSYGWLFWLLLLLLLQAMFMDVKLNKMQHSVANLEKLCKRYHMFGDKNPLILSR >KJB23275 pep chromosome:Graimondii2_0_v6:4:12013619:12015712:1 gene:B456_004G089300 transcript:KJB23275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRRKYKQSRAKVKVGLPRKNPHVFKPCFTLPPKLRSLANVDDALSKWDDKASVIQNYKSFGVVSNPNYLGVRSRTSHIVESDSLQVPHSPPSDEPADEFEPIDSGSDLEEDDLKTALGKKRRDGKSTHLQPLTTMQRLYIGQLIEKYGDDYQAMFMDVKLNKMQHSVANLEKLCKRYHMFGDKNPLILSR >KJB23172 pep chromosome:Graimondii2_0_v6:4:10651151:10653925:1 gene:B456_004G085200 transcript:KJB23172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTWLLKNIAHHIPTFPVSLSILKEHNISLIESMLRIGFRNIINGFICRASLPQSFYNRELSTQTGRLKGKIALITGAASGIGKAAAAKFISNGAKVVIADIQHQLGQATATELGPNATFIPCDVTKESDVSDAVDFTISEHKRLDVMYNNAGVPCYTPPSIVDLDLAVFDRVMGINVRGVLAGIKHASRVMIPSRTGSILCTASVTGMMGGLSQHTYSVSKSAVIGIVKSMAAELCQYGIRINCISPFAVPTPFALDELSQIYPQIDAEQLVKMIHSFGVLGKATLEPGDVADAAVYLASDDAKYVSGHNLVVDGGFTSFKRLELPKS >KJB23288 pep chromosome:Graimondii2_0_v6:4:12216862:12219994:-1 gene:B456_004G090200 transcript:KJB23288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEYQEDHMRNSRGTLLFTCRWLPLISSPKALVFLCHGYGMECSGFMRECGTRLAAAGYAVFGIDYEGHGRSKGARCYIKKFGNIVNDCSNFFKTICGMCHYVVIDGHYYLPNVPKFTTPRLNFAAQEEYRDKSRFLYGESMGGAVALLLHKKDPSFWNGAVLVAPMCKISEKVKPHPVVVNILTKMEEIIPKWKIVPTKDVIDSAFKDPIKREVIRNNKLIYQDKPRLKTALEMLRTSISLEDGLNEVILPFFVLHGEADIVTDPEVSKALYEKASSKDKTIKLYPGMWHGLTSGEPDENIEIVFADITAWLDKRCNAVTFEQILRPSNQGFEKFDNVMVSMAATSSRTQSNGTYLCGLKRPRTQRRSAM >KJB23286 pep chromosome:Graimondii2_0_v6:4:12217123:12218786:-1 gene:B456_004G090200 transcript:KJB23286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHYVVIDGHYYLPNVPKFTTPRLNFAAQEEYRDKSRFLYGESMGGAVALLLHKKDPSFWNGAVLVAPMCKISEKVKPHPVVVNILTKMEEIIPKWKIVPTKDVIDSAFKDPIKREVIRNNKLIYQDKPRLKTALEMLRTSISLEDGLNEVILPFFVLHGEADIVTDPEVSKALYEKASSKDKTIKLYPGMWHGLTSGEPDENIEIVFADITAWLDKRCNAVTFEQILRPSNQGFEKFDNVMVSMAATSSRTQSNGTYLCGLKRPRTQRRSAM >KJB23289 pep chromosome:Graimondii2_0_v6:4:12216862:12220043:-1 gene:B456_004G090200 transcript:KJB23289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEYQEDHMRNSRGTLLFTCRWLPLISSPKALVFLCHGYGMECSGFMRECGTRLAAAGYAVFGIDYEGHGRSKGARCYIKKFGNIVNDCSNFFKTICAQEEYRDKSRFLYGESMGGAVALLLHKKDPSFWNGAVLVAPMCKISEKVKPHPVVVNILTKMEEIIPKWKIVPTKDVIDSAFKDPIKREVIRNNKLIYQDKPRLKTALEMLRTSISLEDGLNEVILPFFVLHGEADIVTDPEVSKALYEKASSKDKTIKLYPGMWHGLTSGEPDENIEIVFADITAWLDKRCNAVTFEQILRPSNQGFEKFDNVMVSMAATSSRTQSNGTYLCGLKRPRTQRRSAM >KJB23287 pep chromosome:Graimondii2_0_v6:4:12216862:12219512:-1 gene:B456_004G090200 transcript:KJB23287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVALLLHKKDPSFWNGAVLVAPMCKISEKVKPHPVVVNILTKMEEIIPKWKIVPTKDVIDSAFKDPIKREVIRNNKLIYQDKPRLKTALEMLRTSISLEDGLNEVILPFFVLHGEADIVTDPEVSKALYEKASSKDKTIKLYPGMWHGLTSGEPDENIEIVFADITAWLDKRCNAVTFEQILRPSNQGFEKFDNVMVSMAATSSRTQSNGTYLCGLKRPRTQRRSAM >KJB23400 pep chromosome:Graimondii2_0_v6:4:15355308:15355972:-1 gene:B456_004G096300 transcript:KJB23400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLENDYYLVRFSEEDDYNKVLTNGPWVIFGQYLTIRPWTPDFLTTQDEGRFVRIAICVDLRKPLISKVRINGHLQRVEYESLPHVCFKYCLYGHGSDLCQKGCAYFPMEDDPVNMAMLEKLGCQDLNRRVKEEDYGLWMLVECRKWCTGRSLGVKVNDIQGRQNGGSRFEALGGN >KJB25035 pep chromosome:Graimondii2_0_v6:4:47534067:47535472:1 gene:B456_004G173800 transcript:KJB25035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRTRNLISKLPLRCNSSSFFISPPPFNPKFIASTTLGSIQSHPSPSLSTLNQIASHFYSVRSLSSCSCSKPKAPLNLNCSSIERTQSVLLGPRYLSTISSSSSPGPKSDDEKSHTSSSESHPSQNPDFKHQEIEGPTVERDLSALANETREVLEAMMKNIYGLSKAVAVLGLVHLGLGAWISYAYGSGAHPLGEVSVQSILAFGFPFTLAFMLRQSVKPMYFFKMMEERGRLQILTLTLQVAKSLNVLFVRFRVVSVLCIAGASIGLLFNVLSK >KJB21416 pep chromosome:Graimondii2_0_v6:4:3957498:3958087:1 gene:B456_004G0449002 transcript:KJB21416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSLFSGKKLSDETKQSKSIWIPLGASLSATLGLALFSACGFFIWRRRNVQEDKGQEVRLLDLVMGSVPRGNSSENFDLQNVGRSQEFPSIQLNILQAATNNFCDENKLGQGGFGPVYKGTLADGKEIAVKRLSRTSGQGLLEFKNE >KJB21415 pep chromosome:Graimondii2_0_v6:4:3957498:3958087:1 gene:B456_004G0449002 transcript:KJB21415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSLFSGKKLSDETKQSKSIWIPLGASLSATLGLALFSACGFFIWRRRNVQEDKGQEVRLLDLVMGSVPRGNSSENFDLQNVGRSQEFPSIQLNILQAATNNFCDENKLGQGGFGPVYKGTLADGKEIAVKRLSRTSGQGLLEFKNE >KJB26598 pep chromosome:Graimondii2_0_v6:4:58678543:58684122:1 gene:B456_004G249400 transcript:KJB26598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVAIGDIACLDAELLQLQEMSPLALKSYPDFTQKLFEQWLSLPATHKLVTSLINDAKAGNPLNVPGSTSSGSTATSNTLPSMFPAGSAPPLSPRSTYGSPRIAKQRAGPSNLGSPLKVVSEPVKELIPQFYFEKGRLPPKELKEQCISQISQFFCGHPDGLQLPEFKLVTKEICKLPTFFSTSLFRKVDVNNTGFVTRDAFIDYWINGSMLTMDIATQIFTILKQPDLKYLTQDDFKPLLQELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRAGNGCLTLRELKRGNLVHAMLHADEEDDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYSNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWLKCIDLDGNGVLTRNEMQFFYEEQLHRMECMAQEPVFFEDILCQIMDMIKPEDDSCITLRDLKGSKLSGNAFNILFNLNKFMAFESRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >KJB26873 pep chromosome:Graimondii2_0_v6:4:59964621:59974194:-1 gene:B456_004G264400 transcript:KJB26873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIECSVCHSKIEPPASKSISRAYDKHRTFVSSKNRFLNVILVAGDCLLVGFQPILVYMSKVDGGFKYSPISVNFLIEVAKVIFAVVMLLFQSRHKKVGEKSLLSVSALVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAILLKMIMKRKFSILQWEALALLLIGISINQLRSLPEGASSFGLPVATGAYLYTLIFVTVPSLASVFNEYALKSQFESSIYHQNLFLYGYGAMFNFLAILGIAIFKGPSSLDILQGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGIASAVLFGHTLTINFVLGISVVIISMHQFFSSYSKVKDEQRSGNFKMVESIDSQRSRDASFPNKTDVSENPPC >KJB26872 pep chromosome:Graimondii2_0_v6:4:59964627:59974194:-1 gene:B456_004G264400 transcript:KJB26872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIECSVCHSKIEPPASKSISRAYDKHRTFVSSKNRFLNVILVAGDCLLVGFQPILVYMSKVDGGFKYSPISVNFLIEVAKVIFAVVMLLFQSRHKKVGEKSLLSVSALVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAILLKMIMKRKFSILQWEALALLLIGISINQLRSLPEGASSFGLPVATGAYLYTLIFVTVPSLASVFNEYALKSQFESSIYHQNLFLYGYGAMFNFLAILGIAIFKGPSSLDILQGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGIASAVLFGHTLTINFVLGISVVIISMHQFFSSYSKVKDEQRSGNFKMVESIDSQRSRDASFPNKTDVSENASHHVNEEKGPLLPV >KJB26871 pep chromosome:Graimondii2_0_v6:4:59964598:59974317:-1 gene:B456_004G264400 transcript:KJB26871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIECSVCHSKIEPPASKSISRAYDKHRTFVSSKNRFLNVILVAGDCLLVGFQPILVYMSKVDGGFKYSPISVNFLIEVAKVIFAVVMLLFQSRHKKVGEKSLLSVSALVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAILLKMIMKRKFSILQWEALALLLIGISINQLRSLPEGASSFGLPVATGAYLYTLIFVTVPSLASVFNEYALKSQFESSIYHQNLFLYGYGAMFNFLAILGIAIFKGPSSLDILQGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGIASAVLFGHTLTINFVLGISVVIISMHQFFSSYSKVKDEQRSGNFKMVESIDSQRSRDASFPNKTDVSENASHHVNEEKGPLLPV >KJB26874 pep chromosome:Graimondii2_0_v6:4:59964598:59974340:-1 gene:B456_004G264400 transcript:KJB26874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIECSVCHSKIEPPASKSISRAYDKHRTFVSSKNRFLNVILVAGDCLLVGFQPILVYMSKVDGGFKYSPISVNFLIEVAKVIFAVVMLLFQSRHKKVGEKSLLSVSALVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAILLKMIMKRKFSILQWEALALLLIGISINQLRSLPEGASSFGLPVATGAYLYTLIFVTVPSLASVFNEYALKSQFESSIYHQNLFLYGYGAMFNFLAILGIAIFKGPSSLDILQGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGIASAVLFGHTLTINFVLGISVVIISMHQFFSSYSKVKDEQRSGNFKMVESIDSQRSRDASFPNKTDVSENASHHVNEEKGPLLPV >KJB25480 pep chromosome:Graimondii2_0_v6:4:51209830:51211146:1 gene:B456_004G193800 transcript:KJB25480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSAMLVQLTLMLALLSCSMAQSPSASPTMSPSSSTTPSPVATPPPTTMTPPPAMTPMSAPAPSIPMAPTPSTTPMAPTPSSVPEAPTPSMNSSPPAPPPMTPPSMTPNSEPTAPAPPPVMTPGAGPPGSAASTREYTMSLLALLGGVALFV >KJB27209 pep chromosome:Graimondii2_0_v6:4:61589656:61592037:1 gene:B456_004G284800 transcript:KJB27209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDSDVPMVPAGEASGSSAASSSTKKPKRFEIKKWSAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >KJB25758 pep chromosome:Graimondii2_0_v6:4:54116812:54118315:-1 gene:B456_004G208500 transcript:KJB25758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDLERGGRNGKNIANSYHQSSSPFYVENTEKQWTSWLVPMFVVANVVVFFCGSCVAKFLGKLSFQPLKENPLFGPSSHTLDKLGALNWDKVVHDKQGWKLITCIWLHAGVIHLLANMLSLVFIGIRLEKQFGFLMLLRIGLIYLLSGFGGSILSSIFIQDNIFVGASGALFSLLGAMLSELLTNWTIYTNKAAALITLVVIIAINLVVGILPHVDNFAHILGFVLLLRPQFGWVQPQHVPAGAPVKSKHKAYQFTMGLVMLFRGENGNDHCSWCHYLSCVPISSWHCGK >KJB24228 pep chromosome:Graimondii2_0_v6:4:36750419:36752427:1 gene:B456_004G133900 transcript:KJB24228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKDHVTMNGNGNSNWFSKMEEEFFKRHHKCDVTRNQYNSSLVKLIKAIAHLVWPVLRRFDQLQKNKASVSRCVVCRPQLALKG >KJB24229 pep chromosome:Graimondii2_0_v6:4:36750421:36752427:1 gene:B456_004G133900 transcript:KJB24229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKDHVTMNGNGNSNWFSKMEEEFFKRHHKCDVTRNQYNSSLVKLIKAIAHLVWPVLRRFDQLQKNKASVSRCVVCRPQLALKG >KJB26615 pep chromosome:Graimondii2_0_v6:4:58767562:58771462:1 gene:B456_004G250300 transcript:KJB26615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKEMDIGSLDIGDFLAIGAIFAATDSVCTLQVLHQDETPLLYSLVFGEGVVNDATSIVLFNAIQSFDLSDINFRIALKSIGKFFYLFSASTMLGVFVGLASAYIIKTLYFGRHSTDREFALMMLMAYLSYILAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAETFIFLYVGMDALDISTWRVNDRPRTSLMVSSILLALVMAGRAAFVFPLSYLANLSNKSSSENISFREQIIIWWAGLMRGAVSMALAYKKFSRLGQTELRKNAVMITSTITIVLFSTVVFGIMTKPLIRVLLPHPKITTSMLSEASTPKSSTMPFLGSADNSFDDNLGAAHRPSSIRELLATPTHTVHRYWRKFDNAFMRPVFGGRGFVPFVPGSPTERSEHNLLHKESSESDVCNF >KJB26614 pep chromosome:Graimondii2_0_v6:4:58766160:58771462:1 gene:B456_004G250300 transcript:KJB26614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASQLAVVFSKLQTLFTSDHASVVSLNLFVALLCACIVIGHLLEENRWMNESITALVIGLCTGVIILWRSGGKSSRLLVFSEDLFFIYLLPPIIFNAGFKVKKKQFFRNFITIMMFGAIGTLISCTVISLGIFKNFTDAYWLDVNESLMLQTGATYMFKEMDIGSLDIGDFLAIGAIFAATDSVCTLQVLHQDETPLLYSLVFGEGVVNDATSIVLFNAIQSFDLSDINFRIALKSIGKFFYLFSASTMLGVFVGLASAYIIKTLYFGRHSTDREFALMMLMAYLSYILAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAETFIFLYVGMDALDISTWRVNDRPRTSLMVSSILLALVMAGRAAFVFPLSYLANLSNKSSSENISFREQIIIWWAGLMRGAVSMALAYKKFSRLGQTELRKNAVMITSTITIVLFSTVVFGIMTKPLIRVLLPHPKITTSMLSEASTPKSSTMPFLGSADNSFDDNLGAAHRPSSIRELLATPTHTVHRYWRKFDNAFMRPVFGGRGFVPFVPGSPTERSEHNLLHKESSESDVCNF >KJB25452 pep chromosome:Graimondii2_0_v6:4:50970224:50973334:-1 gene:B456_004G192200 transcript:KJB25452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISMALFSPPCPTSFSVNPNFTHKPTLFLPLKKPFLSLSTPKSLPDNGAGVSASVPAVEDPKPSQNDPVLEKAVEGSSGPNGAATSTEIEVLSKFEDPRWIGGTWDLKQFQKNGTTDWDAVIDAEVRRRKWLQDNPETTSNDDPVVFDTAIIPWWAWMKRFHLPEAELLNGRAAMIGFFMAYLVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLIRKNEDLDNIKKLIEETTFYDKQWQATWQDDNSSNSN >KJB27208 pep chromosome:Graimondii2_0_v6:4:61583861:61586165:-1 gene:B456_004G284700 transcript:KJB27208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEAFSRLGDGSDQMDPKVVQTLQKGLVQVQTILDQNRLLMNEINMNHESKVPHNLTRNVGLIRELNNNIKRVVGLYNDISSSFIKSSIDVSSNGDDDDGDDHGSSSCGGALKSNGKGCHKRNRVA >KJB26957 pep chromosome:Graimondii2_0_v6:4:60304138:60306102:1 gene:B456_004G267900 transcript:KJB26957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKNHLSPPLPWTTPFIILSILTVHSIKITSAACHVDDEKGLLAFKSGITQDPSGMLSSWKSGTDCCNWAGINCRENNRVTTISLYGQVDKPDSYLTGTISSSLVKVQNLDGIYFVNLRNISGPFPDLLFGLPKLLYVYIENSKLSGSLPVNIGKLKQLGALSLEGNRFTGSIPSSISELTQLTQLVFGKNEFSGHFPAGIKQIRNLSYLSLEQNKLMGTVPDIFKSFTDLRILRLSHNEFSGKIPESILSLAPKLAYLELGHNRLSGQIPSYLGKFKALDTLDLSWNSFTGVVPKTFSNLTKIFNLDLSHNSLNDPFPQMNVKGIESLDLSYNNFHLKEIPKWVTSSPIIYSLKLAKCGIKMNLDTWKPAETYFYDYIDLSENEITGSPVDLLNRTDFLVEFKAAGNKLKFDLGKLRIVKTLKELDISRNLVYGKVPAAINGFNKLNVSYNHLCGQLPKNKFPVSSFVGNDCLCGPPLSPCKL >KJB23087 pep chromosome:Graimondii2_0_v6:4:9604705:9611469:1 gene:B456_004G080200 transcript:KJB23087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MIPLLSLRFPASPSSALFHFSPSPPFSSRFTKSPFRRFLPPTKPSLSTNSFSSTSQQLGPQGPQNQQPPRTLFPGGYKRPEIKVPNFVLQLDPNDVLADDNALDFIDKAVSKWVGLVVLNGGEGSGGRVYEAARSLKAVVKDRAYLLIAERVDIAAAVGASGVVLSDQGLPAIVARNTMMDSKSDSVFLPLVARTVQSSDSALNASSSEGADFLIYDLGQEEHANTAMKAVYENVKIPIFVVNNNSQAEVPSYTELTKIFKSGASGVVLSLEDLRLFTDDVLSEFFNTVYTTNNKRQDESIVELEMADIDRGSHQKVGVAGFIKVEDREKQLIEKERSVLTKAINVFQKASPLMEEISLLIDAVAQIDEPFLLAIVGEFNSGKSTVINALLGERYLTEGVIPTTNEITFLRYSELDRKDMQRCERHPDGQLICYLPAPILKEMNIVDTPGTNVILQRQQRLTEEFVPRADLLFFVISADRPLTESEVTFLRYTQQWKKKVVFVLNKSDLYQNTQELEEAISFIKENTKKLLNTEDVTLYPVAARLVLEEKLSATSDVGKKYREIVFAESNWKTSSFYKLENFLYSFLDGSTSRGMERMKLKLGTPIAIAERILSACETLNRKDCESAEQDLSSANEIIDSVKEYVIKMENESISWRRRTLSAIDATKSRILDLIESTLQLSNLDVVASFLLKGESSTTLPATSRIQNEILSPAIADTQFLETFGGLGAAGLSASLLTSILPTTLEDLLALGLCSAGGFIAISNFPARRQGIIEKVKKTADALGQELEDAMQKDLQETTQNLEKFVRIIGEPYRDAAQNRLDKLLEVKDELSNVRGTLKMLQVEIQNLHVS >KJB23088 pep chromosome:Graimondii2_0_v6:4:9604705:9611469:1 gene:B456_004G080200 transcript:KJB23088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MIPLLSLRFPASPSSALFHFSPSPPFSSRFTKSPFRRFLPPTKPSLSTNSFSSTSQQLGPQGPQNQQPPRTLFPGGYKRPEIKVPNFVLQLDPNDVLADDNALDFIDKAVSKWVGLVVLNGGEGSGGRVYEAARSLKAVVKDRAYLLIAERVDIAAAVGASGVVLSDQGLPAIVARNTMMDSKSDSVFLPLVARTVQSSDSALNASSSEGADFLIYDLGQEEHANTAMKAVYENVKIPIFVVNNNSQAEVPSYTELTKIFKSGASGVVLSLEDLRLFTDDVLSEFFNTVYTTNNKRQDESIVELEMADIDRGSHQKVGVAGFIKVEDREKQLIEKERSVLTKAINVFQKASPLMEEISLLIDAVAQIDEPFLLAIVGEFNSGKSTVINALLGERYLTEGVIPTTNEITFLRYSELDRKDMQRCERHPDGQLICYLPAPILKEMNIVDTPGTNVILQRQQRLTEEFVPRADLLFFVISADRPLTESEVTFLRYTQQWKKKVVFVLNKSDLYQNTQELEEAISFIKENTKKLLNTEDVTLYPVAARLVLEEKLSATSDVGKKYREIVFAESNWKTSSFYKLENFLYSFLDGSTSRGMERMKLKLGTPIAIAERILSACETLNRKDCESAEQDLSSANEIIDSVKEYVIKMENESISWRRRTLSAIDATKSRILDLIESTLQLSNLDVVASFLLKGESSTTLPATSRIQNEILSPAIADTQNLLGDYVTWLQSNNAREGRAYKESFEKKWPSITFSDKNYPLETYELLRKLDELSLKAIENLSANATSKQFEREVREVFLETFGGLGAAGLSASLLTSILPTTLEDLLALGLCSAGGFIAISNFPARRQGIIEKVKKTADALGQELEDAMQKDLQETTQNLEKFVRIIGEPYRDAAQNRLDKLLEVKDELSNVRGTLKMLQVEIQNLHVS >KJB24663 pep chromosome:Graimondii2_0_v6:4:44289890:44291613:-1 gene:B456_004G156000 transcript:KJB24663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDTNSSTPLLEESEVKDRKEVSGMEQWRGEIVKSIVYAGLEAIFTCFSLIASISATNLSSVEVLALGIANLVADGISSSLGDFLSSSAKEDLAIEEMAVTQWELNNHRKDQQEQLLQQYQSLGMDLNDANTVVNIFAKYNDILRDQKMTAQKGVMPPDQGGEKPWKNGVVSFLAFAGFGAAPLLSFVVLKPFTDNELVMFIGACFMSAIALTFLGIAKAKICGKRNYVGSVGFVLLNGAVAASAAYFLGWML >KJB22838 pep chromosome:Graimondii2_0_v6:4:7257490:7258107:1 gene:B456_004G069000 transcript:KJB22838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFLCQGGDFEAALKICKDSMEKKWVLKFSTMKSLVNGLRSISKVEEAKELIKNVKKKFSKNADLWDEIEKGLL >KJB26100 pep chromosome:Graimondii2_0_v6:4:56030498:56035402:1 gene:B456_004G225900 transcript:KJB26100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLADSMALNCFWVTLFLFFGFLGVVFCEQDGFLSLSCGGARNYVDSSNITWVSDDTYINTGNITTINYVEDSGTYSSNVPLRFFPESQRRNCYKLPVENTSSMVLVRAQFMYKNYDRHWKPPAFLVSLGTAITSTVNLTNKDPWIEEFIWPVSKATLSFCLNAIPSSGSPVISSLEVRPLPRGAYRSGMGGFSNKSLRKRYRINSGYTNGSLRYPADPFDRIWDADRSYTPAHLSPAFHIPLGLNLSSLKESPPLDVLQTARVLARDDFLHYNLPLDTFGDYYIVLYFADILPVSASFDILINGVVQQSNFTITTSETSTLYFTQKRITSLDIAFRRIRFYPQISAFEVYEIVDIPPEASSTTVSALQVIEQSTGFDLGWQDDPCLPTPWNHIECEGSTVTSLDLSDTNLRSISPTFGDLLDLKILDLHNTSLSGAIENLDSLQYLEKLNLSFNQLTSFGSDLDSLVNLKILDLHNNSLQGIVPASLGELENLHLLNLENNKLQGTLPPSLNRESLEVRTLGNLCLSFSTMACNEATSNETPKVTVITNRKHTPHTRLAIILGAAGGAVFALLLISRSVFLYINKRETEAADTTSAPIDMRNWNAARIFSYKEIKAATNNFKEVIGKGGFGSVYLGKLSDGKLVAVKVRSDRTQLGADSFINEVHLLSKIRHQNLVCLEGFCYESKKQILVYEYLPGGSLADYLHGPNSQKFSLSWVRRLKIAVDAAKGLDYLHNGSDPRIIHRDVKCSNILLDAQMNAKVCDFGLSKQVTQSDATHVTTAVKGTAGYLDPEYYSTKQLTEKSDVYSFGVVLLELICGREPLNHSGSPDSFNLVLWAKPYLQASAFEIVDDSLKGTFEAESMRRAALVAVKSVDRDASLRPTIAEVLAELKDAYSIQLSYLASLGHSG >KJB23691 pep chromosome:Graimondii2_0_v6:4:23252768:23254660:-1 gene:B456_004G110000 transcript:KJB23691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSRRSSGPVLRSHSPSSRFTSFYASQSHSPSSSSSSAFAYSSSGFSSRSTFFNQPRSTSPPRVNLHRNSSSASSVRFSLDNRPISPNRSITTVRRTTEALKNLQSKQTKRTCMCSPTTHPGSFRCSLHKSFNTSHPGTGYAPSNRLNARRSAMTNSLVRIGGVEGDLVRRALSALIRPSSHQQRRREAFHPRPSRLSVMSKAENL >KJB27192 pep chromosome:Graimondii2_0_v6:4:61497545:61500135:-1 gene:B456_004G283700 transcript:KJB27192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTTAEPFLHKGLLQSFELTKYILKTNVYPREPSPLKELREVTAKHPGNFMSTTPDSGQLMGMLLKLINAKKTIEIGVYTGYSLLLTALSIPHDAMIIAIDPNKETYEIGLPIIQKAGVEHKINFIESQALPVLDKLLQNLNNEGSFDFAFVDADKENYLNYHERLLKLVKVGGLIVFDNTLWGGTVAQPEEAVSEDRKESRRSIIEFNNSVSIDQRIEIALTPSGDGLTICRRIR >KJB26631 pep chromosome:Graimondii2_0_v6:4:58913444:58916022:-1 gene:B456_004G251400 transcript:KJB26631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQQNDSSSNHEEEADILQAMHLASISSLPFTLKVVVDLGLLEIIAKAADTPPGTVSIADIVSKLPTNNPNAPSIVDRMLKLLAAHSILTCNQITGQDGLTQRSYGLSSVGKYFLQNKDGVSFVPMLRILLEKYIVECWKFLKDVTLEGGFSCKKAFGKHLFELLADDDDMSECFNRQMSIETTLTMNQVLKNYKGFEGVSQVVDVGGGVGTNLKLIVSKHPQIRGINFDLPHVIKDAVPCPGVEHIAGDMFMEIPKAEVIFLKSVLHDWGDDQCLKLLKVCHDALPKNGKIVSVEAITPEVPETDILSKTILEIDIVLYHVLPGAKERTKQEFEALAKQAGFSSLKIVCRAYSHWVMEICKAD >KJB23929 pep chromosome:Graimondii2_0_v6:4:30508072:30510045:1 gene:B456_004G121800 transcript:KJB23929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTAWLGRGLSCVCAQSRESDACPSFDLTPSQLRFETEDKARRLLSNVSFLLKPGGYFFGITPEKVIAKNCCFWIC >KJB26214 pep chromosome:Graimondii2_0_v6:4:56609824:56613606:-1 gene:B456_004G230400 transcript:KJB26214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVRNPNMRSGDMLEGMLSDYVGGKAKAKAPKNASSRLVTALTCLQFAFAVYATFLLYYMSPAIDLRTKPDFAWATRIARNMKQFIIPPHVLGRYQEAASFIRAEIPPITPSTICETEKLDFMQKKSNDVQMIKLKRELYDEILDFQSKTIGTETLAQLMAMKSKWDIRGPNKPKVTVLLNHFKRKTLCAQLDSLLHQTLPFHHVWVISFGSPNEQSLKRIVETYNDSRISFISSSYDFKYYGRFQMALQTEADLVYILDDDMIPGKKMLQILSHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSSELVKSLFIETPFTFMTGEDLHLSYQLQKYRNAGSFVLPVDPTDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWRALSAGYVTQWAAMYPQKIDALLYAHSLDEVKALAPLLEKFRSTVGKKAYIVVPGGSFCPCEDAAAALNWPKLVCRERRFKIFDLQIGALSGTSNSEVPVVQAVYSSMKGLIKIHNPSVVITVTDIDPNVKKALKMATETNVNGTALVLLPRPSVSKVLWMADLRSTALPNWNRMRVSVNIITQNRASSLTRLLKSLSDAYYIGDEIPISFNMDSKVDEATIKLVESFEWPHGPKTLRRRIIQGGLIRAVSESWYPTSDDDYGLLLEDDIEVSPYYYLWIKYALLAYHYDPQISLPELSSISLYTPRIVEVVKERPKWNPTDFFKRIHPNTPYLHQLPCSWGAVFFPKHWREFYVYMNMRFTEDAKSNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVRHDKADFEVPLLKEDFRPLLPNGKMPPTSKLPSLNLFNQPVSLKGLKAAGAKLGQDVLRCDNATEIVTVNHITGLPQQCSKFQ >KJB21522 pep chromosome:Graimondii2_0_v6:4:48769686:48770398:-1 gene:B456_004G179000 transcript:KJB21522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFVAKPETRADGSVDLMVWHCVILGKKRDWGGGYFPLTLNFSEEYPSKPPKCKFSNGFFHPSVYPSGIVCLSILSERRGWRPSITVKQILVGIQDLLDQPNATDAAQTEGHQLYNPNEYRKRVQQQVLQYPESL >KJB24945 pep chromosome:Graimondii2_0_v6:4:46741939:46742799:-1 gene:B456_004G169300 transcript:KJB24945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFADKDKFSSYLKKWQTLLCIINASLENVEEKQTSSRSLELWLSDLRDVAYDAEDIIDELTTEARRRQITEDPDAASPSCKVGRYFSACFLRLNPSTAKFSTKMEFRLKSLTARLEEAVAMKDALSLVENDRGIRERPRTNSLVDESCVYGRECDKEIVLHLLMNDSDDSVGDSSVVSIVGMAGVGKTTLAQLVYTV >KJB26748 pep chromosome:Graimondii2_0_v6:4:59357619:59358136:-1 gene:B456_004G257400 transcript:KJB26748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSATTIVFLLLLFVSTLCTLNNVNARSLDCTSSKDCINQCGSPGCPPPSTCTCVSGKCDCANVENFVESVFGSLNGHI >KJB26552 pep chromosome:Graimondii2_0_v6:4:58385737:58386372:-1 gene:B456_004G246900 transcript:KJB26552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTALTSPLSSSPSLCESETEELQRMPLVPPPLMKNKMYLSKQLSMCETSRDIAWERRRRQILRQQRGKNGLTDEDLYELKGCIELGFGFNEEEGQKLCNTLPALDLYFAVNRQLSPSPVSTPQSRRSSSTSSLGGRSSSFDSPVSEPADWKIYSPGENPQQVKTKLRHWAQAVACSLMQSY >KJB26799 pep chromosome:Graimondii2_0_v6:4:59639178:59642361:1 gene:B456_004G260900 transcript:KJB26799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSCKGLAMELVKCLSESDCVKVEKRSVRECAGEKSPCIPSECVGLRETYFNCKRGQVDMRARIRGNKGY >KJB24101 pep chromosome:Graimondii2_0_v6:4:33710592:33711959:1 gene:B456_004G127900 transcript:KJB24101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPTSADGVRGRKKSSRGHHRFVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEDAARAYDDAARALRGANARTNFELPPSASKTTANRVSLDNIEPFSFEEVCGTGNDADGFLGALKAKLLDGKGIKVLSPANRAALQPIVASNSSQNNKNVSRESRTTTVAPQGIRNMNPVQGPLNPGSSKPDLLNHDQVAANHIGVQWPQPQPGQSQIESMASMMWSNEPSFEAEWGTQMNQVPPNGFFNITTCTAAATSTWPLSGTTESTMDLSYSDQCPIELQMNMNGKTNMVSMPMSQIDETTEGVWPSHQQFEQCDNNGWSSANGSWDPYLYVSSVLG >KJB23999 pep chromosome:Graimondii2_0_v6:4:32330867:32334622:-1 gene:B456_004G124300 transcript:KJB23999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPREVPWKLNKNTVLLFQYHVICSFILSSASLSPPAIFLLQFFNSLPKHTQLLLPWNQSDSPSPNSHCQWAGVSCYSKKSFQVRALNLSGFGLSGVLNNSVPYLCLHQRMLSLDLSGNSFSGNIPQILGNCSQLNTILLNDNGFEGSIPHQIFMSKWLRKIDLGYNSLSGGIPPEVSLCTNLEYIGLYNNFLSGVVPDEMFSLPNLKFLYLNTNNLSGSVPDFPPSCTILDFWIHENGFSGSLPRTLGNCYNLTTFIASYNKFQGVIPPETFEGLLQLEVLYLGENNVEGEIPNTLWSLQNLQELILSGNKLNGTISERIAQCNQLVAIALSGNNLVGPIPRSIGNLTGLANLFIYSNKLNGSLPPELGNCTSLVELRLQHNFIGGSIPPEICNLKNLEVLFLFNNHIEGHIPQEIGRIRNLVQLALYNNSLTGRIPSEIVHLKKLRFLSLAQNDLVGEVQFELSKNFPALVRLDLSGNRLNGSIPSGICAGYNFSVLALANNRFSGSFPSDIGKCSSLRRVVLSNNNLQGHIPAYLEENPGIFFLEVQGNLLEGKIPPVFGHWTNLSMLDFSSNRLSGSIPPELGKLGNLQILRLSSNRLTGSIPSELGHCEKMIKLDLKSNYLSGNIPPEIISLPNLQNLLLQENKLNGHIPDSFSSLQSLIELQLGANMLEDPIPCSLSNLHHFSSVLNLSNNRYSGEIPACLGKLDKLQILDLSSNSFSGEIPADVNNMISLYFVNISFNHLRGKLPSVWMRIVASYPGSFVGNPELCLLGDETGNCRETEKGNSRGRVLAGIVIAVVVSVALLCAMIYTLVVRRLQKKHSIDQTVLYKRQSRTEDLPENLKIEDIIRATEGWSEKYIIGRGKHGTVYRTETSNSRNHWAVKKVNLSSTNFKLEMRTLGLIRHRNILRMAGYCIRDGYGFIVTEYMPGGTLFDELHQSQPRLVLNWDTRYRIAFGIAHGLSYLHHDCVPQIIHRDIKSDNILLDSEFEPRIGDFGMAKLVGDEDSSSTRSAIVGTLGYIAPEKCDVYSYGVVLLEMLCRKLPVDPSFEDGLDIVSWTRRNLEENEEYICFLDEEINLWTDDEQQRALALLELALQCTQSMADTRPSMRDVVASLIRLNHKHEKSINNT >KJB23401 pep chromosome:Graimondii2_0_v6:4:15358045:15362947:1 gene:B456_004G096400 transcript:KJB23401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTIICFGRKLEHFSSNSRSKLSCFNQSYRGLISTCFRFLVFRVNPFWVQLAYFIVVSLVGFGALKMSKPKSGSVSPNNIDVFFTSVSATTVSSMSTVEMEVFSNTQLIIITILMLVGGEVFTSMLGLLLGRFSFEKRPSFDGDIVNSISNRGLQSDCSLTSKNISQEVELGRITCSALANEKPITDLESNKNLTSDSKSLKYKATGYLGYLVLGYLLVVHAVGSSLVSMYVSLVPSARKILKTKGIDIRTFSFFTVVSTLANCGFVPTNENMIVFKKNSGLLLLLIPQILIGNTLYPACLRALIWVLDKTTKKVEFSYILKNWKEMGYSHLLSGLHSSFLAATVFGLTLVQFILFCSMEWNSETMDGFSSYQKVVGSLFQVVNSRYAGESVVDLSTISSAILVLFVVMMYLPPYTSFIPIKYQKKEIEKGKEKGSQNESAGKSILECVLFSQISYLAIFIILICISERQKLKEDPLNFNVLNITIEVVSAYGNVGFSTGYSCKRRLKGEQWCTDSCAGFAGRWSNTGKFILIVVMFFGRFKNFSFKYGKAWKLS >KJB25893 pep chromosome:Graimondii2_0_v6:4:54699225:54700714:-1 gene:B456_004G214100 transcript:KJB25893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAVAAKGGRGRGRGKPTAKAVSRSSKAGLQFPVGRVARFLKKGRYAERVGSGSPVYLSAVLEYLAAEVLELAGNAARDNKKNRIIPRHIQLAVRNDEELSKLMGGVTIANGGVLPNINQSLLSRKAGKGNRGTCTS >KJB25894 pep chromosome:Graimondii2_0_v6:4:54699695:54700581:-1 gene:B456_004G214100 transcript:KJB25894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SERTFSFLYRPQTAEIKSHHLPPKSISNVSKVSIPSKQKIKKSATMSSAAVAAKGGRGRGRGKPTAKAVSRSSKAGLQFPVGRVARFLKKGRYAERVGSGSPVYLSAVLEYLAAEVLELAGNAARDNKKNRIIPRHIQLAVRNDEELSKLMGGVTIANGGVLPNINQSLLSRKAGKGKVETGSTSQEF >KJB22663 pep chromosome:Graimondii2_0_v6:4:5786233:5789721:-1 gene:B456_004G059600 transcript:KJB22663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITPFLSLAVPTWKQLSICSKQVTPCCYSRIGSIPCRRKGGVDVGRKGNGRRLLVFACSTTPYVRGIGSQRVSIGNKTDGGATKGDLSRALSAMLPFVVAATAVASLVQPSTFTWVSKELYAPALGGIMLSIGIKLSLDDFALAVKRPLPLSVGLIVQYMLKPGLGVLIAKAFGMSPMFYAGFILTSCVAGAQLSSYASFLSKGDVAVSILLTSFTTIASVLLTPLLTGLLIGSVVPVDAVMMSKSILQVVLVPVALGLVLNTYAKPIVTILRPVMPFVAMICTSLCIGSPLALNQSQILSKDGLQLVLPVLAFHAVAFAIGYWILKIPAFRQREEVCRTVSLCGGMQSSTMAGLLAIQFLGGCSQAVPAACSVIAMAIMGLSLASFWGSGYRLRDLPSLLSPQTGPAVQEAQ >KJB26921 pep chromosome:Graimondii2_0_v6:4:60162741:60166135:1 gene:B456_004G266300 transcript:KJB26921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSMEEVSVDWRGKPCKANKHGGMSAAIFVLGLQAFEMMAVAAVGNNLITYVFNDMHFPLSKSANVVTNFIGTVFLLSLVGGFLSDSYLGSFWTMLVFGFVELFGFILLCIQAHVPQLRPPYCDMVSNGDHCEEAKGYKAIILFIALYLVALGSGCLKPNIISLGADQFTRENTKQSKKLSTYFNIAYFAFCMGELIALTLLVWVQTHSGMDVGFGISAAVMGIGVISLASGTVLYRNKPPRGSIFTPIAQVLVAAITKRKQICPSSVEMLNVSRNNGPNQVFDTSFNTENLLHTNKFKFLDKACIRTQDGKNESPWRLCTVSQVEQVKIIISVVPIFACTIIFNTILAQLQTFSVQQGSTMNTKIIDGFEIPPASLQSIPYIMLIFVVPLYETVLVPTAQKITGNDSGITPLQRVGIGLFVATFSMVSAALVEKKRRVSALHHDETMSIFWIAPQFLIFGVSEMFTAVGLIEFFYKQSLEGMQSFLIAMTYCSYSFGFYLSSILVSLVNQITRSKSHGGWLSDNDLNKDRLDLFYWMLAALSLINFFNYVFCSKFFSYNPSLSNGGPCHDDPKARQGSLEEVYDI >KJB24827 pep chromosome:Graimondii2_0_v6:4:45409582:45412235:1 gene:B456_004G163000 transcript:KJB24827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRQQPCVASSVINGIKADGKRPDGSLCTKDGSLDRYGRPAIKGRTGGWRCAMFILVNQGLVTLAFAAVEAANTFSRWMGTMYLFSLMGAFLSDSYLGRYLTCVSFQVLYLIGLIALSLVTQLSLLKPQGCGKLGQLCEPHSSAETVVFYFDEEDNTEKQSKISFYSYFYVALNMGSLVVETVLVYIQNLGNWILGFWICAACAALAFCLLLWLYEVERKQGKNNGVRRILHTNGFKYLDRASIITLVDVVKPANPWHLCTITQVEVKSIVKLLPIWFCTVLSSVVMFAFDIISTSTFILLYDKLIVPLYIKVTKREPKPPSELQRIGIGIAMAMASMIIAVIVEQQRLKHADSKNTRGLSIFWQIPQYVLVGVSEAFVYVAQMEFFATQTPDGLKSLGIGLSMSSSAMVSYICSIILTTVMAITSTNGEAGWVPPDLNDGHLDRYFFLLAALTAINLVLFVICAKR >KJB22119 pep chromosome:Graimondii2_0_v6:4:2411566:2413113:-1 gene:B456_004G030500 transcript:KJB22119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLLLSLLFSFVILASAADFNQDIDVTWGDGRGKILENGNLLTLTLDKFSGSGFQSKNQYLFGKIDMNIKLVPGNSAGTVTTYYLRSEGSTWDEIDFEFLGNLSGDPYTVHTNVYTQGKGEKEQQFYLWFDPTKDFHTYSLLWNPQRIIFSVDGTPLREFKDLESMGVAFPKSQPMRVYSSLWNADDWATRGGLVKTDWSQAPFTAAYRNYKADACVWSSGKSSCSSTSPSQNAWLSQELDITSQERLKWVQKNYMIYNYCTDTKRFPQGLPKECTAP >KJB24215 pep chromosome:Graimondii2_0_v6:4:36612581:36614980:1 gene:B456_004G133500 transcript:KJB24215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFQTISFIVFLFSLQPSASVEICRVSCGNQLVRFPFRLSSQPDRCGYPPFNLSCKDQAQTVLSLPFSGEFNVVHIDYLFQNIWLNDPDHCTPKRLLHGLNLSSTPFDSLYPRSFTFFNCSAAASTQLHQAKYISCLSGTNFSVVALPADRLDSSASLSTSCLEIATVLVPFSWTGWSNLGNGIMLTWNEPNCLRCENGAGNCMFKSETGLDVGYSGGFTNGLSRHAKYGIMFGAGIPVLFIVGLVIYLRNKVEEDYNNRHPNQPELLSTTPRLDASVKGLDGQTIEAYPVTLLSESRRLPRPNDNTCPICLSEYQAKEMLRTIPDCEHYFHAVCIDEWLKLNAACPLCRNTPQTLAPIVSSSS >KJB24807 pep chromosome:Graimondii2_0_v6:4:45239863:45243867:-1 gene:B456_004G161700 transcript:KJB24807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPILSTAVLSLVIGAVIAFVFFRSYLLKQRSEVQTIAKPDLHSDPKKHSKPPQHVSRKSHSKSHAHASDKDSNKRHHPLDLNTLKGHADSVTGLCFSPDARNLATACSDGVVRIFKLEDASSKSFKFLRINLPAGGHPIAVAFSDDASSIVVASQAMTGCSLYMYEEEKPKTANGPKQQTKLPPQIKWEHHKIHEKQAILTLTGSTATYGTADGSTIIASCSEGTDITLWHGRTGKVLGHVDTNQLKNNMATISPNGRFLAAAAFTADVKVWEIVYSKDGSVKEVSKAMQLKGHKSAVTWLCFSPNSEQIITASKDGSIRIWNINVRYHLDEDPKTLKVFPIPLQDSSGSTLHYDRLSLSPDGKILATTHGSTLQWLCMETGKVLDTAEKAHDGDITWISWSPKTIPLGNEQVVVLATASVDKKVKLWAAPSVISS >KJB22674 pep chromosome:Graimondii2_0_v6:4:5818525:5821978:-1 gene:B456_004G060100 transcript:KJB22674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKELERKKHEVLSMKVPIKVIKFELKFEVTRDFGIPGAFVVENRDKKHEFFLKSVTLHYIKHPDLEERKFHFYCRSWVYPITKTGFKRIFFSDQLYLPKETPKGLVELRKKELLKLQAPRLKGEWNPWDRIYDYDVYNDLRDPEKGRSYDRPVLGDSTKFPYPRRLKTSHLNCQHVDHSRESGPARCFQFYVPPDERMSNEKLQELKNNFVEALIRFLAPESTPPHLWDQECPDFVTKVAHFFVPKAAISKKDVRFIRSIIDFCRKLKLPSSHGGPNRSDPSEEQDIFEDIIGFYADKEVEELDDSDKQRLEKLVPKEILDQVVATLALKRQHVSAQLPSIIAEEKFAAFAEEEFGRQMLAGTNPVRIRRLKEEDMEIFQERYPGIELKDLESLLKEPMEANRAFILDHNYFEQFLKMINGKGVCAYATRTILIADESSYETIIPVAIELSLPEDSDGGRSKFLVEANCSPVLWELAKFHVASNDAAYHQLVSHWLHTHAVVEPFIIATRRRLSVIHPIHRLLDPHFKDTLHINALARAIFLNAGGILETLLFTGEYSMELSSYLYKEWRFDKQALPEDLLERGMAKPRVRDEVVSGLMEHVERDKSSNKAATGAEQKKFEVDAEVELVLEDYPYAKDGIEIWTAIETWVTEYCNIFYHNDNDVKEDEEIQEWWNEIQTEGHADRKEGWYDINTFESLVKALTTLIWITSGLHAAVNFGQYGYGGWPPNRPMLLRKFLPKEEEMEGKHIMKFVEEMLPDKFQMKLAIAVMDLLSRHTSDEVYLGQTSPQKERPFIEDHESIIQKKFQEFRENLEAIERNINERNKEYLLMNRWGYAKIPYKLLYPDTSKSMRPTSKEKGKYHPEKTDINERGIPNSISI >KJB24981 pep chromosome:Graimondii2_0_v6:4:47015407:47019942:-1 gene:B456_004G170600 transcript:KJB24981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVETEQGETGKSVMCVLTDPEGTTLGGTMYLPQNVGPIQLQQIVNTLLKNEEKLPYAFYISNQELLVPLGNYLEKNKVSMEKTLSIVYQPQAVFRIRPVNRCSATIAGHTEAVLSVAFSPDGRQLASGSGDTTVRLWDLNTQTPMFTCTGHKNWVLCIAWSPDGKHLVSGSKSGELQCWDPQTGKPAGNPLTGHKKWITGISWEPVHLNAPCRRFVSASKDGDARIWDISLKKCVICLSGHTLAVTCVKWGGDGVIYTGSQDCTIKVWETSQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTGKQYSSPEEMKKVALERYNKMKGNAPERLVSGSDDFTLFLWEPAVSKHHKVRMTGHQQLVNHVYFSPDGQWVASASFDKSVKLWNGTTGEFVAAFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTKKLKQDLPGHADEVFAVDWSPDGEKVASGGKDRVLKLWMG >KJB23150 pep chromosome:Graimondii2_0_v6:4:10390217:10391084:1 gene:B456_004G083700 transcript:KJB23150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQSSCPKVVFEKIFRSITLGPAFTTIRRISNRQQTPSSTARNSPQPPKPSSKQGSEVPIKFDYATAPPTEKPKPVASVGNAHQLGGSKLKPSVAKGNGKSQVQNHDDIFAKFIHETRRKITSPEPDNGEISQKVGGHGSGRKDHHFSDYIKQTKRKIKSTLSSKDRSESFFK >KJB23484 pep chromosome:Graimondii2_0_v6:4:18564809:18566662:1 gene:B456_004G101400 transcript:KJB23484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSWVETGDILVGKLTPQVAKESSYALKDRLLRAILGIQVSTSKETCLKLPIGGRGRVIGVRWKCEIKVGDKVARKHGNKGIISKILPRQDMPYLQDGRPVDMVFNPLRALSRINVGQLFECSLGLAGSLLDKHYRIAPFDERYEQEASRKLVFSELYQSSKQTANPWVFEPEYPGKSRIFDGRMGGPFEQPVIIGKPYILKLIHQVDDKIHGHSSGHYALVTQQPLRGRSKQGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIRAHQEVLGTTIIGGTIPKPEDDPESFRLLVRELRSLEGSLIGMNQNFSSMIDRYKHQQLRIGSVSSQQISAWAKKILPNGEIVGEVTKPYTFHYKTNKPERDGLFCERIFGPIKSGIYA >KJB26995 pep chromosome:Graimondii2_0_v6:4:60582883:60585125:1 gene:B456_004G270800 transcript:KJB26995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYASSPAAAAAAIGTCFKPKFPVSKRHNISCSLQAPSFLHLPKQSSSSSPTTEKPQQWNPFQRVAAMALDVVENALVSHESQHPLPKTADPRVQIAGNFAPVPEQPVKHRLPVIGTIPDCIQGVYVRNGANPLHEPVAGHHFFDGDGMVHAVQLKNGSASYACRFTETNRLVQERDLGRPVFPKAIGELHGHSGIARLLLFYTRGLFGLVDPSHGTGVANAGLVYFNGHLLAMSEDDLPYHVHITPAGDLETIGRYNFDGQLNSTMIAHPKVDPQSGEFFALSYDVIQKPYLKYFRVSPDGKKSPDVEIPVEGPTMMHDFAITENFVVIPDQQVVFKLPEMIHGGSPVVYDKNKMSKFGVLAKNAIDGSEIKWVEAPDCFCFHLWNAWEEPETDEIVVIGSCMTPPDSIFNESEESLKSVLSEIRLNLKTGKSTRRPIISESEQVNLEAGMVNRNLLGRKTRFAYLALAEPWPKVSGFAKVDLSTGEVKKYMYGDHRYGGEPLFFPLNLSKNEDDGYILAFVHDERTWKSELQIVNAMDLQLEATVKLPSRVPYGFHGTFISSKDLEKQA >KJB27294 pep chromosome:Graimondii2_0_v6:4:61861830:61863509:1 gene:B456_004G289300 transcript:KJB27294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLREVAGTGDTDALYALIRKDPYMLEHIDQIPFIDTPLHIAANEGQIKFAMEMINLKPSFGRKLNQDGFSPMHLALKMGHTKLVLRLLKTDKDLVRVKGREGMTPFHCAAAVGNSNLLFHFLETCPECVEDVTVRNETALHLALKNDHTDAFNLLHGWLRKNRRGGGNGLERKVVNWRDDDDNTVLHIAATNQQHQAVQLLLDSFYGLDANAKNSEGLTARETIERVERQGLNMSGAEDDDISTAKIERIKKRTSRSERALVKLIRARNGLSENMLNATLVVAALVITAIYQSSLSPPRGLWQVVRSVWLSKQPKYREIRQLRRLFRK >KJB27295 pep chromosome:Graimondii2_0_v6:4:61861830:61863515:1 gene:B456_004G289300 transcript:KJB27295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLREVAGTGDTDALYALIRKDPYMLEHIDQIPFIDTPLHIAANEGQIKFAMEMINLKPSFGRKLNQDGFSPMHLALKMGHTKLVLRLLKTDKDLVRVKGREGMTPFHCAAAVGNSNLLFHFLETCPECVEDVTVRNETALHLALKNDHTDAFNLLHGWLRKNRRGGGNGLERKVVNWRDDDDNTVLHIAATNQQHQAVQLLLDSFYGLDANAKNSEGLTARETIERVERQGLNMSGAEDDDISTAKIERIKKRTSRSERALVKLIRARNGLSENMLNATLVVAALVITAIYQSSLSPPRGLWQGDNTSIPTTNSNLTTTTKFKLFNDNYKTRKTGTAVMNPNLFLGFWFFNFIAFGLPVLLTVLLLSNVPSILLIPLYYLSVSYFNCMTIVSPSTFWANLNFVVMRTAILLPLLLVVRSVWLSKQPKYREIRQLRRLFRK >KJB22312 pep chromosome:Graimondii2_0_v6:4:3485316:3487462:1 gene:B456_004G040600 transcript:KJB22312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLDDIPSTPGKFKMEKSPFIHSRMRWHWQSSLAKLTFWSIVFFCLILIFFFRSPSSNPLLQDPYRRSLRTYNWGGPAWEKRVRSSARVRSRNGFSVLVTGAAGFVGTHVSSALKKRGDGVLGLDNFNDYYDPSLKRARQELLERSGVFIVEGDINDSALLMKLFEVVAFTHVMHLAAQAGVRYAMENPGSYVHSNIAGFVNLLEVCKSANPQPAIVWASSSSVYGLNNKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKRKPIPIFEAANHGTVARDFTYIDDIVKGCLAALDTAEKSTGTGGKKKGPAQLRVYNLGNTSPVPVSTLVSILERLLKVKVKRNIMKLPRNGDVQFTHANVSLAQRELGYKPTTDLQTGLKKFVKWYTSFYSGGKKAAG >KJB21619 pep chromosome:Graimondii2_0_v6:4:282822:283326:1 gene:B456_004G004500 transcript:KJB21619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQLGVLNALDAAKTQWYHFTPIVIAGMGFFTDAYDLFSISLITKLLGRIYHFDALSKKPGTLPPHIATAVNGVAFCRTLAGQLFFGWLGDKLGQKRVYGLTLMLMMICSIASGLSFGKSPDGVMATFCFFRFWLGFHML >KJB24340 pep chromosome:Graimondii2_0_v6:4:39569505:39572866:1 gene:B456_004G140500 transcript:KJB24340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKTAYSFPDEILEHVFSFIQSDKDRNAASVVCKSWYEIERWCRRKVFVGNCYAVSPTMVIRRFPDIRSIELKGKPHFADFNLVPDGWGGYVLPWISKMAGAYPWLEEIRLKRMVVTDESLELVAKSFTNLQVLVLFSCEGFSTDGLAAIAAGCKNLRELDLRDSEVDDLSGHWLNHFPDTYTSLVSLNISCLGSDDVSFSALERLVGRCPNLRRLLLNREVPLDKIANLLSRAPQLIEFGTGTYAAELRSDVYSNLVEAFCNCKELKSLSGFWDAVPAYLPVIYSVCSRLTSLNLSYAPIQNPDLTKLVSQCPSLQRMLVLDYIEDSGLEVLASSCKDLQELRVFPSDPFGEEPNVSLTEKGLVAVSSGCPKLQSVLYFCRQMSNAALVTIAQNCPNFTRFRLCLLDPKIADYRTLQPLDVGFGAIVEHCKDLRRLSLSGLLTDCVFEYIGMHAKKLEMLSVAFAGESDLALHHVLSGCESLRKLEIMNCPFGDKALLANAAKLETMRSLWMSSCSVSLGACKMLGQKMPRLNIEVMDEKGPPDSRAESDPVDKLYIYRSVAGPRFDMPPFVWTMDEDFASELS >KJB24339 pep chromosome:Graimondii2_0_v6:4:39569505:39572849:1 gene:B456_004G140500 transcript:KJB24339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKTAYSFPDEILEHVFSFIQSDKDRNAASVVCKSWYEIERWCRRKVFVGNCYAVSPTMVIRRFPDIRSIELKGKPHFADFNLVPDGWGGYVLPWISKMAGAYPWLEEIRLKRMVVTDESLELVAKSFTNLQVLVLFSCEGFSTDGLAAIAAGCKNLRELDLRDSEVDDLSGHWLNHFPDTYTSLVSLNISCLGSDDVSFSALERLVGRCPNLRRLLLNREVPLDKIANLLSRAPQLIEFGTGTYAAELRSDVYSNLVEAFCNCKELKSLSGFWDAVPAYLPVIYSVCSRLTSLNLSYAPIQNPDLTKLVSQCPSLQRMLVLDYIEDSGLEVLASSCKDLQELRVFPSDPFGEEPNVSLTEKGLVAVSSGCPKLQSVLYFCRQMSNAALVTIAQNCPNFTRFRLCLLDPKIADYRTLQPLDVGFGAIVEHCKDLRRLSLSGLLTDCVFEYIGMHAKKLEMLSVAFAGESDLALHHVLSGCESLRKLEIMNCPFGDKALLANAAKLETMRSLWMSSCSVSLGACKMLGQKMPRLNIEVMDEKGPPDSRAESDPVDKLYIYRSVAGPRFDMPPFVWTMDEDFASELS >KJB24580 pep chromosome:Graimondii2_0_v6:4:43296169:43298318:1 gene:B456_004G152300 transcript:KJB24580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGDDAQSMMESQYIQRHHRHNIRDDQCTSALVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCIMKGDLGIGSVREVNVKSGLPATTSTERLELLDDEEHILGIKIVGGDHRLRNYSSIMTVHPEVIEGRPGTMVIESFVVDVPEGNTTDETCYFVEALIRCNLKSLADVSERMAVMDQTEPINRY >KJB24579 pep chromosome:Graimondii2_0_v6:4:43296191:43298477:1 gene:B456_004G152300 transcript:KJB24579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGDDAQSMMESQYIQRHHRHNIRDDQCTSALVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCIMKGDLGIGSVREVNVKSGLPATTSTERLELLDDEEHILGIKIVGGDHRLRNYSSIMTVHPEVIEGRPGTMVIESFVVDVPEGNTTDETCYFVEALIRCNLKSLADVSERMAVMDQTEPINRY >KJB23650 pep chromosome:Graimondii2_0_v6:4:22508569:22511521:-1 gene:B456_004G108900 transcript:KJB23650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCFWVCFISLLNFYSLSFVSSQADASAEGTVFIDGKAPIGRIDDDFICATLDWWPPEKCDYGTCSWGLAGLLNLDLNKNLFLNAVKAFSPLKIRLGGTLQDKVIYDSDDNQLPCTSFVKNTSEMFGFTQGCLPMNRWDDLNSFFQKAGAKVIFGLNALAGRTIASDGSAVGAWNYTNAESFMQYTVDKNYTIHGWELGNELCGSGVGTRVSADQYAADTAALQSIVQKIYQNVDLKPLIITPGGFYDSDWFTEYIDKTNKSLDVVTHHIYNLGPGVDDHLVEKILDPSILEGVSGTFSGLHNIIKNSTTSAAAWVGEAGGAYNSGHNLVTNAFVFSFWYLDQLGMASKYDTKTYCRQSLVGGNYGLLNTTNFEPNPDYYSALLWHRLMGRNVLSTSFTGTDKIRSYTHCAKQSKGITLLLINLNNSTTVQAKLAFNSTMSLRHKHRSRISHNKHRIHKTTIIKLPQGIDGKIRREEYHLTAKDGNLQSQSMLLNGNILSVSSSGIIPHLEPLHVKSAKPIMVAPLSIVFTHMPDVTVPACKVQP >KJB23649 pep chromosome:Graimondii2_0_v6:4:22508119:22511632:-1 gene:B456_004G108900 transcript:KJB23649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCFWVCFISLLNFYSLSFVSSQADASAEGTVFIDGKAPIGRIDDDFICATLDWWPPEKCDYGTCSWGLAGLLNLDLNKNLFLNAVKAFSPLKIRLGGTLQDKVIYDSDDNQLPCTSFVKNTSEMFGFTQGCLPMNRWDDLNSFFQKAGAKVIFGLNALAGRTIASDGSAVGAWNYTNAESFMQYTVDKNYTIHGWELGNELCGSGVGTRVSADQYAADTAALQSIVQKIYQNVDLKPLIITPGGFYDSDWFTEYIDKTNKSLDVVTHHIYNLGPGVDDHLVEKILDPSILEGVSGTFSGLHNIIKNSTTSAAAWVGEAGGAYNSGHNLVTNAFVFSFWYLDQLGMASKYDTKTYCRQSLVGGNYGLLNTTNFEPNPDYYSALLWHRLMGRNVLSTSFTGTDKIRSYTHCAKQSKGITLLLINLNNSTTVQAKLAFNSTMSLRHKHRSRISHNKHRIHKTTIIKLPQGIDGKIRREEYHLTAKDGNLQSQSMLLNGNILSVSSSGIIPHLEPLHVKSAKPIMVAPLSIVFTHMPDVTVPACKNIHPTLDLWMK >KJB24638 pep chromosome:Graimondii2_0_v6:4:43937416:43938380:-1 gene:B456_004G154800 transcript:KJB24638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSPNYLTNLGLGYSIAIALGFLVLLSTILLASYICCRSSSSSSSSPRAFSPNATVASASDGINLPRIIFVAEDDDNENAAVGLDQAVINSYPKFQFSKDRSAAVDSSNVNTTCSICLCEYKDSEMLRMMPECRHYFHVPCLDAWLKLNGSCPVCRNSPLPTPLSTPLSEVVPLSQYAADRRSRR >KJB26315 pep chromosome:Graimondii2_0_v6:4:57737086:57738088:1 gene:B456_004G239100 transcript:KJB26315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFFICSPKFLFFYLLIVQSFVSETISFEDNKPTAYEVLMDFNFPAGLLPAGVTGYDLDPITGEFSAFLNGTCTFTLQRTYKLRYKNTIRGYISNGKLARLEGISVKFLFFWVNVVEVSRNGDELEFSVGIAGAGFPMDSFEDSPQCECKLICNDQKVRKIREIPFVSA >KJB27393 pep chromosome:Graimondii2_0_v6:4:1709622:1714069:1 gene:B456_004G022600 transcript:KJB27393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSSLFFFLGGVVVLIILLIIVLMYWKIWKPAGIKSFISESRRHAASTDFFSGDLRTIFYFDFRTLKRATKNFHPNNLLGKGGFGPVYKGKLKDGRMVAVKRLSHDKSQQGESEFLAEVRLITSIQHKNLVRLLGCCSDGSQRLLVYEFMKNGSLDHIIYGKKVYLNWETRFQIILGVARGLQYLHEDSHLRIVHRDIKASNILLDDKFHPRIGDFGLARFFPEDEAYLSTTFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIVSCRKNTDLTLPSEMQYLPEYAWKLYERSRVLDLVDPRLKQLGSLVEKDVVRVVNVAFFCLQLHPNLRPPMSEIVTMLTCTPEMVGTPSRPAFFNRSRRKKNSEKLSYVSWDTMPDPFPSPLESESTPSTQAHTQLPC >KJB27392 pep chromosome:Graimondii2_0_v6:4:1709622:1712511:1 gene:B456_004G022600 transcript:KJB27392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSSLFFFLGGVVVLIILLIIVLMYWKIWKPAGIKSFISESRRHAASTDFFSGDLRTIFYFDFRTLKRATKNFHPNNLLGKGGFGPVYKGKLKDGRMVAVKRLSHDKSQQGESEFLAEVRLITSIQHKNLVRLLGCCSDGSQRLLVYEFMKNGSLDHIIYGKKVYLNWETRFQIILGVARGLQYLHEDSHLRIVHRDIKASNILLDDKFHPRIGDFGLARFFPEDEAYLSTTFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIVSCRKNTDLTLPSEMQYLPEYVSNF >KJB24106 pep chromosome:Graimondii2_0_v6:4:34211892:34213031:-1 gene:B456_004G128500 transcript:KJB24106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIFSSFDALWGELLGQAVRYSFASTTNKDGVRLFSGRVKVTTEEDMKKKQQGNVEKKQQRAPRFAPELDGLHCFETLVSY >KJB26609 pep chromosome:Graimondii2_0_v6:4:58749979:58750527:1 gene:B456_004G250000 transcript:KJB26609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLDSTQKIRRIQDPNFVKKNYILQMHIHYAEEIDPSSVYSEVHWRNYRVVFWVNPSDQYETGASRGYPIFIWEQLFNIPLINVVISEHKFLSLEVMRIGGNPGPSRGYIVVGRAKVALPKVLGIKECQRVGLVRFVDGQTVGEGHIIISLTLIQVNFHWRDMLFH >KJB24870 pep chromosome:Graimondii2_0_v6:4:46110407:46114385:-1 gene:B456_004G165600 transcript:KJB24870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSQSTNFPTIIFILFSFVLIPTINAVPFIVLHGISDKCSGHGVTRFTKLLSSWSNSQGYCVEIGDGSWDSWTMPLLEQTSIACEKVKNMTELSQGYNMVGLSQGSLIGRGIIEFCDGGPPVKNFISLAGPHAGIASIPFCESTVICIFLDSLIKSEVYSNFVQEHLAPSGYLKIPTDITDYLKGCRFLPKLNNEIKGARNSTYKERFASLQNLVLIMFEDDTVLVPKETSWFGYYPDGAFDPILPAQETELYKEDWIGLKTLDEAGKVKFVNVSGSHLKISESDMKKYIVPYLGDQPSTESSSYEWLWRLWYLTKDVIGLKEDQPLMHTTY >KJB24872 pep chromosome:Graimondii2_0_v6:4:46110428:46113144:-1 gene:B456_004G165600 transcript:KJB24872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLEQTSIACEKVKNMTELSQGYNMVGLSQGSLIGRGIIEFCDGGPPVKNFISLAGPHAGIASIPFCESTVICIFLDSLIKSEVYSNFVQEHLAPSGYLKIPTDITDYLKGCRFLPKLNNEIKGARNSTYKERFASLQNLVLIMFEDDTVLVPKETSWFGYYPDGAFDPILPAQETELYKEDWIGLKTLDEAGKVKFVNVSGSHLKISESDMKKYIVPYLGDQPSTESSSYEWLWRLWYLTKDVIGLKEDQPLMHTTY >KJB24873 pep chromosome:Graimondii2_0_v6:4:46110635:46114117:-1 gene:B456_004G165600 transcript:KJB24873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSSCCTDGLINLCDSLLACFVAGISDKCSGHGVTRFTKLLSSWSNSQGYCVEIGDGSWDSWTMPLLEQTSIACEKVKNMTELSQGYNMVGLSQGSLIGRGIIEFCDGGPPVKNFISLAGPHAGIASIPFCESTVICIFLDSLIKSEVYSNFVQEHLAPSGYLKIPTDITDYLKGCRFLPKLNNEIKGARNSTYKERFASLQNLVLIMFEDDTVLVPKETSWFGYYPDGAFDPILPAQETELYKEDWIGLKTLDEAGKVKFVNVSGSHLKISESDMKKYIVPYLGDQPSTESSSYEWLWRLWYLTKDVIGLKEDQPLMHTTY >KJB24871 pep chromosome:Graimondii2_0_v6:4:46110428:46112324:-1 gene:B456_004G165600 transcript:KJB24871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVSQVKNFISLAGPHAGIASIPFCESTVICIFLDSLIKSEVYSNFVQEHLAPSGYLKIPTDITDYLKGCRFLPKLNNEIKGARNSTYKERFASLQNLVLIMFEDDTVLVPKETSWFGYYPDGAFDPILPAQETELYKEDWIGLKTLDEAGKVKFVNVSGSHLKISESDMKKYIVPYLGDQPSTESSSYEWLWRLWYLTKDVIGLKEDQPLMHTTY >KJB24874 pep chromosome:Graimondii2_0_v6:4:46110428:46114324:-1 gene:B456_004G165600 transcript:KJB24874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSSCCTDGLINLCDSLLACFVAGISDKCSGHGVTRFTKLLSSWSNSQGYCVEIGDGSWDSWTMPLLEQTSIACEKVKNMTELSQGYNMVGLSQVKNFISLAGPHAGIASIPFCESTVICIFLDSLIKSEVYSNFVQEHLAPSGYLKIPTDITDYLKGCRFLPKLNNEIKGARNSTYKERFASLQNLVLIMFEDDTVLVPKETSWFGYYPDGAFDPILPAQETELYKEDWIGLKTLDEAGKVKFVNVSGSHLKISESDMKKYIVPYLGDQPSTESSSYEWLWRLWYLTKDVIGLKEDQPLMHTTY >KJB27289 pep chromosome:Graimondii2_0_v6:4:61824877:61826169:-1 gene:B456_004G288700 transcript:KJB27289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSLREAAATGNIDAFYALIQKDPYMLERIDHIPFIDTPLHIAATEGQIKLAMEMMNLKPSFARKLSQDGFSPMHLAFRNGHTKLLLRLLKTDKDLARVKGREGMTPFHCAATMGNSNLLFHFLEACPECIEDVTVRDETALHLALKNDLLEAFNLLTGWLQSNRRRGANELEKKVINWRDDDGNTVLHIAAIKYVTAKNSDGLTSREIIEKVERRGLNMSDATATKIKHIKKGTHWHKRRLTMLVRARNGLSGDMINATLVVATLVITAVYQSSLSPPRGVWQDDNYNKSRFKHLLGEETRKTGTTVMNPDMYYGFWVYNLIAYGLPVLLTVFLLSNVPRLLLIPLYYLSTLIIFLPM >KJB23447 pep chromosome:Graimondii2_0_v6:4:16541241:16541540:-1 gene:B456_004G098500 transcript:KJB23447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSITRPPMLESGNYPYWKTRMKAYIKFVDEKTYHFIHSSWQAHIVDSKPRKVFKPKFKWTTKEDRVSSANSKALYLIFYGIDLQEYKRVPKCTVAK >KJB26659 pep chromosome:Graimondii2_0_v6:4:59083030:59085754:-1 gene:B456_004G253600 transcript:KJB26659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPQLFPNGMPIPFTNEMFVLVRHGVEFEVDKIPGSQGGRVKAWGIIYLSNIRMVFVASRPVGNFFAFDMPLLYVHDEKFNQPIFHCNNISGQVEPVVPENEHRALYSTHSFKILFKEGGCGTFVPLFLNLIASVRRYNQQVNHEPQPRVDPLQAAQTPIDEMMRHAYVDPNDPTKIFLQQPTTESQLRRRTYQSQPVEGSM >KJB22897 pep chromosome:Graimondii2_0_v6:4:7805542:7806874:1 gene:B456_004G072500 transcript:KJB22897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYFACSTLLAHKTTMVALRHLRQISQEISKPNVTGWGRRPAALRALSLKLSKGFNEVVNGFTDEG >KJB23292 pep chromosome:Graimondii2_0_v6:4:12310215:12312575:1 gene:B456_004G090400 transcript:KJB23292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSLRCASVLVPFPFNNNKRNRGLLRAQLSDENDPLLRLAINSASLRLQETQRREPLFMDPYAGCLVPPHTRLNFENSSKHYCIATKFIDDKLLRTVNHMDGLKQVVLFSDGMDTRPYRLNWPSSTIIFDISPERVYQNAIEKLQDVGAKIPRSCLFLHVPLESSNIQEALHMKGFNGNRPSILAIQGLPLMTLASFKEILLTVSGMAMDGCLFLGELPAWLAETKIGTKSSTEKWMDNLFMSNGFKVNMVSYHKAAKSLGKALELGDHKYILFVAEQLRFSDDQMETWKIESQRVEEDRKGEDFE >KJB26819 pep chromosome:Graimondii2_0_v6:4:59682692:59692064:1 gene:B456_004G261500 transcript:KJB26819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVHFIPLIAERKGWGSCLCSIVPATALLSIVYLLGFSNVETENRETWGLADILQSSNVGNCKNQCKPPGTAPLPEGIIENTSNLEMRPLWGFPEKENTSTSLFAVAVGIKQKDLVDKMVKMFLSSDFVVMLFHYDGIVDEWKHFEWCDKVIHVSARNQTKWWFAKRFLHPDIVSEYSYIFLWDEDLGVEHFHPKVYMSIIEHEGLEISQPALDRSKSEVHHQITARESKSIVHRTAFKPGANGKHCDAHSKGPPCTGWIEMMAPVFSRAAWRCVWYMIQNDLIHAWGIDMQVGYCAQGDRTKNIGIVDAEYVVHHNRPTLGGMGAKNRSTAGGRRLDKGDRIKGIVVNDAYILRHYVKAKKKKKPRRPQDPRVEVRRQSYVELYFFRQRWEKAVKNDKCWVDPYH >KJB26158 pep chromosome:Graimondii2_0_v6:4:56175690:56181339:-1 gene:B456_004G227500 transcript:KJB26158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVINMPVDSLDRRRGGDRKDNNNNNNRQLPPSDDPNLSPPAPPPPRRRDRDSRERRDRDYYDRNRSPPPPPTRERDYKRRSSISPPPPPLNYRDRRHSPPPRRSPPYKRSRREDGGYEGRRGSPRGGFGPGDRRFGYDYGGGYDREMMGRPGYPDDRPHGRYFGRSSGGYQDWDSGRGRYGDASNSGTTQREGLMSYKQFIQELEDDILPAEAERRYQEYKSEYIATQKRAFFDAHKDEEWLRDKYHPTNLVTVIERRNELARKVAKDFFLDLQSGTLDLTPGVNALSANKSGQTSEPNSEDETDIGGKRRRHGRGPTKETDILSLAPKAHLVSSDPRRIQIDIGQAQGLVRKLDSEKGIEENILSGSDNDKISRDKSHGSFAGPVIIVRGLTSVKGLEGVELLDTLITYLWRVHGVDYYGMIETSEAKGFRHVRAEGKISDITSNGSEWEKKLDSYWQDRLRGQDPLEVMTAKDKLDAAAVESLDPFVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVIELTSKVREELYFQNYMNDPDAPGGTPVMQQPIPKDKPQRRKVMENRLKDERGLRRERDNRANGSDRFDRSENPQSSDFPSNNDGPDGGNHDDPMFDAFGGQGMHVAAPFSSDIAPPPVLMPVPGAGPLGPFVPAPPELAMQVFRERGGPPFEGNSRSGRPGPNLSGPAPFLLPPGFRQDPRRLRSYQDLDAPEDEVTVIDYRSL >KJB27398 pep chromosome:Graimondii2_0_v6:4:62053175:62054457:-1 gene:B456_004G292600 transcript:KJB27398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTKKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDQAAILMNGQNAKTNFPPATAHKDDSPLPPKALSELLNAKLKKCCKDQSPSLTCLRLDTDNAHIGVWQKRAGTRSSSSWVMRVDLGNKKTTTPPSEDGPALSSPPIADEIEGESHVLGEEDRIALQMIEELLNWNCPMVSTSSGV >KJB24676 pep chromosome:Graimondii2_0_v6:4:44489010:44491591:1 gene:B456_004G156900 transcript:KJB24676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEHGHGHGVQDFREKVWSMSGGPYCRPKHWRRNTAIAMFGVFLICIPIAMKSAELEQRPHQPVRPIPSQLWCKNFGNKDY >KJB24677 pep chromosome:Graimondii2_0_v6:4:44489131:44491570:1 gene:B456_004G156900 transcript:KJB24677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEHGHGHGVQDFREKVWSMSGGPYCRPKHWRRNTAIAMFGVFLICIPIAMKSAELEQRPHQPVRPIPSQLWCKNFGNKDY >KJB25026 pep chromosome:Graimondii2_0_v6:4:47373415:47375535:-1 gene:B456_004G172700 transcript:KJB25026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPDPNMPKTNTNTTNKMKLRKGLWSPEEDEKLMKYMLTNGQGCWSDIAKNAGLQRCGKSCRLRWINYLRPDLKRGAFSLQEEELIIHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTLKKRLKNNTSTSSSPNNSDSSEPRDVVGGIFPLHEHHAMTFCMDSISSSSTISMPPMGTGNQFDPFPLLNINRYDKTGGAAGLLDLPTCLTQGGLGEALYGDYGVLEPGKIALEGDFSLPPLESRSIEESNAAINSIDRKSNNNNHHHHHNNNTCFNNTDQSFKVGEAFGLENHHWEAENLRMGEWDLEGLMDNISSFPNFLDFQVE >KJB27296 pep chromosome:Graimondii2_0_v6:4:61881171:61881931:1 gene:B456_004G2894001 transcript:KJB27296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KNSEGLMAREIIENVERKGLNMSGEDDDTADKIKRIKKKLDTLELILIVLIRARNGLSENMINATLVTVALVITAVDQSSFSPPRGVWQVDNNSIPTTTSNVTTTALQIFDDNYNNSRFKHLLGQESRKTGTTIMNPDLYSMFWVCNLLTFELPLLSNVPSFLLIPLYYLSVSYFYSMTLISPSWFWENVNYILTWLAILLPLRLLRLIIRPLMSPAYKEYIRLHRAVTRANKVGIIQPLLRGNLVDILLCP >KJB24474 pep chromosome:Graimondii2_0_v6:4:41680395:41681615:-1 gene:B456_004G148200 transcript:KJB24474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAYLFITALFLVGLFSTQTDAAATWFSAHATFYGGSDASGTMGGACGYGNLYTDGYGTKTAALSTALFNDGKSCGGCYQIVCDAKKAPQWCLKSRYITITATNFCPPNYALPSDNGGWCNPPRPHFDMSQPAFETIAKYKAGIVPILYRKVKCERSGGLRFTINGRNYFELVLISNVGGAGEISMVWIKGSKTNKWETMSRNWGANWQSLSYLNGQSLSFRVQVSNGRIRTALNVVPSNWQFGQSFKSNIQF >KJB23846 pep chromosome:Graimondii2_0_v6:4:28572226:28574961:-1 gene:B456_004G117800 transcript:KJB23846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFSRKGASGFSASSTAEEVTQGIDGTGLTAVVTGASSGIGTETARVLALRGVHVVMAVKNMPTGREVKETIAKRNPNAKIDAMELDLSSLASVRKFAADFISSGLPLNILINNAGIMATPFMLSKDGIELQLATNHVGHFLLTHLLLETMKKTAQGRTEGRIVNVSSRRHKFSYPEGIRFDKINDQSGYNSLSAYGQSKLANVLHANELARRLKEDEVAITANSLHPGAIATNLFRHSNLINGRSNHMLCGIASTSYGEDWPLFCRQ >KJB23848 pep chromosome:Graimondii2_0_v6:4:28572377:28574827:-1 gene:B456_004G117800 transcript:KJB23848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFSRKGASGFSASSTAEEVTQGIDGTGLTAVVTGASSGIGTETARVLALRGVHVVMAVKNMPTGREVKETIAKRNPNAKIDAMELDLSSLASVRKFAADFISSGLPLNILINNAGIMATPFMLSKDGIELQLATNHVGHFLLTHLLLETMKKTAQGRTEGRIVNVSSRRHKFSYPEGIRFDKINDQSGYNSLSAYGQSKLANVLHANELARRLKEDEVAITANSLHPGAIATNLFRHSNLINGLVGLVGKYVIKNVEQGAATTCYVALHPQVTGKTGLYFADSNVAETSLQANDNALARKLWDFTFTLINNCPNNT >KJB23845 pep chromosome:Graimondii2_0_v6:4:28572377:28574827:-1 gene:B456_004G117800 transcript:KJB23845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFSRKGASGFSASSTAEEVTQGIDGTGLTAVVTGASSGIGTETARVLALRGVHVVMAVKNMPTGREVKETIAKRNPNAKIDAMELDLSSLASVRKFAADFISSGLPLNILMYNSLSAYGQSKLANVLHANELARRLKEDEVAITANSLHPGAIATNLFRHSNLINGLVGLVGKYVIKNVEQGAATTCYVALHPQVTGKTGLYFADSNVAETSLQANDNALARKLWDFTFTLINNCPNNT >KJB23847 pep chromosome:Graimondii2_0_v6:4:28572226:28574961:-1 gene:B456_004G117800 transcript:KJB23847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFSRKGASGFSASSTAEEVTQGIDGTGLTAVVTGASSGIGTETARVLALRGVHVVMAVKNMPTGREVKETIAKRNPNAKIDAMELDLSSLASVRKFAADFISSGLPLNILMYNSLSAYGQSKLANVLHANELARRLKEDEVAITANSLHPGAIATNLFRHSNLINGRSNHMLCGIASTSYGEDWPLFCRQ >KJB26491 pep chromosome:Graimondii2_0_v6:4:58176872:58178509:-1 gene:B456_004G244500 transcript:KJB26491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRGGGGFRGGRDGGRGRGGGRGGFGRGGGRGGGGFRDEGPPAEVVEVSTFVHACEGDAVTKLTNEKIPYFNANIYLQNKTQIGKVDEIFGPINESYFSIKMLEGIVATSYAPGDKFYIDPSKLLPLARFLPQPKGQAQGGGRGGRGGGRGGGRGGGRGGGGFRGRGAPRGGRGGPRGGGRGGGFRGRGRF >KJB22038 pep chromosome:Graimondii2_0_v6:4:2089850:2099031:1 gene:B456_004G026700 transcript:KJB22038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDPAFQGAGQKAGIEIWRIENFRPVPVPKSSYGKFFTGDSYVILKTTALKSGALRHDIHYWLGKDTSQDEAGAAAVKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHVQEEEHKIRMFVCRGKHVVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAIEDGKLMADAETGEFWGFFGGFAPLPRKTASDEDRTVQSHTAKLLSVEKGQAKPVDADSLTRELLDTNKCYILDCGLEVFVWMGRNTSLDERKTASGAAEELIRGSDRPKSQIIRVIEGFETVVFKSKFESWPQTTNVAVTEDGRSKVAALLRRQGLNVKGLAKAAPAKEEPQPYIDCTGNLQVWRVNGQEKVLLPASDQSKFYSGDCYIFQYSYPGEDKEEYLIGTWIGKQSVEDERVSAVSSATKMVESMKFQATQACIHEGNEPIQFFSIFQSFIVFKGGLSDGYKNYIAEKEIPEGTYTEDGLALFRVQGSGPDNMQAIQVEAVASSLNSSYCYILHSGSTVFTWAGNLTSPDDHELVERQLDIIKPNLQSKPQKEGSESEQFWELLGGKSEYPSQKTAREPEGDPHLFSCMFSKGNLKVTEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVDTKNKLQALTIGRKFLEHDFLLEKLSREAPIYIVMEGSEPPFFTRFFSWDSAKSSMHGNSFQRKLTIVKTGGTPTVDKPKRRTPVSYGGRSSSVPDRSQRSRSMSFSPERVRVRGRSPAFNALAAAFENPNARNLSTPPPVVKKLYPKSVTPDSAKKSAAIAALTASFEKQPPPARETIIPRSVKVSPPTPKTTPTPDPNSKENSMSSKLESLTIQEDAKEGEAEDEEGLPIYPYERLKITSTDPVSEIDVTKRETYLSSEEFKEKFGMKKDSFYKLPKWKQNKLKMALQLF >KJB22039 pep chromosome:Graimondii2_0_v6:4:2089805:2099031:1 gene:B456_004G026700 transcript:KJB22039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDPAFQGAGQKAGIEIWRIENFRPVPVPKSSYGKFFTGDSYVILKTTALKSGALRHDIHYWLGKDTSQDEAGAAAVKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHVQEEEHKIRMFVCRGKHVVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAIEDGKLMADAETGEFWGFFGGFAPLPRKTASDEDRTVQSHTAKLLSVEKGQAKPVDADSLTRELLDTNKCYILDCGLEVFVWMGRNTSLDERKTASGAAEELIRGSDRPKSQIIRVIEGFETVVFKSKFESWPQTTNVAVTEDGRSKVAALLRRQGLNVKGLAKAAPAKEEPQPYIDCTGNLQVWRVNGQEKVLLPASDQSKFYSGDCYIFQYSYPGEDKEEYLIGTWIGKQSVEDERVSAVSSATKMVESMKFQATQACIHEGNEPIQFFSIFQSFIVFKGGLSDGYKNYIAEKEIPEGTYTEDGLALFRVQGSGPDNMQAIQVEAVASSLNSSYCYILHSGSTVFTWAGNLTSPDDHELVERQLDIIKPNLQSKPQKEGSESEQFWELLGGKSEYPSQKTAREPEGDPHLFSCMFSKGNLKVTEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVDTKNKLQALTIGRKFLEHDFLLEKLSREAPIYIVMEGSEPPFFTRFFSWDSAKSSMHGNSFQRKLTIVKTGGTPTVDKPKRRTPVSYGGRSSSVPDRSQRSRSMSFSPERVRVRGRSPAFNALAAAFENPNARNLSTPPPVVKKLYPKSVTPDSAKKSAAIAALTASFEKQPPPARETIIPRSVKVSPPTPKTTPTPDPNSKENSMSSKLESLTIQEDAKEGEAEDEEGLPIYPYERLKITSTDPVSEIDVTKRETYLSSEEFKEKFGMKKDSFYKLPKWKQNKLKMALQLF >KJB22399 pep chromosome:Graimondii2_0_v6:4:4014043:4014984:1 gene:B456_004G045500 transcript:KJB22399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEFVEREKEERKMSTSELPRKEANVLKGHEGAVLAARFNSDGNYCLSCGKDRTIRLWNPHRGIHIKTYKSHGREVRDVHVTLDNSKLCSCGGDRQIFYWDVSTGRVIRKFRGHDGEVNAVKFNEYASVVVSAGYDRSLRAWDCRSHSTEPIQIIDSFLDTVMSVCLTKTEIIGGSVDGTVRTFDIRIGREISDDLGQPVNCISLSNDNNCILANCLDSTLRLLDRSTGELLQEYKGHACKSYKMDCCLTNSDAHVTGGSEDGSIFFWDLVDASVVSKFRAHSSVVTSVSYHPKDNCMITSSVDGTVRVWKT >KJB22231 pep chromosome:Graimondii2_0_v6:4:2990720:2991230:1 gene:B456_004G036400 transcript:KJB22231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLHSHLPSSIIPLFHRSLLPFPIPMQYPFQFPIPPCRPPISHSHLPSSIDSPLPPCPPPISHSHAIPIPIINNTNSSSSNNRNSNKGVNRMRLKRYGLVTLFIGWMKLFSTVASLIPARV >KJB21834 pep chromosome:Graimondii2_0_v6:4:1203853:1205707:-1 gene:B456_004G016800 transcript:KJB21834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPLLRDVGDAEFRSHSNNARITRVSSDKDYFPSRSMNQTVKTVRDKLNKIQSSTEHLQAGPTPKKTRVDNRRRI >KJB24275 pep chromosome:Graimondii2_0_v6:4:37950202:37954250:-1 gene:B456_004G136500 transcript:KJB24275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEQKKGGELGAEKKQNLCKKLSRRVLLVGNRKGRGPTTPLLSWRLYHPFAQLHHNTTTPSPPAAVSARKLAASLWEFYQFLQPPQPKMHRGANNTNGRYHQRRHNNLFKDKGIDFSHFLADPCPSSDPDQVAPYNPAVTPSSSLDFRGRVVESHYNLKTSTELLKVLNRIWSLEEQHVSNISLIKALKMELDHARVRIKELLRDQQADRHEIDDLMKQIAEDKLARKSKEQDRIHAAVQSVRDELEDERKLRKRSESLHRKLAREVSDIKVSLSNALKDLERERKSRKLLEDLCDEFARGIKSYEREVHGLRQKSDEDWDGAADCDRMILHISESWLDERMQIKPEEAQSGFHEQKSMIDKLGFEIETFLQAKRISTSVSKRSNYLSRKDRRKSLESVPLNAVSAPKDVCDEEDSASSDSNCFELNKPNNVDLKSHKDVALNGDLEETIKSNHAEKKPPSHEKSKTRHPSSLQVKFEEKMARAMCNGNKKSQLADSAQEDTGIGNTTEKTVSQKFENDEATQYGSEGRKNKLDEIHGLSSNYVLDNLIRNHMALSEGGNINPENDCGEASCSFPARRNQLSPVRQWMTKFTSPDLDESESSTKLPPGTKENTLKAKLLEARSKGPRSRLKIFKGKS >KJB24274 pep chromosome:Graimondii2_0_v6:4:37950542:37953837:-1 gene:B456_004G136500 transcript:KJB24274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGANNTNGRYHQRRHNNLFKDKGIDFSHFLADPCPSSDPDQPESASSLRRHVAQTLMKHHRSIENNNALQPVSPASYGSSMEVAPYNPAVTPSSSLDFRGRVVESHYNLKTSTELLKVLNRIWSLEEQHVSNISLIKALKMELDHARVRIKELLRDQQADRHEIDDLMKQIAEDKLARKSKEQDRIHAAVQSVRDELEDERKLRKRSESLHRKLAREVSDIKVSLSNALKDLERERKSRKLLEDLCDEFARGIKSYEREVHGLRQKSDEDWDGAADCDRMILHISESWLDERMQIKPEEAQSGFHEQKSMIDKLGFEIETFLQAKRISTSVSKRSNYLSRKDRRKSLESVPLNAVSAPKDVCDEEDSASSDSNCFELNKPNNVDLKSHKDVALNGDLEETIKSNHAEKKPPSHEKSKTRHPSSLQVKFEEKMARAMCNGNKKSQLADSAQEDTGIGNTTEKTVSQKFENDEATQYGSEGRKNKLDEIHGLSSNYVLDNLIRNHMALSEGGNINPENDCGEASCSFPARRNQLSPVRQWMTKFTSPDLDESESSTKLPPGTKENTLKAKLLEARSKGPRSRLKIFKGKS >KJB24343 pep chromosome:Graimondii2_0_v6:4:39630940:39631245:-1 gene:B456_004G140800 transcript:KJB24343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLMRLASEKDVVVFSKSSCCLCYAITILFQELGVTSTVHEIDQDPEGREIEKTLMRLGCNAPVPAIFVGGRLVGSTNEVMSLHLSGGLIPMLKPYQTWC >KJB25303 pep chromosome:Graimondii2_0_v6:4:49921302:49924072:1 gene:B456_004G184800 transcript:KJB25303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYQLAPTMNLWTDDNASVMEAFMTSDLSSIWPPPQSSASTSTPVVAAAPPPPPPPAGLDPSKSFLPHSQPSVSLLNQESLQQRLQALIEGARESWTYAIFWQSSYDCSATTVLGWGDGYYKGEEDKGKAKLKAPSSSVAEQEHRKKVLRELNSLISGSAAPTDDAVDEEVTDTEWFFLVSMTQSFVDGSGLPGQAFFNSSPVWVAGPDRLESSMCERAKQAQVFGLQTLVCIPSANGVVELGSTELITQSSDIMNKVRVLFNFNIEIEAGSWCMSNNTADQGENDPSSLWISDPHAGVEFKESSNTTTTTTTNHTSNQNQQTQKSIQFCDNRSSSSLTENPSSIPAGNHHQQQQSHQQGQSLCLNFSDYGFDESSSVRNGNSSSHLLKPESGEILNFGESKRSGNGNLFTGNSPFAVENKKRSPNSRGSNEEAMLSFTSGVILPSSGVVKSSGGAGDSDHSDLEASVVKEADSSRVVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQKFYALRAVVPNVSKMDKASLLGDAISYINELKSKLQSADSEKEEMQSQLEALKKNLSSKAPPPHDQDLKISNHTGDKLIDLEIEVKIIGWDAMIQIQCSKKNHPAAKLMAALKELDLDVHHASVSVVKDLMIQQANVKMGSRFFTQEQLKSALTTKLGDAR >KJB25973 pep chromosome:Graimondii2_0_v6:4:55248718:55252720:1 gene:B456_004G218900 transcript:KJB25973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPNEASADDFLEQILGFPNFAPSETGLAGPDGGLTGTTAGAGTPMFLQLSSGDGASHLGGIGGGGGGAFPGQVFPLGLSLDQGKSGGFLKPEEGSGGSSRRFRDEVVDGRASSVKNVFHGSPMPATVAPSPHPPTMRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAVMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEDESGDGGRNQPAWEKWSNDGTERQVAKLMEENVGAAMQFLQSKALCIMPISLATAIYHSQPLDTSSIVKPETDPPP >KJB26070 pep chromosome:Graimondii2_0_v6:4:55796434:55801108:-1 gene:B456_004G223400 transcript:KJB26070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNCCHVSFAFVLKFLNFLQAFLGVSILLYSFWMLDQWNHHVPISPPPSVPWPDSSLSVLFNSRPEVGGARGAKVFDDLAAGLVSGLDNGVGFELSSVKLPAPWFIYSFLGVGIVLCCISLIGCIAAESINGCCLCFYTLIKIVLILIEASLVAFIAIDRHWEKDIPFDPTGELDSLRSFIEDNIDICKWVGLSVVIIQVLALLVAVILRAMVSVRRRGIDDEDDYERGRTREPLLNPQSNHTSTSAKGDGRVSHSDFWGSRIREKYGMNSGDRYNSADKYDLLNQNASSTNSK >KJB25168 pep chromosome:Graimondii2_0_v6:4:48952227:48955320:-1 gene:B456_004G179700 transcript:KJB25168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSNPAFAVSSTPHRMVFQSRSHWTEAEANANAVIKGKIGLHQIVGSRRNLKFISRSVSEGEALTEYDTPFPNDYIDLLKQAKRATELALKDGKQLMEIEFPTAGLGSVPGDGEGGNEMTGSMQLIREFCDILVAPEKVTRTRIFFPEANEVKFARQVFEGASFKLDYLTKPSFFEDFGFGEKVKMADRVKPGDELFLVGYPYFNVNEMIVVEELYKEAVMNTNRKMIIFNGELDRIRSGYYPSFFYPKLAALTKSLLPMMETVYYIHNFKGRNGGTLFRCYPGPWRVLRRMGNKYFCVHQQEKMPSLKEVALDILPAA >KJB25169 pep chromosome:Graimondii2_0_v6:4:48952522:48955320:-1 gene:B456_004G179700 transcript:KJB25169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSNPAFAVSSTPHRMVFQSRSHWTEAEANANAVIKGKIGLHQIVGSRRNLKFISRSVSEGEALTEYDTPFPNDYIDLLKQAKRATELALKDGKQLMEIEFPTAGLGSVPGDGEGGNEMTGSMQLIREFCDILVAPEKVTRTRIFFPEANEVKFARQVFEGASFKLDYLTKPSFFEDFGFGEKVKMADRVKPGDELFLVGYPYFNVNEMIVVEELYKEAVMNTNRKMIIFNGELDRIRSGCILSLATHP >KJB25170 pep chromosome:Graimondii2_0_v6:4:48952522:48955320:-1 gene:B456_004G179700 transcript:KJB25170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSNPAFAVSSTPHRMVFQSRSHWTEAEANANAVIKGKIGLHQIVGSRRNLKFISRSVSEGEALTEYDTPFPNDYIDLLKQAKRATELALKDGKQLMEIEFPTAGLGSVPGDGEGGNEMTGSMQLIREFCDILVAPEKVTRTRIFFPEANEVKFARQVFEGASFKLDYLTKPSFFEDFGFGEKVKMADRVKPGDELFLVGYPYFNVNGSLSNTLNCFRCVLHDAFM >KJB27044 pep chromosome:Graimondii2_0_v6:4:60867143:60870880:1 gene:B456_004G274300 transcript:KJB27044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASSSSSSTTTKTIPARKRGWLSNISSISSRIYFFLIFLQIPLFRIPCRSGMCSTPIHVTSSQLIASDIFPVPVVKAILLPGAITNGLAKNWTVPSWDNLLNMYNLTSIKESSALPDLQRLEVLAGSYFCVAGALVGLLKPGRMSMFGTLLVIWGLVKEGIIGKPANTDLTKAVYVYPTMVLALICALSSIKYDVKKVMRTAPARPMAKPLQSSSKSKLK >KJB23258 pep chromosome:Graimondii2_0_v6:4:11784303:11785691:-1 gene:B456_004G088700 transcript:KJB23258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYTKRIIQLINASTSPSHIRQIQAQFILRNLLKNHFIAHHFINACNSLGLLNDAHAFLLLSKPPPHVFLYNTLFRAFSHSKTPHLPFSLYAHMQCASVLPNNYTFPFLLKSLADFQLLQKGQIVQAHVLKLGHSHDIYIQNSLMNLYASSGEMRLCRQVFDEMRNRDVVSWTILITGFRNVEKYDDALIAFEQMQYAGVVPNRVTMVNALAACGGFGAFEMGVWIHDYIMKNRWELDLILGTALIDMYGKCGRIEEGLKVFHSMEKKNNFTWNAVINGLALAKNGKQAIWWFNRMEQEGFKVDHVTLVGVLSACSRSGLVDMGRKIFISLVEGRYGFLPGVKHYACMIDLLARAGCLDDAFRVLQEMPFEPTKSIWGSLLAGCRAHGNLELSEISAKKLMELEPSNSAYYVVLSNLYADMGRWDDAEKVRTLMKEKGLKKDLGFSSVEWESQEQVYEVLA >KJB22888 pep chromosome:Graimondii2_0_v6:4:7757904:7758525:1 gene:B456_004G071900 transcript:KJB22888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSISLLVYLPYLEVLLFNLRCVSDQLKQAHLLVSHMLIGHIMRFYFYFLNLSDLLYGCQLYLLLVPSQASTTCTSTCVHAHIFEQSMGLKFVRMCFFSDK >KJB21903 pep chromosome:Graimondii2_0_v6:4:1499515:1505581:-1 gene:B456_004G020200 transcript:KJB21903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYSIFILLLFCIASFIRSSSSSAPKHPFLGISPQDEDYYKSSDSIKCKDGSKKFTKSQLNDDFCDCPDGTDEPGTSACPTAKFYCRNAGHVSLFLFSSRVNDGICDCCDGSDEYDGRVKCPNACWEAGKVARDRLVKKINTYKEGVTLRIKEIEQAKIAIAKDEADLTKLQNEEKLLKGIVEELKERKEQIEKAEEKERLQKEKEENEKQKAEEALRENGKAEEEGKVENEKVEQEANSEDKPKESTDDDDKIGNIEDSFVNEDPNVDENEGEPTSKVETSDSSKTEAVPLNKEEEHEVKNKHESASPRHNDDSTVSTEIDQDAGSKVSPDEDKKAESDASETTEGLSREELGRLVASRWTGEGTENQGGAKADTDDIHEETPKDEHDEEEYDNYASDSDEDTAKYEDDRDDDVEDEPDESYEEENHDDTTSYKDDLDDEPYLPETSPSSDPSWLEKIQKTVKNVLDAVNIFKTPVNISDAAHVRKEYDESSEKLSKIQSRISSLTQKLKHDFGPEKEFYAFYDRCFEIKQNKYVYKVCPYKQASQEEGHMTTRLGRWDKFEDAYKMMVFSNGDKCWNGPDRSMKVKLRCGLKNELTDVDEPSRCEYVALLATPAVCLEDKLKELQHKLDLLNKEQPQEHDEL >KJB24666 pep chromosome:Graimondii2_0_v6:4:44344866:44347572:1 gene:B456_004G156300 transcript:KJB24666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holliday junction resolvase, 5'-flap endonuclease, Homologous recombinational DNA repair, Microspore developmen [Source: Projected from Oryza sativa (Os09g0521900)] MGVGGKFWELLKPYTRFEGFDFLRDKKVAIDLSFWIVQQETALKNQALNPHLRLTFFRTVNLFSKFGVFPVFVLDGTPSPLKSQARMVRFFRFSGIDTSTSNVTEEGVSKERNSAFKRCVNDCMELLELLGMPVLKANGEAEALCAQLNRDGHVDACITADSDAFLFGATCVIKSLRPNSKEPFECYNMSDIEAGLGLKRKHLIAVSLLVGNDHDLNGVQGIGLDKALRLVRGFSEDEIFDKLYEIGKGHVPLFQGEIRCAGDAIPCSDESSPKAKQSHCSFCGHPGSKKAHFKSCCEYCMTDSNDGCLKKSQGFKCNCSSCDKVRKDKDKKKHENWWINVCNLISKETKFPNDEIIEMYMCNNHGEFTEEGPLLEWGDPKTDLLVDFLAYHQSWKPSYIRQRMLPMLSTLYLRELARNPNKTLLVGQYEFHSIQRVKIRYGHQYYEVKWKKAISNELSCAVPVEQSNMLEEEFIEVGDEPIGLLDESIEPQIHVDGCWILTDENPELVHSAFPEEALKFRQEKVWLFPP >KJB24665 pep chromosome:Graimondii2_0_v6:4:44344839:44348503:1 gene:B456_004G156300 transcript:KJB24665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holliday junction resolvase, 5'-flap endonuclease, Homologous recombinational DNA repair, Microspore developmen [Source: Projected from Oryza sativa (Os09g0521900)] MGVGGKFWELLKPYTRFEGFDFLRDKKVAIDLSFWIVQQETALKNQALNPHLRLTFFRTVNLFSKFGVFPVFVLDGTPSPLKSQARMVRFFRFSGIDTSTSNVTEEGVSKERNSAFKRCVNDCMELLELLGMPVLKANGEAEALCAQLNRDGHVDACITADSDAFLFGATCVIKSLRPNSKEPFECYNMSDIEAGLGLKRKHLIAVSLLVGNDHDLNGVQGIGLDKALRLVRGFSEDEIFDKLYEIGKGHVPLFQGEIRCAGDAIPCSDESSPKAKQSHCSFCGHPGSKKAHFKSCCEYCMTDSNDGCLKKSQGFKCNCSSCDKVRKDKDKKKHENWWINVCNLISKETKFPNDEIIEMYMCNNHGEFTEEGPLLEWGDPKTDLLVDFLAYHQSWKPSYIRQRMLPMLSTLYLRELARNPNKTLLVGQYEFHSIQRVKIRYGHQYYEVKWKKAISNELSCAVPVEQSNMLEEEFIEVGDEPIGLLDESIEPQIHVDGCWILTDENPELVHSAFPEEALKFRQEKELKDMKRRKTSTPRSEGSFEMSESSKPQGVQLSITEFYRTTKTPSQAKLGKDLVKQSSSPGVGSSKQKRKVSGSKLSKAVRRRLLFG >KJB23420 pep chromosome:Graimondii2_0_v6:4:15910024:15910832:-1 gene:B456_004G097200 transcript:KJB23420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDECYFNRKVVLYCSFHQRDNMLLNIGKIITSSLYCSSPASQVLIQALCKAGKIFQAVRYLTRMRLEHNVETYAMVISYFRDKRFAEDGYGSLLQLIKRGIDPAIHMGDPYYRKAKLSHSDLLSPWLSSGEVTDFYKIMFD >KJB22389 pep chromosome:Graimondii2_0_v6:4:3978176:3982208:1 gene:B456_004G045300 transcript:KJB22389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLLPATTLRLFNRRFNSTVSTATVLQTLKPEPAALTIVNLDDHRKLFASVSTSKLLRSSINLGLASNETFVDFGMWVMNSRLMETSLVRDVILKTVKHTMFEHFCAGETTEEAGECVRKIHDAGLRGMLVYAVEHTGDNTGCDRNLEGFLRCVEFSKSLPPSSVSFLIAKITAICPIGLLRRVSDLLRWQYKDPSFNLPWKLHTLPIFSTSSPLYHTLQKPPPLTPEEELDLELAHRRLQKLCQKCVQESVPLLIDAEYTSLQPAIDYFTYSSAIKHNQDGNPIVYGTVQAYLKDAKERLFMASKAAEKLGVPMGFKLVRGAYMSSETESASSLGYDSPIHNSIDETHACYNDCAGFLLERIANGNDAVVFATHNIDSGKLAACRARNLGIQKGNRRLEFAQLYGMSEALSFGLRNAGFQVSKYLPYGPVDMVMPYLLRRAEENRGLLSTSNIDRVLMWKELKRRIKSLEFGK >KJB23521 pep chromosome:Graimondii2_0_v6:4:19036207:19037671:-1 gene:B456_004G102200 transcript:KJB23521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCEGISIPQTVCVDHFLPPVPLISEFLGDPVTNPLSSTDSAYQNIVSASSNFFPYGPSEQWVQPPMEFLPSKRSRSCILSSMQPPRVPLRLVHVFFNGSY >KJB27202 pep chromosome:Graimondii2_0_v6:4:61566014:61568239:1 gene:B456_004G284500 transcript:KJB27202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSRKSSFSFVFLFIKSFKPRRKLQNLAFPFMEITGEPPPLWPQLATVTIHRRRRQPPSPLFSPPILIILLPTIALLLLFFAVPPFLSTIAAQISQPTGVKKNSDSLNLFLVFFTIFCGVFARRNDGSGDGDNENSRNDGDTHINKQQWFDQYPVRKIYDDHPPPINAVEETTVVRRLKRNSSSYPDLRQESLWENSEDQSRFYDDYGINYYNDEVHELRRSWRSDRRFEECQPKVIISPAKSLAPSTPPPPPPPPPLAAAYRKPRRSYQAVGLKENVNNTQKFHVEYDGSESPAPQPVTPPPPPAAGYRKPRRSYQAVGRKENVNNAQKFHVEYDGSESPAPQPVTPPPPPPPPPRRPPSPVSQLGNSSEQRYAKLERRKSNATKEIKMVFASLRKRKKKKAKDDYRYEPPANYSTTIPPPSPPPPPPPMPPPPPSVFKKYNLFKSKGSKSKRIHSVPPPPPPPPPPSSVSKPKQNTQSPPPPAPPTPPPRPSRRRTTTNANNYKPPLPTTKPNTSFYHVDENVNSGEQSPLIPKPPPPPPEFNDDEKVSMMDGDDGAIVGRAPVFCPSPDVNAKAETFIARLRDGWKLEKINSMKEKQKDKKL >KJB22160 pep chromosome:Graimondii2_0_v6:4:2662932:2664210:1 gene:B456_004G032500 transcript:KJB22160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g50640 (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G50640) UniProtKB/TrEMBL;Acc:C0SV01] MRKGRGAAAAADTAMKPAVEANGSVKEQRYRGVRKRPWGRFAAEIRDPLKKTRVWLGTFDSAEEAARAYDAAAITLRGNKAKTNFPFNSSDFPAFGIDRHHRRNNEGFIADGHRLHQTGGDYEDPEVNPQRPARSSMSSTVESFSGPRPTQPPRKPSDFEAVSTRKYHPKMPRTAPEDCRSDCDSSSSVFDNGDDDNVSSSRRKFLPFDLNFPPLDEVDDLTLCL >KJB21980 pep chromosome:Graimondii2_0_v6:4:1868738:1871592:1 gene:B456_004G024300 transcript:KJB21980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKIGGCWVLLFLALNFKNCKTLPKPLLTLSFLHSPPMKFPNHVIHRRHRGSRTFMDGAAATTAVKFVRDRGLDHAVEREKNLRPLLNVKNLIKSEPSKSLPISIISQNKDSLKIPSRPIEFIRKYPSVFHEFLPGGIGIHPHIKLTQEVLDIDADEHLVYESDSYRQLVANRLLKLLMISRMNKIPINILDKLKWDLGLPQNYLKTLVPDFPDCFRVVGSNESGQLELVCWSDELAVSILEKKAMEGGSGYSKGMPLAFPVKFSKGFEMDKKVKKWWDDWQKLPYVSPYENALHLSPKTDESDKWAAAVLHEILNLFVAKKAERDDVLCIGEYLGIRSRFKRVLLHHPHIFYLSSKIGTYTVVLKEAYKRGLLIENNPLMNVRNRYLHLMHTVKEHGKDISMSPGTNQEKKAASNASKKEAGDDDSEEENNGLSVSFSGSETENGDSGRRGSREAVALSRRTTTTSKRKNGDLKTHLGDGEGERAMGRWHSRTKPKVPQPLNASTNVHRRSQQRSSS >KJB26226 pep chromosome:Graimondii2_0_v6:4:56856358:56857588:1 gene:B456_004G231500 transcript:KJB26226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILSRCLTWALFMVFLMASFSSSLANMNVIDKCWRGNPFWQSHRQQLAKCSVGFVGKMINNIGKDVVKYKVTDPSDNPLSPKPGTLRYGTTMIKGKVWITFKNSMTITLQRPLLLSSFTAIDGRGIDVHITGVGCLLVYQVTDIIIHGLRIHHCKAQPPSTVMGPNAKVIPLGQMDGDAIRLVTARKVWIDHNTLYECQDGLLDVTRGSTNITISNNWFRNQDKVMLLGHDDGHLRDRNMKVTVIFNHFGPNCNQRMPRVRHGYAHVANNFYQGWEQYAIGGSMSPSIKSEANFFVASNDVGNKEVTWRKGEKGLWKFYSVGDVFKNGASFSKQTGVGGAKPNYNREQDFKVVDAGSVKELTSESGVLRCSRSLTC >KJB23316 pep chromosome:Graimondii2_0_v6:4:12918142:12921025:1 gene:B456_004G092300 transcript:KJB23316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVFHPFFSAYILLLLPLVAAQSSAGDIRPSTFIEATDDANPWLSPSNHFALGFHQLENKDQFLLAIWYYKIPNRTIVWYANGDKPAPRRSKVELTADRGLVLTDPKGLLIWRSDFATGEVAFATMNDTGNFVVYSDSESLWESFNNPTDTLLPTQVIDRGGLLSSRHKENNFSKGRFQFRLLPDGNAVLNSINLESNFAYVAYYISGTYDEQNSSNSGFQVRLDQDGSFYVLRGNNQTHSLSLGDEAPSADYYYRATLNFDGVLTLSSYPKNSTGSSRNWSVVKTIPDNICTTNFAELGSGTCGFNSICTLKPDKRPECKCPPGYSLSDANEEYGNCQADFMQGCEAEAQNSSQDLYKLVELQNTDWPTSDYERINPCSVNDCKAYCLQDCLCIVAVYNENGCWKKSLPLPYGRQDTQVTSISFLKVTKDEIAHKTPPTMPDKKGNQNSLIILISVLLGSSVFVNFLLVGILCMGSFFLYQKKISRNQRSKTIIQSSLRYFNYEEMEEATNGFKEELGRGSFGIVYKGVIDTDSQYQTEVAVKKLDRVVQDTDKEFRTEVSVIAQTHHRNLVKLLGYCDESQHRMLVYEYLSNGTLASFLFGDIKPSWNQRTQIAVGIARGLCYLHEECSPQIIHCDIKPQNILLDDYYEARISDFGLSKLLGTDQSFTNTNIRGTKGYVAPEWFKTVPVSVKVDVYSFGVLLLEIICCRRNVAMDVGEVERAILTDWAWDSFLEGAVDALVDTDAEALSDKMKLERFVMVALWCIQEDISLRPTMKKVLLMLEGIIQVPAPPFTSPLTSYSCQI >KJB24400 pep chromosome:Graimondii2_0_v6:4:40568019:40571918:1 gene:B456_004G143600 transcript:KJB24400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPRTKRFFLLCAFSYAIVAIAEKNYYEILQVPKGASDEQIKRAYRKLALKYHPDKNPGNEEANKRFADINNAYEVLSDSQKRSIYDRYGEEGLKQHAARAGGGMGVNMQDIFSSFFGGGPMEEEERIVKGDDVIVELEATLEDLYMGSTLKVWREKNILKPAPGKRPCKCRNEVYHRQIGPGMFQQMTEQVCEQCQNVKFGREGFNVTIDIEKGMQDGQEVVFYEDGEPIVDGEPGDLKFRIRTAPHDRFRREGDDLHATVTITLVQALVGFEKTIKHLDDHLVNVSSKDITKHKEVRKFKGEGMPLHSSKKKGDLYVAYDVLFPTSLTEDQKSKIKEVLG >KJB26225 pep chromosome:Graimondii2_0_v6:4:56848270:56851578:1 gene:B456_004G231400 transcript:KJB26225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSYPHQPKIHRIYGGPSISLFIPSVLPHSSMHKPVFITFFNIWSQYAFNHLVLFVSLLIVCSSLPSLFCLPFMAPIFSLFLSFVMLSWSIAQGQGPPSPGYSPSTSVRTVKFDQVFRNLWGPQHQRADQDSITIWLDKTSGSGFKSIQPYQSGYFGAAMKLQPGYTAGVITSFYLSNNEDHPGNHDEIDIEFLGTTPDELYTLQTNVYIKGSGDGNIIGREMKFHLWFDPTQDFHNYAALWTPSEIIFFVDDVPIRRYTRKSEATFPTRPMWVYGSIWDASTWATDNGRYKANYNYQPFVGKYTNFKISGCIAGGPASCRPPSVSPSDTGSLSQQQLAAMNWVQRNYLVYDYCQDPKRDHGQIPEC >KJB25623 pep chromosome:Graimondii2_0_v6:4:52662629:52664386:-1 gene:B456_004G200700 transcript:KJB25623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVMDSSLRRLVNRRLEWLEMTKDQVSDWNAGTTRRRDRLSALPNTVLSRILSKMPMDSVVRTSILSKRWSNLWKHTQGVDFHSLPFSDNQADYSPITRCLDLLLSPQIIKFTAVGHVSERSNPDVLRWVNFALSKNVRQLTIGLMSVSLARRFFQLPDSLFSNRSKTLEHLVLSFVEFTPPRPGQPIAASVFSSLKLLVLTHCKLADATVDLCLRKCVLLEELVINMCEGLKNVNISGPNLRLISFRCLEDSDDGEFRSIRVDAPLVGNLVYSGDLTKFYLNNCPVLQILLLQGREEPMNEAYTVHVRELIDQIRHVNTMILNFAVVEFVAKEYYTRGIPFQTFQNLTHVFWYGPLKSPNAVYNLVAFLGDCPSLIEIAVDFREESGAVFCQCISEGHVADEYEEGQSSQRNPYEGGNLEKLEIAEVRCFSGFNGEMLLVRLLLEKAVNLRKLWLFWRLGDLSVMHDNVLQDITKSGYPQQLSDTIQEEANSIIATILDFRKGSPRARIKFGQEWRIESW >KJB25622 pep chromosome:Graimondii2_0_v6:4:52662164:52664386:-1 gene:B456_004G200700 transcript:KJB25622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVMDSSLRRLVNRRLEWLEMTKDQVSDWNAGTTRRRDRLSALPNTVLSRILSKMPMDSVVRTSILSKRWSNLWKHTQGVDFHSLPFSDNQADYSPITRCLDLLLSPQIIKFTAVGHVSERSNPDVLRWVNFALSKNVRQLTIGLMSVSLARRFFQLPDSLFSNRSKTLEHLVLSFVEFTPPRPGQPIAASVFSSLKLLVLTHCKLADATVDLCLRKCVLLEELVINMCEGLKNVNISGPNLRLISFRCLEDSDDGEFRSIRVDAPLVGNLVYSGDLTKFYLNNCPVLQILLLQGREEPMNEAYTVHVRELIDQIRHVNTMILNFAVVEFVAKEYYTRGIPFQTFQNLTHVFWYGPLKSPNAVYNLVAFLGDCPSLIEIAVDFREESGAVFCQCISEGHVADEYEEGQSSQRNPYEGGNLEKLEIAEVRCFSGFNGEMLLVRLLLEKAVNLRKLWLFWRLGDLSVMHDNVLQDITKSGYPQQLSDTIQEEANSIIATILDFRKGSPRARIKFGQEWRIESWRRHFGD >KJB22589 pep chromosome:Graimondii2_0_v6:4:5317934:5318195:-1 gene:B456_004G055600 transcript:KJB22589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRFIIRWITVCIVLVSASIKPIEADSDRFNACFESCNEKCKNNDHGNAFCEVKCDVDCGADEVTAKFNIAI >KJB25126 pep chromosome:Graimondii2_0_v6:4:48575410:48576515:-1 gene:B456_004G177700 transcript:KJB25126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDKHKPDDKGLFSHLAHGGHGHPPGGYPPAGAYPPQGYPPAGYPPQGGYPPHGYPPSGYPGASHSGHSGMGALLAGGAAAAAAAMGAHHVSHGAHGAHGAYGAHGFGHGHHGKFKHHGGGKFKHHGGKFKHGKHGKFKHGKHGGGKFRKWK >KJB26976 pep chromosome:Graimondii2_0_v6:4:60462691:60464647:-1 gene:B456_004G269600 transcript:KJB26976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HYSTKTNSNGCFNKIYAFGDSYTDTGNAQLLDSSKNLKKGQEKPNNGWLLIDFVRDALNISSLPPYKSVNANFSSGVNFAMAGATVFTEEFLSQHKINSTLMWKDGYHSFQTQIEWYNKFVSDIACKGKNNESCKADMENSLFWVGEIGIDDYVRALRSKVSLRWIKDMAMVHTSRLLATLLDSGAKYIVVEGLPPLGCYPFAKSSRHFVKDDMNCNAKINRVTKAHNHDLQDMLEGFRRRKGANVSISYADYFDAYKVITGNLNKFGFEDAFKACCGHHRNKILNFSFKILCGMSGAETCRHPDSYIHWDGIHLTEAMYRQLSEFFLHGNFCKPSFIHLIRVKKG >KJB27253 pep chromosome:Graimondii2_0_v6:4:61705538:61707870:1 gene:B456_004G286700 transcript:KJB27253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGKWVISLIGLKITQKQHQEKVNSNKKWKLWRKFRSDSQSSDSFIAAMAAIVRAPYKDFSFLKQEWAAIRIQTAFRGFLARRALRALKGIVRLQALVRGRQVRKQASVTLRCMQALVRVQARVRARRVRMSIEGQTVRKMLDGHRSKDDVLKQAEDGWCGSKGTLEDVKTKLQMREKGAFKRERTLAYSLAQKQWKSVPGSTTRTDGLIPCLISQEFDKNSWGWNWLERWMVARPWETRLMELSTRTDPSKTCLESLSGKNERCSEPCSMKARKNNVTTRVSAKPPPHTRQVTGSSSSPSSEFRFDESSGSSSICTSTTPVSGTTVLPSGATGDSGNSRPNYMTMTVSTKAKQRSGNHGFQRQSMDEFEFKRSAGFDNRDSKSSKRGNCLYN >KJB27098 pep chromosome:Graimondii2_0_v6:4:61065946:61072403:-1 gene:B456_004G277800 transcript:KJB27098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFFKKLAKSTSASFNTAFVGKPKSGSAVFSFSAITDRAGGFSTYYYISESERSQNNVLFKEIKKLIYHLWSQGHCLPPSTPLTGHLDQINEETGPKVALKSDKWLELQDSARVSHNSHLFRFSFDPAAKLGLDVAACILTRAPLGQNAEGKTKYVIRPLAYVYPEGKMSQIFATLKPGDVLEVKGPIEKLRYSPNMKKHIGMIAGGTGITPMLQVIDAIVKNPNDNTQVYIYVFSLLYANVSPDDILLKQKLDILEAGHPNLKVFYTVDNPTKKWKGSTRYTSKDMVTKGLPGPSDDTLIFVCGPPGMMEHICGGKAKDHSQGQLTGILKELGYTEQMVYQF >KJB26477 pep chromosome:Graimondii2_0_v6:4:58123894:58126139:-1 gene:B456_004G243700 transcript:KJB26477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALKKTLAPPPPNLSGEPTRIHATGNTFSAQRTSASLGSKLVIRTYKPPPPPPLPPPSLKMKDPGGIGFIDDIGGGVDGLMSCTESIGLESCEERRILVDDDDDDNDHHYHQQMVEFCERERDRWRKKRSNGKMRIERNYSKLFPPPLSSLNENGQPCFYLKPVRKDGRLELTEVKIQRPDILHAVRENGRLRLHLFRSHNDQDISSKINEEKEEKVEESWKHRVTEDGLKRCQEQVMNHHQDHHHNHGWRQPCVTTR >KJB23164 pep chromosome:Graimondii2_0_v6:4:10595876:10596811:1 gene:B456_004G084700 transcript:KJB23164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAATTTKGGRGKSKAKAVSRSSKAGLQFPVGRVARFLKKGRYAERVGSGSPVYLSAVLEYLAAEVLELAGNAARDNKKNRIIPRHIQLAVRNDEELSKLLGGVTIANGGVLPNIHQNLLPKKASKGKGEIGSVSQEF >KJB26540 pep chromosome:Graimondii2_0_v6:4:58330961:58333531:-1 gene:B456_004G246400 transcript:KJB26540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQIPRVKLGSQGFEVSKLGFGCMGLSCHNVSVSDEVGIAIIKHAFERGITHFDTADFYGPKTNEILVGKALKHLPREKVQLATKFGVESMGPGGPVINGTPAFVRSSLEASLQRLDVDYIDLYYIIRIDTKTPIEDTMEELKQLVEEGKIKYIGISEASPETIRRAHAVHPLTAVQIEWSLWTRDAEEEIIPLCRELGIGIVPYSPLGRGFFAGKAKGDVGSFLGLFPRFQGENLEKNRILYSKVEKLAENYGCTPAQLALSWVLHQGDDVAPIPGTTKIKNLDSNIESVKVKLTKEDLKEISDVIPIHEVAGGSYPDALKKFSWRYGNTPPKKST >KJB26541 pep chromosome:Graimondii2_0_v6:4:58331207:58332706:-1 gene:B456_004G246400 transcript:KJB26541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGGPVINGTPAFVRSSLEASLQRLDVDYIDLYYIIRIDTKTPIEDTMEELKQLVEEGKIKYIGISEASPETIRRAHAVHPLTAVQIEWSLWTRDAEEEIIPLCRELGIGIVPYSPLGRGFFAGKAKGDVGSFLGLFPRFQGENLEKNRILYSKVEKLAENYGCTPAQLALSWVLHQGDDVAPIPGTTKIKNLDSNIESVKVKLTKEDLKEISDVIPIHEVAGGSYPDALKKFSWRYGNTPPKKST >KJB26542 pep chromosome:Graimondii2_0_v6:4:58331186:58333382:-1 gene:B456_004G246400 transcript:KJB26542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASNFSIYLTVSKLGFGCMGLSCHNVSVSDEVGIAIIKHAFERGITHFDTADFYGPKTNEILVGKALKHLPREKVQLATKFGVESMGPGGPVINGTPAFVRSSLEASLQRLDVDYIDLYYIIRIDTKTPIEDTMEELKQLVEEGKIKYIGISEASPETIRRAHAVHPLTAVQIEWSLWTRDAEEEIIPLCRELGIGIVPYSPLGRGFFAGKAKGDVGSFLGLFPRFQGENLEKNRILYSKVEKLAENYGCTPAQLALSWVLHQGDDVAPIPGTTKIKNLDSNIESVKVKLTKEDLKEISDVIPIHEVAGGSYPDALKKFSWRYGNTPPKKST >KJB25918 pep chromosome:Graimondii2_0_v6:4:54861340:54863391:1 gene:B456_004G215800 transcript:KJB25918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGKPLGRGRGRGRGRGRGRGR >KJB21320 pep chromosome:Graimondii2_0_v6:4:15543788:15543799:1 gene:B456_004G0967002 transcript:KJB21320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQ >KJB26177 pep chromosome:Graimondii2_0_v6:4:56534244:56534465:1 gene:B456_004G230000 transcript:KJB26177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRADKIRIQKWYTIYKDHITLKDYEIHDGMGLELYYN >KJB24135 pep chromosome:Graimondii2_0_v6:4:34692026:34692820:-1 gene:B456_004G129400 transcript:KJB24135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVLGCVSSNAEPGGRLHSTWHLSTGSPHCLLTSTTYGLFYLDPLPQSTTTPPTPPPPFTLTDFVEPVPSRPQFINSCLEADSCRLSPIPNNEILNSNLKENTHPKLNRNSTFLKQPSSLLKKTRIRK >KJB26205 pep chromosome:Graimondii2_0_v6:4:56476688:56477425:-1 gene:B456_004G229700 transcript:KJB26205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAYITDDSARKATYKKRTKGLMKKLSELSTLCDIDACSIMYSPYESQLEVWPSPMGVQQVLSKLETIPEMEKSKNMLNQESFLSQKTTKASEQLKKHCKENWEKEMTQVMFNTICGKGVIHGLNFEALSEINLLLDKKMSDIDKRIDALARTPLNPQWVSSSSSSSLVAVPPMMMVAPETMPRTGTEDIVQEDVNEMDPIQRQQWIMELMSNNNSQTHVGGNEMMFQFGDNINPNNGL >KJB24546 pep chromosome:Graimondii2_0_v6:4:42659302:42661102:1 gene:B456_004G150300 transcript:KJB24546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCPTCGNMLQYELPHMGRPSRFFCPTCPYVCHLENKVKIKRRQHLVKKEIEPVFNKEDMKMGGSETDATCPSCSHGRALFSQVQIRSADEPATTFYQCLKCEKMWRED >KJB23114 pep chromosome:Graimondii2_0_v6:4:9820626:9822307:-1 gene:B456_004G081600 transcript:KJB23114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTMDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAAIGTWSGSWAWTRARSRPLNMLPCLQILVTAGCGDNFVVGRIYG >KJB23768 pep chromosome:Graimondii2_0_v6:4:26526735:26528373:-1 gene:B456_004G114100 transcript:KJB23768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMLPGVECARRRRFHQSGGSSDSSSVAVIGLTRRSSFCLYTSNNETHHSSVSSQQRSILTQSFQDDKLGGVAREAKERLDERLRSQRKSEPKRHSNKEGMKCVDGKSLVQGELRTEVFGSKKSASKRFSWAKLNWKASDQDECAICLERFKVDESLVHPPCAHRFHSRCLVPWLENHAHCPCCRMGILS >KJB24170 pep chromosome:Graimondii2_0_v6:4:35549122:35557311:-1 gene:B456_004G131200 transcript:KJB24170 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) UniProtKB/Swiss-Prot;Acc:Q8H0V6] MTEVASSVVHEVLGRRAEDVDKPIIDYIINVLADEDFDFGEDGDGAFEAIGELLVAAECVSDFSECRKVCSTLSEKFGKHGLVKPKPTVRSLATPFRMNEGMEEAAPKKKPEPVDGPLLSERDKMKLERRKRKEERQREAQYQMHLAEMEAAREGMPVVCVNHDSSGGPAVRDIHMENFNVSVGGRDLIVDGSVTLSFGRHYGLVGRNGTGKTTFLRYMAMHAIEGIPSNCQILHVEQEVVGDDTTALQCVLNSDIERTQLLQEEANLLARQKELDLEDENGKSGEDLNGMPDKDAILQRLEQIYKRLEVIDADSAESRAASILAGLSFSPEMQQRATKTFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLESYLVKWPKTFIVVSHAREFLNLVVTDIIHLQGQKLTAYKGNYDTFEKTRQEQIKNQQKAVEANERARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDEIVNDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPVLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLIAGELQPISGTVFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCYPGVPEQKLRGHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLVLFQGGILMVSHDEHLISGSVDELWVVSEGRVNPFHGTFQDYKKLLQSSS >KJB24171 pep chromosome:Graimondii2_0_v6:4:35551648:35557305:-1 gene:B456_004G131200 transcript:KJB24171 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) UniProtKB/Swiss-Prot;Acc:Q8H0V6] MTEVASSVVHEVLGRRAEDVDKPIIDYIINVLADEDFDFGEDGDGAFEAIGELLVAAECVSDFSECRKVCSTLSEKFGKHGLVKPKPTVRSLATPFRMNEGMEEAAPKKKPEPVDGPLLSERDKMKLERRKRKEERQREAQYQMHLAEMEAAREGMPVVCVNHDSSGGPAVRDIHMENFNVSVGGRDLIVDGSVTLSFGRHYGLVGRNGTGKTTFLRYMAMHAIEGIPSNCQILHVEQEVVGDDTTALQCVLNSDIERTQLLQEEANLLARQKELDLEDENGKSGEDLNGMPDKDAILQRLEQIYKRLEVIDADSAESRAASILAGLSFSPEMQQRATKTFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLESYLVKWPKTFIVVSHAREFLNLVVTDIIHLQGQKLTAYKGNYDTFEKTRQEQIKNQQKAVEANERARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDEIVNDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPVLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLIAGELQPISGTVFRSAKVA >KJB24946 pep chromosome:Graimondii2_0_v6:4:46747123:46752567:-1 gene:B456_004G169400 transcript:KJB24946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFAVSLGDAVVSALVSSVVDVISSSLFMKYADKDKISSELKKWQKLLLNINASLEDAEEKQTRSGSVKLWLSDLRDIAYDAEDIIDELTFESFRHQIMEDTDSFLSTYNVRRRISFYMKVRRYISTCCLSFNPSTVKFSTKLESRLKSLTTRLEEAVAVKNGLALVENDRGRYERMKERLRSSSLVDESHVYGRERDREAILDLLMNDSDDGVGDIGVVSVVGMAGVGKTTLAQLVYNDAKVESFFDLRVWVCVSEEFDVVKVTATVLQAVSMEGCNLKDLNLLQVSLKEKLFGKKILVVLDDVWNENYEQWEVLRMPFIAAEAGSRILVTTRNERVASIMTTCGTYRLKELTNDDCLSLFTWHAFGTLDFEGHPSLSGIGEEIARKCKGLPLAAKTLGGLLRTKGNHQEEWEDVLKSKIWDLPEERSSILPALRLSYHYLPFHLKRCFSYCALFPKDYEFEKDELVLLWMAEGFLQQTTREKQMKDIGTEYFRDLQSRSFFQQSTSDRARYVMHDLINDLAQYVSRETCFNSDGDKLYARVEKLRHFSFLRHQYDISKRFENLHQMESLRTFMALPIHTSPWAASSYLSNNVLQELLPRLVRLRVLSLSGYCIEELPHQIGGLIHLRYFNLSYTRIKSLPDSVGSLFSMQTLILYGCKNLVKLPQAIENLINLHVLDLTDTENLTEMPMHLGNLKNLQILSKFFVQKDRRPNFIDLKSLLHLRKEISIRGLENVVGTQDAGEYILKDKQGIDSLDMQWSPDFLDVDALPVFSMLQPHENLKKIRVAFYGGTQFPSWFGGSSLANIVDINLSNCRNITSLPALGGLPSLKKLSIEGMDGVKELRFKPFPVLEVLQFQNMLKWEYWYHSYEDGEFPSLHELVIHNCPNLNQKLPRYLPSLVKLIVKGCPNLVDSVLNLPSLHELNIEDSKKMVPVSLVGVTSLVTVKIRGLPYLKCCPDGFQQFPGAPKHLLISFLKSLERLHIESCPKLVSFSETGFSSTLRHLQLRDCPVLTNLPIWIMSQFTSCLLEDLEIEECPSLTRFPSGRLPPTLKRLKLQDCASLCSLPEGLMQADNNKYASYLEHLEIIGCPSLKTFPEGKLPTSLKVLRIWDCWQLKPLLDRMLPDNASLEYVDILKYSNLKSLPESLQNLMCLVELNINSCKDLECFPEIGLPLPNLRTLNICSCDKLKSLPDQMLYLTSLQYLTICDCPRLSFPREGLPPNLLSLEIWYCKELKDPVSKWNLHTLTSLREFSIAGGPDMVSFPHECLLPPTLVSIYMASLNNLKSLTVSLQNLLSLEELEVVECPKLRKLPREGLPATLGRLCIRNCSLLENRCSRDKGEYWPLIAHIPCIDIVATDI >KJB23445 pep chromosome:Graimondii2_0_v6:4:16383093:16385132:1 gene:B456_004G098300 transcript:KJB23445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDMLSRCNQADSPVDRFISVVAWNISTLRPPIFGFAPYNPILGETHHVSRANLNVLLEQISHHPPVSALHATDEKQKIQLIWCQQCVPKFNGIAVVNEVIGKRQLKLLSRGETYEMNSPNLLIRILPTPGVDWDGDVRIRCPENGLEAELHYGHKSFLGLRGSHRSVKGKFLETSTKRTLFEFNGNWDRTVTMKDNTSGKLTVIYNAEEVYSGLKTPTVNDLQGVRSTESAAVWSELSEALMRHDWEKANETKNAVEEKQRELLRERESKGETWVPQHFTLTRNKDGVWDCLPIHQWVPPAPIIVPLS >KJB26417 pep chromosome:Graimondii2_0_v6:4:57825136:57829656:1 gene:B456_004G240300 transcript:KJB26417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIQVDPNCNLTEETSPKQLLPPDSPDISDIFGDSQLSPRVGNRYQAEIPPMIAGWEHLQLLMNSEGSPYIDHSFMFSLAVPVTWIHEQDIDFEDEGKEGPSKPDDATKVARKCRKGQNSKRKKNSELSAERSNARLVDEKESNAENLECGMAPCGGKSSHLIPGSSSDAWNNTEADSFLLGLYIFGKDFGKIKQLIGNKKMGDILSYYYGAFYKSDRYRRWSSGQKRRNRRIICGRKIFTGWRQQKLLSRLLTHVQDELQNNVLEVSKSFVEGRTSLENYVFHLKDNVGICSLVEAVGIGKGKVDLTGLAKEPPGTTQVSPEIPSGKACSSLTCGEILGFLTAGCRLSKARCNDIFWEAVWPRLLARGWHSEQPKNQCSFSSKHCLVFLIPGVKKFSRRKLVKGNHYFDSVSDVLSKVVSEPTLIELDAEGNDFRSCNEENSCLSGESSDQDDLPNHKPHYLKPQVSIFSSNDMKFTVVDSSLVHGGKASKMRELRCSPIDLMFTSKPMQKVTQDYGQVNANHTKARHCEGIIASSTARHTKFSIVDTSLLHGGKSSQVRELRYLPVKFDISSKIKICSGGNEDNSSDDSSDERERRITNGLSSHGSVVMDMKPGSKPVTADNQNPKNSCMADQGFLTHQYERTNASEDTRSNTIIKHHFSRRAKSSHSPCLVPSGKKITAPAISLASPMKGERLTAPAKTQRRNRTENISSNPIHLVSPVKRQRLNACGKIEESCFSEKFPADIREQTELCCALKSQDDGSIEVLRVSDSEEKVSSIGSSAEGNSQSIETLQGSSEKLPLLSSVGSNPPLLPLDARNEDEQPMTSSRRQSKRIRPLTSRVLEALESGFFNMKRMKKVRDEQAEAMRLSSSSLKALSTVDATSKRESASDKTVEGGASICSKDVTSEPPQMIH >KJB21899 pep chromosome:Graimondii2_0_v6:4:1484827:1486644:-1 gene:B456_004G019900 transcript:KJB21899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKIFVVAMMVGFIAAAQISLASTVPAFLWAPGFSSVESKESVNYQIMSPKDLANSVLSQGGWSDLLCSERKNERPVDLAVVFVGRELHSSDVAGNKHADPTLLNLLKDSFTKSNFSMAFPYVATSEEETMENLLVSSFKEACGNGLGVANVAFLESCSIEGGDFQKLANLHSVHDHLVSRMEKRTKGETDLVVLCHGGFQSLKELDQPFPESEILTEVMSSVEQSGAKYAALYVSDPFKSIHYPGYRELERFLADDTAKNGSASPKVCDEVCQLKSSLLEGVLVVSLCLCPPHFCYL >KJB21898 pep chromosome:Graimondii2_0_v6:4:1484135:1486827:-1 gene:B456_004G019900 transcript:KJB21898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKIFVVAMMVGFIAAAQISLASTVPAFLWAPGFSSVESKESVNYQIMSPKDLANSVLSQGGWSDLLLHSSDVAGNKHADPTLLNLLKDSFTKSNFSMAFPYVATSEEETMENLLVSSFKEACGNGLGVANVAFLESCSIEGGDFQKLANLHSVHDHLVSRMEKRTKGETDLVVLCHGGFQSLKELDQPFPESEILTEVMSSVEQSGAKYAALYVSDPFKSIHYPGYRELERFLADDTAKNGSASPKVCDEVCQLKSSLLEGVLVGIVLLLILVSGLCCMMGIDTPTRFEAPQEN >KJB21897 pep chromosome:Graimondii2_0_v6:4:1484096:1486861:-1 gene:B456_004G019900 transcript:KJB21897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKIFVVAMMVGFIAAAQISLASTVPAFLWAPGFSSVESKESVNYQIMSPKDLANSVLSQGGWSDLLCSERKNERPVDLAVVFVGRELHSSDVAGNKHADPTLLNLLKDSFTKSNFSMAFPYVATSEEETMENLLVSSFKEACGNGLGVANVAFLESCSIEGGDFQKLANLHSVHDHLVSRMEKRTKGETDLVVLCHGGFQSLKELDQPFPESEILTEVMSSVEQSGAKYAALYVSDPFKSIHYPGYRELERFLADDTAKNGSASPKVCDEVCQLKSSLLEGVLVGIVLLLILVSGLCCMMGIDTPTRFEAPQEN >KJB22088 pep chromosome:Graimondii2_0_v6:4:2251655:2254341:-1 gene:B456_004G028600 transcript:KJB22088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLNPSTLLLPTKPFLPTRSRLTSSPTLRVNSLTHRLPSPSTRPRRVIKVAAALDSDYSSKRSSSNEQRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIETYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVSEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGWFG >KJB22087 pep chromosome:Graimondii2_0_v6:4:2251614:2254396:-1 gene:B456_004G028600 transcript:KJB22087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLNPSTLLLPTKPFLPTRSRLTSSPTLRVNSLTHRLPSPSTRPRRVIKVAAALDSDYSSKRSSSNEQRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIETYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVSEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYVNGEIIPCKYPTYQPKARKESKYVSKKYERRKDGPPAGQFRPKQAASQSESSS >KJB24261 pep chromosome:Graimondii2_0_v6:4:37397180:37397470:1 gene:B456_004G135800 transcript:KJB24261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVSNSTANVSVAINVISNNIDILVVSSAATNYANNVNVVFVIAPISMLSINFYAKPFLDISKIEAFDENNFKIWQEHILFFLDMHGVAFTLTEK >KJB22085 pep chromosome:Graimondii2_0_v6:4:2238391:2241294:-1 gene:B456_004G028400 transcript:KJB22085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKNMGILCLVLVILQLSWSLSSSVAPPSSHLCLPHQRDALLHFKTTISVDCFRDPYPKIDVESWNKSIDCCSWEGVECDNVTGHVIGIDLSHSCLDGSLFANNSLFQLHNLQWLDLSSNNLGGSLLENTSCLFRFHGLQRLNLAYNVFTGTISSKLFSQLVSLTHLNLSNNGFYGLIPHQISLLSSLVSLDLSFYGYESRFDGQGFDMLARNLTKLRNLALDDVDMSDVALTSFLNLSSSLGHLSLSACNLHGELPTQVFQLPNLKALGLSENEKLTGYLPNTNWSNGLELLDLSDCGFRGSIPASFGNLTQIISVDLSGNSLEGQIPAVFGNLRKLTYLSFSSCNLSGPLPITIFNLTRITRLDLSNNHLEGPLPNHVSELQFLEELRLDDNSISGGVPSWLFDLVNLTSLDLSSNNLSAFIISENKLTGNVPSSICNWSSLVLLDLSGNSLSGTIPDCLENLCGTLDLQMNNFSGKIPNSFANIGLRRLLLNDNQLEGLVPSSLANSTSLELLNLGNNKLTDRFPPWLASLSSLQVLILRFNRFYGSLPHSFTGTLSTKLLRNLRGMKDKPRECFIYENHVNVTTKRLEVELTKTCDIFISMDLSNNQFSGEIPDDVGQLISLQMLNFSHNNFTGPIPTSLGNLVALESLDLSSNKFSGGIPPQMTNLTFLEVLNLSNNNLVGPIPHGNQFDTFDNDSYSGNLGLCGLPLSKQCVNFQGPDPPSPLVVEHGGSKIPFFWQVVMMGYGSGVVMGLSLGYIVFTTGRPWWFVRKVERDWQYNFTKWVQRNKVRRN >KJB21494 pep chromosome:Graimondii2_0_v6:4:55229101:55232501:1 gene:B456_004G218600 transcript:KJB21494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGNVDLRRKTNYLIVDSKTTVKQIEDTFKEFTTREDIAVVLISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASGRR >KJB21493 pep chromosome:Graimondii2_0_v6:4:55228715:55232501:1 gene:B456_004G218600 transcript:KJB21493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVVGFLMAGVGNVDLRRKTNYLIVDSKTTVKQIEDTFKEFTTREDIAVVLISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASGRR >KJB21492 pep chromosome:Graimondii2_0_v6:4:55228603:55232625:1 gene:B456_004G218600 transcript:KJB21492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRVQIPTSNSALIAMIADEDTVVGFLMAGVGNVDLRRKTNYLIVDSKTTVKQIEDTFKEFTTREDIAVVLISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASGRR >KJB21495 pep chromosome:Graimondii2_0_v6:4:55229761:55232501:1 gene:B456_004G218600 transcript:KJB21495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGNVDLRRKTNYLIVDSKTTVKQIEDTFKEFTTREDIAVVLISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASGRR >KJB22620 pep chromosome:Graimondii2_0_v6:4:5561430:5561916:-1 gene:B456_004G058000 transcript:KJB22620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAQNVVTKKMMLVMALCLTQSLVTHSILNKCHVHVSNGFSHGEFLEAHCRSKDDDLGVRHLLPYNEFNWTFRTNFFSTTKFSCHMWWTGGEKYLDVFWTDDKFLDKECAGNNCRWRSQDDGVYLFSYKHKEYRLKYNWDPWNKTKNHSYLDKKMLS >KJB24419 pep chromosome:Graimondii2_0_v6:4:41745932:41747250:-1 gene:B456_004G148700 transcript:KJB24419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESQTLHPAFQPGWDLEDIRLAFFKCTRWQVEETLDPINCPFHYFCDSVYPGHYPQVVDILVLLLATASYLATLVIMLIDISRRGRPCLSQSKRLFLPSGPLSLPLILLALAKGSRINILYPFSCIAPAILQLVQISSLAFDNGVDKDPRYAFLEASTISGILHASVYLDSIILPYYTGIDALASSTFSDCQRRTKQKP >KJB24130 pep chromosome:Graimondii2_0_v6:4:34293220:34295112:1 gene:B456_004G128800 transcript:KJB24130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPEFFCYVSILSCLFPIMVNSIGINYGQIANNLPSPEDVVPLVKSIGATKIKLYDADPRVLKAFANTDVEFMVGLGNEYLDKMRDPTNAQAWVKENIQPHLPATKITSIFVGNEVLTLNDTSLSDCLLPAMQSVHAALLNFGLDKQVTVTTTHSLAILQTSYPPSAGAFREDLMDPLCETLNFHQKTGSPFLINAYPYFAYKGNPEQVPLDFVLFQPNQGVTDPQTHLHYDNMLYAQIDAVYSALASLGYKKLLVHISETGWPSKGDEDEAGATPENAEKYNGNLIKLMSGKTGTPMRPNSDLNIYIFALFNENMKPGPTSERNYGLFKPDGTPAYPLGITPNNVVGRNTTVGGGRFGNAVTNPYYPMSSSTGYMSISSARQRYQLLGHVVRMVPLLIIKTLV >KJB24128 pep chromosome:Graimondii2_0_v6:4:34293220:34294912:1 gene:B456_004G128800 transcript:KJB24128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPEFFCYVSILSCLFPIMVNSIGINYGQIANNLPSPEDVVPLVKSIGATKIKLYDADPRVLKAFANTDVEFMVGLGNEYLDKMRDPTNAQAWVKENIQPHLPATKITSIFVGNEVLTLNDTSLSDCLLPAMQSVHAALLNFGLDKQVTVTTTHSLAILQTSYPPSAGAFREDLMDPLCETLNFHQKTGSPFLINAYPYFAYKGNPEQVPLDFVLFQPNQGVTDPQTHLHYDNMLYAQIDAVYSALASLGYKKLLVHISETGWPSKGDEDEAGATPENAEKYNGNLIKLMSGKTGTPMRPNSDLNIYIFALFNENMKPGPTSERNYGLFKPDGTPAYPLGITPNNVVGRNTTVGGGRFGNAVTNPYYPMSSSTGYMSISSARVSYMPFIEFYLHGKL >KJB24129 pep chromosome:Graimondii2_0_v6:4:34293220:34295049:1 gene:B456_004G128800 transcript:KJB24129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPEFFCYVSILSCLFPIMVNSIGINYGQIANNLPSPEDVVPLVKSIGATKIKLYDADPRVLKAFANTDVEFMVGLGNEYLDKMRDPTNAQAWVKENIQPHLPATKITSIFVGNEVLTLNDTSLSDCLLPAMQSVHAALLNFGLDKQVTVTTTHSLAILQTSYPPSAGAFREDLMDPLCETLNFHQKTGSPFLINAYPYFAYKGNPEQVPLDFVLFQPNQGVTDPQTHLHYDNMLYAQIDAVYSALASLGYKKLLVHISETGWPSKGDEDEAGATPENAEKYNGNLIKLMSGKTGTPMRPNSDLNIYIFALFNENMKPGPTSERNYGLFKPDGTPAYPLGITPNNVVGRNTTVGGGRFGNAVTNPYYPMSSSTGYMSISSARQQRYQLLGHVVRMVPLLIIKTLV >KJB25634 pep chromosome:Graimondii2_0_v6:4:52760164:52761207:1 gene:B456_004G201200 transcript:KJB25634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIRGFKLGRKLVKVFKWVSRPGRKNCMNSLLRPPTPSYNPLSRIWSFARFLRGGRKELSSWKLDPGYIQLGEKGVKRVEVPKGHLAVYVGESEGETRRVVVPVIYFNHPLFGELLEEAERVYGFNQSGRITLPCGISEFEKVKMRIADWDHCRRTQHRCYL >KJB24201 pep chromosome:Graimondii2_0_v6:4:36036986:36041149:-1 gene:B456_004G132700 transcript:KJB24201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNILVTGGAGYIGSHTVLQLLLGGYKVVVADNLENSSAVAIKRVEELAGQFGRNLSFRQVDLRDRSVIQKLFAETKFDAVIHFAGLKAVGESVEKPLLYYDNNVIGTITLLEVMAAHGCKNLVFSSSATVYGWPKEVPCTEESPLSAVNPYGRTKLFIEEICRDVHHSDPEWKIILLRYFNPVGAHPSGHIGEDPRGIPNNLMPFVQQVAVGRRPALTVFGNDYATKDGTGVRDYIHVVDLADGHIAALRKLSDPKIGCEVYNLGTGKGTSVLEMVAAFERASGKKIPLVMAGRRAGDAEIVYASTKKAERELNWRARYGIEEMCRDQWNWASKNPYGYGSPESNGVMK >KJB22980 pep chromosome:Graimondii2_0_v6:4:8824009:8824494:1 gene:B456_004G076700 transcript:KJB22980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFIVSFGQYKWNHLTIFIKVIKNNSLVVSKFKINLFQTIVRSIEFANNFPNEILDKVQLQRFLSSLNYVIDIYPGFSKLYKPLYERLKKNPQPWTNVHTNI >KJB23368 pep chromosome:Graimondii2_0_v6:4:14229059:14231128:-1 gene:B456_004G094700 transcript:KJB23368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPLYEVVTDEGLMRPCFKTRTGGLYSGGSAQMVENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRMALICRELEEEYKALIHSHGPRATVVEHINQNEVVGDLFNQLRLALQR >KJB23367 pep chromosome:Graimondii2_0_v6:4:14228447:14231103:-1 gene:B456_004G094700 transcript:KJB23367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPLYEVVTDEGLMRPCFKTRTGGLYSGGSAQMVENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRMALICRELEEEYKALIHSHGPRATVVEHINQNEVVGDLFNQLRSLLNATVRFLFSIIYCCLIPTLAATNVDDRELTESMQKLLIIMQRLQYALDGIGFGSHVHLSLWQNGQNVFQASDASSQHGMSKVGEEFTAGILDHLPSILAFTAPLPNRLILYFLHTCI >KJB23369 pep chromosome:Graimondii2_0_v6:4:14229164:14230341:-1 gene:B456_004G094700 transcript:KJB23369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPLYEVVTDEGLMRPCFKTRTGGLYSGGSAQMVENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRMALICRELEEEYKALIHSHGPRATVVEHINQNEVVGDLFNQLRLALQR >KJB24552 pep chromosome:Graimondii2_0_v6:4:42719781:42720127:1 gene:B456_004G150900 transcript:KJB24552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRIRKRKRKQKQDRAAEVMLYICDWPFLCSFKKGSSKLQKDKLMDPCMITAGPLPLYWNQPHERRSCAVE >KJB25326 pep chromosome:Graimondii2_0_v6:4:50004862:50005509:-1 gene:B456_004G185800 transcript:KJB25326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLRLLSLTQLQKLAQSQHNQNLMAASWVWNPQEAADDDSWEVRAFAQDTGNVMGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQTHPPAPTVPLINQTSSSTTQDFVSDGGLCLLYQIPTPNGAFNSPSMNPCSLDSTSPLLSMSPYPSNNLMAPPPPPYINYPATPPGLHNSSSADNNICSMETSIEELDLELRLGQRPTPS >KJB22724 pep chromosome:Graimondii2_0_v6:4:6225189:6226623:-1 gene:B456_004G062900 transcript:KJB22724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKARRRIARQKKAVRIFPRPTAGPLRPIVHGQTLKYNMKLRAGKGFTLEELKAAGISKKLAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRRARKSKAGDSTAEELATATQMQGPYMPIYREKPSVELVKVTEEMKSFKAYNKLRVERTNARHIGARLKKAAEAEKEDKK >KJB22725 pep chromosome:Graimondii2_0_v6:4:6225117:6226858:-1 gene:B456_004G062900 transcript:KJB22725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKARRRIARQKKAVRIFPRPTAGPLRPIVHGQTLKYNMKLRAGKGFTLEELKAAGISKKLAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRRARKSKAGDSTAEELATATQMQGPYMPIYREKPSVELVKVTEEMKSFKAYNKLRVERTNARHIGARLKKAAEAEKEDKK >KJB21613 pep chromosome:Graimondii2_0_v6:4:196599:199730:-1 gene:B456_004G003200 transcript:KJB21613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRVFELLFWVSLILCLACLVRGFTPADNYLIDCGSFTNTTVGHRVFMADNLASKLLSTPQSVIGNISKASITSSDDSPLYQTARVFTDVSKYTFSISRQGRHWIRLYFYPFAFGNYNLSLAKFDVSTENHVLLTSFSVQAPLVKEFSVNVTSNSLAVTFSPLKGSFAFINAIEVVSVPDELIQDGAHLVKSSMAFQGLTLQALETVFRVNMGGPTVSFENDTLWRTWVPDQPFLVEKNLAVSVSNIRAVKFVEGGATPDIAPSTVYGSCTEMNSANVTGGNFNVTWEFDVDPGFQYLVRFHFCDIVSDALNELYFNVFIDSLMVVRDLDLSTYLVNVLAAAYYMDYVTDLVTSNKLHVSIGPSTLPGAEPNAIMNGLEIMKMNNSDGSLTGSATINASGSSSKTNIGSIVGASAGVASVLLLAGVIFMVCRKRRRQALHGQSKTWIPFSINGGTSQTMGSKYSKGTTASLNSNASYHIPFLAVQEATNNFDESWVIGIGGFGKVYKGELNDGTKVAVKRGNPRSQQGLAEFQTEINMLSQFRHRHLVSLIGYCDEKNEMILIYEYMENGTLKSHLYGSGYPSLSWKQRLEICIGAARGLHYLHTGYAKAVIHRDVKSANILLDENFMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWAMKWQKKGQLEQIIDPNLKGSIRPDSLRKFGETAEKCLADFGVDRPSMGDVLWNLEYALQLQEAVVGRDPEENSTNMIGELSSQINNVGPFDPTVSSVQLEASSVNDLSGVSMSKVFSQLLKSEGR >KJB23426 pep chromosome:Graimondii2_0_v6:4:16017850:16019768:1 gene:B456_004G097600 transcript:KJB23426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNVSNQADALSSHRLSQSFGPFCGRIFVEKNENATALSLYKNMLQTGFLPNNYTFSFVLRACTDNSPVGLASHAQVIKLGWESYDFVLNGLIHLYANWSSVEAARKLFDVSTCRDVITWTALINGYVKSGHLEFARELFDQMPERNEVSWSAMITGYVHMGMFREALELFNDMQLTGLRPNHAGIVGALTACSYLGSLDHGRWIHAYVDRNGTELDRVLGTALVDMYAKCGCIEIACSVFEKMPDKDVFAFTSLISGLANHGQSADAIQLFGRMQSEKVIPNEVTFICVLSACSRMGLVDEGLRIFNCMSVVYGIEPGVQHYGCMVDLLGRAGLLEEAKRLVREMPMEPDSYVLGALLNSCRVHGDVELGKETVESLVERGLDHGGVLVLLSNMYASSNQWDWVVKVRKEMGAKKVSKVPGCSSIEIDGSVSEFIAGDMSYLRVEDVMLVLLGIDNHLKFLLLADDNTNSNMIAY >KJB27383 pep chromosome:Graimondii2_0_v6:4:1439864:1441003:-1 gene:B456_004G019400 transcript:KJB27383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSGIVGGIPFISLFIFTGILVNLIQVSCYLTIWPVSKSTFRRINGAITELLWLEVVWLMEWWSGFEVKVHTDAKTSQLMGNAIIIIIIIIIIIIIIIIIIQ >KJB27384 pep chromosome:Graimondii2_0_v6:4:57394936:57395445:-1 gene:B456_004G235700 transcript:KJB27384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVTEEIKGSASEIYHGDEICQEKSKFLLEEMGMPSGLLPLKDIEECGYVKDTGFVWLKQKKSITHKFDKIGKLVSYATEVTAVVEKNKIKKLTGVKTKELLVWITLSDIYVDDPPTGNITFKTPAGLFRTFPVSAFEVEGELKGAVKDKKEEKDNVKPAAVEAVKEV >KJB27067 pep chromosome:Graimondii2_0_v6:4:60938086:60938777:1 gene:B456_004G2756001 transcript:KJB27067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVPPVKPPVDLPPIAKPPITVPPVTVPVPPVTVPPVKPPINLPPVTVPPVTSPPSGKPCPPPPSTKATCPIDTLKLGACVDLLGGAVHVGVGDPVVNACCPVLKGLVELEAAVCLCTTLKLKLLNLKIYAPIALQLLISCGKTPPPGYTCSL >KJB24721 pep chromosome:Graimondii2_0_v6:4:44741520:44742503:-1 gene:B456_004G158100 transcript:KJB24721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKNNLSRRKKQHEFDLQREREEKDKKVKKLQAKKNKMKVDGSEKKKKKGGSGFQVGKRKLKTKLTEVAKAKAAQAMELDK >KJB24401 pep chromosome:Graimondii2_0_v6:4:40572959:40576621:1 gene:B456_004G143700 transcript:KJB24401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRCLKTGIHGMPPAISVTRLLENRTNAAQVSAVARSLVAGNNSNNNNRNQSTSSSSGAVVSPQKKVFSRLSCRYTLKSLWPSGSGGRKSARYSGTAVDDTVLLEKGNGEEMKVNGGEMNGTLEGPNGNWVMKILDVKSLWKEDAGKEGEEAEEGNNENGVVDGEERMCECCRACDDENEIKEIDKHSFSKMLKRVSLADAKLYAQMSYLGCLAYVIPRIKPENLLKHHGLHFVTSSMEKRESAMTVEKNHEVSSENQEVQRNNEDDMYGNEKKNIGYRISASAAYQIAASAASYLQSHTRTMLPFKSSNPESIKDSSKDGNGSESGSDMISSDMASLMATTDSVTAVVAAKEDVKQAVADDLNSTHSSPCEWFICDDDQSGTRFFVIQGSESLASWQANLLFEPIKFEGLDVLVHRGIYEIAKGMYEGMLPDVRSHLKSHGKRATFRFTGHSLGGSLSLLVNLMLLIRGEVLASSLLPVIMFGSPSIMCGGDRLLRQLGLPRSHVQAITMHRDIVPRAFSCNFPSHVVELLKALNGKFRHHPCLNNQNLLYAPMGQLLILQPDEKFSPPHHLLPSGTGLYFLTSPFSNEDSEEKLLRTAQRIFFNSPHPLDILSDRTAYGSEGTIIRDHDMKSYLKSIREVIRQEQNRIRKTKREQRRKDWWSLVLSRGVSAGIILRKSITTTGLHRGQFNLAIVLQTGRASLKRFGK >KJB22577 pep chromosome:Graimondii2_0_v6:4:5268874:5279313:1 gene:B456_004G055200 transcript:KJB22577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGTVWESVLEQTKSAQDKNSDPLVWAVQLSSRLNSAGVSLPSIDLAHLLVSHICWDNHLPITWKFLEKALTANFVPPMLVLALLSTRVIPKRKFHPTAYRLYMELLRRHAFPLKCQINGLNYQKIMKSIDDVLHLSLIFGVQVSEPGLLLVEFVFSIVWQLLDASLDDEGLLELTPEKRSIWPTVTQDMEIDTADNFNETRSAHHDVLYKGNTMIAIEIIGEFLQNKVTSRILFLARRNMPSHWEAFIQQLRVLAAKSVALRNSKHITPEKLLQLTSDTHNVLSRECKRISQQVLHAVMGDGSLTSPSGQWHGTSPSALWLPIDMFLEDAMAGPQVAASGAIDRLAGLVKALQAVNGTTWHDAFLGLWIAALRLVQRERDIIEGPVPRLDTCFCMLLSITTLVVANIVEEEESELIDETDGSPSNPTKEKQTTGRCRKGLISSLQMLGDYEALLTPPQAVCSVANQAAAKAIMFHSGRTVGNGYYECMSINDMPMNCSGNLRHLIIEACISRNLLDTSAYLWPGYVNVRANIPLSVPSQVPGWSSLMKGSPLTPTLINALVATPASSLPEIEKIYEIATKGSDDEKISAASILCGASLVRGWNIQEQVVLFIISLLSPPVPADYSGSESHLIRYAPFFNVLLVGISPVDCIQIFSLHGMVPLLAGALMPLCEVFGSTAPNGSWTLPTGEELTSHAVFSNAFTILLMLWKFDHPPVEHVMRDATPVGSERSPDYLLLVRNSKLSAFGKSAKDHLKIRRMSKILNFCMEPIFMDSFPKLKRWYRQHQKCIASTLSGLVQGTTVHQIVDALLNMMFRKIGRAAQSSTSTTSGSSSSSASGAEDILARLKVPAWDILEGAPYVLDAALTACAHGRIAPRELATGLKDLADFLPATLGTIISYFSAEVTRGIWKPVFMNGTDWPSPLANLSVVLQQIKKFIAATGVDVPSLETGGSSPAMLPLPLAALVSLTITYKLDKSSERYLVLLGPAMSSLGGSCPWPCMPIISALWAQKVKRWNDFLVFTSARTVFHHNSDAVVQLLRSCFTATLGLSPSIMCSNGGVGALLGHGFGSHFSGGMAPVSPGIMYLRSHRTIRDIMFMTEEIVSLLMFSVKEIASRLSQEKMEKLKKTTFGLRYGQVSLGTAMTRVKLAASLGASLVWLSGGLSLVQSLIKETLPSWFISAHTPELDRGEPGGVVSMLRGYALAYFAVLCGTFAWGVDSVSPESRRRPKVLDSHLEFVASALDGKISLGCDYATWRAYVTGFVSLMVGCTHKWVLDVNVDVLKRLSDGLRQWNEEELAIALLGLGGATATGTAAELIVEIGA >KJB22578 pep chromosome:Graimondii2_0_v6:4:5272493:5279349:1 gene:B456_004G055200 transcript:KJB22578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSITTLVVANIVEEEESELIDETDGSPSNPTKEKQTTGRCRKGLISSLQMLGDYEALLTPPQAVCSVANQAAAKAIMFHSGRTVGNGYYECMSINDMPMNCSGNLRHLIIEACISRNLLDTSAYLWPGYVNVRANIPLSVPSQVPGWSSLMKGSPLTPTLINALVATPASSLPEIEKIYEIATKGSDDEKISAASILCGASLVRGWNIQEQVVLFIISLLSPPVPADYSGSESHLIRYAPFFNVLLVGISPVDCIQIFSLHGMVPLLAGALMPLCEVFGSTAPNGSWTLPTGEELTSHAVFSNAFTILLMLWKFDHPPVEHVMRDATPVGSERSPDYLLLVRNSKLSAFGKSAKDHLKIRRMSKILNFCMEPIFMDSFPKLKRWYRQHQKCIASTLSGLVQGTTVHQIVDALLNMMFRKIGRAAQSSTSTTSGSSSSSASGAEDILARLKVPAWDILEGAPYVLDAALTACAHGRIAPRELATGLKDLADFLPATLGTIISYFSAEVTRGIWKPVFMNGTDWPSPLANLSVVLQQIKKFIAATGVDVPSLETGGSSPAMLPLPLAALVSLTITYKLDKSSERYLVLLGPAMSSLGGSCPWPCMPIISALWAQKVKRWNDFLVFTSARTVFHHNSDAVVQLLRSCFTATLGLSPSIMCSNGGVGALLGHGFGSHFSGGMAPVSPGIMYLRSHRTIRDIMFMTEEIVSLLMFSVKEIASRLSQEKMEKLKKTTFGLRYGQVSLGTAMTRVKLAASLGASLVWLSGGLSLVQSLIKETLPSWFISAHTPELDRGEPGGVVSMLRGYALAYFAVLCGTFAWGVDSVSPESRRRPKVLDSHLEFVASALDGKISLGCDYATWRAYVTGFVSLMVGCTHKWVLDVNVDVLKRLSDGLRQWNEEELAIALLGLGGATATGTAAELIVEIGA >KJB26306 pep chromosome:Graimondii2_0_v6:4:57446158:57450620:1 gene:B456_004G236300 transcript:KJB26306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGAEIAKKKAMWLYPKVSGFNPSERWGHSACFSNGVVYVFGGCCGGLHFCDVLMLNLNKMVWKTLETTGQGPGPRDSHSAVLVGTKMMVFGGTNGSKKVNDLHVLDLASKEWIQAECNGVAPSPRESHTATLVGEDKVVIFGGSGEGEAVYLNDLHVLDLRTMRWTSPTVRGHIPVPRDSHSAVAIGNKLVVYGGDCGDRYHGDVDVFDMDNSTWSRLAVQGSLPGVRAGHAAVSIGTKVFIIGGVGDKHYYNDVWVLDVSARCWAQLDICGQQPQGRFSHTAVFTESDIAIYGGCGEDEHPIKELLVLQLGTQHPNGRYNMCTIFGSHWNQEKRKFLRVAQNNLRTMYLGDNEVSKQEAHEAEQEAKRSFQSSSDPSNSKRKRSANPKTSEVESEQEEHSLSLSQHSSPSQSDQEQAPPQKPTNSTASHGLNLFKPLHHIPSNCQLNSVPNNHKETRSMVHNTRQELQFITEHQNLQKPEQNQHFVQTGRTGSQYSAAEHKRMEAGPIQNLLGAEVRGKVDGAFDSGFLMTATVNGKILRGVLFSPGQGLISREPMLAKTPASTSHIAATQPFLNSSNSEPVKASQSHPPTMRIQPEPSHSPRKALVNGLDSATKASSPSKLRRDLGDVVLTLGGPGSGHA >KJB26307 pep chromosome:Graimondii2_0_v6:4:57446438:57450327:1 gene:B456_004G236300 transcript:KJB26307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLNKMVWKTLETTGQGPGPRDSHSAVLVGTKMMVFGGTNGSKKVNDLHVLDLASKEWIQAECNGVAPSPRESHTATLVGEDKVVIFGGSGEGEAVYLNDLHVLDLRTMRWTSPTVRGHIPVPRDSHSAVAIGNKLVVYGGDCGDRYHGDVDVFDMDNSTWSRLAVQGSLPGVRAGHAAVSIGTKVFIIGGVGDKHYYNDVWVLDVSARCWAQLDICGQQPQGRFSHTAVFTESDIAIYGGCGEDEHPIKELLVLQLGTQHPNGRYNMCTIFGSHWNQEKRKFLRVAQNNLRTMYLGDNEVSKQEAHEAEQEAKRSFQSSSDPSNSKRKRSANPKTSEVESEQEEHSLSLSQHSSPSQSDQEQAPPQKPTNSTASHGLNLFKPLHHIPSNCQLNSVPNNHKETRSMVHNTRQELQFITEHQNLQKPEQNQHFVQTGRTGSQYSAAEHKRMEAGPIQNLLGAEVRGKVDGAFDSGFLMTATVNGKILRGVLFSPGQGLISREPMLAKTPASTSHIAATQPFLNSSNSEPVKASQSHPPTMRIQPEPSHSPRKALVNGLDSATKASSPSKLRRDLGDVVLTLGGPGSGHA >KJB24711 pep chromosome:Graimondii2_0_v6:4:44567510:44571005:1 gene:B456_004G1574001 transcript:KJB24711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKNCSMLIMDEADKLLSPEFQPSLEQLLCFLPPNRQILMFSATFPVTVKDFKDRYLKKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >KJB24710 pep chromosome:Graimondii2_0_v6:4:44567510:44569914:1 gene:B456_004G1574001 transcript:KJB24710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKNCSMLIMDEADKLLSPEFQPSLEQLLCFLPPNRQILMFSATFPVTVKDFKDRYLKKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKV >KJB24458 pep chromosome:Graimondii2_0_v6:4:49761294:49763727:1 gene:B456_004G183800 transcript:KJB24458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREEIKGSKPSFPLTFWELAVASTMVFGIVLGLVGVYLTMPASDYSFLKLPRNLEDLQILRDNLESYTSDYTVQVLVGYCAVYIFMQTFMIPGTVFMSLLAGALFGVFKGVALVVFTATAGASSCYFLSKLIGRPLVFSLWPDKWLKEGRDC >KJB24459 pep chromosome:Graimondii2_0_v6:4:49761294:49763727:1 gene:B456_004G183800 transcript:KJB24459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREEIKGSKPSFPLTFWELAVASTMVFGIVLGLVGVYLTMPASDYSFLKLPRNLEDLQILRDNLESYTSDYTVQVLVGYCAVYIFMQTFMIPGTVFMSLLAGALFGVFKGVALVVFTATAGASSCYFLSKLIGRPLVFSLWPDKLSFFQAQVIFDSLRKNIAFVELESGISCNFMHAGGSKKGETVELYAFPKAYSNFAKYIH >KJB24457 pep chromosome:Graimondii2_0_v6:4:49761262:49763868:1 gene:B456_004G183800 transcript:KJB24457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREEIKGSKPSFPLTFWELAVASTMVFGIVLGLVGVYLTMPASDYSFLKLPRNLEDLQILRDNLESYTSDYTVQVLVGYCAVYIFMQTFMIPGTVFMSLLAGALFGVFKGVALVVFTATAGASSCYFLSKLIGRPLVFSLWPDKLSFFQAQVAQRRERLLNYMLFLRLTPTLPNTFINVASPIVDVPYHIFFMATLIGLIPAAYITVRAGIALSELQSLGDLYDFNSIATLFLIGVVSVTPTLMSKTKQ >KJB26272 pep chromosome:Graimondii2_0_v6:4:57216894:57217523:-1 gene:B456_004G234300 transcript:KJB26272 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein B6 [Source:Projected from Arabidopsis thaliana (AT5G07110) UniProtKB/Swiss-Prot;Acc:Q9LYQ4] MLPVSVSTLEPNADSKPLITAQTLLTRLSTSLRLALSHCRPWTDFFDRTAFAKPASFSDSTSRLRKNFSYFRANYLTILTAVLAFSLITHPFSLIILLSLIAAWLFLYALRPSELPLVIWGRTYSDIEKLAILVVLTVVVIFFTSVGSLLISGIMMGIAIVCAHGAFRMSEDLFLDEQEPFGLGLFSFVSAAASSAAANAAAPVIVSRV >KJB27115 pep chromosome:Graimondii2_0_v6:4:61146028:61148229:1 gene:B456_004G279000 transcript:KJB27115 gene_biotype:protein_coding transcript_biotype:protein_coding description:GA3OX3 [Source:Projected from Arabidopsis thaliana (AT4G21690) UniProtKB/TrEMBL;Acc:A0A178V5K5] MILSIYIYIYVCQVLLPKQQNFHCFQMNPTMKLQTQTFNNVPTLPDSYVWSTTPSESPCLHSIDEKQVPPVVDIGDPSAFSLVRDACEKWGVFQAINHGIPLSLFQETEFEARRLFSLPTEQKQLVARLPEGFTGYGLVRISRNFPKLMWSECFGMIGSPVEHASQLWPQDHANFCEVMEQFQVEMKTLCEKLVAVMLRSFGLTNEQDTKWFEPKNESDRAKCFLQLNSYPVCPDPDRAMGLAPHTDSSLFTLLYQGGISGLQVYDDGVGWVGVQPVEGALVVNVGDLMHIVSNGRFKSVLHRVVVNNTRHRISTAFFYLPPWDAKVSPLKKLVEFDHLPMYRQVTWKEYVETKSKDLSKALDSIRI >KJB25308 pep chromosome:Graimondii2_0_v6:4:49958065:49958362:-1 gene:B456_004G185200 transcript:KJB25308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGEFPQQELTGICLRTCMIHYAAYRNIFPLWALGEYRRHVLLPSYSI >KJB23980 pep chromosome:Graimondii2_0_v6:4:31855133:31856511:-1 gene:B456_004G123100 transcript:KJB23980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDYHPAVDEAIAEQAPQIPRNKKKNKLKKKRRFSDEQIRLLESIFESETKLEPRKKMQLARKLGLQPRQVAIWFQNKRARWKSKQIEQDYNTLKANYDNLESRFESLKKEKQSLILQIQKLSQLLEEHRKGRKGLDGSSTDGGGGGGGSEYGEIKCETEAEGQPSFQHKECLGLQTENERDDVKQTGQGGEEFLIMDEYRNDSPASPDKFYGLHSADMFDHSYTNSQWLNFWT >KJB23613 pep chromosome:Graimondii2_0_v6:4:22071842:22073766:-1 gene:B456_004G108000 transcript:KJB23613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTSSFHFFPVFLLLLLLLLLSFSHFNSNQFTQVFTSFSPFRREETNHTTTTPHVSLDGVLSTSMYKSTKHKAATIKKKSNPERIEQDLAKARAAILKAVQQQNFTLEKQDTFVPRGSIYRNAYAFYQSHAEMVKRLKVWTYREGEPPLVHNGPLNNIYAIEGQFMDEMESKENPFKARHPDQAHIFFLPLSVTGVVHYVYKPITTVRDYSRDRLQRLVTDYINTVAAKYPYWNRSRGADHFMVSCHDWAPEVSHANPQLFKHFIRVLCNANTSEGFRPKIDVSLPEIYLPFGKLGPPDLSRGPNNRPVLAFFAGSPHGYIRKILLEYWKDKDSEVQVHAQLPKDVNYTKMMGQSKFCLCPSGFEVASPREVEAIYAGCVPVIISDNYTLPFSDVLNWSQFSVQVPVGKIPEIKTILQGIPDSKYLKMHKRVKRVRRHFELNRPAKPFDVIHMVLHSVWLRRLNFRLSP >KJB25615 pep chromosome:Graimondii2_0_v6:4:52080005:52081517:1 gene:B456_004G199300 transcript:KJB25615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSTALRRVPVSILFSKLANLSPARTVSVATPTVARSFNTNAQLTKFNDEDRSVNVQRQSDRSVSRRRDSPRFFSDVFDPFSPTRSLSQVLNLMDQFTDHPLLSTPSLSSRKGWDMREDNNALYIRIEMPGLSKEDVKISVEQNTLIIRGEGGIDWEGEEEEEEGGGRRYSSRLDLPPTMYKADEIKAEMKNGVLKVVVPRVKEDERKDVYQVTVE >KJB23116 pep chromosome:Graimondii2_0_v6:4:9841729:9843801:-1 gene:B456_004G081700 transcript:KJB23116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVFSTEIVTPPEELVAAGDRSPSPRTTALALMKRYINSNPSSVSIQIGDQVHLAYSRHSESLLQPRSFGVKDDIFCLFEGMLDNLGSLRQQYGLAKCADEVMLVIEAYKALRDRAPYPPSHVVGHLAGNFAFLVFDKSTSTLFVASDQSGRVPLYWGITADGFVAFSDDAEMLKSACGKSIASFPQGCFFSTTLGEIRSYENPKNKIIAIPAPDEEIWGATFKVEGPAVVLARE >KJB23115 pep chromosome:Graimondii2_0_v6:4:9841729:9843085:-1 gene:B456_004G081700 transcript:KJB23115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGCRSFGVKDDIFCLFEGMLDNLGSLRQQYGLAKCADEVMLVIEAYKALRDRAPYPPSHVVGHLAGNFAFLVFDKSTSTLFVASDQSGRVPLYWGITADGFVAFSDDAEMLKSACGKSIASFPQGCFFSTTLGEIRSYENPKNKIIAIPAPDEEIWGATFKVEGPAVVLARE >KJB22499 pep chromosome:Graimondii2_0_v6:4:4613146:4613922:1 gene:B456_004G050600 transcript:KJB22499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMLLWNEAVLRASVGGLLRDNCGSWIVGFRKNVGACSVQKTTSEYHGGLQQAWFKEIRRLIVENDNITILCMLNGNENSTNLCALVQGICVPCRRLWEIKFEHVFQEANKAAFGLHIHDYPPIGAANFCHVIQQEPRLLGMALFKLLPEYSATIFTQKKKSRINKGFIATISPRNGIAMVRNWKR >KJB22648 pep chromosome:Graimondii2_0_v6:4:5681714:5682741:1 gene:B456_004G058800 transcript:KJB22648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATGNVGGGGPEEDKKPADQANHINLKVKGQDGNEVFFRIKRSTQMRKLMNAYCDRQSVDLNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGAE >KJB23211 pep chromosome:Graimondii2_0_v6:4:12416857:12418344:-1 gene:B456_004G091000 transcript:KJB23211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCPSGILLFLILISLPASSFAVADDDNLSAYQALQQYDFPVGILPNGVVGYELNRETGEFSAYLSGACKFDIDSYQLSYESTIKGVISPGRITNLKGVSVKILFFWLNIGEVIHNGDQMEFSVGIASANFPIDNFYESPQCGCGFNCNGLNALASSI >KJB24154 pep chromosome:Graimondii2_0_v6:4:35159409:35161490:-1 gene:B456_004G130400 transcript:KJB24154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKEVFEGVEWRIRVAADGSSEALVPATGLARKAWLGIKGLISGFALKVRMFVKKAWDVGTNDPRKVIHCIKVGLALAIVSLFYFMRPLYDGVGGNAMWAVMTVVVVFEPTVGATLSKCFNRVLGTFLAGFLAVGVHWVASQSGERVEPFVVGASVFLLASAATFSRLIPSVKSRFDYGALIFILTFSFVAVSGYRVDKLFELAHHRISTIIIGSSLCILVIMLVCPIWSGQELHSLIVRNMDKLADSLDGCVTQYFNQSGEGTNSKEEATDNKLQGYKCVLSSKASEESMANFGRWEPSHGQFNFRHPWKQYLKIGASMRSCAYCIEALNSCIKSENQAAKLIKQHLGSGCLKVSSSSSNVIRELAETVKTMKKKSSTTDLLAEEMKSAVQGLQNDLKKIPHLLNPSAIPGNKTLETACSEATTPTVTLMELVPVVTLASILIEISGRIEALFDAVEELAKLADFGINDDKSKHSKMTDKTVSDAKQQTEGTMKALQRV >KJB23698 pep chromosome:Graimondii2_0_v6:4:23510721:23512098:-1 gene:B456_004G110400 transcript:KJB23698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMGIEKPAWLEALYTQKFFVGCCYHETAKKNEKNVCCLDCCISICPHCIPSHRFHRLLQVRRYVYHDVVRLEDVQKLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGAGNYCTSCDRSLQDPFIHCSLSCKVDFVVKHYKDLTPFLRTCNTLTLSPDFLIPQDMGEDEMTNETPHSTVVDSNEPQSLSSGSDNTSMAMAMAMAMAYNSGQHQIVRKKRSGLYLCGRSASKVSDEDMATSMSRRKGIPQRSPLC >KJB26034 pep chromosome:Graimondii2_0_v6:4:55671136:55674903:1 gene:B456_004G222100 transcript:KJB26034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIQKLSIFVCHFMFLSLNPFNITFSSARSQGEALIQWKNSLVHSPTSLESWSAENINNLCNWTSVACDGAGMVSELNLLSSNINGTLTELDFTSFSNLTRFDVKNNSLNGRIPVAIGSLSKLVYLDLSDNYFKGNIPSELGQLPELRYLNLFNNNLNGTITYQVSTLQKLWYLDLSCNKLDSPDWSKFLAMPLLRHLSLANNSLTLRFPGFIQDCRNLTFLDLSVNNLTGPIPESVFTNLGQLQYLNLTNNSFQGPLSPNISKLSKLINLHLGTNKLNGPIPESIGFLSDLETLELCENSFENKIPSSLGQLTKLQKLDLHSNRLNSTIPFELGSCSNLTFLALAENKLTGELPLSLSNLTKISELGLSHNLLSGEILPSLISNWTNLISVQLQFNSFTGKIPPEIGLLRKLNLLYLFTNKLSGPIPSEIGSLENLTQLSLSTNKLSGPIPLTLWGMKNLELLQLFENNLKGTIPEDIGNLTSISSLNLESNRLYGELPESISRLTNLQGFSLSSNKFSGRIPQDFGRYIPQLNFVDFANNSFSGELPPQLCSGFALVMFTVNGNNFTGSLPACLRNCSGLNRVRLDGNQFTGSITNAFGVHPNLKFINLMDNQFIGEISPEWGECKILTNLRMDRNRISGEIPAELGKLTELQLLTLDSNKLTGTIPSELGNLKKLFELNLSNNHLSGPIPRTIGGLLELQFLDLSKNSLTGTTPKELGNCNKLLSLNLNHNKLSGDLPGELGSLDVLQYSLDLSSNSISGKIPPELGRLISLENINLSHNNLSGEIPTTLLQMISLYSFDFSYNELTGSVPIAGPFQNASQEAFAGNSGLCANIKGLSPCGSVSTSKSSRQRTVKVVLGITLPVFGVLATAAAIFTCRHRRNQLDKEAEGRKFEHSESIWNTIERRFKFGDIVKATEDFNERYCIGKGGSGSVYIAELPTGQVVAVKKLNIPDSIDVEVINRQSFENEILMLTEIRHQNIIKLYGSCSHKGLMYLVYEYMERGSLKSALYGEEGGVELGWAKRVKIVQGLAHAVAYLHHDCSPPVIHRDISLSNVLLEAGLEPKLSDFGTARLLDPNSSNWTAVVGSYGYMAPELALTMQLTNKCDVYSFGVVALEVMMGRHPGELLNPLSSSSSTLSPGNREMCLKDLLDQRLPPPRGKIAEEVEFVVRMGLACTNIEAESRPTMRLLAQELSARTQVCLRKPLGSITVSKLTGYQK >KJB21658 pep chromosome:Graimondii2_0_v6:4:430159:432795:-1 gene:B456_004G007200 transcript:KJB21658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFFTISLLILTAATIHVVAAIGSASTVAVVYGTGTICGIIANEPTQRVQCYQNGLKLDVSVSPNVSFESISGGKTFFCGLRSGGFSLHCWDTRALFNPKRIYLNYNVSLTDLTVGVDHVCAREVSSGIARCWRGNRGSPFSSPGDGLKFSKITSGNGFSCGILMNSSKVVCWGYNEISVEIQTQFGNLSMSNLVAGDSHVCGLTKTGFLVCKGTGQLDVPTSSAFEFTGLTSGRGFGCGIQRRNGLVQCWGSGDNNRVKDVSFETIVAGLDFICGLTTRNLTIICWGNAFTSDVPVPMVIPGPCVKSSCNCGTYLNSETLCYGSGNICKPCQTELPIPVPIKPVPSRGSEPSKGINKLSMAFLIVGSVGAFVGICSVLYCLWQWKCGSWLCRSNSSVQPTVANDAIAPPPLRSFSLRHNSSRRLSRQRSGSSSSKQAEKTQSFSFSELADASNNFSIKNRIGSGSFGVVYRGKLRDGREVAIKRGENDSLEKKAKKFQEKETAFESELALLSRLHHKHLVGLIGFCQENDERLLVYDYMTNGSLHDHLHNTNNIEKGSSELNSWKMRIKIGLDAARGIHYLHNYAVPPIIHRDIKSSNILLDANWTARVSDFGLSLMGPESDQQFMSTTAVGTVGYIDPEYYVLNVLTPKSDVYGLGVVLLELLTGRKAVFRNREDRTGPMGVVEYAGPRISTGDLQSVLDTRVGVPEIHEVEAVELMAYTAMNCVNLEGKERPNMTDIVANLEKALTLCENSHASLSSTTISLPSD >KJB23861 pep chromosome:Graimondii2_0_v6:4:29218643:29220350:1 gene:B456_004G119700 transcript:KJB23861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKKKIGRKFNIPTHHQLFVSRESERESERRKRRFVFGAWLRRRGWALGMESHVPSNRNETWTEEKHVHFLNSMEAWFVNTMLQSNDRYNLRLDRHLPDSSDSTLDCKPRTKHSTPVAVHFIGKTRSKMKKSRPVKRSMRSSSQPHDSSQDQVVPQLKN >KJB23482 pep chromosome:Graimondii2_0_v6:4:18375650:18378277:-1 gene:B456_004G101100 transcript:KJB23482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYKKASKHIAVLAFPFGTHAAPLLSIIRRLSEASPASMFSFLCTERSNSLTFPKGHQKHDSIKPFNVWDGLPEGYTHCLKRNPHEPVDYFLKAVPQNFKDAVEALVAETGRPIDCLITDAFYYFGADIADELKVPWVALWTASPRALFSHAETEFFRRHVGINDPLDKPLDFLQGFASIRVADLPDGVVRGNFDASVPVLLNKMGLTLPRAAAVAANSYEDLDNAVVNMLKSRYKRFLNVGPSNLMSSSPFDDRHGCLDWLERHQPLSVVYISFGSVITPPPHEIEALTQVLEESNFPFLWSFRGDVEKQLPPGFPKRTSSKGKLVPWAPQQKILEHPSVGVFVSHGGWNSILESISGGVPMVFRPFFGDQKLNTRTVEAIWGFGLGLEGGTLTKEATTNALNFILSTEEGKKMRQKVGVQKELAYKAVQPNGSSIENFKTLVGVVTCHHYLP >KJB23004 pep chromosome:Graimondii2_0_v6:4:9049208:9052860:-1 gene:B456_004G077500 transcript:KJB23004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASPRTRRRPDRITQFPLTGNQLPESPRGPMEFLSRSWSASALEVSKALAHPPPPSLSHHHYHHYHHSASSSSSSTAPKSSSSSSCTTASIPEDTNGETEELDKAAAANLHFSFSSSATSQLVLERIMSQSEVSPLTSGRLSHSSGPLISDSPPVSPSEEFDDIVKYLRTHNSIQPLFNGGRAGAGNGVNTPSGAKTVGRWLKDRKEKRKEETRAHNAQLHAAISVAAVASAIAAIAAATATSSSTTPGKNEQSAKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSHDDILTLTAAAATALRGAATLKARALKEVLNIAAVLPSEKGISNGLYGKVNNGHLNRNYSGELPPGAACNHELLAKGTELLKRTRKGDLHWKVVSVYMNRTGQVILKMTSKHVAGTFTKKKKNVVLEVCKDMAAWPGRHMLEGGEQRRYFGLKTETRGLVEFECRSQREHDMWTRGVSRLLSIAAETNRKRQCSTTWNHGGGSGGKSLN >KJB23585 pep chromosome:Graimondii2_0_v6:4:21580972:21582529:1 gene:B456_004G106000 transcript:KJB23585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSFSIFLLHRSVDEAIEHPTFSALTRPLCDLYSTYSSPSSCNDKRNSGYVDVVVVLNAVGLIYWSKLLMLLFLCIIIDSMV >KJB25619 pep chromosome:Graimondii2_0_v6:4:52091620:52094366:1 gene:B456_004G199500 transcript:KJB25619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRWDLKVHEVAESSFRRANHLFSCICDGSQKRSNQEISLMAQDTVNGFRKLLSLLDGSMQSECKRIRRGPLPKSNNVNPAELMDSPSFASQSSICKPSQPHILGQLVSPQFNQSNTRLIPRNVVVLPNLIMGLHHSSTLPTMMPIFDKKLIPWSSSEIVVPQDESCMLLSKRKIGVESEEASTKCAASTGGCHCSKRRKLRIRKTIRVPAISNKPSDIPPDDHSWRKYGQKPIKGSPYPRSYYKCSSTRGCPARKHVERCLEDPSMLVVTYEGDHKHLRITFQSPSNVMV >KJB25620 pep chromosome:Graimondii2_0_v6:4:52092279:52094408:1 gene:B456_004G199500 transcript:KJB25620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRWDLKVHEVAESSFRRANHLFSCICDGSQKRSNQEISLMAQDTVNGFRKLLSLLDGSMQSECKRIRRGPLPKSNNVNPAELMDSPSFASQSSICKPSQPHILGQLVSPQFNQSNTRLIPRNVVVLPNLIMGLHHSSTLPTMMPIFDKKLIPWSSSEIVVPQDESCMLLSKRKIGVESEEASTKCAASTGGCHCSKRRKLRIRKTIRVPAISNKPSDIPPDDHSWRKYGQKPIKGSPYPRSYYKCSSTRGCPARKHVERCLEDPSMLVVTYEGDHKHLRITFQSPSNVMV >KJB26478 pep chromosome:Graimondii2_0_v6:4:58132686:58135283:1 gene:B456_004G243800 transcript:KJB26478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLHSSLIFRSIVNGGRNPSKFHSSYSFNTIASHVREKQKKHDSLDNVDDAFFLFNMMIHKYPMPSVVEFNKLLGAIVRMKRYGIVVSMCSQMELFGVSRDVYTLSILINCFCQLGQIDFGFSILGKMLKLGVEPTVVTFSTLINGLCNQSKISQAVSLFDEMVGRGYQPDLIVYSTVLNGLCKTENTDRAVRFLRMIEERGFEPNIVAYNTVIDCLCKNSLLKEAFDLFSEVKDKGIRPNIVSYNCLIHATCNLGQQKETRQLLNEMVDNNISCDIVTYNILIDAHCKEGMIYKAVDIVDTMVKQGIEPNIVTYNIFIDAYCKEGMVSKAVETIDTMRKQGIEPNVITYNILVYAYFNKAMVSEAEDIVDTMIKQGIEPDVVTYNAIINGHCLQNQMGKAKRVFSLMIEKGCAPDILSYNIMINGYCKSKRLDEAMELFHQIAQNGPIPDTVTYNTLMQGMCQFGRVIAACELFKTMLASGPVPNLVTCLILLDSLCTRGKLEVALKLFRAMQNSRLKLNVASYNILIDGLCKAGNIEVGKELFHKLSVNGLKPNVYTYAIMINGFCRDGLPDEAYQLFRSMEDNDCLPDSFCYNVMIQGFLRNGYTLKATQLLSEMVGKGFSANLCTATLILDLILRSNNSILV >KJB21734 pep chromosome:Graimondii2_0_v6:4:788436:792133:1 gene:B456_004G011200 transcript:KJB21734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPQFGKLMPNLDQQSTKFLNLTVLRRIDPFVEEILITAAHVAFYEFNIDLSQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVENLLGDFEYEVQDKYLLYRNASQEINGIWFYDAHELEEVANLFSRILTAYSKVPQKSKATSTKGGFEELEAVSTMAIMDGPLEPSSSTAPSVADVPDDPAFVNFFSTAMTIGNASKTSNFGQPYQCSAAMPAPSNPPIVASPTVPALHLPYPLSSSTPLMPLLDTPESGSNHTNLVKPSTFFLHPSSSSQILPPVSTSSPTAPLLNPPLSLQRPHGAPLLQPFPPPAPSPSLTPAPVPTHNYGLTISREKVRDALLTLIQDNQFIDMVHRALLNAHNS >KJB25650 pep chromosome:Graimondii2_0_v6:4:53139821:53140811:1 gene:B456_004G202300 transcript:KJB25650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLSQILIQATRKRDEALMEASRLKCSMAELEKKLNKLDVYCHSLKSGLDECNSNSPYRMGQTHNIHQVKKQDGVIGAYEKVIQQFLASVSESRSSTRLLSRSLTMQLRHMANYKSFNELQGLTWEEVLNKGTRHFSEEFSKFCDRKMNEIVAMLGWNRAWPEPLLQAFFGASKSVWLVHLLANSVHPGLPIFRVDKGVRFDSVYMEDMDGERAKKLVPSVVRIMITPGFYVYGNVVKCKVICRYSNNVDGSLIDKGLTSSSP >KJB23535 pep chromosome:Graimondii2_0_v6:4:19986660:19988527:-1 gene:B456_004G103600 transcript:KJB23535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRYIGIEMKVSLTVCMILCLTSIVHADQGKAVFFEPPYTPSACYGTQSYGNMVAGVSDALWNNGRACGKSYRVKCLGGANEAPHPCKNGNTAVVKVVDYCKAGCQGIINLSKYAFSTIADPDAGIIQVEFNEV >KJB23536 pep chromosome:Graimondii2_0_v6:4:19986700:19988527:-1 gene:B456_004G103600 transcript:KJB23536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRGRLFSLNLLILVTSACYGTQSYGNMVAGVSDALWNNGRACGKSYRVKCLGGANEAPHPCKNGNTAVVKVVDYCKAGCQGIINLSKYAFSTIADPDAGIIQVEFNEV >KJB26085 pep chromosome:Graimondii2_0_v6:4:55898542:55898943:-1 gene:B456_004G224400 transcript:KJB26085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEPIEGHDDNNNSNLSLRITWHVHTVNDLSDGKILLVHCKSKDDNLGIHNLTVGSEFSWKFKQQILGATLFWCYMAYYNFYASFDVFWVTEVFLHKCNYHDCIWIARDDEIYLKIFPDQHDEYTHSWESGRLL >KJB22469 pep chromosome:Graimondii2_0_v6:4:4511626:4513614:-1 gene:B456_004G049400 transcript:KJB22469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAEVVCQQRVPVLDVPYFGKGSNIEEIDEIVAVSSPVSSPKLGKVGLPADLPTPQLDVKSVEKITDPSIGTTTVVQFVPDIRSGSFADIGPRRYMEDEHIRIDDLSSHLGPLFKFPKPSAFYGVFDGHGGPEAAAYVRKHALRFFFEDVDFPRTCEVDDVFMEGVENSLSKSFLLADLALADDCTVNSSSGTTALVAMIFGRHLMVANAGDCRAVLCRKGEAVDMSEDHRPIYPSERRRVEDLGGFIDDGYLNGVLSVSRALGDWDMKFPKGSSSPLIAEPEFRQVVLTEDDEFLIIGCDGIWDVMSSQHAVSIVRKGLRRHDDPEQCARDLVMEALRCNTFDNLTVIVVCFSSPDHLEQPSPRPRRLRCCSLSAEALCSLRSLLDANAKW >KJB26681 pep chromosome:Graimondii2_0_v6:4:59161677:59162804:1 gene:B456_004G254600 transcript:KJB26681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNYIIEITLISAQGLKKTTTFRRMRTYAVAWIDSSVKLRSCIDCVGGENPTWNDKFLFKVSSEFLYSETSGISIEIFADGIFRDTLVGTVRLLVGNLLRDGSSYIAIRVPSFSAVQVRRPSGRFQGVINIGASVLWTSDVPAMSGVSAMGFHNLFQENRIPKGVRRSHSSIGISYENRFVRGSTYSSSPSTSMASKERKRMIKETEETKHARSSSDGAILGVGLGLSPKKAAYLHPFGLNQRFHDRKLTKVRSSLTS >KJB26789 pep chromosome:Graimondii2_0_v6:4:59547801:59549474:-1 gene:B456_004G259600 transcript:KJB26789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGTLCFVVALLGIISAGAGFAAEVTRVKASQVTLELGECVYPRSPALVLSLISAATLLMGQIIINFSTGCFCCKRNNAQSHSSNWTKALCFYIVSWITFMTAVGLLLTGAALNDRRGEQVYKDGGIYCYVIKPGVFAVGAVLSALSSIFGVFYYQTLNSKAKDASNAPIPSQGGIVMAQPQFPSENPGFVNGDAYNKRQFN >KJB22372 pep chromosome:Graimondii2_0_v6:4:3846291:3848855:-1 gene:B456_004G044100 transcript:KJB22372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFVGNFEFETRQSELERLFSKYGRIDRVDMKSGFAFVYFEDERDAEDAIRGLDNSAFGYDRRRLSVEWAKGERGRHRDGSRSTTNQRPTKTLFVINFDPIRTRERDILKHFEPYGKVLNVRIRRNFAFVQFATQEDASKALEATQRSKLLDRVVSVEYALRDDDERDGRYDSPRRGGNGRHGDSPYRRSPSPAYRRRPSPDYGRARSPVYDRYNGPAYDRHKSPEYGRYRSSRSPVRRSRT >KJB22373 pep chromosome:Graimondii2_0_v6:4:3845832:3849228:-1 gene:B456_004G044100 transcript:KJB22373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFVGNFEFETRQSELERLFSKYGRIDRVDMKSGFAFVYFEDERDAEDAIRGLDNSAFGYDRRRLSVEWAKGERGRHRDGSRSTTNQRPTKTLFVINFDPIRTRERDILKHFEPYGKVLNVRIRRNFAFVQFATQEDASKALEATQRSKLLDRVVSVEYALRDDDERDGRYDSPRRGGNGRHGDSPYRRSPSPAYRRRPSPDYGRARSPVYDRYNGPAYDRHKSPEYGRYRSRSPVRRSRT >KJB23332 pep chromosome:Graimondii2_0_v6:4:13731797:13734289:1 gene:B456_004G093400 transcript:KJB23332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFKAKVTFTIWWSGLGISIQRMAYLQTCCPLTTPIRTRFSPSLFVFSSLRFLLNRLHGQRHGFSPLHSIATKEMIEASKNLCSFVEIGYISSVHGLQGEICIKPNTDFPELRFCKQQVLSKETIKEVELVEGREHPGKKSWILSFSGIETVDQARQLVGSTLLAEEEDRPHLEKGEFYTRDLVGMRAILKVMYLFAHQPLIFHIQV >KJB23331 pep chromosome:Graimondii2_0_v6:4:13731797:13734289:1 gene:B456_004G093400 transcript:KJB23331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFKAKVTFTIWWSGLGISIQRMAYLQTCCPLTTPIRTRFSPSLFVFSSLRFLLNRLHGQRHGFSPLHSIATKEMIEASKNLCSFVEIGYISSVHGLQGEICIKPNTDFPELRFCKQQVLSKETIKEVELVEGREHPGKKSWILSFSGIETVDQARQLVGSTLLAEEEDRPHLEKDSQAQSILT >KJB25794 pep chromosome:Graimondii2_0_v6:4:54229268:54229867:1 gene:B456_004G209800 transcript:KJB25794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFIPEEYVIMRRMEKKTGGIGGKSRNMVAVEASKKLGKENMAQQLPPTYRVESKELLIPDGIAETVVFCCLSA >KJB24942 pep chromosome:Graimondii2_0_v6:4:47971531:47974660:1 gene:B456_004G175900 transcript:KJB24942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQIPFSGILNNKRIFNPDFYNWNRIKVRYCDGSSFTGDVAAVNPVTNLHFRGARVWLAVMEDLLSKGMRNAENAILSGCSAGGLASILHCDSFRALLPMGTKVKCISDAGYFINTRDVSGGHYIQTFFDQLVATHGSAKNLLPSCTSRMKPGLCFFPQNIAQQIRTPLFIINAAYDSWQIRNILAPGIADPHGHWESCKLDIKNCLPSQIKTSGYNSWLHCFD >KJB24941 pep chromosome:Graimondii2_0_v6:4:47971335:47974692:1 gene:B456_004G175900 transcript:KJB24941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDTTRSCLWLWLLVLGLLLFTTNGAYVPITYVQSAVAKGAVCLDGSPPAYHWDKGYGTGINSWLIQLEGGGWCNNVSSCLVRKNTHLGSSKRMVKQIPFSGILNNKRIFNPDFYNWNRIKVRYCDGSSFTGDVAAVNPVTNLHFRGARVWLAVMEDLLSKGMRNAENAILSGCSAGGLASILHCDSFRALLPMGTKVKCISDAGYFINTRDVSGGHYIQTFFDQLVATHGSAKNLLPSCTSRMKPGLCFFPQNIAQQIRTPLFIINAAYDSWQIRNILAPGIADPHGHWESCKLDIKNCLPSQIKVMQDFRLQFLVALLRLGKSASRGMFIDSCFAHCQTEMQGLWFMQDSPLLNKTKIGKAVGDWFYDKKPFQKIDCAYPCNPTCHNRVYDDPHAPHS >KJB21651 pep chromosome:Graimondii2_0_v6:4:387631:390095:1 gene:B456_004G006600 transcript:KJB21651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLFTIGLIASWYASNIGVLLLNKFLLSNYGFKYPIFLTLCHMMACSLLSYIAIEWLKIAPMQRVKSRLQMMKISALGFIFCLSVVGGNISLRYLPVSFNQAVGATTPFFTAVFAVVMTSKREGWITYVTLVPVVAGVIIASGGEPLFHLFGFMMCIGATAARALKSVLQGLLLSSEGEKLNSMNLLMYMAPVAVMALFPAALYMERGVVGITIALARDDWKFLIYLLFNSALAYFVNLANFLVTKHTSPLTLQVLGNAKGAVAVVISILIFKNPVSITGMLGYSLTVAGVILYTEAKKRSM >KJB21924 pep chromosome:Graimondii2_0_v6:4:1635650:1636730:1 gene:B456_004G021800 transcript:KJB21924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVPHELTIDILCHLSSWCSSIEDPDFIKLNLSHSLKTNTNHSLLLRPCGYHFFSVNYDSLKTTQTLKILGSCNGLLALKNDNKRIFLWNPSTRKSQVLPSTEIGRAGLTFYGFWYDPISDDYNVVRMLNKRFGFLANNAVHWMAFKSPQSGKENLAGFDLASEEFRSVELPDFCLDEPFWFGIGTMGGYLCLSAVHGELGDIVADVWIMKEYGVKESWSKLISWNQPHYIPSVVVPLAFSKNGKKVLFNIGYQWFSFDERDRFVRYDVGSERVENVEIKGLPSSFDVHLYVESLVPLNSNAQQ >KJB25519 pep chromosome:Graimondii2_0_v6:4:51553518:51556073:1 gene:B456_004G195700 transcript:KJB25519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFEHFLHKHTLSFIQEGYQIFICAGCKKPISGPFYSCPHCIFYLHYECARLQRQIQHFFHPCPLFLILDSDTCNACFMEVSGFSYCCFTCYFTMHVECALKPTISSESDEGLILHFTHWHPLSLIDLNIFHDKPCCAICEKPCSTNSTYGCSSCNFFLHNSCKATIPRSINHSFHPCALNLLTYPNYTCNGCNRDCSGLTYSCGKCRFKLDIRCGLLPTVETRGADMIQNIMHPHPLARLGNKNVDNTRFGVGHRCRACGENDLDHGFSCSISCDFFIHTSCAELPKDIHHPFHLQHPLSLTYLPLQLHGADCSSCNKPLDGFLLAYRCDGCNFNLHKDCAEFKPSFKHGNYLHALTLCDKRPSLFHCTVCHKRVNKIFLRCFVCGIDIHVFCLPSAPKTITHKWHIDCLSLTQSPLEFELNRLEDADNSDDEFYCDVCEEKREKKDSVYYCAECKFIAEVGCVISELVPSYNTPEEQNAVTSRAISKDEDYSAKEIRLAELNNEIIELSAKSKPLIQEREPLNIEIMLLNEKHLQLQGRLQEIETELLQIIRITDNLEVKRFLCKHQPKHRITEDMFSTEASTSGGLSLSAEQARHMEDESKLLPASNISRNKETAIEVAKLKAEEMVFKLETKKHRSELEKGEEKLERINLRLKELEVDGFLNNDMVGRNMKKNKYATEASTSQEPTADLP >KJB22790 pep chromosome:Graimondii2_0_v6:4:6697184:6698759:1 gene:B456_004G065700 transcript:KJB22790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTSKKTVFFFWGHIDQCYQIVAILIRIDACLVYLWMQKCPPGSFLDSLWCLLPGHGVPSCIIHPSSRNFLCLCNKPANSNFKFFIFSL >KJB22173 pep chromosome:Graimondii2_0_v6:4:2693188:2697619:1 gene:B456_004G033200 transcript:KJB22173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQILAPSSSLPTQHVLSGSAGSGGARYKLVAPSKLPISRSACLTTPPGLSPSSFLESPVLLSDVKVEPSPTTGSLFKPQPVHAWVASSTYPESVACSNAFDERNTSCFEFKTHPISNMASADLNHQRSEQSLHIQGQNGTVLFDSSASVKSEMAGLSNELSLSVPVHTATSMVSVPPEVDVEELSQMGNPNIGIQSGQSDHRVGGQSVSFDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERAHDGQIMEIIYKGTHDHPKPQPSCRYSSSNIVSGQKERSDKLSSLNGRDSIYGQTVHSVEPNSTADLLPVTANDDNVDDVDDDDPLSKRRKMDGAIDIIPVVRPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHNVPTARTNSHDTTGPIPVNGPSRIRSEDNGAISLDLGVGISSISENSSNEHQQLHSELVQCHPQTGGSSFKFVQPHPMAAYYSVLNSSDMNQYGSRENPNQGPGVEITPLNHSYAFPQSIGRILMGP >KJB22172 pep chromosome:Graimondii2_0_v6:4:2693188:2697619:1 gene:B456_004G033200 transcript:KJB22172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQILAPSSSLPTQHVLSGSAGSGGARYKLVAPSKLPISRSACLTTPPGLSPSSFLESPVLLSDVKVEPSPTTGSLFKPQPVHAWVASSTYPESVACSNAFDERNTSCFEFKTHPISNMASADLNHQRSEQSLHIQGQNGTVLFDSSASVKSEMAGLSNELSLSVPVHTATSMVSVPPEVDVEELSQMGNPNIGIQSGQSDHRVGGQSVSFDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERAHDGQIMEIIYKDSIYGQTVHSVEPNSTADLLPVTANDDNVDDVDDDDPLSKRRKMDGAIDIIPVVRPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHNVPTARTNSHDTTGPIPVNGPSRIRSEDNGAISLDLGVGISSISENSSNEHQQLHSELVQCHPQTGGSSFKFVQPHPMAAYYSVLNSSDMNQYGSRENPNQGPGVEITPLNHSYAFPQSIGRILMGP >KJB27214 pep chromosome:Graimondii2_0_v6:4:61612558:61614463:1 gene:B456_004G285100 transcript:KJB27214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSPEKPMFKRTCSLLSQYLKEKGSFGDLTLGITCSNNVEKGMPEIVRPATETRTTTMDLFPRDHVSGVMRNSRSMDLFPQGAGFSADNGSRRVGVDVEPQNAAAPMTIFYCGQVIVFNDFPADKAKEIMALASKCSSENPKTNTFVPGSPNESGLGVHSNSNDQVPTIRTNLSTSRECVRPIPGDLPIARRASLHRFLEKRKDRITSKAPYPINGSAGASPPKPGNSKPWLGLAVESLQ >KJB25829 pep chromosome:Graimondii2_0_v6:4:54421156:54424526:-1 gene:B456_004G211500 transcript:KJB25829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGREAMALSGGSAPYYIHRGVGGSSSGSVTHTGIAAFHSQPGFRPLSNPPVQLQSNVGSTFTGELKNVSFPHGINMDASSGTPLSEPAKKKRGRPRKYAPDGQVSLGLLPMSAKPKPSSGSDASGQKRNRGRPPGTGRKQQLASLGEWMNSSAGQAFAPHVVTVGIGEDIVAKMLSFSQQRPRAVCILSGSGTVSSVTLRQPASSTPTVTYEGRFEILCLSGSYLLAEDGGPRNRTGGISASLSTPDGQVIGGGVATLIASSLVQWYAVLFMGDLKPRPNNQLVLKAARNLYPSSALNQSCLLLHLQLRISLPLQ >KJB25828 pep chromosome:Graimondii2_0_v6:4:54421071:54424837:-1 gene:B456_004G211500 transcript:KJB25828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGREAMALSGGSAPYYIHRGVGGSSSGSVTHTGIAAFHSQPGFRPLSNPPVQLQSNVGSTFTGELKNVSFPHGINMDASSGTPLSEPAKKKRGRPRKYAPDGQVSLGLLPMSAKPKPSSGSDASGQKRNRGRPPGTGRKQQLASLGEWMNSSAGQAFAPHVVTVGIGEDIVAKMLSFSQQRPRAVCILSGSGTVSSVTLRQPASSTPTVTYEGRFEILCLSGSYLLAEDGGPRNRTGGISASLSTPDGQVIGGGVATLIASSLVQLVVCSFIYGGSKTKTKQPASPQGSKESVPQFSPKSIMPTIAPSTQNFTPPSMNIWPGSRPVDQRNPHTDIDLTRG >KJB24241 pep chromosome:Graimondii2_0_v6:4:36998588:37000418:-1 gene:B456_004G134800 transcript:KJB24241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQASTKVCVTGGAGYIGSWLVKKLLEKGYTVHATLRNLDDQLKVGLLKSLPGADTRLVLFQADIYKPYEFRYAIQGCEFVFHVATPQQTAGSSHSSQEIVEAAISGVRSIAESCIESQSVKRLIYTASMLASSPLTKDGFNLKSCLNESNWTPSDIIFTHGFEYMRAYTISKTLAEKEALRYNENPGDEKLLEVVTLTCGLVGGETLLPYVPLSVEVMFSQLIGKPQTFEGLELMEEVMGSVPVVHIEDVCDAHIFCMEKPSMRGRFLCAAANPTIREMATYFLENESQYQMPEEFMGEEKKGIAYDSSKLVKLGFEYKYDMKKILDDSVKCGRRLGSIFLH >KJB22936 pep chromosome:Graimondii2_0_v6:4:8475473:8476043:1 gene:B456_004G074900 transcript:KJB22936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDVEKLISYSDDLVDVLNDINNLTQCFQHFNDLRSHCDADSNEVHRLLREYEEKIEACKKKTEQAKFEVADGTEMESICRRNTKKSLKKNNTAVSNEIIELERQRVSIEERKKNLRKYE >KJB27111 pep chromosome:Graimondii2_0_v6:4:61126665:61129578:1 gene:B456_004G278600 transcript:KJB27111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKRVAVSILCHGHSRPVVDLFYSPVTPDGFFLVSASKDSYPMLRNGETGDWIGTFEGHKGAVWSVCLDTNALRAASGSADFSVKVWDALTGDVLHSFEHKHIVRACAFSEDTHLLLTGGVEKVLRVYDLNRPDAVPREVDKSPGSVRTVSWMHSDQTILSSCTDMGGVRLWDVRSGKIVKTLETESSVTSAEVSQDGRYIMTADGSTVKIWDANHFGLVRRYNMPCTVESASLEPIYGNKFIAGGEDMWVRVFDFQSGDEIACNKGHHGPVHCVRFSPKGESYASGSEDGTIRIWHRPFDP >KJB25687 pep chromosome:Graimondii2_0_v6:4:53642615:53643803:1 gene:B456_004G206100 transcript:KJB25687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFDPWSFNFFSMTLATLAIIVSKSSVTVALPIEFRPSPWSLAHATFYGDETASETLGGACGYGNLFSNGYGTDTAALSTTLFNNGFACGTCYQIQCVESTWCYPGVPFTTVTATNLCPPNWAQDSNYGGWCNPPRVHFDMSKPAFMKIAQWKAGIVPVMYRRVPCVRPGGLRFYFQGNGYWLLIYVMNVGGGGDIAQMWVKGSKTGWISMSHNWGATYQAFATLGGQSLSFKITSYTSKETIIAWDVAPANWNVGSTYTTDVNFH >KJB27291 pep chromosome:Graimondii2_0_v6:4:61836933:61838385:1 gene:B456_004G288900 transcript:KJB27291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLLRDIAGTGDTDALYALIRKDPNMLEHIDQIPFIDTPLHIAANEGQIKFAMEMINLKPSFGRKLNQDGFSPMHLAFKMGHTKLVLRLLQTDKDLVRVKGREGMTPFHCAAAAGNSNLLFQFLETCPECVEDVTVRNETALHLALKNDHTDAFNFLLGWLRKNRRGGGKDLERKVMNWRDDDDNTALHIAATKQQHQAVQLLLDSFYGLDVKAKNSEGLTAREIIEKVGRQGLNMSSAEDDDKTTAKIKRIKKRTSRSERALVRLIRTKNGLSENMINATLVVAALVITAIYQSSLSPPRGLWQGDNTSNPTTTSNLTTTTKFGRFNDIYDEKRSKHVLGDETMKPGTAIMNPNLFMWFWLVNFIAFGLPVLLTVFLLYDVAHILLIPLYFLSVSYFHCMTIISPSMFWANLNFVVMWTAIILPSVLGFGGVWLWKRLKYREMTQIRKRVRNDK >KJB26027 pep chromosome:Graimondii2_0_v6:4:55625638:55626972:1 gene:B456_004G221600 transcript:KJB26027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRGFEVVHATLDMIQPHQEPIWELPSFGLPSTSSDMERNELSEWVEQVTEPVINELRTEKSMVWPVGDKVLSLMMLLLDCAVAISVDDLSEAHTMLLEIRQMASPHAVSCGERVIAYFAMAMSSRVINSWLGHCSPLIDYETVRGAFRAFDDASPFIKFACFTSNRAMLEAFRWHDRVHIIDLDIMQGLQWSALFHTLATRTEGPPFVTITGLGRSMKLLVETGNQLSNIARQFGIPFDFHPIAKKFGEIDIETIQLQRGEAVAIHWLQHSLYDATGPNQNTLKHIEQLAPTVLTLVEQDLSHHGSFLDRFVNSLHYYSSIFDSFGSYLSAEDPNRHRIEHCLLYREIKNVLAIGGPARTRDDNFEHNWRSELARNRKFQLMPMSSNTMAETELMLNMFWPGYGYSLVGDDGTHRLGWKETCLFTASAWTMTTLRDGSGWSG >KJB23438 pep chromosome:Graimondii2_0_v6:4:16199145:16203498:-1 gene:B456_004G098100 transcript:KJB23438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENILIASFLLQHELSDNAIAGCIENEEDVLSFGDEDMVSVDQENEKFQDCEVRTEGHERTPHEALILALGYLGVRDLFLIENVCTSLRSIVQNDPLLWRDIHINLPLNEKITDDVLLQITGKGQGSLQCLSLVDCQRITDEGLKRVVENNPKLIEPCFQ >KJB27106 pep chromosome:Graimondii2_0_v6:4:61106541:61109388:-1 gene:B456_004G278300 transcript:KJB27106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRWCARIFLLFYVVTRLMLRTGRLRQSRLHSTGRRICNTMKSQPRATTISRSLSCTLPGSLQVILIFTLWSRLLLLLLKYTSTWLHSNNMKLSLQQQLANLFQMMMMMHLSREENNNISFFTATA >KJB25736 pep chromosome:Graimondii2_0_v6:4:53747390:53748427:-1 gene:B456_004G206900 transcript:KJB25736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDDGGTSDLSTSAGEPNAATDKICESSAFQIKPFKEEPIDSHPPPPIGVVPVSMRPLPTVKRSSTKDRHTKVEGRGRRIRIPATSAARIFQLTRELGHKSDGETVRWLLEHAEQAIIEATGTGTVPAIAVSVGGTLKIPTTAGSNASNNKNNNNQIDDDGGATKKTKRPAKSEFCDINDGNPFAISNQQQLVTQASGLAPVTPQGLVPVWAVGNTGMMIPANSFWMIPQPTATGAANGRLSNQQPSSPQIWALSPSVFNVAARPISSIVSTSNQSVCNGLSTSAVNRSSTAVAKKSTMAPSGSSSGGKAQMLRDFSLEIYDKQELQLMGRSESHQAADASCF >KJB27163 pep chromosome:Graimondii2_0_v6:4:61339807:61348374:1 gene:B456_004G281700 transcript:KJB27163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTSSELYNNSEKDNPTIPSSELLDPDLVKHSVDQEEVSVSVSNAEASLSTSNGELLNENASDVHVEHPPPSFATKVIEVVSEDHLTDGGQNSDSQTSDVPLKTDQEGPQHPQKESSQHVIADSHVNFEAKLKEDDVKVETPVNQKKPQEQNADTPQTVVQDQLDEAQGLLKTTNSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLIAERELSKSYEARIKQLQQDLSVSKSEVTRVESNMLDALAAKNSEIEALVNSMDALKKQAALSEGNLASLQANMESIMRNRELTETRMMQALREELASAERRAEEERAAHNATKMAAMEREVELEHRAVESSTALARIQRVADERATKAAELEQKVALLEVECTSLNQELQDMEARFRRGQKKSPEEANQMLQMQAWQEEVERARQGQRDAESKLSSLEAEVQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLETMASEKAAAEFQLEKEIKRLQEAQVEVERSRVPRRASSSWEEDTDIKSLEPLPVHHRHVAAASVQFQKAVKLLDSGAVRATRFLWRYPTARIMLLCYLVFVHLFLMYLLHRLQEQADDLAARELAKSLGLTNANLP >KJB26870 pep chromosome:Graimondii2_0_v6:4:59957123:59963801:-1 gene:B456_004G264300 transcript:KJB26870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIGLGTAASLIDLVFSWSIQDALNPILYKGQIKKIPTTFTSTAHYYSSFVAPLVEETHADLLSAMSRLSRAPSYQLHSIESETNYRAPTDFSYKIVLRKPGNSNQTDVVTYKPQAGDLAALTDVKPTCISDLNRPKMPYILAYVQSVDDGKLSVRSSKPIMIEQDMQRNKHIDLFFVFLINLTTNVRIWNALHPNPILADLPIINKVIQMNDEKECAVCLSENDSVMIPWIKSYNLNDSQEAAITSCIKTWRCNHQNGHVKLIWGPPGTGKTKTIGLLLLVLLRMKCRTITCAPTLIAVMELASRAMRLVSGTLEYETYGLGDIVLFGSSERMGMDDHENLFHVFLDYRVEMLKKCFSPSTGWNASLSSMIDLLEDPRGQYGRYVTHRELGINQDEMDDPLSLEGFIKKRFFQYNEQLKFCVVNLYTHLPTARISLQVVTDMMVALDLLRFIETLLNRYDYGDERLSTATKTCLPVLESLARSFRVPEYIHKFMIKTLCLDNAYLLFCTASSSSKLHTERTQELDLLVIDEASQLKECESTIPFQIPGLRQVVLVGDERQLPAMIRSKISGEAEFGRSMFERLVFLGKKKHLFNVQYRMHPAISSFPNKEFYDGLIMDAPMVKHRSHEKDFLHGNMYGAYSFINIAYGKEQFGHLLSKMNMVEVAVVCSIVRILFKEFNATKQRVSIGVISPYAAQVHAIEEKLKQTYSGCCCSSDSGFSVRVRSVDGFQGGEEDVLIISTVRSNLNGSVGFLSNRQRANVALTRGRHCLWILGNETTFIKSSSVWTKLVLDAKARGCFFNAHEDKHLNEVITTTLIDLQQFDILLTMDSPLFKHAKWKICFSKDLKKSMSNIKNKEVHKQTIKVMEKLASGWRDDEKKKMIVGDDDGCCFGLLQVSLIGDGELSLVWSVELEMVKGNSEWVIQVLKVWDVLPLLDVAKVAQKLHILFAEYTVEKISRCRYECTEGNLVVPMKWVVEDNPIEGQEDDRMQCLSTSGDAHSNLNPSSSSSSSSSSNLNPNSNSSSLGFHYAIAIAIIAIFVSKYLPWPIFFSITFFILF >KJB23816 pep chromosome:Graimondii2_0_v6:4:27945283:27946460:1 gene:B456_004G115800 transcript:KJB23816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKVLFYWGNLGVSLIPKFPKHFWFYFSNTHQEVSSKSLLGCRVRFFRPQIPKGRFGFGPNCGLLKSRCWRSGTWGRRMMKMERNNGDDDDDDDDDDDDFKINLMILK >KJB22975 pep chromosome:Graimondii2_0_v6:4:8741315:8742521:1 gene:B456_004G076400 transcript:KJB22975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTLTDDQVVREVFCLIDKDSDGFISMEELASVIQTLDDANPIKEDQNMMMMMMVINEADDIERKGKIDFEDFVNIMARKMQENVVDELKEAFKVFDLDQDGFISANELRQVMMNMGEKLTMEEAEQMIREADLDGDGVVSFDEFARMMMVAL >KJB26955 pep chromosome:Graimondii2_0_v6:4:60280360:60291698:-1 gene:B456_004G267700 transcript:KJB26955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINMKTLTQAFAKTAAVIEKTVHTTVQSAVQEVTGPKALQDYELLHQIGSAGPGLAWKLYSAKARDGTHPHQYPTVCVWVLDKKAMSKARACAGLSKAVEDSFFNLIRADAVRLVRLRHPGVVHVVQALDENKNAMAMVTEPLFASVANALGNVDNVAKVPKELKEIEMGLVEVKHGLLQIAECLNFLHNNARIVHRAISPENVLITSSGAWKFGGFGFATSTDKASGDCANVQAFHYGEYDTEDSVMPLQPSLNYTAPELVCSKASSAGCSSDIFSFGCLAYHLIARKPLFACHNNVKTYMNTLTYLPNEAFSSIPQELIHDLQRMLSANESVRPSALDFTGSPFLRDDTRLRALRFLDHLLEKDNMQKSEFLKALSDMWKDFDPRVLRYKVLPPLCAELRNLVMQPLILPMVLMIAESQDKNDFKLVTSPVLMPVLSNAAGEAMLLLLKNAELIINKTSSEHLESHLLPMFVRAYDDSDSRIQEEVLRKSAFFAKQLDLQLVKQAILPRIHGLALKTTVAAVRVNALLCLGDLVHTLDKGSVQDVLQTIQQCTAVDRSAPTLLCSLGVSNSVLKKYGVEFTAEHVLPLLTPLLTAQQLNVQQFTKYMLFVKDVLRKIEEKRGVISTDSGTPDVKHAVTANGLQSQVLSNSSGTVAPAKSSPAWDEAWGSAHRGAANATATTTADGIQSQALSNASGTVALAKSSPAWDEEWGSANRGAATTTADGIQSQALSNASGTVAPAKSNPEWDEEWGSTSSRASNATTTTAADGLQSQALSNTSGTVALAKSSPAWDENWGSTTSRAAIANATTTGDGLRSQAFSNASGTVAPAKSSSSWDEDRGSTNRGAANATAGGVQSQALSNASETAAPAKSCSAWGEDGDSTNRGAATATAHQPSKANLSIHSNLGDKSSEPTPWQSHSPIMSAMSSQQMHASCPAVDTEWPPASSSNGTSSPSNFDDLDPFANWPPRPSASNSSGTLNNGTTMEQATNKSGSSSITSITNNMNYHTDNSNWSFTNNQNSGQISHPNHRNPTINATIPNNGNLQSSMGFLKQNQGISVPVSSNNNQKPADLGSTFGSSKSAPRLAPPPSTAVSRGANSASRATHATPTSQQPSLLDLF >KJB25943 pep chromosome:Graimondii2_0_v6:4:55090930:55092470:1 gene:B456_004G217500 transcript:KJB25943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILETKPVSSTVLPVFLAGKYQTQTISVDSSSSSTTQSPPPKPLLIVTPSDEGTYPVILFFPGFMLRNIYYTDLLNHISSHGFILVAPQLYILPPKGIREVESAAQVANWLQLGLQSVLPENIEPNLKTVVLSGHSRGGKTAFALALGYGDPIQKFSALIGIDPSKGLISCPCAPKKYNHEEFFNESKPPRAHFTAKNYGHMDMLNDDLSGVIGKLADSMCVNGKGPRDPLRRCIGGIVIAFLNYYFQDNEVDFNTIVNEPDVAPV >KJB22608 pep chromosome:Graimondii2_0_v6:4:5514013:5519700:-1 gene:B456_004G057400 transcript:KJB22608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTMAAGFGSLAVDENRGSSTHQSSTNICRVCGDKIGQKENGQPFVACHVCAFPVCRPCYEYERSEGNQCCPQCNTRYKRHKGSPRISGDEEDDSDQDDFDDEFQIKNRKDDSHPQHENEEYNNNNHQWHPNGQAFSVAGSTAGKDLEGDKEIYGSEEWKERVEKWKVRQEKRGLVSNDNGGNDPPEEDDYLLAEARQPLWRKVPISSSLISPYRIVIVLRFFILAFFLRFRILTPAYDAYPLWLISVICEVWFAFSWILDQFPKWFPITRETYLDRLSLRFEREGEPNQLGAVDVFVSTVDPLKEPPIITANTVLSILAVDYPVEKVCCYVSDDGASMLLFDSLSETAEFARRWVPFCKKHNVEPRAPEFYFNEKIDYLKDKVHPSFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSAGALDVDGKELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPFILNLDCDHYINNSKAMREAMCFLMDPQFGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMLGLDGLQGPVYVGTGCVFNRQALYGYDPPVSEKRQKMTCDCWPSWCCCCCRGSRKKSKKKGEKKGLLGGLLYGKKKKKMMGKNYVKKGSAPVFDLEEIEEGLEGFEELEKSSLMSQKNFEKRFGQSPVFIASTLMENGGLPEGTNSTSLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCVPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGKLKWLERLAYINTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLSNLTSVWFLALFLSIIATGVLELRWSGVSIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTAKAAEDTEFGELYLFKWTTLLIPPTTLIILNMVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASIFSLVWVRIDPFLPKQTGPVLKQCGVEC >KJB25171 pep chromosome:Graimondii2_0_v6:4:48983885:48985540:-1 gene:B456_004G179800 transcript:KJB25171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKSKVLIVGGTGYLGKRLVKASLAQGHETYVLHREEIGVDIEKVQMLLSFKQQGAHLVIGSFNDHQSLVKVVKLVDVVICAISGVHIRSHQILLQLKLVDAIKEAGNVKRFLPSEFGTDPARMENAMEPGRVTFDDKMVVRRAIEEAGIPFTYISANCFAGYFLGGLCQPGFILPSREQVRLLGDGNQKAIYVDEDDIATYSIKTIDDPRTLNKTVYIRPPQNILSQREVVQIWEKLIGKELLKSSISEQEFLAIMKEQDYAEQVGLTHYYHVCYEGCLANFEIGDGAEEASKLYPEVKYTTVEEYMTRYL >KJB24929 pep chromosome:Graimondii2_0_v6:4:46557292:46558883:1 gene:B456_004G168300 transcript:KJB24929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLQFSVLPFLIVILSLNGATLAHPYGDFLHCLSLRISNSSAISKVFYTQNNPSYSSVLNASIQNTRFSTPTTPKPYAIITPRKTSHVQSTIYCSKNHGFQLRIRSGGHDVEGVSYVSQVPFVILDLVNFRAVKVDTKNEVVWVQSGATTGELYYGIASKTQTLGFPAGICHTIGIGGHLSGGGFGILGRKYGLAADHIIDAKLIDANGRILNRKSMGEDLFWAIRGGGGNTFGVVLAWKIKLVPVPPVVTVFTVNKNLEQNATKIFHRWQYIAHKLPNDLFTAVWIMKVNSSQVGKKTVQAGFRGMFLGGVDELIPLIQHEFPELGLAKENCTQMSWVQSILYFGGLPIQPVEILLNRNALPRSSLKAKTDFVKEPMPETGIEGFMNMFLEEEADFAITMIEAFGGKMDEIQENELPTHIEQASYAGTYINWIRRLYSYMASYVSKSPREAYYNYKDLDLGTNNVNGYTSYEQASVWGLKYFKNNFKRLVQIKTMIDPMNFFRNEQSVPHLWSP >KJB24310 pep chromosome:Graimondii2_0_v6:4:38867554:38869816:-1 gene:B456_004G138500 transcript:KJB24310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVQSLPILQFPKQGKKTKDDSPYRLRNSLSNHNFSYQLLALDSVSTVQLLRSCTGSRNLELGSCIHAVVLKSRLQTNVFVDNSLLHMYAKCGCIEEAKNLFDNMPERTVASWTSMISGYCHNGLPDEGVSTFVQMLENEYPNEFTLAAALQAVAQHFNPSFICILHGYIVKSGFLEDNFLQNSLISAYAKSGILEDAIKLLERFSSRDVVSWTCVISGSVLHGFMQEALLAFFRMQEDGVIPNEVTILSIIHACSFIGRLQILQWVHGLVSKLGWCRQELVLNSMAEMYLTNGYIREGIQLFSGYCFYGEEHYLNPATMATLLQFCGHSNNLKLGKELHGYLIKHRFSSCVVENSLIDMYAENEQNDSAIRVFARMNERDIVSWNSLITCLIKNGEFHEALELLKHIHCNGRGEMRPDFISTLASIQACSNLSSLMPGQVIHGYITKAGLISDIFIQNALVDMYARSGRLSLAEKIFKEMPAKDPSSWNTLIAAYGINGNGRLALQAFSKLNTSSPYKPNAITFTNILSACSHTGLVEEGYEIFNHMQKEWGVEPNMEHFVCMVDLLGRSGKLEEAEAFIKEMPITPSNDVWYALLGACGFHGNICIAERVTEKLSIQDPEGIVWRVALSNIHASRGQWEDVVKVRAQLRQGMKKDGGWSTVEVEGVMFKFMVNDTRHLESKSIYAAVNGIMKHVKECVIN >KJB26092 pep chromosome:Graimondii2_0_v6:4:55954594:55955586:-1 gene:B456_004G224900 transcript:KJB26092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVREDAPTARVFSCLFCSRKFYSSQALGGHQNAHKKERTAARKAKQRAPENGSTSSFSPPCAPPPTRRCLGFLHSPMYIATQVANRFVWNGGGGDGNRHLRFEDEQPSCLDWQSGIRCNGGLLAMEEVNNGVVDHKGDDQKLDLSLHL >KJB26641 pep chromosome:Graimondii2_0_v6:4:58986881:58989892:-1 gene:B456_004G252500 transcript:KJB26641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENCTRKLIVEICNAKNLMPKDGQGTASAYAIVDFDGQRRRTKTKFRDLNPVWDEKLEFLVHDIESMAAEMLEINLYNDKKMGKRSTFLGKVKLAGSVFVKAGEETLVYYPLEKRSVFSQIKGEIGVKVFYVDEEAPPAPAEPAAEQKAETAEEKPKEEEDKKEENVEEKKEEEEKPKEEPPKEEEKPNPPPVEASKSEDTTAAATPPPPPPEVENPPIAHKEEASSTKVVATKSKAETGKSSQLVINELELRSLSGDHNRIAYDLVDRMPFLYVRVVKAKRANKEPACPLHAKLVIGTHSIKTKSQIDKDWDQVFAFDKEGLNSSSLEVSVWAEEEKKEEQKEGDAAATASTVVVDNCLGAVSFDLQEVPKRVPPDSPLAPQWYSLESEKSPGNDVMVSVWVGTQADEAFQEAWQSDSGGLIPETRAKVYLSPKLWYLRLTVIQTQDLQLGSGSESKVRSPELYVKAQLGAQLFKTSRTPVGLAWNEDLVFVAAEPFEPFLVVMVEDWSNGQLVGQAKIHVPSLERRTDDKTEPKSRWFNLVGAENKPYAGRIHVRACLEGGYHVLDEAAHVTSDVQAAAKQLAKPLIGLLDVGIRGASNLLPVKTKDGTRGTTDAYVVAKYGQKWIRTRTILDRFNPRWNEQYTWDVYDPCTVLTIGVFDNGRYKRDEAGKPGRDLRIGKIRVRLSTLDTNKVYLNSYMLTVLLPNGAKKMGEIEIAVRFSCSSWLSLIQAYGTPLLPRMHYLRPLGPAQQDILRQTAMRIVTARLARSEPPLGQEVVQFMLDTDTHVWSMRKSKANWFRVVGCLSHAAILARWLDGIRTWAHPPTTILVHVLLIAVVMCPQLVLSTIFMYAFLILALRFRYRMRVTHNVDLRLSYVDAVGPDELDEEFDGLPTTRSPDTVRFRYDRLRALASRAQTLLGDVAAQGERLEALFNWKDPRATGIFVVVCLFASLLFYVVPFKVFVLGSGFYYIRHPRFRGDMPSAPLNFFRRLPSLSDQIM >KJB22800 pep chromosome:Graimondii2_0_v6:4:6780507:6782082:1 gene:B456_004G066200 transcript:KJB22800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIFRFDTFKPMHEMCKGYVNQLIKTTRKKQLAQCLIGADLHVALILVAECKVTSFTGTSGIVIRKTAETFGLITQDTKFPFVPKKCSLFIFQVHCWKITRQGDKLTSRNSGL >KJB26174 pep chromosome:Graimondii2_0_v6:4:56279990:56283087:1 gene:B456_004G228400 transcript:KJB26174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNANGREDGANGGIENLYLRSNGGEPIVPGAGPSASAVVVRVPSSDSMANSPPQSPSRSRSPLLFAPQVPVAPLPRGDVHSFFSQIWQHDSPGVVDSATEKGIPVIITWNYGGHDVAVEGSWDNWRSRKKLLRSGKDHSILLVLPSGIYHYKFIVDGEWRYMPDLPFVANEMGHICNLLDVHDYVPENLDSVTEFLAPGSPNSSYGQALPTEEDFAKEPVIVPSQLHQTVLGTDNQDGASSSKPQHVVLNHLFIEKGWASHSVVALGLTHRFESKYVTVVLYKPLKR >KJB24903 pep chromosome:Graimondii2_0_v6:4:46373906:46375406:1 gene:B456_004G167000 transcript:KJB24903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELENPNMMPNLITFLSSLLEEVAESNDLNCGFKAQKISVFHGLTRPTISIQSYLDRIYKYANCSPSCFIVAYVYLDRFAQRQPSLPINSFNVHRLLITSVMVATKFMDDMYYNNAYYAKVGGISTTEMNFLEVDFLFGLGFHLNVTPNTFHTYYTYLQRKMMMLQPPPIIAESSLSFGKGRSLKVVHLCFNEEESSHQKQQLAV >KJB27399 pep chromosome:Graimondii2_0_v6:4:61973441:61975956:1 gene:B456_004G290800 transcript:KJB27399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLWKWYQNCLAIHPVKTQVLSSGLIWGFGDIAAQTITHSTAKKHHHLQEKDKELKVNWKRVATTSLFGFGFVGPVGHYWYEVLDRCIRFGLRLRPYSFRFVATKVAVDGFIFGPLDLLVFFTYMGFSAGKSVPQIKEDVKRDFVPALILEGGLWPIVQVANFRYIPVRYQLLYVNMFCLLDSCFLSWIEQQEDAPWKQWLNSLIHSKEKKDEG >KJB23042 pep chromosome:Graimondii2_0_v6:4:9226487:9228881:1 gene:B456_004G078500 transcript:KJB23042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHSGSHLVARYGARLPPTPPLVNPTSKCHLHYLKPVTLNLTLMPSLKPSTVTAFSHEFHTKEMSQGQPIKPQADQLSDQEGIKYGDVFDVTSGLASKTIAPRDAAAMLEAETEVLGKPLDTGAGAVMYSAAAANLRAGAVGPDESNEMVEREGVAVSKSTDAQGKLVVNEAIADHTVCECQYNPYDLRGITLSPSPSPTIRNIQWTAPSPSPAAAPTTGDVVDQSGITIGEALEATVLSVGDKPVDQGDAAAIRVAEARAASSRLTQHSGLGTRAQAAATFNDRASYGHNKITISDVLSDASEKLPTVKAVTNEDAEEVRGAEQRNKADMIATAGGVADTMATAAKLNRDIHTP >KJB23605 pep chromosome:Graimondii2_0_v6:4:21791127:21794726:-1 gene:B456_004G107000 transcript:KJB23605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKVVEAVLGAAVQLTLSKVISLAAEQASLACGFKNDMKKLRVLLTLIQAVLQDAEEKHVRDGSVRLWLQELRDVAYEIDDVLDEFTYQKLGMKAKFQDQISRKLCNLFTPSIPLPYRLNMAKKIKNINVSLSHINEQASQFGLQRRVGEMVVLPRGNLETHSLIGDPFHVVGRGYDVSKIINLLVKSSTQQPQIISVISIVGMAGLGKTTLAKLVCNSEPIQHHIGKIMWVCVSNDFDVDRILVEMLESLTKTPCAFRNKDTVLRRIQEELGEERYLLIFDDVWNENVEKWEDLKGCLLGFSRNIGSKIIVTTRSDNVASVMGTHTEHKHHPKKLVDDECWSIIKQKVFGSSSIPEEMEVIGKDIAKKCRGLPLVARVIGGTMSNKRDKEEWLSIKHCNIWDSLERNNGILHVLKLSFDRLPSPSLKRCFAYCSNFSRDVYIEREQLIQLWMANGFLDPSKEGNMAMEDIGNMQFKALLSNSLFQDVERDAYGNIEVCKMHDLVHDLAVFVSKEETKVLYNDSMVDTSCIRHMSVVFYGESVPTILEHAAHKLHSLFLNVDIFCRFPGNLKSLRTLKLTGVHIKKLPGSLGKLKHLRFFDISATNITKLPESFTQLYNLQTLRLLKCSLEHLPKGMRNLVSLRHIYFDLEKLMPVDIGRLTCLQTLPFFFVDMEKGCLISELGCLSQLRGKLKICSLEDVKDNAEASRANMEAKTKLYKLKLKWSYKRERPINDKEVLEGLKPCSNLKGLTIVNYWGDDLPSWLSSSVHGSNLPFPLNNLVKLKLINCRECLNLPCLGQLCNLTVLEIDEMKKVKRIGREFYFNGQGEATTSFPALRRFILVEMESLEEWVDDLDSVIIEREGVAAFPCLEELIVSGCPKLKSDPIQRKLPSLQVLQVSYCSEISTLGDGLSASSRLKEVHIQACLNLGSIPTMEGLSTCLKELRICDCPNLRFMPSIQGFSSLTDLTIKDCERLSCLPSGIESCASLGNLNIHNCPSLSFVPQDVGELRSLIFLSITSCQKLTCLPGEILGCLTNLETLHIGGFSEQLAEFEGLTSLHHLHASLEYLELYGWKNLKSIPSQLQHLASLKSLELWNFNGVQVLPEWLGNFSSLQRLQIWNCNNLMHFPSLDAMKRLSKLQRLEINKCPQLKENCTKESGSEWPKIAHIPNIRIQ >KJB25980 pep chromosome:Graimondii2_0_v6:4:55412835:55414477:1 gene:B456_004G219600 transcript:KJB25980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIGLCRSFYIAYISSTYIPRLPLNQIPTSPIILSPAHRRTSMAAYHYRITSKALVIMSVLILAWQLVAARVVPTDHSSAPVIGCNAKGYTPVYGESCPPPNVLPTEHSSAPVIGGNVTGHTSVYGKSGPSPN >KJB27364 pep chromosome:Graimondii2_0_v6:4:62157306:62159889:1 gene:B456_004G293900 transcript:KJB27364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLTEHLSVGRLRERVLRRPSLSNFTLCPLQQSSHVGSQTHAFRGDRDTRQFATSPSKSTSVSGSAHTTTVLSHTPVFNIQGHEVETSQSREARRRRIRSQVSDLLAPVTQLHNLEKPGIMIYSSTTARASLYRIVMLAEALFELNMRFNCVCLDFKWTCNKRICGWHFSLEILLMDSSMKISFNRCIRDGDLIIVNERHDTMKAVKVCENLILQNRVGVFKHSDWVGKPFGSITFSNKGGFVYLLAPAPELWTLVLSHRTQILYIADIIFVIMYLEVVPGCLVLESGTSSGSNGTCVYL >KJB26245 pep chromosome:Graimondii2_0_v6:4:56947503:56949623:-1 gene:B456_004G232700 transcript:KJB26245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCVSDTARALGCRDGAAATHLKFISLFVIFFPSVIGITTPVLLARYFQGKPVYDKAILIIKCFAAGVILSTSLVHVLPDAFAALSDFQVASRHPWKDFPFAGLVTLIGALLALFVDLTASSHVEHGHKPSGDYLPVGTHDVTVGKKVGNTNSDLSNEELVKLKQRLVSQVLEIGIIFHSVIIGVTMGMSQNQCTIRPLVAALAFHQIFEGMGLGGCIAQAGFRMGTVAYMCLLFSATTPMGILLGMMVFSVTGYDDSSPNALIMEGILGSLSSGILIYMALVDLIAADFFHNKLMGSHTWLKKGSFIALALGSTSMSILAIWA >KJB24716 pep chromosome:Graimondii2_0_v6:4:44605833:44609387:1 gene:B456_004G157700 transcript:KJB24716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIKKKYHVKQELDDDKPSIHGRMKRNNNNNQNHQTGFCLMSMLELQKPIINTPCWPVKKAAASTIIAVFLITVLMVTVGWLDISFFSGVSSYQNMVVGSKSTTARHKPEFPLECSTENQTQTCRKDYPTTTGIPPMNTSKVECPSFFRWIHEDLRHWKQTGISRDMIEGARKTAHFRLVIVKGKAYVEKYRNSIQTRDKFTLWGILQLLRMYPGRLPDLELMFDCDDRPVVRSRDFQGPNARPPPLFRYCADEWSLDIVFPDWSFWGWPETNIRPWRNVLKEIKKGNERRKWKERVPYAYWRGNPSVAPARKDLMKCNATDKNNWNTLLYVQDWDRESKQGFKHSNVEDQCSHRYKIYTEGWAWSVSEKYILACDSMTLYVRSRFYDFFIRGMLPLQHYWPISENTKCKSLKFAVEWGNLHPRKAQAIGEAAGKFIHEDLKMDNVYDYIFHLLNEYAKLLKFEPTVPPGAVELCSETMACPTTGTWKKFMVESLVMSASDVTACRMPPPYEPQELRDFLETKANSTRQVEMWEDQYWLSLY >KJB26804 pep chromosome:Graimondii2_0_v6:4:60392290:60394178:1 gene:B456_004G268900 transcript:KJB26804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARTVKDVSPHDFVKAYAAHLKRSGKIELPSWTDIVKTGKLKELPPYDPDWYYIRAASMARKIYLRGGLGVGAFRRIYGGAKRNGSRPRHFCKSSGSVARHILQQLQNVNIIDIDPKGGRRITSNGQRDLDQVAGRIAVAI >KJB26805 pep chromosome:Graimondii2_0_v6:4:60392307:60394178:1 gene:B456_004G268900 transcript:KJB26805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARTVKDVSPHDFVKAYAAHLKRSGKIELPSWTDIVKTGKLKELPPYDPDWYYIRAASMARKIYLRGGLGVGAFRRIYGGAKRNGSRPRHFCKSSGSVARHILQQLQNVNIIDIDPKG >KJB26098 pep chromosome:Graimondii2_0_v6:4:56020614:56023447:1 gene:B456_004G225600 transcript:KJB26098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKHQQQLFLAKSTRQRCNEWIFRDVPSDITIEVNGVSFALHKFPLVSRSGRIQKLVAEHRDSDMLRVELVNVPGGAESFELAAKFCYGINFEITSFNVAQLYCVSDYLEMTEEFSKDNLGSRTEEYLEIVVCKNLEMCVEVLQQCESLLPLADELKIISRCIDAIASKACAEQIASSFSRLEYSSSGRLHMNRQTKCEGDWWIEDLSVIRIDLYQRLITAMKCRGVRPESIGASLVNFAQKELTKKCSLWNPSGLTKVDLGSTDHERLVVETIIGLLPVEKLAVPISFLFGLLRSAVMLDCSISCRLDLERRIGSQLDIATLDDILIPSFRHSGDGTLFDVDTVQRILVNFSQQDDSEGDMDDESVFESDSPRSPSQTALFKVAILVDNYLAEIAPDANLKLPKFLGIAETLPEHARTIHDGLYRAIDIYLKAHPSLPDEDRKRLCKLIDFQKLSQEAGAHAAQNERLPIQSIVQVLYFEQLRLRNALCCSYPDDDHKPAVHHQSWRISSGALSAAMSPRDNYASLRRENRELKLELTRMRMRLNDLEKEHVCMKRDMVKSRSRRFMRSFSKKIGKLSLFGHSSSRGSSSPSRQSYRTDSKVIERTCASTD >KJB22362 pep chromosome:Graimondii2_0_v6:4:3751611:3752969:1 gene:B456_004G043400 transcript:KJB22362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAQRPHIAILPSPGMGHLIPLVEFAKRLVHQHTFVIPTDGSPSKALKSTLDSLPTFIDSVFLPPVDLSDLPPDSKIETRISLLVSRSLSSLHDAFVSMVAGTMLVGLVVDMFGTDAFDVANEFNIPSYIYFPSTAMMLFFFLYLQELDRTVSCEYKDMVEPVRLPRSVKGILVNGFPDLESGPIKALQAKQSGKPPVYPVGPLINVDSSDKPDPSGSIKWLDIQSVGSVLFVSFGSGDTLSRNQVNELAMGLEVSEQRFLWVIRTPNDTIANATYFNVDTQKDDDPFMFLPKGFVERTKGCGLMVGSWAAQARVLNHGSTDGFLTHCGWNSVESVVNGIPLIAWALYAEQKMNALMLSEDAKVSLRPKPNQSGLICRDGIAKVVKCLMGSEEGKCVRNRMLELKEAAKRVLLP >KJB23587 pep chromosome:Graimondii2_0_v6:4:21624749:21625317:-1 gene:B456_004G106200 transcript:KJB23587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKVVRVVRFLAINVVRVRVVRVLRVSVGEDGEVAEGLDREGDDVVVSEGGESDGGGEERVDVAVSEGGESDGCDEERVREVEGKTSRKGRNGNETEYFDSDDYGSIIGSEDDDNTDVCRRSRFPTYNPKSAIPHFYIGNCLKIVSNSNPQF >KJB25798 pep chromosome:Graimondii2_0_v6:4:54254104:54255229:-1 gene:B456_004G210100 transcript:KJB25798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVHPTEPQVSGPCSMNSAGKETFTIWMKSLVCHTNGCTVFDSKGQIVYRVENYDTKGSSEVHLMNLHGHVLFTILKKKLQILGCWNGYRGNFTSRKKEKPSFQVKKCCRILRGDLVCEVRVGFNKYFMVSLGSKKQGFKIVNSGGDIIAEVKQKQLPTGMVLGDDVLTLEIEPSIDQALTVALVLVYGLMSRRL >KJB22404 pep chromosome:Graimondii2_0_v6:4:4106069:4107232:-1 gene:B456_004G046400 transcript:KJB22404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSFALRSTISSGIISNPRSKTLLPAANSSFKPIKSPSLTQTHKLFTFSAPKRAFTCKSQAIPSDNSAPDKVQELHIYEMNERDRGSPAYLRLSQKSVNSLGDLVPFSNKIYRGDLEKRIGITAGICILIEHKPEMKGDRYEAIFSFYFGDYGHIAVQGPYLTYQDTFLAIKGGSGIFEGVTGQVKLRQIVFPFKIFYTFYLKGIGELPEELLCKPVEPHPAVEAFPAAKACEPHAAIANFTN >KJB23708 pep chromosome:Graimondii2_0_v6:4:24675185:24677532:1 gene:B456_004G111300 transcript:KJB23708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRDEKERAQDRLRKVEIEADQFRKLQNETIYFEQQRAINQIRQLVFQQALQGALETLNSSPLEMLMVTIKADEISNIICEHIKQYNREVKIVNTGTVLQVGDDIARIHGLDEVMTGELVEFEEGAIGIALNFEAYLGRVINALAKPIDGRGIISRCSVYEPIQIGLIAIDLMIPIGRSQRELIIGDRKIGKIAVATDTIFNQQGQNVICVYVAIGQKASFVAQVVTTFQERGAMEYTIVVAETADSPATLHYLAPYIGAALAEYFMYRERHTLIIYDDLSKATKSSSQLGEGSMTALPIVETQSGEFSAYIPTNVISIIDGQIFSSANLFNTGIRIAINIGISVSRVGSTAQIKAMKQVVGKSKLELAQLAELEAFAQFSSDLDKATQNQLARGQRLCELLKQSQSAPLTVAGQVSTIYTGTNGYLDSLEIGQARKFLVELRTYLKINKPQFQEIISFTKTFTEEAETLLKDAIQDQMERFRLQEQL >KJB24394 pep chromosome:Graimondii2_0_v6:4:40502441:40503732:1 gene:B456_004G143200 transcript:KJB24394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRESKGGSYFSWADEVEKEEQEAAVAQWQEKPNPFGSARPREVVLQEKGIDWRQLDLHLQQASPLPLQEPRPRNPQPVIVTLTPQIQSPISLVPPLRYPPKYVAGFLYEHWNASGYRHLKLEKENQSPGGGKSESVSTRRKRRRTASNELVEAKEAQQKGMNLPLVNQNIGTPKMSLPANGIVRQQPRNIPDEFGIDQTAFRVTKHDEGRENGKRLRKNAMICL >KJB22176 pep chromosome:Graimondii2_0_v6:4:2713398:2714464:1 gene:B456_004G033400 transcript:KJB22176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRENKEQKSLVQFMKTQFHGKFPHLILSVSVFSLLLSHSCWLSLLRCFSFNFHNTLPFQLFSHNIDKNYMFLLCNGLLVFLAKFSGSISSSKYNNNLSVLDYDDEDEDIPHTVESLVLDQPKTPLSQKDEAIENSALMEETAAAAAEAEIETQNCSLLEEEDEGEKWGLDPLMKNGVFVGESTESTDELNKRFDEFIRKMKEELRVEAKQQLVMV >KJB22727 pep chromosome:Graimondii2_0_v6:4:6231375:6235283:1 gene:B456_004G063100 transcript:KJB22727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNKYLRSTLKTQLGSYIKNAAACKSLLEYPIKGQCEARWCSTNTCFKGHNMLAPFTPGWQSTEVNPLVIVKSEGSYVYDINEKKYLDTLAGLWCTALGGNEPRLVAAATDQLNKLPFYHSFWNRTTKPSLDLAKELTETFTATKMAKVFFTNSGSEANDTQVKLVWYYNNALGRPKKKKFIARAKAYHGSTWISASLSGLPPLHQNFDLPPPFVLHTDCPHYWRYHLPGETEEDFSTRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPATYFEKVQAVVNKYDILFIADEVISAFGRLGTMFGCDKYNIKPDLVSLAKALSSGYMPIGAVMVSPQVTEVVYSQSNKLGNFSHGFTYTGHPVACAVASESIKLYKERNIVEKVKSISPRFQDGLKSFSDSPIVGEIRGTGLILSTEFTDKKSPNEPFPPEWGIGAYFGGQCEKQGMLVRVAGDTIMMSPPFIISPKEVDELISKYGNALKATEEKVNSLKSQHNKQ >KJB24876 pep chromosome:Graimondii2_0_v6:4:46155245:46155979:1 gene:B456_004G165800 transcript:KJB24876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEILSESDFNILESFQRFFDGFDESPPPPPTTTPTCDNFVLQPSYNRCLSFSGVFLNENWGDLPLKIDDSEDMLVYSTLRDAANSGWSPSNEADSTTVSSEACSEGKEKLMEVVEREPNVLLPPSSRRGTNFKGVRRRPWGKYAAEIRDPKRNGARIWLGTYETPEDAALAYDKAAFKMRGAKAKLNFPHLIGSSYCEPIRVGSRRRSLEPPSASTTSPKRMKSTAAVTNSTAPTEVIQMSS >KJB22478 pep chromosome:Graimondii2_0_v6:4:4510545:4510967:1 gene:B456_004G049300 transcript:KJB22478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVDESGKVQRLRKECPNAECGAGTFMANHFDRHYC >KJB23232 pep chromosome:Graimondii2_0_v6:4:11635136:11636627:-1 gene:B456_004G087400 transcript:KJB23232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HYWALMENLKIQYGRNYYRKFGISPFKQNYGQEIGDAQVIINPIINNIEIPDDNVHFKAMAVTRFCHLHILSYSKQELYKACTYVIKRSACCSLCTVHFQSTQKHVNRALKKAGLDVSSSSNLVPTFHVIMANPSQKKSCPWGGIISKATIKEARTS >KJB26676 pep chromosome:Graimondii2_0_v6:4:59125183:59126463:-1 gene:B456_004G254300 transcript:KJB26676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVLAILALSTLCSIEAQEGGFSVELIHRDSSKSPFYNPLETTSDRVTNALRRSFNRVHRFKTNSVPTTAAESDLTADSGEYLMKISLGTPRFDIVAIADTGSDLIWTQCKPCSQCFKQDAPFFDPSKSSTYRKISCSASQCIDLERTSCSTDHSCQYAVSYGDSSFSDGDLAADTLTLPSTTGRPVAFPKTVIGCGTFNGGTFDEKTSGIIGLGGGQVSLISQLRTSVAGKFSYCLLPISQAGNSSKINFGSNAIVSGPGVVSTPLVKKSPDTFYFLTLEAITVGTKRIKFTGSSLGSEEGNIIIDSGTTLTLLPLDFYSEVESAMTSQISAKRIEGPEGLSLCYNAKDEFKIPDVTVHFTNADVKLKPLNTFIRVSDTAICFTFNSLDDVAIYGNLSQMDFLIGYDTQKQTVSFQPTDCSNN >KJB22738 pep chromosome:Graimondii2_0_v6:4:6333053:6334998:-1 gene:B456_004G063600 transcript:KJB22738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFVISALFLFVVAAAYDPLDPNGNLTIKWDIVSWTPDGYVAVVTMNNFQMYRHIMSPGWTLGWTWAKKEVIWSMNGAQTTEQGDCSQFKGNVPHCCKKIPTVVDLLPGVPYNQQYSNCCKGGVLPAWGIDPQSAVSAFQISVGMAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPSTTFLTQDRRRKTQALMTWNVTCTYSQFLARKNPSCCVSFSSFYNETITPCPTCACGCQNKNSCVKSGSKVLKRAGVNTPRKDNTPLLQCTHHMCPVRVHWHVKVNYKEYWRVKVSIINFNYRMNYTLWSLAVQHPNLNDVTQVFSFDYKPLVPYESINDTGMFYGMKFYNDLLMEAGPFGNVQSEVLLRKDKDTFTLKQGWAFPRKVYFNGDECMLPPPDTYPFLPNSAPHQQLFSGLAAIAAMVFLLVTVW >KJB24986 pep chromosome:Graimondii2_0_v6:4:47032246:47038705:-1 gene:B456_004G170800 transcript:KJB24986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSPPSSVIIIGAGVSGLSAAKVLAENGIGDLLILEASDRIGGRIRKEKFGDVSVELGAGWIAGVGGKESNPVWEIAAKLGLRTCFSDYSNARYNIYDRSGKIFPSGIAADSYKKAVDSAIQKLKGLESNCVEDATNRTDFTLTPKTPIELAIDFILHDFEMAEVEPISTYVDFGEREFLVADERGYEYLLYKMAEEFLFTSEGKILDNRLKLNKVVRELQHSRNGVTVRTEDGCVFEADYVILSASIGVLQSDLISFRPPLPRWKTEAIEKCDVMVYTKIFLKFPYKFWPCGPGKEFFIYAHERRGYYTFWQHMENAYPGSNILVVTLTNDESKRVESQSDEETLKEAMVVLRDMFGSDIPDATDILVPRWWNNRFQRCSYSNYPMISNNQVINDIKAPVGRIFFTGEHTSERFNGYVHGGHLAGIDTSKAVLEEMRKDERQKGKQNQNFLLEPLLALTLTQADAVSGLHKCDVPTQLYLSGKLGIPEAIL >KJB27350 pep chromosome:Graimondii2_0_v6:4:62043821:62048421:-1 gene:B456_004G292500 transcript:KJB27350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRDNDAYEEELLDYEEEEEKAPDSVTAKVNGDAGKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPTPGQVVALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHVVVGTPGRILALTRDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLMECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KJB27349 pep chromosome:Graimondii2_0_v6:4:62043821:62047529:-1 gene:B456_004G292500 transcript:KJB27349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVICQAKSGMGKTAVFVLSTLQQIEPTPGQVVALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHVVVGTPGRILALTRDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLMECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KJB26130 pep chromosome:Graimondii2_0_v6:4:56128094:56130607:1 gene:B456_004G226900 transcript:KJB26130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAGGSDVEAGFAKLQGEDFEYYMETYSIMLGRNSKKSIVDVDLASLGGGMNISRHHARIFYDIARHRFALEVLGKNGCLVEGLDFPDLQIGDKEFYFLLPVRSILGGHLRLGITRRFTRLRPPHHGYHGGTRTGRSVGSVASSAVAAKKGRGREYYEDYGKEEDVGSGGKKVRREEWYSSVEAGAGGKAALAGVLVPVEKKGEGRSSVDRESNNQQLMQLEEKDVVTSVATVLSDICGPGEWMAMEKLHAEIIEQFGNIWHHSHVRRYLTFEDWLGPESKENHGTIC >KJB22604 pep chromosome:Graimondii2_0_v6:4:5467312:5467728:1 gene:B456_004G057100 transcript:KJB22604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFLTSMTWKKWFGWIWWRRPWICPTTPLDIPKLKELPKEEKAYRGVRKRPWGKYSAGIRDSTRNGVRVWLGTFDSPEAAALAYDQEAFSTRGSSATLNFPLEVVRESLPSIKYRCDEGCSPALALKRRHCLRKRSK >KJB23224 pep chromosome:Graimondii2_0_v6:4:11670436:11677778:-1 gene:B456_004G088000 transcript:KJB23224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKAIGLDKGWDYMQKGITKLKRILEGLPEPPFTSEEYMMLYTTIYNMCTQKPPHDHSQQLYDKYREAFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPTLNEVGLTCFRDLVYQEVHAKVKDAVITLIDKEREGEQIDRPLLKNVLDIFVEIGMGKVDRYEDDFEADMLQDTGAYYSRKASSWIEEDSCPDYMLKSEECLKKERDRVSHYLHSSSETKLSEKVQNELLVAYANLLLEKEHSGCRPLLRDDKVEDLSRMYRLYCKIPRGLDPVANIFKQHITAEGTALVQQAEDATSNQASSAPGVQEQVLIRRTIELHDKYMAYVTDCFQNHTLFHKALKEAFEVFCNKTVTGNSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHKRSILTKLKQQCGGQFTSKMEGMVTDLTLARENQANYEDYLRSNSAAHPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFKGFYETKTKHRKLTWIYSLGTCHINGKFEQKIIELIVSTYQAAVLLLFNASDRLSYSEIMAHLNLTHDDLLRLLHSLSCAKYKILSKEPNTKTISHGDYFEFNSKFTDKLRRIKVPLPPVDERKKVVEDVDKDRRYAIDAALVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLITRDYLERDKENQNMFRYLA >KJB23225 pep chromosome:Graimondii2_0_v6:4:11670467:11677702:-1 gene:B456_004G088000 transcript:KJB23225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKAIGLDKGWDYMQKGITKLKRILEGLPEPPFTSEEYMMLYTTIYNMCTQKPPHDHSQQLYDKYREAFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPTLNEVGLTCFRDLVYQEVHAKVKDAVITLIDKEREGEQIDRPLLKNVLDIFVEIGMGKVDRYEDDFEADMLQDTGAYYSRKASSWIEEDSCPDYMLKSEECLKKERDRVSHYLHSSSETKLSEKVQNELLVAYANLLLEKEHSGCRPLLRDDKVEDLSRMYRLYCKIPRGLDPVANIFKQHITAEGTALVQQAEDATSNQASSAPGVQEQVLIRRTIELHDKYMAYVTDCFQNHTLFHKALKEAFEVFCNKTVTGNSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHKRSILTKLKQQCGGQFTSKMEGMVTDLTLARENQANYEDYLRSNSAAHPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFKGFYETKTKHRKLTWIYSLGTCHINGKFEQKIIELIVSTYQAAVLLLFNASDRLSYSEIMAHLNLTHDDLLRLLHSLSCAKYKILSKEPNTKTISHGDYFEFNSKFTDKLRRIKVPLPPVDERKKVVEDVDKDRRYAIDAALVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLITRDYLERDKENQNMFRYLA >KJB26405 pep chromosome:Graimondii2_0_v6:4:58943710:58944128:-1 gene:B456_004G2516002 transcript:KJB26405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFKGRIDTVKTLIDKGIDIDLKDEEGYTALHCAVESGHTDVVELLVKKGADVEARTKKGVTALQIADSLQYAGISRILIHGAATKDGMPRSTLPVSVPFGNGKSGKEIETKTAPLKRRNSRMRGLRGSFDRSLALAVV >KJB27269 pep chromosome:Graimondii2_0_v6:4:61775138:61778004:1 gene:B456_004G288000 transcript:KJB27269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFIFRVLLTLVLSYLCNRVNGKANFKEIDEKLKQLNKPAVKTIQSEDGDIIDCVDIYKQPAFDHHALKNHIIQMKPSFDLKEERLSSKNESTKLAIFQTWQKSGSCPEGTVPIRRIRRGDLLRAKSVQQFGRKPHEVVLKSNTTVEHKDGQLPSINTTALAMPVIVNRSAATLVTVGYNYIGAKADINVWTPNVEAQDEYTTAQIWLKAGPGDNFESIESGWTVNPQLYGDKRTRLFAHWTKDSYKTTGCFDMTCSGFVQTSSQYLLGGSIEPVSTEFGQQYDLTVGIYMDPNTANWWLKIGKDIPVGYWPASTLLFYLNHSSTLVEWGGQVYSSNVKKKPHTKTGMGSGQFASGLRGNACYMDNIAIVDFSMQLKYPQWVGTWADEQYCYTALNYQEGYGTLPIFYFGGPGQNYNCP >KJB25197 pep chromosome:Graimondii2_0_v6:4:49143221:49144961:-1 gene:B456_004G180600 transcript:KJB25197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESSEIQSNQEIVQAHEPNNEEINRSVSNVADSSMKMEGTRETCRPYSRLEPQPTKGEVWVWYVYELCSYFVHTVLIPIVFSLIIGQIVDSPTEPLRGWNKSAKGLACKIDEMELYERLTHRSVSIGNSKVSPLEWTSISWGIGLILASPALRFVSTNLDHGQNQVVIAGAATAIGAFFCLPVGFFKVTWIFPLYVAPIVVAITVSTASHTRHHGLMIQGFTGPSLQRHQFPNRRGVSSRLSLNATGVGCLGSAVIVAFVYYMLRIQDIFTGLWVVSIFSGLIWLAGIVHVFTLRPGATITSPLPKDHFLSIFKSHHGLGTLIVVGLSSFTSMCIFTGGVLFSVGELCLKPVFLLYFWLIYFIFPSVSLPMLQSVQLILKTNAVKMHLLGLILSLVTSGIGFQFRKDNWERHYILIFSALQSTSTGVMHAFARVLLMDCSPAGKEGAFATWFSWVKMAGTCIGFAVASGAAAGNVGTSFGIAFCTAAVAMVISIYGNISDVAGAVAAGLISEEGEMVSSPIANGSDHSNSINNDDDENAKKEAVPVGEEAA >KJB23743 pep chromosome:Graimondii2_0_v6:4:25883580:25885633:-1 gene:B456_004G112600 transcript:KJB23743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILELRGAGLSTQGMDFGQYIDPLDSISQRKDFYGKGTDYKRFSETEKRYAFSSQITDLIEKLVNIIEEAERSSPARAFDMQNSISTALKDMQKQLDLISKYDWDFDSGLAAITTLASSLDYMSSRSSLKLLLPLADPAQVLNVPVILIGTLLAATHPFAANPPYLLSWLSPQISAPDMLQPKLFEKLVTENFKTVPAKLLLQLATAFEEGGLRDRSGTFFYKNHLSKSNVPVLAIARDQDLICPPDAVYETVKLILEPLVTYKVFGEPGGPHFAHYDIVGAQRAVDLVYPCIIEFLNHHDAT >KJB22480 pep chromosome:Graimondii2_0_v6:4:4555357:4558965:-1 gene:B456_004G049800 transcript:KJB22480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQSPVPVRTKKTKAVGIPTLDLSLDKSIVSKLVVEACEDYGFFKVTNHGVPMEIISRLEDEGFRFFNKPAPDKQQAVPAGSFGYGSKNIGLHGDKGELEYLLLPTNPCSIVQTSNTISHEPKNFSSAANEYIKAVRELASEILDLVAEGLWLQDKYAFSKLITDVQSDSILRFNHYPPLSSKPCKDDDEVGFGEHSDPQILTIFRSNDVGGLQIALRDGFWIPVPPDPTQFFVIVGDALRVLTNERFRSARHRAMANSTENSRMSIMYFGAPPLNTTISPLPQLVSSENPSLYKPFTWGDYKKAAYSLRLGNPRLHLFKASFISTNQIHIM >KJB25201 pep chromosome:Graimondii2_0_v6:4:49191975:49192472:1 gene:B456_004G181000 transcript:KJB25201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKQKPSMKGSNQIVSKLLRPKQPENKPSGPKKAKGPIVLEARREKKNILMEKKLLIFPGCLCWAFQEWYKWGMLVVGNPRIAPLSGLVLAWHGRKMSNRACIKFVLRLTAEGHDLSQPVDLKDHCDRHGTNNFVTIKLILYSMNYLHLARHHSP >KJB26458 pep chromosome:Graimondii2_0_v6:4:58036138:58038487:-1 gene:B456_004G242700 transcript:KJB26458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSLSKLRRPHPQTQLPSQNNPISSSSSTFNYNFNGGSTENISIPSSPSSSNAVSGNTYAFAANAPRPSASPNYYHHPPPPYSSSSLSMMASPPFCSLTPPAYVDHKTAKKIKNDVNIHKDTISLFLDHNHLDSLLLSFTFDALVDGSITIFYFAKEGLNCNFMPMYPEIYMPKTVPFQKGLAQKFYQPSGTGIGLGFFEFNLLSRLSKEEDDICPLVISAETSFPSFMSTANFEQPPHAQITQAVLKLNDEGHFEVKVIKQILWIEGIRYELKEIYGIENCNEQGVEDDSESFGTCVICMTEPKDTAVLPCRHMCMCSECAKQLRLKSKRCPVCRHSIQELIEIKIENQLSKAFLEAHKTHRVDSVYKQL >KJB25462 pep chromosome:Graimondii2_0_v6:4:51039230:51043193:-1 gene:B456_004G192900 transcript:KJB25462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKSRRTTLSRFRQFLSSSSYTLVYKWPYGLKIIHEISMVASSSHSEGRSGRVPTENGHVAPAPRFKQRKVSAVRDFPPGCGRVAAPITRPSEQAQGLVVSESENPASLPDSVERVQCETKVSEESENATSSVNEVSGSQKDLPEENAASITRPSEQAQGQAVSESENPASLPDCVDKVQCETKFSGESRIATSSVNEVSGSEKDLPEENAAISEEEVPENGVEFHPGESSLARNYRPRKGVTVVRHFPPFCGRNAPPLSDEERMKWLTSLKNKGFNLDKFVNKEKPLEKTLCTDVRQAIEDVQEIYALDGKVEGCSPRLPVEEIQTKPEESASEKVGKRGAYEEASSRNDVEEDVENTNENNIKPSCESFPNEPDSNSEKVTETRDDSRGLEENPIRDIVVYEGGDSFEKKLSVSSAFEGQLMEEDCIFVNEEGNSFEKKLSDLSAFGDQLLEENHGSQEILSTSPHRQGKISFKADLAGGSLKRKKRSNATTKSSSRKRAHQGQVIVWNKEDSLEQDELHKNDNFAWRSYSYDVSLPPCPSRSDHDNDEMTTRNKVRETLRLFQAIFRKLLQEEESKMKEKGKAPKRIDILAAKILKEKGKYVNTGKQIIGPVPGIEVGDEFQYFVELNLVGLHRQSQGGIDYVKQGDKIIATSIIASGGYENDLDNSDVLCYMGQGGNVMQKGKQPEDQKLERGNLALANSRFVKNPVRVIRGETKSSSTLLEARGKTYVYDGLYSVEEFKQEPGPHGKLVYKYKLVRIPGQPELTWKVVKKSKVREGLCVHDISLGKEVIPISAINTIDSEKPPPFEYVCHMIYPDWCRPIPPKGCGCTKGCSELGKCSCVMKNEGEIPYNHNGAIVEAKPLVYECGPNCNCPPSCYNRVSQHGIKIQLEIFKTESRGWGVRSLNSISSGTFICEYAGELLEDREAEERKGNDEYLFDIGNNIDSSLWDGLSNLLPDAHSSSCQVVHESGFTIDAARCGNIGRFINHSCSPNLYAQNVLYDHEDTRIPHIMFFAAENIPPLQELTYHYNYMIDQVRDENGNIKKKICYCGSSECTGRLY >KJB25127 pep chromosome:Graimondii2_0_v6:4:48613094:48615959:-1 gene:B456_004G177800 transcript:KJB25127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCPSIKNILLLDSEGNRVAVKYYSDEWPNNSVKEAFEKSLFTKTQKTNARTEAEIAMFESHIIVYKFVQDLHFFVTGAENENELILVTVLQGFFDAVGLLLRGTVDKKEALENLDLILLCLDEIVDGGIILETDANVIAGKVASHSIDAAAPLSEQTISQALATAREHLARSLLK >KJB24914 pep chromosome:Graimondii2_0_v6:4:46441495:46448922:-1 gene:B456_004G167700 transcript:KJB24914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSRSDKSEQQYRKSGRSASFNQQRSSSGAYGKGAGGVPAPSPSLSSSSSRSFKKSNNAQGGQSRGTSPAVNSSNANSGNAPAGHNVQNGAHVQSQLQGASDAAIASGTAKPTESATSQRSTRAIPKAPTSQPATMSSDSNNPTTPIKASGDASKAFSLQFGSISPGFMNGMQIPARTSSAPPNLDEQKRDQACHDSSFRSVPDKPTPAPKQQQPINDSVATVPSKSGEAHPAPKVKKDAQASVASPTNQPQKPSLLSLPLNPIQMPFNHQPQVSMQFGGPSPIQSPTSIQMPMHIPMGNAPQVQPPVFVPGLQAHPLSPQGMMHQGQGLSFSPPIGGQLPPHLGNLGMGIAPQYPQQQGGKFGLPRKTTPVKITHPDTHEELRLDKRTDTYPEGGPSGPRSHPNVPSQSQSIPSFAPSHSINYYSNSMFYPPPSSLPFSSSQISPNAQGPRFNYPVSQGHQNISFMNSAAAHGSVPGSVQVTVKPAAVSLGERVADSSLSSSLPAVEKGSTVQQPKPGTESLTSKSLSAAAKHSRVVPATNLDESLPSNSVSSAPAATSEESMLVIASNEGRKESLVKSISIKDHQKKMSKKGLIQPTNQSTSATSVASHTAEHGISSGSAVSETIEAKTALTSSSAVKDDSAPSVELKTESKREDLTSVSSDVSGTGSNVDSLNMVQDEQLKPETSGIKGEEGKTLLEEHLTDNATLEISSQPAPLNSKELKSNKGPALKAIATSNVPTSGTSQKVLTEDVGGNLENERVTDSRDVSPSRTAETTDFKGSHVDNTIASAAGSNEITVTKSFASDQQFDPVLAVDLSEPTSKYEREGVQVPSSNKTVPEVGRTKSNTTRGKKKRKEILQKADAAGTTSDLYMAYKGPEEKKETVASAESNSVGLNLKQTSRETPHADAIQSEKIAHKKAEPDDWEDAADISTTKLGTSDNGEKAHGRLGNHEKDGSGNIAKKYSRDFLLKFAEKCTDLPNGFEIASDIVEALMVTNTNSNASHFVERDSYGSSGRIMDRQFSGSRQDCRAGGMADDDRWIRQSGSFGPGRDLRLDLGYGAAAGFRPGQGGNFGVLRHPRGQAPLTYVGGILAGPMQPMGPQGGMARNNPDSDRWQRASNYQQKGLIPSPQTPLQMMHKAERKYEVGIITDEEESKQRQLKAILNKLTPQNFEKLFEQVKAVNIDNAVTLTGVISQIFDKALMEPTFCEMYANFCYHLAGELPDFSEDNEKITFKRLLLNKCQEEFERGEREQEEANKVEEEGEAKQSEEEREEKRIKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYENPDEEDVEALCKLMSTIGDMIDHPKAKVHMDAYFERMTKLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQTSRLARGPGINAGTRRAPMDFAPRGSMLSSPGSQMGGFRGPPTQVRGFGGQDFRMDDRQSFEARTVSVPLPQRPMGDDSITLGPQGGLARGMSFRGPPGVSSAPLADVPPASGDSRRVATGLNGFNAPSERTTYGSREDLIPRYGTDRSAVPATYDHLSSQECGLNFGNRGPDNSFDRSSAASATGQRSSFTQNVPPEKGWSEERLHDMSMAAIKEFYSARDEKEVALCIKDLNSPSFHPSMIALFVTDSFERKDVERDLLAKLLVNLTKSHDGVLSQVQLVKGFESVLSTLEDAVNDAPKAAEFLGQIFAKLVMENVISLKEIGHLIHEGGEELGRLLEIGLAGDVLGSTLGAIKAEKGESVFNEIRASSDLPLEDFRPPDPKKSRLLETFL >KJB24916 pep chromosome:Graimondii2_0_v6:4:46441497:46448922:-1 gene:B456_004G167700 transcript:KJB24916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSRSDKSEQQYRKSGRSASFNQQRSSSGAYGKGAGGVPAPSPSLSSSSSRSFKKSNNAQGGQSRGTSPAVNSSNANSGNAPAGHNVQNGAHVQSQLQAIASGTAKPTESATSQRSTRAIPKAPTSQPATMSSDSNNPTTPIKASGDASKAFSLQFGSISPGFMNGMQIPARTSSAPPNLDEQKRDQACHDSSFRSVPDKPTPAPKQQQPINDSVATVPSKSGEAHPAPKVKKDAQASVASPTNQPQKPSLLSLPLNPIQMPFNHQPQVSMQFGGPSPIQSPTSIQMPMHIPMGNAPQVQPPVFVPGLQAHPLSPQGMMHQGQGLSFSPPIGGQLPPHLGNLGMGIAPQYPQQQGGKFGLPRKTTPVKITHPDTHEELRLDKRTDTYPEGGPSGPRSHPNVPSQSQSIPSFAPSHSINYYSNSMFYPPPSSLPFSSSQISPNAQGPRFNYPVSQGHQNISFMNSAAAHGSVPGSVQVTVKPAAVSLGERVADSSLSSSLPAVEKGSTVQQPKPGTESLTSKSLSAAAKHSRVVPATNLDESLPSNSVSSAPAATSEESMLVIASNEGRKESLVKSISIKDHQKKMSKKGLIQPTNQSTSATSVASHTAEHGISSGSAVSETIEAKTALTSSSAVKDDSAPSVELKTESKREDLTSVSSDVSGTGSNVDSLNMVQDEQLKPETSGIKGEEGKTLLEEHLTDNATLEISSQPAPLNSKELKSNKGPALKAIATSNVPTSGTSQKVLTEDVGGNLENERVTDSRDVSPSRTAETTDFKGSHVDNTIASAAGSNEITVTKSFASDQQFDPVLAVDLSEPTSKYEREGVQVPSSNKTVPEVGRTKSNTTRGKKKRKEILQKADAAGTTSDLYMAYKGPEEKKETVASAESNSVGLNLKQTSRETPHADAIQSEKIAHKKAEPDDWEDAADISTTKLGTSDNGEKAHGRLGNHEKDGSGNIAKKYSRDFLLKFAEKCTDLPNGFEIASDIVEALMVTNTNSNASHFVERDSYGSSGRIMDRQFSGSRQDCRAGGMADDDRWIRQSGSFGPGRDLRLDLGYGAAAGFRPGQGGNFGVLRHPRGQAPLTYVGGILAGPMQPMGPQGGMARNNPDSDRWQRASNYQQKGLIPSPQTPLQMMHKAERKYEVGIITDEEESKQRQLKAILNKLTPQNFEKLFEQVKAVNIDNAVTLTGVISQIFDKALMEPTFCEMYANFCYHLAGELPDFSEDNEKITFKRLLLNKCQEEFERGEREQEEANKVEEEGEAKQSEEEREEKRIKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYENPDEEDVEALCKLMSTIGDMIDHPKAKVHMDAYFERMTKLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQTSRLARGPGINAGTRRAPMDFAPRGSMLSSPGSQMGGFRGPPTQVRGFGGQDFRMDDRQSFEARTVSVPLPQRPMGDDSITLGPQGGLARGMSFRGPPGVSSAPLADVPPASGDSRRVATGLNGFNAPSERTTYGSREDLIPRYGTDRSAVPATYDHLSSQECGLNFGNRGPDNSFDRSSAASATGQRSSFTQNVPPEKGWSEERLHDMSMAAIKEFYSARDEKEVALCIKDLNSPSFHPSMIALFVTDSFERKDVERDLLAKLLVNLTKSHDGVLSQVQLVKGFESVLSTLEDAVNDAPKAAEFLGQIFAKLVMENVISLKEIGHLIHEGGEELGRLLEIGLAGDVLGSTLGAIKAEKGESVFNEIRASSDLPLEDFRPPDPKKSRLLETFL >KJB24915 pep chromosome:Graimondii2_0_v6:4:46441497:46448917:-1 gene:B456_004G167700 transcript:KJB24915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSRSDKSEQQYRKSGRSASFNQQRSSSGAYGKGAGGVPAPSPSLSSSSSRSFKKSNNAQGGQSRGTSPAVNSSNANSGNAPAGHNVQNGAHVQSQLQGASDAAIASGTAKPTESATSQRSTRAIPKAPTSQPATMSSDSNNPTTPIKASGDASKAFSLQFGSISPGFMNGMQIPARTSSAPPNLDEQKRDQACHDSSFRSVPDKPTPAPKQQQPINDSVATVPSKSGEAHPAPKVKKDAQASVASPTNQPQKPSLLSLPLNPIQMPFNHQPQVSMQFGGPSPIQSPTSIQMPMHIPMGNAPQVQPPVFVPGLQAHPLSPQGMMHQGQGLSFSPPIGGQLPPHLGNLGMGIAPQYPQQQGGKFGLPRKTTPVKITHPDTHEELRLDKRTDTYPEGGPSGPRSHPNVPSQSQSIPSFAPSHSINYYSNSMFYPPPSSLPFSSSQISPNAQGPRFNYPVSQGHQNISFMNSAAAHGSVPGSVQVTVKPAAVSLGERVADSSLSSSLPAVEKGSTVQQPKPGTESLTSKSLSAAAKHSRVVPATNLDESLPSNSVSSAPAATSEESMLVIASNEGRKESLVKSISIKDHQKKMSKKGLIQPTNQSTSATSVASHTAEHGISSGSAVSETIEAKTALTSSSAVKDDSAPSVELKTESKREDLTSVSSDVSGTGSNVDSLNMVQDEQLKPETSGIKGEEGKTLLEEHLTDNATLEISSQPAPLNSKELKSNKGPALKAIATSNVPTSGTSQKVLTEDVGGNLENERVTDSRDVSPSRTAETTDFKGSHVDNTIASAAGSNEITVTKSFASDQQFDPVLAVDLSEPTSKYEREGVQVPSSNKTVPEVGRTKSNTTRGKKKRKEILQKADAAGTTSDLYMAYKGPEEKKETVASAESNSVGLNLKQTSRETPHADAIQSEKIAHKKAEPDDWEDAADISTTKLGTSDNGEKAHGRLGNHEKDGSGNIAKKYSRDFLLKFAEKCTDLPNGFEIASDIVEALMVTNTNSNASHFVERDSYGSSGRIMDRQFSGSRQDCRAGGMADDDRWIRQSGSFGPGRDLRLDLGYGAAAGFRPGQGGNFGVLRHPRGQAPLTYVGGILAGPMQPMGPQGGMARNNPDSDRWQRASNYQQKGLIPSPQTPLQMMHKAERKYEVGIITDEEESKQRQLKAILNKLTPQNFEKLFEQVKAVNIDNAVTLTGVISQIFDKALMEPTFCEMYANFCYHLAGELPDFSEDNEKITFKRLLLNKCQEEFERGEREQEEANKVEEEGEAKQSEEEREEKRIKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYENPDEEDVEALCKLMSTIGDMIDHPKAKVHMDAYFERMTKLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQTSRLARGPGINAGTRRAPMDFAPRGSMLSSPGSQMGGFRGPPTQVRGFGGQDFRMDDRQSFEARTVSVPLPQRPMGDDSITLGPQGGLARGMSFRGPPGVSSAPLADVPPASGDSRRVATGLNGFNAPSERTTYGSREDLIPRYGTDRSAVPATYDHLSSQECGLNFGNRGPDNSFDRSSAASATGQRSSFTQNVPPEKGWSEERLHDMSMAAIKEFYSARDEKEVALCIKDLNSPSFHPSMIALFVTDSFERKDVERDLLAKLLVNLTKSHDGVLSQVQLVKGFESVLSTLEDAVNDAPKAAEFLGQIFAKLVMENVISLKEIGHLIHEGGEELGRLLEIGLAGDVLGSTLGAIKAEKGESVFNEIRASSDLPLEDFRPPDPKKSRLLETFL >KJB21643 pep chromosome:Graimondii2_0_v6:4:365661:366199:-1 gene:B456_004G006100 transcript:KJB21643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRKSFCFCIPASSEKEDYSDSSSSYKTKAKRSGKKKKKKRDIEEGNDGSYQGAPTVTTASNDAAVATAAAVVMVGATTAAAMEGSGCGSSHGGGGGGDGGG >KJB26012 pep chromosome:Graimondii2_0_v6:4:55576979:55577911:1 gene:B456_004G221200 transcript:KJB26012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIQEMDNSSISQDGVASHAPSSSSSTASRYENQKRRDWNTFMQYLKNHRPPLALSWCSGAHVLEFLRYLDRFGKTKVHTPICPFYGYPNPPAPCPCPFRQAWGSLDALIGRLRAAFEENGGKPEANPFGTRALINKPHLCHCRRRGRHHQVHVETCIS >KJB26013 pep chromosome:Graimondii2_0_v6:4:55576979:55577911:1 gene:B456_004G221200 transcript:KJB26013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIQEMDNSSISQDGVASHAPSSSSSTASRYENQKRRDWNTFMQYLKNHRPPLALSWCSGAHVLEFLRYLDRFGKTKVHTPICPFYGYPNPPAPCPCPFRQAWGSLDALIGRLRAAFEENGGKPEANPFGTRAVRLYLLEVRDLQSKARGISYEKKKRKRPSSAHQQTPSLPLPPPRPPPPGAC >KJB23525 pep chromosome:Graimondii2_0_v6:4:19383791:19384890:1 gene:B456_004G102900 transcript:KJB23525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAIEVSDEKVKSMWDKRLTDMFCDICIKEILKGNRFGIHFTKVGWLKIMTNFENEMGKGFSQRQLKNRWDALKKEWKAWKKLKGDDTGLGWNPIKRIVDASDEWWESRLQVVPEAKKFKTSGFDPEFEGKLDQMFMGIVATGDKAWAPSSGTLCSDFFEDVNNEIPEESEEENMRNDVHISNDVQFDGNGQKRKNPETSSSHFKTGRKKSSKQIGGATRLSSQIEKLCNVADNMSQATSSLTPVMDPYSIPQAVKMLDTMSEEVPEASPLYFFALRLLLNKDKRIMFLSINPKIRALWLKTEMEDSWKFSDLLGFKDIYLCVIF >KJB23726 pep chromosome:Graimondii2_0_v6:4:25368377:25370416:-1 gene:B456_004G111800 transcript:KJB23726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKELISMLSSRLVAVISFFLALTLVKGFEGTIGVNYGTVANNLPPPAQVAHFLLESTVINRVRLFDANPEILRAFAHTGVAVTISVPNDQIPDLTKLNFAQQWVEDYIQPHTPATNIVRILVGNEVISTANKLLIVSLVTAMETLQTALVAASLDRRIQVSTPHSLGILSNSSPPSTGKFRQGYDTHVIKPLLSFLRDSNSPFMVNPYPFFDCSPDTLDYALFQPNAGVFDENTQLFYTNMLDAQLDAVFSAMKLLGFDDLEIVIAETGWPSMGDATQFGVDAKSAAEYNGNLMRHVTSGVGTPLMPNRTFETYIFALFNEDLKPGPTCERYFGLFRPDMTPVYDIGILRPTVAAAANIQHATCLLIITVGLLIIKNHG >KJB23817 pep chromosome:Graimondii2_0_v6:4:27963511:27967730:1 gene:B456_004G115900 transcript:KJB23817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRIGTYKLLRSMGFLQSPRLINQNSKNYVFGIVKRRNLSEFDMSKLGIGFDDEKSERKTSEKALVLSQINL >KJB25897 pep chromosome:Graimondii2_0_v6:4:54766826:54767305:-1 gene:B456_004G214600 transcript:KJB25897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIAIPVGVMLAIPIIIIILIFMVFLVWSCLYFTVVFILMLLHCFCWDIDEDIENRLPRYVAAVDHTMRSRSTLLDEVPRPITVGTVVKYKIEGEIESCHADCVICLEEFKDGDTCRVLPNCKHMYHQLCIDKWLVKDEVCPLCRGSVYTLELTSSPTT >KJB21349 pep chromosome:Graimondii2_0_v6:4:53541940:53542050:1 gene:B456_004G205200 transcript:KJB21349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSMADHCGYNGRCSGPSYYYFFFHFYIKPFDFF >KJB22709 pep chromosome:Graimondii2_0_v6:4:6105176:6106542:1 gene:B456_004G061700 transcript:KJB22709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSMIFMISFSVLILCSSLAYGQVAMSTSPTPSPSPAPAPAPTPAYTNLKDLLSVAGPYHKFLDYLESTKLIDTFQIQANNTVEGITIFVPKDNAFKALKKPSLSNLTDDQLKSVLLFHALPRYYALADFNDLSEKGPISTLAGGQYTLQFNDDSGTVRLDSGWSKTKVTSAVHTSKPVAVYQVDKVLLPEAIFGTDIPPTPAPAPALGISPSADTPSAAKSEETGSSSKPSSSGSSSPRIMMNSGIWTQLVLAFLGGWLVLFF >KJB23244 pep chromosome:Graimondii2_0_v6:4:11611320:11611844:1 gene:B456_004G087100 transcript:KJB23244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQWQNIFQRNPSLGDPLPHIIVPTGAHVGHVSSSAIDVACCICGYTKGCCCHNPIMRFWCIHNRLTF >KJB24425 pep chromosome:Graimondii2_0_v6:4:40873197:40875543:1 gene:B456_004G145100 transcript:KJB24425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFQSTKNTMAKFFMNYFSFFFFLYRLVFKPSKNPKAFHRTASNIHANEGKLYHKYPSFAHRANLSKDQTLLLFNVEDALLKSPSLFSYFMLVAFEAGGLLRAFVLILSYPLLRLVGEDMGLKIMVFVCFFGVKTKSFRVGSAVLPKFFLEDVAVETLEVLKKGGKKVGFSNVPRVMIESFLRDYLDIDFVVGREVKVFRGYFLGVMEDKKQSNAALETIIGSQGLGICDVIGISGFKGSLQYHLSSHCKEIYLVRKADKRSWQRIRRDEYLKPLIFHDGRLAFMPTPLATLAMFMWLPFGITLSIIRAISGILLPYRLSIPILAYTGFRLSLSPNPSLQSSNTRKTRGRLYVCNHKTLLDPLYLSFSLQKDLTAVTYSLSRVSELLAPIKTVRLARDRDQDSKMMEKMLNKGDLVVCPEGTTCREPYLLRFSPLFAEMSDDIVPVAMDCHVSMFYGTTAGGLKCLDPLFFIMNPRPSYNVRVLDGVYGLSSFLGGGERSRFDVANHVQSEIGKALGFGCTRLTRRDKYLILAGNEGIVNKC >KJB26011 pep chromosome:Graimondii2_0_v6:4:55569373:55571941:1 gene:B456_004G221100 transcript:KJB26011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FIKQKNRKPCEKECKTATTLCFEASLMSHALHLVPNNIPGYGPTDCRYLSYSGISGRAVTLSPCSSHSDHKICTQVNVRSLKCSSRGIISFVCRAGPGGRRRNPDFSRQRPGYRGKNRQNEDRENFENLDESEMISSKNGPLLSLSGSTKFQATAAPGPREKEIVELFRKVQAKLRERTAAKEDTKIEASQGKGKESETVDSLLKLLRKHSVEQGKKKNSNVSSRDLNLDTPEVNGSSNEDKSFSFFGSNDRVRSETKESYAPSLSRPASNFRKKSPVPQMKYQTLYSDEEMVNSVTHVNSDRKRNLSLAKSHPAVNDVPELEEELESESDPELESESIYQESDMLDELSEDESSDVDEEDREQHIEHEDLSALKLPELRALAKSRGLKGFSKMKKSDLVAILSSLS >KJB23101 pep chromosome:Graimondii2_0_v6:4:9729604:9730171:1 gene:B456_004G081000 transcript:KJB23101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLFFFFFFFFLALFLCNLLPLLAGSCAISSSESILLQHIPFTAYFVLKILLIISCNHSKNASCNWEISAKLLEMVISCFTADFDASSSNIQPYAD >KJB22880 pep chromosome:Graimondii2_0_v6:4:7685241:7687202:1 gene:B456_004G071400 transcript:KJB22880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDKEEPLLQLHSLWRPVERKCMFLLQQKNTKTTLLQIHAFMLRHSVETNLNIFTKFIRACASISSLSAINHARRLFDVRPRRNDTLLCNSMIKAHLGVNQFTQSFTLYRDLRKDEEGFVPNKFTFLTLAKSCALNMAVCESLQIHNHVMKFGFCLDLYVSTVLLDMYAKLGIMGSARKVFEEMPDRSVVSWTALICGYAKAGNMERAKKLLDEMPEKEDSVLYNAMIDGYVKLGDLDSARSLFNQMPDRNVISWTIMINGYCNSGDVVSARFLFDSMPEKNLVSWNAMIAGYCQNRQPHEALKLFHELQSTTLFEPDRVTIVSILPPIADLGALELGEWVHHFVQRKKLDRATNVCTALIDMYAKCGEINKAKKVFDEMPEREIASWNALINGYAVNGCAKEALRVFLEMQNRRIVPNDVTMIGVLSACNHGGLVKEGKRWFKAMAEFRLTPKIEHYGCMVDLLGRAGCVEEAEKLIESMPYEANAIILTSLLFAYWSLNNVERAERVLNKLVHMEPTNHGSYVMLRNLYAAEKRWEDVEEIRREMRRNGARKEAGCSLIEVDSRVLEFVSADKLHPQWELIRSVLLQLRMHMRGQPEMEAA >KJB27138 pep chromosome:Graimondii2_0_v6:4:61228902:61233230:1 gene:B456_004G280200 transcript:KJB27138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAPASAPAALPPTINATSPSPQPSTSEAPPPTSTPSKTPLTPYPQPPVVTPSAAPSSTTAPPPFASSPSPSFPTTLPSPVTTFPPLLSTNPSSPLPFTTFPPPSSTTNPSLPPPATTFPPPPSSNIIYPPHPSTRQPTPRSSSNTPLLPPPPPPTWTSTTAYPLPPPSHSRGGVPTMLVLGITIGGIAILLVLSLLFTLISSKKRKRTRKRRDEESYYTPPPSPRPKDDTHGGQHNQGQQNPPDPEPIPPQSPPRKSPSPEHSSTSLIPLLPPPPPPFTCNFGGFDTDIDSIPENPFLPTAPKIALSFSNRMFTYEELAKATNGFSDSNLIGQGGFGYVYRGTFVDGKEVAVKQLKVESRQGEREFKAEVEIISRLHHKHLVSLVGYCISETTRMLVYEFVPNNTLEFHLHGEGRPIMDWSTRMKIALGSAKGLAYLHEDCHPKIIHRDIKAANILLDFNFEAKVADFGLAKFSYDVNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMILELITGHKPVSSSYKDDGLADWARPLLTKALDDGSFDCFADPKLGKEYDHNEMVRMVACAAACVQLSARDRPRMSQITRALEGDVSMSDLNDSASPEHSNIHSSHENSDIDTSQHNEDTEGSKRMVLDSQGYGASGEYGEPSTEYGSNPFGSINESCSNQPGNETLSSSSNERSDQPGNGSG >KJB25664 pep chromosome:Graimondii2_0_v6:4:54805983:54806608:-1 gene:B456_004G215200 transcript:KJB25664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKGKDDQPFGICHRLFNFIRKGLKWLTLGHTMAQGSIGKGSLGEFAGNEVQEPLASQAKDDGSDPTVKILFKQTEELDDRPTVDKFGLSVPDPSGKENSLRNGIGRPKIIIPVASGEAGNGFATAKPTTPVRPDDSRLVLTGLGLNINEISDEYIKKTKERMTKNVNLMKPEES >KJB25979 pep chromosome:Graimondii2_0_v6:4:55354940:55356811:1 gene:B456_004G219500 transcript:KJB25979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIQVLTLSIIFFLTLPFFFLSLIKSSRSKKPSTPNVFPKRYPLIGSYFDIKSNQSNRLEWITRILKNCPSATYTLHHILGYRQIFTANPDNVQHILKTRFNNYPKGEFFINVFFDFLGNGIFNADGEAWKFERQVSSHEFNTRSLRKFVETVVDAELNDRLVPMLSGAVTGKTVLDLQDVLQRFAFDNICKIAFGYDPECLLPSLPQTEFADAFEDATHLSSERFRAPITLTWRIKRVFNVGSEKKLKIAISQVRDFAKKIVREKKQELATKSSLDSVDIISRFLNSGHSDENFTTDIVISFILAGRDTTSAALTWFFWLIHKHPEVEKEILKEIKEKSEMLVFEEVKDMAYIHASLCESMRLYPPVPTDSKRAMADDVLPDGTVVKRGSVVTYAPYAMGRMENIWGSDWEEFKPERWLQRDEAGKWSFVGRDPYTYPVFQAGPRICLGKEMAFLQMKRVVAGTLRRFKVVPAVEEGFQPVFVAYMTSKMKGGFPVKVEERGKLD >KJB22162 pep chromosome:Graimondii2_0_v6:4:2670873:2675785:-1 gene:B456_004G032700 transcript:KJB22162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEVGVDENAAFEPSPAVGEKRGVENGAVSASKKQRCGGGLKRVAEIVLVLSTMGKMRGGKNPTSAEMSLMAEARETLAEMCGEMAPKDIVGRDAIGNVIEELGLNSNLKEQRLGFRDMGMSISQKVLFAKMKMEEPKKFTTPGTYTSQPLQTNIGGSAENRGALHAARLLPSDQPIHPAVSSGGIPVSLPPAHVAAAGSAPLQHQLPTSDVKMPTMSTGLPSGHLGRDSSSFAYPRVERPQIKLDGGSNAASYVSQMPVNSSASHPPANAPTWSIQAQSAGLAKSGQENKVLTHNPIKVEGSSGLTTAQMNNQAVRDQTFRPFITQTAPGTFPSVHQPVQGANFTQAPPFINNHNEIARIVQKLLQPKLPAHPTWTPPSREYMNKALTCQTCKVTINDVETVLICDACEKGFHLKCLQSNNQKAIPRGEWHCARCLSFCNGKPLPPKYGRVMRSINVSKVPSSTAEPQSSSEKKMENLGSTVNHEKVTANGSSASQTLAMATAVDSNSVESASGSKVPKENLTKPLEAVCDLPSVGTVNERPEEHSQMTESSNHEERKDPTSTSEPADTSDNTICKKADHSQPSDNSKDIQTGQQNFAEVPSNNCHVESSGVKDMEKDCSKGDIDCIKQINQSEQDAVAAQVNPSGNSGANTEISRHLEFSSDGLRAVEWAGDILQVVDGKKFYQYCCIDGIIYKVHDHALVHSGQDKLIPSKLQAMWEDAKTGSKWVVVKRCYFPSDLPEGVAHPCPPEISEVYESNNDSTVMASAIQCPCEVLPADKFKEESERRSQLGIEANERSKAIFLCRWLYDEFNGSFQSISS >KJB25735 pep chromosome:Graimondii2_0_v6:4:53733710:53737004:-1 gene:B456_004G206800 transcript:KJB25735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTGLMTWLLYSTLFAALLLNSDAANANERKVHIVYMGDRPKGDFSAKATHHSMLTSVLGRSSSAQESLVYSYGNFNAFAAKLTEEEVEKFSEMDGVVRVIPNHILKLHTTRSWDFLGLSQSNVGAQLQGDVVIGLLDTGIWPEHESFNDQGLGAPPSKWKGTCQGANFTCNNKIIGGRYYNSENWYYEGDLKSPRDSEGHGTHTSSTAAGDRVPGASYYGLANGTARGGVPGARIAMYKVCWSFGCGAADILAAFDDAIADGVDIISVSLGSEFPVPYDVDPIAIGAFHAMKYGILTSSSAGNSGPWPYSVSNYAPWTLTVAASTIDRKFVAKAVLGNGQAFTGLSINSFELYGKTYPLIWGGDAANYSAGASQDLSKYCITGSMNSYKVEGKIVFCEVLWDGTGVLLANGVGTIMADDEITDFAFNYPLPATQISTSDGEKILDYIKTTENPIATILLGETLTDYMAPYVVSFSSRGPNPVTPDILKPDLTAPGVDILAAWSPIAPPSIDWEDPRSVDYNIISGTSMSCPHASGAAAYVKAAHPDWSPAAVKSALMTTATVVDPNKHEDLEFAYGSGQINPAQAIKPGLVYDANETDYISFLCKQGYNTTTVRLITGDNSSVCSSTLNGRAWDLNYPSFSLAVGDGKQIDGVFTRTVTNVGSANSTYTVQMYSPPEFSISVEPEVLSFSTIGEKKSFTVKVGGGFISQQKITSGAIIWTDELEQYRVRSPVVVYNVLPGYTFFPPQTSKFQKKPTTFHGPTTPSMHHKNGILGRN >KJB26431 pep chromosome:Graimondii2_0_v6:4:57909866:57913145:1 gene:B456_004G241200 transcript:KJB26431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKYKHQDLTNQFAVKFHMVELYNKTQRLPSPSINASTPFEKNCFSSAEITLDKCDIEFLTCIRFDELETALMEMVKQHNRHQLCARVAEKTAFLRPIELKLVPVPWKLRKIKIVKQISQMLVNHLGLNLTKDDLQNVVDLMEPYGQISNGIEYLNPPLDVSN >KJB22045 pep chromosome:Graimondii2_0_v6:4:2119787:2121436:-1 gene:B456_004G027100 transcript:KJB22045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASFKATPYLRRRSNPRPLIKVQPVNPAWGVEGFDPFVPGGIASHHIAAETLGILAGLFHLSVRPPQRLYKVLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGCFQQEIYRRVNAVLAENQSLSEACSKIPALITILIPIELKLNRLYSQF >KJB22120 pep chromosome:Graimondii2_0_v6:4:2420943:2422333:-1 gene:B456_004G030600 transcript:KJB22120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSLLLLSALISFVMVASAANFNQDIDVTWGDGRGKILENGNLLTLTLDKFSGSGFQSKNQYLFGKIDMNIKLVPGNSAGTVTTYYLRSEGSTWDEIDFEFLGNLSGDPYIVHTNVYTQGKGDKEQQFYLWFDPTKDFHTYSLLWNPQRIIFSVDGTPIREFKNLESMGVAFPKSQPMRVYSSLWNADDWATRGGLVKTDWSQAPFTAAYRNYKADACVWSSGKSSCSSTSPSQNAWLSQELDITSQERLKWVQKNYMIYNYCTDTKRFPQGLPKECTAP >KJB26857 pep chromosome:Graimondii2_0_v6:4:59863014:59868115:-1 gene:B456_004G263400 transcript:KJB26857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNMYSFISFCMLLLFSTFIEAAPNDSLITHLPGFNGDFPSKHYSGYVRIGEKNLFYYLVVSERNPGKDPVVLWLNGGPGCSSFDGFVYEHGPFNFQEGSPKGSLPTLHLNPYSWSKVSNIIYLDSPAGVGFSYSWNISEYITGDHKTATDTHAFLLKWFGLYPEFVSNPFYIAGESYAGIYVPTLSSEVVKGIKVGAKPTINFKGYMVGNGVCDPVFDGNALVPFTHGMALISDDIFQGVESSCGKNYSNPSKICDENIEKVYEAISDLNIYDILEPCYHDPTSQLGSKGNKSLPVSFQQLGATSKPLPVRTRIFGRAWPFRAPVKDGIVPLWPELAQTNSRDVPCFNDEVATLWLNDAKVRQAIHAQPETVSGTWELCTDRISYYHDAGSMIPYHKTLTLQGYRALIYSGDHDMCVPYTGTQAWTRSLGYKTIIPWRSWMSNGQVSGYMQGYDHDITFLTIKGAGHTVPEYKPMEALDFYSRWLDDKPI >KJB25772 pep chromosome:Graimondii2_0_v6:4:54010040:54010735:-1 gene:B456_004G208000 transcript:KJB25772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPNNPTNVPQLNQSSQRPVLLSLDQHSLITTNSHTCQNPSPACHGLPPPTPRKANMASASSPSGKHPLYRGIRRRSGKWVSEIREPRKTTRIWLGTYPTPEMAAAAYDVAALALKGAEAFVNFPASVASYPLPASISSADIRKAAATAALLKKAESNGIEIDLGSGHPHPAKKEEMTSTEEYMDEDVFLNLPNLLVDMAEGLLVSPPRLSSTPSDDSPENSDGESLWSY >KJB26530 pep chromosome:Graimondii2_0_v6:4:58288373:58291333:-1 gene:B456_004G245900 transcript:KJB26530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEQQRVQIPRVKLGTQGLEVSKLGFGCMDDVGIMIIKHAFEEGITFFDTTDLYGHKTNEILVEKTLKQLPQEKVQLATKFGVESIGPGGLVVSGTPEYVCASLESSLKRLDVDYIDLYYIIRVDTKTPIEETNCKKLVEEGKIKYIGISEASPETIRRAHAVHPITAVQMEWALWTHDIEEEVVPLCRGLGIGIVPYSPLGQGFSENSYLKSLSDAIVYLTRGFSAFGKFFYIYKGSYFSKLALAWVLHQGDDVAPIPDKENLDSNIESLKVELTEEDLKEISEIIPINEVAGATLPENLMQFTWKYTNTPPKNNAKV >KJB24849 pep chromosome:Graimondii2_0_v6:4:45656667:45659447:1 gene:B456_004G164500 transcript:KJB24849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHFYPPLSSAPSTSPPSEPDLTSFHSVSFDPSAPDYTSLLSDELLLNILSKLPISQHVSNSLVCKRWLHLHGRLVYSLKVADWSFVTSGRVFTRFPNLTDLDLVRSCIQMPKSSGIIVTHKTMSVHVDTHFILDGFLEETALLPSNAVDQGLRMIAGKYPNLQRLAATGASEEGLLRIAEECPTLQELELHCCGDLALRGIAGIKNLQVVKLMGFIGVFYNSTISDIGLTLLAQGCRRLVKLELCGCEGSYDGIKAIGQCCQMLEELTLSEHRMDGGWLAGLSFCGNLKTLRLRSCKSIDKSPGADEHLGSCLTLEELHLQGCQIRDKQSVKALFLVCENVRDIVLHDCWGLEDDVFSLASICRRVKLLSLEGCSLLTIRGLELVVLSWKELQRLRVMSCNNIKDTEVTPELATLFSVLKELIWRPDSRSLLSSNLAGTGMGRKGDRFFKRSKD >KJB26168 pep chromosome:Graimondii2_0_v6:4:56229596:56234485:-1 gene:B456_004G227900 transcript:KJB26168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCKSWGSRDIVFLCIIVLSLIHVSLGAKVRHFKWEVEYMYGAPDCQEHVVMGINGQFPGPTIRAKTGDTIVVDLTNKLHTEGVVIHWHGIRQLGTPWADGTASISQCAINPGETFEYRFKVDRPGTYFYHGHYGMQRSAGLYGSLIVDMADGEKEPFHYDAEFNLLLSDWWHKSVHEQEVGLSSNPFRWIGEPQSLLINGRGQYNCSLASKFSNSSISQCKFEGNEKCAPQVLKVRPNKTYRLRIASTTALASLNLAIEGHKMVVVEADGNHVQPFAVNDLDIYSGESYSVLLRTDQNPYKNYWVSIGVRGRDPKTNQALTLLSYSATPASKLPTTQPPVTPRWDDYNHSKAFTKSIYALMGSPQPPKTYDRRIILLNTQNRLNGFVKWAINNVSLVLPSTPYLGSIKFGLNNAFDQKTPPDNYDSSYDIMKPAPNQNTTQGSGVYTITLNTTVDVILQNANALAKDVSEIHPWHLHGHDFWVLGYGEGKFKNGDEKTFNLKNPPLRNTAVIFPFGWTALRFVADNPGVWAFHCHIEPHLHMGMGVIFAQGAHRVGQIPKEALACGLTGNKQN >KJB22170 pep chromosome:Graimondii2_0_v6:4:2687547:2689836:-1 gene:B456_004G033000 transcript:KJB22170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKTAAKKEGEEGETGTEEVKKSNHVLRKMEKRQQNRKLDPHIEDQFASGRLLACISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGAA >KJB24930 pep chromosome:Graimondii2_0_v6:4:46630897:46634423:-1 gene:B456_004G168400 transcript:KJB24930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKEHLTDLSSSVGVCATCLRERLLAVVAAQAQAQAQAQLARAAESRRKPDPPPLVFPRSVSPYVSRRKFDDDGDNWIHHQRFYSTPQVGPTYGATTNGDFEAARSFKKKNRVSLFSNLFRSRSEKFNSDPNVRYRRYLSDEPSSSSSSPSWFSAFFAVRRKKQQFSRTTHVEEFGQFGTGDRKSYRITDRGMSPVIVADTGDGCDRLPSGLSPEASPRWKRTPTAARSGVKNVSGLAFCMSPLVRASPNRHWNQKGGLPPDISFTGDGRPTMKPQLATAAGFSANRSRKLADMGTVNYNR >KJB25998 pep chromosome:Graimondii2_0_v6:4:55536378:55538894:1 gene:B456_004G220600 transcript:KJB25998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLVSSWFNNKTLPQSYIFPPETRPGNHIIPRSNAIPVIDLGNASAHDRTLVVQQILKASQEFGFFQVINHGVSEDLMNDTMNVFKEFFELPAEDKADLYSEEFNRPCKLYTSSANYDGDKVHLWRDSLRHPCHPLEECIKIWPQKPTRYREIVAAYSIEAKKLGSRILDLISEGLGLDLGYFGDKLSESVVISVNHYPPCPDPSLTLGIAKHCDPSLLTILLQGDVFGLQVLNDSKWIGVEPLHNAFVVNIGHQLEVVSNNRLKGAEHRVVTNSTVARTTAAIFLNPSEDCIVEPAKSLIRADESPAYRAFKFKEFLYNYVTMGGNSEKSLEPFKLHG >KJB26046 pep chromosome:Graimondii2_0_v6:4:55684788:55688983:1 gene:B456_004G222400 transcript:KJB26046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPKRGGVSLPERRSAAKPESNVLARITSSPLVSRGKQAACDAAFVSKKLLRSTGKAAWIAGTTFLILAVPLIIEMDREQQLNELELQQASLLGAPPTASVSK >KJB25656 pep chromosome:Graimondii2_0_v6:4:53209451:53210814:-1 gene:B456_004G202700 transcript:KJB25656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHPPSPALLLSRTQRRHSASLPLLEHGLRYLRFQDLSTEWTVIEHMGHYSTQPDKQDSYSPKQFSSL >KJB23988 pep chromosome:Graimondii2_0_v6:4:32179516:32180140:1 gene:B456_004G123800 transcript:KJB23988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGGEKQQHVSFHLHMPHLHLHGFHHHENKDLKDIPKGCLAVLVGQGQEQQRFVIPLIYITHPLFMQLLKEAEEEYGFDHEGPITIPCHVQEFRNVQGMIDKDHHHHHHHHGWRNIKLQAYKRRWEFGTFV >KJB23034 pep chromosome:Graimondii2_0_v6:4:60903142:60903696:1 gene:B456_004G275000 transcript:KJB23034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGISDGLFRSIYEGCLSSYDVAIERRPYHRNCGCALHDKSRRSCLHKFSKSTNVSYPIRRLWKEGCLALDAATMASPSPSPCIKSFHKALKQSLELCKEEEDGYEDNYSMAKLWN >KJB24134 pep chromosome:Graimondii2_0_v6:4:34691393:34691809:-1 gene:B456_004G129300 transcript:KJB24134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKGKESTNQMTPPRVFIKGRYIGGADEVMRIMDECWFDALLKGLSKRRAGEVCSGCGHVRFLPCFRCNRSCKMAVAVKERRTVVVRCTQCNESGLMHCPFVADKSWGLLLAYLIIINVEEFDFMRICFNLICCYLL >KJB23200 pep chromosome:Graimondii2_0_v6:4:11257899:11259940:-1 gene:B456_004G086300 transcript:KJB23200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEELIELKFRTNDGTDVACSTYALSMNVATLKQKIVAEWPQGKTVTPKSINDLKIIHAGKVLENNKTLADSRITFGDLPAGVITMHVIVQPAIAKNKSGKSKEDMQKLNSCGCIIL >KJB24779 pep chromosome:Graimondii2_0_v6:4:44944900:44947336:-1 gene:B456_004G159900 transcript:KJB24779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQQLDPLQISIPKASDPYSIMNNSSVWLEIRLFYVRIAPRVIDSAPDHLTLCYLRREIGFSLEVNGSRVPASDSASFTLRRDRLDRESSEVTYLSTDSVRVTGGFEFEVYENEKMMLCGSLERMEGEWSMDCYMAAAVKEPGNSAFFQRKKGVSAPGIEVYIAGCSAGVPVILTKTITVSPWRKNGSRLSSTLDAIPEDEEIGKGNNKGSNGLVRHRNLQVTESGLEDYEFDGKIGHGYYSEDMYAGEDGQLSWFNAGVRVGVGIGLGMCLGVGIGVGLLMRSYQATTRNFRRRFF >KJB24780 pep chromosome:Graimondii2_0_v6:4:44944900:44947369:-1 gene:B456_004G159900 transcript:KJB24780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQQLDPLQISIPKASDPYSIMNNSSVWLEIRLFYVRIAPRVIDSAPDHLTLCYLRREIGFSLEVNGSRVPASDSASFTLRRDRLDRESSEVTYLSTDSVRVTGGFEFEVYENEKMMLCGSLERMEGEWSMDCYMAAAVKEPGNSAFFQRKKGVSAPGIEVYIAGCSAGVPVILTKTITVSPWRKNGSRLSSTLDAIPEDEEIGKGNNKGSNGLVRHRNLQVTESGLEDYEFDGKIGHGYYSEDMYAGEDGQLSWFNAGVRVGVGIGLGMCLGVGIGVGLLMRSYQATTRNFRRRFF >KJB21915 pep chromosome:Graimondii2_0_v6:4:1573481:1574583:-1 gene:B456_004G020900 transcript:KJB21915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMSLKLACLLVLCMVVGAPLAQGAITRADGLVGLPRCLPFLSGNGDGADATGCCAIVMNVLEPLCGDT >KJB26244 pep chromosome:Graimondii2_0_v6:4:56942488:56946678:1 gene:B456_004G232600 transcript:KJB26244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G30070) UniProtKB/Swiss-Prot;Acc:O22397] MNPSEEFLEQGISQQNLRKASCMTVATLAYQSLGVVYGDLSTSPLYVYKTTFSGKLSLHENDEEIYGVFSFIFWTFTLIALFKYTLIVMSADDNGEGGTFALYSLLCRHARLSILPNQQATDEKLSAYATHGSVETWQSSALKSFFEKHPRFRTGLFILVLLGTCMAIGDGVLTPTISVLSAVSGVRLKITALHENYVVLISCVIIVGLFSLQHHGTNRVAFMFAPIVTAWLLCISSIGIYNIFRWNPHIFHALSPVYMLKFLKRTGIEGWISLGGVVLSITGVETMFADLGHFSSLSIRVAFSFLVYPCLVLAYMGEAAFLSKHHEDIQRSFYKAIPEAVFWPVFIVATFAAVVGSQAVISATFSIISQCCALNCFPFVKIIHTSSKIYGQIYIPEVNWILMCLCLAVTIGLRDTNMMGHAYGLAVTTVMFVTTCLMALVMIIVWKQRIAIAVAFLVIFGSMELLYISASVYKIPEGGWIPLALSFIFMAIMYIWNYGTTKKHEFDVENKVSMNRIVCLGPSLGMVRVPGIGLIYTNLVSGVPAVFGHFVTNLPAFHQVLVFVCVKSIQVPYISEKDRLMISRVGPKEYCMFRCIVRYGYKDPQQENYDFENRLVSGIVQFVEAEEDTTLKTTFISSCGREVGNMDIEKFDAQNQDHSFTNSKSSDILETKIWKGHEGGAPLKDESLHILRAKESGVAFILGHSYAKAKKSSSIVKKFAINVVYSFLSKNCREPDVVLNVPHTSLLEVGMIYHV >KJB25785 pep chromosome:Graimondii2_0_v6:4:54165948:54168261:1 gene:B456_004G209300 transcript:KJB25785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGSLEVFLASAKGLEDTDFLFKMDPYVILTCRTQEQKSSVASGSEPVWNENFIFNVSEGAEELKLKIMDSDIGNDDFVGEAEICLKSVLREGRIPPTAYNIVKNKEFCGEIKVGLTFNPEERSSRDYDDRDEYSRGWKESSHSDRDRTSRRDDSPRGRRHSPERERDYRGRDSDEDRRRGGRRRHSSDED >KJB23153 pep chromosome:Graimondii2_0_v6:4:10458299:10459504:1 gene:B456_004G084000 transcript:KJB23153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKGKDLQRVGICQGIFNFIINSLVGFSLKRVTLGHPMPRGSTNEAQEPLINQAKLDENPMIDDSGSAVRIHFKQTEEELEDWNWTHVDKLGSSVHAADKDDGDDEAMEKRNKKIPRLSGVSLDTDEPQQVHGKTGRRVIIPITDSEGTKSAKALQSDARKPMLTGLGFNINEISETFIQNTKERLSRNISLMEPDES >KJB23285 pep chromosome:Graimondii2_0_v6:4:12151387:12159216:-1 gene:B456_004G090100 transcript:KJB23285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEKKPTTFLNTPIFPFPSPSPSPILNTLIFCLSSANNDFPHQTTAFIFDFPHLRLSLSKSALHHQSAAAFIFLFQRCSGSTNSSDNKDQTKTPFGYTRKDVLLIDLGVTVAGVGLKSGLEFVGVDPLQAGNVVQLVMVLGLTVGWISTYIFRVSNKEMTYAQQLRDYKVKAMQKRLEGLTEAKLEALLEQVEEEKQRQASGEQVN >KJB23657 pep chromosome:Graimondii2_0_v6:4:22456928:22462499:1 gene:B456_004G108700 transcript:KJB23657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSILPDLGTEILIPVCAVIGIAFSLVQWILVSRVKLSPGRDSGAPGNNGAAGKNGYADYLIEEEEGLNDHNVVVKCAEIQNAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSIEGFSMKSQPCTYDLSRMCKPALATAAFSTLSFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINVFKLYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGMNHELTPMLYPLIISSVGIIVCLITTLFATDFFEIKAVDEIEPSLKRQLIISTVLMTIGIGVVSWIALPPSFTIFNFGDQKLVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTSKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASDHARALGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >KJB23660 pep chromosome:Graimondii2_0_v6:4:22458993:22462853:1 gene:B456_004G108700 transcript:KJB23660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFAILIFLFLGSIEGFSMKSQPCTYDLSRMCKPALATAAFSTLSFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINVFKLYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGMNHELTPMLYPLIISSVGIIVCLITTLFATDFFEIKAVDEIEPSLKRQLIISTVLMTIGIGVVSWIALPPSFTIFNFGDQKLVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTSKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASDHARALGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >KJB23659 pep chromosome:Graimondii2_0_v6:4:22457225:22462440:1 gene:B456_004G108700 transcript:KJB23659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSILPDLGTEILIPVCAVIGIAFSLVQWILVSRVKLSPGRDSGAPGNNGAAGKNGYADYLIEEEEGLNDHNVVVKCAEIQNAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSIEGFSMKSQPCTYDLSRMCKPALATAAFSTLSFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINVFKLYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGMNHELTPMLYPLIISSVGIIVCLITTLFATDFFEIKAVDEIEPSLKRQLIISTVLMTIGIGVVSWIALPPSFTIFNFGDQKLVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTSKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQVMLASLDIVYMRPFLQRVHFNVCF >KJB23658 pep chromosome:Graimondii2_0_v6:4:22456928:22462853:1 gene:B456_004G108700 transcript:KJB23658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSILPDLGTEILIPVCAVIGIAFSLVQWILVSRVKLSPGRDSGAPGNNGAAGKNGYADYLIEEEEGLNDHNVVVKCAEIQNAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSIEGFSMKSQPCTYDLSRMCKPALATAAFSTLSFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINVFKLYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGMNHELTPMLYPLIISSVGIIVCLITTLFATDFFEIKAVDEIEPSLKRQLIISTVLMTIGIGVVSWIALPPSFTIFNFGDQKLVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTSKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASDHARALGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >KJB27056 pep chromosome:Graimondii2_0_v6:4:60898390:60899778:-1 gene:B456_004G274900 transcript:KJB27056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTVVNNVLLIIAFFVTFRVIPFTTATSDNRRRVFYYGGEFKSGADFDSVLPSSSLTFDNPRLKTAYIALQAWKQAIISDPLNLTSDWVGSNVCNYTGVFCSPALDDPAIITVAGIDLNHGDISGTLPEELGLLNDIALFHVNSNRFCGEIPWSFKELKRLFELDLSNNRFSGKFPYVVLRIPNLKFLDIRFNEFEGKVPKALFEKKLDAIFMNNNRFAFELPENIGNSPASVIVLANNRFHGCLPRSMGSMSGTLNEVVLSNNGLHSCLPEEIGSLKNVTVFDVKNNELIGELPESIGKLESLEHFDLSHNMLSGTVHERVCSLPNLMNFSFNNNFFTGMPQACLDLEEFDDRKNCFDNRDLQRSRLQCKMFSCVPLNCSAINCSPKLSPSPSLPPPPLLPPPCPLYPISPPPPPPTISPRPPCWTLQPPPPPPSPTGYSPLLPPFAGKNYSSPPPPVFY >KJB23984 pep chromosome:Graimondii2_0_v6:4:32000702:32000882:-1 gene:B456_004G123400 transcript:KJB23984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPGLLTVPFLENVNKFQNPFRRLVTTTIFFFGIAVALWLGIAATVPIDKSLTLGLF >KJB22825 pep chromosome:Graimondii2_0_v6:4:7104859:7107100:1 gene:B456_004G067500 transcript:KJB22825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKKHLADLSSGVGVCATCLRERLLELIAAQVQAQAQAQQAQLARAAVEDGRKHDPPPLIFPRSVSPYVSRRKSDDNSATWIHNQRFYSTPQVGPTYSTTSTTYFEATRSFKKKNRFSLFSNLFRSRSEKFNSDPRVHFHRESCDEPSTSSASPSWFAAIFPVRRKKQQSSKTSRVDQFEQFGPGDRRSCRIIDRGMSPAIEVDSGDECDQPPSGASPEASPRWKMTPTAARRPRGGTRNNISGLAFCLSPLMRPSPNTHWNQKGGLPPDMSFSGESRPSTKKPHLASAAGFHANRSRKLADFGRVNRNR >KJB22970 pep chromosome:Graimondii2_0_v6:4:8734984:8735814:1 gene:B456_004G076200 transcript:KJB22970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMALRGVWQLKKLIVSYCDWGENKNERVVSLKNMTPEDILLYATRLRNALGRNVVKLKTMHVTKHLSVQGTWTTDVKF >KJB24335 pep chromosome:Graimondii2_0_v6:4:39433060:39435276:-1 gene:B456_004G140100 transcript:KJB24335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRRRRSKAVDVSSFLLFEATGDSESGCSSDPAMVDISHDDDGDDDDDGDAESCSCDTAPDVVHGVREIGGSLKNKFANVVEGVDDEDDDDDDDGVVEQKEVQLYKKGCRDDERIEGVGVGKEKKSSSSAENSSETMNEMEKNRLFWETCLAS >KJB22272 pep chromosome:Graimondii2_0_v6:4:3214021:3216025:-1 gene:B456_004G038400 transcript:KJB22272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g52630 [Source:Projected from Arabidopsis thaliana (AT5G52630) UniProtKB/Swiss-Prot;Acc:Q9LTF4] MLLPQSLETPEPPLNPLNQLSFEQNYRNICNLLLSLTHTRSLPKGLQLHAHIIKSGIQTIPLISHHLINFYSKTQLPLFSRQVFFEATHKSPTTWSSVISSFAQNEFPSLAIQFFRTMLVNNIRPDDHIYPSATKSCAILGRSDIGQSIHCLVLKTGYDRDVFVASSLVDMYGKCGKIKDARNLFDEMPQRNVVSWSGMIYGYAQLGEFEEALTLFKQALYERLDVNDFTFSSVVQVCANSTLLQLGKQIHGLCFKTNYDISSFVGSSLISLYSKCGVIGGSYRVFDEACVKNLGMWNAMLIACAQHSQTEKVFDLFKQMEGLGIKPNFITFLCVLYACSHAGLVEKGKHYFELMKEYGIEPGDQHYASLVDLFGRAGKLQEALSIIREMPIQPTESVWGAFLTGCRLHGNTELAAYAADRIFELGPVSSGLHVLLSNAYAAAGRYEDAAKARKMLRDRGIKKETGLSWVEEGNKVHTFAAGDRSNAKTKEIYRKLEELGDEMERAGYIADTSFVLREVNGEEKNQTIRYHSERLAVAFGLITFPSDRPIRVMKNLRICGDCHTAIKFMSKCTGRVIIVRDNNRFHHFEDGKCSCGDYW >KJB21440 pep chromosome:Graimondii2_0_v6:4:39860614:39861125:-1 gene:B456_004G141600 transcript:KJB21440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKIGINNLDSEGLKYNFAAGAASSVISGEDGIIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDVCWALATLGFDNYAEQLKRYLHRYREQEGERVSQNRAIERSDSSLATRPF >KJB25649 pep chromosome:Graimondii2_0_v6:4:53138015:53139316:1 gene:B456_004G202200 transcript:KJB25649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLDNIPSTPGKHKPDKAFRFHSAAASSSLSKLTLYSTLFLSVLLIFLLLLSSPSSPPSPRRHLSTGSHHTPLSLSHKLIRKSARPRYTTGHTVLVTGAAGFVGTHVSLALKRRGDGVLGLDNFNHYYDPTLKRARQKILEKAGVFIVEGDINDKGLLQQLLDAVLFTHVMHLAAQAGVRYAMQNPGSYVHSNIAGFVNLLEVSKLANPQPAIIWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDIMKGKTITVYESPDKGSVARDFTYIDDIVKGCLGALDTAKKSTGSGGKKREPAQLRIFNLGNTSPVPVSRLVSILEKILKVKAKKKVVPLPRNGDVEFTHANITLAMTELGYKPTTDLEAGLKKFVRWYLSFYSGSKKKSSW >KJB22042 pep chromosome:Graimondii2_0_v6:4:51258636:51260345:-1 gene:B456_004G194100 transcript:KJB22042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSSSSSRVSDEFFLNSSPPQSLGSKQPSSETVPLNPQELPMYNPLSAAAKKERSRLRSAENAIHIIPLVLVLCAIILWFFSSPESRV >KJB22041 pep chromosome:Graimondii2_0_v6:4:51258636:51260357:-1 gene:B456_004G194100 transcript:KJB22041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSSSSSRVSDEFFLNSSPPQSLGSKQPSSETVPLNPQELPMYNPLSAAAKKERSRLRSAENAIHIIPLVLVLCAIILWFFSSPESRV >KJB24239 pep chromosome:Graimondii2_0_v6:4:36921679:36923819:1 gene:B456_004G134500 transcript:KJB24239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMFSATWPATVHRLAQEYMDPNLVKVVIGSEDLAANHDVMQIVED >KJB23417 pep chromosome:Graimondii2_0_v6:4:15834943:15845964:1 gene:B456_004G097000 transcript:KJB23417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQHSSVAGASRPVKEAGFFTKIKNVACLKSSSSSDKGKGGKGKSKSSSNKVSHGFHLVEGQSGHKMEDYHVAEYRKRKNHTLGLFAIFDGHLGDRVPTYLKDNLFNNILEEPNFWKDPEAAIRSAYSSTDKFILDNSMQLGPGGSTAVTAIVVDGKDLWVANIGDSRAVVCERGSANQITVDHEPHAERRRIEKQGGFVTTLPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPIDSTIDFVILASDGLWKVSC >KJB23416 pep chromosome:Graimondii2_0_v6:4:15834835:15845988:1 gene:B456_004G097000 transcript:KJB23416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQHSSVAGASRPVKEAGFFTKIKNVACLKSSSSSDKGKGGKGKSKSSSNKVSHGFHLVEGQSGHKMEDYHVAEYRKRKNHTLGLFAIFDGHLGDRVPTYLKDNLFNNILEEPNFWKDPEAAIRSAYSSTDKFILDNSMQLGPGGSTAVTAIVVDGKDLWVANIGDSRAVVCERGSANQITVDHEPHAERRRIEKQGGFVTTLPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPIDSTIDFVILASDGLWKVMKNEEAVNLAKSVKDPQAAAKLLTTEALARKSKDDISCIVIRFG >KJB23418 pep chromosome:Graimondii2_0_v6:4:15835758:15845964:1 gene:B456_004G097000 transcript:KJB23418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQPNFWKDPEAAIRSAYSSTDKFILDNSMQLGPGGSTAVTAIVVDGKDLWVANIGDSRAVVCERGSANQITVDHEPHAERRRIEKQGGFVTTLPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPIDSTIDFVILASDGLWKVMKNEEAVNLAKSVKDPQAAAKLLTTEALARKSKDDISCIVIRFG >KJB21618 pep chromosome:Graimondii2_0_v6:4:215545:217288:-1 gene:B456_004G003400 transcript:KJB21618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TWDWVRIDCGSDTSYKDSNGDTWDSDDDYIKTGDNKQVASTSSSDIEQLNTLRVFSEQNKNCYTIPTPTSTRYIMRAMFWYGNYDGHSKPPTFDLEFDGNKWATVVTNTTSFTYYEMIYATKGDSISICLARTQDQEFPFISRLESLPLPDDMYPQMRRDMAWFISYRYNYGADDRILGYPDDQYNRIWEPQIPPGLDSLTANFTSLDETSVNAPPDSAIIKAVEASAMDTINLSFGFDNVSHLDHVEMYFTEPFLETSETRSFNVTVNRSFVNTTIPEYQICTSVWANLQSVGTLDIQLVPTEDSTLAPIISAIEVYTVSQPLVIATTSQNDLDGLEEFIDTFDQLKGWSGDPCLPNDTIWQWLNCSTNQPPRVTSIYLSGFGLQGYLPKFSQMDALEVM >KJB25942 pep chromosome:Graimondii2_0_v6:4:55075149:55077237:-1 gene:B456_004G217400 transcript:KJB25942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNQAYRKIDDAEAGARPLYPMMLEPPEMRWAFIRKIYSIVAIQLLATIAVAATVVTVHPIALFFVSTGTGFALYIVLIITPFITLCPLYYYHQRHPVNYLLLGVFTVSLAFAVGLTCAFTSGKVILESVVLTAVVVVALTLYTFWAARRGHDFHFLGPFLFGAVIVLMVFSLIQIFFPLGKLSVMIYGGLASIIFCGYIVYDTDNLIKRYSYDEYIWAAVALYLDIINLFLSLLTILRAADS >KJB24273 pep chromosome:Graimondii2_0_v6:4:37850425:37851711:-1 gene:B456_004G136400 transcript:KJB24273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDFAMDQFRFLKRLLLVHGHWNYQRVGYLVLYNFYRNVVFVLTLFCVFYYVIYTSVPTIVIGILDKDLSHKTLLEYPKLHGVGHRREAYNLQLFWITMIDTQWQSLVLFYIPLFTYKESTIDIWSMGSLWTIAVVILVNIHLAMDIRCWVFITHAAVWGSIIITYACIVVLDSIPVFPNY >KJB27409 pep chromosome:Graimondii2_0_v6:4:4730200:4731984:-1 gene:B456_004G051600 transcript:KJB27409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISEFIIRKGGHGVTASDIWPNSPFVKNGFSSDSTQSFHDDSLALCKRHRPQSSDGVQVEKKVKRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDREARKIRGKKAKVNFPNEDDAFSTQYNPQINNSTTAWNFTHPSNPPIYQQPQVNNGFRYGWNDLGGFATDPIVVSEEDSSGSGDQKTNVCYLPVKPEEQQEGEAVNKSAIFEVQEEENQVQKLSEELIAYENYMKFYQIPYLDGQSPMTQNAVAQQESVFGELWNFLDDGVAVPPTSMPM >KJB23407 pep chromosome:Graimondii2_0_v6:4:15544617:15545640:1 gene:B456_004G0967001 transcript:KJB23407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSPTIGCSEIELLEADTFDLYCFQSLTGTKFFVVCEPGTQHMEALLKVVYELYTDYVLKNPFYEMKMPIRCELFDINLAQAVQKDRVALLGQ >KJB25357 pep chromosome:Graimondii2_0_v6:4:52031625:52033807:1 gene:B456_004G199000 transcript:KJB25357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAISPCIFCQIARSSNSTPLLHSDDKVVAFKDINPSAFRHYLIIPVEHIPTVNDLQRRNEDYTLVSHMINVGETLLRRDAPQSNQYRFGFHQPPFNSVDHLHLHCFALPFIPRWKQLKYMSLGPLGGFIEAEKLLEKIKPLSPIPP >KJB25356 pep chromosome:Graimondii2_0_v6:4:52031625:52035283:1 gene:B456_004G199000 transcript:KJB25356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAISPCIFCQIARSSNSTPLLHSDDKVVAFKDINPSAFRHYLIIPVEHIPTVNDLQRRNEDYTLVSHMINVGETLLRRDAPQSNQYRFGFHQPPFNSVDHLHLHCFALPFIPRWKQLKYMSLGPLGGFIEAEKLLEKIKPLSPIPP >KJB25358 pep chromosome:Graimondii2_0_v6:4:52031625:52035283:1 gene:B456_004G199000 transcript:KJB25358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAISPCIFCQIARSSNSTPLLHSDDKVVAFKDINPSAFRHYLIIPVEHIPTVNDLQRRNEDYTLVSHMINVGETLLRRDAPQSNQYRFGFHQPPFNSVDHLHLHCFALPFIPRHLEQQD >KJB22093 pep chromosome:Graimondii2_0_v6:4:2278700:2281117:-1 gene:B456_004G029000 transcript:KJB22093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQPACSSDKKGEVKRGPWTAEEDKKLIDYIQKHGHGKWRTLPKNAGLKRCGKSCRLRWANYLRPDIKRGKFSDEEEQTIIQLHSVLGNKWSAIAARLPGRTDNEIKNYWNTRIKKKLLKMGIDPILHNPTLHLLHLSSLLTSSLSNSYNLNNHPNKFLLGTESMSDPNFLIPVLSSSQNKNQLQNIEKNQTENTFFQCPHNNQYEQGNQFQEYDSKGFFPMQSYGYYDCNLSMDDQNQTCFSGNISSLSSFGSG >KJB25327 pep chromosome:Graimondii2_0_v6:4:50031065:50032024:1 gene:B456_004G185900 transcript:KJB25327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLDLGTASRYFHQLHRPDLHLQHQPEPEEQEASNNRVGGHYGSADHHRDDGSRQALDLVNAGNSGAGDLVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGNGCDVFDCVANYARRRQRGICILSGSGTVTNVSIRQPAAAGAVVTLHGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGSVVGELMTAGPVIVIAASFTNVAYERLPLEEDDQLQMQNGSGGGGSGGGNNMFGDGGSGAGGLPFLNLPLNMPPNVQLPVEGWPGNSGGRPPF >KJB21797 pep chromosome:Graimondii2_0_v6:4:1245465:1246439:-1 gene:B456_004G017600 transcript:KJB21797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLVGHVAPGFAFFALGFWHLFNHIKLHALNPNSYTSFPWFPTLKFRYLELVLIMVGSSISISMELFIGPEKHQPFDTDGTIPSNHLHNFEHSAISMTFFIYAAFAIVLDKTSINIDLMFKHSLTQFLGAIAFAQQLFLFHLHSADHMGVEGQYHLLLQSAIVVSLVTTLMGIGYPKSFMVSFIRSLSILYQGLWLMAMGFMLWTPNLIPKGCFIHLEVGHQVVKCSSDEALHRAKSLVNIEFSWALIGVTIFAMSFYLVLVKLYGQKVEYSTLKSHENDHFELGEEEEEEDVESQKGSNKQGEPTKGFIHMGKGYAIMDIER >KJB23765 pep chromosome:Graimondii2_0_v6:4:26416978:26417574:-1 gene:B456_004G113900 transcript:KJB23765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLSFPFRLFREIYRSILILSFSIDSFLIEMYGSMSLCVYIDPVHGLTKMCKSSICLCHSMSGVFNSQKFNFEIYNFF >KJB26656 pep chromosome:Graimondii2_0_v6:4:59067519:59069924:1 gene:B456_004G253300 transcript:KJB26656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALETLCGQAFGAKRYHMLGIYLQRSWLLLFLTTSCLLPLFIFTTKILIALGQDEKIAIVAGYIGHWFIFIMFSMIISLTCQMFLQSQSKNMVIAYLAAFSIGTHICLSWLLTMKLKYGLIGALLSTILAYWIPNIGQLIFVTCGGCKDTWKGFSMLAFKDLWPVVKLSWSSGAMLCLELWYNTILVLLTGNLKNAEIAIDAFAICLNINAWQMMISLGFLAAARVSNELGRGSSKSVKFSIMTITLTSLSIGCVTFVLFLCLRGRLAYVFTESEEVGNAVVDLSPLLACSILLDSVQPVLSVKPA >KJB21650 pep chromosome:Graimondii2_0_v6:4:384401:385127:-1 gene:B456_004G006500 transcript:KJB21650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPSFFGNRRSNNVFDPFSLDLWDPLKDFPVSTRSPETSAFVDARIDWRETPEAHVFKADVPGLKKEEVKVEVEDDRVLQISGERNVEKEDKNDTWHRLERSSGKFMRRFRLPENVKMDQVKASMENGVLTVTVPKMEVKKPDVKAIDISG >KJB22133 pep chromosome:Graimondii2_0_v6:4:2519657:2520478:-1 gene:B456_004G031200 transcript:KJB22133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALVTRIHEIYDSIVKLESLKPSEHVNTLLTHLVKLCIPPSNIIIEDLSQEIQDMRMNLIVLSGHAEGLLELEFATFLSKTPHPLKNLDLFPYYGNYVKLAGLEYKILSEHGMVQPKKVAFVGSGPLPLTSIVMATHHMKSTHFDNFDIDEAANYVARQIIASDDEFEKRMKFVTRDIMDVTEELGEYDCIFLAALVGICKEEKIKIVGHIRKYMKGGGLLLVRSATGARAFLYPVVKELDLPGFELLSIFHPTDEVINSVVLVRKPIFHD >KJB23128 pep chromosome:Graimondii2_0_v6:4:10204469:10209578:1 gene:B456_004G082800 transcript:KJB23128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGNAIAGARIITASSSNHIVFEPDDIPFGSFEWFVFAGVSCLLVLFAGIMSGLTLGLMSLGLVDLEILQRSGTITEKKQAAVILPVLKKQHQLLVTLLLCNACAMEALPITLDKIFHPFVAVLLSVTFVLAFGEIIPQAICSRYGLSVGANFVWLVRILMIICYPIAYPIGKVLDAVLGHSDALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWESIGKILARGHSRIPVYAGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRMPRVPAHMPLYDILNEFQKGSSHMAAVVKVKGKTEDPQFFVDGQKFDKHKVTNGISQLTTPLLTKYETKPDSVVVDVEKPSRPTIFKATPVANGVTANSLQQFSEDTEDGEVIGIITLEDVFEELLQEEIVDETDVYIDVHKRIRVVAAAAASSVARAPSNRRLIGQKPSGVQSKQGKMTRKSMDDDSQTGRSLANPGECLPSSIERS >KJB26436 pep chromosome:Graimondii2_0_v6:4:61210404:61211590:-1 gene:B456_004G279900 transcript:KJB26436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIRLSLSPPSKTLAPPSTSTSRGCRCCSVVSVSKCHKPTRRKRQQVICMAPEEEKLIRRNPLDFPIILTLIYNFCGKITYNPCTWQLFHLGPLILLSTRVSELDRFFNLVLGYLWRRPDIFPQFSPMKTPLPAPMPYSPPQEDEEDEEDPEKEQEPENPEKQS >KJB23072 pep chromosome:Graimondii2_0_v6:4:9516520:9517958:1 gene:B456_004G079600 transcript:KJB23072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKSAVIFVFTMALWGVSMAAIHWVGGFAGWTTVAAGSPLDYRIWASTRNFHVGDVIVFRYNNKFNNVVRVTHQNFKSCNATSPIAVYSSGADTINLTRPGHLYFICSIPGHCLAGQKVNIEVTLAMEHLPSSASAVYQLPQSASVSDEALAPSPESLEPIPGPTQGSAPALRSLNFWLSLVVLAFGFGVTGIGTYSLVEFHV >KJB26972 pep chromosome:Graimondii2_0_v6:4:60491136:60493641:-1 gene:B456_004G269900 transcript:KJB26972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCSLLEESPCRKLFETGLELSLARLKALTKFAIGMGLTQVILVTPIGESSLGEGREHTCQTFIGPRDVVWFEYEIEH >KJB23729 pep chromosome:Graimondii2_0_v6:4:25584480:25585145:1 gene:B456_004G112000 transcript:KJB23729 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein 20 [Source:Projected from Arabidopsis thaliana (AT3G18360) UniProtKB/Swiss-Prot;Acc:Q9LS54] MNPTQFHDQHRHAKKESTSNIGNGGICPPPLKVSRDSHLIKKSSSSSSSSSAASSLGVSGPVKPQQQRHPVIIYTHSPKVIHTHPKDFMALVQKLTGLSRNEDDHQNHNNHGPHQPKAETGAASVEEDSKRINNDDNESSSVITDENCEGQVNSCFVPPLFDPPAAPFLNIPVFTPNSTDFLCVNHQPFYNYTDSLFFTPNMRSSISSSSGLEGMNEFRDY >KJB22686 pep chromosome:Graimondii2_0_v6:4:5986345:5988393:1 gene:B456_004G060800 transcript:KJB22686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSDIASQWRVLSGENGWDGLLQPLNLDLRRYIIHYGQRAGSVGDLYNQNKHGEFPKEKFFAEAGLEKGNDFKYSVTHYIHAGSDIVEPAWFGFVAVTTDEGKAVLGRRDILIAWRGTIHYTEWINDLIADPKSASELFGIGTEAKVHSGFLSLYTGTRSNSAVDNLSARDQVRDALRELMDKYKDEEISITVTGFSLGGALATLNAMDIVANGYNKPSSTLLGANNPCMVTTFTFGSPQVGDSGFKQLFKKLVDHHHLRLLRIRNANDPVHKWPFCTLTHVGKKLTVYSNESPYLKYKWFFGRTLECTDNPPPNDYHPNLVTEEEIIANRGLLINWVADSVSAHNMDVYLHGIAGVQEGASGFHLEVDLDIALVNKYLDRLKDEYEIPDHWWEGENRKRMVQEDNGHWKVRG >KJB21300 pep chromosome:Graimondii2_0_v6:4:58474803:58475750:1 gene:B456_004G2480002 transcript:KJB21300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPQSKPSKPRFPQPGDHIYCERKGGLYDHHGIYVGDDMVIHLRGAAKKLGELPACHKCGDKRVENGEIAKVCIDCFLDGATLQIFDYGVPLLEFIARKRGTCCQRDSKPPHEVISTATDLLERNGFGPYDMLTNNCEHFAVYCKTGSAASYQIAHHIEGVIATGPAGMLAGATVAVACGVSKGVRKTSSSW >KJB21301 pep chromosome:Graimondii2_0_v6:4:58474854:58474987:1 gene:B456_004G2480002 transcript:KJB21301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPQSKPSKPRFPQPGDHIYCERKGGLYDHH >KJB22388 pep chromosome:Graimondii2_0_v6:4:3973077:3974492:1 gene:B456_004G045200 transcript:KJB22388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAALVHHGYLLTGDLLALALSFQFHIELFEHFSYFFLMWDRFHFLKKKKLYCFHIRVDDYDLTSSTIFQSRESNESL >KJB25034 pep chromosome:Graimondii2_0_v6:4:47516046:47516400:-1 gene:B456_004G173700 transcript:KJB25034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCPSFFTYLFGDGMSISNYHACCWAFNFMRQILGERGLPRRSSLWNEKGGSGIRSTASSYFQTLKHMDPWMDVLAPVIL >KJB22422 pep chromosome:Graimondii2_0_v6:4:4177392:4178090:-1 gene:B456_004G047000 transcript:KJB22422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPYEYDIIHQQTFEDNIISQSHDVAFINIELTVDFVLLSVHHDCLADISTITNRASLRETFRFELNIMENQCLFHQVLFPTFRRLRINTASLAYHNFVHEIFVRGMRSIGTIPEVLPLRSLIHASIVEHDSVHSDGVLMGRALAESALEFESSNYGMVPAKESLVKEMVKMVKVEAGDEEDCIICLEELEVGFYASQMPCSHTFHVDCIEKWLKQSHYCPICRFEMPTN >KJB21973 pep chromosome:Graimondii2_0_v6:4:1847045:1850725:-1 gene:B456_004G024000 transcript:KJB21973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGVENAHAHGDGNGNGQAASYTYWVRETTADAAPLPVPKKLTAQDVLSTQSQSTSLGSVWNKAGTWEEKNLNNWATQRLKELLKSVGSLDLSCGKAEISDVTKCVGDAFLVTVRNKKRVGYTYELTLKINGEWHLLEEKKTVKGHIDIPEFSFGELDDLQMAVQLSEEKDFVQQDKQQIIQDLKKRFLQPVREKLLQFEQELKDR >KJB21974 pep chromosome:Graimondii2_0_v6:4:1847527:1850663:-1 gene:B456_004G024000 transcript:KJB21974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGVENAHAHGDGNGNGQAASYTYWVRETTADAAPLPVPKKLTAQDVLSTQSQSTSLGSVWNKAGTWEEKNLNNWATQRLKELLKSVGSLDLSCGKAEISDVTKCVGDAFLVTVRNKKRVGYTYELTLKINGKGVASTGGEEDG >KJB27068 pep chromosome:Graimondii2_0_v6:4:60937254:60937731:1 gene:B456_004G2756002 transcript:KJB27068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTKISALLFICMLFISSATPALGCRSCRKAHPKAKPIKKPHPVKPPIHVKPPVTVPPVTVPPVTVPPVTVPPIVKPPVTVPPVTVPPVKPPVELPPIAKPPITVPPVTVPPVKPPVDLPPIAKPPITVPPVTVPPVTPVKPPVDLPPVNLVPPVNAT >KJB21525 pep chromosome:Graimondii2_0_v6:4:240662:242769:1 gene:B456_004G003800 transcript:KJB21525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKVEKKGDPKAQALKAAKAVKSGVTFKKKAKKIRTKVTFHRPKTLKKDRNPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >KJB21526 pep chromosome:Graimondii2_0_v6:4:240906:242769:1 gene:B456_004G003800 transcript:KJB21526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKVEKKGDPKAQALKAAKAVKSGVTFKKKAKKIRTKVTFHRPKTLKKDRNPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >KJB21681 pep chromosome:Graimondii2_0_v6:4:591369:592067:-1 gene:B456_004G008400 transcript:KJB21681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFKHLVIVKFKEDVVVEDILKGMQKLVSEIDAVKSFEWGQDIEGEEMLGQGFTHAFLMTFEDKQGYTAFVGHPCHVEFSATFSTAIDKIVLLDFPSVVVKAAT >KJB27165 pep chromosome:Graimondii2_0_v6:4:61363979:61365926:1 gene:B456_004G281900 transcript:KJB27165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNQIHLYFDLISLPNFIKHFQNHHKLYPPSISDTITEAKALFNLAFPIALTSLILYSRSIISMLFLGHLGDTQLAAGSLAMAFANITGYSVLSGLALGMEPLCSQAFGAQRPKVLSLTLHRYVVFLLFASLLISFVWLNMFNVMVFLHQDPYITRIGYKYLLFSLPDLFTNAFVLPIRIYLRAQGITHPVTLSTLVATVLHLPLNFLLVSHFNYGVAAVAAAGSISNLFVLVSLVTYVWASGLHEPTWENPSSECLTDWKPLLKLAAPSCVSVCLEWWWYEIMIVLCGLMVNPKPPVASMGILIQTTSFIYVFPSSLSFAVSTRVGNELGANRPYKARLSAVVAVFVSATMGLSASTFASTTKDKWARMFTSDPEILRLTSIALPILGLCELGNCPQTVSCGVLRGSARPTTAANVNLGAFYLVGMPMAVGLGFYVGVGFSGLWLGLLSAQICCAGLMLYVVGSTDWDLQANRAQVLTCTDSRLSNDDCDNKGEEEQPLICIMVTLAP >KJB25355 pep chromosome:Graimondii2_0_v6:4:50272541:50272783:-1 gene:B456_004G187600 transcript:KJB25355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTPTGKELARELLIAISSSVPDTDLNAEHASKNIDATNGAAVTKTDGAEKYRSELISISYAQSPDDQVPSVVLRNHVG >KJB26956 pep chromosome:Graimondii2_0_v6:4:60294752:60299101:-1 gene:B456_004G267800 transcript:KJB26956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEMSDRKKIGLGLTGFGVFFSFMGIVFFFDKGLLAMGNILFISGVTLTIGLKSTMQFFLKRQNFKGTVSFFVGFFFVVIGWPILGMILEAYGFIVLFSGFWPTLAVFIQRIPILGWLFQQPFIRTWLDRNQGKRVPV >KJB24404 pep chromosome:Graimondii2_0_v6:4:40607471:40610218:-1 gene:B456_004G143900 transcript:KJB24404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPSSIATDHKKHWWVTNRKLVDKYVKDARFLIATRERNDVTSALVLLDAALALSPRSELALELKARSLLYLRRFRDVADMLQDYIPSLKMSSDDSSGSVSSDISSQQLSREQVKLLHSDNSSSGSPVHDPSFKCFSISDLKKKVMAGLCKNYDKEGQWRYLVLGQACCHLGLMEDAMVLLQTGKRLASAAFRRESICWSDDNFTLPVIITTPDISSAATPPRNVSSLSQSENISHLLSHIKLLIRRKSAAIAASDAGLYSESIRHFSKIVDGRRPASQGFLAECYMHRASAYKASGRIAESISDCNKTLAFDPTSIQALETRAELLETIRCLPDCLHDLEHLKLLYNSIMRDRKLPGPPWKRHNVRYREIPGKLCALTAKIQRLKQRVASGETGNVDYYALIGLKRGCSRSELERAHLLLCLRHKPDKATGFIDRCELADERDLDSVKDRAKMSALLLYRLLQKGYSSVMSAIMDEEAAEKQRKKAAAATAAALQAAIQVQQTQCCNSKLEPETGFNDRVNSGENKAATNAFQGVFCRDLAAVGSLLSQVGFNRPIPVKYEALSC >KJB23314 pep chromosome:Graimondii2_0_v6:4:12840277:12843742:-1 gene:B456_004G092100 transcript:KJB23314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYLPSETPNGLKKLREKELMYLRGNGTGERKKFERIYDYDVYNDLGDPDHNLKHKRSVLGGKHFPYPRRCRTGRWRCETDPFSEKRNGHFYVPRAECFSEIKQLTFSAKTVYSLMHCLIPTVQSTIVDPNKPFPHIGAVDSLFSDGINLPPLKEQGFWNTVLPRLFTAITEGSEEVLRFKAPDTMARDKFFWFRDEEFARQTLAGLNPHSIRLVTEWPLKSKLDPSIYGPAESAISDEMINQEIGGIMTVEQALRQKRLFTLDYHDLYLPYVKKVQELNGTTLYGSRTLFFLNSNDTLRPLAIELTRPPMDGKPQWKQVYVRSWDSTACWLWRFAKTHVLAHDAGYHQIVSHCLRTHCVTEPYIIATNRQLSVMHPIYRLLHPHFRYTMEINALARESLINADGIIENSFSPGKYSLELCAVAYDLEWRFDHQALPADLISRGMAEEDPNAPHGLRLTINDYPFANDGLLIWDALKQWVSAYVTHYYPNSSVVESDKELQEWWEEIRTVGHGDKKDEPWWPTLRTQQDLIDIITTIIWVASGHHAAVNFGQYAYAGYFPNKPTIARTKMPTTKVMAVLDVLSHHSLDEEYIGEEMEPSWGEDPVIKAAFEKLNGRLKEIEGIIDERNTNSNLKNRNGAGIMPYELLKPYSGPGVTGKAVP >KJB23560 pep chromosome:Graimondii2_0_v6:4:21339201:21340504:1 gene:B456_004G105200 transcript:KJB23560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPSFDEDGEFPSTPAKFKIDRSHNMNRHFHRCFASTSTMFFWALLLIAFTASYLSFQSFVESRSRYFTSSCGAIQWENQVRNPGHIHRSGGMSVLVTGAAVRYAMENPNSYAQSNIAGLVTLLEACKSANPQPAVVWASSSSVYGLNEKIPFSEADRTDQPASLYAATKKAGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITIFRGKNRGELARDFTYIDDIVKGCLGSLDTSGTSTGSGGKKRGPAPYRIFNLGNTSPVRVPELENILERHLKLKAKRNIVDMPGNGDVPFTHANISLAGKELGFEPITDLQTGLNKFVRWYLSYYGYNNRNAVE >KJB21346 pep chromosome:Graimondii2_0_v6:4:37374277:37374896:1 gene:B456_004G135600 transcript:KJB21346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLRFCFVPVLAALAIGMIASSVTVQAQSPALAPTLAPTTNDGTSIDQGVACVLMLVALLLTYMIHVADLCFNF >KJB24893 pep chromosome:Graimondii2_0_v6:4:46306484:46309015:1 gene:B456_004G166400 transcript:KJB24893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKYDYLFKLLLIGDSGVGKSCLLLRFADDSYIDSYVSTIGVDFKIRTVEQDGKTIKLQIVVYDVTDQESFNNVKQWLNEIDRYASDTVNKLLVGNKCDLIENKVLSYKAAKAFADEVGIPFMETSAKDSMNVEQAFMAMAASIKDRMASQPAMNNARPPTVQIQGQPVAQKSGCCSS >KJB25200 pep chromosome:Graimondii2_0_v6:4:49187607:49190369:1 gene:B456_004G180900 transcript:KJB25200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLNFFPQTSEPKTTHLPDWYSPETRIYSSTYSSISLPTDPFLDIVSFIFSHQHDGVTALIDSSSGYSISYSKLLPLVQSMASGLHHLGVSQGDVVLLLLPNSVNFPIIFFSVLYLGAVVTTMNPLSNMMEVKKQIVDCGVRFAFTLLENVDKLQKLGVHAIGVPENMDLDSEKTGFLSFRKLIGGQFGKAPRPVIRQQDTAAIMYSSGTTGVSKGVVLTHGNFIATTELFVRFEASQYEYSSSKNVYLAVLPMFHIYGLSLFVVGLLSLGSSIVIMRRFDASELVKVIDDYGVTHFPVVPPILTTLTMRAKHVCENSLQSLKQVSCGAAPLSGKTIEDFVQALPHVDFIQGYGMTESTAVGTRGFNNEKHHNYSSIGLLAPNMQAKVVDWNSGSSLPPGFHGELWLRGPAIMQRYINNVEATNMTIDKDGWLRTGDIVCFDGEGYLYLSDRLKEIIKYKGYQIAPADLEAVLISHPEILDAAVTSAADEVCGEIPVAFVVRRHGSTLTKGAVIDFVANQVAPYKKVRRVVFTESIPKSAAGKNLRKELKSFISSRL >KJB25872 pep chromosome:Graimondii2_0_v6:4:54536483:54539169:-1 gene:B456_004G212500 transcript:KJB25872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTLPRSPMLSSKTPVIYEKYKSGCAWGLIHFLDFRRRKLANRPSKGGNGYTRNKPNFPSSEDLRKVTKSSYPARASNAATEGYRRFSDRNMVSNRGRKDDHHTKINLRVRMNEAVEAFINQRLISNQSTDFIDALEISNSNKELLMKLLQDPNSLLVKHVHELCDSQAKKQKTKTSSLSQPLNTIVVLKAVKQNCPNRISNWPSPKSHYNKKDGGVRPTLLSFQHIKRKLTHGLRAKRKEQWQMSHDRKKPESSRDVNITQDFGSCIGNKAASSTEICYTTSDLLKSLPEHDVLPMVTPGRDREHGFDSPQMRFSPFSTVNGYKRRVQIENMSGCSSSVTIEAIEAQPLYDGLHGGAKKSTIGHISPSTVSPAAEPPVESFCINIEELYESSHVESHLDMKNNAGISIDKQESLSKYIRSVLQISGLNWDELFRKWNLADQMLDSSMFDNVKLWHHKSCSTDHHRLIFSYINEVLSEMYRCYFRCSPWISLLDPRPRPARFSKDMVHEVLRHVDWVLLTELPQQTLQQLVENDLAKSGTWFNLRFVTEEVIIELADSILQDLVIDAAIQLQI >KJB23921 pep chromosome:Graimondii2_0_v6:4:30052861:30053372:-1 gene:B456_004G1211002 transcript:KJB23921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WLHEKENPLLDGENVGVLPVDTSDDEMNVDQSQQQILSHSSSSSTPSQSGDGPDGGSLSPIDEDDGYSGDRGEIRSSSQYGGEYGVGTTGGHFRDRSEFDGNMFPKPRRDRSEPRAPSKGKGKKHTSIGSSSGRRSSSSNLGYSDSSTSTQGFYPPEQPSYFQPSHGYP >KJB22951 pep chromosome:Graimondii2_0_v6:4:8510425:8511903:-1 gene:B456_004G075300 transcript:KJB22951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDAQGQPIDPRKIQEHFEDFYEDIFEELSKFGEIESLNVCDNLADHMIGNVYVQFREEDQAAAALQALQGRFYSGRPIIADFSPVTDFREATCRQYEENSCNRGGYCNFMHVKVIGRELRRKLFGRHRRYRGSRSRSRSASPRHRRERDYREKSRDRDRDDHRDRNGRRPDRDRYDRESGSRRKHGSPRRSRSPPPAREGSEERRARIEQWNREREEKV >KJB26429 pep chromosome:Graimondii2_0_v6:4:57872724:57873608:-1 gene:B456_004G240900 transcript:KJB26429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIGSKILKIDANTIPRMILEGFLYIHRKGFIHYDLKPVKQYRTKDTKFGCRGIRYYISPESIVGEVTSAWMYGLLSCILVQMITEDCHEPFMIERV >KJB24561 pep chromosome:Graimondii2_0_v6:4:42921170:42925231:-1 gene:B456_004G151200 transcript:KJB24561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLRAPCDLLEDVKEAEAVPALYFTLSVGDSEKELGDSSEKEVKSADFFIVLKHEGECTVTPIEEQPSETTTEKGADDASEKSFDFIMFEKSKITEKSLCSQNEEEEALESPEEASQTENVKWRDNGKTSLESNQRESPKISTEIFQDTVGKEESSKLEEENESTDKLDKPMKTLRVQSNENISKSNNANVAQMSLKPSPSVGSSLENLELDSLLPAVRSVDSPLGSTENGAMLEEAWEGLQKSYVYYKGKAVGTLAAMDPIAEALNYNQVFIRDFVPSGLACLMRPANAGGDPEIVKNFLLKTLHLQGWEKRIDNFTLGEGVMPASFKVLYDSHRQKETLVADFGGSAIGRVAPVDSGFWWIILLRSYTKCTHDYTLSELPEVQRGMKLILNLCLSDGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFYFALRSSRQMLKPERDGKELIERIDKRIRALSFHIQKYYWLDFTQLNNIYRYKTEEYSHTAVNKFNVIPESIPDWVFDFMPLRGGYLIGNVSPARMDFRWFLVGNCIAILSSLATPAQATAIMDLIEERWEDLIGEMPLKIVYPALEGHEWRTVTGFDPKNTRWSYHNGGSWPVLIWLLTAACIKTGRPQIAKRAIELIEQRLSKDGWPEYYDGKTGRYVGKQARKYQTWSISGYLVAKMLIENPANLPIISLEEDKKIAKPKLTRSISF >KJB24161 pep chromosome:Graimondii2_0_v6:4:35349861:35350442:-1 gene:B456_004G130700 transcript:KJB24161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIVDGFNYYFCDLLQTIEQTKENVVKKLALTYEEMEQECKETMDGFAEGKDLVVSVMSAMGEGQICALKDIGPKYFQIHLLQILDGTIKDFGSTKCGFDVLFWVWIEKREQQYN >KJB26273 pep chromosome:Graimondii2_0_v6:4:57239002:57239993:1 gene:B456_004G234400 transcript:KJB26273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACVLPCGALDLIRIVHLNGYVEELTGPITAAEILKANPNHVLSKPTSQGVLRKILILSPDSELKRGNIYFLIPSPPSLPQKKKTGVHHKKSSTKKQSNSSDVASDCDRPEKKHCSRKDRRRGHSGVWQPHLETISED >KJB26926 pep chromosome:Graimondii2_0_v6:4:60196018:60196710:-1 gene:B456_004G266700 transcript:KJB26926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEWSPQDAMKAYLDTLHLVSSSFPTAWNPTAKSPVEEDDKQGLIEPNCMELISAMAAGKGAKHIVEITTQGITPLTIALAVAAKHTGGQLTCILPSHHHHHHHTADHLQQYINLVHAEPTNTSPRETIIKQIKMRLKNVDFAVIDCKFDDEYLKLMFKTMVEEEDGIKTGSTVIVHNVHHKKDGSIFGQLLRKKRVEAVTLPIGEGTEMTRILGCRFKRRNRFLVTFHN >KJB22917 pep chromosome:Graimondii2_0_v6:4:8083840:8087078:1 gene:B456_004G073600 transcript:KJB22917 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein OR23 [Source:Projected from Arabidopsis thaliana (AT4G03030) UniProtKB/Swiss-Prot;Acc:Q0V7S6] MPIPPSLASTLLHQIDQDQALIPGLPNDVAALILSFLPYSHHCRLKPTCKPWYIFLSSKTLHSLRRHHRRRSLSHLLCVFPEDPHISSPFLFDPQHLAWRPLPPLPCNPHEYGLCNFTSVSLGPHIYVLGGSLFDTRSFPLDRPSPSSSAFRYNFLTSSWDRLAPMLSPRGSFACAAIPSADQIIVAGGGSRHTLFRAAGSRICSVERYDVERDEWEALDGLPRFRAGCVGFAVREGGEEGEFWVMGGYGDSRTVSGVFPVDEYYKDALVMELKGNGGGKWRELGDMWGAGETPRFGKIVMVEDEDGGSPPAIFMLDDNDILRYDMASNRWQKECSVPRRAPCKSSYGLVVLNEELHVMTIVNGIDSTETRRSRQQKRAGTLFMQIYHPRKKTWRCLVTKPPFRQPLDFSTTVMCPIQL >KJB22571 pep chromosome:Graimondii2_0_v6:4:5226317:5231903:-1 gene:B456_004G054800 transcript:KJB22571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPWGGLTCCLSAAALYLLGISSGRDAQILKTVTRVNQLKELAQLLDIESKVIPLIVTISGRVGSETPINCEHSGLRGVIVEETAEQHFLKHNDAGSWIQDSALMLSMSKEVPWYLDDGTGRAYVVGARSAAGFALTVGSEVFEDSGRSIVRGTLDYLQGLKMLGVKRIERVLPIGSSLTVVGEAVKDDVGTIRIQKPHKGPFYVSPKSIDQLISNLGKWARLYKYASFGLTIFGAFLITKHAILYFLERRRRRELQRRVLAAAAKRSGPDNEDPTLKVENGAEPKRDRMMPNICVICLEQEYNAVFIQCGHMCCCTTCASHLTSCPLCRRRIEQVVKVFCH >KJB22203 pep chromosome:Graimondii2_0_v6:4:2854857:2856170:-1 gene:B456_004G034900 transcript:KJB22203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLISPFIDQEEEEGLFLFVASDLHHQHRQQRRQPPLKMRQLLISCAELVSQSDFPAAIRLLSILSSSSSPSGDSIERIVYQFVRALSLRINRLHHHHHHHGLAGSSLMRMMNDISFPITSTAGPVNYDIDPSLQSCYLSLNQITPFIRFAHLTANQAILEAIQVGQQCIHIIDFDIMQGVQWPPLMQALAERSANTHHPPPMLRITGTGHDLNILHRTGDRLFKFAQSLGLRFEFHPLLVLNEDPTWIATNFTSMVTILPDEALAVNCMLYLQRLLKDDSRDIRLFLHHMKALNPTVVTVAEREANHNHPLFLQRFVEALDYYTAIFDSLEATLPPNSRERLAVEQIWFGREIVDIVAAEGENRRERHERLETWEVILRSSGFINVPLSPFAHSQAKLLLRLHYPSEGYQLQIVNNCFFLGWQNRPLFSVSSWR >KJB22277 pep chromosome:Graimondii2_0_v6:4:3238810:3242074:-1 gene:B456_004G038700 transcript:KJB22277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNPFDLLGDDDTGELSLLIAAQKKAAATAPSSVPKKGQAQSQTKPQAKLPSKPLPPAQAVREAKSEGIRGGARGGRGGSGGYRRDFANDENSFSNSAELGQGAPEDGESRRPSERRGGYGGPRPYRGGGRRGGFSNGEIGDGERPRRVYERSSGTGRGNKLEREGFGRGNWGTQTNELARVTEEVANEGNQNLGDEKLARDGDGGDADKESLTNELEEKEPEEKVMTLEEYEKLMEEKRKALQALKTEGRKVDAKEFESMQQLSNKKSNDEIFIKLGSDKDKRKEAYEKEERAKKSLSINEFLKPAERKRYYNPSGRGRGRVQGQDQGRGHSSRGFGGGNARSSMAAAPSIEDRSHFPTLGGK >KJB26919 pep chromosome:Graimondii2_0_v6:4:60147804:60150329:-1 gene:B456_004G266100 transcript:KJB26919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKGWLNEKIVDPMIQILRKGAQPKQLAFSAALGLTIGVFPICGVTVVMCGMAIAVLGSLAHSPTVMLANFIATPIELSLMVPFLRFGELLTGGEPFELTSDALNKVLTGQASTELLFSIARALLGWLVAAPIILGTLYFIFLPMFKFLVPKFSGAPEKKEHDS >KJB21558 pep chromosome:Graimondii2_0_v6:4:93623:96365:-1 gene:B456_004G001100 transcript:KJB21558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVFPAGQPLKSNAWTWLAHSQAYHTRMDMTGAKFHTSNRGTAAVPLVCVRAQGSHAFGIFYGQNPIFVSYDMVLADLILMIFLIQTVRFLLKPFRQPRLVAELIAGIIIGPCLLGKSKRFNEIMFPLYSRFVLRTLGIFALMLWVFVSGVKMDLTLIKRSGKKHLYIAMVSVMLPFLTVMAIGLIIRKLMDNEMAKISSIGGIASGLSVTTFPIHYTVLEELNLLSSEVGNMALSVALISDSIGMNFITVFEALKQVDISAGTLVWYLISMVVLVAFLLSAIRPALLWIIDHTPEGQAVHESYVVAILLGVFVVGFLTDMFGLAVAFGPFCLGLLIPNGPPLGATLVEKSETILKEIMMPFAFAFIGLHTDFSAMTEAGWTTLGPLFTMVISGYVSKFLATMIGAFMVAVPSRDSLTLSLVLSLRGQVELALYIHWVDKNMIRLPGFSMMIFLTTILLATLTPLISVIYDPSKPYKVLKRRTIQHTPPGEEVRILVCIRDKKSVPSLVNLLEVTYPTLQNPLSVYAFHLVELIGRANPVFIDHDNDPDPDDLSIRFPDSEAIRHALILYQENRDESVKLHFFTALAAKRTMFQDVCKLALNSKATIIILPLERQYDGEMGTAEQWGGGQTLNTEVLSHAPCSVGLLIDKAHRWHLPLTRCSGGTTSHDFIVLFLGGPDSRECLAYADHMVANPNVSLTLVRFLSSNPEGDDERQKKLDDGLVTWFWVKNEANERVIYREVVVKDGADTAAAIKAMAEEKFYHLWIVGRKQGINESLLEGLSTWTDNQEELGIIGDYVSSSDFVDADSVLVVQKQILRV >KJB24717 pep chromosome:Graimondii2_0_v6:4:44609725:44612690:1 gene:B456_004G157800 transcript:KJB24717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVEGVFTLEKLGCHGTRVACSQRQMDNTLLKLIWEVVKKNNCFLVRQFGRGTASLQFSKEPNNLYNLHSYKHSGLANKKTVTIQSGGKDQSVLLATTKTKKQNKLSALLHKSLMKKEFPRMAKAVTDNYYMPDLTKAAFARLSAVHRSLKVAKSSVKKRNRQALKVRGRK >KJB26847 pep chromosome:Graimondii2_0_v6:4:59796303:59798935:1 gene:B456_004G262700 transcript:KJB26847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g25360 [Source:Projected from Arabidopsis thaliana (AT1G25360) UniProtKB/Swiss-Prot;Acc:Q9FRI5] MKGSDFIRTVANRYASQLQLCYPQTLASFSLAKTIHSHMITFGFHPRGHLLNRLIDVYSKSSKIIYARHLFDQIPEPDIASRTSLLLAYSLSGDVKTAQKMFEETPLSIRDSVFYNAMITGYSRNEDGGLARVFNKVIQCKQLHGLVLKTGTGFFTSVLNALVSVYVNCGLMFEARKLFDEIDTKDELSWTTIVTGYVRNDELDAARELVDGMSEKLAVAWNAMISGYVHCNRYDEAVDMFRKMYFMGIKIDEFAYTSVISCCANAGLFYLGKQVHCYVLRTEAKPTLDFSLPVNNALITLYCKCDKVDWARRIFDNMPVRDIVSWNAILSGYVNAGRIDEGRLFFSKMPERNHLTWNVMISGLAQNGFGEEGLKLFNQMKSEGFQPCDYAFAGAISSCSMLGALENGRQLHAQLVRLGLDSSLSAGNALITMYARCGVVEASNLLFLTMPYLDSVSWNAMIAALGQHGHGIQALELFEQMLEAGISPDRITFLTILSACSHAGLVKESQYYFNLMDRLYGITPGEDHYARLVDVLCRAGKFLEANDVISSMPFEPGAAVWEALLAGCRTHGNVDLGIQAAERLIELIPHHDGSYVLLSNMYATAGRWNDAANARKLMRDRGVRKEPGCSWVEVENKVHVFLVDDTVHPEVQAVYSYLNKLVPEMKRLGYVPDTKFVLHDIESDQKERVLSAHSEKLAVAFALMRLPRGATVRVFKNLRICGDCHNAFKFMSKVVGREIVVRDAKRFHHFRDSQCSCGDYW >KJB27293 pep chromosome:Graimondii2_0_v6:4:61857397:61858984:1 gene:B456_004G289200 transcript:KJB27293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLREVAGTGNIDALYSLIGEDPYMLEHIDQIPFIDTPLHIAANKGQIKFAMEIMNLKPSFGRKLNQDGFSPMHLAFKMGHTKLVLRLLKTDKDLVRVKGREGMTPFHCAAAAGNSNLLFQLLETCPECVEDVTAVQLLLDSFYGLDVKAKNSEGLTAREIIENVGRQGLNMSSAEDDDRTTAKIKRIKKRTSRSERALVKLIRTKNGLSENMINATLVVAALVITAIYQSSLSPPRGLWQGDNTSNPTTTSNLTTTTKFKLFNDNYAEKLSKHVLGEETMKTGTAIMNPNLFLGFWLFNFIAFGLPVLLTVFLLYDVARILLLPLYFLSVSYFNCMTIISPSMFWANLNFVVMWAAIILPSVFVFGGVWLCMLPKYREIRQMRRRVRNDNNIGIIQYLRMSV >KJB23774 pep chromosome:Graimondii2_0_v6:4:26852403:26853367:-1 gene:B456_004G114400 transcript:KJB23774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAIEVSDEKVKAMWDKRLTDIFCDICIKEILKGIRPGTHFTKDRWWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQVVPETKKFKTLGIDPEYEGKLDQMFMGIVATGDKAWAPSSGTLRSDFFEDVNHEIPEESEEENMRNDVLISNDVHISNDVQIDGNSQKRKNPETSSSHFKTGRKKSSKQIGGAARLSSQIEKLCNAADNMSQATSSLTPVMDPYGIPQAVKMLDSMSEEVPEASPLYFFALRLLLNKDK >KJB23113 pep chromosome:Graimondii2_0_v6:4:9819450:9820115:-1 gene:B456_004G081500 transcript:KJB23113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTPVKQAAVVLGALAFGWMAIEMAFKPLLDKARSAMDNSDPDRDPDDIDSDVKTKDVVEGNKGSPDRKPISYADAVAKNTAGAS >KJB25636 pep chromosome:Graimondii2_0_v6:4:52809898:52810506:-1 gene:B456_004G201400 transcript:KJB25636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIGSYFSIAAIFIFALFTTSPSLCLAVRKFPSSETDTKFIKTWCDATTYPDLCFVTFSSYAAEIQDSPKTLTTKSLFVALNSTRLASKNLTDISKTQGLKPIETEALQDCVEEIGDSIDELKRSIVEMDETAGKSFAFRMSDIQTWVSAALTDEDTCMDGFSETATDGDVKANMRTLIEKVAHLTSISLAFVNHYAGANK >KJB25940 pep chromosome:Graimondii2_0_v6:4:55064023:55070686:1 gene:B456_004G217300 transcript:KJB25940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVKRSNSKGMYSWWWNSHISPKNSRWLQENLTDMDTRVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVLRQAHQTMAEAFPNQFVDDTPGGSAAEVDPSTPEMQPSVRAFLEPDELQKDTVGLSSHAIKRNGAFTEESDSANFRKGLKQFSDLFGSEEAINHVKFAEGRAREDLSFNDIEKKEKSPGYNGGSNLRERASKAEMEIATLKKTLARLEAEKEAGLLEYQQSLDRLSALESEVSRAQEDSRGHSEQASKAEAEVQTLKDALTKLGAERDANLVQYQQCLEKINDLENSISHAQKEAAELNERVSKAEIEAQALKQDLARVEAEKEDALAQYKQCSEMISNLEEKLLNAEESATRMTERAEKAESELETLKQVVIELTKDKEAAALRYQQWLETISSLEKKLACAQEETQRLNNEIDDGAAKLKGAEERCDLLDRTNQSLHAELESMAQTIGDQNRELTEKQEELGRLWTSVQEEHLRFMEAETAFQTLQHLHSQSQEELRAMAAEIQNRAQVLQDIETRNHGLEDELQRVKEENKGLNEINLSSAMSIKNLQDEILSLRETISKLDAEVELRVDQRNALQQEIYCLKEELNDFNKRHKDMTGQLESVCLSPENFASSVKDLQEENTKLKDISKKDGDEKMELLEKLKIMEELNEKNALLENSLSDLNIELEGVRGKLKTLEESYQSLSEEKSILVVEKDTLISELQIATENLDKLTEKNNFMENSLFDSNAELEELRIKLTGLENSCLLLGNDKSGLITEREGLISQLDVSQKRLEDLEKRYQGLEEKYGGLEKERESTVREVEELQKSLEAEKQEHASVVCLNETQVTALESQIHFLQQEIQRWKKEYEEELHKAMNSQVEIFVLQKCAQDLEEKNLSLLLECRKLLEASKVSEKLISELELGNSEKQIVIQSVCDQISLLRMGLYQMLRVLEIDAIYGYDDKTKLDQTVIDCVFGRLHEMLNSLMKSLDENQQFVIENSVLIALLRQLKLDAENLVTEKKKEVELWETQAIALFGELQISAVREVFFEQKVHEVIKKCEILESRSISKAAELEALERSVRTMEHENGGIEAQLSAYKSTIVSLLDSVTSLETRTLLHPKLPTDCDEQVKDLNLRTDLHAENCQQASEDQIALVPDGFSDLQGIPTRIRAIEKAVVEMEKVAMLENSNLNSKLEAAMKQIEELSSCQESVGTKKHMDARRGGRELSHGLGNNVRMPRPKSEISEEDNDMMTKDIMLDQISESTSYGLSRRETEETFESSETNKHDVRADSKVGKARKGHKGKSPTESLVKELIVDKVGSKSFKELNRQGSKRNILQRLDSDAQKLANLQITVQDLKKKVEITEKGKKGKGIDYGTVKEQLEEAEEAITKLVDANRKLVTQVEDGSRYPDGKSASESDESGSVRKQRVSEQAQGGSEKIGRLQLEVQKLQFLLLQLDDEKGNRGQARVTDQKRRVILRDYLYSGVRNMQKKKKAPFCACVKPATKGD >KJB24067 pep chromosome:Graimondii2_0_v6:4:33610866:33612349:-1 gene:B456_004G127700 transcript:KJB24067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMDIPDGVSVKIKAKVIEVEGPRGKLIRDFKHLNLDFHLIKDEETGKRKLRIEAWFGSRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNANKSIEIRNFLGEKKVRKVDMLEGVSIVRSEKVKDEIVLDGNDIELVSRSAALINQCWTLV >KJB24066 pep chromosome:Graimondii2_0_v6:4:33610845:33612419:-1 gene:B456_004G127700 transcript:KJB24066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMDIPDGVSVKIKAKVIEVEGPRGKLIRDFKHLNLDFHLIKDEETGKRKLRIEAWFGSRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNANKSIEIRNFLGEKKVRKVDMLEGVSIVRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGRIAEEE >KJB26704 pep chromosome:Graimondii2_0_v6:4:59232394:59236007:1 gene:B456_004G255600 transcript:KJB26704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPDLNLTEKATSIVVSTDKESSARNKENKGMQGNIGLEVDLIECMDSVESEMVDVECQDATEFSSSFGDTISGDEDGSIVNDEVESPLPPLRLFGSLSDGWNGQFQMGKRRLTDHWRRFIHPIEWRCKWLEIKLCELKSQALKYERELDEYDKIKQFEFGKVTSEGFDAKWRAFPSKAQRKEVMKRRKRTRVEDTTDVATYMSHHNIFSYYESKKSIDAAAAFALVDDWGDFDNKTIVGYDENGCNDGWPYQSRDGDDLMEQILRKIERLQSRVRILKTRMDKVVSESPQKFSSINMLSSVVQSDALNNSESHHYAEKDDRNSLQCTTSRHASECLMWDDFMPESSLSGQAELATLPDMIRNMSQCLLAFSSENIEADILIPNQAAEEELRSFGSCITQQAEKPHVLIDNSKSKTVPGDNLQINITSLQPNMQPPFLNSKQADNERAGGVKKSDLSGWIRRSSG >KJB26006 pep chromosome:Graimondii2_0_v6:4:56023448:56025113:-1 gene:B456_004G225700 transcript:KJB26006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGGEGKVYTLAEFSQHNNAKDCWLLIGGKVYNVTKFLEDHPGGDEVLLSASGKDATDDFEDVGHSSSARAMLHELYVGDIDASTIPT >KJB25933 pep chromosome:Graimondii2_0_v6:4:55008126:55009091:-1 gene:B456_004G216800 transcript:KJB25933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYANGDDAKKFLSEKYGNLSAFELKNSKDFNNDLMEADISMTVRLQIVYSKLSIKSIRSAYEESVGSRLQKFSGSDNKELLQRFTSQFKDEYKLPRGSLVELSKEPGYVVKTISKLFFACFIFKLDIRSYLFPIFLHSLEINSSGICIKCPFFLLFFLTQCLRISISSFLLK >KJB25543 pep chromosome:Graimondii2_0_v6:4:51697965:51698957:1 gene:B456_004G196600 transcript:KJB25543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIGTLVDLLLFYTLERVLFNRMVISMGKNSQQVKSAMTLWLMLEEIGYHELIRIIHSYDDDTIDAFFEEALQCLQFIQPNVSSPSDESYDTPVFLGLFDEPMNYRFFYYNREFMYKRYVHIMDTVCDKIFGENAAIEVDESGLKPAARPLGEGSTTSQVIGESDSNPSLELNQYIESTKVSNLNPGANEFRPGQTPEDTRTMFITFSKGHPLSGEEIFHFFTSNWGEVVQDVVIEHTSHPGQEPQFGRIVFTTSLVIPMVLNGQSKAKFLVNGKHLWARIYVPRYRGRRKLASKKV >KJB24313 pep chromosome:Graimondii2_0_v6:4:38880281:38880913:1 gene:B456_004G138700 transcript:KJB24313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKKTKKTHGSINNRLALIMKSGKYTLGYKTVLKSLRSSKGKLIIIANNYPHLRKSEIEYYAMLCKFGVHHYNG >KJB27059 pep chromosome:Graimondii2_0_v6:4:60916682:60918403:1 gene:B456_004G275200 transcript:KJB27059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLSSKKGGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRILAGTCHSAKMVRTIIVRRNYLHYIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTGM >KJB27060 pep chromosome:Graimondii2_0_v6:4:60916682:60918403:1 gene:B456_004G275200 transcript:KJB27060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVVLFFSSKKGGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRILAGTCHSAKMVRTIIVRRNYLHYIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTGM >KJB22840 pep chromosome:Graimondii2_0_v6:4:7265835:7267609:1 gene:B456_004G069200 transcript:KJB22840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRLFEAARCGDISAFHSLLEEDPFLLDRVALNSVYNPLHVSALAGQVEITKEIVSRKPAFTRELNENGFSPIHVASANGHIEIIRELMRVGYDICLLKGKDGKVPLHCAALKGRVDVVKELVWACPESLKQVTGCGETALHLTVKSNQIEAARVLIEEIRRLQMMEILNWKDTEGNTVLHQATFNRQHEIIGLLIGGEALACGVNVNTVNTSGFTPKDVLDLLLQNGSDFYHHDIHIYQMFQQAGAVKGREITTDPAYVRTQTENPNRQIAQSACSWNLWKELMREVAESSTDTQNALMVVAVLIATVTYQAVLSPPSGFWEADKRKSQTVTTVQKRTMNPGEAVMASDPEIFAVFIVFNAIGFFASLAMISLLTSGFPLRAGLRLAILSMTATYVIAVIYMGPTKMREVYIVVILMGLLFLAESIRFTVWLLKKWGIVGDTRSRLR >KJB22822 pep chromosome:Graimondii2_0_v6:4:7050630:7051691:-1 gene:B456_004G067300 transcript:KJB22822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEEMNSFIKVWLAVFTSLCYCHAMGKMVPKGPKRLIFLLPIVCLFLYLPLNLYSPHLGGLTAFFIAWLGNFKLLLFAFDKGPLNTRISLPLFMALACLPIKIQQDPIKENPSSKSQGKSKEGTVNYVIKGLLLAIILWSYNFIEYIHPNIIKLLYALHIYFFLEIFLAIGAAMVRSFYGVELEPQFNEPFLSTSLQDFWGKRWNLMVASIMRPTVYEPTLRFSSVVIGRKWAPVPSVLSTFVVSGVMHELVFYYLRRVSPTGEVSWFFIFHGICLTLEIGLKKALSGKCGLPGIVTGPLTVGFVLGTGVWLFIPQFTRSKLDVRAFEEYAEIGALLKSYCEKVLNHIFYHL >KJB21936 pep chromosome:Graimondii2_0_v6:4:1744893:1750151:1 gene:B456_004G022900 transcript:KJB21936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSWETCQPVGSFLPQPEWTAWDQTVEYCALAYQRYIVISTLRPQYRCLGDVAISHATGAVWQRRQLFVATPTTIECVFVDAGVAPIDIETRKRKEEMKLREAQARALAGHGELALINVDGPQTAKEEKITLRPPMLQVVRLASFQHAPSVPHFLSLPKKFKVGGDDMEERKVNEIAVGGGGVSVAVTRFPTEQKRPVGPVIVVGVRDGVLWLIDRYMTVHALSLSHPGIRCRCLAAYGDAVSAVKWAIRLAREHHDDLAQFLLGMGYANEALHLPGISKRLEFDLAMKSNDLKRALQCLLKMSNSRDMGQDNPGLDKNDILNLTAKKENLVEAVQGIVKFAKEFLDLIDAADATAQVDIAREALKRLATAGSVKGALLGHELRGLALRLANHGELTRLSGLGTNLISLGLGREAAFAAALLGDNAHMEKAWQDTGMLAEAVLHAHAHGRSTLKSLVEAWNKVLQKEVDHIPTAKTDATAAFLAVLEPKLWSLSEAGKKPPIEIFPPGMSALSSSIVIKKNSAPATQTSQQQSNKPLEIEGPRPSGPIGAPIGAPPPSASAAVPGTPIGAPTPSAPAATQGTPVGAAPPGEAVAKQGTPIGAPSPGATAATLGMPIGAPPPGTAAATPGTPVGAPPPDTPTATPGMAIGAPPPGTPAATPGMAIGAPSLGTSAGTPGMPIGAPPPSTSVATPDMPIGATPPSAAAAAPGTPIEAPPPGTQAEISATPSRGPPTDASAATPGMVTGAPPPAAKQNTTTGASPPAETSGMTTRAPTPDAPDATPGKPIEAPPPGAPAARPGTPIGSPSLAAPAATTGMPVGAPPTSAPAGKQSTPTEAPCPAAPDARQGSPIGAPAATPGMSIGAPAATPSTSIRTPPPGAPAATPSTPIGAPPPNAPAATLGKPIGAPPPGAPAVASGTPIGSPPHGAQDATPHTSIGAPPPLAPAAAAIGAPPPISGASEPGSDDKAAISSSGNQHTTASVESNPTKSTNDKPADATPTDKPLADVPSLTPDNQETSVPTTDPTSEPLA >KJB23307 pep chromosome:Graimondii2_0_v6:4:12520862:12522740:1 gene:B456_004G091400 transcript:KJB23307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLCSLLTLCLFFLVPFFLSPSFAGVPPSAPVSARTICKSTPNPSYCRAVLPNHTTNVYNYGRFSIRKSLSQSRKFLKLVDKYLRKYQPSLPTSAIHALQDCRFLTVLNIDFLLSSFKTVNGTSTTLSLVEADDIQTFLSAILTNQQTCLDGIQSIASTWSIRNGLSVPLSNDTKLYSVSLALFTKGWVPKKKRKATWKPRNKQRGFKRGRLHLKMSSRTRSIYETVSRRKLLQTTDDTDEEVLVSDIVTVCQDGSGNFTTINDAITAAPNNTNGVNGFYLIYISGGVYQEYISIAKNKKYLMMIGDGINQTIITGNRSVIDGWTTFNSATFAVVAPNFIAVNMTFQNTAGAIKHQAVAVRNGADLSVFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVFQNCNIYPRRPMNGQFNAITAQGRTDPNQNTGTSIHDCNIMAADDLASSNTTFKTYLGRPWKEYSRTVYMQNFMDSLINPAGWKEWNGDFALNTSYYAEYNNNGPGSNTSNRITWSGYHVINATDATNFTVSSFLLGDDWLLDTGVPYNASLI >KJB24146 pep chromosome:Graimondii2_0_v6:4:35076241:35077426:1 gene:B456_004G129900 transcript:KJB24146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSTDYYDFRCADQNLLKIKAFFIRISGFDSFSNSLTLLYPPRINESALQISGAAIRSDSSSFLVLHRMVNVKTRSGEAIYGSRERVQAGDGVCFDVYSGEDKVLKGIFRREEQKWKMECKCALETRDGKTVGAERAVADVWVAVEGDKAMGERVRVIARKNRRVGFDQLEDIPEESEVSGECSCSCVESDGGDMEGRSDGDCEGIQDMCTAGEGVSWAFDVGIWVMCLGVGYLLSGASAKSLRRMRIL >KJB26324 pep chromosome:Graimondii2_0_v6:4:57570795:57574024:-1 gene:B456_004G237200 transcript:KJB26324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANNNTLGVDNTFRKKFDREEYLERAREREKQEAEGRNKSKAKGPPVQRKPLKHRDYEVDLESRLGKTQVVTPVAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLKQVQERFEQLKKRKPPGSFTEQDLDERIIKQQEEEEERKRQRRERKKEKKKEKAAEEEPEIDPDVAAMMGFGGFGSSKK >KJB26325 pep chromosome:Graimondii2_0_v6:4:57570795:57573996:-1 gene:B456_004G237200 transcript:KJB26325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANNNTLGVDNTFRKKFDREEYLERAREREKQEAEGRNKSKAKGPPVQRKPLKHRDYEVDLESRLGKTQVVTPVAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLKQVQERFEQLKKRKPPGSFTEQDLDERIIKQQEEEEERKRQRRERKKEKKKEKAAEEEPEIDPDVAAMMGFGGFGSSKK >KJB26705 pep chromosome:Graimondii2_0_v6:4:59242475:59244394:-1 gene:B456_004G255800 transcript:KJB26705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLANGEAYQVTNNGDLSKPFKIFVGYDPREDLAYEVCRHSILKRSSVPVEITPIVQSDLREKGLYWRERNKLESTEFSFSRFLTPYLANYNGWALFIDCDFLYLADIKELMELIDDKYAIMCVHHDYTPKETTKMDGAVQTLYPRKNWSSMVLYNCGHPKNKGLTPEVVNNQTGAFLHRFQWLEDDEIGSIPSIWNFLEGHNKVVENDSKTFPKAIHYTRGGPWFEAWKNCEFADLWLNEMHDYIEETKLNAS >KJB26235 pep chromosome:Graimondii2_0_v6:4:56921725:56922643:1 gene:B456_004G232200 transcript:KJB26235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAMFDCELLGFYQDGRRAGGGGMDGCGLVGSQTDENLTSANWELNWKSHGHSPQLVKQFLWLLQLLTNGEECRMLRNVSSTIRDGCFVKSS >KJB26505 pep chromosome:Graimondii2_0_v6:4:58199700:58201842:-1 gene:B456_004G244800 transcript:KJB26505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHHHQHQGKNINSSSRMAVHNERHLFLQGGHGDSGLMLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGQTNNGSTKIGAVAMAGKRMSEANGSPMNSLSIGPQANKGIQIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLHRQNLGTVGLEAAKLQLSELVSKVSNQCLNSAFPDLKDLRGLCCQQTQQNPPNDCSMDSCLTSCEGSQRDQEIHNNGMCLRTYNTHKDPLMHHQTETKSSENKTMGNDDDDERRMFFADRNCSDLSMSVGLRGGFSQARNEEHSFLDVGNKRVDSVNRLPYFATKLDLNVDEKHCKQFDLNGLSWS >KJB24196 pep chromosome:Graimondii2_0_v6:4:36015361:36018430:1 gene:B456_004G132400 transcript:KJB24196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVADVALSRVSQEPVHSTAGYCNNQAGDSILIYLSIAGSMIPMRVLESDPIASVKLRIQTCKGFVAKKQKLVFGGRELARNDSLVKDYGIKGGNVLHLVLKLSDLLLISVRSTCGKEFELHVDRNRNVGYLKQRIARKGKGFEDVDEQEIFCNGEKVDDQRLVDDLCKDNDAVIHLVVQKSAKVRAKPVEKDLELSVIAESDLDERKGGIVGGEEDNPEGLHIVINEPFLNDFWLEPVIVNPKAQLPFFVWDMINSSFEGLQAGNHPIRSSEGTGGTYFMQDKTGFDYVSIFKPIDEEPMAVNNPQGLPASINGEGLKKGTRVGEGAVREVAAYILDHPKSGPRSLSGEMLGFAGVPPTCMVQCLHEGFNHPDGYDCAPENVKVGSLQMFMKNSGSCEDMGPGAFPVEEVHKITVFDIRMANADRHAGNILIGKGDDGRTVLIPIDHGYCLPESFEDCTFDWLYWPQSRKPYTPDTIAYIKSLDAEQDIALLKSYGLDVPLECARTLRISTMLLKKGVERGLTPYAIGSIMCRENINKESAIEQIVEEAQDSLLPGMSEAAFIQSISEVLDSWLDKLTN >KJB21722 pep chromosome:Graimondii2_0_v6:4:734382:735363:-1 gene:B456_004G010300 transcript:KJB21722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVKWSWTSAFVGAASATAVATLLSARPKDPTFHLVSIKLTAFKLNLPHVDAELILTVHVTNPNIAPIHYGSTAMSIFYEGTLLGAAQVKAGSQRARSCQVLKLPTRLDGVELAHHAGKFFADVAKREMVLDAQVDIGGKAKVLWWGHRFKVHVDSHITVDPVFLDVIDQENRSQLEIFLAS >KJB24810 pep chromosome:Graimondii2_0_v6:4:45259575:45260667:1 gene:B456_004G161900 transcript:KJB24810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCWSAENATKAYLRALKMRRRGKGKEPDVAEFISAIAAGNNAQLMVITCANVAGSTALALVAAAHQTGGRVVCILTSLHHYDASVSAVGNYGDCIDFVIGDAEKLLNEYRNADFVLIDCNISDHKTVLKTAQEGAKRGGGGTLIVGYNAPHNKELVGCSGADGFKTYYLPIGEGLLVIRKGKPNEARKSSRWVVKIDKWTGEEHVFRITSPQIKT >KJB25150 pep chromosome:Graimondii2_0_v6:4:48704900:48708656:-1 gene:B456_004G178600 transcript:KJB25150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQELPDNGNKGFRYMLLRIAFALLFPIFVFFLLSFLVCLLAIFMGELSISDPISAPTQCKIVSSSVDIRSSKVCELGLLNYKAKHVFYSSENSKFRCRYDYYWTSVFKVEYTDHSLGQMQLALTEAPNEALPVSCRPDFGVAWLTKDKFKVNETYDCWYISGTPTVKLYNDGFFSCQAKDPSLIEMMKRYLILSIKILKSWFSSKGYARYWRSEVIAGIVTGFSASIITISFIRTLQHMKSWLPQAINTVLIKRVCFLLVYFSVMGWLASHYWRRLSIPFIKAFNY >KJB25149 pep chromosome:Graimondii2_0_v6:4:48704898:48708735:-1 gene:B456_004G178600 transcript:KJB25149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQELPDNGNKGFRYMLLRIAFALLFPIFVFFLLSFLVCLLAIFMGELSISDPISAPTQCKIVSSSVDIRSSKVCELGLLNYKAKHVFYSSENSKFRCRYDYYWTSVFKVEYTDHSLGQMQLALTEAPNEALPVSCRPDFGVAWLTKDKFKVNETYDCWYISGTPTVKLYNDGFFSCQAKDPSLIEMMKRYLILSIKILKSWFSSKGYARYWRSEVIAGIVTGFSASIITISFIRTLQHMKSWLPQAINTVLIKRLSLSKDVSLSGYLIYWNNTLIQLV >KJB22710 pep chromosome:Graimondii2_0_v6:4:6132675:6134145:-1 gene:B456_004G0618001 transcript:KJB22710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKLFIFLALFFALFFISSPAIAEVSKDETNKVAEQFNHQPNEVKDLGNGSGGHGGGQGGSGGHGGGQGGSGGGSGGHAGSGGGSGGGGGGQGGSGGGGHGGSGGGSGGHGGGQGGSGGGGRGHGGSGGHGGGSSGGGSGGHGGGQGGSGGGGGGHGGSGGGSGGHGGGQGGSGGGGSSGGGSGGHGGGQGGSGGGGGGHGGSGGGSGRHGGGQGGSGGGGGGHGGSGGHGGGSSGGGSGGGGGGHGGSGGHGGGSSGGGSGGHGGGQGGSGGGGGGHGGSGGGSGGHGGGQGGSGGGGGGHGGSGGGSGGHGGGQRG >KJB22711 pep chromosome:Graimondii2_0_v6:4:6132675:6134016:-1 gene:B456_004G0618001 transcript:KJB22711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKLFIFLALFFALFFISSPAIAEVSKDETNKEIVAEQFNHQPNEVKDLGNGSGGHGGGQGGSGGHGGGQGGSGGGSGGHAGSGGGSGGGGGGQGGSGGGGHGGSGGGSGGHGGGQGGSGGGGRGHGGSGGHGGGSSGGGSGGHGGGQGGSGGGGGGHGGSGGGSGGHGGGQGGSGGGGSSGGGSGGHGGGQGGSGGGGGGHGGSGGGSGRHGGGQGGSGGGGGGHGGSGGHGGGSSGGGSGGGGGGHGGSGGHGGGSSGGGSGGHGGGQGGSGGGGGGHGGSGGGSGGHGGGQGGSGGGGGGHGGSGGGSGGHGGGQRG >KJB24897 pep chromosome:Graimondii2_0_v6:4:46326844:46327422:1 gene:B456_004G166700 transcript:KJB24897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRFRKQHRGRMKGISYRGNRICFGRYALQALEPAWITSRQIEAGRRAMTRNVRRGGKIWVRIFPDKPVTVRPTETRMGSGKGSPEYWVAVVKPGRILYEMSGVAENIARKAISIAANIEPKERKIKKMIQPQTHLNVADNSGARELI >KJB24103 pep chromosome:Graimondii2_0_v6:4:33826014:33827037:1 gene:B456_004G128100 transcript:KJB24103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIARAFGSLLSVIAYCIYGYRGKKKSVSTNINYCNFNMPKGRPPLSLQTVDLKVRMCCTGCERIVKNAIYKLRGIDSVEVDLEMEKVTVIGYVDRNKVLKRVRRAGKRAEFWPYPDPPLYFTSTTEYFKDTTNEFRESYNYYRHGYNLGHRHGNIPMTHRGDDKVSNFFNDDNVNACCLM >KJB23756 pep chromosome:Graimondii2_0_v6:4:26178657:26181743:1 gene:B456_004G113600 transcript:KJB23756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCSKEAVVRLFYNLSSSSLLLLLFLYCSSILLSKFFYFIGSYPLIQRDHDGYEFSEEEEEEEEEEYGGDRYNYRVNCMGSDHLVADIIHGGESLVFLSENSFYRTPNYVEDDELTSEDQEYGSYSTEQLSAHYSSPCSDSEHHCHDDKETELLVEDEEIPTKDADSLQNFDVNQDCPTHTPMDSQILTDLDKSNHKVHSEEDDISSNREIKQRVETDFSRSSDKYFVIGPKKLESTKLLVHDKDTDEEIYGDSCTVGSTSKSSSEWRSSINCRDSGTDDPFSSSSRRSCPKWESYTVFQKYDEEMMFLHRVSAQKLQETESLRSIQACPRSISERIVHKFAAMNKKPSDIRHNPYHELEAAYVAQICLTWEALNWNYKKFERKRAARKDFDCPAGIAQQFQQFQVLLQRYIENEPYEQGRRPEVYVRTRLLAPKLLLVPEYRDYEDDQRDEGFGSRISADSFLVIMEDGIQTFMKFLKADKEKPYQIIKALLGRKRKGSLDPTLVRLVKKVNAKKKMKLKDLGRVHKCLRKGKNKVEKMEILMGLIDLKVVSRVLRMRDLSEQQLHWCEEKMNKLRILEGGLQRDSSPLFFPAH >KJB22050 pep chromosome:Graimondii2_0_v6:4:2154685:2160250:1 gene:B456_004G027400 transcript:KJB22050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDSNSDLSGDEDEGFVFNDGDPLPFPVQNLLQTAPCGFVVTDAIEPDHPIVYINTIFEMVTGYRAEEVIGRNCRFLQYRGPFAKRRHPLVDSSVVSEIRRCLEEGIEFQGELLNFRKDGSPLMNKLRLTPIYGDDGIITHVIGIQIFTEVNIDLGPVPGSSIKESMKSSTRSAFRPGVVGDRNVYRGFCGILQLSDEVLSLKILSRLTPRDIASVGSVCRRLYDLTKNEDLWLMVCQNAWGYETTRVLETVPGAKRLGWGRLARELTTLEAAAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWQHVQVSSPPPGRWGHTLSCVNGSHLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPVWREIPVAWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVTGSGMPGAGNPGGVAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLTELHELSLASSAI >KJB25669 pep chromosome:Graimondii2_0_v6:4:53254356:53256692:-1 gene:B456_004G203100 transcript:KJB25669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTNTTTTPVDSISADELTAKAVHKRYEGLVMVRTKAIKGKGAWYWAHLEPMLVHNTDTGLPKAVKLRCSFCDAVFSASNPSRTASEHLKRGTCPNFNSLAKPISSVSPSPTTMTAATATTQSNRKRRSSSVTVTATGGVLVGSVPGCSYQATPLAIVDPSRYCGESDYSPSPGTTMTVSGGNSVQHQQQLVLSGGKEDFGALAMLEDSVKKLKSPKTSPGPTLSKSQIECAVNLLADWVYECCGSVSFSSLEHPKFRDFLNQVGLPPVSRRVLAGSRLDAKYEAVKTESEARIRDALFFQVSSDGWKVKRFASGEDSLVNLTVNLPNGTSLYRRAFFLSGSVPSKYAEEVLWETVTGICGNAVQQCAGIVADKFKGKALRNLENRHHWMVNLSCMFQGFNSLIKDFSKELPLFKTVTENSLKLANFVNKTSQIQSCFQKHQLHEFGSAGLLRMPLHDTESLDFGPVYTMLEDILNSARAFQLLLHDETFKMLSMEDPFARKIAEMIRDTGFWSELEAVHSLVKLIKEMAKVIETERPLVGQCLPLWDDLRTKVKDWCSRFHIAEGPVEKVIEKRFKKNYHPAWAAAYVLDPLYLIKDMSGRYLPPFKCLTLEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDEVYARAVQMKERDLVTGKMKIANPQSSRLVWETYLTKFKSLGKVAVRLIFLHSTSCGFKCNWSFLKWVGAHEQSRIGMDRAQKLIFIAAHLKLERRDFSNDEEKDAELFASANVEDDVLNEVLVETSSV >KJB25668 pep chromosome:Graimondii2_0_v6:4:53252880:53257009:-1 gene:B456_004G203100 transcript:KJB25668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTNTTTTPVDSISADELTAKAVHKRYEGLVMVRTKAIKGKGAWYWAHLEPMLVHNTDTGLPKAVKLRCSFCDAVFSASNPSRTASEHLKRGTCPNFNSLAKPISSVSPSPTTMTAATATTQSNRKRRSSSVTVTATGGVLVGSVPGCSYQATPLAIVDPSRYCGESDYSPSPGTTMTVSGGNSVQHQQQLVLSGGKEDFGALAMLEDSVKKLKSPKTSPGPTLSKSQIECAVNLLADWVYECCGSVSFSSLEHPKFRDFLNQVGLPPVSRRVLAGSRLDAKYEAVKTESEARIRDALFFQVSSDGWKVKRFASGEDSLVNLTVNLPNGTSLYRRAFFLSGSVPSKYAEEVLWETVTGICGNAVQQCAGIVADKFKGKALRNLENRHHWMVNLSCMFQGFNSLIKDFSKELPLFKTVTENSLKLANFVNKTSQIQSCFQKHQLHEFGSAGLLRMPLHDTESLDFGPVYTMLEDILNSARAFQLLLHDETFKMLSMEDPFARKIAEMIRDTGFWSELEAVHSLVKLIKEMAKVIETERPLVGQCLPLWDDLRTKVKDWCSRFHIAEGPVEKVIEKRFKKNYHPAWAAAYVLDPLYLIKDMSGRYLPPFKCLTLEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDEVYARAVQMKERDLVTGKMKIANPQSSRLVWETYLTKFKSLGKVAVRLIFLHSTSCGFKCNWSFLKWVGAHEQSRIGMDRAQKLIFIAAHLKLERRDFSNDEEKDAELFASANVEDDVLNEVLVETSSV >KJB23251 pep chromosome:Graimondii2_0_v6:4:11643639:11647164:1 gene:B456_004G087700 transcript:KJB23251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGKEDTAVFLDRASRSTRGRRMTKLLDEEVEEDELFWNQEAFKEIQAHTYSSENTLTIFLDYRIDVDEENDVNYEEEPEVADVFDSDFDEDEPEPDEKVENEADERVHTKKRLIFPGKPSMKKKEKKKVLSNLDGDSKDENLTQKTSSTQHHDALDDAEGERIIRKSTRTSVIVRQAERDAIRAALQATMKVHCEVRGSLEP >KJB22512 pep chromosome:Graimondii2_0_v6:4:4750737:4755598:1 gene:B456_004G051800 transcript:KJB22512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFTRWCDLRKFEVLDLSENALEGTLPSCLANLSSLHYLDISSNQFVEKAFHLSNCKTKELHTEIPKFLYYQNDLSVVDLSYNNFGGKIPFWLLENNTRMEAFLMKGNSFMAHLISVQTRNIPPCLGSLNTTHLLLDLSHNQLSGGIPEMLAQSDSLRFLRLSNNHLKFLYLDGNNFDGNIPSIDILTIPLYSLKYVDLSNNNLSGELPRWIWNVSNLNALAVSNNQLKGLITMELIGTLSALSVFLLKANYFIKKILIEICKLYSLSIIDLSQNKLSGSIPSCWSHLTLKPNYEKSSTKTYKVDYTTKRASYTYKGNILEYKSGIDLSCNRLTGEIPTKIGNLSEIRSLNVSHNNLAGQIPSMFSKLKQIEKWYTYNLSGSISSPKAQFGTFDESSYVENPFLCGPPLHKNCSDLDSPPTAAPNTSNNEEKSGLMEKYVFWVTFFVSYVIVLLVIVLILYINILIGDKHGFFFFCC >KJB21441 pep chromosome:Graimondii2_0_v6:4:39944933:39945948:-1 gene:B456_004G141700 transcript:KJB21441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIPLDFLQGDNFREAAVSYIKPLLTKGVPSLFSDLSPLYDHPGKVYMLEQLILELEHSIRINGRYPDSHYSEVGFCLKDLLKRRDHLLWQ >KJB24854 pep chromosome:Graimondii2_0_v6:4:45891531:45901380:1 gene:B456_004G164900 transcript:KJB24854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase CHR10 [Source:Projected from Arabidopsis thaliana (AT2G44980) UniProtKB/Swiss-Prot;Acc:F4IV45] MNYEQRLKAAAKIVLTYDERAGDDAVDCVEFGVTATLKPHQVDGVSWLVRTYVLGVNVVLGDEMGLGKTLQAISFLSYLKVHQKSPGPFLVLCPLSVTDGWVSEIVKFTPKLEVLRYVGDKEHRRSLRKNIYEQVKEKSSSNVPSLPFDVLLTTYDIALIDQDFLSQIPWHYAVIDEAQRLKNPSSVLYNVLNDRFIMPRQLLMTGTPIQNNLTELWALMHFCMPSVFGTLNQFLSLFKEAGDISSDSTSSKSKELFKSLKYILQAFMLRRTKAKLIESGNLVLPPLTEITVMAPLVSLQKKVYTSILRKELPKLLALSSSSCSHQSLQNIVIQLRKACSHPYLFPGIEPEPYEEGEHLVQGSGKLMVLDQLLWKLYDSGHRVLLFAQMTHTLDILQDFLELRKYSYERLDGSIRAEERFAAIRSFSKQSAEGSVNSESDQTAAFVFLISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNHVLSINLVTEYSVEQVIMRRAEKKLQLSRNVVGDHVMDQEGKEMEGAEMGDLRSIIFGLHMFDPAQINNEEAYELKTSELSAMAEKVIAMRYEQMLGKNDGKFEINAGDLMDGHDVHMIESSVSNDPGLDEASYLSWVEKFKVASQSGDNQIMALESRRNFPEDRHLKVEAAKKKAEEKKAAKWEANGYHSLSVQDPCPMDGDMLSDSGSVFFVYGDCTDPSIVCPSEPAIIFSCIDNSGNWGHGGMFDALAKLSASVPVAYERASEFQDLHLGDLHLIRVNGQLKDVKENNTPLWVALAVVQSYNPRRKVPRSDITMPDLERCLSKASFSAAENSASIHMPRIGYQDRSDRSQWYTVERLLRKYASIYGVKIFVIFIMFMEMVLSPRSYHGAGKQLFLYQCPLRFVIRCFSSARIEIHGEGTNERNQGLGEKAESSIKTKGAKFMARVINSTPWSSELESSISSLSPSLSKTTVLQTLRLIKNPSKALQFFNWVQQMGFPHDAQSFFLMIEILGKERNLNAARNLMLSIEKRSNGSVKLEDKFFNSLIRSYGKAGLFQESIKVFETMKSIGVSPSVVSFNNLLSILLKRGRTNMAKSVFNEMLSTYGVTPDVYTFNILIKGFCMNSMVDEGFRFFKEMERFKCDPDVVTYNTIVDGLCRVGKVGIAHNVVKGMSKKSLDLNPNVVTYTTLLRGYCMKQDIDEALAVFQEMICRGLKPNKITYNTLIKGLSEVHKYDKIKEILERMGEDGRFTLDTCTFNTLINAHCNAGNMDEALNVLKRMSEMKVQSDSATYSVIIRSLCQIADFEKAEEFFDELAKKEILLSHVGCTPLVAAYNPMFEYLCANGKTKKAERVFRQLLKRGRQDPPAYKTLILGHCKEGTFEAGYELLVLMLRRDFEPGFEIYDSLITGLLLKGEPLLARLTLEKMLKSSHLPQTSSVHSILAELLKKSCAQEAASLVTLMLDHRIRLNINLSTQTVKLLLARRMQDKAFQVLVLLYDDGYMVEMEDLVRFLCQSGMLLEVCKMIQFSLEKHQTVDIEICCTVIEGLCNNRRLSEAFSLYYELVERGKHLELRCLDNLKMALQAGGRLNEAEFVSKRMPNQWQANDASSKFSTRMPKLQQQ >KJB23841 pep chromosome:Graimondii2_0_v6:4:28546863:28549923:-1 gene:B456_004G117600 transcript:KJB23841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRGRGGGFRGRGDGGRGRGRGGGGGRGGDRGGSGMKPRGGGRGGGRGRGGGRGGMKGGSKVVVEPHRHEGVFVAKGKEDALVTKNMVPGEAVYNEKRIAVQNEDGTKVEYRVWNPFRSKLAAAILGGVDNIWIKPGAKVLYLGAASGTTVSHVSDVVGPNGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKAGGHFVISIKANCIDSTVPAEAVFQSEVKKLQQEQFKPFEQVTLEPFERDHACVVGGYRMPKKQKAGAA >KJB23842 pep chromosome:Graimondii2_0_v6:4:28546982:28549851:-1 gene:B456_004G117600 transcript:KJB23842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRGRGGGFRGRGDGGRGRGRGGGGGRGGDRGGSGMKPRGGGRGGGRGRGGGRGGMKGGSKVVVEPHRHEGVFVAKGKEDALVTKNMVPGEAVYNEKRIAVQNEDGTKVEYRVWNPFRSKLAAAILGGVDNIWIVLYLGAASGTTVSHVSDVVGPNGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKAGGHFVISIKANCIDSTVPAEAVFQSEVKKLQQEQFKPFEQVTLEPFERDHACVVGGYRMPKKQKAGAA >KJB22728 pep chromosome:Graimondii2_0_v6:4:6386072:6387937:1 gene:B456_004G064100 transcript:KJB22728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKKGPWTAEEDQKLINFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEQMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPLTHKALSSTTTEPQQSQQKNQVSKGGLKSQVVDKSPKEPETSLQSTISEEKSMGSPLFDPMEMMMMMDNVDGFCTDEVPLIEPHEILVPAAPSTSSSCDSSKLLEELQLPDFEWPSDCNTSSDDNNNKKMSLWDDDDFNNTWGDLLSDRSELALDDSLSSPLIQCPTGMPFDQEYSWAYI >KJB22729 pep chromosome:Graimondii2_0_v6:4:6386526:6387811:1 gene:B456_004G064100 transcript:KJB22729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPLTHKALSSTTTEPQQSQQKNQVSKGGLKSQVVDKSPKEPETSLQSTISEEKSMGSPLFDPMEMMMMMDNVDGFCTDEVPLIEPHEILVPAAPSTSSSCDSSKLLEELQLPDFEWPSDCNTSSDDNNNKKMSLWDDDDFNNTWGDLLSDRSELALDDSLSSPLIQCPTGMPFDQEYSWAYI >KJB25399 pep chromosome:Graimondii2_0_v6:4:50531763:50533285:-1 gene:B456_004G189800 transcript:KJB25399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIREIPVAEAAAHKVLVEELDDAIDSITGRPLTGSWVWDSALVLSRWIPTHLNFQGKSVLELGAGAGLPGLTAALLGANRVVLTDVQQLLPGLLKNVEANGFTDRVEVKQLVWGLDDSGITESSTFDIVLMSDVFFDSEDMIGLGKTLRRVCGEATQVWAATEMRPWTGECLDQLMAQGFQVVEQETSQLSVHAAVQDSDTFFAIFQIKPTLAESSQS >KJB23582 pep chromosome:Graimondii2_0_v6:4:21529131:21529512:1 gene:B456_004G105800 transcript:KJB23582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKVAKSLETTNSPSTFFFKPVPPLSLALSLLFCLIFFVFFLDESLDGWSLIVSTRQVGVPDPSLKLEIHISRILGSFSWSFVS >KJB26628 pep chromosome:Graimondii2_0_v6:4:58826937:58827918:1 gene:B456_004G251100 transcript:KJB26628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASKETSYEDFEPLCKWRRDQNCDKLEVHLQGFKRQQLKVEIHSSGILEIYGERLMEEGKSKRIISRFRKEFPVSEDYQRTQIHAKFYNGILHLVMPKQIPTISAAGDENNDGKASSSGTSYLTCLMKNKNIALEIMILAISLAIVGAYVKKYCQCSLE >KJB24449 pep chromosome:Graimondii2_0_v6:4:41054383:41055541:-1 gene:B456_004G146000 transcript:KJB24449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVYESGFISEMTPQSKEDMDVRKGPWTEEEDYMLKTYVNVHGEGSWNSVARLSGLKRSGKSCRLRWLNYLRPEVIRGNISLQEQLLILQLHSRWGNRWSKIAQQLPGRTDNEIKNYWRTRVLKQAKQLKCDVNSQEFRDTMRCVWIPRLIERICASSGSPSAQPSTTYEKTSVLPELSGTSSDSQDGQLSSVSDLTDCYNPPSYSNYPNSLQNGWGLWSENLGGTWNIEDGVGFQATEGEESMESVWNEENIWFLRQQLYDDKDDMN >KJB23098 pep chromosome:Graimondii2_0_v6:4:9979402:9979566:-1 gene:B456_004G082100 transcript:KJB23098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPWDGCKVKQIASAPRTEDCVGCKRCESTCPTDFLSVRVYLWHETTRSMGLAY >KJB26180 pep chromosome:Graimondii2_0_v6:4:56354986:56356767:1 gene:B456_004G228800 transcript:KJB26180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISILAQERLLGATLGCALTGIVVFEQRKRIYDSVSSYQSEMKEPIFGKKSRSEFALLWNKTVDQIFVPVIEAISSRRW >KJB22976 pep chromosome:Graimondii2_0_v6:4:8786638:8789544:-1 gene:B456_004G076500 transcript:KJB22976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g63370, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63370) UniProtKB/Swiss-Prot;Acc:Q9M1V3] MRLQSYPTLSVVLSCTFPLMPASLAHLHTNNCSSIFAHPPMLIQTQINPTLKIPSFSRKPIQTHTLKDICLRGNLKEAFQSLPVSSNDHPDETYAPVLELCAQKKALSQGLQIHALMIKSCSVSESVFLATKLVFMYGKCGSVRNAEKVFDQMGQRSIFTWNAMIGAYVSNGKPFEVLQTYKEMRVLGVSLDAYSFPSLLKASGLLKNLYLGSEIHGLAVKFGYDSTVCVVNSLVAMYAKCDDLFGARRLFGRIDQKDDVVSWNSIISAYSSNGRSMEALELFREMQKAGLDSNTYTVVACLQACEDYSFRKLGKEIHAAVLKSAQLLDAYVAGALVAMYVRCCKMSEAVRTFNKLEEKDKVAWNSVLTGFIQNGMCSEALHFFHDFQNAGEKPDQVTVISILVACGRLGYLLNGMELHAYAIKNGFDLDLQVGNTLIDMYAKCSCVNYMGYAFNRMPDKDLISWTTVIAGYAQNSYALKAFELFRELQLIDIDVDPMMIGSILLACSELACVPQVKEIHGYIMRRGLSDVVLRNMIVDVYGECGHIDYAVQTFESIQFKDVVSWTSMISAYVHNGLANEALELFHILNKTNIHPDSIALKSALSAASSLSALKYGKEIHGFVIRQNFSEGSIASSLVDMYSRCGMVENAHTIFKSIQSKDLVLWTSMINAYGMHGHGKVAIDLFNKMKENLTPDHVAFLSVLYACSHSGLTAEGRQFFEIMKYEYQLEPWPEHYACLVDLLGRANCLEEAYEFVKSMQMAPTAEIWCALLRACQVHSNQELGEIAVQKLLELGSTNPGHYVLVSNVFAAKGRCKDAEKIRSRMKERGLKKSPGCSWIEVGNRIHTFIARDKSHPECHHITKKLDQITEKVEKEGGYIAQTKFVLHNVEENEKVKMLYGHSERLAIAYGLLKTAEQTPIRVTKNLRVCGDCHTFCKLVSKLLGRELVVRDANRFHHFEDGVCSCGDFW >KJB24602 pep chromosome:Graimondii2_0_v6:4:43625241:43625978:-1 gene:B456_004G153400 transcript:KJB24602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELIFKEDGQEYAQVSRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIAGGIDEEDDGAGDDYIEFEDEDIDKI >KJB26591 pep chromosome:Graimondii2_0_v6:4:58647583:58648155:1 gene:B456_004G249000 transcript:KJB26591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLNSWISLQLIFVFVAALLVLLSHGGPKSSVEATEAERPVSALSSSLYEISLRHFLNRFRVVQKKRMVGERRYLRPPPSPKLHSEFRQGSKRHWSPLHTRPVPLQPPPSSASPPPPASTQPPLSPPSALPPPPVSA >KJB24920 pep chromosome:Graimondii2_0_v6:4:46449495:46452259:-1 gene:B456_004G167800 transcript:KJB24920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRASMLLARRPILAARARPFSTDLPAAPSADATFTEAWTKVIPNMDPPKTPLSFMQPRPPTPSSIPSKLTVNFVLPYASELATKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGSEVTKYFVSSGFVFIHANSFADIIAVEAVPLDRLDVNLVQKGLAEFTSKLSSATTDLEKAEAQIGIDVHSALNSALTG >KJB23124 pep chromosome:Graimondii2_0_v6:4:10088656:10089259:1 gene:B456_004G082400 transcript:KJB23124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVGIQILFLSFMFLVVVALPIKLPIMPRVRANPPRLVPLCTSQLMLVNYACGTVSLVPSPFLSSNTPDVGNENRNGTGSSQGDGNGTENGAGEGENRHRPRHKRRHRHKEMTPEQSYCCRWMQIVERDCVCDILAHLPLFLSWHLHHYTVIVGETCKVTFTCGGRL >KJB26293 pep chromosome:Graimondii2_0_v6:4:57364272:57368266:1 gene:B456_004G235600 transcript:KJB26293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKGKKSKTMSKSPSAAKEHKEQLERLQKKDPEFYQYLQQYGKDLLTFDDEDEDFDDDAEVDMEDAENQLDDETYQHDIPEEEEKPSKNVLTTEMVNSWCKSIREDEKLSAVHSIMKAFRTACHYGDDTGTDSSAKFAVMSSSVFNKIMLFTLSEMDRILRKLLKLPASGGKKETVIELMNTKQWKNYNHLVKSYLGNALHVLNQMTDTKMISFTLRRLQYSSVFLAAFPSLLRKYIKVALHFWGTGGDALPVVSFLFLRDLCVRLGSDCLDECFRGIYKAYVLNCHFVNASKLQHIQFLANCVVELIRVDLPTAYQHAFVFIRQLAMLLRDALNMKTKEAYRKVYEWKFINCLELWTGAICTYSSEADFRPLAYPLTQIISGVARLVPTARYFPLRLRCVKMLNRISAATGTFIPVSMLLLDMLEMKELNRPPTGGVGKAVDLRTTLKASKPILKTRAFQEACVISVVEELAEHLAQWSYSVAFFELSFIPAVRLRSFCKCTKVERFRKEMRHLIRKIEANDEFTNERRASVTFLPNDNAATSFLEDEKKMGTSPLSQYVTTLRQRAQQRNDSMMESSVLVGEKSAVFGKKLDQIPDSDEEDDIKNDDGATVFSSSWLPGGDSRANLPKEEEKKKKKKKKKKKGMEQDEGVGDDEDVVEDLVLSSDEDEDEEDTAEEDGNEEQLGGSRQQNKKQKPKNISNKNVRPHHHAKNNKSKKRKRAKLN >KJB23843 pep chromosome:Graimondii2_0_v6:4:28559968:28562136:1 gene:B456_004G117700 transcript:KJB23843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSGKKDEMSKVKIISTSHVKPGKMIGRKECQLVTFDLPYLAFHYNQKLLFYKCGEFEEKVEKLKDGLRVVLEEFYQLAGKLGKDEDGVFRVEYDDDMDGVEVVEATAMEIGIEELAAEDGTASFKDLIPYNGVLNLEGLNRPLLCVQXXXXXXKDGVAMGCAFNHAILDGTSTWHFMSSWAQICSGSNSVSVSPFLERTKVRNTRVKLDLSLPPNPVNGDANQGPQLREKLFRFSEAAIDKIKSKVNSTPPSDSSKPFSTFQSLAYHVWHHVTLARELKPQDYTVFTLFADCRKRVDPPMPESYFGNLIHAIFTVTAAGLLSANPPQFGASLVQKAIEAHNAKAIEERNKEWEAAPKIFEYKDAGVNCVAVGSSPRFDGMVYLYQGKSGGRSIDVEIALEAGALEKLEKDKEFVLEV >KJB22533 pep chromosome:Graimondii2_0_v6:4:4843437:4845816:-1 gene:B456_004G052900 transcript:KJB22533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGNKILSNKFGYRKMATPSTLCCAVLLFLFPLQFMATTTPSCPGDCEPTDADDNDRFHFAQNLEFLEAEFFLYGALGKGLDAFEPEFAKGGPPPIGGRRANLDYLTRRIIEEFGYQEIGHNREIVRRTGGIPRPLIDISSQSFAKLFDKAVGHNLDPPFDPYEDPIKYMLAVYAIPYVGLNGYVGTTPCLKNFASKQLVAGLLGVESGQDAATREWLYAKGDEKVEPYDITVVEFTNMISGLRNELGKCGIKDEGLIVPKELGAENRTTSNVLSADSNSLSYPRTPQEILRIVYSTGDEHRPGGFFPKGANGRIAREYLYNDQLRGL >KJB27023 pep chromosome:Graimondii2_0_v6:4:60722816:60723278:-1 gene:B456_004G272700 transcript:KJB27023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKDSGCGVLPQDIPILFTKFAQPRSGNSSGAGLGLAICRRFVNLIGGHIWIESEGLDKGCTVTFLVKLGICSNPDDSAIHHASLQVRAYHGSADLSGQKPILRDHGHRAISSNPNARYQRNL >KJB26286 pep chromosome:Graimondii2_0_v6:4:57333059:57335515:-1 gene:B456_004G235300 transcript:KJB26286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLLNTCRAYSTSFPVVTRYRIAQLGRVGQIENARQVFDELPNKTVDSWNSIIAGYFQNNQPDEALLLFNKMPEKNIVSWNGLISGYIKNGMVTEAREVFDKMPERNVVSWTAMIRGHVQEGMMGEAVSLFWLMPEKNVVSWTVMLGGLIHEGRIDEARRLFDMMPEKDVVARTNMIAGYCKEGRLSDAREIFDEMPWRNVVAWTTMITGYVQNNRVDVARKLFEVMPVKNEVSWTAMLMGYTQCGRIEAALELFEAMPVKSVVTGNALILGFGQNGEVAKARRVFNQMKVKDDATWSAMIKVYERKGFELKALDLFILMQKEGIRPKFPSLITILSVCASLASLDHGRQVHAQLVRSRFDEAVYVSSVLLTMYIKCGDLPKAKLVFDKFSSKDIVMWNSMISGYAQHGLGEEALEIFQSMFSTGMVPDDVTFVGVLTACSYTGKVKEGLDIFESMKSKYMVEPKTEHHACMVDLLGRAGKINEAVNIIEKMPMEADAAVWGSLLGACRTHAKLDLAEVAAKKLLVIEPENAGPYILLSNIYASQGKWNDVAELRKNMRARSVKKSPGSSWIEVEKIVHMFTTGDIRAHPEHSMIMKMLENLDVLLREAGYNPDGNFVLHDVDEEEKLYSLRYHSEKLAVAYGLLKVPKEIPIRVMKNLRVCGDCHTAIKLIAKVTEREIILRDANRFHHFKDDICSCRDYW >KJB24715 pep chromosome:Graimondii2_0_v6:4:44595515:44598072:1 gene:B456_004G157600 transcript:KJB24715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCDKKGLKKGPWAPEEDEILTNYIKKHGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTLEEEKLVIQLHAILGNRWAAIAAQLPRRTDNEIKNLWNTHLKKRLLCMGLDPQTHKPFTPCGGPTVAAPTSPATRHMAQWESARLEAEARLSKESLQTNSTPIAKPDSDHFLRLWNSEVGESFRKINTEYKTVYPCPSPISQTSSSTKCGSVSAVTMDVCPNIAGSLNPASNPIEETECKSFIKSCIEEPSDSSCSSESEDSSDTALQLLLDFPINNDMSFLENC >KJB26575 pep chromosome:Graimondii2_0_v6:4:58470918:58471989:1 gene:B456_004G247900 transcript:KJB26575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVRIADLRPGDHIFSDRKSRLYFHHGIYVGDQMVIHLMGPSKTYNLRPCERCGFKPQAGIFITCLDCFLNGHSLYRYEYDVSYLKLVFKRSGSCSIWDCRPANQVVETAYRLLEDKSFGSYNFFLNNCEDFAVYCKTGKAMSNQTAGLFGFNLVGAVGYHATKEIYEAVTN >KJB26045 pep chromosome:Graimondii2_0_v6:4:55682705:55683620:1 gene:B456_004G222300 transcript:KJB26045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLYQLSLLQACKHRYFYLHFSILAREAHYMSLVLSSNLIFFERKIIFNVSREAQKFDFLISFFFFLLVSFQRSNNFVSASVLHYRIPYSSIHCL >KJB25939 pep chromosome:Graimondii2_0_v6:4:55036767:55040167:-1 gene:B456_004G217200 transcript:KJB25939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLSLFYMSYKPLYFLPLLPRFTSSSSLFLCFLFQFSLCFFPSKKIASKSGCKLLYESSETMRLENSKKNKLPWPKTLVKKWFNTKTKAQDFHADDVLYRGVDEDWKHKHKFSKRETFNIKKSKTEKLNKKYSDSQFKDIHDYRVFVATWNVAGKSPPSCLDLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWLALIRKTLNSNPGTRPVTDPLMELDADFDGSMRRKTSFQSMRPSDCDTNSRWGSSESDDETDSPVNMQSFGESFAMQETNNQNGHSRYCLAASKQMVGIFLTVWVKNDLRDNVRDMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKDGDELRRNSDVMEILKKTRFPRVHGVKDEKSPQTILDHDRIIWLGDLNYRIALSYGYAKALVEMRDWKALLENDQLRIEQRQGRVFEGWSEGKIYFPPTYKYSYNSDRYAVEVRHPKEKRRTPAWCDRILWYGTGLYQLSYVRGESKFSDHRPVYSVFSAKVESINRSRIRKSMSCSGTRIEVEELLPH >KJB22885 pep chromosome:Graimondii2_0_v6:4:7742568:7745784:-1 gene:B456_004G071700 transcript:KJB22885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPGEFPVNPPMRPASQIEVQPLTAVQSKPIPPEDLLSTAKRRRDEDDPTAAYDTGESAPKRQAKAQDVIFRIVVPSRQIGKVIGKGGHRIQKIREDTKATIKIADAIVRHEERVIIISSKDCENMVSDAENALQQIATLILKEDDGSAEAAKVGAVSAGHVAANTIRLLIAGSQAGGLIGMSGQNIEKLRTSSGASITILAPNQLPLCASAHESDRVVQISGDVPAVLKALAEVGYQLRENPPRQVISISPAYNFNLLRPTLPQPFVDPTSADYVTFEMVVSETYIGGLIGRCGANISRIRIESGATIKVYGGKGEQNVRHIQFSGSSQQVALAKQRVDECIYSQMIQQAGTQPPGLQM >KJB21925 pep chromosome:Graimondii2_0_v6:4:1644250:1646042:1 gene:B456_004G022000 transcript:KJB21925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSHDLAIEILRGLSVKDLLRFQCVSKLWCSSINDSYFIKLHLSDSLKTITNHSLILRKSGYDFVSVNVYDSPKTTQRLNHPLGEQKKLLKILGSCNGLLALIDDDNRIFLWNPSTRKSQVLPSTEIDFSSASSFFPRSTYYGFGYDPISDDYKLVRMVQLHGNNKGYLHSEAKVYSLRSNCWRRIKDFCFYLILHRKFGILANNALHWMVFKTPQSWKQNLVGFDLGTEEFRFLELPDLCLDKLFCYDIKAMGGYNCLTATFRDSNDVVAEVWIMKEYGVKESWVKLISWNQPHLLSCFPSVVVVPLAFSKNGDKVLFSSDVHTFVWYDLGSKKVEKVGIRDVPIIHDVDLYVQSLVPLNSNALMINNEIPPQG >KJB24471 pep chromosome:Graimondii2_0_v6:4:41418939:41419701:1 gene:B456_004G147000 transcript:KJB24471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKWENIGLRKSLTTVQGLKKDFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVLTFLVQAGIVKKENIKIHGF >KJB23634 pep chromosome:Graimondii2_0_v6:4:22121707:22129038:1 gene:B456_004G108100 transcript:KJB23634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLVGLLFGLVAGLAIVVLFVRSENSRSNLRTKLATTVAAFARMTVEDSRKILPAEFYPSWVVFSQRQKLTWLNQHLTKIWPCVNEAASDLIKASVEPVLEQYRPVILSSLKFSKFTLGTVAPQFTGVSIVEDGADSVTMVLAIKTYLGVSLPVQVKDIGFTGVFRLIFKPLVNEFPCFGAVCYSLRKKKKLDFTLKVIGGDISTIPGLADAIESTIRDAIEDSIMWPVRKIVPILPGDYSDLELKPVGMLEVKLIQARDLTNKDIIGKSDPYAVLFVRPLPDRTKKSKIINNDLNPIWNEHYEFIIEDATTQHLVVRIYDDEGVQASEFIGCAQVLLSELEPGKVKDVWLNLVKDLEIQRDSKYRGQVHLELLYCPFGMENSFTNPFSSDFSMTSLERVLKNGANGTDDIENEKAVTHKKREIIIRGVLSVTVISAEDLPIVDLMGKADPYVVLTMKKSELKNKTRVVNDSLNPVWNQTFDFVVEDGLHDMLILEVWDHDTFGKDYMGRCILTLTRVILEGEYKDCLPLEGAKSGKLNINLKWMPQPIFRDS >KJB26779 pep chromosome:Graimondii2_0_v6:4:59495382:59499341:1 gene:B456_004G259000 transcript:KJB26779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRKLFDTFFGNTEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIRQAKQEFQAIINDPFMSNAIILVFANKQDLKGAMTPMEVSEGLGLFDIKNRKWHIQGTCALRGDGLYEGLDWLSETLKEMRAAGYSSLGASSI >KJB22818 pep chromosome:Graimondii2_0_v6:4:7156666:7157900:1 gene:B456_004G068200 transcript:KJB22818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYYYYSGCPDHYEAHYLDACSVCGKSLHNSDIFMYRGNTPFCSKECRQEQMEIDEAREKKLKSGRSLRKSDSKSSTPNKTVRTGIVTVS >KJB21339 pep chromosome:Graimondii2_0_v6:4:4539698:4540666:1 gene:B456_004G049600 transcript:KJB21339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKRVHVCNGEDEEQEDEKMEQFFALIRNFREAVNRRKNELRQRDEKIRRVDGKQTSWVPTFEWADFADEIEFRRPSTINNKEEKGKHEEEEEGLDLRLTL >KJB26835 pep chromosome:Graimondii2_0_v6:4:59755472:59762587:1 gene:B456_004G262100 transcript:KJB26835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLGRDEDKVDNGFNHEEEEEHGGRKSSNNGAEHEMSVEQIFENREVPPWKKQLTMRAFAVSFVLSILFTFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKLLSKSGLLRQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSERVAKQSGDNGGFKNPSLGWIIGFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPQGAKLARKQVKTLGKFFSFSFLWGFFQWFYTAGDGCGFISFPTFGLKAYENMFFFDFSATYVGVGMICPYIINISVLLGGILSWGLMWPLIENRKGDWFNADLPKKNMHGLQGYQVFIAIALILGDGLYNFVKVFTRTLTGLFYQFRGQQSLPVANQHSSDTSDKLSYDDQRRIQLFLKDQIPTWFSVAGYVTIASISTIVLPFIFPELKWYYVLVIYIFAPTLAFCNAYGTGLTDWSLASTYGKLAIFTIGAWAGPNGGVLAGLIACGVMMNIVATASDLMQDFKTGYLTLASPRSMFVSQVIGTAMGCIVSPCVFWLFYNAFDDLGLPGSQYAAPFGIVYRNMSVLGVQGFSALPKDCLLLCYVFFGAAILINSIKDMLGKKWGSCIPLPMAMAIPFYLGPYFAIDMCVGSLILFVWEKLNKEKAAAFAPAVASGLICGDGIWTLPSSILALAGVQPPICMKFLSRATNARVDNFLNSKS >KJB26683 pep chromosome:Graimondii2_0_v6:4:59181651:59181932:1 gene:B456_004G254800 transcript:KJB26683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQINIQTLFLLFFSLFLLNLPGTNEAVYRMRDLSLGNKQKDSKIMNFNQASMTHLFAKVFPLPPSAPSRRHDDASHGSVALSKSLAPMTA >KJB21340 pep chromosome:Graimondii2_0_v6:4:5354170:5354853:1 gene:B456_004G056200 transcript:KJB21340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPQLQSSNQFLCAHSLTHTIQQLTSQVQATTLTALNVALPNTNKLHQSPFTSSLCNAVHHPKGLKSVSLL >KJB24743 pep chromosome:Graimondii2_0_v6:4:44786296:44789825:-1 gene:B456_004G158700 transcript:KJB24743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative recombination initiation defects 3 [Source:Projected from Arabidopsis thaliana (AT1G01690) UniProtKB/TrEMBL;Acc:F4HU48] MKLKINKACDLGSISVFPPHTRRSSLPPSVPQSSQLRSQPSQQSFSQGISSQHALFSQISQSSLDEIVTTDQRFGSQERENTASKFSCLAPTNFTREDSQVPISRSSTNLIRKWNPVSAPEHRCQTSEELEHRLSVIETSLNRVGMILDSVQSDIMQVNKGTKELLLEMEGMRQRSIAEDTSLQLMIKGQEDIKASLDGSMKAVSDQLNNDKYRDKLQQIFLVLSALPEQMEASLIKVRNELCNTFTNEIKAIDCKTLSQKAPVAAAILPKSTGCSVTPRTKPLAVKKQAVPPKIYEQNTVAAEVEKGGWKSVKMKQCATNERASCKENDKRKGVSSVEQETFRILIESDEEIDAGFSCLLNDKQTDDAMNILIEEAKEETERILRKARRRKRKPLNPIIIN >KJB25762 pep chromosome:Graimondii2_0_v6:4:53885230:53886245:1 gene:B456_004G207500 transcript:KJB25762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGDNPRLKEKKDDKGTRSNSLYLVLRFLRAVLREVKGCFRWSTLSLVVSALSANESSPASAPLC >KJB21798 pep chromosome:Graimondii2_0_v6:4:1005147:1006823:-1 gene:B456_004G014100 transcript:KJB21798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLSTLSLRLPTSSNPTHPSHFKAPSLQFPLRSLTPPNLTHRSTALRATAAPEKIEKLGTEISNLTLEEARTLVDYLQEKLGVSAAAFAPAAVPVAAPGGAGAEEAVVEEKTEFDVVIEEVPSNARIAVIKSVRALTNLALKEAKELIEGLPKKFKEGVSKEEADDAKKQLEEAGAKVSIA >KJB24809 pep chromosome:Graimondii2_0_v6:4:45244225:45249410:-1 gene:B456_004G161800 transcript:KJB24809 gene_biotype:protein_coding transcript_biotype:protein_coding description:secretory 1A [Source:Projected from Arabidopsis thaliana (AT1G02010) TAIR;Acc:AT1G02010] MMTYSDSESSHGGGKEYKVFRQFSRDRLLYEMLGESTSGEGSKSSWKVLIMDKVTVKVMSHSCKMADITDQGVSLVEDIFRRRQPLPSMDVIYFIQPTKENIVMFLSDMSGREPLYKKAFVFFSSPVSKDFINNIKSDISVLPRIGALREMNLEYFPVDSQAFITDHDSALVELYSEEAENSPYFEICLYTMASRLATVFASMKEFPYVRYRAPREQDGSPAATRSLIPFKLAEILWKCLEKYKSIPNYPQTETCDLLILDRSVDQIAPVIHEWTYDAMCHDLLNMDGNKYVVELPGKHGGPPVEKEVILDDNDPVWLELRHAHIADASERLHDKMTTFKSKNKAANINRDGELSTRELQKIVQALPQYNETVEKLTLHVEIAGTINECIRDMRLRDLGQLEQDLVFGDAAAKDVINLLRSLQDASTENKLRLLMIYAIVYPEKFEGDKATKLMQLAKLTPEDMKAINNMKYLGGSPQSKKSSSGFSLKFDGGQKTKHAARKDRTGEEEETWQLFRFYPMLEELLENLNKGQLPKDEYACMNEPAKDSKSQRSTNSTAPNGRRPAHSMRSRRTPAWARGHCSDDGYSSDSVLKNVVPDFRRMGQRIFVFIIGGATRSELRACHKLTAKLRREVILGSTSFEDPPQYISKLQLLSEKDILKTPPKPHNWS >KJB24808 pep chromosome:Graimondii2_0_v6:4:45243950:45249793:-1 gene:B456_004G161800 transcript:KJB24808 gene_biotype:protein_coding transcript_biotype:protein_coding description:secretory 1A [Source:Projected from Arabidopsis thaliana (AT1G02010) TAIR;Acc:AT1G02010] MMTYSDSESSHGGGKEYKVFRQFSRDRLLYEMLGESTSGEGSKSSWKVLIMDKVTVKVMSHSCKMADITDQGVSLVEDIFRRRQPLPSMDVIYFIQPTKENIVMFLSDMSGREPLYKKAFVFFSSPVSKDFINNIKSDISVLPRIGALREMNLEYFPVDSQAFITDHDSALVELYSEEAENSPYFEICLYTMASRLATVFASMKEFPYVRYRAPREQDGSPAATRSLIPFKLAEILWKCLEKYKSIPNYPQTETCDLLILDRSVDQIAPVIHEWTYDAMCHDLLNMDGNKYVVELPGKHGGPPVEKEVILDDNDPVWLELRHAHIADASERLHDKMTTFKSKNKAANINRDGELSTRELQKIVQALPQYNETVEKLTLHVEIAGTINECIRDMRLRDLGQLEQDLVFGDAAAKDVINLLRSLQDASTENKLRLLMIYAIVYPEKFEGDKATKLMQLAKLTPEDMKAINNMKYLGGSPQSKKSSSGFSLKFDGGQKTKHAARKDRTGEEEETWQLFRFYPMLEELLENLNKGQLPKDEYACMNEPAKDSKSQRSTNSTAPNGRRPAHSMRSRRTPAWARGHCSDDGYSSDSVLKNVVPDFRRMGQRIFVFIIGGATRSEKLQLLSEKDILKTPPKPHNWS >KJB24875 pep chromosome:Graimondii2_0_v6:4:46152343:46152914:-1 gene:B456_004G165700 transcript:KJB24875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDQSESNSVISEDLAFLDSISQHILADDSEASFTLLDDVVSFDWQPLYGGATSSLLRNPLNVGPPQPVEVKASQLEEEAAVGSGKRVNYRGVRRRPWGKNWLGTYQTPEDAALAYDKAAFKIRGSKAKLNFPHLIGSSNVEPVRVGPRRRSPSLKSSSSSFSIVDSSSSTMPKP >KJB26881 pep chromosome:Graimondii2_0_v6:4:60019799:60023244:1 gene:B456_004G264600 transcript:KJB26881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDRDPLVVGRVIGDVLDPFTRSISLRVTYATRDVSNGVELKPSQVVNQPRVDIGGDDLRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPATTGASFGQEVVCYESPRPTVGIHRFVFVLFRQLGRQTVYAPGWRQNFNTRDFAELYNLGLPVAAVYFNCQRESGSGGRRT >KJB26077 pep chromosome:Graimondii2_0_v6:4:55977141:55979393:1 gene:B456_004G225100 transcript:KJB26077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQIFQWLFKVSREQGAQNTTIKDQEDKSKDIIPYKKKRRSKSKKFKPIIFLCNKDIAKACFYSTIYLRRVHSSHTTTPHQPPHNNSKSITKMKKNGLDVGHKSDSSKVIPLSEVAVSPSSMTKKINGDHHQCSNNKEKKDGGGNKPKTMSRMKELLRWAAPGKAERGGKLNQKVSKLRDSGGASKTTVSNSDGRRLSASESPKISFRWDHGSISRISMAASSMRNDEAEACNMISLNSTVIHGLNRCSGRRGNWITTDSEFVVLEL >KJB24544 pep chromosome:Graimondii2_0_v6:4:42599019:42599297:1 gene:B456_004G150100 transcript:KJB24544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHALTAKNKIGFIDGSIEAPSQDKNPTEFTLWNQCNSMILSWLTHSVELNLAEVIVHAKTAHQVWIDLRDQFSQKNAPTIFQVQKSIATIT >KJB22829 pep chromosome:Graimondii2_0_v6:4:7124045:7126074:1 gene:B456_004G067700 transcript:KJB22829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRAAVLRHIRLPVQTLKPTASRAPSQWSLLRLFSSHDDHLTKEEVIDRVLDVVKSFPKVDPSKVTPDVHFQKDLGLDSLDNVEIVMALEEEFKLEIPDKEADKIDSCNLAIEYIYNHPMAG >KJB23471 pep chromosome:Graimondii2_0_v6:4:17670000:17671511:-1 gene:B456_004G100300 transcript:KJB23471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREYWNSFASLLGVLAFCQSLLQLIFPPQLRFLCLKFFNRIFHLFSSYCYFDITEIDGVNTNELYNAVQLYLSSFVSINGSRLSLTRALNSSAITFGLSNNDCIVDTFSGVTVLWEHVVIQRQSQTFSWRPLPEEKRGFTLRIKKKNKSLILDSYLDYIMERSNEIRRKNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMHPQKKQEIMDDLEDFANGQSFYQKTGRAWKRGYLLYGPPGTGKSSMIAAMANYLSYDIYDLELTEVHNNSELRTLLMKTSSKSIIVIEDIDCSVNLTNRKKNNKRNYCDPEVRCGSGSACGGEDGGNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIYMSYCSYPALKILLKNYLGYEESDLDYHVLKELSDVVDKAEMTPADISEVLIKNRNYKQKAVTELLEAMKTRADRNFKCGSLRDKISDEEEQEKRALETPNEGSEFEEPCKEGENKEKKKS >KJB26973 pep chromosome:Graimondii2_0_v6:4:61317641:61318619:1 gene:B456_004G281600 transcript:KJB26973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPNSESELITRSNKSYDGKQRSGTDPVPEKRRPRDSISKHPVYRGVRMRAWGKWVSEIREPRKKSRIWLGTYSTPEMAARAHDVAALSIKGNSAILNFPELAELLPRPVSNSPRDVQAAAAKAAAMEFLSNNTNNNVDATTLFLSSSSSSSMNMDDVSTPEELSQIVELPSLGTSYESVESGTEFVCMDPVDGWLLNPSGMPWYDEDNNGYFGDEIPMQMQENTITNGFSPLLWNH >KJB21609 pep chromosome:Graimondii2_0_v6:4:190341:190559:-1 gene:B456_004G002800 transcript:KJB21609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAANESSIKEMIERAKVEAGEEDCMICLEELKVGFEASRLPCSHVFHGDCMEKWLKQSHYCPICRFEMPTD >KJB22687 pep chromosome:Graimondii2_0_v6:4:5989312:5996513:1 gene:B456_004G060900 transcript:KJB22687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFGKGISFAQDKKIESTGDSATTLTDQTMCDCSSNPKDGSVAENFVDHQIQTPNQLNKEEMHRSPLAGSIHSLSAKRQQLLLDTTNSPGHALFVTPSPKQSGSFLSQGSIKQGGRVPSVLKSNIKLKILECTPLASAFNDGILKSKIRLSDSLSSRALACSFSKIMEPSEDFQCQQVTAPAINLKEQLSGVGLKQGEIDGPVTPKNISYLSQDGGTTELLEDREHYEKYAERMGKTTAFNSLPMGITLEIGKDKRDLDTAYKLVSPLMNRSKEKLRSSTEHHNSLSGNLKPHDQDNSIIIVSREEPNSLETVPSSNYLTATAENRTQSSLPLIKANHLTGTSIVKKLNEGESNGLDLLNASENSRNFPEGFTLKLQSRSPEKNIETAIEITQFSGSFIQEQIKVSSSYASPDAPRSKNDQLPLKSGAMIAQSPFRKKQTQSPTSKEPSCRPYRKEMHRGLCSDNMQLPVAKDVLSLSCHSTIQTIDNHLQRPVQDPILADMHPADKNKCIQQSPNFREIGEKNMEHMLEYSNGSNIGNKGIEGGKTLMNMTDISSKLSADTKQLLSPSFDKLNIKVINMLEDILLHQQKVNKLEMLCSEIQSKLAETRLLLYRLIYEKAKLQLMQVKRERLLNQVQLLRTGVRESQMLKLNYVKHPSVSAGRDTQLDNNTCSVRSGENLEGTNDKVRTMKHEADALEKKINNLTKSLCPHFKIKGELSCADTIDLLNDHLKKRTCWGYIRQDMQLWEVDVLQNRNGYHNVILNYHEFLSQSLTLDTSPNSSIFVGNKLNDINISKNFPNMDACSAFAFVFNHEYTKKYVGPKCLAQETQRTSSLLRNLLDVIEEVQIARLEIRGLILTSFRSPSAKQLDLQLAFIDFESGVKLIMSLDLTCLNCGVYPSEILPHHFQTSSTRTDDLHCPLSIEIKAAISNLRAGYSRIIRLCRCVTQVLQSSGR >KJB27365 pep chromosome:Graimondii2_0_v6:4:62161980:62162253:1 gene:B456_004G2940002 transcript:KJB27365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNRDSVLKALNGHSDHGRIEMKKILPKISFTLSLQMRSKLLLLSQQYTF >KJB21835 pep chromosome:Graimondii2_0_v6:4:1207911:1208289:-1 gene:B456_004G016900 transcript:KJB21835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQNCICGLTLWICVLPACELGSEASDFSIRILLMFMMELFVELAFDDCDYRQFFINLIVLLMFGHLYTLFLSLFYSSNSLEYTHTKILYILRLIAITAKLIAADMNPQVLVGLYVQ >KJB26395 pep chromosome:Graimondii2_0_v6:4:57781776:57782810:-1 gene:B456_004G239700 transcript:KJB26395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKPQIHKEAHGLEMGHRNPTIACRTPLLPSLGRAPRNVGVAEGKGNRCTSRSHVTPLERDHAVTTPKKNHHQFLRSRTEPTMKEEPVAIKAASTTSRIG >KJB21917 pep chromosome:Graimondii2_0_v6:4:1581249:1582453:1 gene:B456_004G021100 transcript:KJB21917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSHDMIIEILGCLSVKDLLRFKCVSKLWCSWIEDPYFIKLHLSYSLKTNTNHSLILHHRKFQFLSVNYDSPKTTRRLEQPFGEQKNPIQILGSCNGLLAVEYDYNRIFLWNLSTRKYQVLPSTKIDFSSSSICYGRSIYYGFGYDLVSDDYKLVRMVQLLGENDEYFHSEAKVYSLRSNCWRRIKDFCFYLIFYRELGFLANNVLHWMVSKTPESSNRNLVGFDLRSEEFRVVELPDFCLDENFYFDVKAMGGYLCLTATHRELNDVVVDVWIMKEYGVKESWVKLMSSTQPDLLPGSPFEVPLAFSKNGNKVLFHNKYSRGNRDSLVWYDLGSKRVEKVAIEGVPVVYDVYLYVESLVPLNDRRPRDVFNLQL >KJB22685 pep chromosome:Graimondii2_0_v6:4:5922030:5922977:1 gene:B456_004G060700 transcript:KJB22685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHFPIRAQSARNSAIYAERITEEPPPQINNKHSQTTVTLMYQTNMAGYWRNVTITWCKNVMNYSLNIMVNNTEGDNVHSTCKIELKPWHFWSRKGYKSFEVEGKPVDVYWDLCSAKFTSGPEPVSDYYVALVSDEEVVLLLGDYRKKAYKRTKSRPALVEPVLFYKKENVFAKKSFATRAKFYQKRKEHDIVVESSTTGLKDPEMWISMDGVVLIHVKNLQWKFRGNQTVLVDKQPVQVMWDVHDWFFSSPGTGHGLFIFKPIPAELADCSDKEGSSHGGDSDTSTGSLYYSTRSPTVTTAEFSLFLYAWKIE >KJB22476 pep chromosome:Graimondii2_0_v6:4:4503942:4505252:-1 gene:B456_004G049100 transcript:KJB22476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLCSKFHIIALLSFMLGCSIVASASLKVGFYTQTCPSAETIVRKAVNKAVSLNPGIAAGLIRMYFHDCFVRGCDASVLLRSVPGNPPAEMDHPANNPSLRGFEVIDEAKAQIEAQCPGTVSCADIIAFAARDSTYKAGGIYYAIPAGRRDGRVSIIDEVTQNLPPPSFNAQQIAQLFARKGMSVDEMVTLSGAHSIGVSHCSSISNRLYSFNATHAQDPSLDPNYAAFLKTKCPPPTSAAGAGGDPTTVPLDSVTPNRLDNKYYTELRRRRGLLTSDQTLMDSSLTSALVLNNVKHGAAWAKKFGKAMVHMGSLDVITGAQGEIRRICSVPN >KJB25974 pep chromosome:Graimondii2_0_v6:4:55253149:55254368:-1 gene:B456_004G219000 transcript:KJB25974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISATSSITIAASLSYKPSSLKLTSPIAIGLPTMAKKGKVMCSMKEEEKNSSSVGMSAASLLAAAVMSSSPAMALVDERMSTEGTGLPFGLSNNLLGWILFGVFGLIWALYFVYTSSLEEDEESGLSL >KJB27014 pep chromosome:Graimondii2_0_v6:4:60688141:60689176:1 gene:B456_004G272200 transcript:KJB27014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASQRALVSMAICLLSSLVGFAYAGSLDNKFVVLGKIYCDTCRVEFETKLSEPIPGAKVKLECRKQGDETVTYSHEAETDANGVYKIPVEGNHEEQICEVSTVSSPRADCNEKMEAFEKAQVELTSDDGLAEPSRKANNLGFKKKVAVPGCAQVLKEMGFPLTE >KJB25000 pep chromosome:Graimondii2_0_v6:4:47184624:47185494:1 gene:B456_004G171400 transcript:KJB25000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALCVNHPNFRYKNCCSSLQRRETRSRHRPRFLVVSSSHNNDESLERSTSSSKTKKEDKEKRQLLFGRVLGSVQKLGIGLKEKISPQRKGDWKDVMLMSLSFAVYVYMSQKLVCAYCAWMSMLKQSW >KJB21393 pep chromosome:Graimondii2_0_v6:4:52273407:52276470:-1 gene:B456_004G200100 transcript:KJB21393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDWNVMLLMNVPIYWLLKSLVWRRRKKMSSLEKERQDFLSIIEALKEAHSFDRIIWKPCCKRRTFRLI >KJB25633 pep chromosome:Graimondii2_0_v6:4:52722129:52725755:-1 gene:B456_004G201100 transcript:KJB25633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFSHVPPGFRFHPTDEELVDYYLRKKVASKIDFDVIKDVDLYNIEPWDLQELCRIGTEDQNEWYFFSHKDKKYPTGTRTNRATKLGFWKATGRDKPIYSRQSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPQEEGWVICRVFKKRMTTVRKMGEYESSCWYDGQVSFMQELDSPRRIPQPYASYYHRYPCKPELKLQYNSPHDPTFQLPQLENPNVQQYSAASLSCNSVLPDGNYDGKTGSTLQSSTLTQEEHMHPTHQQNLKSFYDSTTEQAVDQVTDWRVLDKFVASQLSHEEASKESNYCNADTSFYVAAQMNLASNESKRPEIAHQEYASTSTSSCQIDLWK >KJB27011 pep chromosome:Graimondii2_0_v6:4:60665497:60669265:1 gene:B456_004G271800 transcript:KJB27011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSSFKKSSCLSRRLSRSLRSYTKTASSGTISTAASQPEFNLASAEEEEEGGESWSTMLPELLSDILERVEASEDQWPSRQNVVASACVCKKWREVTREIVKASPASGKITFPSCLKQPGPRNLPNQCIITRNKKNSTFYLYLALSPSFTDKGKFLLAARRYRQGAHIEYIISLDADDLSQGSNAYVGKLSSDFLGTNFTIFDSQPPHSGAKPGSSRASRRFASKQISPQVPAGNFKVGQLSYKFNLLKSRGPRRMVCSIKCPLPEENTAEYKHLDNGKMKMPANTTSSHTVLKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLSATVDPNSGGEVDNETVVLQFGKVGDHTFTMDYRQPLSAFLAFAICLTSFGTKLACE >KJB23769 pep chromosome:Graimondii2_0_v6:4:26638714:26640369:1 gene:B456_004G114200 transcript:KJB23769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAMVYTFLAIAFIIFFLSPSKRHDHHRMCLNRRLAHKVSFDPLVSRIERSTDDKGLSKNTTYVPEVDDAQEYFQDDGVLNTTLRLMVLFPLLDNAPKDGYISAKELEAWISQQTIDRLSYRTYKAMFWHDKDGDGAISFSEYLPQFSTKDIEKNSMAHGDAGWWMEQFKNADVDSSGNLDFNECKDFLHPEDSDNEEIQRWLLRDKMKRIDDDHDGKLNFSEFLLHVYNIYKSYADFENSPALTPTAEQMFAQLDTDKDKKLSVEELRPILRYLHPGELFYAKYFTRYLMREADDNKDGYLTLQEMLNHESIFYNSLYEDDAVYDDDDDDDDYHDDL >KJB26254 pep chromosome:Graimondii2_0_v6:4:57048850:57053845:1 gene:B456_004G233400 transcript:KJB26254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFGLPKTDHLEPTIEPQLKPIQLVETLADLYRRLGTCLESEKSLICIEQYLILSSLGDPKLLRRCLRAARQHAFDVHSKVVLSALLRYERREDEHDGVSPMDCCSFILECPKATLESGCDLNSIYDHCKCYQECTNSADAQISKEDELLTLEEDSDISFCVGNEEIDCVRSKIAALSTPFKAMLCGSFIESKRSKIDFSQNGISVELMKAVDLYSRTKRVDMFSPKIVLELLSFAERFCCEEMKSACDIHLATFVNCMEDVLVLIEYGLEDRANVLVASCLQVLLRELPSSLHSPKVMRIFCSSEARERLASAGHASFLLYYFLSQVVMEEDKVSNTTVMLLERLKECATLKWQKALALHQLGCVWLERLEYKSAQCYFEAATEAGHVYSLAGLARSRYKQGQQHSAYKLMNTLISEYKAVGWMYQERSLYNTGENKIADLNTATELDPTLSFPYKYRAVSKAEKKQTKDAISEIDRIIQFKLAPDCLELRAWFFIAIEDYGSALRDIRAMLTLEPSYKMFNVRLSGDDLIDLLNHKVQQGSQADCWLQLYDQWSSIDDIGSLAIIHQMLVNDPWKSLLRFRQSLLLLRLNCKKAAMRCLQLACNLSSSEHEKLIYEGWILYDTGHREEALAKAEKSILIQRSFEAFFLKAYTLSDSNLDPESSSYVIELLEEAIRCPSDGLRKGQALNNLGSKYVDSGKLDQAANCYMNALDIKHTKAHQGLARVYSLRNQQKAAYAELSKLIEKAHNNASAYENRSEYCDSEMAKKDLNMATELDPLRTYPYSYRAAVLMDDQKETEAIEELSKAIAFKPDLQMLHLRAAFYESIGNLNSALCDCEAALCLEPDHIDTLDLYNRARDQAIHPQQI >KJB22504 pep chromosome:Graimondii2_0_v6:4:4692999:4694468:1 gene:B456_004G051000 transcript:KJB22504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKNPRIFIVVTSTYVRTFQVLYLTGVMHSLMLVPYDLVWIVGEAGGVSNEKASLIAKPSLKIIHVGFNQRMPNSWEERHKLESKMRLRALRSIREKKSDGIVMFAGDSNMFSMELFDEIQNVKWFGAVSVGMLTHSVNTDEMADRKKDEKENPRMPIQCPACNASDMLAGWHTFKTLPFVGKSAVYIDDRATVLPKKLEWSGFVLNSRLLWKDSSDKSEWIKDIDMLNGDIESPLGLVNDPSVVEPLGNCDRQVLLWWLRVEARADSKFPPIWIIDPPLEITVSSKRTPWRDAPPELPANEKPTMGIQDPIVKHSTKRTSRSKHRSKRKPEPKTDTQVSTRHSEQN >KJB21927 pep chromosome:Graimondii2_0_v6:4:1655636:1661858:1 gene:B456_004G022200 transcript:KJB21927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICMSSNKQKLNQLKHGQSRRKKSPEIRTETQGSNEIKTQTVVPLTPARDVKDLRQNPGYDNVCIFTYEETRLATNEFRPDHIIGEGGFGVVYKGVIDEKVKPGYPTTVVAVKELNPDGFQGDREWLAEVNYLGQFSHPNLVKLIGYCCEGDHRLLVYEYMESGSLERHLFRRTDCSLTWSKRMRIALGAAKGLAFLHGAGRPVIYRDFKSSNVLLDADFNAKLSDFGLAKDGPMGDQTHVSTRVMGTHGYAAPEYVMTGHLTARSDVYGFGVVLLELLIGRRVMDKGKLGPERNLVEWARPLLNHKKKLIKILDPKMEGQFSPRSALKVAHLAYLCLSENPKGRPLMSQVVECLETIQVKDINQEEALLLKGSRHGVGTVNELPRKSPRTTTRKRNPNRSESYKDGCKHRNEPGKGRSQSEPPTKVHLSEPSSSEAEDKVENVAEVSSKRIT >KJB26294 pep chromosome:Graimondii2_0_v6:4:57398277:57403030:-1 gene:B456_004G235800 transcript:KJB26294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-4 [Source:Projected from Arabidopsis thaliana (AT1G09270) UniProtKB/Swiss-Prot;Acc:O80480] MSLRPGSRTEVRKKSYKTGVDADEARRRREDNLVEIRKNKREDNLLKKRREGLLLQSQQPLLDAVQNAAAIEKRLESIPLMVQGVWSEDPASQLEATTQFRKLLSIERSPPIDEVIKAGVVPRFVEFLGRQDVPQLQFEAAWALTNVASGTSEHTRVVIEHGAVPMFVQLLSSASDDVREQAVWALGNVAGDSPSCRDLVLGNGALMPLLAQLNERSKLSMLRNATWTLSNFCRGKPPTPFEQVKPALPVLRELIYLNDEEVLTDACWALSYLSDGPNDKIQAVIEAGVCPRLVELLGHPSPTVLIPALRTVGNIVTGDDNQTQFVIDNKVLPHLYQLLVQNHKKSIKKEACWTISNITAGNKAQIQAVIEANIILPLVHLLQLAEFDIKKEAAWAISNATSGGSHEQIQFLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKEMGMNGGINLYAQMIDECDGLDKIENLQTHDNHEIYEKAVKILERYWAEEEEDEQNIQDGGNENQQGFNFGVNQQPSAPPGGFKFG >KJB26323 pep chromosome:Graimondii2_0_v6:4:57557159:57559341:1 gene:B456_004G237100 transcript:KJB26323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFDWFYGILASLGLWHKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLGDSNVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >KJB22684 pep chromosome:Graimondii2_0_v6:4:5881368:5889472:-1 gene:B456_004G060600 transcript:KJB22684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGGRDRSRRDHPPSGISSRANAPPSRHLWVGNLSHSILEPDLTDHFLQFGELESVAFQPGRSYAFINFMNEEEAISAMKALQGFPVAGNPLRIEFAKADKSSTPSRDEDYLPRLDEQRSKVRGSPFSPRDNRARRGSPEHFSLDKSKVNDRSGDPSEVLWIGFPALLKVDEGILRKAFSPFGEIEKITVFPGRSYAFVRFRRLSSACRAKETLQGKLFGNPRVHICFAKSEGGSSNSGRVSVNAPNSPRFRSNGRLGSSENFLHDRSFAETEDASIRSPYMSNYDSGDVDVYSFKRKGSSWSGGSTYEPWRFGEGEPDPRVPQDMYEHSKSPMRYHDYPPKLPQKSAFYEEPWDMPEDHYHVHGAKKLRTGSFPPEKELPEYSLSDLEQEKRAFPRMLSDVPQPEAFDKNFEPAALGCKQIPDRPTSFTPTRGERNDHWKPSYDGFPVDSGSLQSNIVERKRFTQEMDQPSLKEWKWEGTIAKGGTPVCRARCFPVGKVLDMMLPEFLDCTARTGLDMLAKHYYQASSAWVVFFVPESDADMGFYNEFMNYLGEKQRAAVAKLDDMTTLFLVPPSDFSEKVLKVPGKLSISGVVLRLEHSGSTLGSGHLNERSDANSLPFHGDASYAKPSTASGSFPSMTYPELSRQGIKDISYPGNVATSTPPVSLSASAHSVGNISDMYNEQRRDYAPEQNAMFGAGWSSHDQQHPVSVTRNAPSQVPSFDPAIQGNQSFMPRAVQETYTGTAGSSGIPLPGNSKTSMLEFKSSVPLSMPSSALQPEQLAQLASSLIGQQGQVGNAPNASMGESFRHANTMDHSDMMRQSQGYGLQNNQPVPELSTSQYSQLQQLQQQQTSNSAAAISQAPQRSQQLQGTGLPEEGDGDPQKRLQATLQLAAALLQQIQQGKGT >KJB25027 pep chromosome:Graimondii2_0_v6:4:47388076:47389422:1 gene:B456_004G172800 transcript:KJB25027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLFFTIAFSAAPLTLYVPPVRSLNLFVETMEDLARESRVYTHRLYPRARFVWSRLLDCMLCNLSLD >KJB25475 pep chromosome:Graimondii2_0_v6:4:51186466:51189276:-1 gene:B456_004G193600 transcript:KJB25475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RNRHYTPSAPIRGILAARTLPRFILQAQGFISGIGSSAYVASPLLFSPLTALFLSERAPFNFPGFSIKCVAFASMIAFVQSLIIRAFPPISSERVSNLH >KJB26816 pep chromosome:Graimondii2_0_v6:4:59659858:59663460:-1 gene:B456_004G261300 transcript:KJB26816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEVSEMGSGVGGGGGGNKYGSLNLTDLPQDCIATVISFTSPRDACRLSLVSTTFKSASESDAVWESFLPSDHQASIPSSLSFSSKKELYLSLCENHILIDGGRKSLQLERVSGKKVYMLSARDLFIVWGDTPTYWRWISIPESRFEEVAELINVCWLEIRGKIAISMLSPMTRYKACLVFKATARAYGFDFQPAEVSVSIAGTEGCKRTVYLDAARGLRQRYQIVPRRIGLFSRSRFLGLQAPVPLAPAGGDDQYPKTRGDGWLEIELGEFFNDGSIDGELEMSVMEVEGGHWKGGLIVQGIEIRPCL >KJB25982 pep chromosome:Graimondii2_0_v6:4:55430225:55431326:1 gene:B456_004G219800 transcript:KJB25982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKKVPNEKQIIGLENRNQEEKEPIGEGGLVSDAQKQGNFKSVLSNQEKDVEENQTKKNVERKIYCLLHRLINPNEIVISSIQRGEMNLDILMIQKDLTLRELMKKGILIIDPVRLLVKNDGQFILYQTIILTKNKYLDSDKLMFFLWPNYRLEDLACMNRYWFNTNNGSRFSMIRIRMYPSLKIR >KJB24370 pep chromosome:Graimondii2_0_v6:4:41665117:41666411:1 gene:B456_004G148000 transcript:KJB24370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMVSAYFFVFLALFGMVASDPDHLQDLCVANKAAGIKVNGFPCKEEANVTEADFFFSGLANPGVINNSVGSVVTGANVEKIPGLNTLGVSLARIDYEPGGLNPPHTHPRATEIIFVLDGELDVGFITTSNKLISKSVKKGDIFVFPKGLVHFQKNNGEKPASVIAGFNSQLPGTQSIAATLFTSTPPVPNNVLTKAFQIGTKEVDKIKNKLAPKKS >KJB25486 pep chromosome:Graimondii2_0_v6:4:51285307:51287370:-1 gene:B456_004G194300 transcript:KJB25486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHYLRNRRNQRHFPFPFIENFLPTKHCNWPFSTFTVQTPNANPNFEAVPSNFHAHSPQRPNLLSTHNLTSTHPAAPSLDKSPPFDQNSLNDIISSNKIITSYIRSGDLSSALRVFGNMTVKTTVTWNAMLAGYSRKPGKLTEAQKLFDQIPEKDTVSYNIMLAGYVQNSDMETVWSFFNSMPSKDIASWNTMISGFAQKGAMAKARELFLAMPAKNSVTWSAMISGYVECGALELAEEFFEFAAVKSVVAWTAMISGYLKFGKIKKAERLFKEMPVKNLVTWNAMIAGYVENCRAEDGLKIFRIMLRYGIRPNHSSLSSVLLGCSELSALQLGKQVHQLVCKSLLRDDTTACTSLISMYCKCGVLDDAWKLFLETKSKDIVSWNAMISGYAQHGAGEKALHLFEEMRGEGIKPDWITFVAVLLACNHAGLVDIGVRYFDSMVKDYGVEPRPDHYTCMVDLLGRAGKLVEAVDLIKKMPFKPHSAIFGTLLGACRIHKNLELAEFAAQNLLNLDPQSAAGYVQLANVYAATNKWDHVARVRRSMKDNKVVKTPGYSWIEIKNTVHEFRSGDRVHPELASIHEKLNELEKKMKLAGYVPDLESALHDVGEEQKEQLLLWHSEKLAIAFGLIVVPYGTPIRVFKNLRVCGDCHRAIKYISAIERREIILRDTVRFHHFMGGCCSCGDYW >KJB24107 pep chromosome:Graimondii2_0_v6:4:34219244:34220504:1 gene:B456_004G1286002 transcript:KJB24107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPNPPFEIDLERVVDDFIFICFFVGNDFLPHMPTLEIREDAINLLMAVYKKEFRSFGGYLTDGSKPNLSRVEHFIQAVGSYEDKIFNKRAQLHQ >KJB26309 pep chromosome:Graimondii2_0_v6:4:57480166:57482337:-1 gene:B456_004G236500 transcript:KJB26309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEKDPLVQKVCELYEQISSLESLKPCKDVNMLFTQLVVTCMPPSPIDVTKLCKSIQDIRCKLIRLCGEAEGHLESHFSTILGSYDNPLHHLHIFPYYSNYLKLSQLEFNILTKHCSNLPTKVAFVGSGPLPLTSIVLASFHLTTTSFHNYDIDPSANSMALQLVSSDPDLSQRMFFHTSDIMDITNDLKDYDVVFLAALVGMDKETKVRVIDHVAEYMAPGALLMLRSAHGARAFLYPVVDPCDLRGFEVLSIFHPTDEVINSVVIARKIPITKQCSVEYPLAPTKLPNKCFDIEMFNPLNHVNLLEELDIEEQLS >KJB27159 pep chromosome:Graimondii2_0_v6:4:61297592:61300057:1 gene:B456_004G281300 transcript:KJB27159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFWRVLIILPLFFAYVNGEHFTYPSRHPGKAELAGFKGPDSLATDGGGFFSGPLVVDNDVTATSGNQGGTTEVTVAKPGDDTLEASKGDDGIRYANLIEAATTFKGSWELFLENGGVSAMHLILLPKINQALVFDATVWKISKIKLPGPPCRHVEGTNEEDCFAHSVLMDIETGKLRPLRLNYDTWCSSGGLDINGRLVSTGGFNNGSDTVRILDLCDTCDWKEYPGALANGRWYATQVTLGDGKFMVFGGRDFPTYEFVPPEGKKNTHQDVVDFNFLVETHDPVENNLYPFVYLSTDGNIFIFANNRSVILNPKTHKIIHEFPVLPNGARNYPASGSACLLPIILKPNEDRSVIPAEILICGGAPHDAFEKADLQRPKVFLPGSCDCARLDFTKRDSKWNIFNMPSARLLGDMAVLPTGDVLIVNGAKTGSAGWDDAREPNLNPVLYKFQTDGTSPKFTVLNPSNIPRMYHSSFAVLPDAKVLIAGSNTNPGYLDDALFPTEVRVEKFSPHYLDPNLAMFRQEIIAEKSNNKLKYGQKFTVQIRGSGVIDQQKLQVTIYSPPFVTHGICMNQRLIQLGILEFNKDVIPNTNNIVLQAPMNGNIAQPGYYMLFVNYNGVPCLRSIWIQILP >KJB27167 pep chromosome:Graimondii2_0_v6:4:61372986:61375048:1 gene:B456_004G282000 transcript:KJB27167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATRWLKSLFGIKNSKDYLNSGDRKGKKGSRIARSGRDPSGGLCHSVTATPPPNMSPAEVSMWIRSYYNETEKEQNKHAIAVAAATAAAADAAVAAAKAAVAVVRLTSHGRGTMSGHERWAAVKIQTAFRGYLARKALRALKGLVKIQALVRGYLVRKQATIRSHKANRFDIPARKSMQRLYSFNHTTNGIDENSKIVEVVDIDRPKSRSRRNVNASESDLNDEYQPVHRTLSSPFLSRVPARISIPDGRDWGLTGDEYRFCTAQNTPRVISSCGSNSNASVAPPPKSVCSDNWFRHYGNYPNYMANTQSFKAKLRSQSAPKQRPELGPKKRLSLDEMVESRCSLSGVRMQRWCSQV >KJB27166 pep chromosome:Graimondii2_0_v6:4:61372986:61375048:1 gene:B456_004G282000 transcript:KJB27166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATRWLKSLFGIKNSKDYLNSGDRKGKKGSRIARSGRDPSGGLCHSVTATPPPNMSPAEVSMWIRSYYNETEKEQNKHAIAVAAATAAAADAAVAAAKAAVAVVRLTSHGRGTMSGHERWAAVKIQTAFRGYLARKALRALKGLVKIQALVRGYLVRKQATIRSHKANRFDIPARKSMRLYSFNHTTNGIDENSKIVEVVDIDRPKSRSRRNVNASESDLNDEYQPVHRTLSSPFLSRVPARISIPDGRDWGLTGDEYRFCTAQNTPRVISSCGSNSNASVAPPPKSVCSDNWFRHYGNYPNYMANTQSFKAKLRSQSAPKQRPELGPKKRLSLDEMVESRCSLSGVRMQRWCSQV >KJB24090 pep chromosome:Graimondii2_0_v6:4:33571838:33573178:1 gene:B456_004G127400 transcript:KJB24090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSPAVHMSPPLSSGEPQMSSTSTSTCRWRPYSNSNGLEANAAIGLVILFCALICSLALNAAIRCFLHGGGHSRNNQLPLTQQQLEQRKPVPETAAARMVEASTLVYSTGMKLVGAEPECAICLSGFVRERGFKCWASVSMDSMYNASNSGCLFTSLVPLAVLLVFLHLHRRKKLSTNALTTFPNQWRPRGERSSFGCSSS >KJB21610 pep chromosome:Graimondii2_0_v6:4:191010:192326:-1 gene:B456_004G002900 transcript:KJB21610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASYNTVIQEIMGFGLGTADRIYGRDEEGCMICLEEVEVGFKASRMPCSHIEKYKNIVELNISSMASASILYPSDVISHHVHHHGLIQQKRPLPPRHIQIKLIVDINLLRHDPIIDNYSNCFSVQQTLRFGLNILQNRNNLHELLASAFTRLRINMPSASYNTLVQEIMGCGLGIANRTFDSGWYCKVVYLHSTIQALLVESEINQETLMGRALAESRSEFERINNGMVAATESSIKEMIEKVKVEAGDEEKCMICLEEVEVGFEASQMPCSHVFHDDCIKKWLNQSHYCPICRFEMPID >KJB23614 pep chromosome:Graimondii2_0_v6:4:55850308:55850778:-1 gene:B456_004G224100 transcript:KJB23614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFYKNFMFLLVLTTAVTPLIFSSSYERGESHNIRSGELYKTWHVNVTNGMSNNKILFLHCKSAQNDLGIQNLIVGNYSTWKFRPRIFGKTLFWCYMASDNGHAAFDVYWEDENFFYRCNWKFCDWIAKDNGIYLKNIPEGYDEFRHKWEPGRLY >KJB22446 pep chromosome:Graimondii2_0_v6:4:4245930:4246723:-1 gene:B456_004G047800 transcript:KJB22446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWSQFVVAVTILAVGLLSASATARPCKTFFVASYSFSFENPNDPSSSTGFVTVFTEIGQLNVVPPRPKPSDVLSSLRERSKDILSVVVALLFGVGSGALTAATLYLVWTLFSARSDYHRACLEDDESDGELSPKKIGYVTIPTVNVKEVN >KJB25991 pep chromosome:Graimondii2_0_v6:4:55860534:55861791:1 gene:B456_004G2242001 transcript:KJB25991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIQMPPRYFFKNAPKLRDKGKGKIEESSKTQITSKPIKTWYEICHEEDEKSSSSSKSSKNTIIQDAQDPNEIGSQIKKWIESLSQSPEVALAFSQMKEETPLKQIAAEAAKVSKNKEIVLHKPKSLKNVLKETSLQDVFPKEIAVSSQTATQKSSQYFPNKYFEKILYYENILVQTGSVLFKHYTDPKDPNFITHSKAQILKILRPRDWSENPNSPKKFPTKFTTKIDHYPYFTYWDYQMAWYNTFLMNNQHMRHPWLIYFKYGT >KJB27164 pep chromosome:Graimondii2_0_v6:4:61358347:61362315:-1 gene:B456_004G281800 transcript:KJB27164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFAPQPPTKTLKQELLLKIPRCTVHLMDNGDALELGKGEFKLVRVLDDDVPLATIVKVTDDLQWPLTKDEPVVKLDSVHYLFSLPVKDGKPLSYGVTFVGRGHGNSLSSLDSFLKEHSCFAAGVGSTGDKHVDWKAYAPRIEDYNNVLAKAIAGGTGQIVKGIFTCSNAYISQVQKGGETILRQPPGKTHGIISSKSNKSKSEANNNNLKRVRNLSIMTEKLSKTMLDMVGIASGTVMAPLLNSKPGQAFLSMLPGQVLLASLDAVNKVLDAVEVAEKQALSATSTAATRVMTHRYGERAGEATEDALATAGNLASTAWNVFKIRKAFTPKSTATSGLLNNAPKYN >KJB25206 pep chromosome:Graimondii2_0_v6:4:49246293:49247120:-1 gene:B456_004G181300 transcript:KJB25206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLKTLFTSLLLFLLIFASSPRIGSATATADASLAHAAQSHQMRQRMNHGSYRGPRKHLLNPAVDHPLQLPKLSV >KJB26435 pep chromosome:Graimondii2_0_v6:4:57971110:57972657:-1 gene:B456_004G241500 transcript:KJB26435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRSLISSRVLPTVLSWYPNKTQVIRNLFPRKQRKSPVRLLDIRMASDGQQQQVPPQKQDAQPGKEHVMNPIPEYYSTNYQPSNKLQGKVALVTGGDSGIGRAVCHSFALEGATVAFTYVKSQEEKDAQDSQEILRKAKTSDAKDPLAVSADLGYDKNCKQVVDEVVKAFGRIDILVNNAAEQYKASSVEEIDEERLDRVFRTNIYSQFFLARHALKYMKEGSSIINTTSVNAYKGNDKLLDYTATKGAIVAFTRGLSLQLVNRGIRVNAVAPGPIWTPLIPASFDEEETAQFGAQVPMKRPGQPSEVAPCFVFLACNHCSSYMTGQTLHPNGGTVVNG >KJB26798 pep chromosome:Graimondii2_0_v6:4:59631945:59633006:1 gene:B456_004G260800 transcript:KJB26798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAIKDDEVDIVIGALRSDLTIFMNEWRPMFSRFHVIIVKDPDLKEELKIPEGFNLDVYGKPDIDQVVGSSTSILFSGYSCRYFGYLVSRKKYIISVDDDCLPARDPKGFLVDAVAQHISNLTNPATPFFFNTLYDPYAEGADFVRGYPFSLRGGVKCALSCGLWLNLADHDAPTQALKARQRNSRYVDAVMTVPARSLIPISGINIAFEREAVGPALVPALKLAGEGKCRWETMEDIWSGLCVKIVCDHLGLGVKTGLPYIWRTDRGDPIASLKKEWEGVKLMEEVIPFFQSVRLPREATTVEECIIEVANAVKERLGSMDPVFARAAKAMLDWVKLWQSVGSSSSRSSAV >KJB23749 pep chromosome:Graimondii2_0_v6:4:26017151:26018614:-1 gene:B456_004G113200 transcript:KJB23749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTNELTPLWKHVELIEEAKFGGNKKFCCRYCGAVKTGSYTKVKAHLLKIANQGIEYATDKDAVDKECARAFYTSAIPFNFLATSNLADYVPPTFDRLQMTLLTQERTYVDRLLLLIRDTWRKRGTSICLDGWSDLQQRPLINIMPASLSGSMFIMVVDSSDKTKDAEYIASLFIEGIEKIGVENIISHIFWARVVHCLNMALKAICEPFDKSSHYLECKWVKDLVDEVHKVNCFILNHNLSRSIFNRYSNVKLLKVVETRFASNVIVVEYLMKVKEALEKTMMDLDWKGFKVNGKNPVELNVMKIRDLLLSETWWDKIDFLLKEADKDRAILHLIYDKWGSMIEKTKEIIFYCEVQDLIIGHSKIFDAIQKFLEKRLLEVKHLFTT >KJB25586 pep chromosome:Graimondii2_0_v6:4:51913763:51915383:1 gene:B456_004G198100 transcript:KJB25586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSSNAPYDPHCMDDVLKFLEIENPTLDDFTTPEKPFLHPSSPMPHCTTDQIDGNTIDPLEDPFIRDFYNNDPHNSQLAYGNQSETVCGNDVNNSRPLSLWPTDPIPYHCSCCQSLREILHTNDTFLSFVIEGFDVTKLEIHGRLGMICHAVLTVEPGSNTPGPRYQMFDFCKKSIEDVKQFLTQYCIDRSQAGFTMIKDPLSVFYQTLCVGFLRDENLYNVQPSSISGGNQMDQAGNSNNNQEKPAKPSLAVQRERTRNLTLKEIENYFHLPIEKAAKKMEFSATVVKKICRKYGLSRWPHRKIQSMEKKISNWVESLSSNDPKERARAKKEIENLQREIAKFGEGSSKLGDD >KJB27113 pep chromosome:Graimondii2_0_v6:4:61133366:61135251:1 gene:B456_004G278800 transcript:KJB27113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEIIRFNPNKQHYGTTTLITGYALCSSLLAVINKFAITKFNYPALLTALQYLTSSFGVWVLAKFNLLHHDPFKFPIAKKFFPAAIVFYLAIFANTNLLRHANVETSIVFRSLTPLLVAVTDTAFRKQPYPAKLTFVSLFIILGGAVGYVVTDKGFTLVAYLWAFVYSMTITVEMVYVKHMVMNLGLNTWGFVFYNNLLSLMIAPFFWVLSGECGELFSSESGGWDRLEPVAFVAVCLSCLFGLLISFFGFAARKAISATAFTVTGVVNKFLTVLINVLIWDKHATPIGLVCLLFTLAGGVLYQQSVTSPKPSVTEPKPTPPVREHDMTESGILIWPIDSIDKHY >KJB21512 pep chromosome:Graimondii2_0_v6:4:52328937:52329715:-1 gene:B456_004G200400 transcript:KJB21512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRTASLALFFALNVLFFSLVSACGSCPSPNPKPKPTPCPSPSGKCPQDTLKLGVCAEVLGLVNVTVGSPPVQPCCSLLRGLADLEAAVCLCTALKANVLGINLNIPVSLSLLLNVCSKKVPSGFQCP >KJB26560 pep chromosome:Graimondii2_0_v6:4:58481008:58481700:1 gene:B456_004G2480001 transcript:KJB26560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IYVGDDMVIHLRGAAKKLGELPACHKCGDKRVENGEIAKVCIDCFLDGATLQIFDYGVPLLEFIARKRGTCCQHDSKPPHEVISTATDLLERNGFGPYDMLTNNCEHFAVYCKTGSAASYQIAHHIEGVIATGPAGMLAGATVAVACGVSKGVRKTSSSW >KJB24586 pep chromosome:Graimondii2_0_v6:4:43415983:43416882:-1 gene:B456_004G152700 transcript:KJB24586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLFLGAYACFDTIDGAGMNLMDIKKRAWSKVAVEATAPGLEEKLGKLAPAHVVAGSIASYFASSINVFSGISSIRIAWWFSGLETILTV >KJB27368 pep chromosome:Graimondii2_0_v6:4:62172773:62173553:1 gene:B456_004G2943001 transcript:KJB27368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IELEQSKMGHRHISWRHVWANFCLAHHNGKLLDDDAALHDFGVRNNYQVLYLVHFLPYVVSKGSGRHSKRRKHRFFHGLSKLS >KJB22543 pep chromosome:Graimondii2_0_v6:4:4934977:4938190:-1 gene:B456_004G053400 transcript:KJB22543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVICSELKEGNSLGFTGFLMVLVIALALMAICFPPPPRRAVLVARRFG >KJB24495 pep chromosome:Graimondii2_0_v6:4:41536552:41537716:-1 gene:B456_004G147500 transcript:KJB24495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPTSSSSSIDSPNHFAFSSTASSQRDLTTDLRLGLSISIAPPYVREQWPPMKAAVAEEEHECNSSTFYVKVYMEGIPIGRKLDLLAHESYYDLIRTLEHMFHTNIIWAEAEMDRDHYEKYHVLTYEDKEGDWMMVGDVPWEMFLSAVRRLKISKC >KJB24724 pep chromosome:Graimondii2_0_v6:4:44767023:44768313:1 gene:B456_004G158400 transcript:KJB24724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNNKLKTLETRIGNGRAESEPDSEEDLEELQTDVKEMAEKILEYRATIPDQLKTTLDSILSTQRPDLPRIDDGSEPGPSAQNNADSKEMNSDAEQRAEERIRSLKGKISSNISAMPVVLKRMKVCISRIEKLESCNGIIHPALKKRKLVDPMKLYFHN >KJB21388 pep chromosome:Graimondii2_0_v6:4:45433271:45433723:-1 gene:B456_004G163300 transcript:KJB21388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIRGFKIKKWLVRILRWFIRKARNPCGYYRLTQSESEAFWAKSIWSSKSGFSYVPIGQGPINEKLTKVLKGHLVVYVGQKDNDYHRVLVSIIYFNHPLSDELLREAEEEYGFSHQGGITISCLFLEFKRV >KJB25857 pep chromosome:Graimondii2_0_v6:4:54720375:54721985:1 gene:B456_004G214300 transcript:KJB25857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNEVNLNESRRVVPLNTWVLISNFKVAYNLQRRPDGTFDRDLSEYLDRKVPANINPVDGVFSFDHVDGATGLLNRVYQPSSRIESRWRIVDLEKPLSATEVVPVIVFFHGGSFTHSSANSAIYDTFCRRLVNVCKAVVVSVDYRRSPEHRYPCAYDDGWAALKWVKSRTWLQSGKDSKVHVYLAGDSSGGNIAHNVAVMAAEAGVEVLGNILLHPMFGGQSRTESEKRLDGKYFVTLQDRDWYWRAYLPEGEDRDHPACNPFGPRGRTLDGLEFPKSLIVVAGLDLIQDWQLAYVKGLEKCGQQVKLLYLDKATIGFYFLPNNDHFYCLMNEIKGFINSDLN >KJB22278 pep chromosome:Graimondii2_0_v6:4:3243489:3257688:-1 gene:B456_004G038800 transcript:KJB22278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MAILAFPLVISFLKPNAPYFSLLRLAKPNAILTAPPPPLNRRYFRRTTAASAVHTSSVQQQSSTDASDEPKKASVLTFQQAIQRLQEYWASVGCAIMQCSNTEVGAGTMNPLTYLRVLGPEPWNVAYAEPSIRPDDSRYGENPNRLQRHTQFQVILKPDPGNSQDLFIRSLSALGIDVSEHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLQLSPISVEITYGLERILMLLQGVDHFKKIQYADGITYGELFMENEKEMSAYYLEHASVDHIQKHFDFFEEEARSLLASGLPIPAYDQLLKTSHAFNILDSRGFVGVTERARYFGRMRSLARQCALLWLKTRESLGHPLGVVSESVDHVCPKEVLEAAVKKVHHDPRLFVLEIGTEEMPPHDVVNASQQLKDLLLQLLEKHRLNHGGIQAFGTPRRLVISVESLCPKQAENELEVRGPPALKAFDPQGNPTKAAGGFCRRYAVPLDSLFRKADGKTEYVYARVKESAQFALEVLSEELPRMLAKITFPKSMRWNSQVMFSRPIRWIMSLHGDAVVPFTFAGILSGNLSYGLRNTATATVMVESAESYCSIMKNAGLGIDIEDRKKTILERSHLLAKSVNGNIAFQESLFNEVVNLVEAPVPVLGKFKESFLELPDDLLTMVMQKHQKYFPITDDNGKLLPYFIVVANGAINEMVVRKGNEAVLRARYEDAKFFYELDTNKRFKDFRTQLKGILFHEKLGTILDKMTRVESMVCKLSMGFGFEEDMLLIIKEAASLAMSDLATAVVTEFTSLSGIMARHYALRDGYSEQIAEALFEVTLPRFSGDVLPKSDVGIILAIADRLDSLVGLFAAGCQPSSTSDPFGLRRISYGLVQILVEKDKNMNLKHALELAADVQPIKVDATTIEDVYQFVTRRLEQYLVDKGISPEVVRSALAERANLPCLAAKTARKLEALSKGDLFPKVVEAYSRPTRIVRGKEVDADIEVDATAFETNEERALWDTFLSVENKIHPGIEVDDFIEVSSELVQPLEDFFNQVFVMVEDERIRKNRLCLLKKIADLPKGVVDLSVLPGF >KJB21552 pep chromosome:Graimondii2_0_v6:4:68529:73227:1 gene:B456_004G000800 transcript:KJB21552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRVSWREALKQGVSAAVGRRLPPPPPNPLLLGGVTLGTNLVFRGTKIRFSSSSCDANATSDSKKAGAGVDSVTFGEAKKLMRLVNVESLKTKLGMEGKEVIGYSELLKACESMGIARSLDEAIAFARVLDEAGVVLLFRDKVYLHPDKVVDLIRRAVPLALTPEDDPIRDELKRLQEKKEEIDVLAHKQVRRILWSGLGLAMVQVGLFFRLTFWEFSWDVMEPIAFFTTATGIVMGYAYFLFTSRDPTYQDLMKRLFLSRQRKLFKKNKFDVDRLKELQNKCKTSLDASASIRNRVGLEVELDDALHKE >KJB24831 pep chromosome:Graimondii2_0_v6:4:45422132:45424296:-1 gene:B456_004G163200 transcript:KJB24831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANGLEISISIAPTTLLRVPMIQIWDRNKRKAKPSHSLSPTPTKTKESNAKLKKRIQGHKRKMMGSLHLHSTALGFFFLFLSLRLSHGLLVKPHIFIYGGCSQEKYGPNTPFEANLNAFLSSVVTSASQVSYNTYATGNGSSTPPDGTTVYGLYQCRGDLQRPDCSRCMQSAVNQIGLVCPYSYGASLQLEGCYLRYDRASFLGTLDTSLKFKKCSKSSVNNDVEFFRRRDIVLADLEAGVGFKVSSSGMVEGFSQCMGDLSSSDCSSCLGDAVGKLKSLCGSAAAADVFLGQCYARYWASGYYQEFSSADSSHQDEDHIGKTVALIVGVLAGLAVLIVILSFCHGNGMKQQV >KJB23822 pep chromosome:Graimondii2_0_v6:4:28076750:28077750:-1 gene:B456_004G116400 transcript:KJB23822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNIMVGGTAYRNKIRITLGSTQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >KJB23606 pep chromosome:Graimondii2_0_v6:4:21811625:21813974:1 gene:B456_004G107100 transcript:KJB23606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTATSPVHETDITVLKETLRSQQQLLQKLYAELDVEREAAATATNEALSMILRLQGEKAAMKMEASQYKRLAEEKISHAEESLAIFEELMYQKEMEISALEFQIEAYKYKLMSLGCDELDDFDKKFPENPFAERNGEKGAKSTMRRLSSLPSLFPTDFCKMKSSSDGKKHAVPAAETSSSTGAGNTELLVRDHGLDSRRSSPRSVDFNSFWEQIRTLDEKVKEISDCKEVGKNKLPKIKVKAVSQSTSPRAKLPPKYRIKTCEGSSEKATPNSANSSNTVHDVFEVQCKFEAPESSERNKSCFNGEKNKGKSYVEEDNRLKKPDLFLIGSPVDDDSDWFSKDDFQSLKPGKKGYKLIDEMNPDPDPDYESPVEYDTEWIKMTNLQGTKHEKRSCNPSDETNDDCKSSLLPLSTGITNYQSELQLLAQRVEQLEGRRNNTKREISEGREDELNLLKQLREQLNSIQSEMRSWRPKKSSPSDEVHLLPLLEVMLYFWL >KJB22746 pep chromosome:Graimondii2_0_v6:4:6421575:6423637:-1 gene:B456_004G064300 transcript:KJB22746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQWLVFAMVLVLGTLVSVTTAASLATFIFGDSLAEVGNNNHLQYSLARSDYPWYGIDFTGRKATGRFTNGRTIGDILSEKLGTSSPPPYLSLSQNDDAILQGVNYASGGAGILKETGQYFIQRLTFDDQINYFKKTKERIGAKIGAQAANKLCNEATYFIGIGSNDYVNNFLQPFLPDGQQYTHDEFVELLTSTLEQQFSRLYQLGARKILFHGLGPLGCIPSQRVKSKTGQCLKRVNEWMMEFNSKVQKLINSLNKRLPNAQMMFADTYPAVLDLIENPTAYGFKVSNTSCCNVDTSIGGLCLPNSKLCSERKDYVFWDAFHPSDAANEVLAQKLFSSLSSSASAPSPSPSPSLPPKPE >KJB24534 pep chromosome:Graimondii2_0_v6:4:42322441:42322797:-1 gene:B456_004G149700 transcript:KJB24534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGEKSLRNFHLHLPHLHHHHHQGKKQTRDVPKGYLAIMVGSQGEERQRFVVPVMYFNHPLFMRLLKEAEEEYGFDQKGTITIPCHVEEFRNIRGLIDKEKSLHHHHHHHHVGCFRV >KJB27194 pep chromosome:Graimondii2_0_v6:4:61514964:61521493:-1 gene:B456_004G283900 transcript:KJB27194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGICALFFICDLIVFITSVAVIDARSAVEINVTAVLANISDPRSREDSFVGMIDRALEKEFNDTDQNEATDPDSFNNSVAGKQAVLETVARVKTKKNETKEEKSFQLHDVFHLDDENRADDAPTLIDRNDNVFIISNPKSKYPVLQLDLRLILDLIIVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFSFVGEMVQVETVAQFGVIFLLFALGLEFSATKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKASEGVFVGAFLSMSSTAVVLKFLMERNSISALHGQVTIGTLILQDCAVGLLFALLPVLGGNSGVLQGVLSMTKSLVVLITFLTILTIVSWTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVIIIKTMVVAAVVKGFRYSNKTSILVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVRLGVLLRWFPADSPEIGLKGDSLRADSAKRITLMVQGSHDS >KJB24791 pep chromosome:Graimondii2_0_v6:4:45032973:45035661:-1 gene:B456_004G160600 transcript:KJB24791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILKSYGVRLRESTPQFPRPNLCDNYKRRNVKWRSPQAAVIPNFHLPMRSFEVKNRTSADDIKSLRLITAIKTPYLPDGRFDLEAYDDLMHMQIENGAEAVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLDGLISHFDSVLPMGPTIIYNVPSRTGQDIPPHVINNVAQSPNLAGIKECVGNDRIEQYTGNGIVVWSGNDDQCHDARWSHGATGVISVTSNLVPGLMRELMFGGKNPSLNAKLLPLIEWLFQEPNPVGLNTALAQLGVVRPVFRLPYVPLPLEKRVGFVNLVKEIGRENFVGKNDVQVLDDDDFILVGRY >KJB24212 pep chromosome:Graimondii2_0_v6:4:36340422:36341620:1 gene:B456_004G133200 transcript:KJB24212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVPTIDSDSDEKMIDSGVDAKREKIWEELLLKDGVYTTQPVYSERKVNSVETNQFNSHIGSSLQEDGVYTNQPAHSGRRVNLVKANNLNGHIGSSLLEDGVYTAKPVYLECRVNSLQEKTTQR >KJB24988 pep chromosome:Graimondii2_0_v6:4:47104067:47105230:1 gene:B456_004G171000 transcript:KJB24988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYTEMLDAGVRIVARFHSHCPQTARLYYHPPANSDEHHHYGVDNVTREVSTRMGFYGRKASAAGADVKELIIFSL >KJB23317 pep chromosome:Graimondii2_0_v6:4:12947887:12948245:1 gene:B456_004G092400 transcript:KJB23317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTMKLIHRALFLVFALIVSHLLICSPLSFHCWKDTHIPRVKYQPRRLLGSLASVSANLNKLSGAIQDPNKAVGTSLRKAPPSASNPTQNK >KJB24020 pep chromosome:Graimondii2_0_v6:4:32667331:32669148:1 gene:B456_004G125300 transcript:KJB24020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTPESLNNAQNNTKSSLGPAKPITTLPDLFLTAVSLLFLFSSPKPNHTLFRKFLPFPPNPRRFPKMTTTSQSRTSFATPQALSDWLKPRLSSDSLDSWGVKPGTKNLHNLWLELSEGETSLVDSSPPLRTVNVVTVRILGKGNLVLVESRQELSDGSFRDRFRPLSEKMKPHETTEEAVARAVKEELGSSRIVRIVPGSYRKKLEERNSASYPGLPARYVLHSVDAWVEGLPEEDFVTEEKEEYEDVDGTRGLEKAVSVRKHYWEWVCSDSLCS >KJB23750 pep chromosome:Graimondii2_0_v6:4:26047604:26051765:-1 gene:B456_004G113300 transcript:KJB23750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMSEKGIFPSRFLFCIITISMFLLILSSVFLLQFGNSSLIPHSVFKLILVNGTVYLKSNVKNELKLPFFSSESSKVDAQKLVRSGDSSCHKSGYRKKMCAYRHPTIESCDTNQALLRVYMYDLPSEFHFGLLGWKGKLNQVWPEVNDPSRIPSYPGGLNLQHSIEYWLTLDLLSSNTPNVVRPCAAIRVTNSSQADIIFVPFFASLSYNRHSKLRGKEKVSVNKMLQNKLVKFLTAQNEWKRFGGKDHVIVAHHPNSMLDARGKLGSAMFVLADFGRYPSEIANIEKDIIAPYRHVVRTIPSADSAPFDKRPILVFFQGAIYRKDGGAIRQELYYLLKDEKDVHFTFGTIQGNGVNQAGHGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVIVSDEIELPFEDVIDYSEICIFVRASDAVKKGYLLNLLRGISRDQWTKMWEKLKETVRHFQYQYPSQLCDAVDMIWEAVARKVPMVQLKTHRENRYRRSERIK >KJB27146 pep chromosome:Graimondii2_0_v6:4:61308203:61310711:1 gene:B456_004G281500 transcript:KJB27146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKLFEASRKGETSTLLQLIEEDPLILEDNTHHASLETPLHVSSSHGHADFVRQILKQNPGLAKTANRKGYTPLHLASANGHVETVNELLKIEREIGGHELCRRKDDKGRVALHLAVIKGRERVVGELVMACPESIKEITDKKETILHLAGKNENGCKFVKGLIDGIKAKEMLNLKDEDGNTVLHLATLRKQHEVMNLLLQQPELDVNAVNSNNLKALDILLKGPKQSNDEEIAHMLHLASMPKLENQVLTDPSRPNNAVVDAVVEVDMTMKDSTSKKNNVDSFKWLEEMRRGTTVAAVLIATVTFEVALNPPGGVWQDGGNVDSNVDSPLTGNHIQGKSIAGDVSPKSLTWFLVWDSIGFLASMNIIVMLTIPSKLKANSIRWEYVRLMMWFVIASVHMVFLYGVQLTTPSYIFQRAVIAPFVFFYGVVGLLALRSGWSLVTDWRNLAKEIWNKKKR >KJB22866 pep chromosome:Graimondii2_0_v6:4:7442524:7443813:1 gene:B456_004G070200 transcript:KJB22866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAAIAVTFNPFTSPATPKLTQSSIPSTTTINPLKINTHENPQFSWKHGSFLTHSNSDKLAKNWMEYQGINNWEGLLDPLDDTLRSEILRYGQFVEAAYRSFDFDTSSPTFGSCKFSKNSLLTRSCIRETGYKPIKNLRATCGIQLPGWIDRGPSWVSTQSSWIGYVAVCQDKEEIARLGRRDVVVVFRGTATCLEWLENLRATLTSLPDDVPNVDSHGGGAMVESGFLSLYTSGNAKCPSLQSMVREEIGRVLEAYGDEPLSLTITGHSLGAALATLAAYDINSNFGNAPPVTVISFGGPRVGNQSFRCQLEKRGTKILRIVNSDDLITKVPGFVIENNDMAANVAGLTSWVQKRVEEAQLVYADVGQELRLSSKECPHLSKGSVASCHELSTYLELVNGFVGSNCPVRATAKRVLGEHHKKKLASL >KJB24987 pep chromosome:Graimondii2_0_v6:4:47092727:47096114:-1 gene:B456_004G170900 transcript:KJB24987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGYSLLVLVFPTVTFFSPNFVLCWFSAGAGVPLALILCSTAGAGSLFLCWCWFFVPLLHFSKASGSLFLFSPPPVVPEAKKIRTSGIDPEFEAKLDQMFMGIVATDDKAWTPSSGTLRSDFFEDVNNEIPEENEEENMRNDVHISNDVHISNDVQIYGNGQTRKNPEISSSHFKTGRKKSSKQIGGAAKLSSQIENYAVQLTI >KJB21688 pep chromosome:Graimondii2_0_v6:4:617097:618786:-1 gene:B456_004G008900 transcript:KJB21688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPFTQVETSKMGSKRAHQTVTKKKKKKPKNPSNTLFHHFLQQSANPSFFVFLRGSISRSLDIKVKMKVVAAYLLAVLGGNASPSADDLKVILGSVGAEADDDRIELLLSEVKGKDITELIASGREKLASVPSGGGAVAVAAPAAGGGGGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >KJB23245 pep chromosome:Graimondii2_0_v6:4:11613083:11613667:1 gene:B456_004G087200 transcript:KJB23245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELGIQNRPLLDPGPVESIVEINKELLDSSNHSVVTFKGKNRLNIAKSIEAGISVGRDKGIPISKVRVSRTKGDNVRNNGAISKIICERRGILKILRV >KJB22782 pep chromosome:Graimondii2_0_v6:4:6607562:6608651:1 gene:B456_004G065300 transcript:KJB22782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSSSPSPSILSSSTANPKTPYLKPPLLFFPKPLTTALTTALATTTLLLTSPPSSIASDAYNVYYGTAASAANYGGYGGNSDKKASAEYVYDVPDGWKERLVSKVEKGTNGTDSEFYNPKKRQEKEYLTFLAGFRQLAPKDVILNNLALSDVELQDLIAGADSLVSEEKKDENGQLYYVYEIDGIGKHSLIAVTCAKNKLYAHFVNAPAPEWKRDEDTLRHIHESFKTVGSSAQHLEEFSIHGHTPEFSLSKLLST >KJB25557 pep chromosome:Graimondii2_0_v6:4:51798267:51799774:-1 gene:B456_004G197600 transcript:KJB25557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLAFGSFGDSFSVGSLKAYLAEFIATLLFVFAGVGSAIAYGKLTADAALDPAGLVAVAVAHAFALFVGVSIAANISGGHLNPAVTFGLAVGGNITILTAIFYWIAQCFGSIVACLLLQFVTNGLSVPAHGVASGMTAVEGMVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGNLSGNWIYWVGPLIGGGLAGLIYGDIFIGSYSSVPVSGDYA >KJB21906 pep chromosome:Graimondii2_0_v6:4:1520315:1521168:1 gene:B456_004G020500 transcript:KJB21906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQNIVTEDSRNSQKPASGKCITYIFLYQIMIAKTEEIRKFDKVVKYNLNCHAYFIFKTDFIPHGSNGRTSKTRLLTTEGKFTG >KJB26531 pep chromosome:Graimondii2_0_v6:4:58291602:58292637:1 gene:B456_004G246000 transcript:KJB26531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQAKRRNLKHDANRLTLKCIVICYMVHVAAFKFTLSFLLFQFYNAQWLMERQALPTNLRLDNRFTLKMSCYNANGFIWMLSSSMLHCFYFSSTMFDD >KJB22847 pep chromosome:Graimondii2_0_v6:4:7321663:7324711:1 gene:B456_004G069600 transcript:KJB22847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 2-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G29670) UniProtKB/Swiss-Prot;Acc:Q8LCT3] MADAIRLLSSSSSSLIHSLRFSSPSLLTTFHFASNSPLSSLGPTNQNSDKIFSYSSSIGLAPLALTPRKLSLSFKVYATVEETEQPKWWERDAGPNMIDIHSTKEFISALSEAGDRLVIVEFYGTWCASCRALFPKLCRTAQEHPEIIFLKVNFDENKPMCKSLNVKVLPYFHFYRGADGQLESFSCSLAKFQKIKDAIEMHNTARCSIGPPKGVGDLNLESVCAPKNDKPTGSS >KJB25784 pep chromosome:Graimondii2_0_v6:4:54160735:54161601:-1 gene:B456_004G209100 transcript:KJB25784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHKEAVKEESEHVMAIACTIMAGVSPVSVANSHTQHPFPTPSPFSFSGATTRRTYTPSIHHLNLFLRFLQLLFSFISALTLATPPSNNNGGQRSPSFIEYPELTYGFIVAILAFLYAAFQLFKGISDIAHRGILISDKTSDYLSFVFDQLTGYLVVSSASVAMPIIRQQVGQSTPLWKGTIVSTSMSFATFLVIAISALLSGYKLCKRIVW >KJB26231 pep chromosome:Graimondii2_0_v6:4:56901724:56903761:1 gene:B456_004G231800 transcript:KJB26231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQRGPLLSLAYFCQGKTMEELRQHLLYTTVELEQTRMAVEEELRRRDDQLIQLKSLLSKAMKERDEALEKCQKLFLEKLLLHQQQQQVAAAAAPLSGVSSIEDEPRRGIDSNNGFSSSDCEESIVSSPILDPMPPPPPPATMEGVPEKPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLESFEIPPVTIPSAAPPPPQLLHQDSLININTLNNCGKVNRKRGLNEYDGCGSPTETKYQRLLLP >KJB21699 pep chromosome:Graimondii2_0_v6:4:691046:692215:1 gene:B456_004G009500 transcript:KJB21699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSIRPLLSILMLVVFATTLTFRIFIYGRRVFTVAATQQPSPTQTFNSTLLNFAAIDIGEEKSKLEIDQLLERNYDNERRTTGYTTWRRFNHYDAKAKAKSKRDNKLLVMFKSPKFHHYWLDFRRNLQDWARKKVFQPNIMMELVQLVKVPIDGYNGFIGTNKYKSCAIVGNSGILLNKKYGNLIDDYEIVIRLNNARIKGFEKQVGTKTNISFVNSNVLHLCAKGGESCFCDPYGPNVPIVMYICQLVHFADYVMCNSSHEAPMLVTDPRFDVLCARIVKYYSVKRFVEEMGKTLDEWGPVHDGSLFHYSSGFQAVMLALGICDKVGMFGFGKSASTAHHYHTNQKAELRLHDYEAEYEFYHDLVKNPRAIPFVSDKFRFPPVVIYR >KJB25635 pep chromosome:Graimondii2_0_v6:4:52772093:52776322:1 gene:B456_004G201300 transcript:KJB25635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHDSVFIKSPSLFFLILLLFEANHIEGAGRLNQWESGIRLPTDKDNEPEELDEQQVGTRWAVLVAGSSGYGNYRHQADVCHAYQLLRKGGLKEENIVVFMYDDIAMHELNPRPGVIINHPQGDDVYAGVPKDYTGEHVTAANLYAVLLGDKDALSGGSGKVIDSKPNDRIFMYYSDHGGPGVLGMPNMPFLYAMDFLDVLKKKHAAGTYKEMVLYVEACESGSIFEGIMPKYLDIYVTTASNAQESSWGTYCPGMEPPPPPEFITCLGDLYSVAWMEDSETHNLKRETVEQQYESVKERTSNFNADAFGGSHVMEYGNTSIKPEKLYLYQGFDPATVNLPPNELGPNTPTEAVNQRDADILFLWHMYKNSEDGLKKTEILKQITETKRHRIHLDGSIDLIGTLLYGPAKGSAILKSVRETGLPLVDDWQCLKSVVRLFETHCGSLTQYGMKHMRAFANICNSGVSQALMEEACTAACNGHGPIQWYPSNQGYSA >KJB22308 pep chromosome:Graimondii2_0_v6:4:3354602:3356436:-1 gene:B456_004G040000 transcript:KJB22308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVESLSTPFGLSGLLEINGFMKDIIDLDPDSDLWRHSKQGFFKINFDAAFDNSKNRLCSGIIVMNFKGDVLASKTMVHDNIPSKFAVEAIACLQAVTMGRDLGMKYVEIEGGSLIVIKKVKNPNKDKSAIGSYIHDIKEQNVTFYECKFQHARRAANKSAHNLASERLKMGKNA >KJB22192 pep chromosome:Graimondii2_0_v6:4:2810384:2812478:-1 gene:B456_004G034400 transcript:KJB22192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHQSTSATYTTIPISASDVLSRSLHNLSVTLSRHLRPWPQLLGSGSQSFSRPHSFLSHASANMHYFRANYAVVIAATSAFSLIGSPLSLLLCSAVFALWLLLYFFREDPLVLWGHSVNDRFVLLVLAFLSVLAVWICGVFQNLSLGLGIGVLVCGVHALLRNSDGLFLDENDAVSTGLVRSAPSSTTTNL >KJB25393 pep chromosome:Graimondii2_0_v6:4:50471774:50472369:-1 gene:B456_004G189400 transcript:KJB25393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPTSDENGEKFDAEQVTKFLQECDGNTKRNVQYSDFNGLQEELNKVEHRCFPSFLDPIIQKINTYKDITENSKLGNCAAYPTLVMFYTTIKEMNEVKEIKDFDLSKLNVWRDAICDALQINMKVEFAKQHLIKIALAYFASKEFDQNFYDEKKRLEEDLGRISTMIELHNKCQSEAIFFSDKPLNTGLFSDK >KJB23558 pep chromosome:Graimondii2_0_v6:4:21241803:21242779:-1 gene:B456_004G105000 transcript:KJB23558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKADGNPAEEVQVPIIEENEHKQAEVPPKKNIIPGKFFQVKRVSGLLSVVSFVFSLPVLSSVIWLLYMKSYDCEWLFKLPSLQIGISIGLICVFFICNAALFLRARMQMVGIVVVMVPLTVMFTIGLAFLGANSMENRRIPATPLWFEMKIHDNELWNNIKECIYDTGVCRDLALTSTKLKSYDFSMKKLSSVESGCCRPPADCPLQYVNATFWEKNDQMQTDSDTYNPDCDLWKNDRDALCYDCLTCRQGYMKALRSKWLKLGVFLVCMALLLISSHLSLFLVTMWELHIS >KJB24455 pep chromosome:Graimondii2_0_v6:4:41257852:41259883:-1 gene:B456_004G146400 transcript:KJB24455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SDGRGEEWVREVEGKNSGKGRETLFDETESESFREQFEAKVPEEVDGERLNHSVDREEDGNETKYFDSDNHGSILGSEDDENIDVCRKRSSNMARCMQVKSFHDEHNCCVSFRNKIVNLKVIVEHFEATIGDHPKMKLRGIQRRVASKMHVNVNMTRCRKTKKMDYTDELRLKNHGNIIKMTLNGVTPESPLQFKRFYVCFKALKRGCKEGCRPILGLAGFFLKGLFKGELLAAVGRYGNNQMYPVFQGLHFVLDIVDNNICEAFNSSIVESRFNSIITMLEEIRVNMITRIVVKRKQCSSWEYNYGPVIKKKFDDNDKEGVDWKMIWNGKNRCEVKKCRKYCRIWQSGIPCPHVCYAIWHLEQDPDDYLHRYYHKDTYLKEYEYALQPINGSHEWTKSGIEPMLPPVEKAMPCRPKKNRRKVKNEPKKRSLDNSVGLV >KJB21780 pep chromosome:Graimondii2_0_v6:4:969083:971458:1 gene:B456_004G013300 transcript:KJB21780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLIPSFNRILVEKIIPPSKTTSGILLPEKTSKLNSGKIVAVGPGARDKDGKFIPLNLKEGDTVILPEYGGTEIKLGEKEYHLYRDDDILGTLHE >KJB23684 pep chromosome:Graimondii2_0_v6:4:23111612:23114114:-1 gene:B456_004G109700 transcript:KJB23684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLPSLRKSSPLIKQVVANQRSNSVRPVFNQSKDSSSSFLSLLKKPSSAGGSTAAGDKNSGGDQLLRSVSTGVLIAGSTLGFCYFSRSSFDSNYWVSFADAPNGATWAPDSVADQFEHGIPDKKSKYLFGESYRRRVFFNYEKRIRLQSPPEKVFDYFASYRTPEGDVLMTPGDLMRALVPVFPPSGSNRVREGSLRGEWVKPGELHCPPSKFFMLFDTNNDGLISFPEYIFFVTLLSIPESSFSVAFKMFDLDHNGEIDREEFKKVMTLMRSQNKQAARHRDGLRLGLKVAEPVENGGLVEYFFGQDGKTCLKHDTFVQFLRDLHEEILKLEFSHYDYKVHGTISAKDFALSLVASADISDISKLLDRVDEIDKESHLKDVRITFEEFKSFAELRKKLQPFSLAIFSYGKVNGVLTKKDFQRAASKVCEVSLTDNVVDIIFHVFDTNRDGSLSSDEFVKVVQRRERDDSQPKAESKGLISCLLSCAAK >KJB25874 pep chromosome:Graimondii2_0_v6:4:54556559:54557556:1 gene:B456_004G212700 transcript:KJB25874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGTIICASYWSAWSDALDEIPESRHVGSGGVVDINTKSAILFVVVASCFLVMLYQLMSYWFVELLVVLFYIGGVEGLQTCVVALL >KJB21675 pep chromosome:Graimondii2_0_v6:4:536855:548159:1 gene:B456_004G008000 transcript:KJB21675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHGMRGLSIFISDMRNCQNKEQERLRVDKELGNIRNRFKKEKGLTPYDKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTLVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLFRKNPDVVNVDGWADRMTQLLDERDLGVLTSSMSLLVALVSNNHEAYWTCLPKCVKILERLARNQDVPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRTLFEVLQWILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLIVADVQESIKRHQAQIITSLKDPDISICRRALDLLYGMCDITNAKDIVEELLQYLNSADFTMREELSLKAAILAEKFAPDLSWYVDVILQLIEKAGDFISDDIWFRVVQFVTNNDDLQPYAAAKAKEYLDKPAVDEKMVKVCAYILGEYSHLLARRPGCSPKEIFSIIHEKLPSVSTTTIPLLLSAYAKILMHTQPPDQVLQNQIWTIFTKYESCIDAEIQQRAVEYFALSRKGAAVMDILAEMPKFPERQSSLIKKAEDAEADTAEQSALKLRAQQQPSNALVVTDQHPSNGEPPPVFVGQLSVVKAPNMNNTEDHYSTEQVLSQENGNLSQVDQQPPSADPLSDLLGPLAIEGPPGATVQYENSAVSGLESGPDVVDGSAIVPVEVGTNTIQPIGNIAVRFHALCLKDSGVLYEDPYIQIGIKAEWRAHQGRLVLFLGNKNTSPLVSVQALILPPAHLKMELSLVPDTIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFGTHMVNIKLRLPAVLNKFLQPLPVSAEEFFPQWRSLSGPPLKLQEVVRGVRPMALPEMANLLHSYRIAISPGLDPNPNNLVASTTFYSENTRAIVCLVRIETDPADRTQLRMTLASGDPTLTFELKEFIKEQLVSIPTVAQAPTPAPPAAPPTPQIPPDDPAALLADLL >KJB25965 pep chromosome:Graimondii2_0_v6:4:55215389:55215927:1 gene:B456_004G218400 transcript:KJB25965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLTNGNRKEEIAITIWAIWFFRNKFLHKRKVLSVEEVITFVRGYGREYRELSSTLKHPKPRVIINWYPPPPNWVKVNVDAGFSATKQKAVSGFIIRNDEGHLVKSVVLD >KJB26529 pep chromosome:Graimondii2_0_v6:4:58273463:58275526:-1 gene:B456_004G245600 transcript:KJB26529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRPNVSKAELKEKLARMYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDSKVEKSRKQMKERKNRAKKIRGVKKTKASEAAKKK >KJB25332 pep chromosome:Graimondii2_0_v6:4:50055655:50058822:1 gene:B456_004G186100 transcript:KJB25332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFRRIAGLLGLARDDGQEVKDQENDPQNNSQTPNNPPVFQETGLPRRGFSVPVQVAAVRPHPAPLLLPCTSSDGGVQGLKWYAKRLRIDEDGDVADEFLDEVLPQTSGSANAENEKAFPKFQVKYNTRAANVKTQVMSHDGKIQQCVEYQGRLQWI >KJB26757 pep chromosome:Graimondii2_0_v6:4:59405315:59407382:1 gene:B456_004G258200 transcript:KJB26757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALVSDDSFLDEYEDKALPIGYRFVPRDHELISFYLFNKIKGFQLPSDVVKNIDFYYLDPFHFSPCEFKHGRKNNEAYYFTKTKEIRSKMDRIERHTRNGYWKSCGKAEEIKHGDRIIGLKRLFVFHWRIPELGFGNWVMHEFSLHPTLLIPHPLLDEKIKAKIQRCVICRIVNREGYYGV >KJB24855 pep chromosome:Graimondii2_0_v6:4:45901918:45903294:1 gene:B456_004G165000 transcript:KJB24855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type III polyketide synthase A [Source:Projected from Arabidopsis thaliana (AT1G02050) UniProtKB/Swiss-Prot;Acc:O23674] MSKIDNNNAPWHRLKRASTPRKATVLAIGKAFPRQLIPQEYLVEGYIRDTKCQDVSIKEKLERLCKTTTVKTRYTVMCKEILDQYPELATEGSSTIRQRLEIANPAVVEMAFEASLACIKEWGRPATDITHIIYVSSSEIRLPGGDLYLASELGLRNDVSRVMLYFLGCYGGATGLRVAKDIAENNPGSRILLTTSETTILGFRPPNKARPYDLVGAALFGDGAAAVIIGTDPVTGKESPFMELSYAVQQFLPGTQSVIDGCLTEEGINFKLGRDLPQKIEDNIEEFCRKLMSKASLTDFNEMFWAVHPGGPAILNRLESTLKLNKGKLECSRKALKDFGNVSSNTIFYVMEYMREELKREGGEEWGLALAFGPGITFEGILLRSL >KJB27330 pep chromosome:Graimondii2_0_v6:4:62002315:62004631:-1 gene:B456_004G291300 transcript:KJB27330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSSGTWLRLCLVIFAVVSALAVCGPALYWRFKKTLRFVDSKSSCPPCICDCPPPLSLLKIAPGLANLSVTDCGSNDPDLKKEMEKQFVDLLTEELKLQEAVAEEHTRHMNITFGEAKRVASQYQREAEKCIAAIETCEGARERAEALLIKERKVTTIWEQRARQMGWEGE >KJB27329 pep chromosome:Graimondii2_0_v6:4:62002315:62004561:-1 gene:B456_004G291300 transcript:KJB27329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLVKFCNMFSCIWYSKLLVTYVNSSHVFLLFLVLLAGLANLSVTDCGSNDPDLKKEMEKQFVDLLTEELKLQEAVAEEHTRHMNITFGEAKRVASQYQREAEKCIAAIETCEGARERAEALLIKERKVTTIWEQRARQMGWEGE >KJB22368 pep chromosome:Graimondii2_0_v6:4:3785258:3786312:-1 gene:B456_004G043800 transcript:KJB22368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLINFIAMLVFLNFSRDITETTAASPLCDVVIFYLSPCEGFIKGGANPAPECCVGAKRLIDELKTKEDRIEVCLCIKALLPQLGPFDPNRVPLLGQKCGIKNFFPPITPATDCSKV >KJB22479 pep chromosome:Graimondii2_0_v6:4:4552758:4555211:1 gene:B456_004G049700 transcript:KJB22479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB25690 pep chromosome:Graimondii2_0_v6:4:53373579:53375954:-1 gene:B456_004G204300 transcript:KJB25690 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLAC1 [Source:Projected from Arabidopsis thaliana (AT1G12480) UniProtKB/TrEMBL;Acc:A0A178WLC1] MNNGASATSPHNSFQTHFLDIHEVLPEDEEEEGVIKMEDNKVTSSKRGLQKPIKLRELKRPQRSFNRQVSLETGFSVLGVESKAKDEKKVLRRSGRSFGGFDSANRVGAEARKGDFNIFRTKSTLSKQNSLLPTRKEKEMENQRAEGATGLNEPVNKSVPVGRYFDALRGPELDQVKDSEDILLPKDEIWPFLLRFPINCFGICLGLSSQAVLWRALSTSPATKFLHVTPFINLSLWLLALATLISVSITYLLKCIHYFEAVKREYFHPVRVNFFFAPWVVCMFLAIGLPPMLEPAKLHPAIWCAFMGPYFFLELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILASKVGWIEAAKFLWSIGFAHYIVVFVTLYQRLPTSEALPKELHPVYSMFIAAPSAASIAWGSIYSEFDGCSRTCFFIALFLYISLVVRINFFTGFRFSVAWWSYTFPMTTASVATIKYAEQVPSVLSKGLALCLSVMSSTMVSVLFVSTLLHALFWKTLFPNDLAIAITKKRLVKEKKPFKKAYDIKRWTKQALTKNNSGDKEFNGENKAA >KJB26479 pep chromosome:Graimondii2_0_v6:4:58135774:58136821:-1 gene:B456_004G243900 transcript:KJB26479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAITKASPKSAHKALPLSANLSNLLPTGTVFAFQAIIPSFSNNGRCEMAHKYMTLGAIILCSLACFLSSFTDSFIGSDGKLYYGIATIRGLWVFNSELDDDFGLDDPKEAEEILRKYRLTPIDFVHAACALTLFLVMAFSGYDVQRCFFPRPGPNGNALITNLPLAAGILASGFFMLFPTKRRGLGYADKPDRDDIKQNNKIDNSK >KJB25729 pep chromosome:Graimondii2_0_v6:4:53655312:53658448:1 gene:B456_004G206300 transcript:KJB25729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINRMIDSNYNNSPSRTIYSDRFIPSRSGSNFALFDISNSPTSDQGKEDRSIAYQSLLRATLFGPDTPGTPVSRNIFRYKTETKRSLHSLQPLGYDESGLGISHSPVKAPRKVPTSPYKVLDAPGLEDDFYLNLVDWSPNNVLAVGLESCVYLWNACGNKVTKLCDLGIRDSICSVGWAQCGTHLAVGTNNGQVQIWDASNCRRVRTMEGHRSRVGVLAWSSSLLSSGSHDKSILQRDIRARDDFASKLVGHKNEVCGLKWSYDDRELASGGNENRLLVWNQHSTQPVLKHCEHTAAVKAIAWSPHRHGLLASGGGATDRCIRFWDTTTNTHLSCLDTGSQISNLVWPKNVNELVSTHGSSQDHIIVWRYPTMSKLATLTGHTTRVLYLAISPDGQKIVTGSGDETLCFWRLFPASKSQNTDSKIGASSFGRTSIR >KJB21844 pep chromosome:Graimondii2_0_v6:4:1231248:1232286:-1 gene:B456_004G0174001 transcript:KJB21844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILPCILLITVLFGLASSDVNQDKAECKNHLMGLAPCLSFVDGEAKTPTVDCCGGLKQVLGASKKCLCVVIRDRDDSSAGLKINATLAAALPSACRAKVNMTDCISLLHLAPNSQEAKLFEGYQKLTERNPIMATTTPSTT >KJB21914 pep chromosome:Graimondii2_0_v6:4:1558275:1563340:1 gene:B456_004G020800 transcript:KJB21914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFYITLLLLFVSFVTLSLFVLFYKHRSNYSADNLPPGRPGLPFVGETLEFLSTGWKGHPEKFIFDRMAKYSSQVFKTNILGEPAAVFCGVACNKFLFSNENKLVTAWWPSSVDKIFPSSLQTSSKEESKKMRKLLPQFLKPEALQRYIGIMDAIANEHFASQWENKEQVLVFPLAKRYTFWLACRLFLSIEDPHHVSKFEGPFHLLASGIISLPIDLPGTPFNRAIKASNFIRKELLKIIKQRKVDLSEGKASPTQDILSHMLLTSDENGQFMTEVDIADKILGLLIGGHDTASAACTFIVKYLAELPHIYELVYKEQMEIAKSKAPGELLTWDDVQKMKYSWNVACEVLRLAPPLQGAFREAINDFIFNGFSIPKGWKLYWSANSTHRNPECFPEPLKFDPTRFEGKGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNIVKRFKWKKLVADEKIIVDPMPMPAKGLPVLLYPYKS >KJB24864 pep chromosome:Graimondii2_0_v6:4:46014616:46015380:-1 gene:B456_004G165300 transcript:KJB24864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGRDINIENDASHCHNNLLSSYSVVSYTATATSSTCSSSSTSGCHPNGVAEKRLKKGGDIENDDNENKKRQRSTCGNNDSKHGTFRGVRMRSWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALAIKGPSAYLNFPEIAKQLPRPATTSPKDIQAAASQAAASTFLDTRQCNVQTEAGVSHEELHNTQESSSSPSIDDDDTLFDLPDLMIDTADRNDGFCSYSSSAWQICAVDAGFRIEEPFSWDYY >KJB22878 pep chromosome:Graimondii2_0_v6:4:7666382:7667594:1 gene:B456_004G071200 transcript:KJB22878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGCGTNCFQRQTVINGGYVSMHSEGFCKLKECVMLWRKVFLVSCVHEGTQPNCHCFVCFPSFLL >KJB23792 pep chromosome:Graimondii2_0_v6:4:27524088:27527962:1 gene:B456_004G115200 transcript:KJB23792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G49160) UniProtKB/Swiss-Prot;Acc:Q9M3B6] MTTSIFTNHKATPIRHAIHSADVFSRRRKFSHLPCKLNVKQSVETVQLWAKIKNTRTTTTTAFATPNENDEGERSGSHACSGEQVFVRLENNYSSNDLDEEAAGSLPESGSGVFQMAEHSVNLASLLDKLKALHLHVLASEQWNASRLKLCHNNYVESAKNLIHYLALKCLDIEPLKYDLALISLLNLEMVNSSVLASLTTCIELLENLQLNSSITGKDVSAGTSTQGKLYHQTNGNFTINTMRMKACLNRELLLGPLQGSRCTHIMTTIGEEALECETLIADLIKAGTSIIRINCAHGSPESWSEIIRRVKRSSQMLEAPCRILMDLAGPKLRTDNLKPGPCVVKVSAKKNATGKVIFPAQVWLSHKGAGAPPPHLSPDAVLFIDDENFFTVVKVGDTLRFFDARGKKRMLKISRVFHVFSGTGFMAECTRTAYVSSGTELYIKRKKDRLPVGQVVDVPPRESSIRLRVGDLLIISRDSTCGEDNSYGHASGAYRITCSSGYLFDAVKPGERIAFDDGKIWGVIKGTTISEIVVSITHAGLRGTKLGSQKSINIPDSNIRFKGVTSKDLVDLEFVKSHADMVGVSFIRDTRDIIVLRQELEERKLQNLGIVLKIETKSGFEKLPLLLLEAMKSSNPLGVMIARGDLAVECGWERLADIQEEILSFCSAAHIPVIWATQVLESLVKSRVPTRAEITDAASGRKASCVMLNKGRHIVEAISTLDNILNSNSRQMKAEVKSLVPSSHLV >KJB22605 pep chromosome:Graimondii2_0_v6:4:5477715:5479933:-1 gene:B456_004G057200 transcript:KJB22605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINPSLLFLSLLFLFNGCLARQTFSSQQSQNECQINRLRASAPQTRIRSEAGTTEWWNPNCQQLRCAGVSVMRQTIEPNGLVLPSFTNAPQLLYIVQGRGIQGIVMPGCAETFQDSQQWQHQSRGRFQDQHQKVRRFRQGDIIALPQGVVHWSYNDGNERVVTINLLDTGNSANQLDNIPRRFHLAGNPEEEQRQLRRLAQQMQGRSERGEESEEEEGEGEEEEEDNPSRRSRHQEEEEQGRESSSCNNLLCAFDRNFLAQAFNVDHDIIRKIQRVRGNRGTIIRVRDRLQVVTPPRMEEEEREERQQEQRYRHTRGGSQDNGLEETFCSMRIKENLADPERADIFNPQAGRISTLNRFNLPILQRLELSAERGVLYNRAGLIPQWNVNAHKILYMLRGCARVQVVNHNGDAVFDDNVEQGQLLTVPQNFAFMKQAGNEGAEWISFFTNSEATNTPMAGSVSFMRALPEEVVAASYQVSREDARRIKFNNKNTFFFTPSQSERRADA >KJB26767 pep chromosome:Graimondii2_0_v6:4:59448252:59449518:-1 gene:B456_004G258700 transcript:KJB26767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRAEPFNDSSALIDFVINQGNGVKGLSEMGLKALPRQYIQPLEERMCMINIKAQESIPIIDMSNPNDPEVVKLICEAASKWGFFQIINHDVPIEVLENVKYATYKFFGLPAEVKNKYSKEHSSSNNVRFGTSFTPQAEKALEWKDYLSLFYVSEEEASALWPLACREQVLDYMRKSEVVIKQLLKMLMKGLNVNEIDETKESLLMGSMRTNLNYYPKCPNPELTVGVGRHSDVSTLTILLQDEIGGLFVRENNGDNWIHVPPIKGSLVINVGDALQIMSNGKYKSVEHRVVANGYNNRISVPIFVNPRPSDMIGPLPELIKDGEKPIYKQVLYSDYVKHFFRKGHDGKKTIAFAEL >KJB26508 pep chromosome:Graimondii2_0_v6:4:58213754:58220895:-1 gene:B456_004G245000 transcript:KJB26508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVALFTRRPNRVPGARYVATNRVHLRPIVQIDEGKLMSSDINELYRRVIYQNNTLTDLFTTSRSKPGELVMCQEKLVQEAVDTLLDNGIRRQPIKDGHQKINRSLRNDIYITHPGSSKSSSAPISRYGRINVESPKINDRFTHSKQFTRMIVFNRIYHFLLQSPKRVVDTAVRTSNVGYLTLKFNEDLVHPTRTRHGHPTFLCYLDLYVIIESEYIIHKVTIPPKSFLLVQNDQYVESE >KJB22031 pep chromosome:Graimondii2_0_v6:4:2044292:2044935:-1 gene:B456_004G026000 transcript:KJB22031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSFSLLYIVAILLLFTSGKVNCAVCEEQLGKCDENCDFKCQTSKNGKGICDENGICKCVYECKGPGTKSCNVGIGPCSEHCSDDCCEQNCEFKYPGVQDGHGFCLAIAGIPASNQCLCYFYC >KJB23823 pep chromosome:Graimondii2_0_v6:4:28095091:28096328:1 gene:B456_004G116500 transcript:KJB23823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTKTKPISNLLVSFLVLAALIEVSHAGGIAIYWGQSGSETTLNQTCNTGRYKYVNIAFLNKFGSRRTPGLNLAGHCNPANGGCRVASSEIKHCQSKGIKVMLSIGGGIGQYSLASKADAKRVAAYLYNNFLGGRSASRPLGSAVLNGIDFDIELGSTKYWADLARYLAAYSKPGKKVYLSAAPQCPIPDKFLGSALSTGLFDYVWVQFYNNPPCQYSPGNTSKILASWKRWVRMKSIKKLFLGLPAARAAAGSGYIPPDVLTSKIIPEIRKSSKYGGVMLWNRYYDRVNGYSAAIKSKV >KJB26305 pep chromosome:Graimondii2_0_v6:4:57672925:57673662:1 gene:B456_004G238200 transcript:KJB26305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKIHERNGVLVSQNSHFSYVLSITLPQPTIRIKIPKVLVKAMQRRNHGRRENDAIHKKESFRKKVGSMASSVFGKNNNSPEAASDEAMMKLKKMIESLDMESEKNGEDEYVFNDGVMVLVDEKEMVEAEEGDEGKEELAMVVEAGNERKERLRLLQTYSKKISNVGNDDSGVVCVDDNEGKGTLGMKEGNGSNDMTWSQSRRRKPKIAWLVKRITEKVVGVKSKEEEVWRKRILMGEKCKPLN >KJB26576 pep chromosome:Graimondii2_0_v6:4:58543393:58544738:1 gene:B456_004G248300 transcript:KJB26576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGREPFSLVGPKHLTTIDWNDDSHRRSVSASLVRGVSERERDRQVELRGGSETLAPQWWEFFNFKLVNELVDEDDESIFGAIFEYVFPSATNPGPGYVIAFRGTLWKRETWKRDLEFDFATILNTLHQTSRVRTAMKYVEDRVSKAGSSKVWLTGHSLGAAIAMLAGKNMAKRGKFLESFLFNPPYASFPIETIFKNHKNLILGLQLTTTVIKGGVALAFGYSGDEDSFAAISGWKPCLFVNNRDFICRGYIEHFKNRRKSHDFGVCGLISHHSLRNIVMKELKIMDVEISEPLHLLPSANLIENLSPPEESIPPHKLRHWWKPDLNLRCTVYNYE >KJB23312 pep chromosome:Graimondii2_0_v6:4:12778423:12779973:1 gene:B456_004G091900 transcript:KJB23312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGHIIPFLALALLIEKTRNYKITFVNTPLNIKKLRSSLPSDSCVQLLEIPFNSSDHGLPPNTENCDVVPYHLVVQLLEASASLRPVFKQLVEDIIRQQDGQRPLCIIGDIFFGWMAGVAEELGVFHAVFSGAGGFGLACYYSIWLNLPHKQVKKDGGDHFLLPDFQEASKIELTQLPLTMSVADGRDSWSIFHHKYLPEWTKSGGVLFNTVEEFDDIGLVYFKRKLRKPVWAVGPILLSSENRIRASIGGATPEYCKAWLDSKPENSVLFVSFGSMNTISPSQMMELAKALELSGRHFIWVVRPPIGFDINSEFKANEWLPEGFEERMRESEKGLLVNKWAPQQEILSHKSTSVFLSHCGWNSVLESLSHGVPLLGWAMAAEQFFNVKLLVEQVGVCVEVARGKTCEVKHEEVAAKIKLLMSDSEKGKEMRRKACEVREMIESAMKDDDEGGFKGSSVKAMDEFFHAAGTMRFQTHTPNQLNGTSQPRVQRVR >KJB26250 pep chromosome:Graimondii2_0_v6:4:56997812:57001422:1 gene:B456_004G233100 transcript:KJB26250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGINNRDVNEETLNIAPFPNLPSNGSGNANNERVPQSTNGNQVPHAEGQGVDTNMAMDPKTLKRAAASRLYSQRYRLKQINYIAQLEAKARALEAEVVAAYPKIRDADAQNSLLRAENGSMKEKLSVLSGEIMLKEAKYHELKKEKDALKQLSLMHLSPAFAESSQPNHYGYPPVNNMAMDQPGFNQFVGAVAPPPMMQNQNTENEFGFDVNFGDNYNPM >KJB25347 pep chromosome:Graimondii2_0_v6:4:50162325:50162599:1 gene:B456_004G1870001 transcript:KJB25347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLDTMNPILIIDKKVKLSEYEETIGTCFVFSED >KJB25692 pep chromosome:Graimondii2_0_v6:4:53403475:53405701:-1 gene:B456_004G204500 transcript:KJB25692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAQTWTTRRMSNPRLESTATADHQLLDIPATPTAEVRNGIYSVGSHLSPNLLTALIIASWFMSNIGVLLLNKYLLSFYGYRYPIFLTMLHMISCACYSYVAINFLEIVPRQHILSRKQFFKIFALSAIFCFSVVCGNTSLRYIPVSFNQAIGATTPFFTAIFAFLITCKKESAEVYCALLPVVFGIVLASNSEPLFNLFGFLVCVGSTAGRALKSVVQGILLTSEAEKLHSMNLLLYMAPMAAMILLPFTLYIEGNVARITLEKAKTDSFIVFLLVGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAALAAFVSVMIFKNPVTVMGMAGFAVTIMGVVLYSEAKKRSKVTTH >KJB24989 pep chromosome:Graimondii2_0_v6:4:47145150:47146105:1 gene:B456_004G171100 transcript:KJB24989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQLFSHQFPRIKLYLLSSFEIISLKRSFSLDFLAIFSLKRNYDASALLPSVRSLALCLLNMHTLLLQMERKHCCE >KJB21641 pep chromosome:Graimondii2_0_v6:4:357846:358157:-1 gene:B456_004G005900 transcript:KJB21641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KJB22997 pep chromosome:Graimondii2_0_v6:4:8959586:8965444:-1 gene:B456_004G077200 transcript:KJB22997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPDVSFFGCDGEDDKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYRNKFLFQNKIVLDVGAGTGILSRFCAKAGAAHVYAVECSHIADMAKQIVETNGLPDGEVGDGVVLPDEASLYLTAIEDAEYKDDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQKQIVTNCHILKTMDISKMVPGDASFTAPFKLIAERDDYIHAFVAYFDVSFTKCHKLMGFSTVKLGCYMTVAPNKKNPRDVDIMVKYSLSGRRCVVSRVQFYKMC >KJB27088 pep chromosome:Graimondii2_0_v6:4:61036388:61037283:-1 gene:B456_004G277200 transcript:KJB27088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source: Projected from Oryza sativa (Os06g0160001)] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB26553 pep chromosome:Graimondii2_0_v6:4:58396398:58398829:1 gene:B456_004G247000 transcript:KJB26553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEGVEEANKAAIESCNKVLSHLSLSKDQIQFSNLMIETDEAVFKFKKVVSLLSSNGRKRRKFKKITSNLPENIFLETPNCTTTLSPKLLQVYPHPNLLDKRTSIEVDFRAQHQLQLTQNLFDQKNQNPSFQMMNSSLVHHQHRVQFQQMKNQAADMVFSKSNSGMNLKFDGSSSPTMSFLSSLSMDHGSVNSLDGNSFHLIDIPRNFGQLSHQSRRCTFRGEDGNFKCGTSSTKCHCSKRRKLRIKRTVKVPAISNKVADIPPDQYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCLEDPSMLIVTYEGDHNHSGFLSTQSAHT >KJB24669 pep chromosome:Graimondii2_0_v6:4:44403083:44405771:1 gene:B456_004G156600 transcript:KJB24669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPNRNARQWKFLDIFTAMFFSLVLLFFLLVFTPFGDPLAYSGRQALLLSTSDPKQRHRFVSLVELGEHRQPIDPCPPDSVDHMPCEDPKRNSQLSRYMNFYRERHCPLPDEMPLCLIPPPLDYKIPIQWPESLHKVWHSNMPHNKIATRKGHQGWMKEEGPYFIFPGGGTMFPDGALPYIDKLRRFMPIKGGIIRTALDMGCGVASFGGSLLAEGILTLSFAPRDSHKAQIQFALERGIPAFVLMFGTRRLPFPAFAFDFIHCSRCLIPFAAYNATYFIEVDRLLRPGGFLVISGPPVQWPKKEREWEELQAVARALCYELIIVEGNTVIWKKPDRDPCLKPNEFRIGLCDESDDPNVAWYVNLKRCVTPSFINGGYAIGKIPGWPERLLRAPSRALIMNNGIDLFQADTRRWATRVAYYKNTLKVKLGTPAIRNVMDMNAFFGGFAAALETDPVWVMNVVPARKPLTLDIIYDRGLIGVYHDWCEPFSTYPRTYDLIHVASIDSLTKLPGSRNRSCSLVDLMAEIDRMLRPEGTAVIQDSPEVIKKVARIAHVLRWVTTINNKEPESHGRGKILVATKTFRHL >KJB22532 pep chromosome:Graimondii2_0_v6:4:4828607:4829499:-1 gene:B456_004G052800 transcript:KJB22532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFRLFFTSSLKNKLAPPFLSCPDINKPKQKKEKKKMANPSGFLLAISLLLTTTLITAVLAAESSGVHHLSWVPATVNKSGSCKDSTAECMANVDDDEFDIGSEIKRRILQTTTYISYKALQRDTVPCSRRGASYYNCRPGAEANPYTRGCSTITRCRR >KJB26467 pep chromosome:Graimondii2_0_v6:4:58102766:58103648:1 gene:B456_004G243300 transcript:KJB26467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWLCFAPSTSKTPSLFTPFLCLFVCLSSVWSFVRPYGNLKRKVFLNELCKTIRIQQDAYRNEFSSGNQPLQQVGAEKATEEITRSGKGDSGDHWNGR >KJB24846 pep chromosome:Graimondii2_0_v6:4:45638187:45639579:1 gene:B456_004G164300 transcript:KJB24846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMPQLQMVKVVPCLPSCNSWFLLVHIARKVQQKISQSCWQISFDFRQSRNTCSTVSIPFTHLGHSCIPINLL >KJB25359 pep chromosome:Graimondii2_0_v6:4:50299153:50304944:1 gene:B456_004G187700 transcript:KJB25359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLEVCKEGKLKEEQEEACTQDGSVDWHGRPAIKAKSGQWTAGIIILLNQGLATLAFFGVGVNLVLFLTRVLGQNNAEAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQVIFVIGLVSLSLSSYLFLIRPRGCGNQEMPCGSHSGLEITLFYLSIYLVALGNGGYQPNIATFGADQFDEDDPKEGHSKVAFFSYFYLALNLGSLFSNTILGYFEDEGMWALGFWVSAGSALAALVLFLAGTTRYRHFKPSGNPLSRFSQVLVAAIRKCSVDMPPDADDLYDIDGNDSSMNGNRKILHTDEFKFLDRAAYISTRDVEEQKKRAHSMWRLCPVTQVEEVKCVLRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKTTVSNFRIPPASMSSFDILSVALFIFLYRRVLDPLVARIKKKGSRGLTELQRMGIGLVIAIMAMVSAGIVECYRLKHADKDCMHCEGSSSLSIFWQVPQYAFIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVTMVMKISTEDHMPGWIPGNLNKGHLDWFYFLLAGLTTIDLIVYIACARWYKSIKLEGKTAENIDDQVSFKL >KJB26629 pep chromosome:Graimondii2_0_v6:4:58849597:58852214:-1 gene:B456_004G251200 transcript:KJB26629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSSLFCSSSNHEEEADVLQAMHLATISSLPFTLKVAVDLGLLDIIAEAADTPPGMVSVAEIVSKLPTNNPNAPSIVDRMLRLLAAHSILTCDQITGQDGLTQRSYGLASIGKYFVQNEDGVSFAPLLRIYLEKYILECWKFLKDVTLEGGFSCKKAFGKHLFELLADDDDMSKSFNEAMSIYTTLIMNQVLETYKGFEGVSQVVDVGGGVGTNLKLIVSKHPQIRGINFDLPQVIKDAVPCPGVEHVAGDMFTEIPKAEVIFMKSMLHDWGDDRCLKLLKVCYDALPENGKIVSVESIIPEIPETDIITKTIFQRDVALFHILPGAKERTKQELEALAKQAGFSSLKIVCRVYNHWVMEICKAD >KJB25770 pep chromosome:Graimondii2_0_v6:4:53945889:53946399:-1 gene:B456_004G207800 transcript:KJB25770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWCEHLLAVNFAIVNFALPSFAQNMQEDFLDAQNLQDDFLDAQNNAREEVNVEPLAWDDQVAAYSLAYAEQRIGECNLVHSEGPYGENIAMGTDDVSIADALEMWIEEKVYYDHCSNSCAAGEICGHYTQVVWRDSIHIGLGVTMEEPSFCNYDPPGNVVGQSPF >KJB24664 pep chromosome:Graimondii2_0_v6:4:44339453:44341569:-1 gene:B456_004G156200 transcript:KJB24664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLERRPRMLKDFIHDDPNSCSSNGFKSFPRKSTQNSIIFRENPNQKLQRSRSKAASATISAFQAMINVIKSIHFASSSPSILLPRTLSRKPSKRKISQNKEAEIKMTVTVKDIIRWKSFRDLLEEKSQPLDFAPSSASPHHHCTTTTTTTGSNTPCSCTTSSNGSSWCDSDFTSEYLPSDEYGENEVDNMVGKKFSPCVGKDTMETTTRTAANTDMGPKHASVEEEPQHSPLSVLDFEYGGDDEDGEEANEIEEKAWELLNGVKETSPLTRYKNNNICIDKLLLDLFREEMETKWDQTRNIEELEREMVRVAKAWICEEQNEKRGVGDKREECVGDMEREGKWRDRFHEEQEELAMGVERWVMNVLVDELLVDIL >KJB26172 pep chromosome:Graimondii2_0_v6:4:56273902:56277048:-1 gene:B456_004G228300 transcript:KJB26172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWTCDVSRDSMRLITGSADQTVKLWNVQKGTQLYTFNFGSPARSVEFAIGDKLAVITTDPFMELTSAIHVKRIAGDSTEQTEESVLVIKGPQGRINRAVWGPLNRTIISAGEDAVIRIWDSETGKLLKESDKESGHKKTITSLTKAADGSHFLSGSLDKSAKLWDTRTLTLIKTYSTERPVNAVAMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFNIKI >KJB26173 pep chromosome:Graimondii2_0_v6:4:56275066:56277019:-1 gene:B456_004G228300 transcript:KJB26173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWTCDVSRDSMRLITGSADQTVKLWNVQKGTQLYTFNFGSPARSVEFAIGDKLAVITTDPFMELTSAIHVKRIAGDSTEQTEESVLVIKGPQGRINRAVWGPLNRTIISAGEDAVIRIWDSETGKLLKESDKESGHKKTITSLTKAADGSHFLSGSLDKSAKLWDTRTLTLIKTYSTERPVNAVAMSPLLDHVSMQ >KJB25111 pep chromosome:Graimondii2_0_v6:4:48256687:48257636:1 gene:B456_004G176800 transcript:KJB25111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYKANTFVLSLFMLLLLQQHFDHISASRAALPLRASPITTRAYLGKPTSTSLHFTINRYKMTETEAFRPTTPGHSPGIGHDNPPSGH >KJB22683 pep chromosome:Graimondii2_0_v6:4:5873497:5880874:1 gene:B456_004G060500 transcript:KJB22683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASANPTGNHQEGSSNQNVTPSPPPTANGVAVNSNNSGGNTSTAAAAVSADTQSALRHNPGISLDWTPEEQTMLEDLLVKYTSDSTIVRYAKIAMQLKDKTVREVALRCRWMTKKENGKRRKEDHSARKNKDRREKGTDTSAKPTPHLTTRPNGPSYALPMIPMDNDDGILCEAIGGVTGELFEQNAQMFNQISANFAAFQVHDNINLLCKARDNILTIMNDLNDLPEVMKQMPPLPVKGSEYSSKIRKTR >KJB22682 pep chromosome:Graimondii2_0_v6:4:5873378:5880966:1 gene:B456_004G060500 transcript:KJB22682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASANPTGNHQEGSSNQNVTPSPPPTANGVAVNSNNSGGNTSTAAAAVSADTQSALRHNPGISLDWTPEEQTMLEDLLVKYTSDSTIVRYAKIAMQLKDKTVREVALRCRWMTKKENGKRRKEDHSARKNKDRREKGTDTSAKPTPHLTTRPNGPSYALPMIPMDNDDGILCEAIGGVTGELFEQNAQMFNQISANFAAFQVHDNINLLCKARDNILTIMNDLNDLPEVMKQMPPLPVKVNEELANNILGHASHQMKS >KJB26454 pep chromosome:Graimondii2_0_v6:4:58015566:58016843:-1 gene:B456_004G242400 transcript:KJB26454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFLLFLLIFLSVSSFILLSESQKTSKPNRFVLQLQKDSKTKLYVTNIYKRTPSQKVPFVVDLNGRLLWVTCEKSYRSSTYHAPRCRSTQCSRADSHYCHICSTRDGPGCHNNTCGVMSMNPVTGLTAMSELAQDVLSIQSTQGSNPGPMVRVPQFLFTCAPSLLLQRGLPSTVQGVAGLGHSPISLPTQLASHFGSAGFAPTFALCLAPKGVMFFGDSPYYMLPNVDITRPLSYTPLIISPQGEYYMEVKSIKINDKDVPIDTALLSINKQSVGGTKLSTINPYTILHHSIFKAVTQFFSKELSAIPQVKPVAPFSACFNSKSFKNSRVGPGVPNIDLVLHDKHVMWRIYGANSLVEAAPGVSCLAFVDGGMKNNGASIIIGAYQMENNLVQFDMARSRLGFSSSLLFYKTSCNNFNFTAIP >KJB24019 pep chromosome:Graimondii2_0_v6:4:32665981:32667012:1 gene:B456_004G125200 transcript:KJB24019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSTQESHLRSDNAVTYESPYPLYAMALSSTPAVTHLNHQRIALGSFIEDYANRVHIISFDPESLTLTTHPSLSFDHPYPPTKLMFQPHRKSPFSSSSDLLASSGDYLRLWEVGHSSIELISILDNSKTSEFSAPLTSFDWNDVEPNRIGTSSIDTTCTIWDIEKGVVETQLIAHDKEVYDIAWGEARVFGSVSADGSLRIFDLRDKEHSTIIYESPQPDTPLLRLAWNKQDLRYMATTLMDSNKVVILDIRSPTMPVAELDRHGASVNAIAWAPQSCKHICSAGDDTHALIWELPTVAGPNGIGPLSMYSASSEINQLQWSAAQPDWIAIAFSNKMQLLKV >KJB24567 pep chromosome:Graimondii2_0_v6:4:43050715:43052155:1 gene:B456_004G151700 transcript:KJB24567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHIFTPFFILIFFFQLSPLVLTLPDLRNIPPHENPVSQQPQFSNPNPAQNQPLNPKPLPHPNPSESIQIQIHPKLLVNPSKDPQGTYQFSSRQPIRKPSEILISQQSQNSSDNPNPQQPSNTNQDTNSQQLQNPTQQPQNQSTQPFQPKPLTPSSPDSDAQQFLDAHNAARIHENEPLYTWDQKLADFARSWGNKRINDCRIVHSNAPYGENIFVANNDHWTPREAVQRWVGEEQYYDKKTFACQPGKLCGHYTQIVWRDSIRVGCARVRCANGGLFVMCNYEPPGNYKNENPFVPHNQ >KJB21800 pep chromosome:Graimondii2_0_v6:4:1022144:1023724:-1 gene:B456_004G014400 transcript:KJB21800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFDPLNNISPLLPLLALLSLCLLPFIFFPIFRRQKQAPPSKNPTPQSYPIIGNLPGFLRNRHRFHDWVADMLSQTPTSTLQVNNFLNLSRGVCTANPANLHHLLVANFPNYIKGSRFHDFLHDLLGDGIFGIDGHLWTMQRKLSSHEFNTKSLRHFISNVVTSQLDQNLVPYLYTACNENRIIDLQEILQRFSFINICKVAFGVDIESMDNSGFAKAFNDAVDICSSRFFAPIPAVWRIKRSLNIGSEKKLKESIKIIDDFAFEIIKSKAKQEQYDRIYPDWRNQDLLSRFMAKTSSEIEFDDEAKKRKFLRDIIISFILAGKDSTSTALTWFFWLINGHPDCDRLILNEVTTLDPTFTYDDLKSLNYLHAALSESLRLFPPVPINSRLTVSDDVWPDGTRVSKGWFADYSAYAMGRMEKVWGPDCREFKPERWLPGGDGVFKPSDQFKFPAFHCGPRTCLGKDMAFVQMKTIVVALMREFEIIAVDGGASAEKMMDPPYTLSLLLKMRGGFLVRLKKRGFNPN >KJB21919 pep chromosome:Graimondii2_0_v6:4:1602175:1606050:1 gene:B456_004G021300 transcript:KJB21919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSHDMTLDILRCLSVNDLLRFKCVSKFWCSWIEDPYFIKLHLSYSLKTNTNRSLIVRYCKYQFLSVDYDSPKTTRSLKQPLSEQKKYIQILGSCNGLLAVEDDDGRILLWNPSTRKYQVLPSTEIEFPSPPIRCSRSTYYGFGYDPVSDDYKLVRIVQLLGAKDEYFHSEAKVYSLRSNSWRRIKDFCFYFISYRQLGFLANNVLHLLAFKTPESSKKDLVGFDLRSEEFSLVELPDFCLDENIYFDVKAMGGYLCLSATYWELGDIVADVWIMKEYGVKESWVKLMSSTYPDLLLCSPSEVPLAFSKNGDKVLFHFKLNRDRRDSLVWYDLGSKRIEEVGIGGVPIVYDVDLYVESLVPLNQKPPRVRKKRTSTSKKKFCPERASVDDRAITLCFLELHATMLLPRNIEYPAVKRQSSMLLPQSGSE >KJB25165 pep chromosome:Graimondii2_0_v6:4:48939102:48940313:1 gene:B456_004G179500 transcript:KJB25165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGPQSVVMYLRLLLQRPWLAIMRFDWFWGWGCMRLFLKVILSWRSARSYLLIMICRPLGLTSKVSMQWLCSFVGVDSCMFPELQIRWPICW >KJB22715 pep chromosome:Graimondii2_0_v6:4:6177635:6178134:1 gene:B456_004G062200 transcript:KJB22715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLTHGSLVTSSLIRETTENESANKCYRFGQEEETYNIVVAHGYFGRLIFQYASFNNSRSLHFFLTTWPVVGIWFTTLGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAIEAPSTNG >KJB24062 pep chromosome:Graimondii2_0_v6:4:33174160:33175070:-1 gene:B456_004G126400 transcript:KJB24062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTMAAPEEELRKRNQELEKELKESREREEQMRKELRKMWERLRVAEEAEERLCSQLGELEAESVNQARAYNSHILTLMDQLSKGNNLLINNHPSPAPISIL >KJB25691 pep chromosome:Graimondii2_0_v6:4:53397859:53398454:1 gene:B456_004G204400 transcript:KJB25691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNIFFIIAIVTVFAIPSSLATEFVVGDEKGWSLDFDYQAWAAGKEFRVGDKLVFRYTPGVHNVVRVSGVEFQRCEAVNNTDPLTTGNDVITLLTPGRKWYICSVPRHCAARNMKLNITVLSEVGSPFAAPGPIPGSQTPSSSTRGSAVLSFYGWIAFMVSFVGVLLV >KJB26749 pep chromosome:Graimondii2_0_v6:4:59358846:59359444:-1 gene:B456_004G257500 transcript:KJB26749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTLVSTRFLMVCLSKMTVTHLHFFLHETLGGKNPVAVVVAQANISSLPFGTLYAIDDILNIGLEDNSEVIGNAQGLALMVGGNTTTGVMYVDFGFTKGKFKGSSISLFSRNPITNTEREVVVVGGRGKFRIAKGFALLKTYFLNATTVIVDFNVTVIHTDPILETQFSWIVWLCTALLE >KJB26664 pep chromosome:Graimondii2_0_v6:4:59105608:59108342:1 gene:B456_004G253900 transcript:KJB26664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLYKGFKELLASSATASVPSTAAKFYAATAAKSASPKVAQKTPKKPTASKPKTKKPAALRSETRPTGISKVTPVSPALGQFLGAQQASRTEAVKQIWSYIKSQKLQNPNNRKEIFCDEKLKTIFNGKEKVGFLEIGKMLTPHFVKTT >KJB27215 pep chromosome:Graimondii2_0_v6:4:61625012:61625883:1 gene:B456_004G285200 transcript:KJB27215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCHVAFPITALRLRKSKSYAPHLCLTEHSPVKQNTGRKPESERPDSRFKGARKRKWGKWVSEIRLPNSRERIWLGSYDTAEQAARAFDAALFCLRGRSAKFNFPDNPPDIAGGGSLTHAEIQAVAARFANSAPPRTHAEEQSTSGFQTESPSPSVSVETVRVDGELPVDDGSFLDDLIMGSGHYDSGYGLFPEFDDFSSDFTGLLSVPNIDCEEDDNLAWNLNSEPFLWNF >KJB24763 pep chromosome:Graimondii2_0_v6:4:44852152:44854128:1 gene:B456_004G159200 transcript:KJB24763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKNKKLSWLIYPQGPRAESVHVCRLNQSPWDVNPLPQEPYPSYLHHRLEYEDSFNGNGGIGDSVGAVESEATMVGSKEQAIMKVGGMIIDDNGDNDEIKTAKKTQCQEEEALLQSSNNNSSSSSSDGGNNMNPTSLTSKKADNQLTRSRRGSRARTSRRGGSSSASNLNDFYYYSGFGPSWGKRRHGGDKESENSKNLVEVENNDSVTTAQNNSTLSSSSQIDDNEEFDYVEEEEEEDDENEYSGEKRTRKPIKARSLKSLM >KJB23530 pep chromosome:Graimondii2_0_v6:4:19738844:19739440:1 gene:B456_004G103300 transcript:KJB23530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSGCAAADNRRMTEENLNHKEKKAKLEAKQRRRMREEYEKLTVTEQPKKQIKAAKSNTKNTIIMEEGDANISSASKHGKVDDECVESFMEQLKAKVESEVDYSDFQILKEDLGKDLRMVGRFSVPLRLALIANKIKDGFGFTWEETKS >KJB24336 pep chromosome:Graimondii2_0_v6:4:39509122:39512148:-1 gene:B456_004G140200 transcript:KJB24336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKEQVIIVGAGPSGLAMAACLNHHSIPYIILEREDCIASLWKKYAYDRLHLHLDKQFCVLPHMPFPDSYPRFVSRQQFVSYLDDYVSRFKISPLYRRTVESAEFDGETGKWIVKARNLGSGEVEELEGRFLVVASGETSNPFTPVIEGLNTFPGDVLHSTRFRNGKAFQNQKVLVVGSGNSGMEIAFDLANHGAQTSLVVRSPVHILSRDMIYLGLILVKYIRVNLVDSLMVMLSKLVYGDLSKYGINRPKEGPFFMKAVYGKYPITDIGTCKKIKSQEIQVLPSICSIRGNEVVFENGTTHPFDTIVFCTGFKRSTNVWLKGDDYLLNDDGISKLSFPNHWKGKNGLYCVGLSRRGLYGAASDALNIADDINSLQ >KJB21837 pep chromosome:Graimondii2_0_v6:4:1216539:1218812:1 gene:B456_004G017200 transcript:KJB21837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMESVSVTHTISATNQKNPFRITPIIHSRRLFHLQAAARFRFGFISSYKKSDFQDFQGFAKPSRLFPSTEPKTFTGALKENIFPSTGLDGARTLFKVRLQTSNMYGSSLSNINAGILLCLIDEKGDSILKRIPAYLLSEHSTELENTVVPETLHFQRGSVDEFVFEGSELGKVQALWIGIESGQWRLGGVSLVILNPSRSSSGGNFEHIGAKYDFEVDDMLLGEGGDESMVELRPCLVSKLSENEDFSQLTSPLSDKASKEQSMKEYADLKFSLLLYDAVLILSGTSLASFSIGESSAFAFLLGGIIGFLYLLLLQRSVDGLPASELISNNPKDETVRFKGPVSSLALAIAVSSLVIKYSIGEGPFVLTPKELLVGMMGFLACKLAVILAAFKPLRVSIEKRK >KJB22722 pep chromosome:Graimondii2_0_v6:4:6213914:6218483:1 gene:B456_004G062700 transcript:KJB22722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRLSSPLYRRLSSSFSTATKGKVPLLYKSPEINEDNGNEAVTLQVLSWGRGASGQLGGGIEEIRIYPSPVANLLFPHASFSLSPTPGKTQNQDQKDQTLHSVGISCGLFHSGLVVGGKLWMWGKGDGGRLGFGHENPAFVPTLNPHLDSVSFVALGGLHSVALTSKGEVFTWGYGGFGALGHRVYHRELVPRLVEGNWSRNIRHIATSGTHTAAVTESGDLYTWGREEGDGRLGLGPGRGPNEGGGLSIPSKVKELPTPVAAISCGGFFTMALTEDGQLWNWGANSNYELGRGDKVGGWKPKPIPSLESTHIVQIASGGYHSLALTDEGKVLSWGHGGHGQLGHSSIKNQKVPATIEALADKRVVYIACGGSSSAAITDEGKLYMWGNAKDQQLGVPGLPEVQASPVEVNFLMEDDGLGAHYVLSVAVGACHAMCLVSRSPC >KJB26561 pep chromosome:Graimondii2_0_v6:4:58431896:58433271:-1 gene:B456_004G2475002 transcript:KJB26561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLDLSSIWALLAAFEHHLPMGDGNVTFQGAFVKGVDSVSWMANNSLKLLGSQGNGPHCWTFFSTAVYGKQNKVPQENIPSQTAEKVKTSMLEGVEAALGLPKGSLPRPIYSRVQLWGATLPTNTPGIPCIFDPHGRAGICGDWLLGSNLELAALSGMALANHIADYLQSDGACPEEFAVGLEKGFEAIEGHDIGQFALAGVESSLKQHNEMSAFQLAT >KJB23177 pep chromosome:Graimondii2_0_v6:4:10766882:10767333:1 gene:B456_004G085600 transcript:KJB23177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLVHQYLHVQYSTISAAPAFLPSAPLASSPSLPPDIEPLFPTPNGVAPSPTDSSMPTIPSSPSPPNPDNIVAPAPGFAFSPSNSPLPSFLVCEFLLMALFLVA >KJB24585 pep chromosome:Graimondii2_0_v6:4:43401941:43402312:-1 gene:B456_004G152600 transcript:KJB24585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESFETHGQNEAATTIGIWDCGSPLYDSYELVSLSHLIERHLMKLPYLGGSKRLTTATRFSYPSDLTLPTIAADSYTSNARAKGSYSLMNSLTEFLGTKFWKRRRMFGHKRKKPNKKSTTFI >KJB25892 pep chromosome:Graimondii2_0_v6:4:54691776:54693247:-1 gene:B456_004G214000 transcript:KJB25892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNISVPPDTFNEHFLFDLPLVPLYDSSSVYNLQSLMTQQNPIDESNCSDQLVSGSPLTDQLENLSLYQTTHFPSFSFDPNAANEYQSSSSLSFPAVKNEESLVDFDSAFNSDNVANYLQRSFSSNSFETKPNFSFQTAPNSLMEPQNFQGQTAFSLPENTFFAAHMRKVSSTGDLENMRNVNDNQRSTIENSLIEEVPFKVGRYNPEERQERISKYRAKRNLRNFNKTIKYACRKTLADNRPRVRGRFTRNDDTVETPKLACNSTRDEDEDELWALHEVEDEIMGRATFINSFSQQNQFPYHHG >KJB23612 pep chromosome:Graimondii2_0_v6:4:23173929:23177227:1 gene:B456_004G109800 transcript:KJB23612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYNAFGSSNSTRLDHPRETITTPQTSNLFSVLWHKPSSIAMDWYSWLSKTALEPSLIYDYGLAFSRNELQKEDLAYFNHEFLQSMGISVAKHRLEILKLARKEVGETPNSLSKLILAINKTRKSFNKYVNKLVHHENNSIKRLPEPGRYRDQWRGGLTRKCNSEKEVNIEQPVRRTRKIAKSGPLDCRVQEKLLAPPRSLKLSGPLDRKMQENLVFNYKSPVTSGPIDIAIAQERLILTTNRSPKLSAPLTYVRPPSPKIHVFSGKVRYMSFRCFLPLRGASLYAFASEPDSDIRLGCPKISILKSCWTVLFIINTHLIVLYSF >KJB26387 pep chromosome:Graimondii2_0_v6:4:60675094:60677079:-1 gene:B456_004G272000 transcript:KJB26387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFNKGKEIIEGSSRSVTAVVGVENPPRLSRYESQKRRDWITFGQYLRNRRPPVAISQCNANHVLDFLGYLDQFGKTKVHLQGCMFFGQPEPPGPCACSLRQAWGSLDALIGRLRAAYEENGGSPETNPFANGAIRIYLREVRDSQAKARGIPYKKKKKKKNPLKGNEDNSSFPTQQT >KJB23468 pep chromosome:Graimondii2_0_v6:4:17556943:17558237:1 gene:B456_004G100000 transcript:KJB23468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLGRVSLDYLWRHFLGSPRLLIVETPIIISTLDKSWIDAPKFSMVYMNGIASFIEYATKNSTNNQNIYFPCKKCYNRFLLRPKVVHNHLRLYCFVKWYKRWIFHGKTSASIFSSNSPNMASHTRSLNDIDVDLGTRDLIMEVIGLNVPVHDRDEFSHGDHVNGGGDVVSTLLPSEDVGRTTGEILWMIARYLFIPIVKNSLPYHSSCDSFI >KJB25309 pep chromosome:Graimondii2_0_v6:4:49962091:49963248:1 gene:B456_004G185300 transcript:KJB25309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microspore-specific promoter 2 [Source:Projected from Arabidopsis thaliana (AT5G46795) UniProtKB/TrEMBL;Acc:Q1PDM1] MKEMEKGRISHHSSMPLVSRLDHLDFIMKYLEGKLSLQKGMEKARLPLDLAMREAYFKGSLLDRVTSLEHRVFQLCLDLEFSSTSSTSTSTSGYASSSRGGSRGQSIPRSLPTFTNPNQFHKQESRQPLLSRSEIQEESETLLLQKDPKPLEQQVGNKRSNKKDKICGKSGKKTSLKWSHWKILGC >KJB26314 pep chromosome:Graimondii2_0_v6:4:57597131:57597605:1 gene:B456_004G237400 transcript:KJB26314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFRHSSHHTTTGGSGGQNFEIIDANMFKYVNKVYFGRTHPSYGPSLFNQNPIDKKKKTRSSSSSSSIRSWFNEPKMKRKMRLTKYKMYALEGKIKDSLKKGKKWIKKKYCKLVHGF >KJB25340 pep chromosome:Graimondii2_0_v6:4:50094182:50096606:1 gene:B456_004G186500 transcript:KJB25340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVHVGMETEVNGNGRNGVPEMVKNNWKKRLLDFSGKMKRFTGCLWRTIWKAGREDPRRIIHAFKVGLCLTLVSLLYLIEPLFKSFGTSAIWAVMTVVVVLEFTAGATLCKGLNRGLGTVLAGSLAFLINYIATRSESVVRAVFIGAAVFLIGTAATCMRFFPYIKKNYDYGVVIFLLTFNLITVSSYRVENVLKIVHDRFYAISIGCAICLFMSLLVFPIWSGEDLHNSTVGKLEGLAKSIEACVNEYFNDPEIKENQDKSSEDPIYKGYKAVLDSKSIDETLALYASWEPRHSRHCYRFPWQQYVKVGAVLRQFGYTVVALHGCLQTEIQTPRSVRARFKDPCIRLAGEVTKALMELANNIRRRRHCSPEILSDHLHEALQDLDTAIKSQPRLFLGSKKNQTTSNMLALAAAHAARYKQDHGVSLASVKTDSSAFLEWKRKRLGREEAKENERKALRPQLSKIAITSLEFSEALPFAAFASLLVEIVARLDHVIEEVEELGRIACYKEFNPDDDDISVTCKRPPVDVTKNQLPSHAF >KJB26559 pep chromosome:Graimondii2_0_v6:4:58405477:58406764:1 gene:B456_004G247200 transcript:KJB26559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSEYFNRCGPKYLSNIDWNNAHHRRRSTSQTRREFFNFKVVNALIDVVNALIDDNDKSIFGAIFEYKPSAYSYHRSLDRSPRYVIAFRGTLIKLESFARDLKLDIDIIRNGLHHTGRFRTAIKAVLDLVSVVGSSKVWLTGHSLGAAMAMLAGKNMAKRGNFLEAFLFNPPYVSPPIERIKNKKVRHGLRFAGTLIKAGIAFAAAASDNHNNSNGIQDSSFAAISGWIPCLFVNHLDPICSEYIGHFKHKKKLEDIGAGGLARLTSQHSLGNMAMNAVGIKGIDTSEPLHLLPSANLAVNLYPCQDMISAHELHQWWRPDLNLSCSVYKYK >KJB24709 pep chromosome:Graimondii2_0_v6:4:44561549:44563223:1 gene:B456_004G157300 transcript:KJB24709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTGTLDKCKACDKTVHVVDMLTLEGVPYHKTCFKCSHCKGNLVMSTYSSMDGVLYCKPHFEQLFKESGNFSKNFQTSKERQIDQVKTPNKLSSLFCGTQDKCAACHKTVYPLEKVTMEGECFHKTCFRCAHGGCALTHSSYAALDGVLYCKHHFAQLFMVKGNYAHVLQAASHKRNNSSASPKLAENQADQRETATDEDDSEDKS >KJB22089 pep chromosome:Graimondii2_0_v6:4:2259628:2260406:1 gene:B456_004G028700 transcript:KJB22089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVIDIVVDFEDYFPSMMEKYGAEWLLGEVCNGFRLLMDAEKGVITFESLKIKSAMLGLGDMEDDEIVCMLSEGDLDGDGALNQLEFCILMFRLSPGLV >KJB22558 pep chromosome:Graimondii2_0_v6:4:5187990:5190474:-1 gene:B456_004G054300 transcript:KJB22558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MAEIRAEEPASTISKTFLIKPKLKSKPRSLPQTPESKYWSSFKSTKIPNLVSSISSLSFSPSPPHLFAAAYSASVSLFSPLSLSDGDVSPSSTISSFSDVVSSISFRNDGLLLAASDLSGLVQVFDVKTRTPLRRLRSHTRPVRFVKYPVLDKLHLLSGGDDAVVKFWDVAGESVVLDLIGHKDYVRCSDCSPVSPDLFVTGSYDHTVKVWDVRVENSRSVLEVNHGKPVEDVIYLPSGGLIATAGGNSVKIWDLIGGGRMVYSMESHNKTVTSICVGRVRKENDGAESMEDRILSVGLDGYMKVFDFGNMKVTHSMRFPAPLMSVSFSPDCRTRVIGTSNGIIFAGRRKGKENVESGRVGNVLGFESISESERRVLKPTYFRYFHRGQSEKPTEGDYLVMRNKKIKLAEHDKLLKKFRHKDALVSVLSRKNRENVVAVMEELVARKKLLKCVSNLDSDELGLLLTFLSKNATMPRYSSLLMGLTKKVIEMRVEDIRNSDALKGHIRNLKRSIEEELRIQHSLQEIQALGTSRASSVNLFSGLGNLHLPSLQTSLTSAALLTSASLILMFGNKSRMAFVNFF >KJB27255 pep chromosome:Graimondii2_0_v6:4:61719300:61721299:1 gene:B456_004G286900 transcript:KJB27255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKRYISKTELETHKKPDDLWISIQGKIYNVTQWSHHHPGGPLPLLNLAGQDATDAFIAYHPGIAWQYLDKFFTGYYLEGYLVSDISEDYRKLAVEFSKMGLFDKKGHGTCILLTIIALLFFTCVYGVLCCDKTWVHLCCGGLMGFLWIQSGWIGHDSGHYTVMSNKNLNRLAQLLTGNCLAGISMGWWKWTHNAHHIACNSLDFDPDLQHMPFFTVSSKFFNSITSAFYGRKLNFDSLTRLLVSYQHCTFYPVMCFARINLFAQSIILLLSKRKVPNKGQEIFGILVFWTWYPLLVSFLPNWYERVMFVVVSFAVTGIQHVQFCLNHFSSSVYVGPPNGNDWFEKQTDGTLNILCSSWMDWFYGGLQFQVEHHLFPRLPRCHLRTISPFVKELCKKHNLAYNCASFWKANAMTIETLKSAALQARVLTNAVPKNLVWEAVNTHG >KJB26030 pep chromosome:Graimondii2_0_v6:4:55640026:55643387:1 gene:B456_004G221800 transcript:KJB26030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANNKMKGLLKGLRYISQIFDDEDQQPEMQIGLPTDVKHVAHIGADGPSVNSNAPSWMNELKSAAPGAPNLANGGEGAKPINQKWVNHQSEEKRDKNSQSTQSSSFSDMPEQPKSSKRSSSTNVKSRVEGTKEKRDKPKHSKKPSKNSSSDTVSKPKKAPKDPSETTESNSEDLPKKSSRRKKTKDGAVSGTTKRNQTQDLDSGSEFGSESRYGSGFEDGEEGTRET >KJB22879 pep chromosome:Graimondii2_0_v6:4:7683231:7685053:1 gene:B456_004G071300 transcript:KJB22879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRWSGVFNVKLDPNCKNYYRIAASLCFSSASKSLTVPSANAIFFNGDRVEGTRNPVVERLSDLQNVAQVLVSKFGGSVNAWVIQASIFNGPFAVYKDFIPSVNQYGEPKSYSPVGFPASTSTVSLLSNCLQQAKDVVSSGTKKACSISSSSTSRPKTVVLGFSKGGTAVNQLVAELGSLDDKSHIREQPAGVNDQEEVQILPTTKESLLNSITEIHYVDVGLNSCGAYITDQDVIERISKRVADGGPRVRFFLHGTPRQWCDGCRIWIQDEKNRLYRLIESEDEKSGGKLKVCERFYFADRKPDMQMHFEVIEKMDVS >KJB22374 pep chromosome:Graimondii2_0_v6:4:3855770:3856615:1 gene:B456_004G044200 transcript:KJB22374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEKSFRNFHLHLPHLHLHHHQHQQGKKQGSDVPKGCLAIKVGSEGEEQQRFVVPVIYFNHPLFMQLLKEAEEEYGFDQKGTITIPCNVQEFRNVRGLIDRENSLHHHHHHHYVWCFRV >KJB27125 pep chromosome:Graimondii2_0_v6:4:61203822:61204019:-1 gene:B456_004G279700 transcript:KJB27125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIKVLVVQVIVALLFAVSQLGYGQTMDSSPAPAPGPSNDGAAIDQGIAYMLLLIALAITYLIH >KJB26999 pep chromosome:Graimondii2_0_v6:4:60669266:60670938:-1 gene:B456_004G271900 transcript:KJB26999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGPLTQDWEPVVIRKKAPTAAAKKDEKVVNAARRAGAEIESVKKSNAGTNRAASSSTSLNTRKLDEETENLAHDRVPTELKKAIMHARVEKKLTQAQLAQLINEKPQIIQEYESGKAIPNQQIIGKLERALGAKLRGKK >KJB22420 pep chromosome:Graimondii2_0_v6:4:4149066:4149713:-1 gene:B456_004G046800 transcript:KJB22420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFTSKLLETTHDVAFINIELTIDFVLLSVHHDCLADISTITNRASLRETFRFELDIMENQYLFHTFRRLRINTASLAYHNFVHENFVRGMRSIGTIPEVLPLRSLIHASIVEHDSVHSDGVLMGRALAESALEFESSNYGMVPAKESLVKEMVKMVKVEAGDEEDCIICLEELEVGFYASQMPCSHTFHVDCIEKWLKQSHYCPICRFEMPTN >KJB23849 pep chromosome:Graimondii2_0_v6:4:29204570:29206260:-1 gene:B456_004G119600 transcript:KJB23849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPKQITPHKPLIVKDHEDEVDDGKKKVTIFFGTQTGTAEGFAKVAKVVDEILTEQGAKRLVPVGLGDDDQCIEDDFTAWRESVWPELDQLLRDDDDATTVSTPYTAAILEYRVVFYDLANAPVKDKNWNNANGHTVYDAQHSCRSNVAVRKELHTPASDRSCIHLEFDITGTGLSYETSDHVGVYCENLDEVVEEALRLLGLCPDTYSSVHTDKEDGTSLCCNIASKFDV >KJB26234 pep chromosome:Graimondii2_0_v6:4:56911133:56911396:-1 gene:B456_004G232100 transcript:KJB26234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLFTLSITEPNLVYYNGFAFSIDSYGLDQKQFLNEVFNSKDESKKKFLSALPPIFYEENESFYRRIRKKWIRISCGNDLEDPKLK >KJB25555 pep chromosome:Graimondii2_0_v6:4:51796774:51797268:1 gene:B456_004G197400 transcript:KJB25555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKAHSPTLVAHFFNHLNSNSKGLSSLEPSWSSSIATLLSHSTTTLERPLCHATLKPSRTAENSALRTEPLPIDLQKLATQLGNESRRTPPIEDQIPDTFEAPSVFNLVHPKAGGLQLT >KJB21966 pep chromosome:Graimondii2_0_v6:4:1793681:1797005:-1 gene:B456_004G023400 transcript:KJB21966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NMGSLCLVLVVLQLSWSLSFSVPPSSHLCLPHQRDALLHFKTTISVDCEFFYYGDTYPKMHMESWNKSIDCCSWEGVKCDHVTGHVIGIHLSQSCLGGSLFANNSLFQLHNLQWLDLSYNNLEGSLLENSSNLFHFHGLRRLNLAGNRFNGTISSKLFSQLVSLTHLNLAVNDFSGLISHQINVLSSLVSLDLSSSSSDLRFDGQGFDMLARNLTKLRNLVLDYVDMSDVALTSFLNLSSSLEHMSLSFSQLHGEFPTQVSQLPNLKLIDLSRSKNLRGYLPNTNWSHALELLDFSHCGFRGSIPASFGNLTQIISVDLERNSLEGQIPDVFGNLRKLTTLRLSSCNLSGPLPITIFNLTKIIRLDLSNNHLEGPLPNHVNKLQFLKELRLDNNSISGGVPSWLFTLPSLPILDLGYNKLVGPIDRIQKPSSIKEVHLSNNNIGGSIPYSIFYLVNLTLLDLSSNNLSDPIPDSLFDLTNISYLDLSSNNLSGVIKSNMLSKLTSLYFLLVSSNSLLSLSTSGNDVNYSFPQLAIVNFSGCSVRQFPNFFQTSNLEELDLSNNMISGGISKWEAEGWEGLRYLDLSHNFLTALEQFPGNNLEYLNLHSNFLQGPILSTCLSPQIPILKELFGIIISKNKLTGNIPSSICKLSLLSVLDLSENSLTGTIPDCLGNLSSLQLMDLQVNNLYGKIPNSFVNNWKLSHLLLNDNQLEGLVPPSLANCTSLELLNLGNNKLRDKFPHWLASLSRLQVLILRFNRFYGFLPHSIASYDFLALRIFDLSENEFTGTLSTKLFRNLRAMKDKHKQQSYSSSFQYDGEFYEIPVNLTTKRLELEFTKVVANFVSMDLSNNQFCGKIPENVGQLISLQMLNFSHNNFIGPIPTSFGNLVALESLDLSSNKLNGRIPSQMTKLTFLEVLNLSNNNLVGPIPHGNQFDTFDNDSYNGNSGLCGLPLSKQCVNHVGAEPPSPLVVEHEGSVIPFFWEVVMMGYGSGVVLGLSLGYIVFTTGRPWWFIRKVERDWQYNFSRWVRRNRVRRN >KJB21892 pep chromosome:Graimondii2_0_v6:4:1457757:1465128:-1 gene:B456_004G019600 transcript:KJB21892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTETPRKQFQSPKKPKQSPNNVKSQSSTPSIHLSPQTPQLPLRRSSRRSLQPFTSKTVDEMLDLSTPRKALEDLDGIGKCSGNNRKESSVRTPRAPKCKFNEEDREIPEMGKVGEGNGVVEDAFCPVSPEALESKKTKKGLSEEGSVKMGKSPKCKLNEDLGKLGEGNGDVVLPEKRKKGLRNEESVKTRKSLRCKLSEEREIPDLGKLGEATFFPVSPQALESKKRKKGEEKRAVTRAMATRSLKKVNKEEKKGRKRVYYKKVVYDGGEFEVGDDVYVKRREDASSDDEVPEMEECRVCFKVGRGVMIECDDCLGGFHLKCLTPPLKEVPDGDWVCGFCQARKLGKDVEFPKPPEGKKRVRTLREKLLASDLWAARIESLWKEVDGSYWLRGRWYIIPEETASGRQPHNLKRELYRTNDFADIEMESIIRHCNVMSPKEYAKANDQGDDVFLCEYEYDIQWHSFKRLAEIDNDEDGECANGDEDWNSCKEDDSDTDEDMEYEEENERNAHARPSTTHQLAANSRKGRFFGIQKVGTKMIPEHVRCHKQTELERAKATLLLATLPKSLPCRNKEMEEITTFVKGAICDDQCLGRCLYIHGVPGTGKTMSVLAVMRNIKSEVDAGSIRPYCFVEVNGLKLAAPENIYTVIYEALTGHRVSWKKALQLLNERFSDGKKIAKGDDRPCILLIDELDLLVTRNQSVLYNILDWPTKPHSKLIVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYQQLQEIISSRLKGIDAFEKQAVEFASRKVAAISGDARRALEICRRAAEIADYNMKNQISSVNSSRVKDVVTMADVDAAIQEMFQAPHVQVMKSCSKLSKIFLTAMVYELYKTGMGETTFEKLAMTFSCLCTSNGEAFPGWDTLLKVGCKLGECRIILCEAGDRHMVQKLQLNFPSDDVAFALKGSKDLPWLAKYL >KJB22322 pep chromosome:Graimondii2_0_v6:4:3520732:3529218:1 gene:B456_004G041200 transcript:KJB22322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEADSRISLLVVPALEKIIKNASWRKHSKLSHECKSILKTLTSPSPPPSSASPSDSEPENSIPGPINDGGQVEYSLAESKSILSPLINACATSFNKIVDPAVDCIQKIIAHGYLRGEADPTGGPEAQLLSKLIESVCKCHAVGDESIELLVLKTLLTAVTSISLRIHGDCLLQTVRTCYDIYLGTKNAVNQTTAKATLIQMLVVVFRRMEADSSTVPVQPIVVAELMGPIEKSDADGSMTHFVQGFITKIMQDIDGVFNPATPSKISLGGHDGAFDTTTVETTNPTDLLDSTDKDMLDAKYWEISMYKTALEGRKGELADEEAERDDDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEALADPQLMRGKIVALELLKIFLENAGAAFRTSERFLGAIKQYLCLSLLKNSASTLIIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLRFLDKLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGTATTLLPPQQATMKFEAMKCLVAILKSMGGWMNKQLRIPDPYSTKRFEAAENSLEPGTVPMENGNGDEPAEGSDSQSEAINESSEILTIEQRRAYKLELLEGISLFNRKPEKGIEFLIKARKVGNSPEEIAAFLKNTSGLKKTLIGDYLGEREDLPLKVMHAYVDSFDFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFISADTAYVLAYSVIMLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPEECLRSLFERISRNEIKMKDDDLSMLQKQSMNSNRILGLDNILNIVIRKSDEDEHMETSDDFIRHMQEQFKEKARKSESVYYAATDVVILRFMVEASWAPMLAAFSVPLDQSDDEEVTALCIEGFCCAIHITAVMCMKTHRDAFLTSLAKFTSLHSPADIRQKNIDAIKAIATIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFSFSQNESEKSKQAKSAGLPVIRKKGSGRIQYAAAAVMRGSYDSAGIGGNTGTITSEQMNNLVSNLDMLEQVGSSEMNRIFTRSQKLNSEAIIDFVKALCKVSMEELRSTSDPRVFSLTKIVEIAHYNMNRIRLVWSSIWLVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIAMQKSSAVEIRELIIRCVSQMVSSRVNNVKSGWKSMFMVFTTAAYDNHKNIVLLAFEVTEKIIRDYFPYITETETTTFTDCVNCLIAFTNNRFNKDISLNAIAFLRFCATKLAEGDLSSASIKTDKESGNTSQSSPSKGKDGKQENGELIDKDDHLYFWLPLLAGLSKLGFDPRPEIRESALQVLFETLSNHSHLFSLPLWEKVFESVLFPIFEYVRHAIDPSGGDPPEQGIDSEMSEIDQDAWLYETCTLALQLLVDLFASFYNTVNPLLPKVLSLLVSFIKRPHQSLAGIGIAAFVRLMTNSGNLFLEEKWLEVVSSIREAVNATLPDFSYFVSGDITLEGNGHVLNDQSNEASHGSDTSRGDSESLGKQRLYASLSDTKCRAAIQLLLIQATMEIYNMHRTRISAKNILVLVDAMHDVASHAHGINNDAILRTKLLEFGRMTQMQDPPLLRLENESYQFCLTFLHNLILDRPPSFEEAEIESHIVGLCQEVLLFYIESACSGQTSVTSADGQTQWLIPLGSGKRKELAARAPLVVVTLQTICTLGDTLFEKNLAKFFPLLSNLISCEHGSNEVQAALSDMLNSSVGPLLLQSC >KJB25061 pep chromosome:Graimondii2_0_v6:4:47740998:47744043:-1 gene:B456_004G175000 transcript:KJB25061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSLSSSLTPSFSSLHLKPKHKLSLTFPNPSFVCSAPTSTPLSSSLKLKPTANSLKLSAPQSPATAMRGAEADAMGLLLRERIVFLGNNIDDFVADAIISQLLLLDAQDPTKDIRLFINSPGGSLSATMAIYDVVQLVRADVSTVGIGIAASTASIILGGGTKGKRFAMPNTRIMIHQPLGGASGQAIDVEIQAREIMHNKNNVTRIISASTGRPFEQVLKDIDRDRYMSPIEAVEYGIIDGVIDRDSIIPLEPVPERVKASLNYEEISKDPRKFLTPDIPDDEIY >KJB22349 pep chromosome:Graimondii2_0_v6:4:3668439:3673265:-1 gene:B456_004G042500 transcript:KJB22349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLNLPPSKRNPRQWKLLDIVSAFFFALVLLFFLLVFTPLGDSLAASGRQALLLSTSDPKQRHRLVELLELGHHHQPIEACPADSVDHMPCEDPRRNSQLSREMNFYRERHCPLPDETPLCLIPPPLGYKIPVQWPESLHKIWHSNMPHNKIADRKGHQGWMKEEGPYFIFPGGGTMFPDGAASYIEKLGQFIPITGGTLRTALDMGCGVASFGGSMLKEGILTLSFAPRDSHKAQIQFVLERGIPAFVLMLGTRRLPFPAFAFDLIHCSRCLIPFTAYNATYFVEVDRLLRPGGYLVISGPPVQWPKQDKEWTDLQAVARALCYELIAVDGNTAIWKKPDGDSCLPNQNEFGLELCDGSNDPSNAWYFKLKKCVTKTSSVNGEYAIGTIPKWPDRLTIAPSRALVMKNGIDLFEADTRRWARRVAYYKNTLNVKLGTPAIRNVMDMNAFFGGFAAALKSDPVWVMNVVPARKPLTLDVIYDRGLIGVYHDWCEPFSTYPRTYDLIHVAGIESLIKLPGSSKSRCNLVDLMVEIDRMLRPEGTVVIRDSPEVIEKVARIAHAVRWSATINDKEPESHGRENILVATKTFWQLTSSSS >KJB24276 pep chromosome:Graimondii2_0_v6:4:38068388:38068951:1 gene:B456_004G136600 transcript:KJB24276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATRVTETLVLSLLLLLSGSSATQRKKTRAAPRMPCKQMVFYFHDILYNGENAKNATSAIVGAPAWGNRTILAGQNHFGNVVVFDDPITLDNNLLSIPVGRAQGFYLYDRKDYFTAWLGGSITFAGADPLNKTRDISVIGGTGDFFMARGIATLMTEAVEGDVYFRLQVYIKLFEC >KJB27149 pep chromosome:Graimondii2_0_v6:4:61267661:61269611:-1 gene:B456_004G280800 transcript:KJB27149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAVGDVLPDGTLSYFDADDKLQQVSVHSLAAGKKVIIFGVPGAFTPTCSLKHVPGFIEKAGELKSKGVDEIICISVNDPFVMKAWAKTYPENKDVKFLADGSATYTHALGLELNLGDKGLGTRSRRFALLVDDLKVKAANVESGGEFTVSSADDILKAL >KJB21439 pep chromosome:Graimondii2_0_v6:4:38256339:38259479:1 gene:B456_004G136800 transcript:KJB21439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDILKVEVLEEQLSRKSESLAGLRPSLERILADIHERLTFRARTYIRDEIANYIPINEDLNYPAKLEHSADVVSETATMESYFPASQFRPSSLEKLLKDHAGKYMTGDEVSMADLFLAPQILAGIERFNVDMETRRAWLMLLKKGLIYANKY >KJB22197 pep chromosome:Graimondii2_0_v6:4:2844048:2846538:1 gene:B456_004G034700 transcript:KJB22197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFATAEACDSNTALLASGELRALEPIFKIYGQRRSFSGPIVTLKVFEDNVLVRQLLETRGEGRVLVIDGGGSKRCALVGGNLAQSAQNMGWSGIIVNGCIRDVDEINACDIGVRALGSNPLKSNKKAIGEKHIPISIAGSLIRDGEWLYADSDGILISKMELSI >KJB21850 pep chromosome:Graimondii2_0_v6:4:1291520:1297670:-1 gene:B456_004G018100 transcript:KJB21850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRKGVCRGRAKLAQPQQPQETRKQTRNQVRKRAAAAVIEVGRPRTRLAAKRLKEEEEHPQVVVISERESDILKELVKDNKEKEAVMGDDSGGLSANKAAEQEEEGSTAPFPERVQVGGSPMYRIERKLGKGGFGQVFVGRRVSGGNERATGSAAMEVALKFEHRNSKGCSYGPPYEWQVYNALGGSHGVPKVHYKGKQGDYYVMVMDILGPSLWDVWNSSGQTMSAEMVACIAVESLSILEKMHSKGYVHGDVKPENFLLGQPSTPQEKKLFLVDLGLATKWKDGSSGLHVDYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPPPLKQFLEIVVNMKFDEEPNYSKLISLFEGLIGPNPAVRPINTDGAQKIIYQVGQKRGRLTIDEEDDQPKKKVRLGVPATQWISIYNARLPMKQRYHYNVADARLAQHVEKGMADGLLISCVASYTNLWALIMDAGTGFTSQVYELSPSFLHKEWIMDQWEKNYYISSIAGSSGGSSLVVMSKGTQYTQQSYKVSDSFPFKWINKKWREGFYVTSMATTGSRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDNGYRITSTAATSDQAALILSIPKRKPGDETQETLRTSQFPSTHVKEKWAKNLYLACLCYGRTVS >KJB25218 pep chromosome:Graimondii2_0_v6:4:49306513:49308792:1 gene:B456_004G181600 transcript:KJB25218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSVLQQTNFFSPPADPPQFSELNLRLKEQQCLSLLKRCKNLEDFKQAHAQIIKWGFFWNSFSASNLVAACALSDWGSLDYACSIFQQFHEPGTFEFNTMIRAHVKDMNFQDALVFYYEMLERGVEPDNFTYPALFKACAWLKAREEGMQIHGHVFKFGFESDLYVQNSLINMYGKCGEIQHSCAVFEQMDEKSVASWSAIIAANASLGMWYECLMVFGNMSSEGCWRPEESTLVTLLSACTHLGALDLGKCTHGALLRNISELNVIVQTSLIDMYVKCGYLEKGLSLFKKMTKRNQMSYTVMISGLAMQGHGEEALGIYSMMLEEGLDPDDVVYVGVLSSCSHAGLVDEGFNCFDRMKSEHGIEPTAQHYGCMVDLMGKAGMINEALEFINSMPIKPNDVVWRSLLSACRVHCNLEIGEIAAKHLFESNSQNAGDYVILSNMYARAEKWVEVAKIRTEMARKGFNQVPGFSLVEVGRRIHKFVSQDTSHPRCGNVYEMIHQMEWQLKFEGYSPDTSQVLLDVDEEEKRQRLKGHSQKLAIAFALIHTSKGTPIRIARNLRMCSDCHTYTKLISIIYEREITVRDRNQFHHFKNGTCSCRDYW >KJB25866 pep chromosome:Graimondii2_0_v6:4:54449157:54452131:-1 gene:B456_004G211800 transcript:KJB25866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQAGQCGNQIGGKFWEVVCDEHGIDASGNYVGTSPVQLERLNVYYNEASGGRYVPRAVLMDLEPGTMDSLRTGPYGKLFRPDNFVFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTIPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLTMSSTFMGNSTSIQEMFRRVSEQFTVMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAVVDEDGEGYEDEAEEN >KJB22185 pep chromosome:Graimondii2_0_v6:4:2786127:2789058:-1 gene:B456_004G034000 transcript:KJB22185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIHIIPDKTNNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTAKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKITLFLKEDQLEYLEERHEEDKKDEEGKVEDVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKPNNIKLYVRRVFIMDNCEELIPEFLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKKEALKEKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDNSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDEDAGEAEADADMPPLEDADAEGSKMEEVD >KJB22692 pep chromosome:Graimondii2_0_v6:4:6138485:6139967:-1 gene:B456_004G061900 transcript:KJB22692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVLKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHVRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENIRLNEGIVDEEEEGGVDDYVEFEDEDIDKI >KJB24418 pep chromosome:Graimondii2_0_v6:4:40743839:40746039:1 gene:B456_004G144700 transcript:KJB24418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRSLIPPGSWLVRKLCTETAENATAPAVRAAAARNRNILYSRLSALGATGGTVAEALNGYIMEGKKIRKDELSRCVKEMRKYRRYQHSLDIMDWMEKRNMHFSYVDHAVRLDLIAKTKGLGAAEDYLSALPPNSKNKFTYGSLLNCYCNNLMEDKALALFKKMDELKFIDNCLPFNNLMCLYMRLGRPQKVPQLVDEMKRCDIPRGSFTYILWMQSYAELNDIEGAENVLEELSNDSEDKCTWRTYSNLAAMYVKAGQFEKAEACLEKLEKDNMPRQREAYHYLISLYAGTSNRDEVYRLWEDLKQRYPTVNNASYLIMVQALANLKDFKGLKKCFEEWDTSCSSYDRRLVTSTIRGFLSGDMLEEAEVVLDNAMKRSKGPYTKAREYFMVYFLRKCRFDMALKHMEAAVSEVKDWSPVNPETTTAFFDYFMNEGDVKAAEEFCKHLKNNNCLDSEVYHQLLRLYVAAGKVAPDMRRRLEDDAIEISKELEDLLVKVCPE >KJB25392 pep chromosome:Graimondii2_0_v6:4:50445552:50446846:1 gene:B456_004G189200 transcript:KJB25392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLNANVKSEVDYSHFEILEKGLGKDLIMVGRFNVPLRLAPIADRIYDIYGDITASSTQSDCAAKPSYILFCAAIKEMDDLKLDQVNETKILLWRDAINNAHNLQFGVGFAIEHLKRIARAYIGFKAILKTCSTTKMDSSRIVSVQLNISWASLLASVCSISIILA >KJB25975 pep chromosome:Graimondii2_0_v6:4:55255869:55260007:-1 gene:B456_004G219100 transcript:KJB25975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYRRWLRIVILSLLSLSVLAPIVVVSFRLKTLTSIGKKDFIEDLASIKHRADNLRFNAIEQEGAEELKGPELIVFKEKNFSSVVSHISDKNHDLLETNGKEKHQIQQSTEGVNSTEKEQPNQETGGPDQHLQSQPCRADEKVRKMRDQLVRAKAYLSFQPPNTNSHLMKELRNRIKEIEQVIGEASKDSDLPRRAYQKMRLMESALGKANRAYPDCSQMANKLRAMANNAQEQIRSQRNQESYLVQLAGRTTPKGLHCLSMQLTAEYFFLQPEDRQFPNQEKLIDPDLYHYSVFSDNILACAVVVNSTISSAKEPEKIVFHVVTDFLNLPSISMWFLLNPPGKATIRVQCIADFDWLSTKYISILAEQKSSDPRYTSVLNHLRFYLPDIFPALNKIMHLDHDIVVQKDLTEIWSVDMKGKVNAAVETCTESEPSYRAMHMFVNFSDPFLEQRFNASVCTWAFGMNLFDLQEWRRRNLTGLYCDYLQLGLERPLWKAGSLPIGWITFYNQTVPLEKRWHMLGLGSNTDLSSDDIENARVLHYDGVMKPWLEIGITKYKGYWTQHLQYDNPYFQQCNIND >KJB24973 pep chromosome:Graimondii2_0_v6:4:46990989:46991531:-1 gene:B456_004G170400 transcript:KJB24973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSTEPKDGSESDTDLFNICILFLFWPYLANPIYRPSNVIVLMKRSLRPLISILMLVALTATLSCWIVYPRCGVFIVSTELESTKVLIQPPQTQIFNSTLLKFTSIDTGEAKSKLEIKQLLERDFPNQGRQRNFATSRSFSHHDAKSRNSNGLLVLLKSPNWCNFPFFLFFFSSYSSTA >KJB24722 pep chromosome:Graimondii2_0_v6:4:44742952:44745485:-1 gene:B456_004G158200 transcript:KJB24722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKSAKNAPSTQDPNPPQQPPSKKISLDNCNDFKNSKFFKMRRLLKDLRPHFIDVLRTPDFRNSKAANEIKENMKLLVELYKQVMAETVPIEKCNNAAVNQPVPSESGMKQNPEEQPQLVKPAISSENNAFRSSSVLDKQQSENGEAPGSYIVGGSAFGWNFITFTGNKSVYYGVTKELFRSAQASLGE >KJB22726 pep chromosome:Graimondii2_0_v6:4:6228679:6229719:-1 gene:B456_004G063000 transcript:KJB22726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFNQAQLFTFLCFFFILFSPLVRGDCTCEPEDEDRNKPLALKYKMAAIVSILVAGAIGVCFPLLGKTIDALRPEKDIFFVIKAFAAGVILSTGFIHVLPDATENLTSPCLNQNPWGKFPFAGLVAMVSAIATLLVDTFVTSHYTKSHLNNTQQGHGDEEKKTKENEIDVVHVHAHASSISGSTQLLRYRVVSQVLELGIVVHSVIIGISLGASESPKTIKPLVAALTFHQFFEGMGLGGCILQARHRARSVAIMVLFFALTTPVGIGIGIGISNTYNENSPTALVVEGMLNAASAGILIYMALVDLLAADFMNPKLQNNGKVGASAGVSLLLGSALMSLLAVWA >KJB24294 pep chromosome:Graimondii2_0_v6:4:38437949:38438876:1 gene:B456_004G137400 transcript:KJB24294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKICYSRKSLSRSVHDEHQEQPELVETRGGNLCTSVTVWRKSLIVTCKGFTVIDSNGNLVYRVDTYVGGRPMELVLMDGSGKPILTMRRSTNLRLVGTWLIYGGEVGEFCTSEKPVFYVKKSINILNANSNVLAYVYRRSSDKRYAYVIEGSYSHRSCKVLDETKRVVAEIRPKDALKRGISFGLEVFVLIVQAGFDPGYAMGLVLLLDQMFS >KJB22400 pep chromosome:Graimondii2_0_v6:4:4015257:4015652:1 gene:B456_004G045600 transcript:KJB22400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFSLCVSFIGCGRNTSFLRTLSCISERIFFHQTNFEPKPGSLERYLRCLCENKSVEEGVDVFSILTEIGYCPSIETWNLALLACLKVGRNDLMWKLYQDMVEPGVGVDIDVVTVGCLIQAFCIDGEVSKG >KJB25391 pep chromosome:Graimondii2_0_v6:4:50438518:50439478:1 gene:B456_004G189100 transcript:KJB25391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAKSNTKNTIIMEEGDANISSASKHGKVDDECVESFMEQLKAKVKSEVDYSDFQILEEDLRKDLRMVGRFSVPLRLAPIANRIKDGFDDITSGSSQSDCAAKPTYLLFCAAIKEMDDLKLDQVNETKLLLWRDAINNALNLQFNVDFAIKHLFKIARAYFGFKVKEGKSGEEMLKLKNVDGNMEVPEACLREAEYFSGKPLSTGLLR >KJB21456 pep chromosome:Graimondii2_0_v6:4:47510715:47511103:1 gene:B456_004G173500 transcript:KJB21456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTFQMMFKLMETVKKEKNPEMSSSHFKTGRKKSSKQIGGAVRLSSQIEKLCNAANNMSQATSSLTLVMDPYGIPQAVKILDSMSKEVPEASPLYFFALRLLFNKDKRIMFLSINPKIRAL >KJB27177 pep chromosome:Graimondii2_0_v6:4:61404762:61406572:-1 gene:B456_004G282500 transcript:KJB27177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPTKQKMSWQTYVDEHLMCDIEGQDQHLKAPSSLRFCSYLQLNASEVTKILMNRATLLLKGYILPLQSIWSYRVSLVLSFVEKKGTGGVTIKKTGQGLIFGIYEEPVTPGQCNMVVERLGDYLAEQGL >KJB21733 pep chromosome:Graimondii2_0_v6:4:785278:786899:1 gene:B456_004G011100 transcript:KJB21733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKASAKGTAPLLNYSKAVAAVEPPNRGAKKGLAIGDFVLRLCALGAALGATVAMGTADQLLPFFTQFLQFEAQYDDFDAFRFFVMALGIVSCYLLLSLPLSIICIIRPLATTPRLSLVIFDSMMAALTIAAGSASASMVYLAHNGNDDVNWLPFCQQFGDFCQSASGAVIGSLLAAALLLIIIILSAFALKRN >KJB27403 pep chromosome:Graimondii2_0_v6:4:58426069:58427086:1 gene:B456_004G247400 transcript:KJB27403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPQSKPRFLQPGDHIYCEREGGLYDHHGIYVGDDMVIHLQGKAKKLEPLPECRKCKDKRVQNGEIAKVCIDCFLRGEKPRIYDYGVPLNKFRFRKRGTCCPHYSKPPHEVINKATYFVEGASFGDYDMFNNNCENFAVYCKTGCADGYQIVGFLEKGSLATAAVAASLGGPPGIVLCAASMAIYGTSKVVTGLN >KJB25461 pep chromosome:Graimondii2_0_v6:4:51038576:51038803:-1 gene:B456_004G192800 transcript:KJB25461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLLVGVQILCLKRPDRSSMPSIAGINFEETVLGSYFSQLFMLVFFLLKLFGKMLVASMQGRLVNCSLVLGSII >KJB26551 pep chromosome:Graimondii2_0_v6:4:58369236:58378216:-1 gene:B456_004G246800 transcript:KJB26551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 14 [Source:Projected from Arabidopsis thaliana (AT3G04740) UniProtKB/Swiss-Prot;Acc:Q9SR02] MAELGQQTVDFSSLVSRTAEESFTSLKELVEKSKSSDQSDTEKKINLLKYIVKTQQRMLRLNVLAKWCQQVPLIQYCQQLASTLSSHDTCFTQAADSLFFMHEGLQQARAPVYDVPSAVEVLLTGSYERLPKCIEDVGMQSSLTEDQQKPALKKLDTLVRSKLLEVSLPKEISEVKVADGTALIRVDGEFKVLVTLGYRGHLSLWRILHLELLVGERSGLVKLEQMRRHVLGDDLERRMSTAENPFATLYSVLHELCVALVMDTVIRQVQALRLGRWKDAIRFELISDGGSGGSSQLNQDNDSDSAAQRTPGLKLVYWLDFDKNSGASDTGSCPYIKIEPGPDLQIKCQHSTFVKDPLTGKEASFFLDQSCIDVEKLLLRAISCNRYTRLLEIQKELMKNVHIFRDASDVVLLSQADEPDSEHRKEDAKLDNKEHEGQELLRVRAYGSSYFTLGINIRNGRFLLQSSQNILSSSALLECEETLNQGTMTAVDVFSSLRSKSIIHLFAAIGRFLGLEVYEHGFAAVKVPKNLVNGSSVLIMGFPESESSYFLLMELDKDFKPLFKLLETQPDPSGKGHSFNDLNNVLRIKKIDISQMQMLEDETNLSILDWRKLLPSLPNVGGPDQISEHDVLNLDGSMQVPGGPSSSFSSIVDEVFEIEKGTSATQFPSQKISSFSSSPASHLTSVPMNLHSVKAGTPSPKWEAGLQVSQHNNVAKPSGSASHYDGSLYPSSGLKGSYNSASFGSFSSGTGRSTSAKKLSASKSEQDLASLRSPHSVDNGVLDEDQLRLPNDTSKDTLSASRSSRLLSPPRPTLPRVIAQNAKPNGPRSSSAGNLTAAVRFSGSSPLASPPVSQAAETKICHGPSHDASKHDQNPRKRKISNLLSLIPSLQYIEADAGFSKRRKTSDVACTQQPTSQVLKSSEIISKSETYSYGNLIAEANKGNVPSGIYVSALLHVVRHSSLCIKHAKLTSQMEELDIPYVEEVGLRNASSNIWFRLPCSQGDSWQHICLRLGRPGSMYWDVKINDQHFRDLWELQKGSTSTPWGSGIRIANTSHVDSHIRYDPDGVVLSYQSVEADSIKKLVADIRRLSNARTFALGMWKLLGVRADDKPEEGNANSDVKAPAGGKGPTEAVDKLSEHMRRSFRIEAVGLLSLWFCFGSGVLARFVVEWESGKEGCTMHVSPDQLWPHTKFLEDFIDGAEVASLLDCIRLTAGPLHALAAATRPARASPAPGVSGPSGVISSVPKQPGYSPLQGLLPSSSTTNVNQAAAAVPAGNSASASASSIGNHSIHGAAMLAAGRGGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKRFAVDMRCFAGDQVWLQPATPPSTPPRGGSYVGGSLPCPQFRPFIMEHVAQELNGLDSSFTSGQQTVGPANSNNPNLSSGPQLSANGSRVNLPTSAAMSRAANQVAGLNRVGNSLPGSPNLAVVSSGLPIRRPPGSGVPAHVRGELNTAIIGLGDDGGYGGGWVPVVALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQQQNNANSQEELTQSEISEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLALTQSGDIAPAQKPRIELCLENHTGVNVGDASESSSATKSNIYYDRPHNSVDFALTVVLDPALIPHINTAGGAAWLPYCVSVRLRYSFGENPNVSFLGMEGSHGGRACWLRLDEWEKCKQRVARTVEVSGSSPADATQGRLRIVADNVQRALICAFKD >KJB23384 pep chromosome:Graimondii2_0_v6:4:14661114:14666049:-1 gene:B456_004G095300 transcript:KJB23384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEIVKEEAPSSSPVVSGSFASLRSVRWRISLGILPSSSSVDDLRRVTADSRRRYAGLRRWLLVDPHVPKDGRSKSPDLVMDNPLSQNPDSTWGRFFRNAELEKMVDHDLSRLYPEHGSYFQTPGCQGMLRRILLLWCIRHPEYGYRQGMHELLAPLLYVLHVDVERLSEVRKLHEHHFIDKFDGLSFEENDVTYNFDFKKFLDSMEDEIGSQGNSKKARSLDELDPEIQTIVLLSDAYGAEGELGIVLSEKFTEHDAYCMFEALMSGAHGSVAMADFFSPIPAAESQSGLPPVIEASAALYHLLSIVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLQDLLVVWDEIFTADNSPLEKDSGNDENSSLKMFNSCRGALITAMAVSMILYVRSSLLATENATTCLQRLLNFPETINLKKLIAKAKPLQILALDSKISPLSSIFDGSYNHSKSAVSGYNLSSHPVSPKTPLSLVPDSYWEEKWRVLHKAEELKQDSLDKLSPSGKKRWSEKVKLVLSRTESDPSPARAEKYKKGHKSSVRRSLLDDLSRQLGLEEEDTEKGGCSDASNLEDHHSTEAQVEGQQNDTNIGSIYRAEERCECGSGTFVSEENSSIFSDPLSSGSGTNDHENDTEKSSIASNLSSDENDDHHQSNPEDSPLPVSSPPPEGVPLNSPHENESSGKLVSAMRERRHLPGRFQWLLRFGRNNVSQEASDKGGGTNETPKSLNRDSKSNTADSSIAGASRNSSLASQRDVDQNVMGTLKNLGQSMLEHIQVLESVFQQDRVQVGSVDNLGKNNLVGKGQVTAMTALKELRKISNLLSEM >KJB25978 pep chromosome:Graimondii2_0_v6:4:55312239:55314972:1 gene:B456_004G219400 transcript:KJB25978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEEANKAAVESCHRVLSILSQPKDQIQYRNLMAETGEAVFRFKRVVSLLDTGLGHARVRKLRRLDTPFPQSILLDNPHHKVIHESSVKELSSHAKNCLYLGNQNQTAQPASSGHYRILQQHQQQQQLRHRLQLQQQQMKHQAEMMFRKSNSGISLNFDNSSCTPTMSSTRSFISSLSMDGSVANGGGSFHVNGGSRSSDQGSQHKKKCSGRGEDGSVKCGSSGKCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEEPSMLIVTYEGEHNHPRLPSQSTTT >KJB22503 pep chromosome:Graimondii2_0_v6:4:4653255:4654384:-1 gene:B456_004G050900 transcript:KJB22503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESSISSTWSSSADKQSDPKPSSSLKLFGFSLTDQDEVSVKAEEDFGESRKFECPFCHRVFANSQALGGHQNAHKRERQRARRTQFLHTHHHHHYQRYIAAAAAAAAAPVLSSHAVRSSPPPGLPEGFTSNAAGKFVAQQPLVLRSTPCDECPHRIYVAQPLYLGASVPEFVEFGGELPEADISVDLHLKLSPSGC >KJB21391 pep chromosome:Graimondii2_0_v6:4:52191219:52191617:1 gene:B456_004G199900 transcript:KJB21391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRSASLALFFALNILFFSLVSACDSCPSPKPRPKPTPFPSPSAKGKCPRDALKLGVCANVLGLVNVTIGSPPVQPCCSLLQGLADLEAAVCLCTAIKANVLGINLNVPVSLSLLLNVCSRKVPSGFQCP >KJB24588 pep chromosome:Graimondii2_0_v6:4:43526201:43529088:-1 gene:B456_004G152900 transcript:KJB24588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFYGSNLNEGNEISMVTSPVFTDRVFESREELMQWVQNTTFSLGYIIVTRRSKAKENGVVFYVTFICDHGGEYKFKESSKKSGTKKTNCKFRLVGSYLKQYDGWTLRVICDQHNHPLAQLMEGHAYARQLKEKEKKLLVDLTSKNVTPRDILSTLNEQDENNVSTLKTIYNARQKLRLSQNVGKILIQFSVNNISNELENLFFIHPRSLDIWRAFPHVLIVDATYKTNKYDLPFVQIGGLTSTNKTFSIAFAFIINEKEENYNWALTCLKLTLEECMYPRVSVMDRELALMNACQQIFPDATRLLCRWHITENIKKHCRQSIKSQHEWDSFRAMWTVLVESPTWILYTENYRKLQSILSEYPGVLKYLDQVWLSKYKEMFVSVWIYRHLKFGERTTNRVESQHVKLKKYLCAKNSSLDKFVGCIDQIVKSQLTSIYESFEKSRIVLKHIHNLPCFRLLWELNKKPARHSSYVIEIPDLNQEPSEQVSDFIDLNQMPESCDTHPLMKEIPNMFHPYITHIQDVKGDRNCRFRAISVCLGYGEDQWLYVRHQLLDELLSSYDVYARVFTDGIDELRNSLCFSQSPAPAEHWMVMPMIGVLIANRFGVILNYLPKRGDITFFPLWRGPEHFQYHHAITIAHIYDNHNVMVQLEGDYPMPTISAYWIRHIALSTAGWQTMYMSCLEFYRQIKPCNLKTPVITIEDYC >KJB25554 pep chromosome:Graimondii2_0_v6:4:51764038:51766549:-1 gene:B456_004G197300 transcript:KJB25554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGFYSDWKTGCLLGLENVEEYGVLKAASSLPAIPQPQTPKEPMEFLSRSWSLSASELSKALSQKQKQFEFDHNPSSFPDTIVAPQIAGKVLNSIHARKSGSIGKWFQHHKELGTNTVKKKDKIRAENARVHSAVSVAGLAAGLAALAAAGKPNGTTTGSKMSTALASATQLLASHCIELAELAGADNDRVGSVVKSAVDIQTAGDLMTLTAAAATALRGEAAVRARLPKEAKKNAAISPYERTMTEAYWSPDFLTQMKEPNPPCEGELLQHTRKGVLRWKRVNVYINKKSQVMIKLKSKHVGGAFSKNNKCIVYGVCDETSAWPYRKERETCEELYFGLKTGQGLIEFKCKSKIHKQKWVDGIQNLLRQVSYAKAAELSLEPLSIDNTI >KJB22086 pep chromosome:Graimondii2_0_v6:4:2247882:2249669:-1 gene:B456_004G028500 transcript:KJB22086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKTLGSGASKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKTGTSKTSGADDD >KJB25769 pep chromosome:Graimondii2_0_v6:4:53897540:53901674:-1 gene:B456_004G207700 transcript:KJB25769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGVPAGHIKLRKTLSFEKKPLMLKDFLLRDDLSSCSSSGFKSFPRQRCCTTVRFLLEAELKKSKDNYSSTTKRLLFRSRSKPGAATISALQRASEVVLNAVKLLPFPSIKSSSSSLSMKRNSSRKVHFTRNFSRKLLKRSFWRKADKEDHQGEIRSCKLFREFLEEKNESSDQNTITNVSTTDTSSYSSTTVTASRVSSNTSSNSWAESEFTADVLQNWSSNSERSSENDIVEAETSLPQKEEVSNTVGEDSINFRKEQDWPNEEGKEQFSPVSVLDCPFHDEEEDSSSPFQDGLPHVEGTKQKLMPKVRRFESLTQLEPVDLEKRIAMAELEDESSLLRSVSVNNNGMSEEKLLKLLKSKFPSEIFKFRADNLLLDFFWETFMVEDKGERHDMGFEDFGVKSLKVVEDWVNGNSQEFVLGWELHEGRKAYLKDMERNENWRNFNEEKEDVGSALELELFSSLVDEVLTDLF >KJB21791 pep chromosome:Graimondii2_0_v6:4:994809:996187:1 gene:B456_004G013900 transcript:KJB21791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKVVLKVAMNCEKCRSEALQVAAGQAGVDSVALDGKEKEKVVVIGDFDAVKLTNNLRKKVGATEILTLGKHN >KJB21436 pep chromosome:Graimondii2_0_v6:4:19298647:19298950:-1 gene:B456_004G102700 transcript:KJB21436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRGKGARGLEREEPSATGGVKRINNLIYEETRGVLFLENVICNAITYIEHTRRKAVTTMDVVYALKRQGRTLYGFGS >KJB24819 pep chromosome:Graimondii2_0_v6:4:45363727:45367832:1 gene:B456_004G162600 transcript:KJB24819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKACVGWRRFLFCFPLIFILPHLFSVLELHQNQAVTDSPKEHMKKFDVQNSSGDGAPKKITRKFDHLVLGPAAGEGLPNRLQCRGVKALNKTHFSNPSHPSSVGDGIAFVTVFTIYNNSPDALADGKPSNLVTVGNASYSKPERSMAILNVFINFIQVRMPQSNVIILTDPESDFSLHQNRVTVLPIQGEYSRDKLMLQRIRSYITFLDIRLEKLSQEQGRITHFIFSDSDIAVIDDLGQIFEKYQDFHVALTFRNNKDQPLNSGFIAVRGTRDGILRARTFLQKVLEVYSSKYMKASRMLGDQLALFWVIKSDASFDAKRFSKAQAFIKEIGGASVLFLPCATYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLEAWNYFNSSADISDMLCLILKSGRTKYDF >KJB28204 pep chromosome:Graimondii2_0_v6:5:3427009:3430127:1 gene:B456_005G0360002 transcript:KJB28204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQALPSSRKQEHLEAGKRRLEEFRKKKAAEKAKKAALTSQTNVSDVSLNEKQQLETEHVRVIDSGGAATSDGPNLSSVKIINNNKATEVKSNNKHAFPSSLANNYNSSSTEVHIHAKSQENEKCGASWNAGRLYNDSLQAEHMSNDFQEPRSKEDDGYSKVSTVLNPISSENFVSKISPQNSLQSKASEGSLLGSSHALSSLYEDSAQSTSGGRGSILEVGQNLQGTGDFKEPMISDFGEGKFSSSSGGFPSLHVPSIQTSGSSEFSFDARSSSSHTPLHSVANDTSSRRSRPSFLDSLNVSGASSGSLFQHNQPTKEVFASQSSQFNTSNTMGSSPFERPSTEIGNAGTYSKLGFSDFPSGNEYSGYFSAPASSNGDLSKLIVANENILEKKHDFYSTKQNEDFAALEQHIEDLTQEKFSLQRALEASRTLAESLAAENSSLTDSYNQQ >KJB28203 pep chromosome:Graimondii2_0_v6:5:3427009:3430127:1 gene:B456_005G0360002 transcript:KJB28203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQALPSSRKQEHLEAGKRRLEEFRKKKAAEKAKKAALTSQTNVSDVSLNEKQQLETEHVRVIDSGGAATSDGPNLSSVKIINNNKATEVKSNNKHAFPSSLANNYNSSSTEVHIHAKSQENEKCGASWNAGRLYNDSLQAEHMSNDFQEPRSKEDDGYSKVSTVLNPISSENFVSKISPQNSLQSKASEGSLLGSSHALSSLYEDSAQSTSGGRGSILEVGQNLQGTGDFKEPMISDFGEGKFSSSSGGFPSLHVPSIQTSGSSEFSFDARSSSSHTPLHSVANDTSSRRSRPSFLDSLNVSGASSGSLFQHNQPTKEVFASQSSQFNTSNTMGSSPFERPSTEIGNAGTYSKLGFSDFPSGNEYSGYFSAPASSNGDLSKLIVANENILEKKHDFYSTKQNEDFAALEQHIEDLTQEKFSLQRALEASRTLAESLAAENSSLTDSYNQQ >KJB28205 pep chromosome:Graimondii2_0_v6:5:3426698:3430127:1 gene:B456_005G0360002 transcript:KJB28205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQALPSSRKQEHLEAGKRRLEEFRKKKAAEKAKKAALTSQTNVSDVSLNEKQQLETEHVRVIDSGGAATSDGPNLSSVKIINNNKATEVKSNNKHAFPSSLANNYNSSSTEVHIHAKSQENEKCGASWNAGRLYNDSLQAEHMSNDFQEPRSKEDDGYSKVSTVLNPISSENFVSKISPQNSLQSKASEGSLLGSSHALSSLYEDSAQSTSGGRGSILEVGQNLQGTGDFKEPMISDFGEGKFSSSSGGFPSLHVPSIQTSGSSEFSFDARSSSSHTPLHSVANDTSSRRSRPSFLDSLNVSGASSGSLFQHNQPTKEVFASQSSQFNTSNTMGSSPFERPSTEIGNAGTYSKLGFSDFPSGNEYSGYFSAPASSNGDLSKLIVANENILEKKHDFYSTKQNEDFAALEQHIEDLTQEKFSLQRALEASRTLAESLAAENSSLTDSYNQQ >KJB28202 pep chromosome:Graimondii2_0_v6:5:3427009:3430127:1 gene:B456_005G0360002 transcript:KJB28202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQALPSSRKQEHLEAGKRRLEEFRKKKAAEKAKKAALTSQTNVSDVSLNEKQQLETEHVRVIDSGGAATSDGPNLSSVKIINNNKATEVKSNNKHAFPSSLANNYNSSSTEVHIHAKSQENEKCGASWNAGRLYNDSLQAEHMSNDFQEPRSKEDDGYSKVSTVLNPISSENFVSKISPQNSLQSKASEGSLLGSSHALSSLYEDSAQSTSGGRGSILEVGQNLQGTGDFKEPMISDFGEGKFSSSSGGFPSLHVPSIQTSGSSEFSFDARSSSSHTPLHSVANDTSSRRSRPSFLDSLNVSGASSGSLFQHNQPTKEVFASQSSQFNTSNTMGSSPFERPSTEIGNAGTYSKLGFSDFPSGNEYSGYFSAPASSNGDLSKLIVANENILEKKHDFYSTKQNEDFAALEQHIEDLTQEKFSLQRALEASRTLAESLAAENSSLTDSYNQQ >KJB28069 pep chromosome:Graimondii2_0_v6:5:2226941:2230077:-1 gene:B456_005G025100 transcript:KJB28069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSKGISKKRKRPSLHPLMGTITRSKSQIHIHRNRSGKSRTQSVGGGNHRGPQPFLKKPKKSSLAEDSSVGYDLSIKDLRLRRVFSPSSTDGVIPNCLDGAENLGKSEVAGDCLDEKKKGCENGDFEEFGQSTPPDAEILGVKQEVERNGSEDMKIHDECKEKGFNEERNGINCSIKTVLRPCSQEKLFKTPGSFSYRRLLPYLMDIKKESSGSPIMGHCQKTEKDFEEKSMVGSNVEEALGDKSAASDCFLEGHNSDSGKELNMVLDESMMTLFNGEVKKFELQCEDPNSNCSSGGVVSSDEMLADDDDDDDDDDDDVAKINVESSCDAQSLEVLGQTLSMVENKCESGDYNEVPLSSNGDLQQSEIEVNDGEAVEQIEDLNGQCLPMTRLDSDMFKSKTDVEKSMNETPSDKSLDTSPKNKLVPYSRLHPKLSKIPGSFSYKRLLPFLIDIANDYSCVPGKDAGTGASNCKSSHVEHSDRIRLTVTAATAIGLQQEQATLGKNAALDANQRLEETNPELVVEPPSVSSIISAKPVSYQLPLETEGDAIKSIVKCANHVNQIEADSFGEASITPAIPIVGLKKGILKRNPPGCRGICTCLNCSSFRLHAERSFEFSRNQMLDAEEVALDLIKELSFLRNILEKSAFGAAKDQSTMLINVKEACKKASKAEEVAKSRLSEMNYDLNIHCRMPCGQRPRVRFASYVEEQVIPIADSSNK >KJB28070 pep chromosome:Graimondii2_0_v6:5:2226941:2230149:-1 gene:B456_005G025100 transcript:KJB28070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSKGISKKRKRPSLHPLMGTITRSKSQIHIHRNRSGKSRTQSVGGGNHRGPQPFLKKPKKSSLAEDSSVGYDLSIKDLRLRRVFSPSSTDGVIPNCLDGAENLGKSEVAGDCLDEKKKGCENGDFEEFGQSTPPDAEILGVKQEVERNGSEDMKIHDECKEKGFNEERNGINCSIKTVLRPCSQEKLFKTPGSFSYRRLLPYLMDIKKESSGSPIMGHCQKTEKDFEEKSMVGSNVEEALGDKSAASDCFLEGHNSDSGKELNMVLDESMMTLFNGEVKKFELQCEDPNSNCSSGGVVSSDEMLADDDDDDDDDDDDVAKINVESSCDAQSLEVLGQTLSMVENKCESGDYNEVPLSSNGDLQQSEIEVNDGEAVEQIEDLNGQCLPMTRLDSDMFKSKTDVEKSMNETPSDKSLDTSPKNKLVPYSRLHPKLSKIPGSFSYKRLLPFLIDIANDYSCVPGKDAGTGASNCKSSHVEHSDRIRLTVTAATAIGLQQEQATLGKNAALDANQRLEETNPELVVEPPSVSSIISAKPVSYQLPLETEGDAIKSIVKCANHVNQIEADSFGEASITPAIPIVGLKKGILKRNPPGCRGICTCLNCSSFRLHAERSFEFSRNQMLDAEEVALDLIKELSFLRNILEKSAFGAAKDQSTMLINVVKEACKKASKAEEVAKSRLSEMNYDLNIHCRMPCGQRPRVRFASYVEEQVIPIADSSNK >KJB27626 pep chromosome:Graimondii2_0_v6:5:136821:141155:-1 gene:B456_005G002700 transcript:KJB27626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYCRSLQNNFLTGPVPTNIWQNMSFDASAKLNIDLRNNAFSRIEGNLNPPVNVTLRLGGNPVCRNANSLNISLFCGSESGEDDMPNLNSSKMECTSQSCPMGYEYIPASPVPCFCAAPLRIGYRLKSPSFSYFPPYIRPFEVYLTSSLNFNLYQLYIDSYVWDKGPRLRMYLKLFPSVNSTPFNSFNESEVRRIRDIYASWSFRGSDFFGPYELLNFTLLGPYANIDFGSENKGISTGIVVAVVVGGVACAVATSVIITIIMKRRYARHHRAVSRKRLSTKASMKIEGVRDFTFKEAALATDNFNSSTQVGQGGYGKVYKGTLSDKTVVAIKRAEEGSLQGQKEFLTEIKLLSRLHHRNLVSLVGYCDEEGEQMLVYEFMPNGTLRDWLSAKAKNTLNFGMRLRIALGSAKGILYLHTEANPPVFHRDIKATNILLDSNLNAKVSDFGLSRLAPVLEEDGTVPDHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMHPIWHGKNIVREVKTAYESGMVLSIIDSRMGWYPAECMERMVSLALSCCNDEPEKRPSMLDVVRQLEYVLKMMPETDSVSSSIELVPLYSGKSLTSSSSYGGTSNEVYVSSLNVTGSDLVSGVIPSISPR >KJB27625 pep chromosome:Graimondii2_0_v6:5:136764:144255:-1 gene:B456_005G002700 transcript:KJB27625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMMMGVKECLLALWLWCGCLLLLASDSPVTDPSEVSALLAVKTQLVDPLNRLRKWNKGDPCTSNWTGVECSDHQGNDGYLHVEELQLQNMNLSGILAPELGQLYHLKILDFMWNDLTGSIPKEIGHLSTLRLLLLNGNNLSGSLPDELGYLQQLDRFQIDQNNLSGQIPKSFANLSSVKHLHFNNNSLSGQIPLELSLLSTLLHVLLDTNNLSGYLPSEFSNLPNLRILQLDNNNFSGYDIPASYGNFSKLTKLSLRNCSLQGAVPDLSRIQNLSYLDLSWNHLTGPIPSNKLSHNMTTIDLSYNQLNGSIPGSFSGLPSLQTLSLQNNFLTGPVPTNIWQNMSFDASAKLNIDLRNNAFSRIEGNLNPPVNVTLRLGGNPVCRNANSLNISLFCGSESGEDDMPNLNSSKMECTSQSCPMGYEYIPASPVPCFCAAPLRIGYRLKSPSFSYFPPYIRPFEVYLTSSLNFNLYQLYIDSYVWDKGPRLRMYLKLFPSVNSTPFNSFNESEVRRIRDIYASWSFRGSDFFGPYELLNFTLLGPYANIDFGSENKGISTGIVVAVVVGGVACAVATSVIITIIMKRRYARHHRAVSRKRLSTKASMKIEGVRDFTFKEAALATDNFNSSTQVGQGGYGKVYKGTLSDKTVVAIKRAEEGSLQGQKEFLTEIKLLSRLHHRNLVSLVGYCDEEGEQMLVYEFMPNGTLRDWLSAKAKNTLNFGMRLRIALGSAKGILYLHTEANPPVFHRDIKATNILLDSNLNAKVSDFGLSRLAPVLEEDGTVPDHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMHPIWHGKNIVREVKTAYESGMVLSIIDSRMGWYPAECMERMVSLALSCCNDEPEKRPSMLDVVRQLEYVLKMMPETDSVSSSIELVPLYSGKSLTSSSSYGGTSNEVYVSSLNVTGSDLVSGVIPSISPR >KJB27627 pep chromosome:Graimondii2_0_v6:5:138339:143207:-1 gene:B456_005G002700 transcript:KJB27627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVSALLAVKTQLVDPLNRLRKWNKGDPCTSNWTGVECSDHQGNDGYLHVEELQLQNMNLSGILAPELGQLYHLKILDFMWNDLTGSIPKEIGHLSTLRLLLLNGNNLSGSLPDELGYLQQLDRFQIDQNNLSGQIPKSFANLSSVKHLHFNNNSLSGQIPLELSLLSTLLHVLLDTNNLSGYLPSEFSNLPNLRILQLDNNNFSGYDIPASYGNFSKLTKLSLRNCSLQGAVPDLSRIQNLSYLDLSWNHLTGPIPSNKLSHNMTTIDLSYNQLNGSIPGSFSGLPSLQTLSLQNNFLTGPVPTNIWQNMSFDASAKLNIDLRNNAFSRIEGNLNPPVNVTLRLGGNPVCRNANSLNISLFCGSESGEDDMPNLNSSKMECTSQSCPMGYEYIPASPVPCFCAAPLRIGYRLKSPSFSYFPPYIRPFEVYLTSSLNFNLYQLYIDSYVWDKGPRLRMYLKLFPSVNSTPFNSFNESEVRRIRDIYASWSFRGSDFFGPYELLNFTLLGPYANIDFGSENKGISTGIVVAVVVGGVACAVATSVIITIIMKRRYARHHRAVSRKRLSTKASMKIEGVRDFTFKEAALATDNFNSSTQVGQGGYGKVYKGTLSDKTVVAIKRAEEGSLQGQKEFLTEIKLLSRLHHRNLVSLVGYCDEEGEQMLVYEFMPNGTLRDWLSAKAKNTLNFGMRLRIALGSAKGILYLHTEANPPVFHRDIKATNILLDSNLNAKVSDFGLSRLAPVLEEDGTVPDHVSTVVKGTPVSYLCLACKTP >KJB27624 pep chromosome:Graimondii2_0_v6:5:136764:143201:-1 gene:B456_005G002700 transcript:KJB27624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SALLAVKTQLVDPLNRLRKWNKGDPCTSNWTGVECSDHQGNDGYLHVEELQLQNMNLSGILAPELGQLYHLKILDFMWNDLTGSIPKEIGHLSTLRLLLLNGNNLSGSLPDELGYLQQLDRFQIDQNNLSGQIPKSFANLSSVKHLHFNNNSLSGQIPLELSLLSTLLHVLLDTNNLSGYLPSEFSNLPNLRILQLDNNNFSGYDIPASYGNFSKLTKLSLRNCSLQGAVPDLSRIQNLSYLDLSWNHLTGPIPSNKLSHNMTTIDLSYNQLNGSIPGSFSGLPSLQTLSLQNNFLTGPVPTNIWQNMSFDASAKLNIDLRNNAFSRIEGNLNPPVNVTLRLGGNPVCRNANSLNISLFCGSESGEDDMPNLNSSKMECTSQSCPMGYEYIPASPVPCFCAAPLRIGYRLKSPSFSYFPPYIRPFEVYLTSSLNFNLYQLYIDSYVWDKGPRLRMYLKLFPSVNSTPFNSFNESEVRRIRDIYASWSFRGSDFFGPYELLNFTLLGPYANIDFGSENKGISTGIVVAVVVGGVACAVATSVIITIIMKRRYARHHRAVSRKRLSTKASMKIEGVRDFTFKEAALATDNFNSSTQVGQGGYGKVYKGTLSDKTVVAIKRAEEGSLQGQKEFLTEIKLLSRLHHRNLVSLVGYCDEEGEQMLVYEFMPNGTLRDWLSGMNFPGFIAVQVLYHALTCIFHIELPKCY >KJB28279 pep chromosome:Graimondii2_0_v6:5:3807573:3809567:1 gene:B456_005G040000 transcript:KJB28279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILSLTIQFILIRFGNRRRFSGRYSKSVCLLVWTLYISADWLATLALGRKDKKASPLLLFWASFLLLYLGGPDTITAYSLSDNEVWPLHFFGLCFQIGVALYSYANFWTIASKSLIFTAIPIFTVGIIKYGERAWALFNASSVRFRKSVFSDDQGSPLEVEHSQTSPSERVFKPMFADLKLRIYKNLSYVFELDQTKVNAEAAFTIVEIELGFLYDLLYTKIRIVITRPGVILRFICLSFTTFALLAFIFVVGKHEHSRVDVGISYLLMGAAIFLEIYSAFLHLRSDWGIYWLAQQNNGFLRGIGSKLVRFTKSKGGIQSMAQRSLLDYCLPPRKLNLAAVFKFLYSEDSMGKYIRTGWRDVTPELQQFIYSGLQEKRKKYAETEFKNLSELLHDRGSSVLKGMEGSSEDILWSVCEVEFTHSLLLWHVATEVVFHDDNHRHRAVQLEPYCRISKALSDYMMYLFSRYPAMLPEGIGHIRLRDTCTEAMNFTLDEVQFNEAVRGLFGVDIRSRSFLIQMGSSRKSAFFEGCDIAKHLQSLVSDFRWDNQDKWKLIADLWLDMLTYAAAHCSWKKHARRLKYGDEFLTHVALLMAHLGLSKKIQMVPLPKMLQEVDFEPNFHWDKLNRLLSYLA >KJB27709 pep chromosome:Graimondii2_0_v6:5:368658:372053:-1 gene:B456_005G005800 transcript:KJB27709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARFGLLFLFMLGATWACNARQLEVVEIEISDPSVVQVNWRQDEKVIETVARNDNVCTLCEQFTTEAVDYLSQNKTQTEIIEILHKSCSRLRAVEPQCITLVDYYAPLFFLEISSVQPQDFCTKFNLCQKVALISSQFREDSCSMCHRAISEVLMKLQDPDTKLEILELLLKGCNSVQNYVQKCKRLVFEYGPLILANAEHFLETTDVCTILHACDGGKQESVADS >KJB27710 pep chromosome:Graimondii2_0_v6:5:368675:371636:-1 gene:B456_005G005800 transcript:KJB27710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARFGLLFLFMLGATWACNARQLEVVEIEISDPSVVQVNWRQDEKVIETVARNDNVCTLCEQFTTEAVDYLSQNKTQTEIIEILHKSCSRLRAVEPQCITLVDYYAPLFFLEISSVQPQDFCTKFNLCQKVALISSQFREDSCSMCHRAISEVLMKLQDPDTKLEILELLLKGCNSVQNYVQKCKRLVFEYGPLILANAEHFLETTDVCTILHACDGGKQESVADS >KJB27708 pep chromosome:Graimondii2_0_v6:5:368675:372053:-1 gene:B456_005G005800 transcript:KJB27708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARFGLLFLFMLGATWACNARQLEVVEIEISDPSVVQVNWRQDEKVIETVARNDNVCTLCEQFTTEAVDYLSQNKTQTEIIEILHKSCSRLRAVEPQCITLVDYYAPLFFLEISSVQPQDFCTKFNLCQKVALISSQFREDSCSMCHRAISEVLMKLQDPDTKLEILELLLKGCNSVQNYVQKCKRLVFEYGPLILANAEHFLETTDVCTILHACDGGKQESVADS >KJB27711 pep chromosome:Graimondii2_0_v6:5:369171:370647:-1 gene:B456_005G005800 transcript:KJB27711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARFGLLFLFMLGATWACNARQLEVVEIEISDPSVVQVNWRQDEKVIETVARNDNVCTLCEQFTTEAVDYLSQNKTQTEIIEILHKSCSRLRAVEPQCITLVDYYAPLFFLEISSVQPQDFCTKFNLCQKVALISSQFREDSCSMCHRAISEVLMKLQDPDTKVWRYSSFF >KJB30075 pep chromosome:Graimondii2_0_v6:5:31304147:31309497:-1 gene:B456_005G129100 transcript:KJB30075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGSGGGGGVAPAKRRWRGLAIAVLFLVVLSMLVPLGFLLGLHSGFHSAGHIPLQRPSPSGDRSSHIDNLVRKLGPTLPKDVLKGFVNEAKNETSSINATPRTEQKKGIPIPPQVVMQPFTSKNISRINGKAKMKSDVDESEGFCDLKYGSYCIWREENREEMQDSMVKKLKDQLFVARAYFPSIAKIPAQSKLSGELKQNIQEVERVLSESTTDADLPPEIQKKSRRMEAVIARAKSVSLDCNNVDKKLRQIFDLTEDEANFHMKQSAFLYQLAVQTMPKSLHCLSMRLTVEYFRDHLFDKELSEKYSDPTLQHYVIFSNNVIASSVVINSTVVHARDTVNQVFHVLTDWQNYYAMKHWFLRNTFRDAVVQVLNIEDSDSYGKATLSHLTLPVEFRVSFPSNDSAPAIHNRTQYVSIFSHSHYLLPEIFKNLEKVVVLDDDVVVQQDLSALWSLNMGGKVTGAIEICSVKMDQLQSYLGDHSFQKHSCSWMSGLNVIDLARWRDLDISETYWKLVKECSIFDNSFSLH >KJB30076 pep chromosome:Graimondii2_0_v6:5:31303031:31309906:-1 gene:B456_005G129100 transcript:KJB30076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGSGGGGGVAPAKRRWRGLAIAVLFLVVLSMLVPLGFLLGLHSGFHSAGHIPLQRPSPSGDRSSHIDNLVRKLGPTLPKDVLKGFVNEAKNETSSINATPRTEQKKGIPIPPQVVMQPFTSKNISRINGKAKMKSDVDESEGFCDLKYGSYCIWREENREEMQDSMVKKLKDQLFVARAYFPSIAKIPAQSKLSGELKQNIQEVERVLSESTTDADLPPEIQKKSRRMEAVIARAKSVSLDCNNVDKKLRQIFDLTEDEANFHMKQSAFLYQLAVQTMPKSLHCLSMRLTVEYFRDHLFDKELSEKYSDPTLQHYVIFSNNVIASSVVINSTVVHARDTVNQVFHVLTDWQNYYAMKHWFLRNTFRDAVVQVLNIEDSDSYGKATLSHLTLPVEFRVSFPSNDSAPAIHNRTQYVSIFSHSHYLLPEIFKNLEKVVVLDDDVVVQQDLSALWSLNMGGKVTGAIEICSVKMDQLQSYLGDHSFQKHSCSWMSGLNVIDLARWRDLDISETYWKLVKEVSMKEGSALLATLLTFQDQIYALDRVWVLSGLGHDYGLDIEGIKKAAVLHYNGNMKPWLDLGIPKYKEYWKKFLNHEDQYLSECNVNR >KJB30079 pep chromosome:Graimondii2_0_v6:5:31304169:31309497:-1 gene:B456_005G129100 transcript:KJB30079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGSGGGGGVAPAKRRWRGLAIAVLFLVVLSMLVPLGFLLGLHSGFHSAGHIPLQRPSPSGDRSSHIDNLVRKLGPTLPKDVLKGFVNEAKNETSSINATPRTEQKKGIPIPPQVVMQPFTSKNISRINGKAKMKSDVDESEGFCDLKYGSYCIWREENREEMQDSMVKKLKDQLFVARAYFPSIAKIPAQSKLSGELKQNIQEVERVLSESTTDADLPPEIQKKSRRMEAVIARAKSVSLDCNNVDKKLRQIFDLTEDEANFHMKQSAFLYQLAVQTMPKSLHCLSMRLTVEYFRDHLFDKELSEKYSDPTLQHYVIFSNNVIASSVVINSTVVHARDTVNQVFHVLTDWQNYYAMKHWFLRNTFRDAVVQVLNIEDSDSYGKATLSHLTLPVEFRVSFPSNDSAPAIHNRTQYVSIFSHSHYLLPEIFKNLEKVVVLDDDVVVQQDLSALWSLNMGGKVTGAIEICSVKMDQLQSYLGDHSFQKHSCSWMSGLNVIDLARWRDLDISETYWKLVKEHL >KJB30078 pep chromosome:Graimondii2_0_v6:5:31303031:31309906:-1 gene:B456_005G129100 transcript:KJB30078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGSGGGGGVAPAKRRWRGLAIAVLFLVVLSMLVPLGFLLGLHSGFHSAGHIPLQRPSPSGDRSSHIDNLVRKLGPTLPKDVLKGFVNEAKNETSSINATPRTEQKKGIPIPPQVVMQPFTSKNISRINGKAKMKSDVDESEGFCDLKYGSYCIWREENREEMQDSMVKKLKDQLFVARAYFPSIAKIPAQSKLSGELKQNIQEVERVLSESTTDADLPPEIQKKSRRMEAVIARAKSVSLDCNNVDKKLRQIFDLTEDEANFHMKQSAFLYQLAVQTMPKSLHCLSMRLTVEYFRDHLFDKELSEKYSDPTLQHYVIFSNNVIASSVVINSTVVHARDTVNQVFHVLTDWQNYYAMKHWFLRNTFRDAVVQVLNIEDSDSYGKATLSHLTLPVEFRVSFPSNDSAPAIHNRTQYVSIFSHSHYLLPEIFKNLEKVVVLDDDVVVQQDLSALWSLNMGGKVTGAIEICSVKMDQLQSYLGDHSFQKHSCSWMSGLNVIDLARWRDLDISETYWKLVKECSIFDNSFSLH >KJB30077 pep chromosome:Graimondii2_0_v6:5:31303767:31309497:-1 gene:B456_005G129100 transcript:KJB30077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGSGGGGGVAPAKRRWRGLAIAVLFLVVLSMLVPLGFLLGLHSGFHSAGHIPLQRPSPSGDRSSHIDNLVRKLGPTLPKDVLKGFVNEAKNETSSINATPRTEQKKGIPIPPQVVMQPFTSKNISRINGKAKMKSDVDESEGFCDLKYGSYCIWREENREEMQDSMVKKLKDQLFVARAYFPSIAKIPAQSKLSGELKQNIQEVERVLSESTTDADLPPEIQKKSRRMEAVIARAKSVSLDCNNVDKKLRQIFDLTEDEANFHMKQSAFLYQLAVQTMPKSLHCLSMRLTVEYFRDHLFDKELSEKYSDPTLQHYVIFSNNVIASSVVINSTVVHARDTVNQVFHVLTDWQNYYAMKHWFLRNTFRDAVVQVLNIEDSDSYGKATLSHLTLPVEFRVSFPSNDSAPAIHNRTQYVSIFSHSHYLLPEIFKNLEKVVVLDDDVVVQQDLSALWSLNMGGKVTGAIEICSVKMDQLQSYLGDHSFQKHSCSWMSGLNVIDLARWRDLDISETYWKLVKEQVSMKEGSALLATLLTFQDQIYALDRVWVLSGLGHDYGLDIEGIKKAAVLHYNGNMKPWLDLGIPKYKEYWKKFLNHEDQYLSECNVNR >KJB30098 pep chromosome:Graimondii2_0_v6:5:31430832:31434738:-1 gene:B456_005G129500 transcript:KJB30098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSNSCSLRYKKDQLGCMWGLISMLDFRHSRSTRRLLSDRRRGDRNAVGAPNTRNKPEMLTSSAEDCPRPLDGEEERTAIDACKPSVKKLLEEEMSGEVAKKEASNTEVEVKQFYSGEGDDGRKNWNRKNKTCKKSSSSSLHMDVAENLVSERSRQHKPEQQTTSNLDMDNLMEEFFRKIHQKRVNCMNHDQLEQNPKSYGSEERLNEAIKVLVSQKFLIGNQLTEDGEVLASNEVMDALPISSLDEELFLKLLPDLNLLKYIQDLPDAHLKDEESKPLAESNFSDMESTGLRQRNEPVNRKQRNFFRRKLKSQERELSDGNKASQASNKIEVLKPGSTCLQTPETGSSLDSPSDSQYIVSHREPNEKVGSHFFLAEIKRKLKHAMGRDQHRIPTNGISEKFPAEQQNSEDSGRVKEYFGMNSPTKDQFFIERIGRPSIGVAKGEKTSKLKGSELSMEYETIDFSMKRVSNIYIEAKKHLSDLLTNEDQNEDLLSTQVPKTLGRILSLPEYNTSPVGSPGQNLEHSFTTAQMRFAGSDKLQMVSENDRFVSLLSMRAEKTDGQLCISENKSDNEVESDNAISNNLDTSVNNDKEDPIFCSIKDELSSKESVSIVKATEMMVHEESKSLDISSETSGSSIITDDKNVDIYEVCDEKQNPWYLKQDSSEVDQQPFSPLSSPSDSSVMKKVECLESVTDIPERSSPVSVLEPIFADDLISPASIRSYSGETSIQPLRIRFEEHDSLATNQSNRIKTCMNDTESIFEHIKAVLQASSFSWDEVYIRSLSSDLLIDTLLVDEVEYLPNQLCQDQKLLFDCINEVVREVCEYYFGSPSVSFVKPNIRPIPNMQNTIQEVWEGVYWHLLPTPLPCTLDLVVRKDLAKTGTWMDLQLDTGYIGVEIGEAIFEDLVEDTITSYINGSWECEYNVLPA >KJB30099 pep chromosome:Graimondii2_0_v6:5:31431468:31434738:-1 gene:B456_005G129500 transcript:KJB30099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSNSCSLRYKKDQLGCMWGLISMLDFRHSRSTRRLLSDRRRGDRNAVGAPNTRNKPEMLTSSAEDCPRPLDGEEERTAIDACKPSVKKLLEEEMSGEVAKKEASNTEVEVKQFYSGEGDDGRKNWNRKNKTCKKSSSSSLHMDVAENLVSERSRQHKPEQQTTSNLDMDNLMEEFFRKIHQKRVNCMNHDQLEQNPKSYGSEERLNEAIKVLVSQKFLIGNQLTEDGEVLASNEVMDALPISSLDEELFLKLLPDLNLLKYIQDLPDAHLKDEESKPLAESNFSDMESTGLRQRNEPVNRKQRNFFRRKLKSQERELSDGNKASQASNKIEVLKPGSTCLQTPETGSSLDSPSDSQYIVSHREPNEKVGSHFFLAEIKRKLKHAMGRDQHRIPTNGISEKFPAEQQNSEDSGRVKEYFGMNSPTKDQFFIERIGRPSIGVAKGEKTSKLKGSELSMEYETIDFSMKRVSNIYIEAKKHLSDLLTNEDQNEDLLSTQVPKTLGRILSLPEYNTSPVGSPGQNLEHSFTTAQMRFAGSDKLQMVSENDRFVSLLSMRAEKTDGQLCISENKSDNEVESDNAISNNLDTSVNNDKEDPIFCSIKDELSSKESVSIVKATEMMVHEESKSLDISSETSGSSIITDDKNVDIYEVCDEKQNPWYLKQDSSEVDQQPFSPLSSPSDSSVMKKVECLESVTDIPERSSPVSVLEPIFADDLISPASIRSYSGRNMREVFCLFHIWLLLLSLLSHSVSS >KJB30102 pep chromosome:Graimondii2_0_v6:5:31430168:31436862:-1 gene:B456_005G129500 transcript:KJB30102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMEKVAKKEASNTEVEVKQFYSGEGDDGRKNWNRKNKTCKKSSSSSLHMDVAENLVSERSRQHKPEQQTTSNLDMDNLMEEFFRKIHQKRVNCMNHDQLEQNPKSYGSEERLNEAIKVLVSQKFLIGNQLTEDGEVLASNEVMDALPISSLDEELFLKLLPDLNLLKYIQDLPDAHLKDEESKPLAESNFSDMESTGLRQRNEPVNRKQRNFFRRKLKSQERELSDGNKASQASNKIEVLKPGSTCLQTPETGSSLDSPSDSQYIVSHREPNEKVGSHFFLAEIKRKLKHAMGRDQHRIPTNGISEKFPAEQQNSEDSGRVKEYFGMNSPTKDQFFIERIGRPSIGVAKGEKTSKLKGSELSMEYETIDFSMKRVSNIYIEAKKHLSDLLTNEDQNEDLLSTQVPKTLGRILSLPEYNTSPVGSPGQNLEHSFTTAQMRFAGSDKLQMVSENDRFVSLLSMRAEKTDGQLCISENKSDNEVESDNAISNNLDTSVNNDKEDPIFCSIKDELSSKESVSIVKATEMMVHEESKSLDISSETSGSSIITDDKNVDIYEVCDEKQNPWYLKQDSSEVDQQPFSPLSSPSDSSVMKKVECLESVTDIPERSSPVSVLEPIFADDLISPASIRSYSGETSIQPLRIRFEEHDSLATNQSNRIKTCMNDTESIFEHIKAVLQASSFSWDEVYIRSLSSDLLIDTLLVDEVEYLPNQLCQDQKLLFDCINEVVREVCEYYFGSPSVSFVKPNIRPIPNMQNTIQEVWEGVYWHLLPTPLPCTLDLVVRKDLAKTGTWMDLQLDTGYIGVEIGEAIFEDLVEDTITSYINGSWECEYNVLPA >KJB30101 pep chromosome:Graimondii2_0_v6:5:31430168:31436862:-1 gene:B456_005G129500 transcript:KJB30101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSNSCSLRYKKDQLGCMWGLISMLDFRHSRSTRRLLSDRRRGDRNAVGAPNTRNKPEMLTSSAEDCPRPLDGEEERTAIDACKPSVKKLLEEEMSGEVAKKEASNTEVEVKQFYSGEGDDGRKNWNRKNKTCKKSSSSSLHMDVAENLVSERSRQHKPEQQTTSNLDMDNLMEEFFRKIHQKRVNCMNHDQLEQNPKSYGSEERLNEAIKVLVSQKFLIGNQLTEDGEVLASNEVMDALPISSLDEELFLKLLPDLNLLKYIQDLPDAHLKDEESKPLAESNFSDMESTGLRQRNEPVNRKQRNFFRRKLKSQERELSDGNKASQASNKIEVLKPGSTCLQTPETGSSLDSPSDSQYIVSHREPNEKVGSHFFLAEIKRKLKHAMGRDQHRIPTNGISEKFPAEQQNSEDSGRVKEYFGMNSPTKDQFFIERIGRPSIGVAKGEKTSKLKGSELSMEYETIDFSMKRVSNIYIEAKKHLSDLLTNEDQNEDLLSTQVPKTLGSDKLQMVSENDRFVSLLSMRAEKTDGQLCISENKSDNEVESDNAISNNLDTSVNNDKEDPIFCSIKDELSSKESVSIVKATEMMVHEESKSLDISSETSGSSIITDDKNVDIYEVCDEKQNPWYLKQDSSEVDQQPFSPLSSPSDSSVMKKVECLESVTDIPERSSPVSVLEPIFADDLISPASIRSYSGETSIQPLRIRFEEHDSLATNQSNRIKTCMNDTESIFEHIKAVLQASSFSWDEVYIRSLSSDLLIDTLLVDEVEYLPNQLCQDQKLLFDCINEVVREVCEYYFGSPSVSFVKPNIRPIPNMQNTIQEVWEGVYWHLLPTPLPCTLDLVVRKDLAKTGTWMDLQLDTGYIGVEIGEAIFEDLVEDTITSYINGSWECEYNVLPA >KJB30097 pep chromosome:Graimondii2_0_v6:5:31430168:31434544:-1 gene:B456_005G129500 transcript:KJB30097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFLFNFAGAPNTRNKPEMLTSSAEDCPRPLDGEEERTAIDACKPSVKKLLEEEMSGEVAKKEASNTEVEVKQFYSGEGDDGRKNWNRKNKTCKKSSSSSLHMDVAENLVSERSRQHKPEQQTTSNLDMDNLMEEFFRKIHQKRVNCMNHDQLEQNPKSYGSEERLNEAIKVLVSQKFLIGNQLTEDGEVLASNEVMDALPISSLDEELFLKLLPDLNLLKYIQDLPDAHLKDEESKPLAESNFSDMESTGLRQRNEPVNRKQRNFFRRKLKSQERELSDGNKASQASNKIEVLKPGSTCLQTPETGSSLDSPSDSQYIVSHREPNEKVGSHFFLAEIKRKLKHAMGRDQHRIPTNGISEKFPAEQQNSEDSGRVKEYFGMNSPTKDQFFIERIGRPSIGVAKGEKTSKLKGSELSMEYETIDFSMKRVSNIYIEAKKHLSDLLTNEDQNEDLLSTQVPKTLGRILSLPEYNTSPVGSPGQNLEHSFTTAQMRFAGSDKLQMVSENDRFVSLLSMRAEKTDGQLCISENKSDNEVESDNAISNNLDTSVNNDKEDPIFCSIKDELSSKESVSIVKATEMMVHEESKSLDISSETSGSSIITDDKNVDIYEVCDEKQNPWYLKQDSSEVDQQPFSPLSSPSDSSVMKKVECLESVTDIPERSSPVSVLEPIFADDLISPASIRSYSGETSIQPLRIRFEEHDSLATNQSNRIKTCMNDTESIFEHIKAVLQASSFSWDEVYIRSLSSDLLIDTLLVDEVEYLPNQLCQDQKLLFDCINEVVREVCEYYFGSPSVSFVKPNIRPIPNMQNTIQEVWEGVYWHLLPTPLPCTLDLVVRKDLAKTGTWMDLQLDTGYIGVEIGEAIFEDLVEDTITSYINGSWECEYNVLPA >KJB30103 pep chromosome:Graimondii2_0_v6:5:31430832:31434738:-1 gene:B456_005G129500 transcript:KJB30103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSNSCSLRYKKDQLGCMWGLISMLDFRHSRSTRRLLSDRRRGDRNAVGAPNTRNKPEMLTSSAEDCPRPLDGEEERTAIDACKPSVKKLLEEEMSGEVAKKEASNTEVEVKQFYSGEGDDGRKNWNRKNKTCKKSSSSSLHMDVAENLVSERSRQHKPEQQTTSNLDMDNLMEEFFRKIHQKRVNCMNHDQLEQNPKSYGSEERLNEAIKVLVSQKFLIGNQLTEDGEVLASNEVMDALPISSLDEELFLKLLPDLNLLKYIQDLPDAHLKDEESKPLAESNFSDMESTGLRQRNEPVNRKQRNFFRRKLKSQERELSDGNKASQASNKIEVLKPGSTCLQTPETGSSLDSPSDSQYIVSHREPNEKVGSHFFLAEIKRKLKHAMGRDQHRIPTNGISEKFPAEQQNSEDSGRVKEYFGMNSPTKDQFFIERIGRPSIGVAKGEKTSKLKGSELSMEYETIDFSMKRVSNIYIEAKKHLSDLLTNEDQNEDLLSTQVPKTLGRILSLPEYNTSPVGSPGQNLEHSFTTAQMRFAGSDKLQMVSENDRFVSLLSMRAEKTDGQLCISENKSDNEVESDNAISNNLDTSVNNDKEDPIFCSIKDELSSKESVSIVKATEMMVHEESKSLDISSETSGSSIITDDKNVDIYEVCDEKQNPWYLKQDSSEVDQQPFSPLSSPSDSSVMKKVECLESVTDIPERSSPVSVLEPIFADDLISPASIRSYSGETSIQPLRIRFEEHDSLATNQSNRIKTCMNDTESIFEHIKAVLQASSFSWDEVYIRSLSSDLLIDTLLVDEVEYLPNQLCQDQKLLFDCINEVVREVCEYYFGSPSVSFVKPNIRPIPNMQNTIQEVWEGVYWHLLPTPLPCTLDLVVRKDLAKTGTWMDLQLDTGYIGVEIGEAIFEDLVEDTITSYINGSWECEYNVLPA >KJB30104 pep chromosome:Graimondii2_0_v6:5:31431957:31436862:-1 gene:B456_005G129500 transcript:KJB30104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSNSCSLRYKKDQLGCMWGLISMLDFRHSRSTRRLLSDRRRGDRNAVGAPNTRNKPEMLTSSAEDCPRPLDGEEERTAIDACKPSVKKLLEEEMSGEVAKKEASNTEVEVKQFYSGEGDDGRKNWNRKNKTCKKSSSSSLHMDVAENLVSERSRQHKPEQQTTSNLDMDNLMEEFFRKIHQKRVNCMNHDQLEQNPKSYGSEERLNEAIKVLVSQKFLIGNQLTEDGEVLASNEVMDALPISSLDEELFLKLLPDLNLLKYIQDLPDAHLKDEESKPLAESNFSDMESTGLRQRNEPVNRKQRNFFRRKLKSQERELSDGNKASQASNKIEVLKPGSTCLQTPETGSSLDSPSDSQYIVSHREPNEKVGSHFFLAEIKRKLKHAMGRDQHRIPTNGISEKFPAEQQNSEDSGRVKEYFGMNSPTKDQFFIERIGRPSIGVAKGEKTSKLKGSELSMEYETIDFSMKRVSNIYIEAKKHLSDLLTNEDQNEDLLSTQVPKTLGRILSLPEYNTSPVGSPGQNLEHSFTTAQMRFAGSDKLQMVSENDRFVSLLSMRAEKTDGQLCISENKSDNEVESDNAISNNLDTSVNNDKEDPIFCSIKDELSSKGTILHLFNL >KJB30100 pep chromosome:Graimondii2_0_v6:5:31430168:31435689:-1 gene:B456_005G129500 transcript:KJB30100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSNSCSLRYKKDQLGCMWGLISMLDFRHSRSTRRLLSDRRRGDRNAVGAPNTRNKPEMLTSSAEDCPRPLDGEEERTAIDACKPSVKKLLEEEMSGEVAKKEASNTEVEVKQFYSGEGDDGRKNWNRKNKTCKKSSSSSLHMDVAENLVSERSRQHKPEQQTTSNLDMDNLMEEFFRKIHQKRVNCMNHDQLEQNPKSYGSEERLNEAIKVLVSQKFLIGNQLTEDGEVLASNEVMDALPISSLDEELFLKLLPDLNLLKYIQDLPDAHLKDEESKPLAESNFSDMESTGLRQRNEPVNRKQRNFFRRKLKSQERELSDGNKASQASNKIEVLKPGSTCLQTPETGSSLDSPSDSQYIVSHREPNEKVGSHFFLAEIKRKLKHAMGRDQHRIPTNGISEKFPAEQQNSEDSGRVKEYFGMNSPTKDQFFIERIGRPSIGVAKGEKTSKLKGSELSMEYETIDFSMKRVSNIYIEAKKHLSDLLTNEDQNEDLLSTQVPKTLGRILSLPEYNTSPVGSPGQNLEHSFTTAQMRFAGSDKLQMVSENDRFVSLLSMRAEKTDGQLCISENKSDNEVESDNAISNNLDTSVNNDKEDPIFCSIKDELSSKESVSIVKATEMMVHEESKSLDISSETSGSSIITDDKNVDIYEVCDEKQNPWYLKQDSSEVDQQPFSPLSSPSDSSVMKKVECLESVTDIPERSSPVSVLEPIFADDLISPASIRSYSGETSIQPLRIRFEEHDSLATNQSNRIKTCMNDTESIFEHIKAVLQASSFSWDEVYIRSLSSDLLIDTLLVDEVEYLPNQLCQDQKLLFDCINEVVREVCEYYFGSPSVSFVKPNIRPIPNMQNTIQEVWEGVYWHLLPTPLPCTLDLVVRKDLAKTGTWMDLQLDTGYIGVEIGEAIFEDLVEDTITSYINGSWECEYNVLPA >KJB31628 pep chromosome:Graimondii2_0_v6:5:57406209:57408571:1 gene:B456_005G198900 transcript:KJB31628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETMAEEPVSNGFMQNPVPGSNNPPVAKRKRNLPGTPDPEAEVIALSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTTKEVRKRVYVCPEKTCVHHHPSRALGDLTGIKKHFYRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYKCDCGTLFSRRDSFITHRAFCDALAEETARVNAASSMHSLATTNFSYQLMGNPLDTGMPQHFPSIFKTISSNDETIDQTRRGFSLWMGQAPQGHDSIGKSLQEIQQFGSLNSGSMYSDPLVSTSNPPASDYQLNWVFGNKVSSGNAEDQLTSTSLPLNNNAKENGTQLVSIPSLFSTQHQSQQTPSFSMSATALLQKAAQIGATSTDTSFLGSFGTKCSNSQIQDGSQYGDLYVSNTQTTTLGRDMENSANDISTLNQLQMYPPKRRYLQNEESGGGQTRDFLGVGVQQAICHPSSINGWI >KJB27601 pep chromosome:Graimondii2_0_v6:5:93440:94346:1 gene:B456_005G001600 transcript:KJB27601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHERCEQNMDSWATDLRILKLSILVLFTACLVKASATASRSNGEYKPVGPTEYRLLQPDLGKDDGARRRLAPFQLCLLCKCCSATAASTCTSMPCCFGIDCQLPNKPFGVCAFVPKTCNCNSCAA >KJB27602 pep chromosome:Graimondii2_0_v6:5:93440:94346:1 gene:B456_005G001600 transcript:KJB27602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHERCEQNMDSWATDLRILKLSILVLFTACLVAVKASATASRSNGEYKPVGPTEYRLLQPDLGKDDGARRRLAPFQLCLLCKCCSATAASTCTSMPCCFGIDCQLPNKPFGVCAFVPKTCNCNSCAA >KJB29534 pep chromosome:Graimondii2_0_v6:5:18817033:18817758:1 gene:B456_005G105900 transcript:KJB29534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIFIIRDRVISWSFASTCGFKDTRRGTPFAAQTVAGNAIQAVLDQGMQRAEVMIKGPSLERDATLRAIRRYLYEYETNMNEFNFFHYYSLIIVELLAQSQKEKGIVTLHR >KJB28493 pep chromosome:Graimondii2_0_v6:5:5172012:5172024:-1 gene:B456_005G052200 transcript:KJB28493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEET >KJB31740 pep chromosome:Graimondii2_0_v6:5:58525968:58527381:1 gene:B456_005G206300 transcript:KJB31740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPILFPTLYIYICVEVYDSFRIDELHINFSLFSVKRSPLSLTPSRKLQHTSKLNLSKGKPMGRTPCCDKSGLKKGPWTPEEDLKLTNYIQVHGPGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIRRGRFSFEEEETIIQLHGILGNKWSAIAGQLPGRTDNEIKNYWNTHIRKRLLRNGIDPVTHAPRLDLLDLSSIISSTLCNQSLLNVSNLLGTQALLNPQLLSLANTLLSLKQENPEMLMQYLHQNQLNLDPSLQAPIQIASACTTTSTVPCCTSMLSQTGLIQATGEGFFSSDMTNFSYPNSQENLTNSTLTNEFAAQPHYVQCSTNPTVPILSETSKFQSVDGSFDSVRSSAISSPTALNSSSTFVNSSSTDDERESFSSLLKFEIPEGLDINDFM >KJB31437 pep chromosome:Graimondii2_0_v6:5:55949496:55952127:-1 gene:B456_005G191800 transcript:KJB31437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLTFRSPSPFLLPKSKPSTLSIPLISLKPSPHRLTVAAAAGNMKMIEGVSDELNSIASQNLDYAAARRRVRSAFIPVHQQLDHLLFKMAPTGVRTEEWIERNSKGLEIFFRRWMPEPGVKIKGVVCFCHGYGDTCTFFFEGIARFIAASGYGVYAIDHPGFGLSEGLHGYIYSFDELADNVIEQYAKIKERPEVRGLPCFILGQSMGGAVTLKVHFKDPHGWDGIILVAPMCKIADDVTPSKPVLNFLTFLSKVMPTAKLVPQKDLAELMFRDPRKKKMVCFLQSPYNGLASIVLMIKHQSGAII >KJB31436 pep chromosome:Graimondii2_0_v6:5:55948671:55952235:-1 gene:B456_005G191800 transcript:KJB31436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLTFRSPSPFLLPKSKPSTLSIPLISLKPSPHRLTVAAAAGNMKMIEGVSDELNSIASQNLDYAAARRRVRSAFIPVHQQLDHLLFKMAPTGVRTEEWIERNSKGLEIFFRRWMPEPGVKIKGVVCFCHGYGDTCTFFFEGIARFIAASGYGVYAIDHPGFGLSEGLHGYIYSFDELADNVIEQYAKIKERPEVRGLPCFILGQSMGGAVTLKVHFKDPHGWDGIILVAPMCKMM >KJB31438 pep chromosome:Graimondii2_0_v6:5:55948671:55952246:-1 gene:B456_005G191800 transcript:KJB31438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLTFRSPSPFLLPKSKPSTLSIPLISLKPSPHRLTVAAAAGNMKMIEGVSDELNSIASQNLDYAAARRRVRSAFIPVHQQLDHLLFKMAPTGVRTEEWIERNSKGLEIFFRRWMPEPGVKIKGVVCFCHGYGDTCTFFFEGIARFIAASGYGVYAIDHPGFGLSEGLHGYIYSFDELADNVIEQYAKIKERPEVRGLPCFILGQSMGGAVTLKVHFKDPHGWDGIILVAPMCKIADDVTPSKPVLNFLTFLSKVMPTAKLVPQKDLAELMFRDPRKKKMAVYNVICYDDRVRLRTAVELLNATKEIEEQVDKVSSPLLILHGAADKVTDPVVSQFLYENASSKDKTLKLYEEGYHCILEGEPDDRILTVLNDIISWLDARC >KJB31814 pep chromosome:Graimondii2_0_v6:5:59061675:59064680:-1 gene:B456_005G209400 transcript:KJB31814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSDGKGKVMWDKRLTEIFCDICIKEILKGNRPGTHFTRDGWLKIMTNFEKETGKGFSQRQFKNRWDALKKKRKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQVVPEAKKFKTSGIDPEFEGKLDQMFMGIVATGDKACAPSSGTLPTDFLEDVNNEIPKENEEENMRNDVHILNDVHISNDVQIDGNRQKRKNPEMSSSHFKTGRKKSSKQIGGAARLSNQIEKLCNAADSMSQATSSLTPVMDPFGIPQAVKMLDSMSEEIPEASPLYFFALRLLLNKDKRIMFLSINPKIRALWLKTEMEDS >KJB31815 pep chromosome:Graimondii2_0_v6:5:59061675:59062964:-1 gene:B456_005G209400 transcript:KJB31815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSDGKGKVMWDKRLTEIFCDICIKEILKGNRPGTHFTRDGWLKIMTNFEKETGKGFSQRQFKNRWDALKKKRKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQVVPEAKKFKTSGIDPEFEGKLDQMFMGIVATGDKACAPSSGTLPTDFLEDVNNEIPKENEEENMRNDVHILNDVHISNDVQIDGNRQKRKNPEMSSSHFKTGRKKSSKQIGGAARLSNQIEKLCNAADSMSQATSSLTPVMDPFGIPQAVKMLDSMSEEIPEASPLYFFALRLLLNKDKRIMFLSINPKIRALWLKTEMEDS >KJB32899 pep chromosome:Graimondii2_0_v6:5:63966928:63969385:1 gene:B456_005G267100 transcript:KJB32899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGRIVTPKSSKRNMTTAAETRNWLELPLDVTASILSRIGAIEILNSAQNVCSLWRNICKDPLMWRSIDMHNLGDLWDMDYDLAKMCVHAVDRSCGHLLDINVEYFGTDALLLHISERSVHLKRLRIVSCYNISDEGLSEAALKLPFLEELEISYCSISKDALETIGRSCPLLKSFKFNVQGCRRFHLESDDEALAIAQTMPELRHLQLFGNKLTNDGLLAILNGCPHLEYLDLRQCFNVSLGGNLEKRCVERIKNLRRPNDSTHDYEFYTEVHDTGSSDEDYPSGISDIDFMSDDYDDYFEFSGDSDYDFGYDYDGVLFD >KJB32897 pep chromosome:Graimondii2_0_v6:5:63966865:63969482:1 gene:B456_005G267100 transcript:KJB32897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGRIVTPKSSKRNMTTAAETRNWLELPLDVTASILSRIGAIEILNSAQNVCSLWRNICKDPLMWRSIDMHNLGDLWDMDYDLAKMCVHAVDRSCGHLLDINVEYFGTDALLLHISERSVHLKRLRIVSCYNISDEGLSEAALKLPFLEELEISYCSISKDALETIGRSCPLLKSFKFNVQGCRRFHLESDDEALAIAQTMPELRHLQLFGNKLTNDGLLAILNGCPHLEYLDLRQCFNVSLGGNLEKRCVERIKNLRRPNDSTHDYEFYTEVHDTGSSDEDYPSGISDIDFMSDDYDDYFEFSGDSDYDFGYDYDGVLFD >KJB32898 pep chromosome:Graimondii2_0_v6:5:63966928:63969385:1 gene:B456_005G267100 transcript:KJB32898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGRIVTPKSSKRNMTTAAETRNWLELPLDVTASILSRIGAIEILNSAQNVCSLWRNICKDPLMWRSIDMHNLGDLWDMDYDLAKMCVHAVDRSCGHLLDINVEYFGTDALLLHISERSVHLKRLRIVSCYNISDEGLSEAALKLPFLEELEISYCSISKDALETIGRSCPLLKSFKFNVQGCRRFHLESDDEALAIAQTMPELRHLQLFGNKLTNDGLLAILNGCPHLEYLDLRQCFNVSLGGNLEKRCVERIKNLRRPNDSTHDYEFYTEVHDTGSSDEDYPSGISDIDFMSDDYDDYFEFSGDSDYDFGYDYDGVLFD >KJB28537 pep chromosome:Graimondii2_0_v6:5:5301043:5305126:-1 gene:B456_005G053700 transcript:KJB28537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTLKDKAAGSLSRLFADSASHHSSPSSPSSPRDLSQARWYTKGSKSLSSIFSYIIPSLSYGESKLDDHGGELKPVPSLPVRWKKKFETRHEVLDSVKEYTTCTTEELKKACEDKKKIWTHSDNKQIARIRLRSENEDCVSGRRSTSSDEFQEAREEQSPMKSPLKPDEFHEAREEQSPMKSPLKPSDEFQEAREEQSPMKAPPKLSDESVFINYDLYEFLTSSLPNIVKGCQWMLLYSTLKHGISLRTLIRKSAELPGPCLLITGDRQGAVFGAMLECPLKPTPKRKYQGTNQTFVFTTKYGEPRLFRPTGANRYYYICVTDLLALGGGGNFALSLDEDLLSGTSGACETFGNLCLAHNQDFEPKNIELWGFTHASKHFQN >KJB28536 pep chromosome:Graimondii2_0_v6:5:5301043:5304822:-1 gene:B456_005G053700 transcript:KJB28536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTLKDKAAGSLSRLFADSASHHSSPSSPSSPRDLSQARWYTKGSKSLSSIFSYIIPSLSYGESKLDDHGGELKPVPSLPVRWKKKFETRHEVLDSVKEYTTCTTEELKKACEDKKKIWTHSDNKQIARIRLRSENEDCVSGRRSTSSDEFQEAREEQSPMKSPLKPDEFHEAREEQSPMKSPLKPSDEFQEAREEQSPMKAPPKLSDESVFINYDLYEFLTSSLPNIVKGCQWMLLYSTLKHGISLRTLIRKSAELPGPCLLITGDRQGAVFGAMLECPLKPTPKRKYQGTNQTFVFTTKYGEPRLFRPTGANRYYYICVTDLLALGGGGNFALSLDEDLGTSGACETFGNLCLAHNQDFEPKNIELWGFTHASKHFQN >KJB28538 pep chromosome:Graimondii2_0_v6:5:5302890:5304822:-1 gene:B456_005G053700 transcript:KJB28538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTLKDKAAGSLSRLFADSASHHSSPSSPSSPRDLSQARWYTKGSKSLSSIFSYIIPSLSYGESKLDDHGGELKPVPSLPVRWKKKFETRHEVLDSVKEYTTCTTEELKKACEDKKKIWTHSDNKQIARIRLRSENEDCVSGRRSTSSDEFQEAREEQSPMKSPLKPDEFHEAREEQSPMKSPLKPSDEFQEAREEQSPMKAPPKLSDESVFINYDLYEFLTSSLPNIVKGCQWMLLYSTLKHGISLRTLIRKSAELPGPCLLITGDRQGAVFGAMLECPLKPTPKRKYQGTNQTFVFTTKYGEPRLFRPTGMQCCLLHFILPTYYGHIHKEKD >KJB29481 pep chromosome:Graimondii2_0_v6:5:17633568:17633925:1 gene:B456_005G102900 transcript:KJB29481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKTRSYSVDGKHEMTVDEFKRWLKKFDEDKDGKISKDELADAIRVSGGWFARRKSKYGIRSVDANANGFVDDNEIKNLAEFAEKHLNVRILHL >KJB28984 pep chromosome:Graimondii2_0_v6:5:9008704:9009842:-1 gene:B456_005G078300 transcript:KJB28984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKQYESEEGKKSIDAQLEKMKKYATVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFAYKFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYRVGKVGDETHSAITDYDRTEKDITPIGGFPHYGVVKSDYLMIKGGCVGPKKRVVTLRQSLINQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >KJB28981 pep chromosome:Graimondii2_0_v6:5:9008453:9010676:-1 gene:B456_005G078300 transcript:KJB28981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIVREVEKPGSKLHKKETCEAVTIIETPPMVIVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKQYESEEGKKSIDAQLEKMKKYATVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFAYKFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYRVGKVGDETHSAITDYDRTEKDITPIGGFPHYGVVKSDYLMIKGGCVGPKKRVVTLRQSLINQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >KJB28983 pep chromosome:Graimondii2_0_v6:5:9008453:9010682:-1 gene:B456_005G078300 transcript:KJB28983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVWICWSMMMLFLIGAVKAFPKDDPSKPCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVIVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKQYESEEGKKSIDAQLEKMKKYATVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFAYKFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYRVGKVGDETHSAITDYDRTEKDITPIGGFPHYGVVKSDYLMIKGGCVGPKKRVVTLRQSLINQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >KJB28979 pep chromosome:Graimondii2_0_v6:5:9008437:9010801:-1 gene:B456_005G078300 transcript:KJB28979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPSKPCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVIVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKQYESEEGKKSIDAQLEKMKKYATVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFAYKFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYRVGKVGDETHSAITDYDRTEKDITPIGGFPHYGVVKSDYLMIKGGCVGPKKRVVTLRQSLINQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >KJB28980 pep chromosome:Graimondii2_0_v6:5:9008704:9009842:-1 gene:B456_005G078300 transcript:KJB28980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKQYESEEGKKSIDAQLEKMKKYATVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFAYKFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYRVGKVGDETHSAITDYDRTEKDITPIGGFPHYGVVKSDYLMIKGGCVGPKKRVVTLRQSLINQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >KJB28982 pep chromosome:Graimondii2_0_v6:5:9008453:9010676:-1 gene:B456_005G078300 transcript:KJB28982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIVREVEKPGSKLHKKETCEAVTIIETPPMVIVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKQYESEEGKKSIDAQLEKMKKYATVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFAYKFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYRVGKVGDETHSAITDYDRTEKDITPIGGFPHYGVVKSDYLMIKGGCVGPKKRVVTLRQSLINQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >KJB28870 pep chromosome:Graimondii2_0_v6:5:8051944:8055842:1 gene:B456_005G073400 transcript:KJB28870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNEPTAKLKPACKVAPIPMSQQRSTTPSSFRVNSKPKDQSAARPKSVPPDTTKNSRKSVMMMNKPKSGDQLPAGGCYHKGRVVEQFGKPRRSSANSSSTTEKNSAVDELREKLSCSEGLVKDLQTQVMGLRAELDGARSLNMELESLNRKLKEDLAAAEDKIAALSSPQPDPDPDPHPDQVHLQRESSGEYQSRKFKDIQKLIANKLEHPKVTRGEARTVKVPPRPTASLAPKDANHQTIAPITYSQPPPPPPPLPSLPPLPPPPPPPRFPAKAATTPKAHSVVVGPVQSYQEKKKDPPVAAAAWNQKKPTVISVHSSIVGEIQNRSAHLLAIKADVETKGEFINSLIHRVLAAAYTDIEEVLKFVDWLDNELSSLADERAVLKHFKWPERKADAMREAAIEYRDLKLLEMEISSFEDDTSNPCGVALKRMAGLLDKSERSIQRLIKLRNSVIRSYQECKIPVDWMLDSGMVYKIKQASMKLVTMYIKRVGTELQLVRSLDKESAQEALLLQGMHFANRAHQFAGDLDSETLCAFEEIKECIPGHLVGSKELLAGISSA >KJB28869 pep chromosome:Graimondii2_0_v6:5:8051944:8055842:1 gene:B456_005G073400 transcript:KJB28869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNEPTAKLKPACKVAPIPMSQQRSTTPSSFRVNSKPKDQSAARPKSVPPDTTKNSRKSVMMMNKPKSGDQLPAGGCYHKGRVVEQFGKPRRSSANSSSTTEKNSAVDELREKLSCSEGLVKDLQTQVMGLRAELDGARSLNMELESLNRKLKEDLAAAEDKIAALSSPQPDPDPDPHPDQVHLQKRESSGEYQSRKFKDIQKLIANKLEHPKVTRGEARTVKVPPRPTASLAPKDANHQTIAPITYSQPPPPPPPLPSLPPLPPPPPPPRFPAKAATTPKAHSVVVGPVQSYQEKKKDPPVAAAAWNQKKPTVISVHSSIVGEIQNRSAHLLAIKADVETKGEFINSLIHRVLAAAYTDIEEVLKFVDWLDNELSSLADERAVLKHFKWPERKADAMREAAIEYRDLKLLEMEISSFEDDTSNPCGVALKRMAGLLDKSERSIQRLIKLRNSVIRSYQECKIPVDWMLDSGMVYKIKQASMKLVTMYIKRVGTELQLVRSLDKESAQEALLLQGMHFANRAHQFAGDLDSETLCAFEEIKECIPGHLVGSKELLAGISSA >KJB29793 pep chromosome:Graimondii2_0_v6:5:24784500:24791966:1 gene:B456_005G119300 transcript:KJB29793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFKKFQHKNEKVENSPKKKKDLENAKYAHKPPIDGAEAPSTATKQKAAAAKQYIENHYKAQMKNLQDRKERRWMLERKLADADVTAEEQMNMLKFLEKKETEYMRLQRHKMGVDDFELLTIIGRGAFGEVRLCKEKATSNVYAMKKLQKSEMLRRGQVEHVKAERNLLAEVDSECIVKLYCSFQDDEYLYLVMEYLPGGDMMTLLMRKDTLTEDEARFYVGQTVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDSSSFPDLREDDHGGGRNLKPSTESGKHSNVPTTPRRTQQEQLLHWQKNRRTLAYSTVGTPDYIAPEVLLKRGYGMECDWWSLGAIMFEMLVGYPPFYSEEPLSTCRKIVNWRTHLKFPEEAKLSAEAKDLIRKLLCNVEQRLGTKGAYEIKAHPWFKDIEWDRLYQIEAAFMPEVNSDLDTQNFEKFEELGAQVQSSTRSGPWRKMLSSKDANFVGYTYKNVEIVKEHHLPGIAEVKKKSNAPKRPSVKSLFDTPGPPDPPTKGSFLNLLPTQLEEPESPVSEHQSIRATQYPRKPLHR >KJB29795 pep chromosome:Graimondii2_0_v6:5:24784557:24791966:1 gene:B456_005G119300 transcript:KJB29795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFKKFQHKNEKVENSPKKKKDLENAKYAHKPPIDGAEAPSTATKQKAAAAKQYIENHYKAQMKNLQDRKERRWMLERKLADADVTAEEQMNMLKFLEKKETEYMRLQRHKMGVDDFELLTIIGRGAFGEVRLCKEKATSNVYAMKKLQKSEMLRRGQVEHVKAERNLLAEVDSECIVKLYCSFQDDEYLYLVMEYLPGGDMMTLLMRKDTLTEDEARFYVGQTVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDSSSFPDLREDDHGGGRNLKPSTESGKHSNVPTTPRRTQQEQLLHWQKNRRTLAYSTVGTPDYIAPEVLLKRGYGMECDWWSLGAIMFEMLVGYPPFYSEEPLSTCRKFQRLPIYLMLNKIVNWRTHLKFPEEAKLSAEAKDLIRKLLCNVEQRLGTKGAYEIKAHPWFKDIEWDRLYQIEAAFMPEVNSDLDTQNFEKFEELGAQVQSSTRSGPWRKMLSSKDANFVGYTYKNVEIVKEHHLPGIAEVKKKSNAPKRPSVKSLFDTPGPPDPPTKGSFLNLLPTQLEEPESPVSEHQSIRATQYPRKPLHR >KJB29796 pep chromosome:Graimondii2_0_v6:5:24784557:24791966:1 gene:B456_005G119300 transcript:KJB29796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFKKFQHKNEKVENSPKKKKDLENAKYAHKPPIDGAEAPSTATKQKAAAAKQYIENHYKAQMKNLQDRKERRWMLERKLADADVTAEEQMNMLKFLEKKETEYMRLQRHKMGVDDFELLTIIGRGAFGEVRLCKEKATSNVYAMKKLQKSEMLRRGQVEHVKAERNLLAEVDSECIVKLYCSFQDDEYLYLVMEYLPGGDMMTLLMRKDTLTEDEARFYVGQTVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDSSSFPDLREDDHGGGRNLKPSTESGKHSNVPTTPRRTQQEQLLHWQKNRRTLAYSTVGTPDYIAPEVLLKRGYGMECDWWSLGAIMFEMLVGYPPFYSEEPLSTCRKIVNWRTHLKFPEEAKLSAEAKDLIRKLLCNVEQRLGTKGAYEIKAHPWFKDIEWDRLYQIEAAFMPEVNSDLDTQNFEKFEELGAQVQSSTRSGPWRKMLSSKDANFVGYTYKNVEIVKEHHLPGIAEVKKKSNAPKRPSVKSLFGNVFAFTVNYFLLFLIWSCFLNAFLPLRITFFVDTPGPPDPPTKGSFLNLLPTQLEEPESPVSEHQSIRATQYPRKPLHR >KJB29794 pep chromosome:Graimondii2_0_v6:5:24784557:24791966:1 gene:B456_005G119300 transcript:KJB29794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFKKFQHKNEKVENSPKKKKDLENAKYAHKPPIDGAEAPSTATKQKAAAAKQYIENHYKAQMKNLQDRKERRWMLERKLADADVTAEEQMNMLKFLEKKETEYMRLQRHKMGVDDFELLTIIGRGAFGEVRLCKEKATSNVYAMKKLQKSEMLRRGQVEHVKAERNLLAEVDSECIVKLYCSFQDDEYLYLVMEYLPGGDMMTLLMRKDTLTEDEARFYVGQTVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDSSSFPDLREDDHGGGRNLKPSTESGKHSNVPTTPRRTQQEQLLHWQKNRRTLAYSTVGTPDYIAPEVLLKRGYGMECDWWSLGAIMFEMLVGYPPFYSEEPLSTCRKIVNWRTHLKFPEEAKLSAEAKDLIRKLLCNVEQRLGTKGAYEIKAHPWFKDIEWDRLYQIEAAFMPEVNSDLDTQNFEKFEELGAQVQSSTRSGPWRKMLSSKDANFVGYTYKNVEIVKEHHLPGIAEVKKKSNAPKRPSVKSLFDTPGPPDPPTKGSFLNLLPTQLEEPESPVSEHQSIRATQYPRKPLHR >KJB29792 pep chromosome:Graimondii2_0_v6:5:24784403:24792093:1 gene:B456_005G119300 transcript:KJB29792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFKKFQHKNEKVENSPKKKKDLENAKYAHKPPIDGAEAPSTATKQKAAAAKQYIENHYKAQMKNLQDRKERRWMLERKLADADVTAEEQMNMLKFLEKKETEYMRLQRHKMGVDDFELLTIIGRGAFGEVRLCKEKATSNVYAMKKLQKSEMLRRGQVEHVKAERNLLAEVDSECIVKLYCSFQDDEYLYLVMEYLPGGDMMTLLMRKDTLTEDEARFYVGQTVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDSSSFPDLREDDHGGGRNLKPSTESGKHSNVPTTPRRTQQEQLLHWQKNRRTLAYSTVGTPDYIAPEVLLKRGYGMECDWWSLGAIMFEMLVGYPPFYSEEPLSTCRKIVNWRTHLKFPEEAKLSAEAKDLIRKLLCNVEQRLGTKGAYEIKAHPWFKDIEWDRLYQIEAAFMPEVNSDLDTQNFEKFEELGAQVQSSTRSGPWRKMLSSKDANFVGYTYKNVEIVKEHHLPGIAEVKKKSNAPKRPSVKSLFDTPGPPDPPTKGSFLNLLPTQLEEPESPVSEHQSIRATQYPRKPLHR >KJB31009 pep chromosome:Graimondii2_0_v6:5:53207253:53209687:1 gene:B456_005G181500 transcript:KJB31009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISDNELNAVTRRIVTVYNHFLLPIGSGSNSGLTRGSIGLCNASMDDSYHRIHGEVASHEVEWKTACDEYGKEFTDIIYEKAIGEGIAKITINRPERRNAFRPQTIKELIRAFNDARDDSSIGVIILTGKGTKAFCSGGDQALRKADGYADFENFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMLCCSCSFHLWMVVLMLHACAFVALIRIIWLDMPQVGSFDAGYGSSIMSRLVGPKKAREMWFLARFYTASEAEKMGLVNAVVPLEKLEQETIKWCREILRNSPTAIRVLKAALNAVDDGHAGLQEVGGNATLIFYGTEEGNEGKTAYVERRRPNFSKFSRRP >KJB31008 pep chromosome:Graimondii2_0_v6:5:53207253:53209687:1 gene:B456_005G181500 transcript:KJB31008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISDNELNAVTRRIVTVYNHFLLPIGSGSNSGLTRGSIGLCNASMDDSYHRIHGEVASHEVEWKTACDEYGKEFTDIIYEKAIGEGIAKITINRPERRNAFRPQTIKELIRAFNDARDDSSIGVIILTGKGTKAFCSGGDQALRKADGYADFENFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLARFYTASEAEKMGLVNAVVPRN >KJB31004 pep chromosome:Graimondii2_0_v6:5:53207150:53209864:1 gene:B456_005G181500 transcript:KJB31004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISDNELNAVTRRIVTVYNHFLLPIGSGSNSGLTRGSIGLCNASMDDSYHRIHGEVASHEVEWKTACDEYGKEFTDIIYEKAIGEGIAKITINRPERRNAFRPQTIKELIRAFNDARDDSSIGVIILTGKGTKAFCSGGDQALRKADGYADFENFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLEVGGNATLIFYGTEEGNEGKTAYVERRRPNFSKFSRRP >KJB31005 pep chromosome:Graimondii2_0_v6:5:53207205:53209687:1 gene:B456_005G181500 transcript:KJB31005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISDNELNAVTRRIVTVYNHFLLPIGSGSNSGLTRGSIGLCNASMDDSYHRIHGEVASHEVEWKTACDEYGKEFTDIIYEKAIGEGIAKITINRPERRNAFRPQTIKELIRAFNDARDDSSIGVIILTGKGTKAFCSGGDQALRKADGYADFENFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLARFYTASEAEKMGLVNAVVPLEKLEQETIKWCREILRNSPTAIRVLKAALNAVDDGHAGLQEVGGNATLIFYGTEEGNEGKTAYVERRRPNFSKFSRRP >KJB31007 pep chromosome:Graimondii2_0_v6:5:53207150:53209864:1 gene:B456_005G181500 transcript:KJB31007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISDNELNAVTRRIVTVYNHFLLPIGSGSNSGLTRGSIGLCNASMDDSYHRIHGEVASHEVEWKTACDEYGKEFTDIIYEKAIGEGIAKITINRPERRNAFRPQTIKELIRAFNDARDDSSIGVIILTGKGTKAFCSGGDQALRKADGYADFENFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLARFYTASEAEKMGLVNAVVPLEKLEQETIKWCREILRNSPTAIRVLKAALNAVDDGHAGLQLVEMRHSYFMELKKGTRGKQHMWSVDAQISQNFQGDRKVEDWVDSNGFYFDITFIHFQRKLALLFNAPCFVTCKTISSAGF >KJB31006 pep chromosome:Graimondii2_0_v6:5:53207754:53209524:1 gene:B456_005G181500 transcript:KJB31006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFFKITINRPERRNAFRPQTIKELIRAFNDARDDSSIGVIILTGKGTKAFCSGGDQALRKADGYADFENFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLARFYTASEAEKMGLVNAVVPLEKLEQETIKWCREILRNSPTAIRVLKAALNAVDDGHAGLQEVGGNATLIFYGTEEGNEGKTAYVERRRPNFSKFSRRP >KJB31010 pep chromosome:Graimondii2_0_v6:5:53207397:53208948:1 gene:B456_005G181500 transcript:KJB31010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISDNELNAVTRRIVTVYNHFLLPIGSGSNSGLTRGSIGLCNASMDDSYHRIHGEVASHEVEWKTACDEYGKEFTDIIYEKAIGEGIAKITINRPERRNAFRPQTIKELIRAFNDARDDSSIGVIILTGKGTKAFCSGGDQALRKADGYADFENFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMLCCSCSFHLWMVVLMLHACAFVALIRIIWLDMPQVGSFDAGYGSSIMSRLVSYEKDGSFYLA >KJB31406 pep chromosome:Graimondii2_0_v6:5:62786142:62789908:-1 gene:B456_005G248100 transcript:KJB31406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEESKIETQNQNPNSNSNPNNQNQIRGSKGKSCKGCLYYSSALKSKSQNPTCVGIPRTLQQVPRYIIGESELEASKEGRTLTDFKYACVGYSVYLDNKDSSADNQSDKPVELPFCVGLEIVMCFLNLEPKGRHHRQEMSSITDSKGTQAL >KJB31408 pep chromosome:Graimondii2_0_v6:5:62786612:62789779:-1 gene:B456_005G248100 transcript:KJB31408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEESKIETQNQNPNSNSNPNNQNQIRGSKGKSCKGCLYYSSALKSKSQNPTCVGIPRTLQQVPRYIIGESELEASKEGRTLTDFKYACVGYSVYLDNKDSSADNQSDKPVELPFCVGLEVLLDRTAASNDHVPANIHKNKDSHVLPQPRTQRSTPSTGDEFYNRQALLEIWDLLDAFPVFS >KJB31409 pep chromosome:Graimondii2_0_v6:5:62786142:62789929:-1 gene:B456_005G248100 transcript:KJB31409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEESKIETQNQNPNSNSNPNNQNQIRGSKGKSCKGCLYYSSALKSKSQNPTCVGIPRTLQQVPRYIIGESELEASKEGRTLTDFKYACVGYSVYLDNKDSSADNQSDKPVELPFCVGLEVLLDRTAASNDHVPANIHKNKDSHVLPQPRTQRSTPSTGDEFYNRFKRNAGLVASGVVKNMNKIGNRIKETLDDILYRRPK >KJB31407 pep chromosome:Graimondii2_0_v6:5:62786142:62789908:-1 gene:B456_005G248100 transcript:KJB31407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEESKIETQNQNPNSNSNPNNQNQIRGSKGKSCKGCLYYSSALKSKSQNPTCVGIPRTLQQVPRYIIGESELEASKEGRTLTDFKYACVGYSVYLDNKDSSADNQSDKPVELPFCVGLEVLLDRTAASNDHVPANIHKNKVMCFLNLEPKGRHHRQEMSSITDSKGTQAL >KJB29585 pep chromosome:Graimondii2_0_v6:5:19741346:19745366:-1 gene:B456_005G109200 transcript:KJB29585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTTLERYVRYHVQEFEKCFATKFPACSIAAKRHIDSNTTILDVQGMGLKNFSKSAQDLVRCLQKIDGDNYPETLCRMFIINAGSGFKMAWKAVKSCLDSKTASKINVLGSNYQSKLLEIIDASELPQFLGGSCTCADQGGCMRSDKGPWKDPNILKMIANGEALYSRQIVTVSNSEGRIKSSDTSTAESGSEVEEIVSPMPTKSYLHPLLAPVSEEARMAGKAVSAAGSLECDEYVPMIDKVVDSECEIQVSSHQSPFTSEGTPMALVEHTPKGIYGHITALVISFLTLFSIIRTMVLHLMKKPSVSDLTCNIPDQHVESTFKDETRPPSPAPRFTEVDLVSSVVRRLGDLEEKVEMLQSKRFEMPHEKEELLNAAVCRVDALEAELISTKKALHEAWMRQEELLAYIDSKEEAKFRKKKFCW >KJB29583 pep chromosome:Graimondii2_0_v6:5:19741174:19746095:-1 gene:B456_005G109200 transcript:KJB29583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGNNDERKDRKSDFEISEDDKKTRIGNLKKKAMKASSKFRRSLKKKSSRRKSDLSVSIKDVRDIEELQAVEAFRQALISDQLLPSRHDDYHMLLRFLKARKFDIEKAKHMWDNMLQWRKDFGTDTILEDFDFNELDEVLKYYPQGYHGVDKEGRPVYIELIGKVVPDKLMRVTTLERYVRYHVQEFEKCFATKFPACSIAAKRHIDSNTTILDVQGMGLKNFSKSAQDLVRCLQKIDGDNYPETLCRMFIINAGSGFKMAWKAVKSCLDSKTASKINVLGSNYQSKLLEIIDASELPQFLGGSCTCADQGGCMRSDKGPWKDPNILKMIANGEALYSRQIVTVSNSEGRIKSSDTSTAESGSEVEEIVSPMPTKSYLHPLLAPVSEEARMAGKAVSAAGSLECDEYVPMIDKVVDSECEIQVSSHQSPFTSEGTPMALVEHTPKGIYGHITALVISFLTLFSIIRTMVLHLMKKPSVSDLTCNIPDQHVESTFKDETRPPSPAPRFTEVDLVSSVVRRLGDLEEKVEMLQSKRFEMPHEKEELLNAAVCRVDALEAELISTKKALHEAWMRQEELLAYIDSKEEAKFRKKKFCW >KJB29584 pep chromosome:Graimondii2_0_v6:5:19741336:19745366:-1 gene:B456_005G109200 transcript:KJB29584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVGFEGNNDERKDRKSDFEISEDDKKTRIGNLKKKAMKASSKFRRSLKKKSSRRKSDLSVSIKDVRDIEELQAVEAFRQALISDQLLPSRHDDYHMLLRFLKARKFDIEKAKHMWDNMLQWRKDFGTDTILEDFDFNELDEVLKYYPQGYHGVDKEGRPVYIELIGKVVPDKLMRVTTLERYVRYHVQEFEKCFATKFPACSIAAKRHIDSNTTILDVQGMGLKNFSKSAQDLVRCLQKIDGDNYPETLCRMFIINAGSGFKMAWKAVKSCLDSKTASKINVLGSNYQSKLLEIIDASELPQFLGGSCTCADQGGCMRSDKGPWKDPNILKMIANGEALYSRQIVTVSNSEGRIKSSDTSTAESGSEVEEIVSPMPTKSYLHPLLAPVSEEARMAGKAVSAAGSLECDEYVPMIDKVVDSECEIQVSSHQSPFTSEGTPMALVEHTPKGIYGHITALVISFLTLFSIIRTMVLHLMKKPSVSDLTCNIPDQHVESTFKDETRPPSPAPRFTEVDLVSSVVRRLGDLEEKVEMLQSKRFEMPHEKEELLNAAVCRVDALEAELISTKKALHEAWMRQEELLAYIDSKEEAKFRKKKFCW >KJB29588 pep chromosome:Graimondii2_0_v6:5:19742817:19745252:-1 gene:B456_005G109200 transcript:KJB29588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVGFEGNNDERKDRKSDFEISEDDKKTRIGNLKKKAMKASSKFRRSLKKKSSRRKSDLSVSIKDVRDIEELQAVEAFRQALISDQLLPSRHDDYHMLLRFLKARKFDIEKAKHMWDNMLQWRKDFGTDTILEDFDFNELDEVLKYYPQGYHGVDKEGRPVYIELIGKVVPDKLMRVTTLERYVRYHVQEFEKCFATKFPACSIAAKRHIDSNTTILDVQGMGLKNFSKSAQDLVRCLQKIDGDNYPETLCRMFIINAGSGFKMAWKAVKSCLDSKTASKINVLGSNYQSKLLEIIDASELPQFLGGSCTCADQGGCMRSDKGPWKDPNILKMIANGEALYSRQIVTVSNSEGRIKSSDTSTAESGSEVEEIVSPMPTKSYLHPLLAPVSEEARMAGKAVSAAGSLECDEYVPMIDKVVDSECEIQVSSHQSPFTSEG >KJB29587 pep chromosome:Graimondii2_0_v6:5:19742497:19745252:-1 gene:B456_005G109200 transcript:KJB29587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVGFEGNNDERKDRKSDFEISEDDKKTRIGNLKKKAMKASSKFRRSLKKKSSRRKSDLSVSIKDVRDIEELQAVEAFRQALISDQLLPSRHDDYHMLLRFLKARKFDIEKAKHMWDNMLQWRKDFGTDTILEDFDFNELDEVLKYYPQGYHGVDKEGRPVYIELIGKVVPDKLMRVTTLERYVRYHVQEFEKCFATKFPACSIAAKRHIDSNTTILDVQGMGLKNFSKSAQDLVRCLQKIDGDNYPETLCRMFIINAGSGFKMAWKAVKSCLDSKTASKINVLGSNYQSKLLEIIDASELPQFLGGSCTCADQGGCMRSDKGPWKDPNILKMIANGEALYSRQIVTVSNSEGRIKSSDTSTAESGSEVEEIVSPMPTKSYLHPLLAPVSEEARMAGKAVSAAGSLECDEYVPMIDKVVDSECEIQVSSHQSPFTSEDIRCQRQDLLRTIQHPKETVLPSIGHFLTLI >KJB29586 pep chromosome:Graimondii2_0_v6:5:19741346:19745366:-1 gene:B456_005G109200 transcript:KJB29586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVGFEGNNDERKDRKSDFEISEDDKKTRIGNLKKKAMKASSKFRRSLKKKSSRRKSDLSVSIKDVRDIEELQAVEAFRQALISDQLLPSRHDDYHMLLRFLKARKFDIEKAKHMWDNMLQWRKDFGTDTILEDFDFNELDEVLKYYPQGYHGVDKEGRPVYIELIGKVVPDKLMRVTTLERYVRYHVQEFEKCFATKFPACSIAAKRHIDSNTTILDVQGMGLKNFSKSAQDLVRCLQKIDGDNYPETLCRMFIINAGSGFKMAWKAVKSCLDSKTASKINVLGSNYQSKLLEIIDASELPQFLGGSCTCADQGGCMRSDKGPWKDPNILKMIANGEALYSRQIVTVSNSEGRIKSSDTSTAESGSEVEEIVSPMPTKSYLHPLLAPVSEEARMAGKAVSAAGSLECDEYVPMIDKVVDSECEIQVSSHQSPFTSEGTPMALVEHTPKGIYGHITALVISFLTLFSIIRTMVLHLMKKPSVSDLTCNIPDQHVESTFKDETRPPSPAPRFTEVDLVSSVVRRLGDLEEKVEMLQSKRFEMPHEKEELLNAAVCRVDALEAELISTKKQALHEAWMRQEELLAYIDSKEEAKFRKKKFCW >KJB32039 pep chromosome:Graimondii2_0_v6:5:60300057:60303921:-1 gene:B456_005G220000 transcript:KJB32039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQRYIVTSLSFFSSPLDFSFRKQTLTLNFLFFIFFFLSIAIMSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGREITVQFAKYGPNAERIQKGRIIESFPKSRSRSPRRRHRDDHYKDKDYRRRSRSRSYDRYERDRYRGKDRDSRRRSRSRSASPVHSKGRGRGRYDDDRRSRSRSMSASPARRSPSPQKSPSPRKTSPPRGESPSRRSRDGRSPSPRSVSPQGRPADSRSPSS >KJB32037 pep chromosome:Graimondii2_0_v6:5:60301764:60303921:-1 gene:B456_005G220000 transcript:KJB32037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQRYIVTSLSFFSSPLDFSFRKQTLTLNFLFFIFFFLSIAIMSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGREITVQFAKYGPNAERIQKGRIIESFPKSRSRSPRRRHRDDHYKDKDYRRRSRSRSYDRYERDRYRGKDRDSRRRSRSRSASPVHSKGRGRGRYDDDRRSRSRSMSASPARRSPSPQKSPSPRKTSPPRGESPSRRSRDGRSPSPRSVSPQGRPADSRSPSS >KJB32038 pep chromosome:Graimondii2_0_v6:5:60300057:60303921:-1 gene:B456_005G220000 transcript:KJB32038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQRYIVTSLSFFSSPLDFSFRKQTLTLNFLFFIFFFLSIAIMSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGREITVQFAKYGPNAERIQKGRIIESFPKSRSRSPRRRHRDDHYKDKDYRRRSRSRSYDRYERDRYRGKDRDSRRRSRSRSASPVHSKGRGRGRYDDDRRMLLLLGVALVLRRVLPHVRHLPLGVKVLVDGAVMDGLQVLGVFHRKAALLIHGAHLLETHRSMNDLADGIGIPGDLA >KJB32036 pep chromosome:Graimondii2_0_v6:5:60301764:60303921:-1 gene:B456_005G220000 transcript:KJB32036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQRYIVTSLSFFSSPLDFSFRKQTLTLNFLFFIFFFLSIAIMSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGREITVQFAKYGPNAERIQKGRIIESFPKSRSRSPRRRHRDDHYKDKDYRRRSRSRSYDRYERDRYRGKDRDSRRRSRSRSASPVHSKGRGRGRYDDDRRSRSRSMSASPARRSPSPQKSPSPRKTSPPRGESPSRRSRDGRSPSPRSVSPQGRPADSRSPSS >KJB32040 pep chromosome:Graimondii2_0_v6:5:60300057:60303921:-1 gene:B456_005G220000 transcript:KJB32040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQRYIVTSLSFFSSPLDFSFRKQTLTLNFLFFIFFFLSIAIMSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGREITVQFAKYGPNAERIQKGRIIESFPKSRSRSPRRRHRDDHYKDKDYRRRSRSRSYDRYERDRYRGKDRDSRRRSRSRSASPVHSKGRGRGRYDDDRRSRSRSMSASPARRSPSPQKSPSPRKTSPPRGESPSRRSRDGRSPSPRSVSPQGRPADSRSPSS >KJB31470 pep chromosome:Graimondii2_0_v6:5:56287612:56290388:1 gene:B456_005G193300 transcript:KJB31470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEVVPDQYDERTYCLYSTDASTVYGLSAFGLLLLSQVVVNGVTRCLCFGKGLVSATSSTTCAIFFFVFSWLSFLGAEACLLAGSAKNAYHTKYRGIFGGEDISCATLRKGVFAAGAALTLLSLMGSVFYYWAHSRADTGGWQKHRNEGVGMTEQPPEFGKV >KJB31469 pep chromosome:Graimondii2_0_v6:5:56287575:56290420:1 gene:B456_005G193300 transcript:KJB31469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISVPILAIIFALHLIAFVFAVGAERRRSFAEVVPDQYDERTYCLYSTDASTVYGLSAFGLLLLSQVVVNGVTRCLCFGKGLVSATSSTTCAIFFFVFSWLSFLGAEACLLAGSAKNAYHTKYRGIFGGEDISCATLRKGVFAAGAALTLLSLMGSVFYYWAHSRADTGGWQKHRNEGVGMTEQPPEFGKV >KJB32124 pep chromosome:Graimondii2_0_v6:5:60817998:60822362:1 gene:B456_005G225600 transcript:KJB32124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPKFMMANGSLVRILIHTDVTKYLNFKAVDGSYVYNKKKVHKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYDDNDPKSHEGLDLNKVTARELISKYGLEDDTIDFIGHALALHSNDSYLDQPALGFIKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLHKPECKVEFDADGKATGVTSEGETAKCKKVVCDPSYLPDKVKKVGKVARAICIMSHPIPDTNNSHSAQVILPQKQLGRKSDMYLFCCSYAHNVAPKGKYIAFVSAEAETDNPEVELKPGVDLLGPVEEILYDTYDRYVPTNDHAADSCFISASYDPTTHFETTVNDVIEMYTKITGKVLDLSVDLSAASAASEE >KJB32122 pep chromosome:Graimondii2_0_v6:5:60817988:60822408:1 gene:B456_005G225600 transcript:KJB32122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLVQLWKHFRGDEKPPEKLGASREYNVDMMPKFMMANGSLVRILIHTDVTKYLNFKAVDGSYVYNKKKVHKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYDDNDPKSHEGLDLNKVTARELISKYGLEDDTIDFIGHALALHSNDSYLDQPALGFIKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLHKPECKVEFDADGKATGVTSEGETAKCKKVVCDPSYLPDKVKKVGKVARAICIMSHPIPDTNNSHSAQVILPQKQLGRKSDMYLFCCSYAHNVAPKGKYIAFVSAEAETDNPEVELKPGVDLLGPVEEILYDTYDRYVPTNDHAADSCFISASYDPTTHFETTVNDVIEMYTKITGKVLDLSVDLSAASAASEE >KJB32125 pep chromosome:Graimondii2_0_v6:5:60817998:60822362:1 gene:B456_005G225600 transcript:KJB32125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPKFMMANGSLVRILIHTDVTKYLNFKAVDGSYVYNKKKVHKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYDDNDPKSHEGLDLNKVTARELISKYGLEDDTIDFIGHALALHSNDSYLDQPALGFIKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLHKPECKVEFDADGKATGVTSEGETAKCKKVVCDPSYLPDKVKKVGKVARAICIMSHPIPDTNNSHSAQVILPQKQLGRKSDMYLFCCSYAHNVAPKGKYIAFVSAEAETDNPEVELKPGVDLLGPVEEILYDTYDRYVPTNDHAADSCFISASYDPTTHFETTVNDVIEMYTKITGKVLDLSVDLSAASAASEE >KJB32123 pep chromosome:Graimondii2_0_v6:5:60817998:60822362:1 gene:B456_005G225600 transcript:KJB32123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANGSLVRILIHTDVTKYLNFKAVDGSYVYNKKKVHKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYDDNDPKSHEGLDLNKVTARELISKYGLEDDTIDFIGHALALHSNDSYLDQPALGFIKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLHKPECKVEFDADGKATGVTSEGETAKCKKVVCDPSYLPDKVKKVGKVARAICIMSHPIPDTNNSHSAQVILPQKQLGRKSDMYLFCCSYAHNVAPKGKYIAFVSAEAETDNPEVELKPGVDLLGPVEEILYDTYDRYVPTNDHAADSCFISASYDPTTHFETTVNDVIEMYTKITGKVLDLSVDLSAASAASEE >KJB27462 pep chromosome:Graimondii2_0_v6:5:1923710:1924505:1 gene:B456_005G0229002 transcript:KJB27462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQWIMELCWPDLSWLIPSMYSICKFLSIPNWYLNNSKREYEVTQLKCDGFIFDVCLNHVMSDATGLKQFMSAVGEMARGADIPSIPPVWERHLLNARDPPR >KJB31028 pep chromosome:Graimondii2_0_v6:5:54261981:54263979:-1 gene:B456_005G184900 transcript:KJB31028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAPPLKLSPYLDPQQQPRLQTEFNPIKRVPFLSSRNPLLCVCKLHRYNFKCCLPSSSSTNSVTPAQYYSFLDESYRGSRVLTNEELEKLKALESFVYLQELESGSLWVRVMRIEEMDMTVGLLALSFAESMLMSLQYEALLRFFVKQYLIERRASMPHAVTLVGFYREEGGKRGEELAGTVEVWFDKRGANASPPTPTPPRNSPYICNMTVTKELRRMIDEAPFNMYIKAGYNVIQTDSIFILLTLQRRKHLMCKKLPVSNSFPAPDMSESGEEPSS >KJB31027 pep chromosome:Graimondii2_0_v6:5:54262249:54263819:-1 gene:B456_005G184900 transcript:KJB31027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAPPLKLSPYLDPQQQPRLQTEFNPIKRVPFLSSRNPLLCVCKLHRYNFKCCLPSSSSTNSVTPAQYYSFLDESYRGSRVLTNEELEKLKALESFVYLQELESGSLWVRVMRIEEMDMTVGLLALSFAESMLMSLQYEALLRFFVKQYLIERRASMPHAVTLVGFYREEGGKRGEELAGTVEVWFDKRGANASPPTPTPPRNSPYICNMTVTKELRRRGIGWHLLKASPK >KJB31497 pep chromosome:Graimondii2_0_v6:5:56395429:56399567:1 gene:B456_005G194000 transcript:KJB31497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSATHCRSYSYSHSSSINGGQNQRFSFSSFSRLSVPAKAIRNSHQTVVLMQDVATKVTPVEKETPKEKLKDELLSVTSTKEWDEKAGFDSNENESTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIYGYARSKMTDAELRNMVSKTLTCRIDKRENCSEKMDQFLKRCFYHSGQYDSEENFAQLDKKLKRHEGGRVSNRLFYLSIPPNIFVEAVKCASSSASSGNGWTRVIVEKPFGRDSESSAVLTKSLKQYLTEDQIFRIDHYLGKELVENLSVLRFANLIFEPLWTRQYIRNVQIIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLRLEDVVIGQYKSHSKGGVTYPSYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKGAEIRVQFRHVPGNLYNRNFGTDLDRATNELVIRVQPDEAIFLKINNKVPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPVLKELEEKKIIPESYPYGSRGPVGAHYLAARYNVRWGDLDIEQ >KJB31495 pep chromosome:Graimondii2_0_v6:5:56395629:56399509:1 gene:B456_005G194000 transcript:KJB31495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDAELRNMVSKTLTCRIDKRENCSEKMDQFLKRCFYHSGQYDSEENFAQLDKKLKRHEGGRVSNRLFYLSIPPNIFVEAVKCASSSASSGNGWTRVIVEKPFGRDSESSAVLTKSLKQYLTEDQIFRIDHYLGKELVENLSVLRFANLIFEPLWTRQYIRNVQIIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLRLEDVVIGQYKSHSKGGVTYPSYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKGAEIRVQFRHVPGNLYNRNFGTDLDRATNELVIRVQPDEAIFLKINNKVPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPVLKELEEKKIIPESYPYGSRGPVGAHYLAARYNVRWGDLDIEQ >KJB31498 pep chromosome:Graimondii2_0_v6:5:56395629:56399509:1 gene:B456_005G194000 transcript:KJB31498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSATHCRSYSYSHSSSINGGQNQRFSFSSFSRLSVPAKAIRNSHQTVVLMQDGVVATKVTPVEKETPKEKLKDELLSVTSTKEWDEKAGFDSNENESTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIYGYARSKMTDAELRNMVSKTLTCRIDKRENCSEKMDQFLKRCFYHSGQYDSEENFAQLDKKLKRHEGGRVSNRLFYLSIPPNIFVEAVKCASSSASSGNGWTRVIVEKPFGRDSESSAVLTKSLKQYLTEDQIFRIDHYLGKELVENLSVLRFANLIFEPLWTRQYIRNVQIIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKTKLLSVCQVKVLRSMRPLRLEDVVIGQYKSHSKGGVTYPSYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKGAEIRVQFRHVPGNLYNRNFGTDLDRATNELVIRVQPDEAIFLKINNKVPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPVLKELEEKKIIPESYPYGSRGPVGAHYLAARYNVRWGDLDIEQ >KJB31496 pep chromosome:Graimondii2_0_v6:5:56395429:56399567:1 gene:B456_005G194000 transcript:KJB31496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSATHCRSYSYSHSSSINGGQNQRFSFSSFSRLSVPAKAIRNSHQTVVLMQDGVVATKVTPVEKETPKEKLKDELLSVTSTKEWDEKAGFDSNENESTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIYGYARSKMTDAELRNMVSKTLTCRIDKRENCSEKMDQFLKRCFYHSGQYDSEENFAQLDKKLKRHEGGRVSNRLFYLSIPPNIFVEAVKCASSSASSGNGWTRVIVEKPFGRDSESSAVLTKSLKQYLTEDQIFRIDHYLGKELVENLSVLRFANLIFEPLWTRQYIRNVQIIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLRLEDVVIGQYKSHSKGGVTYPSYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKGAEIRVQFRHVPGNLYNRNFGTDLDRATNELVIRVQPDEAIFLKINNKVPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPVLKELEEKKIIPESYPYGSRGPVGAHYLAARYNVRWGDLDIEQ >KJB31494 pep chromosome:Graimondii2_0_v6:5:56395429:56399567:1 gene:B456_005G194000 transcript:KJB31494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDAELRNMVSKTLTCRIDKRENCSEKMDQFLKRCFYHSGQYDSEENFAQLDKKLKRHEGGRVSNRLFYLSIPPNIFVEAVKCASSSASSGNGWTRVIVEKPFGRDSESSAVLTKSLKQYLTEDQIFRIDHYLGKELVENLSVLRFANLIFEPLWTRQYIRNVQIIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLRLEDVVIGQYKSHSKGGVTYPSYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKGAEIRVQFRHVPGNLYNRNFGTDLDRATNELVIRVQPDEAIFLKINNKVPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPVLKELEEKKIIPESYPYGSRGPVGAHYLAARYNVRWGDLDIEQ >KJB29667 pep chromosome:Graimondii2_0_v6:5:21406686:21408958:1 gene:B456_005G112900 transcript:KJB29667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRSNLAEQLREYQLRSKHDWASVSFFSSTSNLSSYRVDVMFFVIWELVILAFLVFSAVSLYFGHMQLAFILVCITMLLLLCMKITKQVKLARKKKRRMLLPLSI >KJB31256 pep chromosome:Graimondii2_0_v6:5:53639443:53642492:-1 gene:B456_005G183600 transcript:KJB31256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVTERDLEDEFRIFGVLRSVWVARRPPGYAFIEFDDRRDAIDAIRELDGKNGWRVELSHNSKGGGGRGGRRGGGEDLKCYECGEPGHFARECRSRYGSRGLGSGRRRSPSPRRRRSPSYGYGRSPGRSPRRRSISPRRGRSYSRSPPYRYSRRDSPYANGD >KJB31253 pep chromosome:Graimondii2_0_v6:5:53638306:53642492:-1 gene:B456_005G183600 transcript:KJB31253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVTERDLEDEFRIFGVLRSVWVARRPPGYAFIEFDDRRDAIDAIRELDGKNGWRVELSHNSKGGGGRGGRRGGGEDLKCYECGEPGHFARECRSRYGSRGLGSGRRRSPSPRRRRSPSYGYGRRSYSPGRSPRRRSISPRRGRSYSRSPPYRYSRRDSPYANGD >KJB31257 pep chromosome:Graimondii2_0_v6:5:53640781:53642492:-1 gene:B456_005G183600 transcript:KJB31257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVTERDLEDEFRIFGVLRSVWVARRPPGYAFIEFDDRRDAIDAIRELDGKNGWRVELSHNSKGGGGRGGRRGGGEDLKCYECGEPGHFARECRSRYGSRGLGSGRRRSPSPRRRRSPSYGYGRRWG >KJB31255 pep chromosome:Graimondii2_0_v6:5:53640262:53642174:-1 gene:B456_005G183600 transcript:KJB31255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVTERDLEDEFRIFGVLRSVWVARRPPGYAFIEFDDRRDAIDAIRELDGKNGWRVELSHNSKGGGGRGGRRGGGEDLKCYECGEPGHFARECRSRYGSRGLGSGRRRSPSPRRRRSPSYGYGRRWAIVQEDLLGAAAYHLVVVGVTAGRLHIVILVVIHLMQMEIKVGTEAKSEMTASMANKYSNTIVLNRFSEQKRI >KJB31252 pep chromosome:Graimondii2_0_v6:5:53640362:53642174:-1 gene:B456_005G183600 transcript:KJB31252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVTERDLEDEFRIFGVLRSVWVARRPPGYAFIEFDDRRDAIDAIRELDGKNGWRVELSHNSKGGGGRGGRRGGGEDLKCYECGEPGHFARECRSRYGSRGLGSGRRRSPSPRRRRSPSYGYGRRSYSPGRSPRRRSISPRRGRSYSRSPPYRYSRRDSPYANGD >KJB31251 pep chromosome:Graimondii2_0_v6:5:53639443:53642357:-1 gene:B456_005G183600 transcript:KJB31251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVTERDLEDEFRIFGVLRSVWVARRPPGYAFIEFDDRRDAIDAIRELDGKNGWRVELSHNSKGGGGRGGRRGGGEDLKCYECGEPGHFARECRSRYGSRGLGSGRRRSPSPRRRRSPSYGYGRRSYSPGRSPRRRSISPRRGRSYSRSPPYRYSRRDSPYANGD >KJB31254 pep chromosome:Graimondii2_0_v6:5:53639368:53642533:-1 gene:B456_005G183600 transcript:KJB31254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVTERDLEDEFRIFGVLRSVWVARRPPGYAFIEFDDRRDAIDAIRELDGKNGWRVELSHNSKGGGGRGGRRGGGEDLKCYECGEPGHFARECRSRYGSRGLGSGRRRSPSPRRRRSPSYGYGRRSYSPGRSPRRRSISPRRGRSYSRSPPYRYSRRDSPYANGD >KJB32041 pep chromosome:Graimondii2_0_v6:5:60313710:60314544:1 gene:B456_005G220100 transcript:KJB32041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALSVTVPTLAVFSIPRALNRHFFYNNSSLIARCIRSRLFPQGRGCTLLASRSYSSPLRSLCSASVPQRLHHRLECVASSAAYFGAAGGGGVYGGGDGSGSGGGGGDGGEGTGDGDLKAKLGAGAVDEPSALSPDIIILDVGGMTCGGCAASVKRILENQPQVSSASVNLTTETAIVWPVSEAKVVPNWQKELGEALARQLTSCGFNSNLRGT >KJB28335 pep chromosome:Graimondii2_0_v6:5:4051408:4053108:1 gene:B456_005G042400 transcript:KJB28335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLRDPFLQIDDYGSDLRLIEYQRDSYDAGPLMRQPLYDVHVSNNSSLVNGYSNYLGYGHESNIGYNSLEYETSELDLEDGHLGDVDLIIKGKRRDDDGIFLRLRIADKEGRIRNIYFPFDIESDTALSVATEMVSELDITDQDVTKIADMIDGEIASLVPQWKRGLGIDENSICTGSSFCQNCALNGHLSDFLSSSSAGAKNLQVLECSKHGCTTVHGRFEEITYQVGEPEQCVSEGVEASLSQPDGIHYADIWGQREGSELIPPGPKDICCNEVNEMSDLSVSEKEEKIINIDCQSESNARNSFSAYGSMDSGLFDDYENEIRQELRWLKAKYQMQLRELRDQQLGVKPKYPSLPQSFNDLLNDNDNKTASLSSILTSPNRESLKPLPSGKHRTPYFPPTAGKRCATSASQSIQNTETLSSSYDLDTVSTAKSFYTGTLLPHPLHRASSLPVDAVDV >KJB28333 pep chromosome:Graimondii2_0_v6:5:4050153:4053108:1 gene:B456_005G042400 transcript:KJB28333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQALNLIVLILTLLKLILLVDMEGIMKFLAKGLQRQCKENAFFVSLSCMVCVCVFVCLKLFFFFYSYKAFDEYEGIEVAWNQVKLHDLLQSSDDLERLYCEIHLLKTLKHENIMKFYTSWVDTSNRNINFVTEMFTSGTLRQYRLKHRKVNIRAVKHWCRQILRGLLYLHSRDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAARCVGTPEFMAPEVYEEEYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVVLGKKPEALYKVKDPEVREFIEKCLATVSHRLTAMELLRDPFLQIDDYGSDLRLIEYQRDSYDAGPLMRQPLYDVHVSNNSSLVNGYSNYLGYGHESNIGYNSLEYETSELDLEDGHLGDVDLIIKGKRRDDDGIFLRLRIADKEGRIRNIYFPFDIESDTALSVATEMVSELDITDQDVTKIADMIDGEIASLVPQWKRGLGIDENSICTGSSFCQNCALNGHLSDFLSSSSAGAKNLQVLECSKHGCTTVHGRFEEITYQVGEPEQCVSEGVEASLSQPDGIHYADIWGQREGSELIPPGPKDICCNEVNEMSDLSVSEKEEKIINIDCQSESNARNSFSAYGSMDSGLFDDYENEIRQELRWLKAKYQMQLRELRDQQLGVKPKYPSLPQSFNDLLNDNDNKTASLSSILTSPNRESLKPLPSGKHRTPYFPPTAGKRCATSASQSIQNTETLSSSYDLDTVSTAKSFYTGTLLPHPLHRASSLPVDAVDV >KJB28334 pep chromosome:Graimondii2_0_v6:5:4050153:4053108:1 gene:B456_005G042400 transcript:KJB28334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVFLEYRYRLKHRKVNIRAVKHWCRQILRGLLYLHSRDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAARCVGTPEFMAPEVYEEEYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVVLGKKPEALYKVKDPEVREFIEKCLATVSHRLTAMELLRDPFLQIDDYGSDLRLIEYQRDSYDAGPLMRQPLYDVHVSNNSSLVNGYSNYLGYGHESNIGYNSLEYETSELDLEDGHLGDVDLIIKGKRRDDDGIFLRLRIADKEGRIRNIYFPFDIESDTALSVATEMVSELDITDQDVTKIADMIDGEIASLVPQWKRGLGIDENSICTGSSFCQNCALNGHLSDFLSSSSAGAKNLQVLECSKHGCTTVHGRFEEITYQVGEPEQCVSEGVEASLSQPDGIHYADIWGQREGSELIPPGPKDICCNEVNEMSDLSVSEKEEKIINIDCQSESNARNSFSAYGSMDSGLFDDYENEIRQELRWLKAKYQMQLRELRDQQLGVKPKYPSLPQSFNDLLNDNDNKTASLSSILTSPNRESLKPLPSGKHRTPYFPPTAGKRCATSASQSIQNTETLSSSYDLDTVSTAKSFYTGTLLPHPLHRASSLPVDAVDV >KJB28332 pep chromosome:Graimondii2_0_v6:5:4050153:4053108:1 gene:B456_005G042400 transcript:KJB28332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGETSFELDCSDSDFVEVDPTGRYGRYNEILGKGSSKTVYKAFDEYEGIEVAWNQVKLHDLLQSSDDLERLYCEIHLLKTLKHENIMKFYTSWVDTSNRNINFVTEMFTSGTLRQYRLKHRKVNIRAVKHWCRQILRGLLYLHSRDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAARCVGTPEFMAPEVYEEEYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVVLGKKPEALYKVKDPEVREFIEKCLATVSHRLTAMELLRDPFLQIDDYGSDLRLIEYQRDSYDAGPLMRQPLYDVHVSNNSSLVNGYSNYLGYGHESNIGYNSLEYETSELDLEDGHLGDVDLIIKGKRRDDDGIFLRLRIADKEAGRIRNIYFPFDIESDTALSVATEMVSELDITDQDVTKIADMIDGEIASLVPQWKRGLGIDENSICTGSSFCQNCALNGHLSDFLSSSSAGAKNLQVLECSKHGCTTVHGRFEEITYQVGEPEQCVSEGVEASLSQPDGIHYADIWGQREGSELIPPGPKDICCNEVNEMSDLSVSEKEEKIINIDCQSESNARNSFSAYGSMDSGLFDDYENEIRQELRWLKAKYQMQLRELRDQQLGVKPKYPSLPQSFNDLLNDNDNKTASLSSILTSPNRESLKPLPSGKHRTPYFPPTAGKRCATSASQSIQNTETLSSSYDLDTVSTAKSFYTGTLLPHPLHRASSLPVDAVDV >KJB28331 pep chromosome:Graimondii2_0_v6:5:4049983:4053542:1 gene:B456_005G042400 transcript:KJB28331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGETSFELDCSDSDFVEVDPTGRYGRYNEILGKGSSKTVYKAFDEYEGIEVAWNQVKLHDLLQSSDDLERLYCEIHLLKTLKHENIMKFYTSWVDTSNRNINFVTEMFTSGTLRQYRLKHRKVNIRAVKHWCRQILRGLLYLHSRDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAARCVGTPEFMAPEVYEEEYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVVLGKKPEALYKVKDPEVREFIEKCLATVSHRLTAMELLRDPFLQIDDYGSDLRLIEYQRDSYDAGPLMRQPLYDVHVSNNSSLVNGYSNYLGYGHESNIGYNSLEYETSELDLEDGHLGDVDLIIKGKRRDDDGIFLRLRIADKEGRIRNIYFPFDIESDTALSVATEMVSELDITDQDVTKIADMIDGEIASLVPQWKRGLGIDENSICTGSSFCQNCALNGHLSDFLSSSSAGAKNLQVLECSKHGCTTVHGRFEEITYQVGEPEQCVSEGVEASLSQPDGIHYADIWGQREGSELIPPGPKDICCNEVNEMSDLSVSEKEEKIINIDCQSESNARNSFSAYGSMDSGLFDDYENEIRQELRWLKAKYQMQLRELRDQQLGVKPKYPSLPQSFNDLLNDNDNKTASLSSILTSPNRESLKPLPSGKHRTPYFPPTAGKRCATSASQSIQNTETLSSSYDLDTVSTAKSFYTGTLLPHPLHRASSLPVDAVDV >KJB32016 pep chromosome:Graimondii2_0_v6:5:60203158:60211090:-1 gene:B456_005G218800 transcript:KJB32016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPFFFASLEARSMSFPSCNNSLFWRFRFPPLHFHTLRFSPPKLKFNHQFVVSKCSSSDSVSADVDILSATEHSDGSVIFRFGNASEMAIIEDQTQTTDESAKVVAKDGGKDLDKVDKSSIKAVGVSDGDAAAVEPMKKKVGRNFQVKSQPKRKLRRNATKVTEVVKEKPASVVSDDRMVEKKSVDSSKNINTKDQPDELGDVVKGENNVVPKENGECISKTEGSSKLNVALDQELVHHVEMVSTPLTASVVESETTTLLGSPELGSEVEVPHGVKLQETNKGGSEGSGENDGKTEDTALNMVSKMDLAPDIEKVSPPLGASDIGSEITTELAPSESGLESEVPHSVKLEEEENAGAEENGEGFGKIECTILNTESKIALVLDTEKASLPLEVSAIESEITTELAPSESSSKSEVPHGVKLEEDENAGAEEKGEGIGKIECTILNTESKMALVPDTEKASPPLEAFAIESEITTELAPSGSSSKSKVPQSVKLEKEENTGAEEEGEGIGKIECTILNTESKIALVADTEKASPPLEASAIESEITTELAPFESGSKSEDPQSVKLEEEEDTSAEEKGERIGKFECTTSNMESKMALVPDLEKASPPLEAPASGSETRTELASLELSSKSEVPHSVKLEEEENSGAGENGERIGKIEGITLNMEPKMDLAVEEVCAQVADSENSIESEIEIQSTSLGACFNIEMPNNLEFQESGKDDAGEEVAQKSVSSKEHSNEQSINASKMLVMIEDATEGEVGEIKLNSTLSEVESILNEATVHTTMNQSVDSDIVKSSNMLDEGVAFSISQAEITEADAQSDNKVRQLTMLKGVELQSGGTLEREEISTAGFFLYSGAALLPNPTKAFAGGEDAYFIACQNWLGVADGVGQWSFEGISVGVYAKELMENCERIVSDRNGVPITDPVEILNRAAANTQSCGSSTVLVAYFDDQALHVANIGDSGFMIIRNGAVFKRSSPMVYELAFPVQIARGDQPSDFVEVYKVDLYEKDVIITATDGLFDNLYERDIVSIVSKSLQESLRPQNSWQLERKRLVSYHW >KJB32013 pep chromosome:Graimondii2_0_v6:5:60203674:60211090:-1 gene:B456_005G218800 transcript:KJB32013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPFFFASLEARSMSFPSCNNSLFWRFRFPPLHFHTLRFSPPKLKFNHQFVVSKCSSSDSVSADVDILSATEHSDGSVIFRFGNASEMAIIEDQTQTTDESAKVVAKDGGKDLDKVDKSSIKAVGVSDGDAAAVEPMKKKVGRNFQVKSQPKRKLRRNATKVTEVVKEKPASVVSDDRMVEKKSVDSSKNINTKDQPDELGDVVKGENNVVPKENGECISKTEGSSKLNVALDQELVHHVEMVSTPLTASVVESETTTLLGSPELGSEVEVPHGVKLQETNKGGSEGSGENDGKTEDTALNMVSKMDLAPDIEKVSPPLGASDIGSEITTELAPSESGLESEVPHSVKLEEEENAGAEENGEGFGKIECTILNTESKIALVLDTEKASLPLEVSAIESEITTELAPSESSSKSEVPHGVKLEEDENAGAEEKGEGIGKIECTILNTESKMALVPDTEKASPPLEAFAIESEITTELAPSGSSSKSKVPQSVKLEKEENTGAEEEGEGIGKIECTILNTESKIALVADTEKASPPLEASAIESEITTELAPFESGSKSEDPQSVKLEEEEDTSAEEKGERIGKFECTTSNMESKMALVPDLEKASPPLEAPASGSETRTELASLELSSKSEVPHSVKLEEEENSGAGENGERIGKIEGITLNMEPKMDLAVEEVCAQVADSENSIESEIEIQSTSLGACFNIEMPNNLEFQESGKDDAGEEVAQKSVSSKEHSNEQSINASKMLVMIEDATEGEVGEIKLNSTLSEVESILNEATVHTTMNQSVDSDIVKSSNMLDEGVAFSISQAEITEADAQSDNKVRQLTMLKGVELQSGGTLEREEISTAGFFLYSGAALLPNPTKAFAGGEDAYFIACQNWLGVADGVGQWSFEGISVGVYAKELMENCERIVSDRNGVPITDPVEILNRAAANTQSCGSSTVLVAYFDDQALHVANIGDSGFMIIRNGAVFKRSSPMVYELAFPVQIARGDQPSDFVEVYKVDLYEKDVIITATDGLFDNLYERDIVSIVSKSLQESLRPQEIAELLATRAQEVGQLSLVRSPFSDEVQAAGYVGYRGGKLDDVTVIVSLVKRRFSNHAQS >KJB32020 pep chromosome:Graimondii2_0_v6:5:60204377:60211090:-1 gene:B456_005G218800 transcript:KJB32020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPFFFASLEARSMSFPSCNNSLFWRFRFPPLHFHTLRFSPPKLKFNHQFVVSKCSSSDSVSADVDILSATEHSDGSVIFRFGNASEMAIIEDQTQTTDESAKVVAKDGGKDLDKVDKSSIKAVGVSDGDAAAVEPMKKKVGRNFQVKSQPKRKLRRNATKVTEVVKEKPASVVSDDRMVEKKSVDSSKNINTKDQPDELGDVVKGENNVVPKENGECISKTEGSSKLNVALDQELVHHVEMVSTPLTASVVESETTTLLGSPELGSEVEVPHGVKLQETNKGGSEGSGENDGKTEDTALNMVSKMDLAPDIEKVSPPLGASDIGSEITTELAPSESGLESEVPHSVKLEEEENAGAEENGEGFGKIECTILNTESKIALVLDTEKASLPLEVSAIESEITTELAPSESSSKSEVPHGVKLEEDENAGAEEKGEGIGKIECTILNTESKMALVPDTEKASPPLEAFAIESEITTELAPSGSSSKSKVPQSVKLEKEENTGAEEEGEGIGKIECTILNTESKIALVADTEKASPPLEASAIESEITTELAPFESGSKSEDPQSVKLEEEEDTSAEEKGERIGKFECTTSNMESKMALVPDLEKASPPLEAPASGSETRTELASLELSSKSEVPHSVKLEEEENSGAGENGERIGKIEGITLNMEPKMDLAVEEVCAQVADSENSIESEIEIQSTSLGACFNIEMPNNLEFQESGKDDAGEEVAQKSVSSKEHSNEQSINASKMLVMIEDATEGEVGEIKLNSTLSEVESILNEATVHTTMNQSVDSDIVKSSNMLDEGVAFSISQAEITEADAQSDNKVRQLTMLKGVELQSGGTLEREEISTAGFFLYSGAALLPNPTKAFAGGEDAYFIACQNWLGVADGVGQWSFEGISVGVYAKELMENCERIVSDRNGVPITDPVEILNRAAANTQSCGSSTVLVAYFDDQALHVANIGDSGFMIIRNGAVFKRSSPMVYELAFPVQIARGDQPSDFVEVYKVDLYEKDVIITATDGLFDNLYERDIVSIVSKSLQESLRPQEIAELLATRAQEVGQLSLVRSPFSDEVQAAGYVGYRGGKLDDVTVIVSLVKRRFSNHAHKCRCDKVVEVFLLKRVQLTSCLNFYQPVGIVYSILDYFDIDVVVIAVWHLKLFGD >KJB32023 pep chromosome:Graimondii2_0_v6:5:60204963:60211090:-1 gene:B456_005G218800 transcript:KJB32023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPFFFASLEARSMSFPSCNNSLFWRFRFPPLHFHTLRFSPPKLKFNHQFVVSKCSSSDSVSADVDILSATEHSDGSVIFRFGNASEMAIIEDQTQTTDESAKVVAKDGGKDLDKVDKSSIKAVGVSDGDAAAVEPMKKKVGRNFQVKSQPKRKLRRNATKVTEVVKEKPASVVSDDRMVEKKSVDSSKNINTKDQPDELGDVVKGENNVVPKENGECISKTEGSSKLNVALDQELVHHVEMVSTPLTASVVESETTTLLGSPELGSEVEVPHGVKLQETNKGGSEGSGENDGKTEDTALNMVSKMDLAPDIEKVSPPLGASDIGSEITTELAPSESGLESEVPHSVKLEEEENAGAEENEKASLPLEVSAIESEITTELAPSESSSKSEVPHGVKLEEDENAGAEEKGEGIGKIECTILNTESKMALVPDTEKASPPLEAFAIESEITTELAPSGSSSKSKVPQSVKLEKEENTGAEEEGEGIGKIECTILNTESKIALVADTEKASPPLEASAIESEITTELAPFESGSKSEDPQSVKLEEEEDTSAEEKGERIGKFECTTSNMESKMALVPDLEKASPPLEAPASGSETRTELASLELSSKSEVPHSVKLEEEENSGAGENGERIGKIEGITLNMEPKMDLAVEEVCAQVADSENSIESEIEIQSTSLGACFNIEMPNNLEFQESGKDDAGEEVAQKSVSSKEHSNEQSINASKMLVMIEDATEGEVGEIKLNSTLSEVESILNEATVHTTMNQSVDSDIVKSSNMLDEGVAFSISQAEITEADAQSDNKVRQLTMLKGVELQSGGTLEREEISTAGFFLYSGAALLPNPTKAFAGGEDAYFIACQNWLGVADGVGQWSFEGISVGVYAKELMENCERIVSDRNGVPITDPVEILNRAAANTQSCGSSTVLVAYFDDQALHVANIGDSGFMIIRNGAVFKRSSPMVYELAFPVQIARGDQPSDFVEVYKVDLYEKDVIITATDGLFDNLYERDIVSIVSKSLQESLRPQVLITGNSRTLGN >KJB32019 pep chromosome:Graimondii2_0_v6:5:60204809:60211090:-1 gene:B456_005G218800 transcript:KJB32019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPFFFASLEARSMSFPSCNNSLFWRFRFPPLHFHTLRFSPPKLKFNHQFVVSKCSSSDSVSADVDILSATEHSDGSVIFRFGNASEMAIIEDQTQTTDESAKVVAKDGGKDLDKVDKSSIKAVGVSDGDAAAVEPMKKKVGRNFQVKSQPKRKLRRNATKVTEVVKEKPASVVSDDRMVEKKSVDSSKNINTKDQPDELGDVVKGENNVVPKENGECISKTEGSSKLNVALDQELVHHVEMVSTPLTASVVESETTTLLGSPELGSEVEVPHGVKLQETNKGGSEGSGENDGKTEDTALNMVSKMDLAPDIEKVSPPLGASDIGSEITTELAPSESGLESEVPHSVKLEEEENAGAEENGEGFGKIECTILNTESKIALVLDTEKASLPLEVSAIESEITTELAPSESSSKSEVPHGVKLEEDENAGAEEKGEGIGKIECTILNTESKMALVPDTEKASPPLEAFAIESEITTELAPSGSSSKSKVPQSVKLEKEENTGAEEEGEGIGKIECTILNTESKIALVADTEKASPPLEASAIESEITTELAPFESGSKSEDPQSVKLEEEEDTSAEEKGERIGKFECTTSNMESKMALVPDLEKASPPLEAPASGSETRTELASLELSSKSEVPHSVKLEEEENSGAGENGERIGKIEGITLNMEPKMDLAVEEVCAQVADSENSIESEIEIQSTSLGACFNIEMPNNLEFQESGKDDAGEEVAQKSVSSKEHSNEQSINASKMLVMIEDATEGEVGEIKLNSTLSEVESILNEATVHTTMNQSVDSDIVKSSNMLDEGVAFSISQAEITEADAQSDNKVRQLTMLKGVELQSGGTLEREEISTAGFFLYSGAALLPNPTKAFAGGEDAYFIACQNWLGVADGVGQWSFEGISVGVYAKELMENCERIVSDRNGVPITDPVEILNRAAANTQSCGSSTVLVAYFDDQALHVANIGDSGFMIIRNGAVFKRSSPMVYELAFPVQIARGDQPSDFVEVYKVDLYEKDVIITATDGLFDNLYERDIVSIVSKSLQESLRPQEIAELLATRAQEVGQLSLVRSPFSDEVQAAGYVGYRGGKLDDVTVIVSLVKRRFSNHAQ >KJB32015 pep chromosome:Graimondii2_0_v6:5:60203674:60211090:-1 gene:B456_005G218800 transcript:KJB32015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPFFFASLEARSMSFPSCNNSLFWRFRFPPLHFHTLRFSPPKLKFNHQFVVSKCSSSDSVSADVDILSATEHSDGSVIFRFGNASEMAIIEDQTQTTDESAKVVAKDGGKDLDKVDKSSIKAVGVSDGDAAAVEPMKKKVGRNFQVKSQPKRKLRRNATKVTEVVKEKPASVVSDDRMVEKKSVDSSKNINTKDQPDELGDVVKGENNVVPKENGECISKTEGSSKLNVALDQELVHHVEMVSTPLTASVVESETTTLLGSPELGSEVEVPHGVKLQETNKGGSEGSGENDGKTEDTALNMVSKMDLAPDIEKVSPPLGASDIGSEITTELAPSESGLESEVPHSVKLEEEENAGAEENEKASLPLEVSAIESEITTELAPSESSSKSEVPHGVKLEEDENAGAEEKGEGIGKIECTILNTESKMALVPDTEKASPPLEAFAIESEITTELAPSGSSSKSKVPQSVKLEKEENTGAEEEGEGIGKIECTILNTESKIALVADTEKASPPLEASAIESEITTELAPFESGSKSEDPQSVKLEEEEDTSAEEKGERIGKFECTTSNMESKMALVPDLEKASPPLEAPASGSETRTELASLELSSKSEVPHSVKLEEEENSGAGENGERIGKIEGITLNMEPKMDLAVEEVCAQVADSENSIESEIEIQSTSLGACFNIEMPNNLEFQESGKDDAGEEVAQKSVSSKEHSNEQSINASKMLVMIEDATEGEVGEIKLNSTLSEVESILNEATVHTTMNQSVDSDIVKSSNMLDEGVAFSISQAEITEADAQSDNKVRQLTMLKGVELQSGGTLEREEISTAGFFLYSGAALLPNPTKAFAGGEDAYFIACQNWLGVADGVGQWSFEGISVGVYAKELMENCERIVSDRNGVPITDPVEILNRAAANTQSCGSSTVLVAYFDDQALHVANIGDSGFMIIRNGAVFKRSSPMVYELAFPVQIARGDQPSDFVEVYKVDLYEKDVIITATDGLFDNLYERDIVSIVSKSLQESLRPQEIAELLATRAQEVGQLSLVRSPFSDEVQAAGYVGYRGGKLDDVTVIVSLVKRRFSNHAQS >KJB32014 pep chromosome:Graimondii2_0_v6:5:60203029:60211311:-1 gene:B456_005G218800 transcript:KJB32014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPFFFASLEARSMSFPSCNNSLFWRFRFPPLHFHTLRFSPPKLKFNHQFVVSKCSSSDSVSADVDILSATEHSDGSVIFRFGNASEMAIIEDQTQTTDESAKVVAKDGGKDLDKVDKSSIKAVGVSDGDAAAVEPMKKKVGRNFQVKSQPKRKLRRNATKVTEVVKEKPASVVSDDRMVEKKSVDSSKNINTKDQPDELGDVVKGENNVVPKENGECISKTEGSSKLNVALDQELVHHVEMVSTPLTASVVESETTTLLGSPELGSEVEVPHGVKLQETNKGGSEGSGENDGKTEDTALNMVSKMDLAPDIEKVSPPLGASDIGSEITTELAPSESGLESEVPHSVKLEEEENAGAEENEKASLPLEVSAIESEITTELAPSESSSKSEVPHGVKLEEDENAGAEEKGEGIGKIECTILNTESKMALVPDTEKASPPLEAFAIESEITTELAPSGSSSKSKVPQSVKLEKEENTGAEEEGEGIGKIECTILNTESKIALVADTEKASPPLEASAIESEITTELAPFESGSKSEDPQSVKLEEEEDTSAEEKGERIGKFECTTSNMESKMALVPDLEKASPPLEAPASGSETRTELASLELSSKSEVPHSVKLEEEENSGAGENGERIGKIEGITLNMEPKMDLAVEEVCAQVADSENSIESEIEIQSTSLGACFNIEMPNNLEFQESGKDDAGEEVAQKSVSSKEHSNEQSINASKMLVMIEDATEGEVGEIKLNSTLSEVESILNEATVHTTMNQSVDSDIVKSSNMLDEGVAFSISQAEITEADAQSDNKVRQLTMLKGVELQSGGTLEREEISTAGFFLYSGAALLPNPTKAFAGGEDAYFIACQNWLGVADGVGQWSFEGISVGVYAKELMENCERIVSDRNGVPITDPVEILNRAAANTQSCGSSTVLVAYFDDQALHVANIGDSGFMIIRNGAVFKRSSPMVYELAFPVQIARGDQPSDFVEVYKVDLYEKDVIITATDGLFDNLYERDIVSIVSKSLQESLRPQEIAELLATRAQEVGQLSLVRSPFSDEVQAAGYVGYRGGKLDDVTVIVSLVKRRFSNHAH >KJB32024 pep chromosome:Graimondii2_0_v6:5:60204963:60211090:-1 gene:B456_005G218800 transcript:KJB32024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPFFFASLEARSMSFPSCNNSLFWRFRFPPLHFHTLRFSPPKLKFNHQFVVSKCSSSDSVSADVDILSATEHSDGSVIFRFGNASEMAIIEDQTQTTDESAKVVAKDGGKDLDKVDKSSIKAVGVSDGDAAAVEPMKKKVGRNFQVKSQPKRKLRRNATKVTEVVKEKPASVVSDDRMVEKKSVDSSKNINTKDQPDELGDVVKGENNVVPKENGECISKTEGSSKLNVALDQELVHHVEMVSTPLTASVVESETTTLLGSPELGSEVEVPHGVKLQETNKGGSEGSGENDGKTEDTALNMVSKMDLAPDIEKVSPPLGASDIGSEITTELAPSESGLESEVPHSVKLEEEENAGAEENEKASLPLEVSAIESEITTELAPSESSSKSEVPHGVKLEEDENAGAEEKGEGIGKIECTILNTESKMALVPDTEKASPPLEAFAIESEITTELAPSGSSSKSKVPQSVKLEKEENTGAEEEGEGIGKIECTILNTESKIALVADTEKASPPLEASAIESEITTELAPFESGSKSEDPQSVKLEEEEDTSAEEKGERIGKFECTTSNMESKMALVPDLEKASPPLEAPASGSETRTELASLELSSKSEVPHSVKLEEEENSGAGENGERIGKIEGITLNMEPKMDLAVEEVCAQVADSENSIESEIEIQSTSLGACFNIEMPNNLEFQESGKDDAGEEVAQKSVSSKEHSNEQSINASKMLVMIEDATEGEVGEIKLNSTLSEVESILNEATVHTTMNQSVDSDIVKSSNMLDEGVAFSISQAEITEADAQSDNKVRQLTMLKGVELQSGGTLEREEISTAGFFLYSGAALLPNPTKAFAGGEDAYFIACQNWLGVADGVGQWSFEGISVGVYAKELMENCERIVSDRNGVPITDPVEILNRAAANTQSCGSSTVLVAYFDDQALHVANIGDSGFMIIRNGAVFKRSSPMVYELAFPVQIARGDQPSDFVEVYKVDLYEKDVIITATDGLFDNLYERDIVSIVSKSLQESLRPQVLITGNSRTLGN >KJB32025 pep chromosome:Graimondii2_0_v6:5:60204337:60211311:-1 gene:B456_005G218800 transcript:KJB32025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPFFFASLEARSMSFPSCNNSLFWRFRFPPLHFHTLRFSPPKLKFNHQFVVSKCSSSDSVSADVDILSATEHSDGSVIFRFGNASEMAIIEDQTQTTDESAKVVAKDGGKDLDKVDKSSIKAVGVSDGDAAAVEPMKKKVGRNFQVKSQPKRKLRRNATKVTEVVKEKPASVVSDDRMVEKKSVDSSKNINTKDQPDELGDVVKGENNVVPKENGECISKTEGSSKLNVALDQELVHHVEMVSTPLTASVVESETTTLLGSPELGSEVEVPHGVKLQETNKGGSEGSGENDGKTEDTALNMVSKMDLAPDIEKVSPPLGASDIGSEITTELAPSESGLESEVPHSVKLEEEENAGAEENEKASLPLEVSAIESEITTELAPSESSSKSEVPHGVKLEEDENAGAEEKGEGIGKIECTILNTESKMALVPDTEKASPPLEAFAIESEITTELAPSGSSSKSKVPQSVKLEKEENTGAEEEGEGIGKIECTILNTESKIALVADTEKASPPLEASAIESEITTELAPFESGSKSEDPQSVKLEEEEDTSAEEKGERIGKFECTTSNMESKMALVPDLEKASPPLEAPASGSETRTELASLELSSKSEVPHSVKLEEEENSGAGENGERIGKIEGITLNMEPKMDLAVEEVCAQVADSENSIESEIEIQSTSLGACFNIEMPNNLEFQESGKDDAGEEVAQKSVSSKEHSNEQSINASKMLVMIEDATEGEVGEIKLNSTLSEVESILNEATVHTTMNQSVDSDIVKSSNMLDEGVAFSISQAEITEADAQSDNKVRQLTMLKGVELQSGGTLEREEISTAGFFLYSGAALLPNPTKAFAGGEDAYFIACQNWLGVADGVGQWSFEGISVGVYAKELMENCERIVSDRNGVPITDPVEILNRAAANTQSCGSSTVLVAYFDDQALHVANIGDSGFMIIRNGAVFKRSSPMVYELAFPVQIARGDQPSDFVEVYKVDLYEKDVIITATDGLFDNLYERDIVSIVSKSLQESLRPQEIAELLATRAQEVGQLSLVRSPFSDEVQAAGYVGYRGGKLDDVTVIVSLVKRRFSNHAHKCRCDKVVEVFLLKRVQLTSCLNFYQPVGIVYSILDYFDIDVVVIAVWHLKLFGD >KJB32017 pep chromosome:Graimondii2_0_v6:5:60204963:60211090:-1 gene:B456_005G218800 transcript:KJB32017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPFFFASLEARSMSFPSCNNSLFWRFRFPPLHFHTLRFSPPKLKFNHQFVVSKCSSSDSVSADVDILSATEHSDGSVIFRFGNASEMAIIEDQTQTTDESAKVVAKDGGKDLDKVDKSSIKAVGVSDGDAAAVEPMKKKVGRNFQVKSQPKRKLRRNATKVTEVVKEKPASVVSDDRMVEKKSVDSSKNINTKDQPDELGDVVKGENNVVPKENGECISKTEGSSKLNVALDQELVHHVEMVSTPLTASVVESETTTLLGSPELGSEVEVPHGVKLQETNKGGSEGSGENDGKTEDTALNMVSKMDLAPDIEKVSPPLGASDIGSEITTELAPSESGLESEVPHSVKLEEEENAGAEENGEGFGKIECTILNTESKIALVLDTEKASLPLEVSAIESEITTELAPSESSSKSEVPHGVKLEEDENAGAEEKGEGIGKIECTILNTESKMALVPDTEKASPPLEAFAIESEITTELAPSGSSSKSKVPQSVKLEKEENTGAEEEGEGIGKIECTILNTESKIALVADTEKASPPLEASAIESEITTELAPFESGSKSEDPQSVKLEEEEDTSAEEKGERIGKFECTTSNMESKMALVPDLEKASPPLEAPASGSETRTELASLELSSKSEVPHSVKLEEEENSGAGENGERIGKIEGITLNMEPKMDLAVEEVCAQVADSENSIESEIEIQSTSLGACFNIEMPNNLEFQESGKDDAGEEVAQKSVSSKEHSNEQSINASKMLVMIEDATEGEVGEIKLNSTLSEVESILNEATVHTTMNQSVDSDIVKSSNMLDEGVAFSISQAEITEADAQSDNKVRQLTMLKGVELQSGGTLEREEISTAGFFLYSGAALLPNPTKAFAGGEDAYFIACQNWLGVADGVGQWSFEGISVGVYAKELMENCERIVSDRNGVPITDPVEILNRAAANTQSCGSSTVLVAYFDDQALHVANIGDSGFMIIRNGAVFKRSSPMVYELAFPVQIARGDQPSDFVEVYKVDLYEKDVIITATDGLFDNLYERDIVSIVSKSLQESLRPQVLITGNSRTLGN >KJB32012 pep chromosome:Graimondii2_0_v6:5:60203029:60211311:-1 gene:B456_005G218800 transcript:KJB32012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPFFFASLEARSMSFPSCNNSLFWRFRFPPLHFHTLRFSPPKLKFNHQFVVSKCSSSDSVSADVDILSATEHSDGSVIFRFGNASEMAIIEDQTQTTDESAKVVAKDGGKDLDKVDKSSIKAVGVSDGDAAAVEPMKKKVGRNFQVKSQPKRKLRRNATKVTEVVKEKPASVVSDDRMVEKKSVDSSKNINTKDQPDELGDVVKGENNVVPKENGECISKTEGSSKLNVALDQELVHHVEMVSTPLTASVVESETTTLLGSPELGSEVEVPHGVKLQETNKGGSEGSGENDGKTEDTALNMVSKMDLAPDIEKVSPPLGASDIGSEITTELAPSESGLESEVPHSVKLEEEENAGAEENEKASLPLEVSAIESEITTELAPSESSSKSEVPHGVKLEEDENAGAEEKGEGIGKIECTILNTESKMALVPDTEKASPPLEAFAIESEITTELAPSGSSSKSKVPQSVKLEKEENTGAEEEGEGIGKIECTILNTESKIALVADTEKASPPLEASAIESEITTELAPFESGSKSEDPQSVKLEEEEDTSAEEKGERIGKFECTTSNMESKMALVPDLEKASPPLEAPASGSETRTELASLELSSKSEVPHSVKLEEEENSGAGENGERIGKIEGITLNMEPKMDLAVEEVCAQVADSENSIESEIEIQSTSLGACFNIEMPNNLEFQESGKDDAGEEVAQKSVSSKEHSNEQSINASKMLVMIEDATEGEVGEIKLNSTLSEVESILNEATVHTTMNQSVDSDIVKSSNMLDEGVAFSISQAEITEADAQSDNKVRQLTMLKGVELQSGGTLEREEISTAGFFLYSGAALLPNPTKAFAGGEDAYFIACQNWLGVADGVGQWSFEGISVGVYAKELMENCERIVSDRNGVPITDPVEILNRAAANTQSCGSSTVLVAYFDDQALHVANIGDSGFMIIRNGAVFKRSSPMVYELAFPVQIARGDQPSDFVEVYKVDLYEKDVIITATDGLFDNLYERDIVSIVSKSLQESLRPQVLITGNSRTLGN >KJB32022 pep chromosome:Graimondii2_0_v6:5:60204012:60211311:-1 gene:B456_005G218800 transcript:KJB32022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPFFFASLEARSMSFPSCNNSLFWRFRFPPLHFHTLRFSPPKLKFNHQFVVSKCSSSDSVSADVDILSATEHSDGSVIFRFGNASEMAIIEDQTQTTDESAKVVAKDGGKDLDKVDKSSIKAVGVSDGDAAAVEPMKKKVGRNFQVKSQPKRKLRRNATKVTEVVKEKPASVVSDDRMVEKKSVDSSKNINTKDQPDELGDVVKGENNVVPKENGECISKTEGSSKLNVALDQELVHHVEMVSTPLTASVVESETTTLLGSPELGSEVEVPHGVKLQETNKGGSEGSGENDGKTEDTALNMVSKMDLAPDIEKVSPPLGASDIGSEITTELAPSESGLESEVPHSVKLEEEENAGAEENEKASLPLEVSAIESEITTELAPSESSSKSEVPHGVKLEEDENAGAEEKGEGIGKIECTILNTESKMALVPDTEKASPPLEAFAIESEITTELAPSGSSSKSKVPQSVKLEKEENTGAEEEGEGIGKIECTILNTESKIALVADTEKASPPLEASAIESEITTELAPFESGSKSEDPQSVKLEEEEDTSAEEKGERIGKFECTTSNMESKMALVPDLEKASPPLEAPASGSETRTELASLELSSKSEVPHSVKLEEEENSGAGENGERIGKIEGITLNMEPKMDLAVEEVCAQVADSENSIESEIEIQSTSLGACFNIEMPNNLEFQESGKDDAGEEVAQKSVSSKEHSNEQSINASKMLVMIEDATEGEVGEIKLNSTLSEVESILNEATVHTTMNQSVDSDIVKSSNMLDEGVAFSISQAEITEADAQSDNKVRQLTMLKGVELQSGGTLEREEISTAGFFLYSGAALLPNPTKAFAGGEDAYFIACQNWLGVADGVGQWSFEGISVGVYAKELMENCERIVSDRNGVPITDPVEILNRAAANTQSCGSSTVLVAYFDDQALHVANIGDSGFMIIRNGAVFKRSSPMVYELAFPVQIARGDQPSDFVEVYKVDLYEKDVIITATDGLFDNLYERDIVSIVSKSLQESLRPQEIAELLATRAQEVGQLSLVRSPFSDEVQAAGYVGYRGGKLDDVTVIVSLVKRRFSNHAQRFSFIEYFKLFS >KJB32018 pep chromosome:Graimondii2_0_v6:5:60203158:60211090:-1 gene:B456_005G218800 transcript:KJB32018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPFFFASLEARSMSFPSCNNSLFWRFRFPPLHFHTLRFSPPKLKFNHQFVVSKCSSSDSVSADVDILSATEHSDGSVIFRFGNASEMAIIEDQTQTTDESAKVVAKDGGKDLDKVDKSSIKAVGVSDGDAAAVEPMKKKVGRNFQVKSQPKRKLRRNATKVTEVVKEKPASVVSDDRMVEKKSVDSSKNINTKDQPDELGDVVKGENNVVPKENGECISKTEGSSKLNVALDQELVHHVEMVSTPLTASVVESETTTLLGSPELGSEVEVPHGVKLQETNKGGSEGSGENDGKTEDTALNMVSKMDLAPDIEKVSPPLGASDIGSEITTELAPSESGLESEVPHSVKLEEEENAGAEENGEGFGKIECTILNTESKIALVLDTEKASLPLEVSAIESEITTELAPSESSSKSEVPHGVKLEEDENAGAEEKGEGIGKIECTILNTESKMALVPDTEKASPPLEAFAIESEITTELAPSGSSSKSKVPQSVKLEKEENTGAEEEGEGIGKIECTILNTESKIALVADTEKASPPLEASAIESEITTELAPFESGSKSEDPQSVKLEEEEDTSAEEKGERIGKFECTTSNMESKMALVPDLEKASPPLEAPASGSETRTELASLELSSKSEVPHSVKLEEEENSGAGENGERIGKIEGITLNMEPKMDLAVEEVCAQVADSENSIESEIEIQSTSLGACFNIEMPNNLEFQESGKDDAGEEVAQKSVSSKEHSNEQSINASKMLVMIEDATEGEVGEIKLNSTLSEVESILNEATVHTTMNQSVDSDIVKSSNMLDEGVAFSISQAEITEADAQSDNKVRQLTMLKGVELQSGGTLEREEISTAGFFLYSGAALLPNPTKAFAGGEDAYFIACQNWLGVADGVGQWSFEGISVGVYAKELMENCERIVSDRNGVPITDPVEILNRAAANTQSCGSSTVLVAYFDDQALHVANIGDSGFMIIRNGAVFKRSSPMVYELAFPVQIARGDQPSDFVEVYKVDLYEKDVIITATDGLFDNLYERDIVSIVSKSLQESLRPQEIAELLATRAQEVGQLSLVRSPFSDEVQAAGYVGYRGGKLDDVTVIVSLVKRRFSNHAQ >KJB32011 pep chromosome:Graimondii2_0_v6:5:60204963:60211090:-1 gene:B456_005G218800 transcript:KJB32011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPFFFASLEARSMSFPSCNNSLFWRFRFPPLHFHTLRFSPPKLKFNHQFVVSKCSSSDSVSADVDILSATEHSDGSVIFRFGNASEMAIIEDQTQTTDESAKVVAKDGGKDLDKVDKSSIKAVGVSDGDAAAVEPMKKKVGRNFQVKSQPKRKLRRNATKVTEVVKEKPASVVSDDRMVEKKSVDSSKNINTKDQPDELGDVVKGENNVVPKENGECISKTEGSSKLNVALDQELVHHVEMVSTPLTASVVESETTTLLGSPELGSEVEVPHGVKLQETNKGGSEGSGENDGKTEDTALNMVSKMDLAPDIEKVSPPLGASDIGSEITTELAPSESGLESEVPHSVKLEEEENAGAEENEKASLPLEVSAIESEITTELAPSESSSKSEVPHGVKLEEDENAGAEEKGEGIGKIECTILNTESKMALVPDTEKASPPLEAFAIESEITTELAPSGSSSKSKVPQSVKLEKEENTGAEEEGEGIGKIECTILNTESKIALVADTEKASPPLEASAIESEITTELAPFESGSKSEDPQSVKLEEEEDTSAEEKGERIGKFECTTSNMESKMALVPDLEKASPPLEAPASGSETRTELASLELSSKSEVPHSVKLEEEENSGAGENGERIGKIEGITLNMEPKMDLAVEEVCAQVADSENSIESEIEIQSTSLGACFNIEMPNNLEFQESGKDDAGEEVAQKSVSSKEHSNEQSINASKMLVMIEDATEGEVGEIKLNSTLSEVESILNEATVHTTMNQSVDSDIVKSSNMLDEGVAFSISQAEITEADAQSDNKVRQLTMLKGVELQSGGTLEREEISTAGFFLYSGAALLPNPTKAFAGGEDAYFIACQNWLGVADGVGQWSFEGISVGVYAKELMENCERIVSDRNGVPITDPVEILNRAAANTQSCGSSTVLVAYFDDQALHVANIGDSGFMIIRNGAVFKRSSPMVYELAFPVQIARGDQPSDFVEVYKVDLYEKDVIITATDGLFDNLYERDIVSIVSKSLQESLRPQVLITGNSRTLGN >KJB32021 pep chromosome:Graimondii2_0_v6:5:60203158:60211090:-1 gene:B456_005G218800 transcript:KJB32021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPFFFASLEARSMSFPSCNNSLFWRFRFPPLHFHTLRFSPPKLKFNHQFVVSKCSSSDSVSADVDILSATEHSDGSVIFRFGNASEMAIIEDQTQTTDESAKVVAKDGGKDLDKVDKSSIKAVGVSDGDAAAVEPMKKKVGRNFQVKSQPKRKLRRNATKVTEVVKEKPASVVSDDRMVEKKSVDSSKNINTKDQPDELGDVVKGENNVVPKENGECISKTEGSSKLNVALDQELVHHVEMVSTPLTASVVESETTTLLGSPELGSEVEVPHGVKLQETNKGGSEGSGENDGKTEDTALNMVSKMDLAPDIEKVSPPLGASDIGSEITTELAPSESGLESEVPHSVKLEEEENAGAEENGEGFGKIECTILNTESKIALVLDTEKASLPLEVSAIESEITTELAPSESSSKSEVPHGVKLEEDENAGAEEKGEGIGKIECTILNTESKMALVPDTEKASPPLEAFAIESEITTELAPSGSSSKSKVPQSVKLEKEENTGAEEEGEGIGKIECTILNTESKIALVADTEKASPPLEASAIESEITTELAPFESGSKSEDPQSVKLEEEEDTSAEEKGERIGKFECTTSNMESKMALVPDLEKASPPLEAPASGSETRTELASLELSSKSEVPHSVKLEEEENSGAGENGERIGKIEGITLNMEPKMDLAVEEVCAQVADSENSIESEIEIQSTSLGACFNIEMPNNLEFQESGKDDAGEEVAQKSVSSKEHSNEQSINASKMLVMIEDATEGEVGEIKLNSTLSEVESILNEATVHTTMNQSVDSDIVKSSNMLDEGVAFSISQAEITEADAQSDNKVRQLTMLKGVELQSGGTLEREEISTAGFFLYSGAALLPNPTKVERMLILLPARIG >KJB32010 pep chromosome:Graimondii2_0_v6:5:60203029:60211311:-1 gene:B456_005G218800 transcript:KJB32010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPFFFASLEARSMSFPSCNNSLFWRFRFPPLHFHTLRFSPPKLKFNHQFVVSKCSSSDSVSADVDILSATEHSDGSVIFRFGNASEMAIIEDQTQTTDESAKVVAKDGGKDLDKVDKSSIKAVGVSDGDAAAVEPMKKKVGRNFQVKSQPKRKLRRNATKVTEVVKEKPASVVSDDRMVEKKSVDSSKNINTKDQPDELGDVVKGENNVVPKENGECISKTEGSSKLNVALDQELVHHVEMVSTPLTASVVESETTTLLGSPELGSEVEVPHGVKLQETNKGGSEGSGENDGKTEDTALNMVSKMDLAPDIEKVSPPLGASDIGSEITTELAPSESGLESEVPHSVKLEEEENAGAEENGEGFGKIECTILNTESKIALVLDTEKASLPLEVSAIESEITTELAPSESSSKSEVPHGVKLEEDENAGAEEKGEGIGKIECTILNTESKMALVPDTEKASPPLEAFAIESEITTELAPSGSSSKSKVPQSVKLEKEENTGAEEEGEGIGKIECTILNTESKIALVADTEKASPPLEASAIESEITTELAPFESGSKSEDPQSVKLEEEEDTSAEEKGERIGKFECTTSNMESKMALVPDLEKASPPLEAPASGSETRTELASLELSSKSEVPHSVKLEEEENSGAGENGERIGKIEGITLNMEPKMDLAVEEVCAQVADSENSIESEIEIQSTSLGACFNIEMPNNLEFQESGKDDAGEEVAQKSVSSKEHSNEQSINASKMLVMIEDATEGEVGEIKLNSTLSEVESILNEATVHTTMNQSVDSDIVKSSNMLDEGVAFSISQAEITEADAQSDNKVRQLTMLKGVELQSGGTLEREEISTAGFFLYSGAALLPNPTKAFAGGEDAYFIACQNWLGVADGVGQWSFEGISVGVYAKELMENCERIVSDRNGVPITDPVEILNRAAANTQSCGSSTVLVAYFDDQALHVANIGDSGFMIIRNGAVFKRSSPMVYELAFPVQIARGDQPSDFVEVYKVDLYEKDVIITATDGLFDNLYERDIVSIVSKSLQESLRPQEIAELLATRAQEVGQLSLVRSPFSDEVQAAGYVGYRGGKLDDVTVIVSLVKRRFSNHAH >KJB32754 pep chromosome:Graimondii2_0_v6:5:63521216:63523751:-1 gene:B456_005G259700 transcript:KJB32754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVITTTSQTNPDVTRQPSLCSSLSTLFADLQNQQNNNENRSQNCFGSMSMDDLLKNIYPSTPPPPPSTTGNTGSDAHHAQFLGGSISREGSFSLPKDVASKSVDEVWKEIVAGGGDQRQEGQLEEMTLEDFLTKAGAVREEDVSRGVVNQVSAGVFHVEPAVINGGGSQFSTFGNNGGVDHQRLVVVPAGGGGRGKRRAVEEPPLDKATQQKQKRMIKNRESAARSRERKQAYTVELESMVTHLEEENARLRREELMEKLVPVDEKQRPRRVIRRARSMEW >KJB32752 pep chromosome:Graimondii2_0_v6:5:63521216:63523751:-1 gene:B456_005G259700 transcript:KJB32752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVITTTSQTNPDVTRQPSLCSSLSTLFADLQNQQNNNENRSQNCFGSMSMDDLLKNIYPSTPPPPPSTTGNTGSDAHHAQFLGGSISREGSFSLPKDVASKSVDEVWKEIVAGGGDQRQEGQLEEMTLEDFLTKAGAVREEDVSRGVVNQVSAGVFHVEPAVINGGGSQFSTFGNNGGVDHQRLVVVPAGGGGRGKRRAVEEPPLDKATQQKQKRMIKNRESAARSRERKQVRHILLNWNLW >KJB32755 pep chromosome:Graimondii2_0_v6:5:63521818:63523493:-1 gene:B456_005G259700 transcript:KJB32755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVITTTSQTNPDVTRQPSLCSSLSTLFADLQNQQNNNENRSQNCFGSMSMDDLLKNIYPSTPPPPPSTTGNTGSDAHHAQFLGGSISREGSFSLPKDVASKSVDEVWKEIVAGGGDQRQEGQLEEMTLEDFLTKAGAVREEDVSRGVVNQVSAGVFHVEPAVINGGGSQFSTFGNNGGVDHQRLVVVPAGGGGRGKRRAVEEPPLDKATQQKQKRMIKNRESAARSRERKQAYTVELESMVTHLEEENARLRREECTLQAELNKERFKQLMEKLVPVDEKQRPRRVIRRARSMEW >KJB32751 pep chromosome:Graimondii2_0_v6:5:63521206:63523805:-1 gene:B456_005G259700 transcript:KJB32751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVITTTSQTNPDVTRQPSLCSSLSTLFADLQNQQNNNENRSQNCFGSMSMDDLLKNIYPSTPPPPPSTTGNTGSDAHHAQFLGGSISREGSFSLPKDVASKSVDEVWKEIVAGGGDQRQEGQLEEMTLEDFLTKAGAVREEDVSRGVVNQVSAGVFHVEPAVINGGGSQFSTFGNNGGVDHQRLVVVPAGGGGRGKRRAVEEPPLDKATQQKQKRMIKNRESAARSRERKQAYTVELESMVTHLEEENARLRREEAELNKERFKQLMEKLVPVDEKQRPRRVIRRARSMEW >KJB32756 pep chromosome:Graimondii2_0_v6:5:63522417:63523751:-1 gene:B456_005G259700 transcript:KJB32756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVITTTSQTNPDVTRQPSLCSSLSTLFADLQNQQNNNENRSQNCFGSMSMDDLLKNIYPSTPPPPPSTTGNTGSDAHHAQFLGGSISREGSFSLPKDVASKSVDEVWKEIVAGGGDQRQEGQLEEMTLEDFLTKAGAVREEDVSRGVVNQVSAGVFHVEPAVINGGGSQFSTFGNNGGVDHQRLVVVPAGGGGRGKRRAVEEPPLDKATQQKQKRMIKNRESAARSRERKQAYTVELESMVTHLEEENARLRREEVYRLCYAI >KJB32753 pep chromosome:Graimondii2_0_v6:5:63522732:63523493:-1 gene:B456_005G259700 transcript:KJB32753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVITTTSQTNPDVTRQPSLCSSLSTLFADLQNQQNNNENRSQNCFGSMSMDDLLKNIYPSTPPPPPSTTGNTGSDAHHAQFLGGSISREGSFSLPKDVASKSVDEVWKEIVAGGGDQRQEGQLEEMTLEDFLTKAGAVREEDVSRGVVNQVSAGVFHVEPAVINGGGSQFSTFGNNGGVDHQRLVVVPAGGGGRGKRRAVEEPPLDKATQQKQKRMIKNRESAARSRERKQVRFSFLEIPFGCPENADK >KJB32938 pep chromosome:Graimondii2_0_v6:5:64078104:64079594:1 gene:B456_005G269000 transcript:KJB32938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLPAWQSLNITVNYFSTKYTNHSASCPSLPEQMKVKVSPMDELPCYTERNEFEYKDDCDNLDEYDEVSDTCETVPETYPNEVVIVSADNLHSLIHEACHEQSEHIEEYGTRKPGESSNLGVDMSIAGKESLRGMRFKYAVEADEDPQPSVKEVKEKPSTTDEEYEKLGDTCTFGVYHRQPFDVICSPVRASSSVFTSLSNEETAEGTNVSIIEKECRIQKQFSAFVAASGDQQSWRKEKPLTTEVAVLKDHVPSFDVTREPEVIDLLTPSPSYRVRPCTKKRRISKFSPEIIDLT >KJB32939 pep chromosome:Graimondii2_0_v6:5:64078124:64079533:1 gene:B456_005G269000 transcript:KJB32939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQFEWAWQHPRESEAVRQAAATFKSFSGVTNKIKLAYTMLTLPAWQSLNITVNYFSTKYTNHSASCPSLPEQMKVKVSPMDELPCYTERNEFEYKDDCDNLDEYDEVSDTCETVPETYPNEVVIVSADNLHSLIHEACHEQSEHIEEYGTRKPGESSNLGVGNVESLVFIDPPTSKATSIATGLTVVNAAECADMSIAGKESLRGMRFKYAVEADEDPQPSVKEVKEKPSTTDEEYEKLGDTCTFGVYHRQPFDVICSPVRASSSVFTSLSNEETAEGTNVSIIEKECRIQKQFSAFVAASGDQQSWRKEKPLTTEVAVLKDHVPSFDVTREPEVIDLLTPSPSYRVRPCTKKRRISKFSPEIIDLT >KJB32940 pep chromosome:Graimondii2_0_v6:5:64078301:64079533:1 gene:B456_005G269000 transcript:KJB32940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKVSPMDELPCYTERNEFEYKDDCDNLDEYDEVSDTCETVPETYPNEVVIVSADNLHSLIHEACHEQSEHIEEYGTRKPGESSNLGVDMSIAGKESLRGMRFKYAVEADEDPQPSVKEVKEKPSTTDEEYEKLGDTCTFGVYHRQPFDVICSPVRASSSVFTSLSNEETAEGTNVSIIEKECRIQKQFSAFVAASGDQQSWRKEKPLTTEVAVLKDHVPSFDVTREPEVIDLLTPSPSYRVRPCTKKRRISKFSPEIIDLT >KJB32708 pep chromosome:Graimondii2_0_v6:5:63360398:63362308:-1 gene:B456_005G257300 transcript:KJB32708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQVIIAGVSLIIVVGVIIGITITFQQTSNDEEKLSPTMKKVSIFCSSTYYQKSCQKTLMSVNSTDPKEFIAKAILDAEEAVKKFINYSDSLIVQVKNNSLTKMALDDCKDMMNYAIDSLQASYSNVTSNELRNINDRINDLRTWLSAVISYQQSCLDGFEHDDDMKGTLQKGIVDASELTCNALTIVTKLVYILPKFGIQFNITNTRKLLFAEKNGYPPWFSAKDRHLLAKIDNNNLKPNVVVAKDGSGQFKTIAAALAAAPKNSNVRYVIYIKAGIYKEYITVGKQYTNILMYGDGPRKTIVTGSKGVKSGGGITTWQTATFSAIGNGFIAKSMRFQNTAGPKKHQAVALRVQSDKSAFFNCRMDAYQDTLYNQANRQFFRNCIISGTIDFIFGDSPTFIQNSLLIVRRPMDNQFNTITAQGKDFIDENTGTVIQNCRIVPEQKLFNDRFKFATYLGRPWKKFSTTVIMESILGDLIKPEGWMQFEGLDKVNFEETLYYAEYNNRGPGANLNARVNWKGYHKIDRAAAMNFTIQSFLLSKEDWLPSTGIPFTTTLRY >KJB30114 pep chromosome:Graimondii2_0_v6:5:31821043:31827586:-1 gene:B456_005G130200 transcript:KJB30114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSFAKQTVVPMKEPSSNYNPYPPPLARYEDVAACPKLFLSTLEKLHATMGTKFMIPIIGGKELDLHKLFVEVTSRGGIEKIIKERRWKEVTAIFNFPSTATNASFVLRKYYLSLLHHYEQIYFFKARGWVPVSSDPFRSQSITQIHTQGAIRPAIDVHAAAVQQPRVNIADSPAAARQSTTAGSPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQASDDAAPEAPHCYGAFSSQSVTPHATSGVQRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLHPGQDREISRMIGEQWNKLTESEKSVYQEKALEDKERYRVEMEDYREKLRTGQVLGNALPLPQQLPGMDVGMAEADMKLDETEGGESPQTPENDSSSDGSDFEDDKTADKGLDIEESQFAGVVGDVDADISAEEAAELSKVDDNVGHNSQVEKFSI >KJB30115 pep chromosome:Graimondii2_0_v6:5:31821408:31826324:-1 gene:B456_005G130200 transcript:KJB30115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSFAKQTVVPMKEPSSNYNPYPPPLARYEDVAACPKLFLSTLEKLHATMGTKFMIPIIGGKELDLHKLFVEVTSRGGIEKIIKERRWKEVTAIFNFPSTATNASFVLRKYYLSLLHHYEQIYFFKARGWVPVSSDPFRSQSITQIHTQGAIRPAIDVHAAAVQQPRVNIADSPAAARQSTTAGSPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQASDDAAPEAPHCYGAFSSQSVTPHATSGVQRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLHPGQDREISRMIGEQWNKLTESEKSVYQEKALEDKERYRVEMEDYREKLRTGQVLGNALPLPQQLPGMDVGMAEADMKLDETEGGESPQTPENDSSSDGSDFEDDKTADKGLDIEESQFAGVVGDVDADISAEEAAELSKVDDNVGHNSQVEKFSI >KJB30112 pep chromosome:Graimondii2_0_v6:5:31821043:31827390:-1 gene:B456_005G130200 transcript:KJB30112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSFAKQTVVPMKEPSSNYNPYPPPLARYEDVAACPKLFLSTLEKLHATMGTKFMIPIIGGKELDLHKLFVEVTSRGGIEKIIKERRWKEVTAIFNFPSTATNASFVLRKYYLSLLHHYEQIYFFKARGWVPVSSDPFRSQSITQIHTQGAIRPAIDVHAAAVQQPRVNIADSPAAARQSTTAGSPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQASDDAAPEAPHCYGAFSSQSVTPHATSGVQRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLHPGQDREISRMIGEQWNKLTESEKSVYQEKALEDKERYRVEMEDYREKLRTGQVLGNALPLPQQLPGMDVGMAEADMKLDETEGDKGLDIEESQFAGVVGDVDADISAEEAAELSKVDDNVGHNSQVEKFSI >KJB30113 pep chromosome:Graimondii2_0_v6:5:31821408:31826324:-1 gene:B456_005G130200 transcript:KJB30113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSFAKQTVVPMKEPSSNYNPYPPPLARYEDVAACPKLFLSTLEKLHATMGTKFMIPIIGGKELDLHKLFVEVTSRGGIEKIIKERRWKEVTAIFNFPSTATNASFVLRKYYLSLLHHYEQIYFFKARGWVPVSSDPFRSQSITQIHTQGAIRPAIDVHAAAVQQPRVNIADSPAARQSTTAGSPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQASDDAAPEAPHCYGAFSSQSVTPHATSGVQRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLHPGQDREISRMIGEQWNKLTESEKSVYQEKALEDKERYRVEMEDYREKLRTGQVLGNALPLPQQLPGMDVGMAEADMKLDETEGGESPQTPENDSSSDGSDFEDDKTADKGLDIEESQFAGVVGDVDADISAEEAAELSKVDDNVGHNSQVEKFSI >KJB30111 pep chromosome:Graimondii2_0_v6:5:31821043:31827390:-1 gene:B456_005G130200 transcript:KJB30111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSFAKQTVVPMKEPSSNYNPYPPPLARYEDVAACPKLFLSTLEKLHATMGTKFMIPIIGGKELDLHKLFVEVTSRGGIEKIIKERRWKEVTAIFNFPSTATNASFVLRKYYLSLLHHYEQIYFFKARGWVPVSSDPFRSQSITQIHTQGAIRPAIDVHAAAVQQPRVNIADSPAARQSTTAGSPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQASDDAAPEAPHCYGAFSSQSVTPHATSGVQRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLHPGQDREISRMIGEQWNKLTESEKSVYQEKALEDKERYRVEMEDYREKLRTGQVLGNALPLPQQLPGMDVGMAEADMKLDETEGDKGLDIEESQFAGVVGDVDADISAEEAAELSKVDDNVGHNSQVEKFSI >KJB31758 pep chromosome:Graimondii2_0_v6:5:58713969:58718322:-1 gene:B456_005G207300 transcript:KJB31758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFSCFNAHIGSQKPKKTVQPSVEAMHTTIEDFSQVQAPKESTKAANMDSFFPETQTTAEIHESVEPVTVSSSPNPSWKSEDIKDGVILDSSSRVLQNPHYLKKCRSLGSGLCLEGRVPGQNDTDDQTDQGFSSDSHDHGLVEDGRKHIAVSQNASFSESVQVSSNHVYNEPVFSIGDSLLPEKDGHDISNLPLPCERANASGDHSPNNAPVIVKSCSMPNIVASALLFGGHSPPKCLARRTRSSEDVHVLSMRQKETLIHDVDTEVMREQERDDGMHKNHKTNFESSYEGFESYSCSASAKDWIVPVSDEVSSMKMLQEELRVLNRNELTGKDFKIKRIEDWVNGLQHVRPSEDSVELSHPNDQVKAEPAVYNDLTAAKVDVKVTPGMEAAKRYISSLSASATTAQLANHGLVVIPFLSAFVSLKVLNLSGNAIARITAGALPRGLHMLNLSRNNISTIEGLRELTRLRVLNLSYNRIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTVLDLRFNKISTAKCLGQLAANYNSLQAIGLEGNPAQKNVGDENLKKHLQGLLPNLVYFNRQAIKVSTVKDGAERSVRLGISAHQFDRGLRSEHRATRKNSHGTSIHRPSSSSTRGHKSQVASPMQSRGRHGRYPPSGAKATNNRQNHHVDLGSKVLNFKSELSMRRTQSEGTLGLL >KJB31759 pep chromosome:Graimondii2_0_v6:5:58713969:58718339:-1 gene:B456_005G207300 transcript:KJB31759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFSCFNAHIGSQKPKKTVQPSVEAMHTTIEDFSQVQAPKESTKAANMDSFFPETQTTAEIHESVEPVTVSSSPNPSWKSEDIKDGVILDSSSRVLQNPHYLKKCRSLGSGLCLEGRVPGQNDTDDQTDQGFSSDSHDHGLVEDGRKHIAVSQNASFSESVQVSSNHVYNEPVFSIGDSLLPEKDGHDISNLPLPCERANASGDHSPNNAPVIVKSCSMPNIVASALLFGGHSPPKCLARRTRSSEDVHVLSMRQKETLIHDVDTEVMREQERDDGMHKNHKTNFESSYEGFESYSCSASAKDWIVPVSDEVSSMKMLQEELRVLNRNELTGKDFKIKRIEDWVNGLQHVRPSEDSVELSHPNDQVKAEPAVYNDLTAAKVDVKVTPGMEAAKRYISSLSASATTAQLANHGLVVIPFLSAFVSLKVLNLSGNAIARITAGALPRGLHMLNLSRNNISTIEGLRELTRLRVLNLSYNRIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTVLDLRFNKISTAKCLGQLAANYNSLQAIGLEGNPAQKNVGDENLKKHLQGLLPNLVYFNRQAIKVSTVKDGAERSVRLGISAHQFDRGLRSEHRATRKNSHGTSIHRPSSSSTRGHKSQVASPMQSRGRHGRYPPSGAKATNNRQNHHVDLGSKVLNFKSELSMRRTQSEGTLGLL >KJB31757 pep chromosome:Graimondii2_0_v6:5:58713977:58718069:-1 gene:B456_005G207300 transcript:KJB31757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFSCFNAHIGSQKPKKTVQPSVEAMHTTIEDFSQVQAPKESTKAANMDSFFPETQTTAEIHESVEPVTVSSSPNPSWKSEDIKDGVILDSSSRVLQNPHYLKKCRSLGSGLCLEGRVPGQNDTDDQTDQGFSSDSHDHGLVEDGRKHIAVSQNASFSESVQVSSNHVYNEPVFSIGDSLLPEKDGHDISNLPLPCERANASGDHSPNNAPVIVKSCSMPNIVASALLFGGHSPPKCLARRTRSSEDVHVLSMRQKETLIHDVDTEVMREQERDDGMHKNHKTNFESSYEGFESYSCSASAKDWIVPVSDEVSSMKMLQEELRVLNRNELTGKDFKIKRIEDWVNGLQHVRPSEDSVELSHPNDQVKAEPAVYNDLTAAKVDVKVTPGMEAAKRYISSLSASATTAQLANHGLVVIPFLSAFVSLKVLNLSGNAIARITAGALPRGLHMLNLSRNNISTIEGLRELTRLRVLNLSYNRIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTVLDLRFNKISTAKCLGQLAANYNSLQAIGLEGNPAQKNVGDENLKKHLQGLLPNLVYFNRQAIKVSTVKDGAERSVRLGISAHQFDRGLRSEHRATRKNSHGTSIHRPSSSSTRGHKSQVASPMQSRGRHGRYPPSGAKATNNRQNHHVDLGSKVLNFKSELSMRRTQSEGTLGLL >KJB29812 pep chromosome:Graimondii2_0_v6:5:24976141:24980359:-1 gene:B456_005G119700 transcript:KJB29812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMKMKIYGYRLRALLSTSLSSSPFHSVPSRTFSSSSFENVGFIGLGNMGSRMANNLLKAGYKMTVHDVNCNVMKKYSDMGVSTKQTPSEVAEASDVVITMLPSSSHVLNVYNGPDGLLQGGDLLTPQLFIDSSTIDPQTSRKLAVSVSNCILKEKKENWENPVMLDAPVSGGVVAAEAGSLTFMVGGSEDVYLAAKSLLLSMGKNAIFCGGPGNGSVAKICNNLAMAISMLGLSEALALGQSLGITASTLTKIFNSSSARCWSSCFLLGRKSGGGERVRESLIHIYMFSRACEAGLVLSR >KJB29818 pep chromosome:Graimondii2_0_v6:5:24974848:24980497:-1 gene:B456_005G119700 transcript:KJB29818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMKMKIYGYRLRALLSTSLSSSPFHSVPSRTFSSSSFENVGFIGLGNMGSRMANNLLKAGYKMTVHDVNCNVMKKYSDMGVSTKQTPSEVAEASDVVITMLPSSSHVLNVYNGPDGLLQGGDLLTPQLFIDSSTIDPQTSRKLAVSVSNCILKEKKENWENPVMLDAPVSGGVVAAEAGSLTFMVGGSEDVYLAAKSLLLSMGKNAIFCGGPGNGSVAKICNNLAMAISMLGLSEALALGQSLGITASTLTKIFNSSSARCWSSDSYNPVPGVMQGVPSSRNYSGGFASKLMAKDLNLAAASAEEVGQRCPLTFLAQNMYVS >KJB29817 pep chromosome:Graimondii2_0_v6:5:24973967:24980569:-1 gene:B456_005G119700 transcript:KJB29817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMKMKIYGYRLRALLSTSLSSSPFHSVPSRTFSSSSFENVGFIGLGNMGSRMANNLLKAGYKMTVHDVNCNVMKKYSDMGVSTKQTPSEVAEASDVVITMLPSSSHVLNVYNGPDGLLQGGDLLTPQLFIDSSTIDPQTSRKLAVSVSNCILKEKKENWENPVMLDAPVSGGVVAAEAGSLTFMVGGSEDVYLAAKSLLLSMGKNAIFCGGPGNGSVAKICNNLAMAISMLGLSEALALGQSLGITASTLTKIFNSSSARCWSSDSYNPVPGVMQGVPSSRNYSGGFASKLMAKDLNLAAASAEEVGQRCPLTFLAQNIYTEICNDGHETEDFSCVFQHYYSGKGERN >KJB29816 pep chromosome:Graimondii2_0_v6:5:24973967:24980497:-1 gene:B456_005G119700 transcript:KJB29816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMKMKIYGYRLRALLSTSLSSSPFHSVPSRTFSSSSFENVGFIGLGNMGSRMANNLLKAGYKMTVHDVNCNVMKKYSDMGVSTKQTPSEVAEASDVVITMLPSSSHVLNVYNGPDGLLQGGDLLTPQLFIDSSTIDPQTSRKLAVSVSNCILKEKKENWENPVMLDAPVSGGVVAAEAGSLTFMVAKICNNLAMAISMLGLSEALALGQSLGITASTLTKIFNSSSARCWSSDSYNPVPGVMQGVPSSRNYSGGFASKLMAKDLNLAAASAEEVGQRCPLTFLAQNIYTEICNDGHETEDFSCVFQHYYSGKGERN >KJB29814 pep chromosome:Graimondii2_0_v6:5:24973967:24980497:-1 gene:B456_005G119700 transcript:KJB29814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRMANNLLKAGYKMTVHDVNCNVMKKYSDMGVSTKQTPSEVAEASDVVITMLPSSSHVLNVYNGPDGLLQGGDLLTPQLFIDSSTIDPQTSRKLAVSVSNCILKEKKENWENPVMLDAPVSGGVVAAEAGSLTFMVGGSEDVYLAAKSLLLSMGKNAIFCGGPGNGSVAKICNNLAMAISMLGLSEALALGQSLGITASTLTKIFNSSSARCWSSDSYNPVPGVMQGVPSSRNYSGGFASKLMAKDLNLAAASAEEVGQRCPLTFLAQNIYTEICNDGHETEDFSCVFQHYYSGKGERN >KJB29813 pep chromosome:Graimondii2_0_v6:5:24973967:24980497:-1 gene:B456_005G119700 transcript:KJB29813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMKMKIYGYRLRALLSTSLSSSPFHSVPSRTFSSSSFENVGFIGLGNMGSRMANNLLKAGYKMTVHDVNCNVMKKYSDMGVSTKQTPSEVAEASDVVITMLPSSSHVLNVYNGPDGLLQGGDLLTPQLFIDSSTIDPQTSRKLAVSVSNCILKEKKAAEAGSLTFMVGGSEDVYLAAKSLLLSMGKNAIFCGGPGNGSVAKICNNLAMAISMLGLSEALALGQSLGITASTLTKIFNSSSARCWSSDSYNPVPGVMQGVPSSRNYSGGFASKLMAKDLNLAAASAEEVGQRCPLTFLAQNIYTEICNDGHETEDFSCVFQHYYSGKGERN >KJB29815 pep chromosome:Graimondii2_0_v6:5:24974339:24979370:-1 gene:B456_005G119700 transcript:KJB29815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYSDMGVSTKQTPSEVAEASDVVITMLPSSSHVLNVYNGPDGLLQGGDLLTPQLFIDSSTIDPQTSRKLAVSVSNCILKEKKENWENPVMLDAPVSGGVVAAEAGSLTFMVGGSEDVYLAAKSLLLSMGKNAIFCGGPGNGSVAKICNNLAMAISMLGLSEALALGQSLGITASTLTKIFNSSSARCWSSDSYNPVPGVMQGVPSSRNYSGGFASKLMAKDLNLAAASAEEVGQRCPLTFLAQNIYTEICNDGHETEDFSCVFQHYYSGKGERN >KJB32901 pep chromosome:Graimondii2_0_v6:5:63976476:63979055:1 gene:B456_005G267200 transcript:KJB32901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEYKRKSESELSSETRNSSSQSSMSSESCSSFSRLSFELLPTSRTNPENLSLKPHRSSDFAYSAIRSVTFARKTGLTFRDFRLLRHIGSGDIGTVYLCRLADVDEKCCYAMKVVDKEVLVMKKKVHRAEMEKKILKMLDHPFLPTLYAEFEASNFSCIVMEYCSGGDLHSLRHKQPQKRFSLNSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSDAIPAVESPSSSPDPMPPQNQSCNRPQPTRLARLFRRLLRSKIETLAQSKPFFVAEPVAARSRSFVGTHEYVSPEVASGGSHGNAVDWWSFGIFIYEMIYGRTPFAAPSNELTLRNIVKKPLAFPTHSPSSLLEHHARDLISGLLKKDPNARLGSKRGAADVKTHPFFKALNFALIRSVTPPEIPGLRRQSTASYYQPKSEEQSTAFDFF >KJB32900 pep chromosome:Graimondii2_0_v6:5:63976457:63979229:1 gene:B456_005G267200 transcript:KJB32900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEYKRKSESELSSETRNSSSQSSMSSESCSSFSRLSFELLPTSRTNPENLSLKPHRSSDFAYSAIRSVTFARKTGLTFRDFRLLRHIGSGDIGTVYLCRLADVDEKCCYAMKVVDKEVLVMKKKVHRAEMEKKILKMLDHPFLPTLYAEFEASNFSCIVMEYCSGGDLHSLRHKQPQKRFSLNSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSDAIPAVESPSSSPDPMPPQNQSCNRPQPTRLARLFRRLLRSKIETLAQSKPFFVAEPVAARSRSFVGTHEYVSPEVASGGSHGNAVDWWSFGIFIYEMIYGRTPFAAPSNELTLRNIVKKPLAFPTHSPSSLLEHHARDLISGLLKKDPNARLGSKRGAADVKTHPFFKALNFALIRSVTPPEIPGLRRQSTASYYQPKSEEQSTAFDFF >KJB27543 pep chromosome:Graimondii2_0_v6:5:4405384:4406748:-1 gene:B456_005G046400 transcript:KJB27543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVGYDNDLNLKATELRLGLPGTEPVSIVRRNKRSLQQVADDDCGVNGCKSDDQNETAPPPPKAQIVGWPPIRSYRKNNIQTKKNESEGGGIYVKVSMDGAPYLRKIDLKVYSGYPELLQAIENMFKFTIGEYSEREGYKGSDYAPTYEDKDGDWMLVGDVPWEMFITSCKRLRIMKGSEARGLGRGV >KJB27546 pep chromosome:Graimondii2_0_v6:5:4406129:4406629:-1 gene:B456_005G046400 transcript:KJB27546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVGYDNDLNLKATELRLGLPGTEPVSIVRRNKRSLQQVADDDCGVNGCKSDDQNETAPPPPKAQIVGWPPIRSYRKNNIQTKKNESEGGGIYVKVSMDGAPYLRKIDLKVYSGYPELLQAIENMFKFTIGKNMT >KJB27547 pep chromosome:Graimondii2_0_v6:5:4405392:4406689:-1 gene:B456_005G046400 transcript:KJB27547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLMIKMKLLLLLPSKAQIVGWPPIRSYRKNNIQTKKNESEGGGIYVKVSMDGAPYLRKIDLKVYSGYPELLQAIENMFKFTIGEYSEREGYKGSDYAPTYEDKDGDWMLVGDVPWEMFITSCKRLRIMKGSEARGLGRGV >KJB27544 pep chromosome:Graimondii2_0_v6:5:4405392:4406394:-1 gene:B456_005G046400 transcript:KJB27544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYRAQIVGWPPIRSYRKNNIQTKKNESEGGGIYVKVSMDGAPYLRKIDLKVYSGYPELLQAIENMFKFTIGEYSEREGYKGSDYAPTYEDKDGDWMLVGDVPWEMFITSCKRLRIMKGSEARGLGRGV >KJB27548 pep chromosome:Graimondii2_0_v6:5:4405951:4406629:-1 gene:B456_005G046400 transcript:KJB27548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVGYDNDLNLKATELRLGLPGTEPVSIVRRNKRSLQQVADDDCGVNGCKSDDQNETAPPPPKAQIVGWPPIRSYRKNNIQTKKNESEGGGIYVKVSMDGAPYLRKIDLKVYSGYPELLQAIENMFKFTIGEYSEREGYKGSDYAPTYEDKDGDWMLVGDVPWE >KJB27545 pep chromosome:Graimondii2_0_v6:5:4405392:4406689:-1 gene:B456_005G046400 transcript:KJB27545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVGYDNDLNLKATELRLGLPGTEPVSIVRRNKRSLQQVADDDCGVNGCKSDDQNETAPPPPKAQIVGWPPIRSYRKNNIQTKKNESEGGGIYVKVSMDGAPYLRKIDLKVYSGYPELLQAIENMFKFTIVL >KJB30157 pep chromosome:Graimondii2_0_v6:5:32423600:32436288:-1 gene:B456_005G131500 transcript:KJB30157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLVDEEMNQTNQEEAYYDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVIGGVGGTCVIRGCVPKKILVYGAAFGSELEDARNYGWELNEKLDFNWKKLLHKKTDEIIRLNGIYKRLLSNAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYSAKHILIATGSRAHRPPIPGQGLGITSDEALSLEDLPKHAVVFGGGYIAVEFASIWRGLGANVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPQTNLTELIKTDNGIKVTTDHGEELIADVVLFATGRLPNSKRLNLEAVGVELDNTGAVKVDEYSRTSIPSIWAVGDVTNRMNLTPVALMEGTCFAKTVFGKEPSKPDYSHVPCAVFSIPPLSVVGLSEEQAIEQANGDVLVFTSTFNPMKNTVSGRQEKTVMKLVVDAETDKVLGASMCGPDAPEIMQGIAVALKCGATKAQFDSTVGIHPSAAEEFVTMRSVSRRITCSGKPKTNL >KJB30163 pep chromosome:Graimondii2_0_v6:5:32427583:32433501:-1 gene:B456_005G131500 transcript:KJB30163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLVDEEMNQTNQEEAYYDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVIGGVGGTCVIRGCVPKKILVYGAAFGSELEDARNYGWELNEKLDFNWKKLLHKKTDEIIRLNGIYKRLLSNAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYSAKHILIATGSRAHRPPIPGQGLGITSDEALSLEDLPKHAVVFGGGYIAVEFASIWRGLGANVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPQTNLTELIKTDNGIKVTTDHGEELIADVVLFATGRLPNSKRLNLEAVGVELDNTGAVKVFC >KJB30154 pep chromosome:Graimondii2_0_v6:5:32423600:32433501:-1 gene:B456_005G131500 transcript:KJB30154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLVDEEMNQTNQEEAYYDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVIGGVGGTCVIRGCVPKKILVYGAAFGSELEDARNYGWELNEKLDFNWKKLLHKKTDEIIRLNGIYKRLLSNAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYSAKHILIATGSRAHRPPIPGQGLGITSDEALSLEDLPKHAVVFGGGYIAVEFASIWRGLGANVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPQTNLTELIKTDNGIKVTTDHGEELIADVVLFATGGRLPNSKRLNLEAVGVELDNTGAVKVDEYSRTSIPSIWAVGDVTNRMNLTPVALMEGTCFAKTVFGKEPSKPDYSHVPCAVFSIPPLSVVGLSEEQAIEQANGDVLVFTSTFNPMKNTVSGRQEKTVMKLVVDAETDKVLGASMCGPDAPEIMQGIAVALKCGATKAQFDSTVGIHPSAAEEFVTMRSVSRRITCSGKPKTNL >KJB30156 pep chromosome:Graimondii2_0_v6:5:32423600:32436226:-1 gene:B456_005G131500 transcript:KJB30156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLVDEEMNQTNQEEAYYDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVIGGVGGTCVIRGCVPKKILVYGAAFGSELEDARNYGWELNEKLDFNWKKLLHKKTDEIIRLNGIYKRLLSNAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYSAKHILIATGSRAHRPPIPGQGLGITSDEALSLEDLPKHAVVFGGGYIAVEFASIWRGLGANVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPQTNLTELIKTDNGIKVTTDHGEELIADVVLFATGRLPNSKRLNLEAVGVELDNTGAVKVDEYSRTSIPSIWAVGDVTNRMNLTPVALMEGTCFAKTVFGKEPSKPDYSHVPCAVFSIPPLSVVGLSEEQAIEQANGDVLVFTSTFNPMKNTVSGRQEKTVMKLVVDAETDKVLGASMCGPDAPEIMQGIAVALKCGATKAQFDSTVGIHPSAAEEFVTMRSVSRRITCSGKPKTNL >KJB30155 pep chromosome:Graimondii2_0_v6:5:32423600:32436314:-1 gene:B456_005G131500 transcript:KJB30155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLVDEEMNQTNQEEAYYDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVIGGVGGTCVIRGCVPKKILVYGAAFGSELEDARNYGWELNEKLDFNWKKLLHKKTDEIIRLNGIYKRLLSNAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYSAKHILIATGSRAHRPPIPGQGLGITSDEALSLEDLPKHAVVFGGGYIAVEFASIWRGLGANVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPQTNLTELIKTDNGIKVTTDHGEELIADVVLFATGRLPNSKRLNLEAVGVELDNTGAVKVDEYSRTSIPSIWAVGDVTNRMNLTPVALMEGTCFAKTVFGKEPSKPDYSHVPCAVFSIPPLSVVGLSEEQAIEQANGDVLVFTSTFNPMKNTVSGRQEKTVMKLVVDAETDKVLGASMCGPDAPEIMQGIAVALKCGATKAQFDSTVGIHPSAAEEFVTMRSVSRRITCSGKPKTNL >KJB30160 pep chromosome:Graimondii2_0_v6:5:32425381:32433501:-1 gene:B456_005G131500 transcript:KJB30160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLVDEEMNQTNQEEAYYDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVIGGVGGTCVIRGCVPKKILVYGAAFGSELEDARNYGWELNEKLDFNWKKLLHKKTDEIIRLNGIYKRLLSNAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYSAKHILIATGSRAHRPPIPGQGLGITSDEALSLEDLPKHAVVFGGGYIAVEFASIWRGLGANVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPQTNLTELIKTDNGIKVTTDHGEELIADVVLFATGRLPNSKRLNLEAVGVELDNTGAVKVDEYSRTSIPSIWAVGDVTNRMNLTPVALMEGTCFAKTVFGKEPSKPDYSHVPCAVFSIPPLSVVGLSEEQAIEQANGDVLVFTSTFNPMKNTVSGRQEKTVMKLVVDAETDKVLGASMCGPDAPEIMQVLCLLVLLKYIRVSICPYKY >KJB30161 pep chromosome:Graimondii2_0_v6:5:32425454:32433501:-1 gene:B456_005G131500 transcript:KJB30161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLVDEEMNQTNQEEAYYDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVIGGVGGTCVIRGCVPKKILVYGAAFGSELEDARNYGWELNEKLDFNWKKLLHKKTDEIIRLNGIYKRLLSNAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYSAKHILIATGSRAHRPPIPGQGLGITSDEALSLEDLPKHAVVFGGGYIAVEFASIWRGLGANVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPQTNLTELIKTDNGIKVTTDHGEELIADVVLFATGRLPNSKRLNLEAVGVELDNTGAVKVDEYSRTSIPSIWAVGDVTNRMNLTPVALMEGTCFAKTVFGKEPSKPDYSHVPCAVFSIPPLSVVGLSEEQAIEQANGDVLVFTSTFNPMKNTVSGCT >KJB30162 pep chromosome:Graimondii2_0_v6:5:32426223:32433501:-1 gene:B456_005G131500 transcript:KJB30162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLVDEEMNQTNQEEAYYDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVIGGVGGTCVIRGCVPKKILVYGAAFGSELEDARNYGWELNEKLDFNWKKLLHKKTDEIIRLNGIYKRLLSNAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYSAKHILIATGSRAHRPPIPGQGLGITSDEALSLEDLPKHAVVFGGGYIAVEFASIWRGLGANVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPQTNLTELIKTDNGIKVTTDHGEELIADVVLFATGRLPNSKRLNLEAVGVELDNTGAVKVDEYSRTSIPSIWAVGDVTNRMNLTPVALMEGTCFAKTVFGKEPSKPDYSHVPCAVFSIPPLSVVGLSEEQAIEQANGDVLVFTSTFNPMKNTVSGYDDDFEMLLHRKINK >KJB30158 pep chromosome:Graimondii2_0_v6:5:32423600:32433583:-1 gene:B456_005G131500 transcript:KJB30158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLVDEEMNQTNQEEAYYDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVIGGVGGTCVIRGCVPKKILVYGAAFGSELEDARNYGWELNEKLDFNWKKLLHKKTDEIIRLNGIYKRLLSNAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYSAKHILIATGSRAHRPPIPGQGLGITSDEALSLEDLPKHAVVFGGGYIAVEFASIWRGLGANVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPQTNLTELIKTDNGIKVTTDHGEELIADVVLFATGRLPNSKRLNLEAVGVELDNTGAVKVDEYSRTSIPSIWAVGDVTNRMNLTPVALMEGTCFAKTVFGKEPSKPDYSHVPCAVFSIPPLSVVGLSEEQAIEQANGDVLVFTSTFNPMKNTVSGRQEKTVMKLVVDAETDKVLGASMCGPDAPEIMQGIAVALKCGATKAQFDSTVGIHPSAAEEFVTMRSVSRRITCSGKPKTNL >KJB30159 pep chromosome:Graimondii2_0_v6:5:32424642:32433501:-1 gene:B456_005G131500 transcript:KJB30159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLVDEEMNQTNQEEAYYDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVIGGVGGTCVIRGCVPKKILVYGAAFGSELEDARNYGWELNEKLDFNWKKLLHKKTDEIIRLNGIYKRLLSNAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYSAKHILIATGSRAHRPPIPGQGLGITSDEALSLEDLPKHAVVFGGGYIAVEFASIWRGLGANVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPQTNLTELIKTDNGIKVTTDHGEELIADVVLFATGRLPNSKRLNLEAVGVELDNTGAVKVDEYSRTSIPSIWAVGDVTNRMNLTPVALMEGTCFAKTVFGKEPSKPDYSHVPCAVFSIPPLSVVGLSEEQAIEQANGDVLVFTSTFNPMKNTVSGRQEKTVMKLVVDAETDKVLGASMCGPDAPEIMQVLWHCCCTEVWSD >KJB31937 pep chromosome:Graimondii2_0_v6:5:59731665:59736156:-1 gene:B456_005G215000 transcript:KJB31937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGNSLPSGPDGVKRKVLYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPVPARDRDLCRFHADDYVNFLHNVTPETQQDQLRQLKRFNVGEDCPVFDGLFSFCQTYAGGSIGGAVKLNHGFCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYSKGKYYSLNVPLDDGIDDESYHYLFKPIISKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGVEVDDKMPQHEYYEYFGPDYNLHVSPSNMENKNSRQLLLEIRNKLLDNLSKLEHAPSVQFQEREPDTELPEADEDQDDGDERWNSDSDMDIDEDRKLIIPSRVKREVIEPETRDPVCSMEVQIETIEQTRGFDTATNETGVDVSPMPIDVPTVKVE >KJB31941 pep chromosome:Graimondii2_0_v6:5:59732536:59735265:-1 gene:B456_005G215000 transcript:KJB31941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGNSLPSGPDGVKRKVLYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPVPARDRDLCRFHADDYVNFLHNVTPETQQDQLRQLKRFNVGEDCPVFDGLFSFCQTYAGGSIGGAVKLNHGFCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYSKGKYYSLNVPLDDGIDDESYHYLFKPIISKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGVEVDDKMPQHEYYEYFGPDYNLHVSPSNMENKNSRQLLLEIRNKLLDNLSKLEHAPSVQFQEREPDTELPEVLMKIRMMEMRDGTLIRTWILMRTVSSSFQVE >KJB31938 pep chromosome:Graimondii2_0_v6:5:59731660:59736156:-1 gene:B456_005G215000 transcript:KJB31938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGNSLPSGPDGVKRKVLYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPVPARDRDLCRFHADDYVNFLHNVTPETQQDQLRQLKRFNVGEDCPVFDGLFSFCQTYAGGSIGGAVKLNHGFCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYSKGKYYSLNVPLDDGIDDESYHYLFKPIISKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGVEVDDKMPQHEYYEYFGPDYNLHVSPSNMENKNSRQLLLEIRNKLLDNLSKLEHAPSVQFQEREPDTELPEADEDQDDGDERWNSDSDMDIDEDRKLIIPSRVKREVIEPETRDPVCSMGVDVSPMPIDVPTVKVE >KJB31939 pep chromosome:Graimondii2_0_v6:5:59731903:59736043:-1 gene:B456_005G215000 transcript:KJB31939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGNSLPSGPDGVKRKVLYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPVPARDRDLCRFHADDYVNFLHNVTPETQQDQLRQLKRFNVGEDCPVFDGLFSFCQTYAGGSIGGAVKLNHGFCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYSKGKYYSLNVPLDDGIDDESYHYLFKPIISKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGVEVDDKMPQHEYYEYFGPDYNLHVSPSNMENKNSRQLLLEIRNKLLDNLSKLEHAPSVQFQEREPDTELPEADEDQDDGDERWNSDSDMDIDEDRKLIIPSRVKREVIEPETRDPVCSMEVQIETIEQTRGFDTATNETGVDVSPMPIDVPTVKVE >KJB31936 pep chromosome:Graimondii2_0_v6:5:59731660:59736156:-1 gene:B456_005G215000 transcript:KJB31936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGNSLPSGPDGVKRKVLYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPVPARDRDLCRFHADDYVNFLHNVTPETQQDQLRQLKRFNVGEDCPVFDGLFSFCQTYAGGSIGGAVKLNHGFCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYSKGKYYSLNVPLDDGIDDESYHYLFKPIISKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGVEVDDKMPQHEYYEYFGPDYNLHVSPSNMENKNSRQLLLEIRNKLLDNLSKLEHAPSVQFQEREPDTELPEADEDQDDGDERWNSDSDMDIDEDRKLIIPSRVKREVIEPETRDPVCSMGVDVSPMPIDVPTVKVE >KJB31940 pep chromosome:Graimondii2_0_v6:5:59731859:59736043:-1 gene:B456_005G215000 transcript:KJB31940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGNSLPSGPDGVKRKVLYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPVPARDRDLCRFHADDYVNFLHNVTPETQQDQLRQLKRFNVGEDCPVFDGLFSFCQTYAGGSIGGAVKLNHGFCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYSKGKYYSLNVPLDDGIDDESYHYLFKPIISKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGVEVDDKMPQHEYYEYFGPDYNLHVSPSNMENKNSRQLLLEIRNKLLDNLSKLEHAPSVQFQEREPDTELPEADEDQDDGDERWNSDSDMDIDEDRKLIIPSRVKREVIEPETRDPVCSMVQIETIEQTRGFDTATNETGVDVSPMPIDVPTVKVE >KJB31601 pep chromosome:Graimondii2_0_v6:5:57133540:57136923:1 gene:B456_005G197100 transcript:KJB31601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAMVTALTQVMGSDEQLSFQQSPTPSSVQSEVKNEPAVQDQENTRKRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAALAYDRAALKFKGTKAKLNFPERVQGNREVSYLTGPGDSSTVHSAQNPTPVVAPSSWSQDSYPHLFQYAQLLSSSNDADISYYTSNLFNFNQEEPFSPQFSSMSASSTFSSQYYHQDLTRFSTNYDSSSGPDYPHHYGKDFDPSTRSE >KJB31518 pep chromosome:Graimondii2_0_v6:5:56469101:56473235:-1 gene:B456_005G194500 transcript:KJB31518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESLKKNSMEENLIPKLENEEKSNRRLSWAALVEEIKRVGYLAGPMVAVTLSQYLLQVISTMMVGHLGELALSSSAIAISLAGVTGFSLLLGMACALETLCGQAYGAQQYRKLGIHTYTAIFCLILVCIPLSVLWLYMGRLLVFLGQDPLISQEAGKFIMWLIPALFAYATFQPLVRYFQTQSLITPMLICSCASLVVHIPLCWALVFKSGLENIGGALAISISNWLNAIFLALYMWYSPTCTKTRAPVTMELFQGIREFFRFAIPSAVMICLEWWSFELLILLSGLLPNPELETSVLSVCSLNTIATLYAIPYGLGAAASTRVSNELGAGKPQAARVAVYAALTITVLETLIVSGSLFASRRVFGYVYSNEKEVVDYVTTMAPLVCVSVILDSLQGVLSGIARGCGWQHIGAYVNLGAFYLVGIPVAAILAFWLQLKGVGLWIGVQSGAFTQTILLAIVTSCINWENQAIKARERLFQGSSSIEYGTM >KJB31515 pep chromosome:Graimondii2_0_v6:5:56468856:56473335:-1 gene:B456_005G194500 transcript:KJB31515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESLKKNSMEENLIPKLENEEKSNRRLSWAALVEEIKRVGYLAGPMVAVTLSQYLLQVISTMMVGHLGELALSSSAIAISLAGVTGFSLLLGMACALETLCGQAYGAQQYRKLGIHTYTAIFCLILVCIPLSVLWLYMGRLLVFLGQDPLISQEAGKFIMWLIPALFAYATFQPLVRYFQTQSLITPMLICSCASLVVHIPLCWALVFKSGLENIGGALAISISNWLNAIFLALYMWYSPTCTKTRAPVTMELFQGIREFFRFAIPSAVMICLEWWSFELLILLSGLLPNPELETSVLSVCLNTIATLYAIPYGLGAAASTRVSNELGAGKPQAARVAVYAALTITVLETLIVSGSLFASRRVFGYVYSNEKEVVDYVTTMAPLVCVSVILDSLQGVLSGIARGCGWQHIGAYVNLGAFYLVGIPVAAILAFWLQLKGVGLWIGVQSGAFTQTILLAIVTSCINWENQAIKARERLFQGSSSIEYGTM >KJB31517 pep chromosome:Graimondii2_0_v6:5:56470143:56472375:-1 gene:B456_005G194500 transcript:KJB31517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESLKKNSMEENLIPKLENEEKSNRRLSWAALVEEIKRVGYLAGPMVAVTLSQYLLQVISTMMVGHLGELALSSSAIAISLAGVTGFSLLLGMACALETLCGQAYGAQQYRKLGIHTYTAIFCLILVCIPLSVLWLYMGRLLVFLGQDPLISQEAGKFIMWLIPALFAYATFQPLVRYFQTQSLITPMLICSCASLVVHIPLCWALVFKSGLENIGGALAISISNWLNAIFLALYMWYSPTCTKTRAPVTMELFQGIREFFRFAIPSAVMICLEWWSFELLILLSGLLPNPELETSVLSVCLNTIATLYAIPYGLGAAARSALICLHRPIL >KJB31519 pep chromosome:Graimondii2_0_v6:5:56469101:56473235:-1 gene:B456_005G194500 transcript:KJB31519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESLKKNSMEENLIPKLENEEKSNRRLSWAALVEEIKRVGYLAGPMVAVTLSQYLLQVISTMMVGHLGELALSSSAIAISLAGVTGFSLLLGMACALETLCGQAYGAQQYRKLGIHTYTAIFCLILVCIPLSVLWLYMGRLLVFLGQDPLISQEAGKFIMWLIPALFAYATFQPLVRYFQTQSLITPMLICSCASLVVHIPLCWALVFKSGLENIGGALAISISNWLNAIFLALYMWYSPTCTKTRAPVTMELFQGIREFFRFAIPSAVMICLEWWSFELLILLSGLLPNPELETSVLSVCLNTIATLYAIPYGLGAAASTRVSNELGAGKPQAARVAVYAALTITVLETLIVSGSLFASRRVFGYVYSNEKEVVDYVTTMAPLVCVSVILDSLQGVLSG >KJB31516 pep chromosome:Graimondii2_0_v6:5:56469007:56473267:-1 gene:B456_005G194500 transcript:KJB31516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESLKKNSMEENLIPKLENEEKSNRRLSWAALVEEIKRVGYLAGPMVAVTLSQYLLQVISTMMVGHLGELALSSSAIAISLAGVTGFSLLLGMACALETLCGQAYGAQQYRKLGIHTYTAIFCLILVCIPLSVLWLYMGRLLVFLGQDPLISQEAGKFIMWLIPALFAYATFQPLVRYFQTQSLITPMLICSCASLVVHIPLCWALVFKSGLENIGGALAISISNWLNAIFLALYMWYSPTCTKTRAPVTMELFQGIREFFRFAIPSAVMICLEWWSFELLILLSGLLPNPELETSVLSVCLNTIATLYAIPYGLGAAASTRVSNELGAGKPQAARVAVYAALTITVLETLIVSGSLFASRRVFGYVYSNEKEVVDYVTTMAPLVCVSVILDSLQGVLSGIARGCGWQHIGAYVNLGAFYLVGIPVAAILAFWLQLKGVGLWIGVQSGAFTQTILLAIVTSCINWENQAIKARERLFQGSSSIEYGTM >KJB28448 pep chromosome:Graimondii2_0_v6:5:4760203:4762947:1 gene:B456_005G049000 transcript:KJB28448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKSGSSYSDMYRRFKPHILMVLSQFGYTFLYIFTEACFNHGMNPHVHITYRHVVAGIVMFPFAYFLERKKRPKLTFALFLEIFVLSILGVSLTLNMYFVSLRYTSPTFLAALVNTIASLTFIIAVVFRLEVVNLRNPRGIAKVIGTFVSLVGVTMMTLYKGPAVKILGPPLVQIQGKSPIHENWLKGSILIVASCLTWSILYIMQAFTLKRYPAPLSLTTWMSFVGAAQSAVFTVIVNHKPASWKMGFNIDFWATLYAGVVCSGLIIFIQLWCTEVKGPVFVTMFNPLSTLLVALLGYFVLGERLYMGSILGGAIVIIGLYLVLWGKDRDQEAQIGTAELPYLAYDHKNDTKAHKILSVEREAPPSEP >KJB28449 pep chromosome:Graimondii2_0_v6:5:4760572:4762947:1 gene:B456_005G049000 transcript:KJB28449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKSGSSYSDMYRRFKPHILMVLSQFGYTFLYIFTEACFNHGMNPHVHITYRHVVAGIVMFPFAYFLERKKRPKLTFALFLEIFVLSILGVSLTLNMYFVSLRYTSPTFLAALVNTIASLTFIIAVVFRLEVVNLRNPRGIAKVIGTFVSLVGVTMMTLYKGPAVKILGPPLVQIQGKSPIHENWLKGSILIVASCLTWSILYIMQAFTLKRYPAPLSLTTWMSFVGAAQSAVFTVIVNHKPASWKMGFNIDFWATLYAGVVCSGLIIFIQLWCTEVKGPVFVTMFNPLSTLLVALLGYFVLGERLYMGSILGGAIVIIGLYLVLWGKDRDQEAQIGTAELPYLAYDHKNDTKAHKILSVEREAPPSEP >KJB28450 pep chromosome:Graimondii2_0_v6:5:4761072:4762959:1 gene:B456_005G049000 transcript:KJB28450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKSGSSYSDMYRRFKPHILMVLSQFGYTFLYIFTEACFNHGMNPHVHITYRHVVAGIVMFPFAYFLERKKRPKLTFALFLEIFVLSILGVSLTLNMYFVSLRYTSPTFLAALVNTIASLTFIIAVVFRLEVVNLRNPRGIAKVIGTFVSLVGVTMMTLYKGPAVKILGPPLVQIQGKSPIHENWLKGSILIVASCLTWSILYIMQAFTLKRYPAPLSLTTWMSFVGAAQSAVFTVIVNHKPASWKMGFNIDFWATLYAGVVCSGLIIFIQLWCTEVKGPVFVTMFNPLSTLLVALLGYFVLGERLYMGSILGGAIVIIGLYLVLWGKDRDQEAQIGTAELPYLAYDHKNDTKAHKILSVEREAPPSEP >KJB30177 pep chromosome:Graimondii2_0_v6:5:33056447:33059122:1 gene:B456_005G132500 transcript:KJB30177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQPQIYELNNGTVVVKITNYGCTITSLSVPDKNGKLADVVLGFDTVEPYVKGTSPYFGCIVGRVANRIKNGKFTLNGTGYSLPINKPPNSLHGGFKGFDKRIWEVAEYKKGDKPSITFKYRSSDGEEGYPGDVSVTATYTLTSSMTMKLDMEAVPENKATPINLAQHTYWNLAGHNSGNILDHTIQIWASEMTPVDENTVPTGEIKPVKGTPFDFTAEKKVGLQVHEVGLGYDHNYVLGGGEEKLGLKRAAKLKDPSSSRVLNLWTNAPGMQFYTGNYVNGVVGKGGAVYNKHAGLCLETQGFPNAINQSNFPSVVVQPGSKYQHTMLFEFSVE >KJB30178 pep chromosome:Graimondii2_0_v6:5:33056449:33059111:1 gene:B456_005G132500 transcript:KJB30178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQPQIYELNNGTVVVKITNYGCTITSLSVPDKNGKLADVVLGFDTVEPYVKGTSPYFGCIVGRVANRIKNGKFTLNGTGYSLPINKPPNSLHGGFKGFDKRIWEVAEYKKGDKPSITFKYRSSDGYPGDVSVTATYTLTSSMTMKLDMEAVPENKATPINLAQHTYWNLAGHNSGNILDHTIQIWASEMTPVDENTVPTGEIKPVKGTPFDFTAEKKVGLQVHEVGLGYDHNYVLGGGEEKLGLKRAAKLKDPSSSRVLNLWTNAPGMQFYTGNYVNGVVGKGGAVYNKHAGLCLETQGFPNAINQSNFPSVVVQPGSKYQHTMLFEFSVE >KJB30179 pep chromosome:Graimondii2_0_v6:5:33056521:33059111:1 gene:B456_005G132500 transcript:KJB30179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDQKGTSPYFGCIVGRVANRIKNGKFTLNGTGYSLPINKPPNSLHGGFKGFDKRIWEVAEYKKGDKPSITFKYRSSDGEEGYPGDVSVTATYTLTSSMTMKLDMEAVPENKATPINLAQHTYWNLAGHNSGNILDHTIQIWASEMTPVDENTVPTGEIKPVKGTPFDFTAEKKVGLQVHEVGLGYDHNYVLGGGEEKLGLKRAAKLKDPSSSRVLNLWTNAPGMQFYTGNYVNGVVGKGGAVYNKHAGLCLETQGFPNAINQSNFPSVVVQPGSKYQHTMLFEFSVE >KJB30925 pep chromosome:Graimondii2_0_v6:5:49341178:49344281:-1 gene:B456_005G168100 transcript:KJB30925 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM20-2 [Source:Projected from Arabidopsis thaliana (AT1G27390) UniProtKB/TrEMBL;Acc:A0A178W7F2] MEFSQADFDRLLVAEHTRKTSEVNYAKDPLDAENLTKWGGALLELAQFQTVSDAKQMINDAISKLDEALMINPSKHETLWCMGNALSTTAFMTTDSDEAKVSFDKAAQFFQRAVDADPGNELYQKSLEVAAKAPELHMDFQKAAASQQGMGGASSASSTSTAAKKKKSSDLKYDIFGWIILAVGIFAWVGMAKSHLPPPPPR >KJB30923 pep chromosome:Graimondii2_0_v6:5:49341772:49343790:-1 gene:B456_005G168100 transcript:KJB30923 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM20-2 [Source:Projected from Arabidopsis thaliana (AT1G27390) UniProtKB/TrEMBL;Acc:A0A178W7F2] MGRGFTRISSISDCFRCKADDKWYSTMFLSLFLLLVFAHAMNIDHLDAISKLDEALMINPSKHETLWCMGNALSTTAFMTTDSDEAKVSFDKAAQFFQRAVDADPGNELYQKSLEVAAKAPELHMDFQKAAASQQGMGGASSASSTSTAAKKKKSSDLKYDIFGWIILAVGIFAWVGMAKSHLPPPPPR >KJB30924 pep chromosome:Graimondii2_0_v6:5:49341178:49344271:-1 gene:B456_005G168100 transcript:KJB30924 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM20-2 [Source:Projected from Arabidopsis thaliana (AT1G27390) UniProtKB/TrEMBL;Acc:A0A178W7F2] MEFSQADFDRLLVAEHTRKTSEVNYAKDPLDAENLTKWGGALLELAQFQTVSDAKQMINDAISKLDEALMINPSKHETLWCMGNALSTTAFMTTDSDEAKVSFDKAAQFFQRAVDAAMSFIKSLWKLQLRLQSYIWTSKRLQLANRVWVGHLLLLQLQLLPRRRRAVI >KJB30926 pep chromosome:Graimondii2_0_v6:5:49342133:49344271:-1 gene:B456_005G168100 transcript:KJB30926 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM20-2 [Source:Projected from Arabidopsis thaliana (AT1G27390) UniProtKB/TrEMBL;Acc:A0A178W7F2] MEFSQADFDRLLVAEHTRKTSEVNYAKDPLDAENLTKWGGALLELAQFQTVSDAKQMINDAISKLDEALMINPSKHETLWCMGNALSTTAFMTTDSDEAKVSFDKAAQFFQRAVDADPGNELYQKSLEVAAKAPELHMDFQKAAASQQGMGGASSASSTSTVSLKTWQLGLAYSCSRDWQ >KJB27685 pep chromosome:Graimondii2_0_v6:5:266707:271894:-1 gene:B456_005G004800 transcript:KJB27685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENGNAAALHTAINAVQALGRGFDVNYDTRLLYCKGVMGSRIVEIDEEHVRDIYLDDQIVLPNISRDIRSSKESIGRRSSGVCNFHEMVEYFNKKANVSGGFALGSFNSVFSFTGSTNIDAATTKMLSMDGFYIPLAKLQLTRSPLVLQEKVKRAVPTSWDPSSLASFIENFGTHVVTSVTIGGKDVIYIKQHHSSPLSTMEIKNYVQDIGNQRFSDKESHTSSGQIKLKDKGLDPGLFNSQGIYPQPSNAPCLNGKEDVTVIFRRRGGDDLEQNHTQWAKTVRSSPDVIEMTFYPITALLDGVAGKEHLTRAISLYLEYKPPVEELRYFLEFQIPRIWAPVQDKIPGHQRKEPVCPSLQFSMMGQKLYVSQEQVSVGRKPVTGLQLRLEGIKQNRLSIHLQHLASLPKILLPHWDTHVAIGAPKWQGPEEQDSRWFEPVKWKNFSHVSTAPIENPETFIGDLSGVYIVTGAQLGVWNFGSRNVLYMRLLYSRLPCCTIRRSLWDHCPNDKLKKVVATIGTTNSGDSSSGSQENVVNKLAKFVDMSEMSKGPQDPPGHWLVTGGKLGVEKGKIILRVKYSLLNY >KJB27684 pep chromosome:Graimondii2_0_v6:5:266707:270320:-1 gene:B456_005G004800 transcript:KJB27684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEYFNKKANVSGGFALGSFNSVFSFTGSTNIDAATTKMLSMDGFYIPLAKLQLTRSPLVLQEKVKRAVPTSWDPSSLASFIENFGTHVVTSVTIGGKDVIYIKQHHSSPLSTMEIKNYVQDIGNQRFSDKESHTSSGQIKLKDKGLDPGLFNSQGIYPQPSNAPCLNGKEDVTVIFRRRGGDDLEQNHTQWAKTVRSSPDVIEMTFYPITALLDGVAGKEHLTRAISLYLEYKPPVEELRYFLEFQIPRIWAPVQDKIPGHQRKEPVCPSLQFSMMGQKLYVSQEQVSVGRKPVTGLQLRLEGIKQNRLSIHLQHLASLPKILLPHWDTHVAIGAPKWQGPEEQDSRWFEPVKWKNFSHVSTAPIENPETFIGDLSGVYIVTGAQLGVWNFGSRNVLYMRLLYSRLPCCTIRRSLWDHCPNDKLKKVVATIGTTNSGDSSSGSQENVVNKLAKFVDMSEMSKGPQDPPGHWLVTGGKLGVEKGKIILRVKYSLLNY >KJB32486 pep chromosome:Graimondii2_0_v6:5:62355218:62356069:1 gene:B456_005G242700 transcript:KJB32486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSNKSIVVRRVFAEDLDNELWLIRAAILRYPFVFMDTEFPGTIFKPSKQVVFEDNPVINYHYMKSNVDALQIIQLGLSLSDAQGNLPDFDSPFRYVWEFNFKDFNINRDHYASTSIELLKRQGIDFEKNKEKGIDSRDFAKKLWDYGLVFNCYGLNGITWITFHGAYDFGFMLKMLTQSPLPLDLHSFVHQLAYFFGYNVFDLKHTFKLLGLLGGLEKIAQTLKVTRIVGSSHQAGSDSLLMLQCFMKLKSKKIFESEWNEANQILLSPLALYGLVQIIG >KJB28326 pep chromosome:Graimondii2_0_v6:5:4003202:4009425:-1 gene:B456_005G042100 transcript:KJB28326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSSKIFCCFSFLFSTLSIIPLSHQLEQHPLDSLTPNEFIRVQAIVNQSHPTTTNDITFQYIGLNEPDKQALISWLQNHRTTPITAPPPRQAFVIARINHQTHELVVDLLYDNIVSDHIHHGFGYPLLTFEEQNGASQLVFNHAPFLAALNKRGLKVAEVICETFAIGWFGETKQNGRVVKVMCYYLDGTVNLYMRPIEAITVTVDLEAMKITHFRDRLVVPVPKAAGTDYRESEQKEPFGPELKGITVVQPDGPSFIIDGSRVRWANWDFHLSFDARVGPIVSLASIYDTEKQEFRRVMYRGFVSELFVPYMDLTEEWYYRTFFDAGEYGYGLCAVPLEPLRDCPANAVYMGAYVAAQNGMPIEMPNVFCIFERNAGDVMWRHTETMIPPDLITEVRPDMSLVVRMVSTVGNYDYINDWEFKQIGSIKVTVGLTGLLEVRGSKYTHKDQIDEEVYGTLLAENTLGAYHDHFITYHLDLDVDGCE >KJB28325 pep chromosome:Graimondii2_0_v6:5:4003202:4009425:-1 gene:B456_005G042100 transcript:KJB28325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSSKIFCCFSFLFSTLSIIPLSHQLEQHPLDSLTPNEFIRVQAIVNQSHPTTTNDITFQYIGLNEPDKQALISWLQNHRTTPITAPPPRQAFVIARINHQTHELVVDLLYDNIVSDHIHHGFGYPLLTFEEQNGASQLVFNHAPFLAALNKRGLKVAEVICETFAIGWFGETKQNVDLEAMKITHFRDRLVVPVPKAAGTDYRESEQKEPFGPELKGITVVQPDGPSFIIDGSRVRWANWDFHLSFDARVGPIVSLASIYDTEKQEFRRVMYRGFVSELFVPYMDLTEEWYYRTFFDAGEYGYGLCAVPLEPLRDCPANAVYMGAYVAAQNGMPIEMPNVFCIFERNAGDVMWRHTETMIPPDLITEVRPDMSLVVRMVSTVGNYDYINDWEFKQIGSIKVTVGLTGLLEVRGSKYTHKDQIDEEVYGTLLAENTLGAYHDHFITYHLDLDVDGESNSFVKSKLQTVKVTDQTSPRKSYWKVVSETAKTESDAKIKLGSDSAELLVVNPNKRTKMGNFVGYRLIPGSVASPLMTDDDYPQIRAAFTEYNVWVTPYNKSEKWAGGIYIDQSRGDDTLATWTSRNRRIENKDIVLWYTLGFHHVPYQEDFPLMPTISTGFELRPANFFEYNPVLKVKGPSNVRWPNCSVSSSGLK >KJB28324 pep chromosome:Graimondii2_0_v6:5:4003202:4008084:-1 gene:B456_005G042100 transcript:KJB28324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSLKILFFLFSILSIIPLSHQLEQHPLDSLTPNEFIRVQAIVNQSHPTSTTNLTFQYIGLNEPDKHAVLSWLQNHPTTTPPRRQAFVVARINHQTHELIIDFSRDDIVSDRIHHGFGYPSLTFQEEIDANQLVFNHAPFLAALNKRGLKLEEVVCGSFTVGWFGETKQNGRVVKIMCYYLDGTVNLYMRPIEAITVTVDLEAMKINHFQDRLVVPVPKAAGTDYRESKQKPPFGPELKGITVVQPDGPSFTIDGSRVRWANWDFHLSFDARVGPIVSLASIYDTEKQEFRRVMYRGFVSELFVPYMDLTEEWYYRTFFDAGEYGYGLCAVPLEPLRDCPANAVYMGAYVAAQNGMPIEMPNVFCIFERNAGDVMWRHTETMIPPDLITEVRPDMSLVVRMVSTVGNYDYINDWEFKQIGSIKVTVGLTGLLEVRGSKYTHKDQIDEEVYGTLLAENTLGAYHDHFITYHLDLDVDGESNSFVKSKLQTVKVTDQTSPRKSYWKVVSETAKTESDAKIKLGSDSAELLVVNPNKRTKMGNFVGYRLIPGSVASPLMTDDDYPQIRAAFTEYNVWVTPYNKSEKWAGGIYIDQSRGDDTLATWTSRNRRIENKDIVLWYTLGFHHVPYQEDFPLMPTISTGFELRPANFFEYNPVLKVKGPSNVRWPNCSVSSSGLK >KJB28328 pep chromosome:Graimondii2_0_v6:5:4003202:4009455:-1 gene:B456_005G042100 transcript:KJB28328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSSKIFCCFSFLFSTLSIIPLSHQLEQHPLDSLTPNEFIRVQAIVNQSHPTTTNDITFQYIGLNEPDKQALISWLQNHRTTPITAPPPRQAFVIARINHQTHELVVDLLYDNIVSDHIHHGFGYPLLTFEEQNGASQLVFNHAPFLAALNKRGLKVAEVICETFAIGWFGETKQNGRVVKVMCYYLDGTVNLYMRPIEAITVTVDLEAMKITHFRDRLVVPVPKAAGTDYRESEQKEPFGPELKGITVVQPDGPSFIIDGSRVRWANWDFHLSFDARVGPIVSLASIYDTEKQEFRRVMYRGFVSELFVPYMDLTEEWYYRTFFDAGEYGYGLCAVPLEPLRDCPANAVYMGAYVAAQNGMPIEMPNVFCIFERNAGDVMWRHTETMIPPDLITEVRPDMSLVVRMVSTVGNYDYINDWEFKQIGSIKVTVGLTGLLEVRGSKYTHKDQIDEEVYGTLLAENTLGAYHDHFITYHLDLDVDGESNSFVKSKLQTVKVTDQTSPRKSYWKVVSETAKTESDAKIKLGSDSAELLVVNPNKRTKMGNFVGYRLIPGSVASPLMTDDDYPQIRAAFTEYNVWVTPYNKSEKWAGGIYIDQSRGDDTLATWTSRNRRIENKDIVLWYTLGFHHVPYQEDFPLMPTISTGFELRPANFFEYNPVLKVKGPSNVRWPNCSVSSSGLK >KJB28329 pep chromosome:Graimondii2_0_v6:5:4004169:4009425:-1 gene:B456_005G042100 transcript:KJB28329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSSKIFCCFSFLFSTLSIIPLSHQLEQHPLDSLTPNEFIRVQAIVNQSHPTTTNDITFQYIGLNEPDKQALISWLQNHRTTPITAPPPRQAFVIARINHQTHELVVDLLYDNIVSDHIHHGFGYPLLTFEEQNGASQLVFNHAPFLAALNKRGLKVAEVICETFAIGWFGETKQNGRVVKVMCYYLDGTVNLYMRPIEAITVTVDLEAMKITHFRDRLVVPVPKAAGTDYRESEQKEPFGPELKGITVVQPDGPSFIIDGSRVRWANWDFHLSFDARVGPIVSLASIYDTEKQEFRRVMYRGFVSELFVPYMDLTEEWYYRTFFDAGEYGYGLCAVPLEPLRDCPANAVYMGAYVAAQNGMPIEMPNVFCIFERNAGDVMWRHTETMIPPDLITEVRPDMSLVVRMVSTVGNYDYINDWEFKQIGSIKVTVGLTGLLEVRGSKYTHKDQIDEEVYGTLLAENTLGAYHDHFITYHLDLDVDGESNSFVKSKLQTVKVTDQTSPRKSYWKVVSETAKTESDAKIKLGSDSAELLVVNPNKRTKMGNFVGYRLIPGSVASPLMTDDDYPQIRAAFTEYNVWVTPYNKSEKWAGGIYIDQSRGDDTLATWTSRYVVLLKMGLIRVFILVPC >KJB28327 pep chromosome:Graimondii2_0_v6:5:4003202:4009425:-1 gene:B456_005G042100 transcript:KJB28327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSSKIFCCFSFLFSTLSIIPLSHQLEQHPLDSLTPNEFIRVQAIVNQSHPTTTNDITFQYIGLNEPDKQALISWLQNHRTTPITAPPPRQAFVIARINHQTHELVVDLLYDNIVSDHIHHGFGYPLLTFEEQNGASQLVFNHAPFLAALNKRGLKVAEVICETFAIGWFGETKQNGRVVKVMCYYLDGTVNLYMRPIEAITVTVDLEAMKITHFRDRLVVPVPKAAGTDYRESEQKEPFGPELKGITVVQPDGPSFIIDGSRVRWANWDFHLSFDARVGPIVSLASIYDTEKQEFRRVMYRGFVSELFVPYMDLTEEWYYRTFFDAGEYGYGLCAVPLEPLRDCPANAVYMGAYVAAQNGMPIEMPNVFCIFERNAGDVMWRHTETMIPPDLITEVGLTGLLEVRGSKYTHKDQIDEEVYGTLLAENTLGAYHDHFITYHLDLDVDGESNSFVKSKLQTVKVTDQTSPRKSYWKVVSETAKTESDAKIKLGSDSAELLVVNPNKRTKMGNFVGYRLIPGSVASPLMTDDDYPQIRAAFTEYNVWVTPYNKSEKWAGGIYIDQSRGDDTLATWTSRNRRIENKDIVLWYTLGFHHVPYQEDFPLMPTISTGFELRPANFFEYNPVLKVKGPSNVRWPNCSVSSSGLK >KJB32103 pep chromosome:Graimondii2_0_v6:5:60606937:60608229:-1 gene:B456_005G224100 transcript:KJB32103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQIQTRKLIKPSSPTPLHLRELKLSCFDQIAPPAFGSFIFFFKAPINGHQTLKKLESSLSDVLTKFYPFAGRFIQDRNAIDCNDQGAEYLEARVSVSLNQFILEELDVKLVNLLVPYPNELVFTPTILAVQINEFDCGGLAIGTSFSHKFADGFTIFGFMNGWATCCRIGVDAVKCLSFETESLLPDGFKPTYKIPVPDDNLDTLITKRFIFTASTIAALKAKVGVGQFSRAQLLIALIWKVRISMAKKKDSLQIFPYNFRGKTALPIPSNGAGNLFINILVRFTANDDRNPDLLHLVNLVGNELRNAAESFGKAETAEDLFLSATNSSREIHETLSKGDTEICILTSLSKFPYYEADFGWGMPGWIASVHKDVEMVLLMDTRFDGGVEAWVTLEPDNMVRFEQDPDILAYTCKPSDYFTRCLLGSEN >KJB27674 pep chromosome:Graimondii2_0_v6:5:4944349:4947387:-1 gene:B456_005G050200 transcript:KJB27674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEPVRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDAVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEQHAAPNCKVLVVANPANTNALILKEFAPSIPAKNITCLTRLDHNRALGQISEKLNVQVSDVKNVIIWGNHSSTQYPDVNHATVMTPSGEKPVRELVKDDAWLNGEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPEGTWVSMGVYSDGSYNAPAGVIYSFPVTCKNGEWTIVQGLAIDEFSRKKLDLTGVELTEEKELAYSCLS >KJB27676 pep chromosome:Graimondii2_0_v6:5:4945019:4947336:-1 gene:B456_005G050200 transcript:KJB27676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEPVRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDAVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEQHAAPNCKVLVVANPANTNALILKEFAPSIPAKNITCLTRLDHNRALGQISEKLNVQVSDVKNVIIWGNHSSTQYPDVNHATVMTPSGEKPVRELVKDDAWLNGEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPEGTWVSMGVYSDGSYNAPAGVIYSFPVTCKNGEWTIVQGKNTGKYDPITC >KJB27673 pep chromosome:Graimondii2_0_v6:5:4944349:4947336:-1 gene:B456_005G050200 transcript:KJB27673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEPVRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDAVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEQHAAPNCKEFAPSIPAKNITCLTRLDHNRALGQISEKLNVQVSDVKNVIIWGNHSSTQYPDVNHATVMTPSGEKPVRELVKDDAWLNGEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPEGTWVSMGVYSDGSYNAPAGVIYSFPVTCKNGEWTIVQGLAIDEFSRKKLDLTGVELTEEKELAYSCLS >KJB27672 pep chromosome:Graimondii2_0_v6:5:4945574:4947216:-1 gene:B456_005G050200 transcript:KJB27672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEPVRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDAVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEQHAAPNCKVLVVANPANTNALILKEFAPSIPAKNITCLTRLDHNRALGQISEKLNVQVSDVKNVIIWGNHSSTQYPDVNHATVMTPSGEKPVRELVKDDAW >KJB27675 pep chromosome:Graimondii2_0_v6:5:4944394:4947336:-1 gene:B456_005G050200 transcript:KJB27675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEPVRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDAVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEQHAAPNCKVLVVANPANTNALILKEFAPSIPAKNITCLTRLDHNRALGQISEKLNVQVSDVKNVIIWGNHSSTQYPDVNHATVMTPSGEKPVRELVKDDAWLNGEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPEGTWVSMGVYSDGSYNAPAGVIYSFPVTCKNGEWTIVQGLAIDEFSRKKLDLTGVELTEEKELAYSCLS >KJB28661 pep chromosome:Graimondii2_0_v6:5:6382089:6384563:1 gene:B456_005G061200 transcript:KJB28661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEEAKRSISGALTVKPATDERNADMKDDMQKEAVNIAIAAFEKNNVEKDVAEHIKKEFDKRHGPTWHCIVGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >KJB28660 pep chromosome:Graimondii2_0_v6:5:6382028:6384594:1 gene:B456_005G061200 transcript:KJB28660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEEAKRSISGALTVKPATDERKPAPALTAESTTLAAKKVVIKSADMKDDMQKEAVNIAIAAFEKNNVEKDVAEHIKKEFDKRHGPTWHCIVGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >KJB29852 pep chromosome:Graimondii2_0_v6:5:25639288:25641219:1 gene:B456_005G121300 transcript:KJB29852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEDSIAQEQPSKVAQENGKVFLSEPTAVEKSSSYREESDFLSDLKDSEKKALFELKTQLEEAILGNCLLKKEDQPEKNDGNVMKAEKGDEEDQPEDAGEEKATQQCEEKKFEEINNILCLWGVPLLPSKGREATDVILLKFLRAREFKVNDAFEMLKNTLQWRKDGNIDSVLEEEFGAELGSAAYMNGIDKEGHPVCYNIYGVFEDEELYKKTFGTDENRRHFLRWRFQLMEKGIQKLDLRPGGVTSLLQINDLKNSPGPSRKELRIAMKQAVGALQDNYPEYVARNIFINVPFWFYALNALLSPFLTQRSKSKFVVARPAKVTDTLLKYIPAEEVPVQYGGFKRENDFEFSDQDAAVSEISIKAGSTVTIEIPAEEVGSTSIWELMVLNWEVNYKAEFVPFDQGSYTIIVQKSKKMVSQSLEEGPIRNTFINNESGKLILTIDNTSSKKKRLLYRYKTKKSSSL >KJB31204 pep chromosome:Graimondii2_0_v6:5:53068896:53071540:-1 gene:B456_005G180800 transcript:KJB31204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADTATLSYWLNWRFSLCALFILTTMAVAAIIIWKFEGRKKSEHEDRDNDKEAPGHLYEDEAWNTCLRSIHPAWLLSFRVFAFIMLLALLLANVAIDGSGIFYFYTQWTFTLITIYFGFGSAISVYGCQKHWGKVGGDRGDHLSLDSEHGSYMPPILGEAANVSNQCKHFDAHRAPHCPPRAGVWTYAFQIIYQTSAGAVILTDSVFWFILFPLLKSKDYGLNFMERS >KJB31202 pep chromosome:Graimondii2_0_v6:5:53067745:53071725:-1 gene:B456_005G180800 transcript:KJB31202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADTATLSYWLNWRFSLCALFILTTMAVAAIIIWKFEGRKKSEHEDRDNDKEAPGHLYEDEAWNTCLRSIHPAWLLSFRVFAFIMLLALLLANVAIDGSGIFYFYTQWTFTLITIYFGFGSAISVYGCQKHWGKVGGDRGDHLSLDSEHGSYMPPILGEAANVSNQCKHFDAHRAPHCPPRAGVWTYAFQIIYQTSAGAVILTDSVFWFILFPLLKSKDYGLNFLIVCMHSINVVFLLGDTILNCMRFPFFRIAYFVLWTGTFVVFQWIIHACINLWWPYPFLDLSSPYAPLWYLGVGLMHIPCYGIFALIIKLKTFSFSRSSPESFRKRT >KJB31203 pep chromosome:Graimondii2_0_v6:5:53067785:53070957:-1 gene:B456_005G180800 transcript:KJB31203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITNGASRSNLLSFLTGSSTHTWQPVMTADTATLSYWLNWRFSLCALFILTTMAVAAIIIWKFEGRKKSEHEDRDNDKEAPGHLYEDEAWNTCLRSIHPAWLLSFRVFAFIMLLALLLANVAIDGSGIFYFYTQWTFTLITIYFGFGSAISVYGCQKHWGKVGGDRGDHLSLDSEHGSYMPPILGEAANVSNQCKHFDAHRAPHCPPRAGVWTYAFQIIYQTSAGAVILTDSVFWFILFPLLKSKDYGLNFLIVCMHSINVVFLLGDTILNCMRFPFFRIAYFVLWTGTFVVFQWIIHACINLWWPYPFLDLSSPYAPLWYLGVGLMHIPCYGIFALIIKLKTFSFSRSSPESFRKRT >KJB31205 pep chromosome:Graimondii2_0_v6:5:53069521:53070707:-1 gene:B456_005G180800 transcript:KJB31205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADTATLSYWLNWRFSLCALFILTTMAVAAIIIWKFEGRKKSEHEDRDNDKEAPGHLYEDEAWNTCLRSIHPAWLLSFRVFAFIMLLALLLANVAIDGSGIFYFYTQWTFTLITIYFGFGSAISVYGCQKHWGKVGGDRGDHLSLDSEHGSYMPPILGEAANVSNQCKHFDAHRAPHCPPRAGVWTYAFQIIYQTSAGAVILTDSVFWFILFPLLKSKDYGLNFVSMKLQTLVVPEIFLLLVGTFVAIYMFKPLCSNGLNVIHFKMERS >KJB32562 pep chromosome:Graimondii2_0_v6:5:62704405:62705256:-1 gene:B456_005G247100 transcript:KJB32562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSSRGYGGGTGGGTRCQDCGNQAKKDCNYMRCRTCCRSKGFECQTHIKSTWVPAYRRRHRHHHQHQQQPLQHNPKRLRENPSSGLEGGDFPAEVTSPATFRCVRVSSMEDTTAGGDQYAYRTAVMIGGHVFKGILYDQGPDHGHYSLGECSSRETPLQQPNQALTMATANTATASATETLLPFAYPSPLNAAFMSAGTQFFLHPKS >KJB32952 pep chromosome:Graimondii2_0_v6:5:60554699:60556446:1 gene:B456_005G223400 transcript:KJB32952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFPSERNSSACRFLNSNGTTLSSLLRARSRALKLWQEDRLAGTGPWKLLEARRSEVTWAIAEQFILIGPSRLLLCRSILKSEAEFTNEAGNDPVNLFELAEKDSSFLSLPKTSGRLPLKSFKEIGAIKKTYHFEELQKKDFQI >KJB32953 pep chromosome:Graimondii2_0_v6:5:60554699:60556446:1 gene:B456_005G223400 transcript:KJB32953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFPSERNSSACRFLNSNGTTLSSLLRARSRALKLWQEDRLAGTGPWKLLEARRSEVTWAIAEQFILIGPSRLLLCRSILKSEAEFTNEAGNDPVNLFELAEKDSSFLSLPKTSGRLPLKSFKEIGAIKKTYHFEELQKKDFQI >KJB32698 pep chromosome:Graimondii2_0_v6:5:63317481:63321354:-1 gene:B456_005G256300 transcript:KJB32698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLRVKCLYFQAVMLSNISYHNLLLHSHVTKKSIRSRFYVQCRYPLETKACDRQICGVISKVGMFAFIGWLTQQGGAYI >KJB30263 pep chromosome:Graimondii2_0_v6:5:35559538:35561995:-1 gene:B456_005G137600 transcript:KJB30263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLVSSPVIFPLSNSKSKSSLWSPSRRTISQLSVNNGDDIIDSSSNTPRLITFLGKGGSGKTISSVFAAQHYAMAGLSTCLVLQGQDRTADCLLNCKIDSSPTLCNRNLSVVRLETTKMLLEPLNELKKADGRLNLTQGVLEGVVGEELGVLPGMDSIFSLLALVRLLGLFGKRARKNHQNDKFDIIIYDGISTEETLRMIGASSKARLYLKYLRSMAEKTDLGRLAGPSLLRLVDEAMGISGNPSQLTGTISAEIWDSLERILERGSSGSYQFGCFLVMNPNIPISISSALRYWGCAIQADTQVGGAFAIPTPHLDVESVENLKKNLYPLPFACIPNLAVDSPQDWNAIMMNNSVEGARGLLSLPASQKVSSVIFDTAKKTATLLMPGFEKSEIKLYQYRGGSELLVEAGDQRRVISLPPQMQGKVGGAKFIERSLVITIR >KJB30267 pep chromosome:Graimondii2_0_v6:5:35560065:35561817:-1 gene:B456_005G137600 transcript:KJB30267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLVSSPVIFPLSNSKSKSSLWSPSRRTISQLSVNNGDDIIDSSSNTPRLITFLGKGGSGKTISSVFAAQHYAMAGLSTCLVLQGQDRTADCLLNCKIDSSPTLCNRNLSVVRLETTKMLLEPLNELKKADGRLNLTQGVLEGVVGEELGVLPGMDSIFSLLALVRLLGLFGKRARKNHQNDKFDIIIYDGISTEETLRMIGASSKARLYLKYLRSMAEKTDLGRLAGPSLLRLVDEAMGISGNPSQLTGTISAEIWDSLERILEVTTEWISFYHSPSFCYRRLKGCKLCREDLQDLINLGAFL >KJB30264 pep chromosome:Graimondii2_0_v6:5:35559646:35561817:-1 gene:B456_005G137600 transcript:KJB30264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLVSSPVIFPLSNSKSKSSLWSPSRRTISQLSVNNGDDIIDSSSNTPRLITFLGKGGSGKTISSVFAAQHYAMAGLSTCLVLQGQDRTADCLLNCKIDSSPTLCNRNLSVVRLETTKMLLEPLNELKKADGRLNLTQGVLEGVVGEELGVLPGMDSIFSLLALVRLLGLFGKRARKNHQNDKFDIIIYDGISTEETLRMIGASSKASTCGAWLRKLTLGDWLVLHS >KJB30266 pep chromosome:Graimondii2_0_v6:5:35560455:35561775:-1 gene:B456_005G137600 transcript:KJB30266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLVSSPVIFPLSNSKSKSSLWSPSRRTISQLSVNNGDDIIDSSSNTPRLITFLGKGGSGKTISSVFAAQHYAMAGLSTCLVLQGQDRTADCLLNCKIDSSPTLCNRNLSVVRLETTKMLLEPLNELKKADGRLNLTQGVLEGVVGEELGVLPGMDSIFSLLALVRLLGLFGKRARKNHQNDKFDIIIYDGISTEETLRMIGASSKARLYLKYLRSMAEKTDLGRLAGPSLLRLVDEAMGISGNPSQLTGTISAEIWDSLERILEVTTEWISFYHSPSFCYRRLKGCKLCREDLQDLINLGAFL >KJB30262 pep chromosome:Graimondii2_0_v6:5:35559513:35561917:-1 gene:B456_005G137600 transcript:KJB30262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLVSSPVIFPLSNSKSKSSLWSPSRRTISQLSVNNGDDIIDSSSNTPRLITFLGKGGSGKTISSVFAAQHYAMAGLSTCLVLQGQDRTADCLLNCKIDSSPTLCNRNLSVVRLETTKMLLEPLNELKKADGRLNLTQGVLEGVVGEELGVLPGMDSIFSLLALVRLLGLFGKRARKNHQNDKFDIIIYDGISTEETLRMIGASSKARLYLKYLRSMAEKTDLGRLAGPSLLRLVDEAMGISGNPSQLTGTISAEIWDSLERILERGSSGSYQFGCFLVMNPNIPISISSALRYWGCAIQADTQWIPLKIGMPS >KJB30265 pep chromosome:Graimondii2_0_v6:5:35559646:35561817:-1 gene:B456_005G137600 transcript:KJB30265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSTCLVLQGQDRTADCLLNCKIDSSPTLCNRNLSVVRLETTKMLLEPLNELKKADGRLNLTQGVLEGVVGEELGVLPGMDSIFSLLALVRLLGLFGKRARKNHQNDKFDIIIYDGISTEETLRMIGASSKARLYLKYLRSMAEKTDLGRLAGPSLLRLVDEAMGISGNPSQLTGTISAEIWDSLERILERGSSGSYQFGCFLVMNPNIPISISSALRYWGCAIQADTQVGGAFAIPTPHLDVESVENLKKNLYPLPFACIPNLAVDSPQDWNAIMMNNSVEGARGLLSLPASQKVSSVIFDTAKKTATLLMPGFEKSEIKLYQYRGGSELLVEAGDQRRVISLPPQMQGKVGGAKFIERSLVITIR >KJB30694 pep chromosome:Graimondii2_0_v6:5:43796201:43799738:1 gene:B456_005G155400 transcript:KJB30694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRLLARFHRRRYPPSTAVSACCPFAPPRSLPLRDCSSAGMSPPKPSTLIRRAACLFTLLTLSLLMLYTATDSIRFLHLPSASLSSSTLVRIFPSYLNNSPSPNPMQHLKEVLGNASMGNNTVILTTLNDAWASTNSVVDLFLKSFMLGDGTHWLLDHLVIIALDEKAYNRCQAVHKHCFSLVTEDVDFQEEAYFMTPNYLKMMWRRIDFLRSVLELGYDFVFTDTDIMWFRDPFPQFFPDADFQIACDHFFGDPDDLNNMPNGGFNYVKSNNRSIAFYKFWYASHETYPGYHDQDVFNKIKFDPLISDIGLKIRFLDTAYFGGLCEPSKDLNLVCTMHANCCYGMDSKLHDLKIMLQDWRAFTSLPPDLKNESVISWRVPQNCSLHSLHHFDSPSPEINVEQEEEN >KJB30695 pep chromosome:Graimondii2_0_v6:5:43796141:43799889:1 gene:B456_005G155400 transcript:KJB30695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRLLARFHRRRYPPSTAVSACCPFAPPRSLPLRDCSSAGMSPPKPSTLIRRAACLFTLLTLSLLMLYTATDSIRFLHLPSASLSSSTLVRIFPSYLNNSPSPNPMQHLKEVLGNASMGNNTVILTTLNDAWASTNSVVDLFLKSFMLGDGTHWLLDHLVIIALDEKAYNRCQAVHKHCFSLVTEDVDFQEEAYFMTPNYLKMMWRRIDFLRSVLELGYDFVFTDTDIMWFRDPFPQFFPDADFQIACDHFFGDPDDLNNMPNGGFNYVKSNNRSIAFYKFWYASHETYPGYHDQDVFNKIKFDPLISDIGLKIRFLDTAYFGGLCEPSKDLNLVCTMHANCCYGMDSKLHDLKIMLQDWRAFTSLPPDLKNESVISWRVPQNCSLHSLHHFDSPSPEINVEQEEEN >KJB28155 pep chromosome:Graimondii2_0_v6:5:2767771:2769058:1 gene:B456_005G031000 transcript:KJB28155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRDKYFKIQGEKLAPLLMMPQGDETREEYYIEDLVVNTFFELLKKRSDKFPNIYINHYSFGSQIATQLIEGPRTEQEVLAWVKVDELRGAHKMFLPMSLSKHWVLFYVDTKEKKISWLDPIASSRIRSYNVEKDIILQWFTTLLLPKLGYVDAKEWPFLVRNDIPEQKNLVDCAVFVMKYGDCLTHGDCFPFKQEDMVHFRRGIFVDIYRGIIHKKNKQRLMHCLGTQSCKDVNSNF >KJB28153 pep chromosome:Graimondii2_0_v6:5:2760789:2772906:1 gene:B456_005G031000 transcript:KJB28153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSFSDTALRLLLFCAEAIENRDLKSADVFLHVILILADKRHYWFRDDSIVVKYFAYALVRRAYGLHPASSYFTFPVDPAPYYQYNSCHINGVIKKVINDALMGNRRLHLIDFNIPYYGFEGSVLSTLPNFVGYCLPVCVSYILPPFLKEYVEFSRQMEFLTKDAKEVNVKLEDELKVVYGNSLAEVDECEIDFKRRRDDEMVVIYYKFKLEKLVRDAKAMKRELVRLKEINPTIVIILDFYSNHSDSDFLTCFKDSFQYSLKTLDYWQELDRYLDGKKEWEFNIEAGEGNNIIRRHPTLPEWQHLFSSAGFSRIPLNHRKDNLSVEDNSSLKIMREEEECLILGYKGCPMFFLSAWKPKVEDGHFNSNSTNHKFEQGFNPNPLPLQPLQPFLEGLILNRLAALAEIHNISKDLCCKYKLSLALTWASKVNNMNGTISDPNKKHTFFIQSNYCYVKDRKSYDFMFGFERMISVPFFEKAFESRDGYHFEPSLTEVEDFKYFMLKDCNIDVALAICLQNRHTSDEVYVVEFYWPPTESEISKSVALRIFDDLKHMKTTFVTVKVQGPEIKFQEEAISSIPTSSNTAMPLKIAEEARGIHAKEINAHIEQIVETKRNKQRKLRSKVWVDFHKSEEEGKQVAKCEHCPKVLTGSSKSGTTHLNNHSKVCPGKKKQNQESQLILPVDTNERSSTFDQERSHLALVKMVIRQQYQLDLAGQEAFKNFVKGLQPMYEFQSRDKLLSGIHRIYNEEREKLQLYFDQLACKLNLTVSLSKNNHGKTAYCCLIAHFIDDSWELKMKTLGLRTLEHINDTKAVGGIIQSLVSEWNIGNKVRSITVDNSFLDDSMVQQIKENCLSNLVSLSSTHWFINCTLLEDGFREMDDLLFKLKKSIEYVTETKHGRLKFQEAVDQVKLQDGKSWDDLSLKLESDFGILDSALRSREILCKLEQIDGNFKLNPSMEEWENAAALQSCLRCFDDIKGTQSLTVSLYLPKLCDIYKKFLQLERSNPSFVTLMKRRFDHYWRLCNSALAVASVLDPRLKFKVVEFSYIVIYGHDSKVQLNTFREVLTNVYNEYANETKNQTTSASVLDDINWLGNNSIWDSFSKFVTASEASSKSELEIYLDEHLIPMDGAIFDILGWWSDKSQKFPILAKMARDFLAIPVSIFIPCSNIKATINNPAYNILNPESMEALVCSENWLETPKGNDGENHEPTQTMDKGKRKLDEDTCVRKKPKPSNCEKAISTEDIARDSNNNDEPAGEISIGKLQTENSSKNGCYGETSSGNKSKASNKMMGTISLRDIHQEKSSSELNHGRNVEDVSSGDSSSDNDQSDQLQSSSSESDVEITLKEQGSWSEQDIKAYLLSEFTEKENELIDTWQKNELKGKMIGRDKYFKIQGEKLAPLLMMPQGDETREEYYIEDLVVNTFFELLKKRSDKFPNIYINHYSFGSQIATQLIEGPRTEQEVLAWVKVDELRGAHKMFLPMSLSKHWVLFYVDTKEKKISWLDPIASSRIRSYNVEKDIILQWFTTLLLPKLGYVDAKEWPFLVRNDIPEQKNLVDCAVFVMKYGDCLTHARIGYWPITSIACNSYYGPSARIRDSACFSSSFPFFCPHQASESPTFPFQGKGTGSFGKRRNKTHTLCVRCGRRGFHLQNSRCSACAFPAARKRT >KJB28152 pep chromosome:Graimondii2_0_v6:5:2760789:2769058:1 gene:B456_005G031000 transcript:KJB28152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSFSDTALRLLLFCAEAIENRDLKSADVFLHVILILADKRHYWFRDDSIVVKYFAYALVRRAYGLHPASSYFTFPVDPAPYYQYNSCHINGVIKKVINDALMGNRRLHLIDFNIPYYGFEGSVLSTLPNFVGYCLPVCVSYILPPFLKEYVEFSRQMEFLTKDAKEVNVKLEDELKVVYGNSLAEVDECEIDFKRRRDDEMVVIYYKFKLEKLVRDAKAMKRELVRLKEINPTIVIILDFYSNHSDSDFLTCFKDSFQYSLKTLDYWQELDRYLDGKKEWEFNIEAGEGNNIIRRHPTLPEWQHLFSSAGFSRIPLNHRKDNLSVEDNSSLKIMREEEECLILGYKGCPMFFLSAWKPKVEDGHFNSNSTNHKFEQGFNPNPLPLQPLQPFLEGLILNRLAALAEIHNISKDLCCKYKLSLALTWASKVNNMNGTISDPNKKHTFFIQSNYCYVKDRKSYDFMFGFERMISVPFFEKAFESRDGYHFEPSLTEVEDFKYFMLKDCNIDVALAICLQNRHTSDEVYVVEFYWPPTESEISKSVALRIFDDLKHMKTTFVTVKVQGPEIKFQEEAISSIPTSSNTAMPLKIAEEARGIHAKEINAHIEQIVETKRNKQRKLRSKVWVDFHKSEEEGKQVAKCEHCPKVLTGSSKSGTTHLNNHSKVCPGKKKQNQESQLILPVDTNERSSTFDQERSHLALVKMVIRQQYQLDLAGQEAFKNFVKGLQPMYEFQSRDKLLSGIHRIYNEEREKLQLYFDQLACKLNLTVSLSKNNHGKTAYCCLIAHFIDDSWELKMKTLGLRTLEHINDTKAVGGIIQSLVSEWNIGNKVRSITVDNSFLDDSMVQQIKENCLSNLVSLSSTHWFINCTLLEDGFREMDDLLFKLKKSIEYVTETKHGRLKFQEAVDQVKLQDGKSWDDLSLKLESDFGILDSALRSREILCKLEQIDGNFKLNPSMEEWENAAALQSCLRCFDDIKGTQSLTVSLYLPKLCDIYKKFLQLERSNPSFVTLMKRRFDHYWRLCNSALAVASVLDPRLKFKVVEFSYIVIYGHDSKVQLNTFREVLTNVYNEYANETKNQTTSASVLDDINWLGNNSIWDSFSKFVTASEASSKSELEIYLDEHLIPMDGAIFDILGWWSDKSQKFPILAKMARDFLAIPVSIFIPCSNIKATINNPAYNILNPESMEALVCSENWLETPKGNDGENHEPTQTMDKGKRKLDEDTCVRKKPKPSNCEKAISTEDIARDSNNNDEPAGEISIGKLQTENSSKNGCYGETSSGNKSKASNKMMGTISLRDIHQEKSSSELNHGRNVEDVSSGDSSSDNDQSDQLQSSSSESDVEITLKEQGSWSEQDIKAYLLSEFTEKENELIDTWQKNELKGKMIGRDKYFKIQGEKLAPLLMMPQGDETREEYYIEDLVVNTFFELLKKRSDKFPNIYINHYSFGSQIATQLIEGPRTEQEVLAWVKVDELRGAHKMFLPMSLSKHWVLFYVDTKEKKISWLDPIASSRIRSYNVEKDIILQWFTTLLLPKLGYVDAKEWPFLVRNDIPEQKNLVDCAVFVMKYGDCLTHGDCFPFKQEDMVHFRRGIFVDIYRGIIHKKNKQRLMHCLGTQSCKDVNSNF >KJB28154 pep chromosome:Graimondii2_0_v6:5:2760789:2772961:1 gene:B456_005G031000 transcript:KJB28154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSFSDTALRLLLFCAEAIENRDLKSADVFLHVILILADKRHYWFRDDSIVVKYFAYALVRRAYGLHPASSYFTFPVDPAPYYQYNSCHINGVIKKVINDALMGNRRLHLIDFNIPYYGFEGSVLSTLPNFVGYCLPVCVSYILPPFLKEYVEFSRQMEFLTKDAKEVNVKLEDELKVVYGNSLAEVDECEIDFKRRRDDEMVVIYYKFKLEKLVRDAKAMKRELVRLKEINPTIVIILDFYSNHSDSDFLTCFKDSFQYSLKTLDYWQELDRYLDGKKEWEFNIEAGEGNNIIRRHPTLPEWQHLFSSAGFSRIPLNHRKDNLSVEDNSSLKIMREEEECLILGYKGCPMFFLSAWKPKVEDGHFNSNSTNHKFEQGFNPNPLPLQPLQPFLEGLILNRLAALAEIHNISKDLCCKYKLSLALTWASKVNNMNGTISDPNKKHTFFIQSNYCYVKDRKSYDFMFGFERMISVPFFEKAFESRDGYHFEPSLTEVEDFKYFMLKDCNIDVALAICLQNRHTSDEVYVVEFYWPPTESEISKSVALRIFDDLKHMKTTFVTVKVQGPEIKFQEEAISSIPTSSNTAMPLKIAEEARGIHAKEINAHIEQIVETKRNKQRKLRSKVWVDFHKSEEEGKQVAKCEHCPKVLTGSSKSGTTHLNNHSKVCPGKKKQNQESQLILPVDTNERSSTFDQERSHLALVKMVIRQQYQLDLAGQEAFKNFVKGLQPMYEFQSRDKLLSGIHRIYNEEREKLQLYFDQLACKLNLTVSLSKNNHGKTAYCCLIAHFIDDSWELKMKTLGLRTLEHINDTKAVGGIIQSLVSEWNIGNKVRSITVDNSFLDDSMVQQIKENCLSNLVSLSSTHWFINCTLLEDGFREMDDLLFKLKKSIEYVTETKHGRLKFQEAVDQVKLQDGKSWDDLSLKLESDFGILDSALRSREILCKLEQIDGNFKLNPSMEEWENAAALQSCLRCFDDIKGTQSLTVSLYLPKLCDIYKKFLQLERSNPSFVTLMKRRFDHYWRLCNSALAVASVLDPRLKFKVVEFSYIVIYGHDSKVQLNTFREVLTNVYNEYANETKNQTTSASVLDDINWLGNNSIWDSFSKFVTASEASSKSELEIYLDEHLIPMDGAIFDILGWWSDKSQKFPILAKMARDFLAIPVSIFIPCSNIKATINNPAYNILNPESMEALVCSENWLETPKGNDGENHEPTQTMDKGKRKLDEDTCVRKKPKPSNCEKAISTEDIARDSNNNDEPAGEISIGKLQTENSSKNGCYGETSSGNKSKASNKMMGTISLRDIHQEKSSSELNHGRNVEDVSSGDSSSDNDQSDQLQSSSSESDVEITLKEQGSWSEQDIKAYLLSEFTEKENELIDTWQKNELKGKMIGRDKYFKIQGEKLAPLLMMPQGDETREEYYIEDLVVNTFFELLKKRSDKFPNIYINHYSFGSQIATQLIEGPRTEQEVLAWVKVDELRGAHKMFLPMSLSKHWVLFYVDTKEKKISWLDPIASSRIRSYNVEKDIILQWFTTLLLPKLGYVDAKEWPFLVRNDIPEQKNLVDCAVFVMKYGDCLTHGDCFPFKQEDMVHFRRGIFVDIYRGIIHKKNKQRLMHCLGTQSSRIGYWPITSIACNSYYGPSARIRDSACFSSSFPFFCPHQASESPTFPFQGKGTGSFGKRRNKTHTLCVRCGRRGFHLQNSRCSACAFPAARKRT >KJB32786 pep chromosome:Graimondii2_0_v6:5:63624897:63629701:-1 gene:B456_005G261500 transcript:KJB32786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLRNLIDEDSEINAKPIGPVSCIDVENVERPNKKVFSNGDLYVGDFKDVLPHGKGKYTWSNGMVYEGDWEAGKMTGKGLLLWPSGERYNGDISGGYLHGFGTLTSPDGSMYEGQWRMNIQHGFGRKKYSYSDVYEGEWKEGEHEGNGRYFWNNGNKYTGNWKRGKMHGRGVMEWVNGDKYNGCWLNGLRHGSGIYQYADGGYYFGTWTRGLKDGKGVFYPAGSKRPSLKKFCISLGYDNGPKSVLSQCSSLNLEGSTVKKRSVRRSVSEKISVSGVLRSSGRISHKSAESSRHSDSSKESMHHYSSGTFSFDSDGGQSEAQKSTAVVYEREYMQGVMIKERVRGYTELPKKAEKKTKHHAKETKKSSCFGIFKGKNSYHLMLNLQLGIRYTVGKITPVPKREVRTADFGHRARITMFFPRRGSQFTPPHKSVDFCWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLTEISSPGKSGSIFYLSLDDRFVIKTLKKSELKVLLKMLPKYYNHVKEHENTLITKFFGLHQITVHGKRKVRFVVMGNMFCTELRIHRRYDLKGSTHGRCTDKDKIHENSILKDLDLSYEFQMDKSLQKFFFHQIALDCNFLKSQHIIDYSLLLGLHFRAPEQLNGLLKPPMMPNTESSPAGQGPTTDGEVLFPSKGLLLVAHEPSSVSTDPGPHIRGRPLRAFSLGDKEVDVLVPGTGRLQVQLGVNMPAQANQKLSRDEADSAEVELFEVYDVVIYMGIIDILQEYNAKKKAEHACKSVKFDPLSISVVEPELYAQRFINFLKQKVFPEQP >KJB32787 pep chromosome:Graimondii2_0_v6:5:63625696:63628783:-1 gene:B456_005G261500 transcript:KJB32787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGYLKFSSCRPNKKVFSNGDLYVGDFKDVLPHGKGKYTWSNGMVYEGDWEAGKMTGKGLLLWPSGERYNGDISGGYLHGFGTLTSPDGSMYEGQWRMNIQHGFGRKKYSYSDVYEGEWKEGEHEGNGRYFWNNGNKYTGNWKRGKMHGRGVMEWVNGDKYNGCWLNGLRHGSGIYQYADGGYYFGTWTRGLKDGKGVFYPAGSKRPSLKKFCISLGYDNGPKSVLSQCSSLNLEGSTVKKRSVRRSVSEKISVSGVLRSSGRISHKSAESSRHSDSSKESMHHYSSGTFSFDSDGGQSEAQKSTAVVYEREYMQGVMIKERVRGYTELPKKAEKKTKHHAKETKKSSCFGIFKGKNSYHLMLNLQLGIRYTVGKITPVPKREVRTADFGHRARITMFFPRRGSQFTPPHKSVDFCWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLTEISSPGKSGSIFYLSLDDRFVIKTLKKSELKVLLKMLPKYYNHVKEHENTLITKFFGLHQITVHGKRKVRFVVMGNMFCTELRIHRRYDLKGSTHGRCTDKDKIHENSILKDLDLSYEFQMDKSLQKFFFHQIALDCNFLKSQHIIDYSLLLGLHFRAPEQLNGLLKPPMMPNTESSPAGQGPTTDGEVLFPSKGLLLVAHEPSSVSTDPGPHIRGRPLRAFSLGDKEVDVLVPGTGR >KJB32784 pep chromosome:Graimondii2_0_v6:5:63625322:63628992:-1 gene:B456_005G261500 transcript:KJB32784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLRNLIDEDSEINAKPIGPVSCIDVENVERPNKKVFSNGDLYVGDFKDVLPHGKGKYTWSNGMVYEGDWEAGKMTGKGLLLWPSGERYNGDISGGYLHGFGTLTSPDGSMYEGQWRMNIQHGFGRKKYSYSDVYEGEWKEGEHEGNGRYFWNNGNKYTGNWKRGKMHGRGVMEWVNGDKYNGCWLNGLRHGSGIYQYADGGYYFGTWTRGLKDGKGVFYPAGSKRPSLKKFCISLGYDNGPKSVLSQCSSLNLEGSTVKKRSVRRSVSEKISVSGVLRSSGRISHKSAESSRHSDSSKESMHHYSSGTFSFDSDGGQSEAQKSTAVVYEREYMQGVMIKERVRGYTELPKKAEKKTKHHAKETKKSSCFGIFKGKNSYHLMLNLQLGIRYTVGKITPVPKREVRTADFGHRARITMFFPRRGSQFTPPHKSVDFCWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLTEISSPGKSGSIFYLSLDDRFVIKTLKKSELKVLLKMLPKYYNHVKEHENTLITKFFGLHQITVHGKRKVRFVVMGNMFCTELRIHRRYDLKGSTHGRCTDKDKIHENSILKDLDLSYEFQMDKSLQKFFFHQIALDCNFLKSQHIIDYSLLLGLHFRAPEQLNGLLKPPMMPNTESSPAGQGPTTDGEVLFPSKGLLLVAHEPSSVSTDPGPHIRGRPLRAFSLGDKEVDVLVPGTGRLQVQLGVNMPAQANQKLSRDEADSAEVELFEVYDVVIYMGIIDILQEYNAKKKAEHACKSVKFDPLSISVVEPELYAQRFINFLKQKVFPEQP >KJB32785 pep chromosome:Graimondii2_0_v6:5:63625034:63629653:-1 gene:B456_005G261500 transcript:KJB32785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLRNLIDEDSEINAKPIGPVSCIDVENVERPNKKVFSNGDLYVGDFKDVLPHGKGKYTWSNGMVYEGDWEAGKMTGKGLLLWPSGERYNGDISGGYLHGFGTLTSPDGSMYEGQWRMNIQHGFGRKKYSYSDVYEGEWKEGEHEGNGRYFWNNGNKYTGNWKRGKMHGRGVMEWVNGDKYNGCWLNGLRHGSGIYQYADGGYYFGTWTRGLKDGKGVFYPAGSKRPSLKKFCISLGYDNGPKSVLSQCSSLNLEGSTVKKRSVRRSVSEKISVSGVLRSSGRISHKSAESSRHSDSSKESMHHYSSGTFSFDSDGGQSEAQKSTAVVYEREYMQGVMIKERVRGYTELPKKAEKKTKHHAKETKKSSCFGIFKGKNSYHLMLNLQLGIRYTVGKITPVPKREVRTADFGHRARITMFFPRRGSQFTPPHKSVDFCWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLTEISSPGKSGSIFYLSLDDRFVIKTLKKSELKVLLKMLPKYYNHVKEHENTLITKFFGLHQITVHGKRKVRFVVMGNMFCTELRIHRRYDLKGSTHGRCTDKDKIHENSILKDLDLSYEFQMDKSLQKFFFHQIALDCNFLKSQHIIDYSLLLGLHFRAPEQLNGLLKPPMMPNTESSPAGQDGEVLFPSKGLLLVAHEPSSVSTDPGPHIRGRPLRAFSLGDKEVDVLVPGTGRLQVQLGVNMPAQANQKLSRDEADSAEVELFEVYDVVIYMGIIDILQEYNAKKKAEHACKSVKFDPLSISVVEPELYAQRFINFLKQKVFPEQP >KJB32788 pep chromosome:Graimondii2_0_v6:5:63625414:63628783:-1 gene:B456_005G261500 transcript:KJB32788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGYLKFSSCRPNKKVFSNGDLYVGDFKDVLPHGKGKYTWSNGMVYEGDWEAGKMTGKGLLLWPSGERYNGDISGGYLHGFGTLTSPDGSMYEGQWRMNIQHGFGRKKYSYSDVYEGEWKEGEHEGNGRYFWNNGNKYTGNWKRGKMHGRGVMEWVNGDKYNGCWLNGLRHGSGIYQYADGGYYFGTWTRGLKDGKGVFYPAGSKRPSLKKFCISLGYDNGPKSVLSQCSSLNLEGSTVKKRSVRRSVSEKISVSGVLRSSGRISHKSAESSRHSDSSKESMHHYSSGTFSFDSDGGQSEAQKSTAVVYEREYMQGVMIKERVRGYTELPKKAEKKTKHHAKETKKSSCFGIFKGKNSYHLMLNLQLGIRYTVGKITPVPKREVRTADFGHRARITMFFPRRGSQFTPPHKSVDFCWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLTEISSPGKSGSIFYLSLDDRFVIKTLKKSELKVLLKMLPKYYNHVKEHENTLITKFFGLHQITVHGKRKVRFVVMGNMFCTELRIHRRYDLKGSTHGRCTDKDKIHENSILKDLDLSYEFQMDKSLQKFFFHQIALDCNFLKSQHIIDYSLLLGLHFRAPEQLNGLLKPPMMPNTESSPAGQGPTTDGEVLFPSKGLLLVAHEPSSVSTDPGPHIRGRPLRAFSLGDKEVDVLVPGTGRLQVQLGVNMPAQANQKLSRDEADSAEVELFEVYDVVIYMGIIDILQEYNAKKKAEHACKSVKFDPLSISVVEPELYAQRFINFLKQKVFPEQP >KJB29884 pep chromosome:Graimondii2_0_v6:5:26361960:26366454:-1 gene:B456_005G122300 transcript:KJB29884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTPYESEATEQHDDTSASTMKFGTPEAVDYVRSLTDVGAMTRLLHECIAYQRALDVDLDTLLSQRTDLDKSLNNLQRSADVLDIVKAESDHMLSNVTSTCHLADQVSRKVRELDLAQSRVNSTLLRIDAIVERGNCIDGVKSALDAEDYESATNYVRTFLEIDNKFKDSGSDHREQLLASKKQLEGIVKKKLMAAVDQRDHATILRFIKLYSPLGLEEEGLQVYVGYLKKVIGMRSRLEYENLVELMEQSNGQNQNNQVNFVGCLTNLFKDIVLAVEENDEILRTLCGEDGVVYAIFELQEECDSRGSLILKKYMEFRKLAKLSSEINSQNKNLLTVGAPEGPNPREIELYLEEILSLMQLGEDYTQYMVSKIKGMTTVDPDLVPRATKAFRTGSFSKVAQDITGFYVILEGFFMVENVRKAIGIDELVPDSLTTSMVDDVFYVLQSCLRRAISTSNISSVVAVLSGASSLLNNEYYEALQLKVREPNLGPKLFLGGVGVQKTGTEIATALNNIDLSSEYVLKLKHEIEEQCAEVFPAPTEREKVKSCLSELADLSNTFKQAQNAGMEQLAATVTPQIRPVLDSVATISYELSESEYAENEVNDPWVQRLLHAFEINVAWLQPLMTTNNYDSFVHLVIDFIVKRLEVIMMQKRFSQLGGLQLDRDTRALVSHFSGMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLSLRVDFKPEAIAALKL >KJB29885 pep chromosome:Graimondii2_0_v6:5:26361960:26366465:-1 gene:B456_005G122300 transcript:KJB29885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTPYESEATEQHDDTSASTMKFGTPEAVDYVRSLTDVGAMTRLLHECIAYQRALDVDLDTLLSQRTDLDKSLNNLQRSADVLDIVKAESDHMLSNVTSTCHLADQVSRKVRELDLAQSRVNSTLLRIDAIVERGNCIDGVKSALDAEDYESATNYVRTFLEIDNKFKDSGSDHREQLLASKKQLEGIVKKKLMAAVDQRDHATILRFIKLYSPLGLEEEGLQVYVGYLKKVIGMRSRLEYENLVELMEQSNGQNQNNQVNFVGCLTNLFKDIVLAVEENDEILRTLCGEDGVVYAIFELQEECDSRGSLILKKYMEFRKLAKLSSEINSQNKNLLTVGAPEGPNPREIELYLEEILSLMQLGEDYTQYMVSKIKGMTTVDPDLVPRATKAFRTGSFSKVAQDITGFYVILEGFFMVENVRKAIGIDELVPDSLTTSMVDDVFYVLQSCLRRAISTSNISSVVAVLSGASSLLNNEYYEALQLKVREPNLGPKLFLGGVGVQKTGTEIATALNNIDLSSEYVLKLKHEIEEQCAEVFPAPTEREKVKSCLSELADLSNTFKQAQNAGMEQLAATVTPQIRPVLDSVATISYELSESEYAENERLEVIMMQKRFSQLGGLQLDRDTRALVSHFSGMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLSLRVDFKPEAIAALKL >KJB31783 pep chromosome:Graimondii2_0_v6:5:58890211:58892923:-1 gene:B456_005G208400 transcript:KJB31783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAVDKHVKYILAVEKNKDSFESVVMDHLRMNGAYWGLTALDLLGKLDSVNVDEVISWILKCQHESGGFSGNIGHDPHILYTLSAVQVLALFNKLDVLDIDKVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDVENGGISDRPDDAVDVYHTYFGVAGLSLLNYPGLKAIDPAYALPVDVVNRIFFSG >KJB31789 pep chromosome:Graimondii2_0_v6:5:58890626:58892517:-1 gene:B456_005G208400 transcript:KJB31789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAVDKHVKYILAVEKNKDSFESVVMDHLRMNGAYWGLTALDLLGKLDSVNVDEVISWILKCQHESGGFSGNIGHDPHILYTLSAVQVLALFNKLDVLDIDKVATYITGLQNEDGSFSGDMWGEVDTRFSYIAICCLSILCCLDKINVEKAVSYILSCKNLDGGFGCTPGGESHAGQIFCCVGALALTGSLHYVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDVENGGISDRPDDAVDVYHTYFGVAGLSLLNYPGLKAIDPAYALPVDVVNRIFFSG >KJB31784 pep chromosome:Graimondii2_0_v6:5:58891339:58892517:-1 gene:B456_005G208400 transcript:KJB31784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAVDKHVKYILAVEKNKDSFESVVMDHLRMNGAYWGLTALDLLGKLDSVNVDEVISWILKCQHESGGFSGNIGHDPHILYTLSAVQVLALFNKLDVLDIDKVATYITGLQNEDGSFSGDMWGEVDTRFSYIAICCLSILCCLDKINVEKAVSYILSCKNLDGGFGCTPGGESHAGQSMLISLIIWTCTFLLTYS >KJB31786 pep chromosome:Graimondii2_0_v6:5:58890626:58892517:-1 gene:B456_005G208400 transcript:KJB31786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAVDKHVKYILAVEKNKDSFESVVMDHLRMNGAYWGLTALDLLGKLDSVNVDEVISWILKCQHESGGFSGNIGHDPHILYTLSAVQVLALFNKLDVLDIDKAVSYILSCKNLDGGFGCTPGGESHAGQIFCCVGALALTGSLHYVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDVENGGISDRPDDAVDVYHTYFGVAGLSLLNYPGLKAIDPAYALPVDVVNRIFFSG >KJB31788 pep chromosome:Graimondii2_0_v6:5:58890211:58892937:-1 gene:B456_005G208400 transcript:KJB31788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAVDKHVKYILAVEKNKDSFESVVMDHLRMNGAYWGLTALDLLGKLDSVNVDEVISWILKCQHESGGFSGNIGHDPHILYTLSAVQVLALFNKLDVLDIDKVATYITGLQNEDGSFSGDMWGEVDTRFSYIAICCLSILCCLDKINVEKAVSYILSCKNLDGGFGCTPGGESHAGQIFCCVGALALTGSLHYVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDVENGGISDRPDDAVDVYHTYFGVAGLSLLNYPGLKAIDPAYALPVDVVNRIFFSG >KJB31790 pep chromosome:Graimondii2_0_v6:5:58890218:58892923:-1 gene:B456_005G208400 transcript:KJB31790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAVDKHVKYILAVEKNKDSFESVVMDHLRMNGAYWGLTALDLLGKLDSVNVDEVISWILKCQHESGGFSGNIGHDPHILYTLSAVQVLALFNKLDVLDIDKVATYITGLQNEDGSFSGDMWGEVDTRFSYIAICCLSILCCLDKINVEKAVSYILSCKNLDGGFGCTPGGESHAGQIFCCVGALALTGSLHYVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDVENGGISDRPDDAVDVYHTYFGVAGLSLLNYPGLKAIDPAYALPVDVVNRIFFSG >KJB31787 pep chromosome:Graimondii2_0_v6:5:58890626:58892327:-1 gene:B456_005G208400 transcript:KJB31787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLRMNGAYWGLTALDLLGKLDSVNVDEVISWILKCQHESGGFSGNIGHDPHILYTLSAVQVLALFNKLDVLDIDKVATYITGLQNEDGSFSGDMWGEVDTRFSYIAICCLSILCCLDKINVEKAVSYILSCKNLDGGFGCTPGGESHAGQIFCCVGALALTGSLHYVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDVENGGISDRPDDAVDVYHTYFGVAGLSLLNYPGLKAIDPAYALPVDVVNRIFFSG >KJB31781 pep chromosome:Graimondii2_0_v6:5:58890211:58892923:-1 gene:B456_005G208400 transcript:KJB31781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAVDKHVKYILAVEKDSFESVVMDHLRMNGAYWGLTALDLLGKLDSVNVDEVISWILKCQHESGGFSGNIGHDPHILYTLSAVQVLALFNKLDVLDIDKVATYITGLQNEDGSFSGDMWGEVDTRFSYIAICCLSILCCLDKINVEKAVSYILSCKNLDGGFGCTPGGESHAGQIFCCVGALALTGSLHYVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDVENGGISDRPDDAVDVYHTYFGVAGLSLLNYPGLKAIDPAYALPVDVVNRIFFSG >KJB31785 pep chromosome:Graimondii2_0_v6:5:58890211:58892923:-1 gene:B456_005G208400 transcript:KJB31785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAVDKHVKYILAVEKNKDSFESVVMDHLRMNGAYWGLTALDLLGKLDSVNVDEVISWILKCQHESGGFSGNIGHDPHILYTLSAVQVLALFNKLDVLDIDKVATYITGLQNEDGSFSGDMWGEVDTRFSYIAICCLSILCCLDKINVEKAVSYILSCKNLDGGFGCTPGGDFLLCGSSCSYGISALC >KJB31780 pep chromosome:Graimondii2_0_v6:5:58890626:58892517:-1 gene:B456_005G208400 transcript:KJB31780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAVDKHVKYILAVEKNKDSFESVVMDHLRMNGAYWGLTALDLLGKLDSVNVDEVISWILKCQHESGGFSGNIGHDPHILYTLSAVQVLALFNKLDVLDIDKVATYGSFSGDMWGEVDTRFSYIAICCLSILCCLDKINVEKAVSYILSCKNLDGGFGCTPGGESHAGQIFCCVGALALTGSLHYVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDVENGGISDRPDDAVDVYHTYFGVAGLSLLNYPGLKAIDPAYALPVDVVNRIFFSG >KJB31782 pep chromosome:Graimondii2_0_v6:5:58891162:58892517:-1 gene:B456_005G208400 transcript:KJB31782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAVDKHVKYILAVEKNKDSFESVVMDHLRMNGAYWGLTALDLLGKLDSVNVDEVISWILKCQHESGGFSGNIGHDPHILYTLSAVQVLALFNKLDVLDIDKVATYITGLQNEDGSFSGDMWGEVDTRFSYIAICCLSILCCLDKINVEKAVSYILSCKNLDGGFGCTPGGESHAGQSIFLLCGSSCSYGISALC >KJB32681 pep chromosome:Graimondii2_0_v6:5:63274888:63283323:1 gene:B456_005G255500 transcript:KJB32681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVKALSHLYVTVFLSGFASFIVVPAITDVTMSALCPGTDECSLAIYLSGFQQVIGVGTALMMPLIGNLSDQYGRKSLLTLPMTLSIIPFAVLACSTATNYFYAYYTLRTLTAMISEGSINCLALAYLADNISDTQRASAFGILAGISSGAFVFATLAARFLSAGSTFQVATFVSMLAVVYMRIFLEESIPDRNDSMTQPILKETEGVIQKDGNVDTRKMPVFKTIPSLKDIIRLIKSSPSFSQAAIVAFFQSLAEGGMICSIMYYLKARFHFNKNQFADLMLIAGIISTVSQLFIMPLLVSPIGDGRLLSIGLLVSCLNSIFNSLAWSAWVPYATTALSIVMVFTTPSLRSIISKQVGTTEQGKAQGCISGVTSLANIIAPLIFSPLTALFLSEEAPFHFPGFSILCIAITLMIAFIQSLTIGKTPSNSRDENCSNSMEV >KJB32683 pep chromosome:Graimondii2_0_v6:5:63276864:63283624:1 gene:B456_005G255500 transcript:KJB32683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPLIGNLSDQYGRKSLLTLPMTLSIIPFAVLACSTATNYFYAYYTLRTLTAMISEGSINCLALAYLADNISDTQRASAFGILAGISSGAFVFATLAARFLSAGSTFQVATFVSMLAVVYMRIFLEESIPDRNDSMTQPILKETEGVIQKDGNVDTRKMPVFKTIPSLKDIIRLIKSSPSFSQAAIVAFFQSLAEGGMICSIMYYLKARFHFNKNQFADLMLIAGIISTVSQLFIMPLLVSPIGDGRLLSIGLLVSCLNSIFNSLAWSAWVPYATTALSIVMVFTTPSLRSIISKQVGTTEQGKAQGCISGVTSLANIIAPLIFSPLTALFLSEEAPFHFPGFSILCIAITLMIAFIQSLTIGKTPSNSRDENCSNSMEV >KJB32680 pep chromosome:Graimondii2_0_v6:5:63274860:63283624:1 gene:B456_005G255500 transcript:KJB32680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVKALSHLYVTVFLSGFASFIVVPAITDVTMSALCPGTDECSLAIYLSGFQQAVIGVGTALMMPLIGNLSDQYGRKSLLTLPMTLSIIPFAVLACSTATNYFYAYYTLRTLTAMISEGSINCLALAYLADNISDTQRASAFGILAGISSGAFVFATLAARFLSAGSTFQVATFVSMLAVVYMRIFLEESIPDRNDSMTQPILKETEGVIQKDGNVDTRKMPVFKTIPSLKDIIRLIKSSPSFSQAAIVAFFQSLAEGGMICSIMYYLKARFHFNKNQFADLMLIAGIISTVSQLFIMPLLVSPIGDGRLLSIGLLVSCLNSIFNSLAWSAWVPYATTALSIVMVFTTPSLRSIISKQVGTTEQGKAQGCISGVTSLANIIAPLIFSPLTALFLSEEAPFHFPGFSILCIAITLMIAFIQSLTIGKTPSNSRDENCSNSMEV >KJB32682 pep chromosome:Graimondii2_0_v6:5:63274888:63283513:1 gene:B456_005G255500 transcript:KJB32682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVKALSHLYVTVFLSGFASFIVVPAITDVTMSALCPGTDECSLAIYLSGFQQAVIGVGTALMMPLIGNLSDQYGRKSLLTLPMTLSIIPFAVLACSTATNYFYAYYTLRTLTAMISEGSINCLALAYLADNISDTQRASAFGILAGISSGAFVFATLAARFLSAGSTFQVATFVSMLAVVYMRIFLEESIPDRNDSMTQPILKETEGVIQKDGNVDTRKMPVFKTIPSLKDIIRLIKSSPSFSQAAIVAFFQSLAEGGMICSIMYYLKARFHFNKNQFADLMLIAGIISTVSQLFIMPLLVSPIGDGRLLSIGLLVSCLNSIFNSLAWSAWVPYATTALSIVMVFTTPSLRSIISKQVGTTEQGKAQGCISGVTSLANIIAPLIFSPLTALFLSEEAPFHFPGFSILCIAITLMIAFIQSLTIGKTPSNSRDENCSNSMEV >KJB31286 pep chromosome:Graimondii2_0_v6:5:53714249:53724979:1 gene:B456_005G183900 transcript:KJB31286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRASINEDDQDYRHRNLAKLMFIQILGYPTHFGQMECLKLIASAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINCAASLLKEKHHGVLITGVQLCADLCKVSSEALEYFRKCTEGLVRTLRDIVNSPYSPEYDISGITDPYLHIRLLKLLRILGQGDADASDRMTDILAQVATKTESNKNAGNAILYECVETIMSIEDDGGLRVLAINILGKFLSNRDNNIRYVALNMLMRATTLDAQAVQRHRATILDCVKDSDASIRKRALELLYLLVNENNVKPLIKELIEYLEVSDQEFKGDLTAKICSLVEKFSLEKIWYIDQMLKVLSEAGNFVKDEVWHALIFVISNASDLHGYTVRALYRAFLTSTEQETLVRVAVWCIGEYGDMLVNNVGMLDIEDPITVTECDAVDAVEVAIKRHTSDLTTKAMALIALLKLSSRFPSYSERIKGIIVQNKGNLVLELQQRSIEFNRILQKHQKIRSALVERMPVLDEATFSGRRAGSLPTAISTSSGAPSNLPNGIAKPATAPIPDLLDLSSDDVPAPSSSGGDFPQDLLGVDLSPTLAPSGAGKPPKASTDVLLDLLSIGTSLPVQTGSSASDILSSSQDDKAPFANFNGLASLSSLSANATSPVNAAPMMDLLDGHGPGPQKPEENGQAYPSLVAYESSSLRVMFNFSKQPGSPQTTLIQATFTNLSSNVYNDFLFQAAVPKFLQLHLDPASSNTLPASGDGSITQNLKVTNSQHGKKSLVMRVRIAYKTNNKDVLEERQINNFPQNL >KJB31287 pep chromosome:Graimondii2_0_v6:5:53714249:53725121:1 gene:B456_005G183900 transcript:KJB31287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRASINEDDQDYRHRNLAKLMFIQILGYPTHFGQMECLKLIASAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINCAASLLKEKHHGVLITGVQLCADLCKVSSEALEYFRKKCTEGLVRTLRDIVNSPYSPEYDISGITDPYLHIRLLKLLRILGQGDADASDRMTDILAQVATKTESNKNAGNAILYECVETIMSIEDDGGLRVLAINILGKFLSNRDNNIRYVALNMLMRATTLDAQAVQRHRATILDCVKDSDASIRKRALELLYLLVNENNVKPLIKELIEYLEVSDQEFKGDLTAKICSLVEKFSLEKIWYIDQMLKVLSEAGNFVKDEVWHALIFVISNASDLHGYTVRALYRAFLTSTEQETLVRVAVWCIGEYGDMLVNNVGMLDIEDPITVTECDAVDAVEVAIKRHTSDLTTKAMALIALLKLSSRFPSYSERIKGIIVQNKGNLVLELQQRSIEFNRILQKHQKIRSALVERMPVLDEATFSGRRAGSLPTAISTSSGAPSNLPNGIAKPATAPIPDLLDLSSDDVPAPSSSGGDFPQDLLGVDLSPTLAPSGAGKPPKASTDVLLDLLSIGTSLPVQTGSSASDILSSSQDDKAPFANFNGLASLSSLSANATSPVNAAPMMDLLDGHGPGPQKPEENGQAYPSLVAYESSSLRVMFNFSKQPGSPQTTLIQATFTNLSSNVYNDFLFQAAVPKFLQLHLDPASSNTLPASGDGSITQNLKVTNSQHGKKSLVMRVRIAYKTNNKDVLEERQINNFPQNL >KJB32446 pep chromosome:Graimondii2_0_v6:5:62241282:62244473:1 gene:B456_005G241400 transcript:KJB32446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAAATASSLVTEEELTLTVKWSGKEYTVRVCGDDSVAELKRRICEVTNVLPQRQKLLYPKIGNKLSDDSLLLSQIPLKSSLKMTMIGTVEGDIIVDPVEAPEIIDDFELGQDEAVDIKDKEVNKQKLKRRIDQYKIELKAPCRKGKKLLVLDIDYTLFDHRSSAENPLQLMRPYLHEFLTAAYAEYDIMIWSATSMKWVELKMGQLGVLNNPNYKITALLDHLAMITVQSDSRGLFDCKPLGLIWAKFPEFYSSKNTIMFDDLRRNFVMNPQNGLTIKPFRKAHANRDTDQELVKLTRYLLAIAELDDLSALDHSNWQLFTDDNVKRRRHA >KJB29414 pep chromosome:Graimondii2_0_v6:5:15505313:15508192:1 gene:B456_005G099100 transcript:KJB29414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVILGFPNQSVKVFVKGADTTMFSVIDRSLNTSIIRATEGHLHSYSSIGLRTLVIGMRELSTSEFEEWHSAFEVASTALMASTSKLNINLVEDAVVQALGRHRRLGLLHQSVSGLVNVFLEKSQSVWRGR >KJB27959 pep chromosome:Graimondii2_0_v6:5:1454776:1455642:1 gene:B456_005G018600 transcript:KJB27959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHPVHSKAKSKQGLPQSLQFGYNTSPSIFAVEKNRVFMSYTCVSTRCKSNYTSKACACLTFFTFIGKSFRPVTTCYTPKYFV >KJB29269 pep chromosome:Graimondii2_0_v6:5:13286531:13287838:-1 gene:B456_005G094500 transcript:KJB29269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEIVKDIGSGNFGVAKLVRDRWTKELFAVKFIERGHKIDEHVQREIMNHRSLKHPNIVRFKEVLITPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSKKEYDGKLSIDCRCLVLWSHLVCYVSWGISL >KJB29272 pep chromosome:Graimondii2_0_v6:5:13286531:13287838:-1 gene:B456_005G094500 transcript:KJB29272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEIVKDIGSGNFGVAKLVRDRWTKELFAVKFIERGHKIDEHVQREIMNHRSLKHPNIVRFKEVLITPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSKKEYDGKLSIDCRCLVLWSHLVCYVSWGISL >KJB29268 pep chromosome:Graimondii2_0_v6:5:13285895:13287536:-1 gene:B456_005G094500 transcript:KJB29268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHRSLKHPNIVRFKEVLITPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLFVMLVGAYPFEDPNDPKNFKNTIGRILSAHYSIPDYVRVSMECKHLLSRIFVANPEMRITIPEIRSHPWFLKNLPIELMEGGSWQSQDVNNPSQTIEEVQFIIQEAMKTTEVPKVGEFSMGGSMDLDDLDADTDLDVDTSGDFVCPL >KJB29273 pep chromosome:Graimondii2_0_v6:5:13285808:13288450:-1 gene:B456_005G094500 transcript:KJB29273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEIVKDIGSGNFGVAKLVRDRWTKELFAVKFIERGHKIDEHVQREIMNHRSLKHPNIVRFKEVLITPTHLAIVMEYAAGGELFERICSAGRFSEDEQLISGVSYCHSMEICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLFVMLVGAYPFEDPNDPKNFKNTIGRILSAHYSIPDYVRVSMECKHLLSRIFVANPEMRITIPEIRSHPWFLKNLPIELMEGGSWQSQDVNNPSQTIEEVQFIIQEAMKTTEVPKVGEFSMGGSMDLDDLDADTDLDVDTSGDFVCPL >KJB29270 pep chromosome:Graimondii2_0_v6:5:13285895:13287838:-1 gene:B456_005G094500 transcript:KJB29270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEIVKDIGSGNFGVAKLVRDRWTKELFAVKFIERGHKIDEHVQREIMNHRSLKHPNIVRFKEVLITPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLFVMLVGAYPFEDPNDPKNFKNTIGRILSAHYSIPDYVRVSMECKHLLSRIFVANPEMRITIPEIRSHPWFLKNLPIELMEGGSWQSQDVNNPSQTIEEVQFIIQEAMKTTEVPKVGEFSMGGSMDLDDLDADTDLDVDTSGDFVCPL >KJB29267 pep chromosome:Graimondii2_0_v6:5:13285808:13288397:-1 gene:B456_005G094500 transcript:KJB29267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHRSLKHPNIVRFKEVLITPTHLAIVMEYAAGGELFERICSAGRFSEDEQLISGVSYCHSMEICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLFVMLVGAYPFEDPNDPKNFKNTIGRILSAHYSIPDYVRVSMECKHLLSRIFVANPEMRITIPEIRSHPWFLKNLPIELMEGGSWQSQDVNNPSQTIEEVQFIIQEAMKTTEVPKVGEFSMGGSMDLDDLDADTDLDVDTSGDFVCPL >KJB29266 pep chromosome:Graimondii2_0_v6:5:13285808:13288357:-1 gene:B456_005G094500 transcript:KJB29266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEIVKDIGSGNFGVAKLVRDRWTKELFAVKFIERGHKIDEHVQREIMNHRSLKHPNIVRFKEVLITPTHLAIVMEYAAGGELFERICSAGRFSEDEQLISGVSYCHSMEICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLFVMLVGAYPFEDPNDPKNFKNTIGRILSAHYSIPDYVRVSMECKHLLSRIFVANPEMRITIPEIRSHPWFLKNLPIELMEGGSWQSQDVNNPSQTIEEVQFIIQEAMKTTEVPKVGEFSMGGSMDLDDLDADTDLDVDTSGDFVCPL >KJB29271 pep chromosome:Graimondii2_0_v6:5:13285895:13287838:-1 gene:B456_005G094500 transcript:KJB29271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEIVKDIGSGNFGVAKLVRDRWTKELFAVKFIERGHKIDEHVQREIMNHRSLKHPNIVRFKEVLITPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLFVMLVGAYPFEDPNDPKNFKNTIGRILSAHYSIPDYVRVSMECKHLLSRIFVANPEMRITIPEIRSHPWFLKNLPIELMEGGSWQSQDVNNPSQTIEEVQFIIQEAMKTTEVPKVGEFSMGGSMDLDDLDADTDLDVDTSGDFVCPL >KJB29538 pep chromosome:Graimondii2_0_v6:5:18844324:18844836:1 gene:B456_005G106200 transcript:KJB29538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTENGRTIKPKTLWATIKERFASADSKALYVISYGVNMQECKRTAKCIVQKEYSNTKLARKVLRSLSKRFSIKVTTIEEAKNLESLEINELIGSLQTFNMNLEGAKCTKTK >KJB27898 pep chromosome:Graimondii2_0_v6:5:1105710:1109611:1 gene:B456_005G015300 transcript:KJB27898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGELFPNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVDRLESASPVPILRGVRPVPGRHPCVGSPEDIVATHSLGFLNNGSESGLGSKPGEKVKSPSKVVLGSGYGGEKGKSVGSRSNVGSKDDGTDKKTKPGSLTRTKSLLSKPALSVDVKKDSLGKLKALSSRSIPSSPTSCYSLPTSFEKFATRVKHQTEIKGMKKGSPKAGSLEKTNSFNGPSSTGKKAPVIKTLVHGIELGAKALRKSWEGNMEVKGKNHLNKPKATKHDTKGESRSTSAPRKSTSSEKLSSREETKLLASTRSLKEESKSQVSTRKAVGNGMSSDHDKQNKPKTHVGNKCGEPTNNGGLGNLVKVQINSKRLTDGSSVSWGSLPSSLSRLGKDVMRHRDAAQTAAIEALQEAAASESLLRCLSLYSDLTTSAKEDNPQPTVDQFLTLHASLNNARMVADSLSRTIPVGSSPESEGTISEEAVKVASDRRKYAVSWVQAALATSMSSFSVFTKEHHSNSSHGSAFVKSQKIIPANQNMLILENSAKNGSTKTQVKARPVVGSKLVAQGVLRKPGNGSALGPKAPVQPSPEIWTRGNGLDEVVDMADMLQMESQDWFLGFVEKFLDADVDTSALSDNGQIAGMLTQLKSVNDWLDEISSSKDEESAAAALGGGSQSSPPIRTVETKARK >KJB27897 pep chromosome:Graimondii2_0_v6:5:1105075:1109611:1 gene:B456_005G015300 transcript:KJB27897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGELFPNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVDRLESASPVPILRGVRPVPGRHPCVGSPEDIVATHSLGFLNNGSESGLGSKPGEKVKSPSKVVLGSGYGGEKGKSVGSRSNVGSKDDGTDKKTKPGSLTRTKSLLSKPALSVDVKKDSLGKLKALSSRSIPSSPTSCYSLPTSFEKFATRVKHQTEIKGMKKGSPKAGSLEKTNSFNGPSSTGKKAPVIKTLVHGIELGAKALRKSWEGNMEVKGKNHLNKPKATKHDTKGESRSTSAPRKSTSSEKLSSREETKLLASTRSLKEESKSQVSTRKAVGNGMSSDHDKQNKPKTHVGNKCGEPTNNGGLGNLVKVQINSKRLTDGSSVSWGSLPSSLSRLGKDVMRHRDAAQTAAIEALQEAAASESLLRCLSLYSDLTTSAKEDNPQPTVDQFLTLHASLNNARMVADSLSRTIPVGSSPESEGTISEEAVKVASDRRKYAVSWVQAALATSMSSFSVFTKEHHSNSSHGSAFVKSQKIIPANQNMLILENSAKNGSTKTQVKARPVVGSKLVAQGVLRKPGNGSALGPKAPVQPSPEIWTRGNGLDEVVDMADMLQMESQDWFLGFVEKFLDADVDTSALSDNGQIAGMLTQLKSVNDWLDEISSSKDEGETLPHVSLETVDRLRKKIYDYLLTHVESAAAALGGGSQSSPPIRTVETKARK >KJB29031 pep chromosome:Graimondii2_0_v6:5:9755370:9757578:1 gene:B456_005G081300 transcript:KJB29031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNYPFGSNSPKSFNAYPRGDFDIELGNIKRTRRSKVSSFYPVRMIKSLANRLHYYYKLHPLLVFFVSLAFGVTILIGLSLYEHHYRMLRNYRKLDEGFSNYYPYAKLKNLVMVAGHSVYTSSSCEKVDMEDSWFLEPYQKNPGQAATFLTHIKEGVESTAQDGAALLLFSGGETRKDAGPRSEAQSYWTVADSKGWFGKEESVKWRALTEEHARDSFENLLFSVCRFRELTGTYPQNITVVSYDFKEERFANLHRSAIGFPESQFFYRGTPASSTAKEAALKGEALVRTQFQHDPYGCIGLLKKKKVGRDPFHRSIPYPNGCPEIEGLFRYCGTAPYQGSLPWAYQN >KJB29030 pep chromosome:Graimondii2_0_v6:5:9755370:9757578:1 gene:B456_005G081300 transcript:KJB29030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNYPFGSNSPKSFNAYPRGDFDIELGNIKRTRRSKVSSFYPVRMIKSLANRLHYYYKLHPLLVFFVSLAFGVTILIGLSLYEHHYRMLRNYRKLDEGFSNYYPYAKLKNLVMVAGHSVYTSSSCEKVDMEDSWFLEPYQKNPGQAATFLTHIKEGVESTAQDGAALLLFSGGETRKDAGPRSEAQSYWTVADSKGWFGMKKV >KJB29029 pep chromosome:Graimondii2_0_v6:5:9755323:9757594:1 gene:B456_005G081300 transcript:KJB29029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNYPFGSNSPKSFNAYPRGDFDIELGNIKRTRRSKVSSFYPVRMIKSLANRLHYYYKLHPLLVFFVSLAFGVTILIGLSLYEHHYRMLRNYRKLDEGFSNYYPYAKLKNLVMVAGHSVYTSSSCEKVDMEDSWFLEPYQKNPGQAATFLTHIKEGVESTAQDGAALLLFSGGETRKDAGPRSEAQSYWTVADSKGWFGKEESVKWRALTEEHARDSFENLLFSVCRFRELTGTYPQNITVVSYDFKEERFANLHRSAIGFPESQFFYRGTPASSTAKEAALKGEALVRTQFQHDPYGCIGLLKKKKVGRDPFHRSIPYPNGCPEIEGLFRYCGTAPYQGSLPWAYQN >KJB30096 pep chromosome:Graimondii2_0_v6:5:41235628:41238172:1 gene:B456_005G150000 transcript:KJB30096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILCKVVDMLLLVAFMVMLVAGPLIDAQLVLPEATFPEVLVRLKQQYAEEYQDYLMVEKPHFFVALVWLELIFQWPLVLLNIYGILASKHWFHTTCLIYGASVITAMTALLGELMGSQKASDKLLTVYSPFMGLGVLAFLRGLVPNLGKAQTIGKRPAMAREKRA >KJB30095 pep chromosome:Graimondii2_0_v6:5:41235628:41241621:1 gene:B456_005G150000 transcript:KJB30095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILCKVVDMLLLVAFMVMLVAGPLIDAQLVLPEATFPEVLVRLKQQYAEEYQDYLMVEKPHFFVALVWLELIFQWPLVLLNIYGILASKHWFHTTCLIYGASVITAMTALLGELMGSQKASDKLLTVYSPFMGLGVLAFLRGLVPNLGKAQTIGKRPAMAREKRA >KJB30094 pep chromosome:Graimondii2_0_v6:5:41235628:41238113:1 gene:B456_005G150000 transcript:KJB30094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILCKVVDMLLLVAFMVMLVAGPLIDAQLVLPEATFPEVLVRLKQQYAEEYQDYLMVEKPHFFVALVWLELIFQWPLVLLNIYGILASKHWFHTTCLIYGASVITAMTALLGELMGSQKASDKLLTVYSPFMGLGVLAFLRGLVPNLGKAQTIGKRPAMAREKRA >KJB29036 pep chromosome:Graimondii2_0_v6:5:9620078:9621836:1 gene:B456_005G080700 transcript:KJB29036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKELYITVPSVFRCPISLDVMKSPVSLCTGVTYDRSSIQKWLESGHDTCPTTMQVLPSKDFIPNLTLHRLINLWIQSSTLRPGSNSPRLLPATPPAISEVQAKLLMEKVERESCDDSLSKVAEFVSCCEENRKFVARFDGFVEVIAGVLKRKCAEIKALETAVRILDLILSENGVTEGLNKLILKSNQESKFLSAIVLMLQHGSLNSKIKSVRVLDSLALDSESKRRISDSQDLISILLELLKTNNNGSLNDAVTSILTTISITRSIRSRLIENGIVEILSNSLTEKSLKLLATLSTCSEGRSAISSEPKCAAAIVEKLLKVSKRGTEDAVTVLWSTCCLNKEEKVKEAVVKGNGVTKILVIMQREGEGNVKMMCRDLVKALRAVCKDWCLGSYETKTTQIRPC >KJB29785 pep chromosome:Graimondii2_0_v6:5:24476194:24479640:-1 gene:B456_005G118600 transcript:KJB29785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANGCFPNIITATAPLLGWHRNHTVTSLEAPANYCQFHLRCFIRCAASTHPVTDHLLLSHSDSDSGSDWSLSPSPNTNPNPNPNSADSGSKGLTAGFTRLKAKRVKALVKRIKQEEISIGDDNNDDNRGVSEGGSYPKNAELEGSFAISHFRGWADGGSISKPKLNSPHLPKKHLTLSTGAGSDFFSRKTFANLGCSEYMIKSLKQQLFLRPSHIQAKAFGPVIEGQSCIIADQSGSGKTLAYLAPLVQRLREEELQGVSKSSSGSPRAVIIVPTAELASQVLNNCRSLSKFGVPFRSMVVTGGFRQKTQLENLEQSVDVLIATPGRFMFLIKEGFLQLANLRR >KJB29786 pep chromosome:Graimondii2_0_v6:5:24476997:24479368:-1 gene:B456_005G118600 transcript:KJB29786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANGCFPNIITATAPLLGWHRNHTVTSLEAPANYCQFHLRCFIRCAASTHPVTDHLLLSHSDSDSGSDWSLSPSPNTNPNPNPNSAATNKNCSADSGSKGLTAGFTRLKAKRVKALVKRIKQEEISIGDDNNDDNRGVSEGGSYPKNAELEGSFAISHFRGWADGGSISKPKLNSPHLPKKHLTLSTGAGSDFFSRKTFANLGCSEYMIKSLKQQLFLRPSHIQAKAFGPVIEGQSCIIADQSGSGKTLAYLAPLVQRLREEELQGVSKSSSGSPRAVIIVPTAELASQVLNNCRSLSKFGVPFRSMVVTGGFRQKTQLENLEQSVDVLIATPGRFMFLIKEGFLQLANLRR >KJB31548 pep chromosome:Graimondii2_0_v6:5:56997704:57000596:1 gene:B456_005G196500 transcript:KJB31548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLAWRSVALGLILLEVWFSVQVECFPALFDRISRLPGQPKVGFQQYAGYVTVDKRKQRALFYYFAEAEVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGEVLVKNEYSWNREANMVYLEAPVGVGFSYSADDSSYEAVNDKITARDNLVFLQNWFLKFPQYKNRSLFITGESYAGHYIPQLAELMHQFNKKEKLFNLKGIALGNPVLEFATDFNSRAEFFWSHGLISDSTYKLFTTSCNYSRYVSEYYRGNVSPICSRVMGQVSTETSRFVDKYDVTLDVCISSVLSQSEILTTQQVGDTIDVCVEDETVNYLNRQDVQKALHARLVGVHKWAVCSSVLDYELLDLEIPTITIVGRLVKAGIPVMVYSGDQDSVIPLTGSRKLVNQLAEELGLKTTVPYRVWFEGQQVGGWTQAYGNILSFATIRGASHEAPFSQPERSLVLFKAFLEGRPLPEAF >KJB31550 pep chromosome:Graimondii2_0_v6:5:56997805:57000596:1 gene:B456_005G196500 transcript:KJB31550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLAWRSVALGLILLEVWFSVQVECFPALFDRISRLPGQPKVGFQQYAGYVTVDKRKQRALFYYFAEAEVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGEVLVKNEYSWNREANMVYLEAPVGVGFSYSADDSSYEAVNDKITARDNLVFLQNWFLKFPQYKNRSLFITGESYAGHYIPQLAELMHQFNKKEKLFNLKGIALGNPVLEFATDFNSRAEFFWSHGLISDSTYKLFTTSCNYSRYVSEYYRGNVSPICSRVMGQVSTETSRFVDKYDVTLDVCISSVLSQSEILTTQQVGDTIDVCVEDETVNYLNRQDVQKALHARLVGVHKWAVCSR >KJB31552 pep chromosome:Graimondii2_0_v6:5:56998750:57000596:1 gene:B456_005G196500 transcript:KJB31552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSRDNLVFLQNWFLKFPQYKNRSLFITGESYAGHYIPQLAELMHQFNKKEKLFNLKGIALGNPVLEFATDFNSRAEFFWSHGLISDSTYKLFTTSCNYSRYVSEYYRGNVSPICSRVMGQVSTETSRFVDKYDVTLDVCISSVLSQSEILTTQQVGDTIDVCVEDETVNYLNRQDVQKALHARLVGVHKWAVCSSVLDYELLDLEIPTITIVGRLVKAGIPVMVYSGDQDSVIPLTGSRKLVNQLAEELGLKTTVPYRVWFEGQQVGGWTQAYGNILSFATIRGASHEAPFSQPERSLVLFKAFLEGRPLPEAF >KJB31549 pep chromosome:Graimondii2_0_v6:5:56997862:56999594:1 gene:B456_005G196500 transcript:KJB31549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLAWRSVALGLILLEVWFSVQVECFPALFDRISRLPGQPKVGFQQYAGYVTVDKRKQRALFYYFAEAEVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGEVLVKNEYSWNREANMVYLEAPVGVGFSYSADDSSYEAVNDKITARDNLVFLQNWFLKFPQYKNRSLFITGESYAGHYIPQLAELMHQFNKKEKLFNLKGIALGNPVLEFATDFNSRAEFFWSHGLISDSTYKLFTTSCNYSRYVSEYYRGNVSPICSRVMGQVSTETSRFVDKYDVTLDVCISSVLSQSEILTTQVSLI >KJB31551 pep chromosome:Graimondii2_0_v6:5:56997805:57000596:1 gene:B456_005G196500 transcript:KJB31551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLAWRSVALGLILLEVWFSVQVECFPALFDRISRLPGQPKVGFQQYAGYVTVDKRKQRALFYYFAEAEVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGEVLVKNEYSWNREANMVYLEAPVGVGFSYSADDSSYEAVNDKITARDNLVFLQNWFLKFPQYKNRSLFITGESYAGHYIPQLAELMHQFNKKEKLFNLKGIALGNPVLEFATDFNSRAEFFWSHGLISDSTYKLFTTSCNYSRYVSEYYRGNVSPICSRVMGQVSTETSRFVDKYDVTLDVCISSVLSQSEILTTQQVGDTIDVCVEDETVNYLNRQDVQKALHARLVGVHKWAVCSSVLDYELLDLEIPTITIVGRLVKAGIPVMVYR >KJB32671 pep chromosome:Graimondii2_0_v6:5:63224717:63229124:-1 gene:B456_005G254900 transcript:KJB32671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWRRINQSKLQLISNQYRRFYFQAPFTSSLHQTRIRVLEKPVCSIEDKPSYFYSNVRSFAAPVQAIKNKKAEAGKEKPRLNKQITASVVRLVTEDGQHSVVSIQEALQQARKKGLDLVEKMVNPPVCRLMDYNKEMYRRRQKEKEIAKNKAGETIKKGVCKIRFTGKIAENDLKLKAENVIRLMERGYRVKCMAMGQAKEHEVEDLGGILSRLTNLIEDVCVVESGPKVEKTNAYVIVKHVKFGPTKKGGGKKSKVETSTESATDNQSPVLPHDESTESGSESEDTMLSDEDELPMSSPMQMRDESTGSNTSQSCRPDTSPEIHNRYKRSEPRNPSNPQFPYPRREQPNMGQSTRESVRSEPWFQNPPRQPPQNMGQDTRESVRSEPWFHNPPRQPPQNMGQNTRESVRSEPWFQYPPRQPPQNMGQNMRETVRSAPQFPYQRRQPPQNMNATSSVQHTKQVENESSKSPSNNSLSFGIFSGSKANSSGKQAPDAPMSNEGNRYASLRNRGMNGNGANQNTRGSQFDGDRKPDSNMAGQDRYSSTSNRKP >KJB32672 pep chromosome:Graimondii2_0_v6:5:63224717:63229152:-1 gene:B456_005G254900 transcript:KJB32672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWRRINQSKLQLISNQYRRFYFQAPFTSSLHQTRIRVLEKPVCSIEDKPSYFYSNVRSFAAPVQAIKNKKAEAGKEKPRLNKQITASVVRLVTEDGQHSVVSIQEALQQARKKGLDLVEVQKMVNPPVCRLMDYNKEMYRRRQKEKEIAKNKAGETIKKGVCKIRFTGKIAENDLKLKAENVIRLMERGYRVKCMAMGQAKEHEVEDLGGILSRLTNLIEDVCVVESGPKVEKTNAYVIVKHVKFGPTKKGGGKKSKVETSTESATDNQSPVLPHDESTESGSESEDTMLSDEDELPMSSPMQMRDESTGSNTSQSCRPDTSPEIHNRYKRSEPRNPSNPQFPYPRREQPNMGQSTRESVRSEPWFQNPPRQPPQNMGQDTRESVRSEPWFHNPPRQPPQNMGQNTRESVRSEPWFQYPPRQPPQNMGQNMRETVRSAPQFPYQRRQPPQNMNATSSVQHTKQVENESSKSPSNNSLSFGIFSGSKANSSGKQAPDAPMSNEGNRYASLRNRGMNGNGANQNTRGSQFDGDRKPDSNMAGQDRYSSTSNRKP >KJB32670 pep chromosome:Graimondii2_0_v6:5:63224717:63228019:-1 gene:B456_005G254900 transcript:KJB32670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYEIVGQHSVVSIQEALQQARKKGLDLVEVQKMVNPPVCRLMDYNKEMYRRRQKEKEIAKNKAGETIKKGVCKIRFTGKIAENDLKLKAENVIRLMERGYRVKCMAMGQAKEHEVEDLGGILSRLTNLIEDVCVVESGPKVEKTNAYVIVKHVKFGPTKKGGGKKSKVETSTESATDNQSPVLPHDESTESGSESEDTMLSDEDELPMSSPMQMRDESTGSNTSQSCRPDTSPEIHNRYKRSEPRNPSNPQFPYPRREQPNMGQSTRESVRSEPWFQNPPRQPPQNMGQDTRESVRSEPWFHNPPRQPPQNMGQNTRESVRSEPWFQYPPRQPPQNMGQNMRETVRSAPQFPYQRRQPPQNMNATSSVQHTKQVENESSKSPSNNSLSFGIFSGSKANSSGKQAPDAPMSNEGNRYASLRNRGMNGNGANQNTRGSQFDGDRKPDSNMAGQDRYSSTSNRKP >KJB27634 pep chromosome:Graimondii2_0_v6:5:155327:157773:-1 gene:B456_005G003000 transcript:KJB27634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRAISAMAKRVNEIIIFVFVALFSKAIGAKPYWRTRWPIDRVSTECLTWQLAVEANNVRGWRIVPAHCLSHIEAYMTGGQYEQDVDYIVEQIESYVSELVVGEDGMDAWILDIDDTCLSNVIYYKKKKYGCEPYDPMAFKAWAMKGECPAIPGVLGLFSKLVKNGFKVFLITGRDEETLAPSTIANLHDQGFIGYERLTFRSPAYKGKSAVVYKSEIRKQIMEEGYRIWGNVGDQWTDLQGDCLGNRTFKLPNPMYCVP >KJB27636 pep chromosome:Graimondii2_0_v6:5:155327:158211:-1 gene:B456_005G003000 transcript:KJB27636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRVNEIIIFVFVALFSKAIGAKPYWRTRWPIDRVSTECLTWQLAVEANNVRGWRIVPAHCLSHIEAYMTGGQYEQDVDYIVEQIESYVSELVVGEDGMDAWILDIDDTCLSNVIYYKKKKYGCEPYDPMAFKAWAMKGECPAIPGVLGLFSKLVKNGFKVFLITGRDEETLAPSTIANLHDQGFIGYERLTFRSPAYKGKSAVVYKSEIRKQIMEEGYRIWGNVGDQWTDLQGDCLGNRTFKLPNPMYCVP >KJB27635 pep chromosome:Graimondii2_0_v6:5:155327:158993:-1 gene:B456_005G003000 transcript:KJB27635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRVNEIIIFVFVALFSKAIGAKPYWRTRWPIDRVSTECLTWQLAVEANNVRGWRIVPAHCLSHIEAYMTGGQYEQDVDYIVEQIESYVSELVVGEDGMDAWILDIDDTCLSNVIYYKKKKYGCEPYDPMAFKAWAMKGECPAIPGVLGLFSKLVKNGFKVFLITGRDEETLAPSTIANLHDQGFIGYERLTFRSPAYKGKSAVVYKSEIRKQIMEEGYRIWGNVGDQWTDLQGDCLGNRTFKLPNPMYCVP >KJB29626 pep chromosome:Graimondii2_0_v6:5:20360009:20363297:-1 gene:B456_005G111000 transcript:KJB29626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEGSNSIPKPQSNLDIPFSNASQMASPSPSSSPSPSPSYMRLSPENNNSKRPGIPPSHPNNPTASLPYSQQIIGSRPNAQHGAPSHSRSLSQPTFFSLDRLPPWCPPPYRDPPVSSASDPASNDVSMEERFANSNVRFSLPSPVAGGCNEFRIGESSSLPPRKGHRRSSSDVPLGFSTMIQSLPQSIPIGNPGVLDRSVSGRETSFGVGKPIQLVKRESEWRKDSGSNLEVTGERKSEVDVADDLFNAYMNLENLETLNSSGTEDRDMDSKASGTKTYGGESSDNEVDSRVHKHPISIQGMSSGVSNEKREGVKRRAAGDIAPTVRHYRSVSMDSYMGSLQFDEDTPKIPPSGNHGNHHSPGSPGDANSSKFNFELGSSEFNESELKKIMENEKLAEMASVDPKRVKRILANRQSAARSKQRKMQYIAELEQKVQTLQTEATTLSAQLTMLQRDSAELTSQNNELKFRLQAMEQQAQLKDALNEALAAEVQRLKLTAAEFSGEVHLSNCMAQQLSIDHQMLQLQPQQQQQRNIYQMQQQHQQPQQGHHNQSQTQQQNGDASAANESK >KJB29625 pep chromosome:Graimondii2_0_v6:5:20359637:20364066:-1 gene:B456_005G111000 transcript:KJB29625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEGSNSIPKPQSNLDIPFSNASQMASPSPSSSPSPSPSYMRLSPENNNSKRPGIPPSHPNNPTASLPYSQQIIGSRPNAQHGAPSHSRSLSQPTFFSLDRLPPWCPPPYRDPPVSSASDPASNDVSMEERFANSNVRFSLPSPVAGGCNEFRIGESSSLPPRKGHRRSSSDVPLGFSTMIQSLPQSIPIGNPGVLDRSVSGRETSFGVGKPIQLVKRESEWRKDSGSNLEVTGERKSEVDVADDLFNAYMNLENLETLNSSGTEDRDMDSKASGTKTYGGESSDNEVDSRVHKHPISIQGMSSGVSNEKREGVKRRAAGDIAPTVRHYRSVSMDSYMGSLQFDEDTPKIPPSGNHGNHHSPGSPGDANSSKFNFELGSSEFNESELKKIMENEKLAEMASVDPKRVKRILANRQSAARSKQRKMQYIAELEQKVQTLQTEATTLSAQLTMLQRDSAELTSQNNELKFRLQAMEQQAQLKDALNEALAAEVQRLKLTAAEFSGEVHLSNCMAQQLSIDHQMLQLQPQQQQQRNIYQMQQQHQQPQQGHHNQSQTQQQNGDASAANESK >KJB29623 pep chromosome:Graimondii2_0_v6:5:20359645:20364028:-1 gene:B456_005G111000 transcript:KJB29623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEGSNSIPKPQSNLDIPFSNASQMASPSPSSSPSPSPSYMRLSPENNNSKRPGIPPSHPNNPTASLPYSQQIIGSRPNAQHGAPSHSRSLSQPTFFSLDRLPPWCPPPYRDPPVSSASDPASNDVSMEERFANSNVRFSLPSPVAGGCNEFRIGESSSLPPRKGHRRSSSDVPLGFSTMIQSLPQSIPIGNPGVLDRSVSGRETSFGVGKPIQLVKRESEWRKDSGSNLEVTGERKSEVDVADDLFNAYMNLENLETLNSSGTEDRDMDSKASGTKTYGGESSDNEVDSRVHKHPISIQGMSSGVSNEKREGVKRRAAGDIAPTVRHYRSVSMDSYMGSLQFDEDTPKIPPSGNHGNHHSPGSPGDANSSKFNFELGSSEFNESELKKIMENEKLAEMASVDPKRVKRILANRQSAARSKQRKMQYIAELEQKVQTLQTEATTLSAQLTMLQRDSAELTSQNNELKFRLQAMEQQAQLKDALNEALAAEVQRLKLTAAEFSGEVHLSNCMAQQLSIDHQMLQLQPQQQQQRNIYQMQQQHQQPQQGHHNQSQTQQQNGDASAANESK >KJB29624 pep chromosome:Graimondii2_0_v6:5:20359662:20363924:-1 gene:B456_005G111000 transcript:KJB29624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEGSNSIPKPQSNLDIPFSNASQMASPSPSSSPSPSPSYMRLSPENNNSKRPGIPPSHPNNPTASLPYSQQIIGSRPNAQHGAPSHSRSLSQPTFFSLDRLPPWCPPPYRDPPVSSASDPASNDVSMEERFANSNVRFSLPSPVAGGCNEFRIGESSSLPPRKGHRRSSSDVPLGFSTMIQSLPQSIPIGNPGVLDRSVSGRETSFGVGKPIQLVKRESEWRKDSGSNLEVTGERKSEVDVADDLFNAYMNLENLETLNSSGTEDRDMDSKASGTKTYGGESSDNEVDSRVHKHPISIQGMSSGVSNEKREGVKRRAAGDIAPTVRHYRSVSMDSYMGSLQFDEDTPKIPPSGNHGNHHSPGSPGDANSSKFNFELGSSEFNESELKKIMENEKLAEMASVDPKRVKRILANRQSAARSKQRKMQYIAELEQKVQTLQTEATTLSAQLTMLQRDSAELTSQNNELKFRLQAMEQQAQLKDALNEALAAEVQRLKLTAAEFSGEVHLSNCMAQQLSIDHQMLQLQPQQQQQRNIYQMQQQHQQPQQGHHNQSQTQQQNGDASAANESK >KJB31367 pep chromosome:Graimondii2_0_v6:5:54740416:54750324:-1 gene:B456_005G187800 transcript:KJB31367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRDEVCIGGSQLKRPVVSSRGEGSGQQQMAGGTGATQKLTTNDALAYLKAVKDIFQEKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGYRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAALFRDHPDLLLEFTHFLPDTSATASNHYASTGRNSIPRERSSAMPGMCAVPADKKDRSTALLGDCDLSMERADPDHDRVMTKAEKEQRRRGEKERDKTEDRDRRDREQDDRDFEIDGNRDFNMRFPHKRNGKPARRSEESGVEQLQQVGGDGSAYDDKNAMKSVYNQEFAFCDKVKEKLRNPDHYQEFLRCLHLYSNEVISRTELQSLVNDLLGRYPEVMDGFNKFLMQCEKNEGLLADFVSEKYLRNEGQLPRSVKMEDRDRDRERDNGVKIRDRETRERDRHDRSSFANKDAGHKVSLFSSKDNKYFGKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGSEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNATTKRVEELLEKINNNIIKLDSPIRIEEHFTALNLRCIERLYGDHGLDVMDVLRKNAHLALPVILTRLKQKQEEWARCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKNLSTKALLAEIKEISEKKRKEDDVLLAIAAGNRRPIIPNLKFEYPDPEIHEDLYQLIKYSCGEMCTTEQLDKVIKIWTTFMEPMLGVPCRPQGAEDTEDVVKAKNNSVKNGSASVGESEGSPGGAGVAMNSKHSNPSRHEVESIPPEQSSSCRSWLLNGDNGVKQDGSAYIDSVGHKNASSCDAPQRDRMQVSPANGDEIAGVSKQASTSERLVTSNASLIARVEQSNGRTSIENTSGLIATPSRPGNAAVEGGLELKSNNENLPSSEGGGCSRPIISGNGMMTEGSKNHRYNEESVAQLKVEREEGELSPNGDFEEDNFADYGEASLETALKVKEGAANRQYQRHGEEEVCRGEAGGENDADADDEGDESAQRTSEDSENASENGEVFGSDSGEGESREEQEEDIDHDEHDNKVESEGEAEGMADAHDAEADVTLLPFSERFLLTVKPLAKYVPSPLHENKKDSQVFYGNDSFYVLFRLHHTLYERIQSAKINSSSADRKWRASSDPSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKIVKQLQAIASDEMDNKLLQLYAYEKSRRSRRFDVVYHENARVLLHDENIYRIECSSTPTCLSIQLMDYGHDKPEVTAVSMDPNFAAYLHNDFLSVVPKEKEKPGIFLKRNVRKCAGGDELSSTCRTTEGLKVVNGLECKIACNSSKVSYVLDTEDFLFRVKRKSALNQNSQCQNRARVSNGNSIRLRRQQRYQRLLLAYTSMA >KJB31369 pep chromosome:Graimondii2_0_v6:5:54741475:54749512:-1 gene:B456_005G187800 transcript:KJB31369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRDEVCIGGSQLKRPVVSSRGEGSGQQQMAGGTGATQKLTTNDALAYLKAVKDIFQEKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGYRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAALFRDHPDLLLEFTHFLPDTSATASNHYASTGRNSIPRERSSAMPGMCAVPADKKDRSTALLGDCDLSMERADPDHDRVMTKAEKEQRRRGEKERDKTEDRDRRDREQDDRDFEIDGNRDFNMRFPHKRNGKPARRSEESGVEQLQQVGGDGSAYDDKNAMKSVYNQEFAFCDKVKEKLRNPDHYQEFLRCLHLYSNEVISRTELQSLVNDLLGRYPEVMDGFNKFLMQCEKNEGLLADFVSEKYLRNEGQLPRSVKMEDRDRDRERDNGVKIRDRETRERDRHDRSSFANKDAGHKVSLFSSKDNKYFGKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGSEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNATTKRVEELLEKINNNIIKLDSPIRIEEHFTALNLRCIERLYGDHGLDVMDVLRKNAHLALPVILTRLKQKQEEWARCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKNLSTKALLAEIKEISEKKRKEDDVLLAIAAGNRRPIIPNLKFEYPDPEIHEDLYQLIKYSCGEMCTTEQLDKVIKIWTTFMEPMLGVPCRPQGAEDTEDVVKAKNNSVKNGSASVGESEGSPGGAGVAMNSKHSNPSRHEVESIPPEQSSSCRSWLLNGDNGVKQDGSAYIDSVGHKNASSCDAPQRDRMQVSPANGDEIAGVSKQASTSERLVTSNASLIARVEQSNGRTSIENTSGLIATPSRPGNAAVEGGLELKSNNENLPSSEGGGCSRPIISGNGMMTEGSKNHRYNEESVAQLKVEREEGELSPNGDFEEDNFADYGEASLETALKVKEGAANRQYQRHGEEEVCRGEAGGENDADADDEGDESAQRTSEDSENASENGEVFGSDSGEGESREEQEEDIDHDEHDNKVESEGEAEGMADAHDAEADVTLLPFSERFLLTVKPLAKYVPSPLHENKKDSQVFYGNDSFYVLFRLHHTLYERIQSAKINSSSADRKWRASSDPSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKIVKQLQAIASDEMDNKLLQLYAYEKSRRSRRFDVVYHENARVLLHDENIYRIECSSTPTCLSIQLMDYGHDKPEVTAVSMDPNFAAYLHNDFLSVVPKEKEKPGIFLKRYIG >KJB31366 pep chromosome:Graimondii2_0_v6:5:54740416:54749026:-1 gene:B456_005G187800 transcript:KJB31366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGTGATQKLTTNDALAYLKAVKDIFQEKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGYRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAALFRDHPDLLLEFTHFLPDTSATASNHYASTGRNSIPRERSSAMPGMCAVPADKKDRSTALLGDCDLSMERADPDHDRVMTKAEKEQRRRGEKERDKTEDRDRRDREQDDRDFEIDGNRDFNMRFPHKRNGKPARRSEESGVEQLQQVGGDGSAYDDKNAMKSVYNQEFAFCDKVKEKLRNPDHYQEFLRCLHLYSNEVISRTELQSLVNDLLGRYPEVMDGFNKFLMQCEKNEGLLADFVSEKYLRNEGQLPRSVKMEDRDRDRERDNGVKIRDRETRERDRHDRSSFANKDAGHKVSLFSSKDNKYFGKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGSEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNATTKRVEELLEKINNNIIKLDSPIRIEEHFTALNLRCIERLYGDHGLDVMDVLRKNAHLALPVILTRLKQKQEEWARCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKNLSTKALLAEIKEISEKKRKEDDVLLAIAAGNRRPIIPNLKFEYPDPEIHEDLYQLIKYSCGEMCTTEQLDKVIKIWTTFMEPMLGVPCRPQGAEDTEDVVKAKNNSVKNGSASVGESEGSPGGAGVAMNSKHSNPSRHEVESIPPEQSSSCRSWLLNGDNGVKQDGSAYIDSVGHKNASSCDAPQRDRMQVSPANGDEIAGVSKQASTSERLVTSNASLIARVEQSNGRTSIENTSGLIATPSRPGNAAVEGGLELKSNNENLPSSEGGGCSRPIISGNGMMTEGSKNHRYNEESVAQLKVEREEGELSPNGDFEEDNFADYGEASLETALKVKEGAANRQYQRHGEEEVCRGEAGGENDADADDEGDESAQRTSEDSENASENGEVFGSDSGEGESREEQEEDIDHDEHDNKVESEGEAEGMADAHDAEADVTLLPFSERFLLTVKPLAKYVPSPLHENKKDSQVFYGNDSFYVLFRLHHTLYERIQSAKINSSSADRKWRASSDPSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKIVKQLQAIASDEMDNKLLQLYAYEKSRRSRRFDVVYHENARVLLHDENIYRIECSSTPTCLSIQLMDYGHDKPEVTAVSMDPNFAAYLHNDFLSVVPKEKEKPGIFLKRNVRKCAGGDELSSTCRTTEGLKVVNGLECKIACNSSKVSYVLDTEDFLFRVKRKSALNQNSQCQNRARVSNGNSIRLRRQQRYQRLLLAYTSMA >KJB31364 pep chromosome:Graimondii2_0_v6:5:54740394:54750324:-1 gene:B456_005G187800 transcript:KJB31364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRDEVCIGGSQLKRPVVSSRGEGSGQQQMAGGTGATQKLTTNDALAYLKAVKDIFQEKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGYRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAALFRDHPDLLLEFTHFLPDTSATASNHYASTGRNSIPRERSSAMPGMCAVPADKKDRSTALLGDCDLSMERADPDHDRVMTKAEKEQRRRGEKERDKTEDRDRRDREQDDRDFEIDGNRDFNMRFPHKRNGKPARRSEESGVEQLQQVGGDGSAYDDKNAMKSVYNQEFAFCDKVKEKLRNPDHYQEFLRCLHLYSNEVISRTELQSLVNDLLGRYPEVMDGFNKFLMQCEKNEGLLADFVSEKYLRNEGQLPRSVKMEDRDRDRERDNGVKIRDRETRERDRHDRSSFANKDAGHKVSLFSSKDNKYFGKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGSEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNATTKRVEELLEKINNNIIKLDSPIRIEEHFTALNLRCIERLYGDHGLDVMDVLRKNAHLALPVILTRLKQKQEEWARCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKNLSTKALLAEIKEISEKKRKEDDVLLAIAAGNRRPIIPNLKFEYPDPEIHEDLYQLIKYSCGEMCTTEQLDKVIKIWTTFMEPMLGVPCRPQGAEDTEDVVKAKNNSVKNGSASVGESEGSPGGAGVAMNSKHSNPSRHEVESIPPEQSSSCRSWLLNGDNGVKQDGSAYIDSVGHKNASSCDAPQRDRMQVSPANGDEIAGVSKQASTSERLVTSNASLIARVEQSNGRTSIENTSGLIATPSRPGNAAVEGGLELKSNNENLPSSEGGGCSRPIISGNGMMTEGSKNHRYNEESVAQLKVEREEGELSPNGDFEEDNFADYGEASLETALKVKEGAANRQYQRHGEEEVCRGEAGGENDADADDEGDESAQRTSEDSENASENGEVFGSDSGEGESREEQEEDIDHDEHDNKVESEGEAEGMADAHDAEADVTLLPFSERFLLTVKPLAKYVPSPLHENKKDSQVFYGNDSFYVLFRLHHTLYERIQSAKINSSSADRKWRASSDPSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKIVKQLQAIASDEMDNKLLQLYAYEKSRRSRRFDVVYHENARVLLHDENIYRIECSSTPTCLSIQLMDYGHDKPEVTAVSMDPNFAAYLHNDFLSVVPKEKEKPGIFLKRNVRKCAGGDELSSTCRTTEGLKVVNGLECKIACNSSKVSYVLDTEDFLFRVKRKSALNQNSQCQNRARVSNGNSIRLRRQQRYQRLLLAYTSMA >KJB31368 pep chromosome:Graimondii2_0_v6:5:54741001:54749512:-1 gene:B456_005G187800 transcript:KJB31368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRDEVCIGGSQLKRPVVSSRGEGSGQQQMAGGTGATQKLTTNDALAYLKAVKDIFQEKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGYRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAALFRDHPDLLLEFTHFLPDTSATASNHYASTGRNSIPRERSSAMPGMCAVPADKKDRSTALLGDCDLSMERADPDHDRVMTKAEKEQRRRGEKERDKTEDRDRRDREQDDRDFEIDGNRDFNMRFPHKRNGKPARRSEESGVEQLQQVGGDGSAYDDKNAMKSVYNQEFAFCDKVKEKLRNPDHYQEFLRCLHLYSNEVISRTELQSLVNDLLGRYPEVMDGFNKFLMQCEKNEGLLADFVSEKYLRNEGQLPRSVKMEDRDRDRERDNGVKIRDRETRERDRHDRSSFANKDAGHKVSLFSSKDNKYFGKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGSEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNATTKRVEELLEKINNNIIKLDSPIRIEEHFTALNLRCIERLYGDHGLDVMDVLRKNAHLALPVILTRLKQKQEEWARCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKNLSTKALLAEIKEISEKKRKEDDVLLAIAAGNRRPIIPNLKFEYPDPEIHEDLYQLIKYSCGEMCTTEQLDKVIKIWTTFMEPMLGVPCRPQGAEDTEDVVKAKNNSVKNGSASVGESEGSPGGAGVAMNSKHSNPSRHEVESIPPEQSSSCRSWLLNGDNGVKQDGSAYIDSVGHKNASSCDAPQRDRMQVSPANGDEIAGVSKQASTSERLVTSNASLIARVEQSNGRTSIENTSGLIATPSRPGNAAVEGGLELKSNNENLPSSEGGGCSRPIISGNGMMTEGSKNHRYNEESVAQLKVEREEGELSPNGDFEEDNFADYGEASLETALKVKEGAANRQYQRHGEEEVCRGEAGGENDADADDEGDESAQRTSEDSENASENGEVFGSDSGEGESREEQEEDIDHDEHDNKVESEGEAEGMADAHDAEADVTLLPFSERFLLTVKPLAKYVPSPLHENKKDSQVFYGNDSFYVLFRLHHTLYERIQSAKINSSSADRKWRASSDPSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKIVKQLQAIASDEMDNKLLQLYAYEKSRRSRRFDVVYHENARVLLHDENIYRIECSSTPTCLSIQLMDYGHDKPEVTAVSMDPNFAAYLHNDFLSVVPKEKEKPGIFLKRNVRKCAGGDELSSTCRTTEGLKVVNGLECKIACNSSKVSYVLDTEDFLFRVKRKSALNQNSQCQNRARVSNGNSIRLRRQQRYQRLLLAYTSMA >KJB31363 pep chromosome:Graimondii2_0_v6:5:54740216:54750324:-1 gene:B456_005G187800 transcript:KJB31363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRDEVCIGGSQLKRPVVSSRGEGSGQQQMAGGTGATQKLTTNDALAYLKAVKDIFQEKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGYRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAALFRDHPDLLLEFTHFLPDTSATASNHYASTGRNSIPRERSSAMPGMCAVPADKKDRSTALLGDCDLSMERADPDHDRVMTKAEKEQRRRGEKERDKTEDRDRRDREQDDRDFEIDGNRDFNMRFPHKRNGKPARRSEESGVEQLQQVGGDGSAYDDKNAMKSVYNQEFAFCDKVKEKLRNPDHYQEFLRCLHLYSNEVISRTELQSLVNDLLGRYPEVMDGFNKFLMQCEKNEGLLADFVSEKYLRNEGQLPRSVKMEDRDRDRERDNGVKIRDRETRERDRHDRSSFANKDAGHKVSLFSSKDNKYFGKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGSEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNATTKRVEELLEKINNNIIKLDSPIRIEEHFTALNLRCIERLYGDHGLDVMDVLRKNAHLALPVILTRLKQKQEEWARCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKNLSTKALLAEIKEISEKKRKEDDVLLAIAAGNRRPIIPNLKFEYPDPEIHEDLYQLIKYSCGEMCTTEQLDKVIKIWTTFMEPMLGVPCRPQGAEDTEDVVKAKNNSVKNGSASVGESEGSPGGAGVAMNSKHSNPSRHEVESIPPEQSSSCRSWLLNGDNGVKQDGSAYIDSVGHKNASSCDAPQRDRMQVSPANGDEIAGVSKQASTSERLVTSNASLIARVEQSNGRTSIENTSGLIATPSRPGNAAVEGGLELKSNNENLPSSEGGGCSRPIISGNGMMTEGSKNHRYNEESVAQLKVEREEGELSPNGDFEEDNFADYGEASLETALKVKEGAANRQYQRHGEEEVCRGEAGGENDADADDEGDESAQRTSEDSENASENGEVFGSDSGEGESREEQEEDIDHDEHDNKVESEGEAEGMADAHDAEADVTLLPFSERFLLTVKPLAKYVPSPLHENKKDSQVFYGNDSFYVLFRLHHTLYERIQSAKINSSSADRKWRASSDPSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKIVKQLQAIASDEMDNKLLQLYAYEKSRRSRRFDVVYHENARVLLHDENIYRIECSSTPTCLSIQLMDYGHDKPEVTAVSMDPNFAAYLHNDFLSVVPKEKEKPGIFLKRNVRKCAGGDELSSTCRTTEGLKVVNGLECKIACNSSKVSYVLDTEDFLFRVKRKSALNQNSQCQNRARVSNGNSIRLRRQQRYQRLLLAYTSMA >KJB31365 pep chromosome:Graimondii2_0_v6:5:54740416:54750324:-1 gene:B456_005G187800 transcript:KJB31365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRDEVCIGGSQLKRPVVSSRGEGSGQQQMAGGTGATQKLTTNDALAYLKAVKDIFQEKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGYRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAALFRDHPDLLLEFTHFLPDTSATASNHYASTGRNSIPRERSSAMPGMCAVPADKKDRSTALLGDCDLSMERADPDHDRVMTKAEKEQRRRGEKERDKTEDRDRRDREQDDRDFEIDGNRDFNMRFPHKRNGKPARRSEESGVEQLQQVGGDGSAYDDKNAMKSVYNQEFAFCDKVKEKLRNPDHYQEFLRCLHLYSNEVISRTELQSLVNDLLGRYPEVMDGFNKFLMQCEKNEGLLADFVSEKYLRNEGQLPRSVKMEDRDRDRERDNGVKIRDRETRERDRHDRSSFANKDAGHKVSLFSSKDNKYFGKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGSEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNATTKRVEELLEKINNNIIKLDSPIRIEEHFTALNLRCIERLYGDHGLDVMDVLRKNAHLALPVILTRLKQKQEEWARCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKNLSTKALLAEIKEISEKKRKEDDVLLAIAAGNRRPIIPNLKFEYPDPEIHEDLYQLIKYSCGEMCTTEQLDKVIKIWTTFMEPMLGVPCRPQGAEDTEDVVKAKNNSVKNGSASVGESEGSPGGAGVAMNSKHSNPSRHEVESIPPEQSSSCRSWLLNGDNGVKQDGSAYIDSVGHKNASSCDAPQRDRMQVSPANGDEIAGVSKQASTSERLVTSNASLIARVEQSNGRTSIENTSGLIATPSRPGNAAVEGGLELKSNNENLPSSEGGGCSRPIISGNGMMTEGSKNHRYNEESVAQLKVEREEGELSPNGDFEEDNFADYGEASLETALKVKEGAANRQYQRHGEEEVCRGEAGGENDADADDEGDESAQRTSEDSENASENGEVFGSDSGEGESREEQEEDIDHDEHDNKVESEGEAEGMADAHDAEADVTLLPFSERFLLTVKPLAKYVPSPLHENKKDSQVFYGNDSFYVLFRLHHTLYERIQSAKINSSSADRKWRASSDPSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKIVKQLQAIASDEMDNKLLQLYAYEKSRRSRRFDVVYHENARVLLHDENIYRIECSSTPTCLSIQLMDYGHDKPEVTAVSMDPNFAAYLHNDFLSVVPKEKEKPGIFLKRNVRKCAGGDELSSTCRTTEGLKVVNGLECKIACNSSKVSYVLDTEDFLFRVKRKSALNQNSQCQNRARVSNGNSIRLRRQQRYQRLLLAYTSMA >KJB32421 pep chromosome:Graimondii2_0_v6:5:62138381:62141548:1 gene:B456_005G240000 transcript:KJB32421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQPEEKLKEEPQTITMISSTKPTSSCNNNAISMAAAKQGTSCSSGNGNGNGNGNGVTFKFNAHAPEFVPKSHTQMPISGYYYPCFHYLGGAAAAASDWFFLGEQEPSAYLSSNPNISIPNCSSKNVLTDDLRQKIIKQVEYQFSDMSLLANESLSKQTSKDPEGYVPISFIASTKKIKSLITNHQLLAQALRSSLKLVVSDDGKKVRRKHPFTEKEREQVQSRTVVVENLPEDHSHQNLDKIFNVVGSVKNIRICHPQESNSSRSKIDFVMSNKLHALVEYESTKVAEKAVEKLNDERNWRKGLRVRLLLRLSPKSVLKTRKSEFDGILDDDDSPRGEHSEGSSPPNNAESFENIVEDNTVGSKKGWSKGRGKVRGCAQNHSGRGLLAASPQPSNAVQCEASVKQITKGPRMPDGTRGFTMGRGKPLSLPLE >KJB28183 pep chromosome:Graimondii2_0_v6:5:2938564:2941500:1 gene:B456_005G032600 transcript:KJB28183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALTELVILVPFCFFLVALIKFLYDYLWVPLRIQRLLNSQGIKGPAYKFIHGNNHEVTKMKKEALSKHLGLTDDIFPKVQPHIYTWINRYGRNYLYWNGIRPELVISEPELVKEVLKNSENTFPKKKPSIFFSKLLGNGLVLLEGEKWVKHRKLANHVFHGERLKNMTPAVIASVETMLEKWKGQEGKEIEVFQEFRLLTSEVISRTAFGSNYLEGEKIFAMLKELSIIMSRNNFKTSIPLINKLWKGVDMLKSEELAKGIQDCVMKIVKKREDKFVNGEADSFGNDFLGLLVNAYHDSDENNRLSMEDLVDECKTFYFAGQETVNSLLAWIVLLLATHGDWQDKARREVIDIFGNRNPDSEGISKLKTITMIIYETLRLYGPSNGLQRRVTRKVQLGKLVLPANIDILVQNIALHHDPHQWGDDVHLFKPERFEEGIAKATNYNVAAFFPFGLGPRSCVGMSFAITETKVALSMILQRYTITLSPAYVHSPMTILAIRPQHGIQVILESLHNNA >KJB30907 pep chromosome:Graimondii2_0_v6:5:49263325:49268906:-1 gene:B456_005G167400 transcript:KJB30907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MVVAQKVKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPENYFNDKSVPALEMKIKKLMPLDAESRRLIDWMEKGVYDALQKKYLKTLLFCICESIDGAMIEEYSFSFSYSNSDTQEVSMNINRTGSKKHGRAFICNSTTEVSPNQMRSSACKMVRTLVQLMRTLDKMPEERTILMKLLYYDDVTPMDYEPPFFRGCTEEEAHNSWIKNPLRMEVGNVNSKHFVLALKVKSVLDPCGDENDDIEDEEVSLGVDSVQRDESSDSDTEVGESQEDQFIVAPVDKERSEEDNSAVDEDDTQDPMEDEQQLARVKIWIDNLHLDTVELTDVLSHFPDISVVLTEEIMDKLVKEGVLSRSGKDSYTINKQKKSEYEFTVKEETDGQVQIAQKSPKLEDHLYMKALYYALPMKYVSAAKLHKKLDGQVNQTVVRKLINKMTQDGFIEAKGNRRLGKRVIHSNLTEKNLLELKRALNKDPMDMDCTEPHNKTSHPEMQTTGSNLRDVSTCGVLHSIGSDLTRMRGRSDMNQSEQTISKTRDNGNTPISMAQPIASRESFVPGCENNRVNGNCDEVDTIVCSRSSQDKRGRKASTVKEPILQNMKRQKSQAV >KJB30909 pep chromosome:Graimondii2_0_v6:5:49263582:49268557:-1 gene:B456_005G167400 transcript:KJB30909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MVVAQKVKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPENYFNDKSVPALGVYDALQKKYLKTLLFCICESIDGAMIEEYSFSFSYSNSDTQEVSMNINRTGSKKHGRAFICNSTTEVSPNQMRSSACKMVRTLVQLMRTLDKMPEERTILMKLLYYDDVTPMDYEPPFFRGCTEEEAHNSWIKNPLRMEVGNVNSKHFVLALKVKSVLDPCGDENDDIEDEEVSLGVDSVQRDESSDSDTEVGESQEDQFIVAPVDKERSEEDNSAVDEDDTQDPMEDEQQLARVKIWIDNLHLDTVELTDVLSHFPDISVVLTEEIMDKLVKEGVLSRSGKDSYTINKQKKSEYEFTVKEETDGQVQIAQKSPKLEDHLYMKALYYALPMKYVSAAKLHKKLDGQVNQTVVRKLINKMTQDGFIEAKGNRRLGKRVIHSNLTEKNLLELKRALNKDPMDMDCTEPHNKTSHPEMQTTGSNLRDVSTCGVLHSIGSDLTRMRGRSDMNQSEQTISKTRDNGNTPISMAQPIASRESFVPGCENNRVNGNCDEVDTIVCSRSSQDKRGRKASTVCCQGAYPAEHEAPEITSCLKEMEKCNVSA >KJB30910 pep chromosome:Graimondii2_0_v6:5:49263427:49268557:-1 gene:B456_005G167400 transcript:KJB30910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MVVAQKVKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPENYFNDKSVPALGVYDALQKKYLKTLLFCICESIDGAMIEEYSFSFSYSNSDTQEVSMNINRTGSKKHGRAFICNSTTEVSPNQMRSSACKMVRTLVQLMRTLDKMPEERTILMKLLYYDDVTPMDYEPPFFRGCTEEEAHNSWIKNPLRMEVGNVNSKHFVLALKVKSVLDPCGDENDDIEDEEVSLGVDSVQRDESSDSDTEVGESQEDQFIVAPVDKERSEEDNSAVDEDDTQDPMEDEQQLARVKIWIDNLHLDTVELTDVLSHFPDISVVLTEEIMDKLVKEGVLSRSGKDSYTINKQKKSEYEFTVKEETDGQVQIAQKSPKLEDHLYMKALYYALPMKYVSAAKLHKKLDGQVNQTVVRKLINKMTQDGFIEAKGNRRLGKRVIHSNLTEKNLLELKRALNKDPMDMDCTEPHNKTSHPEMQTTGSNLRDVSTCGVLHSIGSDLTRMRGRSDMNQSEQTISKTRDNGNTPISMAQPIASRESFVPGCENNRVNGNCDEVDTIVCSRSSQDKRGRKASTVKEPILQNMKRQKSQAV >KJB30908 pep chromosome:Graimondii2_0_v6:5:49263427:49268557:-1 gene:B456_005G167400 transcript:KJB30908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MVVAQKVKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPENYFNDKSVPALGVYDALQKKYLKTLLFCICESIDGAMIEEYSFSFSYSNSDTQEVSMNINRTGSKKHGRAFICNSTTEVSPNQMRSSACKMVRTLVQLMRTLDKMPEERTILMKLLYYDDVTPMDYEPPFFRGCTEEEAHNSWIKNPLRMEVGNVNSKHFVLALKVKSVLDPCGDENDDIEDEEVSLGVDSVQRDESSDSDTEVGESQEDQFIVAPVDKERSEEDNSAVDEDDTQDPMEDEQQLARVKIWIDNLHLDTVELTDVLSHFPDISVVLTEEIMDKLVKEGVLSRSGKDSYTINKQKKSEYEFTVKEETDGQVQIAQKSPKLEDHLYMKALYYALPMKYVSAAKLHKKLDGQVNQTVVRKLINKMTQDGFIEAKGNRRLGKRVIHSNLTEKNLLELKRALNKDPMDMDCTEPHNKTSHPEMQTTGTKMNLFPIIVKS >KJB31440 pep chromosome:Graimondii2_0_v6:5:55971141:55972100:-1 gene:B456_005G192000 transcript:KJB31440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPVINRISDFEASISTLNNPSFLSQVYALSGIDKIYQAYHFWKWGALILALLASLSTIINRLKILVIRFRRNHSLPSRPLLYDTDFDTDSETSSCSSSDNELEYEEPSASQNWRQVDEDFRVRGSGHFIDDQWRNSGSTLRKRRSSIGDLFSWAEELTNGKSVVKLWDNLGLGFRLDLDESDNFLNVYDINKETKIRSIFGEKSDFQAVSASSSSPAVVVSAGGDSSTRRVAVSAWDTRLDCRQPVILTEWSPEKPMEKISAVNTDGVEKVYIRGDVKGMLTVGDMRKARSPLRNLTDSEVETWWDADAVIVSDESM >KJB29452 pep chromosome:Graimondii2_0_v6:5:16776217:16779057:1 gene:B456_005G101700 transcript:KJB29452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRGTVGSLPETIFVHSSTSSNAVAEQQDCWNNIRNPIENRLPDCLLSTNDMNIGYANSMGQEEQLGRWSLVDVNSSGTQNEVSHNEWKTDHRWSSSISASANAGPRLEGRRYEQNSLFAQSSNSDTVSQNLRLNVGFAGVDDNSCQVTERLNLHKPSGSENEQNLLGTGPEAFLLSSGSGRYVVDDNDSRPGCSYEGRRASCKRKALEGNVGQCSSSGSSGYFRSAESSAWHGISASHTAGSNVNISPPSRQVHPRLGLDVRGSASNSIPEQIVLPPTAESSHRNFHLRRNPSSIQEPIAHPIFPTGDMSWQAVVSSAQQPSRLFPANHSLELRSAPVVHNANSENPNIISHVPSLLLNGGSGPRTGSSSNSNPFADRDVPRARHKSRSMARNILHHPMFVPAPELRTLVRNPVLSSGNISSPGNVASTSHAGSNNGANVMSASTWVPHPNPSSQYPRRLSELVRQSLMSSLGTESGGQSNHSSLPSGPTTSPEAMLLSSHVASQVPHRLYPRSLPWLERQDAGFVGIPHSLRTLAAATEGRSRLVVSEVCTRTPSFFIVIVSLVFFKFKQ >KJB29451 pep chromosome:Graimondii2_0_v6:5:16776052:16780637:1 gene:B456_005G101700 transcript:KJB29451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRGTVGSLPETIFVHSSTSSNAVAEQQDCWNNIRNPIENRLPDCLLSTNDMNIGYANSMGQEEQLGRWSLVDVNSSGTQNEVSHNEWKTDHRWSSSISASANAGPRLEGRRYEQNSLFAQSSNSDTVSQNLRLNVGFAGVDDNSCQVTERLNLHKPSGSENEQNLLGTGPEAFLLSSGSGRYVVDDNDSRPGCSYEGRRASCKRKALEGNVGQCSSSGSSGYFRSAESSAWHGISASHTAGSNVNISPPSRQVHPRLGLDVRGSASNSIPEQIVLPPTAESSHRNFHLRRNPSSIQEPIAHPIFPTGDMSWQAVVSSAQQPSRLFPANHSLELRSAPVVHNANSENPNIISHVPSLLLNGGSGPRTGSSSNSNPFADRDVPRARHKSRSMARNILHHPMFVPAPELRTLVRNPVLSSGNISSPGNVASTSHAGSNNGANVMSASTWVPHPNPSSQYPRRLSELVRQSLMSSLGTESGGQSNHSSLPSGPTTSPEAMLLSSHVASQVPHRLYPRSLPWLERQDAGFVGIPHSLRTLAAATEGRSRLVVSEIRNVLDLMRRGENLRFEDVMILDQSVLLGVADIHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILNRLERRKHSSAPGAQLEAEPCCVCQEEYNDGEDIGTLECGHGFHADCIKQWLMHKNLCPICKTTGLTK >KJB29453 pep chromosome:Graimondii2_0_v6:5:16776217:16780510:1 gene:B456_005G101700 transcript:KJB29453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRGTVGSLPETIFVHSSTSSNAVAEQQDCWNNIRNPIENRLPDCLLSTNDMNIGYANSMGQEEQLGRWSLVDVNSSGTQNEVSHNEWKTDHRWSSSISASANAGPRLEGRRYEQNSLFAQSSNSDTVSQNLRLNVGFAGVDDNSCQVTERLNLHKPSGSENEQNLLGTGPEAFLLSSGSGRYVVDDNDSRPGCSYEGRRASCKRKALEGNVGQCSSSGSSGYFRSAESSAWHGISASHTAGSNVNISPPSRQVHPRLGLDVRGSASNSIPEQIVLPPTAESSHRNFHLRRNPSSIQEPIAHPIFPTGDMSWQAVVSSAQQPSRLFPANHSLELRSAPVVHNANSENPNIISHVPSLLLNGGSGPRTGSSSNSNPFADRDVPRARHKSRSMARNILHHPMFVPAPELRTLVRNPVLSSGNISSPGNVASTSHAGSNNGANVMSASTWVPHPNPSSQYPRRLSELVRQSLMSSLGTESGGQSNHSSLPSGPTTSPEAMLLSSHVASQVPHRLYPRSLPWLERQDAGFVGIPHSLRTLAAATEGRSRLVVSEIRNVLDLMRRGENLRFEDVMILDQSVLLGVADIHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILNRLERRKHSSAPGAQLEEEYNDGEDIGTLECGHGFHADCIKQWLMHKNLCPICKTTGLTK >KJB29454 pep chromosome:Graimondii2_0_v6:5:16777299:16779590:1 gene:B456_005G101700 transcript:KJB29454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRGTVGSLPETIFVHSSTSSNAVAEQQDCWNNIRNPIENRLPDCLLSTNDMNIGYANSMGQEEQLGRWSLVDVNSSGTQNEVSHNEWKTDHRWSSSISASANAGPRLEGRRYEQNSLFAQSSNSDTVSQNLRLNVGFAGVDDNSCQVTERLNLHKPSGSENEQNLLGTGPEAFLLSSGSGRYVVDDNDSRPGCSYEGRRASCKRKALEGNVGQCSSSGSSGYFRSAESSAWHGISASHTAGSNVNISPPSRQVHPRLGLDVRGSASNSIPEQIVLPPTAESSHRNFHLRRNPSSIQEPIAHPIFPTGDMSWQAVVSSAQQPSRLFPANHSLELRSAPVVHNANSENPNIISHVPSLLLNGGSGPRTGSSSNSNPFADRDVPRARHKSRSMARNILHHPMFVPAPELRTLVRNPVLSSGNISSPGNVASTSHAGSNNGANVMSASTWVPHPNPSSQYPRRLSELVRQSLMSSLGTESGGQSNHSSLPSGPTTSPEAMLLSSHVASQVPHRLYPRSLPWLERQDAGFVGIPHSLRTLAAATEGRSRLVVSEIRNVLDLMRRGENLRFEVRILIIMVHFDAILLHQLKHGSKKNKCAFSFIVRLLLCSIGSHSSNLSCYGLKSGYVI >KJB30775 pep chromosome:Graimondii2_0_v6:5:45757529:45760756:1 gene:B456_005G159100 transcript:KJB30775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFLLLLLLLVSAVSGDEDAYIGVNIGTDLSDMPTPTEVVALLKAQRIRHVRLYDADQAMLLALAKTGIQVTVSVPNDQLLGIGQSNATAANWVARNIVAHVPATNITAIAVGSEVLTALPNAAPILVSALKFIHSALVASNLDDQIKVSTPHSSSIILDSFPPSQAFFNRSWDPVMVPLLKFLQSTGSYLMLNVYPYYDYMQSNGKIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMSYLNFTNIPIVVTESGWPSKGDSSEPDAIVDNANTYNSNLIKHVLNNTGTPKHPGIAVSTYIYELYNEDLRPGSDSEKNWGLFDANGIPVYTLHLTGADTVFANDTTNKTFCIAKRGADPKMLQAALDWACGPGKVDCSPLLLGHPCYEPDNVASHSTYAFNAYYQRMAKSPGTCDFKGVATITTSDPSHGSCIFPGSSGKNGTLINELICTHPLV >KJB30776 pep chromosome:Graimondii2_0_v6:5:45757529:45760756:1 gene:B456_005G159100 transcript:KJB30776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFLLLLLLLVSAVSGDEDAYIGVNIGTDLSDMPTPTEVVALLKAQRIRHVRLYDADQAMLLALAKTGIQVTVSVPNDQLLGIGQSNATAANWVARNIVAHVPATNITAIAVGSEVLTALPNAAPILVSALKFIHSALVASNLDDQIKVSTPHSSSIILDSFPPSQAFFNRSWDPVMVPLLKFLQSTGSYLMLNVYPYYDYMQSNGKIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMSYLNFTNIPIVVTESGWPSKGDSSEPDAIVDNANTYNSNLIKHVLNNTGTPKHPGIAVSTYIYELYNEDLRPGSDSEKNWGLFDANGIPVYTLHLTGADTVFANDTTNKTFCIAKRGADPKMLQAALDWACGPGKVDCSPLLLGHPCYEPDNVASHSTYAFNAYYQRMAKSPGTCDFKGVATITTSDPSHGSCIFPGSSGKNGTLINGTSLAPSSNDTSSARPPQSYGTGSFTTSVMIGVLLTGAVFL >KJB28337 pep chromosome:Graimondii2_0_v6:5:4080936:4084126:-1 gene:B456_005G042600 transcript:KJB28337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSFPKLSILAVVVTLLLSHHLYEVSAATGGRMGGSSFSSESPPSHRNDDDYHHYDHHDLYHRHHHHYHHSQPGFPRSVDQESGPTSAAVSYLILTIFMGVASVIVYSSIVQNRTSILQVQVGLSAKARSLQMELTEIASTTDTSTAKGWNIILEETVSSLLHHPHCYLHGYSSVTHHWTVGSAEKQFQRLSKEERLKFDVETLVNFNNIKRQRAVVSNGDKGDKDRIVVTVLVAAQGAHKLPTINTTDNVKEALQYLADISSSKIKGVEVLWSPQDETDSLSVEELLENYPQMKRI >KJB27481 pep chromosome:Graimondii2_0_v6:5:48368391:48368892:-1 gene:B456_005G165200 transcript:KJB27481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVVIAVVLFVLLSPGLLFQLPGRNKVVEFGNMQTSGISILVHAIIFFGLITIFLIAIGVHIYTG >KJB32690 pep chromosome:Graimondii2_0_v6:5:63293653:63296262:-1 gene:B456_005G255800 transcript:KJB32690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYSDDDGSDQQSERCGSYSLSADVSESESCSSFSCRRFDGEGCSSSMTSSPRLMAAGGGFGFQLPVIGGKDVVIWDDEKTEKRDADLSGIEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQKKEMWKREMEWLLCVSDSIVELVPSIQEFPGGGTYEVMVTRPRLDLYMNLPALKKLDAILITMLDGFSETEFWYVDRGIVVGDGGDCGAYSSSVSGGRPSIRQEEKWWLPCPKVPPNGLSEDARKKLQQCRDSTNQVLKAAMAINNSVLAEMEIPTAYLETLPKNGKDCLGDIIYRYITADQFSPECLLDCLDLSTEHQTLEIANRIEAAVHVWKQKDQRKHTNHTKGKRSSWGGKVKGLVSDSEKNNFLSQRAETLLHSLRARFPGLPQTALDMNKIQYNKVFCTNSLYGNTNKTRNTSMDLVACIYLTMWPNKCDLVVP >KJB32687 pep chromosome:Graimondii2_0_v6:5:63292756:63296875:-1 gene:B456_005G255800 transcript:KJB32687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYSDDDGSDQQSERCGSYSLSADVSESESCSSFSCRRFDGEGCSSSMTSSPRLMAAGGGFGFQLPVIGGKDVVIWDDEKTEKRDADLSGIEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQKKEMWKREMEWLLCVSDSIVELVPSIQEFPGGGTYEVMVTRPRLDLYMNLPALKKLDAILITMLDGFSETEFWYVDRGIVVGDGGDCGAYSSSVSGGRPSIRQEEKWWLPCPKVPPNGLSEDARKKLQQCRDSTNQVLKAAMAINNSVLAEMEIPTAYLETLPKNGKDCLGDIIYRYITADQFSPECLLDCLDLSTEHQTLEIANRIEAAVHVWKQKDQRKHTNHTKGKRSSWGGKVKGLVSDSEKNNFLSQRAETLLHSLRARFPGLPQTALDMNKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVLYVDDAIKQRAAAETVSLFSRSGFSGRPIQRRLSPSPFSIQHTPYASPFATPTFCSPTPLTGSPRRAASSLKRNDIKEEPDRKFEKPFASEFEKVWSYTGSLSARRVSENAPERD >KJB32691 pep chromosome:Graimondii2_0_v6:5:63292794:63296550:-1 gene:B456_005G255800 transcript:KJB32691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYSDDDGSDQQSERCGSYSLSADVSESESCSSFSCRRFDGEGCSSSMTSSPRLMAAGGGFGFQLPVIGGKDVVIWDDEKTEKRDADLSGIEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQKKEMWKREMEWLLCVSDSIVELVPSIQEFPGGGTYEVMVTRPRETEFWYVDRGIVVGDGGDCGAYSSSVSGGRPSIRQEEKWWLPCPKVPPNGLSEDARKKLQQCRDSTNQVLKAAMAINNSVLAEMEIPTAYLETLPKNGKDCLGDIIYRYITADQFSPECLLDCLDLSTEHQTLEIANRIEAAVHVWKQKDQRKHTNHTKGKRSSWGGKVKGLVSDSEKNNFLSQRAETLLHSLRARFPGLPQTALDMNKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVLYVDDAIKQRAAAETVSLFSRSGFSGRPIQRRLSPSPFSIQHTPYASPFATPTFCSPTPLTGSPRRAASSLKRNDIKEEPDRKFEKPFASEFEKVWSYTGSLSARRVSENAPERD >KJB32692 pep chromosome:Graimondii2_0_v6:5:63292794:63296578:-1 gene:B456_005G255800 transcript:KJB32692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYSDDDGSDQQSERCGSYSLSADVSESESCSSFSCRRFDGEGCSSSMTSSPRLMAAGGGFGFQLPVIGGKDVVIWDDEKTEKRDADLSGIEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSVFGELWRLEPLAPQKKEMWKREMEWLLCVSDSIVELVPSIQEFPGGGTYEVMVTRPRLDLYMNLPALKKLDAILITMLDGFSETEFWYVDRGIVVGDGGDCGAYSSSVSGGRPSIRQEEKWWLPCPKVPPNGLSEDARKKLQQCRDSTNQVLKAAMAINNSVLAEMEIPTAYLETLPKNGKDCLGDIIYRYITADQFSPECLLDCLDLSTEHQTLEIANRIEAAVHVWKQKDQRKHTNHTKGKRSSWGGKVKGLVSDSEKNNFLSQRAETLLHSLRARFPGLPQTALDMNKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVLYVDDAIKQRAAAETVSLFSRSGFSGRPIQRRLSPSPFSIQHTPYASPFATPTFCSPTPLTGSPRRAASSLKRNDIKEEPDRKFEKPFASEFEKVWSYTGSLSARRVSENAPERD >KJB32689 pep chromosome:Graimondii2_0_v6:5:63292794:63296550:-1 gene:B456_005G255800 transcript:KJB32689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYSDDDGSDQQSERCGSYSLSADVSESESCSSFSCRRFDGEGCSSSMTSSPRLMAAGGGFGFQLPVIGGKDVVIWDDEKTEKRDADLSGIEMMKERFAKLLLGEDMSGGGKGVSTVFGELWRLEPLAPQKKEMWKREMEWLLCVSDSIVELVPSIQEFPGGGTYEVMVTRPRLDLYMNLPALKKLDAILITMLDGFSETEFWYVDRGIVVGDGGDCGAYSSSVSGGRPSIRQEEKWWLPCPKVPPNGLSEDARKKLQQCRDSTNQVLKAAMAINNSVLAEMEIPTAYLETLPKNGKDCLGDIIYRYITADQFSPECLLDCLDLSTEHQTLEIANRIEAAVHVWKQKDQRKHTNHTKGKRSSWGGKVKGLVSDSEKNNFLSQRAETLLHSLRARFPGLPQTALDMNKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVLYVDDAIKQRAAAETVSLFSRSGFSGRPIQRRLSPSPFSIQHTPYASPFATPTFCSPTPLTGSPRRAASSLKRNDIKEEPDRKFEKPFASEFEKVWSYTGSLSARRVSENAPERD >KJB32688 pep chromosome:Graimondii2_0_v6:5:63293033:63295224:-1 gene:B456_005G255800 transcript:KJB32688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKREMEWLLCVSDSIVELVPSIQEFPGGGTYEVMVTRPRLDLYMNLPALKKLDAILITMLDGFSETEFWYVDRGIVVGDGGDCGAYSSSVSGGRPSIRQEEKWWLPCPKVPPNGLSEDARKKLQQCRDSTNQVLKAAMAINNSVLAEMEIPTAYLETLPKNGKDCLGDIIYRYITADQFSPECLLDCLDLSTEHQTLEIANRIEAAVHVWKQKDQRKHTNHTKGKRSSWGGKVKGLVSDSEKNNFLSQRAETLLHSLRARFPGLPQTALDMNKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVLYVDDAIKQRAAAETVSLFSRSGFSGRPIQRRLSPSPFSIQHTPYASPFATPTFCSPTPLTGSPRRAASSLKRNDIKEEPDRKFEKPFASEFEKVWSYTGSLSARRVSENAPERD >KJB28260 pep chromosome:Graimondii2_0_v6:5:3581749:3584018:1 gene:B456_005G038000 transcript:KJB28260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQHFGHHHPLVFIQAHSVASKAALCLVCEKPVMGWSYGCNQCEFYLHKGYAELELAPKIQHPFHPKHPLTLLPKSPYSGLGICNFCRKKFGGFVYNCNDCSFDLHINCAFHNNEVKSHCSGCQKPISGPIYHCSDCTYPIFNLHKECAELPLEINHPYDRRHPLTLLPQPPTHPQKCSCYLCIIQWKGFVYSCSLCNFDLSLDDFLFSPPTITVASHEHLCMLVSRKMPFVCDFCGTDGDHSPYFCSTCHLLVHKNCISLPRHIMIQPFFSTMITRHRHTISLSYSLRQNQVEDWMCKICYEEVDIRYGNYRCPASRCCYIAHVRCATDKVIWDGRIMPEGYDERSEEVCDGCTRPISTPFYSCEQCKFFLHKDCAELPKKMPHPFHKHLLTLPNSHNEYDYSWCDACGRVYQGFSYRCFDCRFKIDIQCMLLSDTLKHPSHEHSLFLVHNNEGTSCSACFRKLQSRDVAYRCMKRCDFSLDVGCATLPLTAWYKYDRHPLPLTFSDDSEPSQLYCDLCEKEREPNRWFYYCADCDNSLHLNCAIGDIPYMKLGNKIKSTDHRHPITVVKNIWNCPPCMVCGEVCNG >KJB27488 pep chromosome:Graimondii2_0_v6:5:49218768:49220770:1 gene:B456_005G167100 transcript:KJB27488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIMEFAENLVLRLMEDPKERDRKFREHVYSMKDRCAKTKEMWSYPLRPYGFWTFERHNAQLRWDPQISQVPGRRDPYDDLLQDSYASSSKRN >KJB27485 pep chromosome:Graimondii2_0_v6:5:49218768:49219473:1 gene:B456_005G167100 transcript:KJB27485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIMEFAENLVLRLMEDPKERDRKFREHVYSMKDRCAKTKEMWSYPLRPYGFWTFERHNAQLRWDPQISQVPGRRDPYDDLLQDSYASSSKRN >KJB27486 pep chromosome:Graimondii2_0_v6:5:49218721:49220770:1 gene:B456_005G167100 transcript:KJB27486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIMEFAENLVLRLMEDPKERDRKFREHVYSMKDRCAKTKEMWSYPLRPYGFWTFERHNAQLRWDPQISQVPGRRDPYDDLLQDSYASSSKRN >KJB27484 pep chromosome:Graimondii2_0_v6:5:49219010:49220770:1 gene:B456_005G167100 transcript:KJB27484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIMEFAENLVLRLMEDPKERDRKFREHVYSMKDRCAKTKEMWSYPLRPYGFWTFERHNAQLRWDPQISQVPGRRDPYDDLLQDSYASSSKRN >KJB27487 pep chromosome:Graimondii2_0_v6:5:49218768:49220770:1 gene:B456_005G167100 transcript:KJB27487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIMEFAENLVLRLMEDPKERDRKFREHVYSMKDRCAKTKEMWSYPLRPYGFWTFERHNAQLRWDPQISQVPGRRDPYDDLLQDSYASSSKRN >KJB32202 pep chromosome:Graimondii2_0_v6:5:61196184:61202784:1 gene:B456_005G229200 transcript:KJB32202 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain containing protein [Source: Projected from Oryza sativa (Os10g0100300)] MESCSLQPPAITTVPSSLSRSGFIEKPLGYGRILKLPTCKKYPHGRKLKFFDFRAQASETTKISSETAGTISNKADSKDEDLAFVAGATGRVGSRTVRELLKLGFRVRAGVRSAQKAETLVQSVKQLKLDPEGTTPVEKLEIVECDLEKQDTIAPAIGNASVVICCIGASEKEIFDITGPYRIDYQATKNLIDAATAVKVNHFILVSSLGTNKVGFPAAILNLFWGVLIWKRKTEEALIASGLPYTIVRPGGMERPTDAFKETHNITLSTEDTLFGGLVSNLQVAELMACMAKNRSLSYCKVVEVVAETTAPLRPMEKLLAKIPSQRADISSPKEYDTPTKPDPAPARSIVTEKPTTPTEKEPAQAKVLETEPLSPYTVYDDLKPPSSPSPTPGGPKEVSSSASAPAENVSTSTEGNSVPAAVATDIIEKDSSKQSTSRSPYYAYADLKPPTSPSPRAPTTAPADSGSEGDTLSGNSTAQSPIADKPGDEQPDTKPTPRPLSPFAMYADLKPPSTPSPRAPTTAPVDSGSEGATLSGNSTAQSSIADKPSDEQHNTKPTPRPLSPFAMYEDLKPPTSPIPPPKKS >KJB32204 pep chromosome:Graimondii2_0_v6:5:61196303:61202784:1 gene:B456_005G229200 transcript:KJB32204 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain containing protein [Source: Projected from Oryza sativa (Os10g0100300)] MESCSLQPPAITTVPSSLSRSGFIEKPLGYGRILKLPTCKKYPHGRKLKFFDFRAQASETTKISSETAGTISNKADSKDEDLAFVAGATGRVGSRTVRELLKLGFRVRAGVRSAQKAETLVQSVKQLKLDPEGTTPVEKLEIVECDLEKQDTIAPAIGNASVVICCIGASEKEIFDITGPYRIDYQATKNLIDAATAVKVNHFILVSSLGTNKVGFPAAILNLFWGVLIWKRKTEEALIASGLPYTIVRPGGMERPTDAFKETHNITLSTEDTLFGGLVSNLQVAELMACMAKNRSLSYCKVVEVVAETTAPLRPMEKLLAKIPSQRADISSPKEYDTPTKPDPAPARSIVTEKPTTPTEKEPAQAKVLETEPLSPYTVYDDLKPPSSPSPTPGGPKEVSSSASAPAENVSTSTEGNSVPAAVATDIIEKDSSKQSTSRSPYYAYADLKPPTSPSPRAPTTAPADSGSEGDTLSGNSTAQSPIADKPGDEQPDTKPTPRPLSPFAMYADLKPPSTPSPRAPTTAPVDSGSEGATLSGNSTAQSSIADKPSDEQHNTKPTPRPLSPFAMYEDLKPPTSPIPPPKKS >KJB32203 pep chromosome:Graimondii2_0_v6:5:61196303:61202784:1 gene:B456_005G229200 transcript:KJB32203 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain containing protein [Source: Projected from Oryza sativa (Os10g0100300)] MESCSLQPPAITTVPSSLSRSGFIEKPLGYGRILKLPTCKKYPHGRKLKFFDFRAQASETTKISSETAGTISNKADSKDEDLAFVAGATGRVGSRTVRELLKLGFRVRAGVRSAQKAETLVQSVKQLKLDPEGTTPVEKLEIVECDLEKQDTIAPAIGNASVVICCIGASEKEIFDITGPYRIDYQATKNLIDAATAVKVNHFILVSSLGTNKVGFPAAILNLFWGVLIWKRKTEEALIASGLPYTIVRPGGMERPTDAFKETHNITLSTEDTLFGGLVSNLQEYDTPTKPDPAPARSIVTEKPTTPTEKEPAQAKVLETEPLSPYTVYDDLKPPSSPSPTPGGPKEVSSSASAPAENVSTSTEGNSVPAAVATDIIEKDSSKQSTSRSPYYAYADLKPPTSPSPRAPTTAPADSGSEGDTLSGNSTAQSPIADKPGDEQPDTKPTPRPLSPFAMYADLKPPSTPSPRAPTTAPVDSGSEGATLSGNSTAQSSIADKPSDEQHNTKPTPRPLSPFAMYEDLKPPTSPIPPPKKS >KJB27831 pep chromosome:Graimondii2_0_v6:5:886596:894246:1 gene:B456_005G012300 transcript:KJB27831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGSRNPMWGEEFDFSVDELPVQINVTIYDWDIIWKSAVLGSVTILVDTEGQSDAVWHTLDSTSGQVCLHIKTIKLPVNSRGMNGYAGANTRRRISSDKQGPTVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVVIPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSSDGRVRYKFASFWNRNHALRALQRASKNYHTMLEAEKKEIAESALRAHSSSIRGSRRQATAPKDNASKPEKSQAFIKEEVLVGIYNDVFLCTAEQFYNLLLSDDSSFTNEYRAVRKDTNLSMGQWHPADEYDGLVREITFRTICNSPMCPPDTAMTEYQRSILSPDKKKLVFETVQQAHDVPFGSYFEVHCRWCVETNGENSSILDVKVGRFSVGFSSLENVF >KJB27828 pep chromosome:Graimondii2_0_v6:5:885385:893290:1 gene:B456_005G012300 transcript:KJB27828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIETGVVVDYLVPSWWEVKVAVAASVFVIVSYWFFALQGKDGDGGDRSQVSESSAEGILDEKEKIVHSKGDVQTNSRYLIKVELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFDFSVDELPVQINVTIYDWDIIWKSAVLGSVTILVDTEGQSDAVWHTLDSTSGQVCLHIKTIKLPVNSRGMNGYAGANTRRRISSDKQGPTVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVVIPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSSDGRVRYKFASFWNRNHALRALQRASKNYHTMLEAEKKEIAESALRAHSSSIRGSRRQATAPKDNASKPEKSQAFIKEEVLVGIYNDVFLCTAEQFYNLLLSDDSSFTNEYRAVRKDTNLSMGQWHPADEYDGLVREITFRTICNSPMCPPDTAMTEYQRSILSPDKKKLVFETVQQAHDVPFGSYFEVHCRWCVETNGENSSILDVKVGRFSVGFSSLENVF >KJB27830 pep chromosome:Graimondii2_0_v6:5:885235:894246:1 gene:B456_005G012300 transcript:KJB27830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGSRNPMWGEEFDFSVDELPVQINVTIYDWDIIWKSAVLGSVTILVDTEGQSDAVWHTLDSTSGQVCLHIKTIKLPVNSRGMNGYAGANTRRRISSDKQGPTVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVVIPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSSDGRVRYKFASFWNRNHALRALQRASKNYHTMLEAEKKEIAESALRAHSSSIRGSRRQATAPKDNASKPEKSQAFIKEEVLVGIYNDVFLCTAEQFYNLLLSDDSSFTNEYRAVRKDTNLSMGQWHPADEYDGLVREITFRTICNSPMCPPDTAMTEYQRSILSPDKKKLVFETVQQAHDVPFGSYFEVHCRWCVETNGENSSILDVKVGAHFKKWCVMQSKIRSGAINEYKKEVEVMLDVARSYIKSHATGGETNNSSSSPPVIQQGIS >KJB27827 pep chromosome:Graimondii2_0_v6:5:885235:894246:1 gene:B456_005G012300 transcript:KJB27827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIETGVVVDYLVPSWWEVKVAVAASVFVIVSYWFFALQGKDGDGGDRSQVSESSAEGILDEKEKIVHSKGDVQTNSRYLIKVELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFDFSVDELPVQINVTIYDWDIIWKSAVLGSVTILVDTEGQSDAVWHTLDSTSGQVCLHIKTIKLPVNSRGMNGYAGANTRRRISSDKQGPTVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVVIPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSSDGRVRYKFASFWNRNHALRALQRASKNYHTMLEAEKKEIAESALRAHSSSIRGSRRQATAPKDNASKPEKSQAFIKEEVLVGIYNDVFLCTAEQFYNLLLSDDSSFTNEYRAVRKDTNLSMGQWHPADEYDGLVREITFRTICNSPMCPPDTAMTEYQRSILSPDKKKLVFETVQQAHDVPFGSYFEVHCRWCVETNGENSSILDVKVGAHFKKWCVMQSKIRSGAINEYKKEVEVMLDVARSYIKSHATGGETNNSSSSPPVIQQGIS >KJB27829 pep chromosome:Graimondii2_0_v6:5:886567:894246:1 gene:B456_005G012300 transcript:KJB27829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGSRNPMWGEEFDFSVDELPVQINVTIYDWDIIWKSAVLGSVTILVDTEGQSDAVWHTLDSTSGQVCLHIKTIKLPVNSRGMNGYAGANTRRRISSDKQGPTVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVVIPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSSDGRVRYKFASFWNRNHALRALQRASKNYHTMLEAEKKEIAESALRAHSSSIRGSRRQATAPKDNASKPEKSQAFIKEEVLVGIYNDVFLCTAEQFYNLLLSDDSSFTNEYRAVRKDTNLSMGQWHPADEYDGLVREITFRTICNSPMCPPDTAMTEYQRSILSPDKKKLVFETVQQAHDVPFGSYFEVHCRWCVETNGENSSILDVKVGAHFKKWCVMQSKIRSGAINEYKKEVEVMLDVARSYIKSHATGGETNNSSSSPPVIQQGIS >KJB28291 pep chromosome:Graimondii2_0_v6:5:3885704:3887827:-1 gene:B456_005G040700 transcript:KJB28291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLWSSSSISPPLPSLQPPIPSTITSKCSISNPYIPKTFRLGFPYLTHQPLCCSYSTSQSEPSTSPSTGVFIKGLPQSTAEGRLKKVFSQFGEVKQVHVVRERVSKQSLGSAFVWFDNEESVQLAVNELNGKFFDGRFVSVKIAVPGLSKKRGRTTQYKF >KJB28293 pep chromosome:Graimondii2_0_v6:5:3885694:3887832:-1 gene:B456_005G040700 transcript:KJB28293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLWSSSSISPPLPSLQPPIPSTITSKCSISNPYIPKTFRLGFPYLTHQPLCCSYSTSQSEPSTSPSTGVFIKGLPQSTAEGRLKKVFSQFGEVKQVHVVRERVSKQSLGSAFVWFDNEESVQLAVNELNGKFFDGRFVSVKIAVPGLSKKRGRTTQYKF >KJB28294 pep chromosome:Graimondii2_0_v6:5:3886490:3887729:-1 gene:B456_005G040700 transcript:KJB28294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLWSSSSISPPLPSLQPPIPSTITSKCSISNPYIPKTFRLGFPYLTHQPLCCSYSTSQSEPSTSPSTGVFIKGLPQSTAEGRLKKVFSQFGEVKQDGDELQVLLYRGSLFNCFV >KJB28292 pep chromosome:Graimondii2_0_v6:5:3885704:3887827:-1 gene:B456_005G040700 transcript:KJB28292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLWSSSSISPPLPSLQPPIPSTITSKCSISNPYIPKTFRLGFPYLTHQPLCCSYSTSQSEPSTSPSTGVFIKGLPQSTAEGRLKKVFSQFGEVKQDGDELQVLLYRGSLFNCFV >KJB28295 pep chromosome:Graimondii2_0_v6:5:3885738:3887746:-1 gene:B456_005G040700 transcript:KJB28295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLWSSSSISPPLPSLQPPIPSTITSKCSISNPYIPKTFRLGFPYLTHQPLCCSYSTSQSEPSTSPSTGVFIKGLPQSTAEGRLKKVFSQFGEVKQVHVVRERVSKQSLGSAFVWFDNEESVQLAVNELNGKVAFLAIMVTLISWFPPPSHIHHYRIV >KJB29617 pep chromosome:Graimondii2_0_v6:5:20212902:20214415:-1 gene:B456_005G110600 transcript:KJB29617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFYLGGRQDEDKEENLNLYRNEEICNKGFEIWPHYNYYQQQQNVNNQSFGAGPSRRTSGLNLSDEWSSRSVGFTDMRQGGINCQDCGNQAKKDCAHLRCRTCCKSHGFQCQTHVKSTWVPAARRREKQPQNPQQQQQFRGENQGLELAQFPSEVNSPAVFRCVKVNAMDEVDEDQLAYQTAVNIGGHVFKGILYDQGPENH >KJB29616 pep chromosome:Graimondii2_0_v6:5:20212899:20214475:-1 gene:B456_005G110600 transcript:KJB29616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFYLGGRQDEDKEENLNLYRNEEICNKGFEIWPHYNYYQQQQNVNNQSFGAGPSRRTSGLNLSDEWSSRSVGFTDMRQGGINCQDCGNQAKKDCAHLRCRTCCKSHGFQCQTHVKSTWVPAARRREKQPQNPQQQQQFRGENQGLELAQFPSEVNSPAVFRCVKVNAMDEVDEDQLAYQTAVNIGGHVFKGILYDQGPENH >KJB28947 pep chromosome:Graimondii2_0_v6:5:8724446:8725807:-1 gene:B456_005G076700 transcript:KJB28947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTANFVALQCCQCFTMQVKQRKKSSNKWTCVVCNQKQSVLKVFAQGPMAKDVRKFVQSFNMTRKFTDQNQLFDPTSEYDIDLEDDDGGDLENPKKRHTDWTEYLDSEDHHEHKLVLQEEEQGVDLEPEIVTELPAKEKFKRPKLRNSDTGEEGVGHQLYKPLLYKRNCRKATMLFSQGNNNNNNIDEKADRQQQADGDIGSKKQSDLTIRKVGEILESMRNSKPATSKVNSKWKDYITEEDEGDSLEQCCPRNSANYMDKWGNADDAVLNTDSIKYQIVEDDIHPDFM >KJB28946 pep chromosome:Graimondii2_0_v6:5:8724446:8725578:-1 gene:B456_005G076700 transcript:KJB28946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDVRKFVQSFNMTRKFTDQNQLFDPTSEYDIDLEDDDGGDLENPKKRHTDWTEYLDSEDHHEHKLVLQEEEQGVDLEPEIVTELPAKEKFKRPKLRNSDTGEEGVGHQLYKPLLYKRNCRKATMLFSQGNNNNNNIDEKADRQQQADGDIGSKKQSDLTIRKVGEILESMRNSKPATSKVNSKWKDYITEEDEGDSLEQCCPRNSANYMDKWGNADDAVLNTDSIKYQIVEDDIHPDFM >KJB28948 pep chromosome:Graimondii2_0_v6:5:8724367:8725871:-1 gene:B456_005G076700 transcript:KJB28948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTANFVALQCCQCFTMQVKQRKKSSNKWTCVVCNQKQSVLKVFAQGPMAKDVRKFVQSFNMTRKFTDQNQLFDPTSEYDIDLEDDDGGDLENPKKRHTDWTEYLDSEDHHEHKLVLQEEEQGVDLEPEIVTELPAKEKFKRPKLRNSDTGEEGVGHQLYKPLLYKRNCRKATMLFSQDEKADRQQQADGDIGSKKQSDLTIRKVGEILESMRNSKPATSKVNSKWKDYITEEDEGDSLEQCCPRNSANYMDKWGNADDAVLNTDSIKYQIVEDDIHPDFM >KJB28989 pep chromosome:Graimondii2_0_v6:5:9038028:9038881:1 gene:B456_005G078600 transcript:KJB28989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEREGAKSIDYVPEEKGEEKIESKKKKPDIDGQSPDGRKEMRGGALKEKFFLLYLFKKKTIINLYFPIEVIMENMISLGSENQEGFLLFLYKENPEDFLHEQLRVFFPHNFKVEIFCIVIFWYDIR >KJB27880 pep chromosome:Graimondii2_0_v6:5:1718383:1720501:1 gene:B456_005G021000 transcript:KJB27880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAVGTFSASKQIGFNKNETKAGEEEELRDEKNKKFIDDNDEEAINNGGKPHDDQHDEEEEDDDDDDAKLKSEKELDLGPQFSLKEQLEKDKDDESLRRWKEQLLGSVDMSAVGESKEPEVKILSLSIVSPGRPDIILPIPFVTKPKTCLFTLKEGSRFRLKFTFNVSNNIVSGLKYVNTVWKTGIRGKKNNLLEKGSGLRLD >KJB27878 pep chromosome:Graimondii2_0_v6:5:1718356:1720535:1 gene:B456_005G021000 transcript:KJB27878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAVGTFSASKQIGFNKNETKAGEEEELRDEKNKKFIDDNDEEAINNGGKPHDDQHDEEEEDDDDDDAKLKSEKELDLGPQFSLKEQLEKDKDDESLRRWKEQLLGSVDMSAVGESKEPEVKILSLSIVSPGRPDIILPIPFVTKPKTCLFTLKEGSRFRLKFTFNVSNNIVSGLKYVNTVWKTGIRVDNTKIMLGTFSPQKEPYTFELEEETTPSGLFARGSYAVRTKFVDDDDKVYLDVSYHFEIQKNWGSRP >KJB27879 pep chromosome:Graimondii2_0_v6:5:1718383:1720501:1 gene:B456_005G021000 transcript:KJB27879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAVGTFSASKQIGFNKNETKAGEEEELRDEKNKKFIDDNDEEAINNGGKPHDDQHDEEEEDDDDDDAKLKSEKELDLGPQFSLKEQLEKDKDDESLRRWKEQLLGSVDMSAVGESKEPEVKILSLSIVSPGRPDIILPIPFVTKPKTCLFTLKEGRTLYI >KJB30050 pep chromosome:Graimondii2_0_v6:5:30763159:30773143:1 gene:B456_005G128800 transcript:KJB30050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESPMRMIESNGATKWRSSKDALVFDSQLNDMEVEELTMLLKGQKIRGDQTDTVPNRSGSAPPSMEGSFTALGNLLAQKNTSLTSSLASLSSVIDKCESEEQLRSHPAYFAYYCSNINLNPRLPPPLISHENRRLARHIGGFGSNWRATSVDDSGNGSLPFYRTSLTTHKEEVEDDRSSPIQALDKWAEDSNEPLLEQDLASFPGRHKSLVDLIQEDFPRTPSPVYSQSRSSGITTADDPIDHDVNAISSNFSSINSSSKVPESIVGSSDACMDRNALDAHAISLIPHKDSSETSIQSSQCPEQVVRLSTSSKNDTNVKDAKSDADSPGDVSQSVILSTVESRMRKKQEAQQSHGRNMPQEYYSSIQPASPHQAQGLPAQASSQGLSHLYSHSRFSSVASQPLLHSSGLTLPMYATAAPYMTSANPLYANFQPSGLYASQYNIGGYPMNPAFLPPFMGGYPSHAAISLPFDSTASGSSFNNRTYGASTGENTPHTSDLQHLGHFYGQHGLVLPPSLVDPLHMQYLPNSFSSTYGASVQHGHLSSTGASGGQIDSFVQKESSGAAYIGDPKVQPPINGRLSIPNPGKVGSIGGGFYGGHHSMGVIAQYPTSPVASPLMPSSPVGGMTPLGRRNETRFPPKAGPHSAWQGQRVSSFEDSKRHSFLEELKSSNARKFELSDIFGRIVEFSVDQHGSRFIQQKLEHCCIEDKESVYKEVLPHASRLMTDVFGNYVIQKFFEHGSCEQRNELADQLVGNMLNFSLQMYGCRVIQKALEVIDLDKKTRLVQELDGHVMKCVRDQNGNHVIQKCIECIPTDRIGFIISAFRGQVATLSTHPYGCRVIQRVLEHCSNKLQSKCIIDEILDAAYDLSQDQYGNYVTQHVLERGKPHERSHIISKLTGKIVQMSQHKYASNVVEKCLEYGDGAEREHLVEEIIGQSDENDSLLTMMKDQFANYVVQKVLEVSNDRQRELLLDRVRVHLNALKKYTYGKHIAARFEQLLGEESDASASGH >KJB30048 pep chromosome:Graimondii2_0_v6:5:30763159:30773143:1 gene:B456_005G128800 transcript:KJB30048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESPMRMIESNGATKWRSSKDALVFDSQLNDMEVEELTMLLKGQKIRGDQTDTVPNRSGSAPPSMEGSFTALGNLLAQKNTSLTSSLASLSSVIDKCESEEQLRSHPAYFAYYCSNINLNPRLPPPLISHENRRLARHIGGFGSNWRATSVDDSGNGSLPFYRTSLTTHKEEVEDDRSSPIQALDKWAEDSNEPLLEQDLASFPGRHKSLVDLIQEDFPRTPSPVYSQSRSSGITTADDPIDHDVNAISSNFSSINSSSKVPESIVGSSDACMDRNALDAHAISLIPHKDSSETSIQSSQCPEQVVRLSTSSKNDTNVKDAKSDADSPGDVSQSVILSTVESRMRKKQEAQQSHGRNMPQEYYSSIQPASPHQAQGLPAQASSQGLSHLYSHSRFSSVASQPLLHSSGLTLPMYATAAPYMTSANPLYANFQPSGLYASQYNIGGYPMNPAFLPPFMGGYPSHAAISLPFDSTASGSSFNNRTYGASTGENTPHTSDLQHLGHFYGQHGLVLPPSLVDPLHMQYLPNSFSSTYGASVQHGHLSSTGASGGQIDSFVQKESSGAAYIGDPKVQPPINGRLSIPNPGKVGSIGGGFYGGHHSMGVIAQYPTSPVASPLMPSSPVGGMTPLGRRNETRFPPKAGPHSAWQGQRVSSFEDSKRHSFLEELKSSNARKFELSDIFGRIVEFSVDQHGSRFIQQKLEHCCIEDKESVYKEVLPHASRLMTDVFGNYVIQKFFEHGSCEQRNELADQLVGNMLNFI >KJB30049 pep chromosome:Graimondii2_0_v6:5:30763159:30773143:1 gene:B456_005G128800 transcript:KJB30049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESPMRMIESNGATKWRSSKDALVFDSQLNDMEVEELTMLLKGQKIRGDQTDTVPNRSGSAPPSMEGSFTALGNLLAQKNTSLTSSLASLSSVIDKCESEEQLRSHPAYFAYYCSNINLNPRLPPPLISHENRRLARHIGGFGSNWRATSVDDSGNGSLPFYRTSLTTHKEEVEDDRSSPIQALDKWAEDSNEPLLEQDLASFPGRHKSLVDLIQEDFPRTPSPVYSQSRSSGITTADDPIDHDVNAISSNFSSINSSSKVPESIVGSSDACMDRNALDAHAISLIPHKDSSETSIQSSQCPEQVVRLSTSSKNDTNVKDAKSDADSPGDVSQSVILSTVESRMRKKQEAQQSHGRNMPQEYYSSIQPASPHQAQGLPAQASSQGLSHLYSHSRFSSVASQPLLHSSGLTLPMYATAAPYMTSANPLYANFQPSGLYASQYNIGGYPMNPAFLPPFMGGYPSHAAISLPFDSTASGSSFNNRTYGASTGENTPHTSDLQHLGHFYGQHGLVLPPSLVDPLHMQYLPNSFSSTYGASVQHGHLSSTGASGGQIDSFVQKESSGAAYIGDPKVQPPINGRLSIPNPGKVGSIGGGFYGGHHSMGVIAQYPTSPVASPLMPSSPVGGMTPLGRRNETRFPPKAGPHSAWQGQRVSSFEDSKRHSFLEELKSSNARKFELSDIFGRIVEFSVDQHGSRFIQQKLEHCCIEDKESVYKEVLPHASRLMTDVFGNYVIQKFFEHGSCEQRNELADQLVGNMLNFSLQMYGCRVIQKALEVIDLDKKTRLVQELDGHVMKCVRDQNGNHVIQKCIECIPTDRIGFIISAFRGQVATLSTHPYGCRVIQRVLEHCSNKLQSKCIIDEILDAAYDLSQDQYGNYVTQHVLERGKPHERSHIISKLTGKIVQMSQHKYASNVVEKCLEYGDGAEREHLVEEIIGQSDENDSLLDQFANYVVQKVLEVSNDRQRELLLDRVRVHLNALKKYTYGKHIAARFEQLLGEESDASASGH >KJB30047 pep chromosome:Graimondii2_0_v6:5:30763118:30773185:1 gene:B456_005G128800 transcript:KJB30047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESPMRMIESNGATKWRSSKDALVFDSQLNDMEVEELTMLLKGQKIRGDQTDTVPNRSGSAPPSMEGSFTALGNLLAQKNTSLTSSLASLSSVIDKCESEEQLRSHPAYFAYYCSNINLNPRLPPPLISHENRRLARHIGGFGSNWRATSVDDSGNGSLPFYRTSLTTHKEEVEDDRSSPIQALDKWAEDSNEPLLEQDLASFPGRHKSLVDLIQEDFPRTPSPVYSQSRSSGITTADDPIDHDVNAISSNFSSINSSSKVPESIVGSSDACMDRNALDAHAISLIPHKDSSETSIQSSQCPEQVVRLSTSSKNDTNVKDAKSDADSPGDVSQSVILSTVESRMRKKQEAQQSHGRNMPQEYYSSIQPASPHQAQGLPAQASSQGLSHLYSHSRFSSVASQPLLHSSGLTLPMYATAAPYMTSANPLYANFQPSGLYASQYNIGGYPMNPAFLPPFMGGYPSHAAISLPFDSTASGSSFNNRTYGASTGENTPHTSDLQHLGHFYGQHGLVLPPSLVDPLHMQYLPNSFSSTYGASVQHGHLSSTGASGGQIDSFVQKESSGAAYIGDPKVQPPINGRLSIPNPGKVGSIGGGFYGGHHSMGVIAQYPTSPVASPLMPSSPVGGMTPLGRRNETRFPPKAGPHSAWQGQRVSSFEDSKRHSFLEELKSSNARKFELSDIFGRIVEFSVDQHGSRFIQQKLEHCCIEDKESVYKEVLPHASRLMTDVFGNYVIQKFFEHGSCEQRNELADQLVGNMLNFSLQMYGCRVIQKALEVIDLDKKTRLVQELDGHVMKCVRDQNGNHVIQKCIECIPTDRIGFIISAFRGQVATLSTHPYGCRVIQRVLEHCSNKLQSKCIIDEILDAAYDLSQDQYGNYVTQHVLERGKPHERSHIISKLTGKIVQMSQHKYASNVVEKCLEYGDGAEREHLVEEIIGQSDENDSLLTMMKDQFANYVVQKVLEVSNDRQRELLLDRVRVHLNALKKYTYGKHIAARFEQLLGEESDASASGH >KJB27412 pep chromosome:Graimondii2_0_v6:5:3737347:3742188:-1 gene:B456_005G0396001 transcript:KJB27412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTKMLKNFESSRLLEIGFWRFLPVFALLVTITDGLNSEGQLLLELKNGFRDEYNYLWNWKSTDETPCGWTGVSCSSYYKPVVWSVDLSKMNLSGTVDPSIGGLTHLKFLDLSYNRFSGSIPKEIRNCSFLVFLYLNNNQFSGPIPSELGRLSYLSSLNICNNKISGSFPEELGNLSSLEEFVAYTNSLTGPLPRSIGNLRKLRIFRAGQNAFSGSLPAEISGCQSLQMLGLAQNHIGGELPKELGMLGSMTDLVLWENELSGFIPKELGNCTSLETLALYSNALVGQIPAEIGNLKFLKKLYLYRNELNGSIPREIGNLSLATEIDFSENYLTGEIPPEFGKIKGLRLLHLFENQLTGVIPNELNSLRYLTKLDLSINYLTGPIPYGFQYLTQMVQLQLFDNFLSGTIPKLLGVYSPLWVVDFSDNHLTGKIPLYLCRRANLILLNLGANNLLGDIPTGIKNCKTLVQLRLVGNRLNGSFPSELCKLVNLSAIELGQNNFTGPVPSEIGNCKKLQRLHIAENQFNSDLPKEIGNLSELVTFNVSSNLLSGRIPREIVNCKMLQRLDLSHNSFVDTLPSEFGTLTQLEILKLSENKFSGNIPAALGNLSRLTELQMGGNLFSGEIPQELGSLSSLQIAMNLSNNHLTGNIPPELGNLNLLEFLLLDDNNLSGVIPSTFEHLSSLLGCNFSYNNLSGPLPAIPLFQNMPASSFVENEGLCGRPLQDCNVDSSSPSALPVNNDTRGRMITIISGVVGGVSIVLIIILIYQIRRPPEIIAPSQEKEISSSSPVSDIYFHPKEGFTFQDLIEATNNFHESYIVGRGACGTVYKAVMNSLQTIAVKRLASNAEGNNIENSFRAEILTLGKIRHRNIVKLYGFCYHQGSNLLLYEYMENGSLGELIHGRGSCSLEWPTRFTIALGAAEGLAYLHHDCKPKIVHRDIKSNNILLDENFEAHVGDFGLAKVIDMPQSKSMSVVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQPLDQGGDLVTHVRHYVRDHSLTSGILDDRLNLNNKSIVNHMLTVLKIALLCTNLSPLDRPSMREVVVMLLESKGHDDDNSMTSSSHQSM >KJB27413 pep chromosome:Graimondii2_0_v6:5:3737347:3742575:-1 gene:B456_005G0396001 transcript:KJB27413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTKMLKNFESSRLLEIGFWRFLPVFALLVTITDGLNSEGQLLLELKNGFRDEYNYLWNWKSTDETPCGWTGVSCSSYYKPVVWSVDLSKMNLSGTVDPSIGGLTHLKFLDLSYNRFSGSIPKEIRNCSFLVFLYLNNNQFSGPIPSELGRLSYLSSLNICNNKISGSFPEELGNLSSLEEFVAYTNSLTGPLPRSIGNLRKLRIFRAGQNAFSGSLPAEISGCQSLQMLGLAQNHIGGELPKELGMLGSMTDLVLWENELSGFIPKELGNCTSLETLALYSNALVGQIPAEIGNLKFLKKLYLYRNELNGSIPREIGNLSLATEIDFSENYLTGEIPPEFGKIKGLRLLHLFENQLTGVIPNELNSLRYLTKLDLSINYLTGPIPYGFQYLTQMVQLQLFDNFLSGTIPKLLGVYSPLWVVDFSDNHLTGKIPLYLCRRANLILLNLGANNLLGDIPTGIKNCKTLVQLRLVGNRLNGSFPSELCKLVNLSAIELGQNNFTGPVPSEIGNCKKLQRLHIAENQFNSDLPKEIGNLSELVTFNVSSNLLSGRIPREIVNCKMLQRLDLSHNSFVDTLPSEFGTLTQLEILKLSENKFSGNIPAALGNLSRLTELQMGGNLFSGEIPQELGSLSSLQIAMNLSNNHLTGNIPPELGNLNLLEFLLLDDNNLSGVIPSTFEHLSSLLGCNFSYNNLSGPLPAIPLFQNMPASSFVENEGLCGRPLQDCNVDSSSPSALPVNNDTRGRMITIISGVVGGVSIVLIIILIYQIRRPPEIIAPSQEKEISSSSPVSDIYFHPKEGFTFQDLIEATNNFHESYIVGRGACGTVYKAVMNSLQTIAVKRLASNAEGNNIENSFRAEILTLGKIRHRNIVKLYGFCYHQGSNLLLYEYMENGSLGELIHGRGSCSLEWPTRFTIALGAAEGLAYLHHDCKPKIVHRDIKSNNILLDENFEAHVGDFGLAKVIDMPQSKSMSVVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQPLDQGGDLVTHVRHYVRDHSLTSGILDDRLNLNNKSIVNHMLTVLKIALLCTNLSPLDRPSMREVVVMLLESKGHDDDNSMTSSSHQSM >KJB27414 pep chromosome:Graimondii2_0_v6:5:3737601:3741042:-1 gene:B456_005G0396001 transcript:KJB27414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTKMLKNFESSRLLEIGFWRFLPVFALLVTITDGLNSEGQLLLELKNGFRDEYNYLWNWKSTDETPCGWTGVSCSSYYKPVVWSVDLSKMNLSGTVDPSIGGLTHLKFLDLSYNRFSGSIPKEIRNCSFLVFLYLNNNQFSGPIPSELGRLSYLSSLNICNNKISGSFPEELGNLSSLEEFVAYTNSLTGPLPRSIGNLRKLRIFRAGQNAFSGSLPAEISGCQSLQMLGLAQNHIGGELPKELGMLGSMTDLVLWENELSGFIPKELGNCTSLETLALYSNALVGQIPAEIGNLKFLKKLYLYRNELNGSIPREIGNLSLATEIDFSENYLTGEIPPEFGKIKGLRLLHLFENQLTGVIPNELNSLRYLTKLDLSINYLTGPIPYGFQYLTQMVQLQLFDNFLSGTIPKLLGVYSPLWVVDFSDNHLTGKIPLYLCRRANLILLNLGANNLLGDIPTGIKNCKTLVQLRLVGNRLNGSFPSELCKLVNLSAIELGQNNFTGPVPSEIGNCKKLQRLHIAENQFNSDLPKEIGNLSELVTFNVSSNLLSGRIPREIVNCKMLQRLDLSHNSFVDTLPSEFGTLTQLEILKLSENKFSGNIPAALGNLSRLTELQMGGNLFSGEIPQELGSLSSLQIAMNLSNNHLTGNIPPELGNLNLLEFLLLDDNNLSGVIPSTFEHLSSLLGCNFSYNNLSGPLPAIPLFQNMPASSFVENEGLCGRPLQDCNVDSSSPSALPVNNDTRGRMITIISGVVGGVSIVLIIILIYQIRRPPEIIAPSQEKEISSSSPVSDIYFHPKEGFTFQDLIEATNNFHESYIVGRGACGTVYKAVMNSLQTIAVKRLASNAEGNNIENSFRAEILTLGKIRHRNIVKLYGFCYHQGSNLLLYEYMENGSLGELIHGRGSCSLEWPTRFTIALGAAEGLAYLHHDCKPKIVHRDIKSNNILLDENFEAHVGDFGLAKVIDMPQSKSMSVVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQPLDQGGDLVTHVRHYVRDHSLTSGILDDRLNLNNKSIVNHMLTVLKIALLCTNLSPLDRPSMREVVVMLLESKGHDDDNSMTSSSHQS >KJB29344 pep chromosome:Graimondii2_0_v6:5:13819929:13820795:1 gene:B456_005G095800 transcript:KJB29344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYNEWSSRHYLRCGKYGHLKSLCPSSLADQSSHGGGENSTSLTINESMPVKTGEVSVTMAKPLVRRWWSSANLGAIRTGVIF >KJB31069 pep chromosome:Graimondii2_0_v6:5:50761575:50765210:1 gene:B456_005G174500 transcript:KJB31069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQRRAIFKPMTDEERQSLKHRCGGSWKLVLRFLLAGEACCRREKSQAIAGPGHSIAVTSSGAVYSFGSNSSGQLGHGNTEEDWRPQQIRSLQGIRIIQAAAGAGRTMLISDAGRVYAFGKDSFGEAEYGVQGTKLVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGKDSKLGHKTEPNDVEPQPLLGALENIPVVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEDCESVPKVVEALSNVKAVHVATGDYTTFVVSDDGDVYSFGCGESASLGHNTAGEGQGNRHANVLSPELVMSLKQVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELANNQTERGNPERVDIDLN >KJB31070 pep chromosome:Graimondii2_0_v6:5:50760352:50765240:1 gene:B456_005G174500 transcript:KJB31070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSGTPTVQYHNIPDQPLTAIVAAPVSAFLRQVRHCFGDSTPGEFPLAANPSIVLHVLTTCNLDPQDLAKLEATCSFFRQPANFAPDYELSISELAALDMCQRRAIFKPMTDEERQSLKHRCGGSWKLVLRFLLAGEACCRREKSQAIAGPGHSIAVTSSGAVYSFGSNSSGQLGHGNTEEDWRPQQIRSLQGIRIIQAAAGAGRTMLISDAGRVYAFGKDSFGEAEYGVQGTKLVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGKDSKLGHKTEPNDVEPQPLLGALENIPVVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEDCESVPKVVEALSNVKAVHVATGDYTTFVVSDDGDVYSFGCGESASLGHNTAGEGQGNRHANVLSPELVMSLKQVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELANNQTERGNPERVDIDLN >KJB31064 pep chromosome:Graimondii2_0_v6:5:50761575:50765210:1 gene:B456_005G174500 transcript:KJB31064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSGTPTVQYHNIPDQPLTAIVAAPVSAFLRQVRHCFGDSTPGEFPLAANPSIVLHVLTTCNLDPQDLAKLEATCSFFRQPANFAPDYELSISELAALDMCQRRAIFKPMTDEERQSLKHRCGGSWKLVLRFLLAGEACCRREKSQAIAGPGHSIAVTSSGAVYSFGSNSSGQLGHGNTEEDWRPQQIRSLQGIRIIQAAAGAGRTMLISDAGRVYAFGKDSFGEAEYGVQGTKLVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGKDSKLGHKTEPNDVEPQPLLGALENIPVVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEDCESVPKVVEALSNVKAVHVATGDYTTFVVSDDGDVYSFGCGESASLGHNTAGEGQGNRHANVLSPELVMSLKQVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELANNQTERGNPERVDIDLN >KJB31071 pep chromosome:Graimondii2_0_v6:5:50762854:50765210:1 gene:B456_005G174500 transcript:KJB31071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVNGTPLFCRSLQGIRIIQAAAGAGRTMLISDAGRVYAFGKDSFGEAEYGVQGTKLVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGKDSKLGHKTEPNDVEPQPLLGALENIPVVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEDCESVPKVVEALSNVKAVHVATGDYTTFVVSDDGDVYSFGCGESASLGHNTAGEGQGNRHANVLSPELVMSLKQVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELANNQTERGNPERVDIDLN >KJB31067 pep chromosome:Graimondii2_0_v6:5:50761791:50765210:1 gene:B456_005G174500 transcript:KJB31067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQRRAIFKPMTDEERQSLKHRCGGSWKLVLRFLLAGEACCRREKSQAIAGPGHSIAVTSSGAVYSFGSNSSGQLGHGNTEEDWRPQQIRSLQGIRIIQAAAGAGRTMLISDAGRVYAFGKDSFGEAEYGVQGTKLVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGKDSKLGHKTEPNDVEPQPLLGALENIPVVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEDCESVPKVVEALSNVKAVHVATGDYTTFVVSDDGDVYSFGCGESASLGHNTAGEGQGNRHANVLSPELVMSLKQVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELANNQTERGNPERVDIDLN >KJB31063 pep chromosome:Graimondii2_0_v6:5:50760399:50765210:1 gene:B456_005G174500 transcript:KJB31063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSGTPTVQYHNIPDQPLTAIVAAPVSAFLRQVRHCFGDSTPGEFPLAANPSIVLHVLTTCNLDPQDLAKLEATCSFFRQPANFAPDYELSISELAALDMCQRRAIFKPMTDEERQSLKHRCGGSWKLVLRFLLAGEACCRREKSQAIAGPGHSIAVTSSGAVYSFGSNSSGQLGHGNTEEDWRPQQIRSLQGIRIIQAAAGAGRTMLISDAGRVYAFGKDSFGEAEYGVQGTKLVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGKDSKLGHKTEPNDVEPQPLLGALENIPVVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEDCESVPKVVEALSNVKAVHVATGDYTTFVVSDDGDVYSFGCGESASLGHNTAGEGQGNRHANVLSPELVMSLKQVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELANNQTERGNPERVDIDLN >KJB31068 pep chromosome:Graimondii2_0_v6:5:50760392:50765240:1 gene:B456_005G174500 transcript:KJB31068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSGTPTVQYHNIPDQPLTAIVAAPVSAFLRQVRHCFGDSTPGEFPLAANPSIVLHVLTTCNLDPQDLAKLEATCSFFRQPANFAPDYELSISELAALDMCQRRAIFKPMTDEERQSLKHRCGGSWKLVLRFLLAGEACCRREKSQAIAGPGHSIAVTSSGAVYSFGSNSSGQLGHGNTEEDWRPQQIRSLQGIRIIQAAAGAGRTMLISDAGRVYAFGKDSFGEAEYGVQGTKLVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGKDSKLGHKTEPNDVEPQPLLGALENIPVVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEDCESVPKVVEALSNVKAVHVATGDYTTFVVSDDGDVYSFGCGESASLGHNTAGEGQGNRHANVLSPELVMSLKQVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELANNQTERGNPERVDIDLN >KJB31066 pep chromosome:Graimondii2_0_v6:5:50762135:50763951:1 gene:B456_005G174500 transcript:KJB31066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSGTPTVQYHNIPDQPLTAIVAAPVSAFLRQVRHCFGDSTPGEFPLAANPSIVLHVLTTCNLDPQDLAKLEATCSFFRQPANFAPDYELSISELAALDMCQRRAIFKPMTDEERQSLKHRCGGSWKLVLRFLLAGEACCRREKSQAIAGPGHSIAVTSSGAVYSFGSNSSGQLGHGNTEEDWRPQQIRSLQGIRIIQAAAGAGRTMLISDAGRVYAFGKDSFGEAEYGVQGTKLVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGKDSKLGHKTEPNDVEPQPLLGALENIPVVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEDCESVPKVVEALSNVKAVHVATGDYTTFVVSDDGDVYSFGCGESASLGHNTAGEGQV >KJB31065 pep chromosome:Graimondii2_0_v6:5:50760399:50765210:1 gene:B456_005G174500 transcript:KJB31065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSGTPTVQYHNIPDQPLTAIVAAPVSAFLRQVRHCFGDSTPGEFPLAANPSIVLHVLTTCNLDPQDLAKLEATCSFFRQPANFAPDYELSISELAALDMCQRRAIFKPMTDEERQSLKHRCGGSWKLVLRFLLAGEACCRREKSQAIAGPGHSIAVTSSGAVYSFGSNSSGQLGHGNTEEDWRPQQIRSLQGIRIIQAAAGAGRTMLISDAGRVYAFGKDSFGEAEYGVQGTKLVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGKDSKLGHKTEPNDVEPQPLLGALENIPVVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEDCESVPKVVEALSNVKAVHVATGDYTTFVVSDDGDVYSFGCGESASLGHNTAGEGQQGNRHANVLSPELVMSLKQVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELANNQTERGNPERVDIDLN >KJB32169 pep chromosome:Graimondii2_0_v6:5:61039517:61045135:-1 gene:B456_005G227300 transcript:KJB32169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isp4-like protein [Source:Projected from Arabidopsis thaliana (AT5G64410) UniProtKB/TrEMBL;Acc:Q0WUW4] MEIQAPHPITKYPDPEKHDATSGGNHDVEDDEISPIEQVRLTVTNTDDPTLPVWTFRMWFLGLFSCALLSFLNQFFSYRTEPLIITQITVQVSTLPIGHFMASVLPKTQFGIPGFGSKRFSLNPGPFNMKEHVLICIFANAGSAFGNGSAYAIGIVNIIKAFYGRNISFLAGWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQVSLFRALHEKDDKNDRRMTRAKFFLIILICSFVWYLVPGYLFTTLTSISWICWIFSKSVTAQQIGSGLRGLGLGAFTLDWSAVASFLFSPLISPFFAIANVFVGYVLIIYIAIPVAYWGLDLYNASRFPIFSSHLFTAQGQKYNITAIVNDKFEIDLAKYEEQGRINLSMFFALTYGFGFATIASTMTHVALFYGREIYDRYRASHTGKEDIHTRLMRKYKDIPSWWFYALLAATFVVSLVLCIFLNDQVQMPWWGLLFAGAMAFIFTLPISIITATTNQTPGLNIITEYVMGLIYPGRPIANVCFKTYGYMSMAQAVSFLNDFKLGHYMKIPPRSMFLVQFIGTILAGTINIAVAWWLLNSIENICQDDLLPADSPWTCPGDRVFFDASVIWGLVGPKRIFGSLGNYPAMNWFFLGGALGPVIVWLLHKTFPKQSWIPLINLPVLLGATGMMPPATPLNYNAWILVGTIFNFFVFRYRKKWWQRYNYILSAALDAGVAFMAILLYFSVGMENRSVTWWGTEGEHCKLATCPTAKGIMVDGCPVK >KJB32172 pep chromosome:Graimondii2_0_v6:5:61041144:61045088:-1 gene:B456_005G227300 transcript:KJB32172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isp4-like protein [Source:Projected from Arabidopsis thaliana (AT5G64410) UniProtKB/TrEMBL;Acc:Q0WUW4] MEIQAPHPITKYPDPEKHDATSGGNHDVEDDEISPIEQVRLTVTNTDDPTLPVWTFRMWFLGLFSCALLSFLNQFFSYRTEPLIITQITVQVSTLPIGHFMASVLPKTQFGIPGFGSKRFSLNPGPFNMKEHVLICIFANAGSAFGNGSAYAIGIVNIIKAFYGRNISFLAGWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQVSLFRALHEKDDKNDRRMTRAKFFLIILICSFVWYLVPGYLFTTLTSISWICWIFSKSVTAQQIGSGLRGLGLGAFTLDWSAVASFLFSPLISPFFAIANVFVGYVLIIYIAIPVAYWGLDLYNASRFPIFSSHLFTAQGQKYNITAIVNDKFEIDLAKYEEQGRINLSMFFALTYGFGFATIASTMTHVALFYGREIYDRYRASHTGKEDIHTRLMRKYKDIPSWWFYALLAATFVVSLVLCIFLNDQVQMPWWGLLFAGAMAFIFTLPISIITATTNQVNQFI >KJB32168 pep chromosome:Graimondii2_0_v6:5:61039517:61042514:-1 gene:B456_005G227300 transcript:KJB32168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isp4-like protein [Source:Projected from Arabidopsis thaliana (AT5G64410) UniProtKB/TrEMBL;Acc:Q0WUW4] MTRAKFFLIILICSFVWYLVPGYLFTTLTSISWICWIFSKSVTAQQIGSGLRGLGLGAFTLDWSAVASFLFSPLISPFFAIANVFVGYVLIIYIAIPVAYWGLDLYNASRFPIFSSHLFTAQGQKYNITAIVNDKFEIDLAKYEEQGRINLSMFFALTYGFGFATIASTMTHVALFYGREIYDRYRASHTGKEDIHTRLMRKYKDIPSWWFYALLAATFVVSLVLCIFLNDQVQMPWWGLLFAGAMAFIFTLPISIITATTNQTPGLNIITEYVMGLIYPGRPIANVCFKTYGYMSMAQAVSFLNDFKLGHYMKIPPRSMFLVQFIGTILAGTINIAVAWWLLNSIENICQDDLLPADSPWTCPGDRVFFDASVIWGLVGPKRIFGSLGNYPAMNWFFLGGALGPVIVWLLHKTFPKQSWIPLINLPVLLGATGMMPPATPLNYNAWILVGTIFNFFVFRYRKKWWQRYNYILSAALDAGVAFMAILLYFSVGMENRSVTWWGTEGEHCKLATCPTAKGIMVDGCPVK >KJB32171 pep chromosome:Graimondii2_0_v6:5:61039930:61048030:-1 gene:B456_005G227300 transcript:KJB32171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isp4-like protein [Source:Projected from Arabidopsis thaliana (AT5G64410) UniProtKB/TrEMBL;Acc:Q0WUW4] MTSLELQVPPHPTTLDPENHAIKGENAAADDDELSPIEEVRLTVTNTDDPTLPVWTFRMWFLGLISCALLSFLNQFFAYRTEPLIITQITVQVATLPIGQFMAAVLPRRQFKLPGVGSRKFSLNPGPFNMKEHVLISIFANAGSAFGSGSAYAVGIVTIIKAFYQRKISFLAGWLLIITTQLYPISFDFHFQALTLCSMEIQAPHPITKYPDPEKHDATSGGNHDVEDDEISPIEQVRLTVTNTDDPTLPVWTFRMWFLGLFSCALLSFLNQFFSYRTEPLIITQITVQVSTLPIGHFMASVLPKTQFGIPGFGSKRFSLNPGPFNMKEHVLICIFANAGSAFGNGSAYAIGIVNIIKAFYGRNISFLAGWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQVSLFRALHEKDDKNDRRMTRAKFFLIILICSFVWYLVPGYLFTTLTSISWICWIFSKSVTAQQIGSGLRGLGLGAFTLDWSAVASFLFSPLISPFFAIANVFVGYVLIIYIAIPVAYWGLDLYNASRFPIFSSHLFTAQGQKYNITAIVNDKFEIDLAKYEEQGRINLSMFFALTYGFGFATIASTMTHVALFYGREIYDRYRASHTGKEDIHTRLMRKYKDIPSWWFYALLAATFVVSLVLCIFLNDQVQMPWWGLLFAGAMAFIFTLPISIITATTNQTPGLNIITEYVMGLIYPGRPIANVCFKTYGYMSMAQAVSFLNDFKLGHYMKIPPRSMFLVQFIGTILAGTINIAVAWWLLNSIENICQDDLLPADSPWTCPGDRVFFDASVIWGLVGPKRIFGSLGNYPAMNWFFLGGALGPVIVWLLHKTFPKQSWIPLINLPVLLGATGMMPPATPLNYNAWILVGTIFNFFVFRYRKKWWQRYNYILSAALDAGVAFMAILLYFSVGMENRSVTWWGTEGEHCKLATCPTAKGIMVDGCPVK >KJB32170 pep chromosome:Graimondii2_0_v6:5:61039517:61048089:-1 gene:B456_005G227300 transcript:KJB32170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isp4-like protein [Source:Projected from Arabidopsis thaliana (AT5G64410) UniProtKB/TrEMBL;Acc:Q0WUW4] MTSLELQVPPHPTTLDPENHAIKGENAAADDDELSPIEEVRLTVTNTDDPTLPVWTFRMWFLGLISCALLSFLNQFFAYRTEPLIITQITVQVATLPIGQFMAAVLPRRQFKLPGVGSRKFSLNPGPFNMKEHVLISIFANAGSAFGSGSAYAVGIVTIIKAFYQRKISFLAGWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQVSLFRALHEKDDKNDRRMTRAKFFLIILICSFVWYLVPGYLFTTLTSISWICWIFSKSVTAQQIGSGLRGLGLGAFTLDWSAVASFLFSPLISPFFAIANVFVGYVLIIYIAIPVAYWGLDLYNASRFPIFSSHLFTAQGQKYNITAIVNDKFEIDLAKYEEQGRINLSMFFALTYGFGFATIASTMTHVALFYGREIYDRYRASHTGKEDIHTRLMRKYKDIPSWWFYALLAATFVVSLVLCIFLNDQVQMPWWGLLFAGAMAFIFTLPISIITATTNQTPGLNIITEYVMGLIYPGRPIANVCFKTYGYMSMAQAVSFLNDFKLGHYMKIPPRSMFLVQFIGTILAGTINIAVAWWLLNSIENICQDDLLPADSPWTCPGDRVFFDASVIWGLVGPKRIFGSLGNYPAMNWFFLGGALGPVIVWLLHKTFPKQSWIPLINLPVLLGATGMMPPATPLNYNAWILVGTIFNFFVFRYRKKWWQRYNYILSAALDAGVAFMAILLYFSVGMENRSVTWWGTEGEHCKLATCPTAKGIMVDGCPVK >KJB27732 pep chromosome:Graimondii2_0_v6:5:436363:440993:-1 gene:B456_005G006700 transcript:KJB27732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNCGDYVHFQIAERNILGEMVKIVKKKADMLVRDKILALLDSWQEAFGGPGGKHPQYYWAYDELRRSGVEFPKRSSNTAPIFTPPATHPTLNPGYGMPSNSSRRLDETMATEIESLSLSSLDSMKDVMELLSDMLQAVNPSHSAAVKDEVIVDLVNRCRSNQKKLMQMLTTTGDEELLARGLELNDGLQSLLAKHDAIALGSPLPVEATAVSPMHNEASSSNKSSEAKSPAPNISPPTPVATVTKSHIDEEEEEEDDFAQLARRHSRAQFTSPQNASPGTSEATAPVSNANVTTSYTPTASTSIPSNALALPDPPAPVKTSKEQDLIDLLSLTLSTTTASSPHAPPTPPSASQHQVPVPPSSQGYPYASQTYPASQAQLPYNSYVVPWAQPQKPSQPQVQLQPTQFQTEPQAQNQSNSPSQAQHQFQARSQPHQLNTQSQIRLQPQSPSQSQSPHQSFGQPQYQPYFRPQYTSAYPPPPWAATPGYFNSQNHRSSTNNMISTPQVNTTASNSITGPRPLQHNSSFPIRGTNGGAPMNGGDSWTSTGPRNPAPTSGQKPFIPSYLLFEDLNVLGNGDGRRSSTSPNLSGSNTQSMVGGRK >KJB27733 pep chromosome:Graimondii2_0_v6:5:436363:441543:-1 gene:B456_005G006700 transcript:KJB27733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMSSASSSAATVAVDKATSDLLMGPDWTMNIDICDSVNSNHWPAKDVVKAVKRRLQHKSSKVQLLALTLLETMVKNCGDYVHFQIAERNILGEMVKIVKKKADMLVRDKILALLDSWQEAFGGPGGKHPQYYWAYDELRRSGVEFPKRSSNTAPIFTPPATHPTLNPGYGMPSNSSRRLDETMATEIESLSLSSLDSMKDVMELLSDMLQAVNPSHSAAVKDEVIVDLVNRCRSNQKKLMQMLTTTGDEELLARGLELNDGLQSLLAKHDAIALGSPLPVEATAVSPMHNEASSSNKSSEAKSPAPNISPPTPVATVTKSHIDEEEEEEDDFAQLARRHSRAQFTSPQNASPGTSEATAPVSNANVTTSYTPTASTSIPSNALALPDPPAPVKTSKEQDLIDLLSLTLSTTTASSPHAPPTPPSASQHQVPVPPSSQGYPYASQTYPASQAQLPYNSYVVPWAQPQKPSQPQVQLQPTQFQTEPQAQNQSNSPSQAQHQFQARSQPHQLNTQSQIRLQPQSPSQSQSPHQSFGQPQYQPYFRPQYTSAYPPPPWAATPGYFNSQNHRSSTNNMISTPQVNTTASNSITGPRPLQHNSSFPIRGTNGGAPMNGGDSWTSTGPRNPAPTSGQKPFIPSYLLFEDLNVLGNGDGRRSSTSPNLSGSNTQSMVGGRK >KJB27731 pep chromosome:Graimondii2_0_v6:5:436363:438902:-1 gene:B456_005G006700 transcript:KJB27731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVMELLSDMLQAVNPSHSAAVKDEVIVDLVNRCRSNQKKLMQMLTTTGDEELLARGLELNDGLQSLLAKHDAIALGSPLPVEATAVSPMHNEASSSNKSSEAKSPAPNISPPTPVATVTKSHIDEEEEEEDDFAQLARRHSRAQFTSPQNASPGTSEATAPVSNANVTTSYTPTASTSIPSNALALPDPPAPVKTSKEQDLIDLLSLTLSTTTASSPHAPPTPPSASQHQVPVPPSSQGYPYASQTYPASQAQLPYNSYVVPWAQPQKPSQPQVQLQPTQFQTEPQAQNQSNSPSQAQHQFQARSQPHQLNTQSQIRLQPQSPSQSQSPHQSFGQPQYQPYFRPQYTSAYPPPPWAATPGYFNSQNHRSSTNNMISTPQVNTTASNSITGPRPLQHNSSFPIRGTNGGAPMNGGDSWTSTGPRNPAPTSGQKPFIPSYLLFEDLNVLGNGDGRRSSTSPNLSGSNTQSMVGGRK >KJB32829 pep chromosome:Graimondii2_0_v6:5:63736036:63736661:-1 gene:B456_005G264100 transcript:KJB32829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASVTYTPPMLAAATTNPKKKTNTNVNYITGLNSFGGLKAHNKVVSLGQRVCTEQSFANVVSSLKAPTKGKTGKSGGGALSSTCNAVGEIFRIAAIMNGLVLVGVAVGFVLLRIEASVEEAE >KJB28241 pep chromosome:Graimondii2_0_v6:5:3458475:3459516:1 gene:B456_005G036500 transcript:KJB28241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIAHVRCATDKAIWDGKIMLEGYDERSKEVVDEPWNLITDVVEQIRIGELMVASEIKHSYHDHNLRLTFSGKTKNDDSECDGCTRPISTPFYSCEQCKFFLHKDCAELPKEMPHPFHKHLLTLSNSHGECGYSWYDACGRVHQGFRYRCYEGDCSFEIDIQCMLLSETLKHPSHEHSLFLVHNTKGTSCSACFRNLQSRDVAYRCMKRCDFILDVGCASLPLSAWYKYDRQALTLSFFDDSEPSQLYCDLYEKEREPNRWFYYCADCDNSLHLNCAIGDLPYMKLGNKFKTYRHKHPFTIACGEVCNGQALECKESDCNITVHWDCCWDLGRIF >KJB29569 pep chromosome:Graimondii2_0_v6:5:19403504:19411701:1 gene:B456_005G107900 transcript:KJB29569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKTSQFKSADIDEKGIRKEKPEELVMALAEAKADAILQRLPVGDYVKEAEPTLLITSDQVVVYEGVIREKPANEEEAHQFIKGYSGGHAATVGSVLVTNLKTGFRKGEWDRVEIHFHEIPDEVIEKLIEEGNVLHVAGGLLIEHPLIKPYIKQVVGTIDSVMGLPKAPTEKLIKEAV >KJB29566 pep chromosome:Graimondii2_0_v6:5:19403445:19411750:1 gene:B456_005G107900 transcript:KJB29566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKTSQFKIILGSSSLPRRKILTEMGYEFTLMSADIDEKGIRKEKPEELVMALAEAKADAILQRLPVGDYVKEAEPTLLITSDQVVVYEGVIREKPANEEEAHQFIKGYSGGHAATVGSVLVTNLKTGFRKGEWDRVEIHFHEIPDEVIEKLIEEGNVLHVAGGLLIEHPLIKPYIKQVVGTIDSVMGLPKAPTEKLIKEAV >KJB29568 pep chromosome:Graimondii2_0_v6:5:19403504:19411701:1 gene:B456_005G107900 transcript:KJB29568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKTSQFKIILGSSSLPRRKILTEMGYEFTLMSADIDEKGIRKEKPEELVMALAEAKADAILQRLPVGDYVKEAEPTLLITSDQVVVYEGVIREKPANEEEAHQFIKGYSGGHAATVGSVLVTNLKTGFRKGEWDRVEIHFHEIPDEVIEKLVRVFVFLFPPFAYGLGNVIVLYLLIRSRKGMYYMLPGDC >KJB29567 pep chromosome:Graimondii2_0_v6:5:19403819:19411441:1 gene:B456_005G107900 transcript:KJB29567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYEFTLMSADIDEKGIRKEKPEELVMALAEAKADAILQRLPVGDYVKEAEPTLLITSDQVVVYEGVIREKPANEEEAHQFIKGYSGGHAATVGSVLVTNLKTGFRKGEWDRVEIHFHEIPDEVIEKLIEEGNVLHVAGGLLIEHPLIKPYIKQVVGTIDSVMGLPKAPTEKLIKEAV >KJB27581 pep chromosome:Graimondii2_0_v6:5:55483:66357:1 gene:B456_005G0011001 transcript:KJB27581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYMPQDFRGTLIRQQKERSERNKQAEVDALISSGGSIRDRYSLLWKQQMDRRRQLVQLGSATGVYKTLVKYLVGVPQVLLDFIRQINDDDGPMEEQRQRYGPPLYSLTRMVLFIHLFLSLAWQRFEAFKLNRLQISVLEEAVDVYTTELQRFINFISEVFANSPFFISAEDASMLEMGKNDEYKEITVPAGKSYEVSLTVESINSYIAWDFSLVQGKMNMDIGFSVEYTNNGGEKTLILPYRRYESDQGNFSTCMAGNYKLIWDNSYSAFFKKALRYKVDCIPPVLEESEKGSERNEVEE >KJB27580 pep chromosome:Graimondii2_0_v6:5:55485:65467:1 gene:B456_005G0011001 transcript:KJB27580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYMPQDFRGTLIRQQKERSERNKQAEVDALISSGGSIRDRYSLLWKQQMDRRRQLVQLGSATGVYKTLVKYLVGVPQVLLDFIRQINDDDGPMEEQRQRYGPPLYSLTRMVLFIHLFLSLAWQRFEAFKLNRLQISVLEEAVDVYTTELQRFINFISEVFANSPFFISAEDASMLEMGKNDEYKEITVPAGKSYEVSLTVESINSYIAWDFSLVQGKMNMDIGFSVEYTNNGGEKTLILPYRRYESDQGNFSTCMAGNYKLIWDNSYSAFFKKVSSGI >KJB27583 pep chromosome:Graimondii2_0_v6:5:55483:66357:1 gene:B456_005G0011001 transcript:KJB27583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRGTLIRQQKERSERNKQAEVDALISSGGSIRDRYSLLWKQQMDRRRQLVQLGSATGVYKTLVKYLVGVPQVLLDFIRQINDDDGPMEEQRQRYGPPLYSLTRMVLFIHLFLSLAWQRFEAFKLNRLQISVLEEAVDVYTTELQRFINFISEVFANSPFFISAEDASMLEMGKNDEYKEITVPAGKSYEVSLTVESINSYIAWDFSLVQGKMNMDIGFSVEYTNNGGEKTLILPYRRYESDQGNFSTCMAGNYKLIWDNSYSAFFKKALRYKVDCIPPVLEESEKGSERNEVEE >KJB27582 pep chromosome:Graimondii2_0_v6:5:55483:66368:1 gene:B456_005G0011001 transcript:KJB27582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYMPQDFRGTLIRQQKERSERNKQAEVDALISSGGSIRDRYSLLWKQQMDRRRQLVQLGSATGVYKTLVKYLVGVPQVLLDFIRQINDDDGPMEEQRQRYGPPLYSLTRMVLFIHLFLSLAWQRFEAFKLNRLQISVLEEAVDVYTTELQRFINFISEVFANSPFFISAEDASMLEMGKNDEYKEITVPAGKSYEVSLTVESINSYIAWDFSLVQGKMNMDIGFSVEYTNNGGEKTLILPYRRYESDQGNFSTCMAGNYKLIWDNSYSAFFKKALRYKVDCIPPVLEESEKGSERNEVEE >KJB31083 pep chromosome:Graimondii2_0_v6:5:51836854:51841409:1 gene:B456_005G176700 transcript:KJB31083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPWGGVGAWAAEAEREEAEEREAAEAAAAAPTAESRSFPSLKEAVSAKRKSKKMTLSEFTMGTYSSSAGAGVGGGARVTDYNKLTPEEMMLLPTRPKERTPEEMQYGRLGGGFSSYGRSGPSAGRGMRERDGSDGSWGSGRRQYGGFDEERRGPPSRVSDFDQPSRADEVDNWAIAKKTTPSFDSGRPNRYGGLGSGGGGGNSKADEVDNWTAEKRPIPARPSSFGSGFRDSGPEPDRWTRGGGGSGFREERPRIVLDPPRGEVNETVVKTNKSNPFGAARPREEVLAEKGLDWKKLDSEIEAKKVTSRPTSSHSSRPSSAQSSRSEGPQLQGIENVLKPKPKVNPFGDAKPREVLLEERGQDWRKIDLELERRRMDRPETEEEKILKEEIDNLKKELEKDSTPASESALDQSALRDTLLHKERELEKLIRDLENKVKFGQKAVERPGSGSGRIGGSYSDRPPSQSGSSDSSRNMEFMDRPRSRGTVDGWTRPADDRRGFQGGFQGGRDRGYPGNRDVDRPRSRERW >KJB31812 pep chromosome:Graimondii2_0_v6:5:59038605:59042949:1 gene:B456_005G209300 transcript:KJB31812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPSSSLSSSKDELFQLIKRLGTYLALKMSNLFSISLQKLDPRSVGAIAGLAVAIIFTYRLMRSPAAPPRRQPKRQAPTTSGSSISAQSNATLMPSGACSPSEDLRAQNVVDEFFQPVKPTLGQIVRQKLSEGRKVTCRLLGVILEESSPEELQKQATVKSSVLDVLLEITKYCDLYLMERVIDDESEKIVLLALENAGIFTSGGLVKDKVLFCSTENGRTSFVRQLEPDWHIDTNPEIVSQLARFIKYQLHVSPTRPERTAPNVFSCPSLEHFFGCV >KJB31809 pep chromosome:Graimondii2_0_v6:5:59038605:59042237:1 gene:B456_005G209300 transcript:KJB31809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPSSSLSSSKDELFQLIKRLGTYLALKMSNLFSISLQKLDPRSVGAIAGLAVAIIFTYRLMRSPAAPPRRQPKRQAPTTSGSSISAQSNATLMPSGACSPSEDLRAQNVVDEFFQPVKPTLGQIVRQKLSEGRKVTCRLLGVILEESSPEELQKQATVKSSVLDVLLEITKYCDLYLMERVIDDESEKIVLLALENAGIFTSGGLVKDKVLFCSTENGRTSFVRQLEPDWHIDTNPEIVSQLAV >KJB31811 pep chromosome:Graimondii2_0_v6:5:59038921:59040996:1 gene:B456_005G209300 transcript:KJB31811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPSSSLSSSKDELFQLIKRLGTYLALKMSNLFSISLQKLDPRSVGAIAGLAVAIIFTYRLMRSPAAPPRRQPKRQAPTTSGSSISAQSNATLMPSGACSPSEDLRAQNVVDEFFQPVKPTLGQIVRQKLSEGRKVTCRLLGVILEESSPEELQKQATVKSSVLDVLLEITKYCDLYLMERVIDDESEVSTSNLFR >KJB31807 pep chromosome:Graimondii2_0_v6:5:59038605:59040298:1 gene:B456_005G209300 transcript:KJB31807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPSSSLSSSKDELFQLIKRLGTYLALKMSNLFSISLQKLDPRSVGAIAGLAVAIIFTYRLMRSPAAPPRRQPKRQAPTTSGSSISAQSNATLMPSGACSPSEDLRAQNVVDEFFQPVKPTLGQIVRQKLSEGRKVIYIYSLIQ >KJB31813 pep chromosome:Graimondii2_0_v6:5:59038605:59042237:1 gene:B456_005G209300 transcript:KJB31813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPAAPPRRQPKRQAPTTSGSSISAQSNATLMPSGACSPSEDLRAQNVVDEFFQPVKPTLGQIVRQKLSEGRKVTCRLLGVILEESSPEELQKQATVKSSVLDVLLEITKYCDLYLMERVIDDESEKIVLLALENAGIFTSGGLVKDKVLFCSTENGRTSFVRQLEPDWHIDTNPEIVSQLARFIKYQLHVSPTRPERTAPNVFSCPSLEHFFGCV >KJB31808 pep chromosome:Graimondii2_0_v6:5:59038605:59042237:1 gene:B456_005G209300 transcript:KJB31808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPSSSLSSSKDELFQLIKRLGTYLALKMSNLFSISLQKLDPRSVGAIAGLAVAIIFTYRLMRSPAAPPRRQPKRQAPTTSGSSISAQSNATLMPSGACSPSEDLRAQNVVDEFFQPVKPTLGQIVRQKLSEGRKVTCRLLGVILEESSPEELQVKQATVKSSVLDVLLEITKYCDLYLMERVIDDESEKIVLLALENAGIFTSGGLVKDKVLFCSTENGRTSFVRQLEPDWHIDTNPEIVSQLARFIKYQLHVSPTRPERTAPNVFSCPSLEHFFGCV >KJB31810 pep chromosome:Graimondii2_0_v6:5:59038605:59042237:1 gene:B456_005G209300 transcript:KJB31810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPSSSLSSSKDELFQLIKRLGTYLALKMSNLFSISLQKLDPRSVGAIAGLAVAIIFTYRLMRSPAAPPRRQPKRQAPTTSGSSISAQSNATLMPSGACSPSEDLRAQNVVDEFFQPVKPTLGQIVRQKLSEGRKVTCRLLGVILEESSPEELQKQATVKSSVLDVLLEITKYCDLYLMERVIDDESEKIVLLALENAGIFTSGGLVKDKVLFCSTENGRTSFVRQLEPDWHIDTNPEIVSQLARFIKYQLHVSPTRPERTAPNVFSCPSLEHFFGCV >KJB32745 pep chromosome:Graimondii2_0_v6:5:63492375:63495330:1 gene:B456_005G259200 transcript:KJB32745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDMKEKLAYVALDFEQELETAKSSSAIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >KJB29008 pep chromosome:Graimondii2_0_v6:5:10590544:10593046:-1 gene:B456_005G085400 transcript:KJB29008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STAY-GREEN LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44000) UniProtKB/Swiss-Prot;Acc:Q94AQ9] MGEGLKSYSAIIPRTYILSHCDFTANLTLTISNVINLDQLKGWYNNDDVVAEWKKVKETMFLNVHCFVSGPNLLLDVAAEFRYHIFSKELPLVLKAVLHGDSVLFAEHPELMDALVCVYFHSSLPKYNRMECWGPLKDAAEGKPGDQVKGLLTADKESSSSPSKWGRSPKSIFQALFTFLL >KJB29006 pep chromosome:Graimondii2_0_v6:5:10590529:10593094:-1 gene:B456_005G085400 transcript:KJB29006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STAY-GREEN LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44000) UniProtKB/Swiss-Prot;Acc:Q94AQ9] MACHYAYYASFSPSVTLRGFGAKNREPRPFQVHLSSISSPVRASYNTLVSEAARLLVPTAIFEASKLKVVFMGEGLKSYSAIIPRTYILSHCDFTANLTLTISNVINLDQLKGWYNNDDVVAEWKKVKETMFLNVHCFVSGPNLLLDVAAEFRYHIFSKELPLVLKAVLHGDSVLFAEHPELMDALVCVYFHSSLPKYNRMECWGPLKDAAEGKPGDQVKGLLTADKESSSSPSKWGRSPKSIFQALFTFLL >KJB29009 pep chromosome:Graimondii2_0_v6:5:10591669:10592873:-1 gene:B456_005G085400 transcript:KJB29009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STAY-GREEN LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44000) UniProtKB/Swiss-Prot;Acc:Q94AQ9] MACHYAYYASFSPSVTLRGFGAKNREPRPFQVHLSSISSPVRASYNTLVSEAARLLVPTAIFEASKLKVVFMGEGLKSYSAIIPRTYILSHCDFTANLTLTISNVINLDQLKGWYNNDDVVAEWKKVKETMFLNVHCFVSGPNLLLDVAAEFRYHIFSKELPLVSFPPPYDSGLRTRLLYCQL >KJB29010 pep chromosome:Graimondii2_0_v6:5:10591322:10593046:-1 gene:B456_005G085400 transcript:KJB29010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STAY-GREEN LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44000) UniProtKB/Swiss-Prot;Acc:Q94AQ9] MACHYAYYASFSPSVTLRGFGAKNREPRPFQVHLSSISSPVRASYNTLVSEAARLLVPTAIFEASKLKVVFMGEGLKSYSAIIPRTYILSHCDFTANLTLTISNVINLDQLKGWYNNDDVVAEWKKVKETMFLNVHCFVSGPNLLLDVAAEFRYHIFSKELPLVSFPPPYDSGLRTRLLYCQL >KJB29007 pep chromosome:Graimondii2_0_v6:5:10590544:10593046:-1 gene:B456_005G085400 transcript:KJB29007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STAY-GREEN LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44000) UniProtKB/Swiss-Prot;Acc:Q94AQ9] MGEGLKSYSAIIPRTYILSHCDFTANLTLTISNVINLDQLKGWYNNDDVVAEWKKVKETMFLNVHCFVSGPNLLLDVAAEFRYHIFSKELPLVLKAVLHGDSVLFAEHPELMDALVCVYFHSSLPKYNRMECWGPLKDAAEGKPGDQVKGLLTADKESSSSPSKWGRSPKSIFQALFTFLL >KJB30821 pep chromosome:Graimondii2_0_v6:5:47475917:47479992:-1 gene:B456_005G163400 transcript:KJB30821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILFTSVAATGCILLSIGQDEFHDEALHTLNYVVNQSDYTVQILKNVTQYLSLAKTISVAQVFLPSDIMTDIDKLNIDLNTAADTLTEKTDENAVKIKRVFNAVRLALITVAAVMLILALLGLLMSILGHQHAIHIFIVSGWLLVAVTFILYGVFVIMNNAISDTCLAMEEWVENPHAETALSNILPCVDPRTTNHTLTQSKQVITSIVDVVNTYIYSIANIDLSPDDNRHYNQSGPTMPPLCYPFDSQLQDRQCGSYEVSMANASLVWQNYTCMVSESGLCNTTGRITPDRFTQLVAAINESYALEHYTPPLLCLQNCDFVRDTFQNITSNYCHPLERYLKMVNAGLGLISVGVLLCLVLWIFYANRPEGRKCL >KJB30823 pep chromosome:Graimondii2_0_v6:5:47477048:47480436:-1 gene:B456_005G163400 transcript:KJB30823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKILFRYFVFLLLLGFGCLFSVPVSAHNGPITDHVKFVFGGVNLGPWKNEISELGEAPAPTNDEPPSTLVLAAKRTNRPDILRHFKHYLGGWDITNRHYWASVGFTGAAGFIFAALWFVSFGLVLAVYHCCGWRINIEGKKSDHSQTICLVMLILFTSVAATGCILLSIGQDEFHDEALHTLNYVVNQSDYTVQILKNVTQYLSLAKTISVAQVFLPSDIMTDIDKLNIDLNTAADTLTEKTDENAVKIKRVFNAVRLALITVAAVMLILALLGLLMSILGHQHAIHIFIVSGWLLVAVTFILYGVFVIMNNAISDTCLAMEEWVENPHAETALSNILPCVDPRTTNHTLTQSKQVITSIVDVVNTYIYSIANIDLSPDDNRHYNQSGPTMPPLCYPFDSQLQDRQCGSYEVSMANASLVCLSASIIWFACFL >KJB30826 pep chromosome:Graimondii2_0_v6:5:47475917:47480921:-1 gene:B456_005G163400 transcript:KJB30826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKILFRYFVFLLLLGFGCLFSVPVSAHNGPITDHVKFVFGGVNLGPWKNEISELGEAPAPTNDEPPSTLVLAAKRTNRPDILRHFKHYLGGWDITNRHYWASVGFTGAAGFIFAALWFVSFGLVLAVYHCCGWRINIEGKKSDHSQTICLVMLILFTSVAATGCILLSIGQDEFHDEALHTLNYVVNQSDYTVQILKNVTQYLSLAKTISVAQVFLPSDIMTDIDKLNIDLNTAADTLTEKTDENAVKIKRVFNAVRLALITVAAVMLILALLGLLMSILGHQHAIHIFIVSGWLLVAVTFILYGVFVIMNNAISDTCLAMEEWVENPHAETALSNILPCVDPRTTNHTLTQSKQVITSIVDVVNTYIYSIANIDLSPDDNRHYNQSGPTMPPLCYPFDSQLQDRQCGSYEVSMANASLVWQNYTCMVSESGLCNTTGRITPDRFTQLVAAINESYALEHYTPPLLCLQNCDFVRDTFQNITSNYCHPLERYLKMVNAGLGLISVGVLLCLVLWIFYANRPEGRKCL >KJB30824 pep chromosome:Graimondii2_0_v6:5:47475917:47480771:-1 gene:B456_005G163400 transcript:KJB30824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSQIMSSSFLVGVNLGPWKNEISELGEAPAPTNDEPPSTLVLAAKRTNRPDILRHFKHYLGGWDITNRHYWASVGFTGAAGFIFAALWFVSFGLVLAVYHCCGWRINIEGKKSDHSQTICLVMLILFTSVAATGCILLSIGQDEFHDEALHTLNYVVNQSDYTVQILKNVTQYLSLAKTISVAQVFLPSDIMTDIDKLNIDLNTAADTLTEKTDENAVKIKRVFNAVRLALITVAAVMLILALLGLLMSILGHQHAIHIFIVSGWLLVAVTFILYGVFVIMNNAISDTCLAMEEWVENPHAETALSNILPCVDPRTTNHTLTQSKQVITSIVDVVNTYIYSIANIDLSPDDNRHYNQSGPTMPPLCYPFDSQLQDRQCGSYEVSMANASLVWQNYTCMVSESGLCNTTGRITPDRFTQLVAAINESYALEHYTPPLLCLQNCDFVRDTFQNITSNYCHPLERYLKMVNAGLGLISVGVLLCLVLWIFYANRPEGRKCL >KJB30825 pep chromosome:Graimondii2_0_v6:5:47475917:47480771:-1 gene:B456_005G163400 transcript:KJB30825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKILFRYFVFLLLLGFGCLFSVPVSAHNGPITDHVKFVFGVNLGPWKNEISELGEAPAPTNDEPPSTLVLAAKRTNRPDILRHFKHYLGGWDITNRHYWASVGFTGAAGFIFAALWFVSFGLVLAVYHCCGWRINIEGKKSDHSQTICLVMLILFTSVAATGCILLSIGQDEFHDEALHTLNYVVNQSDYTVQILKNVTQYLSLAKTISVAQVFLPSDIMTDIDKLNIDLNTAADTLTEKTDENAVKIKRVFNAVRLALITVAAVMLILALLGLLMSILGHQHAIHIFIVSGWLLVAVTFILYGVFVIMNNAISDTCLAMEEWVENPHAETALSNILPCVDPRTTNHTLTQSKQVITSIVDVVNTYIYSIANIDLSPDDNRHYNQSGPTMPPLCYPFDSQLQDRQCGSYEVSMANASLVWQNYTCMVSESGLCNTTGRITPDRFTQLVAAINESYALEHYTPPLLCLQNCDFVRDTFQNITSNYCHPLERYLKMVNAGLGLISVGVLLCLVLWIFYANRPEGRKCL >KJB30822 pep chromosome:Graimondii2_0_v6:5:47475917:47480771:-1 gene:B456_005G163400 transcript:KJB30822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKILFRYFVFLLLLGFGCLFSVPVSAHNGPITDHVKFVFGGVNLGPWKNEISELGEAPAPTNDEPPSTLVLAAKRTNRPDILRHFKHYLGGWDITNRHYWASVGFTGAAGFIFAALWFVSFGLVLAVYHCCGWRINIEGKKSDHSQTICLVMLILFTSVAATGCILLSIGQDEFHDEALHTLNYVVNQSDYTVQILKNVTQYLSLAKTISVAQVFLPSDIMTDIDKLNIDLNTAADTLTEKTDENAVKIKRVFNAVRLALITVAAVMLILALLGLLMSILGHQHAIHIFIVSGWLLVAVTFILYGVFVIMNKFGRTTRAWYQNLGYATPLEG >KJB30857 pep chromosome:Graimondii2_0_v6:5:47694785:47697266:-1 gene:B456_005G164200 transcript:KJB30857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILRGRLVRGIGMHSPYSVGPPPNGRLVARVAITARFGYGAITSIACNSHSACDSTIRDSALVSYFLFQSLPSSSFRSLPEVEILLLLASAKENQNMYIGNV >KJB30855 pep chromosome:Graimondii2_0_v6:5:47696970:47697266:-1 gene:B456_005G164200 transcript:KJB30855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILRGRLVRGIGMHSPYSVGPPPNGRLVARVAITARFGYGAITSIACNSHSACDSTIRDSALVSYFLFQSLPSSSFRSLPEVISLSLSSISASFFYL >KJB30856 pep chromosome:Graimondii2_0_v6:5:47694769:47697266:-1 gene:B456_005G164200 transcript:KJB30856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILRGRLVRGIGMHSPYSVGPPPNGRLVARVAITARFGYGAITSIACNSHSACDSTIRDSALVSYFLFQSLPSSSFRSLPETKTKA >KJB30858 pep chromosome:Graimondii2_0_v6:5:47695947:47697266:-1 gene:B456_005G164200 transcript:KJB30858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILRGRLVRGIGMHSPYSVGPPPNGRLVARVAITARFGYGAITSIACNSHSACDSTIRDSALVSYFLFQSLPSSSFRSLPEVEILLLLASAKENQNMYIGNV >KJB30859 pep chromosome:Graimondii2_0_v6:5:47695921:47697266:-1 gene:B456_005G164200 transcript:KJB30859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILRGRLVRGIGMHSPYSVGPPPNGRLVARVAITARFGYGAITSIACNSHSACDSTIRDSALVSYFLFQSLPSSSFRSLPEPSQIDLEAGPADQIQCRICLKTDGRDFIAPCKCKGKSKYVHRECLDHWRAIKV >KJB30165 pep chromosome:Graimondii2_0_v6:5:32491948:32500094:1 gene:B456_005G131600 transcript:KJB30165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLDSHFLALTAIVTLGYQIFFFIITALLKFDKVTDFAGSTNFVIIAVLTLIIKGSWHFRQVVLTSLVVIWGLRLAIFLLMRILQWGEDRRFDEMRSNLGKLTVFWIFQAVWVWTVTLPVTVVNASDRDPSVQAEDIIGWIMWSVGISFEAAADQQKLSFKNSPENRGKWCNVGVWKYSRHPNYFGEIFLWWGIFVASTPILEGAEWLVILGPIFLTLLLLFVSGLPLLEESADKKFGNVAAYRIYKETTSPLIPLPQSVYGNLPLWFKAIFLFEFPFYSRS >KJB32499 pep chromosome:Graimondii2_0_v6:5:62379642:62385251:-1 gene:B456_005G243100 transcript:KJB32499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFFFFLLSFLICFHFSSAEPFIGVNYGQVADNLPPPSATAKLLKSTSIEKVRLYGADPAIIKALANTEIGIVIGAANGDIPSLASDPNSAAQWINSNVLPFYPASKIILITVGNEVLTTNDPNLINQLLPAMQNMQNAINGASLGGKIKVSTVHSMAVLGQSDPPSSGLFSPSYQPALKGLLQFHKDNGSPFAINPYPFFAYQSDSRPETLAFCLFQPNAGRVDSGNGIKYMNMFDAQVDSVHSALSAMGFKDVEIMVAETGWPYSGDSNEVGPSIENAKAYNGNLIAHLKSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERAFGLYKSDLSMTYDVGISKSSQTPPTPKTPVTPQPKPTATGWCVPKAGISDAQLQSSLDYACGQGIDCSPIQPGGACFEPNTVASHAAYAMNLYYQNSAKNPWNCDFSQTATLTSQNPSKYTSLKLYLSSITDNWFNRSVQSVFFLIYLINFNMRKLI >KJB32498 pep chromosome:Graimondii2_0_v6:5:62378027:62385251:-1 gene:B456_005G243100 transcript:KJB32498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNMQNAINGASLGGKIKVSTVHSMAVLGQSDPPSSGLFSPSYQPALKGLLQFHKDNGSPFAINPYPFFAYQSDSRPETLAFCLFQPNAGRVDSGNGIKYMNMFDAQVDSVHSALSAMGFKDVEIMVAETGWPYSGDSNEVGPSIENAKAYNGNLIAHLKSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERAFGLYKSDLSMTYDVGISKSSQTPPTPKTPVTPQPKPTATGWCVPKAGISDAQLQSSLDYACGQGIDCSPIQPGGACFEPNTVASHAAYAMNLYYQNSAKNPWNCDFSQTATLTSQNPTYNNCIYPGGST >KJB32497 pep chromosome:Graimondii2_0_v6:5:62380224:62385179:-1 gene:B456_005G243100 transcript:KJB32497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFFFFLLSFLICFHFSSAEPFIGVNYGQVADNLPPPSATAKLLKSTSIEKVRLYGADPAIIKALANTEIGIVIGAANGDIPSLASDPNSAAQWINSNVLPFYPASKIILITVGNEVLTTNDPNLINQLLPAMQNMQNAINGASLGGKIKVSTVHSMAVLGQSDPPSSGLFSPSYQPALKGLLQFHKDNGSPFAINPYPFFAYQSDSRPETLAFCLFQPNAGRVDSGNGIKYMNMFDAQVDSVHSALSAMGFKDVEIMVAETGWPYSGDSNEVGPSIENAKAYNGNLIAHLKSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERAFGLYKSDLSMTYDVGISKSSQVSELIYSFEPKKKL >KJB32496 pep chromosome:Graimondii2_0_v6:5:62377915:62385300:-1 gene:B456_005G243100 transcript:KJB32496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFFFFLLSFLICFHFSSAEPFIGVNYGQVADNLPPPSATAKLLKSTSIEKVRLYGADPAIIKALANTEIGIVIGAANGDIPSLASDPNSAAQWINSNVLPFYPASKIILITVGNEVLTTNDPNLINQLLPAMQNMQNAINGASLGGKIKVSTVHSMAVLGQSDPPSSGLFSPSYQPALKGLLQFHKDNGSPFAINPYPFFAYQSDSRPETLAFCLFQPNAGRVDSGNGIKYMNMFDAQVDSVHSALSAMGFKDVEIMVAETGWPYSGDSNEVGPSIENAKAYNGNLIAHLKSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERAFGLYKSDLSMTYDVGISKSSQTPPTPKTPVTPQPKPTATGWCVPKAGISDAQLQSSLDYACGQGIDCSPIQPGGACFEPNTVASHAAYAMNLYYQNSAKNPWNCDFSQTATLTSQNPTYNNCIYPGGST >KJB30774 pep chromosome:Graimondii2_0_v6:5:45737386:45738066:1 gene:B456_005G159000 transcript:KJB30774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYQRNFIESFSLNISLSTSKKSYALEEACTTIHNIEITLGRGGQLAIVVGVVAKLIAKERKSATLKLPSREVHLIFKNCSATKILGRVRSKCWLGKRPKVRAVVVNHVDHPHGGGEGRAPIGRKRTRNPLRSSCIWKK >KJB30140 pep chromosome:Graimondii2_0_v6:5:32200466:32208049:-1 gene:B456_005G131200 transcript:KJB30140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHTTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNNPSMVGPVNAIGSEGMLGQSNASALAAKMYEERMKQPNAVTSETSQPLLDARMALLKSATNHPGQLVQGNHGGVTAALQQIQDIKGEVNLGGTQRSMPMDPSSIYGQGIMQLKPGIGNAGLNPGVGSLPLKGWPLTGIDQIRPSLGAQVQKPFLQNANQFQLLPQQQQQLLAQVQTQGNLGNSPLYGDMDPQRFSGLSRGALNTKEGQPTVNDGSIGSPMQSTSSKQMSMPPIRQSSSQQDPLQSPQLQQNNRKRKGPSSGPANSTGTGNTLGPSPNSQPSTPSTHTPGEGAAMVGNMQHGSSMSKNLMMYGSDGTAGIASSTNQLEDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHTTETSKSFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLKTDCTTEEHTHIITDICFRPNSTQLATSSFDTTVRIWDAAQPSYSIWKYTGHTAQVMSLDFHPKKNELFCSCDGNSEIRFWNINQYSCTRISKGGSTNVRFQPRTGQFLAAAADNVVSIFDVETDRRTQLLQGHNTEVHSLCWDANGDFLASVSQESVRLWSLSSGECINELSSSGNKFHSCVFHPNFPALLVIGGYQSLELWNTAENKCLTISAHDCVISALAQSQVTGMVASASYDKSVKIWK >KJB30145 pep chromosome:Graimondii2_0_v6:5:32198733:32208326:-1 gene:B456_005G131200 transcript:KJB30145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHTTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNNPSMVGPVNAIGSEGMLGQSNASALAAKMYEERMKQPNAVTSETSQPLLDARMALLKSATNHPGQLVQGNHGGVTAALQQIQDIKGEVNLGGTQRSMPMDPSSIYGQGIMQLKPGIGNAGLNPGVGSLPLKGWPLTGIDQIRPSLGAQVQKPFLQNANQFQLLPQQQQQLLAQVQTQGNLGNSPLYGDMDPQRFSGLSRGALNTKEGQPTVNDGSIGSPMQSTSSKMSMPPIRQSSSQQDPLQSPQLQQNNRKRKGPSSGPANSTGTGNTLGPSPNSQPSTPSTHTPGEGAAMVGNMQHGSSMSKNLMMYGSDGTAGIASSTNQLEDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHTTETSKSFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLKTDCTTEEHTHIITDICFRPNSTQLATSSFDTTVRIWDAAQPSYSIWKYTGHTAQVMSLDFHPKKNELFCSCDGNSEIRFWNINQYSCTRISKGGSTNVRFQPRTGQFLAAAADNVVSIFDVETDRRTQLLQGHNTEVHSLCWDANGDFLASVSQESVRLWSLSSGECINELSSSGNKFHSCVFHPNFPALLVIGGYQSLELWNTAENKCLTISAHDCVISALAQSQVTGMVASASYDKSVKIWK >KJB30141 pep chromosome:Graimondii2_0_v6:5:32200466:32208048:-1 gene:B456_005G131200 transcript:KJB30141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHTTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNNPSMVGPVNAIGSEGMLGQSNASALAAKMYEERMKQPNAVTSETSQPLLDARMALLKSATNHPGQLVQGNHGGVTAALQQIQDIKGEVNLGGTQRSMPMDPSSIYGQGIMQLKPGIGNAGLNPGVGSLPLKGWPLTGIDQIRPSLGAQVQKPFLQNANQFQLLPQQQQQLLAQVQTQGNLGNSPLYGDMDPQRFSGLSRGALNTKEGQPTVNDGSIGSPMQSTSSKVSMPPIRQSSSQQDPLQSPQLQQNNRKRKGPSSGPANSTGTGNTLGPSPNSQPSTPSTHTPGEGAAMVGNMQHGSSMSKNLMMYGSDGTAGIASSTNQLEDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHTTETSKSFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLKTDCTTEEHTHIITDICFRPNSTQLATSSFDTTVRIWDAAQPSYSIWKYTGHTAQVMSLDFHPKKNELFCSCDGNSEIRFWNINQYSCTRISKGGSTNVRFQPRTGQFLAAAADNVVSIFDVETDRRTQLLQGHNTEVHSLCWDANGDFLASVSQESVRLWSLSSGECINELSSSGNKFHSCVFHPNFPALLVIGGYQSLELWNTAENKCLTISAHDCVISALAQSQVTGMVASASYDKSVKIWK >KJB30144 pep chromosome:Graimondii2_0_v6:5:32200885:32207495:-1 gene:B456_005G131200 transcript:KJB30144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNNPSMVGPVNAIGSEGMLGQSNASALAAKMYEERMKQPNAVTSETSQPLLDARMALLKSATNHPGQLVQGNHGGVTAALQQIQDIKGEVNLGGTQRSMPMDPSSIYGQGIMQLKPGIGNAGLNPGVGSLPLKGWPLTGIDQIRPSLGAQVQKPFLQNANQFQLLPQQQQQLLAQVQTQGNLGNSPLYGDMDPQRFSGLSRGALNTKEGQPTVNDGSIGSPMQSTSSKQMSMPPIRQSSSQQDPLQSPQLQQNNRKRKGPSSGPANSTGTGNTLGPSPNSQPSTPSTHTPGEGAAMVGNMQHGSSMSKNLMMYGSDGTAGIASSTNQLEDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHTTETSKSFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLKTDCTTEEHTHIITDICFRPNSTQLATSSFDTTVRIWDAAQPSYSIWKYTGHTAQVMSLDFHPKKNELFCSCDGNSEIRFWNINQYSCTRISKGGSTNVRFQPRTGQFLAAAADNVVSIFDVETDRRTQLLQGHNTEVHSLCWDANGDFLASVSQESVRLWSLSSGECINELSSSGNKFHSCVFHPNFPALLVIGGYQSLELWNTAENKCLTISAHDCVISALAQSQVTGMVASASYDKSVKIWK >KJB30149 pep chromosome:Graimondii2_0_v6:5:32202436:32208048:-1 gene:B456_005G131200 transcript:KJB30149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHTTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNNPSMVGPVNAIGSEGMLGQSNASALAAKMYEERMKQPNAVTSETSQPLLDARMALLKSATNHPGQLVQGNHGGVTAALQQIQDIKGEVNLGGTQRSMPMDPSSIYGQGIMQLKPGIGNAGLNPGVGSLPLKGWPLTGIDQIRPSLGAQVQKPFLQNANQFQLLPQQQQQLLAQVQTQGNLGNSPLYGDMDPQRFSGLSRGALNTKEGQPTVNDGSIGSPMQSTSSKQMSMPPIRQSSSQQDPLQSPQLQQNNRKRKGPSSGPANSTGTGNTLGPSPNSQPSTPSTHTPGEGAAMVGNMQHGSSMSKNLMMYGSDGTAGIASSTNQLEDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHTTETSKSNIRSSLL >KJB30146 pep chromosome:Graimondii2_0_v6:5:32200885:32207711:-1 gene:B456_005G131200 transcript:KJB30146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHTTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNNPSMVGPVNAIGSEGMLGQSNASALAAKMYEERMKQPNAVTSETSQPLLDARMALLKSATNHPGQLVQGNHGGVTAALQQIQDIKGEVNLGGTQRSMPMDPSSIYGQGIMQLKPGIGNAGLNPGVGSLPLKGWPLTGIDQIRPSLGAQVQKPFLQNANQFQLLPQQQQQLLAQVQTQGNLGNSPLYGDMDPQRFSGLSRGALNTKEGQPTVNDGSIGSPMQSTSSKQMSMPPIRQSSSQQDPLQSPQLQQNNRKRKGPSSGPANSTGTGNTLGPSPNSQPSTPSTHTPGEGAAMVGNMQHGSSMSKNLMMYGSDGTAGIASSTNQLEDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHTTETSKSFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLKTDCTTEEHTHIITDICFRPNSTQLATSSFDTTVRIWDAAQPSYSIWKYTGHTAQVMSLDFHPKKNELFCSCDGNSEIRFWNINQYSCTRISKGGSTNVRFQPRTGQFLAAAADNVVSIFDVETDRRTQLLQGHNTEVHSLCWDANGDFLASVSQESVRLWSLSSGECINELSSSGNKFHSCVFHPNFPALLVIGGYQSLELWNTAENKCLTISAHDCVISALAQSQVTGMVASASYDKSVKIWK >KJB30139 pep chromosome:Graimondii2_0_v6:5:32200613:32208019:-1 gene:B456_005G131200 transcript:KJB30139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHTTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNNPSMVGPVNAIGSEGMLGQSNASALAAKMYEERMKQPNAVTSETSQPLLDARMALLKSATNHPGQLVQGNHGGVTAALQQIQDIKGEVNLGGTQRSMPMDPSSIYGQGIMQLKPGIGNAGLNPGVGSLPLKGWPLTGIDQIRPSLGAQVQKPFLQNANQFQLLPQQQQQLLAQVQTQGNLGNSPLYGDMDPQRFSGLSRGALNTKEGQPTVNDGSIGSPMQSTSSKMSMPPIRQSSSQQDPLQSPQLQQNNRKRKGPSSGPANSTGTGNTLGPSPNSQPSTPSTHTPGEGAAMVGNMQHGSSMSKNLMMYGSDGTAGIASSTNQLEDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHTTETSKSFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLKTDCTTEEHTHIITDICFRPNSTQLATSSFDTTVRIWDAAQPSYSIWKYTGHTAQVMSLDFHPKKNELFCSCDGNSEIRFWNINQYSCTRISKGGSTNVRFQPRTGQFLAAAADNVVSIFDVETDRRTQLLQGHNTEVHSLCWDANGDFLASVSQESVRLWSLSSGECINELSSSGNKFHSCVFHPNFPALLVIGGYQSLELWNTAENKCLTISAHDCVISALAQSQVTGMVASASYDKSVKIWK >KJB30143 pep chromosome:Graimondii2_0_v6:5:32201197:32207711:-1 gene:B456_005G131200 transcript:KJB30143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHTTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNNPSMVGPVNAIGSEGMLGQSNASALAAKMYEERMKQPNAVTSETSQPLLDARMALLKSATNHPGQLVQGNHGGVTAALQQIQDIKGEVNLGGTQRSMPMDPSSIYGQGIMQLKPGIGNAGLNPGVGSLPLKGWPLTGIDQIRPSLGAQVQKPFLQNANQFQLLPQQQQQLLAQVQTQGNLGNSPLYGDMDPQRFSGLSRGALNTKEGQPTVNDGSIGSPMQSTSSKQMSMPPIRQSSSQQDPLQSPQLQQNNRKRKGPSSGPANSTGTGNTLGPSPNSQPSTPSTHTPGEGAAMVGNMQHGSSMSKNLMMYGSDGTAGIASSTNQLEDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHTTETSKSFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLKTDCTTEEHTHIITDICFRPNSTQLATSSFDTTVRIWDAAQPSYSIWKYTGHTAQVMSLDFHPKKNELFCSCDGNSEIRFWNINQYSCTRISKGGSTNVRFQPRTGQFLAAAADNVVSIFDVETDRRTQLLQGHNTEVHSLCWDANGDFLASVSQESVRLWSLSSGECINELSSSGNKFHSCVFHPNFPALLVIGGYQVSFPILKLIYSC >KJB30138 pep chromosome:Graimondii2_0_v6:5:32199435:32208048:-1 gene:B456_005G131200 transcript:KJB30138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHTTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNNPSMVGPVNAIGSEGMLGQSNASALAAKMYEERMKQPNAVTSETSQPLLDARMALLKSATNHPGQLVQGNHGGVTAALQQIQDIKGEVNLGGTQRSMPMDPSSIYGQGIMQLKPGIGNAGLNPGVGSLPLKGWPLTGIDQIRPSLGAQVQKPFLQNANQFQLLPQQQQQLLAQVQTQGNLGNSPLYGDMDPQRFSGLSRGALNTKEGQPTVNDGSIGSPMQSTSSKQMSMPPIRQSSSQQDPLQSPQLQQNNRKRKGPSSGPANSTGTGNTLGPSPNSQPSTPSTHTPGEGAAMVGNMQHGSSMSKNLMMYGSDGTAGIASSTNQLEDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHTTETSKSFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLKTDCTTEEHTHIITDICFRPNSTQLATSSFDTTVRIWDAAQPSYSIWKYTGHTAQVMSLDFHPKKNELFCSCDGNSEIRFWNINQYSCTRISKGGSTNVRFQPRTGQFLAAAADNVVSIFDVETDRRTQLLQGHNTEVHSLCWDANGDFLASVSQESVRLWSLSSGECINELSSSGNKFHSCVFHPNFPALLVIGGYQSLELWNTAENKCLTISAHDCVISALAQSQVTGMVASASYDKSVKIWK >KJB30148 pep chromosome:Graimondii2_0_v6:5:32200885:32207711:-1 gene:B456_005G131200 transcript:KJB30148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHTTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNNPSMVGPVNAIGSEGMLGQSNASALAAKMYEERMKQPNAVTSETSQPLLDARMALLKSATNHPGQLVQGNHGGVTAALQQIQDIKGEVNLGGTQRSMPMDPSSIYGQGIMQLKPGIGNAGLNPGVGSLPLKGWPLTGIDQIRPSLGAQVQKPFLQNANQFQLLPQQQQQLLAQVQTQGNLGNSPLYGDMDPQRFSGLSRGALNTKEGQPTVNDGSIGSPMQSTSSKQMSMPPIRQSSSQQDPLQSPQLQQNNRKRKGPSSGPANSTGTGNTLGPSPNSQPSTPSTHTPGEGAAMVGNMQHGSSMSKNLMMYGSDGTAGIASSTNQLEDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHTTETSKSFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLKTDCTTEEHTHIITDICFRPNSTQLATSSFDTTVRIWDAAQPSYSIWKYTGHTAQVMSLDFHPKKNELFCSCDGNSEIRFWNINQYSCTRISKGGSTNVRFQPRTGQFLAAAADNVVSIFDVETDRRTQLLQGHNTEVHSLCWDANGDFLASVSQESVRLWSLSSGECINELSSSGNKFHSCVFHPNFPALLVIGGYQSLELWNTAENKCLTISAHDCVISALAQSQVTGMVASASYDKSVKIWK >KJB30147 pep chromosome:Graimondii2_0_v6:5:32200466:32208263:-1 gene:B456_005G131200 transcript:KJB30147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHTTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNNPSMVGPVNAIGSEGMLGQSNASALAAKMYEERMKQPNAVTSETSQPLLDARMALLKSATNHPGQLVQGNHGGVTAALQQIQDIKGEVNLGGTQRSMPMDPSSIYGQGIMQLKPGIGNAGLNPGVGSLPLKGWPLTGIDQIRPSLGAQVQKPFLQNANQFQLLPQQQQQLLAQVQTQGNLGNSPLYGDMDPQRFSGLSRGALNTKEGQPTVNDGSIGSPMQSTSSKMSMPPIRQSSSQQDPLQSPQLQQNNRKRKGPSSGPANSTGTGNTLGPSPNSQPSTPSTHTPGEGAAMVGNMQHGSSMSKNLMMYGSDGTAGIASSTNQLEDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHTTETSKSFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLKTDCTTEEHTHIITDICFRPNSTQLATSSFDTTVRIWDAAQPSYSIWKYTGHTAQVMSLDFHPKKNELFCSCDGNSEIRFWNINQYSCTRISKGGSTNVRFQPRTGQFLAAAADNVVSIFDVETDRRTQLLQGHNTEVHSLCWDANGDFLASVSQESVRLWSLSSGECINELSSSGNKFHSCVFHPNFPALLVIGGYQSLELWNTAENKCLTISAHDCVISALAQSQVTGMVASASYDKSVKIWK >KJB30142 pep chromosome:Graimondii2_0_v6:5:32200466:32208048:-1 gene:B456_005G131200 transcript:KJB30142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHTTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNNPSMVGPVNAIGSEGMLGQSNASALAAKMYEERMKQPNAVTSETSQPLLDARMALLKSATNHPGQLVQGNHGGVTAALQQIQDIKGEVNLGGTQRSMPMDPSSIYGQGIMQLKPGIGNAGLNPGVGSLPLKGWPLTGIDQIRPSLGAQVQKPFLQNANQFQLLPQQQQQLLAQVQTQGNLGNSPLYGDMDPQRFSGLSRGALNTKEGQPTVNDGSIGSPMQSTSSKQMSMPPIRQSSSQQDPLQSPQLQQNNRKRKGPSSGPANSTGTGNTLGPSPNSQPSTPSTHTPGEGAAMVGNMQHGSSMSKNLMMYGSDGTAGIASSTNQLEDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHTTETSKSFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLKTDCTTEEHTHIITDICFRPNSTQLATSSFDTTVRIWDAAQGIRHKLCPLISTLRRMNFSALVMATVRFASGTSISIPALVSPREAALMSDFNQEQDNS >KJB30150 pep chromosome:Graimondii2_0_v6:5:32203856:32208048:-1 gene:B456_005G131200 transcript:KJB30150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHTTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNNPSMVGPVNAIGSEGMLGQSNASALAAKMYEERMKQPNAVTSETSQPLLDARMALLKSATNHPGQLVQGNHGGVTAALQQIQDIKGEVNLGGTQRSMPMDPSSIYGQGIMQLKPGIGNAGLNPGVGSLPLKGWPLTGIDQIRPSLGAQVQKPFLQNANQFQLLPQQQQQLLAQVQTQGNLGNSPLYGDMDPQRFSGLSRGALNTKEGQPTVNDGSIGSPMQSTSSKQMSMPPIRQSSSQQDPLQSPQLQQNNRKRKGPSSGPANSTGTGNTLGPSPNSQPSTPSTHTPGEGAAMVGNMQHGSSMSKNLMMYGSDGTAGIASSTNQLVSNPNL >KJB30388 pep chromosome:Graimondii2_0_v6:5:37331426:37333579:1 gene:B456_005G140700 transcript:KJB30388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSISDSGLVMATKFGAHTIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGLESGEGDEDEGDEY >KJB32904 pep chromosome:Graimondii2_0_v6:5:63983684:63985305:1 gene:B456_005G267300 transcript:KJB32904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKLFVLRQAFNFRSVSSLKNNVTLIPHANPPIRSTPTSIFSQISRTLCSSSSVSSDTRQPSLSRLPFVDAFLAKAKNNKSLLSNRKIYSRRSTILPEFVDQTVRIYNGKNFVRCKITEGKVGHKFGEFALTRKRKHLRSKAEPAKKKVKK >KJB32903 pep chromosome:Graimondii2_0_v6:5:63983684:63985305:1 gene:B456_005G267300 transcript:KJB32903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKLFVLRQAFNFRSVSSLKNNVTLIPHANPPIRSTPTSIFSQISRTLCSSSSVSSDTRQPSLSRLPFVDAFLAKAKNNKSLLSNRKIYSRRSTILPEFVDQTVRIYNGKNFVRCKITEGKVGHKFGEFALTRKRKHLRSKAEPAKKKVKK >KJB32906 pep chromosome:Graimondii2_0_v6:5:63983684:63985305:1 gene:B456_005G267300 transcript:KJB32906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKLFVLRQAFNFRSVSSLKNNVTLIPHANPPIRSTPTSIFSQISRTLCSSSSVSSDTRQPSLSRLPFVDAFLAKAKNNKSLLSNRKIYSRRSTILPEFVDQTVRIYNGKNFVRCKITEGKVGHKFGEFALTRKRKHLRSKAEPAKKKVKK >KJB32902 pep chromosome:Graimondii2_0_v6:5:63983684:63984511:1 gene:B456_005G267300 transcript:KJB32902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKLFVLRQAFNFRSVSSLKNNVTLIPHANPPIRSTPTSIFSQISRTLCSSSSVSSDTRQPSLSRLPFVDAFLAKAKNNKSLLSNRKIYSRRSTILPEFVDQTVRIYNGKNFVRCKITEGKVGHKFGEFALTRKRKHLRSKAEPAKKKVKK >KJB32905 pep chromosome:Graimondii2_0_v6:5:63983565:63985305:1 gene:B456_005G267300 transcript:KJB32905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKLFVLRQAFNFRSVSSLKNNVTLIPHANPPIRSTPTSIFSQISRTLCSSSSVSSDTRQPSLSRLPFVDAFLAKAKNNKSLLSNRKIYSRRSTILPEFVDQTVRIYNGKNFVRCKITEGKVGHKFGEFALTRKRKHLRSKAEPAKKKVKK >KJB32907 pep chromosome:Graimondii2_0_v6:5:63983684:63985305:1 gene:B456_005G267300 transcript:KJB32907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKLFVLRQAFNFRSVSSLKNNVTLIPHANPPIRSTPTSIFSQISRTLCSSSSVSSDTRQPSLSRLPFVDAFLAKAKNNKSLLSNRKIYSRRSTILPEFVDQTVRIYNGKNFVRCKITEGKVGHKFGEFALTRKRKHLRSKAEPAKKKVKK >KJB30197 pep chromosome:Graimondii2_0_v6:5:35364201:35368845:1 gene:B456_005G136700 transcript:KJB30197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFYWFKQISNNVKSERRLSLGEYKRAVSWSKYLVSSGGEIKGEGEEWSADMSQLLIGNKFASGRHSRIYRGIYKQRVVAIKIISQPEEDENLANFLEKQFISEVALLFHLRHPNIITFVAACKKPPVFCIITEYLAGGSLRKYLHQQEPYSVPLNLALKLALDIARGMQYLHSQGILHRDLKSENLLLGEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVCQKDARPPLPSSCPLAFSHLINRCWSSNPEKRPQFEEIVSILESYAESLEEDPEFFKTYKPSSGRTILRCLPKCITAD >KJB30195 pep chromosome:Graimondii2_0_v6:5:35361164:35367511:1 gene:B456_005G136700 transcript:KJB30195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFYWFKQISNNVKSERRLSLGEYKRAVSWSKYLVSSGGEIKGEGEEWSADMSQLLIGNKFASGRHSRIYRGIYKQRVVAIKIISQPEEDENLANFLEKQFISEVALLFHLRHPNIITFVAACKKPPVFCIITEYLAGGSLRKYLHQQEPYSVPLNLALKLALDIARGMQYLHSQGILHRDLKSENLLLGEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVCQKADILTHMMLT >KJB30194 pep chromosome:Graimondii2_0_v6:5:35361164:35366858:1 gene:B456_005G136700 transcript:KJB30194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFYWFKQISNNVKSERRLSLGEYKRAVSWSKYLVSSGGEIKGEGEEWSADMSQLLIGNKFASGRHSRIYRGIYKQRVVAIKIISQPEEDENLANFLEKQFISEVALLFHLRHPNIITFVAACKKPPVFCIITEYLAGGSLRKYLHQQEPYSVPLNLALKLALDIARGMQYLHSQGILHRDLKSENLLLGEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVCQKVKCLTHSWYEATMLLRFSTFGP >KJB30196 pep chromosome:Graimondii2_0_v6:5:35361045:35368915:1 gene:B456_005G136700 transcript:KJB30196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFYWFKQISNNVKSERRLSLGEYKRAVSWSKYLVSSGGEIKGEGEEWSADMSQLLIGNKFASGRHSRIYRGIYKQRVVAIKIISQPEEDENLANFLEKQFISEVALLFHLRHPNIITFVAACKKPPVFCIITEYLAGGSLRKYLHQQEPYSVPLNLALKLALDIARGMQYLHSQGILHRDLKSENLLLGEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVCQKDARPPLPSSCPLAFSHLINRCWSSNPEKRPQFEEIVSILESYAESLEEDPEFFKTYKPSSGRTILRCLPKCITAD >KJB30193 pep chromosome:Graimondii2_0_v6:5:35361887:35368845:1 gene:B456_005G136700 transcript:KJB30193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFYWFKQISNNVKSERRLSLGEYKRAVSWSKYLVSSGGEIKGEGEEWSADMSQLLIGNKFASGRHSRIYRGIYKQRVVAIKIISQPEEDENLANFLEKQFISEVALLFHLRHPNIITFVAACKKPPVFCIITEYLAGGSLRKYLHQQEPYSVPLNLALKLALDIARGMQYLHSQGILHRDLKSENLLLGEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVCQKDARPPLPSSCPLAFSHLINRCWSSNPEKRPQFEEIVSILESYAESLEEDPEFFKTYKPSSGRTILRCLPKCITAD >KJB32447 pep chromosome:Graimondii2_0_v6:5:62245692:62246108:-1 gene:B456_005G241500 transcript:KJB32447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFNKFKASVPVAWSPNLYITLVRGIPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVVETEEMFKARKENEAKHRALRPPIVINHLLASATDSTK >KJB32448 pep chromosome:Graimondii2_0_v6:5:62245288:62247389:-1 gene:B456_005G241500 transcript:KJB32448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFNKFKASVPVAWSPNLYITLVRGIPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVVETEEMFKARKENEAKHRALRPPIVINHLLASATDSTK >KJB29359 pep chromosome:Graimondii2_0_v6:5:14264243:14272185:1 gene:B456_005G096600 transcript:KJB29359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIWDLGFSSLVLVLSLLPLIGFFVRRKWQLSVARQAEIKRLLILASEEAARVELEATIEYGTVSISWNYQQCAVCYCPTTTRCARCKGVRYCSAKCQIIHWRQGHKEECHPPAIAVHQNHDEGSDYGQKAINQDQYGDRYEIEEKSHAKLIGTSSTESALFSSITGPALLSSSSSSVVLDGKDDDAKVEFPADREGLSSAPESCSASFSGFSSAAGSESSDDVSVCESVSSNELDKLDGPSSADVNLDTFWTSVVNNVDQTNPSSPKFVRLVDSVDEISKLSHSKPDQSEESQCRATSSSGLGVSDMSEGSNAEASRFSSDFWGRTLESVPSTSVDDNESFNSYHKEGGKRALLDSGSSLHFSFTLAGNASSSYPQVSKVKDAKLDDATQCATTLGHSKLSDGVVLSENAGLDSPNEGNSKSSNSECTNQGECGSNNIQHVINPREAINIDVPLVGSLPSSHFEKSGSTVVTNGPSISNASHLSESSDSYSSSDRAHAVPNVKSGKFDDVHANFAKLSQFSSYSSNGKNGLKTSMWKVVDQFRVSKFPKHHPFGVSNEGIFPYESFVKLYSWNKVELQPRGLVNCGNSCYANAVLQCLTFTPPLTAYFLHGIHSKACAKKDWCFTCEFEKLILKAKDGKSPLSPMGILSQLQNIGSQLANGKEEDAHEFLRYAIDAMQSICLKEAGLASSGCLEEETTLIGLTFGGYLRSKIKCMKCQGKSERHEKMMDLTVEIEGNIGTLEEALRRFTRTEILDGENKYQCSRCKSYEKAKKKLTILEAPNILTIALKRFQSGKFGKLNKAIRFPEILNLAPYMSGTSDKSPIYRLYGVVVHLDIMNAAFSGHYLCYVKNAQNKWFKIDDSMVTSTELERVLTKGAYMLLYARCSPRAPRLMKNRSKAIPSSVNSKNPLKSNSLTYSGLDEFHPSLIHSDTPSSIESFYSKYNQLQRILEDSSSSDSCSLFSVNSDEGSCCTDSTRDSTSTDDLIDSIFGDSVQGWNSPWRSCDSDAFSSSSSSSLYLRHSPVADLDRYSSGSPKIRSSRMDEEGKRDDLFFHSDTMSKQCRKVVVA >KJB29358 pep chromosome:Graimondii2_0_v6:5:14264243:14272185:1 gene:B456_005G096600 transcript:KJB29358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIWDLGFSSLVLVLSLLPLIGFFVRRKWQLSVARQAEIKRLLILASEEAARVELEATIEYGTVSISWNYQQCAVCYCPTTTRCARCKGVRYCSAKCQIIHWRQGHKEECHPPAIAVHQNHDEGSDYGQKAINQDQYGDRYEIEEKSHAKLIGTSSTESALFSSITGPALLSSSSSSVVLDGKDDDAKVEFPADREGLSSAPESCSASFSGFSSAAGSESSDDVSVCESVSSNELDKLDGPSSADVNLDTFWTSVVNNVDQTNPSSPKFVRLVDSVDEISKLSHSKPDQSEESQCRATSSSGLGVSDMSEGSNAEASRFSSDFWGRTLESVPSTSVDDNESFNSYHKEGGKRALLDSGSSLHFSFTLAGNASSSYPQVSKVKDAKLDDATQCATTLGHSKLSDGVVLSENAGLDSPNEGNSKSSNSECTNQGECGSNNIQHVINPREAINIDVPLVGSLPSSHFEKSGSTVVTNGPSISNASHLSESSDSYSSSDRAHAVPNVKSGKFDDVHANFAKLSQFSSYSSNGKNGLKTSMWKVVDQFRVSKFPKHHPFGVSNEGIFPYESFVKLYSWNKVELQPRGLVNCGNSCYANAVLQCLTFTPPLTAYFLHGIHSKACAKKDWCFTCEFEKLILKAKDGKSPLSPMGILSQLQNIGSQLANGKEEDAHEFLRYAIDAMQSICLKEAGLASSGCLEEETTLIGLTFGGYLRSKIKCMKCQGKSERHEKMMDLTVEIEGNIGTLEEALRRFTRTEILDGENKYQCSRCKSYEKAKKKLTILEAPNILTIALKRFQSGKFGKLNKAIRFPEILNLAPYMSGTSDKSPIYRLYGVVVHLDIMNAAFSGHYLCYVKNAQNKWFKIDDSMVLTSGPKIDEEQK >KJB30256 pep chromosome:Graimondii2_0_v6:5:34257034:34279883:-1 gene:B456_005G134900 transcript:KJB30256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNGDAVDDQPLNPSLFPLFPAAASTVTSTSSIPQWLSNPSFTTDLSLINDAVSSLPRSLDDVQEEDAQEEEENEAKQQEQEKLPHSYELLEEEEEEQEEEEDSDGDKYGERKRKKRSKRRNKKKKISKEIGDSKSKSIHSNDYYFDSHPDHDNLAYGSLYRMDVPRYKLKNPEQLSGYMSQGFYGRTHRFSTYDKDADIDALDTKLKSSGRYWSPNNAALEHHKNLKRLRRYPPKNSSHAVPADFIPLSDIESSPHRGDESSISNNSVIEESWEDEVLRRTREFNKLTREHPHDEKAWVDFAEFQDKVASMQRQKGVRLQTLEKKISILEKATELNPDNEQILLCLMRAYQKRDNTDVLIGRWENVLMQHSGSYVLWREFLHVVQGEFSRFKVSDMRKMYAHAIQALSAACNKQFRQIHQTSKPTDSAMVHLELGLVDIFLSLCRLEWQTGHQELATALFQAEIEFSLFCPSLSLNEPSKQRLFKHFWDSDGARVGEEGALGWSVWLEKEEENRQRVMKEEGSDKTDEGGWTGWSEPLSKCKESSTSLENVSHDDAAVEDFHEKIENEDIKQEDDTESLLKQLGIDVDAGVDGEVKDTLTWARWSEEESSRDSAQWMPVRAKSGAVTNFYEEPNEEVDEQFMTEILYEDVCEYLFSLSSTEARLSLVFQFIDFYGGKISSWVCTNSSSWTEKILSLEELPDCIWQNMRRLQDELNKSQSMSGEFCFEFLFDGARGIPQRTEMMKFLRNAALLCLTAFPRNHILEEAALLAEELFVTRMNSSSCSGAPCQSLAKRLLKRDRQDLLLCGIYARREAFYGNMDNARRVFDMALLSLAGVSLDLQSNSSLPYLWYAEAELGNSNGSKSDSLSRAMHILSCLGSSATYGPFNSHPSSLQLLRARQGFKEKINSLRSKWVRGFIDDQSVALVCAAALFEDLAAGWAAGIEIIDDVFTMVLPERRSQSHHLEYLLNYYVRILQRHREQFTLSKAWDAVTHGLQIYPSSPELFKALVEISCLYTTPNKLRWMFDEHCHKKPSVVVWLFALIFEISRSGSLHRIHGLFERALANDKFHNSVILWRLYVAYEINVVHNPSAARRIFFRAIHACPWSKKLWLDGFLKLNSILTAKELSDLQEVMREKELNLRTDIYEILLQDEILS >KJB30257 pep chromosome:Graimondii2_0_v6:5:34264933:34279840:-1 gene:B456_005G134900 transcript:KJB30257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNGDAVDDQPLNPSLFPLFPAAASTVTSTSSIPQWLSNPSFTTDLSLINDAVSSLPRSLDDVQEEDAQEEEENEAKQQEQEKLPHSYELLEEEEEEQEEEEDSDGDKYGERKRKKRSKRRNKKKKISKEIGDSKSKSIHSNDYYFDSHPDHDNLAYGSLYRMDVPRYKLKNPEQLSGYMSQGFYGRTHRFSTYDKDADIDALDTKLKSSGRYWSPNNAALEHHKNLKRLRRYPPKNSSHAVPADFIPLSDIESSPHRGDESSISNNSVIEESWEDEVLRRTREFNKLTREHPHDEKAWVDFAEFQDKVASMQRQKGVRLQTLEKKISILEKATELNPDNEQILLCLMRAYQKRDNTDVLIGRWENVLMQHSGSYVLWREFLHVVQGEFSRFKVSDMRKMYAHAIQALSAACNKQFRQIHQTSKPTDSAMVHLELGLVDIFLSLCRLEWQTGHQELATALFQAEIEFSLFCPSLSLNEPSKQRLFKHFWDSDGARVGEEGALGWSVWLEKEEENRQRVMKEEGSDKTDEGGWTGWSEPLSKCKESSTSLENVSHDDAAVEDFHEKIENEDIKQEDDTESLLKQLGIDVDAGVDGEVKDTLTWARWSEEESSRDSAQWMPVRAKSGAVTNFYEEPNEEVDEQFMTEILYEDVCEYLFSLSSTEARLSLVFQFIDFYGGKISSWVCTNSSSWTEKILSLEELPDCIWQNMRRLQDELNKSQSMSGEFCFEFLFDGARGIPQRTEMMKFLRNAALLCLTAFPRNHILEEAALLAEELFVTRMNSSSCSGAPCQSLAKRLLKRDRQDLLLCGIYARREAFYGNMDNARRVFDMALLSLAGVSLDLQSNSSLPYLWYAEAELGNSNGSKSDSLSRAMHILSCLGSSATYGPFNSHPSSLQLLRARQGFKEKINSLRSKWVRGFIDDQSVALVCAAALFEDLAAGWAAGIEIIDDVFTMVLPGILYFFLPFPIFIWFTALGPFTFS >KJB30037 pep chromosome:Graimondii2_0_v6:5:29864972:29877531:-1 gene:B456_005G128400 transcript:KJB30037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAMKIVLGLLTFVTVGMIIGALFQLAFIRRLEISYGNYHFPTTKLHTRQSDGHLRLPIGLSHWRGDQEAEILRLGYVKPEIISWSPRIIVLHNFLSNEECDYLKAIALPRLQVSTVVDAKTGMGIKSKVRTSSGMFLRPNERKYPMIQAIEKRISVFSQIPAEHGEQIQVLRYEKDQFYKPHHDYFSDPFNIKRSQRIATMLMYLSDDVEGGETYFPRAGTGDCSCGGKVVKGMSIKPIKGDAVLFWSMGLDGQSDPNSLHGGCAVLSGEKWSATKWMRKKPIS >KJB29361 pep chromosome:Graimondii2_0_v6:5:14531776:14533391:-1 gene:B456_005G096800 transcript:KJB29361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGSRADAKLLLGIAKKLLQGRHFKASRDVAVLAQQTDPLLEGPDQILAVIDVVVADVKRINDQHDWYSILQIDCRSQDNDLIEKQYHRLTLLLDPEQNKFPFADDAFKLVSDAWSILSNSSKKSVYDKEFDSCTRIDLSTAGDRSYHAGESTVRGEPQNQERMQNLELRKENQRPRMSTFWTACPYCYMLFEYPRIYEGCCLRCQNCDRAFHGVLIQTLPPLIPEKEAYYCTWAFFPLLSGGQETEVEPPPGFPDKRRGSERNDGRESEVKAPPGFPEKRRGAQRNGGTMALPPPASAPTTTMKKATESIDNVAGISGGNVSDFAPRKRGKPRMNPL >KJB31360 pep chromosome:Graimondii2_0_v6:5:54700569:54710269:-1 gene:B456_005G187700 transcript:KJB31360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRLASSSSRSADLLKPLCSAISLRRPISTDTTPITVETSVPFTSHQCDTPSRSVQTNAKELFTFFRDMALMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITKKDCIITAYRDHCTFVSRGGTLLEVFAELMGRQAGCSRGKGGSMHFYKKDSNFYGGHGIVGAQVPLGCGLAFAQKYSKDEAVTFALYGDGAANQGQLFEALNISALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYAPGLKVDGMDAFAVKQACTFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEITGVRQERDPVERIRKLILSHDLATEKELKDIEKEIRKEVDDAIAQAKENPLPESSELFTNVYAKGLGVESFGADRKEVRAILP >KJB31361 pep chromosome:Graimondii2_0_v6:5:54700780:54710220:-1 gene:B456_005G187700 transcript:KJB31361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRLASSSSRSADLLKPLCSAISLRRPISTDTTPITVETSVPFTSHQCDTPSRSVQTNAKELFTFFRDMALMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITKKDCIITAYRDHCTFVSRGGTLLEVFAELMGRQAGCSRGKGGSMHFYKKDSNFYGGHGIVGAQVPLGCGLAFAQKYSKDEAVTFALYGDGAANQGQLFEALNISALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYAPGLKVDGMDAFAVKQACTFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEITGVRQERDPVERIRKLILSHDLATEKELKVLLTKFIYEAISMEISLDLFMVIDI >KJB31362 pep chromosome:Graimondii2_0_v6:5:54700780:54710220:-1 gene:B456_005G187700 transcript:KJB31362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRLASSSSRSADLLKPLCSAISLRRPISTDTTPITVETSVPFTSHQCDTPSRSVQTNAKELFTFFRDMALMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITKKDCIITAYRDHCTFVSRGGTLLEVFAELMGRQAGCSRGKGGSMHFYKKDSNFYGGHGIVGAQVPLGCGLAFAQKYSKDEAVTFALYGDGAANQGQLFEALNISALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYAPGLKVDGMDAFAVKQACTFAKEHALKNGPIRGKSLSLVEAIKLTSSCSVCCAYMQILEMDTYRYHGHSMSDPGSTYRTRDEITGVRQERDPVERIRKLILSHDLATEKELKDIEKEIRKEVDDAIAQAKENPLPESSELFTNVYAKGLGVESFGADRKEVRAILP >KJB32913 pep chromosome:Graimondii2_0_v6:5:64002422:64007313:1 gene:B456_005G267900 transcript:KJB32913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGSRTEVDEDGDNNKGRMWDLDQQFDRSIEEEAGRLKNMYTEKQTSPLLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPEEIVDPEDVVGALSLIIYSLTLIPLLKYVFVVCKANDNGQGGTFALYSLLCRHANVKIIPNQHRTDEELTTYSCSTLIHEKSFAAKTKRWLEKDTYRKNALLILVLFGTCNVIGDGILTPAISVLSASGGLKVAHPRMSNGVILVVAVVILVGLFSMQHYGTDKVSWLFAPIVFLWFITTGGIGAYNIWKYGSSVLKAFSPVYIFRYFKRRRKDGWTSLGGIMLSITGTEALFADLAHFSVSAVQLAFTVVVFPCLLLAYSGQAAYLFNKDCIYWPVFVIATLAAIVASQAAISATYSIVKQALAHGCFPRVKVVHTSKNFLGQIYVPDINWILMVLCIFVTAGFKNQSQIANAYGTAVVLVMLVTTVLMTLIMILVWRSHWIIVILFTGLSLVVECTYFSAVLFKMDQGGWVPLVIAAAFLLIMYVWHYGTVKRYEFEMHGKVSMAWILGLGPSLGLVRVPGTGLVYTELASGVPHMFSHFITNLPAIHSVLVFVCVKYLPVYTVPEEERFVVKRVGPKNFHMFRCIARYGYKDLHKKDDDFEKKLFDNLFLFVRLESMMEGCSDSEEYSWSEPPSEHSRDGNEGGNTMVSSSVNSTVVSYMNMGQISRSQTEMDELEFLNRCRDAGVVHILGNTMVRARKDARFYKRIAIDYIYAFLRKICRENSAIFNVPRESLLNVGQIIY >KJB32910 pep chromosome:Graimondii2_0_v6:5:64002422:64007313:1 gene:B456_005G267900 transcript:KJB32910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGSRTEVDEDGDNNKGRMWDLDQQFDRSIEEEAGRLKNMYTEKQTSPLLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPEEIVDPEDVVGALSLIIYSLTLIPLLKYVFVVCKANDNGQGGTFALYSLLCRHANVKIIPNQHRTDEELTTYSCSTLIHEKSFAAKTKRWLEKDTYRKNALLILVLFGTCNVIGDGILTPAISVLSASGGLKVAHPRMSNGVILVVAVVILVGLFSMQHYGTDKVSWLFAPIVFLWFITTGGIGAYNIWKYGSSVLKAFSPVYIFRYFKRRRKDGWTSLGGIMLSITGTEALFADLAHFSVSAVQLAFTVVVFPCLLLAYSGQAAYLFNKGDHVIDPFYRSIPDCIYWPVFVIATLAAIVASQAAISATYSIVKQALAHGCFPRVKVVHTSKNFLGQIYVPDINWILMVLCIFVTAGFKNQSQIANAYGTAVVLVMLVTTVLMTLIMILVWRSHWIIVILFTGLSLVVECTYFSAVLFKMDQGGWVPLVIAAAFLLIMYVWHYGTVKRYEFEMHGKVSMAWILGLGPSLGLVRVPGTGLVYTELASGVPHMFSHFITNLPAIHSVLVFVCVKYLPVYTVPEEERFVVKRVGPKNFHMFRCIARYGYKDLHKKDDDFEKKLFDNLFLFVRLESMMEGCSDSEEYSWSEPPSEHSRDGNEGGNTMVSSSVNSTVVSYMNMGQISRSQTEMDELEFLNRCRDAGVVHILGNTMVRARKDARFYKRIAIDYIYAFLRKICRENSAIFNVPRESLLNVGQIIY >KJB32911 pep chromosome:Graimondii2_0_v6:5:64002420:64007313:1 gene:B456_005G267900 transcript:KJB32911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGSRTEVDEDGDNNKGRMWDLDQQFDRSIEEEAGRLKNMYTEKQTSPLLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPEEIVDPEDVVGALSLIIYSLTLIPLLKYVFVVCKANDNGQGGTFALYSLLCRHANVKIIPNQHRTDEELTTYSCSTLIHEKSFAAKTKRWLEKDTYRKNALLILVLFGTCNVIGDGILTPAISVLSASGGLKVAHPRMSNGVILVVAVVILVGLFSMQHYGTDKVSWLFAPIVFLWFITTGGIGAYNIWKYGSSVLKAFSPVYIFRYFKRRRKDGWTSLGGIMLSITGTEALFADLAHFSVSAVQLAFTVVVFPCLLLAYSGQAAYLFNKGDHVIDPFYRSIPDCIYWPVFVIATLAAIVASQAAISATYSIVKQALAHGCFPRVKVVHTSKNFLGQIYVPDINWILMVLCIFVTAGFKNQSQIANAYGTAVVLVMLVTTVLMTLIMILVWRSHWIIVILFTGLSLVVECTYFSAVLFKMDQGGWVPLVIAAAFLLIMYVWHYGTVKRYEFEMHGKVSMAWILGLGPSLGLVRVPGTGLVYTELASGVPHMFSHFITNLPAIHSVLVFVCVKYLPVYTVPEEERFVVKRVGPKNFHMFRCIARYGYKDLHKKDDDFEKKLFDNLFLFVRLESMMEGCSDSEEYSWSEPPSEHSRDGNEGGNTMVSSSVNSTVVSYMNMGQISRSQTEMDELEFLNRCRDAGVVHILGNTMVRARKDARFYKRIAIDYIYAFLRKICRENSAIFNVPRESLLNVGQIIY >KJB32912 pep chromosome:Graimondii2_0_v6:5:64002422:64007313:1 gene:B456_005G267900 transcript:KJB32912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGSRTEVDEDGDNNKGRMWDLDQQFDRSIEEEAGRLKNMYTEKQTSPLLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPEEIVDPEDVVGALSLIIYSLTLIPLLKYVFVVCKANDNGQGGTFALYSLLCRHANVKIIPNQHRTDEELTTYSCSTLIHEKSFAAKTKRWLEKDTYRKNALLILVLFGTCNVIGDGILTPAISVLSASGGLKVAHPRMSNGVFLPFHTHYSFSYSSLFLSFLSIFLLSLFFFPCAGVILVVAVVILVGLFSMQHYGTDKVSWLFAPIVFLWFITTGGIGAYNIWKYGSSVLKAFSPVYIFRYFKRRRKDGWTSLGGIMLSITGTEALFADLAHFSVSAVQLAFTVVVFPCLLLAYSGQAAYLFNKGDHVIDPFYRSIPDCIYWPVFVIATLAAIVASQAAISATYSIVKQALAHGCFPRVKVVHTSKNFLGQIYVPDINWILMVLCIFVTAGFKNQSQIANAYGTAVVLVMLVTTVLMTLIMILVWRSHWIIVILFTGLSLVVECTYFSAVLFKMDQGGWVPLVIAAAFLLIMYVWHYGTVKRYEFEMHGKVSMAWILGLGPSLGLVRVPGTGLVYTELASGVPHMFSHFITNLPAIHSVLVFVCVKYLPVYTVPEEERFVVKRVGPKNFHMFRCIARYGYKDLHKKDDDFEKKLFDNLFLFVRLESMMEGCSDSEEYSWSEPPSEHSRDGNEGGNTMVSSSVNSTVVSYMNMGQISRSQTEMDELEFLNRCRDAGVVHILGNTMVRARKDARFYKRIAIDYIYAFLRKICRENSAIFNVPRESLLNVGQIIY >KJB28683 pep chromosome:Graimondii2_0_v6:5:6577738:6581815:-1 gene:B456_005G062400 transcript:KJB28683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWEDEIPPLPAKEQLKSKWDDEDIDDSDIKESWEDEDEPAPPPQPFAKAPEEKAPKKAASKATEKKGKAVEVAKEEPLDPVAEKLRQQRLVEEADYKSTTELFSKKGDDKTLDNFIPKSESDFVEYAELISHKLRPYEKSYHYIALLKAVMRLSLTSLKAADVKDIASSVTAIANEKLKAEKEATTKKKTVGKKKQLHVDKPDDDLVVTAYDDIDDYDFM >KJB28682 pep chromosome:Graimondii2_0_v6:5:6577738:6581642:-1 gene:B456_005G062400 transcript:KJB28682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWEDEIPPLPAKEQLKSKWDDEDIDDSDIKESWEDEDEPAPPPQPFAKAPEEKAPKKAASKATEKKGKAVEVAKEEPLDPVAEKLRQQRLVEEADYKSTTELFSKKGDDKTLDNFIPKSESDFVEYAELISHKLRPYEKSYHYIALLKAVMRLSLTSLKAADVKDIASSVTAIANEKLKAEKEATTKKKTVGKKKQLHVDKPDDDLVVTAYDDIDDYDFM >KJB28685 pep chromosome:Graimondii2_0_v6:5:6577738:6581825:-1 gene:B456_005G062400 transcript:KJB28685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWEDEIPPLPAKEQLKSKWDDEDIDDSDIKESWEDEDEPAPPPQPFAKAPEEKAPKKAASKATEKKGKAVEVAKEEPLDPVAEKLRQQRLVEEADYKSTTELFSKKGDDKTLDNFIPKSESDFVEYAELISHKLRPYELSLYCSTQGCDETVIDFFESS >KJB28684 pep chromosome:Graimondii2_0_v6:5:6577720:6581893:-1 gene:B456_005G062400 transcript:KJB28684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWEDEIPPLPAKEQLKSKWDDEDIDDSDIKESWEDEDEPAPPPQPFAKAPEEKAPKKAASKATEKKGKAVEVAKEEPLDPVAEKLRQQRLVEEADYKSTTELFSKKGDDKTLDNFIPKSESDFVEYAELISHKLRPYEKSYHYIALLKAVMRLSLTSLKAADVKDIASSVTAIANEKLKAEKEATTKKKTVGKKKQLHVDKPDDDLVVTAYDDIDDYDFM >KJB29085 pep chromosome:Graimondii2_0_v6:5:10291408:10294009:-1 gene:B456_005G083500 transcript:KJB29085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTLVQPIGQKRLTNVAVVRLKKHGMRFEIACYKNKVLSWRSRVEKDLDEVLQSHTVYSNVSKGVLAKTKDLVAAFGTDDQTKICLEILEKGELQVAGKERESQFSSQFRDIATIVMQKTINPETQRPYTISMIERLMHEIHFAVDPNSSSKKQVALEVIRQLQNNFPIKRSPMRLRLIVPGQNFYSLCEKLNEWGATIVSKDESGTQLSVICEIEPGLFRECDSLVRNLQGRLEILSVSVHAEGDTQVDNYDDEDISSQLPKDSAESASSRLPPESSDSVVQLSEKIQKHTISSGNGNAEGEAKQHKCSTCNAFVGDSKQYRDHFKSEWHKHNLKRKTRQLPPLTAEECLADVELSDSKSDLQDYSF >KJB29084 pep chromosome:Graimondii2_0_v6:5:10291338:10294065:-1 gene:B456_005G083500 transcript:KJB29084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTLVQPIGQKRLTNVAVVRLKKHGMRFEIACYKNKVLSWRSRVEKDLDEVLQSHTVYSNVSKGVLAKTKDLVAAFGTDDQTKICLEILEKGELQVAGKERESQFSSQFRDIATIVMQKTINPETQRPYTISMIERLMHEIHFAVDPNSSSKKQALEVIRQLQNNFPIKRSPMRLRLIVPGQNFYSLCEKLNEWGATIVSKDESGTQLSVICEIEPGLFRECDSLVRNLQGRLEILSVSVHAEGDTQVDNYDDEDISSQLPKDSAESASSRLPPESSDSVVQLSEKIQKHTISSGNGNAEGEAKQHKCSTCNAFVGDSKQYRDHFKSEWHKHNLKRKTRQLPPLTAEECLADVELSDSKSDLQDYSF >KJB31198 pep chromosome:Graimondii2_0_v6:5:53040244:53042892:-1 gene:B456_005G180600 transcript:KJB31198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 2 [Source:Projected from Arabidopsis thaliana (AT1G60790) UniProtKB/Swiss-Prot;Acc:Q8VYR3] MDVKKHALSDQLSPKRKVFSRLGWGLAVSLIAIIGLMLNSSFNGPVVGSLFQGYYGVSDATVSLHFSSSSSVSNATADTQNTLEVDNGSSVIAKKQEFWEESEGGQVDLKDGVDIDIEGTQLENSYEGSKTGNFDVAEGRIQQIAQHANGSVVSKNGDGVILEKTQLGNFSKTLNSATLAGKNVNANIVVDNPGGANNVTNLSKNWEVVGHFVAEKKVLELNKTTNSPGGDDHPRVVKGPNGSFEKCDLFDGRWVKDDHSKPYYRPGSCPYIDKDFDCQRNGRPDKGYIKWKWQPNGCNLKRLNATDFLERLRGKRLVFIGDSLNRNMWESMVCILRRSVKKRKRVYEISGRSDFKKKGVYAFRFEDYNCSVDFVASPFLVKESSFKRENGSIETLRLDLMNPSTSMYHDADLMVFNTGHWWTHEKTSKGEDYYQERDYVYPRLKVLNAYQKALTTWSRWIDKNVDSRRTQVFFRGYSVTHFWGGQWNSGGQCDKETEPILNETYLTKYPSKMRAVEYVIQNTKTPVIYLNISRLTDYRKDGHPSIYRREYKTEREGNAAGRVQDCSHWCLPGVPDTWNELLYASLVKAGREI >KJB31200 pep chromosome:Graimondii2_0_v6:5:53040873:53042892:-1 gene:B456_005G180600 transcript:KJB31200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 2 [Source:Projected from Arabidopsis thaliana (AT1G60790) UniProtKB/Swiss-Prot;Acc:Q8VYR3] MDVKKHALSDQLSPKRKVFSRLGWGLAVSLIAIIGLMLNSSFNGPVVGSLFQGYYGVSDATVSLHFSSSSSVSNATADTQNTLEVDNGSSVIAKKQEFWEESEGGQVDLKDGVDIDIEGTQLENSYEGSKTGNFDVAEGRIQQIAQHANGSVVSKNGDGVILEKTQLGNFSKTLNSATLAGKNVNANIVVDNPGGANNVTNLSKNWEVVGHFVAEKKVLELNKTTNSPGGDDHPRVVKGPNGSFEKCDLFDGRWVKDDHSKPYYRPGSCPYIDKDFDCQRNGRPDKGYIKWKWQPNGCNLKRLNATDFLERLRGKRLVFIGDSLNRNMWESMVCILRRSVKKRKRVYEISGRSDFKKKGVYAFRFEDYNCSVDFVASPFLVKESSFKRENGSIETLRLDLMNPSTSMYHDADLMVFNTGHWWTHEKTSKG >KJB31199 pep chromosome:Graimondii2_0_v6:5:53040039:53043381:-1 gene:B456_005G180600 transcript:KJB31199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 2 [Source:Projected from Arabidopsis thaliana (AT1G60790) UniProtKB/Swiss-Prot;Acc:Q8VYR3] MDVKKHALSDQLSPKRKVFSRLGWGLAVSLIAIIGLMLNSSFNGPVVGSLFQGYYGVSDATVSLHFSSSSSVSNATADTQNTLEVDNGSSVIAKKQEFWEESEGGQVDLKDGVDIDIEGTQLENSYEGSKTGNFDVAEGRIQQIAQHANGSVVSKNGDGVILEKTQLGNFSKTLNSATLAGKNVNANIVVDNPGGANNVTNLSKNWEVVGHFVAEKKVLELNKTTNSPGGDDHPRVVKGPNGSFEKCDLFDDFDCQRNGRPDKGYIKWKWQPNGCNLKRLNATDFLERLRGKRLVFIGDSLNRNMWESMVCILRRSVKKRKRVYEISGRSDFKKKGVYAFRFEDYNCSVDFVASPFLVKESSFKRENGSIETLRLDLMNPSTSMYHDADLMVFNTGHWWTHEKTSKGEDYYQERDYVYPRLKVLNAYQKALTTWSRWIDKNVDSRRTQVFFRGYSVTHFWGGQWNSGGQCDKETEPILNETYLTKYPSKMRAVEYVIQNTKTPVIYLNISRLTDYRKDGHPSIYRREYKTEREGNAAGRVQDCSHWCLPGVPDTWNELLYASLVKAGREI >KJB28239 pep chromosome:Graimondii2_0_v6:5:3455704:3457834:1 gene:B456_005G036400 transcript:KJB28239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLSMQAWEAIGFDKLISHHLFHKRQHMNNHSKNEVSLANSIKKSSSGWPKLSYQAKEEEEEEMVSVSGICAKRVAVNARHHILGRLASILAKELLNGQKLVVVRFEEICMSGGLVMIPHKIKRGAAALARLKAYERIPATAPDALNWLG >KJB28237 pep chromosome:Graimondii2_0_v6:5:3455930:3456286:1 gene:B456_005G036400 transcript:KJB28237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLSMQAWEAIGFDKLISHHLFHKRQHMNNHSKNEVSLANSIKKSSSGWPKLSYQAKEEEEEEMVSVSGICAKRVAVNARHHILGRLASILAKELLNGQKLVVVRFEEICMSGGLVV >KJB28238 pep chromosome:Graimondii2_0_v6:5:3455704:3457834:1 gene:B456_005G036400 transcript:KJB28238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLSMQAWEAIGFDKLISHHLFHKRQHMNNHSKNEVSLANSIKKSSSGWPKLSYQAKEEEEEEMVSVSGICAKRVAVNARHHILGRLASILAKELLNGQKLVVVRFEEICMSGGLVMIPHKIKRGAAALARLKAYERIPATAPDALKVLRLQKGHKLVEKIALSWSKYDIKH >KJB28240 pep chromosome:Graimondii2_0_v6:5:3455704:3457834:1 gene:B456_005G036400 transcript:KJB28240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLSMQAWEAIGFDKLISHHLFHKRQHMNNHSKNEVSLANSIKKSSSGWPKLSYQAKEEEEEEMVSVSGICAKRVAVNARHHILGRLASILAKELLNGQKLVVVRFEEICMSGGLVV >KJB27504 pep chromosome:Graimondii2_0_v6:5:62989841:62990113:-1 gene:B456_005G251200 transcript:KJB27504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWSLSKHQCSYQTPSAVSVQKGLFAVFVGDEEKKKRFVVPISYLKQPLFQVLLNQAEQEFGYDHPLGGLMVPCAEDEFINLTSRMNHA >KJB29488 pep chromosome:Graimondii2_0_v6:5:17823369:17825916:1 gene:B456_005G103500 transcript:KJB29488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVGHYHECHAPISPAQIAIYCHSILKHINRTVVGSGCSRHTALHSQYNRLPSFIQFQTAAFSNMSVFYQEEQPRQSKRCKFLATVLKEAFSNCRTFNGRRSDSGLEEEYSTSDINDVSQEVVSEIRSRAMEKMKHRPSLVAESFSWVLSPSKQAKGRDKDEREDEADEFFSIGSCFSLCPSAASREAFLSANTDFSRSSSINKIDFPEIWKFDFRDFSRRSIIHELCHCEGWPFGLCKKTVLLPPLPKSPSESWSWRKGTKLRC >KJB29490 pep chromosome:Graimondii2_0_v6:5:17824343:17825300:1 gene:B456_005G103500 transcript:KJB29490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFYQEEQPRQSKRCKFLATVLKEAFSNCRTFNGRRSDSGLEEEYSTSDINDVSQEVVSEIRSRAMEKMKHRPSLVAESFSWVLSPSKQAKGRDKDEREDEADEFFSIGSCFSLCPSAASREAFLSANTDFSRSSSINKIDFPEIWKFDFRDFSRRSIIHELCHCEGWPFGLCKKTVLLPPLPKSPSESWSWRKGTKLRC >KJB29489 pep chromosome:Graimondii2_0_v6:5:17823369:17825916:1 gene:B456_005G103500 transcript:KJB29489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFYQEEQPRQSKRCKFLATVLKEAFSNCRTFNGRRSDSGLEEEYSTSDINDVSQEVVSEIRSRAMEKMKHRPSLVAESFSWVLSPSKQAKGRDKDEREDEADEFFSIGSCFSLCPSAASREAFLSANTDFSRSSSINKIDFPEIWKFDFRDFSRRSIIHELCHCEGWPFGLCKKTVLLPPLPKSPSESWSWRKGTKLRC >KJB32280 pep chromosome:Graimondii2_0_v6:5:61538527:61541232:1 gene:B456_005G233000 transcript:KJB32280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMLRSLARPLERCLGLRAGGDGLMWHTDLKPHASGDYSIAVVQANKNLEDQSQVFTSPLGTYVGVYDGHGGPEASRFVNKHLFPFLHKQGGLSADVIKKAFNATEEEFLHLVKQSLPVRPQIASVGSCCLVGAISNDVLYVANLGDSRAVLGKRVSEDKKNKVVAERLSTDHNVGVEEVRKEVEALHPDDSHVVVYTRGVWRIKGIIQVSRSIGDVYLKKPDFYRDPIFQRFGNPVPLKRPVITAEPSILIRKLKPQDLFLIFASDGLWEQLSDETAVDIVFRNPRAGIAKRLVSAALREVAKKREMRYGDIKKIEKGIRRHFHDDITVIVIYLDQHRGSSHNIVKHNAMGCTTAPVDIYSYNADEGYEGDLLQTVS >KJB32281 pep chromosome:Graimondii2_0_v6:5:61538527:61541232:1 gene:B456_005G233000 transcript:KJB32281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMLRSLARPLERCLGLRAGGDGLMWHTDLKPHASGDYSIAVVQANKNLEDQSQVFTSPLGTYVGVYDGHGGPEASRFVNKHLFPFLHKFATEQGGLSADVIKKAFNATEEEFLHLVKQSLPVRPQIASVGSCCLVGAISNDVLYVANLGDSRAVLGKRVSEDKKNKVVAERLSTDHNVGVEEVRKEVEALHPDDSHVVVYTRGVWRIKGIIQVSRSIGDVYLKKPDFYRDPIFQRFGNPVPLKRPVITAEPSILIRKLKPQDLFLIFASDGLWEQLSDETAVDIVFRNPRAVSIYLCLIG >KJB32278 pep chromosome:Graimondii2_0_v6:5:61538410:61541232:1 gene:B456_005G233000 transcript:KJB32278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMLRSLARPLERCLGLRAGGDGLMWHTDLKPHASGDYSIAVVQANKNLEDQSQVFTSPLGTYVGVYDGHGGPEASRFVNKHLFPFLHKFATEQGGLSADVIKKAFNATEEEFLHLVKQSLPVRPQIASVGSCCLVGAISNDVLYVANLGDSRAVLGKRVSEDKKNKVVAERLSTDHNVGVEEVRKEVEALHPDDSHVVVYTRGVWRIKGIIQVSRSIGDVYLKKPDFYRDPIFQRFGNPVPLKRPVITAEPSILIRKLKPQDLFLIFASDGLWEQLSDETAVDIVFRNPRAGIAKRLVSAALREVAKKREMRYGDIKKIEKGIRRHFHDDITVIVIYLDQHRGSSHNIVKHNAMGCTTAPVDIYSYNADEGYEGDLLQTVS >KJB32279 pep chromosome:Graimondii2_0_v6:5:61538728:61539813:1 gene:B456_005G233000 transcript:KJB32279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMLRSLARPLERCLGLRAGGDGLMWHTDLKPHASGDYSIAVVQANKNLEDQSQVFTSPLGTYVGVYDGHGGPEASRFVNKHLFPFLHKFATEQGGLSADVIKKAFNATEEEFLHLVKQSLPVRPQIASVGSCCLVGAISNDVLYVANLGDSRAVLGKRVSEDKKNKVVAERLSTDHNVGVEEVRKEVEALHPDDSHVVVYTRGVWRIKGIIQVLNKIYWQTQL >KJB32282 pep chromosome:Graimondii2_0_v6:5:61538527:61540013:1 gene:B456_005G233000 transcript:KJB32282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMLRSLARPLERCLGLRAGGDGLMWHTDLKPHASGDYSIAVVQANKNLEDQSQVFTSPLGTYVGVYDGHGGPEASRFVNKHLFPFLHKFATEQGGLSADVIKKAFNATEEEFLHLVKQSLPVRPQIASVGSCCLVGAISNDVLYVANLGDSRAVLGKRVSEDKKNKVVAERLSTDHNVGVEEVRKEVEALHPDDSHVVVYTRGVWRIKGIIQVLNKIYWQTQL >KJB30245 pep chromosome:Graimondii2_0_v6:5:33914765:33917359:1 gene:B456_005G134200 transcript:KJB30245 gene_biotype:protein_coding transcript_biotype:protein_coding description:FHY3 [Source:Projected from Arabidopsis thaliana (AT3G22170) UniProtKB/TrEMBL;Acc:A0A178VJL5] MDIDLRLPSGEQCKEDEDANGIDNMLDGDESLHNGMVQVVGEALRAEDGGEMHSSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPENATGRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAADVKILLEFFTHMQSINSNFFYAVDLGEDQRLKSLFWVDSKSRHDYSYFCDAVSFDTTYVTNKYKMPLALFIGVNHHYQCIPLGCALVSDESAATFSWLMQTWLKAMGGQSPRVLITDQDRTLKSVVAEIFPNTLHCFFLWHVLGKVSENLGHVIKQYGNLMEKFEKCIYRSWTDEEFGKRWWKILDRFELKDDEWMKSLYEDRKKWVPTYIMNVLLAGMSTVQRAESVNSFFDKYVHKKTTVQDFLKHYEAILQDRYEEEAKANSDSWSKVPALKSPSPFEKSVAGVYTHTLFKKFQIEVVGAIACHPKPENHDSTCSIFRVQDLEKNQDFIVTLNEMKAEVSCICRLYEYKGYLCRHAMVVLQINGRSAIPSQYILKRWTKEAKSRHFMGEESEQVQSRAQRYNDLFQRGMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVASPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMAVAPTDGLQQMDKLSSRSVALDSYFGAQPSVQGMVRLLQLTSFNFFM >KJB30238 pep chromosome:Graimondii2_0_v6:5:33913885:33918341:1 gene:B456_005G134200 transcript:KJB30238 gene_biotype:protein_coding transcript_biotype:protein_coding description:FHY3 [Source:Projected from Arabidopsis thaliana (AT3G22170) UniProtKB/TrEMBL;Acc:A0A178VJL5] MDIDLRLPSGEQCKEDEDANGIDNMLDGDESLHNGMVQVVGEALRAEDGGEMHSSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPENATGRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAADVKILLEFFTHMQSINSNFFYAVDLGEDQRLKSLFWVDSKSRHDYSYFCDAVSFDTTYVTNKYKMPLALFIGVNHHYQCIPLGCALVSDESAATFSWLMQTWLKAMGGQSPRVLITDQDRTLKSVVAEIFPNTLHCFFLWHVLGKVSENLGHVIKQYGNLMEKFEKCIYRSWTDEEFGKRWWKILDRFELKDDEWMKSLYEDRKKWVPTYIMNVLLAGMSTVQRAESVNSFFDKYVHKKTTVQDFLKHYEAILQDRYEEEAKANSDSWSKVPALKSPSPFEKSVAGVYTHTLFKKFQIEVVGAIACHPKPENHDSTCSIFRVQDLEKNQDFIVTLNEMKAEVSCICRLYEYKGYLCRHAMVVLQINGRSAIPSQYILKRWTKEAKSRHFMGEESEQVQSRAQRYNDLFQRGMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVASPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMAVAPTDGLQQMDKLSSRSVALDSYFGAQPSVQGMVQLNLMAPRDNYYGNQQTIQGLGQLNTIATSHDGYYGTQQAMPGMGPMDFFRSPSFYIRDDPNVRVAQLHDDASRHT >KJB30248 pep chromosome:Graimondii2_0_v6:5:33914179:33918341:1 gene:B456_005G134200 transcript:KJB30248 gene_biotype:protein_coding transcript_biotype:protein_coding description:FHY3 [Source:Projected from Arabidopsis thaliana (AT3G22170) UniProtKB/TrEMBL;Acc:A0A178VJL5] MDIDLRLPSGEQCKEDEDANGIDNMLDGDESLHNGMVQVVGEALRAEDGGEMHSSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPENATGRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAADVKILLEFFTHMQSINSNFFYAVDLGEDQRLKSLFWVDSKSRHDYSYFCDAVSFDTTYVTNKYKMPLALFIGVNHHYQCIPLGCALVSDESAATFSWLMQTWLKAMGGQSPRVLITDQDRTLKSVVAEIFPNTLHCFFLWHVLGKVSENLGHVIKQYGNLMEKFEKCIYRSWTDEEFGKRWWKILDRFELKDDEWMKSLYEDRKKWVPTYIMNVLLAGMSTVQRAESVNSFFDKYVHKKTTVQDFLKHYEAILQDRYEEEAKANSDSWSKVPALKSPSPFEKSVAGVYTHTLFKKFQIEVVGAIACHPKPENHDSTCSIFRVQDLEKNQDFIVTLNEMKAEVSCICRLYEYKGYLCRHAMVVLQINGRSAIPSQYILKRWTKEAKSRHFMGEESEQVQSRAQRYNDLFQRGMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVASPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMAVAPTDGLQQMDKLSSRSVALDSYFGAQPSVQGMVQLNLMAPRDNYYGNQQTIQGLLNTIATSHDGYYGTQQAMPGMGPMDFFRSPSFYIRDDPNVRVAQLHDDASRHT >KJB30241 pep chromosome:Graimondii2_0_v6:5:33914179:33918341:1 gene:B456_005G134200 transcript:KJB30241 gene_biotype:protein_coding transcript_biotype:protein_coding description:FHY3 [Source:Projected from Arabidopsis thaliana (AT3G22170) UniProtKB/TrEMBL;Acc:A0A178VJL5] MDIDLRLPSGEQCKEDEDANGIDNMLDGDESLHNGMVQVVGEALRAEDGGEMHSSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPENATGRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAADVKILLEFFTHMQSINSNFFYAVDLGEDQRLKSLFWVDSKSRHDYSYFCDAVSFDTTYVTNKYKMPLALFIGVNHHYQCIPLGCALVSDESAATFSWLMQTWLKAMGGQSPRVLITDQDRTLKSVVAEIFPNTLHCFFLWHVLGKVSENLGHVIKQYGNLMEKFEKCIYRSWTDEEFGKRWWKILDRFELKDDEWMKSLYEDRKKWVPTYIMNVLLAGMSTVQRAESVNSFFDKYVHKKTTVQDFLKHYEAILQDRYEEEAKANSDSWSKVPALKSPSPFEKSVAGVYTHTLFKKFQIEVVGAIACHPKPENHDSTCSIFRVQDLEKNQDFIVTLNEMKAEVSCICRLYEYKGYLCRHAMVVLQINGRSAIPSQYILKRWTKEAKSRHFMGEESEQVQSRAQRYNDLFQRGMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVASPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMAVAPTDGLQQMDKLSSRSVALDSYFGAQPSVQGMVQLNLMAPRDNYYGNQQTIQGLGQLNTIATSHDGYYGTQQAMPGMGPMDFFRSPSFYIRDDPNVRVAQLHDDASRHT >KJB30240 pep chromosome:Graimondii2_0_v6:5:33914045:33918341:1 gene:B456_005G134200 transcript:KJB30240 gene_biotype:protein_coding transcript_biotype:protein_coding description:FHY3 [Source:Projected from Arabidopsis thaliana (AT3G22170) UniProtKB/TrEMBL;Acc:A0A178VJL5] MDIDLRLPSGEQCKEDEDANGIDNMLDGDESLHNGMVQVVGEALRAEDGGEMHSSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPENATGRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAADVKILLEFFTHMQSINSNFFYAVDLGEDQRLKSLFWVDSKSRHDYSYFCDAVSFDTTYVTNKYKMPLALFIGVNHHYQCIPLGCALVSDESAATFSWLMQTWLKAMGGQSPRVLITDQDRTLKSVVAEIFPNTLHCFFLWHVLGKVSENLGHVIKQYGNLMEKFEKCIYRSWTDEEFGKRWWKILDRFELKDDEWMKSLYEDRKKWVPTYIMNVLLAGMSTVQRAESVNSFFDKYVHKKTTVQDFLKHYEAILQDRYEEEAKANSDSWSKVPALKSPSPFEKSVAGVYTHTLFKKFQIEVVGAIACHPKPENHDSTCSIFRVQDLEKNQDFIVTLNEMKAEVSCICRLYEYKGYLCRHAMVVLQINGRSAIPSQYILKRWTKEAKSRHFMGEESEQVQSRAQRYNDLFQRGMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVASPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMAVAPTDGLQQMDKLSSRSVALDSYFGAQPSVQGMVQLNLMAPRDNYYGNQQTIQGLGQLNTIATSHDGYYGTQQAMPGMGPMDFFRSPSFYIRDDPNVRVAQLHDDASRHT >KJB30246 pep chromosome:Graimondii2_0_v6:5:33914179:33918341:1 gene:B456_005G134200 transcript:KJB30246 gene_biotype:protein_coding transcript_biotype:protein_coding description:FHY3 [Source:Projected from Arabidopsis thaliana (AT3G22170) UniProtKB/TrEMBL;Acc:A0A178VJL5] MDIDLRLPSGEQCKEDEDANGIDNMLDGDESLHNGMVQVVGEALRAEDGGEMHSSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPENATGRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAADVKILLEFFTHMQSINSNFFYAVDLGEDQRLKSLFWVDSKSRHDYSYFCDAVSFDTTYVTNKYKMPLALFIGVNHHYQCIPLGCALVSDESAATFSWLMQTWLKAMGGQSPRVLITDQDRTLKSVVAEIFPNTLHCFFLWHVLGKVSENLGHVIKQYGNLMEKFEKCIYRSWTDEEFGKRWWKILDRFELKDDEWMKSLYEDRKKWVPTYIMNVLLAGMSTVQRAESVNSFFDKYVHKKTTVQDFLKHYEAILQDRYEEEAKANSDSWSKVPALKSPSPFEKSVAGVYTHTLFKKFQIEVVGAIACHPKPENHDSTCSIFRVQDLEKNQDFIVTLNEMKAEVSCICRLYEYKGYLCRHAMVVLQINGRSAIPSQYILKRWTKEAKSRHFMGEESEQVQSRAQRYNDLFQRGMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVASPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMAVAPTDGLQQMDKLSSRSVALDSYFGAQPSVQGMVQLNLMAPRDNYYGNQQTIQGLLNTIATSHDGYYGTQQAMPGMGPMDFFRSPSFYIRDDPNVRVAQLHDDASRHT >KJB30243 pep chromosome:Graimondii2_0_v6:5:33914022:33918341:1 gene:B456_005G134200 transcript:KJB30243 gene_biotype:protein_coding transcript_biotype:protein_coding description:FHY3 [Source:Projected from Arabidopsis thaliana (AT3G22170) UniProtKB/TrEMBL;Acc:A0A178VJL5] MDIDLRLPSGEQCKEDEDANGIDNMLDGDESLHNGMVQVVGEALRAEDGGEMHSSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPENATGRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAADVKILLEFFTHMQSINSNFFYAVDLGEDQRLKSLFWVDSKSRHDYSYFCDAVSFDTTYVTNKYKMPLALFIGVNHHYQCIPLGCALVSDESAATFSWLMQTWLKAMGGQSPRVLITDQDRTLKSVVAEIFPNTLHCFFLWHVLGKVSENLGHVIKQYGNLMEKFEKCIYRSWTDEEFGKRWWKILDRFELKDDEWMKSLYEDRKKWVPTYIMNVLLAGMSTVQRAESVNSFFDKYVHKKTTVQDFLKHYEAILQDRYEEEAKANSDSWSKVPALKSPSPFEKSVAGVYTHTLFKKFQIEVVGAIACHPKPENHDSTCSIFRVQDLEKNQDFIVTLNEMKAEVSCICRLYEYKGYLCRHAMVVLQINGRSAIPSQYILKRWTKEAKSRHFMGEESEQVQSRAQRYNDLFQRGMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVASPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMAVAPTDGLQQMDKLSSRSVALDSYFGAQPSVQGMVQLNLMAPRDNYYGNQQTIQGLGQLNTIATSHDGYYGTQQAMPGMGPMDFFRSPSFYIRDDPNVRVAQLHDDASRHT >KJB30247 pep chromosome:Graimondii2_0_v6:5:33914179:33918341:1 gene:B456_005G134200 transcript:KJB30247 gene_biotype:protein_coding transcript_biotype:protein_coding description:FHY3 [Source:Projected from Arabidopsis thaliana (AT3G22170) UniProtKB/TrEMBL;Acc:A0A178VJL5] MDIDLRLPSGEQCKEDEDANGIDNMLDGDESLHNGMVQVVGEALRAEDGGEMHSSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPENATGRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAADVKILLEFFTHMQSINSNFFYAVDLGEDQRLKSLFWVDSKSRHDYSYFCDAVSFDTTYVTNKYKMPLALFIGVNHHYQCIPLGCALVSDESAATFSWLMQTWLKAMGGQSPRVLITDQDRTLKSVVAEIFPNTLHCFFLWHVLGKVSENLGHVIKQYGNLMEKFEKCIYRSWTDEEFGKRWWKILDRFELKDDEWMKSLYEDRKKWVPTYIMNVLLAGMSTVQRAESVNSFFDKYVHKKTTVQDFLKHYEAILQDRYEEEAKANSDSWSKVPALKSPSPFEKSVAGVYTHTLFKKFQIEVVGAIACHPKPENHDSTCSIFRVQDLEKNQDFIVTLNEMKAEVSCICRLYEYKGYLCRHAMVVLQINGRSAIPSQYILKRWTKEAKSRHFMGEESEQVQSRAQRYNDLFQRGMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVASPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMAVAPTDGLQQMDKLSSRSVALDSYFGAQPSVQGMGQLNTIATSHDGYYGTQQAMPGMGPMDFFRSPSFYIRDDPNVRVAQLHDDASRHT >KJB30244 pep chromosome:Graimondii2_0_v6:5:33914765:33917492:1 gene:B456_005G134200 transcript:KJB30244 gene_biotype:protein_coding transcript_biotype:protein_coding description:FHY3 [Source:Projected from Arabidopsis thaliana (AT3G22170) UniProtKB/TrEMBL;Acc:A0A178VJL5] MDIDLRLPSGEQCKEDEDANGIDNMLDGDESLHNGMVQVVGEALRAEDGGEMHSSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPENATGRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAADVKILLEFFTHMQSINSNFFYAVDLGEDQRLKSLFWVDSKSRHDYSYFCDAVSFDTTYVTNKYKMPLALFIGVNHHYQCIPLGCALVSDESAATFSWLMQTWLKAMGGQSPRVLITDQDRTLKSVVAEIFPNTLHCFFLWHVLGKVSENLGHVIKQYGNLMEKFEKCIYRSWTDEEFGKRWWKILDRFELKDDEWMKSLYEDRKKWVPTYIMNVLLAGMSTVQRAESVNSFFDKYVHKKTTVQDFLKHYEAILQDRYEEEAKANSDSWSKVPALKSPSPFEKSVAGVYTHTLFKKFQIEVVGAIACHPKPENHDSTCSIFRVQDLEKNQDFIVTLNEMKAEVSCICRLYEYKGYLCRHAMVVLQINGRSAIPSQYILKRWTKEAKSRHFMGEESEQVQSRAQRYNDLFQRGMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVASPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMAVAPTDGLQQMDKLSSRSVALDSYFGAQPSVQGMVQLNLMAPRDNYYGNQQTIQGLVLSCFITIMS >KJB30242 pep chromosome:Graimondii2_0_v6:5:33914179:33918341:1 gene:B456_005G134200 transcript:KJB30242 gene_biotype:protein_coding transcript_biotype:protein_coding description:FHY3 [Source:Projected from Arabidopsis thaliana (AT3G22170) UniProtKB/TrEMBL;Acc:A0A178VJL5] MDIDLRLPSGEQCKEDEDANGIDNMLDGDESLHNGMVQVVGEALRAEDGGEMHSSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPENATGRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAADVKILLEFFTHMQSINSNFFYAVDLGEDQRLKSLFWVDSKSRHDYSYFCDAVSFDTTYVTNKYKMPLALFIGVNHHYQCIPLGCALVSDESAATFSWLMQTWLKAMGGQSPRVLITDQDRTLKSVVAEIFPNTLHCFFLWHVLGKVSENLGHVIKQYGNLMEKFEKCIYRSWTDEEFGKRWWKILDRFELKDDEWMKSLYEDRKKWVPTYIMNVLLAGMSTVQRAESVNSFFDKYVHKKTTVQDFLKHYEAILQDRYEEEAKANSDSWSKVPALKSPSPFEKSVAGVYTHTLFKKFQIEVVGAIACHPKPENHDSTCSIFRVQDLEKNQDFIVTLNEMKAEVSCICRLYEYKGYLCRHAMVVLQINGRSAIPSQYILKRWTKEAKSRHFMGEESEQVQSRAQRYNDLFQRGMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVASPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMAVAPTDGLQQMDKLSSRSVALDSYFGAQPSVQGMVQLNLMAPRDNYYGNQQTIQGLGQLNTIATSHDGYYGTQQAMPGMVISLCSQFLYIFRVKMVTLFMFCFVQQGPMDFFRSPSFYIRDDPNVRVAQLHDDASRHT >KJB30239 pep chromosome:Graimondii2_0_v6:5:33914179:33918341:1 gene:B456_005G134200 transcript:KJB30239 gene_biotype:protein_coding transcript_biotype:protein_coding description:FHY3 [Source:Projected from Arabidopsis thaliana (AT3G22170) UniProtKB/TrEMBL;Acc:A0A178VJL5] MDIDLRLPSGEQCKEDEDANGIDNMLDGDESLHNGMVQVVGEALRAEDGGEMHSSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPENATGRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAADVKILLEFFTHMQSINSNFFYAVDLGEDQRLKSLFWVDSKSRHDYSYFCDAVSFDTTYVTNKYKMPLALFIGVNHHYQCIPLGCALVSDESAATFSWLMQTWLKAMGGQSPRVLITDQDRTLKSVVAEIFPNTLHCFFLWHVLGKVSENLGHVIKQYGNLMEKFEKCIYRSWTDEEFGKRWWKILDRFELKDDEWMKSLYEDRKKWVPTYIMNVLLAGMSTVQRAESVNSFFDKYVHKKTTVQDFLKHYEAILQDRYEEEAKANSDSWSKVPALKSPSPFEKSVAGVYTHTLFKKFQIEVVGAIACHPKPENHDSTCSIFRVQDLEKNQDFIVTLNEMKAEVSCICRLYEYKGYLCRHAMVVLQINGRSAIPSQYILKRWTKEAKSRHFMGEESEQVQSRAQRYNDLFQRGMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVASPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMAVAPTDGLQQMDKLSSRSVALDSYFGAQPSVQGMVQLNLMAPRDNYYGNQQTIQGLGQLNTIATSHDGYYGTQQAMPGMGPMDFFRSPSFYIRDDPNVRVAQLHDDASRHT >KJB28034 pep chromosome:Graimondii2_0_v6:5:1972115:1973600:1 gene:B456_005G023300 transcript:KJB28034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEETQEEGDKEESNNYGHQHPLLLMLNQEQQINYGSGVADCSRCGEEVSAPCFCCAEHCGFYLHKVCAEAPLELNHPFHHDHPLLLMQNAPYSSGGYYACDFCDKEDNKFVYHCSCGLDFHIKCALFTFNIAEKNLKELEHVPLQDPLVSTENGDELEDVSKCFGCREPLAKYTHFSPDYGFNLHEKCATLPFKLNHMLHREHPLVLQFNIEWLSCKICLVTRRRGFVYGCSPCKIAIHIECASPSPIIEDKSHLHPFTLFPRRLPFICDACGVEGNYAAYICCTCNIIVHKECISLPCIIISKWHDHRIYHKYFLPLDFRNSDCDICHGEVNPELGCYCCSHCNITFHARCVTEDKYSYSVPSREDEEEISNESSITVLEWNDAKEATKIKHFKHMHNLMLSASVGGYENSCDGLNVRVGHVSDV >KJB28035 pep chromosome:Graimondii2_0_v6:5:1971971:1974242:1 gene:B456_005G023300 transcript:KJB28035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEETQEEGDKEESNNYGHQHPLLLMLNQEQQINYGSGVADCSRCGEEVSAPCFCCAEHCGFYLHKVCAEAPLELNHPFHHDHPLLLMQNAPYSSGGYYACDFCDKEDNKFVYHCSCGLDFHIKCALFTFNIAEKNLKELEHVPLQDPLVSTENGDELEDVSKCFGCREPLAKYTHFSPDYGFNLHEKCATLPFKLNHMLHREHPLVLQFNIEWLSCKICLVTRRRGFVYGCSPCKIAIHIECASPSPIIEDKSHLHPFTLFPRRLPFICDACGVEGNYAAYICCTCNIIVHKECISLPCIIISKWHDHRIYHKYFLPLDFRNSDCDICHGEVNPELGCYCCSHCNITFHARCVTEDKYSYSVPSREDEEEISNESSITVLEWNDAKEATKIKHFKHMHNLMLSASVGGECESRTCLRCVIALTPGARTCLKHEHPLRFYRQYEGKCNACSRYSWRAFCCKDCNFVLHLGCFSLPIMAQHKCDEHLLSLTDHDDNNYSESHYCDICEKSRDSNSWFYHCAICDTSAHVGCVLGKYPFLKLKSIYEEKDHPHPLTIVKKEYYYPDCDKCGKPCEDLALECSKSECKYIVHWNCAAPNFLQCVIYWPM >KJB28675 pep chromosome:Graimondii2_0_v6:5:6508846:6514414:-1 gene:B456_005G061800 transcript:KJB28675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-CoA dehydrogenase IBR3 [Source:Projected from Arabidopsis thaliana (AT3G06810) UniProtKB/Swiss-Prot;Acc:Q8RWZ3] MANRTGDLVEAVQEAHKIDVKALFGYASANVPGFPLSPSKFNLSQFGHGQSNPTYLMEVETGSGTVKRYVLRKKPPGKLLQSAHAVEREFQVLKALGDNTNVPVPKVFCLCNDPTVIGTAFYIMEYLEGRIFVDPSLPGVPPERRRAIYQATAKVLASLHSANIDAIGLGSYGRRDNYCKRQIERWFKQYLASTSEGKPERYPKMFELVDWLRKNIPPEDASGATGGLVHGDFRVDNVVFHPTEDRVIGILDWELSTIGNQMCDVAYSCMPYITQAGLGSDELVKGFEIIGIPEGIPTQAEFLAEYCLESGKAWPVSEWKFYVAFSLFRGASIYTGVYNRWLMGNASGGKRAEHAGRHAKSLVDSALDFISKKTVLPEQPPSVSRGSRQYGTENKAQGLPEGSGRFVPSKKIQELRNKLIQFMEVHIYPLENEFNKLARSDLRWTVHPEEERLKELAKKEGLWNLWIPFDSAARAKELIFNGSAHCTHDRLLGAGLSNLEYGYLCEIMGRSLWAPQIFNCGAPDTGNMEVLLRYGTKEQLNEWLVPLLEGKIRSAFAMTEPQVASSDATNIECSIKRQGDSYIINGTKWWTSGAMDPRCRILILMGKTDFTAPKHKQQSMILVDIKTPGICVKRPLTVFGFDDAPHGHAEVSFENVRVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGTAERGMQLMAERALNRKTFGKYIAQHGSFLSDFAKCRIELEQTRLLVLEAADQLDRLGNKKARGTIAMAKVADIFSCSY >KJB28672 pep chromosome:Graimondii2_0_v6:5:6508297:6514414:-1 gene:B456_005G061800 transcript:KJB28672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-CoA dehydrogenase IBR3 [Source:Projected from Arabidopsis thaliana (AT3G06810) UniProtKB/Swiss-Prot;Acc:Q8RWZ3] MANRTGDLVEAVQEAHKIDVKALFGYASANVPGFPLSPSKFNLSQFGHGQSNPTYLMEVETGSGTVKRYVLRKKPPGKLLQSAHAVEREFQVLKALGDNTNVPVPKVFCLCNDPTVIGTAFYIMEYLEGRIFVDPSLPGVPPERRRAIYQATAKVLASLHSANIDAIGLGSYGRRDNYCKRQIERWFKQYLASTSEGKPERYPKMFELVDWLRKNIPPEDASGATGGLVHGDFRVDNVVFHPTEDRVIGILDWELSTIGNQMCDVAYSCMPYITQAGLGSDELVKGFEIIGIPEGIPTQAEFLAEYCLESGKAWPVSEWKFYVAFSLFRGASIYTGVYNRWLMGNASGGKRAEHAGRHAKSLVDSALDFISKKTVLPEQPPSVSRGSRQYGTENKAQGLPEGSGRFVPSKKIQELRNKLIQFMEVHIYPLENEFNKLARSDLRWTVHPEEERLKELAKKEGLWNLWIPFDSAARAKELIFNGSAHCTHDRLLGAGLSNLEYGYLCEIMGRSLWAPQIFNCGAPDTGNMEVLLRYGTKEQLNEWLVPLLEGKIRSAFAMTEPQVASSDATNIECSIKRQGDSYIINGTKWWTSGAMDPRCRILILMGKTDFTAPKHKQQSMILVDIKTPGICVKRPLTVFGFDDAPHGHAEVSFENVRVPAKNILLGEGRGFEIAQTWPRKTAPLHETDWHC >KJB28674 pep chromosome:Graimondii2_0_v6:5:6508297:6514507:-1 gene:B456_005G061800 transcript:KJB28674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-CoA dehydrogenase IBR3 [Source:Projected from Arabidopsis thaliana (AT3G06810) UniProtKB/Swiss-Prot;Acc:Q8RWZ3] MANRTGDLVEAVQEAHKIDVKALFGYASANVPGFPLSPSKFNLSQFGHGQSNPTYLMEVETGSGTVKRYVLRKKPPGKLLQSAHAVEREFQVLKALGDNTNVPVPKVFCLCNDPTVIGTAFYIMEYLEGRIFVDPSLPGVPPERRRAIYQATAKVLASLHSANIDAIGLGSYGRRDNYCKRQIERWFKQYLASTSEGKPERYPKMFELVDWLRKNIPPEDASGATGGLVHGDFRVDNVVFHPTEDRVIGILDWELSTIGNQMCDVAYSCMPYITQAGLGSDELVKGFEIIGIPEGIPTQAEFLAEYCLESGKAWPVSEWKFYVAFSLFRGASIYTGVYNRWLMGNASGGKRAEHAGRHAKSLVDSALDFISKKTVLPEQPPSVSRGSRQYGTENKAQGLPEGSGRFVPSKKIQELRNKLIQFMEVHIYPLENEFNKLARSDLRWTVHPEEERLKELAKKEGLWNLWIPFDSAARAKELIFNGSAHCTHDRLLGAGLSNLEYGYLCEIMGRSLWAPQIFNCGAPDTGNMEVLLRYGTKEQLNEWLVPLLEGKIRSAFAMTEPQVASSDATNIECSIKRQGDSYIINGTKWWTSGAMDPRCRILILMGKTDFTAPKHKQQSMILVDIKTPGICVKRPLTVFGFDDAPHGHAEVSFENVRVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGTAERGMQLMAERALNRKTFGKYIAQHGSFLSDFAKCRIELEQTRLLVLEAADQLDRLGNKKARGTIAMAKVAAPNMALKVLDRAIQVHGGAGVSSDTVLAHLWASARTLRIADGPDEVHLGTIAQLELRRAKL >KJB28671 pep chromosome:Graimondii2_0_v6:5:6508297:6514414:-1 gene:B456_005G061800 transcript:KJB28671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-CoA dehydrogenase IBR3 [Source:Projected from Arabidopsis thaliana (AT3G06810) UniProtKB/Swiss-Prot;Acc:Q8RWZ3] MANRTGDLVEAVQEAHKIDVKALFGYASANVPGFPLSPSKFNLSQFGHGQSNPTYLMEVETGSGTVKRYVLRKKPPGKLLQSAHAVEREFQVLKALGDNTNVPVPKVFCLCNDPTVIGTAFYIMEYLEGRIFVDPSLPGVPPERRRAIYQATAKVLASLHSANIDAIGLGSYGRRDNYCKRQIERWFKQYLASTSEGKPERYPKMFELVDWLRKNIPPEDASGATGGLVHGDFRVDNVVFHPTEDRVIGILDWELSTIGNQMCDVAYSCMPYITQAGLGSDELVKGFEIIGIPEGIPTQAEFLAEYCLESGKAWPVSEWKFYVAFSLFRGASIYTGVYNRWLMGNASGGKRAEHAGRHAKSLVDSALDFISKKTVLPEQPPSVSRGSRQYGTENKAQGLPEGSGRFVPSKKIQELRNKLIQFMEVHIYPLENEFNKLARSDLRWTVHPEEERLKELAKKEGLWNLWIPFDSAARAKELIFNGSAHCTHDRLLGAGLSNLEYGYLCEIMGRSLWAPQIFNCGAPDTGNMELNEWLVPLLEGKIRSAFAMTEPQVASSDATNIECSIKRQGDSYIINGTKWWTSGAMDPRCRILILMGKTDFTAPKHKQQSMILVDIKTPGICVKRPLTVFGFDDAPHGHAEVSFENVRVPAKNILLGEGRGFEIAQTWPRKTAPLHETDWHC >KJB28673 pep chromosome:Graimondii2_0_v6:5:6508621:6514313:-1 gene:B456_005G061800 transcript:KJB28673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-CoA dehydrogenase IBR3 [Source:Projected from Arabidopsis thaliana (AT3G06810) UniProtKB/Swiss-Prot;Acc:Q8RWZ3] MANRTGDLVEAVQEAHKIDVKALFGYASANVPGFPLSPSKFNLSQFGHGQSNPTYLMEVETGSGTVKRYVLRKKPPGKLLQSAHAVEREFQVLKALGDNTNVPVPKVFCLCNDPTVIGTAFYIMEYLEGRIFVDPSLPGVPPERRRAIYQATAKVLASLHSANIDAIGLGSYGRRDNYCKRQIERWFKQYLASTSEGKPERYPKMFELVDWLRKNIPPEDASGATGGLVHGDFRVDNVVFHPTEDRVIGILDWELSTIGNQMCDVAYSCMPYITQAGLGSDELVKGFEIIGIPEGIPTQAEFLAEYCLESGKAWPVSEWKFYVAFSLFRGASIYTGVYNRWLMGNASGGKRAEHAGRHAKSLVDSALDFISKKTVLPEQPPSVSRGSRQYGTENKAQGLPEGSGRFVPSKKIQELRNKLIQFMEVHIYPLENEFNKLARSDLRWTVHPEEERLKELAKKEGLWNLWIPFDSAARAKELIFNGSAHCTHDRLLGAGLSNLEYGYLCEIMGRSLWAPQIFNCGAPDTGNMELNEWLVPLLEGKIRSAFAMTEPQVASSDATNIECSIKRQGDSYIINGTKWWTSGAMDPRCRILILMGKTDFTAPKHKQQSMILVDIKTPGICVKRPLTVFGFDDAPHGHAEVSFENVRVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGTAERGMQLMAERALNRKTFGKYIAQHGSFLSDFAKCRIELEQTRLLVLEAADQLDRLGNKKARGTIAMAKVAAPNMALKVLDRAIQVHGGAGVSSDTVLAHLWASARTLRIADGPDEVHLGTIAQLELRRAKL >KJB30396 pep chromosome:Graimondii2_0_v6:5:38607519:38609832:-1 gene:B456_005G142000 transcript:KJB30396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLSCHSSTTPSSSSSSMGMVYPDMGCLSLPPNYGIVVCSSRENERASSGFRFMENSKTRSFEENHSSDAVEGKGSDYSDGFGDNNMPINLNSNLNEENPNENAVSGKETDSGQSKLCARGHWRPAEDTKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMQAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREKSSAYRRRKLSQSVYRKMEETPNIVCRDAVTKAEPPPYCLNMSDRRLGIISHYQFGIFNGGDAGGVNGGSNVSPHMTSEREAILSSEASYRNGFCAQAQQPPFDFFPDLSNDMMGTFSQTRFWDRPIDEPQVRGYYPQLQHQQLHPSHMMTMQQSEFLSSQSNTESTASITPQVSAIESSSSVAGSSNYSHYETILPPFIDFLGVGAT >KJB30397 pep chromosome:Graimondii2_0_v6:5:38607794:38609548:-1 gene:B456_005G142000 transcript:KJB30397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLSCHSSTTPSSSSSSMGMVYPDMGCLSLPPNYGIVVCSSRENERASSGFRFMENSKTRSFEENHSSDAVEGKGSDYSDGFGDNNMPINLNSNLNEENPNENAVSGKETDSGQSKLCARGHWRPAEDTKLKELVALYGPQNWNLIAEKLEGRSGKIVAKNSGKRKSKSCRLRWFNQLDPRINRRAFTEEEEERLMQAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREKSSAYRRRKLSQSVYRKMEETPNIVCRDAVTKAEPPPYCLNMSDRRLGIISHYQFGIFNGGDAGGVNGGSNVSPHMTSEREAILSSEASYRNGFCAQAQQPPFDFFPDLSNDMMGTFSQTRFWDRPIDEPQVRGYYPQLQHQQLHPSHMMTMQQSEFLSSQSNTESTASITPQVSAIESSSSVAGSSNYSHYETILPPFIDFLGVGAT >KJB30564 pep chromosome:Graimondii2_0_v6:5:40835394:40836461:-1 gene:B456_005G149100 transcript:KJB30564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSAIGFEGYEKRLEISFFEPGIFADPEGKGLRALTKAQLDEILGPAECTIVSSLSNKQVDSYVLSESSLFVYPYKIIIKTCGTTKLLLAIPPILKLAGSLSLAVKSVRYTRGSFIFPGAQPFPHRNFSEEVAVLDNYFGKLGAGSKACVMGGLDKQKWHVYSASAEPVTATGPVYTLEMCLTGLDRDKASVFYKDQSGSAAVMTINSGIRKILPESEICDFEFEPCGYSMNAIEGDAISTIHVTPEDGFSYASFEAVGYNLKDKNLKQLVGRVLQCFKPSDFSVAVHVDVGGGQSLEQSCLLDVKEYCCGEMGIEGLASGGSMMYQKFKSTGGCGSPRSTLKCCWKEEEEEEE >KJB27491 pep chromosome:Graimondii2_0_v6:5:60588300:60589046:1 gene:B456_005G223900 transcript:KJB27491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSTIRRFFLMVFLVSTMTQSLLHQHHLRQPHRRQLLRHQTFRLFLCCRCLPTRSQVLLCRRSRRLQEQLSYNGAVLNRDFGSGYPLLLV >KJB28560 pep chromosome:Graimondii2_0_v6:5:5655153:5656228:-1 gene:B456_005G055700 transcript:KJB28560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PEPPTEPSPNLGSSSTWSFVGLVKTLASKSESVIESYRKDFEELGSGLKKETEIIKSVVSRAVTDSLDTGASVAQEKLESVGQAIDDIGSSVWKSTAQIISHGKDTFLSPSDDYDSDPENSRNRKLNINSNSVNEKRYSRFEMQIRALQLSRITYCAEPEDLEDYGNWKLGINLEDKRGEIEDLLNENSAVQDIFREVESNEFEYKRYWSNYFYKLSELIKAEEARAKLDDLSEDDDECLKKDEIKDNVVGSTSRVDLCKRLSVAEEGEDLSWDIDDEEEDQPVKA >KJB32584 pep chromosome:Graimondii2_0_v6:5:62802422:62807342:-1 gene:B456_005G248300 transcript:KJB32584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSGSRSRSRSRSPMGRKIRSDRFSYRNAPYRRESRRGFSQSNLCKNCKRPGHYARECPNVAICHNCNLPGHIASECTTKSLCWNCREPGHTANNCPNEGICHTCGKAGHRARECTAPPMPPGDVRLCNNCYKSGHIAADCTNDKACNNCRRPGHLARECTNDPICNLCNVAGHVARHCPKGNMIGERGSFGGGGGSGSRGGGYRDHHHRDVVCRNCHQFGHISRDCMGPLMICHNCGGRGHMAYECPSGRYLDRYPPRRY >KJB32585 pep chromosome:Graimondii2_0_v6:5:62802510:62807228:-1 gene:B456_005G248300 transcript:KJB32585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSGSRSRSRSRSPMGRKIRSDRFSYRNAPYRRESRRGFRHIASECTTKSLCWNCREPGHTANNCPNEGICHTCGKAGHRARECTAPPMPPGDVRLCNNCYKSGHIAADCTNDKACNNCRRPGHLARECTNDPICNLCNVAGHVARHCPKGNMIGERGSFGGGGGSGSRGGGYRDHHHRDVVCRNCHQFGHISRDCMGPLMICHNCGGRGHMAYECPSGRYLDRYPPRRY >KJB32588 pep chromosome:Graimondii2_0_v6:5:62802510:62807228:-1 gene:B456_005G248300 transcript:KJB32588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSGSRSRSRSRSPMGRKIRSDRFSYRNAPYRRESRRGFSQSNLCKNCKRPGHYARECPNVAICHNCNLPGHIASECTTKSLCWNCREPGHTANNCPNEGICHTCGKAGHRARECTAPPMPPGDVRLCNNCYKSGHIAADCTNDKACNNCRRPGHLARECTNDPICNLCNVAGHVARHCPKGNMIGERGSFGGGGGSGSRGGGYRDHHHRDVVCRNCHQFGHISRDCMGPLMICHNCGGRGHMAYECPSGRYLDRYPPRRY >KJB32587 pep chromosome:Graimondii2_0_v6:5:62802510:62807228:-1 gene:B456_005G248300 transcript:KJB32587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSGSRSRSRSRSPMGRKIRSDRFSYRNAPYRRESRRGFSQSNLCKNCKRPGHYARECPNVAICHNCNLPGRHIASECTTKSLCWNCREPGHTANNCPNEGICHTCGKAGHRARECTAPPMPPGDVRLCNNCYKSGHIAADCTNDKACNNCRRPGHLARECTNDPICNLCNVAGHVARHCPKGNMIGERGSFGGGGGSGSRGGGYRDHHHRDVVCRNCHQFGHISRDCMGPLMICHNCGGRGHMAYECPSGRYLDRYPPRRY >KJB32582 pep chromosome:Graimondii2_0_v6:5:62802510:62807230:-1 gene:B456_005G248300 transcript:KJB32582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSGSRSRSRSRSPMGRKIRSDRFSYRNAPYRRESRRGFSQSNLCKNCKRPGHYARECPNVAICHNCNLPGHIASECTTKSLCWNCREPGHTANNCPNEGICHTCGKAGHRARECTAPPMPPGDVRLCNNCYKSGHIAADCTNDKACNNCRRPGHLARECTNDPICNLCNVAGHVARHCPKGNMIGERGSFGGGGGSGSRGGGYRDHHHRDVVCRNCHQFGHISRDCMGPLMICHNCGGRGHMAYECPSGRYLDRYPPRRY >KJB32586 pep chromosome:Graimondii2_0_v6:5:62802422:62807387:-1 gene:B456_005G248300 transcript:KJB32586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSGSRSRSRSRSPMGRKIRSDRFSYRNAPYRRESRRGFSQSNLCKNCKRPGHYARECPNVAICHNCNLPGHIASECTTKSLCWNCREPGHTANNCPNEGICHTCGKAGHRARECTAPPMPPGDVRLCNNCYKSGHIAADCTNDKACNNCRRPGHLARECTNDPICNLCNVAGHVARHCPKGNMIGERGSFGGGGGSGSRGGGYRDHHHRDVVCRNCHQFGHISRDCMGPLMICHNCGGRGHMAYECPSGRYLDRYPPRRY >KJB32581 pep chromosome:Graimondii2_0_v6:5:62802719:62804811:-1 gene:B456_005G248300 transcript:KJB32581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSGSRSRSRSRSPMGRKIRSDRFSYRNAPYRRESRRGFSQSNLCKNCKRPGHYARECPNVAICHNCNLPGHIASECTTKSLCWNCREPGHTANNCPNEGICHTCGKAGHRARECTAPPMPPGDVRLCNNCYKSGHIAADCTNDKACNNCRRPGHLARECTNDPICNLCNVAGHVARHCPKGNMIGERGSFGGGGGSGSRGGGYRDHHHRDVVCRNCHQFGHISRDCMGPLMICHNCGGRGHMAYECPSGRYLDRYPPRRY >KJB32583 pep chromosome:Graimondii2_0_v6:5:62802422:62807228:-1 gene:B456_005G248300 transcript:KJB32583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSGSRSRSRSRSPMGRKIRSDRFSYRNAPYRRESRRGFSQSNLCKNCKRPGHYARECPNVAICHNCNLPGHIASECTTKSLCWNCREPGHTANNCPNEGICHTCGKAGHRARECTAPPMPPGDVRLCNNCYKSGHIAADCTNDKACNNCRRPGHLARECTNDPICNLCNVAGHVARHCPKGNMIGERGSFGGGGGSGSRGGGYRDHHHRDVVCRNCHQFGHISRDCMGPLMICHNCGGRGHMAYECPSGRYLDRYPPRRY >KJB27573 pep chromosome:Graimondii2_0_v6:5:4216146:4217433:1 gene:B456_005G044100 transcript:KJB27573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYLVLVVVAMLCAGATAQSGCTNVLIGMSPCLNYITGSSSTPSQQCCTQLANVVRSSPRCLCQVLNGGGSSFGININQTQALALPDSCNVQTPPISSCNAASPAPADSPVGSPKSGSKIPTVDAGDGSRSVPTARENGSSDGSTTKLSLSLFTFLLLATSYSSIFTSH >KJB27569 pep chromosome:Graimondii2_0_v6:5:4216355:4216714:1 gene:B456_005G044100 transcript:KJB27569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYLVLVVVAMLCAGATAQSGCTNVLIGMSPCLNYITGSSSTPSQQCCTQLANVVRSSPRCLCQVLNGGGSSFGININQTQALALPDSCNVQTPPISSCNGKDAITYMHFNFYHQPFA >KJB27568 pep chromosome:Graimondii2_0_v6:5:4215643:4217433:1 gene:B456_005G044100 transcript:KJB27568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYLVLVVVAMLCAGATAQSGCTNVLIGMSPCLNYITGSSSTPSQQCCTQLANVVRSSPRCLCQVLNGGGSSFGININQTQALALPDSCNVQTPPISSCNAASPAPADSPVGSPKSGSKIPTGDGSRSVPTARENGSSDGSTTKLSLSLFTFLLLATSYSSIFTSH >KJB27571 pep chromosome:Graimondii2_0_v6:5:4216146:4217433:1 gene:B456_005G044100 transcript:KJB27571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYLVLVVVAMLCAGATAQSGCTNVLIGMSPCLNYITGSSSTPSQQCCTQLANVVRSSPRCLCQVLNGGGSSFGININQTQALALPDSCNVQTPPISSCNGDGSRSVPTARENGSSDGSTTKLSLSLFTFLLLATSYSSIFTSH >KJB27572 pep chromosome:Graimondii2_0_v6:5:4216146:4217433:1 gene:B456_005G044100 transcript:KJB27572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYLVLVVVAMLCAGATAQSGCTNVLIGMSPCLNYITGSSSTPSQQCCTQLANVVRSSPRCLCQVLNGGGSSFGININQTQALALPDSCNVQTPPISSCNVDAGDGSRSVPTARENGSSDGSTTKLSLSLFTFLLLATSYSSIFTSH >KJB27570 pep chromosome:Graimondii2_0_v6:5:4216355:4216902:1 gene:B456_005G044100 transcript:KJB27570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYLVLVVVAMLCAGATAQSGCTNVLIGMSPCLNYITGSSSTPSQQCCTQLANVVRSSPRCLCQVLNGGGSSFGININQTQALALPDSCNVQTPPISSCNAASPAPADSPVGSPKSGSKIPTGIK >KJB27653 pep chromosome:Graimondii2_0_v6:5:191500:193074:1 gene:B456_005G003600 transcript:KJB27653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAHLRLSQRMPLHPLNNTATVTATDSVLVSNPKQQYRILHSDERNLLNHQHPVTATATEKMETTALDLNSSLAEELNAVRMKTERLRLDKEKTEKMLKEREALLLLQMKEIEERGQIQRHLEIQVDRLFRLKELKSYSVRISPLKSLREKQRSGWNMNEVHSLELKEYESRDENPLQASTPSNSSQRF >KJB27654 pep chromosome:Graimondii2_0_v6:5:191561:192532:1 gene:B456_005G003600 transcript:KJB27654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAHLRLSQRMPLHPLNNTATVTATDSVLVSNPKQQYRILHSDERNLLNHQHPVTATATEKMETTALDLNSSLAEELNAVRMKTERLRLDKEKTEKMLKEREALLLLQMKEIEERGQIQRHLEIQVDRLFRLKELKSYSVRISPLKSLREKQRSGWNMNEVHSLVSVFMTENAILLYIYLKKFDSLGKNL >KJB28738 pep chromosome:Graimondii2_0_v6:5:7136876:7138707:1 gene:B456_005G066900 transcript:KJB28738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSVGDKVFVREFDDDRDIEMVGKLERNCERGSNNKGTSIFTNMMGDPLCRIRFYPLHLMLVAVLRENGELVGVIRGCIKHVGTKFGGKNIKLGCILGLRVSPKHRRMGIGLKLVRAMEEWLINNEAHYTFLATEKNNVASTNLFTTKCNYRHLSSLVIFVQPINFGMDHGGASQDIKVEKLNIDQAICFYDHKLRGKDIDLADIDAILKEKQSLGTWVSYFKQDEWIGLHSKEMKNEDIISTSPSSWAMFSIWNSCEAYKIHIKKYSHPLKFFHETLSHARDKIFPCLKIPICDSLEKPFGFLFLYGLHGEGERVEELMKSAWNFASRLAENVKDCKVIITELGVSDPLMEHVPHHSSMSRIEDQWYLKKVNGSINDEDELGMVGELGNVVVDPRDF >KJB30599 pep chromosome:Graimondii2_0_v6:5:41957289:41961955:1 gene:B456_005G151000 transcript:KJB30599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKTGNFFVLNTGAKIPAIGLGTWQSGGDLCVDAVKTALSVGYRHIDCAHLYGNEVEVGEALAEAFKGSLKREDVFLTSKLYCTMNSLNKIENYVKVSLKNLGVSYLDLYLMHWPEISAFGDATDPPSKSGSERRQFLSRLKKVWKAMEALVESGLVRAIGVSNFSVPQIKELLKFTKIVPAVNQVELHPFWRQDELVKFCQSKGIHVSAHTPLGVPTSSPGVSDSGSGGEDEPGTPRISFRRSRSVHGPMLKLSVVGEIADRHKKTPEQVILRWGFQRGTSVLPCSLKPDRIKQNIDIFNWSLSDDEWKRLNQIEPQVCLFGDGPLNNLSGRGLMFGSGPLQAVHEIEDDAESNA >KJB30598 pep chromosome:Graimondii2_0_v6:5:41957587:41961953:1 gene:B456_005G151000 transcript:KJB30598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKTGNFFVLNTGAKIPAIGLGTWQSGGDLCVDAVKTALSVGYRHIDCAHLYGNEVEVGEALAEAFKGSLKREDVFLTSKLYCTMNSLNKIENYVKVSLKNLGVSYLDLYLMHWPEISAFGDATDPPSKSGSERRQFLSRLKKVWKAMEALVESGLVRAIGVSNFSVPQIKELLKFTKIVPAVNQVELHPFWRQDELVKFCQSKGIHVSAHTPLGVPTSSPGVSDSGSGGEDEPGTPRISFRRSRSVHGPMLKLSVVGEIADRHKKTPEQVILRWGFQRGTSVLPCSLKPDRIKQNIDIFNWSLSDDEWKRLNQIEPQVCLFGDGPLNNLSGRGLMFGSGPLQAVHEIEDDAESNA >KJB30600 pep chromosome:Graimondii2_0_v6:5:41957378:41961966:1 gene:B456_005G151000 transcript:KJB30600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKTGNFFVLNTGAKIPAIGLGTWQSGGDLCVDAVKTALSVGYRHIDCAHLYGNEVEVGEALAEAFKGSLKREDVFLTSKLYCTMNSLNKIENYVKVSLKNLGVSYLDLYLMHWPEISAFGDATDPPSKSGSERRQFLSRLKKVWKAMEALVESGLVRAIGVSNFSVPQIKELLKFTKIVPAVNQVELHPFWRQDELVKFCQSKGIHVSAHTPLGVPTSSPGVSDSGSGGEDEPGTPRISFRRSRSVHGPMLKLSVVGEIADRHKKTPEQVILRWGFQRGTSVLPCSLKPDRIKQNIDIFNWSLSDDEWKRLNQIEPQVCLFGDGPLNNLSGRGLMFGSGPLQAVHEIEDDAESNA >KJB30597 pep chromosome:Graimondii2_0_v6:5:41957144:41961953:1 gene:B456_005G151000 transcript:KJB30597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKTGNFFVLNTGAKIPAIGLGTWQSGGDLCVDAVKTALSVGYRHIDCAHLYGNEVEVGEALAEAFKGSLKREDVFLTSKLYCTMNSLNKIENYVKVSLKNLGVSYLDLYLMHWPEISAFGDATDPPSKSGSERRQFLSRLKKVWKAMEALVESGLVRAIGVSNFSVPQIKELLKFTKIVPAVNQVELHPFWRQDELVKFCQSKGIHVSAHTPLGVPTSSPGVSDSGSGGEDEPGTPRISFRRSRSVHGPMLKLSVVGEIADRHKKTPEQVILRWGFQRGTSVLPCSLKPDRIKQNIDIFNWSLSDDEWKRLNQIEPQVCLFGDGPLNNLSGRGLMFGSGPLQAVHEIEDDAESNA >KJB31475 pep chromosome:Graimondii2_0_v6:5:56292539:56298504:-1 gene:B456_005G193400 transcript:KJB31475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLAYNRALKLSSPVLGVGFTGSLATTRPKQGDHRFHLSTRTSDRHWASTVTLSKGLRSRDQEEKVSSYFLLKAIANACKVSSTFDSELTESDVVADECERFFDEDKELEQLINGQICFKVYPFSSDKSNGDRKIILPGSFNPLHDGHLKLLEAATSICGDGYPCFELSAINADKPPLSIPQIKERVMQFEKIGKTVIISNQPYFYKKAELFPGSAFVIGADTAVRLINPKYYDGSYDRMIETLSGCKRTGCTFIVAGRKVDGTFKVLEDFDVPEVLKDMFVPIPAERFRMDISSTEIRRSCGL >KJB31476 pep chromosome:Graimondii2_0_v6:5:56292539:56298504:-1 gene:B456_005G193400 transcript:KJB31476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDACIRAVVESIHSSPTQAVLYLSGGASLALGLLMSVPGASNTVLEAVLPYSRMSMIQLLAKIPAKYCSQQTADEMALLAYNRALKLSSPGSPVLGVGFTGSLATTRPKQGDHRFHLSTRTSDRHWASTVTLSKSRSRREGFKLLPTEDECERFFDEDKELEQLINGQICFKVYPFSSDKSNGDRKIILPGSFNPLHDGHLKLLEAATSICGDGYPCFELSAINADKPPLSIPQIKERVMQFEKIGKTVIISNQPYFYKKAELFPGSAFVIGADTAVRLINPKYYDGSYDRMIETLSGCKRTGCTFIVAGRKVDGTFKVLEDFDVPEVLKDMFVPIPAERFRMDISSTEIRRSCGL >KJB31478 pep chromosome:Graimondii2_0_v6:5:56292722:56298431:-1 gene:B456_005G193400 transcript:KJB31478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDACIRAVVESIHSSPTQAVLYLSGGASLQALGLLMSVPGASNTVLEAVLPYSRMSMIQLLAKIPAKYCSQQTADEMALLAYNRALKLSSPGSPVLGVGFTGSLATTRPKQGDHRFHLSTRTSDRHWASTVTLSKGLRSRDQEEKVSSYFLLKAIANACKVSSTFDSELTESDVVADECERFFDEDKELEQLINGQICFKVYPFSSDKSNGDRKIILPGSFNPLHDGHLKLLEAATSICGDGYPCFELSAINADKPPLSIPQIKERVMQFEKIGKTVIISNQPYFYKKAELFPGSAFVIGADTAVRLINPKYYDGSYDRMIETLSGCKRTGCTFIVAGRKVDGTFKVLEDFDVPEVLKDMFVPIPAERFRMDISSTEIRRSCGL >KJB31473 pep chromosome:Graimondii2_0_v6:5:56292539:56297944:-1 gene:B456_005G193400 transcript:KJB31473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRSPVLGVGFTGSLATTRPKQGDHRFHLSTRTSDRHWASTVTLSKGLRSRDQEEKVSSYFLLKAIANACKVSSTFDSELTESDVVADECERFFDEDKELEQLINGQICFKVYPFSSDKSNGDRKIILPGSFNPLHDGHLKLLEAATSICGDGYPCFELSAINADKPPLSIPQIKERVMQFEKIGKTVIISNQPYFYKKAELFPGSAFVIGADTAVRLINPKYYDGSYDRMIETLSGCKRTGCTFIVAGRKVDGTFKVLEDFDVPEVLKDMFVPIPAERFRMDISSTEIRRSCGL >KJB31472 pep chromosome:Graimondii2_0_v6:5:56292722:56298431:-1 gene:B456_005G193400 transcript:KJB31472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDACIRAVVESIHSSPTQAVLYLSGGASLALGLLMSVPGASNTVLEAVLPYSRMSMIQLLAKIPAKYCSQQTADEMALLAYNRALKLSSPGSPVLGVGFTGSLATTRPKQGDHRFHLSTRTSDRHWASTVTLSKGLRSRDQEEKVSSYFLLKAIANACKVSSTFDSELTESDVVADECERFFDEDKELEQLINGQICFKVYPFSSDKSNGDRKIILPGSFNPLHDGHLKLLEAATSICGDGYPCFELSAINADKPPLSIPQIKERVMQFEKIGKTVIISNQPYFYKKAELFPGSAFVIGADTAVRLINPKYYDGSYDRMIETLSGCKRTGCTFIVAGRKVDGTFKVLEDFDVPEVLKDMFVPIPAERFRMDISSTEIRRSCGL >KJB31477 pep chromosome:Graimondii2_0_v6:5:56292722:56298431:-1 gene:B456_005G193400 transcript:KJB31477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDACIRAVVESIHSSPTQAVLYLSGGASLALGLLMSVPGASNTVLEAVLPYSRMSMIQLLAKIPAKYCSQQTADEMALLAYNRALKLSSPGSPVLGVGFTGSLATTRPKQGDHSLPFLMCRFHLSTRTSDRHWASTVTLSKGLRSRDQEEKVSSYFLLKAIANACKVSSTFDSELTESDVVADECERFFDEDKELEQLINGQICFKVYPFSSDKSNGDRKIILPGSFNPLHDGHLKLLEAATSICGDGYPCFELSAINADKPPLSIPQIKERVMQFEKIGKTVIISNQPYFYKKAELFPGSAFVIGADTAVRLINPKYYDGSYDRMIETLSGCKRTGCTFIVAGRKVDGTFKVLEDFDVPEVLKDMFVPIPAERFRMDISSTEIRRSCGL >KJB31471 pep chromosome:Graimondii2_0_v6:5:56292532:56298596:-1 gene:B456_005G193400 transcript:KJB31471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDACIRAVVESIHSSPTQAVLYLSGGASLALGLLMSVPGASNTVLEAVLPYSRMSMIQLLAKIPAKYCSQQTADEMALLAYNRALKLSSPGSPVLGVGFTGSLATTRPKQGDHRFHLSTRTSDRHWASTVTLSKGLRSRDQEEKVSSYFLLKAIANACKVSSTFDSELTESDVVADECERFFDEDKELEQLINGQICFKVYPFSSDKSNGDRKIILPGSFNPLHDGHLKLLEAATSICGDGYPCFELSAINADKPPLSIPQIKERVMQFEKIA >KJB31474 pep chromosome:Graimondii2_0_v6:5:56292539:56298504:-1 gene:B456_005G193400 transcript:KJB31474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDACIRAVVESIHSSPTQAVLYLSGGASLALGLLMSVPGASNTVLEAVLPYSRMSMIQLLAKIPAKYCSQQTADEMALLAYNRALKLSSPGSPVLGVGFTGSLATTRPKQGDHRFHLSTRTSDRHWASTVTLSKGLRSRDQEEKVSSYFLLKAIANACKVSSTFDSELTESDVVADECERFFDEDKELEQLINGQICFKYGNCFAETDKSNGDRKIILPGSFNPLHDGHLKLLEAATSICGDGYPCFELSAINADKPPLSIPQIKERVMQFEKIGKTVIISNQPYFYKKAELFPGSAFVIGADTAVRLINPKYYDGSYDRMIETLSGCKRTGCTFIVAGRKVDGTFKVLEDFDVPEVLKDMFVPIPAERFRMDISSTEIRRSCGL >KJB31479 pep chromosome:Graimondii2_0_v6:5:56292539:56298566:-1 gene:B456_005G193400 transcript:KJB31479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDACIRAVVESIHSSPTQAVLYLSGGASLQALGLLMSVPGASNTVLEAVLPYSRMSMIQLLAKIPAKYCSQQTADEMALLAYNRALKLSSPGSPVLGVGFTGSLATTRPKQGDHRFHLSTRTSDRHWASTVTLSKGLRSRDQEEKVSSYFLLKAIANACKVSSTFDSELTESDVVADECERFFDEDKELEQLINGQICFKVYPFSSDKSNGDRKIILPGSFNPLHDGHLKLLEAATSICGDGYPCFELSAINADKPPLSIPQIKERVMQFEKIA >KJB29688 pep chromosome:Graimondii2_0_v6:5:21825126:21826484:1 gene:B456_005G114000 transcript:KJB29688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VITATGTKKGDLLLADVNIQLKSRNVTANIKVDTSSNYLHECARISSSIGLTDNPIVNFLGVLGTNVLALGTDISFDTKTGNFTKRNVGLSFNNPDLIASLALHVVMNEKGYPVNSSSYHIVNPSTNIVVGVEVTHSFSTNVNTITVGTQHALDPLTTIKARVNNIDNASALIQHEWRPKSLFTISREVDTKSIDKSPKVRLALALKP >KJB29784 pep chromosome:Graimondii2_0_v6:5:24460627:24464773:-1 gene:B456_005G118500 transcript:KJB29784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVGQLVRVNATSRIVHVSRGKSPKGREKLRRQDETEANSAIRKSQRGHCENGVVFDEVDILFNDEDFKVALQSLIDSSPVITQYLFVTATLPVEIYNRLIEVFPDTKVIMGPGMHHISSGLEEVLVDCSGEGTLKTPDTAFLNKKTALLKLVEESPVSKTIVFCNKIETCRKVENVLKRFDRKGTHVRILPFHAALAQETRLINLKEFTHSQPGGDSLILVCTDRASRGIDFAGVDHVVLFDFPRDPSEYVRRVGRTARGAGGKGKAFIFVVGKQVPLARKIIERNQKGHPLHEVPAAF >KJB31533 pep chromosome:Graimondii2_0_v6:5:56573455:56574515:-1 gene:B456_005G194900 transcript:KJB31533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIIRLLLLLASSFMHLFSAIAQPPNPISRITVVGVVFCDSCSSNTFSRHSYFLPGVEVNIECKFKAQSPKTTEQMSVSVNRTTDKYGVYKLEIPHVDGVDCVEGLAIESLCQASLVGSKSKACDVPGLKTSTNQITVKSKQDNLCIYSLNALSYRPSKRNATLCRNHKQW >KJB31532 pep chromosome:Graimondii2_0_v6:5:56566256:56574515:-1 gene:B456_005G194900 transcript:KJB31532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIIRLLLLLASSFMHLFSAIAQPPNPISRITVVGVVFCDSCSSNTFSRHSYFLPGVEVNIECKFKAQSPKTTEQMSVSVNRTTDKYGVYKLEIPHVDGVDCVEGLAIESLCQASLVGSKSKACDVPGLKTSTNQITVKSKQDNLCIYSLNALSYRPSKRNATLCRNHKQ >KJB27530 pep chromosome:Graimondii2_0_v6:5:63209735:63212751:1 gene:B456_005G254700 transcript:KJB27530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVCVAVVGHQNNPLYIQSFTDADDALKLHHIVHCSLDVVDERVNNPKKSGPTLNETFLGLLYPTENYKVYGYLTNTKVKFILVTTDLDVRDADVRNFFRRFHAAYVDAVSNPFHVPGKKITSRTFADRVSTIVQSFGLSSAV >KJB27532 pep chromosome:Graimondii2_0_v6:5:63209828:63212751:1 gene:B456_005G254700 transcript:KJB27532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVCVAVVGHQNNPLYIQSFTDADDALKLHHIVHCSLDVVDERVNNPKKSGPTLNETFLGLLYPTENYKVYGYLTNTKVKFILVTTDLDVRDADVRNEVPCCIC >KJB27531 pep chromosome:Graimondii2_0_v6:5:63209828:63211060:1 gene:B456_005G254700 transcript:KJB27531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVCVAVVGHQNNPLYIQSFTDADDALKLHHIVHCSLDVVDERVNNPKKSGPTLNETFLGLLYPTENYKVYGYLTNTKVKFILVTTDLDVRDADVRNVSIIVPCIELYYKSMNL >KJB27534 pep chromosome:Graimondii2_0_v6:5:63210327:63212751:1 gene:B456_005G254700 transcript:KJB27534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYTSCVNIFVVNNPKKSGPTLNETFLGLLYPTENYKVYGYLTNTKVKFILVTTDLDVRDADVRNFFRRFHAAYVDAVSNPFHVPGKKITSRTFADRVSTIVQSFGLSSAV >KJB27533 pep chromosome:Graimondii2_0_v6:5:63209828:63212751:1 gene:B456_005G254700 transcript:KJB27533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVCVAVVGHQNNPLYIQSFTDADDALKLHHIVHCSLDVVDERVNNPKKSGPTLNETFLGLLYPTENYKVYGYLTNTKVKFILVTTDLDVRDADVRNVIFQEVPCCIC >KJB28647 pep chromosome:Graimondii2_0_v6:5:6298656:6299472:-1 gene:B456_005G060100 transcript:KJB28647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCSLLLLCPHLCLLLILCSALCWFCPLPFHQYQVVGKALPTENDEHPKIYRMKLWAINEVRAKSKFWYFLRKLKKVKKSNGQVLAINEVKMLSDIHLMILYL >KJB30784 pep chromosome:Graimondii2_0_v6:5:45859023:45861581:1 gene:B456_005G159500 transcript:KJB30784 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70760) UniProtKB/Swiss-Prot;Acc:Q9CAC5] MCSCLSFQIPKALPSIYVSPSQCSTKFPFFNLSQHKPTNYPKLYKKPNVTNINLKPNNYLDAKKTSLAVPIAALLSTFELPALAVTGVNNEPDLITVIIQLGIIAFGYFLIVPPIIMNWLRIRWYRRNLLEMYLQFMCVFLFFPGLLLWAPFLNFRKFPRDKSLKYPWDTPKDPSQVKNAYLKYPFAKPEDYDWLSNSQLPS >KJB30781 pep chromosome:Graimondii2_0_v6:5:45858848:45860148:1 gene:B456_005G159500 transcript:KJB30781 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70760) UniProtKB/Swiss-Prot;Acc:Q9CAC5] MCSCLSFQIPKALPSIYVSPSQCSTKFPFFNLSQHKPTNYPKLYKFELPALAVTGVNNEPDLITVIIQLGIIAFGYFLIVPPIIMNWLRIRWYRRNLLEMYLQFMCVFLFFPGLLLWAPFLNFRKFPRDKSLKYPWDTPKDPSQVKNAYLKYPFAKPEDYDW >KJB30783 pep chromosome:Graimondii2_0_v6:5:45859023:45861581:1 gene:B456_005G159500 transcript:KJB30783 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70760) UniProtKB/Swiss-Prot;Acc:Q9CAC5] MCSCLSFQIPKALPSIYVSPSQCSTKFPFFNLSQHKPTNYPKLYKFELPALAVTGVNNEPDLITVIIQLGIIAFGYFLIVPPIIMNWLRIRWYRRNLLEMYLQFMCVFLFFPGLLLWAPFLNFRKFPRDKSLKYPWDTPKDPSQVKNAYLKYPFAKPEDYDWLSNSQLPS >KJB30785 pep chromosome:Graimondii2_0_v6:5:45859051:45862176:1 gene:B456_005G159500 transcript:KJB30785 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70760) UniProtKB/Swiss-Prot;Acc:Q9CAC5] MQHQIPFLQPISTQTNQLSQALQGFLHQFELPALAVTGVNNEPDLITVIIQLGIIAFGYFLIVPPIIMNWLRIRWYRRNLLEMYLQFMCVFLFFPGLLLWAPFLNFRKFPRDKSLKYPWDTPKDPSQVKNAYLKYPFAKPEDYDWLSNSQLPS >KJB30782 pep chromosome:Graimondii2_0_v6:5:45858848:45860188:1 gene:B456_005G159500 transcript:KJB30782 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70760) UniProtKB/Swiss-Prot;Acc:Q9CAC5] MCSCLSFQIPKALPSIYVSPSQCSTKFPFFNLSQHKPTNYPKLYKKPNVTNINLKPNNYLDAKKTSLAVPIAALLSTFELPALAVTGVNNEPDLITVIIQLGIIAFGYFLIVPPIIMNWLRIRWYRRNLLEMYLQFMCVFLFFPGLLLWAPFLNFRKFPRDKSLKYPWDTPKDPSQVKNAYLKYPFAKPEDYDW >KJB28465 pep chromosome:Graimondii2_0_v6:5:4941555:4943986:1 gene:B456_005G050100 transcript:KJB28465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGHKSSGNPAAILSSLMNKREKLQDELRNIEKQVFELETNYLQDSSHFGHVLKGFEGFLSSSKNTANLKRSRKFQPEDRLFSLSSVTSPVADELGVRQDDGRSDFGSARSKGGGLAANGQGKPKKGRTASSARDGKRTRPSSEQDFDDEDDPDMSLR >KJB28468 pep chromosome:Graimondii2_0_v6:5:4941685:4943960:1 gene:B456_005G050100 transcript:KJB28468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGHKSSGNPAAILSSLMNKREKLQDELRNIEKQVFELETNYLQDSSHFGHVLKGFEGFLSSSKNTANLKRSRKFQPEDRLFSLSSVTSPVVCDGISFGIYTLIVPFTAF >KJB28467 pep chromosome:Graimondii2_0_v6:5:4941685:4943960:1 gene:B456_005G050100 transcript:KJB28467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGHKSSGNPAAILSSLMNKREKLQDELRNIEKQVFELETNYLQDSSHFGHVLKGFEGFLSSSKNTANLKRSRKFQPEDRLFSLSSVTSPVMEDPTSAQLGLRVEA >KJB28466 pep chromosome:Graimondii2_0_v6:5:4941674:4943960:1 gene:B456_005G050100 transcript:KJB28466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGHKSSGNPAAILSSLMNKREKLQDELRNIEKQVFELETNYLQDSSHFGHVLKGFEGFLSSSKNTAKLTNSEFDKMMEDPTSAQLGLRVEA >KJB31743 pep chromosome:Graimondii2_0_v6:5:58557415:58558976:-1 gene:B456_005G2066001 transcript:KJB31743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTFSPAAPSQLCSGKSGMFCPSQAFLVKPTRTHLVKNEKGMRITCQATSIPADRVPDMGKRQLMNLLLLGAISLPSGFMLVPYAAFFVPSGGRGTGGGTVAKDAIGNDVIAEEWLKTHGPGDRTLTQGLKGDPTYLVVEKDRTLATYGINAVCTHLGCVVPWNQAENKFICPCHGSQYNDQGRVVRGPAPL >KJB31744 pep chromosome:Graimondii2_0_v6:5:58557444:58558878:-1 gene:B456_005G2066001 transcript:KJB31744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTFSPAAPSQLCSGKSGMFCPSQAFLVKPTRTHLVKNEKGMRITCQATSIPADRVPDMGKRQLMNLLLLGAISLPSGFMLVPYAAFFVPSGGRGTGGGTVAKDAIGNDVIAEEWLKTHGPGDRTLTQGLKGDPTYLVVEKDRTLATYGINAVCTHLGCVVPWNQAENKFICPCHGSQYNDQ >KJB31742 pep chromosome:Graimondii2_0_v6:5:58557415:58558878:-1 gene:B456_005G2066001 transcript:KJB31742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTFSPAAPSQLCSGKSGMFCPSQAFLVKPTRTHLVKNEKGMRITCQATSIPADRVPDMGKRQLMNLLLLGAISLPSGFMLVPYAAFFVPSGGRGTGGGTVAKDAIGNDVIAEEWLKTHGPGDRTLTQGLKGDPTYLVVEKDRTLATYGINAVCTHLGCVVPWNQAENKFICPCHGSQYNDQGRVVRGPAPL >KJB29034 pep chromosome:Graimondii2_0_v6:5:10337961:10340760:1 gene:B456_005G083900 transcript:KJB29034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMTTIMLMPPKATSLQHFNFKPSTLRSRSSPTKSSYPTVRMQTRFHRLIEDQGIVLMPGCYDALSAAIVQQSGFTAGFISGYALSASLLGKPDFGLLTPPEMAATARTVCAAAPMIPIIADADTGGGNALNVQRTVRDLIAAGAAGCFLEDQAWPKKCGHMRGKQAGADACFVEAPRNDDELKEIGSHTKGYRVCNMIEGGVTPLHTPEELRAMGFHLIVHPLTALYASARALVDVLRTLKENGTTRDHLHKMATFKEFNQLVKLDSWFELEARYSNQKSPMRVKS >KJB29033 pep chromosome:Graimondii2_0_v6:5:10338047:10339561:1 gene:B456_005G083900 transcript:KJB29033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMTTIMLMPPKATSLQHFNFKPSTLRSRSSPTKSSYPTVRMQTRFHRLIEDQGIVLMPGCYDALSAAIVQQSGFTAGFISGYALSASLLGKPDFGLLTPPEMAATARTVCAAAPMIPIIADADTGGGNALNVQRTVRDLIAAGAAGCFLEDQAWPKKCGHMRGKQVIPAEEHAAKIASARDAIGDSDFFLVARTDARATSAKTGLSDAIARANLYMEVLLLH >KJB29032 pep chromosome:Graimondii2_0_v6:5:10337932:10340760:1 gene:B456_005G083900 transcript:KJB29032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMTTIMLMPPKATSLQHFNFKPSTLRSRSSPTKSSYPTVRMQTRFHRLIEDQGIVLMPGCYDALSAAIVQQSGFTAGFISGYALSASLLGKPDFGLLTPPEMAATARTVCAAAPMIPIIADADTGGGNALNVQRTVRDLIAAGAAGCFLEDQAWPKKCGHMRGKQVIPAEEHAAKIASARDAIGDSDFFLVARTDARATSAKTGLSDAIARANLYMEAGADACFVEAPRNDDELKEIGSHTKGYRVCNMIEGGVTPLHTPEELRAMGFHLIVHPLTALYASARALVDVLRTLKENGTTRDHLHKMATFKEFNQLVKLDSWFELEARYSNQKSPMRVKS >KJB28529 pep chromosome:Graimondii2_0_v6:5:5276793:5278768:-1 gene:B456_005G053400 transcript:KJB28529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAFGSGQKDDRGKDKPLQNLDNNRDMSGENGSDYSELKLKKLARRVIPQHALILSVGNRLEAGKSPVKDSFLPSIFSVCNTVPRHVVTLDEKYLRRCLELICINAAKATQCNISVSLSSVEMSILSDGLNSPNIGDEDACDFQRFVFDCPLAVGTGGVVIRPVGQWVVSSKRGSRSMANILKSPLLQKFGASDVSPSSNAFKRSVSYDFMNSPGSFSNYSSHKLGSETPISKNHKYGSETAHKQRVSESSTDSTCSDQSFSSTSTAISRGMLQCTWKGGIPYFVFSLDNRKEVYVANLSKEGSARGKGPDFMYSFHSSKGSHKEHGISDDESHLVAKMKVMTSFSICPQGSKIMETEFVLFSGNETFKPEVQTPSYNHRKNKGLPKKVVEAFKSSHSSKPRTMRRLWSSSIVEDSSWGPCQDTVNGPNSLDKMDLLEEEFSPNLELASIVVRNHLPKNPLPEVGGWGLKFLKKAEVTAAASVPCNCSLNTDDCSTSTDILVPAGIHGGPRNDGPSSLIERWRSGGHCDCGGWDLGCPLTVLRARASKQRGLPSTDTSEACMLFDFFVQGSKHGSPTLRIANVHDGLYFLHFQTTLSALQSFSIAVAYIHAQSPNFRQKNVKLSR >KJB28528 pep chromosome:Graimondii2_0_v6:5:5276572:5280445:-1 gene:B456_005G053400 transcript:KJB28528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAFGSGQKDDRGKDKPLQNLDNNRDMSGENGSDYSELKLKKLARRVIPQHALILSVGNRLEAGKSPVKDSFLPSIFSVCNTVPRHVVTLDEKYLRRCLELICINAAKATQCNISVSLSSVEMSILSDGLNSPNIGDEDACDFQRFVFDCPLAVGTGGVVIRPVGQWVVSSKRGSRSMANILKSPLLQKFGASDVSPSSNAFKRSVSYDFMNSPGSFSNYSSHKLGSETPISKNHKYGSETAHKQRVSESSTDSTCSDQSFSSTSTAISRGMLQCTWKGGIPYFVFSLDNRKEVYVANLSKEGSARGKGPDFMYSFHSSKGSHKEHGISDDESHLVAKMKVMTSFSICPQGSKIMETEFVLFSGNETFKPEVQTPSYNHRKNKGLPKKVVEAFKSSHSSKPRTMRRLWSSSIVEDSSWGPCQDTVNGPNSLDKMDLLEEEFSPNLELASIVVRNHLPKNPLPEVGGWGLKFLKKAEVTAAASVPCNCSLNTDDCSTSTDILVPAGIHGGPRNDGPSSLIERWRSGGHCDCGGWDLGCPLTVLRARASKQRGLPSTDTSEACMLFDFFVQGSKHGSPTLRIANVHDGLYFLHFQTTLSALQSFSIAVAYIHAQSPNFRQKNVKLSR >KJB28532 pep chromosome:Graimondii2_0_v6:5:5276491:5279480:-1 gene:B456_005G053400 transcript:KJB28532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAFGSGQKDDRGKDKPLQNLDNNRDMSGENGSDYSELKLKKLARRVIPQHALILSVGNRLEAGKSPVKDSFLPSIFSVCNTVPRHVVTLDEKYLRRCLELICINAAKATQCNISVSLSSVEMSILSDGLNSPNIGDEDACDFQRFVFDCPLAVGTGGVVIRPVGQWVVSSKRGSRSMANILKSPLLQKFGASDVSPSSNAFKRSVSYDFMNSPGSFSNYSSHKLGSETPISKNHKYGSETAHKQRVSESSTDSTCSDQSFSSTSTAISRGMLQCTWKGGIPYFVFSLDNRKEVYVANLSKEGSARGKGPDFMYSFHSSKGSHKEHGISDDESHLVAKMKVMTSFSICPQGSKIMETEFVLFSGNETFKPEVQTPSYNHRKNKGLPKKVVEAFKSSHSSKPRTMRRLWSSSIVEDSSWGPCQDTVNGPNSLDKMDLLEEEFSPNLELASIVVRNHLPKNPLPEVGGWGLKFLKKAEVTAAASVPCNCSLNTDDCSTSTDILVPAGIHGGPRNDGPSSLIERWRSGGHCDCGGWDLGCPLTVLRARASKQRGLPSTDTSEACMLFDFFVQGSKHGSPTLRIANVHDGLYFLHFQTTLSALQSFSIAVAYIHAQSPNFRQKNVKLSR >KJB28530 pep chromosome:Graimondii2_0_v6:5:5276572:5280434:-1 gene:B456_005G053400 transcript:KJB28530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAFGSGQKDDRGKDKPLQNLDNNRDMSGENGSDYSELKLKKLARRVIPQHALILSVGNRLEAGKSPVKDSFLPSIFSVCNTVPRHVVTLDEKYLRRCLELICINAAKATQCNISVSLSSVEMSILSDGLNSPNIGDEDACDFQRFVFDCPLAVGTGGVVIRPVGQWVVSSKRGSRSMANILKSPLLQKFGASDVSPSSNAFKRSVSYDFMNSPGSFSNYSSHKLGSETPISKNHKYGSETAHKQRVSESSTDSTCSDQSFSSTSTAISRGMLQCTWKGGIPYFVFSLDNRKEVYVANLSKEGSARGKGPDFMYSFHSSKGSHKEHGISDDESHLVAKMKVMTSFSICPQGSKIMETEFVLFSGNETFKPEVQTPSYNHRKNKGLPKKVVEAFKSSHSSKPRTMRRLWSSSIVEDSSWGPCQDTVNGPNSLDKMDLLEEEFSPNLELASIVVRNHLPKNPLPEVGGWGLKFLKKAEVTAAASVPCNCSLNTDDCSTSTDILVPAGIHGGPRNDGPSSLIERWRSGGHCDCGGWDLGCPLTVLRARASKQRGLPSTDTSEACMLFDFFVQGSKHGSPTLRIANVHDGLYFLHFQTTLSALQSFSIAVAYIHAQSPNFRQKNVKLSR >KJB28527 pep chromosome:Graimondii2_0_v6:5:5276572:5280445:-1 gene:B456_005G053400 transcript:KJB28527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAFGSGQKDDRGKDKPLQNLDNNRDMSGENGSDYSELKLKKLARRVIPQHALILSVGNRLEAGKSPVKDSFLPSIFSVCNTVPRHVVTLDEKYLRRCLELICINAAKATQCNISVSLSSVEMSILSDGLNSPNIGDEDACDFQRFVFDCPLAVGTGGVVIRPVGQWVVSSKRGSRSMANILKSPLLQKFGASDVSPSSNAFKRSVSYDFMNSPGSFSNYSSHKLGSETPISKNHKYGSETAHKQRVSESSTDSTCSDQSFSSTSTAISRGMLQCTWKGGIPYFVFSLDNRKEVYVANLSKEGSARGKGPDFMYSFHSSKGSHKEHGISDDESHLVAKMKVMTSFSICPQGSKIMETEFVLFSGNETFKPEVQTPSYNHRKNKGLPKKVVEAFKSSHSSKPRTMRRLWSSSIVEDSSWGPCQDTVNGPNSLDKMDLLEEEFSPNLELASIVVRNHLPKNPLPEVGGWGLKFLKKAEVTAAASVPCNCSLNTDDCSTSTDILVPAGIHGGPRNDGPSSLIERWRSGGHCDCGGWDLGCPLTVLRARASKQRGLPSTDTSEACMLFDFFVQGSKHGSPTLRIANVHDGLYFLHFQTTLSALQSFSIAVAYIHAQSPNFRQKNVKLSR >KJB28533 pep chromosome:Graimondii2_0_v6:5:5276793:5278768:-1 gene:B456_005G053400 transcript:KJB28533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAFGSGQKDDRGKDKPLQNLDNNRDMSGENGSDYSELKLKKLARRVIPQHALILSVGNRLEAGKSPVKDSFLPSIFSVCNTVPRHVVTLDEKYLRRCLELICINAAKATQCNISVSLSSVEMSILSDGLNSPNIGDEDACDFQRFVFDCPLAVGTGGVVIRPVGQWVVSSKRGSRSMANILKSPLLQKFGASDVSPSSNAFKRSVSYDFMNSPGSFSNYSSHKLGSETPISKNHKYGSETAHKQRVSESSTDSTCSDQSFSSTSTAISRGMLQCTWKGGIPYFVFSLDNRKEVYVANLSKEGSARGKGPDFMYSFHSSKGSHKEHGISDDESHLVAKMKVMTSFSICPQGSKIMETEFVLFSGNETFKPEVQTPSYNHRKNKGLPKKVVEAFKSSHSSKPRTMRRLWSSSIVEDSSWGPCQDTVNGPNSLDKMDLLEEEFSPNLELASIVVRNHLPKNPLPEVGGWGLKFLKKAEVTAAASVPCNCSLNTDDCSTSTDILVPAGIHGGPRNDGPSSLIERWRSGGHCDCGGWDLGCPLTVLRARASKQRGLPSTDTSEACMLFDFFVQGSKHGSPTLRIANVHDGLYFLHFQTTLSALQSFSIAVAYIHAQSPNFRQKNVKLSR >KJB28531 pep chromosome:Graimondii2_0_v6:5:5276572:5280445:-1 gene:B456_005G053400 transcript:KJB28531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAFGSGQKDDRGKDKPLQNLDNNRDMSGENGSDYSELKLKKLARRVIPQHALILSVGNRLEAGKSPVKDSFLPSIFSVCNTVPRHVVTLDEKYLRRCLELICINAAKATQCNISVSLSSVEMSILSDGLNSPNIGDEDACDFQRFVFDCPLAVGTGGVVIRPVGQWVVSSKRGSRSMANILKSPLLQKFGASDVSPSSNAFKRSVSYDFMNSPGSFSNYSSHKLGSETPISKNHKYGSETAHKQRVSESSTDSTCSDQSFSSTSTAISRGMLQCTWKGGIPYFVFSLDNRKEVYVANLSKEGSARGKGPDFMYSFHSSKGSHKEHGISDDESHLVAKMKVMTSFSICPQGSKIMETEFVLFSGNETFKPEVQTPSYNHRKNKGLPKKVVEAFKSSHSSKPRTMRRLWSSSIVEDSSWGPCQDTVNGPNSLDKMDLLEEEFSPNLELASIVVRNHLPKNPLPEVGGWGLKFLKKAEVTAAASVPCNCSLNTDDCSTSTDILVPAGIHGGPRNDGPSSLIERWRSGGHCDCGGWDLGCPLTVLRARASKQRGLPSTDTSEACMLFDFFVQGSKHGSPTLRIANVHDGLYFLHFQTTLSALQSFSIAVAYIHAQSPNFRQKNVKLSR >KJB29618 pep chromosome:Graimondii2_0_v6:5:20306838:20308528:1 gene:B456_005G110700 transcript:KJB29618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCEKEAASVCCTADEAALCGACDHRVHHANKLASKHQRFSLLHPSSSKQVPLCDICQEKRGFVFCVQDRAILCKDCDVPIHSANQYTQKHDRFLLTGVKLSATSALYDGDSLPQFNSQTLVNDPFSVSPASFNPSSAAMTTTATAAVINKNSGDNNLLPSETCASVSSISDYLIEMLPGWHVEDFLDSGFSKSDDGLLPFVDAVLERNTTTFSPESSGLWVPQHSPSSLCTPHNSSQIGGQTGWFKETIGIKANRRWTDDSFTVPEITPPSTASKRFRPLS >KJB32187 pep chromosome:Graimondii2_0_v6:5:61101904:61103037:1 gene:B456_005G228300 transcript:KJB32187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEHDIMRKRKSTHDHRSNSQAFDDEDEDLLRLSLSNDTRRTGARLSEEHSSIPPLSSLSVMPPQPSSSQQQAAVAAAVSLSMQTLLSQPIHPLTLSTSHILFNSGFMSPPAASFVYSQEPVLSGPDLSVPAAAPHNLINSSLISQTLSPGSLSYPQEPVSTAVAAHVSVTSSSSPRPSRVRRNPTQNLREGKSETVEPPFPWATNHRATVYNINYLLSEKNISKITGIVQCKKCEKQYSMDFDLKEKFSEIGSFIAENKNSMHDRALLSWLNPVLPKCKYCNQENSAKPVIADKKKDINWLFLFLGQLLGCCTLEQLKYFCKHTKNHRTGAKDRVLYLTYLGLCKQLDPSGPFSR >KJB31054 pep chromosome:Graimondii2_0_v6:5:50551239:50551916:1 gene:B456_005G1739002 transcript:KJB31054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-oxoacyl-CoA reductase-like protein At1g24470 [Source:Projected from Arabidopsis thaliana (AT1G24470) UniProtKB/Swiss-Prot;Acc:Q9FYL6] MQPTWLLALSFLGFLSFLTRSISLLNWVFTIFLRSPKNLNNYGSWALITGATDGIGKAFANQLARQGLNLILVSRNLNKLKTVSAEIRAQFPHLKIKVVAQDFSGNLSAGVGLIEEAVKGVEVGVLINNVGITYPRAMYFHEVEEEVVKGIIRVNLEGTTWVTRAVLPGMLNRKRGAIVNVGSGASIVVPSHPLYTIYAATK >KJB31056 pep chromosome:Graimondii2_0_v6:5:50551309:50551916:1 gene:B456_005G1739002 transcript:KJB31056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-oxoacyl-CoA reductase-like protein At1g24470 [Source:Projected from Arabidopsis thaliana (AT1G24470) UniProtKB/Swiss-Prot;Acc:Q9FYL6] MQPTWLLALSFLGFLSFLTRSISLLNWVFTIFLRSPKNLNNYGSWALITGATDGIGKAFANQLARQGLNLILVSRNLNKLKTVSAEIRAQFPHLKIKVVAQDFSGNLSAGVGLIEEAVKGVEVGVLINNVGITYPRAMYFHEVEEEVVKGIIRVNLEGTTWVTRAVLPGMLNRKRGAIVNVGSGASIVVPSHPLYTIYAATK >KJB31057 pep chromosome:Graimondii2_0_v6:5:50551212:50551916:1 gene:B456_005G1739002 transcript:KJB31057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-oxoacyl-CoA reductase-like protein At1g24470 [Source:Projected from Arabidopsis thaliana (AT1G24470) UniProtKB/Swiss-Prot;Acc:Q9FYL6] MQPTWLLALSFLGFLSFLTRSISLLNWVFTIFLRSPKNLNNYGSWALITGATDGIGKAFANQLARQGLNLILVSRNLNKLKTVSAEIRAQFPHLKIKVVAQDFSGNLSAGVGLIEEAVKGVEVGVLINNVGITYPRAMYFHEVEEEVVKGIIRVNLEGTTWVTRAVLPGMLNRKRGAIVNVGSGASIVVPSHPLYTIYAATK >KJB31055 pep chromosome:Graimondii2_0_v6:5:50551309:50551916:1 gene:B456_005G1739002 transcript:KJB31055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-oxoacyl-CoA reductase-like protein At1g24470 [Source:Projected from Arabidopsis thaliana (AT1G24470) UniProtKB/Swiss-Prot;Acc:Q9FYL6] MQPTWLLALSFLGFLSFLTRSISLLNWVFTIFLRSPKNLNNYGSWALITGATDGIGKAFANQLARQGLNLILVSRNLNKLKTVSAEIRAQFPHLKIKVVAQDFSGNLSAGVGLIEEAVKGVEVGVLINNVGITYPRAMYFHEVEEEVVKGIIRVNLEGTTWVTRAVLPGMLNRKRGAIVNVGSGASIVVPSHPLYTIYAATK >KJB30272 pep chromosome:Graimondii2_0_v6:5:34426782:34428309:1 gene:B456_005G135200 transcript:KJB30272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRYQFGRADEATHPDSMRATLAEFLSTIIFVFAGEGSILALDKMYKDTSATPARLVMIALAHGLSLFAAVASSVNVSGGHVNPAVTFGALLGGRISLVRALYYWIAQLLGSIVACLLLRLTAGMRPGGFALASGVGELRGLILEIVLTYGLVYTVYATGIDPKRGSVGTIAPLAIGLIVSANILVGGPFDGAAMNPARAFGPALVGWRWNHHWIYWVGPLIGGGLAALIYEYMVIPAAEPAHPTHQPLAPEDY >KJB28457 pep chromosome:Graimondii2_0_v6:5:63998221:63999276:-1 gene:B456_005G267800 transcript:KJB28457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFLSLRVAVRSRKGFKSKSRAKHGKMRGTKELRGRVERLKTEMEKRNEERKDIRERQRQVKDKVTAIEAECEELKRETRFIVQQTARTQIKLGLMFRILKARETGHLDEAALLTQMLREIVRFEEEEKEG >KJB28456 pep chromosome:Graimondii2_0_v6:5:63998221:63999085:-1 gene:B456_005G267800 transcript:KJB28456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFLSLRVAVRSRKGFKSKSRAKHGKMRGTKELRGRVERLKTEMEKRNEERKDIRERQRQVKDKVTAIEAECEELKRETRFIVQQTARTQIKLGLMFRILKARETGHLDEAALLTQMLRSVKCIFC >KJB31904 pep chromosome:Graimondii2_0_v6:5:59628003:59631884:-1 gene:B456_005G213700 transcript:KJB31904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKPLYSLGQGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMERDVKFFTETEENNQMRGPRTGVPYFL >KJB31905 pep chromosome:Graimondii2_0_v6:5:59628003:59631884:-1 gene:B456_005G213700 transcript:KJB31905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQWISKVKEGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPDTNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMVRNFFFPVPSFLFKLSALKNPF >KJB31903 pep chromosome:Graimondii2_0_v6:5:59627833:59631936:-1 gene:B456_005G213700 transcript:KJB31903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQWISKVKEGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPDTNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMERDVKFFTETEENNQMRGPRTGVPYFL >KJB32886 pep chromosome:Graimondii2_0_v6:5:63937150:63943223:-1 gene:B456_005G266600 transcript:KJB32886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDDLEGGEDDGYHAQGNRKYSPVGAQDRAVLEMASMDPGSSATASQSSIRKVRARTQGSMDSDGKAPDVAGGDNGPHREHKLELFGFDSLVNILGLKSMTQEQVLAPSSPRDNEVVSITGGDPTSSSVKMGTMMGVFVPCLQSILGIIYYIRFSWIIGMGGIADSLLLVSLCGLCTFLTGLSLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAAALYVLGAVETFLKALPSAGFFTETSTKVNGSVSKPIQTISTHDLQIYGLVVTVILCIIVFGGVKMINRVAPVFLIPVLLSVLCIVIGIFMAKKDDPDTGITGLSADSFKDNWDSMYQNTNSDGIPDRDGKVYWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLSATLVTTLLYLVSVFLFGAVANREKLLTDRLLTATIAWPFPVVIRVGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNCFRVADTNAPSWRPRWKFHHWLLSLLGALLCVVIMFLISWLFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGAKQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVNILDGDYHECAEDAKEACKQLDTYINYKNCEGVAEIVVATNMTEGFRGIVQTMGLGNLKPNIVVVRYPEIWRRENLKEIPTRFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGSIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDSDAESLKADVKKFLYDLRLQAEVIVITIKSWDVEGGSQQDDSIETFNDARKRVASYLAELKEAAKREGTPLMADGKAVVVDEQQVEKFLYTTLKLNTTILRYSRMAAVVLVSLPPPPINHPAYCYMEYMDLLVGNVQRLLMVRGYRRDVVTLFT >KJB32887 pep chromosome:Graimondii2_0_v6:5:63937153:63939269:-1 gene:B456_005G266600 transcript:KJB32887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVVIGNLDLITPTVTMFFLLCYSGVNLSCFLLDLLDAPSWRPRWKFHHWLLSLLGALLCVVIMFLISWLFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGAKQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVNILDGDYHECAEDAKEACKQLDTYINYKNCEGVAEIVVATNMTEGFRGIVQTMGLGNLKPNIVVVRYPEIWRRENLKEIPTRFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGSIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDSDAESLKADVKKFLYDLRLQAEVIVITIKSWDVEGGSQQDDSIETFNDARKRVASYLAELKEAAKREGTPLMADGKAVVVDEQQVEKFLYTTLKLNTTILRYSRMAAVVLVSLPPPPINHPAYCYMEYMDLLVGNVQRLLMVRGYRRDVVTLFT >KJB32885 pep chromosome:Graimondii2_0_v6:5:63937150:63940124:-1 gene:B456_005G266600 transcript:KJB32885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQNTNSDGIPDRDGKVYWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLSATLVTTLLYLVSVFLFGAVANREKLLTDRLLTATIAWPFPVVIRVGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNCFRVADTNAPSWRPRWKFHHWLLSLLGALLCVVIMFLISWLFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGAKQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVNILDGDYHECAEDAKEACKQLDTYINYKNCEGVAEIVVATNMTEGFRGIVQTMGLGNLKPNIVVVRYPEIWRRENLKEIPTRFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGSIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDSDAESLKADVKKFLYDLRLQAEVIVITIKSWDVEGGSQQDDSIETFNDARKRVASYLAELKEAAKREGTPLMADGKAVVVDEQQVEKFLYTTLKLNTTILRYSRMAAVVLVSLPPPPINHPAYCYMEYMDLLVGNVQRLLMVRGYRRDVVTLFT >KJB32888 pep chromosome:Graimondii2_0_v6:5:63937153:63943303:-1 gene:B456_005G266600 transcript:KJB32888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDDLEGGEDDGYHAQGNRKYSPVGAQDRAVLEMASMDPGSSATASQSSIRKVRARTQGSMDSDGKAPDVAGGDNGPHREHKLELFGFDSLVNILGLKSMTQEQVLAPSSPRDNEVVSITGGDPTSSSVKMGTMMGVFVPCLQSILGIIYYIRFSWIIGMGGIADSLLLVSLCGLCTFLTGLSLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAAALYVLGAVETFLKALPSAGFFTETSTKVNGSVSKPIQTISTHDLQIYGLVVTVILCIIVFGGVKMINRVAPVFLIPVLLSVLCIVIGIFMAKKDDPDTGITGLSADSFKDNWDSMYQNTNSDGIPDRDGKVYWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLSATLVTTLLYLVSVFLFGAVANREKLLTDRLLTATIAWPFPVVIRVGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNCFRVADTSEPHIATLFTTVICMGCVVIGNLDLITPTVTMFFLLCYSGVNLSCFLLDLLDAPSWRPRWKFHHWLLSLLGALLCVVIMFLISWLFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGAKQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVNILDGDYHECAEDAKEACKQLDTYINYKNCEGVAEIVVATNMTEGFRGIVQTMGLGNLKPNIVVVRYPEIWRRENLKEIPTRFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGSIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDSDAESLKADVKKFLYDLRLQAEVIVITIKSWDVEGGSQQDDSIETFNDARKRVASYLAELKEAAKREGTPLMADGKAVVVDEQQVEKFLYTTLKLNTTILRYSRMAAVVLVSLPPPPINHPAYCYMEYMDLLVGNVQRLLMVRGYRRDVVTLFT >KJB31701 pep chromosome:Graimondii2_0_v6:5:57860210:57862722:1 gene:B456_005G202000 transcript:KJB31701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEQYFEDTEAYDPSSTEGKRSLDKWENSPGPFTGSDDDPSAGFDCNICLDSVQDPVVTLCGHLYCWPCIYKWLHCQTISTDNLDQKQQQCPVCKAELSNTTLIPLYGRGQTTKASTDNTPKFGIVIPQRPLAPTYGVGSIRSPNSSDNLRFQQPVHHHGYSYQPQIYYAQQGSYPDSSMLSPGGTMINVLDPVTRMFSEMVYTRVFGNSITDLHTYPNSYNLGGTTSRRIRRQLMQADKSLSRISFFLCCCIFFCLLLF >KJB27922 pep chromosome:Graimondii2_0_v6:5:1265201:1266849:1 gene:B456_005G017200 transcript:KJB27922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKLNTLQHPLICPKSGDRELEDGAKCFLCCEPLANYTYFSLDCGFNLHKKCAKLSFKLNHVCHRKHPLVLQFNSEQFSCKICGETSGKGLGFIYGCSPCKFAAHLKCVSAALDLVIGDKRHEHPFSLFPRGSSFICDACGIEGSYASYICCTCNIMVHKKCTSLPRIIKSKWHDHHLFHKYFLRIEDFRVLDCIMCNYEVSTDHGSYYCSECDVILHVKCAMKDKDSYEIVENEDEEQCWRSYKVTHFMHMHNLMLGPFVGGYENSCEGCMLPITDPFYYCTECVFFLHKACAELPKMKNVWRHRCREPLALISYKGIRCEQCRQISNTFAYECSECEGQICLRCVIALTPGARTCLKHEHPLFFYRDYKGQCNACGFTTRWAGGAFCCKDCNFVLHLQCFSLPIPVRHKCDEHLFLLTDHDDNSYSENHHCDICEESRRPNRWFYHCAKCDTSAHVGCVLGKYPFLKLGSIYEETGHPHPLTIVKKKYYYPDCDECSKPCEDVALECSESECKYIVHWDCVAPGSLQSRSKWPI >KJB29065 pep chromosome:Graimondii2_0_v6:5:10128625:10133146:1 gene:B456_005G082700 transcript:KJB29065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGLNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATYINRSIILTPEGDRTDKKDTSAFNTWKDIIIPGNVDDGMMKTGATVFQPLPLSKRKYLANYLGRAQKKVGRLKLIELAKQYPDKLECPELQFSGPNKLGRVEYFQHLGNSKFCLAPRGESSWTLRFYESFFVECVPVILSDQVELPFQNVIDYTEISIKWPSTSIGPELLDYLASIPDEVIEQIIGRGRQVRCLWVYAPDSEPCSTMRALMWELQRKVRQFHQSAETFWLHNGSVVNRNLVEFAKWKPPMPLP >KJB29069 pep chromosome:Graimondii2_0_v6:5:10128625:10133146:1 gene:B456_005G082700 transcript:KJB29069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKPRIFSAHSHTAPLCTRTHQIAALILVTATFFFTRLFDQSFPPSPCYLDRHLNLHVAKTNDAGHLLWPDRGYGSHLSLKIYVYDENEIDGLKDLLYGRDGSISSNACLKGQWGSQVKIHRLLLESRFRTRKKEEADLFFVPAYVKCVRMLGGLNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATYINRSIILTPEGDRTDKKDTSAFNTWKDIIIPGNVDDGMMKTGATVFQPLPLSKRKYLANYLGRAQKKVGRLKLIELAKQYPDKLECPELQFSGPNKLGRVEYFQHLGNSKFCLAPRGESSWTLRFYESFFVECVPVILSDQVELPFQNVIDYTEISIKWPSTSIGPELLDYLASIPDEVIEQIIGRGRQVRCLWVYAPDSEPCSTMRALMWELQRKVRQFHQSAETFWLHNGSVVNRNLVEFAKWKPPMPLP >KJB29067 pep chromosome:Graimondii2_0_v6:5:10128625:10133146:1 gene:B456_005G082700 transcript:KJB29067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKPRIFSAHSHTAPLCTRTHQIAALILVTATFFFTRLFDQSFPPSPCYLDRHLNLHVAKTNDAGHLLWPDRGYGSHLSLKIYVYDENEIDGLKDLLYGRDGSISSNACLKGQWGSQVKIHRLLLESRFRTRKKEEADLFFVPAYVKCVRMLGGLNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATYINRSIILTPEGDRTDKKDTSAFNTWKDIIIPGNVDDGMMKTGATVFQPLPLSKRKYLANYLGRAQKKVGRLKLIELAKQYPDKLECPELQFSGPNKLGRVEYFQHLGNSKFCLAPRGESSWTLRFYESFFVVSLSFSNTCTQAF >KJB29064 pep chromosome:Graimondii2_0_v6:5:10129600:10132879:1 gene:B456_005G082700 transcript:KJB29064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFDSKVVCFLYVNHSGAGAHLFRSWATYINRSIILTPEGDRTDKKDTSAFNTWKDIIIPGNVDDGMMKTGATVFQPLPLSKRKYLANYLGRAQKKVGRLKLIELAKQYPDKLECPELQFSGPNKLGRVEYFQHLGNSKFCLAPRGESSWTLRFYESFFVECVPVILSDQVELPFQNVIDYTEISIKWPSTSIGPELLDYLASIPDEVIEQIIGRGRQVRCLWVYAPDSEPCSTMRALMWELQRKVRQFHQSAETFWLHNGSVVNRNLVEFAKWKPPMPLP >KJB29068 pep chromosome:Graimondii2_0_v6:5:10128625:10133146:1 gene:B456_005G082700 transcript:KJB29068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKPRIFSAHSHTAPLCTRTHQIAALILVTATFFFTRLFDQSFPPSPCYLDRHLNLHVAKTNDAGHLLWPDRGYGSHLSLKIYVYDENEIDGLKDLLYGRDGSISSNACLKGQWGSQVKIHRLLLESRFRTRKKEEADLFFVPAYVKCVRMLGGLNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATYINRSIILTPEGDRTDKKDTSAFNTWKDIIIPGNVDDGMMKTGATVFQPLPLSKRKYLANYLGRAQKKVGRLKLIELAKQYPDKLECPELQFSGPNKLGRVEYFQHLGNSKFCLAPRGESSWTLRFYESFFVECVPVILSDQVELPFQNVIDYTEISIKWPSTSIGPELLDYLASIPGQIFYFLLLTLLIELFSIMKRPNFAFVFQMRS >KJB29066 pep chromosome:Graimondii2_0_v6:5:10128625:10133146:1 gene:B456_005G082700 transcript:KJB29066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSKASGVLRLLLESRFRTRKKEEADLFFVPAYVKCVRMLGGLNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATYINRSIILTPEGDRTDKKDTSAFNTWKDIIIPGNVDDGMMKTGATVFQPLPLSKRKYLANYLGRAQKKVGRLKLIELAKQYPDKLECPELQFSGPNKLGRVEYFQHLGNSKFCLAPRGESSWTLRFYESFFVECVPVILSDQVELPFQNVIDYTEISIKWPSTSIGPELLDYLASIPDEVIEQIIGRGRQVRCLWVYAPDSEPCSTMRALMWELQRKVRQFHQSAETFWLHNGSVVNRNLVEFAKWKPPMPLP >KJB28909 pep chromosome:Graimondii2_0_v6:5:8671631:8672538:-1 gene:B456_005G076100 transcript:KJB28909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFSFGVGGLLLVSFFVLLCLSTETLLVPLHHHHANNHGKATTLGDLKLQQVNPEEGRGMELYTTGSSLPDCTHACGTCFPCKRVMVSFKCSMAESCPIVYKCMCKGKYYHVPSK >KJB30490 pep chromosome:Graimondii2_0_v6:5:39917666:39919489:1 gene:B456_005G146600 transcript:KJB30490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGYYYFVLRVDLKEKENTLREVRDCSGISLESLGDECSSDADCQTGLSCFSCSEAFDGLRCIRSTTTNQFEFMNSLPFNRYAYLTTHNSFANEVEPLHTGIRLTFLNQEDNVTQQLNNGVRALMLDTYDFKGDIWLCHSFGGRCHDFTAFWLAIDTLKEVEAFLSANPSEIVTLFLEDYVETPNGLSKVFKDAGLMKYWFPVSSMPQNGQDWPLVKDMVARNQRFVVFTSAKSKQESEGIAYQWNYVVENHYGDVGEHPGECSNRGESAPLDDKSKSLVLINHFHSIALKVMACEDNSASLISMLDTCYGRAGNRWANFVAVDYYKRSDGGGVFQAVDKLNGQLLCCCDDVHSCVPGSSTATCSATKDQ >KJB30488 pep chromosome:Graimondii2_0_v6:5:39917555:39919895:1 gene:B456_005G146600 transcript:KJB30488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPRILFSGSLISFAVITATFACSNGQCKLGDECSSDADCQTGLSCFSCSEAFDGLRCIRSTTTNQFEFMNSLPFNRYAYLTTHNSFANEVEPLHTGIRLTFLNQEDNVTQQLNNGVRALMLDTYDFKGDIWLCHSFGGRCHDFTAFWLAIDTLKEVEAFLSANPSEIVTLFLEDYVETPNGLSKVFKDAGLMKYWFPVSSMPQNGQDWPLVKDMVARNQRFVVFTSAKSKQESEGIAYQWNYVVENHYGDVGEHPGECSNRGESAPLDDKSKSLVLINHFHSIALKVMACEDNSASLISMLDTCYGRAGNRWANFVAVDYYKRSDGGGVFQAVDKLNGQLLCCCDDVHSCVPGSSTATCSATKDQ >KJB30491 pep chromosome:Graimondii2_0_v6:5:39917555:39919895:1 gene:B456_005G146600 transcript:KJB30491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLPFNRYAYLTTHNSFANEVEPLHTGIRLTFLNQEDNVTQQLNNGVRALMLDTYDFKGDIWLCHSFGGRCHDFTAFWLAIDTLKEVEAFLSANPSEIVTLFLEDYVETPNGLSKVFKDAGLMKYWFPVSSMPQNGQDWPLVKDMVARNQRFVVFTSAKSKQESEGIAYQWNYVVENHYGDVGEHPGECSNRGESAPLDDKSKSLVLINHFHSIALKVMACEDNSASLISMLDTCYGRAGNRWANFVAVDYYKRSDGGGVFQAVDKLNGQLLCCCDDVHSCVPGSSTATCSATKDQ >KJB30489 pep chromosome:Graimondii2_0_v6:5:39917555:39919895:1 gene:B456_005G146600 transcript:KJB30489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPRILFSGSLISFAVITATFACSNGQCKLGDECSSDADCQTGLSCFSCSEAFDGLRCIRSTTTNQFEFMNSLPFNRYAYLTTHNSFANEVEPLHTGIRLTFLNQEDNVTQQLNNGVRALMLDTYDFKGDIWLCHSFGGRCHDFTAFWLAIDTLKEVEAFLSANPSEIVTLFLEDYVETPNGLSKVFKDAGLMKYWFPVSSMPQNGQDWPLVKDMVARNQRFVVFTSAKSKQESEGIAYQWNYVVENHYGDVGEHPGECSNRGESAPLDDKSKSLVLINHFHSIALKVMACEDNSASLISMLDTCYGRAGNRWANFVAVDYYKRSDGGGVFQAVDKLNGQLLCCCDDVHSCVPGSSTATCSATKDQ >KJB32365 pep chromosome:Graimondii2_0_v6:5:61898814:61901502:-1 gene:B456_005G237400 transcript:KJB32365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIGQFDCGHNILLLWKMGSHLNFKNFGGDGNNGSKPVVNSPLVRQSSIYSLTFDELQSTFGGLGKDFGSMNMDELLRNISTAEETQSAMTASVSAGEGGDVSGGNLQKQGSLSLPRTLSQKTVDEVWKYLMKESDGGSNDGSTGGEANLPQRQQTLGTMTLEEFLARAGVVREDMQQIGMVNNGGFFADNSPLALGFQQANTNNGFASGVKSSSQLQQQPQPLFPKQQVVAFAPPMHLMNATQLASPGAKGSVVGIGDPSMNNNLVQNTGLQGGGMGIVGLGSPASQISSDVVSKNSIDTTSPSPVPYIFGRGRKCGANLEKVVERRQKRMIKNRESAARSRARKQAYTLELEAEVAKLKEMNQELLKKQEEVMEMQKNQMLEKVNRPWGRKRRCLRRTITCPW >KJB32367 pep chromosome:Graimondii2_0_v6:5:61898912:61901502:-1 gene:B456_005G237400 transcript:KJB32367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIGQFDCGHNILLLWKMGSHLNFKNFGGDGNNGSKPVVNSPLVRQSSIYSLTFDELQSTFGGLGKDFGSMNMDELLRNISTAEETQSAMTASVSAGEGGDVSGGNLQKQGSLSLPRTLSQKTVDEVWKYLMKESDGGSNDGSTGGEANLPQRQQTLGTMTLEEFLARAGVVREDMQQIGMVNNGGFFADNSPLALGFQQANTNNGFASGVKSSSQLQQQPQPLFPKQQVVAFAPPMHLMNATQLASPGAKGSPASQISSDVVSKNSIDTTSPSPVPYIFGRGRKCGANLEKVVERRQKRMIKNRESAARSRARKQAYTLELEAEVAKLKEMNQELLKKQEEVMEMQKNQMLEKVNRPWGRKRRCLRRTITCPW >KJB32368 pep chromosome:Graimondii2_0_v6:5:61898904:61901502:-1 gene:B456_005G237400 transcript:KJB32368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHLNFKNFGGDGNNGSKPVVNSPLVRQSSIYSLTFDELQSTFGGLGKDFGSMNMDELLRNISTAEETQSAMTASVSAGEGGDVSGGNLQKQGSLSLPRTLSQKTVDEVWKYLMKESDGGSNDGSTGGEANLPQRQQTLGTMTLEEFLARAGVVREDMQQIGMVNNGGFFADNSPLALGFQQANTNNGFASGVKSSSQLQQQPQPLFPKQQVVAFAPPMHLMNATQLASPGAKGSVVGIGDPSMNNNLVQNTGLQGGGMGIVGLGSPASQISSDVVSKNSIDTTSPSPVPYIFGRGRKCGANLEKVVERRQKRMIKNRESAARSRARKQAYTLELEAEVAKLKEMNQELLKKQEEVMEMQKNQMLEKVNRPWGRKRRCLRRTITCPW >KJB32366 pep chromosome:Graimondii2_0_v6:5:61899735:61900962:-1 gene:B456_005G237400 transcript:KJB32366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIGQFDCGHNILLLWKMGSHLNFKNFGGDGNNGSKPVVNSPLVRQSSIYSLTFDELQSTFGGLGKDFGSMNMDELLRNISTAEETQSAMTASVSAGEGGDVSGGNLQKQGSLSLPRTLSQKTVDEVWKYLMKESDGGSNDGSTGGEANLPQRQQTLGTMTLEEFLARAGVVREDMQQIGMVNNGGFFADNSPLALGFQQANTNNGFASGVKSSSQLQQQPQPLFPKQQVVAFAPPMHLMNATQLASPGAKGSVVGIGDPSMNNNLVQNTGLQGGGMGIVGLGSPASQISSDVVSKNSIDTTSPSPVPYIFGRGRKCGANLEKVVERRQKRMIKNRESAARSRARKQAYTLELEAEVAKLKEMNQELLKKQVPIIC >KJB31025 pep chromosome:Graimondii2_0_v6:5:50302294:50305378:1 gene:B456_005G173200 transcript:KJB31025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESACLVRSFSNPADISRQVKEGNPIRALTESISFGRFMSEPLAWEKWSTFSHNRYLEEVEKFSKPGSVAQKKAFFEAHYKRRAAMRAAALLEQANVVTNDASQMGTINAASVDPLSNTDLANSDASLSADQPEKNISDAEIINTAGVDAGNLSVVRENIDITDAEQSLAVMEEDVNMGKCDQGENSEAFENGDILSKIMATPKILPKDCADPKNSTSSSKKKRTNSLLKSSVPSRTSKLPLHPSKRMASAQAKSDANVAKSAGNSNDKKKTIPNSLHMSINVASSAGKTNKTSLRILRDSSTPTQTPTRALKKSADQENLAPSSEKRRSNSTSKLSNRGIVPKQTTSRIGNNHAHINKKPALDPNEQRRIAQKSLHMSMNFTPHAGETNKTSPKISRESSTPLEAPTRASVYGGLKHASKVVQARDRRTTSVLNKSVSATGDGRWPSLSR >KJB31024 pep chromosome:Graimondii2_0_v6:5:50302157:50306495:1 gene:B456_005G173200 transcript:KJB31024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESACLVRSFSNPADISRQVKEGNPIRALTESISFGRFMSEPLAWEKWSTFSHNRYLEEVEKFSKPGSVAQKKAFFEAHYKRRAAMRAAALLEQANVVTNDASQMGTINAASVDPLSNTDLANSDASLSADQPEKNISDAEIINTAGVDAGNLSVVRENIDITDAEQSLAVMEEDVNMGKCDQGENSEAFENGDILSKIMATPKILPKDCADPKNSTSSSKKKRTNSLLKSSVPSRTSKLPLHPSKRMASAQAKSDANVAKSAGNSNDKKKTIPNSLHMSINVASSAGKTNKTSLRILRDSSTPTQTPTRALKKSADQENLAPSSEKRRSNSTSKLSNRGIVPKQTTSRIGNNHAHINKKPALDPNEQRRIAQKSLHMSMNFTPHAGETNKTSPKISRESSTPLEAPTRASVYGGLKHASKVVQARDRRTTSVLNKSVSATGDGRWPSLSSCSKSSNASGTSTRCTINSAPFSFRSEERVAKRKEFFKKLEDKMISKEAEKSQMLKKSKEKAKNELNKLRQSTDVKARLNEDSCHGSQFLSNYVKKITSNWPQSPQSGRKPSPSTVQDANSIHPRRPSIKAESSKNGSMKNNRTTCSRTSLPKNRHENASPNIQLSVGKRSISYKHENGGSVHGGGCDR >KJB31026 pep chromosome:Graimondii2_0_v6:5:50302294:50306246:1 gene:B456_005G173200 transcript:KJB31026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESACLVRSFSNPADISRQVKEGNPIRALTESISFGRFMSEPLAWEKWSTFSHNRYLEEVEKFSKPGSVAQKKAFFEAHYKRRAAMRAAALLEQANVVTNDASQMGTINAASVDPLSNTDLANSDASLSADQPEKNISDAEIINTAGVDAGNLSVVRENIDITDAEQSLAVMEEDVNMGKCDQGENSEAFENGDILSKIMATPKILPKDCADPKNSTSSSKKKRTNSLLKSSVPSRTSKLPLHPSKRMASAQAKSDANVAKSAGNSNDKKKTIPNSLHMSINVASSAGKTNKTSLRILRDSSTPTQTPTRALKKSADQENLAPSSEKRRSNSTSKLSNRGIVPKQTTSRIGNNHAHINKKPALDPNEQRRIAQKSLHMSMNFTPHAGETNKTSPKISRESSTPLEAPTRASVYGGLKHASKVVQARDRRTTSVLNKSVSATGDGRWPSLSSCSKSSNASGTSTRCTINSAPFSFRSEERVAKRKEAIL >KJB30513 pep chromosome:Graimondii2_0_v6:5:40413692:40417738:1 gene:B456_005G147600 transcript:KJB30513 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases [Source:Projected from Arabidopsis thaliana (AT2G03800) TAIR;Acc:AT2G03800] MVTIVVATTSDPASINPAYALLAMPGWLPVPAPSLLQQGIKSFANKNVRFLQHDKGIVEEDDLDRRWEEATGEAVDEVIFFSKHTAVSNRPALTVHPIGVPHLREGDVPPQGGRPGWAAPPDPRIGPWLRLLKKLAQSHNLVPEFEITLEGTHHGPITIKPTMFLEIGSTDEYWKRQDAAKVIALLVWEGLGLGGGAAVGNWGREDERNKVLLGIGGGHYAPRHMDIVMKEGVWVGHLLSGYSLPMEDPSQSKENGNTDCIGGTWRQSIKVAIEATKSAFPGGDVLAHLDHKSFKGWQKNAITAYLGELSIKIGKPNDFI >KJB30515 pep chromosome:Graimondii2_0_v6:5:40413908:40416058:1 gene:B456_005G147600 transcript:KJB30515 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases [Source:Projected from Arabidopsis thaliana (AT2G03800) TAIR;Acc:AT2G03800] MVTIVVATTSDPASINPAYALLAMPGWLPVPAPSLLQQGIKSFANKNVRFLQHDKGIVEEDDLDRRWEEATGEAVDEVIFFSKHTAVSNRPALTVHPIGVPHLREGDVPPQGGRPGWAAPPDPRIGPWLRLLKKLAQSHNLVPEFEITLEGTHHGPITIKPTMFLEIGSTDEYWKRQDAAKVIALLVWEGLGLGGGAAVGNWGREDERNKVLLGIGGGHYAPRHMDIVM >KJB30517 pep chromosome:Graimondii2_0_v6:5:40413827:40417681:1 gene:B456_005G147600 transcript:KJB30517 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases [Source:Projected from Arabidopsis thaliana (AT2G03800) TAIR;Acc:AT2G03800] MVTIVVATTSDPASINPAYALLAMPGWLPVPAPSLLQQGIKSFANKNVRFLQHDKGIVEEDDLDRRWEEATGEAVDEVIFFSKHTAVSNRPALTVHPIGDVPPQGGRPGWAAPPDPRIGPWLRLLKKLAQSHNLVPEFEITLEGTHHGPITIKPTMFLEIGSTDEYWKRQDAAKVIALLVWEGLGLGGGAAVGNWGREDERNKVLLGIGGGHYAPRHMDIVMKEGVWVGHLLSGYSLPMEDPSQSKENGNTDCIGGTWRQSIKVAIEATKSAFPGGDVLAHLDHKSFKGWQKNAITAYLGELSIKIGKPNDFI >KJB30516 pep chromosome:Graimondii2_0_v6:5:40413827:40417681:1 gene:B456_005G147600 transcript:KJB30516 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases [Source:Projected from Arabidopsis thaliana (AT2G03800) TAIR;Acc:AT2G03800] MCDFFNTTKALSKRTIWTAVGRKPPARLSMRLFSSANTPLFPTALLSPFTQSAGVPHLREGDVPPQGGRPGWAAPPDPRIGPWLRLLKKLAQSHNLVPEFEITLEGTHHGPITIKPTMFLEIGSTDEYWKRQDAAKVIALLVWEGLGLGGGAAVGNWGREDERNKVLLGIGGGHYAPRHMDIVMKEGVWVGHLLSGYSLPMEDPSQSKENGNTDCIGGTWRQSIKVAIEATKSAFPGGDVLAHLDHKSFKGWQKNAITAYLGELSIKIGKPNDFI >KJB30518 pep chromosome:Graimondii2_0_v6:5:40413827:40417681:1 gene:B456_005G147600 transcript:KJB30518 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases [Source:Projected from Arabidopsis thaliana (AT2G03800) TAIR;Acc:AT2G03800] MVTIVVATTSDPASINPAYALLAMPGWLPVPAPSLLQQGIKSFANKNVRFLQHDKGIVEEDDLDRRWEEATGEAVDEVIFFSKHTAVSNRPALTVHPIGVPHLREGDVPPQGGRPGWAAPPDPRIGPWLRLLKKLAQSHNLVPEFEITLEGTHHGPITIKPTMFLEIGSTDEYWKRQDAAKVIALLVWEGLGLGGGAAVGNWGREDERNKVLLGIGGGHYAPRHMDIVMKEGVWVGHLLSGYSLPMEDPSQSKENGNTDCIGGTWRQSIKVAIEATKSAFPGGDVLAHLDHKNMSRVFGYSWNQLTECPGVACFSHKWF >KJB30514 pep chromosome:Graimondii2_0_v6:5:40413827:40415869:1 gene:B456_005G147600 transcript:KJB30514 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases [Source:Projected from Arabidopsis thaliana (AT2G03800) TAIR;Acc:AT2G03800] MVTIVVATTSDPASINPAYALLAMPGWLPVPAPSLLQQGIKSFANKNVRFLQHDKGIVEEDDLDRRWEEATGEAVDEVIFFSKHTAVSNRPALTVHPIGVPHLREGDVPPQGGRPGWAAPPDPRIGPWLRLLKKLAQSHNLVPEFEITLEGTHHGPITIKPTMFLEIGSTDEYWKRQDAAKVIALVEYTFIIFFLSINFH >KJB30742 pep chromosome:Graimondii2_0_v6:5:45366101:45369880:1 gene:B456_005G158200 transcript:KJB30742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSTPFFGTREENQNQMMQVQSSTATPTSSTAPTTAPQKRKRNHPGTSSDPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSKALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTNLSTMGSHHLFGGNHHMSLGLSHVGSQIPPLQDQNQVQPSRNLLRLGSTSGAVKFDHLISPSNPSSLQNMPSSMFFMAEAANQGFHQDHQSHHGHGLYPNKPLHGLMQLPDLQGSTNNSPTSSNFFNLGFFSNTSATSSISTSEIGGGQGPALFPSNMGDQVGSGMSSLYTTSMQHENISPHMSATALLQKAAQIGSTTSNNTTSTLLRGLGSSLSGGTKSDRPVFSPNFGSNFEGGNGSETLRSHMEDDSNLQGLMNSLAANGNSSIFDGGPSHGQDNNFGGFNGSGLTLEPQSSNANFCNVNEAKLHQNLAAGIGGTDKLTLDFLGVGGMVGNISGGFSQREQHGINISSLEPDMKSSVQPNTEHFGHAKLVKAPRQA >KJB30740 pep chromosome:Graimondii2_0_v6:5:45365976:45369921:1 gene:B456_005G158200 transcript:KJB30740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSTPFFGTREENQNQMMQVQSSTATPTSSTAPTTAPQKRKRNHPGTSYPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSKALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTNLSTMGSHHLFGGNHHMSLGLSHVGSQIPPLQDQNQVQPSRNLLRLGSTSGAVKFDHLISPSNPSSLQNMPSSMFFMAEAANQGFHQDHQSHHGHGLYPNKPLHGLMQLPDLQGSTNNSPTSSNFFNLGFFSNTSATSSISTSEIGGGQGPALFPSNMGDQVGSGMSSLYTTSMQHENISPHMSATALLQKAAQIGSTTSNNTTSTLLRGLGSSLSGGTKSDRPVFSPNFGSNFEGGNGSETLRSHMEDDSNLQGLMNSLAANGNSSIFDGGPSHGQDNNFGGFNGSGLTLEPQSSNANFCNVNEAKLHQNLAAGIGGTDKLTLDFLGVGGMVGNISGGFSQREQHGINISSLEPDMKSSVQPNTEHFGHAKLVKAPRQA >KJB30743 pep chromosome:Graimondii2_0_v6:5:45365925:45369921:1 gene:B456_005G158200 transcript:KJB30743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSTPFFGTREENQNQMMQVQSSTATPTSSTAPTTAPQKRKRNHPGTSYPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSKALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTNLSTMGSHHLFGGNHHMSLGLSHVGSQIPPLQDQNQVQPSRNLLRLGSTSGAVKFDHLISPSNPSSLQNMPSSMFFMAEAANQGFHQDHQSHHGHGLYPNKPLHGLMQLPDLQGSTNNSPTSSNFFNLGFFSNTSATSSISTSEIGGGQGPALFPSNMGDQVGSGMSSLYTTSMQHENISPHMSATALLQKAAQIGSTTSNNTTSTLLRGLGSSLSGGTKSDRPVFSPNFGSNFEGGNGSETLRSHMEDDSNLQGLMNSLAANGNSSIFDGGPSHGQDNNFGGFNGSGLTLEPQSSNANFCNVNEAKLHQNLAAGIGGTDKLTLDFLGVGGMVGNISGGFSQREQHGINISSLEPDMKSSVQPNTEHFGHAKLVKAPRQA >KJB30741 pep chromosome:Graimondii2_0_v6:5:45368365:45369687:1 gene:B456_005G158200 transcript:KJB30741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDSFITHRAFCDALAQESARHPTNLSTMGSHHLFGGNHHMSLGLSHVGSQIPPLQDQNQVQPSRNLLRLGSTSGAVKFDHLISPSNPSSLQNMPSSMFFMAEAANQGFHQDHQSHHGHGLYPNKPLHGLMQLPDLQGSTNNSPTSSNFFNLGFFSNTSATSSISTSEIGGGQGPALFPSNMGDQVGSGMSSLYTTSMQHENISPHMSATALLQKAAQIGSTTSNNTTSTLLRGLGSSLSGGTKSDRPVFSPNFGSNFEGGNGSETLRSHMEDDSNLQGLMNSLAANGNSSIFDGGPSHGQDNNFGGFNGSGLTLEPQSSNANFCNVNEAKLHQNLAAGIGGTDKLTLDFLGVGGMVGNISGGFSQREQHGINISSLEPDMKSSVQPNTEHFGHAKLVKAPRQA >KJB30744 pep chromosome:Graimondii2_0_v6:5:45366200:45369880:1 gene:B456_005G158200 transcript:KJB30744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSTPFFGTREENQNQMMQVQSSTATPTSSTAPTTAPQKRKRNHPGTSYPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSKALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTNLSTMGSHHLFGGNHHMSLGLSHVGSQIPPLQDQNQVQPSRNLLRLGSTSGAVKFDHLISPSNPSSLQNMPSSMFFMAEAANQGFHQDHQSHHGHGLYPNKPLHGLMQLPDLQGSTNNSPTSSNFFNLGFFSNTSATSSISTSEIGGGQGPALFPSNMGDQVGSGMSSLYTTSMQHENISPHMSATALLQKAAQIGSTTSNNTTSTLLRGLGSSLSGGTKSDRPVFSPNFGSNFEGGNGSETLRSHMEDDSNLQGLMNSLAANGNSSIFDGGPSHGQDNNFGGFNGSGLTLEPQSSNANFCNVNEAKLHQNLAAGIGGTDKLTLDFLGVGGMVGNISGGFSQREQHGINISSLEPDMKSSVQPNTEHFGHAKLVKAPRQA >KJB29043 pep chromosome:Graimondii2_0_v6:5:9682292:9683432:-1 gene:B456_005G081100 transcript:KJB29043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASGPARMLVCYVGHHHGRLLHCSPCLTLSNDCIFQDLIFYIPFGGKESIMNFRQNLMEAMGQNVHFVIDSWMEGDNLTASVIWHLEWKGKEIPHTTGCNFFECQQIDGKLIISKIIGVEELPVKPGDWVLKLLKATIVVFDKFPFPAERIVAYKVGGNT >KJB30739 pep chromosome:Graimondii2_0_v6:5:45363629:45364556:-1 gene:B456_005G158100 transcript:KJB30739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNDKKLAKELYKKYSAIHRRKIRAWQMICVLSQFVDDDIVGEVTQCLQIALYRKNLPSVRQYLETFAINIYMEFSSLVMHRLKFI >KJB30835 pep chromosome:Graimondii2_0_v6:5:47434031:47436131:1 gene:B456_005G163100 transcript:KJB30835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIN-LIKES 2 [Source:Projected from Arabidopsis thaliana (AT1G71090) UniProtKB/Swiss-Prot;Acc:Q9C999] MNRYLAEFYKNDMKSNSEDLLSAILPLLKLLSLTVIGLFLAHPKTQIIPRATFKLLSKLVFALFLPCLIFTELGESITIDSIARWWFIPVNVLISTFVGCLLGLLVVIICRPPPEYKRFTIVMTAFGNTGNLCLAIVGSVCHTSNSPFGPHCHSRGVAYVSFAQWVAVILVYTLVYHMMEPPLQFYEVLEEGTEIEEQRPVNDISRPLLIEAEWPGIEEKETEHSKTPFIARIFNSISSRSTSTFPDIDINGDTSGSSPMSIRCLAEPRVVRKIRIVAEQTPIQHILQPPTIASLLAIIVGTVPQLKSYVFGYDAPLSFITDSLQIVAGAMVPSVMLILGGMLAEGPNDSKLGLRTTIGIIVARLLVLPLLGIGIVTLASKLDLLVADDAMYRFVLLLQYTTPSAILLGAIASLRSYAVREASALLFWQHLFALFSLSVYVVVYFKLLPAM >KJB30836 pep chromosome:Graimondii2_0_v6:5:47434216:47436131:1 gene:B456_005G163100 transcript:KJB30836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIN-LIKES 2 [Source:Projected from Arabidopsis thaliana (AT1G71090) UniProtKB/Swiss-Prot;Acc:Q9C999] MNRYLAEFYKNDMKSNSEDLLSAILPLLKLLSLTVIGLFLAHPKTQIIPRATFKLLSKLVFALFLPCLIFTELGESITIDSIARWWFIPVNVLISTFVGCLLGLLVVIICRPPPEYKRFTIVMTAFGNTGNLCLAIVGSVCHTSNSPFGPHCHSRGVAYVSFAQWVAVILVYTLVYHMMEPPLQFYEVLEEGTEIEEQRPVNDISRPLLIEAEWPGIEEKETEHSKTPFIARIFNSISSRSTSTFPDIDINGDTSGSSPMSIRCLAEPRVVRKIRIVAEQTPIQHILQPPTIASLLAIIVGTVPQLKSYVFGYDAPLSFITDSLQIVAGAMVPSVMLILGGMLAEGPNDSKLGLRTTIGIIVARLLVLPLLGIGIVTLASKLDLLVADDAMYRFVLLLQYTTPSAILLGAIASLRSYAVREASALLFWQHLFALFSLSVYVVVYFKLLPAM >KJB29297 pep chromosome:Graimondii2_0_v6:5:12697993:12714075:1 gene:B456_005G092600 transcript:KJB29297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRITKWKIEKSKVKVVFRLQFHATHIPQSGWDKLYISFVPADSGKTTAKTTKANVRNGTCKWADPIYETTRLLQDIKTKQFDENLYKLVVAMGSSRSSLLGEATINLSDHADASKPSVVSLPLLGCDSGATLHVTVQLLTSKTGFREFEQQRELSGRGLQVGPDQNGPDQSYSGKVSVSEEIVNNRIDKVNARVRFIEKSKEQPLLEDVALNDDCGDSNVGFHGSSNTSDNLYAEEHEMSSAHENDGQKSTVYVAGLGHIPQQERGDSSVHQLLALGTNGWVHGWSSDYSADNDMAIAYEENNRLRGCLEAAESSIQELKMELSFLQNHANLIGDETEKFAEQLVAEISSGERLAKEVSALRSECSKLKGDLEQMTSSKLYPPLNSKEPIKKDQNLLFQDLEVTWSKGLLAMEAKIRELQNETCLNYHQRDHRSVESDRCKMKAPGAMSSTNGDAFIPETSFDVELYQPELGMVPCVSEPGHMPHEPDSLGATNAMKGKIFELLIKLDESKAERESLVKKMEQMECYYEALVQELEENQRQMLEELQSLRSEHSFCLYRVQSTKSEMESMLLDMNEEILRFSEEKQNLESLSKELERRAIIAEAALKRARLNYSIAVGQLQKDLMLLSSQVMSVFERNENFIRKAFVGSSRSNSLEHLEMMQSHGLDSEEYQSTKPLHCQNQDLGVNKQQLGGDILLEDLKRSLHLQESLYQKVEEEVYEMHCQNVYLDLFSKTLQETLLEASADIKPIKERIDELARQLELSVEYKELLMQKLETAMNDVHSLNEYKATCIAKYNDIVLQKQTLEANVENVTHENHLLSEKITDLESLLMEYKCYKSKYDACVLEKTKLDNLLEETRKHGNLQNNNSSLQEELRMIKTEFDELVVVKKNLQNTVDFLRNRLLKLLSSYGKFFDDLFLSSCLVDQDIESKGLTSVMMRLEEVQDIMHEKFLHLLEEKKDLKGERDKAQMSLSVVESDMVLMKRKFDHDIEFMVEKIDLSNVVVQKFQSEIEVVAEKLKVSSEVEETYAQQQRDLLSDLDHFEAELQELTSKNWEIAEEILVLKSVSEELGSSKLKVAELMEENKVLVQCLQDKYEESSELALEINGLKESLHSLHDELQAERSSKEKLESMVTDLTSQMNEKFHQLFRFHQQKSELVHLRQMLSDLEYEKSRVCSLLQQCEECLNNAREESSTITFLESQLSIAADVSLIFLRTQYETWTTDLVCQLSIYKKQLVDLQKKHLDVEGALNGCLAREAHYIEENGRLSVILDTLRSDLEAAMGENGLLLTKSSSVLAQLEDYKTRLEKTEFDYCEDKNQLALEVKRLKQLLSSSQEEIVNLMITKEELELNVLVLNAKLDEQSTQINLLEGHKDEVLLLQNQCNELCQRLSKQILKTEEFKNFSIHLKELKDKANADSTQVREKRESEAPPTAMQESLRIAFIKEKYETRLQELKHQLAVSKKHREEMLWKLQDAIDDIDNRKKSEASYIKINAELGIKILELEAELQSLISDKREKMRAYDLMKAELDCSMISLECCKEEKQKLEASLLERNEEKSKILIELGILKELLETSTSTMNVQMERNDKLKDGCVSDNLVIDNAPARDIDHKYLDQYTSANSKEAGRPCFVLINEGDCASVLTNLQPEQDTLVSSGVNGVESLALIAYVLDTPRPEENENESIVATRESQKWDNADYMCMCHILNGLSDGLFDTYQNEVTAKELWDKLETRYMTEDVISKKFLVSRFNNYQMVDGHSVMKQFRDIEKMLNQFKKYDMKMDEMIVVYFIIDKLPPFWKDFKRSLKHKKEKISFEALANHLRIKEEYRKQDQN >KJB28815 pep chromosome:Graimondii2_0_v6:5:7707494:7715175:-1 gene:B456_005G070900 transcript:KJB28815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTQIAQLLDQTLSPDGHVVRTSTEALDRLSSLPQFPFALLSIAAGGQNQGQRVAASTYLKNFARRNIEVSPGSSSRVSQEFKSQLMRTLLQAEASVLKVLVEAFRIIVVAEFVKQNSWPELVPDLRSAIQSSNVISNGASSELSTINTLTVLHALVRPFQYFLNPKVAKEPVPPQLELIAKEILAPLLTVFHHLVEKARANHGRKDLETEKILLLICKCLYFAVRSYMPSAVAPLLSSFCHDLIFILGSLSLDHGDTSEDEYLLRLKTGKRALLIFCSLTTRHRKYCDKLMQDIINCVLKIVKCSSNICLDFLSERIVSLAFDAISHVLETGPGWRLVSPHFSFLLESAILPALMLNEKDMSEWEDDPEEYIRKNLPSELEEISGWREDLFTARKSAINLLGVVSMSKGPPTVSSNNGSSASSKRKKGEKNKKNNQRSIGELLVLPYLSKFPIPSDATASDPKILKDYFGFLMAYGGLQDFLKEQKPAFTTTLVNTRVLPLYSLSFCPPYLVAAASWVLGELATCLPEEMSADIYSSLLKALAMPDKGDTSCYPVRVAAAGAIAGLLENEYLPPEWLPLLQVVISRIGNEDEENIILFQLLNSIVEAGNENTAIHIPHIISSLVDVISKSIHPSVEPWPHVVVRGFEALAVMAQSWENFMLEEVEQNDSSEKKASGQGAIARALSALLQQAWLTVPLEAEASPPASCIDHSSTLLRSIILSVTGSSVIVELKLSELLLVWADMISDWHAWEESEDMAVFDCIKEVVSLHSKYGLESFIVRQIPPAPAPPVPQRSIIEAISVFVSEAILQYPSATWRAFSCVHILLHVPKYSSETEGVRLSLAAVFCRAAFSHLKGVRSKPPSLWKPLLLAIASCYLCHPDTVEAILEKEGDGGFATWASAMAFACTHSSEVGLSAKSEIKLMVMTLLKMTERLLGVGNPSGGLLRDCFTSLIKTSIQLKELDEEMEEEENDEESEDYDNDDEDEDDDEIEIDDEESESEHEETEEQFLERYAQAASALENGTVEEGDAEDQEVEIELGGLEEADEQKMVLSLIERYHHVLIKGQALSPELVSSFINAFPDSTSFFHQYM >KJB28817 pep chromosome:Graimondii2_0_v6:5:7708670:7714983:-1 gene:B456_005G070900 transcript:KJB28817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTQIAQLLDQTLSPDGHVVRTSTEALDRLSSLPQFPFALLSIAAGGQNQGQRVAASTYLKNFARRNIEVSPGSSSRVSQEFKSQLMRTLLQAEASVLKVLVEAFRIIVVAEFVKQNSWPELVPDLRSAIQSSNVISNGASSELSTINTLTVLHALVRPFQYFLNPKVAKEPVPPQLELIAKEILAPLLTVFHHLVEKARANHGRKDLETEKILLLICKCLYFAVRSYMPSAVAPLLSSFCHDLIFILGSLSLDHGDTSEDEYLLRLKTGKRALLIFCSLTTRHRKYCDKLMQDIINCVLKIVKCSSNICKLDFLSERIVSLAFDAISHVLETGPGWRLVSPHFSFLLESAILPALMLNEKDMSEWEDDPEEYIRKNLPSELEEISGWREDLFTARKSAINLLGVVSMSKGPPTVSSNNGSSASSKRKKGEKNKKNNQRSIGELLVLPYLSKFPIPSDATASDPKILKDYFGFLMAYGGLQDFLKEQKPAFTTTLVNTRVLPLYSLSFCPPYLVAAASWVLGELATCLPEEMSADIYSSLLKALAMPDKGDTSCYPVRVAAAGAIAGLLENEYLPPEWLPLLQVVISRIGNEDEENIILFQLLNSIVEAGNENTAIHIPHIISSLVDVISKSIHPSVEPWPHVVVRGFEALAVMAQSWENFMLEEVEQNDSSEKKASGQGAIARALSALLQQAWLTVPLEAEASPPASCIDHSSTLLRSIILSVTGSSVIVELKLSELLLVWADMISDWHAWEESEDMAVFDCIKEVVSLHSKYGLESFIVRQIPPAPAPPVPQRSIIEAISVFVSEAILQYPSATWRAFSCVHILLHVPKYSSETEGVRLSLAAVFCRAAFSHLKGVRSKPPSLWKPLLLAIASCYLCHPDTVEAILEKEGDGGFATWASAMAFACTHSSEVGLSAKSEIKLMVMTLLKMTERLLGVGNPSGGLLRDCFTSLIKTSIQLKELDEEMEEEENDEESEDYDNDDEDEDDDEIEIDDEVQLLGYMDFI >KJB28816 pep chromosome:Graimondii2_0_v6:5:7707494:7715209:-1 gene:B456_005G070900 transcript:KJB28816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTQIAQLLDQTLSPDGHVVRTSTEALDRLSSLPQFPFALLSIAAGGQNQGQRVAASTYLKNFARRNIEVSPGSSSRVSQEFKSQLMRTLLQAEASVLKVLVEAFRIIVVAEFVKQNSWPELVPDLRSAIQSSNVISNGASSELSTINTLTVLHALVRPFQYFLNPKVAKEPVPPQLELIAKEILAPLLTVFHHLVEKARANHGRKDLETEKILLLICKCLYFAVRSYMPSAVAPLLSSFCHDLIFILGSLSLDHGDTSEDEYLLRLKTGKRALLIFCSLTTRHRKYCDKLMQDIINCVLKIVKCSSNICKLDFLSERIVSLAFDAISHVLETGPGWRLVSPHFSFLLESAILPALMLNEKDMSEWEDDPEEYIRKNLPSELEEISGWREDLFTARKSAINLLGVVSMSKGPPTVSSNNGSSASSKRKKGEKNKKNNQRSIGELLVLPYLSKFPIPSDATASDPKILKDYFGFLMAYGGLQDFLKEQKPAFTTTLVNTRVLPLYSLSFCPPYLVAAASWVLGELATCLPEEMSADIYSSLLKALAMPDKGDTSCYPVRVAAAGAIAGLLENEYLPPEWLPLLQVVISRIGNEDEENIILFQLLNSIVEAGNENTAIHIPHIISSLVDVISKSIHPSVEPWPHVVVRGFEALAVMAQSWENFMLEEVEQNDSSEKKASGQGAIARALSALLQQAWLTVPLEAEASPPASCIDHSSTLLRSIILSVTGSSVIVELKLSELLLVWADMISDWHAWEESEDMAVFDCIKEVVSLHSKYGLESFIVRQIPPAPAPPVPQRSIIEAISVFVSEAILQYPSATWRAFSCVHILLHVPKYSSETEGVRLSLAAVFCRAAFSHLKGVRSKPPSLWKPLLLAIASCYLCHPDTVEAILEKEGDGGFATWASAMAFACTHSSEVGLSAKSEIKLMVMTLLKMTERLLGVGNPSGGLLRDCFTSLIKTSIQLKELDEEMEEEENDEESEDYDNDDEDEDDDEIEIDDEESESEHEETEEQFLERYAQAASALENGTVEEGDAEDQEVEIELGGLEEADEQKMVLSLIERYHHVLIKGQALSPELVSSFINAFPDSTSFFHQYM >KJB28818 pep chromosome:Graimondii2_0_v6:5:7708094:7715144:-1 gene:B456_005G070900 transcript:KJB28818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTQIAQLLDQTLSPDGHVVRTSTEALDRLSSLPQFPFALLSIAAGGQNQGQRVAASTYLKNFARRNIEVSPGSSSRVSQEFKSQLMRTLLQAEASVLKVLVEAFRIIVVAEFVKQNSWPELVPDLRSAIQSSNVISNGASSELSTINTLTVLHALVRPFQYFLNPKVAKEPVPPQLELIAKEILAPLLTVFHHLVEKARANHGRKDLETEKILLLICKCLYFAVRSYMPSAVAPLLSSFCHDLIFILGSLSLDHGDTSEDEYLLRLKTGKRALLIFCSLTTRHRKYCDKLMQDIINCVLKIVKCSSNICKLDFLSERIVSLAFDAISHVLETGPGWRLVSPHFSFLLESAILPALMLNEKDMSEWEDDPEEYIRKNLPSELEEISGWREDLFTARKSAINLLGVVSMSKGPPTVSSNNGSSASSKRKKGEKNKKNNQRSIGELLVLPYLSKFPIPSDATASDPKILKDYFGFLMAYGGLQDFLKEQKPAFTTTLVNTRVLPLYSLSFCPPYLVAAASWVLGELATCLPEEMSADIYSSLLKALAMPDKGDTSCYPVRVAAAGAIAGLLENEYLPPEWLPLLQVVISRIGNEDEENIILFQLLNSIVEAGNENTAIHIPHIISSLVDVISKSIHPSVEPWPHVVVRGFEALAVMAQSWENFMLEEVEQNDSSEKKASGQGAIARALSALLQQAWLTVPLEAEASPPASCIDHSSTLLRSIILSVTGSSVIVELKLSELLLVWADMISDWHAWEESEDMAVFDCIKEVVSLHSKYGLESFIVRQIPPAPAPPVPQRSIIEAISVFVSEAILQYPSATWRAFSCVHILLHVPKYSSETEGVRLSLAAVFCRAAFSHLKGVRSKPPSLWKPLLLAIASCYLCHPDTVEAILEKEGDGGFATWASAMAFACTHSSEVGLSAKSEIKLMVMTLLKMTERLLGVGNPSGGLLRDCFTSLIKTSIQLKELDEEMEEEENDEESEDYDNDDEDEDDDEIEIDDEESESEHEETEEQFLERYAQAASALENGTVEEGDAEDQEVEIELGKPLG >KJB32193 pep chromosome:Graimondii2_0_v6:5:63142912:63143457:1 gene:B456_005G253800 transcript:KJB32193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFKMATTGMWVADECKNSFMEMKWKKVHRYIVFKIDEKSKLVTVDKVGGAGESYDDFTASLPTDDCRYAVFDFDFVTVDNCRKSKIFFIAWFVAFSTLRC >KJB32194 pep chromosome:Graimondii2_0_v6:5:63142912:63144491:1 gene:B456_005G253800 transcript:KJB32194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFKMATTGMWVADECKNSFMEMKWKKVHRYIVFKIDEKSKLVTVDKVGGAGESYDDFTASLPTDDCRYAVFDFDFVTVDNCRKSKIFFIACIKDKGKNVVCNIKRWAKESA >KJB32192 pep chromosome:Graimondii2_0_v6:5:63142797:63144665:1 gene:B456_005G253800 transcript:KJB32192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFKMATTGMWVADECKNSFMEMKWKKVHRYIVFKIDEKSKLVTVDKVGGAGESYDDFTASLPTDDCRYAVFDFDFVTVDNCRKSKIFFIAWSPTASRIRAKMLYATSKDGLRRVLDGIHYEVQATDPTEMGMDVIKHKAY >KJB32233 pep chromosome:Graimondii2_0_v6:5:61352925:61356649:-1 gene:B456_005G231000 transcript:KJB32233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVRFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADNDNLSVSRRELHDLLSKPSLSGIPLLVLGNKIDKSEALSKENLTEQMGLKSITDREVCCYMISCKNSTNIDTVIDWLVKHSKSKN >KJB32234 pep chromosome:Graimondii2_0_v6:5:61353265:61354751:-1 gene:B456_005G231000 transcript:KJB32234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADNDNLSVSRRELHDLLSKPSLSGIPLLVLGNKIDKSEALSKENLTEQMGLKSITDREVCCYMISCKNSTNIDTVIDWLVKHSKSKN >KJB32235 pep chromosome:Graimondii2_0_v6:5:61352925:61356749:-1 gene:B456_005G231000 transcript:KJB32235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADNDNLSVSRRELHDLLSKPSLSGIPLLVLGNKIDKSEALSKENLTEQMGLKSITDREVCCYMISCKNSTNIDTVIDWLVKHSKSKN >KJB32722 pep chromosome:Graimondii2_0_v6:5:63379382:63381547:1 gene:B456_005G257500 transcript:KJB32722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPHFLCMLLFLVLSSATACDRCVHQSKASYFSDAAASSGACGYGSLALTISGGHLAAGVSSLHKQGAGCGACFQIRCKDSKLCSSKGTKVTLTDLNHNNQTDFVLTSRAFMAMANKGKGQDVLKLGIVDVEYKRMPCEYKNQNLAIRVEESSQKPYYLAIKLLYQGGQTEIVAIDVAQVGSSNWIFMTRNYGAVWDTSRVPNGALQFRFVVTSGYDGKWVWAKNVLPADWKIGVIYDSGVQITDIAQEGCSPCDDGNW >KJB32723 pep chromosome:Graimondii2_0_v6:5:63379600:63381319:1 gene:B456_005G257500 transcript:KJB32723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMDFDKDPDHIFVKKTVGNICFMALIFVAGACGYGSLALTISGGHLAAGVSSLHKQGAGCGACFQIRCKDSKLCSSKGTKVTLTDLNHNNQTDFVLTSRAFMAMANKGKGQDVLKLGIVDVEYKRMPCEYKNQNLAIRVEESSQKPYYLAIKLLYQGGQTEIVAIDVAQVGSSNWIFMTRNYGAVWDTSRVPNGALQFRFVVTSGYDGKWVWAKNVLPADWKIGVIYDSGVQITDIAQEGCSPCDDGNW >KJB32046 pep chromosome:Graimondii2_0_v6:5:60318751:60325682:1 gene:B456_005G220300 transcript:KJB32046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGTSLGATRGLLLRGGNILEKFSMVNVIIFDKTGTLTIGRPVVTKVVTPSRMDHSDSRQHFDGSWSEDDVLKLAAAVESNTIHPVGKAIVEAAQAVKSPNIKVVDGTFVEEPGSGAVAVIDDKTVSVGTLEWVQRHGVGDSLLLETDEELRNKSVVYVGVNNKLAGLIYFEDQIREDARHVVDSLHRQGISVYMLSGDKRSTAEYVASIVGIPKDKVLSQVKPDEKRKFVSELQENQNVVAMVGDGINDAAALASAHIGVAMGGGVGAASEVSSIVLMGNRLSQLLDALALSQLTMKTVKQNLWWAFAYNIVGIPIAAGTLLPLTGTMLTPSIAGALMGLSSIGVVTNSLLLRFRFSLQQQQAYRSSLQPPPHAAMDINNDLAKDHSRAKLKKPDSIT >KJB32045 pep chromosome:Graimondii2_0_v6:5:60317812:60325682:1 gene:B456_005G220300 transcript:KJB32045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIVRLVEEAQSREAPVQRLADKVAGHFTYGVMALSAATFMFWNLFGARIIPASIYQGSAVSLALQLSCSVLVVACPCALGLATPTAMLVGTSLGATRGLLLRGGNILEKFSMVNVIIFDKTGTLTIGRPVVTKVVTPSRMDHSDSRQHFDGSWSEDDVLKLAAAVESNTIHPVGKAIVEAAQAVKSPNIKVVDGTFVEEPGSGAVAVIDDKTVSVGTLEWVQRHGVGDSLLLETDEELRNKSVVYVGVNNKLAGLIYFEDQIREDARHVVDSLHRQGISVYMLSGDKRSTAEYVASIVGIPKDKVLSQVKPDEKRKFVSELQENQNVVAMVGDGINDAAALASAHIGVAMGGGVGAASEVSSIVLMGNRLSQLLDALALSQLTMKTVKQNLWWAFAYNIVGIPIAAGTLLPLTGTMLTPSIAGALMGLSSIGVVTNSLLLRFRFSLQQQQAYRSSLQPPPHAAMDINNDLAKDHSRAKLKKPDSIT >KJB32043 pep chromosome:Graimondii2_0_v6:5:60318072:60325440:1 gene:B456_005G220300 transcript:KJB32043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLLSSLPSQARLMVDDSIVEVPCSSLSVGDQIVVLPGDRVPADGIVRAGRSTIDESSFTGEPMPVTKEPGSQVAAGSINLNGTLTIEVRRPGGETAMGDIVRLVEEAQSREAPVQRLADKVAGHFTYGVMALSAATFMFWNLFGARIIPASIYQGSAVSLALQLSCSVLVVACPCALGLATPTAMLVGTSLGATRGLLLRGGNILEKFSMVNVIIFDKTGTLTIGRPVVTKVVTPSRMDHSDSRQHFDGSWSEDDVLKLAAAVESNTIHPVGKAIVEAAQAVKSPNIKVVDGTFVEEPGSGAVAVIDDKTVSVGTLEWVQRHGVGDSLLLETDEELRNKSVVYVGVNNKLAGLIYFEDQIREDARHVVDSLHRQGISVYMLSGDKRSTAEYVASIVGIPKDKVLSQVKPDEKRKFVSELQENQNVVAMVGDGINDAAALASAHIGVAMGGGVGAASEVSSIVLMGNRLSQLLDALALSQLTMKTVKQNLWWAFAYNIVSWNSNCRWNITAPYGDNANAINCRSPYGSEFNWGGNKFTALKIQIFLTTAASLQIITATATPCCHGYQQ >KJB32044 pep chromosome:Graimondii2_0_v6:5:60317812:60325682:1 gene:B456_005G220300 transcript:KJB32044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLLSSLPSQARLMVDDSIVEVPCSSLSVGDQIVVLPGDRVPADGIVRAGRSTIDESSFTGEPMPVTKEPGSQVAAGSINLNGTLTIEVRRPGGETAMGDIVRLVEEAQSREAPVQRLADKVAGHFTYGVMALSAATFMFWNLFGARIIPASIYQGSAVSLALQLSCSVLVVACPCALGLATPTAMLVGTSLGATRGLLLRGGNILEKFSMVNVIIFDKTGTLTIGRPVVTKVVTPSRMDHSDSRQHFDGSWSEDDVLKLAAAVESNTIHPVGKAIVEAAQAVKSPNIKVVDGTFVEEPGSGAVAVIDDKTVSVGTLEWVQRHGVGDSLLLETDEELRNKSVVYVGVNNKLAGLIYFEDQIREDARHVVDSLHRQGISVYMLSGDKRSTAEYVASIVGIPKDKVLSQVKPDEKRKFVSELQENQNVVAMVGDGINDAAALASAHIGVAMGGGVGAASEVSSIVLMGNRLSQLLDALALSQLTMKTVKQNLWWAFAYNIVGIPIAAGTLLPLTGTMLTPSIAGALMGLSSIGVVTNSLLLRFRFSLQQQQAYRSSLQPPPHAAMDINNDLAKDHSRAKLKKPDSIT >KJB32511 pep chromosome:Graimondii2_0_v6:5:62441761:62442796:1 gene:B456_005G244000 transcript:KJB32511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSMSSQGSSSWTAKQNKDFEKALAVYDKDTPDRWYNVAKAVGGKTAEEVKRHYELLVKDVKQIESGKVPFPNYRTTGGSNTRG >KJB27847 pep chromosome:Graimondii2_0_v6:5:938307:941200:-1 gene:B456_005G012900 transcript:KJB27847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGQVSFKLEDHPKLPKGKRIAVVVLDGWGEYKPDQYNCIHVAQTPTMDSLKQGAPDRWRLIRAHGNAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYDGEGFKYISESFEKGTLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKRIRVHVLTDGRDVLDGSSVGFVETLENDLAKLREKGVDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPHKFKNAVEAVKKLRENANDQYLPPFVIVDDNNKAVGPIVDGDAVVTINFRADRMVMLAKALEYQDFNKFDRVRVPKIRYAGMLQYDGELKLPSRYLVSPPEIDRTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFNPQMEEYVEIPSDVGITFNVQPKMKALEIGEKARDAILSGKFDQVIINGVKFKN >KJB27846 pep chromosome:Graimondii2_0_v6:5:937037:941298:-1 gene:B456_005G012900 transcript:KJB27846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGQVSFKLEDHPKLPKGKRIAVVVLDGWGEYKPDQYNCIHVAQTPTMDSLKQGAPDRWRLIRAHGNAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYDGEGFKYISESFEKGTLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKRIRVHVLTDGRDVLDGSSVGFVETLENDLAKLREKGVDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPHKFKNAVEAVKKLRENANDQYLPPFVIVDDNNKAVGPIVDGDAVVTINFRADRMVMLAKALEYQDFNKFDRVRVPKIRYAGMLQYDGELKLPSRYLVSPPEIDRTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFNPQMEEYVEIPSDVGITFNVQPKMKALEIGEKARDAILSGKFDQVRVNIPNGDMVGHTGDIEATVVACKAADEAVEMILDAIEQVGGIYIVTADHGNAEDMVKRNKSGEPLLDKNGDLQILTSHTCQPVPIAIGGPGLAPGVRFRSDVPNGGLANVAATVMNLHGFVAPNDYETTLIEVADN >KJB28314 pep chromosome:Graimondii2_0_v6:5:3984668:3985874:1 gene:B456_005G041800 transcript:KJB28314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIHGVSFIYNISPYTKKFVQHTHFTPEMNVVSKSQKTKLLDSFKRKMPTLSIFPFGGCFDGCRDHTQPSGLGTRVWNHSDRAVELQVRVGSILKKVHTLKPGCSKRLKCKSIYKAYMPGLSDGNGGGGMKSLLYYYDQTCHPYIWVNDTAADSSRMVKQQYISLEDLRDCSEIRIFRDHQRGCISVRKKPRPGFC >KJB31671 pep chromosome:Graimondii2_0_v6:5:57674133:57679132:-1 gene:B456_005G200800 transcript:KJB31671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMSSKSAAVQDSRENQKKRLTRKGSLDKLVQRANSSGREEVVRSKDKKGGGDVKVLLVNKKSNGSSRFSYNDQVENKRILDKFEVVVKNEVEKCGVTIAGHHHPGSERVLNSIEGELVAAGWPSWLVAVAGEAINGWIPRRASTFEKLNKIGQGTYSSVYKARDLIHNKLVALKRVQFDNHDPESAKFMAREIILLRRLDHPNVMKLEGLITSSTGCSLYLVFEYMEHDLVGLASLPRNKFSEPQIKCYMQQLLSGLDHCHSHGVLHRDIKGSNLLIDSNGILKIADFGLACHFDPHDSVPMTNRVVTLWYRPPELLLGASHYGVAVDLWSAGCILGELYSGKPILPGKTEVEQLHKIFKLCGSPTDEYWRKAKLPHSTVFKPLHPYRRCVAETFKDFPSPTVTLMETLLSIDPVSRRTAAFALKSEFFTTQPLACDPSSLPRYPPSKEIDAKLRDEEARRQRAVESRGSRVDMERRGQKEPLAVPASKSNTEFATSTQRRHPHPNLKSKSQMFNTGILMEPPPPKQTPAAKEESRDFLEHNRKKISYSGPLVGGSLFRKSGKEHDDLPMVSSKANLSKLSGLVATRTLASDDHRQKPGPLTLQAVNHGAKPRRSFNDFESARRHDVKQHMPKTAASPVTGGGGACSTETSPHGGGPRGNKIYVSGPLLAPSDNVNQMLKEHDRKIQEFARRRARLHRTKL >KJB28262 pep chromosome:Graimondii2_0_v6:5:3667797:3670134:1 gene:B456_005G038600 transcript:KJB28262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQHFSHHHPLVFIQSHSVASKAALCLVCEKPVEGWSYGCNQCEFYLHKGCAELDLAPKIQNHFHPKHPLTLQPKSRCSWIGKCNLCGKKFGGFAYRCNDCNFHLDINCALLQSSIAANFPNSLHPHPLHVIQNHNKEVEPDCLGCRKPISGPFYHCSDCTYPTVFNLHKECAELPLEINHPYDRKHPLTLLPQPPTHPQKCSCSLCRIQWKGFVYSCSLCNFDLSLDDFLFSPPTITVASHEHPWMLVSRKMWFVCDFCGTDGDHSPFVCATCHLLVHKNCISLPRHIMITRHRHTISLSYSLRQNQVEDWMCKICYEEVDIRYGNYRCPASRCRYISHVRCATDKAIWDGTIMPEGYDERSEEVVDEPWNLITDVVEQIRIGELMVASEIKHSYHDHNLRLTFSGKTKDDDCQCDGCTRPISTPFYRCEQCKFFLHKDCAELPKEMPHPSHMHLLTLVNLHGKLGFSCCCACGRPCQGFSYICYKGYCGYQIDIQCMLFSDTLKHPSHEHSLFLFHNKEGTSCSACFRKLYYSFAYRCMKRCDFSLDVGCATLPLTAWYKYDRHALTLTFSDDSEPSQLFCDICEKKRKPNRWFYYCANCDNSLHLNCAIGDLPYMKLGNKIEGIRHRHPFNVVKNIWNCPPCKGCGEVCNGQALECKESECNFTVHWNCLLDLRE >KJB31700 pep chromosome:Graimondii2_0_v6:5:57845172:57847334:-1 gene:B456_005G201900 transcript:KJB31700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSASNRVLTFTLQFSSSLKFSPKTHIDYRPGSLHSSIMSSASRSSPREALLDDSGCGGPITLEEWQRWGCVSPVPAMVNAVIQDLKLLENNIDAHMVFGGNGGKLQGDFKIQEDKKHRAKYETLADSDKKFQFYSARQIACRLLGSRGYLCQKCWLPMEDCMCSKVKPSSLWHGIKFWVYMHPKDFLRQNNTGKLLWQVFGVQAATLCLYGISEDEEIMWNAFKDAGKAKVWCLYPNHNNAPKTVQDAFSHQSSADMECAYSLVLSSYMINHSLYNFLALHFT >KJB31699 pep chromosome:Graimondii2_0_v6:5:57845803:57847295:-1 gene:B456_005G201900 transcript:KJB31699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSASNRVLTFTLQFSSSLKFSPKTHIDYRPGSLHSSIMSSASRSSPREALLDDSGCGGPITLEEWQRWGCVSPVPAMVNAVIQDLKLLENNIDAHMVFGGNGGKLQGDFKIQEDKKHRAKYETLADSDKKFQFYSARQIACRLLGSRGYLCQKCWLPMEDCMCSKVKPSSLWHGIKFWVYMHPKDFLRQNNTGKLLWQVFGVQAATLCLYGISEDEEIMWNAFKDAGFFQPSSKKREILQY >KJB31697 pep chromosome:Graimondii2_0_v6:5:57843278:57847363:-1 gene:B456_005G201900 transcript:KJB31697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSASNRVLTFTLQFSSSLKFSPKTHIDYRPGSLHSSIMSSASRSSPREALLDDSGCGGPITLEEWQRWGCVSPVPAMVNAVIQDLKLLENNIDAHMVFGGNGGKLQGDFKIQEDKKHRAKYETLADSDKKFQFYSARQIACRLLGSRGYLCQKCWLPMEDCMCSKVKPSSLWHGIKFWVYMHPKDFLRQNNTGKLLWQVFGVQAATLCLYGISEDEEIMWNAFKDAGKAKVWCLYPNHNNAPKTVQDAFSHQSSADMECAYSLTNKDRPLNFVLIDGTWSNSAAMFRRVKEQAKLAWGEEDIPCISLASGASAMHKLRPQPSWDRTCTAAAAISVLAELQLLPECSTHGLDKQAEAVEDALVVLLEVLTTRRLRMGRSITRKVRHSRDIC >KJB31698 pep chromosome:Graimondii2_0_v6:5:57843280:57847334:-1 gene:B456_005G201900 transcript:KJB31698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSASNRVLTFTLQFSSSLKFSPKTHIDYRPGSLHSSIMSSASRSSPREALLDDSGCGGPITLEEWQRWGCVSPVPAMVNAVIQDLKLLENNIDAHMVFGGNGGKLQGDFKIQEDKKHRAKYETLADSDKKFQFYSARQIACRLLGSRGYLCQKCWLPMEDCMCSKVKPSSLWHGIKFWVYMHPKDFLRQNNTGKLLWQVFGVQAATLCLYGISEDEEIMWNAFKDAGKAKVWCLYPNHNNAPKTVQDAFSHQSSADMECAYSLTNKDRPLNFVLIDGTWSNSAAMFRRVKVI >KJB29057 pep chromosome:Graimondii2_0_v6:5:10002796:10005817:-1 gene:B456_005G082400 transcript:KJB29057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIRCKAAVAWESGKPLSIEEVEVAPPQKDEVRIKILFTSLCHTDVYFWDAKGQNPLFPRILGHEAGGIVESVGEGVTDLKPGDHVLPIFTGECKECPHCLSEESNMCDLLRINTDRGEMINDGKSRFSINGKPIYHFLGTSTFSEYTVVHVGQVAKINPEAPLDKVCVLSCGMSTGFGATVNVAKPKKGQSVAIFGLGAVGLAAAEGARVSGASRIIGVDLNPSRFELAKNFGVTEFVNPKDHNKPVQEVIAEMTGGGVDRSVECTGSIQAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPVNLLNERTLKGTFFGNYKPRTDIPAVVERYMNKELELDKFITHSVPFSEINKAFEYMLAGEGLRCMIRMDA >KJB29059 pep chromosome:Graimondii2_0_v6:5:10002827:10005711:-1 gene:B456_005G082400 transcript:KJB29059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIRCKAAVAWESGKPLSIEEVEVAPPQKDEVRIKILFTSLCHTDVYFWDAKGQNPLFPRILGHEAGGIVESVGEGVTDLKPGDHVLPIFTGECKECPHCLSEESNMCDLLRINTDRGEMINDGKSRFSINGKPIYHFLGTSTFSEYTVVHVGQVAKINPEAPLDKVCVLSCGMSTGFGATVNVAKPKKGQSVAIFGLGAVGLAAAEGARVSGASRIIGVDLNPSRFELAKNFGVTEFVNPKDHNKPVQEVIAEMTGGGVDRSVECTGSIQAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPVNLLNERTLKGTFFGNYKPRTDIPAVVERYMNKAFEYMLAGEGLRCMIRMDA >KJB29058 pep chromosome:Graimondii2_0_v6:5:10003292:10005590:-1 gene:B456_005G082400 transcript:KJB29058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIRCKAAVAWESGKPLSIEEVEVAPPQKDEVRIKILFTSLCHTDVYFWDAKGQNPLFPRILGHEAGGIVESVGEGVTDLKPGDHVLPIFTGECKECPHCLSEESNMCDLLRINTDRGEMINDGKSRFSINGKPIYHFLGTSTFSEYTVVHVGQVAKINPEAPLDKVCVLSCGMSTGFGATVNVAKPKKGQSVAIFGLGAVGLAAAEGARVSGASRIIGVDLNPSRFELAKNFGVTEFVNPKDHNKPVQEVIAEMTGGGVDRSVECTGSIQAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPVNLLNERTLKGTFFGNYKPRTDIPAVVERYMNKVSLPSCLSILSLYTSTNKKQH >KJB31452 pep chromosome:Graimondii2_0_v6:5:56044667:56048668:-1 gene:B456_005G192200 transcript:KJB31452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHNLAVILKNPSNDAEFLLLKQTPPPKFSEDQYDSYVDSDLWDLPSTLLNLQHDHSYSGIVIQGAQSSHNIDLTKFDVQLALTGVLEKLGLTVNDVGEWSLFKYVEEAEFGPEFPVNTVFIMGNLLDGNQNCQGLCKWMSTESCLTWLLEVKPCSDRVGPLVVFALINDSLQSAARTLPPTLRYQEYPPGVVILPMRSKTRKPFLTTNLVIFAPKQVSDTVGDCSFVAHGDALIVDPGCRHEYHEELKQIIACLPEKLIVFVTHHHLDHVEGQFHKVFLSDIV >KJB31445 pep chromosome:Graimondii2_0_v6:5:56033186:56048668:-1 gene:B456_005G192200 transcript:KJB31445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHNLAVILKNPSNDAEFLLLKQTPPPKFSEDQYDSYVDSDLWDLPSTLLNLQHDHSYSGIVIQGAQSSHNIDLTKFDVQLALTGVLEKLGLTVNDVGEWSLFKYVEEAEFGPEFPVNTVFIMGNLLDGNQNCQGLCKWMSTESCLTWLLEVKPCSDRVGPLVVFALINDSLQSAARTLPPTLRYQEYPPGVVILPMRSKTRKPFLTTNLVIFAPKQVSDTVGDCSFVAHGDALIVDPGCRHEYHEELKQIIACLPEKLIVFVTHHHLDHVEGLSIIQKCNPNATLLAHENTMRRIGKGDWSLGYTSVSGEEDILVGGHRLTVIFAPGHTDSHMALLDVSTNSLIVGDHCVGQGSAALDITSGGNMTEYFQTSYKFLELSPHTLIPMHGRVNLWPKNMLCGYLKNRRSREESILKAIENGADTLFDIVANVYSGVDRSLWTAAASNVRLHVDHLDQQKKLPK >KJB31448 pep chromosome:Graimondii2_0_v6:5:56033209:56048668:-1 gene:B456_005G192200 transcript:KJB31448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHNLAVILKNPSNDAEFLLLKQTPPPKFSEDQYDSYVDSDLWDLPSTLLNLQHDHSYSGIVIQGAQSSHNIDLTKFDVQLALTGVLEKLGLTVNDVGEWSLFKYVEEAEFGPEFPVNTVFIMGNLLDGNQNCQGLCKWMSTESCLTWLLEVKPCSDRVGPLVVFALINDSLQSAARTLPPTLRYQEYPPGVVILPMRSKTRKPFLTTNLVIFAPKQVSDTVGDCSFVAHGDALIVDPGCRHEYHEELKQIIACLPEKLIVFVTHHHLDHVEGLSIIQKCNPNATLLAHENTMRRIGKGDWSLGYTSVSGEEDILVGGHRLTVIFAPGHTDSHMALLDVSTNSLIVGDHCVGQGSAALDITSGGNMTEYFQTSYKFLELSPHTLIPMHGRVNLWPKNMLCGYLKNRRSREESILKAIENGADTLFDIVANVYSGVDRSLWTAAASNVRLHVDHLDQQKKLPKGFSMENFIGSLVAFESLVVAFEPSSGKL >KJB31447 pep chromosome:Graimondii2_0_v6:5:56033209:56048668:-1 gene:B456_005G192200 transcript:KJB31447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHNLAVILKNPSNDAEFLLLKQTPPPKFSEDQYDSYVDSDLWDLPSTLLNLQHDHSYSGIVIQGAQSSHNIDLTKFDVQLALTGVLEKLGLTVNDVGEWSLFKYVEEAEFGPEFPVNTVFIMGNLLDGNQNCQGLCKWMSTESCLTWLLEVKPCSDRVGPLVVFALINDSLQSAARTLPPTLRYQEYPPGVVILPMRSKTRKPFLTTNLVIFAPKQVSDTVGDCSFVAHGDALIVDPGCRHEYHEELKQIIACLPEKLIVFVTHHHLDHVEGLSIIQKCNPNATLLAHENTMRRIGKGDWSLGYTSVSGEEDILVGGHRLTVIFAPGHTDSHMALLDVSTNSLIVGDHCVGQGSAALDITSGGNMTEPKE >KJB31451 pep chromosome:Graimondii2_0_v6:5:56037998:56048668:-1 gene:B456_005G192200 transcript:KJB31451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHNLAVILKNPSNDAEFLLLKQTPPPKFSEDQYDSYVDSDLWDLPSTLLNLQHDHSYSGIVIQGAQSSHNIDLTKFDVQLALTGVLEKLGLTVNDVGEWSLFKYVEEAEFGPEFPVNTVFIMGNLLDGNQNCQGLCKWMSTESCLTWLLEVKPCSDRVGPLVVFALINDSLQSAARTLPPTLRYQEYPPGVVILPMRSKTRKPFLTTNLVIFAPKQVSDTVGDCSFVAHGDALIVDPGCRHEYHEELKQIIACLPEKLIVFVTHHHLDHVEGLSIIQKCNPNATLLAHENTMRRIGKGDWSLGYTSVSGEEDILVGGHRLTVIFAPGHTDSHMALLDVSTNSLIVGDHCVG >KJB31449 pep chromosome:Graimondii2_0_v6:5:56033974:56048430:-1 gene:B456_005G192200 transcript:KJB31449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHNLAVILKNPSNDAEFLLLKQTPPPKFSEDQYDSYVDSDLWDLPSTLLNLQHDHSYSGIVIQGAQSSHNIDLTKFDVQLALTGVLEKLGLTVNDVGEWSLFKYVEEAEFGPEFPVNTVFIMGNLLDGNQNCQGLCKWMSTESCLTWLLEVKPCSDRVGPLVVFALINDSLQSAARTLPPTLRYQEYPPGVVILPMRSKTRKPFLTTNLVIFAPKQVSDTVGDCSFVAHGDALIVDPGCRHEYHEELKQIIACLPEKLIVFVTHHHLDHVEGLSIIQKCNPNATLLAHENTMRRIGKGDWSLGYTSVSGEEDILVGGHRLTVIFAPGHTDSHMALLDVSTNSLIVGDHCVGQGSAALDITSGGNMTEYFQTSYKFLELSPHTLIPMHGRVNLWPKNMLCGYLKNRRSREESILKAIENGADTLFDIVANVYSGVDRSLWTAAASNVRLHVDHLDQQKKLPKGFSMENFIGSLVAFESLVVAFEPSSGKL >KJB31442 pep chromosome:Graimondii2_0_v6:5:56033186:56048686:-1 gene:B456_005G192200 transcript:KJB31442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHNLAVILKNPSNDAEFLLLKQTPPPKFSEDQYDSYVDSDLWDLPSTLLNLQHDHSYSGIVIQGAQSSHNIDLTKFDVQLALTGVLEKLGLTVNDVGEWSLFKYVEEAEFGPEFPVNTVFIMGNLLDGNQNCQGLCKWMSTESCLTWLLEVKPCSDRVGPLVVFALINDSLQSAARTLPPTLRYQEYPPGVVILPMRSKTRKPFLTTNLVIFAPKQVSDTVGDCSFVAHGDALIVDPGCRHEYHEELKQIIACLPEKLIVFVTHHHLDHVEGLSIIQKCNPNATLLAHENTMRRIGKGDWSLGYTSVSGEEDILVGGHRLTVIFAPGHTDSHMALLDVSTNSLIVGDHCVGQGSAALDITSGGNMTEYFQTSYKFLELSPHTLIPMHGRVNLWPKNMLCGYLKNRRSREESILKAIENGADTLFDIVANVYSGVDRSLWTAAASNVRLHVDHLDQQKKLPKEFSVQRFHKTWVPFFLRWTCAYVSSRIQFKCPKLKISSLLITGTVIGIAGYSLGKKACFHLSKTGKGA >KJB31446 pep chromosome:Graimondii2_0_v6:5:56033974:56047977:-1 gene:B456_005G192200 transcript:KJB31446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLLDGNQNCQGLCKWMSTESCLTWLLEVKPCSDRVGPLVVFALINDSLQSAARTLPPTLRYQEYPPGVVILPMRSKTRKPFLTTNLVIFAPKQVSDTVGDCSFVAHGDALIVDPGCRHEYHEELKQIIACLPEKLIVFVTHHHLDHVEGLSIIQKCNPNATLLAHENTMRRIGKGDWSLGYTSVSGEEDILVGGHRLTVIFAPGHTDSHMALLDVSTNSLIVGDHCVGQGSAALDITSGGNMTEYFQTSYKFLELSPHTLIPMHGRVNLWPKNMLCGYLKNRRSREESILKAIENGADTLFDIVANVYSGVDRSLWTAAASNVRLHVDHLDQQKKLPKGFSMENFIGSLVAFESLVVAFEPSSGKL >KJB31444 pep chromosome:Graimondii2_0_v6:5:56033209:56047491:-1 gene:B456_005G192200 transcript:KJB31444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTESCLTWLLEVKPCSDRVGPLVVFALINDSLQSAARTLPPTLRYQEYPPGVVILPMRSKTRKPFLTTNLVIFAPKQVSDTVGDCSFVAHGDALIVDPGCRHEYHEELKQIIACLPEKLIVFVTHHHLDHVEGLSIIQKCNPNATLLAHENTMRRIGKGDWSLGYTSVSGEEDILVGGHRLTVIFAPGHTDSHMALLDVSTNSLIVGDHCVGQGSAALDITSGGNMTEYFQTSYKFLELSPHTLIPMHGRVNLWPKNMLCGYLKNRRSREESILKAIENGADTLFDIVANVYSGVDRSLWTAAASNVRLHVDHLDQQKKLPKGFSMENFIGSLVAFESLVVAFEPSSGKL >KJB31450 pep chromosome:Graimondii2_0_v6:5:56034860:56048668:-1 gene:B456_005G192200 transcript:KJB31450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHNLAVILKNPSNDAEFLLLKQTPPPKFSEDQYDSYVDSDLWDLPSTLLNLQHDHSYSGIVIQGAQSSHNIDLTKFDVQLALTGVLEKLGLTVNDVGEWSLFKYVEEAEFGPEFPVNTVFIMGNLLDGNQNCQGLCKWMSTESCLTWLLEVKPCSDRVGPLVVFALINDSLQSAARTLPPTLRYQEYPPGVVILPMRSKTRKPFLTTNLVIFAPKQVSDTVGDCSFVAHGDALIVDPGCRHEYHEELKQIIACLPEKLIVFVTHHHLDHVEGLSIIQKCNPNATLLAHENTMRRIGKGDWSLGYTSVSGEEDILVGGHRLTVIFAPGHTDSHMALLDVSTNSLIVGDHCVGQGSAALDITSGGNMTEYFQTSYKFLELSPHTLIPMHGRVNLWPKNMLCGYLKNRRSREESILKAIENGADTLFDIVANVYSGVDRSLWTAAASNVRLHVDHLDQQKKLPKVLFTKCWDKIFVCAYNRLHVFIKLH >KJB31443 pep chromosome:Graimondii2_0_v6:5:56033209:56048668:-1 gene:B456_005G192200 transcript:KJB31443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHNLAVILKNPSNDAEFLLLKQTPPPKFSEDQYDSYVDSDLWDLPSTLLNLQHDHSYSGIVIQGAQSSHNIDLTKFDVQLALTGVLEKLGLTVNDVGEWSLFKYVEEAEFGPEFPVNTVFIMGNLLDGNQNCQGLCKWMSTESCLTWLLEVKPCSDRVGPLVVFALINDSLQSAARTLPPTLRYQEYPPGVVILPMRSKTRKPFLTTNLVIFAPKQVSDTVGDCSFVAHGDALIVDPGCRHEYHEELKQIIACLPEKLIVFVTHHHLDHVEGLSIIQKCNPNATLLAHENTMRRIGKGDWSLGYTSVSGEEDILVGGHRLTVIFAPGHTDSHMALLDVSTNSLIVGDHCVGQGSAALDITSGGNMTEYFQTSYKFLELSPHTLIPMHGRVNLWPKNMLCGYLKNRRSREESILKAIENGADTLFDIVANVYSGVDRSLWTAAASNVRLHVDHLDQQKKLPKGFSMENFIGSLVAFESLVVAFEPSSGKL >KJB28572 pep chromosome:Graimondii2_0_v6:5:5713358:5718306:-1 gene:B456_005G056300 transcript:KJB28572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFSEKLRMFWLRKFWIIILVLVVDVFGARVHAVPAKPRRILLDTDVDTDDFFALLYLLKLNRSEFELEGITINTNAWTNAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTILPNVGGYLPIIEQGMTTAGGCRYRQAIPVGLRGRLDFDTNYGIRKSFLPQGSRKYSPLKQPTAQQVMIEKTSAGPITVFVTGAHTNFAVFLMKNPHLKRNIEHINVMGGGVRSNNPTGCCPKHGTPHCHPRQCGDPGNLFTDYNTNPYAEFNIFGDPFAAYQVFHSGIPVTLVSLDATNTIPITEEFFKAFEQSQWTYEAQYCFKSLKMARDTWFDDRFYTSYFMWDSFTSGVAVSIMRNYGKNNGENEFAEMEYMNISVVTSNEPYGICDGSNPLFDGRHFPKFKLNKSGLHSGHVQMGLRDPFCFVENGEGKCKDGYTEEGTGPDSVRVLVATKAKPNHDLTSKLNREFFTNFLDVLNRPENTARFNLTTEFPHFRQAFYEPDFKNKTLGKPVVFDMDMSAGDFMALFYLLKVPVELLNLKAILVSPTGWANAAAIDIVYDLLHMMGRDDIPVGLGDVFAMSQSDQIFHLVGDCKYAKAIPHGSGGFLDSDTLYGLARDLPRSPRRYTAENSVKFGAPRDTDQPELRQPLALEIWTSILKTMDLGSKITVLTNGPLTSLAKIITRTNSTSFIQNVYIVGGHISRSSLDEGNVFTIPSNKYAELNLFLDPIAAKTVFKSGLNITLIPLGTQRKVSRFIETIKRLQLTRTPEARFVKSLLSRLYTLKQAHHRYRHTDIFFGEILGAILMAGDQRKLKPTMQEMPIKVIAEGIEAIDGQILIDEKHGKLVQILKNIDPMAYYDQFADRLGDEKRSAVLGSYDEQRKMWNTPPNRT >KJB32189 pep chromosome:Graimondii2_0_v6:5:61132359:61134631:-1 gene:B456_005G228500 transcript:KJB32189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMGEQNGVVSTRPVMADNRSFADFEPAVKKPKRNKFAFACAILASLTSILLGYDIGVMSGAIIYIQEDLKINDVQKEILMGILNVYSLVGSCAAGRTSDWVGRRYTIVLAGAIFFLGALLMGFATSYAFLMVGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINGGILLGYVSNYAFSKLPTDLGWRFMLGVGAIPSVFLAVGVLAMPESPRWLVMQGRLGEAKKVLDKTSDSIEEAQLRLSEIKEAAGIPQECTDDVVKVQKRSHGEGVWKELLLYPTPAVRHVLICGIGIHFFQQASGIDAVVLYSPTIFEKAGITSSDGKLLATVAVGFVKTITILIATFLLDRIGRRPLLLSSIIGMVASLTTLGFSLTIIDHSHEKIPWAIGLCITMVLAYVALFSIGMGPITWVYSSEIFPLRLRAQGASMGVAVNRVTSGVISMTFISLYEAISIGGAFFLFAGVAMVATLFFYMFYPETQGKTLEEMEGLFGKFFGWREEAKKLKMKKTMEVSGDGTSNGQIQLGNTTTK >KJB29405 pep chromosome:Graimondii2_0_v6:5:15139703:15142544:1 gene:B456_005G098600 transcript:KJB29405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPWWAKGSHKGTSVVVKMENPNWSMVELEAPSEEDFLIGSTPTGSREKLRGKNAKQLTWVLLLKAHRAAGCLTSITSTFLSFGSTVRRRVASGRTDDNDIETDENKAVKTGFYNCIKVFLWLSLLLFCFEVAAYFKGWHFGAPNLQLQYILSVPLGFKDLFDWLYTHWVLIRVGYLAPPLQFLANVCIVLFLIQSMDRLILCLGCFWIRLKKIKPIPKHEAVADLESGEDSFFPMVLIQIPMCNEKEVYQQSIAAVCSLDWPKSRILIQVLDDSDDPMTQLLIKEEVHKWQQEGAHIVYRHRVLRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPSPDFLKRTVPHFKDNEDLGLVQTRWSFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLRGWKFIFLNDVECRCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRAKVNTYLNWSLLKIVMMNFVLIWYFY >KJB29404 pep chromosome:Graimondii2_0_v6:5:15139410:15142613:1 gene:B456_005G098600 transcript:KJB29404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPWWAKGSHKGTSVVVKMENPNWSMVELEAPSEEDFLIGSTPTGSREKLRGKNAKQLTWVLLLKAHRAAGCLTSITSTFLSFGSTVRRRVASGRTDDNDIETDENKAVKTGFYNCIKVFLWLSLLLFCFEVAAYFKGWHFGAPNLQLQYILSVPLGFKDLFDWLYTHWVLIRVGYLAPPLQFLANVCIVLFLIQSMDRLILCLGCFWIRLKKIKPIPKHEAVADLESGEDSFFPMVLIQIPMCNEKEVYQQSIAAVCSLDWPKSRILIQVLDDSDDPMTQLLIKEEVHKWQQEGAHIVYRHRVLRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPSPDFLKRTVPHFKDNEDLGLVQTRWSFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLRGWKFIFLNDVECRCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRAKISVWKKFSMIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPAIMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKFGRSSENDLVSLVEKGPKHQRVGSEPNLDKIQADLKQEQKTRKTKHNRIYTKELALAFLLLTASARSLLSVQGIHFYFLLFQGISFLLVGLDLIGEQVL >KJB30068 pep chromosome:Graimondii2_0_v6:5:30843243:30846731:-1 gene:B456_005G128900 transcript:KJB30068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLASYLENQRLVSMTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQVTFNLL >KJB30053 pep chromosome:Graimondii2_0_v6:5:30834640:30846865:-1 gene:B456_005G128900 transcript:KJB30053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKEDEGLCHSLSRKELQSLCKKYGLPANRSHSDMAKSLASYLENQRLVSMTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQDKSKINNWGESSEGLDNVESNGLGKKRACIDGDKNDCSMLDAKILRSTKHLIKVLPRRSMRLISK >KJB30063 pep chromosome:Graimondii2_0_v6:5:30842036:30845464:-1 gene:B456_005G128900 transcript:KJB30063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQRLVSMTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQDKSKINNWGESSEGLDNVESNGLGKKRACIDGDKNDCSMLDAKILRSTKHLIKVLPRRSMRLISKVQFCAFLCHAIICGIFPLVK >KJB30055 pep chromosome:Graimondii2_0_v6:5:30842247:30845464:-1 gene:B456_005G128900 transcript:KJB30055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQRLVSMTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQRT >KJB30065 pep chromosome:Graimondii2_0_v6:5:30842010:30847612:-1 gene:B456_005G128900 transcript:KJB30065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQRLVSMTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQRT >KJB30064 pep chromosome:Graimondii2_0_v6:5:30842010:30847612:-1 gene:B456_005G128900 transcript:KJB30064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKEDEGLCHSLSRKELQSLCKKYGLPANRSHSDMAKSLASYLENQRLVSMTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQDKSKINNWGESSEGLDNVESNGLGKKRACIDGDKNDCSMLDAKILRSTKHLIKVLPRRSMRLISKVQFCAFLCHAIICGIFPLVK >KJB30066 pep chromosome:Graimondii2_0_v6:5:30842480:30847506:-1 gene:B456_005G128900 transcript:KJB30066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQEPSISCVL >KJB30056 pep chromosome:Graimondii2_0_v6:5:30834640:30846865:-1 gene:B456_005G128900 transcript:KJB30056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKEDEGLCHSLSRKELQSLCKKYGLPANRSHSDMAKSLASYLENQRLVSMTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQRT >KJB30062 pep chromosome:Graimondii2_0_v6:5:30834640:30847506:-1 gene:B456_005G128900 transcript:KJB30062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKEDEGLCHSLSRKELQSLCKKYGLPANRSHSDMAKSLASYLENQRLVSMTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQRT >KJB30054 pep chromosome:Graimondii2_0_v6:5:30836060:30845464:-1 gene:B456_005G128900 transcript:KJB30054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQRLVSMTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQDKSKINNWGESSEGLDNVESNGLGKKRACIDGDKNDCSMLDAKILRSTKHLIKVLPRRSMRLISK >KJB30059 pep chromosome:Graimondii2_0_v6:5:30834640:30847506:-1 gene:B456_005G128900 transcript:KJB30059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQRT >KJB30067 pep chromosome:Graimondii2_0_v6:5:30842646:30846836:-1 gene:B456_005G128900 transcript:KJB30067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKEDEGLCHSLSRKELQSLCKKYGLPANRSHSDMAKSLASYLENQRLVSMTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQEPSISCVL >KJB30051 pep chromosome:Graimondii2_0_v6:5:30834627:30847612:-1 gene:B456_005G128900 transcript:KJB30051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKEDEGLCHSLSRKELQSLCKKYGLPANRSHSDMAKSLASYLENQRLVSMTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQDKSKINNWGESSECSQDELFENCRGLDNVESNGLGKKRACIDGDKNDCSMLDAKILRSTKHLIKVLPRRSMRLISK >KJB30058 pep chromosome:Graimondii2_0_v6:5:30836060:30845440:-1 gene:B456_005G128900 transcript:KJB30058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQDKSKINNWGESSECSQDELFENCRGLDNVESNGLGKKRACIDGDKNDCSMLDAKILRSTKHLIKVLPRRSMRLISK >KJB30061 pep chromosome:Graimondii2_0_v6:5:30834745:30847506:-1 gene:B456_005G128900 transcript:KJB30061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLASYLENQRLVSMTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQRT >KJB30057 pep chromosome:Graimondii2_0_v6:5:30842274:30845440:-1 gene:B456_005G128900 transcript:KJB30057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQMLTR >KJB30052 pep chromosome:Graimondii2_0_v6:5:30836060:30845464:-1 gene:B456_005G128900 transcript:KJB30052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQRLVSMTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQDKSKINNWGESSECSQDELFENCRGLDNVESNGLGKKRACIDGDKNDCSMLDAKILRSTKHLIKVLPRRSMRLISK >KJB30060 pep chromosome:Graimondii2_0_v6:5:30842274:30846836:-1 gene:B456_005G128900 transcript:KJB30060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKEDEGLCHSLSRKELQSLCKKYGLPANRSHSDMAKSLASYLENQRLVSMTSGERLYGIQEAGHPLSLKLQLQPGASLNTLRDAGKDHYGLISCPLDRCNGGNYSQAVQCNALGCCTGDKFYHKDGGGGGSILFQQRPHSHFVSQYDDSGFKNKEFQTISSNRDCLSLSRDRRMNDMPQIGHEDTGVAACFDGPFFPSSINTSTVSPPSFQFHVSSEEGINLYVDLNSNPSEWIEKLKSEVSICQDMSHCKSKTSPKELGRFGESSKQMERSFQLNVDTGEMKDDFIHSGLPPNLIIKETSSLQFDHPDGDNGSFDSAVMVPCGRAVDLSEHLEGDRGLTLVRAHPDSQEQIISAIAPCAKDKCLVAPNSNINSLREKLGGDAALNISNGPLSLLRKENEICENSTLQSSCHLVSSGRMVPGCQPDGSLLMQKPEDVVHQKDALYSPGDNGEFVDLVDPKHKFYADQGGLAGSTDLNQETFRTRLPTLVEEQMLTR >KJB30433 pep chromosome:Graimondii2_0_v6:5:38937091:38938246:-1 gene:B456_005G143000 transcript:KJB30433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGESSDGLSEWELIQAPPYSTPLITPLAEQHMVATATTKDNSPQHQHHLSVLPPSRHDGLEEEEEEEEEEEEEVNSSATSWSMIQGDGENSWPLKNTSAIGKILTNGALKLAARVGYYVGFGWGVWSGNIVVATMLLSFLYAKARVWGGRVKEVKKDPLIRMIQEKDQKMKQLLFQIGEMNEILLSAGRRRVPVVRLSC >KJB30571 pep chromosome:Graimondii2_0_v6:5:41168257:41172297:1 gene:B456_005G149900 transcript:KJB30571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 40 [Source:Projected from Arabidopsis thaliana (AT3G21690) UniProtKB/Swiss-Prot;Acc:Q9LVD9] MESLHENAIRKPLFQSQTSDTKSESTSSELEDVLSDGNSSLLERWGKATCIESKLLFHLAAPAVIVYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAFGAHKYDMLGIYLQRSAVLLTFTGILLTLIYVFSKPILLLLGESPDIASAAAIFVYGLIPQIFAYALNFPIQKFLQAQSIVAPSAYISTATLFIHLLMSWVAVYKMGLGLLGASLVLSLSWWIVVVAQFVYIVKSDKCKYTWNGFSSQAFTGLPGFFKLSASSAVMLCLETWYFQILVLLAGLLENPELALDSLSICMTISGWVFMISVGFNAAASVRVGNELGAGHPKSAAFSVVIVTVLSFIISVAAAIIIMVLRDVISYAFTEGEVVAEAVSDLCPFLALTLILNGVQPVLSGVAVGCGWQTFVAYVNVGCYYFVGVPLGSLLGFYFNLGAKGIWSGMIGGTVMQTLVLIWVTFRTDWKKEVEEARKRMDAWEVKEEPLLK >KJB30573 pep chromosome:Graimondii2_0_v6:5:41168480:41172249:1 gene:B456_005G149900 transcript:KJB30573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 40 [Source:Projected from Arabidopsis thaliana (AT3G21690) UniProtKB/Swiss-Prot;Acc:Q9LVD9] MGSAVETLCGQAFGAHKYDMLGIYLQRSAVLLTFTGILLTLIYVFSKPILLLLGESPDIASAAAIFVYGLIPQIFAYALNFPIQKFLQAQSIVAPSAYISTATLFIHLLMSWVAVYKMGLGLLGASLVLSLSWWIVVVAQFVYIVKSDKCKYTWNGFSSQAFTGLPGFFKLSASSAVMLCLETWYFQILVLLAGLLENPELALDSLSICMTISGWVFMISVGFNAAASVRVGNELGAGHPKSAAFSVVIVTVLSFIISVAAAIIIMVLRDVISYAFTEGEVVAEAVSDLCPFLALTLILNGVQPVLSGVAVGCGWQTFVAYVNVGCYYFVGVPLGSLLGFYFNLGAKGIWSGMIGGTVMQTLVLIWVTFRTDWKKEVEEARKRMDAWEVKEEPLLK >KJB30572 pep chromosome:Graimondii2_0_v6:5:41168480:41172249:1 gene:B456_005G149900 transcript:KJB30572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 40 [Source:Projected from Arabidopsis thaliana (AT3G21690) UniProtKB/Swiss-Prot;Acc:Q9LVD9] MGSAVETLCGQAFGAHKYDMLGIYLQRSAVLLTFTGILLTLIYVFSKPILLLLGESPDIASAAAIFVYGLIPQIFAYALNFPIQKFLQAQSIVAPSAYISTATLFIHLLMSWVAVYKMGLGLLGASLVLSLSWWIVVVAQFVYIVKSDKCKYTWNGFSSQAFTGLPGFFKLSASSAVMLCLETWYFQILVLLAGLLENPELALDSLSICMTISGWVFMISVGFNAAASVRVGNELGAGHPKSAAFSVVIVTVLSFIISVAAAIIIMVLRDVISYAFTEGEVVAEAVSDLCPFLALTLILNGVQPVLSGVAVGCGWQTFVAYVNVGCYYFVGVPLGSLLGFYFNLGAKGIWSGMIGGTVMQTLVLIWVTFRTDWKKEVEEARKRMDAWEVKEEPLLK >KJB28887 pep chromosome:Graimondii2_0_v6:5:8262785:8265230:-1 gene:B456_005G074700 transcript:KJB28887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWFSCLGWGLPLPFLSDLTKPHFFSIQHLQHALLQDRVWGLLNFTFQVQEIDNQSKGFQIIGILSPIIVAFLGMLGNSLASSLLTFDSATSCFCVPDAATSAPNIVPFSVTFSSRLPQTVIRRVGSSHFSPHKWAINVNAEGKTWEACRQALSAFGFSDEEEDKILGKAFGHVHSPYWGEERKKEEPRFEIINEILEYLRSLGLSDDDLRKLLKKFPEVLGCDIEHELRTNVQILEKDWGIKGKSLKNLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >KJB28896 pep chromosome:Graimondii2_0_v6:5:8262785:8265233:-1 gene:B456_005G074700 transcript:KJB28896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNSLASSLLTFDSATSCFCVPDAATSAPNIVPFSVTFSSRLPQTVIRRVGSSHFSPHKWAINVNAEGKTWEACRQALSAFGFSDEEEDKILGKAFGHVHSPYWGEERKKEEPRFEIINEILEYLRSLGLSDDDLRKLLKKFPEVLGCDIEHELRTNVQILEKDWGIKGKSLKNLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >KJB28893 pep chromosome:Graimondii2_0_v6:5:8262785:8272480:-1 gene:B456_005G074700 transcript:KJB28893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWFSCLGWGLPLPFLSDLTKPHFFSIQHLQHALLQPDAATSAPNIVPFSVTFSSRLPQTVIRRVGSSHFSPHKWAINVNAEGKTWEACRQALSAFGFSDEEEDKILGKAFGHVHSPYWGEERKKEEPRFEIINEILEYLRSLGLSDDDLRKLLKKFPEVLGCDIEHELRTNVQILEKDWGIKGKSLKNLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >KJB28892 pep chromosome:Graimondii2_0_v6:5:8262978:8264090:-1 gene:B456_005G074700 transcript:KJB28892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNSLASSLLTFDSATSCFCVPDAATSAPNIVPFSVTFSSRLPQTVIRRVGSSHFSPHKWAINVNAEGKTWEACRQALSAFGFSDEEEDKILGKAFGHVHSPYWGEERKKEEPRFEIINEILEYLRSLGLSDDDLRKLLKKFPEVLGCDIEHELRTNVQILEKDWGIKGKSLKNLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >KJB28889 pep chromosome:Graimondii2_0_v6:5:8262978:8264090:-1 gene:B456_005G074700 transcript:KJB28889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNSLASSLLTFDSATSCFCVPDAATSAPNIVPFSVTFSSRLPQTVIRRVGSSHFSPHKWAINVNAEGKTWEACRQALSAFGFSDEEEDKILGKAFGHVHSPYWGEERKKEEPRFEIINEILEYLRSLGLSDDDLRKLLKKFPEVLGCDIEHELRTNVQILEKDWGIKGKSLKNLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >KJB28891 pep chromosome:Graimondii2_0_v6:5:8262785:8265475:-1 gene:B456_005G074700 transcript:KJB28891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWFSCLGWGLPLPFLSDLTKPHFFSIQHLQHALLQPDAATSAPNIVPFSVTFSSRLPQTVIRRVGSSHFSPHKWAINVNAEGKTWEACRQALSAFGFSDEEEDKILGKAFGHVHSPYWGEERKKEEPRFEIINEILEYLRSLGLSDDDLRKLLKKFPEVLGCDIEHELRTNVQILEKDWGIKGKSLKNLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >KJB28894 pep chromosome:Graimondii2_0_v6:5:8262978:8264090:-1 gene:B456_005G074700 transcript:KJB28894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNSLASSLLTFDSATSCFCVPDAATSAPNIVPFSVTFSSRLPQTVIRRVGSSHFSPHKWAINVNAEGKTWEACRQALSAFGFSDEEEDKILGKAFGHVHSPYWGEERKKEEPRFEIINEILEYLRSLGLSDDDLRKLLKKFPEVLGCDIEHELRTNVQILEKDWGIKGKSLKNLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >KJB28890 pep chromosome:Graimondii2_0_v6:5:8262785:8272467:-1 gene:B456_005G074700 transcript:KJB28890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNSLASSLLTFDSATSCFCVPDAATSAPNIVPFSVTFSSRLPQTVIRRVGSSHFSPHKWAINVNAEGKTWEACRQALSAFGFSDEEEDKILGKAFGHVHSPYWGEERKKEEPRFEIINEILEYLRSLGLSDDDLRKLLKKFPEVLGCDIEHELRTNVQILEKDWGIKGKSLKNLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >KJB28888 pep chromosome:Graimondii2_0_v6:5:8262978:8264090:-1 gene:B456_005G074700 transcript:KJB28888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNSLASSLLTFDSATSCFCVPDAATSAPNIVPFSVTFSSRLPQTVIRRVGSSHFSPHKWAINVNAEGKTWEACRQALSAFGFSDEEEDKILGKAFGHVHSPYWGEERKKEEPRFEIINEILEYLRSLGLSDDDLRKLLKKFPEVLGCDIEHELRTNVQILEKDWGIKGKSLKNLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >KJB28895 pep chromosome:Graimondii2_0_v6:5:8262785:8272480:-1 gene:B456_005G074700 transcript:KJB28895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSCNFKTTVKNCGIVVHAGMLGNSLASSLLTFDSATSCFCVPDAATSAPNIVPFSVTFSSRLPQTVIRRVGSSHFSPHKWAINVNAEGKTWEACRQALSAFGFSDEEEDKILGKAFGHVHSPYWGEERKKEEPRFEIINEILEYLRSLGLSDDDLRKLLKKFPEVLGCDIEHELRTNVQILEKDWGIKGKSLKNLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >KJB28663 pep chromosome:Graimondii2_0_v6:5:6449192:6451116:-1 gene:B456_005G061400 transcript:KJB28663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAEGSHQKYPTLPQVMEEVKRITDIGFPVLAMSLVGYLKNMVLVVCMGRLGSLELAGGALAIGFTNISGYSVLSGLATGMESLCGQAFGSRNLLVASLTLQRTIVMLLFASIPIGLIWFNLEPIMLSLNQDPEITKVASLYCQFAIPDLIANSLLHPLRIYLRSKGTSWPLMWSAFVSTVFHLPITIGLTFGLRLGVPGIAISTFITNFNTLFFLLCCMFYTRTTLVDPEDSIQTPLSPPPLPSLSSTALMGRKEWGVLLRLAIPSCIAVCLEWWWYEFMTILAGNLSEPRVALATSAIVIQTTSLMYTLPTALSASASTRVGNELGAGRPTRANLAAIVAIGLSLVTSFFGLIGTIVGRQAWGRVFTKDNEVIELTMIVLPIIGLCELANCPQTTSCGILRGSARPGIGATINFYSFYMVGAPLAIVLGFVWGVGFVGLCYGLLAAQVACVVSMLTVIFKTDWEKESLKANDLVGSKSDHFAHGDQTLTIKSEEGVVPFLKDLDSQK >KJB29990 pep chromosome:Graimondii2_0_v6:5:28845484:28852473:1 gene:B456_005G127000 transcript:KJB29990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKPYRTSSVDWKPSPVVALATSADDSQLAAAREDGSLEIWLVSPGSVGWHHQLTIHGDSTCRVSSLVWCCVGSEGLSSGRLFSSSIDGSVSEWDLFNLKQKIVLESIGVSIWQMAVAPVIKLPAPEEPSCWHSGNGFLNDKYGYNVSDDAENYQSEDASDSEQVHQKLVTDDRRVAIACDDGAVRIYTISNLDKFIYHKSLPRVSGRALSVTWSHDSNRIYSGSSDGLIRCWNVDLGREIFRSTVALGGLGSGPELCIWSLLSLRCGTVVSADSTGSVQFWSGDNGTLLQAHSNHKGDVNALAAAPSQNRVFSAGSDGQVILYKLCTEKLQSYNDKSSSEMMKKWVYVGNVRAHTHDVRALTMAVPISSEGSLSDEGRDLQDEKERKVKKIRFKGKKLLDFSYSKLARFRVPMLVSAGDDAKLFAYSAKEFTRFSPHDVCPAPQRVPVQLVVNTRFSQTSFLLVQASSWLDVLCVRVPDVGSGPYGGLATTNLVARVKSKLSRKIVCSAMSNSGELLGYSDQIRPSLFALSRQAGESTWTISKRQLPQDLPSAHSMAFTCDGVRLLIAGHDRRIYIVDLEGLELLHTFILCRGEHDKEGSLGDPPITKMFTSFDSQWLAAINCFGDIYIFNLEIRRQHWFISRLNGASVTAGGFPPQDNNILIITTSSNQFYIFDVEARQLGEWSMQHLFTLPKRYQEFPGEVIGLSFCPSSSSHPTKSSSLLVYSTRAMCSIDFGKPVDEDDESELVNGALLKFQGSLTNMKWKHWLRESRQSRKNNFDLVVFRDPVLFIGHLSKHSILIIDKPWMEVVKSFDSAPLQRHIFGT >KJB29989 pep chromosome:Graimondii2_0_v6:5:28845484:28852473:1 gene:B456_005G127000 transcript:KJB29989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKPYRTSSVDWKPSPVVALATSADDSQLAAAREDGSLEIWLVSPGSVGWHHQLTIHGDSTCRVSSLVWCCVGSEGLSSGRLFSSSIDGSVSEWDLFNLKQKIVLESIGVSIWQMAVAPVIKLPAPEEPSCWHSGNGFLNDKYGYNVSDDAENYQSEDASDSEQVHQKLVTDDRRVAIACDDGAVRIYTISNLDKFIYHKSLPRVSGRALSVTWSHDSNRIYSGSSDGLIRCWNVDLGREIFRSTVALGGLGSGPELCIWSLLSLRCGTVVSADSTGSVQFWSGDNGTLLQAHSNHKGDVNALAAAPSQNRVFSAGSDGQVWIWPGLCALVLDNRNTSDPFKRGAFGQVILYKLCTEKLQSYNDKSSSEMMKKWVYVGNVRAHTHDVRALTMAVPISSEGSLSDEGRDLQDEKERKVKKIRFKGKKLLDFSYSKLARFRVPMLVSAGDDAKLFAYSAKEFTRFSPHDVCPAPQRVPVQLVVNTRFSQTSFLLVQASSWLDVLCVRVPDVGSGPYGGLATTNLVARVKSKLSRKIVCSAMSNSGELLGYSDQIRPSLFALSRQAGESTWTISKRQLPQDLPSAHSMAFTCDGVRLLIAGHDRRIYIVDLEGLELLHTFILCRGEHDKEGSLGDPPITKMFTSFDSQWLAAINCFGDIYIFNLEIRRQHWFISRLNGASVTAGGFPPQDNNILIITTSSNQFYIFDVEARQLGEWSMQHLFTLPKRYQEFPGEVIGLSFCPSSSSHPTKSSSLLVYSTRAMCSIDFGKPVDEDDESELVNGALLKFQGSLTNMKWKHWLRESRQSRKNNFDLVVFRDPVLFIGHLSKHSILIIDKPWMEVVKSFDSAPLQRHIFGT >KJB32323 pep chromosome:Graimondii2_0_v6:5:61770909:61772957:-1 gene:B456_005G235600 transcript:KJB32323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQQHEQEESYPSFLLDGLYCEEDEVLEEGSSLSGCNNVGDIDMFWEDEELVSLFSKEMELVHLGPENVESKDDEFLTTVHREVRREAVEWMLKVNAHYGFTTLTAVLSVNYLDRFLSSFCFQRDKPWMIHLVAVTCLSLAAKVEETHVPLLLDLQVEGTKYVFEAKTIQRMELLVLSTLKWKMHPITPLSFLDHIIRRLGLKTHLHWEFLKRCEHLLLCVISDSRSVRYLPSVLATATMMHVIDQVEIFNPVDYQNQLLNVLKKNKEQVNDCCKLILDLSTGPQNQNNACNGFFFGRTLAHHNHHKRKLETVPGSPSGVIDVDFSSDSSNESWVVGQPPSSAAPSVSSSPEPPFKKRRGQERGMRLTSLNRVFVDIVANGSPS >KJB32324 pep chromosome:Graimondii2_0_v6:5:61770920:61772873:-1 gene:B456_005G235600 transcript:KJB32324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQQHEQEESYPSFLLDGLYCEEDEVLEEGSSLSGCNNVGDIDMFWEDEELVSLFSKEMELVHLGPENVESKDDEFLTTVHREVRREAVEWMLKVNAHYGFTTLTAVLSVNYLDRFLSSFCFQRDKPWMIHLVAVTCLSLAAKVEETHVPLLLDLQVEGTKYVFEAKTIQRMELLVLSTLKWKMHPITPLSFLDHIIRRLGLKTHLHWEFLKRCEHLLLCVISDSRSVRYLPSVLATATMMHVIDQVEIFNPVDYQNQLLNVLKKNKVNDCCKLILDLSTGPQNQNNACNGFFFGRTLAHHNHHKRKLETVPGSPSGVIDVDFSSDSSNESWVVGQPPSSAAPSVSSSPEPPFKKRRGQERGMRLTSLNRVFVDIVANGSPS >KJB31317 pep chromosome:Graimondii2_0_v6:5:54468800:54474652:1 gene:B456_005G186000 transcript:KJB31317 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 22 [Source:Projected from Arabidopsis thaliana (AT1G59990) UniProtKB/Swiss-Prot;Acc:Q944S1] MILRRSTAMLHSFSLSTTTPKLLSHFTPSFFLSTSALSPRIRIWLNQSYSRRTRAFSSAVVTASSPKNTGTDTFFAEDTVSWQSLGLSDRISQALSSAGFDRPSLVQAACMPSILSGKDVVIAAETGSGKTYGYLVPLIDKLYGAGHDADNDLEKATVSSRTFSIVLCPNVLLCEQVVRMANDLSGDDGRPLLRVAAVCGRQGWPVNEPDVIVSTPVALLNSIDPKKHHRSDFIRAVKYVVFDEADMLLSGGFENHVIRLIHMLRFDEKLLSRVNKAGSENPVEPSSDSVSHFDFEGEEDMQNESISEAEEMSEGDVDAEDLMEETQTSPVKRKDWRRVRKNYERSKQYIFVAATLPVNGKKTAGAVLKKMVPDANWVSGSYLHQHNPRLKEKWIEVTTDNQVDALIEAVKQFGSKASDHGAGVSRTMVFGNTVEAVEAVANILQRAGIECYRYHTGLSLAERAESLDDFRAKGGIFVCTDAAARGVDIPNVSHVIQADFATSAVDFLHRVGRTARAGQFGVVTSLYNESNRDLVNAIRAAGKLGQPVEAAFSRKRSFRNKLKKRGSNKAGQISTAELMV >KJB29539 pep chromosome:Graimondii2_0_v6:5:19015902:19017067:1 gene:B456_005G106300 transcript:KJB29539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIMQSVKYYLSEHPSIVNFRWSNTQTFGSTWSFLFSSISVYVLAATTLHSFLSHILPKRRGVPLGPIPAIHSICISLISAVIFIGILLSSVADIRDTRWFWRRTKTITTPFQWFLCFPLGTRASGRVFFWSYIFYLSRFLHLLRTFFIILRRRKLTFFHLFNQSILLFMSFLWLEFCQSFQVLAILLSTLLYSVVYGYRFWTEIGLPTACFSFVVNCQVVLLGCNLVCHFGVLFLHFVKGGCNGMGAWGFNSVLNAVILCLFLDFYFKKHLKKGKVGNNSGQAVGSSSARYRRRSVSELKSE >KJB28540 pep chromosome:Graimondii2_0_v6:5:5330341:5332359:1 gene:B456_005G053900 transcript:KJB28540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPRVKVKEQDQDDQQVIKDSVLSLKDVLFLSMLDSYFPGKRHEDDVSPMPTARIPKSYHAPEVDKHSDSTSEEAEQNKTKADEEETRPNIRVSSTPRPRAVISSPDNDALIRNKNKIEGRQRTASKNHDTVQNRHTTRTHIFGKSPVRTNKSNDGGGDDCNVEIKGKKGSRPPVSSQRKHLITQRPGWQDP >KJB27758 pep chromosome:Graimondii2_0_v6:5:566903:569094:1 gene:B456_005G008200 transcript:KJB27758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLEELRKQIHEERERSEFRLLQEQAGLVPKQERLDFLYDSGLAVGKGASSSAAGGSGGGGFKALEEALPGSKAGTDGNANQSSSAPGALFEDKPHSANDTWRKLHSDPLLMIRQREQEALARIKNNPVQMAMIRKSVVEKKQKEKSPDHKEHRKKHHRSSSKHRKHSSSKRHSYSEDDTSKEDKKDKNHHRKRSDYEGHYRKTESDSEHELKETEREEKSHRRQKYGYDDQDVDKRSHDKSKRDKYSSQAPRSIDADKNQEKDRRSYDHRDTGPRDNKRRGVSHKLSEEERAARLREMQEDAELHEEQRWKRLKKAEENDAQEATLSSTSVGKNFLDAANRSIYGAEKGGSSTIEESVRRRAHYSQGRYESEGNAFRR >KJB27757 pep chromosome:Graimondii2_0_v6:5:566797:569104:1 gene:B456_005G008200 transcript:KJB27757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLEELRKQIHEERERSEFRLLQEQAGLVPKQERLDFLYDSGLAVGKGASSSAAGGSGGGGFKALEEALPGSKAGTDGNANQSSSAPGALFEDKPHSANDTWRKLHSDPLLMIRQREQEALARIKNNPVQMAMIRKSVVEKKQKEKSPDHKEHRKKHHRSSSKHRKHSSSKRHSYSEDDTSKEDKKDKNHHRKRSDYEGHYRKTESDSEHELKETEREEKSHRRQKYGYDDQDVDKRSHDKSKRDKYSSQAPRSIDADKNQEKDRRSYDHRDTGPRDNKRRGVSHKLSEEERAARLREMQEDAELHEEQRWKRLKKAEENDAQEATLSSTSVGKNFLDAANRSIYGAEKGGSSTIEESVRRRAHYSQGRYESEGNAFRR >KJB29849 pep chromosome:Graimondii2_0_v6:5:25601802:25602881:1 gene:B456_005G121100 transcript:KJB29849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVKRVVGEMLLHFSSLIGESFCFASCPRILKNNTTQIVEPPSTLEEEEEPLPDEFVLVEKSQLDGEVEQIIFSSGGDVDVYELQALCDKVGWPRRPLSKLAAALKNSYMVAALHSVKKLPGSEGNEQKRLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKAMVEKMIRTLLQRDIGNITLFADSQVVEFYRNLGFEPDPEGIKGMFWYPRY >KJB29846 pep chromosome:Graimondii2_0_v6:5:25600979:25603237:1 gene:B456_005G121100 transcript:KJB29846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTHNVAAPSSLCPILSNDWHFHVSDQLISPHNLNPNLFWRASRKIKGCRLKSSFWESIRSGILKNNTTQIVEPPSTLEEEEEPLPDEFVLVEKSQLDGEVEQIIFSSGGDVDVYELQALCDKVGWPRRPLSKLAAALKNSYMVAALHSVKKLPGSEGNEQKRLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKAMVEKMIRTLLQRDIGNITLFADSQVVEFYRNLGFEPDPEGIKGMFWYPRY >KJB29848 pep chromosome:Graimondii2_0_v6:5:25600826:25603267:1 gene:B456_005G121100 transcript:KJB29848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSTRPTRPERKTRKCPILSNDWHFHVSDQLISPHNLNPNLFWRASRKIKGCRLKSSFWESIRSGILKNNTTQIVEPPSTLEEEEEPLPDEFVLVEKSQLDGEVEQIIFSSGGDVDVYELQALCDKVGWPRRPLSKLAAALKNSYMVAALHSVKKLPGSEGNEQKRLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKAMVEKMIRTLLQRDIGNITLFADSQVVEFYRNLGFEPDPEGIKGMFWYPRY >KJB29847 pep chromosome:Graimondii2_0_v6:5:25600874:25603237:1 gene:B456_005G121100 transcript:KJB29847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTHNVAAPSSLCPILSNDWHFHVSDQLISPHNLNPNLFWRASRKIKGCRLKSSFWESIRSGILKNNTTQIVEPPSTLEEEEEPLPDEFVLVEKSQLDGEVEQIIFSSGGDVDVYELQALCDKVGWPRRPLSKLAAALKNSYMVAALHSVKKLPGSGNEQKRLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKAMVEKMIRTLLQRDIGNITLFADSQVVEFYRNLGFEPDPEGIKGMFWYPRY >KJB28483 pep chromosome:Graimondii2_0_v6:5:5183875:5189757:1 gene:B456_005G052500 transcript:KJB28483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEGIDDYTQDGTVDLKGNPVRRSKRGGWTACSFVVVYEVFERMAYYGISSNLVLYLTKKLHQGTVKSANNVTNWVGTIWITPILGAYVADAHLGRYWTFVFASLIYVTGMSLLTLSVSIPGLKPPPCHQANIDDCKKASTLQLATFFGALYTLAIGTGGTKPNISTIGADQFDDFHPKEKASKLSFFNWWMFSIFFGTLFANTVLVYIQDNVGWTLGYGLPTLGLVISVMIFLAGTPFYRHRVPAGSPFTKMAMVIVAAARKWRVPLPIDPKELHELDLEEYARNGKFMIGSTPTLRFLNKAAVKTGSTDPWMLSAVTQVEETKQMLRMIPILIATFVPSTMIAQINTLFIKQGTTLDRHIGNFKIPPASLAGFVTISMLACVVLYDRFFVPVIRKWTNNPRGITLLQRMGIGLVLHFIIMAVASLTERYRLNVAKQHGLVENGGQLPLTIFILLPQFVLMGTADAFLEVAKLEFFYDQAPESMKSLGTSYSSTSLGIGNFLSSFLLSTVSDITQGDGHQGWILNNLNKFYVYKAEVSESMHVLTEELKVMRLKASNQEAAKGIA >KJB28482 pep chromosome:Graimondii2_0_v6:5:5183875:5189757:1 gene:B456_005G052500 transcript:KJB28482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEGIDDYTQDGTVDLKGNPVRRSKRGGWTACSFVVVYEVFERMAYYGISSNLVLYLTKKLHQGTVKSANNVTNWVGTIWITPILGAYVADAHLGRYWTFVFASLIYVTGMSLLTLSVSIPGLKPPPCHQANIDDCKKASTLQLATFFGALYTLAIGTGGTKPNISTIGADQFDDFHPKEKASKLSFFNWWMFSIFFGTLFANTVLVYIQDNVGWTLGYGLPTLGLVISVMIFLAGTPFYRHRVPAGSPFTKMAMVIVAAARKWRVPLPIDPKELHELDLEEYARNGKFMIGSTPTLRFLNKAAVKTGSTDPWMLSAVTQVEETKQMLRMIPILIATFVPSTMIAQINTLFIKQGTTLDRHIGNFKIPPASLAGFVTISMLACVVLYDRFFVPVIRKWTNNPRGITLLQRMGIGLVLHFIIMAVASLTERYRLNVAKQHGLVENGGQLPLTIFILLPQFVLMGTADAFLEVAKLEFFYDQAPESMKSLGTSYSSTSLGIGNFLSSFLLSTVSDITQGDGHQGWILNNLNKSHLDYYYAFFAVLNFLNFIFFMAMIRFYVYKAEVSESMHVLTEELKVMRLKASNQEAAKGIA >KJB29437 pep chromosome:Graimondii2_0_v6:5:15833840:15834908:-1 gene:B456_005G100500 transcript:KJB29437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPGFVRASNHAQLPQWKDTWTRVLPALTLWICVSVILRYGYYGNCSMVLGPSSSRLMKASSVFVQQVQVRDENWKGALVYMFSEKPELSNEVNWNFSNYLIVGAYARKGYSLWLNKGSRICIRWATQPSRLDKIELIIIKGEKKRETLLPEQTVPFGALFLNEPVTGKEADYNIEEDDKYSVGLINSNPRSIIITFSLNVTSKVYDVTKASDMCSTLNGSCRLQLQFPHTQYVIVSTPDNVRSQPFRNSI >KJB29436 pep chromosome:Graimondii2_0_v6:5:15832856:15834929:-1 gene:B456_005G100500 transcript:KJB29436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPGFVRASNHAQLPQWKDTWTRVLPALTLWICVSVILRYGYYGNCSMVLGPSSSRLMKASSVFVQQVQVRDENWKGALVYMFSEKPELSNEVNWNFSNYLIVGAYARKGYSLWLNKGSRICIRWATQPSRLDKIELIIIKGEKKRETLLPEQTVPFGALFLNEPVTGKEADYNIEEDDKYSVGLINSNPRSIIITFSLNVTSKVYDVTKASDMCSTLNGSCRLQLQFPHTQYVIVSTPDNGDIAGRYVELSFVARVVTYIAILGFFIIIILLVLKYLGACNDERTVINSNTTFREISWTETDPILLEKSVRLTYGTTAEDDNDDAETGSSSSSSEDLYDAKLCVICYDDQRNCFFVPCGHCATCYDCAQRYKQEEKKRNLLSLLSLTNKNCRIMEEDNKVCPICRRLIHKVRRLFSP >KJB29433 pep chromosome:Graimondii2_0_v6:5:15832856:15834929:-1 gene:B456_005G100500 transcript:KJB29433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFQGYSLWLNKGSRICIRWATQPSRLDKIELIIIKGEKKRETLLPEQTVPFGALFLNEPVTGKEADYNIEEDDKYSVGLINSNPRSIIITFSLNVTSKVYDVTKASDMCSTLNGSCRLQLQFPHTQYVIVSTPDNGDIAGRYVELSFVARVVTYIAILGFFIIIILLVLKYLGACNDERTVINSNTTFREISWTETDPILLEKSVRLTYGTTAEDDNDDAETGSSSSSSEDLYDAKLCVICYDDQRNCFFVPCGHCATCYDCAQRIMEEDNKVCPICRRLIHKVRRLFSP >KJB29432 pep chromosome:Graimondii2_0_v6:5:15833552:15834908:-1 gene:B456_005G100500 transcript:KJB29432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPGFVRASNHAQLPQWKDTWTRVLPALTLWICVSVILRYGYYGNCSMVLGPSSSRLMKASSVFVQQVQVRDENWKGALVYMFSEKPELSNEVNWNFSNYLIVGAYARKGYSLWLNKGSRICIRWATQPSRLDKIELIIIKGEKKRETLLPEQTVPFGALFLNEPVTGKEADYNIEEDDKYSVGLINSNPRSIIITFSLNVTSKVYDVTKASDMCSTLNGSCRLQLQFPHTQYVIVSTPDNGDIAGRFLHHYHFIGAEIPRSMQ >KJB29430 pep chromosome:Graimondii2_0_v6:5:15832856:15834929:-1 gene:B456_005G100500 transcript:KJB29430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGPSSSRLMKASSVFVQQVQVRDENWKGALVYMFSEKPELSNEVNWNFSNYLIVGAYARKGYSLWLNKGSRICIRWATQPSRLDKIELIIIKGEKKRETLLPEQTVPFGALFLNEPVTGKEADYNIEEDDKYSVGLINSNPRSIIITFSLNVTSKVYDVTKASDMCSTLNGSCRLQLQFPHTQYVIVSTPDNGDIAGRYVELSFVARVVTYIAILGFFIIIILLVLKYLGACNDERTVINSNTTFREISWTETDPILLEKSVRLTYGTTAEDDNDDAETGSSSSSSEDLYDAKLCVICYDDQRNCFFVPCGHCATCYDCAQRIMEEDNKVCPICRRLIHKVRRLFSP >KJB29431 pep chromosome:Graimondii2_0_v6:5:15832785:15835090:-1 gene:B456_005G100500 transcript:KJB29431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPGFVRASNHAQLPQWKDTWTRVLPALTLWICVSVILRYGYYGNCSMVLGPSSSRLMKASSVFVQQVQVRDENWKGALVYMFSEKPELSNEVNWNFSNYLIVGAYARKGYSLWLNKGSRICIRWATQPSRLDKIELIIIKGEKKRETLLPEQTVPFGALFLNEPVTGKEADYNIEEDDKYSVGLINSNPRSIIITFSLNVTSKVYDVTKASDMCSTLNGSCRLQLQFPHTQYVIVSTPDNGDIAGRYVELSFVARVVTYIAILGFFIIIILLVLKYLGACNDERTVINSNTTFREISWTETDPILLEKSVRLTYGTTAEDDNDDAETGSSSSSSEDLYDAKLCVICYDDQRNCFFVPCGHCATCYDCAQRIMEEDNKVCPICRRLIHKVRRLFSP >KJB29438 pep chromosome:Graimondii2_0_v6:5:15833143:15834214:-1 gene:B456_005G100500 transcript:KJB29438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIGTLTQFICVSAGEKKRETLLPEQTVPFGALFLNEPVTGKEADYNIEEDDKYSVGLINSNPRSIIITFSLNVTSKVYDVTKASDMCSTLNGSCRLQLQFPHTQYVIVSTPDNGDIAGRYVELSFVARVVTYIAILGFFIIIILLVLKYLGACNDERTVINSNTTFREISWTETDPILLEKSVRLTYGTTAEDDNDDAETGSSSSSSEDLYDAKLCVICYDDQRNCFFVPCGHCATCYDCAQRIMEEDNKVCPICRRLIHKVRRLFSP >KJB29435 pep chromosome:Graimondii2_0_v6:5:15833840:15834908:-1 gene:B456_005G100500 transcript:KJB29435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPGFVRASNHAQLPQWKDTWTRVLPALTLWICVSVILRYGYYGNCSMVLGPSSSRLMKASSVFVQQVQVRDENWKGALVYMFSEKPELSNEVNWNFSNYLIVGAYARKGYSLWLNKGSRICIRWATQPSRLDKIELIIIKGEKKRETLLPEQTVPFGALFLNEPVTGKEADYNIEEDDKYSVGLINSNPRSIIITFSLNVTSKVYDVTKASDMCSTLNGSCRLQLQFPHTQYVIVSTPDNVRSQPFRNSI >KJB29434 pep chromosome:Graimondii2_0_v6:5:15832808:15834962:-1 gene:B456_005G100500 transcript:KJB29434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPGFVRASNHAQLPQWKDTWTRVLPALTLWICVSVILRYGYYGNCSMVLGPSSSRLMKASSVFVQQVQVRDENWKGALVYMFSEKPELSNEVNWNFSNYLIVGAYARKGYSLWLNKGSRICIRWATQPSRLDKIELIIIKGEKKRETLLPEQTVPFGALFLNEPVTGKEADYNIEEDDKYSVGLINSNPRSIIITFSLNVTSKVYDVTKASDMCSTLNGSCRLQLQFPHTQYVIVSTPDNGDIAGREISWTETDPILLEKSVRLTYGTTAEDDNDDAETGSSSSSSEDLYDAKLCVICYDDQRNCFFVPCGHCATCYDCAQRIMEEDNKVCPICRRLIHKVRRLFSP >KJB28495 pep chromosome:Graimondii2_0_v6:5:5053764:5057276:1 gene:B456_005G051300 transcript:KJB28495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSARRQRDLIAGKQRPAKNLNPISDSTPTLKKTSSGKENPRPSSLSRASVVTQKPKIRPVPRVEKAAAVGGSDSEGQVRWSTSSAPRGRSQSPSEFVRVFSDLKKDRVSIDREKKGFRDSTGRGNKENGGFRESLVMKVKENEGKVKGVRVSDGNCKKDAKFSSDLGKPNGDNIQNEGFGAFNEKGISDFDSELGACIRVDEKCDAKFLKEKSLSDGKSLVISKEKDLSVQESEGSGAAIKYPSKLHEKLAFLEGKVKRIASDIKRTKEILDMNNPDASKVILSDIQDKISGIEKAMGNVVSDSNCKSSSSKGSGDEEVSTKEADGSQSRRVVGNVKISVKDLNSEELEARLFPHHKLLRNRTSLKEPSGSSQSHEPSDAIESGCKIRDEKKLLSSIEDNPIALEFLASLDKQSQVTTRNELATMENSDTQDMDGGGGSGAQGPSKNLFVKHGVEFNLESDEILEDFDDQENRPTAVIDEESEDPSIYPLNEIGPKMSTGGWFVSEGEAALLAHDDGSCSFYDITNCEEKAIYKPPVGISPNIWRDCWIIRAPSADGCSGRYVVAASAGNSLESGFCSWDFYSKEVRAFHTEHREMASRTVLGPLPNNALYRRNALCNSLSPETQQWWYKPLGPLMVATASTQKVVKVYDIRDGEEIMKWEVQKPVLTMDYSSPLQWRNRGKVVVAEAEMISVWDVNSLHPQTVLSVSSSGRKISALHVNNTDAEIGGGVRQRVSSSEAEGNDGVFCTADSINILDFRHPSGIGAKIAKVGVNVHSVFSRGDMVFLGCTNVKPSGKKQPCSQVQQFSLRKQRLFTTYSLPESNAHSHYSAIPQVWGNSNLVMGVCGLGLFVFVP >KJB28496 pep chromosome:Graimondii2_0_v6:5:5053801:5057236:1 gene:B456_005G051300 transcript:KJB28496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSARRQRDLIAGKQRPAKNLNPISDSTPTLKKTSSGKENPRPSSLSRASVVTQKPKIRPVPRVEKAAAVGGSDSEGQVRWSTSSAPRGRSQSPSEFVRVFSDLKKDRVSIDREKKGFRDSTGRGNKENGGFRESLVMKVKENEGKVKGVRVSDGNCKKDAKFSSDLGKPNGDNIQNEGFGAFNEKGISDFDSELGACIRVDEKCDAKFLKEKSLSDGKSLVISKEKDLSVQESEGSGAAIKYPSKLHEKLAFLEGKVKRIASDIKRTKEILDMNNPDASKVILSDIQDKISGIEKAMGNVVSDSNCKSSSSKGSGDEEVSTKEADGSQSRRVVGNVKISVKDLNSEELEARLFPHHKLLRNRTSLKEPSGSSQSHEPSDAIESGCKIRDEKKLLSSIEDNPIALEFLASLDKQSQVTTRNELATMENSDTQDMDGGGGSGAQGPSKNLFVKHGVEFNLESDEILEDFDDQENRPTAVIDEESEDPSIYPLNEIGPKMSTGGWFVSEGEAALLAHDDGSCSFYDITNCEEKAIYKPPVGISPNIWRDCWIIRAPSADGCSGRYVVAASAGNSLESGFCSWDFYSKEVRAFHTEHREMASRTQWWYKPLGPLMVATASTQKVVKVYDIRDGEEIMKWEVQKPVLTMDYSSPLQWRNRGKVVVAEAEMISVWDVNSLHPQTVLSVSSSGRKISALHVNNTDAEIGGGVRQRVSSSEAEGNDGVFCTADSINILDFRHPSGIGAKIAKVGVNVHSVFSRGDMVFLGCTNVKPSGKKQPCSQVQQFSLRKQRLFTTYSLPESNAHSHYSAIPQVWGNSNLVMGVCGLGLFVFVP >KJB28494 pep chromosome:Graimondii2_0_v6:5:5053735:5057280:1 gene:B456_005G051300 transcript:KJB28494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSARRQRDLIAGKQRPAKNLNPISDSTPTLKKTSSGKENPRPSSLSRASVVTQKPKIRPVPRVEKAAAVGGSDSEGQVRWSTSSAPRGRSQSPSEFVRVFSDLKKDRVSIDREKKGFRDSTGRGNKENGGFRESLVMKVKENEGKVKGVRVSDGNCKKDAKFSSDLGKPNGDNIQNEGFGAFNEKGISDFDSELGACIRVDEKCDAKFLKEKSLSDGKSLVISKEKDLSVQESEGSGAAIKYPSKLHEKLAFLEGKVKRIASDIKRTKEILDMNNPDASKVILSDIQDKISGIEKAMGNVVSDSNCKSSSSKGSGDEEVSTKEADGSQSRRVVGNVKISVKDLNSEELEARLFPHHKLLRNRTSLKEPSGSSQSHEPSDAIESGCKIRDEKKLLSSIEDNPIALEFLASLDKQSQVTTRNELATMENSDTQDMDGGGGSGAQGPSKNLFVKHGVEFNLESDEILEDFDDQENRPTAVIDEESEDPSIYPLNEIGPKMSTGGWFVSEGEAALLAHDDGSCSFYDITNCEEKAIYKPPVGISPNIWRDCWIIRAPSADGCSGRYVVAASAGNSLESGFCSWDFYSKEVRAFHTEHREMASRTWWYKPLGPLMVATASTQKVVKVYDIRDGEEIMKWEVQKPVLTMDYSSPLQWRNRGKVVVAEAEMISVWDVNSLHPQTVLSVSSSGRKISALHVNNTDAEIGGGVRQRVSSSEAEGNDGVFCTADSINILDFRHPSGIGAKIAKVGVNVHSVFSRGDMVFLGCTNVKPSGKKQPCSQVQQFSLRKQRLFTTYSLPESNAHSHYSAIPQVWGNSNLVMGVCGLGLFVFVP >KJB30837 pep chromosome:Graimondii2_0_v6:5:47436190:47437767:-1 gene:B456_005G163200 transcript:KJB30837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAWSEIASSMEALSLSPPMSPPVILTQDELKKIAAYKAVEFVESGMVLGLGTGSTAKHAVERIGELLRQGRLTNIIGIPTSKKTQEQAISLGIPLSDLDSHPTIDLAIDGADEVDPHLNLVKGRGGSLLREKMVEGACKKFVCIVDESKLVKYLGGSGLAMPVEVVPFCWKFTANKLQNLFRDSGCVAKLRKDCKGEPFVTDNGNYIVDLYLKKDIGDLQVASDAILRIAGVVEHGMFLDMATTVIVAGELGITIKNK >KJB31142 pep chromosome:Graimondii2_0_v6:5:52404202:52409024:-1 gene:B456_005G178900 transcript:KJB31142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEDAEQPLLSPRLVGEDSHHQEQLLSRPSNTTFTPGIDDIPPINGVRDFFREYLIESKKLWFLAGPAIFTSLCRYSLGAITQVFSGQVGTLALAAVSVENSVIAGFSFGIMLGMGSALETLCGQAFGAGQVDMLGVYMQRSWVILNSTALMLSLLYIFAAKFLRVIGQTESISKAAGMFAIWMLPQLFAYAFNFPMAKFLQAQSKIMVMAVIAGVALVLHTVLSWLLMLKLGWGLVGAAVVLNMSWIFIVVAQFLYIISGACDRTWTGFSGKAFQHLWGFVRLSLASAVMLCLEVWYFMALILFAGYLTNAEVSVDALSICMNILGWTIMVAMGMNAAISVRVSNELGSGHPRTAKFSLLVAVSYSFMIGVTIALILIIFRNHYPYLFSNDSQVQEMVIDLTPLLALCITINNVQPVLSVYV >KJB31147 pep chromosome:Graimondii2_0_v6:5:52405290:52408973:-1 gene:B456_005G178900 transcript:KJB31147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEDAEQPLLSPRLVGEDSHHQEQLLSRPSNTTFTPGIDDIPPINGVRDFFREYLIESKKLWFLAGPAIFTSLCRYSLGAITQVFSGQVGTLALAAVSVENSVIAGFSFGIMLGMGSALETLCGQAFGAGQVDMLGVYMQRSWVILNSTALMLSLLYIFAAKFLRVIGQTESISKAAGMFAIWMLPQLFAYAFNFPMAKFLQAQSKIMVMAVIAGVALVLHTVLSWLLMLKLGWGLVGAAVVLNMSWIFIVVAQFLYIISGACDRTWTGFSGKAFQHLWGFVRLSLASAVMLCLEVWYFMALILFAGYLTNAEVSVDALSICMNILGWTIMVAMGMNAAISVRVSNELGSGHPRTAKFSLLVAVSYSFMIGVTIALILIIFRNHYPYLFSNDSQVQEMVIDLTPLLALCITINNVQPVLSVYV >KJB31144 pep chromosome:Graimondii2_0_v6:5:52404393:52409024:-1 gene:B456_005G178900 transcript:KJB31144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEDAEQPLLSPRLVGEDSHHQEQLLSRPSNTTFTPGIDDIPPINGVRDFFREYLIESKKLWFLAGPAIFTSLCRYSLGAITQVFSGQVGTLALAAVSVENSVIAGFSFGIMLGMGSALETLCGQAFGAGQVDMLGVYMQRSWVILNSTALMLSLLYIFAAKFLRVIGQTESISKAAGMFAIWMLPQLFAYAFNFPMAKFLQAQSKIMVMAVIAGVALVLHTVLSWLLMLKLGWGLVGAAVVLNMSWIFIVVAQFLYIISGACDRTWTGFSGKAFQHLWGFVRLSLASAVMLCLEVWYFMALILFAGYLTNAEVSVDALSICMNILGWTIMVAMGMNAAISVRVSNELGSGHPRTAKFSLLVAVSYSFMIGVTIALILIIFRNHYPYLFSNDSQVQEMVIDLTPLLALCITINNVQPVLSGMAVGAGWQTAVAYVNITCYYLFGVPLGLTLGFLLHMGVKVRNLVWDVNRNSRPNLCTTWNDLQNQLE >KJB31143 pep chromosome:Graimondii2_0_v6:5:52404202:52409063:-1 gene:B456_005G178900 transcript:KJB31143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEDAEQPLLSPRLVGEDSHHQEQLLSRPSNTTFTPGIDDIPPINGVRDFFREYLIESKKLWFLAGPAIFTSLCRYSLGAITQVFSGQVGTLALAAVSVENSVIAGFSFGIMLGMGSALETLCGQAFGAGQVDMLGVYMQRSWVILNSTALMLSLLYIFAAKFLRVIGQTESISKAAGMFAIWMLPQLFAYAFNFPMAKFLQAQSKIMVMAVIAGVALVLHTVLSWLLMLKLGWGLVGAAVVLNMSWIFIVVAQFLYIISGACDRTWTGFSGKAFQHLWGFVRLSLASAVMLCLEVWYFMALILFAGYLTNAEVSVDALSICMNILGWTIMVAMGMNAAISVRVSNELGSGHPRTAKFSLLVAVSYSFMIGVTIALILIIFRNHYPYLFSNDSQVQEMVIDLTPLLALCITINNVQPVLSGMAVGAGWQTAVAYVNITCYYLFGVPLGLTLGFLLHMGVKGIWCGMLTGTVVQTCVLLGMIYKTSWNKEASMAGERIKKWGGGR >KJB31148 pep chromosome:Graimondii2_0_v6:5:52404893:52409024:-1 gene:B456_005G178900 transcript:KJB31148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEDAEQPLLSPRLVGEDSHHQEQLLSRPSNTTFTPGIDDIPPINGVRDFFREYLIESKKLWFLAGPAIFTSLCRYSLGAITQVFSGQVGTLALAAVSVENSVIAGFSFGIMLGMGSALETLCGQAFGAGQVDMLGVYMQRSWVILNSTALMLSLLYIFAAKFLRVIGQTESISKAAGMFAIWMLPQLFAYAFNFPMAKFLQAQSKIMVMAVIAGVALVLHTVLSWLLMLKLGWGLVGAAVVLNMSWIFIVVAQFLYIISGACDRTWTGFSGKAFQHLWGFVRLSLASAVMLCLEVWYFMALILFAGYLTNAEVSVDALSICMNILGWTIMVAMGMNAAISVRVSNELGSGHPRTAKFSLLVAVSYSFMIGVTIALILIIFRNHYPYLFSNDSQVQEMVIDLTPLLALCITINNVQPVLSGMAVGAGWQTAVAYVNITCYYLFGVPLGLTLGFLLHMGVKFLEFC >KJB31145 pep chromosome:Graimondii2_0_v6:5:52404833:52409024:-1 gene:B456_005G178900 transcript:KJB31145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEDAEQPLLSPRLVGEDSHHQEQLLSRPSNTTFTPGIDDIPPINGVRDFFREYLIESKKLWFLAGPAIFTSLCRYSLGAITQVFSGQVGTLALAAVSVENSVIAGFSFGIMLGMGSALETLCGQAFGAGQVDMLGVYMQRSWVILNSTALMLSLLYIFAAKFLRVIGQTESISKAAGMFAIWMLPQLFAYAFNFPMAKFLQAQSKIMVMAVIAGVALVLHTVLSWLLMLKLGWGLVGAAVVLNMSWIFIVVAQFLYIISGACDRTWTGFSGKAFQHLWGFVRLSLASAVMLCLEVWYFMALILFAGYLTNAEVSVDALSICMNILGWTIMVAMGMNAAISVRVSNELGSGHPRTAKFSLLVAVSYSFMIGVTIALILIIFRNHYPYLFSNDSQVQEMVIDLTPLLALCITINNVQPVLSGMAVGAGWQTAVAYVNITCYYLFGVPLGLTLGFLLHMGVKWGSFR >KJB31146 pep chromosome:Graimondii2_0_v6:5:52404803:52409024:-1 gene:B456_005G178900 transcript:KJB31146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEDAEQPLLSPRLVGEDSHHQEQLLSRPSNTTFTPGIDDIPPINGVRDFFREYLIESKKLWFLAGPAIFTSLCRYSLGAITQVFSGQVGTLALAAVSVENSVIAGFSFGIMLGMGSALETLCGQAFGAGQVDMLGVYMQRSWVILNSTALMLSLLYIFAAKFLRVIGQTESISKAAGMFAIWMLPQLFAYAFNFPMAKFLQAQSKIMVMAVIAGVALVLHTVLSWLLMLKLGWGLVGAAVVLNMSWIFIVVAQFLYIISGACDRTWTGFSGKAFQHLWGFVRLSLASAVMLCLEVWYFMALILFAGYLTNAEVSVDALSICMNILGWTIMVAMGMNAAISVRVSNELGSGHPRTAKFSLLVAVSYSFMIGVTIALILIIFRNHYPYLFSNDSQVQEMVIDLTPLLALCITINNVQPVLSGMAVGAGWQTAVAYVNITCYYLFGVPLGLTLGFLLHMGVKA >KJB31298 pep chromosome:Graimondii2_0_v6:5:54214250:54215208:-1 gene:B456_005G184600 transcript:KJB31298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGVCQQPFCLFLPFSIYTIANTAMASSGQLHVDFELKSPAEKVWGTIRDSTTIFPQALSHDYKSIQVLEGDGKAPGSVRLINYAEGSPIVKVSKERIESVDEAEKKYVYSIIDGDLLKYYKTFIGKIIVVPKGESSLVKWSCEFEKASEEIPDPSVIKEFAVKNFKEIDDYLHGKA >KJB30084 pep chromosome:Graimondii2_0_v6:5:31410412:31413619:1 gene:B456_005G129200 transcript:KJB30084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative protein FAR1-RELATED SEQUENCE 10 [Source:Projected from Arabidopsis thaliana (AT5G28530) UniProtKB/Swiss-Prot;Acc:Q9LKR4] MTTIFSSMKGLNFNIVQRRALRLRSKKHFTCFCTKMTMKPSSNIWIRRQQCPCGDWKCYVKYEGDDQTSVSSQLIKNETTSTSSSSEAVFMPYVGQIFKTDEDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSVRCGCDAKLYLTKEIVNGVTQWYISQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKSVQENDALLTEKRENDTLELLEACKAMAERDADFVYDYTTDENSKIENISWSYADSVRAYTVFGDVVTFDTTYRSITYGLLLGVWFGIDNHGKPVFLGCALLQDESLNSFAWALQTFLRFMRGRCPQTVLTDIDSSLRDAIARELPDTKHVICSWHVHSKLSSWFTPSLGSQYEEFKAEFDMLCHLEGIEEFEHQWNHLVARFGLASDKHIALLFSYRTSWPLSYIRSYFLARSMTAEFYQSLDEFFKRILSGQTCLQLFFEQVGFAADLRNRSKEALQYMHTKTCLPIEENARSILTPYAFNALQHEIVLSMQYATTELANGSYLVRHYKKMEGEYLVIWISQDEQIHCSCKEFEHSGILCRHCLRVLTVKNYFEIPEKYILFRWRLESSLVALEDRNGQCNSDEYAQVFHSLAATLLTESLFTKERFNHVHRELSRLLEHVQNMPVSNEFASNMAANNISES >KJB30081 pep chromosome:Graimondii2_0_v6:5:31408828:31412369:1 gene:B456_005G129200 transcript:KJB30081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative protein FAR1-RELATED SEQUENCE 10 [Source:Projected from Arabidopsis thaliana (AT5G28530) UniProtKB/Swiss-Prot;Acc:Q9LKR4] MTMKPSSNIWIRRQQCPCGDWKCYVKYEGDDQTSVSSQLIKNETTSTSSSSEAVFMPYVGQIFKTDEDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSVRCGCDAKLYLTKEIVNGVTQWYISQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKSVQENDALLTEKRENDTLELLEACKAMAERDADFVYDYTTDENSKIENISWSYADSVRAYTVFGDVVTFDTTYRSITYGLLLGVWFGIDNHGKPVFLGCALLQDESLNSFAWALQTFLRFMRGRCPQTVLTDIDSSLRDAIARELPDTKHVICSWHVHSKLSSWFTPSLGSQYEEFKAEFDMLCHLEGIEEFEHQWNHLVARFGLASDKHIALLFSYRTSWPLSYIRSYFLARSMTAEFYQSLDEFFKRILSGQTCLQLFFEQVCWLGM >KJB30080 pep chromosome:Graimondii2_0_v6:5:31409316:31413619:1 gene:B456_005G129200 transcript:KJB30080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative protein FAR1-RELATED SEQUENCE 10 [Source:Projected from Arabidopsis thaliana (AT5G28530) UniProtKB/Swiss-Prot;Acc:Q9LKR4] MTMKPSSNIWIRRQQCPCGDWKCYVKYEGDDQTSVSSQLIKNETTSTSSSSEAVFMPYVGQIFKTDEDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSVRCGCDAKLYLTKEIVNGVTQWYISQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKSVQENDALLTEKRENDTLELLEACKAMAERDADFVYDYTTDENSKIENISWSYADSVRAYTVFGDVVTFDTTYRSITYGLLLGVWFGIDNHGKPVFLGCALLQDESLNSFAWALQTFLRFMRGRCPQTVLTDIDSSLRDAIARELPDTKHVICSWHVHSKLSSWFTPSLGSQYEEFKAEFDMLCHLEGIEEFEHQWNHLVARFGLASDKHIALLFSYRTSWPLSYIRSYFLARSMTAEFYQSLDEFFKRILSGQTCLQLFFEQVGFAADLRNRSKEALQYMHTKTCLPIEENARSILTPYAFNALQHEIVLSMQYATTELANGSYLVRHYKKMEGEYLVIWISQDEQIHCSCKEFEHSGILCRHCLRVLTVKNYFEIPEKYILFRWRLESSLVALEDRNGQCNSDEYAQVFHSLAATLLTESLFTKERFNHVHRELSRLLEHVQNMPVSNEFASNMAANNISES >KJB30082 pep chromosome:Graimondii2_0_v6:5:31408755:31413619:1 gene:B456_005G129200 transcript:KJB30082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative protein FAR1-RELATED SEQUENCE 10 [Source:Projected from Arabidopsis thaliana (AT5G28530) UniProtKB/Swiss-Prot;Acc:Q9LKR4] MTMKPSSNIWIRRQQCPCGDWKCYVKYEGDDQTSVSSQLIKNETTSTSSSSEAVFMPYVGQIFKTDEDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSVRCGCDAKLYLTKEIVNGVTQWYISQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKSVQENDALLTEKRENDTLELLEACKAMAERDADFVYDYTTDENSKIENISWSYADSVRAYTVFGDVVTFDTTYRSITYGLLLGVWFGIDNHGKPVFLGCALLQDESLNSFAWALQTFLRFMRGRCPQTVLTDIDSSLRDAIARELPDTKHVICSWHVHSKLSSWFTPSLGSQYEEFKAEFDMLCHLEGIEEFEHQWNHLVARFGLASDKHIALLFSYRTSWPLSYIRSYFLARSMTAEFYQSLDEFFKRILSGQTCLQLFFEQVGFAADLRNRSKEALQYMHTKTCLPIEENARSILTPYAFNALQHEIVLSMQYATTELANGSYLVRHYKKMEGEYLVIWISQDEQIHCSCKEFEHSGILCRHCLRVLTVKNYFEIPEKYILFRWRLESSLVALEDRNGQCNSDEYAQVFHSLAATLLTESLFTKERFNHVHRELSRLLEHVQNMPVSNEFASNMAANNISES >KJB30083 pep chromosome:Graimondii2_0_v6:5:31409703:31413419:1 gene:B456_005G129200 transcript:KJB30083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative protein FAR1-RELATED SEQUENCE 10 [Source:Projected from Arabidopsis thaliana (AT5G28530) UniProtKB/Swiss-Prot;Acc:Q9LKR4] MFNCTFHIVHLLTRVQRRALRLRSKKHFTCFCTKMTMKPSSNIWIRRQQCPCGDWKCYVKYEGDDQTSVSSQLIKNETTSTSSSSEAVFMPYVGQIFKTDEDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSVRCGCDAKLYLTKEIVNGVTQWYISQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKSVQENDALLTEKRENDTLELLEACKAMAERDADFVYDYTTDENSKIENISWSYADSVRAYTVFGDVVTFDTTYRSITYGLLLGVWFGIDNHGKPVFLGCALLQDESLNSFAWALQTFLRFMRGRCPQTVLTDIDSSLRDAIARELPDTKHVICSWHVHSKLSSWFTPSLGSQYEEFKAEFDMLCHLEGIEEFEHQWNHLVARFGLASDKHIALLFSYRTSWPLSYIRSYFLARSMTAEFYQSLDEFFKRILSGQTCLQLFFEQVGFAADLRNRSKEALQYMHTKTCLPIEENARSILTPYAFNALQHEIVLSMQYATTELANGSYLVRHYKKMEGEYLVIWISQDEQIHCSCKEFEHSGILCRHCLRVLTVKNYFEIPEKYILFRWRLESSLVALEDRNGQCNSDEYAQVFHSLAATLLTESLFTKERFNHVHRELSRLLEHVQNMPVSNEFASNMAANNISES >KJB28346 pep chromosome:Graimondii2_0_v6:5:4120863:4126983:1 gene:B456_005G042900 transcript:KJB28346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPNPGELTELTQPSFDEFQHQTSLMTGCTLMWKELSDHISSLEANLMRQSEALKRKIEALDSETKTSLDSLKKRELSIDDSVKIAVNRVELLKKDALKTLNDDNPDGEVDNGDGLLQILKSTCLRMEAKEFWNFVSGRKKDIELLREKIPEALSECIDPAKFVMEAISEVFPVDKRGNERGNDLGWACVLVLESLIPAVVDPVIGKSRMLITRSVKEKAKEIAETWKRSLEERGGIDNVKTPDVHTFFQHLITFGIVNEEELDLYRKLVIGSAWRKQMPKLAVSLGLGDKMPDMIEELISKGQQLDAVHFTYEVGLVNRFPPVPLLKAFLKDAKKAASSILEDPNNAGRAAQLAARKEQSALRAVMKCIEEYKLEAEFPPENLKKRLEQLEKTKTEKRKPAAVPANKRTRASNGGPMPPAKAGRSTNAYVSSFAAPPSIVRSPSHPQYPAAVPAYPSPPPAMYGTQTPPTCPYMYSPEAAPQLAGSYPGAPMNYPAYGGYGNGIAPAYQLRSFSSVFPPVINKEDTKPTNYSSKIYLSPLFNDCSFKLGSNGIELVDDETWRVSSGLAHAYKGFDGEMETLPSMEAVDQRVDNGSPISEDDQDFDDIDNMRIRGNLFYKIDRGSKEFEEYAYDFHRKKGPKNKDDRKESKNKESLHKKDDPKESKRLEKSNVKLLKDVKNGSVRNIPDKVEVCSAEKKVRTPTFNQLTGPYHEPFCLDIYISKASVRACIIHRATSKVVAVAHSISKDMKFDLGSTRNASACAAVGLILAQRALDDDIHDVIYTPRKGDRLEGKLQIVLQSIIDNGVNVKVKLKQRRPKKAVQVSTT >KJB30761 pep chromosome:Graimondii2_0_v6:5:45667969:45671641:1 gene:B456_005G158800 transcript:KJB30761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMKDKLKGFMKKVNTQFSSSSSSSGKFKGQGRVLGSSSSSGPVNPILTRPSPIPNPAPSSSSSNSKPVLPSKPPVSDQNKPSSNSNPEPNHKTGSGFDPYDSFITSSKVSKNGFTLNVFECPICGASYRSEEEVSIHVETCVNNTNSLDRKGTDDESGSNETALEESRGEVEVRVSSFLSGKPAEGSIEVVLKLLRNIVKEPGNDKFRKLRMSNPKIREAIGEVAGGVELLEFVGFELEEEGGEMWAVMDVPKEEQISVISKAIMLLEPGNMEKFKKSENISPTASPEKEETVESKKIDRKIRVFFSVPESVAAKIELPDSFYNLSSEELKREAEMRKKKIADSQLLIPKSYKEKQAKAARRKYRRTIIRIQFPDGVVLQGEFAPWEPTSALYEFVSSALKEPCLEFELLDPVIVKLRVIPSFPAAGEKGRTLEEEDLVPSALIRFKPVETDSVVFTGLSNELLEISEPLVN >KJB30760 pep chromosome:Graimondii2_0_v6:5:45667969:45671641:1 gene:B456_005G158800 transcript:KJB30760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMKDKLKGFMKKVNTQFSSSSSSSGKFKGQGRVLGSSSSSGPVNPILTRPSPIPNPAPSSSSSNSKPVLPSKPPVSDQNKPSSNSNPEPNHKTGSGFDPYDSFITSSKVSKNGFTLNVFECPICGASYRSEEEVSIHVETCVNNTNSLDRKGTDDESGSNETALEESRGEVEVRVSSFLSGKPAEGSIEVVLKLLRNIVKEPGNDKFRKLRMSNPKIREAIGEVAGGVELLEFVGFELEEEGGEMWAVMDVPKEEQISVISKAIMLLEPGNMEKFKKSENISPTASPEKEETVESKKIDRKIRVFFSVPESVAAKIELPDSFYNLSSEELKREAEMRKKKIADSQLLIPKSYKEKQAKAARRKYRRTIIRIQFPDGVVLQGEFAPWEPTSALYEEMKLRKKKKYYTWEHENNNKVD >KJB30759 pep chromosome:Graimondii2_0_v6:5:45667969:45670773:1 gene:B456_005G158800 transcript:KJB30759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMKDKLKGFMKKVNTQFSSSSSSSGKFKGQGRVLGSSSSSGPVNPILTRPSPIPNPAPSSSSSNSKPVLPSKPPVSDQNKPSSNSNPEPNHKTGSGFDPYDSFITSSKVSKNGFTLNVFECPICGASYRSEEEVSIHVETCVNNTNSLDRKGTDDESGSNETALEESRGEVEVRVSSFLSGKPAEGSIEVVLKLLRNIVKEPGNDKFRKLRMSNPKIREAIGEVAGGVELLEFVGFELEEEGGEMWAVMDVPKEEQISVISKAIMLLEPGNMEKFKKSENISPTASPEKEETVESKKIDRKIRVFFSVPESVAAKIELPDSFYNLSSEELKREAEMRKKKIADSQLLIPKSYKEKQAKAARRKYRRTIIRIQFPDGVVLQGEFAPWEPTSALYEVSPLCLAVCVIPYLLR >KJB30758 pep chromosome:Graimondii2_0_v6:5:45667922:45671686:1 gene:B456_005G158800 transcript:KJB30758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMKDKLKGFMKKVNTQFSSSSSSSGKFKGQGRVLGSSSSSGPVNPILTRPSPIPNPAPSSSSSNSKPVLPSKPPVSDQNKPSSNSNPEPNHKTGSGFDPYDSFITSSKVSKNGFTLNVFECPICGASYRSEEEVSIHVETCVNNTNSLDRKGTDDESGSNETALEESRGEVEVRVSSFLSGKPAEGSIEVVLKLLRNIVKEPGNDKFRKLRMSNPKIREAIGEVAGGVELLEFVGFELEEEGGEMWAVMDVPKEEQISVISKAIMLLEPGNMEKFKKSENISPTASPEKEETVESKKIDRKIRVFFSVPESVAAKIELPDSFYNLSSEELKREAEMRKKKIADSQLLIPKSYKEKQAKAARRKYRRTIIRIQFPDGVVLQGEFAPWEPTSALYEFVSSALKEPCLEFELLDPVIVKLRVIPSFPAAGEKGRTLEEEDLVPSALIRFKPVETDSVVFTGLSNELLEISEPLVN >KJB30876 pep chromosome:Graimondii2_0_v6:5:48547818:48549943:-1 gene:B456_005G165500 transcript:KJB30876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAVAKAFVEHYYSTFDANRAGLANLYQEGSMLTFEGQKIQGSQSIVAKLTSLPFQQCKHNITTVDCQPSGSGGMLVFVSGNLQLAGEQHALKFSQRSSQCSL >KJB30875 pep chromosome:Graimondii2_0_v6:5:48549510:48549818:-1 gene:B456_005G165500 transcript:KJB30875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAVAKAFVEHYYSTFDANRAGLANLYQEGSMLTFEGQKIQGSQSIVAKLTSLPFQQCKHNITTVDCQPSGSGGMLVFVSGNLQLAGEQHALKFSQVFSY >KJB30877 pep chromosome:Graimondii2_0_v6:5:48547818:48550058:-1 gene:B456_005G165500 transcript:KJB30877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAVAKAFVEHYYSTFDANRAGLANLYQEGSMLTFEGQKIQGSQSIVAKLTSLPFQQCKHNITTVDCQPSGSGGMLVFVSGNLQLAGEQHALKFSQMFHLMPTPQGSFYVLNDIFRLNYA >KJB30874 pep chromosome:Graimondii2_0_v6:5:48548870:48549818:-1 gene:B456_005G165500 transcript:KJB30874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAVAKAFVEHYYSTFDANRAGLANLYQEGSMLTFEGQKIQGSQSIVAKLTSLPFQQCKHNITTVDCQPSGSGGMLVFVSGNLQLAGEQHALKFSQ >KJB32520 pep chromosome:Graimondii2_0_v6:5:62517310:62519675:-1 gene:B456_005G244800 transcript:KJB32520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDTRRTDLKLLEGGVLKEAEETIKADHVGNNPETDSDSATALQLFLDRIPINNSIPGIKNSPVVELKTGDTVKDAIEFLYTKNAFGAPIVDVLDPEIPLTRFPDRYIGFLDFATLVLWSLQNASKETGKGNFMSLLEKNPEIGNTKVGELAKSFLWNPFFPVRLEDTLFHVLLLISKHRIQVVPVIEQSNLKVTGFVTQNAVIQLLLQSDGLTWFDSIAEKPLSEFRFENESVSFVYGDESIADALHVLFKSQTGAVAVINRQTRMLIGSVRNGDVYLLMENDKIFRDRKVVTVEEFIRIETSDRDPDPTIERDMGALLSAGVLQLRNKYRPRMDSPVTNKKTDTLKEAMKNVARTKSDFCFQVDDSAYSR >KJB27468 pep chromosome:Graimondii2_0_v6:5:39906883:39909937:-1 gene:B456_005G146500 transcript:KJB27468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLTKLYTMEEASQHNTKDDCWVVIDGKVYDVTPYLDEHPGGDDVVLAATGKDATDDFEDAGHSKSAKELMQNFCIGELDTSAPIIPELEIASKKETANYSRNLMDLTKQYWAVPVAIVGISVVAGFICLRKK >KJB27489 pep chromosome:Graimondii2_0_v6:5:60533349:60535535:1 gene:B456_005G222700 transcript:KJB27489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFMLWPIVRDLIIKPWEEGTNQFVIAFNLSFSWLGMGIGIGIGIGIGIGIPLLSCTNNGRAHLCHLSFTVTTQNQTSSRTVLSASTILGKLDWLIFYTTTHQNLYNIDY >KJB31324 pep chromosome:Graimondii2_0_v6:5:54513754:54514473:-1 gene:B456_005G186400 transcript:KJB31324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDACDCENGYSQAGFSVEKKLRLFGFELNPSNSNGDSMKVCGEGDESVNSSNTISSTAKEKSSMAEADDKKFECQYCFKEFANSQALGGHQNAHKKERMKKKRLQLQAKRASLNCYLQPFQNSLGFGSPWYYDSPAYATADFTPYEESQISFSQFEQDSHFNGSHASNLNSLPSEMIPFQRDSSMFTLIQGDRSRDNRPLFKPSSSTPTKQSCKTLDLQLGLGLQQSTIQSSSGGGI >KJB28526 pep chromosome:Graimondii2_0_v6:5:5266953:5269324:-1 gene:B456_005G053300 transcript:KJB28526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KJB28524 pep chromosome:Graimondii2_0_v6:5:5266953:5269228:-1 gene:B456_005G053300 transcript:KJB28524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KJB28525 pep chromosome:Graimondii2_0_v6:5:5267317:5269147:-1 gene:B456_005G053300 transcript:KJB28525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGTWCKSILDSTSEFHFRIYSSK >KJB31721 pep chromosome:Graimondii2_0_v6:5:58359454:58362290:1 gene:B456_005G204300 transcript:KJB31721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTLVKSPILFSISRLQSPPLSSPYRNVDPLPNSPKLSSNSLSISFSRFMFTPSYIPPPEWIEPFINLSGLSSTNPQDLQPSPWRVFEVMEDGKIRLDLVSYNTMIKGYCKAGKTQKAMELLRVMESINLEPDKITYMTLIQACYSEGNFDSCLALYHEMGEKGCEVPPHAYSLVVGGLCKEGKCLEGYVVFENMIRNGLKANVAVYTTLIDAFAKCGKMEEALELFERMKTDGLEPDEVSYGVIVNGLCKSGRLDEAMEYLRFCRANEVAVNAMFYSSLIDGLGKAGRVDEAQKLFEEMVEKDCPRDSYCYNALIDALAKCGRVNEALTLFNRMEDEGCDQTVYTYTILISGLFRERKNEEAMKLWDMMIDKGITPTAASFRALSIGLCLSGKVTRACKILDDLAPMGVIPETALEDMIYVLCKAGRVKEACKLADGIVDRGREIPGRIRTVLINALRKAGNANLAMKLMHSKIGIGYDRVGSIKRRVKFRILLES >KJB28361 pep chromosome:Graimondii2_0_v6:5:4225606:4229957:1 gene:B456_005G044400 transcript:KJB28361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNMKTTHLSINKLYAKHNPSSHPTNMNTLHFLISLFLFSCFLSLVLSFSPPQTHLPKQCLDDQRAPLLQLQHHLYYAPHFTFSSKFDLWDVNTDCCSWEGVTCDAYGHVVGIDLSYKNLSGSFHSIFDLHHLQHLNLAGNNFNTTLFSYGFDKLQNLTHLNLSSSGFHGQIPVNISFLSRLVSLDLSYQVDYCWRSGYYNLSRPHLKLEKPNFKTFIKNLKFLTELYLDGADISTQSTKWCETTSPVLSNLRVLTLSNCGLKGPLCSLLSRLPFLSKLILDCNPISYLPPNFLLNSSRLVSLSLSGCNLNGQFPTGILLLPKIQSIDISSNDQLMGQLPEFPANNALLSLSLGVTNFSGKLPESIGNLKFLTNLELSYCNFFGPIPSSIANLSHLVNLDLSSNKLSGSIHSSLFTLPSLKNLYLGDNQLVGKIDEFPNASSSLIQELSMGNNYLTGPIPKSILQLPRLEGLYIGDNSFSSMKLDMFVQLNNLRTLGLNNISLLIESDNRSLTFPQLETLSLRSCNLTEFPEFIKRQDKLVNLDLSNNHIHGFVPNWLWKSSLSWVDLSFNVIDFPKQLPLNDANFSFPMLTELYLISCNISAFPEFLKSQKNLEEFDASYNNLSGPIPNWLCNMSQLRFFNASYNNLSGSIPNCLDNMSQLDSFDVSYNNLSGSIPNCLDNMSQLDSFDVSYNNLSGPIPNCLGNMSALYSLGLQGNNFSGVIPKFSKATQLRFLKVSGNRLEGKLPRSLAKCTNLMVLDVGSNMINDTFPFWLEKLTYLMVLILRENRFYGQIKHFKHKSVFPTLDVLDIASNQFSGELSIDFLQPTRLRSLKIGGNKLEGKLSRSLANCSALEVLDLGNNMVRDTFPFWLEKLPSLKVLVLRANRFYGTISKIDSERGFPKLRILDIASNNFSGDLSIEFLQSLKAMAKMTNDEKAKLDYIGEDYYQDSVTIVNKGIEMFYQKVLTILTCLDLSNNSFHGRIPEEIQMLRSLRVMNLSNNGFSAGLNLSYNQLEGSIPQSNQFITFTNDSYRGNPKLCGLPLSRKCNEVGLPMPPPPGEDEDSWLYALSTWKIALIGYASGLVVGLCIGYTVLNELGNKWVDKFKKCGKRNRRRCR >KJB28360 pep chromosome:Graimondii2_0_v6:5:4225606:4229843:1 gene:B456_005G044400 transcript:KJB28360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNMKTTHLSINKLYAKHNPSSHPTNMNTLHFLISLFLFSCFLSLVLSFSPPQTHLPKQCLDDQRAPLLQLQHHLYYAPHFTFSSKFDLWDVNTDCCSWEGVTCDAYGHVVGIDLSYKNLSGSFHSIFDLHHLQHLNLAGNNFNTTLFSYGFDKLQNLTHLNLSSSGFHGQIPVNISFLSRLVSLDLSYQVDYCWRSGYYNLSRPHLKLEKPNFKTFIKNLKFLTELYLDGADISTQSTKWCETTSPVLSNLRVLTLSNCGLKGPLCSLLSRLPFLSKLILDCNPISYLPPNFLLNSSRLVSLSLSGCNLNGQFPTGILLLPKIQSIDISSNDQLMGQLPEFPANNALLSLSLGVTNFSGKLPESIGNLKFLTNLELSYCNFFGPIPSSIANLSHLVNLDLSSNKLSGSIHSSLFTLPSLKNLYLGDNQLVGKIDEFPNASSSLIQELSMGNNYLTGPIPKSILQLPRLEGLYIGDNSFSSMKLDMFVQLNNLRTLGLNNISLLIESDNRSLTFPQLETLSLRSCNLTEFPEFIKRQDKLVNLDLSNNHIHGFVPNWLWKSSLSWVDLSFNVIDFPKQLPLNDANFSFPMLTELYLISCNISAFPEFLKSQKNLEEFDASYNNLSGPIPNWLCNMSQLRFFNASYNNLSGSIPNCLDNMSQLDSFDVSYNNLSGSIPNCLDNMSQLDSFDVSYNNLSGPIPNCLGNMSALYSLGLQGNNFSGVIPKFSKATQLRFLKVSGNRLEGKLPRSLAKCTNLMVLDVGSNMINDTFPFWLEKLTYLMVLILRENRFYGQIKHFKHKSVFPTLDVLDIASNQFSGELSIDFLQPTRLRSLKIGGNKLEGKLSRSLANCSALEVLDLGNNMVRDTFPFWLEKLPSLKVLVLRANRFYGTISKIDSERGFPKLRILDIASNNFSGDLSIEFLQSLKAMAKMTNDEKAKLDYIGEDYYQDSVTIVNKGIEMFYQKVLTILTCLDLSNNSFHGRIPEEIQMLRSLRVMNLSNNGFSGEIPFAFENLKDLESLDLSRNKLSGKIPAQLTSLTFLAGLNLSYNQLEGSIPQSNQFITFTNDSYRGNPKLCGLPLSRKCNEVGLPMPPPPGEDEDSWLYALSTWKIALIGYASGLVVGLCIGYTVLNELGNKWVDKFKKCGKRNRRRCR >KJB30555 pep chromosome:Graimondii2_0_v6:5:40685162:40691342:-1 gene:B456_005G148400 transcript:KJB30555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKPMTILVINNRGGAIFSLLPVADRTDPRVLNQYFYTSHNISVHGLCEAHGVKHLEVKTKMELHDALISSQKGDTDCVIEVESSIDSNATFHSYIRKFACRAAEHALGVISKLSPPESMSQGCHCKIQSISYSVYRIQLCAPPTSSALYHDRSIFYREGFILSLTLEDGSIGYGEVAPLEISQENLLDVEEQLRFLFHVMKGVTINYFLPMLKSSFSSWIWKTLGIPVCSLFPSVRCGLEMAILNAIAMSHGSSLLNILYPLRERTEEKSENLASIRICALIDSSGTPEEVARIAVDLVEEGFTAIKIKVARRADPVEDAAVIQEVRKKVGCHIDLRVDANRNWTYEQAIKFGFLVKDYNLQYIEEPVQHESDIIRYCEESGLPVALDETIDNCPENPLNVLVKYNHHQIVALVIKPTVIGGFEKAAMIAQWAHIQGKMAIISAAFESGLALSAYILFSCYLDLQNADTCKLMNNSPASSVAHGLGTYRWLEEDITTDPLGIGRNPSTGFIEASVADATHLLHKFQMNHNFIHRTFTGEKVLGYHLDLDSNDFSFSVNVQEIGQRNNVRNSGSTILFLHGFLGTNEEWVPIMHAISGSARCISVDLPGHGATKIKNCGDDKAALRSLLSIEIIADLLLKLIEHVTPDKVTLVGYSMGARIALYMALKFSDKIEGAVILSGSPGLEDAVARKIRRVKDDSKARSIVTHGLQLFLNTWYSGGLWKRCFSCLCSQLLIIILKVLF >KJB30549 pep chromosome:Graimondii2_0_v6:5:40684463:40698641:-1 gene:B456_005G148400 transcript:KJB30549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKPMTILVINNRGGAIFSLLPVADRTDPRVLNQYFYTSHNISVHGLCEAHGVKHLEVKTKMELHDALISSQKGDTDCVIEVESSIDSNATFHSYIRKFACRAAEHALGVISKLSPPESMSQGCHCKIQSISYSVYRIQLCAPPTSSALYHDRSIFYREGFILSLTLEDGSIGYGEVAPLEISQENLLDVEEQLRFLFHVMKGVTINYFLPMLKSSFSSWIWKTLGIPVCSLFPSVRCGLEMAILNAIAMSHGSSLLNILYPLRERTEEKSENLASIRICALIDSSGTPEEVARIAVDLVEEGFTAIKIKVARRADPVEDAAVIQEVRKKVGCHIDLRVDANRNWTYEQAIKFGFLVKDYNLQYIEEPVQHESDIIRYCEESGLPVALDETIDNCPENPLNVLVKYNHHQIVALVIKPTVIGGFEKAAMIAQWAHIQGKMAIISAAFESGLALSAYILFSCYLDLQNADTCKLMNNSPASSVAHGLGTYRWLEEDITTDPLGIGRNPSTGFIEASVADATHLLHKFQMNHNFIHRTFTGEKVLGYHLDLDSNDFSFSVNVQEIGQRNNVRNSGSTILFLHGFLGTNEEWVPIMHAISGSARCISVDLPGHGATKIKNCGDDKAALRSLLSIEIIADLLLKLIEHVTPDKVTLVGYSMGARIALYMALKFSDKIEGAVILSGSPGLEDAVARKIRRVKDDSKARSIVTHGLQLFLNTWYSGGLWKSLRSHPYFNHIVVRRSVHDDLQGLARVLSGLSPGRQPSLWEDLKHCKVPLMLVVGENDEKFKRVAQKMWHEIGHDRDDAVSKLHQMVVVPSCGHAVHLENPLPIIRLVRQFVTGLRSVKLQEKGNVRDLLIYNQ >KJB30554 pep chromosome:Graimondii2_0_v6:5:40685409:40698878:-1 gene:B456_005G148400 transcript:KJB30554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQRDISLPFFALTPKPHLKNHVFCAKKAIPFPGRPLKNDFVSCNLKMVNGARVHGSVKDATGLEDDDLVVETCITRTLPPALTLEHGLQSIKQAVEELKFNPPCASSGVLRFQVAVPPSAKALNWFCSQPESSAVFPMFFLSKETISPTCKSLYLNKVRGVFGIGAAISFTNSICIPGGLSSTKRFLSNDSVLMSTYGFLDINFNTDLSSVKHKAGSFYFFIPLIELDEHEDISILAATLVWSDSCLCTFEQAIHSYEAALYQATSHFWPTTERCHSERVRTAIRKLNVVEDNTVQMAYTNGILLGRRDFEAHTMELLDHASEMAYTIQDRANINAVWASLIVEECFRLGLTYFCVAPGSRSSPLALAASAHLFVTCISCFDERSLAFHAIGYARGSQKAAVIITTSGTAVSNLFPAVVEASEDFVPLLVLSADRPPELQDCGANQSINQVNHFGSFVRFFFSLPPPTDQIPARMVLTTLDSAVHWATSSPIGPVHINCPFREPLDDCPQNWKSSCLEGLDTWRSNTEPFTKYIIVQHSYLCNTATRGQMEEVLEKIRRVNKGILVVGAISAEDEVWAVLLMAKYLQWPVVADILSGIRLRELLSSSPEVEESILFVDYLDHALLSDSVRDLVQFDVIVQIGSRITSNRISQMLEKCFPCSYILVDNHPHRHDPSHFVTHRIQSSAIEFANILMKAQIPNRSRKWHYYLQALNMMVGQEISFHLSVEHSLSEPYIAHIISEALSAESALFIGNSMVIRDADMYGCNWTSDNHSVADMMLKTELPCTGILVAGNRGASGIDGLLSTAIGFAVGCNKRVLCVVGDISFLHDTNGLAILKQRMLRKPMTILVINNRGGAIFSLLPVADRTDPRVLNQYFYTSHNISVHGLCEAHGVKHLEVKTKMELHDALISSQKGDTDCVIEVESSIDSNATFHSYIRKFACRAAEHALGVISKLSPPESMSQGCHCKIQSISYSVYRIQLCAPPTSSALYHDRSIFYREGFILSLTLEDGSIGYGEVAPLEISQENLLDVEEQLRFLFHVMKGVTINYFLPMLKSSFSSWIWKTLGIPVCSLFPSVRCGLEMAILNAIAMSHGSSLLNILYPLRERTEEKSENLASIRICALIDSSGTPEEVARIAVDLVEEGFTAIKIKVARRADPVEDAAVIQEVRKKVGCHIDLRVDANRNWTYEQAIKFGFLVKDYNLQYIEEPVQHESDIIRYCEESGLPVALDETIDNCPENPLNVLVKYNHHQIVALVIKPTVIGGFEKAAMIAQWAHIQGKMAIISAAFESGLALSAYILFSCYLDLQNADTCKLMNNSPASSVAHGLGTYRWLEEDITTDPLGIGRNPSTGFIEASVADATHLLHKFQMNHNFIHRTFTGEKVLGYHLDLDSNDFSFSVNVQEIGQRNNVRNSGSTILFLHGFLGTNEEWVPIMHAISGSARCISVDLPGHGATKIKNCGDDKAALRSLLSIEIIADLLLKLIEHVTPDKVTLVGYSMGARIALYMALKFSDKIEGAVILSGSPGLEDAVARKIRRVKDDSKARSIVTHGLQLFLNTWYSGGLWKRCFSCLCSQLLIIILKVLF >KJB30547 pep chromosome:Graimondii2_0_v6:5:40684580:40697106:-1 gene:B456_005G148400 transcript:KJB30547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYGFLDINFNTDLSSVKHKAGSFYFFIPLIELDEHEDISILAATLVWSDSCLCTFEQAIHSYEAALYQATSHFWPTTERCHSERVRTAIRKLNVVEDNTVQMAYTNGILLGRRDFEAHTMELRDARFFKQFCFKFSPTIGVACNMLDHASEMAYTIQDRANINAVWASLIVEECFRLGLTYFCVAPGSRSSPLALAASAHLFVTCISCFDERSLAFHAIGYARGSQKAAVIITTSGTAVSNLFPAVVEASEDFVPLLVLSADRPPELQDCGANQSINQVNHFGSFVRFFFSLPPPTDQIPARMVLTTLDSAVHWATSSPIGPVHINCPFREPLDDCPQNWKSSCLEGLDTWRSNTEPFTKYIIVQHSYLCNTATRGQMEEVLEKIRRVNKGILVVGAISAEDEVWAVLLMAKYLQWPVVADILSGIRLRELLSSSPEVEESILFVDYLDHALLSDSVRDLVQFDVIVQIGSRITSNRISQMLEKCFPCSYILVDNHPHRHDPSHFVTHRIQSSAIEFANILMKAQIPNRSRKWHYYLQALNMMVGQEISFHLSVEHSLSEPYIAHIISEALSAESALFIGNSMVIRDADMYGCNWTSDNHSVADMMLKTELPCTGILVAGNRGASGIDGLLSTAIGFAVGCNKRVLCVVGDISFLHDTNGLAILKQRMLRKPMTILVINNRGGAIFSLLPVADRTDPRVLNQYFYTSHNISVHGLCEAHGVKHLEVKTKMELHDALISSQKGDTDCVIEVESSIDSNATFHSYIRKFACRAAEHALGVISKLSPPESMSQGCHCKIQSISYSVYRIQLCAPPTSSALYHDRSIFYREGFILSLTLEDGSIGYGEVAPLEISQENLLDVEEQLRFLFHVMKGVTINYFLPMLKSSFSSWIWKTLGIPVCSLFPSVRCGLEMAILNAIAMSHGSSLLNILYPLRERTEEKSENLASIRICALIDSSGTPEEVARIAVDLVEEGFTAIKIKVARRADPVEDAAVIQEVRKKVGCHIDLRVDANRNWTYEQAIKFGFLVKDYNLQYIEEPVQHESDIIRYCEESGLPVALDETIDNCPENPLNVLVKYNHHQIVALVIKPTVIGGFEKAAMIAQWAHIQGKMAIISAAFESGLALSAYILFSCYLDLQNADTCKLMNNSPASSVAHGLGTYRWLEEDITTDPLGIGRNPSTGFIEASVADATHLLHKFQMNHNFIHRTFTGEKVLGYHLDLDSNDFSFSVNVQEIGQRNNVRNSGSTILFLHGFLGTNEEWVPIMHAISGSARCISVDLPGHGATKIKNCGDDKAALRSLLSIEIIADLLLKLIEHVTPDKVTLVGYSMGARIALYMALKFSDKIEGAVILSGSPGLEDAVARKIRRVKDDSKARSIVTHGLQLFLNTWYSGGLWKSLRSHPYFNHIVVRRSVHDDLQGLARVLSGLSPGRQPSLWEDLKHCKVPLMLVVGENDEKFKRVAQKMWHEIGHDRDDAVSKLHQMVVVPSCGHAVHLENPLPIIRLVRQFVTGLRSVKLQEKGNVRDLLIYNQ >KJB30553 pep chromosome:Graimondii2_0_v6:5:40684463:40699012:-1 gene:B456_005G148400 transcript:KJB30553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGARVHGSVKDATGLEDDDLVVETCITRTLPPALTLEHGLQSIKQAVEELKFNPPCASSGVLRFQVAVPPSAKALNWFCSQPESSAVFPMFFLSKETISPTCKSLYLNKVRGVFGIGAAISFTNSICIPGGLSSTKRFLSNDSVLMSTYGFLDINFNTDLSSVKHKAGSFYFFIPLIELDEHEDISILAATLVWSDSCLCTFEQAIHSYEAALYQATSHFWPTTERCHSERVRTAIRKLNVVEDNTVQMAYTNGILLGRRDFEAHTMELLDHASEMAYTIQDRANINAVWASLIVEECFRLGLTYFCVAPGSRSSPLALAASAHLFVTCISCFDERSLAFHAIGYARGSQKAAVIITTSGTAVSNLFPAVVEASEDFVPLLVLSADRPPELQDCGANQSINQVNHFGSFVRFFFSLPPPTDQIPARMVLTTLDSAVHWATSSPIGPVHINCPFREPLDDCPQNWKSSCLEGLDTWRSNTEPFTKYIIVQHSYLCNTATRGQMEEVLEKIRRVNKGILVVGAISAEDEVWAVLLMAKYLQWPVVADILSGIRLRELLSSSPEVEESILFVDYLDHALLSDSVRDLVQFDVIVQIGSRITSNRISQMLEKCFPCSYILVDNHPHRHDPSHFVTHRIQSSAIEFANILMKAQIPNRSRKWHYYLQALNMMVGQEISFHLSVEHSLSEPYIAHIISEALSAESALFIGNSMVIRDADMYGCNWTSDNHSVADMMLKTELPCTGILVAGNRGASGIDGLLSTAIGFAVGCNKRVLCVVGDISFLHDTNGLAILKQRMLRKPMTILVINNRGGAIFSLLPVADRTDPRVLNQYFYTSHNISVHGLCEAHGVKHLEVKTKMELHDALISSQKGDTDCVIEVESSIDSNATFHSYIRKFACRAAEHALGVISKLSPPESMSQGCHCKIQSISYSVYRIQLCAPPTSSALYHDRSIFYREGFILSLTLEDGSIGYGEVAPLEISQENLLDVEEQLRFLFHVMKGVTINYFLPMLKSSFSSWIWKTLGIPVCSLFPSVRCGLEMAILNAIAMSHGSSLLNILYPLRERTEEKSENLASIRICALIDSSGTPEEVARIAVDLVEEGFTAIKIKVARRADPVEDAAVIQEVRKKVGCHIDLRVDANRNWTYEQAIKFGFLVKDYNLQYIEEPVQHESDIIRYCEESGLPVALDETIDNCPENPLNVLVKYNHHQIVALVIKPTVIGGFEKAAMIAQWAHIQGKMAIISAAFESGLALSAYILFSCYLDLQNADTCKLMNNSPASSVAHGLGTYRWLEEDITTDPLGIGRNPSTGFIEASVADATHLLHKFQMNHNFIHRTFTGEKVLGYHLDLDSNDFSFSVNVQEIGQRNNVRNSGSTILFLHGFLGTNEEWVPIMHAISGSARCISVDLPGHGATKIKNCGDDKAALRSLLSIEIIADLLLKLIEHVTPDKVTLVGYSMGARIALYMALKFSDKIEGAVILSGSPGLEDAVARKIRRVKDDSKARSIVTHGLQLFLNTWYSGGLWKSLRSHPYFNHIVVRRSVHDDLQGLARVLSGLSPGRQPSLWEDLKHCKVPLMLVVGENDEKFKRVAQKMWHEIGHDRDDAVSKLHQMVVVPSCGHAVHLENPLPIIRLVRQFVTGLRSVKLQEKGNVRDLLIYNQ >KJB30550 pep chromosome:Graimondii2_0_v6:5:40685409:40690745:-1 gene:B456_005G148400 transcript:KJB30550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKPMTILVINNRGGAIFSLLPVADRTDPRVLNQYFYTSHNISVHGLCEAHGVKHLEVKTKMELHDALISSQKGDTDCVIEVESSIDSNATFHSYIRKFACRAAEHALGVISKLSPPESMSQGCHCKIQSISYSVYRIQLCAPPTSSALYHDRSIFYREGFILSLTLEDGSIGYGEVAPLEISQENLLDVEEQLRFLFHVMKGVTINYFLPMLKSSFSSWIWKTLGIPVCSLFPSVRCGLEMAILNAIAMSHGSSLLNILYPLRERTEEKSENLASIRICALIDSSGTPEEVARIAVDLVEEGFTAIKIKVARRADPVEDAAVIQEVRKKVGCHIDLRVDANRNWTYEQAIKFGFLVKDYNLQYIEEPVQHESDIIRYCEESGLPVALDETIDNCPENPLNVLVKYNHHQIVALVIKPTVIGGFEKAAMIAQWAHIQGKMAIISAAFESGLALSAYILFSCYLDLQNADTCKLMNNSPASSVAHGLGTYRWLEEDITTDPLGIGRNPSTGFIEASVADATHLLHKFQMNHNFIHRTFTGEKVLGYHLDLDSNDFSFSVNVQEIGQRNNVRNSGSTILFLHGFLGTNEEWVPIMHAISGSARCISVDLPGHGATKIKNCGDDKAALRSLLSIEIIADLLLKLIEHVTPDKVTLVGYSMGARIALYMALKFSDKIEGAVILSGSPGLEDAVARKIRRVKDDSKARSIVTHGLQLFLNTWYSGGLWKRCFSCLCSQLLIIILKVLF >KJB30552 pep chromosome:Graimondii2_0_v6:5:40684463:40695611:-1 gene:B456_005G148400 transcript:KJB30552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRDARFFKQFCFKFSPTIGVACNMLDHASEMAYTIQDRANINAVWASLIVEECFRLGLTYFCVAPGSRSSPLALAASAHLFVTCISCFDERSLAFHAIGYARGSQKAAVIITTSGTAVSNLFPAVVEASEDFVPLLVLSADRPPELQDCGANQSINQVNHFGSFVRFFFSLPPPTDQIPARMVLTTLDSAVHWATSSPIGPVHINCPFREPLDDCPQNWKSSCLEGLDTWRSNTEPFTKYIIVQHSYLCNTATRGQMEEVLEKIRRVNKGILVVGAISAEDEVWAVLLMAKYLQWPVVADILSGIRLRELLSSSPEVEESILFVDYLDHALLSDSVRDLVQFDVIVQIGSRITSNRISQMLEKCFPCSYILVDNHPHRHDPSHFVTHRIQSSAIEFANILMKAQIPNRSRKWHYYLQALNMMVGQEISFHLSVEHSLSEPYIAHIISEALSAESALFIGNSMVIRDADMYGCNWTSDNHSVADMMLKTELPCTGILVAGNRGASGIDGLLSTAIGFAVGCNKRVLCVVGDISFLHDTNGLAILKQRMLRKPMTILVINNRGGAIFSLLPVADRTDPRVLNQYFYTSHNISVHGLCEAHGVKHLEVKTKMELHDALISSQKGDTDCVIEVESSIDSNATFHSYIRKFACRAAEHALGVISKLSPPESMSQGCHCKIQSISYSVYRIQLCAPPTSSALYHDRSIFYREGFILSLTLEDGSIGYGEVAPLEISQENLLDVEEQLRFLFHVMKGVTINYFLPMLKSSFSSWIWKTLGIPVCSLFPSVRCGLEMAILNAIAMSHGSSLLNILYPLRERTEEKSENLASIRICALIDSSGTPEEVARIAVDLVEEGFTAIKIKVARRADPVEDAAVIQEVRKKVGCHIDLRVDANRNWTYEQAIKFGFLVKDYNLQYIEEPVQHESDIIRYCEESGLPVALDETIDNCPENPLNVLVKYNHHQIVALVIKPTVIGGFEKAAMIAQWAHIQGKMAIISAAFESGLALSAYILFSCYLDLQNADTCKLMNNSPASSVAHGLGTYRWLEEDITTDPLGIGRNPSTGFIEASVADATHLLHKFQMNHNFIHRTFTGEKVLGYHLDLDSNDFSFSVNVQEIGQRNNGSTILFLHGFLGTNEEWVPIMHAISGSARCISVDLPGHGATKIKNCGDDKAALRSLLSIEIIADLLLKLIEHVTPDKVTLVGYSMGARIALYMALKFSDKIEGAVILSGSPGLEDAVARKIRRVKDDSKARSIVTHGLQLFLNTWYSGGLWKSLRSHPYFNHIVVRRSVHDDLQGLARVLSGLSPGRQPSLWEDLKHCKVPLMLVVGENDEKFKRVAQKMWHEIGHDRDDAVSKLHQMVVVPSCGHAVHLENPLPIIRLVRQFVTGLRSVKLQEKGNVRDLLIYNQ >KJB30548 pep chromosome:Graimondii2_0_v6:5:40683056:40697165:-1 gene:B456_005G148400 transcript:KJB30548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYGFLDINFNTDLSSVKHKAGSFYFFIPLIELDEHEDISILAATLVWSDSCLCTFEQAIHSYEAALYQATSHFWPTTERCHSERVRTAIRKLNVVEDNTVQMAYTNGILLGRRDFEAHTMELRDARFFKQFCFKFSPTIGVACNMLDHASEMAYTIQDRANINAVWASLIVEECFRLGLTYFCVAPGSRSSPLALAASAHLFVTCISCFDERSLAFHAIGYARGSQKAAVIITTSGTAVSNLFPAVVEASEDFVPLLVLSADRPPELQDCGANQSINQVNHFGSFVRFFFSLPPPTDQIPARMVLTTLDSAVHWATSSPIGPVHINCPFREPLDDCPQNWKSSCLEGLDTWRSNTEPFTKYIIVQHSYLCNTATRGQMEEVLEKIRRVNKGILVVGAISAEDEVWAVLLMAKYLQWPVVADILSGIRLRELLSSSPEVEESILFVDYLDHALLSDSVRDLVQFDVIVQIGSRITSNRISQMLEKCFPCSYILVDNHPHRHDPSHFVTHRIQSSAIEFANILMKAQIPNRSRKWHYYLQALNMMVGQEISFHLSVEHSLSEPYIAHIISEALSAESALFIGNSMVIRDADMYGCNWTSDNHSVADMMLKTELPCTGILVAGNRGASGIDGLLSTAIGFAVGCNKRVLCVVGDISFLHDTNGLAILKQRMLRKPMTILVINNRGGAIFSLLPVADRTDPRVLNQYFYTSHNISVHGLCEAHGVKHLEVKTKMELHDALISSQKGDTDCVIEVESSIDSNATFHSYIRKFACRAAEHALGVISKLSPPESMSQGCHCKIQSISYSVYRIQLCAPPTSSALYHDRSIFYREGFILSLTLEDGSIGYGEVAPLEISQENLLDVEEQLRFLFHVMKGVTINYFLPMLKSSFSSWIWKTLGIPVCSLFPSVRCGLEMAILNAIAMSHGSSLLNILYPLRERTEEKSENLASIRICALIDSSGTPEEVARIAVDLVEEGFTAIKIKVARRADPVEDAAVIQEVRKKVGCHIDLRVDANRNWTYEQAIKFGFLVKDYNLQYIEEPVQHESDIIRYCEESGLPVALDETIDNCPENPLNVLVKYNHHQIVALVIKPTVIGGFEKAAMIAQWAHIQGKMAIISAAFESGLALSAYILFSCYLDLQNADTCKLMNNSPASSVAHGLGTYRWLEEDITTDPLGIGRNPSTGFIEASVADATHLLHKFQMNHNFIHRTFTGEKVLGYHLDLDSNDFSFSVNVQEIGQRNNGSTILFLHGFLGTNEEWVPIMHAISGSARCISVDLPGHGATKIKNCGDDKAALRSLLSIEIIADLLLKLIEHVTPDKVTLVGYSMGARIALYMALKFSDKIEGAVILSGSPGLEDAVARKIRRVKDDSKARSIVTHGLQLFLNTWYSGGLWKSLRSHPYFNHIVVRRSVHDDLQGLARVLSGLSPGRQPSLWEDLKHCKVPLMLVVGENDEKFKRVAQKMWHEIGHDRDDAVSKLHQMVVVPSCGHAVHLENPLPIIRLVRQFVTGLRSVKLQEKGNVRDLLIYNQ >KJB30551 pep chromosome:Graimondii2_0_v6:5:40684463:40691342:-1 gene:B456_005G148400 transcript:KJB30551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKPMTILVINNRGGAIFSLLPVADRTDPRVLNQYFYTSHNISVHGLCEAHGVKHLEVKTKMELHDALISSQKGDTDCVIEVESSIDSNATFHSYIRKFACRAAEHALGVISKLSPPESMSQGCHCKIQSISYSVYRIQLCAPPTSSALYHDRSIFYREGFILSLTLEDGSIGYGEVAPLEISQENLLDVEEQLRFLFHVMKGVTINYFLPMLKSSFSSWIWKTLGIPVCSLFPSVRCGLEMAILNAIAMSHGSSLLNILYPLRERTEEKSENLASIRICALIDSSGTPEEVARIAVDLVEEGFTAIKIKVARRADPVEDAAVIQEVRKKVGCHIDLRVDANRNWTYEQAIKFGFLVKDYNLQYIEEPVQHESDIIRYCEESGLPVALDETIDNCPENPLNVLVKYNHHQIVALVIKPTVIGGFEKAAMIAQWAHIQGKMAIISAAFESGLALSAYILFSCYLDLQNADTCKLMNNSPASSVAHGLGTYRWLEEDITTDPLGIGRNPSTGFIEASVADATHLLHKFQMNHNFIHRTFTGEKVLGYHLDLDSNDFSFSVNVQEIGQRNNGSTILFLHGFLGTNEEWVPIMHAISGSARCISVDLPGHGATKIKNCGDDKAALRSLLSIEIIADLLLKLIEHVTPDKVTLVGYSMGARIALYMALKFSDKIEGAVILSGSPGLEDAVARKIRRVKDDSKARSIVTHGLQLFLNTWYSGGLWKSLRSHPYFNHIVVRRSVHDDLQGLARVLSGLSPGRQPSLWEDLKHCKVPLMLVVGENDEKFKRVAQKMWHEIGHDRDDAVSKLHQMVVVPSCGHAVHLENPLPIIRLVRQFVTGLRSVKLQEKGNVRDLLIYNQ >KJB30109 pep chromosome:Graimondii2_0_v6:5:31790378:31797724:-1 gene:B456_005G130100 transcript:KJB30109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRVKKNRRVPPKEKKVVAAQSPKAIPQEKTAGIDDVDDEVKAVKERKKCLHFDKGINIDKLLTKLRSSDPIRCEDCREGRNDRRGGKGKSKHGKKKGSASVESKSESKAIWVCLECGHYVCAGVGLPTASTSHAVRHLRQTRHHLVVQWDNPQLRWCFSCNIFIPVEKMEENSESKDVLYEVVKLIKERSSESSTNDVEDVLSGSGSVICDIKSEGTISNFLDGKNGYVVRGLVNLGNTCFFNSVMQNLLALNRLRDYFSNLDVSMGQLTISMKKLFAEIRPEMGLKNAINPKPFFASLCSKAPQFRGYQQHDSHELLRCLLDGLYTEELALKKHINASKSDAVTASQDLTFVDAVFGGQISSTLCCEECGHSSTVYEPFLDLSLSVPTKKTPSKKAQPVSRAKKTKLPPKKVGRNRGKVNKDVDQAPAKVVTAPVPNSESSGPGHIAVPQMEPKVASSGDSSLSHAAGPSTKADESSSASRNLFDVVESQKEQVVESTVKENSAGTDDFAWLDYLTTENTLPENDTGADDFSWMDYLQQEIVADESDLISQNNNTSLQDSEEKELVPNEALAESCEVSVLEGETNKKTEDSSGNLQEEDLPLLVQDSVVLLLPYKEDIPGTLSVRENEASSSNAGLGQEEVEFDGFGDMFNEPEIAEGPIIGPSLANEVAETGFMAGSISDSDPDEVDDSNSPVSVESCLSHFIKPELLSDDNAWNCENCAKILRHQKLKAKKKQTKMGKDLLNGSETRSLDMEHQCPNGVRTISNGDISSSGDSSVFHNKNQNGVKVENGQTSELDSVELEDASPLKSNSSVSSKCYAQEKCGGTRTIDSCNVENHSGNETFRQSNSHMTENCQSGVSDDEELDSENLKVKRNATKRVLINKAPPVLTIHLKRFCQDARGRLSKLNGHVNFRETIDLRPYLDPRCEDADNCNYSLVGVVEHSGTMRGGHYIAYVRGGEKRKGTTGIDHVGSQWYYVSDQHVRQASIEEVLRCEAYILFYEKV >KJB30108 pep chromosome:Graimondii2_0_v6:5:31791317:31797724:-1 gene:B456_005G130100 transcript:KJB30108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRVKKNRRVPPKEKKVVAAQSPKAIPQEKTAGIDDVDDEVKAVKERKKCLHFDKGINIDKLLTKLRSSDPIRCEDCREGRNDRRGGKGKSKHGKKKGSASVESKSESKAIWVCLECGHYVCAGVGLPTASTSHAVRHLRQTRHHLVVQWDNPQLRWCFSCNIFIPVEKMEENSESKDVLYEVVKLIKERSSESSTNDVEDVLSGSGSVICDIKSEGTISNFLDGKNGYVVRGLVNLGNTCFFNSVMQNLLALNRLRDYFSNLDVSMGQLTISMKKLFAEIRPEMGLKNAINPKPFFASLCSKAPQFRGYQQHDSHELLRCLLDGLYTEELALKKHINASKSDAVTASQDLTFVDAVFGGQISSTLCCEECGHSSTVYEPFLDLSLSVPTKKTPSKKAQPVSRAKKTKLPPKKVGRNRGKVNKDVDQAPAKVVTAPVPNSESSGPGHIAVPQMEPKVASSGDSSLSHAAGPSTKADESSSASRNLFDVVESQKEQVVESTVKENSAGTDDFAWLDYLTTENTLPENDTGADDFSWMDYLQQEIVADESDLISQNNNTSLQDSEEKELVPNEALAESCEVSVLEGETNKKTEDSSGNLQEEDLPLLVQDSVVLLLPYKEDIPGTLSVRENEASSSNAGLGQEEVEFDGFGDMFNEPEIAEGPIIGPSLANEVAETGFMAGSISDSDPDEVDDSNSPVSVESCLSHFIKPELLSDDNAWNCENCAKILRHQKLKAKKKQTKMGKDLLNGSETRSLDMEHQCPNGVRTISNGDISSSGDSSVFHNKNQNGVKVENGQTSELDSVELEDASPLKSNSSVSSKCYAQEKCGGTRTIDSCNVENHSGNETFRQSNSHMTENCQSGVSDDEELDSENLKVKRNATKRVLINKAPPVLTIHLKRFCQDARGRLSKLNGHVNFRETIDLRPYLDPRCEDADNCNYSLVGVVEHSGTMRGGHYIAYVRGGEKRKGTTGIDHVGSQWYYVSDQHVRQASIEEVLRCEAYILFYEKV >KJB30107 pep chromosome:Graimondii2_0_v6:5:31791343:31797724:-1 gene:B456_005G130100 transcript:KJB30107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRVKKNRRVPPKEKKVVAAQSPKAIPQEKTAGIDDVDDEVKAVKERKKCLHFDKGINIDKLLTKLRSSDPIRCEDCREGRNDRRGGKGKSKHGKKKGSASVESKSESKAIWVCLECGHYVCAGVGLPTASTSHAVRHLRQTRHHLVVQWDNPQLRWCFSCNIFIPVEKMEENSESKDVLYEVVKLIKERSSESSTNDVEDVLSGSGSVICDIKSEGTISNFLDGKNGYVVRGLVNLGNTCFFNSVMQNLLALNRLRDYFSNLDVSMGQLTISMKKLFAEIRPEMGLKNAINPKPFFASLCSKAPQFRGYQQHDSHELLRCLLDGLYTEELALKKHINASKSDAVTASQDLTFVDAVFGGQISSTLCCEECGHSSTVYEPFLDLSLSVPTKKTPSKKAQPVSRAKKTKLPPKKVGRNRGKVNKDVDQAPAKVVTAPVPNSESSGPGHIAVPQMEPKVASSGDSSLSHAAGPSTKADESSSASRNLFDVVESQKEQVVESTVKENSAGTDDFAWLDYLTTENTLPENDTGADDFSWMDYLQQEIVADESDLISQNNNTSLQDSEEKELVPNEALAESCEVSVLEGETNKKTEDSSGNLQEEDLPLLVQDSVVLLLPYKEDIPGTLSVRENEASSSNAGLGQEEVEFDGFGDMFNEPEIAEGPIIGPSLANEVAETGFMAGSISDSDPDEVDDSNSPVSVESCLSHFIKPELLSDDNAWNCENCAKILRHQKLKAKKKQTKMGKDLLNGSETRSLDMEHQCPNGVRTISNGDISSSGDSSVFHNKNQNGVKVENGQTSELDSVELEDASPLKSNSSVSSKCYAQEKCGGTRTIDSCNVENHSGNETFRQSNSHMTENCQSGVSDDEELDSENLKVKRNATKRVLINKAPPVLTIHLKRFCQDARGRLSKLNGHVNFRETIDLRPYLDPSCPV >KJB30110 pep chromosome:Graimondii2_0_v6:5:31794081:31797062:-1 gene:B456_005G130100 transcript:KJB30110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRVKKNRRVPPKEKKVVAAQSPKAIPQEKTAGIDDVDDEVKAVKERKKCLHFDKGINIDKLLTKLRSSDPIRCEDCREGRNDRRGGKGKSKHGKKKGSASVESKSESKAIWVCLECGHYVCAGVGLPTASTSHAVRHLRQTRHHLVVQWDNPQLRWCFSCNIFIPVEKMEENSESKDVLYEVVKLIKERSSESSTNDVEDVLSGSGSVICDIKSEGTISNFLDGKNGYVVRGLVNLGNTCFFNSVMQNLLALNRLRDYFSNLDVSMGQLTISMKKLFAEIRPEMGLKNAINPKPFFASLCSKAPQFRGYQQHDSHELLRCLLDGLYTEELALKKHINASKSDAVTASQDLTFVDAVFGGQISSTLCCEECGHSSTVYEPFLDLSLSVPTKKTPSKKAQPVSRAKKTKLPPKKVGRNRGKVNKDVDQAPAKVVTAPVPNSESSGPGHIAVPQMEPKVASSGDSSLSHAAGPSTKADESSSASRNLFDVVESQKEQVVESTVKENSAGTDDFAWLDYLTTENTLPENDTGADDFSWMDYLQQEIVADESDLISQNNNTSLQDSEEKELVPNEALAESCEVSVLEGETNKKTEDSSGNLQEEDLPLLVQDSVVLLLPYKEDIPGTLSVRENEASSSNAGLGQEEVEFDGFGDMFNEPEIAEGPIIGPSLANEVAETGFMAGSISDSDPDEVDDSNSPVSVESCLSHFIKPELLSDDNAWNCENCAKILRHQKLKAKKKQTKMGKDLLNGSETRSLDMEHQCPNGVRTISNGDISSSGDSSVFHNKNQNGVKVENGQTSELDSVELEDASPLKSNSSVSSKCYAQEKCGGTRTIDSCNVENHSGNETFRQSNSHMTENCQSGVSDDEELDSENLKVKRNATKRVLINKAPPVLTIHLKRFCQDARGRLSKLNGHVNFRETIDLRPYLDPSCPV >KJB27857 pep chromosome:Graimondii2_0_v6:5:982766:987392:-1 gene:B456_005G013500 transcript:KJB27857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPFSSREKGNGYWVPPRTQMEVGEQFGGNTRNLLFEDPFNYSELMNLDAYGGWCSGSAATDQMFASSGFFSYPSMSHASFDSTNSIGQSSSTYVESGYALGGMDSSYNCADRTVFRQTDEHFSNTVDSKGVELGVRQHTDGNKQNDTSYLGHLLISRPIGRSLDEKMLRALSLFKDLSGEGILAQVWVPIKHGDQYMLTTSDQPYLLDQMLLGYREVSRTYTFSAEQKPGSFPGLPGRVFLSRVPEWTSNVIHYSKAEYLRITHAVNHEVRGSIGLPVFQPPEMSCCAVLELVTTKEKPNFHSEMEHVCRALEAVNLRTLARPRFLPQCLSKNQRAALAEITDVLRAVCHAHRLPLALTWIPCNYTEEAENEYIKMRVREGNKSWDGKCVLCIEDTACYVNEREMQDFVHACVEHYLEGGQGIAGKALQSNHPFFSADVKTYDVSDYPLVHHARKFNLNAAVAIRLRSTYTSDDDYILELFLPINMKGSLEQQLLLNNLSGTMQRICRTLRTVSDAEIVGERSKFEFQRVTVPTFPSMAMSQRSSETALSADSDMNSNHSIPFNESNSISDGKEADGPPEKAISGLQRQKRSTTEKNVSFSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVDGGLKFDPATGEFVAAGTVIQECNPEKTLMFSNNNLPVGISEAFNHEKSSAPLASCLDGEDSVVKWDENECSFGGNNNEAARSVLIPSICQEVKKSIVPLNDCSEDSKSVAGAASWICPENATTGSYFRQGDDKWGLNKGNPKVEVADCHFVSLNSSSLAATDEMDIRKEGDDGIDEYNRQHTSSSMTDTSNVSGSRLHGSSSSSEKSMEAKNSRMKTCVDSSSKITIKATYKENTVRFKFEPSAGCFQVYEEVAKRFKVQNGTFQLNYLDDEEEWVMLVSDSDLHECLEIMEYVGTRSVKFQVRDVPFTMGSSSSSNCFLSRNS >KJB27854 pep chromosome:Graimondii2_0_v6:5:983112:985988:-1 gene:B456_005G013500 transcript:KJB27854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVLSSISRMIKKTIIKEAVNLRTLARPRFLPQCLSKNQRAALAEITDVLRAVCHAHRLPLALTWIPCNYTEEAENEYIKMRVREGNKSWDGKCVLCIEDTACYVNEREMQDFVHACVEHYLEGGQGIAGKALQSNHPFFSADVKTYDVSDYPLVHHARKFNLNAAVAIRLRSTYTSDDDYILELFLPINMKGSLEQQLLLNNLSGTMQRICRTLRTVSDAEIVGERSKFEFQRVTVPTFPSMAMSQRSSETALSADSDMNSNHSIPFNESNSISDGKEADGPPEKAISGLQRQKRSTTEKNVSFSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVDGGLKFDPATGEFVAAGTVIQECNPEKTLMFSNNNLPVGISEAFNHEKSSAPLASCLDGEDSVVKWDENECSFGGNNNEAARSVLIPSICQEVKKSIVPLNDCSEDSKSVAGAASWICPENATTGSYFRQGDDKWGLNKGNPKVEVADCHFVSLNSSSLAATDEMDIRKEGDDGIDEYNRQHTSSSMTDTSNVSGSRLHGSSSSSEKSMEAKNSRMKTCVDSSSKITIKATYKENTVRFKFEPSAGCFQVYEEVAKRFKVQNGTFQLNYLDDEEEWVMLVSDSDLHECLEIMEYVGTRSVKFQVRDVPFTMGSSSSSNCFLSRNS >KJB27856 pep chromosome:Graimondii2_0_v6:5:982668:987909:-1 gene:B456_005G013500 transcript:KJB27856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPFSSREKGNGYWVPPRTQMEVGEQFGGNTRNLLFEDPFNYSELMNLDAYGGWCSGSAATDQMFASSGFFSYPSMSHASFDSTNSIGQSSSTYVESGYALGGMDSSYNCADRTVFRQTDEHFSNTVDSKGVELGVRQHTDGNKQNDTSYLGHLLISRPIGRSLDEKMLRALSLFKDLSGEGILAQVWVPIKHGDQYMLTTSDQPYLLDQMLLGYREVSRTYTFSAEQKPGSFPGLPGRVFLSRVPEWTSNVIHYSKAEYLRITHAVNHEVRGSIGLPVFQPPEMSCCAVLELVTTKEKPNFHSEMEHVCRALEAVNLRTLARPRFLPQCLSKNQRAALAEITDVLRAVCHAHRLPLALTWIPCNYTEEAENEYIKMRVREGNKSWDGKCVLCIEDTACYVNEREMQDFVHACVEHYLEGGQGIAGKALQSNHPFFSADVKTYDVSDYPLVHHARKFNLNAAVAIRLRSTYTSDDDYILELFLPINMKGSLEQQLLLNNLSGTMQRICRTLRTVSDAEIVGERSKFEFQRVTVPTFPSMAMSQRSSETALSADSDMNSNHSIPFNESNSISDGKEADGPPEKAISGLQRQKRSTTEKNVSFSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVDGGLKFDPATGEFVAAGTVIQECNPEKTLMFSNNNLPVGISEAFNHEKSSAPLASCLDGEDSVVKWDENECSFGGNNNEAARSVLIPSICQEVKKSIVPLNDCSEDSKSVAGAASWICPENATTGSYFRQGDDKWGLNKGNPKVEVADCHFVSLNSSSLAATDEMDIRKEGDDGIDEYNRQHTSSSMTDTSNVSGSRLHGSSSSSEKSMEAKNSRMKTCVDSSSKITIKATYKENTVRFKFEPSAGCFQVYEEVAKRFKVQNGTFQLNYLDDEEEWVMLVSDSDLHECLEIMEYVGTRSVKFQVRDVPFTMGSSSSSNCFLSRNS >KJB27855 pep chromosome:Graimondii2_0_v6:5:982766:987909:-1 gene:B456_005G013500 transcript:KJB27855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPFSSREKGNGYWVPPRTQMEVGEQFGGNTRNLLFEDPFNYSELMNLDAYGGWCSGSAATDQMFASSGFFSYPSMSHASFDSTNSIGQSSSTYVESGYALGGMDSSYNCADRTVFRQTDEHFSNTVDSKGVELGVRQHTDGNKQNDTSYLGHLLISRPIGRSLDEKMLRALSLFKDLSGEGILAQVWVPIKHGDQYMLTTSDQPYLLDQMLLGYREVSRTYTFSAEQKPGSFPGLPGRVFLSRVPEWTSNVIHYSKAEYLRITHAVNHEVRGSIGLPVFQPPEMSCCAVLELVTTKEKPNFHSEMEHVCRALEAVNLRTLARPRFLPQCLSKNQRAALAEITDVLRAVCHAHRLPLALTWIPCNYTEEAENEYIKMRVREGNKSWDGKCVLCIEDTACYVNEREMQDFVHACVEHYLEGGQGIAGKALQSNHPFFSADVKTYDVSDYPLVHHARKFNLNAAVAIRLRSTYTSDDDYILELFLPINMKGSLEQQLLLNNLSGTMQRICRTLRTVSDAEIVGERSKFEFQRVTVPTFPSMAMSQRSSETALSADSDMNSNHSIPFNESNSISDGKEADGPPEKAISGLQRQKRSTTEKNVSFSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVDGGLKFDPATGEFVAAGTVIQECNPEKTLMFSNNNLPVGISEAFNHEKSSAPLASCLDGEDSVVKWDENECSFGGNNNEAARSVLIPSICQEVKKSIVPLNDCSEDSKSVAGAASWICPENATTGSYFRQGDDKWGLNKGNPKVEVADCHFVSLNSSSLAATDEMDIRKEGDDGIDEYNRQHTSSSMTDTSNVSGSRLHGSSSSSEKSMEAKNSRMKTCVDSSSKITIKATYKENTVRFKFEPSAGCFQVYEEVAKRFKVQNGTFQLNYLDDEEEWVMLVSDSDLHECLEIMEYVGTRSVKFQVRDVPFTMGSSSSSNCFLSRNS >KJB30180 pep chromosome:Graimondii2_0_v6:5:33103717:33105005:-1 gene:B456_005G132600 transcript:KJB30180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CCFKCFVLFSESGKYSLDEIDQLDALYKSLAEQYTWSSAVKRIPLDFLQGDKFCEAAISYIKPLLMKGVPSLFSDLSPLYDHPGKVSLHQKRPLAASIAAF >KJB32599 pep chromosome:Graimondii2_0_v6:5:62857942:62860773:1 gene:B456_005G248900 transcript:KJB32599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEEGNTDLMQRIQSSFGTSSSSIPKQVLSMNHLEIPPLNPNQIRAVRHFSHFGQNFNGGGGGGGGGGDGNKRVGIPPSHPNQIPPISPYSQIPVSRPSSHQMGSSQGFSPGPTHSRSLSQPSSFFSFDSLPPLSPAPVTQISNDVCMEDSHSLLPPSPFPKASSPRVGESLPPRKSHRRSNSDIPFGFNTVMQSSPPPLRGSGFENSGVPRPVQLVKKETSWERGIDGNVEGMGERKSEGEVMDDLFSAYMNLDNIDALNSSEDKNNNNENHEDLDSRASGTKTNGGDSSDNEAESSVNESGNSVTQGGVYSTQKREGNKRSAGGDIAPTSRHYRSVSMDSFMGKLNFGDESPKLPPSPGSRPGQLSPSNSIDGNSAAFSLELGNGEFNEAELKKIMANEKLAEIAMTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNNELKFRIQSMEQQAQLRDALNETLTAEVHRLKLATQELGGNSDPSKGMVSQQLPISRQMFQLHQQQFHQQQQNGNTAAKSESNQ >KJB32595 pep chromosome:Graimondii2_0_v6:5:62858333:62859864:1 gene:B456_005G248900 transcript:KJB32595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEEGNTDLMQRIQSSFGTSSSSIPKQVLSMNHLEIPPLNPNQIRAVRHFSHFGQNFNGGGGGGGGGGDGNKRVGIPPSHPNQIPPISPYSQIPVSRPSSHQMGSSQGFSPGPTHSRSLSQPSSFFSFDSLPPLSPAPVTQISNDVCMEDSHSLLPPSPFPKASSPRVGESLPPRKSHRRSNSDIPFGFNTVMQSSPPPLRGSGFENSGVPRPVQLVKKETSWERGIDGNVEGMGERKSEGEVMDDLFSAYMNLDNIDALNSSEDKNNNNENHEDLDSRASGTKTNGGDSSDNEAESSVNESGNSVTQGGVYSTQKREGNKRSAGGDIAPTSRHYRSVSMDSFMGKLNFGDESPKLPPSPGSRPGQLSPSNSIDGNSAAFSLELGNGEFNEAELKKIMANEKLAEIAMTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQVEDLELFNVIGYIPYFPVSHP >KJB32594 pep chromosome:Graimondii2_0_v6:5:62858007:62860744:1 gene:B456_005G248900 transcript:KJB32594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEEGNTDLMQRIQSSFGTSSSSIPKQVLSMNHLEIPPLNPNQIRAVRHFSHFGQNFNGGGGGGGGGGDGNKRVGIPPSHPNQIPPISPYSQIPVSRPSSHQMGSSQGFSPGPTHSRSLSQPSSFFSFDSLPPLSPAPVTQISNDVCMEDSHSLLPPSPFPKASSPRVGESLPPRKSHRRSNSDIPFGFNTVMQSSPPPLRGSGFENSGVPRPVQLVKKETSWERGIDGNVEGMGERKSEGEVMDDLFSAYMNLDNIDALNSSEDKNNNNENHEDLDSRASGTKTNGGDSSDNEAESSVNESGNSVTQGGVYSTQKREGNKRSAGGDIAPTSRHYRSVSMDSFMGKLNFGDESPKLPPSPGSRPGQLSPSNSIDGNSAAFSLELGNGEFNEAELKKIMANEKLAEIAMTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRFSWAYQSEQ >KJB32596 pep chromosome:Graimondii2_0_v6:5:62858333:62859864:1 gene:B456_005G248900 transcript:KJB32596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEEGNTDLMQRIQSSFGTSSSSIPKQVLSMNHLEIPPLNPNQIRAVRHFSHFGQNFNGGGGGGGGGGDGNKRVGIPPSHPNQIPPISPYSQIPVSRPSSHQMGSSQGFSPGPTHSRSLSQPSSFFSFDSLPPLSPAPVTQISNDVCMEDSHSLLPPSPFPKASSPRVGESLPPRKSHRRSNSDIPFGFNTVMQSSPPPLRGSGFENSGVPRPVQLVKKETSWERGIDGNVEGMGERKSEGEVMDDLFSAYMNLDNIDALNSSEDKNNNNENHEDLDSRASGTKTNGGDSSDNEAESSVNESGNSVTQGGVYSTQKREGNKRSAGGDIAPTSRHYRSVSMDSFMGKLNFGDESPKLPPSPGSRPGQLSPSNSIDGNSAAFSLELGNGEFNEAELKKIMANEKLAEIAMTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQVEDLELFNVIGYIPYFPVSHP >KJB32593 pep chromosome:Graimondii2_0_v6:5:62858007:62860744:1 gene:B456_005G248900 transcript:KJB32593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEEGNTDLMQRIQSSFGTSSSSIPKQVLSMNHLEIPPLNPNQIRAVRHFSHFGQNFNGGGGGGGGGGDGNKRVGIPPSHPNQIPPISPYSQIPVSRPSSHQMGSSQGFSPGPTHSRSLSQPSSFFSFDSLPPLSPAPVTQISNDVCMEDSHSLLPPSPFPKASSPRVGESLPPRKSHRRSNSDIPFGFNTVMQSSPPPLRGSGFENSGVPRPVQLVKKETSWERGIDGNVEGMGERKSEGEVMDDLFSAYMNLDNIDALNSSEDKNNNNENHEDLDSRASGTKTNGGDSSDNEAESSVNESGNSVTQGGVYSTQKREGNKRSAGGDIAPTSRHYRSVSMDSFMGKLNFGDESPKLPPSPGSRPGQLSPSNSIDGNSAAFSLELGNGEFNEAELKKIMANEKLAEIAMTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNNELKFRIQSMEQQAQLRDALNETLTAEVHRLKLATQELGGNSDPSKGMVSQQLPISRQMFQLHQQQFHQQQQNGNTAAKSESNQ >KJB32597 pep chromosome:Graimondii2_0_v6:5:62858007:62860744:1 gene:B456_005G248900 transcript:KJB32597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEEGNTDLMQRIQSSFGTSSSSIPKQVLSMNHLEIPPLNPNQIRAVRHFSHFGQNFNGGGGGGGGGGDGNKRVGIPPSHPNQIPPISPYSQIPVSRPSSHQMGSSQGFSPGPTHSRSLSQPSSFFSFDSLPPLSPAPVTQISNDVCMEDSHSLLPPSPFPKASSPRVGESLPPRKSHRRSNSDIPFGFNTVMQSSPPPLRGSGFENSGVPRPVQLVKKETSWERGIDGNVEGMGERKSEGEVMDDLFSAYMNLDNIDALNSSEDKNNNNENHEDLDSRASGTKTNGGDSSDNEAESSVNESGNSVTQGGVYSTQKREGNKRSAGGDIAPTSRHYRSVSMDSFMGKLNFGDESPKLPPSPGSRPGQLSPSNSIDGNSAAFSLELGNGEFNEAELKKIMANEKLAEIAMTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNNELKFRIQSMEQQAQLRDGIIKFSLACSTVCIF >KJB32598 pep chromosome:Graimondii2_0_v6:5:62858081:62860744:1 gene:B456_005G248900 transcript:KJB32598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSHSLLPPSPFPKASSPRVGESLPPRKSHRRSNSDIPFGFNTVMQSSPPPLRGSGFENSGVPRPVQLVKKETSWERGIDGNVEGMGERKSEGEVMDDLFSAYMNLDNIDALNSSEDKNNNNENHEDLDSRASGTKTNGGDSSDNEAESSVNESGNSVTQGGVYSTQKREGNKRSAGGDIAPTSRHYRSVSMDSFMGKLNFGDESPKLPPSPGSRPGQLSPSNSIDGNSAAFSLELGNGEFNEAELKKIMANEKLAEIAMTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNNELKFRIQSMEQQAQLRDALNETLTAEVHRLKLATQELGGNSDPSKGMVSQQLPISRQMFQLHQQQFHQQQQNGNTAAKSESNQ >KJB32600 pep chromosome:Graimondii2_0_v6:5:62858007:62860744:1 gene:B456_005G248900 transcript:KJB32600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEEGNTDLMQRIQSSFGTSSSSIPKQVLSMNHLEIPPLNPNQIRAVRHFSHFGQNFNGGGGGGGGGGDGNKRVGIPPSHPNQIPPISPYSQIPVSRPSSHQMGSSQGFSPGPTHSRSLSQPSSFFSFDSLPPLSPAPVTQISNDVCMEDSHSLLPPSPFPKASSPRVGESLPPRKSHRRSNSDIPFGFNTVMQSSPPPLRGSGFENSGVPRPVQLVKKETSWERGIDGNVEGMGERKSEGEVMDDLFSAYMNLDNIDALNSSEDKNNNNENHEDLDSRASGTKTNGGDSSDNEAESSVNESGNSVTQGGVYSTQKREGNKRSAGGDIAPTSRHYRSVSMDSFMGKLNFGDESPKLPPSPGSRPGQLSPSNSIDGNSAAFSLELGNGEFNEAELKKIMANEKLAEIAMTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNNELKFRIQSMEQQAQLRDALNETLTAEVHRLKLATQELGGNSDPSKGMVSQQLPISRQMFQLHQQQFHQQQQNGNTAAKSESNQ >KJB31297 pep chromosome:Graimondii2_0_v6:5:54211488:54214195:1 gene:B456_005G184500 transcript:KJB31297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLMPTISFLLSIILRTARLDWRSTSSQAKMIGTFISIAGAIFVELYKGPFTRPSPVSLDHHLQVIPKLFVFYSTPDRWVLGGILLAAATLSISVWNIVQMGTVKQYPQVMKVASSYSLVGTIQCLVFSLIMEGDLDAWKLKRKRDLLLIIVTGVFGSIIRSNVHIACTRMKGPFYVPMFKPFGVVFATVLGTCFFTNSLHYGSVMGTIIVGTGYYAVMWGQIREEELRKEREVEKVGDISDLKAPLLQENEDAQV >KJB31296 pep chromosome:Graimondii2_0_v6:5:54211192:54214199:1 gene:B456_005G184500 transcript:KJB31296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVAPFAVMLIMEGCTIALTILAKTALTAGISPFVFVVYTNAVGSILLLPFSFLYHRGERIEQSLFTFPLLFRIFFMGLTGVAISQNLAFLGLSYSSPIVVCAMGLLMPTISFLLSIILRTARLDWRSTSSQAKMIGTFISIAGAIFVELYKGPFTRPSPVSLDHHLQVIPKLFVFYSTPDRWVLGGILLAAATLSISVWNIVQMGTVKQYPQVMKVASSYSLVGTIQCLVFSLIMEGDLDAWKLKRKRDLLLIIVTGVFGSIIRSNVHIACTRMKGPFYVPMFKPFGVVFATVLGTCFFTNSLHYGSVMGTIIVGTGYYAVMWGQIREEELRKEREVEKVGDISDLKAPLLQENEDAQV >KJB30938 pep chromosome:Graimondii2_0_v6:5:49441233:49445390:-1 gene:B456_005G168500 transcript:KJB30938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSALTSHPNSFVSKLQEERLNRLRHRMKVYFDGSRPDHQEALRALWSATYPGKELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQCLLKKQGGNRSTWEYPFAVAGVNITFMIMQMLDLDALKPRTFIRSVFLQMLSENEWAFDLLYCVAFVVMDKQWLEKNATYMEFNEVLKSTRTQLERELLMDDVLRIEDMPSFTLLC >KJB30939 pep chromosome:Graimondii2_0_v6:5:49441322:49443431:-1 gene:B456_005G168500 transcript:KJB30939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVYFDGSRPDHQEALRALWSATYPGKELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQCLLKKQGGNRSTWEYPFAVAGVNITFMIMQMLDLDALKPRTFIRSVFLQMLSENEWAFDLLYCVAFVVMDKQWLEKNATYMEFNEVLKSTRTQLERELLMDDVLRIEDMPSFTLLC >KJB30935 pep chromosome:Graimondii2_0_v6:5:49441508:49443533:-1 gene:B456_005G168500 transcript:KJB30935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSALTSHPNSFVSKLQEERLNRLRHRMKVYFDGSRPDHQEALRALWSATYPGKELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQCLLKKQGGNRSTWEYPFAVAGVNITFMIMQMLDLDASVKPRTFIRSVFLQMLSENEWAFDLLYCVAFVVMDKQWLEKNATYMEFNEVLKSTRTQLERELLMDDVLRIEDMPSFTLLC >KJB30940 pep chromosome:Graimondii2_0_v6:5:49441508:49443072:-1 gene:B456_005G168500 transcript:KJB30940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVYFDGSRPDHQEALRALWSATYPGKELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQCLLKKQGGNRSTWEYPFAVAGVNITFMIMQMLDLDASVKPRTFIRSVFLQMLSENEWAFDLLYCVAFVVMDKQWLEKNATYMEFNEVLKSTRTQLERELLMDDVLRIEDMPSFTLLC >KJB30937 pep chromosome:Graimondii2_0_v6:5:49441233:49445390:-1 gene:B456_005G168500 transcript:KJB30937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVVRNQGSCVAIRSLSPSSSINRCSHASASSDGATCGRPAWIGKRLTCVCFKRKGVYEGICFNITPKQEERLNRLRHRMKVYFDGSRPDHQEALRALWSATYPGKELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQCLLKKQGGNRSTWEYPFAVAGVNITFMIMQMLDLDALKPRTFIRSVFLQMLSENEWAFDLLYCVAFVVMDKQWLEKNATYMEFNEVLKSTRTQLERELLMDDVLRIEDMPSFTLLC >KJB30936 pep chromosome:Graimondii2_0_v6:5:49441508:49445141:-1 gene:B456_005G168500 transcript:KJB30936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVVRNQGSCVAIRSLSPSSSINRCSHASASSDGATCGRPAWIGKRLTCVCFKRKGVYEGICFNITPKQEERLNRLRHRMKVYFDGSRPDHQEALRALWSATYPGKELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQCLLKKQGGNRSTWEYPFAVAGVNITFMIMQMLDLDASVKPRTFIRSVFLQMLSENEWAFDLLYCVAFVVMDKQWLEKNATYMEFNEVLKSTRTQLERELLMDDVLRIEDMPSFTLLC >KJB30941 pep chromosome:Graimondii2_0_v6:5:49441322:49445196:-1 gene:B456_005G168500 transcript:KJB30941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSALTSHPNRQERLNRLRHRMKVYFDGSRPDHQEALRALWSATYPGKELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQCLLKKQGGNRSTWEYPFAVAGVNITFMIMQMLDLDALKPRTFIRSVFLQMLSENEWAFDLLYCVAFVVMDKQWLEKNATYMEFNEVLKSTRTQLERELLMDDVLRIEDMPSFTLLC >KJB30934 pep chromosome:Graimondii2_0_v6:5:49441233:49443975:-1 gene:B456_005G168500 transcript:KJB30934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKKERGGMIVSMHADGATCGRPAWIGKRLTCVCFKRKGVYEGICFNITPKQEERLNRLRHRMKVYFDGSRPDHQEALRALWSATYPGKELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQCLLKKQGGNRSTWEYPFAVAGVNITFMIMQMLDLDALKPRTFIRSVFLQMLSENEWAFDLLYCVAFVVMDKQWLEKNATYMEFNEVLKSTRTQLERELLMDDVLRIEDMPSFTLLC >KJB28441 pep chromosome:Graimondii2_0_v6:5:4738338:4740024:1 gene:B456_005G048500 transcript:KJB28441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLEKRGNLFILILTGQNGEHRLNPNLFSSIISALSRAKAQPTRGSALVTVSHGKFFCNGFDLDWVNAAGSDEETQQRFNLLLDYLKQLVLAFISLPMPTIAAVNGHAAAGGIVLALCHDYVLMRRHRSVLYMIDLDLGIKIPEPFMALFRAKISGSARRDLLLRGLKIKGEEALKMGIVEAVYDGEEEVTNAGIKMADDLAKRNWHGEVYAEIRKGLYSELCDILGIASIPIATPKL >KJB29663 pep chromosome:Graimondii2_0_v6:5:21346164:21350426:1 gene:B456_005G112600 transcript:KJB29663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDFSSSYYHPSNPNTSNPSQFHQSPYASAPPFSPSDYQNPDPSYAPPPPTTLNSQPSFNQHPIAPTSAPAAPSFPPYDSPVSQQPYYQPYDQHPSYVPPPPDSNPNPIPPYYSTPYNQIGSSQSSVPPAYDNPYDNSMKLDQSSGSYFDDKFGARYNQSRYDMGSDLYGKRYDSFSHFGDDGGYGDGVYAYEGGKVEPYGASGTAPKSSTWVQFDDYGRAINFPSGKDSSGSSSGKIVRAVPKAETLEAVKSGVQKFRVKLLSEGGGEGPVDVICQIGLDGIRMLDPSTSRTLRIYPIENITRCEVTDTSTFAFWSKSSVDIEPSRIGLQSNSYTTNTLLDIVTAATVQVKEMGGRSRPPDSLKTTEQPSEKKKGFGDWMNLMKPGTEEKDHWVPDEAVSKCTACGTDFGAFVRKHHCRNCGDIFCDKCTQGRIALTADENAQPVRVCDRCMAEVTQRLSIAKETASKPAALQSHEDLARKLEEMEKNCRASSGSKSDGSDRRMKEVACPICTVHLQVQVPSSGSETIECGVCQHPFLVSAH >KJB29664 pep chromosome:Graimondii2_0_v6:5:21346184:21349062:1 gene:B456_005G112600 transcript:KJB29664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDFSSSYYHPSNPNTSNPSQFHQSPYASAPPFSPSDYQNPDPSYAPPPPTTLNSQPSFNQHPIAPTSAPAAPSFPPYDSPVSQQPYYQPYDQHPSYVPPPPDSNPNPIPPYYSTPYNQIGSSQSSVPPAYDNPYDNSMKLDQSSGSYFDDKFGARYNQSRYDMGSDLYGKRYDSFSHFGDDGGYGDGVYAYEGGKVEPYGASGTAPKSSTWVQFDDYGRAINFPSGKDSSGSSSGKIVRAVPKAETLEAVKSGVQKFRVKLLSEGGGEGPVDVICQIGLDGIRMLDPSTSRTLRIYPIENITRCEVTDTSTFAFWSKSSVDIEPSRIGLQSNSYTTNTLLDIVTAATVQVKEMGGRSRPPDSLKTTEQPSEKKKGFGDWMNLMKPGTEEKDHWVPDEAVSKCTACGTDFGAFVRKHHCRNCGDIFCDKCTQGRIALTADENAQPVRVCDRCMVSLFICFL >KJB28784 pep chromosome:Graimondii2_0_v6:5:7424741:7427457:1 gene:B456_005G069300 transcript:KJB28784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSNGPDDSGNINGKEGTLNESVKENAMALNSDLQRENTEAKSRVEAMWEQMNKGIPKDALRQFSSNKASATNKTSHKASNNWMKYLQMRPAVPAGDTVQERWTESKAPSAPKETEALNKDKAVKEATVVQNNVSDEAKKLAAAALSAVKDAAAAAAAASNRGKIEITEVRDFAGQEIEIKKRIHADSKEAAEKSKAYAPSAVDAVLEQIKKKPKLSVLDKTKKDWGEFKEENKGMEDELDAYKKSSNQYLDKVSFLQRTDYREFERERDARLALQARRRPEMREDP >KJB31378 pep chromosome:Graimondii2_0_v6:5:54893050:54900702:1 gene:B456_005G188300 transcript:KJB31378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAGVVSTTTPTSFRLRWDVFLSFRGEDTRHGITNTLYCSLVGEGLRVFRDDDALRRGDEIAPSLVEAIEDSAAFVVILSENYATSRWCLQELARICELHSWSRRLVLPVFYGVDPSDVRKQGGPFKEAFFSHENRFGEQEAKKWREAMAKVGSLAGFVKKDERELIRVLLREVLQHVKNTPLEVATYAVGLDTRVTELINLLGVKSSGIKVVGLHGIGGIGKTTLAKAVFNKILVHFDHHSFISNVRELSKQGDGLVSLQKKLIGDLGPTGNFHLPADEVDANASRIRKIINENFNEKRVLIVLDDVDQENQLNALGLGARVKWINDVSIRIIITTRNKGVLNECYVNWTYEVRELHFDQALELFSYHALRREKPTKEFEQLSKQLVALTGNLPLALEVFGSFLLDKRKVTEWEDALNKLRDVRPHELQDVLKISFDALDRENQRIFLDVACCFLDLHTKREDIIDVLRGCGFKAEIGLRVLEEKSLIKFTEGDALWMHDQLRDMGKEIVQNENDDPGMRSRLWDRNQIMTVLQNHKGTRSIEGIVMDMKKVENGNQVVVHTNPFKSMVNLRLLQVNHVKLEGKFKFVPHELKWLQWQGCALKTLPSDFCPQKLAVLDLSESKIEKLWSSYSNNVAENLMVINLRGCPHLASLPDLSGHKNLRKIVLAYCVKLINIDKSVGTLISLRHLDMTGCLNLVEFPSDVSGMKNLQTLVLTDCSNLKELPEDIGSMRSLKELYVNRTGIEKLPESIYRLEKLEKLSLNRCIHIKQLPRCVGKLASLKELHLDGSGLQELPDSVGSLENLEKLSLISCESLTAIPDTVGNLNLLKELFIKGKAITELPNSIGSLSYLKCLNVGGIQMRKLPDSIRGLVSSVELEIEGTSITCLPSQIGDLRLLERLEILNCTSLESLPDSTGGLLALTFIKISNASITELPESFGMLENLIELRLNKCRKLHKLPSSMGNLKSLHHLYMEETAVTELPENFGMLTCLMVLNMRKDPNKQEQPNSSFVALPASFTNLSSLQELDARAWRICGEIADDFEKLATIEILDLGSNDFYKLPSSLRGLSLLRDLKLPKCEKLQSLPPLPSSLEKLDLANCISLATLSDLSNIKGLQELNLTNCEKLVDLPGLESLTSLRELYMSNCSTCASAAKKRLSKVYLKNLRNLSMPGSRIPDWFTQDMVTFSSHNTRDLTGVIIAVVVSINHHIPDELRYQLPAVLDIQAQIFNGEEAIMTTALNLIGVPRTNQDNVHLCRYPLVSMLKDGFKIKVTRRNPPYVPGVELKKAGIFLVYENDDDYRGDEDSLDENQQSVSEKLAKFFSSLEENDGIDHQSNCSHEIEEKLQLPSKKQKRRPIKSCCSWCGRLSVKS >KJB31377 pep chromosome:Graimondii2_0_v6:5:54892856:54900982:1 gene:B456_005G188300 transcript:KJB31377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAGVVSTTTPTSFRLRWDVFLSFRGEDTRHGITNTLYCSLVGEGLRVFRDDDALRRGDEIAPSLVEAIEDSAAFVVILSENYATSRWCLQELARICELHSWSRRLVLPVFYGVDPSDVRKQGGPFKEAFFSHENRFGEQEAKKWREAMAKVGSLAGFVKKLVGRDERELIRVLLREVLQHVKNTPLEVATYAVGLDTRVTELINLLGVKSSGIKVVGLHGIGGIGKTTLAKAVFNKILVHFDHHSFISNVRELSKQGDGLVSLQKKLIGDLGPTGNFHLPADEVDANASRIRKIINENFNEKRVLIVLDDVDQENQLNALGLGARVKWINDVSIRIIITTRNKGVLNECYVNWTYEVRELHFDQALELFSYHALRREKPTKEFEQLSKQLVALTGNLPLALEVFGSFLLDKRKVTEWEDALNKLRDVRPHELQDVLKISFDALDRENQRIFLDVACCFLDLHTKREDIIDVLRGCGFKAEIGLRVLEEKSLIKFTEGDALWMHDQLRDMGKEIVQNENDDPGMRSRLWDRNQIMTVLQNHKGTRSIEGIVMDMKKVENGNQVVVHTNPFKSMVNLRLLQVNHVKLEGKFKFVPHELKWLQWQGCALKTLPSDFCPQKLAVLDLSESKIEKLWSSYSNNVAENLMVINLRGCPHLASLPDLSGHKNLRKIVLAYCVKLINIDKSVGTLISLRHLDMTGCLNLVEFPSDVSGMKNLQTLVLTDCSNLKELPEDIGSMRSLKELYVNRTGIEKLPESIYRLEKLEKLSLNRCIHIKQLPRCVGKLASLKELHLDGSGLQELPDSVGSLENLEKLSLISCESLTAIPDTVGNLNLLKELFIKGKAITELPNSIGSLSYLKCLNVGGIQMRKLPDSIRGLVSSVELEIEGTSITCLPSQIGDLRLLERLEILNCTSLESLPDSTGGLLALTFIKISNASITELPESFGMLENLIELRLNKCRKLHKLPSSMGNLKSLHHLYMEETAVTELPENFGMLTCLMVLNMRKDPNKQEQPNSSFVALPASFTNLSSLQELDARAWRICGEIADDFEKLATIEILDLGSNDFYKLPSSLRGLSLLRDLKLPKCEKLQSLPPLPSSLEKLDLANCISLATLSDLSNIKGLQELNLTNCEKLVDLPGLESLTSLRELYMSNCSTCASAAKKRLSKVYLKNLRNLSMPGSRIPDWFTQDMVTFSSHNTRDLTGVIIAVVVSINHHIPDELRYQLPAVLDIQAQIFNGEEAIMTTALNLIGVPRTNQDNVHLCRYPLVSMLKDGFKIKVTRRNPPYVPGVELKKAGIFLVYENDDDYRGDEDSLDENQQSVSEKLAKFFSSLEENDGIDHQSNCSHEIEEKLQLPSKKQKRRPIKSCCSWCGRLSVKS >KJB29571 pep chromosome:Graimondii2_0_v6:5:19417078:19421171:-1 gene:B456_005G108100 transcript:KJB29571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDSEDGRDVRKPFLHTGSWYRMGSRTGSSMLGSSQVIRDSSISVVACVLIVALGPIQFGFTNGYSSPTQSAIIKELGLTVSEYSVFGSLSNVGAMIGAVASGQMAEYIGRKGSLMIAAIPNIIGWLAISFANDVSFLYMGRLLEGFGVGIISYTVPVYIAEIAPENLRGSLGSVNQLSVTIGTMVAYLLGLFVGWRILAILGILPCTILIPGLFFIPESPRWLAKMGMTEDFEASLQVLRGFDTDISIEVNEIKRSVASTTRRTTIRFAELKKRRYWFPLMVGIGLLMLQQLGGINGVIFYSATIFETAGIKSGNIATFGVGFIQVIATALTTWLVDKTGRRLLLIVSTSGITLSLLLVALSFFLKDVVSTESSLYSIMGILSVVGVVTLVITFSLGMGPIPWIIMSEILPVSIKGLAGSIATLSNWFSAWLVTMTANLLLDWNSGGTFTIYMLVSAFTILFVSLWVPETKGRTLEEIQRSFR >KJB29632 pep chromosome:Graimondii2_0_v6:5:20542746:20546217:1 gene:B456_005G111400 transcript:KJB29632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVHKSKRVTWASDVNLCQIRLFLSEESPSQVGVGGQDHLQAKTSLVSHINGATGDDFLPPGFEGAHSTSHPQINLLEIATIKWRCPLRFILDLNWQVVAGEESEEVEIQNQREVRVLEAVYPRPSAIPTNSSVSADVENCDYDDQQTPQIPITPIEDEDAATETPISSQPQWLAPGILPPLRGSMPSVSSGSADEKPASGMILNVEPGVAAAAFAAVNQNNESGNMIDPEFLVKILSNPRLIEKLVTDYGVASGAQNLPESTSPLATSPNPPPPVNLSNPFPAHINRTENGTASLSATSSGAFFAQPNGVGVGPSNKQGVTPNPHPISVSPAVGLPQKKDVNYYKNLIQQHGGERQEPAQKFNSRYNQHLRPNQELINNTKLRDSRPKIMKPCMYFNSSRGCRNGANCAYQHDTSSHQNSGNNVAEVPNTKRMKMDREISG >KJB29633 pep chromosome:Graimondii2_0_v6:5:20544495:20545783:1 gene:B456_005G111400 transcript:KJB29633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSSKAEHTSSQFILDLNWQVVAGEESEEVEIQNQREVRVLEAVYPRPSAIPTNSSVSADVENCDYDDQQTPQIPITPIEDEDAATETPISSQPQWLAPGILPPLRGSMPSVSSGSADEKPASGMILNVEPGVAAAAFAAVNQNNESGNMIDPEFLVKILSNPRLIEKLVTDYGVASGAQNLPESTSPLATSPNPPPPVNLSNPFPAHINRTENGTASLSATSSGAFFAQPNGVGVGPSNKQGVTPNPHPISVSPAVGLPQKKDVNYYKNLIQQHGGERQEPAQKFNSRYNQHLRPNQELINNTKLRDSRPKIMKPCMYFNSSRGCRNGANCAYQHDTSSHQNSGNNVAEVPNTKRMKMDREISG >KJB28847 pep chromosome:Graimondii2_0_v6:5:7976927:7980241:-1 gene:B456_005G072600 transcript:KJB28847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSTRGLVSRLHQHFLSLSRPFAAAPTSLPFHALTRRLFSSDALMDGSQILHQPPTRIIQATPAIMTPNSKRTGIIAVKCGMTALWDKWGARLPITILWVDDNIVSQVKTVEKEGIFSLQIGCGHKKPKHLTKPEVGHFRAQGVPLKRKLKEFPVTEDALLPVGTSLGVRHFVPGQYVDVTGTSRGKGFQGAMKRWGFKGMPASHGASLSHRSPGSTGQRDAPGKVFKGKKMPGRMGAKTRTVKNVWVYKIDPARNLMWVRGQVPGAKGNFVFIKDSVYKKPNVSMLPFPTYFVAEDEDETNIGPLVADLGEVDPFMVAD >KJB28848 pep chromosome:Graimondii2_0_v6:5:7976922:7980387:-1 gene:B456_005G072600 transcript:KJB28848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESLYLSLCNGMAALSTRGLVSRLHQHFLSLSRPFAAAPTSLPFHALTRRLFSSDALMDGSQILHQPPTRIIQATPAIMTPNSKRTGIIAVKCGMTALWDKWGARLPITILWVDDNIVSQVKTVEKEGIFSLQIGCGHKKPKHLTKPEVGHFRAQGVPLKRKLKEFPVTEDALLPVGTSLGVRHFVPGQYVDVTGTSRGKGFQGAMKRWGFKGMPASHGASLSHRSPGSTGQRDAPGKVFKGKKMPGRMGAKTRTVKNVWVYKIDPARNLMWVRGQVPGAKGNFVFIKDSVYKKPNVSMLPFPTYFVAEDEDETNIGPLVADLGEVDPFMVAD >KJB28849 pep chromosome:Graimondii2_0_v6:5:7976927:7980334:-1 gene:B456_005G072600 transcript:KJB28849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSTRGLVSRLHQHFLSLSRPFAAAPTSLPFHALTRRLFSSDALMDGSQILHQPPTRIIQATPAIMTPNSKRTGIIAVKCGMTALWDKWGARLPITILWVDDNIVSQVKTVEKEGIFSLQIGCGHKKPKHLTKPEVGHFRAQGVPLKRKLKEFPVTEDALLPVGTSLGGAMKRWGFKGMPASHGASLSHRSPGSTGQRDAPGKVFKGKKMPGRMGAKTRTVKNVWVYKIDPARNLMWVRGQVPGAKGNFVFIKDSVYKKPNVSMLPFPTYFVAEDEDETNIGPLVADLGEVDPFMVAD >KJB30225 pep chromosome:Graimondii2_0_v6:5:33805808:33814740:1 gene:B456_005G134000 transcript:KJB30225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQGKKLINNPDDVVTEFIEGLVETYPGLQYLDGFPEVKVVIRADASGATYDKVAVISGGGSGHEPAHAGFVGEGMLTASICGDVFASPSVDSILAGIRAVTGPMGCLLIVMNYTGDRLNFGLAAEQARSEGYKIEMVIVGDDCALPPLLGIAGRRGLAGTIFVNKIAGAAAAAGLSLADVAAEAKRASQMVGTMGVALSVCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADLQPVDVVVSHVLKQILSSETNYVPITRGNRVVLMINGLGATPVMELMIAAGKTVPKLQLEFGLAVERVYTGSFMTSLDMAGFSISIMKADQTLLQRLDAPTKAPHWPVGSAGNRPPAKIPVPLPPSRSTKSEESLSRPLQLSEQGRILEAAIEAAVNAVIVMRDSLNDWDSKVGDGDCGSTMYSGATAILDDMKKYYPLNDAAETVNEIGSSVRRAMGGTSGVLYNIFSKAAYARLKANSDSTVTAKQWAEAFEAAIDAVSKYGGASAGYRTLLDALIPALSVLKERLTAGDDSSTAFVLSSEAALAGAESTKDMQAQAGRSSYVSAAILATVPDPGAMAAAAWYRAAALAVKAKYEKASS >KJB30228 pep chromosome:Graimondii2_0_v6:5:33805896:33814732:1 gene:B456_005G134000 transcript:KJB30228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQGKKLINNPDDVVTEFIEGLVETYPGLQYLDGFPEVKVVIRADASGATYDKVAVISGGGSGHEPAHAGFVGEGMLTASICGDVFASPSVDSILAGIRAVTGPMGCLLIVMNYTGDRLNFGLAAEQARSEGYKIEMVIVGDDCALPPLLGIAGRRGLAGTIFVNKIAGAAAAAGLSLADVAAEAKRASQMVGTMGVALSVCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADLQPVDVVVSHVLKQILSSETNYVPITRGNRVVLMINGLGATPVMELMIAAGKTVPKLQLEFGLAVERVYTGSFMTSLDMAGFSISIMKADQTLLQRLDAPTKAPHWPVGSAGNRPPAKIPVPLPPSRSTKSEESLSRPLQLSEQGRILEAAIEAAVNAVIVMRDSLNDWDSKVGDGDCGSTMYSGATAILDDMKKYYPLNDAAETVNEIGSSVRRAMGGTSGVLYNIFSKAAYARLKANSDSTVTAKQSFEAAIDAVSKYGGASAGYRTLLDALIPALSVLKERLTAGDDSSTAFVLSSEAALAGAESTKDMQAQAGRSSYVSAAILATVPDPGAMAAAAWYRAAALAVKAKYEKASS >KJB30226 pep chromosome:Graimondii2_0_v6:5:33805896:33813058:1 gene:B456_005G134000 transcript:KJB30226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQGKKLINNPDDVVTEFIEGLVETYPGLQYLDGFPEVKVVIRADASGATYDKVAVISGGGSGHEPAHAGFVGEGMLTASICGDVFASPSVDSILAGIRAVTGPMGCLLIVMNYTGDRLNFGLAAEQARSEGYKIEMVIVGDDCALPPLLGIAGRRGLAGTIFVNKIAGAAAAAGLSLADVAAEAKRASQMVGTMGVALSVCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADLQPVDVVVSHVLKQILSSETNYVPITRGNRVVLMINGLGATPVMELMIAAGKTVPKLQLEFGLAVERVYTGSFMTSLDMAGFSISIMKADQTLLQRLDAPTKAPHWPVGSAGNRPPAKIPVPLPPSRSTKSEESLSRPLQLSEQGRILEAAIEAAVNAVIVMRDSLNDWDSKVGDGDCGSTMYSGATAILDDMKKYS >KJB30227 pep chromosome:Graimondii2_0_v6:5:33805896:33814732:1 gene:B456_005G134000 transcript:KJB30227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQGKKLINNPDDVVTEFIEGLVETYPGLQYLDGFPEVKVVIRADASGATYDKVAVISGGGSGHEPAHAGFVGEGMLTASICGDVFASPSVDSILAGIRAVTGPMGCLLIVMNYTGDRLNFGLAAEQARSEGYKIEMVIVGDDCALPPLLGIAGRRGLAGTIFVNKIAGAAAAAGLSLADVAAEAKRASQMVGTMGVALSVCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADLQPVDVVVSHVLKQILSSETNYVPITRGNRVVLMINGLGATPVMELMIAAGKTVPKLQLEFGLAVERVYTGSFMTSLDMAGFSISIMKADQTLLQRLDAPTKAPHWPVGSAGNRPPAKIPVPLPPSRSTKSEESLSRPLQLSEQGRILEAAIEAAVNAVIVMRDSLNDWDSKVGDGDCGSTMYSGATAILDDMKKYYPLNDAAETVNEIGSSVRRAMGGTSGVLYNIFSKAAYARLKANSDSTVTAKQLSKYGGASAGYRTLLDALIPALSVLKERLTAGDDSSTAFVLSSEAALAGAESTKDMQAQAGRSSYVSAAILATVPDPGAMAAAAWYRAAALAVKAKYEKASS >KJB30229 pep chromosome:Graimondii2_0_v6:5:33806008:33813623:1 gene:B456_005G134000 transcript:KJB30229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQGKKLINNPDDVVTEFIEGLVETYPGLQYLDGFPEVKVVIRADASGATYDKVAVISGGGSGHEPAHAGFVGEGMLTASICGDVFASPSVDSILAGIRAVTGPMGCLLIVMNYTGDRLNFGLAAEQARSEGYKIEMVIVGDDCALPPLLGIAGRRGLAGTIFVNKIAGAAAAAGLSLADVAAEAKRASQMVGTMGVALSVCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADLQPVDVVVSHVLKQILSSETNYVPITRGNRVVLMINGLGATPVMELMIAAGKTVPKLQLEFGLAVERVYTGSFMTSLDMAGFSISIMKADQTLLQRLDAPTKAPHWPVGSAGNRPPAKIPVPLPPSRSTKSEESLSRPLQLSEQGRILEAAIEAAVNAVIVMRDSLNDWDSKVGDGDCGSTMYSGATAILDDMKKYYPLNDAAETVNEIGSSVRRAMGGTSGVLYNIFSKAAYARLKANSDSTVTAKQWAEAFEAAIDAVSKYGGASAGYRTLLDALIPALSVLKEVI >KJB30575 pep chromosome:Graimondii2_0_v6:5:41253210:41258380:-1 gene:B456_005G150100 transcript:KJB30575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGVMIPARNMPSMITGNGSVSGYGTSSGLTLGQPNNVMEGQLHPLEMTQNASESEIARMRDEEFDSTNKSGSENHELGGSGDDQDPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSTASCPNCGGPTAVGQMSFDEHHLRLENSRLREEIDRISAIAAKYVGKPVVNFPLLSSPAPPRPFDFGSQPVTEEMYGVGDLLRSISAPSEADKPMIIELAVAAMEELVRMAQVGEPLWMTSLDGTTCMLNEEEYIRTFPSGIGPKPTGFKCEASKETTVVIMNHINLVEILMDVWSTLFSGIVSKASTLDVLSTGVAGNYNGALQVMTAEFQVLSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDTIRPSPTVRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDGGVHNLYKQLVSSGHAFGARRWVSTLDRQCERLASLMASNIPTGDVGVITNQDGRKSMLKLAERMVISFCGGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDEHSRSEWDILSNGGAVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGMTVTDVGMADSGGSSGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCDNA >KJB30578 pep chromosome:Graimondii2_0_v6:5:41253266:41257628:-1 gene:B456_005G150100 transcript:KJB30578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGVMIPARNMPSMITGNGSVSGYGTSSGLTLGQIMFQQPNNVMEGQLHPLEMTQNASESEIARMRDEEFDSTNKSGSENHELGGSGDDQDPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSTASCPNCGGPTAVGQMSFDEHHLRLENSRLREEIDRISAIAAKYVGKPVVNFPLLSSPAPPRPFDFGSQPVTEEMYGVGDLLRSISAPSEADKPMIIELAVAAMEELVRMAQVGEPLWMTSLDGTTCMLNEEEYIRTFPSGIGPKPTGFKCEASKETTVVIMNHINLVEILMDVNQWSTLFSGIVSKASTLDVLSTGVAGNYNGALQVMTAEFQVLSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDTIRPSPTVRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDGGVHNLYKQLVSSGHAFGARRWVSTLDRQCERLASLMASNIPTGDVGVITNQDGRKSMLKLAERMVISFCGGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDEHSRSEWDILSNGGAVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGMTVTDVGMADSGGSSGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCDNA >KJB30574 pep chromosome:Graimondii2_0_v6:5:41253911:41257628:-1 gene:B456_005G150100 transcript:KJB30574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGVMIPARNMPSMITGNGSVSGYGTSSGLTLGQQPNNVMEGQLHPLEMTQNASESEIARMRDEEFDSTNKSGSENHELGGSGDDQDPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSTASCPNCGGPTAVGQMSFDEHHLRLENSRLREEIDRISAIAAKYVGKPVVNFPLLSSPAPPRPFDFGSQPVTEEMYGVGDLLRSISAPSEADKPMIIELAVAAMEELVRMAQVGEPLWMTSLDGTTCMLNEEEYIRTFPSGIGPKPTGFKCEASKETTVVIMNHINLVEILMDVNQWSTLFSGIVSKASTLDVLSTGVAGNYNGALQVMTAEFQVLSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDTIRPSPTVRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDGGVHNLYKQLVSSGHAFGARRWVSTLDRQCERLASLMASNIPTGDVGVITNQDGRKSMLKLAERMVISFCGGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDEHSRSEWDILSNGGAVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGMTVTDVGMADSGGSSGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCDNA >KJB30577 pep chromosome:Graimondii2_0_v6:5:41253911:41257628:-1 gene:B456_005G150100 transcript:KJB30577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGVMIPARNMPSMITGNGSVSGYGTSSGLTLGQPNNVMEGQLHPLEMTQNASESEIARMRDEEFDSTNKSGSENHELGGSGDDQDPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSTASCPNCGGPTAVGQMSFDEHHLRLENSRLREEIDRISAIAAKYVGKPVVNFPLLSSPAPPRPFDFGSQPVTEEMYGVGDLLRSISAPSEADKPMIIELAVAAMEELVRMAQVGEPLWMTSLDGTTCMLNEEEYIRTFPSGIGPKPTGFKCEASKETTVVIMNHINLVEILMDVNQWSTLFSGIVSKASTLDVLSTGVAGNYNGALQVMTAEFQVLSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDTIRPSPTVRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDGGVHNLYKQLVSSGHAFGARRWVSTLDRQCERLASLMASNIPTGDVGVITNQDGRKSMLKLAERMVISFCGGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDEHSRSEWDILSNGGAVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGMTVTDVGMADSGGSSGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCDNA >KJB30579 pep chromosome:Graimondii2_0_v6:5:41254544:41257628:-1 gene:B456_005G150100 transcript:KJB30579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGVMIPARNMPSMITGNGSVSGYGTSSGLTLGQIMFQQPNNVMEGQLHPLEMTQNASESEIARMRDEEFDSTNKSGSENHELGGSGDDQDPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSTASCPNCGGPTAVGQMSFDEHHLRLENSRLREEIDRISAIAAKYVGKPVVNFPLLSSPAPPRPFDFGSQPVTEEMYGVGDLLRSISAPSEADKPMIIELAVAAMEELVRMAQVGEPLWMTSLDGTTCMLNEEEYIRTFPSGIGPKPTGFKCEASKETTVVIMNHINLVEILMDVNQWSTLFSGIVSKASTLDVLSTGVAGNYNGALQVMTAEFQVLSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDTIRPSPTVRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDGGVHNLYKQLVSSGHAFGARRWVSTLDRQCERLASLMASNIPTGDVGVITNQDGRKSMLKLAERMVISFCGGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDEHSRSEVVY >KJB30576 pep chromosome:Graimondii2_0_v6:5:41253210:41258380:-1 gene:B456_005G150100 transcript:KJB30576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGVMIPARNMPSMITGNGSVSGYGTSSGLTLGQQPNNVMEGQLHPLEMTQNASESEIARMRDEEFDSTNKSGSENHELGGSGDDQDPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSTASCPNCGGPTAVGQMSFDEHHLRLENSRLREEIDRISAIAAKYVGKPVVNFPLLSSPAPPRPFDFGSQPVTEEMYGVGDLLRSISAPSEADKPMIIELAVAAMEELVRMAQVGEPLWMTSLDGTTCMLNEEEYIRTFPSGIGPKPTGFKCEASKETTVVIMNHINLVEILMDVWSTLFSGIVSKASTLDVLSTGVAGNYNGALQVMTAEFQVLSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDTIRPSPTVRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDGGVHNLYKQLVSSGHAFGARRWVSTLDRQCERLASLMASNIPTGDVGVITNQDGRKSMLKLAERMVISFCGGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDEHSRSEWDILSNGGAVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGMTVTDVGMADSGGSSGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCDNA >KJB28523 pep chromosome:Graimondii2_0_v6:5:5260400:5262534:-1 gene:B456_005G053200 transcript:KJB28523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAAVEVNAISAAAPEAEATTGAEKQPHKLERKWTFWFDNQSRPKQGAAWGTSLRKVYTFDTVEEFWCLYDQIFKPSKLPGNADFHLFKAGIEPKWEDPECASGGKWSVTSNRKANLENMWLETLMALIGEQFDEADEICGVVASVRQRQDKLALWTKTATNEAAQMGIGRKWKEIIGVNDKITYSFHDDSRRERSAKGRYNV >KJB28522 pep chromosome:Graimondii2_0_v6:5:5260400:5262478:-1 gene:B456_005G053200 transcript:KJB28522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAAVEVNAISAAAPEAEATTGAEKQPHKLERKWTFWFDNQSRPKQGAAWGTSLRKVYTFDTVEEFCLYDQIFKPSKLPGNADFHLFKAGIEPKWEDPECASGGKWSVTSNRKANLENMWLETLMALIGEQFDEADEICGVVASVRQRQDKLALWTKTATNEAAQMGIGRKWKEIIGVNDKITYSFHDDSRRERSAKGRYNV >KJB28521 pep chromosome:Graimondii2_0_v6:5:5261188:5262403:-1 gene:B456_005G053200 transcript:KJB28521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAAVEVNAISAAAPEAEATTGAEKQPHKLERKWTFWFDNQSRPKQGAAWGTSLRKVYTFDTVEEFWCLYDQIFKPSKLPGNADFHLFKAGIEPKWEDPECASGGKWSVTSNRKANLENMWLETLMALIGEQFDEADEICGVVASVRQRQDKLALWTKTATNEAAQV >KJB30000 pep chromosome:Graimondii2_0_v6:5:29196542:29212244:1 gene:B456_005G127500 transcript:KJB30000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIITSSKLYPFPSPSLEPSLFLRSTFLPFPSSITLPSFPSKSCPKSLLISSSLNPNPPSSQRRPPYIPNHIPDPNYVRVFDTTLRDGEQSPGATLTSKEKLDIARQLAKLGVDIIEAGFPAASKDDFEAVKTIAKEVGNAVDGNGYVPVICGLSRCNEKDIKTAWEAVKYAKRPRIHTFIATSAIHLEYKLRKSKEEVLDIARNMVRFTRSLGCNDVEFSPEDAGRSDREFLYEILGEVIKAGATTLNIPDTVGITMPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTIAGACAGARQVEVTINGIGERAGNASMEEVVMALKCRGEHVLGGLYTGINTRHIVMASKMVEEYTGLHVQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERSNEAGIVLGKLSGRHALSDRLKELGYELDDVQLGTIFWRFKEVAEQKKRVTDADLVALVSDEVFQPDVVWKLLDLQVTCGTLGLSTATVKLIDADGREHVACSVGTGPVDSAYKAVDLIIKESVSLLEYSMNAVTEGIDAIAMTRVLIRAEKSHLSTHALTGELVHRTFSGTGAGMDIVVSSVKAYVSALNKMLGFKEQNPTKASSIKRTPASS >KJB30002 pep chromosome:Graimondii2_0_v6:5:29196678:29212183:1 gene:B456_005G127500 transcript:KJB30002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIITSSKLYPFPSPSLEPSLFLRSTFLPFPSSITLPSFPSKSCPKSLLISSSLNPNPPSSQRRPPYIPNHIPDPNYVRVFDTTLRDGEQSPGATLTSKEKLDIARQLAKLGVDIIEAGFPAASKDDFEAVKTIAKEVGNAVDGNGYVPVICGLSRCNEKDIKTAWEAVKYAKRPRIHTFIATSAIHLEYKLRKSKEEVLDIARNMVRFTRSLGCNDVEFSPEDAGRSDREFLYEILGEVIKAGATTLNIPDTVGITMPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTIAGACAGARQVEVTINGIGERAGNASMEEVVMALKCRGEHVLGGLYTGINTRHIVMASKMVEEYTGLHVQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERSNEAGIVLGKLSGRHALSDRLKELGYELDDVQLGTIFWRFKEVAEQKKMKFFNQTWFGSFWIYRLLAELLVFLLQQLNSSMLMGESMLPAQLELDL >KJB30001 pep chromosome:Graimondii2_0_v6:5:29196678:29210833:1 gene:B456_005G127500 transcript:KJB30001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIITSSKLYPFPSPSLEPSLFLRSTFLPFPSSITLPSFPSKSCPKSLLISSSLNPNPPSSQRRPPYIPNHIPDPNYVRVFDTTLRDGEQSPGATLTSKEKLDIARQLAKLGVDIIEAGFPAASKDDFEAVKTIAKEVGNAVDGNGYVPVICGLSRCNEKDIKTAWEAVKYAKRPRIHTFIATSAIHLEYKLRKSKEEVLDIARNMVRFTRSLGCNDVEFSPEDAGRSDREFLYEILGEVIKAGATTLNIPDTVGITMPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTIAGACAGARQVEVTINGIGERAGNASMEEVVMALKCRGEHVLGGLYTGINTRHIVMASKMVEEYTGLHVQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERSNEAGIVLGKLSGRHALSDRLKELGYELDDVQLGTIFWRFKEVAEQKKRVTDADLVALVSDEVFQPDVVWKLLDLQVTRTFQTIVT >KJB32554 pep chromosome:Graimondii2_0_v6:5:62627773:62633425:-1 gene:B456_005G246300 transcript:KJB32554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEQLSAIKRAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPNISITPYHANVKESRFNVDFFKEFDVVLNGLDNLDARRHVNRLCLAADIPLVESGTTGFLGQVTVHLKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQENDLNVRSSDTANSSEHSEDVFECRKDEDIERYGRRIYDHVFGHNIEVALSNEETWKNRNKPRPIYSKDVLPEKQTKENGNKEKGCAADDVSAMVSLSLKNPQDIWSLVENSRVFLEALRLFFLKREKDIGNLTFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLQKDNNNYRMTYCLEHPSRKLLLMPVEPYEPNRSCYVCSETPVSLEVNTQRSKLRDFVEKIIKAKLGMNFPLIMQGASILYEVGEDLEEDMVAIYAANLEKVKFFIFVFEPNSFYTWHKKWYDNCGLFF >KJB32553 pep chromosome:Graimondii2_0_v6:5:62627578:62633827:-1 gene:B456_005G246300 transcript:KJB32553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEQLSAIKRAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPNISITPYHANVKESRFNVDFFKEFDVVLNGLDNLDARRHVNRLCLAADIPLVESGTTGFLGQVTVHLKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQENDLNVRSSDTANSSEHSEDVFECRKDEDIERYGRRIYDHVFGHNIEVALSNEETWKNRNKPRPIYSKDVLPEKQTKENGNKEKGCAADDVSAMVSLSLKNPQDIWSLVENSRVFLEALRLFFLKREKDIGNLTFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLQKDNNNYRMTYCLEHPSRKLLLMPVEPYEPNRSCYVCSETPVSLEVNTQRSKLRDFVEKIIKAKLGMNFPLIMQGASILYEVGEDLEEDMVAIYAANLEKALSELPSPVTSGSVLTVEDLQQEFSCSINIKHREEFDEEKEPDGMLLSGWVEAPVDKDNNKPIGNDESTSNALPSGETLEGEKDDEIQETSEVVEAFTGKKRKLSEVSEVTAPDPSGPSSINQNKPKKLDIDDEADELIISDDWESLTKKKKL >KJB32552 pep chromosome:Graimondii2_0_v6:5:62627578:62633302:-1 gene:B456_005G246300 transcript:KJB32552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPNISITPYHANVKESRFNVDFFKEFDVVLNGLDNLDARRHVNRLCLAADIPLVESGTTGFLGQVTVHLKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQENDLNVRSSDTANSSEHSEDVFECRKDEDIERYGRRIYDHVFGHNIEVALSNEETWKNRNKPRPIYSKDVLPEKQTKENGNKEKGCAADDVSAMVSLSLKNPQDIWSLVENSRVFLEALRLFFLKREKDIGNLTFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLQKDNNNYRMTYCLEHPSRKLLLMPVEPYEPNRSCYVCSETPVSLEVNTQRSKLRDFVEKIIKAKLGMNFPLIMQGASILYEVGEDLEEDMVAIYAANLEKALSELPSPVTSGSVLTVEDLQQEFSCSINIKHREEFDEEKEPDGMLLSGWVEAPVDKDNNKPIGNDESTSNALPSGETLEGEKDDEIQETSEVVEAFTGKKRKLSEVSEVTAPDPSGPSSINQNKPKKLDIDDEADELIISDDWESLTKKKKL >KJB31718 pep chromosome:Graimondii2_0_v6:5:58478320:58480097:-1 gene:B456_005G205300 transcript:KJB31718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAAVAARRIATVARTPPPCKAASLIPRRGLAGAADHHGPPKVNCWQEPMNPANWKEEHNTVETAKK >KJB31719 pep chromosome:Graimondii2_0_v6:5:58478320:58480180:-1 gene:B456_005G205300 transcript:KJB31719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAAVAARRIATVARTPPPCKAASLIPRRGLAGAADHHGPPKVNCWQEPMNPANWKEEHFVIVSLTGWGLVFYGGYKFLTKGKGKKEENTVETAKK >KJB31720 pep chromosome:Graimondii2_0_v6:5:58478992:58480085:-1 gene:B456_005G205300 transcript:KJB31720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAAVAARRIATVARTPPPCKAASLIPRRGLAGAADHHGPPKVNCWQEPMNPANWKEEHFVIVSLTGWGLVFYGGYKFLTKGKGKKEEVLLYLDFACH >KJB28404 pep chromosome:Graimondii2_0_v6:5:4358669:4364365:1 gene:B456_005G045900 transcript:KJB28404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEMGNNNTSGFQEENNTVDNKSVQEFDHADGLKGQNHVVQAILDTDSQTANKEGEKTQEDAARTDYEQEQNHEVVVSEDVYVNGKDEKEEDTNMIPVDKEHSMIESGYEEEAKGQGHLVPAAEDKDTRNETESATLQHDGLASPCIKDGEHDEKEQDSSTILDVEEMPLKEAARTEEANAEACLVPAGGDESTCGTETGQVSGDRGGKEFPSVDKQKPDEKEACLVPAGGDESNRGTETGQVSGDRGGMEYPSVDKQTPDEKEEDITVNDETEEQSSQEAASTDVLDRQVQLLPVVEDKATHGNQIGFVTSDPEGTADLNGDNPKEHEKEEDTNMIQVDKENSMKEAGYADDAEGQDHLVPAAEDKNTRGNETESVSLEHDGLASPHIEDSEHEEKEQDTSTILEVEEIPLKEAAITDETNAEARLAPAGGDESTHGTETGLVFDDHDGTEYPCIDKQKHDEKEDITINDEAAERSSQEAANTDVLATVEDKATHGNEIGLDSTEPEETADLQSDNPEEHETEMNNTNAEPQEKPLAKDDIEEKNPTIRAAEGEDYNKTEAVLASGDPVVVGDTPDNKILEGQEQGKTELDPPAESTEVDAKPGEVVGGSETEPTSSTKNLEDQEMEKESNFEENLSGRNHDVENQNPMKKEDEEASNSLSGAASSLEPDEHELAEICTELLVLESNGPVKSEDMIIPSLTCQDQENGFILDDSVSTDPVETVSPDLSPEAGKGRDEFLVEEMAIKEVSRDEKLELKDGDDEAGNGLGTITTVMTDLPTGFGAKCNGELPSEMNSAKNDSIESQKILVEASETELEDEGMVLSQKGASVEEESENGNAKQSHCQVQSAQEATEKPNGQGSEEGSKDDDQSALSPQFMMNGRQKEKITCLLNADCDSNKDCQFLQAKILENGHMVDASINHQKQQKGSQQEVQLVTDSSDTFFMDQMNKEESEEKKIIEEKKDSNPIENGKQCISQSYDPIEQMQGSDMAEVKQEPLWDLSEYPKPIPLTMIDTTPKQCSKQCANGETPQVVAIANGDYNNQRESVGRFSLESNPDTINSQMRKSPSFDLDLRIHARAEDSDQTPLLYQDKTTIESFSTQAEEKANTENGENPSQYESMATEEKAVTLERSDSEKSRTPFLGFLKEDEEEADEHNMLMEKNPKKQGNQSATNKTTTKVSTSVTTKGKVKRKPITAFFGTCMCCATVTN >KJB28405 pep chromosome:Graimondii2_0_v6:5:4359611:4364365:1 gene:B456_005G045900 transcript:KJB28405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVDKEHSMIESGYEEEAKGQGHLVPAAEDKDTRNETESATLQHDGLASPCIKDGEHDEKEQDSSTILDVEEMPLKEAARTEEANAEACLVPAGGDESTCGTETGQVSGDRGGKEFPSVDKQKPDEKEACLVPAGGDESNRGTETGQVSGDRGGMEYPSVDKQTPDEKEEDITVNDETEEQSSQEAASTDVLDRQVQLLPVVEDKATHGNQIGFVTSDPEGTADLNGDNPKEHEKEEDTNMIQVDKENSMKEAGYADDAEGQDHLVPAAEDKNTRGNETESVSLEHDGLASPHIEDSEHEEKEQDTSTILEVEEIPLKEAAITDETNAEARLAPAGGDESTHGTETGLVFDDHDGTEYPCIDKQKHDEKEDITINDEAAERSSQEAANTDVLATVEDKATHGNEIGLDSTEPEETADLQSDNPEEHETEMNNTNAEPQEKPLAKDDIEEKNPTIRAAEGEDYNKTEAVLASGDPVVVGDTPDNKILEGQEQGKTELDPPAESTEVDAKPGEVVGGSETEPTSSTKNLEDQEMEKESNFEENLSGRNHDVENQNPMKKEDEEASNSLSGAASSLEPDEHELAEICTELLVLESNGPVKSEDMIIPSLTCQDQENGFILDDSVSTDPVETVSPDLSPEAGKGRDEFLVEEMAIKEVSRDEKLELKDGDDEAGNGLGTITTVMTDLPTGFGAKCNGELPSEMNSAKNDSIESQKILVEASETELEDEGMVLSQKGASVEEESENGNAKQSHCQVQSAQEATEKPNGQGSEEGSKDDDQSALSPQFMMNGRQKEKITCLLNADCDSNKDCQFLQAKILENGHMVDASINHQKQQKGSQQEVQLVTDSSDTFFMDQMNKEESEEKKIIEEKKDSNPIENGKQCISQSYDPIEQMQGSDMAEVKQEPLWDLSEYPKPIPLTMIDTTPKQCSKQCANGETPQVVAIANGDYNNQRESVGRFSLESNPDTINSQMRKSPSFDLDLRIHARAEDSDQTPLLYQDKTTIESFSTQAEEKANTENGENPSQYESMATEEKAVTLERSDSEKSRTPFLGFLKEDEEEADEHNMLMEKNPKKQGNQSATNKTTTKVSTSVTTKGKVKRKPITAFFGTCMCCATVTN >KJB28403 pep chromosome:Graimondii2_0_v6:5:4358669:4364365:1 gene:B456_005G045900 transcript:KJB28403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEMGNNNTSGFQEENNTVDNKSVQEFDHADGLKGQNHVVQAILDTDSQTANKEEGEKTQEDAARTDYEQEQNHEVVVSEDVYVNGKDEKEEDTNMIPVDKEHSMIESGYEEEAKGQGHLVPAAEDKDTRNETESATLQHDGLASPCIKDGEHDEKEQDSSTILDVEEMPLKEAARTEEANAEACLVPAGGDESTCGTETGQVSGDRGGKEFPSVDKQKPDEKEACLVPAGGDESNRGTETGQVSGDRGGMEYPSVDKQTPDEKEEDITVNDETEEQSSQEAASTDVLDRQVQLLPVVEDKATHGNQIGFVTSDPEGTADLNGDNPKEHEKEEDTNMIQVDKENSMKEAGYADDAEGQDHLVPAAEDKNTRGNETESVSLEHDGLASPHIEDSEHEEKEQDTSTILEVEEIPLKEAAITDETNAEARLAPAGGDESTHGTETGLVFDDHDGTEYPCIDKQKHDEKEDITINDEAAERSSQEAANTDVLATVEDKATHGNEIGLDSTEPEETADLQSDNPEEHETEMNNTNAEPQEKPLAKDDIEEKNPTIRAAEGEDYNKTEAVLASGDPVVVGDTPDNKILEGQEQGKTELDPPAESTEVDAKPGEVVGGSETEPTSSTKNLEDQEMEKESNFEENLSGRNHDVENQNPMKKEDEEASNSLSGAASSLEPDEHELAEICTELLVLESNGPVKSEDMIIPSLTCQDQENGFILDDSVSTDPVETVSPDLSPEAGKGRDEFLVEEMAIKEVSRDEKLELKDGDDEAGNGLGTITTVMTDLPTGFGAKCNGELPSEMNSAKNDSIESQKILVEASETELEDEGMVLSQKGASVEEESENGNAKQSHCQVQSAQEATEKPNGQGSEEGSKDDDQSALSPQFMMNGRQKEKITCLLNADCDSNKDCQFLQAKILENGHMVDASINHQKQQKGSQQEVQLVTDSSDTFFMDQMNKEESEEKKIIEEKKDSNPIENGKQCISQSYDPIEQMQGSDMAEVKQEPLWDLSEYPKPIPLTMIDTTPKQCSKQCANGETPQVVAIANGDYNNQRESVGRFSLESNPDTINSQMRKSPSFDLDLRIHARAEDSDQTPLLYQDKTTIESFSTQAEEKANTENGENPSQYESMATEEKAVTLERSDSEKSRTPFLGFLKEDEEEADEHNMLMEKNPKKQGNQSATNKTTTKVSTSVTTKGKVKRKPITAFFGTCMCCATVTN >KJB28406 pep chromosome:Graimondii2_0_v6:5:4360503:4364365:1 gene:B456_005G045900 transcript:KJB28406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPSVDKQTPDEKEEDITVNDETEEQSSQEAASTDVLDRQVQLLPVVEDKATHGNQIGFVTSDPEGTADLNGDNPKEHEKEEDTNMIQVDKENSMKEAGYADDAEGQDHLVPAAEDKNTRGNETESVSLEHDGLASPHIEDSEHEEKEQDTSTILEVEEIPLKEAAITDETNAEARLAPAGGDESTHGTETGLVFDDHDGTEYPCIDKQKHDEKEDITINDEAAERSSQEAANTDVLATVEDKATHGNEIGLDSTEPEETADLQSDNPEEHETEMNNTNAEPQEKPLAKDDIEEKNPTIRAAEGEDYNKTEAVLASGDPVVVGDTPDNKILEGQEQGKTELDPPAESTEVDAKPGEVVGGSETEPTSSTKNLEDQEMEKESNFEENLSGRNHDVENQNPMKKEDEEASNSLSGAASSLEPDEHELAEICTELLVLESNGPVKSEDMIIPSLTCQDQENGFILDDSVSTDPVETVSPDLSPEAGKGRDEFLVEEMAIKEVSRDEKLELKDGDDEAGNGLGTITTVMTDLPTGFGAKCNGELPSEMNSAKNDSIESQKILVEASETELEDEGMVLSQKGASVEEESENGNAKQSHCQVQSAQEATEKPNGQGSEEGSKDDDQSALSPQFMMNGRQKEKITCLLNADCDSNKDCQFLQAKILENGHMVDASINHQKQQKGSQQEVQLVTDSSDTFFMDQMNKEESEEKKIIEEKKDSNPIENGKQCISQSYDPIEQMQGSDMAEVKQEPLWDLSEYPKPIPLTMIDTTPKQCSKQCANGETPQVVAIANGDYNNQRESVGRFSLESNPDTINSQMRKSPSFDLDLRIHARAEDSDQTPLLYQDKTTIESFSTQAEEKANTENGENPSQYESMATEEKAVTLERSDSEKSRTPFLGFLKEDEEEADEHNMLMEKNPKKQGNQSATNKTTTKVSTSVTTKGKVKRKPITAFFGTCMCCATVTN >KJB28402 pep chromosome:Graimondii2_0_v6:5:4358669:4364365:1 gene:B456_005G045900 transcript:KJB28402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEMGNNNTSGFQEENNTVDNKSVQEFDHADGLKGQNHVVQAILDTDSQTANKEEGEKTQEDAARTDYEQEQNHEVVVSEDVYVNGKDEKEEDTNMIPVDKEHSMIESGYEEEAKGQGHLVPAAEDKDTRNETESATLQHDGLASPCIKDGEHDEKEQDSSTILDVEEMPLKEAARTEEANAEACLVPAGGDESTCGTETGQVSGDRGGKEFPSVDKQKPDEKDETNAEACLVPAGGDESNRGTETGQVSGDRGGMEYPSVDKQTPDEKEEDITVNDETEEQSSQEAASTDVLDRQVQLLPVVEDKATHGNQIGFVTSDPEGTADLNGDNPKEHEKEEDTNMIQVDKENSMKEAGYADDAEGQDHLVPAAEDKNTRGNETESVSLEHDGLASPHIEDSEHEEKEQDTSTILEVEEIPLKEAAITDETNAEARLAPAGGDESTHGTETGLVFDDHDGTEYPCIDKQKHDEKEDITINDEAAERSSQEAANTDVLATVEDKATHGNEIGLDSTEPEETADLQSDNPEEHETEMNNTNAEPQEKPLAKDDIEEKNPTIRAAEGEDYNKTEAVLASGDPVVVGDTPDNKILEGQEQGKTELDPPAESTEVDAKPGEVVGGSETEPTSSTKNLEDQEMEKESNFEENLSGRNHDVENQNPMKKEDEEASNSLSGAASSLEPDEHELAEICTELLVLESNGPVKSEDMIIPSLTCQDQENGFILDDSVSTDPVETVSPDLSPEAGKGRDEFLVEEMAIKEVSRDEKLELKDGDDEAGNGLGTITTVMTDLPTGFGAKCNGELPSEMNSAKNDSIESQKILVEASETELEDEGMVLSQKGASVEEESENGNAKQSHCQVQSAQEATEKPNGQGSEEGSKDDDQSALSPQFMMNGRQKEKITCLLNADCDSNKDCQFLQAKILENGHMVDASINHQKQQKGSQQEVQLVTDSSDTFFMDQMNKEESEEKKIIEEKKDSNPIENGKQCISQSYDPIEQMQGSDMAEVKQEPLWDLSEYPKPIPLTMIDTTPKQCSKQCANGETPQVVAIANGDYNNQRESVGRFSLESNPDTINSQMRKSPSFDLDLRIHARAEDSDQTPLLYQDKTTIESFSTQAEEKANTENGENPSQYESMATEEKAVTLERSDSEKSRTPFLGFLKEDEEEADEHNMLMEKNPKKQGNQSATNKTTTKVSTSVTTKGKVKRKPITAFFGTCMCCATVTN >KJB28584 pep chromosome:Graimondii2_0_v6:5:5753635:5758952:-1 gene:B456_005G056800 transcript:KJB28584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGDTKGEFKPSDINPSTDFADGETQPFEFDSQFPVSPFCGYRDDNEDDDELNYPQDTVPLDDNNVAVEDGLEIQILNLGEETQVLDFGGETQVLDDLDCCENIETQLLDAFDVSVVLDSEGEGTDGTEVFDDGDEVSDDEVVIGDCGRSIGHEEKESLEQCRASTDECRSSGIHVPTATPDVKAVSEGKPGSVQRFTSVRAASLRASGLAARNAALREMNNESCSNQTDSRFSNQCTVDSKGLNLKVVENISQRQDQNSINFRIGCPTARKLFAEDCFTENKELSRNSEVADAREDLLEAPDCDGPLAGLSYIDSQEPGELSQANALDFVERFVNDKLMELDNEVDFGKSTGGNSKLISCAKGLQSLAKRTIERSTAGEAGNFEWDDFREDEGGGDIYRRMKEEFYGNRSQARKSSTNLRKPKGKKLNESCNVDQPKSGDKRMVHSESKLLLCKLKDNDMTLQEGQMNFRKNLSNEFDEQFNSDSSRGQLEPTGAKTGAAEELNDVGFDTQIAAEAIEALFNGEAATEPKANQGVQSISKGSSKASFRGKAGKRISSTSRKGVSCSDATRFTRQSKRSKLNEDSSVLLEKHSKNVRKESSVTTPVSDSKKGRKRIKEVDFLQESRIGSTDVKLSGMSNANGQLSILHSDQSGEHGNGNGNVKLSIDVHLELISKSIGNHVPSYPRERRSSRKMPVGLGESDKMEAQSRKPAQPDDNGKPTAMQKRSRGNNRSTCIPSSTRRTARSSVNTCPLPYFSDQNSEGKLSRQSLDKQGSDADELNCNFSDKNGRMISKRKIGPKAAKAITHAGGNPDAISLSNAENLTVNVDSDKSPKEKSRSPGSLCTTPTNHLTPINAASPVCMGEEYYKMSCKKNLLKASLIKELRSLCPNEAEPISPLKDMRKRRNLADVRVLFSNHLDEDILKQQKKILARLGIHEASTILDATHFITDKFVRTRNMLEAIASGKSVVSHLWLESIGQVNIHIDEEAYILRDIKKEKELGFCMPVSLARARKRPLLQGRRVLITPKTKPGN >KJB28583 pep chromosome:Graimondii2_0_v6:5:5753945:5758762:-1 gene:B456_005G056800 transcript:KJB28583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGDTKGEFKPSDINPSTDFADGETQPFEFDSQFPVSPFCGYRDDNEDDDELNYPQDTVPLDDNNVAVEDGLEIQILNLGEETQVLDFGGETQVLDDLDCCENIETQLLDAFDVSVVLDSEGEGTDGTEVFDDGDEVSDDEVVIGDCGRSIGHEEKESLEQCRASTDECRSSGIHVPTATPDVKAVSEGKPGSVQRFTSVRAASLRASGLAARNAALREMNNESCSNQTDSRFSNQCTVDSKGLNLKVVENISQRQDQNSINFRIGCPTARKLFAEDCFTENKELSRNSEVADAREDLLEAPDCDGPLAGLSYIDSQEPGELSQANALDFVERFVNDKLMELDNEVDFGKSTGGNSKLISCAKGLQSLAKRTIERSTAGEAGNFEWDDFREDEGGGDIYRRMKEEFYGNRSQARKSSTNLRKPKGKKLNESCNVDQPKSGDKRMVHSESKLLLCKLKDNDMTLQEGQMNFRKNLSNEFDEQFNSDSSRGQLEPTGAKTGAAEELNDVGFDTQIAAEAIEALFNGEAATEPKANQGVQSISKGSSKASFRGKAGKRISSTSRKGVSCSDATRFTRQSKRSKLNEDSSVLLEKHSKNVRKESSVTTPVSDSKKGRKRIKEVDFLQESRIGSTDVKLSGMSNANGQLSILHSDQSGEHGNGNGNVKLSIDVHLELISKSIGNHVPSYPRERRSSRKMPVGLGESDKMEAQSRKPAQPDDNGKPTAMQKRSRGNNRSTCIPSSTRRTARSSVNTCPLPYFSDQNSEGKLSRQSLDKQGSDADELNCNFSDKNGRMISKRKIGPKAAKAITHAGGNPDAISLSNAENLTVNVDSDKSPKEKSRSPGSLCTTPTNHLTPINAASPVCMGEEYYKMSCKKNLLKASLIKELRSLCPNEAEPISPLKDMRKRRNLADVRVLFSNHLDEDILKQQKKILARLGIHEASTILDATHFITDKFVRTRNMLEAIASGKSVVSHLWLESIGQVNIHIDEEAYILRDIKKEKELGFCMPVSLARARKRPLLQGRRVLITPKTKPGKETISRLVTAVHGQAIERTGKSSMKDDKIPDDLLVLSCEEDYEICVPFLEKGAAVYSSELLLNGIVTQKLDYQRHRLFEDHVRRTRSTVWMKKDNKFHPVTKA >KJB28585 pep chromosome:Graimondii2_0_v6:5:5753670:5758762:-1 gene:B456_005G056800 transcript:KJB28585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGDTKGEFKPSDINPSTDFADGETQPFEFDSQFPVSPFCGYRDDNEDDDELNYPQDTVPLDDNNVAVEDGLEIQILNLGEETQVLDFGGETQVLDDLDCCENIETQLLDAFDVSVVLDSEGEGTDGTEVFDDGDEVSDDEVVIGDCGRSIGHEEKESLEQCRASTDECRSSGIHVPTATPDVKAVSEGKPGSVQRFTSVRAASLRASGLAARNAALREMNNESCSNQTDSRFSNQCTVDSKGLNLKVVENISQRQDQNSINFRIGCPTARKLFAEDCFTENKELSRNSEVADAREDLLEAPDCDGPLAGLSYIDSQEPGELSQANALDFVERFVNDKLMELDNEVDFGKSTGGNSKLISCAKGLQSLAKRTIERSTAGEAGNFEWDDFREDEGGGDIYRRMKEEFYGNRSQARKSSTNLRKPKGKKLNESCNVDQPKSGDKRMVHSESKLLLCKLKDNDMTLQEGQMNFRKNLSNEFDEQFNSDSSRGQLEPTGAKTGAAEELNDVGFDTQIAAEAIEALFNGEAATEPKANQGVQSISKGSSKASFRGKAGKRISSTSRKGVSCSDATRFTRQSKRSKLNEDSSVLLEKHSKNVRKESSVTTPVSDSKKGRKRIKEVDFLQESRIGSTDVKLSGMSNANGQLSILHSDQSGEHGNGNGNVKLSIDVHLELISKSIGNHVPSYPRERRSSRKMPVGLGESDKMEAQSRKPAQPDDNGKPTAMQKRSRGNNRSTCIPSSTRRTARSSVNTCPLPYFSDQNSEGKLSRQSLDKQGSDADELNCNFSDKNGRMISKRKIGPKAAKAITHAGGNPDAISLSNAENLTVNVDSDKSPKEKSRSPGSLCTTPTNHLTPINAASPVCMGEEYYKMSCKKNLLKASLIKELRSLCPNEAEPISPLKDMRKRRNLADVRVLFSNHLDEDILKQQKKILARLGIHEASTILDATHFITDKFVRTRNMLEAIASGKSVVSHLWLESIGQVNIHIDEEAYILRDIKKEKELGFCMPVSLARARKRPLLQGRRVLITPKTKPGKETISRLVTAVHGQGQPFTVQSCY >KJB28544 pep chromosome:Graimondii2_0_v6:5:5345845:5349529:1 gene:B456_005G054100 transcript:KJB28544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPYPIPVSATQVGTYFVGQYYQVLQQEPHFVHQFYSDSSTMVRVDGNSRETAAAMLQIHALVMSLNFTGIEIKTAYSLESWNGGVLVMVSGSVQVKDFNFRRKFMQTFFLAPQEKGYFVLNDIFHYIDEEQIHQHPAVLLAQHNLDLKLNAFMTNPEPVPSYMLGGDIHGREFLAPDDAKENGLVDKYRFPEQQLQHAPESESIVENSSVHESNGLLLHTVNTGQEHVPPTIEEPVGEPQKQTYASILRVAKGQPAPLVVPQVPVNKNMPPVSDLDHDHHHNNSSNAVEVSGADMVNEISPVEYEGELKSVYVRNLPNTVSESEIMEEFKKFGEISPDGVVIRSRKDVSVCYAFVEFEDMTSVHNAVKAGIAQVAGQQVYIEERRPNSYIPSRGGSMHFFPFSFHGCTSFLLEYVLSYTRASYKPCY >KJB28543 pep chromosome:Graimondii2_0_v6:5:5345812:5349529:1 gene:B456_005G054100 transcript:KJB28543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPYPIPVSATQVGTYFVGQYYQVLQQEPHFVHQFYSDSSTMVRVDGNSRETAAAMLQIHALVMSLNFTGIEIKTAYSLESWNGGVLVMVSGSVQVKDFNFRRKFMQTFFLAPQEKGYFVLNDIFHYIDEEQIHQHPAVLLAQHNLDLKLNAFMTNPEPVPSYMLGGDIHGREFLAPDDAKENGLVDKYRFPEQQLQHAPESESIVENSSVHESNGLLLHTVNTGQEHVPPTIEEPVGEPQKQTYASILRVAKGQPAPLVVPQVPVNKNMPPVSDLDHDHHHNNSSNAVEVSGADMVNEISPVEYEGC >KJB28542 pep chromosome:Graimondii2_0_v6:5:5345685:5349572:1 gene:B456_005G054100 transcript:KJB28542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPYPIPVSATQVGTYFVGQYYQVLQQEPHFVHQFYSDSSTMVRVDGNSRETAAAMLQIHALVMSLNFTGIEIKTAYSLESWNGGVLVMVSGSVQVKDFNFRRKFMQTFFLAPQEKGYFVLNDIFHYIDEEQIHQHPAVLLAQHNLDLKLNAFMTNPEPVPSYMLGGDIHGREFLAPDDAKENGLVDKYRFPEQQLQHAPESESIVENSSVHESNGLLLHTVNTGQEHVPPTIEEPVGEPQKQTYASILRVAKGQPAPLVVPQVPVNKNMPPVSDLDHDHHHNNSSNAVEVSGADMVNEISPVEYEGELKSVYVRNLPNTVSESEIMEEFKKFGEISPDGVVIRSRKDVSVCYAFVEFEDMTSVHNAVKAGIAQVAGQQVYIEERRPNSYIPSRGGRRGRGRGSYPTDASRGRFGARSYGRGGTYDGNDRDHSRSRGNGYYRPTPRQDRVVPGYQASRNGETS >KJB31484 pep chromosome:Graimondii2_0_v6:5:56320385:56321236:1 gene:B456_005G193600 transcript:KJB31484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAARICVAFLPSLGVNRASQKRKCLNNNPVSSTMGITGEKSGDNFLTIHNESPSKSSNYLCVSVSIIQLYKISFSSLTLS >KJB27523 pep chromosome:Graimondii2_0_v6:5:60298246:60298319:-1 gene:B456_005G2198001 transcript:KJB27523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKPPRSHLKRHLFDDDDSNKPP >KJB27525 pep chromosome:Graimondii2_0_v6:5:60298246:60298522:-1 gene:B456_005G2198001 transcript:KJB27525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKPPRSHLKRHLFDDDDSNKPP >KJB27524 pep chromosome:Graimondii2_0_v6:5:60298246:60298545:-1 gene:B456_005G2198001 transcript:KJB27524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKPPRSHLKRHLFDDDDSNKPP >KJB27522 pep chromosome:Graimondii2_0_v6:5:60298246:60298319:-1 gene:B456_005G2198001 transcript:KJB27522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKPPRSHLKRHLFDDDDSNKPP >KJB32636 pep chromosome:Graimondii2_0_v6:5:63063886:63068302:1 gene:B456_005G252500 transcript:KJB32636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSDNLKGVILAVASSAFIGSSFILKKKGLKRGGASGIRAGVGGYTYLLEPLWWAGMITMIMGEIANFVAYIYAPAVLVTPLGALSIIVSACLAHFLLNERIQKMGIVGCVSCIVGSVVIVIHAPQEHTPSSVQDIWTLATQPAFLVYVVATLSAVLALVLHFEPHYGQTNILVYLGICSLMGSLTVVSIKAIGIAIKLTLDGMSQIAYPQTWFFLTVAVICVITQLIYLNKALDTFNATLVSPVYYVMFTTLTIIASVIMFKDWSGQNAGSIASEICGFITVLSGTIILHVTREQEPPPPPVGTVTWFINGDSLKSPEEEHLITHHRLDEYYEP >KJB31950 pep chromosome:Graimondii2_0_v6:5:59776652:59777497:-1 gene:B456_005G215400 transcript:KJB31950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLESGSGSRYVHQLLGPELQLQPQLRDTKHSPEKEGTDHGGTTTSSGGGERRPRGRPAGSKNKPKPPIIVTRDSPNSLRSHVLEISSGSDIVDSVANYARRRGRGVCVLSGTGAVTNVTLRQPAAPSGSVITLHGRFEVLSLTGTSLPPPAPPGAGGLTIYLAGGQGQVVGGSVAGPLMASGPVVLMAASFANAVYDRLPLDNQEEEPAVQLQPAASQTSGVTGSGGGGQLGDGGNGTTSNSGATGTGGGGGVPFYNLGSYPFPGDVFGWSGTATRPTF >KJB31948 pep chromosome:Graimondii2_0_v6:5:59776652:59777497:-1 gene:B456_005G215400 transcript:KJB31948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLESGSGSRYVHQLLGPELQLQPQLRDTKHSPEKEGTDHGGTTTSSGGGERRPRGRPAGSKNKPKPPIIVTRDSPNSLRSHVLEISSGSDIVDSVANYARRRGRGVCVLSGTGAVTNVTLRQPAAPSGSVITLHGRFEVLSLTGTSLPPPAPPGAGGLTIYLAGGQGQVVGGSVAGPLMASGPVVLMAASFANAVYDRLPLDNQEEEPAVQLQPAASQTSGVTGSGGGGQLGDGGNGTTSNSGATGTGGGGGVPFYNLGSYPFPGDVFGWSGTATRPTF >KJB31947 pep chromosome:Graimondii2_0_v6:5:59776390:59778165:-1 gene:B456_005G215400 transcript:KJB31947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLESGSGSRYVHQLLGPELQLQPQLRDTKHSPEKEGTDHGGTTTSSGGGERRPRGRPAGSKNKPKPPIIVTRDSPNSLRSHVLEISSGSDIVDSVANYARRRGRGVCVLSGTGAVTNVTLRQPAAPSGSVITLHGRFEVLSLTGTSLPPPAPPGAGGLTIYLAGGQGQVVGGSVAGPLMASGPVVLMAASFANAVYDRLPLDNQEEEPAVQLQPAASQTSGVTGSGGGGQLGDGGNGTTSNSGATGTGGGGGVPFYNLGSYPFPGDVFGWSGTATRPTF >KJB31949 pep chromosome:Graimondii2_0_v6:5:59776652:59777497:-1 gene:B456_005G215400 transcript:KJB31949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLESGSGSRYVHQLLGPELQLQPQLRDTKHSPEKEGTDHGGTTTSSGGGERRPRGRPAGSKNKPKPPIIVTRDSPNSLRSHVLEISSGSDIVDSVANYARRRGRGVCVLSGTGAVTNVTLRQPAAPSGSVITLHGRFEVLSLTGTSLPPPAPPGAGGLTIYLAGGQGQVVGGSVAGPLMASGPVVLMAASFANAVYDRLPLDNQEEEPAVQLQPAASQTSGVTGSGGGGQLGDGGNGTTSNSGATGTGGGGGVPFYNLGSYPFPGDVFGWSGTATRPTF >KJB31946 pep chromosome:Graimondii2_0_v6:5:59776390:59778165:-1 gene:B456_005G215400 transcript:KJB31946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLESGSGSRYVHQLLGPELQLQPQLRDTKHSPEKEGTDHGGTTTSSGGGERRPRGRPAGSKNKPKPPIIVTRDSPNSLRSHVLEISSGSDIVDSVANYARRRGRGVCVLSGTGAVTNVTLRQPAAPSGSVITLHGRFEVLSLTGTSLPPPAPPGAGGLTIYLAGGQGQVVGGSVAGPLMASGPVVLMAASFANAVYDRLPLDNQEEEPAVQLQPAASQTSGVTGSGGGGQLGDGGNGTTSNSGATGTGGGGGVPFYNLGSYPFPGDVFGWSGTATRPTF >KJB31376 pep chromosome:Graimondii2_0_v6:5:54845729:54848125:-1 gene:B456_005G188200 transcript:KJB31376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSLSFKTLFRTLIVLGFIIVGTLQQEGQVKDLGKGKSTLVHQRLDLNYISRRRVPNGPDPIHNRRAGNSRRPPRQA >KJB31375 pep chromosome:Graimondii2_0_v6:5:54845648:54848227:-1 gene:B456_005G188200 transcript:KJB31375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSLSFKTLFRTLIVLGFIIVGTLQQEGQVKDLGKGKSTLVHQRLDLNYISRRRVPNGPDPIHNRRAGNSRRPPRQA >KJB30709 pep chromosome:Graimondii2_0_v6:5:44116638:44118393:-1 gene:B456_005G156300 transcript:KJB30709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCMVSRTGRHLQRYDNLGRRQVVGCIPYRYKSSSDGTMTDDLEVLVISSQKCQKMMFPKGGWELDESREEAALRESLEEAGVLGNVEMNVKEARDVCQHWWMKEALDILVERLNSLKQQNEQNYPNICSDLVAKISSL >KJB30710 pep chromosome:Graimondii2_0_v6:5:44117150:44118133:-1 gene:B456_005G156300 transcript:KJB30710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCMVSRTGRHLQRYDNLGRRQVVGCIPYRYKSSSDGTMTDDLEVLVISSQKCQKMMFPKGGWELDESREEAALRESLEEAGVLGNVECELGKWDFISKSHGTFYEGYMFPLLVKEELDFWPEQNLRQRTWVKLLLLFFTSSIEHLKMTSEFQFIDLLFPLIVFR >KJB30712 pep chromosome:Graimondii2_0_v6:5:44116638:44118393:-1 gene:B456_005G156300 transcript:KJB30712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGKTHFFNFDDCSCIPYRYKSSSDGTMTDDLEVLVISSQKCQKMMFPKGGWELDESREEAALRESLEEAGVLGNVECELGKWDFISKSHGTFYEGYMFPLLVKEELDFWPEQNLRQRTWMNVKEARDVCQHWWMKEALDILVERLNSLKQQNEQNYPNICSDLVAKISSL >KJB30713 pep chromosome:Graimondii2_0_v6:5:44116638:44118501:-1 gene:B456_005G156300 transcript:KJB30713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCMVSRTGRHLQRYDNLGRRQVVGCIPYRYKSSSDGTMTDDLEVLVISSQKCQKMMFPKGGWELDESREEAALRESLEEAGVLGNVECELGKWDFISKSHGTFYEGYMFPLLVKEELDFWPEQNLRQRTWMNVKEARDVCQHWWMKEALDILVERLNSLKQQNEQNYPNICSDLVAKISSL >KJB30711 pep chromosome:Graimondii2_0_v6:5:44116998:44117822:-1 gene:B456_005G156300 transcript:KJB30711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGKTHFFNFDDCSCIPYRYKSSSDGTMTDDLEVLVISSQKCQKMMFPKGGWELDESREEAALRESLEEAGVLGNVECELGKWDFISKSHGTFYEGYMFPLLVKEELDFWPEQNLRQRTWMNVKEARDVCQHWWMKEALDILVERLNSLKQQNEQNYPNICSDLVAKISSL >KJB31557 pep chromosome:Graimondii2_0_v6:5:56824265:56827353:-1 gene:B456_005G195800 transcript:KJB31557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPPNETVPCDFCNHRIALLYCPADSAKLCLLCDHHVHSANLLSRKHLRSQICHNCSAQPVSVWRATHNLMLCQDCDWDTHGTCSVSTAHDRTPVEGFSGCPSALDLASAFGFHLHDTKPSDQSWNSCHQHLMMPIAEPCFYGMSVQDLMEPYDSLCNGKTLKKQNHGSGGKYKQVLYNQLAELMKRNLMGDVVDDYGDGGGGETGLVQNAEPNANFLAQQQQVMQPQQQRQTPESNRIVDGGEVLWNDNLNGQTPQIWDFKLGEAQFEEVGNEGSDGVFMIKNFNQLMRETTLSAKLLGDTCHFNYHPQDYMDSINMNSSNPGTSQGAATSESNNLPMARPSTSSAFGGTRGSSSCSDLVFMEQAFLVGSDLGRPAAASKADLELLAHNRGNAMQRYKEKKKTRRYDKHIRYESRKAKADSSTRVKGRFAKATDSPDG >KJB31553 pep chromosome:Graimondii2_0_v6:5:56824204:56827458:-1 gene:B456_005G195800 transcript:KJB31553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPPNETVPCDFCNHRIALLYCPADSAKLCLLCDHHVHSANLLSRKHLRSQICHNCSAQPVSVWRATHNLMLCQDCDWDTHGTCSVSTAHDRTPVEGFSGCPSALDLASAFGFHLHDTKPSDQSWNSCHQHLMMPIAEPCFYGMSVQDLMEPYDSLCNGKTLKKQNHGSGGKYKQVLYNQLAELMKRNLMGDVVDDYGDGGGGETGLVQNAEPNANFLAQQQQVMQPQQQRQTPESNRIVDGGEVLWNDNLNGQTPQIWDFKLGEAQFEEVGNEGSDGVFMIKNFNQLMRETTLSAKLLGDTCHFNYHPQDYMDSINMNSSNPGTSQGAATSESNNLPMARPSTSSAFGGTRGSSSCSDLVFMEQAFLVGSDLGRPAAASKADLELLAHNRGNAMQRYKEKKKTRRYDKHIRYESRKAKADSSTRVKGRFAKATDSPDG >KJB31558 pep chromosome:Graimondii2_0_v6:5:56825313:56827289:-1 gene:B456_005G195800 transcript:KJB31558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPPNETVPCDFCNHRIALLYCPADSAKLCLLCDHHVHSANLLSRKHLRSQICHNCSAQPVSVWRATHNLMLCQDCDWDTHGTCSVSTAHDRTPVEGFSGCPSALDLASAFGFHLHDTKPSDQSWNSCHQHLMMPIAEPCFYGMSVQDLMEPYDSLCNGKTLKKQNHGSGGKYKQVLYNQLAELMKRNLMGDVVDDYGDGGGGETGLVQNAEPNANFLAQQQQVMQPQQQRQTPESNRIVDGGEVLWNDNLNGQTPQMNSSNPGTSQGAATSESNNLPMARPSTSSAFGGTRGSSSCSDLVFMEQAFLVGSDLGRPAAASKADLELLAHNRGNAMQRYKEKKKTRRYDKHIRYESRKAKADSSTRVKGRFAKATDSPDG >KJB31560 pep chromosome:Graimondii2_0_v6:5:56824265:56827524:-1 gene:B456_005G195800 transcript:KJB31560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPPNETVPCDFCNHRIALLYCPADSAKLCLLCDHHVHSANLLSRKHLRSQICHNCSAQPVSVWRATHNLMLCQDCDWDTHGTCSVSTAHDRTPVEGFSGCPSALDLASAFGFHLHDTKPSDQSWNSCHQHLMMPIAEPCFYGMSVQDLMEPYDSLCNGKTLKKQNHGSGGKYKQVLYNQLAELMKRNLMGDVVDDYGDGGGGETGLVQNAEPNANFLAQQQQVMQPQQQRQTPESNRIVDGGEVLWNDNLNGQTPQMNSSNPGTSQGAATSESNNLPMARPSTSSAFGGTRGSSSCSDLVFMEQAFLVGSDLGRPAAASKADLELLAHNRGNAMQRYKEKKKTRRYDKHIRYESRKAKADSSTRVKGRFAKATDSPDG >KJB31559 pep chromosome:Graimondii2_0_v6:5:56824265:56827353:-1 gene:B456_005G195800 transcript:KJB31559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPPNETVPCDFCNHRIALLYCPADSAKLCLLCDHHVHSANLLSRKHLRSQICHNCSAQPVSVWRATHNLMLCQDCDWDTHGTCSVSTAHDRTPVEGFSGCPSALDLASAFGFHLHDTKPSDQSWNSCHQHLMMPIAEPCFYGMSVQDLMEPYDSLCNGKTLKKQNHGSGGKYKQVLYNQLAELMKRNLMGDVVDDYGDGGGGETGLVQNAEPNANFLAQQQQVMQPQQQRQTPESNRIVDGGEVLWNDNLNGQTPQIWDFKLGEAQFEEVGNEGSDGVFMIKNFNQLMRETTLSAKLLGDTCHFNYHPQDYMDSINMNSSNPGTSQGAATSESNNLPMARPSTSSAFGGTRGSSSCSDLVFMEQAFLVGSDLGRPAAASKADLELLAHNRGNAMQRYKEKKKTRRYDKHIRYESRKAKADSSTRVKGRFAKATDSPDG >KJB31556 pep chromosome:Graimondii2_0_v6:5:56825313:56827289:-1 gene:B456_005G195800 transcript:KJB31556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPPNETVPCDFCNHRIALLYCPADSAKLCLLCDHHVHSANLLSRKHLRSQICHNCSAQPVSVWRATHNLMLCQDCDWDTHGTCSVSTAHDRTPVEGFSGCPSALDLASAFGFHLHDTKPSDQSWNSCHQHLMMPIAEPCFYGMSVQDLMEPYDSLCNGKTLKKQNHGSGGKYKQVLYNQLAELMKRNLMGDVVDDYGDGGGGETGLVQNAEPNANFLAQQQQVMQPQQQRQTPESNRIVDGGEVLWNDNLNGQTPQMNSSNPGTSQGAATSESNNLPMARPSTSSAFGGTRGSSSCSDLVFMEQAFLVGSDLGRPAAASKADLELLAHNRGNAMQRYKEKKKTRRYDKHIRYESRKAKADSSTRVKGRFAKATDSPDG >KJB31554 pep chromosome:Graimondii2_0_v6:5:56825410:56827289:-1 gene:B456_005G195800 transcript:KJB31554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPPNETVPCDFCNHRIALLYCPADSAKLCLLCDHHVHSANLLSRKHLRSQICHNCSAQPVSVWRATHNLMLCQDCDWDTHGTCSVSTAHDRTPVEGFSGCPSALDLASAFGFHLHDTKPSDQSWNSCHQHLMMPIAEPCFYGMSVQDLMEPYDSLCNGKTLKKQNHGSGGKYKQVLYNQLAELMKRNLMGDVVDDYGDGGGGETGLVQNAEPNANFLAQQQQVMQPQQQRQTPESNRIVDGGEVLWNDNLNGQTPQIWDFKLGEAQFEEVGNEGSDGVFMIKNFNQLMRETTLSAKLLGDTCHFNYHPQDYMDSINMNSSNPGTSQGAATSESNNLPMARPSTSSAFGGTRGSSSCSDLVFMEQAFLVGSDLGRPAAASKADLELLAHNRGNAMQRYKEKKKTRRLLFLFFIWFFSCYHLPNKLVLSKCLQLLKLEACHEQV >KJB31555 pep chromosome:Graimondii2_0_v6:5:56824265:56827524:-1 gene:B456_005G195800 transcript:KJB31555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPPNETVPCDFCNHRIALLYCPADSAKLCLLCDHHVHSANLLSRKHLRSQICHNCSAQPVSVWRATHNLMLCQDCDWDTHGTCSVSTAHDRTPVEGFSGCPSALDLASAFGFHLHDTKPSDQSWNSCHQHLMMPIAEPCFYGMSVQDLMEPYDSLCNGKTLKKQNHGSGGKYKQVLYNQLAELMKRNLMGDVVDDYGDGGGGETGLVQNAEPNANFLAQQQQVMQPQQQRQTPESNRIVDGGEVLWNDNLNGQTPQIWDFKLGEAQFEEVGNEGSDGVFMIKNFNQLMRETTLSAKLLGDTCHFNYHPQDYMDSINMNSSNPGTSQGAATSESNNLPMARPSTSSAFGGTRGSSSCSDLVFMEQAFLVGSDLGRPAAASKADLELLAHNRGNAMQRYKEKKKTRRYDKHIRYESRKAKADSSTRVKGRFAKATDSPDG >KJB32590 pep chromosome:Graimondii2_0_v6:5:62816114:62822549:1 gene:B456_005G248400 transcript:KJB32590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIGGEELKKLEKEQKVQLAAAREERILVLVRLRPLSDQEIVANEVADWECINDSTILYRNTLREGSTFPSAYTFDRVFRGDCSTKQVYEEGAKEIALSVVNGINSSIFAYGQTSSGKTYTMNGITEYTVADIFDYINRHEERAFVLKFSAIEIYNEAIRDLLTSDNTQLRLRDDPERGTIVEKVTEEPLKDWNHLKELLAICEAQRRIGETSLNERSSRSHQIIRLTIESSAREFLGKENSTSLASSVNFIDLAGSERASQALSTGARLKEGCHINRSLLTLSTVVRKLSKGRQGHINYRDSKLTRLLQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQREVARLESELRSPVPPSSNSDYATLLRKKDLQIQKMEKEIRELTMQRDLAQSRVKDLLRMIGNDQESVQSARINYHPNQQAGDTWEDDYSASESSCLADSNQFHVHVRKFNPIHGYDDTESMSNHEGPYQEPLNNHGDHSMTDSAQSLETQGKIADDPDEYCKEVQCIDTEGSGRDNNNSESLAVPNGESEGRLALTLNGVGDVAGQETMSTPMNGDREANHIQNSLKLSRSWSCRDDVTGGTSSPYMDGEHNESTPPNGLEKSFPGRPDGYQKKFPSLNYDANNGRLSRNDSLSSLGSASVQTSADEQITSIHTFVAGLKKQLDGQVQDTSLEVDESGTSMKGAGLDPMHEASGTPVDWPLEFERLQRAILELWQACNVSLVHRTYFFLLFKGDRTDSIYMEVEIRRLTFLKETFSQGNQAVEDGRTLTLASSVKALRRERRTLSKLMRKRFSEEERQKLYQKWGISLNSKQRRLQLVNQLWSNNKDIDHVTESATIVAKLIRFVEQGRALKEMFGLSFTPPRPRRRSYGWKNSMASLI >KJB32589 pep chromosome:Graimondii2_0_v6:5:62816096:62822538:1 gene:B456_005G248400 transcript:KJB32589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIGGEELKKLEKEQKVQLAAAREERILVLVRLRPLSDQEIVANEVADWECINDSTILYRNTLREGSTFPSAYTFDRVFRGDCSTKQVYEEGAKEIALSVVNGINSSIFAYGQTSSGKTYTMNGITEYTVADIFDYINRHEERAFVLKFSAIEIYNEAIRDLLTSDNTQLRLRDDPERGTIVEKVTEEPLKDWNHLKELLAICEAQRRIGETSLNERSSRSHQIIRLTIESSAREFLGKENSTSLASSVNFIDLAGSERASQALSTGARLKEGCHINRSLLTLSTVVRKLSKGRQGHINYRDSKLTRLLQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQREVARLESELRSPVPPSSNSDYATLLRKKDLQIQKMEKEIRELTMQRDLAQSRVKDLLRMIGNDQESVQSARINYHPNQQAGDTWEDDYSASESSCLADSNQFHVHVRKFNPIHGYDDTESMSNHEGPYQEPLNNHGDHSMTDSAQSLETQGKIADDPDEYCKEVQCIDTEGSGRDNNNSESLAVPNGESEGRLALTLNGVGDVAGQETMSTPMNGDREANHIQNSLKLSRSWSCRDDVTGGTSSPYMDGEHNESTPPNGLEKSFPGRPDGYQKKFPSLNYDANNGRLSRNDSLSSLGSASVQTSADEQITSIHTFVAGLKKQLDGQVQDTSLEVDESGTSMKGAGLDPMHEASGTPVDWPLEFERLQRAILELWQACNVSLVHRTYFFLLFKGDRTDSIYMEVEIRRLTFLKETFSQGNQAVEDGRTLTLASSVKALRRERRTLSKLMRKRFSEEERQKLYQKWGISLNSKQRRLQLVNQLWSNNKDIDHVTESATIVAKLIRFVEQGRALKEMFGLSFTPPRPRRRSYGWKNSMASLI >KJB32592 pep chromosome:Graimondii2_0_v6:5:62815898:62822538:1 gene:B456_005G248400 transcript:KJB32592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIGGEELKKLEKEQKVQLAAAREERILVLVRLRPLSDQEIVANEVADWECINDSTILYRNTLREGSTFPSAYTFDRVFRGDCSTKQVYEEGAKEIALSVVNGINSSIFAYGQTSSGKTYTMNGITEYTVADIFDYINRHEERAFVLKFSAIEIYNEAIRDLLTSDNTQLRLRDDPERGTIVEKVTEEPLKDWNHLKELLAICEAQRRIGETSLNERSSRSHQIIRLTIESSAREFLGKENSTSLASSVNFIDLAGSERASQALSTGARLKEGCHINRSLLTLSTVVRKLSKGRQGHINYRDSKLTRLLQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQREVARLESELRSPVPPSSNSDYATLLRKKDLQIQKMEKEIRELTMQRDLAQSRVKDLLRMIGNDQESVQSARINYHPNQQAGDTWEDDYSASESSCLADSNQFHVHVRKFNPIHGYDDTESMSNHEGPYQEPLNNHGDHSMTDSAQSLETQGKIADDPDEYCKEVQCIDTEGSGRDNNNSESLAVPNGESEGRLALTLNGVGDVAGQETMSTPMNGDREANHIQNSLKLSRSWSCRDDVTGGTSSPYMDGEHNESTPPNGLEKSFPGRPDGYQKKFPSLNYDANNGRLSRNDSLSSLGSASVQTSADEQITSIHTFVAGLKKQLDGQVQDTSLEVDESGTSMKGAGLDPMHEASGTPVDWPLEFERLQRAILELWQACNVSLVHRTYFFLLFKGDRTDSIYMEVEIRRLTFLKETFSQGNQAVEDGRTLTLASSVKALRRERRTLSKLMRKRFSEEERQKLYQKWGISLNSKQRRLQLVNQLWSNNKDIDHVTESATIVAKLIRFVEQGRALKEMFGLSFTPPRPRRRSYGWKNSMASLI >KJB32591 pep chromosome:Graimondii2_0_v6:5:62815605:62822538:1 gene:B456_005G248400 transcript:KJB32591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIGGEELKKLEKEQKVQLAAAREERILVLVRLRPLSDQEIVANEVADWECINDSTILYRNTLREGSTFPSAYTFDRVFRGDCSTKQVYEEGAKEIALSVVNGINSSIFAYGQTSSGKTYTMNGITEYTVADIFDYINRHEERAFVLKFSAIEIYNEAIRDLLTSDNTQLRLRDDPERGTIVEKVTEEPLKDWNHLKELLAICEAQRRIGETSLNERSSRSHQIIRLTIESSAREFLGKENSTSLASSVNFIDLAGSERASQALSTGARLKEGCHINRSLLTLSTVVRKLSKGRQGHINYRDSKLTRLLQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQREVARLESELRSPVPPSSNSDYATLLRKKDLQIQKMEKEIRELTMQRDLAQSRVKDLLRMIGNDQESVQSARINYHPNQQAGDTWEDDYSASESSCLADSNQFHVHVRKFNPIHGYDDTESMSNHEGPYQEPLNNHGDHSMTDSAQSLETQGKIADDPDEYCKEVQCIDTEGSGRDNNNSESLAVPNGESEGRLALTLNGVGDVAGQETMSTPMNGDREANHIQNSLKLSRSWSCRDDVTGGTSSPYMDGEHNESTPPNGLEKSFPGRPDGYQKKFPSLNYDANNGRLSRNDSLSSLGSASVQTSADEQITSIHTFVAGLKKQLDGQVQDTSLEVDESGTSMKGAGLDPMHEASGTPVDWPLEFERLQRAILELWQACNVSLVHRTYFFLLFKGDRTDSIYMEVEIRRLTFLKETFSQGNQAVEDGRTLTLASSVKALRRERRTLSKLMRKRFSEEERQKLYQKWGISLNSKQRRLQLVNQLWSNNKDIDHVTESATIVAKLIRFVEQGRALKEMFGLSFTPPRPRRRSYGWKNSMASLI >KJB31117 pep chromosome:Graimondii2_0_v6:5:51976889:51979716:1 gene:B456_005G177300 transcript:KJB31117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVVPPMLLSAITSQLPLSPPNSSTNQTLPRAPLYHLSSSSLPKPPPKHLYLNSTSLHHVSAPTLSDDDESPQAQPAMVSSASAISAAIRKASTSPVDFTQRIEKNDHRNHKLIMPTPDFQRLCLEQLDLFRRIVDPDAVLSVYVRPAGSYVMDQLELRRVTSYPGVEAADILFLVGNFTVPAGLRAAEAALSSQQMEVVGEHRAVVFPMVKQPFVVGFLVAELPGMEKVPQGSESGDMVRFPTPEEAYALSSPSPGLGLKKSWEIQSLENEAMRQNDIFTVDERSNAINISRTLAVAYVMDQKAILLQQSTWQNNVRMGALVEQIRGPLSSIRTLSKMLSTHVKEHEISHDIVEDILVQGDCISGTLQELQDAVYLTKANIMRYNEGSLKKMRNSTHSHPESLRSQLSDDFSRDRSGNRSETSGLLLSLSTTDKDLEMPMPPLALAPLQEHGIRKSCNISDVLTDLVDAARPLAHKQQRMVELSELSQPLQVAIEEPALRQALSNLIEGALLRTQVGGKVEIVSTSAPAGGALLVIDDDGPDMHYMVLLEIPFPL >KJB31118 pep chromosome:Graimondii2_0_v6:5:51976889:51980334:1 gene:B456_005G177300 transcript:KJB31118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVVPPMLLSAITSQLPLSPPNSSTNQTLPRAPLYHLSSSSLPKPPPKHLYLNSTSLHHVSAPTLSDDDESPQAQPAMVSSASAISAAIRKASTSPVDFTQRIEKNDHRNHKLIMPTPDFQRLCLEQLDLFRRIVDPDAVLSVYVRPAGSYVMDQLELRRVTSYPGVEAADILFLVGNFTVPAGLRAAEAALSSQQMEVVGEHRAVVFPMVKQPFVVGFLVAELPGMEKVPQGSESGDMVRFPTPEEAYALSSPSPGLGLKKSWEIQSLENEAMRQNDIFTVDERSNAINISRTLAVAYVMDQIRGPLSSIRTLSKMLSTHVKEHEISHDIVEDILVQGDCISGTLQELQDAVYLTKANIMRYNEGSLKKMRNSTHSHPESLRSQLSDDFSRDRSGNRSETSGLLLSLSTTDKDLEMPMPPLALAPLQEHGIRKSCNISDVLTDLVDAARPLAHKQQRMVELSELSQPLQVAIEEPALRQALSNLIEGALLRTQVGGKVEIVSTSAPAGGALLVIDDDGPDMHYMTQMHSLTPFGAELFSENMIEDNMTWNFVAGLTVAREILESYGCVVRVISPRTTDAALGAGGTRVELWLPSFAALSDINNLSQEA >KJB31116 pep chromosome:Graimondii2_0_v6:5:51976846:51980340:1 gene:B456_005G177300 transcript:KJB31116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVVPPMLLSAITSQLPLSPPNSSTNQTLPRAPLYHLSSSSLPKPPPKHLYLNSTSLHHVSAPTLSDDDESPQAQPAMVSSASAISAAIRKASTSPVDFTQRIEKNDHRNHKLIMPTPDFQRLCLEQLDLFRRIVDPDAVLSVYVRPAGSYVMDQLELRRVTSYPGVEAADILFLVGNFTVPAGLRAAEAALSSQQMEVVGEHRAVVFPMVKQPFVVGFLVAELPGMEKVPQGSESGDMVRFPTPEEAYALSSPSPGLGLKKSWEIQSLENEAMRQNDIFTVDERSNAINISRTLAVAYVMDQKAILLQQSTWQNNVRMGALVEQIRGPLSSIRTLSKMLSTHVKEHEISHDIVEDILVQGDCISGTLQELQDAVYLTKANIMRYNEGSLKKMRNSTHSHPESLRSQLSDDFSRDRSGNRSETSGLLLSLSTTDKDLEMPMPPLALAPLQEHGIRKSCNISDVLTDLVDAARPLAHKQQRMVELSELSQPLQVAIEEPALRQALSNLIEGALLRTQVGGKVEIVSTSAPAGGALLVIDDDGPDMHYMTQMHSLTPFGAELFSENMIEDNMTWNFVAGLTVAREILESYGCVVRVISPRTTDAALGAGGTRVELWLPSFAALSDINNLSQEA >KJB28591 pep chromosome:Graimondii2_0_v6:5:5805609:5808605:-1 gene:B456_005G057300 transcript:KJB28591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSVIRSCSQATNPKTELGFSDSRFSFGKFNEKLFSRKPNSVSFESPLRRGKSGLRITAQAAQSDRLPKSKSSTKSKTLNRIRLFAGLPLDVVSGGTVNQFRAISAGLKALKLLGLEGVELPIWWGVVEHESMGKYNWSGYLTIAEMVRKAGLKLNVTLCFHASSQPKIPLPEWVLDIGKTKSNIFFKDRAGKPYRGCLSLAVDELAVLNGKTPMEVYQDFCESFKSAFSAFIGSTITGITMGLGPDGELRYPSHRGFAKSGTVPGAGEFQCYDKNMLHLLKQHAEACGKPKWGLGGPHNAPAYSELPTANTFFKDHGGSWESPYGDFFLSWYSSQLIAHGDRLLSLASSVFSDSAVNIFGKVPLMHSWHGTRSHPSELTAGFYNTATRKGYEEVAEMFARNSCKIILPGMDQSDKYQLKESLSSPESLLAEIGTACNKHGVGISGQNSTVGAPDGLKQIKKNMLSENLNDSFIFQRIGAQFFAPEHFPLFIEFVRKLNQPELYSGNLPTKEGTTTTTDSVHTRSNLSIHVQSA >KJB28592 pep chromosome:Graimondii2_0_v6:5:5805735:5808377:-1 gene:B456_005G057300 transcript:KJB28592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSVIRSCSQATNPKTELGFSDSRFSFGKFNEKLFSRKPNSVSFESPLRRGKSGLRITAQAAQSDRLPKSKSSTKSKTLNRIRLFAGLPLDVVSGGTVNQFRAISAGLKALKLLGLEGVELPIWWGVVEHESMGKYNWSGYLTIAEMVRKAGLKLNVTLCFHASSQPKIPLPEWVLDIGKTKSNIFFKDRAGKPYRGCLSLAVDELAVLNGKTPMEVYQDFCESFKSAFSAFIGSTITVGITMGLGPDGELRYPSHRGFAKSGTVPGAGEFQCYDKNMLHLLKQHAEACGKPKWGLGGPHNAPAYSELPTANTFFKDHGGSWESPYGDFFLSWYSSQLIAHGDRLLSLASSVFSDSAVNIFGKVPLMHSWHGTRSHPSELTAGFYNTATRKGYEEVAEMFARNSCKIILPGMDQSDKYQLKESLSSPESLLAEIGTACNKHGVGISGQNSTVGAPDGLKQIKKNMLSENLNDSFIFQRIGAQFFAPEHFPLFIEFVRKLNQPELYSGNLPTKEGTTTTTDSVHTRSNLSIHVQSA >KJB28590 pep chromosome:Graimondii2_0_v6:5:5805609:5807335:-1 gene:B456_005G057300 transcript:KJB28590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLFGCFILQLNRIRLFAGLPLDVVSGGTVNQFRAISAGLKALKLLGLEGVELPIWWGVVEHESMGKYNWSGYLTIAEMVRKAGLKLNVTLCFHASSQPKIPLPEWVLDIGKTKSNIFFKDRAGKPYRGCLSLAVDELAVLNGKTPMEVYQDFCESFKSAFSAFIGSTITGITMGLGPDGELRYPSHRGFAKSGTVPGAGEFQCYDKNMLHLLKQHAEACGKPKWGLGGPHNAPAYSELPTANTFFKDHGGSWESPYGDFFLSWYSSQLIAHGDRLLSLASSVFSDSAVNIFGKVPLMHSWHGTRSHPSELTAGFYNTATRKGYEEVAEMFARNSCKIILPGMDQSDKYQLKESLSSPESLLAEIGTACNKHGVGISGQNSTVGAPDGLKQIKKNMLSENLNDSFIFQRIGAQFFAPEHFPLFIEFVRKLNQPELYSGNLPTKEGTTTTTDSVHTRSNLSIHVQSA >KJB29907 pep chromosome:Graimondii2_0_v6:5:26853928:26858169:-1 gene:B456_005G123100 transcript:KJB29907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGPPQAADTEMAEAAQQQPQHHQQHPPQVAAGLENIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETNEHVALKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPQRECFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSESDFMTEYVVTRWYRPPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMEVSSFPTNIKLIYNTNK >KJB29908 pep chromosome:Graimondii2_0_v6:5:26853928:26858169:-1 gene:B456_005G123100 transcript:KJB29908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGPPQAADTEMAEAAQQQPQHHQQHPPQVAAGLENIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETNEHVALKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPQRECFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSESDFMTEYVVTRWYRPPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAELEFLNENAKRYIRQLPLYRRESFTEKFPNVHPLAIDLVEKMLTFDPRQRITGD >KJB29906 pep chromosome:Graimondii2_0_v6:5:26853885:26858213:-1 gene:B456_005G123100 transcript:KJB29906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGPPQAADTEMAEAAQQQPQHHQQHPPQVAAGLENIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETNEHVALKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPQRECFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSESDFMTEYVVTRWYRPPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAELEFLNENAKRYIRQLPLYRRESFTEKFPNVHPLAIDLVEKMLTFDPRQRITVEDALAHPYLTSLHDISDEPVCMTPFSFDFEQHALTEEQMKELIYREALAFNPEYLQQ >KJB29909 pep chromosome:Graimondii2_0_v6:5:26854953:26858000:-1 gene:B456_005G123100 transcript:KJB29909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGPPQAADTEMAEAAQQQPQHHQQHPPQVAAGLENIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETNEHVALKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPQRECFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSESDFMTEYVVTRWYRPPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMEVSSFPTNIKLIYNTNK >KJB32197 pep chromosome:Graimondii2_0_v6:5:61185664:61188049:1 gene:B456_005G229000 transcript:KJB32197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLNPSKTPNLFIPKTHLFKPYFLHSNFNPQPHSSFNRRPLSITITCKVKTSQGRKNDGKNISKKIVLTDSAPELSNEEIKAKPKGGGKAWGVIKKFPKRVLAILSNLPLAIGEMFTIAGLMALGTAIDQGESPEYYFQKYPEENPIFGFFTWRWVLTLGFDHMFSSPVFLGTLILLGTSLMACTYTTQIPLVKVARRWSFLQSANSIRKQEFSDTLPQASVSDLGVILMGAGYEVFLKGPSLYAFKGLAGRFAPIGVHLAMLLIMAGGTLSATGSFRGSVTVPQGLNFVMGDVLGPTGFLSTPTEAFNTEVHVNKFYMDYYDSGEVSQFHTDLSLFDMNGKEVMRKTLSVNDPLRYGGITIYQTDWSFSALQILKDGEGPFNLAMAPLTINGDKKLFGTFLPVGDTDSSNVKGISMLARDLQSIVLYDKQGKFAGVRRPNSKLPIEIDGMKIVIVDAIGSSGLDLKTDPGVPAVYAGFGALMLTTCISYLSHAQVKNICLV >KJB32199 pep chromosome:Graimondii2_0_v6:5:61185680:61189024:1 gene:B456_005G229000 transcript:KJB32199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLNPSKTPNLFIPKTHLFKPYFLHSNFNPQPHSSFNRRPLSITITCKVKTSQGRKNDGKNISKKIVLTDSAPELSNEEIKAKPKGGGKAWGVIKKFPKRVLAILSNLPLAIGEMFTIAGLMALGTAIDQGESPEYYFQKYPEENPIFGFFTWRWVLTLGFDHMFSSPVFLGTLILLGTSLMACTYTTQIPLVKVARRWSFLQSANSIRKQEFSDTLPQASVSDLGVILMGAGYEVFLKGPSLYAFKGLAGRFAPIGVHLAMLLIMAGGTLSATGSFRGSVTVPQGLNFVMGDVLGPTGFLSTPTEAFNTEVHVNKFYMDYYDSGEVSQFHTDLSLFDMNGKEVMRKTLSVNDPLRYGGITIYQTDWSFSALQILKDGEGPFNLAMAPLTINGDKKLFGTFLPVGDTDSSNVKGMYVYIFCSFVL >KJB32196 pep chromosome:Graimondii2_0_v6:5:61185583:61189024:1 gene:B456_005G229000 transcript:KJB32196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLNPSKTPNLFIPKTHLFKPYFLHSNFNPQPHSSFNRRPLSITITCKVKTSQGRKNDGKNISKKIVLTDSAPELSNEEIKAKPKGGGKAWGVIKKFPKRVLAILSNLPLAIGEMFTIAGLMALGTAIDQGESPEYYFQKYPEENPIFGFFTWRWVLTLGFDHMFSSPVFLGTLILLGTSLMACTYTTQIPLVKVARRWSFLQSANSIRKQEFSDTLPQASVSDLGVILMGAGYEVFLKGPSLYAFKGLAGRFAPIGVHLAMLLIMAGGTLSATGSFRGSVTVPQGLNFVMGDVLGPTGFLSTPTEAFNTEVHVNKFYMDYYDSGEVSQFHTDLSLFDMNGKEVMRKTLSVNDPLRYGGITIYQTDWSFSALQILKDGEGPFNLAMAPLTINGDKKLFGTFLPVGDTDSSNVKGISMLARDLQSIVLYDKQGKFAGVRRPNSKLPIEIDGMKIVIVDAIGSSGLDLKTDPGVPAVYAGFGALMLTTCISYLSHAQIWALQDGTTVIVGGKTNRAKAEFPDEMNCLLDQVPEIVESSISKKPDNIGG >KJB32198 pep chromosome:Graimondii2_0_v6:5:61185680:61188096:1 gene:B456_005G229000 transcript:KJB32198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLNPSKTPNLFIPKTHLFKPYFLHSNFNPQPHSSFNRRPLSITITCKVKTSQGRKNDGKNISKKIVLTDSAPELSNEEIKAKPKGGGKAWGVIKKFPKRVLAILSNLPLAIGEMFTIAGLMALGTAIDQGESPEYYFQKYPEENPIFGFFTWRWVLTLGFDHMFSSPVFLGTLILLGTSLMACTYTTQIPLVKVARRWSFLQSANSIRKQEFSDTLPQASVSDLGVILMGAGYEVFLKGPSLYAFKGLAGRFAPIGVHLAMLLIMAGGTLSATGSFRGSVTVPQGLNFVMGDVLGPTGFLSTPTEAFNTEVHVNKFYMDYYDSGEVSQFHTDLSLFDMNGKEVMRKTLSVNDPLRYGGITIYQTDWSFSALQILKDGEGPFNLAMAPLTINGDKKLFGTFLPVGDTDSSNVKGISMLARDLQSIVLYDKQGKFAGVRRPNSKLPIEIDGMKIVIVDAIGSSGLDLKVTRFHILCILGFLLNKNFMSFLT >KJB32200 pep chromosome:Graimondii2_0_v6:5:61185680:61189024:1 gene:B456_005G229000 transcript:KJB32200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLNPSKTPNLFIPKTHLFKPYFLHSNFNPQPHSSFNRRPLSITITCKVKTSQGRKNDGKNISKKIVLTDSAPELSNEEIKAKPKGGGKAWGVIKKFPKRVLAILSNLPLAIGEMFTIAGLMALGTAIDQGESPEYYFQKYPEENPIFGFFTWRWVLTLGFDHMFSSPVFLGTLILLGTSLMACTYTTQIPLVKVARRWSFLQSANSIRKQEFSDTLPQASVSDLGVILMGAGYEVFLKGPSLYAFKGLAGRFAPIGVHLAMLLIMAGGTLSATGSFRGSVTVPQGLNFVMGDVLGPTGFLSTPTEAFNTEVHVNKFYMDYYDSGEVSQFHTDLSLFDMNGKEVMRKTLSVNDPLRYGGITIYQTDWSFSALQILKDGEGPFNLAMAPLTINGDKKLFGTFLPVGDTDSSNVKGISMLARDLQSIVLYDKQGKFAGVRRPNSKLPIEIDGMKIVIVDAIGSSGLDLKVTRFHILCILGFLLNKNFMSFLT >KJB31531 pep chromosome:Graimondii2_0_v6:5:56543257:56544592:-1 gene:B456_005G194800 transcript:KJB31531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPFAQNPFDASFRPRYGRSFRGIPLQVLPEASQPQSLKPKMVSIPVHFVGSKRGRSDSAIKIQKVFRGFLVRKNVKKVMAIREQVNDIERSVSKTETVELIRNDPKQRLKVNENLMSLLFKLDSVKGVDSCVRDFRKSLIKKAIALQEKVDAIISGNQSVDSSNNAEVIDQNQGIIDSSDKSNQILEWEAAEDAECVANLSESEGSFTVAVGGNEEKILESSGNESQSNWLGDEEGENRRGQSDNNGKEILERIMEENEKMMRMMETLSERNEMQTRMLSALTQRVEQLEKAFLCDKLRRKKRRSDEKSQDIRKCGGKR >KJB31843 pep chromosome:Graimondii2_0_v6:5:59162411:59165890:1 gene:B456_005G210600 transcript:KJB31843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMERERRNSIANGDYSCYTNDKYSRFSNDYSVSENEKNQSLPSSSLPSAGFIEHPVSRFDTLAGVAIKYGVEVADIKKMNGLVTDLQMFALKTLQIPLPGRHPPSPCLSNGSETPGQSSANQSPGPNLPSDLRGSFQSLRLKTPPRRVSPAMSSLQGYYGLKPSEKSVASEGFEMAVYRKGEGNYLEDGPSLKLSSASDSPLKLHRKCRSVTNGFYDENGEIAADIISAAEVKDDPDKSNDKLIRRRQKSEADFNPRAPEKILKEDNTSNGGFSTITAKGLAQRSKAATRTNPGADAEVFGFNTTATGLGDGYVVDGFTAVRKSSSTSSLQDQDSSSLSSLWPTSKWNLKPDLQALSTVSITKPIFDGLPKPISARKNKAALD >KJB28396 pep chromosome:Graimondii2_0_v6:5:4337400:4341230:-1 gene:B456_005G045700 transcript:KJB28396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTLKAEEASQLQLVEREDIDDEEDLFEAIDKLITAGINAGDVKKLQDAGIYTCNGLMMHTKKNLTGIKGLSEAKVDKICEAAEKIVNFGYITGSDALLKRKSVIRITTGSQALDELLGGGIETSAITEAFGEFRSGKTQLAHTLCVSTQLPTNMRGGSGKVAYIDTEGTFRPDRVIPIAERFGMDPGAVLDNIVYARAYTYEHQYNLLLGLAAKMSEEPFRLLIVDSVIALFRVDFTGRGELAERQQKLAQMLSRLTKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHAATIRLMFRKGKGEQRVCKVFDAPNLPEAEITPGGIADAKD >KJB29954 pep chromosome:Graimondii2_0_v6:5:27975817:27976772:-1 gene:B456_005G125300 transcript:KJB29954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAADSECKRSLDRRPSSSSFRLRCSSLNSLRLRRVFDIFDKNRDGLITVQEIHQALTRLGLETDVSDLDSTIRSFIKSGNIGLTYDGFVALHESLDQRLFGLEMEEEVAEEDESESDLTEAFKVFDEDGDGYISAEELQAVLGKLGLPEGREIDRVEKMICCVDQNHDGRVDFFEFKHMMQSVVVHTS >KJB28395 pep chromosome:Graimondii2_0_v6:5:4326077:4327079:-1 gene:B456_005G045600 transcript:KJB28395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELKKLVEEGKIKYIGLSEANVDTIKRAHKVHPITALQMEYSLWTREIEDEFIPLCRELGIGIVAYSPLGRGFFGGKVVDEAMPNGSLLANYPRFNGENLEKNKLLYTRVSNLAVKHGCTVPQLALAWLLHQGDDIVPIPGTTKVKNMVNNAGCLGLKLSEDDLKEIGDAVPVDEVVGERELGVFSKYDWKFANTPLK >KJB32403 pep chromosome:Graimondii2_0_v6:5:62070333:62071799:-1 gene:B456_005G239400 transcript:KJB32403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSNPEIARVFFPSYPMFQLYKDGRAERLRQIVTVPPSDDPKTGVRSKDALVPPLSSARIFLPKTSDPTAKLPLLIYIHGGAFCIESPFSPTYHNYLTSLVAKANVIAVSVQYRKAPEHNLPAAYDDAWDAINWIASHIERNGPEPWLNENADFDKVFLAGDSAGANIAHNMIMKSAGDDQIRLKFSGLLLMNPFFMNDEPDELISFIFPSSKGPNDPRLNPACIASKELAAGLVCKRILICVAEKDFLRERGVSYYETVKKSGWNGVIEMVEIEGEVHVFYLFKPDCEKAAELMDRVSSFLNL >KJB28807 pep chromosome:Graimondii2_0_v6:5:7633493:7638811:-1 gene:B456_005G070400 transcript:KJB28807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive DNA (cytosine-5)-methyltransferase DRM3 [Source:Projected from Arabidopsis thaliana (AT3G17310) UniProtKB/Swiss-Prot;Acc:Q8H1E8] MSRYMNGKSSSQEGKTPIVPKPEMLDFDFPEDALYSQHVGDNVASSSGSNVRSFFIGMGFLPALVDKVIQEKGEDDADLLLETLTEYSDTQKANSQSSGYLNSLFVDKDASNYPQIANYIQPKEEPDVDVFDEDLIDKRASLLMMNFSVDEVEFALDKLGEDAPINELVDFITAAQIAEKLEEESEDSLSCEEENDQNATNETLFGTMEKTLSLLEMGFSENEVSIAIEKFGSDVPITELADAMFTGHLSRSYIESKKLKSAASGSGLIHNVNEREDIKTEDCSTTPVPQSGNINLGESSSKGKRPKEESLDGIPVSNPQMKQSLNEKKLEGKKPKQDHVGNASSFIDPPWLEEKIDPNVIPSFEVPRPVKSNSCKSVNKVVAKPPYFLYGNVVNLPYDGWVKISQFLYGIEPEFVNTQSFSAFNRNEGYVHNLPAENRFHILPKSPLTIQDAIPNTKKWWPSWDPRKQLNCMGSEVLGASKLCARLGNMLADSRGLLSSDQQKYILRQCQISNLIWVGLNKLCLAQPEHWERILGYPSDHTRPLENDLTQRLHLLQQSFQTDTLGYHLSVLKPIYPGGLTMLSVFSGIGGAAISLHRLGIHLKGVVAVETSEAKQKILLNWWQNTGQTGELVIIEDIQKLTSKRLESLIDKLGSIDFVICQNSNMKSTEEDTLPGFDFSLFNEFVRVLQRVRSMMQRRRSS >KJB28808 pep chromosome:Graimondii2_0_v6:5:7633773:7638377:-1 gene:B456_005G070400 transcript:KJB28808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive DNA (cytosine-5)-methyltransferase DRM3 [Source:Projected from Arabidopsis thaliana (AT3G17310) UniProtKB/Swiss-Prot;Acc:Q8H1E8] MSRYMNGKSSSQEGKTPIVPKPEMLDFDFPEDALYSQHVGDNVASSSGSNVRSFFIGMGFLPALVDKVIQEKGEDDADLLLETLTEYSDTQKANSQSSGYLNSLFVDKDASNYPQIANYIQPKEEPDVDVFDEDLIDKRASLLMMNFSVDEVEFALDKLGEDAPINELVDFITAAQIAEKLEEESEDSLSCEEENDQNATNETLFGTMEKTLSLLEMGFSENEVSIAIEKFGSDVPITELADAMFTGHLSRSYIESKKLKSAASGSGLIHNVNEREDIKTEDCSTTPVPQSGNINLGESSSKGKRPKEESLDGIPVSNPQMKQSLNEKKLEGKKPKQDHVGNASSFIDPPWLEEKIDPNVIPSFEVPRPVKSNSCKSVNKVVAKPPYFLYGNVVNLPYDGWVKISQFLYGIEPEFVNTQSFSAFNRNEGYVHNLPAENRFHILPKSPLTIQDAIPNTKKWWPSWDPRKQLNCMGSEVLGASKLCARLGNMLADSRGLLSSDQQKYILRQCQISNLIWVGLNKLCLAQPEHWERILGYPSDHTRPLENDLTQRLHLLQQSFQTDTLGYHLSVLKPIYPGGLTMLSVFSGIGGAAISLHRLGIHLKGVVAVETSEAKQKILLNWWQNTGQTGELVIIEDIQKLTSKRLESLIDKLGSIDFVICQNSNMKSTEEDTLPGFDFSLFNEFVRVLQRVRSMMQRRRSS >KJB27497 pep chromosome:Graimondii2_0_v6:5:62939729:62940314:1 gene:B456_005G250500 transcript:KJB27497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLPRMVSSKKVPKGYFAVYVGESQKRLVIPVSFLNHPSFQDLLGKSEEEFGYSHPTGGLTIPCNEDTFLEVTSRLY >KJB29805 pep chromosome:Graimondii2_0_v6:5:42281023:42288681:1 gene:B456_005G151900 transcript:KJB29805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFLKLEDSPMFQKQIWSLEHMADDLKNRCQILYKGSKRFMAALGEAYNGDNSFADSLEAFGGAKDDPYSLSIGGFQGPIMSKFIKAFRELASYKELLRSQVEHVLIDQLMHFMNVDLQDAKESRRRFDKAISTYDQAREKFVSLKKNTPGDIVAELEEVLFFLKLMTSHIFLRRLREDVLILHFVFH >KJB29806 pep chromosome:Graimondii2_0_v6:5:42281057:42288679:1 gene:B456_005G151900 transcript:KJB29806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFIKAFRELASYKELLRSQVEHVLIDQLMHFMNVDLQDAKESRRRFDKAISTYDQAREKFVSLKKNTPGDIVAELEEVLFFLKLMTSHIFLRRLREDVLILHFVFH >KJB29807 pep chromosome:Graimondii2_0_v6:5:42281057:42288679:1 gene:B456_005G151900 transcript:KJB29807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGEAYNGDNSFADSLEAFGGAKDDPYSLSIGGFQGPIMSKFIKAFRELASYKELLRSQVEHVLIDQLMHFMNVDLQDAKESRRRFDKAISTYDQAREKFVSLKKNTPGDIVAELEEVLFFLKLMTSHIFLRRLREDVLILHFVFH >KJB29808 pep chromosome:Graimondii2_0_v6:5:42281411:42288679:1 gene:B456_005G151900 transcript:KJB29808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIWSLEHMADDLKNRCQILYKGSKRFMAALGEAYNGDNSFADSLEAFGGAKDDPYSLSIGGFQGPIMSKFIKAFRELASYKELLRSQVEHVLIDQLMHFMNVDLQDAKESRRRFDKAISTYDQAREKFVSLKKNTPGDIVAELEEVLFFLKLMTSHIFLRRLREDVLILHFVFH >KJB28619 pep chromosome:Graimondii2_0_v6:5:6080968:6081276:1 gene:B456_005G058600 transcript:KJB28619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVTKLASERPVVIFSKSSCCMCHTIKTLFYEFGVNPAVYELDEIPRGRELEQALLRLGCSPSVPVVYIGGEFVGGANEVMSLHLNRSLIPMLRRAGALWV >KJB31834 pep chromosome:Graimondii2_0_v6:5:59511622:59515552:-1 gene:B456_005G212900 transcript:KJB31834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEAVNSSEWSREQDKAFENALATYPEDSLDRWEKIAADVPGKTLEEIKEHYELLEDDVNRIVSGCVPLPPYNSFEGSTGHAGDEGTGKKGSCHLGHCNNDSNHGSKNSRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDIASQGPITGQSNGAAAGGSSAKSAKQTSRHPASPPGVGMYGAPTIGQPVGGPLVSAVGRPVNLPASAHMGYGVTVPGAPMNMGPATYPMPHSTAHSHR >KJB31832 pep chromosome:Graimondii2_0_v6:5:59511622:59515618:-1 gene:B456_005G212900 transcript:KJB31832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEAVNSSEWSREQDKAFENALATYPEDSLDRWEKIAADVPGKTLEEIKEHYELLEDDVNRIVSGCVPLPPYNSFEGSTGHAGDEGTGKKGSCHLGHCNNDSNHGSKNSRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDIASQGPITGQSNGAAAGGSSAKSAKQTSRHPASPPGVGMYGAPTIGQPVGGPLVSAVGRPVNLPASAHMGYGVTVPGAPMNMGPATYPMPHSTAHSHR >KJB31833 pep chromosome:Graimondii2_0_v6:5:59511622:59516895:-1 gene:B456_005G212900 transcript:KJB31833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEAVNSSEWSREQDKAFENALATYPEDSLDRWEKIAADVPGKTLEEIKEHYELLEDDVNRIVSGCVPLPPYNSFEGSTGHAGDEGTGKKGSCHLGHCNNDSNHGSKNSRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDIASQGPITGQSNGAAAGGSSAKSAKQTSRHPASPPGVGMYGAPTIGQPVGGPLVSAVGRPVNLPASAHMGYGVTVPGAPMNMGPATYPMPHSTAHSHR >KJB31929 pep chromosome:Graimondii2_0_v6:5:59717292:59721547:1 gene:B456_005G214800 transcript:KJB31929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLQKESTSSDEKSTLTTESKSSNPETSTAENKTSSTGASQPAQAGASPTTMPGFVPPNPFDFSAMSGLLNDPSIKELAEQIAKDPSFTQMAEQLTKTFQGAATEDSMPQFDPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPAMSSMLDSFTNPQHKDQIEQRMALIKEDPSLKHILDEIETGGPAAMMKYWNDKDVLKKLGEAMGLAVSGDAATSADNSAADEGDEVGNEDESIVHNCASVGDVEGLKAALASGADKDEEDSEGRTALHFACGYGEVKCAQVLIEAGAKVDALDKNKNTALHYAAGYGRKDCVALLLENGAAVTLQNMDGKTAIDVAKLNNQHEVLKLLEKDAFL >KJB31932 pep chromosome:Graimondii2_0_v6:5:59717354:59721535:1 gene:B456_005G214800 transcript:KJB31932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLQKESTSSDEKSTLTTESKSSNPETSTAENKTSSTGASQPAQAGASPTTMPGFVPPNPFDFSAMSGLLNDPSIKELAEQIAKDPSFTQMAEQLTKTFQGAATEDSMPQFDPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPAMSSMLDSFTNPQHKDQIEQRMALIKEDPSLKHILDEIETGGPAAMMKYWNDKDVLKKLGEAMGLAVSGDAATSADNSAADEAGAKVDALDKNKNTALHYAAGYGRKDCVALLLENGAAVTLQNMDGKTAIDVAKLNNQHEVLKLLEKDAFL >KJB31930 pep chromosome:Graimondii2_0_v6:5:59717354:59720363:1 gene:B456_005G214800 transcript:KJB31930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLQKESTSSDEKSTLTTESKSSNPETSTAENKTSSTGASQPAQAGASPTTMPGFVPPNPFDFSAMSGLLNDPSIKELAEQIAKDPSFTQMAEQLTKTFQGAATEDSMPQFDPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPAMSSMLDSFTNPQHKDQIEQRMALIKEDPSLKHILDEIETGGPAAMMKYWNDKDVLKKLGEAMGLAVSGDAATSADNSAADEGDEVGNEDESIVHNCASVGDVEVWQK >KJB31931 pep chromosome:Graimondii2_0_v6:5:59717354:59721535:1 gene:B456_005G214800 transcript:KJB31931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLQKESTSSDEKSTLTTESKSSNPETSTAENKTSSTGASQPAQAGASPTTMPGFVPPNPFDFSAMSGLLNDPSIKELAEQIAKDPSFTQMAEQLTKTFQGAATEDSMPQFDPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPAMSSMLDSFTNPQHKDQIEQRMALIKEDPSLKHILDEIETGGPAAMMKYWNDKDVLKKLGEAMGLAVSGDAATSADNSAADEGDEVGNEDESIVHNCASVGDVEGLKAALASGADKDEEDSEGRTALHFACGYGEVKCAQVLIEAGAKVDALDKNKNTALHYAAGYGRKDCVALLLENGAAV >KJB31933 pep chromosome:Graimondii2_0_v6:5:59719158:59721535:1 gene:B456_005G214800 transcript:KJB31933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQLTKTFQGAATEDSMPQFDPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPAMSSMLDSFTNPQHKDQIEQRMALIKEDPSLKHILDEIETGGPAAMMKYWNDKDVLKKLGEAMGLAVSGDAATSADNSAADEGDEVGNEDESIVHNCASVGDVEGLKAALASGADKDEEDSEGRTALHFACGYGEVKCAQVLIEAGAKVDALDKNKNTALHYAAGYGRKDCVALLLENGAAVTLQNMDGKTAIDVAKLNNQHEVLKLLEKDAFL >KJB28053 pep chromosome:Graimondii2_0_v6:5:2141537:2143159:-1 gene:B456_005G024600 transcript:KJB28053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLHRSLSLLFIVACSLLWVSASANSHDDFFECLYSYHPKESSSITQVIYTETNSSYSALLDSSIRNQRFSTPNTPKPLVIITPLNISHVQATIHCSKKHGLEIRTRSGGHDFEGLSYVSHVPFVVIDLVNLRSVDVDVENEEAWVQLGATVGEVYYIINERSTNLSFPAPVFRTVGIGGSISGGGDGSLFRKYGLSADNVIDAQLVDAHGRVLDRRSMGEDLFWAIRGGGGGSFGIVISWKIKLVHVPSTVTFCSVGRTLEQNATQLLHRWQYVAPNLPKDVYSVVTISTTNASENGTKTVLATFISLFQGGANEFSLLMQERFPELGLVKEDFIEMTWVESLLLMNGLSNETSEILLDRSNRYTILPPFVKSKSDYVREPMPEIALQGLWLHLLEVDEGGIAVQNFFAYGGIMEEISETETPFPHRKGTLYKISYNIAWQEEENNNSQRYISWMRKLYSYMGPFVSKSPREAYVNYRDIDIGRNNYHGKTSYKQASIWGRKYFKNNFDRLVYVKTKTDPKNFFKHEQSIPPCLHCTS >KJB32053 pep chromosome:Graimondii2_0_v6:5:60341543:60349909:1 gene:B456_005G220600 transcript:KJB32053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQASYSLAPRLDIDHILLEAQHRWLRPAEICEILRNYQKFHISSEPPTGPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSIDVLHCYYAHGEENENFQRRSYWMLEQDLTHIVFVHYLEVKGRTIGGISHVSNSQTSSPSTSSYPDSHTKAPSGNADSASPTSTLTSLCEDADSEDSHQASSRFCTLPQQGNASVMDKIDSGFLNHFSPHQYPGWSSIPGVNEVSHLHGDRPRDIDYGTCMTEARKTPDLPSWEQDLGQYLPVCAAASSHTSATSTQPDTMSISLQQQNMMKGKLLTVKSASAEFGNPLSTESNWQIPSADNALELPKWLMDSSSNFELPYDTRFFEQKTHDFQLPNALEEITSHDVLKDDDSDSVTKTYPENDIYLDGNVNYAFSLKKSLLNGEENLKKVDSFSRWITKELGEVDDLQMQSSSGLAWSTVECGNVSDDASLSPSLSQDQLFSIVDFSPKWAYIDLETEVLIIGTFLKSQEEVAKYNWSCMFGEVEVPAEVIADGILSCYAPPHNVGQVPFYVTCSNRVACSEVREFDYRAGVTKDINVFDIYGLTSREMLLRLKTLLSLKSFSPCNHHCQGVVEKRELIAKIISMKEEEECHQFVDPSSDQDLSEYEEKERLLQRLMKEKLYSWLLHKIVEDGKGPNIVDEKGQGVLHLAAALGYDWAINPTVSAGVSINFRDVNGWTALHWAAFCGRERTVAILVSVGAAPGALTDPSPEFPLGRTPADLASANGHKGISGFLAESSLTSYLSSLTMDDQKEAVQTVSDRIATSVNYSDAQDILSLKDSITAVCNATQAADRIHQMFRMQSFQRKQLRESSDGVSGEHVISLLTTKTRRPFQSDGVAHAAATQIQKKFRGWKKRKEFLLIRKRIVKIQAHVRGHQVRKQYKTFVWSVGILEKVILRWRRKGSGLRGFRRDAIIKPDPQCTLPEEDEYDFLKEGRKQTEERFQKALTRVKSMAQNPEGRGQYRRLLTLVQGIQENKACNMVLNSTEEVAVADEDFLDVDSLLDDDTFMSIAFE >KJB32056 pep chromosome:Graimondii2_0_v6:5:60341543:60349909:1 gene:B456_005G220600 transcript:KJB32056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQASYSLAPRLDIDHILLEAQHRWLRPAEICEILRNYQKFHISSEPPTGPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSIDVLHCYYAHGEENENFQRRSYWMLEQDLTHIVFVHYLEVKGRTIGGISHVSNSQTSSPSTSSYPDSHTKAPSGNADSASPTSTLTSLCEDADSDSHQASSRFCTLPQQGNASVMDKIDSGFLNHFSPHQYPGWSSIPGVNEVSHLHGDRPRDIDYGTCMTEARKTPDLPSWEQDLGQYLPVCAAASSHTSATSTQPDTMSISLQQQNMMKGKLLTVKSASAEFGNPLSTESNWQIPSADNALELPKWLMDSSSNFELPYDTRFFEQKTHDFQLPNALEEITSHDVLKDDDSDSVTKTYPENDIYLDGNVNYAFSLKKSLLNGEENLKKVDSFSRWITKELGEVDDLQMQSSSGLAWSTVECGNVSDDASLSPSLSQDQLFSIVDFSPKWAYIDLETEVLIIGTFLKSQEEVAKYNWSCMFGEVEVPAEVIADGILSCYAPPHNVGQVPFYVTCSNRVACSEVREFDYRAGVTKDINVFDIYGLTSREMLLRLKTLLSLKSFSPCNHHCQGVVEKRELIAKIISMKEEEECHQFVDPSSDQDLSEYEEKERLLQRLMKEKLYSWLLHKIVEDGKGPNIVDEKGQGVLHLAAALGYDWAINPTVSAGVSINFRDVNGWTALHWAAFCGRERTVAILVSVGAAPGALTDPSPEFPLGRTPADLASANGHKGISGFLAESSLTSYLSSLTMDDQKEAVQTVSDRIATSVNYSDAQDILSLKDSITAVCNATQAADRIHQMFRMQSFQRKQLRESSDGVSGEHVISLLTTKTRRPFQSDGVAHAAATQIQKKFRGWKKRKEFLLIRKRIVKIQAHVRGHQVRKQYKTFVWSVGILEKVILRWRRKGSGLRGFRRDAIIKPDPQCTLPEEDEYDFLKEGRKQTEERFQKALTRVKSMAQNPEGRGQYRRLLTLVQGIQENKACNMVLNSTEEVAVADEDFLDVDSLLDDDTFMSIAFE >KJB32054 pep chromosome:Graimondii2_0_v6:5:60341564:60349789:1 gene:B456_005G220600 transcript:KJB32054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQASYSLAPRLDIDHILLEAQHRWLRPAEICEILRNYQKFHISSEPPTGPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSIDVLHCYYAHGEENENFQRRSYWMLEQDLTHIVFVHYLEVKGRTIGGISHVSNSQTSSPSTSSYPDSHTKAPSGNADSASPTSTLTSLCEDADSDSHQASSRFCTLPQQGNASVMDKIDSGFLNHFSPHQYPGWSSIPGVNEVSHLHGDRPRDIDYGTCMTEARKTPDLPSWEQDLGQYLPVCAAASSHTSATSTQPDTMSISLQQQNMMKGKLLTVKSASAEFGNPLSTESNWQIPSADNALELPKWLMDSSSNFELPYDTRFFEQKTHDFQLPNALEEITSHDVLKDDDSDSVTKTYPENDIYLDGNVNYAFSLKKSLLNGEENLKKVDSFSRWITKELGEVDDLQMQSSSGLAWSTVECGNVSDDASLSPSLSQDQLFSIVDFSPKWAYIDLETEVLIIGTFLKSQEEVAKYNWSCMFGEVEVPAEVIADGILSCYAPPHNVGQVPFYVTCSNRVACSEVREFDYRAGVTKDINVFDIYGLTSREMLLRLKTLLSLKSFSPCNHHCQGVVEKRELIAKIISMKEEEECHQFVDPSSDQDLSEYEEKERLLQRLMKEKLYSWLLHKIVEDGKGPNIVDEKGQGVLHLAAALGYDWAINPTVSAGVSINFRDVNGWTALHWAAFCGRERTVAILVSVGAAPGALTDPSPEFPLGRTPADLASANGHKGISGFLAESSLTSYLSSLTMDDQKEAVQTVSDRIATSVNYMMVCLANMLFHF >KJB32052 pep chromosome:Graimondii2_0_v6:5:60341564:60349789:1 gene:B456_005G220600 transcript:KJB32052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQASYSLAPRLDIDHILLEAQHRWLRPAEICEILRNYQKFHISSEPPTGPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSIDVLHCYYAHGEENENFQRRSYWMLEQDLTHIVFVHYLEVKGRTIGGISHVSNSQTSSPSTSSYPDSHTKAPSGNADSASPTSTLTSLCEDADSDSHQASSRFCTLPQQGNASVMDKIDSGFLNHFSPHQYPGWSSIPGVNEVSHLHGDRPRDIDYGTCMTEARKTPDLPSWEQDLGQYLPVCAAASSHTSATSTQPDTMSISLQQQNMMKGKLLTVKSASAEFGNPLSTESNWQIPSADNALELPKWLMDSSSNFELPYDTRFFEQKTHDFQLPNALEEITSHDVLKDDDSDSVTKTYPENDIYLDGNVNYAFSLKKSLLNGEENLKKVDSFSRWITKELGEVDDLQMQSSSGLAWSTVECGNVSDDASLSPSLSQDQLFSIVDFSPKWAYIDLETEVLIIGTFLKSQEEVAKYNWSCMFGEVEVPAEVIADGILSCYAPPHNVGQVPFYVTCSNRVACSEVREFDYRAGVTKDINVFDIYGLTSREMLLRLKTLLSLKSFSPCNHHCQGVVEKRELIAKIISMKEEEECHQFVDPSSDQDLSEYEEKERLLQRLMKEKLYSWLLHKIVEDGKGPNIVDEKGQGVLHLAAALGYDWAINPTVSAGVSINFRDVNGWTALHWAAFCGRERTVAILVSVGAAPGALTDPSPEFPLGRTPADLASANGHKGISGFLAESSLTSYLSSLTMDDQKEAVQTVSDRIATSVNYSDAQDILSLKDSITAVCNATQAADRIHQMFRMQSFQRKQLRESSDGVSGEHVISLLTTKTRRPFQSDGVAHAAATQIQKKFRGWKKRKEFLLIRKRIVKIQAHVRGHQVRKQYKTFVWSVGILEKVILRWRRKGSGLRGFRRDAIIKPDPQCTLPEEDEYDFLKEGRKQTEERFQKALTRVKSMAQNPEGRGQYRRLLTLVQGIQENKACNMVLNSTEEVAVADEDFLDVDSLLDDDTFMSIAFE >KJB32055 pep chromosome:Graimondii2_0_v6:5:60341564:60349789:1 gene:B456_005G220600 transcript:KJB32055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQASYSLAPRLDIDHILLEAQHRWLRPAEICEILRNYQKFHISSEPPTGPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSIDVLHCYYAHGEENENFQRRSYWMLEQDLTHIVFVHYLEVKGRTIGGISHVSNSQTSSPSTSSYPDSHTKAPSGNADSASPTSTLTSLCEDADSEDSHQASSRFCTLPQQGNASVMDKIDSGFLNHFSPHQYPDHKGWSSIPGVNEVSHLHGDRPRDIDYGTCMTEARKTPDLPSWEQDLGQYLPVCAAASSHTSATSTQPDTMSISLQQQNMMKGKLLTVKSASAEFGNPLSTESNWQIPSADNALELPKWLMDSSSNFELPYDTRFFEQKTHDFQLPNALEEITSHDVLKDDDSDSVTKTYPENDIYLDGNVNYAFSLKKSLLNGEENLKKVDSFSRWITKELGEVDDLQMQSSSGLAWSTVECGNVSDDASLSPSLSQDQLFSIVDFSPKWAYIDLETEVLIIGTFLKSQEEVAKYNWSCMFGEVEVPAEVIADGILSCYAPPHNVGQVPFYVTCSNRVACSEVREFDYRAGVTKDINVFDIYGLTSREMLLRLKTLLSLKSFSPCNHHCQGVVEKRELIAKIISMKEEEECHQFVDPSSDQDLSEYEEKERLLQRLMKEKLYSWLLHKIVEDGKGPNIVDEKGQGVLHLAAALGYDWAINPTVSAGVSINFRDVNGWTALHWAAFCGRERTVAILVSVGAAPGALTDPSPEFPLGRTPADLASANGHKGISGFLAESSLTSYLSSLTMDDQKEAVQTVSDRIATSVNYSDAQDILSLKDSITAVCNATQAADRIHQMFRMQSFQRKQLRESSDGVSGEHVISLLTTKTRRPFQSDGVAHAAATQIQKKFRGWKKRKEFLLIRKRIVKIQAHVRGHQVRKQYKTFVWSVGILEKVILRWRRKGSGLRGFRRDAIIKPDPQCTLPEEDEYDFLKEGRKQTEERFQKALTRVKSMAQNPEGRGQYRRLLTLVQGIQENKACNMVLNSTEEVAVADEDFLDVDSLLDDDTFMSIAFE >KJB32668 pep chromosome:Graimondii2_0_v6:5:63214156:63222713:-1 gene:B456_005G254800 transcript:KJB32668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVENPEHQKVKKEDGNGRVDSVKSEEKIRSGSSKHKREERVDDYDDYRSHSKRSKSREESLYDHALHKERGSSRHRSRSRDGEKDRHRSSREDRVKDRDKGERNGRDKEKERERDRKEHDRERERGRERERERSSRSRSQSEREREMSRDREFREREKEREPRERDRESRRYRDKKEDGGEAEVDPERDQRTVFAYQITLKASERDIYEFFSRAGKVRDVRLIMDRNSRRSKGFAYVEFYDVMSVPMAIALSGQPLLGQPVMVKPSEAEKNLAQSTTSVAAGQIGSHAGGARRLYVGNLHFNITEDQLRQVFESFGTVELVQLPLDETGHSKGFGFVQFARLEDAKNALNLNGQLEIGGRVIKVSTVTDQGPLQDVGTNADDLDDDEGGLSLNSSSRALLMAKLDRSGTASSITGSVGMPVNNTGLGAAPSAQPLVSPTIQASMPTIPGLPGGLQIPTNGIPAIDIIGTPSECLLLKNMFDPSLETEPDFDLDIKEDVQEECSKFGKLKHIYVDRNSAGFVYLRFEDAQGAINAQRNLHGRWFAGKMITATYMIPQTYEAKFPDSN >KJB32669 pep chromosome:Graimondii2_0_v6:5:63214150:63223045:-1 gene:B456_005G254800 transcript:KJB32669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVENPEHQKVKKEDGNGRVDSVKSEEKIRSGSSKHKREERVDDYDDYRSHSKRSKSREESLYDHALHKERGSSRHRSRSRDGEKDRHRSSREDRVKDRDKGERNGRDKEKERERDRKEHDRERERGRERERERSSRSRSQSEREREMSRDREFREREKEREPRERDRESRRYRDKKEDGGEAEVDPERDQRTVFAYQITLKASERDIYEFFSRAGKVRDVRLIMDRNSRRSKGFAYVEFYDVMSVPMAIALSGQPLLGQPVMVKPSEAEKNLAQSTTSVAAGQIGSHAGGARRLYVGNLHFNITEDQLRQVFESFGTVELVQLPLDETGHSKGFGFVQFARLEDAKNALNLNGQLEIGGRVIKVSTVTDQGPLQDVGTNADDLDDDEGGLSLNSSSRALLMAKLDRSGTASSITGSVGMPVNNTGLGAAPSAQPLVSPTIQASMPTIPGLPGGLQIPTNGIPAIDIIGTPSECLLLKNMFDPSLETEPDFDLDIKEDVQEECSKFGKLKHIYVDRNSAGFVYLRFEDAQGAINAQRNLHGRWFAGKMITATYMIPQTYEAKFPDSN >KJB28259 pep chromosome:Graimondii2_0_v6:5:3566839:3573242:-1 gene:B456_005G037900 transcript:KJB28259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVKLFGAWLSPYVFRVIWALKLKGIPYEYIEEDLSNKSPLLLQYNPIHKKVPVLVHDGKPVCESTVILRYVDEIWPQNPLLPADPYDRAVALFWIKFADDKGYLMLKLYRANGEEQQAAVKEWLEMFEVMEEHALVGVKKLFGGDEINMVDIAFSFVAIWLGVLEDILGLEIFQPHKFPRVSSWIQNFKSVPVIKDNLPDTDKMSTFLKHGREMMLTSKSN >KJB29596 pep chromosome:Graimondii2_0_v6:5:19748836:19752820:-1 gene:B456_005G109300 transcript:KJB29596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKNILSYGFCHLSFSHLIPILSVICFTLRALFSSLISFFSLLLFAPPSPLHFDLQKRKEPFKFRRFSIYSKILVILDKLAVIAYQNRYLILDLYSCCACFGNLFWCGERGKMVSLQGPVICPAVRSKQFGVYMPPANGPFPRAKLYRSDIWGYRGITDGKNKARAIFRQLKLRKCRTTVQCSFSSSSDGNGSMAENFNENDEDYVNSSVLEAVEVRSGADGFMIKMRDGRHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVQIARPTMYQVVKDMIDKMGYTVKLVRVTKRVHEAYFAQLYLTKVGDESKSVIFDLRPSDAINIAVRCKVSIQVNKYLAYSDGMRVIESGKLSMQSPASNGILFTELDRPSGQHCLDTEEFNMVCKLNEAINQERYKDAADLRDKLRKFRAQRKLRKYT >KJB29593 pep chromosome:Graimondii2_0_v6:5:19748233:19752294:-1 gene:B456_005G109300 transcript:KJB29593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTSLSLLGVGGERGKMVSLQGPVICPAVRSKQFGVYMPPANGPFPRAKLYRSDIWGYRGITDGKNKARAIFRQLKLRKCRTTVQCSFSSSSDGNGSMAENFNENDEDYVNSSVLEAVEVRSGADGFMIKMRDGRHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVQIARPTMYQVVKDMIDKMGYTVKLVRVTKRVHEAYFAQLYLTKVGDESKSVIFDLRPSDAINIAVRCKVSIQVNKYLAYSDGMRVIESGKLSMQSPASNGILFTELDRPSGQHCLDTEEFNMVCKLNEAINQERYKDAADLRDKLRKFRAQRKLRKYT >KJB29595 pep chromosome:Graimondii2_0_v6:5:19748233:19752853:-1 gene:B456_005G109300 transcript:KJB29595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQGPVICPAVRSKQFGVYMPPANGPFPRAKLYRSDIWGYRGITDGKNKARAIFRQLKLRKCRTTVQCSFSSSSDGNGSMAENFNENDEDYVNSSVLEAVEVRSGADGFMIKMRDGRHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVQIARPTMYQVVKDMIDKMGYTVKLVRVTKRVHEAYFAQLYLTKVGDESKSVIFDLRPSDAINIAVRCKVSIQVNKYLAYSDGMRVIESGKLSMQSPASNGILFTELDRPSGQHCLDTEEFNMVCKLNEAINQERYKDAADLRDKLRKFRAQRKLRKYT >KJB29597 pep chromosome:Graimondii2_0_v6:5:19750765:19752658:-1 gene:B456_005G109300 transcript:KJB29597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQGPVICPAVRSKQFGVYMPPANGPFPRAKLYRSDIWGYRGITDGKNKARAIFRQLKLRKCRTTVQCSFSSSSDGNGSMAENFNENDEDYVNSSVLEAVEVRSGADGFMIKMRDGRHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVQIARPTMYQVVKDMIDKMGYTVKLVRVTKRVHEAYFAQLYLTKVGDESKSVIFDLRPSDAINIAVRCKVPFMCNSLVLLICYQMVVGAFDGGSRVSRM >KJB29594 pep chromosome:Graimondii2_0_v6:5:19748233:19752658:-1 gene:B456_005G109300 transcript:KJB29594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQGPVICPAVRSKQFGVYMPPANGPFPRAKLYRSDIWGYRGITDGKNKARAIFRQLKLRKCRTTVQCSFSSSSDGNGSMAENFNENDEDYVNSSVLEAVEVRSGADGFMIKMRDGRHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVQIARPTMYQVVKDMIDKMGYTVKLVRVTKRVHEAYFAQLYLTKVGDESKSVIFDLRPSDAINIAVRCKVSIQVNKYLAYSDGMRVIESGKLSMQSPASNGILFTELDRPSGQHCLDTEEFNMVCKLNEAINQERYKDAGTLPLTLII >KJB29598 pep chromosome:Graimondii2_0_v6:5:19750789:19752721:-1 gene:B456_005G109300 transcript:KJB29598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQGPVICPAVRSKQFGVYMPPANGPFPRAKLYRSDIWGYRGITDGKNKARAIFRQLKLRKCRTTVQCSFSSSSDGNGSMAENFNENDEDYVNSSVLEAVEVRSGADGFMIKMRDGRHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVQIARPTMYQVVKDMIDKMGYTVKLVRVTKRVHEAYFAQLYLTKVCIYFKWAFYSFLSTFSAFV >KJB31215 pep chromosome:Graimondii2_0_v6:5:53149970:53153673:1 gene:B456_005G181400 transcript:KJB31215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLKFFFSQLDGTIIAPTDARPWGKGLLQWLEFTKLKGIAIQGKGILDGRGSGWWQDAPYEDPYDDERKLIIPLNSTVQERPPMPVRNELSGKMPSIKPTALRFYGSFNVTVTGITIQNSPQCHLKFDNCMGVVVHDITVSSPGDSPNTDGIHLQNSNDVLIHSSNLACGDDCVSIQTGCSNVYIHNVNCGPGHGISIGSLGRDNTKACVSNITVRDIVMHNTMNGVRIKTWQGGSGSVQGVLFSNIQVSEVQLPIVIDQFYCDKRKCSNETAAVALSGITYEKIRGTYTVKPVHFACSDSLPCIGVTLSAIELKPVQERYHLYDPFCWQTFGELTTPTVPPISCLQIGKPTNNRVQSDHDVC >KJB31214 pep chromosome:Graimondii2_0_v6:5:53147999:53153188:1 gene:B456_005G181400 transcript:KJB31214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVNFRSLTFMILIAFFVWCSSIETCIARRGKHWRQSRYAAALSKKKGGSHGHRNYHNGGSKPKPPPPQSHKATPSPKPKEEVPPSTPSPHGGYSYDQQSTVFNVLDFGAKGDGKSDDTKAFQDTWAAACKVEASTVVVPAEFVFLVGPISFSGPYCQANIVFQLDGTIIAPTDARPWGKGLLQWLEFTKLKGIAIQGKGILDGRGSGWWQDAPYEDPYDDERKLIIPLNSTVQERPPMPVRNELSGKMPSIKPTALRFYGSFNVTVTGITIQNSPQCHLKFDNCMGVVVHDITVSSPGDSPNTDGIHLQNSNDVLIHSSNLACGDDCVSIQTGCSNVYIHNVNCGPGHGISIGSLGRDNTKACVSNITVRDIVMHNTMNGVRIKTWQGGSGSVQGVLFSNIQVSEVQLPIVIDQFYCDKRKCSNETAAVALSGITYEKIRGTYTVKPVHFACSDSLPCIGVTLSAIELKPVQERYHLYDPFCWQTFGELTTPTVPPISCLQIGKPTNNRVQSDHDVC >KJB27967 pep chromosome:Graimondii2_0_v6:5:1515989:1517326:-1 gene:B456_005G0190002 transcript:KJB27967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CDVIFHVKCAMKDKDSYEIVENEDEMPHESSISVIERNDAGEATKIKHFKHMHNLMLGPFVGGYENSCNGCMLPLSDPFYYCSECVFFLHKACAELTKMKNVWHHHCQEPLALISDKAFECQECWHISNAFAYECCGCEENKCLRCVIALTPGARTCLKHVHPLYFYRDYEGQCNACGDTTRGYGAFCCKDCNFVLDLGCFSLPITARHKCDEHLLSLTDNDDNSYSESHHCDICEESRDVNRWFYHCTTCDTSAHVGCVLGSYPFLKLGSIYEETNHPHPLIIVKKKYYYPDCDKCSKPCEDVALECSKLECKYIVHWDCVAPYFLRQWWKWGM >KJB28391 pep chromosome:Graimondii2_0_v6:5:4313119:4316628:1 gene:B456_005G045300 transcript:KJB28391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEVKKPRLQPINPQPIQLCLLFFPAGAAGSFFSFFIFFFHFFRWFSLYRPPRLLLQKPIAVSGGLDAYPRVWPPFRKEANAGALTNFEVLDFLRSRGASKDPTRVIVPIAPSEFKVYDYLVESAACNQTKEHVKEFLERCKSYKLAKAEVLNIINLRPSALVEIDPIIEESEKRFGEQLEELVNLVVEVLPEPPTRKLPEPESNEVKEDTMDGKNMDEDKTETVTVEQIDKDQTEPNEDGEQIVVS >KJB28378 pep chromosome:Graimondii2_0_v6:5:4305997:4309363:1 gene:B456_005G045200 transcript:KJB28378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPVVQPWRPELIPVPVPAPFRPPETPLEPMEFLSRSWSVSALEVSRALAPSQPHPSSSSHQMCLKGSSSSGNVVILEDIAGELEENGIVSGNPFSFASSETSQMVLERIMSQSQEVSPRTSGRLSHSSGPLTDSPPVSPSEIDDQFCRASNSLNMQYRTNTGIGAATPATTAVTGGGKTVGRWLKDRREKKKEETRAQNAQLHAAISVAGVAAAVAAYAAATAASSSAGKDEQRAKTDMAVASAATLVAAQCVETAEAMGAERDHLTSVISSAVNVRSAGDIMTLTAGAATALRGAATLKARALKEVWNIAAVIPVENNKNGGNGSNGSSNGSFSGELLPEENFLGICSRELLARGCELLKRTRKGDLHWKVVSVYINRMNQVMLKMKSRHVAGTITKKKKNVVLEVIKDMPAWPGRHLLEGGENRRYFGLKTVMRGVVEFECKSQREYDIWTQGVSRLLSIAAEKNNRNRI >KJB28375 pep chromosome:Graimondii2_0_v6:5:4305997:4307878:1 gene:B456_005G045200 transcript:KJB28375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPVVQPWRPELIPVPVPAPFRPPETPLEPMEFLSRSWSVSALEVSRALAPSQPHPSSSSHQMCLKGSSSSGNVVILEDIAGELEENGIVSGNPFSFASSETSQMVLERIMSQSQEVSPRTSGRLSHSSGPLTDSPPVSPSEIDDVKQFCRASNSLNMQYRTNTGIGAATPATTAVTGGGKTVGRWLKDRREKKKEETRAQNAQLHAAISVAGVAAAVAAYAAATAASSSAGKDEQRAKTDMAVASAATLVAAQCVETAEAMGAERDHLTSVISSAVNVRSAGDIMTLTAGAATGIHIHTYI >KJB28376 pep chromosome:Graimondii2_0_v6:5:4305997:4309363:1 gene:B456_005G045200 transcript:KJB28376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPVVQPWRPELIPVPVPAPFRPPETPLEPMEFLSRSWSVSALEVSRALAPSQPHPSSSSHQMCLKGSSSSGNVVILEDIAGELEENGIVSGNPFSFASSETSQMVLERIMSQSQEVSPRTSGRLSHSSGPLTDSPPVSPSEIDDVKQFCRASNSLNMQYRTNTGIGAATPATTAVTGGGKTVGRWLKDRREKKKEETRAQNAQLHAAISVAGVAAAVAAYAAATAASSSAGKDEQRAKTDMAVASAATLVAAQCVETAEAMGAERDHLTSVISSAVNVRSAGDIMTLTAGAATALRGAATLKARALKEVWNIAAVIPVENNKNGGNGSNGSSNGSFSGELLPEENFLGICSRELLARGCELLKRTRKGDLHWKVVSVYINRMNQVPIPNCSIIVGRETIVFESSVRLCSIIGESVLFASFV >KJB28379 pep chromosome:Graimondii2_0_v6:5:4305997:4309363:1 gene:B456_005G045200 transcript:KJB28379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYRTNTGIGAATPATTAVTGGGKTVGRWLKDRREKKKEETRAQNAQLHAAISVAGVAAAVAAYAAATAASSSAGKDEQRAKTDMAVASAATLVAAQCVETAEAMGAERDHLTSVISSAVNVRSAGDIMTLTAGAATALRGAATLKARALKEVWNIAAVIPVENNKNGGNGSNGSSNGSFSGELLPEENFLGICSRELLARGCELLKRTRKGDLHWKVVSVYINRMNQVMLKMKSRHVAGTITKKKKNVVLEVIKDMPAWPGRHLLEGGENRRYFGLKTVMRGVVEFECKSQREYDIWTQGVSRLLSIAAEKNNRNRI >KJB28374 pep chromosome:Graimondii2_0_v6:5:4305994:4309452:1 gene:B456_005G045200 transcript:KJB28374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPVVQPWRPELIPVPVPAPFRPPETPLEPMEFLSRSWSVSALEVSRALAPSQPHPSSSSHQMCLKGSSSSGNVVILEDIAGELEENGIVSGNPFSFASSETSQMVLERIMSQSQEVSPRTSGRLSHSSGPLTDSPPVSPSEIDDVKQFCRASNSLNMQYRTNTGIGAATPATTAVTGGGKTVGRWLKDRREKKKEETRAQNAQLHAAISVAGVAAAVAAYAAATAASSSAGKDEQRAKTDMAVASAATLVAAQCVETAEAMGAERDHLTSVISSAVNVRSAGDIMTLTAGAATALRGAATLKARALKEVWNIAAVIPVENNKNGGNGSNGSSNGSFSGELLPEENFLGICSRELLARGCELLKRTRKGDLHWKVVSVYINRMNQVMLKMKSRHVAGTITKKKKNVVLEVIKDMPAWPGRHLLEGGENRRYFGLKTVMRGVVEFECKSQREYDIWTQGVSRLLSIAAEKNNRNRI >KJB28377 pep chromosome:Graimondii2_0_v6:5:4306086:4308172:1 gene:B456_005G045200 transcript:KJB28377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPVVQPWRPELIPVPVPAPFRPPETPLEPMEFLSRSWSVSALEVSRALAPSQPHPSSSSHQMCLKGSSSSGNVVILEDIAGELEENGIVSGNPFSFASSETSQMVLERIMSQSQEVSPRTSGRLSHSSGPLTDSPPVSPSEIDDVKQFCRASNSLNMQYRTNTGIGAATPATTAVTGGGKTVGRWLKDRREKKKEETRAQNAQLHAAISVAGVAAAVAAYAAATAASSSAGKDEQRAKTDMAVASAATLVAAQCVETAEAMGAERDHLTSVISSAVNVRSAGDIMTLTAGAATALRGAATLKARALKEVWNIAAVIPVENNKNGGNGSNGSSNGSFSGELLPEENFLGICSRELLARGCELLKRTRKGKHNTRPSPGIIEQRILT >KJB28380 pep chromosome:Graimondii2_0_v6:5:4305997:4309363:1 gene:B456_005G045200 transcript:KJB28380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPVVQPWRPELIPVPVPAPFRPPETPLEPMEFLSRSWSVSALEVSRALAPSQPHPSSSSHQMCLKGSSSSGNVVILEDIAGELEENGIVSGNPFSFASSETSQMVLERIMSQSQEVSPRTSGRLSHSSGPLTDSPPVSPSEIDDVKQFCRASNSLNMQYRTNTGIGAATPATTAVTGGGKTVGRWLKDRREKKKEETRAQNAQLHAAISVAGVAAAVAAYAAATAASSSAGKDEQRAKTDMAVASAATLVAAQCVETAEAMGAERDHLTSVISSAVNVRSAGDIMTLTAGAATALRGAATLKARALKEVWNIAAVIPVENNKNGGNGSNGSSNGSFSGELLPEENFLGICSRELLARGCELLKRTRKGKHNTRPSPGIIEQRILT >KJB29302 pep chromosome:Graimondii2_0_v6:5:12886019:12887285:1 gene:B456_005G093100 transcript:KJB29302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVLLFIALCVLPALVSATRILNNQLVVEGYVYCDTCRAGFETPKTRSLADAMVRLVCSDRKSGQVVFKKEGYTDKTGKYQIRVSEDHLDQICDAVLVKSSQLGCATMSPGRERARVILTNFNGISSTTRYANAMGFMVDEPEAGCAEMMKMYQEDEEDV >KJB29418 pep chromosome:Graimondii2_0_v6:5:15689874:15692892:-1 gene:B456_005G099400 transcript:KJB29418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCACSGEQVKFEDAPQSPESLATRDFSASGLSSRTGDWESKLDDVQVDEAESTLKEALSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIKGLKPRMTGAIVERTRQRKLRSKGDIIPPSVMSLHSVSLLLEAILLKAKSLDELGHFRDAAKECNIILDVVEAAFPNGMHEGIAEDCKLQEMFHKALELLPRLWKKAGFLGEAITAYRRVLVKPWNLDPLRVASLQKDLAANLLYGGVESSLPPHLQVWGSANPNGNMEEAILLLLVLMQKVAYGEIKWDSDIMDHLIFALTVTGQFELLGSYVEQALPGIYERANRWYLLALCYAAAGQNEVALNLLKKVSGQSEAKHKPHIPALLFGAKLSSQDPKHAHYGITFARNVIGSAADDQVNEHFKSQAHKFLGVCYGNAARISISDAERSVFQKESLASLNCAAFNMKEDPELIFNLSLENAVQRNLDVAFDNAMVYSNMVTEDSGRGWRLLALILSADRRFKDAETILEVALDEAGSLDQLELLRLRAVLQIAQERPKQAIETYRILLSLIKTQRESRSNNFESAKSSDSEGVMERVLELAAWQDLATVYTKFGSWLDAEICVNKAKSIELYSPKSWHTSGLLFEAQSLHKEALVSFSIALSIEPDYVPSIVSTAAVLLQLDSQSSLPIARSFLMNALRLDPTNHDAWMNLGLIAKLEGSLQQAADFFQAAYELKMSAPVEAFK >KJB29417 pep chromosome:Graimondii2_0_v6:5:15689834:15693249:-1 gene:B456_005G099400 transcript:KJB29417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCACSGEQVKFEDAPQSPESLATRDFSASGLSSRTGDWESKLDDVQVDEAESTLKEALSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIKGLKPRMTGAIVERTRQRKLRSKGDIIPPSVMSLHSVSLLLEAILLKAKSLDELGHFRDAAKECNIILDVVEAAFPNGMHEGIAEDCKLQEMFHKALELLPRLWKKAGFLGEAITAYRRVLVKPWNLDPLRVASLQKDLAANLLYGGVESSLPPHLQVWGSANPNGNMEEAILLLLVLMQKVAYGEIKWDSDIMDHLIFALTVTGQFELLGSYVEQALPGIYERANRWYLLALCYAAAGQNEVALNLLKKVSGQSEAKHKPHIPALLFGAKLSSQDPKHAHYGITFARNVIGSAADDQVNEHFKSQAHKFLGVCYGNAARISISDAERSVFQKESLASLNCAAFNMKEDPELIFNLSLENAVQRNLDVAFDNAMVYSNMVTEDSGRGWRLLALILSADRRFKDAETILEVALDEAGSLDQLELLRLRAVLQIAQERPKQAIETYRILLSLIKTQRESRSNNFESAKSSDSEGVMERVLELAAWQDLATVYTKFGSWLDAEICVNKAKSIELYSPKSWHTSGLLFEAQSLHKEALVSFSIALSIEPDYVPSIVSTAAVLLQLDSQSSLPIARSFLMNALRLDPTNHDAWMNLGLIAKLEGSLQQAADFFQAAYELKMSAPVEAFK >KJB29548 pep chromosome:Graimondii2_0_v6:5:19127903:19128540:1 gene:B456_005G106600 transcript:KJB29548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLADIMTILVEDINSFYTLESLKEVYGIIWMLVPILTLVLGITIGVLVIVWLEREISAAIQQRIGPEYASPLGILQALADGTKLLFKENILPSRGNTRLFSIGPAIAVISILLSFSVIPFSSRLFLADLNIRYGSNNKYSFLGGLRATAQLISYEIPLTLCVLSKSLRAIR >KJB31830 pep chromosome:Graimondii2_0_v6:5:59113919:59131492:-1 gene:B456_005G210100 transcript:KJB31830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQAASSAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSSKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYISQVLEGLVYLHEQGVIHRDIKGANILTTKEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWICNCKRALQSSLRHGGTIRNISEDIAANAESSGGDNQSAGESLPVEKVEASETSSGKEFLLAEVTHQQSAYQERVLEETANNLDNDLLSDQVPTLAIHESLSLQSSSGRLSVKNVVAAHASDQLHDTSNQDEVTINGDVGSPESRRKHTEKGHGGKGSSIDIEDASFGFGPITQDAGLQKAVKASVISTGNELSRFSDSPGDASLDDLFHPFDKNLVESAAEASTSAAASNVNKATLPDTGKNDLAKKLRDTIAKKQMEEQMGQSNGGGNLLRVMMGVLNDDVIDIDGLVFGDEKLPADNLFPLQAVEFSRLLSSLRPEEPEDAIVTACQKLIAIFHLRPEQKVAFVSQHGLLPLMDLLDVPRTRVICYVLQLINQIVKDNTDFQENACLVGFIPFVMSFAGPDRPREIRMEAACFLQQLCLSSSLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCQIAAKNGILLRLINTLYSLNEATRLATISVGGGFAVDGSAQRKRSGPLDSSHPLFAQNDTPLSLTDQSDLKVRHGIIDHSFPTVPQEPSRASTSLSQRSDANLPDSRYLAIDSNKPQFSNGVLDVSVGSKLAELTSLEKLSNLATKEASTISRDRENSDRWKLDSARAELDFRHQRTSTSASRTSTDRPPKLIEGISNGFPTSVTTQAQQVRPLLSLLANEPPSRHISDQLEYVRHLPGSERRESILPLLHANNDRKTNGELDFLMAEFAEVSGRGRENGVVDPTPRISNKTVSKKVGQLGFSEGVASTSGIASQTASGVLSGSGVLNARPGSTTSSGLLSNMVSTMNADVAREYLEKVADLLLEFAQADTVVKSYMCSQSLLNRLFQMFNRIEPPILLKILKCINHLSTDPNCLENLQRADAIKYLIPNLELKDGPLVSQIHHEVLNALFNLCKINKRRQEQAAESGIIPHLMNFIISDSPLKQSALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDELWSVTALDSIAVCLAHDNDNRKVEQALLKKDAVQRLVKFFQCCPEQHFVHILEPFLKIITKSSRINTTLAVNGLTPLLISRLDHQDAIARLILLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRSGGQVLVKQMATSLLKALHVNTVL >KJB31829 pep chromosome:Graimondii2_0_v6:5:59114484:59124847:-1 gene:B456_005G210100 transcript:KJB31829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWICNCKRALQSSLRHGGTIRNISEDIAANAESSGGDNQSAGESLPVEKVEASETSSGKEFLLAEVTHQQSAYQERVLEETANNLDNDLLSDQVPTLAIHESLSLQSSSGRLSVKNVVAAHASDQLHDTSNQDEVTINGDVGSPESRRKHTEKGHGGKGSSIDIEDASFGFGPITQDAGLQKAVKASVISTGNELSRFSDSPGDASLDDLFHPFDKNLVESAAEASTSAAASNVNKATLPDTGKNDLAKKLRDTIAKKQMEEQMGQSNGGGNLLRVMMGVLNDDVIDIDGLVFGDEKLPADNLFPLQAVEFSRLLSSLRPEEPEDAIVTACQKLIAIFHLRPEQKVAFVSQHGLLPLMDLLDVPRTRVICYVLQLINQIVKDNTDFQENACLVGFIPFVMSFAGPDRPREIRMEAACFLQQLCLSSSLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCQIAAKNGILLRLINTLYSLNEATRLATISVGGGFAVDGSAQRKRSGPLDSSHPLFAQNDTPLSLTDQSDLKVRHGIIDHSFPTVPQEPSRASTSLSQRSDANLPDSRYLAIDSNKPQFSNGVLDVSVGSKLAELTSLEKLSNLATKEASTISRDRENSDRWKLDSARAELDFRHQRTSTSASRTSTDRPPKLIEGISNGFPTSVTTQAQQVRPLLSLLANEPPSRHISDQLEYVRHLPGSERRESILPLLHANNDRKTNGELDFLMAEFAEVSGRGRENGVVDPTPRISNKTVSKKVGQLGFSEGVASTSGIASQTASGVLSGSGVLNARPGSTTSSGLLSNMVSTMNADVAREYLEKVADLLLEFAQADTVVKSYMCSQSLLNRLFQMFNRIEPPILLKILKCINHLSTDPNCLENLQRADAIKYLIPNLELKDGPLVSQIHHEVLNALFNLCKINKRRQEQAAESGIIPHLMNFIISDSPLKQSALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDELWSVTALDSIAVCLAHDNDNRKVEQALLKKDAVQRLVKFFQCCPEQHFVHILEPFLKIITKSSRINTTLAVNGLTPLLISRLDHQDAIARLILLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRSGGQVLVKQMATSLLKALHVNTVL >KJB31828 pep chromosome:Graimondii2_0_v6:5:59113919:59125451:-1 gene:B456_005G210100 transcript:KJB31828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWICNCKRALQSSLRHGGTIRNISEDIAANAESSGGDNQSAGESLPVEKVEASETSSGKEFLLAEVTHQQSAYQERVLEETANNLDNDLLSDQVPTLAIHESLSLQSSSGRLSVKNVVAAHASDQLHDTSNQDEVTINGDVGSPESRRKHTEKGHGGKGSSIDIEDASFGFGPITQDAGLQKAVKASVISTGNELSRFSDSPGDASLDDLFHPFDKNLVESAAEASTSAAASNVNKATLPDTGKNDLAKKLRDTIAKKQMEEQMGQSNGGGNLLRVMMGVLNDDVIDIDGLVFGDEKLPADNLFPLQAVEFSRLLSSLRPEEPEDAIVTACQKLIAIFHLRPEQKVAFVSQHGLLPLMDLLDVPRTRVICYVLQLINQIVKDNTDFQENACLVGFIPFVMSFAGPDRPREIRMEAACFLQQLCLSSSLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCQIAAKNGILLRLINTLYSLNEATRLATISVGGGFAVDGSAQRKRSGPLDSSHPLFAQNDTPLSLTDQSDLKVRHGIIDHSFPTVPQEPSRASTSLSQRSDANLPDSRYLAIDSNKPQFSNGVLDVSVGSKLAELTSLEKLSNLATKEASTISRDRENSDRWKLDSARAELDFRHQRTSTSASRTSTDRPPKLIEGISNGFPTSVTTQAQQVRPLLSLLANEPPSRHISDQLEYVRHLPGSERRESILPLLHANNDRKTNGELDFLMAEFAEVSGRGRENGVVDPTPRISNKTVSKKVGQLGFSEGVASTSGIASQTASGVLSGSGVLNARPGSTTSSGLLSNMVSTMNADVAREYLEKVADLLLEFAQADTVVKSYMCSQSLLNRLFQMFNRIEPPILLKILKCINHLSTDPNCLENLQRADAIKYLIPNLELKDGPLVSQIHHEVLNALFNLCKINKRRQEQAAESGIIPHLMNFIISDSPLKQSALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDELWSVTALDSIAVCLAHDNDNRKVEQALLKKDAVQRLVKFFQCCPEQHFVHILEPFLKIITKSSRINTTLAVNGLTPLLISRLDHQDAIARLILLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRSGGQVLVKQMATSLLKALHVNTVL >KJB31831 pep chromosome:Graimondii2_0_v6:5:59114484:59131201:-1 gene:B456_005G210100 transcript:KJB31831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQAASSAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSSKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYISQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWICNCKRALQSSLRHGGTIRNISEDIAANAESSGGDNQSAGESLPVEKVEASETSSGKEFLLAEVTHQQSAYQERVLEETANNLDNDLLSDQVPTLAIHESLSLQSSSGRLSVKNVVAAHASDQLHDTSNQDEVTINGDVGSPESRRKHTEKGHGGKGSSIDIEDASFGFGPITQDAGLQKAVKASVISTGNELSRFSDSPGDASLDDLFHPFDKNLVESAAEASTSAAASNVNKATLPDTGKNDLAKKLRDTIAKKQMEEQMGQSNGGGNLLRVMMGVLNDDVIDIDGLVFGDEKLPADNLFPLQAVEFSRLLSSLRPEEPEDAIVTACQKLIAIFHLRPEQKVAFVSQHGLLPLMDLLDVPRTRVICYVLQLINQIVKDNTDFQENACLVGFIPFVMSFAGPDRPREIRMEAACFLQQLCLSSSLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCQIAAKNGILLRLINTLYSLNEATRLATISVGGGFAVDGSAQRKRSGPLDSSHPLFAQNDTPLSLTDQSDLKVRHGIIDHSFPTVPQEPSRASTSLSQRSDANLPDSRYLAIDSNKPQFSNGVLDVSVGSKLAELTSLEKLSNLATKEASTISRDRENSDRWKLDSARAELDFRHQRTSTSASRTSTDRPPKLIEGISNGFPTSVTTQAQQVRPLLSLLANEPPSRHISDQLEYVRHLPGSERRESILPLLHANNDRKTNGELDFLMAEFAEVSGRGRENGVVDPTPRISNKTVSKKVGQLGFSEGVASTSGIASQTASGVLSGSGVLNARPGSTTSSGLLSNMVSTMNADVAREYLEKVADLLLEFAQADTVVKSYMCSQSLLNRLFQMFNRIEPPILLKILKCINHLSTDPNCLENLQRADAIKYLIPNLELKDGPLVSQIHHEVLNALFNLCKINKRRQEQAAESGIIPHLMNFIISDSPLKQSALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDELWSVTALDSIAVCLAHDNDNRKVEQALLKKDAVQRLVKFFQCCPEQHFVHILEPFLKIITKSSRINTTLAVNGLTPLLISRLDHQDAIARLILLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRSGGQVLVKQMATSLLKALHVNTVL >KJB31827 pep chromosome:Graimondii2_0_v6:5:59113919:59121517:-1 gene:B456_005G210100 transcript:KJB31827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQMGQSNGGGNLLRVMMGVLNDDVIDIDGLVFGDEKLPADNLFPLQAVEFSRLLSSLRPEEPEDAIVTACQKLIAIFHLRPEQKVAFVSQHGLLPLMDLLDVPRTRVICYVLQLINQIVKDNTDFQENACLVGFIPFVMSFAGPDRPREIRMEAACFLQQLCLSSSLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCQIAAKNGILLRLINTLYSLNEATRLATISVGGGFAVDGSAQRKRSGPLDSSHPLFAQNDTPLSLTDQSDLKVRHGIIDHSFPTVPQEPSRASTSLSQRSDANLPDSRYLAIDSNKPQFSNGVLDVSVGSKLAELTSLEKLSNLATKEASTISRDRENSDRWKLDSARAELDFRHQRTSTSASRTSTDRPPKLIEGISNGFPTSVTTQAQQVRPLLSLLANEPPSRHISDQLEYVRHLPGSERRESILPLLHANNDRKTNGELDFLMAEFAEVSGRGRENGVVDPTPRISNKTVSKKVGQLGFSEGVASTSGIASQTASGVLSGSGVLNARPGSTTSSGLLSNMVSTMNADVAREYLEKVADLLLEFAQADTVVKSYMCSQSLLNRLFQMFNRIEPPILLKILKCINHLSTDPNCLENLQRADAIKYLIPNLELKDGPLVSQIHHEVLNALFNLCKINKRRQEQAAESGIIPHLMNFIISDSPLKQSALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDELWSVTALDSIAVCLAHDNDNRKVEQALLKKDAVQRLVKFFQCCPEQHFVHILEPFLKIITKSSRINTTLAVNGLTPLLISRLDHQDAIARLILLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRSGGQVLVKQMATSLLKALHVNTVL >KJB30933 pep chromosome:Graimondii2_0_v6:5:55583293:55583931:-1 gene:B456_005G190700 transcript:KJB30933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAGLPKYIAVNLKDIETAGFQDGDEVSLETLKEKASAKEKLEAASCSLTVLPGRKKWVKPSVAKNLARAEEYFAKKRAASSSDPTSA >KJB29211 pep chromosome:Graimondii2_0_v6:5:11974525:11978210:-1 gene:B456_005G089400 transcript:KJB29211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELAELQGELAQAHKQVHISEARVATALDKLAYMEELVNDKLLEDRNATGSDVASPSSSTSTESLEVKRKLPRKSLDVSGPVKPYHPRLKNFWYPVAFSTDLKDDTMIPIDCFEEPWVLFRGKDRNPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYTTDGKCEKMPSTRLLNVKIRSLPCLEQEGMIWIWPGDDPPTPTLPSLQPPSGFVIHAEIVMELPIEHGLLLDNLLDLAHAPFTHTSTFAKGWTVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTKECTTHLHQLHVCIPSSRNKTRLLYRMSLDFAPVLKHIPFMHYLWRHFAEQVLNEDLRLVIGQQERMINGANVWNLPVAYDKLGMRYRLWRNAVDQGDKQLPFSKPM >KJB29212 pep chromosome:Graimondii2_0_v6:5:11974953:11978124:-1 gene:B456_005G089400 transcript:KJB29212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIATAGALSLPISLRRSCKFSSKKFQGIKRGFRVYAVFGEESSLEDKKSQWGTLFDVEDPRSKVPQCKGKFLDVYQALEVARYDIQYCDWRARQDLLTIMLLHEKVVEVLNPLAREYKSIGTMKRELAELQGELAQAHKQVHISEARVATALDKLAYMEELVNDKLLEDRNATGSDVASPSSSTSTESLEVKRKLPRKSLDVSGPVKPYHPRLKNFWYPVAFSTDLKDDTMVSYIQKYKFFIIQCCNLSTYSHSTLMSYYFSFQIPIDCFEEPWVLFRGKDRNPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYTTDGKCEKMPSTRLLNVKIRSLPCLEQEGMIWIWPGDDPPTPTLPSLQPPSGFVIHAEIVMELPIEHGLLLDNLLDLAHAPFTHTSTFAKGWTVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTKECTTHLHQLHVCIPSSRNKTRLLYRMSLDFAPVLKHIPFMHYLWRHFAEQVLNEDLRLVIGQQERMINGANVWNLPVAYDKLGMRYRLWRNAVDQGDKQLPFSKPM >KJB29209 pep chromosome:Graimondii2_0_v6:5:11974464:11978220:-1 gene:B456_005G089400 transcript:KJB29209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIATAGALSLPISLRRSCKFSSKKFQGIKRGFRVYAVFGEESSLEDKKSQWGTLFDVEDPRSKVPQCKGKFLDVYQALEVARYDIQYCDWRARQDLLTIMLLHEKVVEVLNPLAREYKSIGTMKRELAELQGELAQAHKQVHISEARVATALDKLAYMEELVNDKLLEDRNATGSDVASPSSSTSTESLEVKRKLPRKSLDVSGPVKPYHPRLKNFWYPVAFSTDLKDDTMIPIDCFEEPWVLFRGKDRNPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYTTDGKCEKMPSTRLLNVKIRSLPCLEQEGMIWIWPGDDPPTPTLPSLQPPSGFVIHAEIVMELPIEHGLLLDNLLDLAHAPFTHTSTFAKGWTVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTKECTTHLHQLHVCIPSSRNKTRLLYRMSLDFAPVLKHIPFMHYLWRHFAEQVLNEDLRLVIGQQERMINGANVWNLPVAYDKLGMRYRLWRNAVDQGDKQLPFSKPM >KJB29210 pep chromosome:Graimondii2_0_v6:5:11974525:11977368:-1 gene:B456_005G089400 transcript:KJB29210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELAELQGELAQAHKQVHISEARVATALDKLAYMEELVNDKLLEDRNATGSDVASPSSSTSTESLEVKRKLPRKSLDVSGPVKPYHPRLKNFWYPVAFSTDLKDDTMIPIDCFEEPWVLFRGKDRNPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYTTDGKCEKMPSTRLLNVKIRSLPCLEQEGMIWIWPGDDPPTPTLPSLQPPSGFVIHAEIVMELPIEHGLLLDNLLDLAHAPFTHTSTFAKGWTVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTKECTTHLHQLHVLNEDLRLVIGQQERMINGANVWNLPVAYDKLGMRYRLWRNAVDQGDKQLPFSKPM >KJB30013 pep chromosome:Graimondii2_0_v6:5:31721345:31728076:-1 gene:B456_005G130000 transcript:KJB30013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEAVLNLVCSSCGKPCRSKIESDLHTKRTGHTEFIDKTLEAAKPISLEVPKVAKESEEAIAAGSTKMVVPEVDKKLLEELEAMGFPTARATRAIHFSGNTSLEAAVNWVVEHEADPDIDQMPMVPVNRHVEASKPSLTPEEMKLKAQELRERARKKKEEEERRMEREREKVRIRVGKELLEAKRIEEENERKRLVALRKAEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEEPSAAPKPSAPIVEEKKSALPVRPATKAEQMRECLRSLKQNHKDDDAKVKRAFQTLLTYIGNVARNPDEEKFRKIRLNNQTFQDRVGSVKGGVEFLELCGFEKVEGEEFLFLSRNKVDMQVLNSAGSELNSAINNPFFGVL >KJB30003 pep chromosome:Graimondii2_0_v6:5:31721283:31728100:-1 gene:B456_005G130000 transcript:KJB30003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEAVLNLVCSSCGKPCRSKIESDLHTKRTGHTEFIDKTLEAAKPISLEVPKVAKESEEAIAAGSTSEPEEMVVPEVDKKLLEELEAMGFPTARATRAIHFSGNTSLEAAVNWVVEHEADPDIDQMPMVPVNRHVEASKPSLTPEEMKLKAQELRERARKKKEEEERRMEREREKERIRVGKELLEAKRIEEENERKRLVALRKAEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEEPSAAPKPSAPIVEEKKSALPVRPATKAEQMRECLRSLKQNHKDDDAKVKRAFQTLLTYIGNVARNPDEEKFRKIRLNNQTFQDRVGSVKGGVEFLELCGFEKVEGEEFLFLSRNKVDMQVLNSAGSELNSAINNPFFGVL >KJB30014 pep chromosome:Graimondii2_0_v6:5:31722698:31728076:-1 gene:B456_005G130000 transcript:KJB30014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEAVLNLVCSSCGKPCRSKIESDLHTKRTGHTEFIDKTLEAAKPISLEVPKVAKESEEAIAAGSTSEPEEMVVPEVDKKLLEELEAMGFPTARATRAIHFSGNTSLEAAVNWVVEHEADPDIDQMPMVPVNRHVEASKPSLTPEEMKLKAQELRERARKKKEEEERRMEREREKERIRVGKELLEAKRIEEENERKRLVALRKAEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEEPSAAPKPSAPIVEEKKVIAFLSE >KJB30007 pep chromosome:Graimondii2_0_v6:5:31721604:31727945:-1 gene:B456_005G130000 transcript:KJB30007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEAVLNLVCSSCGKPCRSKIESDLHTKRTGHTEFIDKTLEAAKPISLEVPKVAKESEEAIAAGSTSEPEEMVVPEVDKKLLEELEAMGFPTARATRAIHFSGNTSLEAAVNWVVEHEADPDIDQMPMVPVNRHVEASKPSLTPEEMKLKAQELRERARKKKEEEERRMEREREKVRIRVGKELLEAKRIEEENERKRLVALRKAEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEEPSAAPKPSAPIVEEKKSALPVRPATKAEQMRECLRSLKQNHKDDDAKVKRAFQTLLTYIGNVARNPDEEKFRKIRLNNQTFQDRVGSVKGGVEFLELCGFEKVEGEEFLFLSRNKVDMQVLNSAGSELNSAINNPFFGVL >KJB30015 pep chromosome:Graimondii2_0_v6:5:31723774:31727945:-1 gene:B456_005G130000 transcript:KJB30015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEAVLNLVCSSCGKPCRSKIESDLHTKRTGHTEFIDKTLEAAKPISLEVPKVAKESEEAIAAGSTSEPEEMVVPEVDKKLLEELEAMGFPTARATRAIHFSGNTSLEAAVNWVVEHEADPDIDQMPMVPVNRHVEASKPSLTPEEMKLKAQELRERARKKKEEEERRMEREREKERIRVGKELLEAKRIEEENERKRLVALRKAEKEEEKRAREKIRQKLEEDKVLTLSSRVQSIIHYVTRTWHECLI >KJB30005 pep chromosome:Graimondii2_0_v6:5:31723774:31727945:-1 gene:B456_005G130000 transcript:KJB30005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEAVLNLVCSSCGKPCRSKIESDLHTKRTGHTEFIDKTLEAAKPISLEVPKVAKESEEAIAAGSTSEPEEMVVPEVDKKLLEELEAMGFPTARATRAIHFSGNTSLEAAVNWVVEHEADPDIDQMPMVPVNRHVEASKPSLTPEEMKLKAQELRERARKKKEEEERRMEREREKERIRVGKELLEAKRIEEENERKRLVALRKAEKEEEKRAREKIRQKLEEDKVLTLSSRVQSIIHYVTRTWHECLI >KJB30011 pep chromosome:Graimondii2_0_v6:5:31721345:31728076:-1 gene:B456_005G130000 transcript:KJB30011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEAVLNLVCSSCGKPCRSKIESDLHTKRTGHTEFIDKTLEAAKPISLEVPKVAKESEEAIAAEMVVPEVDKKLLEELEAMGFPTARATRAIHFSGNTSLEAAVNWVVEHEADPDIDQMPMVPVNRHVEASKPSLTPEEMKLKAQELRERARKKKEEEERRMEREREKERIRVGKELLEAKRIEEENERKRLVALRKAEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEEPSAAPKPSAPIVEEKKSALPVRPATKAEQMRECLRSLKQNHKDDDAKVKRAFQTLLTYIGNVARNPDEEKFRKIRLNNQTFQDRVGSVKGGVEFLELCGFEKVEGEEFLFLSRNKVDMQVLNSAGSELNSAINNPFFGVL >KJB30008 pep chromosome:Graimondii2_0_v6:5:31721345:31728076:-1 gene:B456_005G130000 transcript:KJB30008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEAVLNLVCSSCGKPCRSKIESDLHTKRTGHTEFIDKTLEAAKPISLEVPKVAKESEEAIAAGSTSEPEEMVVPEVDKKLLEELEAMGFPTARATRAIHFSGNTSLEAAVNWVVEHEADPDIDQMPMVPVNRHVEASKPSLTPEEMKLKAQELRMMMRKSREHSRLFLHT >KJB30009 pep chromosome:Graimondii2_0_v6:5:31721604:31725857:-1 gene:B456_005G130000 transcript:KJB30009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVSYYRCKPMAYLLLYKHWVSSAGNTSLEAAVNWVVEHEADPDIDQMPMVPVNRHVEASKPSLTPEEMKLKAQELRERARKKKEEEERRMEREREKERIRVGKELLEAKRIEEENERKRLVALRKAEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEEPSAAPKPSAPIVEEKKSALPVRPATKAEQMRECLRSLKQNHKDDDAKVKRAFQTLLTYIGNVARNPDEEKFRKIRLNNQTFQDRVGSVKGGVEFLELCGFEKVEGEEFLFLSRNKVDMQVLNSAGSELNSAINNPFFGVL >KJB30012 pep chromosome:Graimondii2_0_v6:5:31721604:31727945:-1 gene:B456_005G130000 transcript:KJB30012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEAVLNLVCSSCGKPCRSKIESDLHTKRTGHTEFIDKTLEAAKPISLEVPKVAKESEEAIAAGSTKMVVPEVDKKLLEELEAMGFPTARATRAIHFSGNTSLEAAVNWVVEHEADPDIDQMPMVPVNRHVEASKPSLTPEEMKLKAQELRERARKKKEEEERRMEREREKERIRVGKELLEAKRIEEENERKRLVALRKAEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEEPSAAPKPSAPIVEEKKSALPVRPATKAEQMRECLRSLKQNHKDDDAKVKRAFQTLLTYIGNVARNPDEEKFRKIRLNNQTFQDRVGSVKGGVEFLELCGFEKVEGEEFLFLSRNKVDMQVLNSAGSELNSAINNPFFGVL >KJB30006 pep chromosome:Graimondii2_0_v6:5:31721345:31728076:-1 gene:B456_005G130000 transcript:KJB30006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEAVLNLVCSSCGKPCRSKIESDLHTKRTGHTEFIDKTLEAAKPISLEVPKVAKESEEAIAAGSTSEPEEMVVPEVDKKLLEELEAMGFPTARATRAIHFSGNTSLEAAVNWVVEHEADPDIDQMPMVPVNRHVEASKPSLTPEEMKLKAQELRERARKKKEEEERRMEREREKERIRVGKELLEAKRIEEENERKRLVALRKAEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEEPSAAPKPSAPIVEEKKRIAS >KJB30010 pep chromosome:Graimondii2_0_v6:5:31722610:31727945:-1 gene:B456_005G130000 transcript:KJB30010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEAVLNLVCSSCGKPCRSKIESDLHTKRTGHTEFIDKTLEAAKPISLEVPKVAKESEEAIAAGSTSEPEEMVVPEVDKKLLEELEAMGFPTARATRAIHFSGNTSLEAAVNWVVEHEADPDIDQMPMVPVNRHVEASKPSLTPEEMKLKAQELRRGFEWARSCWKQNVLKKKMKENVWWPCGKLKKRKRNEHGKRFVRNWRKIRQREGGSLGCHQRSLQQHRNLLHPLWRRKRAHCQLGLPQRLNKCANVCDPSSKITRMMMRKSREHSRLFLHT >KJB30004 pep chromosome:Graimondii2_0_v6:5:31722610:31727945:-1 gene:B456_005G130000 transcript:KJB30004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEAVLNLVCSSCGKPCRSKIESDLHTKRTGHTEFIDKTLEAAKPISLEVPKVAKESEEAIAAGSTSEPEEMVVPEVDKKLLEELEAMGFPTARATRAIHFSGNTSLEAAVNWVVEHEADPDIDQMPMVPVNRHVEASKPSLTPEEMKLKAQELRQREGGSLGCHQRSLQQHRNLLHPLWRRKRAHCQLGLPQRLNKCANVCDPSSKITRMMMRKSREHSRLFLHT >KJB30971 pep chromosome:Graimondii2_0_v6:5:50095508:50096934:1 gene:B456_005G172200 transcript:KJB30971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANRWLKPEVYPLFASVGVAVGICAMQLVRNITTNPDVRVTKENRAAGVLNNFEEGEKYAEHGLRKFVRNRQPQIMPSVNNFFSDPK >KJB28810 pep chromosome:Graimondii2_0_v6:5:7665522:7673295:-1 gene:B456_005G070600 transcript:KJB28810 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 40 [Source:Projected from Arabidopsis thaliana (AT3G06480) UniProtKB/Swiss-Prot;Acc:Q9SQV1] MTTAEAAAANLGPRYAPDDPTLPKPWKGLIDGTTGLLYYWNPENNVTQYERPTILPPPLPPGPPPAVSTPKLTPISVAHSAQPNGAVAQMSQVQVVQGAKQLGQQMSQLAQQQGQIVGQQQGSMVAQVTDQQGAQQPGPQMGQQGQFIPQQHRPQAMQHPNQQMVSQIGQQTMQHQNSQMAQPQGQQYAHQHLQYMAYQQSVLPQGQQSSQQLAPHGAQHQGQQYPNREDYKAAVPKKEDVDFQLGNQTGFSPAQFQQMGTSSQNHSAGTNSVQTPPAGLYSGQQQQFTGSSVNMQQPTSMTHSQQTGTDLVQRQQGHRFQNQMGPSVLQASMPPPGLNTGYEDKLHGRSGNDYYFSGSKDGQMMGPQQPSLSAIPMETRMSGLPHQNVLGHGGGFNAIGGHAVHNMYGHAGPPYPNNALMRPPFVASADANHLSPADAYRKQHEVTATGDNVPAPFIRFEDTGFPPEILREIHSAGFSSPTPIQAQTWPIALQSRDIVAIAKTGSGKTLGYLIPAFMLLRQRHNNPLNGPTVLVLAPTRELATQIQEEAIKFGRSSRVSCTCLYGGAPKANQLKELDRGADIVVATPGRLNDILEMKKIEFGQVSLLVLDEADRMLDMGFEPQIRKIVNEIPPRRQTLMYTATWPKEVRKIAGDLLVNPVQVNIGSVDELAANKSITQYVEVVPQMEKERRLEQILQAQERGSKVIIFCSTKRLCDQLARSLGRNFGAVAFHGDKSQNERDWVLSQFRTGKSPILVATDVAARGLDIKDIRVVINFDFPTGIEDYVHRIGRTGRAGATGVSFTFFSEQDWKYAPDLIQVLERANQHVPPEVREIASRGGPGFGKDRGGMNRFNSPSGSGGRWDSGGRGGMRDGGFGGRGGMRDGGFGGRGGMRDGFGGRGGMRDSGFGGPGGRGDPFSGRGNRGGRGFGGPAGGHVGWGRNERSLHDQYNNFDGRGRGRGRGRGRFDNRRGIGDRSRGRSYSRSPDMVRTWRRSRSRSRSRSGSRSWSRSSRSRSRSRSRSRSWSRGRSRSYSRSPGRGRSRSHSHGRRSRSRSHSYERKDVAKRAFDSPPASNEHNLQQKDVAKRAFDSPPPPNKQNLEQKDVAKQAFDSPGAQGNSVPENNSIEPLQTVGISELPQGEEGAGKVHESVTEP >KJB27926 pep chromosome:Graimondii2_0_v6:5:1273295:1282354:-1 gene:B456_005G017400 transcript:KJB27926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPSLVVVLQAALSPNPAERKAAEESLIKFQYTPQHLVRLLQIVIDDNCPMAVRQVASIQFKNFIAKNWAPLDPNEPQKILQSDKDMVRDHILVFIIQVPPLLRVQLGECLKTIIHADYPEQWPRLLDWVKHNLQGQQVYGALFVLQILARKYEFKSEEERTPVQHIVQETFPHLLNIFSRLVQIEKPAVEVADLIKLICKIFWSSIYLEIPKQLLDPNAFNAWMMLFLNVLERSVPLEGQPLDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLRNPENRAFAQMFQKNYAGKILECHLNLLGVIRVGGYLPDRVTNLILQYLSNSISKNSMYTLLQPQLDVLLFEIVFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFKRYDEAPLEYKPYRQKDGALLAVGALCDKLKQTEPYKSELEHMLMQHVFPEFRSPVGHLRAKAAWVAGQYAHVNFSDKNHFLQALHSVVSGLRDPELPVRVDSVFALRSFVEACKDLNDIRSILPQLLDEIFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFVQVEPTLLPIMQRMLTTDGQEVFEEVLEIVSYMTFFSPTISLEMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLACKETDYQQSLWNMISSIMADKNLEDNDIEPAPKLIEVVFQNCRGQVDHWVEPYLRITLDRLNRTEKPRLKCLLVQVIANAVYYNAALTLSILNKFSVTTEVFNLWFQLLQQVRKSGLRANFKREHDKKVCCLGLTSLLALPVDQIGGEALGRVFRAALDLLVAYKDQVAEAAKEEEAEDDDDMDGFQTDDDEDDGSDKEMGVDAEDEDEADSMRLQKLAAQAKAFRTNDDDDEDDSDDDFSDDEELQSPIDEVDPFIFFVDIVKAMQASDPLRLQNLTQTLDFHYQALANGVAQHAEQRRAEIEKEQMEKASAAAAPPS >KJB27924 pep chromosome:Graimondii2_0_v6:5:1273295:1282187:-1 gene:B456_005G017400 transcript:KJB27924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPSLVVVLQAALSPNPAERKAAEESLIKFQYTPQHLVRLLQIVIDDNCPMAVRQVASIQFKNFIAKNWAPLDPNEPQKILQSDKDMVRDHILVFIIQVPPLLRVQLGECLKTIIHADYPEQWPRLLDWVKHNLQGQQVYGALFVLQILARKYEFKSEEERTPVQHIVQETFPHLLNIFSRLVQIEKPAVEVADLIKLICKIFWSSIYLEIPKQLLDPNAFNAWMMLFLNVLERSVPLEGQPLDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLRNPENRAFAQMFQKNYAGKILECHLNLLGVIRVGGYLPDRVTNLILQYLSNSISKNSMYTLLQPQLDVLLFEIVFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFKRYDEAPLEYKPYRQKDGALLAVGALCDKLKQTEPYKSELEHMLMQHVFPEFRSPVGHLRAKAAWVAGQYAHVNFSDKNHFLQALHSVVSGLRDPELPVRVDSVFALRSFVEACKDLNDIRSILPQLLDEIFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFVQVEPTLLPIMQRMLTTDGQEVFEEVLEIVSYMTFFSPTISLEMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLACKETDYQQSLWNMISSIMADKNLEDNDIEPAPKLIEVVFQNCRGQVDHWVEPYLRITLDRLNRTEKPRLKCLLVQVIANAVYYNAALTLSILNKFSVTTEVFNLWFQLLQQVRKSGLRANFKREHDKKVCCLGLTSLLALPVDQIGGEALGRVFRAALDLLVAYKDQVAEAAKEEEAEDDDDMDGFQTDDDEDDGSDKEMGVDAEDEDEADSMRLQKLAAQAKAFRTNDDDDEDDSDDDFSDDEELQSPIDEVDPFIFFVDIVKAMQASDPLRLQNLTQTLDFHYQALANGVAQHAEQRRAEIEKEQMEKASAAAAPPS >KJB27927 pep chromosome:Graimondii2_0_v6:5:1274027:1282187:-1 gene:B456_005G017400 transcript:KJB27927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPSLVVVLQAALSPNPAERKAAEESLIKFQYTPQHLVRLLQIVIDDNCPMAVRQVASIQFKNFIAKNWAPLDPNEPQKILQSDKDMVRDHILVFIIQVPPLLRVQLGECLKTIIHADYPEQWPRLLDWVKHNLQGQQVYGALFVLQILARKYEFKSEEERTPVQHIVQETFPHLLNIFSRLVQIEKPAVEVADLIKLICKIFWSSIYLEIPKQLLDPNAFNAWMMLFLNVLERSVPLEGQPLDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLRNPENRAFAQMFQKNYAGKILECHLNLLGVIRVGGYLPDRVTNLILQYLSNSISKNSMYTLLQPQLDVLLFEIVFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFKRYDEAPLEYKPYRQKDGALLAVGALCDKLKQTEPYKSELEHMLMQHVFPEFRSPVGHLRAKAAWVAGQYAHVNFSDKNHFLQALHSVVSGLRDPELPVRVDSVFALRSFVEACKDLNDIRSILPQLLDEIFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFVQVEPTLLPIMQRMLTTDGQEVFEEVLEIVSYMTFFSPTISLEMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLACKETDYQQSLWNMISSIMADKNLEDNDIEPAPKLIEVVFQNCRGQVDHWVEPYLRITLDRLNRTEKPRLKCLLVQVIANAVYYNAALTLSILNKFSVTTEVFNLWFQLLQQVRKSGLRANFKREHDKKVCCLGLTSLLALPVDQIGGEALGRVFRAALDLLVAYKDQVAEAAKEEEAEDDDDMDGFQTDDDEDDGSDKEMGVDAEDEDEADSMRLQKLAAQAKAFRTNDDDDEDDSDDDFSDDEELQSPIDEVDPFIFFVDIVKAMQASDPLRLQNLTQTLDFHYQALANGVAQHAEQRRAEIEKEQMEKASAAAAPPS >KJB27925 pep chromosome:Graimondii2_0_v6:5:1273295:1282354:-1 gene:B456_005G017400 transcript:KJB27925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIAPWLFVKLLPFSSRTSLLRIGLLLILMSHRKYCKAIKIWVQLGECLKTIIHADYPEQWPRLLDWVKHNLQGQQVYGALFVLQILARKYEFKSEEERTPVQHIVQETFPHLLNIFSRLVQIEKPAVEVADLIKLICKIFWSSIYLEIPKQLLDPNAFNAWMMLFLNVLERSVPLEGQPLDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLRNPENRAFAQMFQKNYAGKILECHLNLLGVIRVGGYLPDRVTNLILQYLSNSISKNSMYTLLQPQLDVLLFEIVFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFKRYDEAPLEYKPYRQKDGALLAVGALCDKLKQTEPYKSELEHMLMQHVFPEFRSPVGHLRAKAAWVAGQYAHVNFSDKNHFLQALHSVVSGLRDPELPVRVDSVFALRSFVEACKDLNDIRSILPQLLDEIFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFVQVEPTLLPIMQRMLTTDGQEVFEEVLEIVSYMTFFSPTISLEMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLACKETDYQQSLWNMISSIMADKNLEDNDIEPAPKLIEVVFQNCRGQVDHWVEPYLRITLDRLNRTEKPRLKCLLVQVIANAVYYNAALTLSILNKFSVTTEVFNLWFQLLQQVRKSGLRANFKREHDKKVCCLGLTSLLALPVDQIGGEALGRVFRAALDLLVAYKDQVAEAAKEEEAEDDDDMDGFQTDDDEDDGSDKEMGVDAEDEDEADSMRLQKLAAQAKAFRTNDDDDEDDSDDDFSDDEELQSPIDEVDPFIFFVDIVKAMQASDPLRLQNLTQTLDFHYQALANGVAQHAEQRRAEIEKEQMEKASAAAAPPS >KJB29392 pep chromosome:Graimondii2_0_v6:5:15050689:15051628:-1 gene:B456_005G098200 transcript:KJB29392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDDICNTALGLGLGCLVKQENISQLDHHQQKKKQLFLKHDHFSPSLLSLAPSYDTCAIFDAHQQQASSLSAASSFSNSSVKRERDLGGEEVELERICSRVSDEDDEGSPRKKLRLTREQSSILEDKFKQHSTLSPKQKQALAEELNLRPRQVEVWFQNRRARTKLKQTEVNCELLKKCYETLTEENKRLQKEVEELKSLKVTAPCYMQVGAATLTMCPSCERVDNVGENPSTTLFTLGQKSHFITPFTHPSAAC >KJB29391 pep chromosome:Graimondii2_0_v6:5:15050437:15051848:-1 gene:B456_005G098200 transcript:KJB29391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDDICNTALGLGLGCLVKQENISQLDHHQQKKKQLFLKHDHFSPSLLSLAPSYDTCAIFDAHQQQASSLSAASSFSNSSVKRERDLGGEEVELERICSRVSDEDDEGSPRKKLRLTREQSSILEDKFKQHSTLSPKQALAEELNLRPRQVEVWFQNRRARTKLKQTEVNCELLKKCYETLTEENKRLQKEVEELKSLKVTAPCYMQVGAATLTMCPSCERVDNVGENPSTTLFTLGQKSHFITPFTHPSAAC >KJB28688 pep chromosome:Graimondii2_0_v6:5:6604347:6605327:1 gene:B456_005G062700 transcript:KJB28688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTKEAEVSTPLPPAKAFKAFAVDIENLMPKVAPQTVKSVEVLEGNGGPGTIRKITFAEGHGLSHAKHKVDVLDKDNLVYAYTVMESDFFNNKIEKISYDIKFVAAADGGSTVKVAATFYTAGDTEVTPDLMAQIKEASEKRALVMKAIESYVLANPDA >KJB27648 pep chromosome:Graimondii2_0_v6:5:185662:189931:1 gene:B456_005G003500 transcript:KJB27648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNCVPLSPFPSSIIKIRNPLLKNPQISDTHFPIITNNGRLQFLGCCMKATPSPSSSVEGDGYGQLEFDDDELELKRRRTDATLEKISDKELKGKKGNKNGDKLVSKKQLMKRSNMVAKQVISIQSAQTLGFVSQLWVDTTSWLVLAMEVRPSLLAGESERILLQDINKVGDVVLVEDERVMDNDFKMVRLETLVGYRVVTPRYRNIGKVRGYTFNINSGAVESLEIDAFGISIIPSSLVVLFSFHIGDTKS >KJB27651 pep chromosome:Graimondii2_0_v6:5:185662:188353:1 gene:B456_005G003500 transcript:KJB27651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNCVPLSPFPSSIIKIRNPLLKNPQISDTHFPIITNNGRLQFLGCCMKATPSPSSSVEGDGYGQLEFDDDELELKRRRTDATLEKISDKELKGKKGNKNGDKLVSKKQLMKRSNMVAKQVISIQSAQTLGFVSQLWVDTTSWLVLAMEVRPSLLAGESERILLQDINKVGDVVLVEDERVMDNDFKMVRLETLVGYRVVTPRYRNIGKVRGYTFNINSGAVESLEIDAFGISIIPSSLVVLFSFHIGDTKS >KJB27649 pep chromosome:Graimondii2_0_v6:5:185662:189931:1 gene:B456_005G003500 transcript:KJB27649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNCVPLSPFPSSIIKIRNPLLKNPQISDTHFPIITNNGRLQFLGCCMKATPSPSSSVEGDGYGQLEFDDDELELKRRRTDATLEKISDKELKGKKGNKNGDKLVSKKQLMKRSNMVAKQVISIQSAQTLGFVSQLWVDTTSWLVLAMEVRPSLLAGESERILLQDINKVGDVVLVEDERVMDNDFKMVRLETLVGYRVVTPRYRNIGKVRGYTFNINSGAVESLEIDAFGISIIPSSEHLCFAC >KJB27647 pep chromosome:Graimondii2_0_v6:5:185655:189931:1 gene:B456_005G003500 transcript:KJB27647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNCVPLSPFPSSIIKIRNPLLKNPQISDTHFPIITNNGRLQFLGCCMKATPSPSSSVEGDGYGQLEFDDDELELKRRRTDATLEKISDKELKGKKGNKNGDKLVSKKQLMKRSNMVAKQVISIQSAQTLGFVSQLWVDTTSWLVLAMEVRPSLLAGESERILLQDINKVGDVVLVEDERVMDNDFKMVRLETLVGYRVVTPRYRNIGKVRGYTFNINSGAVESLEIDAFGISIIPSSLVSTYALLVEDVLEVIADRVVVHEAAASRLQRLTKGFWDAQSSEVSLDERIEYGGDEGSEGFRDGRRSRRRSRGRKPRSKSREADDDWELPMDYF >KJB27652 pep chromosome:Graimondii2_0_v6:5:185662:189931:1 gene:B456_005G003500 transcript:KJB27652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNCVPLSPFPSSIIKIRNPLLKNPQISDTHFPIITNNGRLQFLGCCMKATPSPSSSVEGDGYGQLEFDDDELELKRRRTDATLEKISDKELKGKKGNKNGDKLVSKKQLMKRSNMVAKQVISIQSAQTLGFVSQLWVDTTSWLVLAMEVRPSLLAGESERILLQDINKVGDVVLVEDERVMDNDFKMVRLETLVGYRVVTPRYRNIGKVSTYALLVEDVLEVIADRVVVHEAAASRLQRLTKGFWDAQSSEVSLDERIEYGGDEGSEGFRDGRRSRRRSRGRKPRSKSREADDDWELPMDYF >KJB27650 pep chromosome:Graimondii2_0_v6:5:185741:188095:1 gene:B456_005G003500 transcript:KJB27650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNCVPLSPFPSSIIKIRNPLLKNPQISDTHFPIITNNGRLQFLGCCMKATPSPSSSVEGDGYGQLEFDDDELELKRRRTDATLEKISDKELKGKKGNKNGDKLVSKKQLMKRSNMVAKQVISIQSAQTLGFVSQLWVDTTSWLVLAMEVRPSLLAGESERILLQDINKVGDVVLVEDERVMDNDFKMVRLETLVGYRVVTPRYRNIGKVRGYTFNINSGAVESLEIDAFGISIIPSSLVVLFSFHIGDTKS >KJB27699 pep chromosome:Graimondii2_0_v6:5:345045:346723:1 gene:B456_005G005400 transcript:KJB27699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETGDRSSTSNDLSEISSEMALLGKYEVGKLLGYGAFAKVYQARNMQTGETVAIKAVSKKKVLRGKMMAQVKREIAIMRRLNHPNIVKLIEVLATKSKVYFVMEYAKGGEFLTRINKGRFSEELCRRYFQQLISAVGFCHSRGVFHRDLKPENLLFDENWNLKVTDFGLSTMTEQVRQDGLLHTFCGTPAYVAPEILSKKGYDGAKADIWSCGIVLYVLHAGYLPFNDANMTVMYRNIYRGEYKFPKWTSPELRRLISRLLDTNPETRITIDEIINDPWFKNGYKEVKVQPVDFDLKEETQSNVRFNAFDLISFSTGFDLSGLFNDTEFSVQRERFVSAEKPWRIIERIKEEVAKMENVEVISMRESGIRLEHLGNNLVIAIDINQLTEELVVVEAGRRELTAGSSDEIWNEKLKPRLSDLVYNSESEL >KJB31923 pep chromosome:Graimondii2_0_v6:5:59705821:59708654:1 gene:B456_005G214500 transcript:KJB31923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFSPWDLPLPYASNHSNLQCFLRCITPVVPTRLLPKKCNEDSNGGNLETNEKERDEEGYSLSEVWESYSEWSAYGVDVPIVLNNGDCVVQYYSPSLSAMQIYTFKPFSSSFGGLGNMVKPENVSCCNDDDDDDDDGGGGSEIYNSSASSNDSCLSSEAAWDEDSTQTNTMDQCGYLYYQYNEMASPYDRVPLQVKMNELGKHYPGLFDLRSTEISPYSWMAIAWYPVYQIPMATNVKELSACFLTYHPLSGIYNQSNCYN >KJB31922 pep chromosome:Graimondii2_0_v6:5:59705374:59708636:1 gene:B456_005G214500 transcript:KJB31922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFSPWDLPLPYASNHSNLQCFLRCITPVVPTRLLPKKCNEDSNGGNLETNEKERDEEGYSLSEVWESYSEWSAYGVDVPIVLNNGDCVVQYYSPSLSAMQIYTFKPFSSSFGGLGNMVKPENVSCCNDDDDDDDDGGGGSEIYNSSASSNDSCLSSEAAWDEDSTQTNTMDQCGYLYYQYNEMASPYDRVPLQVKMNELGKHYPGLFDLRSTEISPYSWMAIAWYPVYQIPMATNVKELSACFLTYHPLSGSRTGSNKEEISLPPFAVVTYKLFGTLWINPETSDKDTIICQQTAACNWLRQLQFQHHDFNFFMSRQFQNP >KJB32541 pep chromosome:Graimondii2_0_v6:5:62580900:62585714:-1 gene:B456_005G245600 transcript:KJB32541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGFSGSDERRERKSDFENSEDERRTKIGSLKKKAINASTRFKHSLKKKSSRRKSDGRVSSVSIEDVRDVEELQAQVLLLLFSCLGSSLQLSFEHSMFLKARKFDIEKAKHMWADMLQWRKEFGADTITEDFEFNELNEVLRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFTVKFPACTIAAKRHIDSSTTILDVLGVGFKNFTKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVLGNKYQNKLLEIIDASELPEFLGGSCTCADQGGCLRSDKGPWKNPEIVKMVVNGEARCARQVVKVLSSEGKVIAYAKPQFPMLRGSDTSTAESGSEAEDIASPKAMKSYSSLRLTPVREEAKVIGKTSYAGSFKGYDEYVPMVDKAVDSSWMKQTSLQRLSASKDTLKLPETPKTPEGIVSQILLTILSFFMMIYTILHTAARRITKKLPDTVSDHCQNVTKLTSDATQQAESRPPSPIPPFTQADLLSSVLKRLGELEEKVNILQAKPSEMPYEKEELLNAAVCRVDALEAELIATKQALYNALMRHEELLAEIDRQEKANFLKLQVHHHFVYVPIMFTYFLMVTFDVLLPCYGRDLHYIPFIQKKKFCW >KJB32540 pep chromosome:Graimondii2_0_v6:5:62580544:62586183:-1 gene:B456_005G245600 transcript:KJB32540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRFLKARKFDIEKAKHMWADMLQWRKEFGADTITEDFEFNELNEVLRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFTVKFPACTIAAKRHIDSSTTILDVLGVGFKNFTKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVLGNKYQNKLLEIIDASELPEFLGGSCTCADQGGCLRSDKGPWKNPEIVKMVVNGEARCARQVVKVLSSEGKVIAYAKPQFPMLRGSDTSTAESGSEAEDIASPKAMKSYSSLRLTPVREEAKVIGKTSYAGSFKGYDEYVPMVDKAVDSSWMKQTSLQRLSASKDTLKLPETPKTPEGIVSQILLTILSFFMMIYTILHTAARRITKKLPDTVSDHCQNVTKLTSDATQQAESRPPSPIPPFTQADLLSSVLKRLGELEEKVNILQAKPSEMPYEKEELLNAAVCRVDALEAELIATKQALYNALMRHEELLAEIDRQEKANFLKLQKKKFCW >KJB32539 pep chromosome:Graimondii2_0_v6:5:62580564:62586166:-1 gene:B456_005G245600 transcript:KJB32539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRFLKARKFDIEKAKHMWADMLQWRKEFGADTITEDFEFNELNEVLRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFTVKFPACTIAAKRHIDSSTTILDVLGVGFKNFTKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVLGNKYQNKLLEIIDASELPEFLGGSCTCADQGGCLRSDKGPWKNPEIVKMVVNGEARCARQVVKVLSSEGKVIAYAKPQFPMLRGSDTSTAESGSEAEDIASPKAMKSYSSLRLTPVREEAKVIGKTSYAGSFKGYDEYVPMVDKAVDSSWMKQTSLQRLSASKDTLKLPETPKTPEGIVSQILLTILSFFMMIYTILHTAARRITKKLPDTVSDHCQNVTKLTSDATQQAESRPPSPIPPFTQADLLSSVLKRLGELEEKVNILQAKPSEMPYEKEELLNAAVCRVDALEAELIATKQALYNALMRHEELLAEIDRQEKANFLKLQKKKFCW >KJB29776 pep chromosome:Graimondii2_0_v6:5:24275226:24280363:-1 gene:B456_005G118200 transcript:KJB29776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome berefringence-like 7 [Source:Projected from Arabidopsis thaliana (AT1G48880) UniProtKB/Swiss-Prot;Acc:F4I037] MYCCPFLLTTSPISFASELSHLPHFFPFKRSVISHSLNAIFLSNFAFVRQLKQGFNCLGNGRKDRDYLKWRWKPKNCDIPRFNVHNVLEMLRDKRIVFVGDSMSRTQWESLICLLMTGVEDKKSVYEVNGNKITKRIRFLGVRFTSFNFTIEFFRSVFLVQHGWMPRHAPKRVRSTLKLDKLDDVSNEWINADVLIFNTGQWWVPGKLFETGCYFQVGNSVKLGMSIPAAFKMALGTWASWVENTIDTNRTLVFFRTFEPSHWSEKSRRFCNVTQNPLLETEGRDRSIFSETIFEVIKNMTVPITVLQVTSMSAFRRDAHVGGWSDNPMVPDCSHWCLPGLPDIWNEIFLWYLLADYGLPAVNGKQYWMTHD >KJB29775 pep chromosome:Graimondii2_0_v6:5:24274996:24280426:-1 gene:B456_005G118200 transcript:KJB29775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome berefringence-like 7 [Source:Projected from Arabidopsis thaliana (AT1G48880) UniProtKB/Swiss-Prot;Acc:F4I037] MVNNFSRSTSFNRRALNAESPRVLNVSSPKALNVLSAKPLCFTSSRVYHRLGWVSRLFPVLILIGALISFIILLHGGYIYVLPSLSQAFYGHGVLKFNDSSNVCDIFDGSWVIDDDYPFYNASDCPFAEQGFNCLGNGRKDRDYLKWRWKPKNCDIPRFNVHNVLEMLRDKRIVFVGDSMSRTQWESLICLLMTGVEDKKSVYEVNGNKITKRIRFLGVRFTSFNFTIEFFRSVFLVQHGWMPRHAPKRVRSTLKLDKLDDVSNEWINADVLIFNTGQWWVPGKLFETGCYFQVGNSVKLGMSIPAAFKMALGTWASWVENTIDTNRTLVFFRTFEPSHWSEKSRRFCNVTQNPLLETEGRDRSIFSETIFEVIKNMTVPITVLQVTSMSAFRRDAHVGGWSDNPMVPDCSHWCLPGLPDIWNEIFLWYLLADYGLPAVNGKQYWMTHD >KJB29777 pep chromosome:Graimondii2_0_v6:5:24275417:24280363:-1 gene:B456_005G118200 transcript:KJB29777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome berefringence-like 7 [Source:Projected from Arabidopsis thaliana (AT1G48880) UniProtKB/Swiss-Prot;Acc:F4I037] MVNNFSRSTSFNRRALNAESPRVLNVSSPKALNVLSAKPLCFTSSRVYHRLGWVSRLFPVLILIGALISFIILLHGGYIYVLPSLSQAFYGHGVLKFNDSSNVCDIFDGSWVIDDDYPFYNASDCPFAEQGFNCLGNGRKDRDYLKWRWKPKNCDIPRFNVHNVLEMLRDKRIVFVGDSMSRTQWESLICLLMTGVEDKKSVYEVNGNKITKRIRFLGVRFTSFNFTIEFFRSVFLVQHGWMPRHAPKRVRSTLKLDKLDDVSNEWINADVLIFNTGQWWVPGKLFETGCYFQVGNSVKLGMSIPAAFKMALGTWASWVENTIDTNRTLVFFRTFEPSHWSEKSRRFCNVTQNPLLETEGRDRSIFSETIFEVIKNMTVPITVLQVTSMSAFRRDAHVGGWSDNPMVPDCSHWCLPGLPDIWNEIFLWYLLADYGLPAVNGKQLDDP >KJB31921 pep chromosome:Graimondii2_0_v6:5:59694263:59702365:1 gene:B456_005G214400 transcript:KJB31921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSLPTVVFSEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILVKVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNTIRFTPDGRWVVSGGEDNIVKVWDLTAGKLLHDFKYHEGQIQCLDFHPHEFLLATGWFLPCIKTTVAYCGCECESFFGALIFIIHPLTFTGSADRTVKFWDLETFELIGSAGPETTGVRCLTFSPDGRTVLCGLHESLKVFSWEPIRCHDGVDVGWSKLSDLNIHEGKLLGCSYNQSCVGVWVVDISRIEPYAVGNANRVNGHSDPKSSSGGNLSVLNENAAKPSMGRFSVSQNPDPLVKETKSLGRLSVSQNSDPAKESKTPGNAPGIPQRVNLNTAPKTTQPNSITVPTVAAPKRSSTKASLAISVPIFNKSDVIPVIVPRNDARLDQAAESRKEVGISGRSVEQAADSRNEVGITGRNLEPSQLTAESRKEVGIAGKSLEPSQPAAESRKEAGIVGRTLEQGADSRKELGIVGRTMPFSLQSKTNSFRKFQNFKEDMDQPAISAASETTVSKASEFNSVLDRSIFSAVRGPIQGMSAAERNMREDRCIGSCKSEPNSTAELPSSYRDENHDAHVQKTHRDTYPLESLKGGRTRPVVLNWEKRGRSSNYDGPIMSVSPKNASMANMPSVNLYKQRGYPASVEKEMPSASDGDAVADLMEQHDQFISSMQSRLAKLQAVHRYWERKDIKGAIGAIEKMADHAVLADVMSIVIEKMDIVTLDICTCLLPLLSGLLGSDMDRHLSICLDMLLKLVRVFGSMIYSTISASTPVGVDIEAEQRFERCNLCFIELEKVKRCLPTLTRRGGSVAKSSQELNLALQEVS >KJB28845 pep chromosome:Graimondii2_0_v6:5:7895377:7896173:-1 gene:B456_005G072400 transcript:KJB28845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILKLFFPFLMLQIYLTLVMSDMQIYIDSPITDQNSPFYNDINSAGPIYVDGGEPVAKPDAKSKCCNNHPKLGKCNPGEDDNPDKEGKCWNYCIADCERGGICKGMSGGHHECYCAC >KJB29635 pep chromosome:Graimondii2_0_v6:5:20751962:20755013:1 gene:B456_005G111600 transcript:KJB29635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSVADANLVMYLHPSKSRNVSQSILRELGSLLFKFNETFDGVLLAYDVNILDKQAKILSGVHPYFGLRLKANLLLFSPKPDMLLEGKVVKLSQESIHVIVLGFSSAIITAENIRGEFKYRTKDTEELFASKSHKRHVIKVGTMIRFLVKSFDEEILHIIGSLISAHTGSIRWLDRHLEEDSKFDRSSMKSRDREWLGDKTVDEGATPMSYDNHIKMSKKRRITE >KJB29638 pep chromosome:Graimondii2_0_v6:5:20751962:20755002:1 gene:B456_005G111600 transcript:KJB29638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSVADANLVMYLHPSKSRNVSQSILRELGSLLFKFNETFDGVLLAYDVNILDKQAKILSGVHPYFGLRLKANLLLFSPKPDMLLEGKVVKLSQESIHVIVLGFSSAIITAENIRGEFKYRTKDTEELFASKSHKRHVIKVGTMIRFLVKSFDEEILHIIGSLISAHTGSIRWLDRHLEEDSKFDRSSMKSRDREWLGDKTVDEGATPMSYDNHIKMSKKRRITE >KJB29639 pep chromosome:Graimondii2_0_v6:5:20751959:20755045:1 gene:B456_005G111600 transcript:KJB29639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSVADANLVMYLHPSKSRNVSQSILRELGSLLFKFNETFDGVLLAYDVNILDKQAKILSGVHPYFGLRLKANLLLFSPKPDMLLEGKVVKLSQESIHVIVLGFSSAIITAENIRGEFKYRTKDTEELFASKSHKRHVIKVGTMIRFLVKSFDEEILHIIGSLISAHTGSIRWLDRHLEEDSKFDRSSMKSRDREWLGDKTVDEGATPMSYDNHIKMSKKRRITE >KJB29636 pep chromosome:Graimondii2_0_v6:5:20752327:20754150:1 gene:B456_005G111600 transcript:KJB29636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSVADANLVMYLHPSKSRNVSQSILRELGSLLFKFNETFDGVLLAYDVNILDKQAKILSGVHPYFGLRLKANLLLFSPKPDMLLEGKVVKLSQESIHVIVLGFSSAIITAENIRGEFKYRTKDTEELFASKSHKRHVIKVGTMIRFLVKSFDEEILHIIGSLISAHTGSIRWLDRHLEEDSKFDRSFNKLKSFI >KJB29637 pep chromosome:Graimondii2_0_v6:5:20751962:20755002:1 gene:B456_005G111600 transcript:KJB29637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSVADANLVMYLHPSKSRNVSQSILRELGSLLFKFNETFDGVLLAYDVNILDKQAKILSGVHPYFGLRLKANLLLFSPKPDMLLEGKVVKLSQESIHVIVLGFSSAIITAENIRGEFKYRTKDTEELFASKSHKRHVIKVGTMIRFLVKSFDEEILHIIGSLISAHTGSIRWLDRHLEEDSKFDRSSMKSRDREWLGDKTVDEGATPMSYDNHIKMSKKRRITE >KJB27798 pep chromosome:Graimondii2_0_v6:5:716728:719742:1 gene:B456_005G010200 transcript:KJB27798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVKAQKTKAYFKRFQVPFKRRREGKTDYRARIRLTNQDKNKYNTPKYRFVVRFSNKDITAQIISANITGDMILASAYSHELPRYGLEVGLTNYAAAYCTGLLLGRRVLKLLEMDDEYQGNVEATGEDFSVEPTDTRRPFRALLDVGLIRTTTGNNVFGALKGALDAGLDIPHSDKRFAGFSKDGKQLDAEVHRNYIYGGHVAAYMRILMEDEPEKYQSHFSEYIKRGIEADNIESLYKKVHAAIRADPSAKKSEKAPPKQHMRFNLKKLTYEERKAKLIDRLHTLNAAAGADSKDED >KJB27799 pep chromosome:Graimondii2_0_v6:5:716783:719706:1 gene:B456_005G010200 transcript:KJB27799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVKAQKTKAYFKRFQVPFKRRREGKTDYRARIRLTNQDKNKYNTPKYRFVVRFSNKDITAQIISANITGDMILASAYSHELPRYGLEVGLTNYAAAYCTGLLLGRRVLKLLEMDDEYQGNVEATGEDFSVEPTDTRRPFRALLDVGLIRTTTGNNVFGALKGALDAGLDIPHSDKRFAGFSKDGKQLDAEVHRNYIYGGHVAAYMRILMEDEPEKYQSHFSEYIKRGIEADNIESLYKKVHAAIRADPSAKKSEKAPPKQHMRLKLTYEERKAKLIDRLHTLNAAAGADSKDED >KJB30871 pep chromosome:Graimondii2_0_v6:5:48345507:48349876:1 gene:B456_005G165000 transcript:KJB30871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMMKTLERYQKCSHGAPETNVSTREALELSSQQEYLKLKARYEALQRSQRNLLGEDLGPLSSKELESLERQLDSSLKLIRSTRTQYMLDQLTDLQRKEHLLNEANKNLKQRLMEGYQVHSLQLNPNADDVGYGRQPTHQPQGDVFFHPLDCEPTLQIGYQPDTISAVTGGPSVNNYMTGWLP >KJB30872 pep chromosome:Graimondii2_0_v6:5:48346024:48349856:1 gene:B456_005G165000 transcript:KJB30872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEFCRFGECIGVCLCVFPLLLDVRSAILNHQKPTSLSCMFAFFLTNRLPCFQWILSCLGSISMDHLKGMMKTLERYQKCSHGAPETNVSTREALELSSQQEYLKLKARYEALQRSQRNLLGEDLGPLSSKELESLERQLDSSLKLIRSTRTQYMLDQLTDLQRKEHLLNEANKNLKQRLMEGYQVHSLQLNPNADDVGYGRQPTHQPQGDVFFHPLDCEPTLQIGYQPDTISAVTGGPSVNNYMTGWLP >KJB30869 pep chromosome:Graimondii2_0_v6:5:48345198:48349876:1 gene:B456_005G165000 transcript:KJB30869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMMKTLERYQKCSHGAPETNVSTREALELSSQQEYLKLKARYEALQRSQRNLLGEDLGPLSSKELESLERQLDSSLKLIRSTRTQYMLDQLTDLQRKEHLLNEANKNLKQRLRNGGFLQLMEGYQVHSLQLNPNADDVGYGRQPTHQPQGDVFFHPLDCEPTLQIGYQPDTISAVTGGPSVNNYMTGWLP >KJB30870 pep chromosome:Graimondii2_0_v6:5:48345507:48349856:1 gene:B456_005G165000 transcript:KJB30870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMMKTLERYQKCSHGAPETNVSTREALELSSQQEYLKLKARYEALQRSQRNLLGEDLGPLSSKELESLERQLDSSLKLIRSTRTQYMLDQLTDLQRKEHLLNEANKNLKQRLMEGYQVHSLQLNPNADDVGYGRQPTHQPQGDVFFHPLDCEPTLQIGYYIPISNTNNEFK >KJB28418 pep chromosome:Graimondii2_0_v6:5:4429515:4430721:-1 gene:B456_005G046600 transcript:KJB28418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLDAIRHEIRSLSLMNPGPMTRRLMENPPELASDSNGTVPSEKCEEDKNSADAVKKDHTPKSSASTDLHSKATAYARLAESEAVKAGSGRFSVEDENLNYEIGDFSVLPVSAESAGLLPDRKESAKGSDLVLEAVVEAEVAHNAKEFFSLPQNQIQ >KJB28415 pep chromosome:Graimondii2_0_v6:5:4428332:4431293:-1 gene:B456_005G046600 transcript:KJB28415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTVLSIVGPKDLPKIAKVAGRFAGRSIGYVQLARGQFDNVMQQSQARQVTKELQDTMAQLDAIRHEIRSLSLMNPGPMTRRLMENPPELASDSNGTVPSEKCEEDKNSADAVKKDHTPKSSASTDLHSKATAYARLAESEAVKAGSGRFSVEDENLNYEIGDFSVLPVSAESAGLLPDRKESAKGSDLVLEAVVEAEVAHNAKEFFSLPQNQIQ >KJB28414 pep chromosome:Graimondii2_0_v6:5:4428332:4431630:-1 gene:B456_005G046600 transcript:KJB28414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGISYGELFLLIGATAALVGPKDLPKIAKVAGRFAGRSIGYVQLARGQFDNVMQQSQARQVTKELQDTMAQLDAIRHEIRSLSLMNPGPMTRRLMENPPELASDSNGTVPSEKCEEDKNSADAVKKDHTPKSSASTDLHSKATAYARLAESEAVKAGSGRFSVEDENLNYEIGDFSVLPVSAESAGLLPDRKESAKGSDLVLEAVVEAEVAHNAKEFFSLPQNQIQ >KJB28417 pep chromosome:Graimondii2_0_v6:5:4428272:4431630:-1 gene:B456_005G046600 transcript:KJB28417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGISYGELFLLIGATAALVGPKDLPKIAKVAGRFAGRSIGYVQLARGQFDNVMQQSQARQVTKELQDTMAQLDAIRHEIRSLSLMNPGPMTRRLMENPPELASDSNGTVPSEKCEEDKNSADAVKKDHTPKSSASTDLHSKATAYARLAESEAVKAGSGRFSVEDENLNYEIGDFSVLPVSAESAGLLPDRKESAKGSDLVLEAVVEAEVAHNAKEFFSLPQNQIQ >KJB28416 pep chromosome:Graimondii2_0_v6:5:4428332:4431621:-1 gene:B456_005G046600 transcript:KJB28416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGISYGELFLLIGATAALVGPKDLPKIAKVAGRFAGRSIGYVQLARGQFDNVMQQSQARQVTKELQDTMAQLDAIRHEIRSLSLMNPGPMTRRLMENPPELASDSNVPSEKCEEDKNSADAVKKDHTPKSSASTDLHSKATAYARLAESEAVKAGSGRFSVEDENLNYEIGDFSVLPVSAESAGLLPDRKESAKGSDLVLEAVVEAEVAHNAKEFFSLPQNQIQ >KJB27600 pep chromosome:Graimondii2_0_v6:5:174921:177304:-1 gene:B456_005G003300 transcript:KJB27600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >KJB27597 pep chromosome:Graimondii2_0_v6:5:174892:177419:-1 gene:B456_005G003300 transcript:KJB27597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >KJB27598 pep chromosome:Graimondii2_0_v6:5:174921:176410:-1 gene:B456_005G003300 transcript:KJB27598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTFWMLALSIIGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >KJB27596 pep chromosome:Graimondii2_0_v6:5:169086:177388:-1 gene:B456_005G003300 transcript:KJB27596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAN >KJB27599 pep chromosome:Graimondii2_0_v6:5:174921:177273:-1 gene:B456_005G003300 transcript:KJB27599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >KJB28087 pep chromosome:Graimondii2_0_v6:5:2365658:2367468:1 gene:B456_005G026800 transcript:KJB28087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALLTTILLSSFLVVSSAEISSKIGINYGRIGNNLPSPYQTIETVKSMNAGRIKLYDSDPEILKLLSGTNIHVSVMVQNNDIIRIASSQAVAEQWVQDNVLAYYPDTMIRFVLVGNEVLSHQDRRIWLSLVSAMRRIKNSLNTHDIKNIKVGTPLAMDVMQSTFPPSSSRFRPDITDTVMAPLLRFLNGTKSFFFIDVYPYLAWSANPKNISLDFALFRSRVSQTDHGSHLVYTNLLDQMLDSVIFAMRKLGYPDIRLAIAETGWPTTGDIDQIGANINNAATYNRKLVQKMTAKPPLGTPARPGSVIPTFIFSLYDENQKTGPTTERHWGLLRSNGTSIYEIDLTGKRPLSSYKPLPAARNNVPYKGKIWCEVAPGADAMNLSLALSYACGQGNQTCAALNPGKQCYEPVSVFWHASYAFSSYWARFRKQGATCNFNGLARQTRANPSRGHCSFPSVTL >KJB28499 pep chromosome:Graimondii2_0_v6:5:5077065:5077295:-1 gene:B456_005G051500 transcript:KJB28499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFDELSIPYKSVLNVVGTEETEALAILKSIVCVSGFNSSSIFNLLKVVLVLPISKEYIGGILCGLLNFNPTVPSWC >KJB29659 pep chromosome:Graimondii2_0_v6:5:21267448:21269515:1 gene:B456_005G112500 transcript:KJB29659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVTKKWIPELNHYAPGVPIVLVGTKLDLRDDSQYLADHPSALPISTAQGEELKKQIESSSYIECSAKSQM >KJB29661 pep chromosome:Graimondii2_0_v6:5:21267448:21269515:1 gene:B456_005G112500 transcript:KJB29661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENWIPELNHYAPGVPIVLVGTKLDLRDDSQYLADHPSALPISTAQGEELKKQIESSSYIECSAKSQQNVKAVFDAAIKVVLQPPKKNKKKKSGGCSIL >KJB29662 pep chromosome:Graimondii2_0_v6:5:21267405:21269605:1 gene:B456_005G112500 transcript:KJB29662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YSHFLLIPTPAKENERLQIHKVCHRRRWRRRQDLPAHFLHQQYFPHVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVTKKWIPELNHYAPGVPIVLVGTKLDLRDDSQYLADHPSALPISTAQGEELKKQIESSSYIECSAKSQQNVKAVFDAAIKVVLQPPKKNKKKKSGGCSIL >KJB29660 pep chromosome:Graimondii2_0_v6:5:21267728:21269087:1 gene:B456_005G112500 transcript:KJB29660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVTKKWIPELNHYAPGVPIVLVGTKLDLRDDSQYLADHPSALPISTAQGEELKKQIESSSYIECSAKSQQVKCSRHILHGF >KJB29658 pep chromosome:Graimondii2_0_v6:5:21267448:21269515:1 gene:B456_005G112500 transcript:KJB29658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVTKKWIPELNHYAPGVPIVLVGTKLDLRDDSQYLADHPSALPISTAQGEELKKQIESSSYIECSAKSQQNVKAVFDAAIKVVLQPPKKNKKKKSGGCSIL >KJB32881 pep chromosome:Graimondii2_0_v6:5:63932770:63935124:-1 gene:B456_005G266500 transcript:KJB32881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDGPTGNEGVRRRGCSCTKDDFLPEESFKSWGNYVQALQQTPERFMDRVFTRSLDSTELHEIKARSQHEMKKTLSWWDLIWFGIGAVIGAGIFVLTGLQAREVSGPAVVISYVVSGISAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYVISGAAVARSWTSYFATLCNHKPEDFRIIVHSMSEDYGHLDPIAVVVVCVICVLAVLSTKGSSRFNYIASIIHVIVILFIIIAGFSKADAKNYSDFMPFGIRGVFKSSAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMVITTAAYCLLAVALCLMQPFREIDVDAPFSVAFEAVGMSWAKYIVAAGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAQVNPKTGTPINATIVMLSATAIIAFFTELDILANLLSISTLFIFMLVALALLVRRYYVSGETTTANRNKLVACIVLILASSIATAAYWGLSDNDDWIAYVITVPTWFLATLALHVAVPRARNPKLWGVPLVPWLPSASIAINIFLLGSIDGASFARFGIWTVMLLLYYFFFGLHASYDTAKEAAENKMGDGLKKVEEGVKVSSEAQ >KJB32883 pep chromosome:Graimondii2_0_v6:5:63932503:63935561:-1 gene:B456_005G266500 transcript:KJB32883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDGPTGNEGVRRRGCSCTKDDFLPEESFKSWGNYVQALQQTPERFMDRVFTRSLDSTELHEIKARSQHEMKKTLSWWDLIWFGIGAVIGAGIFVLTGLQAREVSGPAVVISYVVSGISAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYVISGAAVARSWTSYFATLCNHKPEDFRIIVHSMSEDYGHLDPIAVVVVCVICVLAVLSTKGSSRFNYIASIIHVIVILFIIIAGFSKADAKNYSDFMPFGIRGVFKSSAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMVITTAAYCLLAVALCLMQPFREIDVDAPFSVAFEAVGMSWAKYIVAAGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAQVNPKTGTPINATIVMLSATAIIAFFTELDILANLLSISTLFIFMLVALALLVRRYYVSGETTTANRNKLVACIVLILASSIATAAYWGLSDNDDWIAYVITVPTWFLATLALHVAVPRARNPKLWGVPLVPWLPSASIAINIFLLGSIDGASFARFGIWTVMLLLYYFFFGLHASYDTAKEAAENKMGDGLKKVEEGVKVSSEAQ >KJB32880 pep chromosome:Graimondii2_0_v6:5:63932503:63935595:-1 gene:B456_005G266500 transcript:KJB32880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDGPTGNEGVRRRGCSCTKDDFLPEESFKSWGNYVQALQQTPERFMDRVFTRSLDSTELHEIKARSQHEMKKTLSWWDLIWFGIGAVIGAGIFVLTGLQAREVSGPAVVISYVVSGISAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYVISGAAVARSWTSYFATLCNHKPEDFRIIVHSMSEDYGHLDPIAVVVVCVICVLAVLSTKGSSRFNYIASIIHVIVILFIIIAGFSKADAKNYSDFMPFGIRGVFKSSAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMVITTAAYCLLAVALCLMQPFREIDVDAPFSVAFEAVGMSWAKYIVAAGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAQVNPKTGTPINATIVMLSATAIIAFFTELDILANLLSISTLFIFMLVALALLVRRYYVSGETTTANRNKLVACIVLILASSIATAAYWGLSDNDDWIAYVITVPTWFLATLALHVAVPRARNPKLWGVPLVPWLPSASIAINIFLLGSIDGASFARFGIWTVMLLLYYFFFGLHASYDTAKEAAENKMGDGLKKVEEGVKVSSEAQ >KJB32882 pep chromosome:Graimondii2_0_v6:5:63932503:63935791:-1 gene:B456_005G266500 transcript:KJB32882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDGPTGNEGVRRRGCSCTKDDFLPEESFKSWGNYVQALQQTPERFMDRVFTRSLDSTELHEIKARSQHEMKKTLSWWDLIWFGIGAVIGAGIFVLTGLQAREVSGPAVVISYVVSGISAMLSVFCYTEFAVEIPVAGAAVARSWTSYFATLCNHKPEDFRIIVHSMSEDYGHLDPIAVVVVCVICVLAVLSTKGSSRFNYIASIIHVIVILFIIIAGFSKADAKNYSDFMPFGIRGVFKSSAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMVITTAAYCLLAVALCLMQPFREIDVDAPFSVAFEAVGMSWAKYIVAAGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAQVNPKTGTPINATIVMLSATAIIAFFTELDILANLLSISTLFIFMLVALALLVRRYYVSGETTTANRNKLVACIVLILASSIATAAYWGLSDNDDWIAYVITVPTWFLATLALHVAVPRARNPKLWGVPLVPWLPSASIAINIFLLGSIDGASFARFGIWTVMLLLYYFFFGLHASYDTAKEAAENKMGDGLKKVEEGVKVSSEAQ >KJB30390 pep chromosome:Graimondii2_0_v6:5:37606604:37608033:-1 gene:B456_005G140900 transcript:KJB30390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVTSEELLATLVPIAVYWIYSGMYVMFESRFEKYKLHSKKEEEEKNLVSRKEVFKAVLCHQVMSIAINLFTYAVTREKDDEASPKKSLTLLLLAKQLVIAMVVIDTWSFFVHWYLHQNKFLYKHLHVPHHRLVVPYSFGGQYMHPIEGFLDTMGGTLAVLLSGMSPRTAMFFSSFTILKLVDDHCGMKLPGNPFYLFFNNNSAYHDVHHQLYGTKYNFSVYFDIWDRILGTYMPYSLEKRPDGGLEVRRADQEHKKD >KJB30391 pep chromosome:Graimondii2_0_v6:5:37606617:37608033:-1 gene:B456_005G140900 transcript:KJB30391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVTSEELLATLVPIAVYWIYSGMYVMFESRFEKYKLHSKKEEEEKNLVSRKEVFKAVLCHQVMSIAINLFTYAVTREKDDEASPKKSLTLLLLAKQLVIAMVVIDTWSFFVHWYLHQNKFLYKHLHVPHHRLVVPYSFGGQYMHPIEGFLDTMGGTLAVLLSGMSPRTAMFFSSFTILKLVDDHCGMKLPGNPFYLFFNNNSAYHDVHHQLYGTKYNFSVYFDIWDRILGTYMPYSLEKRPDGGLEVRRADQEHKKD >KJB30392 pep chromosome:Graimondii2_0_v6:5:37606568:37608156:-1 gene:B456_005G140900 transcript:KJB30392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVTSEELLATLVPIAVYWIYSGMYVMFESRFEKYKLHSKKEEEEKNLVSRKEVFKAVLCHQVMSIAINLFTYAVTREKDDEASPKKSLTLLLLAKQLVIAMVVIDTWSFFVHWYLHQNKFLYKHLHVPHHRLVVPYSFGGQYMHPIEGFLDTMGGTLAVLLSGMSPRTAMFFSSFTILKLVDDHCGMKLPGNPFYLFFNNNSAYHDVHHQLYGTKYNFSVYFDIWDRILGTYMPYSLEKRPDGGLEVRRADQEHKKD >KJB30593 pep chromosome:Graimondii2_0_v6:5:41907862:41909276:1 gene:B456_005G150800 transcript:KJB30593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADANSLMSSPATNISQIPSSFPAKLNSQKLPTHHLSNIRFKASSSKRHDYSSLSVNKQDCRNNRRRLVTISTADGRWHGTWNCDYLLSLKQLNLDDLVEGDEQRDVRVSINLCIQKHASFGLSVDGRIITSFTRKCSICSSPYCRQIDTNFNVWVLASNKDHGASNQLPEIGGDDPSLSLQTLISLFHRRPHHYD >KJB30594 pep chromosome:Graimondii2_0_v6:5:41907862:41909276:1 gene:B456_005G150800 transcript:KJB30594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADANSLMSSPATNISQIPSSFPAKLNSQKLPTHHLSNIRFKASSSKRHDYSSLSVNKQDCRNNRRRLVTISTADGRWHGTWNCDYLLSLKQLNLDDLVEGDEQRDVRVSINLCIQKHASFGLSVDGRIITSFTRKCSICSSPYCRQIDTNFNVWVLASNKDHGASNQLPEIGGDDPSLSLQTLISLFHRRPHHYD >KJB30592 pep chromosome:Graimondii2_0_v6:5:41907892:41908553:1 gene:B456_005G150800 transcript:KJB30592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADANSLMSSPATNISQIPSSFPAKLNSQKLPTHHLSNIRFKASSSKRHDYSSLSVNKQDCRNNRRRLVTISTADGRWHGTWNCDYLLSLKQLNLDDLVEGDEQRDVRVSINLCIQKHASFGLSVDGRIITSFTRKCSICSSPYCRQVF >KJB30595 pep chromosome:Graimondii2_0_v6:5:41907862:41909276:1 gene:B456_005G150800 transcript:KJB30595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADANSLMSSPATNISQIPSSFPAKLNSQKLPTHHLSNIRFKASSSKRHDYSSLSVNKQDCRNNRRRLVTISTADGRWHGTWNCDYLLSLKQLNLDDLVEGDEQRDVRVSINLCIQKHASFGLSVDGRIITSFTRKCSICSSPYCRQIDTNFNVWVLASNKDHGASNQLPEIGGDDPSLSYLCETRI >KJB30591 pep chromosome:Graimondii2_0_v6:5:41907714:41909276:1 gene:B456_005G150800 transcript:KJB30591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADANSLMSSPATNISQIPSSFPAKLNSQKLPTHHLSNIRFKASSSKRHDYSSLSVNKQDCRNNRRRLVTISTADGRWHGTWNCDYLLSLKQLNLDDLVEGDEQRDVRVSINLCIQKHASFGLSVDGRIITSFTRKCSICSSPYCRQIDTNFNVWVLASNKDHGASNQLPEIGGDDPSLSYLCETRI >KJB31562 pep chromosome:Graimondii2_0_v6:5:57151153:57152200:1 gene:B456_005G197200 transcript:KJB31562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFADLNLLKPLSKIILFLCLVLIFTSSLGFCKPTLDKDPTPTPWPLQFHSILVMNYSGILQVIDLWYDWPNGRNFNIIQHQLGNVLYDLEWNNGTSFFYTLDSSKTCSSAHVEVGILRPNWLEGATYLGQQHVDGFLCNVWEKVEFIWYFEDVVTKRPVHWVFYTGREAHVMTFEVGAVLEDSKWQAPVYCFHNTTASTDSTSRRLLDGVLKGSTVL >KJB31561 pep chromosome:Graimondii2_0_v6:5:57150897:57154643:1 gene:B456_005G197200 transcript:KJB31561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFADLNLLKPLSKIILFLCLVLIFTSSLGFCKPTLDKDPTPTPWPLQFHSILVMNYSGILQVIDLWYDWPNGRNFNIIQHQLGNVLYDLEWNNGTSFFYTLDSSKTCSSAHVEVGILRPNWLEGATYLGQQHVDGFLCNVWEKVEFIWYFEDVVTKRPVHWVFYTGREAHVMTFEVGAVLEDSKWQAPVYCFHNTTASTDSTSRRLLDGVLKGFKFRV >KJB31877 pep chromosome:Graimondii2_0_v6:5:59470773:59472297:-1 gene:B456_005G212500 transcript:KJB31877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQMLKQVIGVPIKAASYRVERRTSRQYLPDAAGQYHVSSQGCTKSKGNFVLKRMNLLGKKADTFAHGVREHVRLGPKISETVKGKLSLGARILQVGGLEKIFKQLFSFKEGEKLLKACQCYLSTTAGPIAGLLFISSEKVAFCSDRSIKVPSANGEFLRVHYKVVVPVEKIKGVNQSENMKKPWQKYVEIVTVDGFDIWFMGFLNYHKAFKCLQQVVSKRLGDVDTF >KJB32550 pep chromosome:Graimondii2_0_v6:5:62662511:62667209:1 gene:B456_005G246600 transcript:KJB32550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSISHCFSLSITILFLYLLLVHCNVTYDRKAIAIDGQKRILFSGSIHYPRSTPEMWEGLIQKAKNGGLDVIDTYVFWNLHEPSPGNYNFEGRYDLVQFIKLVKKAGLYVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKKAMAKFTQKIVQMMKDENLFESQGGPIILSQIENEYEPESKLYGPAGKAYVKWAAKMAVGLNTGVPWVMCKEYDAPDPVINTCNGFYCDYFSPNKPYKPTLWTEAWTGWFSDFGGPNYQRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYDHLKELHKAIKLCEKALLNSDPNIVILGSYEKAHVFSSESGGCAAFLSNYNLRSNAKVTFNNIHYNLPRWSISILPDCRNVVFNTAKVGTEASRVQMVPTNVKIESWETFNEDVHSVDDESSMTVKGLLEQLNITRDTSDYLWYTTSVRISSSESFPRKGTPLTLSIQTAGHGIHVFINGQLSGSAFGTQQKRKFSFTKNINLHPGENKISILSIAVGLPVCSLIKKHIFIDFLFFVLPISCSGLFCCL >KJB32547 pep chromosome:Graimondii2_0_v6:5:62662502:62667572:1 gene:B456_005G246600 transcript:KJB32547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSISHCFSLSITILFLYLLLVHCNVTYDRKAIAIDGQKRILFSGSIHYPRSTPEMWEGLIQKAKNGGLDVIDTYVFWNLHEPSPGNYNFEGRYDLVQFIKLVKKAGLYVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKKAMAKFTQKIVQMMKDENLFESQGGPIILSQIENEYEPESKLYGPAGKAYVKWAAKMAVGLNTGVPWVMCKEYDAPDPVINTCNGFYCDYFSPNKPYKPTLWTEAWTGWFSDFGGPNYQRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYDHLKELHKAIKLCEKALLNSDPNIVILGSYEKAHVFSSESGGCAAFLSNYNLRSNAKVTFNNIHYNLPRWSISILPDCRNVVFNTAKVGTEASRVQMVPTNVKIESWETFNEDVHSVDDESSMTVKGLLEQLNITRDTSDYLWYTTSVRISSSESFPRKGTPLTLSIQTAGHGIHVFINGQLSGSAFGTQQKRKFSFTKNINLHPGENKISILSIAVGLPNIGPHFETRNIGVQGPVVLHGLDEGKKDLTWQKWSYKVGLKGEADNLGSPNSIPSNDWTRGSLATLKQRPLTWYKAFFNAPGGDDPLALDMSGMGKGQVWINGESIGRYWTISVNGNCSGCSYVGAFRQTKCHFGCGGPTQQWYHVPRSWLKPTRNSLVVFEEIGGDASKISIVKRLTATDK >KJB32549 pep chromosome:Graimondii2_0_v6:5:62662511:62667209:1 gene:B456_005G246600 transcript:KJB32549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSISHCFSLSITILFLYLLLVHCNVTYDRKAIAIDGQKRILFSGSIHYPRSTPEMWEGLIQKAKNGGLDVIDTYVFWNLHEPSPGNYNFEGRYDLVQFIKLVKKAGLYVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKKAMAKFTQKIVQMMKDENLFESQGGPIILSQIENEYEPESKLYGPAGKAYVKWAAKMAVGLNTGVPWVMCKEYDAPDPVINTCNGFYCDYFSPNKPYKPTLWTEAWTGWFSDFGGPNYQRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYDHLKELHKAIKLCEKALLNSDPNIVILGSYEKAHVFSSESGGCAAFLSNYNLRSNAKVTFNNIHYNLPRWSISILPDCRNVVFNTAKVGTEASRVQMVPTNVKIESWETFNEDVHSVDDESSMTVKGLLEQLNITRDTSDYLWYTTSVRISSSESFPRKGTPLTLSIQTAGHGIHVFINGQLSGSAFGTQQKRKFSFTKNINLHPGENKISILSIAVGLPNIGPHFETRNIGVQGPVVLHGLDEGKKDLTWQKWSYKVGLKGEADNLGSPNSIPSNDWTRGSLATLKQRPLTWYKAFFNAPGGDDPLALDMSGMGKGQVWINGESIGRYWTISVNGNCSGCSYVGAFRQTKCHFGCGGPTQQW >KJB32548 pep chromosome:Graimondii2_0_v6:5:62662511:62667209:1 gene:B456_005G246600 transcript:KJB32548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSISHCFSLSITILFLYLLLVHCNVTYDRKAIAIDGQKRILFSGSIHYPRSTPEMWEGLIQKAKNGGLDVIDTYVFWNLHEPSPGNYNFEGRYDLVQFIKLVKKAGLYVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKKAMAKFTQKIVQMMKDENLFESQGGPIILSQIENEYEPESKLYGPAGKAYVKWAAKMAVGLNTGVPWVMCKEYDAPDPVINTCNGFYCDYFSPNKPYKPTLWTEAWTGWFSDFGGPNYQRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYDHLKELHKAIKLCEKALLNSDPNIVILGSYEKAHVFSSESGGCAAFLSNYNLRSNAKVTFNNIHYNLPRWSISILPDCRNVVFNTAKVGTEASRVQMVPTNVKIESWETFNEDVHSVDDESSMTVKGLLEQLNITRDTSDYLWYTTSVRISSSESFPRKGTPLTLSIQTAGHGIHVFINGQLSGSAFGTQQKRKFSFTKNINLHPGENKISILSIAVGLPVCSLIKKHIFIDFLFFVLPISCSGLFCCL >KJB28127 pep chromosome:Graimondii2_0_v6:5:2510012:2512099:1 gene:B456_005G028700 transcript:KJB28127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLHSLFSSLFLFSCFLPLLSSFSPPQTHLPMQCLDDRRSPLLQLQHHLYYAPHFTFSSKFDLWDVNTDCCSWEGVTCDAYGHVVGIDLSYKNLSGSFHSIFDLHHLQHLNLAGNNFNATLFSHGFDKLQNLTHLNLSRSCFHGQIPMNISHLKRLVSLNLSYQDDCYWRNGYNYNSATLKLEKPNFKTFIKNLKFLTELYLDGVDISTQGTKWCETTYLVLPNLHVLSLSSCSLKGPLCSSLSRLSFLSKLILDWNPISYLPPNFLEISSRLVSLSLRNCNLSGHFPTEILLLPKIQSIDISFNPQLMGQLPEFPANNALQSLSLYDTNFSGKLPESIGNLKFLTNLELSYCNFFGPIPSSIANLSHLVNLDLSSNKLSGSIHSSLFTLPSLRILYLGENQLVGKIDEFPNVSSSLIQELYIGNNYLKGTIPKSILLLPRLEWLYIESNNFSSMKLDMFVQLKNLKGLELSNVSLLIESDNRSLTFPQLESLRLRSCNLTEFPEFIKRQDKLFDLDLSNNHIHGVVPNWLWKSSLSSLHLSFNVIDFPKQLPLNDANFSFPMLTELYLRSCNISAFLEFLKSQENLEELDLSNNKISGAIPNRVWKKSLRYLFLANNHLSSLDQLLPNQSSTSSQTSLTRPICNLSQLRNFNASHNNLSGTIPNWLGKMTDLYLLDLQGNNFSRMLPKFSKAT >KJB32932 pep chromosome:Graimondii2_0_v6:5:64054211:64056615:1 gene:B456_005G268700 transcript:KJB32932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ycf3-interacting protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G44650) UniProtKB/Swiss-Prot;Acc:Q9LU01] MGILQASQVPFASTCSSSSSCPPAAPKTLSACKSLAVNVKLSKVCSSTSLRLRKTGGGVVGVGKEGVQPVRIDEDDDSHDTSLSATAQPEEDEDLQCVRQIQRVLQLLRKNRDMLFSEVKLTVMIEDPREVERRRLLGIEDPDAPTRDDLVEALEQVNEGKIPTNRVALRMLAEEMTNWPNIEVEASKKQRSKSLYARATDTGIDPKEVAKRLNIDWDSAAEIEDVGISDETEVPSAVVCFLIPVFNILKTNFFTSKFVISFCIY >KJB32935 pep chromosome:Graimondii2_0_v6:5:64054502:64061532:1 gene:B456_005G268700 transcript:KJB32935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ycf3-interacting protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G44650) UniProtKB/Swiss-Prot;Acc:Q9LU01] MRMMIVMILLYQQPPNRRKMRTFNAFAKSKEFYNFSGKTETCYSVRYADYLVLLQCYPSTLLFFLGIYIQVKLTVMIEDPREVERRRLLGIEDPDAPTRDDLVEALEQVNEGKIPTNRVALRMLAEEMTNWPNIEVEASKKQRSKSLYARATDTGIDPKEVAKRLNIDWDSAAEIEDVGISDETEVPSAVGYGALYLVTAFPVIIGISVVLILFYNSLQ >KJB32933 pep chromosome:Graimondii2_0_v6:5:64054211:64062130:1 gene:B456_005G268700 transcript:KJB32933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ycf3-interacting protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G44650) UniProtKB/Swiss-Prot;Acc:Q9LU01] MGILQASQVPFASTCSSSSSCPPAAPKTLSACKSLAVNVKLSKVCSSTSLRLRKTGGGVVGVGKEGVQPVRIDEDDDSHDTSLSATAQPEEDEDLQCVRQIQRVLQLLRKNRDMLFSERILEKSREGGCLALRILMPLPGMISSKLWNKSMKGRSPLIVLLSGC >KJB32931 pep chromosome:Graimondii2_0_v6:5:64054211:64056188:1 gene:B456_005G268700 transcript:KJB32931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ycf3-interacting protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G44650) UniProtKB/Swiss-Prot;Acc:Q9LU01] MGILQASQVPFASTCSSSSSCPPAAPKTLSACKSLAVNVKLSKVCSSTSLRLRKTGGGVVGVGKEGVQPVRIDEDDDSHDTSLSATAQPEEDEDLQCVRQIQRVLQLLRKNRDMLFSEVKLTVMIEDPREVERRRLLGIEDPDAPTRDDLVEALEQVNEGKIPTNRVALRMLAEEMTNWPNIELLEF >KJB32930 pep chromosome:Graimondii2_0_v6:5:64054186:64062156:1 gene:B456_005G268700 transcript:KJB32930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ycf3-interacting protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G44650) UniProtKB/Swiss-Prot;Acc:Q9LU01] MGILQASQVPFASTCSSSSSCPPAAPKTLSACKSLAVNVKLSKVCSSTSLRLRKTGGGVVGVGKEGVQPVRIDEDDDSHDTSLSATAQPEEDEDLQCVRQIQRVLQLLRKNRDMLFSEVKLTVMIEDPREVERRRLLGIEDPDAPTRDDLVEALEQVNEGKIPTNRVALRMLAEEMTNWPNIEVEASKKQRSKSLYARATDTGIDPKEVAKRLNIDWDSAAEIEDVGISDETEVPSAVGYGALYLVTAFPVIIGISVVLILFYNSLQ >KJB32934 pep chromosome:Graimondii2_0_v6:5:64054211:64062130:1 gene:B456_005G268700 transcript:KJB32934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ycf3-interacting protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G44650) UniProtKB/Swiss-Prot;Acc:Q9LU01] MGILQASQVPFASTCSSSSSCPPAAPKTLSACKSLAVNVKLSKVCSSTSLRLRKTGGGVVGVGKEGVQPVRIDEDDDSHDTSLSATAQPEEDEDLQCVRQIQRVLQLLRKNRDMLFSEVKLTVMIEDPREVERRRLLGIEDPDAPTRDDLVEALEQVNEGKIPTNRVALRMLAEEMTNWPNIEVEASKKQRSKSLYARATDTGIDPKEVAKRLNIDWDSAAEIEDVGISDETEVPSAVGYGALYLVTAFPVIIGISVVLILFYNSLQ >KJB29932 pep chromosome:Graimondii2_0_v6:5:27700076:27700677:1 gene:B456_005G124200 transcript:KJB29932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISGNQVNSEIPFINKLKKKKYQISKPTQAPESYPLNWTSKLTLGISTPHKHLWRLLQRAPSNSSCSDI >KJB30751 pep chromosome:Graimondii2_0_v6:5:45604249:45608079:1 gene:B456_005G158400 transcript:KJB30751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSRVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTIIGPPNTVHEGRIYQLKLFCGLDYPDNPPSVRFQTRINMTCVNQETGVVEPSLFPMLANWQREYTMEDILTQLKKEMMSPQNRRMAQPPEGNEEARLDQKGLVVKCCIV >KJB30748 pep chromosome:Graimondii2_0_v6:5:45604493:45607216:1 gene:B456_005G158400 transcript:KJB30748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSRVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTIIGPPNTVHEGRIYQLKLFCGLDYPDNPPSVRFQTRINMTCVNQETGVVGDVILRTFLCYDKILMAAKLPGRT >KJB30750 pep chromosome:Graimondii2_0_v6:5:45604249:45608079:1 gene:B456_005G158400 transcript:KJB30750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSRVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTIIGPPNTVHEGRIYQLKLFCGLDYPDNPPSVRFQTRINMTCVNQETGVVEPSLFPMLANWQREYTMEDILTQLKKEMMSPQNRRMAQPPEGNEEARLDQKGLVVKCCIV >KJB30752 pep chromosome:Graimondii2_0_v6:5:45604249:45608133:1 gene:B456_005G158400 transcript:KJB30752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTIIGPPNTVHEGRIYQLKLFCGLDYPDNPPSVRFQTRINMTCVNQETGVVEPSLFPMLANWQREYTMEDILTQLKKEMMSPQNRRMAQPPEGNEEARLDQKGLVVKCCIV >KJB30747 pep chromosome:Graimondii2_0_v6:5:45604493:45607340:1 gene:B456_005G158400 transcript:KJB30747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSRVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTIIGPPNTVHEGRIYQLKLFCGLDYPDNPPSVRFQTRINMTCVNQETGVVEPSLFPMLANWQREYTMEDILTQLKKEMMSPQNRRMAQPPEGV >KJB30749 pep chromosome:Graimondii2_0_v6:5:45604249:45608079:1 gene:B456_005G158400 transcript:KJB30749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSRVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTIIGPPNTVHEGRIYQLKLFCGLDYPDNPPSVRFQTRINMTCVNQETGVVEPSLFPMLANWQREYTMEDILTQLKKEMMSPQNRRMAQPPEEARLDQKGLVVKCCIV >KJB30746 pep chromosome:Graimondii2_0_v6:5:45604493:45607660:1 gene:B456_005G158400 transcript:KJB30746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSRVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTIIGPPNTVHEGRIYQLKLFCGLDYPDNPPSVRFQTRINMTCVNQETGVVEPSLFPMLANWQREYTMEDILTQLKKEMMSPQNRRMAQPPEGAMKKQGSIKRV >KJB29216 pep chromosome:Graimondii2_0_v6:5:12028039:12030087:1 gene:B456_005G089700 transcript:KJB29216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTLWGSQSKRAYKVGPFSEENSTILQLNHCIKPNMSLFFICIPKPYLCLYCSNMSLFLFMLLVSILNPVVSHKSCDFPAVFNLGDSNSDTGGYASAFTPPTSPYGDTYFHMPARRFSDGRLTIDFIAEAFGLPFINAYLDSVGTNFSHGINFATAASTIRLPISVIPNGGFSPFYLGFQYSQFEQFKVRSQMIRKQEGFFSNLTVQEVNASIPDIINKFSANIKNIYNLGARFFWVHNTGPIGCLPYVLVAFASAEKDPSGCLKPYNEVAQYFNLKLQESIAQLRNEFPSAAFTYVDIYSVKYSLFAEPQKHGFELPLVTCCGYGGEYNYSAAVLCGGTITVNGTEIFVGSCDNPSVRVVWDGIHFTEAANKFIFDQISTGSFSDPAVPLKQACQS >KJB29217 pep chromosome:Graimondii2_0_v6:5:12028039:12030087:1 gene:B456_005G089700 transcript:KJB29217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTLWGSQSKRAYKVGPFSEENSTILQLNHCIKPNMSLFFICIPKPYLCLYCSNMSLFLFMLLVSILNPVVSHKSCDFPAVFNLGDSNSDTGGYASAFTPPTSPYGDTYFHMPARRFSDGRLTIDFIAEAFGLPFINAYLDSVGTNFSHGINFATAASTIRLPISVIPNGGFSPFYLGFQYSQFEQFKVRSQMIRKQGGLLASLMPKEEYFSKALYTFDIGQNDLGEGFFSNLTVQEVNASIPDIINKFSANIKNIYNLGARFFWVHNTGPIGCLPYVLVAFASAEKDPSGCLKPYNEVAQYFNLKLQESIAQLRNEFPSAAFTYVDIYSVKYSLFAEPQKHGFELPLVTCCGYGGEYNYSAAVLCGGTITVNGTEIFVGSCDNPSVRVVWDGIHFTEAANKFIFDQISTGSFSDPAVPLKQACQS >KJB30590 pep chromosome:Graimondii2_0_v6:5:41887999:41892176:-1 gene:B456_005G150700 transcript:KJB30590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVMPILPLPAPPSDGDLGPLPPSQVPEAQNEEIQTNEEQNKANSVATHTRTIGIIHPPPDIRNIVDKTAQFVAKNGPEFEKRIIANNANNVKFNFLTSSDPYHAYYQHRLSEFRAQNQNQPNSQQQQPQLQSAGSAPAETATAAAAAAAAAADGNDAAVVVAKPDPAALFRLPVRKNLEPPEAAQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSREINNPQFNFLRPTHSMFTFFTELADAYSKVLMPPKGLTEKLRKSVVDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIDFADDEDEDLPPPMTIEEVIRRSKITAMEEDEITEPGKEVEMEMDEEEVQLVEEGMRAASIGENDGEKKDIRVNEDPEPPMRIVKNWKRPEERITAERDPTKFVVSPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQTIMGEDPNDAANSISQNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNAPQYSAASSGGLPMSLPQPRPIGVPVMQSMRQAPPPMQMAPGQQPMMMNRPPQMSPTMSMNPVNMPVPPPPGSQFTAVSVPRPFAPLPVPPPSMPMMQPPPPLPQGIPPPPPPEEAPPPLPDEPEPKRQKLDDAKLVPEDQFLAQHPGPACITVSVPNLDEGNLKGQLLEITVQALSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMTLAYYNVGAGETLALSLRERGGRKR >KJB30589 pep chromosome:Graimondii2_0_v6:5:41888097:41892021:-1 gene:B456_005G150700 transcript:KJB30589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVMPILPLPAPPSDGDLGPLPPSQVPEAQNEEIQTNEEQNKANSVATHTRTIGIIHPPPDIRNIVDKTAQFVAKNGPEFEKRIIANNANNVKFNFLTSSDPYHAYYQHRLSEFRAQNQNQPNSQQQQPQLQSAGSAPAETATAAAAAAAAAADGNDAAVVVAKPDPAALFRLPVRKNLEPPEAAQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSREINNPQFNFLRPTHSMFTFFTELADAYSKVLMPPKGLTEKLRKSVVDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIDFADDEDEDLPPPMTIEEVIRRSKITAMEEDEITEPGKEVEMEMDEEEVQLVEEGMRAASIGENDGEKKDIRVNEDPEPPMRIVKNWKRPEERITAERDPTKFVVSPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQTIMGEDPNDAANSISQNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNAPQYSAASSGGLPMSLPQPRPIGVPVMQSMRQAPPPMQMAPGQQPMMMNRPPQMSPTMSMNPVNMPVPPPPGSQFTAVSVPRPFAPLPVPPPSMPMMQPPPPLPQGIPPPPPPEEAPPPLPDEPEPKRQKLDDAKLVPEDQFLAQHPGPACITVSVPNLDEGNLKGQLLEITVQALSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMTLAYYNVGAGETLALSLRERGGRKR >KJB30588 pep chromosome:Graimondii2_0_v6:5:41889055:41891645:-1 gene:B456_005G150700 transcript:KJB30588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVMPILPLPAPPSDGDLGPLPPSQVPEAQNEEIQTNEEQNKANSVATHTRTIGIIHPPPDIRNIVDKTAQFVAKNGPEFEKRIIANNANNVKFNFLTSSDPYHAYYQHRLSEFRAQNQNQPNSQQQQPQLQSAGSAPAETATAAAAAAAAAADGNDAAVVVAKPDPAALFRLPVRKNLEPPEAAQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSREINNPQFNFLRPTHSMFTFFTELADAYSKVLMPPKGLTEKLRKSVVDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIDFADDEDEDLPPPMTIEEVIRRSKITAMEEDEITEPGKEVEMEMDEEEVQLVEEGMRAASIGENDGEKKDIRVNEDPEPPMRIVKNWKRPEERITAERDPTKFVVSPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQTIMGEDPNDAANSISQNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNAPQYSAASSGGLPMSLPQPRPIGVPVMQSMRQAPPPMQMAPGQQPMMMNRPPQMSPTMSMNPVNMPVPPPPGSQFTAVSVPRPFAPLPVPPPSMPMMQPPPPLPQGIPPPPPPEEAPPPLPDEPEPKRQKLDDAKLVPEDQFLAQHPGPACITVSVPNLDEGNLKGQLLEITVQALSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMTLAYYNVGAGETLALSLRERGGRKR >KJB30587 pep chromosome:Graimondii2_0_v6:5:41887984:41892176:-1 gene:B456_005G150700 transcript:KJB30587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVMPILPLPAPPSDGDLGPLPPSQVPEAQNEEIQTNEEQNKANSVATHTRTIGIIHPPPDIRNIVDKTAQFVAKNGPEFEKRIIANNANNVKFNFLTSSDPYHAYYQHRLSEFRAQNQNQPNSQQQQPQLQSAGSAPAETATAAAAAAAAAADGNDAAVVVAKPDPAALFRLPVRKNLEPPEAAQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSREINNPQFNFLRPTHSMFTFFTELADAYSKVLMPPKGLTEKLRKSVVDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIDFADDEDEDLPPPMTIEEVIRRSKITAMEEDEITEPGKEVEMEMDEEEVQLVEEGMRAASIGENDGEKKDIRVNEDPEPPMRIVKNWKRPEERITAERDPTKFVVSPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQTIMGEDPNDAANSISQNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNAPQYSAASSGGLPMSLPQPRPIGVPVMQSMRQAPPPMQMAPGQQPMMMNRPPQMSPTMSMNPVNMPVPPPPGSQFTAVSVPRPFAPLPVPPPSMPMMQPPPPLPQGIPPPPPPEEAPPPLPDEPEPKRQKLDDAKLVPEDQFLAQHPGPACITVSVPNLDEGNLKGQLLEITVQALSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMTLAYYNVGAGETLALSLRERGGRKR >KJB32857 pep chromosome:Graimondii2_0_v6:5:63896899:63900487:-1 gene:B456_005G265700 transcript:KJB32857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSLQSSLTVMCLLMRKFKTIVEYAPSQCVPTLWAKNDDCEGTIFKDPEYLEFLEFLAKPVENLPSAEIQLERREAERVGALKDSSIVTPLMDFVRQKRAAKVGSRKSLSNGKLSRRAGGQSGGIPSSASSKRGSEKRRGSTTMYVLRDSLKNASGKDKSTYILVSRRDEQQLSNRPVILASSVGTEVSEEESGATRITDADKNKVLLLKGKGKEKEISHVAGSILHQQNFTSPIKTILRSTPTKLNSRRENRIIRGILLNKDSRQSQCAGFQSEQHIETSHLEKERRPTWHPHANLGLKDACNASDGNVAGNHLHGAKKLERRSRNKDRTDHGVWTLRHSDGSCASDESLSSSASQSAQILIDSSEGACGDNKVDFSSVGSWQGKTFASEHNASSKHVSRRVAVANGSSAVNDGKPGKRANVYGSHEKQVWVQKSSSGS >KJB32862 pep chromosome:Graimondii2_0_v6:5:63896899:63901319:-1 gene:B456_005G265700 transcript:KJB32862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTLDRTKVVLRHLPPSITEAMLIEQVDSAFAGRYNWFSFRPGKNRQKHQSCSRLYIDFKSLEDVLEFAEFFNGHVFVNEKGAQFKTIVEYAPSQCVPTLWAKNDDCEGTIFKVFLDEHLDPEYLEFLEFLAKPVENLPSAEIQLERREAERVGALKDSSIVTPLMDFVRQKRAAKVGSRSLSNGKLSRRAGGQSGGIPSSASSKRGSEKRRGSTTMYVLRDSLKNASGKDKSTYILVSRRDEQQLSNRPVILASSVGTEVSEEESGATRITDADKNKVLLLKGKGKEKEISHVAGSILHQQNFTSPIKTILRSTPTKLNSRRENRIIRGILLNKDSRQSQCAGFQSEQHIETSHLEKERRPTWHPHANLGLKDACNASDGNVAGNHLHGAKKLERRSRNKDRTDHGVWTLRHSDGSCASDESLSSSASQSAQILIDSSEGACGDNKVDFSSVGSWQGKTFASEHNASSKHVSRRVAVANGSSAVNDGKPGKRANVYGSHEKQVWVQKSSSGS >KJB32860 pep chromosome:Graimondii2_0_v6:5:63895783:63900808:-1 gene:B456_005G265700 transcript:KJB32860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSLQSSLTVMCLLMRKFKTIVEYAPSQCVPTLWAKNDDCEGTIFKDPEYLEFLEFLAKPVENLPSAEIQLERREAERVGALKDSSIVTPLMDFVRQKRAAKVGSRKSLSNGKLSRRAGGQSGGIPSSASSKRGSEKRRGSTTMYVLRDSLKNASGKDKSTYILVSRRDEQQLSNRPVILASSVGTEVSEEESGATRITDADKNKVLLLKGKGKEKEISHVAGSILHQQNFTSPIKTILRSTPTKLNSRRENRIIRGILLNKDSRQSQCAGFQSEQHIETSHLEKERRPTWHPHANLGLKDACNASDGNVAGNHLHGAKKLERRSRNKDRTDHGVWTLRHSDGSCASDESLSSSASQSAQILIDSSEGACGDNKVDFSSVGSWQGKTFASEHNASSKHVSRRVAVANGSSAVNDGKPGKRANVYGSHEKQVWVQKSSSGS >KJB32861 pep chromosome:Graimondii2_0_v6:5:63896899:63901319:-1 gene:B456_005G265700 transcript:KJB32861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTLDRTKVVLRHLPPSITEAMLIEQVDSAFAGRYNWFSFRPGKNRQKHQSCSRLYIDFKSLEDVLEFAEFFNGHVFVNEKGAQFKTIVEYAPSQCVPTLWAKNDDCEGTIFKDPEYLEFLEFLAKPVENLPSAEIQLERREAERVGALKDSSIVTPLMDFVRQKRAAKVGSRSLSNGKLSRRAGGQSGGIPSSASSKRGSEKRRGSTTMYVLRDSLKNASGKDKSTYILVSRRDEQQLSNRPVILASSVGTEVSEEESGATRITDADKNKVLLLKGKGKEKEISHVAGSILHQQNFTSPIKTILRSTPTKLNSRRENRIIRGILLNKDSRQSQCAGFQSEQHIETSHLEKERRPTWHPHANLGLKDACNASDGNVAGNHLHGAKKLERRSRNKDRTDHGVWTLRHSDGSCASDESLSSSASQSAQILIDSSEGACGDNKVDFSSVGSWQGKTFASEHNASSKHVSRRVAVANGSSAVNDGKPGKRANVYGSHEKQVWVQKSSSGS >KJB32858 pep chromosome:Graimondii2_0_v6:5:63895783:63901568:-1 gene:B456_005G265700 transcript:KJB32858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTLDRTKVVLRHLPPSITEAMLIEQVDSAFAGRYNWFSFRPGKNRQKHQSCSRLYIDFKSLEDVLEFAEFFNGHVFVNEKGAQFKTIVEYAPSQCVPTLWAKNDDCEGTIFKDPEYLEFLEFLAKPVENLPSAEIQLERREAERVGALKDSSIVTPLMDFVRQKRAAKVGSRKSLSNGKLSRRAGGQSGGIPSSASSKRGSEKRRGSTTMYVLRDSLKNASGKDKSTYILVSRRDEQQLSNRPVILASSVGTEVSEEESGATRITDADKNKVLLLKGKGKEKEISHVAGSILHQQNFTSPIKTILRSTPTKLNSRRENRIIRGILLNKDSRQSQCAGFQSEQHIETSHLEKERRPTWHPHANLGLKDACNASDGNVAGNHLHGAKKLERRSRNKDRTDHGVWTLRHSDGSCASDESLSSSASQSAQILIDSSEGACGDNKVDFSSVGSWQGKTFASEHNASSKHVSRRVAVANGSSAVNDGKPGKRANVYGSHEKQVWVQKSSSGS >KJB32865 pep chromosome:Graimondii2_0_v6:5:63896899:63901319:-1 gene:B456_005G265700 transcript:KJB32865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTLDRTKVVLRHLPPSITEAMLIEQVDSAFAGRYNWFSFRPGKNRQKHQSCSRLYIDFKSLEDVLEFAEFFNGHVFVNEKGAQFKTIVEYAPSQCVPTLWAKNDDCEGTIFKVFLDEHLDPEYLEFLEFLAKPVENLPSAEIQLERREAERVGALKDSSIVTPLMDFVRQKRAAKVGSRKSLSNGKLSRRAGGQSGGIPSSASSKRGSEKRRGSTTMYVLRDSLKNASGKDKSTYILVSRRDEQQLSNRPVILASSVGTEVSEEESGATRITDADKNKVLLLKGKGKEKEISHVAGSILHQQNFTSPIKTILRSTPTKLNSRRENRIIRGILLNKDSRQSQCAGFQSEQHIETSHLEKERRPTWHPHANLGLKDACNASDGNVAGNHLHGAKKLERRSRNKDRTDHGVWTLRHSDGSCASDESLSSSASQSAQILIDSSEGACGDNKVDFSSVGSWQGKTFASEHNASSKHVSRRVAVANGSSAVNDGKPGKRANVYGSHEKQVWVQKSSSGS >KJB32869 pep chromosome:Graimondii2_0_v6:5:63897519:63901476:-1 gene:B456_005G265700 transcript:KJB32869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTLDRTKVVLRHLPPSITEAMLIEQVDSAFAGRYNWFSFRPGKNRQKHQSCSRLYIDFKSLEDVLEFAEFFNGHVFVNEKGAQFKTIVEYAPSQCVPTLWAKNDDCEGTIFKDPEYLEFLEFLAKPVENLPSAEIQLERREAERVGALKDSSIVTPLMDFVRQKRAAKVGSRKSLSNGKLSRRAGGQSGGIPSSASSKRGSEKRRGSTTMYVLRDSLKNASGKDKSTYILVSRRDEQQLSNRPVILASSVGTEVSEEESGATRITDADKNKVLLLKGKGKEKEISHVAGSILHQQNFTSPIKTILRSTPTKLNSRRENRIIRGILLNKDSRQSQCAGFQSEQHIETSHLEKERRPTWHPHANLGLKDACNASDGNVAGNHLHGAKKLERRSRNKDRTDHGVWTLRHSDGSCASDESLSSSASQSAQILIDSSEGMAIWVC >KJB32859 pep chromosome:Graimondii2_0_v6:5:63895785:63901540:-1 gene:B456_005G265700 transcript:KJB32859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSLQSSLTVMCLLMRKFKTIVEYAPSQCVPTLWAKNDDCEGTIFKDPEYLEFLEFLAKPVENLPSAEIQLERREAERVGALKDSSIVTPLMDFVRQKRAAKVGSRSLSNGKLSRRAGGQSGGIPSSASSKRGSEKRRGSTTMYVLRDSLKNASGKDKSTYILVSRRDEQQLSNRPVILASSVGTEVSEEESGATRITDADKNKVLLLKGKGKEKEISHVAGSILHQQNFTSPIKTILRSTPTKLNSRRENRIIRGILLNKDSRQSQCAGFQSEQHIETSHLEKERRPTWHPHANLGLKDACNASDGNVAGNHLHGAKKLERRSRNKDRTDHGVWTLRHSDGSCASDESLSSSASQSAQILIDSSEGACGDNKVDFSSVGSWQGKTFASEHNASSKHVSRRVAVANGSSAVNDGKPGKRANVYGSHEKQVWVQKSSSGS >KJB32868 pep chromosome:Graimondii2_0_v6:5:63895870:63901476:-1 gene:B456_005G265700 transcript:KJB32868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTLDRTKVVLRHLPPSITEAMLIEQVDSAFAGRYNWFSFRPGKNRQKHQSCSRLYIDFKSLEDVLEFAEFFNGHVFVNEKGAQFKTIVEYAPSQCVPTLWAKNDDCEGTIFKDPEYLEFLEFLAKPVENLPSAEIQLERREAERVGALKDSSIVTPLMDFVRQKRAAKVGSRKSLSNGKLSRRAGGQSGGIPSSASSKRGSEKRRGSTTMYVLRDSLKNASGKDKSTYILVSRRDEQQLSNRPVILASSVGTEVSEEESGATRITDADKNKVLLLKGKGKEKEISHVAGSILHQQNFTSPIKTILRSTPTKLNSRRENRIIRGILLNKDSRQSQCAGFQSEQHIETSHLEKERRPTWHPHANLGLKDACNASDGNVAGNHLHGAKKLERRSRNKDRTDHGVWTLRHSDGSCASDESLSSSASQSAQILIDSSEGACGDNKVDFSSVGSWQASSKHVSRRVAVANGSSAVNDGKPGKRANVYGSHEKQVWVQKSSSGS >KJB32864 pep chromosome:Graimondii2_0_v6:5:63895785:63901568:-1 gene:B456_005G265700 transcript:KJB32864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTLDRTKVVLRHLPPSITEAMLIEQVDSAFAGRYNWFSFRPGKNRQKHQSCSRLYIDFKSLEDVLEFAEFFNGHVFVNEKGAQFKTIVEYAPSQCVPTLWAKNDDCEGTIFKDPEYLEFLEFLAKPVENLPSAEIQLERREAERVGALKDSSIVTPLMDFVRQKRAAKKSLSNGKLSRRAGGQSGGIPSSASSKRGSEKRRGSTTMYVLRDSLKNASGKDKSTYILVSRRDEQQLSNRPVILASSVGTEVSEEESGATRITDADKNKVLLLKGKGKEKEISHVAGSILHQQNFTSPIKTILRSTPTKLNSRRENRIIRGILLNKDSRQSQCAGFQSEQHIETSHLEKERRPTWHPHANLGLKDACNASDGNVAGNHLHGAKKLERRSRNKDRTDHGVWTLRHSDGSCASDESLSSSASQSAQILIDSSEGACGDNKVDFSSVGSWQGKTFASEHNASSKHVSRRVAVANGSSAVNDGKPGKRANVYGSHEKQVWVQKSSSGS >KJB32856 pep chromosome:Graimondii2_0_v6:5:63896899:63900487:-1 gene:B456_005G265700 transcript:KJB32856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSLQSSLTVMCLLMRKFKTIVEYAPSQCVPTLWAKNDDCEGTIFKDPEYLEFLEFLAKPVENLPSAEIQLERREAERVGALKDSSIVTPLMDFVRQKRAAKVGSRKSLSNGKLSRRAGGQSGGIPSSASSKRGSEKRRGSTTMYVLRDSLKNASGKDKSTYILVSRRDEQQLSNRPVILASSVGTEVSEEESGATRITDADKNKVLLLKGKGKEKEISHVAGSILHQQNFTSPIKTILRSTPTKLNSRRENRIIRGILLNKDSRQSQCAGFQSEQHIETSHLEKERRPTWHPHANLGLKDACNASDGNVAGNHLHGAKKLERRSRNKDRTDHGVWTLRHSDGSCASDESLSSSASQSAQILIDSSEGACGDNKVDFSSVGSWQGKTFASEHNASSKHVSRRVAVANGSSAVNDGKPGKRANVYGSHEKQVWVQKSSSGS >KJB32863 pep chromosome:Graimondii2_0_v6:5:63895785:63901540:-1 gene:B456_005G265700 transcript:KJB32863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSLQSSLTVMCLLMRKFKTIVEYAPSQCVPTLWAKNDDCEGTIFKDPEYLEFLEFLAKPVENLPSAEIQLERREAERVGALKDSSIVTPLMDFVRQKRAAKVGSRSLSNGKLSRRAGGQSGGIPSSASSKRGSEKRRGSTTMYVLRDSLKNASGKDKSTYILVSRRDEQQLSNRPVILASSVGTEVSEEESGATRITDADKNKVLLLKGKGKEKEISHVAGSILHQQNFTSPIKTILRSTPTKLNSRRENRIIRGILLNKDSRQSQCAGFQSEQHIETSHLEKERRPTWHPHANLGLKDACNASDGNVAGNHLHGAKKLERRSRNKDRTDHGVWTLRHSDGSCASDESLSSSASQSAQILIDSSEGACGDNKVDFSSVGSWQGKTFASEHNASSKHVSRRVAVANGSSAVNDGKPGKRANVYGSHEKQVWVQKSSSGS >KJB32867 pep chromosome:Graimondii2_0_v6:5:63895783:63901540:-1 gene:B456_005G265700 transcript:KJB32867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTLDRTKVVLRHLPPSITEAMLIEQVDSAFAGRYNWFSFRPGKNRQKHQSCSRLYIDFKSLEDVLEFAEFFNGHVFVNEKDPEYLEFLEFLAKPVENLPSAEIQLERREAERVGALKDSSIVTPLMDFVRQKRAAKVGSRKSLSNGKLSRRAGGQSGGIPSSASSKRGSEKRRGSTTMYVLRDSLKNASGKDKSTYILVSRRDEQQLSNRPVILASSVGTEVSEEESGATRITDADKNKVLLLKGKGKEKEISHVAGSILHQQNFTSPIKTILRSTPTKLNSRRENRIIRGILLNKDSRQSQCAGFQSEQHIETSHLEKERRPTWHPHANLGLKDACNASDGNVAGNHLHGAKKLERRSRNKDRTDHGVWTLRHSDGSCASDESLSSSASQSAQILIDSSEGACGDNKVDFSSVGSWQGKTFASEHNASSKHVSRRVAVANGSSAVNDGKPGKRANVYGSHEKQVWVQKSSSGS >KJB32866 pep chromosome:Graimondii2_0_v6:5:63895870:63901476:-1 gene:B456_005G265700 transcript:KJB32866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTLDRTKVVLRHLPPSITEAMLIEQVDSAFAGRYNWFSFRPGKNRQKHQSCSRLYIDFKSLEDVLEFAEFFNGHVFVNEKGALKDSSIVTPLMDFVRQKRAAKVGSRKSLSNGKLSRRAGGQSGGIPSSASSKRGSEKRRGSTTMYVLRDSLKNASGKDKSTYILVSRRDEQQLSNRPVILASSVGTEVSEEESGATRITDADKNKVLLLKGKGKEKEISHVAGSILHQQNFTSPIKTILRSTPTKLNSRRENRIIRGILLNKDSRQSQCAGFQSEQHIETSHLEKERRPTWHPHANLGLKDACNASDGNVAGNHLHGAKKLERRSRNKDRTDHGVWTLRHSDGSCASDESLSSSASQSAQILIDSSEGACGDNKVDFSSVGSWQGKTFASEHNASSKHVSRRVAVANGSSAVNDGKPGKRANVYGSHEKQVWVQKSSSGS >KJB29945 pep chromosome:Graimondii2_0_v6:5:27893296:27895729:-1 gene:B456_005G124800 transcript:KJB29945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTVGLIQDQNVNVHYNGASLLRKANIATAPRKGGIGGRKPLGDLSNSVNPTLNQTSKKENSKILSFTEKETSVSKLAHDSSKKKSVSKASEKVQVGGRKALSDISNSGKPRLKETSKKNQTSKLTILAENPSEPEDIAKEGFLHNHDECIKAQKKAISANEFLRILRLEGKKIATFSDAYYGFVSG >KJB29943 pep chromosome:Graimondii2_0_v6:5:27893217:27895920:-1 gene:B456_005G124800 transcript:KJB29943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTVGLIQDQNVNVHYNGASLLRKANIATAPRKGGIGGRKPLGDLSNSVNPTLNQTSKKENSKILSFTEKETSVSKLAHDSSKKKSVSKASEKVQVGGRKALSDISNSGKPRLKETSKKNQTSKLTILAENPSEPEDIAKEGFLHNHDECIKAQKKAISANEFLRILRLEDFSKPASAKGIRMPNEMPMSPSRYLEAKEMSELLMEDWSPPKCKLSKKLNSAPPSPEPLDHMRWDDPELVPGFVLIGSP >KJB29944 pep chromosome:Graimondii2_0_v6:5:27893296:27894817:-1 gene:B456_005G124800 transcript:KJB29944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDLMASRTVGLIQDQNVNVHYNGASLLRKANIATAPRKGGIGGRKPLGDLSNSVNPTLNQTSKKENSKILSFTEKETSVSKLAHDSSKKKSVSKASEKVQVGGRKALSDISNSGKPRLKETSKKNQTSKLTILAENPSEPEDIAKEGFLHNHDECIKAQKKAISANEFLRILRLEDFSKPASAKGIRMPNEMPMSPSRYLEAKEMSELLMEDWSPPKCKLSKKLNSAPPSPEPLDHMRWDDPELVPGFVLIGSP >KJB29942 pep chromosome:Graimondii2_0_v6:5:27893265:27895733:-1 gene:B456_005G124800 transcript:KJB29942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTVGLIQDQNVNVHYNGASLLRKANIATAPRKGGIGGRKPLGDLSNSVNPTLNQTSKKENSKILSFTEKETSVSKLAHDSSKKKSVSKASEKVQVGGRKALSDISNSGKPRLKETSKKNQTSKLTILAENPSEPEDIAKEGFLHNHDECIKAQKKAISANEFLRILRLEDFSKPASAKGIRMPNEMPMSPSRYLEAKEMSELLMEDWSPPKCKLSKKLNSAPPSPEPLDHMRWDDPELVPGFVLIGSP >KJB31638 pep chromosome:Graimondii2_0_v6:5:57457937:57460444:-1 gene:B456_005G199800 transcript:KJB31638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSTPPSHRSNPISHTKSASRLARIGYSVVESGERERPTIPHLSLDHISPSPKKLTTPASPSPLSLRSSTNSLPLQELLLLSPASPLRRSRTRLADRLEMAEEVGAAELGGGSRRKCKSRASQTGVLGCGTPRNSRRSRRRMEMELREDRDLVLGEEMGKPRKRRHSGKSKKEKLKADDREKCNLDRMGEMISDLVMWRDVAKSSLWFGFGCLCFLSSCFTKGVTFSIFSVISHIGLLFLGVSFFSNSIYQNVDKMNEFKLKEEDFLRLAKLILPATNFAISKMRKLFSGEPSMTLKVAPLLLLGAEYGHIITLRRLCAFGFFISFSVPKLYSRYAGLINKKAEYMKEWGMETWGACSHKKLVAASAATAFWNLSSIKTRIFTAFITMVIIRYCRQHLVQESVEVEAEEVEQELSQKALVVAGEESKKN >KJB31637 pep chromosome:Graimondii2_0_v6:5:57457862:57460483:-1 gene:B456_005G199800 transcript:KJB31637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSTPPSHRSNPISHTKSASRLARIGYSVVESGERERPTIPHLSLDHISPSPKKLTTPASPSPLSLRSSTNSLPLQELLLLSPASPLRRSRTRLADRLEMAEEVGAAELGGGSRRKCKSRASQTGVLGCGTPRNSRRSRRRMEMELREDRDLVLGEEMGKPRKRRHSGKSKKEKLSLVPALPSSKADDREKCNLDRMGEMISDLVMWRDVAKSSLWFGFGCLCFLSSCFTKGVTFSIFSVISHIGLLFLGVSFFSNSIYQNVDKMNEFKLKEEDFLRLAKLILPATNFAISKMRKLFSGEPSMTLKVAPLLLLGAEYGHIITLRRLCAFGFFISFSVPKLYSRYAGLINKKAEYMKEWGMETWGACSHKKLVAASAATAFWNLSSIKTRIFTAFITMVIIRYCRQHLVQESVEVEAEEVEQELSQKALVVAGEESKKN >KJB31639 pep chromosome:Graimondii2_0_v6:5:57458323:57460427:-1 gene:B456_005G199800 transcript:KJB31639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSTPPSHRSNPISHTKSASRLARIGYSVVESGERERPTIPHLSLDHISPSPKKLTTPASPSPLSLRSSTNSLPLQELLLLSPASPLRRSRTRLADRLEMAEEVGAAELGGGSRRKCKSRASQTGVLGCGTPRNSRRSRRRMEMELREDRDLVLGEEMGKPRKRRHSGKSKKEKLSLVPALPSSTDDREKCNLDRMGEMISDLVMWRDVAKSSLWFGFGCLCFLSSCFTKGVTFSIFSVISHIGLLFLGVSFFSNSIYQNVDKMNEFKLKEEDFLRLAKLILPATNFAISKMRKLFSGEPSMTLKVAPLLLLGAEYGHIITLRRLCAFGFFISFSVPKLYSRYAGLINKKAEYMKEWGMETWGACSHKKLVAASAATAFWNLSSIKTRIFTAFITMVIIRYCRQHLVQESVEVEAEEVEQELSQKALVVAGEESKKN >KJB32478 pep chromosome:Graimondii2_0_v6:5:62338956:62345118:-1 gene:B456_005G242500 transcript:KJB32478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSILSTNRFHFHHHFIIHPLPSSFPTIPSISYPTPRASFTNLTSAPPPATPFKEPNPTRPHSKSYLKRQSALLQLQQSSNLSSSLQELGGILKPQDLNVVLRHFGNLGKWHHLSQLFDWMQQHGKTNGSSYTTYMKVMGKKLSPVKALAIYNGIPDKPTKVNVFICNSLLSCLVRNGKFDSGIKLFDKMKQEGLTPDLVTYNTLLAGCIRIKNGHYKALELMKELKYNGLEMDNVMYGTLLAVCASSGLSEEAQNYFNQMRDEGLSPNLYHYSSLLNAYSYDGNYRKSDELMEELKSSGLAPNKVILTTLLKVYVRGGLFEKSRKLLSELEALGYAEDEMPYCLLMDGLSKAGRLDEARSVFAEMHEKRVKSDGYSHSIMISAMCRSELFEEAKKLAQDFETKYNKYDLVMLNTMLCAYCRAGDMESVMQTMKKMDELAISPDYSTFHILIKYFCKEKLYLLAYKIMQDMHGKGYHPEEELCCSLIFQLGKMKAHSEAFSVYNMLRYSKRTMCKALHEKILHILIAGKLFKDAYVVVKDNAEHISQPAIKKFASAFMKFGNINLINDVLKVIHGSGCKIYQGLFQTAVSRYIAQPEKKELLLQLLQWMPGQGYAVDSTTRNLILKNSELLGRQLTAEILSKQHIMSKGSQPKR >KJB31727 pep chromosome:Graimondii2_0_v6:5:58393616:58394604:1 gene:B456_005G204700 transcript:KJB31727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFCFLVDQTKKVSKSKPAAGFCSRCGGGASVADMKTCTRFCYVPFYWKSWKAIICTFCGAILRSYR >KJB30212 pep chromosome:Graimondii2_0_v6:5:33716203:33720542:-1 gene:B456_005G133700 transcript:KJB30212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKDKESDKTMAVSTELDLQSSSDCSFVWDPQTHLYFHASSGFYHDPDAGWYYSSRDGLYYTFENGNYVLLDMEGAVADNSVLDEPCATASYNGPEYNRSSSQGNEVDAQNTTINVADESTSTGPIEDTSAQASEQPPPPSEWLEDTLIDLYLSGYNLAANSAADASISLETDEKENFKFPTDGNDEMYELEEGEWIPEESFGLGGSSEVVPYEGDTWDEENWRAQYGQVTRCKDEPLLEFPVVDLWDWSMVTKPKEDGKKQVARLIGRLVKRSAKVHPSVPLGGGLLKTAPICEVHLDLVRVRTGQVYKLRTPCPRYLASLSTYDSSDPTKDWGFPDLLVNKKSFVQFKSRQKQKSEATREKGLKDLSMLSDQLSTSLKGSHAYRDRAAERRALRGGFGLGPGQKNAGSDHDNDPTCIEDAKAEALNMSFGAGSYARRIMEGMGWKEGEALGSTTKGLTEPLQPTGNIGNAGLGWPQTRHR >KJB30207 pep chromosome:Graimondii2_0_v6:5:33718420:33720277:-1 gene:B456_005G133700 transcript:KJB30207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKDKESDKTMAVSTELDLQSSSDCSFVWDPQTHLYFHASSGFYHDPDAGWYYSSRDGLYYTFENGNYVLLDMEGAVADNSVLDEPCATASYNGPEYNRSSSQGNEVDAQNTTINVADESTSTGPIEDTSAQASEQPPPPSEWLEDTLIDLYLSGYNLAANSAADASISLETDEKENFKFPTDGNDEMYELEEGEWIPEESFGLGGSSEVVPYEGDTWDEENWRAQYGQVTRCKDEPLLEFPVVDLWDWSMVTKPKEDGKKQVARLIGRLVKRSAKVHPSVPLGGGLLKTAPICEVHLDLVRVRTGLHLPLL >KJB30215 pep chromosome:Graimondii2_0_v6:5:33717823:33720427:-1 gene:B456_005G133700 transcript:KJB30215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKDKESDKTMAVSTELDLQSSSDCSFVWDPQTHLYFHASSGFYHDPDAGWYYSSRDGLYYTFENGNYVLLDMEGAVADNSVLDEPCATASYNGPEYNRSSSQGNEVDAQNTTINVADESTSTGPIEDTSAQASEQPPPPSEWLEDTLIDLYLSGYNLAANSAADASISLETDEKENFKFPTDGNDEMYELEEGEWIPEESFGLGGSSEVVPYEGDTWDEENWRAQYGQVTRCKDEPLLEFPVVDLWDWSMVTKPKEDGKKQVARLIGRLVKRSAKVHPSVPLGGGLLKTAPICEVHLDLVRVRTGQVYKLRTPCPRYLASLSTYDSSDPTKDWGFPDLLVNKKSFVQFKSRQKQKSEATREKGLKDLSMLSDQLSTSLKVAFAFVKFNSKFFFCSYACEEYN >KJB30210 pep chromosome:Graimondii2_0_v6:5:33716441:33719812:-1 gene:B456_005G133700 transcript:KJB30210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVADNSVLDEPCATASYNGPEYNRSSSQGNEVDAQNTTINVADESTSTGPIEDTSAQASEQPPPPSEWLEDTLIDLYLSGYNLAANSAADASISLETDEKENFKFPTDGNDEMYELEEGEWIPEESFGLGGSSEVVPYEGDTWDEENWRAQYGQVTRCKDEPLLEFPVVDLWDWSMVTKPKEDGKKQVARLIGRLVKRSAKVHPSVPLGGGLLKTAPICEVHLDLVRVRTGQVYKLRTPCPRYLASLSTYDSSDPTKDWGFPDLLVNKKSFVQFKSRQKQKSEATREKGLKDLSMLSDQLSTSLKGSHAYRDRAAERRALRGGFGLGPGQKNAGSDHDNDPTCIEDAKAEALNMSFGAGSYARRIMEGMGWKEGEALGSTTKGLTEPLQPTGNIGNAGLGWPQTRHR >KJB30211 pep chromosome:Graimondii2_0_v6:5:33716203:33720530:-1 gene:B456_005G133700 transcript:KJB30211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKDKESDKTMAVSTELDLQSSSDCSFVWDPQTHLYFHASGFYHDPDAGWYYSSRDGLYYTFENGNYVLLDMEGAVADNSVLDEPCATASYNGPEYNRSSSQGNEVDAQNTTINVADESTSTGPIEDTSAQASEQPPPPSEWLEDTLIDLYLSGYNLAANSAADASISLETDEKENFKFPTDGNDEMYELEEGEWIPEESFGLGGSSEVVPYEGDTWDEENWRAQYGQVTRCKDEPLLEFPVVDLWDWSMVTKPKEDGKKQVARLIGRLVKRSAKVHPSVPLGGGLLKTAPICEVHLDLVRVRTGQVYKLRTPCPRYLASLSTYDSSDPTKDWGFPDLLVNKKSFVQFKSRQKQKSEATREKGLKDLSMLSDQLSTSLKGSHAYRDRAAERRALRGGFGLGPGQKNAGSDHDNDPTCIEDAKAEALNMSFGAGSYARRIMEGMGWKEGEALGSTTKGLTEPLQPTGNIGNAGLGWPQTRHR >KJB30208 pep chromosome:Graimondii2_0_v6:5:33718202:33720277:-1 gene:B456_005G133700 transcript:KJB30208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKDKESDKTMAVSTELDLQSSSDCSFVWDPQTHLYFHASSGFYHDPDAGWYYSSRDGLYYTFENGNYVLLDMEGAVADNSVLDEPCATASYNGPEYNRSSSQGNEVDAQNTTINVADESTSTGPIEDTSAQASEQPPPPSEWLEDTLIDLYLSGYNLAANSAADASISLETDEKENFKFPTDGNDEMYELEEGEWIPEESFGLGGSSEVVPYEGDTWDEENWRAQYGQVTRCKDEPLLEFPVVDLWDWSMVTKPKEDGKKQVARLIGRLVKRSAKVHPSVPLGGGLLKTAPICEVHLDLVRVRTGCTPSPIIYYRTSVQIANSMSKVFSFLVNI >KJB30214 pep chromosome:Graimondii2_0_v6:5:33716671:33720427:-1 gene:B456_005G133700 transcript:KJB30214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKDKESDKTMAVSTELDLQSSSDCSFVWDPQTHLYFHASSGFYHDPDAGWYYSSRDGLYYTFENGNYVLLDMEGAVADNSVLDEPCATASYNGPEYNRSSSQGNEVDAQNTTINVADESTSTGPIEDTSAQASEQPPPPSEWLEDTLIDLYLSGYNLAANSAADASISLETDEKENFKFPTDGNDEMYELEEGEWIPEESFGLGGSSEVVPYEGDTWDEENWRAQYGQVTRCKDEPLLEFPVVDLWDWSMVTKPKEDGKKQVARLIGRLVKRSAKVHPSVPLGGGLLKTAPICEVHLDLVRVRTGQVYKLRTPCPRYLASLSTYDSSDPTKDWGFPDLLVNKKSFVQFKSRQKQKSEATREKGLKDLSMLSDQLSTSLKGSHAYRDRAAERRALRGGFGLGPGQKNAGSDHDNDPTCIEDAKAEALNMSFGAGSYARRIMEGMGWKEVSVDLITCGT >KJB30209 pep chromosome:Graimondii2_0_v6:5:33716203:33720427:-1 gene:B456_005G133700 transcript:KJB30209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKDKESDKTMAVSTELDLQSSSDCSFVWDPQTHLYFHASSGFYHDPDAGWYYSSRDGLYYTFENGNYVLLDMEGAVADNSVLDEPCATASYNGPEYNRSSSQGNEVDAQNTTINVADESTSTGPIEDTSAQASEQPPPPSEWLEDTLIDLYLSGYNLAANSAADASISLETDEKENFKFPTDGNDEMYELEEGEWIPEESFGLGGSSEVVPYEGDTWDEENWRAQYGQVTRCKDEPLLEFPVVDLWDWSMVTKPKEDGKKQVARLIGRLVKRSAKVHPSVPLGGGLLKTAPICEVHLDLVRVRTGCTPSPIIYYRTSVQIANSMSKFKSRQKQKSEATREKGLKDLSMLSDQLSTSLKGSHAYRDRAAERRALRGGFGLGPGQKNAGSDHDNDPTCIEDAKAEALNMSFGAGSYARRIMEGMGWKEGEALGSTTKGLTEPLQPTGNIGNAGLGWPQTRHR >KJB30213 pep chromosome:Graimondii2_0_v6:5:33716203:33720515:-1 gene:B456_005G133700 transcript:KJB30213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVADNSVLDEPCATASYNGPEYNRSSSQGNEVDAQNTTINVADESTSTGPIEDTSAQASEQPPPPSEWLEDTLIDLYLSGYNLAANSAADASISLETDEKENFKFPTDGNDEMYELEEGEWIPEESFGLGGSSEVVPYEGDTWDEENWRAQYGQVTRCKDEPLLEFPVVDLWDWSMVTKPKEDGKKQVARLIGRLVKRSAKVHPSVPLGGGLLKTAPICEVHLDLVRVRTGQVYKLRTPCPRYLASLSTYDSSDPTKDWGFPDLLVNKKSFVQFKSRQKQKSEATREKGLKDLSMLSDQLSTSLKGSHAYRDRAAERRALRGGFGLGPGQKNAGSDHDNDPTCIEDAKAEALNMSFGAGSYARRIMEGMGWKEGEALGSTTKGLTEPLQPTGNIGNAGLGWPQTRHR >KJB32027 pep chromosome:Graimondii2_0_v6:5:60264128:60266237:1 gene:B456_005G219500 transcript:KJB32027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPQFPKYLLFVILFSLYSTSIAQYEEERDALYAVKHTFNDPFLNDNWNGLQCYENTSFWYGIQCTNGRVTAILLESKGLSGKINADAFVLLSELVTLSFKNNSLSGNIMDFSSNPKLKDIDLSKNMFDGPISRSLLSLNLLESLQLQDNHLTGPILGFDQTSLTSFNVSNNSITGPIPGTDTLRSFSSASYSSNGPYMCDDSSNSSSGCYFSENETAGGGGSKKEPVSTVFIVFDVLGLLAGILLLFLYCKKSRQLKKLIQKYQLEEKDEDDLEMERMSNFHYNESKDESVIISAEVNNRSVTNEEKGKLIFMGDEDQAGFDLNDLLRASAEGLGKGLFGNSYKATLDGRPSVVVKRLRDLKPLSYDEFTEVVRIITNQKHPNLLPLLAYFYSNDEKLFLYRYAMNGNLFNRLHGGRGTRDRIPLRWNSRLAIARGVARAMEYLHLNPNSKCIVPHGNLKPSNILLDGNDNVLISDYGLASLVAVPIVAQRLVAFKSPEYQHSKRISMKSDVWSYGCFLLELLTGRLSAHSAPPGINGVDLCSWVHRAVREEWTAEIFDIEISVQRSAAPGMLKLLQIAIRCCDKIPEKRPEMTEIVREIDSIKAVDSDDSDELSADQSLTDESFSANA >KJB31854 pep chromosome:Graimondii2_0_v6:5:59215842:59217527:1 gene:B456_005G211200 transcript:KJB31854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQASRLIQSLSSRISPHKPQLSPLTPSSSSSQLLTQSRSFSAAPAPQPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPAFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNQQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVRPFIGYLLSHLDICVDQSLSSIYVPEDTSSHACFLDLLLEKTWGVIPVY >KJB31856 pep chromosome:Graimondii2_0_v6:5:59215842:59220259:1 gene:B456_005G211200 transcript:KJB31856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQASRLIQSLSSRISPHKPQLSPLTPSSSSSQLLTQSRSFSAAPAPQPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPAFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNQQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCVTKFLADPQTEGIILIGEIGGTAEEDAAALIKESGTEKPIVAFIKLE >KJB31853 pep chromosome:Graimondii2_0_v6:5:59215818:59220294:1 gene:B456_005G211200 transcript:KJB31853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQASRLIQSLSSRISPHKPQLSPLTPSSSSSQLLTQSRSFSAAPAPQPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPAFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNQQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCVTKFLADPQTEGIILIGEIGGTAEEDAAALIKESGTEKPIVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVTVVESPAKIGVAMHEVFKQRGLLN >KJB31855 pep chromosome:Graimondii2_0_v6:5:59215842:59220259:1 gene:B456_005G211200 transcript:KJB31855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQASRLIQSLSSRISPHKPQLSPLTPSSSSSQLLTQSRSFSAAPAPQPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPAFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNQQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCVTKFLADPQTEGIILIGEIGGTAEEDAAALIKWD >KJB30498 pep chromosome:Graimondii2_0_v6:5:40386951:40388401:-1 gene:B456_005G147300 transcript:KJB30498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESGSEFPGFQICVHKEELVFRRPLSRLQRRAPRPLQIKPNADGKASPSQAMDFNLKSSSSSSSAAAAAAATSSTSFSSFYQSKDPIPLLSPLVLPTLLQSSYLHQEGNTAT >KJB28824 pep chromosome:Graimondii2_0_v6:5:7766748:7776801:-1 gene:B456_005G071400 transcript:KJB28824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKFGGKPRHFYGPTASDLKAAEKKSVEWDLNDWKWDGDLFTATPLNPVPSDCRSRQLFPDGSETAPNAGSSHSSSSCSEHSSPGNEKGKRKMQNKKRAVVVLDDDDDNDDDDDDGVKGDAGSLKLQLGGQVYPIMDEDAKCGKKTKVTGTPCRAVCQVEDCRADLSKAKDYHRRHKVCDVHSKATKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNRRRRKTHPDNLPTANSLNDERNSSFLLISLLRILSSMHSNNSDQAKDQDLVAHLLRSLANVACSTNGRNLSGFLQGFHGAANAGRSLGNLEKPTDVVSGSTEHVRPSGSASKIDDCANLAEWQGAMGYCGTVPTSDLAHRRANNDAQDGILSGSPSRTLIWSGAGFQPRASETGDTVERIRIDKIDLNNVYDDSQDYVENLERSLVLKNPVNGSLHSSVGVPESLKSSPPQLSKKSDLTPSQSPSTSGGEAQSRTDRIVFKLFGKNPNDFPIALRRQILEWLSHSPADIESYIRPGCIILTIYLRLRESAWEELCYDLGSSLRKLVDVSNDSFWKTGWVYARVQHSVAFIYNGRVVLDAPLPLKSHRNCKISSIKPIAVSVTERAQFVVKGFNLNQSSTRLLCAVEGKYLVQETCYDLMDAVDPINEQDKLQHLCFLCSIPNVSGRGFIEVEDFGLSSTFFPFIVAEQEVCSEICTLEGVIETAVPTVDINKKEEKMEIRNQALDFIHEMGWLLHRNHLNRKLGDMNPNLNLFPFRRFKWLMEFSMDHDWCAVVKKLLGILFDGTVDLGDHSSMEFALLDMCLLHRAVRRNCRPMVELLLRYVPNEVLDKPGSKQKLSVDGNDYGFMFKPNVVGPAGLTPLHMVASREGCENVLDALTDDPGLVAIEAWKSAQDSTGLTPNDYARLQGHYSYIHLVQRKINKKSECGYIVLDIPGTPLDCNSKQKLSDGTRSAMAVSLEIQKIKKKARQDGCKACEKKLAYGNRRTSLVYRPTMLSMVAIAAVCVCVALLFKSSPEVLYVFRPFRWELLKYGSS >KJB28825 pep chromosome:Graimondii2_0_v6:5:7766458:7777398:-1 gene:B456_005G071400 transcript:KJB28825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPLSCNLEDRFTLSWMRMLSVGRKPRLLGLLVVQFVRWRIVGLILAKPRIIIDGIKFVMCILRPLKHWWEMLCSASVNSVVEFDEGKRSCRRRLAGHNRRRRKTHPDNLPTANSLNDERNSSFLLISLLRILSSMHSNNSDQAKDQDLVAHLLRSLANVACSTNGRNLSGFLQGFHGAANAGRSLGNLEKPTDVVSGSTEHVRPSGSASKIDDCANLAEWQGAMGYCGTVPTSDLAHRRANNDAQDGILSGSPSRTLIWSGAGFQPRASETGDTVERIRIDKIDLNNVYDDSQDYVENLERSLVLKNPVNGSLHSSVGVPESLKSSPPQLSKKSDLTPSQSPSTSGGEAQSRTDRIVFKLFGKNPNDFPIALRRQILEWLSHSPADIESYIRPGCIILTIYLRLRESAWEELCYDLGSSLRKLVDVSNDSFWKTGWVYARVQHSVAFIYNGRVVLDAPLPLKSHRNCKISSIKPIAVSVTERAQFVVKGFNLNQSSTRLLCAVEGKYLVQETCYDLMDAVDPINEQDKLQHLCFLCSIPNVSGRGFIEVEDFGLSSTFFPFIVAEQEVCSEICTLEGVIETAVPTVDINKKEEKMEIRNQALDFIHEMGWLLHRNHLNRKLGDMNPNLNLFPFRRFKWLMEFSMDHDWCAVVKKLLGILFDGTVDLGDHSSMEFALLDMCLLHRAVRRNCRPMVELLLRYVPNEVLDKPGSKQKLSVDGNDYGFMFKPNVVGPAGLTPLHMVASREGCENVLDALTDDPGLVAIEAWKSAQDSTGLTPNDYARLQGHYSYIHLVQRKINKKSECGYIVLDIPGTPLDCNSKQKLSDGTRSAMAVSLEIQKIKKKARQDGCKACEKKLAYGNRRTSLVYRPTMLSMVAIAAVCVCVALLFKSSPEVLYVFRPFRWELLKYGSS >KJB28826 pep chromosome:Graimondii2_0_v6:5:7766748:7776801:-1 gene:B456_005G071400 transcript:KJB28826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKFGGKPRHFYGPTASDLKAAEKKSVEWDLNDWKWDGDLFTATPLNPVPSDCRSRQLFPDGSETAPNAGSSHSSSSCSEHSSPGNEKGKRKMQNKKRAVVVLDDDDDNDDDDDDGVKGDAGSLKLQLGGQVYPIMDEDAKCGKKTKVTGTPCRAVCQVEDCRADLSKAKDYHRRHKVCDVHSKATKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNRRRRKTHPDNLPTANSLNDERNSSFLLISLLRILSSMHSNNSDQAKDQDLVAHLLRSLANVACSTNGRNLSGFLQGFHGAANAGRSLGNLEKPTDVVSGSTEHVRPSGSASKIDDCANLAEWQGAMGYCGTVPTSDLAHRRANNDAQDGILSGSPSRTLIWSGAGFQPRASETGDTVERIRIDKIDLNNVYDDSQDYVENLERSLVLKNPVNGSLHSSVGVPESLKSSPPQLSKKSDLTPSQSPSTSGGEAQSRTDRIVFKLFGKNPNDFPIALRRQILEWLSHSPADIESYIRPGCIILTIYLRLRESAWEELCYDLGSSLRKLVDVSNDSFWKTGWVYARVQHSVAFIYNGRVVLDAPLPLKSHRNCKISSIKPIAVSVTERAQFVVKGFNLNQSSTRLLCAVEGKYLVQETCYDLMDAVDPINEQDKLQHLCFLCSIPNVSGRGFIEVEDFGLSSTFFPFIVAEQEVCSEICTLEGVIETAVPTVDINKKEEKMEIRNQALDFIHEMGWLLHRNHLNRKLGDMNPNLNLFPFRRFKWLMEFSMDHDWCAVVKKLLGILFDGTVDLGDHSSMEFALLDMCLLHRAVRRNCRPMVELLLRYVPNEVLDKPGSKQKLSVDGNDYGFMFKPNVVGPAGLTPLHMVASREGCENVLDALTDDPGLVAIEAWKSAQDSTGLTPNDYARLQGHYSYIHLVQRKINKKSECGYIVLDIPGTPLDCNSKQKLSDGTRSAMAVSLEIQKIKKKARQDGCKACEKKLAYGNRRTSLVYRPTMLSMVAIAAVCVCVALLFKSSPEVLYVFRPFRWELLKYGSS >KJB30345 pep chromosome:Graimondii2_0_v6:5:35900875:35904397:-1 gene:B456_005G138600 transcript:KJB30345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMEENKSKLNNVKRPKGGMITMPFIFLNEVCEKLAVVGFYANMISYLTMQLHMPLTKAANALTNFGGTASLTPLLGAFVADAYAGSTLAVQASANQLAAAHKHQQLLTRLFFAMTSLTLSAILSQLRPLPCIGEQLCQQATSGQLAILYGSLLLGALGSGGIRSCVAAFGADQFDETDLKQSTKTWKYFNWYYFVMEASILAAVTVIVYIQDNIGWGWGLGIPTISMFLSIIAFVLGYPLYRHMDPVGSPFTRLLQVSVGALRKRYLTMVSDPNLLYQNEELDASIFIDGRLVHSKQMALLDKTAIVTEEDNVKAPYLWRLNSVHRVEELKSLIRMGPIWASGILLITAYAQQGTFSLQQAKTMDRHLTNSFQIPAGSMSVFTMLAMLSTIALYDRFLIRIARRFTGLDRGITFLRRMGIGLLISVLATLVAGFIEVKRKQAAVAHGLEDKPHSIIPMSAFMSIGHLEFFYDQSPESMRISATALFWTAISVGNYVSTLLVSLVHKYSAKPDGSNWLPDNNLNKGIILLVDHRLASG >KJB30344 pep chromosome:Graimondii2_0_v6:5:35900408:35904451:-1 gene:B456_005G138600 transcript:KJB30344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTPVISGLLTVASIIYQIAMTSLTLSAILSQLRPLPCIGEQLCQQATSGQLAILYGSLLLGALGSGGIRSCVAAFGADQFDETDLKQSTKTWKYFNWYYFVMEASILAAVTVIVYIQDNIGWGWGLGIPTISMFLSIIAFVLGYPLYRHMDPVGSPFTRLLQVSVGALRKRYLTMVSDPNLLYQNEELDASIFIDGRLVHSKQMALLDKTAIVTEEDNVKAPYLWRLNSVHRVEELKSLIRMGPIWASGILLITAYAQQGTFSLQQAKTMDRHLTNSFQIPAGSMSVFTMLAMLSTIALYDRFLIRIARRFTGLDRGITFLRRMGIGLLISVLATLVAGFIEVKRKQAAVAHGLEDKPHSIIPMSVFGSCLGTVYME >KJB27735 pep chromosome:Graimondii2_0_v6:5:453155:462282:-1 gene:B456_005G006800 transcript:KJB27735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSEKNAVVSNKKVIFKDYVSGFPKESDMAVTVDENLKLKVAGDSKGILVKNLYLSCDPYMRLLMTNRSSEIFGPYTPGAVRMPGLTAYGGFYEVCAPKKGEYVFVSAASGAVGQLVGQFAKLMGCYVVGSAGTQDKVELLKNKFGFDDAFNYKEEPDLNAALKRYFPEGIDIYFENVGGKMLDAVILNMRVHGCIAVCGMISQYNRDQPEGVHNLMSIVYKRIRIEGFATVDYYPQYSKFLDFILPYIREGKVKYVEDIVEGLENGPAAIIGLFSGRNVGKQVVVIAPQ >KJB27738 pep chromosome:Graimondii2_0_v6:5:453155:462282:-1 gene:B456_005G006800 transcript:KJB27738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSEKNAVVSNKKVIFKDYVSGFPKESDMAVTVDENLKLKVAGDSKGILVKNLYLSCDPYMRLLMTNRSSEIFGPYTPGALITGFGVGKVIDSRNPEFKEGDLVWGLTGWEEYSLLKSSEGLFKIHHTDIPLSYYIGILGMPGLTAYGGSYEVCAPKKGEYVLVSAASGAVGQLVGQFAKLMGCYVVGSAGTQDKVELLKNKFGFDEAFNYKEEPDLNAALKRYFPEGIDIYFENVGGKMLDAVILNMRVHGCIAVCGMISQYNRDQPEGVHNLMSIVYKRIRIEGFATVDYYPQYSKFLDFILPYIREGKVKYVEDIVEGLENGPAAIIGLFSGRNVGKQVVVIAPQ >KJB27736 pep chromosome:Graimondii2_0_v6:5:453155:462282:-1 gene:B456_005G006800 transcript:KJB27736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSEKNAVVSNKKVIFKDYVSGFPKESDMAVTVDENLKLKVAGDSKGILVKNLYLSCDPYMRLLMTNRSSEIFGPYTPGAPVTGFGVGKVIDSTNPEFKEGDLVWGITGWEEYSLLKSSEGLFKIHHTDIPLSYYTGILGMPGLTAYGGFYEVCAPKKGEYVFVSAASGAVGQLVGQFAKLMGCYVVGSAGTQDKVELLKNKFGFDDAFNYKEEPDLNAALKRYFPEGIDIYFENVGGKMLDAVILNMRVHGCIAVCGMISQYNRDQPEGVHNLMSIVYKRIRIEGFATVDYYPQYSKFLDFILPYIREGKVKYVEDIVEGLENGPAAIIGLFSGRNVGKQVVVIAPQ >KJB27737 pep chromosome:Graimondii2_0_v6:5:453414:462282:-1 gene:B456_005G006800 transcript:KJB27737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSEKNAVVSNKKVIFKDYVSGFPKESDMAVTVDENLKLKVAGDSKGILVKNLYLSCDPYMRLLMTNRSSEIFGPYTPGAVITGFGVGKVIDSTNPEFKEGDLVWGITGWEEYSLLKSSEGLFKIHHTDIPLSYYTGILGMPGLTAYGGFYEVCAPKKGEYVFVSAASGAVGQLVGQFAKLMGCYVVGSAGTQDKVELLKNKFGFDDAFNYKEEPDLNAALKRYFPEGIDIYFENVGGKMLDAVILNMRVHGCIAVCGMISQYNRDQPEGVHNLMSIVYKRIRIEGFATVDYYPQYSKFLDFILPYIREGKVKYVEDIVEGLENGPAAIIGLFSGRNVGKQVVVIAPQ >KJB27734 pep chromosome:Graimondii2_0_v6:5:453155:456913:-1 gene:B456_005G006800 transcript:KJB27734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITVEENLKLKVAGDSKGILVKNLYLSCDPYMRLLMTNRSSEIFGSYTPAALITGFGVGKVIDSRNPEFKEGDLVWGLTGWEEYSLLKSSEGLFKIHHTDIPLSYYIGILGMPGLTAYGGSYEVCAPKKGEYVLVSAASGAVGQLVGQFAKLMGCYVVGSAGTQDKVELLKNKFGFDEAFNYKEEPDLNAALKRYFPEGIDIYFENVGGKMLDAVILNMRVHGCIAVCGMISQYNRDQPEGVHNLMSIVYKRIRIEGFATVDYYPQYSKFLDFILPYIREGKVKYVEDIVEGLENGPAAIIGLFSGRNVGKQVVVIAPQ >KJB27777 pep chromosome:Graimondii2_0_v6:5:1531590:1536300:-1 gene:B456_005G019300 transcript:KJB27777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFKQFWTKKTLVGLGLGQFLSLLITSTGFSSSELAKRGINAPTSQSFLNYVLLSVVYGSVMLYRRQALKAKWYYYVILGLVDVEANYLVVKAYQYTSITSVMLLDCWSIPSVMLLTYIFLKTKYRYRKIAGVIVCVAGLVMVVFSDVHAGDRSGGSNPRKGDLLVIAGATLYAISNVSEEFLVKNADRVELMSFLGLFGAIISAIQISIVERNELKSIHWTAGAAFPFFGFSLAMFLFYSFVPVLLKMSGSTMLNLSLLTSDMWAVVIRIFAYHEKVDWMYFLAFVAVAVGLIIYSGGDKDDDQSRADVADQGTERSKHFDEEAGFDHLNQGNTVGSSKMGDTSRHDCMTSSGGRETIENMSIGKDMQALLIPLSL >KJB27779 pep chromosome:Graimondii2_0_v6:5:1531643:1536216:-1 gene:B456_005G019300 transcript:KJB27779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFKQFWTKKTLVGLGLGQFLSLLITSTGFSSSELAKRGINAPTSQSFLNYVLLSVVYGSVMLYRRQALKAKWYYYVILGLVDVEANYLVVKAYQYTSITSVMLLDCWSIPSVMLLTYIFLKTKYRYRKIAGVIVCVAGLVMVVFSDVHAGDRSGGSNPRKGDLLVIAGATLYAISNVSEEFLVKNADRVELMSFLGLFGAIISAIQISIVERNELKSIHWTAGAAFPFFGFSLAMFLFYSFVPVLLKMSGSTMLNLSLLTSDMWAVVIRIFAYHEKVDWMYFLAFVAVAVGLIIYSGGDKDDDQSRADVADQGTERSKHFDEEAGFDHLNQGNTVGSSKMGDTSRHDCMTSSGGRETIENMSIGKDMQALLIPLSL >KJB27778 pep chromosome:Graimondii2_0_v6:5:1532032:1536172:-1 gene:B456_005G019300 transcript:KJB27778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFKQFWTKKTLVGLGLGQFLSLLITSTGFSSSELAKRGINAPTSQSFLNYVLLSVVYGSVMLYRRQALKAKWYYYVILGLVDVEANYLVVKAYQYTSITSVMLLDCWSIPSVMLLTYIFLKTKYRYRKIAGVIVCVAGLVMVVFSDVHAGDRSGGSNPRKGDLLVIAGATLYAISNVSEEFLVKNADRVELMSFLGLFGAIISAIQISIVERNELKSIHWTAGAAFPFFGFSLAMFLFYSFVPVLLKMSGSTMLNLSLLTSDMWAVVIRIFAYHEKVDWMYFLAFVAVAVGLIIYSGGDKDDDQSRADVADQGTERSKHFDEEAGFDHLNQGNTVGSSKMGDTSRHDCMTSSGGRETIENMSIGKDMQGKKS >KJB27780 pep chromosome:Graimondii2_0_v6:5:1532198:1535924:-1 gene:B456_005G019300 transcript:KJB27780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFKQFWTKKTLVGLGLGQFLSLLITSTGFSSSELAKRGINAPTSQSFLNYVLLSVVYGSVMLYRRQALKAKWYYYVILGLVDVEANYLVVKAYQYTSITSVMLLDCWSIPSVMLLTYIFLKTKYRYRKIAGVIVCVAGLVMVVFSDVHAGDRSGGSNPRKGDLLVIAGATLYAISNVSEEFLVKNADRVELMSFLGLFGAIISAIQISIVERNELKSIHWTAGAAFPFFGFSLAMFLFYSFVPVLLKMSGSTMLNLSLLTSDMWAVVIRIFAYHEKVDWMYFLAFVAVAVGLIIYSGGDKDDDQSRADVADQGTERSKHFDEEAGFDHLNQGNTVGSSKMGDTSRHDCMTSSGGRETIENMSIGKDMQGKKS >KJB31241 pep chromosome:Graimondii2_0_v6:5:53359826:53362718:1 gene:B456_005G182500 transcript:KJB31241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKSLRKPVFTKVDQLRPGTIGHTLTVKVVNTKMVLQKGRADGPQVRQMRIAECLVGDETGMIIFTARNEQVDLMKEGTTITLRNAKIDMFKGSMRLAVDKWGRVEVAEPANFNVKEDNNLSLIEYELVNVVEE >KJB31243 pep chromosome:Graimondii2_0_v6:5:53359826:53362718:1 gene:B456_005G182500 transcript:KJB31243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKSLRKPVFTKVDQLRPGTIGHTLTVKVVNTKMVLQKGRADGPQVRQMRIAECLVGDETGMIIFTARNEQVDLMKEGTTITLRNAKIDMFKGSMRLAVDKWGRVEVAEPANFNVKEDNNLSLIEYELVNVVEE >KJB31244 pep chromosome:Graimondii2_0_v6:5:53359371:53362718:1 gene:B456_005G182500 transcript:KJB31244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKSLRKPVFTKVDQLRPGTIGHTLTVKVVNTKMVLQKGRADGPQVRQMRIAECLVGDETGMIIFTARNEQVDLMKEGTTITLRNAKIDMFKGSMRLAVDKWGRVEVAEPANFNVKEDNNLSLIEYELVNVVEE >KJB31242 pep chromosome:Graimondii2_0_v6:5:53359567:53362718:1 gene:B456_005G182500 transcript:KJB31242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKSLRKPVFTKVDQLRPGTIGHTLTVKVVNTKMVLQKGRADGPQVRQMRIAECLVGDETGMIIFTARNEQVDLMKEGTTITLRNAKIDMFKGSMRLAVDKWGRVEVAEPANFNVKEDNNLSLIEYELVNVVEE >KJB29220 pep chromosome:Graimondii2_0_v6:5:12072676:12074962:1 gene:B456_005G089900 transcript:KJB29220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRFLQWGVFRSLLAILQWWGFNVTVIIMNKWIFQKLDFKFPLSVSCVHFICSSIGAYLVIKVLKLKPLIAVDPEDRWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVFLQCLVWRKYFDRRIWASLVPIVGGILLTSVTELSFNMLGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGVPALLLEGNGVMDWFHAHPAPWAALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVRFVE >KJB29221 pep chromosome:Graimondii2_0_v6:5:12072676:12075546:1 gene:B456_005G089900 transcript:KJB29221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRFLQWGVFRSLLAILQWWGFNVTVIIMNKWIFQKLDFKFPLSVSCVHFICSSIGAYLTIKSFTPATTVFLQCLVWRKYFDRRIWASLVPIVGGILLTSVTELSFNMLGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGVPALLLEGNGVMDWFHAHPAPWAALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLISWLIFRNPISGLNAVGCGITLLGCTFYGYVRHMLSQQTPGTPRTPRTPRKKMELLPLVNNEKLDDKV >KJB29222 pep chromosome:Graimondii2_0_v6:5:12072676:12075546:1 gene:B456_005G089900 transcript:KJB29222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYAISRFLSCRLSNHSLLQPPCLVWRKYFDRRIWASLVPIVGGILLTSVTELSFNMLGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGVPALLLEGNGVMDWFHAHPAPWAALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLISWLIFRNPISGLNAVGCGITLLGCTFYGYVRHMLSQQTPGTPRTPRTPRKKMELLPLVNNEKLDDKV >KJB29219 pep chromosome:Graimondii2_0_v6:5:12072469:12075572:1 gene:B456_005G089900 transcript:KJB29219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRFLQWGVFRSLLAILQWWGFNVTVIIMNKWIFQKLDFKFPLSVSCVHFICSSIGAYLVIKVLKLKPLIAVDPEDRWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVFLQCLVWRKYFDRRIWASLVPIVGGILLTSVTELSFNMLGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGVPALLLEGNGVMDWFHAHPAPWAALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLISWLIFRNPISGLNAVGCGITLLGCTFYGYVRHMLSQQTPGTPRTPRTPRKKMELLPLVNNEKLDDKV >KJB31208 pep chromosome:Graimondii2_0_v6:5:53087726:53092034:-1 gene:B456_005G181000 transcript:KJB31208 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAF2 [Source:Projected from Arabidopsis thaliana (AT1G23400) UniProtKB/TrEMBL;Acc:A0A178WC04] MPILASLPGLNLLSSLPSNPPQNDTTSSTPPPSPPIPIPKYPPPRSRNPKTNVPRSNPALSIPHRRSNYRKPVQKGVISTDGDRCVIVGENGVSYKLPGAPFEFQYSYSETPSAEPIAIREPAYLPFAPPTMPRPWTGKAPLKKSKKNIPLFDSFNPPPPGKKGVKHVEKPGPFPFGKYPKEGKTREEILGEPLKKWEIKMLVKPLLSYNRQVNLGRDGLTHNMLDLIHSHWKRRRVCKIKCKGVPTVDMDNVCRHIEEKTGGKIIHRVGGVVYLFRGRNYNYRTRPQYPVMLWKPATPVYPKLIQEAPEGLTKAEADEFRKKGKSLLPICTLAKNGVYASLVKDVRDAFEECPLVKIDCKGLQPSDYKKIAKSSDDSGTPDAQRVVSSPKMISLWRRAIESNKALLLEELALGPDALLEKVEEFEGMSLAIEHSCEALVLSSEDGSSSSSSAEFKGGSYSEDENDIYSSDDIIDDEDYDDDSFAEVNPSIPLGSLPVDKIAERLRRESK >KJB31206 pep chromosome:Graimondii2_0_v6:5:53087663:53092121:-1 gene:B456_005G181000 transcript:KJB31206 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAF2 [Source:Projected from Arabidopsis thaliana (AT1G23400) UniProtKB/TrEMBL;Acc:A0A178WC04] MPILASLPGLNLLSSLPSNPPQNDTTSSTPPPSPPIPIPKYPPPRSRNPKTNVPRSNPALSIPHRRSNYRKPVQKGVISTDGDRCVIVGENGVSYKLPGAPFEFQYSYSETPSAEPIAIREPAYLPFAPPTMPRPWTGKAPLKKSKKNIPLFDSFNPPPPGKKGVKHVEKPGPFPFGKYPKEGKTREEILGEPLKKWEIKMLVKPLLSYNRQVNLGRDGLTHNMLDLIHSHWKRRRVCKIKCKGVPTVDMDNVCRHIEEKTGGKIIHRVGGVVYLFRGRNYNYRTRPQYPVMLWKPATPVYPKLIQEAPEGLTKAEADEFRKKGKSLLPICTLAKNGVYASLVKDVRDAFEECPLVKIDCKGLQPSDYKKIGAKLKELVPCVLLSFDDEQILMWRGRDWKSMYGDTSSTLVPVKADISSGIDNSAKSSDDSGTPDAQRVVSSPKMISLWRRAIESNKALLLEELALGPDALLEKVEEFEGMSLAIEHSCEALVLSSEDGSSSSSSAEFKGGSYSEDENDIYSSDDIIDDEDYDDDSFAEVNPSIPLGSLPVDKIAERLRRESK >KJB31207 pep chromosome:Graimondii2_0_v6:5:53089281:53091824:-1 gene:B456_005G181000 transcript:KJB31207 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAF2 [Source:Projected from Arabidopsis thaliana (AT1G23400) UniProtKB/TrEMBL;Acc:A0A178WC04] MPILASLPGLNLLSSLPSNPPQNDTTSSTPPPSPPIPIPKYPPPRSRNPKTNVPRSNPALSIPHRRSNYRKPVQKGVISTDGDRCVIVGENGVSYKLPGAPFEFQYSYSETPSAEPIAIREPAYLPFAPPTMPRPWTGKAPLKKSKKNIPLFDSFNPPPPGKKGVKHVEKPGPFPFGKYPKEGKTREEILGEPLKKWEIKMLVKPLLSYNRQVNLGRDGLTHNMLDLIHSHWKRRRVCKIKCKGVPTVDMDNVCRHIEEKTGGKIIHRVGGVVYLFRGRNYNYRTRPQYPVMLWKPATPVYPKLIQEAPEGLTKAEADEFRKKGKSLLPICTLAKNGVYASLVKDVRDAFEECPLVKIDCKGLQPSDYKKIGIGSLCFIIF >KJB31209 pep chromosome:Graimondii2_0_v6:5:53087753:53091895:-1 gene:B456_005G181000 transcript:KJB31209 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAF2 [Source:Projected from Arabidopsis thaliana (AT1G23400) UniProtKB/TrEMBL;Acc:A0A178WC04] MPILASLPGLNLLSSLPSNPPQNDTTSSTPPPSPPIPIPKYPPPRSRNPKTNVPRSNPALSIPHRRSNYRKPVQKGVISTDGDRCVIVGENGVSYKLPGAPFEFQYSYSETPSAEPIAIREPAYLPFAPPTMPRPWTGKAPLKKSKKNIPLFDSFNPPPPGKKGVKHVEKPGPFPFGKYPKEGKTREEILGEPLKKWEIKMLVKPLLSYNRQVNLGRDGLTHNMLDLIHSHWKRRRVCKIKCKGVPTVDMDNVCRHIEEKTGGKIIHRVGGVVYLFRGRNYNYRTRPQYPVMLWKPATPVYPKLIQEAPEGLTKAEADEFRKKGKSLLPICTLAKNGVYASLVKDVRDAFEECPLVKIDCKGLQPSDYKKIGAKLKELVPCVLLSFDDEQILMWRGRDWKSMYGDTSSTLVPVKADISSAKSSDDSGTPDAQRVVSSPKMISLWRRAIESNKALLLEELALGPDALLEKVEEFEGMSLAIEHSCEALVLSSEDGSSSSSSAEFKGGSYSEDENDIYSSDDIIDDEDYDDDSFAEVNPSIPLGSLPVDKIAERLRRESK >KJB31395 pep chromosome:Graimondii2_0_v6:5:55043683:55045590:-1 gene:B456_005G189300 transcript:KJB31395 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEAF RUST 10 DISEASE-RESISTANCE LOCUS RECEPTOR-LIKE PROTEIN KINASE-like 1.5 [Source:Projected from Arabidopsis thaliana (AT1G69910) UniProtKB/Swiss-Prot;Acc:F4I3V3] MPSPSPPSISLLLLTTIFFISFEWVVTEAATLPHHPCSSTSPCPPFTSPPPFPFSFSAGCGHPSFPINCSTPSSTISINNLSFSLLYFDPNSTSLTLSPLPPTTTSPCSSFNFLHINLSGSPFRISDASCSRLSILRSCSPSNLSNCDQCAWECGIIKHPLKLFPDCGPTRQLPEQGCQPDVLGYLQNFFFKMGFQVEWDEAQDSYFSSCRDCKLKNGICGFNSSDPNKPFLCFQAKATISPTLIHVDHTHRIAILSSVLTLTCIFLIFSVTFVFFRSKKFKSQSVEDPTALFLRRHRSASLLPPVFTYEELESSTNKFDPERKIGDGGFGSVYLGQLHDNRIVAVKYLHKNNQSGNALSSKFFCNEILILSSINHPNLVKLHGYCSDPRGLLLVYDYVPNGTLADHLHGRPKPSLSWPVRLEIALQTALAIEYLHFSVVPPIVHRDVTTSNIFVEKDMRIKVGDFGLSRLLAFPENSSLKSEFVWTGPQGTPGYLDPDYHRSFRLTEKSDVYSFGVVLLELISGLKAVDQRREKREMALADLVVSKIQMGLLHQVVDPALILDGQPMDGVEAVAELAFRCVAADKDDRPDAREIVGELKRIKNRTRVLRLSYSNGSNGEVAKDDNIGMFDVTWD >KJB32850 pep chromosome:Graimondii2_0_v6:5:63854329:63860298:-1 gene:B456_005G265200 transcript:KJB32850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNGGSGESASTQSPRNPSSQKAERPPVLKKFKPIEPDDPRPTPHFPGPLFPAVRRVTSLPPSSNRRASFDTDADKPSSVGDVTASNLNNIDVVNSLSDRDWMYPSFLGPHAARNRVMTVKAASRQPIRGGGERLVDRVESKVVDEKQQSNTPVNKEEVKIVASQVSTTMTERSSVSSWSARRILRVKLKRYFIFSVIIFSCIYPLTYVIHLRNKVERLEVENINLRRWCSETDVGNYSNEVLQPEDDSSYKIFGNADSKTVALYTVMFTLMMPFVLYKCLDYLPQIKILSKRTKPNKEEVPLKKRIAYMVDVCFSVYPYAKLLALLFATIFLIGFGGLALYAVTDGSLTEALWLSWTFVADSGNHSDSIGIGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHMLILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMEIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLATDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGDLIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENDEFYIKRWPELDGMQFEDVLISFPDAIPCGVKVAADNGKIILNPDDSYVLKEGDGVLVIAEDDDTYSPGPLPEVRRVSFPKVPELPKYPERILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVTEKERERKLIDGGLDISGLENIKLVHREGNAVIRRHLESLPLETFDSMNHWRTL >KJB32852 pep chromosome:Graimondii2_0_v6:5:63854665:63860094:-1 gene:B456_005G265200 transcript:KJB32852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNGGSGESASTQSPRNPSSQKAERPPVLKKFKPIEPDDPRPTPHFPGPLFPAVRRVTSLPPSSNRRASFDTDADKPSSVGDVTASNLNNIDVVNSLSDRDWMYPSFLGPHAARNRVMTVKAASRQPIRGGGERLVDRVESKVVDEKQQSNTPVNKEEVKIVASQVSTTMTERSSVSSWSARRILRVKLKRYFIFSVIIFSCIYPLTYVIHLRNKVERLEVENINLRRWCSETDVGNYSNEVLQPEDDSSYKIFGNADSKTVALYTVMFTLMMPFVLYKCLDYLPQIKILSKRTKPNKEEVPLKKRIAYMVDVCFSVYPYAKLLALLFATIFLIGFGGLALYAVTDGSLTEALWLSWTFVADSGNHSDSIGIGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHMLILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMEIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLATDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGDLIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENDEFYIKRWPELDGMQFEDVLISFPDAIPCGVKVAADNGKIILNPDDSYVLKEGDGVLVIAEDDDTYSPGPLPEVRRVSFPKVPELPKYPERILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVTEKERERKLIDGGLDISGLENIKLVHREGNAVIRRHLESLPLETFDSMNHWRTL >KJB32851 pep chromosome:Graimondii2_0_v6:5:63854776:63860076:-1 gene:B456_005G265200 transcript:KJB32851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNGGSGESASTQSPRNPSSQKAERPPVLKKFKPIEPDDPRPTPHFPGPLFPAVRRVTSLPPSSNRRASFDTDADKPSSVGDVTASNLNNIDVVNSLSDRDWMYPSFLGPHAARNRVMTVKAASRQPIRGGGERLVDRVESKVVDEKQQSNTPVNKEEVKIVASQVSTTMTERSSVSSWSARRILRVKLKRYFIFSVIIFSCIYPLTYVIHLRNKVERLEVENINLRRWCSETDVGNYSNEVLQPEDDSSYKIFGNADSKTVALYTVMFTLMMPFVLYKCLDYLPQIKILSKRTKPNKEEVPLKKRIAYMVDVCFSVYPYAKLLALLFATIFLIGFGGLALYAVTDGSLTEALWLSWTFVADSGNHSDSIGIGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHMLILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMEIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLATDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGDLIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENDEFYIKRWPELDGMQFEDVLISFPDAIPCGVKVAADNGKIILNPDDSYVLKEGDGVLVIAEDDDTYSPGPLPEVRRVSFPKVPELPKYPERILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVTEKERERKLIDGGLDISGLENIKLVHREGNAVIRRHLESLPLETFDSILILADESLEDSVVHSDSRSLATLLLIRDIQSKRLPYRDTKSTSLRLAGFTHSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLFDQEELCFYEIMIRGRQRQEIIIGYRLANSERAIINPPKKSEQRKWSLDDVFVVISSGS >KJB31793 pep chromosome:Graimondii2_0_v6:5:58897645:58901225:-1 gene:B456_005G208500 transcript:KJB31793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCCDLEADINGEETFLLDKKIICSFSGRLSKLFGKSTGANRNKVIFNDFPGGAENFELISRFCYNKGEIDINPSNISLLYSAAEFMEMSKSVSGNCNLLEKTKKCIEELGYWTWPDLLVALKHCQEMQQVATSSGILEKCLDSIVGRLAITGEVSPCASTSSPDSSGLRLSCDTRSTESLKNSFSRANWWFEDLSVLSPNLVEMLVKSMVSRKYNQVIISRFLLYYQKSKFFTASSDEKRQVLEIVIDGLYTLDPSCISCKSLFGVLRVVLNLNISKSSRNKLECMIGSQMDQATLDNLLIPSPYGTSCLYDVNLVLRFLKAFLREGGWRLSPTRMKKVASLMDLYIAEVAPDPFLRFSKFLALVVALPDSARDCWDELYHAIHVYLEGCQKKKN >KJB31791 pep chromosome:Graimondii2_0_v6:5:58897589:58901225:-1 gene:B456_005G208500 transcript:KJB31791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCCDLEADINGEETFLLDKKIICSFSGRLSKLFGKSTGANRNKVIFNDFPGGAENFELISRFCYNKGEIDINPSNISLLYSAAEFMEMSKSVSGNCNLLEKTKKCIEELGYWTWPDLLVALKHCQEMQQVATSSGILEKCLDSIVGRLAITGEVSPCASTSSPDSSGLRLSCDTRSTESLKNSFSRANWWFEDLSVLSPNLVEMLVKSMVSRKYNQVIISRFLLYYQKSKFFTASSDEKRQVLEIVIDGLYTLDPSCISCKSLFGVLRVVLNLNISKSSRNKLECMIGSQMDQATLDNLLIPSPYGTSCLYDVNLVLRFLKAFLREGGWRLSPTRMKKVASLMDLYIAEVAPDPFLRFSKFLALVVALPDSARDCWDELYHAIHVYLEVHAGLSEEEKLKICCALNYEKLSSDACVHLSQNAKFPSKSSVEALFSQQLKLKNLLQGTKNSKSDMDSPCKLNEARAKAKKGEGSEQIVLYSGRLDISGDNENLRAHLQGMQWRVIELEKLCKKMQAQMAKLMKSKTPTTHSTARSLPRLCS >KJB31794 pep chromosome:Graimondii2_0_v6:5:58897645:58901225:-1 gene:B456_005G208500 transcript:KJB31794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSKSVSGNCNLLEKTKKCIEELGYWTWPDLLVALKHCQEMQQVATSSGILEKCLDSIVGRLAITGEVSPCASTSSPDSSGLRLSCDTRSTESLKNSFSRANWWFEDLSVLSPNLVEMLVKSMVSRKYNQVIISRFLLYYQKSKFFTASSDEKRQVLEIVIDGLYTLDPSCISCKSLFGVLRVVLNLNISKSSRNKLECMIGSQMDQATLDNLLIPSPYGTSCLYDVNLVLRFLKAFLREGGWRLSPTRMKKVASLMDLYIAEVAPDPFLRFSKFLALVVALPDSARDCWDELYHAIHVYLEVHAGLSEEEKLKICCALNYEKLSSDACVHLSQNAKFPSKSSVEALFSQQLKLKNLLQGTKNSKSDMDSPCKLNEARAKAKKGEGSEQIVLYSGRLDISGDNENLRAHLQGMQWRVIELEKLCKKMQAQMAKLMKSKTPTTHSTARSLPRLCS >KJB31792 pep chromosome:Graimondii2_0_v6:5:58897660:58899822:-1 gene:B456_005G208500 transcript:KJB31792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSKSVSGNCNLLEKTKKCIEELGYWTWPDLLVALKHCQEMQQVATSSGILEKCLDSIVGRLAITGEVSPCASTSSPDSSGLRLSCDTRSTESLKNSFSRANWWFEDLSVLSPNLVEMLVKSMVSRKYNQVIISRFLLYYQKSKFFTASSDEKRQVLEIVIDGLYTLDPSCISCKSLFGVLRVVLNLNISKSSRNKLECMIGSQMDQATLDNLLIPSPYGTSCLYDVNLVLRFLKAFLREGGWRLSPTRMKKVASLMDLYIAEVAPDPFLRFSKFLALVVALPDSARDCWDELYHAIHVYLEVHAGLSEEEKLKICCALNYEKLSSDACVHLSQNAKFPSKSSVEALFSQQLKLKNLLQGTKNSKSDMDSPCKLNEARAKAKKGEGSEQIVLYSGRLDISGDNENLRAHLQGMQWRVIELEKLCKKMQAQMAKLMKSKTPTTHSTARSLPRLCS >KJB29502 pep chromosome:Graimondii2_0_v6:5:17861271:17865699:-1 gene:B456_005G103800 transcript:KJB29502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFKRMFAGAGGALGHPPPDSPTLDSSEQVYIPLSPSSKCSSTSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKLVIDAFRLINRQTMMLGQEPRQTRSNLGHLNKPSIQEMLNLAIKYNKAVQEEDELPPEKWAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >KJB29504 pep chromosome:Graimondii2_0_v6:5:17861271:17865693:-1 gene:B456_005G103800 transcript:KJB29504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHGRAGVPMEVMGLMLGEFVDEYTVRVVEVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKLVIDAFRLINRQTMMLGQEPRQTRSNLGHLNKPSIQEMLNLAIKYNKAVQEEDELPPEKWAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >KJB29503 pep chromosome:Graimondii2_0_v6:5:17862209:17865371:-1 gene:B456_005G103800 transcript:KJB29503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHGRAGVPMEVMGLMLGEFVDEYTVRVVEVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKLVIDAFRLINRQTMMLGQEPRQTRSNLGHLNKPSIQVSAKSALWLLSFIK >KJB29850 pep chromosome:Graimondii2_0_v6:5:25609116:25617532:1 gene:B456_005G121200 transcript:KJB29850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWRGYILALSFCCCCLLLLVAPAPAPITHPSEVSALLAVKKQLVDPKNNVRNWDKGDPCTSNWTGILCFHNLGKDGYLHVQELQLLNMNLSGTLAPELGQLSHLKILDFMWNELTGSIPKEIGHISTLRLLLLNGNKLYGSLPDELGYLSNLSRLQLDQNNISGQIPKTFANMSSVRHLHLNNNSLAGQIPPELSQIFTLLHLLLDNNNLSGYLPPEFSNLPNLRILQLDNNDFSGSVIPASYRNFSRLVKLSLRNCSLQGAVPDLSRIPSLSYLDLSRNHLAGPIPENKLSENMTTIDLSDNQLKGSIPGSFSDLHSLQKLSLKNNFLTGPVPTNIWQNMSFSTSARLKLDLRNNSFSSIQGHLNPPVNVTLGLEGNPVCKNANLLNVNLFCGSEPREDKMLTNLNYSTANCPIQACPTDNFYEYVPASPLPCFCAAPLRIGYRLKSPSFSYFPPYIQPLEVYLTSSLKLSRYQLSIDTYSWEKGPRLRMYLKLFPSLNVNHSSTFNVSEVQRIRHIYTSWTFPGSALFGPYELLNFTLLGPYADMKFENENQGISKGILVAVAVGGVACAVAMSVIITILITRRYAGNHHAMSRKRLSTKVSMRLGGVKYFTFKEMALATDNFNSSSQVGQGGYGRVYKGTLPDKTVVAIKRAEEGSLQGQKEFFTEIKLLSRLHHRNLVSLVGYCDEEGEQLNLKEA >KJB29851 pep chromosome:Graimondii2_0_v6:5:25609116:25617532:1 gene:B456_005G121200 transcript:KJB29851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWRGYILALSFCCCCLLLLVAPAPAPITHPSEVSALLAVKKQLVDPKNNVRNWDKGDPCTSNWTGILCFHNLGKDGYLHVQELQLLNMNLSGTLAPELGQLSHLKILDFMWNELTGSIPKEIGHISTLRLLLLNGNKLYGSLPDELGYLSNLSRLQLDQNNISGQIPKTFANMSSVRHLHLNNNSLAGQIPPELSQIFTLLHLLLDNNNLSGYLPPEFSNLPNLRILQLDNNDFSGSVIPASYRNFSRLVKLSLRNCSLQGAVPDLSRIPSLSYLDLSRNHLAGPIPENKLSENMTTIDLSDNQLKGSIPGSFSDLHSLQKLSLKNNFLTGPVPTNIWQNMSFSTSARLKLDLRNNSFSSIQGHLNPPVNVTLGLEGNPVCKNANLLNVNLFCGSEPREDKMLTNLNYSTANCPIQACPTDNFYEYVPASPLPCFCAAPLRIGYRLKSPSFSYFPPYIQPLEVYLTSSLKLSRYQLSIDTYSWEKGPRLRMYLKLFPSLNVNHSSTFNVSEVQRIRHIYTSWTFPGSALFGPYELLNFTLLGPYADMKFENENQGISKGILVAVAVGGVACAVAMSVIITILITRRYAGNHHAMSRKRLSTKVSMRLGGVKYFTFKEMALATDNFNSSSQVGQGGYGRVYKGTLPDKTVVAIKRAEEGSLQGQKEFFTEIKLLSRLHHRNLVSLVGYCDEEGEQMLVYEFLPNGTLRDWLSAKSKRSLNFGMRLRIALGSAKGILYLHTEAHPPVFHRDIKASNILLDSKLNAKVADFGLSRLAPALEDEGAVPDHVSTVVRGTPGYLDPEYFLTHKLTDRSDVYSLGVVFLEMLTGMRPISHGRNIVREVNTAHQSGLMMSIIDGRMGCYPSECIERFAGLALSCCHNKPEKRPSMLDVVKQLEYILTMMPETESVSSDLVSSYPNSGKLLSSASSSASYVSTLNVSGSDLISGVIPSIKPR >KJB27500 pep chromosome:Graimondii2_0_v6:5:62951581:62952293:-1 gene:B456_005G250800 transcript:KJB27500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPMRMVTAKRILRASSTADVPKGYFAVYVGECQKRFVIPVSYLNNPLFQELLSLSEEEFGYDHPTGGLRILCGEDVFVDLASRLNGIN >KJB32436 pep chromosome:Graimondii2_0_v6:5:62188047:62191574:-1 gene:B456_005G240800 transcript:KJB32436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTNGSDLSSKHQKQQPPPPPQPQQPQWMPNQWMGAMQYPAAAMAMMQQQQMMMMYPPHHYMAYNNPHFHYQQQYQQQQLHKQQQGSNSDEVKTIWVGDLLHWMDETYLHSFFSQSGEVSSIKIIRNKQTGQSEGYGFVEFSSRATAEKVLQSCNGSLMPNTEQPFHLNWASFGVNERRSDAGSDLSIFVGDLAADVTDTVLHETFSSKFQSVKGAKVVIDSNTGRSKGYGFVRFGDENERSTAMTEMNGVYCSSRPMRISVATPKKAFGYQQQYYSQGRQASNGAVEQGLHSHNDSNNATVNFCWRT >KJB32440 pep chromosome:Graimondii2_0_v6:5:62189553:62191574:-1 gene:B456_005G240800 transcript:KJB32440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTNGSDLSSKHQKQQPPPPPQPQQPQWMPNQWMGAMQYPAAAMAMMQQQQMMMMYPPHHYMAYNNPHFHYQQQYQQQQLHKQQQGSNSDEVKTIWVGDLLHWMDETYLHSFFSQSGEVSSIKIIRNKQTGQSEGYGFVEFSSRATAEKVLQSCNGSLMPNTEQPFHLNWASFGVNERRSDAGSDLSIFVGDLAADVTDTVLHETFSSKFQSVKGAKVVIDSNTGRSKGYGFVRFGDENERSTAMTEMNGVYCSSRPMRISVATPKKAFGYQQQYYSQGNLIFYPY >KJB32437 pep chromosome:Graimondii2_0_v6:5:62188047:62191574:-1 gene:B456_005G240800 transcript:KJB32437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTNGSDLSSKHQKQQPPPPPQPQQPQWMPNQWMGAMQYPAAAMAMMQQQQMMMMYPPHHYMAYNNPHFHYQQQYQQQQLHKQQQGSNSDEVKTIWVGDLLHWMDETYLHSFFSQSGEVSSIKIIRNKQTGQSEGYGFVEFSSRATAEKVLQSCNGSLMPNTEQPFHLNWASFGVNERRSDAGSDLSIFVGDLAADVTDTVLHETFSSKFQSVKGAKVVIDSNTGRSKGYGFVRFGDENERSTAMTEMNGVYCSSRPMRISVATPKKAFGYQQQYYSQGRQASNGAVEQGLHSHNDSNNATIFVGGLDSDVSDDDLRQPFSQFGEIISVKIPPGKGCGFVLFANRTLRKQSRA >KJB32439 pep chromosome:Graimondii2_0_v6:5:62189180:62191166:-1 gene:B456_005G240800 transcript:KJB32439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTNGSDLSSKHQKQQPPPPPQPQQPQWMPNQWMGAMQYPAAAMAMMQQQQMMMMYPPHHYMAYNNPHFHYQQQYQQQQLHKQQQGSNSDEVKTIWVGDLLHWMDETYLHSFFSQSGEVSSIKIIRNKQTGQSEGYGFVEFSSRATAEKVLQSCNGSLMPNTEQPFHLNWASFGVNERRSDAGSDLSIFVGDLAADVTDTVLHETFSSKFQSVKGAKVVIDSNTGRSKGYGFVRFGDENERSTAMTEMNGVYCSSRPMRISVATPKKAFGYQQQYYSQGRQASNGAVEQGLHSHNDSNNATVSPSPSIRSISPLYFTN >KJB32438 pep chromosome:Graimondii2_0_v6:5:62188687:62191166:-1 gene:B456_005G240800 transcript:KJB32438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTNGSDLSSKHQKQQPPPPPQPQQPQWMPNQWMGAMQYPAAAMAMMQQQQMMMMYPPHHYMAYNNPHFHYQQQYQQQQLHKQQQGSNSDEVKTIWVGDLLHWMDETYLHSFFSQSGEVSSIKIIRNKQTGQSEGYGFVEFSSRATAEKVLQSCNGSLMPNTEQPFHLNWASFGVNERRSDAGSDLSIFVGDLAADVTDTVLHETFSSKFQSVKGAKVVIDSNTGRSKGYGFVRFGDENERSTAMTEMNGVYCSSRPMRISVATPKKAFGYQQQYYSQGRQASNGAVEQGLHSHNDSNNATIFVGGLDSDVSDDDLRQPFSQFGEIISVKIPPGKGCGFVLFANRKDAEEAIQSLNGTTIGKQTVRLSWGRSIGNKQVNLCRNSYSS >KJB32435 pep chromosome:Graimondii2_0_v6:5:62188045:62191607:-1 gene:B456_005G240800 transcript:KJB32435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTNGSDLSSKHQKQQPPPPPQPQQPQWMPNQWMGAMQYPAAAMAMMQQQQMMMMYPPHHYMAYNNPHFHYQQQYQQQQLHKQQQGSNSDEVKTIWVGDLLHWMDETYLHSFFSQSGEVSSIKIIRNKQTGQSEGYGFVEFSSRATAEKVLQSCNGSLMPNTEQPFHLNWASFGVNERRSDAGSDLSIFVGDLAADVTDTVLHETFSSKFQSVKGAKVVIDSNTGRSKGYGFVRFGDENERSTAMTEMNGVYCSSRPMRISVATPKKAFGYQQQYYSQGRQASNGAVEQGLHSHNDSNNATIFVGGLDSDVSDDDLRQPFSQFGEIISVKIPPGKGCGFVLFANRKDAEEAIQSLNGTTIGKQTVRLSWGRSIGNKQRRADSGNQWNGGYYRRQGYGGYGYGYGYGYATPPSPGPSMYAAAAAVPSAS >KJB32029 pep chromosome:Graimondii2_0_v6:5:60219099:60220584:-1 gene:B456_005G218900 transcript:KJB32029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSSYYSNCETDVQNLNSEPTHQITKAVQADDHHEQQQQHLQYYCDPPFELPLTFLDPCIDLNNNFLHPENYYSAPLLPYDPLITPYDNFSSYPCPKRHKLIEDHCLMPGFFDGVALNSCPQSMNGVYSLEGTHTVGNCKKISDEKCVSVQSIAARERRRKITKKTQELGKLVPGGTKMNTAEMLQAAFKYVKYLQAQLGILQLMNSFPENKEGNRKDKESMQIVTSSKVQEKLYIEEKCLVPKDFVLSLTTLSRPPLSDELTRLLSSSP >KJB28250 pep chromosome:Graimondii2_0_v6:5:3492065:3492985:1 gene:B456_005G037000 transcript:KJB28250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKRVAVDARHHMLGRLASILDKELLNGQKVVVVRCEEICMWGGLVSQKMNLMRFLRERMNTDLAYHSRAYEGILAPYDKTKRMVIPDALKFCSSWFLSWNLEFRFLTCFCG >KJB30663 pep chromosome:Graimondii2_0_v6:5:43366088:43368533:1 gene:B456_005G154100 transcript:KJB30663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWKRAVGAVKDKNSIVVVYISRRNSFRNPELEAAVIKATSHDELHIDKRSAQVVFSWIRASPVSLGPLIWALSRRMEKTRSWVVAIKGLMLMHGIFHCKAPVVQMMQRLPFDLSTFSDGHSKPSKTWGFNSIIREYFAFLDQRAAIFLEQDNQNNNNKVEERLLLVQQLLKLEKWQSLLDLLLQIRPRAENMKVRLIMEAMDCVIIEIYDVYGIICNEIAKILLKIYSVSKHEAAMALRVLQKAMKQSEELSLFFEFCKEYGVLNATELPTVTRIPEEDVEELERIMNGVSVPEKTINYKEGFEEMNQMEMTAVVEEYTRGTLRTIITDKWVVFEENDEQQYGFSNETKIVAEDDADGSTEKKSQPQVGSETGSQPTAINN >KJB29772 pep chromosome:Graimondii2_0_v6:5:24091129:24095944:1 gene:B456_005G118000 transcript:KJB29772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEVTRKENQQPTPPTGGHNGNGMALLQSMATTHRLRLNPNTEHKPESYEGLHLEFSPLLFSSLERYLPPPMLSHSRDSKLHYMRDIILRYSPEGERTRVQKQREYRQKIISHYQPLHRELYAMHASNFFAPSFLKAINENKEESFRSIMAEPTQGVFTFEMLQPHFCELLLSEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGLETMLGKLMEDFIRPISKVFFSDVGGSTLDSHHGFVVEYGINRDVELGFHVDDSEVTLNVCLGKQFSGGDLFFRGVRCDKHVNTETQSDEILDYSHVPGRAVLHRGRHRHGARATTSGHRVNLLLWCRSSVFRELRKYQKDFSSWCGECQREKKERQRVSIAATKQREGKPAT >KJB29767 pep chromosome:Graimondii2_0_v6:5:24091129:24095944:1 gene:B456_005G118000 transcript:KJB29767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEVTRKENQQPTPPTGGHNGNGMALLQSMATTHRLRLNPNTEHKPESYEGLHLEFSPLLFSSLERYLPPPMLSHSRDSKLHYMRDIILRYSPEGERTRVQKQREYRQKIISHYQPLHRELYAMHASNFFAPSFLKAINENKEESFRSIMAEPTQGVFTFEMLQPHFCELLLSEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGLETMLGKLMEDFIRPISKVFFSDVGGSTLDSHHGFVVEYGINRDVELGFHVDDSEVTLNVCLGKQFSGGDLFFRGVRCDKHVNTETQSDEILDYSHVPGRAVLHRGRHRHGARATTSGHRVNLLLWCRSSVFRELRKYQKDFSSWCGECQREKKERQRVSIAATKQELLKREGKPAT >KJB29768 pep chromosome:Graimondii2_0_v6:5:24091129:24095944:1 gene:B456_005G118000 transcript:KJB29768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEVTRKENQQPTPPTGGHNGNGMALLQSMATTHRLRLNPNTEHKPESYEGLHLEFSPLLFSSLERYLPPPMLSHSRDSKLHYMRDIILRYSPEGERTRVQKQREYRQKIISHYQPLHRELYAMHASNFFAPSFLKAINENKEESFRSIMAEPTQGVFTFEMLQPHFCELLLSEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGLETMLGKLMEDFIRPISKVFFSDVGGSTLDSHHGFVVEYGINRDVELGFHVDDSEVTLNVCLGKQFSGGDLFFRGVRCDKHVNTETQSDEILDYSHVPGRAVLHRGRHRHGARATTSGHRVNLLLWCRSSVFRELRKYQKDFSSWCGECQREKKERQRVSIAATKQV >KJB29770 pep chromosome:Graimondii2_0_v6:5:24091638:24095357:1 gene:B456_005G118000 transcript:KJB29770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEVTRKENQQPTPPTGGHNGNGMALLQSMATTHRLRLNPNTEHKPESYEGLHLEFSPLLFSSLERYLPPPMLSHSRDSKLHYMRDIILRYSPEGERTRVQKQREYRQKIISHYQPLHRELYAMHASNFFAPSFLKAINENKEESFRSIMAEPTQGVFTFEMLQPHFCELLLSEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGLETMLGKLMEDFIRPISKVFFSDVGGSTLDSHHGFVVEYGINRDVELGFHVDDSEVTLNVCLGKQFSGGDLFFRGVRCDKHVNTETQSDEILDYSHVPGRAVLHRGRHRHGARATTSGHRVNLLLWCRRFRSSVS >KJB29769 pep chromosome:Graimondii2_0_v6:5:24091129:24095944:1 gene:B456_005G118000 transcript:KJB29769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEVTRKENQQPTPPTGGHNGNGMALLQSMATTHRLRLNPNTEHKPESYEGLHLEFSPLLFSSLERYLPPPMLSHSRDSKLHYMRDIILRYSPEGERTRVQKQREYRQKIISHYQPLHRELYAMHASNFFAPSFLKAINENKEESFRSIMAEPTQGVFTFEMLQPHFCELLLSEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGLETMLGKLMEDFIRPISKVFFSDVGGSTLDSHHGFVVEYGINRDVELGNFGLFSCSRTGSSSSWAP >KJB29766 pep chromosome:Graimondii2_0_v6:5:24091129:24094234:1 gene:B456_005G118000 transcript:KJB29766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEVTRKENQQPTPPTGGHNGNGMALLQSMATTHRLRLNPNTEHKPESYEGLHLEFSPLLFSSLERYLPPPMLSHSRDSKLHYMRDIILRYSPEGERTRVQKQREYRQKIISHYQPLHRELYAMHASNFFAPSFLKAINENKEESFRSIMAEPTQGVFTFEMLQPHFCELLLSEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGLETMLGKLMEDFIRPISKVFFSDVGGSTLDSHHGFVVEYGINRDVELALCL >KJB29771 pep chromosome:Graimondii2_0_v6:5:24092426:24095553:1 gene:B456_005G118000 transcript:KJB29771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASNFFAPSFLKAINENKEESFRSIMAEPTQGVFTFEMLQPHFCELLLSEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGLETMLGKLMEDFIRPISKVFFSDVGGSTLDSHHGFVVEYGINRDVELGFHVDDSEVTLNVCLGKQFSGGDLFFRGVRCDKHVNTETQSDEILDYSHVPGRAVLHRGRHRHGARATTSGHRVNLLLWCRSSVFRELRKYQKDFSSWCGECQREKKERQRVSIAATKQELLKREGKPAT >KJB32926 pep chromosome:Graimondii2_0_v6:5:64020019:64025371:-1 gene:B456_005G268300 transcript:KJB32926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPMGIHSNAVAAPPQLLLERLKDYGQEDAFALWDELSPEERHLLLNDIQSLDLSRIDRIIRCSLRSQGLPVAAIEPVPESWVSSVDERTMDHKERWWKMGLKAVSEGKLAVLLLSGGQGTRLGSSDPKGCFNVGLPSGKSLFQLQAERILCVQRLAAQAMNEGSVTIHWYIMTSPFTDDATGKFFESHKYFGLEADQVTFFQQGTIPCISKDGRYVMETPFKFAKSPDGNGGVYTALKSSRLLEDTATRGNKYVDCFGVDNALVRVADPTFLGYFIDKGVAAAAKVVRKAYPQEKVGVSVRRGKGGPLTVVEYTELDQSLASAVNQQTGRLRFC >KJB32923 pep chromosome:Graimondii2_0_v6:5:64020457:64025040:-1 gene:B456_005G268300 transcript:KJB32923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPMGIHSNAVAAPPQLLLERLKDYGQEDAFALWDELSPEERHLLLNDIQSLDLSRIDRIIRCSLRSQGLPVAAIEPVPESWVSSVDERTMDHKERWWKMGLKAVSEGKLAVLLLSGGQGTRLGSSDPKGCFNVGLPSGKSLFQLQAERILCVQRLAAQAMNEGSVTIHWYIMTSPFTDDATGKFFESHKYFGLEADQVTFFQQGTIPCISKDGRYVMETPFKWQSRECLFSRQFAKSPDGNGGVYTALKSSRLLEDTATRGNKYVDCFGVDNALVRVADPTFLGYFIDKGVAAAAKVVRKAYPQEKVGVSVRRGKGGPLTVVEYTELDQSLASAVNQQTGRLRFC >KJB32921 pep chromosome:Graimondii2_0_v6:5:64020606:64025040:-1 gene:B456_005G268300 transcript:KJB32921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPMGIHSNAVAAPPQLLLERLKDYGQEDAFALWDELSPEERHLLLNDIQSLDLSRIDRIIRCSLRSQGLPVAAIEPVPESWVSSVDERTMDHKERWWKMGLKAVSEGKLAVLLLSGGQGTRLGSSDPKGCFNVGLPSGKSLFQLQAERILCVQRLAAQAMNEGSVTIHWYIMTSPFTDDATGKFFESHKYFGLEADQVTFFQQGTIPCISKDGRYVMETPFKFAKSPDGNGGVYTALKSSRLLEDTATRGNKYVDCFGVDNALVRVADPTFLGYFIDKGVAAAAKVVRK >KJB32917 pep chromosome:Graimondii2_0_v6:5:64018646:64025040:-1 gene:B456_005G268300 transcript:KJB32917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPMGIHSNAVAAPPQLLLERLKDYGQEDAFALWDELSPEERHLLLNDIQSLDLSRIDRIIRCSLRSQGLPVAAIEPVPESWVSSVDERTMDHKERWWKMGLKAVSEGKLAVLLLSGGQGTRLGSSDPKGCFNVGLPSGKSLFQLQAERILCVQRLAAQAMNEGSVTIHWYIMTSPFTDDATGKFFESHKYFGLEADQVTFFQQGTIPCISKDGRYVMETPFKFAKSPDGNGGVYTALKSSRLLEDTATRGNKYVDCFGVDNALVRVADPTFLGYFIDKGVAAAAKVVRKAYPQEKVGVSVRRGKGGPLTVVEYTELDQSLASAVNQQTGRLRFCVLTHVYFGFSKSNGLEKDSIYHLAEKKIPSIHGYTMGLKLEQFIFDAFPYAPSTALFEVLREEEFAPVKNANGSNYDTPDSARLLVLRLHTRWVVAAGGFLTHSVPLYATVSPLCSYAGENLESICRGRTFRAPCEITF >KJB32922 pep chromosome:Graimondii2_0_v6:5:64020606:64025040:-1 gene:B456_005G268300 transcript:KJB32922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPMGIHSNAVAAPPQLLLERLKDYGQEDAFALWDELSPEERHLLLNDIQSLDLSRIDRIIRCSLRSQGLPVAAIEPVPESWVSSVDERTMDHKERWWKMGLKAVSEGKLAVLLLSGGQGTRLGSSDPKGCFNVGLPSGKSLFQLQAERILCVQRLAAQAMNEGSVTIHWYIMTSPFTDDATGKFFESHKYFGLEADQVTFFQQGTIPCISKDGRYVMETPFKVRVADPTFLGYFIDKGVAAAAKVVRK >KJB32925 pep chromosome:Graimondii2_0_v6:5:64020606:64025040:-1 gene:B456_005G268300 transcript:KJB32925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPMGIHSNAVAAPPQLLLERLKDYGQEDAFALWDELSPEERHLLLNDIQSLDLSRIDRIIRCSLRSQGLPVAAIEPVPESWVSSVDERTMDHKERWWKMGLKAVSEGKLAVLLLSGGQGTRLGSSDPKGCFNVGLPSGKSLFQLQAERILCVQRLAAQAMNEGSVTIHWYIMTSPFTDDATGKFFESHKYFGLEADQVTFFQQGTIPCISKDGRYVMETPFKWQSRECLFSRQFAKSPDGNGGVYTALKSSRLLEDTATRGNKYVDCFGVDNALVRVADPTFLGYFIDKGVAAAAKVVRK >KJB32918 pep chromosome:Graimondii2_0_v6:5:64020019:64025371:-1 gene:B456_005G268300 transcript:KJB32918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPMGIHSNAVAAPPQLLLERLKDYGQEDAFALWDELSPEERHLLLNDIQSLDLSRIDRIIRCSLRSQGLPVAAIEPVPESWVSSVDERTMDHKERWWKMGLKAVSEGKLAVLLLSGGQGTRLGSSDPKGCFNVGLPSGKSLFQLQAERILCVQRLAAQAMNEGSVTIHWYIMTSPFTDDATGKFFESHKYFGLEADQVTFFQQGTIPCISKDGRYVMETPFKWQSRECLFSRQFAKSPDGNGGVYTALKSSRLLEDTATRGNKYVDCFGVDNALVRVADPTFLGYFIDKGVAAAAKVVRKAYPQEKVGVSVRRGKGGPLTVVEYTELDQSLASAVNQQTGRLRFC >KJB32924 pep chromosome:Graimondii2_0_v6:5:64019105:64025371:-1 gene:B456_005G268300 transcript:KJB32924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPMGIHSNAVAAPPQLLLERLKDYGQEDAFALWDELSPEERHLLLNDIQSLDLSRIDRIIRCSLRSQGLPVAAIEPVPESWVSSVDERTMDHKERWWKMGLKAVSEGKLAVLLLSGGQGTRLGSSDPKGCFNVGLPSGKSLFQLQAERILCVQRLAAQAMNEGSVTIHWYIMTSPFTDDATGKFFESHKYFGLEADQVTFFQQGTIPCISKDGRYVMETPFKVRVADPTFLGYFIDKGVAAAAKVVRKAYPQEKVGVSVRRGKGGPLTVVEYTELDQSLASAVNQQTGRLRFC >KJB32920 pep chromosome:Graimondii2_0_v6:5:64020019:64025371:-1 gene:B456_005G268300 transcript:KJB32920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPMGIHSNAVAAPPQLLLERLKDYGQEDAFALWDELSPEERHLLLNDIQSLDLSRIDRIIRCSLRSQGLPVAAIEPVPESWVSSVDERTMDHKERWWKMGLKAVSEGKLAVLLLSGGQGTRLGSSDPKGCFNVGLPSGKSLFQLQAERILCVQRLAAQAMNEGSVTIHWYIMTSPFTDDATGKFFESHKYFGLEADQVTFFQQGTIPCISKDGRYVMETPFKVRVADPTFLGYFIDKGVAAAAKVVRKAYPQEKVGVSVRRGKGGPLTVVEYTELDQSLASAVNQQTGRLRFC >KJB32919 pep chromosome:Graimondii2_0_v6:5:64020457:64025040:-1 gene:B456_005G268300 transcript:KJB32919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPMGIHSNAVAAPPQLLLERLKDYGQEDAFALWDELSPEERHLLLNDIQSLDLSRIDRIIRCSLRSQGLPVAAIEPVPESWVSSVDERTMDHKERWWKMGLKAVSEGKLAVLLLSGGQGTRLGSSDPKGCFNVGLPSGKSLFQLQAERILCVQRLAAQAMNEGSVTIHWYIMTSPFTDDATGKFFESHKYFGLEADQVTFFQQGTIPCISKDGRYVMETPFKFAKSPDGNGGVYTALKSSRLLEDTATRGNKYVDCFGVDNALVRVADPTFLGYFIDKGVAAAAKVVRKAYPQEKVGVSVRRGKGGPLTVVEYTELDQSLASAVNQQTGRLRFC >KJB32542 pep chromosome:Graimondii2_0_v6:5:62599280:62600049:1 gene:B456_005G245700 transcript:KJB32542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPILSEIFFSGFMINSTFIRRTQLVQSFSVVFLYCGTSSGSSTMVTNSGSDEDLQALMNERKRKRMISNRESARRSRMRKQKHLDDLMAQVSQLQNENHQILTTVSITTQRYLNVEAENSVLRAQANELSHRLQSLNDIIDSLNGCNNNIGGADGGGDCGGFNVATIGFNEMVDHSFINPFNLAYLNQPIMASADNNMFQY >KJB31657 pep chromosome:Graimondii2_0_v6:5:57586393:57591252:-1 gene:B456_005G200400 transcript:KJB31657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRGFDMITREYLDFLWNSRRRRLGFVFRVVFGLWFGFVMLKPVAGLRPIRERARSWGDEWLFVRKDESELGPFHAWNITGTYRGSWKFLESLNSSSKFPDFRKSAGDSVIELVSTPTKITGVHYVQGVIIFHDVFDNKHNVGGAQIRVEGVYIWPFRQLRMVANSGKEGELSQEEDYILSNPYHLLGVFSSQVFQESPRGKIWRRKNSPIYEMEKHCNIEIAAQISRISSSQNDGDHDRYHIEGLMESPTVDDDGDCFSPLLLNATSVNIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILTIGQQAIMDAYLCLLHLTAGILVGEY >KJB31656 pep chromosome:Graimondii2_0_v6:5:57583246:57591412:-1 gene:B456_005G200400 transcript:KJB31656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGEYLDFLWNSRRRRLGFVFRVVFGLWFGFVMLKPVAGLRPIRERARSWGDEWLFVRKDESELGPFHAWNITGTYRGSWKFLESLNSSSKFPDFRKSAGDSVIELVSTPTKITGVHYVQGVIIFHDVFDNKHNVGGAQIRVEGVYIWPFRQLRMVANSGKEGELSQEEDYILSNPYHLLGVFSSQVFQESPRGKIWRRKNSPIYEMEKHCNIEIAAQISRISSSQNDGDHDRYHIEGLMESPTVDDDGDCFSPLLLNATSVNIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILTIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNNGEGWETMRRELSVLYSRFYGILLGGILVMYEFHNFLQPILLLLYSFWIPQIITNVIRDSRKPLHPHYILGMTITRLAIPLYIFGCPHNFMRIEPDKSWCICLVVFIGLQASILLLQHYLGSRWFIPRQILPEKYSYYRRFDPEANHAIDCVICMTSIDLTQRSRDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRSLPTA >KJB31654 pep chromosome:Graimondii2_0_v6:5:57583246:57591252:-1 gene:B456_005G200400 transcript:KJB31654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRGFDMITREYLDFLWNSRRRRLGFVFRVVFGLWFGFVMLKPVAGLRPIRERARSWGDEWLFVRKDESELGPFHAWNITGTYRGSWKFLESLNSSSKFPDFRKSAGDSVIELVSTPTKITGVHYVQGVIIFHDVFDNKHNVGGAQIRVEGVYIWPFRQLRMVANSGKEGELSQEEDYILSNPYHLLGVFSSQVFQESPRGKIWRRKNSPIYEMEKHCNIEIAAQISRISSSQNDGDHDRYHIEGLMESPTVDDDGDCFSPLLLNATSVNIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILTIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNNGEGWETMRRELSVLYSRFYGILLGGILVMYEFHNFLQPILLLLYSFWIPQIITNVIRDSRKPLHPHYILASILLLQHYLGSRWFIPRQILPEKYSYYRRFDPEANHAIDCVICMTSIDLTQRSRDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRSLPTA >KJB31652 pep chromosome:Graimondii2_0_v6:5:57583113:57591500:-1 gene:B456_005G200400 transcript:KJB31652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRGFDMITREYLDFLWNSRRRRLGFVFRVVFGLWFGFVMLKPVAGLRPIRERARSWGDEWLFVRKDESELGPFHAWNITGTYRGSWKFLESLNSSSKFPDFRKSAGDSVIELVSTPTKITGVHYVQGVIIFHDVFDNKHNVGGAQIRVEGVYIWPFRQLRMVANSGKEGELSQEEDYILSNPYHLLGVFSSQVFQESPRGKIWRRKNSPIYEMEKHCNIEIAAQISRISSSQNDGDHDRYHIEGLMESPTVDDDGDCFSPLLLNATSVNIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILTIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNNGEGWETMRRELSVLYSRFYGILLGGILVMYEFHNFLQPILLLLYSFWIPQIITNVIRDSRKPLHPHYILGMTITRLAIPLYIFGCPHNFMRIEPDKSWCICLVVFIGLQASILLLQHYLGSRWFIPRQILPEKYSYYRRFDPEANHAIDCVICMTSIDLTQRSRDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRSLPTA >KJB31653 pep chromosome:Graimondii2_0_v6:5:57583246:57591252:-1 gene:B456_005G200400 transcript:KJB31653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRGFDMITREYLDFLWNSRRRRLGFVFRVVFGLWFGFVMLKPVAGLRPIRERARSWGDEWLFVRKDESELGPFHAWNITGTYRGSWKFLESLNSSSKFPDFRKSAGDSVIELVSTPTKITGVHYVQGVIIFHDVFDNKHNVGGAQIRVEGVYIWPFRQLRMVANSGKEGELSQEEDYILSNPYHLLGVFSSQVFQESPRGKIWRRKNSPIYEMEKHCNIEIAAQISRISSSQNDGDHDRYHIEGLMESPTVDDDGDCFSPLLLNATSVNIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILTIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNNGEGWETMRRELSVLYSRFSSILLLQHYLGSRWFIPRQILPEKYSYYRRFDPEANHAIDCVICMTSIDLTQRSRDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRSLPTA >KJB31655 pep chromosome:Graimondii2_0_v6:5:57583742:57590981:-1 gene:B456_005G200400 transcript:KJB31655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRGFDMITREYLDFLWNSRRRRLGFVFRVVFGLWFGFVMLKPVAGLRPIRERARSWGDEWLFVRKDESELGPFHAWNITGTYRGSWKFLESLNSSSKFPDFRKSAGDSVIELVSTPTKITGVHYVQGVIIFHDVFDNKHNVGGAQIRVEGVYIWPFRQLRMVANSGKEGELSQEEDYILSNPYHLLGVFSSQVFQESPRGKIWRRKNSPIYEMEKHCNIEIAAQISRISSSQNDGDHDRYHIEGLMESPTVDDDGDCFSPLLLNATSVNIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILTIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNNGEGWETMRRELSVLYSRFCMTITRLAIPLYIFGCPHNFMRIEPDKSWCICLVVFIGLQASILLLQHYLGSRWFIPRQILPEKYSYYRRFDPEANHAIDCVICMTSIDLTQRSRDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRSLPTA >KJB28310 pep chromosome:Graimondii2_0_v6:5:3954718:3961478:-1 gene:B456_005G041500 transcript:KJB28310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRCEEQGLQERKGQKRKLEEEIQEDRDATPLPTGDARRALLAEVTAQVKVLDSAFSWHESDRAAAKRATHVLAELAKNEEVVNVIVEGGAIPALVKHLQAPLSDEGDLSLKPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALSHLVNLLRRHKDSSTSRAVISVIRRAADAITNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLISMLRSDDAAIHYEAVGVIGNLVHSSPNIKKEVLAAGALQPVIGLLTSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLHSPDIQLKEMSAFALGRLAQDTHNQAGIAHMGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIRVGGVQRLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKPVQRRVAFALAHLCSPDDQRTIFIDNNGLELLLGLLGSTNPKQQLDGAVALYKLANKAMTLSPMDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVDVSLDIAQDLLGAADQYLLEGLKRLCEYTIAQDVTLDNVSSMYELSEAFHAISLRHTCILFILEHFSQLSDRPGHSHLIQRIIPEIRNYFAKALTNPNPHNQRP >KJB28313 pep chromosome:Graimondii2_0_v6:5:3955745:3961478:-1 gene:B456_005G041500 transcript:KJB28313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRCEEQGLQERKGQKRKLEEEIQEDRDATPLPTGDARRALLAEVTAQVKVLDSAFSWHESDRAAAKRATHVLAELAKNEEVVNVIVEGGAIPALVKHLQAPLSDEGDLSLKPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALSHLVNLLRRHKDSSTSRAVISVIRRAADAITNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLISMLRSDDAAIHYEAVGVIGNLVHSSPNIKKEVLAAGALQPVIGLLTSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLHSPDIQLKEMSAFALGRLAQDTHNQAGIAHMGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIRVGGVQRLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKPVQRRVAFALAHLCSPDDQRTIFIDNNGLELLLGLLGSTNPKQQLDGAVALYKLANKAMTLSPMDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVDVSLDIAQDLLGAADQYLLEGLKRLCEYTIAQVS >KJB28311 pep chromosome:Graimondii2_0_v6:5:3954718:3961478:-1 gene:B456_005G041500 transcript:KJB28311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRCEEQGLQERKGQKRKLEEEIQEDRDATPLPTGDARRALLAEVTAQVKVLDSAFSWHESDRAAAKRATHVLAELAKNEEVVNVIVEGGAIPALVKHLQAPLSDEGDLSLKPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALSHLVNLLRRHKDSSTSRAVISVIRRAADAITNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLISMLRSDDAAIHYEAVGVIGNLVHSSPNIKKEVLAAGALQPVIGLLTSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLHSPDIQLKEMSAFALGRLAQDTHNQAGIAHMGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIRVGGVQRLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKPVQRRVAFALAHLCSPDDQRTIFIDNNGLELLLGLLGSTNPKQQLDGAVALYKLANKAMTLSPMDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVDVSLDIAQDLLGAADQYLLEGLKRLCEYTIAQHVRTLRSFSCYIIEAHLHFVYLGAFLSIERQTRALTSDPAYNSRDPQLLCESAYES >KJB28312 pep chromosome:Graimondii2_0_v6:5:3954718:3961531:-1 gene:B456_005G041500 transcript:KJB28312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRCEEQGLQERKGQKRKLEEEIQEDRDATPLPTGDARRALLAEVTAQVKVLDSAFSWHESDRAAAKRATHVLAELAKNEEVVNVIVEGGAIPALVKHLQAPLSDEGDLSLKPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALSHLVNLLRRHKDSSTSRAVISVIRRAADAITNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLISMLRSDDAAIHYEAVGVIGNLVHSSPNIKKEVLAAGALQPVIGLLTSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLHSPDIQLKEMSAFALGRLAQDTHNQAGIAHMGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIRVGGVQRLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKPVQRRVAFALAHLCSPDDQRTIFIDNNGLELLLGLLGSTNPKQQLDGAVALYKLANKAMTLSPMDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVDVSLDIAQDLLGAADQYLLEGLKRLCEYTIAQDVTLDNVSSMYELSEAFHAISLRHTCILFILEHFSQLSDRPGHSHLIQRIIPEIRNYFAKALTNPNPHNQRP >KJB31335 pep chromosome:Graimondii2_0_v6:5:54630230:54634088:-1 gene:B456_005G187100 transcript:KJB31335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAGKGSGTRCLGPARKRCGRCGAVSYCSASHQISHWKVHREECERLEQQMRNLDLLNDFPFTFSQESTVQISEKQESRCSFLRKRGIHQVGLWVCECRCGASVTSFGNSRLESDTWNLSNILCPCRGPSSPIAKALCSWKDYYEWRCIPLQSPVSLLLHWPLTVYHSIQLAGLGSLTSEISKLCIHYLGPEKELLQLAVFGELRALFPGVFVQIELIGPAVPHHRDGDKIDLHSYAHCIEQDCDCRYKNENTSCSIGHTSSAVTLQLHRGYYHERFLDISKDSHPHLVIAPNAGIAAYASWLPTIELIKEINVPAVFSDYCEEACNLAACCINAVTNQPPRLPIQLNPFRQPMVVEDSLLHLPCYSNCFLFAM >KJB31343 pep chromosome:Graimondii2_0_v6:5:54630539:54634088:-1 gene:B456_005G187100 transcript:KJB31343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLWCIGYILWLESDTWNLSNILCPCRGPSSPIAKALCSWKDYYEWRCIPLQSPVSLLLHWPLTVYHSIQLAGLGSLTSEISKLCIHYLGPEKELLQLAVFGELRALFPGVFVQIELIGPAVPHHRDGDKIDLHSYAHCIEQDCDCRYKNENTSCSIGHTSSAVTLQLHRGYYHERFLDISKDSHPHLVIAPNAGIAAYASWLPTIELIKEINVPAVFSDYCEEACNLAACCINAVTNQPPRLPIQLNPFRQPMVVEDSLLHLPCYSNCFLFAM >KJB31336 pep chromosome:Graimondii2_0_v6:5:54629781:54634218:-1 gene:B456_005G187100 transcript:KJB31336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAGKGSGTRCLGPARKRCGRCGAVSYCSASHQISHWKVHREECERLEQQMRNLDLLNDFPFTFSQESTVQISEKQESRCSFLRKRGIHQVGLWVCECRCGASVTSFGNSRLESDTWNLSNILCPCRGPSSPIAKALCSWKDYYEWRCIPLQSPVSLLLHWPLTVYHSIQLAGLGSLTSEISKLCIHYLGPEKELLQLAVFGELRALFPGVFVQIELIGPAVPHHRYKNENTSCSIGHTSSAVTLQLHRGYYHERFLDISKDSHPHLVIAPNAGIAAYASWLPTIELIKEINVPAVFSDYCEEACNLAACCINAVTNQPPRLPIQLNPFRQPMVVEDSLLHLPCYSNCFLFAM >KJB31332 pep chromosome:Graimondii2_0_v6:5:54629781:54634218:-1 gene:B456_005G187100 transcript:KJB31332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAGKGSGTRCLGPARKRCGRCGAVSYCSASHQISHWKVHREECERLEQQMRNLDLLNDFPFTFSQESTVQISEKQESRCSFLRKRGIHQVGLWVCECRCGASVTSFGNSRLESDTWNLSNILCPCRGPSSPIAKALCSWKDYYEWRCIPLQSPVSLLLHWPLTVYHSIQLAGLGSLTSEISKLCIHYLGPEKELLQLAVFGELRALFPGVFVQIELIGPAVPHHRDGDKIDLHSYAHCIEQDCDCRYKNENTSCSIGHTSSAVTLQLHRGYYHERFLDISKDSHPHLVIAPNAGIAAYASWLPTIELIKEINVPAVFSDYCEEACNLAACCINAVTNQPPRLPIQLNPFRQPMVVEDSLLHLPCYSNCFLFAM >KJB31331 pep chromosome:Graimondii2_0_v6:5:54631071:54634062:-1 gene:B456_005G187100 transcript:KJB31331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAGKGSGTRCLGPARKRCGRCGAVSYCSASHQISHWKVHREECERLEQQMRNLDLLNDFPFTFSQESTVQISEKQESRCSFLRKRGIHQVGLWVCECRCGASVTSFGNSRLESDTWNLSNILCPCRGPSSPIAKALCSWKDYYEWRCIPLQSPVSLLLHWPLTVYHSIQLAGLGSLTSEISKLCIHYLGPEKELLQLAVFGELRALFPGVFVQIELIGPAVPHHRS >KJB31337 pep chromosome:Graimondii2_0_v6:5:54629943:54634125:-1 gene:B456_005G187100 transcript:KJB31337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAGKGSGTRCLGPARKRCGRCGAVSYCSASHQISHWKVHREECERLEQQMRNLDLLNDFPFTFSQESTVQISEKQESRCSFLRKRGIHQVGLWVCECRCGASVTSFGNSRLESDTWNLSNILCPCRGPSSPIAKALCSWKDYYEWRCIPLQSPVSLLLHWPLTVYHSIQLAGLGSLTSEISKLCIHYLGPEKELLQLAVFGELRALFPGVFVQIELIGPAVPHHRDGDKIDLHSYAHCIEQDCDCRYKNENTSCSIGHTSSAVTLQLHRGYYHERFLDISKDSHPHLVIAPNAGIAAYASWLPTIELIKEINVPAVFSDYCEEACNLAACCINAVTNQPPRLPIQLNPFRQPMVVEDSLLHLPCYSNCFLFAM >KJB31344 pep chromosome:Graimondii2_0_v6:5:54630539:54634088:-1 gene:B456_005G187100 transcript:KJB31344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLLRFPKNLRFSEKQESRCSFLRKRGIHQVGLWVCECRCGASVTSFGNSRLESDTWNLSNILCPCRGPSSPIAKALCSWKDYYEWRCIPLQSPVSLLLHWPLTVYHSIQLAGLGSLTSEISKLCIHYLGPEKELLQLAVFGELRALFPGVFVQIELIGPAVPHHRDGDKIDLHSYAHCIEQDCDCRYKNENTSCSIGHTSSAVTLQLHRGYYHERFLDISKDSHPHLVIAPNAGIAAYASWLPTIELIKEINVPAVFSDYCEEACNLAACCINAVTNQPPRLPIQLNPFRQPMVVEDSLLHLPCYSNCFLFAM >KJB31342 pep chromosome:Graimondii2_0_v6:5:54631230:54634062:-1 gene:B456_005G187100 transcript:KJB31342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAGKGSGTRCLGPARKRCGRCGAVSYCSASHQISHWKVHREECERLEQQMRNLDLLNDFPFTFSQESTVQISEKQESRCSFLRKRGIHQVGLWVCECRCGASVTSFGNSRLESDTWNLSNILCPCRGPSSPIAKALCSWKDYYEWRCIPLQSPVSLLLHWPLTVYHSIQLAGLGSLTSEISKLCIHYLGPEKELLQLAVFGELRALFPGVFVQIELIGPAVPHHRDGDKIDLHSYAHCIEQDCDCRYKNENTSCSIGHTSSAVTLQLHRGYYHERFLDISKDSHPHLVIAPNAGIAAYASWLPTIVCLQYAL >KJB31340 pep chromosome:Graimondii2_0_v6:5:54630539:54633916:-1 gene:B456_005G187100 transcript:KJB31340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLDLLNDFPFTFSQESTVQISEKQESRCSFLRKRGIHQVGLWVCECRCGASVTSFGNSRLESDTWNLSNILCPCRGPSSPIAKALCSWKDYYEWRCIPLQSPVSLLLHWPLTVYHSIQLAGLGSLTSEISKLCIHYLGPEKELLQLAVFGELRALFPGVFVQIELIGPAVPHHRDGDKIDLHSYAHCIEQDCDCRYKNENTSCSIGHTSSAVTLQLHRGYYHERFLDISKDSHPHLVIAPNAGIAAYASWLPTIELIKEINVPAVFSDYCEEACNLAACCINAVTNQPPRLPIQLNPFRQPMVVEDSLLHLPCYSNCFLFAM >KJB31339 pep chromosome:Graimondii2_0_v6:5:54630913:54634062:-1 gene:B456_005G187100 transcript:KJB31339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAGKGSGTRCLGPARKRCGRCGAVSYCSASHQISHWKVHREECERLEQQMRNLDLLNDFPFTFSQESTVQISEKQESRCSFLRKRGIHQVGLWVCECRCGASVTSFGNSRLESDTWNLSNILCPCRGPSSPIAKALCSWKDYYEWRCIPLQSPVSLLLHWPLTVYHSIQLAGLGSLTSEISKLCIHYLGPEKELLQLAVFGELRALFPGVFVQIELIGPAVPHHRDGDKIDLHSYAHCIEQDCDCRYKNENTSCSIGHTSSAVTLQLHRGYYHERFLDISKDSHPHLVIAPNAGIAAYASWLPTIELIKEINVPAVFSDYCEEACNLAACCINAVTNQPPRLPVILHCCLFSLHTFSLL >KJB31341 pep chromosome:Graimondii2_0_v6:5:54631404:54634062:-1 gene:B456_005G187100 transcript:KJB31341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAGKGSGTRCLGPARKRCGRCGAVSYCSASHQISHWKVHREECERLEQQMRNLDLLNDFPFTFSQESTVQISEKQESRCSFLRKRGIHQVGLWVCECRCGASVTSFGNSRLESDTWNLSNILCPCRGPSSPIAKALCSWKDYYEWRCIPLQSPVSLLLHWPLTVYHSIQLAGLGSLTSEISKLCIHYLGPEKELLQLAVFGELRALFPGVFVQIELIGPAVPHHRDGDKIDLHSYAHCIEQDCDCRYKNENTSCSIGHTSSAVTLQLHRGYYHERFLDISKVCFSFTFFLSL >KJB31338 pep chromosome:Graimondii2_0_v6:5:54630760:54634062:-1 gene:B456_005G187100 transcript:KJB31338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAGKGSGTRCLGPARKRCGRCGAVSYCSASHQISHWKVHREECERLEQQMRNLDLLNDFPFTFSQESTVQISEKQESRCSFLRKRGIHQVGLWVCECRCGASVTSFGNSRLESDTWNLSNILCPCRGPSSPIAKALCSWKDYYEWRCIPLQSPVSLLLHWPLTVYHSIQLAGLGSLTSEISKLCIHYLGPEKELLQLAVFGELRALFPGVFVQIELIGPAVPHHRDGDKIDLHSYAHCIEQDCDCRYKNENTSCSIGHTSSAVTLQLHRGYYHERFLDISKDSHPHLVIAPNAGIAAYASWLPTIELIKEINVPAVFSDYCEEACNLAACCINAVTNQPPRLPIQLNPFRQPMVVEDSLLHLPCYSNCFLFAM >KJB31334 pep chromosome:Graimondii2_0_v6:5:54629781:54634218:-1 gene:B456_005G187100 transcript:KJB31334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAGKGSGTRCLGPARKRCGRCGAVSYCSASHQISHWKVHREECERLEQQMRNLDLLNDFPFTFSQESTVQISEKQESRCSFLRKRGIHQVGLWVCECRCGASVTSFGNSRLESDTWNLSNILCPCRGPSSPIAKALCSWKDYYEWRCIPLQSPVSLLLHWPLTVYHSIQLAGLGSLTSEISKLCIHYLGPEKELLQLAVFGELRALFPGVFVQIELIGPAVPHHRS >KJB31333 pep chromosome:Graimondii2_0_v6:5:54630760:54634062:-1 gene:B456_005G187100 transcript:KJB31333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAGKGSGTRCLGPARKRCGRCGAVSYCSASHQISHWKVHREECERLEQQMRNLDLLNDFPFTFSQESTVQISEKQESRCSFLRKRGIHQVGLWVCECRCGASVTSFGNSRLESDTWNLSNILCPCRGPSSPIAKALCSWKDYYEWRCIPLQSPVSLLLHWPLTVYHSIQLAGLGSLTSEISKLCIHYLGPEKELLQLAVFGELRALFPGVFVQIELIGPAVPHHRYKNENTSCSIGHTSSAVTLQLHRGYYHERFLDISKDSHPHLVIAPNAGIAAYASWLPTIELIKEINVPAVFSDYCEEACNLAACCINAVTNQPPRLPIQLNPFRQPMVVEDSLLHLPCYSNCFLFAM >KJB31345 pep chromosome:Graimondii2_0_v6:5:54629805:54634150:-1 gene:B456_005G187100 transcript:KJB31345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAGKGSGTRCLGPARKRCGRCGAVSYCSASHQISHWKVHREECERLEQQMRNLDLLNDFPFTFSQESTVQISEKQESRCSFLRKRGIHQVGLWVCECRCGASVTSFGNSRLESDTWNLSNILCPCRGPSSPIAKALCSWKDYYEWRCIPLQSPVSLLLHWPLTVYHSIQLAGLGSLTSEISKLCIHYLGPEKELLQLAVFGELRALFPGVFVQIELIGPAVPHHRDGDKIDLHSYAHCIEQDCDCRYKNENTSCSIGHTSSAVTLQLHRGYYHERFLDISKDSHPHLVIAPNAGIAAYASWLPTIELIKEINVPAVFSDYCEEACNLAACCINAVTNQPPRLPIQLNPFRQPMVVEDSLLHLPCYSNCFLFAM >KJB29652 pep chromosome:Graimondii2_0_v6:5:21736276:21739020:-1 gene:B456_005G113700 transcript:KJB29652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKNKYSIIVPTYNERLNIALIVYLIFKHLRDVDFEIIVVDDGSPDGTQEVVKQLQKLYGEDRILLRPRPKKLGLGTAYIHGLKHASGNFVVIMDADLSHHPKYLPCFIKKQSETGASIVTGTRYVKGGGVHGWSLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDVISSCVSKGYVFQMEMIVRASRKGYHIEEGAS >KJB29650 pep chromosome:Graimondii2_0_v6:5:21736268:21739020:-1 gene:B456_005G113700 transcript:KJB29650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKNKYSIIVPTYNERLNIALIVYLIFKHLRDVDFEIIVVDDGSPDGTQEVVKQLQKLYGEDRILLRPRPKKLGLGTAYIHGLKHASGNFVVIMDADLSHHPKYLPCFINIVTGTRYVKGGGVHGWSLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDVISSCVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVFGSSKLGGSEIIEYLKGLSYLLVTT >KJB29649 pep chromosome:Graimondii2_0_v6:5:21736268:21738132:-1 gene:B456_005G113700 transcript:KJB29649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADLSHHPKYLPCFIKKQSETGASIVTGTRYVKGGGVHGWSLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDVISSCVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVFGSSKLGGSEIIEYLKGLSYLLVTT >KJB29651 pep chromosome:Graimondii2_0_v6:5:21737121:21738942:-1 gene:B456_005G113700 transcript:KJB29651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKNKYSIIVPTYNERLNIALIVYLIFKHLRDVDFEIIVVDDGSPDGTQEVVKQLQKLYGEDRILLRPRPKKLGLGTAYIHGLKHASGNFVVIMDADLSHHPKYLPCFIKKQSETGASIVTGTRYVKGGGVHGWSLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDVISSCVSKGYVFQMEMIVRASRKGYHIEEV >KJB29648 pep chromosome:Graimondii2_0_v6:5:21736252:21739313:-1 gene:B456_005G113700 transcript:KJB29648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKNKYSIIVPTYNERLNIALIVYLIFKHLRDVDFEIIVVDDGSPDGTQEVVKQLQKLYGEDRILLRPRPKKLGLGTAYIHGLKHASGNFVVIMDADLSHHPKYLPCFIKKQSETGASIVTGTRYVKGGGVHGWSLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDVISSCVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVFGSSKLGGSEIIEYLKGLSYLLVTT >KJB31882 pep chromosome:Graimondii2_0_v6:5:59538275:59541021:1 gene:B456_005G213000 transcript:KJB31882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVSAIIYIAVALLILFLISHSPKKHPNHSRHRRLKLRSSFSFSNPTHHEPVAFDPLVADIERRREDRQWEKQYLEHTHPELVNDHAPGHESQPEWEDFMNAEDYLNDEDKFNVTNRLVMLFPKIDADPADGFVTENELTEWHLQQAAKEVLHRSMREMEVHDKNHDGFVSFAEYEPPSWVKNDNNSFGYDMGWWKEEHFNASDANGDGLLNITEFNDFLHPSDSKSPKLLHWLCKEEVRERDTDRDGKVNFDEFFHGLFDLVRNYDEEDHNSSHPSHDSLESPARHLFSQLDKDGDRYLYTSLCLVSWLY >KJB31886 pep chromosome:Graimondii2_0_v6:5:59538275:59542556:1 gene:B456_005G213000 transcript:KJB31886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVSAIIYIAVALLILFLISHSPKKHPNHSRHRRLKLRSSFSFSNPTHHEPVAFDPLVADIERRREDRQWEKQYLEHTHPELVNDHAPGHESQPEWEDFMNAEDYLNDEDKFNVTNRLVMLFPKIDADPADGFVTENELTEWHLQQAAKEVLHRSMREMEVHDKNHDGFVSFAEYEPPSWVKNDNNSFGYDMGWWKEEHFNASDANGDGLLNITEFNDFLHPSDSKSPKLLHWLCKEEVRERDTDRDGKVNFDEFFHGLFDLVRNYDEEDHNSSHPSHDSLESPARHLFSQLDKDGDRLLSEEELLPIIGKIHPSERYYAKQQADYIISQIQIKMVA >KJB31887 pep chromosome:Graimondii2_0_v6:5:59538275:59542556:1 gene:B456_005G213000 transcript:KJB31887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPKIDADPADGFVTENELTEWHLQQAAKEVLHRSMREMEVHDKNHDGFVSFAEYEPPSWVKNDNNSFGYDMGWWKEEHFNASDANGDGLLNITEFNDFLHPSDSKSPKLLHWLCKEEVRERDTDRDGKVNFDEFFHGLFDLVRNYDEEDHNSSHPSHDSLESPARHLFSQLDKDGDRLLSEEELLPIIGKIHPSERYYAKQQADYIISQADSDKDGRLSLLEMIENPYVFYSAIFSEDEDDDDYEYHDEFR >KJB31884 pep chromosome:Graimondii2_0_v6:5:59538275:59542556:1 gene:B456_005G213000 transcript:KJB31884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVSAIIYIAVALLILFLISHSPKKHPNHSRHRRLKLRSSFSFSNPTHHEPVAFDPLVADIERRREDRQWEKQYLEHTHPELVNDHAPGHESQPEWEDFMNAEDYLNDEDKFNVTNRLVMLFPKIDADPADGFVTENELTEWHLQQAAKEVLHRSMREMEVHDKNHDGFVSFAEYEPPSWVKNDNNSFGYDMGWWKEEHFNASDANGDGLLNITEFNDFLHPSDSKSPKLLHWLCKEEVRERDTDRDGKVNFDEFFHGLFDLVRNYDEEDHNSSHPSHDSLESPARHLFSQLDKDGDRLLSEEELLPIIGKIHPSERYYAKQQADYIISQADSDKDGRLSLLEMIENPYVFYSAIFSEDEDDDDYEYHDEFR >KJB31881 pep chromosome:Graimondii2_0_v6:5:59538095:59542571:1 gene:B456_005G213000 transcript:KJB31881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVSAIIYIAVALLILFLISHSPKKHPNHSRHRRLKLRSSFSFSNPTHHEPVAFDPLVADIERRREDRQWEKQYLEHTHPELVNDHAPGHESQPEWEDFMNAEDYLNDEDKFNVTNRLVMLFPKIDADPADGFVTENELTEWHLQQAAKEVLHRSMREMEVHDKNHDGFVSFAEYEPPSWVKNDNNSFGYDMGWWKEEHFNASDANGDGLLNITEFNDFLHPSDSKSPKLLHWLCKEEVRERDTDRDGKVNFDEFFHGLFDLVRNYDEEDHNSSHPSHDSLESPARHLFSQLDKDGDRLLSEEELLPIIGKIHPSERYYAKQQADYIISQADSDKDGRLSLLEMIENPYVFYSAIFSEDEDDDDYEYHDEFR >KJB31885 pep chromosome:Graimondii2_0_v6:5:59538858:59542075:1 gene:B456_005G213000 transcript:KJB31885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRISSTLPIGWLVMLFPKIDADPADGFVTENELTEWHLQQAAKEVLHRSMREMEVHDKNHDGFVSFAEYEPPSWVKNDNNSFGYDMGWWKEEHFNASDANGDGLLNITEFNDFLHPSDSKSPKLLHWLCKEEVRERDTDRDGKVNFDEFFHGLFDLVRNYDEEDHNSSHPSHDSLESPARHLFSQLDKDGDRLLSEEELLPIIGKIHPSERYYAKQQADYIISQADSDKDGRLSLLEMIENPYVFYSAIFSEDEDDDDYEYHDEFR >KJB31883 pep chromosome:Graimondii2_0_v6:5:59538275:59541373:1 gene:B456_005G213000 transcript:KJB31883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVSAIIYIAVALLILFLISHSPKKHPNHSRHRRLKLRSSFSFSNPTHHEPVAFDPLVADIERRREDRQWEKQYLEHTHPELVNDHAPGHESQPEWEDFMNAEDYLNDEDKFNVTNRLVMLFPKIDADPADGFVTENELTEWHLQQAAKEVLHRSMREMEVHDKNHDGFVSFAEYEPPSWVKNDNNSFGYDMGWWKEEHFNASDANGDGLLNITEFNDFLHPSDSKSPKLLHWLCKEEVRERDTDRDGKVNFDEFFHGLFDLVRNYDEEDHNSSHPSHDSLESPARHLFSQLDKDGDRLLSEEELLPIIGKIHPSERYYAKQQADYIISQTCVFSMAANDIRMVFHGCNGCFRFSKW >KJB27976 pep chromosome:Graimondii2_0_v6:5:1567303:1578712:1 gene:B456_005G019700 transcript:KJB27976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDDMIDDSVSMEDDFYSGDLSYCYDDDPNDNDNDYYFDDDNDYGLLEDDDDDDQDAIVSRRPQLSYTVLKEADIKQQQEDDISKVSTVLSISRVDATILLRYYNWSVSKVHDEWFADEGGVRQSVGLLERPAVQVSNARELTCGICFESFLRDNITSVACGHPFCLSCWRGYISTTIYDGPGCLSLRCPDPSCNAAVGKDMIDKLATSEEKEKYSRYLLRSYVEDNRKTKWCPAPGCEYAVDFTVGSGNFDVSCNCSYSFCWNCTEEAHRPVDCETVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWAEHGERTGGFYACNRYEAAKQEGVYDEAERRREMAKNSLEKYTHYYERWASNQLSRQKALADLHQMQTVHLEKLSDLQCTPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELNKFVTGDGPSKEFDDFRAKLAGLTSVTKNYFENLVRALENGLADVDSQAAAACSKTTSSKNTGGTSKTRGGRGKGSSKSGGSGRNVDDTSN >KJB27978 pep chromosome:Graimondii2_0_v6:5:1571274:1576585:1 gene:B456_005G019700 transcript:KJB27978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDDMIDDSVSMEDDFYSGDLSYCYDDDPNDNDNDYYFDDDNDYGLLEDDDDDDQDAIVSRRPQLSYTVLKEADIKQQQEDDISKVSTVLSISRVDATILLRYYNWSVSKVHDEWFADEGGVRQSVGLLERPAVQVSNARELTCGICFESFLRDNITSVACGHPFCLSCWRGYISTTIYDGPGCLSLRCPDPSCNAAVGKDMIDKLATSEEKEKYSRYLLRSYVEDNRKTKWCPAPGCEYAVDFTVGSGNFDVSCNCSYSFCWNCTEEAHRPVDCETVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWAEHGERTGGFYACNRYEAAKQEGVYDEAERRREMAKNSLEKYTHYYERWASNQLSRQKALADLHQMQTVHVSSYHLSF >KJB27977 pep chromosome:Graimondii2_0_v6:5:1571274:1575006:1 gene:B456_005G019700 transcript:KJB27977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDDMIDDSVSMEDDFYSGDLSYCYDDDPNDNDNDYYFDDDNDYGLLEDDDDDDQDAIVSRRPQLSYTVLKEADIKQQQEDDISKVSTVLSISRVDATILLRYYNWSVSKVHDEWFADEGGVRQSVGLLERPAVQVSNARELTCGICFESFLRDNITSVACGHPFCLSCWRGYISTTIYDGPGCLSLRCPDPSCNAAVGKDMIDKLATSEEKEKYSRYLLRSYVEDNRKTKWCPAPGCEYAVDFTVGSGNFDVSCNCSYSFCWNCTEEAHRPVDCETVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCW >KJB27975 pep chromosome:Graimondii2_0_v6:5:1571262:1578736:1 gene:B456_005G019700 transcript:KJB27975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDDMIDDSVSMEDDFYSGDLSYCYDDDPNDNDNDYYFDDDNDYGLLEDDDDDDQDAIVSRRPQLSYTVLKEADIKQQQEDDISKVSTVLSISRVDATILLRYYNWSVSKVHDEWFADEGGVRQSVGLLERPAVQVSNARELTCGICFESFLRDNITSVACGHPFCLSCWRGYISTTIYDGPGCLSLRCPDPSCNAAVGKDMIDKLATSEEKEKYSRYLLRSYVEDNRKTKWCPAPGCEYAVDFTVGSGNFDVSCNCSYSFCWNCTEEAHRPVDCETVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWAEHGERTGGFYACNRYEAAKQEGVYDEAERRREMAKNSLEKYTHYYERWASNQLSRQKALADLHQMQTVHLEKLSDLQCTPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELNKFVTGDGPSKEFDDFRAKLAGLTSVTKNYFENLVRALENGLADVDSQAAAACSKTTSSKNTGGTSKTRGGRGKGSSKSGGSGRNVDDTSN >KJB28341 pep chromosome:Graimondii2_0_v6:5:4212855:4215379:1 gene:B456_005G044000 transcript:KJB28341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKITLVQGLALVMVTLLWTGTMAQSDCTSVLITMAPCFDYVTGTSSTPSVPCCSQLANVVQLQPHCLCMALSGGGSSVGVEVNQTLALALPQLCHVKTPPENNCKAADGPAVISISPSGSPQGLPPHSNDTPGSNSSTSEQLQLLPVMLSSTHPFSSSCSCCPLLCFLQLGPPSPKAPIHMLLLLISDPIYLCFILHF >KJB28340 pep chromosome:Graimondii2_0_v6:5:4212945:4213515:1 gene:B456_005G044000 transcript:KJB28340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKITLVQGLALVMVTLLWTGTMAQSDCTSVLITMAPCFDYVTGTSSTPSVPCCSQLANVVQLQPHCLCMALSGGGSSVGVEVNQTLALALPQLCHVKTPPENNCKAADGPAVISISPSGSPQGLPPHSNDTPGSNSSTSGACFF >KJB28339 pep chromosome:Graimondii2_0_v6:5:4212957:4214884:1 gene:B456_005G044000 transcript:KJB28339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKITLVQGLALVMVTLLWTGTMAQSDCTSVLITMAPCFDYVTGTSSTPSVPCCSQLANVVQLQPHCLCMALSGGGSSVGVEVNQTLALALPQLCHVKTPPENNCKAADGPAVISISPSGSPQGLPPHSNDTPGSNSSTSGGSRTIAATASDVIFNTSLQFILFLLPIALFSSTWTTIS >KJB29830 pep chromosome:Graimondii2_0_v6:5:28266954:28273239:1 gene:B456_005G126300 transcript:KJB29830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRECIEEVTKFSLQSHINKSLNFELQLSPEFCSNLLLDSDPIDPNPDISKGVPSYPLYKHLALALNQSIVSGFICSRQGNSALMRDEISSEQTEKWNKLVSTKGLELINIMNTIDFELHVQEPFFSMSRGSMILLNKCLVLEVQDVRHYATFSKMLEAESISQVLPGVKSTEEGLQTYRKFYTEEEERSYGVIAICVSNLVVQPAILLASILSELSHEGVQSLLGLAHTTGTISDALPPPKSTLLSSFMLSYNPDVKGSTLTHGARALAKHINRSSNKYWGNLNGSDSNKNKLAMGVIMDLISNSCWLNMYTVQPHGDVFEIRVAEGYGARWSKDGYKFIGFLEPYMDDGHLKGWKH >KJB29831 pep chromosome:Graimondii2_0_v6:5:28267012:28271031:1 gene:B456_005G126300 transcript:KJB29831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRECIEEVTKFSLQSHINKSLNFELQLSPEFCSNLLLDSDPIDPNPDISKGVPSYPLYKHLALALNQSIVSGFICSRQGNSALMRDEISSEQTEKWNKLVSTKGLELINIMNTIDFELHVQEPFFSMSRDGFKTIEGWCAVGKYNNIEPGSMILLNKCLVLEVQDVRHYATFSKMLEAESISQVLPGVKSTEEGLQTYRKFYTEEEERSYGVIAICVSNLVVQPAILLASILSELSHEGVQSLLGLAHTTGTISDALPPPKSTLLSSFMLSYNPDVKGSTLTHGARALAKHINRSSNKYWGNLNGSVLSFQIQIKINSQWELLWI >KJB29825 pep chromosome:Graimondii2_0_v6:5:28266954:28273239:1 gene:B456_005G126300 transcript:KJB29825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSPSFQCQEMALRQLKDGVLLANTISSMILLNKCLVLEVQDVRHYATFSKMLEAESISQVLPGVKSTEEGLQTYRKFYTEEEERSYGVIAICVSNLVVQPAILLASILSVKGSTLTHGARALAKHINRSSNKYWGNLNGSDSNKNKLAMGVIMDLISNSCWLNMYTVQPHGDVFEIRVAEGYGARWSKDGYKFIGFLEPYMDDGHLKGWKH >KJB29826 pep chromosome:Graimondii2_0_v6:5:28266964:28272244:1 gene:B456_005G126300 transcript:KJB29826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSPSFQCQEMALRQLKDGVLLANTISSMILLNKCLVLEVQDVRHYATFSKMLEAESISQVLPGVKSTEEGLQTYRKFYTEEEERSYGVIAICVSNLVVQPAILLASILSELSHEGVQSLLGLAHTTGTISDALPPPKSTLLSSFMLSYNPDVKGSTLTHGARALAKHINRSSNKYWGNLNGSDSNKNKLAMGVIMDLISNSCWLNMYTVQPHGDVFEIRVAEGYGARWSKDGYKFIGFLEPYMDDGHLKGWKH >KJB29829 pep chromosome:Graimondii2_0_v6:5:28266964:28272361:1 gene:B456_005G126300 transcript:KJB29829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRECIEEVTKFSLQSHINKSLNFELQLSPEFCSNLLLDSDPIDPNPDISKGVPSYPLYKHLALALNQSIVSGFICSRQGNSALMRDEISSEQTEKWNKLVSTKGLELINIMNTIDFELHVQEPFFSMSRDGFKTIEGWCAVGKYNNIEPGSMILLNKCLVLEVQDVRHYATFSKMLEAESISQVLPGVKSTEEGLQTYRKFYTEEEERSYGVIAICVSNLVVQPAILLASILSELSHEGVQSLLGLAHTTGTISDALPPPKSTLLSSFMLSYNPDVKGSTLTHGARALAKHINRSSNKYWGNLNGSDSNKNKLAMGVIMDLISNSCWLNMYTVQPHGDVFEIRVAEGYGARWSKDGYKFIGFLEPYMDDGHLKGWKH >KJB29828 pep chromosome:Graimondii2_0_v6:5:28266964:28272244:1 gene:B456_005G126300 transcript:KJB29828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSPSFQCQEMALRQLKDGVLLANTISSMILLNKCLVLEVQDVRHYATFSKMLEAESISQVLPGVKSTEEAGLQTYRKFYTEEEERSYGVIAICVSNLVVQPAILLASILSELSHEGVQSLLGLAHTTGTISDALPPPKSTLLSSFMLSYNPDVKGSTLTHGARALAKHINRSSNKYWGNLNGSDSNKNKLAMGVIMDLISNSCWLNMYTVQPHGDVFEIRVAEGYGARWSKDGYKFIGFLEPYMDDGHLKGWKH >KJB29827 pep chromosome:Graimondii2_0_v6:5:28266964:28272244:1 gene:B456_005G126300 transcript:KJB29827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRECIEEVTKFSLQSHINKSLNFELQLSPEFCSNLLLDSDPIDPNPDISKGVPSYPLYKHLALALNQSIVSGFICSRQGNSALMRDEISSEQTEKWNKLVSTKGLELINIMNTIDFELHVQEPFFSMSRDGFKTIEGWCAVGKYNNIEPGSMILLNKCLVLEVQDVRHYATFSKMLEAESISQVLPGVKSTEEAGLQTYRKFYTEEEERSYGVIAICVSNLVVQPAILLASILSELSHEGVQSLLGLAHTTGTISDALPPPKSTLLSSFMLSYNPDVKGSTLTHGARALAKHINRSSNKYWGNLNGSDSNKNKLAMGVIMDLISNSCWLNMYTVQPHGDVFEIRVAEGYGARWSKDGYKFIGFLEPYMDDGHLKGWKH >KJB32803 pep chromosome:Graimondii2_0_v6:5:63665007:63667410:1 gene:B456_005G262400 transcript:KJB32803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEYIFGIFACFLGFVLFYYNTRTNKTAAAAASTVVSARNGEGTEGTATDIIIVGAGVAGAALAYTLGKDGRRVRVIERDLNEPDRIVGELLQPGGYLKLIELGLEDCVDEIDAQRVFGYALFKDGKNTKLSYPLENFHSDVAGRSFHNGRFIQRMRHKAASLPNVSLEQGSVTSLIEEKGTVKGIQYKTKDGQEFMAYAPLTIVCDGGFSNLRRSLSNPKVDVPSCFVGLILENCELPHANHGHVIIADPSPILFYPISSTEIRCLVDVPGQKVPSVSNGEMAHYLKTMVAPQIPPQLYTAFITAVNKGNIRTMTNRSMPAAPQPTPGALLLGDAFNMRHPLTGGGMTVALSDIVLLRDLLRPLHNLHDASALCRYLESFYTLRKPVASTINTLAGALYKVFSASADKAREEMREACFDYLSLGGAFSEGPISLLSGLNPHPISLVLHFFAVAIYGVGRLLLPFPSPTRIWTGARLISGASGIIFPIIRAEGVRQMFFPATVPAYYRAPPVD >KJB27725 pep chromosome:Graimondii2_0_v6:5:426006:435909:1 gene:B456_005G006600 transcript:KJB27725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGCVALVLCLNISVDPPDVIKISPCARMECWTDPFSMAPQKALETIGKSLRDQYERWQPKARSKVELDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKLLDCGTSNYPGSSRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLHESLDSSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFRRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSSFFTEQLIAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHVYFIRFLNSAEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIQVCLKQLHGFTQNEAQTEPLLLQWLCLCLGKLWEDFTEAQTIGLQADVPAICAPLHSEPQPEVRASAVYALATLLDVGFDSFRDGIGGDEECDDVEKNRAEMIIIKSLLNVVSDGSPLVRAEVAVALAHFAFGHKQHLKSIAAAYWKPQPNSLLNSLPSLANIKGTGSGNIVSSQIGPLTAMVRDGRVSTSSPLATAGIMHGSPLSDDSSQLSDSGILNDGVSNGEVNHSRPKPLDNAMYSQCVLAMFTLARDPSPRVASLGRRVLSIIGIEQVTKSVKPAGNSAWPSDPANSSSTPSISGLARSSSWLDINGGHMALTFRTPPVSPPRQNYLAGIRRVCSLDFRPHLMNSPDSGLADPLLGSASGSERSLLPQSTIYNFSCGHFCKSLLTASDDSEELLAKREEQERFALEHIAKCQHSSVSKLNNNSQIASWDTRFETGTRTALLQPFSPIVIAADENERIRVWNYEEATLLNGFDNHDFPEKGISKLCLLNELDDSLLLVASSDGNIRIWKDYTLRGKQKHVTAFSSIQGHKPGMRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLVNSIPSSSDCSVSALASSQVHAGQFAAGFVDGSVRLYDIRTPDMLVRTTRPHTQQVARVVGIGFQPGLDQGKIVSASQAGDIQFLDIRSQRDTDLTIDAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLKGEQLGTIRYQHTFMAQKIGSVSCLTFHPYQVLLAAGAADACVSIYADDNSHTR >KJB27723 pep chromosome:Graimondii2_0_v6:5:426006:435909:1 gene:B456_005G006600 transcript:KJB27723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGCVALVLCLNISVDPPDVIKISPCARMECWTDPFSMAPQKALETIGKSLRDQYERWQPKARSKVELDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMVVNAFIELLDCGTSNYPGSSRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLHESLDSSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFRRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSSFFTEQLIAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHVYFIRFLNSAEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIQVCLKQLHGFTQNEAQTEPLLLQWLCLCLGKLWEDFTEAQTIGLQADVPAICAPLHSEPQPEVRASAVYALATLLDVGFDSFRDGIGGDEECDDVEKNRAEMIIIKSLLNVVSDGSPLVRAEVAVALAHFAFGHKQHLKSIAAAYWKPQPNSLLNSLPSLANIKGTGSGNIVSSQIGPLTAMVRDGRVSTSSPLATAGIMHGSPLSDDSSQLSDSGILNDGVSNGEVNHSRPKPLDNAMYSQCVLAMFTLARDPSPRVASLGRRVLSIIGIEQVTKSVKPAGNSAWPSDPANSSSTPSISGLARSSSWLDINGGHMALTFRTPPVSPPRQNYLAGIRRVCSLDFRPHLMNSPDSGLADPLLGSASGSERSLLPQSTIYNFSCGHFCKSLLTASDDSEELLAKREEQERFALEHIAKCQHSSVSKLNNNSQIASWDTRFETGTRTALLQPFSPIVIAADENERIRVWNYEEATLLNGFDNHDFPEKGISKLCLLNELDDSLLLVASSDGNIRIWKDYTLRGKQKHVTAFSSIQGHKPGMRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLVNSIPSSSDCSVSALAGSHNQAAYPTSGKSCGYWLSTRT >KJB27721 pep chromosome:Graimondii2_0_v6:5:426006:435909:1 gene:B456_005G006600 transcript:KJB27721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGCVALVLCLNISVDPPDVIKISPCARMECWTDPFSMAPQKALETIGKSLRDQYERWQPKARSKVELDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMVVNAFIELLDCGTSNYPGSSRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLHESLDSSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFRRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSSFFTEQLIAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHVYFIRFLNSAEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIQVCLKQLHGFTQNEAQTEPLLLQWLCLCLGKLWEDFTEAQTIGLQADVPAICAPLHSEPQPEVRASAVYALATLLDVGFDSFRDGIGGDEECDDVEKNRAEMIIIKSLLNVVSDGSPLVRAEVAVALAHFAFGHKQHLKSIAAAYWKPQPNSLLNSLPSLANIKGTGSGNIVSSQIGPLTAMVRDGRVSTSSPLATAGIMHGSPLSDDSSQLSDSGILNDGVSNGEVNHSRPKPLDNAMYSQCVLAMFTLARDPSPRVASLGRRVLSIIGIEQVTKSVKPAGNSAWPSDPANSSSTPSISGLARSSSWLDINGGHMALTFRTPPVSPPRQNYLAGIRRVCSLDFRPHLMNSPDSGLADPLLGSASGSERSLLPQSTIYNFSCGHFCKSLLTASDDSEELLAKREEQERFALEHIAKCQHSSVSKLNNNSQIASWDTRFETGTRTALLQPFSPIVIAADENERIRVWNYEEATLLNGFDNHDFPEKGISKLCLLNELDDSLLLVASSDGNIRIWKDYTLRGKQKHVTAFSSIQGHKPGMRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLVNSIPSSSDCSVSALASSQVHAGQFAAGFVDGSVRLYDIRTPDMLVRTTRPHTQQVARVVGIGFQPGLDQGKIVSASQAGDIQFLDIRSQRDTDLTIDAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLKGEQLGTIRYQHTFMAQKIGSVSCLTFHPYQVLLAAGAADACVSIYADDNSHTR >KJB27717 pep chromosome:Graimondii2_0_v6:5:424260:435909:1 gene:B456_005G006600 transcript:KJB27717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMTSRFSQLSLAVSNHVIDCNVSSSGYHEDDVAYADLMSQRRDLDAASTSSYANAVTSTASVPTTMAYLPQTVVLCELRHAAFEASTPTGPSHSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWTDPFSMAPQKALETIGKSLRDQYERWQPKARSKVELDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMVVNAFIELLDCGTSNYPGSSRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLHESLDSSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFRRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSSFFTEQLIAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHVYFIRFLNSAEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIQVCLKQLHGFTQNEAQTEPLLLQWLCLCLGKLWEDFTEAQTIGLQADVPAICAPLHSEPQPEVRASAVYALATLLDVGFDSFRDGIGGDEECDDVEKNRAEMIIIKSLLNVVSDGSPLVRAEVAVALAHFAFGHKQHLKSIAAAYWKPQPNSLLNSLPSLANIKGTGSGNIVSSQIGPLTAMVRDGRVSTSSPLATAGIMHGSPLSDDSSQLSDSGILNDGVSNGEVNHSRPKPLDNAMYSQCVLAMFTLARDPSPRVASLGRRVLSIIGIEQVTKSVKPAGNSAWPSDPANSSSTPSISGLARSSSWLDINGGHMALTFRTPPVSPPRQNYLAGIRRVCSLDFRPHLMNSPDSGLADPLLGSASGSERSLLPQSTIYNFSCGHFCKSLLTASDDSEELLAKREEQERFALEHIAKCQHSSVSKLNNNSQIASWDTRFETGTRTALLQPFSPIVIAADENERIRVWNYEEATLLNGFDNHDFPEKGISKLCLLNELDDSLLLVASSDGNIRIWKDYTLRGKQKHVTAFSSIQGHKPGMRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLVNSIPSSSDCSVSALASSQVHAGQFAAGFVDGSVRLYDIRTPDMLVRTTRPHTQQVARVVGIGFQPGLDQGKIVSASQAGDIQFLDIRSQRDTDLTIDAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLKGEQLGTIRYQHTFMAQKIGSVSCLTFHPYQVLLAAGAADACVSIYADDNSHTR >KJB27722 pep chromosome:Graimondii2_0_v6:5:426006:435909:1 gene:B456_005G006600 transcript:KJB27722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGCVALVLCLNISVDPPDVIKISPCARMECWTDPFSMAPQKALETIGKSLRDQYERWQPKARSKVELDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKLLDCGTSNYPGSSRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLHESLDSSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFRRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSSFFTEQLIAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHVYFIRFLNSAEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIQVCLKQLHGFTQNEAQTEPLLLQWLCLCLGKLWEDFTEAQTIGLQADVPAICAPLHSEPQPEVRASAVYALATLLDVGFDSFRDGIGGDEECDDVEKNRAEMIIIKSLLNVVSDGSPLVRAEVAVALAHFAFGHKQHLKSIAAAYWKPQPNSLLNSLPSLANIKGTGSGNIVSSQIGPLTAMVRDGRVSTSSPLATAGIMHGSPLSDDSSQLSDSGILNDGVSNGEVNHSRPKPLDNAMYSQCVLAMFTLARDPSPRVASLGRRVLSIIGIEQVTKSVKPAGNSAWPSDPANSSSTPSISGLARSSSWLDINGGHMALTFRTPPVSPPRQNYLAGIRRVCSLDFRPHLMNSPDSGLADPLLGSASGSERSLLPQSTIYNFSCGHFCKSLLTASDDSEELLAKREEQERFALEHIAKCQHSSVSKLNNNSQIASWDTRFETGTRTALLQPFSPIVIAADENERIRVWNYEEATLLNGFDNHDFPEKGISKLCLLNELDDSLLLVASSDGNIRIWKDYTLRGKQKHVTAFSSIQGHKPGMRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLVNSIPSSSDCSVSALAGSHNQAAYPTSGKSCGYWLSTRT >KJB27720 pep chromosome:Graimondii2_0_v6:5:424260:435909:1 gene:B456_005G006600 transcript:KJB27720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMTSRFSQLSLAVSNHVIDCNVSSSGYHEDDVAYADLMSQRRDLDAASTSSYANAVTSTASVPTTMAYLPQTVVLCELRHAAFEASTPTGPSHSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWTDPFSMAPQKALETIGKSLRDQYERWQPKARSKVELDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKLLDCGTSNYPGSSRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLHESLDSSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFRRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSSFFTEQLIAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHVYFIRFLNSAEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIQVCLKQLHGFTQNEAQTEPLLLQWLCLCLGKLWEDFTEAQTIGLQADVPAICAPLHSEPQPEVRASAVYALATLLDVGFDSFRDGIGGDEECDDVEKNRAEMIIIKSLLNVVSDGSPLVRAEVAVALAHFAFGHKQHLKSIAAAYWKPQPNSLLNSLPSLANIKGTGSGNIVSSQIGPLTAMVRDGRVSTSSPLATAGIMHGSPLSDDSSQLSDSGILNDGVSNGEVNHSRPKPLDNAMYSQCVLAMFTLARDPSPRVASLGRRVLSIIGIEQVTKSVKPAGNSAWPSDPANSSSTPSISGLARSSSWLDINGGHMALTFRTPPVSPPRQNYLAGIRRVCSLDFRPHLMNSPDSGLADPLLGSASGSERSLLPQSTIYNFSCGHFCKSLLTASDDSEELLAKREEQERFALEHIAKCQHSSVSKLNNNSQIASWDTRFETGTRTALLQPFSPIVIAADENERIRVWNYEEATLLNGFDNHDFPEKGISKLCLLNELDDSLLLVASSDGNIRIWKDYTLRGKQKHVTAFSSIQGHKPGMRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLVNSIPSSSDCSVSALAGSHNQAAYPTSGKSCGYWLSTRT >KJB27719 pep chromosome:Graimondii2_0_v6:5:424260:435909:1 gene:B456_005G006600 transcript:KJB27719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMTSRFSQLSLAVSNHVIDCNVSSSGYHEDDVAYADLMSQRRDLDAASTSSYANAVTSTASVPTTMAYLPQTVVLCELRHAAFEASTPTGPSHSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWTDPFSMAPQKALETIGKSLRDQYERWQPKARSKVELDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMVVNAFIELLDCGTSNYPGSSRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLHESLDSSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFRRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSSFFTEQLIAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHVYFIRFLNSAEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIQVCLKQLHGFTQNEAQTEPLLLQWLCLCLGKLWEDFTEAQTIGLQADVPAICAPLHSEPQPEVRASAVYALATLLDVGFDSFRDGIGGDEECDDVEKNRAEMIIIKSLLNVVSDGSPLVRAEVAVALAHFAFGHKQHLKSIAAAYWKPQPNSLLNSLPSLANIKGTGSGNIVSSQIGPLTAMVRDGRVSTSSPLATAGIMHGSPLSDDSSQLSDSGILNDGVSNGEVNHSRPKPLDNAMYSQCVLAMFTLARDPSPRVASLGRRVLSIIGIEQVTKSVKPAGNSAWPSDPANSSSTPSISGLARSSSWLDINGGHMALTFRTPPVSPPRQNYLAGIRRVCSLDFRPHLMNSPDSGLADPLLGSASGSERSLLPQSTIYNFSCGHFCKSLLTASDDSEELLAKREEQERFALEHIAKCQHSSVSKLNNNSQIASWDTRFETGTRTALLQPFSPIVIAADENERIRVWNYEEATLLNGFDNHDFPEKGISKLCLLNELDDSLLLVASSDGNIRIWKDYTLRGKQKHVTAFSSIQGHKPGMRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLVNSIPSSSDCSVSALAGSHNQAAYPTSGKSCGYWLSTRT >KJB27718 pep chromosome:Graimondii2_0_v6:5:424260:435909:1 gene:B456_005G006600 transcript:KJB27718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMTSRFSQLSLAVSNHVIDCNVSSSGYHEDDVAYADLMSQRRDLDAASTSSYANAVTSTASVPTTMAYLPQTVVLCELRHAAFEASTPTGPSHSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWTDPFSMAPQKALETIGKSLRDQYERWQPKARSKVELDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKLLDCGTSNYPGSSRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLHESLDSSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFRRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSSFFTEQLIAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHVYFIRFLNSAEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIQVCLKQLHGFTQNEAQTEPLLLQWLCLCLGKLWEDFTEAQTIGLQADVPAICAPLHSEPQPEVRASAVYALATLLDVGFDSFRDGIGGDEECDDVEKNRAEMIIIKSLLNVVSDGSPLVRAEVAVALAHFAFGHKQHLKSIAAAYWKPQPNSLLNSLPSLANIKGTGSGNIVSSQIGPLTAMVRDGRVSTSSPLATAGIMHGSPLSDDSSQLSDSGILNDGVSNGEVNHSRPKPLDNAMYSQCVLAMFTLARDPSPRVASLGRRVLSIIGIEQVTKSVKPAGNSAWPSDPANSSSTPSISGLARSSSWLDINGGHMALTFRTPPVSPPRQNYLAGIRRVCSLDFRPHLMNSPDSGLADPLLGSASGSERSLLPQSTIYNFSCGHFCKSLLTASDDSEELLAKREEQERFALEHIAKCQHSSVSKLNNNSQIASWDTRFETGTRTALLQPFSPIVIAADENERIRVWNYEEATLLNGFDNHDFPEKGISKLCLLNELDDSLLLVASSDGNIRIWKDYTLRGKQKHVTAFSSIQGHKPGMRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLVNSIPSSSDCSVSALASSQVHAGQFAAGFVDGSVRLYDIRTPDMLVRTTRPHTQQVARVVGIGFQPGLDQGKIVSASQAGDIQFLDIRSQRDTDLTIDAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLKGEQLGTIRYQHTFMAQKIGSVSCLTFHPYQVLLAAGAADACVSIYADDNSHTR >KJB27724 pep chromosome:Graimondii2_0_v6:5:426006:435909:1 gene:B456_005G006600 transcript:KJB27724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHVYFIRFLNSAEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIQVCLKQLHGFTQNEAQTEPLLLQWLCLCLGKLWEDFTEAQTIGLQADVPAICAPLHSEPQPEVRASAVYALATLLDVGFDSFRDGIGGDEECDDVEKNRAEMIIIKSLLNVVSDGSPLVRAEVAVALAHFAFGHKQHLKSIAAAYWKPQPNSLLNSLPSLANIKGTGSGNIVSSQIGPLTAMVRDGRVSTSSPLATAGIMHGSPLSDDSSQLSDSGILNDGVSNGEVNHSRPKPLDNAMYSQCVLAMFTLARDPSPRVASLGRRVLSIIGIEQVTKSVKPAGNSAWPSDPANSSSTPSISGLARSSSWLDINGGHMALTFRTPPVSPPRQNYLAGIRRVCSLDFRPHLMNSPDSGLADPLLGSASGSERSLLPQSTIYNFSCGHFCKSLLTASDDSEELLAKREEQERFALEHIAKCQHSSVSKLNNNSQIASWDTRFETGTRTALLQPFSPIVIAADENERIRVWNYEEATLLNGFDNHDFPEKGISKLCLLNELDDSLLLVASSDGNIRIWKDYTLRGKQKHVTAFSSIQGHKPGMRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLVNSIPSSSDCSVSALASSQVHAGQFAAGFVDGSVRLYDIRTPDMLVRTTRPHTQQVARVVGIGFQPGLDQGKIVSASQAGDIQFLDIRSQRDTDLTIDAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLKGEQLGTIRYQHTFMAQKIGSVSCLTFHPYQVLLAAGAADACVSIYADDNSHTR >KJB27716 pep chromosome:Graimondii2_0_v6:5:424260:435909:1 gene:B456_005G006600 transcript:KJB27716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHVYFIRFLNSAEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIQVCLKQLHGFTQNEAQTEPLLLQWLCLCLGKLWEDFTEAQTIGLQADVPAICAPLHSEPQPEVRASAVYALATLLDVGFDSFRDGIGGDEECDDVEKNRAEMIIIKSLLNVVSDGSPLVRAEVAVALAHFAFGHKQHLKSIAAAYWKPQPNSLLNSLPSLANIKGTGSGNIVSSQIGPLTAMVRDGRVSTSSPLATAGIMHGSPLSDDSSQLSDSGILNDGVSNGEVNHSRPKPLDNAMYSQCVLAMFTLARDPSPRVASLGRRVLSIIGIEQVTKSVKPAGNSAWPSDPANSSSTPSISGLARSSSWLDINGGHMALTFRTPPVSPPRQNYLAGIRRVCSLDFRPHLMNSPDSGLADPLLGSASGSERSLLPQSTIYNFSCGHFCKSLLTASDDSEELLAKREEQERFALEHIAKCQHSSVSKLNNNSQIASWDTRFETGTRTALLQPFSPIVIAADENERIRVWNYEEATLLNGFDNHDFPEKGISKLCLLNELDDSLLLVASSDGNIRIWKDYTLRGKQKHVTAFSSIQGHKPGMRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLVNSIPSSSDCSVSALASSQVHAGQFAAGFVDGSVRLYDIRTPDMLVRTTRPHTQQVARVVGIGFQPGLDQGKIVSASQAGDIQFLDIRSQRDTDLTIDAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLKGEQLGTIRYQHTFMAQKIGSVSCLTFHPYQVLLAAGAADACVSIYADDNSHTR >KJB27965 pep chromosome:Graimondii2_0_v6:5:2744843:2750772:-1 gene:B456_005G030800 transcript:KJB27965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEELQKQKLIDFIVQFMEDIDKEISELKMSVSTRGRLVATEFLKQF >KJB27964 pep chromosome:Graimondii2_0_v6:5:2744995:2750914:-1 gene:B456_005G030800 transcript:KJB27964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEELQKQKLIDFIVQFMEDIDKEISELKMSVSTRGRLVATEFLKQF >KJB30914 pep chromosome:Graimondii2_0_v6:5:55529384:55532250:-1 gene:B456_005G190600 transcript:KJB30914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCGIQQSEIGSCSDEMRSSISVSVTDRKETMVCPKPRRLGLLNTPFNDHPVRSLRWQLSHQVGLCDSKEESDALDFILTKGGCGVEQGCTQVALSPPFFCGSPPSRVSNPLIQDARFGEQKIMSPVPPSSGLYSSSPSSSSRKGSYVRANFGSKPAVRVEGFDCLDRDRQNCSISALA >KJB30912 pep chromosome:Graimondii2_0_v6:5:55529384:55532306:-1 gene:B456_005G190600 transcript:KJB30912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCGIQQSEIGSCSDEMRSSISVSVTDRKETMVCPKPRRLGLLNTPFNDHPVRSLRWQLSHQVGLCDSKEESDALDFILTKGGCGVEQGCTQVALSPPFFCGSPPSRVSNPLIQDARFGEQKIMSPVPPSSGLYSSSPSSSSRKGSYVRANFGSKPAVRVEGFDCLDRDRQNCSISALA >KJB30913 pep chromosome:Graimondii2_0_v6:5:55529839:55532010:-1 gene:B456_005G190600 transcript:KJB30913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCGIQQSEIGSCSDEMRSSISVSVTDRKETMVCPKPRRLGLLNTPFNDHPVRSLRWQLSHQVGLCDSKEESDALDFILTKGGCGVEQGCTQVALSPPFFCGSPPSRVSNPLIQDARFGEQKIMSPVPPSSGLYSSSPSSSSRKGSYVRANFGSKPAVRVEGFDCLDRDRQNCSISALA >KJB32946 pep chromosome:Graimondii2_0_v6:5:64116025:64116688:1 gene:B456_005G2695001 transcript:KJB32946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASKIWNLMVDEGFEPDVEVVETMMEALFKTNKYDEAMKVFQMMRVKRMHDLGLSSYRLVIKWMCKRGKIEQANGMFEEMCQRGIQADNLTLASIIYGLLTRGRIREAYRIVEGIENPDISIYHGLIKGLLRLRKAGEATQVFREMIKRGCHLGKKGRKGHDPMVNFDSIFVGGLIKAGKTVEATKYVERTMKRGMEVPRFDYNKCLT >KJB29549 pep chromosome:Graimondii2_0_v6:5:19186478:19187050:-1 gene:B456_005G106700 transcript:KJB29549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKRKHLCYDEKRFVSATVEERLQNLLQLGALLEGMENVLIPVSPMCLSSTATDNKCFVRKKKVPFDPESVRKCYENCEHPEFINL >KJB30987 pep chromosome:Graimondii2_0_v6:5:49901123:49901555:-1 gene:B456_005G1711002 transcript:KJB30987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSWAQERQVASFLLGDQMVFFALIVIQFIGLLLTFKPFLVEPYQRE >KJB30659 pep chromosome:Graimondii2_0_v6:5:43714913:43717028:-1 gene:B456_005G155100 transcript:KJB30659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPSMVMTTPWDVQETLMQMLILREGKYIQKKLIRILAIVSTAQRSLMQPVGGIPKDDCFVSAKTDKSQAPEFVLSLVKLSRSGLLLLTFPWGNSLDTIDVVSKIFCDLESGSLKSPLWCHRIFPIQATCTLNEKELQAVVSKLVFQFVNDKRNKLAQPLKFAVGFNRRGTEESQMKTSKDASKNSDMSVLLDRNKCFGAVAAAVKGIVSDSVVDLKSPELSILVELLPLSGVPNGSLLVGVSVLPQNLVSTKPRLCIKPLVCDKNGKKAS >KJB30660 pep chromosome:Graimondii2_0_v6:5:43714432:43718204:-1 gene:B456_005G155100 transcript:KJB30660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEEERRELNLVSKKDEEESESSMTPWEQHAKVISIPRFDYKAPSSLLQRSHSGFLITCTIKREKSATKEAMAIFSKYVGPFNGDDDTLGCSGNSDANADIKRRKIYTEEIDQNIGNSVDSSEITDAAGGIPKDDCFVSAKTDKSQAPEFVLSLVKLSRSGLLLLTFPWGNSLDTIDVVSKIFCDLESGSLKSPLWCHRIFPIQATCTLNEKELQAVVSKLVFQFVNDKRNKLAQPLKFAVGFNRRGTEESQMKTSKDASKNSDMSVLLDRNKCFGAVAAAVKGIVSDSVVDLKSPELSILVELLPLSGVPNGSLLVGVSVLPQNLVSTKPRLCIKPLVCDKNGKKAS >KJB30658 pep chromosome:Graimondii2_0_v6:5:43713687:43718188:-1 gene:B456_005G155100 transcript:KJB30658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPSMVMTTPWDVQETLMQMLILREGKYIQKKLIRILAIVSTAQRSLMQPVGGIPKDDCFVSAKTDKSQAPEFVLSLVKLSRSGLLLLTFPWGNSLDTIDVVSKIFCDLESGSLKSPLWCHRIFPIQATCTLNEKELQAVVSKLVFQFVNDKRNKLAQPLKFAVGFNRRGTEESQMKTSKDASKNSDMSVLLDRNKCFGAVAAAVKGIVSDSVVDLKSPELSILVELLPLSGVPNGSLLVGVSVLPQNLVSTKPRLCIKPLVCDKNGKKAS >KJB30662 pep chromosome:Graimondii2_0_v6:5:43713687:43718208:-1 gene:B456_005G155100 transcript:KJB30662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEEERRELNLVSKKDEEESESSMTPWEQHAKVISIPRFDYKAPSSLLQRSHSGFLITCTIKREKSATKEAMAIFSKYVGPFNGDDDTLGCSGNSDANADIKRRKIYTEEIDQNIGNSVDSSEITDAAGGIPKDDCFVSAKTDKSQAPEFVLSLVKLSRSGLLLLTFPWGNSLDTIDVVSKIFCDLESGSLKSPLWCHRIFPIQATCTLNEKELQAVVSKLVFQFVNDKRNKLAQPLKFAVGFNRRGTEESQMKTSKDASKNSDMSVLLDRNKCFGAVAAAVKGIVSDSVVDLKSPELSILVELLPLSGVPNGSLLVGVSVLPQNLVSTKPRLCIKPLVCDKNGKKAS >KJB30661 pep chromosome:Graimondii2_0_v6:5:43713841:43718204:-1 gene:B456_005G155100 transcript:KJB30661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEEERRELNLVSKKDEEESESSMTPWEQHAKVISIPRFDYKAPSSLLQRSHSGFLITCTIKREKSATKEAMAIFSKYVGPFNGDDDTLGCSGNSDANADIKRRKIYTEEIDQNIGNSVDSSEITDAAGGIPKDDCFVSAKTDKSQAPEFVLSLVKLSRSGLLLLTFPWGNSLDTIDVVSKIFCDLESGSLKSPLWCHRIFPIQATCTLNEKELQAVVSKLVFQFVNDKRNKLAQPLKFAVGFNRRGTEESQMKTSKDASKNSDMSVLLDRNKCFGAVAAAVKGIVSDSVVDLKSPEFYPKTWLVLSQDSALSHWFAIKMGRRQVKAAKNYRELMKRVTP >KJB32713 pep chromosome:Graimondii2_0_v6:5:63474606:63478037:1 gene:B456_005G258900 transcript:KJB32713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQTIDSKVSEYGLRNPESNLPTCDKQPLVGTKKTPLRDLQNENRTVPYSTGSSSFSKDRGPVIDPAKVSGNKRPAPECPVSPSRCQSPSSSAINGHLVYVRRKPEAELAKNCALDCSSTSNCQQPRPAGGQVEEINQQKPQIKEPKVSCFPAFAPLPMAPLTSSSAKPSVPIPLGKSATRLAPPLESNQHTLDSSASALDSPNGFKKLHWEERYYHLQMLLKKLDQSDLEDYTQMLQSLSAVELSKHAIELEKRSIQLSLEEAKELQRVAVLNVLGKTMKIAKAPSNQPDQSYK >KJB32716 pep chromosome:Graimondii2_0_v6:5:63475197:63478056:1 gene:B456_005G258900 transcript:KJB32716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQTIDSKVSEYGLRNPESNLPTCDKQPLVGTKKTPLRDLQNENRTVPYSTGSSSFSKDRGPVIDPAKVSGNKRPAPECPVSPSRCQSPSSSAINGHLVYVRRKPEAELAKNCALDCSSTSNCQQPRPAGGQVEEINQQKPQIKEPKVSCFPAFAPLPMAPLTSSSAKPSVPIPLGKSATRLAPPLESNQHTLDSSASALDSPNGFKKLHWEERYYHLQMLLKKLDQSDLEDYTQMLQSLSAVELSKHAIELEKRSIQLSLEEAKELQRVAVLNVLGKTMKIAKAPSNQPDQSYK >KJB32712 pep chromosome:Graimondii2_0_v6:5:63474799:63478056:1 gene:B456_005G258900 transcript:KJB32712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQTIDSKVSEYGLRNPESNLPTCDKQPLVGTKKTPLRDLQNENRTVPYSTGSSSFSKDRGPVIDPAKVSGNKRPAPECPVSPSRCQSPSSSAINGHLVYVRRKPEAELAKNCALDCSSTSNCQQPRPAGGQVEEINQQKPQIKEPKVSCFPAFAPLPMAPLTSSSAKPSVPIPLGKSATRLAPPLESNQHTLDSSASALDSPNGFKKLHWEERYYHLQMLLKKLDQSDLEDYTQMLQSLSAVELSKHAIELEKRSIQLSLEEAKELQRVAVLNVLGKTMKIAKAPSNQPDQSYK >KJB32720 pep chromosome:Graimondii2_0_v6:5:63474703:63478033:1 gene:B456_005G258900 transcript:KJB32720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQTIDSKVSEYGLRNPESNLPTCDKQPLVGTKKTPLRDLQNENRTVPYSTGSSSFSKDRGPVIDPAKVSGNKRPAPECPVSPSRCQSPSSSAINGHLVYVRRKPEAELAKNCALDCSSTSNCQQPRPAGGQVEEINQQKPQIKEPKVSCFPAFAPLPMAPLTSSSAKPSVPIPLGKSATRLAPPLESNQHTLDSSASALDSPNGFKKLHWEERYYHLQMLLKKLDQSDLEDYTQMLQSLSAVELSKHAIELEKRSIQLSLEEAKELQRVAVLNVLGKTMKIAKAPSNQPDQSYK >KJB32719 pep chromosome:Graimondii2_0_v6:5:63474650:63478059:1 gene:B456_005G258900 transcript:KJB32719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQTIDSKVSEYGLRNPESNLPTCDKQPLVGTKKTPLRDLQNENRTVPYSTGSSSFSKDRGPVIDPAKVSGNKRPAPECPVSPSRCQSPSSSAINGHLVYVRRKPEAELAKNCALDCSSTSNCQQPRPAGGQVEEINQQKPQIKEPKVSCFPAFAPLPMAPLTSSSAKPSVPIPLGKSATRLAPPLESNQHTLDSSASALDSPNGFKKLHWEERYYHLQMLLKKLDQSDLEDYTQMLQSLSAVELSKHAIELEKRSIQLSLEEAKELQRVAVLNVLGKTMKIAKAPSNQPDQSYK >KJB32711 pep chromosome:Graimondii2_0_v6:5:63474690:63478033:1 gene:B456_005G258900 transcript:KJB32711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQTIDSKVSEYGLRNPESNLPTCDKQPLVGTKKTPLRDLQNENRTVPYSTGSSSFSKDRGPVIDPAKVSGNKRPAPECPVSPSRCQSPSSSAINGHLVYVRRKPEAELAKNCALDCSSTSNCQQPRPAGGQVEEINQQKPQIKEPKVSCFPAFAPLPMAPLTSSSAKPSVPIPLGKSATRLAPPLESNQHTLDSSASALDSPNGFKKLHWEERYYHLQMLLKKLDQSDLEDYTQMLQSLSAVELSKHAIELEKRSIQLSLEEAKELQRVAVLNVLGKTMKIAKAPSNQPDQSYK >KJB32715 pep chromosome:Graimondii2_0_v6:5:63474690:63477525:1 gene:B456_005G258900 transcript:KJB32715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQTIDSKVSEYGLRNPESNLPTCDKQPLVGTKKTPLRDLQNENRTVPYSTGSSSFSKDRGPVIDPAKVSGNKRPAPECPVSPSRCQSPSSSAINGHLVYVRRKPEAELAKNCALDCSSTSNCQQPRPAGGQVEEINQQKPQIKEPKVSCFPAFAPLPMAPLTSSSAKPSVPIPLGKSATRLAPPLESNQHTLDSSASALDSPNGFKKLHWEERYYHLQMLLKKLDQSDLEDYTQMLQSLSAVELSKHAIELEKRSIQLSLEEGNLRVHRVLLFRTIICMNV >KJB32714 pep chromosome:Graimondii2_0_v6:5:63474756:63478056:1 gene:B456_005G258900 transcript:KJB32714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQTIDSKVSEYGLRNPESNLPTCDKQPLVGTKKTPLRDLQNENRTVPYSTGSSSFSKDRGPVIDPAKVSGNKRPAPECPVSPSRCQSPSSSAINGHLVYVRRKPEAELAKNCALDCSSTSNCQQPRPAGGQVEEINQQKPQIKEPKVSCFPAFAPLPMAPLTSSSAKPSVPIPLGKSATRLAPPLESNQHTLDSSASALDSPNGFKKLHWEERYYHLQMLLKKLDQSDLEDYTQMLQSLSAVELSKHAIELEKRSIQLSLEEAKELQRVAVLNVLGKTMKIAKAPSNQPDQSYK >KJB32717 pep chromosome:Graimondii2_0_v6:5:63474606:63478056:1 gene:B456_005G258900 transcript:KJB32717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQTIDSKVSEYGLRNPESNLPTCDKQPLVGTKKTPLRDLQNENRTVPYSTGSSSFSKDRGPVIDPAKVSGNKRPAPECPVSPSRCQSPSSSAINGHLVYVRRKPEAELAKNCALDCSSTSNCQQPRPAGGQVEEINQQKPQIKEPKVSCFPAFAPLPMAPLTSSSAKPSVPIPLGKSATRLAPPLESNQHTLDSSASALDSPNGFKKLHWEERYYHLQMLLKKLDQSDLEDYTQMLQSLSAVELSKHAIELEKRSIQLSLEEAKELQRVAVLNVLGKTMKIAKAPSNQPDQSYK >KJB32718 pep chromosome:Graimondii2_0_v6:5:63474649:63478056:1 gene:B456_005G258900 transcript:KJB32718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQTIDSKVSEYGLRNPESNLPTCDKQPLVGTKKTPLRDLQNENRTVPYSTGSSSFSKDRGPVIDPAKVSGNKRPAPECPVSPSRCQSPSSSAINGHLVYVRRKPEAELAKNCALDCSSTSNCQQPRPAGGQVEEINQQKPQIKEPKVSCFPAFAPLPMAPLTSSSAKPSVPIPLGKSATRLAPPLESNQHTLDSSASALDSPNGFKKLHWEERYYHLQMLLKKLDQSDLEDYTQMLQSLSAVELSKHAIELEKRSIQLSLEEAKELQRVAVLNVLGKTMKIAKAPSNQPDQSYK >KJB32273 pep chromosome:Graimondii2_0_v6:5:61523538:61524652:-1 gene:B456_005G232700 transcript:KJB32273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKTYGPGSRACRVCGNPHAIIRKYGLMCCRQCFRSNAKEIGFIKYR >KJB30814 pep chromosome:Graimondii2_0_v6:5:46893777:46898781:1 gene:B456_005G162000 transcript:KJB30814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEFQEPFNISFLTESLHSGSISFGRFENEPLAWERRSSFSHNKYLEEVEKFSKPGLVIEKKAYFEAHFRKKALLLQGSSEGQTGGEDQTCENDAAENEGYREYQVVENHAAENKHYEEGSDSLSKDSHCNHFGENGLDDADCREDFCCGNGNEGNQSGDANEGSLCAHFDNGLEDSKYHTEGALIECGLEYPGGLSTYETHVLVPGDVKPEESPESEIGCDTPLISNDKPDKKIEENHDDNAVSIDESFKSRNPSPCSGTTGEVDPAKLEIRQNHSPKLKPAIVKATKPRLKSPSPDHSRKNISSDPSKAAARVLERKEKEINRRTKAENLPSRTATPTRRLMHRSPNKEDSERDNAKLNTEHKSVKGAMAKKVIEARPSLSKKIEPVAHQTPNRLKQTISSSKADVKSSAGAFQFKSGERAERRKEFSMKVEEKMHSKEVQMNQIQARKQEKTEAEIKQLRKSLNFKAKPMPSFYHVATAPGSNGNKAASSTIKPAKVLPKAASPGVGATVRSPPLSKQANKEVFSTERERERGQ >KJB30815 pep chromosome:Graimondii2_0_v6:5:46893808:46898781:1 gene:B456_005G162000 transcript:KJB30815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEFQEPFNISFLTESLHSGSISFGRFENEPLAWERRSSFSHNKYLEEVEKFSKPGLVIEKKAYFEAHFRKKALLLQGSSEGQTGGEDQTCENDAAENEGYREYQVVENHAAENKHYEEGSDSLSKDSHCNHFGENGLDDADCREDFCCGNGNEGNQSGDANEGSLCAHFDNGLEDSKYHTEGALIECGLEYPGGLSTYETHVLVPGDVKPEESPESEIGCDTPLISNDKPDKKIEENHDDNAVSIDESFKSRNPSPCSGTTGEVDPAKLEIRQNHSPKLKPAIVKATKPRLKSPSPDHSRKNISSDPSKAAARVLERKEKEINRRTKAENLPSRTATPTRRLMHRSPNKEDSERDNAKLNTEHKSVKGAMAKKVIEARPSLSKKIEPVAHQTPNRLKQTISSSKADVKSSAGAFQFKSGERAERRKEFSMKVEEKMHSKEVQMNQIQARKQEKTEAEIKQLRKSLNFKAKPMPSFYHVATAPGSNGNKAASSTIKPAKVLPKAASPGVGATVRSPPLSKQANKEVFSTGELNFCRVESSSKSGIISSTPPTGSPGSSASLTQNIVLLKKERGKEGSNLPKHGTSEKGKVIKDRKNGGMPKVGAERMKKNNMKSAGIVANASGSSIGRLTVVAS >KJB27671 pep chromosome:Graimondii2_0_v6:5:216636:218924:1 gene:B456_005G003900 transcript:KJB27671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNTKKAIEELVRGKELTKQLRDLLTKSLTDDGFVDSEGLVLKICDTFANTLSLLTNSNTTTTTAVPDYYYNDDLNDVSQKPTINITSDGRKSEDSGDSIKSPPSTLRDRRGSYKRRSYFRCTHKTDQGCQATKQVQKLEDDPPKYATIYYGHHTCKNKLKPCHFMVDDSTCNNDSSILLSFANDSTLTNKQGNDNPFFSSVKQESKEDYKPISEITYNGSSSSDYLLSPHHHQLSTFDLSSADMTVLQSPDHDVLSDVVDSVHLDDLLQF >KJB27670 pep chromosome:Graimondii2_0_v6:5:216589:219052:1 gene:B456_005G003900 transcript:KJB27670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNTKKAIEELVRGKELTKQLRDLLTKSLTDDGFVDSEGLVLKICDTFANTLSLLTNSNTTTTTAVPDYYYNDDLNDVSQKPTINITSDGRKSEDSGDSIKSPPSTLRDRRGSYKRRKCVDSRKKITSALVDDGYAWRKYGQKQILNANHPRSYFRCTHKTDQGCQATKQVQKLEDDPPKYATIYYGHHTCKNKLKPCHFMVDDSTCNNDSSILLSFANDSTLTNKQGNDNPFFSSVKQESKEDYKPISEITYNGSSSSDYLLSPHHHQLSTFDLSSADMTVLQSPDHDVLSDVVDSVHLDDLLQF >KJB32537 pep chromosome:Graimondii2_0_v6:5:62671204:62674171:1 gene:B456_005G246700 transcript:KJB32537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAKAPRRTLDSYAVKHINKIIKAGDCVLMRPADQSKPQYVSRIERIEADARGGNVKVHVRWYYRPEESIGGRRQFHGSKELFLSDHYDVQSADTIEGKCTVHSFKSYTKLDAVGNDDFFCRFEYNSSTGSFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWFHPACIEMTAEEAKRLDHFFCESCSSEGQKKLQNSHAASRHSDTKVDTKRRRR >KJB29300 pep chromosome:Graimondii2_0_v6:5:12852020:12852696:1 gene:B456_005G092900 transcript:KJB29300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLTHKMFACLVSGLMRESLGKGASQVSTVLCLQCFGFPRLMPSFLCRFVLPPRHYIEPFFLSNIFEPNWILFQKCIIDK >KJB29666 pep chromosome:Graimondii2_0_v6:5:21374569:21375698:1 gene:B456_005G112800 transcript:KJB29666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTICPSSSFIMPCFLAQEARKFCCSAASAVITELFFAIFIFIFAVVGATLGGLTGAFVGAKTKMGLMYGVAVGVMEGSFLSIKLFQISLLICSSNDSALATRYLLQPINVFESTTPFIQVPWNKEGLSEDSMDKIPKMRITEENVLSNTNPCSICLEFIGCHNVTIRSIYRVFKSG >KJB31898 pep chromosome:Graimondii2_0_v6:5:59583223:59587669:-1 gene:B456_005G213300 transcript:KJB31898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVPPGTSSDASKPAHSKADEKTDYMNLPCPIPYEELHREALMSLKPETFEGLRFDFTKGLNQKFSLSHSVFMGPTEIPSQSAETIKIPTAHYEFGANFIDPNLMLIGRVLTDGRLNARLKWDLSDNFTVKANAQLSNEPHMSHGMFNFDYKGKDFRSQFQMGNGALFGASYIQSITQHLSLGGEVFWAGQHRKSGIGYAARYETDKMVATGQVANTGIVALSYVQKVSDKVSLATDLMYNYMSRDVTASVGYDYILRQCRLRGKIDSNGCTTSYLEERLNMGLNFILSAEIDHRKKDYKFGFGLTVG >KJB31897 pep chromosome:Graimondii2_0_v6:5:59583223:59587568:-1 gene:B456_005G213300 transcript:KJB31897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVPPGTSSDASKPAHSKADEKTDYMNLPCPIPYEELHREALMSLKPETFEGLRFDFTKGLNQKFSLSHSVFMGPTEIPSQSAETIKIPTAHYEFGGEVFWAGQHRKSGIGYAARYETDKMVATGQVANTGIVALSYVQKVSDKVSLATDLMYNYMSRDVTASVGYDYILRQCRLRGKIDSNGCTTSYLEERLNMGLNFILSAEIDHRKKDYKFGFGLTVG >KJB27747 pep chromosome:Graimondii2_0_v6:5:523484:529163:-1 gene:B456_005G007600 transcript:KJB27747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSTVPHLQIHCSKTESDSVHKPITFPSKTIRPIIHVPRKLVFTPKSVDDKISNSNFLANGPVPSTPTRSKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDRVLQQVVEQLQKLVNVWKVEDLSNEPQVERELMLIKVNADPKFRAEIMWLVDIFRAKIVDISEHSLTIEVTGDPGKMVAVQRNLSKFGIKEIARTGKIALRREKMGASAPFWRFSAASYPDLEETVPDNALSGARKQSVFSEADVSGGGDVYPVESPDGFTINQVLDAHWGVLTDEDTSGHQSHTLSMLVNDSPGVLNLVTGVFARRGYNLQSLAVGHAEVEGLSRITTVVPGTDESISKLVQQLYKLVDMHEVRDLTQLPFAERELMLIKIAVNAAARRDVLDIANIFRAKAVDVSDHTVTLELTGDLDKMVALQRLLEPYGICEVARTGRLALVRESGVDSRYLRGYSLPL >KJB27748 pep chromosome:Graimondii2_0_v6:5:524351:529082:-1 gene:B456_005G007600 transcript:KJB27748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSTVPHLQIHCSKTESDSVHKPITFPSKTIRPIIHVPRKLVFTPKSVDDKISNSNFLANGPVPSTPTRSKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDRVLQQVVEQLQKLVNVWKVEDLSNEPQVERELMLIKVNADPKFRAEIMWLVDIFRAKIVDISEHSLTIETSGHQSHTLSMLVNDSPGVLNLVTGVFARRGYNLQSLAVGHAEVEGLSRITTVVPGTDESISKLVQQLYKLVDMHEVRDLTQLPFAERELMLIKIAVNAAARRDVLDIANIFRAKAVDVSDHTVTLELTGDLDKMVALQRLLEPYGICEVARTGRLALVRESGVDSRYLRGYSLPL >KJB31738 pep chromosome:Graimondii2_0_v6:5:58503894:58505229:1 gene:B456_005G205700 transcript:KJB31738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRAWIAAASIGAVEALKDQGICRWNYTARSVVQHAKNHVRSASQVKNLSSQSSAAISKGLLQSKQSEESLRTVMYLSCWGPN >KJB31260 pep chromosome:Graimondii2_0_v6:5:53481443:53485637:1 gene:B456_005G182900 transcript:KJB31260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNGNEEVTSLYSLSCRDRRFSKHIHDNVHGNIYLDPVLLMIKVFLEMTFCLLLMQFFLQFVDTEQFQRLRELKQLGLTHMVYPGAVHSRFEHSLGVYWLAGEAVYTVQGHQGSEINIERNDIRTVKLAGLLHDVGHGPFSHLFEREFLPRVLNGSEWSHEDMSVKMIDYIVDAHHIEIDAAILNNVKEMVLASSANASQNTVNEKRFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCNFQFQRLLETMRVMGDEICYRAKDYLTVHKLFATRADLHRTVYTHAKVKAIELMVVDALTLAHGELSISASIQEPAKFWKLDDSILRQIETSDKQELKKARDLVLRIRRRDLYQFCNEFAVPKDKQEHFKDITPQDIVCSQKNGDTPLNEEDISVSIVKIDLTRGRSNPLESIKFFQDYESDYKFPMRDERISHLLPAFCQDMIVRVYSKKPELVGAVSKAFENYQLKTYGMKAQVHDTPEKKKRRV >KJB31259 pep chromosome:Graimondii2_0_v6:5:53481083:53485722:1 gene:B456_005G182900 transcript:KJB31259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNGNEEVTSLYSLSCRDRRFSKHIHDNVHGNIYLDPFFLQFVDTEQFQRLRELKQLGLTHMVYPGAVHSRFEHSLGVYWLAGEAVYTVQGHQGSEINIERNDIRTVKLAGLLHDVGHGPFSHLFEREFLPRVLNGSEWSHEDMSVKMIDYIVDAHHIEIDAAILNNVKEMVLASSANASQNTVNEKRFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCNFQFQRLLETMRVMGDEICYRAKDYLTVHKLFATRADLHRTVYTHAKVKAIELMVVDALTLAHGELSISASIQEPAKFWKLDDSILRQIETSDKQELKKARDLVLRIRRRDLYQFCNEFAVPKDKQEHFKDITPQDIVCSQKNGDTPLNEEDISVSIVKIDLTRGRSNPLERIMRVITNFR >KJB31258 pep chromosome:Graimondii2_0_v6:5:53481083:53485711:1 gene:B456_005G182900 transcript:KJB31258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNGNEEVTSLYSLSCRDRRFSKHIHDNVHGNIYLDPFFLQFVDTEQFQRLRELKQLGLTHMVYPGAVHSRFEHSLGVYWLAGEAVYTVQGHQGSEINIERNDIRTVKLAGLLHDVGHGPFSHLFEREFLPRVLNGSEWSHEDMSVKMIDYIVDAHHIEIDAAILNNVKEMVLASSANASQNTVNEKRFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCNFQFQRLLETMRVMGDEICYRAKDYLTVHKLFATRADLHRTVYTHAKVKAIELMVVDALTLAHGELSISASIQEPAKFWKLDDSILRQIETSDKQELKKARDLVLRIRRRDLYQFCNEFAVPKDKQEHFKDITPQDIVCSQKNGDTPLNEEDISVSIVKIDLTRGRSNPLESIKFFQDYESDYKFPMRDERISHLLPAFCQDMIVRVYSKKPELVGAVSKAFENYQLKTYGMKAQVHDTPEKKKRRV >KJB28767 pep chromosome:Graimondii2_0_v6:5:7304963:7309280:1 gene:B456_005G068400 transcript:KJB28767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEERSLSLLQLMVNEGLVPSPEEEENRKTFIEKLKQIVVAWVKRVAWQRRLPKQDIAVSSATLLTYGSYGLGVHGSESDIDALCVGPYFATMVDDFFIVLYNMLKSRPEVSEIYCVKDVKVPLMRFVFDGILIDLPFVQLKILVVPENLDILNPVFLRDIDETGWKSLSRVLANTQICRLVPDLKSMLRCVKFWAKRRGVYASKQDELGDWVGWIKSRFCCLLFKLEEVQGLCDPNPTEYIDVNIADPHVIFYWGLQAGKTNAIDIKSVKDVFWRNISTGYQGPFGKIELSIVKASQVPKSAQFDTLSQKRKKACWKMMDYHQRRIPIYSQHVPQYIVGYVSTNGDPEYPSAGV >KJB28765 pep chromosome:Graimondii2_0_v6:5:7304748:7309322:1 gene:B456_005G068400 transcript:KJB28765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEERSLSLLQLMVNEGLVPSPEEEENRKTFIEKLKQIVVAWVKRVAWQRRLPKQDIAVSSATLLTYGSYGLGVHGSESDIDALCVGPYFATMVDDFFIVLYNMLKSRPEVSEIYCVKDVKVPLMRFVFDGILIDLPFVQLKILVVPENLDILNPVFLRDIDETGWKSLSRVLANTQICRLVPDLKKFQSMLRCVKFWAKRRGVYGNLNGFLGGIHLAILAAFVCQCDPFVGLSALISHFFKKFAFWPWPRPVELQDETLHPTLNPTETRLYMPIRLPFSSYEYCHSNITKSTFYKIRTEFLRGHNLTKDLLKFDFDWHNVLEPFPYTKKYAWFLKIFLSASKQDELGDWVGWIKSRFCCLLFKLEEVQGLCDPNPTEYIDVNIADPHVIFYWGLQAGKTNAIDIKSVKDVFWRNISTGYQGPFGKIELSIVKASQVPKSAQFDTLSQKRKKACWKMMDYHQRRIPIYSQHVPQYIVGYVSTNGDPEYPSAGV >KJB28766 pep chromosome:Graimondii2_0_v6:5:7304963:7309280:1 gene:B456_005G068400 transcript:KJB28766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEERSLSLLQLMVNEGLVPSPEEEENRKTFIEKLKQIVVAWVKRVAWQRRLPKQDIAVSSATLLTYGSYGLGVHGSESDIDALCVGPYFATMVNLDILNPVFLRDIDETGWKSLSRVLANTQICRLVPDLKSMLRCVKFWAKRRGVYGNLNGFLGGIHLAILAAFVCQCDPFVGLSALISHFFKKFAFWPWPRPVELQDETLHPTLNPTETRLYMPIRLPFSSYEYCHSNITKSTFYKIRTEFLRGHNLTKDLLKFDFDWHNVLEPFPYTKKYAWFLKIFLSASKQDELGDWVGWIKSRFCCLLFKLEEVQGLCDPNPTEYIDVNIADPHVIFYWGLQAGKTNAIDIKSVKDVFWRNISTGYQGPFGKIELSIVKASQVPKSAQFDTLSQKRKKACWKMMDYHQRRIPIYSQHVPQYIVGYVSTNGDPEYPSAGV >KJB28764 pep chromosome:Graimondii2_0_v6:5:7304748:7309322:1 gene:B456_005G068400 transcript:KJB28764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEERSLSLLQLMVNEGLVPSPEEEENRKTFIEKLKQIVVAWVKRVAWQRRLPKQDIAVSSATLLTYGSYGLGVHGSESDIDALCVGPYFATMVDDFFIVLYNMLKSRPEVSEIYCVKDVKVPLMRFVFDGILIDLPFVQLKILVVPENLDILNPVFLRDIDETGWKSLSRVLANTQICRLVPDLKKFQSMLRCVKFWAKRRGVYGNDLLKFDFDWHNVLEPFPYTKKYAWFLKIFLSASKQDELGDWVGWIKSRFCCLLFKLEEVQGLCDPNPTEYIDVNIADPHVIFYWGLQAGKTNAIDIKSVKDVFWRNISTGYQGPFGKIELSIVKASQVPKSAQFDTLSQKRKKACWKMMDYHQRRIPIYSQHVPQYIVGYVSTNGDPEYPSAGV >KJB29028 pep chromosome:Graimondii2_0_v6:5:9569072:9575539:1 gene:B456_005G080500 transcript:KJB29028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVSYGNAQRDIDQALIALKKGAQLLKYGRKGKPKFCPFRLSNDETSLIWISSSGERSLKLASVSKIIPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSDGGLYLDDGRDLTSNSASDSSVSATRDISSPEVFVSFNPNTSPKSLRPENSFHSERSHVASEGTNMDVKGSGSYAFRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICDNAVKVVADKNANYLSMRADVLLPRPLEYNVVLDVHHVACGVKHAALVTRQGEVFTWGEESGGRLGHGVGKDVIQPRLVESLAVTSVDFVACGEFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQVASVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRESVPYPKEVESLSGLRTIAVACGVWHTAAIVEVIVSQSSASVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYNFHKVACGHSLTVGLTTSGHVFTMGSTVYGQLGNPCADGKIPSLVEDKLSGECVEEIACGAYHVAVLTSRNEVYTWGKGANGRLGHGDVEDRKTPTLVEGLKDRHVKFIACGSNYSAAICLHKWVSGAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSKKALGAALAPNPGKPYRVCDSCFAKLNKVSEAGNNRRNSVPRLSGENKDRLDKAEIRLSKSATPNMDLIKQLDSKAAKQGKKTETFSVVRSAQAPSSFQLKDVVLSNPVDLRRTVPKPILTPSGVSSRSVSPFSRRPSPPRSATPIPTTSGLSFSKSITDSLKKTNELLNQEVLKLRGQVETLRKRCELQESELQKSTKKTQEAMKVAAEESAKSKAAKEVIKSLTAQLKDMAERLPPGVYDTENIKPAYLPNGLEPNGIHYPYANGEGHLRSESIGGSFLASPTALDSSTINGNQSPGQLLKEPTGANGRDDHSGTRLLNGSGGLQAGGSGVSAAVDEREFGSFGDGENGTKSRNSALAANGNQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFRYIFLCSLISHCLYLLCLNTTSTTYIERR >KJB29027 pep chromosome:Graimondii2_0_v6:5:9569072:9575539:1 gene:B456_005G080500 transcript:KJB29027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVSYGNAQRDIDQALIALKKGAQLLKYGRKGKPKFCPFRLSNDETSLIWISSSGERSLKLASVSKIIPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSDGGLYLDDGRDLTSNSASDSSVSATRDISSPEVFVSFNPNTSPKSLRPENSFHSERSHVASEGTNMDVKGSGSYAFRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICDNAVKVVADKNANYLSMRADVLLPRPLEYNVVLDVHHVACGVKHAALVTRQGEVFTWGEESGGRLGHGVGKDVIQPRLVESLAVTSVDFVACGEFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQVASVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRESVPYPKEVESLSGLRTIAVACGVWHTAAIVEVIVSQSSASVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYNFHKVACGHSLTVGLTTSGHVFTMGSTVYGQLGNPCADGKIPSLVEDKLSGECVEEIACGAYHVAVLTSRNEVYTWGKGANGRLGHGDVEDRKTPTLVEGLKDRHVKFIACGSNYSAAICLHKWVSGAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSKKALGAALAPNPGKPYRVCDSCFAKLNKVSEAGNNRRNSVPRLSGENKDRLDKAEIRLSKSATPNMDLIKQLDSKAAKQGKKTETFSVVRSAQAPSSFQLKDVVLSNPVDLRRTVPKPILTPSGVSSRSVSPFSRRPSPPRSATPIPTTSGLSFSKSITDSLKKTNELLNQEVLKLRGQVETLRKRCELQESELQKSTKKTQEAMKVAAEESAKSKAAKEVIKSLTAQLKDMAERLPPGVYDTENIKPAYLPNGLEPNGIHYPYANGEGHLRSESIGGSFLASPTALDSSTINGNQSPGQLLKEPTGANGRDDHSALAANGNQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENREKVYERYNVHVSDKASISGQTARRSEGALSPTSQV >KJB29026 pep chromosome:Graimondii2_0_v6:5:9568416:9575728:1 gene:B456_005G080500 transcript:KJB29026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVSYGNAQRDIDQALIALKKGAQLLKYGRKGKPKFCPFRLSNDETSLIWISSSGERSLKLASVSKIIPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSDGGLYLDDGRDLTSNSASDSSVSATRDISSPEVFVSFNPNTSPKSLRPENSFHSERSHVASEGTNMDVKGSGSYAFRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICDNAVKVVADKNANYLSMRADVLLPRPLEYNVVLDVHHVACGVKHAALVTRQGEVFTWGEESGGRLGHGVGKDVIQPRLVESLAVTSVDFVACGEFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQVASVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRESVPYPKEVESLSGLRTIAVACGVWHTAAIVEVIVSQSSASVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYNFHKVACGHSLTVGLTTSGHVFTMGSTVYGQLGNPCADGKIPSLVEDKLSGECVEEIACGAYHVAVLTSRNEVYTWGKGANGRLGHGDVEDRKTPTLVEGLKDRHVKFIACGSNYSAAICLHKWVSGAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSKKALGAALAPNPGKPYRVCDSCFAKLNKVSEAGNNRRNSVPRLSGENKDRLDKAEIRLSKSATPNMDLIKQLDSKAAKQGKKTETFSVVRSAQAPSSFQLKDVVLSNPVDLRRTVPKPILTPSGVSSRSVSPFSRRPSPPRSATPIPTTSGLSFSKSITDSLKKTNELLNQEVLKLRGQVETLRKRCELQESELQKSTKKTQEAMKVAAEESAKSKAAKEVIKSLTAQLKDMAERLPPGVYDTENIKPAYLPNGLEPNGIHYPYANGEGHLRSESIGGSFLASPTALDSSTINGNQSPGQLLKEPTGANGRDDHSGTRLLNGSGGLQAGGSGVSAAVDEREFGSFGDGENGTKSRNSALAANGNQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENREKVYERYNVHVSDKASISGQTARRSEGALSPTSQV >KJB30980 pep chromosome:Graimondii2_0_v6:5:49865902:49866423:1 gene:B456_005G170500 transcript:KJB30980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETFARLRYLLRGLRPIETIYLRLSIGSTVIVTIAVHQGFGCRLPCHQVTNFLNLPVLGRCQPPYMVLRLCEDLCFW >KJB27554 pep chromosome:Graimondii2_0_v6:5:11250900:11262806:-1 gene:B456_005G087500 transcript:KJB27554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCGNMTFVNEPSRDVASAAAEQHLCSESMGKLVSEQRACVVIDSNGDYASEPGEDENSACKRSGDIDCKVGIKGEAQIVGSGTKGLMGGECCENSVCLKKNGGEIADASCSKALVGDMCGDSVVCLENNQGDLMGDSGLKELMGNANDDTVVCLKDQGESMNDSDSKELMDDRCRDCIVYSIENQGEKEDGSFPLDLRSDTYRDSTVCSIGNQGENVDGAGSKELIVDICGDAMVCLNDNQGENPDCSGPEELMGYVDNTGYSNEIQCKNVDSGLNELKGDRISDHGVGLNENQDDVDIHDSVTDVCLEKSGPSGEDGTNAAKGSLGLSQDKNTAALSGGIEISTNCEDQMKGDNENVVGLMLKECMGNNQGRICLTGNMGIDDHLDSENDVSQDGEMPIELNTMSTSPKSSVKLDKQDDDERVNGSILQRILEYGEMKFEEKSDAVERKGTDVLNQILLSENFKGPFELIDATGEHKSKDGMSTYCSSSEVAMEEKREVLTEVEANICNQMSSIQGSHLASTSIGIGDCRSDCPRQNDLKDSNTIVGPSLDGESGASPMIESDTCGKISTSCCVETISNLQQTGDSVGSCDGHSQKDDLSSSGLSLERFPKPVETKSTDDLCIELLASRRLFDTQKNAQTVGNDISDSSGDGVAEVSEGRTDFLAYTKAETSSEIIINAKGKACNSNRDSFEQGANCLCDKSTSLSCQPVDVVDNGLSGRLDPQDLLAKDACAAISSSSSIDCSGQRENEGKDIIKADCVLETKNCPTTSSSSRKGRQKGKSSRKTPAKRGARNCSSTKLRHPHESMEFLFKATRRKRSCSSKPARSSIWGLFSNIAKFIEPCPDPSCNEVQNRKPSKARGGRGSGKRSKNRAGQNRKESSGLSSTLTSCLRLKIKVGKEVAPSNVNTLVAEVVDPSVLIGTSFSNYGKETNLQYPTVANIVEDKVELGSEMRFQSKEDQEMVKTCSDVFLTEVKLANKAVRCSENLERLSEDATDSSLISQSDAVAEASQEAIENKCMDPGTSPDSEVINTIPDAQIGLIHQEESLDTVLNTSGALASPRGARTSKGSKRGKKDNHRSPGAASTRKAKSSKSRRSREKTTGNGLVSIEALTSSSAANSSRENWIGVPKEATEMENSMDIKDCCSPDVPDTKNTKHFSSSNSKCNQLSKSSRSQGASKGKSRVSHSVKSRKAKGSKRRGDESKSVSKSKVKEKCSDEEIVARGGKSPVTVGAAGNQISDDNEHLNTGNSIESANMVNVDLVPDGVMEQHTQPDNAWVRCDDCHKWRRIPVSLVKSIDEAYHWVCGDNVDKAFADCSIPQEKSNADINAELGISDAEEDGCDGFNYKELEKGFETKRMTVSPPSHFWRIDSNQFLHRGRKTQTIDEIMVCQCKRPPDGKLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQNHKYAMMKWDRFGKKGFGLRMLENISAGHFLIEYVGEVLDMQAYEARQKEYASRGQRHFYFMTLNGSEVIDAYVKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFALRDIKKGEEVTFDYNYVRVFGAAAKKCHCGSPHCRGYIGGDPLSAEVIVHDDSDEESPEPMMLEDGETWTGFKDVISRSGSSDGAAMQSVESVITDGVLKPENMPEAEDSVNRSASATSELNTVETEDLKGNFQLAIQPEEASPVTIASESVQPDGTEEQKAMNKTSCSIQKLDASQDMSDNRLSSDVIDANKKSKSDAAEDKQVSAKSRPLMKTSRSSSSIKKGKISSNSLSGNKVQTTSNKFQVSTVKPRKFSENSSTCRFEAVEEKLNELLDSEGGITKRKDASKGYLKLLLLTATSGDSGGGEAIQSNRELSMILDALLKTKSGHVLTDIINKNGLQMLHNIMKKYRKDFKKIPILRKLLKVQCGNCVVALLFCGDYIMIFANMLCMPFLVLLLGI >KJB27550 pep chromosome:Graimondii2_0_v6:5:11248345:11262806:-1 gene:B456_005G087500 transcript:KJB27550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCGNMTFVNEPSRDVASAAAEQHLCSESMGKLVSEQRACVVIDSNGDYASEPGEDENSACKRSGDIDCKVGIKGEAQIVGSGTKGLMGGECCENSVCLKKNGGEIADASCSKALVGDMCGDSVVCLENNQGDLMGDSGLKELMGNANDDTVVCLKDQGESMNDSDSKELMDDRCRDCIVYSIENQGEKEDGSFPLDLRSDTYRDSTVCSIGNQGENVDGAGSKELIVDICGDAMVCLNDNQGENPDCSGPEELMGYVDNTGYSNEIQCKNVDSGLNELKGDRISDHGVGLNENQDDVDIHDSVTDVCLEKSGPSGEDGTNAAKGSLGLSQDKNTAALSGGIEISTNCEDQMKGDNENVVGLMLKECMGNNQGRICLTGNMGIDDHLDSENDVSQDGEMPIELNTMSTSPKSSVKLDKQDDDERVNGSILQRILEYGEMKFEEKSDAVERKGTDVLNQILLSENFKGPFELIDATGEHKSKDGMSTYCSSSEVAMEEKREVLTEVEANICNQMSSIQGSHLASTSIGIGDCRSDCPRQNDLKDSNTIVGPSLDGESGASPMIESDTCGKISTSCCVETISNLQQTGDSVGSCDGHSQKDDLSSSGLSLERFPKPVETKSTDDLCIELLASRRLFDTQKNAQTVGNDISDSSGDGVAEVSEGRTDFLAYTKAETSSEIIINAKGKACNSNRDSFEQGANCLCDKSTSLSCQPVDVVDNGLSGRLDPQDLLAKDACAAISSSSSIDCSGQRENEGKDIIKADCVLETKNCPTTSSSSRKGRQKGKSSRKTPAKRGARNCSSTKLRHPHESMEFLFKATRRKRSCSSKPARSSIWGLFSNIAKFIEPCPDPSCNEVQNRKPSKARGGRGSGKRSKNRAGQNRKESSGLSSTLTSCLRLKIKVGKEVAPSNVNTLVAEVVDPSVLIGTSFSNYGKETNLQYPTVANIVEDKVELGSEMRFQSKEDQEMVKTCSDVFLTEVKLANKAVRCSENLERLSEDATDSSLISQSDAVAEASQEAIENKCMDPGTSPDSEVINTIPDAQIGLIHQEESLDTVLNTSGALASPRGARTSKGSKRGKKDNHRSPGAASTRKAKSSKSRRSREKTTGNGLVSIEALTSSSAANSSRENWIGVPKEATEMENSMDIKDCCSPDVPDTKNTKHFSSSNSKCNQLSKSSRSQGASKGKSRVSHSVKSRKAKGSKRRGDESKSVSKSKVKEKCSDEEIVARGGKSPVTGNQISDDNEHLNTGNSIESANMVNVDLVPDGVMEQHTQPDNAWVRCDDCHKWRRIPVSLVKSIDEAYHWVCGDNVDKAFADCSIPQEKSNADINAELGISDAEEDGCDGFNYKELEKGFETKRMTVSPPSHFWRIDSNQFLHRGRKTQTIDEIMVCQCKRPPDGKLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQNHKYAMMKWDRFGKKGFGLRMLENISAGHFLIEYVGEVLDMQAYEARQKEYASRGQRHFYFMTLNGSEVIDAYVKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFALRDIKKGEEVTFDYNYVRVFGAAAKKCHCGSPHCRGYIGGDPLSAEVIVHDDSDEESPEPMMLEDGETWTGFKDVISRSGSSDGAAMQSVESVITDGVLKPENMPEAEDSVNRSASATSELNTVETEDLKGNFQLAIQPEEASPVTIASESVQPDGTEEQKAMNKTSCSIQKLDASQDMSDNRLSSDVIDANKKSKSDAAEDKQVSAKSRPLMKTSRSSSSIKKGKISSNSLSGNKVQTTSNKFQVSTVKPRKFSENSSTCRFEAVEEKLNELLDSEGGITKRKDASKGYLKLLLLTATSGDSGGGEAIQSNRELSMILDALLKTKSGHVLTDIINKNGLQMLHNIMKKYRKDFKKIPILRKLLKVLEYLAGRGILTQEHINGGPYCAGRESFRESILSFTEHDDKQVHQIARNFRDKWIRKPVRKLGYRDKDEGRMEFFRGLDCNRVSASHNHWRDQAIRSTEAINCIMQSVVGSTSSDTSTREGGSSLSVCLSQTNSTRIRKRKSRWDQPADTEKIDSQSPKKLECSLLSALGQATPDQIEKMNSGDNKCQSFSKGEAINIENGNQRFQQDAPPGFSSPLNASLVSSTAPSTATGFPPPKVGQLKCPDVVIAHPQKRFISRLPVSYGIPLPIFQQTRLPEGESLESWAIAPGIPFHPFPPLPPCPPDKKDTRPVSAALSTGINADSKEGQQESRRPSTSCPDESVASTAGGNHPDSDIPGTDIQQTLKRTRESSYDLGRKYFRQQKRKGPPWDKSESFGNNHTGEICCIDVGNINNEPRNSYYSDDINQ >KJB27553 pep chromosome:Graimondii2_0_v6:5:11248714:11262211:-1 gene:B456_005G087500 transcript:KJB27553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCGNMTFVNEPSRDVASAAAEQHLCSESMGKLVSEQRACVVIDSNGDYASEPGEDENSACKRSGDIDCKVGIKGEAQIVGSGTKGLMGGECCENSVCLKKNGGEIADASCSKALVGDMCGDSVVCLENNQGDLMGDSGLKELMGNANDDTVVCLKDQGESMNDSDSKELMDDRCRDCIVYSIENQGEKEDGSFPLDLRSDTYRDSTVCSIGNQGENVDGAGSKELIVDICGDAMVCLNDNQGENPDCSGPEELMGYVDNTGYSNEIQCKNVDSGLNELKGDRISDHGVGLNENQDDVDIHDSVTDVCLEKSGPSGEDGTNAAKGSLGLSQDKNTAALSGGIEISTNCEDQMKGDNENVVGLMLKECMGNNQGRICLTGNMGIDDHLDSENDVSQDGEMPIELNTMSTSPKSSVKLDKQDDDERVNGSILQRILEYGEMKFEEKSDAVERKGTDVLNQILLSENFKGPFELIDATGEHKSKDGMSTYCSSSEVAMEEKREVLTEVEANICNQMSSIQGSHLASTSIGIGDCRSDCPRQNDLKDSNTIVGPSLDGESGASPMIESDTCGKISTSCCVETISNLQQTGDSVGSCDGHSQKDDLSSSGLSLERFPKPVETKSTDDLCIELLASRRLFDTQKNAQTVGNDISDSSGDGVAEVSEGRTDFLAYTKAETSSEIIINAKGKACNSNRDSFEQGANCLCDKSTSLSCQPVDVVDNGLSGRLDPQDLLAKDACAAISSSSSIDCSGQRENEGKDIIKADCVLETKNCPTTSSSSRKGRQKGKSSRKTPAKRGARNCSSTKLRHPHESMEFLFKATRRKRSCSSKPARSSIWGLFSNIAKFIEPCPDPSCNEVQNRKPSKARGGRGSGKRSKNRAGQNRKESSGLSSTLTSCLRLKIKVGKEVAPSNVNTLVAEVVDPSVLIGTSFSNYGKETNLQYPTVANIVEDKVELGSEMRFQSKEDQEMVKTCSDVFLTEVKLANKAVRCSENLERLSEDATDSSLISQSDAVAEASQEAIENKCMDPGTSPDSEVINTIPDAQIGLIHQEESLDTVLNTSGALASPRGARTSKGSKRGKKDNHRSPGAASTRKAKSSKSRRSREKTTGNGLVSIEALTSSSAANSSRENWIGVPKEATEMENSMDIKDCCSPDVPDTKNTKHFSSSNSKCNQLSKSSRSQGASKGKSRVSHSVKSRKAKGSKRRGDESKSVSKSKVKEKCSDEEIVARGGKSPVTVGAAGNQISDDNEHLNTGNSIESANMVNVDLVPDGVMEQHTQPDNAWVRCDDCHKWRRIPVSLVKSIDEAYHWVCGDNVDKAFADCSIPQEKSNADINAELGISDAEEDGCDGFNYKELEKGFETKRMTVSPPSHFWRIDSNQFLHRGRKTQTIDEIMVCQCKRPPDGKLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQNHKYAMMKWDRFGKKGFGLRMLENISAGHFLIEYVGEVLDMQAYEARQKEYASRGQRHFYFMTLNGSEVIDAYVKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFALRDIKKGEEVTFDYNYVRVFGAAAKKCHCGSPHCRGYIGGDPLSAEVIVHDDSDEESPEPMMLEDGETWTGFKDVISRSGSSDGAAMQSVESVITDGVLKPENMPEAEDSVNRSASATSELNTVETEDLKGNFQLAIQPEEASPVTIASESVQPDGTEEQKAMNKTSCSIQKLDASQDMSDNRLSSDVIDANKKSKSDAAEDKQVSAKSRPLMKTSRSSSSIKKGKISSNSLSGNKVQTTSNKFQVSTVKPRKFSENSSTCRFEAVEEKLNELLDSEGGITKRKDASKGYLKLLLLTATSGDSGGGEAIQSNRELSMILDALLKTKSGHVLTDIINKNGLQMLHNIMKKYRKDFKKIPILRKLLKVLEYLAGRGILTQEHINGGPYCAGRESFRESILSFTEHDDKQVHQIARNFRDKWIRKPVRKLGYRDKDEGRMEFFRGLDCNRVSASHNHWRDQAIRSTEAINCIMQSVVGSTSSDTSTREGGSSLSVCLSQTNSTRIRKRKSRWDQPADTEKIDSQSPKKLECSLLSALGQATPDQIEKMNSGDNKCQSFSKGEAINIENGNQRFQQDAPPGFSSPLNASLVSSTAPSTATGFPPPKVGQLKCPDVVIAHPQKRFISRLPVSYGIPLPIFQQTRLPEGESLESWAIAPGIPFHPFPPLPPCPPDKKDTRPVSAALSTGINADSKEGQQESRRPSTSCPDESVASTAGGNHPDSDIPGTDIQQTLKRTRESSYDLGRKYFRQQKRKGPPWDKSESFGNNHTGEICCIDVGNINNEPRNSYYSDDINQ >KJB27552 pep chromosome:Graimondii2_0_v6:5:11248451:11262806:-1 gene:B456_005G087500 transcript:KJB27552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVNTRGSHLASTSIGIGDCRSDCPRQNDLKDSNTIVGPSLDGESGASPMIESDTCGKISTSCCVETISNLQQTGDSVGSCDGHSQKDDLSSSGLSLERFPKPVETKSTDDLCIELLASRRLFDTQKNAQTVGNDISDSSGDGVAEVSEGRTDFLAYTKAETSSEIIINAKGKACNSNRDSFEQGANCLCDKSTSLSCQPVDVVDNGLSGRLDPQDLLAKDACAAISSSSSIDCSGQRENEGKDIIKADCVLETKNCPTTSSSSRKGRQKGKSSRKTPAKRGARNCSSTKLRHPHESMEFLFKATRRKRSCSSKPARSSIWGLFSNIAKFIEPCPDPSCNEVQNRKPSKARGGRGSGKRSKNRAGQNRKESSGLSSTLTSCLRLKIKVGKEVAPSNVNTLVAEVVDPSVLIGTSFSNYGKETNLQYPTVANIVEDKVELGSEMRFQSKEDQEMVKTCSDVFLTEVKLANKAVRCSENLERLSEDATDSSLISQSDAVAEASQEAIENKCMDPGTSPDSEVINTIPDAQIGLIHQEESLDTVLNTSGALASPRGARTSKGSKRGKKDNHRSPGAASTRKAKSSKSRRSREKTTGNGLVSIEALTSSSAANSSRENWIGVPKEATEMENSMDIKDCCSPDVPDTKNTKHFSSSNSKCNQLSKSSRSQGASKGKSRVSHSVKSRKAKGSKRRGDESKSVSKSKVKEKCSDEEIVARGGKSPVTVGAAGNQISDDNEHLNTGNSIESANMVNVDLVPDGVMEQHTQPDNAWVRCDDCHKWRRIPVSLVKSIDEAYHWVCGDNVDKAFADCSIPQEKSNADINAELGISDAEEDGCDGFNYKELEKGFETKRMTVSPPSHFWRIDSNQFLHRGRKTQTIDEIMVCQCKRPPDGKLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQNHKYAMMKWDRFGKKGFGLRMLENISAGHFLIEYVGEVLDMQAYEARQKEYASRGQRHFYFMTLNGSEVIDAYVKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFALRDIKKGEEVTFDYNYVRVFGAAAKKCHCGSPHCRGYIGGDPLSAEVIVHDDSDEESPEPMMLEDGETWTGFKDVISRSGSSDGAAMQSVESVITDGVLKPENMPEAEDSVNRSASATSELNTVETEDLKGNFQLAIQPEEASPVTIASESVQPDGTEEQKAMNKTSCSIQKLDASQDMSDNRLSSDVIDANKKSKSDAAEDKQVSAKSRPLMKTSRSSSSIKKGKISSNSLSGNKVQTTSNKFQVSTVKPRKFSENSSTCRFEAVEEKLNELLDSEGGITKRKDASKGYLKLLLLTATSGDSGGGEAIQSNRELSMILDALLKTKSGHVLTDIINKNGLQMLHNIMKKYRKDFKKIPILRKLLKVLEYLAGRGILTQEHINGGPYCAGRESFRESILSFTEHDDKQVHQIARNFRDKWIRKPVRKLGYRDKDEGRMEFFRGLDCNRVSASHNHWRDQAIRSTEAINCIMQSVVGSTSSDTSTREGGSSLSVCLSQTNSTRIRKRKSRWDQPADTEKIDSQSPKKLECSLLSALGQATPDQIEKMNSGDNKCQSFSKGEAINIENGNQRFQQDAPPGFSSPLNASLVSSTAPSTATGFPPPKVGQLKCPDVVIAHPQKRFISRLPVSYGIPLPIFQQTRLPEGESLESWAIAPGIPFHPFPPLPPCPPDKKDTRPVSAALSTGINADSKEGQQESRRPSTSCPDESVASTAGGNHPDSDIPGTDIQQTLKRTRESSYDLGRKYFRQQKRKGPPWDKSESFGNNHTGEICCIDVGNINNEPRNSYYSDDINQ >KJB27551 pep chromosome:Graimondii2_0_v6:5:11248714:11262211:-1 gene:B456_005G087500 transcript:KJB27551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCGNMTFVNEPSRDVASAAAEQHLCSESMGKLVSEQRACVVIDSNGDYASEPGEDENSACKRSGDIDCKVGIKGEAQIVGSGTKGLMGGECCENSVCLKKNGGEIADASCSKALVGDMCGDSVVCLENNQGDLMGDSGLKELMGNANDDTVVCLKDQGESMNDSDSKELMDDRCRDCIVYSIENQGEKEDGSFPLDLRSDTYRDSTVCSIGNQGENVDGAGSKELIVDICGDAMVCLNDNQGENPDCSGPEELMGYVDNTGYSNEIQCKNVDSGLNELKGDRISDHGVGLNENQDDVDIHDSVTDVCLEKSGPSGEDGTNAAKGSLGLSQDKNTAALSGGIEISTNCEDQMKGDNENVVGLMLKECMGNNQGRICLTGNMGIDDHLDSENDVSQDGEMPIELNTMSTSPKSSVKLDKQDDDERVNGSILQRILEYGEMKFEEKSDAVERKGTDVLNQILLSENFKGPFELIDATGEHKSKDGMSTYCSSSEVAMEEKREVLTEVEANICNQMSSIQGSHLASTSIGIGDCRSDCPRQNDLKDSNTIVGPSLDGESGASPMIESDTCGKISTSCCVETISNLQQTGDSVGSCDGHSQKDDLSSSGLSLERFPKPVETKSTDDLCIELLASRRLFDTQKNAQTVGNDISDSSGDGVAEVSEGRTDFLAYTKAETSSEIIINAKGKACNSNRDSFEQGANCLCDKSTSLSCQPVDVVDNGLSGRLDPQDLLAKDACAAISSSSSIDCSGQRENEGKDIIKADCVLETKNCPTTSSSSRKGRQKGKSSRKTPAKRGARNCSSTKLRHPHESMEFLFKATRRKRSCSSKPARSSIWGLFSNIAKFIEPCPDPSCNEVQNRKPSKARGGRGSGKRSKNRAGQNRKESSGLSSTLTSCLRLKIKVGKEVAPSNVNTLVAEVVDPSVLIGTSFSNYGKETNLQYPTVANIVEDKVELGSEMRFQSKEDQEMVKTCSDVFLTEVKLANKAVRCSENLERLSEDATDSSLISQSDAVAEASQEAIENKCMDPGTSPDSEVINTIPDAQIGLIHQEESLDTVLNTSGALASPRGARTSKGSKRGKKDNHRSPGAASTRKAKSSKSRRSREKTTGNGLVSIEALTSSSAANSSRENWIGVPKEATEMENSMDIKDCCSPDVPDTKNTKHFSSSNSKCNQLSKSSRSQGASKGKSRVSHSVKSRKAKGSKRRGDESKSVSKSKVKEKCSDEEIVARGGKSPVTVGAAGNQISDDNEHLNTGNSIESANMVNVDLVPDGVMEQHTQPDNAWVRCDDCHKWRRIPVSLVKSIDEAYHWVCGDNVDKAFADCSIPQEKSNADINAELGISDAEEDGCDGFNYKELEKGFETKRMTVSPPSHFWRIDSNQFLHRGRKTQTIDEIMVCQCKRPPDGKLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQNHKYAMMKWDRFGKKGFGLRMLENISAGHFLIEYVGEVLDMQAYEARQKEYASRGQRHFYFMTLNGSEVIDAYVKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFALRDIKKGEEVTFDYNYVRVFGAAAKKCHCGSPHCRGYIGGDPLSAEVIVHDDSDEESPEPMMLEDGETWTGFKDVISRSGSSDGAAMQSVESVITDGVLKPENMPEAEDSVNRSASATSELNTVETEDLKGNFQLAIQPEEASPVTIASESVQPDGTEEQKAMNKTSCSIQKLDASQDMSDNRLSSDVIDANKKSKSDAAEDKQVSAKSRPLMKTSRSSSSIKKGKISSNSLSGNKVQTTSNKFQVSTVKPRKFSENSSTCRFEAVEEKLNELLDSEGGITKRKDASKGYLKLLLLTATSGDSGGGEAIQSNRELSMILDALLKTKSGHVLTDIINKNGLQMLHNIMKKYRKDFKKIPILRKLLKVLEYLAGRGILTQEHINGGPYCAGRESFRESILSFTEHDDKQVHQIARNFRDKWIRKPVRKLGYRDKDEGRMEFFRGLDCNRVSASHNHWRDQAIRSTEAINCIMQSVVGSTSSDTSTREGGSSLSVCLSQTNSTRIRKRKSRWDQPADTEKIDSQSPKKLECSLLSALGQATPDQIEKMNSGDNKCQSFSKGEAINIENGNQRFQQDAPPGFSSPLNASLVSSTAPSTATGFPPPKVGQLKCPDVVIAHPQKRFISRLPVSYGIPLPIFQQTRLPEGESLESWAIAPGIPFHPFPPLPPCPPDKKDTRPVSAALSTGINADSKEGQQESRRPSTSCPDESVASTAGGNHPDSDIPGTDIQQTLKRTRESSYDLGRKYFRQQKRKGPPWDKSESFGNNHTGEICCIDVGNINNEPRNSYYSDDINQ >KJB30531 pep chromosome:Graimondii2_0_v6:5:40579047:40585315:1 gene:B456_005G148100 transcript:KJB30531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLSRSLATPSLASLPLTNPNKAFNLRTAFLPPNALNKAFSCSRLRWKLEKRNNRIAVRCEASAVAEKEAEETSGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGDSGELEIRIKPDPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWEAVADSSSYVIREETDPENILVRGTQITLYLRSDDKYEFSDPTRIQNLVKNYSQFVSFPIYTWQEKSRTVEVEEEEPPKEGEENPEEVKKKKTTKTEKYWDWELANETKPIWMRNPKEVEKDEYNEFYKKTFNEFLDPLGYTHFTTEGEVEFRSVLYIPGMGPLNNEDVVNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEISESENKEDYKKFWENFGRFLKLGCIEDSGNHKRITPLLRFYTSKSEEELTSLDEYVENMGENQKAIYYLATDSLKSAKTAPFLEKLVQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGWCLWFLLFIYWLKGEGYLPLAVLLFISDGFGVNLMEFVVIPGDEDEVKERETKQEFNLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQALGDTASLEFMRGRRILEINPDHPIIKDLNAACKNAPESSEAKRAVDLLYDTALISSGFSPDSPAELGNKIYEMMAMALGGRWGRYEDDDEVEASEVSAAETDTSASEASENQVIEPSEVRTESDPWQD >KJB30532 pep chromosome:Graimondii2_0_v6:5:40579275:40584021:1 gene:B456_005G148100 transcript:KJB30532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLSRSLATPSLASLPLTNPNKAFNLRTAFLPPNALNKAFSCSRLRWKLEKRNNRIAVRCEASAVAEKEAEETSGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGDSGELEIRIKPDPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWEAVADSSSYVIREETDPENILVRGTQITLYLRSDDKYEFSDPTRIQNLVKNYSQFVSFPIYTWQEKSRTVEVEEEEPPKEGEENPEEVKKKKTTKTEKYWDWELANETKPIWMRNPKEVEKDEYNEFYKKTFNEFLDPLGYTHFTTEGEVEFRSVLYIPGMGPLNNEDVVNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEISESENKEDYKKFWENFGRFLKLGCIEDSGNHKRITPLLRFYTSKSEEELTSLDEYVENMGENQKAIYYLATDSLKSAKTAPFLEKLVQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGWCLWFLLFIYWLKGEGYLPLAVLLFISDGFGVNLMEFVVIPGDEDEVKERETKQEFNLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMER >KJB30528 pep chromosome:Graimondii2_0_v6:5:40579037:40585408:1 gene:B456_005G148100 transcript:KJB30528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLSRSLATPSLASLPLTNPNKAFNLRTAFLPPNALNKAFSCSRLRWKLEKRNNRIAVRCEASAVAEKEAEETSGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGDSGELEIRIKPDPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWEAVADSSSYVIREETDPENILVRGTQITLYLRSDDKYEFSDPTRIQNLVKNYSQFVSFPIYTWQEKSRTVEVEEEEPPKEGEENPEEVKKKKTTKTEKYWDWELANETKPIWMRNPKEVEKDEYNEFYKKTFNEFLDPLGYTHFTTEGEVEFRSVLYIPGMGPLNNEDVVNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEISESENKEDYKKFWENFGRFLKLGCIEDSGNHKRITPLLRFYTSKSEEELTSLDEYVENMGENQKAIYYLATDSLKSAKTAPFLEKLVQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEVKERETKQEFNLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQALGDTASLEFMRGRRILEINPDHPIIKDLNAACKNAPESSEAKRAVDLLYDTALISSGFSPDSPAELGNKIYEMMAMALGGRWGRYEDDDEVEASEVSAAETDTSASEASENQVIEPSEVRTESDPWQD >KJB30530 pep chromosome:Graimondii2_0_v6:5:40579047:40585315:1 gene:B456_005G148100 transcript:KJB30530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLSRSLATPSLASLPLTNPNKAFNLRTAFLPPNALNKAFSCSRLRWKLEKRNNRIAVRCEASAVAEKEAEETSGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGDSGELEIRIKPDPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWEAVADSSSYVIREETDPENILVRGTQITLYLRSDDKYEFSDPTRIQNLVKNYSQFVSFPIYTWQEKSRTVEVEEEEPPKEGEENPEEVKKKKTTKTEKYWDWELANETKPIWMRNPKEVEKDEYNEFYKKTFNEFLDPLGYTHFTTEGEVEFRSVLYIPGMGPLNNEDVVNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEISESENKEDYKKFWENFGRFLKLGCIEDSGNHKRITPLLRFYTSKSEEELTSLDEYVENMGENQKAIYYLATDSLKSAKTAPFLEKLVQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEVKERETKQEFNLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQALGDTASLEFMRGRRILEINPDHPIIKDLNAACKNAPESSEAKRAVDLLYDTALISSGFSPDSPAELGNKIYEMMAMALGGRWGG >KJB30529 pep chromosome:Graimondii2_0_v6:5:40579047:40585315:1 gene:B456_005G148100 transcript:KJB30529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLSRSLATPSLASLPLTNPNKAFNLRTAFLPPNALNKAFSCSRLRWKLEKRNNRIAVRCEASAVAEKEAEETSGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGDSGELEIRIKPDPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWEAVADSSSYVIREETDPENILVRGTQITLYLRSDDKYEFSDPTRIQNLVKNYSQFVSFPIYTWQEKSRTVEVEEEEPPKEGEENPEEVKKKKTTKTEKYWDWELANETKPIWMRNPKEVEKDEYNEFYKKTFNEFLDPLGYTHFTTEFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEISESENKEDYKKFWENFGRFLKLGCIEDSGNHKRITPLLRFYTSKSEEELTSLDEYVENMGENQKAIYYLATDSLKSAKTAPFLEKLVQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEVKERETKQEFNLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQALGDTASLEFMRGRRILEINPDHPIIKDLNAACKNAPESSEAKRAVDLLYDTALISSGFSPDSPAELGNKIYEMMAMALGGRWGRYEDDDEVEASEVSAAETDTSASEASENQVIEPSEVRTESDPWQD >KJB32138 pep chromosome:Graimondii2_0_v6:5:60840376:60843958:1 gene:B456_005G225900 transcript:KJB32138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVYVTDEKELIMEPLIKWAGNPDVTIAVKAFGLKATVQVVDLQVFALPRITLKPLVPSFPCFANIFVSLMEKPYVDFGLKLIGADLMSIPGLYRFVQELIKDQVANMYLWPKTLQVPVLDPSKAFKRPVGVLHVKVLRASKLKKKDFLGASDPYVKLKLTEDKLTSKKTTVKHKNLNPEWNEEFDMIVKDPQTQALELHVIDWEQVGKHDKMGMNVVPLKELTADEPKGFTLELLKNMDLNDAQNEKSRGQLEVELTYKPFKEDEMPKTFEESKTLQKAPEDTPDGGGVLVVIVHEAQDVEGKHHTNPYVTILFRGEKRKTKHVKKNRDPRWEEEFTFMLDEPPVNDKLHVEVQSSSSRIGLLHPKETLGYIDINLSDVVNNKRINERYHLIDSKNGRIQIELQWRTK >KJB32136 pep chromosome:Graimondii2_0_v6:5:60838632:60843958:1 gene:B456_005G225900 transcript:KJB32136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSTILGLFGFGVGISAGLVIGYYLFIFFQPSDVKDPEIRPLVEQDSETLQGMLPEIPLWVKNPDYDRVDWINKFLEYMWPYLDKAICMTAENIAKPIIEEQIPKYKIDAVKFEKLTLGSLPPTFQGMKVYVTDEKELIMEPLIKWAGNPDVTIAVKAFGLKATVQVVDLQVFALPRITLKPLVPSFPCFANIFVSLMEKPYVDFGLKLIGADLMSIPGLYRFVQELIKDQVANMYLWPKTLQVPVLDPSKAFKRPVGVLHVKVLRASKLKKKDFLGASDPYVKLKLTEDKLTSKKTTVKHKNLNPEWNEEFDMIVKDPQTQALELHVIDWEQVGKHDKMGMNVVPLKELTADEPKGFTLELLKNMDLNDAQNEKSRGQLEVELTYKPFKEDEMPKTFEESKTLQKAPEDTPDGGGVLVVIVHEAQDVEGKHHTNPYVTILFRGEKRKTKHVKKNRDPRWEEEFTFMLDEPPVNDKLHVEVQSSSSRIGLLHPKETLGYIDINLSDVVNNKRINERYHLIDSKNGRIQIELQWRTK >KJB32137 pep chromosome:Graimondii2_0_v6:5:60841873:60843794:1 gene:B456_005G225900 transcript:KJB32137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELIKDQVANMYLWPKTLQVPVLDPSKAFKRPVGVLHVKVLRASKLKKKDFLGASDPYVKLKLTEDKLTSKKTTVKHKNLNPEWNEEFDMIVKDPQTQALELHVIDWEQVGKHDKMGMNVVPLKELTADEPKGFTLELLKNMDLNDAQNEKSRGQLEVELTYKPFKEDEMPKTFEESKTLQKAPEDTPDGGGVLVVIVHEAQDVEGKHHTNPYVTILFRGEKRKTKHVKKNRDPRWEEEFTFMLDEPPVNDKLHVEVQSSSSRIGLLHPKETLGYIDINLSDVVNNKRINERYHLIDSKNGRIQIELQWRTK >KJB32135 pep chromosome:Graimondii2_0_v6:5:60838499:60844074:1 gene:B456_005G225900 transcript:KJB32135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSTILGLFGFGVGISAGLVIGYYLFIFFQPSDVKDPEIRPLVEQDSETLQGMLPEIPLWVKNPDYDRVDWINKFLEYMWPYLDKAICMTAENIAKPIIEEQIPKYKIDAVKFEKLTLGSLPPTFQGMKVYVTDEKELIMEPLIKWAGNPDVTIAVKAFGLKATVQVVDLQVFALPRITLKPLVPSFPCFANIFVSLMEKPYVDFGLKLIGADLMSIPGLYRFVQELIKDQVANMYLWPKTLQVPVLDPSKAFKRPVGVLHVKVLRASKLKKKDFLGASDPYVKLKLTEDKLTSKKTTVKHKNLNPEWNEEFDMIVKDPQTQALELHVIDWEQVGKHDKMGMNVVPLKELTADEPKGFTLELLKNMDLNDAQNEKSRGQLEVELTYKPFKEDEMPKTFEESKTLQKAPEDTPDGGGVLVVIVHEAQDVEGKHHTNPYVTILFRGEKRKTKHVKKNRDPRWEEEFTFMLDEPPVNDKLHVEVQSSSSRIGLLHPKETLGYIDINLSDVVNNKRINERYHLIDSKNGRIQIELQWRTK >KJB31351 pep chromosome:Graimondii2_0_v6:5:54680222:54683769:-1 gene:B456_005G187400 transcript:KJB31351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSNPELEVSVSFGRFENDSLSWEKWSTFSPNKYLEEVEKCATPGSVAQKKAFFEEHYKKIAARKAELLAQDKPMETSSHYHNAGDLVHQCSDEGYKQETNLVNEEEPQIATPCQNSWVEELKQKIDSTVQSEEKQEIMGSIVESPGLNKPEETAAEEEMETLSKGSQDVKELSQRSENVIENTPKIKDKNLKLGQSAKSHKITAVNKERNDSRIKKKPASPVTKTPQVSTSRTSNQTLTPTTSSASRTRSKTGTTLSYSSPKTKNPSVEQSKKLAPRSLHMSISLGPSSSGPSPLTATRKSLIMEKMGDKDIVKRAFKTFQSNYNQLKPSSQEQSPAAKPVPTKGRESRLSTLMTPQKENGGSSKVSSMEKKNAKAAPSYSGLKTDERPERRKELSKKLEGKSNGREGERKNLQTKSKDNRDAEIKKLRQSLNFKATPLPGFYHGQRTSKSPLDKIGSKSDIHWQPGFLG >KJB31354 pep chromosome:Graimondii2_0_v6:5:54679646:54684752:-1 gene:B456_005G187400 transcript:KJB31354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESITVEASSEEVKIKGMVSSNPELEVSVSFGRFENDSLSWEKWSTFSPNKYLEEVEKCATPGSVAQKKAFFEEHYKKIAARKAELLAQDKPMETSSHYHNAGDLVHQCSDEGYKQETNLVNEEEPQIATPCQNSWVEELKQKIDSTVQSEEKQEIMGSIVESPGLNKPEETAAEEEMETLSKGSQDVKELSQRSENVIENTPKIKDKNLKLGQSAKSHKITAVNKERNDSRIKKKPASPVTKTPQVSTSRTSNQTLTPTTSSASRTRSKTGTTLSYSSPKTKNPSVEQSKKLAPRSLHMSISLGPSSSGPSPLTATRKSLIMEKMGDKDIVKRAFKTFQSNYNQLKPSSQEQSPAAKPVPTKGRESRLSTLMTPQKENGGSSKVSSMEKKNAKAAPSYSGLKTDERPERRKELSKKLEGKSNGREGERKNLQTKSKDNRDAEIKKLRQSLNFKATPLPGFYHGQRTSKSPLDKIGSKSDIHWQPGFLG >KJB31356 pep chromosome:Graimondii2_0_v6:5:54681349:54684752:-1 gene:B456_005G187400 transcript:KJB31356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESITVEASSEEVKIKGMVSSNPELEVSVSFGRFENDSLSWEKWSTFSPNKYLEEVEKCATPGSVAQKKAFFEEHYKKIAARKAELLAQDKPMETSSHYHNAGDLVHQCSDEGYKQETNLVNEEEPQIATPCQNSWVEELKQKIDSTVQSEEKQEIMGSIVESPGLNKPEETAAEEEMETLSKGSQDVKELSQRSENVIENTPKIKDKNLKLGQSAKSHKITAVNKERNDSRIKKKPASPVTKTPQVSTSRTSNQTLTPTTSSASRTRSKTGTTLSYSSPKTKNPSVEQSKKLAPRSLHMSISLGPSSSGPSPLTATRKSLIMEKMGDKDIVKRAFKTFQSNYNQLKPSSQEQSPAAKPVPTKGRESRLSTLMTPQKENGGSSKVSSMEKKNAKAAPSYSGLKTDERPERRKELSKKLEGKSNGREGERKNLQTKSKVPLIFFVDNVFPST >KJB31350 pep chromosome:Graimondii2_0_v6:5:54680222:54683769:-1 gene:B456_005G187400 transcript:KJB31350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSNPELEVSVSFGRFENDSLSWEKWSTFSPNKYLEEVEKCATPGSVAQKKAFFEEHYKKIAARKAELLAQDKPMETSSHYHNAGDLVHQCSDEGYKQETNLVNEEEPQIATPCQNSWVEELKQKIDSTVQSEEKQEIMGSIVESPGLNKPEETAAEEEMETLSKGSQDVKELSQRSENVIENTPKIKDKNLKLGQSAKSHKITAVNKERNDSRIKKKPASPVTKTPQVSTSRTSNQTLTPTTSSASRTRSKTGTTLSYSSPKTKNPSVEQSKKLAPRSLHMSISLGPSSSGPSPLTATRKSLIMEKMGDKDIVKRAFKTFQSNYNQLKPSSQEQSPAAKPVPTKGRESRLSTLMTPQKENGGSSKVSSMEKKNAKAAPSYSGLKTDERPERRKELSKKLEGKSNGREGERKNLQTKSKDNRDAEIKKLRQSLNFKATPLPGFYHGQRTSKSPLDKIGSKSDIHWQPGFLG >KJB31357 pep chromosome:Graimondii2_0_v6:5:54682252:54684752:-1 gene:B456_005G187400 transcript:KJB31357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESITVEASSEEVKIKGMVSSNPELEVSVSFGRFENDSLSWEKWSTFSPNKYLEEVEKCATPGSVAQKKAFFEEHYKKIAARKAELLAQDKPMETSSHYHNAGDLVHQCSDEGYKQETNLVNEEEPQIATPCQNSWVEELKQKIDSTVQSEEKQEIMGSIVESPGLNKPEETAAEEEMETLSKGSQDVKELSQRSENVIENTPKIKDKNLKLGQSAKSHKITAVNKERNDSRIKKKPASPVTKTPQVSTSRTSNQTLTPTTSSASRTRSKTGTTLSYSSPKTKNPSVEQSKKLAPRSLHMSISLGPSSSGPSPLTATRKSLIMEKMGDKDIVKRAFKTFQSNYNQLKPSSQEQSPAAKPVLCYYL >KJB31355 pep chromosome:Graimondii2_0_v6:5:54680531:54684049:-1 gene:B456_005G187400 transcript:KJB31355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESITVEASSEEVKIKGMVSSNPELEVSVSFGRFENDSLSWEKWSTFSPNKYLEEVEKCATPGSVAQKKAFFEEHYKKIAARKAELLAQDKPMETSSHYHNAGDLVHQCSDEGYKQETNLVNEEEPQIATPCQNSWVEELKQKIDSTVQSEEKQEIMGSIVESPGLNKPEETAAEEEMETLSKGSQDVKELSQRSENVIENTPKIKDKNLKLGQSAKSHKITAVNKERNDSRIKKKPASPVTKTPQVSTSRTSNQTLTPTTSSASRTRSKTGTTLSYSSPKTKNPSVEQSKKLAPRSLHMSISLGPSSSGPSPLTATRKSLIMEKMGDKDIVKRAFKTFQSNYNQLKPSSQEQSPAAKPVPTKGRESRLSTLMTPQKENGGSSKVSSMEKKNAKAAPSYSGLKTDERPERRKELSKKLEGKSNGREGERKNLQTKSKDNRDAEIKKLRQSLNFKATPLPGFYHGQRTSKSPLDKA >KJB31352 pep chromosome:Graimondii2_0_v6:5:54680531:54684049:-1 gene:B456_005G187400 transcript:KJB31352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESITVEASSEEVKIKGMVSSNPELEVSVSFGRFENDSLSWEKWSTFSPNKYLEEVEKCATPGSVAQKKAFFEEHYKKIAARKAELLAQDKPMETSSHYHNAGDLVHQCSDEGYKQETNLVNEEEPQIATPCQNSWVEELKQKIDSTVQSEEKQEIMGSIVESPGLNKPEETAAEEEMETLSKGSQDVKELSQRSENVIENTPKIKDKNLKLGQSAKSHKITAVNKERNDSRIKKKPASPVTKTPQVSTSRTSNQTLTPTTSSASRTRSKTGTTLSYSSPKTKNPSVEQSKKLAPRSLHMSISLGPSSSGPSPLTATRKSLIMEKMGDKDIVKRAFKTFQSNYNQLKPSSQEQSPAAKPVPTKGRESRLSTLMTPQKENGGSSKVSSMEKKNAKAAPSYSGLKTDERPERRKELSKKLEGKSNGREGERKNLQTKSKDNRDAEIKKLRQSLNFKATPLPGFYHGQRTSKSPLDKA >KJB31353 pep chromosome:Graimondii2_0_v6:5:54679646:54684752:-1 gene:B456_005G187400 transcript:KJB31353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESITVEASSEEVKIKGMVSSNPELEVSVSFGRFENDSLSWEKWSTFSPNKYLEEVEKCATPGSVAQKKAFFEEHYKKIAARKAELLAQDKPMETSSHYHNAGDLVHQCSDEGYKQETNLVNEEEPQIATPCQNSWVEELKQKIDSTVQSEEKQEIMGSIVESPGLNKPEETAAEEEMETLSKGSQDVKELSQRSENVIENTPKIKDKNLKLGQSAKSHKITAVNKERNDSRIKKKPASPVTKTPQVSTSRTSNQTLTPTTSSASRTRSKTGTTLSYSSPKTKNPSVEQSKKLAPRSLHMSISLGPSSSGPSPLTATRKSLIMEKMGDKDIVKRAFKTFQSNYNQLKPSSQEQSPAAKPVPTKGRESRLSTLMTPQKENGGSSKVSSMEKKNAKAAPSYSGLKTDERPERRKELSKKLEGKSNGREGERKNLQTKSKDNRDAEIKKLRQSLNFKATPLPGFYHGQRTSKSPLDKVPRVTSIGNLASSGK >KJB31349 pep chromosome:Graimondii2_0_v6:5:54679646:54684576:-1 gene:B456_005G187400 transcript:KJB31349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESITVEASSEEVKIKGMVSSNPELEVSVSFGRFENDSLSWEKWSTFSPNKYLEEVEKCATPGSVAQKKAFFEEHYKKIAARKAELLAQDKPMETSSHYHNAGDLVHQCSDEGYKQETNLVNEEEPQIATPCQNSWVEELKQKIDSTVQSEEKQEIMGSIVESPGLNKPEETAAEEEMETLSKGSQDVKELSQRSENVIENTPKIKDKNLKLGQSAKSHKITAVNKERNDSRIKKKPASPVTKTPQVSTSRTSNQTLTPTTSSASRTRSKTGTTLSYSSPKTKNPSVEQSKKLAPRSLHMSISLGPSSSGPSPLTATRKSLIMEKMGDKDIVKRAFKTFQSNYNQLKPSSQEQSPAAKPVPTKGRESRLSTLMTPQKENGGSSKVSSMEKKNAKAAPSYSGLKTDERPERRKELSKKLEGKSNGREGERKNLQTKSKDNRDAEIKKLRQSLNFKATPLPGFYHGQRTSKSPLDKIGSKSDIHWQPGFLG >KJB28930 pep chromosome:Graimondii2_0_v6:5:8696850:8701246:1 gene:B456_005G076400 transcript:KJB28930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTGGFISDERREIVQSASGSDISSKSPRSTQVHGKGSPVNHDRQSRSPIDGRPKKGGCGGKGTWGGLLDTDSSYALDPNDPNYDSSEEYGHPNARKSAPDFDAFKKKATIIVEEYFATDDVDSATNELKELAMPSYNYYFVKKLVSMAMDRHDHEKEMAAVLLSALYADVIDAPQVYRGFSKLVESADDLIVDIPDTVDILALFIARAVVDDILPPAFLKKQIALLPNDSKGVEVLERAEKGYLAAPMHAEIIERRWRVSKKTVEDVKARINNLLIEYVTSGDKKEAYRCIKDLKVPFFHHEIVKRALVMAMERRQAEDRLLDLLKEVAEEGLINSSQITKGFDRMIDTIEDLSLDIPNAQRILKSLISKATSDGWLCASSLRSLSLEPRKKLLEDNFTRTFKLKSQSIVQEYFLTGDISEVFSCLEAENRTSSGELNAIFIKRLITLAMDRKNREKEMASVLLSSLCFPADDVVNGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEVGSQFLGTDSIGGKVLQMAKSLLKARLSGERILRCWGGGGSSRPGWAVEDVKHKIGKLLEEYESGGDIREAYRCIKELGMPFFHHEVVKKAMVMVMEKKNDRLWGLLAHCFGSGLITMNQMTKGFSRVEESLDDLALDVPDARKQFLAYVEKAKTTGWLDSSFHYGNSINGKENGACQ >KJB28932 pep chromosome:Graimondii2_0_v6:5:8697466:8701246:1 gene:B456_005G076400 transcript:KJB28932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTGGFISDERREIVQSASGSDISSKSPRSTQVHGKGSPVNHDRQSRSPIDGRPKKGGCGGKGTWGGLLDTDSSYALDPNDPNYDSSEEYGHPNARKSAPDFDAFKKKATIIVEEYFATDDVDSATNELKELAMPSYNYYFVKKLVSMAMDRHDHEKEMAAVLLSALYADVIDAPQVYRGFSKLVESADDLIVDIPDTVDILALFIARAVVDDILPPAFLKKQIALLPNDSKGVEVLERAEKGYLAAPMHAEIIERRWRVSKKTVEDVKARINNLLIEYVTSGDKKEAYRCIKDLKVPFFHHEIVKRALVMAMERRQAEDRLLDLLKEVAEEGLINSSQITKGFDRMIDTIEDLSLDIPNAQRILKSLISKATSDGWLCASSLRSLSLEPRKKLLEDNFTRTFKLKSQSIVQEYFLTGDISEVFSCLEAENRTSSGELNAIFIKRLITLAMDRKNREKEMASVLLSSLCFPADDVVNGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEVGSQFLGTDSIGGKVLQMAKSLLKARLSGERILRCWGGGGSSRPGWAVEDVKHKIGKLLEEYESGGDIREAYRCIKELGMPFFHHEVVKKAMVMVMEKKNDRLWGLLAHCFGSGLITMNQMTKGFSRVEESLDDLALDVPDARKQFLAYVEKAKTTGWLDSSFHYGNSINGKENGACQ >KJB28933 pep chromosome:Graimondii2_0_v6:5:8697466:8701216:1 gene:B456_005G076400 transcript:KJB28933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTGGFISDERREIVQSASGSDISSKSPRSTQVHGKGSPVNHDRQSRSPIDGRPKKGGCGGKGTWGGLLDTDSSYALDPNDPNYDSSEEYGHPNARKSAPDFDAFKKKATIIVEEYFATDDVDSATNELKELAMPSYNYYFVKKLVSMAMDRHDHEKEMAAVLLSALYADVIDAPQVYRGFSKLVESADDLIVDIPDTVDILALFIARAVVDDILPPAFLKKQIALLPNDSKGVEVLERAEKGYLAAPMHAEIIERRWRVSKKTVEDVKARINNLLIEYVTSGDKKEAYRCIKDLKVPFFHHEIVKRALVMAMERRQAEDRLLDLLKEVAEEGLINSSQITKGFDRMIDTIEDLSLDIPNAQRILKSLISKATSDGWLCASSLRSLSLEPRKKLLEDNFTRTFKLKSQSIVQEYFLTGDISEVFSCLEAENRTSSGELNAIFIKRLITLAMDRKNREKEMASVLLSSLCFPADDVVNGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEVGSQFLGTDSIGGKVLQMAKSLLKARLSGERILRCWGGGGSSRPGWAVEDVKHKIGKLLEEYESGGDIREAYRCIKELGMPFFHHEVVKKAMVMVMEKKNDRLWGLLAHCFGSGLITMNQMTKGFSRVEESLDDLALDVPDARKQFLAYVEKAKTTGWLDSSFHYGNSINGKENGACQ >KJB28931 pep chromosome:Graimondii2_0_v6:5:8696959:8701246:1 gene:B456_005G076400 transcript:KJB28931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTGGFISDERREIVQSASGSDISSKSPRSTQVHGKGSPVNHDRQSRSPIDGRPKKGGCGGKGTWGGLLDTDSSYALDPNDPNYDSSEEYGHPNARKSAPDFDAFKKKATIIVEEYFATDDVDSATNELKELAMPSYNYYFVKKLVSMAMDRHDHEKEMAAVLLSALYADVIDAPQVYRGFSKLVESADDLIVDIPDTVDILALFIARAVVDDILPPAFLKKQIALLPNDSKGVEVLERAEKGYLAAPMHAEIIERRWRVSKKTVEDVKARINNLLIEYVTSGDKKEAYRCIKDLKVPFFHHEIVKRALVMAMERRQAEDRLLDLLKEVAEEGLINSSQITKGFDRMIDTIEDLSLDIPNAQRILKSLISKATSDGWLCASSLRSLSLEPRKKLLEDNFTRTFKLKSQSIVQEYFLTGDISEVFSCLEAENRTSSGELNAIFIKRLITLAMDRKNREKEMASVLLSSLCFPADDVVNGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEVGSQFLGTDSIGGKVLQMAKSLLKARLSGERILRCWGGGGSSRPGWAVEDVKHKIGKLLEEYESGGDIREAYRCIKELGMPFFHHEVVKKAMVMVMEKKNDRLWGLLAHCFGSGLITMNQMTKGFSRVEESLDDLALDVPDARKQFLAYVEKAKTTGWLDSSFHYGNSINGKENGACQ >KJB28936 pep chromosome:Graimondii2_0_v6:5:8697466:8701246:1 gene:B456_005G076400 transcript:KJB28936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTGGFISDERREIVQSASGSDISSKSPRSTQVHGKGSPVNHDRQSRSPIDGRPKKGGCGGKGTWGGLLDTDSSYALDPNDPNYDSSEEYGHPNARKSAPDFDAFKKKATIIVEEYFATDDVDSATNELKELAMPSYNYYFVKKLVSMAMDRHDHEKEMAAVLLSALYADVIDAPQVYRGFSKLVESADDLIVDIPDTVDILALFIARAVVDDILPPAFLKKQIALLPNDSKGVEVLERAEKGYLAAPMHAEIIERRWRVSKKTVEDVKARINNLLIEYVTSGDKKEAYRCIKDLKVPFFHHEIVKRALVMAMERRQAEDRLLDLLKEVAEEGLINSSQITKGFDRMIDTIEDLSLDIPNAQRILKSLISKATSDGWLCASSLRSLSLEPRKKLLEDNFTRTFKLKSQSIVQEYFLTGDISEVFSCLEAENRTSSGELNAIFIKRLITLAMDRKNREKEMASVLLSSLCFPADDVVNGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEVGSQFLGTDSIGGKVLQMAKSLLKARLSGERILRCWGGGGSSRPGWAVEDVKHKIGKLLEEYESGGDIREAYRCIKELGMPFFHHEVVKKAMVMVMEKKNDRLWGLLAHCFGSGLITMNQMTKGFSRVEESLDDLALDVPDARKQFLAYVEKAKTTGWLDSSFHYGNSINGKENGACQ >KJB28935 pep chromosome:Graimondii2_0_v6:5:8697466:8701246:1 gene:B456_005G076400 transcript:KJB28935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTGGFISDERREIVQSASGSDISSKSPRSTQVHGKGSPVNHDRQSRSPIDGRPKKGGCGGKGTWGGLLDTDSSYALDPNDPNYDSSEEYGHPNARKSAPDFDAFKKKATIIVEEYFATDDVDSATNELKELAMPSYNYYFVKKLVSMAMDRHDHEKEMAAVLLSALYADVIDAPQVYRGFSKLVESADDLIVDIPDTVDILALFIARAVVDDILPPAFLKKQIALLPNDSKGVEVLERAEKGYLAAPMHAEIIERRWRVSKKTVEDVKARINNLLIEYVTSGDKKEAYRCIKDLKVPFFHHEIVKRALVMAMERRQAEDRLLDLLKEVAEEGLINSSQITKGFDRMIDTIEDLSLDIPNAQRILKSLISKATSDGWLCASSLRSLSLEPRKKLLEDNFTRTFKLKSQSIVQEYFLTGDISEVFSCLEAENRTSSGELNAIFIKRLITLAMDRKNREKEMASVLLSSLCFPADDVVNGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEVGSQFLGTDSIGGKVLQMAKSLLKARLSGERILRCWGGGGSSRPGWAVEDVKHKIGKLLEEYESGGDIREAYRCIKELGMPFFHHEVVKKAMVMVMEKKNDRLWGLLAHCFGSGLITMNQMTKGFSRVEESLDDLALDVPDARKQFLAYVEKAKTTGWLDSSFHYGNSINGKENGACQ >KJB28934 pep chromosome:Graimondii2_0_v6:5:8696792:8701246:1 gene:B456_005G076400 transcript:KJB28934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTGGFISDERREIVQSASGSDISSKSPRSTQVHGKGSPVNHDRQSRSPIDGRPKKGGCGGKGTWGGLLDTDSSYALDPNDPNYDSSEEYGHPNARKSAPDFDAFKKKATIIVEEYFATDDVDSATNELKELAMPSYNYYFVKKLVSMAMDRHDHEKEMAAVLLSALYADVIDAPQVYRGFSKLVESADDLIVDIPDTVDILALFIARAVVDDILPPAFLKKQIALLPNDSKGVEVLERAEKGYLAAPMHAEIIERRWRVSKKTVEDVKARINNLLIEYVTSGDKKEAYRCIKDLKVPFFHHEIVKRALVMAMERRQAEDRLLDLLKEVAEEGLINSSQITKGFDRMIDTIEDLSLDIPNAQRILKSLISKATSDGWLCASSLRSLSLEPRKKLLEDNFTRTFKLKSQSIVQEYFLTGDISEVFSCLEAENRTSSGELNAIFIKRLITLAMDRKNREKEMASVLLSSLCFPADDVVNGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEVGSQFLGTDSIGGKVLQMAKSLLKARLSGERILRCWGGGGSSRPGWAVEDVKHKIGKLLEEYESGGDIREAYRCIKELGMPFFHHEVVKKAMVMVMEKKNDRLWGLLAHCFGSGLITMNQMTKGFSRVEESLDDLALDVPDARKQFLAYVEKAKTTGWLDSSFHYGNSINGKENGACQ >KJB28367 pep chromosome:Graimondii2_0_v6:5:4267949:4272628:1 gene:B456_005G044800 transcript:KJB28367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTPEKAQISSSLSKFEDSPVFNYINSLSPIKPVKSIHVTQTFNPLSFASLPSIFTSPHVSSHKESRFLKSYTDPLKPESSSADGTKVRTNEEAGADAQENFDQGVSRGETSFEMPNEPSRIAIGLPQTLKYDCGSPDCDATPCVIKTTCVSDTSLAIVPFVQEASEKGLSDGVEIRDTFQVEQKRDTIGSEWESLISDTSDLLIFNSPNDSEAFRGVIQKSLDPGVLISQFSQDDINEACQTTVDLDKYKDQTEGAVEMNEMNPVNESFEDASVTNFISGSLTDYMETRMSAPYSFKPDSNLHRGFRRRCLDFEMLAARRKNLDGGSTTNSSTDNKLVPGKPDSDSPRCIVPGIGLHLNALAIASRDNKNMKLETLSSGTQKLSFPSLNSPRTGGAETAYESLPSASTERESDAVENGVQLAEDASQASAYLVNEEFNQNSPKKKRRRLEQAGEGESCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRTSDSVPEVRDDLITTPSSARHKRGCNCKKSNCLKKYCECFQGGVGCSINCRCEGCKNAFGRKDGSAIVETDGEPGEEEMDPSEKNALDKNFEKPDILNNEEQNPASALPTTPLQLCRFLP >KJB28366 pep chromosome:Graimondii2_0_v6:5:4267826:4272628:1 gene:B456_005G044800 transcript:KJB28366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTPEKAQISSSLSKFEDSPVFNYINSLSPIKPVKSIHVTQTFNPLSFASLPSIFTSPHVSSHKESRFLKSYTDPLKPESSSADGTKVRTNEEAGADAQENFDQGVSRGETSFEMPNEPSRIAIGLPQTLKYDCGSPDCDATPCVIKTTCVSDTSLAIVPFVQEASEKGLSDGVEIRDTFQVEQKRDTIGSEWESLISDTSDLLIFNSPNDSEAFRGVIQKSLDPGVLISQFSQDDINEACQTTVDLDKYKDQTEGAVEMNEMNPVNESFEDASVTNFISGSLTDYMETRMSAPYSFKPDSNLHRGFRRRCLDFEMLAARRKNLDGGSTTNSSTDNKLVPGKPDSDSPRCIVPGIGLHLNALAIASRDNKNMKLETLSSGTQKLSFPSLNSPRTGGAETAYESLPSASTERESDAVENGVQLAEDASQASAYLVNEEFNQNSPKKKRRRLEQAGEGESCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRTSDSVPEVRDDLITTPSSARHKRGCNCKKSNCLKKYCECFQGGVGCSINCRCEGCKNAFGRKDGSAIVETDGEPGEEEMDPSEKNALDKNFEKPDILNNEEQNPASALPTTPLQLCRPLVQLPFSSKSKPPRSFIAIGSSSALYTGQRYGKPSIIRPQNIIEKHFQTIAEDETPEILRGNSSPGTGIKTSSPNSKRISPPQCELGSTPGGRSGRKLILQSIPSFPSLTPKH >KJB28372 pep chromosome:Graimondii2_0_v6:5:4268380:4271425:1 gene:B456_005G044800 transcript:KJB28372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTPEKAQISSSLSKFEDSPVFNYINSLSPIKPVKSIHVTQTFNPLSFASLPSIFTSPHVSSHKESRFLKSYTDPLKPESSSADGTKVRTNEEAGADAQENFDQGVSRGETSFEMPNEPSRIAIGLPQTLKYDCGSPDCDATPCVIKTTCVSDTSLAIVPFVQEASEKGLSDGVEIRDTFQVEQKRDTIGSEWESLISDTSDLLIFNSPNDSEAFRGVIQKSLDPGVLISQFSQDDINEACQTTVDLDKYKDQTEGAVEMNEMNPVNESFEDASVTNFISGSLTDYMETRMSAPYSFKPDSNLHRGFRRRCLDFEMLAARRKNLDGGSTTNSSTDNKLVPGKPDSDSPRCIVPGIGLHLNALAIASRDNKNMKLETLSSGTQKLSFPSLNSPRTGGAETAYESLPSASTERESDAVENGVQLAEDASQASAYLVNEEFNQNSPKKKRRRLEQAGEGESCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRTSDSVPEVRVHNNSDTSLSSWLTTSCYI >KJB28371 pep chromosome:Graimondii2_0_v6:5:4267949:4272628:1 gene:B456_005G044800 transcript:KJB28371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTPEKAQISSSLSKFEDSPVFNYINSLSPIKPVKSIHVTQTFNPLSFASLPSIFTSPHVSSHKESRFLKSYTDPLKPESSSADGTKVRTNEEAGADAQENFDQGVSRGETSFEMPNEPSRIAIGLPQTLKYDCGSPDCDATPCVIKTTCVSDTSLAIVPFVQEASEKGLSDGVEIRDTFQVEQKRDTIGSEWESLISDTSDLLIFNSPNDSEAFRGVIQKSLDPGVLISQFSQDDINEACQTTVDLDKYKDQTEGAVEMNEMNPVNESFEDASVTNFISGSLTDYMETRMSAPYSFKPDSNLHRGFRRRCLDFEMLAARRKNLDGGSTTNSSTDNKLVPGKPDSDSPRCIVPGIGLHLNALAIASRDNKNMKLETLSSGTQKLSFPSLNSPRTGGAETAYESLPSASTERESDAVENGVQLAEDASQASAYLVNEEFNQNSPKKKRRLEQAGEGESCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRTSDSVPEVRDDLITTPSSARHKRGCNCKKSNCLKKYCECFQGGVGCSINCRCEGCKNAFGRKDGSAIVETDGEPGEEEMDPSEKNALDKNFEKPDILNNEEQNPASALPTTPLQLCRPLVQLPFSSKSKPPRSFIAIGSSSALYTGQRYGKPSIIRPQNIIEKHFQTIAEDETPEILRGNSSPGTGIKTSSPNSKRISPPQCELGSTPGGRSGRKLILQSIPSFPSLTPKH >KJB28373 pep chromosome:Graimondii2_0_v6:5:4267949:4272628:1 gene:B456_005G044800 transcript:KJB28373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTPEKAQISSSLSKFEDSPVFNYINSLSPIKPVKSIHVTQTFNPLSFASLPSIFTSPHVSSHKESRFLKSYTDPLKPESSSADGTKVRTNEEAGADAQENFDQGVSRGETSFEMPNEPSRIAIGLPQTLKYDCGSPDCDATPCVIKTTCVSDTSLAIVPFVQEASEKGLSDGVEIRDTFQVEQKRDTIGSEWESLISDTSDLLIFNSPNDSEAFRGVIQKSLDPGVLISQFSQDDINEACQTTVDLDKYKDQTEGAVEMNEMNPVNESFEDASVTNFISGSLTDYMETRMSAPYSFKPDSNLHRGFRRRCLDFEMLAARRKNLDGGSTTNSSTDNKLVPGKPDSDSPRCIVPGIGLHLNALAIASRDNKNMKLETLSSGTQKLSFPSLNSPRTGGAETAYESLPSASTERESDAVENGVQLAEDASQASAYLVNEEFNQNSPKKKRRRLEQAGEGESCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRTSDSVPEVRDDLITTPSSARHKRGCNCKKSNCLKKYCECFQGGVGCSINCRCEGCKNAFGRKDGKCVPLACMIIESI >KJB28370 pep chromosome:Graimondii2_0_v6:5:4270397:4272392:1 gene:B456_005G044800 transcript:KJB28370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLSLDWLLLTMLLYVSAQPDSNLHRGFRRRCLDFEMLAARRKNLDGGSTTNSSTDNKLVPGKPDSDSPRCIVPGIGLHLNALAIASRDNKNMKLETLSSGTQKLSFPSLNSPRTGGAETAYESLPSASTERESDAVENGVQLAEDASQASAYLVNEEFNQNSPKKKRRRLEQAGEGESCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRTSDSVPEVRDDLITTPSSARHKRGCNCKKSNCLKKYCECFQGGVGCSINCRCEGCKNAFGRKDGSAIVETDGEPGEEEMDPSEKNALDKNFEKPDILNNEEQNPASALPTTPLQLCRPLVQLPFSSKSKPPRSFIAIGSSSALYTGQRYGKPSIIRPQNIIEKHFQTIAEDETPEILRGNSSPGTGIKTSSPNSKRISPPQCELGSTPGGRSGRKLILQSIPSFPSLTPKH >KJB28369 pep chromosome:Graimondii2_0_v6:5:4267949:4272628:1 gene:B456_005G044800 transcript:KJB28369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNEPSRIAIGLPQTLKYDCGSPDCDATPCVIKTTCVSDTSLAIVPFVQEASEKGLSDGVEIRDTFQVEQKRDTIGSEWESLISDTSDLLIFNSPNDSEAFRGVIQKSLDPGVLISQFSQDDINEACQTTVDLDKYKDQTEGAVEMNEMNPVNESFEDASVTNFISGSLTDYMETRMSAPYSFKPDSNLHRGFRRRCLDFEMLAARRKNLDGGSTTNSSTDNKLVPGKPDSDSPRCIVPGIGLHLNALAIASRDNKNMKLETLSSGTQKLSFPSLNSPRTGGAETAYESLPSASTERESDAVENGVQLAEDASQASAYLVNEEFNQNSPKKKRRRLEQAGEGESCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRTSDSVPEVRDDLITTPSSARHKRGCNCKKSNCLKKYCECFQGGVGCSINCRCEGCKNAFGRKDGSAIVETDGEPGEEEMDPSEKNALDKNFEKPDILNNEEQNPASALPTTPLQLCRPLVQLPFSSKSKPPRSFIAIGSSSALYTGQRYGKPSIIRPQNIIEKHFQTIAEDETPEILRGNSSPGTGIKTSSPNSKRISPPQCELGSTPGGRSGRKLILQSIPSFPSLTPKH >KJB28368 pep chromosome:Graimondii2_0_v6:5:4267949:4272628:1 gene:B456_005G044800 transcript:KJB28368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTPEKAQISSSLSKFEDSPVFNYINSLSPIKPVKSIHVTQTFNPLSFASLPSIFTSPHVSSHKESRFLKSYTDPLKPESSSADGTKVRTNEEAGADAQENFDQGVSRGETSFEMPNEPSRIAIGLPQTLKYDCGSPDCDATPCVIKTTCVSDTSLAIVPFVQEASEKGLSDGVEIRDTFQVEQKRDTIGSEWESLISDTSDLLIFNSPNDSEAFRGVIQKSLDPGVLISQFSQDDINEACQTTVDLDKYKDQTEGAVEMNEMNPVNESFEDASVTNFISGSLTDYMETRMSAPYSFKPDSNLHRGFRRRCLDFEMLAARRKNLDGGSTTNSSTDNKLVPGKPDSDSPRCIVPGIGLHLNALAIASRDNKNMKLETLSSGTQKLSFPSLNSPRTGGAETAYESLPSASTERESDAVENGVQLAEDASQASAYLVNEEFNQNSPKKKRRRLEQAGEGESCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRTSDSVPEVRDDLITTPSSARHKRGCNCKKSNCLKKYCECFQGGVGCSINCRCEGCKNAFGRKDGKCVPLACMIIESI >KJB27501 pep chromosome:Graimondii2_0_v6:5:62960626:62960916:-1 gene:B456_005G250900 transcript:KJB27501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPRLINAKSGPKRSLSFSETTASVPKGHIAIYVGEVEKKRFVVPISFLNHPLFRNLLSRAEEEYGFNHPMGALTIPCAKEAFIDLIDSMQGS >KJB29962 pep chromosome:Graimondii2_0_v6:5:28041730:28043657:-1 gene:B456_005G125500 transcript:KJB29962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISQSASKRVTSALVNSSQFNSACDSVYSQCLSLTQEAYPGVFPYQLLNAAANLHQHLTSLRPHSLILRWVPHPPARSQVDSAFRFVTRHQDNPRSDEEALLLDSSDFREWAVVLFSDAVVGNAGKAVLQRVPVGVLGIAGIGAAARTGKEVVGAAIGVYALGVATSIYLSLSA >KJB27727 pep chromosome:Graimondii2_0_v6:5:3431104:3435846:1 gene:B456_005G0360001 transcript:KJB27727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AELESFKMEYANARLECNAADERANILASEVIGLEEKALRLRSNELKLERQLENSQAEISSFKKKMSSLEKDRQDLLSTIEALQEEKKVLQSKVRDSFLSGKSVDAIKNPASKKDMSTSTEDLATTETTSDDKELNNTNDASSLSLLPEDGGFEVSSVHIPPDQIRMVQNINSLISELRLEKEELTQALSSELSQSSKLKEVNEELSRKLEVQTQRLELLTAQSLASEYIPARQPEPRKIDDNTPYADEGDEVVERVLGWIMKLFPGGPSRQRTNKRLSY >KJB27730 pep chromosome:Graimondii2_0_v6:5:3431104:3435866:1 gene:B456_005G0360001 transcript:KJB27730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AELESFKMEYANARLECNAADERANILASEVIGLEEKALRLRSNELKLERQLENSQAEISSFKKKMSSLEKDRQDLLSTIEALQEEKKVLQSKVRDSFLSGKSVDAIKNPASKKDMSTSTEDLATTETTSDDKELNNTNDASSLSLLPEDGGFEVSSVHIPPDQIRMVQNINSLISELRLEKEELTQALSSELSQSSKLKVNEELSRKLEVQTQRLELLTAQSLASEYIPARQPEPRKIDDNTPYADEGDEVVERVLGWIMKLFPGGPSRQRTNKRLSY >KJB27729 pep chromosome:Graimondii2_0_v6:5:3431104:3435846:1 gene:B456_005G0360001 transcript:KJB27729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AELESFKMEYANARLECNAADERANILASEVIGLEEKALRLRSNELKLERQLENSQAEISSFKKKMSSLEKDRQDLLSTIEALQEEKKVLQSKVRDSFLSGKSVDAIKNPASKKDMSTSTEDLATTETTSDDKELNNTNDASSLSLLPEDGGFEVSSVHIPPDQIRMVQNINSLISELRLEKEELTQALSSELSQSSKLKEVNEELSRKLEVQTQRLELLTAQSLASEYIPARQPEPRKIDDNTPYADEGDEVVERVLGWIMKLFPGGPSRQRTNKRLSY >KJB27728 pep chromosome:Graimondii2_0_v6:5:3431104:3435866:1 gene:B456_005G0360001 transcript:KJB27728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AELESFKMEYANARLECNAADERANILASEVIGLEEKALRLRSNELKLERQLENSQAEISSFKKKMSSLEKDRQDLLSTIEALQEEKKVLQSKVRDSFLSGKSVDAIKNPASKKDMSTSTEDLATTETTSDDKELNNTNDASSLSLLPEDGGFEVSSVHIPPDQIRMVQNINSLISELRLEKEELTQALSSELSQSSKLKEVNEELSRKLEVQTQRLELLTAQSLASEYIPARQPEPRKIDDNTPYADEGDEVVERVLGWIMKLFPGGPSRQRTNKRLSY >KJB29802 pep chromosome:Graimondii2_0_v6:5:39058950:39060240:1 gene:B456_005G143800 transcript:KJB29802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDILPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDDNLLTQIKDGFAEGKDLVVSVMSAMGEEQICGLKDIGPK >KJB29803 pep chromosome:Graimondii2_0_v6:5:39058850:39060324:1 gene:B456_005G143800 transcript:KJB29803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDILPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDDNLLTQIKDGFAEGKDLVVSVMSAMGEEQICGLKDIGPK >KJB29804 pep chromosome:Graimondii2_0_v6:5:39059064:39059833:1 gene:B456_005G143800 transcript:KJB29804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDILPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDDNLLTQVCVVSEFFDVLCWFELIIYE >KJB29753 pep chromosome:Graimondii2_0_v6:5:23544271:23547511:-1 gene:B456_005G117500 transcript:KJB29753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILIKPTLKLFISFAILMVQYGNAERVPALFVFGDSLVDVGNNNFLNSIAKSNYFPYGIDFNMQPTGRFCNGKTFVDIIGEMLGVPYPSPFADPYTAGVKLLGGVNYASAAAGILDESGQHYGERYSLRQQVLNFETTLDQLRTMMGRDNLTSFLAKSIAILVFGSNDYINNYLMPSIYASSFNYNPTQFSNLLLNRYAPQLLTLYNLGIRKMFIAGIGPLGCIPNQRATGQAAPGRCVDYVNDILGTFNQGLKSLVDQLNKRPGAIVTYGNTYGAVGDILNNPSTYGFNVVDKGCCGIGRNQGQITCLPFAYPCTNRDQYVFWDAFHPTQAANVILARRAFYGPPLDTYPLNIQQMTLIH >KJB29755 pep chromosome:Graimondii2_0_v6:5:23544515:23547480:-1 gene:B456_005G117500 transcript:KJB29755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVPYPSPFADPYTAGVKLLGGVNYASAAAGILDESGQHYGERYSLRQQVLNFETTLDQLRTMMGRDNLTSFLAKSIAILVFGSNDYINNYLMPSIYASSFNYNPTQFSNLLLNRYAPQLLTLYNLGIRKMFIAGIGPLGCIPNQRATGQAAPGRCVDYVNDILGTFNQGLKSLVDQLNKRPGAIVTYGNTYGAVGDILNNPSTYGFNVVDKGCCGIGRNQGQITCLPFAYPCTNRDQYVFWDAFHPTQAANVILARRAFYGPPLDTYPLNIQQMTLIH >KJB29754 pep chromosome:Graimondii2_0_v6:5:23544515:23547463:-1 gene:B456_005G117500 transcript:KJB29754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILIKPTLKLFISFAILMVQYGNAERVPALFVFGDSLVDVGNNNFLNSIAKSNYFPYGIDFNMQPTGRFCNGKTFVDIIGEMLGVPYPSPFADPYTAGVKLLGGVNYASAAAGILDESGQHYGERYSLRQQVLNFETTLDQLRTMMGRDNLTSFLAKSIAILVFGSNDYINNYLMPSIYASSFNYNPTQFSNLLLNRYAPQLLTLYNLGIRKMFIAGIGPLGCIPNQRATGQAAPGRCVDYVNDILGTFNQGLKSLVDQLNKRPGAIVTYGNTYGAVGDILNNPSTYALQDSTWLTRDAVE >KJB28656 pep chromosome:Graimondii2_0_v6:5:6360976:6363508:1 gene:B456_005G061000 transcript:KJB28656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTEPTTQKSSPNISPGTPSSPVRNWKTPFLYVAQKQRFFFVLVGIAIAALFFNSFPVSTSSLSQELSGGGGGAGLIAGSVSGLGAESSEVTRRVLYEAYTEGFRGRNVAAAGKVPLGLKKKNLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENLMHHFGNPKFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRSLGIEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPMTVYGDGKQTRSFQYVSDLVEGLIRLMEGDHVGPFNLGNPGEFTMLELAEVVQEAIDPNAKIEFRPNTEDDPHKRKPDISKAKQLLGWEPTISLRKGLPLMVSDFRQRIFGTPKTLIGGGATA >KJB28865 pep chromosome:Graimondii2_0_v6:5:8032962:8039429:1 gene:B456_005G073300 transcript:KJB28865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANLQFLQNPFPRPTFSSKYLVMRAPKMVFKCGCSSSSSVGGDAFSLTSSSKCDVDYLGESTKGDLNINLKHLENFGLDGQATLEGPIEQVARLEAEEAGSLLRDLGIPSPSAARLSPRGMFCTRTLNLRSISAIGYDMDYTLIHYNVMAWEGRAYDYCMENLKSMGFPVEGLAFDPDLVIRGLVIDKEKGNLVKADRFGYVKRAMHGTKMLSTRAVSEMYGRELVDLRKESQWEFLNTLFSVSEAVAYMQMVDRLDDGVIPADLGPLDYKGLYKAVGKALFRAHVEGQLKSEIMSKPELFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMQHSFNRFLPNDMGWRDLFDMVIVSARKPEFFQMSHPLYEVVTGEGLMRPCFKTRTGGLYSGGSAQMVENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEEYKALIHSRGPRATVVELINQKEVVGDLFNQLRLALQRRTKGRPAQTLAATNMDDRELTESMQKLLIVMQRLDEKIAPLLEADGELFNKRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLNYTPFMYFRSQEQTLAHDTYSHYCSEHNGSSTN >KJB28867 pep chromosome:Graimondii2_0_v6:5:8032972:8039429:1 gene:B456_005G073300 transcript:KJB28867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANLQFLQNPFPRPTFSSKYLVMRAPKMVFKCGCSSSSSVGGDAFSLTSSSKCDVDYLGESTKGDLNINLKHLENFGLDGQATLEGPIEQVARLEAEEAGSLLRDLGIPSPSAARLSPRGMFCTRTLNLRSISAIGYDMDYTLIHYNVMAWEGRAYDYCMENLKSMGFPVEGLAFDPDLVIRGLVIDKEKGNLVKADRFGYVKRAMHGTKMLSTRAVSEMYGRELVDLRKESQWEFLNTLFSVSEAVAYMQMVDRLDDGVIPADLGPLDYKGLYKAVGKALFRAHVEGQLKSEIMSKPELFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMQHSFNRFLPNDMGWRDLFDMVIVSARKPEFFQMSHPLYEVVTGEGLMRPCFKTRTGGLYSGGSAQMVENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEETLAATNMDDRELTESMQKLLIVMQRLDEKIAPLLEADGELFNKRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLNYTPFMYFRSQEQTLAHDTYSHYCSEHNGSSTN >KJB28868 pep chromosome:Graimondii2_0_v6:5:8032972:8039429:1 gene:B456_005G073300 transcript:KJB28868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANLQFLQNPFPRPTFSSKYLVMRAPKMVFKCGCSSSSSVGGDAFSLTSSSKCDVDYLGESTKGDLNINLKHLENFGLDGQATLEGPIEQVARLEAEEAGSLLRDLGIPSPSAARLSPRGMFCTRTLNLRSISAIGYDMDYTLIHYNVMAWEGRAYDYCMENLKSMGFPVEGLAFDPDLVIRGLVIDKEKGNLVKADRFGYVKRAMHGTKMLSTRAVSEMYGRELVDLRKESQWEFLNTLFSVSEAVAYMQMVDRLDDGVIPADLGPLDYKGLYKAVGKALFRAHVEGQLKSEIMSKPELFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMQHSFNRFLPNDMGWRDLFDMVIVSARKPEFFQMSHPLYEVVTGEGLMRPCFKTRTGGLYSGGSAQMVENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEEYKALIHSRGPRATVVELINQKEVVGDLFNQLRLALQRRTKGRPAQTLAATNMDDRELTESMQKLLIVMQRLDEKIAPLLEADGELFNKRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLNYTPFMYFRSQEQTLAHDTYSHYCSEHNGSSTN >KJB28866 pep chromosome:Graimondii2_0_v6:5:8032962:8039429:1 gene:B456_005G073300 transcript:KJB28866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANLQFLQNPFPRPTFSSKYLVMRAPKMVFKCGCSSSSSVGGDAFSLTSSSKCDVDYLGESTKGDLNINLKHLENFGLDGQATLEGPIEQVARLEAEEAGSLLRDLGIPSPSAARLSPRGMFCTRTLNLRSISAIGYDMDYTLIHYNVMAWEGRAYDYCMENLKSMGFPVEGLAFDPDLVIRGLVIDKEKGNLVKADRFGYVKRAMHGTKMLSTRAVSEMYGRELVDLRKESQWEFLNTLFSVSEAVAYMQMVDRLDDGVIPADLGPLDYKGLYKAVGKALFRAHVEGQLKSEIMSKPELFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMQHSFNRFLPNDMGWRDLFDMVIVSARKPEFFQMSHPLYEVVTGEGLMRPCFKTRTGGLYSGGSAQMVENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEETLAATNMDDRELTESMQKLLIVMQRLDEKIAPLLEADGELFNKRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLNYTPFMYFRSQEQTLAHDTYSHYCSEHNGSSTN >KJB31173 pep chromosome:Graimondii2_0_v6:5:52647215:52652605:-1 gene:B456_005G179600 transcript:KJB31173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKALDYETMNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPQALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLSLTSGGLGAYSDSRGIPGVRKEVAEFIERRDGYPSDPELIFLTDGASKGVMQILNCIIRGQGDGVLVPVPQYPLYSATISLFGGSLVPYYLEETANWGLDVNNLRESVAQARFKGITVRAMVIINPGNPTGQCLSEANLREILNFCYQENLVLLGDEVYQQNIYQDERPFISSRKVLMDMGPPISKDVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPKTVDEIYKIASISLSPNVPAQIFMGLMVNPLKPGDVSYDQFVRESKGILESLRRRARIMTDGFNSCKNVVCNFTEGAMYSFPQLRLPPKAIEAAKQAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPEIMSSFKKFNDEFMAQYEDNWNRSRM >KJB31172 pep chromosome:Graimondii2_0_v6:5:52647215:52652988:-1 gene:B456_005G179600 transcript:KJB31172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKALDYETMNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPQALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLSLTSGGLGAYSDSRGIPGVRKEVAEFIERRDGYPSDPELIFLTDGASKGVMQILNCIIRGQGDGVLVPVPQYPLYSATISLFGGSLVPYYLEETANWGLDVNNLRESVAQARFKGITVRAMVIINPGNPTGQCLSEANLREILNFCYQENLVLLGDEVYQQNIYQDERPFISSRKVLMDMGPPISKDVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPKTVDEIYKIASISLSPNVPAQIFMGLMVNPLKPGDVSYDQFVRESKGILESLRRRARIMTDGFNSCKNVVCNFTEGAMYSFPQLRLPPKAIEAAKQAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPEIMSSFKKFNDEFMAQYEDNWNRSRM >KJB31175 pep chromosome:Graimondii2_0_v6:5:52647544:52651995:-1 gene:B456_005G179600 transcript:KJB31175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKALDYETMNENVKKVQYAVRGELYLRASELQKEGKKYMKSTVCWGSMHFHIIFTNVGNPQALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLSLTSGGLGAYSDSRGIPGVRKEVAEFIERRDGYPSDPELIFLTDGASKGVMQILNCIIRGQGDGVLVPVPQYPLYSATISLFGGSLVPYYLEETANWGLDVNNLRESVAQARFKGITVRAMVIINPGNPTGQCLSEANLREILNFCYQENLVLLGDEVYQQNIYQDERPFISSRKVLMDMGPPISKDVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPKTVDEIYKIASISLSPNVPAQIFMGLMVNPLKPGDVSYDQFVRESKGILESLRRRARIMTDGFNSCKNVVCNFTEGAMYSFPQLRLPPKAIEAAKQAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPEIMSSFKKFNDEFMAQYEDNWNRSRM >KJB31170 pep chromosome:Graimondii2_0_v6:5:52647158:52652377:-1 gene:B456_005G179600 transcript:KJB31170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKALDYETMNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPQALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLSLTSGGLGAYSDSRGIPGVRKEVAEFIERRDGYPSDPELIFLTDGASKGVMQILNCIIRGQGDGVLVPVPQYPLYSATISLFGGSLVPYYLEETANWGLDVNNLRESVAQARFKGITVRAMVIINPGNPTGQCLSEANLREILNFCYQENLVLLGDEVYQQNIYQDERPFISSRKVLMDMGPPISKDVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPKTVDEIYKIASISLSPNVPAQIFMGLMVNPLKPGDVSYDQFVRESKGILESLRRRARIMTDGFNSCKNVVCNFTEGAMYSFPQLRLPPKAIEAAKQAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPEIMSSFKKFNDEFMAQYEDNWNRSRM >KJB31174 pep chromosome:Graimondii2_0_v6:5:52647329:52652993:-1 gene:B456_005G179600 transcript:KJB31174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKALDYETMNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPQALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLSLTSGGLGAYSDSRGIPGVRKEVAEFIERRDGYPSDPELIFLTDGASKGVMQILNCIIRGQGDGVLVPVPQYPLYSATISLFGGSLVPYYLEETANWGLDVNNLRESVAQARFKGITVRAMVIINPGNPTGQCLSEANLREILNFCYQENLVLLGDEVYQQNIYQDERPFISSRKVLMDMGPPISKDVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPKTVDEIYKIASISLSPNVPAQIFMGLMVNPLKPGDVSYDQFVRESKGILESLRRRARIMTDGFNSCKNVVPCILFLNYAYHQKL >KJB31171 pep chromosome:Graimondii2_0_v6:5:52647215:52653021:-1 gene:B456_005G179600 transcript:KJB31171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKALDYETMNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPQALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLSLTSGGLGAYSDSRGIPGVRKEVAEFIERRDGYPSDPELIFLTDGASKGVMQILNCIIRGQGDGVLVPVPQYPLYSATISLFGGSLVPYYLEETANWGLDVNNLRESVAQARFKGITVRAMVIINPGNPTGQCLSEANLREILNFCYQENLVLLGDEVYQQNIYQDERPFISSRKVLMDMGPPISKDVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPKTVDEIYKIASISLSPNVPAQIFMGLMVNPLKPGDVSYDQFVRESKGILESLRRRARIMTDGFNSCKNVVCNFTEGAMYSFPQLRLPPKAIEAAKQAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPEIMSSFKKFNDEFMAQYEDNWNRSRM >KJB30614 pep chromosome:Graimondii2_0_v6:5:42297809:42309740:1 gene:B456_005G152000 transcript:KJB30614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRCWNFDNSSTTSNFQIIKDLQNSKSSFERSRFNLVNALMNIEAKKKYEFLESISAIMDSHLKYFKLGFELLKQLEPFIHQVYTYAQQAKELANAEQCSLEKRIQEFRTQAEIDIIKASSNIEPSTSSVGICVTGMNSDKNIEAIMQSSRNGEVQTIKQGYLLKRSSSLRGDWKRRFFVLDSQGTLYYYRNKGIKPTGFLHHYAGSAEYNSGVFARFRAKYKTSSCEDNLGCRTVDLCTSTIKMGAEDTDLRLCFRIISPLKTYTLQAENAGDRTDWVNKITAVITSLLSSHIMQQHVENNDYARKASSNVMLHNNLHTSEDQIGNRAESVSAVLREIPGNDVCAECNAHEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPSIVELFCTLGNAYCNSIWEGSLLKNER >KJB30613 pep chromosome:Graimondii2_0_v6:5:42297809:42309522:1 gene:B456_005G152000 transcript:KJB30613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRCWNFDNSSTTSNFQIIKDLQNSKSSFERSRFNLVNALMNIEAKKKYEFLESISAIMDSHLKYFKLGFELLKQLEPFIHQVYTYAQQAKELANAEQCSLEKRIQEFRTQAEIDIIKASSNIEPSTSSVGICVTGMNSDKNIEAIMQSSRNGEVQTIKQGYLLKRSSSLRGDWKRRFFVLDSQGTLYYYRNKGIKPTGFLHHYAGSAEYNSGVFARFRAKYKTSSCEDNLGCRTVDLCTSTIKMGAEDTDLRLCFRIISPLKTYTLQAENAGDRTDWVNKITAVITSLLSSHIMQQHVENNDYARKASSNVMLHNNLHTSEDQIGNRAESVSAVLREIPGNDVCAECNAHEPDWASLNLGILLCIECSGVHRNLGVHISKVQFIHQRRERDLMAFFLPFSSVRSLTLDVKVWEPSIVELFCTLGNAYCNSIWEGSLLKNER >KJB30616 pep chromosome:Graimondii2_0_v6:5:42302644:42309522:1 gene:B456_005G152000 transcript:KJB30616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYCLIFNLDEIINNSSVCGLTMIGFLLLQGFELLKQLEPFIHQAKELANAEQCSLEKRIQEFRTQAEIDIIKASSNIEPSTSSVGICVTGMNSDKNIEAIMQSSRNGEVQTIKQGYLLKRSSSLRGDWKRRFFVLDSQGTLYYYRNKGIKPTGFLHHYAGSAEYNSGVFARFRAKYKTSSCEDNLGCRTVDLCTSTIKMGAEDTDLRLCFRIISPLKTYTLQAENAGDRTDWVNKITAVITSLLSSHIMQQHVENNDYARKASSNVMLHNNLHTSEDQIGNRAESVSAVLREIPGNDVCAECNAHEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPSIVELFCTLGNAYCNSIWEGSLLKNER >KJB30615 pep chromosome:Graimondii2_0_v6:5:42302334:42309522:1 gene:B456_005G152000 transcript:KJB30615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDKNIEAIMQSSRNGEVQTIKQGYLLKRSSSLRGDWKRRFFVLDSQGTLYYYRNKGIKPTGFLHHYAGSAEYNSGVFARFRAKYKTSSCEDNLGCRTVDLCTSTIKMGAEDTDLRLCFRIISPLKTYTLQAENAGDRTDWVNKITAVITSLLSSHIMQQHVENNDYARKASSNVMLHNNLHTSEDQIGNRAESVSAVLREIPGNDVCAECNAHEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPSIVELFCTLGNAYCNSIWEGSLLKNER >KJB32113 pep chromosome:Graimondii2_0_v6:5:60719669:60721182:1 gene:B456_005G225100 transcript:KJB32113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGHSGILTHSEYSAFFSGFFSSSFPCFWNSCLCSLPSSCFSLYSGIFGYSTSCFSCSLGFSPLGKLGFSFSYSSCSFDSCDSWHSSCLCSLPSSCFSLYSGIFGYSTSCFSCSLGFSPLGKLGFSFSYSSCSFDSCDSWHSSCLCSLPSSCFSLYSGISGYSTSCFSCSLGFSNLGNSGFSFSYSLCSFDSRDSWYSSCLCSLPSSCFSLYSGIFGYSTSCFSCSLGFSNLGNSGFSFSYSLCSFDLCDSWYSSCCLQGFCFSSFCISGFSCFGYSSSYFGCLYSDFSYSDSSCFSYFEANCGNSDEVVCVSNKRRAAVETVWLPIISETLTSKSWKRKKGRRVL >KJB32066 pep chromosome:Graimondii2_0_v6:5:60378843:60381404:-1 gene:B456_005G221200 transcript:KJB32066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLCCFNSVYSQGRRSSCSSGKGRSHQGLVKYGFTLVKGKANHPMEDYHVAKFAQHRGHELGLFAIYDGHLGDSVPAYLQKHLFSNILKDEEFWTNPRGSISKAYEQTDQAILTHTPDLGRGGSTAVTAILIDGLKLWVANIGDSRAVLSKEGQAIQMSIDHEPNTERGSIENRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKSHLRSDPDMKTVDIDSDTELLILASDGLWKVMSNQEAVDIAKKTKDPLRAAKRLITESLNRDSKDDISCIVVRFKG >KJB32068 pep chromosome:Graimondii2_0_v6:5:60378264:60381857:-1 gene:B456_005G221200 transcript:KJB32068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLCCFNSVYSQGRRSSCSSGKGRSHQGLVKYGFTLVKGKANHPMEDYHVAKFAQHRGHELGLFAIYDGHLGDSVPAYLQKHLFSNILKDEEFWTNPRGSISKAYEQTDQAILTHTPDLGRGGSTAVTAILIDGLKLWVANIGDSRAVLSKEGQAIQMSIDHEPNTERGSIENRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKSHLRSDPDMKTVDIDSDTELLILASDGLWKVMSNQEAVDIAKKTKDPLRAAKRLITESLNRDSKDDISCIVVRFKG >KJB32067 pep chromosome:Graimondii2_0_v6:5:60378264:60382107:-1 gene:B456_005G221200 transcript:KJB32067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLCCFNSVYSQGRRSSCSSGKGRSHQGLVKYGFTLVKGKANHPMEDYHVAKFAQHRGHELGLFAIYDGHLGDSVPAYLQKHLFSNILKDEEFWTNPRGSISKAYEQTDQAILTHTPDLGRGGSTAVTAILIDGLKLWVANIGDSRAVLSKEGQAIQMSIDHEPNTERGSIENRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKSHLRSDPDMKTVDIDSDTELLILASDGLWKVMSNQEAVDIAKKTKDPLRAAKRLITESLNRDSKDDISCIVVRFKG >KJB29640 pep chromosome:Graimondii2_0_v6:5:20758525:20758885:1 gene:B456_005G111700 transcript:KJB29640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGLGKYTKIRHIVRLRQMLQRWRNKASMSARRIPSDVPVGHVAIYVGTSCRRFVVRVFRKLLIQAEEDLFLGIISKLDFTTESRPLLHGFAGKE >KJB31619 pep chromosome:Graimondii2_0_v6:5:57283616:57284612:1 gene:B456_005G198200 transcript:KJB31619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPDLTVVVLNLSPTVTLGDLNAYFSNCGHVEKIKLLGANRDRSLSALVTFRQPYAYQTALLLNNANFAGQPIRILPKKDAADPPVSYRTIPIVTENNKTGGNMPGLRAAVEAIALEGVEKLNQARDELNHKLKLTENSRVVMDKTRLAVCAADQAIYAAEEAAKDVAKRIKNTDYVAVGATWLSGVLQKTSKLVLELGHRKGCSPNSRDRI >KJB31618 pep chromosome:Graimondii2_0_v6:5:57283518:57284665:1 gene:B456_005G198200 transcript:KJB31618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPDLTVVVLNLSPTVTLGDLNAYFSNCGHVEKIKLLGANRDRSLSALVTFRQPYAYQTALLLNNANFAGQPIRILPKKDAADPPVSYRTIPIVTEKSKHDVQNNKTGGNMPGLRAAVEAIALEGVEKLNQARDELNHKLKLTENSRVVMDKTRLAVCAADQAIYAAEEAAKDVAKRIKNTDYVAVGATWLSGVLQKTSKLVLELGHRKGCSPNSRDRI >KJB28389 pep chromosome:Graimondii2_0_v6:5:5163144:5164776:-1 gene:B456_005G052000 transcript:KJB28389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLATVGSSSVLRFNKTEPLKTNPSSPFLGFVTSQLSGIKISCNLPVVVAPLKPISSPFTPALLPVARYALSPARKRTKPTKFRSQITRQRNYSLSTSNTRRFGGKPVNAM >KJB28390 pep chromosome:Graimondii2_0_v6:5:5163144:5164812:-1 gene:B456_005G052000 transcript:KJB28390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLATVGSSSVLRFNKTEPLKTNPSSPFLGFVTSQLSGIKISCNLPVVVAPLKPISSPFTPALLPVARRICPFTGKKANKANKVSFSNHKTKKLQFVNLQYKKVWWEAGKRYVKLRLSTKALKTIEKNGLDAVAKKAGIDLRKE >KJB29676 pep chromosome:Graimondii2_0_v6:5:21574697:21579663:-1 gene:B456_005G113300 transcript:KJB29676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRRRKLHYHRLRSLVQVVSAISGTLLLLFPFLSFLFPSPDESEHFHLRHHQTSFNTVVKDSVSDRNSVFKIPVRAPSLLSTGGKLDRDLWSSKNAKFFYGCSNSSARFAKAEAITHPTRYLAIATSGGLNQQRTGITDAVVAARILNATLVVPKLDQKSFWKDARCQVTVSTSSCPFISFLSKDVKIIKQLPKRGGRTWTPYTMRVPRKCSERCYLNRVLPVLLKRHNAVQLNKFDYRLANRLDTGLQKLRCRVNYHALQFANPILEMGKILVQRLRMRSKHYIALHLRFEPDMLAFSGCDYGGGEKEKNELGAIQKRWKTLHKNNPDKERRQGRCPLAPEEVGLMLRALGYGSDVHIYVASGEVYGGEETLRPLKALFPNFYSKDTIATKEELGPFLSFSSRMAALDFIVCDESDVFVTNNNGNMARILAGRRKYFGHKPTIRPNAKKLYHLFLSRNNMTWEEFSSRIRTLQKGFMGEPKEVRPGRGEFHENPSTCICEDSEAMGKVKADSRHKKHGKLDDSSRKYVMVSDDQNDYDDNDVPEWPDLDEDEDEEDESGSKEKHLSNVTGSDYGAVISDEPELEAMLSD >KJB29868 pep chromosome:Graimondii2_0_v6:5:25764011:25766900:1 gene:B456_005G121600 transcript:KJB29868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHKFTVDLNKPLVFQVGHLGESYQEWVHQPIVSKEGPRFFDSDFWEFLTRTAWWAIPTIWLPVVCWCISMSVRMGHTLPQTALMVAFGIFLWTFVEYVLHRFLFHIETKSYWGNTIHYLLHGCHHKHPMDGLRLVFPPAAAAILCIPEVYLGM >KJB29861 pep chromosome:Graimondii2_0_v6:5:25764011:25766900:1 gene:B456_005G121600 transcript:KJB29861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHKFTVDLNKPLVFQVGHLGESYQEWVHQPIVSKEGPRFFDSDFWEFLTRTAWWAIPTIWLPVVCWCISMSVRMGHTLPQTALMVAFGIFLWTFVEYVLHRFLFHIETKSYWGNTIHYLLHGCHHKHPMDGLRLVFPPAAAAILCIPFWNLIKFCATPSTTPALFGGGLLGYVMYDVTHYYLHHGQPTNQVPKSLKKYHLNHHFRIQNKGFGITSAFWDRVFGTLPQTKAADRAR >KJB29870 pep chromosome:Graimondii2_0_v6:5:25764431:25766900:1 gene:B456_005G121600 transcript:KJB29870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLKIGILRLSNVAKMVAHKFTVDLNKPLVFQVGHLGESYQEWVHQPIVSKEGPRFFDSDFWEFLTRTAWWAIPTIWLPVVCWCISMSVRMGHTLPQTALMVAFGIFLWTFVEYVLHRFLFHIETKSYWGNTIHYLLHGCHHKHPMDGLRLVFPPAAAAILCIPFWNLIKFCATPSTTPALFGGGLLGYVMYDVTHYYLHHGQPTNQVPKSLKKYHLNHHFRIQNKGFGITSAFWDRVFGTLPQTKAADRAR >KJB29862 pep chromosome:Graimondii2_0_v6:5:25764011:25766900:1 gene:B456_005G121600 transcript:KJB29862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRMGHTLPQTALMVAFGIFLWTFVEYVLHRFLFHIETKSYWGNTIHYLLHGCHHKHPMDGLRLVFPPAAAAILCIPFWNLIKFCATPSTTPALFGGGLLGYVMYDVTHYYLHHGQPTNQVPKSLKKYHLNHHFRIQNKGFGITSAFWDRVFGTLPQTKAADRAR >KJB29863 pep chromosome:Graimondii2_0_v6:5:25764729:25765854:1 gene:B456_005G121600 transcript:KJB29863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHKFTVDLNKPLVFQVGHLGESYQEWVHQPIVSKEGPRFFDSDFWEFLTRTAWWAIPTIWLPVVCWCISMSVRMGHTLPQTALMVAFGIFLWTFVEYVLHRFLFHIETKSYWGNTIHYLLHGCHHKHPMDGLRLVFPPAAAAILCIPVCYFTSILVHILHDDAS >KJB29866 pep chromosome:Graimondii2_0_v6:5:25764011:25768955:1 gene:B456_005G121600 transcript:KJB29866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHKFTVDLNKPLVFQVGHLGESYQEWVHQPIVSKEGPRFFDSDFWEFLTRTAWWAIPTIWLPVVCWCISMSVRMGHTLPQTALMVAFGIFLWTFVEYVLHRFLFHIETKSYWGNTIHYLLHGCHHKHPMDGLRLVFPPAAAAILCIPFWNLIKFCATPSTTPALFGGGLLGYVMYDVTHYYLHHGQPTNQVPKSLKKYHLNHHFRIQNKGFGITSAFWDRVFGTLPQTKAADRAR >KJB29867 pep chromosome:Graimondii2_0_v6:5:25764011:25766900:1 gene:B456_005G121600 transcript:KJB29867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHKFTVDLNKPLVFQVGHLGESYQEWVHQPIVSKEGPRFFDSDFWEFLTRTAWWAIPTIWLPVVCWCISMSVRMGHTLPQTALMVAFGIFLWTFVEYVLHRFLFHIETKSYWGNTIHYLLHGCHHKHPMDGLRLVFPPAAAAILCIPFWNLIKFCATPSTTPALFGGGLLGYVMYDVTHYYLHHGQPTNQVPKSLKKYHLNHHFRIQNKGFGITSAFWDRVFGTLPQTKAADRAR >KJB29864 pep chromosome:Graimondii2_0_v6:5:25764011:25766900:1 gene:B456_005G121600 transcript:KJB29864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHKFTVDLNKPLVFQVGHLGESYQEWVHQPIVSKEGPRFFDSDFWEFLTRTAWWAIPTIWLPVVCWCISMSVRMGHTLPQTALMVAFGIFLWTFVEYVLHRFLFHIETKSYWGNTIHYLLHGCHHKHPMDGLRLVFPPAAAAILCIPKYHLNHHFRIQNKGFGITSAFWDRVFGTLPQTKAADRAR >KJB29869 pep chromosome:Graimondii2_0_v6:5:25763709:25766900:1 gene:B456_005G121600 transcript:KJB29869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHKFTVDLNKPLVFQVGHLGESYQEWVHQPIVSKEGPRFFDSDFWEFLTRTAWWAIPTIWLPVVCWCISMSVRMGHTLPQTALMVAFGIFLWTFVEYVLHRFLFHIETKSYWGNTIHYLLHGCHHKHPMDGLRLVFPPAAAAILCIPFWNLIKFCATPSTTPALFGGGLLGYVMYDVTHYYLHHGQPTNQVPKSLKKYHLNHHFRIQNKGFGITSAFWDRVFGTLPQTKAADRAR >KJB29865 pep chromosome:Graimondii2_0_v6:5:25764842:25766529:1 gene:B456_005G121600 transcript:KJB29865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHLGESYQEWVHQPIVSKEGPRFFDSDFWEFLTRTAWWAIPTIWLPVVCWCISMSVRMGHTLPQTALMVAFGIFLWTFVEYVLHRFLFHIETKSYWGNTIHYLLHGCHHKHPMDGLRLVFPPAAAAILCIPFWNLIKFCATPSTTPALFGGGLLGYVMYDVTHYYLHHGQPTNQVPKSLKKYHLNHHFRIQNKGFGITSAFWDRVFGTLPQTKAADRAR >KJB27494 pep chromosome:Graimondii2_0_v6:5:62934108:62934684:1 gene:B456_005G250200 transcript:KJB27494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLPRIVGSKKVPKGYFAVYVGENQKRFVIPVSFLSQPLFQDLLGMSQEEYGYSHPTGGLTIPCNEDIFLDVTSCLN >KJB28002 pep chromosome:Graimondii2_0_v6:5:1745637:1747688:-1 gene:B456_005G021200 transcript:KJB28002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNNPPKPVFLTKAQREQLALQRLEEQRAQQKRRIEDLRSANGAANNRSDSSSGKPTSDSSDRRDRERQRERDRESERRNREREREEEAKARERARSEKLAEREREKELEAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKEMREEIRKKDGVEEKPEEAAAQKLKEEAANTYDTFDMRVDKHWSDKKLEEMTERDWRIFREDFNISYKGSKIPRPMRSWSESKLTAELLKAVERVGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLAYISRLPPMSEENEAEGPYAVVMAPTRELAQQIEDETMKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLISQQVMMVKESEKFPRLQKLLDELGDKTAIVFVNTKKNADTISKNLDKSGYKVTTLHGGRSQEQREISLEGFRAKRFNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKTGVATTFLTLHDTDVFFDLKQMLVQSGSPVPHELAKHEASKFKPGTIPDRPPRRNDTVFAH >KJB28003 pep chromosome:Graimondii2_0_v6:5:1744957:1747872:-1 gene:B456_005G021200 transcript:KJB28003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNNPPKPVFLTKAQREQLALQRLEEQRAQQKRRIEDLRSANGAANNRSDSSSGKPTSDSSDRRDRERQRERDRESERRNREREREEEAKARERARSEKLAEREREKELEAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKEMREEIRKKDGVEEKPEEAAAQKLKEEAANTYDTFDMRVDKHWSDKKLEEMTERDWRIFREDFNISYKGSKIPRPMRSWSESKLTAELLKAVERVGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLAYISRLPPMSEENEAEGPYAVVMAPTRELAQQIEDETMKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLISQQVMMVKESEKFPRLQKLLDELGDKTAIVFVNTKKNADTISKNLDKSGYKVTTLHGGRSQEQREISLEGFRAKRFNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKTGVATTFLTLHDTDVFFDLKQMLVQSGSPVPHELAKHEASKFKPGTIPDRPPRRNDTVFAH >KJB32397 pep chromosome:Graimondii2_0_v6:5:62050758:62051720:-1 gene:B456_005G239100 transcript:KJB32397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTASEIRIDFAPMLRVYQDGRIERLLGTQTVPPGLDPKTNVESKDVVYSQETAQCVRIYVPGTVFTSAQKLPLLVYFHGGRFCIETAFSPTYRNYLNALVSEAKIVAVSVDYRRAPEHPIPAAYDDSWTALKWVASHYDGNGPEQWLNRYADFENVYLSGDSAGANIAHHIAIKTSKEKLDGMNLVGMILSHPYFWGKEPVGDEDKNPAVWAEIEGIWRLASPTTSGSDDPLINPIDDQSFGRFLGCKRVLICVAENDILKYRGWYYCEKLKNSGWDGEVEVVEAEGEDHVFHLSKPCCSNAVAKLKKVAEFMNQDKA >KJB28156 pep chromosome:Graimondii2_0_v6:5:2776378:2782041:1 gene:B456_005G031100 transcript:KJB28156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPGLHRQHGKQGGGSKGIYAKLTVVVVVLLICTFSVLFLATISGNRGSLEPSEINVEELWESAKSGGWRPSSAPRSDWPPPPKETNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDANSFWHDDSGFQGIYDVEHFIQTLKYDVRIVESIPEIHKNGKTKKIKAHQIRPPRDAPISWYTTVALKKMKEHGAIYLTPFSHRLAEEIDNAEYQRLRCRVNYHALRFKPNIMRLSESIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFSPEEQSILKKYRKENFAEKRLVYNERRAIGKCPLTPEEVGLVLRAVGFDNSTRIYLAAGELFGGERFMKPFRDLFPCLENHSSVDSSEELVANTRGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKGLAPIFIDRENGQTAGFEQAVRRVMLKTNFGGPHKRVPPESFYTNSWPECFCQMSPSNPADKCPPDNVLEILESQLENEVNRDLEASMETNSTRRTEI >KJB28157 pep chromosome:Graimondii2_0_v6:5:2776373:2782051:1 gene:B456_005G031100 transcript:KJB28157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPGLHRQHGKQGGGSKGIYAKLTVVVVVLLICTFSVLFLATISGNRGSLEPSEINVEELWESAKSGGWRPSSAPRSDWPPPPKETNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDANSFWHDDSGFQGIYDVEHFIQTLKYDVRIVESIPEIHKNGKTKKIKAHQIRPPRDAPISWYTTVALKKMKEHGAIYLTPFSHRLAEEIDNAEYQRLRCRVNYHALRFKPNIMRLSESIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFSPEEQSILKKYRKENFAEKRLVYNERRAIGKCPLTPEEVGLVLRAVGFDNSTRIYLAAGELFGGERFMKPFRDLFPCLENHSSVDSSEELVANTRGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKGLAPIFIDRENGQTAGFEQAVRRVMLKTNFGGPHKRVPPESFYTNSWPECFCQMSPSNPADKCPPDNVLEILESQLENEVNRDLEASMETNSTRRTEI >KJB28158 pep chromosome:Graimondii2_0_v6:5:2776378:2780457:1 gene:B456_005G031100 transcript:KJB28158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPGLHRQHGKQGGGSKGIYAKLTVVVVVLLICTFSVLFLATISGNRGSLEPSEINVEELWESAKSGGWRPSSAPRSDWPPPPKETNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDANSFWHDDSGFQGIYDVEHFIQTLKYDVRIVESIPEIHKNGKTKKIKAHQIRPPRDAPISWYTTVALKKMKEHGAIYLTPFSHRLAEEIDNAEYQRLRCRVNYHALRFKPNIMRLSESIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFSPEEQSILKKYRKENFAEKRLVYNERRAIGKCPLTPEEVGLVLRAVGFDNSTRIYLAAGELFGGERFMKPFRDLFPCLENHSSVDSSEELVANTRGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKGLAPIFIDRENGQTAGFEQAVRRVMLKTNFGGPHKRVPPESFYTNSWPECFCQMSPSNPADKCPPDNVLEILESQLENEVNRDLEASMETNSTRRTEI >KJB28159 pep chromosome:Graimondii2_0_v6:5:2778085:2782051:1 gene:B456_005G031100 transcript:KJB28159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATLVLPELDANSFWHDDSGFQGIYDVEHFIQTLKYDVRIVESIPEIHKNGKTKKIKAHQIRPPRDAPISWYTTVALKKMKEHGAIYLTPFSHRLAEEIDNAEYQRLRCRVNYHALRFKPNIMRLSESIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFSPEEQSILKKYRKENFAEKRLVYNERRAIGKCPLTPEEVGLVLRAVGFDNSTRIYLAAGELFGGERFMKPFRDLFPCLENHSSVDSSEELVANTRGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKGLAPIFIDRENGQTAGFEQAVRRVMLKTNFGGPHKRVPPESFYTNSWPECFCQMSPSNPADKCPPDNVLEILESQLENEVNRDLEASMETNSTRRTEI >KJB28437 pep chromosome:Graimondii2_0_v6:5:4706932:4708722:-1 gene:B456_005G048100 transcript:KJB28437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAETWIHKLGSQVSSNLKHAFLLDPSSKKKNTQNNPTSKKHETVGILSFEVANVMSKTIHLHKSLSEPEVSKLKFEILNSQGISNLISSDENYLLSLVLAEKLDELNKVANVVSRLGKKCNEPALTGFEHVYGDVINGVIDVRELGFLVKDMDGMVKKMESYVNSTANLYNEMEVLNELEQGSKKFQANPHEESKRAFEQKLIWQKQDVRHLKNVSLWNQTFDKVVELLVRTVCTVFARILVVFGESSLRKDSEFGRVNGGFRDRDDVVVSRHLKRVLSKSSSVGSVQPGNVTEKRGVTLKHRGIDSRKGEFGLFGVEDFGFACGTSPGRFFTDCLSLNCSVSRYGDNGNDDGNVGCDNRSSQISGCCSVANDGPKRERQNSSPFLQSQCSVLLNENQRQSKFGVLNNAQFGPKSRLAVYASPSTVGGSALALHYANVVIVIEKLLRYPHLVGEEARDDLYQMLPTSLRLSLRTNLKSYVKSLAIYDAPLAHDWKETLDGILHWLAPMAHNMIRWQSERNFEQQQIVTRTNVLLLQTLYFADREKIEAAICELLVGLNYICRYEQQQNALLDCASSFDFEDCMEWQMKYGNLYID >KJB28759 pep chromosome:Graimondii2_0_v6:5:7258887:7260590:1 gene:B456_005G067900 transcript:KJB28759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLITNPVTNTNSDRSNRKKKKNSMVKQNLQRSQKEDHARWKSEAQQQIYSSKLLQALSQVSLGTPSPSAPRGGRAVREAADRVLAVAAKGRTRWSRAILTSRLKLKFRKQKRSQRGSAAAVAAATRTSRSKKPRVSVLKLKAKSVPTVQRKVKVLGRLVPGCRKQPLPVILEEATDYIAALEMQVRAMSALADLLTGSGAASSSSAPPPEWPMPPTSQ >KJB28758 pep chromosome:Graimondii2_0_v6:5:7259041:7260283:1 gene:B456_005G067900 transcript:KJB28758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLITNPVTNTNSDRSNRKKKKNSMVKQNLQRSQKEDHARWKSEAQQQIYSSKLLQALSQVSLGTPSPSAPRGGRAVREAADRVLAVAAKGRTRWSRAILTSRLKLKFRKQKRSQRGSAAAVAAATRTSRSKKPRVSVLKLKAKSVPTVQRKVKVLGRLVPGCRKQPLPVILEEATDYIAALEMQVRAMSALADLLTGSGAASSSSAPPPEWPMPPTSQ >KJB32144 pep chromosome:Graimondii2_0_v6:5:60845191:60850327:1 gene:B456_005G226000 transcript:KJB32144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGVAMDTVNQRGLLGRPYGYLHDDGGFERKDIKGAHKAVMNGVMSSVQPTRTSELTIAFEGEVYVFPAVTSEKVQAVLLLLGGCDTSINVPSSEFLLQEKVKVVGDSSRGSKISRRIASLVRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASVKDSNSGEGSCDQSDDTPDSVLRSCQHCGINEKLTPAMRRGPAGPRTLCNACGLMWANKGTLRDLRKGGRSTHFDSKELETPTDIKPSTLEPENSFANNDEQGSPQEKKPVPMDSENHLITSNEQILVGHYISNLRYRVARDCIFAHYQVANDPILLIHQILSTDMQEFAQNSHPFLIHVENSSVNLDNEDIQETLEELADASGSDFEIPSHFDEQVDIDDSNIVTLWPGT >KJB32140 pep chromosome:Graimondii2_0_v6:5:60845191:60848280:1 gene:B456_005G226000 transcript:KJB32140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGVAMDTVNQRGLLGRPYGYLHDDGGFERKDIKGAHKAVMNGVMSSVQPTRTSELTIAFEGEVYVFPAVTSEKVQAVLLLLGGCDTSINVPSSEFLLQEKVKVVGDSSRGSKISRRIASLVRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASVKDSNSGEGSCDQSDDTPDSVLRSCQHCGINEKLTPAMRRGPAGPRTLCNACGLMWANKVGVE >KJB32143 pep chromosome:Graimondii2_0_v6:5:60845191:60850327:1 gene:B456_005G226000 transcript:KJB32143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGVAMDTVNQRGLLGRPYGYLHDDGGFERKDIKGAHKAVMNGVMSSVQPTRTSELTIAFEGEVYVFPAVTSEKVQAVLLLLGGCDTSINVPSSEFLLQEKVKVVGDSSRGSKISRRIASLVRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASVKDSNSGEGSCDQSDDTPDSVCQHCGINEKLTPAMRRGPAGPRTLCNACGLMWANKGTLRDLRKGGRSTHFDSKELETPTDIKPSTLEPENSFANNDEQGSPQEKKPVPMDSENHLITSNEQEFAQNSHPFLIHVENSSVNLDNEDIQETLEELADASGSDFEIPSHFDEQVDIDDSNIVTLWPGT >KJB32139 pep chromosome:Graimondii2_0_v6:5:60845139:60850463:1 gene:B456_005G226000 transcript:KJB32139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGVAMDTVNQRGLLGRPYGYLHDDGGFERKDIKGAHKAVMNGVMSSVQPTRTSELTIAFEGEVYVFPAVTSEKVQAVLLLLGGCDTSINVPSSEFLLQEKVKVVGDSSRGSKISRRIASLVRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASVKDSNSGEGSCDQSDDTPDSVLRSCQHCGINEKLTPAMRRGPAGPRTLCNACGLMWANKGTLRDLRKGGRSTHFDSKELETPTDIKPSTLEPENSFANNDEQGSPQEKKPVPMDSENHLITSNEQEFAQNSHPFLIHVENSSVNLDNEDIQETLEELADASGSDFEIPSHFDEQVDIDDSNIVTLWPGT >KJB32141 pep chromosome:Graimondii2_0_v6:5:60845191:60849459:1 gene:B456_005G226000 transcript:KJB32141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGVAMDTVNQRGLLGRPYGYLHDDGGFERKDIKGAHKAVMNGVMSSVQPTRTSELTIAFEGEVYVFPAVTSEKVQAVLLLLGGCDTSINVPSSEFLLQEKVKVVGDSSRGSKISRRIASLVRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASVKDSNSGEGSCDQSDDTPDSVLRSCQHCGINEKLTPAMRRGPAGPRTLCNACGLMWANKGTLRDLRKGGRSTHFDSKELETPTDIKPSTLEPENSFANNDEQGSPQEKKPVPMDSENHLITSNEQEFAQNSHPFLIHVENSSVNLDNEVFFWSHTV >KJB32142 pep chromosome:Graimondii2_0_v6:5:60845191:60850327:1 gene:B456_005G226000 transcript:KJB32142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGVAMDTVNQRGLLGRPYGYLHDDGGFERKDIKGAHKAVMNGVMSSVQPTRTSELTIAFEGEVYVFPAVTSEKVQAVLLLLGGCDTSINVPSSEFLLQEKVVGDSSRGSKISRRIASLVRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASVKDSNSGEGSCDQSDDTPDSVLRSCQHCGINEKLTPAMRRGPAGPRTLCNACGLMWANKGTLRDLRKGGRSTHFDSKELETPTDIKPSTLEPENSFANNDEQGSPQEKKPVPMDSENHLITSNEQEFAQNSHPFLIHVENSSVNLDNEDIQETLEELADASGSDFEIPSHFDEQVDIDDSNIVTLWPGT >KJB31288 pep chromosome:Graimondii2_0_v6:5:53726964:53728590:-1 gene:B456_005G184000 transcript:KJB31288 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL47 [Source:Projected from Arabidopsis thaliana (AT1G23980) UniProtKB/Swiss-Prot;Acc:Q8GW38] MSWIQSQIKLKDGGLSYHPHSLLSSPYSSSSSFSSPPFSYSNDNQKQPNSLSSSSSASKISPAVLFIIVILAVIFFISGLLHLLVRFLMKHRSPSSVSESNRYPEMSGSDAFQRQLQQLFHLHDSGLDQAFIDALPVFLYKEIMGLKEPFDCAVCLCEFLEQDKGTLFTPGLPIENPAFDLEYPREENMLSSNGGSGVSLGPKPSESDIGKRVFSVRLGKFRSSNDGSGGEVGGGEGVLREGETSSSNLDARRCYSMGSFQYVVADELQVALCPSRGGNGTASMKFVKGRIGQTGNSSNDGDVKGKRINLTSKGESFSVSKIWQSSKKGKFLRTSDTIGSSSVTVGLPWTDSRAQVT >KJB31289 pep chromosome:Graimondii2_0_v6:5:53726964:53728844:-1 gene:B456_005G184000 transcript:KJB31289 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL47 [Source:Projected from Arabidopsis thaliana (AT1G23980) UniProtKB/Swiss-Prot;Acc:Q8GW38] MKHRSPSSVSESNRYPEMSGSDAFQRQLQQLFHLHDSGLDQAFIDALPVFLYKEIMGLKEPFDCAVCLCEFLEQDKLRLLPMCSHAFHIGCIDTWLMSNSTCPLCRGTLFTPGLPIENPAFDLEYPREENMLSSNGGSGVSLGPKPSESDIGKRVFSVRLGKFRSSNDGSGGEVGGGEGVLREGETSSSNLDARRCYSMGSFQYVVADELQVALCPSRGGNGTASMKFVKGRIGQTGNSSNDGDVKGKRINLTSKGESFSVSKIWQSSKKGKFLRTSDTIGSSSVTVGLPWTDSRAQVT >KJB28096 pep chromosome:Graimondii2_0_v6:5:2405272:2407890:1 gene:B456_005G027500 transcript:KJB28096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTAPSASVVGGGGGGGGGPAPFLMKTFDMVDDSSTDDIVSWSSNKKSFVVWNPPDFARLLLPTYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFVKDQRHLLKNIHRRKPIHSHSNPQGSLIDHERAGYEEEIEKLSREKAALEADVLRSEQERSALKHQVEELTQQADQMERRQDTLFNFLEKALQDPAFAEHLFRRIESMDDVVAYNKKRRLPQIDQTKPVGDHSLLDNKSSSTPEFGNVVHLDFSNKLRLELSSAVSEINLVSQSTQSSNEDGESPQRRVSQGEPKDDNIRPQGLLFTPETLDISDTGTSFTFNMDSSFSQRVSMNESPAVHSLQQRLSSNEEPDSHISCQLNLTLASSSLQVNKSPSLTRMSQPSWEIGKVSESRSNANSKDSDSGPFHNSRNMIDGETTLSSSKEGPNTNQEPAAAPVRVNDVFWEQFLTERPGSSDNEEASSNYRANPYEEQDDKRSGHGLARNTKNIEQLSL >KJB31047 pep chromosome:Graimondii2_0_v6:5:56765592:56767428:1 gene:B456_005G195400 transcript:KJB31047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKLNFVINGVLRLPHGFRFHPTDEELVVQYLGRKVLAWPLPASIIPEVMITKQILGTCQNHVVAVENWVLCRIFLKKRSGGATKNEDGSLQSSNEKGVGKARRKSPVFYEFLSKERTNLNPAPTSSSSCSSGITRVSNNDTDDHEESSSCNSFPYFRRKP >KJB31046 pep chromosome:Graimondii2_0_v6:5:56766418:56767050:1 gene:B456_005G195400 transcript:KJB31046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTLVFFRGKPPQGTRTDWIMHEYRLVTADTYNAPHKKNQTQNHVVAVENWVLCRIFLKKRSGGATKNEDGSLQSSNEKGVGKARRKSPVFYEFLSKERTNLNPAPTSSSSCSSGITRVSNNDTDDHEESSSCNSFPYFRRKP >KJB28343 pep chromosome:Graimondii2_0_v6:5:4112220:4119555:1 gene:B456_005G042800 transcript:KJB28343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLRSGEHSGVVVKNRSQSGCLIVRKKGDGSGGAGSTGARKIFEPKTDKKRSRMIMSDSGSSDELVMPPRRRVGPETIQVCNGLAIYEESEIGRKRNREERIRPSEEGFIGWNEEDLSESKRNKLDVFDFNEYDGLDEDVIMRRNRFDYGGEEVGGRRLLGSMPAVARMRIKREYESGPSRHAFLEKKKKKLYFDQSDGISRDHDDRNRFRKDRDGSRIHYPLLRERYMADSDGPIRVQGKNGVLKVMMNKKKKVGEPLKKFDHLEVEEGRSGSRIDDIVRRNLHVLPSLYSETEVLEKPVSFGRKEKKKANLLRTPTTKKNKVSDCDSEDSDTSLKLRPKDTEASNPTKRVGSKGQKTQVEQLQPTRIKEGNVRRGCGTEKQKLRERIRGMLQEAGWTIDYRPRRNRDYLDAVYINPAGTAYWSIIKAYDALLKQLDEEDEGKPYGDGPAFTPLSDEVLSQLTRKTGKKMKKEMKKKRQDESDSENAQEAVARKSSSTRHEDESMDSLSHEEKLSSFMKGKLSKYRMNDNNGNAKGQSSLHVHDSYEKPSSISSSRILHGRKSRKLGRCTLLVRGSNVGLSSEGDDFVPYSGRRTLLAWLIDSGAVQLSEKVQYMNRRRTKVMLEGWITRDGIHCGCCSKILTVSKFEIHAGSKLRQPFQNICLDSGVSLLQCQIDAWNRQVESEQIGFHSVDVNGDDPNDDTCGICGDGGDLICCDSCPSTFHQSCLNIEFLPAGDWHCPNCICKFCSIGSGIAQEDEITDCALLTCSLCEKRYHKSCIEVKDEIHIDSNSLVLPFCGQTCRELFEHLQKYLGVKHELEAGFSWSLIRRTGADLDIIAKGLTQRVECNSKLAVALTVMDECFLPIVDRRSGINIVNNVLYNCGSNFNRLNYSGFYTAILERGDEIISAASIRFHGTELAEMPFIGTRHIYRHQGMFRRLFCAIESVHKLVIPAIAELTHTWTAVFGFTALEESVKQEMRSVNMLVFPGIDMLQKVLLKLENMEATAVTGAKCTEPTPEVANGSKPGLSSGNDTQECDDGGLNHSSRINGETEGADSDSRCPNVSTNDTCGTSSSSDASPDGKYNANPSSSHDALELQNKAGLDDPAEDNQSDDETDSQSGNKETESASDSENFASSMDYNAVDKGNKMVVSDSAIEKNTESREDGDIDMDAVDAVDNVEETLSGDKLAESSVGESDEHNSQSDTTSKGVNQVDNVAVSDELGVRVSVKENTLAGSESGEKLAMSASPEKALISGTRTNCDAVEMETKSTLDLRKDRSGYCEEHHVCDQVSNLQ >KJB28342 pep chromosome:Graimondii2_0_v6:5:4112220:4119555:1 gene:B456_005G042800 transcript:KJB28342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLRSGEHSGVVVKNRSQSGCLIVRKKGDGSGGAGSTGARKIFEPKTDKKRSRMIMSDSGSSDELVMPPRRRVGPETIQVCNGLAIYEESEIGRKRNREERIRPSEEGFIGWNEEDLSESKRNKLDVFDFNEYDGLDEDVIMRRNRFDYGGEEVGGRRLLGSMPAVARMRIKREYESGPSRHAFLEKKKKKLYFDQSDGISRDHDDRNRFRKDRDGSRIHYPLLRERYMADSDGPIRVQGKNGVLKVMMNKKKKVGEPLKKFDHLEVEEGRSGSRIDDIVRRNLHVLPSLYSETEVLEKPVSFGRKEKKKANLLRTPTTKKNKVSDCDSEDSDTSLKLRPKDTEASNPTKRVGSKGQKTQVEQLQPTRIKEGNVRRGCGTEKQKLRERIRGMLQEAGWTIDYRPRRNRDYLDAVYINPAGTAYWSIIKAYDALLKQLDEEDEGKPYGDGPAFTPLSDEVLSQLTRKTGKKMKKEMKKKRQDESDSENAQEAVARKSSSTRHEDESMDSLSHEEKLSSFMKGKLSKYRMNDNNGNAKGQSSLHVHDSYEKPSSISSSRILHGRKSRKLGRCTLLVRGSNVGLSSEGDDFVPYSGRRTLLAWLIDSGAVQLSEKVQYMNRRRTKVMLEGWITRDGIHCGCCSKILTVSKFEIHAGSKLRQPFQNICLDSGVSLLQCQIDAWNRQVESEQIGFHSVDVNGDDPNDDTCGICGDGGDLICCDSCPSTFHQSCLNIEFLPAGDWHCPNCICKFCSIGSGIAQEDEITDCALLTCSLCEKRYHKSCIEVKDEIHIDSNSLVLPFCGQTCRELFEHLQKYLGVKHELEAGFSWSLIRRTGADLDIIAKGLTQRVECNSKLAVALTVMDECFLPIVDRRSGINIVNNVLYNCGSNFNRLNYSGFYTAILERGDEIISAASIRFHGTELAEMPFIGTRHIYRHQGMFRRLFCAIESALCSLKVHKLVIPAIAELTHTWTAVFGFTALEESVKQEMRSVNMLVFPGIDMLQKVLLKLENMEATAVTVG >KJB28344 pep chromosome:Graimondii2_0_v6:5:4112254:4119609:1 gene:B456_005G042800 transcript:KJB28344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLRSGEHSGVVVKNRSQSGCLIVRKKGDGSGGAGSTGARKIFEPKTDKKRSRMIMSDSGSSDELVMPPRRRVGPETIQVCNGLAIYEESEIGRKRNREERIRPSEEGFIGWNEEDLSESKRNKLDVFDFNEYDGLDEDVIMRRNRFDYGGEEVGGRRLLGSMPAVARMRIKREYESGPSRHAFLEKKKKKLYFDQSDGISRDHDDRNRFRKDRDGSRIHYPLLRERYMADSDGPIRVQGKNGVLKVMMNKKKKVGEPLKKFDHLEVEEGRSGSRIDDIVRRNLHVLPSLYSETEVLEKPVSFGRKEKKKANLLRTPTTKKNKVSDCDSEDSDTSLKLRPKDTEASNPTKRVGSKGQKTQVEQLQPTRIKEGNVRRGCGTEKQKLRERIRGMLQEAGWTIDYRPRRNRDYLDAVYINPAGTAYWSIIKAYDALLKQLDEEDEGKPYGDGPAFTPLSDEVLSQLTRKTGKKMKKEMKKKRQDESDSENAQEAVARKSSSTRHEDESMDSLSHEEKLSSFMKGKLSKYRMNDNNGNAKGQSSLHVHDSYEKPSSISSSRILHGRKSRKLGRCTLLVRGSNVGLSSEGDDFVPYSGRRTLLAWLIDSGAVQLSEKVQYMNRRRTKVMLEGWITRDGIHCGCCSKILTVSKFEIHAGSKLRQPFQNICLDSGVSLLQCQIDAWNRQVESEQIGFHSVDVNGDDPNDDTCGICGDGGDLICCDSCPSTFHQSCLNIEFLPAGDWHCPNCICKFCSIGSGIAQEDEITDCALLTCSLCEKRYHKSCIEVKDEIHIDSNSLVLPFCGQTCRELFEHLQKYLGVKHELEAGFSWSLIRRTGADLDIIAKGLTQRVECNSKLAVALTVMDECFLPIVDRRSGINIVNNVLYNCGSNFNRLNYSGFYTAILERGDEIISAASIRFHGTELAEMPFIGTRHIYRHQGMFRRLFCAIESALCSLKVHKLVIPAIAELTHTWTAVFGFTALEESVKQEMRSVNMLVFPGIDMLQKVLLKLENMEATAVTGAKCTEPTPEVANGSKPGLSSGNDTQECDDGGLNHSSRINGETEGADSDSRCPNVSTNDTCGTSSSSDASPDGKYNANPSSSHDALELQNKAGLDDPAEDNQSDDETDSQSGNKETESASDSENFASSMDYNAVDKGNKMVVSDSAIEKNTESREDGDIDMDAVDAVDNVEETLSGDKLAESSVGESDEHNSQSDTTSKGVNQVDNVAVSDELGVRVSVKENTLAGSESGEKLAMSASPEKALISGTRTNCDAVEMETKSTLDLRKDRSGYCEEHHVCDQVSNLQ >KJB28345 pep chromosome:Graimondii2_0_v6:5:4112220:4119634:1 gene:B456_005G042800 transcript:KJB28345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLRSGEHSGVVVKNRSQSGCLIVRKKGDGSGGAGSTGARKIFEPKTDKKRSRMIMSDSGSSDELVMPPRRRVGPETIQVCNGLAIYEESEIGRKRNREERIRPSEEGFIGWNEEDLSESKRNKLDVFDFNEYDGLDEDVIMRRNRFDYGGEEVGGRRLLGSMPAVARMRIKREYESGPSRHAFLEKKKKKLYFDQSDGISRDHDDRNRFRKDRDGSRIHYPLLRERYMADSDGPIRVQGKNGVLKVMMNKKKKVGEPLKKFDHLEVEEGRSGSRIDDIVRRNLHVLPSLYSETEVLEKPVSFGRKEKKKANLLRTPTTKKNKVSDCDSEDSDTSLKLRPKDTEASNPTKRVGSKGQKTQVEQLQPTRIKEGNVRRGCGTEKQKLRERIRGMLQEAGWTIDYRPRRNRDYLDAVYINPAGTAYWSIIKAYDALLKQLDEEDEGKPYGDGPAFTPLSDEVLSQLTRKTGKKMKKEMKKKRQDESDSENAQEAVARKSSSTRHEDESMDSLSHEEKLSSFMKGKLSKYRMNDNNGNAKGQSSLHVHDSYEKPSSISSSRILHGRKSRKLGRCTLLVRGSNVGLSSEGDDFVPYSGRRTLLAWLIDSGAVQLSEKVQYMNRRRTKVMLEGWITRDGIHCGCCSKILTVSKFEIHAGSKLRQPFQNICLDSGVSLLQCQIDAWNRQVESEQIGFHSVDVNGDDPNDDTCGICGDGGDLICCDSCPSTFHQSCLNIEFLPAGDWHCPNCICKFCSIGSGIAQEDEITDCALLTCSLCEKRYHKSCIEVKDEIHIDSNSLVLPFCGQTCRELFEHLQKYLGVKHELEAGFSWSLIRRTGADLDIIAKGLTQRVECNSKLAVALTVMDECFLPIVDRRSGINIVNNVLYNCGSNFNRLNYSGFYTAILERGDEIISAASIRFHGTELAEMPFIGTRHIYRHQGMFRRLFCAIESALCSLKVHKLVIPAIAELTHTWTAVFGFTALEESVKQEMRSVNMLVFPGIDMLQKVLLKLENMEATAVTGAKCTEPTPEVANGSKPGLSSGNDTQECDDGGLNHSSRINGETEGADSDSRCPNVSTNDTCGTSSSSDASPDGKYNANPSSSHDALELQNKAGLDDPAEDNQSDDETDSQSGNKETESASDSENFASSMDYNAVDKGNKMVVSDSAIEKNTESREDGDIDMDAVDAVDNVEETLSGDKLAESSVGESDEHNSQSDTTSKGVNQVDNVAVSDELGVRVSVKENTLAGSESGEKLAMSASPEKALISGTRTNCDAVEMETKSTLDLRKDRSGYCEEHHVCDQVSNLQ >KJB31490 pep chromosome:Graimondii2_0_v6:5:61762310:61763446:-1 gene:B456_005G235500 transcript:KJB31490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSSRPDSSSKADKKFDKKVQFYAKVRDTVASLTAKKDITKKKKLRSRQKKLKAYDLSALSEFLPELKAPRANDFKLNCKSRQQLMCIRWFCDSIFCYNVQLSLVITN >KJB31492 pep chromosome:Graimondii2_0_v6:5:61761910:61763492:-1 gene:B456_005G235500 transcript:KJB31492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSSRPDSSSKADKKFDKKVQFYAKVRDTVASLTAKKDITKKKKLRSRQKKLKAYDLSALSEFLPELKAPRANDFKLNCKSRQQLILKEGKQLSAVLEHPAFQADPLAAIHQHLQNTQPVLDEKPKKKKNQNGGRKKKSKKSKALSRQQSMDI >KJB31493 pep chromosome:Graimondii2_0_v6:5:61761910:61763650:-1 gene:B456_005G235500 transcript:KJB31493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSSRPDSSSKADKKFDKKVQFYAKVRDTVASLTAKKDITKKKKLRSRQKKLKAYDLSALSEFLPELKAPRANDFKLNCKSRLKEGKQLSAVLEHPAFQADPLAAIHQHLQNTQPVLDEKPKKKKNQNGGRKKKSKKSKALSRQQSMDI >KJB31489 pep chromosome:Graimondii2_0_v6:5:61762081:61762609:-1 gene:B456_005G235500 transcript:KJB31489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGATAKCGFGVGFGWSNNTKIFANELVYDQKKKLRSRQKKLKAYDLSALSEFLPELKAPRANDFKLNCKSRQQLILKEGKQLSAVLEHPAFQADPLAAIHQHLQNTQPVLDEKPKKKKNQNGGRKKKSKKSKALSRQQSMDI >KJB31491 pep chromosome:Graimondii2_0_v6:5:61761910:61763518:-1 gene:B456_005G235500 transcript:KJB31491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSSRPDSSSKADKKFDKKVQFYAKVRDTVASLTAKKDITKKKLRSRQKKLKAYDLSALSEFLPELKAPRANDFKLNCKSRQQLILKEGKQLSAVLEHPAFQADPLAAIHQHLQNTQPVLDEKPKKKKNQNGGRKKKSKKSKALSRQQSMDI >KJB28734 pep chromosome:Graimondii2_0_v6:5:7109568:7111528:-1 gene:B456_005G066800 transcript:KJB28734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-4 [Source:Projected from Arabidopsis thaliana (AT3G66654) UniProtKB/Swiss-Prot;Acc:Q9C835] MARIKPQALLIQSKKKKGPTRISVTTILFCSLIVLSILLSLITTYKHWSQRSRGQTGTGLSNFENVDEIADSKKYDLPGYAVLNTSKGNITIELFKDGSPEIVDQFLDLCQKGHFKGMPFHHVIKNYVILGGHSQDSGGIEDWTSKRKFHRRLPTRSSF >KJB28736 pep chromosome:Graimondii2_0_v6:5:7109032:7111810:-1 gene:B456_005G066800 transcript:KJB28736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-4 [Source:Projected from Arabidopsis thaliana (AT3G66654) UniProtKB/Swiss-Prot;Acc:Q9C835] MARIKPQALLIQSKKKKGPTRISVTTILFCSLIVLSILLSLITTYKHWSQRSRGQTGTGLSNFENVDEIADSKKYDLPGYAVLNTSKGNITIELFKDGSPEIVDQFLDLCQKGHFKGMPFHHVIKNYVILGGHSQDSGGIEDWTSKRKFHRRLPTSPKHEAFMLGATKIKEDSKAFQLFITTAPIPDSNDKLYMFGRVIKGVDGVQVKHRSSLIESS >KJB28735 pep chromosome:Graimondii2_0_v6:5:7108704:7111810:-1 gene:B456_005G066800 transcript:KJB28735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-4 [Source:Projected from Arabidopsis thaliana (AT3G66654) UniProtKB/Swiss-Prot;Acc:Q9C835] MARIKPQALLIQSKKKKGPTRISVTTILFCSLIVLSILLSLITTYKHWSQRSRGQTGTGLSNFENVDEIADSKKYDLPGYAVLNTSKGNITIELFKDGSPEIVDQFLDLCQKGHFKGMPFHHVIKNYVILGGHSQDSGGIEDWTSKRKFHRRLPTSPKHEAFMLGATKIKEDSKAFQLFITTAPIPDSNDKLYMFGRVIKGVDGVQEIEEVDTDAHYRPKSPVGIINVILQQEI >KJB28732 pep chromosome:Graimondii2_0_v6:5:7108870:7111290:-1 gene:B456_005G066800 transcript:KJB28732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-4 [Source:Projected from Arabidopsis thaliana (AT3G66654) UniProtKB/Swiss-Prot;Acc:Q9C835] MVSIVNCIRSRGQTGTGLSNFENVDEIADSKKYDLPGYAVLNTSKGNITIELFKDGSPEIVDQFLDLCQKGHFKGMPFHHVIKNYVILGGHSQDSGGIEDWTSKRKFHRRLPTSPKHEAFMLGATKIKEDSKAFQLFITTAPIPDSNDKLYMFGRVIKGVDGVQEIEEVDTDAHYRPKSPVGIINVILQQEI >KJB28733 pep chromosome:Graimondii2_0_v6:5:7108673:7112022:-1 gene:B456_005G066800 transcript:KJB28733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-4 [Source:Projected from Arabidopsis thaliana (AT3G66654) UniProtKB/Swiss-Prot;Acc:Q9C835] MARIKPQALLIQSKKKKGPTRISVTTILFCSLIVLSILLSLITTYKHWSQRSRGQTGTGLSNFENVDEIADSKKYDLPGYAVLNTSKGNITIELFKDGSPEIVDQFLDLCQKGHFKGMPFHHVIKNYVILGGHSQDSGGIEDWTSKRKFHRRLPTSPKHEAFMLGATKIKEDSKAFQLFITTAPIPDSNDKLYMFGRVIKGVDGVQEIEEVDTDAHYRPKSPVGIINVILQQEI >KJB28730 pep chromosome:Graimondii2_0_v6:5:7108673:7111696:-1 gene:B456_005G066800 transcript:KJB28730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-4 [Source:Projected from Arabidopsis thaliana (AT3G66654) UniProtKB/Swiss-Prot;Acc:Q9C835] MARIKPQALLIQSKKKKGPTRISVTTILFCSLIVLSILLSLITTYKHWSQRSRGQTGTGLSNFENVDEIADSKKYDLPGYAVLNTSKGNITIELFKDGSPEIVDQFLDLCQKGHFKGMPFHHVIKNYVILGGHSQDSGGIEDWTSKRKFHRRLPTSPKHEAFMLGATKIKEDSKAFQLFITTAPIPDSNDKLYMFGRVIKGVDGVQRLIPMRITGLNLL >KJB28737 pep chromosome:Graimondii2_0_v6:5:7109568:7111528:-1 gene:B456_005G066800 transcript:KJB28737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-4 [Source:Projected from Arabidopsis thaliana (AT3G66654) UniProtKB/Swiss-Prot;Acc:Q9C835] MARIKPQALLIQSKKKKGPTRISVTTILFCSLIVLSILLSLITTYKHWSQRSRGQTGTGLSNFENVDEIADSKKYDLPGYAVLNTSKGNITIELFKDGSPEIVDQFLDLCQKGHFKGMPFHHVIKNYVILGGHSQDSGGIEDWTSKRKFHRRLPTRSSF >KJB28731 pep chromosome:Graimondii2_0_v6:5:7108673:7111716:-1 gene:B456_005G066800 transcript:KJB28731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-4 [Source:Projected from Arabidopsis thaliana (AT3G66654) UniProtKB/Swiss-Prot;Acc:Q9C835] MARIKPQALLIQSKKKKGPTRISVTTILFCSLIVLSILLSLITTYKHWSQRSRGQTGTGLSNFENVDEIADSKKYDLPGYAVLNTSKGNITIELFKDGSPEIVDQFLDLCQKGHFKGMPFHHVIKNYVILGGHSQDSGGIEDWTSKRKFHRRLPTSPKHEAFMLGATKIKEDSKAFQLFITTAPIPDSNDKLYMFGRVIKGVDGVQEIEEVDTDAHYRPKSPVGIINVILQQEI >KJB28971 pep chromosome:Graimondii2_0_v6:5:8952127:8958071:1 gene:B456_005G077800 transcript:KJB28971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRVYEVWKGSNKFILGGRLIFGPDAKSLLVTLLLITVPVIIFCVFVARHLRHAFSPYNAGYAILAVAIVFTIYVLILLFLTSARDPGIIPRNSHPPEEEFRYDSSVSAEVGGRQTPSLQFPRTKEVMVNGVHVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFMFVSSATLLCIYVFSMSALYIKVLMDDHQGTVWKAMKESPPSVILMTYCFIALWFVGGLTGFHLYLISTNQTTYENFRFRADNRINVYNLGCPSNFLEVFCTKVKPSKNNFRAFVREEVPRPTLQSIQGAEAEDLGGGDPRPKVEDDLEIGEDLLKISQRRNIEEIDEDIRSRGSNGPAHTALEVDAILDSDHRAPTIRSETRHSSWGRRSGSWEIATDDVLSNSKVTESRSYMTGKEGRQ >KJB28972 pep chromosome:Graimondii2_0_v6:5:8952089:8957976:1 gene:B456_005G077800 transcript:KJB28972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRVYEVWKGSNKFILGGRLIFGPDAKSLLVTLLLITVPVIIFCVFVARHLRHAFSPYNAGYAILAVAIVFTIYVLILLFLTSARDPGIIPRNSHPPEEEFRYDSSVSAEVGGRQTPSLQFPRTKEVMVNGVHVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFMFVSSATLLCIYVFSMSALYIKVLMDDHQGTVWKAMKESPPSVILMTYCFIALWFVGGLTGFHLYLISTNQTTYENFRFRADNRINVYNLGCPSNFLEVFCTKVKPSKNNFRAFVREEVPRPTLQSIQGAEAEDLGGGDPRPKVEDDLEIGEDLLKISQRRNIEEIDEDIRSRGSNGPAHTALEVDAILDSDHRAPTIRSETRHSSWGRRSGSWEIATDDVLSNSKVTESRSYMTGKEGRQ >KJB29327 pep chromosome:Graimondii2_0_v6:5:13340071:13341773:-1 gene:B456_005G094800 transcript:KJB29327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAYDKRGSLFPSFQLLFHFPFTEISYFIISLPFPRQQLGFGLLIMALEAVVYPQDPFPYVFDDFSTVGGGVGFDFCLQNEDKALLGILQQANHHDLHANWDSSSTNSTMQHTKDQWDPYSSPETCTVDQSLPGPIRFPPLMETPAPTTTTTNSRRKRRRTRSSKNKEELENQRMTHIAVERNRRKQMNQYLAALRSLMPPSYVQRGDQASIIGGAIDFVKELEQLLQSMEAHKRTTQQPQHDAYSSPFAEFFTFPQFSTRATQCNSNQQQPMAAAAATAESVADIEVTMVESHANLKILSKKQPRQLLKLVAGLQGLRLVVQHLNVTAMNEMALYSVSVKVEEGCHLSTVDEIAAAVNQMLGRIQEETGF >KJB32148 pep chromosome:Graimondii2_0_v6:5:60861846:60862279:-1 gene:B456_005G226200 transcript:KJB32148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLVHKLDSQTQGLRLVILNWFVFVLICEKLYSVFLQAVCTESGMFALRERRVHVTQEDFEMAVAKVMKKESEKNMSLRKLWK >KJB28387 pep chromosome:Graimondii2_0_v6:5:4280412:4282875:-1 gene:B456_005G045000 transcript:KJB28387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFTSRNVGKTLVTRTQGTKIASEGLKHRVFEVSLADLQGGDEDHAYRKIRLRAEDVQGKNVLTNFWGMNFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMTAQATSCDLKELVQKFIPEMIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKIERPADETMAEPTPEVIGA >KJB28384 pep chromosome:Graimondii2_0_v6:5:4275553:4282568:-1 gene:B456_005G045000 transcript:KJB28384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFTSRNVGKTLVTRTQGTKIASEGLKHRVFEVSLADLQGGDEDHAYRKIRLRAEDVQGKNVLTNFWGMNFTTDKLRMFCIRFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMTAQATSCDLKELVQKFIPETIGKEIEKATSSIYPLQNVFIRQVKILKAPKFDLGKLMEMFGVKIERPADETMAEPTPELIGA >KJB28386 pep chromosome:Graimondii2_0_v6:5:4275329:4282864:-1 gene:B456_005G045000 transcript:KJB28386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFTSRNVGKTLVTRTQGTKIASEGLKHRVFEVSLADLQGGDEDHAYRKIRLRAEDVQGKNVLTNFWGMNFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMTAQATSCDLKELVQKFIPETIGKEIEKATSSIYPLQNVFIRQVKILKAPKFDLGKLMEMFGVKIERPADETMAEPTPELIGA >KJB28383 pep chromosome:Graimondii2_0_v6:5:4275397:4282875:-1 gene:B456_005G045000 transcript:KJB28383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFTSRNVGKTLVTRTQGTKIASEGLKHRVFEVSLADLQGGDEDHAYRKIRLRAEDVQGKNVLTNFWGMNFTTDKLRFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMTAQATSCDLKELVQKFIPETIGKEIEKATSSIYPLQNVFIRQVKILKAPKFDLGKLMEMFGVKIERPADETMAEPTPELIGA >KJB28382 pep chromosome:Graimondii2_0_v6:5:4275657:4282568:-1 gene:B456_005G045000 transcript:KJB28382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFTSRNVGKTLVTRTQGTKIASEGLKHRVFEVSLADLQGGDEDHAYRKIRLRAEDVQGKNVLTNFWGMNFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMTAQATSCDLKELVQKFIPETIGKEIEKATSSIYPLQNVFIRQVKILKAPKFDLGKLMEVCFSEFIVYIPLHSAFD >KJB28385 pep chromosome:Graimondii2_0_v6:5:4275397:4282875:-1 gene:B456_005G045000 transcript:KJB28385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFTSRNVGKTLVTRTQGTKIASEGLKHRVFEVSLADLQGGDEDHAYRKIRLRAEDVQGKNVLTNFWGMNFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMTAQATSCDLKELVQKFIPETIGKEIEKATSSIYPLQNVFIRQVKILKAPKFDLGKLMEVHGDYSEDVWGENREACR >KJB29310 pep chromosome:Graimondii2_0_v6:5:13128646:13130179:1 gene:B456_005G093600 transcript:KJB29310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTQGPLCNLNGVKLIWFPLQIPKPAMIYWMATLIRLSTKDHSLSWRITPYCACVLCQPEQEFKNYLFFKLCSLRKSQRIFYVNANSKKTIPGWEEEQRWAVRYLSSESSIRIVLSIARTFI >KJB30308 pep chromosome:Graimondii2_0_v6:5:35426885:35429296:-1 gene:B456_005G136900 transcript:KJB30308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMKLFNHHHSFHLQNHSKDQKKLQLFSTVPLFSKNISFIKKSSLSSNSQTHFTSLNFHENPSLKPQNKDGFSSNKLIMEFGEQGLFEDVIRVYVRMLRNGFQVQDFKFFPCVIKSFGGLSDVKSSRQIHGHVLKLGFLADVYVVNALLGMYFKCGEIKDAVQIFEKMSERDLVSWNSMISGFYQSEDYLGSLMIFSLMTKEHRLFPNRVGCLSAVSSCASIKSWILGREIHGFVLKNGLENDEFLVSGLIEMYMKCGDVRNAEHVFNSIVNKESVRVNTVIWNVMIMGYVSNQCLSKAQELFVEMLELEIEPDSSTLVAALVLCTQLLDLSLGKQIHRLILAFGLENDTRIQTALIEMYFKCCYPESALKIFRRSHSDNLVMWGAVISNSAQNDFPFRALELFCNFMSKYGFPDSQMLLAVLRSCSSLALKAKGMEIHCFAVKTGCVSDFYVGSALVDMYGKCGDIESAQNIFSRLRFRDLVAWNALICGYSQNEWLDEALAAFCDMQREGIRPNSVTTACILSVCAHLSVRILCKEVHCFLIRQGWNSNVLVSNSLIAAYAKCGDINYSWIIFENMHERNQVSWNTIISALGMHGDMDKMFASFVKMKQAGMKPDLVTFTSLLSACSHAGRVDMGCNFFQSMVEEYKLQPQVEHYTCMVDLLGRAGHLSQAYDLVMDMPCEPDDRIWGSLLGSCRSHGDEKLAKVVANHVFELDATSIGYRVLLANLLEDLGKAHEVVKVRSEIKDMGLKKQPGCSWIEIDNDIHVFVAGDCSHHQSEEIYPVIDSLTLELKQAGYVPLP >KJB31875 pep chromosome:Graimondii2_0_v6:5:59430194:59431317:-1 gene:B456_005G212300 transcript:KJB31875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLGKKADTFGHVVGEHARLGPKISETVKGKLSLEARVFLVGGLEKIFKQLFSFREGEKFLKACQCYLSTTTGPAAVLLFISSEKVAFCSDR >KJB32311 pep chromosome:Graimondii2_0_v6:5:61722000:61724123:1 gene:B456_005G234800 transcript:KJB32311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLENERKTTGWAARDPSGILSPYTYSLRNTGSEDVFIKVICCGICHTDIHQAKNDLGMSNYPMVPGHEVVGEVVEVGSGVNKFTAGDIVGVGYVVGCCKNCSPCNTDREQYCAKKIWTFNDVYTDGKPTQGGFSGSMLVDQKFVVKIPDGLAPEQAAPLLCAGVTVYSPLNHFGLKESGLRGAILGLGGVGHMGVKMAKAMGHHVTVISSTDKKKTEALDHLGADEYLVSSDTEAMQKAAESLDYIIDTVPAFHPLEPCLSLLRIDGKLILTGVTNTPLQFLTPSVMLGRKSIAGTLVGSMKEQEEMLAFCKEKNLTSMVEVVKMDYINTAMERLEKNDVRYRFVVDVAGSKIDDQ >KJB32312 pep chromosome:Graimondii2_0_v6:5:61722000:61724123:1 gene:B456_005G234800 transcript:KJB32312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLENERKTTGWAARDPSGILSPYTYSLRNTGSEDVFIKVICCGICHTDIHQAKNDLGMSNYPMVPGHEVVGEVVEVGSGVNKFTAGDIVGVGYVVGCCKNCSPCNTDREQYCAKKIWTFNDVYTDGKPTQGGFSGSMLVDQKFVVKIPDGLAPEQAAPLLCAGVTVYSPLNHFGLKESGLRGAILGLGGVGHMGVKMAKAMGHHVTVISSTDKKKTEALDHLGADEYLVSSDTEAMQKAAESLDYIIDTVPAFHPLEPCLSLLRIDGKLILTGVTNTPLQFLTPSVMLGESNLKFFLGFHFSMSLKLCVETGRKSIAGTLVGSMKEQEEMLAFCKEKNLTSMVEVVKMDYINTAMERLEKNDVRYRFVVDVAGSKIDDQ >KJB29328 pep chromosome:Graimondii2_0_v6:5:13354433:13355410:1 gene:B456_005G094900 transcript:KJB29328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAEAGNGQYEMALGYTACTYAADNLIFMREVVRAIANKHGLLATFVPKYTLDDIGSGSHVHLSLWQNGQNVFQASDASS >KJB31299 pep chromosome:Graimondii2_0_v6:5:54233461:54234370:1 gene:B456_005G184700 transcript:KJB31299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPLVARTSKQVDNINFLLEILLDRQMAEEFVDLWVNQGNLLKLHERASLMVRYELSRVSVILFIAMGTRKLHCCSEARSGLLQAWFEPMLLDFGWLQRCKKGLDMKALEEAMGQTLLTLSLKQQYVLFMKWFQCFSRNGSECPNLSKAFQIWWRRSFLRGSETHAVESSLELWYTAILVLLAGYVKNATIAIDAFSIW >KJB28640 pep chromosome:Graimondii2_0_v6:5:6212344:6213979:-1 gene:B456_005G059700 transcript:KJB28640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSATFDILRTRGFRGLYAGLSPTLVEIIPYAGLQFGTYDTFKRWCMAWNNLRSSSISSTTGDSLSSFQLFICGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPKYGARVEQRAYRNLFDALHRILKSEGWHGLYKGIVPSTIKAAPAGAVTFVAYEFTSDWLESIFTST >KJB28642 pep chromosome:Graimondii2_0_v6:5:6212628:6214439:-1 gene:B456_005G059700 transcript:KJB28642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPYTAIQFAVLHKLKTFVSGSSKTADHINLSPYLSYISGALAGCAATLGSYPFDLLRTILASQGEPKIYPNMRSATFDILRTRGFRGLYAGLSPTLVEIIPYAGLQFGTYDTFKRWCMAWNNLRSSSISSTTGDSLSSFQLFICGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPKYGARVEQRAYRNLFDALHRILKSEGWHGLYKGIVPSTIKAAPAGAVTFVAYEFTSDWLESIFTST >KJB28643 pep chromosome:Graimondii2_0_v6:5:6212344:6216172:-1 gene:B456_005G059700 transcript:KJB28643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPGQLKRALLDASAGAIAGGISRTVTSPLDVIKIRFQVQLEPTASWALIRRDLSGSSKYTGMFQATKEIFREEGLPGFWRGNVPALLMVMPYTAIQFAVLHKLKTFVSGSSKTADHINLSPYLSYISGALAGCAATLGSYPFDLLRTILASQGEPKIYPNMRSATFDILRTRGFRGLYAGLSPTLVEIIPYAGLQFGTYDTFKRWCMAWNNLRSSSISSTTGDSLSSFQLFICGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPKYGARVEQRAYRNLFDALHRILKSEGWHGLYKGIVPSTIKAAPAGAVTFVAYEFTSDWLESIFTST >KJB28641 pep chromosome:Graimondii2_0_v6:5:6212344:6214494:-1 gene:B456_005G059700 transcript:KJB28641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPYTAIQFAVLHKLKTFVSGSSKTADHINLSPYLSYISGALAGCAATLGSYPFDLLRTILASQGEPKIYPNMRSATFDILRTRGFRGLYAGLSPTLVEIIPYAGLQFGTYDTFKRWCMAWNNLRSSSISSTTGDSLSSFQLFICGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPKYGARVEQRAYRNLFDALHRILKSEGWHGLYKGIVPSTIKAAPAGAVTFVAYEFTSDWLESIFTST >KJB29738 pep chromosome:Graimondii2_0_v6:5:23208345:23225087:-1 gene:B456_005G116600 transcript:KJB29738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLHALFTGEDDTWEAWLNVDASGFSGVISFSRNGIKLRRGYSASQSPKPTQDELRQRKEEQMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAERHLTSHQRRKQRVLFIPCQWRKGLKLSGEAAVDKITLDGVRGLRVMLSATAHDVLYYMSPIYCQSIIDSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSLFPMQCLYEKDSKDLECSPDMIDQSFECSSLANIEKNDSTMKTKDIVDCLGEEILLPNPSVIEGHVEDKSSVSTKFDVAAEDPMQKSCREDVHQSLNDFSGAPWLEESGSGETTEVDFVVSDGCWEKATEEESEEARDRDKTIKMLKEEIDSLKARIAELESNNSEDIGENKEMLMQKPPMLQKFDQKLPLKLEDATKSYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMFNIFHPFDPVAYRVEPLVCKEYITKRPVIIPYHKGGKRLHIGFQEFTERLAARSHVVMDHFSTVRAKVLTACQSRDTDNLEGEENVEEKEETSYGSLMIERLTGSEGRIDHVLQDKTFEHPYLQAIGSHTCLFSWHSDKTIYIFYAQT >KJB29740 pep chromosome:Graimondii2_0_v6:5:23208345:23231416:-1 gene:B456_005G116600 transcript:KJB29740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLANPSVVEANGIDEALPDLLKNTPSNIARLEDVIEHCKGRQMYLAQTRSPSDGGDVRWYFSDVPLAENELAASFPRTEIVGKSDYFRFGMRDSLAIEASFLQIEEELLSIWWKEYAECSEGPRASSSFGKKLDMVEDLSSSKGSQSAQLYTFEEERVGVPVKGGLYEVDLVKRHCFPVYWNGETRRVLRGHWFARKGGMDWLPLREDVAEQLEIAYRSQVWHRRKFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSGVISFSRNGIKLRRGYSASQSPKPTQDELRQRKEEQMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAERHLTSHQRRKQRVLFIPCQWRKGLKLSGEAAVDKITLDGVRGLRVMLSATAHDVLYYMSPIYCQSIIDSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSLFPMQCLYEKDSKDLECSPDMIDQSFECSSLANIEKNDSTMKTKDIVDCLGEEILLPNPSVIEGHVEDKSSVSTKFDVAAEDPMQKSCREDVHQSLNDFSGAPWLEESGSGETTEVDFVVSDGCWEKATEEESEEARDRDKTIKMLKEEIDSLKARIAELESNNSEDIGENKEMLMQKPPMLQKFDQKLPLKLEDATKSYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMFNIFHPFDPVAYRVEPLVCKEYITKRPVIIPYHKGGKRLHIGFQEFTERLAARSHVVMDHFSTVRAKVLTACQSRDTDNLEGEENVEEKEETSYGSLMIERLTGSEGRIDHVLQDKTFEHPYLQAIGSHTLPAFRISVQTALVISGSK >KJB29743 pep chromosome:Graimondii2_0_v6:5:23209248:23230908:-1 gene:B456_005G116600 transcript:KJB29743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLANPSVVEANGIDEALPDLLKNTPSNIARLEDVIEHCKGRQMYLAQTRSPSDGGDVRWYFSDVPLAENELAASFPRTEIVGKSDYFRFGMRDSLAIEASFLQIEEELLSIWWKEYAECSEGPRASSSFGKKLDMVEDLSSSKGSQSAQLYTFEEERVGVPVKGGLYEVDLVKRHCFPVYWNGETRRVLRGHWFARKGGMDWLPLREDVAEQLEIAYRSQVWHRRKFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSGVISFSRNGIKLRRGYSASQSPKPTQDELRQRKEEQMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAERHLTSHQRRKQRVLFIPCQWRKGLKLSGEAAVDKITLDGVRGLRVMLSATAHDVLYYMSPIYCQSIIDSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSLFPMQCLYEKDSKDLECSPDMIDQSFECSSLANIEKNDSTMKTKDIVDCLGEEILLPNPSVIEGHVEDKSSVSTKFDVAAEDPMQKSCREDVHQSLNDFSGAPWLEESGSGETTEVDFVVSDGCWEKATEEESEEARDRDKTIKMLKEEIDSLKARIAELESNNSEDIGENKEMLMQKPPMLQKFDQKLPLKLEDATKSYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMFNIFHPFDPVAYRVEPLVCKEYITKRPVIIPYHKGGKRLHIGFQEFTERLAARSHVVMDHFSTVRAKVLTACQSRDTDNLEGEENVEEKEETSYGSLMIERLTGSEGRIDHVLQDKTFEHPYLQAIGSHTCLFSWHSDKTIYIFYAQT >KJB29737 pep chromosome:Graimondii2_0_v6:5:23202519:23230908:-1 gene:B456_005G116600 transcript:KJB29737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLANPSVVEANGIDEALPDLLKNTPSNIARLEDVIEHCKGRQMYLAQTRSPSDGGDVRWYFSDVPLAENELAASFPRTEIVGKSDYFRFGMRDSLAIEASFLQIEEELLSIWWKEYAECSEGPRASSSFGKKLDMVEDLSSSKGSQSAQLYTFEEERVGVPVKGGLYEVDLVKRHCFPVYWNGETRRVLRGHWFARKGGMDWLPLREDVAEQLEIAYRSQVWHRRKFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSGVISFSRNGIKLRRGYSASQSPKPTQDELRQRKEEQMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAERHLTSHQRRKQRVLFIPCQWRKGLKLSGEAAVDKITLDGVRGLRVMLSATAHDVLYYMSPIYCQSIIDSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSLFPMQCLYEKDSKDLECSPDMIDQSFECSSLANIEKNDSTMKTKDIVDCLGEEILLPNPSVIEGHVEDKSSVSTKFDVAAEDPMQKSCREDVHQSLNDFSGAPWLEESGSGETTEVDFVVSDGCWEKATEEESEEARDRDKTIKMLKEEIDSLKARIAELESNNSEDIGENKEMLMQKPPMLQKFDQKLPLKLEDATKSYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMFNIFHPFDPVAYRVEPLVCKEYITKRPVIIPYHKGGKRLHIGFQEFTERLAARSHVVMDHFSTVRAKVLTACQSRDTDNLEGEENVEEKEETSYGSLMIERLTGSEGRIDHVLQDKTFEHPYLQAIGSHTNYWRDYDTALFILKHLYRDIPEDPNFLGESIEGSLKDENASMGWSDERETIDEELPLTFSDRDMVKNFSRKAKKFIKKP >KJB29741 pep chromosome:Graimondii2_0_v6:5:23208345:23231223:-1 gene:B456_005G116600 transcript:KJB29741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLANPSVVEANGIDEALPDLLKNTPSNIARLEDVIEHCKGRQMYLAQTRSPSDGGDVRWYFSDVPLAENELAASFPRTEIVGKSDYFRFGMRDSLAIEASFLQIEEELLSIWWKEYAECSEGPRASSSFGKKLDMVEDLSSSKGSQSAQLYTFEEERVGVPVKGGLYEVDLVKRHCFPVYWNGETRRVLRGHWFARKGGMDWLPLREDVAEQLEIAYRSQVWHRRKFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSGVISFSRNGIKLRRGYSASQSPKPTQDELRQRKEEQMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAERHLTSHQRRKQRVLFIPCQWRKGLKLSGEAAVDKITLDGVRGLRVMLSATAHDVLYYMSPIYCQSIIDSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSLFPMQCLYEKDSKDLECSPDMIDQSFECSSLANIEKNDSTMKTKDIVDCLGEEILLPNPSVIEGHVEDKSSVSTKFDVAAEDPMQKSCREDVHQSLNDFSGAPWLEESGSGETTEVDFVVSDGCWEKATEEESEEARDRDKTIKMLKEEIDSLKARIAELESNNSEDIGENKEMLMQKPPMLQKFDQKLPLKLEDATKSYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMFNIFHPFDPVAYRVEPLVCKEYITKRPVIIPYHKGGKRLHIGFQEFTERLAARSHVVMDHFSTVRAKVLTACQSRDTDNLEGEENVEEKEETSYGSLMIERLTGSEGRIDHVLQDKTFEHPYLQAIGSHTCLFSWHSDKTIYIFYAQT >KJB29745 pep chromosome:Graimondii2_0_v6:5:23209248:23230908:-1 gene:B456_005G116600 transcript:KJB29745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLANPSVVEANGIDEALPDLLKNTPSNIARLEDVIEHCKGRQMYLAQTRSPSDGGDVRWYFSDVPLAENELAASFPRTEIVGKSDYFRFGMRDSLAIEASFLQIEEELLSIWWKEYAECSEGPRASSSFGKKLDMVEDLSSSKGSQSAQLYTFEEERVGVPVKGGLYEVDLVKRHCFPVYWNGETRRVLRGHWFARKGGMDWLPLREDVAEQLEIAYRSQVWHRRKFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSGVISFSRNGIKLRRGYSASQSPKPTQDELRQRKEEQMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAERHLTSHQRRKQRVLFIPCQWRKGLKLSGEAAVDKITLDGVRGLRVMLSATAHDVLYYMSPIYCQSIIDSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSLFPMQCLYEKDSKDLECSPDMIDQSFECSSLANIEKNDSTMKTKDIVDCLGEEILLPNPSVIEGHVEDKSSVSTKFDVAAEDPMQKSCREDVHQSLNDFSGAPWLEESGSGETTEVDFVVSDGCWEKATEEESEEARDRDKTIKMLKEEIDSLKARIAELESNNSEDIGENKEMLMQKPPMLQKFDQKLPLKLEDATKSYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMFNIFHPFDPVAYRVEPLVCKEYITKRPVIIPYHKGGKRLHIGFQEFTERLAARSHVVMDHFSTVRAKVLTACQSRDTDNLEGEENVEEKEETSYGSLMIERLTGSEGRIDHVLQDKTFEHPYLQAIGSHTCLFSWHSDKTIYIFYAQT >KJB29742 pep chromosome:Graimondii2_0_v6:5:23208345:23231223:-1 gene:B456_005G116600 transcript:KJB29742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLANPSVVEANGIDEALPDLLKNTPSNIARLEDVIEHCKGRQMYLAQTRSPSDGGDVRWYFSDVPLAENELAASFPRTEIVGKSDYFRFGMRDSLAIEASFLQIEEELLSIWWKEYAECSEGPRASSSFGKKLDMVEDLSSSKGSQSAQLYTFEEERVGVPVKGGLYEVDLVKRHCFPVYWNGETRRVLRGHWFARKGGMDWLPLREDVAEQLEIAYRSQVWHRRKFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSGVISFSRNGIKLRRGYSASQSPKPTQDELRQRKEEQMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAERHLTSHQRRKQRVLFIPCQWRKGLKLSGEAAVDKITLDGVRGLRVMLSATAHDVLYYMSPIYCQSIIDSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSLFPMQCLYEKDSKDLECSPDMIDQSFECSSLANIEKNDSTMKTKDIVDCLGEEILLPNPSVIEGHVEDKSSVSTKFDVAAEDPMQKSCREDVHQSLNDFSGAPWLEESGSGETTEVDFVVSDGCWEKATEEESEEARDRDKTIKMLKEEIDSLKARIAELESNNSEDIENKEMLMQKPPMLQKFDQKLPLKLEDATKSYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMFNIFHPFDPVAYRVEPLVCKEYITKRPVIIPYHKGGKRLHIGFQEFTERLAARSHVVMDHFSTVRAKVLTACQSRDTDNLEGEENVEEKEETSYGSLMIERLTGSEGRIDHVLQDKTFEHPYLQAIGSHTCLFSWHSDKTIYIFYAQT >KJB29744 pep chromosome:Graimondii2_0_v6:5:23208345:23231223:-1 gene:B456_005G116600 transcript:KJB29744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLANPSVVEANGIDEALPDLLKNTPSNIARLEDVIEHCKGRQMYLAQTRSPSDGGDVRWYFSDVPLAENELAASFPRTEIVGKSDYFRFGMRDSLAIEASFLQIEEELLSIWWKEYAECSEGPRASSSFGKKLDMVEDLSSSKGSQSAQLYTFEEERVGVPVKGGLYEVDLVKRHCFPVYWNGETRRVLRGHWFARKGGMDWLPLREDVAEQLEIAYRSQVWHRRKFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSGVISFSRNGIKLRRGYSASQSPKPTQDELRQRKEEQMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAERHLTSHQRRKQRVLFIPCQWRKGLKLSGEAAVDKITLDGVRGLRVMLSATAHDVLYYMSPIYCQSIIDSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSLFPMQCLYEKDSKDLECSPDMIDQSFECSSLANIEKNDSTMKTKDIVDCLGEEILLPNPSVIEGHVEDKSSVSTKFDVAAEDPMQKSCREDVHQSLNDFSGAPWLEESGSGETTEVDFVVSDGCWEKATEEESEEARDRDKTIKMLKEEIDSLKARIAELESNNSEDIGENKEMLMQKPPMLQKFDQKLPLKLEDATKSYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMFNIFHPFDPVAYRVEPLVCKEYITKRPVIIPYHKGGKRLHIGFQEFTERLAARSHVVMDHFSTVRAKVLTACQSRDTDNLEGEENVEEKEETSYGSLMIERLTGSEGRIDHVLQDKTFEHPYLQAIGSHTCLFSWHSDKTIYIFYAQT >KJB29739 pep chromosome:Graimondii2_0_v6:5:23208345:23231223:-1 gene:B456_005G116600 transcript:KJB29739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLANPSVVEANGIDEALPDLLKNTPSNIARLEDVIEHCKGRQMYLAQTRSPSDGGDVRWYFSDVPLAENELAASFPRTEIVGKSDYFRFGMRDSLAIEASFLQIEEELLSIWWKEYAECSEGPRASSSFGKKLDMVEDLSSSKGSQSAQLYTFEEERVGVPVKGGLYEVDLVKRHCFPVYWNGETRRVLRGHWFARKGGMDWLPLREDVAEQLEIAYRSQVWHRRKFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSGVISFSRNGIKLRRGYSASQSPKPTQDELRQRKEEQMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAERHLTSHQRRKQRVLFIPCQWRKGLKLSGEAAVDKITLDGVRGLRVMLSATAHDVLYYMSPIYCQSIIDSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSLFPMQCLYEKDSKDLECSPDMIDQSFECSSLANIEKNDSTMKTKDIVDCLGEEILLPNPSVIEGHVEDKSSVSTKFDVAAEDPMQKSCREDVHQSLNDFSGAPWLEESGSGETTEVDFVVSDGCWEKATEEESEEARDRDKTIKMLKEEIDSLKARIAELESNNSEDIGENKEMLMQKPPMLQKFDQKLPLKLEDATKSYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMFNIFHPFDPVAYRVEPLVCKEYITKRPVIIPYHKGGKRLHIGFQEFTERLAARSHVVMDHFSTVREKRM >KJB29736 pep chromosome:Graimondii2_0_v6:5:23201997:23231223:-1 gene:B456_005G116600 transcript:KJB29736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLANPSVVEANGIDEALPDLLKNTPSNIARLEDVIEHCKGRQMYLAQTRSPSDGGDVRWYFSDVPLAENELAASFPRTEIVGKSDYFRFGMRDSLAIEASFLQIEEELLSIWWKEYAECSEGPRASSSFGKKLDMVEDLSSSKGSQSAQLYTFEEERVGVPVKGGLYEVDLVKRHCFPVYWNGETRRVLRGHWFARKGGMDWLPLREDVAEQLEIAYRSQVWHRRKFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSGVISFSRNGIKLRRGYSASQSPKPTQDELRQRKEEQMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAERHLTSHQRRKQRVLFIPCQWRKGLKLSGEAAVDKITLDGVRGLRVMLSATAHDVLYYMSPIYCQSIIDSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSLFPMQCLYEKDSKDLECSPDMIDQSFECSSLANIEKNDSTMKTKDIVDCLGEEILLPNPSVIEGHVEDKSSVSTKFDVAAEDPMQKSCREDVHQSLNDFSGAPWLEESGSGETTEVDFVVSDGCWEKATEEESEEARDRDKTIKMLKEEIDSLKARIAELESNNSEDIGENKEMLMQKPPMLQKFDQKLPLKLEDATKSYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMFNIFHPFDPVAYRVEPLVCKEYITKRPVIIPYHKGGKRLHIGFQEFTERLAARSHVVMDHFSTVRAKVLTACQSRDTDNLEGEENVEEKEETSYGSLMIERLTGSEGRIDHVLQKLLEGL >KJB29684 pep chromosome:Graimondii2_0_v6:5:21739728:21746096:-1 gene:B456_005G113800 transcript:KJB29684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MLGSLSLLVATPFAFRSFSPSSNNNRSLSSGTNHQIAKQLATESGRGSQKLLRRHVFPVYRRVSYGSPISAVMIDDSSTITTSEENTQNIGILDIDSALRPFKDHFQYRIKKFVDQKNLFENYEGGLEEFAKGYLRFGFNREEDGIVYREWAPAAQEAQVVGDFNGWDGSNHKMEKNEFGVWSIKIPDSEGNPAIPHNSRVKFRFKHGDGVWVDRIPAWIKYAIVDPTRFGAPYDGVHWDPPPSERYEFKYPRPPKPKAPRIYEAHVGMSSSEPRINSYREFADDVLPRIQANNYNTVQLMAVMEHSYYASFGYHVTNFFSVSSRSGTPEDLKYLIDKAHSLGLRVLMDVVHSHASNNVTDGLNGFDVGQSSQESYFHTGERGYHKLWDSRLFNYGNWEVLRFLLSNLRWWLEEFKFDGFRFDGVTSMLYHHHGINMPFTGDYNEYFSEATDVDAVVYLMLANSLINNILPDATVIAEDVSGMPGLGRPVSEGGIGFDYRLAMAIPDKWIDYLKNKNDEEWSMMDLSCSLTNRRYTEKCISYAESHDQSIVGDKTIAFFLMDKEMYSGMSCLTDASPTIDRGIALHKMIHFITMALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYEKCRRQWTLVDTDHLRYKIVSCTDEENKVIVFERGDLVFVFNFHPENTYDGYKVGCDLPGKYRVALDSDAWEFGGHGRVGHDVDHFTSPEGIPGVPETNFNNRPNSFKVLSPARTCVVYYKVDESVEETNGINVISVSETLEMDASKQKNAEESAILVDHGDKENPQETTDRDTSSFDEELQKDGAKQESIEEPAASVLDKKIVGSKLDEPEVEEMEDRTPDD >KJB29682 pep chromosome:Graimondii2_0_v6:5:21739632:21746128:-1 gene:B456_005G113800 transcript:KJB29682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MLGSLSLLVATPFAFRSFSPSSNNNRSLSSGTNHQIAKQLATESGRGSQKLLRRHVFPVYRRVSYGSPISAVMIDDSSTITTSEENTQNIGILDIDSALRPFKDHFQYRIKKFVDQKNLFENYEGGLEEFAKGYLRFGFNREEDGIVYREWAPAAQEAQVVGDFNGWDGSNHKMEKNEFGVWSIKIPDSEGNPAIPHNSRVKFRFKHGDGVWVDRIPAWIKYAIVDPTRFGAPYDGVHWDPPPSERYEFKYPRPPKPKAPRIYEAHVGMSSSEPRINSYREFADDVLPRIQANNYNTVQLMAVMEHSYYASFGYHVTNFFSVSSRSGTPEDLKYLIDKAHSLGLRVLMDVVHSHASNNVTDGLNGFDVGQSSQESYFHTGERGYHKLWDSRLFNYGNWEVLRFLLSNLRWWLEEFKFDGFRFDGVTSMLYHHHGINMPFTGDYNEYFSEATDVDAVVYLMLANSLINNILPDATVIAEDVSGMPGLGRPVSEGGIGFDYRLAMAIPDKWIDYLKNKNDEEWSMMDLSCSLTNRRYTEKCISYAESHDQSIVGDKTIAFFLMDKEMYSGMSCLTDASPTIDRGIALHKMIHFITMALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYEKCRRQWTLVDTDHLRYKFMNAFDRAMNALDDKFSFLSSTKQIVSCTDEENKVIVFERGDLVFVFNFHPENTYDGYKVGCDLPGKYRVALDSDAWEFGGHGRVGHDVDHFTSPEGIPGVPETNFNNRPNSFKVLSPARTCVVYYKVDESVEETNGINVISVSETLEMDASKQKNAEESAILVDHGDKENPQETTDRDTSSFDEELQKDGAKQESIEEPAASVLDKKIVGSKLDEPEVEEMEDRTPDD >KJB29683 pep chromosome:Graimondii2_0_v6:5:21740093:21745961:-1 gene:B456_005G113800 transcript:KJB29683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MLGSLSLLVATPFAFRSFSPSSNNNRSLSSGTNHQIAKQLATESGRGSQKLLRRHVFPVYRRVSYGSPISAVMIDDSSTITTSEENTQNIGILDIDSALRPFKDHFQYRIKKFVDQKNLFENYEGGLEEFAKGYLRFGFNREEDGIVYREWAPAAQEAQVVGDFNGWDGSNHKMEKNEFGVWSIKIPDSEGNPAIPHNSRVKFRFKHGDGVWVDRIPAWIKYAIVDPTRFGAPYDGVHWDPPPSERYEFKYPRPPKPKAPRIYEAHVGMSSSEPRINSYREFADDVLPRIQANNYNTVQLMAVMEHSYYASFGYHVTNFFSVSSRSGTPEDLKYLIDKAHSLGLRVLMDVVHSHASNNVTDGLNGFDVGQSSQESYFHTGERGYHKLWDSRLFNYGNWEVLRFLLSNLRWWLEEFKFDGFRFDGVTSMLYHHHGINMPFTGDYNEYFSEATDVDAVVYLMLANSLINNILPDATVIAEDVSGMPGLGRPVSEGGIGFDYRLAMAIPDKWIDYLKNKNDEEWSMMDLSCSLTNRRYTEKCISYAESHDQSIVGDKTIAFFLMDKEMYSGMSCLTDASPTIDRGIALHKMIHFITMALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYEKCRRQWTLVDTDHLRYKFMNAFDRAMNALDDKFSFLSSTKQIVSCTDEENKVIVFERGDLVFVFNFHPENTYDGYKVGCDLPGKYRVALDSDAWEFGGHGRVGHDVDHFTSPEGIPGVPETNFNNRPNSFKVLSPARTCVVYYKVDESVEETNGINVISVSETLEMDASKQKNAEESAILILPRLIMEIKKIHKKLLTVTPPVSMRNCKKMEQSRKVLKNQQLLC >KJB28927 pep chromosome:Graimondii2_0_v6:5:8674080:8676986:-1 gene:B456_005G076200 transcript:KJB28927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTATAVTVDSGFGDDQVWPPGFRFHPTDEELVLYYLKRKICKKRLKLDIIRETDVYKRDPEELPGQSVLKNGDRQWFFFCPRDRKYPNGARSNRATIHGYWKATGKDRSITCNSRVVGIKKTLVFYRGRAPNGERTDWVMHEYTLDEEELKRCQNVKDYYALYKLYKKSGPGPKNGEQYGAPFKEEAWDDEEYSSIPLDTITPVKPPNEVIPDDNVKANVQSESPLSDIEEFMRQFADEPALPQPQAYHSHVLPQVVSAEDTQSTLLDPSPRGVLFPEQLTVLHGQASFEFPESPISQLLLQEAPEVTPVTDHLDQVPQLCEEDFLEIDDLLGPEPLISNIEKPVENKQFNELDGLSEFDLYHDAAMFLQDMGSIDQGTVPFLYDNMINQVSYQLEPQSNISLMNQQYLPHSNLNLIDKQWQNQANANMMEQHLQPQLNASGGNMLNQMVYQSGPNINSMDQQLVLHSSVDQVDYHGQNQQLQMDQINGALWTHDQNGDVFIPSGSNLGNASPTSGFVNNGPKQDQGNKNDGSGGGSWFSSSLWSFVDSIPTAPASAAESPLVNRALERMSSFSKLRIHAMNTAVNGSASARRRSRNRGFFFISILGALCAVLWFLIGTVRVLGRSISS >KJB28928 pep chromosome:Graimondii2_0_v6:5:8673787:8677185:-1 gene:B456_005G076200 transcript:KJB28928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTATAVTVDSGFGDDQVWPPGFRFHPTDEELVLYYLKRKICKKRLKLDIIRETDVYKRDPEELPGQSVLKNGDRQWFFFCPRDRKYPNGARSNRATIHGYWKATGKDRSITCNSRVVGIKKTLVFYRGRAPNGERTDWVMHEYTLDEEELKRCQNVKDYYALYKLYKKSGPGPKNGEQYGAPFKEEAWDDEEYSSIPLDTITPVKPPNEVIPDDNVKANVQSESPLSDIEEFMRQFADEPALPQPQAYHSHVLPQVVSAEDTQSTLLDPSPRGVLFPEQLTVLHGQASFEFPESPISQLLLQEAPEVTPVTDHLDQVPQLCEEDFLEIDDLLGPEPLISNIEKPVENKQFNELDGLSEFDLYHDAAMFLQDMGSIDQGTVPFLYDNMINQWQNQANANMMEQHLQPQLNASGGNMLNQMVDYHGQNQQLQMDQINGALWTHDQNGDVFIPSGSNLGNASPTSGFVNNGPKQDQGNKNDGSGGGSWFSSSLWSFVDSIPTAPASAAESPLVNRALERMSSFSKLRIHAMNTAVNGSASARRRSRNRGFFFISILGALCAVLWFLIGTVRVLGRSISS >KJB28924 pep chromosome:Graimondii2_0_v6:5:8674569:8676986:-1 gene:B456_005G076200 transcript:KJB28924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTATAVTVDSGFGDDQVWPPGFRFHPTDEELVLYYLKRKICKKRLKLDIIRETDVYKRDPEELPGQSVLKNGDRQWFFFCPRDRKYPNGARSNRATIHGYWKATGKDRSITCNSRVVGIKKTLVFYRGRAPNGERTDWVMHEYTLDEEELKRCQNVKDYYALYKLYKKSGPGPKNGEQYGAPFKEEAWDDEEYSSIPLDTITPVKPPNEVIPDDNVKANVQSESPLSDIEEFMRQFADEPALPQPQAYHSHVLPQVVSAEDTQSTLLDPSPRGVLFPEQLTVLHGQASFEFPESPISQLLLQEAPEVTPVTDHLDQVPQLCEEDFLEIDDLLGPEPLISNIEKPVENKQFNELDGLSEFDLYHDAAMFLQDMGSIDQGTVPFLYDNMINQWQNQANANMMEQHLQPQLNASGGNMLNQMVYQSGPNINSMDQQLVLHSSVDQVDYHGQNQQLQMDQINGALWTHDQNGDVFIPSGSNLGNASPTSAVLAHPGRALDETDGWVDMPKSTWQKVSVKKGNLTLLQELL >KJB28925 pep chromosome:Graimondii2_0_v6:5:8673792:8677185:-1 gene:B456_005G076200 transcript:KJB28925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTATAVTVDSGFGDDQVWPPGFRFHPTDEELVLYYLKRKICKKRLKLDIIRETDVYKRDPEELPGQSVLKNGDRQWFFFCPRDRKYPNGARSNRATIHGYWKATGKDRSITCNSRVVGIKKTLVFYRGRAPNGERTDWVMHEYTLDEEELKRCQNVKDYYALYKLYKKSGPGPKNGEQYGAPFKEEAWDDEEYSSIPLDTITPVKPPNEVIPDDNVKANVQSESPLSDIEEFMRQFADEPALPQPQAYHSHVLPQVVSAEDTQSTLLDPSPRGVLFPEQLTVLHGQASFEFPESPISQLLLQEAPEVTPVTDHLDQVPQLCEEDFLEIDDLLGPEPLISNIEKPVENKQFNELDGLSEFDLYHDAAMFLQDMGSIDQGTVPFLYDNMINQWQNQANANMMEQHLQPQLNASGGNMLNQMNQQLQMDQINGALWTHDQNGDVFIPSGSNLGNASPTSGFVNNGPKQDQGNKNDGSGGGSWFSSSLWSFVDSIPTAPASAAESPLVNRALERMSSFSKLRIHAMNTAVNGSASARRRSRNRGFFFISILGALCAVLWFLIGTVRVLGRSISS >KJB28926 pep chromosome:Graimondii2_0_v6:5:8673792:8677185:-1 gene:B456_005G076200 transcript:KJB28926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTATAVTVDSGFGDDQVWPPGFRFHPTDEELVLYYLKRKICKKRLKLDIIRETDVYKRDPEELPGQSVLKNGDRQWFFFCPRDRKYPNGARSNRATIHGYWKATGKDRSITCNSRVVGIKKTLVFYRGRAPNGERTDWVMHEYTLDEEELKRCQNVKDYYALYKLYKKSGPGPKNGEQYGAPFKEEAWDDEEYSSIPLDTITPVKPPNEVVSAEDTQSTLLDPSPRGVLFPEQLTVLHGQASFEFPESPISQLLLQEAPEVTPVTDHLDQVPQLCEEDFLEIDDLLGPEPLISNIEKPVENKQFNELDGLSEFDLYHDAAMFLQDMGSIDQGTVPFLYDNMINQWQNQANANMMEQHLQPQLNASGGNMLNQMVYQSGPNINSMDQQLVLHSSVDQVDYHGQNQQLQMDQINGALWTHDQNGDVFIPSGSNLGNASPTSGFVNNGPKQDQGNKNDGSGGGSWFSSSLWSFVDSIPTAPASAAESPLVNRALERMSSFSKLRIHAMNTAVNGSASARRRSRNRGFFFISILGALCAVLWFLIGTVRVLGRSISS >KJB28923 pep chromosome:Graimondii2_0_v6:5:8673374:8677242:-1 gene:B456_005G076200 transcript:KJB28923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTATAVTVDSGFGDDQVWPPGFRFHPTDEELVLYYLKRKICKKRLKLDIIRETDVYKRDPEELPGQSVLKNGDRQWFFFCPRDRKYPNGARSNRATIHGYWKATGKDRSITCNSRVVGIKKTLVFYRGRAPNGERTDWVMHEYTLDEEELKRCQNVKDYYALYKLYKKSGPGPKNGEQYGAPFKEEAWDDEEYSSIPLDTITPVKPPNEVIPDDNVKANVQSESPLSDIEEFMRQFADEPALPQPQAYHSHVLPQVVSAEDTQSTLLDPSPRGVLFPEQLTVLHGQASFEFPESPISQLLLQEAPEVTPVTDHLDQVPQLCEEDFLEIDDLLGPEPLISNIEKPVENKQFNELDGLSEFDLYHDAAMFLQDMGSIDQGTVPFLYDNMINQWQNQANANMMEQHLQPQLNASGGNMLNQMVYQSGPNINSMDQQLVLHSSVDQVDYHGQNQQLQMDQINGALWTHDQNGDVFIPSGSNLGNASPTSGFVNNGPKQDQGNKNDGSGGGSWFSSSLWSFVDSIPTAPASAAESPLVNRALERMSSFSKLRIHAMNTAVNGSASARRRSRNRGFFFISILGALCAVLWFLIGTVRVLGRSISS >KJB31909 pep chromosome:Graimondii2_0_v6:5:59658077:59660243:1 gene:B456_005G213900 transcript:KJB31909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LIP1P MSVSMSKPSISASIPIPRPRKPSSFSQLKIRCESLDSASKIDVKRPQSSSLSAAGKLMDSDTKNGSYPGGMGPFTGRDPNVKKPEWLRQKAPQGLRFDEVKQSLSRLKLNTVCEEAQCPNIGECWNGGGDGIATATIMVLGDTCTRGCRFCAVKTSRNPPPPDPMEPENTAQAIASWGVDYIVLTSVDRDDLPDGGSGHFAKTVQAMKNLKPDIMVECLTSDFRGDLKAVDTLVHSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLSVLRHAKVSKEGMITKSSIMLGLGETDDELKEALADLRAIDVDILTLGQYLQVCFYMQRYLA >KJB31910 pep chromosome:Graimondii2_0_v6:5:59658077:59664522:1 gene:B456_005G213900 transcript:KJB31910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LIP1P MSVSMSKPSISASIPIPRPRKPSSFSQLKIRCESLDSASKIDVKRPQSSSLSAAGKLMDSDTKNGSYPGGMGPFTGRDPNVKKPEWLRQKAPQGLRFDEVKQSLSRLKLNTVCEEAQCPNIGECWNGGGDGIATATIMVLGDTCTRGCRFCAVKTSRNPPPPDPMEPENTAQAIASWGVDYIVLTSVDRDDLPDGGSGHFAKTVQAMKNLKPDIMVECLTSDFRGDLKAVDTLVHSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLSVLRHAKVSKEGMITKSSIMLGLGETDDELKEALADLRAIDVDILTLGQYLQPTPLHLTVKEYVTPEKFAFWKEYGESIGFRYVASGPLVSSL >KJB31908 pep chromosome:Graimondii2_0_v6:5:59657958:59664949:1 gene:B456_005G213900 transcript:KJB31908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LIP1P MSVSMSKPSISASIPIPRPRKPSSFSQLKIRCESLDSASKIDVKRPQSSSLSAAGKLMDSDTKNGSYPGGMGPFTGRDPNVKKPEWLRQKAPQGLRFDEVKQSLSRLKLNTVCEEAQCPNIGECWNGGGDGIATATIMVLGDTCTRGCRFCAVKTSRNPPPPDPMEPENTAQAIASWGVDYIVLTSVDRDDLPDGGSGHFAKTVQAMKNLKPDIMVECLTSDFRGDLKAVDTLVHSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLSVLRHAKVSKEGMITKSSIMLGLGETDDELKEALADLRAIDVDILTLGQYLQPTPLHLTVKEYVTPEKFAFWKEYGESIGFRYVASGPLVRSSYRAGELFVKSMVKERANNTTASTN >KJB30693 pep chromosome:Graimondii2_0_v6:5:43725949:43726727:-1 gene:B456_005G155300 transcript:KJB30693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAGLRVIKYEANGKLFVSAGNDKLVKVWSAESWRCIATVCSEKGASALAIRNDGLHVCLADKFVVVWVVNLSGVGGTRRSS >KJB28579 pep chromosome:Graimondii2_0_v6:5:5736280:5742662:-1 gene:B456_005G056500 transcript:KJB28579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPASSPNTTPRGSPRSKDPQMDVHLPVTEPDPSSSSVWDWGDLLDFTLDDHFSISLDDGNMYTPPFEASPSVPDPEPVSGPDRVRKRDPRMTCSNFLTGRVPCACPEIDEQMEKLEEEEAGAPGKKRARTGRVGSGTSRCQVPGCEVDITELKGYHRRHRVCLQCANSSTVLINGESKRYCQQCGKFHLLSDFDEGKRSCRRKLERHNNRRRRKPVGSKTEVNKESLGAVQSEDIACDGEAGKDDLSLSGQTAEDPPFESEDGLVSADCSAPMLQTVNNDSTVALIDTGTDGGKEDLKFSISTSYHDNRTAYSSMCPTGRISFKLYDWNPAEFPRRLRHQIFQWLADMPVELEGYIRPGCTILTVFISMPKNMWMKLSENPMTYMHDFVFTPGRMLHGRGLMTIHLNNMIFRASKGGSSLVKLDMGVQAPRLHYVHPSCFEAGKPMEFVACGSNLLQPKLQFLVSFAGRYLPYDYCLASAHVNATEGSSSCDHLLYKIYVPQTESDLFGPVFIEVENQSGLSNFIPVLIGDKDVCSEMKVIQQGFDASLFWGGSQISANRSSCETSTWRQKAYSELVLDIAWLLREPKSENFQETMASSQIQRFNCLLNFLIQNKSTVILKKVLQNLKNVVEEAGFNGTDDPDTRLLKKYMDYGRDILNNKLQEGERPVLLSEYIEQEGKWNSQSSLKNDGLFVPNGSQYPVPQ >KJB28577 pep chromosome:Graimondii2_0_v6:5:5735254:5742740:-1 gene:B456_005G056500 transcript:KJB28577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKARDIANSVAIFEVWIISISPIIEVMKYALCWFHLLSDFDEGKRSCRRKLERHNNRRRRKPVGSKTEVNKESLGAVQSEDIACDGEAGKDDLSLSGQTAEDPPFESEDGLVSADCSAPMLQTVNNDSTVALIDTGTDGGKEDLKFSISTSYHDNRTAYSSMCPTGRISFKLYDWNPAEFPRRLRHQIFQWLADMPVELEGYIRPGCTILTVFISMPKNMWMKLSENPMTYMHDFVFTPGRMLHGRGLMTIHLNNMIFRASKGGSSLVKLDMGVQAPRLHYVHPSCFEAGKPMEFVACGSNLLQPKLQFLVSFAGRYLPYDYCLASAHVNATEGSSSCDHLLYKIYVPQTESDLFGPVFIEVENQSGLSNFIPVLIGDKDVCSEMKVIQQGFDASLFWGGSQISANRSSCETSTWRQKAYSELVLDIAWLLREPKSENFQETMASSQIQRFNCLLNFLIQNKSTVILKKVLQNLKNVVEEAGFNGTDDPDTRLLKKYMDYGRDILNNKLQEGERPVLLSEYIEQEGKWNSQSSLKNDGLFVPNGSQDLGERTNAKFQTMMASTTLTRSETVPLLNKEIVMNVNLSKELPRKSCSTIFATTTLRSCPALFVVATAAICLGICAVFLHPNKVGEFAVTIRRCLSKRSYIIE >KJB28578 pep chromosome:Graimondii2_0_v6:5:5735254:5742740:-1 gene:B456_005G056500 transcript:KJB28578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPASSPNTTPRGSPRSKDPQMDVHLPVTEPDPSSSSVWDWGDLLDFTLDDHFSISLDDGNMYTPPFEASPSVPDPEPVSGPDRVRKRDPRMTCSNFLTGRVPCACPEIDEQMEKLEEEEAGAPGKKRARTGRVGSGTSRCQVPGCEVDITELKGYHRRHRVCLQCANSSTVLINGESKRYCQQCGKFHLLSDFDEGKRSCRRKLERHNNRRRRKPVGSKTEVNKESLGAVQSEDIACDGEAGKDDLSLSGQTAEDPPFESEDGLVSADCSAPMLQTVNNDSTVALIDTGTDGGKEDLKFSISTSYHDNRTAYSSMCPTGRISFKLYDWNPAEFPRRLRHQIFQWLADMPVELEGYIRPGCTILTVFISMPKNMWMKLSENPMTYMHDFVFTPGRMLHGRGLMTIHLNNMIFRASKGGSSLVKLDMGVQAPRLHYVHPSCFEAGKPMEFVACGSNLLQPKLQFLVSFAGRYLPYDYCLASAHVNATEGSSSCDHLLYKIYVPQTESDLFGPVFIEVENQSGLSNFIPVLIGDKDVCSEMKVIQQGFDASLFWGGSQISANRSSCETSTWRQKAYSELVLDIAWLLREPKSENFQETMASSQIQRFNCLLNFLIQNKSTVILKKVLQNLKNVVEEAGFNGTDDPDTRLLKKYMDYGRDILNNKLQEGERPVLLSEYIEQEGKWNSQSSLKNDGLFVPNGSQDLGERTNAKFQTMMASTTLTRSETVPLLNKEIVMNVNLSKELPRKSCSTIFATTTLRSCPALFVVATAAICLGICAVFLHPNKVGEFAVTIRRCLSKRSYIIE >KJB28638 pep chromosome:Graimondii2_0_v6:5:6195989:6196902:-1 gene:B456_005G059500 transcript:KJB28638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENIGTSNDGGGDGYGFKEQDHLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASEKCRKERRKTVNGDDICWALATLGFDNYAVPLKRYLYKFREFEGDKAANQVKVSISNSKDDDDDEAQKQQQQSPLMFQHDWKPQQCR >KJB28637 pep chromosome:Graimondii2_0_v6:5:6196317:6196772:-1 gene:B456_005G059500 transcript:KJB28637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENIGTSNDGGGDGYGFKEQDHLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASEKCRKERRKTVNGDDICWALATLGFDNYAVPLKRYLYKFREFEGDKAANQVKVSISNSKDDDDDEAQKQQQQSPLMFQHDWKP >KJB27502 pep chromosome:Graimondii2_0_v6:5:62969303:62969862:1 gene:B456_005G251000 transcript:KJB27502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQLTGLAQAKQKLQRTLSGRLGNTNVPKGHIAVYVGESNKKRFVIPIAYLNHPLFQDLLNKVEEEFGFNHPMGGLTIPCSEEYFISLTTSLNCS >KJB30041 pep chromosome:Graimondii2_0_v6:5:29959181:29970377:-1 gene:B456_005G128500 transcript:KJB30041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPPGIRRRFVVSFPLLLLVTSSFAVVATADGASQDDGPELPACNNPFKLVKVKIWVDGVEGEDLSGITASFGASLPEEANKSSKLPTVFSNPLNGCSNSSSKLTGSVALSIRGDCDFVTKAKVAQSGGASALLVINDNEELYKMVCSENDTSLNISIPVVMIPKSAGDAINKSMEEKHVEFLLYAPTRPIVDFSVIFLWALAVGTIVTASLWQEFGTSENSDERYNELSKESPNAGTGDDDDKEILDISVKGAIVFVITASTFLVLLYFFMSAWFVWLLIVLFCIGGVQGMHTCIMTPIARKCRNCPQKTLNLPLFGEVSIVSFVVALCCLIFAVVWAVNRRESYSWGICLMITVLQLARLPNIKVATVLLCCAFVYDIFWVFLSPLIFHQSVMIVVARGDNSGGESIPMLLRVPRAFDPWGGYDMIGFGDILFPGLLVAFAFRYDKAYKKHLASGYFLWLIIGYGFGLLFTYLGLYLMNGHGQPALLYLVPCTLGVTVILGLVRGELKELWNYSPELSSATTNLTGEA >KJB30039 pep chromosome:Graimondii2_0_v6:5:29959145:29970420:-1 gene:B456_005G128500 transcript:KJB30039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPPGIRRRFVVSFPLLLLVTSSFAVVATADGASQDDGPELPACNNPFKLVKVKIWVDGVEGEDLSGITASFGASLPEEANKSSKLPTVFSNPLNGCSNSSSKLTGSVALSIRGDCDFVTKAKVAQSGGASALLVINDNEELYKMVCSENDTSLNISIPVVMIPKSAGDAINKSMEEKHVEFLLYAPTRPIVDFSVIFLWALAVGTIVTASLWQEFGTSENSDERYNELSKESPNAGTGDDDDKEILDISVKGAIVFVITASTFLVLLYFFMSAWFVWLLIVLFCIGGVQGMHTCIMTPIARKCRNCPQKTLNLPLFGEVSIVSFVVALCCLIFAVVWAVNRRESYSWVGQDILGICLMITVLQLARLPNIKVATVLLCCAFVYDIFWVFLSPLIFHQSVMIVVARGDNSGGESIPMLLRVPRAFDPWGGYDMIGFGDILFPGLLVAFAFRYDKAYKKHLASGYFLWLIIGYGFGLLFTYLGLYLMNGHGQPALLYLVPCTLGVTVILGLVRGELKELWNYSPELSSATTNLTGEA >KJB30040 pep chromosome:Graimondii2_0_v6:5:29959181:29969160:-1 gene:B456_005G128500 transcript:KJB30040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVKIWVDGVEGEDLSGITASFGASLPEEANKSSKLPTVFSNPLNGCSNSSSKLTGSVALSIRGDCDFVTKAKVAQSGGASALLVINDNEELYKMVCSENDTSLNISIPVVMIPKSAGDAINKSMEEKHVEFLLYAPTRPIVDFSVIFLWALAVGTIVTASLWQEFGTSENSDERYNELSKESPNAGTGDDDDKEILDISVKGAIVFVITASTFLVLLYFFMSAWFVWLLIVLFCIGGVQGMHTCIMTPIARKCRNCPQKTLNLPLFGEVSIVSFVVALCCLIFAVVWAVNRRESYSWVGQDILGICLMITVLQLARLPNIKVATVLLCCAFVYDIFWVFLSPLIFHQSVMIVVARGDNSGGESIPMLLRVPRAFDPWGGYDMIGFGDILFPGLLVAFAFRYDKAYKKHLASGYFLWLIIGYGFGLLFTYLGLYLMNGHGQPALLYLVPCTLGVTVILGLVRGELKELWNYSPELSSATTNLTGEA >KJB30043 pep chromosome:Graimondii2_0_v6:5:29959616:29970251:-1 gene:B456_005G128500 transcript:KJB30043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPPGIRRRFVVSFPLLLLVTSSFAVVATADGASQDDGPELPACNNPFKLVKVKIWVDGVEGEDLSGITASFGASLPEEANKSSKLPTVFSNPLNGCSNSSSKLTGSVALSIRGDCDFVTKAKVAQSGGASALLVINDNEELYKMVCSENDTSLNISIPVVMIPKSAGDAINKSMEEKHVEFLLYAPTRPIVDFSVIFLWALAVGTIVTASLWQEFGTSENSDERYNELSKESPNAGTGDDDDKEILDISVKGAIVFVITASTFLVLLYFFMSAWFVWLLIVLFCIGGVQGMHTCIMTPIASRKCRNCPQKTLNLPLFGEVSIVSFVVALCCLIFAVVWAVNRRESYSWVGQDILGICLMITVLQLARLPNIKVATVLLCCAFVYDIFWVFLSPLIFHQSVMIVVARGDNSGGESIPMLLRVPRAFDPWGGYDMIGFGDILFPGLLVAFAFRYDKAYKKHLASGYFLWLIIGYGFGLLFTYLGLYLMNGHGQPALLYLVPCTLGVTVILGLVRGELKELWNYSPELSSATTNLTGEA >KJB30042 pep chromosome:Graimondii2_0_v6:5:29959181:29970377:-1 gene:B456_005G128500 transcript:KJB30042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPPGIRRRFVVSFPLLLLVTSSFAVVATADGASQDDGPELPACNNPFKLVKVKIWVDGVEGEDLSGITASFGASLPEEANKSSKLPTVFSNPLNGCSNSSSKLTGSVALSIRGDCDFVTKAKVAQSGGASALLVINDNEELYKMVCSENDTSLNISIPVVMIPKSAGDAINKSMEEKHVEFLLYAPTRPIVDFSVIFLWALAVGTIVTASLWQEFGTSENSDERYNELSKESPNAGTGDDDDKEILDISVKGAIVFVITASTFLVLLYFFMSAWFVWLLIVLFCIGGVQGMHTCIMTPIARNCPQKTLNLPLFGEVSIVSFVVALCCLIFAVVWAVNRRESYSWVGQDILGICLMITVLQLARLPNIKVATVLLCCAFVYDIFWVFLSPLIFHQSVMIVVARGDNSGGESIPMLLRVPRAFDPWGGYDMIGFGDILFPGLLVAFAFRYDKAYKKHLASGYFLWLIIGYGFGLLFTYLGLYLMNGHGQPALLYLVPCTLGVTVILGLVRGELKELWNYSPELSSATTNLTGEA >KJB30038 pep chromosome:Graimondii2_0_v6:5:29959179:29970377:-1 gene:B456_005G128500 transcript:KJB30038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPPGIRRRFVVSFPLLLLVTSSFAVVATADGASQDDGPELPACNNPFKLVKVKIWVDGVEGEDLSGITASFGASLPEEANKSSKLPTVFSNPLNGCSNSSSKLTGSVALSIRGDCDFVTKAKVAQSGGASALLVINDNEELYKMVCSENDTSLNISIPVVMIPKSAGDAINKSMEEKHVEFLLYAPTRPIVDFSVIFLWALAVGTIVTASLWQEFGTSENSDERYNELSKESPNAGTGDDDDKEILDISVKGAIVFVITASTFLVLLYFFMSAWFVWLLIVLFCIGGVQGMHTCIMTPIARKCRNCPQKTLNLPLFGEVSIVSFVVALCCLIFAVVWAVNRRESYSWVGQDILGICLMITVLQLARLPNIKVATVLLCCAFVYDIFWVFLSPLIFHQSVMIVVARGDNSGGESIPMLLRVPRAFDPWGGYDMIGFGDILFPGLLVAFAFRYDKAYKKHLASGYFLWLIIGYGFGLLFTYLGLYLMNGHGQPALLYLVPCTLGVTVILGLVRGELKELWNYSPELSSATTNLTGEA >KJB32656 pep chromosome:Graimondii2_0_v6:5:63167121:63172040:-1 gene:B456_005G254100 transcript:KJB32656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPVVDTEYLKEIDKARRDLRAFIALKNCAPIMLRLAWHDAGTYDVSTKTGGPNGSIRNEEEFTHGANSGLKIAIDFCEEVKAKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSNICPREGRLPDAKRDIVALSGGHTLGRAHPERSGFDGPWTNEPLKFDNSYFVELLKGESEGLLKLPTDKALFDDPEFRKYVELYAKDEDAFFRDYAESHKKLSELGFTPTSARSKVMVKDSTVLAQGAVGVAVAAAVVILSYFYEVRKRMK >KJB32658 pep chromosome:Graimondii2_0_v6:5:63167961:63172040:-1 gene:B456_005G254100 transcript:KJB32658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPVVDTEYLKEIDKARRDLRAFIALKNCAPIMLRLAWHDAGTYDVSTKTGGPNGSIRNEEEFTHGANSGLKIAIDFCEEVKAKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSNICPREGRLPDAKRGAPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTNEPLKFDNSYFVELLKGESEGLLKLPTDKALFDDPEFRKYVELYAKVQHHNRMGSFCLTYTSRVI >KJB32657 pep chromosome:Graimondii2_0_v6:5:63167121:63172040:-1 gene:B456_005G254100 transcript:KJB32657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPVVDTEYLKEIDKARRDLRAFIALKNCAPIMLRLAWHDAGTYDVSTKTGGPNGSIRNEEEFTHGANSGLKIAIDFCEEVKAKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSNICPREGRLPDAKRGAPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTNEPLKELLKGESEGLLKLPTDKALFDDPEFRKYVELYAKDEDAFFRDYAESHKKLSELGFTPTSARSKVMVKDSTVLAQGAVGVAVAAAVVILSYFYEVRKRMK >KJB32655 pep chromosome:Graimondii2_0_v6:5:63167118:63172040:-1 gene:B456_005G254100 transcript:KJB32655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPVVDTEYLKEIDKARRDLRAFIALKNCAPIMLRLAWHDAGTYDVSTKTGGPNGSIRNEEEFTHGANSGLKIAIDFCEEVKAKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSNICPREGRLPDAKRGAPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTNEPLKFDNSYFVELLKGESEGLLKLPTDKALFDDPEFRKYVELYAKDEDAFFRDYAESHKKLSELGFTPTSARSKVMVKDSTVLAQGAVGVAVAAAVVILSYFYEVRKRMK >KJB30563 pep chromosome:Graimondii2_0_v6:5:40825000:40827130:-1 gene:B456_005G149000 transcript:KJB30563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIRTEISVLVCCLVFFCLSTSGSPSNGVGSEVIALMGIKSFLVDPIGVLENWDEASPDPCSWSMVTCSADGQVIGLGAPSQGLSGVLAPSIGNLTNIQTVLLQDNNISGNIPSEIGKLSKLQNLDLSNNNFCGQIPTTFSHLKNLEFLRLVTIFLEKFLLLWLILLTFI >KJB31305 pep chromosome:Graimondii2_0_v6:5:54347563:54349308:1 gene:B456_005G185300 transcript:KJB31305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGGGGGGGGGGGGGAAPPPKQDELQPHPVKEQLPGVAYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTSLVPQMGGGNEEKAKMIQTLLFVAGVNTLLQTLFGTRLPAVIGGSYTYMPTTISIILAGRYTDIVNPQEKFEKIMRGIQGALIVASTLQIVLGFSGLWRNVARFLSPLSAVPLVALSGFGLYEFGFPVLAKCIEIGLPEIILLLVFSQYIPHITRGERQVFDRFAVIFSVVIVWIYAHLLTVGGAYKNSGPKTQISCRTDRAGIIGASPW >KJB31304 pep chromosome:Graimondii2_0_v6:5:54347563:54349308:1 gene:B456_005G185300 transcript:KJB31304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGGGGGGGGGGGGGAAPPPKQDELQPHPVKEQLPGVAYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTSLVPQMGGGNEEKAKMIQTLLFVAGVNTLLQTLFGTRLPAVIGGSYTYMPTTISIILAGRYTDIVNPQEKFEKIMRGIQGALIVASTLQIVLGFSGLWRNVASPLSAVPLVALSGFGLYEFGFPVLAKCIEIGLPEIILLLVFSQYIPHITRGERQVFDRFAVIFSVVIVWIYAHLLTVGGAYKNSGPKTQISCRTDRAGIIGASPW >KJB29705 pep chromosome:Graimondii2_0_v6:5:22536109:22537283:-1 gene:B456_005G115200 transcript:KJB29705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLSPSSILQFTLLIQATEENTKFTKKIEILDASEQESNARMEINKADSTLDHVNIVLNYKILANHLTWLPGPFHCNLKRKKSSSKYNQISDYCSYLSCGLYIMLRSNISKIESLLFCSRGSLRPLFSLQVLVQLKLEKFP >KJB29704 pep chromosome:Graimondii2_0_v6:5:22536109:22537785:-1 gene:B456_005G115200 transcript:KJB29704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLSPSSILQFTLLIQATEENTKFTKKIEILDASEQESNARMEINKADSTLDHVNIVLNYKILANHLTWLPGPFHCNLKRKKSSSKYNQISDYCSYLSCGLYIMLRSNISKIESLLFCSRGSLRPLFSLQVLVQLKLEKFP >KJB32246 pep chromosome:Graimondii2_0_v6:5:61391721:61394717:1 gene:B456_005G231500 transcript:KJB32246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYRSVFDAAELRAQLNDAGINTKFMASIWKYVLQNPGCELGEIPDLPSSAYRLLRTKFKPFTSTVHSVFHSTDGVTTKLLIKLQNGAFVEAVIMTYDTRLGKYGGKPRLGGPRSTLCISSQVGCKMGCKFCATGTMGFKNNLTSGEIVEQLVHASLLTNIRNIVFMGMGEPLNNYTALVEAVRVMTGSPFQLSPKRITVSTVGIIHAINKLHSDLPGLNLAVSLHAPVQEIRCQIMPSARAFPLEKLMTALQTYQKNSQQKIFIEYIMLDGMNDEEQQAHQLGKLLETFQVLKSIQNQQ >KJB32243 pep chromosome:Graimondii2_0_v6:5:61391720:61395037:1 gene:B456_005G231500 transcript:KJB32243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYRSVFDAAELRAQLNDAGINTKFMASIWKYVLQNPGCELGEIPDLPSSAYRLLRTKFKPFTSTVHSVFHSTDGVTTKLLIKLQNGAFVEAVIMTYDTRLGKYGGKPRLGGPRSTLCISSQVGCKMGCKFCATGTMGFKNNLTSGEIVEQLVHASLLTNIRNIVFMGMGEPLNNYTALVEAVRVMTGSPFQLSPKRITVSTVGIIHAINKLHSDLPGLNLAVSLHAPVQEIRCQIMPSARAFPLEKLMTALQTYQKNSQQKIFIEYIMLDGMNDEEQQAHQLGKLLETFQVVVNLIPFNPIGSSSQFRTSSDQKVSDFQKILRGTYNIRTTVRKQMGQDISGACGQLVVNLPDKRTRENTSLLTDIEDLRI >KJB32244 pep chromosome:Graimondii2_0_v6:5:61391721:61393314:1 gene:B456_005G231500 transcript:KJB32244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYRSVFDAAELRAQLNDAGINTKFMASIWKYVLQNPGCELGEIPDLPSSAYRLLRTKFKPFTSTVHSVFHSTDGVTTKLLIKLQNGAFVEAVIMTYDTRLGKYGGKPRLGGPRSTLCISSQVGCKMGCKFCATGTMGFKNNLTSGEIVEQLVHASLLTNIRNIVFMGMGEPLNNYTALVEAVRVMTGSPFQLSPKRITVSTVMPPKFVLCRYSYQLYYVYYYPCHSI >KJB32247 pep chromosome:Graimondii2_0_v6:5:61391721:61394717:1 gene:B456_005G231500 transcript:KJB32247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYRSVFDAAELRAQLNDAGINTKFMASIWKYVLQNPGCELGEIPDLPSSAYRLLRTKFKPFTSTVHSVFHSTDGVTTKLLIKLQNGAFVEAVIMTYDTRLGKYGGKPRLGGPRSTLCISSQVGCKMGCKFCATGTMGFKNNLTSGEIVEQLVHASLLTNIRNIVFMGMGEPLNNYTALVEAVRVMTGSPFQLSPKRITVSTVGIIHAINKLHSDLPGLNLAVSLHAPVQEIRCQIMPSARAFPLEKLMTALQTYQKNSQQKIFIEYIMLDGMNDEEQQAHQLGKLLETFQVVVNLIPFNPIGSSSQFRTSSDQKVSDFQKILRGTYNIRTTVRKQMGQDISGACGQLVVNLPDKRTRENTSLLTDIEDLRI >KJB32248 pep chromosome:Graimondii2_0_v6:5:61392232:61394562:1 gene:B456_005G231500 transcript:KJB32248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYDTRLGKYGGKPRLGGPRSTLCISSQVGCKMGCKFCATGTMGFKNNLTSGEIVEQLVHASLLTNIRNIVFMGMGEPLNNYTALVEAVRVMTGSPFQLSPKRITVSTVGIIHAINKLHSDLPGLNLAVSLHAPVQEIRCQIMPSARAFPLEKLMTALQTYQKNSQQKIFIEYIMLDGMNDEEQQAHQLGKLLETFQVVVNLIPFNPIGSSSQFRTSSDQKVSDFQKILRGTYNIRTTVRKQMGQDISGACGQLVVNLPDKRTRENTSLLTDIEDLRI >KJB32245 pep chromosome:Graimondii2_0_v6:5:61391721:61394562:1 gene:B456_005G231500 transcript:KJB32245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYRSVFDAAELRAQLNDAGINTKFMASIWKYVLQNPGCELGEIPDLPSSAYRLLRTKFKPFTSTVHSVFHSTDGVTTKLLIKLQNGAFVEAVIMTYDTRLGKYGGKPRLGGPRSTLCISSQVGCKMGCKFCATGTMGFKNNLTSGEIVEQLVHASLLTNIRNIVFMGMGEPLNNYTALVEAVRVMTGSPFQLSPKRITVSTVGIIHAINKLHSDLPGLNLAVSLHAPVQEIRCQIMPSARAFPLEKLMTALQTYQKNSQQKIFIEYIMLDGMNDEEQQAHQLGKLLETFQVVSSYFSINADGFSVVSLLCTHMCTLVYLFVFSAWWWVVNKVSFSQGCRCRGGNKCGLWRLDRAFLVIL >KJB30091 pep chromosome:Graimondii2_0_v6:5:31594370:31599422:-1 gene:B456_005G129700 transcript:KJB30091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLVLREKKNPSPISVPQTFVDFIGSNLDSNLAMAKERIPDWLNSSLWSTTPSVDGRLHRFSLSPTTTTTTAAAAVSEPIVQPTVPVSPPAAASRPQYTVTSQKYEFRDPIDKNSNNNSNDNDQNASPSGVSPDDISRQAQLLAELSKKVVNFRELRRIASQGIPDGAGIRSTVWKLLLGYLPPDRLQWSSELAKKRSEYKQFKEELLMNPTEITRKLEKSAVDDHSKSESSGLLSRSQVTHGEHPLSLGESSIWNQFFQDTEIIEQIDRDVKRTHPDMHFFSGDLPLAKSNQDALKDILIVFAKLNPGIRYVQGMNEILAPLFYVFRNDPDEEMALLSGFRDHFCKQLDNSTVGIRSTISRLSQFLKEHDEELWRHLEITTKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPEGPLETLLRVCCAMLILVRRRLLAGDFTSNLKLLQNYPSSNISHLLYVANKLRSQASN >KJB30092 pep chromosome:Graimondii2_0_v6:5:31594413:31599422:-1 gene:B456_005G129700 transcript:KJB30092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLVLREKKNPSPISVPQTFVDFIGSNLDSNLAMAKERIPDWLNSSLWSTTPSVDGRLHRFSLSPTTTTTTAAAAVSEPIVQPTVPVSPPAAASRPQYTVTSQKYEFRDPIDKNSNNNSNDNDQNASPSGVSPDDISRQAQLLAELSKKVVNFRELRRIASQGIPDGAGIRSTVWKLLLGYLPPDRLQWSSELAKKRSEYKQFKEELLMNPTEITRKLEKSAVDDHSKSESSGLLSRSQVTHGEHPLSLGESSIWNQFFQDTEIIEQIDRDVKRTHPDMHFFSGDLPLAKSNQDALKDILIVFAKLNPGIRYVQGMNEILAPLFYVFRNDPDEEMASCRLLLKLTHSFVSLSY >KJB30090 pep chromosome:Graimondii2_0_v6:5:31594366:31599422:-1 gene:B456_005G129700 transcript:KJB30090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLVLREKKNPSPISVPQTFVDFIGSNLDSNLAMAKERIPDWLNSSLWSTTPSVDGRLHRFSLSPTTTTTTAAAAVSEPIVQPTVPVSPPAAASRPQYTVTSQKYEFRDPIDKNSNNNSNDNDQNASPSGVSPDDISRQAQLLAELSKKVVNFRELRRIASQGIPDGAGIRSTVWKLLLGYLPPDRLQWSSELAKKRSEYKQFKEELLMNPTEITRKLEKSAVDDHSKSESSGLLSRSQVTHGEHPLSLGESSIWNQFFQDTEIIEQIDRDVKRTHPDMHFFSGDLPLAKSNQDALKDILIVFAKLNPGIRYVQGMNEILAPLFYVFRNDPDEEMAAAAEADSFFCFVELLSGFRDHFCKQLDNSTVGIRSTISRLSQFLKEHDEELWRHLEITTKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPEGPLETLLRVCCAMLILVRRRLLAGDFTSNLKLLQNYPSSNISHLLYVANKLRSQASN >KJB30093 pep chromosome:Graimondii2_0_v6:5:31596515:31599339:-1 gene:B456_005G129700 transcript:KJB30093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKERIPDWLNSSLWSTTPSVDGRLHRFSLSPTTTTTTAAAAVSEPIVQPTVPVSPPAAASRPQYTVTSQKYEFRDPIDKNSNNNSNDNDQNASPSGVSPDDISRQAQLLAELSKKVVNFRELRRIASQGIPDGAGIRSTVWKLLLGYLPPDRLQWSSELAKKRSEYKQFKEELLMNPTEITRKLEKSAVDDHSKSESSGLLSRSQVTHGEHPLSLGESSIWNQFFQDTEIIEQIDRDVKRTHPDMHFFSGDLPLAKSNQVYIFFLVVLLFHPLDSSSDMNRSHF >KJB29475 pep chromosome:Graimondii2_0_v6:5:17408161:17409290:-1 gene:B456_005G102400 transcript:KJB29475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAITSNFHRTFIPISFSSSSVILSSPLYQTTSLRVRFCALTTGPDVGRTASQESSGADLLRKPSIVPDEDSGGLSEEEDGSKEKGNKGEWIDWEDRILQDTVPLVGFVRMILHSGKYGSGDRLSPEHEKTILERLLPYHPESEKKIGCGIDYITVCFCTLSAF >KJB29473 pep chromosome:Graimondii2_0_v6:5:17405726:17409348:-1 gene:B456_005G102400 transcript:KJB29473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAITSNFHRTFIPISFSSSSVILSSPLYQTTSLRVRFCALTTGPDVGRTASQESSGADLLRKPSIVPDEDSGGLSEEEDGSKEKGNKGEWIDWEDRILQDTVPLVGFVRMILHSGKYGSGDRLSPEHEKTILERLLPYHPESEKKIGCGIDYITVGYHLEFVGSRCLFIVRKDGELVDFSYWKCIKGLIRKNYPLYADSFILRNFQRPRRSS >KJB29474 pep chromosome:Graimondii2_0_v6:5:17408153:17409314:-1 gene:B456_005G102400 transcript:KJB29474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAITSNFHRTFIPISFSSSSVILSSPLYQTTSLRVRFCALTTGPDVGRTASQESSGADLLRKPSIVPDEDSGGLSEEEDGSKEKGNKGEWIDWEDRILQDTVPLVGFVRMILHSGKYGSGDRLSPEHEKTILERLLPYHPESEKKIGCGIDYITSCSKTEGVKGQAFRNVGESPLPSRRSCCLVGFQFRLEIFGRFGICTDGNIPHSTPIAFPFPLLSC >KJB29472 pep chromosome:Graimondii2_0_v6:5:17406862:17409314:-1 gene:B456_005G102400 transcript:KJB29472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAITSNFHRTFIPISFSSSSVILSSPLYQTTSLRVRFCALTTGPDVGRTASQESSGADLLRKPSIVPDEDSGGLSEEEDGSKEKGNKGEWIDWEDRILQDTVPLVGFVRMILHSGKYGSGDRLSPEHEKTILERLLPYHPESEKKIGCGIDYITVGYHLEFVGSRCLFIVRKDGELVDFSYWKCIKGLIRKNYPLYADSFILRNFQRPRRSS >KJB29622 pep chromosome:Graimondii2_0_v6:5:20308610:20314000:-1 gene:B456_005G110800 transcript:KJB29622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLLSSTQKYAASALFAVALHHSQLHQTRPTTRLLSLEEEPIGEGASNSSTISVSDFPHLWIHDNSGLLLPVFRFMEVEDQAWEGLKETAGVSTQARHHVGSFMTLLSETNDEVSSGIREKELALLKAVDATMLSMESSLVLSEDSDKSCDYETHCRQRCAYPDTTTATDPNGRIPRTTPNISNNEDVDGSGFRFFEKPIEEGKLLSEERKLTVLYELLSSCVADYVTDGGSKGYDARHRVALRLLATWLNVKWTKMEAMEIIVACNLIVRLKNEDAEQFGKSKNDKWKQAGIIGASALTGGSLVALTGVGILISGIAFEEEDFLRPWENYNDNLERYVLKWESKNLIALNAGIMEWITSKVASNLLQGGAMLTVLSTLLAEEPLPAALLAASDLIDSAWAIAVDRSDKAGKLLAELLISGLQGHRPVTLVGFSLGARVILKCLQCLAETQGDNAGLVERVVLLGAPIPIKDEKWEDARKMVAGRFVNVYHTNDWTLGIIFRASVLSTGLAGIQPVQLRGIENVDATEYVEGHSSYLWMTKEILRQLDVDNYNAIFRTTQQAKPLQDQTKQTNIVFI >KJB29620 pep chromosome:Graimondii2_0_v6:5:20308528:20314092:-1 gene:B456_005G110800 transcript:KJB29620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLLSSTQKYAASALFAVALHHSQLHQTRPTTRLLSLEEEPIGEGASNSSTISVSDFPHLWIHDNSGLLLPVFRFMEVEDQAWEGLKETAGVSTQARHHVGSFMTLLSETNDEVSSGIREKELALLKAVDATMLSMESSLVLSEDSDKSCDYETHCRQRCAYPDTTTATDPNGRIPRTTPNISNNEDVDGSGFRFFEKPIEEGKLLSEERKLTVLYELLSSCVADYVTDGGSKGYDARHRVALRLLATWLNVKWTKMEAMEIIVACNLIVRLKNEDAEQFGKSKNDKWKQAGIIGASALTGGSLVALTGGLAAPVVGHGLGALAATLGSIVPAVGVGGFALAASATGPISGSVAVAASFGAAGAGLAGSRTVIRIEDLDEFEFIQTGLYHNQGRLAVGILISGIAFEEEDFLRPWENYNDNLERYVLKWESKNLIALNAGIMEWITSKVASNLLQGGAMLTVLSTLLAEEPLPAALLAASDLIDSAWAIAVDRSDKAGKLLAELLISGLQGHRPVTLVGFSLGARVILKCLQCLAETQGDNAGLVERVVLLGAPIPIKDEKWEDARKMVAGRFVNVYHTNDWTLGIIFRASVLSTGLAGIQPVQLRGIENVDATEYVEGHSSYLWMTKEILRQLDVDNYNAIFRTTQQAKPLQDQTKQTNIVFI >KJB29621 pep chromosome:Graimondii2_0_v6:5:20308610:20313987:-1 gene:B456_005G110800 transcript:KJB29621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLLSSTQKYAASALFAVALHHSQLHQTRPTTRLLSLEEEPIGEGASNSSTISVSDFPHLWIHDNSGLLLPVFRFMEVEDQAWEGLKETAGVSTQARHHVGSFMTLLSETNDEVSSGIREKELALLKAVDATMLSMESSLVLSEDSDKSCDYETHCRQRCAYPDTTTATDPNGRIPRTTPNISNNEDVDGSGFRFFEKPIEEGKLLSEERKLTVLYELLSSCVADYVTDGGSKGYDARHRVALRLLATWLNVKWTKMEAMEIIVACNLIVRLKNEDAEQFGKSKNDKWKQAGIIGASALTGGSLVALTGDPASIGLAAPVVGHGLGALAATLGSIVPAVGVGGFALAASATGPISGSVAVAASFGAAGAGLAGSRTVIRIEDLDEFEFIQTGLYHNQGRLAVGILISGIAFEEEDFLRPWENYNDNLERYVLKWESKNLIALNAGIMEWITSKVASNLLQGGAMLTVLSTLLAEEPLPAALLAASDLIDSAWAIAVDRSDKAGKLLAELLISGLQGHRPVTLVGFSLGARVILKCLQCLAETQGDNAGLVERVVLLGAPIPIKDEKWEDARKMVAGRFVNVYHTNDWTLGIIFRASVLSTGLAGIQPVQLRGIENVDATEYVEGHSSYLWMTKEILRQLDVDNYNAIFRTTQQAKPLQDQTKQTNIVFI >KJB29619 pep chromosome:Graimondii2_0_v6:5:20308516:20314092:-1 gene:B456_005G110800 transcript:KJB29619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLLSSTQKYAASALFAVALHHSQLHQTRPTTRLLSLEEEPIGEGASNSSTISVSDFPHLWIHDNSGLLLPVFRFMEVEDQAWEGLKETAGVSTQARHHVGSFMTLLSETNDEVSSGIREKELALLKAVDATMLSMESSLVLSEDSDKSCDYETHCRQRCAYPDTTTATDPNGRIPRTTPNISNNEDVDGSGFRFFEKPIEEGKLLSEERKLTVLYELLSSCVADYVTDGGSKGYDARHRVALRLLATWLNVKWTKMEAMEIIVACNLIVRLKNEDAEQFGKSKNDKWKQAGIIGASALTGGSLVALTGAAGAGLAGSRTVIRIEDLDEFEFIQTGLYHNQGRLAVGILISGIAFEEEDFLRPWENYNDNLERYVLKWESKNLIALNAGIMEWITSKVASNLLQGGAMLTVLSTLLAEEPLPAALLAASDLIDSAWAIAVDRSDKAGKLLAELLISGLQGHRPVTLVGFSLGARVILKCLQCLAETQGDNAGLVERVVLLGAPIPIKDEKWEDARKMVAGRFVNVYHTNDWTLGIIFRASVLSTGLAGIQPVQLRGIENVDATEYVEGHSSYLWMTKEILRQLDVDNYNAIFRTTQQAKPLQDQTKQTNIVFI >KJB32362 pep chromosome:Graimondii2_0_v6:5:61875516:61877238:-1 gene:B456_005G237100 transcript:KJB32362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTQEQQSQAGRHQEVGHKSLLQTDDLYQYILETSVYPREPEPMKELRELTAKHPWLLINAKNTMEIGVYTGYSLLATALALPHDGKILAMDINRENYELGLPVIQKAGVAHKIEFKESPAMPVLDELLQDEVDRTGQSGQEVDRTGQSGWLDRLRQHAVEWVGGGAGGCSAPEVRQVL >KJB32360 pep chromosome:Graimondii2_0_v6:5:61875516:61876386:-1 gene:B456_005G237100 transcript:KJB32360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINRENYELGLPVIQKAGVAHKIEFKESPAMPVLDELLQDEKNHGSYDFIFVDADKDNYLNYHRRLIELVKVDKRSIELAKVGGLIGYDNTLWNGSVVAPADAPLRKFVRYYRDFVMELNKALAVDPRIEICMLPVGDGITLCRRLK >KJB32361 pep chromosome:Graimondii2_0_v6:5:61875763:61877238:-1 gene:B456_005G237100 transcript:KJB32361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTQEQQSQAGRHQEVGHKSLLQTDDLYQYILETSVYPREPEPMKELRELTAKHPWLLINAKNTMEIGVYTGYSLLATALALPHDGKILAMDINRENYELGLPVIQKAGVAHKIEFKESPAMPVLDELLQDEKNHGSYDFIFVDADKDNYLNYHRRLIELVKVDKRSIELAKVGGLIGYDNTLWNGSVVAPADAPLRKFVRYYRDFVMELNKALAVDPRIEICMLPVGDGITLCRRLK >KJB32285 pep chromosome:Graimondii2_0_v6:5:61550697:61554250:-1 gene:B456_005G233300 transcript:KJB32285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERLALPLLLPNPPPVKTPLSNHTHFTQQNPTSQPNSPAPSTPVFQDLLLRHNSKSQQPIDPQVRTRNRLGRSRDVNRGKRWTHNNLSAQGQQVLNSLIEPSFDSNQLDVVLSKLFEQYQENPDVKADFLAAEVVGIVKALGFYKKSNLALGVFNWVRARNDCGLVLNSSVVAIIISMLGKEGRVSVAANLFNGLHKEGFSLDVYAYTSLITAYAGSGRYREAMVVFKKMEEEGCKPTLITYNVVLNVYGKMGMPWSKVMALFDGMKSDGIAPDAYTYNTLISSCRRGSLHEEAASVFDEMKLAGFTPDNVTYNALLDVYGKSRRPKEAMEVLKEMELNGFAPSTVTYNSLISAYARDGLLQEAMDLKTQMEGKGIKPDVFTYTTLLSGFAKAGKDESAMAVFEEMRTSGCKPNICTFNALIKMHGNRGKFTEMMKIFDEIKACNGAPDIVTWNTLLAVFGQNGMDVEVSGVFKEMKRAGFVPERDTFNTLISAYSRCGAFDQAMAIYKRMLEAGVTPDLSTYNAVLAALARGGLWKQSEKILAEMRDGRCKPNELTYCSLLHVYANGKQVDRMHALAEEIYSGIIEPHAVLLKTLVLVNSKCDLLADTERAFLELRKKGFPPDITTLNAMLSIYGRRQMVSKTNEILNFMNECGYTPSLTTYNSLMYMYSRSEKFEESEQILREVQAKGIKPDIISYNTVIYAYCRNGRMKEASRIFSEMGDSGLVPDVITYNTFVASYAADSLFEEAIDVVQFMIKHGCKPNQNTYNSIVDGYCKLNQRDEAKTFIDNLQKLDPHISKDEEIRLSERVVEKWS >KJB31327 pep chromosome:Graimondii2_0_v6:5:54560842:54562297:1 gene:B456_005G186700 transcript:KJB31327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCLEASLSPLSIPEYVLGCSGRRMPLLGFGTAASPPVGSQLTKTAILQAIELGYRHFDTASLYGTEQPLGEAILEAIAVGLIKSRDELFITSKLWCSDAHGELVLPALQRSLKNLRLEHLDLYLIHWPVSSKPGIYEFPIKREDFLAMDFKAVWKAMEDCQRLGLTKSIGVSNFSCKKLGDILAFAKIPPAVNQVELNPLWQQKKLREFCKANGILLTAYAPLGAQGTIWGSNRVLECELLKEIAKQKGKTVAQVHFALFVSSILL >KJB31326 pep chromosome:Graimondii2_0_v6:5:54560392:54562425:1 gene:B456_005G186700 transcript:KJB31326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCLEASLSPLSIPEYVLGCSGRRMPLLGFGTAASPPVGSQLTKTAILQAIELGYRHFDTASLYGTEQPLGEAILEAIAVGLIKSRDELFITSKLWCSDAHGELVLPALQRSLKNLRLEHLDLYLIHWPVSSKPGIYEFPIKREDFLAMDFKAVWKAMEDCQRLGLTKSIGVSNFSCKKLGDILAFAKIPPAVNQVELNPLWQQKKLREFCKANGILLTAYAPLGAQGTIWGSNRVLECELLKEIAKQKGKTVAQICLRWAYEQGIGILVKSFNKDRMKSNLEIFNWSLSQEDVKKINDIPQSRLCSGQDYISKYGPFNTTQELWDGEI >KJB31982 pep chromosome:Graimondii2_0_v6:5:60013235:60014931:1 gene:B456_005G217200 transcript:KJB31982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFCPHFCIRSCLQPISLLFIPPSNSTTTVTDHEMPLHHFIFSFLTLAFCATSSVAAAAAAAAASKEQTLNTQDAEFFNPKLPPRTLSSSKRFEGSSNLVDLRYHMGPVLSSSPINIYLIWYGRWSLSQKLLIKDFITSISPSATPSPSPSVSEWWKTVSLYTDQTGANVSRTLVVAKEHSDARYSHGYHLTRLSVQQVIATAVKAAPFPVDHRNGIYLILTSHDVTVQDFCRAVCGFHYFTFPSMVGYTMPYAWIGNSGKQCPEVCAYPFAVPGYMGGGGPGALLPPNGDVGLDGMISVIAHELAELTTNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGQVIRDRKGRTYNMNGNKGRKFLVQWIWSPAVKACAGPNALD >KJB31983 pep chromosome:Graimondii2_0_v6:5:60013532:60014845:1 gene:B456_005G217200 transcript:KJB31983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHHFIFSFLTLAFCATSSVAAAAAAAAASKEQTLNTQDAEFFNPKLPPRTLSSSKRFEGSSNLVDLRYHMGPVLSSSPINIYLIWYGRWSLSQKLLIKDFITSISPSATPSPSPSVSEWWKTVSLYTDQTGANVSRTLVVAKEHSDARYSHGYHLTRLSVQQVIATAVKAAPFPVDHRNGIYLILTSHDVTVQDFCRAVCGFHYFTFPSMVGYTMPYAWIGNSGKQCPEVCAYPFAVPGYMGGGGPGALLPPNGDVGLDGMISVIAHELAELTTNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGQVIRDRKGRTYNMNGNKGRKFLVQWIWSPAVKACAGV >KJB28008 pep chromosome:Graimondii2_0_v6:5:1861602:1864591:-1 gene:B456_005G022200 transcript:KJB28008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTATLGSVSFLSQLFNPDVSSLSCFVHHTKLSTLYSKPSLLRKPSSSSLYHLKVSASSASANPKTDNSQQAEAAVTAILDNSVSTDELKPAPAPARRSADWKAARAYLHSGFIYGGRVEGFNGGGLLVRFYSLVGFLPFPQLSPSHSCKEPNKTIHQIAKGLVGSILSVKVIQAEEESRKLIFSEKEAVWTKFSTQINVGDVFEGKVGSVEDYGAFVHLRFPDGLYHLTGLVHVSEVSWDLVQDVRDILTEGDDVRVKVVNIDRGKSRLTLSIKQLEEDPLLETLDKVIPQDGSADSDSLTTSNSSTIEPLPGLDAIFKELLQEDGINDVRISRQGFEKRVVSQDLQLWLSNVSYSVLDHT >KJB28010 pep chromosome:Graimondii2_0_v6:5:1862633:1864591:-1 gene:B456_005G022200 transcript:KJB28010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTATLGSVSFLSQLFNPDVSSLSCFVHHTKLSTLYSKPSLLRKPSSSSLYHLKVSASSASANPKTDNSQQAEAAVTAILDNSVSTDELKPAPAPARRSADWKAARAYLHSGFIYGGRVEGFNGGGLLVRFYSLVGFLPFPQLSPSHSCKEPNKTIHQIAKGLVGSILSVKVIQAEEESRKLIFSEKEAVWTKFSTQINVGDVFEGKVGSVEDYGAFVHLRFPDGLYHLTGLVHVSEVSWDLVQDVRDILTEGDDVRVKVVNIDR >KJB28006 pep chromosome:Graimondii2_0_v6:5:1861602:1864619:-1 gene:B456_005G022200 transcript:KJB28006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTATLGSVSFLSQLFNPDVSSLSCFVHHTKLSTLYSKPSLLRKPSSSSLYHLKVSASSASANPKTDNSQQAEAAVTAILDNSVSTDELKPAPAPARRSADWKAARAYLHSGFIYGGRVEGFNGGGLLVRFYSLVGFLPFPQLSPSHSCKEPNKTIHQIAKGLVGSILSVKVIQAEEESRKLIFSEKEAVWTKFSTQINVGDVFEGKVGSVEDYGAFVHLRFPDGLYHLTGLVHVSEVSWDLVQDVRDILTEGDDVRVKVVNIDRGKSRLTLSIKQLEEDPLLETLDKVIPQDGSADSDSLTTSNSSTIEPLPGLDAIFKELLQEDGINDVRISRQGFEKRVVSQDLQLWLSNAPPSDNMFTLLARAGRQVQEIQLATSLDQEGIKKALQRVLERVP >KJB28009 pep chromosome:Graimondii2_0_v6:5:1859564:1864726:-1 gene:B456_005G022200 transcript:KJB28009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTATLGSVSFLSQLFNPDVSSLSCFVHHTKLSTLYSKPSLLRKPSSSSLYHLKVSASSASANPKTDNSQQAEAAVTAILDNSVSTDELKPAPAPARRSADWKAARAYLHSGFIYGGRVEGFNGGGLLVRFYSLVGFLPFPQLSPSHSCKEPNKTIHQIAKGLVGSILSVKVIQAEEESRKLIFSEKEAVWTKFSTQINVGDVFEGKVGSVEDYGAFVHLRFPDGLYHLTGLVHVSEVSWDLVQDVRDILTEGDDVRVKVVNIDRGKSRLTLSIKQLEEDPLLETLDKVIPQDGSADSDSLTTSNSSTIEPLPGLDAIFKELLQEDGINDVRISRQGFEKRVVSQDLQLWLSNAPPSDNMFTLLARAGRQVQEIQLATSLDQEGIKKALQRVLERVP >KJB28007 pep chromosome:Graimondii2_0_v6:5:1860672:1864726:-1 gene:B456_005G022200 transcript:KJB28007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTATLGSVSFLSQLFNPDVSSLSCFVHHTKLSTLYSKPSLLRKPSSSSLYHLKVSASSASANPKTDNSQQAEAAVTAILDNSVSTDELKPAPAPARRSADWKAARAYLHSGFIYGGRVEGFNGGGLLVRFYSLVGFLPFPQLSPSHSCKEPNKTIHQIAKGLVGSILSVKVIQAEEESRKLIFSEKEAVWTKFSTQINVGDVFEGKVGSVEDYGAFVHLRFPDGLYHLTGLVHVSEVSWDLVQDVRDILTEGDDVRVKVVNIDRGKSRLTLSIKQLEEDPLLETLDKVIPQDGSADSDSLTTSNSSTIEPLPGLDAIFKELLQEDGINDVRISRQGFEKRVVSQDLQLWLSNAPPSDNMFTLLARAGRQVQEIQLATSLDQEGIKKALQRVLERVP >KJB30655 pep chromosome:Graimondii2_0_v6:5:43360836:43365931:1 gene:B456_005G154000 transcript:KJB30655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFESPLATSARTSTSCNVLLRELQQIWSDIGESEADKDRMLLELERECLEVYRRKVEEAANAKARLHQSVAAKEAEVATLMAALGELNLHSPIQKEKKMAPLKEKLASISPLLEDLRTKKEERRKQFADIKNQIEKISGEISGYNYPNDTMISCLTLEDQDLSLRRLTEFQTRLQTLQKEKSDRLHKVLEYVNEVHLLCGVLGLDFAQTVSDVHPSLQRANQEQSTNISNGTFEGLEQTINKLKTERRIRMQKLKDIAGQLFELWNLMDSPQAEKNVFSRATSILRLSEPEVTEPGVLSTEMIEQASAEVERLTKLKASRMKELVLKRRSELEDICRMTHIKPDASTSVEKSNALIDSGLVDPSELLANIEAQITKVKDEALSRKDIMDRIDRWLLACEEENWLEDYNQDENRYNAGRGAHINLKRAEKARITVTKIPAIVDNLINRTFAWEEEKRALFLYDGERLVSILEDYKLTRKQREEEKKRCRDQKKIQDLLLTEREAIYGSKPSPRKSNSFRKTNGYRANGNGSMTPSTPRRNSVGGATPELLTPRSYSGRQNGYFKEMRRLSTAPINFVAISKEDTVSTYTSVCDSELGSPPHS >KJB30657 pep chromosome:Graimondii2_0_v6:5:43361902:43365931:1 gene:B456_005G154000 transcript:KJB30657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLELERECLEVYRRKVEEAANAKARLHQSVAAKEAEVATLMAALGELNLHSPIQKEKKMAPLKEKLASISPLLEDLRTKKEERRKQFADIKNQIEKISGEISGYNYPNDTMISCLTLEDQDLSLRRLTEFQTRLQTLQKEKSDRLHKVLEYVNEVHLLCGVLGLDFAQTVSDVHPSLQRANQEQSTNISNGTFEGLEQTINKLKTERRIRMQKLKDIAGQLFELWNLMDSPQAEKNVFSRATSILRLSEPEVTEPGVLSTEMIEQASAEVERLTKLKASRMKELVLKRRSELEDICRMTHIKPDASTSVEKSNALIDSGLVDPSELLANIEAQITKVKDEALSRKDIMDRIDRWLLACEEENWLEDYNQDENRYNAGRGAHINLKRAEKARITVTKIPAIVDNLINRTFAWEEEKRALFLYDGERLVSILEDYKLTRKQREEEKKRCRDQKKIQDLLLTEREAIYGSKPSPRKSNSFRKTNGYRANGNGSMTPSTPRRNSVGGATPELLTPRSYSGRQNGYFKEMRRLSTAPINFVAISKEDTVSTYTSVCDSELGSPPHS >KJB30656 pep chromosome:Graimondii2_0_v6:5:43361817:43365924:1 gene:B456_005G154000 transcript:KJB30656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLELERECLEVYRRKVEEAANAKARLHQSVAAKEAEVATLMAALGELNLHSPIQKEKKMAPLKEKLASISPLLEDLRTKKEERRKQFADIKNQIEKISGEISGYNYPNDTMISCLTLEDQDLSLRRLTEFQTRLQTLQKEKSDRLHKVLEYVNEVHLLCGVLGLDFAQTVSDVHPSLQRANQEQSTNISNGTFEGLEQTINKLKTERRIRMQKLKDIAGQLFELWNLMDSPQAEKNVFSRATSILRLSEPEVTEPGVLSTEMIEQASAEVERLTKLKASRMKELVLKRRSELEDICRMTHIKPDASTSVEKSNALIDSGLVDPSELLANIEAQITKVKDEALSRKDIMDRIDRWLLACEEENWLEDYNQDENRYNAGRGAHINLKRAEKARITVTKIPAIVDNLINRTFAWEEEKRALFLYDGERLVSILEDYKLTRKQREEEKKRCRDQKKIQDLLLTEREAIYGSKPSPRKSNSFRKTNGYRANGNGSMTPSTPRRNSVGGATPELLTPRSYSGRQNGYFKEMRRLSTAPINFVAISKEDTVSTYTSVCDSELGSPPHS >KJB30654 pep chromosome:Graimondii2_0_v6:5:43360909:43365924:1 gene:B456_005G154000 transcript:KJB30654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFESPLATSARTSTSCNVLLRELQQIWSDIGESEADKDRMLLELERECLEVYRRKVEEAANAKARLHQSVAAKEAEVATLMAALGELNLHSPIQKEKKMAPLKEKLASISPLLEDLRTKKEERRKQFADIKNQIEKISGEISGYNYPNDTMISCLTLEDQDLSLRRLTEFQTRLQTLQKEKSDRLHKVLEYVNEVHLLCGVLGLDFAQTVSDVHPSLQRANQEQSTNISNGTFEGLEQTINKLKTERRIRMQKLKDIAGQLFELWNLMDSPQAEKNVFSRATSILRLSEPEVTEPGVLSTEMIEQASAEVERLTKLKASRMKELVLKRRSELEDICRMTHIKPDASTSVEKSNALIDSGLVDPSELLANIEAQITKVKDEALSRKDIMDRIDRWLLACEEENWLEDYNQDENRYNAGRGAHINLKRAEKARITVTKIPAIVDNLINRTFAWEEEKRALFLYDGERLVSILEDYKLTRKQREEEKKRCRDQKKIQDLLLTEREAIYGSKPSPRKSNSFRKTNGYRANGNGSMTPSTPRRNSVGGATPELLTPRSYSGRQNGYFKEMRRLSTAPINFVAISKEDTVSTYTSVCDSELGSPPHS >KJB29118 pep chromosome:Graimondii2_0_v6:5:10528425:10531068:-1 gene:B456_005G085200 transcript:KJB29118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMELDHQYYGRLLQSCTTRNSILLGKQLHLFFLKKGILGSTLTVGNRLLQMYARCGTMAETWKLFDEMPQRNCFSWNTLIEGYMKSGNKEKSLELFQLMPHKNDFSWNLVISGFAKAGELEVAGALFDDMPKKNGFACYSMIHGYARNGEAKKAIELFKESGSLGDAFVLATVIGACVDLGAIEYGKQIHAHMVVAGIELDPVLCSSLINLYGKCGDLDGASRVLNLMTEPDDFSLSALISGYATCGRMTDARRIFDRKSDPSVVLWNSLISGHVLNNEEIKALALFNKMREKGVREDFSSIAVILRACSSLYILEHVKQMHGHAHKVGAVSDVIVASTLIDSYSKFGKPNDACKLFSELEAYDTILLNCMITVYSSCGRIEDAKQIFMTMPNKSLISWNSMIVGLSQNGCPVEALDLFFKMNKLNLRIDKFTLASAISACASISSIELGDQVFAKATHIGLESDQIISTSLVDFYCKCGLVEYGRKIFDTMTKSDEISWNSMLMGYATNGHGFEALLLFNEMINAGVAPTDVTFIAVLSACDHCGLLEEGRKWFNSMKRDYHYDPGIEHYSCMVDLFARVGCLEEAMDLIGEMPFKADASLWLSVLRGCVAHGDKTLGKEVAERIIELDPGNSSAYVQLSSLFATSGEWETSAIVRKIMREKQIQKNPGFSWADS >KJB29119 pep chromosome:Graimondii2_0_v6:5:10528213:10531068:-1 gene:B456_005G085200 transcript:KJB29119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMELDHQYYGRLLQSCTTRNSILLGKQLHLFFLKKGILGSTLTVGNRLLQMYARCGTMAETWKLFDEMPQRNCFSWNTLIEGYMKSGNKEKSLELFQLMPHKNDFSWNLVISGFAKAGELEVAGALFDDMPKKNGFACYSMIHGYARNGEAKKAIELFKESGSLGDAFVLATVIGACVDLGAIEYGKQIHAHMVVAGIELDPVLCSSLINLYGKCGDLDGASRVLNLMTEPDDFSLSALISGYATCGRMTDARRIFDRKSDPSVVLWNSLISGHVLNNEEIKALALFNKMREKGVREDFSSIAVILRACSSLYILEHVKQMHGHAHKVGAVSDVIVASTLIDSYSKFGKPNDACKLFSELEAYDTILLNCMITVYSSCGRIEDAKQIFMTMPNKSLISWNSMIVGLSQNGCPVEALDLFFKMNKLNLRIDKFTLASAISACASISSIELGDQVFAKATHIGLESDQIISTSLVDFYCKCGLVEYGRKIFDTMTKSDEISWNSMLMGYATNGHGFEALLLFNEMINAGVAPTDVTFIAVLSACDHCGLLEEGRKWFNSMKRDYHYDPGIEHYSCMVDLFARVGCLEEAMDLIGEMPFKADASLWLSVLRGCVAHGDKTLGKEVAERIIELDPGNSSAYVQLSSLFATSGEWETSAIVRKIMREKQIQKNPGFSWADS >KJB31021 pep chromosome:Graimondii2_0_v6:5:50258263:50259553:-1 gene:B456_005G172900 transcript:KJB31021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEVEVILEEIIKPSSPTPQQFRHYQLSSLDQQIPPVYNHLVLFYPATTNAQTYNFKLSVSQALTHFYPLAGRIKNNSIVDCNDEGIPFKEAQVKCRLSDFLHDPLPQQLNKLYPFPLDDAAELPMGIQFNTFLCGGIGIGVCVSHKIGDALSFFTFLNSWAAIARGDTKNVVLPELVSAKLFPPRNVSVPEPVMEKSEKNIATKRLVFSASKIEEIRAKYAVDHEIRPSRIEALSAFIWSRFIASTKEKPSPNGFYVIFHTVNIRTKFEPPLSAQSFGNIFRLAVTVPSLDNGKDDGSKLVSQIRDSIRKIDKEYVRKLQAGEDLFESTNQGDEKGETIPLVFTSLCRFPLYEADFGWGKPVWIGSASLSAKNLVVFMDTATGDGIEAWINLKEEDVAKFGSDEELLATFKSTVVWE >KJB31710 pep chromosome:Graimondii2_0_v6:5:58212442:58220394:1 gene:B456_005G203800 transcript:KJB31710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIELDEARLQGQAEIWCYMFSFADSTALKSAMELRIADIIYSYGGTATSSQIASCINDSLTSPDITTLARIMRSLVRRKIFTIHPPSNGGDPLYNLTHSLRWLLHDSEQTLAPMVLMQNHPWQMAPWHYFSQCVKEGGVAFKKAHGCEIWDLASRNLDFNKLFNDSMACTSKFVTSAILSGYKQGFNSIGSLVDVGGGTGGLISEIVKVHPPIKGVNFDLPHVISTAPGYNGVSHIDGDMFHAIPNTDAIIMKVISRFRALNSMDACGEVAIIEGVASNFS >KJB32160 pep chromosome:Graimondii2_0_v6:5:60931213:60940609:-1 gene:B456_005G226900 transcript:KJB32160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNIECSSELNEQPQMLPPPPGTFIDREELIQHVGEFAVSQGYVVTIKQSKRDKVVVLGCDRGGVYRNRRKPIDESAAECIRRRRTGSRLTNCPFEVVGKKDDGLWVLTVKNGTHNHEPLKDIAEHPSARRFSEKEVLLIKEMTEAGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNMSVRNYKSLKPQKSAVRNNYLSVMEPSWRQHNPQRVPNLIGGRFVDSQSFASIDVINPATQEVVSQVPLTTNEEFRAAVFAAKRAFPSWRNTPSTIRQRIMFKFQELIRRDIDKLAMNITNEHGKALKDAYDDVLCGLEVVEHACGLANLQIGEFVSNISNGVDSYSIREPLGVCAGICPFEFPAMIPLWMFSTAVTCGNTVILKPSEKDPGAAVMLAELAVEAGLPNGVLNIVHGSNEIISTICDDDDIKAVSFVGPSTAGSYVYSRASAKGKRVQCNFGAKNHAVVMPDASMDTTLNALVTAGFGGAGQKRMSLSTVVFVGGLTPWEDKLVERAKALRVQPGTEPDTDIGPVISKQAKELICRLIQSSVDSGAKLVVDGRNILVPGYEHGNFIGPTILSNVKVSMECYKLIQEETCGPVLLCMEADNIEEAIDIVNRNKYGNGASIFTTSGVTARKFQTEIEVGQVGINIPISAPFPFSCFTSLKPLFAGDINFDGKAGIQFYTQIKTVSQQWKDVAAGTSSDV >KJB32158 pep chromosome:Graimondii2_0_v6:5:60931081:60939928:-1 gene:B456_005G226900 transcript:KJB32158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNIECSSELNEQPQMLPPPPGTFIDREELIQHVGEFAVSQGYVVTIKQSKRDKVVVLGCDRGGVYRNRRKPIDESAAECIRRRRTGSRLTNCPFEVVGKKDDGLWVLTVKNGTHNHEPLKDIAEHPSARRFSEKEVLLIKEMTEAGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNMSVRNYKSLKPQKSAVRNNYLSVMEPSWRQHNPQRVPNLIGGRFVDSQSFASIDVINPATQEVVSQVPLTTNEEFRAAVFAAKRAFPSWRNTPSTIRQRIMFKFQELIRRDIDKLAMNITNEHGKALKDAYDDVLCGLEVVEHACGLANLQIGEFVSNISNGVDSYSIREPLGVCAGICPFEFPAMIPLWMFSTAVTCGNTVILKPSEKDPGAAVMLAELAVEAGLPNGVLNIVHGSNEIISTICDDDDIKAVSFVGPSTAGSYVYSRASAKGKRVQCNFGAKNHAVVMPDASMDTTLNALVTAGFGGAGQKRMSLSTVVFVGGLTPWEDKLVERAKALRVQPGTEPDTDIGPVISKQAKELICRLIQSSVDSGAKLVVDGRNILVPGYEHGNFIGPTILSNVKVSMECYKEETCGPVLLCMEADNIEEAIDIVNRNKYGNGASIFTTSGVTARKFQTEIEVGQVGINIPISAPFPFSCFTSLKPLFAGDINFDGKAGIQFYTQIKTVSQQWKDVAAGTSSDV >KJB32159 pep chromosome:Graimondii2_0_v6:5:60931081:60940609:-1 gene:B456_005G226900 transcript:KJB32159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNIECSSELNEQPQMLPPPPGTFIDREELIQHVGEFAVSQGYVVTIKQSKRDKVVVLGCDRGGVYRNRRKPIDESAAECIRRRRTGSRLTNCPFEVVGKKDDGLWVLTVKNGTHNHEPLKDIAEHPSARRFSEKEVLLIKEMTEAGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNMSVRNYKSLKPQKSAVRNNYLSVMEPSWRQHNPQRVPNLIGGRFVDSQSFASIDVINPATQEVVSQVPLTTNEEFRAAVFAAKRAFPSWRNTPSTIRQRIMFKFQELIRRDIDKLAMNITNEHGKALKDAYDDVLCGLEVVEHACGLANLQIGEFVSNISNGVDSYSIREPLGVCAGICPFEFPAMIPLWMFSTAVTCGNTVILKPSEKDPGAAVMLAELAVEAGLPNGVLNIVHGSNEIISTICDDDDIKAVSFVGPSTAGSYVYSRASAKGKRVQCNFGAKNHAVVMPDASMDTTLNALVTAGFGGAGQKRMSLSTVVFVGGLTPWEDKLVERAKALRVQPGTEPDTDIGPVISKQAKELICRLIQSSVDSGAKLVVDGRNILVPGYEHGNFIGPTILSNVKVSMECYKEETCGPVLLCMEADNIEEAIDIVNRNKYGNGASIFTTSGVTARKFQTEIEVGQVGINIPISAPFPFSCFTSLKPLFAGDINFDGKAGIQFYTQIKTVSQQWKDVAAGTSSDV >KJB29450 pep chromosome:Graimondii2_0_v6:5:16627695:16632403:1 gene:B456_005G101600 transcript:KJB29450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVQVQHHASVSGPISVASGHGGAQFMPTSLYVGDLDVSVTDEQLYQTFGEVAPVVSVRVCRDTATGRSLGYGYVNYNNPRDAARALDLLNFTPMNNKPIRIMYSQRDPSLRKSGTANIFIKNLDKSIDHKALHDTFSSFGNILSCKISTDGLGQSKGYGFVQFDNEESAQNAIDKLNGMLINDKQVYVGHFLCKHERETAPNKVKFNNVYVKNLSDTTTDEELKTIFGEHGEITSVVVMRDADGKSKCFGFVNFENADDAAKAVEALNGKKFEDKEWYVGKAQKKSEREHELKARFEQNMKEASDKFQGLNLYIKNLDDSIGDEKLKKMFSEFGNITSCKIMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMIVSKLLYVAPAQRKEERRAQLQAQFSQMRPLAIPSAAPRMPVYPSGAPGLGQQFFYGQAPPTVIPPQAGFGYQQQLVPGMRPGGPPMPNYFVPMVQQGQRPGGQRGTGRVQQAQQPVSLMQQPMLPRGQVYRYPPGHNMPEVAPSNVPGGMLSIPYDMGSMPVRDAGSGQPIPVTALATSLANATPEQQRTMLGESLYPLVERLERDAAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRSVAAQQQSNNPADQLSSLSLNDNLVS >KJB32576 pep chromosome:Graimondii2_0_v6:5:62755408:62761126:1 gene:B456_005G247700 transcript:KJB32576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGALVKHPDDFYPLLKLKMASRHAERQIPSEPHWAFCFSMLHKVSRSFALVIQQLDTELKNAVCIFYLVLRALDTVEDDTSVATDIKVPILKEFYRHIYDRDWHFSCGTKNYKVLMDEFHHVSTAFLELEKGYQEAIEDITKRMGAGMAKFICKEVETVGDYDEYCHYVAGLVGLGLSKLFHTCGTEDLAPDTLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWGKYVNKLEDLKYEENSVKAVQCLNDMVTNALIHVDDCLKYMSALRDPAIFRFCAIPQVMAIGTLALCYNNIEVFRGVVKMRRGLTAKVIDRTNTMADVYGAFYDFSCMLKAKVDKNDPCAQKTSSRLDSILKTCRDSGVLNERKSYIIPNQTNYTPLLAVLLFILLAITLANRGPNGPN >KJB32574 pep chromosome:Graimondii2_0_v6:5:62755574:62761034:1 gene:B456_005G247700 transcript:KJB32574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGALVKHPDDFYPLLKLKMASRHAERQIPSEPHWAFCFSMLHKVSRSFALVIQQLDTELKNAVCIFYLVLRALDTVEDDTSVATDIKVPILKEFYRHIYDRDWHFSCGTKNYKVLMDEFHHVSTAFLELEKGYQEAIEDITKRMGAGMAKFICKEVETVGDYDEYCHYVAGLVGLGLSKLFHTCGTEDLAPDTLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWGKYVNKLEDLKYEENSVKAVQCLNDMVTNALIHVDDCLKYMSALRDPAIFRFCAIPQVMAIGTLALCYNNIEVFRGVVKMRRGLTAKVIDRTNTMADVYGAFYDFSCMLKAKVDKNDPCAQKTSSRLDSILKTCRDSGVLNERKSYIIPNQTNYTPLLAVLLFILLAITLANRGPNGPN >KJB32575 pep chromosome:Graimondii2_0_v6:5:62755613:62761034:1 gene:B456_005G247700 transcript:KJB32575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFHHVSTAFLELEKGYQEAIEDITKRMGAGMAKFICKEVETVGDYDEYCHYVAGLVGLGLSKLFHTCGTEDLAPDTLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWGKYVNKLEDLKYEENSVKAVQCLNDMVTNALIHVDDCLKYMSALRDPAIFRFCAIPQVMAIGTLALCYNNIEVFRGVVKMRRGLTAKVIDRTNTMADVYGAFYDFSCMLKAKVDKNDPCAQKTSSRLDSILKTCRDSGVLNERKSYIIPNQTNYTPLLAVLLFILLAITLANRGPNGPN >KJB32578 pep chromosome:Graimondii2_0_v6:5:62755613:62761034:1 gene:B456_005G247700 transcript:KJB32578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGALVKHPDDFYPLLKLKMASRHAERQIPSEPHWAFCFSMLHKVSRSFALVIQQLDTELKNAVCIFYLVLRALDTVEDDTSVATDIKVPILKEFYRHIYDRGTKNYKVLMDEFHHVSTAFLELEKGYQEAIEDITKRMGAGMAKFICKEVETVGDYDEYCHYVAGLVGLGLSKLFHTCGTEDLAPDTLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWGKYVNKLEDLKYEENSVKAVQCLNDMVTNALIHVDDCLKYMSALRDPAIFRFCAIPQVMAIGTLALCYNNIEVFRGVVKMRRGLTAKVIDRTNTMADVYGAFYDFSCMLKAKVDKNDPCAQKTSSRLDSILKTCRDSGVLNERKSYIIPNQTNYTPLLAVLLFILLAITLANRGPNGPN >KJB32577 pep chromosome:Graimondii2_0_v6:5:62755805:62759656:1 gene:B456_005G247700 transcript:KJB32577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDRSHQSLTGLFVSLCFTKYLVVSLSLFNSSTPSLKTPSAYFIWFFELLILLRMTLVLQQISKFLSLKNFIAIYMIVIGTFLVVRRTTKFLWMSFIMYLLLFWNSKKGCYQEAIEDITKRMGAGMAKFICKEVETVGDYDEYCHYVAGLVGLGLSKLFHTCGTEDLAPDTLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWGKYVNKLEDLKYEENSVKAVQCLNDMVTNALIHVDDCLKYMSALRDPAIFRFCAIPQVMAIGTLALCYNNIEVFRGVVKMRRGLTAKVIDRTNTMADVYGAFYDFSCMLKAKVDKNDPCAQKTSSRLDSILKTCRDSGVLNERKSYIIPNQTNYTPLLAVLLFILLAITLANRGPNGPN >KJB30698 pep chromosome:Graimondii2_0_v6:5:43873036:43873293:-1 gene:B456_005G155600 transcript:KJB30698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPLVKAFIARSFLVSATTIVSDSPFIIAHKKASLTKLKSGSKCISVSIDIYIEGFSTAYDVSLVDYSWLQDTSDVTSGNISQS >KJB31726 pep chromosome:Graimondii2_0_v6:5:58389966:58392313:-1 gene:B456_005G204600 transcript:KJB31726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFFVKRHCCSLASFNPNNSVKHPSDKYFQILHFCRSAQLVPAIHLLNTLHVPTLTSSSNKRFLYASLLQTCTNVQAFPLGVQFHAHVIKSGLDTDRFVGNSLLALYFKLGPDFRETRRVFDGLFVKDVISWTSMVSGYIKAGKPECSLEMFSEMLGLGVEPNAFTLSAIIKVCSVLGKLRLGWCLHGVITKRGFDSNSVILCGLIDFYGRIWQLKEACQLFDELPEPDAICWTSIISSLTRNDLYKEALHFFYLMQRNHGLIPDGFTFGTVLTACGNLGRLRQGKQVHAKVITCGLCGNVVVESSLLDMYGKCGLIDESQRIFDRMSKRNSVSWSALLGVYCQNKDYESVIRIFREMDVSDLYCFGTILRACAGLAAVRLGKEVHCQYVRRGGWRDVIVESALVDLYAKCGCIDFAHRIFVQMSNRNLITWNSMIYGFAQNGRGGESLSIFYEMIKEGIKPDYISFIGVLFACSHSGLVHQGRKYFNLMTREYGIKPGIEHYNCMVNLLGRAGLLEEAENLIESAEFRDDSSLWAVLLGACTTSTSSSNAERIAKKMIELEPNHHMNYVLLANVYRAIGRWNDALKVRQLMEDRGVKKIRGTSWVETTGNMSSYIDVVDTDISCRKCP >KJB31795 pep chromosome:Graimondii2_0_v6:5:59487258:59488413:-1 gene:B456_005G212600 transcript:KJB31795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQILKQVTGVPIKAATYGVERRTSRQYLPDAAGQYHISSQGATKSKGNFVLERMNLIGKKAGTFAHGVREHVRLGPKISETVKGKLSLGARILQVGGLENVFKQLFSFREGEKLLKACQCYLSTTAGPIAGLLFISSEKVAFCSERSIKIPCPNGEYVRVHYKVVVPVEKIKGVNQSENMKKPCQKYMEIVTVDGFDIWFMGFLNYQKAFKCLQQAISQGLDDVDTF >KJB28550 pep chromosome:Graimondii2_0_v6:5:5568625:5569666:1 gene:B456_005G054900 transcript:KJB28550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAMMAGHLGWGFIEEGWRKGPWTAEEDKLLIEYVKLHGEGRWNSVARLAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEESIIIELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKNKLPSDNNTSDHKSKARLLKRQQFQQQQLLQQQQQQLQLQQQQEQHEQQLQLNQLDMKRIMSLLDETEHKSSVLPYVPQLRQEMATAVPYPNTTVEQQQAGLFYPMMEFDGNVSGSGSDTSNEVDVLWDGLWNLDDINGNFGATSKVSFHNLATPFS >KJB27441 pep chromosome:Graimondii2_0_v6:5:50555683:50558854:1 gene:B456_005G1739001 transcript:KJB27441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YIDKLSRSLYVEYKLRGIDVQCQVPLYVATNLASKVASIEKSSMFVPSPEDYAKAAIRQIGYEPRCTPYWSHAVQWCFARLLPDVLLDAWRLSIALRRRAEVLA >KJB27442 pep chromosome:Graimondii2_0_v6:5:50555683:50558863:1 gene:B456_005G1739001 transcript:KJB27442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YIDKLSRSLYVEYKLRGIDVQCQVPLYVATNLASKVASIEKSSMFVPSPEDYAKAAIRQIGYEPRCTPYWSHAVQWCFARLLPDVLLDAWRLSIALRRRAEREQTPAE >KJB27439 pep chromosome:Graimondii2_0_v6:5:50555680:50558854:1 gene:B456_005G1739001 transcript:KJB27439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RYIDKLSRSLYVEYKLRGIDVQCQVPLYVATNLASKVASIEKSSMFVPSPEDYAKAAIRQIGYEPRCTPYWSHAVQWCFARLLPDVLLDAWRLSIALRRRAEVLA >KJB27440 pep chromosome:Graimondii2_0_v6:5:50555684:50558274:1 gene:B456_005G1739001 transcript:KJB27440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YIDKLSRSLYVEYKLRGIDVQCQIHMCVPRRYRYMWQQI >KJB28689 pep chromosome:Graimondii2_0_v6:5:6607493:6608079:1 gene:B456_005G062800 transcript:KJB28689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYESEIVTAIPPAKMFKACILDGDTLIPKIVPQVFNSVEYLEGNGEPGSIRKVTFAQGNQFNYMKQKVEALNTEKFEYIYSEIKLEDSPGGGSICKTSSKYYTIGDIELKEEAIKAGKEKASGVLFKSIEAYLVANPNAY >KJB32057 pep chromosome:Graimondii2_0_v6:5:60350693:60353743:-1 gene:B456_005G220700 transcript:KJB32057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQMVRVKGFVLTDKLLFKDGRIMFDWWDEIDESKEWQRFIFYLLSASYAVVSIVALVQLCRIQLRVPEYGWTTQKVFHLMNFIVNGLRAVLFCFYKSVFLVKSKARSLPINKLRPAYHSINGFIYFAQACLWISVRLSQSNLAVELSRLFISVISFCAALGFMIYGGRLFFMLRRFPIESRGRQRKLFEVGFVTGICCTCFLIRCIVSTLSADMNAGLDVLDHPILNLIYYMFVEILPSALVLFILRKLPPKRVSEQYHPIR >KJB31942 pep chromosome:Graimondii2_0_v6:5:59738916:59739668:-1 gene:B456_005G215100 transcript:KJB31942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDDQILQRCFHEWMAIQEQELNQLLQALNQSGNGGDDLTETTCAQLTEKSINSFQEYIDKRAQLSRLDISGLFSPSWNTALEKSLLWVAGCRPSIYIRLTYALCGSQVEFQLSEIIQGLVRGNLGQISAAQLRMINDLHMKTIKEEEKLSNKLAGLQENIADQPIAIVVKRMSRVGETSGEVDHALDEHESSMANILQEADKLRLSTLKELLSILTPLQGVDFLVASKKLHLCMHEWGKTRDNRHGRT >KJB32254 pep chromosome:Graimondii2_0_v6:5:61427423:61432061:1 gene:B456_005G231800 transcript:KJB32254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRRLHLLLLLQGFMLLSVMGNCYARFVVEKNSLRLTSPEKIKGTYDSAIGNFGIPQYGGSMAGAVVYPKENQKGCKSFDDFGISFKSKPGALPAFVLVDRGDCFFALKVWNVQQAGASAVLVADDIQEALITMDTPEEDRLAAKYIENITIPSALIEKKFGETLKKAISGGDMVNVNLDWRESVPHPDDRVEYELWTNSNDECGVKCDMLMEFLKDFKGAAQILEKGGYTQFTPHYITWYCPQAFSLSRQCKSQCINYGRYCAPDPEQDFSSGYEGKDVVIENLRQLCVFKVANETNKPWLWWDYVTDFQIRCPMKEKKYNKECADVVIRALGLDGKKIEKCMGDPNADEDNPVLKEEQEAQVGKGSRGDVTILPTLVVNDRQYRGKLAKGAVLKAICAGFEETTEPAVCLSGDVETNECLDNNGGCWQDKATNLTACKDTFRGRVCECPLVDGVQFKGDGYSHCEASGSGRCKINNGGCWHDARDGHAYSACLDDGNGKCQCPPGFKGDGVKNCEDIDECKEKKACQCPECSCKNTWGSYECTCSGDLLYIRDHDTCISKSGTEVRSSWAAVWVILIGLAMASGGAYLIYKYRLRVS >KJB32252 pep chromosome:Graimondii2_0_v6:5:61427381:61432101:1 gene:B456_005G231800 transcript:KJB32252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRRLHLLLLLQGFMLLSVMGNCYARFVVEKNSLRLTSPEKIKGTYDSAIGNFGIPQYGGSMAGAVVYPKENQKGCKSFDDFGISFKSKPGALPAFVLVDRGDCFFALKVWNVQQAGASAVLVADDIQEALITMDTPEEDRLAAKYIENITIPSALIEKKFGETLKKAISGGDMVNVNLDWRESVPHPDDRVEYELWTNSNDECGVKCDMLMEFLKDFKGAAQILEKGGYTQFTPHYITWYCPQAFSLSRQCKSQCINYGRYCAPDPEQDFSSGYEGKDVVIENLRQLCVFKVANETNKPWLWWDYVTDFQIRCPMKEKKYNKECADVVIRALGLDGKKIEKCMGDPNADEDNPVLKEEQEAQVGKGSRGDVTILPTLVVNDRQYRGKLAKGAVLKAICAGFEETTEPAVCLSGDVETNECLDNNGGCWQDKATNLTACKDTFRGRVCECPLVDGVQFKGDGYSHCEASGSGRCKINNGGCWHDARDGHAYSACLDDGNGKCQCPPGFKGDGVKNCEDIDECKEKKACQCPECSCKNTWGSYECTCSGDLLYIRDHDTCISKSGTEVRSSWAAVWVILIGLAMASGGAYLIYKYRLRSYMDSEIRAIMAQYMPLDSQAEVPNHVSDSRA >KJB32253 pep chromosome:Graimondii2_0_v6:5:61427423:61432061:1 gene:B456_005G231800 transcript:KJB32253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRRLHLLLLLQGFMLLSVMGNCYARFVVEKNSLRLTSPEKIKGTYDSAIGNFGIPQYGGSMAGAVVYPKENQKGCKSFDDFGISFKSKPGALPAFVLVDRGDCFFALKVWNVQQAGASAVLVADDIQEALITMDTPEEDRLAAKYIENITIPSALIEKKFGETLKKAISGGDMVNVNLDWRESVPHPDDRVEYELWTNSNDECGVKCDMLMEFLKDFKGAAQILEKGGYTQFTPHYITWYCPQAFSLSRQCKSQCINYGRYCAPDPEQDFSSGYEGKDVVIENLRQLCVFKVANETNKPWLWWDYVTDFQIRCPMKEKKYNKECADVVIRALGLDGKKIEKCMGDPNADEDNPVLKEEQEAQVGKGSRGDVTILPTLVVNDRQYRGKLAKGAVLKAICAGFEETTEPAVCLSGDVETNECLDNNGGCWQDKATNLTACKDTFRGRVCECPLVDGVQFKGDGYSHCEVGLEGAKLIMEVVGMMHEMDMHTPLVWMMEMVNASVLQGLKVMVSKIVKILTNAKRRKPASALNVAAKILGEAMNALAVEIFCISGTMIPA >KJB31301 pep chromosome:Graimondii2_0_v6:5:54288190:54290162:-1 gene:B456_005G185000 transcript:KJB31301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEEEIANETPCNYTYGRWVYDKMGPLYNGTTCGTIKEGQNCITHGRPDLGYLYWRWQPSQCNLPRFDPNTFFNLLSNKHIAFVGDSMARNQLESLLCMLATASYPNLVYKNGEDNKFRRWHFASHNITVSVYWSPFLVKGVEKSKTGPDHNELYVDVVDERWGSDLEHIDMVVLSIGHWFLHPAVYYEGGSVLGCHYCPGLNHTEIGFYDVMRKAIKTALKAIIERKSTDGNGIDVFLTSFSPSHFEGEWDKAGACPKTKPYEEGEKMVEGMDADMRSIAIEEMEAAKVNAKKFKGLRLETLDVTKLSLMRPDGHPGPYMYPFPFADGVQEHVQNDCVHWCLPGPIDTWNQIVLEVIRRWSVVSKREE >KJB31300 pep chromosome:Graimondii2_0_v6:5:54288179:54290126:-1 gene:B456_005G185000 transcript:KJB31300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTSPLKGQSHSRSLTRKLLPYVLYALLPIAVFRFYFHPFPFPLSTSDQLPHPNRIILTTSSSSSSSPPPSSFSREEEIANETPCNYTYGRWVYDKMGPLYNGTTCGTIKEGQNCITHGRPDLGYLYWRWQPSQCNLPRFDPNTFFNLLSNKHIAFVGDSMARNQLESLLCMLATASYPNLVYKNGEDNKFRRWHFASHNITVSVYWSPFLVKGVEKSKTGPDHNELYVDVVDERWGSDLEHIDMVVLSIGHWFLHPAVYYEGGSVLGCHYCPGLNHTEIGFYDVMRKAIKTALKAIIERKSTDGNGIDVFLTSFSPSHFEGEWDKAGACPKTKPYEEGEKMVEGMDADMRSIAIEEMEAAKVNAKKFKGLRLETLDVTKLSLMRPDGHPGPYMYPFPFADGVQEHVQNDCVHWCLPGPIDTWNQIVLEVIRRWSVVSKREE >KJB29856 pep chromosome:Graimondii2_0_v6:5:25716175:25727359:1 gene:B456_005G121500 transcript:KJB29856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQFFPSPSSSLGLGFQEFTSYPVLDMAGAGIHPYHQQWPPVPAPPPPPAAASAAPPPPTVHHPPPPAPSHDEVRTIFITGLPEDVKERELQNLLRWLPGYEASQVNYKGEKPMGFALFSSARLAVAAKDALQEMVFDAESKSLLHVEMAKKNLFVKRGIVTDSDAYDQTKRLRTGGNYSHSPYTSPSPFHPPAPVWGPHGYMTPTPPYDPYGCYPVPPVPMPTSAPVPALSSYVPVQNTKDNPPCNTLFIGNLGENINEEELRGLFSAQPGFKQMKILRQERHTVCFIEFEDVSTATNVHHSLQGAVIPSSGSIGMRIQYPF >KJB29855 pep chromosome:Graimondii2_0_v6:5:25716175:25719219:1 gene:B456_005G121500 transcript:KJB29855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQFFPSPSSSLGLGFQEFTSYPVLDMAGAGIHPYHQQWPPVPAPPPPPAAASAAPPPPTVHHPPPPAPSHDEVRTIFITGLPEDVKERELQNLLRWLPGYEASQVNYKGEKPMGFALFSSARLAVAAKDALQEMVFDAESKSLLHVEMAKKNLFVKRGIVTDSDAYDQTKRLRTGGNYSHSPYTSPSPFHPPAPVWGPHGYMTPTPPYDPYGCYPVPPVPMPTSAPVPALSSYVPVQ >KJB29857 pep chromosome:Graimondii2_0_v6:5:25716175:25729739:1 gene:B456_005G121500 transcript:KJB29857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQFFPSPSSSLGLGFQEFTSYPVLDMAGAGIHPYHQQWPPVPAPPPPPAAASAAPPPPTVHHPPPPAPSHDEVRTIFITGLPEDVKERELQNLLRWLPGYEASQVNYKGEKPMGFALFSSARLAVAAKDALQEMVFDAESKSLLHVEMAKKNLFVKRGIVTDSDAYDQTKRLRTGGNYSHSPYTSPSPFHPPAPVWGPHGYMTPTPPYDPYGCYPVPPVPMPTSAPVPALSSYVPVQNTKDNPPCNTLFIGNLGENINEEELRGLFSA >KJB29854 pep chromosome:Graimondii2_0_v6:5:25716121:25729859:1 gene:B456_005G121500 transcript:KJB29854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQFFPSPSSSLGLGFQEFTSYPVLDMAGAGIHPYHQQWPPVPAPPPPPAAASAAPPPPTVHHPPPPAPSHDEVRTIFITGLPEDVKERELQNLLRWLPGYEASQVNYKGEKPMGFALFSSARLAVAAKDALQEMVFDAESKSLLHVEMAKKNLFVKRGIVTDSDAYDQTKRLRTGGNYSHSPYTSPSPFHPPAPVWGPHGYMTPTPPYDPYGCYPVPPVPMPTSAPVPALSSYVPVQNTKDNPPCNTLFIGNLGENINEEELRGLFSAQPGFKQMKILRQERHTVCFIEFEDVSTATNVHHSLQGAVIPSSGSIGMRIQYSKNPFGKRKDSGHLVSSPSANGPPPALTYQ >KJB29858 pep chromosome:Graimondii2_0_v6:5:25716175:25729739:1 gene:B456_005G121500 transcript:KJB29858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQFFPSPSSSLGLGFQEFTSYPVLDMAGAGIHPYHQQWPPVPAPPPPPAAASAAPPPPTVHHPPPPAPSHDEVRTIFITGLPEDVKERELQNLLRWLPGYEASQVNYKGEKPMGFALFSSARLAVAAKDALQEMVFDAESKSLLHVEMAKKNLFVKRGIVTDSDAYDQTKRLRTGGNYSHSPYTSPSPFHPPAPVWGPHGYMTPTPPYDPYGCYPVPPVPMPTSAPVPALSSYVPVQNTKDNPPCNTLFIGNLGENINEEELRGLFSA >KJB31487 pep chromosome:Graimondii2_0_v6:5:56365497:56372980:-1 gene:B456_005G193900 transcript:KJB31487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEASAAFTSSRPLFPLFIFLFFIPGFHARNLQQCSSSCGDLTNISYPFRLQGDPAGCGDVDFQLSCQNNTAILNFRGGKYYVKGISYDKRTIRVVDVNLAHGTCGLPYKSLSMSEATDDGRFPSLITFWQANFVNCSNTIPELTDSKVPCLNGDTSHIYVNFSNRNLFGYEIPRNCKVISRIPTSSENEMNYPYNETTLKLLATGFDLRWSVECRNCHTFGLSCVYESKNDPRIFRCETMYEEDYKTLLTAAIAYLVSRSLIDKALLVRFILAPLVVFVFLLHKCLTTRKKVGNAEHVGDLQMLPKPIPCSPQGHTRNLPSDSPKQVLIAASIERSTLT >KJB31488 pep chromosome:Graimondii2_0_v6:5:56370630:56373006:-1 gene:B456_005G193900 transcript:KJB31488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEASAAFTSSRPLFPLFIFLFFIPGFHARNLQQCSSSCGDLTNISYPFRLQGDPAGCGDVDFQLSCQNNTAILNFRGGKYYVKGISYDKRTIRVVDVNLAHGTCGLPYKSLSMSEATDDGRFPSLITFWQANFVNCSNTIPELTDSKVPCLNGDTSHIYVNFSNRNLFGYEIPRNCKVISRIPTSSENEMNYPYNETTLKLLATGFDLRWSVECRNCHTFGLSCVYESKNDPRIFRCETMYEEDYKTLLTAAIAYLVSRSLIDKALLVRFILAPLVVFVFLLHKCLTTRKKVGNAEHVGDLQMLPKPIPCSPQGHTRNLPSDSPKQVLIAASIERST >KJB32833 pep chromosome:Graimondii2_0_v6:5:63816561:63819081:-1 gene:B456_005G264400 transcript:KJB32833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRRWSEGMSSDNIKGLVLAISSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWFGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHTFGILGCALCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYTALVLTAVFTLIFHFVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGMNQLLYPQTWAFTLVVASCVITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKVISSH >KJB32836 pep chromosome:Graimondii2_0_v6:5:63816056:63819081:-1 gene:B456_005G264400 transcript:KJB32836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRRWSEGMSSDNIKGLVLAISSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWFGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHTFGILGCALCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYTALVLTAVFTLIFHFVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGMNQLLYPQTWAFTLVVASCVITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQTPTQIITEMCGFITILSGTFLLHKTKDMVDDYVQSGPSLTTSISMRSLKHEEEDGFDEGVPLKRQDTSRIP >KJB32837 pep chromosome:Graimondii2_0_v6:5:63816389:63819671:-1 gene:B456_005G264400 transcript:KJB32837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRRWSEGMSSDNIKGLVLAISSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWFGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHTFGILGCALCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYTALVLTAVFTLIFHFVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGMNQLLYPQTWAFTLVVASCVITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKLSGG >KJB32834 pep chromosome:Graimondii2_0_v6:5:63815665:63819671:-1 gene:B456_005G264400 transcript:KJB32834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRRWSEGMSSDNIKGLVLAISSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWFGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHTFGILGCALCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYTALVLTAVFTLIFHFVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGMNQLLYPQTWAFTLVVASCVITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQTPTQIITEMCGFITILSGTFLLHKTKDMVDGPSLTTSISMRSLKHEEEDGFDEGVPLKRQDTSRIP >KJB32838 pep chromosome:Graimondii2_0_v6:5:63816716:63819671:-1 gene:B456_005G264400 transcript:KJB32838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRRWSEGMSSDNIKGLVLAISSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWFGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHTFGILGCALCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYTALVLTAVFTLIFHFVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGMNQLLYPQTWAFTLVVASCVITQMNYLNKVMVTHCLFFFWYLLFLF >KJB32835 pep chromosome:Graimondii2_0_v6:5:63815647:63819671:-1 gene:B456_005G264400 transcript:KJB32835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRRWSEGMSSDNIKGLVLAISSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWFGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHTFGILGCALCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYTALVLTAVFTLIFHFVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGMNQLLYPQTWAFTLVVASCVITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQTPTQIITEMCGFITILSGTFLLHKTKDMVDGPSLTTSISMRSLKHEEEDGFDEGVPLKRQDTSRIP >KJB29393 pep chromosome:Graimondii2_0_v6:5:15098527:15103168:1 gene:B456_005G098300 transcript:KJB29393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKSSSFQRRLQTSFNSKDKTYRYFSSNPAFALQQPRKASYNMKCCEDGNFVQGNLTHYGNIQFSPFAAVLNYGQGIIEGLKVNRKEDGRLLLFRPDQHALRMKMGAQRMCMPSPSIHQFIHAVKQTALANIRWVPPPGKGSLYIRPLLIGSGPVLGVGPAPEYMFLTYASPVGNYFKQDKTPLSLYVEEEEVRAFSGGVGGVKSVSNYGPVLKALVRAKSLGFSDVLYLDSVNKKYLEEVSAANIFTLKGNVISTPPTSGTILPGITRKSIIEIARGLGFQVEERSISVHELTEADEVFCTGTAVGVAAVGSVTYRGTRFEFKVGENTVCQALGSTLVGIQTGLIEDKEEWIINIH >KJB29394 pep chromosome:Graimondii2_0_v6:5:15098542:15103168:1 gene:B456_005G098300 transcript:KJB29394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCCEDGNFVQGNLTHYGNIQFSPFAAVLNYGQGIIEGLKVNRKEDGRLLLFRPDQHALRMKMGAQRMCMPSPSIHQFIHAVKQTALANIRWVPPPGKGSLYIRPLLIGSGPVLGVGPAPEYMFLTYASPVGNYFKQDKTPLSLYVEEEEVRAFSGGVGGVKSVSNYGPVLKALVRAKSLGFSDVLYLDSVNKKYLEEVSAANIFTLKGNVISTPPTSGTILPGITRKSIIEIARGLGFQVEERSISVHELTEADEVFCTGTAVGVAAVGSVTYRGTRFEFKVGENTVCQALGSTLVGIQTGLIEDKEEWIINIH >KJB29395 pep chromosome:Graimondii2_0_v6:5:15099069:15103168:1 gene:B456_005G098300 transcript:KJB29395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSMKCCEDGNFVQGNLTHYGNIQFSPFAAVLNYGQGIIEGLKVNRKEDGRLLLFRPDQHALRMKMGAQRMCMPSPSIHQFIHAVKQTALANIRWVPPPGKGSLYIRPLLIGSGPVLGVGPAPEYMFLTYASPVGNYFKQDKTPLSLYVEEEEVRAFSGGVGGVKSVSNYGPVLKALVRAKSLGFSDVLYLDSVNKKYLEEVSAANIFTLKGNVISTPPTSGTILPGITRKSIIEIARGLGFQVEERSISVHELTEADEVFCTGTAVGVAAVGSVTYRGTRFEFKVGENTVCQALGSTLVGIQTGLIEDKEEWIINIH >KJB32002 pep chromosome:Graimondii2_0_v6:5:60135781:60143685:1 gene:B456_005G218200 transcript:KJB32002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENMTRRLISFCLWLIFVLDLAFRVAGNAEGDALNALKTNMADPNNVLQSWDPTLVNPCTWFHVTCNSENSVTRVDLGNANLTGQLVPQLGSLPNLQYLELYSNNISGMIPDELGNLTELVSLDLYLNKLTGDIPTTLGQLKKLRFLRLNNNSLVGTIPLSLTTIDTLQVLDLSNNGLVGDVPVNGSFSLFTPISFANNKLNNPPPAPPPPIPPTPPAQSGISSTGAIAGGVAAGAALLFAAPAIVLALWRKRKAPDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPFMVNGSVASCLRERSEFQPALDWAIRKRIALGAARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKERKLETLVDSDLNGNYIDEEVEQLIQVALLCTQGTPMERPKMSEVVRMLEGDGLAERWEEWQKEEMVRQEFNQAHHYNHHQPNANWIIADSTSHIPPDELSGPR >KJB32003 pep chromosome:Graimondii2_0_v6:5:60135884:60143155:1 gene:B456_005G218200 transcript:KJB32003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPDELGNLTELVSLDLYLNKLTGDIPTTLGQLKKLRFLRLNNNSLVGTIPLSLTTIDTLQVLDLSNNGLVGDVPVNGSFSLFTPISFANNKLNNPPPAPPPPIPPTPPAQSGISSTGAIAGGVAAGAALLFAAPAIVLALWRKRKAPDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPFMVNGSVASCLRERSEFQPALDWAIRKRIALGAARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKERKLETLVDSDLNGNYIDEEVEQLIQVALLCTQGTPMERPKMSEVVRMLEGDGLAERWEEWQKEEMVRQEFNQAHHYNHHQPNANWIIADSTSHIPPDELSGPR >KJB32693 pep chromosome:Graimondii2_0_v6:5:63305093:63307463:1 gene:B456_005G255900 transcript:KJB32693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKMSVYSKAEAARARKCATEANRKELEPRKKEEQYFSPKQKRSSTGAKTRADMEEEEMDKAMKKRYKTANRVAVPAAIAHMSVIDNLPADSHPERRFKASFKLPKLKEEKRGLTHTQYKDMIWKLWKKSPGNPLNQQSL >KJB32694 pep chromosome:Graimondii2_0_v6:5:63305093:63305645:1 gene:B456_005G255900 transcript:KJB32694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKMSVYSKAEAARARKCATEANRKELEPRKKEEQYFSPKQKRSSTGAKTRADMEEEEMDKAMKKRYKTANRVAVPAAIAHMSVIDNLPADSHPERRFKASFKVH >KJB32111 pep chromosome:Graimondii2_0_v6:5:60691359:60694133:1 gene:B456_005G224900 transcript:KJB32111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDLGLKAMEVCWATYEVVVVLSSGIEGNGGGSGIKGSGDNGGGNGTGKYANGNGTM >KJB28715 pep chromosome:Graimondii2_0_v6:5:7056012:7058968:-1 gene:B456_005G066400 transcript:KJB28715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFGGSELAVVGCAVKKKRSGILRRPRVAVQTFTHNYILLSSPTPAIGCSGNEDQNFKNGSNGFGSENKLKLKLKLGGVTRTIHTNSTVDHAFDVEPGLTKSSHFSDVSQTREKSFLLFNLLQADGLLHEQSLQGKKGSCVSDKGEGYGVRWKDLSRSGSGYGKGHSSRGKATGVCVAGNENDRHEPTRKSKRVPKRRVLDVGINSDDDDEDEEIRYLGRLNASNGHLNLKDEEDERNGGEGAAFEDRDYVEEDECISDDEPGSKRKKLGRGSVDLFVEGRTESTPTTRNRALQSGKDLLSGPGATLVEFPDGLPPAPSKKQKEKLSEVELQLKKAEAAQRRRMQSEKAARDAEAEAIRKILGQDSARKKKEDKMKKQRDELAQGKATKSETLASNTVRWVMGPGGTTVIFSEDIGLPQLFNSVPSGYPPPREKCAGPNCTNAYKYRDSKSKLPLCSLDCYKAIHAKAQPLIAC >KJB28710 pep chromosome:Graimondii2_0_v6:5:7056012:7058968:-1 gene:B456_005G066400 transcript:KJB28710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFGGSELAVVGCAVKKKRSGILRRPRVAVQTFTHNYILLSSPTPAIGCSGNEDQNFKNGSNGFGSENKLKLKLKLGGVTRTIHTNSTVDHAFDVEPGLTKSSHFSDVSQTREKSFLLGKKGSCVSDKGEGYGVRWKDLSRSGSGYGKGHSSRGKATGVCVAGNENDRHEPTRKSKRVPKRRVLDVGINSDDDDEDEEIRYLGRLNASNGHLNLKDEEDERNGGEGAAFEDRDYVEEDECISDDEPGSKRKKLGRGSVDLFVEGRTESTPTTRNRALQSGKDLLSGPGATLVEFPDGLPPAPSKKQKEKLSEVELQLKKAEAAQRRRMQSEKAARDAEAEAIRKILGQDSARKKKEDKMKKQRDELAQGKATKSETLASNTVRWVMGPGGTTVIFSEDIGLPQLFNSVPSGYPPPREKCAGPNCTNAYKYRDSKSKLPLCSLDCYKAIHAKAQPLIAC >KJB28713 pep chromosome:Graimondii2_0_v6:5:7056804:7058247:-1 gene:B456_005G066400 transcript:KJB28713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFGGSELAVVGCAVKKKRSGILRRPRVAVQTFTHNYILLSSPTPAIGCSGNEDQNFKNGSNGFGSENKLKLKLKLGGVTRTIHTNSTVDHAFDVEPGLTKSSHFSDVSQTREKSFLLGKKGSCVSDKGEGYGVRWKDLSRSGSGYGKGHSSRGKATGVCVAGNENDRHEPTRKSKRVPKRRVLDVGINSDDDDEDEEIRYLGRLNASNGHLNLKDEEDERNGGEGAAFEDRDYVEEDECISDDEPGSKRKKLGRGSVDLFVEGRTESTPTTRNRALQSGKDLLSGPGATLVEFPDGLPPAPSKKQKEKLSEVELQLKKAEAAQRRRMQSEKAARDAEVLPSFFFSSFDWFYNCSTIIQETVFSRVFELS >KJB28711 pep chromosome:Graimondii2_0_v6:5:7055893:7059018:-1 gene:B456_005G066400 transcript:KJB28711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFGGSELAVVGCAVKKKRSGILRRPRVAVQTFTHNYILLSSPTPAIGCSGNEDQNFKNGSNGFGSENKLKLKLKLGGVTRTIHTNSTVDHAFDVEPGLTKSSHFSDVSQTREKSFLLGKKGSCVSDKGEGYGVRWKDLSRSGSGYGKGHSSRGKATGVCVAGNENDRHEPTRKSKRVPKRRVLDVGINSDDDDEDEEIRYLGRLNASNGHLNLKDEEDERNGGEGAAFEDRDYVEEDECISDDEPGSKRKKLGRGSVDLFVEGRTESTPTTRNRALQSGKDLLSGPGATLVEFPDGLPPAPSKKQKEKLSEVELQLKKAEAAQRRRMQSEKAARDAEAEAIRKILGQDSARKKKEDKMKKQRDELAQGKATKSETLASNTVRWVMGPGGTTVIFSEDIGLPQLFNSVPSGYPPPREKCAGPNCTNAYKYRDSKSKLPLCSLDCYKAIHAKAQPLIAC >KJB28712 pep chromosome:Graimondii2_0_v6:5:7057060:7058247:-1 gene:B456_005G066400 transcript:KJB28712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFGGSELAVVGCAVKKKRSGILRRPRVAVQTFTHNYILLSSPTPAIGCSGNEDQNFKNGSNGFGSENKLKLKLKLGGVTRTIHTNSTVDHAFDVEPGLTKSSHFSDVSQTREKSFLLGKKGSCVSDKGEGYGVRWKDLSRSGSGYGKGHSSRGKATGVCVAGNENDRHEPTRKSKRVPKRRVLDVGINSDDDDEDEEIRYLGRLNASNGHLNLKDEEDERNGGEGAAFEDRDYVEEDECISDDEPGSKRKKLGRGSVDLFVEGRTESTPTTRNRALQSGKDLLSGPGATLVEFPDGLPPAPSKSKELPHLFFPFLEHL >KJB28714 pep chromosome:Graimondii2_0_v6:5:7056257:7057785:-1 gene:B456_005G066400 transcript:KJB28714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVCARMCITLQCLICSSGILYIQFNLLQADGLLHEQSLQGKKGSCVSDKGEGYGVRWKDLSRSGSGYGKGHSSRGKATGVCVAGNENDRHEPTRKSKRVPKRRVLDVGINSDDDDEDEEIRYLGRLNASNGHLNLKDEEDERNGGEGAAFEDRDYVEEDECISDDEPGSKRKKLGRGSVDLFVEGRTESTPTTRNRALQSGKDLLSGPGATLVEFPDGLPPAPSKKQKEKLSEVELQLKKAEAAQRRRMQSEKAARDAEAEAIRKILGQDSARKKKEDKMKKQRDELAQGKATKSETLASNTVRWVMGPGGTTVIFSEDIGLPQLFNSVPSGYPPPREKCAGPNCTNAYKYRDSKSKLPLCSLDCYKAIHAKAQPLIAC >KJB29060 pep chromosome:Graimondii2_0_v6:5:10165688:10166649:-1 gene:B456_005G083000 transcript:KJB29060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQPSPSLERASSFEMDEPLTLTKKQYQAALAAVIKASAKGKGKAPAPPVGEKAAKKIAGKVGGKGCVKFNL >KJB29061 pep chromosome:Graimondii2_0_v6:5:10165933:10166388:-1 gene:B456_005G083000 transcript:KJB29061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQPSPSLERASSFEMDEPLTLTKKQYQAALAAVIKASAKGKGKAPAPPFQVGEKAAKKIAGKVGGKGCVKFNL >KJB28814 pep chromosome:Graimondii2_0_v6:5:7702023:7704625:-1 gene:B456_005G070800 transcript:KJB28814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEIKTQNKDAHVKAFVVDLASFQSILEFKSSLQQWLLDCKLHCSLQLLINNAGILATSSRTTPEGYDQMMGTNYIGPFCLTKLLLPLLKRSPVPSRIVNVTSFTHRSVFDVQVDKESVYGTRFLRSEQYPFARLYEYSKLFLILFSYELHRQLGLMDQPYHVSVNAADPGSVKTNIMREVPSCLSSLAFQVLKSLGLLQSPKNGVSSLIDAALAPPEASGVYFFGGKGRTVDSSVLSHNTKLAKELWDISDNLFMEASLAFKETASSESDNWL >KJB28812 pep chromosome:Graimondii2_0_v6:5:7702004:7705613:-1 gene:B456_005G070800 transcript:KJB28812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKELKEALRFAASISFWRMAVFWTISLFISYFQLHTNWIFSRRLQSYPRCHPPISESVTPVCIITGATSGLGAAAAHALSREGFFVVLVGRSSHLLSKIMTEIKTQNKDAHVKAFVVDLASFQSILEFKSSLQQWLLDCKLHCSLQLLINNAGILATSSRTTPEGYDQMMGTNYIGPFCLTKLLLPLLKRSPVPSRIVNVTSFTHRSVFDVQVDKESVYGTRFLRSEQYPFARLYEYSKLFLILFSYELHRQLGLMDQPYHVSCCGSWKCKNQHHEGSPFVSFEFGISSFEVFGPFAVTKEWG >KJB28813 pep chromosome:Graimondii2_0_v6:5:7702360:7705302:-1 gene:B456_005G070800 transcript:KJB28813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKELKEALRFAASISFWRMAVFWTISLFISYFQLHTNWIFSRRLQSYPRCHPPISESVTPVCIITGATSGLGAAAAHALSREGFFVVLVGRSSHLLSKIMTEIKTQNKDAHVKAFVVDLASFQSILEFKSSLQQWLLDCKLHCSLQLLINNAGILATSSRTTPEGYDQMMGTNYIGPFCLTKLLLPLLKRSPVPSRIVNVTSFTHRSVFDVQVDKESVYGTRFLRSEQYPFARLYEYSKLFLILFSYELHRQLGLMDQPYHVSVNAADPGSVKTNIMREVPSCLSSLAFQVLKSLGLLQSPKNGVSSLIDAALAPPEASGVYFFGGKGRTVDSSVLSHNTKLAKELWDISDNLFMEASLAFKETASSESDNWL >KJB30512 pep chromosome:Graimondii2_0_v6:5:43001477:43002956:1 gene:B456_005G153200 transcript:KJB30512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGDMFEKNHDEIDFEFLGNIRGKDWRIQTNIYGNGSTSVGREERYNLWFDPADDFHQYTILWTDSQIIFYIDNIPIREFKRTAAMGGDFPSKPMSLYATIWDGSDWATNGGKYRVNYKYAPYVAEFSDLILHGCAVDPIEFSSKKCDTTSQSLELSATITPSQRSKMDSFRRKHMTYSYCYDQTRYKVPPVECVINPREAERLKRFDPVTFGGRRHHGKRHHRSRASRSEADTI >KJB30511 pep chromosome:Graimondii2_0_v6:5:43000414:43003167:1 gene:B456_005G153200 transcript:KJB30511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLPSLFLVLTLVSVFVCGFGKRIPILSFDEGYSQLFGDDNLVLHRDGKAVHLSLNERTGSGFVSQDLYLHGYFSASIKLPADYTAGVVVAFYMSNGDMFEKNHDEIDFEFLGNIRGKDWRIQTNIYGNGSTSVGREERYNLWFDPADDFHQYTILWTDSQIIFYIDNIPIREFKRTAAMGGDFPSKPMSLYATIWDGSDWATNGGKYRVNYKYAPYVAEFSDLILHGCAVDPIEFSSKKCDTTSQSLELSATITPSQRSKMDSFRRKHMTYSYCYDQTRYKVPPVECVINPREAERLKRFDPVTFGGRRHHGKRHHRSRASRSEADTI >KJB28486 pep chromosome:Graimondii2_0_v6:5:5038218:5040149:-1 gene:B456_005G051000 transcript:KJB28486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRVALVNYGKDYGKLVVIVDVVDQNRALVDAPDMVRGQMNFKRLTLTDITIDIPRVPKKKTLIEAMEKADVKNKWENSSWGRKLIVQKRRAALTDFDRFKLMLAKIKKAGVVRQELAKLKKENAS >KJB28484 pep chromosome:Graimondii2_0_v6:5:5038218:5040055:-1 gene:B456_005G051000 transcript:KJB28484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGQMNFKRLTLTDITIDIPRVPKKKTLIEAMEKADVKNKWENSSWGRKLIVQKRRAALTDFDRFKLMLAKIKKAGVVRQELAKLKKENAS >KJB28485 pep chromosome:Graimondii2_0_v6:5:5038218:5040055:-1 gene:B456_005G051000 transcript:KJB28485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRVALVNYGKDYGKLVVIVDVVDQNRALVDAPDMVRGQMNFKRLTLTDITIDIPRVPKKKTLIEAMEKADVKNKWENSSWGRKLIVQKRRAALTDFDRFKLMLAKIKVGWSRQARACKA >KJB31927 pep chromosome:Graimondii2_0_v6:5:59712451:59714940:-1 gene:B456_005G214700 transcript:KJB31927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLTRISYSSAFTFSASKTSVFSPSVFASSIKVPTFSSLTVKPLCSTFAPHAAHAISFTTHATSTPASVLDTSTKTFHGLCYVVGDNIDTDQIIPAEYLTLVPSNPAEYEKLGSYALIGLPSSYATRFIEPNETKTKYSIVIGGANFGCGSSREHAPVALGAAGAKAVVAESYARIFFRNSVATGEVYPLESEARICEECKTGDVVTIELGESRLINHSTGKEYKLKSIGDAGPVIEAGGIFAYARKTGMIPSQSN >KJB31928 pep chromosome:Graimondii2_0_v6:5:59712451:59715034:-1 gene:B456_005G214700 transcript:KJB31928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLTRISYSSAFTFSASKTSVFSPSVFASSIKVPTFSSLTVKPLCSTFAPHAAHAISFTTHATSTPASVLDTSTKTFHGLCYVVGDNIDTDQIIPAEYLTLVPSNPAEYEKLGSYALIGLPSSYATRFIEPNETKTKYSIVIGGANFGCGSSREHAPVALGAAGAKAVVAESYARIFFRNSVATGEVYPLESEARICEECKTGDVVTIELGESRLINHSTGKEYKLKSIGDAGPVIEAGGIFAYARKTGMIPSQSN >KJB31669 pep chromosome:Graimondii2_0_v6:5:57939272:57939769:-1 gene:B456_005G202700 transcript:KJB31669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTFILEALSKPREQGVPTGQLMLISTHMPSFAPVLPTLFSCSHSHFKRCNIVHVDDNDDDDSGEHLRDNTSGDVVANDVSNIVGSILAAPKMITPNQIPL >KJB29708 pep chromosome:Graimondii2_0_v6:5:22767715:22772005:-1 gene:B456_005G116000 transcript:KJB29708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYDLLLQSDHWLSLNYSKTFIRAISMSISDPSAAQTPLLDDTIDGCLDYKGRPVRRSSSGCWISASFIIVVEIAERFAYYGISSNLITYLTGPLGESTATAAAQVNAWNGAASLLPLLGAFIADSFLGRYRTIILASLVYILGLGLLALSATLGSISSSGGQNADDIALSSSLQFQVILFFSSLYLVAFAQGGHKPCVQAFGADQFDTQDPEECKAKTSFFNWWYFGMCAGTLTTLSILNYIQDNLSWVLGFAIPGIVMAVGLVVFLLGTMTYRYSVKGDEESPIVTIGRVFILAVRNRKTSYSAIAAEEEARGILATESSKQFKFLNKALLAPDGSKEQGEVCSIREVEEAKAVIRLAPIWATSLIYAIAFAQSSTFFTKQGATMDRSTAMMGFEIPAASLQSFISLSVVLFIPIYDRIFVPLARALTGKQAGITLLQRIGSGMVISTISLVIAAVVEMRRLKIAEEYGLLDKPNVMVPMSVWWLVPQYALYGLADVFTMVGLQEFFYDQMPNELRSVGLALYLSIFGVGSFLSSFLISAIENLTSGDGRDSWFANNLNRAHLDYFFLLLAVLSAVGLTLYVCCTKYYIYARWGRTF >KJB29709 pep chromosome:Graimondii2_0_v6:5:22767742:22771954:-1 gene:B456_005G116000 transcript:KJB29709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPSNVGPKIVLGNKGLGLLALSATLGSISSSGGQNADDIALSSSLQFQVILFFSSLYLVAFAQGGHKPCVQAFGADQFDTQDPEECKAKTSFFNWWYFGMCAGTLTTLSILNYIQDNLSWVLGFAIPGIVMAVGLVVFLLGTMTYRYSVKGDEESPIVTIGRVFILAVRNRKTSYSAIAAEEEARGILATESSKQFKFLNKALLAPDGSKEQGEVCSIREVEEAKAVIRLAPIWATSLIYAIAFAQSSTFFTKQGATMDRSTAMMGFEIPAASLQSFISLSVVLFIPIYDRIFVPLARALTGKQAGITLLQRIGSGMVISTISLVIAAVVEMRRLKIAEEYGLLDKPNVMVPMSVWWLVPQYALYGLADVFTMVGLQEFFYDQMPNELRSVGLALYLSIFGVGSFLSSFLISAIENLTSGDGRDSWFANNLNRAHLDYFFLLLAVLSAVGLTLYVCCTKYYIYARWGRTF >KJB29710 pep chromosome:Graimondii2_0_v6:5:22767742:22771959:-1 gene:B456_005G116000 transcript:KJB29710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISDPSAAQTPLLDDTIDGCLDYKGRPVRRSSSGCWISASFIIVVEIAERFAYYGISSNLITYLTGPLGESTATAAAQVNAWNGAASLLPLLGAFIADSFLGRYRTIILASLVYILGLGLLALSATLGSISSSGGQNADDIALSSSLQFQVILFFSSLYLVAFAQGGHKPCVQAFGADQFDTQDPEECKAKTSFFNWWYFVRNRKTSYSAIAAEEEARGILATESSKQFKFLNKALLAPDGSKEQGEVCSIREVEEAKAVIRLAPIWATSLIYAIAFAQSSTFFTKQGATMDRSTAMMGFEIPAASLQSFISLSVVLFIPIYDRIFVPLARALTGKQAGITLLQRIGSGMVISTISLVIAAVVEMRRLKIAEEYGLLDKPNVMVPMSVWWLVPQYALYGLADVFTMVGLQEFFYDQMPNELRSVGLALYLSIFGVGSFLSSFLISAIENLTSGDGRDSWFANNLNRAHLDYFFLLLAVLSAVGLTLYVCCTKYYIYARWGRTF >KJB29321 pep chromosome:Graimondii2_0_v6:5:13255212:13257397:-1 gene:B456_005G094200 transcript:KJB29321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIKLFLRVGFSGDEISLQKALNMVHRNIHEYVAVLFYASWCPFSRSFRPSFLTLSSSYPSIPHFAIKESAVRPSILSKYGVHGFPTLFLLNSKMRVRYHGNRSFESLSTFYNDVTGFQIKSLGKTLRDKTRHVLKHEKHKSSEQESWSFSWARSPQNLLRQETYLALATTFVLFRLLYLFYPTLLVFARFTWKRINRDVKLGTMLEHPLLI >KJB29323 pep chromosome:Graimondii2_0_v6:5:13256186:13257970:-1 gene:B456_005G094200 transcript:KJB29323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKIWEMRILILFLWWRLTCAVTVPVRAPLCPKNSVFEAVFDFRDSFCSIHSDFIESIDSVGVTEGDEISLQKALNMVHRNIHEYVAVLFYASWCPFSRSFRPSFLTLSSSYPSIPHFAIKESAVRPSILSKYGVHGFPTLFLLNSKMRVRYHGNRSFESLSTFYNDVTVNCDCLSGSPCIMHLVASLHKVMDSRGYR >KJB29322 pep chromosome:Graimondii2_0_v6:5:13255212:13257970:-1 gene:B456_005G094200 transcript:KJB29322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKIWEMRILILFLWWRLTCAVTVPVRAPLCPKNSVFEAVFDFRDSFCSIHSDFIESIDSVGVTEGDEISLQKALNMVHRNIHEYVAVLFYASWCPFSRSFRPSFLTLSSSYPSIPHFAIKESAVRPSILSKYGVHGFPTLFLLNSKMRVRYHGNRSFESLSTFYNDVTGFQIKSLGKTLRDKTRHVLKHEKHKSSEQESWSFSWARSPQNLLRQETYLALATTFVLFRLLYLFYPTLLVFARFTWKRINRDVKLGTMLEHPLLI >KJB32009 pep chromosome:Graimondii2_0_v6:5:60192606:60196335:1 gene:B456_005G218600 transcript:KJB32009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVSDEHGSKGVETISSSSSCQSSSSPRSSPYVHEVGVPPKQNLLKEIAAVVKETLFADDPLRHFKDQPSSKKFILGLQAIFPILEWGKHYNLSKFKGDLIAGLTIASLCIPQDIGYAKLANLEPQYGLYSSFVPPLVYAFMGSSRDMAIGPVAVVSLLLGSLLQDEIDSSANPVGYRHLAFTATFFAGLTQFILGFLRLGFLIDFLSHAAIVGFMGGAAVTIALQQLKGLLGIKKFTKDTDIVSVMSSVFSSARHGWNWNTILIGISFLIFLSVTKYIGKKQKKFFWVPAIAPLISVIISTVSVYATRADKHGVEIVKNIRKGINPPSVKEIFFSGQYAGKGFKIGVVSGMIALTEAVAIGRTFASMKDYQLDGNKEMIALGTMNIVGSMTSCYVATGSFSRSAVNYMSGCETAVSNIVMACVVLLTLALITPLFKYTPNAILASIIISAVVGLVDIEAVTLIWKIDKFDFIACMGAFFGVVFSSVEVGLVIAVSISFAKILLQVTRPRTAILGKVPRTATVYRNIQQYPDATKVPGILIVRVDSAIYFSNSNYVKERILRWLADEEEHVKENSQPRFQYLIIEMGPVTDIDTSGIHALEELFRALQKRDIKLVLANPGPVVVDKLHASKFQELIGEGRIFLTVADAVRTCYSKMELEP >KJB31421 pep chromosome:Graimondii2_0_v6:5:55508201:55511186:-1 gene:B456_005G190500 transcript:KJB31421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGKGSTLVHLLVVVLSLVAFGFAIAAERRRSVGTVVKDDITNSTYCVYNSDVATGYGVGAFLFLLSGESLLMGVTKCMCFGRPLAPGSDRAWSIIYFVSSWLTFLVAEACLIAGATRNAYHTKYRGMIYAQNFSCETLRKGVFIAGAVFVVATMILNVYYYMYFAKATTTMPAHKANRTNSTVGMTGYA >KJB31420 pep chromosome:Graimondii2_0_v6:5:55508168:55511186:-1 gene:B456_005G190500 transcript:KJB31420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGKGSTLVHLLVVVLSLVAFGFAIAAERRRSGTVVKDDITNSTYCVYNSDVATGYGVGAFLFLLSGESLLMGVTKCMCFGRPLAPGSDRAWSIIYFVSSWLTFLVAEACLIAGATRNAYHTKYRGMIYAQNFSCETLRKGVFIAGAVFVVATMILNVYYYMYFAKATTTMPAHKANRTNSTVGMTGYA >KJB31419 pep chromosome:Graimondii2_0_v6:5:55508126:55511249:-1 gene:B456_005G190500 transcript:KJB31419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGKGSTLVHLLVVVLSLVAFGFAIAAERRRSVGTVVKDDITNSTYCVYNSDVATGYGVGAFLFLLSGESLLMGVTKCMCFGRPLAPGSDRAWSIIYFVSSWLTFLVAEACLIAGATRNAYHTKYRGMIYAQNFSCETLRKGVFIAGAVFVVATMILNVYYYMYFAKATTTMPAHKANRTNSTVGMTGYA >KJB31422 pep chromosome:Graimondii2_0_v6:5:55508265:55511186:-1 gene:B456_005G190500 transcript:KJB31422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGKGSTLVHLLVVVLSLVAFGFAIAAERRRSVGTVVKDDITNSTYCVYNSDVATGYGVGAFLFLLSGESLLMGVTKCMCFGRPLAPGSDRAWSIIYFVSSWLTFLVAEACLIAGATRNAYHTKYRGMIYAQNFSCETLRKGVFIAGAVFVVATMILNVYYYMYFAKATTTMPAHKANRTNSTVGMTGYA >KJB32353 pep chromosome:Graimondii2_0_v6:5:61855736:61860727:1 gene:B456_005G236700 transcript:KJB32353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKSWLWKKKTSEKTIVATDKVDVSVKRINEEERMEGGRDRIVKNLNENLASVLLDNHAKDDLMTKNVKMAPVLNAGQDMAEADAFALKKELHEALRQGKLANEKLTQSEVALKECMQQLNFVRDEQEQRIRDAVAKTSTEFEKEQKALQDKLTDTNRRLEELTIENSRLAKALLVKEKMIEDQEKQKSQSEAEFSALMAKLDLTEKENAFLKYEFHVLEKELEIRNEEMEYTRRSEDLAHKQHLQDVKNIAKLEAECQKLRLLLQKRLPGLAAVVKMKNEVEMLGKDKSETRRRKLNPTRDLIVRGSTADISPENPIKSINLLLEQLHNVEEENKNLKEIMTKKNAQIQSLGLICSQTSSRPTTEIGIQPKELFKGQKSMELVRSSTISSELSITSGFDIGSFDGTSSSCSWANALFPESARLDKRLKNPMDHKVFTVPEMRLMDDFVEMEKLALSDGKELVPFEQGHGTFSNTKQMQSKDVAGERSFDWLQVVLQAISKHKCISNRSSIEILEDIKIALGCSSLLNAPDVDKTACSMHPIEADGPHISGYIAWKSPNASPSTGSLSGASSVETSAEKTKKPLFQPDLNKSIGKIIELIEGIGLTSSDSSDSCLEKNQTPKQSPAHADYFVRVFQWKSSELSTVLQHFIRACNNMLNKRADLETFAEELSFTLDWIVNYCVTPKEASSARDKIKRHFGWNDSQSEKEVAYASHGVESDVTHISKEQTSLVDSFASLPDQSHSVIPPLEEENKRLKDDLKDMKTRLESETDKSEALMLQLQELKESIGSLQAELNMLKESKEMIEEQVENQKSINEDLDTQLTVAKAKLNEIFHKLSSLEVELEYKNSCCEELEATCLELQLQLESVAKKETPKYVMDQEGKQSQNGWEITAASVKLAECQETILNLGKQLKVLASPQEAVLFDKVFSNSKAATTAINNRRVNKRLSLRDRMLADNGSKVEDAENSTLLHSDNRKTSGLLLHASGSCLGSKNESANAGVMALAIVPSKKQGVGLLRKLLFRRKKGYTKKFRYQH >KJB32356 pep chromosome:Graimondii2_0_v6:5:61856592:61860632:1 gene:B456_005G236700 transcript:KJB32356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKSWLWKKKTSEKTIVATDKVDVSVKRINEEERMEGGRDRIVKNLNENLASVLLDNHAKDDLMTKNVKMAPVLNAGQDMAEADAFALKKELHEALRQGKLANEKLTQSEVALKECMQQLNFVRDEQEQRIRDAVAKTSTEFEKEQKALQDKLTDTNRRLEELTIENSRLAKALLVKEKMIEDQEKQKSQSEAEFSALMAKLDLTEKENAFLKYEFHVLEKELEIRNEEMEYTRRSEDLAHKQHLQDVKNIAKLEAECQKLRLLLQKRLPGLAAVVKMKNEVEMLGKDKSETRRRKLNPTRDLIVRGSTADISPENPIKSINLLLEQLHNVEEENKNLKEIMTKKNAQIQSLGLICSQTSSRPTTEIGIQPKELFKGQKSMELVRSSTISSELSITSGFDIGSFDGTSSSCSWANALFPESARLDKRLKNPMDHKVFTVPEMRLMDDFVEMEKLALSDGKELVPFEQGHGTFSNTKQMQSKDVAGERSFDWLQVVLQAISKHKCISNRSSIEILEDIKIALGCSSLLNAPDVDKTACSMHPIEADGPHISGYIAWKSPNASPSTGSLSGASSVETSAEKTKKPLFQPDLNKSIGKIIELIEGIGLTSSDSSDSCLEKNQTPKQSPAHADYFVRVFQWKSSELSTVLQHFIRACNNMLNKRADLETFAEELSFTLDWIVNYCVTPKEASSARDKIKRHFGWNDSQSEKEVAYASHGVESDVTHISKEQTSLVDSFASLPDQSHSVIPPLEEENKRLKDDLKDMKTRLESETDKSEALMLQLQELKESIGSLQAELNMLKESKEMIEEQVENQKSINEDLDTQLTVAKAKLNEIFHKLSSLEVELEYKNSCCEELEATCLELQLQLESVAKKETPKYVMDQEGKQSQNGWEITAASVKLAECQETILNLGKQLKVLASPQEAVLFDKVFSNSKAATTAINNRRVNKRLSLRDRMLADNGSKVEDAENSTLLHSDNRKTSGLLLHASGSCLGSKNESANAGVMALAIVPSKKQGVGLLRKLLFRRKKGYTKKFRYQH >KJB32355 pep chromosome:Graimondii2_0_v6:5:61856319:61860632:1 gene:B456_005G236700 transcript:KJB32355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKSWLWKKKTSEKTIVATDKVDVSVKRINEEERMEGGRDRIVKNLNENLASVLLDNHAKDDLMTKNVKMAPVLNAGQDMAEADAFALKKELHEALRQGKLANEKLTQSEVALKECMQQLNFVRDEQEQRIRDAVAKTSTEFEKEQKALQDKLTDTNRRLEELTIENSRLAKALLVKEKMIEDQEKQKSQSEAEFSALMAKLDLTEKENAFLKYEFHVLEKELEIRNEEMEYTRRSEDLAHKQHLQDVKNIAKLEAECQKLRLLLQKRLPGLAAVVKMKNEVEMLGKDKSETRRRKLNPTRDLIVRGSTADISPENPIKSINLLLEQLHNVEEENKNLKEIMTKKNAQIQSLGLICSQTSSRPTTEIGIQPKELFKGQKSMELVRSSTISSELSITSGFDIGSFDGTSSSCSWANALFPESARLDKRLKNPMDHKVFTVPEMRLMDDFVEMEKLALSDGKELVPFEQGHGTFSNTKQMQSKDVAGERSFDWLQVVLQAISKHKCISNRSSIEILEDIKIALGCSSLLNAPDVDKTACSMHPIEADGPHISGYIAWKSPNASPSTGSLSGASSVETSAEKTKKPLFQPDLNKSIGKIIELIEGIGLTSSDSSDSCLEKNQTPKQSPAHADYFVRVFQWKSSELSTVLQHFIRACNNMLNKRADLETFAEELSFTLDWIVNYCVTPKEASSARDKIKRHFGWNDSQSEKEVAYASHGVESDVTHISKEQTSLVDSFASLPDQSHSVIPPLEEENKRLKDDLKDMKTRLESETDKSEALMLQLQELKESIGSLQAELNMLKESKEMIEEQVENQKSINEDLDTQLTVAKAKLNEIFHKLSSLEVELEYKNSCCEELEATCLELQLQLESVAKKETPKYVMDQEGKQSQNGWEITAASVKLAECQETILNLGKQLKVLASPQEAVLFDKVFSNSKAATTAINNRRVNKRLSLRDRMLADNGSKVEDAENSTLLHSDNRKTSGLLLHASGSCLGSKNESANAGVMALAIVPSKKQGVGLLRKLLFRRKKGYTKKFRYQH >KJB32354 pep chromosome:Graimondii2_0_v6:5:61856001:61860632:1 gene:B456_005G236700 transcript:KJB32354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDMAEADAFALKKELHEALRQGKLANEKLTQSEVALKECMQQLNFVRDEQEQRIRDAVAKTSTEFEKEQKALQDKLTDTNRRLEELTIENSRLAKALLVKEKMIEDQEKQKSQSEAEFSALMAKLDLTEKENAFLKYEFHVLEKELEIRNEEMEYTRRSEDLAHKQHLQDVKNIAKLEAECQKLRLLLQKRLPGLAAVVKMKNEVEMLGKDKSETRRRKLNPTRDLIVRGSTADISPENPIKSINLLLEQLHNVEEENKNLKEIMTKKNAQIQSLGLICSQTSSRPTTEIGIQPKELFKGQKSMELVRSSTISSELSITSGFDIGSFDGTSSSCSWANALFPESARLDKRLKNPMDHKVFTVPEMRLMDDFVEMEKLALSDGKELVPFEQGHGTFSNTKQMQSKDVAGERSFDWLQVVLQAISKHKCISNRSSIEILEDIKIALGCSSLLNAPDVDKTACSMHPIEADGPHISGYIAWKSPNASPSTGSLSGASSVETSAEKTKKPLFQPDLNKSIGKIIELIEGIGLTSSDSSDSCLEKNQTPKQSPAHADYFVRVFQWKSSELSTVLQHFIRACNNMLNKRADLETFAEELSFTLDWIVNYCVTPKEASSARDKIKRHFGWNDSQSEKEVAYASHGVESDVTHISKEQTSLVDSFASLPDQSHSVIPPLEEENKRLKDDLKDMKTRLESETDKSEALMLQLQELKESIGSLQAELNMLKESKEMIEEQVENQKSINEDLDTQLTVAKAKLNEIFHKLSSLEVELEYKNSCCEELEATCLELQLQLESVAKKETPKYVMDQEGKQSQNGWEITAASVKLAECQETILNLGKQLKVLASPQEAVLFDKVFSNSKAATTAINNRRVNKRLSLRDRMLADNGSKVEDAENSTLLHSDNRKTSGLLLHASGSCLGSKNESANAGVMALAIVPSKKQGVGLLRKLLFRRKKGYTKKFRYQH >KJB31805 pep chromosome:Graimondii2_0_v6:5:58991202:58994204:-1 gene:B456_005G209100 transcript:KJB31805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLCDFCRGVRAVVYCKSDSARLCLRCDGCVHSANLLSCRHARSLLCEKCNSQSSIVRCLDERLSLCQDCDWNGNGCLSLGHRREPLNCYTGCPSMAEFRRIWSSVLDASSRGGFDTGLSQPGNDDCFANCSDQREQGASFGSAGTKLSELDSSSKLKPCMESSCLISTNANCMPYCGDQESLFSEDMPKGYSELKDFKVPDGDDLCEGLIMNDFQLTFETADEILGCSQAQTRCQFGNVGTDSILMEKTLSVTESDGPIETTLEASSSVKKDCVPFPSSQVGGLASMMASMIGTSNCMLINPGCNINLGYPVGQIPSTMAHSLSTIAVENSPADYQDCGLAPVFLTGESPWESNLEVSCPQARDKAKIRYNEKKKTRIFGKQIRYASRKARADTRKRVKGRFVKAGEAYDYDPLVPRNF >KJB31804 pep chromosome:Graimondii2_0_v6:5:58989570:58994721:-1 gene:B456_005G209100 transcript:KJB31804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLCDFCRGVRAVVYCKSDSARLCLRCDGCVHSANLLSCRHARSLLCEKCNSQSSIVRCLDERLSLCQDCDWNGNGCLSLGHRREPLNCYTGCPSMAEFRRIWSSVLDASSRGGFDTGLSQPGNDDCFANCSDQREQGASFGSAGTKLSELDSSSKLKPCMESSCLISTNANCMPYCGDQESLFSEDMPKGYSELKDFKVPDGDDLCEGLIMNDFQLTFETADEILGCSQAQTRCQFGNVGTDSILMEKTLSVTESDGPIETTLEASSSVKKDCVPFPSSQVGGLASMMASMIGTSNCMLINPGCNINLGYPVGQIPSTMAHSLSTIAVENSPADYQDCGLAPVFLTGESPWESNLEVSCPQARDKAKIRYNEKKKTRIFGKQIRYASRKARADTRKRVKGRFVKAGEAYDYDPLVPRNF >KJB28998 pep chromosome:Graimondii2_0_v6:5:9126964:9138720:-1 gene:B456_005G079300 transcript:KJB28998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDSDDEDELLQRALKDQSTRDLNYQKPSSSNPRKPVVNFVQPPPKPKGSASPAPKNPKGRKMSMDEDEDSEVEMLSISSGDEDVGKDPKGGAGGRGRGRPMKDDDGVWDGEEPDTWKRVDETELARRVREMRESRTAPVAQKFERKATTAPVGRALNTLQSFPRGMECVDPLGLGIIDNKTLRLITASSESSHAEKEHLDSNLREKLMYFSEKFDAKLFLSRIHQDTPAADLEAGALGLKTDLQGRTQQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTTHLFKCMQGVCSLADRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHVKILKRVLEEVEKVMQEFKGTLYKSMEDPQIDLTSLENTVRLLLELEPESDPVWHYLNVQNHRIRGLLEKCTSDHEARMETLHNELREKAISDAKWLQIQQNLSQSSDANYSLGNIELPIELQPLALTGEEVDVLRGKYIKRLTTVLVHHIPAFWKVALSVFSGKFAKVSDSSGGKSEEKVGDGRYSSHSLDEVAGMMRGTISLYEVKVLNAFRDLEESSLQSYMSDAINEISKACVAFEAKESAPPIAVMALRTLQAEVTKIYILRLCSWMRASTEGITKDETWVPVSILERNKSPYTISYLPLAFRSVMTSAIDQINMMIQSLRSEATKFEDMFAQLQEIQESVKIAFLNCFLDFAGHLEHIGIDLAQNKSSKEGLHLQNGFSHESEEESSSDLPGSIVDPHQRLLIVLSNIGYCKDELSSELYKKYKCIWLQSRDKDEEESDIQELVVSFTGLEEKVLEQYTFAKANLIRTAAMNYLLDSGVQWGSAPAVKGVRDAAVELLHTLVAVHAEVFAGAKPLLDKTLGILVEGLIDILISLFHENESKDLSSLDANGFCQLMLELEYFETILNPFFTSDARESMKSLQGVLLEKATESLSEVENPGHNRRPTRGSEDAAADEKQQGASVSPDDLIALAQQYSSELLQGELERTRINTACFVESLPMESAPDSVKAAYASFRGPMDSPSKNYRGTQATGSPSFTQRRRR >KJB29002 pep chromosome:Graimondii2_0_v6:5:9128639:9138691:-1 gene:B456_005G079300 transcript:KJB29002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDSDDEDELLQRALKDQSTRDLNYQKPSSSNPRKPVVNFVQPPPKPKGSASPAPKNPKGRKMSMDEDEDSEVEMLSISSGDEDVGKDPKGGAGGRGRGRPMKDDDGVWDGEEPDTWKRVDETELARRVREMRESRTAPVAQKFERKATTAPVGRALNTLQSFPRGMECVDPLGLGIIDNKTLRLITASSESSHAEKEHLDSNLREKLMYFSEKFDAKLFLSRIHQDTPAADLEAGALGLKTDLQGRTQQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTTHLFKCMQGVCSLADRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHVKILKRVLEEVEKVMQEFKGTLYKSMEDPQIDLTSLENTVRLLLELEPESDPVWHYLNVQNHRIRGLLEKCTSDHEARMETLHNELREKAISDAKWLQIQQNLSQSSDANYSLGNIELPIELQPLALTGEEVDVLRGKYIKRLTTVLVHHIPAFWKVALSVFSGKFAKSSQVSDSSGGKSEEKVGDGRYSSHSLDEVAGMMRGTISLYEVKVLNAFRDLEESSLQSYMSDAINEISKACVAFEAKESAPPIAVMALRTLQAEVTKIYILRLCSWMRASTEGITKDETWVPVSILERNKSPYTISYLPLAFRSVMTSAIDQINMMIQSLRSEATKFEDMFAQLQEIQESVKIAFLNCFLDFAGHLEHIGIDLAQNKSSKEGLHLQNGFSHESEEESSSDLPGSIVDPHQRLLIVLSNIGYCKDELSSELYKKYKCIWLQSRDKDEEESDIQELVVSFTGLEEKVLEQYTFAKANLIRTAAMNYLLDSGVQWGSAPAVKGVRDAAVELLHTLVAVHAEVFAGAKPLLDKTLGILVEGLIDILISLFHENESKDLSSLDANGFCQLMLEVFM >KJB29000 pep chromosome:Graimondii2_0_v6:5:9127593:9138567:-1 gene:B456_005G079300 transcript:KJB29000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDSDDEDELLQRALKDQSTRDLNYQKPSSSNPRKPVVNFVQPPPKPKGSASPAPKNPKGRKMSMDEDEDSEVEMLSISSGDEDVGKDPKGGAGGRGRGRPMKDDDGVWDGEEPDTWKRVDETELARRVREMRESRTAPVAQKFERKATTAPVGRALNTLQSFPRGMECVDPLGLGIIDNKTLRLITASSESSHAEKEHLDSNLREKLMYFSEKFDAKLFLSRIHQDTPAADLEAGALGLKTDLQGRTQQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTTHLFKCMQGVCSLADRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHVKILKRVLEEVEKVMQEFKGTLYKSMEDPQIDLTSLENTVRLLLELEPESDPVWHYLNVQNHRIRGLLEKCTSDHEARMETLHNELREKAISDAKWLQIQQNLSQSSDANYSLGNIELPIELQPLALTGEEVDVLRGKYIKRLTTVLVHHIPAFWKVALSVFSGKFAKSSQVSDSSGGKSEEKVGDGRYSSHSLDEVAGMMRGTISLYEVKVLNAFRDLEESSLQSYMSDAINEISKACVAFEAKESAPPIAVMALRTLQAEVTKIYILRLCSWMRASTEGITKDETWVPVSILERNKSPYTISYLPLAFRSVMTSAIDQINMMIQSLRSEATKFEDMFAQLQEIQESVKIAFLNCFLDFAGHLEHIGIDLAQNKSSKEGLHLQNGFSHESEEESSSDLPGSIVDPHQRLLIVLSNIGYCKDELSSELYKKYKCIWLQSRDKDEEESDIQELVVSFTGLEEKVLEQYTFAKANLIRTAAMNYLLDSGVQWGSAPAVKGVRDAAVELLHTLVAVHAEVFAGAKPLLDKTLGILVEGLIDILISLFHENESKDLSSLDANGFCQLMLELEYFETILNPFFTSDARESMKSLQGVLLEKATESLSEVENPGHNRRPTRGSEDAAADEKQQGASVSPDDLIALAQQYSSELLQGELERTRINTACFVESLPMESAPDSVKAAYASFRGPMDSPSKNYRGTQATGSPSFTQRRRR >KJB29003 pep chromosome:Graimondii2_0_v6:5:9131522:9138691:-1 gene:B456_005G079300 transcript:KJB29003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDSDDEDELLQRALKDQSTRDLNYQKPSSSNPRKPVVNFVQPPPKPKGSASPAPKNPKGRKMSMDEDEDSEVEMLSISSGDEDVGKDPKGGAGGRGRGRPMKDDDGVWDGEEPDTWKRVDETELARRVREMRESRTAPVAQKFERKATTAPVGRALNTLQSFPRGMECVDPLGLGIIDNKTLRLITASSESSHAEKEHLDSNLREKLMYFSEKFDAKLFLSRIHQDTPAADLEAGALGLKTDLQGRTQQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTTHLFKCMQGVCSLADRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHVKILKRVLEEVEKVMQEFKGTLYKSMEDPQIDLTSLENTVRLLLELEPESDPVWHYLNVQNHRIRGLLEKCTSDHEARMETLHNELREKAISDAKWLQIQQNLSQSSDANYSLGNIELPIELQPLALTGEEVDVLRGKYIKRLTTVLVHHIPAFWKVALSVFSGKFAKSSQVSDSSGGKSEEKVGDGRYSSHSLDEVAGMMRGTISLYEVKVLNAFRDLEESSLQSYMSDAINEISKACVAFEAKESAPPIAVMALRTLQAEVTKIYILRLCSWMRASTEGITKDETWVPVSILERNKSPYTISYLPLAFRSVMTSAIDQINM >KJB28997 pep chromosome:Graimondii2_0_v6:5:9127289:9138691:-1 gene:B456_005G079300 transcript:KJB28997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDSDDEDELLQRALKDQSTRDLNYQKPSSSNPRKPVVNFVQPPPKPKGSASPAPKNPKGRKMSMDEDEDSEVEMLSISSGDEDVGKDPKGGAGGRGRGRPMKDDDGVWDGEEPDTWKRVDETELARRVREMRESRTAPVAQKFERKATTAPVGRALNTLQSFPRGMECVDPLGLGIIDNKTLRLITASSESSHAEKEHLDSNLREKLMYFSEKFDAKLFLSRIHQDTPAADLEAGALGLKTDLQGRTQQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTTHLFKCMQGVCSLADRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHVKILKRVLEEVEKVMQEFKGTLYKSMEDPQIDLTSLENTVRLLLELEPESDPVWHYLNVQNHRIRGLLEKCTSDHEARMETLHNELREKAISDAKWLQIQQNLSQSSDANYSLGNIELPIELQPLALTGEEVDVLRGKYIKRLTTVLVHHIPAFWKVALSVFSGKFAKSSQVSDSSGGKSEEKVGDGRYSSHSLDEVAGMMRGTISLYEVKVLNAFRDLEESSLQSYMSDAINEISKACVAFEAKESAPPIAVMALRTLQAEVTKIYILRLCSWMRASTEGITKDETWVPVSILERNKSPYTISYLPLAFRSVMTSAIDQINMMIQSLRSEATKFEDMFAQLQEIQESVKIAFLNCFLDFAGHLEHIGIDLAQNKSSKEGLHLQNGFSHESEEESSSDLPGSIVDPHQRLLIVLSNIGYCKDELSSELYKKYKCIWLQSRDKDEEESDIQELVVSFTGLEEKVLEQYTFAKANLIRTAAMNYLLDSGVQWGSAPAVKGVRDAAVELLHTLVAVHAEVFAGAKPLLDKTLGILVEGLIDILISLFHENESKDLSSLDANGFCQLMLELEYFETILNPFFTSDARESMKSLQGVLLEKATESLSEVENPGHNRRPTRGSEDAAADEKQQGASVSPDDLIALAQQYSSELLQGELERTRINTACFVESLPMESAPDSVKAAYASFRGPMDSPSKNYRGTQATGSPSFTQRRRR >KJB28999 pep chromosome:Graimondii2_0_v6:5:9127289:9137339:-1 gene:B456_005G079300 transcript:KJB28999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFSEKFDAKLFLSRIHQDTPAADLEAGALGLKTDLQGRTQQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTTHLFKCMQGVCSLADRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHVKILKRVLEEVEKVMQEFKGTLYKSMEDPQIDLTSLENTVRLLLELEPESDPVWHYLNVQNHRIRGLLEKCTSDHEARMETLHNELREKAISDAKWLQIQQNLSQSSDANYSLGNIELPIELQPLALTGEEVDVLRGKYIKRLTTVLVHHIPAFWKVALSVFSGKFAKSSQVSDSSGGKSEEKVGDGRYSSHSLDEVAGMMRGTISLYEVKVLNAFRDLEESSLQSYMSDAINEISKACVAFEAKESAPPIAVMALRTLQAEVTKIYILRLCSWMRASTEGITKDETWVPVSILERNKSPYTISYLPLAFRSVMTSAIDQINMMIQSLRSEATKFEDMFAQLQEIQESVKIAFLNCFLDFAGHLEHIGIDLAQNKSSKEGLHLQNGFSHESEEESSSDLPGSIVDPHQRLLIVLSNIGYCKDELSSELYKKYKCIWLQSRDKDEEESDIQELVVSFTGLEEKVLEQYTFAKANLIRTAAMNYLLDSGVQWGSAPAVKGVRDAAVELLHTLVAVHAEVFAGAKPLLDKTLGILVEGLIDILISLFHENESKDLSSLDANGFCQLMLELEYFETILNPFFTSDARESMKSLQGVLLEKATESLSEVENPGHNRRPTRGSEDAAADEKQQGASVSPDDLIALAQQYSSELLQGELERTRINTACFVESLPMESAPDSVKAAYASFRGPMDSPSKNYRGTQATGSPSFTQRRRR >KJB29001 pep chromosome:Graimondii2_0_v6:5:9127990:9138691:-1 gene:B456_005G079300 transcript:KJB29001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDSDDEDELLQRALKDQSTRDLNYQKPSSSNPRKPVVNFVQPPPKPKGSASPAPKNPKGRKMSMDEDEDSEVEMLSISSGDEDVGKDPKGGAGGRGRGRPMKDDDGVWDGEEPDTWKRVDETELARRVREMRESRTAPVAQKFERKATTAPVGRALNTLQSFPRGMECVDPLGLGIIDNKTLRLITASSESSHAEKEHLDSNLREKLMYFSEKFDAKLFLSRIHQDTPAADLEAGALGLKTDLQGRTQQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTTHLFKCMQGVCSLADRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHVKILKRVLEEVEKVMQEFKGTLYKSMEDPQIDLTSLENTVRLLLELEPESDPVWHYLNVQNHRIRGLLEKCTSDHEARMETLHNELREKAISDAKWLQIQQNLSQSSDANYSLGNIELPIELQPLALTGEEVDVLRGKYIKRLTTVLVHHIPAFWKVALSVFSGKFAKSSQVSDSSGGKSEEKVGDGRYSSHSLDEVAGMMRGTISLYEVKVLNAFRDLEESSLQSYMSDAINEISKACVAFEAKESAPPIAVMALRTLQAEVTKIYILRLCSWMRASTEGITKDETWVPVSILERNKSPYTISYLPLAFRSVMTSAIDQINMMIQSLRSEATKFEDMFAQLQEIQESVKIAFLNCFLDFAGHLEHIGIDLAQNKSSKEGLHLQNGFSHESEEESSSDLPGSIVDPHQRLLIVLSNIGYCKDELSSELYKKYKCIWLQSRDKDEEESDIQELVVSFTGLEEKVLEQYTFAKANLIRTAAMNYLLDSGVQWGSAPAVKGVRDAAVELLHTLVAVHAEVFAGAKPLLDKTLGILVEGLIDILISLFHENESKDLSSLDANGFCQLMLELEYFETILNPFFTSDARESMKSLQGVLLEKATESLSEVENPGHNRRPTRGSEDAAADEKQQGASVSPDDLIVRFLFF >KJB27873 pep chromosome:Graimondii2_0_v6:5:1223963:1226530:1 gene:B456_005G016800 transcript:KJB27873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP2 MKKKMIAIGFEGSANKIGIGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHVLPLVKSALKTAEITPDEIDCICYTKGPGMGAPLQVSAIVVRVLSLLWKKPIVAVNHCVAHIEMGRIVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEATAEEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDSKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLAFAHGYSTPLEESTFTQRFRTDEVHAIWREKQESGDFSALPDGGI >KJB27875 pep chromosome:Graimondii2_0_v6:5:1223945:1227816:1 gene:B456_005G016800 transcript:KJB27875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP2 MKKKMIAIGFEGSANKIGIGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHVLPLVKSALKTAEITPDEIDCICYTKGPGMGAPLQVSAIVVRVLSLLWKKPIVAVNHCVAHIEMGRIVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEATAEEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDSKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLAFAHGYSTPLEESTFTQRFRTDEVHAIWREKQESGDFSALPDGGI >KJB27874 pep chromosome:Graimondii2_0_v6:5:1223945:1227816:1 gene:B456_005G016800 transcript:KJB27874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP2 MKKKMIAIGFEGSANKIGIGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHVLPLVKSALKTAEITPDEIDCICYTKGPGMGAPLQVSAIVVRVLSLLWKKPIVAVNHCVAHIEMGRIVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEATAEEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDSKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLAFAHGYSTPLEESTFTQRFRTDEVHAIWREKQESGDFSALPDGGI >KJB31858 pep chromosome:Graimondii2_0_v6:5:59231159:59232642:-1 gene:B456_005G211400 transcript:KJB31858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYAKPSTNLISKASHLRHTLWISKEHMDFKKAHEELNVLLPFNPKVKVQHAQREQLAVAKLEVTKSQILSRSKIISLQDTFMRVLRIESSHLVQTNNRVLVTKGVIVAVRRWGESCDIIVMSQAILSISV >KJB31092 pep chromosome:Graimondii2_0_v6:5:51514961:51516071:-1 gene:B456_005G175600 transcript:KJB31092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDWILSKIPGFAPSKHAYASAANEEGTFLWITVFKTLTFPLRSHPTRPDAELKVLLSYAASKWILTLEPYPP >KJB31091 pep chromosome:Graimondii2_0_v6:5:51515118:51516071:-1 gene:B456_005G175600 transcript:KJB31091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDWILSKIPGFAPSKHAYASAANEEGTFLWITVFKTLTFPLRSHPTRPDAELKVLLSYAASKWILTLEPYPP >KJB32226 pep chromosome:Graimondii2_0_v6:5:61321178:61323566:-1 gene:B456_005G230600 transcript:KJB32226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGNGGKSDDFQPFPVKDQLPGVDFCVCTSPSWPESILHGFQHYLVMLGTTVIVSSTIVPLMGGGNKEKAEVINTLLFVSGLNTLLQTFFGTRLPVVIGGSYVFIIPTVSIALSSRYSFLTDPNERFKESMRDVQGALIVASFFTMTIGFFGFWRVFARFFSPLAAVPLVILTGLGLYSHGFPQLARCIEVGLPALIAVIFFSQYIPHLIKSNRAIFDRFAILFSIVVIWVYAEILTAAGAYDNSVSKAQFSCRTDRSGLTSAASWIRVPYPLQWGRPSFHAGDAVSVIAASFAAIIESTGTFIAASRYASATPIPPSVHSRGVGWLGVAILLNGLFGTGTGSTASVENAGLLGLTRVGSRRVVQISALFMLFLSVFGTVHWYCI >KJB32223 pep chromosome:Graimondii2_0_v6:5:61320201:61323854:-1 gene:B456_005G230600 transcript:KJB32223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGNGGKSDDFQPFPVKDQLPGVDFCVCTSPSWPESILHGFQHYLVMLGTTVIVSSTIVPLMGGGNKEKAEVINTLLFVSGLNTLLQTFFGTRLPVVIGGSYVFIIPTVSIALSSRYSFLTDPNERFKESMRDVQGALIVASFFTMTIGFFGFWRVFARFFSPLAAVPLVILTGLGLYSHGFPQLARCIEVGLPALIAVIFFSQYIPHLIKSNRAIFDRFAILFSIVVIWVYAEILTAAGAYDNSVSKAQFSCRTDRSGLTSAASWIRVPYPLQWGRPSFHAGDAVSVIAASFAAIIESTGTFIAASRYASATPIPPSVHSRGVGWLGVAILLNGLFGTGTGSTASVENAGLLGLTRVGSRRVVQISALFMLFLSVFGKFGAILASIPLPIVAALYCVLFAYVASAGLGLLQFCNLNSFRTKFILGFSLFIGLSISQYFNEYLLVSGHGPVHTQSTWFNNVMQTIFSSPATVAIMVAFFLDCTHSYWHDSVRLDNGSHWWEKFRSFNADTRTENFYSLPANLNRFFPSF >KJB32225 pep chromosome:Graimondii2_0_v6:5:61321178:61323566:-1 gene:B456_005G230600 transcript:KJB32225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGNGGKSDDFQPFPVKDQLPGVDFCVCTSPSWPESILHGFQHYLVMLGTTVIVSSTIVPLMGGGNKEKAEVINTLLFVSGLNTLLQTFFGTRLPVVIGGSYVFIIPTVSIALSSRYSFLTDPNERFKESMRDVQGALIVASFFTMTIGFFGFWRVFARFFSPLAAVPLVILTGLGLYSHGFPQLARCIEVGLPALIAVIFFSQYIPHLIKSNRAIFDRFAILFSIVVIWVYAEILTAAGAYDNSVSKAQFSCRTDRSGLTSAASWIRVPYPLQWGRPSFHAGDAVSVIAASFAAIIESTGTFIAASRYASATPIPPSVHSRGVGWLGVAILLNGLFGTGTGSTASVENAGLLGLTRVGSRRVVQISALFMLFLSVFGTVHWYCI >KJB32227 pep chromosome:Graimondii2_0_v6:5:61320319:61323632:-1 gene:B456_005G230600 transcript:KJB32227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGNGGKSDDFQPFPVKDQLPGVDFCVCTSPSWPESILHGFQHYLVMLGTTVIVSSTIVPLMGGGNKEKAEVINTLLFVSGLNTLLQTFFGTRLPVVIGGSYVFIIPTVSIALSSRYSFLTDPNERFKESMRDVQGALIVASFFTMTIGFFGFWRVFARFFSPLAAVPLVILTGLGLYSHGFPQLARCIEVGLPALIAVIFFSQYIPHLIKSNRAIFDRFAILFSIVVIWVYAEILTAAGAYDNSVSKAQFSCRTDRSGLTSAASWIRVPYPLQWGRPSFHAGDAVSVIAASFAAIIESTGTFIAASRYASATPIPPSVHSRGVGWLGVAILLNGLFGTGTGSTASVENAGLLGLTRVGSRRVVQISALKIWCYFGFNTITNCCCSVLCPLCLCCFSWSRSPSVLQPKQLQNQVYTGIFSLHRPFNISIFQ >KJB32224 pep chromosome:Graimondii2_0_v6:5:61320299:61323760:-1 gene:B456_005G230600 transcript:KJB32224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGNGGKSDDFQPFPVKDQLPGVDFCVCTSPSWPESILHGFQHYLVMLGTTVIVSSTIVPLMGGGNKEKAEVINTLLFVSGLNTLLQTFFGTRLPVVIGGSYVFIIPTVSIALSSRYSFLTDPNERFKESMRDVQGALIVASFFTMTIGFFGFWRVFARFFSPLAAVPLVILTGLGLYSHGFPQLARCIEVGLPALIAVIFFSQYIPHLIKSNRAIFDRFAILFSIVVIWVYAEILTAAGAYDNSVSKAQFSCRTDRSGLTSAASWIRVPYPLQWGRPSFHAGDAVSVIAASFAAIIESTGTFIAASRYASATPIPPSVHSRGVGWLGVAILLNGLFGTGTGSTASVENAGLLGLTRVGSRRVVQISALFMLFLSVFGKFGAILASIPLPIVAALYCVLFAYVGLSISQYFNEYLLVSGHGPVHTQSTWFNNVMQTIFSSPATVAIMVAFFLDCTHSYWHDSVRLDNGSHWWEKFRSFNADTRTENFYSLPANLNRFFPSF >KJB31605 pep chromosome:Graimondii2_0_v6:5:57155374:57158249:-1 gene:B456_005G197300 transcript:KJB31605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MGSREIKSPEDMLETPASLEEDGRSRKKLGMFFIESDNRRTAFGRGYTGGTTPVDIHGKPIADLSKTGGWIAALFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFSSSSNAVNNFLGISQVSSVLGGFLADAYLGRYWTIAIFTTIYLAGLIGITLCASMKIFVPNQDQCDQLSLLLGSCEPAKPWQMLYLYVVLYLTAFGAAGIRPCVSSFGADQFDERSKDYKTLLDRHRLPGGSPLTRVAQVLVAAFKKQHVPFTRSELVGLYELPGRHSAIKGSGKIPHTDDFRCLDKAALQLKEDGGNPSPWRLCTVTQVEEVKILIKLIPIPACTIMLNVILTEFITLSIQQAYTLNTHIGKLKLPVTCMPVFPGLSIFLILCLYYSVFVPVSRRITGHPHGASQLQRVGIGLFISILSVAWGGVFERFRRGYAIKHGYEFSFLTPMPDLSAYWLLIQYCLIGIAEVFSIVGLLEFLYEEAPDAMKSIGSAYAAIAGGLGCFAASIINSIVKSVTRNPENKQRSWLSQNINNAKFDYFYWLLTVLSIINFCFFLYSAHRYKYRTEQTFEAWETKQNILAEDS >KJB31603 pep chromosome:Graimondii2_0_v6:5:57155374:57158203:-1 gene:B456_005G197300 transcript:KJB31603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MGSREIKSPEDMLETPASLEEDGRSRKKLGMFFIESDNRRTAFGRGYTGGTTPVDIHGKPIADLSKTGGWIAALFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFSSSSNAVNNFLGISQVSSVLGGFLADAYLGRYWTIAIFTTIYLAGLIGITLCASMKIFVPNQDQCDQLSLLLGSCEPAKPWQMLYLYVVLYLTAFGAAGIRPCVSSFGADQFDERSKDYKTLLDRFFNFFYLCVTIGAIVAFTLVVYIQIERGWGAAFGSLAIAMGVSNMLFFAGTPLYRHRLPGGSPLTRVAQVLVAAFKKQHVPFTRSELVGLYELPGRHSAIKGSGKIPHTDDFRCLDKAALQLKEDGGNPSPWRLCTVTQVEEVKILIKLIPIPACTIMLNVILTEFITLSIQQAYTLNTHIGKLKLPVTCMPVFPGLSIFLILCLYYSVFVPVSRRITGHPHGASQLQRVGIGLFISILSVAWGGVFERFRRGYAIKHGYEFSFLTPMPDLSAYWLLIQYCLIGIAEVFSIVGLLEFLYEEAPDAMKSIGSAYAAIAGGLGCFAASIINSIVKSVTRNPENKQRSWLSQNINNAKFDYFYWLLTVLSIINFCFFLYSAHRYKYRTEQTFEAWETKQNILAEDS >KJB31607 pep chromosome:Graimondii2_0_v6:5:57155374:57158271:-1 gene:B456_005G197300 transcript:KJB31607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MGSREIKSPEDMLETPASLEEDGRSRKKLGMFFIESDNRRTAFGRGYTGGTTPVDIHGKPIADLSKTGGWIAALFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFSSSSNAVNNFLGISQVSSVLGGFLADAYLGRYWTIAIFTTIYLAGLIGITLCASMKIFVPNQDQCDQLSLLLGSCEPAKPWQMLYLYVVLYLTAFGAAGIRPCVSSFGADQFDERSKDYKTLLDRFFNFFYLCVTIGAIVAFTLVVYIQIERGWGAAFGSLAIAMGVSNMLFFAGTPLYRHRLPGGSPLTRVAQVLVAAFKKQHVPFTRSELVGLYELPGRHSAIKGSGKIPHTDDFRCLDKAALQLKEDGGNPSPWRLCTVTQVEEVKILIKLIPIPACTIMLNVILTEFITLSIQQAYTLNTHIGKLKLPVTCMPVFPGLSIFLILCLYYSVFVPVSRRITGHPHGASQLQRVGIGLFISILSVAWGGVFERFRRGYAIKHGYEFSFLTPMPDLSAYWLLIQYCLIGIAEVFSIVGLLEFLYEEAPDAMKSIGSAYAAIAGGLGCFAASIINSIVKSVTRNPENKQRSWLSQNINNAKFDYFYWLLTVLSIINFCFFLYSAHRYKYRTEQTFEAWETKQNILAEDS >KJB31608 pep chromosome:Graimondii2_0_v6:5:57155374:57158505:-1 gene:B456_005G197300 transcript:KJB31608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MGSREIKSPEDMLETPASLEEDGRSRKKLGMFFIESDNRRTAFGRGYTGGTTPVDIHGKPIADLSKTGGWIAALFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFSSSSNAVNNFLGISQVSSVLGGFLADAYLGRYWTIAIFTTIYLAGLIGITLCASMKIFVPNQDQCDQLSLLLGSCEPAKPWQMLYLYVVLYLTAFGAAGIRPCVSSFGADQFDERSKDYKTLLDRFFNFFYLCVTIGAIVAFTLVVYIQIERGWGAAFGSLAIAMGVSNMLFFAGTPLYRHRLPGGSPLTRVAQVLVAAFKKQHVPFTRSELVGLYELPGRHSAIKGSGKIPHTDDFRCLDKAALQLKEDGGNPSPWRLCTVTQVEEVKILIKLIPIPACTIMLNVILTEFITLSIQQAYTLNTHIGKLKLPVTCMPVFPGLSIFLILCLYYSVFVPVSRRITGHPHGASQLQRVGIGLFISILSVAWGGVFERFRRGYAIKHGYEFSFLTPMPDLSAYWLLIQYCLIGIAEVFSIVGLLEFLYEEAPDAMKSIGSAYAAIAGGLGCFAASIINSIVKSVTRNPENKQRSWLSQNINNAKFDYFYWLLTVLSIINFCFFLYSAHRYKYRTEQTFEAWETKQNILAEDS >KJB31602 pep chromosome:Graimondii2_0_v6:5:57155608:57157786:-1 gene:B456_005G197300 transcript:KJB31602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MGSREIKSPEDMLETPASLEEDGRSRKKLGMFFIESDNRRTAFGRGYTGGTTPVDIHGKPIADLSKTGGWIAALFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFSSSSNAVNNFLGISQVSSVLGGFLADAYLGRYWTIAIFTTIYLAGLIGITLCASMKIFVPNQDQCDQLSLLLGSCEPAKPWQMLYLYVVLYLTAFGAAGIRPCVSSFGADQFDERSKDYKTLLDRFFNFFYLCVTIGAIVAFTLVVYIQIERGWGAAFGSLAIAMGVSNMLFFAGTPLYRHRLPGGSPLTRVAQVLVAAFKKQHVPFTRSELVGLYELPGRHSAIKGSGKIPHTDDFRCLDKAALQLKEDGGNPSPWRLCTVTQVEEVKILIKLIPIPACTIMLNVILTEFITLSIQQAYTLNTHIGKLKLPVTCMPVFPGLSIFLILCLYYSVFVPVSRRITGHPHGASQLQRVGIGLFISILSVAWGGVFERFRRGYAIKHGYEFSFLTPMPDLSAYWLLIQYCLIGIAEVFSIVGLLEFLYEEAPDAMKSIGSAYAAIAGGLGCFAASIINSIVKSVTRNPENKQRSWLSQNINNAKFDYFYWLLTVLSIINFCFFLYSAHRYKYRTEQTFEAWETKQNILAEDS >KJB31604 pep chromosome:Graimondii2_0_v6:5:57155608:57157861:-1 gene:B456_005G197300 transcript:KJB31604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MFGGSKLEKSIDRRAFKFIFREIRDMGSREIKSPEDMLETPASLEEDGRSRKKLGMFFIESDNRRTAFGRGYTGGTTPVDIHGKPIADLSKTGGWIAALFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFSSSSNAVNNFLGISQVSSVLGGFLADAYLGRYWTIAIFTTIYLAGLIGITLCASMKIFVPNQDQCDQLSLLLGSCEPAKPWQMLYLYVVLYLTAFGAAGIRPCVSSFGADQFDERSKDYKTLLDRFFNFFYLCVTIGAIVAFTLVVYIQIERGWGAAFGSLAIAMGVSNMLFFAGTPLYRHRLPGGSPLTRVAQVLVAAFKKQHVPFTRSELVGLYELPGRHSAIKGSGKIPHTDDFRCLDKAALQLKEDGGNPSPWRLCTVTQVEEVKILIKLIPIPACTIMLNVILTEFITLSIQQAYTLNTHIGKLKLPVTCMPVFPGLSIFLILCLYYSVFVPVSRRITGHPHGASQLQRVGIGLFISILSVAWGGVFERFRRGYAIKHGYEFSFLTPMPDLSAYWLLIQYCLIGIAEVFSIVGLLEFLYEEAPDAMKSIGSAYAAIAGGLGCFAASIINSIVKSVTRNPENKQRSWLSQNINNAKFDYFYWLLTVLSIINFCFFLYSAHRYKYRTEQTFEAWETKQNILAEDS >KJB31606 pep chromosome:Graimondii2_0_v6:5:57155374:57158249:-1 gene:B456_005G197300 transcript:KJB31606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MGSREIKSPEDMLETPASLEEDGRSRKKLGMFFIESDNRRTAFGRGYTGGTTPVDIHGKPIADLSKTGGWIAALFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFSSSSNAVNNFLGISQVSSVLGGFLADAYLGRYWTIAIFTTIYLAGLIGITLCASMKIFVPNQDQCDQLSLLLGSCEPAKPWQMLYLYVVLYLTAFGAAGIRPCVSSFGADQFDERSKDYKTLLDRFFNFFYLCVTIGAIVAFTLVVYIQIERGWGAAFGSLAIAMGVSNMLFFAGTPLYRHRLPGGSPLTRVAQVLVAAFKKQHVPFTRSELVGLYELPGRHSAIKGSGKIPHTDDFRCLDKAALQLKEDGGNPSPWRLCTVTQVEEAYTLNTHIGKLKLPVTCMPVFPGLSIFLILCLYYSVFVPVSRRITGHPHGASQLQRVGIGLFISILSVAWGGVFERFRRGYAIKHGYEFSFLTPMPDLSAYWLLIQYCLIGIAEVFSIVGLLEFLYEEAPDAMKSIGSAYAAIAGGLGCFAASIINSIVKSVTRNPENKQRSWLSQNINNAKFDYFYWLLTVLSIINFCFFLYSAHRYKYRTEQTFEAWETKQNILAEDS >KJB31190 pep chromosome:Graimondii2_0_v6:5:52769808:52775000:1 gene:B456_005G180000 transcript:KJB31190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVEKHSRSRVEIMVKARSQFKERSTATNVEIMVPVPADASSPNVRTSMGSAAYAPENDALLWKIRSFPGGKEYMLRAEFTLPSITDEEATQERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >KJB31188 pep chromosome:Graimondii2_0_v6:5:52769535:52772198:1 gene:B456_005G180000 transcript:KJB31188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAASALFLLDIKGRVLVWRDYRGDVSAAQAERFFTKLIEKEGDPQSQDPVVYDNGVTYMFIQHSNVYLMTATRQNCNAASLLFFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVEKHSRSRVEIMVKARSQFKERSTATNVEIMVPVPADASSPNVRTSMGSAAYAPENDALLWKIRSFPGGKVSSANSKLIKFSC >KJB31186 pep chromosome:Graimondii2_0_v6:5:52769247:52775000:1 gene:B456_005G180000 transcript:KJB31186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAASALFLLDIKGRVLVWRDYRGDVSAAQAERFFTKLIEKEGDPQSQDPVVYDNGVTYMFIQHSNVYLMTATRQNCNAASLLFFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVEKHSRSRVEIMVKARSQFKERSTATNVEIMVPVPADASSPNVRTSMGSAAYAPENDALLWKIRSFPGGKEYMLRAEFTLPSITDEEATQERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >KJB31187 pep chromosome:Graimondii2_0_v6:5:52769302:52775000:1 gene:B456_005G180000 transcript:KJB31187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAASALFLLDIKGRVLVWRDYRGDVSAAQAERFFTKLIEKEGDPQSQDPVVYDNGVTYMFIQHSNVYLMTATRQNCNAASLLFFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVEKHSRSRVEIMVKARSQFKELLLRMLRLWCLCLLMLPVQMFGHQWDLQHMHLKMMHYCGK >KJB31189 pep chromosome:Graimondii2_0_v6:5:52769302:52775000:1 gene:B456_005G180000 transcript:KJB31189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAASALFLLDIKGRVLVWRDYRGDVSAAQAERFFTKLIEKEGDPQSQDPVVYDNGVTYMFIQHSNVYLMTATRQNCNAASLLFFLHRVVDYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVEKHSRSRVEIMVKARSQFKERSTATNVEIMVPVPADASSPNVRTSMGSAAYAPENDALLWKIRSFPGGKEYMLRAEFTLPSITDEEATQERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >KJB32352 pep chromosome:Graimondii2_0_v6:5:62233359:62233760:-1 gene:B456_005G241300 transcript:KJB32352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELCSKILTATDVGVRFSFPTATMREHFKLLEGSQSLDFQVMDKYSKVWTFRLYTRKNDGHPKPVLTKGWLDFVKRMGLKVGDKVIFVLHGNHNDHLGILVKRNIKLLGSEHWADFFM >KJB32150 pep chromosome:Graimondii2_0_v6:5:60866058:60867788:1 gene:B456_005G226300 transcript:KJB32150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRNSGRSASRPAPRPAPARSPPPQPAQHAPPPAPAQSGSGGSLLGGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHETVVSEAAAAPANSFTGSDACSIHSKAFQDVMPE >KJB32149 pep chromosome:Graimondii2_0_v6:5:60866030:60867957:1 gene:B456_005G226300 transcript:KJB32149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRNSGRSASRPAPRPAPARSPPPQPAQHAPPPAPAQSGSGGSLLGGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHETVVSEAAAAPANSFTGSDACSIHSKAFQDCLNSYGNEISKCQFYMDMLSECRKNSGSMLGA >KJB29958 pep chromosome:Graimondii2_0_v6:5:27990234:27991779:1 gene:B456_005G125400 transcript:KJB29958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMSSSPSSLLLPLGSASEKKLYANHMAFSNIRAQAIIVRSSSCKTRKYSNGPDLVTRRDVMGLLFGVPIISLNSPDADGAGLPPEEKPKLCDDACEKELENVPMVTTESGLQYKDIKVGEGPSPPVGFQVCQLFFSCKFAIFSCYLKYLEYDLSLVCTGFEGF >KJB29955 pep chromosome:Graimondii2_0_v6:5:27990095:27993142:1 gene:B456_005G125400 transcript:KJB29955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMSSSPSSLLLPLGSASEKKLYANHMAFSNIRAQAIIVRSSSCKTRKYSNGPDLVTRRDVMGLLFGVPIISLNSPDADGAGLPPEEKPKLCDDACEKELENVPMVTTESGLQYKDIKVGEGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQPYIFRVGSGQVIKGLDEGILSMKIGGKRRLYIPGPLAFPKGLTSAPGRPRVAPSSPVVFDVSLEYIPGLEDEEL >KJB29960 pep chromosome:Graimondii2_0_v6:5:27990122:27993141:1 gene:B456_005G125400 transcript:KJB29960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMSSSPSSLLLPLGSASEKKLYANHMAFSNIRAQAIIVRSSSCKTRKYSNGPDLVTRRDVMGLLFGVPIISLNSPDADGAGLPPEEKPKLCDDACEKELENVPMVTTESGLQYKDIKVGEGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQPYIFRVGSGQVCSSTIGFFYYTIFFVLGKKKAK >KJB29957 pep chromosome:Graimondii2_0_v6:5:27990122:27993141:1 gene:B456_005G125400 transcript:KJB29957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMSSSPSSLLLPLGSASEKKLYANHMAFSNIRAQAIIVRSSSCKTRKYSNGPDLVTRRDVMGLLFGVPIISLNSPDADGAGLPPEEKPKLCDDACEKELENVPMVTTESGLQYKDIKVGEGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQPYIFRVGSGQVCSSTIGFFYYTIFFVLGKKKAK >KJB29961 pep chromosome:Graimondii2_0_v6:5:27990122:27993142:1 gene:B456_005G125400 transcript:KJB29961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMSSSPSSLLLPLGSASEKKLYANHMAFSNIRAQAIIVRSSSCKTRKYSNGPDLVTRRDVMGLLFGVPIISLNSPDADGAGLPPEEKPKLCDDACEKELENVPMVTTESGLQYKDIKVGEGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQPYIFRVGSGQVIKGLDEGILSMKIGGKRRLYIPGPGPLRDFYH >KJB29959 pep chromosome:Graimondii2_0_v6:5:27990122:27993141:1 gene:B456_005G125400 transcript:KJB29959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMSSSPSSLLLPLGSASEKKLYANHMAFSNIRAQAIIVRSSSCKTRKYSNGPDLVTRRDVMGLLFGVPIISLNSPDADGAGLPPEEKPKLCDDACEKELENVPMVTTESGLQYKDIKVGEGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQPYIFRVGSGQNR >KJB29956 pep chromosome:Graimondii2_0_v6:5:27990234:27992845:1 gene:B456_005G125400 transcript:KJB29956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMSSSPSSLLLPLGSASEKKLYANHMAFSNIRAQAIIVRSSSCKTRKYSNGPDLVTRRDVMGLLFGVPIISLNSPDADGAGLPPEEKPKLCDDACEKELENVPMVTTESGLQYKDIKVGEGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQPYIFRVGSGQVIKGLDEGILSMKIGGKRRLYIPGPCNPTPNTGAFTRLLPLMNM >KJB28498 pep chromosome:Graimondii2_0_v6:5:5057930:5066708:-1 gene:B456_005G051400 transcript:KJB28498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKELEAQLIPEWKDAFVNYWQLKKHIKKIKLARNKRPTQLNHGFGRSIVDPIRFIAMKLLSTKLFFSSNNNTNTDDDETVKEDASDHEVEFYQTELLQLFSEEDEVRVFFERLDEELNKVNQFYKTKESEFLERGEALNDQLQTLMDLKQILDGNRRRKPNILPRSSSSSRRNSGFSESPLGLNDGSTEISDEIIAAFERNGVKFMNSPAAAAAGGGAKRKKVEKRKAAMRIDIPATTPTSAISAVTSMLWEDLISNSTKQDSTAGHSINRKKIQCAEKMIRTAFVELYRGLGLLKTYSSLNMVAFTKILKKFDKVSKQQASARYLKAVKRSHFISSDKVVRLMDEVESIFTQHFANNDRKRAMKFLRPQQHKDSHLVTFFSGLFTGCFVSLFGIYIILAHLCGIFSATTGTAYMETVYPVFSVFALLSLHMFLYGCNMMMWKNTRINYNFIFEFAPNTALKYRDAFLICTTLMTCIVGALLIHLLLTAAAISPSHVDTIPGFLLLIFIALLICPFDIFYRPTRYCFLRIMRNIICSPLYKVLMVDFFMADQLTSQIPLLRHLESTACYFLAESFKTHQYTCKNGSLYRQFAYVISFSPYYWRAMQCARRWFDESDPNHLANMGKYVSAMVAAGARLTYATQSNSLWFGVVLVTSIVATVYQLYWDFVKDWGLLNPNSKNPWLRDDLMLKNKSFYYISIALNVVLRVAWIESITRFRINQVQTHLLDFFLASLEVIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFREMDSD >KJB29949 pep chromosome:Graimondii2_0_v6:5:27922413:27926517:-1 gene:B456_005G125000 transcript:KJB29949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGQQVSWKLADHPTLPKGKTLALIVLDGWGEYKPDEYNCIHVAQTPTMDSLKQGAPEKWRLIRAHGKAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDIALASGKIYEGEGFKYISECFEKGTLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLESDLAKLRENGVDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVRGEAPHKFANAVEAVKKLRENANDQYLPPFVIVDENEKAVGPIEDGDAVVTFNFRADRMVMLAKALEHQDFDKFDRIKVPKIRYAGMLQYDGELKLPGHYLVSPPEIDRTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFNPEMEEYAEIPSDVGITFNVQPKMKALEIGEKARDAILSRKFDQVRVNIPNGDMVGHTGDIQATVVACKAADEAVKMIIDAIEQVGGIYVVTADHGNAEDMVKRNKSGQPLYDKSGAYCNRRSRIGSRR >KJB29951 pep chromosome:Graimondii2_0_v6:5:27923378:27926517:-1 gene:B456_005G125000 transcript:KJB29951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGQQVSWKLADHPTLPKGKTLALIVLDGWGEYKPDEYNCIHVAQTPTMDSLKQGAPEKWRLIRAHGKAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDIALASGKIYEGEGFKYISECFEKGTLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLESDLAKLRENGVDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVRGEAPHKFANAVEAVKKLRENANDQYLPPFVIVDENEKAVGPIEDGDAVVTFNFRADRMVMLAKALEHQDFDKFDRIKVPKIRYAGMLQYDGELKLPGHYLVSPPEIDRTSGEYLVHNGVRTFACRPPSDDPQVKGGLMKLVSHSIHIL >KJB29950 pep chromosome:Graimondii2_0_v6:5:27922813:27926369:-1 gene:B456_005G125000 transcript:KJB29950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGQQVSWKLADHPTLPKGKTLALIVLDGWGEYKPDEYNCIHVAQTPTMDSLKQGAPEKWRLIRAHGKAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDIALASGKIYEGEGFKYISECFEKGTLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLESDLAKLRENGVDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVRGEAPHKFANAVEAVKKLRENANDQYLPPFVIVDENEKAVGPIEDGDAVVTFNFRADRMVMLAKALEHQDFDKFDRIKVPKIRYAGMLQYDGELKLPGHYLVSPPEIDRTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFNPEMEEYAEIPSDVGITFNVQPKMKALEIGEKARDAILSRKFDQVRVNIPNGDMVGHTGDIQATVVACKAADEAVKMIIDAIEQVGGIYVVTADHGNAEDMVKRNKSGQPLYDKSGKLQILTSHTCAYCNRRSRIGSRR >KJB29948 pep chromosome:Graimondii2_0_v6:5:27922413:27926517:-1 gene:B456_005G125000 transcript:KJB29948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAKRIRVHILTDGRDVLDGSSVGFVETLESDLAKLRENGVDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVRGEAPHKFANAVEAVKKLRENANDQYLPPFVIVDENEKAVGPIEDGDAVVTFNFRADRMVMLAKALEHQDFDKFDRIKVPKIRYAGMLQYDGELKLPGHYLVSPPEIDRTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFNPEMEEYAEIPSDVGITFNVQPKMKALEIGEKARDAILSRKFDQVRVNIPNGDMVGHTGDIQATVVACKAADEAVKMIIDAIEQVGGIYVVTADHGNAEDMVKRNKSGQPLYDKSGKLQILTSHTCQPVPIAIGGPGLAAGVRFRNDVPDGGLANVAATVMNLHGYVAPNDYEPTLIEVVNN >KJB29947 pep chromosome:Graimondii2_0_v6:5:27922328:27926593:-1 gene:B456_005G125000 transcript:KJB29947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGQQVSWKLADHPTLPKGKTLALIVLDGWGEYKPDEYNCIHVAQTPTMDSLKQGAPEKWRLIRAHGKAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDIALASGKIYEGEGFKYISECFEKGTLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLESDLAKLRENGVDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVRGEAPHKFANAVEAVKKLRENANDQYLPPFVIVDENEKAVGPIEDGDAVVTFNFRADRMVMLAKALEHQDFDKFDRIKVPKIRYAGMLQYDGELKLPGHYLVSPPEIDRTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFNPEMEEYAEIPSDVGITFNVQPKMKALEIGEKARDAILSRKFDQVRVNIPNGDMVGHTGDIQATVVACKAADEAVKMIIDAIEQVGGIYVVTADHGNAEDMVKRNKSGQPLYDKSGKLQILTSHTCQPVPIAIGGPGLAAGVRFRNDVPDGGLANVAATVMNLHGYVAPNDYEPTLIEVVNN >KJB28604 pep chromosome:Graimondii2_0_v6:5:5907031:5907386:-1 gene:B456_005G057900 transcript:KJB28604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESFKRLKSETGEISEEQNNIREGQKQVKENFGIIESECEELKRETRFIIQRSAITQQTAKLKKLQSS >KJB29242 pep chromosome:Graimondii2_0_v6:5:12258272:12259961:-1 gene:B456_005G091000 transcript:KJB29242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQATASTQRFKEGNPLSTFTVPLICLSFCLSDINLVKLEHSG >KJB29246 pep chromosome:Graimondii2_0_v6:5:12259091:12259961:-1 gene:B456_005G091000 transcript:KJB29246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQATASTQRFKEDINLVKLEHSG >KJB29244 pep chromosome:Graimondii2_0_v6:5:12259413:12259961:-1 gene:B456_005G091000 transcript:KJB29244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQATASTQRFKEGNPLSTFTVPLICLSFCLSDINLVKLEHSG >KJB29241 pep chromosome:Graimondii2_0_v6:5:12259547:12259961:-1 gene:B456_005G091000 transcript:KJB29241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQATASTQRFKEGFLSAEIL >KJB29243 pep chromosome:Graimondii2_0_v6:5:12258701:12259961:-1 gene:B456_005G091000 transcript:KJB29243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQATASTQRFKEGNPLSTFTVPLICLSFCLSDINLVKLEHSG >KJB29240 pep chromosome:Graimondii2_0_v6:5:12258272:12259961:-1 gene:B456_005G091000 transcript:KJB29240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQATASTQRFKEGNPLSTFTVPLICLSFCLSDINLVKLEHSG >KJB29245 pep chromosome:Graimondii2_0_v6:5:12259305:12259961:-1 gene:B456_005G091000 transcript:KJB29245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQATASTQRFKEDINLVKLEHSG >KJB27490 pep chromosome:Graimondii2_0_v6:5:60584928:60585900:1 gene:B456_005G223800 transcript:KJB27490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRFVYLITLALLFCSVIAQSPTPSPVSSPKKSPSSTPTPISSPPTISAPSPSEVPSATTPSPATVESPPSPSALSPNASPTSISEPPAGAPGPAENSAVRFGAAGSVAVGVLMVAMVL >KJB27563 pep chromosome:Graimondii2_0_v6:5:23466996:23467575:-1 gene:B456_005G1173001 transcript:KJB27563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKEKKRWSVAYTKHIKQKRKVYHDGFLDLHISSNKLMLYDESDKLLECRMLRRDEVVSSAQTLTFAAYFVDVGLLQSPYLNFSDARKKSNEVEPIDRSLSPSQKIIREFKKNELQKYVAQQTGPTTTKASVT >KJB27564 pep chromosome:Graimondii2_0_v6:5:23466996:23467775:-1 gene:B456_005G1173001 transcript:KJB27564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKEKKRWSVAYTKHIKQKRKVYHDGFLDLHISSNKLMLYDESDKLLECRMLRRDEVVSSAQTLTFAAYFVDVGLLQSPYLNFSDARKKSNEVEPIDRSLSPSQKIIREFKKNELQKYVAQQTGPTTTKASVT >KJB27566 pep chromosome:Graimondii2_0_v6:5:23466996:23467575:-1 gene:B456_005G1173001 transcript:KJB27566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKEKKRWSVAYTKHIKQKRKVYHDGFLDLHISSNKLMLYDESDKLLECRMLRRDEVVSSAQTLTFAAYFVDVGLLQSPYLNFSDARKKSNEVEPIDRSLSPSQKIIREFKKNELQKYVAQQTGPTTTKASVT >KJB27565 pep chromosome:Graimondii2_0_v6:5:23466996:23467575:-1 gene:B456_005G1173001 transcript:KJB27565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKEKKRWSVAYTKHIKQKRKVYHDGFLDLHISSNKLMLYDESDKLLECRMLRRDEVVSSAQTLTFAAYFVDVGLLQSPYLNFSDARKKSNEVEPIDRSLSPSQKIIREFKKNELQKYVAQQTGPTTTKASVT >KJB29455 pep chromosome:Graimondii2_0_v6:5:16800986:16802786:-1 gene:B456_005G101800 transcript:KJB29455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRMETKSGVKDSIPAWIKFSIQAPGEIPYSGIYYDPPEEEKYVFKNPPTKRPKSLRIYESHAGMSSIVCISLFPCMNCYSSLLSDCLTVLIYCYLLSCHIFCTVSSNILYDMFLQGFENEKKSRSFLY >KJB29456 pep chromosome:Graimondii2_0_v6:5:16800986:16803958:-1 gene:B456_005G101800 transcript:KJB29456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKSGVKDSIPAWIKFSIQAPGEIPYSGIYYDPPEEEKYVFKNPPTKRPKSLRIYESHAGMSSIVCISLFPCMNCYSSLLSDCLTVLIYCYLLSCHIFCTVSSNILYDMFLQGFENEKKSRSFLY >KJB29458 pep chromosome:Graimondii2_0_v6:5:16800917:16803699:-1 gene:B456_005G101800 transcript:KJB29458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKSGVKDSIPAWIKFSIQAPGEIPYSGIYYDPPEEEKYVFKNPPTKRPKSLRIYESHAGMSSIVCISLFPCMNCYSSLLSDCLTVLIYCYLLSCHIFCTVSSNILYDMFLQGFENEKKSRSFLY >KJB29457 pep chromosome:Graimondii2_0_v6:5:16800986:16802786:-1 gene:B456_005G101800 transcript:KJB29457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRMETKSGVKDSIPAWIKFSIQAPGEIPYSGIYYDPPEEEKYVFKNPPTKRPKSLRIYESHAGMSSIVCISLFPCMNCYSSLLSDCLTVLIYCYLLSCHIFCTVSSNILYDMFLQGFENEKKSRSFLY >KJB27496 pep chromosome:Graimondii2_0_v6:5:62937212:62938166:1 gene:B456_005G250400 transcript:KJB27496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLPRIVSSKKVPKSYFAVYVGENQKRFVIPVSFLNQPSFQDLLGMSQEEFGYSHPTGGLPIPCNEDTFLEVTSRL >KJB32176 pep chromosome:Graimondii2_0_v6:5:61061493:61065075:1 gene:B456_005G227400 transcript:KJB32176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAQEEPAGDGEMEELVRVSTANIPNGENHLQRTHFPGMVRKKAYIFDGLGNYYNKEWDLAEGSGQEFCWYHVELPKGKQKLSQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPPSSNFTFRLAARVTENSVITISLGRVPRLGFSPVGQSLLSEIPSIESPSYHRGERNEGSGIVIREHVLEFLLTMNHSEEADNPVPKSVSNLVVHIIDTHMDHLQDVVTKLEMELDSVELELDRGGFALKKEMLDDRRFPKMHLNLQRLLQVIAHGEQVFPRVKEKCSSKHWFASEDIGSLEELIGRLRRLKENVGFLANRVTAIQAGLDSWQSEQINRKLYYLSFLSIIFLPLSVITGVFGMNVGGVPWTVQKDPALKDGFQNVMGLCLAMLLLVLLCFIFPALYSRLTAWLRRRSLKRTWSYNRRSFLKRTIGLQERGGYLRI >KJB28574 pep chromosome:Graimondii2_0_v6:5:5724590:5728242:-1 gene:B456_005G056400 transcript:KJB28574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRQFKDVESGEINGLEDLEKPLIGADDEKIVVHHENGSIWMVLLCTFVAVCGSFEFGSCVGYSAPTQSAISEDLNLSLSEFSMFGSILTIGAMLGAVTSGRIADMIGRKGAMRLSAGFCITGWLAVYFSKGAVSLDIGRFSTGYGIGVFSYVVPIFIAEIAPKNLRGGLTTLNQLMIVTGSSVAFLIGTIIPWRELALTGLVPCIFLFVGLCFIPESPRWLAKVGQNKEFQVALRKLRGKDADITQEAAEIQMYIETLQSLPKTRMLDLFQPKYIRSVMIGVALMVFQQFGGINGISFYASETFASAGLSSGKAGTIAYACVQVPITVVGAMLMDKSGRRPLIMVSAAGTFLGCFMSGTSFYLKEHNLLPEWVPILAVGGVLIYVASFSIGMGAVPWVIMSEVSITTLNPK >KJB28576 pep chromosome:Graimondii2_0_v6:5:5724590:5728242:-1 gene:B456_005G056400 transcript:KJB28576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRQFKDVESGEINGLEDLEKPLIGADDEKIVVHHENGSIWMVLLCTFVAVCGSFEFGSCVGYSAPTQSAISEDLNLSLSEFSMFGSILTIGAMLGAVTSGRIADMIGRKGAMRLSAGFCITGWLAVYFSKGAVSLDIGRFSTGYGIGVFSYVVPIFIAEIAPKNLRGGLTTLNQLMIVTGSSVAFLIGTIIPWRELALTGLVPCIFLFVGLCFIPESPRWLAKVGQNKEFQVALRKLRGKDADITQEAAEIQMYIETLQSLPKTRMLDLFQPKYIRSVMIGVALMVFQQFGGINGISFYASETFASAGLSSGKAGTIAYACVQVPITVVGAMLMDKSGRRPLIMVSAAGTFLGCFMSGTSFYLKVSLNNYL >KJB28573 pep chromosome:Graimondii2_0_v6:5:5724486:5728645:-1 gene:B456_005G056400 transcript:KJB28573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRQFKDVESGEINGLEDLEKPLIGADDEKIVVHHENGSIWMVLLCTFVAVCGSFEFGSCVGYSAPTQSAISEDLNLSLSEFSMFGSILTIGAMLGAVTSGRIADMIGRKGAMRLSAGFCITGWLAVYFSKGAVSLDIGRFSTGYGIGVFSYVVPIFIAEIAPKNLRGGLTTLNQLMIVTGSSVAFLIGTIIPWRELALTGLVPCIFLFVGLCFIPESPRWLAKVGQNKEFQVALRKLRGKDADITQEAAEIQMYIETLQSLPKTRMLDLFQPKYIRSVMIGVALMVFQQFGGINGISFYASETFASAGLSSGKAGTIAYACVQVPITVVGAMLMDKSGRRPLIMVSAAGTFLGCFMSGTSFYLKEHNLLPEWVPILAVGGVLIYVASFSIGMGAVPWVIMSEIFPINIKGVAGSLVVLVNWLGAWAVSYTFNFLMSWSSSGTFFIYSGFSLMTILYVAKFVPETKGKTLEEIQACINS >KJB28575 pep chromosome:Graimondii2_0_v6:5:5724590:5728242:-1 gene:B456_005G056400 transcript:KJB28575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRQFKDVESGEINGLEDLEKPLIGADDEKIVVHHENGSIWMVLLCTFVAVCGSFEFGSCVGYSAPTQSAISEDLNLSLSEFSMFGSILTIGAMLGAVTSGRIADMIGRKGAMRLSAGFCITGWLAVYFSKGAVSLDIGRFSTGYGIGVFSYVVPIFIAEIAPKNLRGGLTTLNQLMIVTGSSVAFLIGTIIPWRELALTGLVPCIFLFVGLCFIPESPRWLAKVGQNKEFQVALRKLRGKDADITQEAAEIQMYIETLQSLPKTRMLDLFQPKYIRSVMIGVALMVFQQFGGINGISFYASETFASAGLSSGKAGTIAYACVQVPITVVGAMLMDKSGRRPLIMVSAAGTFLGCFMSGTSFYLKEHNLLPEWVPILAVGGVLIYVASFSIGMGAVPWVIMSEIFPINIKGVAGSLVVLVNWLGAWAVSYTFNFLMSWSSSGD >KJB27615 pep chromosome:Graimondii2_0_v6:5:119790:123868:1 gene:B456_005G002300 transcript:KJB27615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYNHRFSPVRTASPHIRNTPDIDSNQLLSELLAEHQKLGPFMQILPTCSRLLNQEILRVSGMMSNQGFGDFDRMRHRSPSPMASSNIMSNVSGTGLSNWNSLPQERLSGPPGMTMDWQGAPASPSSYNVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEQLRGRSGYEHLNDPLHILIEADLPANFVDIRLRQAQEIVEELLKPVVCIAIFILLNLLAIFTAIAHRSLSYMLPS >KJB27613 pep chromosome:Graimondii2_0_v6:5:119747:123868:1 gene:B456_005G002300 transcript:KJB27613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYNHRFSPVRTASPHIRNTPDIDSNQLLSELLAEHQKLGPFMQILPTCSRLLNQEILRVSGMMSNQGFGDFDRMRHRSPSPMASSNIMSNVSGTGLSNWNSLPQERLSGPPGMTMDWQGAPASPSSYNVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEQLRGRSGYEHLNDPLHILIEADLPANFVDIRLRQAQEIVEELLKPVDESQDFIKRQQLRELTMLNSNFREESPGPTGSVSPFNCSGMKRPKTGR >KJB27614 pep chromosome:Graimondii2_0_v6:5:119790:123868:1 gene:B456_005G002300 transcript:KJB27614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYNHRFSPVRTASPHIRNTPDIDSNQLLSELLAEHQKLGPFMQILPTCSRLLNQEILRVSGMMSNQGFGDFDRMRHRSPSPMASSNIMSNVSGTGLSNWNSLPQERLSGPPGMTMDWQGAPASPSSYNVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEQLRGRSGYEHLNDPLHILIEADLPANFVDIRLRQAQEIVEELLKPVV >KJB28743 pep chromosome:Graimondii2_0_v6:5:7266403:7269045:1 gene:B456_005G068000 transcript:KJB28743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHALFRRRIRVRGVTLRVCLIGKIFFEIVFHFINCPNTQVHFIVASCENMISGKGMRPGDIVTASNGKTIKVNNINAEGGLTLADALVYACNQGVEKIKPKTRHLDLATLTGACVVALGPSIAGVFTPNDDLTKELFQASEASGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGAINAALVLKQMHVDMAGPVLNDKKHVATGFGISTLVEWVLKNSSS >KJB28744 pep chromosome:Graimondii2_0_v6:5:7266572:7269045:1 gene:B456_005G068000 transcript:KJB28744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGKGMRPGDIVTASNGKTIKVNNINAEGGLTLADALVYACNQGVEKIKPKTRHLDLATLTGACVVALGPSIAGVFTPNDDLTKELFQASEASGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGAINAALVLKQMHVDMAGPVLNDKKHVATGFGISTLVEWVLKNSSS >KJB28742 pep chromosome:Graimondii2_0_v6:5:7264763:7269045:1 gene:B456_005G068000 transcript:KJB28742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGKGMRPGDIVTASNGKTIKVNNINAEGGLTLADALVYACNQGVEKIKPKTRHLDLATLTGACVVALGPSIAGVFTPNDDLTKELFQASEASGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGAINAALVLKQMHVDMAGPVLNDKKHVATGFGISTLVEWVLKNSSS >KJB31862 pep chromosome:Graimondii2_0_v6:5:59244751:59246816:-1 gene:B456_005G211500 transcript:KJB31862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEQKEQEQEPNSNPNPNGNGNGNENLNTESEHEERQEDSQEQEQEQEREQPPRQSSTRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNDGGSDYGSWEAGSYLHDDDDDDDFGDPHDHDITDGDDEDEDDGEQEDEDEEEYDGTDADDDEDAFDVHAHAEDGDEDNNPSAELDPAAFSSDEAFARALQDAEEREMAARLLALAGINDRGIFTLEDHGGHSQDTWEEVDPDELSYEVNWEESTALNFLLKHS >KJB31860 pep chromosome:Graimondii2_0_v6:5:59243697:59247075:-1 gene:B456_005G211500 transcript:KJB31860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDKKTVKNRNRNRNENSRHVSLRQELLSLISVRLMLTWLLLEPYKNRAYMMLRMNNDGGSDYGSWEAGSYLHDDDDDDDFGDPHDHDITDGDDEDEDDGEQEDEDEEEYDGTDADDDEDAFDVHAHAEDGDEDNNPSAELDPAAFSSDEAFARALQDAEEREMAARLLALAGINDRGIFTLEDHGGHSQDTWEEVDPDELSYEELLALGEVVGTESRGLSADSLASLPSIKYKAGSSQTGSNDSCVICRVDYDDGDSLTVLSCKHSYHPECINNWLKINKVCPVCSVEVSTSGQS >KJB31861 pep chromosome:Graimondii2_0_v6:5:59243699:59247064:-1 gene:B456_005G211500 transcript:KJB31861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEQKEQEQEPNSNPNPNGNGNGNENLNTESEHEERQEDSQEQEQEQEREQPPRQSSTRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNDGGSDYGSWEAGSYLHDDDDDDDFGDPHDHDITDGDDEDEDDGEQEDEDEEEYDGTDADDDEDAFDVHAHAEDGDEDNNPSAELDPAAFSSDEAFARALQDAEEREMAARLLALAGINDKDHGGHSQDTWEEVDPDELSYEELLALGEVVGTESRGLSADSLASLPSIKYKAGSSQTGSNDSCVICRVDYDDGDSLTVLSCKHSYHPECINNWLKINKVCPVCSVEVSTSGQS >KJB31859 pep chromosome:Graimondii2_0_v6:5:59243686:59247105:-1 gene:B456_005G211500 transcript:KJB31859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEQKEQEQEPNSNPNPNGNGNGNENLNTESEHEERQEDSQEQEQEQEREQPPRQSSTRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNDGGSDYGSWEAGSYLHDDDDDDDFGDPHDHDITDGDDEDEDDGEQEDEDEEEYDGTDADDDEDAFDVHAHAEDGDEDNNPSAELDPAAFSSDEAFARALQDAEEREMAARLLALAGINDRGIFTLEDHGGHSQDTWEEVDPDELSYEELLALGEVVGTESRGLSADSLASLPSIKYKAGSSQTGSNDSCVICRVDYDDGDSLTVLSCKHSYHPECINNWLKINKVCPVCSVEVSTSGQS >KJB28644 pep chromosome:Graimondii2_0_v6:5:6254199:6254852:1 gene:B456_005G059800 transcript:KJB28644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPWCFNHKNGVVRLVENSGSDQRPKPEGKVLVHIPSNEVITSYEILKTKLLSLGWERYDGGDPDLFQFHKRSSIDLISLPKDFTKFKSVYMYDIVVKNPNMFMVMDK >KJB27932 pep chromosome:Graimondii2_0_v6:5:1336917:1344433:-1 gene:B456_005G017800 transcript:KJB27932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIKSLRLISLLIGLLNLLVVVLGGIVVAISFPGCRPHKVLPVIVVSFFSAVRIGTMIGLGIAQEATAKIITQNSSETQVLDAVIRQHRRVTYKAWLWWSRFAMVVTVLQILGAFYLIVNVATYVSEDAHFSTKCFVGGFPLSRAWKRKVMLSFLVTACCIAFLQCCAGSDVLRWRFYYASQDDAWKAHYQEIFDHGIREALCCMGRIEYLTVIEDDEVYSVAKLLGDLVAYRASGTGHLELLTGLALLQKHGQASKSSEHFIEAPVEHLQAALAFHKFAEAAYTGPLLDFGRNPFVFPCVWLHRQGVLTPWTRKRRPTLDGDNWLRGHAAAFINFLNLPSEVLRRGRVRQKKCEAAYFIVVLHELRTVVIAVRGTETPEDLIIDGLGRERSLTEVDLDGLINSSFIHPSVKKRVKSSFPHFGHSGIVETARDLYTQIEGYPGDESQSGGFVSSLLGVGCECEGYNLRVVGHSLGGSIAALLGIRLYGKFPNLHVYSYGPLPCVDLVVADACSDFITSWIDPPASCICNNCIIRKYPS >KJB27929 pep chromosome:Graimondii2_0_v6:5:1336917:1344433:-1 gene:B456_005G017800 transcript:KJB27929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFLVTACCIAFLQCCAGSDVLRWRFYYASQDDAWKAHYQEIFDHGIREALCCMGRIEYLTVIEDDEVYSVAKLLGDLVAYRASGTGHLELLTGLALLQKHGQASKSSEHFIEAPVEHLQAALAFHKFAEAAYTGPLLDFGRNPFVFPCVWLHRQGVLTPWTRKRRPTLDGDNWLRGHAAAFINFLNLPSEVLRRGRVRQKKCEAAYFIVVLHELRTVVIAVRGTETPEDLIIDGLGRERSLTEVDLDGLINSSFIHPSVKKRVKSSFPHFGHSGIVETARDLYTQIEGYPGDESQSGGFVSSLLGVGCECEGYNLRVVGHSLGGSIAALLGIRLYGKFPNLHVYSYGPLPCVDLVVADACSDFITSWIDPPASCICNNCIIRKYPS >KJB27930 pep chromosome:Graimondii2_0_v6:5:1336917:1344433:-1 gene:B456_005G017800 transcript:KJB27930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIKSLRLISLLIGLLNLLVVVLGGIVVAISFPGCRPHKVLPVIVVSFFSAVRIGTMIGLGIAQEATAKIITQNSSETQVLDAVIRQHRRVTYKAWLWWSRFAMVVTVLQILGAFYLIVNVATYVSEDAHFSTKCFVGGFPLSRAWKRKVMLSFLVTACCIAFLQCCAGSDVLRWRFYYASQDDAWKAHYQEIFDHGIREALCCMGRIEYLTVIEDDEVYSVAKLLGDLVAYRASGTGHLELLTGLALLQKHGQASKSSEHFIEAPVEHLQAALAFHKFAEAAYTGPLLDFGRNPFVFPCVWLHRQGVLTPWTRKRRPTLDGDNWLRGHAAAFINFLNLPSEVLRRGRVRQKKCEAAYFIVVLHELRTVVIAVRGTETPEDLIIDGLGRERSLTEVDLDGLINSFIHPSVKKRVKSSFPHFGHSGIVETARDLYTQIEGYPGDESQSGGFVSSLLGVGCECEGYNLRVVGHSLGGSIAALLGIRLYGKFPNLHVYSYGPLPCVDLVVADACSDFITSWIDPPASCICNNCIIRKYPS >KJB27933 pep chromosome:Graimondii2_0_v6:5:1337329:1344023:-1 gene:B456_005G017800 transcript:KJB27933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIKSLRLISLLIGLLNLLVVVLGGIVVAISFPGCRPHKVLPVIVVSFFSAVRIGTMIGLGIAQEATAKIITQNSSETQVLDAVIRQHRRVTYKAWLWWSRFAMVVTVLQILGAFYLIVNVATYVSEDAHFSTKCFVGGFPLSRAWKRKVMLSFLVTACCIAFLQCCAGSDVLRWRFYYASQDDAWKAHYQEIFDHGIREALCCMGRIEYLTVIEDDEVYSVAKLLGDLVAYRASGTGHLELLTGLALLQKHGQASKSSEHFIEAPVEHLQAALAFHKFAEAAYTGPLLDFGRNPFVFPCVWLHRQGVLTPWTRKRRPTLDGDNWLRGHAAAFINFLNLPSEVLRRGRVRQKKCEAAYFIVVLHELRTVVIAVRGTETPEDLIIDGLGRERSLTEVDLDGLINSSFIHPSVKKRVKSSFPHFGHSGIVETARDLYTQIEGYPGDESQSGGFVSSLLGVGCECEGYNLRVVGHSLGGSIAALLGIRLYGKFPNLHVYSYGPLPCVDLVVADACSDFITSIIHDNEFSTRLSVGSILRLRASAITALSENTQADTTLILRLARQFLYASKNNSIELEPEPAKSSTKSSTRSSKESEDKEQESCLYDGNDGRQNHVDIENTDLVNPFASVLNQSDDPISQFMQTVSRSENSSATDPTEMYLPGLLIHIVPQSQNLNIPLWKSWRIRDDHQKYKAFFANRNDLKDIIVSPNMFFDHLPWRCNKAMQKVVEAGNTAGSPGVSHIV >KJB27931 pep chromosome:Graimondii2_0_v6:5:1337329:1344023:-1 gene:B456_005G017800 transcript:KJB27931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIKSLRLISLLIGLLNLLVVVLGGIVVAISFPGCRPHKVLPVIVVSFFSAVRIGTMIGLGIAQEATAKIITQNSSETQVLDAVIRQHRRVTYKAWLWWSRFAMVVTVLQILGAFYLIVNVATYVSEDAHFSTKCFVGGFPLSRAWKRKVMLSFLVTACCIAFLQCCAGSDVLRWRFYYASQDDAWKAHYQEIFDHGIREALCCMGRIEYLTVIEDDEVYSVAKLLGDLVAYRASGTGHLELLTGLALLQKHGQASKSSEHFIEAPVEHLQAALAFHKFAEAAYTGPLLDFGRNPFVFPCVWLHRQGVLTPWTRKRRPTLDGDNWLRGHAAAFINFLNLPSEVLRRGRVRQKKCEAAYFIVVLHELRTVVIAVRGTETPEDLIIDGLGRERSLTEVDLDGLINSFIHPSVKKRVKSSFPHFGHSGIVETARDLYTQIEGYPGDESQSGGFVSSLLGVGCECEGYNLRVVGHSLGGSIAALLGIRLYGKFPNLHVYSYGPLPCVDLVVADACSDFITSIIHDNEFSTRLSVGSILRLRASAITALSENTQADTTLILRLARQFLYASKNNSIELEPEPAKSSTKSSTRSSKESEDKEQESCLYDGNDGRQNHVDIENTDLVNPFASVLNQSDDPISQFMQTVSRSENSSATDPTEMYLPGLLIHIVPQSQNLNIPLWKSWRIRDDHQKYKAFFANRNDLKDIIVSPNMFFDHLPWRCNKAMQKVVEAGNTAGSPGVSHIV >KJB27928 pep chromosome:Graimondii2_0_v6:5:1337329:1342049:-1 gene:B456_005G017800 transcript:KJB27928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFLVTACCIAFLQCCAGSDVLRWRFYYASQDDAWKAHYQEIFDHGIREALCCMGRIEYLTVIEDDEVYSVAKLLGDLVAYRASGTGHLELLTGLALLQKHGQASKSSEHFIEAPVEHLQAALAFHKFAEAAYTGPLLDFGRNPFVFPCVWLHRQGVLTPWTRKRRPTLDGDNWLRGHAAAFINFLNLPSEVLRRGRVRQKKCEAAYFIVVLHELRTVVIAVRGTETPEDLIIDGLGRERSLTEVDLDGLINSSFIHPSVKKRVKSSFPHFGHSGIVETARDLYTQIEGYPGDESQSGGFVSSLLGVGCECEGYNLRVVGHSLGGSIAALLGIRLYGKFPNLHVYSYGPLPCVDLVVADACSDFITSIIHDNEFSTRLSVGSILRLRASAITALSENTQADTTLILRLARQFLYASKNNSIELEPEPAKSSTKSSTRSSKESEDKEQESCLYDGNDGRQNHVDIENTDLVNPFASVLNQSDDPISQFMQTVSRSENSSATDPTEMYLPGLLIHIVPQSQNLNIPLWKSWRIRDDHQKYKAFFANRNDLKDIIVSPNMFFDHLPWRCNKAMQKVVEAGNTAGSPGVSHIV >KJB30070 pep chromosome:Graimondii2_0_v6:5:31007778:31010873:1 gene:B456_005G129000 transcript:KJB30070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRHGISRSATFKEEIDHSSPAAAAAAVAGDSDFKTKTLTSSYSFSTTSKGFNTSEDSRNDSKGFWGVLARKAKAILEDDDDFSQAQQIETPAGMGSWHISDASKTSQNQQPSEVFRRIDNPRIRRGLDKLNSSLNHIGDTFEKAFEEGRTIVEGKTQEIIQETRNLKIRRKGSSPVAENQVSGFNSTLQQPTQLQNQTNHETQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKERCAQLEEENKILRECREKGDNPADDDLIRVQLESLLAEKARLAHENSVYARENRFLREIVEYHQLSMQDVVYLDEGAEEVTEIGYPINFPYSKMLCESPPSPSEVVEVSPSSFPCTSTKEEILHVTSPKQEANDASNSNSPSPTLPTSLPPHHGRETQDARTTPPLSSVQS >KJB30072 pep chromosome:Graimondii2_0_v6:5:31007829:31010820:1 gene:B456_005G129000 transcript:KJB30072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRHGISRSATFKEEIDHSSPAAAAAAVAGDSDFKTKTLTSSYSFSTTSKGFNTSEDSRNDSKGFWGVLARKAKAILEDDDDFSQAQQIETPAGMGSWHISDASKTSQQNQQPSEVFRRIDNPRIRRGLDKLNSSLNHIGDTFEKAFEEGRTIVEGKTQEIIQETRNLKIRRKGSSPVAENQVSGFNSTLQQPTQLQNQTNHETQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKERCAQLEEENKILRECREKGDNPADDDLIRVQLESLLAEKARLAHENSVYARENRFLREIVEYHQLSMQDVVYLDEGAEEVTEIGYPINFPYSKMLCESPPSPSEVVEVSPSSFPCTSTKEEILHVTSPKQEANDASNSNSPSPTLPTSLPPHHGRETQDARTTPPLSSVQS >KJB30074 pep chromosome:Graimondii2_0_v6:5:31008170:31010820:1 gene:B456_005G129000 transcript:KJB30074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWHISDASKTSQQNQQPSEVFRRIDNPRIRRGLDKLNSSLNHIGDTFEKAFEEGRTIVEGKTQEIIQETRNLKIRRKGSSPVAENQVSGFNSTLQQPTQLQNQTNHETQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKERCAQLEEENKILRECREKGDNPADDDLIRVQLESLLAEKARLAHENSVYARENRFLREIVEYHQLSMQDVVYLDEGAEEVTEIGYPINFPYSKMLCESPPSPSEVVEVSPSSFPCTSTKEEILHVTSPKQEANDASNSNSPSPTLPTSLPPHHGRETQDARTTPPLSSVQS >KJB30071 pep chromosome:Graimondii2_0_v6:5:31007829:31010820:1 gene:B456_005G129000 transcript:KJB30071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRHGISRSATFKEEIDHSSPAAAAAAVAGDSDFKTKTLTSSYSFSTTSKGFNTSEDSRNDSKGFWGVLARKAKAILEDDDDFSQAQQIETPAGMGSWHISDASKTSQEGRTIVEGKTQEIIQETRNLKIRRKGSSPVAENQVSGFNSTLQQPTQLQNQTNHETQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKERCAQLEEENKILRECREKGDNPADDDLIRVQLESLLAEKARLAHENSVYARENRFLREIVEYHQLSMQDVVYLDEGAEEVTEIGYPINFPYSKMLCESPPSPSEVVEVSPSSFPCTSTKEEILHVTSPKQEANDASNSNSPSPTLPTSLPPHHGRETQDARTTPPLSSVQS >KJB30073 pep chromosome:Graimondii2_0_v6:5:31007968:31010065:1 gene:B456_005G129000 transcript:KJB30073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRHGISRSATFKEEIDHSSPAAAAAAVAGDSDFKTKTLTSSYSFSTTSKGFNTSEDSRNDSKGFWGVLARKAKAILEDDDDFSQAQQIETPAGMGSWHISDASKTSQQNQQPSEVFRRIDNPRIRRGLDKLNSSLNHIGDTFEKAFEEGRTIVEGKTQEIIQETRNLKIRRKGSSPVAENQVSGFNSTLQQPTQLQNQTNHETQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKERCAQLEEENKILRECREKGDNPADDDLVHIYNFSLVCIYSN >KJB30428 pep chromosome:Graimondii2_0_v6:5:38931934:38935056:-1 gene:B456_005G142900 transcript:KJB30428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKLYHIRDGCLIRMLCVSLSIRIDDGQNGSHFEPKPVPPPLPNKCDWEVDPSELDFSNSNIIGKGSFGEILRASWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNVVQFLGAVTDKKPLMLITEYLRGGDLHQYLKEKNGLNSSAAINFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMIEGEPPFSNHEPYEAAKLVAEGHRPNFRSKSFLPELRDLTGKCWASDMNQRPSFLEILKRLEKIKGNAPSDSHWNIFGS >KJB30429 pep chromosome:Graimondii2_0_v6:5:38931934:38936702:-1 gene:B456_005G142900 transcript:KJB30429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELLKSYGGLSYGQNGSHFEPKPVPPPLPNKCDWEVDPSELDFSNSNIIGKGSFGEILRASWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNVVQFLGAVTDKKPLMLITEYLRGGDLHQYLKEKNGLNSSAAINFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMIEGEPPFSNHEPYEAAKLVAEGHRPNFRSKSFLPELRDLTGKCWASDMNQRPSFLEILKRLEKIKGNAPSDSHWNIFGS >KJB30432 pep chromosome:Graimondii2_0_v6:5:38931934:38936719:-1 gene:B456_005G142900 transcript:KJB30432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKSGGEPSARGSTSSDRQKEKARLSRTSQILWHAHQNDASAVRKLLQEDRSLVNARDYDNRTPLHVASLHGWIDVATCLLEFGADVNSQDRWRNTPLADAEGAKKHKMIELLKSYGGLSYGQNGSHFEPKPVPPPLPNKCDWEVDPSELDFSNSNIIGKGSFGEILRASWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNVVQFLGAVTDKKPLMLITEYLRGGDLHQYLKEKNGLNSSAAINFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMIEGEPPFSNHEPYEAAKLVAEGHRPNFRSKSFLPELRDLTGKCWASDMNQRPSFLEILKRLEKIKGNAPSDSHWNIFGS >KJB30430 pep chromosome:Graimondii2_0_v6:5:38932915:38936479:-1 gene:B456_005G142900 transcript:KJB30430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKSGGEPSARGSTSSDRQKEKARLSRTSQILWHAHQNDASAVRKLLQEDRSLVNARDYDNRTPLHVASLHGWIDVATCLLEFGADVNSQDRWRNTPLADAEGAKKHKMIELLKSYGGLSYGQNGSHFEPKPVPPPLPNKCDWEVDPSELDFSNSNIIGKGSFGEILRASWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNVVQFLGAVTDKKPLMLITEYLRGGDLHQYLKEKNGLNSSAAINFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSCESLKDFLWI >KJB30431 pep chromosome:Graimondii2_0_v6:5:38931934:38936702:-1 gene:B456_005G142900 transcript:KJB30431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKSGGEPSARGSTSSDRQKEKARLSRTSQILWHAHQNDASAVRKLLQEDRSLVNARDYDNRTPLHVASLHGWIDVATCLLEFGADVNSQDRWRNTPLADAEGAKKHKMIELLKSYGGLSYGQNGSHFEPKPVPPPLPNKCDWEVDPSELDFSNSNIIGKGSFGEILRASWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNVVQFLGAVTDKKPLMLITEYLRGGDLHQYLKEKNGLNSSAAINFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMIEGEPPFSNHEPYEAAKLVAEGHRPNFRSKSFLPELRE >KJB32372 pep chromosome:Graimondii2_0_v6:5:61918550:61922331:1 gene:B456_005G237700 transcript:KJB32372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKCNSYLDFLEALFLKQWHSSTNLHGKSSSTTFPLPREIVVPNDGIYIYSRSNTTHKSASSSGQHPIYQFCNHSLGSCTAEVLDQNLVDEDQGKKTSNIRCKKLEDDGSSRCFEPRSMGTIDNSIFNKTYAKGEKKKLVWKGCCPESSVYSYMLPGIAVHNRNCLK >KJB32371 pep chromosome:Graimondii2_0_v6:5:61921192:61921889:1 gene:B456_005G237700 transcript:KJB32371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVTSLTPAGKSSSTTFPLPREIVVPNDGIYIYSRSNTTHKSASSSGQHPIYQFCNHSLGSCTAEVLDQNLVDEDQGKKTSNIRCKKLEDDGSSRCFEPRSSEKWVQ >KJB28185 pep chromosome:Graimondii2_0_v6:5:2951876:2955594:1 gene:B456_005G032800 transcript:KJB28185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLMELVILVPCCFFLVALIKFLYDYLWVPLRIQHLMNSQGIKGPPYKFIHGNNEEATKMREEALSKPMALRHDIFPRVQPHIYTWINRYGRNYIYWNGIRTVLVISEPELVKEVLKNNENTFPKKKPSIYFSNLLGNGLVLIEGEKWLKRRKLANHAFHGESLKNMTPAVIASVETMLEKWKGQEGKEIEVFQEFRLLTSEVISRTAFGSNYLEGEKILSMLKELSVIMSRNNFKTRIPLINKLWKPADMLRSEELAKGIQDCVMKIVKKREDKFKKGEADSFGNDFLGLLVNSYHSKDNNSLSMEDLVDECKTFYFAGQGTINSLLAWIVLLLATHGDWQEKARREVIDIFGNRNPDSEGISKLKIMTMIIYETLRLYGPSNGLPRGVAREVQLGKLVLPSNIDLLVQNIALHHDPYLWGDDVHLFKPERFAKGIAKSTNYNAAAFFPFGLGPRSCVGMSFATTETKIVLSMILQRYTFTLSPAYVHSPMPIVDLQPQHGIQVILEPLHNND >KJB31980 pep chromosome:Graimondii2_0_v6:5:60006216:60008922:1 gene:B456_005G217100 transcript:KJB31980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEKKPIASSSSQNLKSPLPREDTPLIGKGKPLSSKSKTFANVFIAIVGAGVLGLPYAFKRTGWVMGLLMLFSVAGLTTYCMMLLVHTRRKLESFDNGFAKVNSFGDLGFAVCGHFGRFVVDVLIILSQAGFCVGYLIFIGNTLANLFNGEVSMVSGLSLAISGLSAKSWYIWGCFPFQLAVNSIPTLTHLAPLSIFADIVDLGAMGAVFVEDVSLIMKQSNEIIAFGGLSVFFYGMGVAVYAFEGIGMVFPIESEMKESRHFGKILALSMGLISLMYGAFGALGYFAFGPDTKDIITANLGAGWISAMVQIGLCVNLFISFPLMMNPVYEIVERRFGGGRYCLWLRWLLVLIVSLVALFVPNFADFLSLIGSSVCCGLGFVLPALFHLLVFKEELGWKGWTVDVGIVTLGLVLGVSGTWSALVEMFSVKV >KJB31981 pep chromosome:Graimondii2_0_v6:5:60007198:60008922:1 gene:B456_005G217100 transcript:KJB31981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVDVLIILSQAGFCVGYLIFIGNTLANLFNGEVSMVSGLSLAISGLSAKSWYIWGCFPFQLAVNSIPTLTHLAPLSIFADIVDLGAMGAVFVEDVSLIMKQSNEIIAFGGLSVFFYGMGVAVYAFEGIGMVFPIESEMKESRHFGKILALSMGLISLMYGAFGALGYFAFGPDTKDIITANLGAGWISAMVQIGLCVNLFISFPLMMNPVYEIVERRFGGGRYCLWLRWLLVLIVSLVALFVPNFADFLSLIGSSVCCGLGFVLPALFHLLVFKEELGWKGWTVDVGIVTLGLVLGVSGTWSALVEMFSVKV >KJB27970 pep chromosome:Graimondii2_0_v6:5:1543852:1545609:1 gene:B456_005G019400 transcript:KJB27970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKCGWQPGHVIDVSNFARCLCSVGNFEKACKIIHEMMRKGFIPDTSTYSKVIAHLCNASKVENAFLLFEEMKKNGVVPDVRTYTILIDSFCKVGLIEQARNWFDEMVKGGCAPNVVTYTDLIHAYLKARKVSKADELFEMMLSKGCIPNVVTYTALIDGHCKAGQIEKACQIFARMQTNAEILDVGLYFKEVDNEAKTPNVYTYGALVDGLCKAYKVKEAHELLEAMSASGCKPNRVVFGALIDGFCKVGKLDEAQEVFSEMLEHGYDPNTFIDGSLMNRLFKDKRMDLALKVLSKMLENSCTPDVIIYTEMIDGLCKSGKTDEAYKLMLMMEEKGCYPNVVTYTAMIDGFGKAGKIDKGLKLLEQMDSKGCAPDFVTYKVLINHCCNVGQLDKVHELLEEMTQTHWQRHISGYRKIVEGFNKDFIMSLALLDEVRKSESLPVIPLYRMLSNSFIKAGRLEAALQLHQELASFSRVSTAYYSTCNALIESLSLVGKVNEAFELYSDMTRMGGVPEISSFIHLIKGLITVNKWEEALQLSDSFCQM >KJB32108 pep chromosome:Graimondii2_0_v6:5:60629589:60630653:-1 gene:B456_005G224300 transcript:KJB32108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLEPRQPECLPACINPSSHMLSASVSKLGSLVPGMNYGTHLLPAKIAMPGSADISVLKTEQKYQPHGLLQQLYPSFPTSLPCRGSFLNEQQFKIANGHTGRAAANFVSGSLQKGLIIFDHSGSQTRLICGSFRSPHQHAATAITELASSLDIHEGVQAVKTNSLIPTPPALQKEYDENHLGVEGSEMREDTEELNALLYSDEEEEDFGVGDDDCDDDEVMSTAHSPIGIKRSYQNQGHDYDVIEQVASSDGPNKRQKLLNGGHKQLIMVDGACSVKLEGSHEYDSNAESSYRGEILHTEQSMKDKIHLTLKILESIIPGTKGKDPLLVLDESVDYLKSLKLEAETLGVNHF >KJB30467 pep chromosome:Graimondii2_0_v6:5:39293824:39296584:-1 gene:B456_005G145200 transcript:KJB30467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIIVSSSALPTRPLSSFPTHSERSNKLTSLSWASSFPSIDISINSFTLPPAPSLNKGPFVQAAWTRRSRGEAAKQPNRKSWKQRTDMYMRPFLLNVFFSKKFIHAKVMHRGTSKVISVATTNAKDLRNNLASLTDHNACRIIGKLIAERSKEADVYAMSYEPRKGERIEGKLGIVLDTIKENGIIFV >KJB29356 pep chromosome:Graimondii2_0_v6:5:14060053:14060855:1 gene:B456_005G0964001 transcript:KJB29356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IILRLPDDSEVSDELWDEVIELMKFWVVDKVPLIRTLAVRALSRFVNDSENSDILDLFLEVLPLE >KJB29276 pep chromosome:Graimondii2_0_v6:5:12646711:12651499:-1 gene:B456_005G092400 transcript:KJB29276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYEQLNQISRWGDSFNRGDSPNTGSSTIVEGDVRLENKAGYISCDQVEPSRSDQETNKPIDKTQRRLAQNREAARKSRLRKKAYVQQLESSRLKLAQLEQELERTRQQGIYISSPSDTGYFGLSGTVNSGITAFEMEYGHWVEVQNKQTCELRSALQAHITDIELQILVEHGLNHYCNLFRMKADAAKADVFYLSSGIWRTSAERFFHWIGGFRPSELLNVVMSHIEPLTDQQQLEVCNLRQSSQQAEDALSQGIDKLQQNFSCSVASDLSWGHYRAQMAVATDIIEALEGFVNQADHLREQTLLQMVRILTTHQAARGLLALGDYFNRLRALSSL >KJB29274 pep chromosome:Graimondii2_0_v6:5:12646686:12651530:-1 gene:B456_005G092400 transcript:KJB29274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYEQLNQISRWGDSFNRGDSPNTGSSTIVEGDVRLENKAGYISCDQVEPSRSDQETNKPIDKTQRRLAQNREAARKSRLRKKAYVQQLESSRLKLAQLEQELERTRQQGIYISSPSDTGYFGLSGTVNSGITAFEMEYGHWVEVQNKQTCELRSALQAHITDIELQILVEHGLNHYCNLFRMKADAAKADVFYLSSGIWRTSAERFFHWIGGFRPSELLNVVMSHIEPLTDQQQLEVCNLRQSSQQAEDALSQGIDKLQQNFSCSVASDLSWGHYRAQMAVATDIIEALEGFVNQADHLREQTLLQMVRILTTHQAARGLLALGDYFNRLRALSSL >KJB29277 pep chromosome:Graimondii2_0_v6:5:12646706:12651168:-1 gene:B456_005G092400 transcript:KJB29277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYEQLNQISRWGDSFNRGDSPNTGSSTIVEGDVRLENKAGYISCDQVEPSRSDQETNKPIDKTQRRLAQNREAARKSRLRKKAYVQQLESSRLKLAQLEQELERTRQQGIYISSPSDTGYFGLSGTVNSGITAFEMEYGHWVEVQNKQTCELRSALQAHITDIELQILVEHGLNHYCNLFRMKADAAKADVFYLSSGIWRTSAERFFHWIGGFRPSELLNVVMSHIEPLTDQQQLEVCNLRQSSQQAEDALSQGIDKLQQNFSCSVASDLSWGHYRAQMAVATDIIEALEGFVNQADHLREQTLLQMVRILTTHQAARGLLALGDYFNRLRALSSL >KJB29275 pep chromosome:Graimondii2_0_v6:5:12646685:12651541:-1 gene:B456_005G092400 transcript:KJB29275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYEQLNQISRWGDSFNRGDSPNTGSSTIVEGDVRLENKAGYISCDQVEPSRSDQETNKPIDKTQRRLAQNREAARKSRLRKKAYVQQLESSRLKLAQLEQELERTRQQGIYISSPSDTGYFGLSGTVNSGITAFEMEYGHWVEVQNKQTCELRSALQAHITDIELQILVEHGLNHYCNLFRMKADAAKADVFYLSSGIWRTSAERFFHWIGGFRPSELLNVVMSHIEPLTDQQQLEVCNLRQSSQQAEDALSQGIDKLQQNFSCSVASDLSWGHYRAQMAVATDIIEALEGFVNQADHLREQTLLQMVRILTTHQAARGLLALGDYFNRLRALSSL >KJB32457 pep chromosome:Graimondii2_0_v6:5:62274259:62274690:-1 gene:B456_005G241900 transcript:KJB32457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTATLSIAVTTAAMTTRTLSSLPFNQSVFGLKSQRKGRFTMASHKVTLITPSGTKQFDCSDSDYILDRAEEVGMDLPYSCRAGACSSCAGLIKQGTVDQSDNSFLDDELIDAGFVLTCVAIPKSDVIIETHKEEDLASY >KJB32458 pep chromosome:Graimondii2_0_v6:5:62272225:62274964:-1 gene:B456_005G241900 transcript:KJB32458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTATLSIAVTTAAMTTRTLSSLPFNQSVFGLKSQRKGRFTMASHKVTLITPSGTKQFDCSDSDYILDRAEEVGMDLPYSCRAGACSSCAGLIKQGTVDQSDNSFLDDELIDAGFVLTCVAIPKSDVIIETHKEEDLASY >KJB27700 pep chromosome:Graimondii2_0_v6:5:417171:423459:1 gene:B456_005G006500 transcript:KJB27700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFIPATAVLSEKTKYSLPLRRWRWRCFSQVAQKEFDNKGGVVVPKMPSFDYAPPPYTGPSAAEILSKRKEYLSPAMFYLYNKPLNVVDGRMQYLFDENGRRYLDAFGGIATVCCGHCHPHVVDAIVNQTKRLQHSTILYLNHAIADLAEALANKLSGNLKVVFFTNSGTEANELAIMMARLYTGCHDIISLRNAYHGNAAGTMGATAQSNWKFNVIQSGVHHAINPDPYRGVFGSEGEKYAKDIQDLIQFGTSGNIAGFISEAIQGVGGIIELAPGYLPAAYNIIKKAGGLCIADEVQGGFGRTGSHFWGFENHGVVPDIVTMAKGIGNGIPLGAVITTPEIAEVLTRRNYFNTFGGNPVCTAAGLAVLNVIEKEKLQDNAFVVGSYLKERLIALKDKHDLIGDVRGRGLMLGVELVTDHELKTPAKLETLHLLDQMKEIGVLVGKGGFYGNVFRITPPLCFTTEDADFLVDVMDYAMSKM >KJB27701 pep chromosome:Graimondii2_0_v6:5:417206:423403:1 gene:B456_005G006500 transcript:KJB27701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFIPATAVLSEKTKYSLPLRRWRWRCFSQVAQKEFDNKGGVVVPKMPSFDYAPPPYTGPSAAEILSKRKEYLSPAMFYLYNKPLNVVDGRMQYLFDENGRRYLDAFGGIATVCCGHCHPHVVDAIVNQTKRLQHSTILYLNHAIADLAEALANKLSGNLKVVFFTNSGTEANELAIMMARLYTGCHDIISLRNAYHGNAAGTMGATAQSNWKFNVIQSGVHHAINPDPYRGVFGSEGEKYAKDIQDLIQFGTSGNIAGFISEAIQGVGGIIELAPGYLPAAYNIIKKAGGLCIADEVQGGFGRTGSHFWGFENHGVVPDIVTMAKGIGNGIPLGAVITTPEIAEVLTRRNYFNTFGGNPVCTAAGLAVLNVIEKEKLQDNAFVVGSYLKERLIALKDKHDLIGDVRGRGLMLGVELVTDHELKTPAKLETLHLLDQMKG >KJB27702 pep chromosome:Graimondii2_0_v6:5:418036:423435:1 gene:B456_005G006500 transcript:KJB27702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLFDENGRRYLDAFGGIATVCCGHCHPHVVDAIVNQTKRLQHSTILYLNHAIADLAEALANKLSGNLKVVFFTNSGTEANELAIMMARLYTGCHDIISLRNAYHGNAAGTMGATAQSNWKFNVIQSGVHHAINPDPYRGVFGSEGEKYAKDIQDLIQFGTSGNIAGFISEAIQGVGGIIELAPGYLPAAYNIIKKAGGLCIADEVQGGFGRTGSHFWGFENHGVVPDIVTMAKGIGNGIPLGAVITTPEIAEVLTRRNYFNTFGGNPVCTAAGLAVLNVIEKEKLQDNAFVVGSYLKERLIALKDKHDLIGDVRGRGLMLGVELVTDHELKTPAKLETLHLLDQMKEIGVLVGKGGFYGNVFRITPPLCFTTEDADFLVDVMDYAMSKM >KJB29366 pep chromosome:Graimondii2_0_v6:5:14653461:14678933:1 gene:B456_005G097000 transcript:KJB29366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRTSSGQTGDSPGAQGERLSPPTPRIRFSEGNDEAVLSSLWERYENTVDKAEKKRSFHVFLKQFLTVFKNWEPDNGGQLPEAASIAEYSTRVNDIVVGCSAGHPAEIILTLIEEIGQLTTLVSELNTGVGRTGMDFPAVSISFTSEGLPVLDALKIITRSLHNCRVFGYYGGIQKLTALMKGAVIQLKTVVGAIPADESFSNLIVEKMGFLQRVLVYVVSIICCFIDLNSNVYEKAQMYSITEDFSVIGAMSSIDPDSLKDSLSERTLHWHRKAVVSVMEAGGLNWLVELLRVIRRLSMKEQWTDMTLQFLTLRTLSFALCDNPRGQNHFKSIGGLEVLLDGLTLPSINMLLLKSATQVDGRREQYSLLKIFELHVLSLEVLREAVFGNVNNLQFLCENGRVHKFANSFCSPAFVFQEYIQLMEDSALPEGSQTSTLNLKNDNAESYLAEPSAPSPEKAPCNQLWNDCVVKLSRVLCSFLLAAEDVKLQHGQATSGRIPTSISSVYAELSVKWVLRVLLQVFPCIRACSNQNEFPNHLWVFISTLQHCALNAFKKVLTSSAPLLEVFRKEGIWDLIFSENFFYFGSSSEECYEESTPYPDGSPKKVEEYSASGSTGIQILQIEASSFVELAATSNGSIHNLPELSALLEALEQSACNPETASVVAKSLLRILQLSSEKTVASFKTLNAVSRVLKVACILAHESKMSGNMGPVIDNDYLEGVLSHGHQRLYSSQTSQCWIKCMETCMELFAEFFLVADDARTLVLHDSTCIDCLFELFWEEGLRNQVFRYILDLMKIESLFEEDQKAVLYICSKYFETFTVIKEREKCFAELSIKLLIGMIDILQTDPLHYQVLFRDGECFLHVVSLLNGNLDEANEERLVLVVLQTLTCLLANNDASKAAFRALVGRGYQTLQSLLLDFCQWHPSEALLNALLDMLVDGKFEINGSPHIKNEDVVILYLSVLQKSSESLRHYGLSVFQQLLKDSLSNRASCVAAGMLNFLLDWFVQECDDSVILKIAQLIQVIGGHSISGKDMRKIFALLRSEKVGTQQQYCSLLLTCILSMLNEKGPTAFFDLNGDDSGIIIKTPVQWPLNKGFSFSCWLRVENFPMNGTMGLFKFLTENGRGCLATLAEDKLIYKTINLKEQSLEMHVNLVRKKWHFLCITHTIGRAFSGGSLLRCYLDGDLVSSERCRYAKVSEILTSCSIGTKIVLPRHEEDDPVETIQDSFPFLGQIGPLYLFGDAISSEQVKAVHSLGPSYMYSFLDSETTAFGDNPMPSGILGTKDGLASKIVFGLNAQASNGKILFNVSPALDPVSDKTLFEAAIMVGTQLCSRRLLQEIIYCVGGISVFFPLITQSDRHENGETGVLERTLLFPVAKERLTAEVIELIASVLDENLANQQQMHLLSGFSVLGFLLQSLPPQQLNMETLSALKSLFNVVSSSSGLSELLMKEAISSIFLNPHIWLYTAYMVQRELYMFLIEQFDKDLRLLKSLCQLPRVIDIIQQCYWDSVKSRVSIGGQQLLHPITKQVIGERPGREEIHKIHLLLLSLGEMSLRQNIASADIKALVSFFETSHDMTCIEDVLHMVIRAISQKPLLESFIEQVNLLGGCHIFVNLLKREHEPIRLLSLQFLGRLLVGLPSEKKGPRFFNLAVGRSKSLSENSKKTSSRMQLLFFAISDRLFNFPLTDNLCATLFDVLLGGASPRQVLQKNSLVDKQKSRGNNSHFLLPQILLLIFRYLSSCGDASARMKIICDLLDLLDSNPLNIETLMEYGWNAWLTASVKLDVVKNYMSDSRHQGDYEINEKNLVMRVFSVVLCHYIQFMKGGWQQLEETVNFLLLHCEQVGISFRCLLCDIYENLLQRLVDLSAEENIFSSQPCRDNTLYLLQLVDEMLISAVGNKLPFPANSSDSYLGSLEVESQKEYDSLLHEVLQGEFDDKVSGNMESYGQAVTSGEDIPTDNWWNLFDNIWVVISEMNGMGPSKTRLRNSASVGPSLGQRARGLVESLNIPAAEMAAVVSGGIGNALSGKPNKNVDKAMALRGERCPRIVFRLLILYLCRSSLERALRCVQQFTSLLPCFLATDDEQSKSRLQLFIWSLLVVRSQYGMLDNGGRFHVIAHVIRETINSGKSMLATSMVARDDSFDSSSYSKETGSIHNLIQKDRLLSAVSDESKYVKTLEHDRSRQLQELRATMGENSSLEIHNQTAFEDEIQSSLHTILASDERRRAAFLLAQEEQQQNVAEKWMHMLRTLIDERGPWSANPFPNGSVIHWKLDKTEDTWRRRPKLRRNYHFDEKMCHPPSTSPSNEASLPSSESKSSFVGHIPEQMKQFLLQGVRRITDEGSSELVESGAEPSVQSVILEDPSDSHSVEVVKTINDQMDIVQDRKEFSAPSPETEINEVVMSLPCVLVTPKRKLAGQLAVMKDVLHFFGEFMVEGTVGSSVFKNLNASGHFERGDLKPKSTKWSIPLDINSEKGTSDNVEAENLHKEQLKNVKRYRRWSIGKIKAVHWTRYLLRYTAIEIFFSDSIAPLFINFASQKDAKEIGTLIVSTRNELLLRRGSSRDRNDPISFVDRRVALEMAETARESWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWILADYSSEFLDFNKSSTFRDLSKPVGALDSKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFYYMPEFLINSNSYHLGVKQDGEPINDVSLPPWAKGSTELFVIKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLDSMDDELQKSAIEDQIANFGQTPIQIFRKRHPRRGPPIPIAHPLYFAPASINLTSVLSCISYPPSAVLYVGLLDSVIVIVNQGLTLSVKMWLTTQLQSGGNFTFSGSQDPFFGVGSDIISPRKIGSPLAENVELGAQCFAIMQTPAENFLISCGNWENSFQVISLSDGRMVQSVRHHKDVVSCVAADGSILATGSHDTTVMVWEVLRVRIPEKRVRNMQTDCVIAETPFHILCGHDDIITCLYVSVELDIVISGSKDGTCVFHTLRDGRYVRSLLHPSRSALSKLVASRHGQIVLYSDGDLSLHLYSINGKHIASSESNGRLNCVELSGCGEFLVCAGDQGQIVVRSMSTLEVVQRYNGIGKIITSLTVTPEECFLAGTKDGSLLVYSIENPQHRKASVSRNPKTKVSLAG >KJB29364 pep chromosome:Graimondii2_0_v6:5:14653449:14678933:1 gene:B456_005G097000 transcript:KJB29364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRTSSGQTGDSPGAQGERLSPPTPRIRFSEGNDEAVLSSLWERYENTVDKAEKKRSFHVFLKQFLTVFKNWEPDNGGQLPEAASIAEYSTRVNDIVVGCSAGHPAEIILTLIEEIGQLTTLVSELNTGVGRTGMDFPAVSISFTSEGLPVLDALKIITRSLHNCRVFGYYGGIQKLTALMKGAVIQLKTVVGAIPADESFSNLIVEKMGFLQRVLVYVVSIICCFIDLNSNVYEKAQMYSITEDFSVIGAMSSIDPDSLKDSLSERTLHWHRKAVVSVMEAGGLNWLVELLRVIRRLSMKEQWTDMTLQFLTLRTLSFALCDNPRGQNHFKSIGGLEVLLDGLTLPSINMLLLKSATQVDGRREQYSLLKIFELHVLSLEVLREAVFGNVNNLQFLCENGRVHKFANSFCSPAFVFQEYIQLMEDSALPEGSQTSTLNLKNDNAESYLAEPSAPSPEKAPCNQLWNDCVVKLSRVLCSFLLAAEDVKLQHGQATSGRIPTSISSVYAELSVKWVLRVLLQVFPCIRACSNQNEFPNHLWVFISTLQHCALNAFKKVLTSSAPLLEVFRKEGIWDLIFSENFFYFGSSSEECYEESTPYPDGSPKKVEEYSASGSTGIQILQIEASSFVELAATSNGSIHNLPELSALLEALEQSACNPETASVVAKSLLRILQLSSEKTVASFKTLNAVSRVLKVACILAHESKMSGNMGPVIDNDYLEGVLSHGHQRLYSSQTSQCWIKCMETCMELFAEFFLVADDARTLVLHDSTCIDCLFELFWEEGLRNQVFRYILDLMKIESLFEEDQKAVLYICSKYFETFTVIKEREKCFAELSIKLLIGMIDILQTDPLHYQVLFRDGECFLHVVSLLNGNLDEANEERLVLVVLQTLTCLLANNDASKAAFRALVGRGYQTLQSLLLDFCQWHPSEALLNALLDMLVDGKFEINGSPHIKNEDVVILYLSVLQKSSESLRHYGLSVFQQLLKDSLSNRASCVAAGMLNFLLDWFVQECDDSVILKIAQLIQVIGGHSISGKDMRKIFALLRSEKVGTQQQYCSLLLTCILSMLNEKGPTAFFDLNGDDSGIIIKTPVQWPLNKGFSFSCWLRVENFPMNGTMGLFKFLTENGRGCLATLAEDKLIYKTINLKEQSLEMHVNLVRKKWHFLCITHTIGRAFSGGSLLRCYLDGDLVSSERCRYAKVSEILTSCSIGTKIVLPRHEEDDPVETIQDSFPFLGQIGPLYLFGDAISSEQVKAVHSLGPSYMYSFLDSETTAFGDNPMPSGILGTKDGLASKIVFGLNAQASNGKILFNVSPALDPVSDKTLFEAAIMVGTQLCSRRLLQEIIYCVGGISVFFPLITQSDRHENGETGVLERTLLFPVAKERLTAEVIELIASVLDENLANQQQMHLLSGFSVLGFLLQSLPPQQLNMETLSALKSLFNVVSSSSGLSELLMKEAISSIFLNPHIWLYTAYMVQRELYMFLIEQFDKDLRLLKSLCQLPRVIDIIQQCYWDSVKSRVSIGGQQLLHPITKQVIGERPGREEIHKIHLLLLSLGEMSLRQNIASADIKALVSFFETSHDMTCIEDVLHMVIRAISQKPLLESFIEQVNLLGGCHIFVNLLKREHEPIRLLSLQFLGRLLVGLPSEKKGPRFFNLAVGRSKSLSENSKKTSSRMQLLFFAISDRLFNFPLTDNLCATLFDVLLGGASPRQVLQKNSLVDKQKSRGNNSHFLLPQILLLIFRYLSSCGDASARMKIICDLLDLLDSNPLNIETLMEYGWNAWLTASVKLDVVKNYMSDSRHQGDYEINEKNLVMRVFSVVLCHYIQFMKGGWQQLEETVNFLLLHCEQVGISFRCLLCDIYENLLQRLVDLSAEENIFSSQPCRDNTLYLLQLVDEMLISAVGNKLPFPANSSDSYLGSLEVESQKEYDSLLHEVLQGEFDDKVSGNMESYGQAVTSGEDIPTDNWWNLFDNIWVVISEMNGMGPSKTRLRNSASVGPSLGQRARGLVESLNIPAAEMAAVVSGGIGNALSGKPNKNVDKAMALRGERCPRIVFRLLILYLCRSSLERALRCVQQFTSLLPCFLATDDEQSKSRLQLFIWSLLVVRSQYGMLDNGGRFHVIAHVIRETINSGKSMLATSMVARDDSFDSSSYSKETGSIHNLIQKDRLLSAVSDESKYVKTLEHDRSRQLQELRATMGENSSLEIHNQTAFEDEIQSSLHTILASDERRRAAFLLAQEEQQQNVAEKWMHMLRTLIDERGPWSANPFPNGSVIHWKLDKTEDTWRRRPKLRRNYHFDEKMCHPPSTSPSNEASLPSSESKSSFVGHIPEQMKQFLLQGVRRITDEGSSELVESGAEPSVQSVILEDPSDSHSVEVVKTINDQMDIVQDRKEFSAPSPETEINEVVMSLPCVLVTPKRKLAGQLAVMKDVLHFFGEFMVEGTVGSSVFKNLNASGHFERGDLKPKSTKWSIPLDINSEKGTSDNVEAENLHKEQLKNIKAVHWTRYLLRYTAIEIFFSDSIAPLFINFASQKDAKEIGTLIVSTRNELLLRRGSSRDRNDPISFVDRRVALEMAETARESWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWILADYSSEFLDFNKSSTFRDLSKPVGALDSKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFYYMPEFLINSNSYHLGVKQDGEPINDVSLPPWAKGSTELFVIKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLDSMDDELQKSAIEDQIANFGQTPIQIFRKRHPRRGPPIPIAHPLYFAPASINLTSVLSCISYPPSAVLYVGLLDSVIVIVNQGLTLSVKMWLTTQLQSGGNFTFSGSQDPFFGVGSDIISPRKIGSPLAENVELGAQCFAIMQTPAENFLISCGNWENSFQVISLSDGRMVQSVRHHKDVVSCVAVTADGSILATGSHDTTVMVWEVLRVRIPEKRVRNMQTDCVIAETPFHILCGHDDIITCLYVSVELDIVISGSKDGTCVFHTLRDGRYVRSLLHPSRSALSKLVASRHGQIVLYSDGDLSLHLYSINGKHIASSESNGRLNCVELSGCGEFLVCAGDQGQIVVRSMSTLEVVQRYNGIGKIITSLTVTPEECFLAGTKDGSLLVYSIENPQHRKASVSRNPKTKVSLAG >KJB29363 pep chromosome:Graimondii2_0_v6:5:14653430:14677882:1 gene:B456_005G097000 transcript:KJB29363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRTSSGQTGDSPGAQGERLSPPTPRIRFSEGNDEAVLSSLWERYENTVDKAEKKRSFHVFLKQFLTVFKNWEPDNGGQLPEAASIAEYSTRVNDIVVGCSAGHPAEIILTLIEEIGQLTTLVSELNTGVGRTGMDFPAVSISFTSEGLPVLDALKIITRSLHNCRVFGYYGGIQKLTALMKGAVIQLKTVVGAIPADESFSNLIVEKMGFLQRVLVYVVSIICCFIDLNSNVYEKAQMYSITEDFSVIGAMSSIDPDSLKDSLSERTLHWHRKAVVSVMEAGGLNWLVELLRVIRRLSMKEQWTDMTLQFLTLRTLSFALCDNPRGQNHFKSIGGLEVLLDGLTLPSINMLLLKSATQVDGRREQYSLLKIFELHVLSLEVLREAVFGNVNNLQFLCENGRVHKFANSFCSPAFVFQEYIQLMEDSALPEGSQTSTLNLKNDNAESYLAEPSAPSPEKAPCNQLWNDCVVKLSRVLCSFLLAAEDVKLQHGQATSGRIPTSISSVYAELSVKWVLRVLLQVFPCIRACSNQNEFPNHLWVFISTLQHCALNAFKKVLTSSAPLLEVFRKEGIWDLIFSENFFYFGSSSEECYEESTPYPDGSPKKVEEYSASGSTGIQILQIEASSFVELAATSNGSIHNLPELSALLEALEQSACNPETASVVAKSLLRILQLSSEKTVASFKTLNAVSRVLKVACILAHESKMSGNMGPVIDNDYLEGVLSHGHQRLYSSQTSQCWIKCMETCMELFAEFFLVADDARTLVLHDSTCIDCLFELFWEEGLRNQVFRYILDLMKIESLFEEDQKAVLYICSKYFETFTVIKEREKCFAELSIKLLIGMIDILQTDPLHYQVLFRDGECFLHVVSLLNGNLDEANEERLVLVVLQTLTCLLANNDASKAAFRALVGRGYQTLQSLLLDFCQWHPSEALLNALLDMLVDGKFEINGSPHIKNEDVVILYLSVLQKSSESLRHYGLSVFQQLLKDSLSNRASCVAAGMLNFLLDWFVQECDDSVILKIAQLIQVIGGHSISGKDMRKIFALLRSEKVGTQQQYCSLLLTCILSMLNEKGPTAFFDLNGDDSGIIIKTPVQWPLNKGFSFSCWLRVENFPMNGTMGLFKFLTENGRGCLATLAEDKLIYKTINLKEQSLEMHVNLVRKKWHFLCITHTIGRAFSGGSLLRCYLDGDLVSSERCRYAKVSEILTSCSIGTKIVLPRHEEDDPVETIQDSFPFLGQIGPLYLFGDAISSEQVKAVHSLGPSYMYSFLDSETTAFGDNPMPSGILGTKDGLASKIVFGLNAQASNGKILFNVSPALDPVSDKTLFEAAIMVGTQLCSRRLLQEIIYCVGGISVFFPLITQSDRHENGETGVLERTLLFPVAKERLTAEVIELIASVLDENLANQQQMHLLSGFSVLGFLLQSLPPQQLNMETLSALKSLFNVVSSSSGLSELLMKEAISSIFLNPHIWLYTAYMVQRELYMFLIEQFDKDLRLLKSLCQLPRVIDIIQQCYWDSVKSRVSIGGQQLLHPITKQVIGERPGREEIHKIHLLLLSLGEMSLRQNIASADIKALVSFFETSHDMTCIEDVLHMVIRAISQKPLLESFIEQVNLLGGCHIFVNLLKREHEPIRLLSLQFLGRLLVGLPSEKKGPRFFNLAVGRSKSLSENSKKTSSRMQLLFFAISDRLFNFPLTDNLCATLFDVLLGGASPRQVLQKNSLVDKQKSRGNNSHFLLPQILLLIFRYLSSCGDASARMKIICDLLDLLDSNPLNIETLMEYGWNAWLTASVKLDVVKNYMSDSRHQGDYEINEKNLVMRVFSVVLCHYIQFMKGGWQQLEETVNFLLLHCEQVGISFRCLLCDIYENLLQRLVDLSAEENIFSSQPCRDNTLYLLQLVDEMLISAVGNKLPFPANSSDSYLGSLEVESQKEYDSLLHEVLQGEFDDKVSGNMESYGQAVTSGEDIPTDNWWNLFDNIWVVISEMNGMGPSKTRLRNSASVGPSLGQRARGLVESLNIPAAEMAAVVSGGIGNALSGKPNKNVDKAMALRGERCPRIVFRLLILYLCRSSLERALRCVQQFTSLLPCFLATDDEQSKSRLQLFIWSLLVVRSQYGMLDNGGRFHVIAHVIRETINSGKSMLATSMVARDDSFDSSSYSKETGSIHNLIQKDRLLSAVSDESKYVKTLEHDRSRQLQELRATMGENSSLEIHNQTAFEDEIQSSLHTILASDERRRAAFLLAQEEQQQNVAEKWMHMLRTLIDERGPWSANPFPNGSVIHWKLDKTEDTWRRRPKLRRNYHFDEKMCHPPSTSPSNEASLPSSESKSSFVGHIPEQMKQFLLQGVRRITDEGSSELVESGAEPSVQSVILEDPSDSHSVEVVKTINDQMDIVQDRKEFSAPSPETEINEVVMSLPCVLVTPKRKLAGQLAVMKDVLHFFGEFMVEGTVGSSVFKNLNASGHFERGDLKPKSTKWSIPLDINSEKGTSDNVEAENLHKEQLKNIKAVHWTRYLLRYTAIEIFFSDSIAPLFINFASQKDAKEIGTLIVSTRNELLLRRGSSRDRNDPISFVDRRVALEMAETARESWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWILADYSSEFLDFNKSSTFRDLSKPVGALDSKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFYYMPEFLINSNSYHLGVKQDGEPINDVSLPPWAKGSTELFVIKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLDSMDDELQKSAIEDQIANFGQTPIQIFRKRHPRRGPPIPIAHPLYFAPASINLTSVLSCISYPPSAVLYVGLLDSVIVIVNQGLTLSVKMWLTTQLQSGGNFTFSGSQDPFFGVGSDIISPRKIGSPLAENVELGAQCFAIMQTPAENFLISCGNWENSFQVISLSDGRMVQSVRHHKDVVSCVAVTADGSILATGSHDTTVMVWEVLRVRIPEKRVRNMQTDCVIAETPFHILCGHDDIITCLYVSVELDIVISGSKDGTCVFHTLRDGRYVRSLLHPSRSALSKLVASRHGQIVLYSDGDLSLHLYSINGKHIASSESNGRLNCVELSGCGEFLVCAGDQGQIVVRSMSTLEVVQRYNGIGKIITSLTVTPEECFLAGTKDGSLLVYSIENPQHRKASVSRNPKTKVSLAG >KJB29367 pep chromosome:Graimondii2_0_v6:5:14653461:14678933:1 gene:B456_005G097000 transcript:KJB29367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRTSSGQTGDSPGAQGERLSPPTPRIRFSEGNDEAVLSSLWERYENTVDKAEKKRSFHVFLKQFLTVFKNWEPDNGGQLPEAASIAEYSTRVNDIVVGCSAGHPAEIILTLIEEIGQLTTLVSELNTGVGRTGMDFPAVSISFTSEGLPVLDALKIITRSLHNCRVFGYYGGIQKLTALMKGAVIQLKTVVGAIPADESFSNLIVEKMGFLQRVLVYVVSIICCFIDLNSNVYEKAQMYSITEDFSVIGAMSSIDPDSLKDSLSERTLHWHRKAVVSVMEAGGLNWLVELLRVIRRLSMKEQWTDMTLQFLTLRTLSFALCDNPRGQNHFKSIGGLEVLLDGLTLPSINMLLLKSATQVDGRREQYSLLKIFELHVLSLEVLREAVFGNVNNLQFLCENGRVHKFANSFCSPAFVFQEYIQLMEDSALPEGSQTSTLNLKNDNAESYLAEPSAPSPEKAPCNQLWNDCVVKLSRVLCSFLLAAEDVKLQHGQATSGRIPTSISSVYAELSVKWVLRVLLQVFPCIRACSNQNEFPNHLWVFISTLQHCALNAFKKVLTSSAPLLEVFRKEGIWDLIFSENFFYFGSSSEECYEESTPYPDGSPKKVEEYSASGSTGIQILQIEASSFVELAATSNGSIHNLPELSALLEALEQSACNPETASVVAKSLLRILQLSSEKTVASFKTLNAVSRVLKVACILAHESKMSGNMGPVIDNDYLEGVLSHGHQRLYSSQTSQCWIKCMETCMELFAEFFLVADDARTLVLHDSTCIDCLFELFWEEGLRNQVFRYILDLMKIESLFEEDQKAVLYICSKYFETFTVIKEREKCFAELSIKLLIGMIDILQTDPLHYQVLFRDGECFLHVVSLLNGNLDEANEERLVLVVLQTLTCLLANNDASKAAFRALVGRGYQTLQSLLLDFCQWHPSEALLNALLDMLVDGKFEINGSPHIKNEDVVILYLSVLQKSSESLRHYGLSVFQQLLKDSLSNRASCVAAGMLNFLLDWFVQECDDSVILKIAQLIQVIGGHSISGKDMRKIFALLRSEKVGTQQQYCSLLLTCILSMLNEKGPTAFFDLNGDDSGIIIKTPVQWPLNKGFSFSCWLRVENFPMNGTMGLFKFLTENGRGCLATLAEDKLIYKTINLKEQSLEMHVNLVRKKWHFLCITHTIGRAFSGGSLLRCYLDGDLVSSERCRYAKVSEILTSCSIGTKIVLPRHEEDDPVETIQDSFPFLGQIGPLYLFGDAISSEQVKAVHSLGPSYMYSFLDSETTAFGDNPMPSGILGTKDGLASKIVFGLNAQASNGKILFNVSPALDPVSDKTLFEAAIMVGTQLCSRRLLQEIIYCVGGISVFFPLITQSDRHENGETGVLERTLLFPVAKERLTAEVIELIASVLDENLANQQQMHLLSGFSVLGFLLQSLPPQQLNMETLSALKSLFNVVSSSSGLSELLMKEAISSIFLNPHIWLYTAYMVQRELYMFLIEQFDKDLRLLKSLCQLPRVIDIIQQCYWDSVKSRVSIGGQQLLHPITKQVIGERPGREEIHKIHLLLLSLGEMSLRQNIASADIKALVSFFETSHDMTCIEDVLHMVIRAISQKPLLESFIEQVNLLGGCHIFVNLLKREHEPIRLLSLQFLGRLLVGLPSEKKGPRFFNLAVGRSKSLSENSKKTSSRMQLLFFAISDRLFNFPLTDNLCATLFDVLLGGASPRQVLQKNSLVDKQKSRGNNSHFLLPQILLLIFRYLSSCGDASARMKIICDLLDLLDSNPLNIETLMEYGWNAWLTASVKLDVVKNYMSDSRHQGDYEINEKNLVMRVFSVVLCHYIQFMKGGWQQLEETVNFLLLHCEQVGISFRCLLCDIYENLLQRLVDLSAEENIFSSQPCRDNTLYLLQLVDEMLISAVGNKLPFPANSSDSYLGSLEVESQKEYDSLLHEVLQGEFDDKVSGNMESYGQAVTSGEDIPTDNWWNLFDNIWVVISEMNGMGPSKTRLRNSASVGPSLGQRARGLVESLNIPAAEMAAVVSGGIGNALSGKPNKNVDKAMALRGERCPRIVFRLLILYLCRSSLERALRCVQQFTSLLPCFLATDDEQSKSRLQLFIWSLLVVRSQYGMLDNGGRFHVIAHVIRETINSGKSMLATSMVARDDSFDSSSYSKETGSIHNLIQKDRLLSAVSDESKYVKTLEHDRSRQLQELRATMGENSSLEIHNQTAFEDEIQSSLHTILASDERRRAAFLLAQEEQQQNVAEKWMHMLRTLIDERGPWSANPFPNGSVIHWKLDKTEDTWRRRPKLRRNYHFDEKMCHPPSTSPSNEASLPSSESKSSFVGHIPEQMKQFLLQGVRRITDEGSSELVESGAEPSVQSVILEDPSDSHSVEVVKTINDQMDIVQDRKEFSAPSPETEINEVVMSLPCVLVTPKRKLAGQLAVMKDVLHFFGEFMVEGTVGSSVFKNLNASGHFERGDLKPKSTKWSIPLDINSEKGTSDNVEAENLHKEQLKNVKRYRRWSIGKIKAVHWTRYLLRYTAIEIFFSDSIAPLFINFASQKDAKEIGTLIVSTRNELLLRRGSSRDRNDPISFVDRRVALEMAETARESWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWILADYSSEFLDFNKSSTFRDLSKPVGALDSKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFYYMPEFLINSNSYHLGVKQDGEPINDVSLPPWAKGSTELFVIKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLDSMDDELQKSAIEDQIANFGQTPIQIFRKRHPRRGPPIPIAHPLYFAPASINLTSVLSCISYPPSAVLYVGLLDSVIVIVNQGLTLSVKMWLTTQLQSGGNFTFSGSQDPFFGVGSDIISPRKIGSPLAENVELGAQCFAIMQTPAENFLISCGNWENSFQVISLSDGRMVQSVRHHKDVVSCVAVTADGSILATGSHDTTVMVWEVLRVRIPEKRVRNMQTDCVIAETPFHILCGHDDIITCLYVSVELDIVISGSKDGTCVFHTLRDGRYVRSLLHPSRSALSKLVASRHGQIVLYSDGDLSLHLYSINGKHIASSESNGRLNCVELSGCGEFLVCAGDQGQIVVRSMSTLEVVQRYNGIGKIITSLTVTPEECFLAGTKDGSLLVYSIENPQHRKASVSRNPKTKVSLAG >KJB29365 pep chromosome:Graimondii2_0_v6:5:14653461:14677882:1 gene:B456_005G097000 transcript:KJB29365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRTSSGQTGDSPGAQGERLSPPTPRIRFSEGNDEAVLSSLWERYENTVDKAEKKRSFHVFLKQFLTVFKNWEPDNGGQLPEAASIAEYSTRVNDIVVGCSAGHPAEIILTLIEEIGQLTTLVSELNTGVGRTGMDFPAVSISFTSEGLPVLDALKIITRSLHNCRVFGYYGGIQKLTALMKGAVIQLKTVVGAIPADESFSNLIVEKMGFLQRVLVYVVSIICCFIDLNSNVYEKAQMYSITEDFSVIGAMSSIDPDSLKDSLSERTLHWHRKAVVSVMEAGGLNWLVGKLLRVIRRLSMKEQWTDMTLQFLTLRTLSFALCDNPRGQNHFKSIGGLEVLLDGLTLPSINMLLLKSATQVDGRREQYSLLKIFELHVLSLEVLREAVFGNVNNLQFLCENGRVHKFANSFCSPAFVFQEYIQLMEDSALPEGSQTSTLNLKNDNAESYLAEPSAPSPEKAPCNQLWNDCVVKLSRVLCSFLLAAEDVKLQHGQATSGRIPTSISSVYAELSVKWVLRVLLQVFPCIRACSNQNEFPNHLWVFISTLQHCALNAFKKVLTSSAPLLEVFRKEGIWDLIFSENFFYFGSSSEECYEESTPYPDGSPKKVEEYSASGSTGIQILQIEASSFVELAATSNGSIHNLPELSALLEALEQSACNPETASVVAKSLLRILQLSSEKTVASFKTLNAVSRVLKVACILAHESKMSGNMGPVIDNDYLEGVLSHGHQRLYSSQTSQCWIKCMETCMELFAEFFLVADDARTLVLHDSTCIDCLFELFWEEGLRNQVFRYILDLMKIESLFEEDQKAVLYICSKYFETFTVIKEREKCFAELSIKLLIGMIDILQTDPLHYQVLFRDGECFLHVVSLLNGNLDEANEERLVLVVLQTLTCLLANNDASKAAFRALVGRGYQTLQSLLLDFCQWHPSEALLNALLDMLVDGKFEINGSPHIKNEDVVILYLSVLQKSSESLRHYGLSVFQQLLKDSLSNRASCVAAGMLNFLLDWFVQECDDSVILKIAQLIQVIGGHSISGKDMRKIFALLRSEKVGTQQQYCSLLLTCILSMLNEKGPTAFFDLNGDDSGIIIKTPVQWPLNKGFSFSCWLRVENFPMNGTMGLFKFLTENGRGCLATLAEDKLIYKTINLKEQSLEMHVNLVRKKWHFLCITHTIGRAFSGGSLLRCYLDGDLVSSERCRYAKVSEILTSCSIGTKIVLPRHEEDDPVETIQDSFPFLGQIGPLYLFGDAISSEQVKAVHSLGPSYMYSFLDSETTAFGDNPMPSGILGTKDGLASKIVFGLNAQASNGKILFNVSPALDPVSDKTLFEAAIMVGTQLCSRRLLQEIIYCVGGISVFFPLITQSDRHENGETGVLERTLLFPVAKERLTAEVIELIASVLDENLANQQQMHLLSGFSVLGFLLQSLPPQQLNMETLSALKSLFNVVSSSSGLSELLMKEAISSIFLNPHIWLYTAYMVQRELYMFLIEQFDKDLRLLKSLCQLPRVIDIIQQCYWDSVKSRVSIGGQQLLHPITKQVIGERPGREEIHKIHLLLLSLGEMSLRQNIASADIKALVSFFETSHDMTCIEDVLHMVIRAISQKPLLESFIEQVNLLGGCHIFVNLLKREHEPIRLLSLQFLGRLLVGLPSEKKGPRFFNLAVGRSKSLSENSKKTSSRMQLLFFAISDRLFNFPLTDNLCATLFDVLLGGASPRQVLQKNSLVDKQKSRGNNSHFLLPQILLLIFRYLSSCGDASARMKIICDLLDLLDSNPLNIETLMEYGWNAWLTASVKLDVVKNYMSDSRHQGDYEINEKNLVMRVFSVVLCHYIQFMKGGWQQLEETVNFLLLHCEQVGISFRCLLCDIYENLLQRLVDLSAEENIFSSQPCRDNTLYLLQLVDEMLISAVGNKLPFPANSSDSYLGSLEVESQKEYDSLLHEVLQGEFDDKVSGNMESYGQAVTSGEDIPTDNWWNLFDNIWVVISEMNGMGPSKTRLRNSASVGPSLGQRARGLVESLNIPAAEMAAVVSGGIGNALSGKPNKNVDKAMALRGERCPRIVFRLLILYLCRSSLERALRCVQQFTSLLPCFLATDDEQSKSRLQLFIWSLLVVRSQYGMLDNGGRFHVIAHVIRETINSGKSMLATSMVARDDSFDSSSYSKETGSIHNLIQKDRLLSAVSDESKYVKTLEHDRSRQLQELRATMGENSSLEIHNQTAFEDEIQSSLHTILASDERRRAAFLLAQEEQQQNVAEKWMHMLRTLIDERGPWSANPFPNGSVIHWKLDKTEDTWRRRPKLRRNYHFDEKMCHPPSTSPSNEASLPSSESKSSFVGHIPEQMKQFLLQGVRRITDEGSSELVESGAEPSVQSVILEDPSDSHSVEVVKTINDQMDIVQDRKEFSAPSPETEINEVVMSLPCVLVTPKRKLAGQLAVMKDVLHFFGEFMVEGTVGSSVFKNLNASGHFERGDLKPKSTKWSIPLDINSEKGTSDNVEAENLHKEQLKNIKAVHWTRYLLRYTAIEIFFSDSIAPLFINFASQKDAKEIGTLIVSTRNELLLRRGSSRDRNDPISFVDRRVALEMAETARESWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWILADYSSEFLDFNKSSTFRDLSKPVGALDSKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFYYMPEFLINSNSYHLGVKQDGEPINDVSLPPWAKGSTELFVIKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLDSMDDELQKSAIEDQIANFGQTPIQIFRKRHPRRGPPIPIAHPLYFAPASINLTSVLSCISYPPSAVLYVGLLDSVIVIVNQGLTLSVKMWLTTQLQSGGNFTFSGSQDPFFGVGSDIISPRKIGSPLAENVELGAQCFAIMQTPAENFLISCGNWENSFQVISLSDGRMVQSVRHHKDVVSCVAVTADGSILATGSHDTTVMVWEVLRVRIPEKRVRNMQTDCVIAETPFHILCGHDDIITCLYVSVELDIVISGSKDGTCVFHTLRDGRYVRSLLHPSRSALSKLVASRHGQIVLYSDGDLSLHLYSINGKHIASSESNGRLNCVELSGCGEFLVCAGDQGQIVVRSMSTLEVVQRYNGIGKIITSLTVTPEECFLAGTKDGSLLVYSIENPQHRKASVSRNPKTKVSLAG >KJB29369 pep chromosome:Graimondii2_0_v6:5:14661658:14679019:1 gene:B456_005G097000 transcript:KJB29369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDGKFEINGSPHIKNEDVVILYLSVLQKSSESLRHYGLSVFQQLLKDSLSNRASCVAAGMLNFLLDWFVQECDDSVILKIAQLIQVIGGHSISGKDMRKIFALLRSEKVGTQQQYCSLLLTCILSMLNEKGPTAFFDLNGDDSGIIIKTPVQWPLNKGFSFSCWLRVENFPMNGTMGLFKFLTENGRGCLATLAEDKLIYKTINLKEQSLEMHVNLVRKKWHFLCITHTIGRAFSGGSLLRCYLDGDLVSSERCRYAKVSEILTSCSIGTKIVLPRHEEDDPVETIQDSFPFLGQIGPLYLFGDAISSEQVKAVHSLGPSYMYSFLDSETTAFGDNPMPSGILGTKDGLASKIVFGLNAQASNGKILFNVSPALDPVSDKTLFEAAIMVGTQLCSRRLLQEIIYCVGGISVFFPLITQSDRHENGETGVLERTLLFPVAKERLTAEVIELIASVLDENLANQQQMHLLSGFSVLGFLLQSLPPQQLNMETLSALKSLFNVVSSSSGLSELLMKEAISSIFLNPHIWLYTAYMVQRELYMFLIEQFDKDLRLLKSLCQLPRVIDIIQQCYWDSVKSRVSIGGQQLLHPITKQVIGERPGREEIHKIHLLLLSLGEMSLRQNIASADIKALVSFFETSHDMTCIEDVLHMVIRAISQKPLLESFIEQVNLLGGCHIFVNLLKREHEPIRLLSLQFLGRLLVGLPSEKKGPRFFNLAVGRSKSLSENSKKTSSRMQLLFFAISDRLFNFPLTDNLCATLFDVLLGGASPRQVLQKNSLVDKQKSRGNNSHFLLPQILLLIFRYLSSCGDASARMKIICDLLDLLDSNPLNIETLMEYGWNAWLTASVKLDVVKNYMSDSRHQGDYEINEKNLVMRVFSVVLCHYIQFMKGGWQQLEETVNFLLLHCEQVGISFRCLLCDIYENLLQRLVDLSAEENIFSSQPCRDNTLYLLQLVDEMLISAVGNKLPFPANSSDSYLGSLEVESQKEYDSLLHEVLQGEFDDKVSGNMESYGQAVTSGEDIPTDNWWNLFDNIWVVISEMNGMGPSKTRLRNSASVGPSLGQRARGLVESLNIPAAEMAAVVSGGIGNALSGKPNKNVDKAMALRGERCPRIVFRLLILYLCRSSLERALRCVQQFTSLLPCFLATDDEQSKSRLQLFIWSLLVVRSQYGMLDNGGRFHVIAHVIRETINSGKSMLATSMVARDDSFDSSSYSKETGSIHNLIQKDRLLSAVSDESKYVKTLEHDRSRQLQELRATMGENSSLEIHNQTAFEDEIQSSLHTILASDERRRAAFLLAQEEQQQNVAEKWMHMLRTLIDERGPWSANPFPNGSVIHWKLDKTEDTWRRRPKLRRNYHFDEKMCHPPSTSPSNEASLPSSESKSSFVGHIPEQMKQFLLQGVRRITDEGSSELVESGAEPSVQSVILEDPSDSHSVEVVKTINDQMDIVQDRKEFSAPSPETEINEVVMSLPCVLVTPKRKLAGQLAVMKDVLHFFGEFMVEGTVGSSVFKNLNASGHFERGDLKPKSTKWSIPLDINSEKGTSDNVEAENLHKEQLKNVKRYRRWSIGKIKAVHWTRYLLRYTAIEIFFSDSIAPLFINFASQKDAKEIGTLIVSTRNELLLRRGSSRDRNDPISFVDRRVALEMAETARESWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWILADYSSEFLDFNKSSTFRDLSKPVGALDSKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFYYMPEFLINSNSYHLGVKQDGEPINDVSLPPWAKGSTELFVIKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLDSMDDELQKSAIEDQIANFGQTPIQIFRKRHPRRGPPIPIAHPLYFAPASINLTSVLSCISYPPSAVLYVGLLDSVIVIVNQGLTLSVKMWLTTQLQSGGNFTFSGSQDPFFGVGSDIISPRKIGSPLAENVELGAQCFAIMQTPAENFLISCGNWENSFQVISLSDGRMVQSVRHHKDVVSCVAVTADGSILATGSHDTTVMVWEVLRVRIPEKRVRNMQTDCVIAETPFHILCGHDDIITCLYVSVELDIVISGSKDGTCVFHTLRDGRYVRSLLHPSRSALSKLVASRHGQIVLYSDGDLSLHLYSINGKHIASSESNGRLNCVELSGCGEFLVCAGDQGQIVVRSMSTLEVVQRYNGIGKIITSLTVTPEECFLAGTKDGSLLVYSIENPQHRKASVSRNPKTKVSLAG >KJB29368 pep chromosome:Graimondii2_0_v6:5:14653461:14679019:1 gene:B456_005G097000 transcript:KJB29368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRTSSGQTGDSPGAQGERLSPPTPRIRFSEGNDEAVLSSLWERYENTVDKAEKKRSFHVFLKQFLTVFKNWEPDNGGQLPEAASIAEYSTRVNDIVVGCSAGHPAEIILTLIEEIGQLTTLVSELNTGVGRTGMDFPAVSISFTSEGLPVLDALKIITRSLHNCRVFGYYGGIQKLTALMKGAVIQLKTVVGAIPADESFSNLIVEKMGFLQRVLVYVVSIICCFIDLNSNVYEKAQMYSITEDFSVIGAMSSIDPDSLKDSLSERTLHWHRKAVVSVMEAGGLNWLVELLRVIRRLSMKEQWTDMTLQFLTLRTLSFALCDNPRGQNHFKSIGGLEVLLDGLTLPSINMLLLKSATQVDGRREQYSLLKIFELHVLSLEVLREAVFGNVNNLQFLCENGRVHKFANSFCSPAFVFQEYIQLMEDSALPEGSQTSTLNLKNDNAESYLAEPSAPSPEKAPCNQLWNDCVVKLSRVLCSFLLAAEDVKLQHGQATSGRIPTSISSVYAELSVKWVLRVLLQVFPCIRACSNQNEFPNHLWVFISTLQHCALNAFKKVLTSSAPLLEVFRKEGIWDLIFSENFFYFGSSSEECYEESTPYPDGSPKKVEEYSASGSTGIQILQIEASSFVELAATSNGSIHNLPELSALLEALEQSACNPETASVVAKSLLRILQLSSEKTVASFKTLNAVSRVLKVACILAHESKMSGNMGPVIDNDYLEGVLSHGHQRLYSSQTSQCWIKCMETCMELFAEFFLVADDARTLVLHDSTCIDCLFELFWEEGLRNQVFRYILDLMKIESLFEEDQKAVLYICSKYFETFTVIKEREKCFAELSIKLLIGMIDILQTDPLHYQVLFRDGECFLHVVSLLNGNLDEANEERLVLVVLQTLTCLLANNDASKAAFRALVGRGYQTLQSLLLDFCQWHPSEALLNALLDMLVDGKFEINGSPHIKNEDVVILYLSVLQKSSESLRHYGLSVFQQLLKDSLSNRASCVAAGMLNFLLDWFVQECDDSVILKIAQLIQVIGGHSISGKDMRKIFALLRSEKVGTQQQYCSLLLTCILSMLNEKGPTAFFDLNGDDSGIIIKTPVQWPLNKGFSFSCWLRVENFPMNGTMGLFKFLTENGRGCLATLAEDKLIYKTINLKEQSLEMHVNLVRKKWHFLCITHTIGRAFSGGSLLRCYLDGDLVSSERCRYAKVSEILTSCSIGTKIVLPRHEEDDPVETIQDSFPFLGQIGPLYLFGDAISSEQVKAVHSLGPSYMYSFLDSETTAFGDNPMPSGILGTKDGLASKIVFGLNAQASNGKILFNVSPALDPVSDKTLFEAAIMVGTQLCSRRLLQEIIYCVGGISVFFPLITQSDRHENGETGVLERTLLFPVAKERLTAEVIELIASVLDENLANQQQMHLLSGFSVLGFLLQSLPPQQLNMETLSALKSLFNVVSSSSGLSELLMKEAISSIFLNPHIWLYTAYMVQRELYMFLIEQFDKDLRLLKSLCQLPRVIDIIQQCYWDSVKSRVSIGGQQLLHPITKQVIGERPGREEIHKIHLLLLSLGEMSLRQNIASADIKALVSFFETSHDMTCIEDVLHMVIRAISQKPLLESFIEQVNLLGGCHIFVNLLKREHEPIRLLSLQFLGRLLVGLPSEKKGPRFFNLAVGRSKSLSENSKKTSSRMQLLFFAISDRLFNFPLTDNLCATLFDVLLGGASPRQVLQKNSLVDKQKSRGNNSHFLLPQILLLIFRYLSSCGDASARMKIICDLLDLLDSNPLNIETLMEYGWNAWLTASVKLDVVKNYMSDSRHQGDYEINEKNLVMRVFSVVLCHYIQFMKGGWQQLEETVNFLLLHCEQVGISFRCLLCDIYENLLQRLVDLSAEENIFSSQPCRDNTLYLLQLVDEMLISAVGNKLPFPANSSDSYLGSLEVESQKEYDSLLHEVLQGEFDDKVSGNMESYGQAVTSGEDIPTDNWWNLFDNIWVVISEMNGMGPSKTRLRNSASVGPSLGQRARGLVESLNIPAAEMAAVVSGGIGNALSGKPNKNVDKAMALRGERCPRIVFRLLILYLCRSSLERALRCVQQFTSLLPCFLATDDEQSKSRLQLFIWSLLVVRSQYGMLDNGGRFHVIAHVIRETINSGKSMLATSMVARDDSFDSSSYSKETGSIHNLIQKDRLLSAVSDESKYVKTLEHDRSRQLQELRATMGENSSLEIHNQTAFEDEIQSSLHTILASDERRRAAFLLAQEEQQQNVAEKWMHMLRTLIDERGPWSANPFPNGSVIHWKLDKTEDTWRRRPKLRRNYHFDEKMCHPPSTSPSNEASLPSSESKSSFVGHIPEQMKQFLLQGVRRITDEGSSELVESGAEPSVQSVILEDPSDSHSVEVVKTINDQMDIVQDRKEFSAPSPETEINEVVMSLPCVLVTPKRKLAGQLAVMKDVLHFFGEFMVEGTVGSSVFKNLNASGHFERGDLKPKSTKWSIPLDINSEKGTSDNVEAENLHKEQLKNVKRYRRWSIGKIKAVHWTRYLLRYTAIEIFFSDSIAPLFINFASQKDAKEIGTLIVSTRNELLLRRGSSRDRNDPISFVDRRVALEMAETARESWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWILADYSSEFLDFNKSSTFRDLSKPVGALDSKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFYYMPEFLINSNSYHLGVKQDGEPINDVSLPPWAKGSTELFVIKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLDSMDDELQKSAIEDQIANFGQTPIQIFRKRHPRRGPPIPIAHPLYFAPASINLTSVLSCISYPPSAVLYVGLLDSVIVIVNQGLTLSVKMWLTTQLQSGGNFTFSGSQDPFFGVGSDIISPRKIGSPLAENVELGAQCFAIMQTPAENFLISCGNWENSFQVISLSDGRMVQSVRHHKDVVSCVAVTADGSILATGSHDTTVMVWEVLRVRIPEKRVRNMQTDCVIAETPFHILCGHDDIITCLYVSVELDIVISGSKDGTCVFHTLRDGRYVRSLLHPSRSALSKLVASRHGQIVLYSDGDLSLHLYSINGKHIASSESNGRLNCVELSGCGEFLVCAGDQGQIVVRSMSTLEVVQRYNGIGKIITSLTVTPEECFLAGTKDGSLLVYSIENPQHRKASVSRNPKTKVSLAG >KJB32453 pep chromosome:Graimondii2_0_v6:5:62250735:62253300:-1 gene:B456_005G241600 transcript:KJB32453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSTNIRNFMVSGKHALLPPKSPFPTVSPAYTDYVPNSVIGSKAVQKPREGNTHHQRTSSESLRMEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYIDASNSPNLDYAAQDEFRYQNMINTPSWASQDARLSSLYSDVNLVKQNRAWDSSLNVMTHPSRLPSLRENTFLQSLGSSCAPRELEGALSTASEKQDSAESPPPDAKASSEKKDNSHTKSSTCDGDSKRAKQ >KJB32452 pep chromosome:Graimondii2_0_v6:5:62248361:62253300:-1 gene:B456_005G241600 transcript:KJB32452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSTNIRNFMVSGKHALLPPKSPFPTVSPAYTDYVPNSVIGSKAVQKPREGNTHHQRTSSESLRMEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYIDASNSPNLDYAAQDEFRYQNMINTPSWASQDARLSSLYSDVNLVKQNRAWDSSLNVMTHPSRLPSLRENTFLQSLGSSCAPRELEGALSTASEKQDSAESPPPDAKASSEKKDNSHTKSSTCDGDSKRAKQQFAQRSRVRKLQYIADLNFSTSRILFLAWRTKLLSNA >KJB32450 pep chromosome:Graimondii2_0_v6:5:62248361:62251843:-1 gene:B456_005G241600 transcript:KJB32450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSTNIRNFMVSGKHALLPPKSPFPTVSPAYTDYVPNSVIGSKAVQKPREGNTHHQRTSSESLRMEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYIDASNSPNLDYAAQDEFRYQNMINTPSWASQDARLSSLYSDVNLVKQNRAWDSSLNVMTHPSRLPSLRENTFLQSLGSSCAPRELEGALSTASEKQDSAESPPPDAKASSEKKDNSHTKSSTCDGDSKRAKQQFAQRSRVRKLQYIAELERNVQALQAKGSEVSAELEFLNQQNLILSMENKALKQRLEGLAQEKAIKHFEQEVLERELSRLRALYQQQQNQPQQQQQLSSTHRRSSSRDLDSQFANLSLNNKDANSGSTPVTGPLRI >KJB32451 pep chromosome:Graimondii2_0_v6:5:62248787:62251782:-1 gene:B456_005G241600 transcript:KJB32451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSTNIRNFMVSGKHALLPPKSPFPTVSPAYTDYVPNSVIGSKAVQKPREGNTHHQRTSSESLRMEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYIDASNSPNLDYAAQDEFRYQNMINTPSWASQDARLSSLYSDVNLVKQNRAWDSSLNVMTHPSRLPSLRENTFLQSLGSSCAPRELEGALSTASEKQDSAESPPPDAKASSEKKDNSHTKSSTCDGDSKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILSMENKALKQRLEGLAQEKAIKHFEQEVLERELSRLRALYQQQQNQPQQQQQLSSTHRRSSSRDLDSQFANLSLNNKDANSGSTPVTGPLRI >KJB32449 pep chromosome:Graimondii2_0_v6:5:62248314:62253342:-1 gene:B456_005G241600 transcript:KJB32449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSTNIRNFMVSGKHALLPPKSPFPTVSPAYTDYVPNSVIGSKAVQKPREGNTHHQRTSSESLRMEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYIDASNSPNLDYAAQDEFRYQNMINTPSWASQDARLSSLYSDVNLVKQNRAWDSSLNVMTHPSRLPSLRENTFLQSLGSSCAPRELEGALSTASEKQDSAESPPPDAKASSEKKDNSHTKSSTCDGDSKRAKQQFAQRSRVRKLQYIAELERNVQALQAKGSEVSAELEFLNQQNLILSMENKALKQRLEGLAQEKAIKHFEQEVLERELSRLRALYQQQQNQPQQQQQLSSTHRRSSSRDLDSQFANLSLNNKDANSGSTPVTGPLRI >KJB31865 pep chromosome:Graimondii2_0_v6:5:59291018:59293720:1 gene:B456_005G211800 transcript:KJB31865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDGNVNGGAGADSLLVTNKPFFCYQCNRTVTVTIAPSDPSCPICHGGFLEEYENPIPNQASNFRNPNPFSHSSSSLSDPFSSILPLLTAMNSSSSPAYVDLESTGLFESTVTTRADPFAFDPFTFIQNHLSDLRSSGAQIEFVIQNSPSESGFRLPANLGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAIDSLPSVKITKDHLSSKSNQCAVCMDDFEEGIQAKRMPCRHLYHKDCILPWLELHNSCPVCRHELPTDDPDYERRVRGAQGTNGGNDGADTGGSGRRSAGDNQTAERSFRISLPWPFQARASGSGSADNAETRQEDLD >KJB31869 pep chromosome:Graimondii2_0_v6:5:59291018:59294953:1 gene:B456_005G211800 transcript:KJB31869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDGNVNGGAGADSLLVTNKPFFCYQCNRTVTVTIAPSDPSCPICHGGFLEEYENPIPNQASNFRNPNPFSHSSSSLSDPFSSILPLLTAMNSSSSPAYVDLESTGLFESTVTTRADPFAFDPFTFIQNHLSDLRSSGAQIEFVIQNSPSESGFRLPANLGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAIDSLPSVKITKDHLSSKSNQCAVCMDDFEEGIQAKRMPCRHLYHKDCILPWLELHNSCPVCRHELPTDDPDYERRVRGAQGTNGGNDGADTGGSGRRSAGDNQTAERSFRISLPWPFQARASGSGSADNAETRQEDLD >KJB31866 pep chromosome:Graimondii2_0_v6:5:59291018:59294953:1 gene:B456_005G211800 transcript:KJB31866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDGNVNGGAGADSLLVTNKPFFCYQCNRTVTVTIAPSDPSCPICHGGFLEEYENPIPNQASNFRNPNPFSHSSSSLSDPFSSILPLLTAMNSSSSPAYVDLESTGLFESTVTTRADPFAFDPFTFIQNHLSDLRSSGAQIEFVIQNSPSESGFRLPANLGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAIDSLPSVKITKDHLSSKSNQCAVCMDDFEEGIQAKRMPCRHLYHKDCILPWLELHNSCPVCRHELPTDDPDYERRVRGAQGTNGGNDGADTGGSGRRSAGDNQTAERSFRISLPWPFQARASGSGSADNAETRQEDLD >KJB31868 pep chromosome:Graimondii2_0_v6:5:59291018:59293388:1 gene:B456_005G211800 transcript:KJB31868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDGNVNGGAGADSLLVTNKPFFCYQCNRTVTVTIAPSDPSCPICHGGFLEEYENPIPNQASNFRNPNPFSHSSSSLSDPFSSILPLLTAMNSSSSPAYVDLESTGLFESTVTTRADPFAFDPFTFIQNHLSDLRSSGAQIEFVIQNSPSESGFRLPANLGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAIDSLPSVKITKDHLSSKSNQCAVCMDDFEEGIQAKRMPCRHLYHKDCILPWLELHNSCPVCRHELPTDDPDYERRVRGAQGTNGGNDGADTGGSGRRSAGDNQTAERSFRISLPWPFQARASGSGSADNAETRQEDLD >KJB31867 pep chromosome:Graimondii2_0_v6:5:59291018:59295709:1 gene:B456_005G211800 transcript:KJB31867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDGNVNGGAGADSLLVTNKPFFCYQCNRTVTVTIAPSDPSCPICHGGFLEEYENPIPNQASNFRNPNPFSHSSSSLSDPFSSILPLLTAMNSSSSPAYVDLESTGLFESTVTTRADPFAFDPFTFIQNHLSDLRSSGAQIEFVIQNSPSESGFRLPANLGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAIDSLPSVKITKDHLSSKSNQCAVCMDDFEEGIQAKRMPCRHLYHKDCILPWLELHNSCPVCRHELPTDDPDYERRVRGAQGTNGGNDGADTGGSGRRSAGDNQTAERSFRISLPWPFQARASGSGSADNAETRQEDLD >KJB31964 pep chromosome:Graimondii2_0_v6:5:59956340:59960838:1 gene:B456_005G216600 transcript:KJB31964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLVALTATIGNLLQGWDSATIAGAVMYIKKEFKLESEPAIEGLIVAMSLIGATCITTCSGSLSDWLGRRPILIISSLLYFLSGIVMLWAPNVPTLLLARLLDGLGIGLAVTLIPIYISETAPPEIRGFLNTLPLFSGCLGMFLSYCMVFGMSLTTLPNWRLMLGVLSIPSLVYLALTVFFLPESPRWLVSKGRMSEARKVLQRIRGREDVSGEMALLVEGLGVGGETSIEEYLISSISRDSKYPDTAGKDRIKLYGPEEGLSWTAKPVTGQKSFGLLSQQLLSQQPSVAQSPLGLVDPLVALLGSAHEKLSEAGSVPRSTLFPFVSSFSGLGGHQVRAEESDEESVTRESDDEQSDDSDDNLRTPLISWQASAEKDMVPTAQESVTSARLQGPFLTNAGESLGSMGIGGGWQLAWKWSEREGGFQRIYMHEESVPGLRQRSIVSPSRADVLAGYDYVPAAALVSHPALYSKELMKQHPVGPAIVHPAEAAKGPSWNDLLEPGVKHALVVGVGIEMLQQFSGMSSILYYIPEILELAGVRGLLSNTGLSSSSASLLISCVTTFLMLPSITVVMRFVDVAGRRRLLLITVPLLALCLFILVIGSFVKMGSVVRSAISTVSVVLSCCVYVMGFGPIPSILCAEIFPTRVRGTCIAIVSLVYWISNIIVAYSLPVLLKTIGLAGVFGMFGTVCLASFVFVFLKVPETKGMPLEVITEFFSVGSKQAITAKNTGYSNC >KJB31967 pep chromosome:Graimondii2_0_v6:5:59956399:59960838:1 gene:B456_005G216600 transcript:KJB31967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLVALTATIGNLLQGWDSATIAGAVMYIKKEFKLESEPAIEGLIVAMSLIGATCITTCSGSLSDWLGRRPILIISSLLYFLSGIVMLWAPNVPTLLLARLLDGLGIGLAVTLIPIYISETAPPEIRGFLNTLPLFSGCLGMFLSYCMVFGMSLTTLPNWRLMLGVLSIPSLVYLALTVFFLPESPRWLVSKGRMSEARKVLQRIRGREDVSGEMALLVEGLGVGGETSIEEYLISSISRDSKYPDTAGKDRIKLYGPEEGLSWTAKPVTGQKSFGLLSQQLLSQQPSVAQSPLGLVDPLVALLGSAHEKLSEAGSVPRSTLFPFVSSFSGLGGHQVRAEESDEESVTRESDDEQSDDSDDNLRTPLISWQASAEKDMVPTAQESVTSARLQGPFLTNAGESLGSMGIGGGWQLAWKWSEREGGFQRIYMHEESVPGLRQRSIVSPSRADVLAGYDYVPAAALVSHPALYSKELMKQHPVGPAIVHPAEAAKGPSWNDLLEPGVKHALVVGVGIEMLQQFSGMSSILYYIPEILELAGVRGLLSNTGLSSSSASLLISCVTTFLMLPSITVVMRFVDVAGRRRLLLITVPLLALCLFILVIGSFVKMGSVVRSAISTVSVVLSCCVYVMGFGPIPSILCAEIFPTRVRGTCIAIVSLVYWISNIIVAYSLPVLLKTIGLAGVFGMFGTVCLASFVFVFLKVPETKGMPLEVITEFFSVGSKQAITAKNTGYSNC >KJB31966 pep chromosome:Graimondii2_0_v6:5:59956729:59960838:1 gene:B456_005G216600 transcript:KJB31966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLVALTATIGNLLQGWDSATIAGAVMYIKKEFKLESEPAIEGLIVAMSLIGATCITTCSGSLSDWLGRRPILIISSLLYFLSGIVMLWAPNVPTLLLARLLDGLGIGLAVTLIPIYISETAPPEIRGFLNTLPLFSGCLGMFLSYCMVFGMSLTTLPNWRLMLGVLSIPSLVYLALTVFFLPESPRWLVSKGRMSEARKVLQRIRGREDVSGEMALLVEGLGVGGETSIEEYLISSISRDSKYPDTAGKDRIKLYGPEEGLSWTAKPVTGQKSFGLLSQQLLSQQPSVAQSPLGLVDPLVALLGSAHEKLSEAGSVPRSTLFPFVSSFSGLGGHQVRAEESDEESVTRESDDEQSDDSDDNLRTPLISWQASAEKDMVPTAQESVTSARLQGPFLTNAGESLGSMGIGGGWQLAWKWSEREGGFQRIYMHEESVPGLRQRSIVSPSRADVLAGYDYVPAAALVSHPALYSKELMKQHPVGPAIVHPAEAAKGPSWNDLLEPGVKHALVVGVGIEMLQQFSGMSSILYYIPEILELAGVRGLLSNTGLSSSSASLLISCVTTFLMLPSITVVMRFVDVAGRRRLLLITVPLLALCLFILVIGSFVKMGSVVRSAISTVSVVLSCCVYVMGFGPIPSILCAEIFPTRVRGTCIAIVSLVYWISNIIVAYSLPVLLKTIGLAGVFGMFGTVCLASFVFVFLKVPETKGMPLEVITEFFSVGSKQAITAKNTGYSNC >KJB31963 pep chromosome:Graimondii2_0_v6:5:59956613:59960838:1 gene:B456_005G216600 transcript:KJB31963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLVALTATIGNLLQGWDSATIAGAVMYIKKEFKLESEPAIEGLIVAMSLIGATCITTCSGSLSDWLGRRPILIISSLLYFLSGIVMLWAPNVPTLLLARLLDGLGIGLAVTLIPIYISETAPPEIRGFLNTLPLFSGCLGMFLSYCMVFGMSLTTLPNWRLMLGVLSIPSLVYLALTVFFLPESPRWLVSKGRMSEARKVLQRIRGREDVSGEMALLVEGLGVGGETSIEEYLISSISRDSKYPDTAGKDRIKLYGPEEGLSWTAKPVTGQKSFGLLSQQLLSQQPSVAQSPLGLVDPLVALLGSAHEKLSEAGSVPRSTLFPFVSSFSGLGGHQVRAEESDEESVTRESDDEQSDDSDDNLRTPLISWQASAEKDMVPTAQESVTSARLQGPFLTNAGESLGSMGIGGGWQLAWKWSEREGGFQRIYMHEESVPGLRQRSIVSPSRADVLAGYDYVPAAALVSHPALYSKELMKQHPVGPAIVHPAEAAKGPSWNDLLEPGVKHALVVGVGIEMLQQFSGMSSILYYIPEILELAGVRGLLSNTGLSSSSASLLISCVTTFLMLPSITVVMRFVDVAGRRRLLLITVPLLALCLFILVIGSFVKMGSVVRSAISTVSVVLSCCVYVMGFGPIPSILCAEIFPTRVRGTCIAIVSLVYWISNIIVAYSLPVLLKTIGLAGVFGMFGTVCLASFVFVFLKVPETKGMPLEVITEFFSVGSKQAITAKNTGYSNC >KJB31965 pep chromosome:Graimondii2_0_v6:5:59956416:59960838:1 gene:B456_005G216600 transcript:KJB31965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLVALTATIGNLLQGWDSATIAGAVMYIKKEFKLESEPAIEGLIVAMSLIGATCITTCSGSLSDWLGRRPILIISSLLYFLSGIVMLWAPNVPTLLLARLLDGLGIGLAVTLIPIYISETAPPEIRGFLNTLPLFSGCLGMFLSYCMVFGMSLTTLPNWRLMLGVLSIPSLVYLALTVFFLPESPRWLVSKGRMSEARKVLQRIRGREDVSGEMALLVEGLGVGGETSIEEYLISSISRDSKYPDTAGKDRIKLYGPEEGLSWTAKPVTGQKSFGLLSQQLLSQQPSVAQSPLGLVDPLVALLGSAHEKLSEAGSVPRSTLFPFVSSFSGLGGHQVRAEESDEESVTRESDDEQSDDSDDNLRTPLISWQASAEKDMVPTAQESVTSARLQGPFLTNAGESLGSMGIGGGWQLAWKWSEREGGFQRIYMHEESVPGLRQRSIVSPSRADVLAGYDYVPAAALVSHPALYSKELMKQHPVGPAIVHPAEAAKGPSWNDLLEPGVKHALVVGVGIEMLQQFSGMSSILYYIPEILELAGVRGLLSNTGLSSSSASLLISCVTTFLMLPSITVVMRFVDVAGRRRLLLITVPLLALCLFILVIGSFVKMGSVVRSAISTVSVVLSCCVYVMGFGPIPSILCAEIFPTRVRGTCIAIVSLVYWISNIIVAYSLPVLLKTIGLAGVFGMFGTVCLASFVFVFLKVPETKGMPLEVITEFFSVGSKQAITAKNTGYSNC >KJB29127 pep chromosome:Graimondii2_0_v6:5:10750638:10751041:-1 gene:B456_005G0860002 transcript:KJB29127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QKVVCFKRPEENRVLPLYFTSLMKEYRLLDILDPRVLNDENVEQLMEVAILARRCVRVKGEERPTMKEVAHELAGLQAMAKHPWSKSNLVSEESEYLLGKFPSTYDDGVTSSSIGMGYDSINNKITFELEDAR >KJB32618 pep chromosome:Graimondii2_0_v6:5:62880875:62882624:1 gene:B456_005G249200 transcript:KJB32618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLIELRLNQQSGKQIPFVHFFLSLSREIYFLFQLTFLSFFFSVTFLRKKMSQRTGKCRKIRHIVRIRQMLKQWRKKARITANDNIGHAPSDVPAGNVAVCVGTGLKRYIVRATYLNHPIFKSLLVQTEEEYGFNNVGPLTIPCDESLFEEILRVVSRSDSSSNSGRLFTFEDLQRRCHVGLKNKQQILSESRPLFHRVGDRSVY >KJB30164 pep chromosome:Graimondii2_0_v6:5:32761352:32765794:-1 gene:B456_005G132100 transcript:KJB30164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLSPNDSHSLPSFNSSRGKNRHAAKQAYECLSDAAYSFPQSMLPSPPSQYLLFFLQNYHFHNLQTLLPFPSTTSWENGCCLIVYSPTDVAITFAGMKKCDLFLADVNTQLKFRNVTTDIKVDTSSNGLQLFTTITVDEPAPGLKTIFGFKVPDQRSGKIKLQYLYEYARISSSIGLTTNPIVNFSGVFGTNVLALGTDISFNMKFGNFTKCNAGLSFTNADLIASLALHVVMNEKGDSVNASYYHIVNPSTNIVVGAEVTYSFTTNVNTITVGTQYALDPLTTIKAWVNNASMVSALIQHEWLSKSLFTIYGEVDTESIDKSPKVGLALALKP >KJB28822 pep chromosome:Graimondii2_0_v6:5:7738474:7740143:-1 gene:B456_005G071200 transcript:KJB28822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 8h [Source:Projected from Arabidopsis thaliana (AT3G06420) UniProtKB/Swiss-Prot;Acc:Q8S925] MVKRQPFLKEQSLEQRLEESKTILAKYPDRIPVIIERYSRTDLPDIEKNKFLVPRDMLVGQFIHILSSRLHLSPGKAHFVFVHNTLPQTASLMGCVYNSFKDDDGFLYMCYSTEETFG >KJB29695 pep chromosome:Graimondii2_0_v6:5:22132788:22134861:-1 gene:B456_005G114400 transcript:KJB29695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLKRAKHTRPMTHFIERKWICWFLLIALFAFHEGEVGDLQARPFTGEIIEVCNLNCDAKLGHMMVTLDL >KJB29694 pep chromosome:Graimondii2_0_v6:5:22126907:22134861:-1 gene:B456_005G114400 transcript:KJB29694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLKRAKHTRPMTHFIERKWICWFLLIALFAFHEGEVGDLQARPFTGEIIEVCNLNCDAKLGHMMVTLDL >KJB31696 pep chromosome:Graimondii2_0_v6:5:57837985:57839896:-1 gene:B456_005G201800 transcript:KJB31696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNSLNLSFWFLVCVFRFASASTHVSYDALVAHETTGRNLLQATKSCDEDFTKKNYTIITSRCKGPEYPKKNCCGALTDFACPFSEKVNDLNSDCARTMFSYINLYGKYPPGLFAHLCQGGQKGLSCDADPPASPATPLSTLPAFLFLTSASLTIFSLFL >KJB28617 pep chromosome:Graimondii2_0_v6:5:6047696:6052692:1 gene:B456_005G058400 transcript:KJB28617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKEKSALRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLVTSAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEAATAELDAKMKKFTEDAIKFKMDDTAELYEFDDDKDENKFDIKKIVSENWIEPPKRERKRNYSESEYFKQTLRQGGPAKPKEPRIPRMPQLLDFQFFNTQRLSELYEKEVRYLMQTHQKNQVKDTIDVDEPEETGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIMSIASEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRRDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWEELKAAFRASPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKNMTPSKRSGKQPTESPNQLKKRKQLSMDDNANSGKRRK >KJB28615 pep chromosome:Graimondii2_0_v6:5:6046005:6052692:1 gene:B456_005G058400 transcript:KJB28615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSKPASSDEELYNGSSSSEEERMNAQVNGEEDDEEEIEAVARSADASDEDDDAVPEEIAGDADDDESNGADPEISKREKERLKEMQKIKKQKIQEILDTQNAAIDADMNNKGKGRLKYLLQQTELFSHFAKGDPNSSQKKVKGRGRHASKITEEEEDEEYLKEEEDGLSGNTRLVTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEYRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPEERRYIREELLVAGKFDVCVTSFEMAIKEKSALRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLVTSAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEAATAELDAKMKKFTEDAIKFKMDDTAELYEFDDDKDENKFDIKKIVSENWIEPPKRERKRNYSESEYFKQTLRQGGPAKPKEPRIPRMPQLLDFQFFNTQRLSELYEKEVRYLMQTHQKNQVKDTIDVDEPEETGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIMSIASEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRRDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWEELKAAFRASPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKNMTPSKRSGKQPTESPNQLKKRKQLSMDDNANSGKRRK >KJB28616 pep chromosome:Graimondii2_0_v6:5:6045906:6052705:1 gene:B456_005G058400 transcript:KJB28616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSKPASSDEELYNGSSSSEEERMNAQVNGEEDDEEEIEAVARSADASDEDDDAVPEEIAGDADDDESNGADPEISKREKERLKEMQKIKKQKIQEILDTQNAAIDADMNNKGKGRLKYLLQQTELFSHFAKGDPNSSQKKVKGRGRHASKITEEEEDEEYLKEEEDGLSGNTRLVTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEYRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPEERRYIREELLVAGKFDVCVTSFEMAIKEKSALRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLVTSAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEAATAELDAKMKKFTEDAIKFKMDDTAELYEFDDDKDENKFDIKKIVSENWIEPPKRERKRNYSESEYFKQTLRQGGPAKPKEPRIPRMPQLLDFQFFNTQRLSELYEKEVRYLMQTHQKNQVKDTIDVDEPEETGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIMSIASEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRRDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWEELKAAFRASPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKNMTPSKRSGKQPTESPNQLKKRKQLSMDDNANSGKRRK >KJB29370 pep chromosome:Graimondii2_0_v6:5:14683753:14688216:1 gene:B456_005G097100 transcript:KJB29370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQSQPMNIPASIADNDVSASAPADSVSYDADPLDDGVGVDDVSADPLYVTSTPSDLAIVQRVDGASQLTLSFRGQVYVFDAITPEKFHAVLLLLGGSELTSGPHGVEMSAQNQRVVLDFPRGSNQPHRAASLDRFRQKRKERCFDKKVRYSVRQEVALRMQRNKGQFTSAKKSEGAHSWGSSQEDDNLADGICTHCGISSKSTPMMRRGPSGPRSLCNACGLFWANKGTLRDIPKKTQDLSLVPVQQGECETNDSNSGTAIPTTQSNVVSFSNGDGSALIAEN >KJB30911 pep chromosome:Graimondii2_0_v6:5:49271627:49271887:1 gene:B456_005G167500 transcript:KJB30911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSLKKSFRSKSFIKKIEKLNTKAEKEIIITWSRASTIIPTMIGHTIAIHNGKEHLPIYITDRSGRT >KJB30290 pep chromosome:Graimondii2_0_v6:5:34681742:34685495:1 gene:B456_005G135700 transcript:KJB30290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTQISRFSLFITVFSCILAFSFGDNSSSTDAHWLLRIKSKLVDPAGVLESWSLRAHICSWNGLTCSHDEAFVIALNLSASTLSGSIPTELWNLVSLQTLDLSLNLITGSIPPEIGRLRNLRTLILFANNLSGKIPTEIGLLKKLQVLRIGDNMLAAQIPPSIGNLTELRVLGLAYSQLNGSIPAEIGNLKNLVFLDLQHNSLSGFIPAEIHNCEELQNFAASNNMLDGEIPASIGKLKSLQILNLANNSLSGSIPPEISGLSNLQYLNLLGNRLNGEIPWELNHLVQLQKLDLSSNNLSGTINLLNIHLQNLQVVVFSNNALTGSIPSNFCLKNSNLQQLFLAQNKLSGGFPLGLLNCSSLQQLDLSNNNLEGELPPTINKLEKLTDLLLNNNSFNGSLPPEIGNMSNLENLYLFDNMITGSIPVEIGKLQSLSTIYLYDNQMSGSIPMELTNCASLTAIDFFGNHFSGSIPETIGKLKDLVLLQLRQNDLSGPIPPSLGYCKKLQQLALADNKLSGSLPATFRFLSQLSTITLYNNSFEGPLPDSLYSLRNLKIINFSHNKFSGTILPLTGSNSLTALDLTNNSFSGSIPSMLAISTNLGRLRLANNLLNGSIPSELGRLKELIFLDLSFNNLTGEVPSQLSTCQKLQHLLLNDNHFTGKMPSWLGSLHDLGELHLSSNNFQGQVPAELGNCSRLLKLSLHTNNLSGQIPHEIGQLTSLNVLNLQRNNLSGSIPPTIQQCKKLYELRLSENLLSGPIPSEIGKLTELQVILDLSRNLLSGEIPSSLGDLLKLERLNLSFNRLGGELPSSLGQLTSLVMLNLSNNHLRGQLSSTFSGFPPTSFSGNDKLCGPPLTSCMDSAGHENNILSSTAVVCIIVAIVFTSTVICLVMIYIMLRMWCNIREVLISNSSEGGGNGIEQIKREDQERWMYGDEKKRKGEYWRVMSSLALVPSQNHNDHISSSCIFQVKMDTKKLGI >KJB28077 pep chromosome:Graimondii2_0_v6:5:2276876:2278677:1 gene:B456_005G025900 transcript:KJB28077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPHFLLVTFPAQGHINPTLQFAKHLIRIGVRVTFITCISAHRRMTKVPTAQGLTFLPFSDGYDDGFQPGDDIEHYLSELRRRGKEAISEFITSSENEGKPVTCIVYTLFIHWASEVARKHHIPTALLWIQPATVFDIYYFYFNGYESTIKAPVDETNPKRSIKLPGLPLLATRDLPSFVTASNVYRWALSLFKEQMDVLADESHPKILVNTFDALEHEALNAIENFNMVGIGPLIPSSFLNSNDSLDNSLRTDLFQSDSKDYIQWLDSKPKSAVVYVSFGSYAVLTKRQVEEIARALISSRRPFLWVVRNRTNGGEEEKEEDKLTCREELEQFGMVVPWCSQVEVLFHPSLGCFVTHCGWNSTLESMAAGVPVVAFPQWTDQRTNAKLIEDVWGNGVRVSANEEGMVERDEIVRCLDLVMGDDKKGMEVKKNVEKWKGLASEAAMEGGSLDMKLKAFVDDIAEGYRK >KJB27527 pep chromosome:Graimondii2_0_v6:5:60641070:60643288:-1 gene:B456_005G224600 transcript:KJB27527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP12 [Source:Projected from Arabidopsis thaliana (AT5G64350) UniProtKB/Swiss-Prot;Acc:Q8LGG0] MEICLRNSGGDTKDPGQQPFSFQIGKGSVIKGWDEGVMGMQVAEVARLRCSPDYAYGAGGFPAWGIQPNSVLEFEIEVLSLK >KJB27528 pep chromosome:Graimondii2_0_v6:5:60641070:60643348:-1 gene:B456_005G224600 transcript:KJB27528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP12 [Source:Projected from Arabidopsis thaliana (AT5G64350) UniProtKB/Swiss-Prot;Acc:Q8LGG0] MGVEKQVIRPGTGPKPTPGQTVTVHCTGYGKNGDLSEKFWSTKDPGQQPFSFQIGKGSVIKGWDEGVMGMQVAEVARLRCSPDYAYGAGGFPAWGIQPNSVLEFEIEVLSLK >KJB27529 pep chromosome:Graimondii2_0_v6:5:60641763:60643288:-1 gene:B456_005G224600 transcript:KJB27529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP12 [Source:Projected from Arabidopsis thaliana (AT5G64350) UniProtKB/Swiss-Prot;Acc:Q8LGG0] MGVEKQVIRPGTGPKPTPGQTVTVHCTGYGKNGDLSEKFWSTKDPGQQPFSFQIGKGSVIKGWDEGVMGMQVAEVARLRVLFHQTLNPFQVYLVVLQLHI >KJB31683 pep chromosome:Graimondii2_0_v6:5:57740844:57758692:-1 gene:B456_005G201400 transcript:KJB31683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-gated Ca2+ channel protein, Elicitor-induced defense reponses, Hypersensitive cell death, Activation of MAPK cascad [Source: Projected from Oryza sativa (Os01g0678500)] MFIKFDIIWTLNYFALVVLNFLEKPLWCLSSSYSCSDRDYFFLGQLPYLNATESLIYEVVTLILLAIHTIFPISYEGSSIYWKRPLNQIKVICLLILVADLLVYTLYLSPVAMNSLPFRVAPYVRVILFILSIRELRNSIVILAGMIGTYLNVLALWLLFLLFASWVAYVMFEDTKQGKLVFTSYGTTLYQMFVLFTTSNNPDVWIPAYKASRWYCLFFVLFVLIGVYFVTNLILAVVYDSFKSENVGFLNKEQCIHLFEELNKYRSLPKISREEFELIFDELDDSHDFKINLDEFTDLCNAIGLRFQKEDVPSLFERFQIYRSPFSENLKAFVRGPKFGYIISFILILNLFAVIIETTLDIANNSGQKVWQEVEFVFGWIYVLEMALKVYSFGFENYWRDGQNRFDFLITWIIVIGETVTFASPDEFYFFSNGEWIRYLLLARLLRLIRLLMHVRSYRAFVATFLTLIPSLMPYLGTIFCVLCIYCSIGVQIFGGIVNAGNPALEATELTDDDYLLFNFNDYPNGMVTLFNLLVMGNWQVWMQSYKELTGTSWSLVYFISFYLVTVLLLLNLVVAFVLEAFFAEMDLEASGNSEEDDKDAGSGKGRKRLAGTKTRSQRVDILLHHMLSAELDRSHSSASSDA >KJB31685 pep chromosome:Graimondii2_0_v6:5:57740337:57759875:-1 gene:B456_005G201400 transcript:KJB31685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-gated Ca2+ channel protein, Elicitor-induced defense reponses, Hypersensitive cell death, Activation of MAPK cascad [Source: Projected from Oryza sativa (Os01g0678500)] MDKPLLFGETSNGGGRRDRLYRRSEAITYGSPYQRAAALVDLAEDGVGLPEQILDKSSFGSAAKFYFMFIKFDIIWTLNYFALVVLNFLEKPLWCLSSSYSCSDRDYFFLGQLPYLNATESLIYEVVTLILLAIHTIFPISYEGSSIYWKRPLNQIKVICLLILVADLLVYTLYLSPVAMNSLPFRVAPYVRVILFILSIRELRNSIVILAGMIGTYLNVLALWLLFLLFASWVAYVMFEDTKQGKLVFTSYGTTLYQMFVLFTTSNNPDVWIPAYKASRWYCLFFVLFVLIGVYFVTNLILAVVYDSFKSENVGFLNKEQCIHLFEELNKYRSLPKISREEFELIFDELDDSHDFKINLDEFTDLCNAIGLRFQKEDVPSLFERFQIYRSPFSENLKAFVRGPKFGYIISFILILNLFAVIIETTLDIANNSGQKVWQEVEFVFGWIYVLEMALKVYSFGFENYWRDGQNRFDFLITWIIVIGETVTFASPDEFYFFSNGEWIRYLLLARLLRLIRLLMHVRSYRAFVATFLTLIPSLMPYLGTIFCVLCIYCSIGVQIFGGIVNAGNPALEATELTDDDYLLFNFNDYPNGMVTLFNLLVMGNWQVWMQSYKELTGTSWSLVYFISFYLVTVLLLLNLVVAFVLEAFFAEMDLEASGNSEEDDKDAGSGKGRKRLAGTKTRSQRVDILLHHMLSAELDRSHSSASSDA >KJB31686 pep chromosome:Graimondii2_0_v6:5:57740337:57759875:-1 gene:B456_005G201400 transcript:KJB31686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-gated Ca2+ channel protein, Elicitor-induced defense reponses, Hypersensitive cell death, Activation of MAPK cascad [Source: Projected from Oryza sativa (Os01g0678500)] MDKPLLFGETSNGGGRRDRLYRRSEAITYGSPYQRAAALVDLAEDGVGLPEQILDKSSFGSAAKFYFMFIKFDIIWTLNYFALVVLNFLEKPLWCLSSSYSCSDRDYFFLGQLPYLNATESLIYEVVTLILLAIHTIFPISYEGSSIYWKRPLNQIKVICLLILVADLLVYTLYLSPVAMNSLPFRVAPYVRVILFILSIRELRNSIVILAGMIGTYLNVLALWLLFLLFASWVAYVMFEDTKQGKLVFTSYGTTLYQMFVLFTTSNNPDVWIPAYKASRWYCLFFVLFVLIGVYFVTNLILAVVYDSFKSENVGFLNKEQCIHLFEELNKYRSLPKISREEFELIFDELDDSHDFKINLDEFTDLCNAIGLRFQKEDVPSLFERFQIYRSPFSENLKAFVRGPKFGYIISFILILNLFAVIIETTLDIANNSGQKVWQEVEFVFGWIYVLEMALKVYSFGFENYWRDGQNRFDFLITWIIVIGETVTFASPDEFYFFSNGEWIRYLLLARLLRLIRLLMHVRSYRAFVATFLTLIPSLMPYLGTIFCVLCIYCSIGVQIFGGIVNAGNPALEATELTDDEYPFLSFLYLLFNFNDYPNGMVTLFNLLVMGNWQVWMQSYKELTGTSWSLVYFISFYLVTVLLLLNLVVAFVLEAFFAEMDLEASGNSEEDDKDAGSGKGRKRLAGTKTRSQRVDILLHHMLSAELDRSHSSASSDA >KJB31682 pep chromosome:Graimondii2_0_v6:5:57740844:57756936:-1 gene:B456_005G201400 transcript:KJB31682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-gated Ca2+ channel protein, Elicitor-induced defense reponses, Hypersensitive cell death, Activation of MAPK cascad [Source: Projected from Oryza sativa (Os01g0678500)] MNSLPFRVAPYVRVILFILSIRELRNSIVILAGMIGTYLNVLALWLLFLLFASWVAYVMFEDTKQGKLVFTSYGTTLYQMFVLFTTSNNPDVWIPAYKASRWYCLFFVLFVLIGVYFVTNLILAVVYDSFKSENVGFLNKEQCIHLFEELNKYRSLPKISREEFELIFDELDDSHDFKINLDEFTDLCNAIGLRFQKEDVPSLFERFQIYRSPFSENLKAFVRGPKFGYIISFILILNLFAVIIETTLDIANNSGQKVWQEVEFVFGWIYVLEMALKVYSFGFENYWRDGQNRFDFLITWIIVIGETVTFASPDEFYFFSNGEWIRYLLLARLLRLIRLLMHVRSYRAFVATFLTLIPSLMPYLGTIFCVLCIYCSIGVQIFGGIVNAGNPALEATELTDDDYLLFNFNDYPNGMVTLFNLLVMGNWQVWMQSYKELTGTSWSLVYFISFYLVTVLLLLNLVVAFVLEAFFAEMDLEASGNSEEDDKDAGSGKGRKRLAGTKTRSQRVDILLHHMLSAELDRSHSSASSDA >KJB31684 pep chromosome:Graimondii2_0_v6:5:57740337:57759875:-1 gene:B456_005G201400 transcript:KJB31684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-gated Ca2+ channel protein, Elicitor-induced defense reponses, Hypersensitive cell death, Activation of MAPK cascad [Source: Projected from Oryza sativa (Os01g0678500)] MDKPLLFGETSNGGGRRDRLYRRSEAITYGSPYQRAAALVDLAEDGVGLPEQILDKSSFGSAAKFYFMFIKFDIIWTLNYFALVVLNFLEKPLWCLSSSYSCSDRDYFFLGQLPYLNATESLIYEVVTLILLAIHTIFPISYEGSSIYWKRPLNQIKVICLLILVADLLVYTLYLSPVAMNSLPFRVAPYVRVILFILSIRELRNSIVILAGMIGTYLNVLALWLLFLLFASWVAYVMFEDTKQGKLVFTSYGTTLYQMFVLFTTSNNPDVWIPAYKASRWYCLFFVLFVLIGVYFVTNLILAVVYDSFKSENVGFLNKEQCIHLFEELNKYRSLPKISREEFELIFDELDDSHDFKINLDEFTDLCNAIGLRFQKEDVPSLFERFQIYRSPFSENLKAFVRGPKFGYIISFILILNLFAVIIETTLDIANNSGQKVWQEVEFVFGWIYVLEMALKVYSFGFENYWRDGQNRFDFLITWIIVIGETVTFASPDEFYFFSNGEWIRYLLLARLLRLIRLLMHVRSYRAFVATFLTLIPSLMPYLGTIFCVLCIYCSIGVQIFGGIVNAGNPALEATELTDDDYLLFNFNDYPNGMVTLFNLLVMGNWQVWMQSYKELTGTSWSLVYFISFYLVTVLLLLNLVVAFVLEAFFAEMDLEASGNSEEDDKDAGSGKGRKRLAGTKTRSQRVDILLHHMLSAELDRSHSSASSDA >KJB31687 pep chromosome:Graimondii2_0_v6:5:57740337:57759875:-1 gene:B456_005G201400 transcript:KJB31687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-gated Ca2+ channel protein, Elicitor-induced defense reponses, Hypersensitive cell death, Activation of MAPK cascad [Source: Projected from Oryza sativa (Os01g0678500)] MDKPLLFGETSNGGGRRDRLYRRSEAITYGSPYQRAAALVDLAEDGVGLPEQILDKSSFGSAAKFYFMFIKFDIIWTLNYFALVVLNFLEKPLWCLSSSYSCSDRDYFFLGQLPYLNATESLIYEVVTLILLAIHTIFPISYEGSSIYWKRPLNQIKVICLLILVADLLVYTLYLSPVAMNSLPFRVAPYVRVILFILSIRELRNSIVILAGMIGTYLNVLALWLLFLLFASWVAYVMFEDTKQGKLVFTSYGTTLYQMFVLFTTSNNPDVWIPAYKASRWYCLFFVLFVLIGVYFVTNLILAVVYDSFKSELAKQVSEMDRNRRSILCKAFDLIDDYNVGFLNKEQCIHLFEELNKYRSLPKISREEFELIFDELDDSHDFKINLDEFTDLCNAIGLRFQKEDVPSLFERFQIYRSPFSENLKAFVRGPKFGYIISFILILNLFAVIIETTLDIANNSGQKVWQEVEFVFGWIYVLEMALKVYSFGFENYWRDGQNRFDFLITWIIVIGETVTFASPDEFYFFSNGEWIRYLLLARLLRLIRLLMHVRSYRAFVATFLTLIPSLMPYLGTIFCVLCIYCSIGVQIFGGIVNAGNPALEATELTDDDYLLFNFNDYPNGMVTLFNLLVMGNWQVWMQSYKELTGTSWSLVYFISFYLVTVLLLLNLVVAFVLEAFFAEMDLEASGNSEEDDKDAGSGKGRKRLAGTKTRSQRVDILLHHMLSAELDRSHSSASSDA >KJB30307 pep chromosome:Graimondii2_0_v6:5:35423541:35426738:1 gene:B456_005G136800 transcript:KJB30307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVPMDEIKEEGVMNEMKGTSAHVKENSVSKITPSPQSPRGDRMVQVETSIEQLYENVCDMQSSDQSPSRQSFGSYGEESRIDSELHHLAGGESTEVEIMKGEEQEVDKPEDDSRSNSSSKKGSSSSGKKSGLLDKPRPTGVKSISSGDVKKVSRPQLDSESSTKPNVKGKTPPEKPPINKGKVKTLKKPNTGVLPMKKGKGSKLQNGTEDASESGLGNPDLGPFLLKQARDLVSAGDNPQKALELALRAAKSYELCSSGKPNLELVMCLHVTAAIYCSLGQYNEAIPLLEQSIEIPVIEEGQEHALAKFAGHMQLGDTYAMLGQLENSITCYSTGFEVQKRVLGETDPRVGETCRYLAEAHMQALQFDEAQRLCQMALDIHRENGTPASLEEAADRRLMGLICETKGDHEAALEHLVLARMAMVANSQEAEVASVDCSIGDAYLSLSRYDEAVFAYQKALTAFKTTKGENHPAIGSVFVRLADLYYRTGKLRESTSYCENALRIYEKPMPGIPPEEIATGLTDVSAIYESMNDLDQAIKLLQKALKIYNDVPGQQSTVAGIEAQMGVMYYMLGNYSESYNSFSSAISKLRICRDKKSAFFGIALNQMGLACVQRYAIKEAVELFEEAKSILEQECGPYHPDTLSVYSNLAGTYDAIGRLDDAIEILEYVVQTREEKLGTANSEVDDEKKRLAELLKEAGRVESRKARSLETLLDANPHHNSKAEGFKV >KJB30306 pep chromosome:Graimondii2_0_v6:5:35423625:35426738:1 gene:B456_005G136800 transcript:KJB30306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVPMDEIKEEGVMNEMKGTSAHVKENSVSKITPSPQSPRGDRMVQVETSIEQLYENVCDMQSSDQSPSRQSFGSYGEESRIDSELHHLAGGESTEVEIMKGEEQEVDKPEDDSRSNSSSKKGSSSSGKKSGLLDKPRPTGVKSISSGDVKKVSRPQLDSESSTKPNVKGKTPPEKPPINKGKVKTLKKPNTGVLPMKKGKGSKLQNGTEDASESGLGNPDLGPFLLKQARDLVSAGDNPQKALELALRAAKSYELCSSGKPNLELVMCLHVTAAIYCSLGQYNEAIPLLEQSIEIPVIEEGQEHALAKFAGHMQLGDTYAMLGQLENSITCYSTGFEVQKRVLGETDPRVGETCRYLAEAHMQALQFDEAQRLCQMALDIHRENGTPASLEEAADRRLMGLICETKGDHEAALEHLVLARMAMVANSQEAEVASVDCSIGDAYLSLSRYDEAVFAYQKALTAFKTTKGENHPAIGSVFVRLADLYYRTGKLRESTSYCENALRIYEKPMPGIPPEEIATGLTDVSAIYESMNDLDQAIKLLQKALKIYNDVPGQQSTVAGIEAQMGVMYYMLGNYSESYNSFSSAISKLRICRDKKSAFFGIALNQMGLACVQRYAIKEAVELFEEAKSILEQECGPYHPDTLSVYSNLAGTYDAIGRLDDAIEILEYVVQTREEKLGTANSEVDDEKKRLAELLKEAGRVESRKARSLETLLDANPHHNSKAEGFKV >KJB27520 pep chromosome:Graimondii2_0_v6:5:60285001:60288238:-1 gene:B456_005G219700 transcript:KJB27520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKEQEQIDAQESEKSMPSSEEEDAAIRKKYGGIKPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSPCAPSDGEVGGSAQPEDETTNE >KJB27521 pep chromosome:Graimondii2_0_v6:5:60285001:60288105:-1 gene:B456_005G219700 transcript:KJB27521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKEQEQIDAQESEKSMPSSEEEDAAIRKKYGGIKPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSPCAPSDGEVGGSAQPEDETTNE >KJB27519 pep chromosome:Graimondii2_0_v6:5:60285615:60287127:-1 gene:B456_005G219700 transcript:KJB27519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKEQEQIDAQESEKSMPSSEEEDAAIRKKYGGIKPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSPCAPSDGEGMLSY >KJB27801 pep chromosome:Graimondii2_0_v6:5:735235:737466:1 gene:B456_005G010500 transcript:KJB27801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKVRTFLFFLPQIRVGGCHRHPRPPSEFEARHKLVKPNQKTKAKNSPVSIAGRRTGLQFSYLMAMCSEDRISSFPDYILCHILSFLPIKEAVRTSIISTKWRYLFASISTIEFDRCLLSGLTDRNVDSFKNFVDRLLKFPDQVSLDCFRLSDGISWNDEDHDFDVSGWIFAALCRRVKEIDFHLDYLGDVLTLPAVLFTYHSLVTLKLNAEGSKIEVPSDVCLGNLKTLQLRNSVVDGDSIHRLISNCHVLEDLAFIECFLAYAGALNIQTPSLKRLVLDFDVVEYRDFNYVVVINAPNLVYFQYTDAVAEGYALSNMKSLEKSDISIYRFDSSDCETSATHLIQGICTVRSLSLTIDEVIFRTCRLPIFHNLIEFEYRGLGFNGRETWLVEFLHCAPNLNTLTLNFLVVAETQWKVLLMEVPSCLSLHLKEIKILNFKGDTRMFEMISYFLDNAMVLEKLMIGMESLSETQQSIVFNQLLQLPKSSKKCQVVIF >KJB30358 pep chromosome:Graimondii2_0_v6:5:36320319:36321283:1 gene:B456_005G139300 transcript:KJB30358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFNLFSDKKLNVGHYIPQLADLILDYKKRFSGRRESLKPLQLSFLLPTILVFIYEFKLYPNFVXXXXXXXXXGVISDETLLLSKTVCNASRHLKESIHQNLSKECIHVLNKLEEAMGSYTDPGDLILPICLSPTLLGQTFYQGTHNRLHWKLAMSSAVATDPCRGDEIRQYLNTPKVQEALHANTTHLSSVWEFCRGHLSYQRETIGINIIPLLSKLLKSSILVLLFK >KJB30359 pep chromosome:Graimondii2_0_v6:5:36320319:36321787:1 gene:B456_005G139300 transcript:KJB30359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFNLFSDKKLNVGHYIPQLADLILDYKKRFSGRRESLKPLQLSFLLPTILVFIYEFKLYPNFVXXXXXXXXXGVISDETLLLSKTVCNASRHLKESIHQNLSKECIHVLNKLEEAMGSYTDPGDLILPICLSPTLLGQTFYQGTHNRLHWKLAMSSAVATDPCRGDEIRQYLNTPKVQEALHANTTHLSSVWEFCRGHLSYQRETIGINIIPLLSKLLKSSILVLLFNGDQDSKIPLTQTRIIANMLAKELKLVPVGSYALWLVGGLSHLAK >KJB29184 pep chromosome:Graimondii2_0_v6:5:11787716:11790511:-1 gene:B456_005G088900 transcript:KJB29184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKWLRKSIFPYNQYRIFLSFFNRCAYDLKNPGPYDPPAAGVLGLSNGKASILSQLRSFDLTRNVLGHCLSGKGGGFLFLGDDLVPSGMSWMPVSANSKHYLSSPAEVFFDGKPTGIKDLKVVFDSGSSYTYFGFQVYEGVLTLVRKGLTKKPLDTVQDKALPICWKGTKPFKSVHDVKNYFSTLTLKFKDTQNIQLELQPEAYLIVTEDGNACFGILNGTEAGLGDLNVIGDISLIGKMVVYDNEKQRIGWISADCNRLPNSLDSNYKEDIQQPYAANFGILEENHPKTQGSSESNVRVRNREL >KJB29186 pep chromosome:Graimondii2_0_v6:5:11788696:11790442:-1 gene:B456_005G088900 transcript:KJB29186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKKSKATVALMMMFMMLFLVNFPGCFSAASQQPNNKKSTHLKPHNGFHSSFLFPVTGNVYPLGYYSISLSIGNPPKVFEFDIDTGSDLTWVQCDAPCTGCTKPIDHLYKPQKHILVSCEHPSCGVVHFPESPHCEKPDDQCDFEIDYVDHGSVLGVVVADVFSLRFMNGSLIHLPLTFGCAYDLKNPGPYDPPAAGVLGLSNGKASILSQLRSFDLTRNVLGHCLSGKGGGFLFLGDDLVPSGMSWMPVSANSKHYLSSPAEVFFDGKPTGIKDLKVVFDSGSSYTYFGFQVYEGVLTLVSKRVGVFPLRVIFKLFLRN >KJB29183 pep chromosome:Graimondii2_0_v6:5:11787716:11790511:-1 gene:B456_005G088900 transcript:KJB29183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSLIHLPLTFGCAYDLKNPGPYDPPAAGVLGLSNGKASILSQLRSFDLTRNVLGHCLSGKGGGFLFLGDDLVPSGMSWMPVSANSKHYLSSPAEVFFDGKPTGIKDLKVVFDSGSSYTYFGFQVYEGVLTLVRKGLTKKPLDTVQDKALPICWKGTKPFKSVHDVKNYFSTLTLKFKDTQNIQLELQPEAYLIVTEDGNACFGILNGTEAGLGDLNVIGDISLIGKMVVYDNEKQRIGWISADCNRLPNSLDSNYKEDIQQPYAANFGILEENHPKTQGSSESNVRVRNREL >KJB29187 pep chromosome:Graimondii2_0_v6:5:11788116:11790511:-1 gene:B456_005G088900 transcript:KJB29187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKKSKATVALMMMFMMLFLVNFPGCFSAASQQPNNKKSTHLKPHNGFHSSFLFPVTGNVYPLGYYSISLSIGNPPKVFEFDIDTGSDLTWVQCDAPCTGCTKPIDHLYKPQKHILVSCEHPSCGVVHFPESPHCEKPDDQCDFEIDYVDHGSVLGVVVADVFSLRFMNGSLIHLPLTFGCAYDLKNPGPYDPPAAGVLGLSNGKASILSQLRSFDLTRNVLGHCLSGKGGGFLFLGDDLVPSGMSWMPVSANSKHYLSSPAEVFFDGKPTGIKDLKVVFDSGSSYTYFGFQVYEGVLTLVRKGLTKKPLDTVQDKALPICWKGTKPFKSVHDVKNYFSTLTLKFKDTQNIQLELQPEAYLIVTEDGNACFGILNGTEAGLGDLNVIGDISLIGKMVVYDNEKQRIGWISADCNRLP >KJB29182 pep chromosome:Graimondii2_0_v6:5:11787630:11790706:-1 gene:B456_005G088900 transcript:KJB29182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKKSKATVALMMMFMMLFLVNFPGCFSAASQQPNNKKSTHLKPHNGFHSSFLFPVTGNVYPLGYYSISLSIGNPPKVFEFDIDTGSDLTWVQCDAPCTGCTKPIDHLYKPQKHILVSCEHPSCGVVHFPESPHCEKPDDQCDFEIDYVDHGSVLGVVVADVFSLRFMNGSLIHLPLTFGCAYDLKNPGPYDPPAAGVLGLSNGKASILSQLRSFDLTRNVLGHCLSGKGGGFLFLGDDLVPSGMSWMPVSANSKHYLSSPAEVFFDGKPTGIKDLKVVFDSGSSYTYFGFQVYEGVLTLVRKGLTKKPLDTVQDKALPICWKGTKPFKSVHDVKNYFSTLTLKFKDTQNIQLELQPEAYLIVTEDGNACFGILNGTEAGLGDLNVIGDISLIGKMVVYDNEKQRIGWISADCNRLPLDSNYKEDIQQPYAANFGILEENHPKTQGSSESNVRVRNREL >KJB29181 pep chromosome:Graimondii2_0_v6:5:11787716:11790511:-1 gene:B456_005G088900 transcript:KJB29181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKKSKATVALMMMFMMLFLVNFPGCFSAASQQPNNKKSTHLKPHNGFHSSFLFPVTGNVYPLGYYSISLSIGNPPKVFEFDIDTGSDLTWVQCDAPCTGCTKPIDHLYKPQKHILVSCEHPSCGVVHFPESPHCEKPDDQCDFEIDYVDHGSVLGVVVADVFSLRFMNGSLIHLPLTFGCAYDLKNPGPYDPPAAGVLGLSNGKASILSQLRSFDLTRNVLGHCLSGKGGGFLFLGDDLVPSGMSWMPVSANSKHYLSSPAEVFFDGKPTGIKDLKVVFDSGSSYTYFGFQVYEGVLTLVRKGLTKKPLDTVQDKALPICWKGTKPFKSVHDVKNYFSTLTLKFKDTQNIQLELQPEAYLIVTEDGNACFGILNGTEAGLGDLNVIGDISLIGKMVVYDNEKQRIGWISADCNRLPNSLDSNYKEDIQQPYAANFGILEENHPKTQGSSESNVRVRNREL >KJB29188 pep chromosome:Graimondii2_0_v6:5:11788316:11790442:-1 gene:B456_005G088900 transcript:KJB29188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKKSKATVALMMMFMMLFLVNFPGCFSAASQQPNNKKSTHLKPHNGFHSSFLFPVTGNVYPLGYYSISLSIGNPPKVFEFDIDTGSDLTWVQCDAPCTGCTKPIDHLYKPQKHILVSCEHPSCGVVHFPESPHCEKPDDQCDFEIDYVDHGSVLGVVVADVFSLRFMNGSLIHLPLTFGCAYDLKNPGPYDPPAAGVLGLSNGKASILSQLRSFDLTRNVLGHCLSGKGGGFLFLGDDLVPSGMSWMPVSANSKHYLSSPAEVFFDGKPTGIKDLKVVFDSGSSYTYFGFQVYEGVLTLVRKGLTKKPLDTVQDKALPICWKGTKPFKSVHDVKNYFSTLTLKFKDTQNIQLELQPEAYLIVTEDGNACFGILNGTEAGLGDLNVIGGDK >KJB29185 pep chromosome:Graimondii2_0_v6:5:11787716:11790511:-1 gene:B456_005G088900 transcript:KJB29185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSLIHLPLTFGCAYDLKNPGPYDPPAAGVLGLSNGKASILSQLRSFDLTRNVLGHCLSGKGGGFLFLGDDLVPSGMSWMPVSANSKHYLSSPAEVFFDGKPTGIKDLKVVFDSGSSYTYFGFQVYEGVLTLVRKGLTKKPLDTVQDKALPICWKGTKPFKSVHDVKNYFSTLTLKFKDTQNIQLELQPEAYLIVTEDGNACFGILNGTEAGLGDLNVIGDISLIGKMVVYDNEKQRIGWISADCNRLPNSLDSNYKEDIQQPYAANFGILEENHPKTQGSSESNVRVRNREL >KJB32294 pep chromosome:Graimondii2_0_v6:5:61607390:61609047:1 gene:B456_005G233900 transcript:KJB32294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSKVQEIVEKQVLTVAKAFEDQIDEEIAALDRLDHDDLEAIRERRLQQMKKMAEKRSRWIGLGHGEYSEIPAEKDFFSIVKASERVVCHFYRENWPCKVMDKHLSALAKQHIETRFVKIQAEKSPFLAERLKIVVLPTLALIKNAKVDDYVVGFDELGGTDEFSTEDLEDRLAKVQVIFSEGESSSKPRTQTKRSVRQSLNPDSSDSD >KJB32293 pep chromosome:Graimondii2_0_v6:5:61607587:61608329:1 gene:B456_005G233900 transcript:KJB32293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSKVQEIVEKQVLTVAKAFEDQIDEEIAALDRLDHDDLEAIRERRLQQMKKMAEKRSRWIGLGHGEYSEIPAEKDFFSIVKASERVVCHFYRENWPCKVMDKHLSALAKQHIETRFVKIQAEKSPFLAERLKIVVLPTLALIKNAKVDDYVVLLNVLSNKI >KJB32292 pep chromosome:Graimondii2_0_v6:5:61607433:61608956:1 gene:B456_005G233900 transcript:KJB32292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSKVQEIVEKQVLTVAKAFEDQIDEEIAALDRLDHDDLEAIRERRLQQMKKMAEKRSRWIGLGHGEYSEIPAEKDFFSIVKASERVVCHFYRENWPCKVMDKHLSALAKQHIETRFVKIQAEKSPFLAERLKIVVLPTLALIKNAKVDDYVVGFDELGGTDEFSTEDLEDRLAKVQVIFSEGESSSKPRTQTKRSVRQSLNPDSSDSD >KJB28805 pep chromosome:Graimondii2_0_v6:5:7600207:7604320:-1 gene:B456_005G070200 transcript:KJB28805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESFVPFRGIKNDLEGRLKCYKQDWTSGLRAGFRILAPTTYIFFASAIPVISFGEQLERETDGILTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKNRLDLGSELFLAWTAWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQEAIKGLVDEFRIPERENPKLLEFQPSWRFANGMFALVLSFGLLFTALRSRKARSWRYGSGSLRGFIADYGVPLMILVWTAVSYIPAGSTPKGIPRRLFSPNPWSPGAYENWTVMKDMLKVPVLYIIGAFIPATMLAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTILCGLIGVPPANGVIPQSPMHTKSLATLKHQLLRNRIVETARKCMHNNASLGQVYDSMQEAYQQMQSPLVYQEPSARGLKELKESTIQMASTMGIIDAPVDETVFDVEKEIDDLLPIEVKEQRLSNLLQATMVGGCLAAMPFIKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHATFVETVPFKTIAVFTIFQTAYLLVCFGMTWIPLAGVLFPLMIMLLVPVRQYILPKFFKGVHLQDLDAAEYEESPAVPFSLVTVSS >KJB28803 pep chromosome:Graimondii2_0_v6:5:7599581:7604320:-1 gene:B456_005G070200 transcript:KJB28803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESFVPFRGIKNDLEGRLKCYKQDWTSGLRAGFRILAPTTYIFFASAIPVISFGEQLERETDGILTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKNRLDLGSELFLAWTAWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQEAIKGLVDEFRIPERENPKLLEFQPSWRFANGMFALVLSFGLLFTALRSRKARSWRYGSGSLRGFIADYGVPLMILVWTAVSYIPAGSTPKGIPRRLFSPNPWSPGAYENWTVMKDMLKVPVLYIIGAFIPATMLAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTILCGLIGVPPANGVIPQSPMHTKSLATLKHQLLRNRIVETARKCMHNNASLGQVYDSMQEAYQQMQSPLVYQEPSARELKESTIQMASTMGIIDAPVDETVFDVEKEIDDLLPIEVKEQRLSNLLQATMVGGCLAAMPFIKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHATFVETVPFKTIAVFTIFQTAYLLVCFGMTWIPLAGVLFPLMIMLLVPVRQYILPKFFKGVHLQDLDAAEYEESPAVPFSLVTEGELIRTASFADDGEILDGMFTRSKGEIRRISSLKVTSATATPSKEFKGTEIESPCFSEQGYSPRVNELRAGRRSPRNVGRGPFSPRTSEVRPSNLGKSG >KJB28804 pep chromosome:Graimondii2_0_v6:5:7599581:7604446:-1 gene:B456_005G070200 transcript:KJB28804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESFVPFRGIKNDLEGRLKCYKQDWTSGLRAGFRILAPTTYIFFASAIPVISFGEQLERETDGILTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKNRLDLGSELFLAWTAWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQEAIKGLVDEFRIPERENPKLLEFQPSWRFANGMFALVLSFGLLFTALRSRKARSWRYGSGSLRGFIADYGVPLMILVWTAVSYIPAGSTPKGIPRRLFSPNPWSPGAYENWTVMKDMLKVPVLYIIGAFIPATMLAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTILCGLIGVPPANGVIPQSPMHTKSLATLKHQLLRNRIVETARKCMHNNASLGQVYDSMQEAYQQMQSPLVYQEPSARGLKELKESTIQMASTMGIIDAPVDETVFDVEKEIDDLLPIEVKEQRLSNLLQATMVGGCLAAMPFIKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHATFVETVPFKTIAVFTIFQTAYLLVCFGMTWIPLAGVLFPLMIMLLVPVRQYILPKFFKGVHLQDLDAAEYEESPAVPFSLVTEGELIRTASFADDGEILDGMFTRSKGEIRRISSLKVTSATATPSKEFKGTEIESPCFSEQGYSPRVNELRAGRRSPRNVGRGPFSPRTSEVRPSNLGKSG >KJB31906 pep chromosome:Graimondii2_0_v6:5:59654147:59657600:1 gene:B456_005G213800 transcript:KJB31906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIASSSSSSSSSFTFTASSPFLSRPKLGTHPFPPALLSIPRLTPRKTPNLSLSLTTAAATSKSGGENAVPSKNPKETKKEVVEEEEVEVEEELPWIQEKALDLVEFTGSVTQAIPGPRVGTSSLPWILAVPLAYAGITFVIAFVKTVKKFTSPRHQRKKLVNKNAMLCKSIDELFQQGSDAVDNSALKGLVQKTGFSVEEILRKYIRYSLNEKPWSADLISSLIQLRKASMLDDSQIAEILNDISRRIVREKGPVVMDMSGFTEKGFKRKLAVQGLFGKVLYLSELPEFCSRDSSLIVKEIFGVTDEDADKLRLHTFSEAGDMDWLEKMVDGSNSEDSHEDSADAV >KJB31907 pep chromosome:Graimondii2_0_v6:5:59654149:59657554:1 gene:B456_005G213800 transcript:KJB31907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIASSSSSSSSSFTFTASSPFLSRPKLGTHPFPPALLSIPRLTPRKTPNLSLSLTTAAATSKSGGENAVPSKNPKETKKEVVEEEEVEVEEELPWIQEKALDLVEFTGSVTQAIPGPRVGTSSLPWILAVPLAYAGITFVIAFVKTVKKFTSPRHQRKKLVNKNAMLCKSIDELFQQGSDAVDNSALKGLVQKTGFSVEEILRKYIRYSLNEKPWSADLISSLIQLRKASMLDDSQIAEILNDISRRIVREKGNF >KJB32288 pep chromosome:Graimondii2_0_v6:5:61572477:61574799:-1 gene:B456_005G233600 transcript:KJB32288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQGFLSALKEDIVRGLSPSRSRSNSPARPLSLMSTTLRGNKDNHHGGAHVVKPEPLMARSGTVRPVGEALSPLMEGPEPDRGKSGDPKWVGSVIGQWVKGQFCTSTNHRRSNLRLLLGIMGAPLAPIHVSSNDPLPHLSIKDTSIETSSAHYILQQYIAATGVQKLQSSIKNAYAMGKLKMIACEYETATRIVKSCNVSRGAESGWFVLWQMNPDMWYVELAVSGCKVHAGCNGNLVWRYTPWLGAHTAKGPVRPLRRALQGLDPRTTAIMFANARCIGEKNINGEDCFILKLCAGPRTLKARSEGPAEIIRHVLFGYFSQKTGLLVQMEDSHLTRVQSTGGDTVYWETTINTFLEDYHPVEG >KJB32287 pep chromosome:Graimondii2_0_v6:5:61572415:61574820:-1 gene:B456_005G233600 transcript:KJB32287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQGFLSALKEDIVRGLSPSRSRSNSPARPLSLMSTTLRGNKDNHHGGAHVVKPEPLMARSGTVRPVGEALSPLMEGPEPDRGKSGDPKWVGSVIGQWVKGQFCTSTNHRRSNLRLLLGIMGAPLAPIHVSSNDPLPHLSIKDTSIETSSAHYILQQYIAATGVQKLQSSIKNAYAMGKLKMIACEYETATRIVKSCNVSRGAESGWFVLWQMNPDMWYVELAVSGCKVHAGCNGNLVWRYTPWLGAHTAKGPVRPLRRALQGLDPRTTAIMFANARCIGEKNINGEDCFILKLCAGPRTLKARSEGPAEIIRHVLFGYFSQKTGLLVQMEDSHLTRVQSTGGDTVYWETTINTFLEDYHPVEGIVIAHSGHTVVTLFRFGEVAMNHTKTKMEETWTIEEDERGENAIHL >KJB28745 pep chromosome:Graimondii2_0_v6:5:7181288:7186294:1 gene:B456_005G067300 transcript:KJB28745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPQGEELLRKIQELQVGHAHLMREMSRLKQSGGESIHDSTRRGSRRTSPQWPLFLGDALAAAGSGSVRLPLRIESGSCGTTNGGGGDAGRTRTGNSWTAAANLTNSQYLNILQSMGQSVYIYDLGGRVFYWNRAAEKLFGYSEAEALGQDIIKLVCQPKYFRIAANIVHLVTAGESWAGLFPIKNRIGENISVVTTVTPFYDENGSVVGITCVTCDSRPFQETKFEFSAERQPKGNSSAFIRSKNAISVKLGLDPQQPLQAAIVSKISNLASKVSNKVKSRIRTGENRVDPYDHKEDATSSGVCTPKGDMRPPTHGVLYPFDNESTVMNSIDFGDENEGKPGIQKFTTFIALTGISLPWKGNSQEESEAKTTHSIRPCEGNDQENETFLLKGPYLGTKPDDHINEYDRPINNDALGSSSSSANVNSTSSVSSSGSTGGSPVNRVHMDTDCVDYEILWEELTIGEQIGQGSCGTVYHGLWYASDVAVKVFPNLEYSDDVIHSFRQEVSLMKRLRHPNVLLFMGAVTSPQRLCIVTEFLQRGSLFRLLQRNAAKLERKRRVHMALDIARGMNYLHHCNPTIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKHATFLTTKTGKGTPQWMAPEVLRNEPSDEKYVANDFSSLFHFTQHIPIPEPNRVHHFYSLLTLYFLFFLGNT >KJB28747 pep chromosome:Graimondii2_0_v6:5:7181288:7186387:1 gene:B456_005G067300 transcript:KJB28747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPQGEELLRKIQELQVGHAHLMREMSRLKQSGGESIHDSTRRGSRRTSPQWPLFLGDALAAAGSGSVRLPLRIESGSCGTTNGGGGDAGRTRTGNSWTAAANLTNSQYLNILQSMGQSVYIYDLGGRVFYWNRAAEKLFGYSEAEALGQDIIKLVCQPKYFRIAANIVHLVTAGESWAGLFPIKNRIGENISVVTTVTPFYDENGSVVGITCVTCDSRPFQETKFEFSAERQPKGNSSAFIRSKNAISVKLGLDPQQPLQAAIVSKISNLASKVSNKVKSRIRTGENRVDPYDHKEDATSSGVCTPKGDMRPPTHGVLYPFDNESTVMNSIDFGDENEGKPGIQKFTTFIALTGISLPWKGNSQEESEAKTTHSIRPCEGNDQENETFLLKGPYLGTKPDDHINEYDRPINNDALGSSSSSANVNSTSSVSSSGSTGGSPVNRVHMDTDCVDYEILWEELTIGEQIGQGSCGTVYHGLWYASDVAVKVFPNLEYSDDVIHSFRQEVSLMKRLRHPNVLLFMGAVTSPQRLCIVTEFLQRGSLFRLLQRNAAKLERKRRVHMALDIARGMNYLHHCNPTIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKHATFLTTKTGKGTPQWMAPEVLRNEPSDEKSDIYSFGVILWELATGKIPWENLNSMQ >KJB28746 pep chromosome:Graimondii2_0_v6:5:7181288:7186387:1 gene:B456_005G067300 transcript:KJB28746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPQGEELLRKIQELQVGHAHLMREMSRLKQSGGESIHDSTRRGSRRTSPQWPLFLGDALAAAGSGSVRLPLRIESGSCGTTNGGGGDAGRTRTGNSWTAAANLTNSQYLNILQSMGQSVYIYDLGGRVFYWNRAAEKLFGYSEAEALGQDIIKLVCQPKYFRIAANIVHLVTAGESWAGLFPIKNRIGENISVVTTVTPFYDENGSVVGITCVTCDSRPFQETKFEFSAERQPKGNSSAFIRSKNAISVKLGLDPQQPLQAAIVSKISNLASKVSNKVKSRIRTGENRVDPYDHKEDATSSGVCTPKGDMRPPTHGVLYPFDNESTVMNSIDFGDENEGKPGIQKFTTFIALTGISLPWKGNSQEESEAKTTHSIRPCEGNDQENETFLLKGPYLGTKPDDHINEYDRPINNDALGSSSSSANVNSTSSVSSSGSTGGSPVNRVHMDTDCVDYEILWEELTIGEQIGQGSCGTVYHGLWYASDVAVKVFPNLEYSDDVIHSFRQEVSLMKRLRHPNVLLFMGAVTSPQRLCIVTEFLQRGSLFRLLQRNAAKLERKRRVHMALDIARGMNYLHHCNPTIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKHATFLTTKTGKGTPQWMAPEVLRNEPSDEKSDIYSFGVILWELATGKIPWENLNSMQVIGTVGFMNQRLEIPKDLDPLWASIIESCWLSDPRSRPTFLELMDKLRELQRRCAIQLQQARNSAGDCSQKGS >KJB31622 pep chromosome:Graimondii2_0_v6:5:57300565:57302082:1 gene:B456_005G198400 transcript:KJB31622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPVVKLGTLALKTACKPIANRLKKDAGLHPRFRQLIINIAQANHRFTTTMQRRIYGHATDVAIRPLNEEKAVQAAADLLGELFVFTVLFA >KJB31621 pep chromosome:Graimondii2_0_v6:5:57300473:57303818:1 gene:B456_005G198400 transcript:KJB31621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPVVKLGTLALKTACKPIANRLKKDAGLHPRFRQLIINIAQANHRFTTTMQRRIYGHATDVAIRPLNEEKAVQAAADLLGELFVFTVAGAAIIFEVQRSSRSEARKEEQRKQELEAMKQKDEDVAREVELIKQKIEELEQLVRQRGLADLFNSRHAHGAEGVKAKPS >KJB32302 pep chromosome:Graimondii2_0_v6:5:61649433:61655187:1 gene:B456_005G234300 transcript:KJB32302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINVEHMGVSPPETKSEKGNHFAPEETTSEQAHELGSEYLHTELSKNKHPCGYAITRNESAETATGVSFSDIHESSSGYVDKKSPPEHLGLLPKYASEHNPPDNSFCHQETVSGMTHEYGSGYVHETSEKKHQPGSDIVQNNLEEACTLVCGLPAEHLRPCSKDFSKNARTESLRVLPEDSSKCTQIDQLSCLQLGSVEPTAAFGSINTCKELGEPTEQQQQLGSESLSNGIGKSPTATSSNAFYQALELYPEVMNQSNCGERFQSPSEGASIVSQTGKSYLVDPLGLPPGFESGNSCVQQPRLHSEDMARSSGVEQHEATPKNLLENSVQGRDGESSKTRKKYTPRPLTSSDRVLRSKSQEKSKASELSNNITDIGSSEQQKGRNRNKMIEKREVSDEYSRIRSHLRYLVNRINYERSLIAAYSTEGWKGLSLEKLKPEKELQRATSEILRRKLKIRDLFQRIDSLCAEGRLPESLFDSKGEIDSEDIFCAKCGSKDLSANNDIILCDGVCDRGFHQYCLQPPLLKEDIPPGDEGWLCPGCYCKLFCIELVNESQGTSFCLADSWEKVFPEAVVAAGGQNQDPNLELPSDDSDDSDYNAENSEPDEKDQGDESSSDESDFTCSSEELEVPRNVDPCLGLLSDDSEDDNYDPDGPDHDNVAEPESSTSDFTSDSEDLGGMLKDNSSSPKDEGPVSNIGSTDSKGQKPKLGGNESRSDKLSSIMDSASGQDGTAVSKKRSSEKLDYKKLYDETYVNFPSSSSDDEDWSDTIAPRKRKRHAAGASSAPENGNASSSRSVSISEGSKLNPEHKLRRNMRQNSKFKDTNLSPAESKGGTSVSGSSGKKAGSSTHRRLGETEKQVYRVSYTTDK >KJB32306 pep chromosome:Graimondii2_0_v6:5:61650694:61655882:1 gene:B456_005G234300 transcript:KJB32306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFFIASFLPDMINVEHMGVSPPETKSEKGNHFAPEETTSEQAHELGSEYLHTELSKNKHPCGYAITRNESAETATGVSFSDIHESSSGYVDKKSPPEHLGLLPKYASEHNPPDNSFCHQETVSGMTHEYGSGYVHETSEKKHQPGSDIVQNNLEEACTLVCGLPAEHLRPCSKDFSKNARTESLRVLPEDSSKCTQIDQLSCLQLGSVEPTAAFGSINTCKELGEPTEQQQQLGSESLSNGIGKSPTATSSNAFYQALELYPEVMNQSNCGERFQSPSEGASIVSQTGKSYLVDPLGLPPGFESGNSCVQQPRLHSEDMARSSGVEQHEATPKNLLENSVQGRDGESSKTRKKYTPRPLTSSDRVLRSKSQEKSKASELSNNITDIGSSEQQKGRNRNKMIEKREVSDEYSRIRSHLRYLVNRINYERSLIAAYSTEGWKGLSLEKLKPEKELQRATSEILRRKLKIRDLFQRIDSLCAEGRLPESLFDSKGEIDSEDIFCAKCGSKDLSANNDIILCDGVCDRGFHQYCLQPPLLKEDIPPGDEGWLCPGCYCKLFCIELVNESQGTSFCLADSWEKVFPEAVVAAGGQNQDPNLELPSDDSDDSDYNAENSEPDEKDQGDESSSDESDFTCSSEELEVPRNVDPCLGLLSDDSEDDNYDPDGPDHDNVAEPESSTSDFTSDSEDLGGMLKDNSSSPKDEGPVSNIGSTDSKGQKPKLGGNESRSDKLSSIMDSASGQDGTAVSKKRSSEKLDYKKLYDETYVNFPSSSSDDEDWSDTIAPRKRKRHAAGASSAPENGNASSSRSVSISEGSKLNPEHKLRRNMRQNSKFKDTNLSPAESKGGTSVSGSSGKKAGSSTHRRLGETEKQRLCESFRENQYPDRATKERLGKDLDMTFRQVSKWFENARWSFNHPTSNQETTAKRVAENAITSVVPKKN >KJB32304 pep chromosome:Graimondii2_0_v6:5:61649433:61655784:1 gene:B456_005G234300 transcript:KJB32304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHEYGSGYVHETSEKKHQPGSDIVQNNLEEACTLVCGLPAEHLRPCSKDFSKNARTESLRVLPEDSSKCTQIDQLSCLQLGSVEPTAAFGSINTCKELGEPTEQQQQLGSESLSNGIGKSPTATSSNAFYQALELYPEVMNQSNCGERFQSPSEGASIVSQTGKSYLVDPLGLPPGFESGNSCVQQPRLHSEDMARSSGVEQHEATPKNLLENSVQGRDGESSKTRKKYTPRPLTSSDRVLRSKSQEKSKASELSNNITDIGSSEQQKGRNRNKMIEKREVSDEYSRIRSHLRYLVNRINYERSLIAAYSTEGWKGLSLEKLKPEKELQRATSEILRRKLKIRDLFQRIDSLCAEGRLPESLFDSKGEIDSEDIFCAKCGSKDLSANNDIILCDGVCDRGFHQYCLQPPLLKEDIPPGDEGWLCPGCYCKLFCIELVNESQGTSFCLADSWEKVFPEAVVAAGGQNQDPNLELPSDDSDDSDYNAENSEPDEKDQGDESSSDESDFTCSSEELEVPRNVDPCLGLLSDDSEDDNYDPDGPDHDNVAEPESSTSDFTSDSEDLGGMLKDNSSSPKDEGPVSNIGSTDSKGQKPKLGGNESRSDKLSSIMDSASGQDGTAVSKKRSSEKLDYKKLYDETYVNFPSSSSDDEDWSDTIAPRKRKRHAAGASSAPENGNASSSRSVSISEGSKLNPEHKLRRNMRQNSKFKDTNLSPAESKGGTSVSGSSGKKAGSSTHRRLGETEKQRLCESFRENQYPDRATKERLGKDLDMTFRQVSKWFENARWSFNHPTSNQETTAKRVAENAITSVVPKKN >KJB32303 pep chromosome:Graimondii2_0_v6:5:61649433:61655784:1 gene:B456_005G234300 transcript:KJB32303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHEYGSGYVHETSEKKHQPGSDIVQNNLEEACTLVCGLPAEHLRPCSKDFSKNARTESLRVLPEDSSKCTQIDQLSCLQLGSVEPTAAFGSINTCKELGEPTEQQQQLGSESLSNGIGKSPTATSSNAFYQALELYPEVMNQSNCGERFQSPSEGASIVSQTGKSYLVDPLGLPPGFESGNSCVQQPRLHSEDMARSSGVEQHEATPKNLLENSVQGRDGESSKTRKKYTPRPLTSSDRVLRSKSQEKSKASELSNNITDIGSSEQQKGRNRNKMIEKREVSDEYSRIRSHLRYLVNRINYERSLIAAYSTEGWKGLSLEKLKPEKELQRATSEILRRKLKIRDLFQRIDSLCAEGRLPESLFDSKGEIDSEDIFCAKCGSKDLSANNDIILCDGVCDRGFHQYCLQPPLLKEDIPPGDEGWLCPGCYCKLFCIELVNESQGTSFCLADSWEKVFPEAVVAAGGQNQDPNLELPSDDSDDSDYNAENSEPDEKDQGDESSSDESDFTCSSEELEVPRNVDPCLGLLSDDSEDDNYDPDGPDHDNVAEPESSTSDFTSDSEDLGGMLKDNSSSPKDEGPVSNIGSTDSKGQKPKLGGNESRSDKLSSIMDSASGQDGTAVSKKRSSEKLDYKKLYDETYVNFPSSSSDDEDWSDTIAPRKRKRHAAGASSAPENGNASSSRSVSISEGSKLNPEHKLRRNMRQNSKFKDTNLSPAESKGGTSVSGSSGKKAGSSTHRRLGETEKQRLCESFRENQYPDRATKERLGKDLDMTFRQVSKWFENARWSFNHPTSNQETTAKRVAENAITSVVPKKN >KJB32305 pep chromosome:Graimondii2_0_v6:5:61649433:61655784:1 gene:B456_005G234300 transcript:KJB32305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINVEHMGVSPPETKSEKGNHFAPEETTSEQAHELGSEYLHTELSKNKHPCGYAITRNESAETATGVSFSDIHESSSGYVDKKSPPEHLGLLPKYASEHNPPDNSFCHQETVSGMTHEYGSGYVHETSEKKHQPGSDIVQNNLEEACTLVCGLPAEHLRPCSKDFSKNARTESLRVLPEDSSKCTQIDQLSCLQLGSVEPTAAFGSINTCKELGEPTEQQQQLGSESLSNGIGKSPTATSSNAFYQALELYPEVMNQSNCGERFQSPSEGASIVSQTGKSYLVDPLGLPPGFESGNSCVQQPRLHSEDMARSSGVEQHEATPKNLLENSVQGRDGESSKTRKKYTPRPLTSSDRVLRSKSQEKSKASELSNNITDIGSSEQQKGRNRNKMIEKREVSDEYSRIRSHLRYLVNRINYERSLIAAYSTEGWKGLSLEKLKPEKELQRATSEILRRKLKIRDLFQRIDSLCAEGRLPESLFDSKGEIDSEDIFCAKCGSKDLSANNDIILCDGVCDRGFHQYCLQPPLLKEDIPPGDEGWLCPGCYCKLFCIELVNESQGTSFCLADSWEKVFPEAVVAAGGQNQDPNLELPSDDSDDSDYNAENSEPDEKDQGDESSSDESDFTCSSEELEVPRNVDPCLGLLSDDSEDDNYDPDGPDHDNVAEPESSTSDFTSDSEDLGGMLKDNSSSPKDEGPVSNIGSTDSKGQKPKLGGNESRSDKLSSIMDSASGQDGTAVSKKRSSEKLDYKKLYDETYVNFPSSSSDDEDWSDTIAPRKRKRHAAGASSAPENGNASSSRSVSISEGSKLNPEHKLRRNMRQNSKFKDTNLSPAESKGGTSVSGSSGKKAGSSTHRRLGETEKQRLCESFRENQYPDRATKERLGKDLDMTFRQVSKWFENARWSFNHPTSNQETTAKRVAENAITSVVPKKN >KJB32301 pep chromosome:Graimondii2_0_v6:5:61649416:61655911:1 gene:B456_005G234300 transcript:KJB32301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINVEHMGVSPPETKSEKGNHFAPEETTSEQAHELGSEYLHTELSKNKHPCGYAITRNESAETATGVSFSDIHESSSGYVDKKSPPEHLGLLPKYASEHNPPDNSFCHQETVSGMTHEYGSGYVHETSEKKHQPGSDIVQNNLEEACTLVCGLPAEHLRPCSKDFSKNARTESLRVLPEDSSKCTQIDQLSCLQLGSVEPTAAFGSINTCKELGEPTEQQQQLGSESLSNGIGKSPTATSSNAFYQALELYPEVMNQSNCGERFQSPSEGASIVSQTGKSYLVDPLGLPPGFESGNSCVQQPRLHSEDMARSSGVEQHEATPKNLLENSVQGRDGESSKTRKKYTPRPLTSSDRVLRSKSQEKSKASELSNNITDIGSSEQQKGRNRNKMIEKREVSDEYSRIRSHLRYLVNRINYERSLIAAYSTEGWKGLSLEKLKPEKELQRATSEILRRKLKIRDLFQRIDSLCAEGRLPESLFDSKGEIDSEDIFCAKCGSKDLSANNDIILCDGVCDRGFHQYCLQPPLLKEDIPPGDEGWLCPGCYCKLFCIELVNESQGTSFCLADSWEKVFPEAVVAAGGQNQDPNLELPSDDSDDSDYNAENSEPDEKDQGDESSSDESDFTCSSEELEVPRNVDPCLGLLSDDSEDDNYDPDGPDHDNVAEPESSTSDFTSDSEDLGDSKGQKPKLGGNESRSDKLSSIMDSASGQDGTAVSKKRSSEKLDYKKLYDETYVNFPSSSSDDEDWSDTIAPRKRKRHAAGASSAPENGNASSSRSVSISEGSKLNPEHKLRRNMRQNSKFKDTNLSPAESKGGTSVSGSSGKKAGSSTHRRLGETEKQRLCESFRENQYPDRATKERLGKDLDMTFRQVSKWFENARWSFNHPTSNQETTAKRVAENAITSVVPKKN >KJB29107 pep chromosome:Graimondii2_0_v6:5:10409350:10411226:-1 gene:B456_005G084600 transcript:KJB29107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSVSCSFVSYLLITVLSTIFRCRKNMNNLVLSLAPKFTRLQTLILRQENPQLEDNAVETISKFCHDLQDLDLSKSFKLSDRSLYALAHGCPNLKKLNISGCTSFSDEALAYLTNFCRKLKILNLCGCVKAATDHALQAIGRNCNMLQSLNLGWCDNVGDLGVMSLAYGCHDLRCLDLCGCVRITDDSVIALANECLHLRSLGLYYCRNITDRAMYSLAHSRVKNKGLTWESSMKGRYEDDGLKSLNISQCTALTPSAVQALCDTFPALHTCSGRHSLVMSGCLNLTSVHCACAVQAHRTFNTIFHTAH >KJB29106 pep chromosome:Graimondii2_0_v6:5:10409341:10412457:-1 gene:B456_005G084600 transcript:KJB29106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGEAKVGNEDLNLCFEKLMMVAAGNSEGVKMNGVGITEWKDIPVELLLRIVSLLDDQTAIVASSVCSGWRDAICLGLTQLCLSWCRKNMNNLVLSLAPKFTRLQTLILRQENPQLEDNAVETISKFCHDLQDLDLSKSFKLSDRSLYALAHGCPNLKKLNISGCTSFSDEALAYLTNFCRKLKILNLCGCVKAATDHALQAIGRNCNMLQSLNLGWCDNVGDLGVMSLAYGCHDLRCLDLCGCVRITDDSVIALANECLHLRSLGLYYCRNITDRAMYSLAHSRVKNKGLTWESSMKGRYEDDGLKSLNISQCTALTPSAVQALCDTFPALHTCSGRHSLVMSGCLNLTSVHCACAVQAHRTFNTIFHTAH >KJB29922 pep chromosome:Graimondii2_0_v6:5:27605683:27620474:1 gene:B456_005G123800 transcript:KJB29922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFHSMNLRTPCLWTSLPCIRRHFSRNLKDGNKLLSFNRLYVIRPSPFQTLKQYCSLSGNLSSTVPGDHPIPHGNAVISSKKEQIFHQEAALGRANLQETVVNAKSSNGRVMLIDGTSVIYRAYYKLLAKLHHGYLSHADGNGDWVLTIFTALSLIIDVLEFVPSHVAVVFDHDGIPFGHTSISSKENVMGKGLNFRHTLFPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAARSVDEGFKVRVVSPDKDFFQILCPSLRLLRIAPRGYEMVSFGMEDFSKRYGDLKPSQFVDVVSLVGDRCDNIPGVDGIGNVHAVQLITKFGTLENLLKCVDEVEVDHIRKALIANADQAVLSKNLAMLRCDLPFYMVPFSTRDLTFNKPEDNGEKFTSLLNAISAYAEGFSADPIIRRAFYLWKKLEPP >KJB29920 pep chromosome:Graimondii2_0_v6:5:27605870:27620476:1 gene:B456_005G123800 transcript:KJB29920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFHSMNLRTPCLWTSLPCIRRHFSRNLKDGNKLLSFNRLYVIRPSPFQTLKYCSLSGNLSSTVPGDHPIPHGNAVISSKKEQIFHQEAALGRANLQETVVNAKSSNGRVMLIDGTSVIYRAYYKLLAKLHHGYLSHADGNGDWVLTIFTALSLIIDVLEFVPSHVAVVFDHDGIPFGHTSISSKENVMGKGLNFRHTLFPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAARSVDEGFKVRVVSPDKDFFQILCPSLRLLRIAPRGYEMVSFGMEDFSKRYGDLKPSQFVDVVSLVGDRCDNIPGVDGIGNVHAVQLITKFGTLENLLKCVDEVEVDHIRKALIANADQAVLSKNLAMLRCDLPFYMVPFSTRDLTFNKPEDNGEKFTSLLNAISAYAEGFSADPIIRRAFYLWKKLEPP >KJB29924 pep chromosome:Graimondii2_0_v6:5:27606007:27620474:1 gene:B456_005G123800 transcript:KJB29924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFHSMNLRTPCLWTSLPCIRRHFSRNLKDGNKLLSFNRLYVIRPSPFQTLKYCSLSGNLSSTVPGDHPIPHGNAVISSKKEQIFHQEAALGRANLQETVVNAKSSNGRVMLIDGTSVIYRAYYKLLAKLHHGYLSHADGNGDWVLTIFTALSLIIDVLEFVPSHVAVVFDHDGIPFGHTSISSKENVMGKGLNFRHTLFPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAARSVDEGFKVRVVSPDKDFFQILCPSLRLLRIAPRGYEMVSFGMEDFSKRYGDLKPSQFVDVVSLVGDRCDNIPGVDGIGNVHAVQLITKFGTLENLLKCVDEVEVDHIRKALIANADQAVLSKNLAMLRCDLPFYMVPFSTRDLTFNKPEDNGEKFTSLLNAISAYAEGFSADPIIRRAFYLWKKLEPP >KJB29923 pep chromosome:Graimondii2_0_v6:5:27605430:27620476:1 gene:B456_005G123800 transcript:KJB29923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFHSMNLRTPCLWTSLPCIRRHFSRNLKDGNKLLSFNRLYVIRPSPFQTLKYCSLSGNLSSTVPGDHPIPHGNAVISSKKEQIFHQEAALGRANLQETVVNAKSSNGRVMLIDGTSVIYRAYYKLLAKLHHGYLSHADGNGDWVLTIFTALSLIIDVLEFVPSHVAVVFDHDGIPFGHTSISSKENVMGKGLNFRHTLFPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAARSVDEGFKVRVVSPDKDFFQILCPSLRLLRIAPRGYEMVSFGMEDFSKRYGDLKPSQFVDVVSLVGDRCDNIPGVDGIGNVHAVQLITKFGTLENLLKCVDEVEVDHIRKALIANADQAVLSKNLAMLRCDLPFYMVPFSTRDLTFNKPEDNGEKFTSLLNAISAYAEGFSADPIIRRAFYLWKKLEPP >KJB29925 pep chromosome:Graimondii2_0_v6:5:27606596:27620474:1 gene:B456_005G123800 transcript:KJB29925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDGTSVIYRAYYKLLAKLHHGYLSHADGNGDWVLTIFTALSLIIDVLEFVPSHVAVVFDHDGIPFGHTSISSKENVMGKGLNFRHTLFPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAARSVDEGFKVRVVSPDKDFFQILCPSLRLLRIAPRGYEMVSFGMEDFSKRYGDLKPSQFVDVVSLVGDRCDNIPGVDGIGNVHAVQLITKFGTLENLLKCVDEVEVDHIRKALIANADQAVLSKNLAMLRCDLPFYMVPFSTRDLTFNKPEDNGEKFTSLLNAISAYAEGFSADPIIRRAFYLWKKLEPP >KJB29926 pep chromosome:Graimondii2_0_v6:5:27612947:27620474:1 gene:B456_005G123800 transcript:KJB29926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENILSIFEGLNFRHTLFPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAARSVDEGFKVRVVSPDKDFFQILCPSLRLLRIAPRGYEMVSFGMEDFSKRYGDLKPSQFVDVVSLVGDRCDNIPGVDGIGNVHAVQLITKFGTLENLLKCVDEVEVDHIRKALIANADQAVLSKNLAMLRCDLPFYMVPFSTRDLTFNKPEDNGEKFTSLLNAISAYAEGFSADPIIRRAFYLWKKLEPP >KJB29921 pep chromosome:Graimondii2_0_v6:5:27605544:27620474:1 gene:B456_005G123800 transcript:KJB29921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFHSMNLRTPCLWTSLPCIRRHFSRNLKDGNKLLSFNRLYVIRPSPFQTLKYCSLSGNLSSTVPGDHPIPHGNAVISSKKEQIFHQEAALGRANLQETVVNAKSSNGRVMLIDGTSVIYRAYYKLLAKLHHGYLSHADGNGDWVLTIFTALSLIIDVLEFVPSHVAVVFDHDGLNFRHTLFPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAARSVDEGFKVRVVSPDKDFFQILCPSLRLLRIAPRGYEMVSFGMEDFSKRYGDLKPSQFVDVVSLVGDRCDNIPGVDGIGNVHAVQLITKFGTLENLLKCVDEVEVDHIRKALIANADQAVLSKNLAMLRCDLPFYMVPFSTRDLTFNKPEDNGEKFTSLLNAISAYAEGFSADPIIRRAFYLWKKLEPP >KJB30973 pep chromosome:Graimondii2_0_v6:5:49849128:49851839:-1 gene:B456_005G170300 transcript:KJB30973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRHGGYSDSKFRDRDSDFEMTRQEFPNSREYELSRNGSRDVARARLWEARDRARARQKDIKERDVINGGYRSSSSRSDSGGNSSGGGSHGLRRREFSLRVVDKEPGELSSESGSEDAIESESVVKDSEVEKVMENGALSPVGRKRKFSPIVWDRSDKDVSMSKSKNSPVVSVVHHPPPRPKAYHKSPNSISGKAVQTSFVIENKSQKPHSPSPVLADGPVGYSALQSPEDLDFSPPKEHVNFQDARHLEDEDYVPARHISSSRWAAGDNSPGDEGEILEDEEIPKRRKKLPLLELSHNRLRNKSATPELGELKREGSEGIRARSSESDEQGARSKSRSGDDYPGNDSENDDLMVIDIKRDRNDGSLSQSDTESENETNSRGTPEPSAPPLRSVNMLQGCRNVDEFERLNKIDEGTYGVVYRAKDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMETMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNQGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGARQYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRTLGTPNETIWPGFSMLPGVKVNFVKHQLPALGDSGLAFWPPLVTVQ >KJB30975 pep chromosome:Graimondii2_0_v6:5:49848191:49852031:-1 gene:B456_005G170300 transcript:KJB30975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRHGGYSDSKFRDRDSDFEMTRQEFPNSREYELSRNGSRDVARARLWEARDRARARQKDIKERDVINGGYRSSSSRSDSGGNSSGGGSHGLRRREFSLRVVDKEPGELSSESGSEDAIESESVVKDSEVEKVMENGALSPVGRKRKFSPIVWDRSDKDVSMSKSKNSPVVSVVHHPPPRPKAYHKSPNSISGKAVQTSFVIENKSQKPHSPSPVLADGPVGYSALQSPEDLDFSPPKEHVNFQDARHLEDEDYVPARHISSSRWAAGDNSPGDEGEILEDEEIPKRRKKLPLLELSHNRLRNKSATPELGELKREGSEGIRARSSESDEQGARSKSRSGDDYPGNDSENDDLMVIDIKRDRNDGSLSQSDTESENETNSRGTPEPSAPPLRSVNMLQGCRNVDEFERLNKIDEGTYGVVYRAKDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMETMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNQGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGARQYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRTLGTPNETIWPGFSMLPGVKVNFVKHQLPALGDSGLAFWPPLVTVQ >KJB30972 pep chromosome:Graimondii2_0_v6:5:49845864:49852364:-1 gene:B456_005G170300 transcript:KJB30972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRHGGYSDSKFRDRDSDFEMTRQEFPNSREYELSRNGSRDVARARLWEARDRARARQKDIKERDVINGGYRSSSSRSDSGGNSSGGGSHGLRRREFSLRVVDKEPGELSSESGSEDAIESESVVKDSEVEKVMENGALSPVGRKRKFSPIVWDRSDKDVSMSKSKNSPVVSVVHHPPPRPKAYHKSPNSISGKAVQTSFVIENKSQKPHSPSPVLADGPVGYSALQSPEDLDFSPPKEHVNFQDARHLEDEDYVPARHISSSRWAAGDNSPGDEGEILEDEEIPKRRKKLPLLELSHNRLRNKSATPELGELKREGSEGIRARSSESDEQGARSKSRSGDDYPGNDSENDDLMVIDIKRDRNDGSLSQSDTESENETNSRGTPEPSAPPLRSVNMLQGCRNVDEFERLNKIDEGTYGVVYRAKDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMETMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNQGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGARQYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRTLGTPNETIWPGFSMLPGVKVNFVKHQYNLLRKKFPATSFTGTPVLSDAGFDLLNKLLTYDPEKRITAEAALNHEWFREVPLPKTKAFMPTFPAQHAQDRRMRRMLKSPDPLEEQCRKELQQGEFGTGGLFC >KJB30974 pep chromosome:Graimondii2_0_v6:5:49848191:49852031:-1 gene:B456_005G170300 transcript:KJB30974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRHGGYSDSKFRDRDSDFEMTRQEFPNSREYELSRNGSRDVARARLWEARDRARARQKDIKERDVINGGYRSSSSRSDSGGNSSGGGSHGLRRREFSLRVVDKEPGELSSESGSEDAIESESVVKDSEVEKVMENGALSPVGRKRKFSPIVWDRSDKDVSMSKSKNSPVVSVVHHPPPRPKAYHKSPNSISGKAVQTSFVIENKSQKPHSPSPVLADGPVGYSALQSPEDLDFSPPKEHVNFQDARHLEDEDYVPARHISSSRWAAGDNSPGDEGEILEDEEIPKRRKKLPLLELSHNRLRNKSATPELGELKREGSEGIRARSSESDEQGARSKSRSGDDYPGNDSENDDLMVIDIKRDRNDGSLSQSDTESENETNSRGTPEPSAPPLRSVNMLQGCRNVDEFERLNKIDEGTYGVVYRAKDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMETMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNQGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGARQYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRTLGTPNETIWPGFSMLPGVKVNFVKHQLPALGDSGLAFWPPLVTV >KJB29939 pep chromosome:Graimondii2_0_v6:5:27851391:27855970:-1 gene:B456_005G124600 transcript:KJB29939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFDRFARVVKSYANALLSSVEDPEKILEQAVIEMNDDLVKMRQATAQVLASQKRLENKYKAAQQASEDWYRKAQLALQKGEEDLAREALKRRKSYADNASSLKAQLDQQKSVVENLVSNTRLLESKIQEAKSKKDTLKARAQTARTATKVNEMVGNVNTSNALSAFEKMEEKVMAMESEAEALGQLTTDDLEGKFALLESTSVDDDLANLKKELSGSSQKGELPPGRSTNPKLPYRDAEIETELNELRQRAKEF >KJB29940 pep chromosome:Graimondii2_0_v6:5:27851391:27857508:-1 gene:B456_005G124600 transcript:KJB29940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSQIFTGLTLPFKPIASSSSSSSSSSNSTCIRCLVKKPLTSFFNGGGGSHSRRGGAGAIGTRMNLFDRFARVVKSYANALLSSVEDPEKILEQAVIEMNDDLVKMRQATAQVLASQKRLENKYKAAQQASEDWYRKAQLALQKGEEDLAREALKRRKSYADNASSLKAQLDQQKSVVENLVSNTRLLESKIQEAKSKKDTLKARAQTARTATKVNEMVGNVNTSNALSAFEKMEEKVMAMESEAEALGQLTTDDLEGKFALLESTSVDDDLANLKKELSGSSQKGELPPGRSTNPKLPYRDAEIETELNELRQRAKEF >KJB29938 pep chromosome:Graimondii2_0_v6:5:27851380:27857585:-1 gene:B456_005G124600 transcript:KJB29938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSQIFTGLTLPFKPIASSSSSSSSSSNSTCIRCLVKKPLTSFFNGGVAALKITSIRTLPGGSHSRRGGAGAIGTRMNLFDRFARVVKSYANALLSSVEDPEKILEQAVIEMNDDLVKMRQATAQVLASQKRLENKYKAAQQASEDWYRKAQLALQKGEEDLAREALKRRKSYADNASSLKAQLDQQKSVVENLVSNTRLLESKIQEAKSKKDTLKARAQTARTATKVNEMVGNVNTSNALSAFEKMEEKVMAMESEAEALGQLTTDDLEGKFALLESTSVDDDLANLKKELSGSSQKGELPPGRSTNPKLPYRDAEIETELNELRQRAKEF >KJB31389 pep chromosome:Graimondii2_0_v6:5:54958395:54961202:-1 gene:B456_005G188700 transcript:KJB31389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAMMSDGGYNLAEIWQYPVNESGLRRGQFGNVPPPHYDHPNPEVSGGVRRRRDGEDEAAKVVSTSSGNGVSFGDGKRLKASGCREENDDSKVEAAEPSSGKPVEQKAKPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRMNPTIEVFPLKDYGQQTFDATGMAFGPQATREYSRGASPEWLHIHGGFERTT >KJB28969 pep chromosome:Graimondii2_0_v6:5:8891396:8894264:1 gene:B456_005G077600 transcript:KJB28969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQGRKRNTKNLSMAKVTNFFVALLLISSLLLAPYLSISEAADNSVPIVSGLSWTFYKTSCTKLESIIRTQLKKVFDNDIGQAAGLLRLHFHDCFVQGCDGSVLLDGSASGPGEQQAPPNLSLRAKAFEIINDLRNRVEKACGRVVSCSDIVALAARDSVYLSGGPDYGIPLGRRDGLTFATVNATLQNLPPPFANATTILSMLATKNFDPTDVVALSGGHTVGISHCTSFTTRLYPTQDPTMDKTFANNLKVICPTVNSTNTTVMDIRSPNKFDNKYYVDLMNRQGLFTSDQDLYTDSRTRGIVTSFAINETLFFEKFVVSMIKMGQLSVLTGNNGEIRANCSVRNADNKSYLASVVEELPVEEAWSDL >KJB31512 pep chromosome:Graimondii2_0_v6:5:56449074:56452387:-1 gene:B456_005G194400 transcript:KJB31512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSLKKNIMEESLIPKLENVEKSTRWLSWAAFVEEIKRAGYLAGPMVAVTLSQYLLQVISTMMVGHLGELALSSSAIAISLAGVTGFSFLLGMACALETLCGQAYGAQQYRKLGIHTYTAIFCLILVCIPLSVLWLYMGRLLVFIGQDPLISQEAGKFILWLIPSLFAYATFQPLVRYFQTQSLITPMLICSCASLVVHIPLCWALVFKSGLESIGGAIAISISNWLNVIFLALYMRYSPTCTKTRAPITMELFQGIREFFRFAIPSAVMIWYP >KJB31511 pep chromosome:Graimondii2_0_v6:5:56448974:56452464:-1 gene:B456_005G194400 transcript:KJB31511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSLKKNIMEESLIPKLENVEKSTRWLSWAAFVEEIKRAGYLAGPMVAVTLSQYLLQVISTMMVGHLGELALSSSAIAISLAGVTGFSFLLGMACALETLCGQAYGAQQYRKLGIHTYTAIFCLILVCIPLSVLWLYMGRLLVFIGQDPLISQEAGKFILWLIPSLFAYATFQPLVRYFQTQSLITPMLICSCASLVVHIPLCWALVFKSGLESIGGAIAISISNWLNVIFLALYMRYSPTCTKTRAPITMELFQGIREFFRFAIPSAVMICLEWWSYELLILLSGLLPNPELETSVLSVCTRVSNELGAGKPQAAHVAVYAAMAIAVLETLIVTGALFASRRVFGYIYSNEKEVVDYVTTMAPLVCVSVVLDSLQGVLSGIARGCGWQHIGAYVNLGAFYLVGIPVAATLAFWLQLRGVGLWIGIQSGAFTQTILLAIVTSCINWEKQAIKARERVFQGSSSIEYGTM >KJB31513 pep chromosome:Graimondii2_0_v6:5:56449074:56452387:-1 gene:B456_005G194400 transcript:KJB31513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSLKKNIMEESLIPKLENVEKSTRWLSWAAFVEEIKRAGYLAGPMVAVTLSQYLLQVISTMMVGHLGELALSSSAIAISLAGVTGFSFLLGMACALETLCGQAYGAQQYRKLGIHTYTAIFCLILVCIPLSVLWLYMGRLLVFIGQDPLISQEAGKFILWLIPSLFAYATFQPLVRYFQTQSLITPMLICSCASLVVHIPLCWALVFKSGLESIGGAIAISISNWLNVIFLALYMRYSPTCTKTRAPITMELFQGIREFFRFAIPSAVMICLEWWSYELLILLSGLLPNPELETSVLSVCLNTISTLYAIPYGLGAAARSALICVHTLIFYFFTD >KJB31510 pep chromosome:Graimondii2_0_v6:5:56448829:56452509:-1 gene:B456_005G194400 transcript:KJB31510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSLKKNIMEESLIPKLENVEKSTRWLSWAAFVEEIKRAGYLAGPMVAVTLSQYLLQVISTMMVGHLGELALSSSAIAISLAGVTGFSFLLGMACALETLCGQAYGAQQYRKLGIHTYTAIFCLILVCIPLSVLWLYMGRLLVFIGQDPLISQEAGKFILWLIPSLFAYATFQPLVRYFQTQSLITPMLICSCASLVVHIPLCWALVFKSGLESIGGAIAISISNWLNVIFLALYMRYSPTCTKTRAPITMELFQGIREFFRFAIPSAVMICLEWWSYELLILLSGLLPNPELETSVLSVCLNTISTLYAIPYGLGAAASTRVSNELGAGKPQAAHVAVYAAMAIAVLETLIVTGALFASRRVFGYIYSNEKEVVDYVTTMAPLVCVSVVLDSLQGVLSGIARGCGWQHIGAYVNLGAFYLVGIPVAATLAFWLQLRGVGLWIGIQSGAFTQTILLAIVTSCINWEKQAIKARERVFQGSSSIEYGTM >KJB31509 pep chromosome:Graimondii2_0_v6:5:56439539:56452387:-1 gene:B456_005G194400 transcript:KJB31509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSLKKNIMEESLIPKLENVEKSTRWLSWAAFVEEIKRAGYLAGPMVAVTLSQYLLQVISTMMVGHLGELALSSSAIAISLAGVTGFSFLLGMACALETLCGQAYGAQQYRKLGIHTYTAIFCLILVCIPLSVLWLYMGRLLVFIGQDPLISQEAGKFILWLIPSLFAYATFQPLVRYFQTQSLITPMLICSCASLVVHIPLCWALVFKSGLESIGGAIAISISNWLNVIFLALYMRYSPTCTKTRAPITMELFQGIREFFRFAIPSAVMICLEWWSYELLILLSGLLPNPELETSVLSVCTRVSNELGAGKPQAAHVAVYAAMALAVLETLIVTGTLFTSRHVFGYVYSNEKEVVDYVTTMAPLVCVSVILDSLQGVLSGIARGCGWQHIGAYVNLAAFYLVGIPVAATLAFWLHLRGIGLWIGIQFGAFTQTILLAIVTSCINWEKQVCHPFSKSCIILQLSCEKQHWLD >KJB31514 pep chromosome:Graimondii2_0_v6:5:56449102:56452387:-1 gene:B456_005G194400 transcript:KJB31514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSLKKNIMEESLIPKLENVEKSTRWLSWAAFVEEIKRAGYLAGPMVAVTLSQYLLQVISTMMVGHLGELALSSSAIAISLAGVTGFSFLLGMACALETLCGQAYGAQQYRKLGIHTYTAIFCLILVCIPLSVLWLYMGRLLVFIGQDPLISQEAGKFILWLIPSLFAYATFQPLVRYFQTQSLITPMLICSCASLVVHIPLCWALVFKSGLESIGGAIAISISNWLNVIFLALYMRYSPTCTKTRAPITMELFQGIREFFRFAIPSAVMICLEWWSYELLILLSGLLPNPELETSVLSVCLNTISTLYAIPYGLGAAASTRVSNELGAGKPQAAHVAVYAAMAIAVLETLIVTGALFASRRVFGYIYSNEKEVVDYVTTMAPLVCVSVVLDSLQGVLSGIARGCGWQHIGAYVNLGAFYLVGIPVAATLAFWLQLRGVGLWIGIQSGAFTQTILLAIVTSCINWEKQVCRPFSKSSIILQLSCSCTF >KJB32464 pep chromosome:Graimondii2_0_v6:5:62507469:62509668:-1 gene:B456_005G244700 transcript:KJB32464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGDGASVASPMPQWGHDAWRMYQYYLDKSTPHTTYRWIGTLVIVAIYCLRVYYVQGFYIIAYGLGIYLLNLLIGFLSPLVDPELEGSDGPLLPTKGSDEFKPFIRRLPEFKFWYSMTKAFCIAFIMTFFSVFDVPVFWPILLCYWIVLFVLTMRRQIGHMIKYKYIPFSIGKQKYSGKKGSASSSGSRGD >KJB32467 pep chromosome:Graimondii2_0_v6:5:62507469:62516526:-1 gene:B456_005G244700 transcript:KJB32467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGDGASVASPMPQWGHDAWRMYQYYLDKSTPHTTYRWIGTLVIVAIYCLRVYYVQGFYIIAYGLGIYLLNLLIGFLSPLVDPELEGSDGPLLPTKGSDEFKPFIRRLPEFKFWYSMTKAFCIAFIMTFFSVFDVPVFWPILLCYWIVLFVLTMRRQIGHMIKYKYIPFSIGKQKYSGKKGSASSSGSRGD >KJB32468 pep chromosome:Graimondii2_0_v6:5:62507469:62516670:-1 gene:B456_005G244700 transcript:KJB32468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGPPMPQWGHDAWRMYQYYLDKSTPHTTYRWIGSLVIVAIYCLRVYSDQGFYIFVFGLGIYLLNLLFGFGFLSPLVDPELEGSDGPLLPIKRSDEFKPFIRRLPEFKFWYSMTKAFCIAFIMTFFSVFDVPVFWPILLCYWIVLFVLTMRRQIGHMIKYKYIPFSIGKQKYSGKKGSASSSGSRGD >KJB32463 pep chromosome:Graimondii2_0_v6:5:62507376:62516670:-1 gene:B456_005G244700 transcript:KJB32463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGPPMPQWGHDAWRMYQYYLDKSTPHTTYRWIGSLVIVAIYCLRVYSDQGFYIFVFGLGIYLLNLLFGFGFLSPLVDPELEGSDGPLLPIKRSDEFKPFIRRLPEFKFWYSMTNAFCIAFVMTFFSVFDAPVFWPILLCYGIVLFVITMRRLIGHMIKYKYIPFSIGKQKYSGKKGSASSSGSRGD >KJB32465 pep chromosome:Graimondii2_0_v6:5:62507469:62514919:-1 gene:B456_005G244700 transcript:KJB32465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGDGASVASPMPQWGHDAWRMYQYYLDKSTPHTTYRWIGTLVIVAIYCLRVYYVQGFYIIAYGLGIYLLNLLIGFLSPLVDPELEGSDGPLLPTKGSDEFKPFIRRLPEFKFWYSMTKAFCIAFIMTFFSVFDVPVFWPILLCYWIVLFVLTMRRQIGHMIKYKYIPFSIGKQKYSGKKGSASSSGSRGD >KJB32466 pep chromosome:Graimondii2_0_v6:5:62507419:62510217:-1 gene:B456_005G244700 transcript:KJB32466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGDGASVASPMPQWGHDAWRMYQYYLDKSTPHTTYRWIGTLVIVAIYCLRVYYVQGFYIIAYGLGIYLLNLLIGFLSPLVDPELEGSDGPLLPTKGSDEFKPFIRRLPEFKFWYSMTKAFCIAFIMTFFSVFDVPVFWPILLCYWIVLFVLTMRRQIGHMIKYKYIPFSIGKQKYSGKKGSASSSGSRGD >KJB27591 pep chromosome:Graimondii2_0_v6:5:89115:93142:1 gene:B456_005G001500 transcript:KJB27591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL8 [Source:Projected from Arabidopsis thaliana (AT5G01020) UniProtKB/Swiss-Prot;Acc:Q8GXZ3] MGNCGTREESDVVTHAQVQQLHNHILSDKKLTQSQSQTQNRTLSDLSDFEDSRKNALLYTHIIAFTLFELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKATVPLSWAKRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPSKEQNLVDWARPKLNDKRKLLQIIDPRLENQYSARAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQCTGGSADEVSSSLNSKVTGGSAGPFAMGGVPDYRMRLRFSNNVGPGAICRSPNPNCSPGGPAACRVR >KJB27594 pep chromosome:Graimondii2_0_v6:5:89169:93103:1 gene:B456_005G001500 transcript:KJB27594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL8 [Source:Projected from Arabidopsis thaliana (AT5G01020) UniProtKB/Swiss-Prot;Acc:Q8GXZ3] MGNCGTREESDVVTHAQVQQLHNHILSDKKLTQSQSQTQNRTLSDLSDFEDSRKNALLYTHIIAFTLFELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFREATVPLSWAKRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPSKEQNLVDWARPKLNDKRKLLQIIDPRLENQYSARAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQCTGGSADEVSSSLNSKVTGGSAGPFAMGGVPDYRMRLRFSNNVGPGAICRSPNPNCSPGGPAACRVR >KJB27592 pep chromosome:Graimondii2_0_v6:5:89169:93103:1 gene:B456_005G001500 transcript:KJB27592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL8 [Source:Projected from Arabidopsis thaliana (AT5G01020) UniProtKB/Swiss-Prot;Acc:Q8GXZ3] MGNCGTREESDVVTHAQVQQLHNHILSDKKLTQSQSQTQNRTLSDLSDFEDSRKNALLYTHIIAFTLFELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRSHLTARSDVYSFGVVLLELLTGRKSVDKTRPSKEQNLVDWARPKLNDKRKLLQIIDPRLENQYSARAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQCTGGSADEVSSSLNSKVTGGSAGPFAMGGVPDYRMRLRFSNNVGPGAICRSPNPNCSPGGPAACRVR >KJB27593 pep chromosome:Graimondii2_0_v6:5:89169:93103:1 gene:B456_005G001500 transcript:KJB27593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL8 [Source:Projected from Arabidopsis thaliana (AT5G01020) UniProtKB/Swiss-Prot;Acc:Q8GXZ3] MLKLHNHILSDKKLTQSQSQTQNRTLSDLSDFEDSRKNALLYTHIIAFTLFELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKATVPLSWAKRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPSKEQNLVDWARPKLNDKRKLLQIIDPRLENQYSARAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQCTGGSADEVSSSLNSKVTGGSAGPFAMGGVPDYRMRLRFSNNVGPGAICRSPNPNCSPGGPAACRVR >KJB27595 pep chromosome:Graimondii2_0_v6:5:90826:93103:1 gene:B456_005G001500 transcript:KJB27595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL8 [Source:Projected from Arabidopsis thaliana (AT5G01020) UniProtKB/Swiss-Prot;Acc:Q8GXZ3] MMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPSKEQNLVDWARPKLNDKRKLLQIIDPRLENQYSARAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQCTGGSADEVSSSLNSKVTGGSAGPFAMGGVPDYRMRLRFSNNVGPGAICRSPNPNCSPGGPAACRVR >KJB28301 pep chromosome:Graimondii2_0_v6:5:3975966:3981820:1 gene:B456_005G041700 transcript:KJB28301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTRGSALRIALLLVLLAAIVYACFTLPIEAILKDFLLWVEKDLGPWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLLLGFISDSIGATVGAGAAFLLGRTIGRSLVVTRLKDYPQFRLVAIAIQRSGFKIILLLRLAPLLPFSMLNYLLSVTPVSLGEYLLASWLGMVPITLALVYVGTTLKDLSDVTHGWNEFSTARWAFLILGLVVSVVLMICVTKVAKTALDKALAESEDVDSTVGSSPELPVDSEAPVDLQQPLIIKVESGDNSTHE >KJB28302 pep chromosome:Graimondii2_0_v6:5:3975966:3981828:1 gene:B456_005G041700 transcript:KJB28302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTRGSALRIALLLVLLAAIVYACFTLPIEAILKDFLLWVEKDLGPWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLLLGFISDSIGATVGAGAAFLLGRTIGRSLVVTRLKDYPQFRLVAIAIQRSGFKIILLLRLAPLLPFSMLNYLLSVTPVSLGEYLLASWLGMVPITLALVYVGTTLKDLSDVTHGWNEFSTARWAFLILGLVVSVVLMICVTKVAKTALDKALAESEDVDSTVGSSPELPVDSEAPVDLQQPLIIKVESGDNSTHE >KJB27825 pep chromosome:Graimondii2_0_v6:5:854079:855883:1 gene:B456_005G012000 transcript:KJB27825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPRFIVLKTLCDPTYLGYKHDNGNFNGYAEFTEPTVVSANAKFEVEFAKDGLVHIRSCTNNKYLERIHNLSITERPNEEYWITITADKPEEDRSKESCTLFKPIVEDFVNQNYRFVHVQSGYYLCLWSLDTSELGRGVLANHNHVAANRDDIFKVIDWETLVILPRYVAFKGNNNRFLRLTEVGGQPSLEFSSEDVGGHSVTMKVFYRKDGDIRIKPVCSDRFWRCSQNRIWVDSDETIVNDKDTHFRAFKVDSKTIALLNVGNDKFCKRYITRVTTDILAATDLSITKEAYLRVLEPVLSRTIYNLRYDTENARVYNEKVLVVAQNSATNRTTQANTLDVKLSYSETNTSTWLARFTLDLETKATFQVGVPFIGETGVEISSKYETGIEWGKTKTMTTDMEVTHQVRVPPMTKVTVYLKMTNGTCDVPFVFTQKDSLYNGFFVTTDVLGNTFTGTNYYNIQYDSKEEPLTSEPLTSEPLTSEPPTSGNQNINVYCEII >KJB29237 pep chromosome:Graimondii2_0_v6:5:12238009:12238293:1 gene:B456_005G090700 transcript:KJB29237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTKFETLRIQESKTIGEFYVKLYDLTNQDFPLRSEYSNSKLVRKVLRSLPERFITKVTTIDEANDTNAIKINELIGTLQTFEINMERSRRVN >KJB28336 pep chromosome:Graimondii2_0_v6:5:4079253:4080689:1 gene:B456_005G042500 transcript:KJB28336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSNQKPHLVLLSAPAHLQPVIELGKRLVTCQDVKATIIVASFVQSAPAESRMVQAALATKLFDVIHLPPADISNLVEPGDKGITSLSAAMHVVKPDFQAAILGLETLPTALVVHVFAIECLGIADELKIPKYVFVSTNAWFLATIVYTPVLDKEVEGEYAAKKEPFALPGCSSIRAEDLPDPMLLRTKANYREYLKIGMEIPKADGILVNSWEELQPKTLASLRDGNLLAGVVKAPIFPVGPINSEGSSALKNELFDWLDKQPFDSVLYISFGSMGGLSLEQMLELAWGLELSQQRFIWVVRPPIEKSGSGSGPKFGNIGDDVSSYLPEGFISRTRDRGVIVPQWAPQVEILSHPSCGGFFTHCGWSSAIECITNGLPMIAWPLYAEQRMNATLLTEEMGIAVRSETLPSKGIVGREEIEKMVRKIFVDEGGRQMRARMKELKLSAEKAWSNGGSSYDALAKMVKHSQKKAIAIDV >KJB27498 pep chromosome:Graimondii2_0_v6:5:62941478:62941910:1 gene:B456_005G250600 transcript:KJB27498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLPHIVGSKKVPKGYFAVYVGENQKRFVIPVSFLSQPLFQDLLGMSQEEFGYSHPTGGLAIPCNEDIFLDVTSRLN >KJB28012 pep chromosome:Graimondii2_0_v6:5:1788662:1797914:1 gene:B456_005G021600 transcript:KJB28012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRMVFYFILQLPQLIQSASPFEAGEAGCKETCENVSIPYPFGIKRGCYHNSWFRVTCNKTINGTKPFISRINMELLPSYWPVEDNRVTVNNPVTYLNCDDKGNNGNTSSSSVNLQGSPFFLSEQNIFGSVGCGYLAIIFRNNQTDPIAACLQQRCGDPISSKLPGCLTMVPENLTSYTTASRPMIDIISPGEKESSKRCTSTFIGDSTVFSEISIDMTHVPATLEWNPVKCDLEVRPHYVLPYKTSCNERCGNVDIPFPFGIKVGCYKSEWFRVTCNKTADGEKPFISSINMQLLNISFYEGTVLVKNSVIYSYCPGKDLENNEGSVNLTGTPFFFSHIFDRFICAVNIPSGLSSFVTNIRRIYPNNGSKRSCISAFIVDTRFLDSLEVNSDHNATTTNRRGSYVPTTLQWGIPKRGICELGEESGTLCSPDGRYCWTSLSQMHLYVCTPDTYNDYDYLSTDVCQEIGKCVDMKYKNCFMHCLNADGNDCSSSCCSTSIGTIVVLIGTWHMHKLIERRNNIKLKQKYFKRNGGLLLQQQLSNNKSNFEKIKLFASKELDKATDYYNENRILGRGSQGTVFKGVLTDGRIVAIKKSKMTEDKKLDENELKQFINEVMILSQINHRNVVKLLGCCLETKVPLLVYEFVPNGTLSQLLHVPNEEFPLTWEMRLRIAIEIANALFHLHQKLSYLHSAASVPIYHRDIKSSNILLDDKYRAKVSDFGTSRSVALEQTHVTTRVQGNFGYLDPEYFRSSQFTEKSDVYSFGVVLIELITGQKPVSSCQSEEVVRSLANFFLHSMKENSLLNIVDPLVMNDNAEEEIVAVAKLAKRCLNLNGKRRPTMKQVALELEQIRSSEEANGMQQSADEDSDTDAMIEASGVDSFSTSVTKCN >KJB32518 pep chromosome:Graimondii2_0_v6:5:62497981:62500560:1 gene:B456_005G244500 transcript:KJB32518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKVLIIGATGRLGYHLAQFSLQFGHPTYILIRDSSLNDPNKAQKLNFLSTAGAIPLKGSLEDENSLTEAVKQVDVVICSIPSKQALDQKLLLKVIKESGCIKKFIPSEFGVDPNKIQIYNLAHRFYAGKTEIRRLIEAEDIPYTYICCNLFMGYLLPSLAQPGLKAPPRDKVTIFGDGNAKAVFVKDVDVAACTINAIDDPRTLNKTLYLRPQGNVYSINELVAMWENKIGRELEKIHVPEEELLLKIKESSYPDNLEMIFIYSAFVKGDHTYFDIDECGVDGTKLYPHLKFTTVSEHLDTLV >KJB30205 pep chromosome:Graimondii2_0_v6:5:33693809:33716141:1 gene:B456_005G133600 transcript:KJB30205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLEEERVERRRRPLWKRVFSMLKTESELSEGGSRSRSHANGFIIHPHNWWYVAWTHFILIWAIYSSFFTPLEFGFFRGLPENLFLLDIAGQLAFLFDIVVHFFLAYRHTHSHHLVYDRRLIALRYLKSRFIVDFLGCLPWDAIYKVCGRKEPIRYMLWIRLSRALRVTEFFEKLEKDIRINYLFIRIVKLIVVEYYCTHAAGCIFYYLATTVPPSKEGYTWIGSLQMGEYRYSNFREIDLWKRYILSLYFSVVTMVTVGYGDIHAVNVREMIFVMIYVSFDMILGAYLLGNMAALIVKGSKTERFRDKMADLIKYMNRNNLDKQISKEIKGHLRLQYDCSYTEASALQDIPASIRTKAIKVHEEFFLPGEVIIEQGNVVDQLYIVCHGKLVEVGRGKNDETGDPLMDLQTYSSFGEVSFLCNTPQPYTIQVRELCKVLRIDKRSFMEIIEIYFSDGRIILNNLLEGKDANMKNEILESDVTLYIGKLESELAARLNCAAYNGDLHRLKRLIGAGADPNKTDYDGRSPLHIAASRGYEDITGFLIEQKVDLNISDKFGNTPLLEAIKHGHDQVTYLLVQAGALLAMDDASSFLCMTVARRDLDLLKRALAGGIDPNAKSYDYRTPLHVAASEGLYFVAKTLIEAGASVLSIDRWGNTPVDDARIGGNRNLIALLEAARASQMADFFDHPQQIRGEMWKKKCTVFPYHPWHEKEKKRQGVVLWVPQSMNELVKEAKEQLQCGDGYCCILSQDGAKVLDTSMISNDQKLFLVNGS >KJB30206 pep chromosome:Graimondii2_0_v6:5:33693809:33716141:1 gene:B456_005G133600 transcript:KJB30206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLEEERVERRRRPLWKRVFSMLKTESELSEGGSRSRSHANGFIIHPHNWWYVAWTHFILIWAIYSSFFTPLEFGFFRGLPENLFLLDIAGQLAFLFDIVVHFFLAYRHTHSHHLVYDRRLIALRYLKSRFIVDFLGCLPWDAIYKVCGRKEPIRYMLWIRLSRALRVTEFFEKLEKDIRINYLFIRIVKLIVVEYYCTHAAGCIFYYLATTVPPSKEGYTWIGSLQMGEYRYSNFREIDLWKRYILSLYFSVVTMVTVGYGDIHAVNVREMIFVMIYVSFDMILGAYLLGNMAALIVKGSKTERFRDKMADLIKYMNRNNLDKQISKEIKGHLRLQYDCSYTEASALQDIPASIRTKISQKLYEPFIKEVFLFKGCSTGFIKQIAIKVHEEFFLPGEVIIEQGNVVDQLYIVCHGKLVEVGRGKNDETGDPLMDLQTYSSFGEVSFLCNTPQPYTIQVRELCKVLRIDKRSFMEIIEIYFSDGRIILNNLLEGKDANMKNEILESDVTLYIGKLESELAARLNCAAYNGDLHRLKRLIGAGADPNKTDYDGRSPLHIAASRGYEDITGFLIEQKVDLNISDKFGNTPLLEAIKHGHDQVTYLLVQAGALLAMDDASSFLCMTVARRDLDLLKRALAGGIDPNAKSYDYRTPLHVAASEGLYFVAKTLIEAGASVLSIDRWGNTPVDDARIGGNRNLIALLEAARASQMADFFDHPQQIRGEMWKKKCTVFPYHPWHEKEKKRQGVVLWVPQSMNELVKEAKEQLQCGDGYCCILSQDGAKVLDTSMISNDQKLFLVNGS >KJB28602 pep chromosome:Graimondii2_0_v6:5:5847129:5850820:-1 gene:B456_005G057700 transcript:KJB28602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKAWLIFVIFTCLIASSCGRELKVDHKHKLPVYNHTLATILVEYASAVYISDLTELFTWTCERCNGLTKGFEVIELVVDIENCLQAFVGVAKDLNAVVIAFRGTQEHSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYTAYHNTTIRHGILHAVKEAKEFYGDLDIMVTGHSMGGAMASFCALDLVVNHEAKSVQVMTFGQPRIGNAAFASFYAKLVPNTIRVTNDHDIVPHLPPYYYYFPQKTYHHFPREVWLYSLGLGSLVYRVEKVCDGSGEDPTCSRSVTGNSIMDHLNYYGVDLMCQEWRSCRIVMDPRVAEYGKTDHKGNFILSRAPAMRVRSNEGEVKASA >KJB28601 pep chromosome:Graimondii2_0_v6:5:5847129:5850820:-1 gene:B456_005G057700 transcript:KJB28601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKAWLIFVIFTCLIASSCGRELKVDHKHKLPVYNHTLATILVEYASAVYISDLTELFTWTCERCNGLTKGFEVIELVVDIENCLQAFVGVAKDLNAVVIAFRGTQEHSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYTAYHNTTIRHGILHAVKEAKEFYGDLDIMVTGHSMGGAMASFCALDLVVNHEAKSVQVMTFGQPRIGNAAFASFYAKLVPNTIRVTNDHDIVPHLPPYYYYFPQKTYHHFPREVWLYSLGLGSLVYRVEKVCDGSGR >KJB28599 pep chromosome:Graimondii2_0_v6:5:5847107:5851129:-1 gene:B456_005G057700 transcript:KJB28599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKAWLIFVIFTCLIASSCGRELKVDHKHKLPVYNHTLATILVEYASAVYISDLTELFTWTCERCNGLTKGFEVIELVVDIENCLQAFVGVAKDLNAVVIAFRGTQEHSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYTAYHNTTIRHGILHAVKEAKEFYGDLDIMVTGHSMGGAMASFCALDLVVNHEAKSVQVMTFGQPRIGNAAFASFYAKLVPNTIRVTNDHDIVPHLPPYYYYFPQKTYHHFPREVWLYSLGLGSLVYRVEKVCDGSGEDPTCSRSVTGNSIMDHLNYYGVDLMCQEWRSCRIVMDPRVAEYGKTDHKGNFILSRAPAMRVRSNEGEVKASA >KJB28600 pep chromosome:Graimondii2_0_v6:5:5848633:5850566:-1 gene:B456_005G057700 transcript:KJB28600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKAWLIFVIFTCLIASSCGRELKVDHKHKLPVYNHTLATILVEYASAVYISDLTELFTWTCERCNGLTKGFEVIELVVDIENCLQAFVGVAKDLNAVVIAFRGTQEHSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYTAYHNTTIRHGILHAVKEAKEFYGDLDIMVTGHSMGGAMASFCALDLVVNHEAKSVQVMTFGQPRIGNAAFASFYAKLVPNTIRVTNDHDIVPHLPPYYYYFPQKTYHHFPREVSICEC >KJB30789 pep chromosome:Graimondii2_0_v6:5:45954236:45958662:1 gene:B456_005G159900 transcript:KJB30789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKFATMLHNNTNRVTLVLAYALLEWVLILLLLLNSLFSYLIIKFADYFGLQRPCLWCTRLDHIFDPSKYNNSYRDLVCDGHANEISRLGYCSSHRKLAESRDMCEDCLSSSRSDCCDLSKKLAFFPWMKQVGLIQDGGDKVMENSEENCKCSCCGVMLEKKWNFPYLLMKPSWEVLDYPQKGNLITEDGGFDNVEDEGNASDGAKSDVLADFQEDKQRVEENNRVEILSHGDEDEDGGEGVGEELGKEEEFSCFISSFDCNQMAANEDDFILEKDLVSMEEEKEGNLNVLMDGPELTQVACSKDESPEIQPKHLEFYIEGDGCHLIPIELMASVGVESQRIYNFREEDEGIAGNGDVILDFDMHCGTPLELVVENSCSSGEKVVLISPHESEDETSVAVVELMESKELKESFSTHAREEDEQVPLNEADEVQGNAATGEREMSVDVNQVSDEQNDEIEAEVSIGTDIPDHEPIEDIQLQHLFDEFTHENPSTTTQLHVDVDNGSKNAEEETIQFKTMTVETCDQAIKIHLSVPSESNEIEDDKVLDTPTSLDGIHQLHKKLLLLEKRESGTEDSLDGSVFSDIECGDGVLTVEKLKSALKAERKALNDLYTELEEERSASAVAAHQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMVKREKEKAELEKELEIYRRRVQDYETREKMIMLRRRKDESTRSATSGTCSNAEDSDSPSVDLNQEPKEEDSFGNHQEDSRQNTPADAVLYLEESLASFEEERLSILEQLKVLEEKMVSLNDEELHFEDVKSIELLYEENGNGFHGISDFTYETNGVTNGHFEGANRKHHLEKKLMAANAKRLLPLFDAADAEIEDGLLNGHGKGFDSVVLQQNSPANSELESKKLAIEEEVDHVYERLQALEADREFLKHCISSLRKGDKGIYLLQEILQHLRDLRSVDLRVRSIGDTVI >KJB30788 pep chromosome:Graimondii2_0_v6:5:45953791:45958662:1 gene:B456_005G159900 transcript:KJB30788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDVAWREGKVRPRSKNASSRALSLLPSNTTLSYSKPLNLSSSSSFSCSYLTNSTLSLFRFAFVPFHDSLSLSVHRTNQRAPLMAANKFATMLHNNTNRVTLVLAYALLEWVLILLLLLNSLFSYLIIKFADYFGLQRPCLWCTRLDHIFDPSKYNNSYRDLVCDGHANEISRLGYCSSHRKLAESRDMCEDCLSSSRSDCCDLSKKLAFFPWMKQVGLIQDGGDKVMENSEENCKCSCCGVMLEKKWNFPYLLMKPSWEVLDYPQKGNLITEDGGFDNVEDEGNASDGAKSDVLADFQEDKQRVEENNRVEILSHGDEDEDGGEGVGEELGKEEEFSCFISSFDCNQMAANEDDFILEKDLVSMEEEKEGNLNVLMDGPELTQVACSKDESPEIQPKHLEFYIEGDGCHLIPIELMASVGVESQRIYNFREEDEGIAGNGDVILDFDMHCGTPLELVVENSCSSGEKVVLISPHESEDETSVAVVELMESKELKESFSTHAREEDEQVPLNEADEVQGNAATGEREMSVDVNQVSDEQNDEIEAEVSIGTDIPDHEPIEDIQLQHLFDEFTHENPSTTTQLHVDVDNGSKNAEEETIQFKTMTVETCDQAIKIHLSVPSESNEIEDDKVLDTPTSLDGIHQLHKKLLLLEKRESGTEDSLDGSVFSDIECGDGVLTVEKLKSALKAERKALNDLYTELEEERSASAVAAHQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMVKREKEKAELEKELEIYRRRVQDYETREKMIMLRRRKDESTRSATSGTCSNAEDSDSPSVDLNQEPKEEDSFGNHQEDSRQNTPADAVLYLEESLASFEEERLSILEQLKVLEEKMVSLNDEELHFEDVKSIELLYEENGNGFHGISDFTYETNGVTNGHFEGANRKHHLEKKLMAANAKRLLPLFDAADAEIEDGLLNGHGKGFDSVVLQQNSPANSELESKKLAIEEEVDHVYERLQALEADREFLKHCISSLRKGDKGIYLLQEILQHLRDLRSVDLRVRSIGDTVI >KJB32826 pep chromosome:Graimondii2_0_v6:5:63731003:63734071:1 gene:B456_005G263900 transcript:KJB32826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVIEQGKQPHQECSTLLLPALSIGNVGQLAVDLLVSSMKAERIGYLDDPFVLPCVGNDAYGPIPCGDLALPLEAYESAFSRVALLQQRSPVVKGRMVEFAKNLANFAAASGKKHVVLLSSLDFGKWQKIDMSSGPQIYYLSSINPDGRDDNCEQLGWKRLQEYNPAQRCWKYLSMLAEGNTMLESNLPFEDELEDEDYYPSLPFAALFSCLKAKGLKVTCLLCYCSEGDNIQDAFHLAEAACRLLGLNPNAFPGNGSGGWVIPFSWHTVYGPPPDMSIF >KJB27823 pep chromosome:Graimondii2_0_v6:5:838658:839346:-1 gene:B456_005G0118002 transcript:KJB27823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NDQTIALRNLGNNNFCKNLSKEGKTNCLNADVSSITKEVQLRVEVPVLERKFYNIKYDLDNCRIYDESKLVIAMNSASNYTRKSESLELKLSYTDTHTRTWKANVSLKVGAKATMKFGLPKIFEGSIELSGEIQTGFEWEDTKTVTSMMDVLHKVVVPPMTKVTVNLTAINGTCDVPFTYMQKDTLYNGNIVISEVQGGTYTGSNYYSLNFQTKEESLSSSVFSTSSP >KJB27822 pep chromosome:Graimondii2_0_v6:5:838658:839344:-1 gene:B456_005G0118002 transcript:KJB27822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NDQTIALRNLGNNNFCKNLSKEGKTNCLNADVSSITKEVQLRVEVPVLERKFYNIKYDLDNCRIYDESKLVIAMNSASNYTRKSESLELKLSYTDTHTRTWKANVSLKVGAKATMKFGLPKIFEGSIELSGEIQTGFEWEDTKTVTSMMDVLHKVVVPPMTKVTVNLTAINGTCDVPFTYMQKDTLYNGNIVISEVQGGTYTGSNYYSLNFQTKEESLSSSVFSTSSP >KJB27610 pep chromosome:Graimondii2_0_v6:5:107485:110396:1 gene:B456_005G002000 transcript:KJB27610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDSERNSQDVPLPGTPGHMEGGGLVGDHSGLPALCTLCRRSLAPEYEAMPDLETVGLCGDCKFLLLEDLDTPPQVYNRRRQARRSRNRRSSSESIENLFSQQFSHMITLLRQNQSTVSGSEDQIMDGGSSARSLRRSSSRTTPSSSRRWRRVISDSDSDGFDNLDSFFGESESNVSFGRYRLFRGESDAVSFSTYGGDSDASMDGHSFLDTEIFVQAERSDINSDTDTDIDPMNAGLNQWNWDEPEEDDEGDEDGEWEEADAEEYVVGHTMSRTGLLNLFTSSPHESNLPAFNFRRSRAGFEQLLDHLAETDGSRRGAPPASLSFVNNLPHVIVSDEHEKSDGLACAICKDVLPVGAEVNQLPCLHVYHPSCILPWLSARNSCPLCRYELPTDDKDYEEGKQHMNSRMRMHEIQQQNASEDSSSENSDEADADDACEFGPHQSHDVPHVDPTIGSSSREIGRDWLFRAAAPVAGIIGVVLVLWLGKPLIGRRGSIPSWGQHQIQVSNASSLNQRGSRGRRWWSLF >KJB28748 pep chromosome:Graimondii2_0_v6:5:7201988:7207334:1 gene:B456_005G067400 transcript:KJB28748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPLGEELLRKIQELEVGHAYLMREMSSLRQSSGESIHDSSRRGSRRTSPQRPLFLGDAAAAAGSGSVRLPLRIESGSCGTTNGGGGDAGRTRTGNSRTAAANLTNSQYLNILQSMGQSVYIYDLRGRVFFWNRGAEKLYGYLEAEALGQNIIKLVCHPKDFGFAVNIVQRVIIGESWTGLFPIKNRLGENISVVATVTPFYDEDGSVVGITSVTCDSRPFQETKFEFSAERQPKGNSSAFTRSKNTISVKLGLDPQQPLQAAIVSKISNLASKVSNKVKSRIRTGENRVDPYDHKEDATYSGVCTPKGDMWPPSHDVLHPFDNVSTVMNSIDFGDENEGKPAIQNFTTFIAKTGISLPWKGNSQEESEAKTTHSIRPCEGNDQENETFLLKGPYLGKKLEDHINEHDSPINNEASGFSSSSANVNSTSSTRSSGSTGGSPVNIVHMDTDCVDNEILWEELTIGEQIGQGSCGTVYHGLWYASDVAVKVFPKLEYSDDVIHSFRQEVSLMKRLRHPNVLLFMGAVTSPQRLCIVTEFLQRGSLFRLLQRNAAKLERKRRVHMALDIARGMNYLHHCNPTIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKHATFLTTKTGKGTPHWMAPEVLRNEPSDEKSDIYSFGVILWELATGKIPWENLNSIQVIGAVGFMNQRLEIPNDLDPLWASIIESCWLSDPQSRPTFLELMDKLRVLQRRCTIQFQEARNSSSGSQKGS >KJB30786 pep chromosome:Graimondii2_0_v6:5:45861017:45861166:-1 gene:B456_005G159600 transcript:KJB30786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETAPTTNLMVSLGESRASCLLPLSPSAAFAFGSCKQGWYYRRLGLDP >KJB27953 pep chromosome:Graimondii2_0_v6:5:2741328:2743664:-1 gene:B456_005G030700 transcript:KJB27953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLRHLISRLSSTKKPISLQFEPFQALGSRAFSSTSDGSDNLGWGTASSWSSRLTKDHFDGTITPQAGTGDADQSIQLMDIENVSDAVKKWNADDKKFKEFTDGWEDRLLETSVLLKQVLEPGARGSYLKDSEKAEMYRLHKENPEVYTVEKLAKDYRIMRQRVEAILWLKKDEEELEKKNALPLDDSVEMLLDNCPEFLNAHDREFHVASLPYKPEFKVMPEGWDGTTKDLDEYHYEISKKEDDMLYEEFVQRFNFNKMKVCCCFTPSACLQRKKHIRIAI >KJB27952 pep chromosome:Graimondii2_0_v6:5:2740354:2743720:-1 gene:B456_005G030700 transcript:KJB27952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLRHLISRLSSTKKPISLQFEPFQALGSRAFSSTSDGSDNLGWGTASSWSSRLTKDHFDGTITPQAGTGDADQSIQLMDIENVSDAVKKWNADDKKFKEFTDGWEDRLLETSVLLKQVLEPGARGSYLKDSEKAEMYRLHKENPEVYTVEKLAKDYRIMRQRVEAILWLKKDEEELEKKNALPLDDSVEMLLDNCPEFLNAHDREFHVASLPYKPEFKVMPEGWDGTTKDLDEYHYEISKKEDDMLYEEFVQRFNFNKMKMAGEVKRHKYSRRRPTEGWNFTVEKMGAKGKRGGGGGWKFVSLPDGSSRPLNEMEKMYVRRETPRRRRRILP >KJB27951 pep chromosome:Graimondii2_0_v6:5:2740354:2743517:-1 gene:B456_005G030700 transcript:KJB27951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLRHLISRLSSTKKPISLQFEPFQALGSRAFSSTSDGSDNLGWGTASSWSSRLTKDHFDGTITPQAGTGDADQSIQLMDIENVSDAVKKWNADDKKFKEFTDGWEDRLLETSVLLKQVLEPGARGSYLKDSEKAEMYRLHKENPEVYTVEKLAKDYRIMRQRVEAILWLKKDEEELEKKNALPLDDSVEMLLDNCPEFLNAHDREFHVASLPYKPEFKVMPEGWDGTTKDLDEYHYEISKKEDDMLYEEFVQRFNFNKMKMAGEVKRHKYSRRRPTEGWNFTVEKMGAKGKRGGGGGWKFVSLPDGSSRPLNEMEKMYVRRETPRRRRRILP >KJB30804 pep chromosome:Graimondii2_0_v6:5:46507264:46517649:-1 gene:B456_005G161200 transcript:KJB30804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEVVQRVFQEGGRDYFQQQPSTSTSSSSSILQSLPLHVSFDHGYYLLVKSIQELREKKEGLVTVGIGGPSGSGKTSLAEKVASVIGCTVIPMENYRGGADEGTDLDSIDFDALIRNLEDLTEGKDTKIPVFDFHQKKRVGSKAIKSAMSGVVIVDGTYALHAKLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCRSESPDGQSTFFLKDEAQTDNFIEMYLRPPSANEEARINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYNVVVSYKRASTSVNIGSLSLSLETIDTLGETFLVLRGTDRKTVGAEALRMGITGQWLTKSYLEMILERKGVPRLNTPPLVSATSVSHNQEKDIAAPKPIRTTPNLVSQLEDLAQPWTRSPTKSKMEPVLPTWHFASSDRSHGGSVATGSSAFRDTMKLAPMPDSYDLDRGLLLAVQGIQFLLENKSVPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYFRPEQVKDFKYDDFNSLDLPLLSKNIGDIKHGRKTKIPVFNLETGSRSCFKELEISEDCGVIIFEGVYALHPEIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNEIMMTVFPIFQQHIEPHLVHAHVSSL >KJB30803 pep chromosome:Graimondii2_0_v6:5:46499671:46517649:-1 gene:B456_005G161200 transcript:KJB30803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEVVQRVFQEGGRDYFQQQPSTSTSSSSSILQSLPLHVSFDHGYYLLVKSIQELREKKEGLVTVGIGGPSGSGKTSLAEKVASVIGCTVIPMENYRGGADEGTDLDSIDFDALIRNLEDLTEGKDTKIPVFDFHQKKRVGSKAIKSAMSGVVIVDGTYALHAKLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCRSESPDGQSTFFLKDEAQTDNFIEMYLRPPSANEEARINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYNVVVSYKRASTSVNIGSLSLSLETIDTLGETFLVLRGTDRKTVGAEALRMGITGQWLTKSYLEMILERKGVPRLNTPPLVSATSVSHNQEKDIAAPKPIRTTPNLVSQLEDLAQPWTRSPTKSKMEPVLPTWHFASSDRSHGGSVGSSAFRDTMKLAPMPDSYDLDRGLLLAVQGIQFLLENKSVPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYFRPEQVKDFKYDDFNSLDLPLLSKNIGDIKHGRKTKIPVFNLETGSRSCFKELEISEDCGVIIFEGVYALHPEIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNEIMMTVFPIFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILNILDSAKFCSSVQNFIDIYLRLPGTPANGHIAESDCVRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVSGLLNLGYQAVAYIEASALIYQDGKILIEVDHLQDAPSPYLQIKGVNKEAVAAAGSALKLDGSYTTKSYLQIILERLPLVERSYSGIHTHQAARLQELVESIQSQGGSTPSESSQSREVSPMDGIIEDMQCRIRRLERWHTINTVLWTFLMSALIGYSLYQRKRQ >KJB30805 pep chromosome:Graimondii2_0_v6:5:46508403:46517649:-1 gene:B456_005G161200 transcript:KJB30805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEVVQRVFQEGGRDYFQQQPSTSTSSSSSILQSLPLHVSFDHGYYLLVKSIQELREKKEGLVTVGIGGPSGSGKTSLAEKVASVIGCTVIPMENYRGGADEGTDLDSIDFDALIRNLEDLTEGKDTKIPVFDFHQKKRVGSKAIKSAMSGVVIVDGTYALHAKLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCRSESPDGQSTFFLKDEAQTDNFIEMYLRPPSANEEARINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYNVVVSYKRASTSVNIGSLSLSLETIDTLGETFLVLRGTDRKTVGAEALRMGITGQWLTKSYLEMILERKGVPRLNTPPLVSATSVSHNQEKDIAAPKPIRTTPNLVSQLEDLAQPWTRSPTKSKMEPVLPTWHFASSDRSHGGSVATGSSAFRDTMKLAPMPDSYDLDRGLLLAVQGIQFLLENKSVPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYFRPEQVKDFKYDDFNSLDLPLLSKEWKHCFQNHWKQ >KJB31347 pep chromosome:Graimondii2_0_v6:5:54634901:54635346:-1 gene:B456_005G187200 transcript:KJB31347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QATVVDPPPQKINLISCGPMAHVSDIKLIRTDTTLDLSQKAEKGMLAAVQTRLFYKQLLASSRYISMMWDKDTVFSNLIIIWDKSLPSISGLGILFWYFNMGLMMRKRR >KJB32343 pep chromosome:Graimondii2_0_v6:5:61847799:61853583:-1 gene:B456_005G236600 transcript:KJB32343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAPAAPPLPHPPGGDSAASSTVAVIADNIHPLPSTSSASSEMEEKATSSSMSFGAAAEAKYGADMEEEEEEEDVCRICRNPGDAENPLRYPCACSGSIKYVHQECLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFVVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLQELGGDVEREEEVDRNGARAARRPPGQANRNLAGDGNGEDAGGAQAIGGAGQMIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSIGRIILYYVSWLFSSASGPVLSVVMPLTDTALSLANITLKNALTAVTNLTSEGQENGMRGQVAEMLKANSTAIAEVSSNTSAPFSADLLKGVTIGASRLSDVTTLAIGYMFIFSLVFFYLGIVTLIRYTRGEPLSMGRFYGIASIAETMPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMSQRVQFFSVSPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMVPSIFPLDISVSDPFTEIPADMLLFQICIPFAVEHFKLRATIKSLLRYWFTAVGWALGLTDFLLPSPEENGGQDNVNVEPGQQDRLQVVQLGGQEQPMVAFAADDDPNRGLMASGNSSVAEEFDEDEQTDSDRYSFVLRIVLLLVIAWMTLLIFNSALIVVPISLGRALFNAIPLLPITHGIKCNDLYAFVIGSYFIWTAMAGARYTIEHIRTKRAAVLLSQIWKWSAIVIKSSVLLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRIKFERVREDGFSRLQGLWVLREIVFPIIMKLLTALCLPYVLARGVFPVLGYPLVVNSAVYRFAWLGCLGFSLLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDMQEKKSEAGTPSEAPLVSNMRGTGIIRQLDRDADVGLRLRHVN >KJB32347 pep chromosome:Graimondii2_0_v6:5:61849378:61853583:-1 gene:B456_005G236600 transcript:KJB32347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAPAAPPLPHPPGGDSAASSTVAVIADNIHPLPSTSSASSEMEEKATSSSMSFGAAAEAKYGADMEEEEEEEDVCRICRNPGDAENPLRYPCACSGSIKYVHQECLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFVVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLQELGGDVEREEEVDRNGARAARRPPGQANRNLAGDGNGEDAGGAQAIGGAGQMIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSIGRIILYYVSWLFSSASGPVLSVVMPLTDTALSLANITLKNALTAVTNLTSEGQENGMRGQVAEMLKANSTAIAEVSSNTSAPFSADLLKGVTIGASRLSDVTTLAIGYMFIFSLVFFYLGIVTLIRYTRGEPLSMGRFYGIASIAETMPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMSQRVQFFSVSPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMVPSIFPLDISVSDPFTEIPADMLLFQICIPFAVEHFKLRATIKSLLRYWFTAVGWALGLTDFLLPSPEENGGQDNVNVEPGQQDRLQVVQLGGQEQPMVAFAADDDPNRGLMASGNSSVAEEFDEDEQTDSE >KJB32345 pep chromosome:Graimondii2_0_v6:5:61847522:61853583:-1 gene:B456_005G236600 transcript:KJB32345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAPAAPPLPHPPGGDSAASSTVAVIADNIHPLPSTSSASSEMEEKATSSSMSFGAAAEAKYGADMEEEEEEEDVCRICRNPGDAENPLRYPCACSGSIKYVHQECLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFVVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLQELGGDVEREEEVDRNGARAARRPPGQANRNLAGDGNGEDAGGAQAIGGAGQMIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSIGRIILYYVSWLFSSASGPVLSVVMPLTDTALSLANITLKNALTAVTNLTSEGQENGMRGQVAEMLKANSTAIAEVSSNTSAPFSADLLKGVTIGASRLSDVTTLAIGYMFIFSLVFFYLGIVTLIRYTRGEPLSMGRFYGIASIAETMPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMSQRVQFFSVSPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMVPSIFPLDISVSDPFTEIPADMLLFQICIPFAVEHFKLRATIKSLLRYWFTAVGWALGLTDFLLPSPEENGGQDNVNVEPGQQDRLQVVQLGGQEQPMVAFAADDDPNRGLMASGNSSVAEEFDEDEQTDSDRYSFVLRIVLLLVIAWMTLLIFNSALIVVPISLGRALFNAIPLLPITHGIKCNDLYAFVIGSYFIWTAMAGARYTIEHIRTKRAAVLLSQIWKWSAIVIKSSVLLSIWVMLDHMMPLVDESWRIKFERVREDGFSRLQGLWVLREIVFPIIMKLLTALCLPYVLARGVFPVLGYPLVVNSAVYRFAWLGCLGFSLLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDMQEKKSEAGTPSEAPLVSNMRGTGIIRQLDRDADVGLRLRHVN >KJB32344 pep chromosome:Graimondii2_0_v6:5:61848649:61853583:-1 gene:B456_005G236600 transcript:KJB32344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAPAAPPLPHPPGGDSAASSTVAVIADNIHPLPSTSSASSEMEEKATSSSMSFGAAAEAKYGADMEEEEEEEDVCRICRNPGDAENPLRYPCACSGSIKYVHQECLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFVVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLQELGGDVEREEEVDRNGARAARRPPGQANRNLAGDGNGEDAGGAQAIGGAGQMIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSIGRIILYYVSWLFSSASGPVLSVVMPLTDTALSLANITLKNALTAVTNLTSEGQENGMRGQVAEMLKANSTAIAEVSSNTSAPFSADLLKGVTIGASRLSDVTTLAIGYMFIFSLVFFYLGIVTLIRYTRGEPLSMGRFYGIASIAETMPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMSQRVQFFSVSPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMVPSIFPLDISVSDPFTEIPADMLLFQICIPFAVEHFKLRATIKSLLRYWFTAVGWALGLTDFLLPSPEENGGQDNVNVEPGQQDRLQVVQLGGQEQPMVAFAADDDPNRGLMASGNSSVAEEFDEDEQTDSDRYSFVLRIVLLLVIAWMTLLIFNSALIVVPISLGRALFNAIPLLPITHGIKCNDLYAFVIGSYFIWTAMAGARYTIEHIRTKRAAVLLSQIWKWSAIVIKSSVLLSIWVCDLA >KJB32342 pep chromosome:Graimondii2_0_v6:5:61847520:61853751:-1 gene:B456_005G236600 transcript:KJB32342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAPAAPPLPHPPGGDSAASSTVAVIADNIHPLPSTSSASSEMEEKATSSSMSFGAAAEAKYGADMEEEEEEEDVCRICRNPGDAENPLRYPCACSGSIKYVHQECLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFVVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLQELGGDVEREEEVDRNGARAARRPPGQANRNLAGDGNGEDAGGAQAIGGAGQMIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSIGRIILYYVSWLFSSASGPVLSVVMPLTDTALSLANITLKNALTAVTNLTSEGQENGMRGQVAEMLKANSTAIAEVSSNTSAPFSADLLKGVTIGASRLSDVTTLAIGYMFIFSLVFFYLGIVTLIRYTRGEPLSMGRFYGIASIAETMPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMSQRVQFFSVSPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMVPSIFPLDISVSDPFTEIPADMLLFQICIPFAVEHFKLRATIKSLLRYWFTAVGWALGLTDFLLPSPEENGGQDNVNVEPGQQDRLQVVQLGGQEQPMVAFAADDDPNRGLMASGNSSVAEEFDEDEQTDSEYSFVLRIVLLLVIAWMTLLIFNSALIVVPISLGRALFNAIPLLPITHGIKCNDLYAFVIGSYFIWTAMAGARYTIEHIRTKRAAVLLSQIWKWSAIVIKSSVLLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRIKFERVREDGFSRLQGLWVLREIVFPIIMKLLTALCLPYVLARGVFPVLGYPLVVNSAVYRFAWLGCLGFSLLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDMQEKKSEAGTPSEAPLVSNMRGTGIIRQLDRDADVGLRLRHVN >KJB32346 pep chromosome:Graimondii2_0_v6:5:61848649:61853583:-1 gene:B456_005G236600 transcript:KJB32346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAPAAPPLPHPPGGDSAASSTVAVIADNIHPLPSTSSASSEMEEKATSSSMSFGAAAEAKYGADMEEEEEEEDVCRICRNPGDAENPLRYPCACSGSIKYVHQECLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFVVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLQELGGDVEREEEVDRNGARAARRPPGQANRNLAGDGNGEDAGGAQAIGGAGQMIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSIGRIILYYVSWLFSSASGPVLSVVMPLTDTALSLANITLKNALTAVTNLTSEGQENGMRGQVAEMLKANSTAIAEVSSNTSAPFSADLLKGVTIGASRLSDVTTLAIGYMFIFSLVFFYLGIVTLIRYTRGEPLSMGRFYGIASIAETMPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMSQRVQFFSVSPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMVPSIFPLDISVSDPFTEIPADMLLFQICIPFAVEHFKLRATIKSLLRYWFTAVGWALGLTDFLLPSPEENGGQDNVNVEPGQQDRLQVVQLGGQEQPMVAFAADDDPNRGLMASGNSSVAEEFDEDEQTDSDRYSFVLRIVLLLVIAWMTLLIFNSALIVVPISLGRALFNAIPLLPITHGIKCNDLYAFVIGSYFIWTAMAGARYTIEHIRTKRAAVLLSQIWKWSAIVIKSSVLLSIWVCDLA >KJB32387 pep chromosome:Graimondii2_0_v6:5:62004116:62007224:-1 gene:B456_005G238600 transcript:KJB32387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKIDEPQIPEMSLDPPEITNPQPNVMERVEEIQANLAGRFPSCMKTMVRSNVTHGFWLHLPMPFCKLHMPKQDTTIILEDESGEEYKASYIAQRTALSAGWKAFSADHKLVEGDVLVFHLVGSSKFKVYIVRAFKSSEVDRCFRSLEVDIQAKPIRSIRMKRTKRPSKKAKCLELLPLDPPDDNVENKSLMVLDTDSEHLTDRYDNDNKDPSSGLDDGIKSLASVIDFKEIKSIDNFIIIVNGSRIDSELSEYHRTKYYELCCSQNSFLHDNLLESISSKLALEIITQIINIAEAIRACKISTSQADYTLWDKTLKGFELLGMNVGFLRAKLNRLNTLSLELQQGVNMERCKEQDHMKEEKKSLEQKLVKLKDAMHKLDTEIETLKENAEKYELIFREEVTAAW >KJB32385 pep chromosome:Graimondii2_0_v6:5:62004116:62007294:-1 gene:B456_005G238600 transcript:KJB32385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKIDEPQIPEMSLDPPEITNPQPNVMERVEEIQANLAGRFPSCMKTMVRSNVTHGFWLHLPMPFCKLHMPKQDTTIILEDESGEEYKASYIAQRTALSAGWKAFSADHKLVEGDVLVFHLVGSSKFKVYIVRAFKSSEVDRCFRSLEVDIQAKPIRSIRMKRTKRPSKKAKCLELLPLDPPDDNVENKSLMVLDTDSEHLTDRYDNDNKDPSSGLDDGIKSLASVIDFKEIKSIDNFIIIVNGSRIDSELSEYHRTKYYELCCSQNSFLHDNLLESISSKLALEIITQIINIAEAIRACKISTSQADYTLWDKTLKGFELLGMNVGFLRAKLNRLNTLSLELQQGVNMERCKEQDHMKEEKKSLEQKLVKLKDAMHKLDTEIETLKENAEKYELIFREEVTAAW >KJB32386 pep chromosome:Graimondii2_0_v6:5:62004116:62007301:-1 gene:B456_005G238600 transcript:KJB32386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKIDEPQIPEMSLDPPEITNPQPNVMERVEEIQANLAGRFPSCMKTMVRSNVTHGFWLHLPMPFCKLHMPKQDTTIILEDESGEEYKASYIAQRTALSAGWKAFSADHKLVEGDVLVFHLVGSSKFKVYIVRAFKSSEVDRCFRSLEVDIQAKPIRSIRMKRTKRPSKKAKCLELLPLDPPDDNVENKSLMVLDTDSEHLTDRYDNDNKDPSSGLDDGIKSLASVIDFKEIKSIDNFIIIVNGSRIDSELSEYHRTKYYELCCSQNSFLHDNLLESISSKLALEIITQIINIAEAIRACKISTSQADYTLWDKTLKGFELLGMNVGFLRAKLNRLNTLSLELQQGVNMERCKEQDHMKEEKKSLEQKLVKLKDAMHKLDTEIETLKENAEKYELIFREEVTAAW >KJB28940 pep chromosome:Graimondii2_0_v6:5:8705472:8707833:1 gene:B456_005G076500 transcript:KJB28940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRRRTLLKVIVLGDSGVGKTSLMNQYVHMKFTQQYKATIGADFVTKELQIDDRDVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDSLDNWHEEFLKQANPTDPRTFPFILLGNKIDVDGGNTRVVSEKKAKDWCASKENIPYFETSAKEDINVDAAFHCIAKTALANEREQDMKQYGFIKQWRFGYDHYLVLHFSLHSRDL >KJB28942 pep chromosome:Graimondii2_0_v6:5:8705517:8707833:1 gene:B456_005G076500 transcript:KJB28942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTQQYKATIGADFVTKELQIDDRDVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDSLDNWHEEFLKQANPTDPRTFPFILLGNKIDVDGGNTRVVSEKKAKDWCASKENIPYFETSAKEDINVDAAFHCIAKTALANEREQDIYFQGIPDAVSETEQRGGCAC >KJB28941 pep chromosome:Graimondii2_0_v6:5:8705472:8707833:1 gene:B456_005G076500 transcript:KJB28941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRRRTLLKVIVLGDSGVGKTSLMNQYVHMKFTQQYKATIGADFVTKELQIDDRDVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDSLDNWHEEFLKQANPTDPRTFPFILLGNKIDVDGGNTRVVSEKKAKDWCASKENIPYFETSAKEDINVDAAFHCIAKTALANEREQDIYFQGIPDAVSETEQRGGCAC >KJB29288 pep chromosome:Graimondii2_0_v6:5:12621841:12623501:-1 gene:B456_005G092300 transcript:KJB29288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADNESGDSIESEVRTSSGMFLQKAQDEVVADIEARIAAWTFLPAENGKSMQILHYENGQKYEPHFDYFHDKANQELGGHCIATVLMYLSDVESGEETVFPNAEGKLSQPKDDSWSDCAKNGYAVKPRKGDALLFFSLHLDATTDSDSLHAQ >KJB29290 pep chromosome:Graimondii2_0_v6:5:12621532:12623709:-1 gene:B456_005G092300 transcript:KJB29290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADNESGDSIESEVRTSSGMFLQKAQDEVVADIEARIAAWTFLPAENGKSMQILHYENGQKYEPHFDYFHDKANQELGGHCIATVLMYLSDVESGEETVFPNAEGKLSQPKDDSWSDCAKNGYAVKPRKGDALLFFSLHLDATTDSDSLHAQSFDTAKRQSVNRDCVDENENCANWASAGECEKNPSYMIGSEDYYGYCRKSCKVCSS >KJB29287 pep chromosome:Graimondii2_0_v6:5:12621841:12623501:-1 gene:B456_005G092300 transcript:KJB29287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADNESGDSIESEVRTSSGMFLQKAQDEVVADIEARIAAWTFLPAENGKSMQILHYENGQKYEPHFDYFHDKANQELGGHCIATVLMYLSDVESGEETVFPNAEGKLSQPKDDSWSDCAKNGYAVKPRKGDALLFFSLHLDATTDSDSLHAQ >KJB29289 pep chromosome:Graimondii2_0_v6:5:12621533:12624149:-1 gene:B456_005G092300 transcript:KJB29289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHWYFIGFLLLILLHLCLVSAEINGSVLEMKRGTSSVPFDPTRVTQLSWHPSEECDHLITLAKDKLEKSMVADNESGDSIESEVRTSSGMFLQKAQDEVVADIEARIAAWTFLPAENGKSMQILHYENGQKYEPHFDYFHDKANQELGGHCIATVLMYLSDVESGEETVFPNAEGKLSQPKDDSWSDCAKNGYAVKPRKGDALLFFSLHLDATTDSDSLHAQSFDTAKRQSVNRDCVDENENCANWASAGECEKNPSYMIGSEDYYGYCRKSCKVCSS >KJB27477 pep chromosome:Graimondii2_0_v6:5:58507140:58507936:-1 gene:B456_005G205900 transcript:KJB27477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSRAWIVAASIGAVEALKDQGICRWNYTVRSVVQHAKNHVRSASQAKNLSSQSSAAISKGLNKSKQSEESLRTVMYLSCWGPN >KJB28458 pep chromosome:Graimondii2_0_v6:5:4935298:4938817:-1 gene:B456_005G050000 transcript:KJB28458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGKTIVWFRRDLRIEDNPALAAAARDGSVFPVYIWCPEEEGQFYPGRVSRWWLKQSLAHLEQSLKSLGAELVMIKTQSTLSALLDCIKATEATKVVVNRLYDPVSLVRDHSIEEKLAEVGISVQSYNGDLLYEPWEIYDDEGRAFSTFDAYWDKCLNMQMEPVSLLPPWRLMPAAVTGTVERCTIEGLGLENELEKSSNALLGRGCSPGWSNADKALTEFVEQNLFDYSKSRFKVGASSTSLLSPYLHFGEISVRKVFRCVRMKQILWRREWKSQGEESVNLFLKAIGLREYSRYLCFNYPFTHERSLLRNMKYFPWNADADHFKVWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLLLPWKWGMKYFWDTLLDADLECDILGWQYISGSLPDGQELEQLDCPQIQGSKFDPEGEYVRQWLPELARMPIEWIHHPWDAPLTVLKAAGVELGLNYPKPIIDIDKAREHLREAIFKMWEMEAAAKSATSDQMNEDVFDNSDSIKTSAILKVIPKEKSSCLTYSSNDQRVPSFQNCNNGLLPRKRPLPVDEEKLHMDKPNKQNKEAGTSGREDLCSTAESSASKKQKSTSSTSTSIISFTVPQYCSSSDGRPFQDHESSNLNLTWHEKI >KJB28461 pep chromosome:Graimondii2_0_v6:5:4934204:4938492:-1 gene:B456_005G050000 transcript:KJB28461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGKTIVWFRRDLRIEDNPALAAAARDGSVFPVYIWCPEEEGQFYPGRVSRWWLKQSLAHLEQSLKSLGAELVMIKTQSTLSALLDCIKATEATKVVVNRLYDPVSLVRDHSIEEKLAEVGISVQSYNGDLLYEPWEIYDDEGRAFSTFDAYWDKCLNMQMEPVSLLPPWRLMPAAGTVERCTIEGLGLENELEKSSNALLGRGCSPGWSNADKALTEFVEQNLFDYSKSRFKVGASSTSLLSPYLHFGEISVRKVFRCVRMKQILWRREWKSQGEESVNLFLKAIGLREYSRYLCFNYPFTHERSLLRNMKYFPWNADADHFKVWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLLLPWKWGMKYFWDTLLDADLECDILGWQYISGSLPDGQELEQLDCPQIQGSKFDPEGEYVRQWLPELARMPIEWIHHPWDAPLTVLKAAGVELGLNYPKPIIDIDKAREHLREAIFKMWEMEAAAKSATSDQMNEDVFDNSDSIKTSAILKVIPKEKSSCLTYSSNDQRVPSFQNCNNGLLPRKRPLPVDEEKLHMDKPNKQNKEAGTSGREDLCSTAESSASKKQKSTSSTSTSIISFTVPQYCSSSDGRPFQDHESSNLNLTWHEKI >KJB28459 pep chromosome:Graimondii2_0_v6:5:4935813:4938202:-1 gene:B456_005G050000 transcript:KJB28459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGKTIVWFRRDLRIEDNPALAAAARDGSVFPVYIWCPEEEGQFYPGRVSRWWLKQSLAHLEQSLKSLGAELVMIKTQSTLSALLDCIKATEATKVVVNRLYDPVSLVRDHSIEEKLAEVGISVQSYNGDLLYEPWEIYDDEGRAFSTFDAYWDKCLNMQMEPVSLLPPWRLMPAAGTVERCTIEGLGLENELEKSSNALLGRGCSPGWSNADKALTEFVEQNLFDYSKSRFKVGASSTSLLSPYLHFGEISVRKVFRCVRMKQILWRREWKSQGEESVNLFLKAIGLREYSRYLCFNYPFTHERSLLRNMKYFPWNADADHFKVWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLLLPWKWGMKYFWDTLLDADLECDILGWQYISGSLPDGQELEQLDCPQIQGSKFDPEGEYVRQWLPELARMPIEWIHHPWDAPLTVLKAAGVELGLNYPKPIIDIDKAREHLREAIFKMWEMEAAAKSATSDQMNEDVFDNSDSIKTSAILKVIPKEKSSCLTYSSNDQRVPSFQNCNNGLLPRKRPLPVDEEKLHMDKPNKQNKEAGTSGREDLCSTAESSASKKQKSTSSTSTSIISFTVPQYCSSSDGRPFQDHESSNLNLTWHEKI >KJB28463 pep chromosome:Graimondii2_0_v6:5:4935298:4938817:-1 gene:B456_005G050000 transcript:KJB28463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGKTIVWFRRDLRIEDNPALAAAARDGSVFPVYIWCPEEEGQFYPGRVSRWWLKQSLAHLEQSLKSLGAELVMIKTQSTLSALLDCIKATEATKVVVNRLYDPVSLVRDHSIEEKLAEVGISVQSYNGDLLYEPWEIYDDEGRAFSTFDAYWDKCLNMQMEPVSLLPPWRLMPAAGTVERCTIEGLGLENELEKSSNALLGRGCSPGWSNADKALTEFVEQNLFDYSKSRFKVGASSTSLLSPYLHFGEISVRKVFRCVRMKQILWRREWKSQGEESVNLFLKAIGLREYSRYLCFNYPFTHERSLLRNMKYFPWNADADHFKVWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLLLPWKWGMKYFWDTLLDADLECDILGWQYISGSLPDGQELEQLDCPQIQGSKFDPEGEYVRQWLPELARMPIEWIHHPWDAPLTVLKAAGVELGLNYPKPIIDIDKAREHLREAIFKMWEMEAAAKSATSDQMNEDVFDNSDSIKTSAILKVIPKEKSSCLTYSSNDQRVPSFQNCNNGLLPRKRPLPVDEEKLHMDKPNKQNKEAGTSGREDLCSTAESSASKKQKSTSSTSTSIISFTVPQYCSSSDGRPFQDHESSNLNLTWHEKI >KJB28462 pep chromosome:Graimondii2_0_v6:5:4935813:4938202:-1 gene:B456_005G050000 transcript:KJB28462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGKTIVWFRRDLRIEDNPALAAAARDGSVFPVYIWCPEEEGQFYPGRVSRWWLKQSLAHLEQSLKSLGAELVMIKTQSTLSALLDCIKATEATKVVVNRLYDPVSLVRDHSIEEKLAEVGISVQSYNGDLLYEPWEIYDDEGRAFSTFDAYWDKCLNMQMEPVSLLPPWRLMPAAVTGTVERCTIEGLGLENELEKSSNALLGRGCSPGWSNADKALTEFVEQNLFDYSKSRFKVGASSTSLLSPYLHFGEISVRKVFRCVRMKQILWRREWKSQGEESVNLFLKAIGLREYSRYLCFNYPFTHERSLLRNMKYFPWNADADHFKVWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLLLPWKWGMKYFWDTLLDADLECDILGWQYISGSLPDGQELEQLDCPQIQGSKFDPEGEYVRQWLPELARMPIEWIHHPWDAPLTVLKAAGVELGLNYPKPIIDIDKAREHLREAIFKMWEMEAAAKSATSDQMNEDVFDNSDSIKTSAILKVIPKEKSSCLTYSSNDQRVPSFQNCNNGLLPRKRPLPVDEEKLHMDKPNKQNKEAGTSGREDLCSTAESSASKKQKSTSSTSTSIISFTVPQYCSSSDGRPFQDHESSNLNLTWHEKI >KJB28460 pep chromosome:Graimondii2_0_v6:5:4935813:4938202:-1 gene:B456_005G050000 transcript:KJB28460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGKTIVWFRRDLRIEDNPALAAAARDGSVFPVYIWCPEEEGQFYPGRVSRWWLKQSLAHLEQSLKSLGAELVMIKTQSTLSALLDCIKATEATKVVVNRLYDPVSLVRDHSIEEKLAEVGISVQSYNGDLLYEPWEIYDDEGRAFSTFDAYWDKCLNMQMEPVSLLPPWRLMPAAVTGTVERCTIEGLGLENELEKSSNALLGRGCSPGWSNADKALTEFVEQNLFDYSKSRFKVGASSTSLLSPYLHFGEISVRKVFRCVRMKQILWRREWKSQGEESVNLFLKAIGLREYSRYLCFNYPFTHERSLLRNMKYFPWNADADHFKVWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLLLPWKWGMKYFWDTLLDADLECDILGWQYISGSLPDGQELEQLDCPQIQGSKFDPEGEYVRQWLPELARMPIEWIHHPWDAPLTVLKAAGVELGLNYPKPIIDIDKAREHLREAIFKMWEMEAAAKSATSDQMNEDVFDNSDSIKTSAILKVIPKEKSSCLTYSSNDQRVPSFQNCNNGLLPRKRPLPVDEEKLHMDKPNKQNKEAGTSGREDLCSTAESSASKKQKSTSSTSTSIISFTVPQYCSSSDGRPFQDHESSNLNLTWHEKI >KJB28464 pep chromosome:Graimondii2_0_v6:5:4935813:4938202:-1 gene:B456_005G050000 transcript:KJB28464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGKTIVWFRRDLRIEDNPALAAAARDGSVFPVYIWCPEEEGQFYPGRVSRWWLKQSLAHLEQSLKSLGAELVMIKTQSTLSALLDCIKATEATKVVVNRLYDPVSLVRDHSIEEKLAEVGISVQSYNGDLLYEPWEIYDDEGRAFSTFDAYWDKCLNMQMEPVSLLPPWRLMPAAVTGTVERCTIEGLGLENELEKSSNALLGRGCSPGWSNADKALTEFVEQNLFDYSKSRFKVGASSTSLLSPYLHFGEISVRKVFRCVRMKQILWRREWKSQGEESVNLFLKAIGLREYSRYLCFNYPFTHERSLLRNMKYFPWNADADHFKVWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLLLPWKWGMKYFWDTLLDADLECDILGWQYISGSLPDGQELEQLDCPQIQGSKFDPEGEYVRQWLPELARMPIEWIHHPWDAPLTVLKAAGVELGLNYPKPIIDIDKAREHLREAIFKMWEMEAAAKSATSDQMNEDVFDNSDSIKTSAILKVIPKEKSSCLTYSSNDQRVPSFQNCNNGLLPRKRPLPVDEEKLHMDKPNKQNKEAGTSGREDLCSTAESSASKKQKSTSSTSTSIISFTVPQYCSSSDGRPFQDHESSNLNLTWHEKI >KJB30438 pep chromosome:Graimondii2_0_v6:5:38997677:39000915:-1 gene:B456_005G143400 transcript:KJB30438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISIEEEYYINLYVGGKFVCDPHVSYLGGEMVRLKEDPDTISYFEFRALQGNLRVVWNNSSTINMLNYWVKHKEIDLYVEHEIDTAIFANDDLMLTVATVEGVEGVEGLNGEGVEVAGSESGESLGGEGVEVVGSQGGEGGEVEGVDGLDASIERLKESDEGLNSSVEEDGEEGVEDESESDLENKNVFLMKVVYSSDGDDDEEFQEARKKQFKSTIRKYSMCCRRELQIIKNKPNRCKWEYLQVTVTCPDTCNFRNKMVNVKVITNHFEATIMDHLKMKLREIQRMVASEMHVNVNMTRCRRAKKVEFTILWDYADKLGLKNLKSTIKMAVNRVMSESLPHFNRFYVCFEALKRGWKEGCKPILGLDGFFLKGPFKGKMLSAVGRDENNQMYQVVWGIAFQGLYLVSDIVDNKLYRAYNSSIVESRFKSIITMLEEIRVKVMTRIVDKRKQYPVDYLHRYYQNETYMKACAYALQPINGSHEWRKFGIEPMLPPVEKTMHVQELEAKKGWYDRNLSAHKNPLQQRR >KJB28598 pep chromosome:Graimondii2_0_v6:5:5839105:5841647:-1 gene:B456_005G057600 transcript:KJB28598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEASSANQRLHFGKMGYGCQHYRRRCKIRAPCCNEVFSCRHCHNEANLLSNPFDRHELVRKDVKQVICSVCDTEQPVAQLCSNCGVNMGEYFCKICNFFDDDTEKGQFHCDDCGICRVGGRENFFHCKKCGSCYSVALRGNHTCVENSMQHHCPICYEYLFDSLKDTTVMKCGHTMHYECYHEMLKRDKYCCPICSKSVIDMSRAWKRMDEEIEATLMPEDYRYKKVWILCNDCDDTTEVYFHIIGQKCSHCRSYNTRTIAPPELPQ >KJB28597 pep chromosome:Graimondii2_0_v6:5:5839105:5841647:-1 gene:B456_005G057600 transcript:KJB28597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEASSANQRLHFGKMGYGCQHYRRRCKIRAPCCNEVFSCRHCHNEAVNLLSNPFDRHELVRKDVKQPVAQLCSNCGVNMGEYFCKICNFFDDDTEKGQFHCDDCGICRVGGRENFFHCKKCGSCYSVALRGNHTCVENSMQHHCPICYEYLFDSLKDTTVMKCGHTMHYECYHEMLKRDKYCCPICSKSVIDMSRAWKRMDEEIEATLMPEDYRYKKVWILCNDCDDTTEVYFHIIGQKCSHCRSYNTRTIAPPELPQ >KJB28596 pep chromosome:Graimondii2_0_v6:5:5839067:5841703:-1 gene:B456_005G057600 transcript:KJB28596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEASSANQRLHFGKMGYGCQHYRRRCKIRAPCCNEVFSCRHCHNEAVNLLSNPFDRHELVRKDVKQVICSVCDTEQPVAQLCSNCGVNMGEYFCKICNFFDDDTEKGQFHCDDCGICRVGGRENFFHCKKCGSCYSVALRGNHTCVENSMQHHCPICYEYLFDSLKDTTVMKCGHTMHYECYHEMLKRDKYCCPICSKSVIDMSRAWKRMDEEIEATLMPEDYRYKKVWILCNDCDDTTEVYFHIIGQKCSHCRSYNTRTIAPPELPQ >KJB32004 pep chromosome:Graimondii2_0_v6:5:60164399:60169598:1 gene:B456_005G218300 transcript:KJB32004 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDTS5 [Source:Projected from Arabidopsis thaliana (AT2G13810) UniProtKB/TrEMBL;Acc:A0A178VQ02] MNKLSACSSMACGISLQPKMVLASLRVRKQGNGRCTNVPRNVNLEKLQNNYLFPEISKRELQHLEKYPNAKVISLGIGDTTEPIPEQISLSMANYARALSTADGYRGYGAEQGNQALRKAIAETFYKDVAIKDTEVFVSDGSQCDISRLQLLLGSKVTIAVQDPSFPGYIDSSVIIGQAGDFQDKTGKYQNIEYMQLGPQNNFFPDLTVTPRTDIIFFCSPNNPTGHAATRKQLQQLVEFARDNGSIIIFDSAYAAYISDDSPKSIFEIPGAKEVAIEISSFSKFAGFTGVRLGWTVVPEELLFSGGFPVIHDFNRIVCTCFNGASNIAQAGGLACLSPEGFQAICAVIDYYKENAKILVDTFASLGLEVYGGVNAPYVWVHFPGSKSWNIFAEILEKTHIITVPGSGFGPGGGEYIRISAFGKRKHIIEASWRLEKLFSKGKPLFNCLK >KJB32603 pep chromosome:Graimondii2_0_v6:5:62824480:62827139:1 gene:B456_005G248500 transcript:KJB32603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGASTAAYLARRAAQKERVRILYRRALKDTLNWAVHRHLFYQDASDLREKFEANKHVEDLDTIDKMIDAGEATYNKWRHPDPYIVPWAPGGSKFTRNPVPPSGIEILYDYGREEND >KJB32602 pep chromosome:Graimondii2_0_v6:5:62824465:62828098:1 gene:B456_005G248500 transcript:KJB32602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGASTAAYLARRAAQKERVRILYRRALKDTLNWAVHRHLFYQDASDLREKFEANKHVEDLDTIDKMIDAGEATYNKWRHPDPYIVPWAPGGSKFTRNPVPPSGIEILYDYGREEND >KJB32601 pep chromosome:Graimondii2_0_v6:5:62824480:62827690:1 gene:B456_005G248500 transcript:KJB32601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGASTAAYLARRAAQKERVRILYRRALKDTLNWAVHRHLFYQDASDLREKFEANKHVEDLDTIDKMIDAGEATYNKWRHPDPYIVPWAPGGSKFTRNPVPPSGIEILYDYGREEND >KJB29460 pep chromosome:Graimondii2_0_v6:5:16813220:16814695:-1 gene:B456_005G101900 transcript:KJB29460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKTDSEVTSLAPSSPTRSPRRPVYYVQSPSRDSHDGEKTTTSFHSTPVISPMGSPPHSHSSMGRHSRESSSSRFSGSLKPGSRKISPNDAPSTGAHRKGNKQWKECDVIEEEGLLEDEEREQGLPRRCYVLAFVLGFFLLFSLFSLILWGASRPQKPKITMKSIRFEQFKIQAGSDFTGVATDMITMNSTVKMIYRNTGTFFGVHVTSTPLDLSYAQITIASGTVSPLQKSKTNNSFHFIKKTSKFCLTFGVSDEEISSVKKEPKVFDCNSDGKQGSFVRKWSKFEQFNRDNITSSFT >KJB29459 pep chromosome:Graimondii2_0_v6:5:16813109:16814850:-1 gene:B456_005G101900 transcript:KJB29459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKTDSEVTSLAPSSPTRSPRRPVYYVQSPSRDSHDGEKTTTSFHSTPVISPMGSPPHSHSSMGRHSRESSSSRFSGSLKPGSRKISPNDAPSTGAHRKGNKQWKECDVIEEEGLLEDEEREQGLPRRCYVLAFVLGFFLLFSLFSLILWGASRPQKPKITMKSIRFEQFKIQAGSDFTGVATDMITMNSTVKMIYRNTGTFFGVHVTSTPLDLSYAQITIASGTMKKFHQSRKSQRSLTVIVMGNKVPLYGSGASLSSSTGTTSLPVSLKLSFVVRSRAYVLGKLVKPKFYKKIECDVTFDPKKLNVPISLKKACTYD >KJB28227 pep chromosome:Graimondii2_0_v6:5:3370861:3375903:-1 gene:B456_005G035500 transcript:KJB28227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSSLKSYHGKETVVSSGRSSTDGDTNNICVQTGEEFSTEFLRHTGALRRIAVTMDADHSQPRQAGFSNNQNCWQVYKDLKGSPESRRKESEYGSNVPNFVPGLGCIAEVDSYHDHFSRYNWQIGGFDGQKFVQHSDASYIDQLTPRLTVSPVCVVESPQSGRSNSAGVTEGTFASKMKFLCSFGGRILPRPNDGKLRYVGGETRIISIKKNLTWEELARKTTAICNQPHTIKYQLPGEDLDALISVCSDEDLHHMIEEYHELERNGGYQRLRLFLVPLKEHERHNSLEGRSTPRQHDIDYQYVFAVNGMLDTSGQHLASQTNQFGNASDYSHSFHIDSPTSAHAFDHKDYTPSSPNTARMFSHPTAKFLPNLHIPSKSFNHSPPLSPVQGNQGNPTKSNLQFKVDTSCFDHSNEGINRFVMGTHPGGNSYYMDATGYHSNHPHVPLPLVNHQNHNQHLLESILSNKSREKHFHNRSPSGEFSHQRLHHQNMTGPDQTNLMERALSDSQLQGHDERYNSLLKGVLPQAQCNSPREKSLSLALYNDSSNLEMYTRRNFEVASHNSSITDKNVPSATPLTEDLASSADSLFSLPVIVAPNSADMMDLLPGYQSNTRKPELHITNQSTTRDGQCAMTELVSGQPVAHGSSKLQPVTPHNLVDKEPSISVSNLTTDSASSRQAFLHRAEPANCPNHKSAEVTDTLYLYERSNFEDMTSVQSQPSVNPYDCKVIESAVIIEDVTSNTPADIPSSSTVVPHIQHVTSGETQTARGSKEEKKSTRKDKKSGRKTADGSIIDAKKVEIEAGIHGLQIIKNADIEELQELGSGTFGTVYYAKWRGTEVAVKRIKEGCFSGRPSEQERLTNEFWREAQIVSKLHHPNVVSFYGVVTDGPGGTMATVTEYMVNGSLRNALLKKDRALDRRRKVVLALDAAFGMEYLHSKNIVHFDLKCDNLLINLKDSQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSTRVSEKVDVFSFGIALWEILTGEEPYASMHCGAIIGGIVNNTLRPPIPERCDPEWRKLMEECWLFEPASRPSFTEITNRLRQMSAALQPKRRTPIR >KJB31358 pep chromosome:Graimondii2_0_v6:5:54688741:54689874:1 gene:B456_005G187500 transcript:KJB31358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 1 member 1 [Source:Projected from Arabidopsis thaliana (AT1G10460) UniProtKB/Swiss-Prot;Acc:P92998] MKMCRLFLQLFLGLILLPGLAKPDPDPLQDYCIADTKSPLYLNGAPCLNPTLALSSHFTTSALAKPGDTKANQFGFSVTLTTLANLPGINTMGLTMARVDIAANGLVPPHSHPRASEVTICLQGVILVGFVDTSNRLFTQKLEPGDAFVFPRGLIHFLYNMEPKKPALAISGLSSQNPGAQIASRAAFVSNPPIPEVVLEKAFQISPEDVAKIRKNLGG >KJB31645 pep chromosome:Graimondii2_0_v6:5:57474291:57475792:-1 gene:B456_005G199900 transcript:KJB31645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAKKWGFQGKQGLEAASTTTVRGYINLLMGNINQDNCLTVVPLGHGDPSHFPSFRTTPAAEDAIVDALRSAKHNCYSTTVGTLPARRALADYLNRDLPYNLSPDDVYLTIGCTQGIEVVISILSRPGANILLPRPGFPLYEAWCAYNHLEMRHYDLLPEKGWEVDLDAVETLADENTVAMVIINPGNPCGNVFGYEHLKKIAETARKLGILVIADEAYDNIAFGSTPYVPMRVFGLTVPILTLGSISKRWVVPGWRFGWLVTSDPDGILQKSGVINSIAGFLNISSDPATFIQVC >KJB31642 pep chromosome:Graimondii2_0_v6:5:57473099:57481200:-1 gene:B456_005G199900 transcript:KJB31642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHYDLLPEKGLEVDVDAVETLADENTAAMVIINPGNPCWNVCSYEHLNKVAETARNLGILVIADEAYDNLTFGSTPYVPMRMFGLTLPILTMGSISKRWVVPGWRLWWLVTSDPNGLLQKSGVIDSITGFLNISSDPATFIQAASTTTVRGYINLLMGNINQDNCLTVVPLGHGDPSHFPSFRTTPAAEDAIVDALRSAKHNCYSTTVGTLPARRALADYLNRDLPYNLSPDDVYLTIGCTQGIEVVISILSRPGANILLPRPGFPLYEAWCAYNHLEMRHYDLLPEKGWEVDLDAVETLADENTVAMVIINPGNPCGNVFGYEHLKKIAETARKLGILVIADEAYDNIAFGSTPYVPMRVFGLTVPILTLGSISKRWVVPGWRFGWLVTSDPDGILQKSGVINSIAGFLNISSDPATFIQAAIPQILENTKEDFFSKIISTLRECADICYNRIEEIPSLTCPKKPEGSMFVMVKLNLSMLEDINDDVDFCLKLAKEESVIILPGIAMELKNWLRVTFAIEPSLLQEGLARVKAFCQRHAKKQ >KJB31641 pep chromosome:Graimondii2_0_v6:5:57473099:57475792:-1 gene:B456_005G199900 transcript:KJB31641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAKKWGFQGKQGLEAASTTTVRGYINLLMGNINQDNCLTVVPLGHGDPSHFPSFRTTPAAEDAIVDALRSAKHNCYSTTVGTLPARRALADYLNRDLPYNLSPDDVYLTIGCTQGIEVVISILSRPGANILLPRPGFPLYEAWCAYNHLEMRHYDLLPEKGWEVDLDAVETLADENTVAMVIINPGNPCGNVFGYEHLKKIAETARKLGILVIADEAYDNIAFGSTPYVPMRVFGLTVPILTLGSISKRWVVPGWRFGWLVTSDPDGILQKSGVINSIAGFLNISSDPATFIQAAIPQILENTKEDFFSKIISTLRECADICYNRIEEIPSLTCPKKPEGSMFVMVKLNLSMLEDINDDVDFCLKLAKEESVIILPGIAMELKNWLRVTFAIEPSLLQEGLARVKAFCQRHAKKQ >KJB31644 pep chromosome:Graimondii2_0_v6:5:57473736:57475767:-1 gene:B456_005G199900 transcript:KJB31644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAKKWGFQGKQGLEAASTTTVRGYINLLMGNINQDNCLTVVPLGHGDPSHFPSFRTTPAAEDAIVDALRSAKHNCYSTTVGTLPARRALADYLNRDLPYNLSPDDVYLTIGCTQGIEVVISILSRPGANILLPRPGFPLYEAWCAYNHLEMRHYDLLPEKGWEVDLDAVETLADENTVAMVIINPGNPCGNVFGYEHLKKIAETARKLGILVIADEAYDNIAFGSTPYVPMRVFGLTVPILTLGSISKRWVVPGWRFGWLVTSDPDGILQKSGVINSIAGFLNISSDPATFIQAAIPQILENTKEDFFSKIISTLRECADICYNRIEEIPSLTCPKKPEGSMFVMVKLNLSMLEDINDDVDFCLKLAKEESVIILPGKIEVSVSFFTNGIKLNVLISYGGKKENQK >KJB31643 pep chromosome:Graimondii2_0_v6:5:57473099:57481456:-1 gene:B456_005G199900 transcript:KJB31643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGAKRWGFQGKQGLDAASATNVRGYFNLLMGNINQDNSSVVVPLGHGDPSHFPSFRTTPAAEDAIVDALRSAKHNCYATTVDYLNRDLSYKLTPDDVYLTIGCNQAIEVVTAVLSRPGANIFLPRPGYPHYEPWCAYNHLEMRHYDLLPEKGLEVDVDAVETLADENTAAMVIINPGNPCWNVCSYEHLNKIAETARKLGILVIADEAYDNIAFGSTPYVPMRVFGLTVPILTLGSISKRWVVPGWRFGWLVTSDPDGILQKSGVINSIAGFLNISSDPATFIQAAIPQILENTKEDFFSKIISTLRECADICYNRIEEIPSLTCPKKPEGSMFVMVKLNLSMLEDINDDVDFCLKLAKEESVIILPGIAMELKNWLRVTFAIEPSLLQEGLARVKAFCQRHAKKQ >KJB27793 pep chromosome:Graimondii2_0_v6:5:680254:682792:-1 gene:B456_005G009800 transcript:KJB27793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLGAGSGVSRLRSSSLKKPPEPLHRAVADCLSSSSFAAVVGGVSSHHQGGPLVLTEASRTLRACTLYKKYVLYMKLLVFKLLFCLFLGFLFHYPVPISCTRSAAMQTRNPGLALHCDVFTNTTKIEIVGVKYEVLDIHHENQILRIAREDFIKNGSCHPQIPIQDSILNSEPFVLGSGNTNLTLSYDCQSSSSFGIFPCNSSNYNNVSITTDNIRPDGCSANVRVPILQSSWERLRNDSLDLEEALETGFEVQWKEDTEACRKCNASGGACGFDKSNNQTFCYCPSGFESSPDSNECHRALLPPSPTNTGSNNTRGGSKSKLKLTPIIIGLEPSNTGGVVLWFRLREWRGG >KJB31250 pep chromosome:Graimondii2_0_v6:5:53463216:53465331:-1 gene:B456_005G182800 transcript:KJB31250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAPGILLKLLNGMNTGVKPTSEHRSSLLQVTDIVPADLDEKNLWPKHGFYIKVSDSSHSIYVSLPSDQDDFVLSNKMQLGQFIYVDRLEPGSPVPVVKGAKPLPGRHPLVGTPEPLMGLRRKGEKSEQKQESKLHRRGSWGKGVNGTDEISSPLVLKPVPLDFDQCTPVKERSNLARTPMMSPMIRRVGKDGNVSGGIRCSFGGGLLAKMMDTKGESPALLRKSCAAPSSASKFPRSKSVIDREPRIPNSPLISAEKKSSTPPPSLKRGRVAAAQQPESQLDNNSAIDNSKSLTMNLPGKLGMLGKEAVQQRETAQKIALQALRDVTATENLVRSLKMFSNLSKSAKPDAPAACFDQFLEFHAQIVQALSEIASVQAATGMSQTTKTEKNDKNGEDEPAILNEIGQNSMEQSKRRTALYKSIAGFPERSEQKRLLRSNSNSKVSSKLPTESCGGENDENKPVSSSLSNTIKLGKQIEAEAGSWFMNFLEKAVENGMKKGEGEGKKVPQSLILKVINWVEVEQCDANRRPVHPKAAQIARKLRIKMKNP >KJB31249 pep chromosome:Graimondii2_0_v6:5:53462986:53465408:-1 gene:B456_005G182800 transcript:KJB31249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAPGILLKLLNGMNTGVKPTSEHRSSLLQVTDIVPADLDEKNLWPKHGFYIKVSDSSHSIYVSLPSDQDDFVLSNKMQLGQFIYVDRLEPGSPVPVVKGAKPLPGRHPLVGTPEPLMGLRRKGEKSEQKQESKLHRRGSWGKGVNGTDEISSPLVLKPVPLDFDQCTPVKERSNLARTPMMSPMIRRVGKDGNVSGGIRCSFGGGLLAKMMDTKGESPALLRKSCAAPSSASKFPRSKSVIDREPRIPNSPLISAPLQEKKSSTPPPSLKRGRVAAAQQPESQLDNNSAIDNSKSLTMNLPGKLGMLGKEAVQQRETAQKIALQALRDVTATENLVRSLKMFSNLSKSAKPDAPAACFDQFLEFHAQIVQALSEIASVQAATGMSQTTKTEKNDKNGEDEPAILNEIGQNSMEQSKRRTALYKSIAGFPERSEQKRLLRSNSNSKVSSKLPTESCGGENDENKPVSSSLSNTIKLGKQIEAEAGSWFMNFLEKAVENGMKKGEGEGKKVPQSLILKVINWVEVEQCDANRRPVHPKAAQIARKLRIKMKNP >KJB28024 pep chromosome:Graimondii2_0_v6:5:1885801:1886872:1 gene:B456_005G022400 transcript:KJB28024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKAFMSEELKNRTTTRQQQPAWNPCGFMTEMSTNELNCGTEQVGNYFFNPNWENSMDQSDPFESALSSMVSSPAASNVGSGENVMMRELIGKLWNICNSKDIITNSTNASSYSTPLNSPPFSTDPGFAERAARFSCFGGLNDPLRDSLKLSGHVNGTQTIKNSTLSRSSSPENAESGVSKEESSVSEQLPGGNNKKRKSIPRGKAKETPSPATVDAKVAAENNDESNAKRSKQNGTVKASNKEDSKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVKSINKTCVTLKTFMSDKTMFVSGDR >KJB28023 pep chromosome:Graimondii2_0_v6:5:1885580:1887849:1 gene:B456_005G022400 transcript:KJB28023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKAFMSEELKNRTTTRQQQPAWNPCGFMTEMSTNELNCGTEQVGNYFFNPNWENSMDQSDPFESALSSMVSSPAASNVGSGENVMMRELIGKLWNICNSKDIITNSTNASSYSTPLNSPPFSTDPGFAERAARFSCFGGLNDPLRDSLKLSGHVNGTQTIKNSTLSRSSSPENAESGVSKEESSVSEQLPGGNNKKRKSIPRGKAKETPSPATVDAKVAAENNDESNAKRSKQNGTVKASNKEDSKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEVSLFA >KJB28021 pep chromosome:Graimondii2_0_v6:5:1885484:1887855:1 gene:B456_005G022400 transcript:KJB28021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKAFMSEELKNRTTTRQQQPAWNPCGFMTEMSTNELNCGTEQVGNYFFNPNWENSMDQSDPFESALSSMVSSPAASNVGSGENVMMRELIGKLWNICNSKDIITNSTNASSYSTPLNSPPFSTDPGFAERAARFSCFGGLNDPLRDSLKLSGHVNGTQTIKNSTLSRSSSPENAESGVSKEESSVSEQLPGGNNKKRKSIPRGKAKETPSPATVDAKVAAENNDESNAKRSKQNGTVKASNKEDSKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRMDVNMETLLSKDMFQSRGLLPHAVYSMEPTFPFGYQPQQGLALNNGIANTTSQQGFQLPQVNGFIDGNPQVGSIWEDDLQSIVQMGFGQNQAQCYQGSIAPGQVKIEL >KJB28022 pep chromosome:Graimondii2_0_v6:5:1885580:1887835:1 gene:B456_005G022400 transcript:KJB28022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKAFMSEELKNRTTTRQQQPAWNPCGFMTEMSTNELNCGTEQVGNYFFNPNWENSMDQSDPFESALSSMVSSPAASNVGSGENVMMRELIGKLWNICNSKDIITNSTNASSYSTPLNSPPFSTDPGFAERAARFSCFGGLNDPLRDSLKLSGHVNGTQTIKNSTLSRSSSPENAESGVSKEESSVSEQLPGGNNKKRKSIPRGKAKETPSPATVDAKVAAENNDESNAKRSKQNGTVKASNKEDSKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRMDVNMETLLSKDMFQSRGLLPHAVYSMEPTFPFGYQPQQGLALNNGIANTTSQQGFQLPQVNGFIDGNPQVGSIWEDDLQSIVQMGFGQNQAQCYQGKSIIQHPNYTRIRVGITQSIDPFFVWCRFNSSRPSEN >KJB32817 pep chromosome:Graimondii2_0_v6:5:63703319:63707053:1 gene:B456_005G263200 transcript:KJB32817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFSSSDEDYYYSSDRDSLDGFENDESDSQWVTSKSPTTKVITKESLLAAQREDLRRVMDMLSIREHHARTLLIHYRWDVEKLLAVLVENGKSYLFASAGVSVVEGEHTGTSLLSLSSTSMCEICIEELPVDTMTKMECGHGFCNDCWTEHFVVKINEGQSRRIRCMAHKCNAVCDESVVRNLVSKRHPDLAEKFDRFLLESYIEDNRMVKWCPSTPHCGNAIRVEDDEFCEVECSCGLQFCFSCLSEAHSPCSCMMWELWTKKCRDESETVNWITVHTKPCPQCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSTIAGHSCGRYKEDQAKKTERAKRDLYRYMHYLNRYKAHTDSFKLESKLKETILEKISISEERESILRDFSWVTNGLNRLFRSRRVLSYSYPFAFYMFGEEIFVDEMTNKEREIKQHLFEDQQQQLEANVEKLSKILEEPFDQYSDDKVTEMRMQIINLTVITDTLCKKMYECIENDLLGSLQCNTHNIAPYKSKGIEKASELAVCWNSKPSTTEKCVASDSGTSGKRDRPFGFGSLEDSGCPSQRRPKKETYGGVFFDISMPADVLHRN >KJB32819 pep chromosome:Graimondii2_0_v6:5:63703578:63707010:1 gene:B456_005G263200 transcript:KJB32819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFSSSDEDYYYSSDRDSLDGFENDESDSQWVTSKSPTTKVITKESLLAAQREDLRRVMDMLSIREHHARTLLIHYRWDVEKLLAVLVENGKSYLFASAGVSVVEGEHTGTSLLSLSSTSMCEICIEELPVDTMTKMECGHGFCNDCWTEHFVVKINEGQSRRIRCMAHKCNAVCDESVVRNLVSKRHPDLAEKFDRFLLESYIEDNRMVKWCPSTPHCGNAIRVEDDEFCEVECSCGLQFCFSCLSEAHSPCSCMMWELWTKKCRDESETVNWITVHTKPCPQCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSTIAGHSCGRYKEDQAKKTERAKRDLYRYMHYLNRYKAHTDSFKLESKLKETILEKISISEERESILRDFSWVTNGLNRLFRSRRVLSYSYPFAFYMFGEEIFVDEMTNKEREIKQHLFEDQQQQLEANVEKLSKILEEPFDQYSDDKVTEMRMQIINLTVITDTLCKKMYECIENDLLGSLQCNTHNIAPYKSKGIEKASELAVCWNSKPSTTEKCVASDSGTSGKQTLHFGDQHALSLAHTHIV >KJB32816 pep chromosome:Graimondii2_0_v6:5:63703578:63707010:1 gene:B456_005G263200 transcript:KJB32816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFSSSDEDYYYSSDRDSLDGFENDESDSQWVTSKSPTTKVITKESLLAAQREDLRRVMDMLSIREHHARTLLIHYRWDVEKLLAVLVENGKSYLFASAGVSVVEGEHTGTSLLSLSSTSMCEICIEELPVDTMTKMECGHGFCNDCWTEHFVVKINEGQSRRIRCMAHKCNAVCDESVVRNLVSKRHPDLAEKFDRFLLESYIEDNRMVKWCPSTPHCGNAIRVEDDEFCEVECSCGLQFCFSCLSEAHSPCSCMMWELWTKKCRDESETVNWITVHTKPCPQCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSTIAGHSCGRYKEDQAKKTERAKRDLYRYMHYLNRYKAHTDSFKLESKLKETILEKISISEERESILRDFSWVTNGLNRLFRSRRVLSYSYPFAFYMFGEEIFVDEMTNKEREIKQHLFEDQQQQLEANVEKLSKILEEPFDQYSDDKVTEMRMQIINLTVITDTLCKKMYECIENDLLGSLQCNTHNIAPYKSKGIEKASELAVCWNSKPSTTEKCVASDSGTSGKQTLHFGDQHALSLAHTHIV >KJB32818 pep chromosome:Graimondii2_0_v6:5:63703621:63706297:1 gene:B456_005G263200 transcript:KJB32818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFSSSDEDYYYSSDRDSLDGFENDESDSQWVTSKSPTTKVITKESLLAAQREDLRRVMDMLSIREHHARTLLIHYRWDVEKLLAVLVENGKSYLFASAGVSVVEGEHTGTSLLSLSSTSMCEICIEELPVDTMTKMECGHGFCNDCWTEHFVVKINEGQSRRIRCMAHKCNAVCDESVVRNLVSKRHPDLAEKFDRFLLESYIEDNRMVKWCPSTPHCGNAIRVEDDEFCEVECSCGLQFCFSCLSEAHSPCSCMMWELWTKKCRDESETVNWITVHTKPCPQCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSTIAGHSCGRYKEDQAKKTERAKRDLYRYMHYLNRYKAHTDSFKLESKLKETILEKISISEERESILRDFSWVTNGLNRLFRSRRVLSYSYPFAFYMFGEEIFVDEMTNKEREIKQHLFEDQQQQLEANVEKLSKILEEPFDQYSDDKVTEMRMQIINLTVITDTLCKKM >KJB28914 pep chromosome:Graimondii2_0_v6:5:8542498:8547307:-1 gene:B456_005G075500 transcript:KJB28914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSRRSTVDNAPVGGFPHVNGHFARGSGLVFQTRQLPAKISTNSAPPAEDSADNAGMESREPFSFPEISTVPYCMNPAGIDDGIPRLSRVLSNKSRSTKSKQAAVAKVTEVGSRLGRAGTAGFGKAVDVLDTLGSSMTNLNLGSGFTSGVTTKGNKISILSFEVANTIVKGANLMQSLSMENIRHLNEMVLKSEGVQNLISRDMDELLRIAAADKREELKIFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELTFEKQLKEEAETIMQQLMTYVHYTAELYHELHALDRFEQDYRRKLQEEDNSNTAQRGDSLAILRAELKSQNKHVKNLKKKSLWSRILEEVMEKLVDIVHFLHLEIHEAFGAADGDKPVKGSVSSHKKLGTAGLALHYANIITQIDTLVSRSNSVPPNTRDSLYQGLPPTIKSALRSRLQSFQLKEELTVPQIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTG >KJB28916 pep chromosome:Graimondii2_0_v6:5:8541627:8548103:-1 gene:B456_005G075500 transcript:KJB28916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSRRSTVDNAPVGGFPHVNGHFARGSGLVFQTRQLPAKISTNSAPPAEDSADNAGMESREPFSFPEISTVPYCMNPAGIDDGIPRLSRVLSNKSRSTKSKQAAVAKVTEVGSRLGRAGTAGFGKAVDVLDTLGSSMTNLNLGSGFTSGVTTKGNKISILSFEVANTIVKGANLMQSLSMENIRHLNEMVLKSEGVQNLISRDMDELLRIAAADKREELKIFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELTFEKQLKEEAETIMQQLMTYVHYTAELYHELHALDRFEQDYRRKLQEEDNSNTAQRGDSLAILRAELKSQNKHVKNLKKKSLWSRILEEVMEKLVDIVHFLHLEIHEAFGAADGDKPVKGSVSSHKKLGTAGLALHYANIITQIDTLVSRSNSVPPNTRDSLYQGLPPTIKSALRSRLQSFQLKEELTVPQIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEMNRKPAGQTNLLRIETLHHADKEKTEVYILDLVVWLHHLVTQVRAGNGGIRSPVKSPIRSPNQKTVQLSTQKQSPSSMLTVEDQEMLRDVSKRKKAPGISKSQEFDTAKTKLIKHHRLSKSTSHSPTSESKKDPFLIRRLSSVPFIDFDINRIKALDVIDRVDTLRSL >KJB28913 pep chromosome:Graimondii2_0_v6:5:8541627:8547980:-1 gene:B456_005G075500 transcript:KJB28913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSRRSTVDNAPVGGFPHVNGHFARGSGLVFQTRQLPAKISTNSAPPAEDSADNAGMESREPFSFPEISTVPYCMNPAGIDDGIPRLSRVLSNKSRSTKSKQAAVAKVTEVGSRLGRAGTAGFGKAVDVLDTLGSSMTNLNLGSGFTSGVTTKGNKISILSFEVANTIVKGANLMQSLSMENIRHLNEMVLKSEGVQNLISRDMDELLRIAAADKREELKIFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELTFEKQLKEEAETIMQQLMTYVHYTAELYHELHALDRFEQDYRRKLQEEDNSNTAQRGDSLAILRAELKSQNKHVKNLKKKSLWSRILEEVMEKLVDIVHFLHLEIHEAFGAADGDKPVKGSVSSHKKLGTAGLALHYANIITQIDTLVSRSNSVPPNTRDSLYQGLPPTIKSALRSRLQSFQLKEELTVPQIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEMNRKPAGQTNLLRIETLHHADKEKTEVYILDLVVWLHHLVTQVRAGNGGIRSPVKSPIRSPNQKTVQLSTQKQSPSSMLTVEDQEMLRDVSKRKKAPGISKSQEFDTAKTKLIKHHRLSKSTSHSPTSESKKDPFLIRRLSSVPFIDFDINRIKALDVIDRVDTLRSL >KJB28915 pep chromosome:Graimondii2_0_v6:5:8541627:8547980:-1 gene:B456_005G075500 transcript:KJB28915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSRRSTVDNAPVGGFPHVNGHFARGSGLVFQTRQLPAKISTNSAPPAEDSADNAGMESREPFSFPEISTVPYCMNPAGIDDGIPRLSRVLSNKSRSTKSKQAAVAKVTEVGSRLGRAGTAGFGKAVDVLDTLGSSMTNLNLGSGFTSGVTTKGNKISILSFEVANTIVKGANLMQSLSMENIRHLNEMVLKSEGVQNLISRDMDELLRIAAADKREELKIFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELTFEKQLKEEAETIMQQLMTYVHYTAELYHELHALDRFEQDYRRKLQEEDNSNTAQRGDSLAILRAELKSQNKHVKNLKKKSLWSRILEEVMEKLVDIVHFLHLEIHEAFGAADGDKPVKGSVSSHKKLGTAGLALHYANIITQIDTLVSRSNSVPPNTRDSLYQGLPPTIKSALRSRLQSFQLKEEV >KJB29446 pep chromosome:Graimondii2_0_v6:5:16029805:16031647:-1 gene:B456_005G101000 transcript:KJB29446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASIMDGPKRRCGAVSGLSTVKNPVSLARLVMDKSPHSYLAFSGAEDFAKKQGVELVDNEYFITEDNVGMLKLAKEANSILFDYRIPTIGTCGAGAAAMESPLQMNGLPISVYAPETVGCVVVDKEGRCAAATSTGGLMNKMTGRIGDSPLIGSGTYACDLCGVSCTGEGEAIIRSTLAREVGAVMEYKGLNLHEAVDFVIKNRLDEGKAGLIAVSKNGEVACGFNTTGMFRGCATEDGFMEVGVW >KJB29444 pep chromosome:Graimondii2_0_v6:5:16029728:16031647:-1 gene:B456_005G101000 transcript:KJB29444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAWAIAVHGGAGVDPNLPKERQEEAKRLLTHCLDIGISALRSNLPAIDVVELVVRELETDPLFNSGRGSALTDNGTVEMEASIMDGPKRRCGAVSGLSTVKNPVSLARLVMDKSPHSYLAFSGAEDFAKKQGVELVDNEYFITEDNVGMLKLAKEANSILFDYRIPTIGTCGAGAAAMESPLQMNGLPISVYAPETVGCVVVDKEGRCAAATSTGGLMNKMTGRIGDSPLIGSGTYACDLCGVSCTGEGEAIIRSTLAREVGAVMEYKGLNLHEAVDFVIKNRLDEGKAGLIAVSKNGEVACGFNTTGMFRGCATEDGFMEVGVW >KJB29445 pep chromosome:Graimondii2_0_v6:5:16029805:16031647:-1 gene:B456_005G101000 transcript:KJB29445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLAKEANSILFDYRIPTIGTCGAGAAAMESPLQMNGLPISVYAPETVGCVVVDKEGRCAAATSTGGLMNKMTGRIGDSPLIGSGTYACDLCGVSCTGEGEAIIRSTLAREVGAVMEYKGLNLHEAVDFVIKNRLDEGKAGLIAVSKNGEVACGFNTTGMFRGCATEDGFMEVGVW >KJB29841 pep chromosome:Graimondii2_0_v6:5:25350831:25351391:1 gene:B456_005G120800 transcript:KJB29841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFHIECNCSIKKSFTAALLEAAVVLGAVLGGRCDEDVERLRKFARYIGLLFQVVDDILDVTKSSKELGKTAGKDLLADKVTYPKLIGIEKSKEFAEKLRSDSLELLQGFDSEKAAPLIALANYIAYRQN >KJB31863 pep chromosome:Graimondii2_0_v6:5:59263770:59266620:-1 gene:B456_005G211600 transcript:KJB31863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDLDRPYGCTAKPSSLLNSIFMSIVNMAGKMLVSTSSSKAENTEKWKLTDHLRFMVMLISWLMLWVLRFFMDLFSCSMISPPDYHLGGSSTVRRFQLSPYSSSATGEALPSSGSSLDLILRNRVDVDGLAVKALVKALTHVLALLNEIPASSRKYQYTMAMADQIMEDNARHGHSALLHVNRLALASSFTRISALLYRCLQVQTSPSGDYGDSWHLRAIKAIPFGSCIASYMKAITMCLNAAFSWFDTATTRFQRRDYNVEPDMMAEKLAQELLWVTNKLRCYGGFNEALVQWSLASGLASLSLNASPRVQGSVVKISAFLFRELTRKDLEIPRQVKFRLLVLWTPLFCHASNGHAYPILTSFQKAEIERAMDEVISNFPAMEQELILTTWLENYAVSVSDWPNLGLAYDRWCQSTREMVHH >KJB27620 pep chromosome:Graimondii2_0_v6:5:131444:135124:-1 gene:B456_005G002600 transcript:KJB27620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRVKHLFLSLYFIFVLLECATADGQSEISKGIRSPPNNVGNNVIDGTGTEKVISFKDDNNLMSNWKGSYSRVSLSTVALLTLAMAAATGLGAVPFFFVELDPQWAGICNGMAAGVMLAASFDLIQEGQEHSAGSWVVIGILAGGVFILLCKKFLEQYGEVSMLDIKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFTQGLLVTLAIAVHNIPEGLAVSTVLASRGVSPQNAMLWSIITSLPQPIVAVPSFMCADTFNKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASPTPVASAATLSVAFMEALSTLFQNFTHDYNSEDASGFFVSLLFGLGPLLGGLVLVSFALAFHLQHALLMGTASGIAFILGAWRPLQLLLSSKMGFLPLMLLLTVGAAFVHVSSSTILLLVGNKRSSSNNLPTVTRFPVSVLTLQSFLACGAVAFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGTTGSWYGSLAAAALISVIGPISAIGAILAGIDYSGLDHVMVLACGGLIPCFVRIVQRAVRLDIRKSSCGLAVGVGFATLCLACTKLVCLHTPYCNSAPEAVR >KJB27621 pep chromosome:Graimondii2_0_v6:5:131444:135559:-1 gene:B456_005G002600 transcript:KJB27621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRVKHLFLSLYFIFVLLECATADGQSEISKGIRSPPNNVGNNVIDGTGTEKVISFKDDNNLMSNWKGSYSRVSLSTVALLTLAMAAATGLGAVPFFFVELDPQWAGICNGMAAGVMLAASFDLIQEGQEHSAGSWVVIGILAGGVFILLCKKFLEQYGEVSMLDIKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFTQGLLVTLAIAVHNIPEGLAVSTVLASRGVSPQNAMLWSIITSLPQPIVAVPSFMCADTFNKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASPTPVASAATLSVAFMEALSTLFQNFTHDYNSEDASGFFVSLLFGLGPLLGGLVLVSFALAFHLQHALLMGTASGIAFILGAWRPLQLLLSSKMGFLPLMLLLTVGAAFVHVSSSTILLLVGNKRSSSNNLPTVTRFPVSVLTLQSFLACGAVAFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGTTGSWYGSLAAAALISVIGPISAIGAILAGIDYSGLDHVMVLACGGLIPCFVRIVQRAVRLDIRKSSCGLAVGVGFATLCLACTKLVCLHTPYCNSAPEAVR >KJB27619 pep chromosome:Graimondii2_0_v6:5:131761:134679:-1 gene:B456_005G002600 transcript:KJB27619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRVKHLFLSLYFIFVLLECATADGQSEISKGIRSPPNNVGNNVIDGTGTEKVISFKDDNNLMSNWKGSYSRVSLSTVALLTLAMAAATGLGAVPFFFVELDPQWAGICNGMAAGVMLAASFDLIQEGQEHSAGSWVVIGILAGGVFILLCKKFLEQYGEVSMLDIKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFTQGLLVTLAIAVHNIPEGLAVSTVLASRGVSPQNAMLWSIITSLPQPIVAVPSFMCADTFNKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASPTPVASAATLSVAFMEALSTLFQNFTHDYNSEDASGFFVSLLFGLGPLLGGLVLVSFALAFHLQHALLMGTASGIAFILGAWRPLQLLLSSKMGFLPLMLLLTVGAAFVHVSSSTILLLVGNKRSSSNNLPTVTRFPVSVLTLQSFLACGAVAFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGTTGSWYGSLAAAALISVIGPISAIGAILAGIDYSGLDHVMVLACGGLIPCFVRIVQRAVRLDIRKSSCGLAVGVGFATLCLACTKLVCLHTPYCNSAPEAVR >KJB31935 pep chromosome:Graimondii2_0_v6:5:61568145:61569848:1 gene:B456_005G233500 transcript:KJB31935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVCNKNLFLSALLCIAVAGVLGQAPSNPPTSTPAPPTPPASTPPPTTQAPPTPTATPPPVSTPPPTSSPPPVTASPPPVSTPPPTSPPPATPPPATPPPATPPAATPPPAPLASPPATVPAISPVQTPLTSPPAPPTEAPAPTLGAATPGPAGTDTSGANQMWTVQKMMGSLAMGWALLNLMV >KJB31934 pep chromosome:Graimondii2_0_v6:5:61568090:61569848:1 gene:B456_005G233500 transcript:KJB31934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVCNKNLFLSALLCIAVAGVLGQAPSNPPTSTPAPPTPPASTPPPTTQAPPTPTATPPPVSTPPPTSSPPPVTASPPPVSTPPPSSPPPATPPPASPPPATPPPASPPPATPPPASPPPATPPPATPPPATPPAATPPPAPLASPPATVPAISPVQTPLTSPPAPPTEAPAPTLGAATPGPAGTDTSGANQMWTVQKMMGSLAMGWALLNLMV >KJB28618 pep chromosome:Graimondii2_0_v6:5:6060767:6061182:-1 gene:B456_005G058500 transcript:KJB28618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVAKLASQKAVVIFSKSSCCMCHAIKRLFYEQGVSPAIYELDEDARGKEMEWALTRLGCKPPVPAVFIGGKFVGSANTILTLQLNGSLKNLLKNAGAIWL >KJB32162 pep chromosome:Graimondii2_0_v6:5:61172254:61173681:1 gene:B456_005G228800 transcript:KJB32162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGGCIFSKKRSTIEASDQDLADPVRDAPVQNQIENSLFQEESKKLKVFIVFYSMYGHVECLAKRMKKGVDSIDGVEGFLYRVPETLPMDVLEQMRVPQKEDELPFVSVDDLVEADGLLFGFPTRFGSMASQMKAFFDSTAHLWEQQRLAGVPAGFFVSTGTQGGGQETTAWTAITQLAHHGMVYVPIGYTFGAGMFKMDSIRGGSPYGAGVYSGDGTREPSETELALAEHQGRYMAGIVRRFATPRNN >KJB32161 pep chromosome:Graimondii2_0_v6:5:61172243:61173681:1 gene:B456_005G228800 transcript:KJB32161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGGCIFSKKRSTIEASDQDLADPVRDAPVQNQIENSLFQEESKKLKVFIVFYSMYGHVECLAKRMKKGVDSIDGVEGFLYRVPETLPMDVLEQMRVPQKEDELPFVSVDDLVEADGLLFGFPTRFGSMASQMKAFFDSTAHLWEQQRLAGVPAGFFVSTGTQGGGQETTAWTAITQLAHHGMVYVPIGYTFGAGMFKMDSIRGGSPYGAGVYSGDGTREPSETELALAEHQGRYMAGIVRRFATPRNN >KJB32163 pep chromosome:Graimondii2_0_v6:5:61171335:61173681:1 gene:B456_005G228800 transcript:KJB32163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGGCIFSKKRSTIEASDQDLADPVRDAPVQNQIENSLFQEESKKLKVFIVFYSMYGHVECLAKRMKKGVDSIDGVEGFLYRVPETLPMDVLEQMRVPQKEDELPFVSVDDLVEADGLLFGFPTRFGSMASQMKAFFDSTAHLWEQQRLAGVPAGFFVSTGTQGGGQETTAWTAITQLAHHGMVYVPIGYTFGAGMFKMDSIRGGSPYGAGVYSGDGTREPSETELALAEHQGRYMAGIVRRFATPRNN >KJB32086 pep chromosome:Graimondii2_0_v6:5:60526171:60529359:1 gene:B456_005G222500 transcript:KJB32086 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-carboxy-1,4-naphthoquinone phytyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G60600) UniProtKB/Swiss-Prot;Acc:Q0WUA3] MLKPLTTTLSSSCLGSGVRIHHVYFTKHGDLIRSYEGSRFTKTSKGSLHFNSDEQNFSRIGRLKTRCQHQQHVFPNNIAHISADGTHQDQNDVSKATLIWRAIKLPIYSVALVPLTVGGAVAYLQTGLFSARRYMTLLASSVLIITWLNLSNDVYDFDTGADKNKKESVVNLVGSRSGPLIAAYSSLALGFIGLTWASADARNMRSLLLLGCAIFCGYVYQCPPFRLSYQGLGEPLCFAAFGPFATTAFYLLLGSTREIIFLPLTHTVLSASVLVGLTTTLILFCSHFHQIEEDIAVGKMSPLVKMGTERGSMVVKGAVLTLYSLLFSLGLCKALPLTCIVLCALTLPIGKLVVNFVEENHKDKGMIFMAKYYCVRLHALFGAAMTAGLVSARILVK >KJB32087 pep chromosome:Graimondii2_0_v6:5:60526227:60529308:1 gene:B456_005G222500 transcript:KJB32087 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-carboxy-1,4-naphthoquinone phytyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G60600) UniProtKB/Swiss-Prot;Acc:Q0WUA3] MLKPLTTTLSSSCLGSGVRIHHVYFTKHGDLIRSYEGSRFTKTSKGSLHFNSDEQNFSRIGRLKTRCQHQQHVFPNNIAHISADGTHQDQNDVSKATLIWRAIKLPIYSVALVPLTVGGAVAYLQTGLFSARRYMTLLASSVLIITWLNLSNDVYDFDTGADKNKKESVVNLVGRAPYCCLFVTCPWLHWVDMGICRCKKYAFIVATWLCNLLWLRISGLGEPLCFAAFGPFATTAFYLLLGSTREIIFLPLTHTVLSASVLVGLTTTLILFCSHFHQIEEDIAVGKMSPLVKMGTERGSMVVKGAVLTLYSLLFSLGLCKALPLTCIVLCALTLPIGKLVVNFVEENHKDKGMIFMAKYYCVRLHALFGAAMTAGLVSARILVK >KJB29231 pep chromosome:Graimondii2_0_v6:5:12135026:12137201:-1 gene:B456_005G090300 transcript:KJB29231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRSPFAALFNGLNTMKPYLAMVSLQFGYAGMYIVSMVCLKHGMSNFILATYRHVVATIVIAPFAIVLERKIRPKMTLPVFLRIVALGFLELEKVNVKKIRSLAKIIGTAITVIGAMVMTLYKGPIIDFIKSGGAVHQGTATKSEDKHWVTGTILLLGSICSWAGFFILQSFTLKKYPAELSLTAWICFMGMIEDAIVSLIMVRDLSAWKLGWDSRLLAASYSGIVNSGIAYYVQGVVIRQRGPVFVTSFSPLCMIITAILGAIILAEKIHLGSILGAIIIVTGLYTVVWGKSKDGENSETDVKCNGLQELPITGNAKSITDDDDDINGPTKIVTIPVSNTPFTQGT >KJB29232 pep chromosome:Graimondii2_0_v6:5:12135026:12137201:-1 gene:B456_005G090300 transcript:KJB29232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRSPFAALFNGLNTMKPYLAMVSLQFGYAGMYIVSMVCLKHGMSNFILATYRHVVATIVIAPFAIVLERKIRPKMTLPVFLRIVALGFLEPVLDQNFYYLGMKLTTATFSSAFVNMLPAVTFILAMIFRLEKVNVKKIRSLAKIIGTAITVIGAMVMTLYKGPIIDFIKSGGAVHQGTATKSEDKHWVTGTILLLGSICSWAGFFILQSFTLKKYPAELSLTAWICFMGMIEDAIVSLIMVRDLSAWKLGWDSRLLAASYSGIVNSGIAYYVQGVVIRQRGPVFVTSFSPLWAIILAEKIHLGSILGAIIIVTGLYTVVWGKSKDGENSETDVKCNGLQELPITGNAKSITDDDDDINGPTKIVTIPVSNTPFTQGT >KJB29230 pep chromosome:Graimondii2_0_v6:5:12134697:12137312:-1 gene:B456_005G090300 transcript:KJB29230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRSPFAALFNGLNTMKPYLAMVSLQFGYAGMYIVSMVCLKHGMSNFILATYRHVVATIVIAPFAIVLERKIRPKMTLPVFLRIVALGFLEPVLDQNFYYLGMKLTTATFSSAFVNMLPAVTFILAMIFRLEKVNVKKIRSLAKIIGTAITVIGAMVMTLYKGPIIDFIKSGGAVHQGTATKSEDKHWVTGTILLLGSICSWAGFFILQSFTLKKYPAELSLTAWICFMGMIEDAIVSLIMVRDLSAWKLGWDSRLLAASYSGIVNSGIAYYVQGVVIRQRGPVFVTSFSPLCMIITAILGAIILAEKIHLGSILGAIIIVTGLYTVVWGKSKDGENSETDVKCNGLQELPITGNAKSITDDDDDINGPTKIVTIPVSNTPFTQGT >KJB30314 pep chromosome:Graimondii2_0_v6:5:35497472:35502531:1 gene:B456_005G137100 transcript:KJB30314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRSRKRTSNFSANEEHSDSSDQADNFIQLDDLSDSETYVPSSDSDLGEEEILDLNHKAVKPAPITISDFTEPPTNRGNGEQILSEQNESQFDFNVSTAKKRNNTKRKKKARSGQPLMWEVWEQEHEKWIDENLTVDVDLDQQNAVITETSDASSDLIIPLLRYQKEWLAWALKQEDSNTKGGILADEMGMGKTIQAIALVLAKRQVLHTIGEPNVSSQTAGSSTDLPRIRCTLVICPVVAVSQWVSEIDRFTSRGSTKVLVYHGANRGKNVKQFSEYDFVITTYSIVEAEYRKFMMPPKEKCPYCGKSFHQKKLSVHLKYYCGPDAIKTENQSKQERKKPKSMFKSGKKHASNYEADSRKRGSKKKAKHNREDKDRDFEFDETSAGKEHNLPEGKSLLHSVKWERIILDEAHFVKDRRCNTAKAVLSLESLYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYSSSTQCPNCPHNSVRHFCWWNKYVATPIQHYGNGEIGKRAMILLKHKILKNIVLRRTKKGRAADLALPPRIISLRRDTMDIKETDYYESLYSESQAQFNTYVQAGTVMNNYAHIFDLLTRLRQVSISLFLQWMKHSSTLYSFILFLCGLMKQLGISNNLFFYSVLMMAYKI >KJB30318 pep chromosome:Graimondii2_0_v6:5:35497857:35505536:1 gene:B456_005G137100 transcript:KJB30318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGEEEILDLNHKAVKPAPITISDFTEPPTNRGNGEQILSEQNESQFDFNVSTAKKRNNTKRKKKARSGQPLMWEVWEQEHEKWIDENLTVDVDLDQQNAVITETSDASSDLIIPLLRYQKEWLAWALKQEDSNTKGGILADEMGMGKTIQAIALVLAKRQVLHTIGEPNVSSQTAGSSTDLPRIRCTLVICPVVAVSQWVSEIDRFTSRGSTKVLVYHGANRGKNVKQFSEYDFVITTYSIVEAEYRKFMMPPKEKCPYCGKSFHQKKLSVHLKYYCGPDAIKTENQSKQERKKPKSMFKSGKKHASNYEADSRKRGSKKKAKHNREDKDRDFEFDETSAGKEHNLPEGKSLLHSVKWERIILDEAHFVKDRRCNTAKAVLSLESLYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYSSSTQCPNCPHNSVRHFCWWNKYVATPIQHYGNGEIGKRAMILLKHKILKNIVLRRTKKGRAADLALPPRIISLRRDTMDIKETDYYESLYSESQAQFNTYVQAGTVMNNYAHIFDLLTRLRQAVDHPYLVVYSSTAAQRAGNMVNGDRNDDEQVCGICNDPAEDPVVTACAHVFCKACLIDFSASLGNVSCPSCSRLLTVDLTTNADGGQSSKTTLKGFKSSSILNRIQLNDFQTSTKIEALREEIRFMVERDGSAKGIVFSQFTSFLDIIKYSLHKSSIKCVQLVGSMSLAARDAAIKSFTEDPDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQCKPIRIVRFVIENTIEERILKLQEKKELVFEGTVGGSTEALGKLTEADMRFLFV >KJB30317 pep chromosome:Graimondii2_0_v6:5:35497376:35505611:1 gene:B456_005G137100 transcript:KJB30317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTFPPFGWRVKSTITTPHIPAAQSLISFSLTDHSSSFHRELNVKFTPLTSSLPKCSFDRVKGPPTSLPMLDDLSDSETYVPSSDSDLGEEEILDLNHKAVKPAPITISDFTEPPTNRGNGEQILSEQNESQFDFNVSTAKKRNNTKRKKKARSGQPLMWEVWEQEHEKWIDENLTVDVDLDQQNAVITETSDASSDLIIPLLRYQKEWLAWALKQEDSNTKGGILADEMGMGKTIQAIALVLAKRQVLHTIGEPNVSSQTAGSSTDLPRIRCTLVICPVVAVSQWVSEIDRFTSRGSTKVLVYHGANRGKNVKQFSEYDFVITTYSIVEAEYRKFMMPPKEKCPYCGKSFHQKKLSVHLKYYCGPDAIKTENQSKQERKKPKSMFKSGKKHASNYEADSRKRGSKKKAKHNREDKDRDFEFDETSAGKEHNLPEGKSLLHSVKWERIILDEAHFVKDRRCNTAKAVLSLESLYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYSSSTQCPNCPHNSVRHFCWWNKYVATPIQHYGNGEIGKRAMILLKHKILKNIVLRRTKKGRAADLALPPRIISLRRDTMDIKETDYYESLYSESQAQFNTYVQAGTVMNNYAHIFDLLTRLRQAVDHPYLVVYSSTAAQRAGNMVNGDRNDDEQVCGICNDPAEDPVVTACAHVFCKACLIDFSASLGNVSCPSCSRLLTVDLTTNADGGQSSKTTLKGFKSSSILNRIQLNDFQTSTKIEALREEIRFMVERDGSAKGIVFSQFTSFLDIIKYSLHKSSIKCVQLVGSMSLAARDAAIKSFTEDPDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQCKPIRIVRFVIENTIEERILKLQEKKELVFEGTVGGSTEALGKLTEADMRFLFV >KJB30313 pep chromosome:Graimondii2_0_v6:5:35497472:35499959:1 gene:B456_005G137100 transcript:KJB30313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRSRKRTSNFSANEEHSDSSDQADNFIQLDDLSDSETYVPSSDSDLGEEEILDLNHKAVKPAPITISDFTEPPTNRGNGEQILSEQNESQFDFNVSTAKKRNNTKRKKKARSGQPLMWEVWEQEHEKWIDENLTVDVDLDQQNAVITETSDASSDLIIPLLRYQKEWLAWALKQEDSNTKGGILADEMGMGKTIQAIALVLAKRQVLHTIGEPNVSSQTAGSSTDLPRIRCTLVICPVVAVSQWVSEIDRFTSRGSTKVLVYHGANRGKNVKQFSEYDFVITTYSIVEAEYRKFMMPPKEKCPYCGKSFHQKKLSVHLKYYCGPDAIKTENQSKQERKKPKSMFKSGKKHASNYEADSRKRGSKKKAKHNREDKDRDFEFDETSAGKEHNLPEGKSLLHSVKWERIILDEAHFVKDRRCNTAKAVLSLESLYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYRYGLILNSERILALLDPDLISLQVTTCSQFPFGP >KJB30315 pep chromosome:Graimondii2_0_v6:5:35497472:35505586:1 gene:B456_005G137100 transcript:KJB30315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRSRKRTSNFSANEEHSDSSDQADNFIQLDDLSDSETYVPSSDSDLGEEEILDLNHKAVKPAPITISDFTEPPTNRGNGEQILSEQNESQFDFNVSTAKKRNNTKRKKKARSGQPLMWEVWEQEHEKWIDENLTVDVDLDQQNAVITETSDASSDLIIPLLRYQKEWLAWALKQEDSNTKGGILADEMGMGKTIQAIALVLAKRQVLHTIGEPNVSSQTAGSSTDLPRIRCTLVICPVVAVSQWVSEIDRFTSRGSTKVLVYHGANRGKNVKQFSEYDFVITTYSIVEAEYRKFMMPPKEKCPYCGKSFHQKKLSVHLKYYCGPDAIKTENQSKQERKKPKSMFKSGKKHASNYEADSRKRGSKKKAKHNREDKDRDFEFDETSAGKEHNLPEGKSLLHSVKWERIILDEAHFVKDRRCNTAKAVLSLESLYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYSSSTQCPNCPHNSVRHFCWWNKYVATPIQHYGNGEIGKRAMILLKHKILKNIVLRRTKKGRAADLALPPRIISLRRDTMDIKETDYYESLYSESQAQFNTYVQAGTVMNNYAHIFDLLTRLRQAVDHPYLVVYSSTAAQRAGNMVNGDRNDDEQVCGICNDPAEDPVVTACAHVFCKACLIDFSASLGNVSCPSCSRLLTVDLTTNADGGQSSKTTLKGFKSSSILNRIQLNDFQTSTKIEALREEIRFMVERDGSAKGIVFSQFTSFLDIIKYSLHKSSIKCVQLVGSMSLAARDAAIKSFTEDPDCKIFLMSLKAGGVALNLTVASHDCEVCY >KJB30312 pep chromosome:Graimondii2_0_v6:5:35497667:35505393:1 gene:B456_005G137100 transcript:KJB30312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRSRKRTSNFSANEEHSDSSDQADNFIQLDDLSDSETYVPSSDSDLGEEEILDLNHKAVKPAPITISDFTEPPTNRGNGEQILSEQNESQFDFNVSTAKKRNNTKRKKKARSGQPLMWEVWEQEHEKWIDENLTVDVDLDQQNAVITETSDASSDLIIPLLRYQKEWLAWALKQEDSNTKGGILADEMGMGKTIQAIALVLAKRQVLHTIGEPNVSSQTAGSSTDLPRIRCTLVICPVVAVSQWVSEIDRFTSRGSTKVLVYHGANRGKNVKQFSEYDFVITTYSIVEAEYRKFMMPPKEKCPYCGKSFHQKKLSVHLKYYCGPDAIKTENQSKQERKKPKSMFKSGKKHASNYEADSRKRGSKKKAKHNREDKDRDFEFDETSAGKEHNLPEGKSLLHSVKWERIILDEAHFVKDRRCNTAKAVLSLESLYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYSSSTQCPNCPHNSVRHFCWWNKYVATPIQHYGNGEIGKRAMILLKHKILKNIVLRRTKKGRAADLALPPRIISLRRDTMDIKETDYYESLYSESQAQFNTYVQAGTVMNNYAHIFDLLTRLRQAVDHPYLVVYSSTAAQRAGNMVNGDRNDDEQVCGICNDPAEDPVVTACAHVFCKACLIDFSASLGNVSCPSCSRLLTVDLTTNADGGQSSKTTLKGFKSSSILNRIQLNDFQTSTKIEALREEIRFMVERDGSAKGIVFSQFTSFLDIIKYSLHKSSIKCVQLVGSMSLAARDAAIKSFTEDPDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQCKPIRIVRFVIENTIEERILKLQEKKELVFEGTVGGSTEALGKLTEADMRFLFV >KJB30316 pep chromosome:Graimondii2_0_v6:5:35497472:35505586:1 gene:B456_005G137100 transcript:KJB30316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRSRKRTSNFSANEHSDSSDQADNFIQLDDLSDSETYVPSSDSDLGEEEILDLNHKAVKPAPITISDFTEPPTNRGNGEQILSEQNESQFDFNVSTAKKRNNTKRKKKARSGQPLMWEVWEQEHEKWIDENLTVDVDLDQQNAVITETSDASSDLIIPLLRYQKEWLAWALKQEDSNTKGGILADEMGMGKTIQAIALVLAKRQVLHTIGEPNVSSQTAGSSTDLPRIRCTLVICPVVAVSQWVSEIDRFTSRGSTKVLVYHGANRGKNVKQFSEYDFVITTYSIVEAEYRKFMMPPKEKCPYCGKSFHQKKLSVHLKYYCGPDAIKTENQSKQERKKPKSMFKSGKKHASNYEADSRKRGSKKKAKHNREDKDRDFEFDETSAGKEHNLPEGKSLLHSVKWERIILDEAHFVKDRRCNTAKAVLSLESLYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYSSSTQCPNCPHNSVRHFCWWNKYVATPIQHYGNGEIGKRAMILLKHKILKNIVLRRTKKGRAADLALPPRIISLRRDTMDIKETDYYESLYSESQAQFNTYVQAGTVMNNYAHIFDLLTRLRQAVDHPYLVVYSSTAAQRAGNMVNGDRNDDEQVCGICNDPAEDPVVTACAHVFCKACLIDFSASLGNVSCPSCSRLLTVDLTTNADGGQSSKTTLKGFKSSSILNRIQLNDFQTSTKIEALREEIRFMVERDGSAKGIVFSQFTSFLDIIKYSLHKSSIKCVQLVGSMSLAARDAAIKSFTEDPDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQCKPIRIVRFVIENTIEERILKLQEKKELVFEGTVGGSTEALGKLTEADMRFLFV >KJB30319 pep chromosome:Graimondii2_0_v6:5:35497500:35505536:1 gene:B456_005G137100 transcript:KJB30319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEVWEQEHEKWIDENLTVDVDLDQQNAVITETSDASSDLIIPLLRYQKEWLAWALKQEDSNTKGGILADEMGMGKTIQAIALVLAKRQVLHTIGEPNVSSQTAGSSTDLPRIRCTLVICPVVAVSQWVSEIDRFTSRGSTKVLVYHGANRGKNVKQFSEYDFVITTYSIVEAEYRKFMMPPKEKCPYCGKSFHQKKLSVHLKYYCGPDAIKTENQSKQERKKPKSMFKSGKKHASNYEADSRKRGSKKKAKHNREDKDRDFEFDETSAGKEHNLPEGKSLLHSVKWERIILDEAHFVKDRRCNTAKAVLSLESLYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYSSSTQCPNCPHNSVRHFCWWNKYVATPIQHYGNGEIGKRAMILLKHKILKNIVLRRTKKGRAADLALPPRIISLRRDTMDIKETDYYESLYSESQAQFNTYVQAGTVMNNYAHIFDLLTRLRQAVDHPYLVVYSSTAAQRAGNMVNGDRNDDEQVCGICNDPAEDPVVTACAHVFCKACLIDFSASLGNVSCPSCSRLLTVDLTTNADGGQSSKTTLKGFKSSSILNRIQLNDFQTSTKIEALREEIRFMVERDGSAKGIVFSQFTSFLDIIKYSLHKSSIKCVQLVGSMSLAARDAAIKSFTEDPDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQCKPIRIVRFVIENTIEERILKLQEKKELVFEGTVGGSTEALGKLTEADMRFLFV >KJB31270 pep chromosome:Graimondii2_0_v6:5:53593189:53597468:1 gene:B456_005G183500 transcript:KJB31270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESGAPVSCADLPVKRPREDEENGVSSAAAAVAMEMEADTNNTSKEVDGISSVIPGWFSEISPMWPVALFYMKYAGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQYFPDVAVGYDDPRVKLHIGDGVAFLKAVPEGTYDAIIVDSSDPIGPAQELFEKPFFESVAKALRPGGVVCTQAESIWLHMHIIEDIVANCRQIFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPLVDFKHPVNPIDKDECCCKSKRPLKFYNSEIHSAAFCLPSFAKKVIESKP >KJB31268 pep chromosome:Graimondii2_0_v6:5:53593162:53597468:1 gene:B456_005G183500 transcript:KJB31268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESGAPVSCADLPVKRPREDEENGVSSAAAAVAMEMEADTNNTSKEVDGISSVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQYFPDVAVGYDDPRVKLHIGDGVAFLKAVPEGTYDAIIVDSSDPIGPAQELFEKPFFESVAKALRPGGVVCTQAESIWLHMHIIEDIVANCRQIFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPLVDFKHPVNPIDKDECCCKSKRPLKFYNSEIHSAAFCLPSFAKKVIESKP >KJB31271 pep chromosome:Graimondii2_0_v6:5:53594185:53597468:1 gene:B456_005G183500 transcript:KJB31271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQYFPDVAVGYDDPRVKLHIGDGVAFLKAVPEGTYDAIIVDSSDPIGPAQELFEKPFFESVAKALRPGGVVCTQAESIWLHMHIIEDIVANCRQIFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPLVDFKHPVNPIDKDECCCKSKRPLKFYNSEIHSAAFCLPSFAKKVIESKP >KJB31269 pep chromosome:Graimondii2_0_v6:5:53593162:53597468:1 gene:B456_005G183500 transcript:KJB31269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESGAPVSCADLPVKRPREDEENGVSSAAAAVAMEMEADTNNTSKEVDGISSVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQYFPDVAVGYDDPRVKLHIGVAFLKAVPEGTYDAIIVDSSDPIGPAQELFEKPFFESVAKALRPGGVVCTQAESIWLHMHIIEDIVANCRQIFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPLVDFKHPVNPIDKDECCCKSKRPLKFYNSEIHSAAFCLPSFAKKVIESKP >KJB31267 pep chromosome:Graimondii2_0_v6:5:53593091:53597468:1 gene:B456_005G183500 transcript:KJB31267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESGAPVSCADLPVKRPREDEENGVSSAAAAVAMEMEADTNNTSKEVDGISSVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQYFPDVAVGYDDPRVKLHIGDGVAFLKAVPEGTYDAIIVDSSDPIGPAQELFEKPFFESVAKALRPGGVVCTQAESIWLHMHIIEDIVANCRQIFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPLVDFKHPVNPIDKDECCCKSKRPLKFYNSEIHSAAFCLPSFAKKVIESKP >KJB32654 pep chromosome:Graimondii2_0_v6:5:63160590:63162320:-1 gene:B456_005G254000 transcript:KJB32654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDDRLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEDEDELIIKLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIRRKLLNRGVDPLTHKQLNESTARHVANAICCNGVNYEGNKEDSNYDIKNPNEYIHKDDDDEMKKIPDLNLDLRISPPTEPIMSSSMNKSKSKSKNNGNGYDFLGLESGFLDFRSLEMK >KJB30496 pep chromosome:Graimondii2_0_v6:5:40286167:40290078:-1 gene:B456_005G147200 transcript:KJB30496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFSKRRSGLFKKAHELSVLCDAEVALIVFSHKWKLYEYSTDSCMEKTLERYERHYYAERHLVATEPESQGEWSVEYNRLKAKVELLQKNHRHYMGEDLDPLSLKELQNLEQQLDTAVKHIRARKNQLLNESISELQRKEKAIKEQNAMLANQIKEREKTVARQSQWGQQDNGLNTSSFVLPHQHPSLNIGGIYQ >KJB30497 pep chromosome:Graimondii2_0_v6:5:40286437:40288454:-1 gene:B456_005G147200 transcript:KJB30497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILYMILTCLSYNSMEKTLERYERHYYAERHLVATEPESQGEWSVEYNRLKAKVELLQKNHRHYMGEDLDPLSLKELQNLEQQLDTAVKHIRARKNQLLNESISELQRKEKAIKEQNAMLANQIKEREKTVARQSQWGQQDNGLNTSSFVLPHQHPSLNIGGIYQ >KJB32097 pep chromosome:Graimondii2_0_v6:5:60581169:60584383:1 gene:B456_005G223700 transcript:KJB32097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVVDDEDRENEGDLIMAAELATPEAMAFIVKHGTGIVCVSMLEEDLERLQLPLMVNQRENEEKLRTAFTVTVDAKHGTTTGVSAHDRATTVLALASRESKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLDPVAVLCEVVDDDGSMARLPKLRQFAERENLKIISIADLIRYRRKRDKLIELAGAARIPTMWGPFTAHCYRSILDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLGLAMKQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANVELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYSGLKGYGLTIASRVPLLTPITRENKRYLETKRAKMGHVYGLDFNGSLNSLIIGGNGNTVAPTASES >KJB32100 pep chromosome:Graimondii2_0_v6:5:60582381:60584383:1 gene:B456_005G223700 transcript:KJB32100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPKLRQFAERENLKIISIADLIRYRRKRDKLIELAGAARIPTMWGPFTAHCYRSILDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLGLAMKQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANVELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYSGLKGYGLTIASRVPLLTPITRENKRYLETKRAKMGHVYGLDFNGSLNSLIIGGNGNTVAPTASES >KJB32099 pep chromosome:Graimondii2_0_v6:5:60579877:60584383:1 gene:B456_005G223700 transcript:KJB32099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVVDDEDRENEGDLIMAAELATPEAMAFIVKHGTGIVCVSMLEEDLERLQLPLMVNQRENEEKLRTAFTVTVDAKHGTTTGVSAHDRATTVLALASRESKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLDPVAVLCEVVDDDGSMARLPKLRQFAERENLKIISIADLIRYRRKRDKLIELAGAARIPTMWGPFTAHCYRSILDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLGLAMKQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANVELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYSGLKGYGLTIASRVPLLTPITRENKRYLETKRAKMGHVYGLDFNGSLNSLIIGGNGNTVAPTASES >KJB32098 pep chromosome:Graimondii2_0_v6:5:60579877:60584383:1 gene:B456_005G223700 transcript:KJB32098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQMVLVVDDEDRENEGDLIMAAELATPEAMAFIVKHGTGIVCVSMLEEDLERLQLPLMVNQRENEEKLRTAFTVTVDAKHGTTTGVSAHDRATTVLALASRESKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLDPVAVLCEVVDDDGSMARLPKLRQFAERENLKIISIADLIRYRRKRDKLIELAGAARIPTMWGPFTAHCYRSILDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLGLAMKQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANVELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYSGLKGYGLTIASRVPLLTPITRENKRYLETKRAKMGHVYGLDFNGSLNSLIIGGNGNTVAPTASES >KJB32096 pep chromosome:Graimondii2_0_v6:5:60579830:60584397:1 gene:B456_005G223700 transcript:KJB32096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNVSSPSTAALSRLRSSKSFKFFNGLHSTNLFLTNGCLPDLALTRLDSRSSFVIKSGGKTRAALVSGEGNILSYSNGNDATTNGTLFRDKSVGIEAQQDAIAFGTLAADTAPTSNGFPINNDDSDLDRPTEGFASILEAIEDIRQGKMVLVVDDEDRENEGDLIMAAELATPEAMAFIVKHGTGIVCVSMLEEDLERLQLPLMVNQRENEEKLRTAFTVTVDAKHGTTTGVSAHDRATTVLALASRESKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLDPVAVLCEVVDDDGSMARLPKLRQFAERENLKIISIADLIRYRRKRDKLIELAGAARIPTMWGPFTAHCYRSILDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLGLAMKQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANVELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYSGLKGYGLTIASRVPLLTPITRENKRYLETKRAKMGHVYGLDFNGSLNSLIIGGNGNTVAPTASES >KJB32205 pep chromosome:Graimondii2_0_v6:5:61203756:61207244:1 gene:B456_005G229300 transcript:KJB32205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPKISSSSCKMNPNLKKAETHDPMRRSFSGNPFTKPSVITNRKAFNPKTPANSPSGFPRRHSTGRESVASLRDPDKENSRDQNLKPTRVKSPALLKGAKNFMSPTISAASKINASPRKQILVERNEPARSSLSFSDVKSLTMEDNGATTPEVALKQKKVSFSDVRSVITEDIESTQEIGSNQKKVSFSDKSIIIEDTESTPEISLNQKVPFADTEPTPWGLTHEHEEPLKSKAEFDHKESVNDSDSVPKTVAEEKDSVNVDPSFKISPRASISPSCPVLAPLDSDPLVLPYDPKTNYLSPRPQFLHYRPNPRIELYRERDSQQLDELFASESHSDTDVTAETLTEGLQRDLEEISSEETVNEGEEEGHNVSESNQSLKANRTLKSRFSTGSKFITLLLFLCFACFSVLVVKSPAFTNGMEEISLSNFQVPPEVREFAKANLDRITQNLQHWSASFLSYISDIVSSSREVHKLGSFQYANLSHLIEDRMIEGHLKFDPSVMEPVSERDAGTELFDNKHYREIEADDAVDEDDDEQDDQENEAFETAELVSEEPDEVQQGIEVEMIELDHLETEESKGVEFAVEIQAELQSNVDTENQQSVISPQASVIQHDDLNNVDTEPQGDGLNNIAETFFHEEEKSENLKTEDLANNFQSSEAVDFTINGQEEDQFLKNNNMMGFSLLVLCLLAASAFIYTKRGKPSTPNASVPMEQPMPCKKSEYSPVSVSSKDTILEKIPSKNWETELDIANELCPSEMSSYERTSSSYSNKGLKESNQYQSQERKTRKPYRRESLASSDYSTGSPSYGSFTTLEKRPSKHGGGVEEEIVTPVRRSSRIRNQVTSP >KJB31823 pep chromosome:Graimondii2_0_v6:5:59074839:59078112:1 gene:B456_005G209800 transcript:KJB31823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKRSKKPLINSSSTSNPLLFYLNKMLKFIKLSPSRIKDLLLIVSLSISLFLVFLHPQTPLPLAVTAPSRSPTRRHHLLFSIASSAGSFPRRSSYIRLWYTPRDTRAIAFLDQRLSSSVGPNLPPVVVSGDTRSFPYTFKSGLRSAIRVARVVKEAVDRNEKGIRWFVFGDDDTVFVVDNLVKVLSKYDHDKWYYVGSNSESYEQNLKYSFDMAFGGGGFAISYSLGKVLARVLDSCLMRYAHLYGSDSRIWSCLVELGVGLTHEPGFHQVDVRGSFFGMLTAHPLSPLVSLHHLDAMDPIFPNMDRTRALEHLFKAVKVDSSRILQQTVCYDHFNSLTVSVAWGYAVQIYEGNQLLPDLLSVQKTFSPWKRGARVEDHFMFNTREYPRNSCQRPLVFFLKSVASNKNVVWSNYTRHSDGKCLRPDAIKNLKEVKVVSPELDIEQMMAPRRQCCEISSSHDESMIINIKNCGVDELISMDV >KJB31821 pep chromosome:Graimondii2_0_v6:5:59074632:59078730:1 gene:B456_005G209800 transcript:KJB31821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKRSKKPLINSSSTSNPLLFYLNKMLKFIKLSPSRIKDLLLIVSLSISLFLVFLHPQTPLPLAVTAPSRSPTRRHHLLFSIASSAGSFPRRSSYIRLWYTPRDTRAIAFLDQRLSSSVGPNLPPVVVSGDTRSFPYTFKSGLRSAIRVARVVKEAVDRNEKGIRWFVFGDDDTVFVVDNLVKVLSKYDHDKWYYVGSNSESYEQNLKYSFDMAFGGGGFAISYSLGKVLARVLDSCLMRYAHLYGSDSRIWSCLVELGVGLTHEPGFHQVDVRGSFFGMLTAHPLSPLVSLHHLDAMDPIFPNMDRTRALEHLFKAVKFRFMRATNSSLISFQCRKLFLRGREVQELRITLCSIQENILEIHVKDH >KJB31822 pep chromosome:Graimondii2_0_v6:5:59074766:59078730:1 gene:B456_005G209800 transcript:KJB31822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKRSKKPLINSSSTSNPLLFYLNKMLKFIKLSPSRIKDLLLIVSLSISLFLVFLHPQTPLPLAVTAPSRSPTRRHHLLFSIASSAGSFPRRSSYIRLWYTPRDTRAIAFLDQRLSSSVGPNLPPVVVSGDTRSFPYTFKSGLRSAIRVARVVKEAVDRNEKGIRWFVFGDDDTVFVVDNLVKVLSKYDHDKWYYVGSNSESYEQNLKYSFDMAFGGGGFAISYSLGKVLARVLDSCLMRYAHLYGSDSRIWSCLVELGVGLTHEPGFHQVDVRGSFFGMLTAHPLSPLVSLHHLDAMDPIFPNMDRTRALEHLFKAVKVDSSRILQQTVCYDHFNSLTVSVAWGYAVQIYEGNQLLPDLLSVQKTFSPWKRGARVEDHFMFNTREYPRNSCQRPLVFFLKSVASNKNVVWSNYTRHSDGKCLRPDAIKNLKEVKVVSPELDIEQMMAPRRQCCEISSSHDESMIINIKNCGVDELISMDV >KJB31732 pep chromosome:Graimondii2_0_v6:5:58457583:58458532:1 gene:B456_005G205000 transcript:KJB31732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAISIEFSPWTRPSDWTVGRPPPLAFTILAIQQATETSVDMMGTLYSPTHESAPIMVIPLARDTQVGPSSGFIERISVIVQPAH >KJB30486 pep chromosome:Graimondii2_0_v6:5:39642032:39649481:1 gene:B456_005G146200 transcript:KJB30486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHKFIEKCKCGASVMLPKIEKAKRIRAAMAQQAQTTAPTIPGLNPPEGSNLNGDYGYTVPSKPQNMGLQVANQTPATGTLKKPRTLDGVEVTGEVGEFGTVIVHPGDELQKSFAQSQPHNGKEASTAIEHAERAVINGSRRQLIESQRGASATVTMEELQLTAKGSSPSIFVYPEQKLRSDSVPEARGKGSNQISSGTLKNETVSKKKAFALQDKLSSIYAAGNTVPIPFLRATDISPIALLSDNFLVGMYRDFSGTMALEALQELFAGDGQSKKGRRAQNEMQFPPSVYKRLASSPTLMNLAQALAYHKMCYDEMPLQELQATQEQQTIQNLCDSLRTILRL >KJB30485 pep chromosome:Graimondii2_0_v6:5:39642283:39649222:1 gene:B456_005G146200 transcript:KJB30485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHKFIEKCKCGASVMLPKIEKAKRIRAAMAQQAQTTAPTIPGLNPPEGSNLNGDYGYTVPSKPQNMGLQVANQTPATGTLKKPRTLDGVEVTGEVGEFGTVIVHPGDELQKSFAQSQPHNGKEASTAIEHAERAVINGSRRQLIESQRGASATVTMEELQLTAKGSSPSIFVYPEQKLRSDSVPEARGKGSNQISSGTLKNETVSKKKAFALQDKLSSIYAAGNTVPIPFLRATDISPIALLSDNFLVGMYRDFSGTMALEALQELFAGDGQSKKGRRAQNEMQFPPSVYKRLASSPTLMNLAQALAYHKMCYDEMPLQELQATQEQQTIQNLCDSLRTILRL >KJB30297 pep chromosome:Graimondii2_0_v6:5:34982149:34990294:-1 gene:B456_005G136200 transcript:KJB30297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDNGLAAGMGLDFGWQQRYARFCGRIVVLSILSMLLYPFLWAWTVIGTLWFTSARNCLPKEGQKYGFLIWLLFSYCGLLCIACMSVGKWMTRRQAHLLRAQQGIPISEYGVLVDMIRVPDWAFEAAGQEMRGMGQDTAPYHPGLYLTPAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALSNLRSADSERSSASIVTTTQYVRTQPLSQSYLLRLQGLLRPVRTGNAGAPSDADVALDTAENGAFNVATQDSTGREAVGHALGGLSTPPHH >KJB30298 pep chromosome:Graimondii2_0_v6:5:34982237:34990294:-1 gene:B456_005G136200 transcript:KJB30298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATSVIIVAINWKRYHHCTYPLHIWIVVDYSTVFIFRLLMFVDNGLAAGMGLDFGWQQRYARFCGRIVVLSILSMLLYPFLWAWTVIGTLWFTSARNCLPKEGQKYGFLIWLLFSYCGLLCIACMSVGKWMTRRQAHLLRAQQGIPISEYGVLVDMIRVPDWAFEAAGQEMRGMGQDTAPYHPGLYLTPAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALSNLRSADSERSSASIVTTTQYVRTQPLSQSYLLRLQGLLRPVRTGNAGAPSDADVALDTAENGAFNVATQDSTGREAVGHALGGLSTPPHH >KJB30296 pep chromosome:Graimondii2_0_v6:5:34982138:34990323:-1 gene:B456_005G136200 transcript:KJB30296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRGVDFKWYDGFFLSMLATSVIIVAINWKRYHHCTYPLHIWIVVDYSTVFIFRLLMFVDNGLAAGMGLDFGWQQRYARFCGRIVVLSILSMLLYPFLWAWTVIGTLWFTSARNCLPKEGQKYGFLIWLLFSYCGLLCIACMSVGKWMTRRQAHLLRAQQGIPISEYGVLVDMIRVPDWAFEAAGQEMRGMGQDTAPYHPGLYLTPAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALSNLRSADSERSSASIVTTTQYVRTQPLSQSYLLRLQGLLRPVRTGNAGAPSDADVALDTAENGAFNVATQDSTGREAVGHALGGLSTPPHH >KJB28148 pep chromosome:Graimondii2_0_v6:5:2711263:2712924:1 gene:B456_005G030400 transcript:KJB28148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGTPKKSEPPKIVTLNNALKLAEQWVNKMNGSAEYEVVEAEPEARPERLGLGAKVPRQSKVGLSNDPVERKLSAKLGVGKRKVLKNQDSTIPSSKDRVDEDDGDEDLDSRSSAFSKKRAVPLTSQLQVKKKPK >KJB30202 pep chromosome:Graimondii2_0_v6:5:33498886:33500489:-1 gene:B456_005G133500 transcript:KJB30202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGISGFLMKFPSLIHFLLSLLEKIPARLPMPIEKLVSRVSYPRTGRHLQRYNNRGFRLVVGCIPYRYRESEDGKSIEEAIEVLVINAQNGKGILFPKGGWEKDESMEEAAIRETVEEAGVVGVIECKLGKWCYKSKRQSIYHEGHMFGLLVKQELDGWPEKNIRKREWVCDSVES >KJB30200 pep chromosome:Graimondii2_0_v6:5:33499860:33500337:-1 gene:B456_005G133500 transcript:KJB30200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGISGFLMKFPSLIHFLLSLLEKIPARLPMPIEKLVSRVSYPRTGRHLQRYNNRGFRLVVGCIPYRYRESEDGKSIEEAIEVLVINAQNGKGILFPKGGWEKDESMEEAAIRETVEEAGVVGVIEVSNLP >KJB30203 pep chromosome:Graimondii2_0_v6:5:33499625:33500337:-1 gene:B456_005G133500 transcript:KJB30203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGISGFLMKFPSLIHFLLSLLEKIPARLPMPIEKLVSRVSYPRTGRHLQRYNNRGFRLVVGCIPYRYRESEDGKSIEEAIEVLVINAQNGKGILFPKGGWEKDESMEEAAIRETVEEAGVVGVIECKLGKWCYKSKRQSIYHEGHMFGLLVKQELDGWPEKNIRKREWVCIWLPYICM >KJB30204 pep chromosome:Graimondii2_0_v6:5:33498886:33500518:-1 gene:B456_005G133500 transcript:KJB30204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGISGFLMKFPSLIHFLLSLLEKIPARLPMPIEKLVSRVSYPRTGRHLQRYNNRGFRLVVGCIPYRYRESEDGKSIEEAIEVLVINAQNGKGILFPKGGWEKDESMEEAAIRETVEEAGVVGVIECKLGKWCYKSKRQSIYHEGHMFGLLVKQELDGWPEKNIRKREWVTVSKAREECPHLWMIEALEELVWRQTQAGAAQGGSK >KJB30201 pep chromosome:Graimondii2_0_v6:5:33498886:33500489:-1 gene:B456_005G133500 transcript:KJB30201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGISGFLMKFPSLIHFLLSLLEKIPARLPMPIEKLVSRVSYPRTGRHLQRYNNRGFRLVVGRCIPYRYRESEDGKSIEEAIEVLVINAQNGKGILFPKGGWEKDESMEEAAIRETVEEAGVVGVIECKLGKWCYKSKRQSIYHEGHMFGLLVKQELDGWPEKNIRKREWVTVSKAREECPHLWMIEALEELVWRQTQAGAAQGGSK >KJB29123 pep chromosome:Graimondii2_0_v6:5:10631811:10632071:1 gene:B456_005G085700 transcript:KJB29123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDIGSSPNAHVPLIIELCSFVASEWMTNKSYRPWLLRKLFGDIDYGIKQLAQFQIAVIHRQSNGMKDALAKAGISRSSFFKALW >KJB32319 pep chromosome:Graimondii2_0_v6:5:61756464:61759993:-1 gene:B456_005G235300 transcript:KJB32319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSIGTHFSPSCRFKNRSLHAPSVYSLPSFGTSLYRIRAPRLLSNGVIARAEDKARGSSSPSQRQVQPNNEEQIKGLSSESGTCDPLCSVDETSSLEFEDAYQPKTDLLKTIAVFTAALTGTLAINLTWVTDHQDIAMALLFGIGYAGIIFEESLAFNKSGVGLLMAVSLWVVRSIGAPSSDIAVSELTHASAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNITTRKPRTLIWVVGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLQTMKGLIIPSLVSLTVPLALMSLTSEVNGKGQDSPNILASQQMAPRGQLVFCVGIGALVFVPVFKALTGLPPFMGMLFGLGVLWILTDAIHYGESERQKLKVPQALSRIDTQGALFFLGILLSVSCLESAGLLRELANYLDAHIPSVELIASAIGVVSAIIDNVPLVAATMGMYDLTSFPQDSEFWQLVAYCAGTGGSMLVIGSAAGVAYMGMEKIDFFWYFRKVSGFAFAGYAAGIAAYLAVNNLHISLPSTLAQVPFLSGS >KJB32321 pep chromosome:Graimondii2_0_v6:5:61755925:61760223:-1 gene:B456_005G235300 transcript:KJB32321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSIGTHFSPSCRFKNRSLHAPSVYSLPSFGTSLYRIRAPRLLSNGVIARAEDKARGSSSPSQRQVQPNNEEQIKGLSSESGTCDPLCSVDETSSLEFEDAYQPKTDLLKTIAVFTAALTGTLAINLTWVTDHQDIAMALLFGIGYAGIIFEESLAFNKSGVGLLMAVSLWVVRSIGAPSSDIAVSELTHASAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNITTRKPRTLIWVVGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLQTMKGLIIPSLVSLTVPLALMSLTSEVNGKGQDSPNILASQQMAPRGQLVFCVGIGALVFVPVFKALTGLPPFMGMLFGLGVLWILTDAIHYGESERQKLKVPQALSRIDTQGALFFLGILLSVSCLESAGLLRELANYLDAHIPSVELIASAIGVVSAIIDNVPLVAATMGMYDLTSFPQDSEFWQLVAYCAGTGGSMLVIGSAAGVAYMGMEKIDFFWYFRKVSGFAFAGYAAGIAAYLAVNNLHISLPSTLAQVPFLSGNKRFK >KJB32320 pep chromosome:Graimondii2_0_v6:5:61756106:61760186:-1 gene:B456_005G235300 transcript:KJB32320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSIGTHFSPSCRFKNRSLHAPSVYSLPSFGTSLYRIRAPRLLSNGVIARAEDKARGSSSPSQRQVQPNNEEQIKGLSSESGTCDPLCSVDETSSLEFEDAYQPKTDLLKTIAVFTAALTGTLAINLTWVTDHQDIAMALLFGIGYAGIIFEESLAFNKSGVGLLMAVSLWVVRSIGAPSSDIAVSELTHASAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNITTRKPRTLIWVGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLQTMKGLIIPSLVSLTVPLALMSLTSEVNGKGQDSPNILASQQMAPRGQLVFCVGIGALVFVPVFKALTGLPPFMGMLFGLGVLWILTDAIHYGESERQKLKVPQALSRIDTQGALFFLGILLSVSCLESAGLLRELANYLDAHIPSVELIASAIGVVSAIIDNVPLVAATMGMYDLTSFPQDSEFWQLVAYCAGTGGSMLVIGSAAGVAYMGMEKIDFFWYFRKVSGFAFAGYAAGIAAYLAVNNLHISLPSTLAQVPFLSGS >KJB29691 pep chromosome:Graimondii2_0_v6:5:21833063:21834791:1 gene:B456_005G114100 transcript:KJB29691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMTAVIQVELMVTQLRRGKRRKDTQDMELAVDLMAVSSKTDERNADIAIIERLAKKLDLNTVENLKKETIAISNVAKERGQTSECIEQIVEILNKFKQVFGMEVIDVFEDPVKPKLLLKPGSLVIPHEFLCPITLEVMRDPVIIASGQTFERESIQKWFDSNHRTCPKTRERLGHLSLAPNHALKNLITQWRETNNYKSPNTEGLASPQGSSIKQEEISSLVEELSSCQLKVQRRAAKDIRMLSKENAESRILFAENGAIPALVQLLTYPDSKIQEHAVTALLNLSINDTNKKLIANEQAIPTIIDVLRNGRMESRENSAAALFSLSMLDENKVTIALSDGIPALVELLEQGTVRGKKDAVTALFNLCLNQANKARAIDAGIVPPLLLLLKDKKLNMVDEALSMFLLLVTHPQGRYEIGQLSFIGTLVDIIKKGTPKNKECAASVLLELSSNNSSHILAALQFGVYEHLVDISETGTNRAQRKARGLLQVMKTSDQLP >KJB29689 pep chromosome:Graimondii2_0_v6:5:21832248:21834861:1 gene:B456_005G114100 transcript:KJB29689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLQTPEESTAPSRSGRKLARTTMGLERDKKRHGDVEDRRGDTLVDEIGIVTTAPAPNGVDLVQESVSLIEYVARLGDFRSTQRQECYSLVRRMKSLLPLFDEIRFLGSAIPPNGIASLSKLKKVFCLAKKLLKTCNEGSKIYLALESEAMMVKFHAVHEKLCQALDELPFDEIEVSVEVREQVELMVTQLRRGKRRKDTQDMELAVDLMAVSSKTDERNADIAIIERLAKKLDLNTVENLKKETIAISNVAKERGQTSECIEQIVEILNKFKQVFGMEVIDVFEDPVKPKLLLKPGSLVIPHEFLCPITLEVMRDPVIIASGQTFERESIQKWFDSNHRTCPKTRERLGHLSLAPNHALKNLITQWRETNNYKSPNTEGLASPQGSSIKQEEISSLVEELSSCQLKVQRRAAKDIRMLSKENAESRILFAENGAIPALVQLLTYPDSKIQEHAVTALLNLSINDTNKKLIANEQAIPTIIDVLRNGRMESRENSAAALFSLSMLDENKVTIALSDGIPALVELLEQGTVRGKKDAVTALFNLCLNQANKARAIDAGIVPPLLLLLKDKKLNMVDEALSMFLLLVTHPQGRYEIGQLSFIGTLVDIIKKGTPKNKECAASVLLELSSNNSSHILAALQFGVYEHLVDISETGTNRAQRKARGLLQVMKTSDQLP >KJB29690 pep chromosome:Graimondii2_0_v6:5:21832262:21834791:1 gene:B456_005G114100 transcript:KJB29690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCFQTFERESIQKWFDSNHRTCPKTRERLGHLSLAPNHALKNLITQWRETNNYKSPNTEGLASPQGSSIKQEEISSLVEELSSCQLKVQRRAAKDIRMLSKENAESRILFAENGAIPALVQLLTYPDSKIQEHAVTALLNLSINDTNKKLIANEQAIPTIIDVLRNGRMESRENSAAALFSLSMLDENKVTIALSDGIPALVELLEQGTVRGKKDAVTALFNLCLNQANKARAIDAGIVPPLLLLLKDKKLNMVDEALSMFLLLVTHPQGRYEIGQLSFIGTLVDIIKKGTPKNKECAASVLLELSSNNSSHILAALQFGVYEHLVDISETGTNRAQRKARGLLQVMKTSDQLP >KJB31399 pep chromosome:Graimondii2_0_v6:5:55143987:55146747:1 gene:B456_005G189600 transcript:KJB31399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYNILKVSRNATDDDLRKSYKRLARKWHPDKNLVNNKEAEAKCKQIFEAYNVLSDPLKRQIYDLHGEQGLNSAESSSPNGFSAGGVGGMADKFDQRNGQGYKKASPVETQLLCSLEELYKGGRRRMRIWRSIPGEFGKLKTVEEILKIDIKPGWKKGTKITFPEKGNQEPGFTPSDLIFVVDEKPHAIFKRDGNDLIATLKISLLEALTGTILSLTTLDGRTLPISVTDIVNPGHEVVIPNEGMPISKEPSKRGHLKIQFDIIFPSKLSAEQKCDLRRALRQR >KJB30504 pep chromosome:Graimondii2_0_v6:5:40393411:40394798:-1 gene:B456_005G147500 transcript:KJB30504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKKVIFQVHRISKANREEVLEKAEKDLAAVRDQHISRLVKELQGTDFWKLRRAYSPGVQEYVEAATFFKFCQTGTLLNLDEINASLLSLSDPSLEPLQINLLDYLLGLADLTGELMRLAIGRISDGEVEYSERICQFVRDIYRELTLLVPLMDDGSDMKTKMDTMLQSVVKIENACFSVRVRGSEYIPLLGSSDPSSFLVGVSDAEL >KJB30503 pep chromosome:Graimondii2_0_v6:5:40392942:40395444:-1 gene:B456_005G147500 transcript:KJB30503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHSSLKDAFSSYADHLNALNEKRERIVKASRDVTMNSKKVIFQVHSKANREEVLEKAEKDLAAVRDQHISRLVKELQGTDFWKLRRAYSPGVQEYVEAATFFKFCQTGTLLNLDEINASLLSLSDPSLEPLQINLLDYLLGLADLTGELMRLAIGRISDGEVEYSERICQFVRDIYRELTLLVPLMDDGSDMKTKMDTMLQSVVKIENACFSVRVRGSEYIPLLGSSDPSSFLVGVSDAEL >KJB30510 pep chromosome:Graimondii2_0_v6:5:40392942:40395599:-1 gene:B456_005G147500 transcript:KJB30510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCSSSAAIRRISVSWLIMANNSNFKSHRLSRSESSTKRPGTMSTHSSLKDAFSSYADHLNALNEKRERIVKASRDVTMNSKKVIFQVHRISKANREEVLEKAEKDLAAVRDQHISRLVKELQGTDFWKLRRAYSPGVQEYVEAATFFKFCQTGTLLNLDEINASLLSLSDPSLEPLQINLLDYLLGLADLTGELMRLAIGRISDGEVEYSERICQFVRDIYRELTLLVPLMDDGSDMKTKMDTMLQSVVKIENACFSVRVRGSEYIPLLGSSDPSSFLVGVSDAEL >KJB30509 pep chromosome:Graimondii2_0_v6:5:40394117:40395581:-1 gene:B456_005G147500 transcript:KJB30509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCSSSAAIRRISVSWLIMANNSNFKSHRLSRSESSTKRPGTMSTHSSLKDAFSSYADHLNALNEKRERIVKASRDVTMNSKKVIFQVHRISKANREEVLEKAEKDLAAVRDQHISRLVKELQGTDFWKLRRAYSPGVQEYVEAATFFKFCQTGTLLNLDEINASLLSLSDPSLEPLQINLLDYLLGVDASILCYLLLKAEADENI >KJB30502 pep chromosome:Graimondii2_0_v6:5:40392942:40395594:-1 gene:B456_005G147500 transcript:KJB30502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHSSLKDAFSSYADHLNALNEKRERIVKASRDVTMNSKKVIFQVHRISKANREEVLEKAEKDLAAVRDQHISRLVKELQGTDFWKLRRAYSPGVQEYVEAATFFKFCQTGTLLNLDEINASLLSLSDPSLEPLQINLLDYLLGLADLTGELMRLAIGRISDGEVEYSERICQFVRDIYRELTLLVPLMDDGSDMKTKMDTMLQSVVKIENACFSVRVRGSEYIPLLGSSDPSSFLVGVSDAEL >KJB30501 pep chromosome:Graimondii2_0_v6:5:40392764:40395672:-1 gene:B456_005G147500 transcript:KJB30501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCSSSAAIRRISVSWLIMANNSNFKSHRLSRSDDAALALESSTKRPGTMSTHSSLKDAFSSYADHLNALNEKRERIVKASRDVTMNSKKVIFQVHRISKANREEVLEKAEKDLAAVRDQHISRLVKELQGTDFWKLRRAYSPGVQEYVEAATFFKFCQTGTLLNLDEINASLLSLSDPSLEPLQINLLDYLLGLADLTGELMRLAIGRISDGEVEYSERICQFVRDIYRELTLLVPLMDDGSDMKTKMDTMLQSVVKIENACFSVRVRGSEYIPLLGSSDPSSFLVGVSDAEL >KJB30508 pep chromosome:Graimondii2_0_v6:5:40392942:40395609:-1 gene:B456_005G147500 transcript:KJB30508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCSSSAAIRRISVSWLIMANNSNFKSHRLSRSESSTKRPGTMSTHSSLKDAFSSYADHLNALNEKRERIVKASRDVTMNSKKVIFQVHRISKANREEVLEKAEKDLAAVRDQHISRLVKELQGTDFWKLRRAYSPGVQEYVEAATFFKFCQTGTLLNLDEINASLLSLSDPSLEPLQINLLDYLLGLADLTGELMRLAIGRISDGEVEYSERICQFVRDIYRELTLLVPLMDDGSDMKTKMDTMLQSVVKIENACFSVRVRGSEYIPLLGSSDPSSFLVGVSDAEL >KJB30505 pep chromosome:Graimondii2_0_v6:5:40394266:40395581:-1 gene:B456_005G147500 transcript:KJB30505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCSSSAAIRRISVSWLIMANNSNFKSHRLSRSDDAALALESSTKRPGTMSTHSSLKDAFSSYADHLNALNEKRERIVKASRDVTMNSKKVIFQVHRISKANREEVLEKAEKDLAAVRDQHISRLVKELQGTDFWKLRRAYSPGVASFSSYTFVPKRNYRVDLSWLFLGRYKSTLKLQHSSNSAKPELF >KJB30507 pep chromosome:Graimondii2_0_v6:5:40392942:40395599:-1 gene:B456_005G147500 transcript:KJB30507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCSSSAAIRRISVSWLIMANNSNFKSHRLSRSESSTKRPGTMSTHSSLKDAFSSYADHLNALNEKRERIVKASRDVTMNSKKVIFQVHRISKANREEVLEKAEKDLAAVRDQHISRLVKELQGTDFWKLRRAYSPGVQEYVEAATFFKFCQTGTLLNLDEINATDLTGELMRLAIGRISDGEVEYSERICQFVRDIYRELTLLVPLMDDGSDMKTKMDTMLQSVVKIENACFSVRVRGSEYIPLLGSSDPSSFLVGVSDAEL >KJB30506 pep chromosome:Graimondii2_0_v6:5:40392942:40395594:-1 gene:B456_005G147500 transcript:KJB30506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCSSSAAIRRISVSWLIMANNSNFKSHRLSRSDDAALALESSTKRPGTMSTHSSLKDAFSSYADHLNALNEKRERIVKASRDVTMNSKKVIFQVHRISKANREEVLEKAEKDLAAVRDQHISRLVKELQGTDFWKLRRAYSPGLADLTGELMRLAIGRISDGEVEYSERICQFVRDIYRELTLLVPLMDDGSDMKTKMDTMLQSVVKIENACFSVRVRGSEYIPLLGSSDPSSFLVGVSDAEL >KJB27466 pep chromosome:Graimondii2_0_v6:5:24556978:24557945:-1 gene:B456_005G119000 transcript:KJB27466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKISLNMFLSLILIFVLVVLSDAARPRQLGNENGIMSKEKVALGKEEEAKSYFREMKNLPPFPFPFPDMPLVPPLQFPPFPFPLPPPFEVPSVPSFPLAPLTFPPIPYFSPPPLPLHP >KJB32631 pep chromosome:Graimondii2_0_v6:5:63047887:63051042:-1 gene:B456_005G252200 transcript:KJB32631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH121 [Source:Projected from Arabidopsis thaliana (AT3G19860) UniProtKB/Swiss-Prot;Acc:Q9LT23] MLTEESRELTVEKNDLKDEKASLKSEIDDLNIQYQQRVRTMFPWASVDYPVVMAPPSYPFPVPMAMPPPGAIPMHPSMQPFPFFGNQNPGVIHNPCSTFVPYMTPNTMVEQQPTQHVTPPAQPSGRSHASGKEDSKNKSSGESKIEKTVDSNDVATDLELKTPGSTADQDFSSGQRKLKKSLRKENSNTEGSFSSRCSSSYSAQDSSSNSVVGGKKADDLDGRND >KJB32630 pep chromosome:Graimondii2_0_v6:5:63047734:63051458:-1 gene:B456_005G252200 transcript:KJB32630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH121 [Source:Projected from Arabidopsis thaliana (AT3G19860) UniProtKB/Swiss-Prot;Acc:Q9LT23] MDQLRKDVAFLPSIPSSNPSIIEFRQPPVDPLVPSTARTQSKSGQRDGEEPKDCVTARKLQKADREKSRRDRLNEHFLELGNALDPDRPKNDKATILTDTIQLLKDLTSQVTKLKGEHAMLTEESREQLTVEKNDLKDEKASLKSEIDDLNIQYQQRVRTMFPWASVDYPVVMAPPSYPFPVPMAMPPPGAIPMHPSMQPFPFFGNQNPGVIHNPCSTFVPYMTPNTMVEQQPTQHVTPPAQPSGRSHASGKEDSKNKSSGESKIEKTVDSNDVATDLELKTPGSTADQDFSSGQRKLKKSLRKENSNTEGSFSSRCSSSYSAQDSSSNSVVGGKKADDLDGRND >KJB32629 pep chromosome:Graimondii2_0_v6:5:63047215:63051458:-1 gene:B456_005G252200 transcript:KJB32629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH121 [Source:Projected from Arabidopsis thaliana (AT3G19860) UniProtKB/Swiss-Prot;Acc:Q9LT23] MDQLRKDVAFLPSIPSSNPSIIEFRQPPVDPLVPSTARTQSKSGQRDGEEPKDCVTARKLQKADREKSRRDRLNEHFLELGNALDPDRPKNDKATILTDTIQLLKDLTSQVTKLKGEHAMLTEESRELTVEKNDLKDEKASLKSEIDDLNIQYQQRVRTMFPWASVDYPVVMAPPSYPFPVPMAMPPPGAIPMHPSMQPFPFFGNQNPGVIHNPCSTFVPYMTPNTMVEQQPTQHVTPPAQPSGRSHASGKEDSKNKSSGESKIEKTVDSNDVATDLELKTPGSTADQDFSSGQRKLKKSLRKENSNTEGSFSSRCSSSYSAQDSSSNSVVGGKKADDLDGRND >KJB32632 pep chromosome:Graimondii2_0_v6:5:63047887:63051458:-1 gene:B456_005G252200 transcript:KJB32632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH121 [Source:Projected from Arabidopsis thaliana (AT3G19860) UniProtKB/Swiss-Prot;Acc:Q9LT23] MDQLRKDVAFLPSIPSSNPSIIEFRQPPVDPLVPSTARTQSKSGQRDGEEPKDCVTARKLQKADREKSRRDRLNEHFLELGNALDPDRPKNDKATILTDTIQLLKDLTSQVTKLKGEHAMLTEESRELTVEKNDLKDEKASLKSEIDDLNIQYQQRVRTMFPWASVDYPVVMAPPSYPFPVPMAMPPPGAIPMHPSMQPFPFFGNQNPGVIHNPCSTFVPYMTPNTMVEQQPTQHVTPPAQPSGRSHASGKEDSKNKSSGESKIEKTVDSNDVATDLELKTPGSTADQDKESSRNL >KJB29507 pep chromosome:Graimondii2_0_v6:5:17922023:17923015:1 gene:B456_005G104100 transcript:KJB29507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRGDQKTPFISPPSSALAAFLNKPSSLLCAAFLIDAAGLTPRAEFYGRECCNNNWAMRDLIKYCKRKGLLIEQGGEAILVIRLERRLARKLAPLKTYYLIRICYARYADDLLLGIVGAVELLIEIQKRSAGSTTIAPRSTVEFPSTVIREVPPRTTPIQFLRELEKRLRVKHRIHITACHLRSAIHSKFRNLGDSIPIKQLMKGMSKTGSLLDGVQLAETLGTVGVRGPQVSVLWRTVKHIRQEERGISLLHSSGRSNAPSDVQQAVSRSGMSVPKLSLYTPADRKATGDRGGHWAGSISSKFPVQVEAAGIEWSP >KJB32423 pep chromosome:Graimondii2_0_v6:5:62149701:62153270:1 gene:B456_005G240200 transcript:KJB32423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRQRCYLDISIGEELEGRIVVELFNDVVPKTAENFRALCTGEKGIGPNTGVPLHYKGVRFRRVIKGFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANSGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVTTGEADCPTVNVTIADCGEIPEGADDGISNFFNDGDIYADWPADLDESPNELSWWMTAVESIKAFGNEHYKKQDYKMALRKYRKALRYLDICWEKDGIDEEKTSSLRKMKSQIFTNSSACKLKLGDLKGALLDTEFAMRDGDNNVKALFRQGQAHMALNDVDAAVESFKKALQLEPNDGGIKKELAVAMKKINDRRNEERRWYRKMFQPNSTGADSQ >KJB32425 pep chromosome:Graimondii2_0_v6:5:62149642:62153347:1 gene:B456_005G240200 transcript:KJB32425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRQRCYLDISIGEELEGRIVVELFNDVVPKTAENFRALCTGEKGIGPNTGVPLHYKGVRFRRVIKGFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANSGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVTTGEADCPTVNVTIADCGEIPEGADDGISNFFNDGDIYADWPADLDESPNELSWWMTAVESIKAFGNEHYKKQDYKMALRKYRKALRYLDICWEKDGIDEEKTSSLRKMKSQIFTNSSACKLKLGDLKGALLDTEFAMRDGDNNVKALFRQGQAHMALNDVDAAVESFKKALQLEPNDGGIKKELAVAMKKINDRRNEERRWYRKMFQPNSTGADSQ >KJB32426 pep chromosome:Graimondii2_0_v6:5:62149701:62153270:1 gene:B456_005G240200 transcript:KJB32426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRQRCYLDISIGEELEGRIVVELFNDVVPKTAENFRALCTGEKGIGPNTGVPLHYKGVRFRRVIKGFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANSGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVTTGEADCPTVNVTIADCGEIPEGADDGISNFFNDGDIYADWPADLDESPNELSWWMTAVESIKAFGNEHYKKQDYKMALRKYRKALRYLDICWEKDGIDEEKTSSLRKMKSQIFTNSSACKLKLGDLKGALLDTEFAMRDGDNNVKALFRQGQAHMALNDVDAAVESFKKALQLEPNDGGIKKELAVAMKKINDRRNEERRWYRKMFQPNSTGMSSFKWKHFKQKLNCDNMRLEPIVVRLKDMMKRLAQKILLAFS >KJB32424 pep chromosome:Graimondii2_0_v6:5:62149701:62151857:1 gene:B456_005G240200 transcript:KJB32424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRQRCYLDISIGEELEGRIVVELFNDVVPKTAENFRALCTGEKGIGPNTGVPLHYKGVRFRRVIKGFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANSGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVTTGEADCPTVNVTIADCGEIPEGADDGISNFFNDGDIYADWPADLDESPNELSWWMTAVESIKAFGNEHYKKQDYKMALRKYRKALRYLDICWEKDGIDEGDYISLL >KJB32427 pep chromosome:Graimondii2_0_v6:5:62149701:62153280:1 gene:B456_005G240200 transcript:KJB32427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRQRCYLDISIGEELEGRIVVELFNDVVPKTAENFRALCTGEKGIGPNTGVPLHYKGVRFRRVIKGFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANSGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVTTGEADCPTVNVTIADCGEIPEGADDGISNFFNDGDIYADWPADLDESPNELSWWMTAVESIKAFGNEHYKQDYKMALRKYRKALRYLDICWEKDGIDEEKTSSLRKMKSQIFTNSSACKLKLGDLKGALLDTEFAMRDGDNNVKALFRQGQAHMALNDVDAAVESFKKALQLEPNDGGIKKELAVAMKKINDRRNEERRWYRKMFQPNSTGADSQ >KJB30173 pep chromosome:Graimondii2_0_v6:5:32891500:32933450:1 gene:B456_005G132300 transcript:KJB30173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVIGFVGLDQLSLDMAASLLRAGYKVQAFEVQNLLMNEFLKLGGTECFSLREAGKGVAALIVLISHVDQINDIIFGHDSALKGLQKDTVIILHSTILPSHIQKLEKNLREDGLTTSVVDAYVFKATSELLNGKIMVISSGRSDAISKAYPFLSAMSEKLYTFEGETGAGSKTKLVTELLEGIHLIAAVEAISLGVKAGIHPWIIYDIISNAAGNSWVFKNYIPQLLSGNVKYNFLNPFNHKLGIVLDMAKLLTFPLPLLASAHQQLALGSLLGHGDDNTPLGQVWDQVFGVHTADAANAERYNPEELATQITAKSKTVNRVGFIGLGAMGFGMATYLVKSNFCVVGYDVYKPTLTRFESAGGLIGSSPEDVSKGVDVLVVMVTNEAQAESVLFGDLGAVSALPSGASIILSSTVSPAYVIQLERRLQNEGKDLKLVDAPVSGGVKRASMGELTIMAAGSDDALKSAGLILSALSEKLYVIKGGCGAGSGVKMVNQLLAGVHIAASAEAMAFGARLGLSTRMLFDIISNSGATSWMFENRVPHMLDNDYTPYSALDIFVKDLGIVARECSAHKIPLHISTIAHQLFIAGSAAGWGRQDDAGVVKVYETLTGVKVEGKLPALKKEVVLQSLPPEWPVDPINDIHKLNQKNSRTLVVLDDDPTGTQTVHDVEVLTEWSIKSLVEQFRKKPICFYILTNSRALSSEKATVLIKDICNNLCSAAKSVQHIDYTVVLRGDSTLRGHFPEEPDAAVSILGQVDAWILCPFFLQGGRYTIDDIHYVADSDRLVPAGDTEFANDAAFGYKSSNLREWVEEKTAGRIPASSVASISIQLLRKGGPDAVCELLCSLEKGSTCIVNAVSERDMAVFAAGMIQAELKGKSFLCRSAASFVSARIGIISKAPIRPKDLGISKERSGGLIVVGSYVPKTTKQVEELHSQHGHMLKSLEVSVHKVAMKSSEEREEEINRTAEMASVFLAARKDTLIMSSRELITGKTASESLEINFKVSSALVEVVRRITTRPRYILAKGGITSSDLATKALEAKRAKVVGQALAGIPLWELGHESRHPGVPYIVFPGNVGDSKALVEVVRSWAHPLRLSSTKEILINAEKGSYAVGAFNVYNMEGVKAVVSAAEQERSPAILQVHPGAFKQGGVTLVACCISAAEEASVSLLCLLNFVLVIVVMNI >KJB30171 pep chromosome:Graimondii2_0_v6:5:32891500:32922433:1 gene:B456_005G132300 transcript:KJB30171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVIGFVGLDQLSLDMAASLLRAGYKVQAFEVQNLLMNEFLKLGGTECFSLREAGKGVAALIVLISHVDQINDIIFGHDSALKGLQKDTVIILHSTILPSHIQKLEKNLREDGLTTSVVDAYVFKATSELLNGKIMVISSGRSDAISKAYPFLSAMSEKLYTFEGETGAGSKTKLVTELLEGIHLIAAVEAISLGVKAGIHPWIIYDIISNAAGNSWVFKNYIPQLLSGNVKYNFLNPFNHKLGIVLDMAKLLTFPLPLLASAHQQLALGSLLGHGDDNTPLGQVWDQVFGVHTADAANAERYNPEELATQITAKSKTVNRVGFIGLGAMGFGMATYLVKSNFCVVGYDVYKPTLTRFESAGGLIGSSPEDVSKGVDVLVVMVTNEAQAESVLFGDLGAVSALPSGASIILSSTVSPAYVIQLERRLQNEGKDLKLVDAPVSGGVKRASMGELTIMAAGSDDALKSAGLILSALSEKLYVIKGGCGAGSGVKMVNQLLAGVHIAASAEAMAFGARLGLSTRMLFDIISNSGATSWMFENRVPHMLDNDYTPYSALDIFVKDLGIVARECSAHKIPLHISTIAHQLFIAGSAAGWGRQDDAGVVKVYETLTGVKVEGKLPALKKEVVLQSLPPEWPVDPINDIHKLNQKNSRTLVVLDDDPTGTQTVHDVEVLTEWSIKSLVEQFRKKPICFYILTNSRALSSEKATVLIKDICNNLCSAAKSVQHIDYTVVLRGDSTLRGHFPEEPDAAVSILGQVDAWILCPFFLQGGRYTIDDIHYVADSDRLVPAGDTEFANDAAFGYKSSNLREWVEEKTAGRIPASSVASISIQLLRKGGPDAVCELLCSLEKGSTCIVNAVSERDMAVFAAGMIQAELKGKSFLCRSAASFVSARIGIISKAPIRPKDLGISKERSGGLIVVGSYVPKTTKQVEELHSQHGHMLKSLEVSVHKVAMKSSEEREEEINRTAEMASVFLAARKDTLIMSSRELITGKTASESLEINFKVSSALVEVVRRITTRPRYILAKGGITSSDLATKALEAKRAKVVGQALAGIPLWELGHESRHPGVPYIVFPGNVGDSKALVEVVRSWAHPLRLSSTKEILIVSIICRFYLLLFLFIIILPRILTMFSA >KJB30172 pep chromosome:Graimondii2_0_v6:5:32891500:32922464:1 gene:B456_005G132300 transcript:KJB30172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVIGFVGLDQLSLDMAASLLRAGYKVQAFEVQNLLMNEFLKLGGTECFSLREAGKGVAALIVLISHVDQINDIIFGHDSALKGLQKDTVIILHSTILPSHIQKLEKNLREDGLTTSVVDAYVFKATSELLNGKIMVISSGRSDAISKAYPFLSAMSEKLYTFEGETGAGSKTKLVTELLEGIHLIAAVEAISLGVKAGIHPWIIYDIISNAAGNSWVFKNYIPQLLSGNVKYNFLNPFNHKLGIVLDMAKLLTFPLPLLASAHQQLALGSLLGHGDDNTPLGQVWDQVFGVHTADAANAERYNPEELATQITAKSKTVNRVGFIGLGAMGFGMATYLVKSNFCVVGYDVYKPTLTRFESAGGLIGSSPEDVSKGVDVLVVMVTNEAQAESVLFGDLGAVSALPSGASIILSSTVSPAYVIQLERRLQNEGKDLKLVDAPVSGGVKRASMGELTIMAAGSDDALKSAGLILSALSEKLYVIKGGCGAGSGVKMVNQLLAGVHIAASAEAMAFGARLGLSTRMLFDIISNSGATSWMFENRVPHMLDNDYTPYSALDIFVKDLGIVARECSAHKIPLHISTIAHQLFIAGSAAGWGRQDDAGVVKVYETLTGVKVEGKLPALKKEVVLQSLPPEWPVDPINDIHKLNQKNSRTLVVLDDDPTGTQTVHDVEVLTEWSIKSLVEQFRKKPICFYILTNSRALSSEKATVLIKDICNNLCSAAKSVQHIDYTVVLRGDSTLRGHFPEEPDAAVSILGQVDAWILCPFFLQGGRYTIDDIHYVADSDRLVPAGDTEFANDAAFGYKSSNLREWVEEKTAGRIPASSVASISIQLLRKGGPDAVCELLCSLEKGSTCIVNAVSERDMAVFAAGMIQAELKGKSFLCRSAASFVSARIGIISKAPIRPKDLGISKERSGGLIVVGSYVPKTTKQVEELHSQHGHMLKSLEVSVHKVAMKSSEEREEEINRTAEMASVFLAARKDTLIMSSRELITGKTASESLEINFKVSSALVEVVRRITTRPRYILAKGGITSSDLATKALEAKRAKVVGQALAGIPLWELGHESRHPGVPYIVFPGNVGDSKALVEVVRSWAHPLRLSSTKEILINAEKGSYAVGAFNVYNMEGVKAVVSAAEQERSPAILQVRNWFLKIT >KJB30175 pep chromosome:Graimondii2_0_v6:5:32891500:32935610:1 gene:B456_005G132300 transcript:KJB30175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVIGFVGLDQLSLDMAASLLRAGYKVQAFEVQNLLMNEFLKLGGTECFSLREAGKGVAALIVLISHVDQINDIIFGHDSALKGLQKDTVIILHSTILPSHIQKLEKNLREDGLTTSVVDAYVFKATSELLNGKIMVISSGRSDAISKAYPFLSAMSEKLYTFEGETGAGSKTKLVTELLEGIHLIAAVEAISLGVKAGIHPWIIYDIISNAAGNSWVFKNYIPQLLSGNVKYNFLNPFNHKLGIVLDMAKLLTFPLPLLASAHQQLALGSLLGHGDDNTPLGQVWDQVFGVHTADAANAERYNPEELATQITAKSKTVNRVGFIGLGAMGFGMATYLVKSNFCVVGYDVYKPTLTRFESAGGLIGSSPEDVSKGVDVLVVMVTNEAQAESVLFGDLGAVSALPSGASIILSSTVSPAYVIQLERRLQNEGKDLKLVDAPVSGGVKRASMGELTIMAAGSDDALKSAGLILSALSEKLYVIKGGCGAGSGVKMVNQLLAGVHIAASAEAMAFGARLGLSTRMLFDIISNSGATSWMFENRVPHMLDNDYTPYSALDIFVKDLGIVARECSAHKIPLHISTIAHQLFIAGSAAGWGRQDDAGVVKVYETLTGVKVEGKLPALKKEVVLQSLPPEWPVDPINDIHKLNQKNSRTLVVLDDDPTGTQTVHDVEVLTEWSIKSLVEQFRKKPICFYILTNSRALSSEKATVLIKDICNNLCSAAKSVQHIDYTVVLRGDSTLRGHFPEEPDAAVSILGQVDAWILCPFFLQGGRYTIDDIHYVADSDRLVPAGDTEFANDAAFGYKSSNLREWVEEKTAGRIPASSVASISIQLLRKGGPDAVCELLCSLEKGSTCIVNAVSERDMAVFAAGMIQAELKGKSFLCRSAASFVSARIGIISKAPIRPKDLGISKERSGGLIVVGSYVPKTTKQVEELHSQHGHMLKSLEVSVHKVAMKSSEEREEEINRTAEMASVFLAARKDTLIMSSRELITGKTASESLEINFKVSSALVEVVRRITTRPRYILAKGGITSSDLATKALEAKRAKVVGQALAGIPLWELGHESRHPGVPYIVFPGNVGDSKALVEVVRSWAHPLRLSSTKEILINAEKGSYAVGAFNVYNMEGVKAVVSAAEQERSPAILQVHPGAFKQGGVTLVACCISAAEEASVPITVHFDHGTSKQELLESLELGFDSVMVDGSHLPFKDNISYTKHISNLAHLRDMLVEAELGRLSGTEDDLTVEDYEAKLTDINQAEEFIVETGIDALAVCIGNVHGKYPASGPNLKLDLLKFLIFQYFSIISF >KJB30174 pep chromosome:Graimondii2_0_v6:5:32891500:32935610:1 gene:B456_005G132300 transcript:KJB30174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVIGFVGLDQLSLDMAASLLRAGYKVQAFEVQNLLMNEFLKLGGTECFSLREAGKGVAALIVLISHVDQINDIIFGHDSALKGLQKDTVIILHSTILPSHIQKLEKNLREDGLTTSVVDAYVFKATSELLNGKIMVISSGRSDAISKAYPFLSAMSEKLYTFEGETGAGSKTKLVTELLEGIHLIAAVEAISLGVKAGIHPWIIYDIISNAAGNSWVFKNYIPQLLSGNVKYNFLNPFNHKLGIVLDMAKLLTFPLPLLASAHQQLALGSLLGHGDDNTPLGQVWDQVFGVHTADAANAERYNPEELATQITAKSKTVNRVGFIGLGAMGFGMATYLVKSNFCVVGYDVYKPTLTRFESAGGLIGSSPEDVSKGVDVLVVMVTNEAQAESVLFGDLGAVSALPSGASIILSSTVSPAYVIQLERRLQNEGKDLKLVDAPVSGGVKRASMGELTIMAAGSDDALKSAGLILSALSEKLYVIKGGCGAGSGVKMVNQLLAGVHIAASAEAMAFGARLGLSTRMLFDIISNSGATSWMFENRVPHMLDNDYTPYSALDIFVKDLGIVARECSAHKIPLHISTIAHQLFIAGSAAGWGRQDDAGVVKVYETLTGVKVEGKLPALKKEVVLQSLPPEWPVDPINDIHKLNQKNSRTLVVLDDDPTGTQTVHDVEVLTEWSIKSLVEQFRKKPICFYILTNSRALSSEKATVLIKDICNNLCSAAKSVQHIDYTVVLRGDSTLRGHFPEEPDAAVSILGQVDAWILCPFFLQGGRYTIDDIHYVADSDRLVPAGDTEFANDAAFGYKSSNLREWVEEKTAGRIPASSVASISIQLLRKGGPDAVCELLCSLEKGSTCIVNAVSERDMAVFAAGMIQAELKGKSFLCRSAASFVSARIGIISKAPIRPKDLGISKERSGGLIVVGSYVPKTTKQVEELHSQHGHMLKSLEVSVHKVAMKSSEEREEEINRTAEMASVFLAARKDTLIMSSRELITGKTASESLEINFKVSSALVEVVRRITTRPRYILAKGGITSSDLATKALEAKRAKVVGQALAGIPLWELGHESRHPGVPYIVFPGNVGDSKALVEVVRSWAHPLRLSSTKEILINAEKGSYAVGAFNVYNMEGVKAVVSAAEQERSPAILQVHPGAFKQGGVTLVACCISAAEEASVPITVHFDHGTSKQELLESLELGFDSVMVDGSHLPFKDNISYTKHISNLAHLRDMLVEAELGRLSGTEDDLTVEDYEAKLTDINQVTVLNQIKNA >KJB30170 pep chromosome:Graimondii2_0_v6:5:32891299:32935610:1 gene:B456_005G132300 transcript:KJB30170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVIGFVGLDQLSLDMAASLLRAGYKVQAFEVQNLLMNEFLKLGGTECFSLREAGKGVAALIVLISHVDQINDIIFGHDSALKGLQKDTVIILHSTILPSHIQKLEKNLREDGLTTSVVDAYVFKATSELLNGKIMVISSGRSDAISKAYPFLSAMSEKLYTFEGETGAGSKTKLVTELLEGIHLIAAVEAISLGVKAGIHPWIIYDIISNAAGNSWVFKNYIPQLLSGNVKYNFLNPFNHKLGIVLDMAKLLTFPLPLLASAHQQLALGSLLGHGDDNTPLGQVWDQVFGVHTADAANAERYNPEELATQITAKSKTVNRVGFIGLGAMGFGMATYLVKSNFCVVGYDVYKPTLTRFESAGGLIGSSPEDVSKGVDVLVVMVTNEAQAESVLFGDLGAVSALPSGASIILSSTVSPAYVIQLERRLQNEGKDLKLVDAPVSGGVKRASMGELTIMAAGSDDALKSAGLILSALSEKLYVIKGGCGAGSGVKMVNQLLAGVHIAASAEAMAFGARLGLSTRMLFDIISNSGATSWMFENRVPHMLDNDYTPYSALDIFVKDLGIVARECSAHKIPLHISTIAHQLFIAGSAAGWGRQDDAGVVKVYETLTGVKVEGKLPALKKEVVLQSLPPEWPVDPINDIHKLNQKNSRTLVVLDDDPTGTQTVHDVEVLTEWSIKSLVEQFRKKPICFYILTNSRALSSEKATVLIKDICNNLCSAAKSVQHIDYTVVLRGDSTLRGHFPEEPDAAVSILGQVDAWILCPFFLQGGRYTIDDIHYVADSDRLVPAGDTEFANDAAFGYKSSNLREWVEEKTAGRIPASSVASISIQLLRKGGPDAVCELLCSLEKGSTCIVNAVSERDMAVFAAGMIQAELKGKSFLCRSAASFVSARIGIISKAPIRPKDLGISKERSGGLIVVGSYVPKTTKQVEELHSQHGHMLKSLEVSVHKVAMKSSEEREEEINRTAEMASVFLAARKDTLIMSSRELITGKTASESLEINFKVSSALVEVVRRITTRPRYILAKGGITSSDLATKALEAKRAKVVGQALAGIPLWELGHESRHPGVPYIVFPGNVGDSKALVEVVRSWAHPLRLSSTKEILINAEKGSYAVGAFNVYNMEGVKAVVSAAEQERSPAILQVHPGAFKQGGVTLVACCISAAEEASVPITVHFDHGTSKQELLESLELGFDSVMVDGSHLPFKDNISYTKHISNLAHLRDMLVEAELGRLSGTEDDLTVEDYEAKLTDINQAEEFIVETGIDALAVCIGNVHGKYPASGPNLKLDLLKDLYALSSKKGVFLVLHGASGLSKELVKGCVERGVRKFNVNTEVRKAYMESLSSPKGDLVHVMASTIEAMKAVVAEKMHLFGSAGKA >KJB30411 pep chromosome:Graimondii2_0_v6:5:38533651:38534913:-1 gene:B456_005G141900 transcript:KJB30411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIGISGLTLYHLKSHLQKFRLGKSQQTEICLSDNLDDYREIQSGNKDLSSDTSDGTHKLMNESSKIAQALQMQMEVQRKLHEQIEVQRHLQLRIEAQGKYLQSVLKKAQETLAGYTSSSVGVELAKAELSQLVSMVNTGCTSSSLSELTEIGGSSLKQMERKPMKGTICSRESSLTSSESSGRTEDDEPPKNENICNRKSNTCFEFNFMEIYPEKKGLMSGSSNEVSGKKRSGTNICDGICVDQPVAKRLELPEEETGCGLRKSGLLGSFDLNNQYQNDNESSPKAIDLNCKE >KJB30406 pep chromosome:Graimondii2_0_v6:5:38533335:38535585:-1 gene:B456_005G141900 transcript:KJB30406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFLLPSACLSYTTQNLALHNMQNQDMNLVLSTDAKPRLKWTPELHQRFVEAVNQLGGPDKATPKNLMRVIGISGLTLYHLKSHLQKFRLGKSQQTEICLSDNLDDYREIQSGNKDLSSDTSDGTHKLMNDSKIAQALQMQMEVQRKLHEQIEVQRHLQLRIEAQGKYLQSVLKKAQETLAGYTSSSVGVELAKAELSQLVSMVNTGCTSSSLSELTEIGGSSLKQMERKPMKGTICSRESSLTSSESSGRTEDDEPPKNENICNRKSNTCFEFNFMEIYPEKKGLMSGSSNEVSGKKRSGTNICDGICVDQPVAKRLELPEEETGCGLRKSGLLGSFDLNNQYQNDNESSPKAIDLNCKE >KJB30410 pep chromosome:Graimondii2_0_v6:5:38533651:38534964:-1 gene:B456_005G141900 transcript:KJB30410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCVYCFRTEATPKNLMRVIGISGLTLYHLKSHLQKFRLGKSQQTEICLSDNLDDYREIQSGNKDLSSDTSDGTHKLMNESSKIAQALQMQMEVQRKLHEQIEVQRHLQLRIEAQGKYLQSVLKKAQETLAGYTSSSVGVELAKAELSQLVSMVNTGCTSSSLSELTEIGGSSLKQMERKPMKGTICSRESSLTSSESSGRTEDDEPPKNENICNRKSNTCFEFNFMEIYPEKKGLMSGSSNEVSGKKRSGTNICDGICVDQPVAKRLELPEEETGCGLRKSGLLGSFDLNNQYQNDNESSPKAIDLNCKE >KJB30407 pep chromosome:Graimondii2_0_v6:5:38533469:38535571:-1 gene:B456_005G141900 transcript:KJB30407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFLLPSACLSYTTQNLALHNMQNQDMNLVLSTDAKPRLKWTPELHQRFVEAVNQLGGPDKATPKNLMRVIGISGLTLYHLKSHLQKFRLGKSQQTEICLSDNLDDYREIQSGNKDLSSDTSDGTHKLMNESSKIAQALQMQMEVQRKLHEQIEVQRHLQLRIEAQGKYLQSVLKKAQETLAGYTSSSVGVELAKAELSQLVSMVNTGCTSSSLSELTEIGGSSLKQMERKPMKGTICSRESSLTSSESSGRTEDDEPPKNENICNRKSNTCFEFNFMEIYPEKKGLMSGSSNEVSGKKRSGTNICDGICVDQPVAKRLELPEEETGCGLRKSGLLGSFDLNNQYQNDNESSPKAIDLNCKE >KJB30409 pep chromosome:Graimondii2_0_v6:5:38533469:38534480:-1 gene:B456_005G141900 transcript:KJB30409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMEVQRKLHEQIEVQRHLQLRIEAQGKYLQSVLKKAQETLAGYTSSSVGVELAKAELSQLVSMVNTGCTSSSLSELTEIGGSSLKQMERKPMKGTICSRESSLTSSESSGRTEDDEPPKNENICNRKSNTCFEFNFMEIYPEKKGLMSGSSNEVSGKKRSGTNICDGICVDQPVAKRLELPEEETGCGLRKSGLLGSFDLNNQYQNDNESSPKAIDLNCKE >KJB30408 pep chromosome:Graimondii2_0_v6:5:38533335:38535740:-1 gene:B456_005G141900 transcript:KJB30408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFLLPSACLSYTTQNLALHNMQNQDMNLVLSTDAKPRLKWTPELHQRFVEAVNQLGGPDKATPKNLMRVIGISGLTLYHLKSHLQKFRLGKSQQTEICLSDNLDDYREIQSGNKDLSSDTSDGTHKLMNESSKIAQALQMQMEVQRKLHEQIEVQRHLQLRIEAQGKYLQSVLKKAQETLAGYTSSSVGVELAKAELSQLVSMVNTGCTSSSLSELTEIGGSSLKQMERKPMKGTICSRESSLTSSESSGRTEDDEPPKNENICNRKSNTCFEFNFMEIYPEKKGLMSGSSNEVSGKKRSGTNICDGICVDQPVAKRLELPEEETGCGLRKSGLLGSFDLNNQYQNDNESSPKAIDLNCKE >KJB32699 pep chromosome:Graimondii2_0_v6:5:63318324:63318851:1 gene:B456_005G256400 transcript:KJB32699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISARKLIKLARKWQKMAAIKRKRITFSTTASMVEKGHFVVYSADEKRFMLPLEYLKNEMVMELFTLAEEEFGIPSNGHLILPFDSTFMEYTIGLIKRKASKEVEKALIMSIVNDRCSSSSLNLYQQETREQLPIRSF >KJB32762 pep chromosome:Graimondii2_0_v6:5:63551414:63554405:-1 gene:B456_005G260200 transcript:KJB32762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSKGRRRTAFRQFRPTPYSLSSRRQGISGDLYHKNCSKPLDKKDWEDVTCSVCMECPHNAVLLLCSSHDKGCRPYMCGTSFRYSNCLDQYKKFYTKVVSSSHEESLHGSIDNLVLASSVEKCEVTELACPLCRGQVKGWTVVEPAREYLNAKKRSCMQDDCTFVGTFKELRKHMKANHPCAKPREVDPTLEQKWRRLEREHEREDVISTIRSAMPGAMVFGDYVIEGNHHGLETEEEDGPDTDPADRNGNFEVGLDSNVVNFFLLLHAFGPSGNDLSRRPRQPTHTPDEDTVGIHHTSPVGDLGSSGQDDDEDDGGNISLVSRLRRHGRLLLGRSGRRRRRREGTTGGQI >KJB32761 pep chromosome:Graimondii2_0_v6:5:63551340:63554884:-1 gene:B456_005G260200 transcript:KJB32761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSKGRRRTAFRQFRPTPYSLSSRRQGISGDLYHKNCSKPLDKKDWEDVTCSVCMECPHNAVLLLCSSHDKGCRPYMCGTSFRYSNCLDQYKKFYTKVVSSSHEESLHGSIDNLVLASSVEKCEVTELACPLCRGQVKGWTVVEPAREYLNAKKRSCMQDDCTFVGTFKELRKHMKANHPCAKPREVDPTLEQKWRRLEREHEREDVISTIRSAMPGAMVFGDYVIEGNHHGLETEEEDGPDTDPADRNGNFEVGLDSNVVNFFLLLHAFGPSGNDLSRRPRQPTHTPDEDTVGIHHTSPVGDLGSSGQDDDEDDGGNISLVSRLRRHGRLLLGRSGRRRRRREGTTGGQI >KJB32763 pep chromosome:Graimondii2_0_v6:5:63551874:63552935:-1 gene:B456_005G260200 transcript:KJB32763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSKGRRRTAFRQFRPTPYSLSSRRQGISGDLYHKNCSKPLDKKDWEDVTCSVCMECPHNAVLLLCSSHDKGCRPYMCGTSFRYSNCLDQYKKFYTKVVSSSHEESLHGSIDNLVLASSVEKCEVTELACPLCRGQVKGWTVVEPAREYLNAKKRSCMQDDCTFVGTFKELRKHMKANHPCAKPREVDPTLEQKWRRLEREHEREDVISTIRSAMPGAMVFGDYVIEGNHHGLETEEEDGPDTDPADRNGNFEVGLDSNVVNFFLLLHAFGPSGNDLSRRPRQPTHTPDEDTVGIHHTSPVGDLGSSGQDDDEDDGGNISLVSRLRRHGRLLLGRSGRRRRRREGTTGGQI >KJB30556 pep chromosome:Graimondii2_0_v6:5:41039094:41045475:-1 gene:B456_005G149600 transcript:KJB30556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPKTKYDRQLRIWGEQGQAALEKASICLLNCGPTGSETLKNLVLGGVGSITIVDGSKVEFGDLGNNFMVDDSSLGQSKAKCVCSFLQELNDAVKAKFIEEYPEALIDTNPSFFSQFTLVVATQLVEESMVKLDRICRQENVMLIFARSYGLTGLVRICVKEHTVIESKPDHFLDDLRLNNPWPELRGFADAIDLNVQDPVAHKHIPYVVILVKMADEWKTSHGGTLPSTREQKREFKELLKARMAATDEDNYKEAIDASFKLFAPQGISSDLQQIINDSCAEVGSSSSDFWVMVAALKEFIANEGGGEVPLEGSIPDMTSSTEHYVNLQKIYQAKSEADYLVLEERVRNILKKIGRDPHSIPKATIKSFSKNARKLKVCRYRSIEDEYNNPSPAELHKYLTDEDYSIAVGFYILLRAVDRYAANFNHFPGQFDGGLDEDISRLKTTAVSLLNDLGCSGLTLTEDLINEMCRFGAAELHAVAAIIGGIASQEVIKLITKQFVPMTGTFAFNAIDHKSQLLNLC >KJB30557 pep chromosome:Graimondii2_0_v6:5:41039461:41044070:-1 gene:B456_005G149600 transcript:KJB30557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKFCLWQEHTVIESKPDHFLDDLRLNNPWPELRGFADAIDLNVQDPVAHKHIPYVVILVKMADEWKTSHGGTLPSTREQKREFKELLKARMAATDEDNYKEAIDASFKLFAPQGISSDLQQIINDSCAEVGSSSSDFWVMVAALKEFIANEGGGEVPLEGSIPDMTSSTEHYVNLQKIYQAKSEADYLVLEERVRNILKKIGRDPHSIPKATIKSFSKNARKLKVCRYRSIEDEYNNPSPAELHKYLTDEDYSIAVGFYILLRAVDRYAANFNHFPGQFDGGLDEDISRLKTTAVSLLNDLGCSGLTLTEDLINEMCRFGAAELHAVAAIIGGIASQEVIKLITKQFVPMTGTFAFNAIDHKSQLLNLC >KJB30377 pep chromosome:Graimondii2_0_v6:5:36882133:36886876:-1 gene:B456_005G140100 transcript:KJB30377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVWELTNASLDQAPRRLKRESKTRRKEDMKILWWVSLVFVITNVGALAEEPQLSSPRVVFQTNHGDIEFGFYPSVAPKTVDHIFKLVRLGCYNTNHFFRVDRGFVAQVADVASGRSAPMNEKQRREAEKTIVGEFSDVKHVRGILSMGRYSDPDSAQSSFSILLGDAPHLDGQYAIFGKVTKGDETLRKLEELPTHREGIFVMPVERITILSSYYYDTELESCKQERGILKQRLAASAVEIERQRMKCFP >KJB30378 pep chromosome:Graimondii2_0_v6:5:36882185:36886752:-1 gene:B456_005G140100 transcript:KJB30378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVWELTNASLDQAPRRLKRESKTRRKEDMKILWWVSLVFVITNVGALAEEPQLSSPRVVFQVDRGFVAQVADVASGRSAPMNEKQRREAEKTIVGEFSDVKHVRGILSMGRYSDPDSAQSSFSILLGDAPHLDGQYAIFGKVTKGDETLRKLEELPTHREGIFVMPVERITILSSYYYDTELESCKQERGILKQRLAASAVEIERQRMKCFP >KJB30379 pep chromosome:Graimondii2_0_v6:5:36882185:36886752:-1 gene:B456_005G140100 transcript:KJB30379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVWELTNASLDQAPRRLKRESKTRRKEDMKILWWVSLVFVITNVGALAEEPQLSSPRVVFQTNHGDIEFGFYPSVAPKTVDHIFKLVRLGCYNTNHFFRVDRGFVAQVADVASGRSAPMNEKQRREAEKTIVGEFSDVKHVRGILSMGRYSDPDSAQSSFSILLGDAPHLDGQPVERITILSSYYYDTELESCKQERGILKQRLAASAVEIERQRMKCFP >KJB30380 pep chromosome:Graimondii2_0_v6:5:36882666:36886675:-1 gene:B456_005G140100 transcript:KJB30380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVWELTNASLDQAPRRLKRESKTRRKEDMKILWWVSLVFVITNVGALAEEPQLSSPRVVFQTNHGDIEFGFYPSVAPKTVDHIFKLVRLGCYNTNHFFRVDRGFVAQVADVASGRSAPMNEKQRREAEKTIVGEFSDVKHVRGILSMGRYSDPDSAQSSFSILLGDAPHLDGQYAIFGKVTKGDETLRKLEELPTHREGIFVMPVERITILSSYYYDTELESCKQERGILKQRLAASAVEIERQVRCL >KJB30018 pep chromosome:Graimondii2_0_v6:5:36252311:36255252:-1 gene:B456_005G139100 transcript:KJB30018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYSDIGKKARDLLYKDYQADHKFTVTTYTSNGVAITSTGIKKGELLLADVSTELKNNNITTNVKVDTKSTLFATVTVDEPAPGLKTIFSFIVPDQRSGKVELQYQHEYAGISTSIGLNANPLVNFSGVVGNNCVSVGTDLSFDTGSGNFTKLNAGLNFTHSDLIASLTLNDKGDTLNASYYHIVSPLTNTAVGAELTHSFSSNENTLTIGTQHALDPLTTVKARLNNYGRASGLIQHEWRPKSLFTISGEVDTRAIEKSAKVGLALALKP >KJB30019 pep chromosome:Graimondii2_0_v6:5:36252311:36255278:-1 gene:B456_005G139100 transcript:KJB30019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYSDIGKKARDLLYKDYQADHKFTVTTYTSNGVAITSTGIKKGELLLADVSTELKNNNITTNVKVDTKSTLFATVTVDEPAPGLKTIFSFIVPDQRSGKVELQYQHEYAGISTSIGLNANPLVNFSGVVGNNCVSVGTDLSFDTGSGNFTKLNAGLNFTHSDLIASLTLNDKGDTLNASYYHIVSPLTNTAVGAELTHSFSSNENTLTIGTQHALDPLTTVKARLNNYGRASGLIQHEWRPKSLFTISGEVDTRAIEKSAKVGLALALKP >KJB30016 pep chromosome:Graimondii2_0_v6:5:36253690:36255104:-1 gene:B456_005G139100 transcript:KJB30016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYSDIGKKARDLLYKDYQADHKFTVTTYTSNGVAITSTGIKKGELLLADVSTELKNNNITTNVKVDTKSTLFATVTVDEPAPGLKTIFSFIVPDQRSGKVELQYQHEYAGISTSIGLNANPLVNFSGVVGNNCVSVGTDLSFDTGSGNFTKLNAGLNFTHSDLIASLTLYVVPRRSWL >KJB30017 pep chromosome:Graimondii2_0_v6:5:36252311:36255194:-1 gene:B456_005G139100 transcript:KJB30017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYSDIGKKARDLLYKDYQADHKFTVTTYTSNGVAITSTGIKKGELLLADLFATVTVDEPAPGLKTIFSFIVPDQRSGKVELQYQHEYAGISTSIGLNANPLVNFSGVVGNNCVSVGTDLSFDTGSGNFTKLNAGLNFTHSDLIASLTLNDKGDTLNASYYHIVSPLTNTAVGAELTHSFSSNENTLTIGTQHALDPLTTVKARLNNYGRASGLIQHEWRPKSLFTISGEVDTRAIEKSAKVGLALALKP >KJB30887 pep chromosome:Graimondii2_0_v6:5:48861261:48862046:1 gene:B456_005G166000 transcript:KJB30887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIITLISDRRLNREGFDRDSNLLAHDGKISITINGWLHDLLWGQASQVIQSYGSLLSTYDLLFLGAHFVWVFSLTCLFSGRGYWQELIESIVWDHNKVKVTPTTQPKALSIVQRRVVGVTHYLLGGISTTWAFFLIK >KJB30809 pep chromosome:Graimondii2_0_v6:5:47636391:47637636:1 gene:B456_005G163900 transcript:KJB30809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKNKGKVYPSPSSSSSSSEDHLSVLNLLPAAILVVASVLSLEDRQVLAYMITRSLKTTTTNPSLISPKKRSSKKHPPPSAARPSHQPPDFDCDCFDCYTTYWLRWDSSPNRELIHQVIEAFEDHLSSGESHKPSKKNARLKRRAANTKTVSRIPKNPVSDLPGHQVPVSTEEAPVFADDVISTEKNVGEESAAAVEMTEEYPVAGDSDVEVGTRPPPTSNHKGLARKVLPDILGLFNSRLWGLWSPNV >KJB27542 pep chromosome:Graimondii2_0_v6:5:3408150:3409830:1 gene:B456_005G035900 transcript:KJB27542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENQKPQSHQNLPSSPNKSIPEIESNAASDFSAHQRVRFPNPPDLTNPDPSTLRDQWRYAIRQYSRWYSHAWGTAILAGVSFFALGWVIKGSNPLPSFNSDKNKNDSDTNKNDNSK >KJB27540 pep chromosome:Graimondii2_0_v6:5:3408150:3409837:1 gene:B456_005G035900 transcript:KJB27540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENQKPQSHQNLPSSPNKSIPEIESNAASDFSAHQRVRFPNPPDLTNPDPSTLRDQWRYAIRQYSRWYSHAWGTAILAGVSFFALGWVIKGSNPLPSFNSDKNKNDSDTNKNDNSK >KJB27541 pep chromosome:Graimondii2_0_v6:5:3408234:3409709:1 gene:B456_005G035900 transcript:KJB27541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENQKPQSHQNLPSSPNKSIPEIESNAASDFSAHQRVRFPNPPDLTNPDPSTLRDQWRYAIRQYSRWYSHAWGTAILAGVSFFALGWVIKGSNPLPSFNSDKNKNDSDTNKNDNSK >KJB29419 pep chromosome:Graimondii2_0_v6:5:15697906:15699606:-1 gene:B456_005G099500 transcript:KJB29419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVFNWYKNGGTAEPGTKRSETTLQAKKHLSSMGWVFWYAQKNGRQELRYQSPQGKVYYTLKAACKGYIDETSGAEGGGGMAVAVAADLEPKKPLKRKSLTQENAAFPKSLPLKSLQPPKRGKKVRKQENQAKVIKRASIRIREDPVPNSSHRNPRTVLSWLIDNNAVSILAKVYYRNKAGNPLMRGRITRTGIQCDCCFRVFALTAFEAHAGSTNHRPAANIILDDGSGRSLSDCQRQVHDSMMTIPTSAKKDDLNPHGSDDVCSACCDGGELICCDRCPSAFHVNCVGLKEVPDGDWFCPSCCCGICCIGTVSDDDNFLACQQCECKFHIGCLKLKESNELAGKNNWFCSHSCENIFSGLRNLTGKPILVGNNLTWTLLKSKASSNGDGYTDCPDGLDSCAENHRKLNIALDVMHECFEPSKDSYTGRDLVKAVIFSQGSKLKRLNFKGFYIAVLEENDDLVSVATIRVHGDIVAEMSLVATRFSHRRRGMCRALVDELEKNLAKLGVQKLILPAVPAAVDTWVNGFGFSHMTGDERSKLLQYTLLDFQGSIMCQKPLKTEL >KJB32230 pep chromosome:Graimondii2_0_v6:5:61340479:61344536:1 gene:B456_005G230800 transcript:KJB32230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomere repeat-binding factor 1 [Source:Projected from Arabidopsis thaliana (AT1G49950) UniProtKB/Swiss-Prot;Acc:Q8VWK4] MGAPKQKWTPEEEAALKAGVIKHGAGKWRTILKDPEFSGVLYLRSNVDLKDKWRNMSVMANGWGSRDKARLAVKRTSSFPKQEESAVDLAVAPSDEEIVDVKSVPVSSATLQIPSSTKRSIVRLDNLIMEAITTLKEPGGSNKTNIAAYIEEQYWAPPDFKRLLSAKLKYLTACGRLIKVKRRYRIAPALSFSDRRRNHPMLFSEGRQRVSPRFDRDDLKIITKSQIDLELARMRKMTPQEAAAAAARAVAEAEAAIAEAEEAAREAEVAEADAEAAQAFAEAAMKTLKGRNNQKVMVRA >KJB32507 pep chromosome:Graimondii2_0_v6:5:62421676:62425720:-1 gene:B456_005G243800 transcript:KJB32507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQRNIGVALVLFYLLLLSLLFKPTVEQRLSSSIEFTALFELRSSLGLKSRDWPRKVDPCSSWNGIRCENGSVFEINISGFRRTTVGRQNPRFAVDSLVNFTRLVSFNASKFLLPGSIPDWFGRRLLTLQVLDLRSCNITGVIPSSIGNLSNLSILYLSDNRLTGAIPSSLGRLLSLSVLDLSNNLLTGSIPPGIGALSQLQILNLSSNSLRFSIPAQLGDLDSLVDLDLSSNSLSGLVPEDLSGLRNLQRMVLGNNGLTGLLPVNLFRSPSLLQVIVLRNNSFTGELPEVIWSISGLNLLDISQNNFTTELPNFASYDNATAAVLDISGNKFYGSLTTVLRRFSSMNLSENYFEGSVPDFVLGNASLGTNCLQNVSNQRTLTDCVSFYGERGLSFDNFGPPPPESGKSNSNRNRIILAAVLGGAGFIMLLTLFLLLVLRVRTRRRVSHRGIDVGQVCAETTPPSPGLAINFSSLGDLFTYQQLLQATGNFTEANLIKHGHSGDLFKGILESGLPVVIKRVDLQSIKKEVYLSELDFFNRFTHTRLVPLLGHCLEKENEKFLVYKYMPNGDLLSSLYRKINSETDGLQSLDWITRLKIAIGAAEGLSYLHHECTPPIVHRDVRASSILLDDKFEVRLGSLSKFCLQEDDGRQNGITRLLQLPRSSSERGSSDSSTALCAYDVYCFGMVLLGLVTGNLDMNASSETEMKEWLEQTLPYISIYDKELVTKILDPSLLVDEDLLEEVWAMAIMARSCLNLKPSRRPLMRYLLKALENPLRVVREDHSSSARLRRTSSRGSWNAALFGSWRRSSSDIAASTTRAEGGADHTSSRKHHSKEIFIFPEPPPQETERLPS >KJB32506 pep chromosome:Graimondii2_0_v6:5:62421676:62424985:-1 gene:B456_005G243800 transcript:KJB32506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQRNIGVALVLFYLLLLSLLFKPTVEQRLSSSIEFTALFELRSSLGLKSRDWPRKVDPCSSWNGIRCENGSVFEINISGFRRTTVGRQNPRFAVDSLVNFTRLVSFNASKFLLPGSIPDWFGRRLLTLQVLDLRSCNITGVIPSSIGNLSNLSILYLSDNRLTGAIPSSLGRLLSLSVLDLSNNLLTGSIPPGIGALSQLQILNLSSNSLRFSIPAQLGDLDSLVDLDLSSNSLSGLVPEDLSGLRNLQRMVLGNNGLTGLLPVNLFRSPSLLQVIVLRNNSFTGELPEVIWSISGLNLLDISQNNFTTELPNFASYDNATAAVLDISGNKFYGSLTTVLRRFSSMNLSENYFEGSVPDFVLGNASLGTNCLQNVSNQRTLTDCVSFYGERGLSFDNFGPPPPESGKSNSNRNRIILAAVLGGAGFIMLLTLFLLLVLRVRTRRRVSHRGIDVGQVCAETTPPSPGLAINFSSLGDLFTYQQLLQATGNFTEANLIKHGHSGDLFKGILESGLPVVIKRVDLQSIKKEVYLSELDFFNRFTHTRLVPLLGHCLEKENEKFLVYKYMPNGDLLSSLYRKINSETDGLQSLDWITRLKIAIGAAEGLSYLHHECTPPIVHRDVRASSILLDDKFEVRLGSLSKFCLQEDDGRQNGITRLLQLPRSSSERGSSGPMCLFHLLYGRMYRNQHLLP >KJB32508 pep chromosome:Graimondii2_0_v6:5:62421676:62425740:-1 gene:B456_005G243800 transcript:KJB32508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGNNGLTGLLPVNLFRSPSLLQVIVLRNNSFTGELPEVIWSISGLNLLDISQNNFTTELPNFASYDNATAAVLDISGNKFYGSLTTVLRRFSSMNLSENYFEGSVPDFVLGNASLGTNCLQNVSNQRTLTDCVSFYGERGLSFDNFGPPPPESGKSNSNRNRIILAAVLGGAGFIMLLTLFLLLVLRVRTRRRVSHRGIDVGQVCAETTPPSPGLAINFSSLGDLFTYQQLLQATGNFTEANLIKHGHSGDLFKGILESGLPVVIKRVDLQSIKKEVYLSELDFFNRFTHTRLVPLLGHCLEKENEKFLVYKYMPNGDLLSSLYRKINSETDGLQSLDWITRLKIAIGAAEGLSYLHHECTPPIVHRDVRASSILLDDKFEVRLGSLSKFCLQEDDGRQNGITRLLQLPRSSSERGSSDSSTALCAYDVYCFGMVLLGLVTGNLDMNASSETEMKEWLEQTLPYISIYDKELVTKILDPSLLVDEDLLEEVWAMAIMARSCLNLKPSRRPLMRYLLKALENPLRVVREDHSSSARLRRTSSRGSWNAALFGSWRRSSSDIAASTTRAEGGADHTSSRKHHSKEIFIFPEPPPQETERLPS >KJB27842 pep chromosome:Graimondii2_0_v6:5:1136530:1142974:1 gene:B456_005G015600 transcript:KJB27842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTDLDTHDFSNCYVIIVQGAKNVINACQESKVKRLVYNSSADVVFDGSQDILNGDESFAYPGKFKDMTVDLKFQAEGLIRLANNIGGLQTCVLRPSNVFGPGETQFVPLLANLAKFGLAKFITGSGGNMSDFTYVENVAHAHICAAETMDSWVVSVAGKAFFITNLEPIMFWEFISLILEGLGYQRPFIKVPTWMVSYVVILSQYIHDKLGYRMYKYSVSPHYIVQLASRNRTFDCSAAQKHLGYSLVVSLEDGIKSTVASFSHLSKYSSFMRFGNFDEQSKAEKLLGSGIVADVLLWRDERRTFMCFLILALAFYWFFFCGKTFTSSAAQLLLLVTAILYGYGILASDICGFAVQNIPSSCFEIQNSDVKNSIRSISYMWNRVVCSIRLLAKGEDWSRFFKVMAFLYLFKWIVSYSLAVLVGIVLVFAFTAFFIYEQYESVIEGLWAVLLFGIMESKGLIISTLPDYVTAFLRNNNGSHQEKAHFS >KJB27840 pep chromosome:Graimondii2_0_v6:5:1135368:1143660:1 gene:B456_005G015600 transcript:KJB27840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKAAASDVARGGWSETRTCVVLGGRGFIGRTLVNRLLRLGGWIVRVADSSSHSLLLDTSSGLDSLLSDAISSGQATFCHVDVLDTSQIVKVTTGADVVFYMEPTDLDTHDFSNCYVIIVQGAKNVINACQESKVKRLVYNSSADVVFDGSQDILNGDESFAYPGKFKDMTVDLKFQAEGLIRLANNIGGLQTCVLRPSNVFGPGETQFVPLLANLAKFGLAKFITGSGGNMSDFTYVENVAHAHICAAETMDSWVVSVAGKAFFITNLEPIMFWEFISLILEGLGYQRPFIKVPTWMVSYVVILSQYIHDKLGYRMYKYSVSPHYIVQLASRNRTFDCSAAQKHLGYSLVVSLEDGIKSTVASFSHLSKYSSFMRFGNFDEQSKAEKLLGSGIVADVLLWRDERRTFMCFLILALAFYWFFFCGKTFTSSAAQLLLLVTAILYGYGILASDICGFAVQNIPSSCFEIQNSDVKNSIRSISYMWNRVVCSIRLLAKGEDWSRFFKVMAFLYLFKWIVSYSLAVLVGIVLVFAFTAFFIYEQYESVIEGLWAVLLFGIMESKGLIISTLPDYVTAFLRNNNGSHQEKAHFS >KJB27841 pep chromosome:Graimondii2_0_v6:5:1135373:1142974:1 gene:B456_005G015600 transcript:KJB27841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKAAASDVARGGWSETRTCVVLGGRGFIGRTLVNRLLRLGGWIVRVADSSSHSLLLDTSSGLDSLLSDAISSGQATFCHVDVLDTSQIVKVTTGADVVFYMEPTDLDTHDFSNCYVIIVQGAKNVINACQESKVKRLVYNSSADVVFDGSQDILNGDESFAYPGKFKDMTVDLKFQAEGLIRLANNIGGLQTCVLRPSNVFGPGETQFVPLLANLAKFGLAKFITGSGGNMSDFTYVENVAHAHICAAETMDSWVVSVAGKAFFITNLEPIMFWEFISLILEGLGYQRPFIKVPTWMVSYVVILSQYIHDKLGYRMYKYSVSPHYIVQLASRNRTFDCSAAQKHLGYSLVVSLEDGIKSTVASFSHLSKYSSFMRFGNFDEQSKAEKLLGSGIVADVLLWRDERRTFMCFLILALAFYWFFFCGKTFTSSAAQLLLLVTAILYGYGILASDM >KJB27714 pep chromosome:Graimondii2_0_v6:5:405204:407755:-1 gene:B456_005G006400 transcript:KJB27714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYLYTILILILVMINHGSCDSTIHVGHRVSLAIPLEYNDGFIGRAFLMDYGDDTKQIEPSFRVALSTEANKGKYSCSLEVFLGDVKLKGPKNRIGWRTGTSGQGVERLQILRTGNLVLLDVLNQIKWQSFNFPTDVMLWGQRLDVSTWLTSFPRNTSSFYTFEILYNKVALFLNSGRLKYSYWEFKPSKNRNITFVELGSKGLELFDDKHKKMAQIIASWKVQPVRFLALGNRTGNLGIYFYSPTTRKFEASFQALNTTCDLPLACKPYGICTFSNSCSCIRLLTKKNHEVSDCNQRVPSRFCGGGTTQVEMLELNDVVTVLKDAPKRINVTKTTCANLCLNDCKCVAALHSYGDYGDPSSEQCSLFQLVAGIKQVEKGLGLSYMVKVPKGTSYHHNNPSVKKWVLIVVGVVDGLIIILVLGGLVYYLVHKRRNNLQGTNNDT >KJB27715 pep chromosome:Graimondii2_0_v6:5:405235:407491:-1 gene:B456_005G006400 transcript:KJB27715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYLYTILILILVMINHGSCDSTIHVGHRVSLAIPLEYNDGFIGRAFLMDYGDDTKQIEPSFRVALSTEANKGKYSCSLEVFLGDVKVWNSGHYSKFYTSDVCFLELTEDGDLQLKGPKNRIGWRTGTSGQGVERLQILRTGNLVLLDVLNQIKWQSFNFPTDVMLWGQRLDVSTWLTSFPRNTSSFYTFEILYNKVALFLNSGRLKYSYWEFKPSKNRNITFVELGSKGLELFDDKHKKMAQIIASWKVQPVRFLALGNRTGNLGIYFYSPTTRKFEASFQALNTTCDLPLACKPYGICTFSNSCSCIRLLTKKNHEVSDCNQRVPSRFCGGGTTQVEMLELNDVVTVLKDAPKRINVTKTTCANLCLNDCKCVAALHSYGDYGDPSSEQCSLFQLVAGIKQVEKGLGLSYMVKVPKGTSYHHNNPSVKKWVLIVVGVVDGLIIILVLGGLVYYLVHKRRNNLQGTNNDT >KJB28564 pep chromosome:Graimondii2_0_v6:5:5680522:5683577:1 gene:B456_005G055900 transcript:KJB28564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCANGKCCSRDSSTSDEDSGHHQRGIRPYKNKDGLTQRSLELVHVPSHNFTLQYSSLTQNGYYPDTTDRENQDSFCIKTQIQAITVLVVGDTLYVANVGDSRAVIAVKDGDRILAEDLSVDQTPFRKDEYDRVKLFGARVLSVDQVEGLKDPDIQHWGDEESHGGDPPRLWVPNGMYPGTAFTRSVGDSTAEKIGVIAVPEISIVRFTPSHLFFVVASDGVFEFLSSQTVVNMAAAYKDPNDACAAIAGDSYKRWLELENRTDDITIIIVQIKGLSNSGVGTTDSEVHSRPCQIGGSMNQSTAIVPPLMHQRPLESDMG >KJB28563 pep chromosome:Graimondii2_0_v6:5:5680522:5683049:1 gene:B456_005G055900 transcript:KJB28563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCANGKCCSRDSSTSDEDSGHHQRGIRPYKNKDGLTQRSLELVHVPSHNFTLQYSSLTQNGYYPDTTDRENQDSFCIKTQIQGNPNVHFFGVFDGHGQYGAQCSNFVKDKLVEILSSDPTLLDDPLKAFNSAFLATNSELRDSEIDDALSGTTAITVLVVGDTLYVANVGDSRAVIAVKDGDRILAEDLSVDQTPFRKDEYDRVKLFGARVLSVDQVEGLKDPDIQHWGDEESHGGDPPRLWVPNGMYPGTAFTRSVGDSTAEKIGVIAVPEISIVRFTPSHLFFVVASDGVFEFLSSQTVVNMAAAYKDPNDACAAIAGDSYKRWLELENRTDDITIIIVQIKGLSNSGVGTTDSEVHSRPCQIGGSMNQSTAIVPPLMHQRPLESVGHSSCSFLSLYIYLSHLFFIHCATRLVDLPYTWFLCFATCVIFFIVVPAYIQPLGEE >KJB28562 pep chromosome:Graimondii2_0_v6:5:5679905:5683581:1 gene:B456_005G055900 transcript:KJB28562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCANGKCCSRDSSTSDEDSGHHQRGIRPYKNKDGLTQRSLELVHVPSHNFTLQYSSLTQNGYYPDTTDRENQDSFCIKTQIQGNPNVHFFGVFDGHGQYGAQCSNFVKDKLVEILSSDPTLLDDPLKAFNSAFLATNSELRDSEIDDALSGTTAITVLVVGDTLYVANVGDSRAVIAVKDGDRILAEDLSVDQTPFRKDEYDRVKLFGARVLSVDQVEGLKDPDIQHWGDEESHGGDPPRLWVPNGMYPGTAFTRSVGDSTAEKIGVIAVPEISIVRFTPSHLFFVVASDGVFEFLSSQTVVNMAAAYKDPNDACAAIAGDSYKRWLELENRTDDITIIIVQIKGLSNSGVGTTDSEVHSRPCQIGGSMNQSTAIVPPLMHQRPLESDMG >KJB29529 pep chromosome:Graimondii2_0_v6:5:18678586:18680483:1 gene:B456_005G105500 transcript:KJB29529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIDFAFFIDVFYESSPWIPFILFKVYNRAYFYAGLSKKGLEYFSKYIQPTPILLTINILEDFTKPLPLSFQLFGNILADELVVVVFVSLVPSVVPIPVMFLGLVTSGIQALIFATLAAAYIGESMEGHH >KJB28607 pep chromosome:Graimondii2_0_v6:5:5971247:5972349:-1 gene:B456_005G058200 transcript:KJB28607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIEESFKRLKSEMKEISEEQKNIREGQRQVKEKFGIIESECEELKRETRLIIQRSTRTQVKLAHFSLVYYK >KJB27433 pep chromosome:Graimondii2_0_v6:5:58548698:58550673:-1 gene:B456_005G206500 transcript:KJB27433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLSPAAPWQLCSGKSGMFCPSQAFLVKPTRTHLVKNEKGMRITCQATSIPADRVPDMGKRQLMNLLLLGAISLPSGFMLVPYAAFFVPSGGRGTGGGTVAKDAIGNDVIAEEWLKTHGPGDRTLTQGLKVNPTYLVVEKDRTLATYGINAVCTHLGCVVPWNQAENKFICPCHGSQYNDQGRVVRGPAPLSLALAHAGVEDGKVVFVPWVETDFRTGDAPWWS >KJB27432 pep chromosome:Graimondii2_0_v6:5:58548528:58550742:-1 gene:B456_005G206500 transcript:KJB27432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLSPAAPWQLCSGKSGMFCPSQAFLVKPTRTHLVKNEKGMRITCQATSIPADRVPDMGKRQLMNLLLLGAISLPSGFMLVPYAAFFVPSGGRGTGGGTVAKDAIGNDVIAEEWLKTHGPGDRTLTQGLKGDPTYLVVEKDRTLATYGINAVCTHLGCVVPWNQAENKFICPCHGSQYNDQGRVVRGPAPLSLALAHAGVEDGKVVFVPWVETDFRTGDAPWWS >KJB27434 pep chromosome:Graimondii2_0_v6:5:58548698:58550689:-1 gene:B456_005G206500 transcript:KJB27434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLSPAAPWQLCSGKSGMFCPSQAFLVKPTRTHLVKNEKGMRITCQATSIPADRVPDMGKRQLMNLLLLGAISLPSGFMLVPYAAFFVPSGGRGTGGGTVAKDAIGNDVIAEEWLKTHGPGDRTLTQGLKGDPTYLVVEKDRTLATYGINAVCTHLGCVVPWNQAENKFICPCHGSQYNDQGRVVRGPAPLVSLIDS >KJB28724 pep chromosome:Graimondii2_0_v6:5:7048801:7049205:-1 gene:B456_005G066200 transcript:KJB28724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNQQQVRNHVSDSVEHGIDELHRAADRCLVYPLAAIEGAIQGAARGVHNVVTQKHHLENGATCGSSSHPGKCKCKRKSKSKPHCSRCGHPVGSSTPYEFYSYPPAPPPPPPPSPQFQYHHQPTFPVPCRPPY >KJB32776 pep chromosome:Graimondii2_0_v6:5:63588150:63590714:-1 gene:B456_005G260900 transcript:KJB32776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNEDPNLQQQREQSLESGNNEGHSRFLCGMVLSRISNEFSFRCVFVLFLSLSVLLPGIFWILPFRSSNSGFEAKLAMKLSAPVHACFTLQKPVSELVDHIQKLEYDIYEEIGVPETKVAILSMHPSGESNSTNVVFGFLSNPVHHPISPVALSVLRSSLIELFLRRSNLTLTTPIFGQPSKFEILKFRGGITVIPVQSASIFQITQFLFNFTLYNSISEIEHKCIELRDQLKYGLHLRSYENLFVRLTNKNGSTMSSPVIVQASVIDSFGNMLPQRLKQLAQTITHSPARNLGLNNSDFGKVKSISLSSYLKGTLHASPPTPSPTSAPKPSVSLHPNFAPTHPPRLSPKIHRFPPCPMCNTASPSAHRPLHSPSPTPPISPASSSVVAHPPPPCPYSRPAVPPSPPSKSYSNVIPKHPPLMSPRSQLSPPNLPPIASVSYGSRPGQGMEHTKGPVSAPVAKSPAVQSPSSVAVRVSLKEFHLLGVLGVLIFHQLL >KJB32775 pep chromosome:Graimondii2_0_v6:5:63587402:63591006:-1 gene:B456_005G260900 transcript:KJB32775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNEDPNLQQQREQSLESGNNEGHSRFLCGMVLSRISNEFSFRCVFVLFLSLSVLLPGIFWILPFRSSNSGFEAKLAMKLSAPVHACFTLQKPVSELVDHIQKLEYDIYEEIGVPETKVAILSMHPSGESNSTNVVFGFLSNPVHHPISPVALSVLRSSLIELFLRRSNLTLTTPIFGQPSKFEILKFRGGITNLFVRLTNKNGSTMSSPVIVQASVIDSFGNMLPQRLKQLAQTITHSPARNLGLNNSDFGKVKSISLSSYLKGTLHASPPTPSPTSAPKPSVSLHPNFAPTHPPRLSPKIHRFPPCPMCNTASPSAHRPLHSPSPTPPISPASSSVVAHPPPPCPYSRPAVPPSPPSKSYSNVIPKHPPLMSPRSQLSPPNLPPIASVSYGSRPGQGMEHTKGPVSAPVAKSPAVQSPSSVAVRVSLKEFHLLGVLGVLIFHQLL >KJB30494 pep chromosome:Graimondii2_0_v6:5:40154805:40155954:-1 gene:B456_005G147000 transcript:KJB30494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTGVSDTGRMLKKSFHRRNDSGELDVFEAARYFSGYNEASSYNCATFTQKIMREERQPWRGGRVSLDVPMRNPLPQQVHVVDKQIKDKKYKQPSSPGGRLASFLNSLFNQTGSKKKKSKSTTQSMKGEEESPSGRRKRRSSISHFLSSSTAETKSLYSASSSGFRTPPPYAHTPTKSYREFRSYSDHREVLSLSKNNIGQTKPTALENVATNDKRNITEKLKFNNGHSEKHKNLDVCHQEKGRNWGDRHPSEEKEIRTFNKTDDDADSDSSSDLFELQNYDLGIYSSGGLPVYETTHMDTIKRGTPISNGFEMFVCHSFILNGVMQKR >KJB30670 pep chromosome:Graimondii2_0_v6:5:43462805:43464405:1 gene:B456_005G154400 transcript:KJB30670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVLLFQRGNRLRSSTPFHSKPLFRRHLQSMKFISLNWIHLHQDGFISLMGYFYFLYQTFDAVDWKQARRTNSSSPLGELFDHGCDALACAFETMAFGSTAMCGRDSFWFWVISAVPFY >KJB30669 pep chromosome:Graimondii2_0_v6:5:43462805:43463852:1 gene:B456_005G154400 transcript:KJB30669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVLLFQRGNRLRSSTPFHSKPLFRRHLQSMKFISLNWIHLHQDGFISLMGYFYFLYQTFDAVDWKQARRTNSSSPLGELFDHGCDALACAVHVFNLLRCMTKANGIPHYIRFDSFRSSKPWPLGALLCVEGTVSGSG >KJB30668 pep chromosome:Graimondii2_0_v6:5:43462805:43464405:1 gene:B456_005G154400 transcript:KJB30668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVLLFQRGNRLRSSTPFHSKPLFRRHLQSMKFISLNWIHLHQDGFISLMGYFYFLYQTFDAVDWKQARRTNSSSPLGELFDHGCDALACAVHVFNLLRCMTKANGIPHYIRFDSFRSSKPWPLGALLCVEGTVSGSGYFTNTLILPVVNGPTEGLALIYVMHFLTGFLVQKSI >KJB31410 pep chromosome:Graimondii2_0_v6:5:55290967:55291338:-1 gene:B456_005G189900 transcript:KJB31410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLIYVGAISVLMLFAMMFMNSSIYYKDFNLWTIGNDLTSLVCTSILVSLITTILDTSWYKIIWTARSNQIIEQDLISNSQQIGNHLATHFFLPF >KJB28271 pep chromosome:Graimondii2_0_v6:5:3718820:3719412:-1 gene:B456_005G039400 transcript:KJB28271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTQAKIVLLFIAMMVLISSAAAAVAPQDFIIKPSNLGRKLLATYYSTPSVYAGRNGGGGNPCCK >KJB32490 pep chromosome:Graimondii2_0_v6:5:62358832:62361437:-1 gene:B456_005G242800 transcript:KJB32490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSLKKARAWCASKGNIPYFETSILARHHRCWEQQQPTKVRRMRMLKDTMHVHYFFLFRQ >KJB32488 pep chromosome:Graimondii2_0_v6:5:62358759:62361437:-1 gene:B456_005G242800 transcript:KJB32488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVARAWCASKGNIPYFETSAKEGVNVEEAFQCIARDALKSGEEEDIYLPDTIDVGSSSSQPRSGGCEC >KJB32489 pep chromosome:Graimondii2_0_v6:5:62359106:62361153:-1 gene:B456_005G242800 transcript:KJB32489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSLKKARAWCASKGNIPYFETSAKEGVNVEEAFQCIARDALKSGEEEDIYLPDTIDVGSSSSQPRSGGCEC >KJB32487 pep chromosome:Graimondii2_0_v6:5:62358759:62361437:-1 gene:B456_005G242800 transcript:KJB32487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSLKKARAWCASKGNIPYFETSAKEGVNVEEAFQCIARDALKSGEEEDIYLPDTIDVGSSSSQPRSGGCEC >KJB32491 pep chromosome:Graimondii2_0_v6:5:62359062:62361153:-1 gene:B456_005G242800 transcript:KJB32491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSLKKARAWCASKGNIPYFETSAKEGILARHHRCWEQQQPTKVRRMRMLKDTMHVHYFFLFRQ >KJB32492 pep chromosome:Graimondii2_0_v6:5:62358759:62361455:-1 gene:B456_005G242800 transcript:KJB32492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSLKKARAWCASKGNIPYFETSAKEGVNVEEILARHHRCWEQQQPTKVRRMRMLKDTMHVHYFFLFRQ >KJB27817 pep chromosome:Graimondii2_0_v6:5:801281:802986:-1 gene:B456_005G011500 transcript:KJB27817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPRFIVLKSPGAETYLGSKHDNGKYNGYAEFTEPKVVSANAKFEVEFAKDGLVHIRSCTNNKYLKRTHNPYITEKPNEEYWITVTADKPEEDQSKESCTLFKPILEDPVYKNFRFVHVQSGCYLCLWPLAKSELGRGVLANNNHVDANRDDIFKVIDWESLVILPRYVAFKGNNDMFLRLTQVEGHPYLEFSSADVGAGSVPMEVFYMKNGDIRIKPVSSDKFWRRSPNWIWADSNDTKGTDKDTLFRAFKVDSKTIALLNFGNNNFCKRLTTEGKTSCLNAAVPSITREAFLMVQEPVLSRQIYNLRYDTENARVYNEKVLVVAKNSATNRTTQANTLDVKLSYTETSTSTWLAHFTLGLETKATFQVGVPLIGEAGVEISSKFETGIEWGETKTTTTMMEVNHQVHVPPMTKVTVNLLMSHGVCDVPFVFTQKDTLYNGTVVTTDVIGNTFTGTNYYNIQYDTKEESLTS >KJB31664 pep chromosome:Graimondii2_0_v6:5:57648251:57652058:-1 gene:B456_005G200700 transcript:KJB31664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGSSSMAKDQSISVSEAVHKIQLHLLDGIRDEKQLISSGSLISRSDYEDVVTERSISNTCGYPLCQNPLPSEPRRRGRYRISLKEHRVYDLQETSRFCSADCLINSRAFAGSLQEERCSVLNHAKLNAILSLFDDVDLNDEDLGKNGDLGFSNLKIKENEEIKAGEVSSVGPSNAIEGYVPQRELVSKPSSSKNSKNGVFDSSSSKLGDIKGDYFVNNEIDFTSAVIMNNEYLDFTSAVIMNNEYTTSKNPGSLRQSQRTKPSSMKDVINEMDFTSEIIMNDEYTVSKTPPGSRQGSSGSKLKKTEGQGVCKDFEEKCMRSESSSALTKEDSGIVEMPSTKCVDQSGLDTINAEAEKETHSDKAVASSGVVLKSSLKSAGAKKLNRSVTWADKKNVDGARKGSLCEVKEMDAQKGDSENLGRAEDGDDDDNMLRFASAEACAMALSEAAAAVASGDSDVNDAVSEAGLIILAHPLEADKEEKVENIDTLEAEPEPEEGPVKWPTKPGIPRSDFFDPEDSWFDAPPEGFSLTLSTFATMWNALFEWITSSSLAYIYGRDETFHEEYLSVNGREYPQKIVLRDGRSSEIKETLAGCISRAFPAIVTALRLPIPISTLEQGMGRLLDTMSFVEALPAFRMKQWQVIVLLLIDALSVCRIPALTPHMTNGRMLLHKVLDGAQISMEEYEVMKDLIIPLGRAPHFSAQSGA >KJB31666 pep chromosome:Graimondii2_0_v6:5:57647946:57652152:-1 gene:B456_005G200700 transcript:KJB31666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGSSSMAKDQSISVSEAVHKIQLHLLDGIRDEKQLISSGSLISRSDYEDVVTERSISNTCGYPLCQNPLPSEPRRRGRYRISLKEHRVYDLQETSRFCSADCLINSRAFAGSLQEERCSVLNHAKLNAILSLFDDVDLNDEDLGKNGDLGFSNLKIKENEEIKAGEVSSVGPSNAIEGYVPQRELVSKPSSSKNSKNGVFDSSSSKLGDIKGDYFVNNEIDFTSAVIMNNEYLDFTSAVIMNNEYTTSKNPGSLRQSQRTKPSSMKDVINEMDFTSEIIMNDEYTVSKTPPGSRQGSSGSKLKKTEGQGVCKDFEEKCMRSESSSALTKEDSGIVEMPSTKCVDQSGLDTINAEAEKETHSDKAVASSGVVLKSSLKSAGAKKLNRSVTWADKKNVDGARKGSLCEVKEMDAQKGDSENLGRAEDGDDDDNMLRFASAEACAMALSEAAAAVASGDSDVNDAVSEAGLIILAHPLEADKEEKVENIDTLEAEPEPEEGPVKWPTKPGIPRSDFFDPEDSWFDAPPEGFSLTLSTFATMWNALFEWITSSSLAYIYGRDETFHEEYLSVNGREYPQKIVLRDGRSSEIKETLAGCISRAFPAIVTALRLPIPISTLEQGMGRLLDTMSFVEALPAFRMKQWQVIVLLLIDALSVCRIPALTPHMTNGRMLLHKVLDGAQISMEEYEVMKDLIIPLGRAPHFSAQSGA >KJB31665 pep chromosome:Graimondii2_0_v6:5:57648784:57652024:-1 gene:B456_005G200700 transcript:KJB31665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGSSSMAKDQSISVSEAVHKIQLHLLDGIRDEKQLISSGSLISRSDYEDVVTERSISNTCGYPLCQNPLPSEPRRRGRYRISLKEHRVYDLQETSRFCSADCLINSRAFAGSLQEERCSVLNHAKLNAILSLFDDVDLNDEDLGKNGDLGFSNLKIKENEEIKAGEVSSVGPSNAIEGYVPQRELVSKPSSSKNSKNGVFDSSSSKLGDIKGDYFVNNEIDFTSAVIMNNEYLDFTSAVIMNNEYTTSKNPGSLRQSQRTKPSSMKDVINEMDFTSEIIMNDEYTVSKTPPGSRQGSSGSKLKKTEGQGVCKDFEEKCMRSESSSALTKEDSGIVEMPSTKCVDQSGLDTINAEAEKETHSDKAVASSGVVLKSSLKSAGAKKLNRSVTWADKKNVDGARKGSLCEVKEMDAQKGDSENLGRAEDGDDDDNMLRFASAEACAMALSEAAAAVASGDSDVNDAVSEAGLIILAHPLEADKEEKVENIDTLEAEPEPEEGPVKWPTKPGIPRSDFFDPEDSWFDAPPEGFSLTLSTFATMWNALFEWITSSSLAYIYGRDETFHEEYLSVNGREYPQKIVLRDGRSSEIKETLAGCISRAFPAIVTALRLPIPISTLEQGMGRLLDTMSFVEALPAFRMKQWQVIVLLLIDALSVCRIPALTPHMTNGRMLLHKVLDGAQISMEEYEVMKDLIIPLGRAPHFSAQSGA >KJB31668 pep chromosome:Graimondii2_0_v6:5:57647948:57652126:-1 gene:B456_005G200700 transcript:KJB31668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGSSSMAKDQSISVSEAVHKIQLHLLDGIRDEKQLISSGSLISRSDYEDVVTERSISNTCGYPLCQNPLPSEPRRRGRYRISLKEHRVYDLQETSRFCSADCLINSRAFAGSLQEERCSVLNHAKLNAILSLFDDVDLNDEDLGKNGDLGFSNLKIKENEEIKAGEVSSVGPSNAIEGYVPQRELVSKPSSSKNSKNGVFDSSSSKLGDIKGDYFVNNEIDFTSAVIMNNEYLDFTSAVIMNNEYTTSKNPGSLRQSQRTKPSSMKDVINEMDFTSEIIMNDEYTVSKTPPGSRQGSSGSKLKKTEGQGVCKDFEEKCMRSESSSALTKEDSGIVEMPSTKCVDQSGLDTINAEAEKETHSDKAVASSGVVLKSSLKSAGAKKLNRSVTWADKKNVDGARKGSLCEVKEMDAQKGDSENLGRAEDGDDDDNMLRFASAEACAMALSEAAAAVASGDSDVNDAVSEAGLIILAHPLEADKEEKVENIDTLEAEPEPEEGPVKWPTKPGIPRSDFFDPEDSWFDAPPEGFSLTLSTFATMWNALFEWITSSSLAYIYGRDETFHEEYLSVNGREYPQKIVLRDGRSSEIKETLAGCISRAFPAIVTALRLPIPISTLEQGMGRLLDTMSFVEALPAFRMKQWQVIVLLLIDALSVCRIPALTPHMTNGRMLLHKVLDGAQISMEEYEVMKDLIIPLGRAPHFSAQSGA >KJB31667 pep chromosome:Graimondii2_0_v6:5:57648784:57652024:-1 gene:B456_005G200700 transcript:KJB31667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGSSSMAKDQSISVSEAVHKIQLHLLDGIRDEKQLISSGSLISRSDYEDVVTERSISNTCGYPLCQNPLPSEPRRRGRYRISLKEHRVYDLQETSRFCSADCLINSRAFAGSLQEERCSVLNHAKLNAILSLFDDVDLNDEDLGKNGDLGFSNLKIKENEEIKAGEVSSVGPSNAIEGYVPQRELVSKPSSSKNSKNGVFDSSSSKLGDIKGDYFVNNEIDFTSAVIMNNEYLDFTSAVIMNNEYTTSKNPGSLRQSQRTKPSSMKDVINEMDFTSEIIMNDEYTVSKTPPGSRQGSSGSKLKKTEGQGVCKDFEEKCMRSESSSALTKEDSGIVEMPSTKCVDQSGLDTINAEAEKETHSDKAVASSGVVLKSSLKSAGAKKLNRSVTWADKKNVDGARKGSLCEVKEMDAQKGDSENLGRAEDGDDDDNMLRFASAEACAMALSEAAAAVASGDSDVNDAVSEAGLIILAHPLEADKEEKVENIDTLEAEPEPEEGPVKWPTKPGIPRSDFFDPEDSWFDAPPEGFSLTLSTFATMWNALFEWITSSSLAYIYGRDETFHEEYLSVNGREYPQKIVLRDGRSSEIKETLAGCISRAFPAIVTALRLPIPISTLEQGMGRLLDTMSFVEALPAFRMKQWQVIVLLLIDALSVCRIPALTPHMTNGRMLLHKVLDGAQISMEEYEVMKDLIIPLGRAPHFSAQSGA >KJB31728 pep chromosome:Graimondii2_0_v6:5:58419289:58424212:-1 gene:B456_005G204800 transcript:KJB31728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEIERESGSMIFSDEELIEVSGLKKGRDFIEVTCGCTSHRYGDAVGKLRVFSNGDLEITCECTPGCNEDKLTPAAFEKHSGRETARKWKNNVWVIVNGEKVSLSKTALLKYYNQASKNANGTNRSHNGRVCHRDEFVRCSRCNKERRFRLRTKEECRIHHDALADVNWKCSDLPYDRITCDDEEERASRRVYRGCTRSPTCKGCTSCVCFGCEICRFTDCSCQTCIDFTRNAKV >KJB31730 pep chromosome:Graimondii2_0_v6:5:58421295:58424192:-1 gene:B456_005G204800 transcript:KJB31730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEIERESGSMIFSDEELIEVSGLKKGRDFIEVTCGCTSHRYGDAVGKLRVFSNGDLEITCECTPGCNEDKLTPAAFEKHSGRETARKWKNNVWVIVNGEKVSLSKTALLKYYNQASKNANGTNRSHNGRVCHRDEFVRCSRCNKERRFRLRTKEECRIHHDALADVNWKCSDLPYDRFVSPLLIEFLFDKLGLSSPVVLLTTY >KJB31729 pep chromosome:Graimondii2_0_v6:5:58419977:58424192:-1 gene:B456_005G204800 transcript:KJB31729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEIERESGSMIFSDEELIEVSGLKKGRDFIEVTCGCTSHRYGDAVGKLRVFSNGDLEITCECTPGCNEDKLTPAAFEKHSGRETARKWKNNVWVIVNGEKVSLSKTALLKYYNQASKNANGTNRSHNGRVCHRDEFVRCSRCNKERRFRLRTKEECRIHHDALADVNWKCSDLPYDRCVLEYLHLFCS >KJB27861 pep chromosome:Graimondii2_0_v6:5:1156854:1160655:1 gene:B456_005G015800 transcript:KJB27861 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP16 [Source:Projected from Arabidopsis thaliana (AT1G06110) UniProtKB/Swiss-Prot;Acc:Q9LND7] MGKELDGLGYLPLHIILSKLSPSDIIKVSCANKRLRASASDESLWAQICYQELQLSTPQDDHGNPLPCFMLAYQLWREAFSMYPWPLVKRVKRCWDKLKKWFNNNFPEAEATLRRGASESDIEQLQTLLKVKLPLPTRLLYRFHDGQELPEKRNPKTASGSWLGIIGGYSFYNHSVNVYLLPLNQVIAKTRYVIRHLGFSSRSKCIVMASSFTFSRKVFFLNCTNGQLFVGTRKPLTDGEMIPCVPNALIRSVHDLYGEEQQDAMLLWLEEHGRRLENGIIKVRKEGDDRSINLFPEVPPLCVTTVTNGVQVRASAVFVPEFADLVDEAEKFTFAYSIRMSLLPEGCVINGMTFVSCQLNRRHWIIRADGEVVSSVDDEAVIGEYPLLHPGEGEFVYQSCAPLPSPSGSIEGHFTFVPGRYVG >KJB27859 pep chromosome:Graimondii2_0_v6:5:1156854:1159466:1 gene:B456_005G015800 transcript:KJB27859 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP16 [Source:Projected from Arabidopsis thaliana (AT1G06110) UniProtKB/Swiss-Prot;Acc:Q9LND7] MGKELDGLGYLPLHIILSKLSPSDIIKVSCANKRLRASASDESLWAQICYQELQLSTPQDDHGNPLPCFMLAYQLWREAFSMYPWPLVKRVKRCWDKLKKWFNNNFPEAEATLRRGASESDIEQLQTLLKVKLPLPTRLLYRFHDGQELPEKRNPKTASGSWLGIIGGYSFYNHSVNVYLLPLNQVIAKTRYVIRHLGFSSRSKCIVMASSFTFSRKVFFLNCTNGQLFVGTRKPLTDGEMIPCVPNALIRSVHDLYGEEQQDAMLLWLEEHGRRLENGIIKVRKEGDDRSINLFPEVPPLCVTTVTNGVQVRASAVFVPEFADLVDEAEKFTFAYSIRMSLLPEGCVINGMTFVSCQLNRRHWIIRADGEVVSSVDDEAVIGEVMKCLFLSV >KJB27860 pep chromosome:Graimondii2_0_v6:5:1156854:1160135:1 gene:B456_005G015800 transcript:KJB27860 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP16 [Source:Projected from Arabidopsis thaliana (AT1G06110) UniProtKB/Swiss-Prot;Acc:Q9LND7] MGKELDGLGYLPLHIILSKLSPSDIIKVSCANKRLRASASDESLWAQICYQELQLSTPQDDHGNPLPCFMLAYQLWREAFSMYPWPLVKRVKRCWDKLKKWFNNNFPEAEATLRRGASESDIEQLQTLLKVKLPLPTRLLYRFHDGQELPEKRNPKTASGSWLGIIGGYSFYNHSVNVYLLPLNQVIAKTRYVIRHLGFSSRSKCIVMASSFTFSRKVFFLNCTNGQLFVGTRKPLTDGEMIPCVPNALIRSVHDLYGEEQQDAMLLWLEEHGRRLENGIIKVRKEGDDRSINLFPEVPPLCVTTVTNGVQVRASAVFVPEFADLVDEAEKFTFAYSIRMSLLPEGCVINGMTFVSCQLNRRHWIIRADGEVVSSVDDEAVIGEYPLLHPGEGEFVYQSCAPLPSPSGSIEGHFTFVPGRYVKQTFDYF >KJB27858 pep chromosome:Graimondii2_0_v6:5:1156802:1160771:1 gene:B456_005G015800 transcript:KJB27858 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP16 [Source:Projected from Arabidopsis thaliana (AT1G06110) UniProtKB/Swiss-Prot;Acc:Q9LND7] MGKELDGLGYLPLHIILSKLSPSDIIKVSCANKRLRASASDESLWAQICYQELQLSTPQDDHGNPLPCFMLAYQLWREAFSMYPWPLVKRVKRCWDKLKKWFNNNFPEAEATLRRGASESDIEQLQTLLKVKLPLPTRLLYRFHDGQELPEKRNPKTASGSWLGIIGGYSFYNHSVNVYLLPLNQVIAKTRYVIRHLGFSSRSKCIVMASSFTFSRKVFFLNCTNGQLFVGTRKPLTDGEMIPCVPNALIRSVHDLYGEEQQDAMLLWLEEHGRRLENGIIKVRKEGDDRSINLFPEVPPLCVTTVTNGVQVRASAVFVPEFADLVDEAEKFTFAYSIRMSLLPEGCVINGMTFVSCQLNRRHWIIRADGEVVSSVDDEAVIGEYPLLHPGEGEFVYQSCAPLPSPSGSIEGHFTFVPGRLADPRGGPFEVQVARFPLEMPDYVF >KJB29929 pep chromosome:Graimondii2_0_v6:5:28068530:28074678:-1 gene:B456_005G125700 transcript:KJB29929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGSQERSPSYQRSFSQNDAGTSDDMDKSMEGGVRNTNNSDGNTTDNKLTSSTSKNDDAANEVQNSAMGTRATDSARVTKFTKELSGQMVILERLRELAWSGVPPYMRPNVWRLLLGYAPPNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSADEINMLRQIAVDCPRTVPDVPFFQQAQVQKSLDRILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGSIDSWSISDMSSGKISNIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHMEDQGLEYLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDALPDFLVYIFASFLLTWSDELQKLDFQEMVMFLQHLPTQNWTYQELETVLSRAYIWHSMFNSSPSHLAS >KJB29927 pep chromosome:Graimondii2_0_v6:5:28068941:28074494:-1 gene:B456_005G125700 transcript:KJB29927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNNNSSSHGEVDQRNKESLDSRFNQTLKNVQGLLKGRSIPGKILLTRRSDILDDSGSQERSPSYQRSFSQNDAGTSDDMDKSMEGGVRNTNNSDGNTTDNKLTSSTSKNDDAANEVQNSAMGTRATDSARVTKFTKELSGQMVILERLRELAWSGVPPYMRPNVWRLLLGYAPPNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSADEINMLRQIAVDCPRTVPDVPFFQQAQVQKSLDRILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGSIDSWSISDMSSGKISNIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHMEDQGLEYLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDALPDFLVYIFASFLLTWSDELQKLDFQEMVMFLQHLPTQNWTYQELETVLSRAYIWHSMFNSSPSHLAS >KJB29928 pep chromosome:Graimondii2_0_v6:5:28068511:28074810:-1 gene:B456_005G125700 transcript:KJB29928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNNNSSSHGEVDQRNKESLDSRLLKGRSIPGKILLTRRSDILDDSGSQERSPSYQRSFSQNDAGTSDDMDKSMEGGVRNTNNSDGNTTDNKLTSSTSKNDDAANEVQNSAMGTRATDSARVTKFTKELSGQMVILERLRELAWSGVPPYMRPNVWRLLLGYAPPNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSADEINMLRQIAVDCPRTVPDVPFFQQAQVQKSLDRILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGSIDSWSISDMSSGKISNIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHMEDQGLEYLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDALPDFLVYIFASFLLTWSDELQKLDFQEMVMFLQHLPTQNWTYQELETVLSRAYIWHSMFNSSPSHLAS >KJB30639 pep chromosome:Graimondii2_0_v6:5:42570195:42589878:-1 gene:B456_005G152800 transcript:KJB30639 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G24706) UniProtKB/Swiss-Prot;Acc:F4IAT2] MSLPPIECIYVTEEIIRESKNGNSNFSFSSPVPMLRFLYELSSAMVRGELPFQKCKAVLDAVEFTERVSEDEFASCFADIVTQMAQDFTMAGECRINLIKLAKWLVESSLVPLRLFQERSEEEFLSEAEMIKIKAPDLKVKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRGSEDSTQNSSTARIGIIKSLIGHFDLDPNRVFDIVLECYELQPDNNAFLQLIPIFPKSHASQILGFKFQFYQRMEVNTPTPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYNGFSAKRLDEANKIGKINLAAIGKDLMEDEKQGDVRIDLFAALDMENEAVAERSPELENSQTLGLLTGFLLVDDWYHAHILFDRLSPLNPVAHVRICKGLFRLIEKSISSAYDIVRQTHLQSFVSPLGIDNVDTRGTTVSNSFIDLPKELFQMLATVGPHLYRDTLLLQKVCRVLRSYYLSALELVTNADGASNGEMVTTGHRNPRLHLKEARPRVEETLGACLLPSLQLVPANPAVGQEIWEVMNLLPYEVRYRLYGEWEKDDERNPTILAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYKDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVQFTENLTEEQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDEPKLAIPLLLLIAQHRSLVVINADATYIKMVSEQFDRCHGTLLQYVEFLCSAVTPAAAYAQLIPSLDDLVHMYHLDPEVAFLIYRPVMRLFKCQGSHDVFWPLDANGTADITVACSESESKDDSSRVILDLGPPRKPTMWSELLDTVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNVYESEIAKQHAALKALEELPDNSSSAINKRKKDKERIQEALDRLTSELHKHEENVASVRRRLTREKDRWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDESIYERECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLVIQCLESTEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKNDEREDLKVLATGVAAALAARKSSWVTDEEFGMGYLELKPVPPITSKSVAGNTVAAQNGSSVNVSQNEAAGGRTVALGTQQSDVNLVKDQVSRTKPDGRLERAENAPLGKSDLKTKGGTSANGSDAALSVTLAASQAGIAKSHENQKQPDDSSNKLDKHAARTPAKNSAESELKASSKRSVPVGSLTKTQKQDPGKDDGKSGKAVGRTSAISVNDRDVPSHTEGRQGGTTNVSSAITSNGKDDSSEVPDASRPSSRIVHSPKHDSSAAASKSSDKLQKRTSPVEETDRLSKRRKGDVEVKDLDGEVRVSDRERSADPRSADFDKPGTDEVTSYRTGDKPLDRSKDKGSERHDRDYRDRLERSEKSRADDILIEKSRDRSIERHGRERSVERSIDRNLDRLGDKAKDERSKDERSKVRYADTSVEKSHADDRFHGQSLPPPPPLPPHMVPQSVNATGRRDDDPDRRFGSTRHTQRLSPRHEEKERRRSEENLLVSQDDGKRRREDDFRERKREEREGLSLKVEERERDRERDREKANVVKEDDVDATGAKRRKLKREHLPSEPGEYSPVAPPPPPLSIGMSQSYDGRERDRKGTMMQRGGYLEEPGMRIHGKEATGKMARRDPDPLYDREWDDEKRQRPEQKRRHRK >KJB30638 pep chromosome:Graimondii2_0_v6:5:42569703:42590137:-1 gene:B456_005G152800 transcript:KJB30638 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G24706) UniProtKB/Swiss-Prot;Acc:F4IAT2] MSLPPIECIYVTEEIIRESKNGNSNFSFSSPVPMLRFLYELSSAMVRGELPFQKCKAVLDAVEFTERVSEDEFASCFADIVTQMAQDFTMAGECRINLIKLAKWLVESSLVPLRLFQERSEEEFLSEAEMIKIKAPDLKVKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRGSEDSTQNSSTARIGIIKSLIGHFDLDPNRVFDIVLECYELQPDNNAFLQLIPIFPKSHASQILGFKFQFYQRMEVNTPTPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYNGFSAKRLDEANKIGKINLAAIGKDLMEDEKQGDVRIDLFAALDMENEAVAERSPELENSQTLGLLTGFLLVDDWYHAHILFDRLSPLNPVAHVRICKGLFRLIEKSISSAYDIVRQTHLQSFVSPLGIDNVDTRGTTVSNSFIDLPKELFQMLATVGPHLYRDTLLLQKVCRVLRSYYLSALELVTNADGASNGEMVTTGHRNPRLHLKEARPRVEETLGACLLPSLQLVPANPAVGQEIWEVMNLLPYEVRYRLYGEWEKDDERNPTILAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYKDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVQFTENLTEEQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDEPKLAIPLLLLIAQHRSLVVINADATYIKMVSEQFDRCHGTLLQYVEFLCSAVTPAAAYAQLIPSLDDLVHMYHLDPEVAFLIYRPVMRLFKCQGSHDVFWPLDANGTADITVACSESESKDDSSRVILDLGPPRKPTMWSELLDTVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNVYESEIAKQHAALKALEELPDNSSSAINKRKKDKERIQEALDRLTSELHKHEENVASVRRRLTREKDRWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDESIYERECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLVIQCLESTEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKNDEREDLKVLATGVAAALAARKSSWVTDEEFGMGYLELKPVPPITSKSVAGNTVAAQNGSSVNVSQNEAAGGRTVALGTQQSDVNLVKDQVSRTKPDGRLERAENAPLGKSDLKTKGGTSANGSDAALSVTLAASQAGIAKSHENQKQPDDSSNKLDKHAARTPAKNSAESELKASSKRSVPVGSLTKTQKQDPGKDDGKSGKAVGRTSAISVNDRDVPSHTEGRQGKDDSSEVPDASRPSSRIVHSPKHDSSAAASKSSDKLQKRTSPVEETDRLSKRRKGDVEVKDLDGEVRVSDRERSADPRSADFDKPGTDEVTSYRTGDKPLDRSKDKGSERHDRDYRDRLERSEKSRADDILIEKSRDRSIERHGRERSVERSIDRNLDRLGDKAKDERSKDERSKVRYADTSVEKSHADDRFHGQSLPPPPPLPPHMVPQSVNATGRRDDDPDRRFGSTRHTQRLSPRHEEKERRRSEENLLVSQDDGKRRREDDFRERKREEREGLSLKVEERERDRERDREKANVVKEDDVDATGAKRRKLKREHLPSEPGEYSPVAPPPPPLSIGMSQSYDGRERDRKGTMMQRGGYLEEPGMRIHGKEATGKMARRDPDPLYDREWDDEKRQRPEQKRRHRK >KJB30641 pep chromosome:Graimondii2_0_v6:5:42569802:42590182:-1 gene:B456_005G152800 transcript:KJB30641 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G24706) UniProtKB/Swiss-Prot;Acc:F4IAT2] MSLPPIECIYVTEEIIRESKNGNSNFSFSSPVPMLRFLYELSSAMVRGELPFQKCKAVLDAVEFTERVSEDEFASCFADIVTQMAQDFTMAGECRINLIKLAKWLVESSLVPLRLFQERSEEEFLSEAEMIKIKAPDLKVKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRGSEDSTQNSSTARIGIIKSLIGHFDLDPNRVFDIVLECYELQPDNNAFLQLIPIFPKSHASQILGFKFQFYQRMEVNTPTPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYNGFSAKRLDEANKIGKINLAAIGKDLMEDEKQGDVRIDLFAALDMENEAVAERSPELENSQTLGLLTGFLLVDDWYHAHILFDRLSPLNPVAHVRICKGLFRLIEKSISSAYDIVRQTHLQSFVSPLGIDNVDTRGTTVSNSFIDLPKELFQMLATVGPHLYRDTLLLQKVCRVLRSYYLSALELVTNADGASNGEMVTTGHRNPRLHLKEARPRVEETLGACLLPSLQLVPANPAVGQEIWEVMNLLPYEVRYRLYGEWEKDDERNPTILAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYKDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVQFTENLTEEQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDEPKLAIPLLLLIAQHRSLVVINADATYIKMVSEQFDRCHGTLLQYVEFLCSAVTPAAAYAQLIPSLDDLVHMYHLDPEVAFLIYRPVMRLFKCQGSHDVFWPLDANGTADITVACSESESKDDSSRVILDLGPPRKPTMWSELLDTVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNVYESEIAKQHAALKALEELPDNSSSAINKRKKDKERIQEALDRLTSELHKHEENVASVRRRLTREKDRWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDESIYERECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLVIQCLESTEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKNDEREDLKVLATGVAAALAARKSSWVTDEEFGMGYLELKPVPPITSKSVAGNTVAAQNGSSVNVSQNEAAGGRTVALGTQQSDVNLVKDQVSRTKPDGRLERAENAPLGKSDLKTKGGTSANGSDAALSVTLAASQAGIAKSHENQKQPDDSSNKLDKHAARTPAKNSAESELKASSKRSVPVGSLTKTQKQDPGKDDGKSGKAVGRTSAISVNDRDVPSHTEGRQGKDDSSEVPDASRPSSRIVHSPKHDSSAAASKSSDKLQKRTSPVEETDRLSKRRKGDVEVKDLDGEVRVSDRERSADPRSADFDKPGTDEVTSYRTGDKPLDRSKDKGSERHDRDYRDRLERSEKSRADDILIEKSRDRSIERHGRERSVERSIDRNLDRLGDKAKDERSKDERSKVRYADTSVEKSHADDRFHGQSLPPPPPLPPHMVPQSVNATGRRDDDPDRRFGSTRHTQRLSPRHEEKERRRSEENLLVSQDDGKRRREDDFRERKREEREGLSLKVEERERDRERDREKANVVKEDDVDATGAKRRKLKREHLPSEPGEYSPVAPPPPPLSIGMSQSYDGRERDRKGTMMQRGGYLEEPGMRIHGKEATGKMARRDPDPLYDREWDDEKRQRPEQKRRHRK >KJB30642 pep chromosome:Graimondii2_0_v6:5:42572857:42589878:-1 gene:B456_005G152800 transcript:KJB30642 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G24706) UniProtKB/Swiss-Prot;Acc:F4IAT2] MSLPPIECIYVTEEIIRESKNGNSNFSFSSPVPMLRFLYELSSAMVRGELPFQKCKAVLDAVEFTERVSEDEFASCFADIVTQMAQDFTMAGECRINLIKLAKWLVESSLVPLRLFQERSEEEFLSEAEMIKIKAPDLKVKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRGSEDSTQNSSTARIGIIKSLIGHFDLDPNRVFDIVLECYELQPDNNAFLQLIPIFPKSHASQILGFKFQFYQRMEVNTPTPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYNGFSAKRLDEANKIGKINLAAIGKDLMEDEKQGDVRIDLFAALDMENEAVAERSPELENSQTLGLLTGFLLVDDWYHAHILFDRLSPLNPVAHVRICKGLFRLIEKSISSAYDIVRQTHLQSFVSPLGIDNVDTRGTTVSNSFIDLPKELFQMLATVGPHLYRDTLLLQKVCRVLRSYYLSALELVTNADGASNGEMVTTGHRNPRLHLKEARPRVEETLGACLLPSLQLVPANPAVGQEIWEVMNLLPYEVRYRLYGEWEKDDERNPTILAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYKDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVQFTENLTEEQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDEPKLAIPLLLLIAQHRSLVVINADATYIKMVSEQFDRCHGTLLQYVEFLCSAVTPAAAYAQLIPSLDDLVHMYHLDPEVAFLIYRPVMRLFKCQGSHDVFWPLDANGTADITVACSESESKDDSSRVILDLGPPRKPTMWSELLDTVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNVYESEIAKQHAALKALEELPDNSSSAINKRKKDKERIQEALDRLTSELHKHEENVASVRRRLTREKDRWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDESIYERECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLVIQCLESTEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKNDEREDLKVLATGVAAALAARKSSWVTDEEFGMGYLELKPVPPITSKSVAGNTVAAQNGSSVNVSQNEAAGGRTVALGTQQSDVNLVKDQVSRTKPDGRLERAENAPLGKSDLKTKGGTSANGSDAALSVTLAASQAGIAKSHENQKQPDDSSNKLDKHAARTPAKNSAESELKASSKRSVPVGSLTKTQKQDPGKDDGKSGKAVGRTSAISVNDRDVPSHTEGRQGGTTNVSSAITSNGKTVSASPEGSLE >KJB30644 pep chromosome:Graimondii2_0_v6:5:42569860:42589924:-1 gene:B456_005G152800 transcript:KJB30644 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G24706) UniProtKB/Swiss-Prot;Acc:F4IAT2] MSLPPIECIYVTEEIIRESKNGNSNFSFSSPVPMLRFLYELSSAMVRGELPFQKCKAVLDAVEFTERVSEDEFASCFADIVTQMAQDFTMAGECRINLIKLAKWLVESSLVPLRLFQERSEEEFLSEAEMIKIKAPDLKVKEVTLLCRGSEDSTQNSSTARIGIIKSLIGHFDLDPNRVFDIVLECYELQPDNNAFLQLIPIFPKSHASQILGFKFQFYQRMEVNTPTPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYNGFSAKRLDEANKIGKINLAAIGKDLMEDEKQGDVRIDLFAALDMENEAVAERSPELENSQTLGLLTGFLLVDDWYHAHILFDRLSPLNPVAHVRICKGLFRLIEKSISSAYDIVRQTHLQSFVSPLGIDNVDTRGTTVSNSFIDLPKELFQMLATVGPHLYRDTLLLQKVCRVLRSYYLSALELVTNADGASNGEMVTTGHRNPRLHLKEARPRVEETLGACLLPSLQLVPANPAVGQEIWEVMNLLPYEVRYRLYGEWEKDDERNPTILAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYKDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVQFTENLTEEQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDEPKLAIPLLLLIAQHRSLVVINADATYIKMVSEQFDRCHGTLLQYVEFLCSAVTPAAAYAQLIPSLDDLVHMYHLDPEVAFLIYRPVMRLFKCQGSHDVFWPLDANGTADITVACSESESKDDSSRVILDLGPPRKPTMWSELLDTVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNVYESEIAKQHAALKALEELPDNSSSAINKRKKDKERIQEALDRLTSELHKHEENVASVRRRLTREKDRWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDESIYERECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLVIQCLESTEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKNDEREDLKVLATGVAAALAARKSSWVTDEEFGMGYLELKPVPPITSKSVAGNTVAAQNGSSVNVSQNEAAGGRTVALGTQQSDVNLVKDQVSRTKPDGRLERAENAPLGKSDLKTKGGTSANGSDAALSVTLAASQAGIAKSHENQKQPDDSSNKLDKHAARTPAKNSAESELKASSKRSVPVGSLTKTQKQDPGKDDGKSGKAVGRTSAISVNDRDVPSHTEGRQGGTTNVSSAITSNGKDDSSEVPDASRPSSRIVHSPKHDSSAAASKSSDKLQKRTSPVEETDRLSKRRKGDVEVKDLDGEVRVSDRERSADPRSADFDKPGTDEVTSYRTGDKPLDRSKDKGSERHDRDYRDRLERSEKSRADDILIEKSRDRSIERHGRERSVERSIDRNLDRLGDKAKDERSKDERSKVRYADTSVEKSHADDRFHGQSLPPPPPLPPHMVPQSVNATGRRDDDPDRRFGSTRHTQRLSPRHEEKERRRSEENLLVSQDDGKRRREDDFRERKREEREGLSLKVEERERDRERDREKANVVKEDDVDATGAKRRKLKREHLPSEPGEYSPVAPPPPPLSIGMSQSYDGRERDRKGTMMQRGGYLEEPGMRIHGKEATGKMARRDPDPLYDREWDDEKRQRPEQKRRHRK >KJB30643 pep chromosome:Graimondii2_0_v6:5:42569860:42589878:-1 gene:B456_005G152800 transcript:KJB30643 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G24706) UniProtKB/Swiss-Prot;Acc:F4IAT2] MSLPPIECIYVTEEIIRESKNGNSNFSFSSPVPMLRFLYELSSAMVRGELPFQKCKAVLDAVEFTERVSEDEFASCFADIVTQMAQDFTMAGECRINLIKLAKWLVESSLVPLRLFQERSEEEFLSEAEMIKIKAPDLKVKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRGSEDSTQNSSTARIGIIKSLIGHFDLDPNRVFDIVLECYELQPDNNAFLQLIPIFPKSHASQILGFKFQFYQRMEVNTPTPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYNGFSAKRLDEANKIGKINLAAIGKDLMEDEKQGDVRIDLFAALDMENEAVAERSPELENSQTLGLLTGFLLVDDWYHAHILFDRLSPLNPVAHVRICKGLFRLIEKSISSAYDIVRQTHLQSFVSPLGIDNVDTRGTTVSNSFIDLPKELFQMLATVGPHLYRDTLLLQKVCRVLRSYYLSALELVTNADGASNGEMVTTGHRNPRLHLKEARPRVEETLGACLLPSLQLVPANPAVGQEIWEVMNLLPYEVRYRLYGEWEKDDERNPTILAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYKDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVQFTENLTEEQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDEPKLAIPLLLLIAQHRSLVVINADATYIKMVSEQFDRCHGTLLQYVEFLCSAVTPAAAYAQLIPSLDDLVHMYHLDPEVAFLIYRPVMRLFKCQGSHDVFWPLDANGTADITVACSESESKDDSSRVILDLGPPRKPTMWSELLDTVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNVYESEIAKQHAALKALEELPDNSSSAINKRKKDKERIQEALDRLTSELHKHEENVASVRRRLTREKDRWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDESIYERECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLVIQCLESTEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKNDEREDLKVLATGVAAALAARKSSWVTDEEFGMGYLELKPVPPITSKSVAGNTVAAQNGSSVNVSQNEAAGGRTVALGTQQSDVNLVKDQVSRTKPDGRLERAENAPLGKSDLKTKGGTSANGSDAALSVTLAASQAGIAKSHENQKQPDDSSNKLDKHAARTPAKNSAESELKASSKRSVPVGSLTKTQKQDPGKDDGKSGKAVGRTSAISVNDRDVPSHTEGRQGGTTNVSSAITSNGKTVSASPEGKDDSSEVPDASRPSSRIVHSPKHDSSAAASKSSDKLQKRTSPVEETDRLSKRRKGDVEVKDLDGEVRVSDRERSADPRSADFDKPGTDEVTSYRTGDKPLDRSKDKGSERHDRDYRDRLERSEKSRADDILIEKSRDRSIERHGRERSVERSIDRNLDRLGDKAKDERSKDERSKVRYADTSVEKSHADDRFHGQSLPPPPPLPPHMVPQSVNATGRRDDDPDRRFGSTRHTQRLSPRHEEKERRRSEENLLVSQDDGKRRREDDFRERKREEREGLSLKVEERERDRERDREKANVVKEDDVDATGAKRRKLKREHLPSEPGEYSPVAPPPPPLSIGMSQSYDGRERDRKGTMMQRGGYLEEPGMRIHGKEATGKMARRDPDPLYDREWDDEKRQRPEQKRRHRK >KJB30640 pep chromosome:Graimondii2_0_v6:5:42570195:42589878:-1 gene:B456_005G152800 transcript:KJB30640 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G24706) UniProtKB/Swiss-Prot;Acc:F4IAT2] MSLPPIECIYVTEEIIRESKNGNSNFSFSSPVPMLRFLYELSSAMVRGELPFQKCKAVLDAVEFTERVSEDEFASCFADIVTQMAQDFTMAGECRINLIKLAKWLVESSLVPLRLFQERSEEEFLSEAEMIKIKAPDLKVKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRGSEDSTQNSSTARIGIIKSLIGHFDLDPNRVFDIVLECYELQPDNNAFLQLIPIFPKSHASQILGFKFQFYQRMEVNTPTPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYNGFSAKRLDEANKIGKINLAAIGKDLMEDEKQGDVRIDLFAALDMENEAVAERSPELENSQTLGLLTGFLLVDDWYHAHILFDRLSPLNPVAHVRICKGLFRLIEKSISSAYDIVRQTHLQSFVSPLGIDNVDTRGTTVSNSFIDLPKELFQMLATVGPHLYRDTLLLQKVCRVLRSYYLSALELVTNADGASNGEMVTTGHRNPRLHLKEARPRVEETLGACLLPSLQLVPANPAVGQEIWEVMNLLPYEVRYRLYGEWEKDDERNPTILAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYKDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVQFTENLTEEQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDEPKLAIPLLLLIAQHRSLVVINADATYIKMVSEQFDRCHGTLLQYVEFLCSAVTPAAAYAQLIPSLDDLVHMYHLDPEVAFLIYRPVMRLFKCQGSHDVFWPLDANGTADITVACSESESKDDSSRVILDLGPPRKPTMWSELLDTVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNVYESEIAKQHAALKALEELPDNSSSAINKRKKDKERIQEALDRLTSELHKHEENVASVRRRLTREKDRWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDESIYERECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLVIQCLESTEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKNDEREDLKVLATGVAAALAARKSSWVTDEEFGMGYLELKPVPPITSKSVAGNTVAAQNGSSVNVSQNEAAGGRTVALGTQQSDVNLVKDQVSRTKPDGRLERAENAPLGKSDLKTKGGTSANGSDAALSVTLAASQAGIAKSHENQKQPDDSSNKLDKHAARTPAKNSAESELKASSKRSVPVGSLTKTQKQDPGKDDGKSGKAVGRTSAISVNDRDVPSHTEGRQGGTTNVSSAITSNGKDDSSEVPDASRPSSRIVHSPKHDSSAAASKSSDKLQKRTSPVEETDRLSKRRKGDVEVKDLDGEVRVSDRERSADPRSADFDKPGTDEVTSYRTGDKPLDRSKDKGSERHDRDYRDRLERSEKSRADDILIEKSRDRSIERHGRERSVERSIDRNLDRLGDKAKDERSKDERSKVRYADTSVEKSHADDRFHGQSLPPPPPLPPHMVPQSVNATGRRDDDPDRRFGSTRHTQRLSPRHEEKERRRSEENLLVSQDDGKRRREDDFRERKREEREGLSLKVEERERDRERDREKANVVKEDDVDATGAKRRKLKREHLPSEPGEYSPVAPPPPPLSIGMSQSYDGRERDRKGTMMQRGGYLEEPGMRIHGKEATGKMARRDPDPLYDREWDDEKRQRPEQKRRHRK >KJB32331 pep chromosome:Graimondii2_0_v6:5:61792714:61796174:-1 gene:B456_005G235800 transcript:KJB32331 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTEN2A [Source:Projected from Arabidopsis thaliana (AT3G19420) UniProtKB/TrEMBL;Acc:A0A178V9M6] MANVSADSPAPFATDAGPNNSSTEDAPSKLSSWTRNLKIPQPFAASQEESTPTGNAGKSTFSRFTSGLGLRSPSKSTTADGNADGASTTTQPGFLGTITKGIVDSSKNAVKAVQVKARHVVSQNKRRYQEGGFDLDLSYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIQFFETHHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIQLILSFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESMGYYNQKRCVDGKGLVLPSQIRYVKYFERTLTYFNGENQPGRRCMLRGFRLLRCPYWIRPSITVSDHNGVLFSTKKHTRTKDLSPEDYWFSAPKKGVMVFALPGEPGLTELAGDFKIHFHDGQGDFYWSLAKHNNDGKQKNSEYQ >KJB32327 pep chromosome:Graimondii2_0_v6:5:61791898:61796362:-1 gene:B456_005G235800 transcript:KJB32327 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTEN2A [Source:Projected from Arabidopsis thaliana (AT3G19420) UniProtKB/TrEMBL;Acc:A0A178V9M6] MANVSADSPAPFATDAGPNNSSTEDAPSKLSSWTRNLKIPQPFAASQEESTPTGNAGKSTFSRFTSGLGLRSPSKSTTADGNADGASTTTQPGFLGTITKGIVDSSKNAVKAVQVKARHVVSQNKRRYQEGGFDLDLSYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIQFFETHHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIQLILSFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESMGYYNQKRCVDGKGLVLPSQIRYVKYFERTLTYFNGENQPGRRCMLRGFRLLRCPYWIRPSITVSDHNGVLFSTKKHTRTKDLSPEDYWFSAPKKGVMVFALPGEPGLTELAGDFKIHFHDGQGDFYCWLNTTMMENRKILNTSDLDWFDKRKLPSPGFQVEVVLADYNDPVPSNPPTKTTTTKPDESSGTSAAPSSNNNNKDDVFSDGEEEESATSTNRQQKPAAPSATTKSETSTNPDQVTSLVHSTEKVSLGSANSKQTHTTSNPTKDAAAAAASTEVSSSESEFKVMAADTSVFSFGDEEDYENE >KJB32329 pep chromosome:Graimondii2_0_v6:5:61791898:61796769:-1 gene:B456_005G235800 transcript:KJB32329 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTEN2A [Source:Projected from Arabidopsis thaliana (AT3G19420) UniProtKB/TrEMBL;Acc:A0A178V9M6] MANVSADSPAPFATDAGPNNSSTEDAPSKLSSWTRNLKIPQPFAASQEESTPTGNAGKSTFSRFTSGLGLRSPSKSTTADGNADGASTTTQPGFLGTITKGIVDSSKNAVKAVQVKARHVVSQNKRRYQEGGFDLDLSYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIQFFETHHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIQLILSFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESMGYYNQKRCVDGKGLVLPSQIRYVKYFERTLTYFNGENQPGRRCMLRGFRLLRCPYWIRPSITVSDHNGVLFSTKKHTRTKDLSPEDYWFSAPKKGVMVFALPGEPGLTELAGDFKIHFHDGQGDFYCWLNTTMMENRKILNTSDLDWFDKRKLPSPGFQVEVVLADYNDPVPSNPPTKTTTTKPDESSGTSAAPSSNNNNKDDVFSDGEEEESATSTNRQQKPAAPSATTKSETSTNPDQVTSLVHSTEKVSLGSANSKQTHTTSNPTKDAAAAAASTEVSSSESEFKVMAADTSVFSFGDEEDYENE >KJB32328 pep chromosome:Graimondii2_0_v6:5:61791858:61796836:-1 gene:B456_005G235800 transcript:KJB32328 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTEN2A [Source:Projected from Arabidopsis thaliana (AT3G19420) UniProtKB/TrEMBL;Acc:A0A178V9M6] MGFPAGDMSSGFFGYVEGFYRNHMEEVIQFFETHHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIQLILSFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESMGYYNQKRCVDGKGLVLPSQIRYVKYFERTLTYFNGENQPGRRCMLRGFRLLRCPYWIRPSITVSDHNGVLFSTKKHTRTKDLSPEDYWFSAPKKGVMVFALPGEPGLTELAGDFKIHFHDGQGDFYCWLNTTMMENRKILNTSDLDWFDKRKLPSPGFQVEVVLADYNDPVPSNPPTKTTTTKPDESSGTSAAPSSNNNNKDDVFSDGEEEESATSTNRQQKPAAPSATTKSETSTNPDQVTSLVHSTEKVSLGSANSKQTHTTSNPTKDAAAAAASTEVSSSESEFKVMAADTSVFSFGDEEDYENE >KJB32330 pep chromosome:Graimondii2_0_v6:5:61791898:61796769:-1 gene:B456_005G235800 transcript:KJB32330 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTEN2A [Source:Projected from Arabidopsis thaliana (AT3G19420) UniProtKB/TrEMBL;Acc:A0A178V9M6] MANVSADSPAPFATDAGPNNSSTEDAPSKLSSWTRNLKIPQPFAASQEESTPTGNAGKSTFSRFTSGLGLRSPSKSTTADGNADGASTTTQPGFLGTITKGIVDSSKNAVKAVQVKARHVVSQNKRRYQEGGFDLDLSYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIQFFETHHKTFMQDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIQLILSFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESMGYYNQKRCVDGKGLVLPSQIRYVKYFERTLTYFNGENQPGRRCMLRGFRLLRCPYWIRPSITVSDHNGVLFSTKKHTRTKDLSPEDYWFSAPKKGVMVFALPGEPGLTELAGDFKIHFHDGQGDFYCWLNTTMMENRKILNTSDLDWFDKRKLPSPGFQVEVVLADYNDPVPSNPPTKTTTTKPDESSGTSAAPSSNNNNKDDVFSDGEEEESATSTNRQQKPAAPSATTKSETSTNPDQVTSLVHSTEKVSLGSANSKQTHTTSNPTKDAAAAAASTEVSSSESEFKVMAADTSVFSFGDEEDYENE >KJB27666 pep chromosome:Graimondii2_0_v6:5:223575:226666:-1 gene:B456_005G004000 transcript:KJB27666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIKNPNPSSGLEPLKRFHRASSSSSPMALCSSPSLRLRSPAYRVSLAAPKGFVVGFRSLHSRLPFHHSVVAFAASHEESKHSEMEVDREKDELETESEEESNEAWKQALASFKEQALKLQSVSLEAYEVHSKKALITLKETSEVLKVQAEKARNDLTEVVKEMSEEGKEYLSTAAENSPPEVKEIVETFSSSAEDFNDVSKVHDFHVGIPYGFILSVGGFLSFMVTGSISAIRFGIILGGALLALSVSSLKSHKRGESSPLAIKGQAVISSVLLLRELSLLIRRSTLCSFLTTVISGAVVAFYMYKLLSKDKPRLEPGTGN >KJB27669 pep chromosome:Graimondii2_0_v6:5:224134:226666:-1 gene:B456_005G004000 transcript:KJB27669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIKNPNPSSGLEPLKRFHRASSSSSPMALCSSPSLRLRSPAYRVSLAAPKGFVVGFRSLHSRLPFHHSVVAFAASHEESKHSEMEVDREKDELETESEEESNEAWKQALASFKEQALKLQSVSLEAYEVHSKKALITLKETSEVLKVQAEKARNDLTEVVKEMSEEGKEYLSTAAENSPPEVKEIVETFSSSAEDFNDVSKVHDFHVGIPYGFILSVGGFLSFMVTGSISAIRFGIILGGALLALSVSSLKSHKRGESSPLAIKGQAVISSVLLLRELSLLIRRSTLCSFLTTVISGAVVAFYMYKLLSKDKPRLEPGTGN >KJB27668 pep chromosome:Graimondii2_0_v6:5:224101:226666:-1 gene:B456_005G004000 transcript:KJB27668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIKNPNPSSGLEPLKRFHRASSSSSPMALCSSPSLRLRSPAYRVSLAAPKGFVVGFRSLHSRLPFHHSVVAFAASHEESKHSEMEVDREKDELETESEEESNEAWKQALASFKEQALKLQSVSLEAYEVHSKKALITLKETSEVLKVQAEKARNDLTEVVKEMSEEGKEYLSTAAENSPPEVKEIVETFSSSAEDFNDVSKVHDFHVGIPYGFILSVGGFLSFMVTGSISAIRFGIILGGALLALSVSSLKSHKRGESSPLAIKGQAVISSVLLLRELSLLIRRSTLCSFLTTVISGAVVAFYMYKLLSKDKPRLEPGTGN >KJB27663 pep chromosome:Graimondii2_0_v6:5:224134:226666:-1 gene:B456_005G004000 transcript:KJB27663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIKNPNPSSGLEPLKRFHRASSSSSPMALCSSPSLRLRSPAYRVSLAAPKGFVVGFRSLHSRLPFHHSVVAFAASHEESKHSEMEVDREKDELETESEEESNEAWKQALASFKEQALKLQSVSLEAYEVHSKKALITLKETSEVLKVQAEKARNDLTEVVKEMSEEGKEYLSTAAENSPPEVKEIVETFSSSAEDFNDVSKVHDFHVGIPYGFILSVGGFLSFMVTGSISAIRFGIILGGALLALSVSSLKSHKRGESSPLAIKGQAVISSVLLLRELSLLIRRSTLCSFLTTVISGAVVAFYMYKLLSKDKPRLEPGTGN >KJB27667 pep chromosome:Graimondii2_0_v6:5:224101:226666:-1 gene:B456_005G004000 transcript:KJB27667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDREKDELETESEEESNEAWKQALASFKEQALKLQSVSLEAYEVHSKKALITLKETSEVLKVQAEKARNDLTEVVKEMSEEGKEYLSTAAENSPPEVKEIVETFSSSAEDFNDVSKVHDFHVGIPYGFILSVGGFLSFMVTGSISAIRFGIILGGALLALSVSSLKSHKRGESSPLAIKGQAVISSVLLLRELSLLIRRSTLCSFLTTVISGAVVAFYMYKLLSKDKPRLEPGTGN >KJB27664 pep chromosome:Graimondii2_0_v6:5:224033:226666:-1 gene:B456_005G004000 transcript:KJB27664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIKNPNPSSGLEPLKRFHRASSSSSPMALCSSPSLRLRSPAYRVSLAAPKGFVVGFRSLHSRLPFHHSVVAFAASHEESKHSEMEVDREKDELETESEEESNEAWKQALASFKEQALKLQSVSLEAYEVHSKKALITLKETSEVLKVQAEKARNDLTEVVKEMSEEGKEYLSTAAENSPPEVKEIVETFSSSAEDFNDVSKVHDFHVGIPYGFILSVGGFLSFMVTGSISAIRFGIILGGALLALSVSSLKSHKRGESSPLAIKGQAVISSVLLLRELSLLIRRSTLCSFLTTVISGAVVAFYMYKLLSKDKPRLEPGTGN >KJB27661 pep chromosome:Graimondii2_0_v6:5:225083:226604:-1 gene:B456_005G004000 transcript:KJB27661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIKNPNPSSGLEPLKRFHRASSSSSPMALCSSPSLRLRSPAYRVSLAAPKGFVVGFRSLHSRLPFHHSVVAFAASHEESHSEMEVDREKDELETESEEESNEAWKQALASFKEQALKLQSVSLEAYEVHSKKALITLKETSEVLKVQAEKARNDLTEVVKEMSEEGKEYLSTAAENSPPEVKEIVETFSSSAEDFNDVSKVHDFHVGIPYGFILSVGGFLSFMVTGSISAIRFGIILGGALLALSVSSLKSHKRGESSPLAIKGQAVISSVLLLRELSLLIRRSTLCSFLTTVISGAVVAFYMYKLLSKDKPRLEPGTGN >KJB27662 pep chromosome:Graimondii2_0_v6:5:224043:226710:-1 gene:B456_005G004000 transcript:KJB27662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIKNPNPSSGLEPLKRFHRASSSSSPMALCSSPSLRLRSPAYRVSLAAPKGFVVGFRSLHSRLPFHHSVVAFAASHEESHSEMEVDREKDELETESEEESNEAWKQALASFKEQALKLQSVSLEAYEVHSKKALITLKETSEVLKVQAEKARNDLTEVVKEMSEEGKEYLSTAAENSPPEVKEIVETFSSSAEDFNDVSKVHDFHVGIPYGFILSVGGFLSFMVTGSISAIRFGIILGGALLALSVSSLKSHKRGESSPLAIKGQAVISSVLLLRELSLLIRRSTLCSFLTTVISGAVVAFYMYKLLSKDKPRLEPGTGN >KJB27659 pep chromosome:Graimondii2_0_v6:5:225083:226604:-1 gene:B456_005G004000 transcript:KJB27659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIKNPNPSSGLEPLKRFHRASSSSSPMALCSSPSLRLRSPAYRVSLAAPKGFVVGFRSLHSRLPFHHSVVAFAASHEESKHSEMEVDREKDELETESEEESNEAWKQALASFKEQALKLQSVSLEAYEVHSKKALITLKETSEVLKVQAEKARNDLTEVVKEMSEEGKEYLSTAAENSPPEVKEIVETFSSSAEDFNDVSKVHDFHVGIPYGFILSVGGFLSFMVTGSISAIRFGIILGGALLALSVSSLKSHKRGESSPLAIKGQAVISSVLLLRELSLLIRRSTLCSFLTTVISGAVVAFYMYKLLSKDKPRLEPGTGN >KJB27660 pep chromosome:Graimondii2_0_v6:5:224043:226710:-1 gene:B456_005G004000 transcript:KJB27660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIKNPNPSSGLEPLKRFHRASSSSSPMALCSSPSLRLRSPAYRVSLAAPKGFVVGFRSLHSRLPFHHSVVAFAASHEESKHSEMEVDREKDELETESEEESNEAWKQALASFKEQALKLQSVSLEAYEVHSKKALITLKETSEVLKVQAEKARNDLTEVVKEMSEEGKEYLSTAAENSPPEVKEIVETFSSSAEDFNDVSKVHDFHVGIPYGFILSVGGFLSFMVTGSISAIRFGIILGGALLALSVSSLKSHKRGESSPLAIKGQAVISSVLLLRELSLLIRRSTLCSFLTTVISGAVVAFYMYKLLSKDKPRLEPGTGN >KJB27665 pep chromosome:Graimondii2_0_v6:5:225083:226604:-1 gene:B456_005G004000 transcript:KJB27665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIKNPNPSSGLEPLKRFHRASSSSSPMALCSSPSLRLRSPAYRVSLAAPKGFVVGFRSLHSRLPFHHSVVAFAASHEESKHSEMEVDREKDELETESEEESNEAWKQALASFKEQALKLQSVSLEAYEVHSKKALITLKETSEVLKVQAEKARNDLTEVVKEMSEEGKEYLSTAAENSPPEVKEIVETFSSSAEDFNDVSKVHDFHVGIPYGFILSVGGFLSFMVTGSISAIRFGIILGGALLALSVSSLKSHKRGESSPLAIKGQAVISSVLLLRELSLLIRRSTLCSFLTTVISGAVVAFYMYKLLSKDKPRLEPGTGN >KJB31456 pep chromosome:Graimondii2_0_v6:5:56185425:56187938:1 gene:B456_005G192500 transcript:KJB31456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGLHKQIVGDLSLPDSSPFAKLLGSYIQSKSLLDIRRLHVRIIKSNFASETYILNRLIDAYGRCGSLEDAGKVFNRMPQRNIFSWNSVISVLTKLGYVDEAAGFFDSMPEHDQCSWNSIISGFAQQDRFGEALFYFVRMHREDFVLNEYSFGSTLSACSGLKNIKIGAQIHALIAKTRFFSNVYMGSALVDMYGKCGSVSCGQRAFDDMSERNRVSWNSLITCYEQNGPASAALQVFLRMMDCGIEPDELTYASVVSACASLSAIKSGKQIHARVVKCNKLRDDLVLGNALVDMYAKCNKINEARCIFDRMPVRNVVSETSMVSGYAKVASVRTARLMFAKMMERNIVSWNALIAGYTQNGENEEALRLFRLLKRESVCPTHYTFGNLLNACANLTDLQLGRQAHTHVLKHGFRFQFGEESDIFVGNSLIDMYMKCGSVEDANLVFKTMMERDWVSWNAMIVGYAQNGYGNKALELFKNMLASGEKPDHVTMIGVLCACSHAGLVEEGRHHFSSMSREHGLVPLKDHYTCMVDLLGRAGCLDEAKNLIETMPMKPDAVVWGSLLGACKVHHNIRLGKYVTEKILEIDPSNSGPYVLLSNMYAELGKWGDVVRVRKLMRKRGVIKQPGCSWIEVQGHVSVFMVKDKKHPQRKAIYSVLNALIKQMKRAGYLPDAGDEEAHEELATSAAVG >KJB31455 pep chromosome:Graimondii2_0_v6:5:56185286:56187941:1 gene:B456_005G192500 transcript:KJB31455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGLHKQIVGDLSLPDSSPFAKLLGSYIQSKSLLDIRRLHVRIIKSNFASETYILNRLIDAYGRCGSLEDAGKVFNRMPQRNIFSWNSVISVLTKLGYVDEAAGFFDSMPEHDQCSWNSIISGFAQQDRFGEALFYFVRMHREDFVLNEYSFGSTLSACSGLKNIKIGAQIHALIAKTRFFSNVYMGSALVDMYGKCGSVSCGQRAFDDMSERNRVSWNSLITCYEQNGPASAALQVFLRMMDCGIEPDELTYASVVSACASLSAIKSGKQIHARVVKCNKLRDDLVLGNALVDMYAKCNKINEARCIFDRMPVRNVVSETSMVSGYAKVASVRTARLMFAKMMERNIVSWNALIAGYTQNGENEEALRLFRLLKRESVCPTHYTFGNLLNACANLTDLQLGRQAHTHVLKHGFRFQFGEESDIFVGNSLIDMYMKCGSVEDANLVFKTMMERDWVSWNAMIVGYAQNGYGNKALELFKNMLASGEKPDHVTMIGVLCACSHAGLVEEGRHHFSSMSREHGLVPLKDHYTCMVDLLGRAGCLDEAKNLIETMPMKPDAVVWGSLLGACKVHHNIRLGKYVTEKILEIDPSNSGPYVLLSNMYAELGKWGDVVRVRKLMRKRGVIKQPGCSWIEVQGHVSVFMVKDKKHPQRKAIYSVLNALIKQMKRAGYLPDAGDEEAHEELATSAAVG >KJB27833 pep chromosome:Graimondii2_0_v6:5:894599:897164:-1 gene:B456_005G012400 transcript:KJB27833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENKRNPFSVDHTGFTSLASKRQKATKEKKDQVSERIAALQQLVSPYGKTDTASVLLEAMGYIRFLHEQVKVLSAPYLQTIPANSLQDDDQYSLRNRGLCLAPVSCTVGLARSNGADIWAPVKTTSPKFDEVISQFN >KJB27834 pep chromosome:Graimondii2_0_v6:5:895131:897112:-1 gene:B456_005G012400 transcript:KJB27834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQALCSCEEAIDIGTMMENKRNPFSVDHTGFTSLASKRQKATKEKKDQVSERIAALQQLVSPYGKTDTASVLLEAMGYIRFLHEQVKVLSAPYLQTIPANSLQVSIKRTPLHTETETETLKICNAEI >KJB27832 pep chromosome:Graimondii2_0_v6:5:894524:897438:-1 gene:B456_005G012400 transcript:KJB27832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQALCSCEEAIDIGTMMENKRNPFSVDHTGFTSLASKRQKATKEKKDQVSERIAALQQLVSPYGKTDTASVLLEAMGYIRFLHEQVKVLSAPYLQTIPANSLQDDDQYSLRNRGLCLAPVSCTVGLARSNGADIWAPVKTTSPKFDEVISQFN >KJB30754 pep chromosome:Graimondii2_0_v6:5:45647638:45649192:-1 gene:B456_005G158600 transcript:KJB30754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLLSGLEHCHKQGVLHRDIKGSNLLIDSEGILKITDFGLSTSYDPEQKKPLTSRVITLWYRLPELLLGATHYGVGVDFWSAGCILAELLSGKPIMPGRTEIEQLHKIFKLCRSPSEEYWKKSKLPNETLFKPQQPYKRCIAETFKDFPSSSLPLIETLLSIDPKEQNTVTAALNSEFFMTEPYACNPSSLPKYPPSKEIDVKLRDEKARRQRNIAGKVNAVDVTRRSKGHERPTRAVPAPEANAEIQANLDVSVRLCYTSNCQLHLIRFKLIKFPSCIFLVM >KJB30756 pep chromosome:Graimondii2_0_v6:5:45647638:45649192:-1 gene:B456_005G158600 transcript:KJB30756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLLSGLEHCHKQGVLHRDIKGSNLLIDSEGILKITDFGLSTSYDPEQKKPLTSRVITLWYRLPELLLGATHYGVGVDFWSAGCILAELLSGKPIMPGRTEIEQLHKIFKLCRSPSEEYWKKSKLPNETLFKPQQPYKRCIAETFKDFPSSSLPLIETLLSIDPKEQNTVTAALNSEFFMTEPYACNPSSLPKYPPSKEIDVKLRDEKARRQRNIAGKVNAVDVTRRSKGHERPTRAVPAPEANAEIQANLDVSVRLCYTSNCQLHLIRFKLIKFPSCIFLVM >KJB30753 pep chromosome:Graimondii2_0_v6:5:45647530:45650180:-1 gene:B456_005G158600 transcript:KJB30753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLLSGLEHCHKQGVLHRDIKGSNLLIDSEGILKITDFGLSTSYDPEQKKPLTSRVITLWYRLPELLLGATHYGVGVDFWSAGCILAELLSGKPIMPGRTEFFMTEPYACNPSSLPKYPPSKEIDVKLRDEKARRQRNIAGKVNAVDVTRRSKGHERPTRAVPAPEANAEIQANLDVSVRLCYTSNCQLHLIRFKLIKFPSCIFLVM >KJB30755 pep chromosome:Graimondii2_0_v6:5:45647530:45650180:-1 gene:B456_005G158600 transcript:KJB30755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLLSGLEHCHKQGVLHRDIKGSNLLIDSEGILKITDFGLSTSYDPEQKKPLTSRVITLWYRLPELLLGATHYGVGVDFWSAGCILAELLSGKPIMPGRTEFFMTEPYACNPSSLPKYPPSKEIDVKLRDEKARRQRNIAGKVNAVDVTRRSKGHERPTRAVPAPEANAEIQANLDVSVRLCYTSNCQLHLIRFKLIKFPSCIFLVM >KJB27910 pep chromosome:Graimondii2_0_v6:5:1190219:1194480:-1 gene:B456_005G016200 transcript:KJB27910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QSTEFKKSFCFLLEHSHRKMAATSDLYMDSLLSGFDQIYEDFKRRTEEIQFLKSNWNAEIKRGEALKITCDSLKQDNARLTKLYTESLNNFAEQLENHAECQRLKEELWRRKDEYTSKEEEHRKAMELLKKDHAKEVADLEAKVRGLLLEKATNEATIIQLRKDLATHKSHAQVLSKKLDQLQSDEESKYLLEIWDLKDCLLIEQEEKNELTKKLQEAEKECKFVIVLISRTKLGEQQQDSASNQQVETLKLKIMKLRKENEILKRKLSSMEAC >KJB27909 pep chromosome:Graimondii2_0_v6:5:1187755:1194480:-1 gene:B456_005G016200 transcript:KJB27909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QSTEFKKSFCFLLEHSHRKMAATSDLYMDSLLSGFDQIYEDFKRRTEEIQFLKSNWNAEIKRGEALKITCDSLKQDNARLTKLYTESLNNFAEQLENHAECQRLKEELWRRKDEYTSKEEEHRKAMELLKKDHAKEVADLEAKVRGLLLEKATNEATIIQLRKDLATHKSHAQVLSKKLDQLQSDEESKYLLEIWDLKDCLLIEQEEKNELTKKLQEAEKELLISRTKLGEQQQDSASNQQVETLKLKIMKLRKENEILKRKLSSMEAC >KJB28180 pep chromosome:Graimondii2_0_v6:5:2913608:2918578:1 gene:B456_005G032400 transcript:KJB28180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVPVSVFIVVAFVSVVMRRFSFVNGAAIPSAVDGPFKPVTVPLDKSFRGHAVDLPDNDPRVQRIATGYWEPEQIFVSLSSTHDSVWISWITGEFQIGENIKEMDPKTVGSVVKYGRWRFGMTKRAMGHSLVYSQLYPFKGLQNYTSGIIHHVRLTGLKPNTFYYYQCGDPSIPAMSDVHYFRTMPASGPRSYPGRIAIIGDLGLTYNTTSTIDHLMSNHPNLVLLIGDASYANLYLTNGTGADCYSCAFSDTPIHETYQPRWDYWGRFMQPLVSKVPLMMIEGNHEIEEQIEKKTFAAYSARFAFPSEESGSSSTFYYSFNAGGIHFVMLGGYTDYNKSGDQYQWLKKDLAKVDRKVTPWLVATWHPPWFMPTRGRIECITTTWILAVLFILRLVMVATERKWQLHMLMNPEIAPNHRQLWINLWVGSVHLTSHQGRQVVNSAGIGSLIIVHIEKAALDMESLR >KJB28179 pep chromosome:Graimondii2_0_v6:5:2913608:2918578:1 gene:B456_005G032400 transcript:KJB28179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVPVSVFIVVAFVSVVMRRFSFVNGAAIPSAVDGPFKPVTVPLDKSFRGHAVDLPDNDPRVQRIATGYWEPEQIFVSLSSTHDSVWISWITGEFQIGENIKEMDPKTVGSVVKYGRWRFGMTKRAMGHSLVYSQLYPFKGLQNYTSGIIHHVRLTGLKPNTFYYYQCGDPSIPAMSDVHYFRTMPASGPRSYPGRIAIIGDLGLTYNTTSTIDHLMSNHPNLVLLIGDASYANLYLTNGTGADCYSCAFSDTPIHETYQPRWDYWGRFMQPLVSKVPLMMIEGNHEIEEQIEKKTFAAYSARFAFPSEESGSSSTFYYSFNAGGIHFVMLGGYTDYNKSGDQYQWLKKDLAKVDRKVTPWLVATWHPPWYSTYTAHYREAECMRVAMEDLLYKYGVDIVFNGHVHAYERSNRVYNYNLDPCGPVHITIGDGGNREKMAITHADEPGNCPKPSTTLDKFMGGFCAFNFTSGPASGKFCWDRQPDYSAYRESSFGHGILEVKNETHALWTWYRNQDAYGTTGDVVYIVRQPDRCPVEPDVIN >KJB28178 pep chromosome:Graimondii2_0_v6:5:2915712:2918244:1 gene:B456_005G032400 transcript:KJB28178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKTVGSVVKYGRWRFGMTKRAMGHSLVYSQLYPFKGLQNYTSGIIHHVRLTGLKPNTFYYYQCGDPSIPAMSDVHYFRTMPASGPRSYPGRIAIIGDLGLTYNTTSTIDHLMSNHPNLVLLIGDASYANLYLTNGTGADCYSCAFSDTPIHETYQPRWDYWGRFMQPLVSKVPLMMIEGNHEIEEQIEKKTFAAYSARFAFPSEESGSSSTFYYSFNAGGIHFVMLGGYTDYNKSGDQYQWLKKDLAKVDRKVTPWLVATWHPPWYSTYTAHYREAECMRVAMEDLLYKYGVDIVFNGHVHAYERSNRVYNYNLDPCGPVHITIGDGGNREKMAITHADEPGNCPKPSTTLDKFMGGFCAFNFTSGPASGKFCWDRQPDYSAYRESSFGHGILEVKNETHALWTWYRNQDAYGTTGDVVYIVRQPDRCPVEPDVIN >KJB30445 pep chromosome:Graimondii2_0_v6:5:39105010:39105306:-1 gene:B456_005G144400 transcript:KJB30445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYRLFIFILLFLLLLQLARGSTPPPLPPSPRNHPPCDSFSRSEQRSLCFQLQRIHNFHPFLPSLAPPPPPSEANEIDPRYGVEKRLVPSGPNPLHN >KJB30446 pep chromosome:Graimondii2_0_v6:5:39104477:39105406:-1 gene:B456_005G144400 transcript:KJB30446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYRLFIFILLFLLLLQLARGSTPPPLPPSPRNHPPCDSFSRSEQRSLCFQLQRIHNFHPFLPSLAPPPPPSEANEIDPRYGVEKRLVPSGPNPLHN >KJB32611 pep chromosome:Graimondii2_0_v6:5:62842324:62844244:-1 gene:B456_005G248800 transcript:KJB32611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >KJB32612 pep chromosome:Graimondii2_0_v6:5:62843557:62844671:-1 gene:B456_005G248800 transcript:KJB32612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRYI >KJB32610 pep chromosome:Graimondii2_0_v6:5:62842274:62844720:-1 gene:B456_005G248800 transcript:KJB32610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >KJB28173 pep chromosome:Graimondii2_0_v6:5:2998436:3000538:1 gene:B456_005G0331001 transcript:KJB28173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSSSEKKIQEKSLSDIGREFLGNGLLFIAGEKWANHRKLANHAFHGESLKNMTPAIIASVETMLEKWKGQEGREIEVLKEFRLLTSEVISRTAFGSSYLEGEKIFAMLQKLTIIMSRNLFKTRIPLISKLWKSADLLESEKLSKEIKDRVMKIVKKREDEAVNGEVNSFGNDFLGLLVNAYHDSDEKNRFSLEDLLAECKTFYFSGQETVNSLLSWIVLHLAIHGDWQEKARREVIDIFGNQNPHLEGVAKLKITTMIINETLRLYGPSNGLARAVTRDVQLEKLLLPANINILPLYIGVHRDPHFWGDDVHHFKPERFAEGIAKATNYTAAAFLPFGLGPRSCVGMTFATIETKIVLSMILQRYTITLSPAYIHSPISILSIRPQHEIQVILEPLHHNA >KJB31846 pep chromosome:Graimondii2_0_v6:5:59186198:59188538:1 gene:B456_005G210900 transcript:KJB31846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLFNKFQDAVRILAKSPTFARYRRKLQFEDDINLLFMYTSYNRLGKNADEADAEEIIDMATVPMTRPIKRAELSLRLKDSIGYSLDVKPSQIPHNEASQGLYLNGEANVGAVIAIYPGVIYTPSYYRYIPGYPRVDARNRYLITRYDGIVIDAQPWGYGGETREIWDSSTMQDTGPDTDETMLGKALENNALECRNPLAFAHFANHPTEETVPNVMVCPYDFPLTEKDLRIYIPNISFGNAEEQKVRRLGSSWFRGSSRNSWLDDSDSDGPVLKTLVLVATKALCDEEVLLQRPLWYYSVDKDED >KJB31847 pep chromosome:Graimondii2_0_v6:5:59186677:59188280:1 gene:B456_005G210900 transcript:KJB31847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSYNRLGKNADEADAEEIIDMASKASFTDQQMQVQENVHFQIKNFCTVMDEILLPGSAVPMTRPIKRAELSLRLKDSIGYSLDVKPSQIPHNEASQGLYLNGEANVGAVIAIYPGVIYTPSYYRYIPGYPRVDARNRYLITRYDGIVIDAQPWGYGGETREIWDSSTMQDTGPDTDETMLGKALENNALECRNPLAFAHFANHPTEETVPNVMVCPYDFPLTEKDLRIYIPNISFGNAEEQKVRRLGSSWFRGSSRNSWLDDSDSDGPVLKTLVLVATKALCDEEVLLQRPLWYYSVDKDED >KJB31848 pep chromosome:Graimondii2_0_v6:5:59186198:59188538:1 gene:B456_005G210900 transcript:KJB31848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLFNKFQDAVRILAKSPTFARYRRKLQFEDDINLLFMYTSYNRLGKNADEADAEEIIDMASKASFTDQQMQVQENVHFQIKNFCTVMDEILLPGSAVPMTRPIKRAELSLRLKDSIGYSLDVKPSQIPHNEASQGLYLNGEANVGAVIAIYPGVIYTPSYYRYIPGYPRVDARNRYLITRYDGIVIDAQPWGYGGETREIWDSSTMQDTGPDTDETMLGKALENNALECRNPLAFAHFANHPTEETVPNVMVCPYDFPLTEKDLRIYIPNISFGNAEEQKVRRLGSSWFRGSSRNSWLDDSDSDGPVLKTLVLVATKALCDEEVLLQRPLWYYSVDKDED >KJB31996 pep chromosome:Graimondii2_0_v6:5:60082746:60086521:-1 gene:B456_005G218000 transcript:KJB31996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSYNKVNGKPTCADPDLLAGVIRGQWKLNGYIVSDCDSVDVLFKNQHYTKTPEEAAAKVILAGLDLNCGSFLGQHTEAAVKAGLLNESAIDKAVTNNFATLMRLGFFDGDPSKQPYGKLGPKDVCTPEHQELAREAARQGIVLLKNSPGSLPLSPTAIKTLAVIGPNANVTKTMIGNYEGIPCKYTTPLQGLTTSVATNYVPGCTNVACGTAQVDDAKKAAASADATVLVMGIDQSQERESFDRVSLLLPGQQPLLITEVAKAAKGPVILVIMSGGGFDISFAKNDDKITSILWVGYPGEAGGAAIADVIFGYYNPSGRLPMTWYPQSYVDKVPMTNMNMRPDPSNGYPGRTYRFYTGETIYTFGDGLSYSKITHELAKAPRLVSIPLEEDHVCRSSECKSVEAVEQSCKNLAFDIHVRVQNRGKYGGSHTVFLFSSPPSVHNSPQKHLLGFEKVSLTGKSETLVRFKVDVCKDLSVVDELGSRKVALGQHLLHVGSLKHSLTVGI >KJB31997 pep chromosome:Graimondii2_0_v6:5:60082746:60085467:-1 gene:B456_005G218000 transcript:KJB31997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVSTEARAMYNVGLAGLTYWSPNINIFRDPRWGRGQETPGEDPLLASKYGSSYVKGLQQTDGGDPSRLKVAACCKHYTAYDVDNWKGIERYTFDAVVTQQDLDDTFQPPFKSCVIDGNVASVMCSYNKVNGKPTCADPDLLAGVIRGQWKLNGYIVSDCDSVDVLFKNQHYTKTPEEAAAKVILAGLDLNCGSFLGQHTEAAVKAGLLNESAIDKAVTNNFATLMRLGFFDGDPSKQPYGKLGPKDVCTPEHQELAREAARQGIVLLKNSPGSLPLSPTAIKTLAVIGPNANVTKTMIGNYEGIPCKYTTPLQGLTTSVATNYVPGCTNVACGTAQVDDAKKAAASADATVLVMGIDQSQERESFDRVSLLLPGQQPLLITEVAKAAKGPVILVIMSGGGFDISFAKNDDKITSILWVGYPGEAGGAAIADVIFGYYNPSGRLPMTWYPQSYVDKVPMTNMNMRPDPSNGYPGRTYRFYTGETIYTFGDGLSYSKITHELAKAPRLVSIPLEEDHVCRSSECKSVEAVEQSCKNLAFDIHVRVQNRGKYGGSHTVFLFSSPPSVHNSPQKHLLGFEKVSLTGKSETLVRFKVDVCKDLSVVDELGSRKVALGQHLLHVGSLKHSLTVGI >KJB31995 pep chromosome:Graimondii2_0_v6:5:60082707:60086573:-1 gene:B456_005G218000 transcript:KJB31995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLFSSVLQNRAPKVSVFIFFLISLHCLSLISVRVLAQTSPVFACDATKDPQVASYGFCDTKLGTDARVADLVHRLTLQEKILFIVNSAGSVSRLGIPKYEWWSEALHGVSNVGPGTKFTSLVPGATSFPQVILTAASFNTSLYEAIGKVVSTEARAMYNVGLAGLTYWSPNINIFRDPRWGRGQETPGEDPLLASKYGSSYVKGLQQTDGGDPSRLKVAACCKHYTAYDVDNWKGIERYTFDAVVTQQDLDDTFQPPFKSCVIDGNVASVMCSYNKVNGKPTCADPDLLAGVIRGQWKLNGYIVSDCDSVDVLFKNQHYTKTPEEAAAKVILAGLDLNCGSFLGQHTEAAVKAGLLNESAIDKAVTNNFATLMRLGFFDGDPSKQPYGKLGPKDVCTPEHQELAREAARQGIVLLKNSPGSLPLSPTAIKTLAVIGPNANVTKTMIGNYEGIPCKYTTPLQGLTTSVATNYVPGCTNVACGTAQVDDAKKAAASADATVLVMGIDQSQERESFDRVSLLLPGQQPLLITEVAKAAKGPVILVIMSGGGFDISFAKNDDKITSILWVGYPGEAGGAAIADVIFGYYNPSGRLPMTWYPQSYVDKVPMTNMNMRPDPSNGYPGRTYRFYTGETIYTFGDGLSYSKITHELAKAPRLVSIPLEEDHVCRSSECKSVEAVEQSCKNLAFDIHVRVQNRGKYGGSHTVFLFSSPPSVHNSPQKHLLGFEKVSLTGKSETLVRFKVDVCKDLSVVDELGSRKVALGQHLLHVGSLKHSLTVGI >KJB31998 pep chromosome:Graimondii2_0_v6:5:60082995:60084812:-1 gene:B456_005G218000 transcript:KJB31998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSYNKVNGKPTCADPDLLAGVIRGQWKLNGYIVSDCDSVDVLFKNQHYTKTPEEAAAKVILAGLDLNCGSFLGQHTEAAVKAGLLNESAIDKAVTNNFATLMRLGFFDGDPSKQPYGKLGPKDVCTPEHQELAREAARQGIVLLKNSPGSLPLSPTAIKTLAVIGPNANVTKTMIGNYEGIPCKYTTPLQGLTTSVATNYVPGCTNVACGTAQVDDAKKAAASADATVLVMGIDQSQERESFDRVSLLLPGQQPLLITEVAKAAKGPVILVIMSGGGFDISFAKNDDKITSILWVGYPGEAGGAAIADVIFGYYNPSGRLPMTWYPQSYVDKVPMTNMNMRPDPSNGYPGRTYRFYTGETIYTFGDGLSYSKITHELAKAPRLVSIPLEEDHVCRSSECKSVEAVEQSCKNLAFDIHVRVQNRGKYGGSHTVFLFSSPPSVHNSPQKHLLGFEKVSLTGKSETLVRFKVDVCKDLSVVDELGSRKVALGQHLLHVGSLKHSLTVGI >KJB30458 pep chromosome:Graimondii2_0_v6:5:39283052:39286887:1 gene:B456_005G145000 transcript:KJB30458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPWLNKLSVILGPRPPVSWLFLCVVSLLALITVFGSSSSSSFDSVTSTWVPESYKNYRRLKEQAAVDYFELRTLSSGASRQRELGFCGKERENYVPCYNVTANLLFGFKDGEEFDRHCEVVGQGKWCLIRPPKDYKTPLQWPAGRDVIWSGNVKITKDQFLSSGSMTKRMMLLEENQIAFQSDAGLTFDGVKDYSRQIAEMMGLGSDSEFLQAGVRTVLDIGCGFGSFGAHLVSIKLMALCIAGYEATGSQVQLALERGLPAMIGNFITRQLPYPSFSFDMVHCAQCGIPWDKKEGMLLIEVDRLLKPGGYFVLTSPKSKPHGSATGTKIRNMLTPLEQFPEKICWSLIAQQDETFIWQKTVDAQCYSSRKQTDVPLCKGHDASYYQALSPCIIGPSSKRWIPIQNRSSSSELSSAELALHGNPEDFFEDLQGWKLALKNYWSLLTPLIFSDHPKRPGAEDPLPPFNMVRNVMDMNAHYGGLNAAFLEEKKSVWVMNVVPVTSRNMLPLILDRGFPGVLHDWCEPFPTYPRTYDMLHANGLLSHLSSERCSLMDLFVEMDRILRPEGWAVLYDKLGAIELARAHATQIRWGARVIDLQNGSDQRLLICQKPFLTK >KJB30457 pep chromosome:Graimondii2_0_v6:5:39282198:39286252:1 gene:B456_005G145000 transcript:KJB30457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPWLNKLSVILGPRPPVSWLFLCVVSLLALITVFGSSSSSSFDSVTSTWVPESYKNYRRLKEQAAVDYFELRTLSSGASRQRELGFCGKERENYVPCYNVTANLLFGFKDGEEFDRHCEVVGQGKWCLIRPPKDYKTPLQWPAGRDVIWSGNVKITKDQFLSSGSMTKRMMLLEENQIAFQSDAGLTFDGVKDYSRQIAEMMGLGSDSEFLQAGVRTVLDIGCGFGSFGAHLVSIKLMALCIAGYEATGSQVQLALERGLPAMIGNFITRQLPYPSFSFDMVHCAQCGIPWDKKEGMLLIEVDRLLKPGGYFVLTSPKSKPHGSATGTKIRNMLTPLEQFPEKICWSLIAQQDETFIWQKTVDAQCYSSRKQTDVPLCKGHDASYYQALSPCIIGPSSKRWIPIQNRSSSSELSSAELALHGNQSRRFL >KJB31051 pep chromosome:Graimondii2_0_v6:5:50493997:50495244:1 gene:B456_005G173700 transcript:KJB31051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYGKGQALPKFGEWDVNDPASAEGYTAIFNLARDEKRTGGNVTVVATEGIKSQQKQGARKEKRKHKYCIKVKTHKFKDYLGSHFYKNSPH >KJB31052 pep chromosome:Graimondii2_0_v6:5:50494097:50495244:1 gene:B456_005G173700 transcript:KJB31052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYGKGQALPKFGEWDVNDPASAEGYTAIFNLARDEKRTGGNVTVVATEGIKSQQKQGARKEKRKHKYCIKRKWFCFA >KJB29627 pep chromosome:Graimondii2_0_v6:5:20383060:20383655:1 gene:B456_005G111100 transcript:KJB29627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDEEFEMLLDEIPYATSHNHHHHHQHERKNHVNGSLHGMYDGSSSSLFSHIGQSLADHGSPPPPLEDFNPHLSSEFDLCRNFSKLYVSNDQENLGSSFRDFSLESNGIQRFDQFNVEKHGVCDTLRKGFFEFMPLSFNGGMNMAFSGLPHNLLGPQMYAYECVLLH >KJB32757 pep chromosome:Graimondii2_0_v6:5:63530613:63539183:1 gene:B456_005G259800 transcript:KJB32757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase MAGATAMA 3 [Source:Projected from Arabidopsis thaliana (AT4G15570) UniProtKB/Swiss-Prot;Acc:B6SFA4] MAVDKDKLQEEASIVRFCKIILGWDYFRLLKLSKKNNKDEATSALKEVKDSYKDVDDYLATFEPLLFEEVKAQIVQRKDEEEVADWKLRLVMECNEADGFYLPAITYEAEEEESISQNDLLLLSKEDFKEGSKKLPTTYAFALVEHRQKNQLRLRMYLAGEFTQVNPDVEKSSARLARMQALITSTANAVDKRLFSIKVCSLSTISREYIALRSVGSLPFKDLILKAAERDPGSEDQAWKISGSLKDYFMENLNKSQQEAIHAGLSRKPFVLIQGPPGTGKTQTILGLLSAILHATPARVHSKGVLLELNLGPELPIEEKYKHWGRASPWLMNANPRDIIMPIDGDDGFFPTSGNEMKPEVVNSRRKYRLRVLVCAPSNSALDEIVLRLLKTGVRDENVRAYTPKVVRIGLKPHHSVEAVSLDYLVNQKRELAGDKPKQSSTAKDIDSLRAAILDEAVIVCSTLSFSGSALLSKLSSGFDVVIIDEAAQAVEPATLLPLSSGCKQVFLIGDPVQLPATVISPVAEKFGYATSLFKRFQRAGYPVKMLKTQYRMHPEIRSFPSKEFYDEALEDGSDVEDQTTREWHKYRCFGPFCFFDIHEGKESQPSGSGSWVNTDEIEFVLALYNKLITMHPELKSSSQFAIISPYRHQVKLLQERFQETFGVESKRVVDIGTIDGFQGREKDVVIFSCVRASKDRGIGFVSDFRRMNVGITRAKSSVLVVGSASTLKRDEHWNNLVESAEERGCLFKVGKPYTSFLNDEYLESMKVINMDAQMMEDMDDLDNDNVAAYNMAGDADQAPVEDNDYGDGDVGGYDDD >KJB32799 pep chromosome:Graimondii2_0_v6:5:63659268:63661615:-1 gene:B456_005G262300 transcript:KJB32799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVLIEAVFYCHFRLKLDCPRTVPAKSSKSRVSRLAMISSISTKMGEAKVKVKQSSTTPNVKKATAAKQPSTKALTTPRNKKGVSNPGTFRSVRNPKPTTVEVPKDRVVAKALVFHSPKKAVKLKKSVEWSSSLRRICSGMKKLEITDGSKKNALGCNKPLAAPRKQLRGREVKSRVYDSLHCQNQKTQEAKSINRLKKKNENELPLSEAALGRQQDEKDTIDSTSKNPSDILEQVTGPSRSSHEENIEPCPKDNEIPEATEGDDDKENAMASNIRVSESKVMEIDNNKENYAASDENRKLDCDTGKLMNETHKNIQKVAKVISKTMKENSTAVKGAQGMNYRKPKLTNPKPFRLRTDERGILKEANLEKKHLQAPEGDNESDTRTHKDQTQTIKTSSLMKPKGSMERKISTIHQKRTAPMHQKATQKSEGGSEKTRPRVVAALSRKKLGAIKEMSPTMARPLKGTSDPNKNGTSLTKKASSSQRRRHTTIPKEPNFHSLHAPKNCTKRVVA >KJB32801 pep chromosome:Graimondii2_0_v6:5:63659268:63662260:-1 gene:B456_005G262300 transcript:KJB32801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGFQEQKGKYYLPKPLTLYRLVYAPLHRILDLRQRKMDKFQINGAGDEEIIGDEFYEKIEAPKFVDLAAPDRCRTENDDRYWFCLRVGCDQKHEEEMDPEEIYKNFVLRVMAARSPSVGLRKALCRRDSRLKLDCPRTVPAKSSKSRVSRLAMISSISTKMGEAKVKVKQSSTTPNVKKATAAKQPSTKALTTPRNKKGVSNPGTFRSVRNPKPTTVEVPKDRVVAKALVFHSPKKAVKLKKSVEWSSSLRRICSGMKKLEITDGSKKNALGCNKPLAAPRKQLRGREVKSRVYDSLHCQNQKTQEAKSINRLKKKNENELPLSEAALGRQQDEKDTIDSTSKNPSDILEQVTGPSRSSHEENIEPCPKDNEIPEATEGDDDKENAMASNIRVSESKVMEIDNNKENYAASDENRKLDCDTGKLMNETHKNIQKVAKVISKTMKENSTAVKGAQGMNYRKPKLTNPKPFRLRTDERGILKEANLEKKHLQAPEGDNESDTRTHKDQTQTIKTSSLMKPKGSMERKISTIHQKRTAPMHQKATQKSEGGSEKTRPRVIE >KJB32802 pep chromosome:Graimondii2_0_v6:5:63659268:63662260:-1 gene:B456_005G262300 transcript:KJB32802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGFQEQKGKYYLPKPLTLYRLVYAPLHRILDLRQRKMDKFQINGAGDEEIIGDEFYEKIEAPKFVDLAAPDRCRTENDDRYWFCLRVGCDQKHEEEMDPEEIYKNFVLRVMAARSPSVGLRKALCRRDSRLKLDCPRTVPAKSSKSRVSRLAMISSISTKMGEAKVKVKQSSTTPNVKKATAAKQPSTKALTTPRNKKGVSNPGTFRSVRNPKPTTVEVPKDRVVAKALVFHSPKKAVKLKKSVEWSSSLRRICSGMKKLEITDGSKKNALGCNKPLAAPRKQLRGREVKSRVYDSLHCQNQKTQEAKSINRLKKKNENELPLSEAALGRQQDEKDTIDSTSKNPSDILEQVTGPSRSSHEENIEPCPKDNEIPEATEGDDDKENAMASNIRVAKVISKTMKENSTAVKGAQGMNYRKPKLTNPKPFRLRTDERGILKEANLEKKHLQAPEGDNESDTRTHKDQTQTIKTSSLMKPKGSMERKISTIHQKRTAPMHQKATQKSEGGSEKTRPRVVAALSRKKLGAIKEMSPTMARPLKGTSDPNKNGTSLTKKASSSQRRRHTTIPKEPNFHSLHAPKNCTKRVVA >KJB32798 pep chromosome:Graimondii2_0_v6:5:63659260:63662304:-1 gene:B456_005G262300 transcript:KJB32798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFQINGAGDEEIIGDEFYEKIEAPKFVDLAAPDRCRTENDDRYWFCLRVGCDQKHEEEMDPEEIYKNFVLRVMAARSPSVGLRKALCRRDSRLKLDCPRTVPAKSSKSRVSRLAMISSISTKMGEAKVKVKQSSTTPNVKKATAAKQPSTKALTTPRNKKGVSNPGTFRSVRNPKPTTVEVPKDRVVAKALVFHSPKKAVKLKKSVEWSSSLRRICSGMKKLEITDGSKKNALGCNKPLAAPRKQLRGREVKSRVYDSLHCQNQKTQEAKSINRLKKKNENELPLSEAALGRQQDEKDTIDSTSKNPSDILEQVTGPSRSSHEENIEPCPKDNEIPEATEGDDDKENAMASNIRVSESKVMEIDNNKENYAASDENRKLDCDTGKLMNETHKNIQKVAKVISKTMKENSTAVKGAQGMNYRKPKLTNPKPFRLRTDERGILKEANLEKKHLQAPEGDNESDTRTHKDQTQTIKTSSLMKPKGSMERKISTIHQKRTAPMHQKATQKSEGGSEKTRPRVVAALSRKKLGAIKEMSPTMARPLKGTSDPNKNGTSLTKKASSSQRRRHTTIPKEPNFHSLHAPKNCTKRVVA >KJB32800 pep chromosome:Graimondii2_0_v6:5:63660027:63662260:-1 gene:B456_005G262300 transcript:KJB32800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGFQEQKGKYYLPKPLTLYRLVYAPLHRILDLRQRKMDKFQINGAGDEEIIGDEFYEKIEAPKFVDLAAPDRCRTENDDRYWFCLRVGCDQKHEEEMDPEEIYKNFVLRVMAARSPSVGLRKALCRRDSRLKLDCPRTVPAKSSKSRVSRLAMISSISTKMGEAKVKVKQSSTTPNVKKATAAKQPSTKALTTPRNKKGVSNPGTFRSVRNPKPTTVEVPKDRVVAKALVFHSPKKAVKLKKSVEWSSSLRRICSGMKKLEITDGSKKNALGCNKPLAAPRKQLRGREVKSRVYDSLHCQNQKTQEAKSINRLKKKNENELPLSEAALGRQQDEKDTIDSTSKNPSDILEQVTGPSRSSHEENIEPCPKDNEIPEATEGDDDKENAMASNIRVSESKVMEIDNNKENYAASDENRKLDCDTGKLMNETHKNIQKVAKVISKTMKENSTAVKGAQGMNYRKPKLTNPKPFRLRTDERGILKEANLEKKHLQAPEGDNESDTRTHKDQTVS >KJB27934 pep chromosome:Graimondii2_0_v6:5:1345616:1346921:-1 gene:B456_005G017900 transcript:KJB27934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTELSLASSQCVLADKTCNFSPSSDLHHHQVDGFNFPSKRRKFFSDQFFNVDSQFQTSVDLQVKDPLPLDWEQCLDLESGKMYYMNRKTLEKTWNWPKDHKLDLELNISPTSSNGSEHFNGGWISSEDSNNNNKQQQQHFSSTTNMVALPCLNCHLLVILPKSSPACPNCKYVHSFPALQPTKYPNTLSLLN >KJB32483 pep chromosome:Graimondii2_0_v6:5:62347429:62353334:1 gene:B456_005G242600 transcript:KJB32483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRRDAGNSPAGPSSAELEPATTRRRAGAQKRKANSLSGSSSSSTPSKRATREKSSNLISHSSINHNGPLTRARQGAPSGNLALGLGSGFGGAKLEERILVKESVKAEDLEELNKASEELEALEAKIEAEFEAVRSRDSNAHVVPNHCGWFSWKKAHHVEECILPSFFNGKSPVRTPDVYLEIRNSIMKKFHANPSVQIESKDLPDIEVGDLDARQEVLEFLDYWGLINFHPFPPGDSAVVSADGDCDGIAKKDSLLENLFHFEVIESRPSVVPKANLSTPSMPSGFLPESAVLDDLLRLEGPSVDYHCNSCSADCSRKRYHCQKQADFDLCTDCFNNRKFGSGMSSSDFILMEPGEASGLSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFLQMPIEDVFFNSDDNIDTNSKETSAPAVVTDETSVPKDVSEATETKTTSQEDQVPQEDQTQTTPVDASKPEDEKEKRESEEMSKPETGTDIKCAPETSKPEEMDEAKDGQDTKENCAIMALREAFEAVGYNLTSESTLSFADVGNPIMALAGFFARLAGPKIGAASAQASLKALSGSSPNIQLAARNCFLLEDPPDDKKEQTGSESVVNDVGNQDAQNVENSENKSLKEDKSTPVLDQKSSSSNHADQNAETSLPEEKVTSASPNCLSTDKKEPGTSATSKEAKKANQSKDHEPGVMRGSDNLASQVPPSSAEETGGKETSAQESSQRTEVVKEVEMSESVPLEKNEPSDAAASEPVAELSEPTEALKNVETVSGSPSGAKNEQQPVKSSSGGEISQPTKASNDIEMVSDSQPSERSEPQQPVTSNSVNENGTITDVIKEGKSESHTSTETKDDSSIDKVKRAAVTALSAAAVKAKLLADQEEDQIRKLTTSLIEKQFSKMEAKLGFFNEMEGLMMRVKEQLDRSRQKLYHERTQIIAARLGLPASSSRAMPPANTANRIATNYANSVARPPMRTTAARPPMSRPMGPMAPTSSNPFVSTTVAGSSIRRASQDNLSSVRTK >KJB32485 pep chromosome:Graimondii2_0_v6:5:62347429:62353334:1 gene:B456_005G242600 transcript:KJB32485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRRDAGNSPAGPSSAELEPATTRRRAGAQKRKANSLSGSSSSSTPSKRATREKSSNLISHSSINHNGPLTRARQGAPSGNLALGLGSGFGGAKLEERILVKESVKAEDLEELNKASEELEALEAKIEAEFEAVRSRDSNAHVVPNHCGWFSWKKAHHVEECILPSFFNGKSPVRTPDVYLEIRNSIMKKFHANPSVQIESKDLPDIEVGDLDARQEVLEFLDYWGLINFHPFPPGDSAVVSADGDCDGIAKKDSLLENLFHFEVIESRPSVVPKANLSTPSMPSGFLPESAVLDDLLRLEGPSVDYHCNSCSADCSRKRYHCQKQADFDLCTDCFNNRKFGSGMSSSDFILMEPGEASGLSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFLQMPIEDVFFNSDDNIDTNSKETSAPAVVTDETSVPKDVSEATETKTTSQEDQVPQEDQTQTTPVDASKPEDEKEKRESEEMSKPETGTDIKCAPETSKPEEMDEAKDGQDTKENCAIMALREAFEAVGYNLTSESTLSFADVGNPIMALAGFFARLAGPKIGAASAQASLKALSGSSPNIQLAARNCFLLEDPPDDKKEQTGSESVVNDVGNQDAQNVENSENKSLKEDKSTPVLDQKSSSSNHADQNAETSLPEEKVTSASPNCLSTDKKEPGTSATSKEAKKANQSKDHEPGVMRGSDNLASQVPPSSAEETGGKETSAQESSQRTEVVKEVEMSESVPLEKNEPSDAAASEPVAELSEPTEALKNVETVSGSPSGAKNEQQPVKSSSGGEISQPTKASNDIEMVSDSQPSERSEPQQPVTSNSVNENGTITDVIKEGKSESHTSTETKDDSSIDKVKRAAVTALSAAAVKAKLLADQEEDQIRKLTTSLIEKQFSKMEAKLGFFNEMEGLMMRVKEQLDRSRQKLYHERTQIIAARLGLPASSSRAMPPANTANRIATNYANSVARPPMRTTAARPPMSRPMGPMAPTSSNPFVSTTVAGSSIRRASQDNLSSVRTK >KJB32484 pep chromosome:Graimondii2_0_v6:5:62347429:62353334:1 gene:B456_005G242600 transcript:KJB32484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRRDAGNSPAGPSSAELEPATTRRRAGAQKRKANSLSGSSSSSTPSKRATREKSSNLISHSSINHNGPLTRARQGAPSGNLALGLGSGFGGAKLEERILVKESVKAEDLEELNKASEELEALEAKIEAEFEAVRSRDSNAHVVPNHCGWFSWKKAHHVEECILPSFFNGKSPVRTPDVYLEIRNSIMKKFHANPSVQIESKDLPDIEVGDLDARQEVLEFLDYWGLINFHPFPPGDSAVVSADGDCDGIAKKDSLLENLFHFEVIESRPSVVPKANLSTPSMPSGFLPESAVLDDLLRLEGPSVDYHCNSCSADCSRKRYHCQKQADFDLCTDCFNNRKFGSGMSSSDFILMEPGEASGLSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFLQMPIEDVFFNSDDNIDTNSKETSAPAVVTDETSVPKDVSEATETKTTSQEDQVPQEDQTQTTPVDASKPEDEKEKRESEEMSKPETGTDIKCAPETSKPEEMDEAKDGQDTKENCAIMALREAFEAVGYNLTSESTLSFADVGNPIMALAGFFARLAGPKIGAASAQASLKALSGSSPNIQLAARNCFLLEDPPDDKKEQTGSESVVNDVGNQDAQNVENSENKSLKEDKSTPVLDQKSSSSNHADQNAETSLPEEKVTSASPNCLSTDKKEPGTSATSKEAKKANQSKDHEPGVMRGSDNLASQVPPSSAEETGGKETSAQESSQRTEVVKEVEMSESVPLEKNEPSDAAASEPVAELSEPTEALKNVETVSGSPSGAKNEQQPVKSSSGGEISQPTKASNDIEMVSDSQPSERSEPQQPVTSNSVNENGTITDVIKEGKSESHTSTETKDDSSIDKVKRAAVTALSAAAVKAKLLADQEEDQIRKLTTSLIEKQFSKMEAKLGFFNEMEGLMMRVKEQLDRSRQKLYHERTQIIAARLGLPASSSRAMPPANTANRIATNYANSVARPPMRTTAARPPMSRPMGPMAPTSSNPFVSTTVAGSSIRRASQDNLSSVRTK >KJB30696 pep chromosome:Graimondii2_0_v6:5:43837757:43839202:1 gene:B456_005G155500 transcript:KJB30696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVDSGNQYDRAEEVKRFDDSKIGVKGLVDSGLTTIPRIFVHPPETLSDLKPKPKSVAIPTIDLSGTRSTVVEQIAAASRSFGFFQIVNHGIPVQVLDRMIASIRAFHELPTDVKARFYRRDAGTGVSFISNVDLFHSKAASWRDTLQIRLGPTLPELEHIPEVCRDEVVQWNLHSTTLGEQLMGLLSEGLGLDKDTIKNTTCLDARVMVGHYYPCCPQPDLTVGIASHTDPGVLTLLLQDHTGGLQIKHEGEWVDVKPVHGALVINIADILQVGLLEAESLIYNIRE >KJB30697 pep chromosome:Graimondii2_0_v6:5:43837757:43840139:1 gene:B456_005G155500 transcript:KJB30697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVDSGNQYDRAEEVKRFDDSKIGVKGLVDSGLTTIPRIFVHPPETLSDLKPKPKSVAIPTIDLSGTRSTVVEQIAAASRSFGFFQIVNHGIPVQVLDRMIASIRAFHELPTDVKARFYRRDAGTGVSFISNVDLFHSKAASWRDTLQIRLGPTLPELEHIPEVCRDEVVQWNLHSTTLGEQLMGLLSEGLGLDKDTIKNTTCLDARVMVGHYYPCCPQPDLTVGIASHTDPGVLTLLLQDHTGGLQIKHEGEWVDVKPVHGALVINIADILQIMSNDEYKSVEHRVLANPSEEARVSIAVFFNPSAREALYGPFAELTSPEKPAHYRKFIYNDYMRRFFTKELDGKTLTNFYKL >KJB28833 pep chromosome:Graimondii2_0_v6:5:7802771:7806706:-1 gene:B456_005G071800 transcript:KJB28833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTELQMSPQLEQIHGEIRDLFRALSNGFQRLDKMKDSNRQSKQLEELTGKMRECKRLIKEFDREIKDEESKNPPEVNKQLNDEKQSMIKELNSYVAMRKTYMNTLGNKKIELFDMGAGVSEPTADENVKMASSMSNQELVDHGMKTMDETDQAIERSKKVVEQTIEVGTQTAGTLKGQTDQMGRIVNELDTIQFSIKKASQLVKEIGRQVATDKCIMLFLFLIVCGVIAIIVVKIVNPNNKDIRDIPGLAPPAPSRRLLYLRSPQ >KJB28837 pep chromosome:Graimondii2_0_v6:5:7803055:7806667:-1 gene:B456_005G071800 transcript:KJB28837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSAIFSVLFRINGFQRLDKMKDSNRQSKQLEELTGKMRECKRLIKEFDREIKDEESKNPPEVNKQLNDEKQSMIKELNSYVAMRKTYMNTLGNKKIELFDMGAGVSEPTADENVKMASSMSNQELVDHGMKTMDETDQAIERSKKVVEQTIEVGTQTAGTLKGQTDQMGRIVNELDTIQFSIKKASQLVKEIGRQVATDKCIMLFLFLIVCGVIAIIVVKIVNPNNKDIRDIPGLAPPAPSRRLLYLRSPQ >KJB28835 pep chromosome:Graimondii2_0_v6:5:7803055:7806667:-1 gene:B456_005G071800 transcript:KJB28835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTELQMSPQLEQIHGEIRDLFRALSNGFQRLDKMKDSNRQSKQLEELTGKMRECKRLIKEFDREIKDEESKNPPEVNKQLNDEKQSMIKELNSYVAMRKTYMNTLGNKKIELFDMGAGVSEPTADENVKMASSMSNQELVDHGMKTMDETDQAIERSKKVVEQTIEVGTQTAGTLKGQVN >KJB28838 pep chromosome:Graimondii2_0_v6:5:7803055:7806667:-1 gene:B456_005G071800 transcript:KJB28838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTELQMSPQLEQIHGEIRDLFRALSNGFQRLDKMKDSNRQSKQLEELTGKMRECKRLIKEFDREIKDEESKNPPEVNKQLNDEKQSMIKELNSYVAMRKTYMNTLGNKKIELFDMGAGVSEPTADENVKMASSMSNQELVDHGMKTMDETDQAIERSKKVVEQTIEVGTQTAGTLKGQTDQMGRIVNELDTIQFSIKKASQLVKEIGRQVTTDKCIMLFLFLIVCGVIAIIVVKIVNPNNKDIRDIPGLAPPAPSRRLLYLRSPQ >KJB28834 pep chromosome:Graimondii2_0_v6:5:7803055:7806667:-1 gene:B456_005G071800 transcript:KJB28834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKELNSYVAMRKTYMNTLGNKKIELFDMGAGVSEPTADENVKMASSMSNQELVDHGMKTMDETDQAIERSKKVVEQTIEVGTQTAGTLKGQTDQMGRIVNELDTIQFSIKKASQLVKEIGRQVATDKCIMLFLFLIVCGVIAIIVVKIVNPNNKDIRDIPGLAPPAPSRRLLYLRSPQ >KJB28836 pep chromosome:Graimondii2_0_v6:5:7804091:7806366:-1 gene:B456_005G071800 transcript:KJB28836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTELQMSPQLEQIHGEIRDLFRALSNGFQRLDKMKDSNRQSKQLEELTGKMRECKRLIKEFDREIKDEESKNPPEVNKQLNDEKQSMIKELNSYVAMRKTYMNTLGNKKIELFDMGAGVSEPTADENVKMASSMSNQELVDHGMKTMDETDQAIERSKKVVEQTIEVGTQTAGTLKGQTDQMGRIVNELDTIQFSIKKASQLVKEIGRQVATDKCIMLFLFLIVCGVIAIIVVKVFFSLTYFNFVVY >KJB32945 pep chromosome:Graimondii2_0_v6:5:64107272:64107487:1 gene:B456_005G2694002 transcript:KJB32945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDAVTESYNKYFFGDGGREIYDFFWGDFAD >KJB31263 pep chromosome:Graimondii2_0_v6:5:53493387:53499212:1 gene:B456_005G183000 transcript:KJB31263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPAFPPRPPGAIGVMPTVSRPPVPGARPIIPPVIRPAVPNVTPTEKPQTTVYIGKIAPTVDNDFMLSLLRLCGPVKSWKRPSNGTPKAFGLCDFESAEGVLRAVRLLSKFNIDGQELVVNVSQATKEYLEKYVEKKTENAKKLNEPPSAESEKEGENAVGDVKNESSTTSVEDPKKNSDSGNRENIMDIANSGIVTDEDREADRDASEKLAGMIEERLKTNPLPPPPPQTAPDGSGKSNSDLPAKSRDGNSDIDLMRNDGAEGKNDDETTSESKATTENDRPETSSPDRRYDRSRDREQDLKRDKEREIERLERETERERMRKEREQRRKIEEAEREYEKFLRDWEQREKDKEKQRQYEKEREKERERKRKKEIRYDEEDDDDEDSRKRLRRSVLEEKRRKRLREKEDDLADRLKEEKEIAEAKKRAEEEQLQLQQQRDALKLLSGRFANGAAKNVLAEEPSTESKDKAVEQHYERESSHENQISGDGNMQNGSVDESNVAFVSASDTRQSGNAPRKLGFGLVGSGKRTTVPSVFHEEEDDDAQKEKKMRPLVPIDYSTEELQAVQPGAPAPNLVAAAEFAKRISNVNTKEEKSDAERERSRRSYDKSSRDKDRNNEDSRNRDESKEKIPDRDRDREHGQDKVKTTDNQKLLDAKQLIDMIPKTKEELFSYEINWNVYDQHALHERMRPWISKKITEFLGEEEKTLVDYIVSGTQEHVKASQMLELLQSILDEEAEMFVLKMWRMLIFEIKKVETGLALRSRS >KJB31266 pep chromosome:Graimondii2_0_v6:5:53495751:53499212:1 gene:B456_005G183000 transcript:KJB31266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIANSGIVTDEDREADRDASEKLAGMIEERLKTNPLPPPPPQTAPDGSGKSNSDLPAKSRDGNSDIDLMRNDGAEGKNDDETTSESKATTENDRPETSSPDRRYDRSRDREQDLKRDKEREIERLERETERERMRKEREQRRKIEEAEREYEKFLRDWEQREKDKEKQRQYEKEREKERERKRKKEIRYDEEDDDDEDSRKRLRRSVLEEKRRKRLREKEDDLADRLKEEKEIAEAKKRAEEEQLQLQQQRDALKLLSGRFANGAAKNVLAEEPSTESKDKAVEQHYERESSHENQISGDGNMQNGSVDESNVAFVSASDTRQSGNAPRKLGFGLVGSGKRTTVPSVFHEEEDDDAQKEKKMRPLVPIDYSTEELQAVQPGAPAPNLVAAAEFAKRISNVNTKEEKSDAERERSRRSYDKSSRDKDRNNEDSRNRDESKEKIPDRDRDREHGQDKVKTTDNQKLLDAKQLIDMIPKTKEELFSYEINWNVYDQHALHERMRPWISKKITEFLGEEEKTLVDYIVSGTQEHVKASQMLELLQSILDEEAEMFVLKMWRMLIFEIKKVETGLALRSRS >KJB31261 pep chromosome:Graimondii2_0_v6:5:53493124:53499284:1 gene:B456_005G183000 transcript:KJB31261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESTSSPAALDTTNSQSKPDSDNPNPLPTQPDPSPSSTVSTQLQPNPNPNAPLVSPQPPPAVPSYSTPPPPISGAATAVPPAPPSFRPVPQFSPLPNFQPPGLQPPGVSSAPGSIPPPLMQYQVPAGQVPNPALRPFAPIPNGYAALPGAVPPGTMPPPGLLRYPSPYPAMIRPAFPPRPPGAIGVMPTVSRPPVPGARPIIPPVIRPAVPNVTPTEKPQTTVYIGKIAPTVDNDFMLSLLRLCGPVKSWKRPSNGTPKAFGLCDFESAEGVLRAVRLLSKFNIDGQELVVNVSQATKEYLEKYVEKKTENAKKLNEPPSAESEKEGENAVGDVKNESSTTSVEDPKKNSDSGNRENIMDIANSGIVTDEDREADRDASEKLAGMIEERLKTNPLPPPPPQTAPDGSGKSNSDLPAKSRDGNSDIDLMRNDGAEGKNDDETTSESKATTENDRPETSSPDRRYDRSRDREQDLKRDKEREIERLERETERERMRKEREQRRKIEEAEREYEKFLRDWEQREKDKEKQRQYEKEREKERERKRKKEIRYDEEDDDDEDSRKRLRRSVLEEKRRKRLREKEDDLADRLKEEKEIAEAKKRAEEEQLQLQQQRDALKLLSGRFANGAAKNVLAEEPSTESKDKAVEQHYERESSHENQISGDGNMQNGSVDESNVAFVSASDTRQSGNAPRKLGFGLVGSGKRTTVPSVFHEEEDDDAQKEKKMRPLVPIDYSTEELQAVQPGAPAPNLVAAAEFAKRISNVNTKEEKSDAERERSRRSYDKSSRDKDRNNEDSRNRDESKEKIPDRDRDREHGQDKVKTTDNQKLLDAKQLIDMIPKTKEELFSYEINWNVYDQHALHERMRPWISKKITEFLGEEEKTLVDYIVSGTQEHVKASQMLELLQSILDEEAEMFVLKMWRMLIFEIKKVETGLALRSRS >KJB31265 pep chromosome:Graimondii2_0_v6:5:53493148:53499284:1 gene:B456_005G183000 transcript:KJB31265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGLLRYPSPYPAMIRPAFPPRPPGAIGVMPTVSRPPVPGARPIIPPVIRPAVPNVTPTEKPQTTVYIGKIAPTVDNDFMLSLLRLCGPVKSWKRPSNGTPKAFGLCDFESAEGVLRAVRLLSKFNIDGQELVVNVSQATKEYLEKYVEKKTENAKKLNEPPSAESEKEGENAVGDVKNESSTTSVEDPKKNSDSGNRENIMDIANSGIVTDEDREADRDASEKLAGMIEERLKTNPLPPPPPQTAPDGSGKSNSDLPAKSRDGNSDIDLMRNDGAEGKNDDETTSESKATTENDRPETSSPDRRYDRSRDREQDLKRDKEREIERLERETERERMRKEREQRRKIEEAEREYEKFLRDWEQREKDKEKQRQYEKEREKERERKRKKEIRYDEEDDDDEDSRKRLRRSVLEEKRRKRLREKEDDLADRLKEEKEIAEAKKRAEEEQLQLQQQRDALKLLSGRFANGAAKNVLAEEPSTESKDKAVEQHYERESSHENQISGDGNMQNGSVDESNVAFVSASDTRQSGNAPRKLGFGLVGSGKRTTVPSVFHEEEDDDAQKEKKMRPLVPIDYSTEELQAVQPGAPAPNLVAAAEFAKRISNVNTKEEKSDAERERSRRSYDKSSRDKDRNNEDSRNRDESKEKIPDRDRDREHGQDKVKTTDNQKLLDAKQLIDMIPKTKEELFSYEINWNVYDQHALHERMRPWISKKITEFLGEEEKTLVDYIVSGTQEHVKASQMLELLQSILDEEAEMFVLKMWRMLIFEIKKVETGLALRSRS >KJB31264 pep chromosome:Graimondii2_0_v6:5:53493387:53498511:1 gene:B456_005G183000 transcript:KJB31264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPAFPPRPPGAIGVMPTVSRPPVPGARPIIPPVIRPAVPNVTPTEKPQTTVYIGKIAPTVDNDFMLSLLRLCGPVKSWKRPSNGTPKAFGLCDFESAEGVLRAVRLLSKFNIDGQELVVNVSQATKEYLEKYVEKKTENAKKLNEPPSAESEKEGENAVGDVKNESSTTSVEDPKKNSDSGNRENIMDIANSGIVTDEDREADRDASEKLAGMIEERLKTNPLPPPPPQTAPDGSGKSNSDLPAKSRDGNSDIDLMRNDGAEGKNDDETTSESKATTENDRPETSSPDRRYDRSRDREQDLKRDKEREIERLERETERERMRKEREQRRKIEEAEREYEKFLRDWEQREKDKEKQRQYEKEREKERERKRKKEIRYDEEDDDDEDSRKRLRRSVLEEKRRKRLREKEDDLADRLKEEKEIAEAKKRAEEEQLQLQQQRDALKLLSGRFANGAAKNVLAEEPSTESKDKAVEQHYERESSHENQISGDGNMQNGSVDESNVAFVSASDTRQSGNAPRKLGFGLVGSGKRTTVPSVFHEEEDDDAQKEKKMRPLVPIDYSTEELQAVQPGAPAPNLVAAAEFAKRISNVNTKEEKSDAERERSRRSYDKSSRDKDRNNEDSRNRDESKEKIPDRDRDREHGQDKVKTTDNQKLLDAKQLIDMIPKTKEELFSYEINWNVYDQVIIFSHVLFQTVSFEKHLSSGQN >KJB31262 pep chromosome:Graimondii2_0_v6:5:53493153:53499318:1 gene:B456_005G183000 transcript:KJB31262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPAFPPRPPGAIGVMPTVSRPPVPGARPIIPPVIRPAVPNVTPTEKPQTTVYIGKIAPTVDNDFMLSLLRLCGPVKSWKRPSNGTPKAFGLCDFESAEGVLRAVRLLSKFNIDGQELVVNVSQATKEYLEKYVEKKTENAKKLNEPPSAESEKEGENAVGDVKNESSTTSVEDPKKNSDSGNRENIMDIANSGIVTDEDREADRDASEKLAGMIEERLKTNPLPPPPPQTAPDGSGKSNSDLPAKSRDGNSDIDLMRNDGAEGKNDDETTSESKATTENDRPETSSPDRRYDRSRDREQDLKRDKEREIERLERETERERMRKEREQRRKIEEAEREYEKFLRDWEQREKDKEKQRQYEKEREKERERKRKKEIRYDEEDDDDEDSRKRLRRSVLEEKRRKRLREKEDDLADRLKEEKEIAEAKKRAEEEQLQLQQQRDALKLLSGRFANGAAKNVLAEEPSTESKDKAVEQHYERESSHENQISGDGNMQNGSVDESNVAFVSASDTRQSGNAPRKLGFGLVGSGKRTTVPSVFHEEEDDDAQKEKKMRPLVPIDYSTEELQAVQPGAPAPNLVAAAEFAKRISNVNTKEEKSDAERERSRRSYDKSSRDKDRNNEDSRNRDESKEKIPDRDRDREHGQDKVKTTDNQKLLDAKQLIDMIPKTKEELFSYEINWNVYDQHALHERMRPWISKKITEFLGEEEKTLVDYIVSGTQEHVKASQMLELLQSILDEEAEMFVLKMWRMLIFEIKKVETGLALRSRS >KJB27677 pep chromosome:Graimondii2_0_v6:5:229164:236213:-1 gene:B456_005G004100 transcript:KJB27677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNAMTISILLFLIVTDVSNASSSSSLKLRYLANESPSKNDTVSTSTTPPSSPLPILISASGKKKLNPKLDPNSSNKTDFLMPLLGDKKDPKPLGKPKKVSASPQKEKVRGNNPSLTSNPKSDKTMKENKEKKNNVGGGNNLNSTNTVKRGYTNKDKEKKKTTKSNVIGNDSKSEIDDTCAGIASRCEDQNSLIACVKGFGTGSKEGVVLVHNKGEKTLNVNLMGPFGVSFPKRLRVPKHGIEKLNISLTISEPIALVLTAGNGDCFLPLNAQASETYFFSKLPSYDKLLTPINGAYFLIVAFIIFGGSLACCMFRKSRRHDSGIPYQELEMGLPESMATTNVETAEGWDQVWDDDWDEDMAVRSPLGRNVANISTNGLTVRSSNKDGWENDWDD >KJB30492 pep chromosome:Graimondii2_0_v6:5:40018671:40020740:1 gene:B456_005G146700 transcript:KJB30492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEDKVGMDLVPQSEHLCYVRCNFCNTVLAVGIPCKRLLETVTVKCGHCSNLSFLSTRPPLQGQCLDPQTSLTLQSFCGDFRKGTQFPSPSSSTSSEPSSPKAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKAANPEIPHREAFSAAAKNWARYIPNSPAASSVCGSSSNEQNDNV >KJB29497 pep chromosome:Graimondii2_0_v6:5:17721978:17725257:-1 gene:B456_005G103200 transcript:KJB29497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRGQYQPMPLSVLLKRESSNEKIEKPEIVHGLASQSKKGEDFTLLKTECQRTMGNGVTTFSVFGLFDGHNGSAAAIYTKENLLNNVLNAIPTDLNTDEWVAALPRALVSGFVKTDKDFHKIGKKSGTTVTFVIIEGWVITVASVGDSQCIFDSANGGMYYLSADHRLDCNEEETERITASGGDIGRLNAGCGTERISASAIGPLRCWPGGLCLSRSIGDRDVGEFIVPVPYIKQIKMSTAGGRLIISSDGVWDALSAEAALDCCRGMPPEAAAAQIVKEALQANGLRDDTTCIVIDILPQEKPAAPSPPPKKPVKHLLMSLFRKKPSESFSYKDKEYMEPDVVEELFEEGSALLSERLNTKYPVCNMFKLFMCAVCQIEMKPGEGISVHAGTSSLVKVCPWDGPFLCSSCQEKKEAMEGKRPSDRHCIDSD >KJB29498 pep chromosome:Graimondii2_0_v6:5:17721048:17725911:-1 gene:B456_005G103200 transcript:KJB29498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRGQYQPMPLSVLLKRESSNEKIEKPEIVHGLASQSKKGEDFTLLKTECQRTMGNGVTTFSVFGLFDGHNGSAAAIYTKENLLNNVLNAIPTDLNTDEWVAALPRALVSGFVKTDKDFHKIGKKSGTTVTFVIIEGWVITVASVGDSQCIFDSANGGMYYLSADHRLDCNEEETERITASGGDIGRLNAGCGTEIGPLRCWPGGLCLSRSIGDRDVGEFIVPVPYIKQIKMSTAGGRLIISSDGVWDALSAEAALDCCRGMPPEAAAAQIVKEALQANGLRDDTTCIVIDILPQEKPAAPSPPPKKPVKHLLMSLFRKKPSESFSYKDKEYMEPDVVEELFEEGSALLSERLNTKYPVCNMFKLFMCAVCQIEMKPGEGISVHAGTSSLVKVCPWDGPFLCSSCQEKKEAMEGKRPSDRHCIDSD >KJB31165 pep chromosome:Graimondii2_0_v6:5:56774992:56775734:-1 gene:B456_005G195500 transcript:KJB31165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHRKQRGITSFQSEDLTLVLSFAHFPTFIKYSRRICILGAHSVAPSPLFKCKVLMVPRKHATQNHTLIYVRYMISSPQPHQLLSQFS >KJB32561 pep chromosome:Graimondii2_0_v6:5:62695704:62698698:1 gene:B456_005G247000 transcript:KJB32561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRIAFSVCVVSYFLFIGLISSEAFSVVGSEVDPLIRQVTDGQDDGVETQPLTAEHHFSLFKARFKKSYGSEEEHDYRFKVFRANLRRAARHQKLDPSATHGVTQFSDLTPGEFRKRFLGLRRLRLPKDANQAPILPTDNLPEDFDWREKGAVTPVKNQGSCGSCWSFSTTGALEGANFLATGKLVSLSEQQLVDCDHECDPEEAGSCDSGCNGGLMNSAFEYTLKAGGLMREEDYPYTGTDRGTCKFDKSKIVAKVANFSVVSLDEDQIAANLVKNGPLAVAINAVFMQTYIGGVSCPYICSKRLDHGVLLVGYGSAGYAPIRLKDKPYWIIKNSWGETWGENGFYKICRGRNVCGVDSLVSTVAAVNTNSE >KJB31572 pep chromosome:Graimondii2_0_v6:5:57000762:57004001:-1 gene:B456_005G196600 transcript:KJB31572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHPGFSKAGKVALYQLHLVKAETVRAFIPKELRLVEAFGYTLGGFFLANYDDSPAGVFDELVVIAGIVWNPPTSCAWAARVLVNSEEACHHGRKEVGLPSQVARFSKRITPVPRQTKDKFGGFLNMIGVGTTICHSKDCMDVQVTEVVGPASSDICNIKLLTDVPTPKFNKWMGPSITMSLPSFSGQTEYNANLLKYSCRLACRVRAVRPAKVSGPSPLKKERNLDSESRGFTAEEVVDNARNLSISVMLSKPILALEFNFLEMQVEAPIILSNNTTSSLPAA >KJB31579 pep chromosome:Graimondii2_0_v6:5:57001573:57004271:-1 gene:B456_005G196600 transcript:KJB31579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGETKSSPGYGNPPWIFKGSALYQLHLVKAETVRAFIPKELRLVEAFGYTLGGFFLANYDDSPAGVFDELVVIAGIVWNPPTSCAWAARVLVNSEEACHHGRKEVGLPSQVARFSKRITPVPRQTKDKFGGFLNMIGVGTTICHSKDCMDVQVTEVVGPASSDICNIKLLTDGEFIVDPTYVLNSHGIWKLLRISFLRI >KJB31573 pep chromosome:Graimondii2_0_v6:5:57000597:57004461:-1 gene:B456_005G196600 transcript:KJB31573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGETKSSPGYGNPPWIFKGRYTLGGFFLANYDDSPAGVFDELVVIAGIVWNPPTSCAWAARVLVNSEEACHHGRKEVGLPSQVARFSKRITPVPRQTKDKFGGFLNMIGVGTTICHSKDCMDVQVTEVVGPASSDICNIKLLTDVPTPKFNKWMGPSITMSLPSFSGQTEYNANLLKYSCRLACRVRAVRPAKVSGPSPLKKERNLDSESRGFTAEEVVDNARNLSISVMLSKPILALEFNFLEMQVEAPIILSNNTTSSLPAA >KJB31578 pep chromosome:Graimondii2_0_v6:5:57000597:57004511:-1 gene:B456_005G196600 transcript:KJB31578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGCAKIRFPAASLMGLGETKSSPGYGNPPWIFKGSALYQLHLVKAETVRAFIPKELRLVEAFGYTLGGFFLANYDDSPAGVFDELVVIAGIVWNPPTSCAWAARVLVNSEEACHHGRKEVGLPSQVARFSKRITPVPRQTKDKFGGFLNMIGVGTTICHSKDCMDVQVTEVVGPASSDICNIKLLTDVPTPKFNKWMGPSITMSLPSFSGQTEYNANLLKYSCRLACRVRAVRPAKVSGPSPLKKERNLDSESRGFTAEEVVDNARNLSISVMLSKPILALEFNFLEMQVEAPIILSNNTTSSLPAA >KJB31575 pep chromosome:Graimondii2_0_v6:5:57000762:57004001:-1 gene:B456_005G196600 transcript:KJB31575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHPGFSKAGKVALYQLHLVKAETVRAFIPKELRLVEAFGYTLGGFFLANYDDSPAGVFDELVVIAGIVWNPPTSCAWAARVLVNSEEACHHGRKEVGLPSQVARFSKRITPVPRQTKDKFGGFLNMIGVGTTICHSKDCMDVQVTEVVGPASSDICNIKLLTDVPTPKFNKWMGPSITMSLPSFSGQTEYNANLLKYSCRLACRVRAVRPAKVSGPSPLKKERNLDSESRGFTAEEVVDNARNLSISVMLSKPILALEFNFLEMQVEAPIILSNNTTSSLPAA >KJB31576 pep chromosome:Graimondii2_0_v6:5:57000597:57004442:-1 gene:B456_005G196600 transcript:KJB31576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGETKSSPGYGNPPWIFKGRYTLGGFFLANYDDSPAGVFDELVVIAGIVWNPPTSCAWAARVLVNSEEACHHGRKEVGLPSQVARFSKRITPVPRQTKDKFGGFLNMIGVGTTICHSKDCMDVQVTEVVGPASSDICNIKLLTDVPTPKFNKWMGPSITMSLPSFSGQTEYNANLLKYSCRLACRVRAVRPAKVSGPSPLKKERNLDSESRGFTAEEVVDNARNLSISVMLSKPILALEFNFLEMQVEAPIILSNNTTSSLPAA >KJB31574 pep chromosome:Graimondii2_0_v6:5:57000597:57004271:-1 gene:B456_005G196600 transcript:KJB31574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGETKSSPGYGNPPWIFKGSALYQLHLVKAETVRAFIPKELRLVEAFGYTLGGFFLANYDDSPAGVFDELVVIAGIVWNPPTSCAWAARVLVNSEEACHHGRKEVGLPSQVARFSKRITPVPRQTKDKFGGFLNMIGVGTTICHSKDCMDVQVTEVVGPASSDICNIKLLTDVPTPKFNKWMGPSITMSLPSFSGQTEYNANLLKYSCRLACRVRAVRPAKVSGPSPLKKERNLDSESRGFTAEEVVDNARNLSISVMLSKPILALEFNFLEMQVEAPIILSNNTTSSLPAA >KJB31577 pep chromosome:Graimondii2_0_v6:5:57000597:57004271:-1 gene:B456_005G196600 transcript:KJB31577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGETKSSPGYGNPPWIFKGSALYQLHLVKAETVRAFIPKELRLVEAFGYTLGGFFLANYDDSPAGVFDELVVIAGIVWNPPTSCAWAARVLVNSEEACHHGRKEVGLPSQVARFSKRITPVPRQTKDKFGGFLNMIGVGTTICHSKDCMDVQVTEVVGPASSDICNIKLLTDVPTPKFNKWMGPSITMSLPSFSGQTEYNANLLKYSCRLACRVRAVRPAKVSGPSPLKKERNLDSESRGFTAEEVVDNARNLSISVMLSKPILALEFNFLEMQVEAPIILSNNTTSSLPAA >KJB31571 pep chromosome:Graimondii2_0_v6:5:57001112:57004035:-1 gene:B456_005G196600 transcript:KJB31571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGETKSSPGYGNPPWIFKGSALYQLHLVKAETVRAFIPKELRLVEAFGYTLGGFFLANYDDSPAGVFDELVVIAGIVWNPPTSCAWAARVLVNSEEACHHGRKEVGLPSQVARFSKRITPVPRQTKDKFGGFLNMIGVGTTICHSKDCMDVQVTEVVGPASSDICNIKLLTDVPTPKFNKWMGPSITMSLPSFSGQTEYNANLLKYSCRLACRCFRYFLSHVSLLLL >KJB30970 pep chromosome:Graimondii2_0_v6:5:50070385:50072922:-1 gene:B456_005G172100 transcript:KJB30970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDKKIKIGINGFGRIGRLVARVALQRNDVELVAVNDPFISTDYMTYMFKYDSVHGQWKHHDLKVKDSKTLLFGEKPVTVFGIRNPEEIPWAEAGAEFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVMGVNEKDYKPELDIVSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHAITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTIDVSVVDLTVRLEKSASYDEIKAAIKEESEGKLKGILGYVEEDLVSTDFVGDSRSSIFDAKAGIALNKNFVKLVSWYDNEWGYSSRVIDLIVHMASTKAC >KJB32684 pep chromosome:Graimondii2_0_v6:5:63287061:63289719:1 gene:B456_005G255600 transcript:KJB32684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGILTLTIPSSWNHRRRHCLRPTPPLIKCESGGVPLTKQAHRFFSSLTSTAAVDDPATANRLIKKFVASSPKSIALNALSHLLSPRNSHPHLSAIAFPLYTKISEASWYNWNPKLVADLVPLLDIQGKHDESQALISQVVSKLKFKERDLVQFYCNLIESCSKHESKQGFNDAYGYLSELVNNSSSMYVKKQGYKSMVSSLCEMGQPNEAENVVEDMIKNGVKPSLFELRFVLYGYGKMGFFEDMERMVKKMEIEGFGVDTISSNMILSSYGAYNALPKMVPWLQKMKALEIPFSIRTYNCVLNSCPMIMSFVRGSGGFPVSVSELVNVLDEDEALLVKELVESSSVLDEAMEWDDLELKLDLHGMHSGSAYLIMLQWIKEMKSRFRVKECVVPAQITVVCGTGKHSSVRGESPVKTLIKAMMVQMKSPMRIDRKNIGCFIAKGQVVRNWLIQSLD >KJB32685 pep chromosome:Graimondii2_0_v6:5:63287110:63289160:1 gene:B456_005G255600 transcript:KJB32685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGILTLTIPSSWNHRRRHCLRPTPPLIKCESGGVPLTKQAHRFFSSLTSTAAVDDPATANRLIKKFVASSPKSIALNALSHLLSPRNSHPHLSAIAFPLYTKISEASWYNWNPKLVADLVPLLDIQGYKSMVSSLCEMGQPNEAENVVEDMIKNGVKPSLFELRFVLYGYGKMGFFEDMERMVKKMEIEGFGVDTISSNMILSSYGAYNALPKMVPWLQKMKALEIPFSIRTYNCVLNSCPMIMSFVRGSGGFPVSVSELVNVLDEDEALLVKELVESSSVLDEAMEWDDLELKLDLHGMHSGSAYLIMLQWIKEMKSRFRVKECVVPAQITVVCGTGKHSSVRGESPVKTLIKAMMVQMKSPMRIDRKNIGCFIAKGQVVRNWLIQSLD >KJB28706 pep chromosome:Graimondii2_0_v6:5:6918030:6919966:-1 gene:B456_005G065500 transcript:KJB28706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIREIDDLPKNAANYAPLTPLWFLERAATVHPTRIAMVHGSRSYTWLQTYHRCRRLASALCKHSMGAGSIVAVIAPNIPATYEAHFGIPMSGAVINPVNIRLNASTIAFLLGHSQSSIVIVDQEFFTLAQNSLKIMKEKSQGHFNPPLLVVVADENCDPEALRYALGQGAIEYERFLESGDPDFAWKPPQDEWQSISLGYTSGTTASPKGVVLHHRGAYLMSLSNPLVWGMNEGVVYLWTLPMFHCNGGCFTWALAALCGTNICLRQVTARGAYSAIVKHGVTHFCAAPVVLNTIVNAPSEDTILPLPHVVHVMTAGAAPPPALLLALSRKGFRVLHTYGLSETYGPSTICTRKPEWDSLLPETQARLNARQGVRSITLERLDVINTKTGEPVPADGKTIGEIVMRGNVVMKGYLKNAKANEVAFAGGWFHSEDLGVKHQDGYIEIKDRSKDIIISGGENVSSVEVENSLYFHPAVLEASVVARADERWGESPCAFVTLKPEIDKSDEQRLAEDIIKFCKSRRPAYWVPKSVVFGPLPKTATGKIQKHVLRTKAKQLGPVKLSKL >KJB32340 pep chromosome:Graimondii2_0_v6:5:61842262:61846388:1 gene:B456_005G236500 transcript:KJB32340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVQVQGQSGGVNNGSGGANNQFVTSLYVGDLDPSVTETQLYEYFNHIGQLLTVRLCTDLSTRRSLGYGYVNYGNPQDAARALELLNFTPLNGKPIRIMYSNRDPSLRKSGAGNIFIKNLDKGIDQKALHDTFSAFGNILSCKLATDSSGQSKGYGFVQFDNEESAQKAIEKLNGMLLNDKQVYVGPFVRKQERDTSTSKTKFNNVYVKNLSESTTDEDLNQTFGEFGPITSAVVMRDADGKSKGFGFINFENADDAARAVESLNGKKFDDKEWFVGKAQKKSEREAELKHRFEQTMKEAADKFQGANLYVKNLDDSISDEKLKELFSQYGTITSCKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVINKPLYVAQAQRKEDRRARLQAQFSQMRPLTMASSVAPRMPMYPPGGPGLGQQIFYGQAPPIFPPQPGFGYQQQLVPGMRPGGAPMPNFFVPMVQQGQQGQRPGGRRAGAVQQNQQPVPLMQQQMLPRGRVYRYPVGRGLPEVSMPNVPGGMLSVPYDMGSMPMRDAPLSQPIPIGALASALANATPEQQRTMLGENLYPLVEQLEPDAAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRTVAQQQQQAGGAADQLASLSLNDNLVS >KJB32341 pep chromosome:Graimondii2_0_v6:5:61842326:61846334:1 gene:B456_005G236500 transcript:KJB32341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVQVQGQSGGVNNGSGGANNQFVTSLYVGDLDPSVTETQLYEYFNHIGQLLTVRLCTDLSTRRSLGYGYVNYGNPQDAARALELLNFTPLNGKPIRIMYSNRDPSLRKSGAGNIFIKNLDKGIDQKALHDTFSAFGNILSCKLATDSSGQSKGYGFVQFDNEESAQKAIEKLNGMLLNDKQVYVGPFVRKQERDTSTSKTKFNNVYVKNLSESTTDEDLNQTFGEFGPITSAVVMRDADGKSKGFGFINFENADDAARAVESLNGKKFDDKEWFVGKAQKKSEREAELKHRFEQTMKEAADKFQGANLYVKNLDDSISDEKLKELFSQYGTITSCKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVINKPLYVAQAQRKEDRRARLQAQFSQMRPLTMASSVAPRMPMYPPGGPGLGQQIFYGQAPPIFPPQPGFGYQQQLVPGMRPGGAPMPNFFVPMVQQGQQGQRPGGRRAGAVQQNQQPVPLMQQQMLPRGRVYRYPVGRGLPEVSMPNVPGGMLSVPYDMGSMPMRDAPLSQPIPIGALASALANATPEQQRTVHAGGESLSTCRAAGTRCSSQSNRDASGDGPDGGFTLARVTRSSKSQSCRSNGSSEDCSTATAASWWSC >KJB30321 pep chromosome:Graimondii2_0_v6:5:35546622:35552408:1 gene:B456_005G137400 transcript:KJB30321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G08660) UniProtKB/Swiss-Prot;Acc:Q8VZJ0] MRSRSQFQSHKKSTLLPLVCAAAFFSLLLFGIQSSFFSGRINRKIDLGKEKMQVSDLSPQELRSLAEFQTRVQQCVANRGLGLTAHLVDLCKLTLKFPEGTNSTWYNEQFKVFEPLEYHYDICDAILLWEQYRNMTTVLTREYLDARPDGWLDYAAKRIAQLGADKCYNRTLCEEHLNVLLPAKPPFHPRQFRTCAVVGNSGDLLKTEFGKEIDSHDAVIRDNEAPVNEKYAKYVGLKRDFRLVVRGAARNMIKILKGSNDEVLIIKSVTHKDFSDMIKSIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAKRKQDWSDVPSREMINRAHAAALHFKRGPDGQLGQFGSCKVWGNVDPDNSGPISGSSDMSDVRKHSNYSKWETMPFKSIRKEAQDHFKQMEGVSMYKIDGNKLDDLVCVRHSVNSKG >KJB30323 pep chromosome:Graimondii2_0_v6:5:35546673:35552358:1 gene:B456_005G137400 transcript:KJB30323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G08660) UniProtKB/Swiss-Prot;Acc:Q8VZJ0] MRSRSQFQSHKKSTLLPLVCAAAFFSLLLFGIQSSFFSGRINRKIDLGKEKMQVSDLSPQELRSLAEFQTRVQQCVANRGLGLTAHLVDLCKLTLKFPEGTNSTWYNEQFKVFEPLEYHYDICDAILLWEQYRNMTTVLTREYLDARPDGWLDYAAKRIAQLGADKCYNRTLCEEHLNVLLPAKPPFHPRQFRTCAVVGNSGDLLKTEFGKEIDSHDAVIRDNEAPVNEKYAKYVGLKRDFRLVVRGAARNMIKILKGSNDEVLIIKSVTHKDFSDMIKSIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGY >KJB30322 pep chromosome:Graimondii2_0_v6:5:35548252:35551634:1 gene:B456_005G137400 transcript:KJB30322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G08660) UniProtKB/Swiss-Prot;Acc:Q8VZJ0] MSWCLQYNEQFKVFEPLEYHYDICDAILLWEQYRNMTTVLTREYLDARPDGWLDYAAKRIAQLGADKCYNRTLCEEHLNVLLPAKPPFHPRQFRTCAVVGNSGDLLKTEFGKEIDSHDAVIRDNEAPVNEKYAKYVGLKRDFRLVVRGAARNMIKILKGSNDEVLIIKSVTHKDFSDMIKSIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAKRKQDWSDVPSREMINRAHAAALHFKRGPDGQLGQFGSCKVWGNVDPDNSGPISGSSDMSDVRKHSNYSKWETMPFKSIRKEAQDHFKQMEGVSMYKIDGNKLDDLVCVRHSVNSKG >KJB30791 pep chromosome:Graimondii2_0_v6:5:46024367:46024906:1 gene:B456_005G160100 transcript:KJB30791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHILLVVRINITFNSKPITIISSPLTQFHQHLLKSAPRHIIDLANANPQPTYLRKSKRGVTEFLVNSVNNQKRYDMF >KJB32727 pep chromosome:Graimondii2_0_v6:5:63406431:63408147:-1 gene:B456_005G257900 transcript:KJB32727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPALSFCSNVFPGSTPQCEKQPGSGTAIFPRAQWGCRGSNSYQRHKKISFRSNCNKGDAGCGCGGGSDGGGGLSSIVTREVFEEMLPYRNDPRCPAAGFYTYDALMEAAKAYPAFAATGDDATRKREVAAFFGQTSHETSGGVGWNAPGGPYVWGYCFTKQINPPSDYLDKTCKEYPCVPGQKYYGRGPIQLTWNYNYGQFGATIGMEKELLENPDLIVSDATLCFQSALWFWMTPQGLKPSCHDVITGAWTPSARDVAAGRFPGYGVITNIVNGGLCGRGWNAAGEDLIGFYKRYCDMFGVSYGDCIDCYNQKKFSQH >KJB32728 pep chromosome:Graimondii2_0_v6:5:63406632:63408109:-1 gene:B456_005G257900 transcript:KJB32728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPALSFCSNVFPGSTPQCEKQPGSGTAIFPRAQWGCRGSNSYQRHKKISFRSNCNKGDAGCGCGGGSDGGGGLSSIVTREVFEEMLPYRNDPRCPAAGFYTYDALMEAAKAYPAFAATGDDATRKREVAAFFGQTSHETSDYLDKTCKEYPCVPGQKYYGRGPIQLTWNYNYGQFGATIGMEKELLENPDLIVSDATLCFQSALWFWMTPQGLKPSCHDVITGAWTPSARDVAAGRFPGYGVITNIVNGGLCGRGWNAAGEDLIGFYKRYCDMFGVSYGDCIDCYNQKKFSQH >KJB28507 pep chromosome:Graimondii2_0_v6:5:5195162:5198813:1 gene:B456_005G052700 transcript:KJB28507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQGKLFIGGISWETSEDRLKEYFGQYGDILQTVVMRDKVTGRPRGFGFVVFSDPSVIDTVLQEKHTIDGRTVEAKRALSREEQQTSARSGNFNQGRNSGGGGNIRTKKIFVGGLPPTLTEDGFRQYFEAYGHVTDVVIMYDQNTQRPRGFGFISFDSEEAVDRVLHKSFHDLNGKQVEVKPALPKDANPGGASRSMGGGAGGFGGYQGYGSSGGNSSSYDGRMDSNYMRGQGTGAGFPPYGSSGYAPGYGYGPASNGVGYGSYGNYGGAGAGYGAPAGAAYGNPNAGYASGPPGAPRSSWGTQTPSGYGAMGYGNAAPWGAGPGSGGPGSAATGQSPTGATGYGGQGYGYGGYGCNDGSYGNAGYGAAGGRSGGTPNSNASAGGGDLQGSGGGYMGGGYGDVNGSSGYGNASWRSDSSQGSGNYGGSQANGPHGGQGGYGGGYGGAQGRQAQQQ >KJB28508 pep chromosome:Graimondii2_0_v6:5:5195196:5198511:1 gene:B456_005G052700 transcript:KJB28508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQGKLFIGGISWETSEDRLKEYFGQYGDILQTVVMRDKVTGRPRGFGFVVFSDPSVIDTVLQEKHTIDGRTVEAKRALSREEQQTSARSGNFNQGRNSGGGGNIRTKKIFVGGLPPTLTEDGFRQYFEAYGHVTDVVIMYDQNTQRPRGFGFISFDSEEAVDRVLHKSFHDLNGKQVEVKPALPKDANPGGASRSMGGGAGGFGGYQGYGSSGGNSSSYDGRMDSNYMRGQGTGAGFPPYGSSGYAPGYGYGPASNGVGYGSYGNYGGAGAGYGAPAGAAYGNPNAGYASGPPGAPRSSWGTQTPSGYGAMGYGNAAPWGAGPGSGGPGSAATGQSPTGATGYGGQGYGYGGYGCNDGSYGNAGYGAAGGRSGGTPNSNASAGGGDLQGSGGGYMGGGYGDVNGSSGYGNASWRSDSSQGSGNYGGSQANGPHGGQGGYGGGYGGAQGRQAQQQ >KJB32220 pep chromosome:Graimondii2_0_v6:5:61296746:61298969:-1 gene:B456_005G230300 transcript:KJB32220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRPSISRIFIITIIQMSLSHSHSTPLNSSSVSAASLSCGEDAGEVVTWEPEPFSGHHDKYYPNPDENAISRLIDSESHHMPLPDYLRRCQDRSVDVISRQDSINWMLKVHAHYHFSPVTAFLSVNYFDRYLSSYSLPQANGWPFQLLSVACLSLAAKMEEPQVPLLLDLQVFEPRFVFEPKTIQRMELRVMAALNWRLCSVTPFDYLHYFISKLPSCSTRLSDSFSSIIAASSDLILNTTRVIDFLRFAPSTMAAAALLCATGDSLECPARDVFFHESVNREMVRSCHQLMEEYLVDTCPSARFKELRVEQPSTAPPSPVGVLDAAACGSCDTRSEIPGSSSSQEEPPPKRFRSSDPDVQQP >KJB27876 pep chromosome:Graimondii2_0_v6:5:1023760:1025168:-1 gene:B456_005G014200 transcript:KJB27876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSDTALNLLLSCAKAIEDGDLKRADACLHYILILADKRPYSYQTIVVKYFADALVRRAYGLHPASSYFAFPVDPAPYYHYNSCRINGVIKKVIGYALMGNRRLHLIDFSIPYYYRFQNSVLRTLPNFFGYPLSVRVSYILPPFLKEYVDLSRQMEFLTKDAVNVKLEDELKVVYGNSLAEVDECEIDFKRRRDDEMVVVYYKFKLEKLVRDAKAMERELVRLKEINPTIVIMLDFYSNHTHSNFLTCFKDSLQYSLKTVDYWAELHHNLGCKYGWECNIEAGEGNNIIRRQPTLTEWQHLFSMAGFSRIPLNHTKDNFSVEGEILLEIMGKEEECLILGYKGCPMFFLSAWKPKVEDGHYNSNSTSHKFGQAFYTFFFHSIELLNVKI >KJB32283 pep chromosome:Graimondii2_0_v6:5:61543421:61546433:1 gene:B456_005G233100 transcript:KJB32283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASHLVAESVWKTIESTHSVNEEQLSILHFLFGKNFERATRIVDQRGVKKISGEPSGRFIFQVVGESRRKEEYFCFAEHYCACYSFFYDVINRAEQLCCKHQLAARLAGSLGACIEVKVSDEQLAVLLSEL >KJB32284 pep chromosome:Graimondii2_0_v6:5:61543438:61546059:1 gene:B456_005G233100 transcript:KJB32284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASHLVAESVWKTIESTHSVNEEQLSILHFLFGKNFERATRIVDQRGVKKISGEPSGRFIFQVVGESRRKEEYFCFAEHYCACYSFFYDVINRAEQLCVRFLYSFIPLILLHLIYR >KJB28798 pep chromosome:Graimondii2_0_v6:5:7573771:7577510:1 gene:B456_005G069900 transcript:KJB28798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGRGLLFIWILAILSFHHCSSNANARYHYHKKHKPKGSPGKSPVHVPAPPKNPDPPSIPSDPYPNDPGDPTGSIFDVTSYGAVGDGCSDDTAAFMEAWKAACAVESATVLVPSDKVFMITSTIFPGPCKPGLALQVDGVLMPPDGPDCWPKADSRQQWLVFYRLNDMKLTGNGVIEGNGQKWWDLPCKPHRGPNGSTLPGPCDSPTMIRFFMSSNLVVGGIKIQNSPQFHMKFDGCEGVLIEKLLISTPKLSPNTDGIHIGNTKSVGIYNSMISNGDDCISIGPGCSDVHIEGVTCGPSHGISIGSLGVHNSQACVSNITVRNTVIRESDNGVRIKTWQGGTGSVSGISFENIQMENVRNCINIDQYYCLQKACLNQTSAVYVRDVQYRNIKGSYDVRNTPIHFACSDTVACTNITMADVELMPHEGELMEEPFCWNAYGIEETLSIPPIGCLLKGMPHAITESSQYSCSNENGRF >KJB28799 pep chromosome:Graimondii2_0_v6:5:7574719:7577419:1 gene:B456_005G069900 transcript:KJB28799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGRGLLFIWILAILSFHHCSSNANARYHYHKKHKPKGSPGKSPVHVPAPPKNPDPPSIPSDPYPNDPGDPTGSIFDVTSYGAVGDGCSDDTAAFMEAWKAACAVESATVLVPSDKVFMITSTIFPGPCKPGLALQVDGVLMPPDGPDCWPKADSRQQWLVFYRLNDMKLTGNGVIEGNGQKWWDLPCKPHRGPNGSTLPGPCDSPTMIRFFMSSNLVVGGIKIQNSPQFHMKFDGCEGVLIEKLLISTPKLSPNTDGIHIGNTKSVGIYNSMISNGDDCISIGPGCSDVHIEGVTCGPSHGISIGSLGVHNSQACVSNITVRNTVIRESDNGVRIKTWQGGTGSVSGISFENIQMENVRNCINIDQYYCLQKACLNQTSAVYVRDVQYRNIKGSYDVRNTPIHFACSDTVACTNITMADVELMPHEGELMEEPFCWNAYGIEETLSIPPIGCLLKGMPHAITESSQYSCSNENGRF >KJB31095 pep chromosome:Graimondii2_0_v6:5:51583003:51587469:1 gene:B456_005G175900 transcript:KJB31095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAGDEEVDAVLSDVESDEPVPIVIKDPSREDVSVEKFREILAELDREKQAREAAENSKSELQVSFNRLKALAHEAIKKRDECGRQRDEALREKEEALRSNDNLTAQLTEANKIKDEVTKQREDLAKQLEEASKGKDGLRSEIETSAHMLVSGIEKISGKVNNFKNFSAGGLPRSQKYTGLPSVAYGVIKRTNEIVEELVKQIETTTKSRNEAREQIEQRNYEIAIEVSQLEATISGLRDEVAKKTNIIENLEKNIAEKDGKIGEIEKEMSEKINLAEDELMELRNLSSEYDDKLKIWQMRMELQRPLLVDQLNFVSRIHEIIYDVIKIVDADNMDQSDVSESFFLPQETDSEENIRACLAGMESIYELTGILAVKTKDLVEEKNREVKSLNETVARLIKEKEHIGSLLRSALSRRMVSENKSKTNELFQTAENGLREAGIDFKFRNLIGDGNKAEDPGSDQDEIYTLAGALENIVKTSQLEIIELQHSVEELRAESSVLKEHVEAQAKELNQRMHRIEELEEKERVANESVEGLMMDIAAAEEEITRWKSAAEQEAAAGRAVEREFLAQLSAVKLELEEAKQAMLESEKKLKFKEETAAAAMAARDAAEKSLKLADMRASRLRERVEELTCQLEEFETREDSRGRNGPRYVCWPWQWLGLDFVGFHKPETQQQSSNEMELSEPLSEPLL >KJB31096 pep chromosome:Graimondii2_0_v6:5:51582837:51587469:1 gene:B456_005G175900 transcript:KJB31096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAGDEEVDAVLSDVESDEPVPIVIKDPSREDVSVEKFREILAELDREKQAREAAENSKSELQVSFNRLKALAHEAIKKRDECGRQRDEALREKEEALRSNDNLTAQLTEANKIKDEVTKQREDLAKQLEEASKGKDGLRSEIETSAHMLVSGIEKISGKVNNFKNFSAGGLPRSQKYTGLPSVAYGVIKRTNEIVEELVKQIETTTKSRNEAREQIEQRNYEIAIEVSQLEATISGLRDEVAKKTNIIENLEKNIAEKDGKIGEIEKEMSEKINLAEDELMELRNLSSEYDDKLKIWQMRMELQRPLLVDQLNFVSRIHEIIYDVIKIVDADNMDQSDVSESFFLPQETDSEENIRACLAGMESIYELTGILAVKTKDLVEEKNREVKSLNETVARLIKEKEHIGSLLRSALSRRMVSENKSKTNELFQTAENGLREAGIDFKFRNLIGDGNKAEDPGSDQDEIYTLAGALENIVKTSQLEIIELQHSVEELRAESSVLKEHVEAQAKELNQRMHRIEELEEKERVANESVEGLMMDIAAAEEEITRWKSAAEQEAAAGRAVEREFLAQLSAVKLELEEAKQAMLESEKKLKFKEETAAAAMAARDAAEKSLKLADMRASRLRERVEELTCQLEEFETREDSRGRNGPRYVCWPWQWLGLDFVGFHKPETQQQSSNEMELSEPLSEPLL >KJB31099 pep chromosome:Graimondii2_0_v6:5:51583003:51587407:1 gene:B456_005G175900 transcript:KJB31099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAGDEEVDAVLSDVESDEPVPIVIKDPSREDVSVEKFREILAELDREKQAREAAENSKSELQVSFNRLKALAHEAIKKRDECGRQRDEALREKEEALRSNDNLTAQLTEANKIKDEVTKQREDLAKQLEEASKGKDGLRSEIETSAHMLVSGIEKISGKVNNFKNFSAGGLPRSQKYTGLPSVAYGVIKRTNEIVEELVKQIETTTKSRNEAREQIEQRNYEIAIEVSQLEATISGLRDEVAKKTNIIENLEKNIAEKDGKIGEIEKEMSEKINLAEDELMELRNLSSEYDDKLKIWQMRMELQRPLLVDQLNFVSRIHEIIYDVIKIVDADNMDQSDVSESFFLPQETDSEENIRACLAGMESIYELTGILAVKTKDLVEEKNREVKSLNETVARLIKEKEHIGSLLRSALSRRMVSENKSKTNELFQTAENGLREAGIDFKFRNLIGDGNKAEDPGSDQDEIYTLAGALENIVKTSQLEIIELQHSVEELRAESSVLKEHVEAQAKELNQRMHRIEELEEKERVANESVEGLMMDIAAAEEEITRWKSAAEQEAAAGRAVEREFLAQLSAVKLELEEAKQAMLESEKKLKFKEETAAAAMAARDAAEKSLKLADMRASRLRERVEELTCQLEEFETREDSRGRNGPRYVCWPWQWLGLDFVGFHKPETQQQSSNEMELSEPLSEPLL >KJB31098 pep chromosome:Graimondii2_0_v6:5:51582852:51587407:1 gene:B456_005G175900 transcript:KJB31098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAGDEEVDAVLSDVESDEPVPIVIKDPSREDVSVEKFREILAELDREKQAREAAENSKSELQVSFNRLKALAHEAIKKRDECGRQRDEALREKEEALRSNDNLTAQLTEANKIKDEVTKQREDLAKQLEEASKGKDGLRSEIETSAHMLVSGIEKISGKVNNFKNFSAGGLPRSQKYTGLPSVAYGVIKRTNEIVEELVKQIETTTKSRNEAREQIEQRNYEIAIEVSQLEATISGLRDEVAKKTNIIENLEKNIAEKDGKIGEIEKEMSEKINLAEDELMELRNLSSEYDDKLKIWQMRMELQRPLLVDQLNFVSRIHEIIYDVIKIVDADNMDQSDVSESFFLPQETDSEENIRACLAGMESIYELTGILAVKTKDLVEEKNREVKSLNETVARLIKEKEHIGSLLRSALSRRMVSENKSKTNELFQTAENGLREAGIDFKFRNLIGDGNKAEDPGSDQDEIYTLAGALENIVKTSQLEIIELQHSVEELRAESSVLKEHVEAQAKELNQRMHRIEELEEKERVANESVEGLMMDIAAAEEEITRWKSAAEQEAAAGRAVEREFLAQLSAVKLELEEAKQAMLESEKKLKFKEETAAAAMAARDAAEKSLKLADMRASRLRERVEELTCQLEEFETREDSRGRNGPRYVCWPWQWLGLDFVGFHKPETQQQSSNEMELSEPLSEPLL >KJB31097 pep chromosome:Graimondii2_0_v6:5:51583003:51587407:1 gene:B456_005G175900 transcript:KJB31097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAGDEEVDAVLSDVESDEPVPIVIKDPSREDVSVEKFREILAELDREKQAREAAENSKSELQVSFNRLKALAHEAIKKRDECGRQRDEALREKEEALRSNDNLTAQLTEANKIKDEVTKQREDLAKQLEEASKGKDGLRSEIETSAHMLVSGIEKISGKVNNFKNFSAGGLPRSQKYTGLPSVAYGVIKRTNEIVEELVKQIETTTKSRNEAREQIEQRNYEIAIEVSQLEATISGLRDEVAKKTNIIENLEKNIAEKDGKIGEIEKEMSEKINLAEDELMELRNLSSEYDDKLKIWQMRMELQRPLLVDQLNFVSRIHEIIYDVIKIVDADNMDQSDVSESFFLPQETDSEENIRACLAGMESIYELTGILAVKTKDLVEEKNREVKSLNETVARLIKEKEHIGSLLRSALSRRMVSENKSKTNELFQTAENGLREAGIDFKFRNLIGDGNKAEDPGSDQDEIYTLAGALENIVKTSQLEIIELQHSVEELRAESSVLKEHVEAQAKELNQRMHRIEELEEKERVANESLSAVKLELEEAKQAMLESEKKLKFKEETAAAAMAARDAAEKSLKLADMRASRLRERVEELTCQLEEFETREDSRGRNGPRYVCWPWQWLGLDFVGFHKPETQQQSSNEMELSEPLSEPLL >KJB29306 pep chromosome:Graimondii2_0_v6:5:16306133:16309409:1 gene:B456_005G101300 transcript:KJB29306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEARLKLRMQKELKLLLVDPPHGASFPTLSSQSNITDLSSIHAQICLEETFYSKGIFKIKVQIPKRYPLQLPIVTFGTPVYCSNIDNGV >KJB29305 pep chromosome:Graimondii2_0_v6:5:16306133:16309409:1 gene:B456_005G101300 transcript:KJB29305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEARLKLRMQKELKLLLVDPPHGASFPTLSSQSNITDLSSIHAQICLEETFYSKGIFKIKVQIPKRYPLQLPIVTFGTPVYCSNIDNGDFLKIYNKV >KJB31380 pep chromosome:Graimondii2_0_v6:5:54974035:54979629:-1 gene:B456_005G188900 transcript:KJB31380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84A [Source:Projected from Arabidopsis thaliana (AT1G10385) UniProtKB/Swiss-Prot;Acc:F4I4B6] MSIGDVSELESNLTLSDRLKQFKSSQFNPEAYLQSKCNNMTEKEIKHACSNLLELRKASAEEMRKSVYANYTAFIRTSKEITILEGELLSMRNHLSTQAALVHGLAEVTILDSLTTGAYELEEEKVSGVKSTKLNKTEKWFIKFEENLEVLLAEKRVDEAMAALDEGEQVAQEDKTKQSLSPDALLRLKNALTLLRQKLVDQLAETTCQPFTRGAELRSAVSSIKNLGDGPRAHTLLLNSHQQRLQRGLQVLRPSTNSYGGATTSSLSQLVFSTIAQAASDSLAVFGEEPAYSSELVTWAVKQTEAFALLLKRHVLASSAAAGGLRVATECVQICLGHCALLESRGLALSPILMRHFRPCVELAFSATLKRIEHSTAALAAADDWELSYAPADARSISSTSSLSSASMSQAKLSSSAHKLNSMVKAFMEDVGPLEDLQLDGPALEGVLQIFNSYVNLLISALPNSMENEEHLDGSGSKILNMAESESQQLALLANASLLADELLPRAALKLLPLSQANRVDATPKGTSDRQSRVPEQREWKRKLQRSVERLRDSFCRQHALDLIFTVDGDIRLNAEIYLSMDGQTEEPEWFPSPIFQELFEKLTTMATIATDMFVGRERFATLLLMRQTETVILWLSDDQSFWEEIEQGPTPLGPLGLQQLYLDMEFVIIFASEGRYLSRNLQQVIKNIIERAIDAVAATGIDPYSVLPEDDWFAEVAQIAIKMLTGKGNYSSMEGDVLSPTAAASAAPVVSQGSNQEYI >KJB31379 pep chromosome:Graimondii2_0_v6:5:54974374:54978454:-1 gene:B456_005G188900 transcript:KJB31379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84A [Source:Projected from Arabidopsis thaliana (AT1G10385) UniProtKB/Swiss-Prot;Acc:F4I4B6] MRNHLSTQAALVHGLAEVTILDSLTTGAYELEEEKVSGVKSTKLNKTEKWFIKFEENLEVLLAEKRVDEAMAALDEGEQVAQEDKTKQSLSPDALLRLKNALTLLRQKLVDQLAETTCQPFTRGAELRSAVSSIKNLGDGPRAHTLLLNSHQQRLQRGLQVLRPSTNSYGGATTSSLSQLVFSTIAQAASDSLAVFGEEPAYSSELVTWAVKQTEAFALLLKRHVLASSAAAGGLRVATECVQICLGHCALLESRGLALSPILMRHFRPCVELAFSATLKRIEHSTAALAAADDWELSYAPADARSISSTSSLSSASMSQAKLSSSAHKLNSMVKAFMEDVGPLEDLQLDGPALEGVLQIFNSYVNLLISALPNSMENEEHLDGSGSKILNMAESESQQLALLANASLLADELLPRAALKLLPLSQANRVDATPKGTSDRQSRVPEQREWKRKLQRSVERLRDSFCRQHALDLIFTVDGDIRLNAEIYLSMDGQTEEPEWFPSPIFQELFEKLTTMATIATDMFVGRERFATLLLMRQTETVILWLSDDQSFWEEIEQGPTPLGPLGLQQLYLDMEFVIIFASEGRYLSRNLQQVIKNIIERAIDAVAATGIDPYSVLPEDDWFAEVAQIAIKMLTGKGNYSSMEGDVLSPTAAASAAPVVSQGSNQEYI >KJB30604 pep chromosome:Graimondii2_0_v6:5:42120961:42123774:1 gene:B456_005G151500 transcript:KJB30604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPKSAPTGCYKCGKTGHWSHDCPDAPKSDHPNPGRPGSGIPNPVGKGCYKCGRPGHWARDCPDQPNLNASSAAAAASLSYTPNQLPRSEKPKKVSKSRTRPKLTPELLLSDDGIGYILRHFPRAFRYRGRSHEASDLGNLIELYREWHKQLLPYYSFDQFVHKVDKVASSKRVKNCIRDLRERVARGGDPTKLHESPDEFNGPSDGQVAGHSEEQTHVHYEVDHVDDIQDSMLNEIYQKVSEEPSHNIPTPMDSAEVLAASGSRLEQMPNNEANCSTEVHITEQRARMEANKLKALERAASASSDQITEEQRARMEANRLKALERAAARARSLQSA >KJB29565 pep chromosome:Graimondii2_0_v6:5:19334908:19337979:-1 gene:B456_005G107800 transcript:KJB29565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLLLTESSDMANTSDFVQKLLDELRLRKERMAASKATNPMAADHAYAYSKTGYKSSREPNTLKTIGSRAGNSQNRPEGGRRPVSNQIVPFGRGQKSVVLDFALENGGRGDSTSNSSMFNFLHKIGRKQMDYGKMARRDSKLPDISHLRIEDISRGVQKLNQILRASSNGLKFDTYSIQIGQELLKVAMDLEESLRMLVNLQEDSKYSITQRRRSRITLLEEEEDGHDEKQLDIMPRFLGIHSRNYNDIQGAARTDLKLRLKHPTYSSSQVTDSKHGKKVVTASVGSVTDTKTLITFSEQNNLSSLQCKQEKLRIPNVIAKLMGLDQLPASVDSKVTTTKESGNQPGKVLPNRNGSTRVAVHDKLPPRKNLEDIKSMMSSRKAFIKMGKQQSDIIPLNQNTLHRGNRYANKLLGGDQQKLQINHGFEQVGMLQNSELQERRRQSEERKERSKKQKLQGKQKLNEPMSGATATNSRKKQPRINQATASRKSSKDHIDATHFNGFQDGKHHKNQAKSRSSTNFLAQTILGWKHKRTLSSILQERKQTRLEKLAVPRQPVQTKGSRFEEVEPKVIRSNKYIARVQSSSVPQEMQKAAKQGSVLCCHVEDESENCNKPQALVEDSDQISVSMVTNEQQDREPDFERSEEREFKSVASDPLHGTDEANTYEKPHNQSTYASKMPQPLTESENHLKQILMKSQLFTNTTEALFKLDIPIGILHGNVHNYNEQESKLLLDCGYEVMKRRGRRQELSAHPFLQVSISSSTSNKAKSLYDLVKPMCKDFDKLKSYGREGKEDCSFEDYLSKMIEVDVNNKEADLNCMWELGWNCMMFALEKDDTVRDVEKYVLNGLLVGITRDLFTPISVSA >KJB30725 pep chromosome:Graimondii2_0_v6:5:44653496:44656175:1 gene:B456_005G157200 transcript:KJB30725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSHELELTQMRKSVEKLGFSTEKYGDPTLMRFLIARSMDTDKASKMFVQWLKWRSSLVPNGFVVESEVPDQLEARKIFLQGLSKTGYPVMIVQACKHYPPKDHLQFKKFVVYLLDKTIASAVKGGEIGNEKLIGVLDFQNITYRNVDARGLITGFQFLQVMYVLRNMLKRTLFHVSYIRETEQG >KJB30724 pep chromosome:Graimondii2_0_v6:5:44653450:44659527:1 gene:B456_005G157200 transcript:KJB30724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSHELELTQMRKSVEKLGFSTEKYGDPTLMRFLIARSMDTDKASKMFVQWLKWRSSLVPNGFVVESEVPDQLEARKIFLQGLSKTGYPVMIVQACKHYPPKDHLQFKKFVVYLLDKTIASAVKGGEIGNEKLIGVLDFQNITYRNVDARGLITGFQFLQAYFPERLAKCYIVHMPRFFVSVWRMVSRFIEKSTLEKIVIVTNDDEKKIFIEEIGEEALPVEYGGKAILRAIQDVQVPTLEG >KJB30727 pep chromosome:Graimondii2_0_v6:5:44653496:44659527:1 gene:B456_005G157200 transcript:KJB30727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSHELELTQMRKSVEKLGFSTEKYGDPTLMRFLIARSMDTDKASKMFVQWLKWRSSLVPNGFVVESEVPDQLEARKIFLQGLSKTGYPVMIVQACKHYPPKDHLQFKKFVVYLLDKTIASAVKGGEIGNEKLIGVLDFQNITYRNVDARGLITGFQFLQVMHISQSAWQSATLCICRGFLLVFGGWFLGSLRNLHLKRL >KJB30726 pep chromosome:Graimondii2_0_v6:5:44653496:44659527:1 gene:B456_005G157200 transcript:KJB30726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSHELELTQMRKSVEKLGFSTEKYGDPTLMRFLIARSMDTDKASKMFVQWLKWRSSLVPNGFVVESEVPDQLEARKIFLQGLSKTGYPVMIVQACKHYPPKDHLQFKKFVVYLLDKTIARILHTEMLMHVD >KJB30728 pep chromosome:Graimondii2_0_v6:5:44653496:44659527:1 gene:B456_005G157200 transcript:KJB30728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSHELELTQMRKSVEKLGFSTEKYGDPTLMRFLIARSMDTDKASKMFVQWLKWRSSLVPNGFVVESEVPDQLEARKIFLQGLSKTGYPVMIVQACKHYPPKDHLQFKKFVVYLLDKTIARYAVKGGEIGNEKLIGVLDFQNITYRNVDARGLITGFQFLQAYFPERLAKCYIVHMPRFFVSVWRMVSRFIEKSTLEKIVIVTNDDEKKIFIEEIGEEALPVEYGGKAILRAIQDVQVPTLEG >KJB32257 pep chromosome:Graimondii2_0_v6:5:61464544:61468087:-1 gene:B456_005G232000 transcript:KJB32257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATKLYCFVHKVPVCGECICLPEHQICVIRTYSEWVIDGDYDWPPKCCKCQAVLEEGAGCETTRLGCLHVIHTTCLVSHIKSFPPHTAPAGYVCPSCSISIWPPKSVKDPTSRLHSLLKEAILQTGMEKNLFGNHPVSLPRTEHRGPPPAFASDTLIDVAGRQEYDANSSPSVAKDGGYSAISGPSKPTVTEIMEIDGPSSTESYMKASSPGAGHFI >KJB32256 pep chromosome:Graimondii2_0_v6:5:61462450:61468235:-1 gene:B456_005G232000 transcript:KJB32256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATKLYCFVHKVPVCGECICLPEHQICVIRTYSEWVIDGDYDWPPKCCKCQAVLEEGAGCETTRLGCLHVIHTTCLVSHIKSFPPHTAPAGYVCPSCSISIWPPKSVKDPTSRLHSLLKEAILQTGMEKNLFGNHPVSLPRTEHRGPPPAFASDTLIDVAGRQEYDANSSPSVAKDGGYSAISGPSKPTVTEIMEIDGPSSTESYMKASSPGAGHFI >KJB32258 pep chromosome:Graimondii2_0_v6:5:61462450:61468342:-1 gene:B456_005G232000 transcript:KJB32258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATKLYCFVHKVPVCGECICLPEHQICVIRTYSEWVIDGDYDWPPKCCKCQAVLEEGAGCETTRLGCLHVIHTTCLVSHIKSFPPHTAPAGYVCPSCSISIWPPKSVKDPTSRLHSLLKEAILQTGMEKNLFGNHPVSLPRTEHRGPPPAFASDTLIDVAGRQEYDANSSPSVAKDGGYSAISGPSKPTVTEIMEIDGPSSTESYMKASSPGAPMATTRKSTAHVDRQNSEISYYTDDEDGNRKKYSRRGPLHLKFLRALIPFWSSALPTLPVTAPPHKDSSTVDDIREGRLKHQRSTRMDPRKILLIIAIMACMATMSILYYRISQRAFGERVADDEQQ >KJB32259 pep chromosome:Graimondii2_0_v6:5:61464368:61468087:-1 gene:B456_005G232000 transcript:KJB32259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATKLYCFVHKVPVCGECICLPEHQICVIRTYSEWVIDGDYDWPPKCCKCQAVLEEGAGCETTRLGCLHVIHTTCLVSHIKSFPPHTAPAGYVCPSCSISIWPPKSVKDPTSRLHSLLKEAILQTGMEKNLFGNHPVSLPRTEHRGPPPAFASDTLIDVAGRQEYDANSSPSVAKDGGYSAISGPSKPTVTEIMEIDGPSSTESYMKASSPGAPMATTRKSTAHVDRQNSEISYYTDDEDGNRKKYSRRGPLHLKFLRALIPFWSSALPTLPVTAPPHKDSSTVDDIREGRLKHQRSTRMDPRKILLIIAIM >KJB32212 pep chromosome:Graimondii2_0_v6:5:61229242:61234475:-1 gene:B456_005G229700 transcript:KJB32212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLRNFESKETLRIQLLSSSSILQLQEAVFPCLPLNPLHVTPSSLRFSLNAKDLLHAPSPLVSLLSLGVASGDLIYFSLNPNAFSPPPQTLFQEPILMPESSANRENPVREPMLIEPQVSQQANKGRLLEHYLLRKFLGEELGDIRSIHNLMAMEIHVILLDSGFVLFDTVSGLKIDRFRLPDESSSPVSICYSLPQLLIANHDFGPNLTDYIVLKFQTLNNFLQVYGSLVKGGSVYRLSLDGYTFEPTMGLLWARCFKNYTRTDNNQDGSYISYREKEIFKFWKVVKDGLALPLLIDLSFKIGLPLPACFMRLPADLKLQILDSLPGTDVARMACVSVEMRYVASNNDLWRKKVEEEFGHWLGVTRNWKKIYHSCWESKKKRKRAITRWRGFPRVNRPSYFPVRRDPIPLGEKKQNNKNEGLIKPRTTHVIVLLLSIIASLMSFTVNHSPPFLTIFPNKKPPPFHFSCNPKTLPFKSHSFQPLLASRRIPNYPQGIDNLVDGPRNWSRSITSEFDDDEEDDDEEEEDRSLDLFVRFVQNVFRKISKRARKALRAILPISISTKLVGFSVDGVLILAFLWVLKAFLEVVCTLGSAVFVTILLIRGIWTGVTFMQESRDHRRINEPFNDPRSWNGAQPAT >KJB28993 pep chromosome:Graimondii2_0_v6:5:9094093:9095565:-1 gene:B456_005G078900 transcript:KJB28993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEAIPNDENIIGEGFQNLQILALGGCNFTGQVPKWLAKLKNLEVLDLSQNRISGLIPSWLGSMPNIFYIDLSANIISGEFPKELTSLWALATQESNNQVDRSYLELPVFVMPNNATSQQLYNQLSSLPSAIYLRNNNLNGNISKVIGQLRFLHVLDLSQNNFSGSIPEQLSNLTNLEKLDLSGNRLSGQIPESLRGLYFLSSFSFDTFTSSSFEGNPCLCGSIVQRICPNAPGAAHSPTLLKRLNTKLIIGLVLGICSGTGLVITVLASWILSKRRIIPGGDIDKIELDTLSCNSYSGVHP >KJB29172 pep chromosome:Graimondii2_0_v6:5:11606520:11611343:-1 gene:B456_005G088100 transcript:KJB29172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQDLPECPVCLQPYDGECTIPRVLACGHTVCESCLLNLPKKLPGAIRCPACTVLVKYPPEGPTTLPKNIDLLRLIPGGSENPRKPVYKSKNVSNLPFLPRSWSDEFYSNWKSYLLRDDTVERDQVSLLPVGSFSSGGEDGSLFKAGYLVRVMDCLREMKEEERGELGLVLRAFTKQNCRICRVLGLWGDLGDGVLYLASEKQKRGNFLDKNLGCFEKEGVFNFALMGMEMCEAVISLHKEGLIAGCLSFSCFQLDDFGHIYLDLIEVLLTGRGIQDVVANVGFSGKKIGDGEIRMLFKDLLKRDVFVCPEVLLKLLEKEGTGVECCSLKYPIRYSSDAWLLSCILLRIVNGDVFSDEWVEYMCHIIVKGSENSEIDCSSVYTSSMEKVSSLLGTKFGAECVSLQQILCKCLDVDPESRSLVVDVWKCIRELVIRPQFDKMVKLDGASYDENGGRCMVVGKLCLLSRERTETQEKDELQGKEANGATDMVNGLTEGRIKWKDLQGHLDCVTGLAVGGGYLFSSSFDKSVKVWSLQDYSHVHTFRGHEHKVMAVVCVDEEQPLCISGDSGGGIFLWSINIPFGQEPLKKWYEEKDWRYSGIHALAVSENGYLYTGSGDKLIKEWSLRDGTLSGTMTGHKSVVSAIAVNNGVLYSGSWDGTVRLWSLSDHSLLTVLGEDMPRAVSTVLSLAADQHTLIATYENGSVKIWRHDVLRKSMQIHNGAIFTVSLDGKWLFTGSWDRTIKAQELAGDDVEVDVRHIGSIPCDSVITALSYSEGKLFVGFGDRTVKVCSIPFCSFS >KJB29169 pep chromosome:Graimondii2_0_v6:5:11606306:11611343:-1 gene:B456_005G088100 transcript:KJB29169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQDLPECPVCLQPYDGECTIPRVLACGHTVCESCLLNLPKKLPGAIRCPACTVLVKYPPEGPTTLPKNIDLLRLIPGGSENPRKPVYKSKNVSNLPFLPRSWSDEFYSNWKSYLLRDDTVERDQVSLLPVGSFSSGGEDGSLFKAGYLVRVMDCLREMKEEERGELGLVLRAFTKQNCRICRVLGLWGDLGDGVLYLASEKQKRGNFLDKNLGCFEKEGVFNFALMGMEMCEAVISLHKEGLIAGCLSFSCFQLDDFGHIYLDLIEVLLTGRGIQDVVANVGFSGKKIGDGEIRMLFKDLLKRDVFVCPEVLLKLLEKEGTGVECCSLKYPIRYSSDAWLLSCILLRIVNGDVFSDEWVEYMCHIIVKGSENSEIDCSSVYTSSMEKVSSLLGTKFGAECVSLQQILCKCLDVDPESRSLVVDVWKCIRELVIRPQFDKMVKLDGASYDENGGRCMVVGKLCLLSRERTETQEKDELQGKEANGATDMVNGLTEGRIKWKDLQGHLDCVTGLAVGGGYLFSSSFDKSVKVWSLQDYSHVHTFRGHEHKVMAVVCVDEEQPLCISGDSGGGIFLWSINIPFGQEPLKKWYEEKDWRYSGIHALAVSENGYLYTGSGDKLIKEWSLRDGTLSGTMTGHKSVVSAIAVNNGVLYSGSWDGTVRLWSLSDHSLLTVLGEDMPRAVSTVLSLAADQHTLIATYENGSVKIWRHDVLRKSMQIHNGAIFTVSLDGKWLFTGSWDRTIKAQELAGDDVEVDVRHIGSIPCDSVITALSYSEGKLFVGFGDRTVKVYYHGK >KJB29170 pep chromosome:Graimondii2_0_v6:5:11606475:11611343:-1 gene:B456_005G088100 transcript:KJB29170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQDLPECPVCLQPYDGECTIPRVLACGHTVCESCLLNLPKKLPGAIRCPACTVLVKYPPEGPTTLPKNIDLLRLIPGGSENPRKPVYKSKNVSNLPFLPRSWSDEFYSNWKSYLLRDDTVERDQVSLLPVGSFSSGGEDGSLFKAGYLVRVMDCLREMKEEERGELGLVLRAFTKQNCRICRVLGLWGDLGDGVLYLASEKQKRGNFLDKNLGCFEKEGVFNFALMGMEMCEAVISLHKEGLIAGCLSFSCFQLDDFGHIYLDLIEVLLTGRGIQDVVANVGFSGKKIGDGEIRMLFKDLLKRDVFVCPEVLLKLLEKEGTGVECCSLKYPIRYSSDAWLLSCILLRIVNGDVFSDEWVEYMCHIIVKGSENSEIDCSSVYTSSMEKVSSLLGTKFGAECVSLQQILCKCLDVDPESRSLVVDVWKCIRELVIRPQFDKMVKLDGASYDENGGRCMVVGKLCLLSRERTETQEKDELQGKEANGATDMVNGLTEGRIKWKDLQGHLDCVTGLAVGGGYLFSSSFDKSVKVWSLQDYSHVHTFRGHEHKVMAVVCVDEEQPLCISGDSGGGIFLWSINIPFGQEPLKKWYEEKDWRYSGIHALAVSENGYLYTGSGDKLIKEWSLRDGTLSGTMTGHKSVVSAIAVNNGVLYSGSWDGTVRLWSLSDHSLLTVLGEDMPRAVSTVLSLAADQHTLIATYENGSVKIWRHDVLRKSMQIHNGAIFTVSLDGKWLFTGSWDRTIKAQELAGDDVEVDVRHIGSIPCDSVITALSYSEGKLFVGFGDRTVKRIPVFPLPGFKTSAC >KJB29171 pep chromosome:Graimondii2_0_v6:5:11606484:11611343:-1 gene:B456_005G088100 transcript:KJB29171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQDLPECPVCLQPYDGECTIPRVLACGHTVCESCLLNLPKKLPGAIRCPACTVLVKYPPEGPTTLPKNIDLLRLIPGGSENPRKPVYKSKNVSNLPFLPRSWSDEFYSNWKSYLLRDDTVERDQVSLLPVGSFSSGGEDGSLFKAGYLVRVMDCLREMKEEERGELGLVLRAFTKQNCRICRVLGLWGDLGDGVLYLASEKQKRGNFLDKNLGCFEKEGVFNFALMGMEMCEAVISLHKEGLIAGCLSFSCFQLDDFGHIYLDLIEVLLTGRGIQDVVANVGFSGKKIGDGEIRMLFKDLLKRDVFVCPEVLLKLLEKEGTGVECCSLKYPIRYSSDAWLLSCILLRIVNGDVFSDEWVEYMCHIIVKGSENSEIDCSSVYTSSMEKVSSLLGTKFGAECVSLQQILCKCLDVDPESRSLVVDVWKCIRELVIRPQFDKMVKLDGASYDENGGRCMVVGKLCLLSRERTETQEKDELQGKEANGATDMVNGLTEGRIKWKDLQGHLDCVTGLAVGGGYLFSSSFDKSVKVWSLQDYSHVHTFRGHEHKVMAVVCVDEEQPLCISGDSGGGIFLWSINIPFGQEPLKKWYEEKDWRYSGIHALAVSENGYLYTGSGDKLIKEWSLRDGTLSGTMTGHKSVVSAIAVNNGVLYSGSWDGTVRLWSLSDHSLLTVLGEDMPRAVSTVLSLAADQHTLIATYENGSVKIWRHDVLRKSMQIHNGAIFTVSLDGKWLFTGSWDRTIKAQ >KJB29046 pep chromosome:Graimondii2_0_v6:5:9759274:9760217:-1 gene:B456_005G081400 transcript:KJB29046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMEGDKYRSYLHGEGELNTSWRYGGPPNYDIVNKLFEDERTKVWPPGSLEEKVQNLVKSWEMEIFHKASLEVFKTIDKLGGGYNPQLQTSLPEELRCYDPEKETDESSHKAFVTTFPRGFAFEVLKVYTGPPEIVLKFRHWGYNEGPFKSHAPTGDLVEFYGVAIYRVDEGMKIGRVEFFYERGELLGGLLKGAAIDSSAMEAASSCPILRNTG >KJB29045 pep chromosome:Graimondii2_0_v6:5:9759233:9759772:-1 gene:B456_005G081400 transcript:KJB29045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEIKKLGGGYNPQLQTSLPEELRCYDPEKETDESSHKAFVTTFPRGFAFEVLKVYTGPPEIVLKFRHWGYNEGPFKSHAPTGDLVEFYGVAIYRVDEGMKIGRVEFFYERGELLGGLLKGAAIDSSAMEAASSCPILRNTG >KJB32514 pep chromosome:Graimondii2_0_v6:5:62461560:62463566:1 gene:B456_005G244200 transcript:KJB32514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRALPEILQRSDMTVKEQTYFNELSGVISTQSTHVNSFHGGLMSDDSVLTREGKEPSWSEFGKLEKATLGFQPCEYGNEPSFNIDYAISRTSSRQPPVLAAVAASEAAENMGSSVGRESYKKRKADKLQNSKAVVEDGSTKKIKACEEEEKESKITGPPSTTIKSNSYKANSKVSEVQKPDYIHVRARRGQATDSHSLAERVRKEKISERLKYLQNLVPRCNKITGKAGMLDEIINYVQSLQRQVEFLSMEIAAVNPRLDFN >KJB32515 pep chromosome:Graimondii2_0_v6:5:62461406:62463671:1 gene:B456_005G244200 transcript:KJB32515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRALPEILQRSDMTVKEQTYFNELSGVISTQSTHVNSFHGGLMSDDSVLTREGKEPSWSEFGKLEKATLGFQPCEYGNEPSFNIDYAISRTSSRQPPVLAAVAASEAAENMGSSVGRESYKKRKADKLQNSKAVVEDGSTKKIKACEEEEKESKITGPPSTTIKSNSYKANSKVSEVQKPDYIHVRARRGQATDSHSLAERVRKEKISERLKYLQNLVPRCNKITGKAGMLDEIINYVQSLQRQVEFLSMEIAAVNPRLDFN >KJB32513 pep chromosome:Graimondii2_0_v6:5:62461406:62463671:1 gene:B456_005G244200 transcript:KJB32513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRALPEILQRSDMTVKEQTYFNELSGVISTQSTHVNSFHGGLMSDDSVLTREGKEPSWSEFGKLEKATLGFQPCEYGNEPSFNIDYAISRTSSRQPPVLAAVAASEAAENMGSSVGRESYKKRKADKLQNSKAVVEDGSTKKIKACEEEEKESKITGPPSTTIKSNSYKANSKVSEVQKPDYIHVRARRGQATDSHSLAERFLSMEIAAVNPRLDFN >KJB29773 pep chromosome:Graimondii2_0_v6:5:24482021:24484042:-1 gene:B456_005G118700 transcript:KJB29773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLFWDSSHLEKSFCFFILLNFVVLKLQELREASTNIEPKHQNDVANLINSYKSLYPKWVFDLRCGFGLLMYGFGSKKSLIEDFASTTLVEHSVVVINGYLQSINIKQVLFSSHNIKGTKLVPIVLKRIKIWPNWKMDYLILFIG >KJB27972 pep chromosome:Graimondii2_0_v6:5:1547840:1552045:1 gene:B456_005G019500 transcript:KJB27972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRLLNPLLFYSKSLPFSPQNPQLPYQFFFSPITQFISTSSADNLNGLIDPDDPFPMQNNPRVEPVSSQDFAFLRADSVPPSQKKVDAGKFSNDAVLIANAILSDNGEFEDKTQIVLRQFRERINEKLVVEVLNLVKLKPELGVKFFIWAGRQIGYSHTSAVFNSLLDLLESSNSDHVHEKFLLEIRNDDKEVLKKLLNLLIGRYCKNGLWNMALEELGRLKDFGYKPSRATYCALVQVFLQADRLDTAYLVYREMSDAGFHMDGYTLRCYAYSLCRMGQWREALTLIEKEECKPDTAFYTKMISGLCEASLFEEAMDFLNRMRANSCIPNVVTYRVLLCGCLNKRQLGRCKRVLNMMITEGCYPSPSIFSSLVHAYCKSGDYSYAFKLLKKMTKCGCQPGYVVYNILIGGICGNEELPSSDVLELAENAYNEMLAAGVILNKINVSNFARCLCGVGKFEKACNIIHEMMRKGFIPDTSTYSKVIAHLCNASKVEKAFLLFGEMKKNCVVPDVYTYTILIDSFCKAGLIEQAHNWFDEMVKVGCAPNVVTYTALIHAYLKARKVSKADELFEMMLSKGCIPNVVTYTALIDGHCKAGQIEKACQIYARMCTNAEIPDVDLYFKVVDSDAKTPNVFTYGALVDGLCKAHKVKEAHDLLEAMSVVGCKPNQVVYDALIDGFCKVGKLDEAQEVFSKMSEHGYSPNIYTYSSLIDRLFKDKRLDLALKVLSKMLENSCAPNVVIYTEMIDGLCKAGKTDEAYKLMLMMEEKGCYPNVVTYTAMIDGFGKAGKINKSLELLEEMGSKGVAPNFITYSVMINHCCIVGLLDKAYELLEEMKQTYWPRHIASYRKVIEGFNKEFIMSLGLLDEVGKSESLPVIPVYRVLIYNFIKAGRLEMALQLHHEIASFSQVPAAYCSTYNALIQSLSLARKVNKAFELYADMTRMGGVPELSTFIHLIKGLITVNKWEEALQLSDSFCQMDIQWLQEKETPDAA >KJB27971 pep chromosome:Graimondii2_0_v6:5:1547840:1552174:1 gene:B456_005G019500 transcript:KJB27971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRLLNPLLFYSKSLPFSPQNPQLPYQFFFSPITQFISTSSADNLNGLIDPDDPFPMQNNPRVEPVSSQDFAFLRADSVPPSQKKVDAGKFSNDAVLIANAILSDNGEFEDKTQIVLRQFRERINEKLVVEVLNLVKLKPELGVKFFIWAGRQIGYSHTSAVFNSLLDLLESSNSDHVHEKFLLEIRNDDKEVLKKLLNLLIGRYCKNGLWNMALEELGRLKDFGYKPSRATYCALVQVFLQADRLDTAYLVYREMSDAGFHMDGYTLRCYAYSLCRMGQWREALTLIEKEECKPDTAFYTKMISGLCEASLFEEAMDFLNRMRANSCIPNVVTYRVLLCGCLNKRQLGRCKRVLNMMITEGCYPSPSIFSSLVHAYCKSGDYSYAFKLLKKMTKCGCQPGYVVYNILIGGICGNEELPSSDVLELAENAYNEMLAAGVILNKINVSNFARCLCGVGKFEKACNIIHEMMRKGFIPDTSTYSKVIAHLCNASKVEKAFLLFGEMKKNCVVPDVYTYTILIDSFCKAGLIEQAHNWFDEMVKVGCAPNVVTYTALIHAYLKARKVSKADELFEMMLSKGCIPNVVTYTALIDGHCKAGQIEKACQIYARMCTNAEIPDVDLYFKVVDSDAKTPNVFTYGALVDGLCKAHKVKEAHDLLEAMSVVGCKPNQVVYDALIDGFCKVGKLDEAQEVFSKMSEHGYSPNIYTYSSLIDRLFKDKRLDLALKVLSKMLENSCAPNVVIYTEMIDGLCKAGKTDEAYKLMLMMEEKGCYPNVVTYTAMIDGFGKAGKINKSLELLEEMGSKGVAPNFITYSVMINHCCIVGLLDKAYELLEEMKQTYWPRHIASYRKVIEGFNKEFIMSLGLLDEVGKSESLPVIPVYRVLIYNFIKAGRLEMALQLHHEIASFSQVPAAYCSTYNALIQSLSLARKVNKAFELYADMTRMGGVPELSTFIHLIKGLITVNKWEEALQLSDSFCQMDIQWLQEKETPDAA >KJB31160 pep chromosome:Graimondii2_0_v6:5:52437991:52442663:-1 gene:B456_005G179100 transcript:KJB31160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIQSLPQSHILTYCQYIYISWPVDLRLYIVFQLFTSPVAMEGAEQPLLFPRLDGEEEQLLSRPSNITFTPGTDDIPPINSVRDFFREYLIESKKLWFLAGPAIFTSVCQYSLGAITQVFSGQVGTLALAAVSVENSVIAGFCFGVMLGMGSALETLCGQAYGAGQLDMLGVYMQRSWVILTATALMLSLLYIFAGQLLRVIGQTESIAEAAGIFAIWMIPQLFAYAFNFPMAKFLQAQSKMMAMAVISGVAFVLHCLFSWLLMLKLGWGLVGAAIVLNASWIAIDVGQFLYIISGTCGQAWTGFSWKAFQHLWGFVRLSFASAIMLCLEVWYFMALILFAGYLKNADISVDALSICLNILGWTIMVAFGMNAAISVRVSNELGAGHPRTAKFSLLVAVTSSFMVGVIVSIMLIIFRDDYPGLFSNDSQVQEMVIDLTPLLALCIIINNIQPVLSGMAVGAGWQTAVAYVNIACYYIFGVPLGLTLGFALNLGVKGIWCGMLTGTVVQTCVLVGMIYRTNWTKEASIAEERIKKWGGEIHSGEKNMLQDNNNNS >KJB31162 pep chromosome:Graimondii2_0_v6:5:52441300:52442583:-1 gene:B456_005G179100 transcript:KJB31162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIQSLPQSHILTYCQYIYISWPVDLRLYIVFQLFTSPVAMEGAEQPLLFPRLDGEEEQLLSRPSNITFTPGTDDIPPINSVRDFFREYLIESKKLWFLAGPAIFTSVCQYSLGAITQVFSGQVGTLALAAVSVENSVIAGFCFGVMLGMGSALETLCGQAYGAGQLDMLGVYMQRSWVILTATALMLSLLYIFAGQLLRVIGQTESIAEAAGIFAIWMIPQLFAYAFNFPMAKFLQAQSKMMAMAVISGVAFVLHCLFSWLLMLKLGWGLVGAAIVLNASWIAIDVGQFLYIISGTCGQAWTGFSWKAFQHLWGFVRLSFASAIMLW >KJB31161 pep chromosome:Graimondii2_0_v6:5:52438070:52442583:-1 gene:B456_005G179100 transcript:KJB31161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIQSLPQSHILTYCQYIYISWPVDLRLYIVFQLFTSPVAMEGAEQPLLFPRLDGEEEQLLSRPSNITFTPGTDDIPPINSVRDFFREYLIESKKLWFLAGPAIFTSVCQYSLGAITQVFSGQVGTLALAAVSVENSVIAGFCFGVMLGMGSALETLCGQAYGAGQLDMLGVYMQRSWVILTATALMLSLLYIFAGQLLRVIGQTESIAEAAGIFAIWMIPQLFAYAFNFPMAKFLQAQSKMMAMAVISGVAFVLHCLFSWLLMLKLGWGLVGAAIVLNASWIAIDVGQFLYIISGTCGQAWTGFSWKAFQHLWGFVRLSFASAIMLCLEVWYFMALILFAGYLKNADISVDALSICLNILGWTIMVAFGMNAAISVRVSNELGAGHPRTAKFSLLVAVTSSFMVGVIVSIMLIIFRDDYPGLFSNDSQVQEMVIDLTPLLALCIIINNIQPVLSGFVFFAS >KJB29890 pep chromosome:Graimondii2_0_v6:5:26524842:26529805:-1 gene:B456_005G122500 transcript:KJB29890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTIVEMSKSRKRSSKWDLKEEHQYSLENVQDSAWFANACDRESEHGSFSPEFGRNGNKWSAIKSKHGLPSKEYLHGSKCGENNSDCATNWKTTTPCDGDETYSMKKSPTLNDRRQQNCHHSLKSDWSRSQRSRSRSRSRSRSRSPIDGIGRQPGFLEMTRNGSGVSTQICKKFMAGRCRRGSLCHFLHQDIQSHEDGSDNRQKRASVSKYITCNEDKYYLIESGRSTDCCTDYRKGNCRRGASCRSAHDGVSDGFSKGSINEISRERENNKRNKLTSPEQDVEREARKSSSIPCKYFSTGNCHNGNYCRFSHPASTECDRGQWGRSSVSSDMLLDGAELTDIDASFNVDKYGNASNGSDADVSNESEIPWTGPKWSAADASIDLHNSRASSKQSDISVYLGASKLNKDTNEFSSCNIDERWQHGYDACGKNSESNVHCKRIDIDKEDLKKIENVGVNTGVSEPKGAEESIVAMEMSPMWNCRLHSSVDKEKSHSSEPTPVGTSLRAHGKNIIEKASGHAHDEHAASQLMSIEESNFHLDHMTRGSSCAVLRSFDHPGPSSSSLPYSNLNTVRQSELSFPSNEVNVKVPQNNLLLQEEKPSNKLNIGDTNILHGNYGFPSTQNMVTFANSRGPVESGQEIIFPEQYNPRSDSIDPAKKQDTNTEPLGFSVHPVSQESTADGKLELSAKNFLPSSLVGGRNGSDYHNDHSSKRDSDFDSHKPNQLEPIASFELTKENGGIVQTKKAEAENKNGLSENTDADDRTEEGKKSKDVKGVRAFKFALVEFVKDLLKPTWKEGQIGKEAYKSIVRKVVDKVTATMQGTNIPRTPEKIDQYLSFSKPKLSKLVHAYVEKFQKS >KJB29891 pep chromosome:Graimondii2_0_v6:5:26524842:26529945:-1 gene:B456_005G122500 transcript:KJB29891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTIVEMSKSRKRSSKWDLKEEHQYSLENVQDSAWFANACDRESEHGSFSPEFGRNGNKWSAIKSKHGLPSKEYLHGSKCGENNSDCATNWKTTTPCDGDETYSMKKSPTLNDRRQQNCHHSLKSDWSRSQRSRSRSRSRSRSRSPIDGIGRQPGFLEMTRNGSGVSTQICKKFMAGRCRRGSLCHFLHQDIQSHEDGSDNRQKRASVSKYITCNEDKYYLIESGRSTDCCTDYRKGNCRRGASCRSAHDGVSDGFSKGSINEISRERENNKRNKLTSPEQDVEREARKSSSIPCKYFSTGNCHNGNYCRFSHPASTECDRGQWGRSSVSSDMLLDGAELTDIDASFNVDKYGNASNGSDADVSNESEIPWTGPKWSAADASIDLHNSRASSKQSDISVYLGASKLNKDTNEFSSCNIDERWQHGYDACGKNSESNVHCKRIDIDKEDLKKIENVGVNTGVSEPKGAEESIVAMEMSPMWNCRLHSSVDKEKSHSSEPTPVGTSLRAHGKNIIEKASGHAHDEHAASQLMSIEESNFHLDHMTRGSSCAVLRSFDHPGPSSSSLPYSNLNTVRQSELSFPSNEVNVKVPQNNLLLQEEKPSNKLNIGDTNILHGNYGFPSTQNMVTFANSRGPVESGQEIIFPEQYNPRSDSIDPAKKQDTNTEPLGFSVHPVSQESTADGKLELSAKNFLPSSLVGGRNGSDYHNDHSSKRDSDFDSHKPNQLEPIASFELTKENGGIVQTKKAEAENKNGLSENTDADDRTEEGKKSKDVKGVRAFKFALVEFVKDLLKPTWKEGQIGKEAYKSIVRKVVDKVTATMQGTNIPRTPEKIDQYLSFSKPKLSKLVHAYVEKFQKS >KJB29889 pep chromosome:Graimondii2_0_v6:5:26524842:26529423:-1 gene:B456_005G122500 transcript:KJB29889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTIVEMSKSRKRSSKWDLKEEHQYSLENVQDSAWFANACDRESEHGSFSPEFGRNGNKWSAIKSKHGLPSKEYLHGSKCGENNSDCATNWKTTTPCDGDETYSMKKSPTLNDRRQQNCHHSLKSDWSRSRSRSRSRSRSRSPIDGIGRQPGFLEMTRNGSGVSTQICKKFMAGRCRRGSLCHFLHQDIQSHEDGSDNRQKRASVSKYITCNEDKYYLIESGRSTDCCTDYRKGNCRRGASCRSAHDGVSDGFSKGSINEISRERENNKRNKLTSPEQDVEREARKSSSIPCKYFSTGNCHNGNYCRFSHPASTECDRGQWGRSSVSSDMLLDGAELTDIDASFNVDKYGNASNGSDADVSNESEIPWTGPKWSAADASIDLHNSRASSKQSDISVYLGASKLNKDTNEFSSCNIDERWQHGYDACGKNSESNVHCKRIDIDKEDLKKIENVGVNTGVSEPKGAEESIVAMEMSPMWNCRLHSSVDKEKSHSSEPTPVGTSLRAHGKNIIEKASGHAHDEHAASQLMSIEESNFHLDHMTRGSSCAVLRSFDHPGPSSSSLPYSNLNTVRQSELSFPSNEVNVKVPQNNLLLQEEKPSNKLNIGDTNILHGNYGFPSTQNMVTFANSRGPVESGQEIIFPEQYNPRSDSIDPAKKQDTNTEPLGFSVHPVSQESTADGKLELSAKNFLPSSLVGGRNGSDYHNDHSSKRDSDFDSHKPNQLEPIASFELTKENGGIVQTKKAEAENKNGLSENTDADDRTEEGKKSKDVKGVRAFKFALVEFVKDLLKPTWKEGQIGKEAYKSIVRKVVDKVTATMQGTNIPRTPEKIDQYLSFSKPKLSKLVHAYVEKFQKS >KJB31169 pep chromosome:Graimondii2_0_v6:5:52617714:52618897:-1 gene:B456_005G179500 transcript:KJB31169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKPQVDAGLESEGKKWVIAGISLRAPLKPIYTHPVDDQRDKDSTDDKEDESCSTTPTGQEARIPTLLTCPPAPVKRKPTLKCNYGSVREFFTPPDLETVFIRHV >KJB29198 pep chromosome:Graimondii2_0_v6:5:12206178:12211529:1 gene:B456_005G090400 transcript:KJB29198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSKDQLLARLKELQIDFSQYEHPVVLTVEAQAKYVGNVGGALSKNLFLKDKKHRYYVVSALADTKVDMKVLSQRLGLGKGGLRMAPEEALGEILKVPLGCVTPFALVNESASHVSLLLDKGFKTQECCIFHPLSNDMSISLNAFGLDKFLKSIGRDPTYIDLEANPPVGKDQPPDLASLVPSGSTVLPDLPKKTPSQDSSGNHPSAGNNSTAVTAKADKPSNAVQNVKEKSVNGVRPLIPSTDAGKFVEELLDRTSTLLLSEISEDSIKRHAGQLGAEVANNIKKCLREDLKNLAVSIMQ >KJB29197 pep chromosome:Graimondii2_0_v6:5:12206178:12211529:1 gene:B456_005G090400 transcript:KJB29197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSKDQLLARLKELQIDFSQYEHPVVLTVEAQAKYVGNVGGALSKNLFLKDKKHSCAVLSQRLGLGKGGLRMAPEEALGEILKVPLGCVTPFALVNESASHVSLLLDKGFKTQECCIFHPLSNDMSISKADKPSNAVQNVKEKSVNGVRPLIPSTDAGKFVEELLDRTSTLLLSEISEDSIKRHAGQLGAEVANNIKKCLREDLKNLATIFKNTAYTEGFYAGTHYQPKRL >KJB29201 pep chromosome:Graimondii2_0_v6:5:12207754:12211613:1 gene:B456_005G090400 transcript:KJB29201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISLNAFGLDKFLKSIGRDPTYIDLEANPPVGKDQPPDLASLVPSGSTVLPDLPKKTPSQDSSGNHPSAGNNSTAVTAKADKPSNAVQNVKEKSVNGVRPLIPSTDAGKFVEELLDRTSTLLLSEISEDSIKRHAGQLGAEVANNIKKCLREDLKNLATIFKNTAYTEGFYAGTHYQPKRL >KJB29200 pep chromosome:Graimondii2_0_v6:5:12207578:12211529:1 gene:B456_005G090400 transcript:KJB29200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEEALGEILKVPLGCVTPFALVNESASHVSLLLDKGFKTQECCIFHPLSNDMSISLNAFGLDKFLKSIGRDPTYIDLEANPPVGKDQPPDLASLVPSGSTVLPDLPKKTPSQDSSGNHPSAGNNSTAVTAKADKPSNAVQNVKEKSVNGVRPLIPSTDAGKFVEELLDRTSTLLLSEISEDSIKRHAGQLGAEVANNIKKCLREDLKNLATIFKNTAYTEGFYAGTHYQPKRL >KJB29199 pep chromosome:Graimondii2_0_v6:5:12206178:12211529:1 gene:B456_005G090400 transcript:KJB29199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSKDQLLARLKELQIDFSQYEHPVVLTVEAQAKYVGNVGGALSKNLFLKDKKHSCAVLSQRLGLGKGGLRMAPEEALGEILKVPLGCVTPFALVNESASHVSLLLDKGFKTQECCIFHPLSNDMSISLNAFGLDKFLKSIGRDPTYIDLEANPPVGKDQPPDLASLVPSGSTVLPDLPKKTPSQDSSGNHPSAGNNSTAVTAKADKPSNAVQNVKEKSVNGVRPLIPSTDAGKFVEELLDRTSTLLLSEISEDSIKRHAGQLGAEVANNIKKCLREDLKNLATIFKNTAYTEGFYAGTHYQPKRL >KJB29196 pep chromosome:Graimondii2_0_v6:5:12206175:12211545:1 gene:B456_005G090400 transcript:KJB29196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSKDQLLARLKELQIDFSQYEHPVVLTVEAQAKYVGNVGGALSKNLFLKDKKHRYYVVSALADTKVDMKVLSQRLGLGKGGLRMAPEEALGEILKVPLGCVTPFALVNESASHVSLLLDKGFKTQECCIFHPLSNDMSISLNAFGLDKFLKSIGRDPTYIDLEANPPVGKDQPPDLASLVPSGSTVLPDLPKKTPSQDSSGNHPSAGNNSTAVTAKADKPSNAVQNVKEKSVNGVRPLIPSTDAGKFVEELLDRTSTLLLSEISEDSIKRHAGQLGAEVANNIKKCLREDLKNLATIFKNTAYTEGFYAGTHYQPKRL >KJB31467 pep chromosome:Graimondii2_0_v6:5:56283407:56284629:1 gene:B456_005G1932001 transcript:KJB31467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQGEDSDSDFRDSCSDGSSDCEPERGSNPFREKRNHCMTSEMSPRMDRLSMGDQQIFQEDLSSNDDGESVNSQNCFIFEYPIYRIPTGPTLKDLDACFLTYHFLHTPVGGGQSAQGPVVTGLNNMDGGLKMQLPVFGLASYKFLVDT >KJB31771 pep chromosome:Graimondii2_0_v6:5:58809062:58812999:1 gene:B456_005G207900 transcript:KJB31771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSLILHTLVFCSYILCSSAKETITSNSSISDGEGDSLVSPGKKFELGFFTPNGSSNTRRYLGIWYYGSNPQTVVWVANRDKPLLDDSGVFVVGEDGNLKMLNGNGESFWSTNLKAVPSGYKKAKLTDTGNLVLFNEEQESHSESIIWESFHNPTDTFLPGMRMDENMVLTSWKSFDDPAPGNFTFQIDQERVNQFIIWKRTTRYWKSGVSGKFIGPDGMPSAMPSAISFFLSNFTTVVLHNESMPHLTSSLYSDTRMIISFSGQIQYFKWDSRKIWSVIWAEPRDKCSIYNACGNFGSCNSNNDLMCKCLPGFAPSSPANWNNQDYSDGCTRNSRICNKKAKIDTFLSLNMMEVGNPDSQFNAKDEMECKFECLSNCQCQAYSYEEPDNMQQGGSRFAACWIWLEDLNNIQEEYEGGRNLNVRLPVSDVESTRRSCETCGTNLIPYPLSTGPKCGDAMYLNFHCNISSGEVTFDVPIGTYRVTSISPETRKFIIQTNDANDCKAGNSGDDLFRFKQPSPFHVTSRCNADEVEIGWDPPLEPTCSSPTDCKDWPNSTCNGTSNGKKRCLCNTNFQWDNLSVNCTEDSGYGQKKYKSSTRKIALSLTLVIACISAIVITIVSSAIGYVYLKRRKQVEGEGIWGNIQRKSALHLYGSASERHVKDLIDSGRFKEDDTDGIEVPFFHLESILSATRNFSNANKLGQGGFGPVYKGKFPGGQEIAVKRLSSGSGQGLEEFKNEVVLIARLQHRNLVRLLGYCVAGDEKMLLYEYMPNKSLDSFIFDRKLCVLLDWDMRYRIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGMARIFGGKETSANTNRVVGTYGYMSPEYALDGLFSFKSDVFSFGVVVIEIISGKRNTGFYQPEQSLSLLGYAWHMWKTGKAMDLLDQTLRESCKADELLKCLNVGLLCVQEDPGDRPTMSQVVFMLGSENASVPAPKQPAFVVRRCPSSKASSSSKPETFSQNELTVTLEHGR >KJB32030 pep chromosome:Graimondii2_0_v6:5:60227012:60228254:1 gene:B456_005G219000 transcript:KJB32030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSGAVLNGLGSSFLCGGKRSQALLGASIGAKGSPSPAAGTRKLIVVAAAAPKKSWIPAVKGGGNFIDPEWLDGSLPGDYGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVVGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLILMGWVESKRWVDFFNPQSQSVEWATPWSKTAENFANATGEQGYPGGKFFDPLGLAGTIQNGVYVPDIDKLERLKLAEIKHARIAMLAMLIFYFEAGQGKTPLGALGL >KJB28885 pep chromosome:Graimondii2_0_v6:5:8255204:8255744:1 gene:B456_005G074500 transcript:KJB28885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRSSLCQHLSQAVDDIAISLVFKGCDSSISECMASVLVISTTTYWCMTLSKGLRGWVIFSLTSKGLRIGVRFFWIGTRLRLCHSFPISFCLYCFLLVFCGLLC >KJB27643 pep chromosome:Graimondii2_0_v6:5:172431:173808:1 gene:B456_005G003200 transcript:KJB27643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTFWMLALFIIGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >KJB27641 pep chromosome:Graimondii2_0_v6:5:171453:175479:1 gene:B456_005G003200 transcript:KJB27641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >KJB27642 pep chromosome:Graimondii2_0_v6:5:171295:173809:1 gene:B456_005G003200 transcript:KJB27642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >KJB29837 pep chromosome:Graimondii2_0_v6:5:25253875:25257013:-1 gene:B456_005G120400 transcript:KJB29837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDSGNPVPSTPESPTSAGFNTDQLPHDSSHSSDEEEAAVDPEAIRDEPDEVDEEEDDGEDLYNDNFMDDYREMGAQDQYESVGLDESVEDERDLDQIMQDRRAAELELDARDARIPNRKLPQLLHDQDTDDDNYRPSKRQRADLRPPSAPRSYDDTDGLQSSPGRSQQDHSRDDVPMTDRTDDYPYEDEGDDQGEFEMYRVQGTLREWVTRDEVRRFIFKKFRDFILTYVNPKNGHGDIEYVRLINEVVSANKCSLEIDYKQFISVHPNIAIWLADAPQSVLVVMEDVAQRVVFDLHPNYKNIHQKIYIRVTNLPIYDQIRDIRQIHLNTMVRIGGVVTRRSGVFPQLQQVKYDCNKCGSILGPFFQNSYSEVKVGSCPECQSKGPFTVNIEQTVYRNYQKLTLQESPGTVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDMSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTQEDKEDIEKLARDPQIGERIIKSIAPSIYGHEDIKTAIALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADKGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYGWFIYEMMIWPMGSSFRV >KJB29836 pep chromosome:Graimondii2_0_v6:5:25250483:25257128:-1 gene:B456_005G120400 transcript:KJB29836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDSGNPVPSTPESPTSAGFNTDQLPHDSSHSSDEEEAAVDPEAIRDEPDEVDEEEDDGEDLYNDNFMDDYREMGAQDQYESVGLDESVEDERDLDQIMQDRRAAELELDARDARIPNRKLPQLLHDQDTDDDNYRPSKRQRADLRPPSAPRSYDDTDGLQSSPGRSQQDHSRDDVPMTDRTDDYPYEDEGDDQGEFEMYRVQGTLREWVTRDEVRRFIFKKFRDFILTYVNPKNGHGDIEYVRLINEVVSANKCSLEIDYKQFISVHPNIAIWLADAPQSVLVVMEDVAQRVVFDLHPNYKNIHQKIYIRVTNLPIYDQIRDIRQIHLNTMVRIGGVVTRRSGVFPQLQQVKYDCNKCGSILGPFFQNSYSEVKVGSCPECQSKGPFTVNIEQTVYRNYQKLTLQESPGTVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDMSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTQEDKEDIEKLARDPQIGERIIKSIAPSIYGHEDIKTAIALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADKGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFSQNVELTDPIVSRFDILCVVKDVVDPVTDEMLAKFVVDSHFRSQPKGANIDDKAFSESQEESQAPAGLADSKILSQEVLRKYLTYAKLNIFPRFHEKDMAKLTKVYADLRKESSRGQGVPIAVRHIESMIRMSEAHARMHLRQHVTEEDVDMAIRVLLESFISTQKFGVQKALRKSFRQYITFKKDYHGLLLVLLRELVNDAMRFEEILSGSTQMLNYVDVKVVDLQAKAEEYEITNLEAFFSSSEFKACYELDEQRKVIRRHLADDR >KJB29835 pep chromosome:Graimondii2_0_v6:5:25250483:25256289:-1 gene:B456_005G120400 transcript:KJB29835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVQGTLREWVTRDEVRRFIFKKFRDFILTYVNPKNGHGDIEYVRLINEVVSANKCSLEIDYKQFISVHPNIAIWLADAPQSVLVVMEDVAQRVVFDLHPNYKNIHQKIYIRVTNLPIYDQIRDIRQIHLNTMVRIGGVVTRRSGVFPQLQQVKYDCNKCGSILGPFFQNSYSEVKVGSCPECQSKGPFTVNIEQTVYRNYQKLTLQESPGTVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDMSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTQEDKEDIEKLARDPQIGERIIKSIAPSIYGHEDIKTAIALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADKGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFSQNVELTDPIVSRFDILCVVKDVVDPVTDEMLAKFVVDSHFRSQPKGANIDDKAFSESQEESQAPAGLADSKILSQEVLRKYLTYAKLNIFPRFHEKDMAKLTKVYADLRKESSRGQGVPIAVRHIESMIRMSEAHARMHLRQHVTEEDVDMAIRVLLESFISTQKFGVQKALRKSFRQYITFKKDYHGLLLVLLRELVNDAMRFEEILSGSTQMLNYVDVKVVDLQAKAEEYEITNLEAFFSSSEFKACYELDEQRKVIRRHLADDR >KJB28740 pep chromosome:Graimondii2_0_v6:5:7148352:7150898:-1 gene:B456_005G067100 transcript:KJB28740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSISKTLLFALCYCFFTHFLAKSNPDSTHKGYILSCGASEDAATDADGRKWGPDAKFLTSSRDTEAATAQYQDPSLPTAVPYMTARIFKSSSTSYKFPVTPNTRHWLRLYFYPSTYNGLDPFTSYFSVVANGVTLLHNFSGAITAKAFTQGYIIREFCLTPVGSGDLNVTITPAKGSYAYVNGIELVPMPDIFQKPAIFLGFSDQYLELTSCTLQTMFRLNVGGQFIPPNKDSGLTRTWYDDFPYLFGSGIGVTSRADKNLSIQYSKSVPEVIAPVDVYSTGRTMGPSARVNANYNLTWIFQVDANFTYVVRLHFCEYEVNKINERVFDIYINNQTAQSPSDIIAWVGGQGRPIYKDFAAYVSDRPGDEEMWVQLHPTVSMKPKHLNALLNGLEIFKMNDSNMNLAGPNPVPSQMLTDAEAEAESKRFSESEARNGPLIAGGVAGGVASCALVVAIVVVVVVKKKKKTGKTLSSVITYGSSHSSSTGKTFPGSQISNLAAGLCRHFSLSEIKHGTKNFDESRVIGVGGFGNVYRGTIDGGTKVAIKRSNPSSEQGVHEFQTEIEMLSKLRHRHLVSLIGFCEEGGEMILVYDYMANGTLREHLYKTNKPPLPWKQRLEICIGAARGLHYLHTGARHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPNLEQDHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVLDASLPKEQVSLGDYARICERKGTLHEIIDPHLKGRINPECLRKFTEIAGKCLLDHGTDRPSMGDVLWNLEFSLQLEEHPGGMLVAENKANNAYATHTATIAADEAIVNDDVDSNRSAVFSQLVDLKGR >KJB29523 pep chromosome:Graimondii2_0_v6:5:18617737:18621729:1 gene:B456_005G105400 transcript:KJB29523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPRRPHFLNVFSSTSSANSLRIPVGFNRNLEGRVSASVLLKGPSGYSWNVKLVQRNDDLLFDEGWADFVADHSLECGDFLVFRYDGDLVFEVKVFDQSSCEKEVAFHCKCSQAGSVFNGILGQKRYREEEDVSLDQDCEELLKRIRQSSSESVRDEEHCGRELILATTSCQGLTSCDENHDGTILKITGKEDDLNLHGSGCIQMIGEFEEKKVAQSFNSCFPFFVRIMKRFNVSGSYTLNIPYQFAMEHLPNCKTELVLQNLKGACWTVNSVPTTRVHTSHTLCGGWLSFVRSNEIKAGDICIFELVHKFEFRVHILRVGQEDLDRQSRKAVLDRSDSTLLKKLVKNTSKVHSKLKKVQICDNKGSKVLDKWKYGNAAKKSASFVLCSLSRSGTEKQAISGLRMMMTVDEEKAARSFASRFPSFVRIMRKFNISGSYTLKIPYKFSKEHLPYCKTQVVLRNLQGKCWTVNSLPDSKGRAVHTFCGGWMAFVRDNEIKIGDICIFELVNNYEMRVHISGPGRKGLDRQHTSL >KJB29522 pep chromosome:Graimondii2_0_v6:5:18617737:18621729:1 gene:B456_005G105400 transcript:KJB29522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPRRPHFLNVFSSTSSANSLRIPVGFNRNLEGRVSASVLLKGPSGYSWNVKLVQRNDDLLFDEGWADFVADHSLECGDFLVFRYDGDLVFEVKVFDQSSCEKEVAFHCKCSQAGSVFNGILGQKRYREEEDVSLDQDCEELLKRIRQSSSESVRDEEHCGRELILATTSCQGLTSCDENHDGTILKITGKEDDLNLHGSGCIQMIGEFEEKKVAQSFNSCFPFFVRIMKRFNVSGSYTLNIPYQFAMEHLPNCKTELVLQNLKGACWTVNSVPTTRVHTSHTLCGGWLSFVRSNEIKAGDICIFELVHKFEFRVHILRVGQEDLDRQSRKAVLDRSDSTLLKKLVKNTSKVHSKLKKVQICDNKGSKVLDKWKYGNAAKKSASFVLCSLSRSGTEKQEAAISGLRMMMTVDEEKAARSFASRFPSFVRIMRKFNISGSYTLKIPYKFSKEHLPYCKTQVVLRNLQGKCWTVNSLPDSKGRAVHTFCGGWMAFVRDNEIKIGDICIFELVNNYEMRVHISGPGRKGLDRQHTSL >KJB29528 pep chromosome:Graimondii2_0_v6:5:18617737:18621216:1 gene:B456_005G105400 transcript:KJB29528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGEFEEKKVAQSFNSCFPFFVRIMKRFNVSGSYTLNIPYQFAMEHLPNCKTELVLQNLKGACWTVNSVPTTRVHTSHTLCGGWLSFVRSNEIKAGDICIFELVHKFEFRVHILRVGQEDLDRQSRKAVLDRSDSTLLKKLVKNTSKVHSKLKKVQICDNKGSKVLDKWKYGNAAKKSASFVLCSLSRSGTEKQEAAISGLRMMMTVDEEKAARSFASRFPSFVRIMRKFNISGSYTLKIPYKFSKEHLPYCKTQVVLRNLQGKCWTVNSLPDSKGRAVHTFCGGWMAFVRDNEIKIGDICIFELVNNYEMRVHISGPGRKGLDRQHTSL >KJB29526 pep chromosome:Graimondii2_0_v6:5:18617737:18621729:1 gene:B456_005G105400 transcript:KJB29526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPRRPHFLNVFSSTSSANSLRIPVGFNRNLEGRVSASVLLKGPSGYSWNVKLVQRNDDLLFDEGWADFVADHSLECGDFLVFRYDGDLVFEVKVFDQSSCEKEVAFHCKCSQAGSVFNGILGQKRYREEEDVSLDQDCEELLKRIRQSSSESVRDEEHCGRELILATTSCQGLTSCDENHDGTILKITGKEDDLNLHGSGCIQMIGEFEEKKVAQSFNSCFPFFVRIMKRFNVSGSYTLNIPYQFAMEHLPNCKTELVLQNLKGACWTVNSVPTTRVHTSHTLCGGWLSFVRSNEIKAGDICIFELVHKFEFRVHILRVGQEDLDRQSRKAVLDRSDSTLLKKLVKNTSKVHSKLKKVQICDNKGSKVLDKWKYGNAAKKSASFVLCSLSRSGTEKQEAAISGLRMMMTVDEEKAARSFASRFPSFVRIMRKFNISGSYTLKIPYKFSKEHLPYCKTQVVLRNLQGKCWTVNSLPDSKGRAVHTFCGGWMAFVRDNEIKIGDICIFELVNNYEMRVHISGPGRKGLDRQHTSL >KJB29524 pep chromosome:Graimondii2_0_v6:5:18617737:18621729:1 gene:B456_005G105400 transcript:KJB29524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPRRPHFLNVFSSTSSANSLRIPVGFNRNLEGRVSASVLLKGPSGYSWNVKLVQRNDDLLFDEGWADFVADHSLECGDFLVFRYDGDLVFEVKVFDQSSCEKEVAFHCKCSQAGSVFNGILGQKRYREEEDVSLDQDCEELLKRIRQSSSESVRDEEHCGRELILATTSCQGLTSCDENHDGTILKITGKEDDLNLHGSGCIQMIGEFEEKKVAQSFNSCFPFFVRIMKRFNVSGSYTLNIPYQFAMEHLPNCKTELVLQNLKGACWTVNSVPTTRVHTSHTLCGGWLSFVRSNEIKAGDICIFELVHKFEFRVHILRVGQEDLDRQSRKAVLDRSDSTLLKKLVKNTSKVHSKLKKVQICDNKGSKVLDKWKYGNAAKKSASFVLCSLSRSGTEKQAAISGLRMMMTVDEEKAARSFASRFPSFVRIMRKFNISGSYTLKIPYKFSKEHLPYCKTQVVLRNLQGKCWTVNSLPDSKGRAVHTFCGGWMAFVRDNEIKIGDICIFELVNNYEMRVHISGPGRKGLDRQHTSL >KJB29527 pep chromosome:Graimondii2_0_v6:5:18617737:18621729:1 gene:B456_005G105400 transcript:KJB29527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPRRPHFLNVFSSTSSANSLRIPVGFNRNLEGRVSASVLLKGPSGYSWNVKLVQRNDDLLFDEGWADFVADHSLECGDFLVFRYDGDLVFEVKVFDQSSCEKEVAFHCKCSQAGSVFNGILGQKRYREEEDVSLDQDCEELLKRIRQSSSESVRDEEHCGRELILATTSCQGLTSCDENHDGTILKITGKEDDLNLHGSGCIQMIGEFEEKKVAQSFNSCFPFFVRIMKRFNVSGSYTLNIPYQFAMEHLPNCKTELVLQNLKGACWTVNSVPTTRVHTSHTLCGGWLSFVRSNEIKAGDICIFELVHKFEFRVHILRVGQEDLDRQSRKAVLDRSDSTLLKKLVKNTSKVHSKLKKVQICDNKGSKVLDKWKYGNAAKKSASFVLCSLSRSGTEKQEAAISGLRMMMTVDEEKAARSFASRFPSFVRIMRKFNISGSYTLKIPYKFSKEHLPYCKTQVVLRNLQGKCWTVNSLPDSKGRAVHTFCGGWMAFVRDNEIKIGDICIFELVNNYEMRVHISGPGRKGLDRQHTSL >KJB29525 pep chromosome:Graimondii2_0_v6:5:18617737:18621729:1 gene:B456_005G105400 transcript:KJB29525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGEFEEKKVAQSFNSCFPFFVRIMKRFNVSGSYTLNIPYQFAMEHLPNCKTELVLQNLKGACWTVNSVPTTRVHTSHTLCGGWLSFVRSNEIKAGDICIFELVHKFEFRVHILRVGQEDLDRQSRKAVLDRSDSTLLKKLVKNTSKVHSKLKKVQICDNKGSKVLDKWKYGNAAKKSASFVLCSLSRSGTEKQEAAISGLRMMMTVDEEKAARSFASRFPSFVRIMRKFNISGSYTLKIPYKFSKEHLPYCKTQVVLRNLQGKCWTVNSLPDSKGRAVHTFCGGWMAFVRDNEIKIGDICIFELVNNYEMRVHISGPGRKGLDRQHTSL >KJB32830 pep chromosome:Graimondii2_0_v6:5:63781620:63781928:1 gene:B456_005G2642001 transcript:KJB32830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTVEEPDLLKLTVTEAYDTEPFPNAASSGSLTVIDERGLPCTFYYRVSRRGRVLSGSRWQDFIRRNGVRVGDTVSIERNDGHAYPAPYKIEIIRNPRNSSET >KJB29759 pep chromosome:Graimondii2_0_v6:5:23757188:23759254:-1 gene:B456_005G117800 transcript:KJB29759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSLSVSEPQTSSSSVSAELKLYQAFIFSVPIFFAFILLFMFYLFYLRRRRADWSSLRMRTSPGPDSDLSISMAELGLKKEVREMLPIIIYKETFSIRDTQCSVCLGEYQAEDKLQQIPACGHTFHMDCIDHWLANHTTCPLCRLSVLASSDKLPVIQAANSQVSSNPVNSNGLAVQTVAQNCEETHGVQPLEQTIGDARISQHNSDEQECVNQERV >KJB29762 pep chromosome:Graimondii2_0_v6:5:23756657:23759254:-1 gene:B456_005G117800 transcript:KJB29762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSLSVSEPQTSSSSVSAELKLRADWSSLRMRTSPGPDSDLSISMAELGLKKEVREMLPIIIYKETFSIRDTQCSVCLGEYQAEDKLQQIPACGHTFHMDCIDHWLANHTTCPLCRLSVLASSDKLPVIQAANSQVSSNPVNSNGLAVQTVAQNCEETHGVQPLEQTIGDARISQHNSDEQECVNQERV >KJB29764 pep chromosome:Graimondii2_0_v6:5:23756657:23759448:-1 gene:B456_005G117800 transcript:KJB29764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSLSVSEPQTSSSSVSAELKLYQAFIFSVPIFFAFILLFMFYLFYLRRRRADWSSLRMRTSPGPDSDLSISMAELGLKKEVREMLPIIIYKETFSIRDTQCSVCLGEYQAEDKLQQIPACGHTFHMDCIDHWLANHTTCPLCRLSVLASSDKLPVIQAANSQVSSNPVNSNGLAVQTVAQNCEETHGVQPLEQTIGDARISQHNSDEQECVNQERV >KJB29761 pep chromosome:Graimondii2_0_v6:5:23756522:23759492:-1 gene:B456_005G117800 transcript:KJB29761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSPGPDSDLSISMAELGLKKEVREMLPIIIYKETFSIRDTQCSVCLGEYQAEDKLQQIPACGHTFHMDCIDHWLANHTTCPLCRLSVLASSDKLPVIQAANSQVSSNPVNSNGLAVQTVAQNCEETHGVQPLEQTIGDARISQHNSDEQECVNQERV >KJB29760 pep chromosome:Graimondii2_0_v6:5:23757326:23759032:-1 gene:B456_005G117800 transcript:KJB29760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRADWSSLRMRTSPGPDSDLSISMAELGLKKEVREMLPIIIYKETFSIRDTQCSVCLGEYQAEDKLQQIPACGHTFHMDCIDHWLANHTTCPLCRLSVLASSDKLPVIQAANSQVSSNPVNSNGLAVQTVAQNCEETHGVQPLEQTIGDARISQHNSDEQECVNQERV >KJB29763 pep chromosome:Graimondii2_0_v6:5:23756473:23759532:-1 gene:B456_005G117800 transcript:KJB29763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSLSVSEPQTSSSSVSAELKLYQAFIFSVPIFFAFILLFMFYLFYLRRRRADWSSLRMRTSPGPDSDLSISMAELGLKKEVREMLPIIIYKETFSIRDTQCSVCLGEYQAEDKLQQIPACGHTFHMDCIDHWLANHTTCPLCRLSVLASSDKLPVIQAANSQVSSNPVNSNGLAVQTVAQNCEETHGVQPLEQTIGDARISQHNSDEQECVNQERV >KJB27618 pep chromosome:Graimondii2_0_v6:5:130074:131099:1 gene:B456_005G002500 transcript:KJB27618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMARYSFSDLTRKFPNTSGARPLKSQPPHVVSFPRNQPFRFQPSQISIPKLQFSCGGGDDGNHGVGGNGGGGKGDGGSNSNDDLWQRFGILGLLLSGWRDRVAADPQFPFKVLMEELVGVSACVVGDMASRPNFGLNELDFVFSTLVVGSILNFLLMYLLAPTASASSSSLPSIFANCPQSHMFEPGAYTLMNRFGTFVYKGAVFAAVGFAAGLVGTAISNGLIMMRKKMDPSFETPNKPPPTLLNAFTWATHMGVSSNLRYQTLNGVEFLLAKGLPPLAFKSSVVVLRCLNNVLGGMTFVILAKFTGSQSVEQKPPNIDKEKKSVDGDSLDNSQSTFK >KJB31403 pep chromosome:Graimondii2_0_v6:5:55196833:55201178:1 gene:B456_005G189700 transcript:KJB31403 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBM48 domain-containing protein, Compound granule formation and starch synthesi [Source: Projected from Oryza sativa (Os03g0686900)] MATFTSSTYTFVSPHSFLTHFRARVFPSCFCGINPGNKGNSVSLKLNLVRGLVFGEDKKRVSWWSCCCKKGWDNDGDLALEADILEFMKNSDKPEAFPSKKELVDAGRMDLVEGIKRQGGWLAMGWDLDDDDEHGFQEKGFPEAGVKDWDLLEKEKKWDNQTFQERAQSEVEISGASYLAVNSSSSTSSSVGGPHRRKLMSFSGSSGLGNDTTAKFIQDQPLSGIDGLRNPTWREWSLQRAGIAGKEFEDISGYGTLEIRNKSNELDRRKESRASGKDINHNEIRDRLEHLKLELSSVLQSLRSNVDEVLSREGDESSIDNFHKLSDASEFQENEISNAQDKLRSLRARLAVLEGKMTLAIIDAQKTVEEKQKRIDDARRALQLLRTACIVWPNSGSEVLLAGSFDGWATKRKMEKSSTGVFSLQLKLYPGKYEIKFIVDGEWKLDPLRPIVNNNGFENNLLIIT >KJB31404 pep chromosome:Graimondii2_0_v6:5:55196833:55201178:1 gene:B456_005G189700 transcript:KJB31404 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBM48 domain-containing protein, Compound granule formation and starch synthesi [Source: Projected from Oryza sativa (Os03g0686900)] MATFTSSTYTFVSPHSFLTHFRARVFPSCFCGINPGNKGNSVSLKLNLVRGLVFGEDKKRVSWWSCCCKKGWDNDGDLALEADILEFMKNSDKPEAFPSKKELVDAGRMDLVEGIKRQGGWLAMGWDLDDDDEHGFQEKGFPEAGVKDWDLLEKEKKWDNQTFQERAQSEVEISGASYLAVNSSSSTSSSGRSLEVAAKDDCGVEGILSRLERERNVNFGIGFRDKGDNTCPQTNCTEEESLVQASTDVTVGGPHRRKLMSFSGSSGLGNDTTAKFIQDQPLSGIDGLRNPTWREWSLQRAGIAGKEFEDISGYGTLEIRNKSNELDRRKESRASGKDINHNEIRDRLEHLKLELSSVLQSLRSNVDEVLSRELSDASEFQENEISNAQDKLRSLRARLAVLEGKMTLAIIDAQKTVEEKQKRIDDARRALQLLRTACIVWPNSGSEVLLAGSFDGWATKRKMEKSSTGVFSLQLKLYPGKYEIKFIVDGEWKLDPLRPIVNNNGFENNLLIIT >KJB31401 pep chromosome:Graimondii2_0_v6:5:55196833:55199087:1 gene:B456_005G189700 transcript:KJB31401 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBM48 domain-containing protein, Compound granule formation and starch synthesi [Source: Projected from Oryza sativa (Os03g0686900)] MATFTSSTYTFVSPHSFLTHFRARVFPSCFCGINPGNKGNSVSLKLNLVRGLVFGEDKKRVSWWSCCCKKGWDNDGDLALEADILEFMKNSDKPEAFPSKKELVDAGRMDLVEGIKRQGGWLAMGWDLDDDDEHGFQEKGFPEAGVKDWDLLEKEKKWDNQTFQERAQSEVEISGASYLAVNSSSSTSSSGRSLEVAAKDDCGVEGILSRLERERNVNFGIGFRDKGDNTCPQTNCTEEESLVQASTDVTVGGPHRRKLMSFSGSSGLGNDTTAKFIQDQPLSGIDGLRNPTWREWSLQRAGIAGKEFEDISGYGTLEIRNKSNELDRRKESRASGKDINHNEIRDRLEHLKLELSSVLQSLRSNVDEVLSREVSK >KJB31405 pep chromosome:Graimondii2_0_v6:5:55196833:55201178:1 gene:B456_005G189700 transcript:KJB31405 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBM48 domain-containing protein, Compound granule formation and starch synthesi [Source: Projected from Oryza sativa (Os03g0686900)] MATFTSSTYTFVSPHSFLTHFRARVFPSCFCGINPGNKGNSVSLKLNLVRGLVFGEDKKRVSWWSCCCKKGWDNDGDLALEADILEFMKNSDKPEAFPSKKELVDAGRMDLVEGIKRQGGWLAMGWDLDDDDEHGFQEKGFPEAGVKDWDLLEKEKKWDNQTFQERAQSEVEISGASYLAVNSSSSTSSSGRSLEVAAKDDCGVEGILSRLERERNVNFGIGFRDKVGGPHRRKLMSFSGSSGLGNDTTAKFIQDQPLSGIDGLRNPTWREWSLQRAGIAGKEFEDISGYGTLEIRNKSNELDRRKESRASGKDINHNEIRDRLEHLKLELSSVLQSLRSNVDEVLSREGDESSIDNFHKLSDASEFQENEISNAQDKLRSLRARLAVLEGKMTLAIIDAQKTVEEKQKRIDDARRALQLLRTACIVWPNSGSEVLLAGSFDGWATKRKMEKSSTGVFSLQLKLYPGKYEIKFIVDGEWKLDPLRPIVNNNGFENNLLIIT >KJB31400 pep chromosome:Graimondii2_0_v6:5:55196591:55201185:1 gene:B456_005G189700 transcript:KJB31400 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBM48 domain-containing protein, Compound granule formation and starch synthesi [Source: Projected from Oryza sativa (Os03g0686900)] MATFTSSTYTFVSPHSFLTHFRARVFPSCFCGINPGNKGNSVSLKLNLVRGLVFGEDKKRVSWWSCCCKKGWDNDGDLALEADILEFMKNSDKPEAFPSKKELVDAGRMDLVEGIKRQGGWLAMGWDLDDDDEHGFQEKGFPEAGVKDWDLLEKEKKWDNQTFQERAQSEVEISGASYLAVNSSSSTSSSGRSLEVAAKDDCGVEGILSRLERERNVNFGIGFRDKGDNTCPQTNCTEEESLVQASTDVTVGGPHRRKLMSFSGSSGLGNDTTAKFIQDQPLSGIDGLRNPTWREWSLQRAGIAGKEFEDISGYGTLEIRNKSNELDRRKESRASGKDINHNEIRDRLEHLKLELSSVLQSLRSNVDEVLSREGDESSIDNFHKLSDASEFQENEISNAQDKLRSLRARLAVLEGKMTLAIIDAQKTVEEKQKRIDDARRALQLLRTACIVWPNSGSEVLLAGSFDGWATKRKMEKSSTGVFSLQLKLYPGKYEIKFIVDGEWKLDPLRPIVNNNGFENNLLIIT >KJB31402 pep chromosome:Graimondii2_0_v6:5:55197264:55200797:1 gene:B456_005G189700 transcript:KJB31402 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBM48 domain-containing protein, Compound granule formation and starch synthesi [Source: Projected from Oryza sativa (Os03g0686900)] MLGGWIWLRGLRDKEGGLLWAGTWMMMMNMDFKKKGSQKLVLRIGTFWRRRRNGITRLFKKGLKVKLKLVGLLIWLLILPAQPPRLVDHFGGPHRRKLMSFSGSSGLGNDTTAKFIQDQPLSGIDGLRNPTWREWSLQRAGIAGKEFEDISGYGTLEIRNKSNELDRRKESRASGKDINHNEIRDRLEHLKLELSSVLQSLRSNVDEVLSREGDESSIDNFHKLSDASEFQENEISNAQDKLRSLRARLAVLEGKMTLAIIDAQKTVEEKQKRIDDARRALQLLRTACIVWPNSGSEVLLAGSFDGWATKRKMEKSSTGVFSLQLKLYPGKYEIKFIVDGEWKLDPLRPIVNNNGFENNLLIIT >KJB28819 pep chromosome:Graimondii2_0_v6:5:7732336:7735769:-1 gene:B456_005G071000 transcript:KJB28819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGTPSSQAYGEPWYWDNRYAHESAPFDWYQKYPALASLIHLYVPHRDERILVVGCGNSVFSEDMVNDGYEDVVNVDISPVVIEAMQTKYSNCQQLKYIKLDVRDMSPFQAGSFAAVIDKGTLDSILCGNNSRQNATQMLGEVWRVLKDKGVYILITYGAPVYRLGLLKESCMWSIKLHVIAKFGAEGSSEQPTRALTDPILLDESGSSVEDVLGKNPDVHYIYVCTKEPKTKD >KJB28820 pep chromosome:Graimondii2_0_v6:5:7732405:7735185:-1 gene:B456_005G071000 transcript:KJB28820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGTPSSQAYGEPWYWDNRYAHESAPFDWYQKYPALASLIHLYVPHRDERILVVGCGNSVFSEDMVNDGYEDVVNVDISPVVIEAMQTKYSNCQQLKYIKLDVRDMSPFQAGSFAAVIDKGTLDSILCGNNSRQNATQMLGEVWRVLKDKGVYILITYGAPVYRLGLLKESCMWSIKLHVIAKFGAEGSSEQPTRALTDPILLDESGSSVEDVLGKNPDVHYIYVCTKVRKFSTQLFFWVYSNGYNSEPVWLKSLAFKCI >KJB28272 pep chromosome:Graimondii2_0_v6:5:3730171:3731572:1 gene:B456_005G039500 transcript:KJB28272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNLCVLLFVFVLLTAHVCHARNVPGNNGLNGSKKEQIMEANAPKAESPKGNGIDDKKHFVYGGVGGFAGVGGYAGVAGGLPLVGGLGGIGKFGGIGGAAGIGGYKGIGGVGGLGGGIGGLGGLGGGTGGGGGGLGGLGGGTGGGVGGLGGGTGGGVGGLGGGGCPGTGGVGSGAGGLGGGGGGGGCPDTGGVGGGAGGLGGGGSGIGGISRLAGDHGHGHGLGLGGTTRGGNLPPP >KJB29262 pep chromosome:Graimondii2_0_v6:5:12387987:12392954:-1 gene:B456_005G091700 transcript:KJB29262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHLPRFFSNRVAMDSKRSRRKPRLERRNAAKDIDYDAGSFCSSLDDSSSSSSSPLVTRSLDLYQTSFRLEGTDGELDRICRRLGLNGPEDFSIPTASWEARKIRSSSDLLPRSRLNRLDSPKEETALVKGGGAAEVTVDELAERVLATELTRNDSSELKRECCFSDKIAVDNTTTTELKSSTSCVSNVVVGGGNSGIKGDRPPFLKPPPGIKLKAIDNGCSTWDLFRDFGPDDDRGCAIPVNSHSSSDDEEYKREDGGFNEGNTKEENLMRIGDNAVLSESCSFTTSNDDDSSSTTTEPMSNISPNGRFKRTITYWEKGELLGSGSFGSVYEGISDDGFFFAVKEVSLLDQGSQGKQSVIQLEHEIALLSQFEHENIVQYYGTDKDQSKLYIFLELVTKGSLLQLYQRYHLRDSQVSAYTRQILHGLKYLHDRNVVHRDVKCANILVDASGSVKLADFGLAKATKLNDVKSCKGTAFWMAPEVVNQKGQGYGLPADIWSLGCTVLEMLTREIPYHQLECVCSFSLY >KJB29264 pep chromosome:Graimondii2_0_v6:5:12387305:12393083:-1 gene:B456_005G091700 transcript:KJB29264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHLPRFFSNRVAMDSKRSRRKPRLERRNAAKDIDYDAGSFCSSLDDSSSSSSSPLVTRSLDLYQTSFRLEGTDGELDRICRRLGLNGPEDFSIPTASWEARKIRSSSDLLPRSRLNRLDSPKEETALVKGGGAAEVTVDELAERVLATELTRNDSSELKRECCFSDKIAVDNTTTTELKSSTSCVSNVVVGGGNSGIKGDRPPFLKPPPGIKLKAIDNGCSTWDLFRDFGPDDDRGCAIPVNSHSSSDDEEYKREDGGFNEGNTKEENLMRIGDNAVLSESCSFTTSNDDDSSSTTTEPMSNISPNGRFKRTITYWEKGELLGSGSFGSVYEGISDDGFFFAVKEVSLLDQGSQGKQSVIQLEHEIALLSQFEHENIVQYYGTDKDQSKLYIFLELVTKGSLLQLYQRYHLRDSQVSAYTRQILHGLKYLHDRNVVHRDVKCANILVDASGSVKLADFGLAKATKLNDVKSCKGTAFWMAPEVVNQKGQGYGLPADIWSLGCTVLEMLTREIPYHQLECMQALFRIGKGEPPPIPDSLSKDAQDFIMQCLQTNPEARPTAAKLLQHPFVKRPPPSHSGSASPHLGRRF >KJB29263 pep chromosome:Graimondii2_0_v6:5:12386928:12393168:-1 gene:B456_005G091700 transcript:KJB29263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHLPRFFSNRVAMDSKRSRRKPRLERRNAAKDIDYDAGSFCSSLDDSSSSSSSPLVTRSLDLYQTSFRLEGTDGELDRICRRLGLNGPEDFSIPTASWEARKIRSSSDLLPRSRLNRLDSPKEETALVKGGGAAEVTVDELAERVLATELTRNDSSELKRECCFSDKIAVDNTTTTELKSSTSCVSNVVVGGGNSGIKGDRPPFLKPPPGIKLKAIDNGCSTWDLFRDFGPDDDRGCAIPVNSHSSSDDEEYKREDGGFNEGNTKEENLMRIGDNAVLSESCSFTTSNDDDSSSTTTEPMSNISPNGRFKRTITYWEKGELLGSGSFGSVYEGISDDGFFFAVKEVSLLDQGSQGKQSVIQLEHEIALLSQFEHENIVQYYGTDKDQSKLYIFLELVTKGSLLQLYQRYHLRDSQVSAYTRQILHGLKYLHDRNVVHRDVKCANILVDASGSVKLADFGLAKATKLNDVKSCKGTAFWMAPEVVNQKGQGYGLPADIWSLGCTVLEMLTREIPYHQLECMQALFRIGKASICEEASSIALRLCIPSSWPAVLIVQP >KJB29261 pep chromosome:Graimondii2_0_v6:5:12386958:12393083:-1 gene:B456_005G091700 transcript:KJB29261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHLPRFFSNRVAMDSKRSRRKPRLERRNAAKDIDYDAGSFCSSLDDSSSSSSSPLVTRSLDLYQTSFRLEGTDGELDRICRRLGLNGPEDFSIPTASWEARKIRSSSDLLPRSRLNRLDSPKEETALVKGGGAAEVTVDELAERVLATELTRNDSSELKRECCFSDKIAVDNTTTTELKSSTSCVSNVVVGGGNSGIKGDRPPFLKPPPGIKLKAIDNGCSTWDLFRDFGPDDDRGCAIPVNSHSSSDDEEYKREDGGFNEGNTKEENLMRIGDNAVLSESCSFTTSNDDDSSSTTTEPMSNISPNGRFKRTITYWEKGELLGSGSFGSVYEGISDDGFFFAVKEVSLLDQGSQGKQSVIQLEHEIALLSQFEHENIVQYYGTDKDQSKLYIFLELVTKGSLLQLYQRYHLRDSQVSAYTRQILHGLKYLHDRNVVHRDVKCANILVDASGSVKLADFGLAKATKLNDVKSCKGTAFWMAPEVVNQKGQGYGLPADIWSLGCTVLEMLTREIPYHQLECMQALFRIGKGEPPPIPDSLSKDAQDFIMQCLQTNPEARPTAAKLLQHPFVKRPPPSHSGSASPHLGRRF >KJB30799 pep chromosome:Graimondii2_0_v6:5:46448360:46456538:-1 gene:B456_005G161000 transcript:KJB30799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKNRIPSSGHSTPSPPASPLRSPRYRHGRKSGRFSPFQPGRTIAHRLAWLLLSVLLRRQGIFLFAPLIYISGMLLYMGTVSFDVVPVIKHRPAPGSVYRSPQLYEKLKIDMNADNSSADAISTIWKNSYKGGEWRPCVNKSFEGLPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLLIPNFHFHSIWRDPSKFKDIYDEDYFISALKNDVRVVNKIPEYIMERFDNNLTNVYNFRIKAWSSIQYYKDVVLPKLLEEKIIRISPFANRLSFDAPPAVQRLRCLANYEALRFSSPILSLGETLVARMKELSANSGGKYVSVHLRFEEDMVAFSCCVFDGGEQEKEDMKKARERGWKGKFTKPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFDNNTYIFLASGKIYNAEKTMASLLEMFPNLQTKEMLASEEELAPYKNFSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLHGGHSKTIRPDKRKLALLFDNPNIGWKSFKRQMLNMRSHSDSKGFELKRPSDSIYTFPCPDCMCHTNKSADSRSSSAT >KJB31315 pep chromosome:Graimondii2_0_v6:5:54465196:54467451:-1 gene:B456_005G185900 transcript:KJB31315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID REDOX INSENSITIVE 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10522) UniProtKB/Swiss-Prot;Acc:Q9XIK0] MGSLSKALLSSTLRSLPSFSSPPTPFFSFSSSYSFTPNSPSFAFKSSFTSSLTSKAPTPNSLSFSSTTFICKAAEYKFPDPIPEFADAEMDKFRSHLLNKLSKKDTYGDSVEEVVGICTEIFSTFLHTEYGGPGTLLVIPFIDMADTINERGLPGGPQAARAAVKWAQDHVDKDWKEWTGTN >KJB31316 pep chromosome:Graimondii2_0_v6:5:54465200:54467419:-1 gene:B456_005G185900 transcript:KJB31316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID REDOX INSENSITIVE 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10522) UniProtKB/Swiss-Prot;Acc:Q9XIK0] MGSLSKALLSSTLRSLPSFSSPPTPFFSFSSSYSFTPNSPSFAFKSSFTSSLTSKAPTPNSLSFSSTTFICKAAEYKFPDPIPEFADAEMDKFRSHLLNKLSKKDTYGDSVEEVVGICTERLIVGRINGKQVLCS >KJB27982 pep chromosome:Graimondii2_0_v6:5:1596470:1600552:1 gene:B456_005G020100 transcript:KJB27982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPSLLAQCLPGLVPQDRGSQSMSTTSERDVHLSSPAVEILPSKTAHPYKYAGDNVDLQGLNVFKGRISVADIIGFTGSELISSKPEGFLKSWDSSIDLVNILKHEIRDGQLSFRGKRVLELGCGYGLPGIFACLKGACTMHFQDLSAETIRCATIPNVLANLEQARDRQSRQPESPLTPSRQTLAPTVRFYAGDWEELPSVLSVVRNDVSEMTPGLSFSFSEEDFLDGCSSQDGSILAQELSLRRSRRLSRSRAWERASETDQGEGGYDVILMTEIPYPMSSLKKLYALIKKCLRPPYGVVYLATKKNYVGFNTAARHLRSLVDEEGIFGAHLIKEVSDRDIWKFFLK >KJB27983 pep chromosome:Graimondii2_0_v6:5:1596498:1599597:1 gene:B456_005G020100 transcript:KJB27983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPSLLAQCLPGLVPQDRGSQSMSTTSERDVHLSSPAVEILPSKTAHPYKYAGDNVDLQGLNVFKGRISVADIIGFTGSELISSKPEGFLKSWDSSIDLVNILKHEIRDGQLSFRGKRVLELGCGYGLPGIFACLKGACTMHFQDLSAETIRCATIPNVLANLEQARDRQSRQPESPLTPSRQTLAPTVRFYAGDWEELPSVLSVVRNDVSEMTPGLSFSFSEEDFLDGCSSQDGSILAQELSLRRSRRLSRSRAWERASETDQGEGGYDVILMTEIPYPMSSLKKLYALIKKVSFLISHKERRKPLYLSCPC >KJB31130 pep chromosome:Graimondii2_0_v6:5:52259475:52261946:-1 gene:B456_005G178300 transcript:KJB31130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTKITIKFLCSYGGKIVPRYPDGKLRYYGGETRVLAVDRSIPFSELLVKMGEMYGSAVSLRCQLPTEDLDALVSITSDEDLANLIEEYDRVASPPSSIKIRAFLSPPRSTKKDASPPSSSASSSKSSSTSTPRYSCIRQISRTPVAYPLCSEKSAGKMIPYYGYHGHHGNPSHIYLIHSGNYWQ >KJB31132 pep chromosome:Graimondii2_0_v6:5:52261138:52261581:-1 gene:B456_005G178300 transcript:KJB31132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIIIGTELLVKMGEMYGSAVSLRCQLPTEDLDALVSITSDEDLANLIEEYDRVASPPSSIKIRAFLSPPRSTKKDASPPSSSASSSKSSSTSTPRYSCIRQISRTPVAYPLCSEKSAGKMIPYYGYHGHHGNPSHIYLIHSGNYWQ >KJB31134 pep chromosome:Graimondii2_0_v6:5:52260917:52261946:-1 gene:B456_005G178300 transcript:KJB31134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTKITIKFLCSYGGKIVPRYPDGKLRYYGGETRVLAVDRSIPFSELLVKMGEMYGSAVSLRCQLPTEDLDALVSITSDEDLANLIEEYDRVASPPSSIKIRAFLSPPRSTKKDASPPSSSASSSKSSSTSTPRYSCIRQISRTPVAYPLCSEKSAGKMIPYYGYHGHHGNPSHIYLIHSGNYWQ >KJB31129 pep chromosome:Graimondii2_0_v6:5:52259034:52261982:-1 gene:B456_005G178300 transcript:KJB31129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTKITIKFLCSYGGKIVPRYPDGKLRYYGGETRVLAVDRSIPFSELLVKMGEMYGSAVSLRCQLPTEDLDALVSITSDEDLANLIEEYDRVASPPSSIKIRAFLSPPRSTKKDASPPSSSASSSKSSSTSTPRYSCIRQISRTPVAYPLCSEKSAGKMIPYYGYHGHHGNPSHIYLIHSGNYWQ >KJB31131 pep chromosome:Graimondii2_0_v6:5:52260934:52261946:-1 gene:B456_005G178300 transcript:KJB31131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTKITIKFLCSYGGKIVPRYPDGKLRYYGGETRVLAVDRSIPFSELLVKMGEMYGSAVSLRCQLPTEDLDALVSITSDEDLANLIEEYDRVASPPSSIKIRAFLSPPRSTKKDASPPSSSASSSKSSSTSTPRYSCIRQISRTPVAYPLCSEKSAGKMIPYYGYHGHHGNPSHIYLIHSGNYWQ >KJB31128 pep chromosome:Graimondii2_0_v6:5:52261138:52261843:-1 gene:B456_005G178300 transcript:KJB31128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTKITIKFLCSYGGKIVPRYPDGKLRYYGGETRVLAVDRSIPFSELLVKMGEMYGSAVSLRCQLPTEDLDALVSITSDEDLANLIEEYDRVASPPSSIKIRAFLSPPRSTKKDASPPSSSASSSKSSSTSTPRYSCIRQISRTPVAYPLCSEKSAGKMIPYYGYHGHHGNPSHIYLIHSGNYWQ >KJB31133 pep chromosome:Graimondii2_0_v6:5:52259034:52262007:-1 gene:B456_005G178300 transcript:KJB31133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTKITIKFLCSYGGKIVPRYPDGKLRYYGGETRVLAVDRSIPFSELLVKMGEMYGSAVSLRCQLPTEDLDALVSITSDEDLANLIEEYDRVASPPSSIKIRAFLSPPRSTKKDASPPSSSASSSKSSSTSTPRYSCIRQISRTPVAYPLCSEKSAGKMIPYYGYHGHHGNPSHIYLIHSGNYWQ >KJB28504 pep chromosome:Graimondii2_0_v6:5:5159074:5162433:1 gene:B456_005G051900 transcript:KJB28504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSDESVWWAQSMFFSNEKDWINKRGFHIRGGFQKMMRCIWSGQQLRVDDTNASSESLATRDYSVSGYSSRAGEMVETKADSSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEGALHVFEGIDVSAVTSKMKVTLSRRCEQNRRRSESEAAPAMSMHAISLLLEAIFLKAKSLQHLGRFGEAAQSCKIILETVESALPDGLPDFSTDCKLQEILNKAVELLPELWKLAGDPQEAILSYRRALLYYWNLDTGTKAKLEKEFAVLLLYSGAEASPLTLRAQMEGSFVPRNNVEEAILLLLILLRKFLLKRIGWDPSILDHLAFALSVSGELRALAHQVEELQPEIMERKEQYRTLALCYYGEGEEIIALNLLRNLLNSRDNPDCVLELLLASKICGENMNYIEEGTTWARKALVGLNGRCWQMVSKANCLLGVLLSAQSRMVSSDSQRNLQQSKTIEVLETAEKLMKESDPYIVFHICLENAEQRKLDVALHYAKQLLKLEAGSNVKGYVLLARILSAQKRFVDAETVINAALNQTGKWDQGELLRTKAKLQIAQGQLKNAVETYTHLLAILQVQHKSTGNGKKLLKTKGKWDRGLEMETWHDLANVYISLSQWQDAEVCLSKSKAISPFSATRWYSTGKHVLFSSTCQ >KJB28505 pep chromosome:Graimondii2_0_v6:5:5158849:5163143:1 gene:B456_005G051900 transcript:KJB28505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKDWINKRGFHIRGGFQKMMRCIWSGQQLRVDDTNASSESLATRDYSVSGYSSRAGEMVETKADSSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEGALHVFEGIDVSAVTSKMKVTLSRRCEQNRRRSESEAAPAMSMHAISLLLEAIFLKAKSLQHLGRFGEAAQSCKIILETVESALPDGLPDFSTDCKLQEILNKAVELLPELWKLAGDPQEAILSYRRALLYYWNLDTGTKAKLEKEFAVLLLYSGAEASPLTLRAQMEGSFVPRNNVEEAILLLLILLRKFLLKRIGWDPSILDHLAFALSVSGELRALAHQVEELQPEIMERKEQYRTLALCYYGEGEEIIALNLLRNLLNSRDNPDCVLELLLASKICGENMNYIEEGTTWARKALVGLNGRCWQMVSKANCLLGVLLSAQSRMVSSDSQRNLQQSKTIEVLETAEKLMKESDPYIVFHICLENAEQRKLDVALHYAKQLLKLEAGSNVKGYVLLARILSAQKRFVDAETVINAALNQTGKWDQGELLRTKAKLQIAQGQLKNAVETYTHLLAILQVQHKSTGNGKKLLKTKGKWDRGLEMETWHDLANVYISLSQWQDAEVCLSKSKAISPFSATRWYSTGILNEARGLHQEALRSYKKALDVNPTHVPSLISTACILRQLGGQSMPIVRSFLMDALRLDRTNPAAWYNLGLLYKADVSASALEAAECFEAAAVLEESAPIEPFR >KJB28503 pep chromosome:Graimondii2_0_v6:5:5159195:5163143:1 gene:B456_005G051900 transcript:KJB28503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKDWINKRGFHIRGGFQKMMRCIWSGQQLRVDDTNASSESLATRDYSVSGYSSRAGEMVETKADSSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEGALHVFEGIDVSAVTSKMKVTLSRRCEQNRRRSESEAAPAMSMHAISLLLEAIFLKAKSLQHLGRFGEAAQSCKIILETVESALPDGLPDFSTDCKLQEILNKAVELLPELWKLAGDPQEAILSYRRALLYYWNLDTGTKAKLEKEFAVLLLYSGAEASPLTLRAQMEGSFVPRNNVEEAILLLLILLRKFLLKRIGWDPSILDHLAFALSVSGELRALAHQVEELQPEIMERKEQYRTLALCYYGEGEEIIALNLLRNLLNSRDNPDCVLELLLASKICGENMNYIEEGTTWARKALVGLNGRCWQMVSKANCLLGVLLSAQSRMVSSDSQRNLQQSKTIEVLETAEKLMKESDPYIVFHICLENAEQRKLDVALHYAKQLLKLEAGSNVKGYVLLARILSAQKRFVDAETVINAALNQTGKWDQGELLRTKAKLQIAQGQLKNAVETYTHLLAILQVQHKSTGNGKKLLKTKGKWDRGLEMETWHDLANVYISLSQWQDAEVCLSKSKAISPFSATRWYSTGILNEARGLHQEALRSYKKALDVNPTHVPSLISTACILRQLGGQSMPIVRSFLMDALRLDRTNPAAWYNLGLLYKADVSASALEAAECFEAAAVLEESAPIEPFR >KJB29298 pep chromosome:Graimondii2_0_v6:5:12766298:12767637:-1 gene:B456_005G092700 transcript:KJB29298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLVELKFQFWICVPISEIKKAQSQFERSKLKTQFCCMMASSVVVSSPSSSLISDYIGSESCLHLDNNEGPQGGGGGGVVDCSQSRGKREQRLETIIKREIPPPIPSLARNNNLPCPMPWVLKRYYTSDGRLILKEEKVRFNDYIRAHRSNGRLTLHLVSFNEN >KJB32088 pep chromosome:Graimondii2_0_v6:5:60537733:60541831:1 gene:B456_005G222800 transcript:KJB32088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFYFISLPLTMGMVILTLKYFSSPDVPNYVLFTVGYTWFCSFSIIILVPADIWTTIFGNYSGGISFFWSLTYWSTFLLTWVVVPTIQGYEDAGDFTMVERLKTSLHENLVYYLCVGSIALVGLILFIIFSKNWHVSGDILGFAMACSNTFGLVTGAFLLGFGLSEIPKGIWKNVDWTFRHKVLSHKVAKMAVKLDDAHQEFSSAIVVAQATLNQITNHDPLRPYMNIIDNILYQMLKEDPSFKPQGGRFGENDMDYNTDHKSMAMLRRRLRIAREEYCRYRSEYSSFVLEALKLEDTVRNYERRNITGWKFVSSIKPERTGKLGPSFDMMEFIWRCVVRKQLEKLLAIILGCISAAILLAEATILPAGVDLSLFSILINSVGKQEMLVQVAAFVPLLYMCICTYFSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRIPDNRKTIFEKRMGNIDDAVPFFGKGFNKIYPLIMVIYTLLHVTNFFDRVIDYFGNWRIFKFQNQDDDADGFDSSGLIILQKERAWLERGHRVGEHIIPLARNFSSMNVDIEPGSNNTMASAVIGTMSQFKPLNEEVQHVTSRETITKKHSSTREHQNKQASNTKSTLEESTSFKSVMRPKSEKLSSKWELMKSGLVNFKSILEVNNYLPLRQTEENTLSSIASSSESLDDIFQRLKRPTLDLRDYGADNDRMF >KJB27996 pep chromosome:Graimondii2_0_v6:5:1702095:1708836:-1 gene:B456_005G020700 transcript:KJB27996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKRSDQADRPVTRPLRSSDRLRRRPKVYGRPYLYYTPTIIRNKKSRTKTRTAASRIAKMLRSGDRPVRTSNGSSGTANLRRSSRKRRVSVNLVGYTESSGSGDEDMMRPYRPRRNRVANSVSQDESPSPKQKTTSDTKEAPRREGLRPRRSKTVKQINLGYDDEQSTSEEKVGEDETENGNDLDDEAADDDQNDAEDEGDEEAEGEDEEEEEDEGDDEEGEEEQEGRRRYDLRNRADVRRLSMDESKQRSRSPRRVLRQGMGTKVSRDVRKGGSRVHKRHRLTRAEDSDDSILVDELDQGPAIPWGRGGSRSGPPWLFGGLDMHGTTAWGLNVAASGWGNQSDPFATLTSGIQTAGPSSKGGADIQPLQVDESVSFDEIGGLSEYVDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALASAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKQPPSKELKMELAASCVGYCGADLKALCTEAAIHAFREKYPQVYTSDDKYLIDVDSVKVEKYHFIEAMSTITPASQRGSIVHSRPLSLVVAPCLQRHLQKSMNHISDIFPPLTVSSELTKLSILSYGSAIPLVYRPRLLLCGGDGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTSEEAVVHIFSEARRTTPSILYIPQFNLWWDNAYEQLRAVLLTLLEELPSDLPILLLGTSSSSLAELDGNPYSVFPQRSVYQLDKPSIEDRSLFFDGLIEAALSVLLEAMTKKSKEPKSLPELPKVPKVASGPKVSELKAKVEAEQHAIRRLRMCLRDVCNRILYDKRFSAFHYPVTDEDAPNYRSIIQNPMDVATLLQRVDSGQYLTCSAFVQDVDLIVTNAKAYNGDDYNGARIVSRACELRDAVHGMLSQMDPALVAYCDKIAAQGGPAHMPDDLGLPPAVPVVQLGTSTRASARLRNVQPEADLQSYEALKRPKKNADTALAGIFISICID >KJB27991 pep chromosome:Graimondii2_0_v6:5:1701049:1709261:-1 gene:B456_005G020700 transcript:KJB27991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKRSDQADRPVTRPLRSSDRLRRRPKVYGRPYLYYTPTIIRNKKSRTKTRTAASRIAKMLRSGDRPVRTSNGSSGTANLRRSSRKRRVSVNLVGYTESSGSGDEDMMRPYRPRRNRVANSVSQDESPSPKQKTTSDTKEAPRREGLRPRRSKTVKQINLGYDDEQSTSEEKVGEDETENGNDLDDEAADDDQNDAEDEGDEEAEGEDEEEEEDEGDDEEGEEEQEGRRRYDLRNRADVRRLSMDESKQRSRSPRRVLRQGMGTKVSRDVRKGGSRVHKRHRLTRAEDSDDSILVDELDQGPAIPWGRGGSRSGPPWLFGGLDMHGTTAWGLNVAASGWGNQSDPFATLTSGIQTAGPSSKGGADIQPLQVDESVSFDEIGGLSEYVDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALASAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKQPPSKELKMELAASCVGYCGADLKALCTEAAIHAFREKYPQVYTSDDKYLIDVDSVKVEKYHFIEAMSTITPASQRGSIVHSRPLSLVVAPCLQRHLQKSMNHISDIFPPLTVSSELTKLSILSYGSAIPLVYRPRLLLCGGDGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTSEEAVVHIFSEARRTTPSILYIPQFNLWWDNAYEQLRAVLLTLLEELPSDLPILLLGTSSSSLAELDGNPYSVFPQRSVYQLDKPSIEDRSLFFDGLIEAALSVLLEAMTKKSKEPKSLPELPKVPKVASGPKVSELKAKVEAEQHAIRRLRMCLRDVCNRILYDKRFSAFHYPVTDEDAPNYRSIIQNPMDVATLLQRVDSGQYLTCSAFVQDVDLIVTNAKAYNGDDYNGARIVSRACELRDAVHGMLSQMDPALVAYCDKIAAQGGPAHMPDDLGLPPAVPVVQLGTSTRASARLRNVQPEADLQSYEALKRPKKNADTALAEDKSQASDSVQMKPSQTLEVKEINCERDEPTLGDGKQQETSTEANGSQDTIMSDGEISTQAELVKKVLVERTGNYGIPELERLYSRIMKGIFESRVGDDDDPKPSVLEFLLKFAEDDANFSSL >KJB27997 pep chromosome:Graimondii2_0_v6:5:1703295:1710680:-1 gene:B456_005G020700 transcript:KJB27997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKRSDQADRPVTRPLRSSDRLRRRPKVYGRPYLYYTPTIIRNKKSRTKTRTAASRIAKMLRSGDRPVRTSNGSSGTANLRRSSRKRRVSVNLVGYTESSGSGDEDMMRPYRPRRNRVANSVSQDESPSPKQKTTSDTKEAPRREGLRPRRSKTVKQINLGYDDEQSTSEEKVGEDETENGNDLDDEAADDDQNDAEDEGDEEAEGEDEEEEEDEGDDEEGEEEQEGRRRYDLRNRADVRRLSMDESKQRSRSPRRVLRQGMGTKVSRDVRKGGSRVHKRHRLTRAEDSDDSILVDELDQGPAIPWGRGGSRSGPPWLFGGLDMHGTTAWGLNVAASGWGNQSDPFATLTSGIQTAGPSSKGGADIQPLQVDESVSFDEIGGLSEYVDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALASAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKQPPSKELKMELAASCVGYCGADLKALCTEAAIHAFREKYPQVYTSDDKYLIDVDSVKVEKYHFIEAMSTITPASQRGSIVHSRPLSLVVAPCLQRHLQKSMNHISDIFPPLTVSSELTKLSILSYGSAIPLVYRPRLLLCGGDGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTSEEAVVHIFSEARRTTPSILYIPQFNLWWDNAYEQLRAVLLTLLEELPSDLPILLLGTSSSSLAELDGNPYSVFPQRSVYQLDKPSIEDRSLFFDGLIEAALSVLLEAMTKKSKEPKSLPELPKVPKVASGPKVSELKAKVEAEQHAIRRLRMCLRDVCNRILYDKRFSAFHYPVTDEDAPNYRSIIQNPMDVATLLQRVDSGQYLTCSAFVQDVDLIVTNAKVWVC >KJB27995 pep chromosome:Graimondii2_0_v6:5:1701049:1710577:-1 gene:B456_005G020700 transcript:KJB27995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKRSDQADRPVTRPLRSSDRLRRRPKVYGRPYLYYTPTIIRNKKSRTKTRTAASRIAKMLRSGDRPVRTSNGSSGTANLRRSSRKRRVSVNLVGYTESSGSGDEDMMRPYRPRRNRVANSVSQDESPSPKQKTTSDTKEAPRREGLRPRRSKTVKQINLGYDDEQSTSEEKVGEDETENGNDLDDEAADDDQNDAEDEGDEEAEGEDEEEEEDEGDDEEGEEEQEGRRRYDLRNRADVRRLSMDESKQRSRSPRRVLRQGMGTKVSRDVRKGGSRVHKRHRLTRAEDSDDSILVDELDQGPAIPWGRGGSRSGPPWLFGGLDMHGTTAWGLNVAASGWGNQSDPFATLTSGIQTAGPSSKGGADIQPLQVDESVSFDEIGGLSEYVDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALASAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKQPPSKELKMELAASCVGYCGADLKALCTEAAIHAFREKYPQVYTSDDKYLIDVDSVKVEKYHFIEAMSTITPASQRGSIVHSRPLSLVVAPCLQRHLQKSMNHISDIFPPLTVSSELTKLSILSYGSAIPLVYRPRLLLCGGDGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTSEEAVVHIFSEARRTTPSILYIPQFNLWWDNAYEQLRAVLLTLLEELPSDLPILLLGTSSSSLAELDGNPYSVFPQRSVYQLDKPSIEDRSLFFDGLIEAALSVLLEAMTKKSKEPKSLPELPKVPKVASGPKVSELKAKVEAEQHAIRRLRMCLRDVCNRILYDKRFSAFHYPVTDEDAPNYRSIIQNPMDVATLLQRVDSGQYLTCSAFVQDVDLIVTNAKAYNGDDYNGARIVSRACELRDAVHGMLSQMDPALVAYCDKIAAQGGPAHMPDDLGLPPAVPVVQLGTSTRASARLRNVQPEADLQSYEALKRPKKNADTALAEDKSQASDSVQMKPSQTLEVKEINCERDEPTLGDGKQQETSTEANGSQDTIMSDGEISTQAELVKKVLVERTGNYGIPELERLYSRIMKGIFESRVGDDDDPKPSVLEFLLKFAEDDANFSSL >KJB27992 pep chromosome:Graimondii2_0_v6:5:1700999:1708890:-1 gene:B456_005G020700 transcript:KJB27992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKRSDQADRPVTRPLRSSDRLRRRPKVYGRPYLYYTPTIIRNKKSRTKTRTAASRIAKMLRSGDRPVRTSNGSSGTANLRRSSRKRRVSVNLVGYTESSGSGDEDMMRPYRPRRNRVANSVSQDESPSPKQKTTSDTKEAPRREGLRPRRSKTVKQINLGYDDEQSTSEEKVGEDETENGNDLDDEAADDDQNDAEDEGDEEAEGEDEEEEEDEGDDEEGEEEQEGRRRYDLRNRADVRRLSMDESKQRSRSPRRVLRQGMGTKVSRDVRKGGSRVHKRHRLTRAEDSDDSILVDELDQGPAIPWGRGGSRSGPPWLFGGLDMHGTTAWGLNVAASGWGNQSDPFATLTSGIQTAGPSSKGGADIQPLQVDESVSFDEIGGLSEYVDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALASAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKQPPSKELKMELAASCVGYCGADLKALCTEAAIHAFREKYPQVYTSDDKYLIDVDSVKVEKYHFIEAMSTITPASQRGSIVHSRPLSLVVAPCLQRHLQKSMNHISDIFPPLTVSSELTKLSILSYGSAIPLVYRPRLLLCGGDGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTSEEAVVHIFSEARRTTPSILYIPQFNLWWDNAYEQLRAVLLTLLEELPSDLPILLLGTSSSSLAELDGNPYSVFPQRSVYQLDKPSIEDRSLFFDGLIEAALSVLLEAMTKKSKEPKSLPELPKVPKVASGPKVSELKAKVEAEQHAIRRLRMCLRDVCNRILYDKRFSAFHYPVTDEDAPNYRSIIQNPMDVATLLQRVDSGQYLTCSAFVQDVDLIVTNAKAYNGDDYNGARIVSRACELRDAVHGMLSQMDPALVAYCDKIAAQGGPAHMPDDLGLPPAVPVVQLGTSTRASARLRNVQPEADLQSYEALKRPKKNADTALAEDKSQASDSVQMKPSQTLEVKEINCERDEPTLGDGKQQETSTEANGSQDTIMSDGEISTQAELVKKVLVERTGNYGIPELERLYSRIMKGIFESRVGDDDDPKPSVLEFLLKFAEDDANFSSL >KJB27994 pep chromosome:Graimondii2_0_v6:5:1700999:1710680:-1 gene:B456_005G020700 transcript:KJB27994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKRSDQADRPVTRPLRSSDRLRRRPKVYGRPYLYYTPTIIRNKKSRTKTRTAASRIAKMLRSGDRPVRTSNGSSGTANLRRSSRKRRVSVNLVGYTESSGSGDEDMMRPYRPRRNRVANSVSQDESPSPKQKTTSDTKEAPRREGLRPRRSKTVKQINLGYDDEQSTSEEKVGEDETENGNDLDDEAADDDQNDAEDEGDEEAEGEDEEEEEDEGDDEEGEEEQEGRRRYDLRNRADVRRLSMDESKQRSRSPRRVLRQGMGTKVSRDVRKGGSRVHKRHRLTRAEDSDDSILVDELDQGPAIPWGRGGSRSGPPWLFGGLDMHGTTAWGLNVAASGWGNQSDPFATLTSGIQTAGPSSKGGADIQPLQVDESVSFDEIGGLSEYVDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALASAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKQPPSKELKMELAASCVGYCGADLKALCTEAAIHAFREKYPQVYTSDDKYLIDVDSVKVEKYHFIEAMSTITPASQRGSIVHSRPLSLVVAPCLQRHLQKSMNHISDIFPPLTVSSELTKLSILSYGSAIPLVYRPRLLLCGGDGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTSEEAVVHIFSEARRTTPSILYIPQFNLWWDNAYEQLRAVLLTLLEELPSDLPILLLGTSSSSLAELDGNPYSVFPQRSVYQLDKPSIEDRSLFFDGLIEAALSVLLEAMTKKSKEPKSLPELPKVPKVASGPKVSELKAKVEAEQHAIRRLRMCLRDVCNRILYDKRFSAFHYPVTDEDAPNYRSIIQNPMDVATLLQRVDSGQYLTCSAFVQDVDLIVTNAKAYNGDDYNGARIVSRACELRDAVHGMLSQMDPALVAYCDKIAAQGGPAHMPDDLGLPPAVPVVQLGTSTRASARLRNVQPEADLQSYEALKRPKKNADTALAEDKSQASDSVQMKPSQTLEVKEINCERDEPTLGDGKQQETSTEANGSQDTIMSDGEISTQAELVKKVLVERTGNYGIPELERLYSRIMKGIFESRVGDDDDPKPSVLEFLLKFAEDDANFSSL >KJB27990 pep chromosome:Graimondii2_0_v6:5:1701049:1710680:-1 gene:B456_005G020700 transcript:KJB27990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKRSDQADRPVTRPLRSSDRLRRRPKVYGRPYLYYTPTIIRNKKSRTKTRTAASRIAKMLRSGDRPVRTSNGSSGTANLRRSSRKRRVSVNLVGYTESSGSGDEDMMRPYRPRRNRVANSVSQDESPSPKQKTTSDTKEAPRREGLRPRRSKTVKQINLGYDDEQSTSEEKVGEDETENGNDLDDEAADDDQNDAEDEGDEEAEGEDEEEEEDEGDDEEGEEEQEGRRRYDLRNRADVRRLSMDESKQRSRSPRRVLRQGMGTKVSRDVRKGGSRVHKRHRLTRAEDSDDSILVDELDQGPAIPWGRGGSRSGPPWLFGGLDMHGTTAWGLNVAASGWGNQSDPFATLTSGIQTAGPSSKGGADIQPLQVDESVSFDEIGGLSEYVDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALASAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKQPPSKELKMELAASCVGYCGADLKALCTEAAIHAFREKYPQVYTSDDKYLIDVDSVKVEKYHFIEAMSTITPASQRGSIVHSRPLSLVVAPCLQRHLQKSMNHISDIFPPLTVSSELTKLSILSYGSAIPLVYRPRLLLCGGDGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTSEEAVVHIFSEARRTTPSILYIPQFNLWWDNAYEQLRAVLLTLLEELPSDLPILLLGTSSSSLAELDGNPYSVFPQRSVYQLDKPSIEDRSLFFDGLIEAALSVLLEAMTKKSKEPKSLPELPKVPKVASGPKVSELKAKVEAEQHAIRRLRMCLRDVCNRILYDKRFSAFHYPVTDEDAPNYRSIIQNPMDVATLLQRVDSGQYLTCSAFVQDVDLIVTNAKAYNGDDYNGARIVSRACELRDAVHGMLSQMDPALVAYCDKIAAQGGPAHMPDDLGLPPAVPVVQLGTSTRASARLRNVQPEADLQSYEALKRPKKNADTALAAEDKSQASDSVQMKPSQTLEVKEINCERDEPTLGDGKQQETSTEANGSQDTIMSDGEISTQAELVKKVLVERTGNYGIPELERLYSRIMKGIFESRVGDDDDPKPSVLEFLLKFAEDDANFSSL >KJB27993 pep chromosome:Graimondii2_0_v6:5:1701062:1708836:-1 gene:B456_005G020700 transcript:KJB27993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKRSDQADRPVTRPLRSSDRLRRRPKVYGRPYLYYTPTIIRNKKSRTKTRTAASRIAKMLRSGDRPVRTSNGSSGTANLRRSSRKRRVSVNLVGYTESSGSGDEDMMRPYRPRRNRVANSVSQDESPSPKQKTTSDTKEAPRREGLRPRRSKTVKQINLGYDDEQSTSEEKVGEDETENGNDLDDEAADDDQNDAEDEGDEEAEGEDEEEEEDEGDDEEGEEEQEGRRRYDLRNRADVRRLSMDESKQRSRSPRRVLRQGMGTKVSRDVRKGGSRVHKRHRLTRAEDSDDSILVDELDQGPAIPWGRGGSRSGPPWLFGGLDMHGTTAWGLNVAASGWGNQSDPFATLTSGIQTAGPSSKGGADIQPLQVDESVSFDEIGGLSEYVDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALASAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKQPPSKELKMELAASCVGYCGADLKALCTEAAIHAFREKYPQVYTSDDKYLIDVDSVKVEKYHFIEAMSTITPASQRGSIVHSRPLSLVVAPCLQRHLQKSMNHISDIFPPLTVSSELTKLSILSYGSAIPLVYRPRLLLCGGDGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTSEEAVVHIFSEARRTTPSILYIPQFNLWWDNAYEQLRAVLLTLLEELPSDLPILLLGTSSSSLAELDGNPYSVFPQRSVYQLDKPSIEDRSLFFDGLIEAALSVLLEAMTKKSKEPKSLPELPKVPKVASGPKVSELKAKVEAEQHAIRRLRMCLRDVCNRILYDKRFSAFHYPVTDEDAPNYRSIIQNPMDVATLLQRVDSGQYLTCSAFVQDVDLIVTNAKAYNGDDYNGARIVSRACELRDAVHGMLSQMDPALVAYCDKIAAQGGPAHMPDDLGLPPAVPVVQLGTSTRASARLRNVQPEADLQSYEALKRPKKNADTALAAEDKSQASDSVQMKPSQTLEVKEINCERDEPTLGDGKQQETSTEANGSQDTIMSDGEISTQAELVKKVLVERTGNYGIPELERLYSRIMKGIFESRVGDDDDPKPSVLEFLLKFAEDDANFSSL >KJB29147 pep chromosome:Graimondii2_0_v6:5:11187398:11192619:-1 gene:B456_005G087000 transcript:KJB29147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEFFVGESGSNSTTGLTEFSDGDEEGREQEEEERKSKSDSDVRVGVEKYKVCDKSKVDYIPCLDNKKAIKLLSKSEKGEKYERHCPGKDEMLDCVIPRPEGYQRSIPWPQSRDEVWFSNMPHTRLVEDKGGQNWISIKKDKFIFPGGGTQFIHGADQYLNQISQMVPEISFGHRVRVALDIGCGVASFGAFLLQRNVTTLSIAPKDVHENQIQFALERGVPAMVVVFATHRLLYPSQAFDLIHCSRCRINWTRDEILQEQWKEMEDLTARICWELVKKEGYIAIWRKPSNNSCYLNRDTGVLPLLCNSNDNSDNVWYVDLRACITQLPVNGYGSNEIFRAESKYWNEIIDSYVRAFHWKDLKLRNVMDMRAGLGGMEKYVCAALHDLQIDCWVMNVVPVSGFNTLPIIYDRGLIGVMHDWCEPFDTYPRTYDPLHAAGLFSVEKKRCNMSTIMLEMDRILRPGGRVYIRDSISVMGELQEIATAMGWVAMLHETGEGPHASWKILISEKRM >KJB30689 pep chromosome:Graimondii2_0_v6:5:45261378:45265868:1 gene:B456_005G158000 transcript:KJB30689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT1G14570) UniProtKB/Swiss-Prot;Acc:Q94JZ8] MEGMLSASDKQSMVSSFLEIAVGQTAETAMQFLQATSWKLDEALQLFYVGNEGGVVGTASESPAVENVDSWVDQNSGELKESGNSDVGPIGGEEVRPPLPVVRETLYDDASLYGASRLGLPPQQSSSLIAFRNFDEEMKRPGVWESDEGASSTVDAPRDNLASLYRPPFHLMFQGPFEKAKAAASVEDKWLLVNLQSTKEFSSHMVYDDTSEGRKVCTYYKLDSIPVVLVIDPITGQKMRSWFGMVQPESLLEDLVQFMDGGPRDYHATLSHKRPRGSSVTPQQKIKVSTDETNEDKEMLRAIAASMENAKESIKTTEDDKVSSTTEEEPCLTKKPAYPPLPEEPKGDRNLLCRVGVRLPDGRRVQRNFLRTDPIQLLWSFCYSQLGEAESKPFRLTQPIPGASKSLDYDSKLTFEESGLANSMILVAWE >KJB30691 pep chromosome:Graimondii2_0_v6:5:45261197:45265868:1 gene:B456_005G158000 transcript:KJB30691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT1G14570) UniProtKB/Swiss-Prot;Acc:Q94JZ8] MEGMLSASDKQSMVSSFLEIAVGQTAETAMQFLQATSWKLDEALQLFYVGNEGGVVGTASESPAVENVDSWVDQNSGELKESGNSDVGPIGGEEVRPPLPVVRETLYDDASLYGASRLGLPPQQSSSLIAFRNFDEEMKRPGVWESDEGASSTVDAPRDNLASLYRPPFHLMFQGPFEKAKAAASVEDKWLLVNLQSTKEFSSHMLNRDTWGNEAVSQTVSTNFIFWQVYDDTSEGRKVCTYYKLDSIPVVLVIDPITGQKMRSWFGMVQPESLLEDLVQFMDGGPRDYHATLSHKRPRGSSVTPQQKIKVSTDETNEDKEMLRAIAASMENAKESIKTTEDDKVSSTTEEEPCLTKKPAYPPLPEEPKGDRNLLCRVGVRLPDGRRVQRNFLRTDPIQLLWSFCYSQLGEAESKPFRLTQPIPGASKSLDYDSKLTFEESGLANSMILVAWE >KJB30687 pep chromosome:Graimondii2_0_v6:5:45261378:45265937:1 gene:B456_005G158000 transcript:KJB30687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT1G14570) UniProtKB/Swiss-Prot;Acc:Q94JZ8] MEGMLSASDKQSMVSSFLEIAVGQTAETAMQFLQATSWKLDEALQLFYVGNEGGVVGTASESPAVENVDSWVDQNSGELKESGNSDVGPIGGEEVRPPLPVVRETLYDDASLYGASRLGLPPQQSSSLIAFRNFDEEMKRPGVWESDEGASSTVDAPRDNLASLYRPPFHLMFQGPFEKAKAAASVEDKWLLVNLQSTKEFSSHMLNRDTWGNEAVSQTVSTNFIFWQVYDDTSEGRKVCTYYKLDSIPVVLVIDPITGQKMRSWFGMVQPESLLEDLVQFMDGGPRDYHATLSHKRPRGSSVTPQQKIKVSTDETNEDKEMLRAIAASMENAKESIKTTEDDKVSSTTEEEPCLTKKPAYPPLPEEPKGDRNLLCRVGVRLPDGRRVQRNFLRTDPIQLLWSFCYSQLGEAESKPFRLTQPIPGASKSLDYDSKLTFEESGLANSMILVAWE >KJB30690 pep chromosome:Graimondii2_0_v6:5:45261378:45265868:1 gene:B456_005G158000 transcript:KJB30690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT1G14570) UniProtKB/Swiss-Prot;Acc:Q94JZ8] MEGMLSASDKQSMVSSFLEIAVGQTAETAMQFLQATSWKLDEALQLFYVGNEGGVVGTASESPAVENVDSWVDQNSGELKESGNSDVGPIGGEEVRPPLPVVRETLYDDASLYGASRLGLPPQQSSSLIAFRNFDEEMKRPGVWESDEGASSTVDAPRDNLASLYRPPFHLMFQGPFEKAKAAASVEDKWLLVNLQSTKEFSSHMLNRDTWGNEAVSQTVSTNFIFWQVYDDTSEGRKVCTYYKLDSIPVVLVIDPITGQKMRSWFGMVQPESLLEDLVQFMDGGPRDYHATLSHKRPRGSSVTPQQKIKDETNEDKEMLRAIAASMENAKESIKTTEDDKVSSTTEEEPCLTKKPAYPPLPEEPKGDRNLLCRVGVRLPDGRRVQRNFLRTDPIQLLWSFCYSQLGEAESKPFRLTQPIPGASKSLDYDSKLTFEESGLANSMILVAWE >KJB30688 pep chromosome:Graimondii2_0_v6:5:45261610:45264772:1 gene:B456_005G158000 transcript:KJB30688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT1G14570) UniProtKB/Swiss-Prot;Acc:Q94JZ8] MEGMLSASDKQSMVSSFLEIAVGQTAETAMQFLQATSWKLDEALQLFYVGNEGGVVGTASESPAVENVDSWVDQNSGELKESGNSDVGPIGGEEVRPPLPVVRETLYDDASLYGASRLGLPPQQSSSLIAFRNFDEEMKRPGVWESDEGASSTVDAPRDNLASLYRPPFHLMFQGPFEKAKAAASVEDKWLLVNLQSTKEFSSHMLNRDTWGNEAVSQTVSTNFIFWQVYDDTSEGRKVCTYYKLDSIPVVLVIDPITGQKMRSWFGMVQPESLLEDLVQFMDGGPRDYHATLSHKRPRGSSVTPQQKIKVSTDETNEDKEMLRAIAASMENAKESIKTTEDDKVSSTTEEEPCLTKKPAYPPLPEEPKGDRNLLCRVGVRLPDGRRVQRNFLRTDPIQVSILWGVFL >KJB29537 pep chromosome:Graimondii2_0_v6:5:18837576:18839210:-1 gene:B456_005G106100 transcript:KJB29537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPQHFHFVLLLSTVVVALAAIANAAAATSITPRFKEAPSFYNSPDCPLIIDENHGFSILFSDQAVHVAMTLDTAYIRGTVAAILSILQHSSCPQNIAFHFVYYERAILRRATISSSFPHLNFLVYPFYDSSVSRLLSTSIRSALDCPLNYARSYLANLLPSCVHRVVYLDSDLVLIDDIAKLAATPLGDNSVLAAPEYCNANFTSYFTSTFWSNTYFSLTFANRKACYFNTGVMVIDLDRWREGDYTTKIEEWMEVQKRMRIYELGSLPPFLLVFAGNIVPVDRRWNQHGLGGDNFIGLCRDLHHGPVSLLHWSGKGKPWARLDANRPCPLDALWEPYDLLQPKTPFALDS >KJB29536 pep chromosome:Graimondii2_0_v6:5:18838079:18839140:-1 gene:B456_005G106100 transcript:KJB29536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPQHFHFVLLLSTVVVALAAIANAAAATSITPRFKEAPSFYNSPDCPLIIDENHGFSILFSDQAVHVAMTLDTAYIRGTVAAILSILQHSSCPQNIAFHFVYYERAILRRATISSSFPHLNFLVYPFYDSSVSRLLSTSIRSALDCPLNYARSYLANLLPSCVHRVVYLDSDLVLIDDIAKLAATPLGDNSVLAAPEYCNANFTSYFTSTFWSNTYFSLTFANRKACYFNTGVMVIDLDRWREGDYTTKIEEWMEVQKRMRIYELGSLPPFLLVFAGNIVPVDRRWNQHGLGGDNFIGLCRDLHHGPVSLLHWSGKGKPWARLDANRPCPLDALWEPYDLLQPKTPFALDS >KJB28605 pep chromosome:Graimondii2_0_v6:5:5931915:5933433:1 gene:B456_005G058000 transcript:KJB28605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVEDRISSFPDPILCHILSFLPIKEAVRTSIISTKWRYLFASISTIKFDGYSMSGLTDRNIDNFKNFVDRLLKSPDQVRLDCFRLSHEICSWNDGDHDFDISGWICAALCRGVKEIDLQLSYLEDILPAVLFTCGSLVTLKLDAVGHKFKFPSDVCLGNLKTLHFRDSFFGDYSILRLISNCHVLEDLAFIECDFYNTSVINIQTPSLKRFILDFDLGEFGDLKYVVVINAPNLIYFQCTDAVAQGYTLSTMKSLEKAHISICDCDTIDSQTIATHLIQVCNVRSLRLTINEIFRTSKLPIFHNLIEFEFLGRGFNGREIWLVEFLHRMPNLKTLTLNFPALEVPSCLSFHLKEIEISRFNTHMIDMVSYFLDNAIILEKLIISMDALTVTQKKKARNQLLQLVKSSKKCLKLVVIL >KJB30131 pep chromosome:Graimondii2_0_v6:5:32166830:32169747:-1 gene:B456_005G131100 transcript:KJB30131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFTCKLPLHSIFTACGHMSCFWCVYNAMNHVHESHCPICRCPYNHFPSVCQMLHFLLLKLYPVAYNRRERQVREEEKRAGHFSLQFDQNLVEPNLCENSDILRNNNTCAHLQMDGHSESCSNVLESSSFRDSPKTTMEDENGIMSNSESLEVDAVALNQGKTSLSNDSEHRDEKVVSVADLLCAACNRLLFRPVVLNCGHVYCETCFVIPKDEMLRCQVCKSLQPNGFPGVCLILHHFLAKQFPEEYSERLKEPNCTQAPQCANQLTSISSDVYSSWFFGNGPKVHVAVGCDYCGMSPIIGERYKCKDCVEKIGFDLCESCYKSPATIPGRFNQQHKPDHQFKIVQPLSIRDLMLRLNSEQSDDDDDGGSDASEHMDGASHTPGMQADESNGVSQEPEDISPLIFSVDVSLDQEDNSEDPSDNISSGLT >KJB30134 pep chromosome:Graimondii2_0_v6:5:32167698:32170884:-1 gene:B456_005G131100 transcript:KJB30134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEKTNINIDETLTETMKDECLEQHQQRKGEAEGEREATNDLPSEEFFDEFQCCICLELLYKPVVLACGHMSCFWCVYNAMNHVHESHCPICRCPYNHFPSVCQMLHFLLLKLYPVAYNRRERQVREEEKRAGHFSLQFDQNLVEPNLCENSDILRNNNTCAHLQMDGHSESCSNVLESSSFRDSPKTTMEDENGIMSNSESLEVDAVALNQGKTSLSNDSEHRDEKVVSVADLLCAACNRLLFRPVVLNCGHVYCETCFVIPKDEMLRCQVCKSLQPNGFPGVCLILHHFLAKQFPEEYSERLKEPNCSTQAQRMQTS >KJB30133 pep chromosome:Graimondii2_0_v6:5:32166625:32170920:-1 gene:B456_005G131100 transcript:KJB30133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEKTNINIDETLTETMKDECLEQHQQRKGEAEGEREATNDLPSEEFFDEFQCCICLELLYKPVVLACGHMSCFWCVYNAMNHVHESHCPICRCPYNHFPSVCQMLHFLLLKLYPVAYNRRERQVREEKRAGHFSLQFDQNLVEPNLCENSDILRNNNTCAHLQMDGHSESCSNVLESSSFRDSPKTTMEDENGIMSNSESLEVDAVALNQGKTSLSNDSEHRDEKVVSVADLLCAACNRLLFRPVVLNCGHVYCETCFVIPKDEMLRCQVCKSLQPNGFPGVCLILHHFLAKQFPEEYSERLKEPNCTQAPQCANQLTSISSDVYSSWFFGNGPKVHVAVGCDYCGMSPIIGERYKCKDCVEKIGFDLCESCYKSPATIPGRFNQQHKPDHQFKIVQPLSIRDLMLRLNSEQSDDDDDGGSDASEHMDGASHTPGMQADESNGVSQEPEDISPLIFSVDVSLDQEDNSEDPSDNISSGLT >KJB30137 pep chromosome:Graimondii2_0_v6:5:32166625:32171009:-1 gene:B456_005G131100 transcript:KJB30137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEKTNINIDETLTETMKDECLEQHQQRKGEAEGEREATNDLPSEEFFDEFQCCICLELLYKPVVLACGHMSCFWCVYNAMNHVHESHCPICRCPYNHFPSVCQMLHFLLLKLYPVAYNRRERQVREEEKRAGHFSLQFDQNLVEPNLCENSDILRNNNTCAHLQMDGHSESCSNVLESSSFRDSPKTTMEDENGIMSNSESLEVDAVALNQGKTSLSNDSEHRDEKVVSVADLLCAACNRLLFRPVVLNCGHVYCETCFVIPKDEMLRCQVCKSLQPNGFPGVCLILHHFLAKQFPEEYSERLKEPNCTQAPQCANQLTSISSDVYSSWFFGNGPKVHVAVGCDYCGMSPIIGERYKCKDCVEKIGFDLCESCYKSPATIPGRFNQQHKPDHQFKIVQPLSIRDLMLRLNSEQSDDDDDGGSDASEHMDGASHTPGMQADESNGVSQEPEDISPLIFSVDVSLDQEDNSEDPSDNISSGLT >KJB30135 pep chromosome:Graimondii2_0_v6:5:32167698:32170884:-1 gene:B456_005G131100 transcript:KJB30135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEKTNINIDETLTETMKDECLEQHQQRKGEAEGEREATNDLPSEEFFDEFQCCICLELLYKPVVLACGHMSCFWCVYNAMNHVHESHCPICRCPYNHFPSVCQMLHFLLLKLYPVAYNRRERQVRDILRNNNTCAHLQMDGHSESCSNVLESSSFRDSPKTTMEDENGIMSNSESLEVDAVALNQGKTSLSNDSEHRDEKVVSVADLLCAACNRLLFRPVVLNCGHVYCETCFVIPKDEMLRCQVCKSLQPNGFPGVCLILHHFLAKQFPEEYSERLKEPNCSTQAQRMQTS >KJB30136 pep chromosome:Graimondii2_0_v6:5:32166625:32170975:-1 gene:B456_005G131100 transcript:KJB30136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEKTNINIDETLTETMKDECLEQHQQRKGEAEGEREATNDLPSEEFFDEFQCCICLELLYKPVVLACGHMSCFWCVYNAMNHVHESHCPICRCPYNHFPSVCQMLHFLLLKLYPVAYNRRERQVRDILRNNNTCAHLQMDGHSESCSNVLESSSFRDSPKTTMEDENGIMSNSESLEVDAVALNQGKTSLSNDSEHRDEKVVSVADLLCAACNRLLFRPVVLNCGHVYCETCFVIPKDEMLRCQVCKSLQPNGFPGVCLILHHFLAKQFPEEYSERLKEPNCTQAPQCANQLTSISSDVYSSWFFGNGPKVHVAVGCDYCGMSPIIGERYKCKDCVEKIGFDLCESCYKSPATIPGRFNQQHKPDHQFKIVQPLSIRDLMLRLNSEQSDDDDDGGSDASEHMDGASHTPGMQADESNGVSQEPEDISPLIFSVDVSLDQEDNSEDPSDNISSGLT >KJB30132 pep chromosome:Graimondii2_0_v6:5:32166625:32169929:-1 gene:B456_005G131100 transcript:KJB30132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFTCKLPLHSIFTACGHMSCFWCVYNAMNHVHESHCPICRCPYNHFPSVCQMLHFLLLKLYPVAYNRRERQVREEEKRAGHFSLQFDQNLVEPNLCENSDILRNNNTCAHLQMDGHSESCSNVLESSSFRDSPKTTMEDENGIMSNSESLEVDAVALNQGKTSLSNDSEHRDEKVVSVADLLCAACNRLLFRPVVLNCGHVYCETCFVIPKDEMLRCQVCKSLQPNGFPGVCLILHHFLAKQFPEEYSERLKEPNCTQAPQCANQLTSISSDVYSSWFFGNGPKVHVAVGCDYCGMSPIIGERYKCKDCVEKIGFDLCESCYKSPATIPGRFNQQHKPDHQFKIVQPLSIRDLMLRLNSEQSDDDDDGGSDASEHMDGASHTPGMQADESNGVSQEPEDISPLIFSVDVSLDQEDNSEDPSDNISSGLT >KJB28027 pep chromosome:Graimondii2_0_v6:5:1910331:1915562:1 gene:B456_005G022700 transcript:KJB28027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMANTDPEGIDAVRMTWNVWPRTKVEASACVIPLAVSIAPIRSHPDIPTLPYSPLRCKSCSSALNAFARVDFTAKIWICPFCYQRNHFPPHYAMISDTNLPCELYPQYTTVQYSLQANPDPNNPSNVPQLPPVFVFVLDTCMIEEELGFVKSAMKQALGLLPEHALVGFVSFGTQAHAHELGFSDISKVYVFRGDKEISKEQVLEQLGLGSAGRRPTAGYPKGLQNGHSNTGVNRFLLPASDCEYTLNSLLDELQTDQWPVQPGNRASRCTGVALSVATGLLGACMPGTGARIIALVGGPCTEGPGTIVSKDLSEPVRSHKDLDKDAAPYFKKAVRFYDSLAKQLVSQGHVLDLFASALDQVGVAEMKVAVERTGGLVVLAESFGHSVFKGSFRRMFKDGEQSLGLCFNGLLEINCSKDIKIQGVIGPCTSLEKKGPNVSDTVIGEGNTSAWKMCGLDKSTCLTVLFDVSSTERSNAPGTANSQLYLQFLTSYQDPEGKRMLRVTTLTRQWVDSAVSSEELVHGFDQEAAAVVMARVTSLKMEMEDGFDATRWLDRNLIRVCSKFGEYRKDDASSFTLNPCFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENITNAAVMIQPSLISYSFNSLPQPALLDVASISADRILLLDAYFSIVIFHGMTIAQWRNMGYQSQPEHQAFSQLLQAPHVDAQMILQERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNSIDMAAGSDVIFTDDVSLQIFFEHLQKLAVQS >KJB28028 pep chromosome:Graimondii2_0_v6:5:1910540:1913485:1 gene:B456_005G022700 transcript:KJB28028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMANTDPEGIDAVRMTWNVWPRTKVEASACVIPLAVSIAPIRSHPDIPTLPYSPLRCKSCSSALNAFARVDFTAKIWICPFCYQRNHFPPHYAMISDTNLPCELYPQYTTVQYSLQANPDPNNPSNVPQLPPVFVFVLDTCMIEEELGFVKSAMKQALGLLPEHALVGFVSFGTQAHAHELGFSDISKVYVFRGDKEISKEQVLEQLGLGSAGRRPTAGYPKGLQNGHSNTGVNRFLLPASDCEYTLNSLLDELQTDQWPVQPGNRASRCTGVALSVATGLLGACMPGTGARIIALVGGPCTEGPGTIVSKDLSEPVRSHKDLDKDAAPYFKKAVRFYDSLAKQLVSQGHVLDLFASALDQVGVAEMKVAVERTGGLVVLAESFGHSVFKGSFRRMFKDGEQSLGLCFNGLLEINCSKDIKIQGVIGPCTSLEKKGPNVSDTVIGEGNTSAWKMCGLDKSTCLTVLFDVSSTERSNAPGTANSQLYLQFLTSYQDPEGKRMLRVTTLTRQWVDSAVSSEELVHGFDQEAAAVVMARVTSLKMEMEVI >KJB31102 pep chromosome:Graimondii2_0_v6:5:51623133:51625630:1 gene:B456_005G1760002 transcript:KJB31102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIPFLLLLSSLLIFINKLTTKRGKLPPGPPKLPILGNFHQLGPFPYRSTWQLSKKNGPIMLLQLGSTPTLVVSSAEAAKQVLKTHDLECCSRPPLTGPKRLTYNFQDVAFVPYGHYWREMRKICVAELFSMKRVQSFQSVREEEVDLLIESISESATSANPVDLSKCFFLLTASIILRIAFGKQFQGSQLDNHKLQKVVKLESSFHELDAVFQQVTDDHLVSRPTKHDEEDIVDGLLRMEKDQTQNDSIQLTKDHIKAILMDMLVSGIDTGAITMIWAMTELVRKPTAMKKAQNEIRSCIRKKGKLTENDASKLKYLKMIIKETLRLHPPVVLLVPRETMSQIKIGNKLELRFIDNPIDLKGQHFKLLPFGADQLALANLLYCFDWKLPSGMTEMDIDMEEEVSITVGKKFPLMLMPINYN >KJB31543 pep chromosome:Graimondii2_0_v6:5:56798682:56804634:1 gene:B456_005G195600 transcript:KJB31543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIVRQKMLGQSLLKIRPAISVLRSYSSAAKQMTVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLEKYGPERVLDTPITEAGFAGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQMSVPIVFRGPNGAAAGVGAQHSQCYASWYASCPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERKGKDVTITAFSKMVGYALKAAEILEKEGIDAEVINLRSIRPLDRSTINASVRKTNRLITVEEGFPQHGVGAEICASVVEESFAYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPLAAAA >KJB31537 pep chromosome:Graimondii2_0_v6:5:56798728:56804634:1 gene:B456_005G195600 transcript:KJB31537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIVRQKMLGQSLLKIRPAISVLRSYSSAAKQMTVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLEKYGPERVLDTPITEAGFAGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQMSVPIVFRGPNGAAAGVGAQHSQCYASWYASCPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERKGKDVTITAFSKMVGYALKAAEILEKEGIDAEVINLRSIRPLDRSTINASVRKTNRLITVEEGFPQHGVGAEICASVVEESFAYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPLAAAA >KJB31545 pep chromosome:Graimondii2_0_v6:5:56798756:56804634:1 gene:B456_005G195600 transcript:KJB31545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGQMSVPIVFRGPNGAAAGVGAQHSQCYASWYASCPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERKGKDVTITAFSKMVGYALKAAEILEKEGIDAEVINLRSIRPLDRSTINASVRKTNRLITVEEGFPQHGVGAEICASVVEESFAYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPLAAAA >KJB31540 pep chromosome:Graimondii2_0_v6:5:56799175:56803719:1 gene:B456_005G195600 transcript:KJB31540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIVRQKMLGQSLLKIRPAISVLRSYSSAAKQMTVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLEKYGPERVLDTPITEAGFAGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQMSVPIVFRGPNGAAAGVGAQHSQCYASWYASCPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERKGKDVTITAFSKMVGYALKAAEILEKEGIDAEVINLRSIRPLDRSTINASVRKTNRLITVEEGFPQHGVGAEIWFEFSL >KJB31544 pep chromosome:Graimondii2_0_v6:5:56798682:56804634:1 gene:B456_005G195600 transcript:KJB31544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIVRQKMLGQSLLKIRPAISVLRSYSSAAKQMTVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLEKYGPERVLDTPITEAGFAGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQMSVPIVFRGPNGAAAGVGAQHSQCYASWYASCPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERKGKDVTITAFSKMVGYALKAAEILEKEGIDAEVINLRSIRPLDRSTINASVRKTNRLITVEEGFPQHGVGAEICASVVEESFAYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPLAAAA >KJB31541 pep chromosome:Graimondii2_0_v6:5:56798630:56804664:1 gene:B456_005G195600 transcript:KJB31541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIVRQKMLGQSLLKIRPAISVLRSYSSAAKQMTVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLEKYGPERVLDTPITEAGFAGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQMSVPIVFRGPNGAAAGVGAQHSQCYASWYASCPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERKGKDVTITAFSKMVGYALKAAEILEKEGIDAEVINLRSIRPLDRSTINASVRKTNRLITVEEGFPQHGVGAEICASVVEESFAYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPLAAAA >KJB31539 pep chromosome:Graimondii2_0_v6:5:56798682:56804634:1 gene:B456_005G195600 transcript:KJB31539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIVRQKMLGQSLLKIRPAISVLRSYSSAAKQMTVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLEKYGPERVLDTPITEAGFAGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQMSVPIVFRGPNGAAAGVGAQHSQCYASWYASCPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERKGKDVTITHGVGAEICASVVEESFAYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPLAAAA >KJB31542 pep chromosome:Graimondii2_0_v6:5:56798723:56804634:1 gene:B456_005G195600 transcript:KJB31542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIVRQKMLGQSLLKIRPAISVLRSYSSAAKQMTVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLEKYGPERVLDTPITEAGFAGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQMSVPIVFRGPNGAAAGVGAQHSQCYASWYASCPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERKGKDVTITAFSKMVGYALKAAEILEKEGIDAEVINLRSIRPLDRSTINASVRKTNRLITVEEGFPQHGVGAEICASVVEESFAYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPLAAAA >KJB31538 pep chromosome:Graimondii2_0_v6:5:56798682:56802635:1 gene:B456_005G195600 transcript:KJB31538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIVRQKMLGQSLLKIRPAISVLRSYSSAAKQMTVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLEKYGPERVLDTPITEAGFAGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQMSVPIVFRGPNGAAAGVGAQHSQCYASWYASCPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERKGKDVTITAFSKMVGYALKVCLVCNIFLNMLCLLFI >KJB32534 pep chromosome:Graimondii2_0_v6:5:62563289:62567951:-1 gene:B456_005G245400 transcript:KJB32534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKTWESIPLQYRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAAGCDAIHITEIETSIECDTFMPAIDSSVFQPWYSSFPVVENNIRYCFTTYVRVRTSAVEHFSQDCDQVLDNKLDSSKFEIQNFSFLPKMIFEKHEEYLYLNMIQDIISEGNLKGDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREFLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSCDMGLGVPFNIASYALLTCMIAHVCDLVPGDFVHVLGDTHVYSTHVRPLQEQLQKLPKPFPILKINPEKNNIDSFVASDFKLIGYDPHKKIEMTMAV >KJB32529 pep chromosome:Graimondii2_0_v6:5:62562792:62567951:-1 gene:B456_005G245400 transcript:KJB32529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADTMMSIPNGNSNGNGNVQPEPRRTYQTVVAATKDWGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQYRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAAGCDAIHITEIETSIECDTFMPAIDSSVFQPWYSSFPVVENNIRYCFTTYVRVRTSAVEHFSQDCDQVLDNKLDSSKFEIQNFSFLPKMIFEKHEEYLYLNMIQDIISEGNLKGDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREFLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQILFQVISSTCLVILMFIALMSGPCKSSFRNCQSLFRF >KJB32530 pep chromosome:Graimondii2_0_v6:5:62562792:62567951:-1 gene:B456_005G245400 transcript:KJB32530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADTMMSIPNGNSNGNGNVQPEPRRTYQTVVAATKDWGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQYRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAAGCDAIHITEIETSIECDTFMPAIDSSVFQPWYSSFPVVENNIRYCFTTYVRVRTSAVEHFSQDCDQVLDNKLDSSKFEIQNFSFLPKMIFEKHEEYLYLNMIQDIISEGNLKGDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREFLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQILFQVISSTCLVILMFIALMSGPCKSSFRNCQSLFRF >KJB32531 pep chromosome:Graimondii2_0_v6:5:62564353:62566912:-1 gene:B456_005G245400 transcript:KJB32531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADTMMSIPNGNSNGNGNVQPEPRRTYQTVVAATKDWGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQYRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAAGCDAIHITEIETSIECDTFMPAIDSSVFQPWYSSFPVVENNIRYCFTTYVRVRTSAVEHFSQDCDQVLDNKLDSSKFEIQNFSFLPKMIFEKHEEYLYLNMIQDIISEGNLKGDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREFLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSCDMGLGVPFNIASYALLTCMIAHVCDLVPGDFVHVLGDTHVYSTHVRPLQEQLQKLPKPFPILKINPEKNNIDSFVASDFKLIGYDPHKKIEMTMAV >KJB32532 pep chromosome:Graimondii2_0_v6:5:62563289:62567791:-1 gene:B456_005G245400 transcript:KJB32532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADTMMSIPNGNSNGNGNVQPEPRRTYQTVVAATKDWGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQYRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAAGCDAIHITEIETSIECDTFMPAIDSSVFQPWYSSFPVVENNIRYCFTTYVRVRTSAVEHFSQDCDQVLDNKLDSSKFEIQNFSFLPKMIFEKHEEYLYLNMIQDIISEGNLKGDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREFLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSCDMGLGVPFNIASYALLTCMIAHVCDLVPGDFVHVLGDTHVYSTHVRPLQEQLQKLPKPFPILKINPEKNNIDSFVASDFKLIGYDPHKKIEMTMAV >KJB32535 pep chromosome:Graimondii2_0_v6:5:62563695:62567791:-1 gene:B456_005G245400 transcript:KJB32535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADTMMSIPNGNSNGNGNVQPEPRRTYQTVVAATKDWGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQYRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAAGCDAIHITEIETSIECDTFMPAIDSSVFQPWYSSFPVVENNIRYCFTTYVRVRTSAVEHFSQDCDQVLDNKLDSSKFEIQNFSFLPKMIFEKHEEYLYLNMIQDIISEGNLKGDRTGTGTLSKFGCQMRFNLRKTFPLLTTKALFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREFLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSCDMGLGVPFNIASYALLTCMIAHVCDLVPGDFVHVLGDTHVYSTHVRPLQEQLQKLPKPFPILKINPEKNNIDSFVASDFKLIGYDPHKKIEMTMAV >KJB32533 pep chromosome:Graimondii2_0_v6:5:62564353:62566912:-1 gene:B456_005G245400 transcript:KJB32533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADTMMSIPNGNSNGNGNVQPEPRRTYQTVVAATKDWGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQYRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAAGCDAIHITEIETSIECDTFMPAIDSSVFQPWYSSFPVVENNIRYCFTTYVRVRTSAVEHFSQDCDQVLDNKLDSSKFEIQNFSFLPKMIFEKHEEYLYLNMIQDIISEGNLKGDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREFLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSCDMGLGVPFNIASYALLTCMIAHVCDLVPGDFVHVLGDTHVYSTHVRPLQEQLQKLPKPFPILKINPEKNNIDSFVASDFKLIGYDPHKKIEMTMAV >KJB32536 pep chromosome:Graimondii2_0_v6:5:62563695:62567791:-1 gene:B456_005G245400 transcript:KJB32536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADTMMSIPNGNSNGNGNVQPEPRRTYQTVVAATKDWGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQYRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAAGCDAIHITEIETSIECDTFMPAIDSSVFQPWYSSFPVVENNIRYCFTTYVRVRTSAVEHFSQDCDQVLDNKLDSSKFEIQNFSFLPKMIFEKHEEYLYLNMIQDIISEGNLKGDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREFLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQILFQVISSTCLVILMFIALMSGPCKSSFRNCQSLFRF >KJB29972 pep chromosome:Graimondii2_0_v6:5:28162678:28168313:1 gene:B456_005G126100 transcript:KJB29972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGEKENPWRYGKAAVAAAAGAVNIHRVGSIVRDIGDPCLSQSPIKANKMLKPEKWQAAFDNEGKVVDFHKVLKLIILGGVDPSIRSEVWEFLLGCYALGSTADYRRQLRTARRKHYNDLIQQCQSMHSSIGTGSLAYPVGSKVMDMRSASKDEQRRESKVDNRQASTDVTDNREEDSHLGDNCTNRLYADQGKGCDFADIISVRGNAHTAAHDSCFLPTSGPCGRYSPKIRRDCNGSDFSTGSDFDFPPLPLTDLFEKNEDEKEFDANEGENAAKYKVTLEDDNMHSFQINNNADLIMESNVPPSLSKSISLPYNSEIELVPPDAYEPVLRSNIVSHKAETVNRLRILDVPKTRLVNASGSQEGTAHDETVSEWLWTLHLIVVDVVRTDSNLEFYEDKRNVARMSDILAVYAWVDRATGYCQGMSDLLSPFVVIFEDNADAFWCFEMLIRRMRENFQMEGPTGVMKQLQALWHILELTDREIFIHLSKIGAESLHFAFPMLLVLFRRELSFNDALCMWEMMWAADFDESVNCNLEKICLEALTVQVPGDSRAEDEEENTENGNHNAIGGLQFKHSLSENEGIKAASTYHFCGLTRNFWSRNDRLQICNVVSPTRKGDDDLPVFCVAAILIMNRQKLIKETRSIDDMIKIFNDKLLKVHVRRCVGTAIRLRKKYFCKVSNCIIFLLWKYEREVKCKFNPFLCFAVNPPTFCFFLLLSLMTVQRHCHFGNFNTAMVLELVK >KJB29973 pep chromosome:Graimondii2_0_v6:5:28162678:28169074:1 gene:B456_005G126100 transcript:KJB29973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGEKENPWRYGKAAVAAAAGAVNIHRVGSIVRDIGDPCLSQSPIKANKMLKPEKWQAAFDNEGKVVDFHKVLKLIILGGVDPSIRSEVWEFLLGCYALGSTADYRRQLRTARRKHYNDLIQQCQSMHSSIGTGSLAYPVGSKVMDMRSASKDEQRRESKVDNRQASTDVTDNREEDSHLGDNCTNRLYADQGKGCDFADIISVRGNAHTAAHDSCFLPTSGPCGRYSPKIRRDCNGSDFSTGSDFDFPPLPLTDLFEKNEDEKEFDANEGENAAKYKVTLEDDNMHSFQINNNADLIMESNVPPSLSKSISLPYNSEIELVPPDAYEPVLRSNIVSHKAETVNRLRILDVPKTRLVNASGSQEGTAHDETVSEWLWTLHLIVVDVVRTDSNLEFYEDKRNVARMSDILAVYAWVDRATGYCQGMSDLLSPFVVIFEDNADAFWCFEMLIRRMRENFQMEGPTGVMKQLQALWHILELTDREIFIHLSKIGAESLHFAFPMLLVLFRRELSFNDALCMWEMMWAADFDESVNCNLEKICLEALTVQVPGDSRAEDEEENTENGNHNAIGGLQFKHSLSENEGIKAASTYHFCGLTRNFWSRNDRLQICNVVSPTRKGDDDLPVFCVAAILIMNRQKLIKETRSIDDMIKIFNDKLLKVHVRRCVGTAIRLRKKYFCKLIRIKGHSRRHSQ >KJB29974 pep chromosome:Graimondii2_0_v6:5:28162678:28169686:1 gene:B456_005G126100 transcript:KJB29974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGEKENPWRYGKAAVAAAAGAVNIHRVGSIVRDIGDPCLSQSPIKANKMLKPEKWQAAFDNEGKVVDFHKVLKLIILGGVDPSIRSEVWEFLLGCYALGSTADYRRQLRTARRKHYNDLIQQCQSMHSSIGTGSLAYPVGSKVMDMRSASKDEQRRESKVDNRQASTDVTDNREEDSHLGDNCTNRLYADQGKGCDFADIISVRGNAHTAAHDSCFLPTSGPCGRYSPKIRRDCNGSDFSTGSDFDFPPLPLTDLFEKNEDEKEFDANEGENAAKYKVTLEDDNMHSFQINNNADLIMESNVPPSLSKSISLPYNSEIELVPPDAYEPVLRSNIVSHKAETVNRLRILDVPKTRLVNASGSQEGTAHDETVSEWLWTLHLIVVDVVRTDSNLEFYEDKRNVARMSDILAVYAWVDRATGYCQGMSDLLSPFVVIFEDNADAFWCFEMLIRRMRENFQMEGPTGVMKQLQALWHILELTDREIFIHLSKIGAESLHFAFPMLLVLFRRELSFNDALCMWEMMWAADFDESVNCNLEKICLEALTVQVPGDSRAEDEEENTENGNHNAIGGLQFKHSLSENEGIKAASTYHFCGLTRNFWSRNDRLQICNVVSPTRKGDDDLPVFCVAAILIMNRQKLIKETRSIDDMIKIFNDKLLKVHVRRCVGTAIRLRKKYFCKLIRIKGHSRRHSQ >KJB27616 pep chromosome:Graimondii2_0_v6:5:124951:126520:1 gene:B456_005G002400 transcript:KJB27616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMARYLFSDLPRQSPNMTDTCRLRSQPPHVVSFPRNQPFRFQCSQMSIPKLRISCGGGDGGNHGVGGSGGGGRGGGGGSNSSDDPWKRFGILGLFLSGWRDRVAADPQFPFKVLMEELVGVTACVLGDMASRPNFGLNELDFVFSTLVVGSIMNFTLMYLLAPTASAASSSLPSIFANCPQSHMFEPGAFTLINRFGTFVYKGTVFAAVGLAAGLVGTAISNGLITMRKKMDPTFETPNKPPPTLLNAITWAVHMGVSSNFRYQTLNGIEFVLEKGLPPFVFKSSVVVLRCLNNVIGGMSFVILARMTGSQSVEQKPANIDKEKEMLMDGGVNMENNQSTFK >KJB27617 pep chromosome:Graimondii2_0_v6:5:124771:126550:1 gene:B456_005G002400 transcript:KJB27617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMARYLFSDLPRQSPNMTDTCRLRSQPPHVVSFPRNQPFRFQCSQMSIPKLRISCGGGDGGNHGVGGSGGGGRGGGGGSNSSDDPWKRFGILGLFLSGWRDRVAADPQFPFKVLMEELVGVTACVLGDMASRPNFGLNELDFVFSTLVVGSIMNFTLMYLLAPTASAASSSLPSIFANCPQSHMFEPGAFTLINRFGTFVYKGTVFAAVGLAAGLVGTAISNGLITMRKKMDPTFETPNKPPPTLLNAITWAVHMGVSSNFRYQTLNGIEFVLEKGLPPFVFKSSVVVLRCLNNVIGGMSFVILARMTGSQSVEQKPANIDKEKEMLMDGGVNMENNQSTFK >KJB27755 pep chromosome:Graimondii2_0_v6:5:553124:556645:-1 gene:B456_005G008000 transcript:KJB27755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKANKRVHVLVIPYPSQGHINPMLQFSKRLSSKGLKATFATTVFISETMKPELLNSDIDFDTISDGCDKGGFLQVESVDDYLVRLQTVGSKTLTELIIKHKNSPHPIDCILYDAFLPWVLDVGQQFGIVGIAFFTQACAVDYIYYYARNGLLSLPISSSMMPIVIPGLPLLDLRDMPSFIYVVGSYPSYFELVLNQFSNIDKVDFILVNSFYKLEQEVVDSMSKVMTQPLLTIGPTIPSMYLDKRLENDKDYDLNLFKLDSTSTCWLTTKPPCSVIYVSFGSMANLTIDQMKELARGLKQTGFHFLWVVRSSELPKVPHGFIEEMGDKALIVTWIPQTEVLANEAIGCFFTHCGWNSTIEALCLGVPMVAMPQWTDQTTDAKLVEDVWKVGVRVNVREDGIVSGDEIERCIRQVMEGEEGIEMKRNAMKWKELAVEAVCEGGSSDKNIDELVSKILARCK >KJB29443 pep chromosome:Graimondii2_0_v6:5:15934850:15937660:1 gene:B456_005G100900 transcript:KJB29443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLMLLVLLLAFSCPPSSSSSDALWAGSSLSVEKKGDVLVSPGGTFSAGFHPVGNNAYSFSIWFNKPSCNATASNCTIVWMANRDQPVNGRGSKLSLSTSGNLVLKDAGQILVWETRTASKSLPKLKLDDGGNLILSSLEGHILWQSYDSPTDTLLPLQPFNESSKLISSRSQGSYSSGYFQLYFDTDNVLCLVYKGPEFSSVYWPSPWLLRWEAGRSTFNNSKIAVLDTLGKFTSTDNFTFLSADYGSKVSRLLKVDFDGNIRLYSLKENGETWVVSWQTFRQPCMVHGCCGPNSICIYTPNFGRKCDCIPGYKMKNNSDWSLGCEPKFLLPCNRPDQVGFLKLRHVEFYGYDLDMFPNVTLEDCKNKCSKLCDCKGFQFRFIKAHEPAGTYCYPKTQLFNGHRPPNFIADFYLKVPKATLSLYNNATVQHSKLQCSNKVQTLERTYSKKPENEPLKFALWAVCSVGGLEFVVFLVWCFLIRNIDDTSPMAGKLLAATGFRKFTYAELKKATNSFSQEIGRGGGGIVFKATLSDGRVAAIKTLTDANQGEAEFLAEVNTIGKLNHMNLIEMWGFCAEGKHRLLVYEYMEHGSLAENLSLKALDWKKRFDIAVGTARGLAYLHEECLEWVLHCDIKPHNILIDSKFQPKVSDFGLSWLLNRGDVKRSNISRIRGTRGCMAPEWVSNFPITSKVDVYSYGIVLLELVTGRSPAMGTAVTDDGSPKEKTTLAAWGRENMASTGETETWKHEIIDPKLEGIYDEAEVLNLVTVALQCVQEDKDARPTMSEVVEMLLRNENH >KJB28645 pep chromosome:Graimondii2_0_v6:5:6270816:6271737:1 gene:B456_005G059900 transcript:KJB28645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKYYQSTNPDTKTCIKNNTPTKRSIKNNDVVRLVENSGSDQRPNPEGKLLVHTPSNEVTTSYEILETKLLSLGWERYYGSDPDLFQFHKRSSIHLISLPKEFTKFKSVYMYDIVVKNPNMFMVMDK >KJB31988 pep chromosome:Graimondii2_0_v6:5:60292642:60297809:-1 gene:B456_005G2198002 transcript:KJB31988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKRIRFPKGKKVKPGEEAVNRVDVEDGSGNLQDPRLAAKERSKRRNQITTELFTEDGRGMLNDITLAEVAYEDNENFIDDGIQIEPFNLDKEREEGYFDADGNFVEYVNNNGIKDAWFDSVEADVQYTGKAFTTTNGEDNEVVAQDLSAQDIGIIKRRIANVLEPGETVLQALRGLKGPSSNRKEKMSAETKRVFDQLTEDAMKLMENGDYNVYHEKQEVFQREAEGYEKLALARGKSISANVVLENSDPNLGSDMLTDINNPGTASVLPDPAVGTSNSSLTAAEVSSDAADGYDMFADDEDDENLKQSSEANSNAFIQSSSNAVNTFSETGDVLNDYVYDESSGYYYSSTLGCYYDPSTGLYGSAASGQWYSFNESTGTYDEVTEVASATN >KJB31989 pep chromosome:Graimondii2_0_v6:5:60293230:60297810:-1 gene:B456_005G2198002 transcript:KJB31989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKRIRFPKGKKVKPGEEAVNRVDVEDGSGNLQDPRLAAKERSKRRNQITTELFTEDGRGMLNDITLAEVAYEDNENFIDDGIQIEPFNLDKEREEGYFDADGNFVEYVNNNGIKDAWFDSVEADVQYTGKAFTTTNGEDNEVVAQDLSAQDIGIIKRRIANVLEPGETVLQALRGLKGPSSNRKEKMSAETKRVFDQLTEDAMKLMENGDYNVYHEKQEVFQREAEGYEKLALARGKSISANVVLENSDPNLGSDMLTDINNPGTASVLPDPAVGTSNSSLTAAEVSSDAADGYDMFADDEDDENLKQSSEANSNAFIQSSSNAVNTFSETGDVLNDYVYDESSGYYYSSTLGCYYDPSTGLYGSAASGQW >KJB31987 pep chromosome:Graimondii2_0_v6:5:60292566:60297810:-1 gene:B456_005G2198002 transcript:KJB31987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKRIRFPKGKKVKPGEEAVNRVDVEDGSGNLQDPRLAAKERSKRRNQITTELFTEDGRGMLNDITLAEVAYEDNENFIDDGIQIEPFNLDKEREEGYFDADGNFVEYVNNNGIKDAWFDSVEADVQYTGKAFTTTNGEDNEVLMENGDYNVYHEKQEVFQREAEGYEKLALARGKSISANVVLENSDPNLGSDMLTDINNPGTASVLPDPAVGTSNSSLTAAEVSSDAADGYDMFADDEDDENLKQSSEANSNAFIQSSSNAVNTFSETGDVLNDYVYDESSGYYYSSTLGCYYDPSTGLYGSAASGQWYSFNESTGTYDEVTEVASATN >KJB31986 pep chromosome:Graimondii2_0_v6:5:60292450:60297810:-1 gene:B456_005G2198002 transcript:KJB31986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKRIRFPKGKKVKPGEEAVNRVDVEDGSGNLQDPRLAAKERSKRRNQITTELFTEDGRGMLNDITLAEVAYEDNENFIDDGIQIEPFNLDKEREEGYFDADGNFVEYVNNNGIKDAWFDSVEADVQYTGKAFTTTNGEDNEVVAQDLSAQDIGIIKRRIANVLEPGETVLQALRGLKGPSSNRKEKMSAETKRVFDQLTEDAMKLMENGDYNVYHEKQEVFQREAEGYEKLALARGKSISANVVLENSDPNLGSDMLTDINNPGTASVLPDPAVGTSNSSLTAAEVSSDAADGYDMFADDEDDENLKQSSEANSNAFIQSSSNAVNTFSETGDVLNDYVYDESSGYYYSSTLGCYYDPSTGLYGSAASGQWYSFNESTGTYDEVTEVASATN >KJB28400 pep chromosome:Graimondii2_0_v6:5:4354106:4356458:1 gene:B456_005G045800 transcript:KJB28400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTIFIQTPFQSKSLNKSLNTHFVPSFKLPVSLSFKPRTAAFSKRVHIRAGLIEPDGGKLVDLHVSEPERELKKREAASLPKVKLTPIDVQWVHVLSEGWASPLTGFMRESEFLQTLHFNSLRLDDGSFVNMSVPIVLAIDDLQKASIGESDRVALLDSDDKLIAILTDIEIYKHPKEERIARTWGTTAPGLPYVEETITNAGNWLIGGDLEVIAPIKYNDGLDRFRLSPVELRKEFERRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGYTKCSGMLRLGLMPEPIFTLSVEIRLEWVIRLTREIYMMLIMGRRS >KJB28399 pep chromosome:Graimondii2_0_v6:5:4354106:4356458:1 gene:B456_005G045800 transcript:KJB28399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTIFIQTPFQSKSLNKSLNTHFVPSFKLPVSLSFKPRTAAFSKRVHIRAGLIEPDGGKLVDLHVSEPERELKKREAASLPKVKLTPIDVQWVHVLSEGWASPLTGFMRESEFLQTLHFNSLRLDDGSFVNMSVPIVLAIDDLQKASIGESDRVALLDSDDKLIAILTDIEIYKHPKEERIARTWGTTAPGLPYVEETITNAGNWLIGGDLEVIAPIKYNDGLDRFRLSPVELRKEFERRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGYTKADDVPLSWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPVDKRDLYDADHGKKVLSMAPGLERLNILPFRMRTLAKNKEDPPPGFMCPGGWEVLVEYYASLTPSDNDRIPQPVAA >KJB28398 pep chromosome:Graimondii2_0_v6:5:4354257:4355960:1 gene:B456_005G045800 transcript:KJB28398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTIFIQTPFQSKSLNKSLNTHFVPSFKLPVSLSFKPRTAAFSKRVHIRAGLIEPDGGKLVDLHVSEPERELKKREAASLPKVKLTPIDVQWVHVLSEGWASPLTGFMRESEFLQTLHFNSLRLDDGSFVNMSVPIVLAIDDLQKASIGESDRVALLDSDDKLIAILTDIEIYKHPKEERIARTWGTTAPGLPYVEETITNAGNWLIGGDLEVIAPIKYNDGLDRFRLSPVELRKEFERRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGYTKADDVPLSWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPVDKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKTQGKMAFFDPSRAQDFLFISGTKVSFLTI >KJB28397 pep chromosome:Graimondii2_0_v6:5:4354016:4356542:1 gene:B456_005G045800 transcript:KJB28397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTIFIQTPFQSKSLNKSLNTHFVPSFKLPVSLSFKPRTAAFSKRVHIRAGLIEPDGGKLVDLHVSEPERELKKREAASLPKVKLTPIDVQWVHVLSEGWASPLTGFMRESEFLQTLHFNSLRLDDGSFVNMSVPIVLAIDDLQKASIGESDRVALLDSDDKLIAILTDIEIYKHPKEERIARTWGTTAPGLPYVEETITNAGNWLIGGDLEVIAPIKYNDGLDRFRLSPVELRKEFERRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGYTKADDVPLSWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPVDKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKTQGKMAFFDPSRAQDFLFISGTKMRTLAKNKEDPPPGFMCPGGWEVLVEYYASLTPSDNDRIPQPVAA >KJB27849 pep chromosome:Graimondii2_0_v6:5:964559:966703:1 gene:B456_005G013300 transcript:KJB27849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLKLKGYGFNPSEQILIGYLRERTTSGRDYLVQDIIDLSHDICSYDPWDLPGCDSITPNDSERFFIYPKTYKYANSTSKVIGIPTKQVPNSDQRQPMINRATKNGKWKVSGVRVNVKSSDETKQVIGIKTKLYFKHNNCPNKTSCVLHQFELVDIDPCQDKYFLGKVIMKEFKPTNISSNNLNDRISIPEVRVELGPEPLVETEVTNEYEWTQSEQTNEFITPYLVFDNENSIDDGNEVISNYQSQIRVELGLEPLVEIEVAKEYGWTRGEQTNEFRTPCPVFNNENFIDDGNEVSSNHQSQEWSDLYKLCRFIDQQRAAGAEIEFPNLPPLDVAESSYSTDSVRKRSAMEVERLATGVETELAQQQAKRSRL >KJB27850 pep chromosome:Graimondii2_0_v6:5:964621:971810:1 gene:B456_005G013300 transcript:KJB27850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLKLKGYGFNPSEQILIGYLRERTTSGRDYLVQDIIDLSHDICSYDPWDLPGCDSITPNDSERFFIYPKTYKYANSTSKVIGIPTKQVPNSDQRQPMINRATKNGKWKVSGVRVNVKSSDETKQVIGIKTKLYFKHNNCPNKTSCVLHQFELVDIDPCQDKYFLGKVIMKEFKPTNISSNNLNDRISIPEVRVELGPEPLVETEVTNEYEWTQSEQTNEFITPYLVFDNENSIDDGNEVISNYQSQIRVELGLEPLVEIEVAKEYGWTRGEQTNEFRTPCPVFNNENFIDDGNEVSSNHQSQINMEQIRSLEVPNIGIEDQNQSYQNIGIDINDPTVPDEGSNQQNIVAVAENESSILPSNLHNLLIDIILYFINQIEWSDLYKLCRFIDQQRAAGAEIEFPNLPPLDVAESSYSTDSVRKRSAMEVERLATGVETELAQQQAKRSRL >KJB27852 pep chromosome:Graimondii2_0_v6:5:964559:966703:1 gene:B456_005G013300 transcript:KJB27852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLKLKGYGFNPSEQILIGYLRERTTSGRDYLVQDIIDLSHDICSYDPWDLPGCDSITPNDSERFFIYPKTYKYANSTSKVIGIPTKQVPNSDQRQPMINRATKNGKWKVSGVRVNVKSSDETKQVIGIKTKLYFKHNNCPNKTSCVLHQFELVDIDPCQDKYFLGKVIMKEFKPTNISSNNLNDRISIPEVRVELGPEPLVETEVTNEYEWTQSEQTNEFITPYLVFDNENSIDDGNEVISNYQSQIRVELGLEPLVEIEVAKEYGWTRGEQTNEFRTPCPVFNNENFIDDGNEVSSNHQSQINMEQIRSLEVPNIGIEDQNQSYQNIGIDINDPTVPDEGSNQQNIVAVAENESSILPSNLHNLLIDIILYFINQIEWSDLYKLCRFIDQQRAAGAEIEFPNLPPLDVAESSYSTDSVRKRSAMEVERLATGVETELAQQQAKRSRL >KJB27851 pep chromosome:Graimondii2_0_v6:5:964559:966703:1 gene:B456_005G013300 transcript:KJB27851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLKLKGYGFNPSEQILIGYLRERTTSGRDYLVQDIIDLSHDICSYDPWDLPGCDSITPNDSERFFIYPKTYKYANSTSKVIGIPTKQVPNSDQRQPMINRATKNGKWKVSGVRVNVKSSDETKQVIGIKTKLYFKHNNCPNKTSCVLHQFELVDIDPCQDKYFLGKVIMKEFKPTNISSNNLNDRISIPEVRVELGPEPLVETEVTNEYEWTQSEQTNEFITPYLVFDNENSIDDGNEVISNYQSQEWSDLYKLCRFIDQQRAAGAEIEFPNLPPLDVAESSYSTDSVRKRSAMEVERLATGVETELAQQQAKRSRL >KJB32396 pep chromosome:Graimondii2_0_v6:5:62046353:62048869:-1 gene:B456_005G239000 transcript:KJB32396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAASEILIDGAPFFRLYKDGRIKRLSGTENVPPGLDPKTNVESKDVLYSQEIAQSVRIYVPGTVVTSAQKLPLLVYFHGGGFCIESAFSPTYHNYLNALVAEAKIVAVSVNYRRSPEHPLPAAYDDSWTALKWVASHYIGTGPEQWLNHYVDFANVYLSGDSAGANISHHLAVKITNEKLDGMNLVGIILNHPFFWGKEPVGDEVKKPAIREKMDGIWRLAYPTTSGSDDPWINPIDDQSFGRFLGCKRVLVCVAEKDVMRHRGWYYCEKLKNSEWGGEVEMMEAQGEDHVFHLNKPNCPNAVAMLKKVAEFINQVTKRRRASGGQSKL >KJB32090 pep chromosome:Graimondii2_0_v6:5:60547401:60548054:1 gene:B456_005G223000 transcript:KJB32090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEACTSCLFLLYYSNAGDEKLEFQYLFHFAVMVYKAYFLYLCRKIWSINCTYESLIVGYDHLAEFKNTLVDAIGKFFLRLAGQLKLKA >KJB32109 pep chromosome:Graimondii2_0_v6:5:60652998:60654520:-1 gene:B456_005G224700 transcript:KJB32109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERFRSLLFLCCRTRPCVALLGGNHTAASYNQLQSSRISLNPDTLLIIKLPDPRFLLVLSRSLFLATVIITLPCIRSFLKGPSSPVFNAMEFHQSSGCISLDYWNLLWGDFVNEGLIKKGQKALILNSFIESMDDVDDDDEGSMFINNGDLDLVIEPDFHRQSSLPNDEFDFVFVSGSIDSKFVDRLVKIGGIVAMQLGDEISTGYQKQSDYIIVYLKKYHSTIVAMKKLGSKNHLLGSSAKRKLFQLTTEAKKAALSGLEDVLFEPPRKAWAKSHSYLKKINFLPDLLGDSLENYPRRVFIDVGSSNDDDDKNIVMKWFEENYPKRNQEFEVYSIEATASIDVSDWLMMNVREEDYVVMKAEAEVVEKMIERKTIGLVDELFFECNNQWQDEVKKKKKRKMGKKKRKKNKRAYWECVALYGRLRDEGVAVHQWWE >KJB32190 pep chromosome:Graimondii2_0_v6:5:61167092:61170578:-1 gene:B456_005G228700 transcript:KJB32190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKCPPFEFSGKYYDVQEGIGCVRQNSFFEGKAVLNQGLGYSVILGFGAFFAFFTSFLVWLEKRYVGSRHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWQYGISGPFWYASGATIQVLLFGVMAIEIKRKAPHAHTVCEIVKARWGKAAHFVFLTFCLMTNIIVTAMLLLGGGSVVNALTGVNIYAASFLIPLGVIVYTLAGGLKATFLASYIHSVIVHVALVIFVYLVYTASDKLGSPSIIHHHLQEVASKLRTCKEPISHNGQSCGPIAGNYKGSYLTIMSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPLTADEASRGLVPPATAIALMGKGGSVLLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPDASGERILSVSRAVVVGFGCFMGLFAVILNKAGVSLGWMYLAMGVLIGSAVLPIAFMLLWRKANAVGAIVGTITGCILGIVTWLSVASIEYGRVNLDTTGRNAPMLAGNLASILAGGAIHALCSLLWPQNYTWDTTRQITMVEKEESDLNEDELKEEKLKTAKSWIVKWGVGFTVVIVMLWPLLTIPAGEFSVGYFTFWAVIAIAWGSIGSAVIIGLPLIESWETIKNVCSGMFTNDRLMEKVEEMNFKLNSIMLAIPEAEKAYLVEKENKKK >KJB32191 pep chromosome:Graimondii2_0_v6:5:61167836:61170553:-1 gene:B456_005G228700 transcript:KJB32191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKCPPFEFSGKYYDVQEGIGCVRQNSFFEGKAVLNQGLGYSVILGFGAFFAFFTSFLVWLEKRYVGSRHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWQYGISGPFWYASGATIQVLLFGVMAIEIKRKAPHAHTVCEIVKARWGKAAHFVFLTFCLMTNIIVTAMLLLGGGSVVNALTGVNIYAASFLIPLGVIVYTLAGGLKATFLASYIHSVIVHVALVIFVYLVYTASDKLGSPSIIHHHLQEVASKLRTCKEPISHNGQSCGPIAGNYKGSYLTIMSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPLTADEASRGLVPPATAIALMGKGGSVLLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPDASGERILSVSRAVVVGFGCFMGLFAVILNKAGVSLGWMYLAMGVLIGSAVLPIAFMLLWRKANAVGAIVGTITGCILGIVTWLSVASIEYGRVNLDTTGRNAPMLAGNLASILAGGAIHALCSLLWPQNYTWDTTRQITMVEKEESDLNEDELKEEKLKTAKSWIVKWGVGFTVVIVMLWPLLTIPAGKLYLQQK >KJB30337 pep chromosome:Graimondii2_0_v6:5:35843032:35855398:1 gene:B456_005G138200 transcript:KJB30337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKQLIVAVEGTAAMGPYWQIILSDYLEKIIRCFCSNEFAGQKNTTSNVELSLVTFNTHGSYCACLVQRSGWTKDVDIFLQWLSAIPFSGGGFNDAAIAEGLSEALMMFPIAANGNQTHQIADGQRHCILVAASNPYPLPTPVYRPQTQNLEQSENMESQTESRLSDAETVAKSFPQCSVSLSVICPKQLSKLKAIYSAGKRNPRASDPPVDNVRNPQFLVLISENFMEGCAALSRSGVPSLAPNQSPVKMDMASVNAVAGTPPTSVPSVNGSIMSRQPVSAGNVPTATVKVEPTTITSMANGPAFPHIPSVPRAPFQAVPMLQTSSPLTTTEEVMRSSDNVQEIKPSVGGMTQPLRPVPPAAANVNILNNLSQARVMNSAALSGGTSIGLQSMGQTPVAMHMSNMISSGMTSSVPLAQTVFSSGQSGMTSLPGSGAVTGTTQVPPNSNLNSFASATSNVAGNSNIGISQPMCNVQGAVSMGQSVPGSMSQGNHSGAQLVQTGVAMSQSMSGLGPSTVSSGTGTLIPAPGMSQQVQSGMQTLGVNNNSAASMPLSQQTSSALQSAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETLASDWPQTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLMLSVSDKAYRLIGMLFPGDMVVFKPQISSQHQQQQQQMQPLLQQQQMPQQQLPQLQQQQQQQQQLPQLQQQQQQQPQQQQPLPHLQQQQLPQLQQQQQQQQQLSQLQQQQMPQLQQQPQLAQMQQQQQQMVGSGMNSAYVQGPGRSQLVSQGQVSSQAPSNMPGGGFMS >KJB30130 pep chromosome:Graimondii2_0_v6:5:32058336:32064587:-1 gene:B456_005G131000 transcript:KJB30130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATMVSSAGGLLAMLNESHPQLKLHALSNLVSFVDQFWPEISTSVPIIESLYEDEEFDQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYVHTLLSKAIDEYASFQSKAAESSAEVASVDPRLEAIVERMLDRCLMDGKYHQAMGIAIECRRLDKLEEAITRSDNVHLTLAYSINVSHSFVYRREYRQEVLRLLVKVYQQLPSPDYLSICQCLMFLDEPEGVANILEKLLRSETKEDALLAFQVAFDLVENEHQAFLLNVRDRLPPPKSLPLESEQPGSTDSAPAQNEYSTAPDVQMTDGSSASMTNMHDADPKEVVYAERLNKIKGILSGKTSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHTATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGAAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLSNTNIEVIQHGACLGLGLAALGTADEEIYDDIKSVLYTDSAVAGEAAGISMGLLMVGTASEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTLDQDPILRYGGMYALALAYRGTANNKAIRHLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAIALLEPLTSDVVDFVRQGALIAMAMVMIQVNEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAVVGFAVFSQFWYWYPLIYFLSLSFSPTALIGLNYDLRVPRFEFLSHSKPSLFEYPKPTTVPTTTSAVKLPTAVLSTSAKAKARAKKEAEQKANAEKSSGQESSSTAPNTGKEKSSGEKDGEAMQVDVPPEKKTEPEPSFEILTNPARVVPAQEKFIKFLDSRYVPVKAAPSGFILLKDNCPNEPEELSLTDAPATTSPAGGSAAGQQQSSSLAMAVDDEPQPPQPFEYTS >KJB27679 pep chromosome:Graimondii2_0_v6:5:245342:251319:1 gene:B456_005G004300 transcript:KJB27679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSCMNVLCGASTSIEWRNGWTLRSGDFANLCDKCGSAYEQSIFCDVFHSKDSGWRDCNSCGKPLHCGCIASRFLLELLDGGGINCVSCAKKSGFNHMIEDEKPNGFGMVKADAGQLHSTSADNQLSGASIENLQLMQLSNNAESIGLRQLLQLHNDDSSGSLGQMKQDEFLPPPREIGSTCLTSINQASNGSVEAVKPTTSKVNIFDSLPQTNLSISLGGSLGNQNGFPSSVVDEKSKMSSVLQQASKSRHLLPKPPRSVLATGLEMNAGTVPQIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLRAGDTVTFSRKDPEGKLVMGFRKATNTAVVQETLPPAIPNGTLSSESYFSGVFENLPIISGYSGLLQSLKGSADPHLNGLSKHSSLAGGDISGHKSDMHEDRIREDLLLTSMLTPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLHPPPSIKPSIVTIEDHDFEEYDEPPVFGKRSIFAVRSTGGQEQWAQCDNCSKWRRVPVDALLPPKWTCADNNWDQSRSSCSLPDELTPRELEILLRLNTDFTKRRIIAFHRQTQEYESSPGLNALANAAILGDNVADSGTTSVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCLTVKRRFKTLMMRKKKRQSEREAEIALRNQQAWGPREEAEVESSSKHVSPHHDPSENEARSVNKLESKNQSNNNKLVSKLVEANKGRIDLNCDPCRDDDSQFGSSTRMSMMNLLHVASLPLETYLKENGLTSLVSDQQAISTSHAPPQTGNTSEPYDDQCSHSATEEHETRDEVNT >KJB31417 pep chromosome:Graimondii2_0_v6:5:55478889:55480988:-1 gene:B456_005G190300 transcript:KJB31417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCTENCTIRPCLQWIKSSDSQANATLFLAKFYGRAGLLNLIEAGPENLRPAIFRSLLYEACGRVVNPVYGSIGMLWSGNWGECQAAVDAVLKGSPITQTSSSLESVEEEQPISPLKTYDIRHVFKDTKPAAAADIDKVKTRTRFKRSRTRSKRQVVDSWMSQLGNGDSKEDESTFSVETVEGSLVNHAKRAPILKFEDSSNVGLELTLALLPEATHLG >KJB31416 pep chromosome:Graimondii2_0_v6:5:55479469:55480988:-1 gene:B456_005G190300 transcript:KJB31416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCTENCTIRPCLQWIKSSDSQANATLFLAKFYGRAGLLNLIEAGPENLRPAIFRSLLYEACGRVVNPVYGSIGMLWSGNWGECQAAVDAVLKGSPITQTSSSLESVEEEQPISPLKTYDIRHVFKDTKPAAAADIDKVKTRTRFKRSRTRSKRQVVDSWMSQLGNGDSKEDESTFSVETVEGSLVNHAKRAPILKFEDSSNVGLELTLALLPEATHLG >KJB30969 pep chromosome:Graimondii2_0_v6:5:49794001:49798466:1 gene:B456_005G170200 transcript:KJB30969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:Projected from Arabidopsis thaliana (AT1G67560) UniProtKB/TrEMBL;Acc:A0A178W1Z8] MLAFKPKPSSLRSTFSGTALRPPPRLYGVPVLLSFSRTTWSRPLVRAVISDDKAVESAKTSPLDQKHSNGSSDSSSKQVKAVVTIKKKMKENITEKIENQWEMLMNGIGRGILIQLISEEIDPVTNSGKSVEAFVRGWFPKPQEHDNILQYVADFTLPSDFGRPGAVLITNQHAKEFHLLEIVIQDFDGVPIYFPANTWIHSRNDNPESRIIFRNQAYLPSQTPPGLKDLRREDLLSIGGNGKGERMPHERIYDYDVYNDLGKPDKERDLARPVLGGEERPYPRRCRTGRPASKIDPLCESRIEKPHPVYVPRDEAFEEIKQDTFSAGRLKALLHNLVPLMAATLSSSDIPFTCFSEIDKLYNDGFILKDDEQRKLGDNLFIGNMMKQVLNVGQKLLKYEIPAVIRKDRFSWLRDNEFARQALAGVNPVNIEILKEFPILSKLDPAIYGPPESVITKELIEQELHGMSVDKALEEKRLFMVDFHDMLLPFIKRINNLPGRKSYASRTVFFYTKTGILRPIAIELSLPPTPSSNRNKYVYTHGHDATTYWIWKLAKAHVCANDAGVHQLVNHWLRTHACMEPYIIATHRQLSSMHPIYKLLHPHMRYTLEINALARQSLINGGGIIEASFSPGKYAMELSAAAYESWRFDREALPADLIHRGMAVEDPSAPGGLKLLIEDYPYAADGLLIWSAIKEWVESYVEHFYTEPDSVTSDVELQAWWSEIKNRGHHDKRNEPWWPKLATKEDLSGILTTMIWTASGQHAAINFGQYPFGGYMPNRPTLMRKLIPQETNPDFEKFMQNPQRTFLSSLPTQLQATKIMAVQDTLSTHSPDEEYLGKMNEFHSSWINDHKVLQMFDRFAAKLGEIEEIINERNKDIRLKNRTGAGIPPYELLLPSSGPGVTGRGIPNSISI >KJB30968 pep chromosome:Graimondii2_0_v6:5:49794001:49798466:1 gene:B456_005G170200 transcript:KJB30968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:Projected from Arabidopsis thaliana (AT1G67560) UniProtKB/TrEMBL;Acc:A0A178W1Z8] MLAFKPKPSSLRSTFSGTALRPPPRLYGVPVLLSFSRTTWSRPLVRAVISDDKAVESAKTSPLDQKHSNGSSDSSSKQVKAVVTIKKKMKENITEKIENQWEMLMNGIGRGILIQLISEEIDPVTNSGKSVEAFVRGWFPKPQEHDNILQYVADFTLPSDFGRPGAVLITNQHAKEFHLLEIVIQDFDGVPIYFPANTWIHSRNDNPESRIIFRNQAYLPSQTPPGLKDLRREDLLSIGGNGKGERMPHERIYDYDVYNDLGKPDKERDLARPVLGGEERPYPRRCRTGRPASKIDPLCESRIEKPHPVYVPRDEAFEEIKQDTFSAGRLKALLHNLVPLMAATLSSSDIPFTCFSEIDKLYNDGFILKDDEQRKLGDNLFIGNMMKQVLNVGQKLLKYEIPAVIRKDRFSWLRDNEFARQALAGVNPVNIEILKEFPILSKLDPAIYGPPESVITKELIEQELHGMSVDKALEEKRLFMVDFHDMLLPFIKRINNLPGRKSYASRTVFFYTKTGILRPIAIELSLPPTPSSNRNKYVYTHGHDATTYWIWKLAKAHVCANDAGVHQLVNHWLRTHACMEPYIIATHRQLSSMHPIYKLLHPHMRYTLEINALARQSLINGGGIIEASFSPGKYAMELSAAAYESWRFDREALPADLIHRGMAVEDPSAPGGLKLLIEDYPYAADGLLIWSAIKEWVESYVEHFYTEPDSVTSDVELQAWWSEIKNRGHHDKRNEPWWPKLATKEDLSGILTTMIWTASGQHAAINFGQYPFGGYMPNRPTLMRKLIPQETNPDFEKFMQNPQRTFLSSLPTQLQATKIMAVQDTLSTHSPDEEYLGKMNEFHSSWINDHKVLQMFDRFAAKLGEIEEIINERNKDIRLKNRTGAGIPPYELLLPSSGPGVTGRGIPNSISI >KJB30967 pep chromosome:Graimondii2_0_v6:5:49793972:49798467:1 gene:B456_005G170200 transcript:KJB30967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:Projected from Arabidopsis thaliana (AT1G67560) UniProtKB/TrEMBL;Acc:A0A178W1Z8] MLAFKPKPSSLRSTFSGTALRPPPRLYGVPVLLSFSRTTWSRPLVRAVISDDKAVESAKTSPLDQKHSNGSSDSSSKQVKAVVTIKKKMKENITEKIENQWEMLMNGIGRGILIQLISEEIDPVTNSGKSVEAFVRGWFPKPQEHDNILQYVADFTLPSDFGRPGAVLITNQHAKEFHLLEIVIQDFDGVPIYFPANTWIHSRNDNPESRIIFRNQAYLPSQTPPGLKDLRREDLLSIGGNGKGERMPHERIYDYDVYNDLGKPDKERDLARPVLGGEERPYPRRCRTGRPASKIDPLCESRIEKPHPVYVPRDEAFEEIKQDTFSAGRLKALLHNLVPLMAATLSSSDIPFTCFSEIDKLYNDGFILKDDEQRKLGDNLFIGNMMKQVLNVGQKLLKYEIPAVIRKDRFSWLRDNEFARQALAGVNPVNIEILKEFPILSKLDPAIYGPPESVITKELIEQELHGMSVDKALEEKRLFMVDFHDMLLPFIKRINNLPGRKSYASRTVFFYTKTGILRPIAIELSLPPTPSSNRNKYVYTHGHDATTYWIWKLAKAHVCANDAGVHQLVNHWLRTHACMEPYIIATHRQLSSMHPIYKLLHPHMRYTLEINALARQSLINGGGIIEASFSPGKYAMELSAAAYESWRFDREALPADLIHRGMAVEDPSAPGGLKLLIEDYPYAADGLLIWSAIKEWVESYVEHFYTEPDSVTSDVELQAWWSEIKNRGHHDKRNEPWWPKLATKEDLSGILTTMIWTASGQHAAINFGQYPFGGYMPNRPTLMRKLIPQETNPDFEKFMQNPQRTFLSSLPTQLQATKIMAVQDTLSTHSPDEEYLGKMNEFHSSWINDHKVLQMFDRFAAKLGEIEEIINERNKDIRLKNRTGAGIPPYELLLPSSGPGVTGRGIPNSISI >KJB29992 pep chromosome:Graimondii2_0_v6:5:29003194:29004224:-1 gene:B456_005G127200 transcript:KJB29992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYWFLCGKGKVFGFSCGLRRGFNYQQTFSLVNVKLKWVKDKALDAVIAGERDLRAACNLVSILSSAPDFCLPIYHLSRHRGQLGLPHDHKLSTFIRRYPTIFHESYVFDSAGTLVPCFELTLEALNLYHEELGVIRDNMIDLIDRLCKLLMLTKDRILPLQTIDQLKWDLGLPYFYIDNLIPNYADLFSLFRLPDDRIGLKLLSWDDTLAVSQLEKNVAQQTEEDLKNNCLAFPIGFTRGFGLKRKCMGWLEEWQKLPYTSPYADASHLDPRTDVSEKRIVGVFHELFHLTIQKKTERKNGSL >KJB29996 pep chromosome:Graimondii2_0_v6:5:29002586:29004823:-1 gene:B456_005G127200 transcript:KJB29996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYWFLCGKGKVFGFSCGLRRGFNYQQTFSLVNVKLKWVKDKALDAVIAGERDLRAACNLVSILSSAPDFCLPIYHLSRHRGQLGLPHDHKLSTFIRRYPTIFHESYVFDSAGTLVPCFELTLEALNLYHEELGVIRDNMIDLIDRLCKLLMLTKDRILPLQTIDQLKWDLGLPYFYIDNLIPNYADLFSLFRLPDDRIGLKLLSWDDTLAVSQLEKNVAQQTEEDLKNNCLAFPIGFTRGFGLKRKCMGWLEEWQKLPYTSPYADASHLDPRTDVSEKRIVGVFHELFHLTIQKKTERKNLMIANGLFRGTPLLILGKDLQA >KJB29993 pep chromosome:Graimondii2_0_v6:5:29002944:29004224:-1 gene:B456_005G127200 transcript:KJB29993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYWFLCGKGKVFGFSCGLRRGFNYQQTFSLVNVKLKWVKDKALDAVIAGERDLRAACNLVSILSSAPDFCLPIYHLSRHRGQLGLPHDHKLSTFIRRYPTIFHESYVFDSAGTLVPCFELTLEALNLYHEELGVIRDNMIDLIDRLCKLLMLTKDRILPLQTIDQLKWDLGLPYFYIDNLIPNYADLFSLFRLPDDRIGLKLLSWDDTLAVSQLEKNVAQQTEEDLKNNCLAFPIGFTRGFGLKRKCMGWLEEWQKLPYTSPYADASHLDPRTDVSEKRIVGVFHELFHLTIQKKTERKNVSNLRKPLSLPQKFTKVFERHPGIFYISKMSDTQTVVLREAYDCQRIVQRHPLVDIRERFASMMRKGFRDRSRGLYKKTAYIGHKDPLKIVQGHKGGGNGLDSEVDSDDDLFSEYNSDESIHCPS >KJB29995 pep chromosome:Graimondii2_0_v6:5:29003194:29004224:-1 gene:B456_005G127200 transcript:KJB29995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYWFLCGKGKVFGFSCGLRRGFNYQQTFSLVNVKLKWVKDKALDAVIAGERDLRAACNLVSILSSAPDFCLPIYHLSRHRGQLGLPHDHKLSTFIRRYPTIFHESYVFDSAGTLVPCFELTLEALNLYHEELGVIRDNMIDLIDRLCKLLMLTKDRILPLQTIDQLKWDLGLPYFYIDNLIPNYADLFSLFRLPDDRIGLKLLSWDDTLAVSQLEKNVAQQTEEDLKNNCLAFPIGFTRGFGLKRKCMGWLEEWQKLPYTSPYADASHLDPRTDVSEKRIVGVFHELFHLTIQKKTERKNGSL >KJB29994 pep chromosome:Graimondii2_0_v6:5:29002586:29004814:-1 gene:B456_005G127200 transcript:KJB29994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYWFLCGKGKVFGFSCGLRRGFNYQQTFSLVNVKLKWVKDKALDAVIAGERDLRAACNLVSILSSAPDFCLPIYHLSRHRGQLGLPHDHKLSTFIRRYPTIFHESYVFDSAGTLVPCFELTLEALNLYHEELGVIRDNMIDLIDRLCKLLMLTKDRILPLQTIDQLKWDLGLPYFYIDNLIPNYADLFSLFRLPDDRIGLKLLSWDDTLAVSQLEKNVAQQTEEDLKNNCLAFPIGFTRGFGLKRKCMGWLEEWQKLPYTSPYADASHLDPRTDVSEKRIVGVFHELFHLTIQKKTERKNGSL >KJB31999 pep chromosome:Graimondii2_0_v6:5:60131871:60132389:1 gene:B456_005G218100 transcript:KJB31999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAIFSSSTPILKPQIWNPRFFSAELFLILISSLISRSVSGSVQTVNATATAIVSAESTVHPLVFNCSVVRNKLP >KJB32001 pep chromosome:Graimondii2_0_v6:5:60131862:60132546:1 gene:B456_005G218100 transcript:KJB32001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAIFSSSTPILKPQIWNPRFFSAELFLILISSLISRSVSGSVQTVNATATAIVSAESTVHPLVFNCSVVRNKLP >KJB32000 pep chromosome:Graimondii2_0_v6:5:60131834:60133076:1 gene:B456_005G218100 transcript:KJB32000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAIFSSSTPILKPQIWNPRFFSAELFLILISSLISRSVSGSVQTVNATATAIVSAESTVHPLVFNCSELNEQIQTLVSRVKATVCSLFNCTS >KJB30254 pep chromosome:Graimondii2_0_v6:5:34181644:34184337:1 gene:B456_005G134700 transcript:KJB30254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTILAGFSNVSIHPPPAFGSSPNLEALALEANKSKDQDGDSSLLDSSHLSRYLTIYLCLNMSLGEG >KJB32546 pep chromosome:Graimondii2_0_v6:5:62622305:62623402:1 gene:B456_005G246100 transcript:KJB32546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQTRVAIGIPAPNYLITTHQPPLFIANYPTPPPAQQQSLSCPPLFIITSVLIIILFVSLAFTGFYFTYQQSNPPIIRIESIAAFNITNWLITLSLKNPNKYASIHYEKIQVSVSEFDDKWRVICPVDEFDQVENGENLMDVDVFGLVDSKMVEVVLKMDAVVCLQAKYMQKHWQMLEVDCGVVVVQPRMNTTVGRSNRCNVRLW >KJB28038 pep chromosome:Graimondii2_0_v6:5:63889816:63891513:-1 gene:B456_005G265600 transcript:KJB28038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFGTERSRPWNIYTSSDPSPSQAVTDKETPWKDFGASMNAISFGFVATAILISMFLIMAIFEHLFRPNPAFSSPDQVTDGGLGSRPIEKLGNQERVSYASDFSVVMPGEQFPTHIAQPAPLPCPREGIYWPPHEHNFVFP >KJB28036 pep chromosome:Graimondii2_0_v6:5:63889639:63891515:-1 gene:B456_005G265600 transcript:KJB28036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFGTERSRPWNIYTSSDPSPSQAVTDKETPWKDFGASMNAISFGFVATAILISMFLIMAIFEHLFRPNPAFSSPDQVTDGGLGSRPIEKLGNQERVSYASDFSVVMPGEQFPTHIAQPAPLPCPREGIYWPPHEHNFVFP >KJB28037 pep chromosome:Graimondii2_0_v6:5:63889816:63890836:-1 gene:B456_005G265600 transcript:KJB28037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFGTERSRPWNIYTSSDPSPSQAVTDKETPWKDFGASMNAISFGFVATAILISMFLIMAIFEHLFRPNPAFSSPDQVTDGGLGSRPIEKLGNQERVSYASDFSVVMPGEQFPTHIAQPAPLPCPREGIYWPPHEHNFVFP >KJB28039 pep chromosome:Graimondii2_0_v6:5:63890044:63890584:-1 gene:B456_005G265600 transcript:KJB28039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFGTERSRPWNIYTSSDPSPSQAVTDKETPWKDFGASMNAISFGFVATAILISMFLIMAIFEHLFRPNPAFSSPDQVTDGGLGSRPIEKLGNQERVSYASDFSVVMPGEQFPTHIAQPAPLPCPREGIYWPPHEHNFVFP >KJB30325 pep chromosome:Graimondii2_0_v6:5:35554634:35556587:1 gene:B456_005G137500 transcript:KJB30325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDSSSTKSGSTADSYIGSVISLTSKSEIRYEGVLYNINPDESSIGLKNVRSFGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSSPPAQPTPPINDDPAIIQSQFPHPVSTTTSAPSAATRPLTDPTTHAAQMGLPGSNYQAALPLYLPGGNLGSWGASPPPPTANGNGLPMPMYWEGYYGPPNRPPHLHHQSLLRPSPGQSMPPFMQQPMQYPNFNAPFLIGLGNLQSSSLPEAPPPLFPPSTGSPNVTSTLSSDLPPAPSASLASEALLNKAPSPTISPAALGASLPAISPLTTGPELSPIVPPIAHKPSTNPTVLHQTTSQAASSIIGVSNSVSMETPTPSMVTPGQLLESGTTVVPSPQPDATVHKDVEVVQVFSSPTEPSASVVSEAQPPILPLPSAAHKVLGIFHFHFCC >KJB30327 pep chromosome:Graimondii2_0_v6:5:35554634:35559153:1 gene:B456_005G137500 transcript:KJB30327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDSSSTKSGSTADSYIGSVISLTSKSEIRYEGVLYNINPDESSIGLKNVRSFGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSSPPAQPTPPINDDPAIIQSQFPHPVSTTTSAPSAATRPLTDPTTHAAQMGLPGSNYQAALPLYLPGGNLGSWGASPPPPTANGNGLPMPMYWEGYYGPPNRPPHLHHQSLLRPSPGQSMPPFMQQPMQYPNFNAPFLIGLGNLQSSSLPEAPPPLFPPSTGSPNVTSTLSSDLPPAPSASLASEALLNKAPSPTISPAALGASLPAISPLTTGPELSPIVPPIAHKPSTNPTVLHQTTSQAASSIIGVSNSVSMETPTPSMVTPGQLLESGTTVVPSPQPDATVHKDVEVVQVFSSPTEPSASVVSEAQPPILPLPSAAHKPNGASFQPRHGYRGRGQGRGTWNSRPVTKFTEDFDFMAMNEKFKKEEVWGHLGKSSKSNSKDKEGDASDKDGYQDEDDAETSKIQAKVTLRPFI >KJB30326 pep chromosome:Graimondii2_0_v6:5:35554634:35559153:1 gene:B456_005G137500 transcript:KJB30326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDSSSTKSGSTADSYIGSVISLTSKSEIRYEGVLYNINPDESSIGLKNVRSFGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSSPPAQPTPPINDDPAIIQSQFPHPVSTTTSAPSAATRPLTDPTTHAAQMGLPGSNYQAALPLYLPGGNLGSWGASPPPPTANGNGLPMPMYWEGYYGPPNRPPHLHHQSLLRPSPGQSMPPFMQQPMQYPNFNAPFLIGLGNLQSSSLPEAPPPLFPPSTGSPNVTSTLSSDLPPAPSASLASEALLNKAPSPTISPAALGASLPAISPLTTGPELSPIVPPIAHKPSTNPTVLHQTTSQAASSIIGVSNSVSMETPTPSMVTPGQLLESGTTVVPSPQPDATVHKDVEVVQVFSSPTEPSASVVSEAQPPILPLPSAAHKPNGASFQPRHGYRGRGQGRGTWNSRPVTKFTEDFDFMAMNEKFKKEEVWGHLGKSSKSNSKDKEGDASDKDGYQDEDDAETSKIQAKVTLRPFI >KJB30324 pep chromosome:Graimondii2_0_v6:5:35554449:35559445:1 gene:B456_005G137500 transcript:KJB30324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDSSSTKSGSTADSYIGSVISLTSKSEIRYEGVLYNINPDESSIGLKNVRSFGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSSPPAQPTPPINDDPAIIQSQFPHPVSTTTSAPSAATRPLTDPTTHAAQMGLPGSNYQAALPLYLPGGNLGSWGASPPPPTANGNGLPMPMYWEGYYGPPNRPPHLHHQSLLRPSPGQSMPPFMQQPMQYPNFNAPFLIGLGNLQSSSLPEAPPPLFPPSTGSPNVTSTLSSDLPPAPSASLASEALLNKAPSPTISPAALGASLPAISPLTTGPELSPIVPPIAHKPSTNPTVLHQTTSQAASSIIGVSNSVSMETPTPSMVTPGQLLESGTTVVPSPQPDATVHKDVEVVQVFSSPTEPSASVVSEAQPPILPLPSAAHKPNGASFQPRHGYRGRGQGRGTWNSRPVTKFTEDFDFMAMNEKFKKEEVWGHLGKSSKSNSKDKEGDASDKDGYQDEDDAETSKIQAKPVYNKDDFFDALSCNALDKDTQNGRPRFSEQMKLDTETFGVFSRHRGGRGGRFRGGYHGRGYGHVGRGSGRAMSMRAT >KJB30921 pep chromosome:Graimondii2_0_v6:5:49334677:49337477:1 gene:B456_005G168000 transcript:KJB30921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAMEKNLIRMAKEVEKLRAEVLSAEKKMHGTVPYAGGYMNPDPSYAPPFLGGTTYSGGYSRPVMQTGLRPV >KJB30922 pep chromosome:Graimondii2_0_v6:5:49334714:49337470:1 gene:B456_005G168000 transcript:KJB30922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAMEKNLIRMAKEVEKLRAEVLSAEKKMHGTVPYAGGYMNPDPSYAPPFLGGTTYSGGYSRPVMQTGLRPV >KJB32348 pep chromosome:Graimondii2_0_v6:5:61860851:61863980:-1 gene:B456_005G236800 transcript:KJB32348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPGTFSDEPLSPAGRLFLQRKTDVVIHCIARTKNPIDVDGAKATIMSSPMGQHPRFCSLLVRDENGFEHWRKTRLDVDRHVIVVKNRVNEDEEDDEVAVNRYVADLSVSSPLSTDKPLWEVHLLTAHKHVVFRIHHSLGDGISLMSMLMASCRKVSDPDALPMMVPEKTTEKRRDSPWLLGSMWGFLKMAWLTLVFVLEFLLRSLFVSDRKTAVSGGDGVELWPRKLATAKFLLHDMKEVKKAIPDATINDVLFGIVSCGLSRYLDHSTPNALHEGLRMTGVAMVNLRAKPGLQEISKLMKDNSETRWGNRFGYASLINYKLLCNTTFTISNLIGPLEDITLSGNPISSIKVSTSSLPQALTMHMVSYAGKAEMQILVAKDIIPDPEFLAKCFEDALLEMKVAAKEADKA >KJB32350 pep chromosome:Graimondii2_0_v6:5:61860874:61863786:-1 gene:B456_005G236800 transcript:KJB32350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPGTFSDEPLSPAGRLFLQRKTDVVIHCIARTKNPIDVDGAKATIMSSPMGQHPRFCSLLVRDENGFEHWRKTRLDVDRHVIVVKNRVNEDEEDDEVAVNRYVADLSVSSPLSTDKPLWEVHLLTAHKHVVFRIHHSLGDGISLMSMLMASCRKVSDPDALPMMVPEKTTEKRRDSPWLLGSMWGFLKMAWLTLVFVLEFLLRSLFVSDRKTAVSGGDGVELWPRKLATAKFLLHDMKEVKKAIPDATINDVLFGIVSCGLSRYLDHSTPNALHEGLRMTGVAMVNLRAKPGLQEISKLMKDNSETRWGNRFGVILLPVFYHKSGNDPLEYLKRAKVMVDRKKQSLEAYFSYRIGDLTMTLLGTKYASLINYKLLCNTTFTISNLIGPLEDITLSGNPISSIKALTMHMVSYAGKAEMQILVAKDIIPDPEFLAKCFEDALLEMKVAAKEADKA >KJB32349 pep chromosome:Graimondii2_0_v6:5:61861008:61863675:-1 gene:B456_005G236800 transcript:KJB32349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPGTFSDEPLSPAGRLFLQRKTDVVIHCIARTKNPIDVDGAKATIMSSPMGQHPRFCSLLVRDENGFEHWRKTRLDVDRHVIVVKNRVNEDEEDDEVAVNRYVADLSVSSPLSTDKPLWEVHLLTAHKHVVFRIHHSLGDGISLMSMLMASCRKVSDPDALPMMVPEKTTEKRRDSPWLLGSMWGFLKMAWLTLVFVLEFLLRSLFVSDRKTAVSGGDGVELWPRKLATAKFLLHDMKEVKKAIPDATINDVLFGIVSCGLSRYLDHSTPNALHEGLRMTGVAMVNLRAKPGLQEISKLMKDNSETRWGNRFGVILLPVFYHKSGNDPLEYLKRAKVMVDRKKQSLEAYFSYRIGDLTMTLLGTKYASLINYKLLCNTTFTISNLIGPLEDITLSGNPISSIKVSTSSLPQALTMHMVSYAGKAEMQILVAKDIIPDPEFLAKCFEDALLEMKVAAKEADKA >KJB32351 pep chromosome:Graimondii2_0_v6:5:61861581:61863980:-1 gene:B456_005G236800 transcript:KJB32351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPGTFSDEPLSPAGRLFLQRKTDVVIHCIARTKNPIDVDGAKATIMSSPMGQHPRFCSLLVRDENGFEHWRKTRLDVDRHVIVVKNRVNEDEEDDEVAVNRYVADLSVSSPLSTDKPLWEVHLLTAHKHVVFRIHHSLGDGISLMSMLMASCRKVSDPDALPMMVPEKTTEKRRDSPWLLGSMWGFLKMAWLTLVFVLEFLLRSLFVSDRKTAVSGGDGVELWPRKLATAKFLLHDMKEVKKAIPDATINDVLFGIVSCGLSRYLDHSTPNALHEGLRMTGVAMVNLRAKPGLQEISKLMKDNSETRWGNRFGVILLPVFYHKSGNDPLEYLKRAKVMVDRKKQSLEAYFSYRIGDLTMTLLGTKV >KJB29631 pep chromosome:Graimondii2_0_v6:5:20464182:20465828:-1 gene:B456_005G111300 transcript:KJB29631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTFILSVLLFQLLCTSHPCQRILTSAAAGGRWQLLQKSIGISAMHMQLLSNDRVVAFDRTDFGPSNLPLPKGKCRFDLNDTALKQDCTAHSIEYDVLSNKFRPLMVQTDVWCSSGAVTPNGDLVQTGGFNDGERKVRVFSPCSSCDWQESNNGLAARRWYSTNHILPDGRQIIVGGRKQFNYEFVPKNIAANTFDLPFLFETNDREVENNLYPFVFLNVDGNLFVFANNRAILLDYVKNKVVKRYPTIPGGDPRSYPSTGSAVLLPLKNFKASAIQAEVLVCGGAPKGSYSQATQGEFIGALKTCARIIITDPNPKWVIETMPLARVMGDMILLPNGNVLLINGAGSGSAGWEFGRNPVLNPVLYRPDNKIGTRFETHTPTKIPRMYHSTAALLRDGRVLVGGSNPHTYYNFTSVLFPTELSLEAFCPPYLEAKFNNLRPTIVGPNSMSGISYGKTLTLEMEIRGKVEEKLVWITMVAPAFNTHSFSMNQRLLVLGNDKITALGESRYNIEVRTPRSGNLAPAGFYLLFVVHQDIPSMGIWVKLQ >KJB32707 pep chromosome:Graimondii2_0_v6:5:63356605:63357048:-1 gene:B456_005G257200 transcript:KJB32707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAKKLINLARKWQKMAAIRRKRITSPSATSDTDANSCSTSTAVEQGHFVVYSVDQKRFVLPLEYLKNEIVMEAFNLAGEEFGLSGNKALILPCDATFMEYVIALIKRKPSKDVEKALILSVASGRCSSSYLYQQETSLQLPLWSI >KJB31803 pep chromosome:Graimondii2_0_v6:5:58987032:58988864:1 gene:B456_005G209000 transcript:KJB31803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKGLEQGGNRSSSCLKQGFSSGLVNCELCNSRASLYCQADDAYLCRKCDKWVHQANFLALRHIRCFLCSTCQSLTQRYLIGASHEVMLPTMVTSSETSHCNSDMETNLSTTLKTPFLFL >KJB32893 pep chromosome:Graimondii2_0_v6:5:63994114:63995252:-1 gene:B456_005G267700 transcript:KJB32893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFLSLGRRRVAVRSRKGFKSRSRARHGKKRETKEFRGRVKRLKTEMEKRSEEQKDIRERQRQVKDKVTAIEAECEELKRETRFIVQQTARTQIKLGLMFRILKARETGHLDEAALLTQMLRELVRFEEEEKVG >KJB27656 pep chromosome:Graimondii2_0_v6:5:203194:209867:1 gene:B456_005G003800 transcript:KJB27656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHNNDHTYTSFDISRSSSQAQENLSKSRRIRNKSVDFDVNLPYSENPRLIYINDPRRTNDKYEFTGNEIRTSKYTLITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVLQVGEFQMKKWKKIRAGEVVKIHADETIPCDMVLLGTSDPSGLAYIQTMNLDGESNLKTRYARQETASSIFEGCNVSGLIRCEQPNRNIYEFTANMEFNGHKFPLSQSNIVLRGCQLKNTGWIIGVVVYAGQETKAMLNSAVSPSKRSKLEGYMNRETFWLSIFLLVMCSVVAVGMGLWLHRHKDELDTLPYYRKTYIREGRENGKTYRYYGIPMETFFSFLSSVIVFQIMIPISLYITMELVRLGQSYFMIEDKHMYCSNSGSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFRKASVYGKDYRSSNLTDDSVQDNSITDAAVPSRWKLKSEISVDSELMDLLHKDLAGDERIAAHLFFLTLAACNTVIPIVSQDASSGHGSSDSWGEVKAIDYQGESPDEQALVSAASAYLYTLHERTSGHIVIDINGDKLRLDVLGLHEFDSVRKRMSVVIRFPDNTVKVLVKGADSTMFSILADTEKVDQIRQATRSHLTEYSSEGLRTLVVAARDLTDAELEQWQCRYEDASTSLIDRAAKLRQTAALVECNLKLLGATAIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTADMQQIIINGNSEEECRNLLTDAMTRHGVQPANRKKQNSKRRKNSENGYLEIPDDTKSSNVLQRCSGKEEPDVCAPLALIIDGNSLVYILEKDLQSELFDIATSCKVVLCCRVAPLQKAGIVDLIKSHTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVIYTSVPTIVIGILDKDLSHKTLLEYPKLYGVGHRHEAYNLQLFWITMIDTLWQSLVLFYIPLFTYKESTIDIWSMGSLWTIAVVILVNIHLAMDIRRWVFITHAAVWGSIIITYACMVVLDSIPVFPNYWTIYHLVKSPTYWLTILLIIIVALLPRFLFKVIHQIFWPSDIQIAREAEILRKVTPNLRSKPDEDSS >KJB27658 pep chromosome:Graimondii2_0_v6:5:203187:210842:1 gene:B456_005G003800 transcript:KJB27658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHNNDHTYTSFDISRSSSQAQENLSKSRRIRNKSVDFDVNLPYSENPRLIYINDPRRTNDKYEFTGNEIRTSKYTLITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVLQVGEFQMKKWKKIRAGEVVKIHADETIPCDMVLLGTSDPSGLAYIQTMNLDGESNLKTRYARQETASSIFEGCNVSGLIRCEQPNRNIYEFTANMEFNGHKFPLSQSNIVLRGCQLKNTGWIIGVVVYAGQETKAMLNSAVSPSKRSKLEGYMNRETFWLSIFLLVMCSVVAVGMGLWLHRHKDELDTLPYYRKTYIREGRENGKTYRYYGIPMETFFSFLSSVIVFQIMIPISLYITMELVRLGQSYFMIEDKHMYCSNSGSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFRKASVYGKDYRSSNLTDDSVQDNSITDAAVPSRWKLKSEISVDSELMDLLHKDLAGDERIAAHLFFLTLAACNTVIPIVSQDASSGHGSSDSWGEVKAIDYQGESPDEQALVSAASAYLYTLHERTSGHIVIDINGDKLRLDVLGLHEFDSVRKRMSVVIRFPDNTVKVLVKGADSTMFSILADTEKVDQIRQATRSHLTEYSSEGLRTLVVAARDLTDAELEQWQCRYEDASTSLIDRAAKLRQTAALVECNLKLLGATAIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTADMQQIIINGNSEEECRNLLTDAMTRHGVQPANRKKQNSKRRKNSENGYLEIPDDTKSSNVLQRCSGKEEPDVCAPLALIIDGNSLVYILEKDLQSELFDIATSCKVVLCCRVAPLQKAGIVDLIKSHTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVIYTSVPTIVIGILDKDLSHKTLLEYPKLYGVGHRHEAYNLQLFWITMIDTLWQSLVLFYIPLFTYKESTIDIWSMGSLWTIAVVILVNIHLAMDIRRWVFITHAAVWGSIIITYACMVVLDSIPVFPNYWTIYHLVKSPTYWLTILLIIIVALLPRFLFKVIHQIFWPSDIQIAREAEILRKVTPNLRSKPDEDSS >KJB27657 pep chromosome:Graimondii2_0_v6:5:203339:210842:1 gene:B456_005G003800 transcript:KJB27657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHNNDHTYTSFDISRSSSQAQENLSKSRRIRNKSVDFDVNLPYSENPRLIYINDPRRTNDKYEFTGNEIRTSKYTLITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVLQVGEFQMKKWKKIRAGEVVKIHADETIPCDMVLLGTSDPSGLAYIQTMNLDGESNLKTRYARQETASSIFEGCNVSGLIRCEQPNRNIYEFTANMEFNGHKFPLSQSNIVLRGCQLKNTGWIIGVVVYAGQETKAMLNSAVSPSKRSKLEGYMNRETFWLSIFLLVMCSVVAVGMGLWLHRHKDELDTLPYYRKTYIREGRENGKTYRYYGIPMETFFSFLSSVIVFQIMIPISLYITMELVRLGQSYFMIEDKHMYCSNSGSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFRKASVYGKDYRSSNLTDDSVQDNSITDAAVPSRWKLKSEISVDSELMDLLHKDLAGDERIAAHLFFLTLAACNTVIPIVSQDASSGHGSSDSWGEVKAIDYQGESPDEQALVSAASAYLYTLHERTSGHIVIDINGDKLRLDVLGLHEFDSVRKRMSVVIRFPDNTVKVLVKGADSTMFSILADTEKVDQIRQATRSHLTEYSSEGLRTLVVAARDLTDAELEQWQCRYEDASTSLIDRAAKLRQTAALVECNLKLLGATAIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTADMQQIIINGNSEEECRNLLTDAMTRHGVQPANRKKQNSKRRKNSENGYLEIPDDTKSSNVLQRCSGKEEPDVCAPLALIIDGNSLVYILEKDLQSELFDIATSCKVVLCCRVAPLQKAGIVDLIKSHTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVIYTSVPTIVIGILDKDLSHKTLLEYPKLYGVGHRHEAYNLQLFWITMIDTLWQSLVLFYIPLFTYKESTIDIWSMGSLWTIAVVILVNIHLAMDIRRWVFITHAAVWGSIIITYACMVVLDSIPVFPNYWTIYHLVKSPTYWLTILLIIIVALLPRFLFKVIHQIFWPSDIQIAREAEILRKVTPNLRSKPDEDSS >KJB27655 pep chromosome:Graimondii2_0_v6:5:203339:210702:1 gene:B456_005G003800 transcript:KJB27655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHNNDHTYTSFDISRSSSQAQENLSKSRRIRNKSVDFDVNLPYSENPRLIYINDPRRTNDKYEFTGNEIRTSKYTLITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVLQVGEFQMKKWKKIRAGEVVKIHADETIPCDMVLLGTSDPSGLAYIQTMNLDGESNLKTRYARQETASSIFEGCNVSGLIRCEQPNRNIYEFTANMEFNGHKFPLSQSNIVLRGCQLKNTGWIIGVVVYAGQETKAMLNSAVSPSKRSKLEGYMNRETFWLSIFLLVMCSVVAVGMGLWLHRHKDELDTLPYYRKTYIREGRENGKTYRYYGIPMETFFSFLSSVIVFQIMIPISLYITMELVRLGQSYFMIEDKHMYCSNSGSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFRKASVYGKDYRSSNLTDDSVQDNSITDAAVPSRWKLKSEISVDSELMDLLHKDLAGDERIAAHLFFLTLAACNTVIPIVSQDASSGHGSSDSWGEVKAIDYQGESPDEQALVSAASAYLYTLHERTSGHIVIDINGDKLRLDVLGLHEFDSVRKRMSVVIRFPDNTVKVLVKGADSTMFSILADTEKVDQIRQATRSHLTEYSSEGLRTLVVAARDLTDAELEQWQCRYEDASTSLIDRAAKLRQTAALVECNLKLLGATAIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTADMQQIIINGNSEEECRNLLTDAMTRHGVQPANRKKQNSKRRKNSENGYLEIPDDTKSSNVLQRCSGKEEPDVCAPLALIIDGNSLVYILEKDLQSELFDIATSCKVVLCCRVAPLQKAGIVDLIKSHTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVIYTSVPTIVIGILDKDLSHKTLLEYPKLYGVGHRHEAYNLQLFWITMIDTLWQSLVLFYIPLFTYKESTIDIWSMGSLWTIAVVILVNIHLAMDIRRWVFITHAAVWGSIIITYACMVVLDSIPVFPNYWTIYHLVKSPTYWLTILLIIIVALLPRFLFKVIHQIFWPSDIQIAREAEILRKVTPNLRSKPDEDSS >KJB30537 pep chromosome:Graimondii2_0_v6:5:40593109:40597126:-1 gene:B456_005G148300 transcript:KJB30537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGEKDKYELGKRDEHNNINYQAPVLSSDWQFGGANLTSAGMSLVPTTNPLAIGSSCASASLVDSFGSSLWEHPSNSQNMGFCDFSVQNGANSSNAMEVGKGLPNSLRSSIDRPFDMGWNAASAMLKGGIFLPNTTGILAQSLSQLPADSAFIERAARFSSFNGGNFSDMVNPFGVPEPMGLYARGVGLMQGPDDIFAVNGMKSVSVMESQKSKLDATEATRDAGLRVENRAKASPLENERKSESLMQPNEEAKQGTGGSGNESDEAEISSRDGGQDEPSALDGTGGEPSAKGLSSKKRKKSIQDAEIDRAKGGQTSTKTAKDSPENQQKQSMMINKTTGKQGSPASHPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFSIEALLAKDIIQSRAGPSSTLGFSPDLSMGYPPLHPSQPGLVQAPLPVIGNSSDVIHKALSSQLTSMTGGLKEPNQHSNAWEDELHNVVQMN >KJB30542 pep chromosome:Graimondii2_0_v6:5:40593109:40597396:-1 gene:B456_005G148300 transcript:KJB30542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGEKDKYELGKRDEHNNINYQAPVLSSDWQFGGANLTSAGMSLVPTTNPLAIGSSCASASLVDSFGSSLWEHPSNSQNMGFCDFSVQNGANSSNAMEVGKGLPNSLRSSIDRPFDMGWNAASAMLKGGIFLPNTTGILAQSLSQLPADSAFIERAARFSSFNGGNFSDMVNPFGVPEPMGLYARGVGLMQGPDDIFAVNGMKSVSVMESQKSKLDATEATRDAGLRVENRAKASPLENERKSESLMQPNEEAKQGTGGSGNESDEAEISSRDGGQDEPSALDGTGGEPSAKGLSSKKRKKSIQDAEIDRAKGGQTSTKTAKDSPENQQKQSMMINKTTGKQGSPASHPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFSIEALLAKDIIQSRAGPSSTLGFSPDLSMGYPPLHPSQPGLVQAPLPVIGNSSDVIHKALSSQLTSMTGGLKEPNQHSNAWEDELHNVVQMN >KJB30535 pep chromosome:Graimondii2_0_v6:5:40592491:40597396:-1 gene:B456_005G148300 transcript:KJB30535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGEKDKYELGKRDEHNNINYQAPVLSSDWQFGGANLTSAGMSLVPTTNPLAIGSSCASASLVDSFGSSLWEHPSNSQNMGFCDFSVQNGANSSNAMEVGKGLPNSLRSSIDRPFDMGWNAASAMLKGGIFLPNTTGILAQSLSQLPADSAFIERAARFSSFNGGNFSDMVNPFGVPEPMGLYARGVGLMQGPDDIFAVNGMKSVSVMESQKSKLDATEATRDAGLRVENRAKASPLENERKSESLMQPNEEAKQGTGGSGNESDEAEISSRDGGQDEPSALDGTGGEPSAKGLSSKKRKKSIQDAEIDRAKGGQTSTKTAKDSPENQQKQSMMINKTTGKQGSPASHPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFSIEALLAKDIIQSRAGPSSTLGFSPDLSMGYPPLHPSQPGLVQAPLPVIGNSSDVIHKALSSQLTSMTGGLKEPNQHSNAWEDELHNVVQMN >KJB30543 pep chromosome:Graimondii2_0_v6:5:40593720:40596115:-1 gene:B456_005G148300 transcript:KJB30543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGEKDKYELGKRDEHNNINYQAPVLSSDWQFGGANLTSAGMSLVPTTNPLAIGSSCASASLVDSFGSSLWEHPSNSQNMGFCDFSVQNGANSSNAMEVGKGLPNSLRSSIDRPFDMGWNAASAMLKGGIFLPNTTGILAQSLSQLPADSAFIERAARFSSFNGGNFSDMVNPFGVPEPMGLYARGVGLMQGPDDIFAVNGMKSVSVMESQKSKLDATEATRDAGLRVENRAKASPLENERKSESLMQPNEEAKQGTGGSGNESDEAEISSRDGGQDEPSALDGTGGEPSAKGLSSKKRKKSIQDAEIDRAKGGQTSTKTAKDSPENQQKQSMMINKTTGKQGSPASHPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFSIEALLAKDIIQSRAGPSSTLGFSPDLSMGYPPLHPSQPGLVQAPLPVIGNSSDVIHKALSSQLTSMTGGLKEPNQVFLHFFTRMHGRMSSTMLFK >KJB30534 pep chromosome:Graimondii2_0_v6:5:40593088:40597396:-1 gene:B456_005G148300 transcript:KJB30534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGEKDKYELGKRDEHNNINYQAPVLSSDWQFGGANLTSAGMSLVPTTNPLAIGSSCASASLVDSFGSSLWEHPSNSQNMGFCDFSVQNGANSSNAMEVGKGLPNSLRSSIDRPFDMGWNAASAMLKGGIFLPNTTGILAQSLSQLPADSAFIERAARFSSFNGGNFSDMVNPFGVPEPMGLYARGVGLMQGPDDIFAVNGMKSVSVMESQKSKLDATEATRDAGLRVENRAKASPLENERKSESLMQPNEEAKQGTGGSGNESDEAEISSRDGGQDEPSALDGTGGEPSAKGLSSKKRKKSIQDAEIDRAKGGQTSTKTAKDSPENQQKQSMMINKTTGKQGSPASHPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFSIEALLAKDIIQSRAGPSSTLGFSPDLSMGYPPLHPSQPGLVQAPLPVIGNSSDVIHKALSSQLTSMTGGLKEPNQHSNAWEDELHNVVQMN >KJB30539 pep chromosome:Graimondii2_0_v6:5:40593720:40596115:-1 gene:B456_005G148300 transcript:KJB30539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGEKDKYELGKRDEHNNINYQAPVLSSDWQFGGANLTSAGMSLVPTTNPLAIGSSCASASLVDSFGSSLWEHPSNSQNMGFCDFSVQNGANSSNAMEVGKGLPNSLRSSIDRPFDMGWNAASAMLKGGIFLPNTTGILAQSLSQLPADSAFIERAARFSSFNGGNFSDMVNPFGVPEPMGLYARGVGLMQGPDDIFAVNGMKSVSVMESQKSKLDATEATRDAGLRVENRAKASPLENERKSESLMQPNEEAKQGTGGSGNESDEAEISSRDGGQDEPSALDGTGGEPSAKGLSSKKRKKSIQDAEIDRAKGGQTSTKTAKDSPENQQKQSMMINKTTGKQGSPASHPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFSIEALLAKDIIQSRAGPSSTLGFSPDLSMGYPPLHPSQPGLVQAPLPVIGNSSDVIHKALSSQLTSMTGGLKEPNQVFLHFFTRMHGRMSSTMLFK >KJB30538 pep chromosome:Graimondii2_0_v6:5:40593720:40596115:-1 gene:B456_005G148300 transcript:KJB30538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGEKDKYELGKRDEHNNINYQAPVLSSDWQFGGANLTSAGMSLVPTTNPLAIGSSCASASLVDSFGSSLWEHPSNSQNMGFCDFSVQNGANSSNAMEVGKGLPNSLRSSIDRPFDMGWNAASAMLKGGIFLPNTTGILAQSLSQLPADSAFIERAARFSSFNGGNFSDMVNPFGVPEPMGLYARGVGLMQGPDDIFAVNGMKSVSVMESQKSKLDATEATRDAGLRVENRAKASPLENERKSESLMQPNEEAKQGTGGSGNESDEAEISSRDGGQDEPSALDGTGGEPSAKGLSSKKRKKSIQDAEIDRAKGGQTSTKTAKDSPENQQKQSMMINKTTGKQGSPASHPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFSIEALLAKDIIQSRAGPSSTLGFSPDLSMGYPPLHPSQPGLVQAPLPVIGNSSDVIHKALSSQLTSMTGGLKEPNQVFLHFFTRMHGRMSSTMLFK >KJB30540 pep chromosome:Graimondii2_0_v6:5:40593871:40596115:-1 gene:B456_005G148300 transcript:KJB30540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGEKDKYELGKRDEHNNINYQAPVLSSDWQFGGANLTSAGMSLVPTTNPLAIGSSCASASLVDSFGSSLWEHPSNSQNMGFCDFSVQNGANSSNAMEVGKGLPNSLRSSIDRPFDMGWNAASAMLKGGIFLPNTTGILAQSLSQLPADSAFIERAARFSSFNGGNFSDMVNPFGVPEPMGLYARGVGLMQGPDDIFAVNGMKSVSVMESQKSKLDATEATRDAGLRVENRAKASPLENERKSESLMQPNEEAKQGTGGSGNESDEAEISSRDGGQDEPSALDGTGGEPSAKGLSSKKRKKSIQDAEIDRAKGGQTSTKTAKDSPENQQKQSMMINKTTGKQGSPASHPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFSIEALLAKDIIQSRAGPSSTLGFSPDLSMGYPPLHPSQPGLVQAPLPVIGNSSDVIHKALSSQLTSMTGGLKEPNQVFLHFL >KJB30536 pep chromosome:Graimondii2_0_v6:5:40593088:40597396:-1 gene:B456_005G148300 transcript:KJB30536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGEKDKYELGKRDEHNNINYQAPVLSSDWQFGGANLTSAGMSLVPTTNPLAIGSSCASASLVDSFGSSLWEHPSNSQNMGFCDFSVQNGANSSNAMEVGKGLPNSLRSSIDRPFDMGWNAASAMLKGGIFLPNTTGILAQSLSQLPADSAFIERAARFSSFNGGNFSDMVNPFGVPEPMGLYARGVGLMQGPDDIFAVNGMKSVSVMESQKSKLDATEATRDAGLRVENRAKASPLENERKSESLMQPNEEAKQGTGGSGNESDEAEISSRDGGQDEPSALDGTGGEPSAKGLSSKKRKKSIQDAEIDRAKGGQTSTKTAKDSPENQQKQSMMINKTTGKQGSPASHPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFSIEALLAKDIIQSRAGPSSTLGFSPDLSMGYPPLHPSQPGLVQAPLPVIGNSSDVIHKALSSQLTSMTGGLKEPNQHSNAWEDELHNVVQMN >KJB30541 pep chromosome:Graimondii2_0_v6:5:40593134:40597396:-1 gene:B456_005G148300 transcript:KJB30541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGEKDKYELGKRDEHNNINYQAPVLSSDWQFGGANLTSAGMSLVPTTNPLAIGSSCASASLVDSFGSSLWEHPSNSQNMGFCDFSVQNGANSSNAMEVGKGLPNSLRSSIDRPFDMGWNAASAMLKGGIFLPNTTGILAQSLSQLPADSAFIERAARFSSFNGGNFSDMVNPFGVPEPMGLYARGVGLMQGPDDIFAVNGMKSVSVMESQKSKLDATEATRDAGLRVENRAKASPLENERKSESLMQPNEEAKQGTGGSGNESDEAEISSRDGGQDEPSALDGTGGEPSAKGLSSKKRKKSIQDAEIDRAKGGQTSTKTAKDSPENQQKQSMMINKTTGKQGSPASHPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFSIEALLAKDIIQSRAGPSSTLGFSPDLSMGYPPLHPSQPGLVQAPLPVIGNSSDVIHKALSSQLTSMTGGLKEPNQHSNAWEDELHNVVQMN >KJB30584 pep chromosome:Graimondii2_0_v6:5:41752164:41753889:1 gene:B456_005G150500 transcript:KJB30584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRQKLLSFLFSQLILVLMVSNHSNAMGLKLGYYHKTCPNAESIISKTTYRFISRAPTLAAPLLRMHFHDCFVRGCDGSVLLNSTKTNISEKDANPNQSLRGYHVIDAVKSAVEEACPGVVSCADILALVARDSVSMIHGPYWKVPLGRRDGRVSILNEVFAQLPAPFANITQLKQMFAAKGLNTKDLAVLSGGHTIGTSHCLGFTNRLYNFSGKGDTDPSMDPNYIVKLKQKCKPKDTTTLVEMDPGSFKTFDDAYYTLVAKRRGLFQSDSALLVDPETKAYVILQASTHGSTFAKDFAESMVKMGQVGVLTGNQGEIRKHCALVN >KJB29227 pep chromosome:Graimondii2_0_v6:5:12103782:12106456:1 gene:B456_005G090100 transcript:KJB29227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLIFIGIHLEQQFGFIRVGLIYLLSGFGGSVLSSLFIQRSVSVGASGALFGLLGAMLSELLTNWTIYTNKAAALITLTVIIVINLAVGILHHVDNFAHIGGFLTGFLLRFVLLLRPQFGWVGRKHLPAGARVTSKHKAYQYLFLVIAMFLLIVGFTVGLVMLFRGENGHDHCSWCHYLNCVPTSKWHCGN >KJB29228 pep chromosome:Graimondii2_0_v6:5:12103805:12106456:1 gene:B456_005G090100 transcript:KJB29228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNCPKNNQGFEGGCVARFLGRLSFEPLKENHLFGPSSNTLEKLGALKWDKLHAGVIHLLANMLSLIFIGIHLEQQFGFIRVGLIYLLSGFGGSVLSSLFIQRSVSVGASGALFGLLGAMLSELLTNWTIYTNKAAALITLTVIIVINLAVGILHHVDNFAHIGGFLTGFLLRFVLLLRPQFGWVGRKHLPAGARVTSKHKAYQYLFLVIAMFLLIVGFTVGLVMLFRGENGHDHCSWCHYLNCVPTSKWHCGN >KJB29226 pep chromosome:Graimondii2_0_v6:5:12104029:12106225:1 gene:B456_005G090100 transcript:KJB29226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLIFIGIHLEQQFGFIRVGLIYLLSGFGGSVLSSLFIQRSVSVGASGALFGLLGAMLSELLTNWTIYTNKAAALITLTVIIVINLAVGILHHVDNFAHIGGFLTGFLLRFVLLLRPQFGWVGRKHLPAGARVTSKHKAYQYLFLVIAMFLLIVGFTVGLVMLFRGENGHDHCSWCHYLNCVPTSKWHCGN >KJB28364 pep chromosome:Graimondii2_0_v6:5:4242724:4247002:-1 gene:B456_005G044600 transcript:KJB28364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G22740) UniProtKB/Swiss-Prot;Acc:Q8LAX0] MGLEGVEPSSFMADFLAGCGGYAVVDGGLATELERHGQDLNDPLWSAKCLISFPQLVRRVHLDYLEAGANVIIGASYQATIQGFEAKGLSIEEAETLIKRSVEIACEAREIYLQRCAKGYWDFLDSPKIDRHPVLVAASVGSYGAYLADGSEYSGNYGASVTLETLKEFHRRRLQILANSGADLIAFETIPNKLEAQAYVELLKEENIDIPAWFSFSSTDGTNVVSGDPITECAKIADSCSQVVAVGINCTPPRLIHGLILSIRKVTNKPVIIYPNSGETYNGETKQWEKTRGETEEDFVSYVGKWRDAGACLFGGCCRTTPNTIRAISRALFDKSS >KJB29985 pep chromosome:Graimondii2_0_v6:5:28744521:28749477:-1 gene:B456_005G126900 transcript:KJB29985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSSAANGKSGNKRDDQVTDASTAITCASPKKQKQRQGLGRWRKKSSGLVPMGEAELHLIPGRMFLNGASSVACLYTQQGKKGTNQDAMLVWENFSSRSDAIFCGVFDGHGPYGHMVAKKVRDSLPVILCTQWKASLTGEQSCLNKSENAPESTMSEDAASLSMDDECCESWEIEENEQLPEMYLPLKQSMLKAFKLMDKELKLHPAIDCFCSGTTAVTLVKQGLDLVIGNVGDSRAVLAMRDKDNSLIAVQLTVDLKPDLPREAARIQQCRGRVFALQDEPEVARVWLPNNDSPGLAMSRAFGDFCLKDFGLISVPDVFYHRLTERDEFVILATDGVWDVLSNKEAIDIVASAPSHSTAARALVDCATRAWRLKYPTSKNDDCAVVCLFLEYLPASNGTAEENYITRIPKECRETMVAIDENKGDSHTSSLGQSDAFHGSTEIVPVTELTEEMLSSKFPGQSKRSLAECISVADDEEWSALEGITRVNSLLSLPRFLSSHKRSTRSRRKWL >KJB29987 pep chromosome:Graimondii2_0_v6:5:28745749:28747117:-1 gene:B456_005G126900 transcript:KJB29987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSSAANGKSGNKRDDQVTDASTAITCASPKKQKQRQGLGRWRKKSSGLVPMGEAELHLIPGRMFLNGASSVACLYTQQGKKGTNQDAMLVWENFSSRSDAIFCGVFDGHGPYGHMVAKKVRDSLPVILCTQWKASLTGEQSCLNKSENAPESTMSEDAASLSMDDECCESWEIEENEQLPEMYLPLKQSMLKAFKLMDKELKLHPAIDCFCSGTTAVTLVKQGLDLVIGNVGDSRAVLAMRDKDNSLIAVQLTVDLKPDLPSPSLSFFISSLSPLSLELISCCNTYV >KJB29984 pep chromosome:Graimondii2_0_v6:5:28744521:28748842:-1 gene:B456_005G126900 transcript:KJB29984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSSAANGKSGNKRDDQVTDASTAITCASPKKQKQRQGLGRWRKKSSGLVPMGEAELHLIPGRMFLNGASSVACLYTQQGKKGTNQDAMLVWENFSSRSDAIFCGVFDGHGPYGHMVAKKVRDSLPVILCTQWKASLTGEQSCLNKSENAPESTMSEDAASLSMDDECCESWEIEENEQLPEMYLPLKQSMLKAFKLMDKELKLHPAIDCFCSGTTAVTLVKQGLDLVIGNVGDSRAVLAMRDKDNSLIAVQLTVDLKPDLPREAARIQQCRGRVFALQDEPEVARMFSITALLKETNLSFLPLMGFGTSFQIRKPLTLWRQPLVTQQLPELL >KJB29986 pep chromosome:Graimondii2_0_v6:5:28744510:28749847:-1 gene:B456_005G126900 transcript:KJB29986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKKNRHQPHPTLPSPPQNKTLKLDSFIYSFSLSSSLNLSLVDRASATVEAVFLISQASNKDFEMGSCFSSAANGKSGNKRDDQVTDASTAITCASPKKQKQRQGLGRWRKKSSGLVPMGEAELHLIPGRMFLNGASSVACLYTQQGKKGTNQDAMLVWENFSSRSDAIFCGVFDGHGPYGHMVAKKVRDSLPVILCTQWKASLTGEQSCLNKSENAPESTMSEDAASLSMDDECCESWEIEENEQLPEMYLPLKQSMLKAFKLMDKELKLHPAIDCFCSGTTAVTLVKQGLDLVIGNVGDSRAVLAMRDKDNSLIAVQLTVDLKPDLPREAARIQQCRGRVFALQDEPEVARVWLPNNDSPGLAMSRAFGDFCLKDFGLISVPDVFYHRLTERDEFVILATDGVWDVLSNKEAIDIVASAPSHSTAARALVDCATRAWRLKYPTSKNDDCAVVCLFLEYLPASNGTAEENYITRIPKECRETMVAIDENKGDSHTSSLGQSDAFHGSTEIVPVTELTEEMLSSKFPGQSKRSLAECISVADDEEWSALEGITRVNSLLSLPRFLSSHKRSTRSRRKWL >KJB29983 pep chromosome:Graimondii2_0_v6:5:28744521:28748808:-1 gene:B456_005G126900 transcript:KJB29983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAELHLIPGRMFLNGASSVACLYTQQGKKGTNQDAMLVWENFSSRSDAIFCGVFDGHGPYGHMVAKKVRDSLPVILCTQWKASLTGEQSCLNKSENAPESTMSEDAASLSMDDECCESWEIEENEQLPEMYLPLKQSMLKAFKLMDKELKLHPAIDCFCSGTTAVTLVKQGLDLVIGNVGDSRAVLAMRDKDNSLIAVQLTVDLKPDLPREAARIQQCRGRVFALQDEPEVARVWLPNNDSPGLAMSRAFGDFCLKDFGLISVPDVFYHRLTERDEFVILATDGVWDVLSNKEAIDIVASAPSHSTAARALVDCATRAWRLKYPTSKNDDCAVVCLFLEYLPASNGTAEENYITRIPKECRETMVAIDENKGDSHTSSLGQSDAFHGSTEIVPVTELTEEMLSSKFPGQSKRSLAECISVADDEEWSALEGITRVNSLLSLPRFLSSHKRSTRSRRKWL >KJB29982 pep chromosome:Graimondii2_0_v6:5:28744587:28748842:-1 gene:B456_005G126900 transcript:KJB29982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSSAANGKSGNKRDDQVTDASTAITCASPKKQKQRQGLGRWRKKSSGLVPMGEAELHLIPGRMFLNGASSVACLYTQQGKKGTNQDAMLVWENFSSRSDAIFCGVFDGHGPYGHMVAKKVRDSLPVILCTQWKASLTGEQSCLNKSENAPESTMSEDAASLSMDDECCESWEIEENEQLPEMYLPLKQSMLKAFKLMDKELKLHPAIDCFCSGTTAVTLVKQGLDLVIGNVGDSRAVLAMRDKDNSLIAVQLTVDLKPDLPREAARIQQCRGRVFALQDEPEVARVWLPNNDSPGLAMSRAFGDFCLKDFGLISVPDVFYHRLTERDEFVILATDGVWDVLSNKEAIDIVASAPSHSTAARALVDCATRAWRLKYPTSKNDDCAVVCLFLEYLPASNGTAEENYITRIPKECRETMVAIDENKGDSHTSSLGQSDAFHGSTEIVPVTELTEEMLSSKFPGQSKRSLAECISVADDEEWSALEGITRVNSLLSLPRFLSSHKRSTRSRRKWL >KJB29988 pep chromosome:Graimondii2_0_v6:5:28746234:28748842:-1 gene:B456_005G126900 transcript:KJB29988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSSAANGKSGNKRDDQVTDASTAITCASPKKQKQRQGLGRWRKKSSGLVPMGEAELHLIPGRMFLNGASSVACLYTQQGKKGTNQDAMLVWENFSSRSDAIFCGVFDGHGPYGHMVAKKVRDSLPVILCTQWKASLTGEQSCLNKSENAPESTMSEDAASLSMDDECCESWEIEENEQLPEMYLPLKQSMLKAFKLMDKELKLHPAIDCFCSGTTAVTLVKQVLTMSGLKSKLSHLLDC >KJB27686 pep chromosome:Graimondii2_0_v6:5:273244:277403:-1 gene:B456_005G004900 transcript:KJB27686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSEAETRSVAVSNGLITSADELTIDQNLLIDPKSLFIGSKIGEGAHGKVYEGRYGDRIVAIKVLHRGSTVEERAALESRFAREVNMMSRVKHENLVKFFGACKDPLMAIVTELLPGMSLRKYLISIRPKVLDPHVALNFALDIARAMDCLHANGIIHRDLKPDNLLLTANQRSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRRGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSLPKDISPDLAFIIQSCWVEDPNMRPSFSQIVRMLNAFLFTLTPPPSSIPESDASEKAGTSNGTITELSVRAKGKFAFLRQLFAAKRTRN >KJB27687 pep chromosome:Graimondii2_0_v6:5:273244:277354:-1 gene:B456_005G004900 transcript:KJB27687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSEAETRSVAVSNGLITSADELTIDQNLLIDPKSLFIGSKIGEGAHGKVYEGRYGDRIVAIKVLHRGSTVEERAALESRFAREVNMMSRVKHENLVKFFGACKDPLMAIVTELLPGMSLRKYLISIRPKVLDPHVALNFALDIARAMDCLHANGIIHRDLKPDNLLLTANQRSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRRGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSLPKDISPDLAFIIQSCWVEDPNMRPSFSQIVRMLNAFLFTLTPPPSSIPESDASEKAGTSNGTITELSVRAKGKFAFLRQLFAAKRTRN >KJB27690 pep chromosome:Graimondii2_0_v6:5:273958:276119:-1 gene:B456_005G004900 transcript:KJB27690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRVKHENLVKFFGACKDPLMAIVTELLPGMSLRKYLISIRPKVLDPHVALNFALDIARAMDCLHANGIIHRDLKPDNLLLTANQRSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRRGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSLPKDISPDLAFIIQSCWVEDPNMRPSFSQIVRMLNAFLFTLTPPPSSIPESDASEKAGTSNGTITELSVRAKGKFAFLRQLFAAKRTRN >KJB27688 pep chromosome:Graimondii2_0_v6:5:273447:277347:-1 gene:B456_005G004900 transcript:KJB27688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYTKEDNLLLTANQRSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRRGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSLPKDISPDLAFIIQSCWVEDPNMRPSFSQIVRMLNAFLFTLTPPPSSIPESDASEKAGTSNGTITELSVRAKGKFAFLRQLFAAKRTRN >KJB27689 pep chromosome:Graimondii2_0_v6:5:273447:277354:-1 gene:B456_005G004900 transcript:KJB27689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYTKEVLHRGSTVEERAALESRFAREVNMMSRVKHENLVKFFGACKDPLMAIVTELLPGMSLRKYLISIRPKVLDPHVALNFALDIARAMDCLHANGIIHRDLKPDNLLLTANQRSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRRGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSLPKDISPDLAFIIQSCWVEDPNMRPSFSQIVRMLNAFLFTLTPPPSSIPESDASEKAGTSNGTITELSVRAKGKFAFLRQLFAAKRTRN >KJB28092 pep chromosome:Graimondii2_0_v6:5:2390952:2393583:1 gene:B456_005G027200 transcript:KJB28092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEETECCRTTGPGYETPLKAMSGPKEALLYVTCVYTGTGREKPDFLATVDVDPNSPTYSKVIHRLSMPYVGDELHHSGWNACSSCHGDPSADRRFLILPSLVSGRVYVIDTQKNPKAPSLHKVVQPEDIVKNTGLAYPHTSHCLASGDIMISCLGDKDGNAKGNGFLLLDSEFNVKGRWEKPGHSPMFGYDFWYQPRHKTMISSSWGAPVAFTKGFDLQHVTDGLYGRHLYVYSWPDGELKQTLDLGDTGLIPLETRFLHDPSKDTGYVGCALTSNMVRFFKTKDGSWSHEVAISVKPLKVQNWILPEMPGLITDFLISLDDRFLYFANWLHGDVRQYNIEDPKNPVLVGQVWVGGLIQKGSPVVAMTEDGKTWQSDVPEIQVCSNIHINLFRLIISMAIDEHCYILLQGHRLRGGPQMIQLSLDGKRLYVTNSLFSSWDRQFYPELVEKGSHMLQIDVNTEEGGLKTNPNFFVDFGAEPDGPSLAHEMRYPGGDCTSDIWT >KJB28093 pep chromosome:Graimondii2_0_v6:5:2390952:2393612:1 gene:B456_005G027200 transcript:KJB28093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEETECCRTTGPGYETPLKAMSGPKEALLYVTCVYTGREKPDFLATVDVDPNSPTYSKVIHRLSMPYVGDELHHSGWNACSSCHGDPSADRRFLILPSLVSGRVYVIDTQKNPKAPSLHKVVQPEDIVKNTGLAYPHTSHCLASGDIMISCLGDKDGNAKGNGFLLLDSEFNVKGRWEKPGHSPMFGYDFWYQPRHKTMISSSWGAPVAFTKGFDLQHVTDGLYGRHLYVYSWPDGELKQTLDLGDTGLIPLETRFLHDPSKDTGYVGCALTSNMVRFFKTKDGSWSHEVAISVKPLKVQNWILPEMPGLITDFLISLDDRFLYFANWLHGDVRQYNIEDPKNPVLVGQVWVGGLIQKGSPVVAMTEDGKTWQSDVPEIQGHRLRGGPQMIQLSLDGKRLYVTNSLFSSWDRQFYPELVEKGSHMLQIDVNTEEGGLKTNPNFFVDFGAEPDGPSLAHEMRYPGGDCTSDIWT >KJB28091 pep chromosome:Graimondii2_0_v6:5:2390800:2393643:1 gene:B456_005G027200 transcript:KJB28091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEETECCRTTGPGYETPLKAMSGPKEALLYVTCVYTGTGREKPDFLATVDVDPNSPTYSKVIHRLSMPYVGDELHHSGWNACSSCHGDPSADRRFLILPSLVSGRVYVIDTQKNPKAPSLHKVVQPEDIVKNTGLAYPHTSHCLASGDIMISCLGDKDGNAKGNGFLLLDSEFNVKGRWEKPGHSPMFGYDFWYQPRHKTMISSSWGAPVAFTKGFDLQHVTDGLYGRHLYVYSWPDGELKQTLDLGDTGLIPLETRFLHDPSKDTGYVGCALTSNMVRFFKTKDGSWSHEVAISVKPLKVQNWILPEMPGLITDFLISLDDRFLYFANWLHGDVRQYNIEDPKNPVLVGQVWVGGLIQKGSPVVAMTEDGKTWQSDVPEIQGHRLRGGPQMIQLSLDGKRLYVTNSLFSSWDRQFYPELVEKGSHMLQIDVNTEEGGLKTNPNFFVDFGAEPDGPSLAHEMRYPGGDCTSDIWT >KJB28228 pep chromosome:Graimondii2_0_v6:5:3385199:3391480:1 gene:B456_005G035600 transcript:KJB28228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDQRRKSAADVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAEAIARVRNEKARRYLSSMRKKKPIPLSHKFPNADPLAVRLLERMLAFEPKDRPSAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEYLEGSEPTGFMYPSAVDHFKKQFAFLEEHYGNGTTAAPLERQHASLPRPCVLYSDNSVQNSTDVTDNLSKCSIKETEKPQTERSFPIPMSRLPPQVPQSIQAGAARPGKVVGSVLRYNNCGAVAAGEALEQRRMARNPSVPTQYTATNCSYPRRNPVCKDDEENELQPKPQYMARKVAAAQGGSRSQWY >KJB28230 pep chromosome:Graimondii2_0_v6:5:3385199:3391480:1 gene:B456_005G035600 transcript:KJB28230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDQRRKSAADVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAEAIARVRNEKARRYLSSMRKKKPIPLSHKFPNADPLAVRLLERMLAFEPKDRPSAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEYLEGSEPTGFMYPSAVDHFKKQFAFLEEHYGNGTTAAPLERQHASLPRPCVLYSDNSVQNSTDVTDNLSKCSIKETEKPQTERSFPIPMSRLPPQVPQSIQGAARPGKVVGSVLRYNNCGAVAAGEALEQRRMARNPSVPTQYTATNCSYPRRNPVCKDDEENELQPKPQYMARKVAAAQGGSRSQWY >KJB28231 pep chromosome:Graimondii2_0_v6:5:3385075:3391544:1 gene:B456_005G035600 transcript:KJB28231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDQRRKSAADVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAEAIARVRNEKARRYLSSMRKKKPIPLSHKFPNADPLAVRLLERMLAFEPKDRPSAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEYLEGSEPTGFMYPSAVDHFKKQFAFLEEHYGNGTTAAPLERQHASLPRPCVLYSDNSVQNSTDVTDNLSKCSIKETEKPQTERSFPIPMSRLPPQVPQSIQAGAARPGKVVGSVLRYNNCGAVAAGEALEQRRMARNPSVPTQYTATNCSYPRRNPVCKDDEENELQPKPQYMARKVAAAQGGSRSQWY >KJB28229 pep chromosome:Graimondii2_0_v6:5:3385199:3391480:1 gene:B456_005G035600 transcript:KJB28229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDQRRKSAADVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAEAIARVRNEKARRYLSSMRKKKPIPLSHKFPNADPLAVRLLERMLAFEPKDRPSAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEYLEGSEPTGFMYPRPCVLYSDNSVQNSTDVTDNLSKCSIKETEKPQTERSFPIPMSRLPPQVPQSIQAGAARPGKVVGSVLRYNNCGAVAAGEALEQRRMARNPSVPTQYTATNCSYPRRNPVCKDDEENELQPKPQYMARKVAAAQGGSRSQWY >KJB29137 pep chromosome:Graimondii2_0_v6:5:11783895:11785669:1 gene:B456_005G088800 transcript:KJB29137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATELQLRLPAGFRFHPTDEELVMHYLCRKCASQSIAVPIIAELDLYKYDPWDLPDLALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGQPKPVGIKKALVFYSGKAPKGEKTNWIMHEYRLADVDRSVRKKNSLRLDDWVLCRIYNKKGCIEKQPPRGSVTKKASVTEIEESKPDNGTLGVEACEFPPAVTAVGNDYVYFDSSESVPRVHTDSSCSEHAVSREFMTEVQSVPKWKEELGMANNNALDFAYNYLDTNMDIGFASQMQTSNQLSPYQDIFMYLQKPF >KJB29138 pep chromosome:Graimondii2_0_v6:5:11784015:11785638:1 gene:B456_005G088800 transcript:KJB29138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATELQLRLPAGFRFHPTDEELVMHYLCRKCASQSIAVPIIAELDLYKYDPWDLPEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGQPKPVGIKKALVFYSGKAPKGEKTNWIMHEYRLADVDRSVRKKNSLRLDDWVLCRIYNKKGCIEKQPPRGSVTKKASVTEIEESKPDNGTLGVEACEFPPAVTAVGNDYVYFDSSESVPRVHTDSSCSEHAVSREFMTEVQSVPKWKEELGMANNNALDFAYNYLDTNMDIGFASQMQTSNQLSPYQDIFMYLQKPF >KJB28586 pep chromosome:Graimondii2_0_v6:5:5766904:5771242:-1 gene:B456_005G056900 transcript:KJB28586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKEEALRAKGIAEKKMQNQDFSGALKVAIKAQQLFQELENISQMIMVCDVHCAAEKPLFGNEKDWYAILKVEPTADEATIKKQYRKFALQLHPDKNKFPGAEAAFKLIGDAQRTLLDQGKRSAHDMKRRVTVNRPAPAAACRPPQNPSWHPYPATQNNFHTNFSGMNSQQQRQPTQAGVSNGQRTFWTKCPYCTVRYQYYTEVLNRSLRCQACHKNFVAYDSGAVPQASNVTQSNLPRQGVAHNQNASRVDPGTQRKFNSESVFTSFTPKAAGTSDARTEKVNGKRGRKQTVESSESCDSESSLESEEDEVIDGNGEVLSKKKFDSQGEQNVRRSERRKQHVSYKENLSDDEDTVNPAKRAKGSELPSETEETGNEDEAKNHKVGKRFEASQSNGKKESGKGDDLKKTREASADGVKGNSNPTIDDPVSDTSCKETKESEVFAYPDPEFNDFDKEKKEGCFAVGQIWALYDTQDAMPRFYARIRKVFYSGFKVRITWLEPDPDDENAVRWVSEGLPVSCGRFKHGEPESIEDRLMFSHLISWEKGPYRDTYKIYPRKGEVWALFKNWNVNRKSRTEKHGYEFVEILSENGEGVGIHVAYLTKVKGFVSVFCPMSKDGVNTILIPPNELLRFSHKVPSFVLTGKERKGVPKGSFELDPASLPEEVFVPKGLKEEGDGRDPSVSEMEDPMAGSNDPDPSTSAPKSFEIPESEFYDFDADKTEEKFRVGQIWALYGDEDGLPKYYGEIKKVESSPVFKVHVRWLFSCPLETTTQWQDSDMPTCCGRFGIRRGSQTYTSTDSFSHLLKAEPTDTKGKYNIIPRKGEVWGLYRNWTPNIKCSDLENWEYDIVQVLEETYFLIKVVVLDRVEGFNSVFKPRVKGGSNVTIEIPRVDQIRFSHQIPYFQLTHERKGSLRGCLELDPAALPPHYFSF >KJB30773 pep chromosome:Graimondii2_0_v6:5:46687632:46689098:1 gene:B456_005G161500 transcript:KJB30773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRSTTTSAFFFLCLYSSFYLTASTTPLFPKDALPTKSGYLPVNHATGSAIFYAFYEAQTPTSPLSKTPLLIWLQGGPGCSSMIGNLFELGPWRVVSSHMKNAHNLTLERNPGSWNRLFGLLFLDNPIGTGFINERQKGELEEAQREAVELVKMGNWSEATNARSKVLSRLQNMTGLATLYDFTKKIPYQTEFAVRFLNIAEVKRALGVNESMVFEECSDVVGDVLHEDVMKSVKYMVEFLVKNSKVLLYQGLYDLRDGVVSTEAWVKTMKWEGIEKFKMADRVIWKVNGELAGYLQKWGSLTNVVVSGAGHLLPADQALNSQAMTEDWVLEKGQFGGKQRGSSTKFRATL >KJB30772 pep chromosome:Graimondii2_0_v6:5:46687483:46692072:1 gene:B456_005G161500 transcript:KJB30772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRSTTTSAFFFLCLYSSFYLTASTTPLFPKDALPTKSGYLPVNHATGSAIFYAFYEAQTPTSPLSKTPLLIWLQGGPGCSSMIGNLFELGPWRVVSSHMKNAHNLTLERNPGSWNRLFGLLFLDNPIGTGFSIASTPQEIPRDQLSVAKHLFAAITGFTSLDPLFKDRPIYITGESYAGKYVPAIGYYILKKNPQLPVSRRVNFRGVAIGDGLTDPVTQVTTHADNAYYCGLVNERQKGELEEAQREAVELVKMGNWSEATNARSKVLSRLQNMTGLATLYDFTKKIPYQTEFAVRFLNIAEVKRALGVNESMVFEECSDVVGDVLHEDVMKSVKYMVEFLVKNSKVLLYQGLYDLRDGVVSTEAWVKTMKWEGIEKFKMADRVIWKVNGELAGYLQKWGSLTNVVVSGAGHLLPADQALNSQAMTEDWVLEKGQFGGKQRGSSTKFRATL >KJB32271 pep chromosome:Graimondii2_0_v6:5:61514092:61517034:-1 gene:B456_005G232600 transcript:KJB32271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVAAFSHSQTPPESTHHEDTPKKWSPLNDNGSTVKRKPRGRQVPSRYLSPSSSAATTMAAAAATKLPTRFPSPLLSRSTNTTAVATTGNKTATVLPKRSQSVDRRRPGDQVSTELSAATKMLITSSRSLSVSFQGESFSIPVSKAKPQVGCTRKAVTPERRKTTPVRDHAENSKPVDRHRWPGRTREGNSNSGSNPLSRSLDCYGERKMLGSGAVMVKSLQQSLMLDANYVNEVSYDLTASDTDSVSSGSTNGGNGVSKGRNGSHNILVSARFWQETNSRLRRLQDPGSPLFTSPGSRISAPKSLGSTRPASPSKLWTSSASSPLRGLSPARVRNAVGGGQMLGNSVNSPSILSFSADIRRGRMGEDRIVDAHTLRLLYNRYLQWRFANARAEATFMVQELSAEQKNLWNVWVTISELLHSVTLKRMKFLLLRQKLKLTSILKGQIAHLEAWAVLDRDHSSSLLGATEALKASTLRLPIVGKAIVDIQNLKDAVGSAVDVMHAMASSICSLSSKVKEMNSLVNELVSVAANERILLEQCKEHLSTLAAIQVNECSLRSHIIQLNRVPTTGCLTTHA >KJB32272 pep chromosome:Graimondii2_0_v6:5:61513673:61517307:-1 gene:B456_005G232600 transcript:KJB32272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVAAFSHSQTPPESTHHEDTPKKWSPLNDNGSTVKRKPRGRQVPSRYLSPSSSAATTMAAAAATKLPTRFPSPLLSRSTNTTAVATTGNKTATVLPKRSQSVDRRRPGDQVSTELSAATKMLITSSRSLSVSFQGESFSIPVSKAKPQVGCTRKAVTPERRKTTPVRDHAENSKPVDRHRWPGRTREGNSNSGSNPLSRSLDCYGERKMLGSGAVMVKSLQQSLMLDANYVNEVSYDLTASDTDSVSSGSTNGGNGVSKGRNGSHNILVSARFWQETNSRLRRLQDPGSPLFTSPGSRISAPKSLGSTRPASPSKLWTSSASSPLRGLSPARVRNAVGGGQMLGNSVNSPSILSFSADIRRGRMGEDRIVDAHTLRLLYNRYLQWRFANARAEATFMVQELSAEKNLWNVWVTISELLHSVTLKRMKFLLLRQKLKLTSILKGQIAHLEAWAVLDRDHSSSLLGATEALKASTLRLPIVGKAIVSFFLFPVFLCI >KJB32270 pep chromosome:Graimondii2_0_v6:5:61513664:61517515:-1 gene:B456_005G232600 transcript:KJB32270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVAAFSHSQTPPESTHHEDTPKKWSPLNDNGSTVKRKPRGRQVPSRYLSPSSSAATTMAAAAATKLPTRFPSPLLSRSTNTTAVATTGNKTATVLPKRSQSVDRRRPGDQVSTELSAATKMLITSSRSLSVSFQGESFSIPVSKAKPQVGCTRKAVTPERRKTTPVRDHAENSKPVDRHRWPGRTREGNSNSGSNPLSRSLDCYGERKMLGSGAVMVKSLQQSLMLDANYVNEVSYDLTASDTDSVSSGSTNGGNGVSKGRNGSHNILVSARFWQETNSRLRRLQDPGSPLFTSPGSRISAPKSLGSTRPASPSKLWTSSASSPLRGLSPARVRNAVGGGQMLGNSVNSPSILSFSADIRRGRMGEDRIVDAHTLRLLYNRYLQWRFANARAEATFMVQELSAEKNLWNVWVTISELLHSVTLKRMKFLLLRQKLKLTSILKGQIAHLEAWAVLDRDHSSSLLGATEALKASTLRLPIVGKAIVDIQNLKDAVGSAVDVMHAMASSICSLSSKVKEMNSLVNELVSVAANERILLEQCKEHLSTLAAIQVNECSLRSHIIQLNRVPTTGCLTTHA >KJB29260 pep chromosome:Graimondii2_0_v6:5:12372533:12376089:-1 gene:B456_005G091600 transcript:KJB29260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVDNRQFRGLEPALNGVYTPLKPGPTPTHRHVHQVFLDHDYESSSDDDDNEIEYYKAMVVKSNNEVESSILDPRDEATADNWIERNPSLVRLTGKHPFNSEPPLNRLMHHGFITPVPLHYVRNHGAVPKASWDDWTVEITGLVKRPMKLTMDQLVKEFQSREFPVTLVCAGNRRKEQNMIKPTVGFNWGASGISTSMWRGVPLRDVLKRCGIYSKKHGALNVCFEGAEHLPGGGGSKYGTSIKKEFAMDPARDIILAYMQNGELLTPDHGFPVRIIIPGFIGGRMVKWLKRIIVTTKESDNFYHYRDNKVLPSHVDSELANAEAWWYKPEYVINELNINSVITTPCHEEILPINSWTTQRPYTLRGYSYSGGGKKVTRVEVTMDGGETWQVCTLDHPEKPNKYGKFWCWCFWSLEVEVLDLLGAKEIAVRAWDETNNTQPEKLIWNLMGMMNNCWFRVKTNVCRPHKGEIGIVFEHPTLPGNQSGGWMAKERHPENPTIKKSVSSPFMNTASKTFSMSEVNKHNSADSAWIVVHGNIYDCTRFLKDHPGGTDSILINAGTDCTEEFDAIHSDKAKKMLEDYRIGELLTSGYISDSAGSSPNTSVHGASNMSFLAPIKEVAPTRPVALVPREKIPCKLVEKTSISHDVRRFRFALPSEDQVLGLPVGKHIFLCATIDDKLCMRAYTPTSSIDEVGHFDLVVKIYFKGVHPKFPNGGLMSQYLDSLPIGSSLDVKGPLGHIEYTGQGNFLVHGKPKFAKKLAMLAGGTGITPIYQVIQAILKDPKDETEMYVVCANRTEDDILLKEELDDWAKKHDRLKVWYVVQESIRKGWQYSTGFITESVMRDHIPEGSGDTLALACGPPPMIQFAVQPNLEKMKYDVKDSLLVF >KJB32821 pep chromosome:Graimondii2_0_v6:5:63709534:63710612:-1 gene:B456_005G263400 transcript:KJB32821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNEISHFSHPQHTLTFDYTETPFKCDGCKEVGIGSHYRCSFCDFDLHTHCAIPSLSIHHPFYTKCSFQFFSRPPGNTPRYCNACEKDVTGFVYHCKSCGFDLHPCCAKLPMVLDDGETNLYLYRKVRAPCHKCGRKGRSWSYRSSCKKYNLHVACVREMLVENWHELYFGYGKGIKKLENRIPSLKNTLQTPHKRRKGKVKKCCEMAGLALQFVISAVLGDPTSLIAGVIGTLMS >KJB32395 pep chromosome:Graimondii2_0_v6:5:62024807:62029176:-1 gene:B456_005G238800 transcript:KJB32395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKVFQIIQGIGEAQLNLDGSHLVRNCISGQIYDSSPVDFASDLNARFTLHPSIRKMPGPSKLVSWIAKGVASGLDGLYLTGFESQRAEYWQTLYSSVDLDAPYLILCSENDDLASYPVINNFTECLQDRGADIKVVKWDGSTHLEHYKHYPIRYRAVVASFLEKATSVYSHRIKKLGETNGLHDEISELIFNLQKATVNSNQSLRRVAVGPSDHFFLPSSAECHNGRETNSKQDEQREQPFSLPVPPTINAHSVLGQILFDACVPKNIEGWDIRFSGCVKGQPFSSDRRSSFLGIKSSRRSRL >KJB32394 pep chromosome:Graimondii2_0_v6:5:62024807:62026944:-1 gene:B456_005G238800 transcript:KJB32394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPSKLVSWIAKGVASGLDGLYLTGFESQRAEYWQTLYSSVDLDAPYLILCSENDDLASYPVINNFTECLQDRGADIKVVKWDGSTHLEHYKHYPIRYRAVVASFLEKATSVYSHRIKKLGETNGLHDEISELIFNLQKATVNSNQSLRRVAVGPSDHFFLPSSAECHNGRETNSKQDEQREQPFSLPVPPTINAHSVLGQILFDACVPKNIEGWDIRFSGCVKGQPFSSDRRSSFLGIKSSRRSRL >KJB32392 pep chromosome:Graimondii2_0_v6:5:62024681:62029309:-1 gene:B456_005G238800 transcript:KJB32392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGGSVYWGRKEKSKRVKGIVVMFAWVSIHEKHLLNYVDLFSSLGWNSLVSRADFLNVYYPDRAMSLAFVLLNELIEELKIRTCPVVFVAFSGSPKACMYKVFQIIQGIGEAQLNLDGSHLVRNCISGQIYDSSPVDFASDLNARFTLHPSIRKMPGPSKLVSWIAKGVASGLDGLYLTGFESQRAEYWQTLYSSVDLDAPYLILCSENDDLASYPVINNFTECLQDRGADIKVVKWDGSTHLEHYKHYPIRYRAVVASFLEKATSVYSHRIKKLGETNGLHDEISELIFNLQKATVNSNQSLRRVAVGPSDHFFLPSSAECHNGRETNSKQDEQREQPFSLPVPPTINAHSVLGQILFDACVPKNIEGWDIRFSGCVKGQPFSSDRRSSFLGIKSSRRSRL >KJB32393 pep chromosome:Graimondii2_0_v6:5:62024754:62029198:-1 gene:B456_005G238800 transcript:KJB32393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKVFQIIQGIGEAQLNLDGSHLVRNCISGQIYDSSPVDFASDLNARFTLHPSIRKMPGPSKLVSWIAKGVASGLDGLYLTGFESQRAEYWQTLYSSVDLDAPYLILCSENDDLASYPVINNFTECLQDRGADIKVVKWDGSTHLEHYKHYPIRYRAVVASFLEKATSVYSHRIKKLGETNGLHDEISELIFNLQKATVNSNQSLRRVAVGPSDHFFLPSSAECHNGRETNSKQDEQREQPFSLPVPPTINAHSVLGQILFDACVPKNIEGWDIRFSGCVKGQPFSSDRRSSFLGIKSSRRSRL >KJB28951 pep chromosome:Graimondii2_0_v6:5:8729013:8731393:-1 gene:B456_005G076800 transcript:KJB28951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLQPCKSLSFLNFSSQYFAFNGAPKWQYSVKRTCYSITAAVTPKALSFSRKYMFLRSTQIVLCSQNDTFDEFSSTQFPERFENDSGIEENEELELLNKPSPAPVNNGFVSDVDKESEKPDKEEVLEPFLKFFRPSEPLEVEEGSELEDSEEKIDEVKKVGVEYYEPKPGDLVVGVVVSGNENKLDVNVGADMLGTMLTKDVLPLYDKEMDYLVCDLENNAEEFMVYGKMGIVKDDDAMSGGPGPGRPVVETGTVLFAEVLGRTLSGRPLLSTRQLFRRIAWHRVRQIKHLNEPIEVKFTEWNTGGLLTRIEGLRAFLPKAELMKRVNNFSELKGYVGRRMHVKVTRINEANNDLILSEREAWVSYYNLSISHCPSVDEAT >KJB28950 pep chromosome:Graimondii2_0_v6:5:8727122:8731454:-1 gene:B456_005G076800 transcript:KJB28950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLQPCKSLSFLNFSSQYFAFNGAPKWQYSVKRTCYSITAAVTPKALSFSRKYMFLRSTQIVLCSQNDTFDEFSSTQFPERFENDSGIEENEELELLNKPSPAPVNNGFVSDVDKESEKPDKEEVLEPFLKFFRPSEPLEVEEGSELEDSEEKIDEVKKVGVEYYEPKPGDLVVGVVVSGNENKLDVNVGADMLGTMLTKDVLPLYDKEMDYLVCDLENNAEEFMVYGKMGIVKDDDAMSGGPGPGRPVVETGTVLFAEVLGRTLSGRPLLSTRQLFRRIAWHRVRQIKHLNEPIEVKFTEWNTGGLLTRIEGLRAFLPKAELMKRVNNFSELKGYVGRRMHVKVTRINEANNDLILSEREAWEMMHLRDGTLVEGTVVKILPYGAQVRIADSNRSGLLHISNMSKSRITSVAELLKEDEKVKVLVVKSLFPDKISLSTAELESEPGLFILNKERVFSEAEEMAKKYRQSLPAVSAPRNTEPLPADALSFENEESLYANWKWFKFERENESS >KJB28949 pep chromosome:Graimondii2_0_v6:5:8726744:8731454:-1 gene:B456_005G076800 transcript:KJB28949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLQPCKSLSFLNFSSQYFAFNGAPKWQYSVKRTCYSITAAVTPKALSFSRKYMFLRSTQIVLCSQNDTFDEFSSTQFPERFENDSGIEENEELELLNKPSPAPVNNGFVSDVDKESEKPDKEEVLEPFLKFFRPSEPLEVEEGSELEDSEEKIDEVKKVGVEYYEPKPGDLVVGVVVSGNENKLDVNVGADMLGTMLTKDVLPLYDKEMDYLVCDLENNAEEFMVYGKMGIVKDDDAMSGGPGPGRPVVETGTVLFAEVLGRTLSGRPLLSTRQLFRRIAWHRVRQIKHLNEPIEVKFTEWNTGGLLTRIEGLRAFLPKAELMKRVNNFSELKGYVGRRMHVKVTRINEANNDLILSEREAWEMMHLRDGTLVEGTVVKILPYGAQVRIADSNRSGLLHISNMSKSRITSVAELLKEDEKVKVLVVKSLFPDKISLSTAELESEPGLFILNKERVFSEAEEMAKKYRQSLPAVSAPRNTEPLPADALSFENEESLYANWKWFKFERENESS >KJB28952 pep chromosome:Graimondii2_0_v6:5:8727047:8731482:-1 gene:B456_005G076800 transcript:KJB28952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLQPCKSLSFLNFSSQYFAFNGAPKWQYSVKRTCYSITAAVTPKALSFSRKYMFLRSTQIVLCSQNDTFDEFSSTQFPERFENDSGIEENEELELLNKPSPAPVNNGFVSDVDKESEKPDKEEVLEPFLKFFRPSEPLEVEEGSELEDSEEKIDEVKKVGVEYYEPKPGDLVVGVVVSGNENKLDVNVGADMLGTMLTKDVLPLYDKEMDYLVCDLENNAEEFMVYGKMGIVKDDDAMSGGPGPGRPVVETGTVLFAEVLGRTLSGRPLLSTRQLFRRIAWHRVRQIKHLNEPIEVKFTEWNTGGLLTRIEGLRAFLPKAELMKRVNNFSELKGYVGRRMHVKVTRINEANNDLILSEREAWEMMHLRDGTLVEGTVVKILPYGAQVRIADSNRSGLLHISNMSKSRITSVAELLKEDEKVKVLVVKSLFPDKISLSTAELESEPGLFILNKERVFSEAEEMAKKYRQSLPAVSAPRNTEPLPADALSFENEESLYANWKWFKFERENESS >KJB32743 pep chromosome:Graimondii2_0_v6:5:63479157:63480011:1 gene:B456_005G259000 transcript:KJB32743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNENVGSDSGFRFPSSVSQHDIALVKCDQNHKKKRSKSMKVDTTGFPNSSSPVSRPKYTKKPDPSAPKITPPCSECGKKFWSWKALFGHMRCHPERQWRGINPPPKYRRPVSPVKEMNDIESSMTEEDHVIAASLLMLANGTTSETEPNMVQETDTFRFECSSCKKVFGSHQALGGHRASHKNVKGCFAITRTDGGYEVDDKTVMFLGHKCSICLRVFSSGQALGGHKRCHWDKNDEPSLNHFEPRQGGCLDLNLPAPTPPVELENASSSSYSSCMALDLSLSL >KJB29901 pep chromosome:Graimondii2_0_v6:5:27677832:27680590:1 gene:B456_005G124000 transcript:KJB29901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSSLKLLLPLGNPAQVLNVPVIPIGTLLAATHPFAANPPYILSWLSPQISAPDMLQPKLFEKLVTENFKTVPAKLLLQLATIFEEGGLHDRSGTFFYKNHLSKSNVPVLAIAGDQDLICPPDAVYVCNDINGAKTVKLILEPLVTYKVFGEPGGPHFAHYDIVGAQRAVDPVYPYIIEFLNHHDAA >KJB29902 pep chromosome:Graimondii2_0_v6:5:27677832:27680590:1 gene:B456_005G124000 transcript:KJB29902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPKLFEKLVTENFKTVPAKLLLQLATIFEEGGLHDRSGTFFYKNHLSKSNVPVLAIAGDQDLICPPDAVYVCNDINGAKTVKLILEPLVTYKVFGEPGGPHFAHYDIVGAQRAVDPVYPYIIEFLNHHDAA >KJB29900 pep chromosome:Graimondii2_0_v6:5:27677720:27680590:1 gene:B456_005G124000 transcript:KJB29900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSSLKLLLPLGNPAQVLNVPVIPIGTLLAATHPFAANPPYILSWLSPQISAPDMLQPKLFEKLVTENFKTVPAKLLLQLATIFEEGGLHDRSGTFFYKNHLSKSNVPVLAIAGDQDLICPPDAVYVCNDINGAKTVKLILEPLVTYKVFGEPGGPHFAHYDIVGAQRAVDPVYPYIIEFLNHHDAA >KJB32238 pep chromosome:Graimondii2_0_v6:5:61366973:61374301:-1 gene:B456_005G231200 transcript:KJB32238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISVLQKNNLITMLLILACADLLFSGFEANALPYDYTASIECLETPLKPLHGGGIILNPELNMGLKGWQAFGDAKIEQRELAGNKFVAVHARNRPTDSISQKLYLQQETLYSFSAWIQVSEGNEAVAAVFKSGTGFKHGGAVVAESKCWSMLKGGFTSDATGPAELYFESKNTSIEIWVDSISLQPFTTEEWASHQDQNIKKVRKAKVRIQAIDKLGNPLSNATITIQQHQNKPGFPIGCAINKNILTNTPYQKWFTSRFTVTTFEDEMKWYSTEVSPGHEDYTSADALLSFAKQHNIAVRGHNVLWDDPKYQPGWLYSLSPAELSNAVHKRIVSVMSRYTGQLIAWDVVNENLHFSFFESKLGDQATPNFYRLAHAVDWSVPLFSNEYNTIEDSRDGAATPAKYLQKLRQIQGLTRNAKMGIGLESHFGTPNLAYMRASLDTLGATGLPIWLTELDVLSGPNQAKYLEQILMEAYSHPKVDGIVIWAAWKPQGCYRMCLTDNNFNNLATGNVVDNLLRQWRSRAVSGLTDTRGFFEATLFHGDYEINITHPSSSAHSFVVVSTNASLQSPLTFQVSV >KJB32649 pep chromosome:Graimondii2_0_v6:5:63136169:63138274:1 gene:B456_005G253700 transcript:KJB32649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVSLICGAKTQSNPENTVGILTMAGKGVRVLTTPTSDLGKILACMHGLEMGGEMNLAAGIQIAQLALKHRQNKLQHQRIIVFSGSPIKYEKKVLEMIGKKLKKNSVALDIVDFGEDEDGKPEKLEALLASVNNNDSSHIVHVPRGQNALSDVLISTPVFTGDGEGGGGFAAHAASGGVTNFDFGVDPNIDPELALALRVSMEEERARQEAAAKKAAEESSNQEKGEEVQPQSDSQNATEQVTDPMVGVSFFEVNIFYYSTN >KJB32648 pep chromosome:Graimondii2_0_v6:5:63136103:63139799:1 gene:B456_005G253700 transcript:KJB32648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVSLICGAKTQSNPENTVGILTMAGKGVRVLTTPTSDLGKILACMHGLEMGGEMNLAAGIQIAQLALKHRQNKLQHQRIIVFSGSPIKYEKKVLEMIGKKLKKNSVALDIVDFGEDEDGKPEKLEALLASVNNNDSSHIVHVPRGQNALSDVLISTPVFTGDGEGGGGFAAHAASGGVTNFDFGVDPNIDPELALALRVSMEEERARQEAAAKKAAEESSNQEKGEEVQPQSDSQNATEQVTDPMDEDDALLKHALALSMNIPGSDSSAGDAEMSEATNDRELAMALQMSMQDSSKDQSSESDVGKVLGDQSFMSSILSSLPGVDPNDPNVKDLLASLPGQSESQEKKNEDEQPKDDK >KJB32650 pep chromosome:Graimondii2_0_v6:5:63136169:63139648:1 gene:B456_005G253700 transcript:KJB32650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVSLICGAKTQSNPENTVGILTMAGKGVRVLTTPTSDLGKILACMHGLEMGGEMNLAAGIQIAQLALKHRQNKLQHQRIIVFSGSPIKYEKKVLEMIGKKLKKNSVALDIVDFGEDEDGKPEKLEALLASVNNNDSSHIVHVPRGQNALSDVLISTPVFTGDGEGGGGFAAHAASGGVTNFDFGVDPNIDPELALALRVSMEEERARQEAAAKKAAEESSNQEKGEEVQPQSDSQNATEQDEDDALLKHALALSMNIPGSDSSAGDAEMSEATNDRELAMALQMSMQDSSKDQSSESDVGKVLGDQSFMSSILSSLPGVDPNDPNVKDLLASLPGQSESQEKKNEDEQPKDDK >KJB32104 pep chromosome:Graimondii2_0_v6:5:60621712:60624865:1 gene:B456_005G224200 transcript:KJB32104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESETESVRGREYGNGVLSSNKHGVKTDGFELRGQSWYVATDIPSDLLVQVGDVNFHLHKYPMLSRSGKVNRLIYESNNHPDLNKIALEDLPGGPEAFELAAKFCYGIAVDLTAGNISGLRCAAEYLEMTEDLDEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIKWAYTGKPSKVSSPKWNDLKDSSPSRSQPVPPDWWFEDVSILRTDHFVRVITAIKVKGMRFELIGASIMHYAAKWLPGLIKDGQGQGPADDMSISTNSNSSGGTGSSSWKGGLHMIVAGTKDDTPSIQAKDQRMIIESLISIIPPQKDSVSCSFLLRLLRMANMLKVAPALVTELEKRVGMQFEQATLADLLIPSYNKSETMYDVDLVQRLLEHFLVQEQTESSSPSRPPFSDKHMYEGTQRSNNPNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRIVVQVLFSEQVKISNALANSTLKDPAETQYQPLIPNHKTLLEATPLSFQEGWAAAKKDINTLKFELESVKAKYLELQNDMENLQRQFEKMSKQKQTSAWTSGWKKLSKLTKMTAVENHDIGPQISTAAEQTRKTPRRWRNSIS >KJB32107 pep chromosome:Graimondii2_0_v6:5:60622075:60624755:1 gene:B456_005G224200 transcript:KJB32107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESETESVRGREYGNGVLSSNKHGVKTDGFELRGQSWYVATDIPSDLLVQVGDVNFHLHKYPMLSRSGKVNRLIYESNNHPDLNKIALEDLPGGPEAFELAAKFCYGIAVDLTAGNISGLRCAAEYLEMTEDLDEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIKWAYTGKPSKVSSPKWNDLKDSSPSRSQPVPPDWWFEDVSILRTDHFVRVITAIKVKGMRFELIGASIMHYAAKWLPGLIKDGQGQGPADDMSISTNSNSSGGTGSSSWKGGLHMIVAGTKDDTPSIQAKDQRMIIESLISIIPPQKDSVSCSFLLRLLRMANMLKVAPALVTELEKRVGMQFEQATLADLLIPSYNKSETMYDVDLVQRLLEHFLVQEQTESSSPSRPPFSDKHMYEGTQRSNNPNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKVTSLSTYCKWWYH >KJB32106 pep chromosome:Graimondii2_0_v6:5:60622605:60624528:1 gene:B456_005G224200 transcript:KJB32106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRSGKVNRLIYESNNHPDLNKIALEDLPGGPEAFELAAKFCYGIAVDLTAGNISGLRCAAEYLEMTEDLDEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIKWAYTGKPSKVSSPKWNDLKDSSPSRSQPVPPDWWFEDVSILRTDHFVRVITAIKVKGMRFELIGASIMHYAAKWLPGLIKDGQGQGPADDMSISTNSNSSGGTGSSSWKGGLHMIVAGTKDDTPSIQAKDQRMIIESLISIIPPQKDSVSCSFLLRLLRMANMLKVAPALVTELEKRVGMQFEQATLADLLIPSYNKSETMYDVDLVQRLLEHFLVQEQTESSSPSRPPFSDKHMYEGTQRSNNPNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRIVVQVLFSEQVKISNALANSTLKDPAETQYQPLIPNHKTLLEATPLSFQEGWAAAKKDINTLKFELESVKAKYLELQNDMENLQRQFEKMSKQKQTSAWTSGWKKLSKLTKMTAVENHDIGPQISTAAEQTRKTPRRWRNSIS >KJB32105 pep chromosome:Graimondii2_0_v6:5:60622075:60624755:1 gene:B456_005G224200 transcript:KJB32105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESETESVRGREYGNGVLSSNKHGVKTDGFELRGQSWYVATDIPSDLLVQVGDVNFHLHKYPMLSRSGKVNRLIYESNNHPDLNKIALEDLPGGPEAFELAAKFCYGIAVDLTAGNISGLRCAAEYLEMTEDLDEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIKWAYTGKPSKVSSPKWNDLKDSSPSRSQPVPPDWWFEDVSILRTDHFVRVITAIKVKGMRFELIGASIMHYAAKWLPGLIKDGQGQGNNPNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRIVVQVLFSEQVKISNALANSTLKDPAETQYQPLIPNHKTLLEATPLSFQEGWAAAKKDINTLKFELESVKAKYLELQNDMENLQRQFEKMSKQKQTSAWTSGWKKLSKLTKMTAVENHDIGPQISTAAEQTRKTPRRWRNSIS >KJB32667 pep chromosome:Graimondii2_0_v6:5:63207776:63208651:1 gene:B456_005G254600 transcript:KJB32667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYSGGRFKQFLKKYGKVALGVHFTVSGVSITGLYVAIKNNVDVESLFDKLHLPGFSNDQKNQNPPPQSTESDGFLIEEPIGEKSTAVVGEKQRNRTAELAASTGGALALAVICNKALIPVRVPITVALTPPIARFLAKRRIIKNSV >KJB31799 pep chromosome:Graimondii2_0_v6:5:59581528:59584062:-1 gene:B456_005G213200 transcript:KJB31799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKKSSAATTENDAVDQLLQAAQDEMLLELSVDSHMSRVAPDYLNPDLRRRFQALRSRPSSSHSQQKKQSSAPPPSPPKPQPEQKEDEEKKDRKSKVVVPDNVDEELKAVLGDDLSARFAALKASLSSSSSNPTAATSISTNEVRIGLEKSDGEDDEEDEVERVIQWAKDAARLDPSPASDEDDDDLIGSDSDDKDTDYDDDPKHKKKESKLRKRGSP >KJB31798 pep chromosome:Graimondii2_0_v6:5:59580614:59583035:-1 gene:B456_005G213200 transcript:KJB31798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKKSSAATTENDAVDQLLQAAQDEMLLELSVDSHMSRVAPDYLNPDLRRRFQALRSRPSSSHSQQKKQSSAPPPSPPKPQPEQKEDEEKKDRKSKVVVPDNVDEELKAVLGDDLSARFAALKASLSSSSSNPTAATSISTNEVRIGLEKSDGEDDEEDEVERVIQWAKDAARLDPSPASDEDDDDLIGSDSDDKDTDYDDDPKHKKKESKLRKRGSP >KJB31800 pep chromosome:Graimondii2_0_v6:5:59580614:59583022:-1 gene:B456_005G213200 transcript:KJB31800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKKSSAATTENDAVDQLLQAAQDEMLLELSVDSHMSRVAPDYLNPDLRRRFQALRSRPSSSHSQQKKQSSAPPPSPPKPQPEQKEDEEKKDRKSKVVVPDNVDEELKAVLGDDLSARFAALKASLSSSSSNPTAATSISTNEVRIGLEKSDGEDDEEDEVERVIQWAKDAARLDPSPASDEDDDDLIGSDSDDKDTDYDDDPKHKKKESKLRKRGSP >KJB31166 pep chromosome:Graimondii2_0_v6:5:52582930:52586044:1 gene:B456_005G179400 transcript:KJB31166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSAEEKRIEDELSYPIMLAERVRAAADEAESFKVDCGEVGKLVDRLSQMLRTLVRSTTSVQSLYERPIRRVVSEVSKNLERALTLVRKCKRQSILRRVVRITSATDFRKVLNLLDASIGDMKWLMGVLDTENNGTASGIFLSLPPIASNDPIISWVWSYIATVQMGQLTDRIEAANNLASLARDNDRNKKITVEEGGIPPLLKLLKESSSADAQIAAANALLVLANEQERVRSIVDEMGVPIVVQVLGDSLMKVQIPVAKLVVRMAEHDPVAQEDFARENVIRPLVTLLSFETFVEDSKTQLGKQSIHSIVQINKEMEKFSSAGSTSRNYSYRPYSNSYSNLHMEGSSRGGNHRKERENEKPEVKLQLKINCAEALWMLAKGSVSNSRRITETKGLLCLAKLVEKEQGELQYNCLMAIMEITAAAESNSDLRRAAFKTNSPAAKAVIDQLLRVTKELDSPILQVPAIRSVGSLARTFPARETRVIGPLVTQLGNKDQEVAVEAAIALQKFASPENFLCMDHSKSIIEFNGIPPLMRLLRCGDKAQLPGVVLLCYLAIHAGDNEALEQARVLTALEGADRTVVGQHPDLKELVTKAIYQLNLYHKGVHPQRQLFAP >KJB31167 pep chromosome:Graimondii2_0_v6:5:52582975:52586042:1 gene:B456_005G179400 transcript:KJB31167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSAEEKRIEDELSYPIMLAERVRAAADEAESFKVDCGEVGKLVDRLSQMLRTLVRSTTSVQSLYERPIRRVVSEVSKNLERALTLVRKCKRQSILRRVVRITSATDFRKVLNLLDASIGDMKWLMGVLDTENNGTASGIFLSLPPIASNDPIISWVWSYIATVQMGQLTDRIEAANNLASLARDNDRNKKITVEEGGIPPLLKLLKESSSADAQIAAANALLVLANEQERVRSIVDEMGVPIVVQVLGDSLMKVQIPVAKLVVRMAEHDPVAQEDFARENVIRPLVTLLSFETFVEDSKTQLGKQSIHSIVQINKEMEKFSSAGSTSRNYSYRPYSNSYSNLHMEGSSRGGNHRKERENEKPEVKLQLKINCAEALWMLAKGSVSNSRRITETKGLLCLAKLVEKEQGELQYNCLMAIMEITAAAESNSDLRRAAFKTNSPAAKAVIDQLLRVTKELDSPILQVPAIRSVGSLARTFPARETRVIGPLVTQLGNKDQEVAVEAAIALQKFASPENFLCMDHSKSIIEFNGIPPLMRLLRCGDKAQLPGVVLLCYLAIHAGDNEALEQARVLTALEGADRTVVGQHPDLKELVTKAIYQLNLYHKGVHPQRQLFAP >KJB31168 pep chromosome:Graimondii2_0_v6:5:52582930:52586044:1 gene:B456_005G179400 transcript:KJB31168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSAEEKRIEDELSYPIMLAERVRAAADEAESFKVDCGEVGKLVDRLSQMLRTLVRSTTSVQSLYERPIRRVVSEVSKNLERALTLVRKCKRQSILRRVVRITSATDFRKVLNLLDASIGDMKWLMGVLDTENNGTASGIFLSLPPIASNDPIISWVWSYIATVQMGQLTDRIEAANNLASLARDNDRNKKITVEEGGIPPLLKLLKESSSADAQIAAANALLVLANEQERVRSIVDEMGVPIVVQVLGDSLMKVQIPVAKLVVRMAEHDPVAQEDFARENVIRPLVTLLSFETFVEDSKTQLGKQSIHSIVQINKEMEKFSSAGSTSRNYSYRPYSNSYSNLHMEGSSRGGNHRKERENEKPEVKLQLKINCAEALWMLAKGSVSNSRRITETKGLLCLAKLVEKEQGELQYNCLMAIMEITAAAESNSDLRRAAFKTNSPAAKAVIDQLLRVTKELDSPILQVPAIRSVGSLARTFPARETRVIGPLVTQLGNKDQEVAVEAAIALQKFASPENFLCMDHSKSIIEFNGIPPLMRLLRCGDKAQLPGVVLLCYLAIHAGDNEALEQARVLTALEGADRTVVGQHPDLKELVTKAIYQLNLYHKGVHPQRQLFAP >KJB29035 pep chromosome:Graimondii2_0_v6:5:9577737:9579397:1 gene:B456_005G080600 transcript:KJB29035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEELYITVPSVFRCPISLDVMKSPVSLCTGVTYDRSSIQKWLESGHDTCPATMQVLPSKDFIPNLTLHRLINLWIQSSTLRPGSNSPRLLPATPPAISEVQAKLLMEKVERESCDDSLSKVAEFVSCCEENRKFVARFDGFVEVIAGVLKRKCVEIKALETAVRILDLILSENGVTEGLNKLILKSNQESKFLSAIVLILQHGSLNSKIKSVRVLDSLALDSESKRRISDSQDLISILLQLLKTNNNGSLNDAVTSILTTISITRSIRSRLIENGIVEILSNSLTEKSLKLLATLSTCSEGRSAISSEPKCAAAIVEKLLKVSKRGTEDAVTVLWSTCCLSKEEKVKEAVVKGNGVTKILVIMQREGEGNVKMMCRDLVKALRAVCKDWCLGSYETKTTHIRPC >KJB29349 pep chromosome:Graimondii2_0_v6:5:13871050:13892283:1 gene:B456_005G096000 transcript:KJB29349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKNESSQKQQRMVFKKDEPSKRHQGDAAVVASPGPLPQAPPTPKSRVRNSVLKSGPLFLSTKGIGWTSWKKRWFILTQTSLVFFKSDPSAIPQKENEVNLILGGIDLNNSGSVVVKADKKLLTVLFQDGQDGRTFTLKAESSEDLYEWKAAFENALSQAPSSPHVLGKNDILGNEKANAVNGSKDPVNNKQPVRSTVLGKPILLALEDVDGAPTFLEKALRFIEEHGTKAEGVLRLAADVEDVKCRIQEYEKGKTEFSPEEDPHVIADCIKYVIRELPSCPVPASCCNALLEAYRTLGGDRVNTMREAVLDAFPEPNRRLLQRILMMMRAVASNKTLNRMSSSAVAACMAPLILRPLVSGDCEIENDFNAGDDSSIQLLRAAAAANHAQAIVITLLEEYDKIFGEGYVPPNLYYGTEESGSESESESESESESESEEAADDDCNDATSGSNAYCDSDYVASGTGSKSGHSINNDLDDDKDSDYLSSSSEPSIADGDLKATKKLSSSLHSSLSENDLQRSEDNQSNKSSVIEANMFAEFGHRAKRPTVWGWAIAKKKLSMEPVHFPSKEEAEIERIKAEKSDLERGHTEEIEGNLVYGACLEKQKKTLHGHCQALQNDVSRLEEELHRERDKRTALEAGLTPSQGTVTLPNTVDEKIKADIKDIAQAEADINNLNKKVDELWMQLNQLLEQNSVSMNDRSNRHQPNHQEKRD >KJB29347 pep chromosome:Graimondii2_0_v6:5:13871050:13892283:1 gene:B456_005G096000 transcript:KJB29347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKNESSQKQQRMVFKKDEPSKRHQGDAAVVASPGPLPQAPPTPKSRVRNSVLKSGPLFLSTKACTHILPEKYTLRGIGWTSWKKRWFILTQTSLVFFKSDPSAIPQKENEVNLILGGIDLNNSGSVVVKADKKLLTVLFQDGQDGRTFTLKAESSEDLYEWKAAFENALSQAPSSPHVLGKNDILGNEKANAVNGSKDPVNNKQPVRSTVLGKPILLALEDVDGAPTFLEKALRFIEEHGTKAEGVLRLAADVEDVKCRIQEYEKGKTEFSPEEDPHVIADCIKYVIRELPSCPVPASCCNALLEAYRTLGGDRVNTMREAVLDAFPEPNRRLLQRILMMMRAVASNKTLNRMSSSAVAACMAPLILRPLVSGDCEIENDFNAGDDSSIQLLRAAAAANHAQAIVITLLEEYDKIFGEGYVPPNLYYGTEESGSESESESESESESESEEAADDDCNDATSGSNAYCDSDYVASGTGSKSGHSINNDLDDDKDSDYLSSSSEPSIADGDLKATKKLSSSLHSSLSENDLQRSEDNQSNKSSVIEANMFAEFGHRAKRPTVWGWAIAKKKLSMEPVHFPSKEEAEIERIKAEKSDLERGHTEEIEGNLVYGACLEKQKKTLHGHCQALQNDVSRLEEELHRERDKRTALEAGLTPSQGTVTLPNTVDEKIKADIKDIAQAEADINNLNKKVDELWMQLNQLLEQNSVSMNDRSNRHQPNHQEKRESGCSFLTGWIT >KJB29348 pep chromosome:Graimondii2_0_v6:5:13871050:13892283:1 gene:B456_005G096000 transcript:KJB29348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKNESSQKQQRMVFKKDEPSKRHQGDAAVVASPGPLPQAPPTPKSRVRNSVLKSGPLFLSTKACTHILPEKYTLRGIGWTSWKKRWFILTQTSLVFFKSDPSAIPQKENEVNLILGGIDLNNSGSVVVKADKKLLTVLFQDGQDGRTFTLKAESSEDLYEWKAAFENALSQAPSSPHVLGKNDILGNEKANAVNGSKDPVNNKQPVRSTVLGKPILLALEDVDGAPTFLEKALRFIEEHGTKAEGVLRLAADVEDVKCRIQEYEKGKTEFSPEEDPHVIADCIKYVIRELPSCPVPASCCNALLEAYRTLGGDRVNTMREAVLDAFPEPNRRLLQRILMMMRAVASNKTLNRMSSSAVAACMAPLILRPLVSGDCEIENDFNAGDDSSIQLLRAAAAANHAQAIVITLLEEYDKIFGEGYVPPNLYYGTEESGSESESESESESESESEEAADDDCNDATSGSNAYCDSDYVASGTGSKSGHSINNDLDDDKDSDYLSSSSEPSIADGDLKATKKLSSSLHSSLSENDLQRSEDNQSNKSSVIEANMFAEFGHRAKRPTVWGWAIAKKKLSMEPVHFPSKEEAEIERIKAEKSDLERGHTEEIEGNLVYGACLEKQKKTLHGHCQALQNDVSRLEEELHRERDKRTALEAGLTPSQGTVTLPNTVDEKIKADIKDIAQAEADINNLNKKVDELWMQLNQLLEQNSVSMNDRSNRHQPNHQEKRD >KJB29350 pep chromosome:Graimondii2_0_v6:5:13871050:13892283:1 gene:B456_005G096000 transcript:KJB29350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKNESSQKQQRMVFKKDEPSKRHQGDAAVVASPGPLPQAPPTPKSRVRNSVLKSGPLFLSTKGIGWTSWKKRWFILTQTSLVFFKSDPSAIPQKENEVNLILGGIDLNNSGSVVVKADKKLLTVLFQDGQDGRTFTLKAESSEDLYEWKAAFENALSQAPSSPHVLGKNDILGNEKANAVNGSKDPVNNKQPVRSTVLGKPILLALEDVDGAPTFLEKALRFIEEHGTKAEGVLRLAADVEDVKCRIQEYEKGKTEFSPEEDPHVIADCIKYVIRELPSCPVPASCCNALLEAYRTLGGDRVNTMREAVLDAFPEPNRRLLQRILMMMRAVASNKTLNRMSSSAVAACMAPLILRPLVSGDCEIENDFNAGDDSSIQLLRAAAAANHAQAIVITLLEEYDKIFGEGYVPPNLYYGTEESGSESESESESESESESEEAADDDCNDATSGSNAYCDSDYVASGTGSKSGHSINNDLDDDKDSDYLSSSSEPSIADGDLKATKKLSSSLHSSLSENDLQRSEDNQSNKSSVIEANMFAEFGHRAKRPTVWGWAIAKKKLSMEPVHFPSKEEAEIERIKAEKSDLERGHTEEIEGNLVYGACLEKQKKTLHGHCQALQNDVSRLEEELHRERDKRTALEAGLTPSQGTVTLPNTVDEKIKADIKDIAQAEADINNLNKKVDELWMQLNQLLEQNSVSMNDRSNRHQPNHQEKRESGCSFLTGWIT >KJB30366 pep chromosome:Graimondii2_0_v6:5:36642833:36645367:-1 gene:B456_005G139600 transcript:KJB30366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGMAFFPANFMLQSPHEEDHQPSTSINQMLPSCPPQDFHGVASFLGKRSMSFSGIDVCEEANGEDDLSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQYEAIKADNDALQTQNQKLHAEIMALKSREPTESINLNKETEGSCSNRSENSSDVKLDISRTPAIDSPLSTHPTSRTFFPTSIRPTGGAAQLFQTSSSRPDHHHPCQKMDQMVKEESLSNMFCTIEDQTGFWPWLEQPHFN >KJB30368 pep chromosome:Graimondii2_0_v6:5:36644241:36645207:-1 gene:B456_005G139600 transcript:KJB30368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGMAFFPANFMLQSPHEEDHQPSTSINQMLPSCPPQDFHGVASFLGKRSMSFSGIDVCEEANGEDDLSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQYEAIKADNDALQTQNQKLHAEVIILTKYTYVFIHLSVFIFSLSCRPCFMLRNALKFLFHQTSIQLNN >KJB30367 pep chromosome:Graimondii2_0_v6:5:36642833:36645473:-1 gene:B456_005G139600 transcript:KJB30367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGMAFFPANFMLQSPHEEDHQPSTSINQMLPSCPPQDFHGVASFLGKRSMSFSGIDVCEEANGEDDLSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQYEAIKADNDALQTQNQKLHAEIMALKSREPTESINLNKETEGSCSNRSENSSDVKLDISRTPAIDSPLSTHPTSRTFFPTSIRPTGGAAQLFQTSSSRPDHHHPCQKMDQMVKEESLSNMFCTIEDQTGFWPWLEQPHFN >KJB30365 pep chromosome:Graimondii2_0_v6:5:36643089:36645887:-1 gene:B456_005G139600 transcript:KJB30365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGMAFFPANFMLQSPHEEDHQPSTSINQMLPSCPPQDFHGVASFLGKRSMSFSGIDVCEEANGEDDLSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQYEAIKADNDALQTQNQKLHAEIMALKSREPTESINLNKETEGSCSNRSENSSDVKLDISRTPAIDSPLSTHPTSRTFFPTSIRPTGGAAQLFQTSSSRPDHHHPCQKMDQMVKEESLSNMFCTIEDQTGFWPWLEQPHFN >KJB32738 pep chromosome:Graimondii2_0_v6:5:63468375:63473664:1 gene:B456_005G258800 transcript:KJB32738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEGDSSESRPIGNVGEKEEAVTVNIRCSNGTKFTVRTNLESTVGSFKALLAQNCDIPADQQRLIYKGRILKDDQTLQSYGLQADHTVHMVRGSAPSSSTPPSAATTNVATPNTTPGVTLGVGSNDNAGLGASLFTGLNPLGSNGGFGLFESGLPEFEQVQQQLTQNPQAMREIMNTPAIQSLMNNPELMRTLIMSNPQMREIIDRNPELGHILNDPSTLRQTLETARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATNMAGSNVDSPGLNPFAALLGNQGNSQARDSPNNTSTTDSETTPNTNPLPNPWSNNAGGGAAQTNTAARSNPATDARTPGIGGLGGLGLPDMPPMLNGMPDASQLTQLLQNPAISEMMRSVASNPQYMNQIMNLNPQLHGMFDSNPQLREMMQNPEVLRQMFSPETMQQMLTLQQSLLSQLNRQQSTQDSTQSSTTRGAPGTPSLDLLMNMFGGLGAGSLSVPNQPNVPPEELYATQLSQLREMGFYDTEENIRALRATSGNVHAAVERLLGNPGQ >KJB32741 pep chromosome:Graimondii2_0_v6:5:63468405:63473660:1 gene:B456_005G258800 transcript:KJB32741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEGDSSESRPIGNVGEKEEAVTVNIRCSNGTKFTVRTNLESTVGSFKALLAQNCDIPADQQRLIYKGRILKDDQTLQSYGLQADHTVHMVRGSAPSSSTPPSAATTNVATPNTTPGVTLGVGSNDNAGLGASLFTGLNPLGSNGGFGLFESGLPEFEQVQQQLTQNPQAMREIMNTPAIQSLMNNPELMRTLIMSNPQMREIIDRNPELGHILNDPSTLRQTLETARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATNMAGSNVDSPGLNPFAALLGNQGNSQARDSPNNTSTTDSETTPNTNPLPNPWSNNAGGAQTNTAARSNPATDARTPGIGGLGGLGLPDMPPMLNGMPDASQLTQLLQNPAISEMMRSVASNPQYMNQIMNLNPQLHGMFDSNPQLREMMQNPEVLRQMFSPETMQQMLTLQQSLLSQLNRQQSTQDSTQSSTTRGAPGTPSLDLLMNMFGGLGAGSLSVPNQPNVPPEELYATQLSQLREMGFYDTEENIRALRATSGNVHAAVERLLGNPGQ >KJB32742 pep chromosome:Graimondii2_0_v6:5:63468405:63473660:1 gene:B456_005G258800 transcript:KJB32742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEGDSSESRPIGNVGEKEEAVTVNIRCSNGTKFTVRTNLESTVGSFKALLAQNCDIPADQQRLIYKGRILKDDQTLQSYGLQADHTVHMVRGSAPSSSTPPSAATTNVATPNTTPGVTLGVGSNDNAGLGASLFTGLNPLGSNGGFGLFESGLPEFEQVQQQLTQNPQAMREIMNTPAIQSLMNNPELMRTLIMSNPQMREIIDRNPELGHILNDPSTLRQTLETARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATNMAGSNVDSPGLNPFAALLGNQGNSQARDSPNNTSTTDSETTPNTNPLPNPWSNNAGGGAAQTNTAARSNPATDARTPGIGGLGGLGLPDMPPMLNGMPDASQLTQLLQNPAISEMMRSVASNPQYMNQIMNLNPQLHGMFDSNPQLREMMQNPEVLRQMFSPETMQQMLTLQQSLLSQLNRQQSTQDSTQSSTTRAPGTPSLDLLMNMFGGLGAGSLSVPNQPNVPPEELYATQLSQLREMGFYDTEENIRALRATSGNVHAAVERLLGNPGQ >KJB32740 pep chromosome:Graimondii2_0_v6:5:63468629:63473020:1 gene:B456_005G258800 transcript:KJB32740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEGDSSESRPIGNVGEKEEAVTVNIRCSNGTKFTVRTNLESTVGSFKALLAQNCDIPADQQRLIYKGRILKDDQTLQSYGLQADHTVHMVRGSAPSSSTPPSAATTNVATPNTTPGVTLGVGSNDNAGLGASLFTGLNPLGSNGGFGLFESGLPEFEQVQQQLTQNPQAMREIMNTPAIQSLMNNPELMRTLIMSNPQMREIIDRNPELGHILNDPSTLRQTLETARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATNMAGSNVDSPGLNPFAALLGNQGNSQARDSPNNTSTTDSETTPNTNPLPNPWSNNAGGGAAQTNTAARSNPATDARTPGIGGLGGLGLPDMPPMLNGMPDASQLTQLLQNPAISEMMRSVASNPQYMNQIMNLNPQLHGMFDSNPQLREMMQNPEVLRQMFSPETMQQMLTLQQSLLSQLNRQQSTQDSTQSSTTRGAPGTPSLDLLMNMFGGLGAGSLSVPNQPNG >KJB32739 pep chromosome:Graimondii2_0_v6:5:63468405:63473660:1 gene:B456_005G258800 transcript:KJB32739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEGDSSESRPIGNVGEKEEAVTVNIRCSNGTKFTVRTNLESTVGSFKALLAQNCDIPADQQRLIYKGRILKDDQTLQSYGLQADHTVHMVRGSAPSSSTPPSAATTNVATPNTTPGVTLGVGSNDNAGLGASLFTGLNPLGSNGGFGLFESGLPEFEQVQQQLTQNPQAMREIMNTPAIQSLMNNPELMRTLIMSNPQMREIIDRNPELGHILNDPSTLRQTLETARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATNMAGSNVDSPGLNPFAALLGNQGNSQARDSPNNTSTTDSETTPNTNPLPNPWSNNAGGGAAQTNTAARSNPATDARTPGIGGLGGLGLPDMPPMLNGMPDASQLTQLLQNPAISEMMRSVASNPQYMNQIMNLNPQLHGMFDSNPQLREMMQNPEVLRQMFSPETMQQMLTLQQSLLSQLNRQQSTQ >KJB31276 pep chromosome:Graimondii2_0_v6:5:53534118:53536714:-1 gene:B456_005G183400 transcript:KJB31276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADSFLADLDELSDNEADVLEEENNDVANMEEDIDGDLADIETLNYDDLDSVSKLQKSQRYIDIMQKVEDALEKGSDISNQGLVLEDDPEYQLIVDCNGLSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEDVLQKTIDACDRALALDTAKKKVLDFVESRMGYIAPNLSTIVGSAVAAKLMGTAGGLSALAKMPACNVQLLGAKKKTLAGFSTATSQFRVGYIEQTEIFQTTPPALRSRACRLLASKATLAARIDSTRGDPLGNAGKTLKEEIHKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSIGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQNRRGLAKVLV >KJB31275 pep chromosome:Graimondii2_0_v6:5:53533270:53536906:-1 gene:B456_005G183400 transcript:KJB31275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADSFLADLDELSDNEADVLEEENNDVANMEEDIDGDLADIETLNYDDLDSVSKLQKSQRYIDIMQKVEDALEKGSDISNQGLVLEDDPEYQLIVDCNGLSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEDVLQKTIDACDRALALDTAKKKVLDFVESRMGYIAPNLSTIVGSAVAAKLMGTAGGLSALAKMPACNVQLLGAKKKTLAGFSTATSQFRVGYIEQTEIFQTTPPALRSRACRLLASKATLAARIDSTRGDPLGNAGKTLKEEIHKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSIGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSETGTFSKIKRT >KJB31277 pep chromosome:Graimondii2_0_v6:5:53533322:53536889:-1 gene:B456_005G183400 transcript:KJB31277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADSFLADLDELSDNEADVLEEENNDVANMEEDIDGDLADIETLNYDDLDSVSKLQKSQRYIDIMQKVEDALEKGSDISNQGLVLEDDPEYQLIVDCNGLSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEDVLQKTIDACDRALALDTAKKKVLDFVESRMGYIAPNLSTIVGSAVAAKLMGTAGGLSALAKMPACNVQLLGAKKKTLAGFSTATSQFRVGYIEQTEIFQTTPPALRSRACRLLASKATLAARIDSTRGDPLGNAGKTLKEEIHKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSIGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSETGTFSKIKRT >KJB31278 pep chromosome:Graimondii2_0_v6:5:53534394:53536889:-1 gene:B456_005G183400 transcript:KJB31278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADSFLADLDELSDNEADVLEEENNDVANMEEDIDGDLADIETLNYDDLDSVSKLQKSQRYIDIMQKVEDALEKGSDISNQGLVLEDDPEYQLIVDCNGLSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEDVLQKTIDACDRALALDTAKKKVLDFVESRMGYIAPNLSTIVGSAVAAKLMGTAGGLSALAKMPACNVQLLGAKKKTLAGFSTATSQFRVGYIEQTEIFQTTPPALRSRACRLLASKATLAARIDSTRGDPLGNAGKTLKEEIHKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSIGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQVFMASSNLTMS >KJB28133 pep chromosome:Graimondii2_0_v6:5:2596425:2600231:1 gene:B456_005G029200 transcript:KJB28133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCPDDQRSPLLQLQHHLYYAPHFTFSSKFELWDPYTHCCSWKGVSCDALGYVVGVDLSNRNLSGSFHSIFRLRHLQRLNLSGNYFNTTLLSYGFHKLQNLTHLNLSSSCFHGQIPVDISYLTRLVSLDLSNQDSCYSRYYIILDPYRGVRNYYDPLPYELQQPLKLEKPNFKTLIKNLRFLTELYLDGVNISTQSAKWCETTSLVLSNLRVLSLSHCVLKGPLCSSLSRLSFLSNLILDWNPISYLPPNFLEISSHLVSLSLMGCNLSGHFPTEILLSPKIQSIDISANYQLMGQLPEFPANNALQILSLFYTNFSGKLPESIGNLKFLTNLELSYCNFAGPIPSSIANLSQLVNLDLRLNSILQLPRLEWLYIKSNSFSSMKLDMFVQLKNLKRLYLSNVSLLIESDNRNLDLRSCNISAFPEFIKTQDKLVDLDLSNNHIHGVVPHWFWKSSLSRLPLNDANFLFPIQLDTFDVSYNNLSGPIPNCWGNMSALILLRLQGNNFSGMLPKFSTATQLQFLKVSENRLEGKLPRSLAECTQLEVLDVGKNKMNDTFPFWLEKLPYLRVLILRENRFYGQIKHFKHKSVFPTLDVWDIASNQFSGELSIDFLQPTRLRSLKIGGNKLEGKLSRSLANCTALEVLDLGNNMVHDTFPFWLEKLPSLKVLVLRANRFYGTISKIDTECGFPKLRILDIASNHFSGDLSIEFLQSLKAMAKMTNDEKAKRVYIGDVYYKDSVTIVNRGIQMLRSLRVMNLSNNGFSGEIPLALENLKDLESLDLSQNELSGKIPAQLTSLTFLAALNLSYNQLEGIIPQSNQFITFTNDFYRGNPKLCGLPLSRKCNEVGLPMPPPPGEDEDSWLYAVSTWKIALIGYASGLVVGLCIGYTVLNELGNKWVNKFKKCGKRNRRRCR >KJB31154 pep chromosome:Graimondii2_0_v6:5:53316170:53321607:-1 gene:B456_005G182100 transcript:KJB31154 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MTDDRFSQLPNNRYSQMYQFVDGRETLKSIVVQDSSSQKIYSPLNVGEKKERICGIPQTECQSLRIVIGERVGLASCNELDFVSSYRGHGSPCPVPDQATTTCKLFNVHAVTQSSPSTILSFTEKASQTSHVSRVIDSDQQSSNEPEASRVLQNVHISTRLMEDFLELAKENTKKDLETCGVLGAFLEKGTFYVTTLIIPKQEATSNSTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVVAPTDNSRSYGIFRVSEPNGMSLLKECQEKGSQFHSHEETVDGSPIYERCTHVYKNSNLRFEIFDLR >KJB31152 pep chromosome:Graimondii2_0_v6:5:53316515:53320788:-1 gene:B456_005G182100 transcript:KJB31152 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MIYLIPRCSVTWMWCFSIQFTNKGLHSSTMYQFVDGRETLKSIVVQDSSSQKIYSPLNVGEKKERICGIPQTECQSLRIVIGERVGLASCNELDFVSSYRGHGSPCPVPDQATTTCKLFNVHAVTQSSPSTILSFTEKASQTSHVSRVIDSDQQSSNEPEASRVLQNVHISTRLMEDFLELAKENTKKDLETCGVLGAFLEKGTFYVTTLIIPKQEATSNSCQAISEEEIFAIQNERSLFPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVVAPTDNSRSYGIFRVSEPNGMSLLKECQEKGSQFHSHEETVDGSPIYERCTHVYKNSNLRFEIFDLR >KJB31159 pep chromosome:Graimondii2_0_v6:5:53317826:53321426:-1 gene:B456_005G182100 transcript:KJB31159 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MTDDRFSQLPNNRYSQMYQFVDGRETLKSIVVQDSSSQKIYSPLNVGEKKERICGIPQTECQSLRIVIGERVGLASCNELDFVSSYRGHGSPCPVPDQATTTCKLFNVHAVTQSSPSTILSFTEKASQTSHVSRVIDSDQQSSNEPEASRVLQNVHISTRLMEDFLELAKENTKKDLETCGVLGAFLEKGTFYVTTLIIPKQEATSNSVSLLVRRKFLPYKMNGPFFQWDGYIHTLLRVVSCHQ >KJB31156 pep chromosome:Graimondii2_0_v6:5:53316170:53321607:-1 gene:B456_005G182100 transcript:KJB31156 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MTDDRFSQLPNNRYSQMYQFVDGRETLKSIVVQDSSSQKIYSPLNVGEKKERICGIPQTECQSLRIVIGERVGLASCNELDFVSSYRGHGSPCPVPDQATTTCKLFNVHAVTQSSPSTILSFTEKASQTSHVSRVIDSDQQSSNEPEASRVLQNVHISTRLMEDFLELAKENTKKDLETCGVLGAFLEKGTFYVTTLIIPKQEATSNSCQAISEEEIFAIQNERSLFPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVVAPTDNSRSYGIFRVSEPNGMSLLKECQEKGSQFHSHEETVDGSPIYERCTHVYKNSNLRFEIFDLR >KJB31155 pep chromosome:Graimondii2_0_v6:5:53317425:53321426:-1 gene:B456_005G182100 transcript:KJB31155 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MTDDRFSQLPNNRYSQMYQFVDGRETLKSIVVQDSSSQKIYSPLNVGEKKERICGIPQTECQSLRIVIGERVGLASCNELDFVSSYRGHGSPCPVPDQATTTCKLFNVHAVTQSSPSTILSFTEKASQTSHVSRVIDSDQQSSNEPEASRVLQNVHISTRLMEDFLELAKENTKKDLETCGVLGAFLEKGTFYVTTLIIPKQEATSNSCQAISEEEIFAIQNERSLFPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVVAPTDNSRSAPRT >KJB31157 pep chromosome:Graimondii2_0_v6:5:53317425:53321426:-1 gene:B456_005G182100 transcript:KJB31157 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MTDDRFSQLPNNRYSQMYQFVDGRETLKSIVVQDSSSQKIYSPLNVGEKKERICGIPQTECQSLRIVIGERVGLASCNELDFVSSYRGHGSPCPVPDQATTTCKLFNVHAVTQSSPSTILSFTEKASQTSHVSRVIDSDQQSSNEPEASRVLQNVHISTRLMEDFLELAKENTKKDLETCGVLGAFLEKGTFYVTTLIIPKQEATSNSTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVVAPTDNSRSAPRT >KJB31153 pep chromosome:Graimondii2_0_v6:5:53316170:53321569:-1 gene:B456_005G182100 transcript:KJB31153 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MIYLIPRCSVTWMWCFSIQFTNKGLHSSTMYQFVDGRETLKSIVVQDSSSQKIYSPLNVGEKKERICGIPQTECQSLRIVIGERVGLASCNELDFVSSYRGHGSPCPVPDQATTTCKLFNVHAVTQSSPSTILSFTEKASQTSHVSRVIDSDQQSSNEPEASRVLQNVHISTRLMEDFLELAKENTKKDLETCGVLGAFLEKGTFYVTTLIIPKQEATSNSTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVVAPTDNSRSYGIFRVSEPNGMSLLKECQEKGSQFHSHEETVDGSPIYERCTHVYKNSNLRFEIFDLR >KJB31158 pep chromosome:Graimondii2_0_v6:5:53316216:53321538:-1 gene:B456_005G182100 transcript:KJB31158 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MTDDRFSQLPNNRYSQMYQFVDGRETLKSIVVQDSSSQKIYSPLNVGEKKERICGIPQTECQSLRIVIGERVGLASCNELDFVSSYRGHGSPCPVPDQATTTCKLFNVHAVTQSSPSTILSFTEKASQTSHVSRVIDSDQQSSNEPEASRVLQNVHISTRLMEDFLELAKENTKKDLETCGVLGAFLTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVVAPTDNSRSYGIFRVSEPNGMSLLKECQEKGSQFHSHEETVDGSPIYERCTHVYKNSNLRFEIFDLR >KJB31151 pep chromosome:Graimondii2_0_v6:5:53316170:53321569:-1 gene:B456_005G182100 transcript:KJB31151 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MTDDRFSQLPNNRYSQMYQFVDGRETLKSIVVQDSSSQKIYSPLNVGEKKERICGIPQTECQSLRIVIGERVGLASCNELDFVSSYRGHGSPCPVPDQSTRLMEDFLELAKENTKKDLETCGVLGAFLEKGTFYVTTLIIPKQEATSNSCQAISEEEIFAIQNERSLFPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVVAPTDNSRSYGIFRVSEPNGMSLLKECQEKGSQFHSHEETVDGSPIYERCTHVYKNSNLRFEIFDLR >KJB28257 pep chromosome:Graimondii2_0_v6:5:3540959:3542789:-1 gene:B456_005G037700 transcript:KJB28257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVKLFGFWASPFSRRVIWALKLKGVEYEYIEEDLPYNKSDLLLQYNPVHKKIPVLVHGGKPIAESLVILEYIDEVWPHNPLLPKDAYERSVARFWAKFIEEKTQPMWDFFRKFGEEQQKAIENNYEILRTIEEHGLGDKKFFGGDQIGIADLVFGMVIHMLAPMEEVVGYKFIKADSFPRLHAWVKHFSEHPVIKDNVPDYTKVVDFLKIRREFYRNSQQNS >KJB32317 pep chromosome:Graimondii2_0_v6:5:61751834:61753110:1 gene:B456_005G235100 transcript:KJB32317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCSVFTFFPFQGWCHFCFRLVISFSPSFSFLSRKKKSIAEENENSHLPLPPMADSNPTRVSVHQALGGGTVADVLLWREWCSGVVMLTSSTMVWYLFERAGYNFLSFMANVLSLLVAILFFWAKSASLLNRSLPPLPNLEISENTVVIITDVLHQWINHTLSIAHNIAIARDLKFFLKVAVSLWLVSYIGSLFEFLTLVYIGELYKSLFVSIMVRIHFTSSHMLILVEMFM >KJB32315 pep chromosome:Graimondii2_0_v6:5:61751810:61753488:1 gene:B456_005G235100 transcript:KJB32315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCSVFTFFPFQGWCHFCFRLVISFSPSFSFLSRKKKSIAEENENSHLPLPPMADSNPTRVSVHQALGGGTVADVLLWREWCSGVVMLTSSTMVWYLFERAGYNFLSFMANVLSLLVAILFFWAKSASLLNRSLPPLPNLEISENTVVIITDVLHQWINHTLSIAHNIAIARDLKFFLKVAVSLWLVSYIGVVLSLSVPVVYDKYQHFIDEKLCVTRRFIQTQYRKIDEMVLRKLPLPSNGGYPLHS >KJB32316 pep chromosome:Graimondii2_0_v6:5:61751810:61753488:1 gene:B456_005G235100 transcript:KJB32316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCSVFTFFPFQGWCHFCFRLVISFSPSFSFLSRKKKSIAEENENSHLPLPPMADSNPTRVSVHQALGGGTVADVLLWREWCSGVVMLTSSTMVWYLFERAGYNFLSFMANVLSLLVAILFFWAKSASLLNRSLPPLPNLEISENTVVIITDVLHQWINHTLSIAHNIAIARDLKFFLKVAVSLWLVSYIGSLFEFLTLVYIGVVLSLSVPVVYDKYQHFIDEKLCVTRRFIQTQYRKIDEMVLRKLPLPSNGGYPLHS >KJB32843 pep chromosome:Graimondii2_0_v6:5:63834688:63835792:-1 gene:B456_005G264900 transcript:KJB32843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEQKKLMKNLLLDMILLGQDVCSAINRSNSFKVKCSELGMRVNRLLLMLRSLPRFLTSAAPFYLLSVNSIVVKLEDNFKVAQRVVHNCKPRRRLCRFFTGHIRISTDFQELFHVLDASITEMEWLVSHYEPQSKDRGSMYSPTVLVWSCIATVEMGPSLDDRIEAANRLASLVQQKDFEYKQLIFEGGLPSLIKLLKENSPVAHIAAANALCLLANEEEEKSGTIMKELIHTIASRLSRTSSRCGQKQAADLVADIAERNPELKLLRKRR >KJB28140 pep chromosome:Graimondii2_0_v6:5:2674941:2676530:1 gene:B456_005G029800 transcript:KJB28140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQLGVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFSISLITKLLGRIYYFDAHSAKPGTLPPRIAAAVNGVAFCGTLAGQLFFGWLGDKLGRKRVYGLTLMLMVICSIASGLSFGKSPHGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGIVALIVSAAFDHAYKALPYNVDREHSTAPQADYIWRIILMFGALPALLTYYWRMKMPETARYTALVARNAKQAAADMSKVLQVDLNAEQEKVDKIGREQFGLFSKEFAKRHGLNLLGTTSTWFLLDIAFYSSNLFQKDIFSAIGWLPKAETMSATKEVYRVAKAQTLIALCGTVPGYWFTVAFIEHLGRFTIQLMGFFFMSVFMFALAIPYQHWKTHNSGFLIMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGSLGFLYAAQSTNHDKVDKGYHTGIGVKNALIVLGAVNCLGMLFTLLVPETMGRSLEDITGENEEDNGYEQRFSVEKVPV >KJB30184 pep chromosome:Graimondii2_0_v6:5:33229869:33235620:1 gene:B456_005G133000 transcript:KJB30184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASFTSSILSRTLSTVHDGGTVNLHPRLLRVALFSSSRTDNSNSRRHWFSPLLNSFSKTSGQAVSLGLVGVVASVASAASVYAKEPPPAEIIPKDVVLYQYEACPFCNKVKAFLDYYDIPYKVVEVNPISKKEIKWSDYKKVPILMVDGQQLVDSSAIIDQLSEKILPGKAIISVADEDEETKWRRWVDNHLVHVLSPNIYRNTSEALESFDYITSNGNFSFTEKITVKYAGAAAMYFVSKNLKKKYNITDERAALYEATETWVDALNGRNFLGGSKPNLADLAVFGVLRPIRYLRSGRDMVEHTRIGEWYSRMEKVVGESSRIKA >KJB30185 pep chromosome:Graimondii2_0_v6:5:33229950:33233520:1 gene:B456_005G133000 transcript:KJB30185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASFTSSILSRTLSTVHDGGTVNLHPRLLRVALFSSSRTDNSNSRRHWFSPLLNSFSKTSGQAVSLGLVGVVASVASAASVYAKEPPPAEIIPKDVVLYQYEACPFCNKVKAFLDYYDIPYKVVEVNPISKKEIKWSDYKKVPILMVDGQQLVDSSAIIDQLSEKILPGKAIISVADEDEETKWRRWVDNHLVHVLSPNIYRNTSEALESFDYITSNGKLMHNFVLYIRSCLPSIYKPCRFLVND >KJB30186 pep chromosome:Graimondii2_0_v6:5:33229950:33235578:1 gene:B456_005G133000 transcript:KJB30186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASFTSSILSRTLSTVHDGGTVNLHPRLLRVALFSSSRTDNSNSRRHWFSPLLNSFSKTSGQAVSLGLVGVVASVASAASVYAKEPPPAEIIPKDVVLYQYEACPFCNKVKGTFLDYYDIPYKVVEVNPISKKEIKWSDYKKVPILMVDGQQLVDSSAIIDQLSEKILPGKAIISVADEDEETKWRRWVDNHLVHVLSPNIYRNTSEALESFDYITSNGNFSFTEKITVKYAGAAAMYFVSKNLKKKYNITDERAALYEATETWVDALNGRNFLGGSKPNLADLAVFGVLRPIRYLRSGRDMVEHTRIGEWYSRMEKVVGESSRIKA >KJB27807 pep chromosome:Graimondii2_0_v6:5:764244:768637:1 gene:B456_005G011100 transcript:KJB27807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:Projected from Arabidopsis thaliana (AT2G43790) UniProtKB/TrEMBL;Acc:A0A178VTX8] MEGGGPPQAADTEMAEQPNPQHHQQQPPQMGIGLENIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSVTNEQVALKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPKRECFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSESDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLIELIGTPSEAELGFLNANARRYIQQLPLYHRQSFTEKFPTVHPLAIDLVEKMLTFDPRLRITVEDALAHPYLSSLHDLSDEPVCMTPFNFDFEQHALTEEQMKELIYREALTFNPEYVQP >KJB27808 pep chromosome:Graimondii2_0_v6:5:764326:768626:1 gene:B456_005G011100 transcript:KJB27808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:Projected from Arabidopsis thaliana (AT2G43790) UniProtKB/TrEMBL;Acc:A0A178VTX8] MDHENVVAIRDIIPPPKRECFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSESDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLIELIGTPSEAELGFLNANARRYIQQLPLYHRQSFTEKFPTVHPLAIDLVEKMLTFDPRLRITVEDALAHPYLSSLHDLSDEPVCMTPFNFDFEQHALTEEQMKELIYREALTFNPEYVQP >KJB27537 pep chromosome:Graimondii2_0_v6:5:14392:15197:-1 gene:B456_005G0004002 transcript:KJB27537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDYLWSKTIATILWPLHVLPTKFVYKKIGSAIGIQKTAKSRGGSLPAHIEKFYEFSNEKVTSQFISYFMMARMCRQLVWKCRMDMV >KJB28252 pep chromosome:Graimondii2_0_v6:5:3496990:3498254:1 gene:B456_005G037200 transcript:KJB28252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLNDIPLSLRNRESTIREGCAELELAPKIQHPFHPLTLLPKSPYSGGITAYSLFGKNFEGFVYNCFDCEFDLHITCALLQSSIAANFPNSLHPHPLHFIQNHNKEVEPDCPGCRKPISGPFYHCSDCTCPKLF >KJB28627 pep chromosome:Graimondii2_0_v6:5:6145800:6147829:-1 gene:B456_005G059100 transcript:KJB28627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRDKEELSEEERKALRGSKFAPLPPPSLSKPRLAHPGGPLTTNKAAALAKFLERKLQDPNGLSSINPQLLELAVNNAKATVFQSGASSSGTRVRHVDSFGDSEDSSEEAKSGIPEPKKDTNKNKNKNKNKNKKNKKKNKMKKVVEDHECTLKRPKKT >KJB28628 pep chromosome:Graimondii2_0_v6:5:6146635:6147809:-1 gene:B456_005G059100 transcript:KJB28628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRDKEELSEEERKALRGSKFAPLPPPSLSKPRLAHPGGPLTTNKAAALAKFLERKLQDPNGLSSINPQLLELAVNNAKATVFQSTLLFISFSSLLLILVWFSLI >KJB30955 pep chromosome:Graimondii2_0_v6:5:49644124:49645432:1 gene:B456_005G1694001 transcript:KJB30955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPDAGEQISSKDIKMIVEQNNYTNINLHTIGKQLDYIENLVESQPIKREPVKEITEKSSKEPIFTPYEIPKAFQKSQNDFLTEIQNRLNALENHKSELIAPDTPIQTQYSVNTLHQSFQSDSDQSDEQQINKMAWKEPKRLYYPKITAPDLNIEEKPVFQNKYNANTIYEWNIDGMSEYNILSLLHQMTMVSNVYKTQNQNGLINDHAIANLLVAGFTGQLKGWWDHALTKTQQKEKFLAGLPTLLGEKVRNQIRENYKGIIPYEKLTYGELISFTQKEGLKICQDLKLQKQLKKERYQCRKELGSFCHQFDIRNEPSSSKTCCPEKKWKKQQKTENKIDKTIKCYRCGKPGHISKYCKIKRKINNLNLEEEIEQKLNEILLETTSSENDTSIETDHPPNRRITYNIPVFWR >KJB27438 pep chromosome:Graimondii2_0_v6:5:6746248:6746400:-1 gene:B456_005G064400 transcript:KJB27438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPTQKINLISCDPMDHVSDIKLIRTDTTLDLSQKAEKGMLSIIKHRAI >KJB32704 pep chromosome:Graimondii2_0_v6:5:63351050:63351454:-1 gene:B456_005G256900 transcript:KJB32704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTKILIRMARKWQKLDAIGRRRITSSLVDKGHFVIYTIDQKRFVIPLAYLRNTIFVELLKMSEEEFGLPSDGPITLPCDSVAMNYILSLLQRSLAKYLEKAVLNSVASYRCSSNTSYCHQAHTDQQSLVYGF >KJB31876 pep chromosome:Graimondii2_0_v6:5:59448976:59450369:-1 gene:B456_005G212400 transcript:KJB31876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHHNLKKHSTACWHCFHFILQKKEKKMKNQMLKQVIGVPIKATTYGVGRRTSRQYLPDVAGQYHISQQGSTKSKGNFVFKRMNLLGKKADTFAHGVREHVRLGTKISETVKGKLSLGARILQVGGLEKIFKQLFSFREGEKLLKACQCYLSTTAGPIAGLLFISSEKVAFCSERSIKVPCANGEYVRVHYKVVVPVEKIKGVNQGENMKKPWQKYMEIVTVDGFDIWFMGFLNYHKAFKCLQQAISQRLEDVDTSKVA >KJB32131 pep chromosome:Graimondii2_0_v6:5:60850660:60859143:-1 gene:B456_005G226100 transcript:KJB32131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKLLEMLILMLAKKLNQEVDPCEGLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCMMNELQRFPVLRKHMDQVVGNFLQEGLEPSETMIGHMIEMEMDYINTSHPNFIGGSKAVELANQQIRNSRVHLPVSKAKDGLEPDKAPPSEKSTKSWTIIARQVNGIAAEQVVRPAADVEKVPSTGSTSGLTWGISSIFGSSDNRSSVKESLTNKPYTEPAQNMEHAFSMIHLKEPPSLLRPSECSETEAIEIAITKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHSKRELHNVFIRKLYRENLFEEMLQEPDEIASKRKRTRETLRVLQQAFRTLDELPLEAETVERGYSLGSDLTGLPKIHGLPTSSMYSTSSGSNDSYAASPKHTKSRKSSHSGELQSHVYASSGSNGNGRSYMPGLYPAVDL >KJB32130 pep chromosome:Graimondii2_0_v6:5:60850660:60857778:-1 gene:B456_005G226100 transcript:KJB32130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLDIMDRGTDARNLLLGKVIPLRLGYIGVVNRSQEDILLNRSIKDALVAEEQFFRSRPVYNGLADRCGVPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEGLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCMMNELQRFPVLRKHMDQVVGNFLQEGLEPSETMIGHMIEMEMDYINTSHPNFIGGSKAVELANQQIRNSRVHLPVSKAKDGLEPDKAPPSEKSTKSWTIIARQVNGIAAEQVVRPAADVEKVPSTGSTSGLTWGISSIFGSSDNRSSVKESLTNKPYTEPAQNMEHAFSMIHLKEPPSLLRPSECSETEAIEIAITKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHSKRELHNVFIRKLYRENLFEEMLQEPDEIASKRKRTRETLRVLQQAFRTLDELPLEAETVERGYSLGSDLTGLPKIHGLPTSSMYSTSSGSNDSYAASPKHTKSRKSSHSGELQSHVYASSGSNGNGRSYMPGLYPAVDL >KJB32127 pep chromosome:Graimondii2_0_v6:5:60850584:60859147:-1 gene:B456_005G226100 transcript:KJB32127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKLLEMLILMLDIMDRGTDARNLLLGKVIPLRLGYIGVVNRSQEDILLNRSIKDALVAEEQFFRSRPVYNGLADRCGVPQLAKKLNQEVDPCEGLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCMMNELQRFPVLRKHMDQVVGNFLQEGLEPSETMIGHMIEMEMDYINTSHPNFIGGSKAVELANQQIRNSRVHLPVSKAKDGLEPDKAPPSEKSTKSWTIIARQVNGIAAEQVVRPAADVEKVPSTGSTSGLTWGISSIFGSSDNRSSVKESLTNKPYTEPAQNMEHAFSMIHLKEPPSLLRPSECSETEAIEIAITKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHSKRELHNVFIRKLYRENLFEEMLQEPDEIASKRKRTRETLRVLQQAFRTLDELPLEAETVERGYSLGSDLTGLPKIHGLPTSSMYSTSSGSNDSYAASPKHTKSRKSSHSGELQSHVYASSGSNGNGRSYMPGLYPAVDL >KJB32126 pep chromosome:Graimondii2_0_v6:5:60850584:60859147:-1 gene:B456_005G226100 transcript:KJB32126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAAVASTPPQSSAAPLGSSVIPLVNKLQDIFAKLGSQSTIELPQVAVVGSQSSGKSSVLESLVGRDFLPRGSDICTRRPLVLQLLQTKRKPDGSEEEYGEFLHLPGKRFYDFSEIRREIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKQPSCLIIAVTPANSDLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYIGVVNRSQEDILLNRSIKDALVAEEQFFRSRPVYNGLADRCGVPQLAKKLNQEVDPCEGLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCMMNELQRFPVLRKHMDQVVGNFLQEGLEPSETMIGHMIEMEMDYINTSHPNFIGGSKAVELANQQIRNSRVHLPVSKAKDGLEPDKAPPSEKSTKSWTIIARQVNGIAAEQVVRPAADVEKVPSTGSTSGLTWGISSIFGSSDNRSSVKESLTNKPYTEPAQNMEHAFSMIHLKEPPSLLRPSECSETEAIEIAITKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHSKRELHNVFIRKLYRENLFEEMLQEPDEIASKRKRTRETLRVLQQAFRTLDELPLEAETVERGYSLGSDLTGLPKIHGLPTSSMYSTSSGSNDSYAASPKHTKSRKSSHSGELQSHVYASSGSNGNGRSYMPGLYPAVDL >KJB32129 pep chromosome:Graimondii2_0_v6:5:60850584:60859150:-1 gene:B456_005G226100 transcript:KJB32129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAAVASTPPQSSAAPLGSSVIPLVNKLQDIFAKLGSQSTIELPQVAVVGSQSSGKSSVLESLVGRDFLPRGSDICTRRPLVLQLLQTKRKPDGSEEEYGEFLHLPGKRFYDFSEIRREIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKQPSCLIIAVTPANSDLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYIGVVNRSQEDILLNRSIKDALVAEEQFFRSRPVYNGLADRCGVPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEGLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCMMNELQRFPVLRKHMDQVVGNFLQEGLEPSETMIGHMIEMEMDYINTSHPNFIGGSKAVELANQQIRNSRVHLPVSKAKDGLEPDKAPPSEKSTKSWTIIARQVNGIAAEQVVRPAADVEKVPSTGSTSGLTWGISSIFGSSDNRSSVKESLTNKPYTEPAQNMEHAFSMIHLKEPPSLLRPSECSETEAIEIAITKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHSKRELHNVFIRKLYRENLFEEMLQEPDEIASKRKRTRETLRVLQQAFRTLDELPLEAETVERGYSLGSDLTGLPKIHGLPTSSMYSTSSGSNDSYAASPKHTKSRKSSHSGELQSHVYASSGSNGNGRSYMPGLYPAVDL >KJB32128 pep chromosome:Graimondii2_0_v6:5:60851011:60857947:-1 gene:B456_005G226100 transcript:KJB32128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKLLEMLILMLDIMDRGTDARNLLLGKVIPLRLGYIGVVNRSQEDILLNRSIKDALVAEEQFFRSRPVYNGLADRCGVPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEGLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCMMNELQRFPVLRKHMDQVVGNFLQEGLEPSETMIGHMIEMEMDYINTSHPNFIGGSKAVELANQQIRNSRVHLPVSKAKDGLEPDKAPPSEKSTKSWTIIARQVNGIAAEQVVRPAADVEKVPSTGSTSGLTWGISSIFGSSDNRSSVKESLTNKPYTEPAQNMEHAFSMIHLKEPPSLLRPSECSETEAIEIAITKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHSKRELHNVFIRKLYRENLFEEMLQEPDEIASKRKRTRETLRVLQQAFRTLDELPLEAETVERGYSLGSDLTGLPKIHGLPTSSMYSTSSGSNDSYAASPKHTKSRKSSHSGELQSHVYASSGSNGNGRSYMPGLYPAVDL >KJB32132 pep chromosome:Graimondii2_0_v6:5:60852201:60859147:-1 gene:B456_005G226100 transcript:KJB32132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKLLEMLILMLDIMDRGTDARNLLLGKVIPLRLGYIGVVNRSQEDILLNRSIKDALVAEEQFFRSRPVYNGLADRCGVPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEGLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCMMNELQRFPVLRKHMDQVVGNFLQEGLEPSETMIGHMIEMEMDYINTSHPNFIGGSKAVELANQQIRNSRVHLPVSKAKDGLEPDKAPPSEKSTKSWTIIARQVNGIAAEQVVRPAADVEKVPSTGSTSGLTWGISSIFGSSDNRSSVKESLTNKPYTEPAQNMEHAFSMIHLKEPPSLLRPSECSETEAIEIAITKLLLRSYYDIVRKNIEDSVPKAIMHFLVSFRLSRFANHISFCLFFFLFYPCSHLNS >KJB30841 pep chromosome:Graimondii2_0_v6:5:47466263:47468093:-1 gene:B456_005G163300 transcript:KJB30841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIMIVVLEESEDVRDDLLLVILSALGRNESGVTQAARRLAMNVIEQCSEKPEASIKQILISVMSRDNQLIKSEIDYHEVIYGIYHCALQILSGVVPYLTGELLV >KJB30838 pep chromosome:Graimondii2_0_v6:5:47465811:47468131:-1 gene:B456_005G163300 transcript:KJB30838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIMIVVLEESEDVRDDLLLVILSALGRNESGVTQAARRLAMNVIEQCSEKPEASIKQILISVMSRDNQLIKSEIDYHEVIYGIYHCALQILSGVVPYLTGELLADQLDTRLRAVRLVGSLFALPGANICEAF >KJB30840 pep chromosome:Graimondii2_0_v6:5:47466263:47467895:-1 gene:B456_005G163300 transcript:KJB30840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVKKDIFNLIVDTFNGLSNTDGPSFRRIVVILEILAKYRSCVVMLDLECDDLANEMFSTFFSVVRDDHPENVLSAMQTIMIVVLEESEDVRDDLLLVILSALGRNESGVTQAARRLAMNVIEQCSEKPEASIKQILISVMSRDNQLIKSEIDYHEVIYGIYHCALQILSGVVPYLTGELLV >KJB30839 pep chromosome:Graimondii2_0_v6:5:47465858:47467895:-1 gene:B456_005G163300 transcript:KJB30839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVKKDIFNLIVDTFNGLSNTDGPSFRRIVVILEILAKYRSCVVMLDLECDDLANEMFSTFFSVVRDDHPENVLSAMQTIMIVVLEESEDVRDDLLLVILSALGRNESADQLDTRLRAVRLVGSLFALPGANICEAF >KJB28288 pep chromosome:Graimondii2_0_v6:5:3879284:3884077:-1 gene:B456_005G040600 transcript:KJB28288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPINSVLVSRMLSRTAAATESVNGLESVGGGLPFGSLWWFIYAGFSCFFVLFAGMMSGLTLGLMSLGLVELEILQRSGTSTEKKQAAAILPVVQKQHQLLVTLLLCNAVAMEALPIYLDKLFNEYVAIILSVTFVLAFGEVIPQALCTRYGLAIGANLSGLVRVLMILCFPIAYPVGKVLDWMLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVCVNLMESLIESLADLVGYFYSFFISNVLFENWNKVKSLLTVRPETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVRGKSKNASATVDGEKSEENNSLSNAAESQLTTPLLPKQDEKPESVTVDIDKSFKPPLCKNAATNGPSMTSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLTVQKGVEIQSKQGQGHAPKKLV >KJB28286 pep chromosome:Graimondii2_0_v6:5:3879284:3883058:-1 gene:B456_005G040600 transcript:KJB28286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILCFPIAYPVGKVLDWMLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVRGKSKNASATVDGEKSEENNSLSNAAESQLTTPLLPKQDEKPESVTVDIDKSFKPPLCKNAATNGPSMTSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLTVQKGVEIQSKQGQGHAPKKLV >KJB28287 pep chromosome:Graimondii2_0_v6:5:3879284:3883163:-1 gene:B456_005G040600 transcript:KJB28287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKRWLTFIDSFDISILQALPIYLDKLFNEYVAIILSVTFVLAFGEVIPQALCTRYGLAIGANLSGLVRVLMILCFPIAYPVGKVLDWMLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVRGKSKNASATVDGEKSEENNSLSNAAESQLTTPLLPKQDEKPESVTVDIDKSFKPPLCKNAATNGPSMTSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLTVQKGVEIQSKQGQGHAPKKLV >KJB28289 pep chromosome:Graimondii2_0_v6:5:3879284:3884116:-1 gene:B456_005G040600 transcript:KJB28289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMFLAAILPVVQKQHQLLVTLLLCNAVAMEALPIYLDKLFNEYVAIILSVTFVLAFGEVIPQALCTRYGLAIGANLSGLVRVLMILCFPIAYPVGKVLDWMLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVRGKSKNASATVDGEKSEENNSLSNAAESQLTTPLLPKQDEKPESVTVDIDKSFKPPLCKNAATNGPSMTSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLTVQKGVEIQSKQGQGHAPKKLV >KJB28284 pep chromosome:Graimondii2_0_v6:5:3879284:3883117:-1 gene:B456_005G040600 transcript:KJB28284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILCFPIAYPVGKVLDWMLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVRGKSKNASATVDGEKSEENNSLSNAAESQLTTPLLPKQDEKPESVTVDIDKSFKPPLCKNAATNGPSMTSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLTVQKGVEIQSKQGQGHAPKKLV >KJB28283 pep chromosome:Graimondii2_0_v6:5:3879235:3884181:-1 gene:B456_005G040600 transcript:KJB28283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPINSVLVSRMLSRTAAATESVNGLESVGGGLPFGSLWWFIYAGFSCFFVLFAGMMSGLTLGLMSLGLVELEILQRSGTSTEKKQAAAILPVVQKQHQLLVTLLLCNAVAMEALPIYLDKLFNEYVAIILSVTFVLAFGEVIPQALCTRYGLAIGANLSGLVRVLMILCFPIAYPVGKVLDWMLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVRGKSKNASATVDGEKSEENNSLSNAAESQLTTPLLPKQDEKPESVTVDIDKSFKPPLCKNAATNGPSMTSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLTVQKGVEIQSKQGQGHAPKKLV >KJB28285 pep chromosome:Graimondii2_0_v6:5:3879284:3882811:-1 gene:B456_005G040600 transcript:KJB28285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILCFPIAYPVGKVLDWMLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVRGKSKNASATVDGEKSEENNSLSNAAESQLTTPLLPKQDEKPESVTVDIDKSFKPPLCKNAATNGPSMTSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLTVQKGVEIQSKQGQGHAPKKLV >KJB28453 pep chromosome:Graimondii2_0_v6:5:4847069:4848268:1 gene:B456_005G049300 transcript:KJB28453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 1B [Source:Projected from Arabidopsis thaliana (AT3G23240) UniProtKB/Swiss-Prot;Acc:Q8LDC8] MESFHFHSPNSNCSSESSFGSPEFFPLDHNSLPFNENDSEEMLLYGLLAEAKQETSSELTYPNQVKEEEVTSIDIESPTKEKAYRGVRRRPWGKFAAEIRDSTRNGVRVWLGTFDSAEAAALAYDQAAFAMRGSAAILNFPVEKVRESLREMKCNQEDGGSPVVALKRKHSMRRKMVSRNKKERESGGVRINNAVVFEDLGPDFLEQLLTTCDQAATPW >KJB31626 pep chromosome:Graimondii2_0_v6:5:57313462:57316201:-1 gene:B456_005G198600 transcript:KJB31626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTNKYTSINFNHVLEKNLTPSSSSSGSNKNPQSNHQTHSSFASYSSAGNVKTHGRMLVLTRPSPKPISTPPVVSPTLPQHPQPQPRSVLVPDQPPSSDQISLRPGSGTSIPGLEKEKEGVPVSGPLKPDRFVPPHLRPGFVGREEKPEPKVLRGREQALKHFGSSGHYGEDRRPKSGGYEKIRKGAESDLGLIPHPRSSGNRPSSSG >KJB29604 pep chromosome:Graimondii2_0_v6:5:20061886:20065762:1 gene:B456_005G109800 transcript:KJB29604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACCVAARERTLPKRTGVETLHRNTTCSPSWSFRWDSQRCVADEIEEPSYQVSNRASRNVSMERKGTLGCGRRNISDQRNALEIENYEIPTCQKFPIREDMGRNMMTPSSDISRASNYSIEVKNLAESPDIVDSSAPKLSFCIPSPLSPPITKTLSGHIPSNSTPSRWARHSPGHRLLRQVSDSRILGLKSPNNYSMSEGRSSFVLSTCSNDLTAGSHGGSSDGWSMRTFSELVASSQRERWSFDSEHFGSGDGKISGCSSGVSYSPSIGMRTCGACSKLLAERSSWSCNEISVVAVLVCGHVYHTECLETMTPEADRYDPACPICMVGEKQVSKISRKALKAEVELMAKHLKLFKNRVKDSFADGDCNDFNHQQNAKREGKAPKLEPSSSRRSSLAKPFLKRHFSIGAKWGRSVSENDSARKKGFWARHRKD >KJB29606 pep chromosome:Graimondii2_0_v6:5:20063738:20065617:1 gene:B456_005G109800 transcript:KJB29606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCQFPPFYYLFVFCLKLFYVVAADISRASNYSIEVKNLAESPDIVDSSAPKLSFCIPSPLSPPITKTLSGHIPSNSTPSRWARHSPGHRLLRQVSDSRILGLKSPNNYSMSEGRSSFVLSTCSNDLTAGSHGGSSDGWSMRTFSELVASSQRERWSFDSEHFGSGDGKISGCSSGVSYSPSIGMRTCGACSKLLAERSSWSCNEISVVAVLVCGHVYHTECLETMTPEADRYDPACPICMVGEKQVSKISRKALKAEVELMAKHLKLFKNRVKDSFADGDCNDFNHQQNAKREGKAPKLEPSSSRRSSLAKPFLKRHFSIGAKWGRSVSENDSARKKGFWARHRKD >KJB29605 pep chromosome:Graimondii2_0_v6:5:20062033:20065709:1 gene:B456_005G109800 transcript:KJB29605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACCVAARERTLPKRTGVETLHRNTTCSPSWSFRWDSQRCVADEIEEPSYQVSNRASRNVSMERKGTLGCGRRNISDQRNALEIENYEIPTCQKFPIREDMGRNMMTPSSDISRASNYSIEVKNLAESPDIVDSSAPKLSFCIPSPLSPPITKTLSGHIPSNSTPSRWARHSPGHRLLRQVSDSRILGLKSPNNYSMSEGRSSFVLSTCSNDLTAGSHGGSSDGWSMRTFSELVASSQRERWSFDSEHFGSGDGKISGCSSGVSYSPSIGMRTCGACSKLLAERSSWSCNEISVVAVLVCGHVYHTECLETMTPEADRYDPACPICMVGEKQVSKISRKALKAEVELMAKHLKLFKNRVKDSFADGDCNDFNHQQNAKREGKAPKLEPSSSRRSSLAKPFLKRHFSIGAKWGRSVSENDSARKKGFWARHRKD >KJB31636 pep chromosome:Graimondii2_0_v6:5:57453120:57455398:-1 gene:B456_005G199600 transcript:KJB31636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRPNKYLIRLQKASYSAVLFIKGKGKGKSFDLYNSLYAGNTIFWELLKKAMASLFKDPAKLSAYRDRRFNGSQEEFEQALLTSTTVYIGNMSFYTTEEQVYELFSRAGEIKKIIMGLDKNSKTPCGFCFVLYYSREDAEDAVKYISGTILDDRPIRVDFDWGFVEGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKLVQKELEAQRQLVDYGAGSLGSFPPVMAPHYGRHGGGHGHGGSYRHGRGITSDYHRKRHRDDDHYARESSKRNSDHESRRASDHDARPEKNPRFRESGDSDEEEEDDRKRRS >KJB31635 pep chromosome:Graimondii2_0_v6:5:57452357:57455398:-1 gene:B456_005G199600 transcript:KJB31635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRPNKYLIRLQKASYSAVLFIKGKGKGKSFDLYNSLYAGNTIFWELLKKAMASLFKDPAKLSAYRDRRFNGSQEEFEQALLTSTTVYIGNMSFYTTEEQVYELFSRAGEIKKIIMGLDKNSKTPCGFCFVLYYSREDAEDAVKYISGTILDDRPIRVDFDWGFVEGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKLVQKELEAQRQLVDYGAGSLGSFPPVMAPHYGRHGGGHGHGGSYRHGRGITYYHRKRHRDDDHYARESSKRNSDHESRRASDHDARPEKNPRFRESGDSDEEEEDDRKRRS >KJB31634 pep chromosome:Graimondii2_0_v6:5:57452330:57455415:-1 gene:B456_005G199600 transcript:KJB31634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFKDPAKLSAYRDRRFNGSQEEFEQALLTSTTVYIGNMSFYTTEEQVYELFSRAGEIKKIIMGLDKNSKTPCGFCFVLYYSREDAEDAVKYISGTILDDRPIRVDFDWGFVEGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKLVQKELEAQRQLVDYGAGSLGSFPPVMAPHYGRHGGGHGHGGSYRHGRDYHRKRHRDDDHYARESSKRNSDHESRRASDHDARPEKNPRFRESGDSDEEEEDDRKRRS >KJB31035 pep chromosome:Graimondii2_0_v6:5:50371257:50377123:1 gene:B456_005G173400 transcript:KJB31035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFTQFCILYFYFIASVVSQVSEFISIDCGSTSNYTDPSTGLSWSSDMDIMKHGRQVKVENPNGNSMQFQTRRDFPSDNKKYCYNLSTKERRRYLVRATFQYGSPANVDAYPKFEIYLDATQWATVTIQDGSRKYVNEMIIRAPSDSIDVCICCATTGFPFISTLELRPLNLSMYATDYEGDFFLNVAARVNFGALTKDLVRYPDDPYDRFWESDLDRRQNFLVGVAPGTARISTSKNVDIRTREYPPVKVMQTAVVGTEGELSYRLNLERFPANARAYAYFAEIEDLAPNETRKFQLREPYIPDYSNAVVNIAENANGSYTLYEPSYMNVTLDFVLSFTFSKTNDSTEGPLLNAMEISKYQQIAAKTERQDVTALNAIRNMSAESVWANEGGDPCVPTNWEWVNCSPTPPPRITKIALSGRNLKGQIPSEINHMEELTELWLDFNSLTGPLPDMSNLINLEILHLENNKLSGSLPLYLGRLPNLQALYIQNNSFSGEIPAALLSKKISFNYEGNPGLHNEAQRKLRFKLILGASIGVLAVLLILFLGSLILLRNFRGKMSHQKCDENGNSTQPGTKQSTACSIARGGHLLDEGVAYCISLSDLEEATNNFTKKIGKGSFGSVYYGKMKDGKEVAVKTMADSSSHLNKQFVTEVALLSRIHHRNLVPLIGYCEEAHQRILVYEYMHNGTLRDHIHGFLL >KJB31033 pep chromosome:Graimondii2_0_v6:5:50370890:50377157:1 gene:B456_005G173400 transcript:KJB31033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIAFTHQSGGKKTAKGKFTSLKRSKGSVLPPSSSSMVSFTQFCILYFYFIASVVSQVSEFISIDCGSTSNYTDPSTGLSWSSDMDIMKHGRQVKVENPNGNSMQFQTRRDFPSDNKKYCYNLSTKERRRYLVRATFQYGSPANVDAYPKFEIYLDATQWATVTIQDGSRKYVNEMIIRAPSDSIDVCICCATTGFPFISTLELRPLNLSMYATDYEGDFFLNVAARVNFGALTKDLVRYPDDPYDRFWESDLDRRQNFLVGVAPGTARISTSKNVDIRTREYPPVKVMQTAVVGTEGELSYRLNLERFPANARAYAYFAEIEDLAPNETRKFQLREPYIPDYSNAVVNIAENANGSYTLYEPSYMNVTLDFVLSFTFSKTNDSTEGPLLNAMEISKYQQIAAKTERQDVTALNAIRNMSAESVWANEGGDPCVPTNWEWVNCSPTPPPRITKIALSGRNLKGQIPSEINHMEELTELWLDFNSLTGPLPDMSNLINLEILHLENNKLSGSLPLYLGRLPNLQALYIQNNSFSGEIPAALLSKKISFNYEGNPGLHNEAQRKLRFKLILGASIGVLAVLLILFLGSLILLRNFRGKMSHQKCDENGNSTQPGTKQSTACSIARGGHLLDEGVAYCISLSDLEEATNNFTKKIGKGSFGSVYYGKMKDGKEVAVKTMADSSSHLNKQFVTEVALLSRIHHRNLVPLIGYCEEAHQRILVYEYMHNGTLRDHIHGSVNQKPLDWLARLKIAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEEDLTHVSSVARGTVGYLDPEYYASQQLTEKSDVYSFGVVLLELISGKKPVSVEDFGPELNIVHWARSLIRKGDVISIVDPFLVGNVKIESIWRIAEVAIQCVEQHGYSRPKMQEIILAIQDAMKIEKGDEGNAKLACGSSRGQSSRKTLLASFLEIESPDLSNGSLVPSAR >KJB31032 pep chromosome:Graimondii2_0_v6:5:50371056:50377123:1 gene:B456_005G173400 transcript:KJB31032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFTQFCILYFYFIASVVSQVSEFISIDCGSTSNYTDPSTGLSWSSDMDIMKHGRQVKVENPNGNSMQFQTRRDFPSDNKKYCYNLSTKERRRYLVRATFQYGSPANVDAYPKFEIYLDATQWATVTIQDGSRKYVNEMIIRAPSDSIDVCICCATTGFPFISTLELRPLNLSMYATDYEGDFFLNVAARVNFGALTKDLVRYPDDPYDRFWESDLDRRQNFLVGVAPGTARISTSKNVDIRTREYPPVKVMQTAVVGTEGELSYRLNLERFPANARAYAYFAEIEDLAPNETRKFQLREPYIPDYSNAVVNIAENANGSYTLYEPSYMNVTLDFVLSFTFSKTNDSTEGPLLNAMEISKYQQIAAKTERQDVTALNAIRNMSAESVWANEGGDPCVPTNWEWVNCSPTPPPRITKIALSGRNLKGQIPSEINHMEELTELWLDFNSLTGPLPDMSNLINLEILHLENNKLSGSLPLYLGRLPNLQALYIQNNSFSGEIPAALLSKKISFNYEGNPGLHNEAQRKLRFKLILGASIGVLAVLLILFLGSLILLRNFRGKMSHQKCDENGNSTQPGTKQSTACSIARGGHLLDEGVAYCISLSDLEEATNNFTKKIGKGSFGSVYYGKMKDGKEVAVKTMADSSSHLNKQFVTEVALLSRIHHRNLVPLIGYCEEAHQRILVYEYMHNGTLRDHIHGSVNQKPLDWLARLKIAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEEDLTHVSSVARGTVGYLDPEYYASQQLTEKSDVYSFGVVLLELISGKKPVSVEDFGPELNIVHWARSLIRKGDVISIVDPFLVGNVKIESIWRIAEVAIQCVEQHGYSRPKMQEIILAIQDAMKIEKGDEGNAKLACGSSRGQSSRKTLLASFLEIESPDLSNGSLVPSAR >KJB31034 pep chromosome:Graimondii2_0_v6:5:50371257:50377123:1 gene:B456_005G173400 transcript:KJB31034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFTQFCILYFYFIASVVSQVSEFISIDCGSTSNYTDPSTGLSWSSDMDIMKHGRQVKVENPNGNSMQFQTRRDFPSDNKKYCYNLSTKERRRYLVRATFQYGSPANVDAYPKFEIYLDATQWATVTIQDGSRKYVNEMIIRAPSDSIDVCICCATTGFPFISTLELRPLNLSMYATDYEGDFFLNVAARVNFGALTKDLVRYPDDPYDRFWESDLDRRQNFLVGVAPGTARISTSKNVDIRTREYPPVKVMQTAVVGTEGELSYRLNLERFPANARAYAYFAEIEDLAPNETRKFQLREPYIPDYSNAVVNIAENANGSYTLYEPSYMNVTLDFVLSFTFSKTNDSTEGPLLNAMEISKYQQIAAKTERQDVTALNAIRNMSAESVWANEGGDPCVPTNWEWVNCSPTPPPRITKIALSGRNLKGQIPSEINHMEELTELWLDFNSLTGPLPDMSNLINLEILHLENNKLSGSLPLYLGRLPNLQALYIQNNSFSGEIPAALLSKKISFNYEGNPGLHNEAQRKLRFKLILGASIGVLAVLLILFLGSLILLRNFRGKMSHQKCDENGNSTQPGTKQSTACSIARGGHLLDEGVAYCISLSDLEEATNNFTKKIGKGSFGSVYYGKMKDGKEVAVKTMADSSSHLNKQFVTEVALLSRIHHRNLVPLIGYCEEAHQRILVYEYMHNGTLRDHIHGFLL >KJB31285 pep chromosome:Graimondii2_0_v6:5:53682988:53687440:1 gene:B456_005G183800 transcript:KJB31285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSCANFLHLASGNLLDIPQTPRGLPRVMTVPGIISDMDSCSSNDGDSDVASSGCRERKIIVANMLPLHAKRDGETSKWHFSWDEDSLLLHLKDGFSPETEVVFVGSLKVDIDVNEQEEVAQKLLEDFNCVPTFLPHDLQKKFYLGFCKQHLWPLFHYMLPMCPDHGDRFDRILWQAYVSANKIFADKVMEVINPDDDYVWIHDYHLMVLPTFLRKHLNRIKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVFIKILPVGVHMGRLESVLNLSSTAARVKEIQKQFEGKKLILGIDDMDIFKGISLKLLAVEQLLQQHPDLQGKIVLVQIVNPARGFGKDVQEAKKETYMTAKKINEVYGSPNYQPVILIDRPVPRYEKSAYYALAECCIVNAVRDGMNLVPYKYIVCRQGTPGMDEALGVKPEYPRTSMLVVSEFIGCSPSLSGAIRVNPWDIDAVAEALNTAITMPESEKQLRHEKHYRYVSTHDVAYWARSFVMDLDRACQDHYSKRCWGIGLGLSFRVVSLSPSFRRLAIDHICSAYRRTNRRAIFLDYDGTLVPEASIIKTPSPEVISIIKTLCDDPKNTVFIVSGRGRASLSDWLAPCEKLGIAAEHGYFIRWSKDSEWETSPVGADLEWKKIVEPCSRK >KJB31284 pep chromosome:Graimondii2_0_v6:5:53682988:53687440:1 gene:B456_005G183800 transcript:KJB31284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSCANFLHLASGNLLDIPQTPRGLPRVMTVPGIISDMDSCSSNDGDSDVASSGCRERKIIVANMLPLHAKRDGETSKWHFSWDEDSLLLHLKDGFSPETEVVFVGSLKVDIDVNEQEEVAQKLLEDFNCVPTFLPHDLQKKFYLGFCKQHLWPLFHYMLPMCPDHGDRFDRILWQAYVSANKIFADKVMEVINPDDDYVWIHDYHLMVLPTFLRKHLNRIKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVFIKILPVGVHMGRLESVLNLSSTAARVKEIQKQFEGKKLILGIDDMDIFKGISLKLLAVEQLLQQHPDLQGKIVLVQIVNPARGFGKDVQEAKKETYMTAKKINEVYGSPNYQPVILIDRPVPRYEKSAYYALAECCIVNAVRDGMNLVPYKYIVCRQGTPGMDEALGVKPEYPRTSMLVVSEFIGCSPSLSGAIRVNPWDIDAVAEALNTAITMPESEKQLRHEKHYRYVSTHDVAYWARSFVMDLDRACQDHYSKRCWGIGLGLSFRVVSLSPSFRRLAIDHICSAYRRTNRRAIFLDYDGTLVPEASIIKTPSPEVISIIKTLCDDPKNTVFIVSGRGRASLSDWLAPCEKLGIAAEHGYFIRWSKDSEWETSPVGADLEWKKIVEPVMSLYREATDGSSIETKEGGLVWHHLDADPDFGSCQAKELLDHLESVLANEPAVVHRGQHIVEVKPQGVSKGLVAEKVLSRMVNGGKPPDFVMCVGDDKSDEDMFQSILTSVSNPSLPVAPEIFACTVGRKPSKARYYLDDTADVLKLLKGLATATISKPRCLPEIKVSFESNA >KJB31282 pep chromosome:Graimondii2_0_v6:5:53682988:53686181:1 gene:B456_005G183800 transcript:KJB31282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSCANFLHLASGNLLDIPQTPRGLPRVMTVPGIISDMDSCSSNDGDSDVASSGCRERKIIVANMLPLHAKRDGETSKWHFSWDEDSLLLHLKDGFSPETEVVFVGSLKVDIDVNEQEEVAQKLLEDFNCVPTFLPHDLQKKFYLGFCKQHLWPLFHYMLPMCPDHGDRFDRILWQAYVSANKIFADKVMEVINPDDDYVWIHDYHLMVLPTFLRKHLNRIKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVFIKILPVGVHMGRLESVLNLSSTAARVKEIQKQFEGKKLILGIDDMDIFKGISLKLLAVEQLLQQHPDLQGKIVLVQIVNPARGFGKDVQEAKKETYMTAKKINEVYGSPNYQPVILIDRPVPRYEKSAYYALAECCIVNAVRDGMNLVPYKYIVCRQGTPGMDEALGVKPEYPRTSMLVVSEFIGCSPSLSGAIRVNPWDIDAVAEALNTAITMPESEKQLRHEKHYRYVSTHDVAYWARSFVMDLDRACQDHYSKRCWGIGLGLSFRVVSLSPSFRRLAIDHICSAYRRTNRRAIFLDYDGTLVPEASIIKTPSPEVISIIKTLCDDPKNTVFIVSGRGRASLSDWLAPCEKLGIAAEHGYFIR >KJB31283 pep chromosome:Graimondii2_0_v6:5:53684154:53686513:1 gene:B456_005G183800 transcript:KJB31283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSCANFLHLASGNLLDIPQTPRGLPRVMTVPGIISDMDSCSSNDGDSDVASSGCRERKIIVANMLPLHAKRDGETSKWHFSWDEDSLLLHLKDGFSPETEVVFVGSLKVDIDVNEQEEVAQKLLEDFNCVPTFLPHDLQKKFYLGFCKQHLWPLFHYMLPMCPDHGDRFDRILWQAYVSANKIFADKVMEVINPDDDYVWIHDYHLMVLPTFLRKHLNRIKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVFIKILPVGVHMGRLESVLNLSSTAARVKEIQKQFEGKKLILGIDDMDIFKGISLKLLAVEQLLQQHPDLQGKIVLVQIVNPARGFGKDVQEAKKETYMTAKKINEVYGSPNYQPVILIDRPVPRYEKSAYYALAECCIVNAVRDGMNLVPYKYIVCRQGTPGMDEALGVKPEYPRTSMLVVSEFIGCSPSLSGAIRVNPWDIDAVAEALNTAITMPESEKQLRHEKHYRYVSTHDVAYWARSFVMDLDRACQDHYSKRCWGIGLGLSFRVVSLSPSFRRLAIDHICSAYRRTNRRAIFLDYDGTLVPEASIIKTPSPEVISIIKTLCDDPKNTVFIVSGRGRASLSDWLAPCEKLGIAAEHGYFIRWSKDSEWETSPVGADLEWKKIVEPVMSLYREATDGSSIETKEGGLVWHHLDADPDFGSCQAKELLDHLESVLANEPAVVHRGQHIVEVKPQV >KJB28709 pep chromosome:Graimondii2_0_v6:5:7168362:7169126:1 gene:B456_005G067200 transcript:KJB28709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEVPQLKPLSSSSSSSSSSAVFEIEVNATFIIVDELFEEAGRILSQVIHEFPFDDLINDGNGAVLDMLNSMRVPVQQSMVEEIATTAVHLAAAARDADGKVLRMEVEIEAVVNEVPDFGSDDMDIEDDDDDEGVAMEVVAGSLRKTVVETAEKDCTICLEELAVGGEAARMPCSHVFHEACIVTWLKKKKCCPCCRFDFSNLKSEKLLILLFL >KJB30423 pep chromosome:Graimondii2_0_v6:5:38917237:38920131:-1 gene:B456_005G142700 transcript:KJB30423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSENSIQVRSDKFPSTVIPRTRLQVWFFRVCSSILLWTCLVHIVAVAELWHPRLLTGFTSRISWITRPPLRLQHSLHSPPPLVPSRYYRSNGFLKVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKKSFWADPSDFEDIFDVRHFIDSLRDEVRIVRRLPKRFSSKYGFEAFQMPPVSWSNEKYYLEQILPLFSKHKVVHFNRTDTRLANNGIPLALQKLRCRVNFQGLKFTPQIETLGHKLVRILQEKGPFVALHLRYEMDMLAFSGCTHGCTVEEAEELKRLRYAYPWWREKEIVSEERRQQGLCPLTPEEATLVLQALGFTKETQIYIASGEIYGSERRLAPLRAAFPRIVKKETLLDPAELQQFQNHSSQMAALDFMVTLASNTFIPTYDGNMAKVVEGHRRYIYC >KJB30422 pep chromosome:Graimondii2_0_v6:5:38916582:38920400:-1 gene:B456_005G142700 transcript:KJB30422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVARLLNLTLVVPELDKKSFWADPSDFEDIFDVRHFIDSLRDEVRIVRRLPKRFSSKYGFEAFQMPPVSWSNEKYYLEQILPLFSKHKVVHFNRTDTRLANNGIPLALQKLRCRVNFQGLKFTPQIETLGHKLVRILQEKGPFVALHLRYEMDMLAFSGCTHGCTVEEAEELKRLRYAYPWWREKEIVSEERRQQGLCPLTPEEATLVLQALGFTKETQIYIASGEIYGSERRLAPLRAAFPRIVKKETLLDPAELQQFQNHSSQMAALDFMVTLASNTFIPTYDGNMAKVVEGHRRYLGFKKSILPDRKKLVELLDLHQNGTLPWNDFALAVRQAHEKRMGQPFHRRIIPDKPKEEDYFYANPQECLCEGTGCEDVLDPGKSSKVTMTAGEQL >KJB30421 pep chromosome:Graimondii2_0_v6:5:38916002:38920554:-1 gene:B456_005G142700 transcript:KJB30421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSENSIQVRSDKFPSTVIPRTRLQVWFFRVCSSILLWTCLVHIVAVAELWHPRLLTGFTSRISWITRPPLRLQHSLHSPPPLVPSRYYRSNGFLKVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKKSFWADPSDFEDIFDVRHFIDSLRDEVRIVRRLPKRFSSKYGFEAFQMPPVSWSNEKYYLEQILPLFSKHKVVHFNRTDTRLANNGIPLALQKLRCRVNFQGLKFTPQIETLGHKLVRILQEKGPFVALHLRYEMDMLAFSGCTHGCTVEEAEELKRLRYAYPWWREKEIVSEERRQQGLCPLTPEEATLVLQALGFTKETQIYIASGEIYGSERRLAPLRAAFPRIVKKETLLDPAELQQFQNHSSQMAALDFMVTLASNTFIPTYDGNMAKVVEGHRRYLGFKKSILPDRKKLVELLDLHQNGTLPWNDFALAVRQAHEKRMGQPFHRRIIPDKPKEEDYFYANPQECLCEGTGCEDVLDPGKSSKVTMTAGEQL >KJB30424 pep chromosome:Graimondii2_0_v6:5:38916582:38920400:-1 gene:B456_005G142700 transcript:KJB30424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSENSIQVRSDKFPSTVIPRTRLQVWFFRVCSSILLWTCLVHIVAVAELWHPRLLTGFTSRISWITRPPLRLQHSLHSPPPLVPSRYYRSNGFLKVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKKSFWADPSDFEDIFDVRHFIDSLRDEVRIVRRLPKRFSSKYGFEAFQMPPVSWSNEKYYLEQILPLFSKHKVVHFNRTDTRLANNGIPLALQKLRCRVNFQGLKFTPQIETLGHKLVRILQEKGPFVALHLRYEMDMLAFSGCTHGCTVEEAEELKRLRYAYPWWREKEIVSEERRQQGLCPLTPEEATLVLQALGFTKETQIYIASGEIYGSERRLAPLRAAFPRIVSL >KJB30425 pep chromosome:Graimondii2_0_v6:5:38917757:38920400:-1 gene:B456_005G142700 transcript:KJB30425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSENSIQVRSDKFPSTVIPRTRLQVWFFRVCSSILLWTCLVHIVAVAELWHPRLLTGFTSRISWITRPPLRLQHSLHSPPPLVPSRYYRSNGFLKVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKKSFWADPSDFEDIFDVRHFIDSLRDEVRIVRRLPKRFSSKYGFEAFQMPPVSWSNEKYYLEQILPLFSKHKVVHFNRTDTRLANNGIPLALQKLRCRVNFQGLKFTPQIETLGHKLVRILQEKGPFVALHLRYEMDMLAFSGCTHGCTVEEAEELKRLRYAYPWWREKEIVSEERRQQGLCPLTPEEATLVLQALGFTKETQIYIASGEIYGSERRLAPLRAAFPRIVSL >KJB30986 pep chromosome:Graimondii2_0_v6:5:49902207:49902885:-1 gene:B456_005G1711001 transcript:KJB30986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGVVLHLVINCAAILRNTLSVSLVTGLFILLNNAVPQSQRGAANAISITAMSIFKAFGPAGGGA >KJB27706 pep chromosome:Graimondii2_0_v6:5:367247:368433:1 gene:B456_005G005700 transcript:KJB27706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINNLFLRMDSQIKFAVVVKIMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >KJB27705 pep chromosome:Graimondii2_0_v6:5:367585:368433:1 gene:B456_005G005700 transcript:KJB27705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQIKFAVVVKIMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >KJB27707 pep chromosome:Graimondii2_0_v6:5:366952:368433:1 gene:B456_005G005700 transcript:KJB27707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQIKFAVVVKIMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >KJB28264 pep chromosome:Graimondii2_0_v6:5:3683779:3685218:-1 gene:B456_005G038800 transcript:KJB28264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVKLVGTWVSPYTYRIKWALKLKGIAFDYIEEDLCNKSALLLQYNPIHKKVPVFFHGGKPICESMVIIEYIEEIWPHNPLLPTDPYERAMLRFWIKYAEDKGPSMWMVGEGQHKADQNSLEMLKIIEEKALGDKKFFGGDNISMLDIVLGLGHWLGGEKLLEAHKLHRLHVWLKNFKQVRVIKEDLPDLDAMLAYLRRQREISPATH >KJB29421 pep chromosome:Graimondii2_0_v6:5:15757970:15759635:-1 gene:B456_005G099800 transcript:KJB29421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFDRMTIPIRRVWTGVATRFGVRKSAHMKLRKDISSCEYEDVRVMWEMLSRNEAETIRSPTTSKGRCRKRPLWNCFEWAKRAPFLCRSF >KJB29422 pep chromosome:Graimondii2_0_v6:5:15757991:15759381:-1 gene:B456_005G099800 transcript:KJB29422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVPFSFIYNFLWYFTSLFFWGVAGEFKSNLLFFKISGRNCISLSLSLSSQLDEKEEISVGIKMQVFDRMTIPIRRVWTGVATRFGVRKSAHMKLRKDISSCEYEDVRVMWEMLSRNEAETIRSPTTSKGRCRKRPLWNCFEWAKRAPFLCRSF >KJB31617 pep chromosome:Graimondii2_0_v6:5:57264057:57265742:1 gene:B456_005G198100 transcript:KJB31617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cys2/His2-type zinc finger transcription factor, Heading dat [Source: Projected from Oryza sativa (Os10g0419200)] MSNFVQDFEEDEHHSTVISQSSNESPNPIEHPITTSSKKKRNLPGNPGKHAFFFGKFSDPDAEVVALSPRTLMATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRTNTEVKKRVYVCPEPNCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHAKICGTREYRCDCGTIFSRKDSFVTHRAFCDALTEENYKVNRNHGAIGGSILQKQADETMSISDHTKMNLSIGNESMDNSQRLLSLKSTGIVNISSNLDQIFNPTTSLASTLAIGSAYTSATALLQKAAQMGAKISDNTIAPILLRGFTGYSTSNMNSSGSVQDGSNGAGTNGLYVGEETSHNPLAPTALFDSHFLQAENGKAANLLGQVYMEGGQKLTVDFLGMKPTGHQNVDNERNYDGNIMNLGYSNAQEGLNNLRANW >KJB29853 pep chromosome:Graimondii2_0_v6:5:25686607:25688910:-1 gene:B456_005G121400 transcript:KJB29853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTTTLSNLKRPFCKTCLSIPLRGLNGSLNHLFFNVIAIVWQIIKGRSAGCNMLVVRVIILPPWLHN >KJB28392 pep chromosome:Graimondii2_0_v6:5:4317851:4320597:1 gene:B456_005G045400 transcript:KJB28392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGAADKREEVIQAWYMDDSDEDQRLPHHREPKEYVSLDKLSELGVLSWRLDADNYENDEELKKIREERGYSYMDFCEVCPEKLPNYEEKIKNFFEEHLHTDEEIRYCVAGSGYFDVRDHNDKWIRVWVKKGGMIVLPAGIYHRFTLDTDNYIKAMRLFVGDPIWTPYNRPHDHLPARKEYIENFLQEEGGGQAVDAAA >KJB28393 pep chromosome:Graimondii2_0_v6:5:4318003:4320588:1 gene:B456_005G045400 transcript:KJB28393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGAADKREEVIQAWYMDDSDEDQRLPHHREPKEYVSLDKLSELGVLSWRLDADNYENDEELKKIREERGYSYMVCTFFFLSKRIFTFDCDANKCLRDFCEVCPEKLPNYEEKIKNFFEEHLHTDEEIRYCVAGSGYFDVRDHNDKWIRVWVKKGGMIVLPAGIYHRFTLDTDNYIKAMRLFVGDPIWTPYNRPHDHLPARKEYIENFLQEEGGGQAVDAAA >KJB29381 pep chromosome:Graimondii2_0_v6:5:14698427:14701385:-1 gene:B456_005G097300 transcript:KJB29381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPAYHDRSYQNGAGFSSPSNKFLPNGSSKHFSSSHLPFLFNAVNIVYRKGWRRSFCRCLFFFVIGFVFGIAPFGYSDTDVRAKDFTFPELKPPHANLRFDDQIVTSVSLSVNTKLLEPKESTDIIEPLKQLIVVTPTYNRGFQAYFLNRLGQVLRLVKPPLVWIVVEEKAASHETAEILRKTGVMYRHVLCAFNSSSVKDPRVHQRNAALEHIERHKLDGIVFFADDDNVYTLELFESLRTISRFGTWPVAMLAQSKNKAILEGPVCNASQVIGWHTNDKSKRLRRFYADMSGFAFNSSILWDSKRWARPFSNPIRQLDTVKEGFQESTFIEQVVEDESQMEGIPHGCSRIMNWHLHLDTDNLFYPKGWLLEKNLEVILPIK >KJB29380 pep chromosome:Graimondii2_0_v6:5:14698450:14701283:-1 gene:B456_005G097300 transcript:KJB29380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPAYHDRSYQNGAGFSSPSNKFLPNGSSKHFSSSHLPFLFNAVNIVYRKGWRRSFCRCLFFFVIGFVFGIAPFGYSDTDVRAKDFTFPELKPPHANLRFDDQIVTSVSLSVNTKLLEPKESTDIIEPLKQLIVVTPTYNRGFQAYFLNRLGQVLRLVKPPLVWIVVEEKAASHETAEILRKTGVMYRHVLCAFNSSSVKDPRVHQRNAALEHIERHKLDGIVFFADDDNVYTLELFESLRTISRFGTWPVAMLAQSKNKAILEGPVCNASQVIGWHTNDKSKRLRRFYADMSGFAFNSSILWDSKRWARPFSNPIRQLDTVKEGFQESTFIEQVVEDESQMEGIPHGCSRIMNWHLHLDTDNLFYPKGWLLEKNLEVILPIK >KJB29382 pep chromosome:Graimondii2_0_v6:5:14699513:14701171:-1 gene:B456_005G097300 transcript:KJB29382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPAYHDRSYQNGAGFSSPSNKFLPNGSSKHFSSSHLPFLFNAVNIVYRKGWRRSFCRCLFFFVIGFVFGIAPFGYSDTDVRAKDFTFPELKPPHANLRFDDQIVTSVSLSVNTKLLEPKESTDIIEPLKQLIVVTPTYNRGFQAYFLNRLGQVLRLVKPPLVWIVVEEKAASHETAEILRKTGVMYRHVLCAFNSSSVKDPRVHQRNAALEHIERHKLDGIVFFADDDNVYTLELFESLRTISRFGTWPVAMLAQSKNKAILEGPVCNASQVIGWHTNDKSKRLRRFYADMSGFAFNSSILWDSKRWARPFSNPIRQLDTVKEGFQV >KJB30917 pep chromosome:Graimondii2_0_v6:5:49288307:49289472:1 gene:B456_005G1677001 transcript:KJB30917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NMPYLQDGRPVDMVFNPLGVPSRMNVGQLFECSLGLAGSLLDRHYRIAPFDERYEQEASRKLVFSELYQASKQTANPWVFEPEYPGKSRIFDGRTGGPFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLRGRSKQGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIRARQEVLGTTIIGGTIPKPEDAPESFRLLVRELRSLEGSLIGMNQNFSSMIDRYKHQQLRIGSVSPQQISAWAKKILPNGETVGEVTKPYTFHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIGNQKEGPKFCEQCGVEFVDSRIRRYQMGYIRLACPVTHVWYLKRLPSYIANLLDKPLKELEGLVYCDV >KJB31084 pep chromosome:Graimondii2_0_v6:5:51035107:51038042:1 gene:B456_005G175000 transcript:KJB31084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETGTVRFPGNLDPAAQEFWPAQNVVCQPQFPLYRPPQLYYPYAASPTVPFCSGGVPQFHAALPLHAPVAVPAPTPFVTTASMVVAPQLPLPPPTAAAASRALVLTLVPCDVSESKVRKELEVFGEVRGVQMERVREGIVTVHFYDLRYAEKALKEIREQHMQEQARVRDQYTAAATGCEPGVSNACVPLPSARGLIAGRPVWAHFTIPASNAVPEGNNQGTVVVFNLDTAVSISQLQEIFQAYGPVKELRETPLKKHQKFVEFYDVRDAAKALREMNGKEINGKQVVIEFSRPGGYSRKFFNSDNNVNKINAFTAFTDKYNPHTRNPKYSSSPPPPPPLPRKFSARFSSNDIPRSFLPRNQSPTVKPLNSSKGNPNMNNDSKCSVVETAVVKDKVGSGGGPKKTVKKNQSNSSTVAKHNQQLCRGRPWKGRQSKKFDPRFLISEDAMVGSDSKDSRTTVMIKNIPNKYSKKLLLNMLDNHCIHCNEQIAEDDDQPLSSYDFVYLPIDFNNKCNVGYGFVNMTSPQATWRLYKAFHHQHWEVFNSRKICEVTYARVQGLEALKEHFRNSKFPCEMEHYLPVVFSPPRDGKQLTEPLPMVGQKQQSPNSGPSAKDNEEDEDDYDHYDHSGDESCNENPLADDDNTANSAQEENNVNSINHLKYWDSDDTVDQHEEFPQQSL >KJB30086 pep chromosome:Graimondii2_0_v6:5:31413828:31417159:-1 gene:B456_005G129300 transcript:KJB30086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSELQDPGKELRQSETEPQQSEQVCNFFRKPTKGKNIRKRTINEDEDEDSRNGTSLLHNQKKASKPDNKLYFSTGSSKSATATESSTESHKLVLQFESSKEIQVQNDSGATAILETETEFTKDARAIREKVLKRAEEALKGKSTSSGGEKLYTGMHGYVDHKAGFRREQTVASEKAGGSHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWEEAEKARKRNLALGEGDDDDEAGVVQSDDDDDDTLPFACFICRQPFVDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNAAHEIRRKMAEEGKLKGH >KJB30087 pep chromosome:Graimondii2_0_v6:5:31414546:31417142:-1 gene:B456_005G129300 transcript:KJB30087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSELQDPGKELRQSETEPQQSEQVCNFFRKPTKGKNIRKRTINEDEDEDSRNGTSLLHNQKKASKPDNKLYFSTGSSKSATATESSTESHKLVLQFESSKEIQVQNDSGATAILETETEFTKDARAIREKVLKRAEEALKGKSTSSGGEKLYTGMHGYVDHKAGFRREQTVASEKAGGSHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWEEAEKARKRNLALGEGDDDDEAGVVQSDDDDDDTLPFACFICRQPFVDPVVTKCKHYFCEHCALK >KJB30085 pep chromosome:Graimondii2_0_v6:5:31414117:31416023:-1 gene:B456_005G129300 transcript:KJB30085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSELQDPGKELRQSETEPQQSEQVCNFFRKPTKGKNIRKRTINEDEDEDSRNGTSLLHNQKKASKPDNKLYFSTGSSKSATATESSTESHKLVLQFESSKEIQVQNDSGATAILETETEFTKDARAIREKVLKRAEEALKGKSTSSGGEKLYTGMHGYVDHKAGFRREQTVASEKAGGSHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWEEAEKARKRNLALGEGDDDDEAGVVQSDDDDDDTLPFACFICRQPFVDPVVTKCKHYFCEHCALKQRKQLHFGCLFQHHAKNKKCFVCNQPTLGIFNAAHEIRRKMAEEGKLKGH >KJB30088 pep chromosome:Graimondii2_0_v6:5:31414441:31416090:-1 gene:B456_005G129300 transcript:KJB30088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSELQDPGKELRQSETEPQQSEQVCNFFRKPTKGKNIRKRTINEDEDEDSRNGTSLLHNQKKASKPDNKLYFSTGSSKSATATESSTESHKLVLQFESSKEIQVQNDSGATAILETETEFTKDARAIREKVLKRAEEALKGKSTSSGGEKLYTGMHGYVDHKAGFRREQTVASEKAGGSHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWEEAEKARKRNLALGEGDDDDEAGVVQSDDDDDDTLPFACFICRQPFVDPVVTKCKHYFCEHCALK >KJB29280 pep chromosome:Graimondii2_0_v6:5:12508032:12509993:-1 gene:B456_005G092000 transcript:KJB29280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRILAVQFSFFLFCSLSIISQLIRSENNQSNGVRGTVGDLRSRRRWRSVRCRLVVLGRRRHMQLRQCLFRPLFTWYIVFHLFSSINLSASFLISYYQCLYGSSLLLFIYLGIFASIAALMFNCVRKEDIDYSPYDEGEWRLKLWLFFAYVVSFVSLAASVGLLIQDSLVKSGPSMWTGTAGILQCVFVLISGLIYWTCHSE >KJB28794 pep chromosome:Graimondii2_0_v6:5:7786926:7791587:1 gene:B456_005G071500 transcript:KJB28794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHKQKRVEGKKLMKEGKTKFYRTPLCTIATLFSPYVSCPCHIIIIIINGCSKCKWYMSALPGKRIQSILNYVQVANSDQTPQTHSLYVFADFQGKRNMSCFSCCEEDDIHKASGNGSFMANTPASNSGGHGYQAREAVTRDPRPVTIQPIAIPAITVDELKEMTDNFGMKSLIGEGSYGRVYYGILKSGNAAAIKKLDSSKQPEQEFLAQVSMVSRLKDENVVQLLGYCVDGSLRFLAYEYASNGSLHDRLHGKKGVKGAQPGPVLSWTQRVKIAYGAAKGLEYLHEKAHPHIIHRDIKSSNLLLFDDDVAKIADFDLSNQAPDATARLHSTRVLGTFGYHAPEYAMTGTLSSKSDVYSFGVILLELLTGRKPVEIRCHVDSRV >KJB28792 pep chromosome:Graimondii2_0_v6:5:7786926:7789815:1 gene:B456_005G071500 transcript:KJB28792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHKQKRVEGKKLMKEGKTKFYRTPLCTIATLFSPYVSCPCHIIIIIINGCSKCKWYMSALPGKRIQSILNYVQVANSDQTPQTHSLYVFADFQGKRNMSCFSCCEEDDIHKASGNGSFMANTPASNSGGHGYQAREAVTRDPRPVTIQPIAIPAITVDELKEMTDNFGMKSLIGEGSYGRVYYGILKSGNAAAIKKLDSSKQPEQEFLAQVSMVSRLKDENVVQLLGYCVDGSLRFLAYEYASNGSLHDRLHARRDC >KJB28795 pep chromosome:Graimondii2_0_v6:5:7786926:7791587:1 gene:B456_005G071500 transcript:KJB28795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHKQKRVEGKKLMKEGKTKFYRTPLCTIATLFSPYVSCPCHIIIIIINGCSKCKWYMSALPGKRIQSILNYVQVANSDQTPQTHSLYVFADFQGKRNMSCFSCCEEDDIHKASGNGSFMANTPASNSGGHGYQAREAVTRDPRPVTIQPIAIPAITVDELKEMTDNFGMKSLIGEGSYGRVYYGILKSGNAAAIKKLDSSKQPEQEFLAQVSMVSRLKDENVVQLLGYCVDGSLRFLAYEYASNGSLHDRLHGKKGVKGAQPGPVLSWTQRVKIAYGAAKGLEYLHEKAHPHIIHRDIKSSNLLLFDDDVAKIADFDLSNQAPDATARLHSTRVLGTFGYHAPEYAMTGTLSSKSDVYSFGVILLELLTGRKPVEIRCHVDSRV >KJB28793 pep chromosome:Graimondii2_0_v6:5:7786926:7791587:1 gene:B456_005G071500 transcript:KJB28793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHKQKRVEGKKLMKEGKTKFYRTPLCTIATLFSPYVSCPCHIIIIIINGCSKCKWYMSALPGKRIQSILNYVQVANSDQTPQTHSLYVFADFQGKRNMSCFSCCEEDDIHKASGNGSFMANTPASNSGGHGYQAREAVTRDPRPVTIQPIAIPAITVDELKEMTDNFGMKSLIGEGSYGRVYYGILKSGNAAAIKKLDSSKQPEQEFLAQVSMVSRLKDENVVQLLGYCVDGSLRFLAYEYASNGSLHDRLHGKKGVKGAQPGPVLSWTQRVKIAYGAAKGLEYLHEKAHPHIIHRDIKSSNLLLFDDDVAKIADFDLSNQAPDATARLHSTRVLGTFGYHAPEQPQN >KJB27436 pep chromosome:Graimondii2_0_v6:5:23382820:23384582:1 gene:B456_005G117100 transcript:KJB27436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFHLILFHFSKEPDASHLVNGSWVLIAGVSQARLFTLSLLDLLLGSKPQCMDSVKTALFKRHSNYNISVDEIGMKLDFVWALYVLNLTHLLTDFKTKKKYLNVMVMGVGLWHMLHVSNLSDCKFVLQTLKSSLVSLFPFSTDIANAYGIAEVVVMLVTTALVTLVMLLIWQTNLLMALCFPLLFESIELIYFSTVLSKVMEGGWLPLVFATFFLTVMYIWHYGSVLKYQSEVREKISMDFMIKLGSKLGTVRVPGTFQKISMDFMIELSSKLGTVVKVGLDMVDGEKKAALEAKWMKLQVAQLEAYARRTEFVAEQAKLLLKYYKSEDK >KJB27435 pep chromosome:Graimondii2_0_v6:5:23382739:23384489:1 gene:B456_005G117100 transcript:KJB27435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKTALFKRHSNYNISVDEIGMKLDFVWALYVLNLTHLLTDFKTKKKYLNVMVMGVGLWHMLHVSNLSDCKFVLQTLKSSLVSLFPFSTDIANAYGIAEVVVMLVTTALVTLVMLLIWQTNLLMALCFPLLFESIELIYFSTVLSKVMEGGWLPLVFATFFLTVMYIWHYGSVLKYQSEVREKISMDFMIKLGSKLGTVRVPGTFQKISMDFMIELSSKLGTVVKVGLDMVDGEKKAALEAKWMKLQVAQLEAYARRTEFVAEQAKLLLKYYKSEDK >KJB31681 pep chromosome:Graimondii2_0_v6:5:57947989:57949540:-1 gene:B456_005G202900 transcript:KJB31681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEANNRGKSQKGVVDETEKMTTFQDGETEKGKHLDSGSERRQWKPVFDEASISQRPLKKICSPERHHPLPSSASLAHHSSPSSSSSSSSSSSSSSSSSSLPSSRLVFPFAFDASQPPIFFPRQYGNTQQQQQQHGLIYRPLYAAETSLSPQQQQQQQQLLQHWSDALNLSPRGRMMTTTTRLGQDGGQMFRPPLQPVHTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAALAYDREAFKLRGENARLNFPELFLNKDKDTSSPTPTSTVSSPQTPHESSKQEQGPKLEINPPPPAQPQGDNPDSDEMHITAAEGSSGAGEGVSGSQELVWGDMAEAWFNTIPAGWGPASPVWDDLDATNNLLFPSNLPFTNQNQQELNDSDHQKQHVSASASSPMKPFFWKDQ >KJB32418 pep chromosome:Graimondii2_0_v6:5:62178179:62180303:1 gene:B456_005G240600 transcript:KJB32418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein ZOP1 [Source:Projected from Arabidopsis thaliana (AT1G49590) UniProtKB/Swiss-Prot;Acc:Q7XA66] MTEYWVSQGNKWCDFCKIFISNNPSSIRNHELGQRHKENVAKRLTTMRKESAAKEKEQKDAARALEQIEAKAKRSYQKDVASFEARDSSDQALDGQEDWDYDGSSGYYYNQNNGLYYDPKSGFYYSDAIGRWVTQEEAYSKVQASSNTKSRDPILKKPFPTSGTGPVADNKSVAKSQNKTAPGPVISATLNPMRSVKGASSSLAVKRKRQDEKPKAVSKEEAAALKAREAAKKRVEEREKPLLGLYKGSH >KJB32417 pep chromosome:Graimondii2_0_v6:5:62178149:62181631:1 gene:B456_005G240600 transcript:KJB32417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein ZOP1 [Source:Projected from Arabidopsis thaliana (AT1G49590) UniProtKB/Swiss-Prot;Acc:Q7XA66] MTEYWVSQGNKWCDFCKIFISNNPSSIRNHELGQRHKENVAKRLTTMRKESAAKEKEQKDAARALEQIEAKAKRSYQKDVASFEARDSSDQALDGQEDWDYDGSSGYYYNQNNGLYYDPKSGFYYSDAIGRWVTQEEAYSKVQASSNTKSRDPILKKPFPTSGTGPVADNKSVAKSQNKTAPGPVISATLNPMRSVKGASSSLAVKRKRQDEKPKAVSKEEAAALKAREAAKKRVEEREKPLLGLYKGSH >KJB32420 pep chromosome:Graimondii2_0_v6:5:62178149:62181631:1 gene:B456_005G240600 transcript:KJB32420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein ZOP1 [Source:Projected from Arabidopsis thaliana (AT1G49590) UniProtKB/Swiss-Prot;Acc:Q7XA66] MTEYWVSQGNKWCDFCKIFISNNPSSIRNHELGQRHKENVAKRLTTMRKESAAKEKEQKDAARALEQIEAKAKRSYQKDVASFEARDSSDQALDGQEDWDYDGSSGYYYNQNNGLYYDPKSGFYYSDAIGRWVTQEEAYSKVQASSNTKSRDPILKKPFPTSGTGPVADNKSVAKSQNKTAPGPVISATLNPMRSVKGASSSLAVKRKRQDEKPKAVSKEEAAALKAREAAKKRVEEREKPLLGLYKGSH >KJB32419 pep chromosome:Graimondii2_0_v6:5:62178149:62181631:1 gene:B456_005G240600 transcript:KJB32419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein ZOP1 [Source:Projected from Arabidopsis thaliana (AT1G49590) UniProtKB/Swiss-Prot;Acc:Q7XA66] MTEYWVSQGNKWCDFCKIFISNNPSSIRNHELGQRHKENVAKRLTTMRKESAAKEKEQKDAARALEQIEAKAKRSYQKDVASFEARDSSDQALDGQEDWDYDGSSGYYYNQNNGLYYDPKSGFYYSDAIGRWVTQEEAYSKVQASSNTKSRDPILKKPFPTSGTGPVADNKSVAKSQNKTAPGPVISATLNPMRSVKGASSSLAVKRKRQDEKPKAVSKEEAAALKAREAAKKRVEEREKPLLGLYKGSH >KJB28000 pep chromosome:Graimondii2_0_v6:5:3609845:3610416:-1 gene:B456_005G038400 transcript:KJB28000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEYIEQDLFNKSPLLLQYNPIHKKIPVLLHDDKPICESTVILRYIDEIWPQNPLLPGDPHERAVALFCIKFADDKETYRHLPQVAVTSTSLLLAFTTLTVSHTMEQLFNMQVSKVYKKILKVSKLLGVI >KJB32846 pep chromosome:Graimondii2_0_v6:5:63851409:63854075:1 gene:B456_005G265100 transcript:KJB32846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKVDHACLYNHSPKKFQQLHLVGFESIPMIYGLFNYGIGIHLLESESVPTKKEKINPKDNHISFQCSDMKQVIRKLEAMKIEYVTAVVEEGGIQVDQLFFHDPDGYMIEICNCQNLPVLPLTSCPLKLPSSSSSNTAVPSLYGKRSRETPCSAVAAVMMENLLLDLLDISF >KJB32849 pep chromosome:Graimondii2_0_v6:5:63852420:63854075:1 gene:B456_005G265100 transcript:KJB32849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVIRKLEAMKIEYVTAVVEEGGIQVDQLFFHDPDGYMIEICNCQNLPVLPLTSCPLKLPSSSSSNTAVPSLYGKRSRETPCSAVAAVMMENLLLDLLDISF >KJB32848 pep chromosome:Graimondii2_0_v6:5:63851377:63854252:1 gene:B456_005G265100 transcript:KJB32848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVGEIEEMQWLPSPDSTTATLPLLSLNHVSFVSKSVSKSVRFYEQVLGFVLIKRPSSFNFEGAWFNRMGTSCRMLEKVDHACLYNHSPKKFQQLHLVGFESIPMIYGLFNYGIGIHLLESESVPTKKEKINPKDNHISFQCSDMKQVIRKLEAMKIEYVTAVVEEGGIQVDQLFFHDPDGYMIEICNCQNLPVLPLTSCPLKLPSSSSSNTAVPSLYGKRSRETPCSAVAAVMMENLLLDLLDISF >KJB32845 pep chromosome:Graimondii2_0_v6:5:63851353:63854075:1 gene:B456_005G265100 transcript:KJB32845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVGEIEEMQWLPSPDSTTATLPLLSLNHVSFVSKSVSKSVRFYEQVLGFVLIKRPSSFNFEGAWLFNYGIGIHLLESESVPTKKEKINPKDNHISFQCSDMKQVIRKLEAMKIEYVTAVVEEGGIQVDQLFFHDPDGYMIEICNCQNLPVLPLTSCPLKLPSSSSSNTAVPSLYGKRSRETPCSAVAAVMMENLLLDLLDISF >KJB32847 pep chromosome:Graimondii2_0_v6:5:63851425:63854075:1 gene:B456_005G265100 transcript:KJB32847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKVDHACLYNHSPKKFQQLHLVGFESIPMIYGLFNYGIGIHLLESESVPTKKEKINPKDNHISFQCSDMKQVIRKLEAMKIEYVTAVVEEGGIQVDQLFFHDPDGYMIEICNCQNLPVLPLTSCPLKLPSSSSSNTAVPSLYGKRSRETPCSAVAAVMMENLLLDLLDISF >KJB28110 pep chromosome:Graimondii2_0_v6:5:2485802:2490922:-1 gene:B456_005G028400 transcript:KJB28110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRTSAAPKPIWMKQAEEAKLKSEAEKAAAAKAAFEATFKDVDKGRNKEVVAASSDSESEDTNDLVDKPVGPVDPAKCMAAGPGIAGGTACAASTFMVVTKDADGRKVQIGGAQIKVKVSPGVGVGGAEQEGIVKDMGDGTYTVTYVVPKRGNYMVNIECNGKPIMGSPFPVFFSAGTSTGAVLGVAPASTYPNLVNQTMPNMPNYTGSVSGAFPGLLGMIPGIVSGASGGAILPGMGASLGEVCREYLNGRCAKTDCKLNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQVQSQTQSTKDSSDSPDKAAKADALKKTLQVSNLNPLLTVEQLKQLFSFCGTVVECTITDSKHFAYIEYSKPEEATAALALNNMDIGGRPLNVEMAKTLPPKPAISSLTSSSLPLMMQQAVAMQQMQFQQALLMQQTLTAQQAANRAASMKSATELAAARAAEISRKLKADGLVTEEKETKSKSRSPSPSRARSRSKSKSPLSYRRRSRSKTRSPISYRRRSRSKSRSPIIYRRKSRSKSRSPISYRRRRRSRSYSPLPRFQRDRRSRSPVRSHHRSRYESERRSYRDRDDNDRSGRRDLERSRDRHSSISRRNRSISPRTRKLTPVDSDSPKHSRESSPKLRKSSHPGSTSPRHHRRNRSSPKNDDERKLKYRKRSRSKSVDSDNKKNETPDEKTKHRSRRRSRSLSSEGRPQRRSRSSSASSDENNSKHRRQSRSISVEGKVRSGSKIDEVRNDELRHGDRRRSRSGSAEGRHYTKERSERIRDKKSKHRDRRRSRSRSADGKHRDRKRSRSRSFDGKHHRGSRRSPRNSDENRLKHRRRSRSKSTEGKHRSIDKVDERSKRHDKKHLASAEAQPPRGSRSSPRSSEDNDSRHRRHSRPKSAEGDDGMLVSKEEAYDTKVSVNDDQELRSKYLPIFDSDEGYSPNSKLNADEPDRLERSSSKQWLGSNGMWNRLALKSLSSHLQWIKYFKQCSAFFCNQPCNVCSRALLLLDVCWTIISTYEL >KJB28109 pep chromosome:Graimondii2_0_v6:5:2486371:2490487:-1 gene:B456_005G028400 transcript:KJB28109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRTSAAPKPIWMKQAEEAKLKSEAEKAAAAKAAFEATFKDVDKGRNKEVVAASSDSESEDTNDLVDKPVGPVDPAKCMAAGPGIAGGTACAASTFMVVTKDADGRKVQIGGAQIKVKVSPGVGVGGAEQEGIVKDMGDGTYTVTYVVPKRGNYMVNIECNGKPIMGSPFPVFFSAGTSTGAVLGVAPASTYPNLVNQTMPNMPNYTGSVSGAFPGLLGMIPGIVSGASGGAILPGMGASLGEVCREYLNGRCAKTDCKLNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQVQSQTQSTKDSSDSPDKAAKADALKKTLQVSNLNPLLTVEQLKQLFSFCGTVVECTITDSKHFAYIEYSKPEEATAALALNNMDIGGRPLNVEMAKTLPPKPAISSLTSSSLPLMMQQAVAMQQMQFQQALLMQQTLTAQQAANRAASMKSATELAAARAAEISRKLKADGLVTEEKETKSKSRSPSPSRARSRSKSKSPLSYRRRSRSKTRSPISYRRRSRSKSRSPIIYRRKSRSKSRSPISYRRRRRSRSYSPLPRFQRDRRSRSPVRSHHRSRYESERRSYRDRDDNDRSGRRDLERSRDRHSSISRRNRSISPRTRKLTPVDSDSPKHSRESSPKLRKSSHPGSTSPRHHRRNRSSPKNDDERKLKYRKRSRSKSVDSDNKKNETPDEKTKHRSRRRSRSLSSEGRPQRRSRSSSASSDENNSKHRRQSRSISVEGKVRSGSKIDEVRNDELRHGDRRRSRSGSAEGRHYTKERSERIRDKKSKHRDRRRSRSRSADGKHRDRKRSRSRSFDGKHHRGSRRSPRNSDENRLKHRRRSRSKSTEGKHRSIDKVDERSKRHDKKHLASAEAQPPRGSRSSPRSSEDNDSRHRRHSRPKSAEGDDGMLVSKEEAYDTKVSVNDDQELRSKYLPIFDSDEGYSPNSKLNADEPDRLERSSSKQWLGSNGSGDQSISPRQK >KJB28108 pep chromosome:Graimondii2_0_v6:5:2484074:2490922:-1 gene:B456_005G028400 transcript:KJB28108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRTSAAPKPIWMKQAEEAKLKSEAEKAAAAKAAFEATFKDVDKGRNKEVVAASSDSESEDTNDLVDKPVGPVDPAKCMAAGPGIAGGTACAASTFMVVTKDADGRKVQIGGAQIKVKVSPGVGVGGAEQEGIVKDMGDGTYTVTYVVPKRGNYMVNIECNGKPIMGSPFPVFFSAGTSTGAVLGVAPASTYPNLVNQTMPNMPNYTGSVSGAFPGLLGMIPGIVSGASGGAILPGMGASLGEVCREYLNGRCAKTDCKLNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQVQSQTQSTKDSSDSPDKAAKADALKKTLQVSNLNPLLTVEQLKQLFSFCGTVVECTITDSKHFAYIEYSKPEEATAALALNNMDIGGRPLNVEMAKTLPPKPAISSLTSSSLPLMMQQAVAMQQMQFQQALLMQQTLTAQQAANRAASMKSATELAAARAAEISRKLKADGLVTEEKETKSKSRSPSPSRARSRSKSKSPLSYRRRSRSKTRSPISYRRRSRSKSRSPIIYRRKSRSKSRSPISYRRRRRSRSYSPLPRFQRDRRSRSPVRSHHRSRYESERRSYRDRDDNDRSGRRDLERSRDRHSSISRRNRSISPRTRKLTPVDSDSPKHSRESSPKLRKSSHPGSTSPRHHRRNRSSPKNDDERKLKYRKRSRSKSVDSDNKKNETPDEKTKHRSRRRSRSLSSEGRPQRRSRSSSASSDENNSKHRRQSRSISVEGKVRSGSKIDEVRNDELRHGDRRRSRSGSAEGRHYTKERSERIRDKKSKHRDRRRSRSRSADGKHRDRKRSRSRSFDGKHHRGSRRSPRNSDENRLKHRRRSRSKSTEGKHRSIDKVDERSKRHDKKHLASAEAQPPRGSRSSPRSSEDNDSRHRRHSRPKSAEGDDGMLVSKEEAYDTKVSVNDDQELRSKYLPIFDSDEGYSPNSKLNADEPDRLERSSSKQWLGSNGNEINPFLLVRNEPWA >KJB31312 pep chromosome:Graimondii2_0_v6:5:54463469:54465188:1 gene:B456_005G185800 transcript:KJB31312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAQLKYEPFSITEIMAMLMKRFANLTKTTAKPSPPFSLKHLLLFSLPSLSSASEKILAGTATDVADISGESDLVYSDPPVSATIKPLLPDLLQPRVVIYDGVCHLCHRGVKSVIKADKHRKIKFCCVQSKAAEPYLRVCGVDREDVLRRFVFIEGLGLYHQGSTAALRVLSYLPLPYSALSAFLIIPTPLRDAVYDFIAKRRYDWFGKSEDCLVLQESELLERFIDREEMMDRNRSNL >KJB31314 pep chromosome:Graimondii2_0_v6:5:54463564:54464588:1 gene:B456_005G185800 transcript:KJB31314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAQLKYEPFSITEIMAMLMKRFANLTKTTAKPSPPFSLKHLLLFSLPSLSSASEKILAGTATDVADISGESDLVYSDPPVSATIKPLLPDLLQPRVVIYDGVCHLCHRGVKSVIKADKHRKIKFCCVQSKAAEPYLRVCGVDREDVLRRFVFIEGLGLYHQGSTGY >KJB31313 pep chromosome:Graimondii2_0_v6:5:54463564:54464413:1 gene:B456_005G185800 transcript:KJB31313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAQLKYEPFSITEIMAMLMKRFANLTKTTAKPSPPFSLKHLLLFSLPSLSSASEKILAGTATDVADISGESDLVYSDPPVSATIKPLLPDLLQPRVVIYDGVCHLCHRGVKSVIKADKHRKIKFCCVQSKAAEPYLRVCGVDREDVLRRFVFIEGLGLYHQGSTG >KJB30045 pep chromosome:Graimondii2_0_v6:5:30448359:30452932:-1 gene:B456_005G128700 transcript:KJB30045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDEGAEAIESFTGGEILAFPCEADSIVEPHEGMEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGYCVSIRGKFGPVRKPRPSTREGCKAMIHIKFNKSGKWVITRFVKEHNHPLVVAPREARQTMDEKDKKIQELTVELRNKKRLCAAYQEQLTAFMKIVEEHSNQLSKKVQNVVNNLKEYESIEPQLLQQR >KJB30046 pep chromosome:Graimondii2_0_v6:5:30448301:30453054:-1 gene:B456_005G128700 transcript:KJB30046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDEGAEAIESFTGGEILAFPCEADSIVEPHEGMEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGYCVSIRGKFGPVRKPRPSTREGCKAMIHIKFNKSGKWVITRFVKEHNHPLVVAPREARQTMDEKDKKIQELTVELRNKKRLCAAYQEQLTAFMKIVEEHSNQLSKKVQNVVNNLKEYESIEPQLLQQR >KJB32375 pep chromosome:Graimondii2_0_v6:5:61952174:61955434:1 gene:B456_005G237800 transcript:KJB32375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVSILLYIILFLSTLLSLLKKQKNGIHFKKFKLPPGSMGWPYVGETLQLYSQDPNIFFLTKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTHAHLFKPTYPKSKERLIGPHALFFHRGGYHARLRRLVQNSLAPQTIKKLIPDIQGIAVSTLESWAASGQGVNTFYEMKKLSFDVGILSIFGHMERGFREMLEENYRKVDKGYNSFPTNIPGTAYQQAILARKRLNRILGEIISSRKEKRLLEKDMLGHFLSFKDENDEKLSDEQIADNIIGVLFAAQDTTASVITWVLKFLHDDPQLLEAVKAEQMAIYDTNNGGKMPLTWEQTRSMPLTHRVVMESLRMASIISFTFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNPEFFPNPQEFDPSRFEVAPRANTFMPFGNGVHACPGNELAKLEALILIHHLVTNFRYLFIYMILLDVLKLCLRF >KJB32373 pep chromosome:Graimondii2_0_v6:5:61952148:61955415:1 gene:B456_005G237800 transcript:KJB32373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVSILLYIILFLSTLLSLLKKQKNGIHFKKFKLPPGSMGWPYVGETLQLYSQDPNIFFLTKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTHAHLFKPTYPKSKERLIGPHALFFHRGGYHARLRRLVQNSLAPQTIKKLIPDIQGIAVSTLESWAASGQGVNTFYEMKKLSFDVGILSIFGHMERGFREMLEENYRKVDKGYNSFPTNIPGTAYQQAILARKRLNRILGEIISSRKEKRLLEKDMLGHFLSFKDENDEKLSDEQIADNIIGVLFAAQDTTASVITWVLKFLHDDPQLLEAVKAEQMAIYDTNNGGKMPLTWEQTRSMPLTHRVVMESLRMASIISFTFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNPEFFPNPQEFDPSRFEQVAPRANTFMPFGNGVHACPGNELAKLEALILIHHLVTNFRYLFIYMILLDVLKLCLRF >KJB32374 pep chromosome:Graimondii2_0_v6:5:61952148:61956240:1 gene:B456_005G237800 transcript:KJB32374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVSILLYIILFLSTLLSLLKKQKNGIHFKKFKLPPGSMGWPYVGETLQLYSQDPNIFFLTKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTHAHLFKPTYPKSKERLIGPHALFFHRGGYHARLRRLVQNSLAPQTIKKLIPDIQGIAVSTLESWAASGQGVNTFYEMKKLSFDVGILSIFGHMERGFREMLEENYRKVDKGYNSFPTNIPGTAYQQAILARKRLNRILGEIISSRKEKRLLEKDMLGHFLSFKDENDEKLSDEQIADNIIGVLFAAQDTTASVITWVLKFLHDDPQLLEAVKAEQMAIYDTNNGGKMPLTWEQTRSMPLTHRVVMESLRMASIISFTFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNPEFFPNPQEFDPSRFEVAPRANTFMPFGNGVHACPGNELAKLEALILIHHLVTNFRWEVVSSESGIQYGPFPVPQQGLPARFWKEPKQSRQ >KJB28481 pep chromosome:Graimondii2_0_v6:5:5033771:5037947:1 gene:B456_005G050900 transcript:KJB28481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFQGYAMQGMSYYPHYPGSSPFFQQPYPSMEDLSHNVGQRIQRRHSMDSQNGSEAWKLERAKPQDDVESENETSVSPKSRKKRSSSGKRQSGMVVIRNINYIASKKQNLSDSDSHSGPEMDEEDGNSVQKNSLRSSKGKRSQAKPVDTEETVSGKEIDGGHWQAFQNYLLKGAEEEERRANQRIFSAEKEVQEKRILNRVSEDPVVLGGQEMSQYEERNMTDMHRTSASSGRMLKASSNDQSLTSIKGGHSADDRNSVDGSHYTEIEGRRVYRSSTNDEFIVNRQQNTNSPSGRLAVNWFERSSNNINDDSYIVPFRSTSVPRVGTDDRNAIGMDSEFSLSLQKSGTASNRVGSQINYKTDDLTLIPERGMEIGSIGYDPALDYKIQVDAEYATSLDKKKKEGMKGSKTSEIWKSKLVADPSDKKKTVGPIRKGKPSKMSPLDEAKARAERLRTYKADLQKMKKEKEEAEIKRLEALKMERQKRIAARNSSNAAQPSTAMQNKKQLLLKISPSSHKGLKFTDAEPGLSSPLQRSIRITPVVSPASLKTPKPSKLNNGTNSGGNRLSKSVPSLPVQKKDIGSVTPDAKVSMARIRRLSEPKVSSSARVSSVKSRNSEPSPRRKAFRGPESRKISAIVNHDKSKIVSLPELKIKTTKAPNITHSNSGGKGMTQKVNRSVSSTTDVTELCKNLDKVSFNIDGDDSMIIEKTIVMLEQEKPSVPPVNSSEGTAAIQKGNDVGRKTKEVSDYPSTRAPVSSLNAGSLDHEHLTQQRSQAYEVQKVNVSNTEKEPSKFTSSSVTKKPYQAPLARVSSSEDPCSEVSDHAIAPPTRLQAASKESESVRARVADSKNLKLEKIPEVPDKHQVKESPKGIRRLLKFGRKNHSSATSEHRIESDSVGVNDSPADEFVTTAHLLVKFIR >KJB28480 pep chromosome:Graimondii2_0_v6:5:5030735:5038202:1 gene:B456_005G050900 transcript:KJB28480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSTQLDSAVFQLTPTRTRFELVISANGKSEKMASGLLNPFLAHLKIAQDQISKGGYSIILQPEPGIDATWFTKGTMERFVRFVSTPEILERVYTVELEILQIEEAIAIQSNNNIGLSAAAEEHHLKSSESIEGKRATLDSNEEKAIILYAPGARPPLANGSAVQEENPKVQLLKVLETRKTALQKEQGMAFARAAAAGFSIDDMAPLMSFSERFGASRLRDACVEFTELWKRKHETGQWLEIEAMSSRADFSAMKELKQAWPVISENNGKSGLQSSTDEKPPIDQQTPGRQEYSQAQFPCPLFPPWPIHSPPGGMPTFQGYAMQGMSYYPHYPGSSPFFQQPYPSMEDLSHNVGQRIQRRHSMDSQNGSEAWKLERAKPQDDVESENETSVSPKSRKKRSSSGKRQSGMVVIRNINYIASKKQNLSDSDSHSGPEMDEEDGNSVQKNSLRSSKGKRSQAKPVDTEETVSGKEIDGGHWQAFQNYLLKGAEEEERRANQRIFSAEKEVQEKRILNRVSEDPVVLGGQEMSQYEERNMTDMHRTSASSGRMLKASSNDQSLTSIKGGHSADDRNSVDGSHYTEIEGRRVYRSSTNDEFIVNRQQNTNSPSGRLAVNWFERSSNNINDDSYIVPFRSTSVPRVGTDDRNAIGMDSEFSLSLQKSGTASNRVGSQINYKTDDLTLIPERGMEIGSIGYDPALDYKIQVDAEYATSLDKKKKEGMKGSKTSEIWKSKLVADPSDKKKTVGPIRKGKPSKMSPLDEAKARAERLRTYKADLQKMKKEKEEAEIKRLEALKMERQKRIAARNSSNAAQPSTAMQNKKQLLLKISPSSHKGLKFTDAEPGLSSPLQRSIRITPVVSPASLKTPKPSKLNNGTNSGGNRLSKSVPSLPVQKKDIGSVTPDAKVSMARIRRLSEPKVSSSARVSSVKSRNSEPSPRRKAFRGPESRKISAIVNHDKSKIVSLPELKIKTTKAPNITHSNSGGKGMTQKVNRSVSSTTDVTELCKNLDKVSFNIDGDDSMIIEKTIVMLEQEKPSVPPVNSSEGTAAIQKGNDVGRKTKEVSDYPSTRAPVSSLNAGSLDHEHLTQQRSQAYEVQKVNVSNTEKEPSKFTSSSVTKKPYQAPLARVSSSEDPCSEVSDHAIAPPTRLQAASKESESVRARVADSKNLKLEKIPEVPDKHQVKESPKGIRRLLKFGRKNHSSATSEHRIESDSVGVNDSPADEFVTTAHLLVKFIR >KJB32401 pep chromosome:Graimondii2_0_v6:5:62060616:62065473:-1 gene:B456_005G239300 transcript:KJB32401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAILTFRVSPCFGINPKLKPRFRAERLVSGDDNLSVYSVNGGAGSVMEEKEKKGSFLNGGNGRLKPRIEQKMVKKRVCEELEVLWDDGYGTKTVKDYLIAAEEMIKPDGGPPRWFCPVECGQPINDSPLLLFLPGLDGVGMGLILHHKALGKVFKVQCLHIPVQDRTPFEELVKLVEEAIRLEHAYRPNTPIYLVGDSFGGCLALAVAARNPTIDLVLILANPATSFGRSQLQPLFPILEAFPDGLHVTIPYLLSLVMGEPVKMATVGIEGRLSPLQKIEQLSGNLTALLPLLSGMANIIPKETLVWKLKLLKTASAYTNSRLHAIKAEVLVLASDKDNMLPSRDEAQRLMNSLSNCKVRRFKDNGHTLLLEDSLNLLTIIKGTCKYRHSKKHDFIADFVPPSMSEFKYAFDEVAGYIRFASGSAMFSTMEDGKIVQGLAGVPNEGPVLLVGYHMLMGLELSCLIEAFLREKKIMVRGIAHPELFWGKLQSSSNEFAFSDWVKVMGALPVTANYLFKALSTKSHVLLYPGGAREALHYKGEEYKLFWPEQPEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDWMKIPVINERIKESIRDGIRIRDETDGEVGNQQLFIPGMLPKIPGRFYYLFGKPIKLKGREDLTKNRQDANDLYLQVKSEVEQCIDYLLKKREEDPYRSIIDRTIYGALYSSVDQVPAFKP >KJB32400 pep chromosome:Graimondii2_0_v6:5:62060616:62065427:-1 gene:B456_005G239300 transcript:KJB32400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAILTFRVSPCFGINPKLKPRFRAERLVSGDDNLSVYSVNGGAGSVMEEKEKKGSFLNGGNGRLKPRIEQKMVKKRVCEELEVLWDDGYGTKTVKDYLIAAEEMIKPDGGPPRWFCPVECGQPINDSPLLLFLPGLDGVGMGLILHHKALGKVFKVQCLHIPVQDRTPFEELVKLVEEAIRLEHAYRPNTPIYLVGDSFGGCLALAVAARNPTIDLVLILANPATSFGRSQLQPLFPILEAFPDGLHVTIPYLLSLVMGEPVKMATVGIEGRLSPLQKIEQLSGNLTALLPLLSGMANIIPKETLVWKLKLLKTASAYTNSRLHAIKAEVLVLASDKDNMLPSRDEAQRLMNSLSNCKVRRFKDNGHTLLLEDSLNLLTIIKGTCKYRHSKKHDFIADFVPPSMSEFKYAFDEVAGYIRFASGSAMFSTMEDGKIVQGLAGVPNEGPVLLVGYHMLMGLELSCLIEAFLREKKIMVRGIAHPELFWGKLQSSSNEFAFSDWVKVMGALPVTANYLFKALSTKSHVLLYPGGAREALHYKGEEYKLFWPEQPEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDWMKIPVINERIKESIRDGMKQMERSETNSSLSQGCYQRSQDGFTICSENR >KJB32399 pep chromosome:Graimondii2_0_v6:5:62061346:62065174:-1 gene:B456_005G239300 transcript:KJB32399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAILTFRVSPCFGINPKLKPRFRAERLVSGDDNLSVYSVNGGAGSVMEEKEKKGSFLNGGNGRLKPRIEQKMVKKRVCEELEVLWDDGYGTKTVKDYLIAAEEMIKPDGGPPRWFCPVECGQPINDSPLLLFLPGLDGVGMGLILHHKALGKVFKVQCLHIPVQDRTPFEELVKLVEEAIRLEHAYRPNTPIYLVGDSFGGCLALAVAARNPTIDLVLILANPATSFGRSQLQPLFPILEAFPDGLHVTIPYLLSLVMGEPVKMATVGIEGRLSPLQKIEQLSGNLTALLPLLSGMANIIPKETLVWKLKLLKTASAYTNSRLHAIKAEVLVLASDKDNMLPSRDEAQRLMNSLSNCKVRRFKDNGHTLLLEDSLNLLTIIKGTCKYRHSKKHDFIADFVPPSMSEFKYAFDEVAGYIRFASGSAMFSTMEDGKIVQGLAGVPNEGPVLLVGYHMLMGLELSCLIEAFLREKKIMVRGIAHPELFWGKLQSSSNEFAFSDWVKVMGALPVTANYLFKALSTKSHVLLYPGGAREALHYKGEEYKLFWPEQPEFVRMAARFGATIVPFGTVGEDDIAEVSFSASCFTSYPIEKQLNIVVLTLIFHCSWFSTTTTG >KJB32033 pep chromosome:Graimondii2_0_v6:5:60255649:60258073:-1 gene:B456_005G219300 transcript:KJB32033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPGKKVVDVAFKASKNIDWEGMAKLLVSDEARKEFATLRRTFDEVNSTLQTKFSQEPESIDWEYYRKGIGSRLVDMYKEAYESVEIPKFVDTVTPQYKPKFDALLVELKEAEEKSLKESERLEKEIAEVQELKQKISTMTADEYFEKHPELKKKFDDEIRNDYWGY >KJB32034 pep chromosome:Graimondii2_0_v6:5:60255902:60258073:-1 gene:B456_005G219300 transcript:KJB32034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPGKKVVDVAFKASKNIDWEGMAKLLVSDEARKEFATLRRTFDEVNSTLQTKFSQEPESIDWEYYRKGIGSRLVDMYKEAYESVEIPKFVDTVTPQYKPKFDALVRINRIIDSLLPCLQVFSSNDVLFICGYSL >KJB28976 pep chromosome:Graimondii2_0_v6:5:8983058:8988990:-1 gene:B456_005G078200 transcript:KJB28976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDIPSAINAMQFYTNVQPTIRGRNVYVQFSSHQELTTMDQNAQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQGRQNAISARTSLQGRNIYDGCCQLDIQFSNLDELQVHYNNERSRDFTNPNLPTEQKGRSSQHPGYGDAGVGFPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGTDTHEYVNSNLNRFNRNAAKNYRYCCSPTKMVHLSTLPQDVTEEEIVNHLAEHGTIVNTKLFEMNGKKQALVMFETEEQATEALVSKHATSLGGSIIRISFSQLQTIRENSQ >KJB28977 pep chromosome:Graimondii2_0_v6:5:8983037:8989396:-1 gene:B456_005G078200 transcript:KJB28977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDIPSAINAMQFYTNVQPTIRGRNVYVQFSSHQELTTMDQNAQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQGRQNAISARTSLQGRNIYDGCCQLDIQFSNLDELQVHYNNERSRDFTNPNLPTEQKGRSSQHPGYGDAGVGFPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGTDTHEYVNSNLNRFNRNAAKNYRYCCSPTKMVHLSTLPQDVTEEEIVNHLAEHGTIVNTKLFEMNGKKQALVMFETEEQATEALVSKHATSLGGSIIRISFSQLQTIRENSQ >KJB28978 pep chromosome:Graimondii2_0_v6:5:8983058:8989245:-1 gene:B456_005G078200 transcript:KJB28978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDIPSAINAMQFYTNVQPTIRGRNVYVQFSSHQELTTMDQNAQGRGDEVFSPHGFVEKIVTFQKSAGFQALIQYQGRQNAISARTSLQGRNIYDGCCQLDIQFSNLDELQVHYNNERSRDFTNPNLPTEQKGRSSQHPGYGDAGVGFPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGTDTHEYVNSNLNRFNRNAAKNYRYCCSPTKMVHLSTLPQDVTEEEIVNHLAEHGTIVNTKLFEMNGKKQALVMFETEEQATEALVSKHATSLGGSIIRISFSQLQTIRENSQ >KJB29674 pep chromosome:Graimondii2_0_v6:5:21470855:21475210:-1 gene:B456_005G113100 transcript:KJB29674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCESTFLRRPDSLHKTNHHHHLYNNNTNTNRLTQTNNGPSSPSIAGKDSLAGGAAGFPDFSEFSLAELKAATNNFSSDFIVSECGEKAPNVVYKGRLQNDNNRRWIAIKKFTRLAWPDPKQFADEARGVGNLRHKRVANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWAMRLRVALYIAEALDYCSSEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKHIPPSHALDMIRGKNILLLMDSHLEGHFSSEEATVVFDLASQCLQYEPRERPNTKDLVTTLAPLQNKPDVPSYVMLGIPKHEEGPPTPQQPLSPMGDACSRMDLTAIHQILVMTHYKDDEGTNEVSNIIEL >KJB29672 pep chromosome:Graimondii2_0_v6:5:21470777:21475262:-1 gene:B456_005G113100 transcript:KJB29672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCESTFLRRPDSLHKTNHHHHLYNNNTNTNRLTQTNNGPSSPSIAGKDSLAGGAAGFPDFSEFSLAELKAATNNFSSDFIVSECGEKAPNVVYKGRLQNDNNRRWIAIKKFTRLAWPDPKQFADEARGVGNLRHKRVANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWAMRLRVALYIAEALDYCSSEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKHIPPSHALDMIRGKNILLLMDSHLEGHFSSEEATVVFDLASQCLQYEPRERPNTKDLVTTLAPLQNKPDVPSYVMLGIPKHEEGPPTPQQPLSPMGDACSRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMRDMLEARKRGDVAFRDKEFKTAVDCYSQFIDVGTMVSPTVYARRSLCYLLCDQPDAALRDAMQAQCVYPDWSTAFYMQAVALAKLDMQKDAADMLNEAAALEEKRQRGGRGS >KJB29673 pep chromosome:Graimondii2_0_v6:5:21470855:21475210:-1 gene:B456_005G113100 transcript:KJB29673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCESTFLRRPDSLHKTNHHHHLYNNNTNTNRLTQTNNGPSSPSIAGKDSLAGGAAGFPDFSEFSLAELKAATNNFSSDFIVSECGEKAPNVVYKGRLQNDNNRRWIAIKKFTRLAWPDPKQFADEARGVGNLRHKRVANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWAMRLRVALYIAEALDYCSSEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKHIPPSHALDMIRGKNILLLMDSHLEGHFSSEEATVVFDLASQCLQYEPRERPNTKDLVTTLAPLQNKPDVSHLSSTFALPLNFLKFTFWMCFHGNIVCFCSFVLMKY >KJB30420 pep chromosome:Graimondii2_0_v6:5:38913199:38917184:1 gene:B456_005G142600 transcript:KJB30420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLFTLSPPSSTFPSSSATLKPFSSFSTKPTLSIHFVKRPISVSATADPPPQLQTFWQWLQDQGVVSAKSPVRPGMVPEGLGLIAKKNISRNEVVLEIPNRFWINQEAVAASEIGTVCSGLKPWVSVALFLIRERFRQDSKWRVYLDILPELTDSTVFWSEEELAELRGTQLLSTTLGVKEYVQNEFLKVEEEIILPNKQLFPAPITSDDFFWAFGILRSRAFSRLRGQNLVLIPIADLINHSPNITTEDYAWEIKGAGLFSRDLLFSLRSPVSVKAGEQVLIQYDLDKSNAELALDYGFIESKSERNAYTLTLEISESDPFFGDKLDIAETNGLGETAYFDIVLGRPLPPVLIPYLRLVALGGTDAFLLESIFRNTIWGHLDLPVSRANEELICQVVRDACKSALSGYHTTIEEDEKLMEDENLDSRLKIAVGIRAGEKKVLQQIDEIFKGRESELDELEYYQERRLKDLGLVGEQGEIIFWETN >KJB32620 pep chromosome:Graimondii2_0_v6:5:63023212:63025684:1 gene:B456_005G251800 transcript:KJB32620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTSSLKKKSEQVLDGSDIMELVENQEVFTSFVDHKFKELDKDSDGHLSVKELQPAVADIGAALGLPAQGSSPDSDHIYSEVLNEFTQGKQEKVSKTEFKEVLSDILLGMAAGLKRDPIVILRIDGEDLLEFINGPSYEVEMLSVFSQIVSDDAVLPMRDCIVKALEKLTVDQGMPPSPDSWVTSNIIEPALQLWDGNNQEKLVSQETFLEEFKKVAERVAQNLKEQPVIVAHSENTFDGSGIKRLLSNKFELDKLLNTALENVPKDRNGKVSKEYLRVVLDVVAPSASLPPIGAVEQVMQMKVKIL >KJB32619 pep chromosome:Graimondii2_0_v6:5:63023038:63026634:1 gene:B456_005G251800 transcript:KJB32619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTSSLKKKSEQVLDGSDIMELVENQEVFTSFVDHKFKELDKDSDGHLSVKELQPAVADIGAALGLPAQGSSPDSDHIYSEVLNEFTQGKQEKVSKTEFKEVLSDILLGMAAGLKRDPIVILRIDGEDLLEFINGPSYEVEMLSVFSQIVSDDAVLPMRDCIVKALEKLTVDQGMPPSPDSWVTSNIIEPALQLWDGNNQEKLVSQETFLEEFKKVAERVAQNLKEQPVIVAHSENTFDGSGIKRLLSNKFELDKLLNTALENVPKDRNGKVSKEYLRVVLDVVAPSASLPPIGAVEQIDKVVAEAFDMINADDGKAVKEDEFKKLLTEILGSVMLQLEGNPISISSNSVVHEPLASSSSLLQPSS >KJB32621 pep chromosome:Graimondii2_0_v6:5:63023212:63026560:1 gene:B456_005G251800 transcript:KJB32621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTSSLKKKSEQVLDGSDIMELVENQEVFTSFVDHKFKELDKDSDGHLSVKELQPAVADIGAALGLPAQGSSPDSDHIYSEVLNEFTQGKQEKVSKTEFKEVLSDILLGMAAGLKRDPIVILRIDGEDLLEFINGPSYEVEMLSVFSQIVSDDAVLPMRDCIVKALEKLTVDQGMPPSPDSWVTSNIIEPALQLWDGNNQEKLVSQETFLEEFKKVAERVAQNLKEQPVIVAHSENTFDGSGIKRLLSNKFELDKVIYVKTYERNAILSCCYCF >KJB27508 pep chromosome:Graimondii2_0_v6:5:63014837:63015348:1 gene:B456_005G251600 transcript:KJB27508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHLPSMILHAKQVLKFQSRNQLHVPKGHIAVYVGETKKTRFVVPISYLNHPCFLDLLGQAEEEFGFNHPVGGLTIPCDKDAFIDLTSRLHCC >KJB29734 pep chromosome:Graimondii2_0_v6:5:22914942:22918241:-1 gene:B456_005G116400 transcript:KJB29734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRKWTKLRYEFTSPSNGAKTLVLVGRTGNGKSATGNSILGTKSFTSKISPSGVTRTYELQTTVLKDGQIFNVIDTPGLFDASVESEFIGKEIAKFFSLKTRFSEEEKTAFQCLETLFGTKICSYIIIVFTNGDALEEDVTLKDYLDDSPQPLKDVLLLCGDRFVLFDNKTEDKTKRGKQVDDLVSLVNMVIAQNGGQPYYDELFVELKRGATTCDEQEEVASLKGYIKQEICKEQIERLYEKLLMRISELVEAKLKRLEEKLAEEQAAKKSDQMKSNDEINKLREDLENAQRETVELRKKAEDKCAIL >KJB27942 pep chromosome:Graimondii2_0_v6:5:1391783:1397733:1 gene:B456_005G018100 transcript:KJB27942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAIGQTVRELKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQMIMTVIWKRLSDTGKNWRHVYKALTVLEYLVGHGSERVIDDIREHAYQISALSNFQYIDSSGRDQGNNVRKKSQSLVALVNDKERIIEVRQKAAANRDKFRNTSTGGMYRPGSGGYGDKYDYDRYGNKDYDDQNGYGRDREYGYRDDDRYGRYGDSNSRDGDRYGRDYEDRYGRDGDRDDDYRGRSRSVDDYQYGTRSRSSDRDRAFDEDGASSRGSGDRADDHSQDGRRHERKQSEQNIGAPPSYEEAVTETQSPVRSERVGQTSVAAAPPPPPTNNPNQAASDLSNSASPPNQQAEAFDEFDPRGSFSAAPTPAPAPAAVPTATAVPATAVPTASTNAEIDLLDALSDSFAIVPVTPEIPATEVDAPANSGAMPTFAANQPASNFGNQGFDDPFGDNPFKAVASTDSAPAVQQISTSMPTFQPATNQNDVAPQPPSASSETVTNFDFGNSFSANAYSAPNSTNSQFLPQEMSTQNQGSAYSQTTQPGIYGQQAQSSANMYSQPTQPSANPYGQPAQPGANAYDQVGQPSSNAYSQSVQPSVNLYSQPPQLGANPYSQPAQFNSGNLSRQGSLVPVSSQGAHQITNSPAVQNNNDFLSGLISEPGSKPAQTVTPTSTGALAIVPQPSKDKFELKSAVWADTLSRGLVNLNISGRK >KJB27947 pep chromosome:Graimondii2_0_v6:5:1391095:1400824:1 gene:B456_005G018100 transcript:KJB27947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAIGQTVRELKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQMIMTVIWKRLSDTGKNWRHVYKALTVLEYLVGHGSERVIDDIREHAYQISALSNFQYIDSSGRDQGNNVRKKSQSLVALVNDKERIIEVRQKAAANRDKFRNTSTGGMYRPGSGGYGDKYDYDRYGNKDYDDQNGYGRDREYGYRDDDRYGRYGDSNSRDGDRYGRDYEDRYGRDGDRDDDYRGRSRSVDDYQYGTRSRSSDRDRAFDEDGASSRGSGDRADDHSQDGRRHERKQSEQNIGAPPSYEEAVTETQSPVRSERVGQTSVAAAPPPPPTNNPNQAASDLSNSASPPNQQAEAFDEFDPRGSFSAAPTPAPAPAAVPTATAVPATAVPTASTNAEIDLLDALSDSFAIVPVTPEIPATEVDAPANSGAMPTFAANQPASNFGNQGFDDPFGDNPFKAVASTDSAPAVQQISTSMPTFQPATNQNDVAPQPPSASSETVTNFDFGNSFSANAYSAPNSTNSQFLPQEMSTQNQGSAYSQTTQPGIYGQQAQSSANMYSQPTQPSANPYGQPAQPGANAYDQVGQPSSNAYSQSVQPSVNLYSQPPQLGANPYSQPAQFNSGNLSRQGSLVPVSSQGAHQITNSPAVQNNNDFLSGLISEPGSKPAQTVTPTSTGALAIVPQPSKDKFELKSAVWADTLSRGLVNLNISGPKTNPLADIGVDFDALNRTISL >KJB27943 pep chromosome:Graimondii2_0_v6:5:1391095:1398649:1 gene:B456_005G018100 transcript:KJB27943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAIGQTVRELKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQMIMTVIWKRLSDTGKNWRHVYKALTVLEYLVGHGSERVIDDIREHAYQISALSNFQYIDSSGRDQGNNVRKKSQSLVALVNDKERIIEVRQKAAANRDKFRNTSTGGMYRPGSGGYGDKYDYDRYGNKDYDDQNGYGRDREYGYRDDDRYGRYGDSNSRDGDRYGRDYEDRYGRDGDRDDDYRGRSRSVDDYQYGTRSRSSDRDRAFDEDGASSRGSGDRADDHSQDGRRHERKQSEQNIGAPPSYEEAVTETQSPVRSERVGQTSVAAAPPPPPTNNPNQAASDLSNSASPPNQQAEAFDEFDPRGSFSAAPTPAPAPAAVPTATAVPATAVPTASTNAEIDLLDALSDSFAIVPVTPEIPATEVDAPANSGAMPTFAANQPASNFGNQGFDDPFGDNPFKAVASTDSAPAVQQISTSMPTFQPATNQNDVAPQPPSASSETVTNFDFGNSFSANAYSAPNSTNSQFLPQEMSTQNQGSAYSQTTQPGIYGQQAQSSANMYSQPTQPSANPYGQPAQPGANAYDQVGQPSSNAYSQSVQPSVNLYSQPPQLGANPYSQPAQFNSGNLSRQGSLVPVSSQGAHQITNSPAVQNNNDFLSGLISEPGSKPAQTVTPTSTGALAIVPQPSKDKFELKSAVWADTLSRGLVNLNISGPKTNPLADIGVDFDALNRKEKRMEKPAPNAVTSTVTMGKAMGSGSGIGRSGATTLRPPANPMMGSSMGSMGMGMGMGMGGGPVGGMGMGGGYGGMNQQPMGMGMGMGMNNMGMNPGMGMNMGMGQRPQMQGMPGGYNPMMGSGGYSQQPYGGGYR >KJB27949 pep chromosome:Graimondii2_0_v6:5:1391095:1398815:1 gene:B456_005G018100 transcript:KJB27949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAIGQTVRELKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQMIMTVIWKRLSDTGKNWRHVYKALTVLEYLVGHGSERVIDDIREHAYQISALSNFQYIDSSGRDQGNNVRKKSQSLVALVNDKERIIEVRQKAAANRDKFRNTSTGGMYRPGSGGYGDKYDYDRYGNKDYDDQNGYGRDREYGYRDDDRYGRYGDSNSRDGDRYGRDYEDRYGRDGDRDDDYRGRSRSVDDYQYGTRSRSSDRDRAFDEDGASSRGSGDRADDHSQDGRRHERKQSEQNIGAPPSYEEAVTETQSPVRSERVGQTSVAAAPPPPPTNNPNQAASDLSNSASPPNQQAEAFDEFDPRGSFSAAPTPAPAPAAVPTATAVPATAVPTASTNAEIDLLDALSDSFAIVPVTPEIPATEVDAPANSGAMPTFAANQPASNFGNQGFDDPFGDNPFKAVASTDSAPAVQQISTSMPTFQPATNQNDVAPQPPSASSETVTNFDFGNSFSANAYSAPNSTNSQFLPQEMSTQNQGSAYSQTTQPGIYGQQAQSSANMYSQPTQPSANPYGQPAQPGANAYDQVGQPSSNAYSQSVQPSVNLYSQPPQLGANPYSQPAQFNSGNLSRQGSLVPVSSQGAHQITNSPAVQNNNDFLSGLISEPGSKPAQTVTPTSTGALAIVPQPSKDKFELKSAVWADTLSRGLVNLNISGPKTNPLADIGVDFDALNRKEKRMEKPAPNAVTSTVTMGKAMGSGSGIGRSGATTLRPPANPMMGSSMGSMGMGMGMGMGGGPVGGMGMGGGYGGMNQQPMGMGMGMGMNNMGMNPGMGMNMGMGQRPQMQGMPGGYNPMMGSGGYSQQPYGGGYR >KJB27946 pep chromosome:Graimondii2_0_v6:5:1391095:1398649:1 gene:B456_005G018100 transcript:KJB27946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAIGQTVRELKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQMIMTVIWKRLSDTGKNWRHVYKALTVLEYLVGHGSERVIDDIREHAYQISALSNFQYIDSSGRDQGNNVRKKSQSLVALVNDKERIIEVRQKAAANRDKFRNTSTGGMYRPGSGGYGDKYDYDRYGNKDYDDQNGYGRDREYGYRDDDRYGRYGDSNSRDGDRYGRDYEDRYGRDGDRDDDYRGRSRSVDDYQYGTRSRSSDRDRAFDEDGASSRGSGDRADDHSQDGRRHERKQSEQNIGAPPSYEEAVTETQSPVRSERVGQTSVAAAPPPPPTNNPNQAASDLSNSASPPNQQAEAFDEFDPRGSFSAAPTPAPAPAAVPTATAVPATAVPTASTNAEIDLLDALSDSFAIVPVTPEIPATEVDAPANSGAMPTFAANQPASNFGNQGFDDPFGDNPFKAVASTDSAPAVQQISTSMPTFQPATNQNDVAPQPPSASSETVTNFDFGNSFSANAYSAPNSTNSQFLPQEMSTQNQGSAYSQTTQPGIYGQQAQSSANMYSQPTQPSANPYGQPAQPGANAYDQVGQPSSNAYSQSVQPSVNLYSQPPQLGANPYSQPAQFNSGNLSRQGSLVPVSSQGAHQITNSPAVQNNNDFLSGLISEPGSKPAQTVTPTSTGALAIVPQPSKDKFELKSAVWADTLSRGLVNLNISGPKTNPLADIGVDFDALNRKEKRMEKPAPNAVTSTVTMGKAMGSGSGIGRSGATTLRPPANPMMGSSMGSMGMGMGMGMGGGPVGGMGMGGGYGGMNQQPMGMGMGMGMNNMGMNPGMGMNMGMGQRPQMQGMPGGYNPMMGSGGYSQQPYGGGYR >KJB27944 pep chromosome:Graimondii2_0_v6:5:1391783:1396759:1 gene:B456_005G018100 transcript:KJB27944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAIGQTVRELKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQMIMTVIWKRLSDTGKNWRHVYKALTVLEYLVGHGSERVIDDIREHAYQISALSNFQYIDSSGRDQGNNVRKKSQSLVALVNDKERIIEVRQKAAANRDKFRNTSTGGMYRPGSGGYGDKYDYDRYGNKDYDDQNGYGRDREYGYRDDDRYGRYGDSNSRDGDRYGRDYEDRYGRDGDRDDDYRGRSRSVDDYQYGTRSRSSDRDRAFDEDGASSRGSGDRADDHSQDGRRHERKQSEQNIGAPPSYEEAVTETQSPVRSERVGQTSVAAAPPPPPTNNPNQAASDLSNSASPPNQQAEAFDEFDPRGSFSAAPTPAPAPAAVPTATAVPATAVPTASTNAEIDLLDALSDSFAIVPVTPEIPATEVDAPANSGAMPTFAANQPASNFGNQVTWSIYNIEGSYTRISLNLSAICLFMVQRIWISCSVVA >KJB27948 pep chromosome:Graimondii2_0_v6:5:1391095:1400824:1 gene:B456_005G018100 transcript:KJB27948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAIGQTVRELKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQMIMTVIWKRLSDTGKNWRHVYKALTVLEYLVGHGSERVIDDIREHAYQISALSNFQYIDSSGRDQGNNVRKKSQSLVALVNDKERIIEVRQKAAANRDKFRNTSTGGMYRPGSGGYGDKYDYDRYGNKDYDDQNGYGRDREYGYRDDDRYGRYGDSNSRDGDRYGRDYEDRYGRDGDRDDDYRGRSRSVDDYQYGTRSRSSDRDRAFDEDGASSRRHERKQSEQNIGAPPSYEEAVTETQSPVRSERVGQTSVAAAPPPPPTNNPNQAASDLSNSASPPNQQAEAFDEFDPRGSFSAAPTPAPAPAAVPTATAVPATAVPTASTNAEIDLLDALSDSFAIVPVTPEIPATEVDAPANSGAMPTFAANQPASNFGNQGFDDPFGDNPFKAVASTDSAPAVQQISTSMPTFQPATNQNDVAPQPPSASSETVTNFDFGNSFSANAYSAPNSTNSQFLPQEMSTQNQGSAYSQTTQPGIYGQQAQSSANMYSQPTQPSANPYGQPAQPGANAYDQVGQPSSNAYSQSVQPSVNLYSQPPQLGANPYSQPAQFNSGNLSRQGSLVPVSSQGAHQITNSPAVQNNNDFLSGLISEPGSKPAQTVTPTSTGALAIVPQPSKDKFELKSAVWADTLSRGLVNLNISGPKTNPLADIGVDFDALNRTISL >KJB27945 pep chromosome:Graimondii2_0_v6:5:1391095:1398815:1 gene:B456_005G018100 transcript:KJB27945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAIGQTVRELKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQMIMTVIWKRLSDTGKNWRHVYKALTVLEYLVGHGSERVIDDIREHAYQISALSNFQYIDSSGRDQGNNVRKKSQSLVALVNDKERIIEVRQKAAANRDKFRNTSTGGMYRPGSGGYGDKYDYDRYGNKDYDDQNGYGRDREYGYRDDDRYGRYGDSNSRDGDRYGRDYEDRYGRDGDRDDDYRGRSRSVDDYQYGTRSRSSDRDRAFDEDGASSRRHERKQSEQNIGAPPSYEEAVTETQSPVRSERVGQTSVAAAPPPPPTNNPNQAASDLSNSASPPNQQAEAFDEFDPRGSFSAAPTPAPAPAAVPTATAVPATAVPTASTNAEIDLLDALSDSFAIVPVTPEIPATEVDAPANSGAMPTFAANQPASNFGNQGFDDPFGDNPFKAVASTDSAPAVQQISTSMPTFQPATNQNDVAPQPPSASSETVTNFDFGNSFSANAYSAPNSTNSQFLPQEMSTQNQGSAYSQTTQPGIYGQQAQSSANMYSQPTQPSANPYGQPAQPGANAYDQVGQPSSNAYSQSVQPSVNLYSQPPQLGANPYSQPAQFNSGNLSRQGSLVPVSSQGAHQITNSPAVQNNNDFLSGLISEPGSKPAQTVTPTSTGALAIVPQPSKDKFELKSAVWADTLSRGLVNLNISGPKTNPLADIGVDFDALNRKEKRMEKPAPNAVTSTVTMGKAMGSGSGIGRSGATTLRPPANPMMGSSMGSMGMGMGMGMGGGPVGGMGMGGGYGGMNQQPMGMGMGMGMNNMGMNPGMGMNMGMGQRPQMQGMPGGYNPMMGSGGYSQQPYGGGYR >KJB29877 pep chromosome:Graimondii2_0_v6:5:26088279:26091243:-1 gene:B456_005G122000 transcript:KJB29877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIVFFQSLSLLGYCLFPLDVGALICMMENNVILKMIVVCVTLAWSSWAAYPFMSSAVNLSRKALALYPVFLMYVSVGFLTIAID >KJB29875 pep chromosome:Graimondii2_0_v6:5:26088848:26089748:-1 gene:B456_005G122000 transcript:KJB29875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDGAALHFEESEVFAVAFALLAAGAVILTLNVLLLGGHIVFFQSLSLLGYCLFPLDVGALICMMENNVILKMIVVCVTLAWSSWAAYPFMSSAVNLSRKALALYPVFLMYVSVGFLTIAID >KJB29876 pep chromosome:Graimondii2_0_v6:5:26088848:26089748:-1 gene:B456_005G122000 transcript:KJB29876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDGAALHFEESEVFAVAFALLAAGAVILTLNVLLLGGHIVFFQSLSLLGYCLFPLDVGALICMMENNVILKMIVVCVTLAWSSWAAYPFMSSAVNLSRKALALYPVFLMYVSVGFLTIAID >KJB30258 pep chromosome:Graimondii2_0_v6:5:34301440:34309417:1 gene:B456_005G135000 transcript:KJB30258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSSADVILEFLRRNRFTRAEAALRSELGNRPDLNGFCQKLTLEEKDSGNVLEEENGKKIAGESHGSGSRNSSEVSKELIVKEIECGAGRNGSESQWRNAASTGDSNKPNEARVTSDTSFAFSKNSEDAVLNMQSRNFNASNGPDLLKGDGIFRSSSFSELEKPDQSRWCISEAPDIDKGNVKPGEEISFSGEIKTTWHGNTGKANVDYKYDKFHTSETKELDQQFKTSGAYLKENFADNSRWSRTEEPSSSFSEMWKDCSVKTVFPFPKGDLSIGYNAASASDKREGKKIADALDVRAAIKEQVDEVGRALFFGKSQGNAEQKSINGLAFPLAYDDQREELPRLPPVKLKSEEKSLNVNWEEKYERDGPGAKLVSADNTFLIGSYLDVPIGQEINASGGKRNAGGSWLSVSQGIAEDASDLVSGFATIGDGLSESIDYPNEYWESDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVRDPQERSQTKDDDDDQSFAEEDSYFSGERYFQAKNVEPVAASDDPIGLSVTEMYNGTHENDLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMLEDGEVLNECGRSQLDDICIDNDQHGAVRSIGVGINSDTADFGSEVRESLVAVSSEGDLEYFHDHDGSIGGSRQSYHETERKYIDKPNRDKRKTGKNDSNKYAIENDKGSTPQVKNLADGGFSFPPPLRDGQLVQAGSSNSIWPSNSNAAGEERDDCLTALMEPDDMLATWRRKSSDSSVAQSSRDEDDANVRSANSSPSTLSNYGYGAQEKTKKEEDEKTSGVREEDLGASLEDEEAAAVQEQVRQIKAQEEEFETFDLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIKQDMLAKGRDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMGDQGFVDFVAHLLEVNPKKRPSAAEALKHPWLSYPYEPISA >KJB30260 pep chromosome:Graimondii2_0_v6:5:34301602:34309365:1 gene:B456_005G135000 transcript:KJB30260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSSADVILEFLRRNRFTRAEAALRSELGNRPDLNGFCQKLTLEEKDSGNVLEEENGKKIAGESHGSGSRNSSEVSKELIVKEIECGAGRNGSESQWRNAASTGDSNKPNEARVTSDTSFAFSKNSEDAVLNMQSRNFNASNGPDLLKGDGIFRSSSFSELEKPDQSRWCISEAPDIDKGNVKPGEEISFSGEIKTTWHGNTGKANVDYKYDKFHTSETKELDQQFKTSGAYLKENFADNSRWSRTEEPSSSFSEMWKDCSVKTVFPFPKGDLSIGYNAASASDKREGKKIADALDVRAAIKEQVDEVGRALFFGKSQGNAEQKSINGLAFPLAYDDQREELPRLPPVKLKSEEKSLNVNWEEKYERDGPGAKLVSADNTFLIGSYLDVPIGQEINASGGKRNAGGSWLSVSQGIAEDASDLVSGFATIGDGLSESIDYPNEYWESDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVRDPQERSQTKDDDDDQSFAEEDSYFSGERYFQAKNVEPVAASDDPIGLSVTEMYNGTHENDLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMLEDGEVLNECGRSQLDDICIDNDQHGAVRSIGVGINSDTADFGSEVRESLVAVSSEGDLEYFHDHDGSIGGSRQSYHETERKYIDKPNRDKRKTGKNDSNKYAIENDKGSTPQVKNLADGGFSFPPPLRDGQLVQAGSSNSIWPSNSNAAGEERDDCLTALMEPDDMLATWRRKSSDSSVAQSSRDEDDANVRSANSSPSTLSNYGYGAQEKTKKEEDEKTSGVREEDLGASLEDEEAAAVQEQVRQIKAQEEEFETFDLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQVCRKLFSSEVRLIYVTFM >KJB30259 pep chromosome:Graimondii2_0_v6:5:34301602:34306213:1 gene:B456_005G135000 transcript:KJB30259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSSADVILEFLRRNRFTRAEAALRSELGNRPDLNGFCQKLTLEEKDSGNVLEEENGKKIAGESHGSGSRNSSEVSKELIVKEIECGAGRNGSESQWRNAASTGDSNKPNEARVTSDTSFAFSKNSEDAVLNMQSRNFNASNGPDLLKGDGIFRSSSFSELEKPDQSRWCISEAPDIDKGNVKPGEEISFSGEIKTTWHGNTGKANVDYKYDKFHTSETKELDQQFKTSGAYLKENFADNSRWSRTEEPSSSFSEMWKDCSVKTVFPFPKGDLSIGYNAASASDKREGKKIADALDVRAAIKEQVDEVGRALFFGKSQGNAEQKSINGLAFPLAYDDQREELPRLPPVKLKSEEKSLNVNWEEKYERDGPGAKLVSADNTFLIGSYLDVPIGQEINASGGKRNAGGSWLSVSQGIAEDASDLVSGFATIGDGLSESIDYPNEYWESDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVRDPQERSQTKDDDDDQSFAEEDSYFSGERYFQAKNVEPVAASDDPIGLSVTEMYNGTHENDLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMLEDGEVLNECGRSQLDDICIDNDQHGAVRSIGVGINSDTADFGSEVRESLVAVSSEGDLEYFHDHDGSIGGSRQSYHETERKYIDKPNRDKRKTGKNDSNKYAIENDKGSTPQVKNLADGGFSFPPPLRDGQLVQAGSSNSIWPSNSNAAGEERDDCLTALMEPDDMLATWRRKSSDSSVAQSSRDEDDANVRSANSSPSTLSNYGYGAQEKTKKEEDEKTSGVREEDLGASLEDEEAAAVQEQVRQIKAQEEEFETFDLKIVHRKNRHVLS >KJB30261 pep chromosome:Graimondii2_0_v6:5:34301602:34309365:1 gene:B456_005G135000 transcript:KJB30261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSSADVILEFLRRNRFTRAEAALRSELGNRPDLNGFCQKLTLEEKDSGNVLEEENGKKIAGESHGSGSRNSSEVSKELIVKEIECGAGRNGSESQWRNAASTGDSNKPNEARVTSDTSFAFSKNSEDAVLNMQSRNFNASNGPDLLKGDGIFRSSSFSELEKPDQSRWCISEAPDIDKGNVKPGEEISFSGEIKTTWHGNTGKANVDYKYDKFHTSETKELDQQFKTSGAYLKENFADNSRWSRTEEPSSSFSEMWKDCSVKTVFPFPKGDLSIGYNAASASDKREGKKIADALDVRAAIKEQVDEVGRALFFGKSQGNAEQKSINGLAFPLAYDDQREELPRLPPVKLKSEEKSLNVNWEEKYERDGPGAKLVSADNTFLIGSYLDVPIGQEINASGGKRNAGGSWLSVSQGIAEDASDLVSGFATIGDGLSESIDYPNEYWESDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVRDPQERSQTKDDDDDQSFAEEDSYFSGERYFQAKNVEPVAASDDPIGLSVTEMYNGTHENDLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMLEDGEVLNECGRSQLDDICIDNDQHGAVRSIGVGINSDTADFGSEVRESLVAVSSEGDLEYFHDHDGSIGGSRQSYHETERKYIDKPNRDKRKTGKNDSNKYAIENDKGSTPQVKNLADGGFSFPPPLRDGQLVQAGSSNSIWPSNSNAAGEERDDCLTALMEPDDMLATWRRKSSDSSVAQSSRDEDDANVRSANSSPSTLSNYGYGAQEKTKKEEDEKTSGVREEDLGASLEDEEAAAVQEQVRQIKAQEEEFETFDLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVSTFPE >KJB29313 pep chromosome:Graimondii2_0_v6:5:13128939:13131469:-1 gene:B456_005G093700 transcript:KJB29313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSDKLIVVTREPEGIATVTINRPKSLNSLTRPMMVDLAKAFKALAKDNSVRVIILSGAGRAFCSGVDLTAAQDVFKGDVKDPEADPVVQMEQCPKPIIGAINGLAITAGFEIALACDMLVAAKGAAFVDTHARFGIFPSWGLSQKLARFIGPNKAREVSLAAMPLSAEEAEKLGFVNYIVDQNEVLNKARQLAGAIMKNSQDLVLRRGVMNTTME >KJB29311 pep chromosome:Graimondii2_0_v6:5:13128865:13131449:-1 gene:B456_005G093700 transcript:KJB29311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSDKLIVVTREPEGIATVTINRPKSLNSLTRPMMVDLAKAFKALAKDNSVRVIILSGAGRAFCSGVDLTAAQDVFKGDVKDPEADPVVQMEQCPKPIIGIALACDMLVAAKGAAFVDTHARFGIFPSWGLSQKLARFIGPNKAREVSLAAMPLSAEEAEKLGFVNYIVDQNEVLNKARQLAGAIMKNSQDLVLRYKSVINDGYKLDLRQGLAIEKERGHEYYDGMTPEQFKKMQEFIARRSSKKPSSKL >KJB29312 pep chromosome:Graimondii2_0_v6:5:13128865:13131469:-1 gene:B456_005G093700 transcript:KJB29312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSDKLIVVTREPEGIATVTINRPKSLNSLTRPMMVDLAKAFKALAKDNSVRVIILSGAGRAFCSGVDLTAAQDVFKGDVKDPEADPVVQMEQCPKPIIGAINGLAITAGFEIALACDMLVAAKGAAFVDTHARFGIFPSWGLSQKLARFIGPNKAREVSLAAMPLSAEEAEKLGFVNYIVDQNEVLNKARQLAGAIMKNSQDLVLRYKSVINDGYKLDLRQGLAIEKERGHEYYDGMTPEQFKKMQEFIARRSSKKPSSKL >KJB29314 pep chromosome:Graimondii2_0_v6:5:13130180:13131449:-1 gene:B456_005G093700 transcript:KJB29314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSDKLIVVTREPEGIATVTINRPKSLNSLTRPMMVDLAKAFKALAKDNSVRVIILSGAGRAFCSGVDLTAAQDVFKGDVKDPEADPVVQMEQCPKPIIGAINGLAITAGFEIALACDMLVAAKGAAFVDTHARFGIFPSWGLSQKLARFIGPNKAREVSLAAMPLSAEEAEKLGFVNYIVDQNEVLNKARQLAGAIMKNSQDLVLRYKSVINDGYKLDLRQGLAIEKVFITLMYIFSWISMVLFI >KJB29315 pep chromosome:Graimondii2_0_v6:5:13130180:13131469:-1 gene:B456_005G093700 transcript:KJB29315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSDKLIVVTREPEGIATVTINRPKSLNSLTRPMMVDLAKAFKALAKDNSVRVIILSGAGRAFCSGVDLTAAQDVFKGDVKDPEADPVVQMEQCPKPIIGAINGLAITAGFEIALACDMLVAAKGAAFVDTHARFGIFPSWGLSQKLARFIGPNKAREVSLAAMPLSAEEAEKLGFVNYIVDQNEVLNKARQLAGAIMKNSQDLVLRYKSVINDGYKLDLRQGLAIEKLHNEKKVIKAMQYKNKRK >KJB30715 pep chromosome:Graimondii2_0_v6:5:44141380:44141479:1 gene:B456_005G1564002 transcript:KJB30715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRRFTITLETAGPSTMLVPPAEMLVMLLWH >KJB27463 pep chromosome:Graimondii2_0_v6:5:16583184:16583989:1 gene:B456_005G101500 transcript:KJB27463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKMAKSFFFLILALLLLTTAQNRPLSTTNRQQADDPQMPFSSPESSFTDDDECKGLNGEECLIKRSLIAHTDYIYTQRNVGP >KJB27464 pep chromosome:Graimondii2_0_v6:5:16583167:16584225:1 gene:B456_005G101500 transcript:KJB27464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKMAKSFFFLILALLLLTTAQNRPLSTTNRQQADDPQMPFSSPESSFTDDDECKGLNGEECLIKRSLIAHTDYIYTQRNVGP >KJB27941 pep chromosome:Graimondii2_0_v6:5:1373402:1376566:1 gene:B456_005G018000 transcript:KJB27941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPRGNPARGRFWPQMLMAMAVAVVVLASNVGSVSADAYIYASPPPPYEYKSPPPPSPSPPPPYVYKSPPPPPKHEEKPYEYKSPPPPPPKEKPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYVYKSPPPPPKHEEKPYEYKSPPPPPKEKPYEYKSPPPPPKEKPYEYKSPPPPSPSPPPPYSPPPPPKEKPYEYKSPPPPSPSPPPPYVYKSPPPPPKHEEKPYEYKSPPPPPKEKPYEYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPPKHEEQPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKHVEQPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPPKHEEQPPYYYKSPPPPSPSPPPPYYYKSPPPPPHYVSPPYYYKSPPPPVKSPPYYYHSPPPPTPYYPHPHPHPLIVRVVGKVYCYRCYDSSYPEKSHGKKHLEGATVEVTCKEGEKEITVYGKTKNNGKFAVTVDGFDYGKYGAKACIAKLHAPPKDSSCNIPMSVHDGIKGAVLKVKSEDKYEVVLRAKPFGYGSKKPYKKCEKYTPKPPTHPYKPPPYYYKSPPPPAPTYVYKSPPPPSPTYVYKSPPPPVYYYKSPPPPKHVEQPPYYYKSPPPPAPTYVYKSPPPPSPTYVYKSPPPPVYYYKSPPPPTYVYKSPPPPKHVEQPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPKHVEQPPYYYKSPPPPSPSPPPPYYYKSPPPPKHVEEPPYYYKSPPPPSPSPPP >KJB31036 pep chromosome:Graimondii2_0_v6:5:50402304:50403455:-1 gene:B456_005G173500 transcript:KJB31036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFAFGDSYTDTGNARLIGILKSFVGAVLTRRVSQGGNSNFKLGGRSSNGRMVVDFLCDSLNISTPIPYKAISSDFDFDSNSGVNFAVGGATSLSGDFFVNHKIGHTLMWKGIPLGFQTQIEWFNHFVTRIACKRKTEEECKAEMGKHLIWLGQMGVDDFARVIGSSVSMRWLTDITVNHISKILTTLLDSGGKFVVVQGLPPVGCCPLSKLLTPQSEKDEMGCSLVINRAVMAHNELLQKTLEDFRVRHGTEVTISYADYFNAYKAIMGNLAGFGFSDGSQACCGVGGGLLNFNLHNLCGMTGTTACENPSNHVHWDGLHLTEAMHKQITRLFLHGGYCKPSFDDIISRQRNSAT >KJB32774 pep chromosome:Graimondii2_0_v6:5:63584362:63585553:1 gene:B456_005G260800 transcript:KJB32774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSHRRPGVTVNGVRRMRTYHYFWCLHCQRTVRLLDTLAAAPDPTRPRQSGRARWDRPIPETGPWVTLVEPPRPQPSPIVAPRDTVSNDDLDGLLEGLTEDDRPGPPPAAASAIEALPVVIITEYHLTNATHCPICKDEFEISGEARELPCKHLYHSDCIVPWLSIHNTCPVCRYEIKDDTDPTTDDVVFRDIGFGADDLANGLTWLRTQFLSSRPFRAFSHWTQRYIDFLDSTFNTNNFTRESSSWWPSWFIL >KJB27478 pep chromosome:Graimondii2_0_v6:5:58511683:58512348:-1 gene:B456_005G206000 transcript:KJB27478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSRAWVVAASIGAVEALKDQGICRWNYTVRSVVQHAKNHVRSASQAKNLSSQSSAAISKGLNKYKQSEESLRTVMYLSCWGPN >KJB32434 pep chromosome:Graimondii2_0_v6:5:62183428:62184740:-1 gene:B456_005G240700 transcript:KJB32434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEIQVITSTPSMEFNFDSACSSPYMTAPSSPRRFGNFLYSVPTTPTRVSSFCPHLENDGRSVDGGCEGGDGGGSEDFEFNFIGQLEKTPLSADELFDGGKIRPLKPQQLDPFETAMEESRKRVTLLNTVHKKSKSLSSFGVSDDIIMLETEQSSSKSQKFNAKSSVFSIFSLPKGNKKWKLKDLLLFRSKSESRATISEDPVLCRKNVSFRSTESIGSVSSSRRRGPVSAHELNHDVLYGKEPEDVKNASFRSTESIGSSIGSVSVHELNCAVLSRKESEDVKNASFRSTESISSVSNSRRRGPVSAHELHYTKNRAGYWVVDT >KJB31974 pep chromosome:Graimondii2_0_v6:5:60036424:60039318:1 gene:B456_005G217300 transcript:KJB31974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICWGSSADNPTPTTTGCLSSVISQTTSNTASTSISRGSRFSASSGDEVFPNGQILPAPDLRIFSFAELKSATKNFRPEMVLGEGGFGKVFKGWLDEKSPGKSGSGTLIAVKKLNSESLQGFEEWQSEVNFLGRFSHPNLVRLLGYCWEDQELLLVYEFMQKGSLENHLFGRGSTVQSLEWSIRLKIAIGAAKGLSFLHTSDKQVIYRDFKASNILLDGSYTAKISDFGLAKLGPSASKSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDQNRPSGQHTLVDWVKPHLSERRKLKNIMDHRLEGKYPSKAAFRIAQLALKCLEPEPRNRPSMKEVVETLEQIESINEKPTEPKNRSTHHTTRRRRQQPFHQRSPLAPKHEVGHGYQTPPRVR >KJB31971 pep chromosome:Graimondii2_0_v6:5:60035993:60039318:1 gene:B456_005G217300 transcript:KJB31971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQKKGWWIKAEKKVEFFEHQLVKRYICFSFYGLEILCFAIADLCVWLCSNEVGKGKGKRFFKMGICWGSSADNPTPTTTGCLSSVISQTTSNTASTSISRGSRFSASSGDEVFPNGQILPAPDLRIFSFAELKSATKNFRPEMVLGEGGFGKVFKGWLDEKSPGKSGSGTLIAVKKLNSESLQGFEEWQSEVNFLGRFSHPNLVRLLGYCWEDQELLLVYEFMQKGSLENHLFGRGSTVQSLEWSIRLKIAIGAAKGLSFLHTSDKQVIYRDFKASNILLDGSYTAKISDFGLAKLGPSASKSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDQNRPSGQHTLVDWVKPHLSERRKLKNIMDHRLEGKYPSKAAFRIAQLALKCLEPEPRNRPSMKEVVETLEQIESINEKPTEPKNRSTHHTTRRRRQQPFHQRSPLAPKHEVGHGYQTPPRVR >KJB31975 pep chromosome:Graimondii2_0_v6:5:60036529:60039318:1 gene:B456_005G217300 transcript:KJB31975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICWGSSADNPTPTTTGCLSSVISQTTSNTASTSISRGSRFSASSGDEVFPNGQILPAPDLRIFSFAELKSATKNFRPEMVLGEGGFGKVFKGWLDEKSPGKSGSGTLIAVKKLNSESLQGFEEWQSEVNFLGRFSHPNLVRLLGYCWEDQELLLVYEFMQKGSLENHLFGRGSTVQSLEWSIRLKIAIGAAKGLSFLHTSDKQVIYRDFKASNILLDGSYTAKISDFGLAKLGPSASKSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDQNRPSGQHTLVDWVKPHLSERRKLKNIMDHRLEGKYPSKAAFRIAQLALKCLEPEPRNRPSMKEVVETLEQIESINEKPTEPKNRSTHHTTRRRRQQPFHQRSPLAPKHEVGHGYQTPPRVR >KJB31972 pep chromosome:Graimondii2_0_v6:5:60036242:60039749:1 gene:B456_005G217300 transcript:KJB31972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICWGSSADNPTPTTTGCLSSVISQTTSNTASTSISRGSRFSASSGDEVFPNGQILPAPDLRIFSFAELKSATKNFRPEMVLGEGGFGKVFKGWLDEKSPGKSGSGTLIAVKKLNSESLQGFEEWQSEVNFLGRFSHPNLVRLLGYCWEDQELLLVYEFMQKGSLENHLFGRGSTVQSLEWSIRLKIAIGAAKGLSFLHTSDKQVIYRDFKASNILLDGSYTAKISDFGLAKLGPSASKSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDQNRPSGQHTLVDWVKPHLSERRKLKNIMDHRLEGKYPSKAAFRIAQLALKCLEPEPRNRPSMKEVVETLEQIESINEKPTEPKNRSTHHTTRRRRQQPFHQRSPLAPKHEVGHGYQTPPRVR >KJB31973 pep chromosome:Graimondii2_0_v6:5:60036360:60039318:1 gene:B456_005G217300 transcript:KJB31973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICWGSSADNPTPTTTGCLSSVISQTTSNTASTSISRGSRFSASSGDEVFPNGQILPAPDLRIFSFAELKSATKNFRPEMVLGEGGFGKVFKGWLDEKSPGKSGSGTLIAVKKLNSESLQGFEEWQSEVNFLGRFSHPNLVRLLGYCWEDQELLLVYEFMQKGSLENHLFGRGSTVQSLEWSIRLKIAIGAAKGLSFLHTSDKQVIYRDFKASNILLDGSYTAKISDFGLAKLGPSASKSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDQNRPSGQHTLVDWVKPHLSERRKLKNIMDHRLEGKYPSKAAFRIAQLALKCLEPEPRNRPSMKEVVETLEQIESINEKPTEPKNRSTHHTTRRRRQQPFHQRSPLAPKHEVGHGYQTPPRVR >KJB28902 pep chromosome:Graimondii2_0_v6:5:8443688:8450689:-1 gene:B456_005G075200 transcript:KJB28902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPNFSQMDWSISWDESYLNAYKEVFRALCLIPILHYVIAFMVIWVVFLYNFLEFHFFQDFFSGFRGAPVALTFHPTSHIYHGVVSKCKILHGRYLATPWLSSPHFQTTFLNFFGNPPAFSYRRQLFHASDGGTIALDWLTSSDISRGAFEVNHTISKEDTTPLVVVIPGLTSDSTSSYIKHLAFGLAKHGWNVVVSNHRGLGGVSITSDCFYNAGWTEDARVVIDYLHHQYPKAPLFAIGTSIGANILVKYLGEDREKVPVAGAVAICSPWDLLIGDRFICRRLLQKLYDRALTIGLQGYAKLHEPRYSLLANWEGIRKSRSIRDFDNFATCLVGKFETVDTYYRRSTSTPYVLNVSVPLLCISALDDPVCTREAIPWDECRANKNIVLATIKHGGHLAFFEGITGSRLWWVRATDEFLGVLHSSSHMHKKKTEITEQKASIESSIDQGPYVNVGEDGMVAAVGSAHAGNKSVEHFTGLGSIQDKTVLGTEQTQQQTEAKSDVAGSGGEVSGECSSLQRIKCFDVIAASKRWLNHLLFRKNGKSVWLLVYIAIITSWPILGSALRIFSRKKKLRNFSAATSHRR >KJB28903 pep chromosome:Graimondii2_0_v6:5:8443732:8450494:-1 gene:B456_005G075200 transcript:KJB28903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPNFSQMDWSISWDESYLNAYKEVFRALCLIPILHYVIAFMVIWVVFLYNFLEFHFFQDFFSGFRGAPVALTFHPTSHIYHGVVSKCKILHGRYLATPWLSSPHFQTTFLNFFGNPPAFSYRRQLFHASDGGTIALDWLTSSDISRGAFEVNHTISKEDTTPLVVVIPGLTSDSTSSYIKHLAFGLAKHGWNVVVSNHRGLGGVSITSDCFYNAGWTEDARVVIDYLHHQYPKAPLFAIGTSIGANILVKYLGEDREKVPVAGAVAICSPWDLLIGDRFICRRLLQKLYDRALTIGLQGYAKLHEPRYSLLANWEGIRKSRSIRDFDNFATCLVGKFETVDTYYRRSTSTPYVLNVSVPLLCISALDDPVCTREAIPWDECRANKNIVLATIKHGGHLAFFEGITGSRLWWVRATDEFLGVLHSSSHMHKKKSRRHQSNHRLIRVLM >KJB28905 pep chromosome:Graimondii2_0_v6:5:8447071:8450494:-1 gene:B456_005G075200 transcript:KJB28905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPNFSQMDWSISWDESYLNAYKEVFRALCLIPILHYVIAFMVIWVVFLYNFLEFHFFQDFFSGFRGAPVALTFHPTSHIYHGVVSKCKILHGRYLATPWLSSPHFQTTFLNFFGNPPAFSYRRQLFHASDGGTIALDWLTSSDISRGAFEVNHTISKEDTTPLVVVIPGLTSDSTSSYIKHLAFGLAKHGWNVVVSNHRGLGGVSITSDCFYNAGWTEDARVVIDYLHHQYPKAPLFAIGTSIGANILVKYLGEDREKVPVAGAVAICSPWDLLVSALSIPKSSY >KJB28904 pep chromosome:Graimondii2_0_v6:5:8443732:8450494:-1 gene:B456_005G075200 transcript:KJB28904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPNFSQMDWSISWDESYLNAYKEVFRALCLIPILHYVIAFMVIWVVFLYNFLEFHFFQDFFSGFRGAPVALTFHPTSHIYHGVVSKCKILHGRYLATPWLSSPHFQTTFLNFFGNPPAFSYRRQLFHASDGGTIALDWLTSSDISRGAFEVNHTISKEDTTPLVVVIPGLTSDSTSSYIKHLAFGLAKHGWNVVVSNHRGLGGVSITSDCFYNAGWTEDARVVIDYLHHQYPKAPLFAIGTSIGANILVKYLGEDREKVPVAGAVAICSPWDLLIGDRFICRRLLQKLYDRALTIGLQGYAKLHEPRYSLLANWEGIRKTVDTYYRRSTSTPYVLNVSVPLLCISALDDPVCTREAIPWDECRANKNIVLATIKHGGHLAFFEGITGSRLWWVRATDEFLGVLHSSSHMHKKKTEITEQKASIESSIDQGPYVNVGEDGMVAAVGSAHAGNKSVEHFTGLGSIQDKTVLGTEQTQQQTEAKSDVAGSGGEVSGECSSLQRIKCFDVIAASKRWLNHLLFRKNGKSVWLLVYIAIITSWPILGSALRIFSRKKKLRNFSAATSHRR >KJB29516 pep chromosome:Graimondii2_0_v6:5:18224717:18226365:1 gene:B456_005G104800 transcript:KJB29516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLQSSLYFPMDNPTILSLLHPTPGEKHKSSSNGGGLLRMFKLFPMLTSGCKMGKPRRKPLLNHTAATCTIFGYRKGRVFLAIQADPNCVPMIVIELPMLTSVLQKEMESDMVRIALESETKTHKKKLLEEFVWAVYCNGRKMGYSIRKKHLCDDELHVTQLLRGVSTGAGVLPTLNHKESSDGELTYMRARFERVVGSKDSEALHMINPDGAPGPELSIFFLRSY >KJB27449 pep chromosome:Graimondii2_0_v6:5:42102933:42105540:-1 gene:B456_005G151300 transcript:KJB27449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELPTFKERNPQLDVVTELIHRQHPHLKGFYKNKNERVVCVKNMTPEDILLYATRLRNALGRKVVKLKTRHVTKHPSVKGTWTTDVKF >KJB30817 pep chromosome:Graimondii2_0_v6:5:46915184:46916500:-1 gene:B456_005G162200 transcript:KJB30817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKTNHFHPLFLFLTISFLIATVAYPIDALESRKLDESTVPGDQGIKCTPSCVQSPPPPSPPPPCPPPPSPPALPPPTPKKSPSQYCPPPPSPPSFIYITGPPGNLYPIDQNFGAASRKFEVGLLALVSGLLVLLAF >KJB30269 pep chromosome:Graimondii2_0_v6:5:34342045:34345946:-1 gene:B456_005G135100 transcript:KJB30269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVRGRIFCSKYSAAPATWVGVRRGRSFVASLPSPSDSPKRKRVSKDERRDLIESFVNRYKSVNAGKFPSVTAAQKEVGGSYYVVRKVLQELEYKSKICSSNSSYENLSAKAANKGDKSFSIVEVVSTVVQDDTCARAMDDVKMHDTNDKQLEADRGSCHDFVLEENSVLKVDAKGLEKQEDDKVGGLEIDDSDNFLIFPDKQKIVEAIDQDLESDKLFKTESQGVQSEFVVVKDDLPKEETQIGNEEGDKREQAMSKESLDSGSPEPKAEHHPKILEKEKYKHHPEFLEEEKYARNPSSEQTENAEGSKKSTLWGNLKSFAGGIINIWRKL >KJB30271 pep chromosome:Graimondii2_0_v6:5:34342985:34345967:-1 gene:B456_005G135100 transcript:KJB30271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVRGRIFCSKYSAAPATWVGVRRGRSFVASLPSPSDSPKRKRVSKDERRDLIESFVNRYKSVNAGKFPSVTAAQKEVGGSYYVVRKVLQELEYKSKICSSNSSYENLSAKAANKGDKSFSIVEVVSTVVQDDTCARAMDDVKMHDTNDKQLEADRGSCHDFVLEENSVLKVDAKGLEKQEDDKVGGLEIDDSDNFLIFPDKQKIVEAIDQDLESDKLFKTESQGVQSEFVVVKDDLPKEETQIGNEEGDKREQAMSKESLDSGSPEPKAEHHPKILEKEKYKHHPEFLEEEKYAR >KJB30268 pep chromosome:Graimondii2_0_v6:5:34342045:34345995:-1 gene:B456_005G135100 transcript:KJB30268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVRGRIFCSKYSAAPATWVGVRRGRSFVASLPSPSDSPKRKRVSKDERRDLIESFVNRYKSVNAGKFPSVTAAQKEVGGSYYVVRKVLQELEYKSKICSSNSSYENLSAKAANKGDKSFSIVEVVSTVVQDDTCARAMDDVKMHDTNDKQLEADRGSCHDFVLEENSVLKVDAKGLEKQEDDKVGGLEIDDSDNFLIFPDKQKIVEAIDQDLESDKLFKTESQGVQSEFVVVKDDLPKEETQIGNEEGDKREQAMSKESLDSGSPEPKAEHHPKILEKEKYKHHPEFLEEEKYARNPSSEQTENAEGSKKSTLWGNLKSFAGGIINIWRKL >KJB30270 pep chromosome:Graimondii2_0_v6:5:34341981:34346171:-1 gene:B456_005G135100 transcript:KJB30270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQVVRGRIFCSKYSAAPATWVGVRRGRSFVASLPSPSDSPKRKRVSKDERRDLIESFVNRYKSVNAGKFPSVTAAQKEVGGSYYVVRKVLQELEYKSKICSSNSSYENLSAKAANKGDKSFSIVEVVSTVVQDDTCARAMDDVKMHDTNDKQLEADRGSCHDFVLEENSVLKVDAKGLEKQEDDKVGGLEIDDSDNFLIFPDKQKIVEAIDQDLESDKLFKTESQGVQSEFVVVKDDLPKEETQIGNEEGDKREQAMSKESLDSGSPEPKAEHHPKILEKEKYKHHPEFLEEEKYARNPSSEQTENAEGSKKSTLWGNLKSFAGGIINIWRKL >KJB32948 pep chromosome:Graimondii2_0_v6:5:64117305:64117915:1 gene:B456_005G269600 transcript:KJB32948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYCLWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTSGQICLVLQATCW >KJB31427 pep chromosome:Graimondii2_0_v6:5:55808531:55814102:-1 gene:B456_005G191400 transcript:KJB31427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMLSLSPNPPITTLPSLRSTFKGNLRNLKPNPFHIIRIRTKQQGVEAQKGTRSLVVVNQAATTQVSVTASNVRFRLDNLGPQPGSRKKGKRKGRGISGGQMPLYRRIPKLRGIAGGMHAGLPKYIPVNLKDIETAGFQEGDEVSLETLKEKGLINPSGRERKLPLKILGDGELKVKLNLKARAFSASAKEKLEAAGCSLTVLPGRKKWVKPSVAKNLARAEEYFAKKRAASSSDSTSA >KJB31426 pep chromosome:Graimondii2_0_v6:5:55808531:55814022:-1 gene:B456_005G191400 transcript:KJB31426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSLQWQPCSHSLQILPSQLYPLFVPLSRNLKPNPFHIIRIRTKQQGVEAQKGTRSLVVVNQAATTQVSVTASNVRFRLDNLGPQPGSRKKGKRKGRGISAGQGGSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRIPKLRGIAGGMHAGLPKYIPVNLKDIETAGFQEGDEVSLETLKEKGLINPSGRERKLPLKILGDGELKVKLNLKARAFSASAKEKLEAAGCSLTVLPGRKKWVKPSVAKNLARAEEYFAKKRAASSSDSTSA >KJB31425 pep chromosome:Graimondii2_0_v6:5:55808531:55814021:-1 gene:B456_005G191400 transcript:KJB31425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LHCNGSHALTLSKSSHHNFTLSSFHFQGSSSFPLSFILCSTSDCLLEFPLRPYLLQGNLRNLKPNPFHIIRIRTKQQGVEAQKGTRSLVVVNQAATTQVSVTASNVRFRLDNLGPQPGSRKKGKRKGRGISAGQGGSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRIPKLRGIAGGMHAGLPKYIPVNLKDIETAGFQEGDEVSLETLKEKGLINPSGRERKLPLKILGDGELKVKLNLKARAFSASAKEKLEAAGCSLTVLPGRKKWVKPSVAKNLARAEEYFAKKRAASSSDSTSA >KJB31428 pep chromosome:Graimondii2_0_v6:5:55808531:55814172:-1 gene:B456_005G191400 transcript:KJB31428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMLSLSPNPPITTLPSLRSTFKGNLRNLKPNPFHIIRIRTKQQGVEAQKGTRSLVVVNQAATTQVSVTASNVRFRLDNLGPQPGSRKKGKRKGRGISAGQGGSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRIPKLRGIAGGMHAGLPKYIPVNLKDIETAGFQEGDEVSLETLKEKGLINPSGRERKLPLKILGDGELKVKLNLKARAFSASAKEKLEAAGCSLTVLPGRKKWVKPSVAKNLARAEEYFAKKRAASSSDSTSA >KJB31600 pep chromosome:Graimondii2_0_v6:5:57937405:57938862:1 gene:B456_005G202600 transcript:KJB31600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFQFQAVNVQPILDVSSWVLHGEFKALVDSSWNSSESIVDNIEQFQEWNKRVYGNMFSCKHNLIVELTRVQRILEVRDSPRLSNRELELKQEIEEVLKHEELLWSQKS >KJB28120 pep chromosome:Graimondii2_0_v6:5:2495404:2499371:1 gene:B456_005G028500 transcript:KJB28120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMSMDNFRGLILAVSSSVFIGSSFIIKKQGLKKAGASGPRAGQGGHSYLYEPWWWAGMITMIIGEIANFAAYAYAPAILVTPLGALSIIFSAVLAHFILKERLHIFGMLGCALCVVGSTTIVLHAPKERHIESVKQVWHLATEPGFLVYFWVALAVVAVIIFHYVPRYGQTHLVVYIGICSLMGSLTVMGVKAVGIALKLSFGGVNQFKYFETWIFTIIVIFCCLLQINYLNKDWNSQGGTEITTELCGFITILSGTFLLHKTKDMGKSSSGRLPVYSSPESNTVAESR >KJB28119 pep chromosome:Graimondii2_0_v6:5:2495244:2499563:1 gene:B456_005G028500 transcript:KJB28119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMSMDNFRGLILAVSSSVFIGSSFIIKKQGLKKAGASGPRAGQGGHSYLYEPWWWAGMITMIIGEIANFAAYAYAPAILVTPLGALSIIFSAVLAHFILKERLHIFGMLGCALCVVGSTTIVLHAPKERHIESVKQVWHLATEPGFLVYFWVALAVVAVIIFHYVPRYGQTHLVVYIGICSLMGSLTVMGVKAVGIALKLSFGGVNQFKYFETWIFTIIVIFCCLLQINYLNKALDTFNTAVVCPVYYVMFTTFTIIASIIMFKDWNSQGGTEITTELCGFITILSGTFLLHKTKDMGKSSSGRLPVYSSPESNTVAESR >KJB28118 pep chromosome:Graimondii2_0_v6:5:2495244:2499563:1 gene:B456_005G028500 transcript:KJB28118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMSMDNFRGLILAVSSSVFIGSSFIIKKQGLKKAGASGPRAGQGGHSYLYEPWWWAGMITMIIGEIANFAAYAYAPAILVTPLGALSIIFSAVLAHFILKERLHIFGMLGCALCVVGSTTIVLHAPKERHIESVKQVWHLATEPGFLVYFWVALAVVAVIIFHYVPRYGQTHLVVYIGICSLMGSLTVMGVKAVGIALKLSFGGVNQFKYFETWIFTIIVIFCCLLQINYLNKDWNSQGGTEITTELCGFITILSGTFLLHKTKDMGKSSSGRLPVYSSPESNTVAESR >KJB28667 pep chromosome:Graimondii2_0_v6:5:6754818:6755493:-1 gene:B456_005G064500 transcript:KJB28667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFAKEAEVSTPLPPAKAFKAFVVDLDTLMPKVAPQAINSVELLQRDGGPGTIKKITFAEDKDNLLYTYVVIESDFFNNVVEKISYETKFVAVADGGTSIKVTTTFYTIGDIQISPDLMLQIKEASEKRALVLKAIENCAGQSVEVGQHAALFSQKQLEPVKLLQSKLLFCFVT >KJB29399 pep chromosome:Graimondii2_0_v6:5:15104296:15106673:1 gene:B456_005G098400 transcript:KJB29399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLTKLIGNISPMELDFECTDLSIWKEALSSYKSRIQSLNKPNLISLDEFYTHDLPSLLRQRNPNPFITTAELSRLMQWKLTRGKLRPRLLNFVSSLDDSSVQSVSQKAFLSLPDISKAISQLTVLKGVGPATASAVLAAYAPETAPFMSDESKSKELSSMGDSFKPSDVERALWSSAVGIKLQSSQTAPDNKIKGSNKRKRKH >KJB29401 pep chromosome:Graimondii2_0_v6:5:15104296:15106673:1 gene:B456_005G098400 transcript:KJB29401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLTKLIGNISPMELDFECTDLSIWKEALSSYKSRIQSLNKPNLISLDEFYTHDLPSLLRQRNPNPFITTAELSRLMQWKLTRGKLRPRLLNFVSSLDDSSVQSVSQKAFLSLPDISKAISQLTVLKGVGPATASAVLAAYAPETAPFMSDELQRLFVKTILVICGKTTEQI >KJB29396 pep chromosome:Graimondii2_0_v6:5:15104348:15104848:1 gene:B456_005G098400 transcript:KJB29396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLTKLIGNISPMELDFECTDLSIWKEALSSYKSRIQSLNKPNLISLDEFYTHDLPSLLRQRNPNPFITTAELSRLMQWKLTRGKLRPRLLNFVSSLDDSSVQSVSQKAFLSLPDISKAISQLTVLKGVGPATASAVLAAYAPETAPFMSDEVTASPVFLYWFFI >KJB29398 pep chromosome:Graimondii2_0_v6:5:15104296:15106673:1 gene:B456_005G098400 transcript:KJB29398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLTKLIGNISPMELDFECTDLSIWKEALSSYKSRIQSLNKPNLISLDEFYTHDLPSLLRQRNPNPFITTAELSRLMQWKLTRGKLRPRLLNFVSSLDDSSVQSVSQKAFLSLPDISKAISQLTVLKGVGPATASAVLAAYAPETAPFMSDEELSSMGDSFKPSDVERALWSSAVGIKLQSSQTAPDNKIKGSNKRKRKH >KJB29400 pep chromosome:Graimondii2_0_v6:5:15104296:15106673:1 gene:B456_005G098400 transcript:KJB29400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLTKLIGNISPMELDFECTDLSIWKEALSSYKSRIQSLNKPNLISLDEFYTHDLPSLLRQRNPNPFITTAELSRLMQWKLTRGKLRPRLLNFVSSLDDSSVQSVSQKAFLSLPDISKAISQLTVLKGVGPATASAVLAAYAPETAPFMSDEAMVAALGSSKDYSLKQYLLFVEKLQSKSKELSSMGDSFKPSDVERALWSSAVGIKLQSSQTAPDNKIKGSNKRKRKH >KJB29397 pep chromosome:Graimondii2_0_v6:5:15104348:15104848:1 gene:B456_005G098400 transcript:KJB29397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLTKLIGNISPMELDFECTDLSIWKEALSSYKSRIQSLNKPNLISLDEFYTHDLPSLLRQRNPNPFITTAELSRLMQWKLTRGKLRPRLLNFVSSLDDSSVQSVSQKAFLSLPDISKAISQLTVLKGVGPATASAVLAAYAPETAPFMSDEVTASPVFLYWFFI >KJB31695 pep chromosome:Graimondii2_0_v6:5:57825290:57826397:1 gene:B456_005G201700 transcript:KJB31695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEIIVMGKEVNARGKNTKMRMVAARQASTQYFLTIFLHSFNFPPKKITFLFSLLPRSFEPDCLFPTMKKSALFSASLAATISAFTSNFQFTSEKETENENSTIKKSTLTEKFAPRFDGLKFIETLITAHR >KJB28662 pep chromosome:Graimondii2_0_v6:5:6420788:6421737:-1 gene:B456_005G061300 transcript:KJB28662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSVILENQKSGRNINIISKKSPQVISKTSMLINTSSSSKVSFVQSELNYGAPAFLEQCFLCKQKLLPAKDIYMYKGDKGFCSVECRCRQILMDEEEILKKANCSLAAMKPPPSSASSSSAHRHGKAGRNHAC >KJB28988 pep chromosome:Graimondii2_0_v6:5:9034150:9037799:1 gene:B456_005G078500 transcript:KJB28988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSNSRNSDLSRRSTTSSSTKPLLLTDQKTNDDNENRLSSTMTVDGILRNVYSVATSTETTLVDASITLIDAPIPNSVSDNPEVPQVQTVADCNNNVAKSVDEVWREIVSGERKEITMKEEVPDEMMTLEDFLAKAGAVEEAAAVASAEVKLHPDRLSGGVYTFDPVGGGAFQILDKMEGSIVGLGNGMEVIGSGGGGGRGKRGRGVLMEPLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVKLEEENEWLLKEKLMEKVVPVVEQRRPPRMLRRVRSLQW >KJB28987 pep chromosome:Graimondii2_0_v6:5:9034150:9037799:1 gene:B456_005G078500 transcript:KJB28987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSNSRNSDLSRRSTTSSSTKPLLLTDQKTNDDNENRLSSTMTVDGILRNVYSVATSTETTLVDASITLIDAPIPNSVSDNPEVPQVQTVADCNNNVAKSVDEVWREIVSGERKEITMKEEVPDEMMTLEDFLAKAGAVEEAAAVASAEVKLHPDRLSGGVYTFDPVGGGAFQILDKMEGSIVGLGNGMEVIGSGGGGGRGKRGRGVLMEPLDKAAQQRQRRMIKNRESAARSRERKHTKLNWNP >KJB28986 pep chromosome:Graimondii2_0_v6:5:9034019:9038022:1 gene:B456_005G078500 transcript:KJB28986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSNSRNSDLSRRSTTSSSTKPLLLTDQKTNDDNENRLSSTMTVDGILRNVYSVATSTETTLVDASITLIDAPIPNSVSDNPEVPQVQTVADCNNNVAKSVDEVWREIVSGERKEITMKEEVPDEMMTLEDFLAKAGAVEEAAAVASAEVKLHPDRLSGGVYTFDPVGGGAFQILDKMEGSIVGLGNGMEVIGSGGGGGRGKRGRGVLMEPLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVKLEEENEWLLKEKAERTKERFKQLMEKVVPVVEQRRPPRMLRRVRSLQW >KJB31943 pep chromosome:Graimondii2_0_v6:5:59740808:59744656:-1 gene:B456_005G215200 transcript:KJB31943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLSKPAQTQIYQIRFESVELFVNEGFKLSKYKYRNRSAATAAEIRIGIPASVLSENPDFYLDFFFRLLSRMIHFVLLISRQGKVRLTKWYSPYSQKERTKVIRELGGVILNRGPKLCNFVDWRGFKVVYKRYASLYFCMCIDQDDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDELLLAGELQESSKKTVARLIAAQDSLVETAKEQASSISNIIAQATK >KJB31944 pep chromosome:Graimondii2_0_v6:5:59740819:59744656:-1 gene:B456_005G215200 transcript:KJB31944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLSKPAQTQIYQIRFESVELFVNEGFKLSKYKYRNRSAATAAEIRIGIPASVLSENPDFYLDFFFRLLSRMIHFVLLISRQGKVRLTKWYSPYSQKERTKKVIRELGGVILNRGPKLCNFVDWRGFKVVYKRYASLYFCMCIDQDDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDELLLAGELQESSKKTVARLIAAQDSLVETAKEQASSISNIIAQATK >KJB29544 pep chromosome:Graimondii2_0_v6:5:19100206:19103327:-1 gene:B456_005G106500 transcript:KJB29544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAMESSVNGGGFSQFQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFENMQWNGSDMASDDTHKSHRSRHRTHKSTGSSHKTMSRSFSCDSQSKGSVSTPRGSTVDLSKLEMAALWRYWRHFNLVDAIPNPSKEQLVDVVQRHFVSQQMDELQVIVGFVQAAKRLKTVCK >KJB29541 pep chromosome:Graimondii2_0_v6:5:19100206:19103465:-1 gene:B456_005G106500 transcript:KJB29541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAMESSVNGGGFSQFQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFENMQWNGSDMASDDTHKSHRSRHRTHKSTGSSHKTMSRSFSCDSQSKGSVSTPRGSTKVDLSKLEMAALWRYWRHFNLVDAIPNPSKEQLVDVVQRHFVSQQMDELQVIVGFVQAAKRLKTVCK >KJB29547 pep chromosome:Graimondii2_0_v6:5:19100080:19103407:-1 gene:B456_005G106500 transcript:KJB29547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAMESSVNGGGFSQFQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFENMQWNGSDMASDDTHKSHRSRHRTHKSTGSSHKTMSRSFSCDSQSKGSVSTPRGSTKVDLSKLEMAALWRYWRHFNLVDAIPNPSKEQLVDVVQRHFVSQQMDELQVIVGFVQAAKRLKTVCK >KJB29546 pep chromosome:Graimondii2_0_v6:5:19100805:19103074:-1 gene:B456_005G106500 transcript:KJB29546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAMESSVNGGGFSQFQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFENMQWNGSDMASDDTHKSHRSRHRTHKSTGSSHKTMSRSFSCDSQSKGSVSTPRGSTKVDLSKLEMAALWRYWRHFNLVSFLLRNNKQYTFVSKGKKDV >KJB29543 pep chromosome:Graimondii2_0_v6:5:19100206:19103302:-1 gene:B456_005G106500 transcript:KJB29543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAMESSVNGGGFSQFQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFENMQWNGSDMASDDTHKSHRSRHRTHKSTGSSHKTMSRSFSCDSQSKGSVSTPRGSTVNNEFCIVCFGLLQYSIANLC >KJB29545 pep chromosome:Graimondii2_0_v6:5:19100621:19103074:-1 gene:B456_005G106500 transcript:KJB29545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAMESSVNGGGFSQFQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFENMQWNGSDMASDDTHKSHRSRHRTHKSTGSSHKTMSRSFSCDSQSKGSVSTPRGSTKVDLSKLEMAALWRYWRHFNLVDAIPNPSKEQLVDVVQRHFVSQVNFLLFLSNQIDSWLVSQQMACVGAANG >KJB29542 pep chromosome:Graimondii2_0_v6:5:19100992:19103074:-1 gene:B456_005G106500 transcript:KJB29542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAMESSVNGGGFSQFQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFENMQWNGSDMASDDTHKSHRSRHRTHKSTGSSHKTMSRSFSCDSQSKGSVSTPRGSTVNNEFCIVCFGLLQYSIANLC >KJB29687 pep chromosome:Graimondii2_0_v6:5:21789699:21791559:1 gene:B456_005G113900 transcript:KJB29687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGIPVKLLHEASGHVVTVELKSGELYRGSMVECEDNWNCQLENITYTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDAKIKGKSSSLGVGRGRAVAMRAKAQAAGRGTTGGRGVVPSVRR >KJB29685 pep chromosome:Graimondii2_0_v6:5:21789699:21791038:1 gene:B456_005G113900 transcript:KJB29685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGIPVKLLHEASGHVVTVELKSGELYRGSMVECEDNWNCQLENITYTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDAKIKVHFLSRCHISYIMHLLEDL >KJB29686 pep chromosome:Graimondii2_0_v6:5:21789789:21791132:1 gene:B456_005G113900 transcript:KJB29686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGIPVKLLHEASGHVVTVELKSGELYRGSMVECEDNWNCQLENITYTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDAKIKGKSSSLGVGRGRAVAMRAKVSSSCRAWHNRW >KJB32094 pep chromosome:Graimondii2_0_v6:5:60564320:60567844:-1 gene:B456_005G223500 transcript:KJB32094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLALQAFSTVTTTPFSLSSRSSLHLRSRPITKISQPSVFPSSSIPTLRSPLKPLLLLPERPKPLISPIRAVSRNDSTPPTETTTSPPPQGAKLFPLFLSVSIGLIVRFLVPKPVEVTPQAWQLLAIFLSTIAGLVLSPLPVGAWAFIGLTTSIVTKTLPFASAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSRPGDSSSKKLGSYLIQSQFQSAGNSSALFLTAAAQNLLCLKLAEELGIVISSPWVSWFKAASLPALVSLLLTPLILYKLYPPETKDTPDAPAMAAKKLENMGPVTRNEWIMVGTMLLAVALWVCGESLGIPSAVAAMIGLSILLLLGVLDWNDCLSEKSAWDTLAWFAVLVGMAGQLTNLGIVSWMSGCVAKVLQSLSLSWPAALGVLQASYFFIHYLFASQTGHVGALYSAFLAMHLAAGVPGMLAALALAYNTNLFGALTHYSSGQAAVYYGAGYVDLPEVFKMGFIMASINAIIWGVVGKFWWKFLGLY >KJB28517 pep chromosome:Graimondii2_0_v6:5:5425034:5426842:-1 gene:B456_005G054400 transcript:KJB28517 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g24315 [Source:Projected from Arabidopsis thaliana (AT3G24315) UniProtKB/TrEMBL;Acc:Q1LYX4] MDKVVEEVEKTKKEWDEAYSKTQQHIKEIQEYGNSTMEETKNKNSLPRLNGLAQDGLALLNSLQFNLDLLAPQLPTDDEVQSAKALLKTWRSQSQSLRMNLRNANLQAKDNMRKTAQKERELLLGGGEESTVRRRNLQTKAGMTSAAESITESLRRSRQLMVQEVERSTNTLMTFEESTGVLKKAESEYKGHRSLLSRTRNLLSTMQRHDVIDRY >KJB28515 pep chromosome:Graimondii2_0_v6:5:5424484:5426989:-1 gene:B456_005G054400 transcript:KJB28515 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g24315 [Source:Projected from Arabidopsis thaliana (AT3G24315) UniProtKB/TrEMBL;Acc:Q1LYX4] MDKVVEEVEKTKKEWDEAYSKTQQHIKEIQEYGNSTMEETKNKNSLPRLNGLAQDGLALLNSLQFNLDLLAPQLPTDDEVQSAKALLKTWRSQSQSLRMNLRNANLQAKDNMRKTAQKERELLLGGGEESTVRRRNLQTKAGMTSAAESITESLRRSRQLMVQEVERSTNTLMTFEESTGVLKKAESEYKGHRSLLSRTRNLLSTMQRHDVIDRIVLIVGFILFSCAVLYVVSKRIGILKLQRTITAAIKAGIAGKPELARKAVEEGINQGRFDGNVVPDKGLPLQQPMRDEL >KJB28516 pep chromosome:Graimondii2_0_v6:5:5424570:5426942:-1 gene:B456_005G054400 transcript:KJB28516 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g24315 [Source:Projected from Arabidopsis thaliana (AT3G24315) UniProtKB/TrEMBL;Acc:Q1LYX4] MDKVVEEVEKTKKEWDEAYSKTQQHIKEIQEYGNSTMEETKNKNSLPRLNGLAQDGLALLNSLQFNLDLLAPQLPTDDEVQSAKALLKTWRSQSQSLRMNLRNANLQAKDNMRKTAQKERELLLGGGEESTVRRRNLQTKAGMTSAAESITESLRRSRQLMVQEVERSTNTLMTFEESTGVLKKAESEYKGHRSLLSRTRNLLSTMQRHDVIDRY >KJB28223 pep chromosome:Graimondii2_0_v6:5:3328555:3331594:-1 gene:B456_005G035100 transcript:KJB28223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLDARQDMVVVEVPKLGKEAATKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRVAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIVGADPLPEIEKPMFELVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLAEAFQPLGISDWNSLFWIAHPGGPAILDQVEAKLALKPEKLRATRHVLSEYGNMSSACVLFILDEMRKKSKEDGLGTTGEGLEWGVLFGFGPGLTVETVVLHSISA >KJB28222 pep chromosome:Graimondii2_0_v6:5:3328530:3331630:-1 gene:B456_005G035100 transcript:KJB28222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVEEVRKAQRAEGPATVLAIGTSTPPNCVDQSTYPDYYFRITNSEHKTELKEKFKRMCEKSMIKKRYMYLTEEILKENPNVCEYMAPSLDARQDMVVVEVPKLGKEAATKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRVAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIVGADPLPEIEKPMFELVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLAEAFQPLGISDWNSLFWIAHPGGPAILDQVEAKLALKPEKLRATRHVLSEYGNMSSACVLFILDEMRKKSKEDGLGTTGEGLEWGVLFGFGPGLTVETVVLHSISA >KJB30124 pep chromosome:Graimondii2_0_v6:5:31865923:31870243:-1 gene:B456_005G130500 transcript:KJB30124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNVINSWTFTALVGAFLDLSIAYLLLCGSTLAYLASKFLGLFGKSLPCPCNGSFGHPHKNNCFQSMLVNNPHLKISSVQTSVKKKIPFDSIWNNFYNEDKDDWHSNFDNWRNGDVAMGGEAMFSSSCNSKKNTIRANIGRFENQRPRIGLRRRKRVANGYGGKFLSFPNDPLASINTTPTGLNPSITTPDDSEDGRETSKEIQLPKQGLQDFEIDDDSFTENKIEKEPAVAEIKCLTPDWNFDGSDKNAIVEQALGEENDARAALYLELEKERIAAATAADEAMAMILRLQEEKATIEMEARQYQRMIEEKFAYDAEEMNILKEILLRREREKHFLEKEVEAFKQMFCEKEVSDMDMYDMAAIYDQKTLNMEQLVMSKKIAESVGEKEKTVHNIDVSEYAMGSIGSLDHAVDFGNELPVSELNEDTASLNYSIEKNHSNPCRNDYEISQKFEARGMTWENENPGHQRSYVQSNLSTTHSRSDLLERAINTVAEEEQNRETSPHQCLTPKATEAKIIFPYNNEKMEKHGEDLHQIDSGINYHILDVHVINDESDLCRTCNNQTIGGLETEPYRRSSSLDRPGRLPPCGPSRVKSLPPISRRNSMSAFDYERLKIDYEVDWLRERLKIVQKGREKLNFPSGRKKGEHFQLQILENPASQLREIQQLTEPRKALRRASLPHPFSKVMSKKKNQRGVLGGVLRSV >KJB30125 pep chromosome:Graimondii2_0_v6:5:31866382:31870173:-1 gene:B456_005G130500 transcript:KJB30125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNVINSWTFTALVGAFLDLSIAYLLLCGSTLAYLASKFLGLFGKSLPCPCNGSFGHPHKNNCFQSMLVNNPHLKISSVQTSVKKKIPFDSIWNNFYNEDKDDWHSNFDNWRNGDVAMGGEAMFSSSCNSKKNTIRANIGRFENQRPRIGLRRRKRVANGYGGKFLSFPNDPLASINTTPTGLNPSITTPDDSEDGRETSKEIQLPKQGLQDFEIDDDSFTENKIEKEPAVAEIKCLTPDWNFDGSDKNAIVEQALGEENDARAALYLELEKERIAAATAADEAMAMILRLQEEKATIEMEARQYQRMIEEKFAYDAEEMNILKEILLRREREKHFLEKEVEAFKQMFCEKEVSDMDMYDMAAIYDQKTLNMEQLVMSKKIAESVGEKEKTVHNIDVSEYAMGSIGSLDHAVDFGNELPVSELNEDTASLNYSIEKNHSNPCRNDYEISQKFEARGMTWENENPGHQRSYVQSNLSTTHSRSDLLERAINTVAEEEQNRETSPHQCLTPKATEAKIIFPYNNEKMEKHGEDLHQIDSGINYHILDVHVINDESDLCRTCNNQTIGGLETEPYRRSSSLDRPGRLPPCGPSRVKSLPPISRRNSMSAFDYERLKIDYEVDWLRERLKIVQKGREKLNFPSGRKKGEHFQLQILENPASQLREIQQLTEPRKALRRASLPHPFSKVSFHSRLE >KJB31955 pep chromosome:Graimondii2_0_v6:5:59878724:59887568:-1 gene:B456_005G215900 transcript:KJB31955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASQTLGGPSRCGRVLGPPLDKIIKNAAWRKHTHLVSSCKSALDKLETLSDTGLSDPTSPLLGISSSDANFVLNPILLALETNYVKVAEPALECTFKLFSLGVARGEIHGNVSNPILYKIVEAVCKVGGIGEESLELAVLRVLLSAIRCPCVLIRGDCLLNVVRTCYNVYLRGLSGTNQICAKSVLAQIMLIVFTRAEEDSIDVSIKTVSVSELLEFSDKNLNEGSSIYHCQNFVSEVMSASEGVPDLKLSQPSKDQELQNVELKTSKWEEEEIGELEAKEGGVESGSGGVSKIREDGFLVFKNLCKLSMKFSSQENDDQILLRGKTLSLELLKAVMDNGGSIWRSNVRQLQSCIFMSLLTKYRTGLKDEIGIFFPMLILRVLENVLQPSFVQKMTVLNLLEKIAADSQIIIDIFVNYDCDVDSPNIFERIVNGLLKTALGPPPASTTTLSAVQDITFRHESVNCLVSIIKSMGAWMDQKLTIGDSDLRKSFKSDTAAEGHSTLTAEDGTVSDCELQPEMNSELSNAATLEQRRAYKIELQKGVSLFNRKPSKGIEFLINTKKVGNSPEEVAAFLKSNTTGLNEAMIGDYLGEREDFALKVMHAYVDSFDFKSMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPSSFTSADTAYVLAYSVIMLNTDAHNSMVKDKMNKSDFIRNNRGIDDGKDLPEEYLGALYDQIVNNEIKMNADSSVPQSMQANSLNKLLGLDGILNLVTWKQTEEKALGANGLLIRQIQEQFKAKSGKSESVYHSVSDVAILRFMVEVCWGPMLAAYSVTLDQSDDRIATTQCLQGFRHAVHVTAVMGMQTQRDAFVTSTAKFTFLHCAADMKQKNVDAVKAIISIAIEDGNHLQESWEHILTCLSRVEHLQLLGEGSSTETSILSVPNTEIDEKVPKPSGIQSLKKKGSLQNPAVMAIVRGGSYDSAAVGANSSGLVTPEQINQFIANLNLLEQIGSSELNHVFVHSQRLNSEAIVAFVKALCKVSIAELQSPTDPRVFSLTKLVEIAHYNMNRIRLVWFRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSNSIEIRELIVRYVSQMVLSRVSNVKSGWKSVFMVFTAAAVDERKNIVLLAFGTMEKIVREYFPHISETDASTFSDCVRCLIKFTNSKFDSDISLNAIGFLRFCAIKLAEGGLVCADKSPDDGSSVSAVTKNDRDLQSFADSDDHASYWVPLLAGLSELTSDSKLAIRKSSTEVLFNVLKGHGHLFSRAFWIGVFSSVVLPLFNGASPVKQDSPTAKSPRPDGSTWDPEISAAAAQSLVDLVIRFFNVLRPQLPNVVSILAGYLKSTKQGPASTGVSATYRLTGELGSRFSKDEWQEILLAIKEAATSTLPGFMKILRSMDDIKVPENSRSSTNTETSSDHGLTKDDLEEDNLQTSAYVVSKMKSFIAVQLLIML >KJB31956 pep chromosome:Graimondii2_0_v6:5:59878898:59887507:-1 gene:B456_005G215900 transcript:KJB31956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASQTLGGPSRCGRVLGPPLDKIIKNAAWRKHTHLVSSCKSALDKLETLSDTGLSDPTSPLLGISSSDANFVLNPILLALETNYVKVAEPALECTFKLFSLGVARGEIHGNVSNPILYKIVEAVCKVGGIGEESLELAVLRVLLSAIRCPCVLIRGDCLLNVVRTCYNVYLRGLSGTNQICAKSVLAQIMLIVFTRAEEDSIDVSIKTVSVSELLEFSDKNLNEGSSIYHCQNFVSEVMSASEGVPDLKLSQPSKDQELQNVELKTSKWEEEEIGELEAKEGGVESGSGGVSKIREDGFLVFKNLCKLSMKFSSQENDDQILLRGKTLSLELLKAVMDNGGSIWRSNVRQLQSCIFMSLLTKYRTGLKDEIGIFFPMLILRVLENVLQPSFVQKMTVLNLLEKIAADSQIIIDIFVNYDCDVDSPNIFERIVNGLLKTALGPPPASTTTLSAVQDITFRHESVNCLVSIIKSMGAWMDQKLTIGDSDLRKSFKSDTAAEGHSTLTAEDGTVSDCELQPEMNSELSNAATLEQRRAYKIELQKGVSLFNRKPSKGIEFLINTKKVGNSPEEVAAFLKSNTTGLNEAMIGDYLGEREDFALKVMHAYVDSFDFKSMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPSSFTSADTAYVLAYSVIMLNTDAHNSMVKDKMNKSDFIRNNRGIDDGKDLPEEYLGALYDQIVNNEIKMNADSSVPQSMQANSLNKLLGLDGILNLVTWKQTEEKALGANGLLIRQIQEQFKAKSGKSESVYHSVSDVAILRFMVEVCWGPMLAAYSVTLDQSDDRIATTQCLQGFRHAVHVTAVMGMQTQRDAFVTSTAKFTFLHCAADMKQKNVDAVKAIISIAIEDGNHLQESWEHILTCLSRVEHLQLLGEGSSTETSILSVPNTEIDEKVPKPSGIQSLKKKGSLQNPAVMAIVRGGSYDSAAVGANSSGLVTPEQINQFIANLNLLEQIGSSELNHVFVHSQRLNSEAIVAFVKALCKVSIAELQSPTDPRVFSLTKLVEIAHYNMNRIRLVWFRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSNSIEIRELIVRYVSQMVLSRVSNVKSGWKSVFMVFTAAAVDERKNIVLLAFGTMEKIVREYFPHISETDASTFSDCVRCLIKFTNSKFDSDISLNAIGFLRFCAIKLAEGGLVCADKSPDDGSSVSAVTKNDRDLQSFADSDDHASYWVPLLAGLSELTSDSKLAIRKSSTEVLFNVLKGHGHLFSRAFWIGVFSSVVLPLFNGASPVKQDSPTAKSPRPDGSTWDPEISAAAAQSLVDLVIRFFNVLRPQLPNVVSILAGYLKSTKQGPASTGVSATYRLTGELGSRFSKDEWQEILLAIKEAATSTLPGFMKILRSMDDIKVPENSRSSTNTETSSDHGLTKDDLEEDNLQTSAYVVSKMKSFIAVQLLIMQVITDIYKANLQFLVASNINIIVEIFSSITSHAQQLNSETVLQKKIKKVCSILETSEPPMVHFENEAYQNYLNFLQDLIKNNSSAPKEMNLRSLVAVCEKILLIYLSCTDYNYARRQKPVEIPVTHWILPLGVAKKEKMAARTPLLVSALKALNCLEKDSCRKYIADIFHLLVDLVRSDHSSNEVQHALSNIFQACIGPIIMP >KJB31088 pep chromosome:Graimondii2_0_v6:5:51315228:51318623:-1 gene:B456_005G175300 transcript:KJB31088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVQSGSFWQHSQSYLDDEILIKKLLLSHDPDRRRLNSEMLLSAVENIVFHATASEELVSDKPVNANFKSNISNIELIESPEPLMHTIYKIAHEMLCKSPGEEDLHTRTMASLDLLGSHRWGAKAALALAAFAISYGEFSLLMQLRPHIPLAVSIANFKQIPSNMSMLKPQVRALRSLSKTMVDLTKCIIEFEALPLVHVGPDMEDLAVMKPKIYVTAYWIIRSTLVCSSQIKSLMAMKPEQVYSNITIAAWELLSLDNRLSSIYSHLRPQVDAFRRQTEAKMHQKLLSLFKKSHIDNQNVLQMLFALKDDLPLKDCSTQEKLGVSALKSKVVLLLISKPDLLPFEQLFFLVNQTYDHPHNDKIEGSYAIIWVPISFYEAWTDAEQKLFDFISNSMPCYLVRQPWSLNSAVVNFMKQEWNYGGEAIMVVLDSEGMITNLNALDMVFIWGSKAYPFSLSRENELWDGEQWKMQLITNEIHPILTQWVEEGRNICIYGSENLDWIREFNAKMKDIKDAGMQHEMIYVGKNNPGEHTKEILSIMNREIHSNLLSFTKIQLFWLRLESMRRSKSRLGNNASTDNVVAQVSALLDNNNDNGWAVYGKGLSTDIVRVEGDEIFRCLNLFRQWGENVGRLEFIDALRTVLEPPLVDGPCNHTQVVPYSEGLVQGNMVCQNCKLLMKKFTIYE >KJB31125 pep chromosome:Graimondii2_0_v6:5:52218972:52219434:1 gene:B456_005G178100 transcript:KJB31125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIDSHPTMEETILVGDDLMMGPPSPVILQEITSHVLEGVELCDGILRNLFLCLQIKDIEPFCQDELALYRQCAKKRVRFLMVIPVA >KJB28187 pep chromosome:Graimondii2_0_v6:5:2973355:2975175:1 gene:B456_005G033000 transcript:KJB28187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHFSTLPWSFRFVFYTNNQTISVASFRLDKKSKSMLNCCNFRKSIILPKFSTINYLVKFFTAFNITTTVYSFASILNYVKIAQHTQLPL >KJB28501 pep chromosome:Graimondii2_0_v6:5:5129169:5130366:1 gene:B456_005G051700 transcript:KJB28501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQVKTPTMSVTKSVVLLSLLCFNVFLVNAIVKNVVNMKEEEEKKLIQGNGFGAWDKGRFYGGHGVARGAGGGGTGTGIGGSGAGARTGAGTGTGTGIGIGGGFGASFGFRRSGVRASFGVGFGLGRGGTETGAGAGTGSGGASGVGKASGGGTGGVGAEGENGGGENRYH >KJB29338 pep chromosome:Graimondii2_0_v6:5:13611146:13615263:-1 gene:B456_005G095500 transcript:KJB29338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFEKETGKDFSQRQLKNRWDALKKEWKAWKKLKGEDTGPRWNPIKRTVDASGDWWESRLQVVPEAQKFRTLGIDPKFKGKLDPMFMGIVATGDKAWTDFFEDVTNEIPEENEEENIRNDVHILNDVHISNDVHISNDVQIDGNGQKRKNPEISSSHFKTGRKKSSKQIEGAARLSSQIEKLCNAADNMSQATSSLTPVMDPYGIPQVVKVLDSMSEEVPEASPLYFFALKLLLNKDKRIMFLSINPKIRALWLKSEMEDS >KJB29339 pep chromosome:Graimondii2_0_v6:5:13611361:13612261:-1 gene:B456_005G095500 transcript:KJB29339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFEKETGKDFSQRQLKNRWDALKKEWKAWKKLKGEDTGPRWNPIKRTVDASGDWWESRLQVVPEAQKFRTLGIDPKFKGKLDPMFMGIVATGDKAWTDFFEDVTNEIPEENEEENIRNDVHILNDVHISNDVHISNDVQIDGNGQKRKNPEISSSHFKTGRKKSSKQIEGAARLSSQIEKLCNAADNMSQATSSLTPVMDPYGIPQVVKVLDSMSEEVPEASPLYFFALKLLLNKDKRIMFLSINPKIRALWLKSEMEDS >KJB29707 pep chromosome:Graimondii2_0_v6:5:22540023:22545612:-1 gene:B456_005G115300 transcript:KJB29707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPMVSSTSPIDEYDHPNFSRKRLKVSDLSADFASSNRGEEQFATEMSCQSNGNSSGISQSCNGGGSSCDKSYSSYAPSSSSYVSGWMYVNDHGQMCGPYIQQQLYEGLSTGFLPDELPVYPVVNGALINPVPLKYFRQFPDHVATGFLYLTSPTASNYLKSSFTNVQHTPSPSPSQFNCNSGEDECWLFEDDESRKHGPHSLLQLYSWHRCGYLADSIMIYHTDDRFRPTQLLSVLNAWKGGQYVAENEQELSVNFISAISEEVSSDLHSRIMKAARRVMLDEIISTMISEFVAAIKSQRPLMVESYNQDAKSSDGKLIKNTMERSIHCTSKFGTATSDGVSNFSIQESTHFDASLKSVGSLENFWGSYTVVCKMLFEYCMQVTWNAVFYDSMVEYLSSWRKGKLWYGQPNVLASASGSIGHGKETENIKATTLFSRMELTAYDIESPPGYELETVSVGNQAEETYISQSAVQEILSKQNSSLHNSGLYGGIQCILEGVGNELHSSVMVFMADYLDGLVKSEAKIVIDLENDKKLNETPDEEAAEKSVCLSVDDELKELQDTVGSSDQCHLASEVDNSDDSEEKKNVSNRMSDLSCNLQNSVQSKKPVCQSISENNYASRQETFMAEAFKRLFGKVGDVSNEQEVNEPPPPGLEVKSGTLVPSHNCKFRPLTSVGCSPKIGEYVAMAMCRQKLHDDVLREWKSSFAGDASLYQFLILRSSSKKHCKADGKEAKTFSEDRKNLAGFSASRDKPRDGSRKSLSSGSSDISLVTGTCTYYRKKKLVHKKVGSSLSTIINGSRDQPVERPRTKRPSKNLLDHADQKLSAATSKKGGTNKSMSQSSNISRSSKIIAKNSLPNDHSLPKSAIGRKTSKGAAAAVRKNLIGEGAIKVGRERASTFQNCDVEKIARKSNHTVGSEGEVTNDSSKKTLKAKKVSGVKRKQLNYDECPSPSIKVQKVASCGSKSSSSRGVADQKSRTVRSRTANPCPRSDGCARTSINGWEWHKWSLNASPAERARVRGVQCIQMKYSGPEVNSMTHLSNSKGLSARTNRVKLRNLLAAVEGADLLKATQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIREHYYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVISVEGQKKIFIYAKRHIAAGEEVTYNYKFPLEEKKIPCNCGSKK >KJB29706 pep chromosome:Graimondii2_0_v6:5:22538082:22545612:-1 gene:B456_005G115300 transcript:KJB29706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPMVSSTSPIDEYDHPNFSRKRLKVSDLSADFASSNRGEEQFATEMSCQSNGNSSGISQSCNGGGSSCDKSYSSYAPSSSSYVSGWMYVNDHGQMCGPYIQQQLYEGLSTGFLPDELPVYPVVNGALINPVPLKYFRQFPDHVATGFLYLTSPTASNYLKSSFTNVQHTPSPSPSQFNCNSGEDECWLFEDDESRKHGPHSLLQLYSWHRCGYLADSIMIYHTDDRFRPTQLLSVLNAWKGGQYVAENEQELSVNFISAISEEVSSDLHSRIMKAARRVMLDEIISTMISEFVAAIKSQRPLMVESYNQDAKSSDGKLIKNTMERSIHCTSKFGTATSDGVSNFSIQESTHFDASLKSVGSLENFWGSYTVVCKMLFEYCMQVTWNAVFYDSMVEYLSSWRKGKLWYGQPNVLASASGSIGHGKETENIKATTLFSRMELTAYDIESPPGYELETVSVGNQAEETYISQSAVQEILSKQNSSLHNSGLYGGIQCILEGVGNELHSSVMVFMADYLDGLVKSEAKIVIDLENDKKLNETPDEEAAEKSVCLSVDDELKELQDTVGSSDQCHLASEVDNSDDSEEKKNVSNRMSDLSCNLQNSVQSKKPVCQSISENNYASRQETFMAEAFKRLFGKVGDVSNEQEVNEPPPPGLEVKSGTLVPSHNCKFRPLTSVGCSPKIGEYVAMAMCRQKLHDDVLREWKSSFAGDASLYQFLILRSSSKKHCKADGKEAKTFSEDRKNLAGFSASRDKPRDGSRKSLSSGSSDISLVTGTCTYYRKKKLVHKKVGSSLSTIINGSRDQPVERPRTKRPSKNLLDHADQKLSAATSKKGGTNKSMSQSSNISRSSKIIAKNSLPNDHSLPKSAIGRKTSKGAAAAVRKNLIGEGAIKVGRERASTFQNCDVEKIARKSNHTVGSEGEVTNDSSKKTLKAKKVSGVKRKQLNYDECPSPSIKVQKVASCGSKSSSSRGVADQKSRTVRSRTANPCPRSDGCARTSINGWEWHKWSLNASPAERARVRGVQCIQMKYSGPEVNSMTHLSNSKGLSARTNRVKLRNLLAAVEGADLLKATQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIREHYYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVISVEGQKKIFIYAKRHIAAGEEVTYNYKFPLEEKKIPCNCGSKKCRGSLN >KJB30404 pep chromosome:Graimondii2_0_v6:5:38507307:38509614:-1 gene:B456_005G141700 transcript:KJB30404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIKFQNTVHHDRILIAFIFLFVDLSLCSPSQTQGELPYMTSDVKEVAGKSFDYIVVGGGTAGCPLAATLSEKFSVLLVERGGSPYGNPWVMEKRYYGFSLIQTDEFSSVAQDFKSADGVRNCRGRVLGGSSAINGGFYSRASEDFIDKVGWDKELVKDAYTWVESKIVSIPELTPWQTVVEFGLLEAGILPYNGYSLEHIEGTKIGGSIFDVWGIRHTSADLLKAANPKNIVVALNATVKNIVFEGNGNASETTVKGIRFIKSDGTTDQTYEAYLNQPKNCTSNGDVILSAGALGSPQILLSSGIGHREHFKKFSIPHVVNLKGVGKKMKDNPSIAVLVDTNPQNRLPDPPQVSGIAKDLKFIIEGGIIPTGFNATRMPIAAKIAFPVSEGELKLSSTDPRQNPSVKFNYLADENDLDDCANMVHLLERVAKSESIAFYLGVKPQNNLMTSTDGQRKFCKANVRTYYHYHGGCTVGSVVDDDYKVYGVKGLRVVDGSTFLESPGTNPMATLLMLGRYQGVKILREREHV >KJB32112 pep chromosome:Graimondii2_0_v6:5:60696717:60697948:-1 gene:B456_005G225000 transcript:KJB32112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSIRHPFFLFQLLLISVSLVTGSHTVSAAARRLFETQTTSSELPQLASKYEKHEEFEYEKPEYKQPKYHEEYPKLEKPEMQKEEKQKPCKQHEEYHESHESKEHKEYEKEKPEFPKWEKPKEHEKHEVEYPKIPEYKVKQDEGKEHKHEEYHESRESKEHEEYEKEKPEFPKLEKPKEHQKHEVEYPEIPEYKKKQDEGKEHKHEFPKHEKEEEKKPEKKAEYLEWAKMPEWSKSMFTQSGSATKP >KJB32570 pep chromosome:Graimondii2_0_v6:5:62732765:62741999:-1 gene:B456_005G247400 transcript:KJB32570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVFMFLSVATSNMVATSLAREDKKEVQHQISVLLFVGLAGGLFMLFFTKFFGSWALTAFTGPNNVHIVPAANTYVQIRGLAWPAVIVGWVAQSASLGMKDSWGPLKALAIASAINGVGDIVLCSFLGYGIAGAAWATMVSQVVAGYMMIDSLNKKGFNAFAISVPSLDDLRTIFTISAPVFITMMAKVAFYALIIYFATNMGTYTVAAHQVMIQTYWMWTVWGEPLSQTAQSFMPELLYGINRNLSKARMLLKSLIIIGAILGLILGIVGTAVPWLFPNIFTADQKVIDEMHKVLAPYFISLAVTPAALSLEGTLLAGHDLKFVSLMMSGCFTLGAIVLLLVSSGGFGLPGCWYALIGFQWARFFLCLQRLLSPTGMLYSEESNDYKPEMLKVA >KJB32569 pep chromosome:Graimondii2_0_v6:5:62732765:62737327:-1 gene:B456_005G247400 transcript:KJB32569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATPLFYPPLRNPNLKSLSFYHSSTFSTRPPLPHLGFSRLLSKDHRFIKNCIVSSQELSHFDTEITAQNGGFSLCDRVNEGEDDKKLGTQNLWSQIKEIMKFTGPATGLWICGPLMSLIDTAVIGQGSSIELAALGPGTVLCDYMSYVFMFLSVATSNMVATSLAREDKKEVQHQISVLLFVGLAGGLFMLFFTKFFGSWALTAFTGPNNVHIVPAANTYVQIRGLAWPAVIVGWVAQSASLGMKDSWGPLKALAIASAINGVGDIVLCSFLGYGIAGAAWATMVSQVVAGYMMIDSLNKKGFNAFAISVPSLDDLRTIFTISAPVFITMMAKVAFYALIIYFATNMGTYTVAAHQVMIQTYWMWTVWGEPLSQTAQSFMPELLYGINRNLSKARMLLKSLIIIGAILGLILGIVGTAVPWLFPNIFTADQKVIDEMHKVLAPYFISLAVTPAALSLEGTLLGMILNSLA >KJB30602 pep chromosome:Graimondii2_0_v6:5:42039549:42042128:1 gene:B456_005G151200 transcript:KJB30602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTKHYCIVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKKVSSYLRKLLPLQNVYKIGGIGTVPIGHVETGFLKPGMVVTFGSSGLTTEVKFVEMHHEALSEALPGVNVGFDVKNVAVKDLKHGFVASNSKDDPAKEAASFISQVIIMNHPGQIGNGYAPVLDFHTSHIAVKFFELLTKIDRRSRKELEKEPKFLKNGDAGMIKMVPTKLMVVETFSEYPPLRRLAVRDMRQTVAVGVIKSVEKRI >KJB27567 pep chromosome:Graimondii2_0_v6:5:24484056:24486459:-1 gene:B456_005G118800 transcript:KJB27567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTPPGSENKILHFKISLSLTSNASSDASPQAPLPMLKSTITGAASTSLSAHKKTKDRGFREGDADRHSRLASRDFESLGTDGGPSPQRCTSKAEPYKTDERTTDIMLEILNLDKKEAVSFNGISNQEFTEVPIDFLDAVL >KJB32664 pep chromosome:Graimondii2_0_v6:5:63198762:63201193:-1 gene:B456_005G254500 transcript:KJB32664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAENGESYSSSEQHSGEIYSQDQSFEKAPIEYSQPLHMSGKITPPADTPTKFTQTEKVKDSPKISSDANMNDEKVNAISSAFSFLIGQDHEESDDEAEEVESVISDSCVSVGKYHVKASISTILQSIFEKYGDIAANCQLESASMRAYYLECLCAVIQELHSIPFKQLTKAKIKEMFAVLKDVESAHIDVDWLRALLNEISEALELVNQRQTFEAKKTKYNQSLESVRKELESKMDELAQKEKEAADAREQVAETKARLDEIEHQCSELDKTISTIASITDKFQGKSLADEIL >KJB32666 pep chromosome:Graimondii2_0_v6:5:63198750:63201741:-1 gene:B456_005G254500 transcript:KJB32666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNGRVHPDCPNAANPYHECGGLCLEKIAEGKGPKAKETKKSLSSKFLDASRSFGRRKKGSDSLLRSPKALETTPAIGAVYPGGPRSPRSHFSRKKMEAENGESYSSSEQHSGEIYSQDQSFEKAPIEYSQPLHMSGKITPPADTPTKFTQTEKVKDSPKISSDANMNDEKVNAISSAFSFLIGQDHEESDDEAEEVESVISDSCVSVGKYHVKASISTILQSIFEKYGDIAANCQLESASMRAYYLECLCAVIQELHSIPFKQLTKAKIKEMFAVLKDVESAHIDVDWLRALLNEISEALELVNQRQTFEAKKTKYNQSLESVRKELESKMDELAQKEKEAADAREQVAETKARLDEIEHQCSELDKTISTIASITDKFQGKSLADEIL >KJB32663 pep chromosome:Graimondii2_0_v6:5:63198762:63201706:-1 gene:B456_005G254500 transcript:KJB32663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNGRVHPDCPNAANPYHECGGLCLEKIAEGKGPKAKETKKSLSSKFLDASRSFGRRKKGSDSLLRSPKALETTPAIGAVYPGGPRSPRSHFSRKKMEAENGESYSSSEQHSGEIYSQDQSFEKAPIEYSQPLHMSGKITPPADTPTKFTQTEKVKDSPKISSDANMNDEKVNAISSAFSFLIGQDHEESDDEAEEVESVISDSCVSVGKYHVKASISTILQSIFEKYGDIAANCQLESASMRAYYLECLCAVIQELHSIPFKQLTKAKIKEMFAVLKDVESAHIDVDWLRALLNEISEALELVNQRQTFEAKKTKYNQSLESVRKELESKMDELAQKEKEAADAREQVAETKARLDEIEHQCSELDKTISTIASITDKFQGKSLADEIL >KJB32665 pep chromosome:Graimondii2_0_v6:5:63199005:63199679:-1 gene:B456_005G254500 transcript:KJB32665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDEKVNAISSAFSFLIGQDHEESDDEAEEVESVISDSCVSVGKYHVKASISTILQSIFEKYGDIAANCQLESASMRAYYLECLCAVIQELHSIPFKQLTKAKIKEMFAVLKDVESAHIDVDWLRALLNEISEALELVNQRQTFEAKKTKYNQSLESVRKELESKMDELAQKEKEAADAREQVAETKARLDEIEHQCSELDKTISTIASITDKFQGKSLADEIL >KJB29317 pep chromosome:Graimondii2_0_v6:5:13161073:13162140:-1 gene:B456_005G093900 transcript:KJB29317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSPPPWGWSTRFITTPFTTGCLPSQYLDPALPKLFWFTLTFPTYPTVVEQFLDIERTSLEGNFNVADFPSFIISFATAPATLANFPPLPSVISMLCMTMPKGILIKVDSSF >KJB27969 pep chromosome:Graimondii2_0_v6:5:1526013:1527977:-1 gene:B456_005G019200 transcript:KJB27969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNNYGHQHPLLLMLNQDQLINYGSGVADCSRCGEKVSAPCFCCVEHCGFYLHKVCAEAPLELNHPFHPHHPLLLMQNAPYSSGRYICNFCGERGNDFVYHCSCPFDFHIKCALFTFNIAENNLKELDHVALQHPLVFTENGDEELEDVAKCFVCWEPLAKYTHFSPDCGFNLHEKCAKLPFKLNHMCHRKHPLVLQFNSEGLSCKVCQETRQINIGFVYGCSPCNFSIHIECVSPSPIIEDKSHQHPFSLILRQAPFICDACGTEGNHAAYACCTCNIIVHKKCISLPHIIKSRWHHHPVSHTYVLHKEHFERLDCMICHIEVNTEYGSYYCVDCNIIFHVNCATRDLDFFYVVSPENEDEKPLDISLNSITNVLERNDAGEATMIEHFKHNHYLTLSDKIREYGNKCCDGCMLLISDSFYYCSECEFFLHKACAELPKMKPIWYHSCQLATLVLTSDHIFRCEICDFLSNGFAYKCNECGSHTCLRCQAIPPDALSCPGHEHPLLFYFDFGGRCSACGLDIEPAYSCKDCNYSVDHFCMLLPTRVSHKCDEHLLALTYHDIHDYSKHHYCDICEQKRDLKRWFYHCTTCDTSAHVKCVLGEYPFIKTGSIYKEGDHPHPVTFVKKIKHYPKCTKCGEPCEELALECAEPE >KJB29377 pep chromosome:Graimondii2_0_v6:5:14690978:14694566:1 gene:B456_005G097200 transcript:KJB29377 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine hydroxymethyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G26780) TAIR;Acc:AT5G26780] MAVAMSFRRLSSSIKSPIRPLFSGSSLYYMSTAAAEKEKARANWIHQLNAPLEEIDPEIANIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQTRALEAFGLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAIMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKRGKEVMYDLEDKINQAVFPGLQGGPHNHTIAGLAVALKQVRTPEYKAYQEQVLSNCSKFSQRLLENGYELVSGGTENHLVLVNLRNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGMGPFTPLVITPSVSFGGLLGPLDFKFFVLM >KJB29375 pep chromosome:Graimondii2_0_v6:5:14690889:14695359:1 gene:B456_005G097200 transcript:KJB29375 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine hydroxymethyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G26780) TAIR;Acc:AT5G26780] MAVAMSFRRLSSSIKSPIRPLFSGSSLYYMSTAAAEKEKARANWIHQLNAPLEEIDPEIANIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQTRALEAFGLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAIMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKRGKEVMYDLEDKINQAVFPGLQGGPHNHTIAADFFDAAVKLALKIKAQTEGTKLKDFVATLQSDTNFQSEIAKLRQEVEEYAKQFPTIGFEKEAMKYKD >KJB29373 pep chromosome:Graimondii2_0_v6:5:14690889:14695359:1 gene:B456_005G097200 transcript:KJB29373 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine hydroxymethyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G26780) TAIR;Acc:AT5G26780] MAVAMSFRRLSSSIKSPIRPLFSGSSLYYMSTAAAEKEKARANWIHQLNAPLEEIDPEIANIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQTRALEAFGLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAIMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKRGKEVMYDLEDKINQAVFPGLQGGPHNHTIAGLAVALKQVRTPEYKAYQEQVLSNCSKFSQRLLENGYELVSGGTENHLVLVNLRNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGTPALTSRGFIEEDFEKVADFFDAAVKLALKIKAQTEGTKLKDFVATLQSDTNFQSEIAKLRQEVEEYAKQFPTIGFEKEAMKYKD >KJB29372 pep chromosome:Graimondii2_0_v6:5:14690889:14695359:1 gene:B456_005G097200 transcript:KJB29372 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine hydroxymethyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G26780) TAIR;Acc:AT5G26780] MAVAMSFRRLSSSIKSPIRPLFSGSSLYYMSTAAAEKEKARANWIHQLNAPLEEIDPEIANIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQTRALEAFGLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAIMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKRGKEVMYDLEDKINQAVFPGLQGGPHNHTIAGLAVALKQRLLENGYELVSGGTENHLVLVNLRNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGMGPFTPLEPQLSHLGVSLRKISRR >KJB29374 pep chromosome:Graimondii2_0_v6:5:14690978:14694625:1 gene:B456_005G097200 transcript:KJB29374 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine hydroxymethyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G26780) TAIR;Acc:AT5G26780] MAVAMSFRRLSSSIKSPIRPLFSGSSLYYMSTAAAEKEKARANWIHQLNAPLEEIDPEIANIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQTRALEAFGLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAIMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKRGKEVMYDLEDKINQAVFPGLQGGPHNHTIAGLAVALKQVRTPEYKAYQEQVLSNCSKFSQRLLENGYELVSGGTENHLVLVNLRNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGMGPFTPLEPQLSHLGVSLRKISRR >KJB29376 pep chromosome:Graimondii2_0_v6:5:14690889:14695359:1 gene:B456_005G097200 transcript:KJB29376 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine hydroxymethyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G26780) TAIR;Acc:AT5G26780] MAVAMSFRRLSSSIKSPIRPLFSGSSLYYMSTAAAEKEKARANWIHQLNAPLEEIDPEIANIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQTRALEAFGLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAIMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKRGKEVMYDLEDKINQAVFPGLQGGPHNHTIAGLAVALKQVRTPEYKAYQEQVLSNCSKFSQRLLENGYELVSGGTENHLVLVNLRNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFIEEDFEKVADFFDAAVKLALKIKAQTEVEGFCSNLTVGYKLSIRNR >KJB29371 pep chromosome:Graimondii2_0_v6:5:14690720:14695375:1 gene:B456_005G097200 transcript:KJB29371 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine hydroxymethyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G26780) TAIR;Acc:AT5G26780] MAVAMSFRRLSSSIKSPIRPLFSGSSLYYMSTAAAEKEKARANWIHQLNAPLEEIDPEIANIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQTRALEAFGLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAIMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKRGKEVMYDLEDKINQAVFPGLQGGPHNHTIAGLAVALKQVRTPEYKAYQEQVLSNCSKFSQRLLENGYELVSGGTENHLVLVNLRNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFIEEDFEKVADFFDAAVKLALKIKAQTEGTKLKDFVATLQSDTNFQSEIAKLRQEVEEYAKQFPTIGFEKEAMKYKD >KJB28991 pep chromosome:Graimondii2_0_v6:5:9060800:9063518:-1 gene:B456_005G078800 transcript:KJB28991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDYQGTSSPLTNIGRSLLPVRRDQVHAMESPIGGSASNEAEIESFQRRVADRFHDLASVPSDELLSLPWVRKLLDVFLCCQEEFRVILFNNIALVKKSPMDRLIADFYERTVKALDVCNAIRDGIEQIRQWQKLLEIVLCALGDSNVGYQRSLGEGQFRRARKALIDLAIGMLDEKDSGQALAHRNRSFGRHNTSGSHSKDHHHRSLGHFRSLSWSVSRSWSAARQLQAIGNNLAAPRGNEILATNGLAVPVYTMGSVLLFVMWALVAAIPCQDRGLQVHFNVPRQFPWSAPILWLHERILEESKKRDRKNACGLLREIYQMEKCSRLLGELADSVQFPLSEDKEREVKQRVKELEQVLDALKEDLEPLEKQVREVFHRIVRSRTEGLDSFARGHNPE >KJB28992 pep chromosome:Graimondii2_0_v6:5:9061781:9063518:-1 gene:B456_005G078800 transcript:KJB28992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDYQGTSSPLTNIGRSLLPVRRDQVHAMESPIGGSASNEAEIESFQRRKLLEIVLCALGDNLAIGMLDEKDSGQALAHRNRSFGRHNTSGSHSKDHHHRSLGHFRSLSWSVSRSWSAARQLQAIGNNLAAPRGNEILATNGLAVPVYTMGSVLLFVMWALVAAIPCQDRGLQVHFNVPRQFPWSAPILWLHERILEESKKRDRKNACGLLREIYQMEKCSRLLGELADSVQFPLSEDKEREVKQRVKELEQVLDALKEDLEPLEKQVREVFHRIVRSRTEGLDSFARGHNPE >KJB29259 pep chromosome:Graimondii2_0_v6:5:12357981:12359263:-1 gene:B456_005G091500 transcript:KJB29259 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14320) UniProtKB/Swiss-Prot;Acc:P42732] MAQTLAMPVAPSLSFICNKVSSMSLSNTASLPVSNPPKHHGLSIVCVRVGGVEIPNNKRVEFSLQYIHGIGRTRARKILCDLQMENKITKDLSEEELITIRDEVSKYMIEGDLVTCSCPSVLF >KJB29258 pep chromosome:Graimondii2_0_v6:5:12357864:12359384:-1 gene:B456_005G091500 transcript:KJB29258 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14320) UniProtKB/Swiss-Prot;Acc:P42732] MAQTLAMPVAPSLSFICNKVSSMSLSNTASLPVSNPPKHHGLSIVCVRVGGVEIPNNKRVEFSLQYIHGIGRTRARKILCDLQMENKITKDLSEEELITIRDEVSKYMIEGDLRRFNALNIRRLKEIQCYRGVRHIQGLPCRGQHTKNNCRTLKGKRVAIAGKKKAPR >KJB32183 pep chromosome:Graimondii2_0_v6:5:61090785:61093900:1 gene:B456_005G228000 transcript:KJB32183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASPISASFNLSLCTQTRPTSISSLSPRNSTKLIVSALPSPYGDSSTMGLSSRTAGLPMKINKKGLLESNPSYDAIQAKTGNPPVMPAVMTPGGPLDLSTVLFRNRIIFIGQPVNSQVAQRVISQLVTLATVDENADILVYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHKIDKMYAAFTGQPLEKVQQYTERDRFLSVSEAMEFGLIDGVLETEY >KJB32184 pep chromosome:Graimondii2_0_v6:5:61091012:61093138:1 gene:B456_005G228000 transcript:KJB32184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASPISASFNLSLCTQTRPTSISSLSPRNSTKLIVSALPSPYGDSSTMGLSSRTAGLPMKINKKGLLESNPSYDAIQAKTGNPPVMPAVMTPGGPLDLSTVLFRNRIIFIGQPVNSQVAQRVISQLVTLATVDENADILVYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHVISSFLILHFF >KJB31706 pep chromosome:Graimondii2_0_v6:5:57936462:57937327:1 gene:B456_005G202500 transcript:KJB31706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIDAHTNIAARGRFARMAMCVDLNKPLVSKVRINGRLQMVEYESLPNVCFTCGLYGHSSSFYLGRQAVGVEVAAGQNESEGEKPNLQNRVDDEPFGPWMLVDCRQKGK >KJB29471 pep chromosome:Graimondii2_0_v6:5:17380523:17382092:-1 gene:B456_005G102300 transcript:KJB29471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANMKLKNFGSNQPLPADGGGNTLLSRQPSIYSLTFDEFQSTMGGIGKDFGSMNMDELLKNIWSAEETQTMAFYSGGLDAYGGLQRQGSLTLPRTLSQKTVDEVWKDISKEYSIGKDGIGTAAGTNHVPQRYQTLGEMTLEEFLVKAGVVREDTQFAGKDNNVNGGFFDGFQQGGRTPNFMGNRLSEVGFQASNLPLNVNGVKSNQHQLPQQQQPIFPRQPAVGFGIQMPLQSGGQLGSPGNRGGITGMGDQGPPNGLIQGGKMGMVGLGGPVSVATGSPANHFSSDGIGKSSADTSSVSPVPYVLNGSSRGRKCSAVEKVAERRQRRMIKNRESAARSRARKQIMRQCGNVIAI >KJB29467 pep chromosome:Graimondii2_0_v6:5:17379927:17384019:-1 gene:B456_005G102300 transcript:KJB29467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANMKLKNFGSNQPLPADGGGNTLLSRQPSIYSLTFDEFQSTMGGIGKDFGSMNMDELLKNIWSAEETQTMAFYSGGLDAYGGLQRQGSLTLPRTLSQKTVDEVWKDISKEYSIGKDGIGTAAGTNHVPQRYQTLGEMTLEEFLVKAGVVREDTQFAGKDNNVNGGFFDGFQQGGRTPNFMGNRLSEVGFQASNLPLNVNGVKSNQHQLPQQQQPIFPRQPAVGFGIQMPLQSGGQLGSPGNRGGITGMGDQGPPNGLIQGGKMGMVGLGGPVSVATGSPANHFSSDGIGKSSADTSSVSPVPYVLNGSSRGRKCSAVEKVAERRQRRMIKNRESAARSRARKQAYTTELEAKLAKLKEENEELRKKHAELMEMQKNQVMEMNMQQGPKKQCLRRTRTGPW >KJB29469 pep chromosome:Graimondii2_0_v6:5:17379927:17382337:-1 gene:B456_005G102300 transcript:KJB29469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANMKLKNFGSNQPLPADGGGNTLLSRQPSIYSLTFDEFQSTMGGIGKDFGSMNMDELLKNIWSAEETQTMAFYSGGLDAYGGLQRQGSLTLPRTLSQKTVDEVWKDISKEYSIGKDGIGTAAGTNHVPQRYQTLGEMTLEEFLVKAGVVREDTQFAGKDNNVNGGFFDGFQQGGRTPNFMGNRLSEVGFQASNLPLNVNGVKSNQHQLPQQQQPIFPRQPAVGFGIQMPLQSGGQLGSPGNRGGITGMGDQGPPNGLIQGGKMGMVGLGGPVSVATGSPANHFSSDGIGKSSADTSSVSPVPYVLNGSSRGRKCSAVEKVAERRQRRMIKNRESAARSRARKQIMRQCGNVIAI >KJB29468 pep chromosome:Graimondii2_0_v6:5:17380988:17382058:-1 gene:B456_005G102300 transcript:KJB29468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANMKLKNFGSNQPLPADGGGNTLLSRQPSIYSLTFDEFQSTMGGIGKDFGSMNMDELLKNIWSAEETQTMAFYSGGLDAYGGLQRQGSLTLPRTLSQKTVDEVWKDISKEYSIGKDGIGTAAGTNHVPQRYQTLGEMTLEEFLVKAGVVREDTQFAGKDNNVNGGFFDGFQQGGRTPNFMGNRLSEVGFQASNLPLNVNGVKSNQHQLPQQQQPIFPRQPAVGFGIQMPLQSGGQLGSPGNRGGITGMGDQGPPNGLIQGGKMGMVGLGGPVSVATGSPANHFSSDGIGKSSADTSSVSPVPYVLNGSSRGRKCSAVEKVAERRQRRMIKNRESAARSRARKQVTICLIYFILV >KJB29470 pep chromosome:Graimondii2_0_v6:5:17379927:17384026:-1 gene:B456_005G102300 transcript:KJB29470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANMKLKNFGSNQPLPADGGGNTLLSRQPSIYSLTFDEFQSTMGGIGKDFGSMNMDELLKNIWSAEETQTMAFYSGGLDAYGGLQRQGSLTLPRTLSQKTVDEVWKDISKEYSIGKDGIGTAAGTNHVPQRYQTLGEMTLEEFLVKAGVVREDTQFAGKDNNVNGGFFDGFQQGGRTPNFMGNRLSEVGFQASNLPLNVNGVKSNQHQLPQQQQPIFPRQPAVGFGIQMPLQSGGQLGSPGNRGGITGMGDQGPPNGLIQGGKMGMVGLGGPVSVATGSPANHFSSDGIGKSSADTSSVSPVPYVLNGSSRGRKCSAVEKVAERRQRRMIKNRESAARSRARKQAYTTELEAKLAKLKEENEELRKKHAELMEMQKNQVMEMNMQQGPKKQCLRRTRTGPW >KJB32877 pep chromosome:Graimondii2_0_v6:5:63920655:63923175:1 gene:B456_005G266200 transcript:KJB32877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENFSLKETKPNIGGGRVSGGREKLTSSFDLVEVTHFLFVRVVKARDLACSNATVGGGVCHTFVEIKIGKYKGSTKYLEMNKRNPEWNQVFAFTKDRIQSLSVEITVREKEFVNDEFIGKIAIDMSDIPTRVPPDSPLAPQWYKLESEANSSVGELMMILWFGTQADEVFIDAWHSDVASVSGDSITNTRSKVYLSPRLWYLRINIIEAQDLVVPGDKNRNPEVYVKAALGNVKLRTRVSADKSLNPRWNEDLMFVAVEPFYDHLVLTVVDKNNEEEFSLGRCMVHLSEAYIRWLPEPVGAKWYNLEGGGDVVEELKFASKLNMRISLDGGYHVFDESIDCSSDYRATFKGLWPPAIGVLELGIIGASVLVPMKSRDGRETTDAYCVAKYGPKWVRTRTVVNSFSPKWNEQYTWEVYDPYTVLIIGIFDNCHLNGEKGPNPKDPSIGKLRIRLSTLSTNRVYTYSYPLIALQPSGVKKMGEIQLALRFTSPSYTSLLAAYARPLFPKMHYIHPLSVYQLDSLRQQAIGILCSRLSSAEPPLRTEVTGFMLDAGYQLWSPRKAKANTERLMDAVHVITEAWKWFDTIKKWNNPAANVLVIVLYLVVVFYPSLVLPTLLIYCFLIGIWQYRKRPRDPTHVDIKLSLADSTNADEWDEEFDTFPSSKQGEVLRMRYDRLRSMAGKVMVMVGDLATQGERLTALWSWQDPVASAIFLALCVMAAVVFCSGLVPPRCILVMVGMFVMRPPSFGIGIPCAPQNVFGRLPTKADCML >KJB28960 pep chromosome:Graimondii2_0_v6:5:8807724:8812717:1 gene:B456_005G077100 transcript:KJB28960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSERWIDGLQFSSLFRPPPQDPQHRKVQITAYVEYFGQFTSEQFPEDIAELVRNRYPSDEQRLFDDVLATFVLHHPEHGHAVVLPIIACIIDGTLMYDKNAPPFSSFISLVCPSSENEYSEQWALACGEILRILTHYNRPICKTELPNSEADRSNSSSQATTSESADGESSFHMPSMRQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLRPPMTASIRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRVGIAADAAAALLFRILSQPALLFPPLRQVEGVAVQHEPSDGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPDIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPEYSREQTRKTRYSMGSALKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQFHGIKRPRSEESYPPDESIEESQAQFEKLRDIKPRKTKNQGPVSAFDSYVLAAVCALACELQLFPLVTSGNTHLIAKNVQAKPNHTKVNGSSIEYGHGIDSAIHHTRRILAILEALFSLKPSSVGTSWTYSSNEIVAAAMVAAHVSELFRQSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVDKAEPLEAQLIYAPVCLDSRTRSKRTNTACCDPPRVSSSEYEDSIHPANNLRCQMVLTSDEGLGNSTGKSVESFPLDASDLANFLTKDRHLGFNCSAQILLRSLLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPAKAATAVVLQVQILFFLKTSAFFIAADLNSLPLFIIWMVSKPAAINFLIV >KJB28962 pep chromosome:Graimondii2_0_v6:5:8806759:8814059:1 gene:B456_005G077100 transcript:KJB28962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSERWIDGLQFSSLFRPPPQDPQHRKVQITAYVEYFGQFTSEQFPEDIAELVRNRYPSDEQRLFDDVLATFVLHHPEHGHAVVLPIIACIIDGTLMYDKNAPPFSSFISLVCPSSENEYSEQWALACGEILRILTHYNRPICKTELPNSEADRSNSSSQATTSESADGESSFHMPSMRQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLRPPMTASIRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRVGIAADAAAALLFRILSQPALLFPPLRQVEGVAVQHEPSDGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPDIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPEYSREQTRKTRYSMGSALKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQFHGIKRPRSEESYPPDESIEESQAQFEKLRDIKPRKTKNQGPVSAFDSYVLAAVCALACELQLFPLVTSGNTHLIAKNVQAKPNHTKVNGSSIEYGHGIDSAIHHTRRILAILEALFSLKPSSVGTSWTYSSNEIVAAAMVAAHVSELFRQSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVDKAEPLEAQLIYAPVCLDSRTRSKRTNTACCDPPRVSSSEYEDSIHPANNLRCQMVLTSDEGLGNSTGKSVESFPLDASDLANFLTKDRHLGFNCSAQILLRSLLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPAKAATAVVLQADRELQPWIAKNDDQGQKMWRINQRIVKLIVELMRNHDIPESLVIVASASDLLLRATDGMLVDGELLEATARAVQPVLEWGESGLAVVDGLSNLLKCRLPATTRCLSHPSAHVRALSISVLRNILQSNPKLEINGIHGPYFSISVIDWHTDIEKCLTWEAHSQLARGMPIHFLDTAAKELGCNISM >KJB28964 pep chromosome:Graimondii2_0_v6:5:8807655:8814059:1 gene:B456_005G077100 transcript:KJB28964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSERWIDGLQFSSLFRPPPQDPQHRKVQITAYVEYFGQFTSEQFPEDIAELVRNRYPSDEQRLFDDVLATFVLHHPEHGHAVVLPIIACIIDGTLMYDKNAPPFSSFISLVCPSSENEYSEQWALACGEILRILTHYNRPICKTELPNSEADRSNSSSQATTSESADGESSFHMPSMRQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLRPPMTASIRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRVGIAADAAAALLFRILSQPALLFPPLRQVEGVAVQHEPSDGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPDIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPEYSREQTRKTRYSMGSALKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQFHGIKRPRSEESYPPDESIEESQAQFEKLRDIKPRKTKNQGPVSAFDSYVLAAVCALACELQLFPLVTSGNTHLIAKNVQAKPNHTKVNGSSIEYGHGIDSAIHHTRRILAILEALFSLKPSSVGTSWTYSSNEIVAAAMVAAHVSELFRQSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVDKAEPLEAQLIYAPVCLDSRTRSKRTNTACCDPPRVSSSEYEDSIHPANNLRCQMVLTSDEGLGNSTGKSVESFPLDASDLANFLTKDRHLGFNCSAQILLRSLLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPAKAATAVVLQADRELQPWIAKNDDQGQKMWRINQRIVKLIVELMRNHDIPESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVVDGLSNLLKVSKCPFRHSQFSICF >KJB28963 pep chromosome:Graimondii2_0_v6:5:8807655:8814059:1 gene:B456_005G077100 transcript:KJB28963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSERWIDGLQFSSLFRPPPQDPQHRKVQITAYVEYFGQFTSEQFPEDIAELVRNRYPSDEQRLFDDVLATFVLHHPEHGHAVVLPIIACIIDGTLMYDKNAPPFSSFISLVCPSSENEYSEQWALACGEILRILTHYNRPICKTELPNSEADRSNSSSQATTSESADGESSFHMPSMRQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLRPPMTASIRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRVGIAADAAAALLFRILSQPALLFPPLRQVEGVAVQHEPSDGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPDIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPEYSREQTRKTRYSMGSALKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQFHGIKRPRSEESYPPDESIEESQAQFEKLRDIKPRKTKNQGPVSAFDSYVLAAVCALACELQLFPLVTSGNTHLIAKNVQAKPNHTKVNGSSIEYGHGIDSAIHHTRRILAILEALFSLKPSSVGTSWTYSSNEIVAAAMVAAHVSELFRQSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVDKAEPLEAQLIYAPVCLDSRTRSKRTNTACCDPPRVSSSEYEDSIHPANNLRCQMVLTSDEGLGNSTGKSVESFPLDASDLANFLTKDRHLGFNCSAQILLRSLLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPAKAATAVVLQGIAAMDCQK >KJB28961 pep chromosome:Graimondii2_0_v6:5:8806759:8814072:1 gene:B456_005G077100 transcript:KJB28961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSERWIDGLQFSSLFRPPPQDPQHRKVQITAYVEYFGQFTSEQFPEDIAELVRNRYPSDEQRLFDDVLATFVLHHPEHGHAVVLPIIACIIDGTLMYDKNAPPFSSFISLVCPSSENEYSEQWALACGEILRILTHYNRPICKTELPNSEADRSNSSSQATTSESADGESSFHMPSMRQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLRPPMTASIRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRVGIAADAAAALLFRILSQPALLFPPLRQVEGVAVQHEPSDGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPDIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPEYSREQTRKTRYSMGSALKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQFHGIKRPRSEESYPPDESIEESQAQFEKLRDIKPRKTKNQGPVSAFDSYVLAAVCALACELQLFPLVTSGNTHLIAKNVQAKPNHTKVNGSSIEYGHGIDSAIHHTRRILAILEALFSLKPSSVGTSWTYSSNEIVAAAMVAAHVSELFRQSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVDKAEPLEAQLIYAPVCLDSRTRSKRTNTACCDPPRVSSSEYEDSIHPANNLRCQMVLTSDEGLGNSTGKSVESFPLDASDLANFLTKDRHLGFNCSAQILLRSLLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPAKAATAVVLQADRELQPWIAKNDDQGQKMWRINQRIVKLIVELMRNHDIPESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVVDGLSNLLKCRLPATTRCLSHPSAHVRALSISVLRNILQSNPKLEINGIHGPYFSISVIDWHTDIEKCLTWEAHSQLARGMPIHFLDTAAKELGCNISM >KJB30883 pep chromosome:Graimondii2_0_v6:5:48743859:48747813:1 gene:B456_005G165700 transcript:KJB30883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEATRAKELAEKKFIAKDINGAKKFALKAQNLYPGLDGIPQMIATLNVYISAENKINGEADWYAVLGVNPLADDESVRKQYRKLALMLHPDKNKSIGAEGAFKLISEAWSLLSDKGRRAAYDKRRYAKVTQTVPTPSGSSKASKAANGFYNAAKTSTSNVKTSKSSNPHAAQSSTPSGRSSNTAAGQSSNPASFHKPKPNTFWTICHRCKMHYEYLRVYLNHNLLCPNCHEPFLAVETAPPTTSTPTPWKFSQQRHNSNGQAGNKSSNNIGRNHSSSYSAAGFASHDSYSQSNFQWGPFSRTGGTSTAAQAASVVQQAYEKVRREREEAQAATKREEAMRKKHHGSKRASGASSSGYTNAAKRRRGMEDGSANVHGTSITNQIGVGNGGAANLSGSKLGSLEAGWINGTNKHNNARDISQIEMQSLLVEKAKREIQKKLNEWNSAAAAKTTSKDIKGNENANEKQNKSFVNNDAQDQKKSEGLVDEINGDHLVKPFLVSSGVGFDAETLEAMSIDVPDPDFHDFDKDRTERSFEDNQVWAAYDDDDGMPRCYAMIHNVISRDPLKMRISWLNSKTNSELGPLDWVGSGFSKTCGEFRIGRHEINSSLNSFSHKVRWTKGMRGTIRIYPRKGDVWAIYRHWSPDWNELTANEVIHKYDMVEILDDYNEELGVTVTPLVKVAGFKTVFHRHLDHREIRRIPREEMFRFSHQVPSYLLTGQEASNAPKGCRELDPAATPVELLQVIMDVKDEEILEKGKVITEENVVDVEKANDGGVVENRDKMKKEEDSGFKALEDVEVLVNVGNT >KJB31961 pep chromosome:Graimondii2_0_v6:5:59941527:59945973:-1 gene:B456_005G216500 transcript:KJB31961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCRGSLKGKLHKGDNQPKDHCSSRNNTSSGRSTTATDYSPSTLNSQQLIAQEFSKETNQKETHLPVINPTKKDNNNNTMRRGIDHQAYYVLGHKTTNIRDLYTLGRKLGQGQFGTTYLCTEISTGTEYACKSISKRKLISNEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDTLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPDQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISDSAKDLIRKMLCSRPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTSMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSVNISMRDAPGAM >KJB31959 pep chromosome:Graimondii2_0_v6:5:59942558:59945324:-1 gene:B456_005G216500 transcript:KJB31959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCRGSLKGKLHKGDNQPKDHCSSRNNTSSGRSTTATDYSPSTLNSQQLIAQEFSKETNQKETHLPVINPTKKDNNNNTMRRGIDHQAYYVLGHKTTNIRDLYTLGRKLGQGQFGTTYLCTEISTGTEYACKSISKRKLISNEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDTLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPDQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISDSAKDLIRKMLCSRPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTSMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIREVDQDNVSHILELADLFHRFL >KJB31960 pep chromosome:Graimondii2_0_v6:5:59941505:59946021:-1 gene:B456_005G216500 transcript:KJB31960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCRGSLKGKLHKGDNQPKDHCSSRNNTSSGRSTTATDYSPSTLNSQQLIAQEFSKETNQKETHLPVINPTKKDNNNNTMRRGIDHQAYYVLGHKTTNIRDLYTLGRKLGQGQFGTTYLCTEISTGTEYACKSISKRKLISNEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDTLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPDQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISDSAKDLIRKMLCSRPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTSMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIREVDQDNECGSVKVIQNYQAH >KJB31957 pep chromosome:Graimondii2_0_v6:5:59941527:59945973:-1 gene:B456_005G216500 transcript:KJB31957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCRGSLKGKLHKGDNQPKDHCSSRNNTSSGRSTTATDYSPSTLNSQQLIAQEFSKETNQKETHLPVINPTKKDNNNNTMRRGIDHQAYYVLGHKTTNIRDLYTLGRKLGQGQFGTTYLCTEISTGTEYACKSISKRKLISNEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDTLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPDQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISDSAKDLIRKMLCSRPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTSMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSVNISMRDAPGAM >KJB31962 pep chromosome:Graimondii2_0_v6:5:59942558:59945324:-1 gene:B456_005G216500 transcript:KJB31962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCRGSLKGKLHKGDNQPKDHCSSRNNTSSGRSTTATDYSPSTLNSQQLIAQEFSKETNQKETHLPVINPTKKDNNNNTMRRGIDHQAYYVLGHKTTNIRDLYTLGRKLGQGQFGTTYLCTEISTGTEYACKSISKRKLISNEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDTLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPDQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISDSAKDLIRKMLCSRPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTSMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIREVDQDNVSHILELADLFHRFL >KJB31958 pep chromosome:Graimondii2_0_v6:5:59942009:59945973:-1 gene:B456_005G216500 transcript:KJB31958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCRGSLKGKLHKGDNQPKDHCSSRNNTSSGRSTTATDYSPSTLNSQQLIAQEFSKETNQKETHLPVINPTKKDNNNNTMRRGIDHQAYYVLGHKTTNIRDLYTLGRKLGQGQFGTTYLCTEISTGTEYACKSISKRKLISNEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDTLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPDQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISDSAKDLIRKMLCSRPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTSMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSVNISMRDAPGAM >KJB29570 pep chromosome:Graimondii2_0_v6:5:19415000:19416547:1 gene:B456_005G108000 transcript:KJB29570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS MNQGGVATTNGGSGSGSVGVRIQQSRRLPDFLQSVNLKYVKLGYHYLISNLLTLCFIPAIAALSIQVSQMNLDDLRQLWLHLQYNLVSIIICSAILVFGLTVYIMTRPRPVYLVDYSCYRPPDHLKAPYGRFLEHSRLTGDFDESSLEFQRKILERSGLGEETYVPKAMHSIPPTPSMAAAREEAEEVMFGALDTLFRNTNVSPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLAKDLLQVHRNTYAVVVSTENITQNWYFGNNKSMLIPNCLFRVGGSAVLLSNKSNDRRRAKYKLIHVVRTHRGADDKAFRCVYQEQDDAGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFTTLAVKKLFNASVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPIHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRIRNRNRIWQIAFGSGFKCNSAVWQALRNVKPSSNGPWEDCIDKYPVKISS >KJB29530 pep chromosome:Graimondii2_0_v6:5:18695030:18697461:1 gene:B456_005G105600 transcript:KJB29530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPTSVSVCRVKGMTYYRSSTTDSSCSGTNLSQGQPKLSLGFETAVLSPPQPKLNHHQPQIYGRDFERNARMINGVKRRMRAPRMRWTTTLHAHFVHAVQLLGGHDRATPKSVLELMNVKNLTLAHVKSHLQMYRTVKSTNKGSANLQTSPQRTQSDPWLSSMETNNLIISGHGNSFTFKSNDAKVEVDKAELQVSDRLKDRLDSSSLSPSNILLNLEFTLGMPLF >KJB31012 pep chromosome:Graimondii2_0_v6:5:50130128:50136570:1 gene:B456_005G172400 transcript:KJB31012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNAASIFWLEEYSGKSLTPVGSKRKAKSKKLEFNGWGSKPLIEFLESIGKDTTEKISQHDVSDIIGKYINDNNLTNPAKKKRVLCDERLYSIFGRKTISRIKVYDLLETHYLENQDAWDDDFFFSSEEENLGEEKKCLRLEKKTYQKKRGFETPKSCFAAIIPENIKLVYLKKSLVLDLSKDFGSFEAKVVDSFVRIKSDPNNFLQKNSHQLVIVKGMKKVSGNNDVNADILLQVSNFVKDVRIAMLSEDDFSQEECEDLHQRMKKGLLKRPTVAELEAKARALHEDITKHWLAAELTLLPKLIDRANEKGWRREMFEYMERRQLLQTPEEQSRLLCEVPNVIAEEVEPETVPQDVKHEKDSSQISTVRETLEIPSNPVSNGKLSTLLPLKPVAQSSPIILKDDTVHCHDVQEQLIRPRDNNVRSTQVGNVPEENGAIVKHDFLKALDTTQVIDLSDDDNDDNEEHEDSNKTEAQDDVRSLIWHYQDPQGDIQGPFSLLSLKNWMDLDYFPDDFKVWKTGQNLNNAVLLADIVGRMFQI >KJB31015 pep chromosome:Graimondii2_0_v6:5:50128660:50136570:1 gene:B456_005G172400 transcript:KJB31015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNAASIFWLEEYSGKSLTPVGSKRKAKSKKLEFNGWGSKPLIEFLESIGKDTTEKISQHDVSDIIGKYINDNNLTNPAKKKRVLCDERLYSIFGRKTISRIKVYDLLETHYLENQDAWDDDFFFSSEEENLGEEKKCLRLEKKTYQKKRGFETPKSCFAAIIPENIKLVYLKKSLVLDLSKDFGSFEAKVVDSFVRIKSDPNNFLQKNSHQLVIVKGMKKVSGNNDVNADILLQVSNFVKDVRIAMLSEDDFSQEECEDLHQRMKKGLLKRPTVAELEAKARALHEDITKHWLAAELTLLPKLIDRANEKGWRREMFEYMERRQLLQTPEEQSRLLCEVPNVIAEEVEPETVPQDVKHEKDSSQISTVRETLEIPSNPVSNGKLSTLLPLKPVAQSSPIILKDDTVHCHDVQEQLIRPRDNNVRSTQVGNVPEENGAIVKHDFLKALDTTQVIDLSDDDNDDNEEHEDSNKTEAQDDVRSLIWHYQDPQGDIQGPFSLLSLKNWMDLDYFPDDFKVWKTGQNLNNAVLLADIVGRMFQI >KJB31011 pep chromosome:Graimondii2_0_v6:5:50128199:50136590:1 gene:B456_005G172400 transcript:KJB31011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNAASIFWLEEYSGKSLTPVGSKRKAKSKKLEFNGWGSKPLIEFLESIGKDTTEKISQHDVSDIIGKYINDNNLTNPAKKKRVLCDERLYSIFGRKTISRIKVYDLLETHYLENQDAWDDDFFFSSEEENLGEEKKCLRLEKKTYQKKRGFETPKSCFAAIIPENIKLVYLKKSLVLDLSKDFGSFEAKVVDSFVRIKSDPNNFLQKNSHQLVIVKGMKKVSGNNDVNADILLQVSNFVKDVRIAMLSEDDFSQEECEDLHQRMKKGLLKRPTVAELEAKARALHEDITKHWLAAELTLLPKLIDRANEKGWRREMFEYMERRQLLQTPEEQSRLLCEVPNVIAEEVEPETVPQDVKHEKDSSQISTVRETLEIPSNPVSNGKLSTLLPLKPGNVAQSSPIILKDDTVHCHDVQEQLIRPRDNNVRSTQVGNVPEENGAIVKHDFLKALDTTQVIDLSDDDNDDNEEHEDSNKTEAQDDVRSLIWHYQDPQGDIQGPFSLLSLKNWMDLDYFPDDFKVWKTGQNLNNAVLLADIVGRMFQI >KJB31016 pep chromosome:Graimondii2_0_v6:5:50128199:50136590:1 gene:B456_005G172400 transcript:KJB31016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNAASIFWLEEYSGKSLTPVGSKRKAKSKKLEFNGWGSKPLIEFLESIGKDTTEKISQHDVSDIIGKYINDNNLTNPAKKKRVLCDERLYSIFGRKTISRIKVYDLLETHYLENQDAWDDDFFFSSEEENLGEEKKCLRLEKKTYQKKRGFETPKSCFAAIIPENIKLVYLKKSLVLDLSKDFGSFEAKVVDSFVRIKSDPNNFLQKNSHQLVIVKGMKKVSGNNDVNADILLQVSNFVKDVRIAMLSEDDFSQEECEDLHQRMKKGLLKRPTVAELEAKARALHEDITKHWLAAELTLLPKLIDRANEKGWRREMFEYMERRQLLQTPEEQSRLLCEVPNVIAEEVEPETVPQDVKHEKDSSQISTVRETLEIPSNPVSNGKLSTLLPLKPVAQSSPIILKDDTVHCHDVQEQLIRPRDNNVRSTQVGNVPEENGAIVKHDFLKALDTTQVIDLSDDDNDDNEEHEDSNKTEAQDDVRSLIWHYQDPQGDIQGPFSLLSLKNWMDLDYFPDDFKVWKTGQNLNNAVLLADIVGRMFQI >KJB31013 pep chromosome:Graimondii2_0_v6:5:50128212:50136795:1 gene:B456_005G172400 transcript:KJB31013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNAASIFWLEEYSGKSLTPVGSKRKAKSKKLEFNGWGSKPLIEFLESIGKDTTEKISQHDVSDIIGKYINDNNLTNPAKKKRVLCDERLYSIFGRKTISRIKVYDLLETHYLENQDAWDDDFFFSSEEENLGEEKKCLRLEKKTYQKKRGFETPKSCFAAIIPENIKLVYLKKSLVLDLSKDFGSFEAKVVDSFVRIKSDPNNFLQKNSHQLVIVKGMKKVSGNNDVNADILLQVSNFVKDVRIAMLSEDDFSQEECEDLHQRMKKGLLKRPTVAELEAKARALHEDITKHWLAAELTLLPKLIDRANEKGWRREMFEYMERRQLLQTPEEQSRLLCEVPNVIAEEVEPETVPQDVKHEKDSSQISTVRETLEIPSNPVSNGKLSTLLPLKPVHCHDVQEQLIRPRDNNVRSTQVGNVPEENGAIVKHDFLKALDTTQVIDLSDDDNDDNEEHEDSNKTEAQDDVRSLIWHYQDPQGDIQGPFSLLSLKNWMDLDYFPDDFKVWKTGQNLNNAVLLADIVGRMFQI >KJB31014 pep chromosome:Graimondii2_0_v6:5:50128212:50136795:1 gene:B456_005G172400 transcript:KJB31014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNAASIFWLEEYSGKSLTPVGSKRKAKSKKLEFNGWGSKPLIEFLESIGKDTTEKISQHDVSDIIGKYINDNNLTNPAKKKRVLCDERLYSIFGRKTISRIKVYDLLETHYLENQDAWDDDFFFSSEEENLGEEKKCLRLEKKTYQKKRGFETPKSCFAAIIPENIKLVYLKKSLVLDLSKDFGSFEAKVVDSFVRIKSDPNNFLQKNSHQLVIVKGMKKVSGNNDVNADILLQVSNFVKDVRIAMLSEDDFSQEECEDLHQRMKKGLLKRPTVAELEAKARALHEDITKHWLAAELTLLPKLIDRANEKGWRREMFEYMERRQLLQTPEEQSRLLCEVPNVIAEEVEPETVPQDVKHEKDSSQISTVRETLEIPSNPVSNGKLSTLLPLKPVAQSSPIILKDDTVHCHDVQEQLIRPRDNNVRSTQVGNVPEENGAIVKHDFLKALDTTQVIDLSDDDNDDNEEHEDSNKTEAQDDVRSLIWHYQDPQGDIQGPFSLLSLKNWMDLDYFPDDFKVWKTGQNLNNAVLLADIVGRMFQI >KJB27772 pep chromosome:Graimondii2_0_v6:5:699951:702984:1 gene:B456_005G010000 transcript:KJB27772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVLNKYYPPDFDPSKLPRARRPKNQQMKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEMTIKTDPQNSDYVVESGATRNFEPWRAEDEEAEKEKQKRESEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDSMLEALQRTAAEKEKKIEEEDEALIKSIFQKPKEFVRRISDDVFDDDEDLTRLLSGNSETSNDGLKRRKVSEESSSNPTDALTKASLPDDSSSKGICSIHHQFISFHRFHDTKMVKKPKHFINVFRIRLVFELVR >KJB27774 pep chromosome:Graimondii2_0_v6:5:699703:703744:1 gene:B456_005G010000 transcript:KJB27774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVLNKYYPPDFDPSKLPRARRPKNQQMKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEMTIKTDPQNSDYVVESGATRNFEPWRAEDEEAEKEKQKRESEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDSMLEALQRTAAEKEKKIEEEDEALIKSIFQPKEFVRRISDDVFDDDEDLTRLLSGNSETSNDGLKRRKVSEESSSNPTDALTKASLPDDSSSKEGSSGSGSRQVKAPFMSSVKISVVKKKPEKKEEKVGNDTSTGLLSLCESYGESDDD >KJB27770 pep chromosome:Graimondii2_0_v6:5:699676:703801:1 gene:B456_005G010000 transcript:KJB27770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVLNKYYPPDFDPSKLPRARRPKNQQMKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEMTIKTDPQNSDYVVESGATRNFEPWRAEDEEAEKEKQKRESEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDSMLEALQRTAAEKEKKIEEEDEALIKSIFQKPKEFVRRISDDVFDDDEDLTRLLSGNSETSNDGLKRRKVSEESSSNPTDALTKASLPDDSSSKEGSSGSGSRQVKAPFMSSVKISVVKKKPEKKEEKVGNDTSTGLLSLCESYGESDDD >KJB27771 pep chromosome:Graimondii2_0_v6:5:699703:702368:1 gene:B456_005G010000 transcript:KJB27771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVLNKYYPPDFDPSKLPRARRPKNQQMKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEMTIKTDPQNSDYVVESGATRNFEPWRAEDEEAEKEKQKRESEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDSMLEALQRTAAEKEKKIEEEDEALIKSIFQVRIGVLLLVSPVVIAPCSRRACF >KJB27773 pep chromosome:Graimondii2_0_v6:5:699703:703744:1 gene:B456_005G010000 transcript:KJB27773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVLNKYYPPDFDPSKLPRARRPKNQQMKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEMTIKTDPQNSDYVVESGATRNFEPWRAEDEEAEKEKQKRESEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDSMLEALQRTAAEKEKKIEEEDEALIKSIFQKPKEFVRRISDDVFDDDEDLTRLLSGNSETSNDGLKRRKVSEESSSNPTDALTKASLPDDSSSKGSSGSGSRQVKAPFMSSVKISVVKKKPEKKEEKVGNDTSTGLLSLCESYGESDDD >KJB28407 pep chromosome:Graimondii2_0_v6:5:4365518:4367929:-1 gene:B456_005G046000 transcript:KJB28407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDILSEEQIVEFKEAFCLFDKDGDGCITVEELATVIRSLDQNPTEEELQDMITEVDADGNGTIEFAEFLNLMAKKMKETDAEEELKEAFKVFDKDQNGYISANELRHVMINLGEKLTDEEVEQMIKEADLDGDGQVNYDEFVKMMTTVG >KJB29054 pep chromosome:Graimondii2_0_v6:5:9928252:9930423:-1 gene:B456_005G082100 transcript:KJB29054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNLSTNILQSIGIFTSLKTLSLYGCGLIGPLPNQGWCDLKNLKVLDVSGNALEGMLPHCFSNLTSLRELDILRNHFQILFSFEPFANLSNLKFFWSNENKMVMEPSFYTSIPKFQLEAISLSKCITSQQLSLKLPTFFYYQYDLRHVDLSQNNFSEIVPTWSLENNKKLEVLILRGNSFKGPLSLSPAPNYNVSLIDISQNKLQDLSNNQFYGKVPEELLAKVSLTILRLSNNNLSGNVVPAVLNVNGLHGKLLRWIGNALFLERLALSNDGFEGSIPMEFCKLNRLEFLDLSQNHLFGSIPSCFNPPKIEHVHLYGNRLRGPLLAFYNSSSLVTLDLKGNNLAGNIPKWIDTLSSLNVLLLKDNHFHGKVPIQLCKLYSLSIIDLSQNMFSGPIPSCLRNLSLPMQTKKILEILFYRPSIEEDEPTRIMIGNLEMDSYYPVSYLEEVIEFTTKSGFYSYKGNIISNMIGIDLSCNNLTGHILPKLGNLSEIHSLNLSHNKLIGVIPSSFSKLQYLESLDLSYNNMSGKIPNQLLFQNQFTINDINCIRR >KJB31462 pep chromosome:Graimondii2_0_v6:5:56222467:56226029:1 gene:B456_005G192800 transcript:KJB31462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSSLFPHFLLSFLFFSLVLAIPNPDLKPLMDFKSAADKSNKLTSWNSTTHVCTWSGVTCFRNRISRLVLENLGLTGSFQPLASLTQLRVLSLKQNHLLGPVPDLSNLTALKLLFLSHNQFTGEFPASVTSLFRLYRLDLSFNNFTGGIPVTINRLTHLLTLRLEENRFSGPVSGLNLPNLQDLNISANRLSGEIPESLSSFPMAAFGSNTALCGAPLEKCKSTGSDPTKPGSDGALASPLMPGRNPTVIASSPSSLPASGNPNRTPNSQRRHNAGKISPLALIAIILGDVLVLALVSLLLYCYFWRNYVAKMRDGKGSKVLEGEKIVYSSSPYPAQPGFERGRMVFFEGVRRFELEDLLRASAEMLGKGGFGTAYKAVLDDGNVLAVKRLKDANVGGKREFEQQMEVLGRLRHPNLVSLKAYYFAREEKLLVYDYMPNGSLFWLLHGNRGPGRTPLDWSTRLKVAAGAARGLAFIHYTCKALKLTHGNIKSTNVLLDKSGDARVSDFGLTIFASPTNNAPRSNGYRAPELSSDGRKPTQKSDVYSFGVLLLEILTGKCPSVVDNGGAAVYGYGGPLDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVGLLQIAMACTSASPDQRPKISHVVKMIDEIRGVEVSPSHDQALDSVSDSPCLSEDTCGGAVSQ >KJB31463 pep chromosome:Graimondii2_0_v6:5:56222691:56225772:1 gene:B456_005G192800 transcript:KJB31463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSSLFPHFLLSFLFFSLVLAIPNPDLKPLMDFKSAADKSNKLTSWNSTTHVCTWSGVTCFRNRISRLVLENLGLTGSFQPLASLTQLRVLSLKQNHLLGPVPDLSNLTALKLLFLSHNQFTGEFPASVTSLFRLYRLDLSFNNFTGGIPVTINRLTHLLTLRLEENRFSGPVSGLNLPNLQDLNISANRLSGEIPESLSSFPMAAFGSNTALCGAPLEKCKSTGSDPTKPGSDGALASPLMPGRNPTVIASSPSSLPASGNPNRTPNSQRRHNAGKISPLALIAIILGDVLVLALVSLLLYCYFWRNYVAKMRDGKGSKVLEGEKIVYSSSPYPAQPGFERGRMVFFEGVRRFELEDLLRASAEMLGKGGFGTAYKAVLDDGNVLAVKRLKDANVGGKREFEQQMEVLGRLRHPNLVSLKAYYFAREEKLLVYDYMPNGSLFWLLHGTEPRTWENTIGLEYKAQSSSRSSSWLGFYSLHMQGS >KJB32336 pep chromosome:Graimondii2_0_v6:5:61827133:61828554:-1 gene:B456_005G236300 transcript:KJB32336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAGNPNTKLILLHPSIHKPTSSPHRFFLLFFITFFALAFSLTLFTTTSISNSVTPSLSTAASSSLPSSVTAALLHYASTAAANSTTHHMSSSELSVIASALHNCPSSPCRFLVFGLTHESLLWHSLNILGHTVFLDESEYLVSSFERSHPEIEAYDIQYGTKVSQMSRLLSTAKSHVGDECRPVQNLLFSDCKLAINDMPNHIYDLSWDVILIDGPRGYFPDAPGRMAPIFTAGVLARSKKRGRETHVFVHDFERDVESLFSDEFLCDENLVETVDSLGHFVVEKREANDGKNSGFCKNTTLSSPASVSSSSGEDVD >KJB32337 pep chromosome:Graimondii2_0_v6:5:61826782:61828896:-1 gene:B456_005G236300 transcript:KJB32337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAGNPNTKLILLHPSIHKPTSSPHRFFLLFFITFFALAFSLTLFTTTSISNSVTPSLSTAASSSLPSSVTAALLHYASTAAANSTTHHMSSSELSVIASALHNCPSSPCRFLVFGLTHESLLWHSLNILGHTVFLDESEYLVSSFERSHPEIEAYDIQYGTKVSQMSRLLSTAKSHVGDECRPVQNLLFSDCKLAINDMPNHIYDLSWDVILIDGPRGYFPDAPGRMAPIFTAGVLARSKKRGRETHVFVHDFERDVESLFSDEFLCDENLVETVDSLGHFVVEKREANDGKNSGFCKNTTLSSPASVSSSSGEDVD >KJB30475 pep chromosome:Graimondii2_0_v6:5:39534074:39536081:1 gene:B456_005G145800 transcript:KJB30475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMGRGVSSGGGQSSLGYLFGSGEAPKPVTNKSEAAPIEAQDVSKPAPAPEQVAKQIPAGINSTRADGQNTGNFITDRPSTKIHAAPGGGSSLDYLFGGGAGGSK >KJB30477 pep chromosome:Graimondii2_0_v6:5:39534225:39536111:1 gene:B456_005G145800 transcript:KJB30477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMGRGVSSGGGQSSLGYLFGSGEAPKPVTNKSEAAPIEAQDVSKPAPAPEQVAKQIPAGINSTRADGQNTGNFITDRPSTKIHAAPGGGSSLDYLFGGGAGGSK >KJB30476 pep chromosome:Graimondii2_0_v6:5:39534074:39536081:1 gene:B456_005G145800 transcript:KJB30476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMGRGVSSGGGQSSLGYLFGSGEAPKPVTNKSEAAPIEAQDVSKPAPAPEQVAKQIPAGINSTRADGQNTGNFITDRPSTKIHAAPGGGSSLDYLFGGGAGGSK >KJB30474 pep chromosome:Graimondii2_0_v6:5:39533990:39536111:1 gene:B456_005G145800 transcript:KJB30474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMGRGVSSGGGQSSLGYLFGSGEAPKPVTNKSEAAPIEAQDVSKPAPAPEQVAKQIPAGINSTRADGQNTGNFITDRPSTKIHAAPGGGSSLDYLFGGGAGGSK >KJB32262 pep chromosome:Graimondii2_0_v6:5:61471906:61473288:1 gene:B456_005G232100 transcript:KJB32262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVHPPPVPGRELSNPPTDGISNLRFSNHSDNLLVSSWDKTVRLYDASASVLRGEFMHGGPVLDCCFHDDSSGFSASADNKVRRIMFSHGKEEILGRHDAPVRCIEYSYAAGQVITGSWDKTLKCWDPRGASGQERTLVGTYPQPERVYSLSLVGNRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGNVYNF >KJB32261 pep chromosome:Graimondii2_0_v6:5:61471894:61475067:1 gene:B456_005G232100 transcript:KJB32261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVHPPPVPGRELSNPPTDGISNLRFSNHSDNLLVSSWDKTVRLYDASASVLRGEFMHGGPVLDCCFHDDSSGFSASADNKVRRIMFSHGKEEILGRHDAPVRCIEYSYAAGQVITGSWDKTLKCWDPRGASGQERTLVGTYPQPERVYSLSLVGNRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEASQAKKYAFKCHRKSEAGRDIVYPVNAIAFHPVYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPTSVAALSFSRDGRLLAVASSYTFEEGDKAHEPDAIFVRSVNEIEVKPKPKVYPNPPA >KJB32260 pep chromosome:Graimondii2_0_v6:5:61471870:61475953:1 gene:B456_005G232100 transcript:KJB32260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVHPPPVPGRELSNPPTDGISNLRFSNHSDNLLVSSWDKTVRLYDASASVLRGEFMHGGPVLDCCFHDDSSGFSASADNKVRRIMFSHGKEEILGRHDAPVRCIEYSYAAGQVITGSWDKTLKCWDPRGASGQERTLVGTYPQPERVYSLSLVGNRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEASQAKKYAFKCHRKSEAGRDIVYPVNAIAFHPVYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPTSVAALSFSRDGRLLAVASSYTFEEGDKAHEPDAIFVRSVNEIEVKPKPKNFAEPK >KJB32263 pep chromosome:Graimondii2_0_v6:5:61471906:61475067:1 gene:B456_005G232100 transcript:KJB32263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARAFCEESSCTVGRYLIAASMMIHLGSVLALTIKLGGQVITGSWDKTLKCWDPRGASGQERTLVGTYPQPERVYSLSLVGNRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEASQAKKYAFKCHRKSEAGRDIVYPVNAIAFHPVYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPTSVAALSFSRDGRLLAVASSYTFEEGDKAHEPDAIFVRSVNEIEVKPKPKVYPNPPA >KJB31896 pep chromosome:Graimondii2_0_v6:5:59623671:59628565:1 gene:B456_005G213600 transcript:KJB31896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETTMSDSWYEVLSVLHLMAVLLLSQANLLLLPNKFTSGYQSTALEDCKRASIDIFLKAAGYLDFAVQKVLPQLPLELRNDLPLDLSEGVLKALCLQALGQGVEIQLGMAIDSIKATLAVKRRLACEMVKYWHQAEEYIKDLPLANGWGEKHKLFIQWKHIEAKAIAYYLHGLILEEGNTSAGIAAAALQAAEEYLKESKMACDSFHVTLPSSRNPPPWGASKYLAERIPKDISSKTINWDSQKHEMIKQVAPALPDFVLSLKPDEYQLPSTDPSWNDLQVQNVVPTK >KJB31892 pep chromosome:Graimondii2_0_v6:5:59622688:59628565:1 gene:B456_005G213600 transcript:KJB31892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLLSTPEVAGGARRRPRNIGEVVVFVPGLRIPRPLDFAQPLGDGLSKSLVERLSALRTRIEVMAGQEAPMTTKPRRTATQHGGSTLTDLQQALEDYLPVLLGLVENGNWLKHNLRFCWINQEDDVEETTMSDSWYEVLSVLHLMAVLLLSQANLLLLPNKFTSGYQSTALEDCKRASIDIFLKAAGYLDFAVQKVLPQLPLELRNDLPLDLSEGVLKALCLQALGQGVEIQLGMAIDSIKATLAVKRRLACEMVKYWHQAEEYIKDLPLANGWGEKHKLFIQWKHIEAKAIAYYLHGLILEEGNTSAGIAAAALQAAEEYLKESKMACDSFHVTLPSSRNPPPWGASKYLAERIPKDISSKTINWDSQKHEMIKQIPPGMIYKFKTWFLPSEASRAKMSDVPQIIIIYYAWKTPFGINQCC >KJB31893 pep chromosome:Graimondii2_0_v6:5:59622688:59628565:1 gene:B456_005G213600 transcript:KJB31893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQEAPMTTKPRRTATQHGGSTLTDLQQALEDYLPVLLGLVENGNWLKHNLRFCWINQEDDVEETTMSDSWYEVLSVLHLMAVLLLSQANLLLLPNKFTSGYQSTALEDCKRASIDIFLKAAGYLDFAVQKVLPQLPLELRNDLPLDLSEGVLKALCLQALGQGVEIQLGMAIDSIKATLAVKRRLACEMVKYWHQAEEYIKDLPLANGWGEKHKLFIQWKHIEAKAIAYYLHGLILEEGNTSAGIAAAALQAAEEYLKESKMACDSFHVTLPSSRNPPPWGASKYLAERIPKDISSKTINWDSQKHEMIKQVAPALPDFVLSLKPDEYQLPSTDPSWNDLQVQNVVPTK >KJB31895 pep chromosome:Graimondii2_0_v6:5:59623423:59628565:1 gene:B456_005G213600 transcript:KJB31895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLLSTPEVAGGARRRPRNIGEVVVFVPGLRIPRPLDFAQPLGDGLSKSLVERLSALRTRIEVMAGQEAPMTTKPRRTATQHGGSTLTDLQQALEDYLPVLLGLVENGNWLKHNLRFCWINQEDDVEETTMSDSWYEVLSVLHLMAVLLLSQANLLLLPNKFTSGYQSTALEDCKRASIDIFLKAAGYLDFAVQKVLPQLPLELRNDLPLDLSEGVLKALCLQALGQGVEIQLGMAIDSIKATLAVKRRLACEMVKYWHQAEEYIKDLPLANGWGEKHKLFIQWKHIEAKAIAYYLHGLILEEGNTSAGIAAAALQAAEEYLKESKMACDSFHVTLPSSRNPPPWGASKYLAERIPKDISSKTINWDSQKHEMIKQVAPALPDFVLSLKPDEYQLPSTDPSWNDLQVQNVVPTK >KJB31891 pep chromosome:Graimondii2_0_v6:5:59622688:59627124:1 gene:B456_005G213600 transcript:KJB31891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLLSTPEVAGGARRRPRNIGEVVVFVPGLRIPRPLDFAQPLGDGLSKSLVERLSALRTRIEVMAGQEAPMTTKPRRTATQHGGSTLTDLQQALEDYLPVLLGLVENGNWLKHNLRFCWINQEDDVEETTMSDSWYEVLSVLHLMAVLLLSQANLLLLPNKFTSGYQSTALEDCKRASIDIFLKAAGYLDFAVQKVLPQLPLELRNDLPLDLSEGVLKALCLQALGQGVEIQLGMAIDSIKATLAVKRRLACEMVKYWHQAEEYIKDLPLANGWGEKHKLFIQWKHIEAKV >KJB31894 pep chromosome:Graimondii2_0_v6:5:59622547:59628605:1 gene:B456_005G213600 transcript:KJB31894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNYCMREELVSLEELNWKEMGCLLSTPEVAGGARRRPRNIGEVVVFVPGLRIPRPLDFAQPLGDGLSKSLVERLSALRTRIEVMAGQEAPMTTKPRRTATQHGGSTLTDLQQALEDYLPVLLGLVENGNWLKHNLRFCWINQEDDVEETTMSDSWYEVLSVLHLMAVLLLSQANLLLLPNKFTSGYQSTALEDCKRASIDIFLKAAGYLDFAVQKVLPQLPLELRNDLPLDLSEGVLKALCLQALGQGVEIQLGMAIDSIKATLAVKRRLACEMVKYWHQAEEYIKDLPLANGWGEKHKLFIQWKHIEAKAIAYYLHGLILEEGNTSAGIAAAALQAAEEYLKESKMACDSFHVTLPSSRNPPPWGASKYLAERIPKDISSKTINWDSQKHEMIKQVAPALPDFVLSLKPDEYQLPSTDPSWNDLQVQNVVPTK >KJB31890 pep chromosome:Graimondii2_0_v6:5:59622688:59628565:1 gene:B456_005G213600 transcript:KJB31890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLLSTPEVAGGARRRPRNIGEVVVFVPGLRIPRPLDFAQPLGDGLSKSLVERLSALRTRIEVMAGQEAPMTTKPRRTATQHGGSTLTDLQQALEDYLPVLLGLVENGNWLKHNLRFCWINQEDDVEETTMSDSWYEVLSVLHLMAVLLLSQANLLLLPNKFTSGYQSTALEDCKRASIDIFLKAAGYLDFAVQKVLPQLPLELRNDLPLDLSEGVLKALCLQALGQGVEIQLGMAIDSIKATLAVKRRLACEMVKYWHQAEEYIKDLPLANGWGEKHKLFIQWKHIEAKAIAYYLHGLILEEGNTSAGIAAAALQAAEEYLKESKMACDSFHVTLPSSRNPPPWGASKYLAERIPKDISSKTINWDSQKHEMIKQVAPALPDFVLSLKPDEYQLPSTDPSWNDLQVQNVVPTK >KJB28188 pep chromosome:Graimondii2_0_v6:5:3012026:3015149:1 gene:B456_005G033200 transcript:KJB28188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALMKLLILAPCCFFFIALIKLLYDYLWIPLRIQHMLNSEGIKGPPYRFIHGNNKEVTKMKQKALSKSVGLTDDLFPKVHPHIYTWTNRYGKNFVHWNGARPELVISEPELVKEVLKNSEQIFQKKKLSDIGRKFLGNGLIFIEGGKWAKHRKLANHTFHGESLKNMTPAIIASVETMLEKWKGQEGKEIEVYQEFRLLTSEVISRTAFGSNYMEGEKIFAILRKLTVIMSRNLSKTRIPLISKLWKSADLLESEKLSKEIKDRLMKIVKKREDKAVNGEVNSFGSDFLGLLLNAYHDSDAKNRISLEDVVAECKTFYFAGQETVNSLLAWIVLHLAIHGDWQEKARREVIDIFGNQNPHLEGIAKLKIMTMIINETLRMYGPPNGLARTVAREVQLGKLVLPAKLDILPLNIGLHRDPQLWGDDVHHFKPERFAEGIAKATNYTAAAFIPFGLGPRSCVGMTFASIETKVALSMILQHYTITLSPAYVHSPIPILTLLPQHGIQVILEPIHSNA >KJB27966 pep chromosome:Graimondii2_0_v6:5:1517480:1519153:-1 gene:B456_005G0190001 transcript:KJB27966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNNYGHQHPLLLMLNQDQLIHNQSGVTDCSRCGEKVSAPCFCCAEHCGFYLHKVCAEAPLELNHPFHPHHPLLLMQNAPYSSGRYICNFCDKGGNEFVYHCSCHFDFHIKCALFTFNIAENNLKELEHVALQDKELEDDNKCFGCQEPLTNYTHFSPDCGFNLHEKCAELPFKLNHGCHRKHPLVLQFNSQRLSCKICRVTRRSTESGFFYGCSPCKFVVHIECASQSPLQVIKSTNHEHPFTLFNGHQHPLLLMLNQEQLIDNQGGVTDCSRCGEKVSAPCFYCAEHCGFYLHKVCAEAPLALNHPFHLNHPLLLMQKSPHLSGAYFCTFCHEFGDKSVYHCSSCELDFHIKCALFTLNIAENNLKELEHVALHDPLISTENGDKKLKDVSKCFGCWEPLAMYTHFSPDCGFNLHEKCAELPFKLNHVYHRKHPLVLQFNSKRLSCKICQVPRRRGFVYGCSPCKFVVHIECASQSSLQVIKNTNHEHPFTLFLRQVPFTCDACGTEGNHVSYTCGTCNIIIHKNCISLPRIIKSKWHDHPLIHTYFHHIEDFR >KJB29117 pep chromosome:Graimondii2_0_v6:5:10525425:10527948:-1 gene:B456_005G085100 transcript:KJB29117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g43980, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G43980) UniProtKB/Swiss-Prot;Acc:Q9LP03] MRPFLKPIYWSQKPTLSHYNNLINHCLSLNSIKFAQTIHAQLIKFGFSGTTFLGNRFVDLYLKFGSFHDVSKVFEEINDKNIISWNIWLNGLLKFGHFKKACSLFDEMPEKDVVSWNSMISGCGLLGFWDHGLEVFKQMQNFGVRPSKFTFSILTTLVSCARQGKEIHCNMITSGVCLSNLVIGNSLIDMYGKLGLVQYAFGVLLSMEEVDVVSWNSLISGCCKSGHEDLALEQFDQMRASGYSPDEYTLSNAIAVCTNLRNLDKGNQIFALCIKMGFISNPIVSSAIIDLFSKCNVLKDSVKHFEEVQRWDSLVCNSMISGYARHGLQDDVFLLFLLAFREDCRPTEFTLSSILSCINFLSVEQGPQIHSLVVKSGFSSELIVATSLVDMYTNIGLIDSAMQVFSEMHVKDIISWNTLIIGLAHNGRAVETLELFKELLREGLARDRITLSGILLACRCGGFVDVGMSIFSSMEEEFGVTPRDEHYACVIDMLCHAGKFKEAFDTLETMPFEPSFLVWKSLALSTTTYTNLNITETIAKKMIEQKPQSSLPYSVLNRAYEMSGKWEGIIRVKKAMKQRLKKTVGCSWIGTKNHVFMFEADRLQHEGGKDIYLILELLTWELEENSSIHVELEIEGAEG >KJB30478 pep chromosome:Graimondii2_0_v6:5:39557437:39559684:1 gene:B456_005G145900 transcript:KJB30478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKLFTFLLGSFQTTFSRQFCNLNTGGGGNFNAPFLFSIYTIRFALFTQISLPFLSQPWINTPAAVAVGSAVGALLDPRRADLIAALGETTGKPAFERVLERMRRSPEGRAVLIERPRVISAKVGHAWDLPENTFGAAYARFMGSRNFSPDDRPPVRFMDTDELAYVAMRAREVHDLWHTLFGLPTNLIGESALKVIEFEQMHLPMCLLSVVGGTSRFNAKQRKLFFQHYFPWAVKAGFQCTDLMCVYYEQHFHEDLEDVRRKWGVIPAPTVPK >KJB30479 pep chromosome:Graimondii2_0_v6:5:39557540:39559684:1 gene:B456_005G145900 transcript:KJB30479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIERPRVISAKVGHAWDLPENTFGAAYARFMGSRNFSPDDRPPVRFMDTDELAYVAMRAREVHDLWHTLFGLPTNLIGESALKVIEFEQMHLPMCLLSVVGGTSRFNAKQRKLFFQHYFPWAVKAGFQCTDLMCVYYEQHFHEDLEDVRRKWGVIPAPTVPK >KJB30480 pep chromosome:Graimondii2_0_v6:5:39557540:39559700:1 gene:B456_005G145900 transcript:KJB30480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIERARIKLSPWQQAAVAVGSAVGALLDPRRADLIAALGETTGKPAFERVLERMRRSPEGRAVLIERPRVISAKVGHAWDLPENTFGAAYARFMGSRNFSPDDRPPVRFMDTDELAYVAMRAREVHDLWHTLFGLPTNLIGESALKVIEFEQMHLPMCLLSVVGGTSRFNAKQRKLFFQHYFPWAVKAGFQCTDLMCVYYEQHFHEDLEDVRRKWGVIPAPTVPK >KJB28304 pep chromosome:Graimondii2_0_v6:5:3929149:3933222:1 gene:B456_005G041100 transcript:KJB28304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKGPSQQQDNEPMPSFKRLRLFEPSVGVLGFFLAAVCVICCFFYLDYRAVVKGYRVPTQSDRFMWLKLDGSSSSSSSSSSSEIVKRVDFLSFEGEGCDVFDGDWVWDETYPLYESRDCSFLDEGFRCTENGRPDMFYTKWRWQPKHCNLPRFDGKVMLEMLRNKRLVFVGDSIGRNQWESLLCMLASAVANKDSIYEVNGNPITKHKGFLIFKFKDYNCTVEYYRSPFLVVQSRPPAGSPQNIKTTLKLDRMDWNSFRWKDADLLVFNTGHWWNYEKTVRGGCYFQVGEKIETKMTIEHAYQRSLETVMQWLRNEVNMSKTKVYFRTFAPVHFRGGDWRTGGSCHLETLPELGTTLVPSETWELLEIVKDVLSPHSNTSHGIKFDILNITQMTAPRKDGHASLYYLGPKESPAPIHRQDCSHWCLPGVPDAWNELLYAVFLKQSTNHTFNSSSTL >KJB28303 pep chromosome:Graimondii2_0_v6:5:3929266:3933136:1 gene:B456_005G041100 transcript:KJB28303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKGPSQQQDNEPMPSFKRLRLFEPSVGVLGFFLAAVCVICCFFYLDYRAVVKGYRVPTQSDRFMWLKLDGSSSSSSSSSSSEIVKRVDFLSFEGEGCDVFDGDWVWDETYPLYESRDCSFLDEGFRCTENGRPDMFYTKWRWQPKHCNLPRFDGKVMLEMLRNKRLVFVGDSIGRNQWESLLCMLASAVANKDSIYEVNGNPITKHKGFLIFKFKDYNCTVEYYRSPFLVVQSRPPAGSPQNIKTTLKLDRMDWNSFRWKDADLLVFNTGHWWNYEKTVRGGCYFQVGEKIETKMTIEHAYQRSLETVMQWLRNEVNMSKTKVYFRTFAPVHFRGGDWRTGGSCHLETLPELGTTLVPSETWELLEIVKDVLSPHSNTSHGIKFDILNITQMTAPRKDGHASLYYLGPKESPAPIHRQDCSHWCLPGVPDAWNELLYAVFLKQSTNHTFNSSSTL >KJB28243 pep chromosome:Graimondii2_0_v6:5:3471923:3474845:1 gene:B456_005G036700 transcript:KJB28243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKRVAVDARHRMLGRLASILAKELLNGQKVVVVRCEEICMWGGLVSQKMNLMRFLRKRMNTEPSHGFIQFPAPLTSSGVPFVGVLFFSRVIFPF >KJB28246 pep chromosome:Graimondii2_0_v6:5:3471923:3475280:1 gene:B456_005G036700 transcript:KJB28246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKRVAVDARHRMLGRLASILAKELLNGQKVVVVRCEEICMWGGLVSQKMNLMRFLRKRMNTEPSHGFIQFPAPLTSSGVPFVG >KJB28244 pep chromosome:Graimondii2_0_v6:5:3471923:3474845:1 gene:B456_005G036700 transcript:KJB28244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKRVAVDARHRMLGRLASILAKELLNGQKVVVVRCEEICMWGGLVSQKMNLMRFLRKRMNTEPSHGFIQFPAPLTSSGVPFVGVLFFSRVIFPF >KJB28245 pep chromosome:Graimondii2_0_v6:5:3471923:3475280:1 gene:B456_005G036700 transcript:KJB28245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKRVAVDARHRMLGRLASILAKELLNGQKVVVVRCEEICMWGGLVSQKMNLMRFLRKRMNTEPSHGFIQFPAPLTSSGVPFVG >KJB29728 pep chromosome:Graimondii2_0_v6:5:22835401:22847058:1 gene:B456_005G116100 transcript:KJB29728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSRINFPRQKAQSTQVRCKSSRCGGALGAKCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAAISRNCTMLHPGYGFLSENAVFVEMCRDHRINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEAIKLAHEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYKQEDIVLRGHSIECRINAEDAFKGFRPRPGRITSYLPSGGPFVRMDSHVYSDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTVITGVPTTIEYHKLILDIEDFRNGKVDTAFIPKHEEELAAPQKMVVAKSPAKELTSATA >KJB29723 pep chromosome:Graimondii2_0_v6:5:22834836:22847058:1 gene:B456_005G116100 transcript:KJB29723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDASLTMCKSVTSPPGLFLGRSRVIRSSQCTFMVGSRINFPRQKAQSTQVRCKSSRCGGALGAKCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAAISRNCTMLHPGYGFLSENAVFVEMCRDHRINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEAIKLAHEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYKQEDIVLRGHSIECRINAEDAFKGFRPRPGRITSYLPSGGPFVRMDSHVYSDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTVITGVPTTIEYHKLILDIEDFRNGKVDTAFIPKHEEELAAVSS >KJB29724 pep chromosome:Graimondii2_0_v6:5:22834836:22847058:1 gene:B456_005G116100 transcript:KJB29724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDASLTMCKSVTSPPGLFLGRSRVIRSSQCTFMVGSRINFPRQKAQSTQVRCKSSRCGGALGAKCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAAISRNCTMLHPGYGFLSENAVFVEMCRDHRINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEAIKLAHEIGFPPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYKQEDIVLRGHSIECRINAEDAFKGFRPRPGRITSYLPSGGPFVRMDSHVYSDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTVITGVPTTIEYHKLILDIEDFRNGKVDTAFIPKHEEELAAPQKMVVAKSPAKELTSATA >KJB29725 pep chromosome:Graimondii2_0_v6:5:22835132:22846054:1 gene:B456_005G116100 transcript:KJB29725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDASLTMCKSVTSPPGLFLGRSRVIRSSQCTFMVGSRINFPRQKAQSTQVRCKSSRCGGALGAKCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAAISRNCTMLHPGYGFLSENAVFVEMCRDHRINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEAIKLAHEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYKQEDIVLRGHSIECRINAEDAFKGFRPRPGRITSYLPSGGPFVRMDSHVYSDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTVITGVPTTIEYHKLILDIEVGGAIF >KJB29722 pep chromosome:Graimondii2_0_v6:5:22834836:22847058:1 gene:B456_005G116100 transcript:KJB29722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDASLTMCKSVTSPPGLFLGRSRVIRSSQCTFMVGSRINFPRQKAQSTQVRCKSSRCGGALGAKCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAAISRNCTMLHPGYGFLSENAVFVEMCRDHRINFIGPNNAGVPTVPGSDGLLQSTEEAIKLAHEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYKQEDIVLRGHSIECRINAEDAFKGFRPRPGRITSYLPSGGPFVRMDSHVYSDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTVITGVPTTIEYHKLILDIEDFRNGKVDTAFIPKHEEELAAPQKMVVAKSPAKELTSATA >KJB29726 pep chromosome:Graimondii2_0_v6:5:22834836:22847070:1 gene:B456_005G116100 transcript:KJB29726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICYCRHFLFFSMAMDASLTMCKSVTSPPGLFLGRSRVIRSSQCTFMVGSRINFPRQKAQSTQVRCKSSRCGGALGAKCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAAISRNCTMLHPGYGFLSENAVFVEMCRDHRINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEAIKLAHEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYKQEDIVLRGHSIECRINAEDAFKGFRPRPGRITSYLPSGGPFVRMDSHVYSDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTVITGVPTTIEYHKLILDIEDFRNGKVDTAFIPKHEEELAAPQKMVVAKSPAKELTSATA >KJB29721 pep chromosome:Graimondii2_0_v6:5:22834836:22845441:1 gene:B456_005G116100 transcript:KJB29721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDASLTMCKSVTSPPGLFLGRSRVIRSSQCTFMVGSRINFPRQKAQSTQVRCKSSRCGGALGAKCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAAISRNCTMLHPGYGFLSENAVFVEMCRDHRINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEAIKLAHEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYKQEDIVLRGHSIECRINAEDAFKGFRPRPGRITSYLPSGGPFVRMDSHVYSDYVVPPSYDSLLGKVCNIYFLCYSSL >KJB29727 pep chromosome:Graimondii2_0_v6:5:22834836:22847071:1 gene:B456_005G116100 transcript:KJB29727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDASLTMCKSVTSPPGLFLGRSRVIRSSQCTFMVGSRINFPRQKAQSTQVRCKSSRCGGALGAKCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAAISRNCTMLHPGYGFLSENAVFVEMCRDHRINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEAIKLAHEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYKQEDIVLRGHSIECRINAEDAFKGFRPRPGRITSYLPSGGPFVRMDSHVYSDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTVITGVPTTIEYHKLILDIEDFRNGKVDTAFIPKHEEELAAPQKMVVAKSPAKELTSATA >KJB31633 pep chromosome:Graimondii2_0_v6:5:57445220:57450292:1 gene:B456_005G199500 transcript:KJB31633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKVISRSTDEFTRERSQDLQRVFRNFDPSLRSQEKAVEYVRALNAAKLDKIFARPFIGAMDGHRDSIKCMAKNPNYLKAIFSGSDDGDIRLWDLASRRTVCQFPGHQGAVQGLTVSTDGSILVSCGSDCTVRLWNVPDANLADSDILSDNSAKPVAVYVWKNAFRAVDHQWDGDLFATAGAQVDIWNHNRSQPVNTFDWGADTTISVRFNPGEPNILATSANDRSITLYDLRMSSPARKVIMRTKTNSIAWNPMEPMNFTAANEDCNCYSYDARKLEEAKCVHKDHVSAVMDIDFSPTGREFVTGSYDRTVRIFQYNGGHSREIYHTKRMQRVFCVKYSCDASYVISGSDDTNLRLWKAKASEQLGVVLPRERKKHEYLEAVKNRYKHLPEVKRIVRHRHLPRPVYKAANLRRTMIEAERRKEQRRKAHSAPGSIVTEPVRKRRIIKEVE >KJB32059 pep chromosome:Graimondii2_0_v6:5:60362340:60364699:1 gene:B456_005G220900 transcript:KJB32059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRERCNGCKLYLMVPPEAQSIRCAVCHTITNTNNAAIARWGHVHDSLIGPRRTSPHGSGTHAFPYVYGPHQRPPQPRPPLSPVSVHGRKRALLCGLNYYGKPYGLKGSINDVKCMRYLLVEKLGFPIDSILMLTEDEKDPYKIPTKQNIRKGLKWLVYGCQPGDSLVFHFSGHGDRQIDYDNDEVDGFDEALCPLDHDTEGKIIDDEINATIVRPLPRGAMLHAIIDACHSGTILDLPFVCRMNKEGFYIWEDQRKPSFYKGTSGGLAYCFSACDDNQVSADTTAFTKTSTRTGAMTFSFIQAVENEPVLTYGRLLNAMRNAIRDAKAGLRLSGPIATLVNKVFFGSTSQEPQLSSSYTFDIYSKRFVL >KJB32060 pep chromosome:Graimondii2_0_v6:5:60362372:60363659:1 gene:B456_005G220900 transcript:KJB32060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRERCNGCKLYLMVPPEAQSIRCAVCHTITNTNNAAIARWGHVHDSLIGPRRTSPHGSGTHAFPYVYGPHQRPPQPRPPLSPVSVHGRKRALLCGLNYYGKPYGLKGSINDVKCMRYLLVEKLGFPIDSILMLTEDEKDPYKIPTKQNIRKGLKWLVYGCQPGDSLVFHFSGHGDRQIDYDNDEVDGFDEALCPLDHDTEGKIIDDEINATIVRPLPRGAMLHAIIDACHSGTILDLPFVCRMNKSVHLSFFFFFTLISLFISMNL >KJB28625 pep chromosome:Graimondii2_0_v6:5:6117789:6128048:1 gene:B456_005G058900 transcript:KJB28625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ALWAYS EARLY 3 [Source:Projected from Arabidopsis thaliana (AT3G21430) UniProtKB/Swiss-Prot;Acc:Q6A332] MAPSRKSKSVNKKFSYVNEVASIKDGDSSAKRSGKRKRKLSDMLGPQWTKEELERFYEAYRKYGKDWKKVATMIRNRSVEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYSVMGGSDSEQESNEGMGISRKPQKRSRGKIRDQPSKSSDKPLPDLLQFPSANSGCLSLLKRRRSESRPRAVGKRTPRVPISFSHDKNKGERYFSPIRQGMKLKVDAVDDDVAHEVALALTEASQRGGSPQVSRTPNRKAETPSPVINSERMNADSETTSAKIHGSEVDEDACELSLGSTEADNADYAKDKNYSRNIEGTGTVEVQQKGKRYYRRKPEIEESVNHQEDTKEACSGTEEGQQLCDFKGNFDCEVEDAKTSRASIKGPRKRSKKVLFEGVEDTAFDALQTLADLSLMMPETADTESSVQHKEEKNEVEKTKLKGNHLVPGAKGCASKASRHGKLFGHDVRANPEAKEAHPANVGMRKRRQKSSPYKLQIPKDETDADSQLGESPNIEASGEVKNLLSKGKLSNNVGHPKQGKFVRPPEHASSSTDQGRDLNNLAPSTIQVSSVNQVNLPTKIRSKRKTDVREPAIRKGIKSSDNIVKGKISGPVTLFHDGALDLKEKLCNFLCSYRARRWCAFEWFYSTIDYPWFAKREFVEYLDHVGLGHIPRLTRVEWGVIRSSLGKPRRFSEQFLKEEREKLNQYRESVRRHYAELRAGIGEGLPTDLARPLSVGQRVIAVHPKIREIHDGSVLTVDYSRYRIQFDSPELGVEFVMDVDCMPLNPMENLPASLSRQNAAIRKFVENYNELKMNGQSKESKMEENIKFMQCDNLENANSPSRTSPSTFSVGNLSQPVKVDSSSPNLQLKIGPTETVYTQAINSQPSAVSLVQAREADVEALSQLTRALDKKHLQEAIVSELRRMNDEVLENQKGGDNHIKDSDSFKKQYAAVLLQLNEVNEQVSSALLCLRQRNTYQGTSSGKLLNPSGKIGEQGSQLSSFDAMHHVQESVSHVAEIVESSRRKARSMVDAALKAMSSLRKGGKNIERIEDAIDFVNNQLSLNEFSAPAPRSAAPVDSVRSHDNLTACSSYPFATSHIPEMKLQNLSDQDELKIPSDLISQCVATLLMIQKCTERQFPPGDVAEVLDSAVTSLKPCCSQNLPIYTEIQKCMGIIRNQILALVPTS >KJB28623 pep chromosome:Graimondii2_0_v6:5:6117789:6126930:1 gene:B456_005G058900 transcript:KJB28623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ALWAYS EARLY 3 [Source:Projected from Arabidopsis thaliana (AT3G21430) UniProtKB/Swiss-Prot;Acc:Q6A332] MAPSRKSKSVNKKFSYVNEVASIKDGDSSAKRSGKRKRKLSDMLGPQWTKEELERFYEAYRKYGKDWKKVATMIRNRSVEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYSVMGGSDSEQESNEGMGISRKPQKRSRGKIRDQPSKSSDKPLPDLLQFPSANSGCLSLLKRRRSESRPRAVGKRTPRVPISFSHDKNKGERYFSPIRQGMKLKVDAVDDDVAHEVALALTEASQRGGSPQVSRTPNRKAETPSPVINSERMNADSETTSAKIHGSEVDEDACELSLGSTEADNADYAKDKNYSRNIEGTGTVEVQQKGKRYYRRKPEIEESVNHQEDTKEACSGTEEGQQLCDFKGNFDCEVEDAKTSRASIKGPRKRSKKVLFEGVEDTAFDALQTLADLSLMMPETADTESSVQHKEEKNEVEKTKLKGNHLVPGAKGCASKASRHGKLFGHDVRANPEAKEAHPANVGMRKRRQKSSPYKLQIPKDETDADSQLGESPNIEASGEVKNLLSKGKLSNNVGHPKQGKFVRPPEHASSSTDQGRDLNNLAPSTIQVSSVNQVNLPTKIRSKRKTDVREPAIRKGIKSSDNIVKGKISGPVTLFHDGALDLKEKLCNFLCSYRARRWCAFEWFYSTIDYPWFAKREFVEYLDHVGLGHIPRLTRVEWGVIRSSLGKPRRFSEQFLKEEREKLNQYRESVRRHYAELRAGIGEGLPTDLARPLSVGQRVIAVHPKIREIHDGSVLTVDYSRYRIQFDSPELGVEFVMDVDCMPLNPMENLPASLSRQNAAIRKFVENYNELKMNGQSKESKMEENIKFMQCDNLENANSPSRTSPSTFSVGNLSQPVKVDSSSPNLQLKIGPTETVYTQAINSQPSAVSLVQAREADVEALSQLTRALDKKEAIVSELRRMNDEVLENQKGGDNHIKDSDSFKKQYAAVLLQLNEVNEQVSSALLCLRQRNTYQGTSSGKLLNPSGKIGEQGSQLSSFDAMHHVQESVSHVAEIVESSRRKARSMVDAALKVKQGIDD >KJB28624 pep chromosome:Graimondii2_0_v6:5:6117789:6128048:1 gene:B456_005G058900 transcript:KJB28624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ALWAYS EARLY 3 [Source:Projected from Arabidopsis thaliana (AT3G21430) UniProtKB/Swiss-Prot;Acc:Q6A332] MAPSRKSKSVNKKFSYVNEVASIKDGDSSAKRSGKRKRKLSDMLGPQWTKEELERFYEAYRKYGKDWKKVATMIRNRSVEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYSVMVRSDSEQESNEGMGISRKPQKRSRGKIRDQPSKSSDKPLPDLLQFPSANSGCLSLLKRRRSESRPRAVGKRTPRVPISFSHDKNKGERYFSPIRQGMKLKVDAVDDDVAHEVALALTEASQRGGSPQVSRTPNRKAETPSPVINSERMNADSETTSAKIHGSEVDEDACELSLGSTEADNADYAKDKNYSRNIEGTGTVEVQQKGKRYYRRKPEIEESVNHQEDTKEACSGTEEGQQLCDFKGNFDCEVEDAKTSRASIKGPRKRSKKVLFEGVEDTAFDALQTLADLSLMMPETADTESSVQHKEEKNEVEKTKLKGNHLVPGAKGCASKASRHGKLFGHDVRANPEAKEAHPANVGMRKRRQKSSPYKLQIPKDETDADSQLGESPNIEASGEVKNLLSKGKLSNNVGHPKQGKFVRPPEHASSSTDQGRDLNNLAPSTIQVSSVNQVNLPTKIRSKRKTDVREPAIRKGIKSSDNIVKGKISGPVTLFHDGALDLKEKLCNFLCSYRARRWCAFEWFYSTIDYPWFAKREFVEYLDHVGLGHIPRLTRVEWGVIRSSLGKPRRFSEQFLKEEREKLNQYRESVRRHYAELRAGIGEGLPTDLARPLSVGQRVIAVHPKIREIHDGSVLTVDYSRYRIQFDSPELGVEFVMDVDCMPLNPMENLPASLSRQNAAIRKFVENYNELKMNGQSKESKMEENIKFMQCDNLENANSPSRTSPSTFSVGNLSQPVKVDSSSPNLQLKIGPTETVYTQAINSQPSAVSLVQAREADVEALSQLTRALDKKEAIVSELRRMNDEVLENQKGGDNHIKDSDSFKKQYAAVLLQLNEVNEQVSSALLCLRQRNTYQGTSSGKLLNPSGKIGEQGSQLSSFDAMHHVQESVSHVAEIVESSRRKARSMVDAALKAMSSLRKGGKNIERIEDAIDFVNNQLSLNEFSAPAPRSAAPVDSVRSHDNLTACSSYPFATSHIPEMKLQNLSDQDELKIPSDLISQCVATLLMIQKCTERQFPPGDVAEVLDSAVTSLKPCCSQNLPIYTEIQKCMGIIRNQILALVPTS >KJB28622 pep chromosome:Graimondii2_0_v6:5:6117776:6128087:1 gene:B456_005G058900 transcript:KJB28622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ALWAYS EARLY 3 [Source:Projected from Arabidopsis thaliana (AT3G21430) UniProtKB/Swiss-Prot;Acc:Q6A332] MAPSRKSKSVNKKFSYVNEVASIKDGDSSAKRSGKRKRKLSDMLGPQWTKEELERFYEAYRKYGKDWKKVATMIRNRSVEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYSVMGGSDSEQESNEGMGISRKPQKRSRGKIRDQPSKSSDKPLPDLLQFPSANSGCLSLLKRRRSESRPRAVGKRTPRVPISFSHDKNKGERYFSPIRQGMKLKVDAVDDDVAHEVALALTEASQRGGSPQVSRTPNRKAETPSPVINSERMNADSETTSAKIHGSEVDEDACELSLGSTEADNADYAKDKNYSRNIEGTGTVEVQQKGKRYYRRKPEIEESVNHQEDTKEACSGTEEGQQLCDFKGNFDCEVEDAKTSRASIKGPRKRSKKVLFEGVEDTAFDALQTLADLSLMMPETADTESSVQHKEEKNEVEKTKLKGNHLVPGAKGCASKASRHGKLFGHDVRANPEAKEAHPANVGMRKRRQKSSPYKLQIPKDETDADSQLGESPNIEASGEVKNLLSKGKLSNNVGHPKQGKFVRPPEHASSSTDQGRDLNNLAPSTIQVSSVNQVNLPTKIRSKRKTDVREPAIRKGIKSSDNIVKGKISGPVTLFHDGALDLKEKLCNFLCSYRARRWCAFEWFYSTIDYPWFAKREFVEYLDHVGLGHIPRLTRVEWGVIRSSLGKPRRFSEQFLKEEREKLNQYRESVRRHYAELRAGIGEGLPTDLARPLSVGQRVIAVHPKIREIHDGSVLTVDYSRYRIQFDSPELGVEFVMDVDCMPLNPMENLPASLSRQNAAIRKFVENYNELKMNGQSKESKMEENIKFMQCDNLENANSPSRTSPSTFSVGNLSQPVKVDSSSPNLQLKIGPTETVYTQAINSQPSAVSLVQAREADVEALSQLTRALDKKEAIVSELRRMNDEVLENQKGGDNHIKDSDSFKKQYAAVLLQLNEVNEQVSSALLCLRQRNTYQGTSSGKLLNPSGKIGEQGSQLSSFDAMHHVQESVSHVAEIVESSRRKARSMVDAALKAMSSLRKGGKNIERIEDAIDFVNNQLSLNEFSAPAPRSAAPVDSVRSHDNLTACSSYPFATSHIPEMKLQNLSDQDELKIPSDLISQCVATLLMIQKCTERQFPPGDVAEVLDSAVTSLKPCCSQNLPIYTEIQKCMGIIRNQILALVPTS >KJB31223 pep chromosome:Graimondii2_0_v6:5:53307222:53308538:1 gene:B456_005G182000 transcript:KJB31223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVMTSSLPLSPNFNDFSPTAKFSHDFGVKLQLKADEEPNMEQEEKLNNQPGMEDDNEDEEEVEEQNEEEEDEEEEEEEEEFSFVCLNPDGSPISADDLFQDGQIRPIFPLFNQDLLYAAADDAFVSKSGEGDMAQRAPVRKLFLEDSPDATSSEPAGPYCEWRGGRTVTEASPESCRKSNSTGFSKLWRFRDLKLRCSSDGKDAFVFLSHHSSSSSSSVKTEKKNEKEEKKDKVKVSEEKPKVKKTQKSIKTASLSAHEKLYVKNRAQKQGDKRRSYLPYRQVGFFTNVNGLSRNVHPF >KJB32771 pep chromosome:Graimondii2_0_v6:5:63579455:63583376:-1 gene:B456_005G260700 transcript:KJB32771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIVFQTSQLPKTLLLKPSLPPPVHSLAGKPRKNLTVVKCSSSSLIDGGDSSVAALERCFSAPPAPVETSSSGSGDVGPVMKGGKYGAFGAVTLEKGKLDMSQKQSTSSPELATGGGGGDIGKKINHGGGDGGDDDGDDDDYFDDFDDDGEGDEDGLFRRRMFLEELFDRKFVDAVLNEWHKTMMDLPAGFRQAYEMGLVSSAQMVKFLAINARPTTSRFISRTLPQAMSRAFIGRMLADPAFLYRLLLEEVATIGSSVWWELKNRKDRIKQEWDLALMNVLTVAACNALVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFEKSYPLREFDLQKRIHSLFYKAAELCIVGLAAGAVQGSFLNFLASKKKEKLSVSIPSVSTNALGYGAFLGLYANLRYQLLCGFDQAMANYFDVIGVALFFSTALRVLNVQLGERSRLAWLGVEVDPLVQSDDLLKAYNRPSEDGTRSSSKWFISKNAIVSGLGLLGIKQGNVESVADGETGALKPRRKRIVRKKVTARTT >KJB32772 pep chromosome:Graimondii2_0_v6:5:63579468:63583319:-1 gene:B456_005G260700 transcript:KJB32772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIVFQTSQLPKTLLLKPSLPPPVHSLAGKPRKNLTVVKCSSSSLIDGGDSSVAALERCFSAPPAPVETSSSGSGDVGPVMKGGKYGAFGAVTLEKGKLDMSQKQSTSSPELATGGGGGDIGKKINHGGGDGGDDDGDDDDYFDDFDDDGEGDEDGLFRRRMFLEELFDRKFVDAVLNEWHKTMMDLPAGFRQAYEMGLVSSAQMVKFLAINARPTTSRFISRTLPQAMSRAFIGRMLADPAFLYRLLLEEVATIGSSVWWELKNRKDRIKQEWDLALMNVLTVAACNALVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFEKSYPLREFDLQKRIHSLFYKAAELCIVGLAAGAVQGSFLNFLASKKKEKLSVSIPSVSTNALGYGAFLGLYANLRYQLLCGFDQAMANYFDVIGVALFFSTALRSSILHHFENF >KJB32773 pep chromosome:Graimondii2_0_v6:5:63579468:63583319:-1 gene:B456_005G260700 transcript:KJB32773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIVFQTSQLPKTLLLKPSLPPPVHSLAGKPRKNLTVVKCSSSSLIDGGDSSVAALERCFSAPPAPVETSSSGSGDVGPVMKGGKYGAFGAVTLEKGKLDMSQKQSTSSPELATGGGGGDIGKKINHGGGDGGDDDGDDDDYFDDFDDDGEGDEDGLFRRRMFLEELFDRKFVDAVLNEWHKTMMDLPAGFRQAYEMGLVSSAQMVKFLAINARPTTSRFISRTLPQAMSRAFIGRMLADPAFLYRLLLEEVATIGSSVWWELKNRKDRWIKQEWDLALMNVLTVAACNALVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFEKSYPLREFDLQKRIHSLFYKAAELCIVGLAAGAVQGSFLNFLASKKKEKLSVSIPSVSTNALGYGAFLGLYANLRYQLLCGFDQAMANYFDVIGVALFFSTALRVLNVQLGERSRLAWLGVEVDPLVQSDDLLKAYNRPSEDGTRSSSKWFISKNAIVSGLGLLGIKQGNVESVADGETGALKPRRKRIVRKKVTARTT >KJB28595 pep chromosome:Graimondii2_0_v6:5:5836524:5838927:1 gene:B456_005G057500 transcript:KJB28595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSICELILRFMALLLTLAAAIIIGVNKQTKFFPVQLTPAFPPVEVAARAKWHYLSALVYSLVANITASSYAAISTLIVLATRNGEAGFAQVITIFDAAIVGLLFSANGAALAVGIIGYKGNSHLQWNKVCNVFDSFCDRVAISIVLSLVASFAFIALVALAVLSLQKRFATRT >KJB32058 pep chromosome:Graimondii2_0_v6:5:60358432:60359220:-1 gene:B456_005G220800 transcript:KJB32058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISGNKSIVVRWAFAEDLNSELSLIKAAILRYSFSNVDALQIIQLSLSLSDAQGNLLDFDSPFSYIWEFNFRDFDINQDCYASDTVELLKRQGIDFEKNKEKGIDSKDFAKKLWDYGLVFNCYDLKSITWITFHQIYAKDSYSNYNIFSLKHTFKFLGLLGGLDKIAQTLNVAHITGSSYQAGLNSLLTLQYFMKLKSENIFESKWNKMN >KJB27472 pep chromosome:Graimondii2_0_v6:5:46390949:46392296:-1 gene:B456_005G160900 transcript:KJB27472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSFRVESYSKKQLCVPVWGRHQNRSAHSVAMTIFRGGIGRFSGGVRAAVFFLWIVILFFSQLGLHFAVHNNNSNKDASTSSGSHQSFTFHPPRKALSLDTASFHSPSSLSQFTVNEDDPDTIYEDDMRVVHTGPNPLHN >KJB27471 pep chromosome:Graimondii2_0_v6:5:46390735:46392296:-1 gene:B456_005G160900 transcript:KJB27471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFRGGIGRFSGGVRAAVFFLWIVILFFSQLGLHFAVHNNNSNKDASTSSGSHQSFTFHPPRKALSLDTASFHSPSSLSQFTVNEDDPDTIYEDDMRVVHTGPNPLHN >KJB27470 pep chromosome:Graimondii2_0_v6:5:46390506:46391701:-1 gene:B456_005G160900 transcript:KJB27470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSFRVESYSKKQLCVPVWGRHQNRSAHSVAMTIFRGGIGRFSGGVRAAVFFLWIVILFFSQLGLHFAVHNNNSNKDASTSSGSHQSFTFHPPRKALSLDTASFHSPSSLSQFTVNEDDPDTIYEDDMRVVHTAYSECLRVRRDDLTVNKLDT >KJB27469 pep chromosome:Graimondii2_0_v6:5:46390351:46392077:-1 gene:B456_005G160900 transcript:KJB27469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSFRVESYSKKQLCVPVWGRHQNRSAHSVAMTIFRGGIGRFSGGVRAAVFFLWIVILFFSQLGLHFAVHNNNSNKDASTSSGSHQSFTFHPPRKALSLDTASFHSPSSLSQFTVNEDDPDTIYEDDMRVVHTGTQLMRRISIAGTKLRFDNFGGGGGRGTRLIDYSQK >KJB31037 pep chromosome:Graimondii2_0_v6:5:50621109:50627458:1 gene:B456_005G174200 transcript:KJB31037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPDSTSGCGCSDVYHSIFIDTNLDTHLAMIVSDSETVSDLKKKILYEHPLCFPNIGEIKINALKVKRKGFLYHLSDSMFVKSAFGGVSRSWFLSVDASSAKEHRENHNSIEPDAGNIVSCFGTGINNYSVDVVDLLPVDTAKRLSNVNDAPLPQDRDVCHAKQNSASQQFGFSNSTKENSENLCKEAEHTADSNNQVSFPATNTGSRPKVQSKDVGDDKISEDLQASVATSVLKQKQKTKKRNKYAIHHDSKVNGALVVESGKDALDSGRVLEENLIHNGPSLALNDANLGNQIHVDETSRSLSSDRNKRSTARKRMAVGGINVPGEHSELETNKHKDVAHKDQEHESKEDSFQSQPASKKKRKAEKKDVNDNSLIENGEQVTDLNTATSECTLGQKLENTSAVVDPLNTESVKQNHLSTANASGGKKNRKRQKSNPSQVGSEVSSAKDVHVETFQAVEAIKDKDLGSKGDPVSFLGKRSAGGEISEPGLISSMKMQEVSETNQVPQRNKYAIHYDSKENGALVVESGKDALDSGCVLEENLIHNGPSLALNDANLGSQIHVDETSRSLSSDRNKRSTARKKMADGGINLPGEHSELETNKHKNVAHKNREHESKEDSFQSRPVSKKKRKAEKKDVNDNSLIENGEHATDLNTATSECTLGQKLENTRAVVDPLNAKSVKQNHLSTANASGEKKNRKRQKSNPSQVGSEVSAAKDVHVETFQAVEAIEDKDLGSKGDPVSFLGKRSAGGEISEPGLISSMKMQEVSETNQVPHSGGYNDMDDTNDGNLKSKNEAAQPDVASAIKARDSYDQISSLVDGHPAPVSQEGIDFRKEFGVSRHGNQSGTLEEKIVEPKKSSKKVRKYKKSKDPVGGTEAVDVVHNRGPASDLSPVERPTFVNGDHLSDNAEQGSKTDGKEESKMKKLDCSPSVTDVKADDVIQDVLESLKQCENGPANAEKTDNRPRKRKKKSSTVVAPPELEGEDDVDHRDPTVLVHNVSEVSASSKSTRKTVMLGSNSAVQLNGSDLGSNRDTIKPQYDGRLIEDVVSVDHSKSTRVDNHEIDDPCGNGRVKSQQKHEIVNSGKIIIDKAARKTGVETVVKGKKNSKPGLSSKMLNGNQGKEAKAQAAKSSSIQSQRSSSKVEPSSSNVKSNKPLLTISESAAKEPLQPNKSDKIDSTPKSTQGPINVNSSRSSRDLKKNNPHAVSSSALETPKSTPNLKNGGNGHRLPLDIAKAIESNSRKVGNNLANKKNLLGTTGTIFGHDDKESSDDEDGIGNSDSSAKTPSDSSSSSDSSGNSHVNGSSSPNGSYNSKCEKAGGRDKPKPGSSNPKSMSLHAILRNSSSYKKAKLTASQAIDSQSEEFVPDSQAP >KJB31038 pep chromosome:Graimondii2_0_v6:5:50621934:50627359:1 gene:B456_005G174200 transcript:KJB31038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKSAFGGVSRSWFLSVDASSAKEHRENHNSIEPDAGNIVSCFGTGINNYSVDVVDLLPVDTAKRLSNVNDAPLPQDRDVCHAKQNSASQQFGFSNSTKENSENLCKEAEHTADSNNQVSFPATNTGSRPKVQSKDVGDDKISEDLQASVATSVLKQKQKTKKRNKYAIHHDSKVNGALVVESGKDALDSGRVLEENLIHNGPSLALNDANLGNQIHVDETSRSLSSDRNKRSTARKRMAVGGINVPGEHSELETNKHKDVAHKDQEHESKEDSFQSQPASKKKRKAEKKDVNDNSLIENGEQVTDLNTATSECTLGQKLENTSAVVDPLNTESVKQNHLSTANASGGKKNRKRQKSNPSQVGSEVSSAKDVHVETFQAVEAIKDKDLGSKGDPVSFLGKRSAGGEISEPGLISSMKMQEVSETNQVPQRNKYAIHYDSKENGALVVESGKDALDSGCVLEENLIHNGPSLALNDANLGSQIHVDETSRSLSSDRNKRSTARKKMADGGINLPGEHSELETNKHKNVAHKNREHESKEDSFQSRPVSKKKRKAEKKDVNDNSLIENGEHATDLNTATSECTLGQKLENTRAVVDPLNAKSVKQNHLSTANASGEKKNRKRQKSNPSQVGSEVSAAKDVHVETFQAVEAIEDKDLGSKGDPVSFLGKRSAGGEISEPGLISSMKMQEVSETNQVPHSGGYNDMDDTNDGNLKSKNEAAQPDVASAIKARDSYDQISSLVDGHPAPVSQEGIDFRKEFGVSRHGNQSGTLEEKIVEPKKSSKKVRKYKKSKDPVGGTEAVDVVHNRGPASDLSPVERPTFVNGDHLSDNAEQGSKTDGKEESKMKKLDCSPSVTDVKADDVIQDVLESLKQCENGPANAEKTDNRPRKRKKKSSTVVAPPELEGEDDVDHRDPTVLVHNVSEVSASSKSTRKTVMLGSNSAVQLNGSDLGSNRDTIKPQYDGRLIEDVVSVDHSKSTRVDNHEIDDPCGNGRVKSQQKHEIVNSGKIIIDKAARKTGVETVVKGKKNSKPGLSSKMLNGNQGKEAKAQAAKSSSIQSQRSSSKVEPSSSNVKSNKPLLTISESAAKEPLQPNKSDKIDSTPKSTQGPINVNSSRSSRDLKKNNPHAVSSSALETPKSTPNLKNGGNGHRLPLDIAKAIESNSRKVGNNLANKKNLLGTTGTIFGHDDKESSDDEDGIGNSDSSAKTPSDSSSSSDSSGNSHVNGSSSPNGSYNSKCEKAGGRDKPKPGSSNPKSMSLHAILRNSSSYKKAKLTASQAIDSQSEEFVPDSQAP >KJB31039 pep chromosome:Graimondii2_0_v6:5:50621934:50627397:1 gene:B456_005G174200 transcript:KJB31039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGINLPGEHSELETNKHKNVAHKNREHESKEDSFQSRPVSKKKRKAEKKDVNDNSLIENGEHATDLNTATSECTLGQKLENTRAVVDPLNAKSVKQNHLSTANASGEKKNRKRQKSNPSQVGSEVSAAKDVHVETFQAVEAIEDKDLGSKGDPVSFLGKRSAGGEISEPGLISSMKMQEVSETNQVPHSGGYNDMDDTNDGNLKSKNEAAQPDVASAIKARDSYDQISSLVDGHPAPVSQEGIDFRKEFGVSRHGNQSGTLEEKIVEPKKSSKKVRKYKKSKDPVGGTEAVDVVHNRGPASDLSPVERPTFVNGDHLSDNAEQGSKTDGKEESKMKKLDCSPSVTDVKADDVIQDVLESLKQCENGPANAEKTDNRPRKRKKKSSTVVAPPELEGEDDVDHRDPTVLVHNVSEVSASSKSTRKTVMLGSNSAVQLNGSDLGSNRDTIKPQYDGRLIEDVVSVDHSKSTRVDNHEIDDPCGNGRVKSQQKHEIVNSGKIIIDKAARKTGVETVVKGKKNSKPGLSSKMLNGNQGKEAKAQAAKSSSIQSQRSSSKVEPSSSNVKSNKPLLTISESAAKEPLQPNKSDKIDSTPKSTQGPINVNSSRSSRDLKKNNPHAVSSSALETPKSTPNLKNGGNGHRLPLDIAKAIESNSRKVGNNLANKKNLLGTTGTIFGHDDKESSDDEDGIGNSDSSAKTPSDSSSSSDSSGNSHVNGSSSPNGSYNSKCEKAGGRDKPKPGSSNPKSMSLHAILRNSSSYKKAKLTASQAIDSQSEEFVPDSQAP >KJB31774 pep chromosome:Graimondii2_0_v6:5:63252618:63256310:-1 gene:B456_005G255200 transcript:KJB31774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIASRLPANCSGLLYPSYHKLSVQLNRYQRTRFDCYNPNQPFYGKLCRGSSLRKPHTSRHVVSVGSNRHQLSFDDELPEEPFWLSLVRETIWGLRSLFVFLVEQPSQLKYIEWPSFVSTLKTAILTLVLVAGLIVALSSVDSILCYILALLLRKTPS >KJB31773 pep chromosome:Graimondii2_0_v6:5:63252618:63256158:-1 gene:B456_005G255200 transcript:KJB31773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIASRLPANCSGLLYPSYHKLSVQLNRYQRTRFDCYNPNQPFYGKLCRGSSLRKPHTSRHVVSVGSNRHQLSFDDELPEEPFWLSLVRETIWGLRSLFVFLVEQPSQLKYIEWPSFVSTLKTAILTLVLVAGLIVALSSVDSILCYILALLLRKTPS >KJB29038 pep chromosome:Graimondii2_0_v6:5:9634069:9636880:-1 gene:B456_005G080900 transcript:KJB29038 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-zeta-carotene isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10830) UniProtKB/Swiss-Prot;Acc:Q9SAC0] MATCLVVANSLFRPPKPILPHKHHPLQPISSHSLPKSPLNSTFLKPHFSHSDSCRFRLIAPASLGETDASSDEPLPLVGEDSAAFDLGTQNIVSWVYFTIILGVVLFVLDVIWIDNSTGFGKAFIDAVSTLSDSHEIVMLALILIFATVHSGLASFRDMGEKILGKRAYRVLFAGVSLPLAVSTVVYFINHRGSSC >KJB29040 pep chromosome:Graimondii2_0_v6:5:9634069:9636917:-1 gene:B456_005G080900 transcript:KJB29040 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-zeta-carotene isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10830) UniProtKB/Swiss-Prot;Acc:Q9SAC0] MATCLVVANSLFRPPKPILPHKHHPLQPISSHSLPKSPLNSTFLKPHFSHSDSCRFRLIAPASLGETDASSDEPLPLVGEDSAAFDLGTQNIVSWVYFTIILGVVLFVLDVIWIDNSTGFGKAFIDAVSTLSDSHEIVMLALILIFATVHSGLASFRDMGEKILGKRAYRVLFAGVSLPLAVSTVVYFINHRYNGVQLWQLQNGPGVHQLVWLSNFISFFFLYPSTFNLLEVAAVDQPKVHLWETGIMRITRHPQMVGQVIWCLAHTIWIGNSVAVAASVGLIGHHLFGVWNGDRRLAVRHGEAFEVVKKRTSIIPFAAILDGRQKLPKDYYKEFIRLPYLAITAMTLGAYFLHPVMQAASFRLHW >KJB29041 pep chromosome:Graimondii2_0_v6:5:9634886:9636880:-1 gene:B456_005G080900 transcript:KJB29041 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-zeta-carotene isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10830) UniProtKB/Swiss-Prot;Acc:Q9SAC0] MATCLVVANSLFRPPKPILPHKHHPLQPISSHSLPKSPLNSTFLKPHFSHSDSCRFRLIAPASLGETDASSDEPLPLVGEDSAAFDLGTQNIVSWVYFTIILGVVLFVLDVIWIDNSTGFGKAFIDAVSTLSDSHEIVMLALILIFATVHSGLASFRDMGEKILGKRAYRVLFAGVSLPLAVSTVVYFINHRYNGVQLWQLQNGPGVHQLVWLSNFISFFFLYPSTFNLLEVAAVDQPKVHLWETGIMRITRHPQVHHYYLGINVHFCVLDLRSHFVS >KJB29039 pep chromosome:Graimondii2_0_v6:5:9635309:9636812:-1 gene:B456_005G080900 transcript:KJB29039 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-zeta-carotene isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10830) UniProtKB/Swiss-Prot;Acc:Q9SAC0] MATCLVVANSLFRPPKPILPHKHHPLQPISSHSLPKSPLNSTFLKPHFSHSDSCRFRLIAPASLGETDASSDEPLPLVGEDSAAFDLGTQNIVSWVYFTIILGVVLFVLDVIWIDNSTGFGKAFIDAVSTLSDSHEIVMLALILIFATVHSGLASFRDMGEKILGKRAYRVLFAGVSLPLAVSTVVSSKFITLDDGTLI >KJB30440 pep chromosome:Graimondii2_0_v6:5:39030476:39032617:1 gene:B456_005G143600 transcript:KJB30440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGNLSVAATLASYHHNPSPSSTLSSKVDFTCFMNGRSSFSGITLKWTGMTMNGRNIHDQTKGLAGILGGYKISPNTGSQEVESILLNAINMSFFERLNLAWKIVFPSPATRRISDEAKQKIVKNIVRTPSDFVEMESKDKVQLSVSTDSDLGTIYSVTVPVQRVKAEYQEVNGAGTITNIEYKDTGERSGCIDVKFDFYVPDE >KJB30603 pep chromosome:Graimondii2_0_v6:5:42114922:42118919:1 gene:B456_005G151400 transcript:KJB30603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEPPKDVVVDDKKINISNGDVNGTVVKLDDQLGAGFSDVEMKKIISEKKEDCSLNSVEVNKIGESNAKEVNHQIQSNGTNCYNNYMPAIKAQAHFPKPQPPPQPQPELERSQSVSPAESMPSIGKYIKDRSTTLSAAIIKRLSSLTEDGQDFVVKNDSLNLEVTEIKIPGVKVIVKLKSEDERFDLKGRITFFSRSNCRDCTAVRKCFREKRLPYVEINIDVFPKRAKELVERTGKSEVPQIFFNEKLLGGLVTLNSLRNSGELDKRMRELLSQKCPDGAPKVPVYGFDDDEDEEEEEEKDELLGIVKLLRQSLPIQDRLIKMKIVKNCFAGDDMLEVIIHHLDCGRKKGIETGRQLAQKHFIKHVFGENDFEEGRHFYRFLEHEPFITACFNFRISVNDGEPKSPSFLADKLARLMTAILEAYASDDRHHVDYYRIGKSEEFRRYLNLTRDLQRVDLQLLSPDERLAFFLNLYNAMAIHAVITIGHPEGILDRRAFFGDFQYVIGGYPYSLSVIQNGILRNNRKSPYSLVRPFGNGDRRLKFAPAKVNPLIHFGLCNGTRSSPTVRFFTAQGVEGELRGAAREYFQNGAIEINLDKRTVSLTRIIKWFSVDFGQEKDVLRWVMNYLDTTRAGLLTHLLSDGGPIHFVYQDYDWAGNL >KJB31000 pep chromosome:Graimondii2_0_v6:5:49995012:49998161:-1 gene:B456_005G171800 transcript:KJB31000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSYFPLRWESTGDQWWYASPIDWAAANGLYDLVIELLHLDANLLIKLTSLRRIRRLETVWDDEAHFDDVAKCRSFVAKRLLQECETKKGNNSLIRAGYGGWLLYTAASAGDMGFVKQLLERDPFLVFGEGEYGVTDIFYAAARSKNSELFRMLLDFAVSSRGCLGGGEGELSETRSVFKWEMMNRAVHAAARGGSLEILREILGDCTDVLGYRDVQGSTLLHTASGRGQVEVVKDLVASFEIITSTDNQGNTALHVAAYRGYLKVVGFLIQVAPSLASVKNDYGNTFLHVAVAGFRTTGFRRIDQQIELMKQLVCGEVVDIRDIINVRNLDGRTALHMAVTENVQSSLVELLMSVPSIDLNVRDVDGLTPLDLLKRRPKSASSEILIKELIAAGGISNCQDNVARSAIVSHLKEHGVGASPGTSFRVPDAEIFLYTGLENTYDAGCDKESVEYSSCLSELSDLNLSTSFDTKKSSSVNHTARRLKNFLHWPRKKERKATSMELVDTDDPVEVTSTCRNWPDIHIPLREKYTKSVSLPNNKRTLALHNDLPSRSSRKKFTAGLTHGVIQAAPHLAAPFKSPPSPFSVSSTTSPISMDDQKSVLDARCFYSNSSFNGKTTTRMNPKQSSVDKKLMNHYFCFGAQGLAVEDNRSHTRLDRSIKSARSLVA >KJB29561 pep chromosome:Graimondii2_0_v6:5:19319297:19323374:1 gene:B456_005G107400 transcript:KJB29561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVMKVKSETEDFDCNSDDFGLDNVVLKWIKDRCETKKRKRFNFVGLNKETLETCSSVKLESPNFQHNGDIHDELEDPLIGWKSELFKNIKSSDEDLLELNRDWPAPIDVKVEVPESETANVNILKTDVPYPTTEPQYCSLNEVSYEYTEDSETRLDVGLSGCETKEPQYCSLNEVSYEYTEDSETRLDMGLSGCETKEPQYCSLNEVSYEYMEDSETRLDVGLSGAETKERQYCSLNEVSYEYRENFETKFDVGVSSWEIVQVHSPENNAYFGLSGYRKEDYTIHPLSYDVSSEQMSPIKDYSCDVCDSCQNESPKPEMPWQTSRDSLILILETNIASDTETGVSLSPIKCSVSNGVSFESTEDVAPKSGASFSSCETVKVDRPEMISYLCSDLQEFGKDSYTVDPLTYAVPSELVSPTKDHCTDLHDSFNFSEHKMSSQTSNRGRAEMPEMDTDNCFQCLETNNEDSACSFESRSTHYWSSNIRNIVVSPSTDNGLYWSSSCLKHEKHSGLVSADSSSSKKQPQSPALIARNYFDASGKPLASPAPQDYHQMKHQHSAERVLSGRKAISPTSRERLCRAMRLTGLDENECHQYKGKQSHHRTLRAQGLDHIWKDGVAIKPTSTMRKAKQDKKESPMKGSLKGTYPPQCRSQSVIAFTQRQMQDFQSLAMKLTTELKSMKNLVKGKFQSESEASVATSANENADEVRVAIENATRAEEYARRWLSILTRDCNRFCKIMSLTEDNTAASDREIKKERKVSFADEAGGMPMYFKNDRHSPWN >KJB27950 pep chromosome:Graimondii2_0_v6:5:3598187:3600069:-1 gene:B456_005G038200 transcript:KJB27950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVKLFGFWASPFSRRVIWALKLKGVEYEYIEEDLPYNKSDLLLQYNPVHKKIPVLVHGGKPIVESLVILEYIDEVWPHNPLLPKDAYERSVARFWAKFIQENTQPMWEFFAKHGEEQQKAIKDNYEILRTIEEHGLGDKKFFGGDQIGVADLVFGMVIHMLAPMEEVVGGVKFIKADSFPRLHAWVRHFSEHPVIKDNVPDYTRIVDFLEKRRELYWKSQHNH >KJB31920 pep chromosome:Graimondii2_0_v6:5:59725005:59728002:1 gene:B456_005G214900 transcript:KJB31920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALIGLVSNATGNSTRKKVPLWELLWFSVVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHSKHYSDKVKISVLVVVVGVGVCTVTDVKVNAQGFICACVAVLSTSLQQISIGSLQKKYSIGSFELLSQTAPIQALSLLLFGPFVDYYLTGKLLASYKFSSAAFFFILLSCSLAVFCNISQYLCIGRFSATSFQVLGHMKTVCVLILGWLLFDSELTLKNILGMAIAILGMVVYSWAVEADKQTESKVSPLPKEASEENVELLKQQQQSDGSPLKDVELGKSQP >KJB31918 pep chromosome:Graimondii2_0_v6:5:59725005:59727408:1 gene:B456_005G214900 transcript:KJB31918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLKKQSSAVSDVGAWAMNVVSSVGLIMANKQLMSPAGYAFVFATTLTGFHFSMTALIGLVSNATGNSTRKKVPLWELLWFSVVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHSKHYSDKVKISVLVVVVGVGVCTVTDVKVNAQGFICACVAVLSTSLQQISIGSLQKKYSIGSFELLSQTAPIQALSLLLFGPFVDYYLTGKLLASYKFSSAAFVSTSSHQSTAKPFSHEHTRIYICRNTLWC >KJB31917 pep chromosome:Graimondii2_0_v6:5:59724891:59728002:1 gene:B456_005G214900 transcript:KJB31917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLKKQSSAVSDVGAWAMNVVSSVGLIMANKQLMSPAGYAFVFATTLTGFHFSMTALIGLVSNATGNSTRKKVPLWELLWFSVVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHSKHYSDKVKISVLVVVVGVGVCTVTDVKVNAQGFICACVAVLSTSLQQISIGSLQKKYSIGSFELLSQTAPIQALSLLLFGPFVDYYLTGKLLASYKFSSAAFFFILLSCSLAVFCNISQYLCIGRFSATSFQVLGHMKTVCVLILGWLLFDSELTLKNILGMAIAILGMVVYSWAVEADKQTESKVSPLPKEASEENVELLKQQQQSDGSPLKDVELGKSQP >KJB31919 pep chromosome:Graimondii2_0_v6:5:59725005:59728002:1 gene:B456_005G214900 transcript:KJB31919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIDMLHPNLPKISGSSICFLFVYLAIWTGLNQKIFLAFTATTLTGFHFSMTALIGLVSNATGNSTRKKVPLWELLWFSVVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHSKHYSDKVKISVLVVVVGVGVCTVTDVKVNAQGFICACVAVLSTSLQQISIGSLQKKYSIGSFELLSQTAPIQALSLLLFGPFVDYYLTGKLLASYKFSSAAFFFILLSCSLAVFCNISQYLCIGRFSATSFQVLGHMKTVCVLILGWLLFDSELTLKNILGMAIAILGMVVYSWAVEADKQTESKVSPLPKEASEENVELLKQQQQSDGSPLKDVELGKSQP >KJB28797 pep chromosome:Graimondii2_0_v6:5:7496930:7497920:1 gene:B456_005G069800 transcript:KJB28797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKIQCCNSYPKLFSKVLIVGMHRWHTEERGVSLVVLEISVTRRVTCEAHAPPQRLRSNVMRRHMWHRWSLDFGSDTDFWFRPNPSGRKSD >KJB28957 pep chromosome:Graimondii2_0_v6:5:8750125:8759597:1 gene:B456_005G076900 transcript:KJB28957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKHHSEHFWPSAFMRKLLNITPRGSDYSADTEEEDDVGSDSETEEFFECSHGRVSRFKESREEEPPSDLNDNITRIRRRRRKSETFRAQYIDSKEIRICVGTWNVGGKVPPDDLDIDDWIDTHEPADIYVLGLQEIVPLNAGNIFGAEDSRPVPKWENIIREALNRIRPAATKVKCYSDPPSPSKFEPSDDVRNLDEEISLESDSDIGEEIYPLDEEPLGFDEFNNAAESKTLFTNSGVSECNDGSKLDVPVEQDLQRQFSSPKKLDRLNCLRMEGYAENVEAPVSQQNAKFTRMLSGIQQNGKLTRILSGTERIGLSWPEPPLNLLSQHVLDRPASFKSVKSFRATKSFRTYSSFRSINDMASGIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRKHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNSDVHEILRRTHFHSRSAIGLPKSIHDHEKIIWFGDLNYRLNLSYDKARDFISKREWSKLIERDQLVRELQKGRTFDGWSEGALNFAPTYKYELNSKKYYGEDPKIGRRIPAWCDRILSRGKGMRQLSYRRTEQKLSDHRPVTAIYMAEVEVFCPKKLQRALIYTNAEIENEEVEAEDFDY >KJB28953 pep chromosome:Graimondii2_0_v6:5:8750954:8759597:1 gene:B456_005G076900 transcript:KJB28953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKHHSEHFWPSAFMRKLLNITPRGSDYSADTEEEDDVGSDSETEEFFECSHGRVSRFKESREEEPPSDLNDNITRIRRRRRKSETFRAQYIDSKEIRICVGTWNVGGKVPPDDLDIDDWIDTHEPADIYVLGLQEIVPLNAGNIFGAEDSRPVPKWENIIREALNRIRPAATKVKCYSDPPSPSKFEPSDDVRNLDEEISLESDSDIGEEIYPLDEEPLGFDEFNNAAESKTLFTNSGVSECNDGSKLDVPVEQDLQRQFSSPKKLDRLNCLRMEGYAENVEAPVSQQNAKFTRMLSGIQQNGKLTRILSGTERIGLSWPEPPLNLLSQHVLDRPASFKSVKSFRATKSFRTYSSFRSINDMASGIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRKHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNSDVHEILRRTHFHSRSAIGLPKSIHDHEKIIWFGDLNYRLNLSYDKARDFISKREWSKLIERDQLVRELQKGRTFDGWSEGALNFAPTYKYELNSKKYYGEDPKIGRRIPAWCDRILSRGKGMRQLSYRRTEQKLSDHRPVTAIYMAEVEVFCPKKLQRALIYTNAEIENEEVEAEDFDY >KJB28955 pep chromosome:Graimondii2_0_v6:5:8751353:8757866:1 gene:B456_005G076900 transcript:KJB28955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKHHSEHFWPSAFMRKLLNITPRGSDYSADTEEEDDVGSDSETEEFFECSHGRVSRFKESREEEPPSDLNDNITRIRRRRRKSETFRAQYIDSKEIRICVGTWNVGGKVPPDDLDIDDWIDTHEPADIYVLGLQEIVPLNAGNIFGAEDSRPVPKWENIIREALNRIRPAATKVKCYSDPPSPSKFEPSDDVRNLDEEISLESDSDIGEEIYPLDEEPLGFDEFNNAAESKTLFTNSGVSECNDGSKLDVPVEQDLQRQFSSPKKLDRLNCLRMEGYAENVEAPVSQQNAKFTRMLSGIQQNGKLTRILSGTERIGLSWPEPPLNLLSQHVLDRPASFKSVKSFRATKSFRTYSSFRSINDMASGIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRKHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNSDVHEILRRTHFHSRSAIGLPKSIHDHEKIIWFGDLNYRLNLSYDKARDFISKREWSKLIERDQLVRELQKGRTFDGWSEGALNFAPTYKYELNSKKYYGEDPKIGRRIPAWCDRILSRGKGMRQLSYRRTEQKLSDHRPVTAIYMAEVEVFCPKKLQRALIYTNAEIENEEVEAEDFDY >KJB28958 pep chromosome:Graimondii2_0_v6:5:8750125:8759597:1 gene:B456_005G076900 transcript:KJB28958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKHHSEHFWPSAFMRKLLNITPRGSDYSADTEEEDDVGSDSETEEFFECSHGRVSRFKESREEEPPSDLNDNITRIRRRRRKSETFRAQYIDSKEIRICVGTWNVGGKVPPDDLDIDDWIDTHEPADIYVLGLQEIVPLNAGNIFGAEDSRPVPKWENIIREALNRIRPAATKVKCYSDPPSPSKFEPSDDVRNLDEEISLESDSDIGEEIYPLDEEPLGFDEFNNAAESKTLFTNSGVSECNDGSKLDVPVEQDLQRQFSSPKKLDRLNCLRMEGYAENVEAPVSQQNAKFTRMLSGIQQNGKLTRILSGTERIGLSWPEPPLNLLSQHVLDRPASFKSVKSFRATKSFRTYSSFRSINDMASGIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRKHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNSDVHEILRRTHFHSRSAIGLPKSIHDHEKIIWFGDLNYRLNLSYDKARDFISKREWSKLIERDQLVRELQKGRTFDGWSEGALNFAPTYKYELNSKKYYGEDPKIGRRIPAWCDRILSRGKGMRQLSYRRTEQKLSDHRPVTAIYMAEVEVFCPKKLQRALIYTNAEIENEEVEAEDFDY >KJB28956 pep chromosome:Graimondii2_0_v6:5:8751353:8759597:1 gene:B456_005G076900 transcript:KJB28956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKHHSEHFWPSAFMRKLLNITPRGSDYSADTEEEDDVGSDSETEEFFECSHGRVSRFKESREEEPPSDLNDNITRIRRRRRKSETFRAQYIDSKEIRICVGTWNVGGKVPPDDLDIDDWIDTHEPADIYVLGLQEIVPLNAGNIFGAEDSRPVPKWENIIREALNRIRPAATKVKCYSDPPSPSKFEPSDDVRNLDEEISLESDSDIGEEIYPLDEEPLGFDEFNNAAESKTLFTNSGVSECNDGSKLDVPVEQDLQRQFSSPKKLDRLNCLRMEGYAENVEAPVSQQNAKFTRMLSGIQQNGKLTRILSGTERIGLSWPEPPLNLLSQHVLDRPASFKSVKSFRATKSFRTYSSFRSINDMASGIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRKHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNSDVHEILRRTHFHSRSAIGLPKSIHDHEKIIWFGDLNYRLNLSYDKARDFISKREWSKLIERDQLVRELQKGRTFDGWSEGALNFAPTYKYELNSKKYYGEDPKIGRRIPAWCDRILSRGKGMRQLSYRRTEQKLSDHRPVTAIYMAEVEVFCPKKLQRALIYTNAEIENEEVEAEDFDY >KJB28954 pep chromosome:Graimondii2_0_v6:5:8751353:8759597:1 gene:B456_005G076900 transcript:KJB28954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKHHSEHFWPSAFMRKLLNITPRGSDYSADTEEEDDVGSDSETEEFFECSHGRVSRFKESREEEPPSDLNDNITRIRRRRRKSETFRAQYIDSKEIRICVGTWNVGGKVPPDDLDIDDWIDTHEPADIYVLGLQEIVPLNAGNIFGAEDSRPVPKWENIIREALNRIRPAATKVKCYSDPPSPSKFEPSDDVRNLDEEISLESDSDIGEEIYPLDEEPLGFDEFNNAAESKTLFTNSGVSECNDGSKLDVPVEQDLQRQFSSPKKLDRLNCLRMEGYAENVEAPVSQQNAKFTRMLSGIQQNGKLTRILSGTERIGLSWPEPPLNLLSQHVLDRPASFKSVKSFRATKSFRTYSSFRSINDMASGIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRKHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNSDVHEILRRTHFHSRSAIGLPKSIHDHEKIIWFGDLNYRLNLSYDKARDFISKREWSKLIERDQLVRELQKGRTFDGWSEGALNFAPTYKYELNSKKYYGEDPKIGRRIPAWCDRILSRGKGMRQLSYRRTEQKLSDHRPVTAIYMAEVEVFCPKKLQRALIYTNAEIENEEVEAEDFDY >KJB29013 pep chromosome:Graimondii2_0_v6:5:9178529:9181360:1 gene:B456_005G079400 transcript:KJB29013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISKRVLKRLACCYCRLRSQPSFIHHFRNFSLWSTKKDPDLEAALSRNRRWIVNNQIKNIILRCPNQVVPLEYLQKKFKTLDLQGKALNWLKKYPCCFEIYLENDEYHCRLTKRMIHLVEEEELVKDMQEPVFVQKLAKLLMMSVNQRLNVTKLNELKHSFGFPDDYIIRILPKHPEMFRLVNNGWRKSSMEIELLAWNPDLAVSAVEASAQKQGIEPCFSCSLPSTWIKSWQRFEEFNAIPYISPFLNPRGLEEGSKEMEKRIVGLVHELLSLTLWKKLSIVKLSHFKREFALPEKLNVLLLKHPGIFYVSNKYQIYTVLLREAYNGSELVDKNPLVIVKNKFGDLMQEGLHEYNQRRRVVNLEKKRKCMNLMKPERRKVASSETSNQDDNGDTLGGLGRLFDPEERKRFYKVLFDER >KJB29012 pep chromosome:Graimondii2_0_v6:5:9178442:9181360:1 gene:B456_005G079400 transcript:KJB29012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISKRVLKRLACCYCRLRSQPSFIHHFRNFSLWSTKKDPDLEAALSRNRRWIVNNQIKNIILRCPNQVVPLEYLQKKFKTLDLQGKALNWLKKYPCCFEIYLENDEYHCRLTKRMIHLVEEEELVKDMQEPVFVQKLAKLLMMSVNQRLNVTKLNELKHSFGFPDDYIIRILPKHPEMFRLVNNGWRKSSMEIELLAWNPDLAVSAVEASAQKQGIEPCFSCSLPSTWIKSWQRFEEFNAIPYISPFLNPRGLEEGSKEMEKRIVGLVHELLSLTLWKKLSIVKLSHFKREFALPEKLNVLLLKHPGIFYVSNKYQIYTVLLREAYNGSELVDKNPLVIVKNKFGDLMQEGLHEYNQRRRVVNLEKKRKCMNLMKPERRKVASSETSNQDDNGDTLGGLGRLFDPEERKRFYKVLFDER >KJB29014 pep chromosome:Graimondii2_0_v6:5:9179293:9181387:1 gene:B456_005G079400 transcript:KJB29014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISKRVLKRLACCYCRLRSQPSFIHHFRNFSLWSTKKDPDLEAALSRNRRWIVNNQIKNIILRCPNQVVPLEYLQKKFKTLDLQGKALNWLKKYPCCFEIYLENDEYHCRLTKRMIHLVEEEELVKDMQEPVFVQKLAKLLMMSVNQRLNVTKLNELKHSFGFPDDYIIRILPKHPEMFRLVNNGWRKSSMEIELLAWNPDLAVSAVEASAQKQGIEPCFSCSLPSTWIKSWQRFEEFNAIPYISPFLNPRGLEEGSKEMEKRIVGLVHELLSLTLWKKLSIVKLSHFKREFALPEKLNVLLLKHPGIFYVSNKYQIYTVLLREAYNGSELVDKNPLVIVKNKFGDLMQEGLHEYNQRRRVVNLEKKRKCMNLMKPERRKVASSETSNQDDNGDTLGGLGRLFDPEERKRFYKVLFDER >KJB29011 pep chromosome:Graimondii2_0_v6:5:9178336:9181387:1 gene:B456_005G079400 transcript:KJB29011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISKRVLKRLACCYCRLRSQPSFIHHFRNFSLWSTKKDPDLEAALSRNRRWIVNNQIKNIILRCPNQVVPLEYLQKKFKTLDLQGKALNWLKKYPCCFEIYLENDEYHCRLTKRMIHLVEEEELVKDMQEPVFVQKLAKLLMMSVNQRLNVTKLNELKHSFGFPDDYIIRILPKHPEMFRLVNNGWRKSSMEIELLAWNPDLAVSAVEASAQKQGIEPCFSCSLPSTWIKSWQRFEEFNAIPYISPFLNPRGLEEGSKEMEKRIVGLVHELLSLTLWKKLSIVKLSHFKREFALPEKLNVLLLKHPGIFYVSNKYQIYTVLLREAYNGSELVDKNPLVIVKNKFGDLMQEGLHEYNQRRRVVNLEKKRKCMNLMKPERRKVASSETSNQDDNGDTLGGLGRLFDPEERKRFYKVLFDER >KJB30236 pep chromosome:Graimondii2_0_v6:5:33859403:33861711:1 gene:B456_005G134100 transcript:KJB30236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSSSNTAMMEIQANKPAGTGMVVGGLSPLSETLWREKTVTEFMGDVSARLTWEDLTVMVTLSNGATQKVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDTMPWSAKRDLVEGTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSEGKTIYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTTEAIRTLINFYRTSHQCYAAKEKVDEISKVRGTVLDSGGSQASFLMQSYTLTKRSFVNMSRDFGYYWLRLLIYVVVTVCIGTIYLNIGTSYNSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVIGNTLSAMPFLIMITFISGTICYFMVRLHPGFEHYMFFVLCLYASVTVVESLMMAIASIVPNFLMGIITGAGIQVSLSSTLFCIVPIVFTSIWKTYIYACFFSFKYLCWTYR >KJB30233 pep chromosome:Graimondii2_0_v6:5:33859151:33862807:1 gene:B456_005G134100 transcript:KJB30233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPVALTAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSEGKTIYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTTEAIRTLINFYRTSHQCYAAKEKVDEISKVRGTVLDSGGSQASFLMQSYTLTKRSFVNMSRDFGYYWLRLLIYVVVTVCIGTIYLNIGTSYNSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVIGNTLSAMPFLIMITFISGTICYFMVRLHPGFEHYMFFVLCLYASVTVVESLMMAIASIVPNFLMGIITGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLKGLLFDNQPPELPKIPGEYILENVFQIDVGRSKWIDLSVIFSMIIIYRIIFFLMIKISEDVTPWIRGLVARRRMQQKNGTQNTMVAPSLLQSPSLRNYVANRANGRGKR >KJB30237 pep chromosome:Graimondii2_0_v6:5:33859151:33862807:1 gene:B456_005G134100 transcript:KJB30237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSSSNTAMMEIQANKPAGTGMVVGGLSPLSETLWREKTVTEFMGDVSARLTWEDLTVMVTLSNGATQKVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDTMPWSAKRDLVEGTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSEGKTIYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTTEAIRTLINFYRTSHQCYAAKEKVDEISKVRGTVLDSGGSQASFLMQSYTLTKRSFVNMSRDFGYYWLRLLIYVVVTVCIGTIYLNIGTSYNSILARGACASFVFGFVTFMSIGGFPSFVEDMKVSFPKGEVKWPLWCNCICYREYTVSYAIPHNDNLHLWNYLLLYGSSSPRL >KJB30232 pep chromosome:Graimondii2_0_v6:5:33859151:33862807:1 gene:B456_005G134100 transcript:KJB30232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSSSNTAMMEIQANKPAGTGMVVGGLSPLSETLWREKTVTEFMGDVSARLTWEDLTVMVTLSNGATQKVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDTMPWSAKRDLVEGTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSEGKTIYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTTEAIRTLINFYRTSHQCYAAKEKVDEISKVRGTVLDSGGSQASFLMQSYTLTKRSFVNMSRDFGYYWLRLLIYVVVTVCIGTIYLNIGTSYNSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVIGNTLSAMPFLIMITFISGTICYFMVRLHPGFEHYMFFVLCLYASVTVVESLMMAIASIVPNFLMGIITGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQVIKYRKLKLQKLFRYRLIKD >KJB30235 pep chromosome:Graimondii2_0_v6:5:33859151:33862807:1 gene:B456_005G134100 transcript:KJB30235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSSSNTAMMEIQANKPAGTGMVVGGLSPLSETLWREKTVTEFMGDVSARLTWEDLTVMVTLSNGATQKVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDTMPWSAKRDLVEGTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSEGKTIYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTTEAIRTLINFYRTSHQCYAAKEKVDEISKVRGTVLDSGGSQASFLMQSYTLTKRSFVNMSRDFGYYWLRLLIYVVVTVCIGTIYLNIGTSYNSILVLGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVIGNTLSAMPFLIMITFISGTICYFMVRLHPGFEHYMFFVLCLYASVTVVESLMMAIASIVPNFLMGIITGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLKGLLFDNQPPELPKIPGEYILENVFQIDVGRSKWIDLSVIFSMIIIYRIIFFLMIKISEDVTPWIRGLVARRRMQQKNGTQNTMVAPSLLQSPSLRNYVANRANGRGKR >KJB30230 pep chromosome:Graimondii2_0_v6:5:33859046:33862807:1 gene:B456_005G134100 transcript:KJB30230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSSSNTAMMEIQANKPAGTGMVVGGLSPLSETLWREKTVTEFMGDVSARLTWEDLTVMVTLSNGATQKVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDTMPWSAKRDLVEGTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSEGKTIYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTTEAIRTLINFYRTSHQCYAAKEKVDEISKVRGTVLDSGGSQASFLMQSYTLTKRSFVNMSRDFGYYWLRLLIYVVVTVCIGTIYLNIGTSYNSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVIGNTLSAMPFLIMITFISGTICYFMVRLHPGFEHYMFFVLCLYASVTVVESLMMAIASIVPNFLMGIITGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLKGLLFDNQPPELPKIPGEYILENVFQIDVGRSKWIDLSVIFSMIIIYRIIFFLMIKISEDVTPWIRGLVARRRMQQKNGTQNTMVAPSLLQSPSLRNYVANRANGRGKR >KJB30231 pep chromosome:Graimondii2_0_v6:5:33859151:33862807:1 gene:B456_005G134100 transcript:KJB30231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSSSNTAMMEIQANKPAGTGMVVGGLSPLSETLWREKTVTEFMGDVSARLTWEDLTVMVTLSNGATQKVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDTMPWSAKRDLVEGTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSEGKTIYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTTEAIRTLINFYRTSHQCYAAKEKVDEISKVRGTVLDSGGSQASFLMQSYTLTKRSFVNMSRDFGYYWLRLLIYVVVTVCIGTIYLNIGTSYNSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVIGNTLSAMPFLIMITFISGTICYFMVRLHPGFEHYMFFVLCLYASVTVVESLMMAIASIVPNFLMGIITGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQYIFVSGTIPK >KJB30234 pep chromosome:Graimondii2_0_v6:5:33859151:33862807:1 gene:B456_005G134100 transcript:KJB30234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSSSNTAMMEIQANKPAGTGMVVGGLSPLSETLWREKTVTEFMGDVSARLTWEDLTVMVTLSNGATQKVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDTMPWSAKRDLVEGTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSEGKTIYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTTEAIRTLINFYRTSHQCYAAKEKVDEISKVVRTVLDSGGSQASFLMQSYTLTKRSFVNMSRDFGYYWLRLLIYVVVTVCIGTIYLNIGTSYNSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVIGNTLSAMPFLIMITFISGTICYFMVRLHPGFEHYMFFVLCLYASVTVVESLMMAIASIVPNFLMGIITGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLKGLLFDNQPPELPKIPGEYILENVFQIDVGRSKWIDLSVIFSMIIIYRIIFFLMIKISEDVTPWIRGLVARRRMQQKNGTQNTMVAPSLLQSPSLRNYVANRANGRGKR >KJB28138 pep chromosome:Graimondii2_0_v6:5:2657124:2657858:1 gene:B456_005G029600 transcript:KJB28138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKLVLEKNIVHVFPLLELCFYLLLFLNGLVLCYLGNLYNYLMESWPTATNDINTTISLALLTSITYFYADLSKKGLGYFSKYIQNTPIILPINILEDFTKPLSLSFRHFGNILADKLVVVVLVSLVPSIVLIHVMFLGLFTSGIEALIFATLDNVLLRIIIS >KJB29751 pep chromosome:Graimondii2_0_v6:5:23409039:23409251:1 gene:B456_005G117200 transcript:KJB29751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPGYVTAQDVILPPFVEIVDNTQHVASLTKPIDLCIGLQIERNRGYGIKTPKNFHDGSYPIDVFMLVRNA >KJB32780 pep chromosome:Graimondii2_0_v6:5:63620836:63621426:-1 gene:B456_005G261300 transcript:KJB32780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIYKRSTYMTSSQTTFPSTVSPFTQFFSFNFALKMKMFEGNEAWSDDTFYFDHTLQSQSCRIEPANIPLKDNPFPSTFLL >KJB32890 pep chromosome:Graimondii2_0_v6:5:63945058:63948457:1 gene:B456_005G266700 transcript:KJB32890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASRIDKEGRVQACKDRKKLMKQLVGYRGEFADAQLAYLRALKNTGVTLKQFTESDTLELENTSYALRLPPSPPSPLPPSPPPPPSFSPESRKAGGDNGKGEVGQEDSMEINQDDCSTLPVRSTSSSWNYWGLFESTSPPHHPKQSEIVESVEDEGQGEEIGENTKISTLPEKSQPGEIIDDNSSSTSLNDKDSSDVTMVVWKKNKSLEEIVKELDDYFLEASAGGKAIAVFTDKNIGDNSHPSKLKENKRKSSNSAKVFSALSWSWSSKSLQFARDAVQCGSNEPCKPGAHCSTLDKLYAAEQKLYKEVKEEETTKLERERKLMLLQKQDENHDWSKTEKIQSSVENLDNVLRHLQNSISTSCSSILEIIDEELYPQLETLISGLMEMWKMMYKCHRVQNLISQQLNHVTDISIDLTTDSHRQATDQLETEVSFWYYSFCKLMKSQQEYVRTLCKWIQLTDCLVDDNHQSRHASAVRKLCGEWQCGFEKLPDKAASEAIQSFLLAIRAIIQHQAAEHSQQRKSDKLQERLQKELTSLSELKKKVEGSVAADLSPKHPLSLKCAKIEALEKRVDMEMGKHLNLVQVNKTMTLNNLKTSLPCAFQALMKFSKASVQVFEAIHGHAQPEIPCNEASETSAILMCNQRFHLGLQDEKRK >KJB32889 pep chromosome:Graimondii2_0_v6:5:63945058:63948457:1 gene:B456_005G266700 transcript:KJB32889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASRIDKEGRVQACKDRKKLMKQLVGYRGEFADAQLAYLRALKNTGVTLKQFTESDTLELENTSYALRLPPSPPSPLPPSPPPPPSFSPESRKAGGDNGKGEVGQEDSMEINQDDCSTLPVRSTSSSWNYWGLFESTSPPHHPKQSEIVESVEDEGQGEEIGENTKISTLPEKSQPGEIIDDNSSSTSLNDKDSSDVTMVVWKKNKSLEEIVKELDDYFLEASAGGKAIAVFTDKNIGDNSHPSKLKENKRKSSNSAKVFSALSWSWSSKSLQFARDAVQCGSNEPCKPGAHCSTLDKLYAAEQKLYKEVKEEETTKLERERKLMLLQKQDENHDWSKTEKIQSSVENLDNVLRHLQNSISTSCSSILEIIDEELYPQLETLISGLMEMWKMMYKCHRVQNLISQQLNHVTDISIDLTTDSHRQATDQLETEVSFWYYSFCKLMKSQQEYVRTLCKWIQLTDCLVDDNHQSRHASAVRKLCGEWQCGFEKLPDKAASEAIQSFLLAIRAIIQHQAAEHSQQRKSDKLQERLQKELTSLSELKKKVEGSVAADLSPKHPLSLKCAKIEALEKRVDMEMGKHLNLVQVNKTMTLNNLKTSLPCAFQALMKFSKASVQVFEAIHGHAQPEIPCNEASETSAILMCNQRFHLGLQDEKRK >KJB27813 pep chromosome:Graimondii2_0_v6:5:776271:777468:-1 gene:B456_005G011300 transcript:KJB27813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQAYKAMQRPKHGSSAGSEDMEDAMRSKVKSWDCSSSSSSSSSDSDSSSSSESSNSDDEERRSRILKSKSRRNEKKKSKSTKNKSSTS >KJB27814 pep chromosome:Graimondii2_0_v6:5:770187:777302:-1 gene:B456_005G011300 transcript:KJB27814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQAYKAMQRPKHGSSAGSEDMEDAMRSKVKSWDCSSSSSSSSSDSDSSSSSESSNSDDEERRSRILKSKSRRNEKKKSKSTKNKSSTS >KJB27815 pep chromosome:Graimondii2_0_v6:5:776471:777302:-1 gene:B456_005G011300 transcript:KJB27815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQAYKAMQRPKHGSSAGSEDMEDAMVTQRSKVKSWDCSSSSSSSSSDSDSSSSSESSNSDDEERRSRILKSKSRRNEKKKSKSTKNKSSTS >KJB30187 pep chromosome:Graimondii2_0_v6:5:33255066:33256637:-1 gene:B456_005G133100 transcript:KJB30187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIDWSKSPKPSNTTISLSFEWAMKNLSSGIHPTLPTKQTLKHQGDRLEIPSAAARCEWDFSLSTVVSSSANAAVSDALGSIEFDPSNTVVATGGIARKIRIYSLNSLLPEDNIQLLTRGEQNDTAFLDHVNACSFYICTPAKLSSLRWRPGSGGRLLGSGDYDGVVMEYDLERKLPVFERDEHGGRRVWSVDYSHWDPFVGASGSDDGTMQMWDPRCGGEGGECVAKVQPAAARSSVCCVEFSPFGDSLIALGCADRMAYAYDVRKMVEPLHVLEGHTKTVTYVRFLDAQTMVSAGTDGCLKLWNMSDCGLIRTCKGHVNSRSFVGLSVWRHGGLLGCGSENNQVFVYDKRWDEPICARGLEPMARPGFGHAFVSSVCWRQVQEDHCTLLAGGSDGVLQVFVGTKIS >KJB32523 pep chromosome:Graimondii2_0_v6:5:62781887:62784774:-1 gene:B456_005G248000 transcript:KJB32523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYKRVVQLGFDAYSSSLVNKFGSRQISQLVNSNGKRAFLVDTLALVRSLEAQGVPSKQAEAITAAITEVLNDSLENVSHSFVSKAEMQKTEMLQEANLSKFKSEVKSSQDYHFSMLQRETEKLRGDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQNAETTNLTNKLDREIHALRAQLEAAKYDVIKYCIGTLVSISAVSLAVLRILM >KJB32522 pep chromosome:Graimondii2_0_v6:5:62773894:62784774:-1 gene:B456_005G248000 transcript:KJB32522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYKRVVQLGFDAYSSSLVNKFGSRQISQLVNSNGKRAFLVDTLALVRSLEAQGVPSKQAEAITAAITEVLNDSLENVSHSFVSKAEMQKTEMLQEANLSKFKSEVKSSQDYHFSMLQRETEKLRGDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQNAETTNLTNKLDREIHALRAQLEAAKYDVIKYCIGTLVSISAVSLAVLRILM >KJB32521 pep chromosome:Graimondii2_0_v6:5:62779331:62784774:-1 gene:B456_005G248000 transcript:KJB32521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYKRVVQLGFDAYSSSLVNKFGSRQISQLVNSNGKRAFLVDTLALVRSLEAQGVPSKQAEAITAAITEVLNDSLENVSHSFVSKAEMQKTEMLQEANLSKFKSEVKSSQDYHFSMLQRETEKLRGDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQNAETTNLTNKLDREIHALRAQLEAAKYDVIKYCIGTLVSISAVSLAVLRILM >KJB29510 pep chromosome:Graimondii2_0_v6:5:18146117:18150355:1 gene:B456_005G104400 transcript:KJB29510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELGPFRVEKDGKTLHRNEYAWNKESPAGVGFSYSNRTSDYKSSGDLRTAQDSLPFLEFFLAGESYAGHYVPQVAHTKLQNNQHTNQTIINLKGIAIGNASIDFETIMRGADLWIKQMMLLVTCMPLCSLMQFLLNFHLPYIPSCGDTDGALPLLCSKYAINKLGMRIKTAWYPWYIHGEVGGYAVGYQNLTFVTVRGAGHSVPSYQKAWALVLFSSFLNGKLPPSARRSLHKLLSPEATMKVTIKYLLLVLSCYFTTFLISCKGNQIANLNRLIESRKSPHPESWALLNDQEDSHNSPVYVGSQKGMMQSDKINALPGQPEGVDFDQYAGYVMVDPIADRALFYYFVESPQNSSDKPLVLWLNGGPGCSSLGYGAMLELGPFRVNKDGKTLYRNEYAWNKVANVIFLESPAGVGFSYSNDSSDYTKVGDKRTTKDSYVFLINWLERFPQYKRRDFFITGESYAGHYVPQLAYYILSRNKNTNQTVINLKGIAIGNAWIDDAICMKGMFDYLWTHALNSDETNEGINKYCNFVSEDSVSKKGDGDDNTIQCGKYLSQGFREMGFIDLYDIYAPQCNLSAIKPGSNGNIMNFDPCSGFHVKSYLNLAKVQAAFHAKATKWSGCR >KJB29511 pep chromosome:Graimondii2_0_v6:5:18146117:18150992:1 gene:B456_005G104400 transcript:KJB29511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELGPFRVEKDGKTLHRNEYAWNKESPAGVGFSYSNRTSDYKSSGDLRTAQDSLPFLEFFLAGESYAGHYVPQVAHTKLQNNQHTNQTIINLKGIAIGNASIDFETIMRGADLWIKQMMLLVTCMPLCSLMQFLLNFHLPYIPSCGDTDGALPLLCSKYAINKLGMRIKTAWYPWYIHGEVGGYAVGYQNLTFVTVRGAGHSVPSYQKAWALVLFSSFLNGKLPPSARRSLHKLLSPEATMKVTIKYLLLVLSCYFTTFLISCKGNQIANLNRLIESRKSPHPESWALLNDQEDSHNSPVYVGSQKGMMQSDKINALPGQPEGVDFDQYAGYVMVDPIADRALFYYFVESPQNSSDKPLVLWLNGGPGCSSLGYGAMLELGPFRVNKDGKTLYRNEYAWNKVANVIFLESPAGVGFSYSNDSSDYTKVGDKRTTKDSYVFLINWLERFPQYKRRDFFITGESYAGHYVPQLAYYILSRNKNTNQTVINLKGIAIGNAWIDDAICMKGMFDYLWTHALNSDETNEGINKYCNFVSEDSVSKKGDGDDNTIQCGKYLSQGFREMGFIDLYDIYAPQCNLSAIKPGSNGNIMNFDPCSGFHVKSYLNLAKVQAAFHAKATKWSGCSVGWTDSPMSVLPEIRNLSREIRVWIYSGDTDGRVPVTSSRYAIKTLELPVETAWRPWYSNSEVGGYVVGYKGVVFTTVRGAGHTVPSYQPERALTMITSFLHGKLPPDVSPF >KJB29512 pep chromosome:Graimondii2_0_v6:5:18147779:18151194:1 gene:B456_005G104400 transcript:KJB29512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTIKYLLLVLSCYFTTFLISCKGNQIANLNRLIESRKSPHPESWALLNDQEDSHNSPVYVGSQKGMMQSDKINALPGQPEGVDFDQYAGYVMVDPIADRALFYYFVESPQNSSDKPLVLWLNGGPGCSSLGYGAMLELGPFRVNKDGKTLYRNEYAWNKVANVIFLESPAGVGFSYSNDSSDYTKVGDKRTTKDSYVFLINWLERFPQYKRRDFFITGESYAGHYVPQLAYYILSRNKNTNQTVINLKGIAIGNAWIDDAICMKGMFDYLWTHALNSDETNEGINKYCNFVSEDSVSKKGDGDDNTIQCGKYLSQGFREMGFIDLYDIYAPQCNLSAIKPGSNGNIMNFDPCSGFHVKSYLNLAKVQAAFHAKATKWSGCSSVGWTDSPMSVLPEIRNLSREIRVWIYSGDTDGRVPVTSSRYAIKTLELPVETAWRPWYSNSEVGGYVVGYKGVVFTTVRGAGHTVPSYQPERALTMITSFLHGKLPPDVSPF >KJB30927 pep chromosome:Graimondii2_0_v6:5:49347991:49350720:-1 gene:B456_005G168200 transcript:KJB30927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRTSTQILLLSILLLTKSNFLEAAESNTDSRNVVCIERERKALLEFRKGLKDPSGGFRLGNTTGNILKLDLKTIDVSSSFGQSEALNNRTCLGGMLNPSLLNLKYLSYLDMSGNNFQGIPIPEFIGSLKNLRYLDLSEASFNGEVPHSLGNLSYLEYLDLSMYGSYPLQLWASAMNLSRANNWFEAVNMLLSLTTLYLSSCELNGLPESLTVNFTLLSTLDLSYNNFSTWIPRWLFNITTLQRVNLYECGLKGSIREGFIDALGGCSNNTLPDLDLSSDNLQGNLLDSLGKLKYLRFTSMWSIGNLSSLTILDLSFNSMNATVLQNIGQLTRIITENHFWNLSRLYRFASSSISKSVIFNLSRDWVPSYSLSEITVSNCQPGPGFPTWLRTQVELSQLTLSVAGISDMIPVWFWNLTSSLWWVDLSDNQFRGKLPGSVSFGYNIGAWHLSLRNNLFCGPVPSNIGQKMSKVINLDLSRNFLNGSIPASINQMETLSFLDLSSNCLSRTILRKLQGLRKLEILDLSKNNLLGDVTSSLCALPSLIFLKLSCNNLSGELFSVLKNCSGLLSIDLGENRFSGTIPDLCEFHNLHIIGLAQNNLSGTIPKCLGHLEAFTYLGPYSYELPSTQHIRFLQHVEIVSKGNVIDLSSNDLKGDIPDHITELSALVTLNLSWNHLSGNIPENIGNLQRLESSIPASMISMTLLNHLNLSFNKLSRQIPTGNRFQTFNDPSIHQENPELCGPPL >KJB27906 pep chromosome:Graimondii2_0_v6:5:1166761:1171246:1 gene:B456_005G015900 transcript:KJB27906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKSPGLPPPSGRYTGFAAVGNVLNSTGCFGQSSDANRFSQDISKMPDNPPKNLGHRRAHSEILTLPDDISFDSDLGVVGAADGPSYSDDTEEDMFSMFIDMDKFNSSSATSTFQVGESSAPAAAPATAHMAAAAWTGMNGENQSVTVGSSEKPRVRHQHSQSMDGSTSIKPEMLMSGSEEVSPAEAKKALSATKLAELAIIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLNAQLSLLQRDTGTLTAENNELKLRLTTMEQQVHLQDALNEALKDEIQHLKVLTGQSIPNGGPMMNYASYGTNQQYYPNNQAMHTLLTAQQFQQLQIQSQKQPHPFQLQHQTGDMGVRGPVPTPNHKDASSDVSLTASKD >KJB27420 pep chromosome:Graimondii2_0_v6:5:6641199:6641558:1 gene:B456_005G0631001 transcript:KJB27420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TNSQCYKWVNVNAIKRLLEPDALKMEIIPNPKVVNGIKVLQLETAVGAAIRVENCTSYGYDVLKCKKFCLTMCFPYVIKFFDHAIGINVPRL >KJB27419 pep chromosome:Graimondii2_0_v6:5:6641100:6641558:1 gene:B456_005G0631001 transcript:KJB27419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELSIWLSTNSQCYKWVNVNAIKRLLEPDALKMEIIPNPKVVNGIKVLQLETAVGAAIRVENCTSYGYDVLKCKKFCLTMCFPYVIKFFDHAIGINVPRL >KJB27937 pep chromosome:Graimondii2_0_v6:5:1291781:1294197:-1 gene:B456_005G017600 transcript:KJB27937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSDDDSGGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNPHSKATIGVEFQTQSMGIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRRTTFDSVGRWLDELKMHSDTTVARMLVGNKCDLETIRDVSVEEGKALAEEHDLFFMETSALDSTNVKKAFELVIREIYNNVSRKVLNSDTYKAELTVNRVSLTKTETDASKQTQNFSCCSR >KJB32310 pep chromosome:Graimondii2_0_v6:5:61709890:61711287:-1 gene:B456_005G234700 transcript:KJB32310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNEGQEEIHVLMVTLTAQGHLNPMLRLAKRLVDEGLHVTLATTQFGCHRILKAVTTTTTTTDATNFMSGIRLLSFPDGFNLDYDRGANLDHYMESLAKAGPLNLSNLIKQHYHRTKLSCIISNPFVSWAVDAALENGIPCAMLWIQPCSLYAIYYRFYKLNQFPTTLISNMIIELPGLPLLHTEDLPSFLLPSNSFGGVFKLLSDMFETIKKYKWVLANSFFELEKEAIESMTELCPIRPVGPLVPPLLLDQHQSLDVGIEMEKPDETSLDWLNKQRPHSVIYVSFGSLAVLSAKTMEAIATALKNTKHPFLWVVKTAGQLPLGFEEETKTQGLIIPWSLQTKVLAHPSIACFITHCGWNSMLEALVAGVPMIAYPQWSDQPTNAKLVVDVFKVGLKLKPGPNGVISNEDIEECIIQMLSGPDFEGFKKNAAVLKQAACEAVTSGGSSERNIRLFVNEIARRS >KJB28655 pep chromosome:Graimondii2_0_v6:5:6353460:6359224:1 gene:B456_005G060900 transcript:KJB28655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTLEMEPVEPQSLKKLSLKSLKRALDLFSPSHGQFAAPDPESKKIRMSHKINVEYCGIKTASGQTSRQANSGAAETGNQGSLPSNALALTGPADSRDSQKGGAQNALVVGPSLQPKGQNDVGTSGKSSAITSASAPFSERLTTSAIIERIPSKWPRPVWHRPWKNYRVISGHLGWVRSVAFDPSNNWFCTGSADRTIKIWDVASGRLKLTLTGHIEQIRGLAVSSKHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKMQIFALSGHDNTVCSVFTRPTDPQIVTGSHDTTIKFWDLRYGKTMSTLTHHKKSVRAMAPHPKEHSFASASADNIKKFSLPKGEFLHNMLSQQKTIINTMAVNEDGVMATGGDNGSLWFWDWRSGHNFQQAQTIVQPGSLDSEAGIYALAYDVTGTRLISCEADKTIKMWKEDETATEQTHPLNFKPPKDIRRF >KJB31978 pep chromosome:Graimondii2_0_v6:5:60000517:60001139:-1 gene:B456_005G216900 transcript:KJB31978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQEEHGVGKYEVESEGVVIEERANEMEIEDLKGKLQVMKHFGQDDAAVQKKMEEMNNELQEKIDDLQDLESTNKALIYKERQSNDELHEARKVLIQGLPGLLGNRTNIGLKRMGELDPKAFHDTCKSRFPPDEAEIRATTLCSSWQENLKNPDWHPIFRKANKSKAGIG >KJB31388 pep chromosome:Graimondii2_0_v6:5:54946260:54956023:1 gene:B456_005G188600 transcript:KJB31388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGVVSTTTPTSFRLRWDVFLSFRGEDTRHGITNTLYCSLVGEGLRVFRDDDALRRGDEIAPSLVEAIEDSAAFVVILSENYATSHWCLEELARICELHSRSRRLVLPVFYGVDPSHVRKQRGPFKEAFFSHENRFGEKQANRWREAMAKVGSLVGFVKSDERELIRVLLREVLQQVNNTPVEVATYAVGLDTRVTELINLLDVKSSGIKVVGLHGIGGIGKTTLAKAVFNKILVHFDHRSFISNVRELSKQGDGLVSLQKKLIGDLFGSTGNFHLPADEFDANASRIRKIINENINEKRVLIVLDDVDQENQLNALGLGARVKWQNDGSIRIIITTRNKGVLNECYVNRTYEVRELHFDQALELFSYHALRREKPTKEFEKLSKQLVALTGNLPLALEVFGSFLLDKRKVTEWEDALNKLRDVRPHELQDVLKISFDALDRENQCIFLDVACCFLDLHTKREDIIDVLRGCGFKAEIGLRVLEEKSLIKFTEGDALWMHDQLRDMGKEIVQNENDDPGMRSRLWDRNQIMTVLQNHKGTRSIEGIVMDMKKVENGNQVVVHTKPFKSMVNLRLLQVNHVKLEGKFKFVPHELKWLQWQGCALKTLPSDFCPQKLAVLDLSESKIEKLWSSYSNNVAENLMVINLRGCPHLASLPDLSGQKNLQKIVLAYCVKLINIDKSVGTLISLHHLDMTGCLNLVEFPSDVSGMKNLQTLVLTDCSNLKELPEDIGSMRSLKELYVNRTGIEKLPDSIYRLEKLEKLSLNRCIHIKQLPRCVGKLASLKELHLDGSGLQELPDSVGYLENLEKLSLISCESLTAIPDTVGNLNLLKELFIKGRAITELPNSISSLSYLKCLYVGGIQMRKLPDSIRGLVSSVELEIEGTSITCLPSQIGDLRLLKKLVIFNCTSLESLPDSTGGLFALTSINIFKASITELPESFGMLENLITLRLNKCRKLHKLPSSMGNLKSLHHLYMKETAVTELPESFGMLTCLMVLNMRKDPNKQEQPNSSFVALPASFTNLLSLQELDARAWRICGEIPDDFEKLAAIESLDLGSNDFYKLPSSLRGLSLLRDLKLPKCEKLQSLPPLPSSLEKLNLANCISLATLSDLSNIKGLQELNLTNCEKLVDLPGLESLTSLRELYMSNCIGAGG >KJB31387 pep chromosome:Graimondii2_0_v6:5:54946260:54955841:1 gene:B456_005G188600 transcript:KJB31387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGVVSTTTPTSFRLRWDVFLSFRGEDTRHGITNTLYCSLVGEGLRVFRDDDALRRGDEIAPSLVEAIEDSAAFVVILSENYATSHWCLEELARICELHSRSRRLVLPVFYGVDPSHVRKQRGPFKEAFFSHENRFGEKQANRWREAMAKVGSLVGFVKSDERELIRVLLREVLQQVNNTPVEVATYAVGLDTRVTELINLLDVKSSGIKVVGLHGIGGIGKTTLAKAVFNKILVHFDHRSFISNVRELSKQGDGLVSLQKKLIGDLFGSTGNFHLPADEFDANASRIRKIINENINEKRVLIVLDDVDQENQLNALGLGARVKWQNDGSIRIIITTRNKGVLNECYVNRTYEVRELHFDQALELFSYHALRREKPTKEFEKLSKQLVALTGNLPLALEVFGSFLLDKRKVTEWEDALNKLRDVRPHELQDVLKISFDALDRENQCIFLDVACCFLDLHTKREDIIDVLRGCGFKAEIGLRVLEEKSLIKFTEGDALWMHDQLRDMGKEIVQNENDDPGMRSRLWDRNQIMTVLQNHKGTRSIEGIVMDMKKVENGNQVVVHTKPFKSMVNLRLLQVNHVKLEGKFKFVPHELKWLQWQGCALKTLPSDFCPQKLAVLDLSESKIEKLWSSYSNNVAENLMVINLRGCPHLASLPDLSGQKNLQKIVLAYCVKLINIDKSVGTLISLHHLDMTGCLNLVEFPSDVSGMKNLQTLVLTDCSNLKELPEDIGSMRSLKELYVNRTGIEKLPDSIYRLEKLEKLSLNRCIHIKQLPRCVGKLASLKELHLDGSGLQELPDSVGYLENLEKLSLISCESLTAIPDTVGNLNLLKELFIKGRAITELPNSISSLSYLKCLYVGGIQMRKLPDSIRGLVSSVELEIEGTSITCLPSQIGDLRLLKKLVIFNCTSLESLPDSTGGLFALTSINIFKASITELPESFGMLENLITLRLNKCRKLHKLPSSMGNLKSLHHLYMKETAVTELPESFGMLTCLMVLNMRKDPNKQEQPNSSFVALPASFTNLLSLQELDARAWRICGEIPDDFEKLAAIESLDLGSNDFYKLPSSLRGLSLLRDLKLPKCEKLQSLPPLPSSLEKLNLANCISLATLSDLSNIKGLQELNLTNCEKLVDLPGLESLTSLRELYMSNCSTCASAAKKRLSKVYLKNLRNLSMPGSRIPDWFTQEMVTFSSHKTRDLTGVIIAVVVSINHHIPDELRYQLPAVLDIQAQIFNGEEAIMTTALNLIGVPRTNQGNVHLCRYPAYRPLVSMLKDGFKIKVTRRNPPYVQGVELKKAGIFLVYENDDDYGGDDEDSLDENQQSVSEKLAKFFSSLEENDGIDHQSNCSHEIKEELQLQSKKQKRRPIKSCCSWCGRLSVKS >KJB31595 pep chromosome:Graimondii2_0_v6:5:57082188:57089190:-1 gene:B456_005G196900 transcript:KJB31595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQRRVSPRFQNLPNGSNSNIEKIWNATKSRKTLNTRGSPRFQNLLNVGNSNIEKIRNATDSGKKSNTRASPNSNIVMIRNETDSAKISNTRVSPRFQNLPKFVKMRDATDTRKKSNTRVSPRFQNLPNGGNSNNEKKRDATLSTKTSNTRASPRFQNLPNIEKIWGATDSRTTSNTRVSPRFQNLPNGGNSNNEKKRDATPSTKTSNTRVSPRFQNLPKFEKIWDATDPRKTSNTRVSPRFQNLPNGDNSNTEKLRDATLSIKTSNTRVSPRFQNVPNVDNSNIEKTWDPTDSRKTLNTRVSPRFQTLPNGDNSNIEKIQNVPDSRKTPNTRVSPRLQSIPLEKRPFYGSSQKRKTMNDSQDEIMVKKHKVGNTKLECLSNGYVTVENGEKDVADLQETGSKGGNYGDDLTSIHGISTTMAVKDKLRLFNKYFLHFSKAEDARCYRVNGSASDHEIANGKIKDKEEGCEGHVKQAKRSKHKGCVTKRPDLKAISEMLNKNEVLCHERYFGDLPGIEVGHRFYSRAEMVAVGLHKLLLKRIDYIGKPYVKSEYNGYTFPLAAAIVMSGQYEDDFGNREEIVYTGEGEKDIPGKKRQFRDQVMRCGNLALKNNKKQSVPVRVIRGRKCDDSYSKKVYIYDGLYKVTGYWDEKGVSGFKVFKYRLKRLRGQDNLTSQNQVHFVRGKVSRVQQELLGLVCKDLSNGQEDKCIPVFNFYNPSLAPTGKLN >KJB31592 pep chromosome:Graimondii2_0_v6:5:57079137:57089190:-1 gene:B456_005G196900 transcript:KJB31592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQRRVSPRFQNLPNGSNSNIEKIWNATKSRKTLNTRGSPRFQNLLNVGNSNIEKIRNATDSGKKSNTRASPNSNIVMIRNETDSAKISNTRVSPRFQNLPKFVKMRDATDTRKKSNTRVSPRFQNLPNGGNSNNEKKRDATLSTKTSNTRASPRFQNLPNIEKIWGATDSRTTSNTRTSNTRVSPRFQNLPKFEKIWDATDPRKTSNTRVSPRFQNLPNGDNSNTEKLRDATLSIKTSNTRVSPRFQNVPNVDNSNIEKTWDPTDSRKTLNTRVSPRFQTLPNGDNSNIEKIQNVPDSRKTPNTRVSPRLQSIPLEKRPFYGSSQKRKTMNDSQDEIMVKKHKVGNTKLECLSNGYVTVENGEKDVADLQETGSKGGNYGDDLTSIHGISTTMAVKDKLRLFNKYFLHFSKAEDARCYRVNGSASDHEIANGKIKDKEEGCEGHVKQAKRSKHKGCVTKRPDLKAISEMLNKNEVLCHERYFGDLPGIEVGHRFYSRAEMVAVGLHKLLLKRIDYIGKPYVKSEYNGYTFPLAAAIVMSGQYEDDFGNREEIVYTGEGEKDIPGKKRQFRDQVMRCGNLALKNNKKQSVPVRVIRGRKCDDSYSKKVYIYDGLYKVTGYWDEKGVSGFKVFKYRLKRLRGQDNLTSQNQVHFVRGKVSRVQQELLGLVCKDLSNGQEDKCIPVFNFYNPSLAPTGFKYINSIKVAKNVSIPPDAPGCNCRGKCTNPRSCSCAQLNGGDFPYVSRDGGRLFEAKDVVFECGPNCGCGPECVNRTSQQGLKYQLEVYRTKEKGWAVRSLDFIPSGAPVCEYVGILRKNDELEDISENDYIFEIDCWHTMKGIGGRERRQGDVSLPMSNLVDEVDERTLESEPEPEFCIDASSFGNVARFINHSCDPNLFVQCILSSHHDVRLARIVLFAADDIPRMQELTYDYNYAIDSVIGPDGKTKQLPCFCGTSECRKRLY >KJB31593 pep chromosome:Graimondii2_0_v6:5:57079137:57089243:-1 gene:B456_005G196900 transcript:KJB31593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQRRVSPRFQNLPNGSNSNIEKIWNATKSRKTLNTRGSPRFQNLLNVGNSNIEKIRNATDSGKKSNTRASPNSNIVMIRNETDSAKISNTRVSPRFQNLPKFVKMRDATDTRKKSNTRVSPRFQNLPNGGNSNNEKKRDATLSTKTSNTRASPRFQNLPNIEKIWGATDSRTTSNTRVSPRFQNLPNGGNSNNEKKRDATPSTKTSNTRVSPRFQNLPKFEKIWDATDPRKTSNTRVSPRFQNLPNGDNSNTEKLRDATLSIKTSNTRVSPRFQNVPNVDNSNIEKTWDPTDSRKTLNTRVSPRFQTLPNGDNSNIEKIQNVPDSRKTPNTRVSPRLQSIPLEKRPFYGSSQKRKTMNDSQDEIMVKKHKVGNTKLECLSNGYVTVENGEKDVADLQETGSKGGNYGDDLTSIHGISTTMAVKDKLRLFNKYFLHFSKAEDARCYRVNGSASDHEIANGKIKDKEEGCEGHVKQAKRSKHKGCVTKRPDLKAISEMLNKNEVLCHERYFGDLPGIEVGHRFYSRAEMVAVGLHKLLLKRIDYIGKPYVKSEYNGYTFPLAAAIVMSGQYEDDFGNREEIVYTGEGEKDIPGKKRQFRDQVMRCGNLALKNNKKQSVPVRVIRGRKCDDSYSKKVYIYDGLYKVTGYWDEKGVSGFKVFKYRLKRLRGQDNLTSQNQVHFVRGKVSRVQQELLGLVCKDLSNGQEDKCIPVFNFYNPSLAPTGFKYINSIKVAKNVSIPPDAPGCNCRGKCTNPRSCSCAQLNGGDFPYVSRDGGRLFEAKDVVFECGPNCGCGPECVNRTSQQGLKYQLEVYRTKEKGWAVRSLDFIPSGAPVCEYVGILRKNDELEDISENDYIFEIDCWHTMKGIGGRERRQGDVSLPMSNLVDEVDERTLESEPEPEFCIDASSFGNVARFINHSCDPNLFVQCILSSHHDVRLARIVLFAADDIPRMQELTYDYNYAIDSVIGPDGKTKQLPCFCGTSECRKRLY >KJB31594 pep chromosome:Graimondii2_0_v6:5:57082167:57089731:-1 gene:B456_005G196900 transcript:KJB31594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQRRVSPRFQNLPNGSNSNIEKIWNATKSRKTLNTRVSPRFQNLPNGGNSNNEKKRDATPSTKTSNTRVSPRFQNLPKFEKIWDATDPRKTSNTRVSPRFQNLPNGDNSNTEKLRDATLSIKTSNTRVSPRFQNVPNVDNSNIEKTWDPTDSRKTLNTRVSPRFQTLPNGDNSNIEKIQNVPDSRKTPNTRVSPRLQSIPLEKRPFYGSSQKRKTMNDSQDEIMVKKHKETGSKGGNYGDDLTSIHGISTTMAVKDKLRLFNKYFLHFSKAEDARCYRVNGSASDHEIANGKIKDKEEGCEGHVKQAKRSKHKGCVTKRPDLKAISEMLNKNEVLCHERYFGDLPGIEVGHRFYSRAEMVAVGLHKLLLKRIDYIGKPYVKSEYNGYTFPLAAAIVMSGQYEDDFGNREEIVYTGEGEKDIPGKKRQFRDQVMRCGNLALKNNKKQSVPVRVIRGRKCDDSYSKKVYIYDGLYKVTGYWDEKGVSGFKVFKYRLKRLRGQDNLTSQNQVHFVRGKVSRVQQELLGLVCKDLSNGQEDKCIPVFNFYNPSLAPTGKLN >KJB32359 pep chromosome:Graimondii2_0_v6:5:61872159:61874018:-1 gene:B456_005G237000 transcript:KJB32359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRGTFSDEPLSPAGRLFIDPKTDVVIHCIAISKNPIDLDVAKTALKSSVLVQHPRFCSLLVRDENGFEHWRRTELDIDRHFIVINNRLNKPGKFVGEHETQGFDDDEGDGDGDGEVAVNQYVADLSVSSPLSMDKPLWELHLLKAHKCVVFRIHHALGDGFSLMSMLMAGSGKVDVPAVVPAAVPPIKTEGIKSGKRRDWFWLLSLLWGVFKMVRYTLVFVLEFVVRSLFVCDMKTVISGGNGVELWPRKLATAKFLLEDMKEVKRAIPNTTINDVMLAMVSSGLSRYLEHRTPNALHEGLRLTGVAMVNVRANPRLQELSEVMEKNSKARWGNKFGAILIPVFHHKGGNNPLQYLKRAKVMNDKKKHSMEAYFTYKIRDLVMTLLGPKVQQKKQADNENIIQQLIWRY >KJB32358 pep chromosome:Graimondii2_0_v6:5:61871500:61874088:-1 gene:B456_005G237000 transcript:KJB32358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRGTFSDEPLSPAGRLFIDPKTDVVIHCIAISKNPIDLDVAKTALKSSVLVQHPRFCSLLVRDENGFEHWRRTELDIDRHFIVINNRLNKPGKFVGEHETQGFDDDEGDGDGDGEVAVNQYVADLSVSSPLSMDKPLWELHLLKAHKCVVFRIHHALGDGFSLMSMLMAGSGKVDVPAVVPAAVPPIKTEGIKSGKRRDWFWLLSLLWGVFKMVRYTLVFVLEFVVRSLFVCDMKTVISGGNGVELWPRKLATAKFLLEDMKEVKRAIPNTTINDVMLAMVSSGLSRYLEHRTPNALHEGLRLTGVAMVNVRANPRLQK >KJB32357 pep chromosome:Graimondii2_0_v6:5:61871524:61874088:-1 gene:B456_005G237000 transcript:KJB32357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRGTFSDEPLSPAGRLFIDPKTDVVIHCIAISKNPIDLDVAKTALKSSVLVQHPRFCSLLVRDENGFEHWRRTELDIDRHFIVINNRLNKPGKFVGEHETQGFDDDEGDGDGDGEVAVNQYVADLSVSSPLSMDKPLWELHLLKAHKCVVFRIHHALGDGFSLMSMLMAGSGKVDVPAVVPAAVPPIKTEGIKSGKRRDWFWLLSLLWGVFKMVRYTLVFVLEFVVRSLFVCDMKTVISGGNGVELWPRKLATAKFLLEDMKEVKRAIPNTTINDVMLAMVSSGLSRYLEHRTPNALHEGLRLTGVAMVNVRANPRLQELSEVMEKNSKARWGNKFGAILIPVFHHKGGNNPLQYLKRAKVMNDKKKHSMEAYFTYKIRDLVMTLLGPKYVCLLYYKLLCNTTFTISNFVGPLEHITLAGHPVSNIKFNTSSLPQAISMHMLSYAGRVEMQLLVAKDIIPDPEFLAKCFQDALLEMKTAAIATNKA >KJB30465 pep chromosome:Graimondii2_0_v6:5:39287837:39291923:-1 gene:B456_005G145100 transcript:KJB30465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQMAILTRTRILLRVSQAKSISSFPFLSQEPQLAESAHTAETQTTPLPPNPASGSPLYHENWRDPNAARKTTSLAQSLIPLGFLSQTPGQRIQYLSQILDAPALMNHFADLMTQQRWTDVKELFEFWVRSLDKNGKPNKPDVNLYNHYLRANFMIGASAGDLLDLVAQMDEFAIVPNTAAFNFVLKATKQAKETEAAEKLLERMLQGGTESLPDDETYDLVIGMLFEAQQFEAALNHIDMALKSGYKLSMQVFTECVACCVKQGRLDELATVIEMCKTTDQNRALYPNWTLCNYLAEVAMQADNSKLAFYALEFMAKWIARGENARPPFLLSVDEGLIVSALATAGRTYSSKLLDVSWAILRRSLRQKKVPNPESFLGKIYAYASLGNLQKAFGTLHEFEAAHGKSSNEAEDLFSPFTSLYPLVVACSKNGFETLDSVYYQLENLSRADPPYKSVAALNCIILGCGNIWDIERAYQTFDAISSSFGLTPDIHSYNALIYAFGKLKKTFEASRVFEHMLSLGVKPNAKSYSLLIDAHLINRDQKAALSMIDKMVTAGFVPSKETLKRVRRRCIREMDYECDDKVESLAKKFRIRMGSENRRGILFDLDYGTEYAS >KJB30462 pep chromosome:Graimondii2_0_v6:5:39288933:39291779:-1 gene:B456_005G145100 transcript:KJB30462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQMAILTRTRILLRVSQAKSISSFPFLSQEPQLAESAHTAETQTTPLPPNPASGSPLYHENWRDPNAARKTTSLAQSLIPLGFLSQTPGQRIQYLSQILDAPALMNHFADLMTQQRWTDVKELFEFWVRSLDKNGKPNKPDVNLYNHYLRANFMIGASAGDLLDLVAQMDEFAIVPNTAAFNFVLKATKQAKETEAAEKLLERMLQGGTESLPDDETYDLVIGMLFEAQQFEAALNHIDMALKSGYKLSMQVFTECVACCVKQGRLDELATVIEMCKTTDQNRALYPNWTLCNYLAEVAMQADNSKLAFYALEFMAKWIARGENARPPFLLSVDEGLIVSALATAGRTYSSKLLDVSWAILRRSLRQKKVPNPESFLGKIYAYASLGNLQKAFGTLHEFEAAHGKSSNEAEDLFSPFTSLYPLVVACSKNGFETLDSVYYQLENLSRADPPYKSVAALNCIILGCGNIWDIERAYQTFDAISSSFGLTPDIHSYNALIYAFGKLKKVCISLPCMYIHLQPVHLAACTS >KJB30461 pep chromosome:Graimondii2_0_v6:5:39287789:39291923:-1 gene:B456_005G145100 transcript:KJB30461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQMAILTRTRILLRVSQAKSISSFPFLSQEPQLAESAHTAETQTTPLPPNPASGSPLYHENWRDPNAARKTTSLAQSLIPLGFLSQTPGQRIQYLSQILDAPALMNHFADLMTQQRWTDVKELFEFWVRSLDKNGKPNKPDVNLYNHYLRANFMIGASAGDLLDLVAQMDEFAIVPNTAAFNFVLKATKQAKETEAAEKLLERMLQGGTESLPDDETYDLVIGMLFEAQQFEAALNHIDMALKSGYKLSMQVFTECVACCVKQGRLDELATVIEMCKTTDQNRALYPNWTLCNYLAEVAMQADNSKLAFYALEFMAKWIARGENARPPFLLSVDEGLIVSALATAGRTYSSKLLDVSWAILRRSLRQKKVPNPESFLGKIYAYASLGNLQKAFGTLHEFEAAHGKSSNEAEDLFSPFTSLYPLVVACSKNGFETLDSTFEASRVFEHMLSLGVKPNAKSYSLLIDAHLINRDQKAALSMIDKMVTAGFVPSKETLKRVRRRCIREMDYECDDKVESLAKKFRIRMGSENRRGILFDLDYGTEYAS >KJB30460 pep chromosome:Graimondii2_0_v6:5:39287837:39291923:-1 gene:B456_005G145100 transcript:KJB30460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQMAILTRTRILLRVSQAKSISSFPFLSQEPQLAESAHTAETQTTPLPPNPASGSPLYHENWRDPNAARKTTSLAQSLIPLGFLSQTPGQRIQYLSQILDAPALMNHFADLMTQQRWTDVKELFEFWVRSLDKNGKPNKPDVNLYNHYLRANFMIGASAGDLLDLVAQMDEFAIVPNTAAFNFVLKATKQAKETEAAEKLLERMLQGGTESLPDDETYDLVIGMLFEAQQFEAALNHIDMALKSGYKLSMQVFTECVACCVKQGRLDELATVIEMCKTTDQNRALYPNWTLCNYLAEVAMQADNSKLAFYALEFMAKWIARGENARPPFLLSVDEGLIVSALATAGRTYSSKLLDVSWAILRRSLRQKKVPNPESFLGKIYAYASLGNLQKAFGTLHEFEAAHGKSSNEAEDLFSPFTSLYPLVVACSKNGFETLDSVYYQLENLSRADPPYKSVAALNCIILGCGNIWDIERAYQTFDAISSSFGLTPDIHSYNALIYAFGKLKKTFEASRVFEHMLSLGVKPNAKSYSLLIDAHLINRDQKAALSMIDKMVTAGFVPSKETLKRVRRRCIREMDYECDDKVESLAKKFRIRMGSENRRGILFDLDYGTEYAS >KJB30459 pep chromosome:Graimondii2_0_v6:5:39287759:39291995:-1 gene:B456_005G145100 transcript:KJB30459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQMAILTRTRILLRVSQAKSISSFPFLSQEPQLAESAHTAETQTTPLPPNPASGSPLYHENWRDPNAARKTTSLAQSLIPLGFLSQTPGQRIQYLSQILDAPALMNHFADLMTQQRWTDVKELFEFWVRSLDKNGKPNKPDVNLYNHYLRANFMIGASAGDLLDLVAQMDEFAIVPNTAAFNFVLKATKQAKETEAAEKLLERMLQGGTESLPDDETYDLVIGMLFEAQQFEAALNHIDMALKSGYKLSMQVFTECVACCVKQGRLDELATVIEMCKTTDQNRALYPNWTLCNYLAEVAMQADNSKLAFYALEFMAKWIARGENARPPFLLSVDEGLIVSALATAGRTYSSKLLDVSWAILRRSLRQKKVPNPESFLGKIYAYASLGNLQKAFGTLHEFEAAHGKSSNEAEDLFSPFTSLYPLVVACSKNGFETLDSVYYQLENLSRADPPYKSVAALNCIILGCGNIWDIERAYQTFDAISSSFGLTPDIHSYNALIYAFGKLKKTFEASRVFEHMLSLGVKPNAKSYSLLIDAHLINRDQKAALSMIDKMVTAGFVPSKETLKRVRRRCIREMDYECDDKVESLAKKFRIRMGSENRRGILFDLDYGTEYAS >KJB30463 pep chromosome:Graimondii2_0_v6:5:39287759:39291930:-1 gene:B456_005G145100 transcript:KJB30463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQMAILTRTRILLRVSQAKSISSFPFLSQEPQLAESAHTAETQTTPLPPNPASGSPLYHENWRDPNAARKTTSLAQSLIPLGFLSQTPGQRIQYLSQILDAPALMNHFADLMTQQRWTDVKELFEFWVRSLDKNGKPNKPDVNLYNHYLRANFMIGASAGDLLDLVAQMDEFAIVPNTAAFNFVLKATKQAKETEAAEKLLERMLQGGTESLPDDETYDLVIGMLFEAQQFEAALNHIDMALKSGYKLSMQVFTECVACCVKQGRLDELATVIEMCKTTDQNRALYPNWTLCNYLAEVAMQADNSKLAFYALEFMAKWIARGENARPPFLLSVDEGLIVSALATAGRTYSSKLLDVSWAILRRSLRQKKVPNPESFLGKIYAYASLGNLQKAFGTLHEFEAAHGKSSNEAEDLFSPFTSLYPLVVACSKNGFETLDSVYYQLENLSRADPPYKSVAALNCIILGCGNIWDIERAYQTFDAISSSFGLTPDIHSYNALIYAFGKLKKTFEASRVFEHMLSLGVKPNAKSYSLLIDAHLINRDQKAALSMIDKMVTAGFVPSKETLKRVRRRCIREMDYECDDKVESLAKKFRIRMGSENRRGILFDLDYGTEYAS >KJB30464 pep chromosome:Graimondii2_0_v6:5:39288539:39291779:-1 gene:B456_005G145100 transcript:KJB30464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQMAILTRTRILLRVSQAKSISSFPFLSQEPQLAESAHTAETQTTPLPPNPASGSPLYHENWRDPNAARKTTSLAQSLIPLGFLSQTPGQRIQYLSQILDAPALMNHFADLMTQQRWTDVKELFEFWVRSLDKNGKPNKPDVNLYNHYLRANFMIGASAGDLLDLVAQMDEFAIVPNTAAFNFVLKATKQAKETEAAEKLLERMLQGGTESLPDDETYDLVIGMLFEAQQFEAALNHIDMALKSGYKLSMQVFTECVACCVKQGRLDELATVIEMCKTTDQNRALYPNWTLCNYLAEVAMQADNSKLAFYALEFMAKWIARGENARPPFLLSVDEGLIVSALATAGRTYSSKLLDVSWAILRRSLRQKKVPNPESFLGKIYAYASLGNLQKAFGTLHEFEAAHGKSSNEAEDLFSPFTSLYPLVVACSKNGFETLDSVYYQLENLSRADPPYKSVAALNCIILGCGNIWDIERAYQTFDAISSSFGLTPDIHSYNALIYAFGKLKKTFEASRVFEHMLSLGVKPNAKSYSLLIDAHLINRDQKAALSMIDKMVTPFSFWLLGIST >KJB30466 pep chromosome:Graimondii2_0_v6:5:39288933:39291779:-1 gene:B456_005G145100 transcript:KJB30466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQMAILTRTRILLRVSQAKSISSFPFLSQEPQLAESAHTAETQTTPLPPNPASGSPLYHENWRDPNAARKTTSLAQSLIPLGFLSQTPGQRIQYLSQILDAPALMNHFADLMTQQRWTDVKELFEFWVRSLDKNGKPNKPDVNLYNHYLRANFMIGASAGDLLDLVAQMDEFAIVPNTAAFNFVLKATKQAKETEAAEKLLERMLQGGTESLPDDETYDLVIGMLFEAQQFEAALNHIDMALKSGYKLSMQVFTECVACCVKQGRLDELATVIEMCKTTDQNRALYPNWTLCNYLAEVAMQADNSKLAFYALEFMAKWIARGENARPPFLLSVDEGLIVSALATAGRTYSSKLLDVSWAILRRSLRQKKVPNPESFLGKIYAYASLGNLQKAFGTLHEFEAAHGKSSNEAEDLFSPFTSLYPLVVACSKNGFETLDSVYYQLENLSRADPPYKSVAALNCIILGCGNIWDIERAYQTFDAISSSFGLTPDIHSYNALIYAFGKLKKVCISLPCMYIHLQPVHLAACTS >KJB30683 pep chromosome:Graimondii2_0_v6:5:43690702:43693729:1 gene:B456_005G154900 transcript:KJB30683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGSSKKMIATQAEMVENKVPIPYRDQCAHLLIPLNKCRQAEFYLPWKCEIERHSYEKCEYELVMERMLQMQKIREEEAKLKQAGKQGVSVPLIPKTANA >KJB30682 pep chromosome:Graimondii2_0_v6:5:43690790:43693594:1 gene:B456_005G154900 transcript:KJB30682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGSSKKMIATQAEMVENKVPIPYRDQCAHLLIPLNKCRQAEFYLPWKCEIERHSYEKCEYELVMERMLQMQKIREEEAKLKQAGKQGVSVPLIPKTANA >KJB30681 pep chromosome:Graimondii2_0_v6:5:43690790:43693621:1 gene:B456_005G154900 transcript:KJB30681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGSSKKMIATQAEMVENKVPIPYRDQCAHLLIPLNKCRQAEFYLPWKCEIERHSYEKCEYELVMERMLQMQKIREEEAKLKQAGKQGVSVPLIPKTANA >KJB30684 pep chromosome:Graimondii2_0_v6:5:43690790:43693618:1 gene:B456_005G154900 transcript:KJB30684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGSSKKMIATQAEMVENKVPIPYRDQCAHLLIPLNKCRQAEFYLPWKCEIERHSYEKCEYELVMERMLQMQKIREEEAKLKQAGKQGVSVPLIPKTANA >KJB31631 pep chromosome:Graimondii2_0_v6:5:57432503:57433743:1 gene:B456_005G199300 transcript:KJB31631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFANHIVLFFFLLFSSSVQIQARESKFFSKIFHLGAKISPLVVPTPTPAPAPAPASAPALAPTVAPATAPANIGIQDPYYGLYGHGSGMFPPAKEGVTTSNTPTAFENDLFAEELADEKYESGYEKNNYYYNNNNNNNNGYTLSSYNNNGYSGNYNTNGYNDNYNNNGYETERQGMSDTRFVEGGKYYHNMKNENYYPNGYEFSSSKGTKNEGYYGNTENSNEFNSMEEFQNKEDQYMERQEEYVP >KJB29897 pep chromosome:Graimondii2_0_v6:5:26588493:26593194:1 gene:B456_005G122800 transcript:KJB29897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPDFRFEITSPRLACVFVLLATLFWYEILMPRLSAWRVRRNARLRERKRFEAIELQKLRKTATRRCRNCLTPYRDQKPSGGRFMCSYCGHISKRPVLDLPGPPGLGISNSGIIKDLVGKSGKILNGKGWSENGWMCGQDWLENGNWVTGSVAGKPSYWWKNGTGDFGGNEDCLAKKSYSGIVIFACRLLTSFLSMRWLLRRIFGVSSSNYDASSDADHRGMLTKRGENGTSFHESRGEKARRKAEEKRQARLEKELLEQEERKQREEVARLVEERRRLRDEKLEAEKDCSKLSPSAKEKEIKREAEKSKERRKDKAFSKSHTDTEELEKRAGKETELKRDTDKKCEIDFREHLRSGSDYLKGNALETGHGIKSTPAINFSRGNGGTRYLDPIRGTFLSSSKAFTGSSFFGKNTNIPAIAKENKTNNPVDHAHASANRRDFCPSERVAGKLSMDGDDKNVKINHSVLSEPQLWAAPKKSWQQLFTRSPSLPPVSNANVISRPTLKNKPEAQSPPFPSHSTIQTFDNPINFGLPSPFISMYTNGVPSSSLGFSPAIEPIFPRAAEGLSEIIPEEPELFEDPCYVPDPVSLLGPVSESLDNFQLDLGAGFGMDVGTERPCGLKNISASSEINKPSPIESPLSRSRSATPKAQDLQTSSVDDTNANEKRTWQMWSSSPLGQDGLGLMGDPASWLLPLEHNRSNKDDLLHLSSQKTMVSLFAKEDPILAGKRSPQKVFLGNGQNGGTFSPVPGLSDHDPWLQDTSFPPLCGSDNRFPNKSLEEMNYGSLNGSAGTYPFEPSAASCWPKKEWGAMQDSEQPVGKSSISRPHVGGLFDNQMYSHFGNLIEIEKEK >KJB29896 pep chromosome:Graimondii2_0_v6:5:26588459:26593252:1 gene:B456_005G122800 transcript:KJB29896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPDFRFEITSPRLACVFVLLATLFWYEILMPRLSAWRVRRNARLRERKRFEAIELQKLRKTATRRCRNCLTPYRDQKPSGGRFMCSYCGHISKRPVLDLPGPPGLGISNSGIIKDLVGKSGKILNGKGWSENGWMCGQDWLENGNWVTGSVAGKPSYWWKNGTGDFGGNEDCLAKKSYSGIVIFACRLLTSFLSMRWLLRRIFGVSSSNYDASSDADHRGMLTKRGENGTSFHESRGEKARRKAEEKRQARLEKELLEQEERKQREEVARLVEERRRLRDEKLEAEKDCSKLSPSAKEKEIKREAEKSKERRKDKAFSKSHTDTEELEKRAGKETELKRDTDKKCEIDFREHLRSGSDYLKGNALETGHGIKSTPAINFSRGNGGTRYLDPIRGTFLSSSKAFTGSSFFGKNTNIPAIAKENKTNNPVDHAHASANRRDFCPSERVAGKLSMDGDDKNVKINHSVLSEPQLWAAPKKSWQQLFTRSPSLPPVSNANVISRPTLKNKPEAQSPPFPSHSTIQTFDNPINFGLPSPFISMYTNGVPSSSLGFSPAIEPIFPRAAEGLSEIIPEEPELFEDPCYVPDPVSLLGPVSESLDNFQLDLGAGFGMDVGTERPCGLKNISASSEINKPSPIESPLSRSRSATPKAQDLQTSSVDDTNANEKRTWQMWSSSPLGQDGLGLMGDPASWLLPLEHNRSNKDDLLHLSSQKTMVSLFAKEDPILAGKRSPQKVFLGNGQNGGTFSPVPGLSDHDPWLQDTSFPPLCGSDNRFPNKSLEEMNYGSLNGSAGTYPFEPSAASCWPKKEWGAMQDSEQPVGKSSISRPHVGGLFDNQMYSHFGNLIEIEKEK >KJB29895 pep chromosome:Graimondii2_0_v6:5:26588459:26592657:1 gene:B456_005G122800 transcript:KJB29895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPDFRFEITSPRLACVFVLLATLFWYEILMPRLSAWRVRRNARLRERKRFEAIELQKLRKTATRRCRNCLTPYRDQKPSGGRFMCSYCGHISKRPVLDLPGPPGLGISNSGIIKDLVGKSGKILNGKGWSENGWMCGQDWLENGNWVTGSVAGKPSYWWKNGTGDFGGNEDCLAKKSYSGIVIFACRLLTSFLSMRWLLRRIFGVSSSNYDASSDADHRGMLTKRGENGTSFHESRGEKARRKAEEKRQARLEKELLEQEERKQREEVARLVEERRRLRDEKLEAEKDCSKLSPSAKEKEIKREAEKSKERRKDKAFSKSHTDTEELEKRAGKETELKRDTDKKCEIDFREHLRSGSDYLKGNALETGHGIKSTPAINFSRGNGGTRYLDPIRGTFLSSSKAFTGSSFFGKNTNIPAIAKENKTNNPVDHAHASANRRDFCPSERVAGKLSMDGDDKNVKINHSVLSEPQLWAAPKKSWQQLFTRSPSLPPVSNANVISRPTLKNKPEAQSPPFPSHSTIQTFDNPINFGLPSPFISMYTNGVPSSSLGFSPAIEPIFPRAAEGLSEIIPEEPELFEDPCYVPDPVSLLGPVSESLDNFQLDLGAGFGMDVGTERPCGLKNISASSEINKPSPIESPLSRSRSATPKAQDLQTSSVDDTNANEKRTWQMWSSSPLGQDGLGLMGDPASWLLPLEHNRSNKDDLLHLSSQKTMVSLFAKEDPILAGKRSPQKVFLGNGQNGGTFSPVPGLSDHDPWLQDTSFPPLCGSDNRFPNKSLEEMNYGSLNGSAGTYPFEPSAASCWPK >KJB29898 pep chromosome:Graimondii2_0_v6:5:26588459:26593194:1 gene:B456_005G122800 transcript:KJB29898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPDFRFEITSPRLACVFVLLATLFWYEILMPRLSAWRVRRNARLRERKRFEAIELQKLRKTATRRCRNCLTPYRDQKPSGGRFMCSYCGHISKRPVLDLPGPPGLGISNSGIIKDLVGKSGKILNGKGWSENGWMCGQDWLENGNWVTGSVAGKPSYWWKNGTGDFGGNEDCLAKKSYSGIVIFACRLLTSFLSMRWLLRRIFGVSSSNYDASSDADHRGMLTKRGENGTSFHESRGEKARRKAEEKRQARLEKELLEQEERKQREEVARLVEERRRLRDEKLEAEKDCSKLSPSAKEKEIKREAEKSKERRKDKAFSKSHTDTEELEKRAGKETELKRDTDKKCEIDFREHLRSGSDYLKGNALETGHGIKSTPAINFSRGNGGTRYLDPIRGTFLSSSKAFTGSSFFGKNTNIPAIAKENKTNNPVDHAHASANRRDFCPSERVAGKLSMDGDDKNVKINHSVLSEPQLWAAPKKSWQQLFTRSPSLPPVSNANVISRPTLKNKPEAQSPPFPSHSTIQTFDNPINFGLPSPFISMYTNGVPSSSLGFSPAIEPIFPRAAEGLSEIIPEEPELFEDPCYVPDPVSLLGPVSESLDNFQLDLGAGFGMDVGTERPCGLKNISASSEINKPSPIESPLSRSRSATPKAQDLQTSSVDDTNANEKRTWQMWSSSPLGQDGLGLMGDPASWLLPLEHNRSNKDDLLHLSSQKTMVSLFAKEDPILAGKRSPQKVFLGNGQNGGTFSPVPGLSDHDPWLQDTSFPPLCGSDNRFPNKSLEEMNYGSLNGSAGTYPFEPSAASCWPKKEWGAMQDSEQPVGKSSISRPHVGGLFDNQMYSHFGNLIEIEKEK >KJB29899 pep chromosome:Graimondii2_0_v6:5:26588459:26593284:1 gene:B456_005G122800 transcript:KJB29899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPDFRFEITSPRLACVFVLLATLFWYEILMPRLSAWRVRRNARLRERKRFEAIELQKLRKTATRRCRNCLTPYRDQKPSGGRFMCSYCGHISKRPVLDLPGPPGLGISNSGIIKDLVGKSGKILNGKGWSENGWMCGQDWLENGNWVTGSVAGKPSYWWKNGTGDFGGNEDCLAKKSYSGIVIFACRLLTSFLSMRWLLRRIFGVSSSNYDASSDADHRGMLTKRGENGTSFHESRGEKARRKAEEKRQARLEKELLEQEERKQREEVARLVEERRRLRDEKLEAEKDCSKLSPSAKEKEIKREAEKSKERRKDKAFSKSHTDTEELEKRAGKETELKRDTDKKCEIDFREHLRSGSDYLKGNALETGHGIKSTPAINFSRGNGGTRYLDPIRGTFLSSSKAFTGSSFFGKNTNIPAIAKENKTNNPVDHAHASANRRDFCPSERVAGKLSMDGDDKNVKINHSVLSEPQLWAAPKKSWQQLFTRSPSLPPVSNANVISRPTLKNKPEAQSPPFPSHSTIQTFDNPINFGLPSPFISMYTNGVPSSSLGFSPAIEPIFPRAAEGLSEIIPEEPELFEDPCYVPDPVSLLGPVSESLDNFQLDLGAGFGMDVGTERPCGLKNISASSEINKPSPIESPLSRSRSATPKAQDLQTSSVDDTNANEKRTWQMWSSSPLGQDGLGLMGDPASWLLPLEHNRSNKDDLLHLSSQKTMVSLFAKEDPILAGKRSPQKVFLGNGQNGGTFSPVPGLSDHDPWLQDTSFPPLCGSDNRFPNKSLEEMNYGSLNGSAGTYPFEPSAASCWPKKEWGAMQDSEQPVGKSSISRPHVGGLFDNQMYSHFGNLIEIEKEK >KJB32326 pep chromosome:Graimondii2_0_v6:5:61788313:61791360:-1 gene:B456_005G235700 transcript:KJB32326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIQGQILDVTVVGCKKLKDTEWLSKQDPYVCLDYANTKYRTKTCTDGGKSPIFQEKFTFSLLEGLTEVNVVVWNSNTLSSDDLIGYGRVQLQKAISQGYDDSSWAIQTKTGRYAGEVQLILHYPNAKHQASGGYSQPSAPPAYAPPPHQPPPYGGPPVPAPYPPPGPAGYPAPPYPGGYPQQPLYPPATYPLGPDPYGGYPPPPGAYPPPPYYPPGPYIIDQVYWGPYSFKMGTKIHSP >KJB32325 pep chromosome:Graimondii2_0_v6:5:61787238:61791360:-1 gene:B456_005G235700 transcript:KJB32325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIQGQILDVTVVGCKKLKDTEWLSKQDPYVCLDYANTKYRTKTCTDGGKSPIFQEKFTFSLLEGLTEVNVVVWNSNTLSSDDLIGYGRVQLQKAISQGYDDSSWAIQTKTGRYAGEVQLILHYPNAKHQASGGYSQPSAPPAYAPPPHQPPPYGGPPVPAPYPPPGPAGYPAPPYPGGYPQQPLYPPATYPLGPDPYGGYPPPPGAYPPPPYYPPGPYPGPYPPY >KJB29116 pep chromosome:Graimondii2_0_v6:5:10518049:10524307:-1 gene:B456_005G085000 transcript:KJB29116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWGRRSSGMVHHIKALSKVELRGKAGAMKGGWWRYPVQPGVASALVPRSWPCVNTMASLFLVQRFKSSLPQKQVEDDDGDQDLDFPGGKVAYTSEMKFISGSYDKRIPCYRVLGDDGELIKDGSDFEKVNKELAVKMYSDMVCLQIMDTFMYEAQRQGRISFYMTSFGEEAATISSAAALTKDDIILPQYREPGALLWRGFTLQEFVNQCFGNKDDGGKGRQMPVHYGSSKHNFVTVSSPIATQLPQAAGMAYSLKMDKKDACVVAFIGDGGTSEGDFHAGLNFAAVMEAPVIFVCRNNGWAISTPTSDQFRSDGVVVKGQAYGIPSIRVDGTDALAVYRAIRAARSMAINEQRPVLVEVTSYLVK >KJB29114 pep chromosome:Graimondii2_0_v6:5:10517171:10524527:-1 gene:B456_005G085000 transcript:KJB29114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWGRRSSGMVHHIKALSKVELRGKAGAMKGGWWRYPVQPGVASALVPRSWPCVNTMASLFLVQRFKSSLPQKQVEDDDGDQDLDFPGGKVAYTSEMKFISGSYDKRIPCYRVLGDDGELIKDGSDFEKVNKELAVKMYSDMVCLQIMDTFMYEAQRQGRISFYMTSFGEEAATISSAAALTKDDIILPQYREPGALLWRGFTLQEFVNQCFGNKDDGGKGRQMPVHYGSSKHNFVTVSSPIATQLPQAAGMAYSLKMDKKDACVVAFIGDGGTSEGDFHAGLNFAAVMEAPVIFVCRNNGWAISTPTSDQFRSDGVVVKGQAYGIPSIRVDGTDALAVYRAIRAARSMAINEQRPVLVESWTPFHIRRFDQISFSQ >KJB29115 pep chromosome:Graimondii2_0_v6:5:10517453:10524456:-1 gene:B456_005G085000 transcript:KJB29115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWGRRSSGMVHHIKALSKVELRGKAGAMKGGWWRYPVQPGVASALVPRSWPCVNTMASLFLVQRFKSSLPQKQVEDDDGDQDLDFPGGKVAYTSEMKFISGSYDKRIPCYRVLGDDGELIKDGSDFEKVNKELAVKMYSDMVCLQIMDTFMYEAQRQGRISFYMTSFGEEAATISSAAALTKDDIILPQYREPGALLWRGFTLQEFVNQCFGNKDDGGKGRQMPVHYGSSKHNFVTVSSPIATQLPQAAGMAYSLKMDKKDACVVAFIGDGGTSEGDFHAGLNFAAVMEAPVIFVCRNNGWAISTPTSDQFRSDGVVVKGQAYGIPSIRVDGTDALAVYRAIRAARSMAINEQRPVLVESLAYRVGHHSTSDDSTKYRSLNEIEHWKTDRDPVNKFRKWVELNGWWSERDEADLRSSIKKQLLKAIQVAEGTEKPPLTELFTDVYDLPPSNLVEQEKLLRQTVNKYPHDFPSDVSLH >KJB32957 pep chromosome:Graimondii2_0_v6:5:2997892:2998192:1 gene:B456_005G0331002 transcript:KJB32957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVVREEKKSDLMELVILVPCCFFLVALLKFLYDYLWVPLRIQRMMNSQGIKGPPYRFIHGNSKEVARMEQEALSKRMALTDDIFPKVLPHFYTWINRY >KJB29296 pep chromosome:Graimondii2_0_v6:5:12679943:12685064:-1 gene:B456_005G092500 transcript:KJB29296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKKASNCAICDNSNRASICAVCVNYRLNEYNSLLKSLKNHRDLLYSKLNELIAAKGKADDQLNWRVRQSEKLTNLKEKLRRSKEQLAQGKVKIERVSHELKVKYGVLKSARGTLEKNRVEKLEKFYPNLICTQSLGHMAITSERLHKQSVVIKQICKLFPQRRVHLDEERRDGSSGQYDLICNARLPRGLDPHSVPSEELAASLGYMVQLLNLVVHNLAAPALHNSGFAGSCSRIWQRDSYWDARPSSRSNEYPLFIPRQNYCSTSGDNSWTDKSSSNFGVASMESERRPHLDSISSFNYSSASPHTVETHKDLQKGISLLKKSVACVTTYCYNSLCLDVPTEASTFETFSKLLATLSSTREVRSVFSLKMACSRSGKQAQQLNKSVWNVNSPMSSSTLLESAHMLPLTKNFSDHNLPSSAASFLFGNELSDNGKNESLIDGWDIVEHPTFPPPPSETEDIEHWTRAMFIDATRR >KJB29285 pep chromosome:Graimondii2_0_v6:5:12551724:12552922:-1 gene:B456_005G092200 transcript:KJB29285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSTSSVNGFYTSLTRGLDDLERVYLSNNFMSIQFLQRVLCLLRSFHSQLLILVQKLHLPVGDKWLDEYMDESSKLWDACHVLKSGISGMETYYSSGFNIISSLDNHRHLSPQLSRQVIRAISRCRREAMGLEEENRGFMETRIQPLSLKLEEKVTMESKLNGFNGFRGVLYAMRNVSSLLLMMLLYGGVHCWPESSMVRGGNEGCVCFGSTFMISTARLQQRVAEEINGMNGKAGILLYEFRRSKMAMEEVRGELERRGGGGGVVEWETEVGLREKVDNMRGCFGILRSGVENIVGQIDDFFDEIVEGRKKLLDLCSHSSLFFLFIWMLGSETD >KJB29286 pep chromosome:Graimondii2_0_v6:5:12551402:12553204:-1 gene:B456_005G092200 transcript:KJB29286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSTSSVNGFYTSLTRGLDDLERVYLSNNFMSIQFLQRVLCLLRSFHSQLLILVQKLHLPVGDKWLDEYMDESSKLWDACHVLKSGISGMETYYSSGFNIISSLDNHRHLSPQLSRQVIRAISRCRREAMGLEEENRGFMETRIQPLSLKLEEKVTMESKLNGFNGFRGVLYAMRNVSSLLLMMLLYGGVHCWPESSMVRGGNEGCVCFGSTFMISTARLQQRVAEEINGMNGKAGILLYEFRRSKMAMEEVRGELERRGGGGGVVEWETEVGLREKVDNMRGCFGILRSGVENIVGQIDDFFDEIVEGRKKLLDLCSHRLIWASKILKNPPKRGIFHAGLSLMLFLVLTLEKKDRSFCYLELRNNKVKENIRATLI >KJB29284 pep chromosome:Graimondii2_0_v6:5:12551877:12552922:-1 gene:B456_005G092200 transcript:KJB29284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSTSSVNGFYTSLTRGLDDLERVYLSNNFMSIQFLQRVLCLLRSFHSQLLILVQKLHLPVGDKWLDEYMDESSKLWDACHVLKSGISGMETYYSSGFNIISSLDNHRHLSPQLSRQVIRAISRCRREAMGLEEENRGFMETRIQPLSLKLEEKVTMESKLNGFNGFRGVLYAMRNVSSLLLMMLLYGGVHCWPESSMVRGGNEGCVCFGSTFMISTARLQQRVAEEINGMNGKAGILLYEFRRSKMAMEEVRGELERRGGGGGVVEWETEVGLREKVDNMRGCFGILRSGVENIVGQIDDFFDEIVEGRKKLLDLCSHR >KJB29580 pep chromosome:Graimondii2_0_v6:5:19668671:19669408:-1 gene:B456_005G108900 transcript:KJB29580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLYPWEMSFDVLGVPVFIEASIFVLILIVGSVYAWRKEKKLKIIITLSMNSIEFPLLDRTTQNSVISTTLNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGLRFDFDRYGMVPRSSPRQADLILTAGIVTMKMAPSLVRLYEQMPEPKYVMAMSTCTITGGMFSIDSYSTVRGVDKLIPVDVYLPGYPLKPEAVSGSMIGPCKLEILFLG >KJB27769 pep chromosome:Graimondii2_0_v6:5:624899:632957:1 gene:B456_005G009000 transcript:KJB27769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDSAESSPSSSRDWFFPSPSFIHSTSNSPQSPNYPRKFSTIPRHSRSSPTDSKPPKTSTFRSVSSSGSAAYGDQKYGRGRRRIELIRRSEKTAKQEKEGPVLEQKRDVSKAVSGVKTAIGEMGIRVFGQRVKIRWQMASYIAILFTAFGSLVHKNFSLHNQVIDLQDQISTMTIRLQACNTSDTFDTISILQESDHLSSKGLKILALTVSIALLSMPLFVFKYIDYISKFRSSYNCSEKVSLNKQLEYRVDVLLSVHPYAKALALLVATLMLICLGGLALFGVTDDSLADCLWLSWTYVADSGNHANSEGMGPRLVSVSISFGGMLIFAMMLGLVSDAISEKLDSLRKGRSEVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMELDIAKMEFDFRGTSVICRSGSPLILADLKKVSVSKARAIVVLADDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGELVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDGMQFEDVLISFPDAIPCGVKVASRGGKIILNPEDSYVLQEGDEVLVIAEDDDTYAPGALPMVNGASFMHIARPARKPQKILLCGWRRDVDDMIVVLDAFLAPGSELWMFNEVVESERERKLIDGGLDLSRLVNITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYREAMVTRGQRGSFSRGSWIGEMQQASDRSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNELHIRLAELYLHEGEELSFYEIILRARLKREIIIGYRLANAERAVINPPNKNERRRWSVKDVFVVISEKE >KJB27768 pep chromosome:Graimondii2_0_v6:5:624899:632957:1 gene:B456_005G009000 transcript:KJB27768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDSAESSPSSSRDWFFPSPSFIHSTSNSPQSPNYPRKFSTIPRHSRSSPTDSKPPKTSTFRSVSSSGSAAYGDQKYGRGRRRIELIRRSEKTAKQEKEGPVLEQKRDVSKAVSGVKTAIGEMGIRVFGQRVKIRWQMASYIAILFTAFGSLVHKNFSLHNQVIDLQDQISTMTIRLQACNTSDTFDTISILQESDHLSSKGLKILALTVSIALLSMPLFVFKYIDYISKFRSSYNCSEKVSLNKQLEYRVDVLLSVHPYAKALALLVATLMLICLGGLALFGVTDDSLADCLWLSWTYVADSGNHANSEGMGPRLVSVSISFGGMLIFAMMLGLVSDAISEKLDSLRKGRSEVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMELDIAKMEFDFRGTSVICRSGSPLILADLKKVSVSKARAIVVLADDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGELVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDGMQFEDVLISFPDAIPCGVKVASRGGKIILNPEDSYVLQEGDEVLVIAEDDDTYAPGALPMVWRGSLPGDFIVPKLMEKILLCGWRRDMEDMIMVLDAFLAPGSELWMFNEVVESERERKLIDGGLDLSRLVNITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYREAMVTRGQRGSFSRGSWIGEMQQASDRSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNELHIRLAELYLHEGEELSFYEIILRARLKREIIIGYRLANAERAVINPPNKNERRRWSVKDVFVVISEKE >KJB28121 pep chromosome:Graimondii2_0_v6:5:2501120:2504324:1 gene:B456_005G028600 transcript:KJB28121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLHSLISPLFLLSCFLPLLLSFSSPQTHFPMQCLDDQRSPLLQFQHNLYYAPNFTFSSKFELWDPNTDCCSWKGVTCDAYGHVVGIDLSYKNLSGSFHPIFNLHRLQRLNLAGNNFNTTLFSYGFDKLQNLTHLNLSSSCFHGQIPMKISQLTRLVSLNLSFQDDCYWRNGYDYNSATLKLEKPNFKTFIKNLKFLMELYLDASNALHSLSLSYTNFSGKLPESIGNLKFLTNFELYDCNFFGPIPSSIANLSHLVNLDLSSNKLSGSIHSSLFTLPSLKILYLEENQLVGKIDEFPNASSSLIQVLYIGKNYLTGPIPKSILQLPRLEGLYIGDNSFSSMKLDMFVQLKNLKGLDLSNSLGLSSCNLTEFPEFIKRQDKLVDLDLSNNHIHGVVPNWLWKSSLSRVNLSFNVIDFPKQLPLSDANFSFPMLMEFYLESCNISSFPEFLKSQKNLEEFDASSNNLSGPIPNWLCNMSQLYSFDASYNNLSGSIPNCLDNMSQLVSFDVSYNNLSGPIPNCFGKMNALFFLNLQGNNFSGMLPKFSKATQLNFLKVSENRLEGKLPRSLAECTQLVVLDVGKNMMNDTFPFWLGKLPALEVLILRENKFYGQIKHFKHKFVFPTLDVLDIASNQFSGELSIDFLRATRLRSLANCTALEVLDLGNNMVHDTFPFWLEKLPSLKVLVLRANRFYGTITKFDTKHGFPKLRILDIASNKFSGDLSIEFLQSLKAMAKMTNDEKAKLDYIGENYYQDSVTIVNKGIEMLYQKVLTILTCLDLSNNSFHGRIPEEIQMLRSLRVMNLSNNGFSDEIPLAFENLKDIESLDLSQNELSGKIPAQLTSLTFLAALNFNQFITFTNDSYRGNPKLCGLPLSRKCNEVGLPVAPPPRGDEESWLYAMSTWKIALIGYASGLVVGLCIGYTVLNELGNKWVDKFKKCGKRNRRRCR >KJB28076 pep chromosome:Graimondii2_0_v6:5:2270711:2272948:1 gene:B456_005G025700 transcript:KJB28076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPHFLLVTYPAQGHINPTLQFARHLIRIGVRVTFITCISARRRMTKVPTAQGLTFLPFSDGYDDGFQPGDDVDHYRSEFTRRGKEAISEFITSGENEGKPVTCIVYTLFIHWATEVARKHHIPTALLWIQPASVFDIYYFYFNGYESTIKAQAEETNPERSIKLPGLLLLATRDLPSFFTASNVYRWALSLFQEHMDVLADESNPKILVNTFDALEHEALNAIENFNMVGIGPLIPSSFLNSSDSLDNSLRTDLFQPDSKDYLQWLDSKPKSAVVYVSFGSITVLTKQQVEEIARALISSRRPFLWVVRNRKDGGEEEKEEDKLTCREELEQFGRVVPWCSQVEVLSHPSLGCFVTHCGWNSTLESMVAGVPVVAFPQWSDQGTTAKLIEDVWGNGVRVSANEEGMVERDEIVRCLDLVMGDDEKGMEVKKNVEKWKGLAREASMEGGSMDMNLKAFVDDVAQGGCK >KJB30249 pep chromosome:Graimondii2_0_v6:5:33961029:33962748:1 gene:B456_005G134300 transcript:KJB30249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSCKHCLKWMWVACFYFEFMLVSAEFQRFQHPVKADGSLSFLVIGDWGRKGAYNQSQVALQMGKVGEKLAIDFVISTGDNFYEKGLATPYDPHFKHSFTNIYSANSLQKQWYSVLGNHDYRGDVQAQLSPILRKIDSRWLCLRSFLVNTEIAELFFIDTTPFVDEYFRNPKHQKFDWRGVIPRNKYLTRVLKELRCALRESVGKWKIVIGHHPIRSIGHHGQTKELITLLLPILEAYNVDMYINGHDHCLQHLSSITSPLQFFTSGGGSKAWKGDFDYLDGGGDDEIKFYYDGQGFMSVELTPTNAKIAFYDVSGNVLHSFNSNQLYYTYSTEM >KJB32673 pep chromosome:Graimondii2_0_v6:5:63240606:63243817:1 gene:B456_005G255000 transcript:KJB32673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLMNLLMACFLPRSDRHVHTSSETGGRQDGLLWYKDSGQHFNGEFSMAVVQANNLLEDQSQLESGCLSLHESGPYGTFVGVYDGHGGPETSRYINDHLFQHLKRFTSEQQGMSVDVIRKAYQATEEGFLSLVTKQWPMKPQIAAVGSCCLVGVICNGTLYVANLGDSRAVLGRAVKATGEVLAIQLSAEHNVCIESVRREMQSLHPDDSQIVVLKHNVWRVKGLIQISRSIGDVYLKKTEFNREPLYPKFRLREPFRKPILSADPSISVHELQPHDQFVIFASDGLWEHLSNQEAVNIVQNHPRSGSAKRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLMSRASSAKGPNLSVRGGGVNFPPNILAPCATPTEPGGT >KJB32675 pep chromosome:Graimondii2_0_v6:5:63240341:63243850:1 gene:B456_005G255000 transcript:KJB32675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLMNLLMACFLPRSDRHVHTSSETGGRQDGLLWYKDSGQHFNGEFSMAVVQANNLLEDQSQLESGCLSLHESGPYGTFVGVYDGHGGPETSRYINDHLFQHLKRFTSEQQGMSVDVIRKAYQATEEGFLSLVTKQWPMKPQIAAVGSCCLVGVICNGTLYVANLGDSRAVLGRAVKATGEVLAIQLSAEHNVCIESVRREMQSLHPDDSQIVVLKHNVWRVKGLIQISRSIGDVYLKKTEFNREPLYPKFRLREPFRKPILSADPSISVHELQPHDQFVIFASDGLWEHLSNQEAVNIVQNHPRSGSAKRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLMSRASSAKGPNLSVRGGGVNFPPNILAPCATPTEPGGT >KJB32677 pep chromosome:Graimondii2_0_v6:5:63242541:63243817:1 gene:B456_005G255000 transcript:KJB32677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPMLDQFGRAPRITITYIPQKYAVCYFLLFSVVCSCVPFFFECLLIEFLTLQISRSIGDVYLKKTEFNREPLYPKFRLREPFRKPILSADPSISVHELQPHDQFVIFASDGLWEHLSNQEAVNIVQNHPRSGSAKRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLMSRASSAKGPNLSVRGGGVNFPPNILAPCATPTEPGGT >KJB32674 pep chromosome:Graimondii2_0_v6:5:63240626:63243817:1 gene:B456_005G255000 transcript:KJB32674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDVIRKAYQATEEGFLSLVTKQWPMKPQIAAVGSCCLVGVICNGTLYVANLGDSRAVLGRAVKATGEVLAIQLSAEHNVCIESVRREMQSLHPDDSQIVVLKHNVWRVKGLIQISRSIGDVYLKKTEFNREPLYPKFRLREPFRKPILSADPSISVHELQPHDQFVIFASDGLWEHLSNQEAVNIVQNHPRSGSAKRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLMSRASSAKGPNLSVRGGGVNFPPNILAPCATPTEPGGT >KJB32676 pep chromosome:Graimondii2_0_v6:5:63240850:63243817:1 gene:B456_005G255000 transcript:KJB32676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDVIRKAYQATEEGFLSLVTKQWPMKPQIAAVGSCCLVGVICNGTLYVANLGDSRAVLGRAVKATGEVLAIQLSAEHNVCIESVRREMQSLHPDDSQIVVLKHNVWRVKGLIQISRSIGDVYLKKTEFNREPLYPKFRLREPFRKPILSADPSISVHELQPHDQFVIFASDGLWEHLSNQEAVNIVQNHPRSGSAKRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLMSRASSAKGPNLSVRGGGVNFPPNILAPCATPTEPGGT >KJB27535 pep chromosome:Graimondii2_0_v6:5:9238:9903:-1 gene:B456_005G000200 transcript:KJB27535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICKRLLIGSFSLSNEETAEGANVSIIEKECRIQKQFSAFVAASGDQLSWRKEKPLTTEVAVLKDHVPSFDVTCEPEVIDLLTPSPSYRVRPCTKKRRISKFSPEIKDLTWVILFWGISRLGV >KJB30352 pep chromosome:Graimondii2_0_v6:5:36171949:36174763:-1 gene:B456_005G139000 transcript:KJB30352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MDSILGLIQQSFKTRYSQWVREALDELPDNFTITDPSISGHPIVFASRGFLKMSGYSLEEVIGQNGKIFQGPKTNRRTVMEISEAIRQERDVQVNLVNYRKDGTPFWMLFHMSPVFGKEDGRVIHFVAVQVPITRKPRRNGGVSLNEDGSGFNEIMFGSCRKEVDTNPVLELGRVLSLDSSTNVLDIEETCEASDFEKRMAANAFNSILSVLTNYSESTGRLVCGTRCSVPGVCYISSSLNISLGRIRQSFVLIDPHLPGMPIVYASDAFLKLTGYDRHEILGLNFAVLNGADTDSSTLHQIKESIQTEQACTVRILSYRKDKSSFWNCLHLSPVRNASGKVAYFVGVQIEEECKNKERHGLSPEMRQLSTVGAVKVAVRSLSMAAGSSKS >KJB30353 pep chromosome:Graimondii2_0_v6:5:36171683:36175309:-1 gene:B456_005G139000 transcript:KJB30353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MDSILGLIQQSFKTRYSQWVREALDELPDNFTITDPSISGHPIVFASRGFLKMSGYSLEEVIGQNGKIFQGPKTNRRTVMEISEAIRQERDVQVNLVNYRKDGTPFWMLFHMSPVFGKEDGRVIHFVAVQVPITRKPRRNGGVSLNEDGSGFNEIMFGSCRKEVDTNPVLELGRVLSLDSSTNVLDIEETCEASDFEKRMAANAFNSILSVLTNYSESTGRLVCGTRCSVPGVCYISSSLNISLGRIRQSFVLIDPHLPGMPIVYASDAFLKLTGYDRHEILGLNFAVLNGADTDSSTLHQIKESIQTEQACTEGQKLILELPSLITCS >KJB30351 pep chromosome:Graimondii2_0_v6:5:36171632:36175524:-1 gene:B456_005G139000 transcript:KJB30351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MDSILGLIQQSFKTRYSQWVREALDELPDNFTITDPSISGHPIVFASRGFLKMSGYSLEEVIGQNGKIFQGPKTNRRTVMEISEAIRQERDVQVNLVNYRKDGTPFWMLFHMSPVFGKEDGRVIHFVAVQVPITRKPRRNGGVSLNEDGSGFNEIMFGSCRKEVDTNPVLELGRVLSLDSSTNVLDIEETCEASDFEKRMAANAFNSILSVLTNYSESTGRLVCGTRCSVPGVCYISSSLNISLGRIRQSFVLIDPHLPGMPIVYASDAFLKLTVLNGADTDSSTLHQIKESIQTEQACTVRILSYRKDKSSFWNCLHLSPVRNASGKVAYFVGVQIEEECKNKERHGLSPEMRQLSTVGAVKVAVRSLSMAAGSSKS >KJB30354 pep chromosome:Graimondii2_0_v6:5:36172411:36175309:-1 gene:B456_005G139000 transcript:KJB30354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MDSILGLIQQSFKTRYSQWVREALDELPDNFTITDPSISGHPIVFASRGFLKMSGYSLEEVIGQNGKIFQGPKTNRRTVMEISEAIRQERDVQVNLVNYRKDGTPFWMLFHMSPVFGKEDGRVIHFVAVQVPITRKPRRNGGVSLNEDGSGFNEIMFGSCRKEVDTNPVLELGRVLSLDSSTNVLDIEETCEASDFEKRMAANAFNSILSVLTNYSESTGRLVCGTRCSVPGVCYISSSLNISLGRIRQSFVLIDPHLPGMPIVYASDAFLKLTGYDRHEILGLNFAVLNGADTDSSTLHQIKESIQTEQACTVRILSYRKDKSSFWNCLHLSPVRNASGKVKCYCICIAFHKSR >KJB32706 pep chromosome:Graimondii2_0_v6:5:63354207:63354620:-1 gene:B456_005G257100 transcript:KJB32706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISARKLVKLARKWQKLAAIKRKRITFSNTSSMVEKGHFVVYSADEKRFMLPLEYLKNEIVMELFNLAEEEFGIPRNGHLKLPFDSTFMEYAIELIKRKASKEVEKALIMSIVTGHCSSSLNLYRQETCQQLPIWSF >KJB31640 pep chromosome:Graimondii2_0_v6:5:57993649:57994371:-1 gene:B456_005G203000 transcript:KJB31640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQYQPYYAHVPDQAHYYGQPQPYYYPPPHPGQKPVPRYHKEQRGSGTKWCLRCVCCCCCCFLLFILCLVGAMAFFILYYNPQKPNYDVKEFAVKSFNLNDNTLETDFKVSVEADNPNDKISILYEKGSMFDVSYQGTRICSGSGPEFQQPTKNVTMLNISLEGKNDLNSNVKDSFIEDQKNGKIPLDIHIYVPIKFALENSKSKIIDVMMKCYIEVDSLKRDKKSKILNKICHYKVNF >KJB29703 pep chromosome:Graimondii2_0_v6:5:22521327:22521831:1 gene:B456_005G115100 transcript:KJB29703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFFFLVDYEPPETSNVDGTRTDFIYISNCISTHKAWKILKTTYEGITRVKRSKFQMLITIFENLRMFETLAKFYAIQLLRSRPKRFLIEVIAIEETKDKHIVRVEKLIGSLQTKFC >KJB30493 pep chromosome:Graimondii2_0_v6:5:40071744:40073558:-1 gene:B456_005G146800 transcript:KJB30493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAVDASGSRKALLGRDIKPFTDSEAVESMEFMDFGFSHMNKKPFYGNTSLGFGAESAKKLVSPTCMFTSSSYYGEEESGSKQSSSLMEFNSQGSSLIDLKLGRLTDYRDAQHGRHLKETFVVSSVCSAMTAKKARTTSSPCCQVHGCNKDIRSSKDYHKRHKVAVNGIEQRFCQQCSSLIFFSSFTLFFPSIWSELVIV >KJB32748 pep chromosome:Graimondii2_0_v6:5:63507157:63512554:1 gene:B456_005G259500 transcript:KJB32748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLLSILHGLKPAMLMVVVQVVFAGVNVLYKLAENDGMSSKVMVAYRFIFASAVMIPLALVVERKRPKLTWTVLLQAFFCGLLGGSLSQNLYIVSMTLTSATFVSAMTNLIPGITFIVAVTIGLEKVGFGTMAGKAKVLGTLIGIGGAMLLTFYKGVQINTGSVHLDLVHHQHHGAASSYSSHPSSAHHLWGALLALGSCISYALWLNVQAKMGEKYPCYYSSTALTCIMAAIQSTVFALCLENDWSQWKLGWNVRLLTVAFAGILGSGLMFSLVAWCVRLKGPLYASVFNPLLLVLVAFAGSFFLEENLYLGSIMGAVLIVMGLYIVLWGKGKEMEMRKMNELVSSIKSVTSNRAIQVIVTSNDATSTVSNNDNNSINVASKIPSNK >KJB32298 pep chromosome:Graimondii2_0_v6:5:62226424:62228247:-1 gene:B456_005G241200 transcript:KJB32298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMQSSESEPVMKELCSKILTATDVGVRFSFPTATMREHFKLLEGSQSLDFQVMDKYSKVWTFRLYTRKNDGHPKPVLTKGWLDFVKRMGLRVGDKVIFVLHGNHNDHLGILVKRNLKLLGSEHWGDL >KJB32299 pep chromosome:Graimondii2_0_v6:5:62226605:62228252:-1 gene:B456_005G241200 transcript:KJB32299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREHFKLLEGSQSLDFQVMDKYSKVWTFRLYTRKNDGHPKPVLTKGWLDFVKRMGLRVGDKVIFVLHGNHNDHLGILVKRNLKLLGSEHWGDL >KJB27824 pep chromosome:Graimondii2_0_v6:5:848050:850206:-1 gene:B456_005G011900 transcript:KJB27824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEWPKFMVLKCEARNKYLSYMRENCDCNGYLKFSETLAFSPYTKFQVERAKCSTGEDGLVHIKSCHNNKYCKRVKNVSITGNSKEQYYLISAAARKPEEGGSEESCTLFKLIPVDTATNKIRIMHVKSVIDWELLANKPFASPRFIVLKSRQNNKYLGFDHENGDYKHGYLKFSETRVASPYAKFEVEIAQRGGIDGLVHIRSSQNNKYLVSDGTRITATAKKPEEDRSKNSCTLFKLISVDDAANEVQIVHVQSRKYLWVKRENPNLFTSEHLDENMFTIIDWESLVFLPRHVAFKGNNGQYLCLRQIEGHPYLQFSSGDIGDAGVTMEVSMNNDGSIRIKPACSNKFWRRSSNWIWADSDDTTSNNKDTLFRPLKVNNRTIALRNLGNNNFCKSLSMEGKTNCLNADVSSITKEVQLRVEVPVLERTIYDIKYDLGNCRIYDESKLVIATNSACNYTQKSESLELKLSYTDTHTRTWKANVSLKVGPKATMNFGLPKIFEGRIDVLSGETQTGFEWEDTKTVTSVVYVLQKLVVPPMTKVTVNLTAINGTCDVPFTYMQKDTLYNGNIVSEVQGGTYTGSNYYSLDFQTKKESLSSSI >KJB28365 pep chromosome:Graimondii2_0_v6:5:4254453:4256536:-1 gene:B456_005G044700 transcript:KJB28365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDSKSGQEGGGDGGINIPKESDENSKMKGAINTKDMMFRADKIDLKSLDMLLEKHLNLVWSKNIEKQRPVEAWEVDLSKIDLRYVIAHGTYGTVYRATYDNKDVAVKVLDWGGGNAAPAETTAMQAAFKQEVAVWHKLDHPNVTKFVGASMGTSNLMITSADGHDSLPSRACCVVVEYLPGGTLKQYLIRNRRKKLALKVVIQLALDLSRGLSYLHTRKIVHRDVKTENLLLDAHRNVKIADFGVARVEAWNPSDMTGETGTLGYMAPEVLNGKPYNRKCDVYSFGICLWEIYCCDMPFPDLSFVQVSSAVVQENLRPAIPRCCPSSLANIMRKCWDANPKNRPEMEDVVKMLEAVNTSKGGGMIPEDKAPSCFCLAPRGP >KJB29441 pep chromosome:Graimondii2_0_v6:5:15869399:15872188:1 gene:B456_005G100700 transcript:KJB29441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLFVSMENLYHYLVIILFILLTIKLLIHKTKNLPPSPFSLPIIGHLHLIKNPLYQSLATLLSKYGPVLYLKFGCRHVLVLSSPSAVEECLNKNDIIFANRPRTMAGDLMTYNYTTFVWAPYGSLWRNHRRLSAVEIFCSNSVEKFSSIREEEVADFVRRLFEVSSGNGTQKVDLKYLFCLLTANVMWRTVAGKRGVEDPKDVEAEKMFFTEFKSLFFPSLGTNICDFFPVLRWIGFLGIEKKLKEIHRRRDEYLQSLVDGIRLNKSKKNFSLIERLLSLQQDDPDFCPDQVIKTMVLERTGRFLSSPTRPDALLSCPDFKKIMMFIAGTESTAITMEWTMALLLSHPEALQKARDEIIRHVGHERLLNESDLPKLPYLRCVVNETLRLYPATPLLLPHCPSEDCVVDGYEIPKGTILLVHAWAIQRDPSIWEEPTKFKPERFYEGTLEDKEGFKYLPKYLPFGLGRRACPGRNMGLRSVLLAIGVFIQCFEWENVGSDKVDMTPDTIGLSIFKARPLEALCRPRPDVIKLLSQL >KJB29440 pep chromosome:Graimondii2_0_v6:5:15869399:15872188:1 gene:B456_005G100700 transcript:KJB29440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLFVSMENLYHYLVIILFILLTIKLLIHKTKNLPPSPFSLPIIGHLHLIKNPLYQSLATLLSKYGPVLYLKFGCRHVLVLSSPSAVEECLNKNDIIFANRPRTMAGDLMTYNYTTFVWAPYGSLWRNHRRLSAVEIFCSNSVEKFSSIREEEVADFVRRLFEVSSGNGTQKVDLKYLFCLLTANVMWRTVAGKRGVEDPKDVEAEKMFFTEFKSLFFPSLGTNICDFFPVLRWIGFLGIEKKLKEIHRRRDEYLQSLVDGIRLNKSKKNFSLIERLLSLQQDDPDFCPDQVIKTMVLMMFIAGTESTAITMEWTMALLLSHPEALQKARDEIIRHVGHERLLNESDLPKLPYLRCVVNETLRLYPATPLLLPHCPSEDCVVDGYEIPKGTILLVHAWAIQRDPSIWEEPTKFKPERFYEGTLEDKEGFKYLPKYLPFGLGRRACPGRNMGLRSVLLAIGVFIQCFEWENVGSDKVDMTPDTIGLSIFKARPLEALCRPRPDVIKLLSQL >KJB28806 pep chromosome:Graimondii2_0_v6:5:7613334:7615262:1 gene:B456_005G070300 transcript:KJB28806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSTSRRYSYLIFPLFAFHCRNLHCTNWQRSSSSSLEVIPDNQNHLRRITCSKTKLQVDRNHGSIRNCDSDSSERNIVILESDVFATKTTKDASTPCKNA >KJB32633 pep chromosome:Graimondii2_0_v6:5:63054111:63058339:1 gene:B456_005G252300 transcript:KJB32633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMGDGYVGTAQDAVRIRRLEKQREAERRKIQELKTKSASAKGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRNKIEEEEKEKLQKLQQEEEELQLQKRKKRKVKGNSRLSFADDFDNGSEEEDDENKTSESKRFSRGKFGKDPSVETSFLPDSEREAEEQAERERLRKQWILEQEQIKNEPLEITYSYWDGAGHRRVIQVRKGDTIGEFLRAVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >KJB28681 pep chromosome:Graimondii2_0_v6:5:6575208:6576501:-1 gene:B456_005G062300 transcript:KJB28681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPRVTEFQVRMDCNGCVQKIKKALHGIHGIYEVYPDIAQQKLTVIGWADPERIIKAIRKTRKSVTICSYSEPTETAEQPPEQPPDDGSAAPETENPTPPEAPPSAEAAASQPEAAAPTADQQRDQPVPPPENPQPEPAPAPAPDANANAGQQQPPHSGPKDVGEVHVICHHPPDYGHRFGYVHSYGGPCNRQFPNSQCNFYPNSQPFHHELPPQPAFVTHSYNTYKPSPYVTEYEYVPSPPRYSHYSHFSRIDHHNEDYYGNYSNGSSGSNYNNSNGNGNGNITSIFSDENPNACAIM >KJB30699 pep chromosome:Graimondii2_0_v6:5:43883446:43886189:1 gene:B456_005G155700 transcript:KJB30699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVTLKALSSFLSYLLIGIYLVDAAPTPKCNDTGNFITNSTYGKNRDLILASLPSNVSAKSGFFTASIGQNSDKVYALGVCRGDSTPDDCYRCVNSSTHDLIVSCHNQKDALLWASELKCFLRYANRPFFGILELESTDAGYNTASITSNLTQFDTIRESLMDGVVGKASNGSSTLKYATGEADFTTFRTIHALMQCTPDLSHKDCDSCLRQSVSNHESCCHRKQGGYVQKPNCWFRWDLYPFYISNASTTAPSLSPPLPVNSASKNGDGGHSSHTLLIIIVPIIIFVVVILILAAVILLKRNKKRKQDDQINKNHAEPFQFDFNAVRLATENFSDANMLGQGGFGSVYKGQLEDGRKVAIKRLSENSGQGEQEFKNEVMLLANLQHRNLVRLLGFSFEQKERVLIYEFLPNSSLDSFIFDPVKCLQLNWEKRYKIIKGIAKGLLYLHKDSQYRIIHRDLKPANILLDEELNPKISDFGMAKLFALEQSQADTSKIVGTYGYMAPEYALRGQYSVKSDVYGFRVLVLEIISGQKISSFTNREVGDSLLTHVSCVLWLLYNWTRVC >KJB32114 pep chromosome:Graimondii2_0_v6:5:60738282:60739696:-1 gene:B456_005G225200 transcript:KJB32114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNTRRPFFLFQLLLVSVSLMIGSHTVSTAARRLFETQTTSSELPQLASKYEKQEESEYEKPEYKQPKYDEEYPKHEKPEMQKEEKQKPCKQHEEYHESHKSKEHEEYQKEKPEFPKLEKPKEHEKHEVEYPKIPEYKEKQDEGKEHKHEEYHESRESKEHKEYEKEKPEFPKLEKPKEHEKHEVEYPEIPEYKEKQDEGKEHKHEECHENTKSNIRKYPSTRKNKMRVRNINMSSKSMEKKRKKNLRKRQSTLSGLKCLSGQSPCLLNLARALSLEPYDTGACAIIMQ >KJB28277 pep chromosome:Graimondii2_0_v6:5:3779601:3781603:1 gene:B456_005G039800 transcript:KJB28277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVSLTVQLTLIKLGSRRRFSDRNSKTVSFFLWTRYLFADWLAASALGTLLKSRKDKVTDPLVLFWAPFLLLHLGGLDTITAYSLSDNELWPRHFFGMCFHIGVALYVYVKFWTIAMPPVIFMAIPIFIIGVINVRFRKSVFSDDKGSPLEVEHSQWPSERGMRLTLEEYLEPKQIKVKYGALYRAFHLFQVFKPMFAYLKLRIYQNLSYVFQLDQAKVSAEAAFTIVEIELGFLYDLLYTKIPIVITRPVDIVVSYLLMVGAIFLEIYSALLYLSSDWGLYWLAQQNNRFLRRIGSNLVRFTKPKEGIRSMAQHSLLEYCLPPRKLNLVAVLNFFDSEDRTGKYLQTGWKEVKTDFKNLSELLDDRGSSVLKGMGGSFEDILWSVCEVEFTHSLLLWHVATEVVFHDDNHQYRAVKLEPYCQISKALSDYMMYLIFLCPAMLPEGICNIRHQDTCTEAKNFALDKFQFKEAVRGLFGMHIRSRSFFIQMGRSRKLAFFEGCQIVEQLQSLVSMFQWDNQDKWKLIADLWLDMLTYATAQCSWKEHASQLQHGEEFLTHVALLMAHLGLSKRIQMVPLPKMLEEVDFEPNFHWDKLYRLTSYLA >KJB28852 pep chromosome:Graimondii2_0_v6:5:7999586:8002015:-1 gene:B456_005G072800 transcript:KJB28852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGKLIVVCQSGGKFTTNADGTLSYSGGDAHATSLTLNTKFDDFKSEISDMWKYDLHSLTIKYLLPNNKRTLITISTDRDMLRFLEFHEGSASADVYVMTPSPPSPSDATSLPCSRSSHTMPNGPVSPIDAPTDYLPDEPIFPVDAPMDFLPDSEDAGKQKTPQISSWKSCITGVGQTFNTRHELHEALDKFSLAHGFHYTLKNSDGRRFCARCKAEGCPWFFIAPKLSTTKLFRIKKMNDTHTCGVGSIRASASRKLVASIVKEKLRDTPTYKPQEIIDDIRRDLGIELNYAQAYGGIAAALEELQGSHRTAYNQLPLLCEKILETNPGSAAILNTKEDLNFHRIFVAFHASLYGFQNGCRPLLFLDCTSLKSKYRGELFTATALDGNDGNFLVAFAIVDVLSDDNWHWFLEQLKTVLSMSPEITFVADMKKEMSESLSLVFPNCFHGYCLHQLTESLKRKFKGSLTLEVVRVLISEFHSAAYAPTAEGFKKCIETIKNISPEAYEWVLQTEPEHWANAFFKGARYNHLKSSVTESFCDWVSDLPAMPITQVIETIRRKLMEFIYTRKMDSDQWSSNLTPSAEENLQRSLVNSRSLEVVLSHSSCFKVRDTLDMINVVNLENWDCSCREWQINGLPCLHAVAAIEHIGKNVYDYCYRYFTTEAFKVTYSESINPIPPLDRCMKRESSPVLVHPPCVTRPVGRPKERKYALKSNQAVKRTLQCSKCKKLGHNKRKCKQSA >KJB28853 pep chromosome:Graimondii2_0_v6:5:7999171:8002301:-1 gene:B456_005G072800 transcript:KJB28853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGKLIVVCQSGGKFTTNADGTLSYSGGDAHATSLTLNTKFDDFKSEISDMWKYDLHSLTIKYLLPNNKRTLITISTDRDMLRFLEFHEGSASADVYVMTPSPPSPSDATSLPCSRSSHTMPNGPVSPIDAPTDYLPDEPIFPVDAPMDFLPDSEDAGKQKTPQISSWKSCITGVGQTFNTRHELHEALDKFSLAHGFHYTLKNSDGRRFCARCKAEGCPWFFIAPKLSTTKLFRIKKMNDTHTCGVGSIRASASRKLVASIVKEKLRDTPTYKPQEIIDDIRRDLGIELNYAQAYGGIAAALEELQGSHRTAYNQLPLLCEKILETNPGSAAILNTKEDLNFHRIFVAFHASLYGFQNGCRPLLFLDCTSLKSKYRGELFTATALDGNDGNFLVAFAIVDVLSDDNWHWFLEQLKTVLSMSPEITFVADMKKEMSESLSLVFPNCFHGYCLHQLTESLKRKFKGSLTLEVVRVLISEFHSAAYAPTAEGFKKCIETIKNISPEAYEWVLQTEPEHWANAFFKGARYNHLKSSVTESFCDWVSDLPAMPITQVIETIRRKLMEFIYTRKMDSDQWSSNLTPSAEENLQRSLVNSRSLEVVLSHSSCFKVRDTLDMINVVNLENWDCSCREWQINGLPCLHAVAAIEHIGKNVYDYCYRYFTTEAFKVTYSESINPIPPLDRCMKRESSPVLVHPPCVTRPVGRPKERKYALKSNQAVKRTLQCSKCKKLGHNKRKCKQSA >KJB28851 pep chromosome:Graimondii2_0_v6:5:7999164:8002301:-1 gene:B456_005G072800 transcript:KJB28851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGKLIVVCQSGGKFTTNADGTLSYSGGDAHATSLTLNTKFDDFKSEISDMWKYDLHSLTIKYLLPNNKRTLITISTDRDMLRFLEFHEGSASADVYVMTPSPPSPSDATSLPCSRSSHTMPNGPVSPIDAPTDYLPDEPIFPVDAPMDFLPDSEDAGKQKTPQISSWKSCITGVGQTFNTRHELHEALDKFSLAHGFHYTLKNSDGRRFCARCKAEGCPWFFIAPKLSTTKLFRIKKMNDTHTCGVGSIRASASRKLVASIVKEKLRDTPTYKPQEIIDDIRRDLGIELNYAQAYGGIAAALEELQGSHRTAYNQLPLLCEKILETNPGSAAILNTKEDLNFHRIFVAFHASLYGFQNGCRPLLFLDCTSLKSKYRGELFTATALDGNDGNFLVAFAIVDVLSDDNWHWFLEQLKTVLSMSPEITFVADMKKEMSESLSLVFPNCFHGYCLHQLTESLKRKFKGSLTLEVVRVLISEFHSAAYAPTAEGFKKCIETIKNISPEAYEWVLQTEPEHWANAFFKGARYNHLKSSVTESFCDWVSDLPAMPITQVIETIRRKLMEFIYTRKMDSDQWSSNLTPSAEENLQRSLVNSRSLEVVLSHSSCFKVRDTLDMINVVNLENWDCSCREWQINGLPCLHAVAAIEHIGKNVYDYCYRYFTTEAFKVTYSESINPIPPLDRCMKRESSPVLVHPPCVTRPVGRPKERKYALKSNQAVKRTLQCSKCKKLGHNKRKCKQSA >KJB29167 pep chromosome:Graimondii2_0_v6:5:11469669:11471164:-1 gene:B456_005G087900 transcript:KJB29167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLFFFFLLFDISRAIPVLDFSKLNGHERAKTLAHIANACEDWGFFHLVNHGIAEDLLERVKKVSTDFYKLEREENFKNSKVVKLLNETGDKKLENVDWEDIVTLTDDNVNEWPSQTPGFKETFKEFRYELKKLAEKILEVLDENLGLPKGHIKKAFDGEEGENNAFFGTKVSHYPPCPNPERVIGLRPHTDAGGLILLFQDDEVGGLQVWKDGEWIDVEPLKNTIVISVGDQIEVISNGRYQSAWHRVFSTTDSNRRSVASYYNPSHKATIAPAPQLMKETNEEWNQAYPKFVFGDYMSVYYQQKFHPKEPRFQAVSAM >KJB30024 pep chromosome:Graimondii2_0_v6:5:29415958:29425582:-1 gene:B456_005G127900 transcript:KJB30024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MLTGRESLSRLIGKRRRFLPNLQSILSSPIRSSLNLLSDENGRLTETESSKGKVEISSSDLVTCPVCGSKVRGEDYTINSHLDRCLSRRTKRKLTQRTLLELNFGCSQSKLQISSDESEQLLSSDLSKNCSDYEENVTRCFSKIDPREEKSHDQRRQLPHTESVKQIDVAGSAENPISDGRANTMVDFSALSTDNEESRNHIDVTVDSISGMAIDTYIVGRKFSDEKEVNLGANISLLRDPDNIKDSNAIKVLSASSACCKVLGYLPLELAQYLSPLIEKYCLSFEGYVISVPKRSLDAVQIQIVCQNSIFNGKKGYDEFEALHLWQKALQVVEFAKNRPPNTTKYQQNFCLLLKEVLTSSPHLFTKDKKKFIESFTSLSEDSQRLFVRLYTRKGPWFRLSTIMYPEICNPQQAVKELSATGYLYLFEDTTKLHDDEMKDLLSLLTVSELRDILCTLRKKCNQGSRKQNLIASLLSCYKGGSCPVLQRLILERTEICIRTSPEAESLFWRAERLFFLNGEQDLSAFLLVDLGIVKYPTYNCIILEQIFSNESDLLAYEEAIEVAQVIDQSLDENNFELVLRCIMIADSRISCCPEKLIDSTSPDLMAIFRSCFSASWVYSKVILLGISFLECERRYKDAIHLLRRLLDCFTCDTRRGYWTVRLSVDLEHIGCPNESLSVAEAGLLDPWVRAGSRMALQRRVLRLAKPPRRWKTPSFSVTLKRKIIEVHIQGRPLNCEAGRKSRFYGEDGEQCGVEQLALQYYAREGGWQGVHTESGIWMTIFGLLMWDVLLSDVPNVFRTRFQTAPLDMETDHFYLARKSLIEPQLQKIHDGLAEEILITSWELHMGTACRGVNWDQHSLSDLRAAVSCIRGPCLASLCRHLAQDYGSWSSGMPDLLLWRFHGDYKGEAKLVEVKGPRDQLSEQQRAWLLLLMDCGFNAEVCKVSTTGTSP >KJB30025 pep chromosome:Graimondii2_0_v6:5:29416027:29425513:-1 gene:B456_005G127900 transcript:KJB30025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MVDFSALSTDNEESRNHIDVTVDSISGMAIDTYIVGRKFSDEKEVNLGANISLLRDPDNIKDSNAIKVLSASSACCKVLGYLPLELAQYLSPLIEKYCLSFEGYVISVPKRSLDAVQIQIVCQNSIFNGKKGYDEFEALHLWQKALQVVEFAKNRPPNTTKYQQNFCLLLKEVLTSSPHLFTKDKKKFIESFTSLSEDSQRLFVRLYTRKGPWFRLSTIMYPEICNPQQAVKELSATGYLYLFEDTTKLHDDEMKDLLSLLTVSELRDILCTLRKKCNQGSRKQNLIASLLSCYKGGSCPVLQRLILERTEICIRTSPEAESLFWRAERLFFLNGEQDLSAFLLVDLGIVKYPTYNCIILEQIFSNESDLLAYEEAIEVAQVIDQSLDENNFELVLRCIMIADSRISCCPEKLIDSTSPDLMAIFRSCFSASWVYSKVILLGISFLECERRYKDAIHLLRRLLDCFTCDTRRGYWTVRLSVDLEHIGCPNESLSVAEAGLLDPWVRAGSRMALQRRVLRLAKPPRRWKTPSFSVTLKRKIIEVHIQGRPLNCEAGRKSRFYGEDGEQCGVEQLALQYYAREGGWQGVHTESGIWMTIFGLLMWDVLLSDVPNVFRTRFQTAPLDMETDHFYLARKSLIEPQLQKIHDGLAEEILITSWELHMGTACRGVNWDQHSLSDLRAAVSCIRGPCLASLCRHLAQDYGSWSSGMPDLLLWRFHGDYKGEAKLVEVKGPRDQLSEQQRAWLLLLMDCGFNAEVCKVSTTGTSP >KJB30026 pep chromosome:Graimondii2_0_v6:5:29416027:29425513:-1 gene:B456_005G127900 transcript:KJB30026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MLTGRESLSRLIGKRRRFLPNLQSILSSPIRSSLNLLSDENGRLTETESSKGKVEISSSDLVTCPVCGSKVRGEDYTINSHLDRCLSRRTKRKLTQRTLLELNFGCSQSKLQISSDESEQLLSSDLSKNCSDYEENVTRCFSKIDPREEKSHDQRRQLPHTESVKQIDVAGSAENPISDGRANTMVDFSALSTDNEESRNHIDVTVDSISGMAIDTYIVGRKFSDEKEVNLGANISLLRDPDNIKDSNAIKVLSASSACCKGYVISVPKRSLDAVQIQIVCQNSIFNGKKGYDEFEALHLWQKALQVVEFAKNRPPNTTKYQQNFCLLLKEVLTSSPHLFTKDKKKFIESFTSLSEDSQRLFVRLYTRKGPWFRLSTIMYPEICNPQQAVKELSATGYLYLFEDTTKLHDDEMKDLLSLLTVSELRDILCTLRKKCNQGSRKQNLIASLLSCYKGGSCPVLQRLILERTEICIRTSPEAESLFWRAERLFFLNGEQDLSAFLLVDLGIVKYPTYNCIILEQIFSNESDLLAYEEAIEVAQVIDQSLDENNFELVLRCIMIADSRISCCPEKLIDSTSPDLMAIFRSCFSASWVYSKVILLGISFLECERRYKDAIHLLRRLLDCFTCDTRRGYWTVRLSVDLEHIGCPNESLSVAEAGLLDPWVRAGSRMALQRRVLRLAKPPRRWKTPSFSVTLKRKIIEVHIQGRPLNCEAGRKSRFYGEDGEQCGVEQLALQYYAREGGWQGVHTESGIWMTIFGLLMWDVLLSDVPNVFRTRFQTAPLDMETDHFYLARKSLIEPQLQKIHDGLAEEILITSWELHMGTACRGVNWDQHSLSDLRAAVSCIRGPCLASLCRHLAQDYGSWSSGMPDLLLWRFHGDYKGEAKLVEVKGPRDQLSEQQRAWLLLLMDCGFNAEVCKVSTTGTSP >KJB31196 pep chromosome:Graimondii2_0_v6:5:52994706:53002895:-1 gene:B456_005G180500 transcript:KJB31196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMYGFNATGEYADKALMSPENLILPSDYHAWLCSSGRVPMFGPDELISAASAISEAASIAPEIRREEDMSCVIKAKIASHPSYPRLLQAYIDCQKVGAPPEIASLLDEILRENDVNRRDIVPTCLGADPELDEFMETYCDMLVKFKSDLSRPFDEATTFLNKIEMQLRNLCTGASIRGPSDEGGVSSDEDISGGEVEGQEGQGKSEDRGLKDRLLRRFGSHISSLKLEFSKKKKKGKLPREARQTLLEWWNVHYKWPYPTEADKLALAESTGLDQKQINNWFINQRKRHWKPSESMQFAVMDNLSGQFFSED >KJB31197 pep chromosome:Graimondii2_0_v6:5:52994725:53002541:-1 gene:B456_005G180500 transcript:KJB31197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMYGFNATGEYADKALMSPENLILPSDYHAWLCSSGRVPMFGPDELISAASAISEAASIAPEIRREEDMSCVIKAKIASHPSYPRLLQAYIDCQKVGAPPEIASLLDEILRENDVNRRDIVPTCLGADPELDEFMETYCDMLVKFKSDLSRPFDEATTFLNKIEMQLRNLCTGASIRGPSGGVSSDEDISGGEVEGQEGQGKSEDRGLKDRLLRRFGSHISSLKLEFSKKKKKGKLPREARQTLLEWWNVHYKWPYPTEADKLALAESTGLDQKQINNWFINQRKRHWKPSESMQFAVMDNLSGQFFSED >KJB27456 pep chromosome:Graimondii2_0_v6:5:62904501:62905022:-1 gene:B456_005G249400 transcript:KJB27456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLPRIVSSKKVPKGYLAVYVGENQKRFVIPVSFLNQPLFQDFLGKSEEEFGYSHPTGGLTIPCNEDIFLDVTSRLN >KJB30166 pep chromosome:Graimondii2_0_v6:5:32615293:32616365:1 gene:B456_005G131700 transcript:KJB30166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQQPQPVKEEEMENEDEAGAEKKSVIIPLPQKVAAAETPPSVTEESLNNRNLDHQNHNK >KJB31520 pep chromosome:Graimondii2_0_v6:5:56476340:56494984:-1 gene:B456_005G194600 transcript:KJB31520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSYSPHFLPFSSQFLTHTIKPSLFSPTMKDRPPSSYGAVYVPPHHRLISSPNNQDATKNSSAPAIHSKLRDHQNTPILNAKGTASPPSLPHLQQQQQQRQQQQGTYNNNNGSKNSNNQNAQYNSAYCLGISENVSDRQLDLSLQSGTFDEANIEEWKRKLAMLLHDDGKQEFVSREKKDRRDFEQIAALASRMGLYSHLYSKVAVFSKLPLPNYRFDLDDKRPQREVSLNFGLLKRVNAYLGEYLSKKSRNKESFSDNCFSRSSSNSSIVTEEGLFEQPEPLASGTAVMEKILLRRSSLLRDQQQAWQESLEGREMLEFRQSLPAYKEKDTILTAILQNQVVIISGETGCGKTTQIPQFILESEIDSARGAFCSIICTQPRRISAISVSERVASERGEKLGESVGYKVRLEGIKGRDTRLLFCTTGILLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELKLILMSATLDAELFSSYFDGAPLIHIPGFTYPVRTHFLENILEMTGYRLTPSNQIDDYGQERMWKMSKQAPRKRKSQIVSSVEDALRAADFKDFSPQIQESLSCWNPDCIGFNLIEYLLSYICENERPGAVLVFMTGWDDISSLKDKLQAHPVLGDPSRVLLLTCHGSMASSEQKLIFEEPEDGVRKIVLTTNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWVSKVSTQQRRGRAGRVQPGECYHLYPRCVYDAFTEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPKLLAVQNAIEYLKVIGALDENENLTVLGRYLTMLPVEPKLGKILILGAILNCLDPVLTIVAGLSVRDPFLTPLDKKDLAEAAKLQFSHDHSDHLALVRAYEGWKEAEKDLAGYDYCWKNFLSAQSMKAIDSLREEFLSLLKDTGLIDGYATSCNGWSYDQHLIRAIICYGLYPGICSVVHNEKSFSLKTMEDGPVLLYSNSVNARESRIPYPWLVFNEKIKVNSVFLRDSTAVSDSVLLLFGGSISRGDVLLNPQIVLHLHHELISAIRLLVSEDQCDGRFVFGRPDLKPSNMPVVPPQRSLISRTESGPGGDNSKSQLQTLVTRAGYAAPIYKTSQLENNQFQATVEFNGMQIIGQPCNNKKSAEKDAAAEALQWLMSGTRTGHEYINYMSMFLKKSKRDN >KJB31522 pep chromosome:Graimondii2_0_v6:5:56477200:56494917:-1 gene:B456_005G194600 transcript:KJB31522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSYSPHFLPFSSQFLTHTIKPSLFSPTMKDRPPSSYGAVYVPPHHRLISSPNNQDATKNSSAPAIHSKLRDHQNTPILNAKGTASPPSLPHLQQQQQQRQQQQGTYNNNNGSKNSNNQNAQYNSAYCLGISENVSDRQLDLSLQSGTFDEANIEEWKRKLAMLLHDDGKQEFVSREKKDRRDFEQIAALASRMGLYSHLYSKVAVFSKLPLPNYRFDLDDKRPQREVSLNFGLLKRVNAYLGEYLSKKSRNKESFSDNCFSRSSSNSSIVTEEGLFEQPEPLASGTAVMEKILLRRSSLLRDQQQAWQESLEGREMLEFRQSLPAYKEKDTILTAILQNQVVIISGETGCGKTTQIPQFILESEIDSARGAFCSIICTQPRRISAISVSERVASERGEKLGESVGYKVRLEGIKGRDTRLLFCTTGILLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELKLILMSATLDAELFSSYFDGAPLIHIPGFTYPVRTHFLENILEMTGYRLTPSNQIDDYGQERMWKMSKQAPRKRKSQIVSSVEDALRAADFKDFSPQIQESLSCWNPDCIGFNLIEYLLSYICENERPGAVLVFMTGWDDISSLKDKLQAHPVLGDPSRVLLLTCHGSMASSEQKLIFEEPEDGVRKIVLTTNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWVSKVSTQQRRGRAGRVQPGECYHLYPRCVYDAFTEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPKLLAVQNAIEYLKVIGALDENENLTVLGRYLTMLPVEPKLGKILILGAILNCLDPVLTIVAGLSVRDPFLTPLDKKDLAEAAKLQFSHDHSDHLALVRAYEGWKEAEKDLAGYDYCWKNFLSAQSMKAIDSLREEFLSLLKDTGLIDGYATSCNGWSYDQHLIRAIICYGLYPGICSVVHNEKSFSLKTMEDGPVLLYSNSVNARESRIPYPWLVFNEKIKVNSVFLRDSTAVSDSVLLLFGGSISRGDVDGHLKMLGGYLEFFMQPAIAEKYLSLRREFDELIQNKLLNPQIVLHLHHELISAIRLLVSEDQCDGRFVFGRPDLKPSNMPVVPPQRSLISRTESGPGGDNSKSQLQTLVTRAGYAAPIYKTSQLENNQFQATVEFNGMQIIGQPCNNKKSAEKDAAAEALQWLMSGTRTGHEYINYMSMFLKKSKRDN >KJB31521 pep chromosome:Graimondii2_0_v6:5:56477200:56494917:-1 gene:B456_005G194600 transcript:KJB31521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSYSPHFLPFSSQFLTHTIKPSLFSPTMKDRPPSSYGAVYVPPHHRLISSPNNQDATKNSSAPAIHSKLRDHQNTPILNAKGTASPPSLPHLQQQQQQRQQQQGTYNNNNGSKNSNNQNAQYNSAYCLGISENVSDRQLDLSLQSGTFDEANIEEWKRKLAMLLHDDGKQEFVSREKKDRRDFEQIAALASRMGLYSHLYSKVAVFSKLPLPNYRFDLDDKRPQREVSLNFGLLKRVNAYLGEYLSKKSRNKESFSDNCFSRSSSNSSIVTEEGLFEQPEPLASGTAVMEKILLRRSSLLRDQQQAWQESLEGREMLEFRQSLPAYKEKDTILTAILQNQVVIISGETGCGKTTQIPQFILESEIDSARGAFCSIICTQPRRISAISVSERVASERGEKLGESVGYKVRLEGIKGRDTRLLFCTTGILLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELKLILMSATLDAELFSSYFDGAPLIHIPGFTYPVRTHFLENILEMTGYRLTPSNQIDDYGQERMWKMSKQAPRKRKSQIVSSVEDALRAADFKDFSPQIQESLSCWNPDCIGFNLIEYLLSYICENERPGAVLVFMTGWDDISSLKDKLQAHPVLGDPSRVLLLTCHGSMASSEQKLIFEEPEDGVRKIVLTTNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWVSKVSTQQRRGRAGRVQPGECYHLYPRCVYDAFTEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPKLLAVQNAIEYLKVIGALDENENLTVLGRYLTMLPVEPKLGKILILGAILNCLDPVLTIVAGLSVRDPFLTPLDKKDLAEAAKLQFSHDHSDHLALVRAYEGWKEAEKDLAGYDYCWKNFLSAQSMKAIDSLREEFLSLLKDTGLIDGYATSCNGWSYDQHLIRAIICYGLYPGICSVVHNEKSFSLKTMEDGPVLLYSNSVNARESRIPYPWLVFNEKIKVNSVFLRDSTAVSDSVLLLFGGSISRGDVDGHLKMLGGYLEFFMQPAIAEKYLSLRREFDELIQNKLLNPQIVLHLHHELISAIRLLVSEDQCDGRFVFGRPDLKPSNMPVVPPQRSLISRTESGPGGDNSKSQLQTLVTRAGYAAPIYKTSQLENNQFQATVEFNGMQIIGQPCNNKKSAEKDAAAEALQWLMSGTRTGHEYINYMSMFLKKSKRDN >KJB31524 pep chromosome:Graimondii2_0_v6:5:56476606:56494830:-1 gene:B456_005G194600 transcript:KJB31524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRPPSSYGAVYVPPHHRLISSPNNQDATKNSSAPAIHSKLRDHQNTPILNAKGTASPPSLPHLQQQQQQRQQQQGTYNNNNGSKNSNNQNAQYNSAYCLGISENVSDRQLDLSLQSGTFDEANIEEWKRKLAMLLHDDGKQEFVSREKKDRRDFEQIAALASRMGLYSHLYSKVAVFSKLPLPNYRFDLDDKRPQREVSLNFGLLKRVNAYLGEYLSKKSRNKESFSDNCFSRSSSNSSIVTEEGLFEQPEPLASGTAVMEKILLRRSSLLRDQQQAWQESLEGREMLEFRQSLPAYKEKDTILTAILQNQVVIISGETGCGKTTQIPQFILESEIDSARGAFCSIICTQPRRISAISVSERVASERGEKLGESVGYKVRLEGIKGRDTRLLFCTTGILLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELKLILMSATLDAELFSSYFDGAPLIHIPGFTYPVRTHFLENILEMTGYRLTPSNQIDDYGQERMWKMSKQAPRKRKSQIVSSVEDALRAADFKDFSPQIQESLSCWNPDCIGFNLIEYLLSYICENERPGAVLVFMTGWDDISSLKDKLQAHPVLGDPSRVLLLTCHGSMASSEQKLIFEEPEDGVRKIVLTTNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWVSKVSTQQRRGRAGRVQPGECYHLYPRCVYDAFTEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPKLLAVQNAIEYLKVIGALDENENLTVLGRYLTMLPVEPKLGKILILGAILNCLDPVLTIVAGLSVRDPFLTPLDKKDLAEAAKLQFSHDHSDHLALVRAYEGWKEAEKDLAGYDYCWKNFLSAQSMKAIDSLREEFLSLLKDTGLIDGYATSCNGWSYDQHLIRAIICYGLYPGICSVVHNEKSFSLKTMEDGPVLLYSDGHLKMLGGYLEFFMQPAIAEKYLSLRREFDELIQNKLLNPQIVLHLHHELISAIRLLVSEDQCDGRFVFGRPDLKPSNMPVVPPQRSLISRTESGPGGDNSKSQLQTLVTRAGYAAPIYKTSQLENNQFQATVEFNGMQIIGQPCNNKKSAEKDAAAEALQWLMSGTRTGHEYINYMSMFLKKSKRDN >KJB31523 pep chromosome:Graimondii2_0_v6:5:56476606:56494830:-1 gene:B456_005G194600 transcript:KJB31523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRPPSSYGAVYVPPHHRLISSPNNQDATKNSSAPAIHSKLRDHQNTPILNAKGTASPPSLPHLQQQQQQRQQQQGTYNNNNGSKNSNNQNAQYNSAYCLGISENVSDRQLDLSLQSGTFDEANIEEWKRKLAMLLHDDGKQEFVSREKKDRRDFEQIAALASRMGLYSHLYSKVAVFSKLPLPNYRFDLDDKRPQREVSLNFGLLKRVNAYLGEYLSKKSRNKESFSDNCFSRSSSNSSIVTEEGLFEQPEPLASGTAVMEKILLRRSSLLRDQQQAWQESLEGREMLEFRQSLPAYKEKDTILTAILQNQVVIISGETGCGKTTQIPQFILESEIDSARGAFCSIICTQPRRISAISVSERVASERGEKLGESVGYKVRLEGIKGRDTRLLFCTTGILLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELKLILMSATLDAELFSSYFDGAPLIHIPGFTYPVRTHFLENILEMTGYRLTPSNQIDDYGQERMWKMSKQAPRKRKSQIVSSVEDALRAADFKDFSPQIQESLSCWNPDCIGFNLIEYLLSYICENERPGAVLVFMTGWDDISSLKDKLQAHPVLGDPSRVLLLTCHGSMASSEQKLIFEEPEDGVRKIVLTTNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWVSKVSTQQRRGRAGRVQPGECYHLYPRCVYDAFTEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPKLLAVQNAIEYLKVIGALDENENLTVLGRYLTMLPVEPKLGKILILGAILNCLDPVLTIVAGLSVRDPFLTPLDKKDLAEAAKLQFSHDHSDHLALVRAYEGWKEAEKDLAGYDYCWKNFLSAQSMKAIDSLREEFLSLLKDTGLIDGYATSCNGWSYDQHLIRAIICYGLYPGICSVVHNEKSFSLKTMEDGPVLLYSNSVNARESRIPYPWLVFNEKIKVNSVFLRDSTAVSDSVLLLFGGSISRGDVLLNPQIVLHLHHELISAIRLLVSEDQCDGRFVFGRPDLKPSNMPVVPPQRSLISRTESGPGGDNSKSQLQTLVTRAGYAAPIYKTSQLENNQFQATVEFNGMQIIGQPCNNKKSAEKDAAAEALQWLMSGTRTGHEYINYMSMFLKKSKRDN >KJB32944 pep chromosome:Graimondii2_0_v6:5:64110135:64110512:1 gene:B456_005G2694001 transcript:KJB32944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CKIYNKQKLKLLMVLEFLKTLAHFVSFTPIFLK >KJB27956 pep chromosome:Graimondii2_0_v6:5:1434717:1436741:-1 gene:B456_005G018400 transcript:KJB27956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNNYGHQHPLLLILNQDQLIYNQSGVTDCSRCGEKYTHFCPDFGFNSHEKCAKLPFKLNHVCHRKHPLVLQFNSQRLPCKICGETNREAIGFVYGCSPCKFVVHIECASQSPLQVIKSTNHEHPFTLFLRQVPFTCDACGVEGNHVAYTCGTCNIIIHKNCISLPRIIKSKWHDHRLLHTYFHHIEDFGVLNCMICHDEVDTEHGSYYCSKCNVIFHVHCVTEDKRSYSIVSLENEDEIPYESSITVLESNDAGEATKIKHFKHIHNLMLSPFVGRYENRCDGCLLPISGPFYSCSFCAFFLHKACAELPKMKDVWHHLCREPLALISDKAFECLECLNLYNAFAYECCGCETKICLPCVIALTPGARTYLKHEHPLFYYREHKGKCNACGNTTGSAFWCKDCNFVLHTDCFSLPITAYHKCDQHLLSLTDHNDNSYSESYYCDICEKTRDLNRWFYRCATCDTSAHVGCVLGKYSFLKHRSIYEEKDHPHPLIIVKKKYYYPDCYKCSKPCEDVALECSKPECKYIVHWDCVAPDYLQSWWMWCM >KJB31373 pep chromosome:Graimondii2_0_v6:5:54798316:54801254:1 gene:B456_005G188000 transcript:KJB31373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKNRSPQSPQQQLHDLKQRVFTCLNKLADRDTLALASAELESIARNLTVDSISPFLNCLHNTDSSAKSPVRRQCVILLTLMSQSHGNVLSPHLSKMISTLARRLRDHDSAVRSACVEATTAMSSHITKPPFSVLSKPLIEMLVVEQDVNSQIGAAMCLSAAIEAAPDPETEQLKKVLPKLGKLVRSESFKAKAAVFGVIGSVASVGGAGSKGVLDWFVPCAVESLGSEDWGTRKAAAEALGKVAMAEKELAAEYKAACVTTLGNKRFDKVKIVRETMNRSLDLWKDVPGVCEEVSTTSQSDSSSIDNGSVGCFTSVTKSMNDVGFRTPQSKKVVPTSRSPPSDASAASTAKKETPLESNNSNSNTRRLDRSKSSDWKIEPVQPKSLFSEASGDYNIKRSVSFGKAHDEKVQKFGGLRSQSRVLPFHDEENLDVTDKNAALDVDENPKDIEDLCLIREQLAQIEDQQSNLLNLLQKFIGSSQSGINSLETRVNGLEMALDEISYDLAISSGRILNMDSTDNKCCKLPGAEFLSPKFWRKTESRFSTSRLPSSGRMLSLNAVHNLHDKDSGGEMYKPAYSRGYQRQGGSGFVMNPVGDAGSDIRDNPGFSKNTIQNAERFQVGNASASDGTSLVSCTAPTNLSSR >KJB31371 pep chromosome:Graimondii2_0_v6:5:54798424:54800476:1 gene:B456_005G188000 transcript:KJB31371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKNRSPQSPQQQLHDLKQRVFTCLNKLADRDTLALASAELESIARNLTVDSISPFLNCLHNTDSSAKSPVRRQCVILLTLMSQSHGNVLSPHLSKMISTLARRLRDHDSAVRSACVEATTAMSSHITKPPFSVLSKPLIEMLVVEQDVNSQIGAAMCLSAAIEAAPDPETEQLKKVLPKLGKLVRSESFKAKAAVFGVIGSVASVGGAGSKGVLDWFVPCAVESLGSEDWGTRKAAAEALGKVAMAEKELAAEYKAACVTTLGNKRFDKVKIVRETMNRSLDLWKDVPGVCEEVSTTSQSDSSSIDNGSVGCFTSVTKSMNDVGFRTPQSKKVVPTSRSPPSDASAASTAKKETPLESNNSNSNTRRLDRSKSSDWKIEPVQPKSLFSEASGDYNIKRSVSFGKAHDEKVQKFGGLRSQSRVLPFHDEENLDVTDKNAALDVDENPKDIEDLCLIREQLAQIEDQQSNLLNLLQVVYKAEYPLSLSSHSLFFCTYQVNLYINVLLFFGSYWFSIVFDLSLCEILGYDICDNAYFFLKFF >KJB31372 pep chromosome:Graimondii2_0_v6:5:54798180:54802169:1 gene:B456_005G188000 transcript:KJB31372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKNRSPQSPQQQLHDLKQRVFTCLNKLADRDTLALASAELESIARNLTVDSISPFLNCLHNTDSSAKSPVRRQCVILLTLMSQSHGNVLSPHLSKMISTLARRLRDHDSAVRSACVEATTAMSSHITKPPFSVLSKPLIEMLVVEQDVNSQIGAAMCLSAAIEAAPDPETEQLKKVLPKLGKLVRSESFKAKAAVFGVIGSVASVGGAGSKGVLDWFVPCAVESLGSEDWGTRKAAAEALGKVAMAEKELAAEYKAACVTTLGNKRFDKVKIVRETMNRSLDLWKDVPGVCEEVSTTSQSDSSSIDNGSVGCFTSVTKSMNDVGFRTPQSKKVVPTSRSPPSDASAASTAKKETPLESNNSNSNTRRLDRSKSSDWKIEPVQPKSLFSEASGDYNIKRSVSFGKAHDEKVQKFGGLRSQSRVLPFHDEENLDVTDKNAALDVDENPKDIEDLCLIREQLAQIEDQQSNLLNLLQKFIGSSQSGINSLETRVNGLEMALDEISYDLAISSGRILNMDSTDNKCCKLPGAEFLSPKFWRKTESRFSTSRLPSSGRMLSLNAVHNLHDKDSGGEMYKPAYSRGYQRQGGSGFVMNPVGDAGSDIRDNPGFSKNTIQNAERFQVGNASASDGTSLVSCTAPTNLSSRF >KJB29515 pep chromosome:Graimondii2_0_v6:5:18184972:18186281:-1 gene:B456_005G104700 transcript:KJB29515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDKRLIEIFCDICIKEILKGNRSGTHFTKDGWLKIMTNFEKETGKAYSQRQHKNRDTGLRWNVIKRTVDGSDDWWEKRKTPKISTSHCKTRRKKSSKQIGEAARLSNQIEKLCNASDNMSQATSNLTPIMDPYDIPQAVKVLDSMSEEVPEASLLYFFSLKLLLNKDKRISRLEVCGLRRK >KJB31708 pep chromosome:Graimondii2_0_v6:5:58184674:58188606:1 gene:B456_005G203600 transcript:KJB31708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIELDEAMLQGQAEIWRYLYSFADSMALKSAVELRIADIIHSNGGPATLSQIASCINDSFTSPNITALARIMRLLVRKKIFTIHPPSDGGDPLYDLTHSSRWLLYDSEQTLVPMVLMENHPWQMAPWHYFSQCVREGGIAFKKAHGCETWDLASRNPDFNKLFNDGLACTSKFITSAILSGYKQGFNSIGSLVDVGGGTGGLISEIVKVYPHIKGVNFDLPHVVSTAPAYNGVSHIGGDMFHAIPNTDAIIMKWILHDWTDEECIKILRNCKKAIPRENGKVIIVEIILKEDGSGVFDDIGFVMDLVMFAHTNGKERTEAEWKKILEGGGFSHYKIINIPALVSIIEAYPDAQ >KJB27784 pep chromosome:Graimondii2_0_v6:5:659876:660527:-1 gene:B456_005G009500 transcript:KJB27784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFDHFFCLVVFSHATSPKCFPYLSEASRIVSKVSRDIRPEFSSVPESHSCFAPDGSTYNCHPLWN >KJB30832 pep chromosome:Graimondii2_0_v6:5:47332319:47334607:-1 gene:B456_005G162800 transcript:KJB30832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKLLKPLLYSFDILQFVRVHLDVGVVKSSSRVIKVGGEPSNMKMSLLRFLNFLSKTHRKPIAAALFTRSLTTYSKQSIERRIFNRNSLPGHETSFGYSSTLHRIIYRSIHEDLTPKHLSVEHYEKNPAETKLEQDAARICTLLSTHSGSHADKLLEDASIKVSPSLVVEVLKRLSNAGVIALSFFTWAEKQKGFKYNTESYNALIEALGKIKQFKLIWSLVNEMKRRKLLNKDTFALISRRHARARKVEEAIEAFERMEEFGFKLETSDFNRLLDTLCKSRHVEKANKVFDKMKKRRFVPDIKSYTILLEGWGKEHNLLRLDEVYLEMKYDGFEPDVVTYGILISAYCKAKKYDAAIELFHEMEAKNCKPTPHVYCTLINGLGSEKRLSEALEFFERFKSCGFTPEAPTYNSLVGAYCWSMRIDDAFQVIDEMRKHSAGPNSRTYDIILHHLIKARRTNEAYFRFQKMLNEPGCEPTVSTYEIIVRMFCNEDRVDLAKQVWDQMKAKGVLPGMHMYSDLITSLCHKNKLGEACKYFQEMLDAGIRPPAKMFSNLKQALLGEGKKDEALNLARKINKLRGTPLVVRDQK >KJB30330 pep chromosome:Graimondii2_0_v6:5:35652327:35658237:1 gene:B456_005G137800 transcript:KJB30330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVERRRVMTWRRVGKSLQALVAHALLFSFTLLLALKLHHALSYSWWVVFSPLWLFHATVARGRFSLPAPSMPHDRDWAPFHALISTPLLVAFELLLCIRLDSSYVVNLKIVFLPLLAFEMAILIDNIRMCRALMPGDEESMSDEVIWETLPHFWVAISMVFFIAATTFTLLKLCAGDVAALGWWDLFINFGIAECFAFLVCTKWYNPAIHRNSHLGESSSSSMTIRHLDWNRGLVISSDEDSHHNSRICNLQDIGGHVMKIPFFCFQIMLFMQLEGTPPSARNISTPILFSPLLLLQGAGVAHAAYRLIEKIVILLHSGAVSGRYIDISSKVREFFGFLHHGSRLLGWWSIDEGSKEEQARLYYSGASGYNTFSPDIVKKRPKSDLVEEIWRLQAALSEQTEVTKYSQQEFERLQYEKILCRVCFEEQICVVLLPCRHHVLCRYLHLPL >KJB30329 pep chromosome:Graimondii2_0_v6:5:35652057:35658784:1 gene:B456_005G137800 transcript:KJB30329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVERRRVMTWRRVGKSLQALVAHALLFSFTLLLALKLHHALSYSWWVVFSPLWLFHATVARGRFSLPAPSMPHDRDWAPFHALISTPLLVAFELLLCIRLDSSYVVNLKIVFLPLLAFEMAILIDNIRMCRALMPGDEESMSDEVIWETLPHFWVAISMVFFIAATTFTLLKLCGDVAALGWWDLFINFGIAECFAFLVCTKWYNPAIHRNSHLGESSSSSMTIRHLDWNRGLVISSDEDSHHNSRICNLQDIGGHVMKIPFFCFQIMLFMQLEGTPPSARNISTPILFSPLLLLQGAGVAHAAYRLIEKIVILLHSGAVSGRYIDISSKVREFFGFLHHGSRLLGWWSIDEGSKEEQARLYYSGASGYNTFSPDIVKKRPKSDLVEEIWRLQAALSEQTEVTKYSQQEFERLQYEKILCRVCFEEQICVVLLPCRHHVLCSTCCEKCKRCPICRVSIEERLPVYDV >KJB30331 pep chromosome:Graimondii2_0_v6:5:35652327:35658735:1 gene:B456_005G137800 transcript:KJB30331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVERRRVMTWRRVGKSLQALVAHALLFSFTLLLALKLHHALSYSWWVVFSPLWLFHATVARGRFSLPAPSMPHDRDWAPFHALISTPLLVAFELLLCIRLDSSYVVNLKIVFLPLLAFEMAILIDNIRMCRALMPGDEESMSDEVIWETLPHFWVAISMVFFIAATTFTLLKLCAGDVAALGWWDLFINFGIAECFAFLVCTKWYNPAIHRNSHLGESSSSSMTIRHLDWNRGLVISSDEDSHHNSRICNLQDIGGHVMKIPFFCFQIMLFMQLEGTPPSARNISTPILFSPLLLLQGAGVAHAAYRLIEKIVILLHSGAVSGRYIDISSKVREFFGFLHHGSRLLGWWSIDEGSKEEQARLYYSGASGYNTFSPDIVKKRPKSDLVEEIWRLQAALSEQTEVTKYSQQEFERLQYEKILCRVCFEEQICVVLLPCRHHVLCSTCCEKCKRCPICRVSIEERLPVYDV >KJB30899 pep chromosome:Graimondii2_0_v6:5:49123575:49125496:-1 gene:B456_005G166900 transcript:KJB30899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLEESLVLHEESKDMAESEFDPSILLSMPNSPSQNGRPSSMVIKKAQSVIPAHLVAEAISTLQGLDLRWSGPITPTEMQYVEQYVFAKYPQYCNGLVEDGLKMIDVDSLSNSDESFETVVTDNKQKSPRIVGNRESFSSSSTSGMADIDNTQLEASRLLDILTRKTSFLGNFVSIPEIQAQNRALKHCGLSEDEYLVLFMPNYKDAMMLIGESYPFFKGNYYLTIIAEESDYIREFASQRESKVISAPETWLDLRIKGSQLSQNFRRKCKHSPKGLFAYPADVNGTTRLSMHWISEAHRNSWHVLLDATTLVFSEGQRLPLALHRPDFVLCTVDNTHAHPSKITCLLVRKRSFDTTSSSP >KJB30900 pep chromosome:Graimondii2_0_v6:5:49123673:49125496:-1 gene:B456_005G166900 transcript:KJB30900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSPSQNGRPSSMVIKKAQSVIPAHLVAEAISTLQGLDLRWSGPITPTEMQYVEQYVFAKYPQYCNGLVEDGLKMIDVDSLSNSDESFETVVTDNKQKSPRIVGNRESFSSSSTSGMADIDNTQLEASRLLDILTRKTSFLGNFVSIPEIQAQNRALKHCGLSEDEYLVLFMPNYKDAMMLIGESYPFFKGNYYLTIIAEESDYIREFASQRESKVISAPETWLDLRIKGSQLSQNFRRKCKHSPKGLFAYPADVNGTTRLSMHWISEAHRNSWHVLLDATTLVFSEGQRLPLALHRPDFVLCTVDNTHAHPSKITCLLVRKRSFDTTSSSP >KJB30902 pep chromosome:Graimondii2_0_v6:5:49123674:49125376:-1 gene:B456_005G166900 transcript:KJB30902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESEFDPSILLSMPNSPSQNGRPSSMVIKATQSVIPAHLVAEAISTLQGLDLRWSGPITPTEMQYVEQYVFAKYPQYCNGLVEDGLKMIDVDSLSNSDESFETVVTDNKQKSPRIVGNRESFSSSSTSGMADIDNTQLEASRLLDILTRKTSFLGNFVSIPEIQAQNRALKHCGLSEDEYLVLFMPNYKDAMMLIGESYPFFKGNYYLTIIAEESDYIREFASQRESKVISAPETWLDLRIKGSQLSQNFRRKCKHSPKGLFAYPADVNGTTRLSMHWISEAHRNSWHVLLDATTLVFSEGQRLPLALHRPDFVLCTVDNTHAHPSKITCLLVRKRSFDTTSSSP >KJB30901 pep chromosome:Graimondii2_0_v6:5:49123674:49125376:-1 gene:B456_005G166900 transcript:KJB30901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESEFDPSILLSMPNSPSQNGRPSSMVIKKAQSVIPAHLVAEAISTLQGLDLRWSGPITPTEMQYVEQYVFAKYPQYCNGLVEDGLKMIDVDSLSNSDESFETVVTDNKQKSPRIVGNRESFSSSSTSGMADIDNTQLEASRLLDILTRKTSFLGNFVSIPEIQAQNRALKHCGLSEDEYLVLFMPNYKDAMMLIGESYPFFKGNYYLTIIAEESDYIREFASQRESKVISAPETWLDLRIKGSQLSQNFRRKCKHSPKGLFAYPADVNGTTRLSMHWISEAHRNSWHVLLDATTLVFSEGQRLPLALHRPDFVLCTVDNTHAHPSKITCLLVRKRSFDTTSSSP >KJB32251 pep chromosome:Graimondii2_0_v6:5:61401682:61402383:-1 gene:B456_005G231700 transcript:KJB32251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRKKITTIFITTLLLTVLGFSISVHSRELAGSSSPSPENLGLIAGTRRPGSLPASCDSKCKQCEPCMPVEVSVRAAELEENEYYPQVWQCMCQENIYPP >KJB29720 pep chromosome:Graimondii2_0_v6:5:22734805:22740251:-1 gene:B456_005G115900 transcript:KJB29720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEPTSIEGSVTPPTSIDSENLGVGTSSQTKGTTEKRKATPQRSEVWSHFTKIINSEGTSKAKCNYCLKEFCCDMKKMVQGH >KJB29800 pep chromosome:Graimondii2_0_v6:5:24812647:24816454:1 gene:B456_005G119400 transcript:KJB29800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFLHTHPQLMAIPMRLQSFASAQKWEGGVSMVQGASRGIGLEFVKQMLEKNGKGHVIATCRNPTKATALLELKNQFAERLNVLPLDVTVERTIEESARSITERYGSLNLLINASGILSIPSVLQPETTLGKVQKSSMMLAYEVNAVGPILVIKHMWPLLKVGGLLGIDRDVAVVANISARVGSIGDNRLGGWHSYRSSKAALNQCMIFFPLSL >KJB29799 pep chromosome:Graimondii2_0_v6:5:24812471:24817324:1 gene:B456_005G119400 transcript:KJB29799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFLHTHPQLMAIPMRLQSFASAQKWEGGVSMVQGASRGIGLEFVKQMLEKNGKGHVIATCRNPTKATALLELKNQFAERLNVLPLDVTVERTIEESARSITERYGSLNLLINASGILSIPSVLQPETTLGKVQKSSMMLAYEVNAVGPILVIKHMWPLLKVGGLLGIDRDVAVVANISARVGSIGDNRLGGWHSYRSSKAALNQCTVDTDLSRPFQRNVPAEKLFTKEFSVQKLLNIINNAKQRDNGKFFAWDGREIPW >KJB29801 pep chromosome:Graimondii2_0_v6:5:24812471:24817351:1 gene:B456_005G119400 transcript:KJB29801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFLHTHPQLMAIPMRLQSFASAQKWEGGVSMVQGASRGIGLEFVKQMLEKNGKGHVIATCRNPTKATALLELKNQFAERLNVLPLDVTVERTIEESARSITERYGSLNLLINASGILSIPSVLQPETTLGKVQKSSMMLAYEVNAVGPILVIKHMWPLLKVGGLLGIDRDVAVVANISARVGSIGDNRLGGWHSYRSSKAALNQLTKTISVEFARKKDPIACILLHPGTVDTDLSRPFQRNVPAEKLFTKEFSVQKLLNIINNAKQRDNGKFFAWDGREIPW >KJB29798 pep chromosome:Graimondii2_0_v6:5:24812471:24817207:1 gene:B456_005G119400 transcript:KJB29798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFLHTHPQLMAIPMRLQSFASAQKWEGGVSMVQGASRGIGLEFVKQMLEKNGKGHVIATCRNPTKATALLELKNQFAERLNVLPLDVTVERTIEESARSITERYGSLNLLINASGILSIPSVLQPETTLGKVQKSSMMLAYEVNAVGPILVIKHMWPLLKVGGLLGIDRDVAVVANISARVGSIGDNRLGGWHSYRSSKAALNQ >KJB29797 pep chromosome:Graimondii2_0_v6:5:24812471:24816794:1 gene:B456_005G119400 transcript:KJB29797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFLHTHPQLMAIPMRLQSFASAQKWEGGVSMVQGASRGIGLEFVKQMLEKNGKGHVIATCRNPTKATALLELKNQFAERLNVLPLDVTVERTIEESARSITERYGSLNLLINASGILSIPSVLQPETTLGKVQKSSMMLAYEVNAVGPILVIKHMWPLLKVGGLLGIDRDVAVVANISARVGSIGDNRLGGWHSYRSSKAALNQFVYTLSPRLFSHYEVIEV >KJB27474 pep chromosome:Graimondii2_0_v6:5:48365893:48368221:1 gene:B456_005G165100 transcript:KJB27474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRQQRNQGQQSRFFYELLALVLNLLRLPTMPLPFSDQPAAPERSPLPRTASMTTIPPAGFAWLMLGISVSLMLCGSVTFFIGFMLMPWVLGLVMVCYVAGIVSTVSMLGRSILCYAMAPPSRRKDIPGCSYER >KJB27473 pep chromosome:Graimondii2_0_v6:5:48365883:48368244:1 gene:B456_005G165100 transcript:KJB27473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRQQRNQGQQSRFFYELLALVLNLLRLPTMPLPFSDQPAAPERSPLPRTASMTTIPPAGFAWLMLGISVSLMLCGSVTFFIGFMLMPWVLGLVMVCYVAGIVSTVSMLGRSILCYAMAPPSRRKDIPGCSYER >KJB27476 pep chromosome:Graimondii2_0_v6:5:48365893:48367481:1 gene:B456_005G165100 transcript:KJB27476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRQQRNQGQQSRFFYELLALVLNLLRLPTMPLPFSDQPAAPERSPLPRTASMTTIPPAGFAWLMLGISVSLMLCGSVTFFIGFMLMPWVLGLVMVCYVAGIVSTVSMLGRSILCYAMAPPSRRKDIPGCSYER >KJB27475 pep chromosome:Graimondii2_0_v6:5:48365784:48368232:1 gene:B456_005G165100 transcript:KJB27475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRQQRNQGQQSRFFYELLALVLNLLRLPTMPLPFSDQPAAPERSPLPRTASMTTIPPAGFAWLMLGISVSLMLCGSVTFFIGFMLMPWVLGLVMVCYVAGIVSTVSMLGRSILCYAMAPPSRRKDIPGCSYER >KJB27957 pep chromosome:Graimondii2_0_v6:5:3601006:3602898:-1 gene:B456_005G038300 transcript:KJB27957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLETKKFFGGDQIGVADLVFGMVIHMLAPMEEVVGRVKFIKADSFPRLHAWVRHFSERPVIKDNVPDYNRVVDFLKKRRELYRKSQHNH >KJB28680 pep chromosome:Graimondii2_0_v6:5:6569903:6572518:1 gene:B456_005G062200 transcript:KJB28680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLPSLFDGLARGVSMKKGKNQNNETDGGREAAESMAKDAKKNEMMLCSSGTVNSNKSNDFASICSKRGQKGTNQDSAIVWEKFGCQEDMIFCGIFDGHGPWGHIVAKRVKNSVPASLLCNWQKALSLTSLPHQLDTEFNSTSHHFDIWKQSYLKAYADVDLELKHHPGIDAFRSGTTALTIIKQGEHLVIANVGDSRAVLATTSDDGKLAPLQLTVDFKPNIPEEAERILQCNGRVFCLRDEPGVYRVWTPNGDTPGLALSRAIGDHCVKEFGLISVPDVTQRNITNNDQFVILATDGVWDVISNEAAVEIVSSTEDREKSAKKLVQCAMRAWKYKKRGIAMDDISAICLFFHPKLSQQVNFVKASAPAKMAKLG >KJB28679 pep chromosome:Graimondii2_0_v6:5:6569521:6572547:1 gene:B456_005G062200 transcript:KJB28679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLPSLFDGLARGVSMKKGKNQNNETDGGREAAESMAKDAKKNEMMLCSSGTVNSNKSNDFASICSKRGQKGTNQDSAIVWEKFGCQEDMIFCGIFDGHGPWGHIVAKRVKNSVPASLLCNWQKALSLTSLPHQLDTEFNSTSHHFDIWKQSYLKAYADVDLELKHHPGIDAFRSGTTALTIIKQGEHLVIANVGDSRAVLATTSDDGKLAPLQLTVDFKPNIPEEAERILQCNGRVFCLRDEPGVYRVWTPNGDTPGLALSRAIGDHCVKEFGLISVPDVTQRNITNNDQFVILATDGVWDVISNEAAVEIVSSTEDREKSAKKLVQCAMRAWKYKKRGIAMDDISAICLFFHPKLSQQVNFVKASAPAKMAKLG >KJB31506 pep chromosome:Graimondii2_0_v6:5:56404378:56406174:-1 gene:B456_005G194200 transcript:KJB31506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFSCPVFLPSTFSYNGNRYHGRIKIKINATSSTKVKTLNEIAGAGKGSSSLATSTEIGHLSQEQIRQRIPTKKQLVDPYRQGLIIERGVGYRQTVVVRSYEVGPYKTATLESLLNLFQETALNHVWMSGLLSNGFGATHGMVRNNLIWVVSRMQVQVDHYPIWGEVLEIDTWVGASGKNGMRRDWLIRSQATGITYTRATSTWVMMNEKTRRLSKMPEEVRDEISPWFIDKRAINEDVPEKIVKLDDNARYVNSDLKPKRSDLDMNHHVNNVKYVRWMLETIPDKFLESHQLSGIVLEYRRECGSSDRVQSLCQPDEDETLTNGVEQSLLKNMILTPGIMEGNGYLGPLDVKSYGYTHLLQIKGDSKNEEIVRGRTRWRKKLSTLPYSS >KJB32032 pep chromosome:Graimondii2_0_v6:5:60239118:60240559:1 gene:B456_005G219200 transcript:KJB32032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAYTNPRFSLAMTVMLAMAAALVQAQGTRVGFYARTCPRAESIVRSAVQSHFRSNPNIAPGLLRMHFHDCFVQGCDASILIDGPNTEKTAPPNRLLRGYEVIDDAKTQLEAACPGVVSCADILTLAARDSVFLTRGINWAVPTGRRDGRVSLASDTTILPGFRESIDSQKQKFAAFGLNTQDLVALVGGHTIGTSACQLFSYRLYNFTNGGPDPTMNPAFVPQLQALCPQNGDGSSRIDLDTGSGNRFDTSFFANLRNGRGILESDQKLWTDPSTRTFVQRFLGERGSRPLNFNVEFARSMVKMSNIGVKTGTNGEIRRICSAIN >KJB29072 pep chromosome:Graimondii2_0_v6:5:10163197:10165272:1 gene:B456_005G082900 transcript:KJB29072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLNCLLSAWYGLPFVSKNNILVSTINGTGAAIESIYVLIFIIYAPKKEKAKIFGLFTFVLTVFTAVALISLFALHGNGRKLFCGLAATIFSIIMYASPLSIMRLVIKTKSVEFMPFFLSLFVFLCGTSWFIYGLLGRDAFVAVPNGFGCGLGTMQLILYFIYRNNKGSQEIKKPSGNGTSIEIGEKKPHQDKQQPNGKVPQDEQV >KJB29073 pep chromosome:Graimondii2_0_v6:5:10163197:10165272:1 gene:B456_005G082900 transcript:KJB29073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLHFVFGVFGNATALFLFLSPTITFKRIIRSKSTEQFSGIPYVMTLLNCLLSAWYGLPFVSKNNILVSTINGTGAAIESIYVLIFIIYAPKKEKAKIFGLFTFVLTVFTAVALISLFALHGNGRKLFCGLAATIFSIIMYASPLSIMRLVIKTKSVEFMPFFLSLFVFLCGTSWFIYGLLGRDAFVAVRYMPSS >KJB29071 pep chromosome:Graimondii2_0_v6:5:10162808:10165306:1 gene:B456_005G082900 transcript:KJB29071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLHFVFGVFGNATALFLFLSPTITFKRIIRSKSTEQFSGIPYVMTLLNCLLSAWYGLPFVSKNNILVSTINGTGAAIESIYVLIFIIYAPKKEKAKIFGLFTFVLTVFTAVALISLFALHGNGRKLFCGLAATIFSIIMYASPLSIMRLVIKTKSVEFMPFFLSLFVFLCGTSWFIYGLLGRDAFVAVPNGFGCGLGTMQLILYFIYRNNKGSQEIKKPSGNGTSIEIGEKKPHQDKQQPNGKVPQDEQV >KJB29074 pep chromosome:Graimondii2_0_v6:5:10163197:10165272:1 gene:B456_005G082900 transcript:KJB29074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFKRIIRSKSTEQFSGIPYVMTLLNCLLSAWYGLPFVSKNNILVSTINGTGAAIESIYVLIFIIYAPKKEKAKIFGLFTFVLTVFTAVALISLFALHGNGRKLFCGLAATIFSIIMYASPLSIMRLVIKTKSVEFMPFFLSLFVFLCGTSWFIYGLLGRDAFVAVPNGFGCGLGTMQLILYFIYRNNKGSQEIKKPSGNGTSIEIGEKKPHQDKQQPNGKVPQDEQV >KJB28198 pep chromosome:Graimondii2_0_v6:5:3193034:3193721:-1 gene:B456_005G033900 transcript:KJB28198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFFLLLLDGLVSFLEFHMNTGFHLPNSGYQVTEALRVQMEVQRKLHEQLEVQRRLQLRIEAQGKYLQSILEKACTALNDEAAASTGLEAAREELSELAIKVSNDCQGMIPLDNIKLPSLSEFTAALENKTASNMPTRIGDCSIESFLTSSRSPLSQTGVGSQAVTMKRPRPPFGIGDPFPLGGIRQEIEWVMPNIS >KJB28200 pep chromosome:Graimondii2_0_v6:5:3192946:3196085:-1 gene:B456_005G033900 transcript:KJB28200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGIRSLSLDGCVGDYQGSLDGTNLPGDACLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGKQSAKESTDNSKDVSSIAERQDTGSSTTASSRMVAQDVNDGYQVTEALRVQMEVQRKLHEQLEQVQRRLQLRIEAQGKYLQSILEKACTALNDEAAASTGLEAAREELSELAIKVSNDCQGMIPLDNIKLPSLSEFTAALENKTASNMPTRIGDCSIESFLTSSRSPLSQTGVGSQAVTMKRPRPPFGIGDPFPLGGIRQEIEWVMPNIS >KJB28199 pep chromosome:Graimondii2_0_v6:5:3192946:3194681:-1 gene:B456_005G033900 transcript:KJB28199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMGVKGLTLYHLKSHLQKYRLGKQSAKESTDNSKDVSSIAERQDTGSSTTASSRMVAQDVNDGYQVTEALRVQMEVQRKLHEQLEVQRRLQLRIEAQGKYLQSILEKACTALNDEAAASTGLEAAREELSELAIKVSNDCQGMIPLDNIKLPSLSEFTAALENKTASNMPTRIGDCSIESFLTSSRSPLSQTGVGSQAVTMKRPRPPFGIGDPFPLGGIRQEIEWVMPNIS >KJB28197 pep chromosome:Graimondii2_0_v6:5:3192917:3196177:-1 gene:B456_005G033900 transcript:KJB28197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGIRSLSLDGCVGDYQGSLDGTNLPGDACLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGKQSAKESTDNSKDVSSIAERQDTGSSTTASSRMVAQDVNDGYQVTEALRVQMEVQRKLHEQLEVQRRLQLRIEAQGKYLQSILEKACTALNDEAAASTGLEAAREELSELAIKVSNDCQGMIPLDNIKLPSLSEFTAALENKTASNMPTRIGDCSIESFLTSSRSPLSQTGVGSQAVTMKRPRPPFGIGDPFPLGGIRQEIEWVMPNIS >KJB32431 pep chromosome:Graimondii2_0_v6:5:62165400:62170124:1 gene:B456_005G240400 transcript:KJB32431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCTSKPSKPKGNHHNDYPTHPQPPKSPPPPSLLPPTTPFLPTYTPSPAHPTKPKTPSTPLRFLKKSFPPPSPAKHIRAVLRRRKPNKKAEKEAAAGIAETEEDEDGVELDKRFGFSKELRTKLEIGEEVGRGHFGYTCSAKFKKGEFKGQQVAVKVIPKSKMTTAIAVEDVRREVKILRALTGHSNLVKFYDAFEDHDNVYIVMELCEGGELLDRILARGGKYSEDDAKAVMVQILNVVAFCHLQGVVHRDLKPENFLYISKEENSLLKAIDFGLSDFVRPDERVNDIVGSAYYVAPEVLHRSYGTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPNFNEPPWPSLSPEAKDFVKRLLIKDPRKRMTAAQALCHPWIQSRNDAKVPLDILIFRLIKAYMRSSPLRKAALKALSKTLTPDELFYLREQFALLDPKNGSITLENIKTALMKNATDAMKESHMLDFLLSKNGFRRILCSRIKRPSARSV >KJB32430 pep chromosome:Graimondii2_0_v6:5:62165103:62170159:1 gene:B456_005G240400 transcript:KJB32430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCTSKPSKPKGNHHNDYPTHPQPPKSPPPPSLLPPTTPFLPTYTPSPAHPTKPKTPSTPLRFLKKSFPPPSPAKHIRAVLRRRKPNKKAEKEAAAGIAETEEDEDGVELDKRFGFSKELRTKLEIGEEVGRGHFGYTCSAKFKKGEFKGQQVAVKVIPKSKMTTAIAVEDVRREVKILRALTGHSNLVKFYDAFEDHDNVYIVMELCEGGELLDRILARGGKYSEDDAKAVMVQILNVVAFCHLQGVVHRDLKPENFLYISKEENSLLKAIDFGLSDFVRPDERVNDIVGSAYYVAPEVLHRSYGTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPNFNEPPWPSLSPEAKDFVKRLLIKDPRKRMTAAQALCHPWIQSRNDAKVPLDILIFRLIKAYMRSSPLRKAALKALSKTLTPDELFYLREQFALLDPKNGSITLENIKTALMKNATDAMKESHMLDFLLSLNALQYRRMDFEEFCAAALSVHQLEAFDHWEQHARCAYELFEKDGNRAIVIEELASELGLGPSIPVHAVLNDWIRHTDGKLSFLGFVKVLRGPSSRAVAKAQ >KJB32432 pep chromosome:Graimondii2_0_v6:5:62166281:62170124:1 gene:B456_005G240400 transcript:KJB32432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTTAIAVEDVRREVKILRALTGHSNLVKFYDAFEDHDNVYIVMELCEGGELLDRILARGGKYSEDDAKAVMVQILNVVAFCHLQGVVHRDLKPENFLYISKEENSLLKAIDFGLSDFVRPDERVNDIVGSAYYVAPEVLHRSYGTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPNFNEPPWPSLSPEAKDFVKRLLIKDPRKRMTAAQALCHPWIQSRNDAKVPLDILIFRLIKAYMRSSPLRKAALKALSKTLTPDELFYLREQFALLDPKNGSITLENIKTALMKNATDAMKESHMLDFLLSLNALQYRRMDFEEFCAAALSVHQLEAFDHWEQHARCAYELFEKDGNRAIVIEELASELGLGPSIPVHAVLNDWIRHTDGKLSFLGFVKVLRGPSSRAVAKAQ >KJB28471 pep chromosome:Graimondii2_0_v6:5:4975798:4981236:1 gene:B456_005G050500 transcript:KJB28471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSDGGQKKRLITSLCAVAIFLGFLYVYYGSIFGSSSNGASALEYGGKSLRKIGSSYLGGDDESSTKSGLEDGDVVVPKTFPVCDDRHSELIPCLDRNIIYQMRLKLDLSVMEHYERHCPPPERRYNCLIPPPPGYKVPIKWPQSRDEVWKANIPHTHLAHEKSDQNWMVVKGEKIVFPGGGTHFHYGADKYIASIANMLNFSHNNLNNEGRVRTVLDVGCGVASFGAYLLSSDIIAMSLAPNDVHQNQIQFALERGIPACLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVERMCWRIAAKRNQTVIWQKPLTNDCYMERAPGTNPPLCRSDDDPDAVWGVPMEACITPYSDHDQKAKGSGLAPWPARLTSPPPRLADFGYSNEMFEKDTETWRQRVENYWNLLSPKIEANTLRNLMDMKANMGSFAAALKERNVWVMNVIPEDGPNTLKLIYDRGLIGTTHNWCEAFSTYPRTYDLLHAWTVFSDIEKKGCSAEDLLLEMDRILRPKGFIIIRDKQPVIDFVRKYLSALHWEAVATADSSSDSEHEGDNVVFIVQKKLWLTSESILNSE >KJB30570 pep chromosome:Graimondii2_0_v6:5:41132287:41133178:-1 gene:B456_005G149800 transcript:KJB30570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFTSNKILAENDDLQGCSCNQPNQLIKDMGDVTVSKSEGAADGVKTNNNNMEKKTNKKKKKVVRFNLNEENSGDDRSGKQGESKNGVVRIRLVVTQEELKQILSSKKDLRQSSMEQLIKAVKLRGVRVSEDGRTSDGAWRPALESIPEEH >KJB28089 pep chromosome:Graimondii2_0_v6:5:2374383:2377124:1 gene:B456_005G027000 transcript:KJB28089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVGCCKRTGPGYGTPLEAMSGPKEALMYVTCVYNGTGREKPDFLATIDVDPNSPTYSKVIHRLSLPYIGDELHHTGWNACSSCHGDPSSDRRFLILPSLLSGRIYVIDTQKNPKAPSLHKVVEPEHIIEKTGLAYPHTSHCLANGDIIISFLGDKDGNAQGNGFLLLDSQFNIKGRWEKPGRKAEFSYDFWYQPRHKTMICSSFGAPLAFTQGFNLKHVEDGFYGRQLFVYDWPDGRLKQTLDLGDNGLISGEIRFLHDPTKDTGYVECVLSSNVVRFFKTQDGSSWSHEVAISVKPLKVQNWILPEMPGLIIGLLISLDDRFLYFVNWFHGDVRQYNVEDPKNPILVGQVYVGGLLQKGSPITAVTEDGKTWQADVPKIQGHCLRGGPHMTQLSLDGKRLYVTNSLFSTWDRQFYPDVIEKGSHMIQIDVDIENGGLKINPDFFVDFGAEPDGPCLAHEMRYPGGDCTSDIWI >KJB29521 pep chromosome:Graimondii2_0_v6:5:18511857:18513752:1 gene:B456_005G105300 transcript:KJB29521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFVDLCYKQLQVSLATHSNSSSLTDQSTPLLVTEEFDFGIANTYFEEPGESVNVRNHEMKLLEINTLEWDELLLGNGANDSIASRGGIVLVSSISSNTYLVAELLTYFGIADNVSWFDQQNQMAVNDFSNYVSRTIS >KJB30735 pep chromosome:Graimondii2_0_v6:5:45126893:45128878:1 gene:B456_005G157700 transcript:KJB30735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSSQSPYAAIIPGRALIFLKFIVDDLVNNIPRVIPRSPQQLLIHNINGVQDKEGNGVLELSASGKIDGRFFKINVDVAVKKRGTGLGIIIRDSNGFVLRDRGMFKDRVVNSEWAELDAMIEGISFARSLNLNKVNFEMDCTSVVNRVRKARADITFFGHRIKEVQNISVPFSAFDIR >KJB29342 pep chromosome:Graimondii2_0_v6:5:13765093:13766387:-1 gene:B456_005G095700 transcript:KJB29342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVDWDDLPEEPQQPEQDSNLNFDFFSLVSKPKDYYKILEVDYDATEDAIRSNYIRLALKWHPDKQKDDGDGATSRFQEINEAYQGFGGLKRGVEFMHGRDSS >KJB29341 pep chromosome:Graimondii2_0_v6:5:13764153:13766621:-1 gene:B456_005G095700 transcript:KJB29341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVDWDDLPEEPQQPEQDSNLNFDFFSLVSKPKDYYKILEVDYDATEDAIRSNYIRLALKWHPDKQKDDGDGATSRFQEINEAYQVLIDPVKRSEYDKKGMLHVYDYDIIEYLNRYKGLILTCNGLGIRQSIW >KJB29343 pep chromosome:Graimondii2_0_v6:5:13764258:13766570:-1 gene:B456_005G095700 transcript:KJB29343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVDWDDLPEEPQQPEQDSNLNFDFFSLVSKPKDYYKILEVDYDATEDAIRSNYIRLALKWHPDKQKDDGDGATSRFQEINEAYQGFGGLKRGVEFMHGRDSS >KJB30427 pep chromosome:Graimondii2_0_v6:5:38930854:38931922:1 gene:B456_005G142800 transcript:KJB30427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMIGWMVLVVGVLLVPSSQSLRFDIQSGKIKCISEDMKSNSMTVGKYQVINPNQDRHQLLPDSHKLNVKVTSSHGHIFQWAEKVEKGQFVFTAMEQGNYMACFSAPEHWPLINLTIDFDWRTGVQFKDWFNVAKKGHVDAMKVELEQLYHTINSIHEEMFYFRAREKEMQQLNQETISKMMWLSFVSLCFGFSVAGLQFWYLKSFFQENKLI >KJB31587 pep chromosome:Graimondii2_0_v6:5:57344022:57347978:-1 gene:B456_005G198700 transcript:KJB31587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNNLKVPKMPGGGALPALLKIGVIGGLGLYGVANSLYNVDGGHRAIVFNRILGIKDKVYSEGTHLMIPWFERPIIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPKSTQLTEIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQRENVSKEIRKILTERAAYFNIQLDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKKSAVIRAQGEAKSAQLIGQAIAKNPAFITLRKIEASREIAQTIANSANKVFLNSKDLLLNLQEMDLESHPK >KJB31590 pep chromosome:Graimondii2_0_v6:5:57343925:57348272:-1 gene:B456_005G198700 transcript:KJB31590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNNLKVPKMPGGGALPALLKIGVIGGLGLYGVANSLYNVDGGHRAIVFNRILGIKDKVYSEGTHLMIPWFERPIIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPKSTQLTEIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQRENVSKEIRKILTERAAYFNIQLDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKKSAVIRAQGEAKSAQLIGQAIAKNPAFITLRKIEASREIAQTIANSANKVFLNSKDLLLNLQEMDLESHPK >KJB31589 pep chromosome:Graimondii2_0_v6:5:57344022:57347321:-1 gene:B456_005G198700 transcript:KJB31589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFLALSKMNLNNLKVPKMPGGGALPALLKIGVIGGLGLYGVANSLYNVDGGHRAIVFNRILGIKDKVYSEGTHLMIPWFERPIIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPKSTQLTEIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQRENVSKEIRKILTERAAYFNIQLDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKKSAVIRAQGEAKSAQLIGQAIAKNPAFITLRKIEASREIAQTIANSANKVFLNSKDLLLNLQEMDLESHPK >KJB31588 pep chromosome:Graimondii2_0_v6:5:57344935:57347286:-1 gene:B456_005G198700 transcript:KJB31588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNNLKVPKMPGGGALPALLKIGVIGGLGLYGVANSLYNVDGGHRAIVFNRILGIKDKVYSEGTHLMIPWFERPIIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPKSTQLTEIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQRENVSKEIRKILTERAAYFNIQLDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKKSAVIRAQGEAKSAQLIGQAIAKNPAFITLRKIEASREIAQTIANSANKVFLNSKDLLLNLQEMDLESHPK >KJB30453 pep chromosome:Graimondii2_0_v6:5:39215517:39218149:1 gene:B456_005G144800 transcript:KJB30453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVICGQPCSGKSTAAKCLAEALNESECKQTVRIIDETSFHLDRNQSYANMPSEKNLRGVLRSEVDRSVSKDNIIIVDSLNSIKGYRYELWCLARAAGIRYCVLYCDVGESHCRKWNEERREKGEAAYNDVIFEDLVRRFEKPDRRNRWDSPLFELWAHNDGVERSSIAIVDVVLYLTKKADSKSRDVKILQPTIATQNTRFSEANSLYEMDRATQEVINAIVEAQSQSIGGPLANISIGQGLPNIDISRSVGLPELRRLRRTFIKLTGQTSLSGRPPPSDSNSAKRMFVDYLNRELGTIA >KJB30454 pep chromosome:Graimondii2_0_v6:5:39215517:39217224:1 gene:B456_005G144800 transcript:KJB30454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVICGQPCSGKSTAAKCLAEALNESECKQTVRIIDETSFHLDRNQSYANMPSEKNLRGVLRSEVDRSVSKDNIIIVDSLNSIKGYRYELWCLARAAGIRYCVLYCDVGESHCRKWNEERREKGEAAYNDVIFEDLVRRFEKPDRRNRWDSPLFELWAHNDGVERSSIAIVDVVLYLTKKADSKSRDVKILQPTIATQNTRFSEANSLYEMDRATQEVINAIVEAQSQSIGGPLANISIGQGLPNIDISRSVGLPELRRLRRTFIKLTGQTSLSGRPPPSDSNSAKRMFVDYLNRELGTIA >KJB30452 pep chromosome:Graimondii2_0_v6:5:39215517:39218149:1 gene:B456_005G144800 transcript:KJB30452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVICGQPCSGKSTAAKCLAEALNESECKQTVRIIDETSFHLDRNQSYANMPSEKNLRGVLRSEVDRSVSKDNIIIVDSLNSIKGYRYELWCLARAAGIRYCVLYCDVGESHCRKWNEERREKGEAAYNDVIFEDLVRRFEKPDRRNRWDSPLFELWAHNDGVERSSIAIVDVVLYLTKKADSKSRDVKILQPTIATQNTRFSEANSLYEMDRATQEVINAIVEAQSQSIGGPLANISIGQGLPNIDISRSVGLPELRRLRRTFIKLTGQTSLSGRPPPSDSNSAKRMFVDYLNRELGTIA >KJB30455 pep chromosome:Graimondii2_0_v6:5:39215517:39218149:1 gene:B456_005G144800 transcript:KJB30455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVICGQPCSGKSTAAKCLAEALNESECKQTVRIIDETSFHLDRNQSYANMPSEKNLRGVLRSEVDRSVSKDNIIIVDSLNSIKGYRYELWCLARAAGIRYCVLYCDVGESHCRKWNEERREKGEAAYNDVIFEDLVRRFEKPDRRNRWDSPLFELWAHNDGVERSSIAIVDVVLYLTKKADSKSRDVKILQPTIATQNTRFSEANSLYEMDRATQEVINAIVEAQSQSIGGPLANISIGQGLPNIDISRSVGLPELRRLRRTFIKLTGQTSLSGRPPPSDSNSAKRMFVDYLNRELGTIA >KJB28639 pep chromosome:Graimondii2_0_v6:5:6210201:6212343:1 gene:B456_005G059600 transcript:KJB28639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRRATGRLRSMDQSQPTKPRRPLGPTDEQKISRVSQHENLDHASVARSNPENVLEERDPKYDAMLSQMVGRISAKPGGKLEMGEAFVAENPSRPLPKLRNTTVESGRYEERPAPPGTLNVKQLRHIMLLHQGKADDHEGPMDVNQIAEKFKLDVAQVQTILQFISIPPEDNTKPEKH >KJB30320 pep chromosome:Graimondii2_0_v6:5:35507304:35508559:-1 gene:B456_005G137200 transcript:KJB30320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGFQEVVVKGDALVVIEKLRALEEDKSTISILVKEIKFRLDKFESMEFRFVPCQRNREAHSLAEKGRRYGDLRFWIEEAPRTVEVEVEKDRRVMQGRV >KJB32175 pep chromosome:Graimondii2_0_v6:5:61164725:61166977:1 gene:B456_005G228600 transcript:KJB32175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTSEVGLRLLFSPISSHIIVRTACCTVGTVLPVYSTFKAIESNDQNEQRKWLLYWTVYGSFSVAEAFSDKLLSWFPFYYYAKFAFLVWLQLPSANGAEHLYMSHLRPFLLKHQSRLDKILGYIYNEMVRSTILPWKVMNF >KJB32173 pep chromosome:Graimondii2_0_v6:5:61164636:61168771:1 gene:B456_005G228600 transcript:KJB32173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTSEVGLRLLFSPISSHIIVRTACCTVGTVLPVYSTFKAIESNDQNEQRKWLLYWTVYGSFSVAEAFSDKLLSWFPFYYYAKFAFLVWLQLPSANGAEHLYMSHLRPFLLKHQSRLDKILGYIYNEMVKIISAHQTEIKFARLLFMKLMASVNQIGCDYIQPVQRQSNCSIEGPRDADSDRQSETED >KJB32174 pep chromosome:Graimondii2_0_v6:5:61164601:61168748:1 gene:B456_005G228600 transcript:KJB32174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTSEVGLRLLFSPISSHIIVRTACCTVGTVLPVYSTFKAIESNDQNEQRKWLLYWTVYGSFSVAEAFSDKLLSWFPFYYYAKFAFLVWLQLPSANGAEHLYMSHLRPFLLKHQSRLDKILGYIYNEMVKIISAHQTEIKFARLLFMKLMASVNQIGCDYIQPVQRQSNCSIEGPRDADSDRQSETED >KJB28879 pep chromosome:Graimondii2_0_v6:5:8205294:8215185:-1 gene:B456_005G074200 transcript:KJB28879 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT1G35510) UniProtKB/Swiss-Prot;Acc:Q8H1E6] MHGLSRLGTGSNRSVSPSPPSSPRFRHGRYKNFAGGGGGVGRGGKQSAANKIVFVLISTVFRRKGVLLFAPLLRSPPGSVYRSPQLFQKLWPYMEAESNATHNALMTAWNLKMHQGWKPCVNSIISKTGFSELPKSNGFLIIEANGGLNQQRLSICDAVAVAWLLNATLVIPIFHLNSVWRDSSKFGDIFDEGFFVHALRNHVNVVRELPEDVLQRFDYNISNIVNLRVKGWSSAAHYLQKVLPKLEAMGAVRIAPFSNRLAYSVPSNVQGVRCLSNFQALRFAEPIRTLADKMVERMVMNSSHTGGKYVSVHLRFEMDMVAFSCCEYDGGEEEKREMDIARERSWRGKFRRRGRVIRPGANRMDGKCPLTPLEVGLMLRGMGFDNNTSVFVAAGNIYKAEKYMAPLKQMFPLLETKDTLATPEELAPFKGHSSRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLFGGHAKTIKPDKRKLALLFDSPHIRWETFRRQMKDMLRHSDVKGGELKKPSGSVYTFPMPDCMCKQTEAAANESSLSF >KJB28877 pep chromosome:Graimondii2_0_v6:5:8205268:8215187:-1 gene:B456_005G074200 transcript:KJB28877 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT1G35510) UniProtKB/Swiss-Prot;Acc:Q8H1E6] MHGLSRLGTGSNRSVSPSPPSSPRFRHGRYKNFAGGGGGVGRGGKQSAANKIVFVLISTVFRRKGVLLFAPLLYISGMLLYMGLLGFDVVSLKNAVVVVHRRSPPGSVYRSPQLFQKLWPYMEAESNATHNALMTAWNLKMHQGWKPCVNSIISKTGFSELPKSNGFLIIEANGGLNQQRLSICDAVAVAWLLNATLVIPIFHLNSVWRDSSKFGDIFDEGFFVHALRNHVNVVRELPEDVLQRFDYNISNIVNLRVKGWSSAAHYLQKVLPKLEAMGAVRIAPFSNRLAYSVPSNVQGVRCLSNFQALRFAEPIRTLADKMVERMVMNSSHTGGKYVSVHLRFEMDMVAFSCCEYDGGEEEKREMDIARERSWRGKFRRRGRVIRPGANRMDGKCPLTPLEVGLMLRGMGFDNNTSVFVAAGNIYKAEKYMAPLKQMFPLLETKDTLATPEELAPFKGHSSRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLFGGHAKTIKPDKRKLALLFDSPHIRWETFRRQMKDMLRHSDVKGGELKKPSGSVYTFPMPDCMCKQTEAAANESSLSF >KJB28878 pep chromosome:Graimondii2_0_v6:5:8209050:8215070:-1 gene:B456_005G074200 transcript:KJB28878 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT1G35510) UniProtKB/Swiss-Prot;Acc:Q8H1E6] MHGLSRLGTGSNRSVSPSPPSSPRFRHGRYKNFAGGGGGVGRGGKQSAANKIVFVLISTVFRRKGVLLFAPLLYISGMLLYMGLLGFDVVSLKNAVVVVHRRSPPGSVYRSPQLFQKLWPYMEAESNATHNALMTAWNLKMHQGWKPCVNSIISKTGFSELPKSNGFLIIEANGGLNQQRLSICDAVAVAWLLNATLVIPIFHLNSVWRDSSKFGDIFDEGFFVHALRNHVNVVRELPEDVLQRFDYNISNIVNLRVKGWSSAAHYLQKVLPKLEAMGAVRIAPFSNRLAYSVPSNVQGVRCLSNFQALRFAEPIRTLADKMVERMVMNSSHTGGKYVSVHLRFEMDMVAFSCCEYDGGEEEKREMDIARERSWRGKFRRRGRVIRPGANRMDGKCPLTPLEVGLMLRGMGFDNNTSVFVAAGNIYKAEKYMAPLKQMFPLLETKDTLATPEELAPFKFIM >KJB32815 pep chromosome:Graimondii2_0_v6:5:63698873:63699656:-1 gene:B456_005G263100 transcript:KJB32815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSLGRQKVEMVKMKNNSNLQVTFSKRRSGLFKKASELCTLCGVEIGIIVFSPGNKVFSFGHPEIGNVIDRYVNGNPPDNSGTLHLIEAHRNANVRELNMQLTELVNQIEIEKRRGEELNQMRRASQSQYWWESPIEEQNPQQLQQLKSALEELKKNVAKQVEKLLIQTTNSQPFFVGSSSAGMFPNNVEFDPNTMSQGYNNVPNMIPPGFNPNPELYNPCPPGFGHAFF >KJB30704 pep chromosome:Graimondii2_0_v6:5:44024261:44027555:1 gene:B456_005G156000 transcript:KJB30704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIKLKALSSILSHLLIGIALVDANLRCYDSGNFTLNSTYGKNRDLILASLLPNVSANGGFFTASISQDSNKIYALGMCRGDSTPNGCYTCLNASIQDLIASCPNRKEALSWGGDPPCLARYANRPFFGILELQPTDAGYNTADITSNLTQFDTIWESLMDRVVSKASSGSSTKYATGEADFTAFQTIHALMQCTPDLSHKDCDSCLRQSVSYYESCCRGKQGGYVQKPNCWFRWDLYPFYTRNASTTASLSPPPSPASPPPLSVNSTRKKGDGGSHSSHTIAIIIVPIVILVAVLITLAVVVLLKRIKKTKRDDQNNKTHGEALQFDFNAVRVATDNFSDANLLGRGGFGSVYKGQLEDGRKVAVKRLSESSGQGEQEFKNEVMLLAKLQHRNLVRLLGLSFEQKERILIYEFLPNSSLDNFIFDPVKCSLLNWEKRYKIIEGIAKGLLYLHEDSQYRIIHRDLKPANILLDEEMNPKISDFGMAKLFTVDQTRADTSKVVGTYGYMAPEYAWHGQYSVKSDVYSFGVLVLEIISGKKISSFSNQEVGDSLLTHVSCILWLSYYWIRIC >KJB30703 pep chromosome:Graimondii2_0_v6:5:44024259:44027620:1 gene:B456_005G156000 transcript:KJB30703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIKLKALSSILSHLLIGIALVDANLRCYDSGNFTLNSTYGKNRDLILASLLPNVSANGGFFTASISQDSNKIYALGMCRGDSTPNGCYTCLNASIQDLIASCPNRKEALSWGGDPPCLARYANRPFFGILELQPTDAGYNTADITSNLTQFDTIWESLMDRVVSKASSGSSTKYATGEADFTAFQTIHALMQCTPDLSHKDCDSCLRQSVSYYESCCRGKQGGYVQKPNCWFRWDLYPFYTRNASTTASLSPPPSPASPPPLSVNSTRKKGDGGSHSSHTIAIIIVPIVILVAVLITLAVVVLLKRIKKTKRDDQNNKTHGEALQFDFNAVRVATDNFSDANLLGRGGFGSVYKGQLEDGRKVAVKRLSESSGQGEQEFKNEVMLLAKLQHRNLVRLLGLSFEQKERILIYEFLPNSSLDNFIFDPVKCSLLNWEKRYKIIEGIAKGLLYLHEDSQYRIIHRDLKPANILLDEEMNPKISDFGMAKLFTVDQTRADTSKVVGTYGYMAPEYAWHGQYSVKSDVYSFGVLVLEIISGKKISSFSNQEVGDSLLTHAWRNWREGTPLEVVDPILRDGSRSEIMRCIHLGLLCVQDNIDSRPTMASVVLMLSSYSTSLPVPSRPAFSMHSTMETETKSQSSSLSNQSKRENIQVSVNEASISELDPR >KJB28473 pep chromosome:Graimondii2_0_v6:5:4989767:4994705:1 gene:B456_005G050600 transcript:KJB28473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTRRWFNKFKSKDKVRSSKNKEAVGNAKEGSKPPTSEEAPSDVTKQRVAAAKQYIEDHYKKQMKSLQERKERRDILEKKLADAEVSEEEQNNLLKYFEKKEREYMRMQRHKMGTDDFEPLTMIGKGAFGEVRICREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSMAKNLSGALQSDGRPAAPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKIHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEEADNQIPSATKSGPWRKMLPSKDINFVGYTYKNYEIVNDNQLPGIAELKKKSSKPKRPSIKSLFEDESAAAASQPVQGSFINLLPPQIEEAPEDRSRK >KJB28472 pep chromosome:Graimondii2_0_v6:5:4989699:4994732:1 gene:B456_005G050600 transcript:KJB28472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTRRWFNKFKSKDKVRSSKNKEAVGNAKEGSKPPTSEEAPSDVTKQRVAAAKQYIEDHYKKQMKSLQERKERRDILEKKLADAEVSEEEQNNLLKYFEKKEREYMRMQRHKMGTDDFEPLTMIGKGAFGEVRICREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSMAKNLSGALQSDGRPAAPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKIHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEEADNQIPSATKSGPWRKMLPSKDINFVGYTYKNYEIVNDNQLPGIAELKKKSSKPKRPSIKSLFEDESAAAASQPVQGSFINLLPPQIEEAPEDRSRK >KJB29165 pep chromosome:Graimondii2_0_v6:5:11395751:11402457:1 gene:B456_005G087800 transcript:KJB29165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNSVGGAGEPSGVVAPKVMKAGDRQVFTVELRPGETTYVSWRKLVKDANRARGSSAASASAVAAPAPELPPNAHPNLQSRIAPGQTTEKEEKDEPAPNRFSAVIEKIERLYMGKDSSDEEELDETPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLEKINEPPVIPNQQPKKRRRKEAAKPPSENDDGHVSNKHAKAAKMVAGRAEPSLGKNNSSSQNFTAVDEQYRDGKVLNQLSVSGVSSKKKSSATRLALDSSSYMKDPNSDTSAPLADVKDIENLKMGFLQSKGVVSNKLKDATGSSDVLHQKYHDKSDLAQSKSQHGKLSSNVDKLEQSVRLRENNGIHELPDINVSDGIHAMHTAKSPHMLRKDGSTLRPKSSVLEKAIRELEKIVAESRPPSMENQEADTSSQGIKRRLPREIKLKLAKVARLAASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGVSPTAKQEKDARFQQIKKEAIEMIKTRIPSFETKILEPQARATDDFQEIGSEERTFRRKFNMDAPMENKICELYDLYVDGLDEDAGPQIRKFYVELAQLWPDGMMNNHEIKHAICRAKERRRARYNRRKDQEKMRREKMLTLGPEESVRVDSASSAQSQHTRERSGSDSGTQALLSTNTSTSHTTAAAAVPVLSPTNDSSFDRVKQDKLKGISSSAMDDEMKVAVASLPKKKVKRKPEMQLDESHMRPEKLPPQQGCTDRNKSIK >KJB29166 pep chromosome:Graimondii2_0_v6:5:11395897:11402395:1 gene:B456_005G087800 transcript:KJB29166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNSVGGAGEPSGVVAPKVMKAGDRQVFTVELRPGETTYVSWRKLVKDANRARGSSAASASAVAAPAPELPPNAHPNLQSRIAPGQTTEKEEKDEPAPNRFSAVIEKIERLYMGKDSSDEEELDETPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLEKINEPPVIPNQQPKKRRRKEAAKPPSENDDGHVSNKHAKAAKMVAGRAEPSLGKNNSSSQNFTAVDEQYRDGKVLNQLSVSGVSSKKKSSATRLALDSSSYMKDPNSDTSAPLADVKDIENLKMGFLQSKGVVSNKLKDATGSSDVLHQKYHDKSDLAQSKSQHGKLSSNVDKLEQSVRLRENNGIHELPDINVSDGIHAMHTAKSPHMLRKDGSTLRPKSSVLEKAIRELEKIVAESRPPSMENQEADTSSQGIKRRLPREIKLKLAKVARLAASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGVSPTAKQEKDARFQQIKKEAIEMIKTRIPSFETKILEPQARATDDFQEIGSEERTFRRKFNMDAPMENKICELYDLYVDGLDEDAGPQIRKFYVELAQLWPDGMMNNHEIKHAICRAKERRRARYNRRKDQEKMRREKMLTLGPEESVRVDSASSAQSQHTRESFDRVKQDKLKGISSSAMDDEMKVAVASLPKKKVKRKPEMQLDESHMRPEKLPPQQGCTDRNKSIK >KJB30609 pep chromosome:Graimondii2_0_v6:5:42245105:42246634:1 gene:B456_005G151700 transcript:KJB30609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSDPPLLNHYGALFSSHSPQLDDPKNRLVIEECQLPLIDLSGLSNHDETVRRACVAAICGASSEWGFFQVLNHGISPQLVEKMRSEQVKLFKIPFERKASCGLLNNSYRWGSPRATCPKQFSWSEAFHVPLTKVSDEACYGEFISLRAVMIEFAAAMSKLAGLLGSILTANLGHGKEVIKNLCDEGTCFLRLNHYPACPISPEILGLVCHTDSDFLTILCQDQVGGLQLMKDSKWVTVKPNRDALIVNIGDLLQAWSNGVYKSVEHKVVTNAKMERYSIAYFLCPSYDSSIGSFKEPSIYRKFTFREYRDQVQEDVRKTGYKVGLPRFLVSGRGDLLTGSME >KJB29142 pep chromosome:Graimondii2_0_v6:5:11019964:11022357:-1 gene:B456_005G086500 transcript:KJB29142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFIPATRAQVFAKNGCPTHCGDLTIPYPFGTMEGCYLNKNFYIKCTETADSFKAYLAYTDFIVTDITMEGQLQILTTVARDCYSAPRLPVMTIRQIISLSPFSIYNVSNTRNKFTAIGCDTYAYLYGFVGNKSYRAGCMSLCNRFEDLVDGSCSGFGCCQIQIPDGLKTIDVVAYSFNRHVNVSDFNPCSYAFIVEESQFRFSSGYVQYISEDYKFPVSLDWVVSNETCVEAAKNPSNYACHRSECHEPDTGSGYLCKCPDGFYGNPYLPEGCLDINECKIWSPCHKNAICSNLPGSFKCICKGGYEGDGKRNGTGCSPVDAPDGFPFVHIGLGKNINLYYYTFIVKIFLGSKDSIKIVQFVTLFHVKLGVSISLLVFVLSISWIYWGLWQRKLIRQRENFFLQNGGIILQQEFSKLKGSVSAKIFTSEELKKATNNFHETRILGKGGHGTVYKGILQDNRVVAIKKSMIADHSQVEQFVNEVIVLSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLHQSLHCSGKGYLSWECRLRIAAETAGALSYLHSAAYPPIIHRDVKSTNILLDEHYTAKVSDFGASRLVPLDQTQLTTLVQGTLGYLDPEYFQSSQLTEKSDVYSFGVVLAELLTKRKALCFQMPEEERNLAMHFVSALKKDQLFEIIDHQVLVEGNTTQIKEVAMLANKCLRVRGEERPSMKEVTMELEGLRSNPKHPWLKDESKETKYLLSEPSSANAQGNDGSPSDYDSGRQQIILQGR >KJB31124 pep chromosome:Graimondii2_0_v6:5:52189505:52192216:-1 gene:B456_005G178000 transcript:KJB31124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFCFFVAFAAMVLEATMICIDKSEWMRNGDYSLSRFQAQADAVSLICGAKTQSNSKNMVGILTMAGKGVRVLATPTSELG >KJB28692 pep chromosome:Graimondii2_0_v6:5:6638951:6640603:1 gene:B456_005G0631002 transcript:KJB28692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEKGMIGCLYAKYMVYELLRIST >KJB27883 pep chromosome:Graimondii2_0_v6:5:1051785:1053277:1 gene:B456_005G014500 transcript:KJB27883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKKSKDVVKFKVRCSKYLYTLCVSDAEKADKLKQSLPPGTSI >KJB27882 pep chromosome:Graimondii2_0_v6:5:1051771:1053819:1 gene:B456_005G014500 transcript:KJB27882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKKSKDVVKFKVRCSKYLYTLCVSDAEKADKLKQSLPPGLSVQDL >KJB28968 pep chromosome:Graimondii2_0_v6:5:8860151:8864248:1 gene:B456_005G077300 transcript:KJB28968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKSIATSLDEVDRTMYASFCSAANSLSQLYTQAMNQQKLSFQAGKGHSLEKLYQWIWRQQEGGSRVTTMDIVNYLKNEIDYCGEEPSMSPRAPSQQHQSQPTMQFMNTSFMVSSGSSGQTAVQGTRPDYSDQQPKNSIFSNALSSPVLQSLQHYHIAQEGYCPNGLPSGNGDRNNESNFLQPPTRDSNPLSSNDSSMDMHADSPSHESTY >KJB31649 pep chromosome:Graimondii2_0_v6:5:57550633:57552656:1 gene:B456_005G200200 transcript:KJB31649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQDKNASKILKKAKVTGSTSSQEVHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDAAAREFRGPKAKTNFPLPDETNCYKGQNQQSPSQSSTVEESGSPTVERGVKTLSGAVGRFPFACHQQLALGGGVANGGISGVTRSRPVLFFEALGGAGVVGQVYPVRFDPVGVQLGMGFASVVRSEPDSSSAIHCKARRPGLALDLNLPPPVDA >KJB32832 pep chromosome:Graimondii2_0_v6:5:63803772:63804095:1 gene:B456_005G264300 transcript:KJB32832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQKTVEEPDLLKLTVTEAYDTEPFPNAASSGSLTVIDERGLPCTFYYRVSRRGRVLSGSRWQDFIRRNGVRVGDTVSIERNDGHAYPAPYKIEIIRNPRNSSET >KJB30279 pep chromosome:Graimondii2_0_v6:5:34530227:34533095:-1 gene:B456_005G135500 transcript:KJB30279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNNVQSRDLIVNIDYELVDCGSTGTRVNVFEWEKGGLISDNLPSLLHSYPDDLTKGPLAKQSCHYHCMQTEPGLHKFVGNGSGVRASLEPLIAWAEQRVPHERHGHTPVIILATAGLRRLVARDAKQVLDDIEIVIREHSFVYTKNSIRVLTGKEEAYYGWVALNYKMGSLGNSSKASTFGLLDLGGSSLQVVVEVSDKNDNGNVMTSNIGSTNHKILAFSLPAFGLNEAFDRTVIMLSQNQTYGRNASNRFELRHPCLSSNFVQNYTCPGCAMLNISDGMENSETQMHRTQFSSTYLIGDLNWEQCKELVRAAAMNYSGSDWSQQFVDRNCEANSSPNGGNDMLKLTSIVHHSGRFHALSGFFVVYDMLNLSPRASVTEIWKKGEQLCSSSLTEWNIDFQRQKYAGYYCFRVSYVASVIEDALCLGNAEIVFGPGDLSWTLGAALVYQLDTREAFASISTIRNLKMSSTVFLLVLLLSLFLVVHCTQIKLPMLGRKVSNVGVPLPSYYLHAKR >KJB30281 pep chromosome:Graimondii2_0_v6:5:34531638:34533478:-1 gene:B456_005G135500 transcript:KJB30281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSTSKVKLSAKEFNPWKRVFNVFSFGFLILLSSIGVYLAFNFVKARNILESSYYTVVVDCGSTGTRVNVFEWEKGGLISDNLPSLLHSYPDDLTKGPLAKQSCHYHCMQTEPGLHKFVGNGSGVRASLEPLIAWAEQRVPHERHGHTPVIILATAGLRRLVARDAKQVLDDIEIVIREHSFVYTKNSIRVLTGKEEAYYGWVALNYKMGSLGNSSKASTFGLLDLGGSSLQVVVEVSDKNDNGNVMTSNIGSTNHKILAFSLPAFGLNEAFDRTVIMLSQNQTYGRNASNRFELRHPCLSSNFVQNYTCPGCAMLNISDGMENSETQMHRTQFSSTYLIGDLNWEQCKELVRAAAMNYSGSDWSQQFVDRNCEANSSPNGGKLETCSAAFSKPK >KJB30280 pep chromosome:Graimondii2_0_v6:5:34531309:34533478:-1 gene:B456_005G135500 transcript:KJB30280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSTSKVKLSAKEFNPWKRVFNVFSFGFLILLSSIGVYLAFNFVKARNILESSYYTVVVDCGSTGTRVNVFEWEKGGLISDNLPSLLHSYPDDLTKGPLAKQSCHYHCMQTEPGLHKFVGNGSGVRASLEPLIAWAEQRVPHERHGHTPVIILATAGLRRLVARDAKQVLDDIEIVIREHSFVYTKNSIRVLTGKEEAYYGWVALNYKMGSLGNSSKASTFGLLDLGGSSLQVVVEVSDKNDNGNVMTSNIGSTNHKILAFSLPAFGLNEAFDRTVIMLSQNQTYGRNASNRFELRHPCLSSNFVQNYTCPGCAMLNISDGMENSETQMHRTQFSSTYLIGDLNWEQCKELVRAAAMNYSGSDWSQQFVDRNCEANSSPNGGQYVDFI >KJB30277 pep chromosome:Graimondii2_0_v6:5:34530085:34533445:-1 gene:B456_005G135500 transcript:KJB30277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSTSKVKLSAKEFNPWKRVFNVFSFGFLILLSSIGVYLAFNFVKARNILESSYYTVVVDCGSTGTRVNVFEWEKGGLISDNLPSLLHSYPDDLTKGPLAKQSCHYHCMQTEPGLHKFVGNGSGVRASLEPLIAWAEQRVPHERHGHTPVIILATAGLRRLVARDAKQVLDDIEIVIREHSFVYTKNSIRVLTGKEEAYYGWVALNYKMGSLGNSSKASTFGLLDLGGSSLQVVVEVSDKNDNGNVMTSNIGSTNHKILAFSLPAFGLNEAFDRTVIMLSQNQTYGRNASNRFELRHPCLSSNFVQNYTCPGCAMLNISDGMENSETQMHRTQFSSTYLIGDLNWEQCKELVRAAAMNYSGSDWSQQFVDRNCEANSSPNGGNDMLKLTSIVHHSGRFHALSGFFVVYDMLNLSPRASVTEIWKKGEQLCSSSLTEWNIDFQRQKYAGYYCFRVSYVASVIEDALCLGNAEIVFGPGDLSWTLGAALVYQLDTREAFASISTIRNLKMSSTVFLLVLLLSLFLVVHCTQIKLPMLGRKVSNVGVPLPSYYLHAKR >KJB30278 pep chromosome:Graimondii2_0_v6:5:34530085:34533478:-1 gene:B456_005G135500 transcript:KJB30278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNNVQSRDLIVNIDYELDDLTKGPLAKQSCHYHCMQTEPGLHKFVGNGSGVRASLEPLIAWAEQRVPHERHGHTPVIILATAGLRRLVARDAKQVLDDIEIVIREHSFVYTKNSIRVLTGKEEAYYGWVALNYKMGSLGNSSKASTFGLLDLGGSSLQVVVEVSDKNDNGNVMTSNIGSTNHKILAFSLPAFGLNEAFDRTVIMLSQNQTYGRNASNRFELRHPCLSSNFVQNYTCPGCAMLNISDGMENSETQMHRTQFSSTYLIGDLNWEQCKELVRAAAMNYSGSDWSQQFVDRNCEANSSPNGGNDMLKLTSIVHHSGRFHALSGFFVVYDMLNLSPRASVTEIWKKGEQLCSSSLTEWNIDFQRQKYAGYYCFRVSYVASVIEDALCLGNAEIVFGPGDLSWTLGAALVYQLDTREAFASISTIRNLKMSSTVFLLVLLLSLFLVVHCTQIKLPMLGRKVSNVGVPLPSYYLHAKR >KJB28488 pep chromosome:Graimondii2_0_v6:5:5040758:5044392:1 gene:B456_005G051100 transcript:KJB28488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVFTPSLEGMKHIKSPEGEMLTKPFLDVCKLILPVLDKFGSAMSLVKSDVGGNISRLEKKYETDPAKYNHLYSMVKEEVDCKTATDSSSCTNGLLWLTRAMDFLVELFRNLLAHPDWTMTESCTDSYGKTLKRFHGWIASSAFTVALKLAPDRKKFMEVIAGTGDVNADMEKFCSTFPPFLEENHKYLAKFGLDDMKA >KJB28487 pep chromosome:Graimondii2_0_v6:5:5041361:5042870:1 gene:B456_005G051100 transcript:KJB28487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVFTPSLEGMKHIKSPEGEMLTKPFLDVCKLILPVLDKFGSAMSLVKSDVGGNISRLEKKYETDPAKYNHLYSMVKEEVDCKTATDSSSCTNGLLWLTRAMDFLVELFRNLLAHPDWTMTESCTDSYGKTLKRFHGWIASSAFTNTGCIEVGSR >KJB28489 pep chromosome:Graimondii2_0_v6:5:5040758:5044392:1 gene:B456_005G051100 transcript:KJB28489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVFTPSLEGMKHIKSPEGEMLTKPFLDVCKLILPVLDKFGSAMSLVKSDVGGNISRLEKKYETDPAKYNHLYSMVKEEVDCKTATDSSSCTNGLLWLTRAMDFLVELFRNLLAHPDWTMTESCTDSYGKTLKRFHGWIASSAFTVALKLAPDRKKFMEVIAGTGDVNADMEKFCSTFPPFLEENHKYLAKFGLDDMKA >KJB30962 pep chromosome:Graimondii2_0_v6:5:49668731:49668988:-1 gene:B456_005G169800 transcript:KJB30962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLASKWLLGLTSRGIPSQPVQIFPLLYHENIIDHYNNPCNVGSFDKKDLNINTSLVRARACGDVMKFQIKIDNKTTQTSKNTK >KJB30627 pep chromosome:Graimondii2_0_v6:5:42400925:42405002:-1 gene:B456_005G152400 transcript:KJB30627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 6 [Source:Projected from Arabidopsis thaliana (AT1G68020) UniProtKB/Swiss-Prot;Acc:Q94AH8] MVSRSYSNLLELASGEAPSLGRISRRIPRIMTVPGIISDIDDDPSESVCSDPSSSSSQKDRIIMVANQLPIRAHRKSDNNKNGSSSCSTTTTSNNWIFSWDDNSLLLQMRDGLGDDDVEVIYIGCLKEEIHPNEQDEVSQILLETFKCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRAFLNADLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGVEYYGRTVSIKILPMGIHMGQLESVLSLPETEAKVTELLKQYCDQGKTMLLGVDDMDIFKGISLKLLAMEQLLLQHPECQGKVVLVQIALPARGRGKDVKEVQEETYSTVKRINETFGKPGYDPIVLIDEPLKFYERIAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNEMLNKVLGLEPSTPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDCALAMAEAEKQLRHEKHYRYVSTHHVGYWAHSFLQDLERTCREHSRRRCWGIGFGLSFRVVALDPNFRKLSMEHIVSAYKRTTTRAILLDYDGTLMPQASIDKSPSSKSLDILNSLCRDRNNMVFIVSAKSRKTLTEWFSPCEKLGIAAEHGYFLRLKGDVEWETCVPAVDCAWKQIAEPVMKQYMETTDGSYIEDKETALVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNFVEVKPQVQKYISNCGTILFFFSVHIEPEINLSNQ >KJB30628 pep chromosome:Graimondii2_0_v6:5:42400939:42405002:-1 gene:B456_005G152400 transcript:KJB30628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 6 [Source:Projected from Arabidopsis thaliana (AT1G68020) UniProtKB/Swiss-Prot;Acc:Q94AH8] MVSRSYSNLLELASGEAPSLGRISRRIPRIMTVPGIISDIDDDPSESVCSDPSSSSSQKDRIIMVANQLPIRAHRKSDNNKNGSSSCSTTTTSNNWIFSWDDNSLLLQMRDGLGDDDVEVIYIGCLKEEIHPNEQDEVSQILLETFKCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRAFLNADLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGVEYYGRTVSIKILPMGIHMGQLESVLSLPETEAKVTELLKQYCDQGKTMLLGVDDMDIFKGISLKLLAMEQLLLQHPECQGKVVLVQIALPARGRGKDVKEVQEETYSTVKRINETFGKPGYDPIVLIDEPLKFYERIAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNEMLNKVLGLEPSTPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDCALAMAEAEKQLRHEKHYRYVSTHHVGYWAHSFLQDLERTCREHSRRRCWGIGFGLSFRVVALDPNFRKLSMEHIVSAYKRTTTRAILLDYDGTLMPQASIDKSPSSKSLDILNSLCRDRNNMVFIVSAKSRKTLTEWFSPCEKLGIAAEHGYFLR >KJB30625 pep chromosome:Graimondii2_0_v6:5:42400888:42405035:-1 gene:B456_005G152400 transcript:KJB30625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 6 [Source:Projected from Arabidopsis thaliana (AT1G68020) UniProtKB/Swiss-Prot;Acc:Q94AH8] MVSRSYSNLLELASGEAPSLGRISRRIPRIMTVPGIISDIDDDPSESVCSDPSSSSSQKDRIIMVANQLPIRAHRKSDNNKNGSSSCSTTTTSNNWIFSWDDNSLLLQMRDGLGDDDVEVIYIGCLKEEIHPNEQDEVSQILLETFKCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRAFLNADLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGVEYYGRTVSIKILPMGIHMGQLESVLSLPETEAKVTELLKQYCDQGKTMLLGVDDMDIFKGISLKLLAMEQLLLQHPECQGKVVLVQIALPARGRGKDVKEVQEETYSTVKRINETFGKPGYDPIVLIDEPLKFYERIAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNEMLNKVLGLEPSTPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDCALAMAEAEKQLRHEKHYRYVSTHHVGYWAHSFLQDLERTCREHSRRRCWGIGFGLSFRVVALDPNFRKLSMEHIVSAYKRTTTRAILLDYDGTLMPQASIDKSPSSKSLDILNSLCRDRNNMVFIVSAKSRKTLTEWFSPCEKLGIAAEHGYFLRLKGDVEWETCVPAVDCAWKQIAEPVMKQYMETTDGSYIEDKETALVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNFVEVKPQGVSKGLVAKRLLSTMQERGMLPDFVLCIGDDRSDEDMFEVIISSVLGPLIDPRAEVFACTVGKKPSKAKYYLDDTVEICRLMQGLASVSDQMHSVLA >KJB30626 pep chromosome:Graimondii2_0_v6:5:42400766:42404601:-1 gene:B456_005G152400 transcript:KJB30626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 6 [Source:Projected from Arabidopsis thaliana (AT1G68020) UniProtKB/Swiss-Prot;Acc:Q94AH8] MVSRSYSNLLELASGEAPSLGRISRRIPRIMTVPGIISDIDDDPSESVCSDPSSSSSQKDRIIMVANQLPIRAHRKSDNNKNGSSSCSTTTTSNNWIFSWDDNSLLLQMRDGLGDDDVEVIYIGCLKEEIHPNEQDEVSQILLETFKCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRAFLNADLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGVEYYGRTVSIKILPMGIHMGQLESVLSLPETEAKVTELLKQYCDQGKTMLLGVDDMDIFKGISLKLLAMEQLLLQHPECQGKVVLVQIALPARGRGKDVKEVQEETYSTVKRINETFGKPGYDPIVLIDEPLKFYERIAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNEMLNKVLGLEPSTPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDCALAMAEAEKQLRHEKHYRYVSTHHVGYWAHSFLQDLERTCREHSRRRCWGIGFGLSFRVVALDPNFRKLSMEHIVSAYKRTTTRAILLDYDGTLMPQASIDKSPSSKSLDILNSLCRDRNNMVFIVSAKSRKTLTEWFSPCEKLGIAAEHGYFLRLKGDVEWETCVPAVDCAWKQIAEPVMKQYMETTDGSYIEDKETALVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNFVEVKPQGVSKGLVAKRLLSTMQERGMLPDFVLCIGDDRSDEDMFEVIISSVLGPLIDPRAEVFACTVGKKPSKAKYYLDDTVEICRLMQGLASVSDQMHSVLA >KJB28143 pep chromosome:Graimondii2_0_v6:5:2692019:2693022:1 gene:B456_005G030100 transcript:KJB28143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQPQFQLTVHHCLTPNKDRHLSKIGFMLQIRTFLEDDWQGDYQDQFVFPEFVFGSNGHRNYLNRRLLEAGWVDQGSLERILDIAFSDGKLILEKENKNGFDNMVMIIFRVKRIVMRGDDEIAATAAMELSMREDPKLIPATKESIQALTKAKIGEGDGDGDGFKCVICMEKLVEVVACMPCSHIFHEDCIEKWLNNSHLCPLCRYRMPTDAENF >KJB28910 pep chromosome:Graimondii2_0_v6:5:8885561:8886734:1 gene:B456_005G077500 transcript:KJB28910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASSIPYIKIPNTSSSSSAAASSTCCRLCTTAKPYIVTIRSSQSEGPLRRPTAPSPPSPVKPFPPSPPPPTTPPPQSSSSPAPVGDQNAISLEFQRQKAKELQDYFKQKKLEESNQGPFLGFIGKNEIGNGRWAMFGFAVGMLTEYATGSNFVDQVKIMLSNFGIIDLD >KJB28911 pep chromosome:Graimondii2_0_v6:5:8885699:8886235:1 gene:B456_005G077500 transcript:KJB28911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASSIPYIKIPNTSSSSSAAASSTCCRLCTTAKPYIVTIRSSQSEGPLRRPTAPSPPSPVKPFPPSPPPPTTPPPQSSSSPAPVGDQNAISLEFQRQKAKELQDYFKQKKLEESNQGPFLGFIGKNEIGNGRDRRQILTYFY >KJB32179 pep chromosome:Graimondii2_0_v6:5:61073819:61074696:-1 gene:B456_005G227700 transcript:KJB32179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYKYGHPYPPPGGYTYNQGPPPPVMAPPQYYGAPPPPPRREPGFLEGFLAALCCCCLLDDCCCDPSVIIVD >KJB32820 pep chromosome:Graimondii2_0_v6:5:63707327:63707962:-1 gene:B456_005G263300 transcript:KJB32820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICSSSQYTIKADNRLTWPPPSTTKIIYPDGNLQQLSQPIKASVVLSQNPSCFLRNSELTYVNSCLRQVPGDEQLQLYQIYFLMPLSMSQAPLSLEELCSLAIKAGAALSRLNEAYSHKEVCISGLLQLQLIKNVVKHHPIGIIKI >KJB29388 pep chromosome:Graimondii2_0_v6:5:14946224:14947577:-1 gene:B456_005G097900 transcript:KJB29388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YSYLYVHLPSLCFSHPLLSYPFTCIHHKLHCRNTIVAASAFDIGEPKIPGYKKDREDIGGFSMIKQSATRNQRYKGFKVKHFVHMCALLALCIWLLNQFRNTYEKKGIGIVQNGMNEDGAIKLGRKALDPQVQGWSAVNNGTGDVEGEIDGEKAEGEENEVEDLIDEEDTDKENETVDLVMEEIV >KJB29294 pep chromosome:Graimondii2_0_v6:5:16182254:16184353:-1 gene:B456_005G101200 transcript:KJB29294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLLRKGIPLLRLRLQRYNLLAAGIPPSPRRPWCAAAESTGLEEEVSSAEKSSAVPSVRDPKKYHMLDDPDYRKWKDKEEEILRDIQPIISLAREILHSNRYKDGEGLTVADEEAVVDMLLRYHPHSEDKIGCGLDSIMHLAICVI >KJB29293 pep chromosome:Graimondii2_0_v6:5:16180737:16184405:-1 gene:B456_005G101200 transcript:KJB29293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLLRKGIPLLRLRLQRYNLLAAGIPPSPRRPWCAAAESTGLEEEVSSAEKSSAVPSVRDPKKYHMLDDPDYRKWKDKEEEILRDIQPIISLAREILHSNRYKDGEGLTVADEEAVVDMLLRYHPHSEDKIGCGLDSIMMDKMSLCC >KJB29292 pep chromosome:Graimondii2_0_v6:5:16180732:16184405:-1 gene:B456_005G101200 transcript:KJB29292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLLRKGIPLLRLRLQRYNLLAAGIPPSPRRPWCAAAESTGLEEEVSSAEKSSAVPSVRDPKKYHMLDDPDYRKWKDKEEEILRDIQPIISLAREILHSNRYKDGEGLTVADEEAVVDMLLRYHPHSEDKIGCGLDSIMVDRHPQFRWTRCLFVVRTNGGWIDFSYHKCLRAYIRDKYPSLAERFIGEHFRHRRLHVKSWPQDA >KJB29295 pep chromosome:Graimondii2_0_v6:5:16182401:16184353:-1 gene:B456_005G101200 transcript:KJB29295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLLRKGIPLLRLRLQRYNLLAAGIPPSPRRPWCAAAESTGLEEEVSSAEKSSAVPSVRDPKKYHMLDDPDYRKWKDKEEEILRDIQPIISLAREILHSNRYKDGEGLTVADEEAVVDMLLRYHPHSEDKIGCGLDSIMVSFCWHYLILWVSLFWFELLDNQTYLLGKKTSKYFHSQVCSVNQFGSFVSG >KJB29291 pep chromosome:Graimondii2_0_v6:5:16177775:16184405:-1 gene:B456_005G101200 transcript:KJB29291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLLRKGIPLLRLRLQRYNLLAAGIPPSPRRPWCAAAESTGLEEEVSSAEKSSAVPSVRDPKKYHMLDDPDYRKWKDKEEEILRDIQPIISLAREILHSNRYKDGEGLTVADEEAVVDMLLRYHPHSEDKIGCGLDSIM >KJB30885 pep chromosome:Graimondii2_0_v6:5:48839408:48840637:1 gene:B456_005G165800 transcript:KJB30885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRIADILYQIRRFSRESERSREKIIRRELARSCNFPAFSLFNLLRLSDLSVIMTTSRRLAERKVEKFQKNITKRGAVPETTTKKGKDYPVGPVLLGFFIFVVIGSSLFQIIRTATSGGMA >KJB30884 pep chromosome:Graimondii2_0_v6:5:48839408:48841742:1 gene:B456_005G165800 transcript:KJB30884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRIADILYQIRRFSRESERSREKIIRRELARSCNFPAFSLFNLLRLSDLSVIMTTSRRLAERKVEKFQKNITKRGAVPETTTKKGKDYPVGPVLLGFFIFVVIGSSLFQIIRTATSGGKLMIYLLEICLSCEMFGI >KJB32286 pep chromosome:Graimondii2_0_v6:5:61556733:61558567:-1 gene:B456_005G233400 transcript:KJB32286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSATFSTFVSPQTVSFLGKPSSFRLLHPTNAHMVRTLTQATASKTDTGPRKPRGIMKPRPVSPEMQALVGVPEIPRTEVLKQIWAYIKENKLQDPNNKRVIICDDKLKKIFGGKDRVEFLEIAGLINPHFL >KJB29426 pep chromosome:Graimondii2_0_v6:5:15781922:15783350:-1 gene:B456_005G100200 transcript:KJB29426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWAGAIGAAKKKLEEDETPKSFQSVALVVGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRPRPHWHAEHRIEYIQCDVSDPKDTESKLSQLTDVTHIFYVSWVNRTTETDNCEINGSMLRNVLHTLILNAPNLRHICLQTGAKHYVGSFEYITSGKIEPHDPPFTEDLPRLNTPNFFYVQEDILLQEIEKKQGLTWSVHRPNTIFGFSPYSLMNIVGTLCVYAAICKYEGKPLLFPGNKVTWESYSEVSDADLIAEHQIWAAVDPYAKNEAFNVNNGDIFKWKHLWKVLAEQFGIEKYGLEEGKNVGLKEMMKGKESVWEKIVNEKGLQKTRLEEVGFWWFVDILLSMMPMESPILCMNKSKEHGFLGFRNSKSSLITWIDKMKAFKIVP >KJB30886 pep chromosome:Graimondii2_0_v6:5:48840850:48845039:-1 gene:B456_005G165900 transcript:KJB30886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLAMLGQLIGQLQDLLQLHGSPPPPPPPSHFRLLHPHLHPDDYHRRWCLHNIDDTPADDYYSLVMAAGKSGSCKMLEPFEPPGPPPSKKSRKGRNQEKLHGSTAIPEAEVMEQDIWKEFPEDLLEAVIARLPIASFFRFRSVCRKWNSLLESQSFSQRCAEIPQGNTWFYAFTHDNVNSGTMYYDPSMRKWHHPAYRPTKMIALPVASAGGLVCFLDIGHRKFYVCNPLTRSFKELPTGSVKVWSRIAVGMTLNGNSTTGGYKVMWVGRDGEYEVYDSVKNSWSRPGSMPSNIKVPLSLNFLSQAVSVDNTLCFMRSDPEGIVSYNMDTGVCKQFIIPAPLHLSDHSLAECKGRIMLVGLLTKNAATCACIWELQKMTLLWKEVDRMPNVWCLEFYGKHVRMTCSGNEGLLMLQMRSRQMNRLVTYNVMSREWLKVPGSVVPRGRKQQRVACCIAFNPCLTATA >KJB28789 pep chromosome:Graimondii2_0_v6:5:7441867:7445176:-1 gene:B456_005G069600 transcript:KJB28789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLRSTFGLFKMKKWYGGVLILVLAIVMVFSYSLRETQRPQPKKKQSAYDFFNNHPPIDSHRKGNDSFKLPKVEAKKPSLIQKPKLINVEGLDELYAPRNVSEQESNVLLLWPHLHLLLSRSDALPETGQGIKEAAIAWKELLALIEEEKTTKLSNNIRLKEKNCPFSVSSPDNALFSGGNILELPCGLVEDSSITLIGTPNGSYRSFEIDLVGSNFSEEPKPPIVLHYNVSVAGDNMTEEPFIAQNTWTNELGWGKEEKCPSHVSSNNLKVDGLGLCNEQLVRSTMEENQNVSVSSGDASTNASQESSHASANFPFVEGNPFTATLWVGLEGFHMTVNGRHETSFAYREKLEPWSVSGVKVVGGLDLLSAFAKGLPVPEDHDLIDNSKILKAPVITRKRLVMLVGVFSTGNNFERRMALRRSWMQFEAVRSGDVAVRFFIGLNKNLQVNFELWKEAQAYGDIQFMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDEVLSSLKEKPSNGLLYGLIEFDSSPHREKDSKWYISDEEWPHSSYPPWAHGPGYILSRDVAKFIVQGHKERELKVK >KJB28788 pep chromosome:Graimondii2_0_v6:5:7438864:7445786:-1 gene:B456_005G069600 transcript:KJB28788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLRSTFGLFKMKKWYGGVLILVLAIVMVFSYSLRETQRPQPKKKQSAYDFFNNHPPIDSHRKGNDSFKLPKVEAKKPSLIQKPKLINVEGLDELYAPRNVSEQESNVLLLWPHLHLLLSRSDALPETGQGIKEAAIAWKELLALIEEEKTTKLSNNIRLKEKNCPFSVSSPDNALFSGGNILELPCGLVEDSSITLIGTPNGSYRSFEIDLVGSNFSEEPKPPIVLHYNVSVAGDNMTEEPFIAQNTWTNELGWGKEEKCPSHVSSNNLKVDGLGLCNEQLVRSTMEENQNVSVSSGDASTNASQESSHASANFPFVEGNPFTATLWVGLEGFHMTVNGRHETSFAYREKLEPWSVSGVKVVGGLDLLSAFAKGLPVPEDHDLIDNSKILKAPVITRKRLVMLVGVFSTGNNFERRMALRRSWMQFEAVRSGDVAVRFFIGLNKNLQVNFELWKEAQAYGDIQFMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDEVLSSLKEKPSNGLLYGLIEFDSSPHREKDSKWYISDEEWPHSSYPPWAHGPGYILSRDVAKFIVQGHKERELKLFKLEDVAMGIWIEEFKRSGREVHYITDDRFYNAGCESNYILAHYQGPRMVLCLWEKLQKEHQAYCY >KJB28791 pep chromosome:Graimondii2_0_v6:5:7441292:7445890:-1 gene:B456_005G069600 transcript:KJB28791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLRSTFGLFKMKKWYGGVLILVLAIVMVFSYSLRETQRPQPKKKQSAYDFFNNHPPIDSHRKGNDSFKLPKVEAKKPSLIQKPKLINVEGLDELYAPRNVSEQESNVLLLWPHLHLLLSRSDALPETGQGIKEAAIAWKELLALIEEEKTTKLSNNIRLKEKNCPFSVSSPDNALFSGGNILELPCGLVEDSSITLIGTPNGSYRSFEIDLVGSNFSEEPKPPIVLHYNVSVAGDNMTEEPFIAQNTWTNELGWGKEEKCPSHVSSNNLKVDGLGLCNEQLVRSTMEENQNVSVSSGDASTNASQESSHASANFPFVEGNPFTATLWVGLEGFHMTVNGRHETSFAYREKLEPWSVSGVKVVGGLDLLSAFAKGLPVPEDHDLIDNSKILKAPVITRKRLVMLVGVFSTGNNFERRMALRRSWMQFEAVRSGDVAVRFFIGLNKNLQVNFELWKEAQAYGDIQFMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDEVLSSLKEKPSNGLLYGLIEFDSSPHREKDSKWYISDEEWPHSSYPPWAHGPGYILSRDVAKFIVQGHKERELKLFKLEDVAMGIWIEEFKRSGREVHYITDDRFYNAGCESNYILAHYQGPRMVLCLWEKLQKEHQAYCCE >KJB28790 pep chromosome:Graimondii2_0_v6:5:7441292:7445786:-1 gene:B456_005G069600 transcript:KJB28790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLRSTFGLFKMKKWYGGVLILVLAIVMVFSYSLRETQRPQPKKKQSAYDFFNNHPPIDSHRKGNDSFKLPKVEAKKPSLIQKPKLINVEGLDELYAPRNVSEQESNVLLLWPHLHLLLSRSDALPETGQGIKEAAIAWKELLALIEEEKTTKLSNNIRLKEKNCPFSVSSPDNALFSGGNILELPCGLVEDSSITLIGTPNGSYRSFEIDLVGSNFSEEPKPPIVLHYNVSVAGDNMTEEPFIAQNTWTNELGWGKEEKCPSHVSSNNLKVDGLGLCNEQLVRSTMEENQNVSVSSGDASTNASQESSHASANFPFVEGNPFTATLWVGLEGFHMTVNGRHETSFAYREKLEPWSVSGVKVVGGLDLLSAFAKGLPVPEDHDLIDNSKILKAPVITRKRLVMLVGVFSTGNNFERRMALRRSWMQFEANKNLQVNFELWKEAQAYGDIQFMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDEVLSSLKEKPSNGLLYGLIEFDSSPHREKDSKWYISDEEWPHSSYPPWAHGPGYILSRDVAKFIVQGHKERELKLFKLEDVAMGIWIEEFKRSGREVHYITDDRFYNAGCESNYILAHYQGPRMVLCLWEKLQKEHQAYCCE >KJB32853 pep chromosome:Graimondii2_0_v6:5:63865504:63871029:-1 gene:B456_005G265300 transcript:KJB32853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEMTLENPEPINAVPKSEAVPKLAEQDANSGKIGMASDLTSTISSSPYESSGAKAESHQDLVGEPGFNQSTSFENYYYPGYDGSFTQSDDKGYFLTDGSLTGVQSENGSLVYYLPGYNPYATGALMGVDGQCLGQQPYYSSGYFQPPVSYASEAMPCYSWDSTYAGDVSNGILDGFGNVKYGSGSAFAKSNGFNSTKSNGLGTKLSKSTYAQPNKPLSKGPHSGSDPSAGSYRGYYPAGRSSFNNQKQGLSQYNGHMNYRQNGRAWNQNDRYKKSNVDFETSAELTCGPRALNRAAPLDSSVKKEGLGLNLYKDKYNLQDFPTEYENAKFFVIKSYSEDDVHKSMKYNVWSSTPNGNRKLDAAFHEAETRESETGTKCPIFLLFSVNGSGQFVGLAEMIGKVDFNKDMDFWQLNKWNGFFPVKWHVIKDIPNKELFHIILENNENKPVTHSRDTQEIGLKQGLELLRIFKGYSEKSSLLHDFGFYENREKTLDAKKNYKPATLEYMEDDLTKQSKAGDREVEEELRRTKKTGDATSIINLTKKLSLNGCNRKSIAVKNPIASSFPTVPAP >KJB32854 pep chromosome:Graimondii2_0_v6:5:63865747:63870042:-1 gene:B456_005G265300 transcript:KJB32854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEMTLENPEPINAVPKSEAVPKLAEQDANSGKIGMASDLTSTISSSPYESSGAKAESHQDLVGEPGFNQSTSFENYYYPGYDGSFTQSDDKGYFLTDGSLTGVQSENGSLVYYLPGYNPYATGALMGVDGQCLGQQPYYSSGYFQPPVSYASEAMPCYSWDSTYAGDVSNGILDGFGNVKYGSGSAFAKSNGFNSTKSNGLGTKLSKSTYAQPNKPLSKGPHSGSDPSAGSYRGYYPAGRSSFNNQKQGLSQYNGHMNYRQNGRAWNQNDRYKKSNVDFETSAELTCGPRALNRAAPLDSSVKKEGLGLNLYKDKYNLQDFPTEYENAKFFVIKSYSEDDVHKSMKYNVWSSTPNGNRKLDAAFHEAETRESETGTKCPIFLLFSVNGSGQFVGLAEMIGKVDFNKDMDFWQLNKWNGFFPVKWHVIKDIPNKELFHIILENNENKPVTHSRDTQEIGLKQGLELLRIFKGYSEKSSLLHDFGFYENREKTLDAKKNYKPATLEYMEDDLTKQSKAGDREVEEELRRTKKTGDATSIINLTKKLSLNGCNRKSIAVKNPIASSFPTVPAP >KJB30567 pep chromosome:Graimondii2_0_v6:5:41108564:41116586:1 gene:B456_005G149700 transcript:KJB30567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISTSSSAASSFLRAASSYTRSRFFPSSLRNLNPASSSALNNQRSTASVVVRSFHSSASRWCHRLDWRSPFSLRAQIRALNPVIERFQKQFATMAAEHPFKEVLTSLPKPGGGEFGKFYSLPALNDPRIDKLPYSITILLESAVRNCDNFQVKKEDVEKIIDWGNTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDTSKINPLVPVDLVIDHSVQVDVTRSENAVQENMELEFRRNKERFSFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTNGTLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLRLTGRSYETVAMIESYLRANKMFVDYKEPQQERVYSSYLELNLAEVEPCVSGPKRPHDRVPLKEMKADWNSCLNNKVGFKGFAVPKEAQEKVAKFSFHGKPAELKHGSVVITAITSCTNTSNPSVMLGAGLVAKKACELGLLVKPWIKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHIVGYGCTTCIGNSGELDESVASAISENDIIAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGKDGKSIFFKDIWPSSEEVAEAVQSSVLPDMFRSTYQAITKGNPMWNQLQVPSSTLYTWDPNSTYIHEPPYFKNMTMEPPGVHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLDRGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTIHVPTGEKLYVFDAAMRYKDAGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPNKISDIRPGQDVTVTTNNGKSFTCTVRFDTEVELAYFNNGGILPYVIRNLIKQ >KJB30565 pep chromosome:Graimondii2_0_v6:5:41108504:41116643:1 gene:B456_005G149700 transcript:KJB30565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEHPFKEVLTSLPKPGGGEFGKFYSLPALNDPRIDKLPYSITILLESAVRNCDNFQVKKEDVEKIIDWGNTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDTSKINPLVPVDLVIDHSVQVDVTRSENAVQENMELEFRRNKERFSFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTNGTLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLRLTGRSYETVAMIESYLRANKMFVDYKEPQQERVYSSYLELNLAEVEPCVSGPKRPHDRVPLKEMKADWNSCLNNKVGFKGFAVPKEAQEKVAKFSFHGKPAELKHGSVVITAITSCTNTSNPSVMLGAGLVAKKACELGLLVKPWIKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHIVGYGCTTCIGNSGELDESVASAISENDIIAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGKDGKSIFFKDIWPSSEEVAEAVQSSVLPDMFRSTYQAITKGNPMWNQLQVPSSTLYTWDPNSTYIHEPPYFKNMTMEPPGVHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLDRGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTIHVPTGEKLYVFDAAMRYKDAGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPNKISDIRPGQDVTVTTNNGKSFTCTVRFDTEVELAYFNNGGILPYVIRNLIKQ >KJB30569 pep chromosome:Graimondii2_0_v6:5:41108564:41116586:1 gene:B456_005G149700 transcript:KJB30569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISTSSSAASSFLRAASSYTRSRFFPSSLRNLNPASSSALNNQRSTASVVVRSFHSSASRWCHRLDWRSPFSLRAQIRALNPVIERFQKQFATMAAEHPFKEVLTSLPKPGGGEFGKFYSLPALNDPRIDKLPYSITILLESAVRNCDNFQVKKEDVEKIIDWGNTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDTSKINPLVPVDLVIDHSVQVDVTRSENAVQENMELEFRRNKERFSFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTNGTLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGDGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLRLTGRSYETVAMIESYLRANKMFVDYKEPQQERVYSSYLELNLAEVEPCVSGPKRPHDRVPLKEMKADWNSCLNNKVGFKGFAVPKEAQEKVAKFSFHGKPAELKHGSVVITAITSCTNTSNPSVMLGAGLVAKKACELGLLVKPWIKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHIVGYGCTTCIGNSGELDESVASAISENDIIAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGKDGKSIFFKDIWPSSEEVAEAVQSSVLPDMFRSTYQAITKGNPMWNQLQVPSSTLYTWDPNSTYIHEPPYFKNMTMEPPGVHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLDRGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTIHVPTGEKLYVFDAAMRYKDAGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPNKISDIRPGQDVTVTTNNGKSFTCTVRFDTEVELAYFNNGGILPYVIRNLIKQ >KJB30568 pep chromosome:Graimondii2_0_v6:5:41108564:41116586:1 gene:B456_005G149700 transcript:KJB30568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEHPFKEVLTSLPKPGGGEFGKFYSLPALNDPRIDKLPYSITILLESAVRNCDNFQVKKEDVEKIIDWGNTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDTSKINPLVPVDLVIDHSVQVDVTRSENAVQENMELEFRRNKERFSFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTNGTLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLRLTGRSYETVAMIESYLRANKMFVDYKEPQQERVYSSYLELNLAEVEPCVSGPKRPHDRVPLKEMKADWNSCLNNKVGFKGFAVPKEAQEKVAKFSFHGKPAELKHGSVVITAITSCTNTSNPSVMLGAGLVAKKACELGLLVKPWIKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHIVGYGCTTCIGNSGELDESVASAISENDIIAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGKDGKSIFFKDIWPSSEEVAEAVQSSVLPDMFRSTYQAITKGNPMWNQLQVPSSTLYTWDPNSTYIHEPPYFKNMTMEPPGVHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLDRGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTIHVPTGEKLYVFDAAMRYKDAGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPNKISDIRPGQDVTVTTNNGKSFTCTVRFDTEVELAYFNNGGILPYVIRNLIKQ >KJB30566 pep chromosome:Graimondii2_0_v6:5:41108516:41116666:1 gene:B456_005G149700 transcript:KJB30566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISTSSSAASSFLRAASSYTRSRFFPSSLRNLNPASSSALNNQRSTASVVVRSFHSSASRWCHRLDWRSPFSLRAQIRALNPVIERFQKQFATMAAEHPFKEVLTSLPKPGGGEFGKFYSLPALNDPRIDKLPYSITILLESAVRNCDNFQVKKEDVEKIIDWGNTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDTSKINPLVPVDLVIDHSVQVDVTRSENAVQENMELEFRRNKERFSFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTNGTLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLRLTGRSYETVAMIESYLRANKMFVDYKEPQQERVYSSYLELNLAEVEPCVSGPKRPHDRVPLKEMKADWNSCLNNKVGFKGFAVPKEAQEKVAKFSFHGKPAELKHGSVVITAITSCTNTSNPSVMLGAGLVAKKACELGLLVKPWIKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHIVGYGCTTCIGNSGELDESVASAISENDIIAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGKDGKSIFFKDIWPSSEEVAEAVQSSVLPDMFRSTYQAITKGNPMWNQLQVPSSTLYTWDPNSTYIHEPPYFKNMTMEPPGVHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLDRGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTIHVPTGEKLYVFDAAMRYKDAGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPNKISDIRPGQDVTVTTNNGKSFTCTVRFDTEVELAYFNNGGILPYVIRNLIKQ >KJB28654 pep chromosome:Graimondii2_0_v6:5:6349499:6351552:1 gene:B456_005G060800 transcript:KJB28654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 49 [Source:Projected from Arabidopsis thaliana (AT5G49060) UniProtKB/Swiss-Prot;Acc:Q9FH28] MDGNKDEALRCVRIAEEAIASGNKQRALKFIKIAQRLNHTLSVDELLDACKNLDSGTTPVSPVGEKRVLSDENKGGSTKLDEGFDGDRSYTEEHVQLIRQIKRNKDYYSILGVEKTCSVDDIRKAYKKLSLKVHPDKNKAPGSEEAFKTVCKAFKCLSDDNSRRQYDQVGLVDQFEYNQRHNVRQRRRRYEHDLFDDDFDPDEIFRSFFGQGDMFRASQVYRTRGMGGHQREEHHRGGGSSFLILLQMLPILLIFLLAFLPTSEPEYSLFRNYSYQIPKTTEKFGVEFFVKSSTFDVNFPQGSAARANVEDTVIKDYKHMLWRYCHVERHKRRWNKNLPTPHCNKLQNLGLA >KJB28653 pep chromosome:Graimondii2_0_v6:5:6349613:6351519:1 gene:B456_005G060800 transcript:KJB28653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 49 [Source:Projected from Arabidopsis thaliana (AT5G49060) UniProtKB/Swiss-Prot;Acc:Q9FH28] MDGNKDEALRCVRIAEEAIASGNKQRALKFIKIAQRLNHTLSVDELLDACKNLDSGTTPVSPVGEKRVLSDENKGGSTKLDEGFDGDRSYTEEHVQLIRQIKRNKDYYSILGVEKTCSVDDIRKAYKKLSLKVHPDKNKAPGSEEAFKTVCKAFKCLSDDNSRRQYDQVGLVDQFEYNQRHNVRQRRRRYEHDLFDDDFDPDEIFRSFFGQGDMFRASQVYRTRGMGGHQREEHHRGGGSSFLILLQMLPILLIFLLAFLPTSEPEYSLFRNYSYQIPKTTEKFGVEFFVKSSTFDVNFPQGSAARANVEDTVIKDYKHMLWRYCHVERHKRRWNKNLPTPHCNKLQNLGLA >KJB30606 pep chromosome:Graimondii2_0_v6:5:42123920:42129385:-1 gene:B456_005G151600 transcript:KJB30606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTESNTGFYHHEDGLGSILNRHGISFQPAGIDSSSEMIPMSGYYASLPTILPGNSSVITSSPALIQPGNSSGSSLLLDSISGQKHEAGFAVEWSIDEQYILEDSLEKYKDEPSIMKYIKIAATLPDKTVRDVALRCRWMQRKRRKPEELNAGKKVNSRKGKLVESSLKVNMPSALPQNMAAYPLMMHHLDQNGRMSSEGISGTVIHLLKQNSQAFSQITSNLSAFKLQDNIDLFCHTRNNITAILNDMRDMPGLMSRMPPLPVSINDDLAISILPGATQLMIFSSPSGIHLKPSQDTYRELLRLRLGIYLIYVNYKQFSSCKSIRSL >KJB30608 pep chromosome:Graimondii2_0_v6:5:42123992:42129383:-1 gene:B456_005G151600 transcript:KJB30608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTESNTGFYHHEDGLGSILNRHGISFQPAGIDSSSEMIPMSGYYASLPTILPGNSSVITSSPALIQPGNSSGSSLLLDSISGQKHEAGFAVEWSIDEQYILEDSLEKYKDEPSIMKYIKIAATLPDKTVRDVALRCRWMQRKRRKPEELNAGKKVNSRKGKLVESSLKVNMPSALPQNMAAYPLMMHHLDQNGRMSSEGLYLIPPARGISGTVIHLLKQNSQAFSQITSNLSAFKLQDNIDLFCHTRNNITAILNDMRDMPGLMSRMPPLPVSINDDLAISILPGATQETSCPTRQ >KJB30605 pep chromosome:Graimondii2_0_v6:5:42124462:42129005:-1 gene:B456_005G151600 transcript:KJB30605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTESNTGFYHHEDGLGSILNRHGISFQPAGIDSSSEMIPMSGYYASLPTILPGNSSVITSSPALIQPGNSSGSSLLLDSISGQKHEAGFAVEWSIDEQYILEDSLEKYKDEPSIMKYIKIAATLPDKTVRDVALRCRWMQRKRRKPEELNAGKKVNSRKGKLVESSLKVNMPSALPQNMAAYPLMMHHLDQNGRMSSEGISGTVIHLLKQNSQAFSQITSNLSAFKLQDNIDLFCHTRNNITAILNDMRDMPGLMSRMPPLPVSINDDLAISILPGATQETSCPTRQ >KJB30607 pep chromosome:Graimondii2_0_v6:5:42123992:42129210:-1 gene:B456_005G151600 transcript:KJB30607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTESNTGFYHHEDGLGSILNRHGISFQPAGIDSSSEMIPMSGYYASLPTILPGNSSVITSSPALIQPGNSSGSSLLLDSISGQKHEAGFAVEWSIDEQYILEDSLEKYKDEPSIMKYIKIAATLPDKTVRDVALRCRWMQRKRRKPEELNAGKKGKLVESSLKVNMPSALPQNMAAYPLMMHHLDQNGRMSSEGISGTVIHLLKQNSQAFSQITSNLSAFKLQDNIDLFCHTRNNITAILNDMRDMPGLMSRMPPLPVSINDDLAISILPGATQETSCPTRQ >KJB30153 pep chromosome:Graimondii2_0_v6:5:32420718:32422915:1 gene:B456_005G131400 transcript:KJB30153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRLCMFLLFSTMAATLSMHCDARKSMEYIKDPKHQRNSIIKHTKNLELMSKLMSLVIEQPTDTSNTQPYGVSSPFSLPPFDSLPPENSHPYCVNPPNTPQPPIGTSPSPPSPFYNFPPLGPPPSPPGIVPNPPIIFPGPPGIVPNPPSIFPGPPGIVPNPPSIFPSPPQAIPTPTGYIPSPSGPVLSPPYYELSPPTVVVSPPYNVPSPFGLNPSPPEFLPPIVYPPPTVLPPPNRAPTTALWCVAKPSVPGPIMQEAMNYACASGADCDSIQPSGPCFQPDTIFAHASYAFNSYWQKTKIAGGTCEFGGTAMLVTVDPSYDGCHFEYQY >KJB32083 pep chromosome:Graimondii2_0_v6:5:60506538:60507075:1 gene:B456_005G222200 transcript:KJB32083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKSTPPSSASPTFSATSMAETKRIFTFLLRFIAFCTTLSAVIIMISSRQRATVLVFSFEAKYSDTPAFKYFVIVNAIVIQ >KJB28630 pep chromosome:Graimondii2_0_v6:5:6152278:6158117:-1 gene:B456_005G059200 transcript:KJB28630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVEGCPNVFKAVCAVPHGSHEYKFFVDGEWRHDEQQPHRNGEYGIVNTFDTLPVPAEVSQHQIPAVILNQTIPRISEEDLRASRYQISAFLAAHTVYELLPESGKVVALAVDLPVKQAFHILAEQGIPVAPLWDFYKGKFVGVISASDFILILRQLGNHGSTLTEEELETHTISAWKEGKARRNGLVDGHGRPIPRHLIFAGPGDNLKDVALKFLQNGVATIPVIHSSLEDGSFPQLLHLASLSGILKCVCRYFKHCSGSFPMLQLPIYAIPLGTWVPRIGESSSRSFAMLRPTSSLSSALNMLVQARVSSIPIVDDNDSLLDIYSRSDITALAKGRAYTHNLNEMTVYQALQLGQDSNSPYEPRTQRFQMCLHTDTLLKVMEQLANPGVRRLVIVEAGSNRVEGIISLSDVFRFLLG >KJB28629 pep chromosome:Graimondii2_0_v6:5:6152903:6157563:-1 gene:B456_005G059200 transcript:KJB28629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSDRNPARDAGRVSTAGMVLLPIQFTWPHGGDDVSISGSFNGWTELVRMSQVEGCPNVFKAVCAVPHGSHEYKFFVDGEWRHDEQQPHRNGEYGIVNTFDTLPVPAEVSQHQIPAVILNQTIPRISEEDLRASRYQISAFLAAHTVYELLPESGKVVALAVDLPVKQAFHILAEQGIPVAPLWDFYKGKFVGVISASDFILILRQLGNHGSTLTEEELETHTISAWKEGKARRNGLVDGHGRPIPRHLIFAGPGDNLKDVALKFLQNGVATIPVIHSSLEDGSFPQLLHLASLSGILKCVCRYFKHCSGSFPMLQLPIYAIPLGTWVPRIGESSSRSFAMLRPTSSLSSALNMLVQARVSSIPIVDDNDSLLDIYSRSDITALAKGRAYTHNLNEMTVYQALQLGQDSNSPYEPRTQRFQMCLHTDTLLKVMEQLANPGVRRLVIVEAGSNRVEGIISLSDVFRFLLG >KJB27454 pep chromosome:Graimondii2_0_v6:5:6757626:6758279:1 gene:B456_005G064600 transcript:KJB27454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPFSAFWLRSSVVSVLISLISDTWAIGSHDIKFIFEGGESITIACYWGSRASPRLCTIARVWRTPPNSSSNICNYFCKVQS >KJB28011 pep chromosome:Graimondii2_0_v6:5:1780743:1783867:-1 gene:B456_005G021500 transcript:KJB28011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLRLLFYFTLLVWPSLQEEEGKCDETCGNVTIPFPFGIKSECYSDPSFRVTCKNGEKPYISRIGMEILGSFTSRDVIVNNPVTYSNCPSKGDNGSGDVDLTDSPFFFSGNNLFGSIGCGHSATVSSNRTDPIGGCLQPRCGDGTEFQGCYATVSENLTSYTAKMIPFTNESNGRNACTSAFMFSVGNFGHFSFPRDINISSTHVPAILEWKTFDPKLKVPLRKSDCAEKCGNIDILYPFGIEDRCYMNDAFRVSCEETIDGSKPFISSINLTLVDVKFSEGRVIINNSITYSNCNNHQDDRKKGVSVNLTNTPFYFSDIFNRFGSVGCGNFATIYHNQTDDPIGGCLQPSCNSNANLSTNDMCITSIPPRLDTFAASLTKKYRSYDGNRSCGSAFVFDMDSLDNDGLLTVPHTKGHVATSLQWGKPLPAPCKLKDGKKTFCNSEGHYCWSWLSRELLCVCSDSDYASAYSVDVCEEPGKCENSKRRYCNMLCLNAPGNYCSLTCPEGYKYSDEEYRCKLIKTNSSPLPGRTRNLIIIIGCSTSIGTIFALLCIWRLFKALERRNDIKLKQKYFKRNGGLLLQQQLSNNEGNVEKIKLFASKELEKATDYYNENRILGRGGQGIVYKGMLTDGSIVAIKRSKLVEKKVLEEMKLEQFINEVIILSQINHRNVVKLLGCCLETNVPLLVYEFIPNGTLSDLIHKPNEEFPLTWEMRLRISTEIANALFYLHSAASVPIYHRDIKSSNILLDDKYRAKVSDFGISRSVAIEQTHVTTRVHGTLGYLDPEYFRSNQYTEKSDVYSFGVVLVELLTGQKPISSSQSEEQRGSLVTFFLHSMKENSLFDILDPQVMNEGPREEVIAVSWLAKRCLNINRNKRPTMKQVAMELERIRTSDETNVLQEQSDDEEDYEIMDDVTDPWGISSCSTMVIIDNI >KJB29999 pep chromosome:Graimondii2_0_v6:5:29111977:29112313:1 gene:B456_005G127400 transcript:KJB29999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAISAKSTNYHPYGVSHNSSYMNNGSKNDESHYHFNLDDNDTNYGWNNHINSCIDSYLRSQICIDSSI >KJB28442 pep chromosome:Graimondii2_0_v6:5:4743722:4744838:1 gene:B456_005G048600 transcript:KJB28442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKTSEFQVDSNLDYDRETGVKGIDSGVTKIPRIFIDQSIILEKNNTDCSNNQLSVPILALQGINGDSALRAKVINQVRNACANWGFFQVVHHGIPVSVLDEMIDGIRQFHEQNTEVLYLRNYDLYKPKATNWRDSLGCIMSLDDPAHPQSCLNDIVMDYSKQVLTLGFTLFELLSQALGLNPSCLNDLGCADLLLLMGHYYPPCPQPKLIMGTTNYKDILHHNYWIDVPCIPGALVVNVGDLPQLVSNEKFKSMNHRVLAKWAGPKISVACFLIMQANNKENESRLYGQIKELLSENNPPI >KJB28881 pep chromosome:Graimondii2_0_v6:5:8243872:8247694:-1 gene:B456_005G074400 transcript:KJB28881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRNVLRDEESAAAALLNNSSKEDDDSPTGKRLKSERFPVSRWELAAFFGVFLIFSTGLFCIYLTMPATEYGKLKIPRSISDLRLLKDNIATYASDYPTQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVKGVFLVVFNATAGACSCFFLSKLIGRPLVSWLWPDKLRFFQAEIAKRRDKLLNYMLFLRITPTLPNLFINLASPIVDIPFHVFFLATFVGLIPASYITVKAGLALGDLRSVKDLYDFKTLSVLFLIGLVSIFPTLLKKKKIYE >KJB28883 pep chromosome:Graimondii2_0_v6:5:8245820:8247326:-1 gene:B456_005G074400 transcript:KJB28883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRNVLRDEESAAAALLNNSSKEDDDSPTGKRLKSERFPVSRWELAAFFGVFLIFSTGLFCIYLTMPATEYGKLKIPRSISDLRLLKDNIATYASDYPTQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVKGVFLVVFNATAGACSCFFLSKLIGRPLVSWLWPDKLRFFQAEVFLCYHLCKIPFCFLLI >KJB28882 pep chromosome:Graimondii2_0_v6:5:8243900:8247633:-1 gene:B456_005G074400 transcript:KJB28882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRNVLRDEESAAAALLNNSSKEDDDSPTGKRLKSERFPVSRWELAAFFGVFLIFSTGLFCIYLTMPATEYGKLKIPRSISDLRLLKDNIATYASDYPTQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVKGVFLVVFNATAGACSCFFLSKLIGRPLVSWLWPDKLRFFQAEVFLCYHLCKIPFCFLLI >KJB28884 pep chromosome:Graimondii2_0_v6:5:8245244:8247633:-1 gene:B456_005G074400 transcript:KJB28884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRNVLRDEESAAAALLNNSSKEDDDSPTGKRLKSERFPVSRWELAAFFGVFLIFSTGLFCIYLTMPATEYGKLKIPRSISDLRLLKDNIATYASDYPTQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVKGVFLVVFNATAGACSCFFLSKLIGRPLVSWLWPDKLRFFQAEIAKRRDKLLNYMLFLRITPTLPNLFINLASPIVDIPFHVFFLATFVGLIPASYITVKVSILPCTSINSLRSL >KJB30394 pep chromosome:Graimondii2_0_v6:5:37703513:37705270:-1 gene:B456_005G141100 transcript:KJB30394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVTLTSNYNTNLSQTLSFEGKNSSLRDVSFSTFFDDAEENYIRKLSASNRELSSKTTNTKQDEHHYLGLKKEDDGEIGVFGAEKYFNGGIDLESPRITKIHAKTLECVKDGRVSIEPVKPVIYQGTPSVRSESSWNSRSALLRSTMRNPPAKKPPKVNGKSFLSGLAGCKCYCSGRNSVDIEEAQVGEISFKRPAANGEGLQGKPNKTASSKASLEVNKPVAEPWTKEDIFSFPTMNSTMGIRPVEVSLQGDVDEIGRKSLEVFGSPALGKRNKSLNIERRLQMFSLDSNPKAEKIENTKGNYNDTESDASSDLFEIESLTGKVNPFLVKQTSDAASGCATPTTCYAPSEASIEWSVVTASAADFSVMSDYEELRPPVTFPSPMRTYPTTTKTKGSKNKGRSSGLLGCNSQKAVEVAGDTQKTNDKAGFDPRMRSVSDSYIPATRFGAGTKLAAAFQPTHTAGASRLLFIQ >KJB30889 pep chromosome:Graimondii2_0_v6:5:48950338:48951189:1 gene:B456_005G166300 transcript:KJB30889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGHKLMIEIGLIDLYPDMRTYFAMVKGFCNAGRLEEACELFQAMKGQGFSPNVVAYFMLLEGICKHMSTRKELELLGEMDKAGRNCSPNVITYTFVIKSFCEKGQTIKALRILNRMEACQCVPNYIIVITLIMGLCVEGHVKEACKLIDRVTGRGVSNSDCYSSLVLALIRINRLDEVEKLFRKMLVSGAKPSAIACSTMIREICREGQVLDSFCLYNEIKRMLYFSSIDTEIYSILLVGLCQQNHSVEATKLARLMLRKMIRLEAPYDEIIVEANVLTTL >KJB30373 pep chromosome:Graimondii2_0_v6:5:36773801:36775659:-1 gene:B456_005G139800 transcript:KJB30373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFGYTVEVTGLPTKATEKDVHDFFAFSGTIEHVEIVRSGEYASTAYVTFKNAYSQETAVLLSGATILDQRIYISRWGQYEKEFDFWSPSRSQEDETSSRQPPLRSQFVSHAGEAVSFAQDVVKAMLGKGYVLGKDALSKAKTLDESHQVSATAAAKVAELSERIGLTDKICVGVDAVRSVDERYHVSENTESAISAAGRTAAAAANAVVSSSYFSKGALWVSGALDWAAKAAADIGNRGVHQ >KJB30374 pep chromosome:Graimondii2_0_v6:5:36773387:36776081:-1 gene:B456_005G139800 transcript:KJB30374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFGYTVEVTGLPTKATEKDVHDFFAFSGTIEHVEIVRSGEYASTAYVTFKNAYSQETAVLLSGATILDQRIYISRWGQYEKEFDFWSPSRSQEDETSSRQPPLRSQFVSHAGEAVSFAQDVVKAMLGKGYVLGKDALSKAKTLDESHQVSATAAAKVAELSERIGLTDKICVGVDAVRSVDERYHVSENTESAISAAGRTAAAAANAVVSSSYFSKGALWVSGALDWAAKAAADIGNRGVHQ >KJB32732 pep chromosome:Graimondii2_0_v6:5:63431762:63435097:-1 gene:B456_005G258300 transcript:KJB32732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLPTQLATRGTFHHHHNNNSKSTMTAALSWRRTLSPDTPLIHSSTSLTTKKSYVQQMVTCSASGSTQAVNLAPGTPVRPTSILVVGSTGTLGRQIVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTIIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYVFFSIHNCDKHPEVPLMEIKYCTEKFLQDSGLPHITIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIALRNEKVNGKLLTFAGPRAWTTQEVIALCERLAGQDANVTTVPVTVLRFTRQLTRFFEWTNDVADRLAFSEVLTSDTVFSAPMTETYDLLGVDAKDIVSLEKYLQDYFTNILKKLKDIKAQSKQTDIIF >KJB32733 pep chromosome:Graimondii2_0_v6:5:63431903:63435066:-1 gene:B456_005G258300 transcript:KJB32733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTCSASGSTQAVNLAPGTPVRPTSILVVGSTGTLGRQIVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTIIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYVFFSIHNCDKHPEVPLMEIKYCTEKFLQDSGLPHITIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIALRNEKVNGKLLTFAGPRAWTTQEVIALCERLAGQDANVTTVPVTVLRFTRQLTRFFEWTNDVADRLAFSEVLTSDTVFSAPMTETYDLLGVDAKDIVSLEKYLQDYFTNILKKLKDIKAQSKQTDIIF >KJB28099 pep chromosome:Graimondii2_0_v6:5:2466421:2472408:1 gene:B456_005G028200 transcript:KJB28099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKARTDDVDLLNKEKQKEKSHKKEKKEKREKKEKKEKDRSDGKHKDKKDKKEKHKDKDKKKEKSRDKEKERERSNNSEEKKFPGQPDGQNGEKTSDEKKFLEKPEGHSGEKFIQKEKGRDKDRSSFSSEKNFAGHISSFNREKIGQNSHPAQGFRDSKFVQELAGRVRDEGAGAASQLADKSMGTNRKRDEGMVGFVAKTAHKPAEEKEKSKRSDHRRFDVQGIKEETRAGGNAMVPNLAGAVKAKVEGIPKQVENNTEKRGEGKEKVKEKEGDNKTKDRRKDKDREKKSHGKDKDRDKAKEEKAKAKAKGEHRNLELDNLKGSNKDGPGGNINLKTSHPSKDGNKGVVAEENLRKRKDLEKNGFFHGEFYTMIVYTIPLIT >KJB28100 pep chromosome:Graimondii2_0_v6:5:2466327:2472491:1 gene:B456_005G028200 transcript:KJB28100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKARTDDVDLLNKEKQKEKSHKKEKKEKREKKEKKEKDRSDGKHKDKKDKKEKHKDKDKKKEKSRDKEKERERSNNSEEKKFPGQPDGQNGEKTSDEKKFLEKPEGHSGEKFIQKEKGRDKDRSSFSSEKNFAGHISSFNREKIGQNSHPAQGFRDSKFVQELAGRVRDEGAGAASQLADKSMGTNRKRDEGMVGFVAKTAHKPAEEKEKSKRSDHRRFDVQGIKEETRAGGNAMVPNLAGAVKAKVEGIPKQVENNTEKRGEGKEKVKEKEGDNKTKDRRKDKDREKKSHGKDKDRDKAKEEKAKAKAKGEHRNLELDNLKGSNKDGPGGNINLKTSHPSKDGNKGVVAEENLRKRKDLEKNGFFHVDDIKPNKLPKSSSSQPLTGNGRTLEPCQAPIPLTLDSKGAGTSLKVDNKERKVNGIIEAQLLSVSPPKQLSSSAQASQIDEVSIRPPHPDLKYLSQVLSVPKMEQWSDFDDQSWLFHSIESQSKKPKVGFSEIDEPPQVWAEALQIESADVCALPVAVNVFEQLTAILICHGNHHVGPSRHSTAGSVGCISASWYQATNSFQSTQTDESNRMTEGCLKRR >KJB28103 pep chromosome:Graimondii2_0_v6:5:2467764:2471219:1 gene:B456_005G028200 transcript:KJB28103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKARTDDVDLLNKEKQKEKSHKKEKKEKREKKEKKEKDRSDGKHKDKKDKKEKHKDKDKKKEKSRDKEKERERSNNSEEKKFPGQPDGQNGEKTSDEKKFLEKPEGHSGEKFIQKEKGRDKDRSSFSSEKNFAGHISSFNREKIGQNSHPAQGFRDSKFVQELAGRVRDEGAGAASQLADKSMGTNRKRDEGMVGFVAKTAHKPAEEKEKSKRSDHRRFDVQGIKEETRAGGNAMVPNLAGAVKAKVEGIPKQVENNTEKRGEGKEKVKEKEGDNKTKDRRKDKDREKKSHGKDKDRDKAKEEKAKAKAKGEHRNLELDNLKGSNKDGPGGNINLKTSHPSKDGNKGVVAEENLRKRKDLEKNGFFHGEFYTMIVYTIPLIT >KJB28102 pep chromosome:Graimondii2_0_v6:5:2466346:2472491:1 gene:B456_005G028200 transcript:KJB28102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKARTDDVDLLNKEKQKEKSHKKEKKEKREKKEKKEKDRSDGKHKDKKDKKEKHKDKDKKKEKSRDKEKERERSNNSEEKKFPGQPDGQNGEKTSDEKKFLEKPEGHSGEKFIQKEKGRDKDRSSFSSEKNFAGHISSFNREKIGQNSHPAQGFRDSKFVQELAGRVRDEGAGAASQLADKSMGTNRKRDEGMVGFVAKTAHKPAEEKEKSKRSDHRRFDVQGIKEETRAGGNAMVPNLAGAVKAKVEGIPKQVENNTEKRGEGKEKVKEKEGDNKTKDRRKDKDREKKSHGKDKDRDKAKEEKAKAKAKGEHRNLELDNLKGSNKDGPGGNINLKTSHPSKDGNKGVVAEENLRKRKDLEKNGFFHVDDIKPNKLPKSSSSQPLTGNGRTLEPCQAPIPLTLDSKGAGTSLKVDNKERKVNGIIEAQLLSVSPPKQLSSSAQASQIDEVSIRPPHPDLKYLSQVLSVPKMEQWSDFDDQSWLFHSIESQSKKPKVGFSEIDEPPQVWAEALQIESADVCALPYVIPY >KJB28101 pep chromosome:Graimondii2_0_v6:5:2466348:2472497:1 gene:B456_005G028200 transcript:KJB28101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKARTDDVDLLNKEKQKEKSHKKEKKEKREKKEKKEKDRSDGKHKDKKDKKEKHKDKDKKKEKSRDKEKERERSNNSEEKKFPGQPDGQNGEKTSDEKKFLEKPEGHSGEKFIQKEKGRDKDRSSFSSEKNFAGHISSFNREKIGQNSHPAQGFRDSKFVQELAGRVRDEGAGAASQLADKSMGTNRKRDEGMVGFVAKTAHKPAEEKEKSKRSDHRRFDVQGIKEETRAGGNAMVPNLAGAVKAKVEGIPKQVENNTEKRGEGKEKVKEKEGDNKTKDRRKDKDREKKSHGKDKDRDKAKEEKAKAKAKGEHRNLELDNLKGSNKDGPGGNINLKTSHPSKDGNKGVVAEENLRKRKDLEKNGFFHVDDIKPNKLPKSSSSQPLTGNGRTLEPCQAPIPLTLDSKGAGTSLKVDNKERKVNGIIEAQLLSVSPPKQLSSSAQASQIDEVSIRPPHPDLKYLSQVLSVPKMEQWSDFDDQSWLFHSIESQSKKPKVGFSEIDEPPQVWAEALQIESADVCALPYVIPY >KJB27703 pep chromosome:Graimondii2_0_v6:5:351029:352594:1 gene:B456_005G005500 transcript:KJB27703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPPGSTIHSIPTRLNSFIANTKVGKRFKLTERNTSFTTELRAGTATFLTMAYILAVNASILTDSGGSCGVTDCHNPSETCKFPPLDPGYAACLERTRKDLIVATVISSFIGCLIMGIFANLPLALAPGMGTNAYFAYTVVGYHGTGNLPYQSALTAIFIEGLLFFLVSAIGLRAKLAKLVPKPVRISSSTGIGLLLAFIGLQSNQGIGLVGFSPSTLVTLAACPRSSRKGLAPVVTAANSSLSFVDGGTVSSDVFCMNHRMESPTFWLGVVGFCIIAVCLVKNIKGAMIYGIVFVTVVSWFRNTSVTAFPNTDAGNSAYRYFRNIVDVHGIKTTAGALNFKGMNKGYFWEALITFLYVDILDTTATLYSMARFAGFVDETGDFEGQYFAFMSDAASIMVGSLLGTSPVTTFIESSTGIREGGRTGLTALTVAGYFLLAFFFTPLLASIPAWAVGPPLILVGVLMMRSAVEVEWEDMRQAIPAFVTMILMPLTYSIAYGLIGGIGTYIVLNIWDWPKTIW >KJB30706 pep chromosome:Graimondii2_0_v6:5:45630861:45632841:1 gene:B456_005G158500 transcript:KJB30706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTKKASFFPFLLLLLLVTISIILVTPSNSATDTFVFGGCSQLKFISGSPYEYNVNSILTSLVNSAMFTSYNNFTISASQDTVYGLFQCRGDLENGDCGRCVAKAVSQLGTLCLDSTGGGLQLEGCFVKYDNATFLGVEDKSVVVKRCGPLISTDSDALARRDTVLDFLGASDGTYKPFRVAESGDLRGVAQCVGDLSPTECQDCLSEAIGRLKTDCGASKWGDMYLAKCYARYSQGGDHSHGGDDNDDDEIAKTLAILIGLIAGIALIIVFVSALSKACEKDSKGG >KJB30705 pep chromosome:Graimondii2_0_v6:5:45630785:45632968:1 gene:B456_005G158500 transcript:KJB30705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTKKASFFPFLLLLLLVTISIILVTPSNSATDTFVFGGCSQLKFISGSPYEYNVNSILTSLVNSAMFTSYNNFTISASQDTVYGLFQCRGDLENGDCGRCVAKAVSQLGTLCLDSTGGGLQLEGCFVKYDNATFLGVEDKSVVVKRCGPLISTDSDALARRDTVLDFLGASDGTYKPFRVAESGDLRGVAQCVGDLSPTECQDCLSEAIGRLKTDCGASKWGDMYLAKCYARYSQGGDHSHGGDDTDNDDDEIAKTLAILIGLIAGIALIIVFVSALSKACEKDSKGG >KJB31711 pep chromosome:Graimondii2_0_v6:5:58220694:58222324:1 gene:B456_005G203900 transcript:KJB31711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQESGREKETIELDEARLQGQAEIWRYMFSFADSMALKSAVELRIADIIHSHGVAITLSQIASCINGSLTSPDITTLARIMRLLVRRKIFTVHHPSDGGDPLYDLTHSSRWLLHDSEQTLAPMILMENHPWLIAPWHCFSQCVKECGIAFKKAHGREIWDLASGNPEFNKLFNDGLACTSKVVTSAILSGYKQGLSSIESLVDVGGGIGGLISEIVKAYPHIKGVNFDLPHVVLAAPAYNGIFHVGGDMFHAIPNANAVIMKWVLHDWGDEDCIKILRNCRKAIPRGNGKVIIVEVVVKAEGSGVFDDLGFIFDLLMIAHSSGGKERTEVEWKKILEEGGFSRYKIIDIPALPSIIEAYPDDQ >KJB29044 pep chromosome:Graimondii2_0_v6:5:9750584:9754235:1 gene:B456_005G081200 transcript:KJB29044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGIGIILLFLNFVSSISGLNHEGLSLLSWLSTFNSSSAAAFFASWNPSHSNPCTWDYIKCNHGGFVTEITISNINLHTTFPTQIVSFQHLTALVLSRGNLSGEIPPSIGNLSSLVTLNLSFNAISGNIPAQIGMLSDLQSLSLNTNSLHGGIPREIGNCSKLQLLELFDNHLSGKIPTEIGQLVALEIFRAGGNSGIEGEIPTQISNCKRLAFLGLADTGISGQIPTSLGELKNLKTLSIYTSKLNGHIPPEIGNSSALEDLFLYGNQLSGEIPEEVGSLKNLKRVLLWQNQLSGRIPNSLGNCSSLVMIDISLNYLSGEVPSSLANLVALEELLLSGNNLSGEIPPFIGNFSSLKQLELDNNRFSGEIPATIGQLKELSQFFAWQNQLRGSIPTELANCEKLQHLDISHNFITGSVPSSLFNLKNLTQLLLLSNRLSGEIPQTLGNCTSLTRLRMGSNNFSGHIPSAIGHLHSLSYLELSENQFTGEIPPDIGNCTHLEMVDLRANKLQGTIPASFEFLADLNILDLSMNSITGTIPHNLGKLTSLNKMVISGNSITGFIPKSLGNCKDLQLLDISRNKIAGSIPEEIGLLQGLDILLNLSWNALTGPMPESFSNLSKLANLDLSHNMLTGSLMVLGGLDNLVSLDVSFNNFSGVLPDTKFFHNLPSTAFSGNQELCINQTECGSSGSPHSKRSTRNLIMRTLFSVTVTILVVIVAVYLFIRVHGAKHEDDFLDWHFTPFQKLNFSVNDILTSLSDSNIIGKGCSGVVYRVETTTKQVVAVKKLWPIKNGEFPERDLFSAEVQTLGSIRHKNIVRLLGCCDNGKTRLLLFDYISNGSLAGLLHEKNMFLDWDARYKIILGAAQGLAYLHHDCIPPIVHRDIKSNNILVGPQFEAFLADFGLAKLIDVSESPRASNIIAGSYGYIAPEYGYNMRITEKSDVYSFGVVLLEVLTGMEPTDNSIPDGVHIITWINTEVREKRKDYTTILDQMLLIRSSTQIQEMLQVLGVAFLCVNPCPEERPTMKDVTAMLKEIRNENDDSEKPNLLCKRITNNPEATVQCSSFSRSSEPLISSPS >KJB29494 pep chromosome:Graimondii2_0_v6:5:22135397:22137253:-1 gene:B456_005G114500 transcript:KJB29494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CPKLNISAFEFRPLNSSLLYSQHISISIALLFPYSSLPLSSVALTLALYQDSNMKGGKSKSDAKSAKLSVNKKSTTKAGKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKEHPKNKSVAAVGKAGGDKWKSLSEAEKRPYVDKAEKRKVEYEKNMKAYNKRQAEGPQEDEEESEKSVSEVNDEEEDDDEGSGDKMMSRKNPGRIA >KJB29491 pep chromosome:Graimondii2_0_v6:5:22135746:22136900:-1 gene:B456_005G114500 transcript:KJB29491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKSKSDAKSAKLSVNKKSTTKAGKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKEHPKNKSVAAVGKAGGDKWKSLSEAEKRPYVDKAEKRKVEYEKNMKAYNKRQAEGPQEDEEESEKSVSEVNDEEEDDDEGSGDEEDDE >KJB29495 pep chromosome:Graimondii2_0_v6:5:22135842:22137145:-1 gene:B456_005G114500 transcript:KJB29495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CPKLNISAFEFRPLNSSLLYSQHISISIALLFPYSSLPLSSVALTLALYQDSNMKGGKSKSDAKSAKLSVNKKSTTKAGKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKEHPKNKSVAAVGKAGGDKWKSLSEAEKRPYVDKAEKRKVEYEKNMKAYNKRQAEGPQEDEEESEKSVSEVNDEEEDDDEGSGDVSCN >KJB29493 pep chromosome:Graimondii2_0_v6:5:22135436:22137145:-1 gene:B456_005G114500 transcript:KJB29493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CPKLNISAFEFRPLNSSLLYSQHISISIALLFPYSSLPLSSVALTLALYQDSNMKGGKSKSDAKSAKLSVNKKSTTKAGKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKEHPKNKSVAAVGKAGGDKWKSLSEAEKRPYVDKAEKRKVEYEKNMKAYNKRQAEGPQEDEEESEKSVSEVNDEEEDDDEGSGDVKDDE >KJB29492 pep chromosome:Graimondii2_0_v6:5:22135397:22137146:-1 gene:B456_005G114500 transcript:KJB29492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKSKSDAKSAKLSVNKKSTTKAGKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKEHPKNKSVAAVGKAGGDKWKSLSEAEKRPYVDKAEKRKVEYEKNMKAYNKRQAEGPQEDEEESEKSVSEVNDEEEDDDEGSGDEEDDE >KJB27776 pep chromosome:Graimondii2_0_v6:5:634079:638134:1 gene:B456_005G009100 transcript:KJB27776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAQINVLGGIGFASSRKPNYHSPRTVFLGQRLGKPSPLNAAFLRLAKTNGKRYNVGPVRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKTGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYKVVRDDNGNVKLECPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLADSFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQANISLPFITATADGPKHIETTITRVKFEELCSDLLDRLKTPVENSLRDAKLSFKDIDEVILVGGSTRIPAVQELVRKMTGKEPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVSPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPNDEVLIEW >KJB27775 pep chromosome:Graimondii2_0_v6:5:634044:638162:1 gene:B456_005G009100 transcript:KJB27775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAQINVLGGIGFASSRKPNYHSPRTVFLGQRLGKPSPLNAAFLRLAKTNGKRYNVGPVRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKTGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYKVVRDDNGNVKLECPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLADSFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQANISLPFITATADGPKHIETTITRVKFEELCSDLLDRLKTPVENSLRDAKLSFKDIDEVILVGGSTRIPAVQELVRKMTGKEPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVSPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPNDEVDRMVKEAEKFSKEDKERRDAIDTKNQADSVVYQTEKQLKELGDKVPGPVKEKVEAKLQELKDAIAGDSTQGMKDAMAALNQEVMQLGQSLYNQPGAGGSSAGPAPGGETGPSDSSNKGPEGDVIDADFTDSK >KJB31715 pep chromosome:Graimondii2_0_v6:5:58336849:58340203:1 gene:B456_005G204100 transcript:KJB31715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSVSTSSRSTCSSGSRGEAVSPLCFEIGFCGTKRTKRTFSDHVITLQNLPSVPNRIFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDVTFCGVFDGHGPQGHLVARKVRDALPLKLLSSMHSYQSRQNGSGGACLKGNLKKSDGSPEDRLNCLWKETFMKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGPNLFMGYIGDSRAIMGSKDSNGSMVAIQLTVDLKPDLPREAERIKKCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTERDQFIVLASDGVWDVLSNEEVVEIVSLAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDTESDYEEQGLSSATVQSNHSGNAIETDDGQKTELYLPRNFTVRSSEESDSYGRVAAEFEGNVEAVSTEDQNWSGLEGVTRVNSLVQLPRFSEERPNP >KJB31714 pep chromosome:Graimondii2_0_v6:5:58336456:58340304:1 gene:B456_005G204100 transcript:KJB31714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSVSTSSRSTCSSGSRGEAVSPLCFEIGFCGTKRTKRTFSDHVITLQNLPSVPNRIFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDVTFCGVFDGHGPQGHLVARKVRDALPLKLLSSMHSYQSRQNGSGGACLKGNLKKSDGSPEDRLNCLWKETFMKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGPNLFMGYIGDSRAIMGSKDSNGSMVAIQLTVDLKPDLPREAERIKKCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTERDQFIVLASDGVWDVLSNEEVVEIVSLAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDTESDYEEQGLSSATVQSNHSGNAIETDDGQKTELYLPRNFTVRSSEESDSYGRVAAEFEGNVEAVSTEDQNWSGLEGVTRVNSLVQLPRFSEERPNP >KJB31713 pep chromosome:Graimondii2_0_v6:5:58336456:58340304:1 gene:B456_005G204100 transcript:KJB31713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSVSTSSRSTCSSGSRGEAVSPLCFEIGFCGTKRTKRTFSDHVITLQNLPSVPNRIFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDVTFCGVFDGHGPQGHLVARKVRDALPLKLLSSMHSYQSRQNGSGGACLKGNLKKSDGSPEDRLNCLWKETFMKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGPNLFMGYIGDSRAIMGSKDSNGSMVAIQLTVDLKPDLPREAERIKKCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTERDQFIVLASDGVWDVLSNEEVVEIVSLAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDTESDYEEQGLSSATVQSNHSGNAIETDDGQKTELYLPRNFTVRSSEESDSYGRVAAEFEGNVEAVSTEDQNWSGLEGVTRVNSLVQLPRFSEERPNP >KJB31716 pep chromosome:Graimondii2_0_v6:5:58336456:58340304:1 gene:B456_005G204100 transcript:KJB31716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSVSTSSRSTCSSGSRGEAVSPLCFEIGFCGTKRTKRTFSDHVITLQNLPSVPNRIFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDVTFCGVFDGHGPQGHLVARKVRDALPLKLLSSMHSYQSRQNGSGGACLKGNLKKSDGSPEDRLNCLWKETFMKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGPNLFMGYIGDSRAIMGSKDSNGSMVAIQLTVDLKPDLPREAERIKKCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTERDQFIVLASDGVWDVLSNEEVVEIVSLAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDTESDYEEQGLSSATVQSNHSGNAIETDDGQKTELYLPRNFTVRSSEESDSYGRVAAEFEGNVEAVSTEDQNWSGLEGVTRVNSLVQLPRFSEERPNP >KJB29094 pep chromosome:Graimondii2_0_v6:5:10315138:10318131:1 gene:B456_005G083800 transcript:KJB29094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKSNMADPSNRLSSWKGQNCCSWYGINCSDSFHVTAIDLRNPKPDNLILDMNSQLVSTSDVPSTALTGSIPPSLFSLTHLRYLDLSFNNFSFSKVPLGFSNLTGLTYLNLSNVMFNGSITTQFSNLTFLMELDLSISGEVPVEQLLNLTKLSELYMDYNFLASKIPNLTRSYLQDHIPYLPQVKTLHVGNNVDVMVDLHSMFSVPWPRLESIDISSTHVIGSIPSSIANITSLVDFIAYNSLIQGRIPASMMNLSRLEMLRLDMNNISGEISPSISNLKSLQVLSLLQNSFHGLIPDTICSISSLWCLLLAGNSFTGNIPNCIGQLNDLSHLEVSSNKMNGSIPSLSSFFRNSTPYLLVLGFSGLTVKVDQQPFPPRFQPQILSLDSCNIGGKIPDFISNLTKLVYLSLSNNSLSGTIPSWLFNLPNLGYLDLSVNRLQGVIPPSIKLKSFFMQTTLKLRNNLLQGLIPQQLENIRALDLSANNFTGNVPAEVGLGNIRYLALSDNKLSGRIPFSLCQENCELMLLDLSNNNLFGTIPTSFGNCSPLVYLNLGSNNLTDGIPEELQGAKRLRFLNVSGNHFDGPFPSVVRRLERISVIDMGNNKFSGKIPEFIGDLKDLRILLLEFNSFNGSIPEEINALENMQFIGLSNNQLSGPIPEKLSGLKTIINRPKDGNLLGFIISQLYIGVQVNLVAKGLSMQFDVVRTYNNGLDLSCNNLTGNLPSELGHLQGLYALNLSHNRLSGNIPTAIGNMSLLESLDLSYNNLSGEIPVSLALLDPLSTLNLAHNNLSGEIPTSPHFDTLSRDGLAYIGNKFLCGAPDGIHCDSEDFPTPESSESEHSEEQCGEWKMVLALAFAG >KJB28571 pep chromosome:Graimondii2_0_v6:5:5709869:5713481:1 gene:B456_005G056200 transcript:KJB28571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEESDGSTSTFDLPDEILRVLPSDPFQQLDVARKLTSIALSARISLLEAETSSLQSKLAEKDQQIADLYAQIEALGASLSQTSDKLAKADEEKGSLLKDNASLTSTLKKLQRDVSKLEVFRKTLMQSLQEDEESSAGGPRIVAKPTPSDDDVTFPSGSSFICSQYSSTGNSFAEDHEADASCPGIPQLLASQTSTPRLTPPGSPPSVSGSASPTRTSMPVSPRKHSVSFSTSRGMFDDRPSMSSSDSGSQIGRTRVDGKEFFRQARSRLSYEQFGAFLANVKDLNSHKQTKEETLRKANEIFGPDNRDLYAIFEGLINRNLH >KJB28570 pep chromosome:Graimondii2_0_v6:5:5709869:5713369:1 gene:B456_005G056200 transcript:KJB28570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEESDGSTSTFDLPDEILRVLPSDPFQQLDVARKLTSIALSARISLLEAETSSLQSKLAEKDQQIADLYAQIEALGASLSQTSDKLAKADEEKGSLLKDNASLTSTLKKLQRDVSKLEVFRKTLMQSLQEDEERGPRIVAKPTPSDDDVTFPSGSSFICSQYSSTGNSFAEDHEADASCPGIPQLLASQTSTPRLTPPGSPPSVSGSASPTRTSMPVSPRKHSVSFSTSRGMFDDRPSMSSSDSGSQIGRTRVDGKEFFRQARSRLSYEQFGAFLANVKDLNSHKQTKEETLRKANEIFGPDNRDLYAIFEGLINRNLH >KJB28569 pep chromosome:Graimondii2_0_v6:5:5709869:5713369:1 gene:B456_005G056200 transcript:KJB28569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEESDGSTSTFDLPDEILRVLPSDPFQQLDVARKLTSIALSARISLLEAETSSLQSKLAEKDQQIADLYAQIEALGASLSQTSDKLAKADEEKGSLLKDNASLTSTLKKLQRDVSKLEVFRKTLMQSLQEDEESSAGGPRIVAKPTPSGSSFICSQYSSTGNSFAEDHEADASCPGIPQLLASQTSTPRLTPPGSPPSVSGSASPTRTSMPVSPRKHSVSFSTSRGMFDDRPSMSSSDSGSQIGRTRVDGKEFFRQARSRLSYEQFGAFLANVKDLNSHKQTKEETLRKANEIFGPDNRDLYAIFEGLINRNLH >KJB29859 pep chromosome:Graimondii2_0_v6:5:31480918:31498233:-1 gene:B456_005G129600 transcript:KJB29859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHNGHRPFRPPGGHPPSQPPFEPSNPNFALQGPNVLQFLQALITPVQPNFALQQSPNNFFFQNSNAYSLPQNSIIPQPQPQQVNNPSSSQSQLHNHRGRAASFQVPKEVQERANQAVNQAWRELIATGNSVTAWKVSEAALVALQADSWSSFGLDMQGIPSLQRLMAIEGRVNAFIQCFAGVRRITTLYELELAICENEGVRTYEKLELGPLLRHPLILRYFSVKNCTEVFKITSEDIIAHIHEFIDGHKKQEILIDEFLEFVADKQAATSKEKLGVRIRSLAMYTGFIKKAEGKRDFEVKKCQKGLKLRKHCKGLKLKERYMNISQQVESFMSVHKDFCGKHIRFDSSSTEEEDASDSAHEHERNDNDEGSDSELPSEVISSSDRVSSCPYPSAAEELIRLGLKDRMPKPSPATASSKRNDCTGPYKRKRKIDSPSPSISRPPKLSRRDGLKQATIPNENGNQSKDLSSLDEADILLSNNLMKTFITTWKEACREHTMEEVLQRMLCFYSSTAQKRKKMKSMLSSYPFIGLLNVAVTSIKKGMWDSMYDTIQGVRKLELTTTSDNCSEYESIDVEPSEKDALIPTSIDCVTVEDVIKKINAYFKHNQEIGKSLKEQKLVLLRKLFNCESWLAEQFYVKDFKSLGLGEFFMFLERHASLLPIELHKLLAAEICEKSPLEVCILQHLLIAFISQASYNLQDNQIITKEVISKLLMKQCPLFNFKVKENGSMEDFLEFVEKSKNDISSKCVIFSASLLGMCHDGHSLAYDENHSSETSVQNLRKVKSAASKDAMAVLLRAPMLSDLYSWSHWDVLFAPSLGSLILWLFNEVRAKELLCLVTKDGKVVRIDQSATIDSFLEAALKGSAFETALMLLSLCSITGGIKHLPLALLKHHAQMAFEVLLKNQMENIEADDYQNSIMNGKAPFRPKFREDVSVGNSANGLLINLIEMKKTASHASRFFLDCLCYLPSEFHGCAADILLHGMRAVIKNCPSAILSACNELNQRVMLHELGFSLGIVEWIQDYHVFCSTDMSNLFLSSEGLGMKTSMSELKTRSNFLQNAIDRPSYAEKEMIVSDRTDKKAAVCDTISCEEVSAERMGYKNRERSSEVDEQTDAALVIESIRQDEFGLDPSLSSVESSLLKKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNVYSENEEPTLAFIVQESGIIVLNNEQGFSAQNIRALCDVGSSTKKGCSGYIGKKGIGFKSVFRVTDAPEIHSNGFHVKFDISDGQIGFVLPTLVPPCNVDSFKMLLGGDTSQLVNKCWNTCIVLPFRSVTSKGNDMNNIVSMFSDLNPSLLLFLHRLQCIVFRNMLNDSFVIMRKEIVGNGIVKVSCGVENMTWFVASQKLQADFIHRDVQITEISIAFTLLETDCGCYRPFLDQQPVFAFLPLRTYGLKFILQGDFVLPSSREEVDVDSPWNQWLLSEYPSLFVSAERSFCSLPCFRENPGKAVSVYMSFVPLVGEVHGFFSSLPRMIISKLRMSNCLILEGDKSKWVPSCKVLRGWTESARKLFPDSLLHDHLGLGYLDKDIILSDALARALGIQDYGPEVLVQIISSLCKRGNGLKPMGLAWISSWLNEFYAISFQSSGQASMNCEIETVLVETLRKTPFIPLSDGTFTSVDEGTIWLHSDVINTVFEGDLGLEAFPTLYAKLRFVSPALFSETAVSISCIGNITSVLHNIGVQQLSAHEIIKVHILPDMSDERVKTSDKNLMIDYLCFVMIHLQSSCPSCRVERDYIISELRNKAFILTNYGFKRPVDVSVHFSKEFENPVNINRLINGVDLKWHEVDKTYLKHPASRLLSSGLKKWREFFLEIGVTDFVQVVQLDKSFVDMSHTVLQSLSSDWDLISHGSVVKDWESYELVQLLSLLSGSGNREGCKYLLEVLDEFWDVHFSEKAIGCCNFKSGTDIKTFRSSFLCKICEIPWVVSSMDDKLHYPKELFHDCDAVHAILGACAPYAVPKVRNGKLVKDIGFRTEVTIDDALKILKLWRSNSSFKASVAQMSRLYTFIWSEVRNSRKEIAEEFHAASSIFVPYKLASRPDDVVSGLFLSSKEVYWHDSTGAMDETYNHSQSGSSENQCPLNRTLSNIYPGLRDFFVNECKVPEKPSFCNYLDILLQLSAVTLPSQAAHAVFQVFLKWADALKSGLLSTEDIHHMKECLNKSEYTVLPTVLDKWVSLHPSFGLVCWCDDEKLKKRFKHLDNIDFLYFGTLNDIERELLQTKVSILIRTFGIPVLSEVVTREAIYSKRADGRFKASLVNWALPFAQRYLYSVHLDKYIQLKQSGFSNISDLQIVVVDELYYRNVIKSCGIVSKKKFQCTCLLQDNILYTTPESDSHAVYMELSCLLFDGAPDLHLANFLHMVTTMAISGSNEEQTEFFILNSQRMPKLPNEEPVWSLSSVTSEAESNEFLESSATTEEKEQPTSKSKKISGIYSNWPPVDWKTAPGLSKRPAPISQPINGSKHIDSHTSSDGPVAIDTDMFMEDNTETTSPVPILPDSESLDHQYGNTTNPTGSGVRTAFGTVDSGVRIAFDPVDLGLVSENPQVVSLEFTKRNQLNTGFVSSEFSQRDQLLTGTPNTAQALLTGKLGELAAFKYFTGTSGKKVKWVNKDNETGLPYDLVVEDERGNIEYVEVKATKSARKDWFNISTREWQFAAEKGDSFSIAHVFLLSEKDAKIAVYTNPIKLCQLGKLQLVVLMPRQRKDSTIVS >KJB29860 pep chromosome:Graimondii2_0_v6:5:31479566:31498233:-1 gene:B456_005G129600 transcript:KJB29860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHNGHRPFRPPGGHPPSQPPFEPSNPNFALQGPNVLQFLQALITPVQPNFALQQSPNNFFFQNSNAYSLPQNSIIPQPQPQQVNNPSSSQSQLHNHRGRAASFQVPKEVQERANQAVNQAWRELIATGNSVTAWKVSEAALVALQADSWSSFGLDMQGIPSLQRLMAIEGRVNAFIQCFAGVRRITTLYELELAICENEGVRTYEKLELGPLLRHPLILRYFSVKNCTEVFKITSEDIIAHIHEFIDGHKKQEILIDEFLEFVADKQAATSKEKLGVRIRSLAMYTGFIKKAEGKRDFEVKKCQKGLKLRKHCKGLKLKERYMNISQQVESFMSVHKDFCGKHIRFDSSSTEEEDASDSAHEHERNDNDEGSDSELPSEVISSSDRVSSCPYPSAAEELIRLGLKDRMPKPSPATASSKRNDCTGPYKRKRKIDSPSPSISRPPKLSRRDGLKQATIPNENGNQSKDLSSLDEADILLSNNLMKTFITTWKEACREHTMEEVLQRMLCFYSSTAQKRKKMKSMLSSYPFIGLLNVAVTSIKKGMWDSMYDTIQGVRKLELTTTSDNCSEYESIDVEPSEKDALIPTSIDCVTVEDVIKKINAYFKHNQEIGKSLKEQKLVLLRKLFNCESWLAEQFYVKDFKSLGLGEFFMFLERHASLLPIELHKLLAAEICEKSPLEVCILQHLLIAFISQASYNLQDNQIITKEVISKLLMKQCPLFNFKVKENGSMEDFLEFVEKSKNDISSKCVIFSASLLGMCHDGHSLAYDENHSSETSVQNLRKVKSAASKDAMAVLLRAPMLSDLYSWSHWDVLFAPSLGSLILWLFNEVRAKELLCLVTKDGKVVRIDQSATIDSFLEAALKGSAFETALMLLSLCSITGGIKHLPLALLKHHAQMAFEVLLKNQMENIEADDYQNSIMNGKAPFRPKFREDVSVGNSANGLLINLIEMKKTASHASRFFLDCLCYLPSEFHGCAADILLHGMRAVIKNCPSAILSACNELNQRVMLHELGFSLGIVEWIQDYHVFCSTDMSNLFLSSEGLGMKTSMSELKTRSNFLQNAIDRPSYAEKEMIVSDRTDKKAAVCDTISCEEVSAERMGYKNRERSSEVDEQTDAALVIESIRQDEFGLDPSLSSVESSLLKKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNVYSENEEPTLAFIVQESGIIVLNNEQGFSAQNIRALCDVGSSTKKGCSGYIGKKGIGFKSVFRVTDAPEIHSNGFHVKFDISDGQIGFVLPTLVPPCNVDSFKMLLGGDTSQLVNKCWNTCIVLPFRSVTSKGNDMNNIVSMFSDLNPSLLLFLHRLQCIVFRNMLNDSFVIMRKEIVGNGIVKVSCGVENMTWFVASQKLQADFIHRDVQITEISIAFTLLETDCGCYRPFLDQQPVFAFLPLRTYGLKFILQGDFVLPSSREEVDVDSPWNQWLLSEYPSLFVSAERSFCSLPCFRENPGKAVSVYMSFVPLVGEVHGFFSSLPRMIISKLRMSNCLILEGDKSKWVPSCKVLRGWTESARKLFPDSLLHDHLGLGYLDKDIILSDALARALGIQDYGPEVLVQIISSLCKRGNGLKPMGLAWISSWLNEFYAISFQSSGQASMNCEIETVLVETLRKTPFIPLSDGTFTSVDEGTIWLHSDVINTVFEGDLGLEAFPTLYAKLRFVSPALFSETAVSISCIGNITSVLHNIGVQQLSAHEIIKVHILPDMSDERVKTSDKNLMIDYLCFVMIHLQSSCPSCRVERDYIISELRNKAFILTNYGFKRPVDVSVHFSKEFENPVNINRLINGVDLKWHEVDKTYLKHPASRLLSSGLKKWREFFLEIGVTDFVQVVQLDKSFVDMSHTVLQSLSSDWDLISHGSVVKDWESYELVQLLSLLSGSGNREGCKYLLEVLDEFWDVHFSEKAIGCCNFKSGTDIKTFRSSFLCKICEIPWVVSSMDDKLHYPKELFHDCDAVHAILGACAPYAVPKVRNGKLVKDIGFRTEVTIDDALKILKLWRSNSSFKASVAQMSRLYTFIWSEVRNSRKEIAEEFHAASSIFVPYKLASRPDDVVSGLFLSSKEVYWHDSTGAMDETYNHSQSGSSENQCPLNRTLSNIYPGLRDFFVNECKVPEKPSFCNYLDILLQLSAVTLPSQAAHAVFQVFLKWADALKSGLLSTEDIHHMKECLNKSEYTVLPTVLDKWVSLHPSFGLVCWCDDEKLKKRFKHLDNIDFLYFGTLNDIERELLQTKVSILIRTFGIPVLSEVVTREAIYSKRADGRFKASLVNWALPFAQRYLYSVHLDKYIQLKQSGFSNISDLQIVVVDELYYRNVIKSCGIVSKKKFQCTCLLQDNILYTTPESDSHAVYMELSCLLFDGAPDLHLANFLHMVTTMAISGSNEEQTEFFILNSQRMPKLPNEEPVWSLSSVTSEAESNEFLESSATTEEKEQPTSKSKKISGIYSNWPPVDWKTAPGLSKRPAPISQPINGSKHIDSHTSSDGPVAIDTDMFMEDNTETTSPVPILPDSESLDHQYGNTTNPTGSGVRTAFGTVDSGVRIAFDPVDLGLVSENPQVVSLEFTKRNQLNTGFVSSEFSQRDQLLTGTPNTAQALLTGKLGELAAFKYFTGTSGKKVKWVNKDNETGLPYDLVVEDERGNIEYVEVKATKSARKDWFNISTREWQFAAEKGDSFSIAHVFLLSEKDAKIAVYTNPIKLCQLGKLQLVVLMPRQRKDSTIVS >KJB30796 pep chromosome:Graimondii2_0_v6:5:46180262:46180926:1 gene:B456_005G160500 transcript:KJB30796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPTNNSFGRATKKVQRREEDSPDEGGENHGKYFGSKVSWEEDRLQCVVEQSVLHIQLMDIENDYYLATFESIEDYAMFFSKGTWVVFGQYLMVQPWSPQFTPLEPFPHNFVAWMRILGLLGTLHKKSILKEIGEMIGIVIKIDLQIDKGSRG >KJB28782 pep chromosome:Graimondii2_0_v6:5:7416026:7418584:-1 gene:B456_005G069200 transcript:KJB28782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAQQFTGLRCPPLSSSRFSVKPKQTQKVGAFASPIVSAVAVSNAQTKDRLELKKMFEDAYERCRTAPMEGVAFTVEDFQNALEKYDFDSELGTKVWGTVFCTDGNGALVDITAKSSAYLPVQEASIHKIKHVEEVGIVPGLREEFMIIGENEADDSLILSLRSIQYELAWERCRQLQAEDVVVKGKVVGANKGGVVALVEGLRGFVPFSQISSKSTAEELLDKELPLKFVEVDEEQSRLVFSNRKAMADSQAQLGIGSVVLGTVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPTLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSDGILGPLASDLPAEGLDLSDVPEAEEV >KJB28781 pep chromosome:Graimondii2_0_v6:5:7415974:7418670:-1 gene:B456_005G069200 transcript:KJB28781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAQQFTGLRCPPLSSSRFSVKPKQTQKVGAFASPIVSAVAVSNAQTKDRLELKKMFEDAYERCRTAPMEGVAFTVEDFQNALEKYDFDSELGTKVKGTVFCTDGNGALVDITAKSSAYLPVQEASIHKIKHVEEVGIVPGLREEFMIIGENEADDSLILSLRSIQYELAWERCRQLQAEDVVVKGKVVGANKGGVVALVEGLRGFVPFSQISSKSTAEELLDKELPLKFVEVDEEQSRLVFSNRKAMADSQAQLGIGSVVLGTVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPTLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSDGILGPLASDLPAEGLDLSDVPEAEEV >KJB28783 pep chromosome:Graimondii2_0_v6:5:7416026:7418584:-1 gene:B456_005G069200 transcript:KJB28783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAQQFTGLRCPPLSSSRFSVKPKQTQKVGAFASPIVSAVAVSNAQTKDRLELKKMFEDAYERCRTAPMEGVAFTVEDFQNALEKYDFDSELGTKVKGTVFCTDGNGALVDITAKSSAYLPVQEASIHKIKHVEEVGIVPGLREEFMIIGENEADDSLILSLRSIQYELAWERCRQLQAEDVVVKGKVVGANKGGVVALVEGLRGFVPFSQISSKSTAEELLDKELPLKFVEVDEEQSRLVFSNRKAMADSQAQLGIGSVVLGTVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPTLVFEKVAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSDGILGPLASDLPAEGLDLSDVPEAEEV >KJB29141 pep chromosome:Graimondii2_0_v6:5:10986050:10988416:1 gene:B456_005G086400 transcript:KJB29141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLALRILQLILFSCLLAAGASVASQALPGCKHECGNLSIPYPFCMTPGCNLHEDFLITCNTSVSPPRPQLLDGNLEVTNITLDGQVEILNYVAKDCYNHNGTNADWNFPQLRAAMFTISNDRNNFIAVGCDTKVQIWDEREHNNSTYFTGSMAYCEKTDALHLNGSYSGVGCCQVSIPSGLKNLNMSVLSYYNHTTTIHVQSKFSFSDKSFGELANKEFLPMALDWAIGNEPCNVSEHKPDYACKQNSICYNPENRSGYLCKCKDGYHGNPYHHDGFYGDGEKDRKGCMRNQANVIKISIDFSLCVLVVVVGSSWLFFINKKRKLLNMKKFFKQNVSTETVKIFTAEALKNATKNYDESQIIGKGGFGTVYKGILKNGTEVAIKKSKVVDQNQIKQFIDEVIILTQINHRNVVKLLGCCLETEVPLLVYKFVSNGTLSEHIHCEDKASSISWAIRLRIATESAQVLSYFHFAASIPIIHRDVKPTNILSGRQLYCKSFGLRSFTVSSDGSNSVINNGPEEERNLANHFVLWLKNDRFFEILDDKVAKEGVVEQIKEVAKLAKKCLNVKGEERPSMKEVAQVLEDVRRLRCEHPWAEVAVNLEETEFLLGET >KJB28163 pep chromosome:Graimondii2_0_v6:5:2789969:2793656:-1 gene:B456_005G031300 transcript:KJB28163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFPSTKPILTITVQMKSLRLLFERNSSPAKTTSSSSKFKRPKPSSISNGSDSSQSSSSQDPLKTHFSSLIKSTETTLQLRQIHAQILRRHLSSSANLTTLLISVSSSLKSIPYALSIFNNSHHKSLFLFNALIRGLTENSHFQSSVSHFLLMLRHRVRPDKLTYPFVLKSVAGLGLRFLGLILHGRIIKSGVEFDSFVRVSLVEMYVKLEEMGFALQVFDESPERNKSESILLWNVLINGCCRVGDLEKATELFEAMPERNIGSWNSFINGLMKNGDLNKAMQLFDEMKEKDVVSWTTIVNGLSQNGDHQKALSMFFKMLEVGLRPNDLTLVSALSACAKIGALEAGVRIHNYFVENGLRLNKATAAALVDMYAKCGNILSASKVFEETKEKDIRTWSVMIWGWATHGFYGQAIRCFKKMMFSGIKPDAVVFLALLTACSHSGQVDLGLNFFDSMRFDYSIEPTMKHYTLVVDLLGRAGRLDEAMKFIQRMPISPDFVAWGALFCACRAHKNIKMAELVSEKLLQLEPKHPGSYVFLSNVYAAVGRWEDVERVRMLMQNQAVGKDPGWSYIEVNGQVHSFVAGDHDHKRAREIYLKLEEIVSGAREQGYMPETGWVLHNIEEEEKEDALGSHSEKLALAFALMNTSPGTTIRIVKNLRVCGDCHSLMKCASKMSQREIILRDIKRFHHFKYGVCSCGDYW >KJB31461 pep chromosome:Graimondii2_0_v6:5:56204482:56204703:1 gene:B456_005G192700 transcript:KJB31461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTWLICFALISMVVVQVDAVARSRVTYIHPGILDPCKRPGELHSGCHPNPKSVPTQANTYNRGCSRHHRCRQ >KJB28125 pep chromosome:Graimondii2_0_v6:5:2797169:2803365:1 gene:B456_005G031400 transcript:KJB28125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENREQGRDKTLITDPKKINAAHENVQLIEWEDFDNELARLWSLTSALKEANEKKQSLQEKLQSLIQVKTESLNKLNELEEMNERLEARKVVMANMSTRCKVATEHAKKQEEMLSTEVRSLLVAGTSLSVARKRLQEANRLLTEERGCVKLKNVQRMLRARQQYMISQVSLLYPVKILVGPAQEQELESYSSSSRLGNPSASKPVNQGSLTILGLNLTLLPFTKMSFFTDKKEVQRSATALGYVAHQM >KJB28124 pep chromosome:Graimondii2_0_v6:5:2797169:2801814:1 gene:B456_005G031400 transcript:KJB28124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENREQGRDKTLITDPKKINAAHENVQLIEWEDFDNELARLWSLTSALKEANEKKQSLQEKLQSLIQVKTESLNKLNELEEMNERLEARKVVMANMSTRCKVATEHAKKQEEMLSTEVRSLLVAGTSLSVARKRLQEANRLLTEERGCVKLKNVQRMLRARQQYMISQVSLLYPVKILVGPAQEQELESYSSSSRLGNPSASKPVNQGSLTILGLNLTLLPFTKMSFFTDKKEVQRSATALGYVAHAVSLIASYLQVPLRYPLRLGGSRSYINDHASSIDPASSDLSLDTTLSANVKPAEFPLFLEGQDTTRAAYAVFLLNKVSFCILVILFPR >KJB28123 pep chromosome:Graimondii2_0_v6:5:2797169:2801814:1 gene:B456_005G031400 transcript:KJB28123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENREQGRDKTLITDPKKINAAHENVQLIEWEDFDNELARLWSLTSALKEANEKKQSLQEKLQSLIQVKTESLNKLNELEEMNERLEARKVVMANMSTRCKVATEHAKKQEEMLSTEVRSLLVAGTSLSVARKRLQEANRLLTEERGCVKLKNVQRMLRARQQYMISQVSLLYPVKILVGPAQEQELESYSSSSRLGNPSASKPVNQGSLTILGCFTDCILFTSSLALSSALGWFSLIY >KJB28122 pep chromosome:Graimondii2_0_v6:5:2796997:2803604:1 gene:B456_005G031400 transcript:KJB28122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENREQGRDKTLITDPKKINAAHENVQLIEWEDFDNELARLWSLTSALKEANEKKQSLQEKLQSLIQVKTESLNKLNELEEMNERLEARKVVMANMSTRCKVATEHAKKQEEMLSTEVRSLLVAGTSLSVARKRLQEANRLLTEERGCVKLKNVQRMLRARQQYMISQVSLLYPVKILVGPAQEQELESYSSSSRLGNPSASKPVNQGSLTILGLNLTLLPFTKMSFFTDKKEVQRSATALGYVAHAVSLIASYLQVPLRYPLRLGGSRSYINDHASSIDPASSDLSLDTTLSANVKPAEFPLFLEGQDTTRAAYAVFLLNKDMEQLLNFIGVKSLGPRHVLANLKELLRCVQSSEYIDT >KJB29224 pep chromosome:Graimondii2_0_v6:5:12081321:12082820:-1 gene:B456_005G090000 transcript:KJB29224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSFFRQLSGKQGWKSKSLRWGVNNKNNICGGCETSLKAHQMEGLDMYGNGGAGSGDDGLVLRKRVMVLVDKSSHSRHAMMWALTHVANKGDLLTLLHVIPPTPNCSHSPSSFTYLANSLGSLCKACKPEVEVEALIIQGPKLWTVKSQVKKLGVSVLVVGQRRQSPLLTLCLGGSRQNDEFVEQCIKTIDRHCLTIGVRRQSNGLGGYLITTRWLNNFWLLA >KJB29223 pep chromosome:Graimondii2_0_v6:5:12081183:12082873:-1 gene:B456_005G090000 transcript:KJB29223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSFFRQLSGKQGWKSKSLRWGVNNKNNICGGCETSLKAHQMEGLDMYGNGGAGSGDDGLVLRKRVMVLVDKSSHSRHAMMWALTHVANKGDLLTLLHVIPPTPNCSHSPSSFTYLANSLGSLCKACKPEVEVEALIIQGPKLWTVKSQVKKLGVSVLVVGQRRQSPLLTLCLGGSRQNDEFVEQCIKTIDRHCLTIGVRRQSNGLGGYLITTRWLNNFWLLA >KJB29225 pep chromosome:Graimondii2_0_v6:5:12081328:12082820:-1 gene:B456_005G090000 transcript:KJB29225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSFFRQLSGKQGWKSKSLRWGVNNKNNICGGCETSLKAHQMEGLDMYGNGGAGSGDDGLVLRKRVMVLVDKSSHSRHAMMWALTHVANKGDLLTLLHVIPPTPNCSHSPSSFTYLANSLGSLCKACKPEVEVEALIIQGPKLWTVKSQVKKLGVSVLVVGQRRQSPLLTLWWVFINPCFEKYTFLHLTLIFHGWVCSLGGSRQNDEFVEQCIKTIDRHCLTIGVRRQSNGLGGYLITTRWLNNFWLLA >KJB29729 pep chromosome:Graimondii2_0_v6:5:22867029:22869640:-1 gene:B456_005G116200 transcript:KJB29729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVSDFPRHKLPLLLNGIVFPPGRKKLEEKEIKWLNFPLLKMDLWTVHVKNANTCNPAAGLAGALLLKGNSIPERPNSLMIGKLGILDIRVSRRWLSSSAARSFTVKAMTKKNNDDNSSSSSGNGDQSIPNEESSGRNNSPDGNKSYDSASQNSHYTDTDWREFRAVLYKNYQVEKTESGAHKQGETPHVLKPLGKKWAHPLSVPETGCVLVATEKLDGVRTFERTVVVLLRSGTRHPQEGPFGVVINRPVHKKIKHMKPTNNELATTFAECALHFGGPLEASMFLLRVGKKLKFPGFEEVIPGLCFGARNSLDKAAELVKRGVLKSQDFKFFVGYAGWQLDQLIEEIESEYWYVAACSPNLIFGDTLDSSSESLWMEILQEMGGHYSELSRKPKQDI >KJB29299 pep chromosome:Graimondii2_0_v6:5:12807020:12813656:1 gene:B456_005G092800 transcript:KJB29299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SDQTSNNTVNEQEEHYENIPQRFDNWTLPRVPTNQVYKKTTFENLNVFCSYVIKTKERSLPIQKQYETIQLLDRVVINKLKEQKYKYIHFGLVQKLEGATDLVAPTLIRNTSLSEISQHQDGTVELIFNRTQRMPPRYSFKIGSTSTTFRRLNLEEESNPETLTADFRIARVSVSSIPTTFRTNLQGIDNSSNITQPIYARQEESPQNSPYMSPTYSSMTNNARGIIPYEKLTYAKTKKEYKKLMAEMLSSLDFESEDEKSSASSIKTVDLADDTTSGTINKTKKR >KJB30811 pep chromosome:Graimondii2_0_v6:5:46753120:46754502:1 gene:B456_005G161700 transcript:KJB30811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLWGFELLKLKMMVVMLLMLVLSLFEQNMSFSSPLNSEGIYSGLNKSFFVYYICSYEKLFACVAKNGAGLALLRFKQRVVSDPFGALSNWKEIDGEIDPCSWFGVECSDEKVVILNLKDLCLVGNLGPEFGKLENLKSIQISFMSLFLLVLLYELELLELGFNNFSGPFPSDFGSNLSLTTLLLDNNEFLGNLAPEIYEVKMLSEFQVDENRLTDAATIPSCKSSGFP >KJB28232 pep chromosome:Graimondii2_0_v6:5:3391679:3397004:-1 gene:B456_005G035700 transcript:KJB28232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTISFHGELPKPSTSSSPTSSSTSSTSRTETVNGSHEFKIKGYSLAKGMGVGKYMASDAFMVGGYEWAIYFYPDGKSAEDNATYVSLFIALASEGTDVRALFELTLLDQSGKDRHKVHSHFGRMLESGPYTLKYRGSMWGYKRFFKRTLLETSDYLKDDCLSIRCCVGVVKSHTEGPKIYSITVPPSDIGQHFGKLLESGKGADVKFEVDGEIFDAHKLVLAARSPVFRAQLFGPLKDQNTQSIRVEDMEAPVFKALLHFIYWDALPDMEELMGSTSKWASTLVAQHLLAAADRYALERMRLLCEAKLCEGVTINTVATTLALAEQHNCLHLKGVCLKFIALPENLKAVMQTDGFEYLKESCPGVLTELLQYVAKIGEHSVISCGYRKEGSLDGSDVNGRRVKPRLQ >KJB27790 pep chromosome:Graimondii2_0_v6:5:661191:667741:-1 gene:B456_005G009600 transcript:KJB27790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAFVSAVVGEVASKAASVAVEMISLGWGFKDEMQRLGNSLEMIGAFLRDAEGNQKQMNSVKLWLKRLRDVAYEADEVLDEIAYEFLRRKVEIGDQMWRKLRDTPSTVTFQHNMANKVKDILNSLDDLNKIAKDYGLQQLAIDQRIFIPSNVETVSFLDDSNIVGRKNDVSKVVDMLLSPQDDRTVSVVPIVGMAGIGKTTLARLVYHDVDVERRFDVRFWVCVSDDFNVKRILGEMLEHDMNYQQTSIPQNLNALTAKLKGKIERAKRGNEQIKYLLVLDDVWNVEQWDELMWCLEGVNKNRGNKVIVTTRIEDVALKVETLPNQRHQPGKLKDEECWSIIKEKACGDSPISPSLVLIGEEIAKQCHGVPLAAKVIGGTMRKIERSRAAWLKIQKSDVWDSVNSVLRLSFDHLSSPCLKKCFAYCAMFPKDFCFRKEQLIQLWMAEGFLGASKEMMDTGNRYFHELLSNSLFQDVGKDRCGNILTCKMHDLVHDLALSVSKFETLIFQENSSSSTDEVSHIRHLSIGYDGESLPIILTAVAPKLHSLFSEIDVFKKLSRTFTSLRVLKFSSADYIHELPASLGELKHLRYMDISKTSIKVLPQSITKLYMLQTLRFMGCREIAFPDGLRNLISVKHIHFDQQSSQPIELRHLISLQTLPMFFVRDNELHLDALECLNELGGQLKICDLQSVRDKEEARKANLRLKTKLCKVIFEWSNFSNDNCEEVLEGLQPPSGLQSLIVWNYGGEKLPSWMSRPVHGSNIGSLLLDNLMELELNNCINCKSLPPLGQLHSLKFLALRNMEELKRIGNEFYCDGSSQFEIEVFPALKTFILRRLENLKEWTATTAAVMFPCLEELLVSNCPLLESVPLTGQCLSLKKLCIEDCSRLSSIGDGLATSTVLEELTIVKCGDLFSIPNLNGFSALRSVYVSDCGRLGIVPIAGICSSLEEFCISECKELSEIGGGLSTSTCLKELKLSGCANLSSIPDLEGFSFLRNLDISECSKLEIVPIRGRCSSLEKLHISSCQNLSKIGDGLSTSSCLKELKLSGCANLSSIPDLEGFSFLRNLDISECNKLEIVPIRGRCSSLQKLHISSCQNLSKIGDWLSTSTYLIELKLSGCANLSSIPDLEGFSVLRNLDISECSKLEIVPIRGRCSSLQKLHISSCQNLSKIGDWLSTSAYLIELKLSGCAKLSFIPDLEGFSVLRNLDISECSKLEIVPIRGRCSSLQKFHISSCQNLSKIGDGLSTSTYLKELKLSDCPNLCSILDLEGFSSLKILDLSNCNELEIVPIRGQCSSLEKLLISGCQSKIEDWLPIATCLKELKLSHCGNLNSIPDLESLRTLDISKCDNLEIIPTGRLPFLEKLHIFQCEKLSKVGDGFSISSFVKELRIRLCPNLSSIPDLKGFSSLQSLCISGCNKLEIVPITERCSSLEVLDILACEKLSYIGNGLSNFTCLKKLRIETCPNLRSIPSICHGRLTELGFTGVGQGLTCLLPDLLQSNTCLRKLTLADLPDLRSIPESVWELHSLVRLTTKRCPTLRSIPNDRLGSLTCLRSLDIGGFSEELEEFPGFDSIQHLSASLKELRLLGWEKLSSLPYQLRHLTALEGLEIQRFHGIEALPDWLGNLSSVKRLRIVSCDKLMYLPSEHVIRSLSKLTSFIISACPRLEARCSKESGPEWSKISHIRRISIMSKRVFGHATFAKYISYLSEASRIMNKGSYEIQLEFSFVPESHYCLAPDGST >KJB28749 pep chromosome:Graimondii2_0_v6:5:7206980:7209121:-1 gene:B456_005G067500 transcript:KJB28749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGDGGVDVVVDSKDLQQQSKAFDKLTDRVEDRQLDSSRAQSAMASIAASAEAEKNAMRLRERELAAVKINAADVDIIANELELDKKVAERTLREHKGDAVAAIRSLLH >KJB29610 pep chromosome:Graimondii2_0_v6:5:20083361:20084964:1 gene:B456_005G110100 transcript:KJB29610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSVINHFLSSPFPYSPQVPCWNNVNINDGWWLWRKKQARKPAQASSRKGCMRGKGGPENALCTYKGVRQRTWGKWVAEIREPNRGARLWLGTFDTSHEAAMAYDAAARKLYGSDAKLNLPELCANNPQSQPSSAANPQMAPMVNYQPQNVSNSVMPSFPNESIDLQGNVDAKFGQITEDGIEGFWENMNANLPLLDDSIWAETAMSLEFPMMGDPGSFASNLMEATGWDALQSPWCM >KJB29079 pep chromosome:Graimondii2_0_v6:5:10272029:10278265:1 gene:B456_005G083200 transcript:KJB29079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLGPSTPIGGAQSVPSSLLRTNSGMLGSQGGGLPSQSGFPSLVSPRTQFNNMNMLGNVPNVSSLLNQSFGNGGPNPQLSGPGSGQRGGIDSGAESDPLSNVGTGMGFNAPSSFVPSNMANIGSSGQVQSQQYSNLSGNHILPDQQQPQQPESQQFQHGQQGMQQVSAPHNTQQGQQQQQQQQQFQSIRGGMAGVGAVKLEPQLTNDQHSQPQQLQSLRNLAPVKLEPQQIPPSRTLAQVKMEPQHSDQSFLHQQQQQQQQQQQQLLQMSRQPPQTAAAQISLLHQQRLLQLQQQHHHHQLLKAMPQQRPQLPQQFQQQNLPLRSPVKSAYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGNGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASTNLSAPDLQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRTGPMESLAKFPRRTSTSSGFHAQSQQPEEQHQQQQQTPQQQMMTQSSNGDQSSAQASGMQLAANNGVANVNNSLNVASASTSGGTIAGPLHQNSMNSRQQNSMNNASSSYGGNSVQIPSPGSSSTIPQTQANPSPFQSPTPSSSNNPPQAPHGALAASSHMSSANSPAMNMPMQQPALSSEADPNESQSSVQKIIHEMLSSQLNNTGGMVGAGTLGNDVKSVNGMLPPSNNMVLSGGNTLVGNGTISNNSVIGGVGFGSMSGGLGQSAMVNGIRATMGNNPVMNGRMGMAQMARDQLMNQQQQDMGNQLLNGLGAVNGFNNYQFDWKPSP >KJB29075 pep chromosome:Graimondii2_0_v6:5:10271965:10278265:1 gene:B456_005G083200 transcript:KJB29075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLGPSTPIGGAQSVPSSLLRTNSGMLGSQGGGLPSQSGFPSLVSPRTQFNNMNMLGNVPNVSSLLNQSFGNGGPNPQLSGPGSGQRGGIDSGAESDPLSNVGTGMGFNAPSSFVPSNMANIGSSGQVQSQQYSNLSGNHILPDQQQPQQPESQQFQHGQQGMQQVSAPHNTQQGQQQQQQQQQFQSIRGGMAGVGAVKLEPQLTNDQHSQPQQLQSLRNLAPVKLEPQQIPPSRTLAQVKMEPQHSDQSFLHQQQQQQQQQQQQLLQMSRQPPQTAAAQISLLHQQRLLQLQQQHHHHQLLKAMPQQRPQLPQQFQQQNLPLRSPVKSAYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGNGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASTNLSAPDLQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRTGPMESLAKFPRRTSTSSGFHAQSQQPEEQHQQQQQTPQQQMMTQSSNGDQSSAQASGMQLAANNGVANVNNSLNVASASTSGGTIAGPLHQNSMNSRQQNSMNNASSSYGGNSVQIPSPGSSSTIPQTQANPSPFQSPTPSSSNNPPQAPHGALAASSHMSSANSPAMNMPMQQPALSSEADPNESQSSVQKIIHEMLSSQLNNTGGMVGAGTLGNDVKSVNGMLPPSNNMVLSGGNTLVGNGTISNNSVIGGVGFGSMSGGLGQSAMVNGIRATMGNNPVMNGRMGMAQMARDQLMNQQQQDMGNQLLNGLGAVNGFNNYQFDWKPSP >KJB29077 pep chromosome:Graimondii2_0_v6:5:10272214:10278265:1 gene:B456_005G083200 transcript:KJB29077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLGPSTPIGGAQSVPSSLLRTNSGMLGSQGGGLPSQSGFPSLVSPRTQFNNMNMLGNVPNVSSLLNQSFGNGGPNPQLSGPGSGQRGGIDSGAESDPLSNVGTGMGFNAPSSFVPSNMANIGSSGQVQSQQYSNLSGNHILPDQQQPQQPESQQFQHGQQGMQQVSAPHNTQQGQQQQQQQQQFQSIRGGMAGVGAVKLEPQLTNDQHSQPQQLQSLRNLAPVKLEPQQIPPSRTLAQVKMEPQHSDQSFLHQQQQQQQQQQQQLLQMSRQPPQTAAAQISLLHQQRLLQLQQQHHHHQLLKAMPQQRPQLPQQFQQQNLPLRSPVKSAYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGNGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASTNLSAPDLQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRTGPMESLAKFPRRTSTSSGFHAQSQQPEEQHQQQQQTPQQQMMTQSSNGDQSSAQASGMQLAANNGVANVNNSLNVASASTSGGTIAGPLHQNSMNSRQQNSMNNASSSYGGNSVQIPSPGSSSTIPQTQANPSPFQSPTPSSSNNPPQAPHGALAASSHMSSANSPAMNMPMQQPALSSEADPNESQSSVQKIIHEMLSSQLNNTGGMVGAGTLGNDVKSVNGMLPPSNNMVLSGGNTLVGNGTISNNSVIGGVGFGSMSGGLGQSAMVNGIRATMGNNPVMNGRMGMAQMARDQLMNQQQQDMGNQLLNGLGAVNGFNNYQFDWKPSP >KJB29076 pep chromosome:Graimondii2_0_v6:5:10272066:10278265:1 gene:B456_005G083200 transcript:KJB29076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLGPSTPIGGAQSVPSSLLRTNSGMLGSQGGGLPSQSGFPSLVSPRTQFNNMNMLGNVPNVSSLLNQSFGNGGPNPQLSGPGSGQRGGIDSGAESDPLSNVGTGMGFNAPSSFVPSNMANIGSSGQVQSQQYSNLSGNHILPDQQQPQQPESQQFQHGQQGMQQVSAPHNTQQGQQQQQQQQQFQSIRGGMAGVGAVKLEPQLTNDQHSQPQQLQSLRNLAPVKLEPQQIPPSRTLAQVKMEPQHSDQSFLHQQQQQQQQQQQQLLQMSRQPPQTAAAQISLLHQQRLLQLQQQHHHHQLLKAMPQQRPQLPQQFQQQNLPLRSPVKSAYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGNGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASTNLSAPDLQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRTGPMESLAKFPRRTSTSSGFHAQSQQPEEQHQQQQQTPQQQMMTQSSNGDQSSAQASGMQLAANNGVANVNNSLNVASASTSGGTIAGPLHQNSMNSRQQNSMNNASSSYGGNSVQIPSPGSSSTIPQTQANPSPFQSPTPSSSNNPPQAPHGALAASSHMSSANSPAMNMPMQQPALSSEADPNESQSSVQKIIHEMLSSQLNNTGGMVGAGTLGNDVKSVNGMLPPSNNMVLSGGNTLVGNGTISNNSVIGGVGFGSMSGGLGQSAMVNGIRATMGNNPVMNGRMGMAQMARDQLMNQQQQDMGNQLLNGLGAVNGFNNYQFDWKPSP >KJB29078 pep chromosome:Graimondii2_0_v6:5:10272214:10278265:1 gene:B456_005G083200 transcript:KJB29078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLGPSTPIGGAQSVPSSLLRTNSGMLGSQGGGLPSQSGFPSLVSPRTQFNNMNMLGNVPNVSSLLNQSFGNGGPNPQLSGPGSGQRGGIDSGAESDPLSNVGTGMGFNAPSSFVPSNMANIGSSGQVQSQQYSNLSGNHILPDQQQPQQPESQQFQHGQQGMQQVSAPHNTQQGQQQQQQQQQFQSIRGGMAGVGAVKLEPQLTNDQHSQPQQLQSLRNLAPVKLEPQQIPPSRTLAQVKMEPQHSDQSFLHQQQQQQQQQQQQLLQMSRQPPQTAAAQISLLHQQRLLQLQQQHHHHQLLKAMPQQRPQLPQQFQQQNLPLRSPVKSAYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGNGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASTNLSAPDLQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRTGPMESLAKFPRRTSTSSGFHAQSQQPEEQHQQQQQTPQQQMMTQSSNASASTSGGTIAGPLHQNSMNSRQQNSMNNASSSYGGNSVQIPSPGSSSTIPQTQANPSPFQSPTPSSSNNPPQAPHGALAASSHMSSANSPAMNMPMQQPALSSEADPNESQSSVQKIIHEMLSSQLNNTGGMVGAGTLGNDVKSVNGMLPPSNNMVLSGGNTLVGNGTISNNSVIGGVGFGSMSGGLGQSAMVNGIRATMGNNPVMNGRMGMAQMARDQLMNQQQQDMGNQLLNGLGAVNGFNNYQFDWKPSP >KJB29917 pep chromosome:Graimondii2_0_v6:5:27576538:27578015:-1 gene:B456_005G123500 transcript:KJB29917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLISAALVKAAKQFDALVAALPPSEGGEKAQLRRIAELQAENDAVGQELQKQLEAAVKELKQVQELFSQAADNCLNLKKPD >KJB32134 pep chromosome:Graimondii2_0_v6:5:60833621:60834847:1 gene:B456_005G225800 transcript:KJB32134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTMMKRSIRMHAEMTGNQAFLNLIPLLQEDVGLMFTKGDLKQVNEEVAKYKVGAPVRVGLVAPIDVVVPPGNTGLDPSQTSFSQVLNIPTRINKGTV >KJB30894 pep chromosome:Graimondii2_0_v6:5:48990971:48995290:-1 gene:B456_005G166600 transcript:KJB30894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPAVHNSKSTGKPLQAPQSYLSSAPYVGSGAPSSMYLGVPPYGSSLFNGSSIPPYEAPFSGGSAYHYNYGSRLSGGSPFRPLHMPSGPPPYSSGPMIGNGGMYGVPPLMDRYGLGLPMAPPPMGPRPGFFPDDKLQKKDATRDDDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQTPKSDKSSKQKMPEGSWKCEKCSNINYPFRTKCNRQNCGAEKPAESKKSPSPTVNENDQ >KJB30895 pep chromosome:Graimondii2_0_v6:5:48992271:48995089:-1 gene:B456_005G166600 transcript:KJB30895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPAVHNSKSTGKPLQAPQSYLSSAPYVGSGAPSSMYLGVPPYGSSLFNGSSIPPYEAPFSGGSAYHYNYGSRLSGGSPFRPLHMPSGPPPYSSGPMIGNGIGGMYGVPPLMDRYGLGLPMAPPPMGPRPGFFPDDKLQKKDATRDDDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQTPKSDKSSKQKMPEGSWKCEKCSNINYPFRTKCNRQNCGAEKPAESKKSPSPTVNENDQ >KJB30896 pep chromosome:Graimondii2_0_v6:5:48991474:48994786:-1 gene:B456_005G166600 transcript:KJB30896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNCTQPRPAVHNSKSTGKPLQAPQSYLSSAPYVGSGAPSSMYLGVPPYGSSLFNGSSIPPYEAPFSGGSAYHYNYGSRLSGGSPFRPLHMPSGPPPYSSGPMIGNGIGGMYGVPPLMDRYGLGLPMAPPPMGPRPGFFPDDKLQKKDATRDDDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQVLVNLHI >KJB30897 pep chromosome:Graimondii2_0_v6:5:48992203:48995243:-1 gene:B456_005G166600 transcript:KJB30897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPAVHNSKSTGKPLQAPQSYLSSAPYVGSGAPSSMYLGVPPYGSSLFNGSSIPPYEAPFSGGSAYHYNYGSRLSGGSPFRPLHMPSGPPPYSSGPMIGNGGMYGVPPLMDRYGLGLPMAPPPMGPRPGFFPDDKLQKKDATRDDDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQTPKSDKSSKQKMPEGSWKCEKCSNINYPFRTKCNRQNCGAEKPAESKKSPSPTVNENDQVCDLMQFLCHVVCYLKLVFLLPTFVHVANSVSVLYFKLFLVKELYGLSDCVLKCRYYYIFLQFYVSTRTCLRVEKVQSYPALLNLGV >KJB31770 pep chromosome:Graimondii2_0_v6:5:58797814:58801519:1 gene:B456_005G207800 transcript:KJB31770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIRTSRWSVVLHILSVILSSLHGCSARDTITMKSSVVDGQTLVSAENRFELGFFGPSRSSNVKRYVGIWYTSNPQTVVWVANRATPLSDKSGVLHIANGYLKLSDKKGKVYWCTEQQNKRSNITVKLNDTGNLILYDVDVDGLGIKLWQSFEHPTDTFLFGMKNDGNLVFTSWTSEDDPAPGNFIFKQDPQANRLLVTNKSIIYWRSWRESGKIFELVNLNITEDDTRVHKNERIVMNFTGDLQYWQLFRGMKDWSLTWWEPKDRCSKYNYCGTFGSCNINSKLPCKCLPGFKPKVSEQWNAGEFVDGCSRNSTSYGTDFLSLKRMKLEYPESSFIINNVKDCRQECLRNNQCQAYTVNASKTDRQLSCLTWIEELKSIQEDQDDGYDLYVRVPVSDIAPTSRSCLTCGTNLVPYPLSTGPNCGDPVYYSFDCDMDTGQLSFMTPGGNYTVTHVNPKESIFDIEMEAKEAVNCHAMHSSGSKILQLNRSSPFNVTSSCSSDFTNDSPLKSTIEVKITWKPPLEPTCNSSADCKEWPHSTCNITGTGQKRCLCNSAFRWDGLGLTCTPGATGQLRDSFNKSKTLPLYLIVSLPIAMALLCAILSIYLWRTKMVKKRAKQRKAHLHRYDTERGVKELMESSHLEGKDGTGIDVPFFDFESILAATDNFSDENKLGRGGFGPVYKGKFPGGQEIAIKRLASVSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCIKGEEKILLYEYLPNKSLDSFIFDESLSQQLEWGTRFNIILGVARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARMIQGKQTEGSTLRVVGTYGYMAPEYAIDGVFSVKSDVFSFGVVMLEIISGKKNMRFYYVENTPSLIAYQF >KJB31768 pep chromosome:Graimondii2_0_v6:5:58797814:58802367:1 gene:B456_005G207800 transcript:KJB31768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIRTSRWSVVLHILSVILSSLHGCSARDTITMKSSVVDGQTLVSAENRFELGFFGPSRSSNVKRYVGIWYTSNPQTVVWVANRATPLSDKSGVLHIANGYLKLSDKKGKVYWCTEQQNKRSNITVKLNDTGNLILYDVDVDGLGIKLWQSFEHPTDTFLFGMKNDGNLVFTSWTSEDDPAPGNFIFKQDPQANRLLVTNKSIIYWRSWRESGKIFELVNLNITEDDTRVHKNERIVMNFTGDLQYWQLFRGMKDWSLTWWEPKDRCSKYNYCGTFGSCNINSKLPCKCLPGFKPKVSEQWNAGEFVDGCSRNSTSYGTDFLSLKRMKLEYPESSFIINNVKDCRQECLRNNQCQAYTVNASKTDRQLSCLTWIEELKSIQEDQDDGYDLYVRVPVSDIAPTSRSCLTCGTNLVPYPLSTGPNCGDPVYYSFDCDMDTGQLSFMTPGGNYTVTHVNPKESIFDIEMEAKEAVNCHAMHSSGSKILQLNRSSPFNVTSSCSSDFTNDSPLKSTIEVKITWKPPLEPTCNSSADCKEWPHSTCNITGTGQKRCLCNSAFRWDGLGLTCTPATGQLRDSFNKSKTLPLYLIVSLPIAMALLCAILSIYLWRTKMVKKRAKQRKAHLHRYDTERGVKELMESSHLEGKDGTGIDVPFFDFESILAATDNFSDENKLGRGGFGPVYKGKFPGGQEIAIKRLASVSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCIKGEEKILLYEYLPNKSLDSFIFDESLSQQLEWGTRFNIILGVARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARMIQGKQTEGSTLRVVGTYGYMAPEYAIDGVFSVKSDVFSFGVVMLEIISGKKNMRFYYVENTPSLIAYAWRLWQEGKALDLMDSTLRSSCNASEVLRCVHVGLLCLQEEPSERPTMSNVVLLLGSETASLPIPKQPAFVTRTTLSSTASTSSKAESKTEITSTLDESR >KJB31767 pep chromosome:Graimondii2_0_v6:5:58797703:58802096:1 gene:B456_005G207800 transcript:KJB31767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESHAVQKTMMIRTSRWSVVLHILSVILSSLHGCSARDTITMKSSVVDGQTLVSAENRFELGFFGPSRSSNVKRYVGIWYTSNPQTVVWVANRATPLSDKSGVLHIANGYLKLSDKKGKVYWCTEQQNKRSNITVKLNDTGNLILYDVDVDGLGIKLWQSFEHPTDTFLFGMKNDGNLVFTSWTSEDDPAPGNFIFKQDPQANRLLVTNKSIIYWRSWRESGKIFELVNLNITEDDTRVHKNERIVMNFTGDLQYWQLFRGMKDWSLTWWEPKDRCSKYNYCGTFGSCNINSKLPCKCLPGFKPKVSEQWNAGEFVDGCSRNSTSYGTDFLSLKRMKLEYPESSFIINNVKDCRQECLRNNQCQAYTVNASKTDRQLSCLTWIEELKSIQEDQDDGYDLYVRVPVSDIAPTSRSCLTCGTNLVPYPLSTGPNCGDPVYYSFDCDMDTGQLSFMTPGGNYTVTHVNPKESIFDIEMEAKEAVNCHAMHSSGSKILQLNRSSPFNVTSSCSSDFTNDSPLKSTIEVKITWKPPLEPTCNSSADCKEWPHSTCNITGTGQKRCLCNSAFRWDGLGLTCTPGATGQLRDSFNKSKTLPLYLIVSLPIAMALLCAILSIYLWRTKMVKKRAKQRKAHLHRYDTERGVKELMESSHLEGKDGTGIDVPFFDFESILAATDNFSDENKLGRGGFGPVYKGKFPGGQEIAIKRLASVSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCIKGEEKILLYEYLPNKSLDSFIFDESLSQQLEWGTRFNIILGVARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARMIQGKQTEGSTLRVVGTYGYMAPEYAIDGVFSVKSDVFSFGVVMLEIISGKKNMRFYYVENTPSLIAYAWRLWQEGKALDLMDSTLRSSCNASEVLRCVHVGLLCLQEEPSERPTMSNVVLLLGSETASLPIPKQPAFVTRTTLSSTASTSSKAESKTEITSTLDESR >KJB31769 pep chromosome:Graimondii2_0_v6:5:58797814:58802367:1 gene:B456_005G207800 transcript:KJB31769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIRTSRWSVVLHILSVILSSLHGCSARDTITMKSSVVDGQTLVSAENRFELGFFGPSRSSNVKRYVGIWYTSNPQTVVWVANRATPLSDKSGVLHIANGYLKLSDKKGKVYWCTEQQNKRSNITVKLNDTGNLILYDVDVDGLGIKLWQSFEHPTDTFLFGMKNDGNLVFTSWTSEDDPAPGNFIFKQDPQANRLLVTNKSIIYWRSWRESGKIFELVNLNITEDDTRVHKNERIVMNFTGDLQYWQLFRGMKDWSLTWWEPKDRCSKYNYCGTFGSCNINSKLPCKCLPGFKPKVSEQWNAGEFVDGCSRNSTSYGTDFLSLKRMKLEYPESSFIINNVKDCRQECLRNNQCQAYTVNASKTDRQLSCLTWIEELKSIQEDQDDGYDLYVRVPVSDIAPTSRSCLTCGTNLVPYPLSTGPNCGDPVYYSFDCDMDTGQLSFMTPGGNYTVTHVNPKESIFDIEMEAKEAVNCHAMHSSGSKILQLNRSSPFNVTSSCSSDFTNDSPLKSTIEVKITWKPPLEPTCNSSADCKEWPHSTCNITGTGQKRCLCNSAFRWDGLGLTCTPGATGQLRDSFNKSKTLPLYLIVSLPIAMALLCAILSIYLWRTKMVKKRAKQRKAHLHRYDTERGVKELMESSHLEGKDGTGIDVPFFDFESILAATDNFSDENKLGRGGFGPVYKGKFPGGQEIAIKRLASVSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCIKGEEKILLYEYLPNKSLDSFIFDESLSQQLEWGTRFNIILGVARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARMIQGKQTEGSTLRVVGTYGYMAPEYAIDGVFSVKSDVFSFGVVMLEIISGKKNMRFYYVENTPSLIAYAWRLWQEGKALDLMDSTLRSSCNASEVLRCVHVGLLCLQEEPSERPTMSNVVLLLGSETASLPIPKQPAFVTRTTLSSTASTSSKAESKTEITSTLDESR >KJB32079 pep chromosome:Graimondii2_0_v6:5:60437644:60438929:-1 gene:B456_005G221800 transcript:KJB32079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFRKSKPVENINPTPGSKNGCRSNRFMEDGVLLTWDNLSVTVAGGRPILQGMTGYARPGEPLAIMGPSGCGKSTLLDTITGRQGPNTSQAGHILINGRKQALAYGTSAYVTQDDALITTLTIREAVYYSAQLQLPDTMANSEKNERAKTTIREMGLQDAMDTRIGGWGAKGLSGGQKMRVSICIKILTRPKLLFLDKPTSGLDSAASYYVMSRIASLNQKDNIGRIIIASIHQPGAKAKLCISVSASNEFFALDGFPCPSLQNPSDHFLKTINKDFDKDIEQGFANGIPTLEVIDILVKSYC >KJB32528 pep chromosome:Graimondii2_0_v6:5:62561414:62564650:1 gene:B456_005G245300 transcript:KJB32528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKNNIPSAQTVMSTVASFAASAMVIQTVARNLLPYELKAYIFNNISKFFKSFSFQITLIIEEFDGLTRNQIYRAAEIYLGTKTCSSMKLCKVSMGEKETKIVVSMAKNQETVDVFDGVKFKWRQVTRQVESKNVVFQGQSSNVRSEKRSFELSFHKKHKEKVLDSYLPFVLKESKRLMEEKKTLKLHTLGNDHMRRYVGGAETWQPIKLDHPANFGTLAMNTELKATIMEDLERFVKRKDYYRRVGKAWKRGYLLYGPPGTGKSSLIAAMANYLNFDIYDLELTDIHTNSDLRRVLIATGNQSILVVEDIDCGLELHDRQTEPQPNVAIKPKRSSQVSFLNYRLKCCGDERIIVFTTNHKDRLDPALLRPGRMDVHIHMSYCSPSGFDTLAVNYLGVNDHHSLMSITRELLAKTSVTPAEVGEQLLKNADPEAALKRLIDFLQQKVKEEDELQGPDMSAINMSITKHVDEITWNKI >KJB29354 pep chromosome:Graimondii2_0_v6:5:14043930:14044811:1 gene:B456_005G0963001 transcript:KJB29354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNSFLTKTDMEFSSTNDFDRLMLIPDESFDFIFTENIQSTLEFIDRSLKVGCIVAVQQLDSSLLFNKPSNYKIVYFRKFNSNLFVMKKVENARPIPSSQRRLLGYNTSEAKRAALKKLEDVLLEPPRASSGTSRTYLKRTKYLPDLLGDSLESYPRRVFIDVGLPKKDGGSATTWFVKNYPTRNLKFEMYKIETLTKDSTKKEVPQTAAETGMSGWLRKNVQEEEYVVMKAEAEVVEDMVKSKAIRLVDELFLECKPKGLGGRKNMSGRAYWECLALYGKLRDEGVAVHQWWG >KJB31880 pep chromosome:Graimondii2_0_v6:5:59505346:59506566:-1 gene:B456_005G212800 transcript:KJB31880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQLLEQVIGVPLKATAYGVERRTSKQYLPDAAGQYHISSQGATKRNFVLKRMNLIGKKAGTFAHGVREHVRLGPKISETVKGKLSLGARILQVGGLENVFKQLFSFREGEKLLKACQCYLSTTAGPIAGLLFISSEKVAFCSERSIKIPCPNGEYVRVHYKVVVPVEKIKGVNQSENMKKPCQKYMEIVTVDGFDIWFMGFLNYQKAFKCLQQAISQRLDDVDTF >KJB31879 pep chromosome:Graimondii2_0_v6:5:59505600:59506228:-1 gene:B456_005G212800 transcript:KJB31879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIGKKAGTFAHGVREHVRLGPKISETVKGKLSLGARILQVGGLENVFKQLFSFREGEKLLKACQCYLSTTAGPIAGLLFISSEKVAFCSERSIKIPCPNGEYVRVHYKVVVPVEKIKGVNQSENMKKPCQKYMEIVTVDGFDIWFMGFLNYQKAFKCLQQAISQRLDDVDTF >KJB29607 pep chromosome:Graimondii2_0_v6:5:20066318:20069807:-1 gene:B456_005G109900 transcript:KJB29607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGEDAVRRRNAIAEYRKKLLQHKEYESRVRAGRENLRAAKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEISLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYSTDFGKE >KJB29608 pep chromosome:Graimondii2_0_v6:5:20066407:20069780:-1 gene:B456_005G109900 transcript:KJB29608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGEDAVRRRNAIAEYRKKLLQHKEYESRVRAGRENLRAAKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEISLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMK >KJB30526 pep chromosome:Graimondii2_0_v6:5:40482381:40487474:1 gene:B456_005G147900 transcript:KJB30526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKWKPFSFLAKPHVCSLLSSLTFFKPSVSVARLVEEEPSLSHSPKDPVSEILSGLKKMGFRRFLAGDYFRNVVLSLDQLQVDKIINSLRVESPDFAVVFFDLMRNEYWFRHSRFSRFVVAHVLAGQRRHKELRFVVEQMLKEEGSGSAPSLCELLLNGFRDWDQKSLVWDMLAFVYSRFEMVHDALYVLAKMKDLKLRASILTYNSLLYNLRHAYIMWDVYNEIKVAGATQSKQTNSIVIDGLCSQSKLQDAVSFLRETEAKGLGPSVVSLNTIMSRYCKLGFTDVAKSFFCMMLKYGLLPDVYSYNILIHGLCIAGSMEEALEFTSDMEKHGVEPDIVTYNILMKGFDLLGQMGGAWMVIQRMLDKGLNPDVVTYMMLICGHCQNGNVEEGLKLQEEMLSRGFQLSALSYSVLLSSLCKIGQVHEALVLFYEMENHGVEPDHITYSILIHGLCKQGEVQSALLLYKEMCSKSIPPNSHSAGAILLSLCKNGMVLEARMYFDSLVMNDSAHDIVLYNIMIDGYVKHGNLEEAVELYRLITEKGITPTTVTFNSLIYGFCKRRNFTEARRLMETIRLLGLEPTAVTYTTLMNAYCKDGNLRCMMELLQEMHANCIRPTHVTYTVIIKGLCKQQKLHEAVQLLEDMRIKGLNPDQVTYNTIIQYFCKARNIKTAFKLLNEMWLNNLEPTPVTYSILINGLCVYGNLKDANKLLISLHEQNIKLTRVGYTQIIKAHCVKGDVHCAFTFFHLMMEMGFEISIKDYTALINRLGKRCLITEAQQFFSIMLFHGISPDQEICEALLNAYQQCGDIISGYQMLALTIKAGLLPRR >KJB28175 pep chromosome:Graimondii2_0_v6:5:2898234:2905491:-1 gene:B456_005G032200 transcript:KJB28175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLSSLKTLRLSGNQLKGRLDHIQDGTQWRLTNLEELDLSGNLFRNNTISFPQGFSSLKSLTLSYNDLQGSLDTKGLSNLTNLKKLDLSGNQIESFQSFKDGGRKLELTHLEELNLDGNLFNTSAFASLNKLSNLKSLSIRVNELKGSIDMKDLEAFIKLRELDMSYNELRDFVIHQDGGRILELTHLEELNLDDNLFNTSVFASLNKLSNLKSLSLWGNELKGSIDMKELNVSSNVEELYLDHSTLNTNILQGIGVLSSLKTLSLYDCGLIGPLPNHGWCDLRNLEVLDVSGNALEGMLPHCFSNLTSLRELDISGNHFQIPLSFAPFANLSNLKALLSNENKMVTEPSFYTSIPKFQLEVISLPKCITSQQLSCKLPTFLYYQYDLRYVDLSHNNFSGTVPTWLLENNTKLEDLILKGNSFTGPLSLPSAPNSKVSLIDISQNKLQGQIPANLCSPFPHLSLLFLSKNAFEGDIPPCLSGMKDLSILDLSNNQLSGRVPEELITKNSLTILRLSNNNLSGHVLPVILNANGLSKLYLDGNNFSGEMANVDVSISEFPTSLREINLRNNKFYGNLPRWMGNVSFLERLALSQNGFEGSIPMEFCNLDRLEFLDLSENNLFGSIPSCFNTLNIKHVHLHGSRLSGPLPIAFYNISSLVTLDLRGNNLTGSIPKWIGTLSSLSILILKDNHFHGEVPVQLCKLDSLSIIDLSQNMFSGIIPSCLGNLTLPMETNKTLTNGRTIPSLTGDGFMKTLVDYSNPSSYIEEVIEFTTKSRFLSYEGNILTYMTGIDLSCNNLTGHIPPELGNLSEIYSLNLSHNKLTGVIPSSFAKLHQIESLDLSYNNLSGEIPNQLVELNSLEVFSVAYNNLSGSIPEKAQFGTFIENSYEGNPFLCGAILHKSCSKTDSPSTISAVSEDKGEDGLIVALLTIFVVLYINPYWRRAWFSLVGKCITTCRYSNVGKFLTYHIFKRYV >KJB28174 pep chromosome:Graimondii2_0_v6:5:2898234:2905491:-1 gene:B456_005G032200 transcript:KJB28174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYKSFVDGGRKLELTHLEELNLDGNLFNTSAFASLNKLSNLKSLSIRVNELKGSIDMKDLEAFIKLRELDMSYNELRDFVIHQDGGRILELTHLEELNLDDNLFNTSVFASLNKLSNLKSLSLWGNELKGSIDMKELNVSSNVEELYLDHSTLNTNILQGIGVLSSLKTLSLYDCGLIGPLPNHGWCDLRNLEVLDVSGNALEGMLPHCFSNLTSLRELDISGNHFQIPLSFAPFANLSNLKALLSNENKMVTEPSFYTSIPKFQLEVISLPKCITSQQLSCKLPTFLYYQYDLRYVDLSHNNFSGTVPTWLLENNTKLEDLILKGNSFTGPLSLPSAPNSKVSLIDISQNKLQGQIPANLCSPFPHLSLLFLSKNAFEGDIPPCLSGMKDLSILDLSNNQLSGRVPEELITKNSLTILRLSNNNLSGHVLPVILNANGLSKLYLDGNNFSGEMANVDVSISEFPTSLREINLRNNKFYGNLPRWMGNVSFLERLALSQNGFEGSIPMEFCNLDRLEFLDLSENNLFGSIPSCFNTLNIKHVHLHGSRLSGPLPIAFYNISSLVTLDLRGNNLTGSIPKWIGTLSSLSILILKDNHFHGEVPVQLCKLDSLSIIDLSQNMFSGIIPSCLGNLTLPMETNKTLTNGRTIPSLTGDGFMKTLVDYSNPSSYIEEVIEFTTKSRFLSYEGNILTYMTGIDLSCNNLTGHIPPELGNLSEIYSLNLSHNKLTGVIPSSFAKLHQIESLDLSYNNLSGEIPNQLVELNSLEVFSVAYNNLSGSIPEKAQFGTFIENSYEGNPFLCGAILHKSCSKTDSPSTISAVSEDKGEDGLIVALLTIFVVLYINPYWRRAWFSLVGKCITTCRYSNVGKFLTYHIFKRYV >KJB29251 pep chromosome:Graimondii2_0_v6:5:12294197:12297822:-1 gene:B456_005G091200 transcript:KJB29251 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MEELQASLQPRGLTLSTFPDKGRSLLAARDFYPGEVIISQDPYVCVPNNSLTESRCDGCFSKSNLKKCSACHVVWYCGSSCQKLEWKLHRFECQLLAKLDKERRKSVTPTIRMIVKLYLRRKLQNENVIPVTAMDNYNLVEALVSHMSDIDEKQLLLYAQMANLVNLILQLPNIDIKEIAENFSKFACNAHTICDSELRSLGTGLYPVISIINHSCLPNAVLVFEGRLAVVRAVQHIPKDSEVSISYVETAASTITRQKTLKEQYLFTCTCVRCNKLGQYDDIQESAILEGYRCRDNGCSGFLLRESDEKGFVCQQCGLIRNKEEIRKIASDIKALSDKALKCSSSGNLQEAIVLYKNIEKLQKEVCHPFSIILMRTREKLLEILMQLEEWKEALAICRLTIPVYESRSSFNLNH >KJB29252 pep chromosome:Graimondii2_0_v6:5:12292855:12297894:-1 gene:B456_005G091200 transcript:KJB29252 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MEELQASLQPRGLTLSTFPDKGRSLLAARDFYPGEVIISQDPYVCVPNNSLTESRCDGCFSKSNLKKCSACHVVWYCGSSCQKLEWKLHRFECQLLAKLDKERRKSVTPTIRMIVKLYLRRKLQNENVIPVTAMDNYNLVEALVSPILFSLKLEKDMSDIDEKQLLLYAQMANLVNLILQLPNIDIKEIAENFSKFACNAHTICDSELRSLGTGLYPVISIINHSCLPNAVLVFEGRLAVVRAVQHIPKDSEVSISYVETAASTITRQKTLKEQYLFTCTCVRCNKLGQYDDIQESAILEGYRCRDNGCSGFLLRESDEKGFVCQQCGLIRNKEEIRKIASDIKALSDKALKCSSSGNLQEAIVLYKNIEKLQKEVCHPFSIILMRTREKLLEILMQLEEWKEALAICRLTIPVYERVYPGFHPLLGLQYYSCGKLEWLLGETDDAIKSFTKAVDILRITHGTSTPFMKELLMKLEEARAEASFKLSSREDSYITL >KJB29249 pep chromosome:Graimondii2_0_v6:5:12292736:12298000:-1 gene:B456_005G091200 transcript:KJB29249 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MEELQASLQPRGLTLSTFPDKGRSLLAARDFYPGEVIISQDPYVCVPNNSLTESRCDGCFSKSNLKKCSACHVVWYCGSSCQKLEWKLHRFECQLLAKLDKERRKSVTPTIRMIVKLYLRRKLQNENVIPVTAMDNYNLVEALVSHMSDIDEKQLLLYAQMANLVNLILQLPNIDIKEIAENFSKFACNAHTICDSELRSLGTGLYPVISIINHSCLPNAVLVFEGRLAVVRAVQHIPKDSEVSISYVETAASTITRQKTLKEQYLFTCTCVRCNKLGQYDDIQESAILEGYRCRDNGCSGFLLRESDEKGFVCQQCGLIRNKEEIRKIASDIKALSDKALKCSSSGNLQEAIVLYKNIEKLQKEVCHPFSIILMRTREKLLEILMQLEEWKEALAICRLTIPVYERVYPGFHPLLGLQYYSCGKLEWLLGETDDAIKSFTKAVDILRITHGTSTPFMKELLMKLEEARAEASFKLSSREDSYITL >KJB29250 pep chromosome:Graimondii2_0_v6:5:12292855:12297894:-1 gene:B456_005G091200 transcript:KJB29250 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MDNYNLVEALVSHMSDIDEKQLLLYAQMANLVNLILQLPNIDIKEIAENFSKFACNAHTICDSELRSLGTGLYPVISIINHSCLPNAVLVFEGRLAVVRAVQHIPKDSEVSISYVETAASTITRQKTLKEQYLFTCTCVRCNKLGQYDDIQESAILEGYRCRDNGCSGFLLRESDEKGFVCQQCGLIRNKEEIRKIASDIKALSDKALKCSSSGNLQEAIVLYKNIEKLQKEVCHPFSIILMRTREKLLEILMQLEEWKEALAICRLTIPVYERVYPGFHPLLGLQYYSCGKLEWLLGETDDAIKSFTKAVDILRITHGTSTPFMKELLMKLEEARAEASFKLSSREDSYITL >KJB29253 pep chromosome:Graimondii2_0_v6:5:12292855:12297923:-1 gene:B456_005G091200 transcript:KJB29253 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MEELQASLQPRGLTLSTFPDKGRSLLAARDFYPVIISQDPYVCVPNNSLTESRCDGCFSKSNLKKCSACHVVWYCGSSCQKLEWKLHRFECQLLAKLDKERRKSVTPTIRMIVKLYLRRKLQNENVIPVTAMDNYNLVEALVSHMSDIDEKQLLLYAQMANLVNLILQLPNIDIKEIAENFSKFACNAHTICDSELRSLGTGLYPVISIINHSCLPNAVLVFEGRLAVVRAVQHIPKDSEVSISYVETAASTITRQKTLKEQYLFTCTCVRCNKLGQYDDIQESAILEGYRCRDNGCSGFLLRESDEKGFVCQQCGLIRNKEEIRKIASDIKALSDKALKCSSSGNLQEAIVLYKNIEKLQKEVCHPFSIILMRTREKLLEILMQLEEWKEALAICRLTIPVYERVYPGFHPLLGLQYYSCGKLEWLLGETDDAIKSFTKAVDILRITHGTSTPFMKELLMKLEEARAEASFKLSSREDSYITL >KJB28702 pep chromosome:Graimondii2_0_v6:5:6825580:6828470:-1 gene:B456_005G065200 transcript:KJB28702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNQSYDLFFIFIFNLSLLFSLLPFSFSQTVADAPSPAADTCNGIFLSYAYTSGTKLKPTDPKHQPYRFESVLTVLNNGDEKLKSWRAFVGFKNDEYLVSASNAVLADGTSLPTNVGNGTIFAGYPMTDLKTAIETAGDLNQIQVQVKLLGTQFGVAAPGVPLPETIHLANDGFLCPKPSLQGKSEMQVCCTKDPKFKTNVTVEDEFLPRQSGDLTIMYDVTRTYDSNYWAQVTISNHNPLGRLDNWKLSFDWMRDEFIYTMKGAYPYVVDSSDCIFGPQGQHYRDLDFGNVLNCERRPTIIDLPLTKANDTTMGMIPKCCRNGTILPPTMDPSKSSSVFQMQVFKMPPDLNRSELSPPQNWKINGTLNPNYKCGPPVRVSPSQFPDPSGLPSNTTVVASWQVVCNITRPKNASPKCCVSFSAYFNESVVPCPTCACGCLRNSANTCRANATAVLVPPEALLVPFENRTAITRAWADLKHFTVPNPMPCGDNCGVSINWHLYSDFSRGWSARITIFNWDETAFPDWFAAVQLNKATPGFQKMYSFNGTALELNGVNNTIFMQGLPGLNYIVAETDAANPQKDPRVPGKQQTVISFTKKTTPSINIAAGDGFPSKVFFNGEECALPSMLPTNSGNRKGSTTVISILIAVLVFMLVQQ >KJB28703 pep chromosome:Graimondii2_0_v6:5:6825614:6828423:-1 gene:B456_005G065200 transcript:KJB28703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNQSYDLFFIFIFNLSLLFSLLPFSFSQTVADAPSPAADTCNGIFLSYAYTSGTKLKPTDPKHQPYRFESVLTVLNNGDEKLKSWRAFVGFKNDEYLVSASNAVLADGTSLPTNVGNGTIFAGYPMTDLKTAIETAGDLNQIQVQVKLLGTQFGVAAPGVPLPETIHLANDGFLCPKPSLQGKSEMQVCCTKDPKFKTNVTVEDEFLPRQSGDLTIMYDVTRTYDSNYWAQVTISNHNPLGRLDNWKLSFDWMRDEFIYTMKGAYPYVVDSSDCIFGPQGQHYRDLDFGNVLNCERRPTIIDLPLTKANDTTMGMIPKCCRNGTILPPTMDPSKSSSVFQMQVFKMPPDLNRSELSPPQNWKINGTLNPNYKCGPPVRVSPSQFPDPSGLPSNTTVVASWQVVCNITRPKNASPKCCVSFSAYFNESVLNGVNNTIFMQGLPGLNYIVAETDAANPQKDPRVPGKQQTVISFTKKTTPSINIAAGDGFPSKVFFNGEECALPSMLPTNSGNRKGSTTVISILIAVLVFMLVQQ >KJB27516 pep chromosome:Graimondii2_0_v6:5:50137763:50139714:1 gene:B456_005G172500 transcript:KJB27516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTVAKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFAKVKKYGLPMLLTQDEGVKSFISNLTAQLSEWLEAGKLQRVVLVIMSKATNEVLERWNFSIETDCEVVEKGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEPCKSSI >KJB27518 pep chromosome:Graimondii2_0_v6:5:50137763:50139714:1 gene:B456_005G172500 transcript:KJB27518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTVAKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFAKVKKYGLPMLLTQDEGVKSFISNLTAQLSEWLEAGKLQRVVLVIMSKATNEVLERWNFSIETDCEVVEKG >KJB27517 pep chromosome:Graimondii2_0_v6:5:50137763:50139714:1 gene:B456_005G172500 transcript:KJB27517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFSILYNRGVYPEESFAKVKKYGLPMLLTQDEGVKSFISNLTAQLSEWLEAGKLQRVVLVIMSKATNEVLERWNFSIETDCEVVEKGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTDKDVAVPFTWIESDPKLIANPQMVKLHSFDTKIHKVDTLVSYKNDEWEEQ >KJB27515 pep chromosome:Graimondii2_0_v6:5:50137955:50138587:1 gene:B456_005G172500 transcript:KJB27515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTVAKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFAKVKKYGLPMLLTQDEGVKSFISNLTAQLSEWLEAGKLQRVVLVIMSKATNEVLERWNFSIETDCEVVEKG >KJB27514 pep chromosome:Graimondii2_0_v6:5:50137763:50139714:1 gene:B456_005G172500 transcript:KJB27514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTVAKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFAKVKKYGLPMLLTQDEGVKSFISNLTAQLSEWLEAGKLQRVVLVIMSKATNEVLERWNFSIETDCEVVEKGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEPCKSSI >KJB27513 pep chromosome:Graimondii2_0_v6:5:50137635:50139714:1 gene:B456_005G172500 transcript:KJB27513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTVAKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFAKVKKYGLPMLLTQDEGVKSFISNLTAQLSEWLEAGKLQRVVLVIMSKATNEVLERWNFSIETDCEVVEKGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTDKDVAVPFTWIESDPKLIANPQMVKLHSFDTKIHKVDTLVSYKNDEWEEQ >KJB30470 pep chromosome:Graimondii2_0_v6:5:39391113:39392382:-1 gene:B456_005G145500 transcript:KJB30470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISATINSFKSSNLISWKTTGKLQQTPAGCIELSGKTLQSGKVSKLLCTSLCKDGYKIRTVEHLLSALEAKGIDNCRIQIQSLDSEDTEVEVPIFDGSANAWVEAIEQVGRKEALDRCGNNVEKLAPYLSEPFYVLRNDSFMVAFPASKVHISCGIDFPKVREIGKQFKSLSFLTFNRLGCG >KJB32290 pep chromosome:Graimondii2_0_v6:5:61597457:61603281:1 gene:B456_005G233700 transcript:KJB32290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSFREDCFSSMPNLMCLSMCETRISNLWTTIAALSKLPSLVELRFQNWICCNDVGSSGSSVGDDQTGPSQPSNASHLEMPPISLNLLIDLDVMTEQAIRNLLPFSMNQNFQNANEDSSDDSEVDFSIHLENDQLDSSHSAPPGWNREINLPSEATSAALSNQNGEESSEGAISGHVADVSFKYISEHASPICFEQHYREFMIASIPQLRVLDNLPIRNIDREMANLTFFKHFERLPYRRSDKESVVSILQKREIRAIPSSFRTQKQMPMDPVGKSQHSYARSLCAAKMGSSAWPYMHSLSISGNDLGDENGSFRPRQFEYHPTNSSLMVFGTLDGEVVVVNHEKQKIVSYIPSFGTMNSVLGLCWLKHFPSRLIAGSDNGSLKLYDIQHFPSTSNGLRTASSVTFDEFDQLTSVHVNSTDELFLASGYSKNVALYDINSGRRLQVFTDMHQEHINVVKFSNRSPSIFATSSFDQDIKMWDLRQKPIRPCYTATSSKGNVMVCFSPDDHYLLASAVDNEVKQLLAADGSLHLDFQIPATGSSQNYTRSYYLNGKDYIISGSCDEHVVRVCCAQTGRRLRDISLEGKASGSSMFVQSLRGDPFRPFNMSILAAYTRPSSKSEIVKVNLLASSECNKEASSGGSSFPFNSMGG >KJB32289 pep chromosome:Graimondii2_0_v6:5:61593261:61603281:1 gene:B456_005G233700 transcript:KJB32289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKIPALGSIYIESCRRHGVAPRTGFLSALFKADVKKSRHELCVLEILLDHVKDVDFHPLLEVTTRIGDSEIEAVDVLNESSCALNGEYALVLIRSIDQKLRIVDLQDLSFGKDFLRDVSQRGLQCQVLNLRSSHFRKLNLVGEFLRLHTLNLDYSTMLSSFREDCFSSMPNLMCLSMCETRISNLWTTIAALSKLPSLVELRFQNWICCNDVGSSGSSVGDDQTGPSQPSNASHLEMPPISLNLLIDLDVMTEQAIRNLLPFSMNQNFQNANEDSSDDSEVDFSIHLENDQLDSSHSAPPGWNREINLPSEATSAALSNQNGEESSEGAISGHVADVSFKYISEHASPICFEQHYREFMIASIPQLRVLDNLPIRNIDREMANLTFFKHFERLPYRRSDKESVVSILQKREIRAIPSSFRTQKQMPMDPVGKSQHSYARSLCAAKMGSSAWPYMHSLSISGNDLGDENGSFRPRQFEYHPTNSSLMVFGTLDGEVVVVNHEKQKIVSYIPSFGTMNSVLGLCWLKHFPSRLIAGSDNGSLKLYDIQHFPSTSNGLRTASSVTFDEFDQLTSVHVNSTDELFLASGYSKNVALYDINSGRRLQVFTDMHQEHINVVKFSNRSPSIFATSSFDQDIKMWDLRQKPIRPCYTATSSKGNVMVCFSPDDHYLLASAVDNEVKQLLAADGSLHLDFQIPATGSSQNYTRSYYLNGKDYIISGSCDEHVVRVCCAQTGRRLRDISLEGKASGSSMFVQSLRGDPFRPFNMSILAAYTRPSSKSEIVKVNLLASSECNKEASSGGSSFPFNSMGG >KJB30620 pep chromosome:Graimondii2_0_v6:5:42330542:42333783:-1 gene:B456_005G152200 transcript:KJB30620 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MHRPNRRRFHHIITSSRRHYATKYIAKITSTSPGGRSLSAEVSLPTVVTDSRGYPIPRYQLICKVTHILTKSQSQSQSNPFADLSQYLSSLSPTLTTDEASLILKSLSCPSLALKFFHFCSSLSPNFRHDPFTYSRLFLILSKSLRPDRFNTVRSLLDDMEKSGIRGNISTVNILIGFFGNTEDLGTCMRLVKKWELNMNAYTYKCLVQAYLRSFDSGKAFSVYGEMKRKGYKLDVFGYNMLLNALAKDGKVDQTYMVFEDMKRKHCVPDDYTYTIMMKMNGKLGRCDESLKLFDEMVSNGCSLNSITYNTVIQALANGRMVDKVISLFREMVENGCRPSEFTYSVILNVLVVEGRLNKLDEVIEISKKYMSKSIYAYLVRTLSKLGHASEAHRLFCNMWNFHNKGDKDAYVSMLESLCSAGKTTEAIDLLSKIKEKGINSDAFMYNMVFSALGRLKQISHLHDLYEKMKQQGPSPDIFTYNILICSFGRAGKVDEAIKTFEELEKSDCKPDIVSYNSLINCLGKNGNIDEAHMRFKEMQEKGLNPDVVTYSTLIECFGKTDKVEMACALFDEMFAAGCCPNIVTYNILLDCLEKTGRTAQAVDLYTKLKQQGLTPDSITYAVLERLQSGPQKKFRVRRQNPITGWVVSPLK >KJB30618 pep chromosome:Graimondii2_0_v6:5:42330309:42333783:-1 gene:B456_005G152200 transcript:KJB30618 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MHRPNRRRFHHIITSSRRHYATKYIAKITSTSPGGRSLSAEVSLPTVVTDSRGYPIPRYQLICKVTHILTKSQSQSQSNPFADLSQYLSSLSPTLTTDEASLILKSLSCPSLALKFFHFCSSLSPNFRHDPFTYSRLFLILSKSLRPDRFNTVRSLLDDMEKSGIRGNISTVNILIGFFGNTEDLGTCMRLVKKWELNMNAYTYKCLVQAYLRSFDSGKAFSVYGEMKRKGYKLDVFGYNMLLNALAKDGKVDQTYMVFEDMKRKHCVPDDYTYTIMMKMNGKLGRCDESLKLFDEMVSNGCSLNSITYNTVIQALANGRMVDKVISLFREMVENGCRPSEFTYSVILNVLVVEGRLNKLDEVIEISKKYMSKSIYAYLVRTLSKLGHASEAHRLFCNMWNFHNKGDKDAYVSMLESLCSAGKTTEAIDLLSKIKEKGINSDAFMYNMVFSALGRLKQISHLHDLYEKMKQQGPSPDIFTYNILICSFGRAGKVDEAIKTFEELEKSDCKPDIVSYNSLINCLGKNGNIDEAHMRFKEMQEKGLNPDVVTYSTLIECFGKTDKVEMACALFDEMFAAGCCPNIVTYNILLDCLEKTGRTAQAVDLYTKLKQQGLTPDSITYAVLERLQSGPQKKFRVRRQNPITGWVVSPLK >KJB30619 pep chromosome:Graimondii2_0_v6:5:42331156:42333598:-1 gene:B456_005G152200 transcript:KJB30619 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MHRPNRRRFHHIITSSRRHYATKYIAKITSTSPGGRSLSAEVSLPTVVTDSRGYPIPRYQLICKVTHILTKSQSQSQSNPFADLSQYLSSLSPTLTTDEASLILKSLSCPSLALKFFHFCSSLSPNFRHDPFTYSRLFLILSKSLRPDRFNTVRSLLDDMEKSGIRGNISTVNILIGFFGNTEDLGTCMRLVKKWELNMNAYTYKCLVQAYLRSFDSGKAFSVYGEMKRKGYKLDVFGYNMLLNALAKDGKVDQTYMVFEDMKRKHCVPDDYTYTIMMKMNGKLGRCDESLKLFDEMVSNGCSLNSITYNTVIQALANGRMVDKVISLFREMVENGCRPSEFTYSVILNVLVVEGRLNKLDEVIEISKKYMSKSIYAYLVRTLSKLGHASEAHRLFCNMWNFHNKGDKDAYVSMLESLCSAGKTTEAIDLLSKIKEKGINSDAFMYNMVFSALGRLKQISHLHDLYEKMKQQGPSPDIFTYNILICSFGRAGKVDEAIKTFEELEKSDCKPDIVSYNSLINCLGKNGNIDEAHMRFKEMQEKGLNPDVVTYSTLIECFGKTDKVEMACALFDEMFAAGCCPNIVTYNILLDCLEKTGRTAQAVDLYTKLKQQGLTPDSITYAVLERLQSGPQKKFRVRRQNPITGWVVSPLK >KJB30621 pep chromosome:Graimondii2_0_v6:5:42330411:42333598:-1 gene:B456_005G152200 transcript:KJB30621 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MHRPNRRRFHHIITSSRRHYATKYIAKITSTSPGGRSLSAEVSLPTVVTDSRGYPIPRYQLICKVTHILTKSQSQSQSNPFADLSQYLSSLSPTLTTDEASLILKSLSCPSLALKFFHFCSSLSPNFRHDPFTYSRLFLILSKSLRPDRFNTVRSLLDDMEKSGIRGNISTVNILIGFFGNTEDLGTCMRLVKKWELNMNAYTYKCLVQAYLRSFDSGKAFSVYGEMKRKGYKLDVFGYNMLLNALAKDGKVDQTYMVFEDMKRKHCVPDDYTYTIMMKMNGKLGRCDESLKLFDEMVSNGCSLNSITYNTVIQALANGRMVDKVISLFREMVENGCRPSEFTYSVILNVLVVEGRLNKLDEVIEISKKYMSKSIYAYLVRTLSKLGHASEAHRLFCNMWNFHNKGDKDAYVSMLESLCSAGKTTEAIDLLSKIKEKGINSDAFMYNMVFSALGRLKQISHLHDLYEKMKQQGPSPDIFTYNILICSFGRAGKVDEAIKTFEELEKSDCKPDIVSYNSLINCLGKNGNIDEAHMRFKEMQEKGLNPDVVTYSTLIECFGKTDKVEMACALFDEMFAAGCCPNIVTYNILLDCLEKTGRTAQAVDLYTKLKQQGLTPDSITYAVLERLQSGPQKKFRVRRQNPITGWVVSPLK >KJB30622 pep chromosome:Graimondii2_0_v6:5:42331156:42333598:-1 gene:B456_005G152200 transcript:KJB30622 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MHRPNRRRFHHIITSSRRHYATKYIAKITSTSPGGRSLSAEVSLPTVVTDSRGYPIPRYQLICKVTHILTKSQSQSQSNPFADLSQYLSSLSPTLTTDEASLILKSLSCPSLALKFFHFCSSLSPNFRHDPFTYSRLFLILSKSLRPDRFNTVRSLLDDMEKSGIRGNISTVNILIGFFGNTEDLGTCMRLVKKWELNMNAYTYKCLVQAYLRSFDSGKAFSVYGEMKRKGYKLDVFGYNMLLNALAKDGKVDQTYMVFEDMKRKHCVPDDYTYTIMMKMNGKLGRCDESLKLFDEMVSNGCSLNSITYNTVIQALANGRMVDKVISLFREMVENGCRPSEFTYSVILNVLVVEGRLNKLDEVIEISKKYMSKSIYAYLVRTLSKLGHASEAHRLFCNMWNFHNKGDKDAYVSMLESLCSAGKTTEAIDLLSKIKEKGINSDAFMYNMVFSALGRLKQISHLHDLYEKMKQQGPSPDIFTYNILICSFGRAGKVDEAIKTFEELEKSDCKPDIVSYNSLINCLGKNGNIDEAHMRFKEMQEKGLNPDVVTYSTLIECFGKTDKVEMACALFDEMFAAGCCPNIVTYNILLDCLEKTGRTAQAVDLYTKLKQQGLTPDSITYAVLERLQSGPQKKFRVRRQNPITGWVVSPLK >KJB31224 pep chromosome:Graimondii2_0_v6:5:61989348:61999674:-1 gene:B456_005G238500 transcript:KJB31224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAWNNFAKSAEAVVSRWAVKRVFKFLLKKKLGQFILGDIDLDQLDVQLTEGTIQLSDLALNVDYLNRKIGPAASLVIKEGSIGSLLVKMPWKGKGCQVEVDELELLLAPCSEDHLSSADETCSSNDDGNDYNYTDLGKFSNEMAGSHRNPDNVHEGVKTIAKMVKWFLTSFNVKIKKLIVAFDPSLEKGEELGFHRTLVLRISEIECGTCVSEDASLGDEDRDHSFLGISQLTNFVKFHGAALELLQMEDVDNKSCASHTSGMTFSGLQSCSPSNAAIRILSGKGGGFSGNLKLSIPWKNGSLDIRKVDADVSIDPVELRFQPSIIKWFLLSWETCKKFDKVEKSMHYETADSIYLNSNSQFQSPAHASAMAVIDEVVENHGRFSADCTSLRVQESVDEVLLPGSRFIPNWVPISIGKNKRDRVGEEADFGASVDQFFECFDGMRNSQSALGSSGMWNWTCSVFSAITAASSLASGSLHIPSEQQHVQTNLKAAFAGISIVLSFHDEDLDHLCDLIDLSSNIHYLGIECRDISVLVQVCPQEMRFEGTLVHVEAADYFFCKDGGDGSSNVDSKTFKIRNLQDEVHHALPLFSSSTGDQSSGVFRELVSTDFPLRGNGDMVKIMLFTTSGVTHCQCTVRSSSSGGGFSGQTSFSLKLPPLTFWFNFSLLKMLSELLKEVGKSTEIGNNKKVSYDACYENSESSHPRVKRSSSTCITTLSSAETLRGNILISDARVILCFPFKSDDDDDGRYTSWDQFIVLDISSPSPSKGGMQDNSPHLDGSLQKQFPSAGTRSLHLNFGNLCLYSVISAHKDGSGIDYGGMQRHKFSSQKILSVSNGSGCLSFISIFWQEGPVTGLWIAEKAKFLATQEESRSGNKYIGKGYEFATVTTVKDLDDLSVQTRQEIIFSTTCFAHIHLSSVMVDLDSSQYSGLHNLLKQIITGLSCFSCDATGTKEEDSMPQTSVLLECDSLEISIKPDAVENVKAPMQSELPGSWGCLRLNIQKFNLLSVSNIGGIRGSSFLWLTHSEGTLWGSISGVQNQEFLLISCSDSAMKRGDGGGSNALSSRLAGLDIVNFWEPESCQDFTSITVRCSTIVAVGGRLDWMDVICSFFSLPSVDPEQSVEHNLPKGDLDTPPRRASFVLKLVDIAVSYEPHLKNLTVHSEVLDSNSASSNGKEDMSEPYVACLLAASTFCLSNSMVADSLDNEYKIRVQDLGLLLCEVFECDKLGDSYSAEQLNGGGYVKVAREALIEAVVKTNCSNGLLWMVECSNSQIYVETCHDTTSGLIRLAAQFQQLFAPDVEESIVHLQTRWNNYQMAQQRTDEKSRDLNSDISPSSQIHTATVELEGKCGVAGLMDEISEDAFYLDRKETFQFSSSEVEFHLPLEEHVTEEACSLSFENAEMFSHDLPFNGIGLESSQTSFLEPGCFPELIESYCLSELRPLTELSTGLKSSHEVLKYRSIDMGEGDLGRGNSGWYEDASLRIVENHISEPSGQAGLKPIVEGQLSYHDSGVPDNTVNIIGRVLLKNINVRWRMHAGLDWHKTRKSNMCSNNILGRDRTVCLELAVSGLEFQYDIFTPGGVSVSKLSLSVHDFHLYDKSTDAPWKLVLRYYDSKDHPRESSSKAFKLDLEAVRPDPSTPLEEYRLRIALLPMRLQLHQSQLDFLISFFGEKSTSLDQTTSYPPDTDLLVKKSHNLAGHAIANEALLPYFQKFDIWPIIVRVDYSPHHVDLAALKGGKYAELVNFVPWKGIELELKHVHAVGVYGWGSVCETIIGEWLEDISQNQIHKVLCGFPTVQSLVAVGAAAAKLVSLPLESYRKDQRVLKGMQRGTMAFLRSISLEAVGLGVHLAAGTRDILLQAEYMFTNIPPPVSWSSQGKSKTKKKTNVRHNHPKDAQQGIQQAYESISNGLGKSASALVQTPLKKYQRGASASSALATAVRAVPTAAIAPASGCASAIHCALLGLRNSLDPERKKESMEKYFGPTLPQDPN >KJB31227 pep chromosome:Graimondii2_0_v6:5:61988959:62000024:-1 gene:B456_005G238500 transcript:KJB31227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAWNNFAKSAEAVVSRWAVKRVFKFLLKKKLGQFILGDIDLDQLDVQLTEGTIQLSDLALNVDYLNRKIGPAASLVIKEGSIGSLLVKMPWKGKGCQVEVDELELLLAPCSEDHLSSADETCSSNDDGNDYNYTDLGKFSNEMAGSHRNPDNVHEGVKTIAKMVKWFLTSFNVKIKKLIVAFDPSLEKGEELGFHRTLVLRISEIECGTCVSEDASLGDEDRDHSFLGISQLTNFVKFHGAALELLQMEDVDNKSCASHTSGMTFSGLQSCSPSNAAIRILSGKGGGFSGNLKLSIPWKNGSLDIRKVDADVSIDPVELRFQPSIIKWFLLSWETCKKFDKVEKSMHYETADSIYLNSNSQFQSPAHASAMAVIDEVVENHGRFSADCTSLRVQESVDEVLLPGSRFIPNWVPISIGKNKRDRVGEEADFGASVDQFFECFDGMRNSQSALGSSGMWNWTCSVFSAITAASSLASGSLHIPSEQQHVQTNLKAAFAGISIVLSFHDEDLDHLCDLIDLSSNIHYLGIECRDISVLVQVCPQEMRFEGTLVHVEAADYFFCKDGGDGSSNVDSKTFKIRNLQDEVHHALPLFSSSTGDQSSGVFRELVSTDFPLRGNGDMVKIMLFTTSGVTHCQCTVRSSSSGGGFSGQTSFSLKLPPLTFWFNFSLLKMLSELLKEVGKSTEIGNNKKVSYDACYENSESSHPRVKRSSSTCITTLSSAETLRGNILISDARVILCFPFKSDDDDDGRYTSWDQFIVLDISSPSPSKGGMQDNSPHLDGSLQKQFPSAGTRSLHLNFGNLCLYSVISAHKDGSGIDYGGMQRHKFSSQKILSVSNGSGCLSFISIFWQEGPVTGLWIAEKAKFLATQEESRSGNKYIGKGYEFATVTTVKDLDDLSVQTRQEIIFSTTCFAHIHLSSVMVDLDSSQYSGLHNLLKQIITGLSCFSCDATGTKEEDSMPQTSVLLECDSLEISIKPDAVENVKAPMQSELPGSWGCLRLNIQKFNLLSVSNIGGIRGSSFLWLTHSEGTLWGSISGVQNQEFLLISCSDSAMKRGDGGGSNALSSRLAGLDIVNFWEPESCQDFTSITVRCSTIVAVGGRLDWMDVICSFFSLPSVDPEQSVEHNLPKGDLDTPPRRASFVLKLVDIAVSYEPHLKNLTVHSEVLDSNSASSNGKEDMSEPYVACLLAASTFCLSNSMVADSLDNEYKIRVQDLGLLLCEVFECDKLGDSYSAEQLNGGGYVKVAREALIEAVVKTNCSNGLLWMVECSNSQIYVETCHDTTSGLIRLAAQFQQLFAPDVEESIVHLQTRWNNYQMAQQRTDEKSRDLNSDISPSSQIHTATVELEGKCGVAGLMDEISEDAFYLDRKETFQFSSSEVEFHLPLEEHVTEEACSLSFENAEMFSHDLPFNGIGLESSQTSFLEPGCFPELIESYCLSELRPLTELSTGLKSSHEVLKYRSIDMGEGDLGRGNSGWYEDASLRIVENHISEPSGQAGLKPIVEGQLSYHDSGVPDNTVNIIGRVLLKNINVRWRMHAGLDWHKTRKSNMCSNNILGRDRTVCLELAVSGLEFQYDIFTPGGVSVSKLSLSVHDFHLYDKSTDAPWKLVLRYYDSKDHPRESSSKAFKLDLEAVRPDPSTPLEEYRLRIALLPMRLQLHQSQLDFLISFFGEKSTSLDQTTSYPPDTDLLVKKSHNLAGHAIANEALLPYFQKFDIWPIIVRVDYSPHHVDLAALKGGKYAELVNFVPWKGIELELKHVHAVGVYGWGSVCETIIGEWLEDISQNQIHKVLCGFPTVQSLVAVGAAAAKLVSLPLESYRKDQRVLKGMQRGTMAFLRSISLEAVGLGVHLAAGTRDILLQAEYMFTNIPPPVSWSSQGKSKTKKKTNVRHNHPKDAQQGIQQAYESISNGLGKSASALVQTPLKKYQRGASASSALATAVRAVPTAAIAPASGCASAIHCALLGLRNSLDPERKKESMEKYFGPTLPQDPN >KJB31231 pep chromosome:Graimondii2_0_v6:5:61989026:61999674:-1 gene:B456_005G238500 transcript:KJB31231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAWNNFAKSAEAVVSRWAVKRVFKFLLKKKLGQFILGDIDLDQLDVQLTEGTIQLSDLALNVDYLNRKIGPAASLVIKEGSIGSLLVKMPWKGKGCQVEVDELELLLAPCSEDHLSSADETCSSNDDGNDYNYTDLGKFSNEMAGSHRNPDNVHEGVKTIAKMVKWFLTSFNVKIKKLIVAFDPSLEKGEELGFHRTLVLRISEIECGTCVSEDASLGDEDRDHSFLGISQLTNFVKFHGAALELLQMEDVDNKSCASHTSGMTFSGLQSCSPSNAAIRILSGKGGGFSGNLKLSIPWKNGSLDIRKVDADVSIDPVELRFQPSIIKWFLLSWETCKKFDKVEKSMHYETADSIYLNSNSQFQSPAHASAMAVIDEVVENHGRFSADCTSLRVQESVDEVLLPGSRFIPNWVPISIGKNKRDRVGEEADFGASVDQFFECFDGMRNSQSALGSSGMWNWTCSVFSAITAASSLASGSLHIPSEQQHVQTNLKAAFAGISIVLSFHDEDLDHLCDLIDLSSNIHYLGIECRDISVLVQVCPQEMRFEGTLVHVEAADYFFCKDGGDGSSNVDSKTFKIRNLQDEVHHALPLFSSSTGDQSSGVFRELVSTDFPLRGNGDMVKIMLFTTSGVTHCQCTVRSSSSGGGFSGQTSFSLKLPPLTFWFNFSLLKMLSELLKEVGKSTEIGNNKKVSYDACYENSESSHPRVKRSSSTCITTLSSAETLRGNILISDARVILCFPFKSDDDDDGRYTSWDQFIVLDISSPSPSKGGMQDNSPHLDGSLQKQFPSAGTRSLHLNFGNLCLYSVISAHKDGSGIDYGGMQRHKFSSQKILSVSNGSGCLSFISIFWQEGPVTGLWIAEKAKFLATQEESRSGNKYIGKGYEFATVTTVKDLDDLSVQTRQEIIFSTTCFAHIHLSSVMVDLDSSQYSGLHNLLKQIITGLSCFSCDATGTKEEDSMPQTSVLLECDSLEISIKPDAVENVKAPMQSELPGSWGCLRLNIQKFNLLSVSNIGGIRGSSFLWLTHSEGTLWGSISGVQNQEFLLISCSDSAMKRGDGGGSNALSSRLAGLDIVNFWEPESCQDFTSITVRCSTIVAVGGRLDWMDVICSFFSLPSVDPEQSVEHNLPKGDLDTPPRRASFVLKLVDIAVSYEPHLKNLTVHSEVLDSNSASSNGKEDMSEPYVACLLAASTFCLSNSMVADSLDNEYKIRVQDLGLLLCEVFECDKLGDSYSAEQLNGGGYVKVAREALIEAVVKTNCSNGLLWMVECSNSQIYVETCHDTTSGLIRLAAQFQQLFAPDVEESIVHLQTRWNNYQMAQQRTDEKSRDLNSDISPSSQIHTATVELEGKCGVAGLMDEISEDAFYLDRKETFQFSSSEVEFHLPLEEHVTEEACSLSFENAEMFSHDLPFNGIGLESSQTSFLEPGCFPELIESYCLSELRPLTELSTGLKSSHEVLKYRSIDMGEGDLGRGNSGWYEDASLRIVENHISEPSGQAGLKPIVEGQLSYHDSGVPDNTVNIIGRVLLKNINVRWRMHAGLDWHKTRKSNMCSNNILGRDRTVCLELAVSGLEFQYDIFTPGGVSVSKLSLSVHDFHLYDKSTDAPWKLVLRYYDSKDHPRESSSKAFKLDLEAVRPDPSTPLEEYRLRIALLPMRLQLHQSQLDFLISFFGEKSTSLDQTTSYPPDTDLLVKKSHNLAGHAIANEALLPYFQKFDIWPIIVRVDYSPHHVDLAALKGGKYAELVNFVPWKGIELELKHVHAVGVYGWGSVCETIIGEWLEDISQNQIHKVLCGFPTVQSLVAVGAAAAKLVSLPLESYRKDQRVLKGMQRGTMAFLRSISLEAVGLGVHLAAGTRDILLQAEYMFTNIPPPVSWSSQGKSKTKKKTNVRHNHPKDAQQGIQQAYESISNGLGKSASALVQTPLKKYQRGASASSALATAVRAVPTAAIAPASGCASAIHCALLGLRNSLDPERKKESMEKYFGPTLPQDPN >KJB31226 pep chromosome:Graimondii2_0_v6:5:61989026:61999674:-1 gene:B456_005G238500 transcript:KJB31226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAWNNFAKSAEAVVSRWAVKRVFKFLLKKKLGQFILGDIDLDQLDVQLTEGTIQLSDLALNVDYLNRKIGPAASLVIKEGSIGSLLVKMPWKGKGCQVEVDELELLLAPCSEDHLSSADETCSSNDDGNDYNYTDLGKFSNEMAGSHRNPDNVHEGVKTIAKMVKWFLTSFNVKIKKLIVAFDPSLEKGEELGFHRTLVLRISEIECGTCVSEDASLGDEDRDHSFLGISQLTNFVKFHGAALELLQMEDVDNKSCASHTSGMTFSGLQSCSPSNAAIRILSGKGGGFSGNLKLSIPWKNGSLDIRKVDADVSIDPVELRFQPSIIKWFLLSWETCKKFDKVEKSMHYETADSIYLNSNSQFQSPAHASAMAVIDEVVENHGRFSADCTSLRVQESVDEVLLPGSRFIPNWVPISIGKNKRDRVGEEADFGASVDQFFECFDGMRNSQSALGSSGMWNWTCSVFSAITAASSLASGSLHIPSEQQHVQTNLKAAFAGISIVLSFHDEDLDHLCDLIDLSSNIHYLGIECRDISVLVQVCPQEMRFEGTLVHVEAADYFFCKDGGDGSSNVDSKTFKIRNLQDEVHHALPLFSSSTGDQSSGVFRELVSTDFPLRGNGDMVKIMLFTTSGVTHCQCTVRSSSSGGGFSGQTSFSLKLPPLTFWFNFSLLKMLSELLKEVGKSTEIGNNKKVSYDACYENSESSHPRVKRSSSTCITTLSSAETLRGNILISDARVILCFPFKSDDDDDGRYTSWDQFIVLDISSPSPSKGGMQDNSPHLDGSLQKQFPSAGTRSLHLNFGNLCLYSVISAHKDGSGIDYGGMQRHKFSSQKILSVSNGSGCLSFISIFWQEGPVTGLWIAEKAKFLATQEESRSGNKYIGKGYEFATVTTVKDLDDLSVQTRQEIIFSTTCFAHIHLSSVMVDLDSSQYSGLHNLLKQIITGLSCFSCDATGTKEEDSMPQTSVLLECDSLEISIKPDAVENVKAPMQSELPGSWGCLRLNIQKFNLLSVSNIGGIRGSSFLWLTHSEGTLWGSISGVQNQEFLLISCSDSAMKRGDGGGSNALSSRLAGLDIVNFWEPESCQDFTSITVRCSTIVAVGGRLDWMDVICSFFSLPSVDPEQSVEHNLPKGDLDTPPRRASFVLKLVDIAVSYEPHLKNLTVHSEVLDSNSASSNGKEDMSEPYVACLLAASTFCLSNSMVADSLDNEYKIRVQDLGLLLCEVFECDKLGDSYSAEQLNGGGYVKVAREALIEAVVKTNCSNGLLWMVECSNSQIYVETCHDTTSGLIRLAAQFQQLFAPDVEESIVHLQTRWNNYQMAQQRTDEKSRDLNSDISPSSQIHTATVELEGKCGVAGLMDEISEDAFYLDRKETFQFSSSEVEFHLPLEEHVTEEACSLSFENAEMFSHDLPFNGIGLESSQTSFLEPGCFPELIESYCLSELRPLTELSTGLKSSHEVLKYRSIDMGEGDLGRGNSGWYEDASLRIVENHISEPSGQAGLKPIVEGQLSYHDSGVPDNTVNIIGRVLLKNINVRWRMHAGLDWHKTRKSNMCSNNILGRDRTVCLELAVSGLEFQYDIFTPGGVSVSKLSLSVHDFHLYDKSTDAPWKLVLRYYDSKDHPRESSSKAFKLDLEAVRPDPSTPLEEYRLRIALLPMRLQLHQSQLDFLISFFGEKSTSLDQTTSYPPDTDLLVKKSHNLAGHAIANEALLPYFQKFDIWPIIVRVDYSPHHVDLAALKGGKYAELVNFVPWKGIELELKHVHAVGVYGWGSVCETIIGEWLEDISQNQIHKVLCGFPTVQSLVAVGAAAAKLVSLPLESYRKDQRVLKGMQRGTMAFLRSISLEAVGLGVHLAAGTRDILLQAEYMFTNIPPPVSWSSQGKSKTKKKTNVRHNHPKDAQQGIQQAYESISNGLGKSASALVQTPLKKYQRGASASSALATAVRAVPTAAIAPASGCASAIHCALLGLRNSLDPERKKESMEKYFGPTLPQDPN >KJB31225 pep chromosome:Graimondii2_0_v6:5:61989994:61999674:-1 gene:B456_005G238500 transcript:KJB31225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAWNNFAKSAEAVVSRWAVKRVFKFLLKKKLGQFILGDIDLDQLDVQLTEGTIQLSDLALNVDYLNRKIGPAASLVIKEGSIGSLLVKMPWKGKGCQVEVDELELLLAPCSEDHLSSADETCSSNDDGNDYNYTDLGKFSNEMAGSHRNPDNVHEGVKTIAKMVKWFLTSFNVKIKKLIVAFDPSLEKGEELGFHRTLVLRISEIECGTCVSEDASLGDEDRDHSFLGISQLTNFVKFHGAALELLQMEDVDNKSCASHTSGMTFSGLQSCSPSNAAIRILSGKGGGFSGNLKLSIPWKNGSLDIRKVDADVSIDPVELRFQPSIIKWFLLSWETCKKFDKVEKSMHYETADSIYLNSNSQFQSPAHASAMAVIDEVVENHGRFSADCTSLRVQESVDEVLLPGSRFIPNWVPISIGKNKRDRVGEEADFGASVDQFFECFDGMRNSQSALGSSGMWNWTCSVFSAITAASSLASGSLHIPSEQQHVQTNLKAAFAGISIVLSFHDEDLDHLCDLIDLSSNIHYLGIECRDISVLVQVCPQEMRFEGTLVHVEAADYFFCKDGGDGSSNVDSKTFKIRNLQDEVHHALPLFSSSTGDQSSGVFRELVSTDFPLRGNGDMVKIMLFTTSGVTHCQCTVRSSSSGGGFSGQTSFSLKLPPLTFWFNFSLLKMLSELLKEVGKSTEIGNNKKVSYDACYENSESSHPRVKRSSSTCITTLSSAETLRGNILISDARVILCFPFKSDDDDDGRYTSWDQFIVLDISSPSPSKGGMQDNSPHLDGSLQKQFPSAGTRSLHLNFGNLCLYSVISAHKDGSGIDYGGMQRHKFSSQKILSVSNGSGCLSFISIFWQEGPVTGLWIAEKAKFLATQEESRSGNKYIGKGYEFATVTTVKDLDDLSVQTRQEIIFSTTCFAHIHLSSVMVDLDSSQYSGLHNLLKQIITGLSCFSCDATGTKEEDSMPQTSVLLECDSLEISIKPDAVENVKAPMQSELPGSWGCLRLNIQKFNLLSVSNIGGIRGSSFLWLTHSEGTLWGSISGVQNQEFLLISCSDSAMKRGDGGGSNALSSRLAGLDIVNFWEPESCQDFTSITVRCSTIVAVGGRLDWMDVICSFFSLPSVDPEQSVEHNLPKGDLDTPPRRASFVLKLVDIAVSYEPHLKNLTVHSEVLDSNSASSNGKEDMSEPYVACLLAASTFCLSNSMVADSLDNEYKIRVQDLGLLLCEVFECDKLGDSYSAEQLNGGGYVKVAREALIEAVVKTNCSNGLLWMVECSNSQIYVETCHDTTSGLIRLAAQFQQLFAPDVEESIVHLQTRWNNYQMAQQRTDEKSRDLNSDISPSSQIHTATVELEGKCGVAGLMDEISEDAFYLDRKETFQFSSSEVEFHLPLEEHVTEEACSLSFENAEMFSHDLPFNGIGLESSQTSFLEPGCFPELIESYCLSELRPLTELSTGLKSSHEVLKYRSIDMGEGDLGRGNSGWYEDASLRIVENHISEPSGQAGLKPIVEGQLSYHDSGVPDNTVNIIGRVLLKNINVRWRMHAGLDWHKTRKSNMCSNNILGRDRTVCLELAVSGLEFQYDIFTPGGVSVSKLSLSVHDFHLYDKSTDAPWKLVLRYYDSKDHPRESSSKAFKLDLEAVRPDPSTPLEEYRLRIALLPMRLQLHQSQLDFLISFFGEKSTSLDQTTSYPPDTDLLVKKSHNLAGHAIANEALLPYFQKFDIWPIIVRVDYSPHHVDLAALKGGKYAELVNFVPWKGIELELKHVHAVGVYGWGSVCETIIGEWLEDISQNQIHKVLCGFPTVQSLVAVGAAAAKLVSLPLESYRKDQRVLKGMQRGTMAFLRSISLEAVGLGVHLAAGTRDILLQAEYMFTNIPPPVSWSSQGKSKTKKKTNVRHNHPKDAQQGIQQAYESISNGLGKSASALVQTPLKKYQRGASASSALATAVRAVPTAAIAPASGCASAIHCALLGLRNR >KJB31229 pep chromosome:Graimondii2_0_v6:5:61989994:61999674:-1 gene:B456_005G238500 transcript:KJB31229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAWNNFAKSAEAVVSRWAVKRVFKFLLKKKLGQFILGDIDLDQLDVQLTEGTIQLSDLALNVDYLNRKIGPAASLVIKEGSIGSLLVKMPWKGKGCQVEVDELELLLAPCSEDHLSSADETCSSNDDGNDYNYTDLGKFSNEMAGSHRNPDNVHEGVKTIAKMVKWFLTSFNVKIKKLIVAFDPSLEKGEELGFHRTLVLRISEIECGTCVSEDASLGDEDRDHSFLGISQLTNFVKFHGAALELLQMEDVDNKSCASHTSGMTFSGLQSCSPSNAAIRILSGKGGGFSGNLKLSIPWKNGSLDIRKVDADVSIDPVELRFQPSIIKWFLLSWETCKKFDKVEKSMHYETADSIYLNSNSQFQSPAHASAMAVIDEVVENHGRFSADCTSLRVQESVDEVLLPGSRFIPNWVPISIGKNKRDRVGEEADFGASVDQFFECFDGMRNSQSALGSSGMWNWTCSVFSAITAASSLASGSLHIPSEQQHVQTNLKAAFAGISIVLSFHDEDLDHLCDLIDLSSNIHYLGIECRDISVLVQVCPQEMRFEGTLVHVEAADYFFCKDGGDGSSNVDSKTFKIRNLQDEVHHALPLFSSSTGDQSSGVFRELVSTDFPLRGNGDMVKIMLFTTSGVTHCQCTVRSSSSGGGFSGQTSFSLKLPPLTFWFNFSLLKMLSELLKEVGKSTEIGNNKKVSYDACYENSESSHPRVKRSSSTCITTLSSAETLRGNILISDARVILCFPFKSDDDDDGRYTSWDQFIVLDISSPSPSKGGMQDNSPHLDGSLQKQFPSAGTRSLHLNFGNLCLYSVISAHKDGSGIDYGGMQRHKFSSQKILSVSNGSGCLSFISIFWQEGPVTGLWIAEKAKFLATQEESRSGNKYIGKGYEFATVTTVKDLDDLSVQTRQEIIFSTTCFAHIHLSSVMVDLDSSQYSGLHNLLKQIITGLSCFSCDATGTKEEDSMPQTSVLLECDSLEISIKPDAVENVKAPMQSELPGSWGCLRLNIQKFNLLSVSNIGGIRGSSFLWLTHSEGTLWGSISGVQNQEFLLISCSDSAMKRGDGGGSNALSSRLAGLDIVNFWEPESCQDFTSITVRCSTIVAVGGRLDWMDVICSFFSLPSVDPEQSVEHNLPKGDLDTPPRRASFVLKLVDIAVSYEPHLKNLTVHSEVLDSNSASSNGKEDMSEPYVACLLAASTFCLSNSMVADSLDNEYKIRVQDLGLLLCEVFECDKLGDSYSAEQLNGGGYVKVAREALIEAVVKTNCSNGLLWMVECSNSQIYVETCHDTTSGLIRLAAQFQQLFAPDVEESIVHLQTRWNNYQMAQQRTDEKSRDLNSDISPSSQIHTATVELEGKCGVAGLMDEISEDAFYLDRKETFQFSSSEVEFHLPLEEHVTEEACSLSFENAEMFSHDLPFNGIGLESSQTSFLEPGCFPELIESYCLSELRPLTELSTGLKSSHEVLKYRSIDMGEGDLGRGNSGWYEDASLRIVENHISEPSGQAGLKPIVEGQLSYHDSGVPDNTVNIIGRVLLKNINVRWRMHAGLDWHKTRKSNMCSNNILGRDRTVCLELAVSGLEFQYDIFTPGGVSVSKLSLSVHDFHLYDKSTDAPWKLVLRYYDSKDHPRESSSKAFKLDLEAVRPDPSTPLEEYRLRIALLPMRLQLHQSQLDFLISFFGEKSTSLDQTTSYPPDTDLLVKKSHNLAGHAIANEALLPYFQKFDIWPIIVRVDYSPHHVDLAALKGGKYAELVNFVPWKGIELELKHVHAVGVYGWGSVCETIIGEWLEDISQNQIHKVLCGFPTVQSLVAVGAAAAKLVSLPLESYRKDQRVLKGMQRGTMAFLRSISLEAVGLGVHLAAGTRDILLQAEYMFTNIPPPVSWSSQGKSKTKKKTNVRHNHPKDAQQGIQQAYESISNGLGKSASALVQTPLKKYQRGASASSALATAVRAVPTAAIAPASGCASAIHCALLGLRNR >KJB31230 pep chromosome:Graimondii2_0_v6:5:61989026:61999870:-1 gene:B456_005G238500 transcript:KJB31230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLQKAPSSSLTSPSTSIILIGSVDQFFECFDGMRNSQSALGSSGMWNWTCSVFSAITAASSLASGSLHIPSEQQHVQTNLKAAFAGISIVLSFHDEDLDHLCDLIDLSSNIHYLGIECRDISVLVQVCPQEMRFEGTLVHVEAADYFFCKDGGDGSSNVDSKTFKIRNLQDEVHHALPLFSSSTGDQSSGVFRELVSTDFPLRGNGDMVKIMLFTTSGVTHCQCTVRSSSSGGGFSGQTSFSLKLPPLTFWFNFSLLKMLSELLKEVGKSTEIGNNKKVSYDACYENSESSHPRVKRSSSTCITTLSSAETLRGNILISDARVILCFPFKSDDDDDGRYTSWDQFIVLDISSPSPSKGGMQDNSPHLDGSLQKQFPSAGTRSLHLNFGNLCLYSVISAHKDGSGIDYGGMQRHKFSSQKILSVSNGSGCLSFISIFWQEGPVTGLWIAEKAKFLATQEESRSGNKYIGKGYEFATVTTVKDLDDLSVQTRQEIIFSTTCFAHIHLSSVMVDLDSSQYSGLHNLLKQIITGLSCFSCDATGTKEEDSMPQTSVLLECDSLEISIKPDAVENVKAPMQSELPGSWGCLRLNIQKFNLLSVSNIGGIRGSSFLWLTHSEGTLWGSISGVQNQEFLLISCSDSAMKRGDGGGSNALSSRLAGLDIVNFWEPESCQDFTSITVRCSTIVAVGGRLDWMDVICSFFSLPSVDPEQSVEHNLPKGDLDTPPRRASFVLKLVDIAVSYEPHLKNLTVHSEVLDSNSASSNGKEDMSEPYVACLLAASTFCLSNSMVADSLDNEYKIRVQDLGLLLCEVFECDKLGDSYSAEQLNGGGYVKVAREALIEAVVKTNCSNGLLWMVECSNSQIYVETCHDTTSGLIRLAAQFQQLFAPDVEESIVHLQTRWNNYQMAQQRTDEKSRDLNSDISPSSQIHTATVELEGKCGVAGLMDEISEDAFYLDRKETFQFSSSEVEFHLPLEEHVTEEACSLSFENAEMFSHDLPFNGIGLESSQTSFLEPGCFPELIESYCLSELRPLTELSTGLKSSHEVLKYRSIDMGEGDLGRGNSGWYEDASLRIVENHISEPSGQAGLKPIVEGQLSYHDSGVPDNTVNIIGRVLLKNINVRWRMHAGLDWHKTRKSNMCSNNILGRDRTVCLELAVSGLEFQYDIFTPGGVSVSKLSLSVHDFHLYDKSTDAPWKLVLRYYDSKDHPRESSSKAFKLDLEAVRPDPSTPLEEYRLRIALLPMRLQLHQSQLDFLISFFGEKSTSLDQTTSYPPDTDLLVKKSHNLAGHAIANEALLPYFQKFDIWPIIVRVDYSPHHVDLAALKGGKYAELVNFVPWKGIELELKHVHAVGVYGWGSVCETIIGEWLEDISQNQIHKVLCGFPTVQSLVAVGAAAAKLVSLPLESYRKDQRVLKGMQRGTMAFLRSISLEAVGLGVHLAAGTRDILLQAEYMFTNIPPPVSWSSQGKSKTKKKTNVRHNHPKDAQQGIQQAYESISNGLGKSASALVQTPLKKYQRGASASSALATAVRAVPTAAIAPASGCASAIHCALLGLRNSLDPERKKESMEKYFGPTLPQDPN >KJB31228 pep chromosome:Graimondii2_0_v6:5:61989026:61999674:-1 gene:B456_005G238500 transcript:KJB31228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAWNNFAKSAEAVVSRWAVKRVFKFLLKKKLGQFILGDIDLDQLDVQLTEGTIQLSDLALNVDYLNRKIGPAASLVIKEGSIGSLLVKMPWKGKGCQVEVDELELLLAPCSEDHLSSADETCSSNDDGNDYNYTDLGKFSNEMAGSHRNPDNVHEGVKTIAKMVKWFLTSFNVKIKKLIVAFDPSLEKGEELGFHRTLVLRISEIECGTCVSEDASLGDEDRDHSFLGISQLTNFVKFHGAALELLQMEDVDNKSCASHTSGMTFSGLQSCSPSNAAIRILSGKGGGFSGNLKLSIPWKNGSLDIRKVDADVSIDPVELRFQPSIIKWFLLSWETCKKFDKVEKSMHYETADSIYLNSNSQFQSPAHASAMAVIDEVVENHGRFSADCTSLRVQESVDEVLLPGSRFIPNWVPISIGKNKRDRVGEEADFGASVDQFFECFDGMRNSQSALGSSGMWNWTCSVFSAITAASSLASGSLHIPSEQQHVQTNLKAAFAGISIVLSFHDEDLDHLCDLIDLSSNIHYLGIECRDISVLVQVCPQEMRFEGTLVHVEAADYFFCKDGGDGSSNVDSKTFKIRNLQDEVHHALPLFSSSTGDQSSGVFRELVSTDFPLRGNGDMVKIMLFTTSGVTHCQCTVRSSSSGGGFSGQTSFSLKLPPLTFWFNFSLLKMLSELLKEVGKSTEIGNNKKVSYDACYENSESSHPRVKRSSSTCITTLSSAETLRGNILISDARVILCFPFKSDDDDDGRYTSWDQFIVLDISSPSPSKGGMQDNSPHLDGSLQKQFPSAGTRSLHLNFGNLCLYSVISAHKDGSGIDYGGMQRHKFSSQKILSVSNGSGCLSFISIFWQEGPVTGLWIAEKAKFLATQEESRSGNKYIGKGYEFATVTTVKDLDDLSVQTRQEIIFSTTCFAHIHLSSVMVDLDSSQYSGLHNLLKQIITGLSCFSCDATGTKEEDSMPQTSVLLECDSLEISIKPDAVENVKAPMQSELPGSWGCLRLNIQKFNLLSVSNIGGIRGSSFLWLTHSEGTLWGSISGVQNQEFLLISCSDSAMKRGDGGGSNALSSRLAGLDIVNFWEPESCQDFTSITVRCSTIVAVGGRLDWMDVICSFFSLPSVDPEQSVEHNLPKGDLDTPPRRASFVLKLVDIAVSYEPHLKNLTVHSEVLDSNSASSNGKEDMSEPYVACLLAASTFCLSNSMVADSLDNEYKIRVQDLGLLLCEVFECDKLGDSYSAEQLNGGGYVKVAREALIEAVVKTNCSNGLLWMVECSNSQIYVETCHDTTSGLIRLAAQFQQLFAPDVEESIVHLQTRWNNYQMAQQRTDEKSRDLNSDISPSSQIHTATVELEGKCGVAGLMDEISEDAFYLDRKETFQFSSSEVEFHLPLEEHVTEEACSLSFENAEMFSHDLPFNGIGLESSQTSFLEPGCFPELIESYCLSELRPLTELSTGLKSSHEVLKYRSIDMGEGDLGRGNSGWYEDASLRIVENHISEPSGQAGLKPIVEGQLSYHDSGVPDNTVNIIGRVLLKNINVRWRMHAGLDWHKTRKSNMCSNNILGRDRTVCLELAVSGLEFQYDIFTPGGVSVSKLSLSVHDFHLYDKSTDAPWKLVLRYYDSKDHPRESSSKAFKLDLEAVRPDPSTPLEEYRLRIALLPMRLQLHQSQLDFLISFFGEKSTSLDQTTSYPPDTDLLVKKSHNLAGHAIANEALLPYFQKFDIWPIIVRVDYSPHHVDLAALKGGKYAELVNFVPWKGIELELKHVHAVGVYGWGSVCETIIGEWLEDISQNQIHKVLCGFPTVQSLVAVGAAAAKLVSLPLESYRKDQRVLKGMQRGRLFKQNFFNLACLSKFCPCVQAKMCNSGTMAFLRSISLEAVGLGVHLAAGTRDILLQAEYMFTNIPPPVSWSSQGKSKTKKKTNVRHNHPKDAQQGIQQAYESISNGLGKSASALVQTPLKKYQRGASASSALATAVRAVPTAAIAPASGCASAIHCALLGLRNSLDPERKKESMEKYFGPTLPQDPN >KJB28438 pep chromosome:Graimondii2_0_v6:5:4715400:4716206:-1 gene:B456_005G048200 transcript:KJB28438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAPRKSSAVDGSDRRQPSRLQRCSPASIRISPVSNWNVAIPLLSPVPPSPPSIDLGMTEKREELPRKEQQRQKQTTERQKRVFAMWQHPAAPFCYEPAPFVPV >KJB32441 pep chromosome:Graimondii2_0_v6:5:62206571:62209880:1 gene:B456_005G240900 transcript:KJB32441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPFFHKLILSTTLQDKKLRIPDNFIKKFRDELSVAAALTVPDGHVWRVGIKKVDNKAWFKEGWQEFVERYYIRVGYFLIFRYEGNSAFSVSIFDLYNSEINYQTNALVGTQYNLGRQYPFEELEDDECVSPAMPNLFGRSKLNCINWSGEVNHHAPKGVNNQPIRVKLHSSGAELPKLKKPGRKKQKFQPSEEDSSLGHEDDMEMRNRFYESASARKRIVTAEERERAINAAKAFEPTNPFCRVVLRPSYLYRGCIMYLPSCFAEQHLSGVSGFIKLQLPDGRQWPVRCLYRGGRAKFSQGWYEFTLENNLGEGDVCVFELLRSREFVLKVTVFRVMESAGLMDRSQ >KJB32443 pep chromosome:Graimondii2_0_v6:5:62206731:62209825:1 gene:B456_005G240900 transcript:KJB32443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPFFHKLILSTTLQDKKLRIPDNFIKKFRDELSVAAALTVPDGHVWRVGIKKVDNKAWFKEGWQEFVERYYIRVGYFLIFRYEGNSAFSVSIFDLYNSEINYQTNALVGTQYNLGRQYPFEELEDDECVSPAMPNLFGAELPKLKKPGRKKQKFQPSEEDSSLGHEDDMEMRNRFYESASARKRIVTAEERERAINAAKAFEPTNPFCRVVLRPSYLYRGCIMYLPSCFAEQHLSGVSGFIKLQLPDGRQWPVRCLYRGGRAKFSQGWYEFTLENNLGEGDVCVFELLRSREFVLKVTVFRVMESAGLMDRSQ >KJB32442 pep chromosome:Graimondii2_0_v6:5:62206688:62209825:1 gene:B456_005G240900 transcript:KJB32442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPFFHKLILSTTLQDKKLRIPDNFIKKFRDELSVAAALTVPDGHVWRVGIKKVDNKAWFKEGWQEFVERYYIRVGYFLIFRYEGNSAFSVSIFDLYNSEINYQTNALVGTQYNLGRQYPFEELEDDECVSPAMPNLFGRSKLNCINWSGEVNHHAPKGVNNQPIRGAELPKLKKPGRKKQKFQPSEEDSSLGHEDDMEMRNRFYESASARKRIVTAEERERAINAAKAFEPTNPFCRVVLRPSYLYRGCIMYLPSCFAEQHLSGVSGFIKLQLPDGRQWPVRCLYRGGRAKFSQGWYEFTLENNLGEGDVCVFELLRSREFVLKVTVFRVMESAGLMDRSQ >KJB31019 pep chromosome:Graimondii2_0_v6:5:50219142:50223879:1 gene:B456_005G172800 transcript:KJB31019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 55 kDa regulatory subunit B' delta isoform [Source:Projected from Arabidopsis thaliana (AT3G26030) UniProtKB/Swiss-Prot;Acc:Q9ZQY6] MIKQILGRLPRKPSKSSENREFGGSSAPPLSNPSHSRSSDIMGNHRLLIDNAPLPGPNSASTFGYSHGSRLSQVVDQKLNGNSGTAPYEALPGFKDVPSSEKQNLFLRKLSLCCVVFDFSDPMKNLKEKDIKRQTLLELVDYVSSVNGKFSESVMQEAVKMVSANLFRPLTPQPRENKVLEAFDLEEEEPLVDPAWPHLQIVYEFFLRFVASPETDAKLAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPYIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLSELEEILEATQPAEFQRCMVPLFQKIARCLSSSHFQVAERALYLWNNDHIESLIRQNRRVILPIIFPALEQNGHNHWNQVVQSLTLNVRKIFSDTDPELFEECLNKFRENTEKWEEIKTKHEAAWKRIEEIAVSNAANGEAVLVPHVVASETS >KJB31018 pep chromosome:Graimondii2_0_v6:5:50219358:50223858:1 gene:B456_005G172800 transcript:KJB31018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 55 kDa regulatory subunit B' delta isoform [Source:Projected from Arabidopsis thaliana (AT3G26030) UniProtKB/Swiss-Prot;Acc:Q9ZQY6] MIKQILGRLPRKPSKSSENREFGGSSAPPLSNPSHSRSSDIMGNHRLLIDNAPLPGPNSASTFGYSHGSRLSQVVDQKLNGNSGTAPYEALPGFKDVPSSEKQNLFLRKLSLCCVVFDFSDPMKNLKEKDIKRQTLLELVDYVSSVNGKFSESVMQEAVKMVSANLFRPLTPQPRENKVLEAFDLEEEEPLVDPAWPHLQIVYEFFLRFVASPETDAKLAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPYIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLSELEEILEATQPAEFQRCMVPLFQKIARCLSSSHFQVAERALYLWNNDHIESLIRQNRRVILPIIFPALEQNGHNHWNQVVQSLTLNVRKIFSDTDPELFEECLNKFRENTEKWEEIKTKHEAAWKRIEEIAVSNAANGEAVLVPHVVASETS >KJB31020 pep chromosome:Graimondii2_0_v6:5:50219334:50223858:1 gene:B456_005G172800 transcript:KJB31020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 55 kDa regulatory subunit B' delta isoform [Source:Projected from Arabidopsis thaliana (AT3G26030) UniProtKB/Swiss-Prot;Acc:Q9ZQY6] MIKQILGRLPRKPSKSSENREFGGSSAPPLSNPSHSRSSDIMGNHRLLIDNAPLPGPNSASTFGYSHGSRLSQVVDQKLNGNSGTAPYEALPGFKDVPSSEKQNLFLRKLSLCCVVFDFSDPMKNLKEKDIKRQTLLELVDYVSSVNGKFSESVMQEAVKMVSANLFRPLTPQPRENKVLEAFDLEEEEPLVDPAWPHLQIVYEFFLRFVASPETDAKLAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPYIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLSELEEILEATQPAEFQRCMVPLFQKIARCLSSSHFQVAERALYLWNNDHIESLIRQNRRVILPIIFPALEQNGHNHWNQVVQSLTLNVRKIFSDTDPELFEECLNKFRENTEKWEEIKTKHEAAWKRIEEIAVSNAANGEAVLVPHVVASETS >KJB31245 pep chromosome:Graimondii2_0_v6:5:53449903:53452254:1 gene:B456_005G182600 transcript:KJB31245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLWTFIFLCFLHLSSFNVVLGGVGDSLVSENPFTPKASVIRYWNKEIRREITKTHFLLSKVSPLTAVDSASFSKLAAQNDLASHLPSFCSSAKLFCFPDLSPSLEKHPKDERFAVYSNKNFSNYGTDRLAGVDSFKNYSEDSNIVVDSFHRYSRDSTGHKEQFANYASEGNVVDQNFHSYAASATGGSGNFNNYNREVNNPNLRFSSYGDDSNGHGQTFKQYTENANAGNGQSFSSYGKNGNGVPNDFSSYGKGANVIGSGFSGYGEAANGANDSFTSYGFDSNAPQNTFKSYGDGGNAAVNTFSSYRDQSNVGDDSFQSYAKNSNGEKVDFSNYGQSFNEGTDKFTGYGQGAVGQSIGFKIYGRNSTFKDYAKKEGIVFGRYNSGSSSETAQVKGGSSAVNKWVEPGKFFREKLLKQGTVMPMPDIKDKMPVRSFLPRTIVSKLPFSSSKIGELKRIFHAGDNSTLETVMLDALKECERAPSPGETKRCVGSAEDMIDFAASVLGRNVEVRTTENVKGSKQNIKIGSVKGINGGKVTKSVSCHQSLYPYLLYYCHSVPEVRVYEADILDPKSLEKINHGVAICHLDTSSWSSNHGAFLALGSGPGRIEVCHWIFENDMTWTISDS >KJB31246 pep chromosome:Graimondii2_0_v6:5:53449913:53452204:1 gene:B456_005G182600 transcript:KJB31246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVLGGVGDSLVSENPFTPKASVIRYWNKEIRREITKTHFLLSKVSPLTAVDSASFSKLAAQNDLASHLPSFCSSAKLFCFPDLSPSLEKHPKDERFAVYSNKNFSNYGTDRLAGVDSFKNYSEDSNIVVDSFHRYSRDSTGHKEQFANYASEGNVVDQNFHSYAASATGGSGNFNNYNREVNNPNLRFSSYGDDSNGHGQTFKQYTENANAGNGQSFSSYGKNGNGVPNDFSSYGKGANVIGSGFSGYGEAANGANDSFTSYGFDSNAPQNTFKSYGDGGNAAVNTFSSYRDQSNVGDDSFQSYAKNSNGEKVDFSNYGQSFNEGTDKFTGYGQGAVGQSIGFKIYGRNSTFKDYAKKEGIVFGRYNSGSSSETAQVKGGSSAVNKWVEPGKFFREKLLKQGTVMPMPDIKDKMPVRSFLPRTIVSKLPFSSSKIGELKRIFHAGDNSTLETVMLDALKECERAPSPGETKRCVGSAEDMIDFAASVLGRNVEVRTTENVKGSKQNIKIGSVKGINGGKVTKSVSCHQSLYPYLLYYCHSVPEVRVYEADILDPKSLEKINHGVAICHLDTSSWSSNHGAFLALGSGPGRIEVCHWIFENDMTWTISDS >KJB32214 pep chromosome:Graimondii2_0_v6:5:61249899:61250572:1 gene:B456_005G229900 transcript:KJB32214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKSKAIASCIIIVFLLLFTFSSCASAARPQPAAPYAHHATPIKVQYLVEEDSCDGIEEEERLMRRTLAAHLDYIYTQKNTNP >KJB32796 pep chromosome:Graimondii2_0_v6:5:63655680:63658580:-1 gene:B456_005G262200 transcript:KJB32796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDINLFREEKGHNPEIIRESQRRRFAKVEDVDAIIDLDKVYRQLLYDLENLRKEFNKINKQVAQLKIAKQDATETIAKTEEIKQKIAVKDTEVKDAWAVLKSKLEKIGNLVHDSAPISDDEANNAVIRTWGEKRSEPKLKNHVDLVELLGIADTKKGADVAGGRGFYLKGDGVRLNQALINFGLDFLEKRGYTALQTPFFMRKDVMAKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHVDDWIQPSELPIRYAGFSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITSPNGNDSWDMHEEMLKNSEEFYQELKLPYQIVAIVSGALNDAAAKKYDLEAWFPASQTYRELVSCSNCTDYQSRRLEIRYGQKKVGPVGLSCYR >KJB32797 pep chromosome:Graimondii2_0_v6:5:63655680:63658622:-1 gene:B456_005G262200 transcript:KJB32797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDINLFREEKGHNPEIIRESQRRRFAKVEDVDAIIDLDKVYRQLLYDLENLRKEFNKINKQVAQLKIAKQDATETIAKTEEIKQKIAVKDTEVKDAWAVLKSKLEKIGNLVHDSAPISDDEANNAVIRTWGEKRSEPKLKNHVDLVELLGIADTKKGADVAGGRGFYLKGDGVRLNQALINFGLDFLEKRGYTALQTPFFMRKDVMAKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHVDDWIQPSELPIRYAGFSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITSPNGNDSWDMHEEMLKNSEEFYQELKLPYQIVAIVSGALNDAAAKKYDLEAWFPASQTYRELVSCSNCTDYQSRRLEIRYGQKKNNEQAKQYVHLLNSTLTATERTMCCILETYQKEDGVEIPEVLQPYMGGKSFLPFKTKPAPEAKGKKSKA >KJB32482 pep chromosome:Graimondii2_0_v6:5:62520684:62525158:-1 gene:B456_005G244900 transcript:KJB32482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCCVAFKPNSPLLNTRNGYGNGDIKNTFLGERTRGSVWFNQLSNTLRGDDKKENKIKSGVSAVLTSDTPREAVTLKPRRFERPRVDPKNVASIILGGGAGTRLFPLTKRAATPAVPVGGCYKLIDIPMSNCINSGINKIFVLTQFNSASLNRHLARTYYGNGINFGDGFVEVLAATQTSGEAGKKWFQGTADAVRQFIWLFENRDVENILILCGDHLYRMDYMEFLQSHVDNNADITISCVPVDNSRASDYGLVKMDGRGRIVQFAEKPKGADLKAMQTDTTLLGLSPQEAMKSPYIASMGVYVFRTDVLLKLLRWRYPTSNDFGSEIIPAAVMEYDVQAYIFKDYWEDIGTIRSFYEANLALTEEFPRFEFYDPKTPFYTSPRYLPPTKIDKCRIKDAIISHGCFLRECSVQHSIVGERSRLDYGVELQDTVMLGADYYQTEPEIASLLAEGKVPIGIGRNSKIRNCIIDKNAKIGKDVVIVNKDGVEEADRPEEGFYIRSGLTIIMEKATIADGTVI >KJB32479 pep chromosome:Graimondii2_0_v6:5:62520684:62525137:-1 gene:B456_005G244900 transcript:KJB32479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCCVAFKPNSPLLNTRNGYGNGDIKNTFLGERTRGSVWFNQLSNTLRGDDKKENKIKSGVSAVLTSDTPREAVTLKPRRFERPRVDPKNVASIILGGGAGTRLFPLTKRAATPAVPVGGCYKLIDIPMSNCINSGINKIFVLTQFNSASLNRHLARTYYGNGINFGDGFVEVLAATQTSGEAGKKWFQGTADAVRQFIWLFEDAKNRDVENILILCGDHLYRMDYMEFLQSHVDNNADITISCVPVDNSRASDYGLVKMDGRGRIVQFAEKPKGADLKAMQTDTTLLGLSPQEAMKSPYIASMGVYVFRTDVLLKLLRWRYPTSNDFGSEIIPAAVMEYDVQAYIFKDYWEDIGTIRSFYEANLALTEEFPRFEFYDPKTPFYTSPRYLPPTKIDKCRIKDAIISHGCFLRECSVQHSIVGERSRLDYGVELQDTVMLGADYYQTEPEIASLLAEGKVPIGIGRNSKIRNCIIDKNAKIGKDVVIVNKDGVEEADRPEEGFYIRSGLTIIMEKATIADGTVI >KJB32481 pep chromosome:Graimondii2_0_v6:5:62521149:62523940:-1 gene:B456_005G244900 transcript:KJB32481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCINSGINKIFVLTQFNSASLNRHLARTYYGNGINFGDGFVEVLAATQTSGEAGKKWFQGTADAVRQFIWLFEDAKNRDVENILILCGDHLYRMDYMEFLQSHVDNNADITISCVPVDNSRASDYGLVKMDGRGRIVQFAEKPKGADLKAMQTDTTLLGLSPQEAMKSPYIASMGVYVFRTDVLLKLLRWRYPTSNDFGSEIIPAAVMEYDVQAYIFKDYWEDIGTIRSFYEANLALTEEFPRFEFYDPKTPFYTSPRYLPPTKIDKCRIKDAIISHGCFLRECSVQHSIVGERSRLDYGVELQDTVMLGADYYQTEPEIASLLAEGKVPIGIGRNSKIRNCIIDKNAKIGKDVVIVNKDGVEEADRPEEGFYIRSGLTIIMEKATIADGTVI >KJB32480 pep chromosome:Graimondii2_0_v6:5:62520564:62525236:-1 gene:B456_005G244900 transcript:KJB32480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCCVAFKPNSPLLNTRNGYGNGDIKNTFLGERTRGSVWFNQLSNTLRGDDKKENKIKSGVSAVLTSDTPREAVTLKPRRFERPRVDPKNVASIILGGGAGTRLFPLTKRAATPAVPVGGCYKLIDIPMSNCINSGINKIFVLTQFNSASLNRHLARTYYGNGINFGDGFVEVLAATQTSGEAGKKWFQGTADAVRQFIWLFEDAKNRDVENILILCGDHLYRMDYMEFLQSHVDNNADITISCVPVDNSRASDYGLVKMDGRGRIVQFAEKPKGADLKAMQTDTTLLGLSPQEAMKSPYIASMGVYVFRTDVLLKLLRWRYPTSNDFGSEIIPAAVMEYDVQAYIFKDYWEDIGTIRSFYEANLALTEEFPRFEFYDPKTPFYTSPRYLPPTKIDKCRIKDAIISHGCFLRECSVQHSIVGERSRLDYGVELQDTVMLGADYYQTEPEIASLLAEGKVPIGIGRNSKIRNCIIDKNAKIGKDVVIVNKDGVEEADRPEEGFYIRSGLTIIMEKATIADGTVI >KJB28216 pep chromosome:Graimondii2_0_v6:5:3304026:3305453:-1 gene:B456_005G034800 transcript:KJB28216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENKRNRRSLTFLPLVGLGAVGAAATGAAALKGASALKRAVHQHHVVEGGGKGVGVGGKIGGQVEFGGKGSGIGSGNIAGGKSVGFGGNIGGQGEFGGKGSGSGSSNIASDKSIGFGGNIEGQGGFGGKGGGSGSSNIAGGVGGQGGFEGKGGGSGSANVVGGVGGQGGFVGKGDGSGSANVAGGVGGQGGFGGKGGGSGSPNVAGGVGGQGGFGGKGGGSGSGSANVDGGFRGKGEFGGKGGGTSSTNFAGGFVGQGGFKGKGGGTGSASDSANVAGGVGGQGGFKGKGGGTGSASDSANVAGGVEGQGGFGGKGGGSGSANVVGGVGGQGGFGGKGGASGSANFAGGVGGQGGFGGKGGGSSSANVAGGIKGKGGFGGSGSTNVIGGVRGQGGFDGKGGGSGSANVAGGAGGEGGFSGKGGGSGTANVAGGARGEGGFSGKGGGSGSGNLHFGGSGKFHFGLGRGGGFGGGN >KJB27752 pep chromosome:Graimondii2_0_v6:5:540282:542761:1 gene:B456_005G007700 transcript:KJB27752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVANPQEVPEYELNPMELQIRKSDGITKGSYQVAKWNGTRVSVKIFDKDSYSDPESINAFKYELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEYHAKGNFQNYLQKKGRLSTSKVLRFAVDIARGMNYLHECKPDPIIHCNLQPKNVLLDNGGKLKIAGFGLLRLSKLSADKAKLARPDDQLDPSNIYMAPEIHKDMIFDRGVDAYSFGVMLYEMIEGGVPFHPKPAEEAVKLMCFEQKRPPLKIKSKSCPWELRELIGECWDQEPLIRPTFSEIIVRLERIVASCTKQGWWKDTFKLPWK >KJB27749 pep chromosome:Graimondii2_0_v6:5:538517:543042:1 gene:B456_005G007700 transcript:KJB27749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMENLASQLKRGISRQFSTGSLKRSLSRQFTRQSSLEPRRNNLRFSFGRQSSLDPIRRRNSQDEMSVPENLDSTMQLLFMACRGDVKGVEDLLNEGIDVNSIDLDGRTALHIAACEGHVEVVKLLLSRNANIDARDRWGSTAAADAKYYGNVEVYEILKARGAKVPKTRKTPMTVANPQEVPEYELNPMELQIRKSDGITKGSYQVAKWNGTRVSVKIFDKDSYSDPESINAFKYELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEYHAKGNFQNYLQKKGRLSTSKVLRFAVDIARGMNYLHECKPDPIIHCNLQPKNVLLDNGGKLKIAGFGLLRLSKLSADKAKLARPDDQLDPSNIYMAPEIHKDMIFDRGVDAYSFGVMLYEMIEGGVPFHPKPAEEAVKLMCFEQKRPPLKIKSKSCPWELRELIGECWDQEPLIRPTFSEIIVRLERIVASCTKQGWWKDTFKLPWK >KJB27751 pep chromosome:Graimondii2_0_v6:5:538669:542761:1 gene:B456_005G007700 transcript:KJB27751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMENLASQLKRGISRQFSTGSLKRSLSRQFTRQSSLEPRRNNLRFSFGRQSSLDPIRRRNSQDEMSVPENLDSTMQLLFMACRGDVKGVEDLLNEGIDVNSIDLDGRTALHIAACEGHVEVVKLLLSRNANIDARDRWGSTAAADAKYYGNVEVYEILKARGAKVPKTRKTPMTVANPQEVPEYELNPMELQIRKSDGITKGSYQVAKWNGTRVSVKIFDKDSYSDPESINAFKYELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEYHAKGNFQNYLQKKGRLSTSKVLRFAVDIARGMNYLHECKPDPIIHCNLQPKNVLLDNGGKLKIAGFGLLRLSKLSADKAKLARPDDQLDPSNIYMAPEIHKDMIFDRGVDAYSFGVMLYEVTNTMFHSL >KJB27750 pep chromosome:Graimondii2_0_v6:5:538669:542490:1 gene:B456_005G007700 transcript:KJB27750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMENLASQLKRGISRQFSTGSLKRSLSRQFTRQSSLEPRRNNLRFSFGRQSSLDPIRRRNSQDEMSVPENLDSTMQLLFMACRGDVKGVEDLLNEGIDVNSIDLDGRTALHIAACEGHVEVVKLLLSRNANIDARDRWGSTAAADAKYYGNVEVYEILKARGAKVPKTRKTPMTVANPQEVPEYELNPMELQIRKSDGITKGSYQVAKWNGTRVSVKIFDKDSYSDPESINAFKYELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEYHAKGNFQNYLQKKGRLSTSKVLRFAVDIARGMNYLHECKPDPIIHCNLQPKNVLLDNGGKLKIAGFGLLRLSKLSADKAKLARPDDQLDPSNIYMAPEIHKDMIFDRGVDAYSFGVMLYEMIEGGVPFHPKPAEEAVKLMCFEQKRPPLKIKSKSCPWELRE >KJB30434 pep chromosome:Graimondii2_0_v6:5:38939885:38941992:-1 gene:B456_005G143100 transcript:KJB30434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISTVTSPRMRSDHLEKERLKETHHGQSFELQSSHDATHPNHRFHSTSVLEILRETVRILRYNCSGFMIILALLICPVSAVLMSSNLLVGESIVNTLTVRLLLVAKTSGVPFRPFIKQSCQHLVETAVSSVTCFPLLITFSLLSKAAVVYCVHSTYLKESADVSKFFVIIRTLWRQLVSSYLWMCMVIVGCVTTFIIFLLVACSVLSVLGFSPDIIVFAMIMVGLVFAIVFANVVVVCDMGIVMCVLEEVWGVQALVRAGVLIKGQTQVGLLIFLVSTIGLTFVEGLFKHRVQTLSYGDGSSRIWEGPLLVIMYSFVVLVHSMMNTVFYFSCKSYTSDDHQSMLF >KJB32332 pep chromosome:Graimondii2_0_v6:5:61800389:61800954:-1 gene:B456_005G235900 transcript:KJB32332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVMKSVAVGVEEWSDWMEDERGYSHGGDEANERRCVVSPRTPLL >KJB32411 pep chromosome:Graimondii2_0_v6:5:62117274:62121523:-1 gene:B456_005G239800 transcript:KJB32411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSALTMGPGMDMPIMHDSDRYELVKDIGSGNFGVARLMRDKQTEELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKRILNVQYSIPDYVHISPECRHLISRIFVADPSKRISIPEIRNHEWFLKNLPADLMDENTMNNQFEEADQPMQSVDEIMQIISEATIPAANTNSLNHYLTGSLDIDDDMEEDLDSDPELDIDSSGEIIYAM >KJB32410 pep chromosome:Graimondii2_0_v6:5:62118128:62121175:-1 gene:B456_005G239800 transcript:KJB32410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSALTMGPGMDMPIMHDSDRYELVKDIGSGNFGVARLMRDKQTEELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFHKTIHVNAFLVQ >KJB32409 pep chromosome:Graimondii2_0_v6:5:62117577:62121175:-1 gene:B456_005G239800 transcript:KJB32409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSALTMGPGMDMPIMHDSDRYELVKDIGSGNFGVARLMRDKQTEELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFHKTIHRILNVQYSIPDYVHISPECRHLISRIFVADPSKRISIPEIRNHEWFLKNLPADLMDENTMNNQFEEADQPMQSVDEIMQIISEATIPAANTNSLNHYLTGSLDIDDDMEEDLDSDPELDIDSSGEIIYAM >KJB32412 pep chromosome:Graimondii2_0_v6:5:62117274:62121523:-1 gene:B456_005G239800 transcript:KJB32412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSALTMGPGMDMPIMHDSDRYELVKDIGSGNFGVARLMRDKQTEELVAVKYIERGEKVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFHKTIHRILNVQYSIPDYVHISPECRHLISRIFVADPSKRISIPEIRNHEWFLKNLPADLMDENTMNNQFEEADQPMQSVDEIMQIISEATIPAANTNSLNHYLTGSLDIDDDMEEDLDSDPELDIDSSGEIIYAM >KJB32408 pep chromosome:Graimondii2_0_v6:5:62117268:62121647:-1 gene:B456_005G239800 transcript:KJB32408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFHKTIHRILNVQYSIPDYVHISPECRHLISRIFVADPSKRISIPEIRNHEWFLKNLPADLMDENTMNNQFEEADQPMQSVDEIMQIISEATIPAANTNSLNHYLTGSLDIDDDMEEDLDSDPELDIDSSGEIIYAM >KJB32413 pep chromosome:Graimondii2_0_v6:5:62117274:62121523:-1 gene:B456_005G239800 transcript:KJB32413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFHKTIHRILNVQYSIPDYVHISPECRHLISRIFVADPSKRISIPEIRNHEWFLKNLPADLMDENTMNNQFEEADQPMQSVDEIMQIISEATIPAANTNSLNHYLTGSLDIDDDMEEDLDSDPELDIDSSGEIIYAM >KJB30289 pep chromosome:Graimondii2_0_v6:5:34762979:34767852:1 gene:B456_005G135900 transcript:KJB30289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPFRGIKNDLKGRLLCYKQDWTGGLSAGIRILAPTTYIFFASAIPVISFGEQLERNTDGSLTAVQTLASTALCGIIHSLVGGQPLLILGVAEPTVLMYTFMFNFAKDRKDLGHKLFLAWTGWVCVWTALLLFILAILGACSIINRFTRVAGELFGLLIAMLFMQQAIRGIVEEFGIPHRENPEQTALQASWRFGNGMFALVLSFGLLLTALKSRKARSWRYGTGWLRGFVADYGVPLMVLLWTAVSYIPVNDVPRGIPRRLFSPNPWSPGAYSNWTVIKEMVNVPPLYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPPSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLHNKLVSTARKSISRNSSLSQLYQSMQEAYNEMQTPLVYQLPSTLGLKELKESTVQLASSTGNIDAPVDETIFDVAKEIDELLPVEVKEQRFSNLLQALMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPGRRYKVLEDSHATFVEIVPFKTIATFTLFQTVYLLVCFGITWIPIAGVLFPLLIMLLVPVRQYLLPKFFKGAHLQDLDAAEYEEAPAIAYNMTFEVCFLNLTLAHHGFVRSSGCY >KJB30288 pep chromosome:Graimondii2_0_v6:5:34761856:34767872:1 gene:B456_005G135900 transcript:KJB30288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPFRGIKNDLKGRLLCYKQDWTGGLSAGIRILAPTTYIFFASAIPVISFGEQLERNTDGSLTAVQTLASTALCGIIHSLVGGQPLLILGVAEPTVLMYTFMFNFAKDRKDLGHKLFLAWTGWVCVWTALLLFILAILGACSIINRFTRVAGELFGLLIAMLFMQQAIRGIVEEFGIPHRENPEQTALQASWRFGNGMFALVLSFGLLLTALKSRKARSWRYGTGWLRGFVADYGVPLMVLLWTAVSYIPVNDVPRGIPRRLFSPNPWSPGAYSNWTVIKEMVNVPPLYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPPSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLHNKLVSTARKSISRNSSLSQLYQSMQEAYNEMQTPLVYQLPSTLGLKELKESTVQLASSTGNIDAPVDETIFDVAKEIDELLPVEVKEQRFSNLLQALMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPGRRYKVLEDSHATFVEIVPFKTIATFTLFQTVYLLVCFGITWIPIAGVLFPLLIMLLVPVRQYLLPKFFKGAHLQDLDAAEYEEAPAIAYNMTFEDQERQATATNSDGLEILDEIMTRSRGEIRRTQSPKVSSTTPSSVGDIKPSYSPGVLQNAYSPRISQLRGKTEKVLELKQTPSPGPSTLGQSSRASSSI >KJB31482 pep chromosome:Graimondii2_0_v6:5:56314506:56317700:1 gene:B456_005G193500 transcript:KJB31482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSWVLVVLVVLNFHDDVTCLNNEGYALISFKQSIYEDPEGSLSNWNSSDDNPCSWNGVTCKEQRVVSVSIPKKKLYGFLPSSLGFLSDLRHVNLRNNKFFGTLPVELLEAQGLQSLVLYGNSLSGSLPKDIGKLKYLQTLALSDNFFNGSLPSSIVQCKRLKTLDLSRNNFTGSLPDGFGTGLVSLEKLDLSFNKFIGSIPSDLGNLSSLQGTVDLSHNLFAGPIPASLGNLPEKVYIDLTFNNLSGPIPQNGALMNRGPTAFLGNPGLCGPPLRNPCSSDTPGANSPSSYPFLPSNYPPGRSDDNESKYERGRGLSKGAVIAIIVSDIIGICLVGLLFSFCYSRICPCSKDKDDHGYGFEKGRKGKECFCFRKDESETLSENVEQYDLVPLDTQVAFDLDELLKASAFVLGKSGIGIVYKVVLEDGLSLAVRRLGEGGSQRFKEFQTEVEAIGKLRHPNIVTLRAYYWSVDEKLLIYDYMPNGSLATALHGKAGMASFTPLSWSVRLKIIKGVAKGLVYLHEFSPKKYVHGDLKPSNILLDQNMEAHISDFGLGRLANIAGGSPTTQSNRIPSDKPLERLQKSASSEAAPLYSNLGSFYQAPEALKVVKPSQKWDVYSYGVILLEMITGRSPVVHIKRKR >KJB31483 pep chromosome:Graimondii2_0_v6:5:56314506:56317700:1 gene:B456_005G193500 transcript:KJB31483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSWVLVVLVVLNFHDDVTCLNNEGYALISFKQSIYEDPEGSLSNWNSSDDNPCSWNGVTCKEQRVVSVSIPKKKLYGFLPSSLGFLSDLRHVNLRNNKFFGTLPVELLEAQGLQSLVLYGNSLSGSLPKDIGKLKYLQTLALSDNFFNGSLPSSIVQCKRLKTLDLSRNNFTGSLPDGFGTGLVSLEKLDLSFNKFIGSIPSDLGNLSSLQGTVDLSHNLFAGPIPASLGNLPEKVYIDLTFNNLSGPIPQNGALMNRGPTAFLGNPGLCGPPLRNPCSSDTPGANSPSSYPFLPSNYPPGRSDDNESKYERGRGLSKGAVIAIIVSDIIGICLVGLLFSFCYSRICPCSKDKDDHGYGFEKGRKGKECFCFRKDESETLSENVEQYDLVPLDTQVAFDLDELLKASAFVLGKSGIGIVYKVVLEDGLSLAVRRLGEGGSQRFKEFQTEVEAIGKLRHPNIVTLRAYYWSVDEKLLIYDYMPNGSLATALHGKAGMASFTPLSWSVRLKIIKGVAKGLVYLHEFSPKKYVHGDLKPSNILLDQNMEAHISDFGLGRLANIAGGSPTTQSNRIPSDKPLERLQKSASSEAAPLYSNLGSFYQAPEALKVVKPSQKWDVYSYGVILLEMITGRSPVVHVGTSEMDLVNWIQLCIEEKKPLSDVLDPYLAPDADKEEEIIAVLKITMACVHSSPERRPTMRHVLDALDRLVLSTDQNTN >KJB31424 pep chromosome:Graimondii2_0_v6:5:55749082:55754228:-1 gene:B456_005G191200 transcript:KJB31424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLIGNLEVDVEIKASPEQFCEMFAHKLHHVHHTCYDKIQGCDLHEGEWGKVGSIVHWSYVHDGKAKKAKEVVEAVDPDKNLITFRVIEGDLMEEYKSFAATILVSPKSEDSGSIVHWTLEYEKLHDGIAHPETLLQLVQDISKDIDAHLIQAN >KJB31423 pep chromosome:Graimondii2_0_v6:5:55749082:55749948:-1 gene:B456_005G191200 transcript:KJB31423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLNIKQTTPTSNIVGDTMASSTLTGKLEADVDIKASPEQFHELFAHKPHHVHHTCYDKIQGCDLHEGEWGKVGSIVHWSYVHDGKAKKAKEVVEAVDPDKNLITFRVIEGDLMEEYKSFAATILVSPKSEDSGSIVHWTLEYEKLHDGIAHPETLLQLVQDISKDIDAHLIQAN >KJB31235 pep chromosome:Graimondii2_0_v6:5:53335452:53338686:1 gene:B456_005G182300 transcript:KJB31235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESYEEAIAALSKLLSDKADLGSVAAAKIKQITAELEAAADSTQFDPVKRLETGFLHFKKEKFDKNPDLYGALAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFIVRNIASMVPPYDKKKYSGAGAAIEYAVLICTPAKTKVKSEQNELSFSEQCTNCEKEAVNVSLGNLLTYPFVREAVVKKTVALKGAHYDFVNGKLDLWNLDFKISPTLAI >KJB31232 pep chromosome:Graimondii2_0_v6:5:53330308:53338870:1 gene:B456_005G182300 transcript:KJB31232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKFKKCMMLCCSAKVSKEEDMGSESYEEAIAALSKLLSDKADLGSVAAAKIKQITAELEAAADSTQFDPVKRLETGFLHFKKEKFDKNPDLYGALAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFIVRNIASMVPPYDKKKYSGAGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTASDFIEQWVSICTPAKTKVKSEQNELSFSEQCTNCEKEAVNVSLGNLLTYPFVREAVVKKTVALKGAHYDFVNGKLDLWNLDFKISPTLAI >KJB31233 pep chromosome:Graimondii2_0_v6:5:53335453:53338686:1 gene:B456_005G182300 transcript:KJB31233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESYEEAIAALSKLLSDKADLGSVAAAKIKQITAELEAAADSTQFDPVKRLETGFLHFKKEKFDKNPDLYGALAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFIVRNIASMVPPYDKKKYSGAGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTASDFIEQWVSICTPAKTKVKSEQNELSFSEQCTNCEKEAVNVSLGNLLTYPFVREAVVKKTVALKGAHYDFVNGKLDLWNLDFKISPTLAI >KJB31238 pep chromosome:Graimondii2_0_v6:5:53330598:53338686:1 gene:B456_005G182300 transcript:KJB31238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESYEEAIAALSKLLSDKADLGSVAAAKIKQITAELEAAADSTQFDPVKRLETGFLHFKKEKFDKNPDLYGALAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFIVRNIASMVPPYDKKKYSGAGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTASDFIEQWVSICTPAKTKVKSEQNELSFSEQCTNCEKEAVNVSLGNLLTYPFVREAVVKKTVALKGAHYDFVNGKLDLWNLDFKISPTLAI >KJB31237 pep chromosome:Graimondii2_0_v6:5:53335796:53338438:1 gene:B456_005G182300 transcript:KJB31237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESYEEAIAALSKLLSDKADLGSVAAAKIKQITAELEAAADSTQFDPVKRLETGFLHFKKEKFDKNPDLYGALAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFIVRNIASMVPPYDKKKYSGAGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTASDFIEQWVSICTPAKTKVKSEQNELSFSEQCTNCEKVIYIFCLV >KJB31234 pep chromosome:Graimondii2_0_v6:5:53335452:53338686:1 gene:B456_005G182300 transcript:KJB31234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESYEEAIAALSKLLSDKADLGSVAAAKIKQITAELEAAADSTQFDPVKRLETGFLHFKKEKFDKNPDLYGALAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFIVRNIASMVPPYDKKKYSGAGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTASDFIEQWVSICTPAKTKVKSEQNELSFSEQCTNCEKEAVNVSLGNLLTYPFVREAVVKKTVALKGAHYDFVNGKLDLWNLDFKISPTLAI >KJB31239 pep chromosome:Graimondii2_0_v6:5:53335326:53338960:1 gene:B456_005G182300 transcript:KJB31239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESYEEAIAALSKLLSDKADLGSVAAAKIKQITAELEAAADSTQFDPVKRLETGFLHFKKEKFDKNPDLYGALAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFIVRNIASMVPPYDKKKYSGAGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTASDFIEQWVSICTPAKTKVKSEQNELSFSEQCTNCEKEAVNVSLGNLLTYPFVREAVVKKTVALKGAHYDFVNGKLDLWNLDFKISPTLAI >KJB31236 pep chromosome:Graimondii2_0_v6:5:53335796:53338318:1 gene:B456_005G182300 transcript:KJB31236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESYEEAIAALSKLLSDKADLGSVAAAKIKQITAELEAAADSTQFDPVKRLETGFLHFKKEKFDKNPDLYGALAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFIVRNIASMVPPYDKKKYSGAGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTARY >KJB30417 pep chromosome:Graimondii2_0_v6:5:38891111:38895206:-1 gene:B456_005G142500 transcript:KJB30417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFASQMVPEWQEAYMDYDYLKTLLKELQRFKHRTKPPAAPSLKRKLTLYRAFSGLTQTHHHHNPTSPSSSPDDIESQPILVNSVSRDGSQSYETTFLMSSEEGGEYELVYFRRLDDEFNKVDKFYRAKAQEVMKEADVLNKQMDALIAFRIKVENPPGIHFDRSVEMTRLASDIAASAAALSASTPSGAKSASKRAAHLEAIEESTHGQADDDDNGDEKERNTPTLEAKPAKPKPKVIVPAPLEILDRVKMNNTLETPRSTIKGVLKVPNHTDLSFSRENLRKVEEQLKRAFVEFYQKLRLLKSFSFLNTLAFSKIMKKYDKITSRSASKSYMKMVDNSYLGSSEEVTKLMERVETTFIKHFSNANRSKGMSILRPKVKRERHRTTFSTGFFAGCAASLLLALILMIRARNIMDSEGRTQYMETMFPLYSLFGFIVLHMLMYAINIFYWRKYRVNYAFIFGFKPGTELGYRQVLLVSFGLGALALASVLANLDMEMDPKTKDYKAFTELVPLMLVVFIFIVLFLPFNVLYRSSRFFLLTCLFHCICAPLYKVTLPDFFLADQLTSQVQAIRSLEFYVCYYGWGDFKRRENTCRDSNVYNTFYFVVAVLPYLARLLQCLRRLFEEKDAMQGLNGLKYFLTIAAVCLRTAYSLNKGIAWRIIAWIVSAIAAIFCTYWDLVYDWGLLDRHSKNRWLRDKLLVPHKKVYFGAMVGYNLQY >KJB30419 pep chromosome:Graimondii2_0_v6:5:38891111:38895562:-1 gene:B456_005G142500 transcript:KJB30419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFASQMVPEWQEAYMDYDYLKTLLKELQRFKHRTKPPAAPSLKRKLTLYRAFSGLTQTHHHHNPTSPSSSPDDIESQPILVNSVSRDGSQSYETTFLMSSEEGGEYELVYFRRLDDEFNKVDKFYRAKAQEVMKEADVLNKQMDALIAFRIKVENPPGIHFDRSVEMTRLASDIAASAAALSASTPSGAKSASKRAAHLEAIEESTHGQADDDDNGDEKERNTPTLEAKPAKPKPKVIVPAPLEILDRVKMNNTLETPRSTIKGVLKVPNHTDLSFSRENLRKVEEQLKRAFVEFYQKLRLLKSFSFLNTLAFSKIMKKYDKITSRSASKSYMKMVDNSYLGSSEEVTKLMERVETTFIKHFSNANRSKGMSILRPKVKRERHRTTFSTGFFAGCAASLLLALILMIRARNIMDSEGRTQYMETMFPLYSLFGFIVLHMLMYAINIFYWRKYRVNYAFIFGFKPGTELGYRQVLLVSFGLGALALASVLANLDMEMDPKTKDYKAFTELVPLMLVVFIFIVLFLPFNVLYRSSRFFLLTCLFHCICAPLYKVTLPDFFLADQLTSQVQAIRSLEFYVCYYGWGDFKRRENTCRDSNVYNTFYFVVAVLPYLARLLQCLRRLFEEKDAMQGLNGLKYFLTIAAVCLRTAYSLNKGIAWRIIAWIVSAIAAIFCTYWDLVYDWGLLDRHSKNRWLRDKLLVPHKKVYFGAMGLNILLRFAWLQTVLNFQLPLHPQTLTTIVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNYDEDDDKDD >KJB30418 pep chromosome:Graimondii2_0_v6:5:38891111:38895206:-1 gene:B456_005G142500 transcript:KJB30418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFASQMVPEWQEAYMDYDYLKTLLKELQRFKHRTKPPAAPSLKRKLTLYRAFSGLTQTHHHHNPTSPSSSPDDIESQPILVNSVSRDGSQSYETTFLMSSEEGGEYELVYFRRLDDEFNKVDKFYRAKAQEVMKEADVLNKQMDALIAFRIKVENPPGIHFDRSVEMTRLASDIAASAAALSASTPSGAKSASKRAAHLEAIEESTHGQADDDDNGDEKERNTPTLEAKPAKPKPKVIVPAPLEILDRVKMNNTLETPRSTIKGVLKVPNHTDLSFSRENLRKVEEQLKRAFVEFYQKLRLLKSFSFLNTLAFSKIMKKYDKITSRSASKSYMKMVDNSYLGSSEEVTKLMERVETTFIKHFSNANRSKGMSILRPKVKRERHRTTFSTGFFAGCAASLLLALILMIRARNIMDSEGRTQYMETMFPLYSLFGFIVLHMLMYAINIFYWRKYRVNYAFIFGFKPGTELGYRQVLLVSFGLGALALASVLANLDMEMDPKTKDYKAFTELVPLMLVVFIFIVLFLPFNVLYRSSRFFLLTCLFHCICAPLYKVTLPDFFLADQLTSQVQAIRSLEFYVCYYGWGDFKRRENTCRDSNVYNTFYFVVAVLPYLARLLQCLRRLFEEKDAMQGLNGLKYFLTIAAVCLRTAYSLNKGIAWRIIAWIVSAIAAIFCTYWDLVYDWGLLDRHSKNRWLRDKLLVPHKKVYFGAMGLNILLRFAWLQTVLNFQLPLHPQTLTTIVASLEIIRRGIWNFFR >KJB31348 pep chromosome:Graimondii2_0_v6:5:54674873:54677781:1 gene:B456_005G187300 transcript:KJB31348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMFRTLISLNLLVVFFISVLPFSSSQSSPQNIEVFFPTQTPPPTPQPISPPPPLRPPESPPPLPPPSSSSSSGDDDNSTIAKAVAATAGSTIFIAAVFFFCIRRYVLAQRKSERVGDSSQGGQPRVPPDEFARVNGNIKGLIVDENGLDVLYWRQLQNGDNKIEFRQEVLHVPKDEEEGGGGMVRKGSRSKKVEPANEIPLLRGKSSTSHVPPPDDDDSSENMGPLTPPPSSHGIVLKAVEKMEAPLQSKFVPPPPPLPIQSNKSPVTPQPPPPPPPPYRTPPPPVPAKGPAPPPPPPFNRVGGSTTSSKPPPAARDKSSTGKPGESSGDGENNQVKLKPLHWDKVNKKNADHSMVWDKINGGSFKFDDDLMEALFGYVATKRKSPTGDSSSKNTQSVNNGSPSQIMVLDARKSQNIAIVLKSLALSRRELLDALNEGQGLEADTLEKLLRIAPTEEEQSQILDFDGDPTRLADADSFLFHILKALPSAFTRLNAMQFRSNYDLEILHMKESLQALELGCKELRSQRLFMKLLEAILKAGNRMNAGTARGNAQAFNLTSLLKLSDVKSTDGKTTLLHFVVEEVVRSEGKKCFISRSHSLTRSSSRSSSSISGNSTSKEDREKEYVTLGLPVVGGLSAEFTNVKKAAAIDFKIFSGTCSVLAARVAEIKQLVLQCMADGKGGFVQEMKGFIEDAEEELKVIREEQKRVMDVVKRTTEYYQAGASKDPFQVFVIVKDFLAMVDQVCVEIARNQQRRKSSTANYGSQSPNLQESRTKMRFPVLPANFMADKSRSNSSNSDADS >KJB28973 pep chromosome:Graimondii2_0_v6:5:8963033:8964613:-1 gene:B456_005G077900 transcript:KJB28973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIQLEHENVTEFVLVTLRDRVEKGRRFLNEEKDPMTSKELNEEPYESGSKGDLSVNFSTITPKHQTLSYVKLPEFEITAYILGIGHNLQEHSVVLVRGGKVKNLPDVRYHIVRGTLDAVGVKERQQGRSSYFYLIDLVEYETCLVDCLLKCFVIIHILLYGYGECYGYRNNLSSKR >KJB28635 pep chromosome:Graimondii2_0_v6:5:6726726:6728680:1 gene:B456_005G064200 transcript:KJB28635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ICRAIEHLTFSALIRPLCDLYSLIPPLLVVTYKKLRFNMGNDVLSFGDLNVYGS >KJB31766 pep chromosome:Graimondii2_0_v6:5:58791035:58793443:1 gene:B456_005G207700 transcript:KJB31766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDMQEFKGYVFKIKGGCDKQGFPMKQGVLTPGRVRLLLHRDHEEQYFPWGHKRSLINLQYAQTRIEYQKIRTQKKFNQFACKNSSRSISFIFLINC >KJB30878 pep chromosome:Graimondii2_0_v6:5:48595975:48602797:-1 gene:B456_005G165600 transcript:KJB30878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLHVFGFGLKVGHLVWMLCCWIASMILMNWSINGEFKDPKAGLLGDSGSKMWFKCWDEISKYSFKIHHQYYQYIGSKRVGKSWSRKLLFSWVIGWTLASIWIFCCMSSQATEKRKEMLASMCDERARMLQDQFNVSMNHIQALSILISTFHHGKYPSAIDQRTFARYTERTAFERPLTSGVAYAVRVLHSEREQFERQQGWTIKRMDTLEQNPVHKDDYHPDFLEPSPIQEEYAPVIFAQDSVSHVVSIDVLSGKEDRENVLQARKSGKGVLTAPFRLLKTNRLGVILTFAVYKRDLPSNAAHNERIQATDGYLGGVFDIESLVEKLLHQLASKQTILVNVLDTTNQSYPISMYGSNASNDGLEHVSHLNFGDPFRKHEMRCRFKQKPPLPWLAITTSIGILVIALLVGHIFHATVNRIAKVEDDCLKMMELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLMDTDLDVTQLDYVRTAQASGKALVALINEVLDQAKIESGKLELEEVQFDLRAILDDVLSLFSGKSQDKGVELAVYISDRVPDMLIGDPGRFRQIITNLMGNSIKFTEKGHILVTVHLVEEVIDSIEVETESSSKNTLSGFPVADRRQSWKGFRTFSQEGSMHPFSDSINLIVSVEDTGVGIPPEAQSRVFTPFMQVGPSISRTHGGTGIGLSISKCLVGLMKGEIGFVSIPKIGSTFTFTAVFTSGCSSSKEYKSQQINCQSNTVSSEFHGMRALVMDPRPVRAKVSKYHIQRLGIHVEVVSDWKQGLSSISRANNAIHMVLIEQEVWDRDLKSSGLFMEKIGPGSPPKVFLLSNSINFSRGNTTPGAYGLTVISKPLRASMLAASLQRAMGIGSKGNPCNGELPSLSLRNLLLGRKILIIDDNNVNLKVAGGALKKYGADVVSATRGIKAIELLTPPHHFDACFMDIQMPEMDGFEATRIIRDVEQNINNHIKFGEISVDDYNNVSNWHVPILAMTADVIQATHEECLRCGMDGYVSKPFEAEQLYREVSRFFH >KJB30880 pep chromosome:Graimondii2_0_v6:5:48596045:48602771:-1 gene:B456_005G165600 transcript:KJB30880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLEQNPVHKDDYHPDFLEPSPIQEEYAPVIFAQDSVSHVVSIDVLSGKEDRENVLQARKSGKGVLTAPFRLLKTNRLGVILTFAVYKRDLPSNAAHNERIQATDGYLGGVFDIESLVEKLLHQLASKQTILVNVLDTTNQSYPISMYGSNASNDGLEHVSHLNFGDPFRKHEMRCRFKQKPPLPWLAITTSIGILVIALLVGHIFHATVNRIAKVEDDCLKMMELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLMDTDLDVTQLDYVRTAQASGKALVALINEVLDQAKIESGKLELEEVQFDLRAILDDVLSLFSGKSQDKGVELAVYISDRVPDMLIGDPGRFRQIITNLMGNSIKFTEKGHILVTVHLVEEVIDSIEVETESSSKNTLSGFPVADRRQSWKGFRTFSQEGSMHPFSDSINLIVSVEDTGVGIPPEAQSRVFTPFMQVGPSISRTHGGTGIGLSISKCLVGLMKGEIGFVSIPKIGSTFTFTAVFTSGCSSSKEYKSQQINCQSNTVSSEFHGMRALVMDPRPVRAKVSKYHIQRLGIHVEVVSDWKQGLSSISRANNAIHMVLIEQEVWDRDLKSSGLFMEKIGPGSPPKVFLLSNSINFSRGNTTPGAYGLTVISKPLRASMLAASLQRAMGIGSKGNPCNGELPSLSLRNLLLGRKILIIDDNNVNLKVAGGALKKYGADVVSATRGIKAIELLTPPHHFDACFMDIQMPEMDGFEATRIIRDVEQNINNHIKFGEISVDDYNNVSNWHVPILAMTADVIQATHEECLRCGMDGYVSKPFEAEQLYREVSRFFH >KJB30879 pep chromosome:Graimondii2_0_v6:5:48596046:48602771:-1 gene:B456_005G165600 transcript:KJB30879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLEQNPVHKDDYHPDFLEPSPIQEEYAPVIFAQDSVSHVVSIDVLSGKEDRENVLQARKSGKGVLTAPFRLLKTNRLGVILTFAVYKRDLPSNAAHNERIQATDGYLGGVFDIESLVEKLLHQLASKQTILVNVLDTTNQSYPISMYGSNASNDGLEHVSHLNFGDPFRKHEMRCRFKQKPPLPWLAITTSIGILVIALLVGHIFHATVNRIAKVEDDCLKMMELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLMDTDLDVTQLDYVRTAQASGKALVALINEVLDQAKIESGKLELEEVQFDLRAILDDVLSLFSGKSQDKGVELAVYISDRVPDMLIGDPGRFRQIITNLMGNSIKFTEKGHILVTVHLVEEVIDSIEVETESSSKNTLSGFPVADRRQSWKGFRTFSQEGSMHPFSDSINLIVSVEDTGVGIPPEAQSRVFTPFMQVGPSISRTHGGTGIGLSISKCLVGLMKGEIGFVSIPKIGSTFTFTAVFTSGCSSSKEYKSQQINCQSNTVSSEFHGMRALVMDPRPVRAKVSKYHIQRLGIHVEVVSDWKQGLSSISRANNAIHMVLIEQEVWDRDLKSSGLFMEKIGPGSPPKVFLLSNSINFSRGNTTPGAYGLTVISKPLRASMLAASLQRAMGIGSKGNPCNGELPSLSLRNLLLGRKILIIDDNNVNLKVAGGALKKYGADVVSATRGIKAIELLTPPHHFDACFMDIQMPEMDGFEATRIIRDVEQNINNHIKFGEISVDDYNNVSNWHVPILAMTADVIQATHEECLRCGMDGYVSKPFEAEQLYREVSRFFH >KJB32082 pep chromosome:Graimondii2_0_v6:5:60489413:60492272:-1 gene:B456_005G222100 transcript:KJB32082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFRKSEPVQGRSQTHATFEVENLNPTPASNNGCRSNRFMEDGVLLTWDDLSVTVAGGRPILQGLTGYARPGELLAIMGPSGCGKSTLLDTLAGRQGPNTSQAGHILINGRKQALAYGTSAYVTQDDALITTLTVREAVYYSAQLQLPDTMANSEKKERAETTTREMGLQDAMDTRIGGWGAKGLSGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYYVMSRIASLNQKDNIGRTIIASIHQPSAEVFQLFTNLYLLSAGKTVYFGPVSAANEFFALNGFPCPSHQNPSDHFLKTINKDFDKDIEQGFANGIPTLEVIDILVKSYKSSDIYQMAQKEVAQICKQGGGALEENNRQSGFFTQCHVLTRRSFTNMSRDLGYYWLRLGIYISLSIVLGSVFSHIGMDNGSIQARGSLMMFVASFLTFMAIGGFPSFVEEMKVFERERLNGHYGVTPFVIGNTLSALPFLALVALIPGAITYFLPGLHHGYQHFLFFVIILFACMMLVESLMMIVASVVPNFLMGIIVGAGIQGIMILVGGFFRLPTDLPKPLLKYPFYHIAFHKYAYQGMFKNEFLGLTFPNVEAGNGGSPTITGEEILKQTWHFETAYSKWVDLAILFAMVVFYRVLFLIIIKTTEKVKPLMVKFMSATRKERTQVTVNPSATPSATPYCADKRQCQ >KJB31598 pep chromosome:Graimondii2_0_v6:5:57100672:57105624:-1 gene:B456_005G197000 transcript:KJB31598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQDGAVADREKESAVSIPISEVDDWANFADDDIMQQQSAIHAEEAKKIPFVGDKEALSMLAAEYESGSPILLEKIKVLDQQYAAIRRTRGDGNCFFRSFMFSYLEHILESQDRAEVDRIKGNVEECRKTLQSLGHTDFTFEDFFSLFLEQLECVLQGNEDSISQDELILRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLTNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDIGGVSVNHHDFLPTSGDKSNAKGGSTVPVKPFITLLYRPGHYDILYPK >KJB31597 pep chromosome:Graimondii2_0_v6:5:57100689:57105257:-1 gene:B456_005G197000 transcript:KJB31597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQDGAVADREKESAVSIPISEVDDWANFADDDIMQQQSAIHAEEAKKIPFVGDKEALSMLAAEYESGSPILLEKIKVLDQQYAAIRRTRGDGNCFFRSFMFSYLEHILESQDRAEVDRIKGNVEECRKTLQSLGHTDFTFEDFFSLFLEQLECVLQGNEDSISQDELILRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLTNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDIGGVSVNHHDFLPTSGDKSNAKGGSTVPVKPFITLLYRPGHYDILYPK >KJB31599 pep chromosome:Graimondii2_0_v6:5:57100689:57105532:-1 gene:B456_005G197000 transcript:KJB31599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQDGAVADREKESAVSIPISEVDDWANFADDDIMQQQSAIHAEEAKKIPFVGDKEALSMLAAEYESGSPILLEKIKVLDQQYAAIRRTRGDGNCFFRSFMFSYLEHILESQDRAEVDRIKGNVEECRKTLQSLGHTDFTFEDFFSLECVLQGNEDSISQDELILRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLTNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDIGGVSVNHHDFLPTSGDKSNAKGGSTVPVKPFITLLYRPGHYDILYPK >KJB31596 pep chromosome:Graimondii2_0_v6:5:57100689:57105489:-1 gene:B456_005G197000 transcript:KJB31596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQDGAVADREKESAVSIPISEVDDWANFADDDIMQQQSAIHAEEAKKIPFVGDKEALSMLAAEYESGSPILLEKIKVLDQQYAAIRRTRGDGNCFFRSFMFSYLEHILESQDRAEVDRIKGNVEECRKTLQSLGHTDFTFEDFFSLFLEQLECVLQGNEDSISQDELILRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLTNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDIGGVSVNHHDFLPTSGDKSNAKGGSTVPVKPFITLLYRPGHYDILYPK >KJB29080 pep chromosome:Graimondii2_0_v6:5:10278342:10279415:-1 gene:B456_005G083300 transcript:KJB29080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKNCFQLTSVCNTRASVLQSYHHHHVSSVDKIHFQTFKGLKLNKPNLSFTADRCPKSRLICKASEAVAQVEVVTEADWEELVVGSKTPVLVDFWAPWCGPCRVIEPVIAELAKEYAGKIVCYKLNTDDSPNIATKFGIRSIPTVLFFKNGEKKESIIGAVPKSTLAATIDKYVDG >KJB28771 pep chromosome:Graimondii2_0_v6:5:7321064:7323750:-1 gene:B456_005G068700 transcript:KJB28771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHSDSSSSEKKSSEAMNDVPTFSTENMQNNMKVIYYSRTFMSIIGGVIAGILGFTGFMGFIFYFLVMAITSIGLIAKAKFSVHLYFDSWNRIILDGFMGGLMSFVLFWTFAYDIVHIF >KJB28772 pep chromosome:Graimondii2_0_v6:5:7321395:7323640:-1 gene:B456_005G068700 transcript:KJB28772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHSDSSSSEKKSSEAMNDVPTFSTENMQNNMKVIYYRFVFHGYRNVKSCVECQYLSFVLICSRTFMSIIGGVIAGILGFTGFMGFIFYFLVMAITSIGLIAKAKFSVHLYFDSWNRIILDGFMGGLMSFVLFWTFAYDIVHIF >KJB28773 pep chromosome:Graimondii2_0_v6:5:7321395:7323640:-1 gene:B456_005G068700 transcript:KJB28773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHSDSSSSEKKSSEAMNDVPTFSTENMQNNMKVIYYSRTFMSIIGGVIAGILGFTGFMGFIFYFLVMAITSIGLIAKAKFSVHLYFDSWNRIILDGFMGGLMSFVLFWTYPFFLAVLHGHTIALAVEP >KJB29496 pep chromosome:Graimondii2_0_v6:5:17691374:17694275:-1 gene:B456_005G103100 transcript:KJB29496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEEAKSINLSLSALGKCINALAENSAHVPIRDSKLTRLLRDSFEGTARTSLIVTIVPPPRHRGETASTILFGQRAMKVENMLRIKEEFDYKSLARRHEIQLDKLIAENERQQKAFDDEVERINLEAQNRVFEVERNFTDALEKERLKYQMEYMESVKKLEEKMIVNQRKHQHDGFMKDKCNGEVLCIKNHILFHVKFIR >KJB31394 pep chromosome:Graimondii2_0_v6:5:55017968:55021869:1 gene:B456_005G189200 transcript:KJB31394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFAVCSLSHCFQLLSHCYPQSFAPKSGPPFFKRYLGPILHLSDSANTRPFPNYSPKKPTVKDSELVHQISNAIKLRRSEPVCRVLKPYESKFRSDHLIWVLMNIKGEYRLVLDFFEWACLRRDPTLEARCIVIQIAVASKDLKMAHQLIHDFWSKPDLDIGLSFSYILERLIYTYKDWGSDPKVFDVFFQVLVEVGLLDEGKKLFDKMLNYGLIISVDSLNIYLSKLRDHFDGFWRAIKVFFELPDVGICWNTASYNIIIHSLCQLGKIKEAHRLLLQMELRGCIPDVVTYSTIIDGYCHVGRLQMVLRLIDEMQSKALRPNPYTYSSIINLLCETGKVVEAEKALREMLNQGILPDSVVYTTLIDGFCKLGNIAFAYKLLNEMQGRKIIPDLLTYTSIICGLCRIGKMTEACNIFQEMLGRGLEPDEFTYTALIDGYCKAGEMKEAFSLHNQMVQMGLIPNVVTYTALADGLCKCGEVDTANELLHEMCVRGLQPNIFTYNSLVNGLCKSGNIAQAIKLMDDMETAGLHPNVITYTTLMDAYCKTGEMDKAYELLRKMLDRRIQPTLVTFNVLMNGFCMSGMLEDGEKLLQWLLEKGIKPNATTYNYLMKQYCIRKDMCATAAMWKGMCAQGVMPDANSYNILIKGHCKARNMKEAWFLRREMIEKGYDLTATSYNDLIKGFIKRKKLKEAKEIFDEMRQKGMAADKEIYCYFVDINYEEGNMETTLELCDEVLENCLVTKLNNGNK >KJB31393 pep chromosome:Graimondii2_0_v6:5:55017968:55021869:1 gene:B456_005G189200 transcript:KJB31393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFAVCSLSHCFQLLSHCYPQSFAPKSGPPFFKRYLGPILHLSDSANTRPFPNYSPKKPTVKDSELVHQISNAIKLRRSEPVCRVLKPYESKFRSDHLIWVLMNIKGEYRLVLDFFEWACLRRDPTLEARCIVIQIAVASKDLKMAHQLIHDFWSKPDLDIGLSFSYILERLIYTYKDWGSDPKVFDVFFQVLVEVGLLDEGKKLFDKMLNYGLIISVDSLNIYLSKLRDHFDGFWRAIKVFFELPDVGICWNTASYNIIIHSLCQLGKIKEAHRLLLQMELRGCIPDVVTYSTIIDGYCHVGRLQMVLRLIDEMQSKALRPNPYTYSSIINLLCETGKVVEAEKALREMLNQGILPDSVVYTTLIDGFCKLGNIAFAYKLLNEMQGRKIIPDLLTYTSIICGLCRIGKMTEACNIFQEMLGRGLEPDEFTYTALIDGYCKAGEMKEAFSLHNQMVQMGLIPNVVTYTALADGLCKCGEVDTANELLHEMCVRGLQPNIFTYNSLVNGLCKSGNIAQAIKLMDDMETAGLHPNVITYTTLMDAYCKTGEMDKAYELLRKMLDRRIQPTLVTFNVLMNGFCMSGMLEDGEKLLQWLLEKGIKPNATTYNYLMKQYCIRKDMCATAAMWKGMCAQGVMPDANSYNILIKGHCKARNMKEAWFLRREMIEKGYDLTATSYNDLIKGFIKRKKLKEAKEIFDEMRQKGMAADKEIYCYFVDINYEEGNMETTLELCDEVLENCLVTKLNNGNK >KJB31177 pep chromosome:Graimondii2_0_v6:5:52653763:52657685:-1 gene:B456_005G179700 transcript:KJB31177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRANAFPEATQWSEGERCAMKKFCPLLVRALPPDVIFIADPEGSIMGLGSAVGPQFVGNGTSEMRLVGALREILAGGHLGYEEIQGVLKDVLTLKLEDGKSNGISESLLSAFLIGQRMNRETDRELKAYCLAFDELGPAPVADVRSLTHYGEPYDGNTRYFRSTLFVAAVRSCYGESSLLHGVEWMPPKEGVTEEQMLKFMGAKTNLSLHQGKKIIEAEEVGFAYISKREARPSLYSLIGLREQIKKRPSLATTEKVQQFIRAKGRESIVAGFYHEGYEEPLLMLMKRRDVHSGLVVKGEEGALSMTTRLRSASTSKGLPVNHCSGFRSVGIESACEMDGVSRQSFRLEVNAMDYGFEPTDTPRTNRSVSKNIELGLAALRGEKGPAYDRIVLNAGIVDHLLGCDGAEDVSLALERAKEAIDSGKAHKRLLNYIKMSHKVK >KJB31180 pep chromosome:Graimondii2_0_v6:5:52653558:52658319:-1 gene:B456_005G179700 transcript:KJB31180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLTPEPTLCFSSIQINSRNPNPSRTWTSLHFLNMDGRHPVLDSATIEQLGLKESDTRNPSLSSTYRNSKLLKPNQTVLEAQARVCTGPTQTRPLSEEQTFKVLDTILRSVRGELKDEEQVSKAQLGAFFAGMTIRANAFPEATQWSEGERCAMKKFCPLLVRALPPDVIFIADPEGSIMGLGSAVGPQFVGNGTSEMRLVGALREILAGGHLGYEEIQGVLKDVLTLKLEDGKSNGISESLLSAFLIGQRMNRETDRELKAYCLAFDELGPAPVADVRSLTHYGEPYDGNTRYFRSTLFVAAVRSCYGESSLLHGVEWMPPKEGVTEEQMLKFMGAKTNLSLHQGKKIIEAEEVGFAYISKREARPSLYSLIGLREQIKKRPSLATTEKVQQFIRAKGRESIVAGFYHEGYEEPLLMLMKRRDVHSGLVVKGEEGALSMTTRLRSASTSKGLPVNHCSGFRSVGIESACEMDGVSRQSFRLEVNAMDYGFEPTDTPRTNRSVSKNIELGLAALRGEKGPAYDRIVLNAGIVDHLLGCDGAEDVSLALERAKEAIDSGKAHKRLLNYIKMSHKVK >KJB31179 pep chromosome:Graimondii2_0_v6:5:52653564:52658068:-1 gene:B456_005G179700 transcript:KJB31179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRANAFPEATQWSEGERCAMKKFCPLLVRALPPDVIFIADPEGSIMGLGSAVGPQFVGNGTSEMRLVGALREILAGGHLGYEEIQGVLKDVLTLKLEDGKSNGISESLLSAFLIGQRMNRETDRELKAYCLAFDELGPAPVADVRSLTHYGEPYDGNTRYFRSTLFVAAVRSCYGESSLLHGVEWMPPKVLMLQLLQFIFQVQVRLKEGVTEEQMLKFMGAKTNLSLHQGKKIIEAEEVGFAYISKREARPSLYSLIGLREQIKKRPSLATTEKVQQFIRAKGRESIVAGFYHEGYEEPLLMLMKRRDVHSGLVVKGEEGALSMTTRLRSASTSKGLPVNHCSGFRSVGIESACEMDGVSRQSFRLEVNAMDYGFEPTDTPRTNRSVSKNIELGLAALRGEKGPAYDRIVLNAGIVDHLLGCDGAEDVSLALERAKEAIDSGKAHKRLLNYIKMSHKVK >KJB31176 pep chromosome:Graimondii2_0_v6:5:52653763:52656790:-1 gene:B456_005G179700 transcript:KJB31176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRANAFPEATQWSEGERCAMKKFCPLLVRALPPDVIFIADPEGSIMGLGSAVGPQFVGNGTSEMRLVGALREILAGGHLGYEEIQGVLKDVLTLKLEDGKSNGISESLLSAFLIGQRMNRETDRELKAYCLAFDELGPAPVADVRSLTHYGEPYDGNTRYFRSTLFVAAVRSCYGESSLLHGVEWMPPKEGVTEEQMLKFMGAKTNLSLHQGKKIIEAEEVGFAYISKREARPSLYSLIGLREQIKKRPSLATTEKVQQFIRAKGRESIVAGFYHEGYEEPLLMLMKRRDVHSGLVVKGEEGALSMTTRLRSASTSKGLPVNHCSGFRSVGIESACEMDGVSRQSFRLEVNAMDYGFEPTDTPRTNRSVSKNIELGLAALRGEKGPAYDRIVLNAGIVDHLLGCDGAEDVSLALERAKEAIDSGKAHKRLLNYIKMSHKVK >KJB31178 pep chromosome:Graimondii2_0_v6:5:52653763:52656790:-1 gene:B456_005G179700 transcript:KJB31178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRANAFPEATQWSEGERCAMKKFCPLLVRALPPDVIFIADPEGSIMGLGSAVGPQFVGNGTSEMRLVGALREILAGGHLGYEEIQGVLKDVLTLKLEDGKSNGISESLLSAFLIGQRMNRETDRELKAYCLAFDELGPAPVADVRSLTHYGEPYDGNTRYFRSTLFVAAVRSCYGESSLLHGVEWMPPKVLMLQLLQFIFQVQVRLKEGVTEEQMLKFMGAKTNLSLHQGKKIIEAEEVGFAYISKREARPSLYSLIGLREQIKKRPSLATTEKVQQFIRAKGRESIVAGFYHEGYEEPLLMLMKRRDVHSGLVVKGEEGALSMTTRLRSASTSKGLPVNHCSGFRSVGIESACEMDGVSRQSFRLEVNAMDYGFEPTDTPRTNRSVSKNIELGLAALRGEKGPAYDRIVLNAGIVDHLLGCDGAEDVSLALERAKEAIDSGKAHKRLLNYIKMSHKVK >KJB28215 pep chromosome:Graimondii2_0_v6:5:3298592:3300587:1 gene:B456_005G034700 transcript:KJB28215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYFHFAILTPGKKSLLSFFLLFSTFLLPLITLLLILEFFWLSPALLTFHYGRFIIGGDFVTDTPSVN >KJB30303 pep chromosome:Graimondii2_0_v6:5:35267385:35270338:1 gene:B456_005G136500 transcript:KJB30303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRNVFPNGATVRSLIHGVFRCVAPRKAFELLIMFLEKEPMMQRLACDTLLLCLSNHHMATEATLFMNKLSGRGYMPDNLTFNLTMTCLIKGFNLDETCQILDSYIERGLKPGFNTYLALMQALYSVGKCAEGDRYFDQMIKGGLSIFLTLQCNGTSGGLPVHLCHTICSRCCTYYLVGYAGSVARKIKQ >KJB30304 pep chromosome:Graimondii2_0_v6:5:35267385:35270338:1 gene:B456_005G136500 transcript:KJB30304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLILDHQRVDDAFRLIETMKKRNVFPNGATVRSLIHGVFRCVAPRKAFELLIMFLEKEPMMQRLACDTLLLCLSNHHMATEATLFMNKLSGRGYMPDNLTFNLTMTCLIKGFNLDETCQILDSYIERGLKPGFNTYLALMQALYSVGKCAEGDRYFDQMIKGGLSIFLTLQCNGTSGGLPVHLCHTICSRCCTYYLVGYAGSVARKIKQ >KJB29178 pep chromosome:Graimondii2_0_v6:5:11649838:11655786:-1 gene:B456_005G088500 transcript:KJB29178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSSDGRGRWKRRKRERRLKHQVEEKDVVPEEDDEEENNDDLDNSGDDAAGVATDPSLPGSSESEVLAGGGFRISEFPPVVKRTVNRPHGSVMAIVASERAGLIGDSKGHQQVELAVLENVSHGQIQSVSMEAPVVDPEKYVITPPPIMEGRGVVKRFGSRVHVLPMHSEWFSPATVHRLERQVVPLFFSGKSPEHTPERYIECRNQIVVKYMDNPGKRITVSDCQGLVNGINNEDLTRIFRFLDHWGIINYCAAAPSHEPWKVGSYLREESNGEVHVPSAALKSIDSLIKFDKPKCRLKAADVYSPLSCNDNDVSDLDNRIRERLSENYCSSCSQPIPTSYYQSQKEVDTLLCSDCFHDGRFFSGHSSIDFVRVESKDYGDLDGESWSDQETLMLLEALEIYNENWNEIAEHVGTKSKAQCILHFLRLPMEEGLLEDMEVPSMPKSMIVSNGDGRGILHSNMNGSLTGPNLQDADSESRLPFANSGNPVMAMLKRMELKLKQFAEVETLLMKECDQVEKTRQRFAAERARIVSTRFSPVGVTSQMSLPGVASSMANNNISNNRQQVISTSPSHPQPSVSGYGGNQPVNPHVPFMQRQPMFPMGPRLPLTAMQASTSGSPNVMFNSPGNTQPTINHPLMRSASGTSSG >KJB29177 pep chromosome:Graimondii2_0_v6:5:11650086:11655480:-1 gene:B456_005G088500 transcript:KJB29177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSSDGRGRWKRRKRERRLKHQVEEKDVVPEEDDEEENNDDLDNSGDDAAGVATDPSLPGSSESEVLAGGGFRISEFPPVVKRTVNRPHGSVMAIVASERAGLIGDSKGHQQVELAVLENVSHGQIQSVSMEAPVVDPEKYVITPPPIMEGRGVVKRFGSRVHVLPMHSEWFSPATVHRLERQVVPLFFSGKSPEHTPERYIECRNQIVVKYMDNPGKRITVSDCQGLVNGINNEDLTRIFRFLDHWGIINYCAAAPSHEPWKVGSYLREESNGEVHVPSAALKSIDSLIKFDKPKCRLKAADVYSPLSCNDNDVSDLDNRIRERLSENYCSSCSQPIPTSYYQSQKEVDTLLCSDCFHDGRFFSGHSSIDFVRVESKDYGDLDGESWSDQETLMLLEALEIYNENWNEIAEHVGTKSKAQCILHFLRLPMEEGLLEDMEVPSMPKSMIVSNGDGRGILHSNMNGSLTGPNLQDADSESRLPFANSGNPVMAMVAFLASAVGPRVAAACAHASLAALSEGENSATNGSVGQNEAEVHPLPPEKTKAAAKAGLAAAAMKAKLFADHEEREIQRLSANIINHQLKRMELKLKQFAEVETLLMKECDQVEKTRQRFAAERARIVSTRFSPVGVTSQMSLPGVASSMANNNISNNRQQVISTSPSHPQPSVSGYGGNQPVNPHVPFMQRQPMFPMGPRLPLTAMQASTSGSPNVMFNSPGNTQPTINHPLMRSASGTSSG >KJB29748 pep chromosome:Graimondii2_0_v6:5:23337896:23342874:1 gene:B456_005G116800 transcript:KJB29748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPFSLLLFLLFFAANIALLSAVTDPHDAAALQSLKDSWQNTPPSWVKSDDPCGAPWEGVTCNSSRVTALGLSTMGLKGKLSGDIGGLTELRSLDLSFNRDLTGSLSPRLGDLEKLNILILAGCGFTGNIPEELGNLAELSFLALNSNNFTGKIPPSLGKLSKLYWLDLADNQLVGAIPVSTPTSPGLDLLLKAKHFHFNKNKLSGTIPPKLFSSEMVLIHILFDGNQLTGNIPSTLGHVQTLEVLRLDRNALWGEVPSNLNNLTNINELNLAHNNLTGPLPDLTSMNTLNYVDLSNNSFDPTEAPVWFSTLSSLTTLVIEHGSLQGPVPEKLFSFPQIQQVKLRNNAFNGTLNLGDSVGTQLQLVDLQNNQISSITLGSGYSNTLILIGNPVCTTAISNTNFCQIQQQNTKPYSTSLANCGSKSCPVDQKLSPQSCECAYPFEGTLYFRGPMFRELSNVNMFHSLEMSLWVKLGLTPGSVFLQNPFFNVDDYLQIQLALFPSSGEYFNRSEVLRIGFDLSNQTYKPPPEFGPYYFIASPYPFPASLGTSVSKGVIIAVATGAAILVLGLIGVGIYAVRQKKRAEKAIGLSNPFASWAPSGKDSGGAPQLKGARYTKERSLMDNLWQLKELSMDQCRVGLNSRLKLNYFPEFITKTLSVLLGFVLIKESKCWFMNLWPMEHFERACLGDLAFILTGKGDSG >KJB29747 pep chromosome:Graimondii2_0_v6:5:23337756:23343121:1 gene:B456_005G116800 transcript:KJB29747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPFSLLLFLLFFAANIALLSAVTDPHDAAALQSLKDSWQNTPPSWVKSDDPCGAPWEGVTCNSSRVTALGLSTMGLKGKLSGDIGGLTELRSLDLSFNRDLTGSLSPRLGDLEKLNILILAGCGFTGNIPEELGNLAELSFLALNSNNFTGKIPPSLGKLSKLYWLDLADNQLVGAIPVSTPTSPGLDLLLKAKHFHFNKNKLSGTIPPKLFSSEMVLIHILFDGNQLTGNIPSTLGHVQTLEVLRLDRNALWGEVPSNLNNLTNINELNLAHNNLTGPLPDLTSMNTLNYVDLSNNSFDPTEAPVWFSTLSSLTTLVIEHGSLQGPVPEKLFSFPQIQQVKLRNNAFNGTLNLGDSVGTQLQLVDLQNNQISSITLGSGYSNTLILIGNPVCTTAISNTNFCQIQQQNTKPYSTSLANCGSKSCPVDQKLSPQSCECAYPFEGTLYFRGPMFRELSNVNMFHSLEMSLWVKLGLTPGSVFLQNPFFNVDDYLQIQLALFPSSGEYFNRSEVLRIGFDLSNQTYKPPPEFGPYYFIASPYPFPASLGTSVSKGVIIAVATGAAILVLGLIGVGIYAVRQKKRAEKAIGLSNPFASWAPSGKDSGGAPQLKGARWFSYDELKKCTNNFSESNELGYGGYGKVYKGTLSDGQSVAIKRAQHGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFDQGEQMLVYEFMANGTLRESLSGRSGIYLDWKRRLRIALGSARGLAYLHELANPPIIHRDIKSTNILLDENLTAKVADFGLSKLVSDSSKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELITAKQPIEKGKYVVREVRSVMDMKDDEHYGLRELMDPSIRSSGNLLGFGKFLELAMQCVEDSATDRPTMSDVVKAIETILQNDGMNTNSTTSASSSATDFGVAKGSLRHPYADALPKKEVNVSDSDAFDYSGGYTLSAKVEPK >KJB31328 pep chromosome:Graimondii2_0_v6:5:54575243:54576095:1 gene:B456_005G186800 transcript:KJB31328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEANLKFDMVPFLYKWNESDLCLHYSHSIAIFFCKVEFNPLWNQKKLREYCKANGVLLIGYSPLGASGTLWGSSRVLENEVLKEIAEAKGKTVAQICLKWAFEEGVGVIVKSFNVERMKQNLEILDWSLSEEERKRIGDLPQSRGCTGEPFVSENGTFKTIKDFWDGEV >KJB31712 pep chromosome:Graimondii2_0_v6:5:58241263:58245221:-1 gene:B456_005G204000 transcript:KJB31712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFETGSTQSNLACFLHCTTPTVKSHFLPKSEIRNLNRLWHPWEREKVEYFTLGDLWNCYDEWSAYGAGVPIVLNNAETLVQYYVPYLSAIQIFTSNSSVNTFREETESGDGERDSFSDSLSDESESDKLWRWDGCSSEDGGSEHDSLWHVNNRLGYLYFQYFERSTPYGRVPLMDKINGLSRRYPGLMSLRSVDLSPASWMAVYWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMDLEDDIENPERKRKEGEGISLPPFGLATYKMQGNVWVSGNSGRDQERLVSLLSVADSWLKQLRVQHHDFNYFTGIRRG >KJB32264 pep chromosome:Graimondii2_0_v6:5:61478922:61481160:1 gene:B456_005G232200 transcript:KJB32264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSARFTQTIFTIILKGHWNHLLEPKICSQITSTTINHLLLHLSVFSCNASLSWSFFQWVKNSIPTYNHSLQSTWTMVHILTKHKHFKTAHHLLDKIPNKDFLSSNSVLKVLVSTHSDVEVNSHVLSWLVISYGKLRMTQDALQVFESMRVHGLKPHLHACTVLLNCLVKDKLIDSVWKIYKKMVKLGVVVNLHVYNVLLHACCMAGDVEKAEMVLSEMELKNVFPDLITFNTIIVLYCKKGMHYEALCVQDRMERAGISPDIRTYNSLIYGFCRQGRMREALRLFKEMKGVSVSPNHVTYTTLIDGYCRVNELGEALRLRDIMEAKGIYPGVVTYNSIIRKLCEDGKIREANWILNEMNEKKVEPDNVTCNTLINAYCKIGDMGSAMKVKNKMMEAGLKLDQFTFKALIHGFFKVNEMDSAKDYLFNMLDAGFCPSYCTYSWLVDGYCNLGKEEEVMKFPDELLKRGLIVDVSVYRALIRRFCKRERLDCAERIFGVMQGKGICGDSVIYANLAYGYWKMGKVNAASNLLNEMYEKRLMITLKIYRSFTASYGGDNNNSILGLFWNHVVQRGLISKSILKDINKGEI >KJB28567 pep chromosome:Graimondii2_0_v6:5:5701345:5705440:1 gene:B456_005G056100 transcript:KJB28567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVLKGSSSGNHVSPLLSIQEKGSRNKRKFRADLPLGDQNKIITSPQNGCPSYEFCAEKFEITPVHGQASAYDLCSVSQDHSGGLKLDLRLSSTLGSSEVGPSRAKEELEADEFQDADWSDLTESQLEELVLSNLDTIFKSAIKKIVAYGYTEEIVTKAVLRSGLCYGCKDTVSNIVDNTLAYLRSGQDYNPSRDHYFEDLQQMEKYILTELVCVLREVRPFFSTGDAMWCLLICDMNVSHACAMDGDPLSGFAGDGGSNGISFSSNQPQLKPEAKTSELNLPNPCRPVPSFPCSHSSPPEVPSTGISNTTKSKNSVVLSGIVSEKEGTNSTADSADKTFSAGGTSQFSTMEEKFVGSRKTHSTKREFLRQKSLHLEKNYKTYGSKGSSRAKMSSLGGLILDKKLKSVSNSATVNIKGASLKIKAMGADVSQDNESHLLANLGPSSSTSFCLDNDSNISAVPKTDIATISPLVNMPPELLPMNNPPTLSTTDTELSLSLPTKSNSIVVPPVSHFKVANPSYVGMPFGNSLGDWVPQDKKDEMILKLVPRVQELQNQLQDWTEWTNQKVMQAARRLSKDKVELKTLRQEKEEVELLKKEKLSLEENTRKKLVEMDVALSKASGQVERANATVRRFEVENAALRQEMEAAKLRAAESAASCQEVSKREKKTLMKVQSWDKQKNLFQEELMTEKRKVTQLLHELQLAKVIQEQFEVKWQQEQKAKEEVVTQASLVRKEREQIEASTKLKDDMIKSKAETSLQKYKEDIQKLEQEISRLRLKMDSSKIAALRRGIDGSYVKYISMTQKKSRTPFISEVVTDFQDFSGEGGVKRERECVMCLSEEMSVVFVPCAHQVVCTTCNELHKKQGMKDCPSCRSLIQRRIPVRYARS >KJB28566 pep chromosome:Graimondii2_0_v6:5:5701378:5705440:1 gene:B456_005G056100 transcript:KJB28566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVLKGSSSGNHVSPLLSIQEKGSRNKRKFRADLPLGDQNKIITSPQNGCPSYEFCAEKFEITPVHGQASAYDLCSVSQDHSGGLKLDLRLSSTLGSSEVGPSRAKEELEADEFQDADWSDLTESQLEELVLSNLDTIFKSAIKKIVAYGYTEEIVTKAVLRSGLCYGCKDTVSNIVDNTLAYLRSGQDYNPSRDHYFEDLQQMEKYILTELVCVLREVRPFFSTGDAMWCLLICDMNVSHACAMDGDPLSGFAGDGGSNGISFSSNQPQLKPEAKTSELNLPNPCRPVPSFPCSHSSPPEVPSTGISNTTKSKNSVVLSGIVSEKEGTNSTADSADKTFSAGGTSQFSTMEEKFVGSRKTHSTKREFLRQKSLHLEKNYKTYGSKGSSRAKMSSLGGLILDKKLKSVSNSATVNIKGASLKIKAMGADVSQDNESHLLANLGPSSSTSFCLDNDSNISAVPKTDIATISPLVNMPPELLPMNNPPTLSTTDTELSLSLPTKSNSIVVPPVSHFKVANPSYVGMPFGNSLGDWVPQDKKDEMILKLVPRVQELQNQLQDWTEWTNQKVMQAARRLSKDKVELKTLRQEKEEVELLKKEKLSLEENTRKKLVEMDVALSKASGQVERANATVRRFEVENAALRQEMEAAKLRAAESAASCQEVSKREKKTLMKVQSWDKQKNLFQEELMTEKRKVTQLLHELQLAKVIQEQFEVKWQQEQKAKEEVVTQASLVRKEREQIEASTKLKDDMIKSKAETSLQKYKEDIQKLEQEISRLRLKMDSSKIAALRRGIDGSYVKYISMTQKKSRTPFISEVVTDFQDFSGEGGVKRERECVMCLSEEMSVVFVPCAHQVVCTTCNELHKKQGMKDCPSCRSLIQRRIPVRYARS >KJB28568 pep chromosome:Graimondii2_0_v6:5:5701413:5705478:1 gene:B456_005G056100 transcript:KJB28568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVLKGSSSGNHVSPLLSIQEKGSRNKRKFRADLPLGDQNKIITSPQNGCPSYEFCAEKFEITPVHGQASAYDLCSVSQDHSGGLKLDLRLSSTLGSSEVGPSRAKEELEADEFQDADWSDLTESQLEELVLSNLDTIFKSAIKKIVAYGYTEEIVTKAVLRSGLCYGCKDTVSNIVDNTLAYLRSGQDYNPSRDHYFEDLQQMEKYILTELVCVLREVRPFFSTGDAMWCLLICDMNVSHACAMDGDPLSGFAGDGGSNGISFSSNQPQLKPEAKTSELNLPNPCRPVPSFPCSHSSPPEVPSTGISNTTKSKNSVVLSGIVSEKEGTNSTADSADKTFSAGGTSQFSTMEEKFVGSRKTHSTKREFLRQKSLHLEKNYKTYGSKGSSRAKMSSLGGLILDKKLKSVSNSATVNIKGASLKIKAMGADVSQDNESHLLANLGPSSSTSFCLDNDSNISAVPKTDIATISPLVNMPPELLPMNNPPTLSTTDTELSLSLPTKSNSIVVPPVSHFKVANPSYVGMPFGNSLGDWVPQDKKDEMILKLVPRVQELQNQLQDWTEWTNQKVMQAARRLSKDKVELKTLRQEKEEVELLKKEKLSLEENTRKKLVEMDVALSKASGQVERANATVRRFEVENAALRQEMEAAKLRAAESAASCQEVSKREKKTLMKVQSWDKQKNLFQEELMTEKRKVTQLLHELQLAKVIQEQFEVKWQQEQKAKEEVVTQASLVRKEREQIEASTKLKDDMIKSKAETSLQKYKEDIQKLEQEISRLRLKMDSSKIAALRRGIDGSYVKYISMTQKKSRTPFISEVVTDFQDFSGEGGVKRERECVMCLSEEMSVVFVPCAHQVVCTTCNELHKKQGMKDCPSCRSLIQRRIPVRYARS >KJB27867 pep chromosome:Graimondii2_0_v6:5:1001570:1004082:-1 gene:B456_005G013900 transcript:KJB27867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETMSDPNKKYTFSIQSNSCYSKDLNSYKFMRSCEYKIEQTIIEKALESKDGYHFEPSLTKSDIDDYMYLQRAKNCDVDVVVAICLQNRYTSNDVYVVEFYWPSTESEISKSFTPRIFNDLKHMEKKFVTVKVQGTEQAISNIPTSSYTARPLKIAEETEDVDAVEINGVNVQIFPNGDPEIVKANKEESSKATQRELRSKVWDHFDRFEEDEKQVAKCKHCPKVLTGSSKSGTTHLNNHLKVCPGKKKQNQESQLILPVDTNEGSLRFDKKRSLMDLAKMMIKLQCPLDMAEQETFKNFVKGLQPMFEFQSKDILSYIHRIYDEEKEKLQLYFDKLAGKFNLTVSLLKNNSGKTIYCCLISHFIDDGWELKRKILALKTLEHINDTKALGEIIRSLVLEWNISNKVCSITVDNSFLNDSMVDQIKEICLSDQGSVSSDHWFISFTLLEDGFREMDGILFKLRKSIEYVTETRHGKLKFQEAVDQVKLQGGKLWDDLSFRLESDFDILYSALRSREIFCKLEQIDDNFKLNPTMEEWENAVALQSCLKCFDDIKGTQCLPVSLYLPKLCDTYKKFLQLEKSSHSFVTLMKRKFDRYWSLCNLALAVASVLDPRLKFKIVELSYRVIYGHDSKMRLNMFHKVLRDVYYEYASEAKSLTSSASVLDDFNCSTIGLGNDSILDSLSKFASASNFNEEASWKLELELYLDEPLLPMDGAFFDILGWWCDKSQRFPILAKMAQDFLAIPVSISTSCSNISAMINNPAYSTLNPESMEALVCSENWLETPKESKP >KJB29933 pep chromosome:Graimondii2_0_v6:5:27728752:27733878:1 gene:B456_005G124300 transcript:KJB29933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TQSGSKSNNNNSTLYYIFYFPCDRKQKKKKIMEVAVGKTLWLGLNPKIISCKQEKGIFVSNTFCRRACFPPRIRYRTLKFHGRKRHEYLRTAHAISSDVEDVGVSSQFEDFTVTPCSTEECRKLKIRVEVSGAKTRAIFNEVFDKMVADAQPIPGFRRIPREILLEVLGASKVYKQVITRVINSTVAEYVEKESLSVGKDLRVEQSIEDLEEIFEPDEIFSFDAVIQLQQSN >KJB29935 pep chromosome:Graimondii2_0_v6:5:27728827:27731541:1 gene:B456_005G124300 transcript:KJB29935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAVGKTLWLGLNPKIISCKQEKGIFVSNTFCRRACFPPRIRYRTLKFHGRKRHEYLRTAHAISSDVEDVGVSSQFEDFTVTPCSTEECRKLKIRVEVSGAKTRAIFNEVFDKMVADAQPIPGFRRVKGGTILFLVDKYFFLVF >KJB29934 pep chromosome:Graimondii2_0_v6:5:27728762:27732463:1 gene:B456_005G124300 transcript:KJB29934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAVGKTLWLGLNPKIISCKQEKGIFVSNTFCRRACFPPRIRYRTLKFHGRKRHEYLRTAHAISSDVEDVGVSSQFEDFTVTPCSTEECRKLKIRVEVSGAKTRAIFNEVFDKMVADAQPIPGFRRVKGGKNYMVLYENGNGLLS >KJB31291 pep chromosome:Graimondii2_0_v6:5:53991444:53993731:-1 gene:B456_005G184200 transcript:KJB31291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHLSPLAVTHLLQHTLRSLCIHENYQWVYAVFWRILPRNYPPPKWDGQGAYDRSRGNRRNWILVWEDGFCNFAASAAEINSGDCHGSSVHGSSEFQHYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIYKEPNEQEINFLSAWHNSADSHPRTWEAQFQAGIKTIALIAVREGVVQLGAVHKVIEDLSYVVLLRKKFSYIESIPGVLLPHPSSSAYPFKVDAYGTPEAWAHFPAGTTIAPPPPPEFYDHFNQPMKITPSMSSLEALLSKLPSVVPPQASSGYCESHPQSQFQSSQRPVEYIGMEKVAKEEIDEEYKPEQDMGESSTSFSAYRRQQQYHQHLSM >KJB31293 pep chromosome:Graimondii2_0_v6:5:53991521:53993704:-1 gene:B456_005G184200 transcript:KJB31293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHLSPLAVTHLLQHTLRSLCIHENYQWVYAVFWRILPRNYPPPKWDGQGAYDRSRGNRRNWILVWEDGFCNFAASAAEINSGDCHGSSVHGSSEFQHYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIYKEPNEQEINFLSAWHNSADSHPRTWEAQFQAGIKTIALIAVREGVVQLGAVHKYHIYLHFLSHLCYSKVIEDLSYVVLLRKKFSYIESIPGVLLPHPSSSAYPFKVDAYGTPEAWAHFPAGTTIAPPPPPEFYDHFNQPMKITPSMSSLEALLSKLPSVVPPQASSGYCESHPQSQFQSSQRPVEYIGMEKVAKEEIDEEYKPEQDMGESSTSFSAYRRQQQYHQHLSM >KJB31292 pep chromosome:Graimondii2_0_v6:5:53991521:53993704:-1 gene:B456_005G184200 transcript:KJB31292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTINGFMQSFGGSYLETIHLPNGMVKELMTGQEETGETGIVWEDGFCNFAASAAEINSGDCHGSSVHGSSEFQHYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIYKEPNEQEINFLSAWHNSADSHPRTWEAQFQAGIKTIALIAVREGVVQLGAVHKVIEDLSYVVLLRKKFSYIESIPGVLLPHPSSSAYPFKVDAYGTPEAWAHFPAGTTIAPPPPPEFYDHFNQPMKITPSMSSLEALLSKLPSVVPPQASSGYCESHPQSQFQSSQRPVEYIGMEKVAKEEIDEEYKPEQDMGESSTSFSAYRRQQQYHQHLSM >KJB27459 pep chromosome:Graimondii2_0_v6:5:62909228:62910023:-1 gene:B456_005G249700 transcript:KJB27459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLPRMVSSKKVPKGYFAVYVGESQKRFVIPVSFLNHPSFQDLLGKSEEEFGYSHPTGGLTIPCNEDTFVEVTSRMY >KJB27863 pep chromosome:Graimondii2_0_v6:5:988797:993656:-1 gene:B456_005G013600 transcript:KJB27863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTHSSSKVVAAPFNTPEGPHNQIVPNDDPEIGKANKEKLPRTKQRNSRPKTVKANKEKLPETTQRRLTSAVWEHFDRLLVGGEQVAKCKHCPKVLTGSSNSGTTHLRNHLNVCQKEQNQERSRLNFVKMIIKHRYPLDMADQEFFKNFVKDMQPMFEFESKDISSYIRSIYREEKEKLQLYFNKLASKFNLTVSLWKNNSGKTAYCCLIAHFIDDSWELKMKTLGLRTLEHINDTKAVGGIIQSLVSEWNIGSKVCSITVDNSFLDNSMVQQIKDNCLSNLVSLSSTHWFINCTLLEDGFREMDDLLFNLKKSIEYVTETKHGRLKFQEAVDQVKLHDGKSWDDLSLKLESDFGILDSALRSREIFCKLEQIDGNFKLNPSMEEWENAAALQSCLRCFDDIKGTQSLTRRLCNSALAVASVLDPRLKFKVVEFSYILIYGHDSKVQLNTFRELLTNVYNEYANETKNQTTSASVLDDINWLGNNSIWDSFSKFVTANEASSKSELELYLDEPLLPMDGEIFDILGWWCDKSQKFPILAKMARDFLAIPVSIFTPCSNIKATINNPAYNILNPESMEALVCSENWLESPKGNDGENHEPTQTTDKGKRKLDEDTCVGKKSKPSNCEKDIAKDSNSNDEPVGEISIGKNSSKNGCYGETSSGNKSKASNKMDIHQEKSSSEFNHGRNVEDVSSGESSSDNDQSDQLQSSSSESDVEITLKEQGSWFEQDIKAYLLSEFTKKENELIDKWQKNELKGKRIGRDKCFKIPGEILAPLLMVPQGDETRKEYYIEDLVVNTFFELLKKRSDKFPNIYINHYSFNSQIATQLIEGPRTEQEVLAWVKVDELRGVHKMFLPMSLSKHWVLFYADTKEKKISWLDPIASSRVRSYNVEKDIILQWFTTLLLPKLGYVDAKEWPFLVRNDIPEQKNSVDCAVFVMKYGDCLTHGDCFPFKQEDMVHFRRRIFVDIYRGRIH >KJB27864 pep chromosome:Graimondii2_0_v6:5:989007:993635:-1 gene:B456_005G013600 transcript:KJB27864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTHSSSKVVAAPFNTPEGPHNQIVPNDDPEIGKANKEKLPRTKQRNSRPKTVKANKEKLPETTQRRLTSAVWEHFDRLLVGGEQVAKCKHCPKVLTGSSNSGTTHLRNHLNVCQKEQNQERSRLNFVKMIIKHRYPLDMADQEFFKNFVKDMQPMFEFESKDISSYIRSIYREEKEKLQLYFNKLASKFNLTVSLWKNNSGKTAYCCLIAHFIDDSWELKMKTLGLRTLEHINDTKAVGGIIQSLVSEWNIGSKVCSITVDNSFLDNSMVQQIKDNCLSNLVSLSSTHWFINCTLLEDGFREMDDLLFNLKKSIEYVTETKHGRLKFQEAVDQVKLHDGKSWDDLSLKLESDFGILDSALRSREIFCKLEQIDGNFKLNPSMEEWENAAALQSCLRCFDDIKGTQSLTRRLCNSALAVASVLDPRLKFKVVEFSYILIYGHDSKVQLNTFRELLTNVYNEYANETKNQTTSASVLDDINWLGNNSIWDSFSKFVTANEASSKSELELYLDEPLLPMDGEIFDILGWWCDKSQKFPILAKMARDFLAIPVSIFTPCSNIKATINNPAYNILNPESMEALVCSENWLESPKGNDGENHEPTQTTDKGKRKLDEDTCVGKKSKPSNCEKDIAKDSNSNDEPVGEISIGKLQTENSSKNGCYGETSSGNKSKASNKMMGTISLQDIHQEKSSSEFNHGRNVEDVSSGESSSDNDQSDQLQSSSSESDVEITLKEQGSWFEQDIKAYLLSEFTKKENELIDKWQKNELKGKRIGRDKCFKIPGEILAPLLMVPQGDETRKEYYIEDLVVNTFFELLKKRSDKFPNIYINHYSFNSQIATQLIEGPRTEQEVLAWVKVDELRGVHKMFLPMSLSKHWVLFYADTKEKKISWLDPIASSRVRSYNVEKDIILQWFTTLLLPKLGYVDAKEWPFLVRNDIPEQKNSVDCAVFVMKYGDCLTHGDCFPFKQEDMVHFRRRIFVDIYRGRIH >KJB27862 pep chromosome:Graimondii2_0_v6:5:988797:993012:-1 gene:B456_005G013600 transcript:KJB27862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKHRYPLDMADQEFFKNFVKDMQPMFEFESKDISSYIRSIYREEKEKLQLYFNKLASKFNLTVSLWKNNSGKTAYCCLIAHFIDDSWELKMKTLGLRTLEHINDTKAVGGIIQSLVSEWNIGSKVCSITVDNSFLDNSMVQQIKDNCLSNLVSLSSTHWFINCTLLEDGFREMDDLLFNLKKSIEYVTETKHGRLKFQEAVDQVKLHDGKSWDDLSLKLESDFGILDSALRSREIFCKLEQIDGNFKLNPSMEEWENAAALQSCLRCFDDIKGTQSLTRRLCNSALAVASVLDPRLKFKVVEFSYILIYGHDSKVQLNTFRELLTNVYNEYANETKNQTTSASVLDDINWLGNNSIWDSFSKFVTANEASSKSELELYLDEPLLPMDGEIFDILGWWCDKSQKFPILAKMARDFLAIPVSIFTPCSNIKATINNPAYNILNPESMEALVCSENWLESPKGNDGENHEPTQTTDKGKRKLDEDTCVGKKSKPSNCEKDIAKDSNSNDEPVGEISIGKLQTENSSKNGCYGETSSGNKSKASNKMDIHQEKSSSEFNHGRNVEDVSSGESSSDNDQSDQLQSSSSESDVEITLKEQGSWFEQDIKAYLLSEFTKKENELIDKWQKNELKGKRIGRDKCFKIPGEILAPLLMVPQGDETRKEYYIEDLVVNTFFELLKKRSDKFPNIYINHYSFNSQIATQLIEGPRTEQEVLAWVKVDELRGVHKMFLPMSLSKHWVLFYADTKEKKISWLDPIASSRVRSYNVEKDIILQWFTTLLLPKLGYVDAKEWPFLVRNDIPEQKNSVDCAVFVMKYGDCLTHGDCFPFKQEDMVHFRRRIFVDIYRGRIH >KJB28247 pep chromosome:Graimondii2_0_v6:5:3628470:3631039:-1 gene:B456_005G038500 transcript:KJB28247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLKLFGSFFSPYGYRVIWALKLKGIPYEYIDEDLRNKSPLLLQYNPIHKQVPVLVHDGKPICESTVILQYIDEIWPQNLLLPADPYDRAVALFWIKFADDKGYLMLKLYRANGEEQQAAVKEWLEMLEVMEEHALIGVKKLFGGDEINMVDIAFSFVAIWLGVLEDILGLEICEPHKFPRVSSWIQNFKSIPVIKDNFPDTDKMSTFLKHGREMMLTSKSN >KJB28469 pep chromosome:Graimondii2_0_v6:5:4950723:4954873:1 gene:B456_005G050300 transcript:KJB28469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASVAFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIFQAEKYRKGACENCGAMTHDAKSCMERPRKKGAKWTNMHIAPDEKIETFELDYDGKRDRWNGYDASTYARVIERYEARDEARRKYLKEQQLKKLEEKNSKNEGEVEGEGEEGEISDEEDDDDALKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNEKFYEGDNQYRMSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLYKNYKVIKEKLKSKTKDTIMEKYGNAATEEEIPMELLLGQSERQVEYDRAGRIIKGMETSLPKSKYEEDVFINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEAATDLMKSNIARKASSEDAPAPAQERKHVTWGSDVPEDLVLDEKLLTEALKKEEERRREEKDERKRKYNVRWNDEVTAEEMEAYRMKRVHHDDPMKDFLN >KJB32093 pep chromosome:Graimondii2_0_v6:5:60550967:60555266:-1 gene:B456_005G223300 transcript:KJB32093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPREEFPTKGLEGAPSNDIGWHFGTPVPNARGSIVCKLCGKVVKGGITRFKEHIAHKTGNVAPCPNVIGFIRESMMNVLKESNTKKIDKKRRKDEFLSQLTEDEDEHEGFIDEVSAIRQATRESIQSQHEWHRREEFRRSTGGWDNIYKEGRSSHGSAREHNRERTSKSIPGESEFTLRGAIPELVRSKSSKQPKVNDSFLKSFRRKIGEAVSKFIIYERLPFQLASSPWLYNLIQVATEIGQGVKLPTPYEVSDVYLESEYQRVHDWVNVLKTYWKELGATLMCDGWTNSLNQMHIINFLVYCSKGTIFWKSVDVSSVRSRDAEFYYRLLDSVVEEIGENYIVQIVTDNEAAMKAAGKKLMLKRQHLYWTSCAAHCLDLCLEDIGKKPSVAKVLDEEKKVTCFIYNHIWTVDLMKKYTPGKQILRPALTRFATHFIQLEEITRQKQGLREMFNSKEFKESKWGKQKSGPAYEAKKIVLGKGFWKKANDLIKVYEPLVRVLRLVESDEKPTMGFIYDAVDRAKRAIQQNCRYFIEYEKIIDNRWNFMHSDLHSAGYFLNPQFQFGVEHSENVLIETLEGTRSVIERLEPSMDTQLLLFRDKHETFGTPQAQKAWKQMNPAEWWMIYGTCVPELQKLAIKVLSQTTSASNCERNWSTFSYIHTKARNRLKYKKLEKLVFTYYNMRLKMRHQQRMSTDDINASFNPISLDYIFEDVDPLSEWLHEKENPLLDGENAGVLPVDTSDDEMDVDQSQQQILSHSSSRSTPSQSGDGPDGGGLSPIDEDDGYSGDRGEIRS >KJB28420 pep chromosome:Graimondii2_0_v6:5:4439675:4441417:-1 gene:B456_005G046800 transcript:KJB28420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVETEVAAAGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMISHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KJB32638 pep chromosome:Graimondii2_0_v6:5:63070354:63071731:1 gene:B456_005G252600 transcript:KJB32638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLSSPWGKPGAWAVDAEENEAEDERQQSGAGSSTEKLGDFPSLATAVTTTKAKKKKGQTLSLAEFASKPSEPTRLTREDLLALPTGPRQRSAEELDRNRLGGGFKSYGSNRYGSDGDDSSSNSRWGSSWGLNKDRETAPSRADEIDDWASAKKSTSVANGFGGGFERRGRGGGGGGFSNSQSKADEVDSWAANKNYKSAAEAPPRRFGGGFERSSFDSTQARDSPRDLDNWGKKNDESASSAGSGGVRPKLVLQPRKAPQTEKGKKDATPADKPKGANPFGEARPREEVLKEKGKDWKEIDEKMEAAKIKETSAVAEKGGKGSFGNERVPVERSWRKNESVEAANQP >KJB32637 pep chromosome:Graimondii2_0_v6:5:63070354:63073337:1 gene:B456_005G252600 transcript:KJB32637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLSSPWGKPGAWAVDAEENEAEDERQQSGAGSSTEKLGDFPSLATAVTTTKAKKKKGQTLSLAEFASKPSEPTRLTREDLLALPTGPRQRSAEELDRNRLGGGFKSYGSNRYGSDGDDSSSNSRWGSSWGLNKDRETAPSRADEIDDWASAKKSTSVANGFGGGFERRGRGGGGGGFSNSQSKADEVDSWAANKNYKSAAEAPPRRFGGGFERSSFDSTQARDSPRDLDNWGKKNDESASSAGSGGVRPKLVLQPRKAPQTEKGKKDATPADKPKGANPFGEARPREEVLKEKGKDWKEIDEKMEAAKIKETSAVAEKGGKGSFGNERVPVERSWRKNESVEAANQP >KJB30611 pep chromosome:Graimondii2_0_v6:5:42261231:42263230:-1 gene:B456_005G151800 transcript:KJB30611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVAGGEGTRRRLPPWMQGKASTPSGDRDKSDKVQEVGDEFISGNFKPRKQSKKASEPIEKGETKRRKRKICQQDAPCDSENASPVKMSIGLREKQVQEPSHRQRNKTKVRLRSGKDSKTPSPIEDDEEELSPEDLLSIAKEYVKADKDIGLQELSTGDCEFGKQLSTIASPKAKSESSLIAIDGNRKSPVDETTYDLTESPKGDKHLIKTSRTGDPAHDMLDLFLGPLLKKPVDEKRTEFVRRDLTFSKELGKGSQNDVKEETVPLTKKKCTLRDKVAMLLD >KJB30612 pep chromosome:Graimondii2_0_v6:5:42261231:42262682:-1 gene:B456_005G151800 transcript:KJB30612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVAGGEGTRRRLPPWMQGKASTPSGDRDKSDKVQEVGDEFISGNFKPRKQSKKASEPIEKGETKRRKRKICQQDAPCDSENASPVKMSIGLREKQVQEPSHRQRNKTKVRLRSGKDSKTPSPIEDDEEELSPEDLLSIAKEYVKADKDIGLQELSTGDCEFGKQLSTIASPKAKSESSLIAIDGNRKSPVDETTYDLTESPKGDKHLIKTSRTGDPAHDMLDLFLGPLLKKPVDEKRTEFVRRDLTFSKELGKGSQNDVKEETVPLTKKKCTLRDKVAMLLD >KJB30610 pep chromosome:Graimondii2_0_v6:5:42261335:42263151:-1 gene:B456_005G151800 transcript:KJB30610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVAGGEGTRRRLPPWMQGKASTPSGDRDKSDKVQEVGDEFISGNFKPRKQSKKASEPIEKGETKRRKRKICQQDAPCDSENASPVKMSIGLREKQVQEPSHRQRNKTKVRLRSGKDSKTPSPIEDDEEELSPEDLLSIAKEYVKADKDIGLQELSTGDCEFGKQLSTIASPKAKSESSLIAIDGNRKSPVDETTYDLTESPKGDKHLIKTSRTGDPAHDMLDLFLGPLLKKPVDEKRTEFVRRDLTFSKELGKGSQNDVKEETVPLTKKKCTLRDKVAMLLD >KJB31432 pep chromosome:Graimondii2_0_v6:5:55909533:55916372:-1 gene:B456_005G191700 transcript:KJB31432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNQLFNRGVFGAKCKTCLSLAISRIKLLQNKRDLQLKNMRKEIAQFLQAGQEPIARIRVEHVIREQNIWAAYEILELFCEFVLARVPILESQKDCPPELREAVASIIFAAPRCSDVPDLLQIKNLFATKYGKEFVIAAMELRPDSGVNRAIIEKLSVSAPSGEIRLKVLKEIAQEYSVEWDSSGTEAEFNKKYEDLLAGSKQVCAEAAVSQAPSKQASGKSLPSNGAKTILPTDARPASQHHQVPSHMSKLTSSEIESSVKNGTAGPISDIKTETNSRPSDVLERARAAIASAERATAGARAAAELVNVKFGSLKLQGASS >KJB31433 pep chromosome:Graimondii2_0_v6:5:55909603:55916008:-1 gene:B456_005G191700 transcript:KJB31433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRPDSGVNRAIIEKLSVSAPSGEIRLKVLKEIAQEYSVEWDSSGTEAEFNKKYEDLLAGSKQVCAEAAVSQAPSKQASGKSLPSNGAKTILPTDARPASQHHQVPSHMSKLTSSEIESSVKNGTAGPISDIKTETNSRPSDVLERARAAIASAERATAGARAAAELVNVKFGSLKLQGASS >KJB31434 pep chromosome:Graimondii2_0_v6:5:55909603:55916326:-1 gene:B456_005G191700 transcript:KJB31434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEIAQFLQAGQEPIARIRVEHVIREQNIWAAYEILELFCEFVLARVPILESQKDCPPELREAVASIIFAAPRCSDVPDLLQIKNLFATKYGKEFVIAAMELRPDSGVNRAIIEKLSVSAPSGEIRLKVLKEIAQEYSVEWDSSGTEAEFNKKYEDLLAGSKQVCAEAAVSQAPSKQASGKSLPSNGAKTILPTDARPASQHHQVPSHMSKLTSSEIESSVKNGTAGPISDIKTETNSRPSDVLERARAAIASAERATAGARAAAELVNVKFGSLKLQGASS >KJB31435 pep chromosome:Graimondii2_0_v6:5:55909941:55915690:-1 gene:B456_005G191700 transcript:KJB31435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYWSFSVSLFLPEFQFLKARSKDCPPELREAVASIIFAAPRCSDVPDLLQIKNLFATKYGKEFVIAAMELRPDSGVNRAIIEKLSVSAPSGEIRLKVLKEIAQEYSVEWDSSGTEAEFNKKYEDLLAGSKQVCAEAAVSQAPSKQASGKSLPSNGAKTILPTDARPASQHHQVPSHMSKLTSSEIESSVKNGTAGPISDIKTETNSRPSDVLERARAAIASAERATAGARAAAELVNVKFGSLKLQGASS >KJB29480 pep chromosome:Graimondii2_0_v6:5:17596964:17597507:1 gene:B456_005G102800 transcript:KJB29480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIKNPKLQNLGLFTNMPLYVPKSINLTINEEQVKVIFKEQDTNGDGRLSKEELSKAFEKLGSRNPGWRVRRSLHHADADGDGSISVDELEQLVKYAVKQGYCVN >KJB31321 pep chromosome:Graimondii2_0_v6:5:54495287:54502686:-1 gene:B456_005G186300 transcript:KJB31321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHRSKSEKNDGMAKQLRRDPYEVLGVSRNSTDQEIKSAYRKMALKYHPDKNGNDPVAADMFKEVTFSYNILSDPDKRHQYDTAGFEAVEAENQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGVVTIQTLLLGQPVSRKVEKQCAHFYSVEITEEEARDGFVCRVQSSDKSKFKLLYFDLEENGGLSLALQEDSAKTGKVTSAGMYFLGFPVYRLDQTVNSVAAAKDPDTAFFKKLDGFQPCDNFFKSVSYTIEAICTAPFIEEKENLRAVEAEILSKRVELSKFETEYREVLAQFTEMTTRYTKEMQEIDELLKQRNEIHASYTMIPPSKRSSSRSRSKGVSREAKEGEVRDRKHSTRDRTKKKRWYNIHLKID >KJB31323 pep chromosome:Graimondii2_0_v6:5:54496558:54502504:-1 gene:B456_005G186300 transcript:KJB31323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHRSKSEKNDGMAKQLRRDPYEVLGVSRNSTDQEIKSAYRKMALKYHPDKNGNDPVAADMFKEVTFSYNILSDPDKRHQYDTAGFEAVEAENQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGVVTIQTLLLGQPVSRKVEKQCAHFYSVEITEEEARDGFVCRVQSSDKSKFKLLYFDLEENGGLSLALQEDSAKTGKVTSAGMYFLGFPVYRLDQTVNSVAAAKDPDTAFFKKLDGFQPCEITELKPGTHFFAVYGFFLCYYALQISC >KJB31320 pep chromosome:Graimondii2_0_v6:5:54495287:54502504:-1 gene:B456_005G186300 transcript:KJB31320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHRSKSEKNDGMAKQLRRDPYEVLGVSRNSTDQEIKSAYRKMALKYHPDKNGNDPVAADMFKEVTFSYNILSDPDKRHQYDTAGFEAVEAENQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGVVTIQTLLLGQPVSRKVEKQCAHFYSVEITEEEARDGFVCRVQSSDKSKFKLLYFDLEENGGLSLALQDSAKTGKVTSAGMYFLGFPVYRLDQTVNSVAAAKDPDTAFFKKLDGFQPCEITELKPGTHFFAVYGDNFFKSVSYTIEAICTAPFIEEKENLRAVEAEILSKRVELSKFETEYREVLAQFTEMTTRYTKEMQEIDELLKQRNEIHASYTMIPPSKRSSSRSRSKGVSREAKEGEVRDRKHSTRDRTKKKRWYNIHLKID >KJB31322 pep chromosome:Graimondii2_0_v6:5:54496144:54502244:-1 gene:B456_005G186300 transcript:KJB31322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHRSKSEKNDGMAKQLRRDPYEVLGVSRNSTDQEIKSAYRKMALKYHPDKNGNDPVAADMFKEVTFSYNILSDPDKRHQYDTAGFEAVEAENQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGVVTIQTLLLGQPVSRKVEKQCAHFYSVEITEEEARDGFVCRVQSSDKSKFKLLYFDLEENGGLSLALQEDSAKTGKVTSAGMYFLGFPVYRLDQTVNSVAAAKDPDTAFFKKLDGFQPCEITELKPGTHFFAVYGDNFFKSVSYTIEAICTAPFIEEKENLRAVEAEILSKRVELSKFETEYREVLAQFTEMTTRYTKEMQEIDELLKQRNEIHASYTMIPPSKRSSSRSRSKGVSREAKEGEVRDRKHSTRDRTKKKRWYNIHLKID >KJB31924 pep chromosome:Graimondii2_0_v6:5:59707992:59710734:-1 gene:B456_005G214600 transcript:KJB31924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAVKGFFCNPNASKIETHHHLLRQVLARQSFPISSFPSYPILNNDDDKNKTPILRTRAAAAAEDSNSTVSVSSTSDVKGSGTTARGRRLLKIREEKRKREFDRLHNYPSWAKVLENACKDDEELRAVLGDSIGDPEQMRKRIEERVRKKGRDFNKKKTGSLLAFKVTFRDFNPLDSYIWFELYGSPSDREVNLIGSVIQSWYVMGRLGAFNSSNLQVWQWLPLLSIFLTLTRP >KJB31926 pep chromosome:Graimondii2_0_v6:5:59707992:59710743:-1 gene:B456_005G214600 transcript:KJB31926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAVKGFFCNPNASKIETHHHLLRQVLARQSFPISSFPSYPILNNDDDKNKTPILRTRAAAAAEDSNSTVSVSSTSDVKGSGTTARGRRLLKIREEKRKREFDRLHNYPSWAKVLENACKDDEELRAVLGDSIGDPEQMRKRIEERVRKKGRDFNKKKTGSLLAFKVTFRDFNPLDSYIWFELYGSPSDREVNLIGSVIQSWYVMGRLGAFNSSNLQVGNASMEYDPLYDADKGFNVMPSSFHDISDVEFQDNWGRVWVDLGTSDFLSIDVLLNCMTVLSADYLGIQQIVFGGRQMGDWEEGMTNPDYGYKYFKI >KJB31925 pep chromosome:Graimondii2_0_v6:5:59707992:59710834:-1 gene:B456_005G214600 transcript:KJB31925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAVKGFFCNPNASKIETHHHLLRQVLARQSFPISSFPSYPILNNDDDKNKTPILRTRAAAAAEDSNSTVSVSSTSDVKGSGTTARGRRLLKIREEKRKREFDRLHNYPSWAKVLENACKDDEELRAVLGDSIGDPEQMRKRIEERVRKKGRDFNKKKTGSLLAFKVTFRDFNPLDSYIWFELYGSPSDREVNLIGSVIQSWYVMGRLGAFNSSNLQVGNASMEYDPLYDADKGFNVMPSSFHDISDVEFQDNWGRVWVDLGTSDFLSIDVLLNCMTVLSADYLGIQQIVFGGRQMGDWEEGMTNPDYGYKYFKI >KJB28786 pep chromosome:Graimondii2_0_v6:5:7428231:7431004:-1 gene:B456_005G069400 transcript:KJB28786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASLVRRKAYLLSRNLSNSPADALKYSFSLSNFSRGFASGSEENDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEATHSFAGHGVKFSSVEIDLPAMMAQKDKAVSNLTRGIEGLFKKNKVNYVKGYGKFISPSEVSVDTIEGVSTVVKGKNIIIATGSDVKSLPGITIDEKRIVSSTGALALQEVPKKLIVIGAGYIGLEMGSVWGRLGSEVTVVEFAPDIVPSMDAEIRKQFQRSLEKQKMKFMLKTKVVGVDTSGNGVKLTVEPAAGGDQTTLEADVVLVSAGRSPFTAGLGLDKIGVETDKIGRILVNDRFATNVAGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKHGHVDYDKVPGVVYTHPEVASVGKTEEQVKALGVDYRVGKFPFLANSRAKAIDDAEGIVKILADKETDKILGVHIMAPNAGELIHEAVLAINYDASSEDIARVCHAHPTMSEALKEAAMATYDKPIHI >KJB28785 pep chromosome:Graimondii2_0_v6:5:7428231:7430868:-1 gene:B456_005G069400 transcript:KJB28785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASLVRRKAYLLSRNLSNSPADALKYSFSLSNFSRGFASGSEENDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEATHSFAGHGLFKKNKVNYVKGYGKFISPSEVSVDTIEGVSTVVKGKNIIIATGSDVKSLPGITIDEKRIVSSTGALALQEVPKKLIVIGAGYIGLEMGSVWGRLGSEVTVVEFAPDIVPSMDAEIRKQFQRSLEKQKMKFMLKTKVVGVDTSGNGVKLTVEPAAGGDQTTLEADVVLVSAGRSPFTAGLGLDKIGVETDKIGRILVNDRFATNVAGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKHGHVDYDKVPGVVYTHPEVASVGKTEEQVKALGVDYRVGKFPFLANSRAKAIDDAEGIVKILADKETDKILGVHIMAPNAGELIHEAVLAINYDASSEDIARVCHAHPTMSEALKEAAMATYDKPIHI >KJB30022 pep chromosome:Graimondii2_0_v6:5:29299597:29306547:-1 gene:B456_005G127800 transcript:KJB30022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSTPLRKMIRVGRAISFPKGVVECKYIMSYQQGEDFYYMDEDFVDDMDEDNYGQAGGDVDADEYDMLTKVTDTTSAQARKGKDIQGIPWERLNITREKYRLTRLEQYKNYENMPSSGEAVDKEFKHIEKGGNYYEFFYNTRLIKPTILHFQLRNLVWATSKHDVYLMSNYSVMHWSSVLCNLSEILNFAGHVAPTEKHPGSLLEGFTQTQISTLAVKDNFMVAGGFQGELTFKLLDRKGVTFCTRTTYDDNAITNAIEIYDSLRGGINFLASNNDCSVREYDTERFQLLNHFQFPWPVNHTSVSPDQRLITVVGDDLDGLLVDSQNGKTVATVVGHRDYSFASAWHPDGRIFATGNQDKTCRVWDIRNLSMPVATFKGNLGAIRSIHFSSDGQSMVVAEPADFVHVYNIRAGFQKRQEIDFFGEISGVSLSPDDESLYIGIWDRTYASLLQYNKKHTYGYLDSYL >KJB30023 pep chromosome:Graimondii2_0_v6:5:29299629:29305768:-1 gene:B456_005G127800 transcript:KJB30023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQQGEDFYYMDEDFVDDMDEDNYGQAGGDVDADEYDMLTKVTDTTSAQARKGKDIQGIPWERLNITREKYRLTRLEQYKNYENMPSSGEAVDKEFKHIEKGGNYYEFFYNTRLIKPTILHFQLRNLVWATSKHDVYLMSNYSVMHWSSVLCNLSEILNFAGHVAPTEKHPGSLLEGFTQTQISTLAVKDNFMVAGGFQGELTFKLLDRKGVTFCTRTTYDDNAITNAIEIYDSLRGGINFLASNNDCSVREYDTERFQLLNHFQFPWPVNHTSVSPDQRLITVVGDDLDGLLVDSQNGKTVATVVGHRDYSFASAWHPDGRIFATGNQDKTCRVWDIRNLSMPVATFKGNLGAIRSIHFSSDGQSMVVAEPADFVHVYNIRAGFQKRQEIDFFGEISGVSLSPDDESLYIGIWDRTYASLLQYNKKHTYGYLDSYL >KJB31346 pep chromosome:Graimondii2_0_v6:5:54770281:54771952:1 gene:B456_005G187900 transcript:KJB31346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVCFPNDQPKGFDQCLVRSTRTGNYNQGLLYQPPSTSKIPPETFFNYKGSLSSHELHSTAIILYAYGYNYLCSQCDYDVAPGGLLASVYHLTRIEYGVDQPEEVCIKVFSPMSNPRISFVFWVWKSLDFLERESYDMLGISYENHPRLNHILISESWIWWPLRKDYIAPNFYEIQDAH >KJB30951 pep chromosome:Graimondii2_0_v6:5:50067246:50070229:-1 gene:B456_005G172000 transcript:KJB30951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHPLQNCLRSTWFLVKPSILCSLFHASHESSSLALPVEASHYTGSGSGVVGRCDVDILVSKVHAGTSEDEVFQCLVNDGECNSIQLSHDLIDKLLRRFKDDWKSALGAFKWAASRPDYKHSHQAYDTMVDILGKMKQMDRMKDFLEEMRQGHLVTINTIAKVMRRLAGAGKWENAVRVFDELETFGLKKNTESMNLLLDTLCKERNVEEAREIFLLLKSHIAPDAYTFNIFIHGWCKINRVEEAQWTIQEMKGHGCHPSVISYSTIIQFYCCRYNFQKVYELLDEMVVQGCPPNVVTFTTVMCSLSKAERFEEVLQIASRMKATGCKPDMLFYNCLIHALGRAGQVEDAIKVFEVEMPKTGVSPNTSTYNCMIAMLCHHAQQQKALSLLQDMGNLKICKPEVQTYYPLLKSCFKTGKIDTLLSKLLNDIVNKHHLSLDSSAYSLLIHGLCRANRSEWAYNLFEEMIRKDITPNYRTCRLLLDEVKAKNMYDAAEKIEGSMKKL >KJB30953 pep chromosome:Graimondii2_0_v6:5:50067684:50069198:-1 gene:B456_005G172000 transcript:KJB30953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHPLQNCLRSTWFLVKPSILCSLFHASHESSSLALPVEASHYTGSGSGVVGRCDVDILVSKVHAGTSEDEVFQCLVNDGECNSIQLSHDLIDKLLRRFKDDWKSALGAFKWAASRPDYKHSHQAYDTMVDILGKMKQMDRMKDFLEEMRQGHLVTINTIAKVMRRLAGAGKWENAVRVFDELETFGLKKNTESMNLLLDTLCKERNVEEAREIFLLLKSHIAPDAYTFNIFIHGWCKINRVEEAQWTIQEMKGHGCHPSVISYSTIIQFYCCRYNFQKVYELLDEMVVQGCPPNVVTFTTVMCSLSKAERFEEVLQIASRMKATGCKPDMLFYNCLIHALGRAGQVEDAIKVFEVEMPKTGVSPNTSTYNCMIAMLCHHAQQQKALSLLQDMGNLKICKPEVQTYYPLLKSCFKTGKIDTLLSKLLNDIVNKHHLSLDSSAYSLLIHGLCRANRSEWAYNLFEEMIRKDITPNYRTCRLLLDEVKAKNMYDAAEKIEGSMKKL >KJB30952 pep chromosome:Graimondii2_0_v6:5:50066135:50070229:-1 gene:B456_005G172000 transcript:KJB30952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHPLQNCLRSTWFLVKPSILCSLFHASHESSSLALPVEASHYTGSGSGVVGRCDVDILVSKVHAGTSEDEVFQCLVNDGECNSIQLSHDLIDKLLRRFKDDWKSALGAFKWAASRPDYKHSHQAYDTMVDILGKMKQMDRMKDFLEEMRQGHLVTINTIAKVMRRLAGAGKWENAVRVFDELETFGLKKNTESMNLLLDTLCKERNVEEAREIFLLLKSHIAPDAYTFNIFIHGWCKINRVEEAQWTIQEMKGHGCHPSVISYSTIIQFYCCRYNFQKVYELLDEMVVQGCPPNVVTFTTVMCSLSKAERFEEVLQIASRMKATGCKPDMLFYNCLIHALGRAGQVEDAIKVFEVEMPKTGVSPNTSTYNCMIAMLCHHAQQQKALSLLQDMGNLKICKPEVQTYYPLLKSCFKTGKIDTLLSKLLNDIVNKHHLSLDSSAYSLLIHGLCRANRSEWAYNLFEEMIRKDITPNYRTCRLLLDEVKAKNMYDAAEKIEGSMKKL >KJB30950 pep chromosome:Graimondii2_0_v6:5:50066229:50069589:-1 gene:B456_005G172000 transcript:KJB30950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHPLQNCLRSTWFLVKPSILCSLFHASHESSSLALPVEASHYTGSGSGVVGRCDVDILVSKVHAGTSEDEVFQCLVNDGECNSIQLSHDLIDKLLRRFKDDWKSALGAFKWAASRPDYKHSHQAYDTMVDILGKMKQMDRMKDFLEEMRQGHLVTINTIAKVMRRLAGAGKWENAVRVFDELETFGLKKNTESMNLLLDTLCKERNVEEAREIFLLLKSHIAPDAYTFNIFIHGWCKINRVEEAQWTIQEMKGHGCHPSVISYSTIIQFYCCRYNFQKVYELLDEMVVQGCPPNVVTFTTVMCSLSKAERFEEVLQIASRMKATGCKPDMLFYNCLIHALGRAGQVEDAIKVFEVEMPKTGVSPNTSTYNCMIAMLCHHAQQQKALSLLQDMGNLKICKPEVQTYYPLLKSCFKTGKIDTLLSKLLNDIVNKHHLSLDSSAYSLLIHGLCRANRSEWAYNLFEEMIRKDITPNYRTCRLLLDEVKAKNMYDAAEKIEGSMKKL >KJB28226 pep chromosome:Graimondii2_0_v6:5:3362823:3369374:1 gene:B456_005G035400 transcript:KJB28226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMDIDSIVDIPDTPDRLSSHQVNGGNFIDKESNLSVAGHVGGSSTTGEESLDRQRGRGRLLPTNGHNRKHYVNPLKLSGSTDEIERPKNTIFLSPPECTQENAPLFRKTATARSRNCLREQEKDKGKAPCSKLPSKSSGFQEDHTFLDLAEQRMHNQIPEMEFLQSASENCLTEGRKEGQVPRNGGSYLSRTRCKGKEKVEVELRSIGSVMSNGKGVDLSHGSPLRVEKQFPASHHSVVSPRAVGKRRLVRNGCISPHNIAIRAKQNEQSQSNFRAEQNFDNVVSSSPCMLSEIVTEDNNSGKGKRVSHPHTPKEHDINFINLSSSPMSNNGEASGFGDVNRDACFEEKGGWRSTHNFSKNVDDATGHHLHRFNNVGCQVSQRNDNGVVKRNNASRGKTVILCDSPEIFYATETAPVVSKVDQISESSHANMLPKRKMKHGLTSRNNGESSRVTRNDSDIVFLGSSRESSNSRSSSFHIAEHLDVLDLDNSPEMRGINANNADSVNDEDAEAKARQLEADEKLARELQEQLYHEIDENIAWTLQQEEDALHPTFRTLHEPDHRVSTRQSRMQPPLRNFQNSSNRRGVQTHFPTSARVSRLRNRILNQPRMAPSRTRNFQFPLDMDLDMRLDILEAMEAAIGDSDDMGMASHIFQIQRDFNENDYEMLLALDDNNHQHGGASINQINSLPLSKVQTDNFEEACAICLETPAIGETIRHLPCLHKFHKNCIDPWLSRKTSCPVCKSSIT >KJB30382 pep chromosome:Graimondii2_0_v6:5:36986221:36988206:1 gene:B456_005G140200 transcript:KJB30382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQPTSIEGSVTPPTSVDSENSGVGASIQTKGTTSGKRKVPPQRSEVWSHFTKFINSEGARKAKCNYCDKEFCCDMKKNGTGSLKYHSGSCKKNPSNVVDTRNLSTWRFDQEACRKGLAQMILIDELPFRFVESEGFKKFMFVACLRFHIPSRTTMTRDVYELYLDERVKIKQLLRSSCSRVCLTTDTWTSLQRDEFIGMVIEKCLLNWGIDKLFTVTVDNASSNDVAIGYLRKKFNPRGGLVQNGKYLHMRCMAHIVNLIVVEGLKAKNKFVERVRGAVRYLRQSPARLQKFKECVVVEKIECKKMLCLDVCTRWNSTYLMLDTAWNFERAFERFEEQDTNFRAELERGEGWPSVDDWDNLNSNIDFNVMAIKMKEKYDKYWGDIDKMNLLMFVACVLDPIQKLKYLEFALTEMSSSEKACEMMQKLKESLYELFDEYKPPLHSTCSQSSVPTHVSFGEPQQKMKRRMQALYKKCELEICGEDKTSELDKYLAESNEEFVEDFDILLWWKVNSPRFPTLSKMARDVLAISVSTVASESAFSTGGRVLDQYRSSLTHKIVPALVCTQDWI >KJB32313 pep chromosome:Graimondii2_0_v6:5:61741646:61742938:-1 gene:B456_005G234900 transcript:KJB32313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDIDRVKGPWGPEEDESLRKLVQLHGPRNWSLISRSIPGRSGKSCRLRWCNQLSPEVAHRPFTAEEDEIIIKAHAKFGNKWATIARLLNGRTDNAVKNHWNSTLKRRRSAEDGDSVQESERRSSKFPRSGSISNPGSPTGSDVSDLGLSVAESTPHSRVSTALTLGRSWSDELVELNNDNSCTDKESGSVAEKHDNGTKTASLGTELLAAMQEMIRKEVRDCMAEFGFHNQCWG >KJB31138 pep chromosome:Graimondii2_0_v6:5:52323770:52327979:-1 gene:B456_005G178600 transcript:KJB31138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRGSSRTKQSLAIRSWLALSPQISVILFSQHHSAASFAKSFGSRALVESDIDFTFLGVPFFHSMVAKSRAFKSDIYVFMDTETILLPDFVLALNYAHNLDDDWLLFASPRDVPNFPFYLDEDRKHWLKDGQRIRIQELQDTLGSSWQWSCCDSRMVIAWNNGVMPLHHGVLPPFLYGKGVYNHWLINEALSSGLRFVFDASWTISNIALGDSRRQSNHLVKSSNVSDIEKGSWEYDCNSHLAAVYGLSSLHGFNYSAVMKLVKCDGQYLLINATEDFLHTYAYKKKSLWKRHIPNCWRSKTTLACVVRTIKTWNETSGCSLKDGSVHTKTLKLSFSLESLLAITADENKTVVLTVAGYSYKDMLMSWVCRLRHLRITNFLVSSLDYETYQFSVMQGLPVFNDPSAPSNISFNDCHFGTECFQRVTKVKSRLVLKILKLGYNVLLSDVDVYWFKNPLPLLSSFGPATLAAQSDEYNQTRPINLPRRLNSGFYFARSDAPTISAMGKVVRHAATSGLTEQPSFYDTLCGEGGSTRKGDNRCVEPGTNLTVHFLDRNLFPNGAYLGLWERKNVKSACKKQGCLVLHNNWISGRVKKLKRQVISGLWDYDITTRMCLV >KJB31139 pep chromosome:Graimondii2_0_v6:5:52324870:52327768:-1 gene:B456_005G178600 transcript:KJB31139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRAPAPFTGSSRTKQSLAIRSWLALSPQISVILFSQHHSAASFAKSFGSRALVESDIDFTFLGVPFFHSMVAKSRAFKSDIYVFMDTETILLPDFVLALNYAHNLDDDWLLFASPRDVPNFPFYLDEDRKHWLKDGQRIRIQELQDTLGSSWQWSCCDSRMVIAWNNGVMPLHHGVLPPFLYGKGVYNHWLINEALSSGLRFVFDASWTISNIALGDSRRQSNHLVKSSNVSDIEKGSWEYDCNSHLAAVYGLSSLHGFNYSAVMKLVKCDGQYLLINATEDFLHTYAYKKKSLWKRHIPNCWRSKTTLACVVRTIKTWNETSGCSLKDGSVHTKTLKLSFSLESLLAITADENKTVVLTVAGYSYKDMLMSWVCRLRHLRITNFLVSSLDYETYQFSVMQGLPVFNDPSAPSNISFNDCHFGTECFQRVTKVKSRLVLKILKLGYNVLLSDVDVYWFKNPLPLLSSFGPATLAAQSDEYNQTRPINLPRRLNSGFYFARSDAPTISAMGKVVRHAATSGLTEQPSFYDTLCGEGGSTRKGDNRCVEPGTNLTVHFLDRNLFPNGAYLGLWERKNVKSACKKQGCLVLHNNWISGRVKKLKRQVISGLWDYDITTRMCLV >KJB27509 pep chromosome:Graimondii2_0_v6:5:63016684:63017267:1 gene:B456_005G251700 transcript:KJB27509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHLPSMILHAKQVLKFQSRNQLHVPKGHIAVYVGEMKKTRFVVPISYLNHPCFLDLLGRAEQEFGFNHPIGGLTIPCDEDAFIDLTSRLHGYSSMKTKTINA >KJB27694 pep chromosome:Graimondii2_0_v6:5:292680:298955:1 gene:B456_005G005100 transcript:KJB27694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLMGDLRDWSPEPNGVSSRDSYSSSPSSSSNQTGISAEYWRKAEEATQGIIARVQPTVVSEERRKAVTDYVQRLIRNYLGCEVFPFGSVPLKTYLPDGDIDLTAFGGLIFEEALANDVCSVLEREDHNTAAEFVVKDVQLIRAEVKLVKCLVQNIVVDISFNQLGGLCTLCFLEQVDRLIGKNHLFKRSILLIKAWCYYESRILGAHHGLISTYGLETLVLYIFHLFHSFLDGPLAVLYKFLDYFSKFDWENYCISLNGPIPISSLPDIVVETPENGGGDLLLSNDFLRECVEKFSVPSRGFEANSRIFPQKHLNIVDPLRENNNLGRSVSKGNFYRIRSAFTYGARKLGQILSQSEETLGDELHKFFSNTLDRHGNGQRPDVQDPAPLSRFRGLGATPSVSGTESCQEDQNFYELESSNSSTVTGNYRSSDNEGSLYKVYNGNMCERETDVGITFKEPQGSANASSISQIRLTGDAKDLATSRIQGLVISNDAHKSCPPNAADVFPSSGTVRHAPHLYFCNSSLDNGEIRNGNVERKQPENSGLSERNATSGILCASSEEMGANEHGDQSENQLVASRGVQSPVGPKNHPLISNFAWSSEDLYPGYSSNPASSSAAPSQELLSSLSDLCGDYDANIHSLSYGQWCYDYAFSASVPPISPPLVSQFQSKNSWDAVHKSVQFRRNTISPMNANGGVPRQAYYPINPPVLHGSGFGMEEMPKPRGTGTYFPNPNTNYYKDRSLTARGRNPALARSPRNNGRAITSPEPNSPERSNRDLAQMQSINQVVGKSRSSELRHSGSEKALSPNANGSMDQPDRLVEFGSFGSLPLAPACTESSKQKNPGSPNTQNSTGTERLKSAASIGRDRIFVQPFHLKNEDDFPPLSI >KJB27695 pep chromosome:Graimondii2_0_v6:5:292847:298680:1 gene:B456_005G005100 transcript:KJB27695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLMGDLRDWSPEPNGVSSRDSYSSSPSSSSNQTGISAEYWRKAEEATQGIIARVQPTVVSEERRKAVTDYVQRLIRNYLGCEVFPFGSVPLKTYLPDGDIDLTAFGGLIFEEALANDVCSVLEREDHNTAAEFVVKDVQLIRAEVKLVKCLVQNIVVDISFNQLGGLCTLCFLEQVDRLIGKNHLFKRSILLIKAWCYYESRILGAHHGLISTYGLETLVLYIFHLFHSFLDGPLAVLYKFLDYFSKFDWENYCISLNGPIPISSLPDIVVETPENGGGDLLLSNDFLRECVEKFSVPSRGFEANSRIFPQKHLNIVDPLRENNNLGRSVSKGNFYRIRSAFTYGARKLGQILSQSEETLGDELHKFFSNTLDRHGNGQRPDVQDPAPLSRFRGLGATPSVSGTESCQEDQNFYELESSNSSTVTGNYRSSDNEGSLYKVYNGNMCERETDVGITFKEPQGSANASSISQIRLTGDAKDLATSRIQGLVISNDAHKSCPPNAADVFPSSGTVRHAPHLYFCNSSLDNGEIRNGNVERKQPENSGLSERNATSGILCASSEEMGANEHGDQSENQLVASRGVQSPVGPKNHPLISNFAWSSEDLYPGYSSNPASSSAAPSQELLSSLSDLCGDYDANIHSLSYGQWCYDYAFSASVPPISPPLVSQFQSKNSWDAVHKSVQFRRNTISPMNANGGVPRQAYYPINPPVLHGSGFGMEEMPKPRGTGTYFPNPNTNYYKDRSLTARGRNPALARSPRNNGRAITSPEPNSPERSNRDLAQMQSINQVVGKSRSSELRHSGSEKALSPNANGSMDQPDRLVEFGSFGSLPLAPACTESSKQKNPGSPNTQNSTGTERLKSAASIGRDRFDNSSFPSEICNTIL >KJB27693 pep chromosome:Graimondii2_0_v6:5:292680:298953:1 gene:B456_005G005100 transcript:KJB27693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLMGDLRDWSPEPNGVSSRDSYSSSPSSSSNQTGISAEYWRKAEEATQGIIARVQPTVVSEERRKAVTDYVQRLIRNYLGCEVFPFGSVPLKTYLPDGDIDLTAFGGLIFEEALANDVCSVLEREDHNTAAEFVVKDVQLIRAEVKLVKCLVQNIVVDISFNQLGGLCTLCFLEQVDRLIGKNHLFKRSILLIKAWCYYESRILGAHHGLISTYGLETLVLYIFHLFHSFLDGPLAVLYKFLDYFSKFDWENYCISLNGPIPISSLPDIVVETPENGGGDLLLSNDFLRECVEKFSVPSRGFEANSRIFPQKHLNIVDPLRENNNLGRSVSKGNFYRIRSAFTYGARKLGQILSQSEETLGDELHKFFSNTLDRHGNGQRPDVQDPAPLSRFRGLGATPSVSGTESCQEDQNFYELESSNSSTVTGNYRSSDNEGSLYKVYNGNMCERETDVGITFKEPQGSANASSISQIRLTGDAKDLATSRIQGLVISNDAHKSCPPNAADVFPSSGTVRHAPHLYFCNSSLDNGEIRNGNVERKQPENSGLSERNATSGILCASSEEMGANEHGDQSENQLVASRGVQSPVGPKNHPLISNFAWSSEDLYPGYSSNPASSSAAPSQELLSSLSDLCGDYDANIHSLSYGQWCYDYAFSASVPPISPPLVSQFQSKNSWDAVHKSVQFRRNTISPMNANGGVPRQAYYPINPPVLHGSGFGMEEMPKPRGTGTYFPNPNTVY >KJB29095 pep chromosome:Graimondii2_0_v6:5:10370723:10372191:1 gene:B456_005G084100 transcript:KJB29095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREQEEMQFLGFFGIYKESYKVIIACRKIFSKITLSLILPLSFIYLLHMEVSSLLFRKIIHTKVELDEAQSPAKAQKLHDLISNEMAYFWLFKAAYFTLFLIFSLLSTSAVVYTIACIYTARELTFGKVMSVVPKVWKRLMVTFLCTFLTMFVYHVVAIFIMVGSVILLLGSDAVDAVLAVLLVLYFVGFLYMTIIWHLASVISVLEECYGFQAMLKGKNLIKGKLWVAIIIFLKLIISLVIIQAAFQSLVVHGSSLGIAMRVVYAIICFLLLSKLILFVLVIQTVIYFVCKSYHHENIDKSALSDHLEVYLGEYVPLKAKDVQLEQFQV >KJB31733 pep chromosome:Graimondii2_0_v6:5:58472205:58474589:-1 gene:B456_005G205100 transcript:KJB31733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAEDIFDSSLNLEETHYQEGYKEGYNHGVTTGKEEGKEVGLKTGFETGEELGFYKGCVDVWNSAIQIDPTRFSTRVQKGIKQMQELIQKYPVMDPEDESVQEIMEALRLKFRVIRAALVVDCSTAGPHSSLKPWLNNCLLSHFIISIYSLNFWADLKQVERGGGEEKMLSKMLACGKVYISESRNRAALESIERAAKLFPEAAIVNKFIDDTYNRVGYTVVSRLTPKPSQDSCPLKDAVFAIVKVALETIDFELHSGTHPRLGVVDHICFHPLAHASLDQTAVVAKSLAADIGSKLKVPTFLYGAAEERGRLLDSVRRELGYFKPNSGSILWSGGSKSESLPLKPDAGPTQVSPTKGVILIGTTHWVANYNVPVFSTDIAAVRRIAKHVSERGGGLPSVQAMGLAHGDGITEVACNLLKPNEVGGDRIQVEVERLAKEEGLRVGKGYFTDLIPDEIIERYMKLSSH >KJB31078 pep chromosome:Graimondii2_0_v6:5:50969683:50975425:1 gene:B456_005G174900 transcript:KJB31078 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(Oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) UniProtKB/TrEMBL;Acc:F4HP28] MNPLCCIAPVSIDRDRANPVVTKSGPQCQLSIEPSIRTVNYSKPSFSSQVSSVNADTDRSSPSVMNHTSMAIFDEPIIGEVNAAHGVAGILYKWVNYGKGWRSRWFVLEDGVLSYYKIHGPDKILMSPSREKSVRVIGEDSVRYMRKANWSSNRVNSVTTQCKPFGEIHLKVSSIRASKSDDKRLTIFTGTKTLHLRCVSREDRTAWIEALLAAKDLFPRVLSSNDFQPSEDVVISTDKLRSRLLQEGIGEAVIKDCESIMLLELSEMQNQLKALQRKHIKLLDTLRQLETEKLELETTVVDETKERKSYCGRFSDFYSVMSEGSATDSDADNESQDGADVETDEDDGIYFDTNDFLSSEALRSASYRCRESGSGCVFDKDASFSDCAWGPEKEIRIIQYPYVKRRDNLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDRALEWGKQENDLMRILNVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGILTLQFEDGETFQWSKVTTSIYNIILGKIYCDHYGTMRIKGSGNYSCKLKFKEQSIIDRNPHQVIFYSP >KJB31076 pep chromosome:Graimondii2_0_v6:5:50969071:50974209:1 gene:B456_005G174900 transcript:KJB31076 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(Oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) UniProtKB/TrEMBL;Acc:F4HP28] MNPLCCIAPVSIDRDRANPVVTKSGPQCQLSIEPSIRTVNYSKPSFSSQVSSVNADTDRSSPSVMNHTSMAIFDEPIIGEVNAAHGVAGILYKWVNYGKGWRSRWFVLEDGVLSYYKIHGPDKILMSPSREKSVRVIGEDSVRYMRKANWSSNRVNSVTTQCKPFGEIHLKVSSIRASKSDDKRLTIFTGTKTLHLRCVSREDRTAWIEALLAAKDLFPRVLSSNDFQPSEDVVISTDKLRSRLLQEGIGEAVIKDCESIMLLELSEMQNQLKALQRKHIKLLDTLRQLETEKLELETTVVDETKERKSYCGRFSDFYSVMSEGSATDSDADNESQDGADVETDEDDGIYFDTNDFLSSEALRSASYRCRESGSGCVFDKDASFSDCAWGPEKEIRIIQYPYVKRRDNLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDRALEWGKQVRSSALLSFVAKKF >KJB31081 pep chromosome:Graimondii2_0_v6:5:50969071:50977137:1 gene:B456_005G174900 transcript:KJB31081 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(Oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) UniProtKB/TrEMBL;Acc:F4HP28] MNPLCCIAPVSIDRDRANPVVTKSGPQCQLSIEPSIRTVNYSKPSFSSQVSSVNADTDRSSPSVMNHTSMAIFDEPIIGEVNAAHGVAGILYKWVNYGKGWRSRWFVLEDGVLSYYKIHGPDKILMSPSREKSVRVIGEDSVRYMRKANWSSNRVNSVTTQCKPFGEIHLKVSSIRASKSDDKRLTIFTGTKTLHLRCVSREDRTAWIEALLAAKDLFPRVLSSNDFQPSEDVVISTDKLRSRLLQEGIGEAVIKDCESIMLLELSEMQNQLKALQRKHIKLLDTLRQLETEKLELETTVVDETKERKSYCGRFSDFYSVMSEGSATDSDADNESQDGADVETDEDDGIYFDTNDFLSSEALRSASYRCRESGSGCVFDKDASFSDCAWGPEKEIRIIQYPYVKRRDNLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDRALEWGKQENDLMRILNVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGILTLQFEDGETFQWSKVTTSIYNIILGKIYCDHYGTMRIKGSGNYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGEKVAMLVGKWDEAMYYVLGDPTMKPKGYDPMTEAVLLWERDKSATKTRYNLTPFAISLNELTPDLLEKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQARKLQERGWQPRWFNKDEDGCYRYIGGYWEAREKRKWDGIPDIFGQCSGSSHNSPSCLIEE >KJB31079 pep chromosome:Graimondii2_0_v6:5:50969071:50977137:1 gene:B456_005G174900 transcript:KJB31079 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(Oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) UniProtKB/TrEMBL;Acc:F4HP28] MNPLCCIAPVSIDRDRANPVVTKSGPQCQLSIEPSIRTVNYSKPSFSSQVSSVNADTDRSSPSVMNHTSMAIFDEPIIGEVNAAHGVAGILYKWVNYGKGWRSRWFVLEDGVLSYYKIHGPDKILMSPSREKSVRVIGEDSVRYMRKANWSSNRVNSVTTQCKPFGEIHLKVSSIRASKSDDKRLTIFTGTKTLHLRCVSREDRTAWIEALLAAKDLFPRVLSSNDFQPSEDVVISTDKLRSRLLQEGIGEAVIKDCESIMLLELSEMQNQLKALQRKHIKLLDTLRQLETEKLELETTVVDETKERKSYCGRFSDFYSVMSEGSATDSDADNESQDGADVETDEDDGIYFDTNDFLSSEALRSASYRCRESGSGCVFDKDASFSDCAWGPEKEIRIIQYPYVKRRDNLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDRALEWGKQENDLMRILNVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGILTLQFEDGETFQWSKVTTSIYNIILGKIYCDHYGTMRIKGSGNYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGEKVAMLVGKWDEAMYYVLGDPTMKPKGYDPMTEAVLLWERDKSATKTRYNLTPFAISLNELTPDLLEKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQVFTFTVFSLLSSTGNGHRI >KJB31080 pep chromosome:Graimondii2_0_v6:5:50969071:50977304:1 gene:B456_005G174900 transcript:KJB31080 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(Oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) UniProtKB/TrEMBL;Acc:F4HP28] MNPLCCIAPVSIDRDRANPVVTKSGPQCQLSIEPSIRTVNYSKPSFSSQVSSVNADTDRSSPSVMNHTSMAIFDEPIIGEVNAAHGVAGILYKWVNYGKGWRSRWFVLEDGVLSYYKIHGPDKILMSPSREKSVRVIGEDSVRYMRKANWSSNRVNSVTTQCKPFGEIHLKVSSIRASKSDDKRLTIFTGTKTLHLRCVSREDRTAWIEALLAAKDLFPRVLSSNDFQPSEDVVISTDKLRSRLLQEGIGEAVIKDCESIMLLELSEMQNQLKALQRKHIKLLDTLRQLETEKLELETTVVDETKERKSYCGRFSDFYSVMSEGSATDSDADNESQDGADVETDEDDGIYFDTNDFLSSEALRSASYRCRESGSGCVFDKDASFSDCAWGPEKEIRIIQYPYVKRRDNLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDRALEWGKQENDLMRILNVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGILTLQFEDGETFQWSKVTTSIYNIILGKIYCDHYGTMRIKGSGNYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGEKVAMLVGKWDEAMYYVLGDPTMKPKGYDPMTEAVLLWERDKSATKTRYNLTPFAISLNELTPDLLEKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQARKLQERGWQPRWFNKDEDGCYRYIGGYWEAREKRKWDGIPDIFGQCSGSSHNSPSCLIEESMACKTFWIS >KJB31077 pep chromosome:Graimondii2_0_v6:5:50969071:50975055:1 gene:B456_005G174900 transcript:KJB31077 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(Oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) UniProtKB/TrEMBL;Acc:F4HP28] MNPLCCIAPVSIDRDRANPVVTKSGPQCQLSIEPSIRTVNYSKPSFSSQVSSVNADTDRSSPSVMNHTSMAIFDEPIIGEVNAAHGVAGILYKWVNYGKGWRSRWFVLEDGVLSYYKIHGPDKILMSPSREKSVRVIGEDSVRYMRKANWSSNRVNSVTTQCKPFGEIHLKVSSIRASKSDDKRLTIFTGTKTLHLRCVSREDRTAWIEALLAAKDLFPRVLSSNDFQPSEDVVISTDKLRSRLLQEGIGEAVIKDCESIMLLELSEMQNQLKALQRKHIKLLDTLRQLETEKLELETTVVDETKERKSYCGRFSDFYSVMSEGSATDSDADNESQDGADVETDEDDGIYFDTNDFLSSEALRSASYRCRESGSGCVFDKDASFSDCAWGPEKEIRIIQYPYVKRRDNLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDRALEWGKQENDLMRILNVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVCYVGLSSSWLN >KJB31663 pep chromosome:Graimondii2_0_v6:5:57636120:57637138:1 gene:B456_005G200600 transcript:KJB31663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFERYLASVLIVIMLSFITSSQGYKFYVGGRDGWVVSPSENYNHWAERNRFQVNDTLFFKYKKGSDSVLLVTREDYFSCNTKNPIQSLTEGDSLFTFDRSGPFFFITGNADNCKKGQKLIVVVMAVRHKPQQQPPSPSPSSAVTTAPVSPPTLPIPETNPPVESPKSSEAPSDAVEPAPPEHRSGSFKLVCSTWLVLGFGIWVSMALGLENVVCFWC >KJB31662 pep chromosome:Graimondii2_0_v6:5:57635900:57637154:1 gene:B456_005G200600 transcript:KJB31662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFERYLASVLIVIMLSFITSSQGYKFYVGGRDGWVVSPSENYNHWAERNRFQVNDTLFFKYKKGSDSVLLVTREDYFSCNTKNPIQSLTEGDSLFTFDRSGPFFFITGNADNCKKGQKLIVVVMAVRHKPQQQPPSPSPSSAVTTAPVSPPTLPIPETNPPVESPKSSEAPSDAVEPAPPEHRSGSFKLVCSTWLVLGFGIWVSMALGLENVVCFWC >KJB29500 pep chromosome:Graimondii2_0_v6:5:17831379:17832150:1 gene:B456_005G103600 transcript:KJB29500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSKPEVVTEFPGIIRVYKDGHVERLIEAEFVPPPTHPKTGVSSKDIIIIPESNVSARLFLPKPTHPNQKLPLLVYFHGGAFCVSSPFTPKYSNYLNALVAEANVIAVSVNYRKAPEHPIPTAYEDSWAKRAATWDSFEALNQDGKAVLDRLWPFLCPANPDNNDPRVNPVSTDGPSLVGLGCRRVLVCVAEKDVLRDRGWRYYEALGRSGWMGVVEIMETDGEGHGFHLNDLDCEKAKCLIT >KJB31040 pep chromosome:Graimondii2_0_v6:5:50433135:50440170:-1 gene:B456_005G173600 transcript:KJB31040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEINDSEDSGARNQSSTYQEAESIEISHVDSKEDMFMDASDELNNDNKEAVWSTDRDNNAISDEKPDAVPKQFDEVDNGAYNNEDNDNNHVVKEMERLRALLEQAAEEKGKLESKYKEEMETLSREIYVKDKEIEGLTAKLMSSVAETEKDVKNQQYEVALERISAALGSVIDQGDLLGDSGVEQIVLVEKSTLALIEKYNQFLSEVNQLRQCLTKAESDFGVQEFGTVFVAAHDELHELRRKEAQLVENIAFLEDENRKFFEQVESEKAMVEMLKSELEKTKTEVEQEKMRCANTKEKLSMAVTKGKALVQQRDALKQSLADKTSELEKCLAELQEKSSALEAAELHKEELVKNEVLVVSLQESLSEKTLIIEAFEHILSQIDVPEELQSVDIVGRARWLANERKELKSVSMDFYRLKDTICAIDLPENVSFPDLDSRLAWLKESFYHAKDDINMLQNEISRTKEAARDEVDHLSASLSTVQQEKHYIKEELDHLRNEYEEIVGKARQISLDKDHLSASLEAELVEKDYIKKELDNLSTEYENVVEKIHRLSSEKNQMISMLVEASGMMLADQEGVEEASYLPMLIDRCFRKIKDQPNASSETTFVEAQLFEKLQSLFYVRDLELTLCEEVLEEDMLVRSQLNDLSDQMRVTSKELFVLKEEKDVLQKDLERSEEKSSLLREKLSMAVKKGKGLVQDRENLKLLLEEKNSEIEKLKLELQHEESTVANCRDQISTLSTDLERIPKLESDLAAMREGRDQLEKFLFESNSILQRLVESIGHIVIPADSTFQEPVEKLNFLSGYMDDCLTAKAQTEQDLLQVKEEAKNVAVKLAEAEANMKTLEDALAVAKNDLSQLAEEKRDVEFGKKNLEIELQKALEEAHSENSKFAEICEARKSLEEALSLAENKISFLISEQQEVQSSRAASETEMEKLREEGAIQSSRLTEAYNTINTLESALSQAEMTDASLTEHSNNSKVEITNLENELRKLKDETEIQARELAVAEITIKSLEDALVKAENEFSELQSEKRAADQEISTLNSKLTVCMEELAGSRGCSASKSIELIGHLNNLQMLAKDQSLLSTMKQCFDRNLEHLKDVDLALKNTREHLLDKRSEQLQDYPLMEDIALLAGCFSDDIDNNVNIGMENDYENAINGDDVSSCVIRVAEGFQLRNKIFADRFEGFSKFLDESIGSLLKKLHATEDEVKSMVENMESLKQNVKNLEMREQEKEKAMAILQDDVETLFSACRDAVGDLHFEVKSTLTEFNSLPGLENLNHGLHPGGEFVGRDMAQQDIGGNRYIQTAEKLLAATREVQSLVKFYETTNKAVATIVHNLQKDLEDTRRASEKAIEERDVCQSRVFKLESDVEALEESYREVTHKVDDYQAKEDIWKEKEAELLSLYNNMSMKEKEAKDPLLSATQLRTLLDKLSVIEIPLVESEDLEPHSSTEVKKLFSIINSFAELQNQINLLSYEKEELQSMLSQQSFEIEHLKEEIERHVRNKPELEVMKMELSEATFGLEKIIVGLGGKELIGNPISVGMRALLPVLEKQVNALLLEAESSKSRAQELGTKLLGSQNAVDELSTKVKLLEDSLQGRTIQAEVVQDRSIFEAPSASTGSEISEIEDAGSHVKKTVSPVPSAAHVRIMQKGSADHLALNIDSETDRLINSEETDEDKGRMFKPLNTTGLIPKQGKSIADRVDGIWVSGGRVLSSRPRVRLGLIAYCLLLHIWLLGTIV >KJB31041 pep chromosome:Graimondii2_0_v6:5:50433442:50439920:-1 gene:B456_005G173600 transcript:KJB31041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEINDSEDSGARNQSSTYQEAESIEISHVDSKEDMFMDASDELNNDNKEAVWSTDRDNNAISDEKPDAVPKQFDEVDNGAYNNEDNDNNHVVKEMERLRALLEQAAEEKGKLESKYKYVQEEMETLSREIYVKDKEIEGLTAKLMSSVAETEKDVKNQQYEVALERISAALGSVIDQGDLLGDSGVEQIVLVEKSTLALIEKYNQFLSEVNQLRQCLTKAESDFGVQEFGTVFVAAHDELHELRRKEAQLVENIAFLEDENRKFFEQVESEKAMVEMLKSELEKTKTEVEQEKMRCANTKEKLSMAVTKGKALVQQRDALKQSLADKTSELEKCLAELQEKSSALEAAELHKEELVKNEVLVVSLQESLSEKTLIIEAFEHILSQIDVPEELQSVDIVGRARWLANERKELKSVSMDFYRLKDTICAIDLPENVSFPDLDSRLAWLKESFYHAKDDINMLQNEISRTKEAARDEVDHLSASLSTVQQEKHYIKEELDHLRNEYEEIVGKARQISLDKDHLSASLEAELVEKDYIKKELDNLSTEYENVVEKIHRLSSEKNQMISMLVEASGMMLADQEGVEEASYLPMLIDRCFRKIKDQPNASSETTFVEAQLFEKLQSLFYVRDLELTLCEEVLEEDMLVRSQLNDLSDQMRVTSKELFVLKEEKDVLQKDLERSEEKSSLLREKLSMAVKKGKGLVQDRENLKLLLEEKNSEIEKLKLELQHEESTVANCRDQISTLSTDLERIPKLESDLAAMREGRDQLEKFLFESNSILQRLVESIGHIVIPADSTFQEPVEKLNFLSGYMDDCLTAKAQTEQDLLQVKEEAKNVAVKLAEAEANMKTLEDALAVAKNDLSQLAEEKRDVEFGKKNLEIELQKALEEAHSENSKFAEICEARKSLEEALSLAENKISFLISEQQEVQSSRAASETEMEKLREEGAIQSSRLTEAYNTINTLESALSQAEMTDASLTEHSNNSKVEITNLENELRKLKDETEIQARELAVAEITIKSLEDALVKAENEFSELQSEKRAADQEISTLNSKLTVCMEELAGSRGCSASKSIELIGHLNNLQMLAKDQSLLSTMKQCFDRNLEHLKDVDLALKNTREHLLDKRSEQLQDYPLMEDIALLAGCFSDDIDNNVNIGMENDYENAINGDDVSSCVIRVAEGFQLRNKIFADRFEGFSKFLDESIGSLLKKLHATEDEVKSMVENMESLKQNVKNLEMREQEKEKAMAILQDDVETLFSACRDAVGDLHFEVKSTLTEFNSLPGLENLNHGLHPGGEFVGRDMAQQDIGGNRYIQTAEKLLAATREVQSLVKFYETTNKAVATIVHNLQKDLEDTRRASEKAIEERDVCQSRVFKLESDVEALEESYREVTHKVDDYQAKEDIWKEKEAELLSLYNNMSMKEKAKDPLLSATQLRTLLDKLSVIEIPLVESEDLEPHSSTEVKKLFSIINSFAELQNQINLLSYEKEELQSMLSQQSFEIEHLKEEIERHVRNKPELEVMKMELSEATFGLEKIIVGLGGKELIGNPISVGMRALLPVLEKQVNALLLEAESSKSRAQELGTKLLGSQNAVDELSTKVKLLEDSLQGRTIQAEVVQDRSIFEAPSASTGSEISEIEDAGSHVKKTVSPVPSAAHVRIMQKGSADHLALNIDSETDRLINSEETDEDKGRMFKPLNTTGLIPKQGKSIADRVDGIWVSGGRVLSSRPRVRLGLIAYCLLLHIWLLGTIV >KJB31043 pep chromosome:Graimondii2_0_v6:5:50433114:50440125:-1 gene:B456_005G173600 transcript:KJB31043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEINDSEDSGARNQSSTYQEAESIEISHVDSKEDMFMDASDELNNDNKEAVWSTDRDNNAISDEKPDAVPKQFDEVDNGAYNNEDNDNNHVVKEMERLRALLEQAAEEKGKLESKYKEEMETLSREIYVKDKEIEGLTAKLMSSVAETEKDVKNQQYEVALERISAALGSVIDQGDLLGDSGVEQIVLVEKSTLALIEKYNQFLSEVNQLRQCLTKAESDFGVQEFGTVFVAAHDELHELRRKEAQLVENIAFLEDENRKFFEQVESEKAMVEMLKSELEKTKTEVEQEKMRCANTKEKLSMAVTKGKALVQQRDALKQSLADKTSELEKCLAELQEKSSALEAAELHKEELVKNEVLVVSLQESLSEKTLIIEAFEHILSQIDVPEELQSVDIVGRARWLANERKELKSVSMDFYRLKDTICAIDLPENVSFPDLDSRLAWLKESFYHAKDDINMLQNEISRTKEAARDEVDHLSASLSTVQQEKHYIKEELDHLRNEYEEIVGKARQISLDKDHLSASLEAELVEKDYIKKELDNLSTEYENVVEKIHRLSSEKNQMISMLVEASGMMLADQEGVEEASYLPMLIDRCFRKIKDQPNASSETTFVEAQLFEKLQSLFYVRDLELTLCEEVLEEDMLVRSQLNDLSDQMRVTSKELFVLKEEKDVLQKDLERSEEKSSLLREKLSMAVKKGKGLVQDRENLKLLLEEKNSEIEKLKLELQHEESTVANCRDQISTLSTDLERIPKLESDLAAMREGRDQLEKFLFESNSILQRLVESIGHIVIPADSTFQEPVEKLNFLSGYMDDCLTAKAQTEQDLLQVKEEAKNVAVKLAEAEANMKTLEDALAVAKNDLSQLAEEKRDVEFGKKNLEIELQKALEEAHSENSKFAEICEARKSLEEALSLAENKISFLISEQQEVQSSRAASETEMEKLREEGAIQSSRLTEAYNTINTLESALSQAEMTDASLTEHSNNSKVEITNLENELRKLKDETEIQARELAVAEITIKSLEDALVKAENEFSELQSEKRAADQEISTLNSKLTVCMEELAGSRGCSASKSIELIGHLNNLQMLAKDQSLLSTMKQCFDRNLEHLKDVDLALKNTREHLLDKRSEQLQDYPLMEDIALLAGCFSDDIDNNVNIGMENDYENAINGDDVSSCVIRVAEGFQLRNKIFADRFEGFSKFLDESIGSLLKKLHATEDEVKSMVENMESLKQNVKNLEMREQEKEKAMAILQDDVETLFSACRDAVGDLHFEVKSTLTEFNSLPGLENLNHGLHPGGEFVGRDMAQQDIGGNRYIQTAEKLLAATREVQSLVKFYETTNKAVATIVHNLQKDLEDTRRASEKAIEERDVCQSRVFKLESDVEALEESYREVTHKVDDYQAKEDIWKEKEAELLSLYNNMSMKEKAKDPLLSATQLRTLLDKLSVIEIPLVESEDLEPHSSTEVKKLFSIINSFAELQNQINLLSYEKEELQSMLSQQSFEIEHLKEEIERHVRNKPELEVMKMELSEATFGLEKIIVGLGGKELIGNPISVGMRALLPVLEKQVNALLLEAESSKSRAQELGTKLLGSQNAVDELSTKVKLLEDSLQGRTIQAEVVQDRSIFEAPSASTGSEISEIEDAGSHVKKTVSPVPSAAHVRIMQKGSADHLALNIDSETDRLINSEETDEDKGRMFKPLNTTGLIPKQGKSIADRVDGIWVSGGRVLSSRPRVRLGLIAYCLLLHIWLLGTIV >KJB31045 pep chromosome:Graimondii2_0_v6:5:50433052:50440125:-1 gene:B456_005G173600 transcript:KJB31045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEINDSEDSGARNQSSTYQEAESIEISHVDSKEDMFMDASDELNNDNKEAVWSTDRDNNAISDEKPDAVPKQFDEVDNGAYNNEDNDNNHVVKEMERLRALLEQAAEEKGKLESKYKEEMETLSREIYVKDKEIEGLTAKLMSSVAETEKDVKNQQYEVALERISAALGSVIDQGDLLGDSGVEQIVLVEKSTLALIEKYNQFLSEVNQLRQCLTKAESDFGVQEFGTVFVAAHDELHELRRKEAQLVENIAFLEDENRKFFEQVESEKAMVEMLKSELEKTKTEVEQEKMRCANTKEKLSMAVTKGKALVQQRDALKQSLADKTSELEKCLAELQEKSSALEAAELHKEELVKNEVLVVSLQESLSEKTLIIEAFEHILSQIDVPEELQSVDIVGRARWLANERKELKSVSMDFYRLKDTICAIDLPENVSFPDLDSRLAWLKESFYHAKDDINMLQNEISRTKEAARDEVDHLSASLSTVQQEKHYIKEELDHLRNEYEEIVGKARQISLDKDHLSASLEAELVEKDYIKKELDNLSTEYENVVEKIHRLSSEKNQMISMLVEASGMMLADQEGVEEASYLPMLIDRCFRKIKDQPNASSETTFVEAQLFEKLQSLFYVRDLELTLCEEVLEEDMLVRSQLNDLSDQMRVTSKELFVLKEEKDVLQKDLERSEEKSSLLREKLSMAVKKGKGLVQDRENLKLLLEEKNSEIEKLKLELQHEESTVANCRDQISTLSTDLERIPKLESDLAAMREGRDQLEKFLFESNSILQRLVESIGHIVIPADSTFQEPVEKLNFLSGYMDDCLTAKAQTEQDLLQVKEEAKNVAVKLAEAEANMKTLEDALAVAKNDLSQLAEEKRDVEFGKKNLEIELQKALEEAHSENSKFAEICEARKSLEEALSLAENKISFLISEQQEVQSSRAASETEMEKLREEGAIQSSRLTEAYNTINTLESALSQAEMTDASLTEHSNNSKVEITNLENELRKLKDETEIQARELAVAEITIKSLEDALVKAENEFSELQSEKRAADQEISTLNSKLTVCMEELAGSRGCSASKSIELIGHLNNLQMLAKDQSLLSTMKQCFDRNLEHLKDVDLALKNTREHLLDKRSEQLQDYPLMEDIALLAGCFSDDIDNNVNIGMENDYENAINGDDVSSCVIRVAEGFQLRNKIFADRFEGFSKFLDESIGSLLKKLHATEDEVKSMVENMESLKQNVKNLEMREQEKEKAMAILQDDVETLFSACRDAVGDLHFEVKSTLTEFNSLPGLENLNHGLHPGGEFVGRDMAQQDIGGNRYIQTAEKLLAATREVQSLVKFYETTNKAVATIVHNLQKDLEDTRRASEKAIEERDVCQSRVFKLESDVEALEESYREVTHKVDDYQAKEDIWKEKEAELLSLYNNMSMKEKEAKDPLLSATQLRTLLDKLSVIEIPLVESEDLEPHSSTEVKKLFSIINSFAELQNQINLLSYEKEELQSMLSQQSFEIEHLKEEIERHVRNKPELEVMKMELSEATFGLEKIIVGLGGKELIGNPISVGMRALLPVLEKQVNALLLEAESSKSRAQELGTKLLGSQNAVDELSTKVKLLEDSLQGRTIQAEVVQDRSIFEAPSASTGSEISEIEDAGSHVKKTVSPVPSAAHVRIMQKGSADHLALNIDSETDRLINSEETDEDKGRMFKPLNTTGLIPKQGKSIADRVDGIWVSGGRVLSSRPRVRLGLIAYCLLLHIWLLGTIV >KJB31044 pep chromosome:Graimondii2_0_v6:5:50433135:50440175:-1 gene:B456_005G173600 transcript:KJB31044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEINDSEDSGARNQSSTYQEAESIEISHVDSKEDMFMDASDELNNDNKEAVWSTDRDNNAISDEKPDAVPKQFDEVDNGAYNNEDNDNNHVVKEMERLRALLEQAAEEKGKLESKYKEEMETLSREIYVKDKEIEGLTAKLMSSVAETEKDVKNQQYEVALERISAALGSVIDQGDLLGDSGVEQIVLVEKSTLALIEKYNQFLSEVNQLRQCLTKAESDFGVQEFGTVFVAAHDELHELRRKEAQLVENIAFLEDENRKFFEQVESEKAMVEMLKSELEKTKTEVEQEKMRCANTKEKLSMAVTKGKALVQQRDALKQSLADKTSELEKCLAELQEKSSALEAAELHKEELVKNEVLVVSLQESLSEKTLIIEAFEHILSQIDVPEELQSVDIVGRARWLANERKELKSVSMDFYRLKDTICAIDLPENVSFPDLDSRLAWLKESFYHAKDDINMLQNEISRTKEAARDEVDHLSASLSTVQQEKHYIKEELDHLRNEYEEIVGKARQISLDKDHLSASLEAELVEKDYIKKELDNLSTEYENVVEKIHRLSSEKNQMISMLVEASGMMLADQEGVEEASYLPMLIDRCFRKIKDQPNASSETTFVEAQLFEKLQSLFYVRDLELTLCEEVLEEDMLVRSQLNDLSDQMRVTSKELFVLKEEKDVLQKDLERSEEKSSLLREKLSMAVKKGKGLVQDRENLKLLLEEKNSEIEKLKLELQHEESTVANCRDQISTLSTDLERIPKLESDLAAMREGRDQLEKFLFESNSILQRLVESIGHIVIPADSTFQEPVEKLNFLSGYMDDCLTAKAQTEQDLLQVKEEAKNVAVKLAEAEANMKTLEDALAVAKNDLSQLAEEKRDVEFGKKNLEIELQKALEEAHSENSKFAEICEARKSLEEALSLAENKISFLISEQQEVQSSRAASETEMEKLREEGAIQSSRLTEAYNTINTLESALSQAEMTDASLTEHSNNSKVEITNLENELRKLKDETEIQARELAVAEITIKSLEDALVKAENEFSELQSEKRAADQEISTLNSKLTVCMEELAGSRGCSASKSIELIGHLNNLQMLAKDQSLLSTMKQCFDRNLEHLKDVDLALKNTREHLLDKRSEQLQDYPLMEDIALLAGCFSDDIDNNVNIGMENDYENAINGDDVSSCVIRVAEGFQLRNKIFADRFEGFSKFLDESIGSLLKKLHATEDEVKSMVENMESLKQNVKNLEMREQEKEKAMAILQDDVETLFSACRDAVGDLHFEVKSTLTEFNSLPGLENLNHGLHPGGEFVGRDMAQQDIGGNRYIQTAEKLLAATREVQSLVKFYETTNKAVATIVHNLQKDLEDTRRASEKAIEERDVCQSRVFKLESDVEALEESYREVTHKVDDYQAKEDIWKEKEAELLSLYNNMSMKEKEAKDPLLSATQLRTLLDKLSVIEIPLVESEDLEPHSSTEVKKLFSIINSFAELQNQINLLSYEKEELQSMLSQQSFEIEHLKEEIERHVRNKPELEVMKMELSEATFGLEKIIVGLGGKELIGNPISVGMRALLPVLEKQVNALLLEAESSKSRAQELGTKLLGSQNAVDELSTKVKLLEDSLQGRTIQAEVVQDRSIFEAPSASTGSEISEIEDAGSHVKKTVSPVPSAAHVRIMQKGSADHLALNIDSETDRLINSEETDEDKGRMFKPLNTTGLIPKQGKSIADRVDGIWVSGGRVLSSRPRVRLGLIAYCLLLHIWLLGTIV >KJB31042 pep chromosome:Graimondii2_0_v6:5:50433442:50439920:-1 gene:B456_005G173600 transcript:KJB31042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEINDSEDSGARNQSSTYQEAESIEISHVDSKEDMFMDASDELNNDNKEAVWSTDRDNNAISDEKPDAVPKQFDEVDNGAYNNEDNDNNHVVKEMERLRALLEQAAEEKGKLESKYKYVQEEMETLSREIYVKDKEIEGLTAKLMSSVAETEKDVKNQQYEVALERISAALGSVIDQGDLLGDSGVEQIVLVEKSTLALIEKYNQFLSEVNQLRQCLTKAESDFGVQEFGTVFVAAHDELHELRRKEAQLVENIAFLEDENRKFFEQVESEKAMVEMLKSELEKTKTEVEQEKMRCANTKEKLSMAVTKGKALVQQRDALKQSLADKTSELEKCLAELQEKSSALEAAELHKEELVKNEVLVVSLQESLSEKTLIIEAFEHILSQIDVPEELQSVDIVGRARWLANERKELKSVSMDFYRLKDTICAIDLPENVSFPDLDSRLAWLKESFYHAKDDINMLQNEISRTKEAARDEVDHLSASLSTVQQEKHYIKEELDHLRNEYEEIVGKARQISLDKDHLSASLEAELVEKDYIKKELDNLSTEYENVVEKIHRLSSEKNQMISMLVEASGMMLADQEGVEEASYLPMLIDRCFRKIKDQPNASSETTFVEAQLFEKLQSLFYVRDLELTLCEEVLEEDMLVRSQLNDLSDQMRVTSKELFVLKEEKDVLQKDLERSEEKSSLLREKLSMAVKKGKGLVQDRENLKLLLEEKNSEIEKLKLELQHEESTVANCRDQISTLSTDLERIPKLESDLAAMREGRDQLEKFLFESNSILQRLVESIGHIVIPADSTFQEPVEKLNFLSGYMDDCLTAKAQTEQDLLQVKEEAKNVAVKLAEAEANMKTLEDALAVAKNDLSQLAEEKRDVEFGKKNLEIELQKALEEAHSENSKFAEICEARKSLEEALSLAENKISFLISEQQEVQSSRAASETEMEKLREEGAIQSSRLTEAYNTINTLESALSQAEMTDASLTEHSNNSKVEITNLENELRKLKDETEIQARELAVAEITIKSLEDALVKAENEFSELQSEKRAADQEISTLNSKLTVCMEELAGSRGCSASKSIELIGHLNNLQMLAKDQSLLSTMKQCFDRNLEHLKDVDLALKNTREHLLDKRSEQLQDYPLMEDIALLAGCFSDDIDNNVNIGMENDYENAINGDDVSSCVIRVAEGFQLRNKIFADRFEGFSKFLDESIGSLLKKLHATEDEVKSMVENMESLKQNVKNLEMREQEKEKAMAILQDDVETLFSACRDAVGDLHFEVKSTLTEFNSLPGLENLNHGLHPGGEFVGRDMAQQDIGGNRYIQTAEKLLAATREVQSLVKFYETTNKAVATIVHNLQKDLEDTRRASEKAIEERDVCQSRVFKLESDVEALEESYREVTHKVDDYQAKEDIWKEKEAELLSLYNNMSMKEKEAKDPLLSATQLRTLLDKLSVIEIPLVESEDLEPHSSTEVKKLFSIINSFAELQNQINLLSYEKEELQSMLSQQSFEIEHLKEEIERHVRNKPELEVMKMELSEATFGLEKIIVGLGGKELIGNPISVGMRALLPVLEKQVNALLLEAESSKSRAQELGTKLLGSQNAVDELSTKVKLLEDSLQGRTIQAEVVQDRSIFEAPSASTGSEISEIEDAGSHVKKTVSPVPSAAHVRIMQKGSADHLALNIDSETDRLINSEETDEDKGRMFKPLNTTGLIPKQGKSIADRVDGIWVSGGRVLSSRPRVRLGLIAYCLLLHIWLLGTIV >KJB32120 pep chromosome:Graimondii2_0_v6:5:60804068:60816423:1 gene:B456_005G225500 transcript:KJB32120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGLYFRMTRKRIQIKKIDNVAARQVTFSKRRRGLFKKAHELSVLCDAEIALIVFSATGKLFDYFSTSMEVIERRNQQSGKGIDRLVTSPCHGLQVESRTCAMLSKEMAEKTHQLRQLKGEELQGLGFEGLKHLEKLVEGGLRRVTETKDERFFKEISTLKMKGAELVEEKQQLKQQMENLPHMVHVQPSESIAHVGSSENPTQPYNNSHDISLTLGLAFPNSPLKG >KJB32121 pep chromosome:Graimondii2_0_v6:5:60804396:60816423:1 gene:B456_005G225500 transcript:KJB32121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKRIQIKKIDNVAARQVTFSKRRRGLFKKAHELSVLCDAEIALIVFSATGKLFDYFSTSMEVIERRNQQSGKGIDRLVTSPCHGLQVESRTCAMLSKEMAEKTHQLRQLKGEELQGLGFEGLKHLEKLVEGGLRRVTETKDERFFKEISTLKMKGAELVEEKQQLKQQMENLPHMVHVQPSESIAHVGSSENPTQPYNNSHDISLTLGLAFPNSPLKG >KJB27955 pep chromosome:Graimondii2_0_v6:5:1414477:1416468:-1 gene:B456_005G018300 transcript:KJB27955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNNYGHQHPLLLILNQDQLIHNQSGVTHCSRCGEEVSAPCFCCAEHCGFNLHKACAEAPLELNHPFHLGHPLLLMQNPPYSSHLRCVCDFCDKTCKKFIYHCSCKLDFHIKCALFTFNIAENNLKELDHFALQHPLISTENGDEELGDVSKCFGCWEPLAKYTHFSPDCRFNLHEKCAKLPFKLNHKCHHKHPLTLQFNSERLSCKICQVTRRRGFVYGCSPCKFVVHIECVSESLGLVIEDKRHEHPFTLLLRGSSFICDACGIEGSYASYICCTCNIMVHKKCTSLPRIIKSKWHDHRLFHKYFLRIEDFRVLDCIMCNYEVSTDYGSYYCSECGVIFHVKCAMRNRNSYEIVENEDEESADVSSITKVLEWNDAGEATVIEHITHIHHLTLSDRVGEYDNKCCDGCLLPISDSFYYCTQCDFFLHKVCVELPKVKQVWHHPCQASLVLTSNEVFWCVACGYLSKAFAYKCEECKKRTCLRCIIALTPGAHTCVGHKHPIFLYTEKSGGCVACGKNNIEGLLCCKDCDFSVDHRCFSLPITSQHKSDEHLLSLTYYDDNSYSESHFCDVCEERRDPNLWFYHCATCNTSAHVNCVLGKNPFLKPGNIIEVLKDIHEHPATVVKKIYYYPNCSKCGKPCFDLALECTGCNFIVHVMCPR >KJB29070 pep chromosome:Graimondii2_0_v6:5:10141768:10144782:1 gene:B456_005G082800 transcript:KJB29070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTLFSGCRSFSWQQLSLSCSFAHSSSSENGISRPKAVPTSRGNGLVDKEKDNSLGISSRRSLLITGISVASSSVLGFPGEGMAVVKQGLLAGRIPGLSEPDEQGWRTYRRPDDKSGGHGVGWSPIIPYSFSVPQDFEEVPVSIADLGGTEIDLRFASSKEGRLFVIVAPVLRFADNLGDDATIQKIGPPEKVISAFGPEVIGENIEGKVLSMNTVEHGGRMYYQYELEPPHVLITATAAGNRLYLFSVTGSGLQWKRHYQDLKRISESFRVV >KJB28513 pep chromosome:Graimondii2_0_v6:5:5233877:5244575:1 gene:B456_005G052900 transcript:KJB28513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAVVGGGISGVVSAYTLAKAGANVVLYEKEEYLGGHSKTVHFDGVDLDLGFMVFNRVTYPNMMELFESLGIDMEPSDMSLSVSLNEGKGCEWGSRNGLSALFAQKSNLFNPYFWQMLREILKFKNDVISYLELLENNPDIDRNETLGQFIKSKGYSELFQKAYLVPVCGSIWSCPTERVMDFSAFSILSFCRNHHLLQIFGRPQWMTVRWRSHRYVNKVREELESRGCQIRTGCEVHSVLSDAEGCTVLCGDDSHELYQGCIMAVHAPDALRLLGNQATYDESTVLGAFQYVYSDIYLHRDKNLMPKNPAAWSAWNFLGSTDKNVSLTYWLNVLQNLGETSLPFLVTLNPDYTPKHTLLKWRTGHPVPSVAATKASLELDRIQGKRGIWFCGAYLGYGFHEDGLKAGMIAANGLLGKSCNILSNPKHMVPSLMETGARLFVTRFLSHFISTGCVILLEEGGTMFTFEGTSNKCSLKTVIKVHSPHFYWKVMTEADLGLADSYINGDFSFVDKKDGLLNLVMILIANRDLISSNSKLSKKRGWWTPLLFTAGLTSAKYFFKHVLRQNTLTQARRNISRHYDLSNDLFALFLDETMTYSCAVFKTEDEDLKDAQHRKISLLIEKARIDSKHEILEIGCGWGSLAIEVVKRTGCKYTGITLSEEQLKLAEKRVKEAGLQENIRFQLCDYRQLPSTYKYDRIISCEMIEAVGHEYMEDFFGCCESVLADDGLLVLQFISIPEERYNEYRRSSDFIKEYIFPGGCLPSLARITTAMNAASKLWYNAISPLVNFYVHNHAFCFGFCYTHIWSWRKVKEVV >KJB28510 pep chromosome:Graimondii2_0_v6:5:5233877:5242301:1 gene:B456_005G052900 transcript:KJB28510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAVVGGGISGVVSAYTLAKAGANVVLYEKEEYLGGHSKTVHFDGVDLDLGFMVFNRVTYPNMMELFESLGIDMEPSDMSLSVSLNEGKGCEWGSRNGLSALFAQKSNLFNPYFWQMLREILKFKNDVISYLELLENNPDIDRNETLGQFIKSKGYSELFQKAYLVPVCGSIWSCPTERVMDFSAFSILSFCRNHHLLQIFGRPQWMTVRWRSHRYVNKVREELESRGCQIRTGCEVHSVLSDAEGCTVLCGDDSHELYQGCIMAVHAPDALRLLGNQATYDESTVLGAFQYVYSDIYLHRDKNLMPKNPAAWSAWNFLGSTDKNVSLTYWLNVLQNLGETSLPFLVTLNPDYTPKHTLLKWRTGHPVPSVAATKASLELDRIQGKRGIWFCGAYLGYGFHEDGLKAGMIAANGLLGKSCNILSNPKHMVPSLMETGARLFVTRFLSHFISTGCVILLEEGGTMFTFEGTSNKCSLKTVIKVHSPHFYWKVMTEADLGLADSYINGDFSFVDKKDGLLNLVMILIANRDLISSNSKLSKKRGWWTPLLFTAGLTSAKYFFKHVLRQNTLTQARRNISRHYDLSNDLFALFLDETMTYSCAVFKVRLTVKTWHLCDDTIK >KJB28512 pep chromosome:Graimondii2_0_v6:5:5233877:5244575:1 gene:B456_005G052900 transcript:KJB28512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAVVGGGISGVVSAYTLAKAGANVVLYEKEEYLGGHSKTVHFDGVDLDLGFMVFNRVTYPNMMELFESLGIDMEPSDMSLSVSLNEGKGCEWGSRNGLSALFAQKSNLFNPYFWQMLREILKFKNDVISYLELLENNPDIDRNETLGQFIKSKGYSELFQKAYLVPVCGSIWSCPTERVMDFSAFSILSFCRNHHLLQIFGRPQWMTVRWRSHRYVNKVREELESRGCQIRTGCEVHSVLSDAEGCTVLCGDDSHELYQGCIMAVHAPDALRLLGNQATYDESTVLGAFQYVYSDIYLHRDKNLMPKNPAAWSAWNFLGSTDKNVSLTYWLNVLQNLGETSLPFLVTLNPDYTPKHTLLKWRTGHPVPSVAATKASLELDRIQGKRGIWFCGAYLGYGFHEDGLKAGMIAANGLLGKSCNILSNPKHMVPSLMETGARLFVTRFLSHFISTGCVILLEEGGTMFTFEGTSNKCSLKTVIKVHSPHFYWKVMTEADLGLADSYINGDFSFVDKKDGLLNLVMILIANRDLISSNSKLSKKRGWWTPLLFTAGLTSAKYFFKHVLRQNTLTQARRNISRHYDLSNDLFALFLDETMTYSCAVFKTEDEDLKDAQHRKISLLIEKARIDSKHEILEIGCGWGSLAIEVVKRTGCKYTGITLSEEQLKLAEKRVKEAGLQENIRFQLCDYRQLPSTYKYDRIISCEMIEAVGHEYMEDFFGCCESVLADDGLLVLQFISIPEERYNEYRRSSDFIKEYIFPGGCLPSLARITTAMNAASKLCVEHVENIGLHYYQTLRYWRKNFLEKQRYAIPNTFVCHSNLHENLEKEN >KJB28514 pep chromosome:Graimondii2_0_v6:5:5233877:5244616:1 gene:B456_005G052900 transcript:KJB28514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAVVGGGISGVVSAYTLAKAGANVVLYEKEEYLGGHSKTVHFDGVDLDLGFMVFNRVTYPNMMELFESLGIDMEPSDMSLSVSLNEGKGCEWGSRNGLSALFAQKSNLFNPYFWQMLREILKFKNDVISYLELLENNPDIDRNETLGQFIKSKGYSELFQKAYLVPVCGSIWSCPTERVMDFSAFSILSFCRNHHLLQIFGRPQWMTVRWRSHRYVNKVREELESRGCQIRTGCEVHSVLSDAEGCTVLCGDDSHELYQGCIMAVHAPDALRLLGNQATYDESTVLGAFQYVYSDIYLHRDKNLMPKNPAAWSAWNFLGSTDKNVSLTYWLNVLQNLGETSLPFLVTLNPDYTPKHTLLKWRTGHPVPSVAATKASLELDRIQGKRGIWFCGAYLGYGFHEDGLKAGMIAANGLLGKSCNILSNPKHMVPSLMETGARLFVTRFLSHFISTGCVILLEEGGTMFTFEGTSNKCSLKTVIKVHSPHFYWKVMTEADLGLADSYINGDFSFVDKKDGLLNLVMILIANRDLISSNSKLSKKRGWWTPLLFTAGLTSAKYFFKHVLRQNTLTQARRNISRHYDLSNDLFALFLDETMTYSCAVFKTEDEDLKDAQHRKISLLIEKARIDSKHEILEIGCGWGSLAIEVVKRTGCKYTGITLSEEQLKLAEKRVKEAGLQENIRFQLCDYRQLPSTYKYDRIISCEMIEAVGHEYMEDFFGCCESVLADDGLLVLQFISIPEERYNEYRRSSDFIKEYIFPGGCLPSLARITTAMNAASKLCVEHVENIGLHYYQTLRYWRKNFLEKQSKIHALGFNDKFIRTWEYYFDYCAAGFKSNTLGNYQVVFSRPGNVVALGNPYKDFPSAS >KJB28511 pep chromosome:Graimondii2_0_v6:5:5234214:5242610:1 gene:B456_005G052900 transcript:KJB28511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAVVGGGISGVVSAYTLAKAGANVVLYEKEEYLGGHSKTVHFDGVDLDLGFMVFNRVTYPNMMELFESLGIDMEPSDMSLSVSLNEGKGCEWGSRNGLSALFAQKSNLFNPYFWQMLREILKFKNDVISYLELLENNPDIDRNETLGQFIKSKGYSELFQKAYLVPVCGSIWSCPTERVMDFSAFSILSFCRNHHLLQIFGRPQWMTVRWRSHRYVNKVREELESRGCQIRTGCEVHSVLSDAEGCTVLCGDDSHELYQGCIMAVHAPDALRLLGNQATYDESTVLGAFQYVYSDIYLHRDKNLMPKNPAAWSAWNFLGSTDKNVSLTYWLNVLQNLGETSLPFLVTLNPDYTPKHTLLKWRTGHPVPSVAATKASLELDRIQGKRGIWFCGAYLGYGFHEDGLKAGMIAANGLLGKSCNILSNPKHMVPSLMETGARLFVTRFLSHFISTGCVILLEEGGTMFTFEGTSNKCSLKTVIKVHSPHFYWKVMTEADLGLADSYINGDFSFVDKKDGLLNLVMILIANRDLISSNSKLSKKRGWWTPLLFTAGLTSAKYFFKHVLRQNTLTQARRNISRHYDLSNDLFALFLDETMTYSCAVFKTEDEDLKDAQHRKISLLIEKARIDSKHEILEIGCGWGSLAIEVVKRTGCKYTGITLSEEQLKLAEKRVKEAGLQVPI >KJB31826 pep chromosome:Graimondii2_0_v6:5:59081898:59093297:1 gene:B456_005G210000 transcript:KJB31826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKASLLCDNILGLAYPCPVAAPRLRVSRLFSYLSAFTSNPSPPRSFSSLPKSATMPGVDPPAVEWPAKKVRDTFIKFFEGKNHVYWNSSPVVPHNDPTLLFANAGMNQYKPIFLGTVDPNTAMSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAIEWAWELLTKVYGLPTDRIYATYFGGDASSGLPPDNEARDIWLKFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHYDRVGNRDAASLVNNDDPTCIEIWNLVFIQFNRESDGSLKPLPAKHVDTGLGFERLTSVLQNKMSNYDTDVFLPIFDVIQQVTGARSYSGKVGPDDIDKVDMAYRVVADHIRTLSFAIADGASPGNEGREYVLRRILRRAVRYGTEVLKAPEGFFSRLVRIVVEAMGDVFPELKQHEARIGDIIAAEEASFGKTLVKGIEKFKKAAQDVQGRILSGQDAFILWDTYGFPLDLTQLMAEERGLIVDVDGFNNAMDEAREKSRSARNKQAGGAIVMDADATSALHKKGVSTTDDSFKFVWFQIFDTGYLDGSFGSFQVCNVQIFGGFVLHIGSLSGVSGKFSVGDKVTCKVDYDRRRLIAPNHTCTHMLNFALREVLGNHVDQKGSIVLPEKLRFDFSHDPNRDGAINADHLRKIEAIVNEQIKSELDVYSKEVTLAEAKRINGLRAVFGEVYPDPVRVVAVGKKVEDLLADPENKEWSSISSELCGGTHITNTREAKAFALLSEEGIAKGVRRITAVTTESALKAMELGGLLLKEVDDASNMEVRLLEKKVASLKTTVDSASIPAAQKADIRSKIAQLQNQLKKAQKKIAEQNMQRAVTTATELAEVAAKEGKTFCVTRIDVGLDAAALREAVSKVIQQKGMPVMVFSIDETTNKAVVYAGVPEKSEQSKLLEVSEWLTNALGPLKGRCGKGKGGLATGQGTDGSHVKEAMDLATSFASMKLK >KJB31825 pep chromosome:Graimondii2_0_v6:5:59081799:59093297:1 gene:B456_005G210000 transcript:KJB31825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKASLLCDNILGLAYPCPVAAPRLRVSRLFSYLSAFTSNPSPPRSFSSLPKSATMPGVDPPAVEWPAKKVRDTFIKFFEGKNHVYWNSSPVVPHNDPTLLFANAGMNQYKPIFLGTVDPNTAMSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAIEWAWELLTKVYGLPTDRIYATYFGGDASSGLPPDNEARDIWLKFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHYDRVGNRDAASLVNNDDPTCIEIWNLVFIQFNRESDGSLKPLPAKHVDTGLGFERLTSVLQNKMSNYDTDVFLPIFDVIQQVTGARSYSGKVGPDDIDKVDMAYRVVADHIRTLSFAIADGASPGNEGREYVLRRILRRAVRYGTEVLKAPEGFFSRLVRIVVEAMGDVFPELKQHEARIGDIIAAEEASFGKTLVKGIEKFKKAAQDVQGRILSGQDAFILWDTYGFPLDLTQLMAEERGLIVDVDGFNNAMDEAREKSRSARNKQAGGAIVMDADATSALHKKGVSTTDDSFKFVWFQPHESVIKAIYNGSEFMENATAGDDVGIVLESTSFYAEQGGQIFDTGYLDGSFGSFQVCNVQIFGGFVLHIGSLSGVSGKFSVGDKVTCKVDYDRRRLIAPNHTCTHMLNFALREVLGNHVDQKGSIVLPEKLRFDFSHDPNRDGAINADHLRKIEAIVNEQIKSELDVYSKEVTLAEAKRINGLRAVFGEVYPDPVRVVAVGKKVEDLLADPENKEWSSISSELCGGTHITNTREAKAFALLSEEGIAKGVRRITAVTTESALKAMELGGLLLKEVDDASNMEVRLLEKKVASLKTTVDSASIPAAQKADIRSKIAQLQNQLKKAQKKIAEQNMQRAVTTATELAEVAAKEGKTFCVTRIDVGLDAAALREAVSKVIQQKGMPVMVFSIDETTNKAVVYAGVPEKSEQSKLLEVSEWLTNALGPLKGRCGKGKGGLATGQGTDGSHVKEAMDLATSFASMKLK >KJB29283 pep chromosome:Graimondii2_0_v6:5:12544136:12550072:1 gene:B456_005G092100 transcript:KJB29283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFHTKSGLSLGFTKSSIADDPISLQMDTTFRFSVSPVPLQLFEEKDDGHRENRIESDEEIRIWGQSMCVKRQRDPKPLPNPCKRFAPDPGLDQRRAAVRSWGNQRLQEADPELSEIMSKEKQRQFLGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYTGNHFIDQIESLCQDRALAAFNLDSDKWGVNVQPYSCTSANFAVYTALLLPGDRMMGLDSPSGGHMSHGWYMPSGKKVSAASIFFESFPYKVNPQTGFIDYNKLEEKALDYRPKILICGGSSYPREWDYARFRQIADRCGAVLMCDMAHISGLVAAKECASPFDYCDIVTSTTHKSLRGPRGGIIFYRRGAKSRKQGMCLSNGDCTDQLDFEEKINFAVHASLQGGPHNNHIAALAIALKQVTTPEYKAYMQQVKKNAQALASALLKRKCKLVTGGTDNHLLLWDLTTLGLTGKCYEEVCEMCHITLNKTTIFGDNGAISPGGVRIGTPAMTSRGCLESDFETIADFLCTAAEITSCVQRDHGKLQKEFLKGLHNNKDVIDLRIRVEAFAAQFAMPGYDS >KJB29282 pep chromosome:Graimondii2_0_v6:5:12544122:12549397:1 gene:B456_005G092100 transcript:KJB29282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFHTKSGLSLGFTKSSIADDPISLQMDTTFRFSVSPVPLQLFEEKDDGHRENRIESDEEIRIWGQSMCVKRQRDPKPLPNPCKRFAPDPGLDQRRAAVRSWGNQRLQEADPELSEIMSKEKQRQFLGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYTGNHFIDQIESLCQDRALAAFNLDSDKWGVNVQPYSCTSANFAVYTALLLPGDRMMGLDSPSGGHMSHGWYMPSGKKVSAASIFFESFPYKVNPQTGFIDYNKLEEKALDYRPKILICGGSSYPREWDYARFRQIADRCGAVLMCDMAHISGLVAAKECASPFDYCDIVTSTTHKSLRGPRGGIIFYRRGAKSRKQGMCLSNGDCTDQLDFEEKINFAVHASLQGGPHNNHIAALAIALKQVTTPEYKAYMQQVKKNAQALASALLKRKCKLVTGGTDNHLLLWDLTTLGLTGKCYEEVCEMCHITLNKTTIFGDNGAISPGGVRIGTPAMTSRGCLESDFETIADFLCTAAEITSCVQRDHGKLQKEFLKGLHNNKDVIDLRIRVEAFAAQFAMPGYDS >KJB29281 pep chromosome:Graimondii2_0_v6:5:12544102:12550105:1 gene:B456_005G092100 transcript:KJB29281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFHTKSGLSLGFTKSSIADDPISLQMDTTFRFSVSPVPLQLFEEKDDGHRENRIESDEEIRIWGQSMCVKRQRDPKPLPNPCKRFAPDPGLDQRRAAVRSWGNQRLQEADPELSEIMSKEKQRQFLGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYTGNHFIDQIESLCQDRALAAFNLDSDKWGVNVQPYSCTSANFAVYTALLLPGDRMMGLDSPSGGHMSHGWYMPSGKKVSAASIFFESFPYKVNPQTGFIDYNKLEEKALDYRPKILICGGSSYPREWDYARFRQIADRCGAVLMCDMAHISGLVAAKECASPFDYCDIVTSTTHKSLRGPRGGIIFYRRGAKSRKQGMCLSNGDCTDQLDFEEKINFAVHASLQGGPHNNHIAALAIALKQVTTPEYKAYMQQVKKNAQALASALLKRKCKLVTGGTDNHLLLWDLTTLGLTGKCYEEVCEMCHITLNKTTIFGDNGAISPGGVRIGTPAMTSRGCLESDFETIADFLCTAAEITSCVQRDHGKLQKEFLKGLHNNKDVIDLRIRVEAFAAQFAMPGYDS >KJB28548 pep chromosome:Graimondii2_0_v6:5:5533307:5536361:1 gene:B456_005G054700 transcript:KJB28548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFELKVLLFIIVVAVFTSFADGDENGGQIEALLKWKNSLENPSSASLFSWDISSNSSFSPCEKWVGIICDDGFVNIIHINLTSMGLKGMLQGLDFVSFPELVTLDLSNNSLYGVIPKSIGMLANLKILYVNANHFSGSIPSAIGNLTKLKGLHLSLNHLSGNIPKEIGLIASLVDLKLPMNNLSGSLPAEITNLTSMKILLMGNNRLSGYLPDSICSGGLLERLSVHTNRFIGPVPKDLKNCSRLVRVRFEENELTGNISEDFGVYPMLNYIDLSYNKFFGEISPNWGSNRNLKSFKVSHNNIAGSIPSELANATKLEILDLSYNQLVGKIPNELGGLKLLFNLELNDNQLSGNVPTEFRFLSELAHLNLAVNRLSGLVPEEFGQCSKLLYLNLSNNKLTAMVPSQIGRLHSLQDLDLSHNLLTGELTSELGYLSSLETLNLSHNQFSGYIPNTFDTMSSLTAVDVSYNMLAGPLPNSKAFRNAPAKTFEHNKGLCCNAMMKKSKRKYSKMVLVSIIAPILSTLILLSVILGTFFTRSSRARYMVEPEGAGERENCVTIWGFDGKLMYESLIQATEDFNPKYCIGRGGSGSVYKAMLPTGQVFAVKKLHEIYDDDDVANLKSFSNEIDALTEVKHRNIVKLCGFCSHAKYSFLVYEYLEGGSLAKMLASEEKAKQLDWNKRIQVVKGVANALTYMHHECFPPVIHRDISSSNILLDSEYEARVSDFGTARILNPDSSKLISFAGTFGYSAPELAYGTEANEKCDVYSYGVLALEVIMGKHPEDLLLSLSLPESIIGHRFTVKDLLDHRLPPPEDPVGEEVVFTIKLAFSCLQTKPQSRPTMQQVSQKLLTRKPTLSDPLEMIKLEKLLVDQIL >KJB28001 pep chromosome:Graimondii2_0_v6:5:1736200:1740615:-1 gene:B456_005G021100 transcript:KJB28001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKKPIEVLAMEALGKGYDITGDFRLKYAKGTRLLVLDETNKRDIVFPGAASFTMKEVSQDIRLDKGDRIRFKSDVLEFNQMSELLNQKSSVQGKVPSGYLNSIFDLSGNWLHDAADTKTLAFDGYFISLYYLHLTASPLVLNDRVKKSVPPHWDPAALSRFIQTYGTHIIVGMAIGGQDLICVRQNSSSTIPTSELRGYLEDLGDVMFSDGKSPSLIQRKSRDGKQKVPDVFNRILQSSTMQLASIAETSSKDGLTIICSKRGGNAFLHSHSNWLQTVPAKPEGILFKFVPITSLLTGIPGSGYLSHAINLYLRYKPTPEDLRYFLEFQVPQQWAPMFCGLPLRHQTRKASCPSLQFTFLGPTLHVNSAQVTSELKPVVGLRLYLEGKKCNRLALHVLHLSSLPSIMTFTSSKPSQWRGSDDYKSSDQFLEPVRWKRYSNVCTSLIKYDPNWLQEVSGGVFVVTGAQLISKGKWPKTVLHLRLLYTHIPHCTIRKTEWAVAPDTSRKGSFLTNLSMTFSFTQGTAMTGQQKQTPTALNSGVYPDGPPEPIRSKKLLKYVDISEVVRGPHDTPGHWLVIAAKLVNESGKINLQVKFALLDYP >KJB29462 pep chromosome:Graimondii2_0_v6:5:17172057:17173251:1 gene:B456_005G102100 transcript:KJB29462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHLTIKGCLIGCSCMVWLRIRFKVMVTIRKNVMGRVKAMGGLKVTNLAFGGNKMFVTRKDQQAVEVWHGSTRGF >KJB28201 pep chromosome:Graimondii2_0_v6:5:3212580:3217164:-1 gene:B456_005G034000 transcript:KJB28201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CLRVARKEMEIQHFSHHHPLVFIQDHSVAALCLGCEKPVEGWSYGCSQCEFYLHKGCAELELAPQIQHPFHPKHPLNLLPKSPYPSKFQGFAYNCGACQFDLDINCALLQSSIAANFPNSLHPHPLFFIQNHNREVELDCSWCQKPISGPFYHCSDCTYPFNLHKECAELPLEIQHPLNPKHPLTLFPDSLYPWDKNRCHLCCKEFRGFSYNCGACYFGLHINLSESWKEKEMEIQHFKHHHPLVFIQAHSVASKAAPCLGCQKPVEGWSYGCTQCEFYLHKGCAELELAPKIQHPFHPKHPLIFLPNSDRYSRICDLCDYHPCDRKHPLTLLSQPPTHPQICSCYLCRIQWKGFVYSCSLCNFDLTIDDVLFSPPTITVASHEHPWMLVSTKIYFLCDFCGTDGDHFPYFCATCHLIVHKNCISLPLHIMITRHHHTISLSYSFRPNQVEDWMCKICYEKVDISYGHYRCPASRCRYIAHALCATDKAIWDGTIMPKGYDERSEELVDEPWNLNTDVVEQIRIGELMVASEIKHSYHDHNLRLTFSGKTKDDDSECDGCTRPISTPFYSCEQCKSFLHKECAELPKEMPHPFHKHLLTLVNSHGECGYSWCRAYRRLYQGFSYRCYDVDCRFGIDIQCMLLSDTLKHPSHEHSLFVVHNNEGTSCSACFKRLYPWEVAYRCMKRCDFSLDVGCATLPLTAWYKYDRHALTLTFSDDSEPFQLYCDLCEKKRKPNRWFYYCADCDNSLHLNCAIGYLPYMKLGKKLKEYWHKHPFTVVKNIWNCPLCKVCGEVCNGQALECKESECNFTVHWNCLWDLRE >KJB29307 pep chromosome:Graimondii2_0_v6:5:12974144:12976925:-1 gene:B456_005G093300 transcript:KJB29307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLVPVTNMKLRTPSLLYLVCITSLLFILSESTQPPFSCDASDPATKSYPFCETTLPITQRVRDLVSRLTLGEKISQLVNSAPAIPRLGIPDYQWWSEALHGLAVSRGMRFNGTIQSATSFPQVILTAASFDVHLWYRIAQAVGIEGRAIYNVGQAMGLTFWAPNINIFRDPRWGRGQETPGEDPLVTGKYAVSYVRGIQGDSFEGGKLGENLQASACCKHFTAYDLDNWKGVTRYVFNANVSLQDLADTYQPPFHSCIEEGQASGVMCAYNRVNGVPNCADYNLLSKTARGQWGFNGYITADCDAVATIYEQQGYVKVPEDAAADVLKAGMDVDCGSYLLKYTKSAVEKRKLSLSEIDRALYNLFSIRMRLGLFNGNPVKQPFGNIGLDQICSQEHQNLALEAARNGIVLLKNAKKLLPLSKTETTSLAVIGPNADSEQTLLGNYAGPPCKTITPLKGLQSYVKDTRFHQGCSAVNCSSAFTDQAMNVATGADRVVLVMGLDQTQEREKFDRVDLVLPPKQQNLISAIARADKNPIILVLLSGGPVDISFAKNDPHIGSILWAAYPGEAGGRALAEIIFGDHNPASYFYAGGRLPVTWYPQSYTNIPMTDMRMRPEPSFGYPGRTYRFYQGPKVFEFGYGLSYSNYTYEFLPVKRNKVYLHNQVSSHVVGNSNPPGYIPVSKIGTEVCDKIKHQVTVRVENNGEMAGKHSLLLFVRPANISNMRPVKQLVAFQSVNLKAGERAEIELELRPCEHLSLANEDGTMVIEEGSYFLSIGDKEIEIQAVK >KJB27919 pep chromosome:Graimondii2_0_v6:5:1237021:1237485:-1 gene:B456_005G016900 transcript:KJB27919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFVGMDETELKRVFQMFDKNGDGKITKKDLIESLESLGIFIPEGDLIQMIEKYDVNGDNCIDFDEFGELYQSIMSDKDEEEDIKEAFKVFDQNGDGYISVDELGSILVSLGLKQGEKAEDCKRMIMQVDADGDGRVNFSEFKQMMKGGGLSALT >KJB32005 pep chromosome:Graimondii2_0_v6:5:60169674:60171749:-1 gene:B456_005G218400 transcript:KJB32005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFLSLNNSRAGMSEPLDVSQNGTCKRQKLSSCLSEENSRLIPSLPDEISYQILARIPRINYLNVRLVSRAWKAAIMSTELFNTRKELGTTEEWLYILTKVEGDKLLWYALDPLSRRWQRLPQMPNVAFEAESRKRLASLRMWNVVDSGIKIADAIRGWLGRKDALDRMPFCGCAIGAVDGCLYVLGGFSRASALSCVWQYNPVLNSWNEVSSMSTGRAYCKTGILNNKLYVVGGVTRGHGGLTPLKSAEVFDPHTGIWSQLPSMPFSKAQILPTAFLADLLKPIATGMTSYRGRLFVPQSLYCWPFFVDVGGEVYDPDVNSWVEMPAGMGDGWPARQAGTKLSVTVDGELYALDPSNSPESVRIKVYDYQDDAWKVVVGEVPIPDFTDSDFPYLLSGLLGKLHVITKDANNNISVLQADVQNHSLDSSPGAHPESAEPATAFETDLWRVIATRTTGSSELVSCQALNI >KJB32007 pep chromosome:Graimondii2_0_v6:5:60169674:60172804:-1 gene:B456_005G218400 transcript:KJB32007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFLSLNNSRAGMSEPLDVSQNGTCKRQKLSSCLSEENSRLIPSLPDEISYQILARIPRINYLNVRLVSRAWKAAIMSTELFNTRKELGTTEEWLYILTKVEGDKLLWYALDPLSRRWQRLPQMPNVAFEAESRKRLASLRMWNVVDSGIKIADAIRGWLGRKDALDRMPFCGCAIGAVDGCLYVLGGFSRASALSCVWQYNPVLNSWNEVSSMSTGRAYCKTGILNNKLYVVGGVTRGHGGLTPLKSAEVFDPHTGIWSQLPSMPFSKAQILPTAFLADLLKPIATGMTSYRGRLFVPQSLYCWPFFVDVGGEVYDPDVNSWVEMPAGMGDGWPARQAGTKLSVTVDGELYALDPSNSPESVRIKVYDYQDDAWKVVVGEVPIPDFTDSDFPYLLSGLLGKLHVITKDANNNISVLQADVQNHSLDSSPGAHPESAEPATAFETDLWRVIATRTTGSSELVSCQALNI >KJB32006 pep chromosome:Graimondii2_0_v6:5:60169674:60172834:-1 gene:B456_005G218400 transcript:KJB32006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFLSLNNSRAGMSEPLDVSQNGTCKRQKLSSCLSEENSRLIPSLPDEISYQILARIPRINYLNVRLVSRAWKAAIMSTELFNTRKELGTTEEWLYILTKVEGDKLLWYALDPLSRRWQRLPQMPNVAFEAESRKRLASLRMWNVVDSGIKIADAIRGWLGRKDALDRMPFCGCAIGAVDGCLYVLGGFSRASALSCVWQYNPVLNSWNEVSSMSTGRAYCKTGILNNKLYVVGGVTRGHGGLTPLKSAEVFDPHTGIWSQLPSMPFSKAQILPTAFLADLLKPIATGMTSYRGRLFVPQSLYCWPFFVDVGGEVYDPDVNSWVEMPAGMGDGWPARQAGTKLSVTVDGELYALDPSNSPESVRIKVYDYQDDAWKVVVGEVPIPDFTDSDFPYLLSGLLGKLHVITKDANNNISVLQADVQNHSLDSSPGAHPESAEPATAFETDLWRVIATRTTGSSELVSCQALNI >KJB29087 pep chromosome:Graimondii2_0_v6:5:10299291:10303045:-1 gene:B456_005G083700 transcript:KJB29087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQQPFYNSTVQRVGTMSLVRSAEPATASCRNTNLYSIQDSSDSTGMAIRMFGSDKHKPVYVMDSYSSESYEKYFLDSPTDELIHSSSSGISGSSVRLQDVSSCQIRDYLEIQSPDTLDSDSDKMKLKLKELERALLADNDVDGDDDMFGTGLSMEVDGEWSDPIRMGSHHDSPKESSSSGTYLDSVSGNKEVSHVSSQTPKQMLIECAAILSEGHIEKASAIINELRQKVSIQGDPPQRIAAYMVEGLAARMAASGKCLYKALRCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEAFKGEKRVHIIDFDISQGSQYITLIQTIAKLPGKPPHLRLTGVDDPESVQRLNGGLEIVGLRLEKLAEILGVPFEFRAVPSRTSLVAPSMLDCKPGEALIVNFAFLLHHMPDESVSTINQRDQLLRMVKSMNPKLVTVVEQDVNTNTSPFFPRFIEAYSYYSAVFDSLDATLPRESQDRMNVERQCLARDIVNIIACEGEERIERYEVAGKWRARMIMAGFKSCPMSSNVIDTIQKLIKEYCDRYKLKEDVGALHFGWEDKSLIVASAWS >KJB29088 pep chromosome:Graimondii2_0_v6:5:10299291:10303050:-1 gene:B456_005G083700 transcript:KJB29088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRSAEPATASCRNTNLYSIQDSSDSTGMAIRMFGSDKHKPVYVMDSYSSESYEKYFLDSPTDELIHSSSSGISGSSVRLQDVSSCQIRDYLEIQSPDTLDSDSDKMKLKLKELERALLADNDVDGDDDMFGTGLSMEVDGEWSDPIRMGSHHDSPKESSSSGTYLDSVSGNKEVSHVSSQTPKQMLIECAAILSEGHIEKASAIINELRQKVSIQGDPPQRIAAYMVEGLAARMAASGKCLYKALRCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEAFKGEKRVHIIDFDISQGSQYITLIQTIAKLPGKPPHLRLTGVDDPESVQRLNGGLEIVGLRLEKLAEILGVPFEFRAVPSRTSLVAPSMLDCKPGEALIVNFAFLLHHMPDESVSTINQRDQLLRMVKSMNPKLVTVVEQDVNTNTSPFFPRFIEAYSYYSAVFDSLDATLPRESQDRMNVERQCLARDIVNIIACEGEERIERYEVAGKWRARMIMAGFKSCPMSSNVIDTIQKLIKEYCDRYKLKEDVGALHFGWEDKSLIVASAWS >KJB29091 pep chromosome:Graimondii2_0_v6:5:10299677:10302849:-1 gene:B456_005G083700 transcript:KJB29091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQQPFYNSTVQRVGTMSLVRSAEPATASCRNTNLYSIQDSSDSTGMAIRMFGSDKHKPVYVMDSYSSESYEKYFLDSPTDELIHSSSSGISGSSVRLQDVSSCQIRDYLEIQSPDTLDSDSDKMKLKLKELERALLADNDVDGDDDMFGTGLSMEVDGEWSDPIRMGSHHDSPKESSSSEGHIEKASAIINELRQKVSIQGDPPQRIAAYMVEGLAARMAASGKCLYKALRCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEAFKGEKRVHIIDFDISQGSQYITLIQTIAKLPGKPPHLRLTGVDDPESVQRLNGGLEIVGLRLEKLAEILGVPFEFRAVPSRTSLVAPSMLDCKPGEALIVNFAFLLHHMPDESVSTINQRDQLLRMVKSMNPKLVTVVEQDVNTNTSPFFPRFIEAYSYYSAVFDSLDATLPRESQDRMNVERQCLARDIVNIIACEGEERIERYEVAGKWRARMIMAGFKSCPMSSNVIDTIQKLIKEYCDRYKLKEDVGALHFGWEDKSLIVASAWS >KJB29093 pep chromosome:Graimondii2_0_v6:5:10299677:10302994:-1 gene:B456_005G083700 transcript:KJB29093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRSAEPATASCRNTNLYSIQDSSDSTGMAIRMFGSDKHKPVYVMDSYSSESYEKYFLDSPTDELIHSSSSGISGSSVRLQDVSSCQIRDYLEIQSPDTLDSDSDKMKLKLKELERALLADNDVDGDDDMFGTGLSMEVDGEWSDPIRMGSHHDSPKESSSSGTYLDSVSGNKEVSHVSSQTPKQMLIECAAILSEGHIEKASAIINELRQKVSIQGDPPQRIAAYMVEGLAARMAASGKCLYKALRCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEAFKGLEIVGLRLEKLAEILGVPFEFRAVPSRTSLVAPSMLDCKPGEALIVNFAFLLHHMPDESVSTINQRDQLLRMVKSMNPKLVTVVEQDVNTNTSPFFPRFIEAYSYYSAVFDSLDATLPRESQDRMNVERQCLARDIVNIIACEGEERIERYEVAGKWRARMIMAGFKSCPMSSNVIDTIQKLIKEYCDRYKLKEDVGALHFGWEDKSLIVASAWS >KJB29090 pep chromosome:Graimondii2_0_v6:5:10299677:10301853:-1 gene:B456_005G083700 transcript:KJB29090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRSAEPATASCRNTNLYSIQDSSDSTGMAIRMFGSDKHKPVYVMDSYSSESYEKYFLDSPTDELIHSSSSGISGSSVRLQDVSSCQIRDYLEIQSPDTLDSDSDKMKLKLKELERALLADNDVDGDDDMFGTGLSMEVDGEWSDPIRMGSHHDSPKESSSSGTYLDSVSGNKEVSHVSSQTPKQMLIECAAILSEGHIEKASAIINELRQKVSIQGDPPQRIAAYMVEGLAARMAASGKCLYKALRCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEAFKGEKRVHIIDFDISQGSQYITLIQTIAKLPGKPPHLRLTGVDDPESVQRLNGGLEIVGLRLEKLAEILGVPFEFRAVPSRTSLVAPSMLDCKPGEALIVNFAFLLHHMPDESVSTINQRDQLLRMVKSMNPKLVTVVEQDVNTNTSPFFPRFIEAYSYYSAVFDSLDATLPRESQDRMNVERQCLARDIVNIIACEGEERIERYEVAGKWRARMIMAGFKSCPMSSNVIDTIQKLIKEYCDRYKLKEDVGALHFGWEDKSLIVASAWS >KJB29089 pep chromosome:Graimondii2_0_v6:5:10299660:10303050:-1 gene:B456_005G083700 transcript:KJB29089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQQPFYNSTVQRVGTMSLVRSAEPATASCRNTNLYSIQDSSDSTGMAIRMFGSDKHKPVYVMDSYSSESYEKYFLDSPTDELIHSSSSGISGSSVRLQDVSSCQIRDYLEIQSPDTLDSDSDKMKLKLKELERALLADNDVDGDDDMFGTGLSMEVDGEWSDPIRMGSHHDSPKESSSSGTYLDSVSGNKEVSHVSSQTPKQMLIECAAILSEGHIEKASAIINELRQKVSIQGDPPQRIAAYMVEGLAARMAASGKCLYKALRCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEAFKGEKRVHIIDFDISQGSQYITLIQTIAKLPGKPPHLRLTGVDDPESVQRLNGGLEIVGLRLEKLAEILGVPFEFRAVPSRTSLVAPSMLDCKPGEALIVNFAFLLHHMPDESVSTINQRDQLLRMVKSMNPKLVTVVEQDVNTNTSPFFPRFIEAYSYYSAVFDSLDATLPRESQDRMNVERQCLARDIVNIIACEGEERIERYEVAGKWRARMIMAGFKSCPMSSNVIDTIQKLIKEYCDRYKLKEDVGALHFGWEDKSLIVASAWS >KJB29092 pep chromosome:Graimondii2_0_v6:5:10299849:10301279:-1 gene:B456_005G083700 transcript:KJB29092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLYCPIHFLLKDYLEIQSPDTLDSDSDKMKLKLKELERALLADNDVDGDDDMFGTGLSMEVDGEWSDPIRMGSHHDSPKESSSSGTYLDSVSGNKEVSHVSSQTPKQMLIECAAILSEGHIEKASAIINELRQKVSIQGDPPQRIAAYMVEGLAARMAASGKCLYKALRCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEAFKGEKRVHIIDFDISQGSQYITLIQTIAKLPGKPPHLRLTGVDDPESVQRLNGGLEIVGLRLEKLAEILGVPFEFRAVPSRTSLVAPSMLDCKPGEALIVNFAFLLHHMPDESVSTINQRDQLLRMVKSMNPKLVTVVEQDVNTNTSPFFPRFIEAYSYYSAVFDSLDATLPRESQDRMNVERQCLARDIVNIIACEGEERIERYEVAGKWRARMIMAGFKSCPMSSNVIDTIQKLIKEYCDRYKLKEDVGALHFGWEDKSLIVASAWS >KJB27920 pep chromosome:Graimondii2_0_v6:5:1243919:1245745:-1 gene:B456_005G017000 transcript:KJB27920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSNDYIHIVMVPFMAHGHLIPFLALAKQIHHQTGFNIAIANTPLNIQYLRSTLRGNPIPGIHFLELQFNSSDHGLPPNTENTENLSLDLIDNEEFNVPGFPDRCKFHVSQLHEFLRKADGTDLWSKFMQPQILSSFKSFGWLCNTVEEIEPFGLDLLRKYIKSPVWSIGPLLPKSWLINSSAPASVRQHAGKEPGISPEKCVQWLDMHSDDSVLYISFGSQNTISPSNMMELATGLEKAGKPFLWVIRPPLGFDLKAEFKPEWLPEGFEDRMSRNNQGLLVKNWAPQLEILSHRSTGAFLSHCGWNSTMESLSQGVKIIGWPLVAEQAYNSKMLVEEMGVAVELTRGVRSSISSDKVKEVIEMVMGKEGKGRDMKRKTQEIAEHIMAAVKDEGNEKGSSIKALDDFVSTITTARK >KJB31464 pep chromosome:Graimondii2_0_v6:5:56244697:56252581:1 gene:B456_005G192900 transcript:KJB31464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar-sorting protein BRO1 [Source:Projected from Arabidopsis thaliana (AT1G15130) UniProtKB/Swiss-Prot;Acc:F4HXZ1] MAAASSSSATVTNIMLAIYEKKTNSIDLYRPLRQYICFTYSEREAVNLEDDLSTVKTLRSDIERVPDPAPTTRRDLLISYFKALCLIETRFPISPDRDHINSIQFTWFDAFKQKQKAVQQNIHLEKAAILFNLGAVYSQIGLSYDRATVEGRRQASHAFIAAAGSFAFLRDNASTKASMGNSTTVDLSVECAGMLERLMLAQAQECVFENTIAKGSTPGVCAKISRQVGLYYEEALAALNAAPLKDHFEKAWIAHVQLKAALFYAEACYRYGLELHEKEEIAEEIARLRSGVSTLTEAKKSSKGAPAQLLDAISKLEVYLNRNLERAMKENDRVYLMRVPSPSSLPPLPAFSMVKSMQMNDVLDASKEKMFASLVPDSSAKALSRYTEMVDDVIRTQAEKLQQGSELTRVRLKEMDLPDSILALEGNFSLPEDLKNEVEKVQASGGPAGLEAELQQLRDLRRVNQELLVQTEELLQKEAAEDAQFRSQFGTRWTRPQSSTLTKSLQERLNKFAANLKQAGESDVRIERSVREHSSLMSILNHRPIEAALPSLSRPIMSLDANEDAIVGALKQSLRQLETLGAQRAGLEDMLKEMKRKDDILPKLMTSTGSYEDLFRKEIAKYDHICEEIAQNIEAQEQLLMQIQVQNEEFGAVFNLEDYKASREKCYKQIQAALAKCKEIKENINEGLKFYVTLQDAINNIKQQCSDFVMTRNIQCREMMEDVQRQMAGFNFQDRESTGPYPSVGQPRQNPRSSTQQLTESQSISHPSPQTPYYRPPEQPMHGYGHPPPPYTAPQQPSPYHVPPSTVAPYPPPHAQQHAPVGHEYGQPAYPGWRGPYYNAPAEQSGSHPRPPYTIPNPYHPHQSGYYKQ >KJB30181 pep chromosome:Graimondii2_0_v6:5:33124945:33125454:1 gene:B456_005G132700 transcript:KJB30181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLIFAAHQMVMFSATWPAAVHRLAQEYMDPNPVKVVISSEDLAANHDVMQIVEVLDDRARYERLAAFKISLHWLNRMGSI >KJB31806 pep chromosome:Graimondii2_0_v6:5:59025749:59027170:1 gene:B456_005G209200 transcript:KJB31806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U1-1 [Source:Projected from Arabidopsis thaliana (AT3G21870) UniProtKB/Swiss-Prot;Acc:Q9LJ45] MLATGDYPTHPIRQPDPIQADTTPTPRVLTIISSVLEKLVARNDKLVEVLTEQPDGLNLDRNGTISAPLGKSLNAFHGVRAPNISIPNYLERIYKYTNCSPSCFVLGYVYIDRLAHKHPDSLLISLNVHRLLVTCVMVASKVLDDVHYNNAFYARVGGVSNAELNRLELELLFLLDFGVAVSSQVFETYCFHLEQEMLINGVDQKVERVISPVAVDEIVTDIG >KJB32241 pep chromosome:Graimondii2_0_v6:5:61384764:61390241:1 gene:B456_005G231400 transcript:KJB32241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESESASDKPRPWQSYHTVYTNAKAGMDGVDKEKVQRVVYEMSKGSKYFENEERKEALLRQKIEHMRARAAKLSAADLSHYQKVADKRILELDSTRDLSRIWLHVDMDAFYAAVETLSNPSLKGKPMAVGSMSMISTANYEARKFGVRAAMPGFIALELCPDLIFVPTDFKKYTYYSDLTRKVFWDYDPNFMAASLDEAYLDITKVCEERSITGAEIAEELRSRVHEETGLTCSVGVAPNRLLAKVCSDINKPNGQFVLPNDRMAVMTFISSLPIRKIGGIGKVTENILKGVFGISTCEEMLQKGSFLCALFSHSSSVSCVNFTCQNLVISVEXSISSERTFSATEDAALLYQKLEMLSADMQKEGLSGRTLTLKLKTASFEVRTRAVTLQKYISSSDDILKHASNLLKAELPISLRLIGLRVSHFNEDKGGVPGDPTQKTLTTFFKSGDASRRTGDDQRSLGSDLSDLHFISEKETAISLDNNETCHHEFGDPFERKHLSDIDDKNCISSINACEMEKIELSSNKTAAMVNTADVKERTTKSPKRDLSRVSEDDSSVQREPEDSNPDRLNKEPSTSGNEEFFSLNQMEMLFWVDDYKCSLCGAELPSSFVEERQEHSDFHLAERLQEEESGTGSSSLIPRLRIVPKDCSGSPNRRKKHKSSPKQGMHLPIDSFFTKKSSGQL >KJB32240 pep chromosome:Graimondii2_0_v6:5:61384764:61390164:1 gene:B456_005G231400 transcript:KJB32240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESESASDKPRPWQSYHTVYTNAKAGMDGVDKEKVQRVVYEMSKGSKYFENEERKEALLRQKIEHMRARAAKLSAADLSHYQKVADKRILELDSTRDLSRIWLHVDMDAFYAAVETLSNPSLKGKPMAVGSMSMISTANYEARKFGVRAAMPGFIALELCPDLIFVPTDFKKYTYYSDLTRKVFWDYDPNFMAASLDEAYLDITKVCEERSITGAEIAEELRSRVHEETGLTCSVGVAPNRLLAKVCSDINKPNGQFVLPNDRMAVMTFISSLPIRKIGGIGKVTENILKGVFGISTCEEMLQKGSFLCALFSHSSSVSCVNFTCQNLVISVEXSISSERTFSATEDAALLYQKLADIAEMLSADMQKEGLSGRTLTLKLKTASFEVRTRAVTLQKYISSSDDILKHASNLLKAELPISLRLIGLRVSHFNEDKGGVPGDPTQKTLTTFFKSGDASRRTGDDQRSLGSDLSDLHFISEKETAISLDNNETCHHEFGDPFERKHLSDIDDKNCISSINACEMEKIELSSNKTAAMVNTADVKERTTKSPKRDLSRVSEDDSSVQREPEDSNPDRLNKEPSTSGNEEFFSLNQMEMLFWVDDYKCSLCGAELPSSFVEERQEHSDFHLAERLQEEESGTGSSSLIPRLRIVPKDCSGSPNRRKKHKSSPKQGMHLPIDSFFTKKSSGQL >KJB30958 pep chromosome:Graimondii2_0_v6:5:49663280:49664587:-1 gene:B456_005G169600 transcript:KJB30958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCRRRPFYTWGVSAINISHKAYIKAQALSEPLGLMAKWLLTISLNMVSCTLLCLQQFWLAIFFFVDDCILALENVVERVFPPSKHVFDKVDEIVQTIETLPGKFDDILDEFPVIIKQVPLLEWGLSLVISWLKLLTSILTRWGSRNTKEKEIAVDTAYEEVINGDVPVAETEHNEAKLPTESPNIRHDDNKKLVVDTTYNEVNGGVSIARTDRNKAKPSTESVNVRHDDNKKLVIGKVYNEVNGGVSIAETKPNEVKLSIKSLDVGHYDKNKLVVDTTYNEVINGDVLVAEPEDIEAKLPKESFNVGHDDDGKFDIDIAYNEVINGGISVAETKQNEAKLSREYFNVGHDDDGKFDIDIAYNEVINGGISVAETEQNEAKLPKESFNVGQDDDGKFDINAAYNEVINDGVSVVETKQNEAKLSREYFNVGHDDDG >KJB28274 pep chromosome:Graimondii2_0_v6:5:3818655:3823464:-1 gene:B456_005G040200 transcript:KJB28274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPQCCSNPPTLDSASGAGHVENLAGLNTYVSGSLQSKLAVFLISDIFGYEAPNFRKLADKVAAAGFYVVAPDFFYGEPYDPNNPDRPLSVWIKDHGPDKGYEDAKLVIDALKSKGVSSIGAAGFCWAKVVAELAKDPLIQAAVMLHPSFVIVDDIKSVKVPIAILGAEHDHMSPPELLKQFDEILKASEVDSYVKIFPKVAHGWSVRYDVNDLAAVSSANEAQQDMLEWFAKYVK >KJB28273 pep chromosome:Graimondii2_0_v6:5:3818607:3823581:-1 gene:B456_005G040200 transcript:KJB28273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPQCCSNPPTLDSASGAGHVENLAGLNTYVSGSLQSKLAVFLISDIFGYEAPNFRKLADKVAAAGFYVVAPDFFYGEPYDPNNPDRPLSVWIKDHGPDKGYEDAKLVIDALKSKGVSSIGAAGFCWGAKVVAELAKDPLIQAAVMLHPSFVIVDDIKSVKVPIAILGAEHDHMSPPELLKQFDEILKASEVDSYVKIFPKVAHGWSVRYDVNDLAAVSSANEAQQDMLEWFAKYVK >KJB29407 pep chromosome:Graimondii2_0_v6:5:15339903:15348900:1 gene:B456_005G098700 transcript:KJB29407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFYQWDANNLLSYILRKESETKQKLYGSAFLKQERSGSSEDDWRTSKLAKFDDISASKAVLSQHRNTLFRSNTSIFFDGQQQQQMLSFSAPKSEALSMGRSSQNVIFPYFHLTSPACTRNTGYNTGGFNGANMNGGPFTSSQWMELEHQALIYKYMTANVPIPSTLLIPIKKALDSAGFSSFSGGLLRPNTSVGWGAFHLGFSNNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQSGCSTASTTTTTTKLMHSVSSSSASVVGPNGGNGESNSLTIAQQQFKNLQPAGESNLSHSGPLNRWLLNKESIGERMHDTAHGNEFGVVSSESLLNPSHKSSSLVKCINFDCSQDLDSQETESHRSVRQFFDAWPKTQSDCSSIFLPEADVQSDRTQLSISMPMALSDFMSSTSSPNNEDVTHSPLQLTRELDPIQMGLEVGSVGNETNWRQANWIPISRETSVGGPLGEVLHSTNSSSAECKNSSAVNLMTEKCDHSPRLGSSPTGVLQKTMFGSHSNSSAGSSPRAENNKNDLLGSTIVHSSSLPAL >KJB29408 pep chromosome:Graimondii2_0_v6:5:15345705:15348850:1 gene:B456_005G098700 transcript:KJB29408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGVVGLEGIVGSETSNGFTLVASESETKQKLYGSAFLKQERSGSSEDDWRTSKLAKFDDISASKAVLSQHRNTLFRSNTSIFFDGQQQQQMLSFSAPKSEALSMGRSSQNVIFPYFHLTSPACTRNTGYNTGGFNGANMNGGPFTSSQWMELEHQALIYKYMTANVPIPSTLLIPIKKALDSAGFSSFSGGLLRPNTSVGWGAFHLGFSNNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQSGCSTASTTTTTTKLMHSVSSSSASVVGPNGGNGESNSLTIAQQQFKNLQPAGESNLSHSGPLNRWLLNKESIGERMHDTAHGNEFGVVSSESLLNPSHKSSSLVKCINFDCSQDLDSQETESHRSVRQFFDAWPKTQSDCSSIFLPEADVQSDRTQLSISMPMALSDFMSSTSSPNNEDVTHSPLQLTRELDPIQMGLEVGSVGNETNWRQANWIPISRETSVGGPLGEVLHSTNSSSAECKNSSAVNLMTEKCDHSPRLGSSPTGVLQKTMFGSHSNSSAGSSPRAENNKNDLLGSTIVHSSSLPAL >KJB30949 pep chromosome:Graimondii2_0_v6:5:49567314:49567693:-1 gene:B456_005G169200 transcript:KJB30949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVLTSTRSDDGVLARFFLFFFFSLSSERGQNMDSTGMLGLGVVILISSRLAFFFRLLVPVCCCFVVFTFQFLCSFTLAPLLLLYRGLFALCLLLLMKPSF >KJB31659 pep chromosome:Graimondii2_0_v6:5:59315620:59319891:1 gene:B456_005G211900 transcript:KJB31659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSETAATNGSLMDPQRHQAPAANGSLAVKKPPTKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVSLRGANSTSLSSTTSSSLDHKPLLGPTPFILGKRVRSDDDNAGKDDSGGLTVGPGVGSIVGPNGTHPGGFWALPARPDFGQLWSFAAPPPPDMVVQAAAQQPAAAAALFVQQQQAMGEASAARVGNYLPGHLNLLASLSGAPGGSGRRDEDPH >KJB31658 pep chromosome:Graimondii2_0_v6:5:59315840:59318129:1 gene:B456_005G211900 transcript:KJB31658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSETAATNGSLMDPQRHQAPAANGSLAVKKPPTKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVSLRGANSTSLSSTTSSSLDHKPLLGPTPFILGKRVRSDDDNAGKDDSGGLTVGPGVGSIVGPNGTHPGGFWALPARPDFGQLWSFAAPPPPDMVVQAAAQQPAAAAALFVQQQQAMGEASAARVGNYLPGHLNLLASLSGAPGGSGRRDEDPH >KJB31660 pep chromosome:Graimondii2_0_v6:5:59315680:59319874:1 gene:B456_005G211900 transcript:KJB31660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSETAATNGSLMDPQRHQAPAANGSLAVKKPPTKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVSLRGANSTSLSSTTSSSLDHKPLLGPTPFILGKRVRSDDDNAGKDDSGGLTVGPGVGSIVGPNGTHPGGFWALPARPDFGQLWSFAAPPPPDMVVQAAAQQPAAAAALFVQQQQAMGEASAARVGNYLPGHLNLLASLSGAPGGSGRRDEDPH >KJB32746 pep chromosome:Graimondii2_0_v6:5:63495515:63496069:-1 gene:B456_005G259300 transcript:KJB32746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASALVFSNALVILLIFSSCTSVHSDDNVHVSIKNRLGNGKNLTLHCQSKDTDLGEQNVADGSEYGWDFTPNVWGTTLYYCGMGWEEVQQYNYDAYSFQRDFVRCVSQCSWLISAEGIYGLNGNTGFWEFAYNWSS >KJB28356 pep chromosome:Graimondii2_0_v6:5:4205126:4207023:-1 gene:B456_005G043900 transcript:KJB28356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCKPYSRLVLALAMALVAATVPVYGQRTINTPCTPSALNIFTPCMNLLTNSSANGTSPTADCCNSLKTLTSSGMDCLCLIVTGSVPFRLPINRTLAISLPRACSMPGVPLQCKAAVGAPVPAPGPISLAPTLSPGTSPTLSPKGSIVPEPTGPAEAPESNTIPTATTGSRPVLNPTASAADRSYGFSPSLVLLTLGFAVFKYY >KJB30032 pep chromosome:Graimondii2_0_v6:5:29597876:29607347:1 gene:B456_005G128200 transcript:KJB30032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSDPEGVDGVRMTWNVWPRTKLEASKCVIPLAASIAPIPPHSDIPTLPYAPLRCRTCSAALNAYSRVDFLAKIWICPFCYQRNQFPPHYAMISETNLPCELYPQYTTVQYTLQTNPDPNNPSNAPQLQPVFVFVLDTCMIEEELVFAKSALKQAIGLLPEQALVGFVSFGTQAHVHELGFTEMSKVYVFKGSKEISKEQVLEQLGLPAAGRRSTAGYPKGLQNGFTNTVVNRFLLPASDCEFTLNSLLDELQTDQWPVQAGHRASRCTGVALSVAAGLLGACLPGTGARIIALVGGPCTEGPGTIVSKDLSEPVRSHKDLFKDAAPYFKKAVKFYDSLAKQLVDQGHVLDLFASALDQVGVAEMKVAIERTGGLVVLAESFGHSVFKDSFKLVFEDGEQSLGLCFNGMLEISCSKEIKVQGIIGPCTSLEKKGPNVSDMVIGEGNTTTWKMCGLDKSTCLTVIFDLSSTDQSNVPETVNPQFYLQFLTSYQDPEGKTLIRVTTVTRQWVDISVSTEELIHGFDQETAAVVMARITSLKMETEEGFDATRWLDRNLIRFCSKFGNYRENDPSSFSLNPCFSLFPQFIFNLRRSQFVQVFNNSPDETAYFRVLLNKENITNAAVMVQPSLISYSFNSPPQPALLDVASISADHILLLDSYFSIVVFHGMTIAQWRNMGYQNQPEHQAFALLLEAPQVDAQIIIRDRFPVPRLVVCDQHGSQARFLLAKLNPSATYNNATDIAPGSDIIFTDDVSLQVFCEHLQRLAVQS >KJB30034 pep chromosome:Graimondii2_0_v6:5:29597974:29607164:1 gene:B456_005G128200 transcript:KJB30034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSDPEGVDGVRMTWNVWPRTKLEASKCVIPLAASIAPIPPHSDIPTLPYAPLRCRTCSAALNAYSRVDFLAKIWICPFCYQRNQFPPHYAMISETNLPCELYPQYTTVQYTLQTNPDPNNPSNAPQLQPVFVFVLDTCMIEEELVFAKSALKQAIGLLPEQALVGFVSFGTQAHVHELGFTEMSKVYVFKGSKEISKEQVLEQLGLPAAGRRSTAGYPKGLQNGFTNTVVNRFLLPASDCEFTLNSLLDELQTDQWPVQAGHRASRCTGVALSVAAGLLGACLPGTGARIIALVGGPCTEGPGTIVSKDLSEPVRSHKDLFKDAAPYFKKAVKFYDSLAKQLVDQGHVLDLFASALDQVGVAEMKVAIERTGGLVVLAESFGHSVFKDSFKLVFEDGEQSLGLCFNGMLEISCSKEIKVQGIIGPCTSLEKKGPNVSDMVIGEGNTTTWKMCGLDKSTCLTVIFDLSSTDQSNVPETVNPQFYLQFLTSYQDPEGKTLIRVTTVTRQWVDISVSTEELIHGFDQETAAVVMARITSLKMETEEGFDATRWLDRNLIRFCSKFGNYRENDPSSFSLNPCFSLFPQFIFNLRRSQFVQVFNNSPDETAYFRVLLNKENITNAAYCCFPWYDNSTVAQHGLPEST >KJB30033 pep chromosome:Graimondii2_0_v6:5:29597974:29602410:1 gene:B456_005G128200 transcript:KJB30033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSDPEGVDGVRMTWNVWPRTKLEASKCVIPLAASIAPIPPHSDIPTLPYAPLRCRTCSAALNAYSRVDFLAKIWICPFCYQRNQFPPHYAMISETNLPCELYPQYTTVQYTLQTNPDPNNPSNAPQLQPVFVFVLDTCMIEEELVFAKSALKQAIGLLPEQALVGFVSFGTQAHVHELGFTEMSKVYVFKGSKEISKEQVLEQLGLPAAGRRSTAGYPKGLQNGFTNTVVNRFLLPASDCEFTLNSLLDELQTDQWPVQAGHRASRCTGVALSVAAGLLGACLPGTGARIIALVGGPCTEGPGTIVSKDLSEPVRSHKDLFKDAAPYFKKAVKFYDSLAKQLVDQGHVLDLFASALDQVGVAEMKVAIERTGGLVVLAESFGHSVFKDSFKLVFEDGEQSLGLCFNGMLEISCSKEIKVQGIIGPCTSLEKKGPNVSDMVIGEGNTTTWKMCGLDKSTCLTVIFDLSSTDQSNVPETVNPQFYLQFLTRFAA >KJB30892 pep chromosome:Graimondii2_0_v6:5:48962500:48968201:1 gene:B456_005G166500 transcript:KJB30892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNAKTPLQWEWENLMMLNATPTEIPRKLRSAEWDIEGEEELDSGSLYSSGAAAGGSGGSGSDLGLISLSKSSKSASINSSSAGEVKVTTFTLEAFEAIPHEISNKKKVSKVEHTGSSPIFEASVGSGEPLLSLKLGKQTYFEDVCTGSNSKTSSYSATPGPSPSPAKRSKPNCQTKHILRCQVEGCNLDLSSAKDYHRKHRVCESHSKSPKVIVGGLELRFCQQCSRFHALSEFDEKKRSCRRRLSDHNARRRKPQTDAIHFSAARPSQAAYDGKQLMSFVWNKVPFLHNARPNENFTREGTFVSKSSETKVYAPTKAGNANGQLQLPGSQLLNSMTSRCYDSNRFLPVKGKQNTVEVLNQGVEESTVASNMGTTQDLHRALSLLSNESWVSCEPKQHGSLAYPVHVSGSSMSQPTMNVISQSFPIPYALSENWQMEQEQTTQSQVEGDNHLQEFQLLKAPYDNSFYSNQMN >KJB30893 pep chromosome:Graimondii2_0_v6:5:48961969:48968201:1 gene:B456_005G166500 transcript:KJB30893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNAKTPLQWEWENLMMLNATPTEIPRKLRSAEWDIEGEEELDSGSLYSSGAAAGGSGGSGSDLGLISLSKSSKSASINSSSAGEVKVTTFTLEAFEAIPHEISNKKKVSKVEHTGSSPIFEASVGSGEPLLSLKLGKQTYFEDVCTGSNSKTSSYSATPGPSPSPAKRSKPNCQTKHILRCQVEGCNLDLSSAKDYHRKHRVCESHSKSPKVIVGGLELRFCQQCSRFHALSEFDEKKRSCRRRLSDHNARRRKPQTDAIHFSAARPSQAAYDGKQLMSFVWNKVPFLHNARPNENFTREGTFVSKSSETKVYAPTKAGNANGQLQLPGSQLLNSMTSRCYDSNRFLPVKGKQNTVEVLNQGVEESTVASNMGTTQDLHRALSLLSNESWVSCEPKQHGSLAYPVHVSGSSMSQPTMNVISQSFPIPYALSENWQMEQEQTTQSQVEGDNHLQEFQLLKAPYDNSFYSNQMN >KJB30596 pep chromosome:Graimondii2_0_v6:5:41909574:41910413:-1 gene:B456_005G150900 transcript:KJB30596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFLYFVCLQFVSVVYPIWLCPHRLFKLPIKTMVYPEPGFKHHCRQGDTSYAQMFTNMGPVLRGEVFDGAKAVSKMEQWLIENHSFQPQYAVSELK >KJB29614 pep chromosome:Graimondii2_0_v6:5:20168955:20169170:-1 gene:B456_005G110300 transcript:KJB29614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQTVEGNSRSGTRRTIVGDFLKPLNSEYGKVAPGWGTTPFMGVAMALFAIFLSIILEIYSSSVLLMEFQ >KJB30945 pep chromosome:Graimondii2_0_v6:5:49520760:49522156:1 gene:B456_005G168800 transcript:KJB30945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSFLDLSSNCLSGTIPRSLQCLRKLVILDLSKNNLSVLQNCSRLFSIDLGENRFSGTIPDLVSAHLFSLTYLGLRANFLRGNIPEQLCEFPSLHIIDLAQNKLSGTIPKCLGNLKTFTYLGPYFDELPSTLHIEFREHVEIVSKGRQTEYTKIIPLLNVIDLSADDLKGEIPDHITKLSALFTLNLSWNHLSGKIPENIGNLQRLESLDLSHNNLSGPIPPSMISMTFLNYLNLSFNKLWGQIPTGNSSKLSMILVYMKETQSFAVLHYQAVVQRRPMEMEKMKMETQKVKWRSLGFM >KJB29886 pep chromosome:Graimondii2_0_v6:5:26474482:26479628:1 gene:B456_005G122400 transcript:KJB29886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKFGVLICVWIMLLGNCLGRFVVEENSLKVTSPGSIKGVYECAIGNFGVPQYGGTLVGTVVYPKANQGACKIFDEFDISFKSKPGGLPTFLLVNRGDCFFTLKAWNAQKAGAAAVLVADNQDESLITMDTPEEKNASAKYLQNITIPSALISKSLGDSLKKAITFGEMVKISLDWTESLPHPDERVEYEFWTNSNDECGPKCDSQMEFVKNFKGAAQVLEQKGYTQFIPHYITWYCPEAFLFSEQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACFFKVANESRKPWLWWDYVTDFALRCPMKEKKYTKDCADKVIQSLGVDLTKIDKCIGDIEADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFQETTEPTICLSKDIETNECLENNGGCWEDKTANITACRDTFRGRVCECPIVNGVKFSGDGYTHCEASGALQCEFNNGGCWRETQEGKTYSACLDDHSHGCKCPPGFKGDGVNGCEDVDECKEKLACQCPGCKCKNTWGSYECSCCDGSLYMREHDMCINAGKNGKTEVSSGFIWAIILGLVVAGAVGYAIYKYRIRRYMDSEIRAIMAQYMPLDNQPSNIHHPDI >KJB29887 pep chromosome:Graimondii2_0_v6:5:26474615:26479611:1 gene:B456_005G122400 transcript:KJB29887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKFGVLICVWIMLLGNCLGRFVVEENSLKVTSPGSIKGVYECAIGNFGVPQYGGTLVGTVVYPKANQGACKIFDEFDISFKSKPGGLPTFLLVNRGDCFFTLKAWNAQKAGAAAVLVADNQDESLITMDTPEEKNASAKYLQNITIPSALISKSLGDSLKKAITFGEMVKISLDWTESLPHPDERVEYEFWTNSNDECGPKCDSQMEFVKNFKGAAQVLEQKGYTQFIPHYITWYCPEAFLFSEQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACFFKVANESRKPWLWWDYVTDFALRCPMKEKKYTKDCADKVIQSLGVDLTKIDKCIGDIEADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFQETTEPTICLNIETNECLENNGGCWEDKTANITACRDTFRGRVCECPIVNGVKFSGDGYTHCEASGALQCEFNNGGCWRETQEGKTYSACLDDHSHGCKCPPGFKGDGVNGCEDVDECKEKLACQCPGCKCKNTWGSYECSCCDGSLYMREHDMCINAGKNGKTEVSSGFIWAIILGLVVAGAVGYAIYKYRIRRYMDSEIRAIMAQYMPLDNQPSNIHHPDI >KJB29888 pep chromosome:Graimondii2_0_v6:5:26474615:26479611:1 gene:B456_005G122400 transcript:KJB29888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKFGVLICVWIMLLGNCLGRFVVEENSLKVTSPGSIKGVYECAIGNFGVPQYGGTLVGTVVYPKANQGACKIFDEFDISFKSKPGGLPTFLLVNRGDCFFTLKAWNAQKAGAAAVLVADNQDESLITMDTPEEKNASAKYLQNITIPSALISKSLGDSLKKAITFGEMVKISLDWTESLPHPDERVEYEFWTNSNDECGPKCDSQMEFVKNFKGAAQVLEQKGYTQFIPHYITWYCPEAFLFSEQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACFFKVANESRKPWLWWDYVTDFALRCPMKEKKYTKDCADKVIQSLGVDLTKIDKCIGDIEADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFQETTEPTICLSKDIETNECLENNGGCWEDKTANITACRDTFRGRVCECPIVNGVKFSGDGYTHCEASGALQCEFNNGGCWRETQEGKTYSACLDDHSHGCKCPPGFKGDGVNGCEDVDECKEKLACQCPGCKCKNTWGSYECSCCDGSLYMREHDMCISKNGKTEVSSGFIWAIILGLVVAGAVGYAIYKYRIRRYMDSEIRAIMAQYMPLDNQPSNIHHPDI >KJB30932 pep chromosome:Graimondii2_0_v6:5:49365402:49369528:-1 gene:B456_005G168400 transcript:KJB30932 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 8 [Source:Projected from Arabidopsis thaliana (AT1G27920) UniProtKB/Swiss-Prot;Acc:Q9C7G0] MVWLLIKELKPKSSTLQSKRSSQSIQLFSSFKSPSGSHHRYTERMGSFQAPPGMRSSALLETSCGYLLQELQMIWNEVGEDQSEREKVLLELEQECLEVYRRKVDRANMSRARLHQELAESEAEFTHLLLSLGERSLPGRPEKMSGTIKQQLDSITPALQEMRLRKEERVNQFRAVQGQIQKISAEIAGQSEYDDSITNVKVNENDLSLKKLEEYQNELQRLHNEKNNRLQQVEKYIAAVHNLSATLGMESSMIITKVHPSLNELCGISKNISDGILAKLNSTVESLQEEKQKRLEKLHQLGKALKNLWSLMDTSYGDRYLFSHVIDQLSVSSAEVSDPGSLTLDIIQQAEAEVKRLDQLKASKMKELFLKKQNELEEICNKSHMEIPSRSEMENILNLINTGEIDYAELLMSIDEQISRAKEEASSRKSIMEKVEKWILARDEERWLQEYSMDENRYSVSRGAHRNLRRAERARVTVNKIPALVDSLMAKTKSWEEERRKVFLYDEVPLLAMLEEYNLSRQEREEEKQRQRMKKVQSQVVVEQENFTTSRPSTSNRRLSNKSLNGGFGNASPLNRRLSLSIQQLGSNGKSSVALGTSFIKEGKKEQGKRIFPRPNFTSQLRDETASVVSTFSGPLSP >KJB30930 pep chromosome:Graimondii2_0_v6:5:49365402:49369474:-1 gene:B456_005G168400 transcript:KJB30930 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 8 [Source:Projected from Arabidopsis thaliana (AT1G27920) UniProtKB/Swiss-Prot;Acc:Q9C7G0] MVWLLIKELKPKSSTLQSKRSSQSIQLFSSFKSPSGSHHRYTERMGSFQAPPGMRSSALLETSCGYLLQELQMIWNEVGEDQSEREKVLLELEQECLEVYRRKVDRANMSRARLHQELAESEAEFTHLLLSLGERSLPGRPEKMSGTIKQQLDSITPALQEMRLRKEERVNQFRAVQGQIQKISAEIAGQSEYDDSITNVKVNENDLSLKKLEEYQNELQRLHNEKNNRLQQVEKYIAAVHNLSATLGMESSMIITKVHPSLNELCGISKNISDGILAKLNSTVESLQEEKQKRLEKLHQLGKALKNLWSLMDTSYGDRYLFSHVIDQLSVSSAEVSDPGSLTLDIIQQAEAEVKRLDQLKASKMKELFLKKQNELEEICNKSHMEIPSRSEMENILNLINTGEIDYAELLMSIDEQISRAKEEASSRKSIMEKVEKWILARDEERWLQEYSMDENRYSVSRGAHRNLRRAERARVTVNKIPALVDSLMAKTKSWEEERRKVFLYDEVPLLAMLEEYNLSRQEREEEKQRQRQMKKVQSQVVVEQENFTTSRPSTSNRRLSNKSLNGGFGNASPLNRRLSLSIQQLGSNGKSSVALGTSFIKEGKKEQGKRIFPRPNFTSQLRDETASVVSTFSGPLSP >KJB30931 pep chromosome:Graimondii2_0_v6:5:49365960:49369356:-1 gene:B456_005G168400 transcript:KJB30931 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 8 [Source:Projected from Arabidopsis thaliana (AT1G27920) UniProtKB/Swiss-Prot;Acc:Q9C7G0] MVWLLIKELKPKSSTLQSKRSSQSIQLFSSFKSPSGSHHRYTERMGSFQAPPGMRSSALLETSCGYLLQELQMIWNEVGEDQSEREKVLLELEQECLEVYRRKVDRANMSRARLHQELAESEAEFTHLLLSLGERSLPGRPEKMSGTIKQQLDSITPALQEMRLRKEERVNQFRAVQGQIQKISAEIAGQSEYDDSITNVKVNENDLSLKKLEEYQNELQRLHNEKNNRLQQVEKYIAAVHNLSATLGMESSMIITKVHPSLNELCGISKNISDGILAKLNSTVESLQEEKQKRLEKLHQLGKALKNLWSLMDTSYGDRYLFSHVIDQLSVSSAEVSDPGSLTLDIIQQAEAEVKRLDQLKASKMKELFLKKQNELEEICNKSHMEIPSRSEMENILNLINTGEIDYAELLMSIDEQISRAKEEASSRKSIMEKVEKWILARDEERWLQEYSMDENRYSVSRGAHRNLRRAERARVTVNKIPALVDSLMAKTKSWEEERRKVFLYDEVPLLAMLEEYNLSRQEREEEKQRQRVSIIPCATNGRIQHL >KJB30929 pep chromosome:Graimondii2_0_v6:5:49365402:49369474:-1 gene:B456_005G168400 transcript:KJB30929 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 8 [Source:Projected from Arabidopsis thaliana (AT1G27920) UniProtKB/Swiss-Prot;Acc:Q9C7G0] MTSYLMSLQPEKMSGTIKQQLDSITPALQEMRLRKEERVNQFRAVQGQIQKISAEIAGQSEYDDSITNVKVNENDLSLKKLEEYQNELQRLHNEKNNRLQQVEKYIAAVHNLSATLGMESSMIITKVHPSLNELCGISKNISDGILAKLNSTVESLQEEKQKRLEKLHQLGKALKNLWSLMDTSYGDRYLFSHVIDQLSVSSAEVSDPGSLTLDIIQQAEAEVKRLDQLKASKMKELFLKKQNELEEICNKSHMEIPSRSEMENILNLINTGEIDYAELLMSIDEQISRAKEEASSRKSIMEKVEKWILARDEERWLQEYSMDENRYSVSRGAHRNLRRAERARVTVNKIPALVDSLMAKTKSWEEERRKVFLYDEVPLLAMLEEYNLSRQEREEEKQRQRMKKVQSQVVVEQENFTTSRPSTSNRRLSNKSLNGGFGNASPLNRRLSLSIQQLGSNGKSSVALGTSFIKEGKKEQGKRIFPRPNFTSQLRDETASVVSTFSGPLSP >KJB31779 pep chromosome:Graimondii2_0_v6:5:58867176:58869852:-1 gene:B456_005G208300 transcript:KJB31779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLFKMPVVLGFFVGLMMLGLASSAEFHELFQPGWANDHFIYEGELLKLKLDNFSGAGFASKSRYLFGKVSMQIKLVEGDSAGTVTAYYMSSEGPYHNEFDFEFLGNTTGEPYLLQTNVYVNGVGNREQRMNLWFDPTKDFHSYSLLWNQRQVVFLVDETPIRVHTNMEHKGIPFPKDQAMGVYSSIWNADDWATQGGLVKTDWSHAPFVASYKGFEIDACECPVSVTADEIAKKCSSSAEKRFWWDEPTMSELSLHQSHQLVWVRANHLVYDYCTDTARFPIKPVECEHHRH >KJB31777 pep chromosome:Graimondii2_0_v6:5:58867571:58868751:-1 gene:B456_005G208300 transcript:KJB31777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQMSSEGPYHNEFDFEFLGNTTGEPYLLQTNVYVNGVGNREQRMNLWFDPTKDFHSYSLLWNQRQVVFLVDETPIRVHTNMEHKGIPFPKDQAMGVYSSIWNADDWATQGGLVKTDWSHAPFVASYKGFEIDACECPVSVTADEIAKKCSSSAEKRFWWDEPTMSELSLHQSHQLVWVRANHLVYDYCTDTARFPIKPVECEHHRH >KJB31776 pep chromosome:Graimondii2_0_v6:5:58867571:58868751:-1 gene:B456_005G208300 transcript:KJB31776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQMSSEGPYHNEFDFEFLGNTTGEPYLLQTNVYVNGVGNREQRMNLWFDPTKDFHSYSLLWNQRQVVFLVDETPIRVHTNMEHKGIPFPKDQAMGVYSSIWNADDWATQGGLVKTDWSHAPFVASYKGFEIDACECPVSVTADEIAKKCSSSAEKRFWWDEPTMSELSLHQSHQLVWVRANHLVYDYCTDTARFPIKPVECEHHRH >KJB31775 pep chromosome:Graimondii2_0_v6:5:58867176:58869757:-1 gene:B456_005G208300 transcript:KJB31775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEGPYHNEFDFEFLGNTTGEPYLLQTNVYVNGVGNREQRMNLWFDPTKDFHSYSLLWNQRQVVFLVDETPIRVHTNMEHKGIPFPKDQAMGVYSSIWNADDWATQGGLVKTDWSHAPFVASYKGFEIDACECPVSVTADEIAKKCSSSAEKRFWWDEPTMSELSLHQSHQLVWVRANHLVYDYCTDTARFPIKPVECEHHRH >KJB31778 pep chromosome:Graimondii2_0_v6:5:58867176:58869757:-1 gene:B456_005G208300 transcript:KJB31778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIKLVEGDSAGTVTAYYMSSEGPYHNEFDFEFLGNTTGEPYLLQTNVYVNGVGNREQRMNLWFDPTKDFHSYSLLWNQRQVVFLVDETPIRVHTNMEHKGIPFPKDQAMGVYSSIWNADDWATQGGLVKTDWSHAPFVASYKGFEIDACECPVSVTADEIAKKCSSSAEKRFWWDEPTMSELSLHQSHQLVWVRANHLVYDYCTDTARFPIKPVECEHHRH >KJB30449 pep chromosome:Graimondii2_0_v6:5:39190835:39193232:-1 gene:B456_005G144600 transcript:KJB30449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKRPFDSEELQELPFKHPRQFDNNNKLTQFANTISHSYTHQNPHISVDVEGGFCKCQWDEAFETGGLNDERPSVDKDFETSAPLSLITSISSEEDVDTGPAAISPISPEYFDFDFPRRTLGPVEDAYSLLLDRSPRKQVPLGPNHQANVPSLGRHIKKDKFVQNCASDTNDIGYEEIMMGTCVIPMPDSDLSANDSGKVGAGRTDCSCLDGGSLRCVRQHVMEAREKLRKSLGHEKFVKLGFYDMGEDVAYKWSEEEEEIFREVVYSNPASLGKNFWKHFSMVFPSRSKSELVSYYFNVFILQRRAVQNRSSILDSDSDDDEWHGSQQVYEVKASEEDEDSSAIESLAAQEGLSNHEGDCLGDDDDDGSDDDDDDDDDSGDSDSSSGDGNYSSAAARGDYGVNLMLKGPIAKSFDESRFDPVFEQTKKDLGRVEDFNVQDDSCMSFEFQPNMVDSHSLIDTKADLHDSQMKTDLSKCMQAKVDGSSDLVSHVYLLDTCDAKIWDARYPTTATEGIDLQPTCNIIEEIFGQDTWDNKMRNE >KJB30450 pep chromosome:Graimondii2_0_v6:5:39190835:39194261:-1 gene:B456_005G144600 transcript:KJB30450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKRPFDSEELQELPFKHPRQFDNNNKLTQFANTISHSYTHQNPHISVDVEGGFCKCQWDEAFETGGLNDERPSVDKDFETSAPLSLITSISSEEDVDTGPAAISPISPEYFDFDFPRRTLGPVEDAYSLLLDRSPRKQVPLGPNHQANVPSLGRHIKKDKFVQNCASDTNDIGYEEIMMGTCVIPMPDSDLSANDSGKVGAGRTDCSCLDGGSLRCVRQHVMEAREKLRKSLGHEKFVKLGFYDMGEDVAYKWSEEEEEIFREVVYSNPASLGKNFWKHFSMVFPSRSKSELVSYYFNVFILQRRAVQNRSSILDSDSDDDEWHGSQQVYEVKASEEDEDSSAIESLAAQEGLSNHEGDCLGDDDDDGSDDDDDDDDDSGDSDSSSGDGNYSSAAARGDYGVNLMLKGPIAKSFDESRFDPVFEQTKKDLGRVEDFNVQDDSCMSFEFQPNMVDSHSLIDTKADLHDSQMKTDLSKCMQAKVDGSSDLVSHVYLLDTCDAKIWDARYPTTATEGIDLQPTCNIIEEIFGQDTWDNKMRNE >KJB27681 pep chromosome:Graimondii2_0_v6:5:257701:259107:-1 gene:B456_005G004500 transcript:KJB27681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSWKLNIQARTQIFNFKLKATKILPTGEFHRFSLLLRLHKFILKLRLKSGSTVSISSQQKRSLKSRFLSFLEKIRLRRERKGLTIQYPDVKSVLHRVKQFADKKSNWAGSLVIAIPSLLQQWISKKDGKGIIIHTCIILLSLYWVLYLNRGKNRRVWMVGTAVSWVVFNLGKDYVSEHAWNWIPWRYAHGCTVFWFWFAACRLILALLSIFFSYILKLKEK >KJB28901 pep chromosome:Graimondii2_0_v6:5:8426785:8428155:-1 gene:B456_005G075100 transcript:KJB28901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRDEEEEHLNLSLAIVTDPNGENSRKRKRKLLNICNPLNPSHEGYFEGKIFKLLQVREEMLKLDHKRSKGLAENGKGLHLIHSLLIIATSVDKNNMNSALENLIQLYPTVTLMGDSVQRVVAHFADGLFARILTPKSPFYDMVMKEPTTEQQFLAFTSLYRVSPYYQFAHFTANQAIIEAFEKDQETNNNRALHVIDFNVSYGFQWPSLIQSLSQSGKRVSLRLTGYGRSLEELQETEARLVSFAKGFCNLVFEFQGLLRSSSKLIINQREKKNETVAVNLVFHLSNFMEMSQTLKSVHSLKPSIVILVEQEGNPRVRNFLSRFMESLHYFAAMFDSLDDCLPQESTERLSIERNHLGKEIKAMINSENLDEENKGTWKNMMERHGFGGMKLSSKCLIQAKLLLKVRTHNYCPLPCEGENTNGGFRVFQRDEGKALSLGWQDRCLLTASAWQCV >KJB29880 pep chromosome:Graimondii2_0_v6:5:26190477:26198953:1 gene:B456_005G1222001 transcript:KJB29880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WVANSLDFNKDYDASVFETTIRVVGGLLSAYDLSRDNVFLEKARDIADRLLPAWDTTTGIPYNVINLARGNAHNPGWAGGQSILADSGTEQLEFIALSQRTGDPKYQEKVEKVIVALNKTFPADGLLPIYINPDTATGSYSTITFGAMGDSFYEYLLKAWIQGNKTSSLKLYREMWETSMKGLLSLIRRSTPSSFAYICEKNGDSLTDKMDELACFAPGMLALGSSGYGPDEAKKFLSLAEELAWTCYSFYQSTPTKLAGENYFFNPGQDMTVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDVNTGVKDNKMQSFFLAETLKYLYLLFSPPTVIPLDEWVFNTEAHPLRIVNRNDANLAALEGQHKPVIKIRSRKTGRLGGN >KJB29881 pep chromosome:Graimondii2_0_v6:5:26190477:26198845:1 gene:B456_005G1222001 transcript:KJB29881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WVANSLDFNKDYDASVFETTIRVVGGLLSAYDLSRDNVFLEKARDIADRLLPAWDTTTGIPYNVINLARGNAHNPGWAGGQSILADSGTEQLEFIALSQRTGDPKYQEKVEKVIVALNKTFPADGLLPIYINPDTATGSYSTITFGAMGDSFYEYLLKAWIQGNKTSSLKLYREMWETSMKGLLSLIRRSTPSSFAYICEKNGDSLTDKMDELACFAPGMLALGSSGYGPDEAKKFLSLAEELAWTCYSFYQSTPTKLAGENYFFNPGQDMTVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDVNTGVKDNKMQSFFLAETLKYLYLLFSPPTVIPLDEWVFNTEAHPLRIVNRNDANLAALEGQHKPVIKIRSRKTGRLGGN >KJB27767 pep chromosome:Graimondii2_0_v6:5:621736:622699:-1 gene:B456_005G008900 transcript:KJB27767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVAREGGNALSGPRPMEWSTGSYAPQGPDRNGNKHTSSPESPMMLLTGHQSAIYTTKFNPARTIIESGSHRDCKNFMTAKTLCKTQVETGKQIKKMAEHSSFVNSCCPSQRGPPLVISSSDDGTAKLWDMRQRGAIQTFPDKYQITVISFSDASDKIFTGRIDNDVKVWDLRKGEVSMTLQGHQDMITIVPIFSLMAWITNSEYGICPPYAPQNRCVQIFEGHRHNFEKNLLKCGWSPDGSKVTKGMVHIWDTTSLHILYKLPGHTGSVNETIFHPNEPIVGSCSSNKQISLGEI >KJB31303 pep chromosome:Graimondii2_0_v6:5:54344739:54347558:1 gene:B456_005G185200 transcript:KJB31303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADVAKWRSGGGEQNVLQLHRFLNFHTPLTNHILKAITKTKRECNAESLLHPSFELTLTIGAQEERTTLGLKANLIPHSVLNCIFLAFPFYAMTLSLYIEKIVLSLLMLIFL >KJB29918 pep chromosome:Graimondii2_0_v6:5:27579251:27580636:1 gene:B456_005G123600 transcript:KJB29918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASKSPDPYSAKHPFPNFFSFWRKAKKPNEDSPPWTRLYRRFTLKELRLATDNFGLKIGQDGHYSVYKGFINGGFNETVAIKMYDNNSLISHGYFLSEMELPWKRDHSNVFSLIGYCIQGSHRYTVFEYMPHGTLHGQLHSKNDSKTLLSWKKRLEICIRAARGIEFLHSGNPLIIHRDIKPSNILLDNNWFAKISDFDLSKMVPTRLSDSDSHVSTIICGTLEYTDPKYIVSGLLTLKSDVYSFGVVLFVVLSTRKPMERSILEEQGISIMQWWRQCVEDDKVGEIMDPRMKVEVAPDCLKAYADIEYKCSNERGSERPSMADVVKRLELILLFQECFEADLPFSPSWLASIAPSPKKNEPLSGVDFQDSDIFVSDYDSEELLRD >KJB30866 pep chromosome:Graimondii2_0_v6:5:48029161:48029887:1 gene:B456_005G164700 transcript:KJB30866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKRKGFFFKGKLAKSLSRVTKPRATTAHQQCSKVVPYSYANSNQPTDSHEQMSVPSSMLKVSYARLIRPPSFYDQNMYANERWGVGDENVDFKATSFISIVRERFKLDRVD >KJB29696 pep chromosome:Graimondii2_0_v6:5:22157682:22161802:-1 gene:B456_005G114600 transcript:KJB29696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADMDFSTPCEIVEDSYSKDNLSDMDNENLDNVKRAPLGKPPRHLSVMRHSMGSAKLIAEANLELDIGIIVHKSSSDEKNEFLPVLRSGSCAEIGPKQYMEDEHICIDDLIGHLGTTAEFPSPGAFYGVFDGHGGTDAALFIRKNILKFIVEDSHFPICVEKAIKSAFLKADYSFADASSLDISSGTTALIALIFGRTLIIANAGDCRAVLGRRGRAIEMSKDHKPNCTSERRRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQETELSEEDEFLIMGCDGLWDVMSSQCAVTMARKELMVHNDPERCSRELVREALKRNTCDNLTVIVVCFSTDPPPRIEIPQFRVRRSISAEGLNFLKGVLDSN >KJB30813 pep chromosome:Graimondii2_0_v6:5:46851716:46852994:1 gene:B456_005G161900 transcript:KJB30813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKEMSAMEEGMFLTVKQICYSSDAVKFMQKVIAELVGTYFVIFAGCGSVVVNKLYDGIITFPGISVVWGLICMVMIYSVGHISGAHFNPAVTITFCIFRRFPMKQVPVYVVAQLLGSVLASETLTLVWDIPRDAFFGTVPVGPASRSLVLEIIISFLLMFVVSGVATDSRAIGELAGIAVGMTITLNVFVVGPISGASMNPARTLGPAIVMRVYDGIWVYMVGPMLGTVSGGFVYNLIRFTDKPLSELTRSSSFVKSMSKSTATATL >KJB30666 pep chromosome:Graimondii2_0_v6:5:43372969:43375082:-1 gene:B456_005G154200 transcript:KJB30666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDALNMRNWGYYEPSFKGHLGLHLMAERDKKPFIPGRDPNNLMVTTNAFHPRDCIVSEAPPIRMQYVRDTWISQREKLFNMLPPVTAPNYDILPETSATHSMPIWQPPPPPDASTRDESVIGRVEEPPASKEGVQSKKRQVGGDPKTPKAKKPRKPKDNTNSSVKPAKKSMDITINGYDMDISSISIPVCSCTGTAQQCYRWGCGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAENYDFSNPIDLRTHWARHGTNKFVIIR >KJB30665 pep chromosome:Graimondii2_0_v6:5:43372834:43375116:-1 gene:B456_005G154200 transcript:KJB30665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDALNMRNWGYYEPSFKGHLGLHLMAERDKKPFIPGRDPNNLMVTTNAFHPRDCIVSEAPPIRMQYVRDTWISQREKLFNMLPPVTAPNYDILPETSATHSMPIWQPPPPPDASTRDESVIGRVEEPPASKEGVQSKKRQVGGDPKTPKAKKPRKPKDNTNSSVKPAKKSMDITINGYDMDISSISIPVCSCTGTAQQCYRWGCGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAENYDFSNPIDLRTHWARHGTNKFVIIR >KJB30664 pep chromosome:Graimondii2_0_v6:5:43373294:43374136:-1 gene:B456_005G154200 transcript:KJB30664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDALNMRNWGYYEPSFKGHLGLHLMAERDKKPFIPGRDPNNLMVTTNAFHPRDCIVSEAPPIRMQYVRDTWISQREKLFNMLPPVTAPNYDILPETSATHSMPIWQPPPPPDASTRDESVIGRVEEPPASKEGVQSKKRQVGGDPKTPKAKKPRKPKDNTNSSVKPAKKSMDITINGYDMDISSISIPVCSCTGTAQQCYRWGCGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAENYDFSNPIDLRTHWARHGTNKFVIIR >KJB27628 pep chromosome:Graimondii2_0_v6:5:46638396:46641306:-1 gene:B456_005G161400 transcript:KJB27628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLYNLLDGVKEILKIQKFRRIVSYTGFYCFVAVLSYAYTNNTTRAGYSRADQFYASYPAGTELLTDTAKLYKAALGNCFESEEWGPIEFCIMAKHFDRQGKSPYAYHSQYMAHLLSHGQLDGSG >KJB27630 pep chromosome:Graimondii2_0_v6:5:46640243:46641239:-1 gene:B456_005G161400 transcript:KJB27630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLYNLLDGVKEILKIQKFRRIVSYTGFYCFVAVLSYAYTNNTTRAGYSRADQFYASYPAGTELLTDTAKLYKAALGNCFESEEWGPIEFCIMAKHFDRQGKSPYAYHSVSYTLLLCMFHVCARILLITYLGFFHGMQD >KJB27629 pep chromosome:Graimondii2_0_v6:5:46639658:46641239:-1 gene:B456_005G161400 transcript:KJB27629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLYNLLDGVKEILKIQKFRRIVSYTGFYCFVAVLSYAYTNNTTRAGYSRADQFYASYPAGTELLTDTAKLYKAALGNCFESEEWGPIEFCIMAKHFDRQGKSPYAYHSQVATRIKRW >KJB29968 pep chromosome:Graimondii2_0_v6:5:28127427:28132261:1 gene:B456_005G125900 transcript:KJB29968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTACFIIVSRNDIPIYEAEVGSAAKREDAAQLHQFVLHAALDIVQDLAWTTSAMFLKAIDRFNDLVVSVYVTAGHTRFMLLHDSRNEDGIKSFFQEVHELYIKILLNPLYLPGSRITSSHFDTKVRALARKYL >KJB29969 pep chromosome:Graimondii2_0_v6:5:28128977:28132261:1 gene:B456_005G125900 transcript:KJB29969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQREDAAQLHQFVLHAALDIVQDLAWTTSAMFLKAIDRFNDLVVSVYVTAGHTRFMLLHDSRNEDGIKSFFQEVHELYIKILLNPLYLPGSRITSSHFDTKVRALARKYL >KJB29966 pep chromosome:Graimondii2_0_v6:5:28127390:28130063:1 gene:B456_005G125900 transcript:KJB29966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTACFIIVSRNDIPIYEAEVGSAAKREDAAQLHQFVLHAALDIVQDLAWTTSAMFLKAIDRFNDLVVSVYVTAGHILYIYGTDLHVHNI >KJB29967 pep chromosome:Graimondii2_0_v6:5:28127305:28132286:1 gene:B456_005G125900 transcript:KJB29967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTACFIIVSRNDIPIYEAEVGSAAKREDAAQLHQFVLHAALDIVQDLAWTTSAMFLKAIDRFNDLVVSVYVTAGHTRFMLLHDSRNEDGIKSFFQEVHELYIKILLNPLYLPGSRITSSHFDTKVRALARKYL >KJB29965 pep chromosome:Graimondii2_0_v6:5:28128371:28132261:1 gene:B456_005G125900 transcript:KJB29965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTACFIIVSRNDIPIYEAEVGSAAKREDAAQLHQFVLHAALDIVQDLAWTTSAMFLKAIDRFNDLVVSVYVTAGHTRFMLLHDSRNEDGIKSFFQEVHELYIKILLNPLYLPGSRITSSHFDTKVRALARKYL >KJB30948 pep chromosome:Graimondii2_0_v6:5:49534248:49538596:-1 gene:B456_005G169100 transcript:KJB30948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLVLFGLFFLFLFPHLKLAFSLSPDGLSLLSLKSAVDQPSGHSVFADWNETDETPCNWSGVSCKNISGFPDPRVVGVAVSAKNLRGYIPSELGNLIYLRRLNLHNNFFYGSIPDQLFNATSLHSLFLYGNNLSGSLPPSICKLPRLQNLDLSYNSLSGSLPENLKNCKQLQRLILAQNKFSGEIPGGIWPEFDNLVQLDLSSNEFKGPIPSTIGELNSLSGTLNLSYNHLSGNLPKSLGDLPVTVSFDLRNNNLSGKIPETGSFANQGPTAFLNNPLLCGFPLQKSCNNSSTSSSGTQNSGPNSGETQKKGLSPGLIILISAADAGGVALIGLIIVYIYWKNKDSSNSCSCTGKTKFGDNNKGKFCSVCPFGCINECRNNDSELEDNEKGEKSGKGEGELVAIDKGFSFELDELLRASAYVLGKSGLGIVYKVVLGNGIPVAVRRLGGEGGEQRYKEFVAEVQAIGKVKHPNVVKLRAYYWAPDEKLLISDFISNGNLANAIRGRNGQPSTSLSWSVRLKIVKGAARGLAYLHECSPRKFVHGDVKPSNILLDNEFQSYISDFGLNRLINITGNNPDSSSGGFIGGLPYKSIQTERTNSYRAPEARVPGNRATQKWDVYSFGVVLLELLTGKSPELSPTTSTSMEILDLVRWVRKGFEEEKPLSDMVDPMLLQEVHAKKEVLAVFQVALACTEADPEIRPRMKTVSDNLERIGS >KJB31306 pep chromosome:Graimondii2_0_v6:5:54359647:54362476:1 gene:B456_005G185400 transcript:KJB31306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAQARLFEDLLQLAEFPIPDILIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGTFLAVARYASATPMPPSILSRGIGWQGIGILFSGIFGTGNGSSVSVENGGLLAMTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFGYVGKFGWNQAIYHYCSIQDFHNSTICANCMVQVQGVSACFNSVT >KJB31307 pep chromosome:Graimondii2_0_v6:5:54359647:54362507:1 gene:B456_005G185400 transcript:KJB31307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAQARLFEDLLQLAEFPIPDILIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGTFLAVARYASATPMPPSILSRGIGWQGIGILFSGIFGTGNGSSVSVENGGLLAMTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFGYVGSGGLSLLQFCNLNNFKIKFILGFSVFMGLSIPQYFNEYTAINGFGPVHTGARWFNDMINVPFSSEAFVAGSLAMFLDVTLHGKENATKRERGMHLWEKFKSFKKDARSEEFYALPFNLNKFFPSV >KJB27467 pep chromosome:Graimondii2_0_v6:5:54531718:54532164:-1 gene:B456_005G186500 transcript:KJB27467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIEEIKGEIWDCNESKASRPDEYDFNFFRRGLSSRRICCTLMLGGVIDFLVNDTQTTFIRGRQILNGFLLANEVVDSMKKRRCNDGGCVLKMAYDSL >KJB28429 pep chromosome:Graimondii2_0_v6:5:4526746:4527249:1 gene:B456_005G047500 transcript:KJB28429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLIIALASLFLLALQFHAISGTRLLHEETMLVNTKLDLQSLQKGPVPPSERSSCTNIPGGGGPPCQLNEMHYAATFPRSTAYPLPALQFGVATNQK >KJB31108 pep chromosome:Graimondii2_0_v6:5:55603689:55604358:-1 gene:B456_005G190800 transcript:KJB31108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLNIKQTTPTSNIVGDTMASSTLTGKLEADVDIKASPEQFHEMFAHKPHHVHHTCYDKIQGCDLHEGKWGKVGTIVHWSYVHDGKAKKAKEVVEAVDPDKNLITFRVIEGDLMEEYKSFVATILVSPKSEDSGSVVHWTLEYEKLHDGIADPETLLQLVQDISKDIDAHLIQAN >KJB28168 pep chromosome:Graimondii2_0_v6:5:2833071:2838460:-1 gene:B456_005G031800 transcript:KJB28168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSKWLWFMRMAVMSVLLLLEGCRWCTIDACVEHERVALLQLKPFFNHYNEFDSWDEVKGSDCCKWKGIECNTTTGRLIGLSLDSMRSGYLDYWYLNASLFLPFKELKRLYLTGSGIAGCVENEGFEKLSSTFRNLQFLDLSQNHLNDSFILSLSQFSSLQYLNLAYNLLEGKWPHIQGLNNLKILKTLDLRWNQMVNFQSFKDEGKKLELTHLEELNLEANLFNNSVFATLNKLSNLKSLSIGFNQLNGLIDMKDLDAFTNLQKLDMSGNQLRGFVVHKENKGLKKLKVLRLQSIVTDDSIPLENLVEAFSSELSNLEEINLDYSRLNINILQSIGVFTSLQTLSLYRCALIGSLPDHGWCDLRNLEVLDVSWNALEGMLPHCFSNLTSLRELDISNNRFSGNLTPLASLTSLRAISLSSNHFQIPISFVPLANFPNLEVLLADENKLEMEPSFHTLVPKFQLKFISLSKCRTSQQLSLELPTFLYYQNDLRFVDLSQNNFSGTVPFWLLENNTKLEDLILKGNSFTGPLTLLSTPNSNVSSIDMSDNKIQGPLPTNICSTFPHLEQLFLSKNAFQGNIPPCLSAMKNLSMLDLSNNQLAGKVPQELVRKSSLFLLRLSNNNLNGNVVPVILNANGLQKLYLDGNNFSGEMTNFDVSTFQFPTSLTDIDLSNNRLHGKLPRWIGNMSLLERLDLSNNGVEGSIPVEFCNLNNFKFLDLSENNLSGSIPSCFNPPNLEHVHLHNNRLGGPLSLAFNKSTSLVTLDLRGNNFTGGIPKWIDTLSSLSVLLLKANHLQGRIPVQLCTLYSLSIIDLSQNRFSGPIPSCLGNLTLPMNKDKTMTPDLMSPTFSELDELKLLKTGILFEHMMHSYSYMKESVEFTTKSGSFSYGGDILEYMSGIDLSCNELTGQIPLELGNLREIHSLNLSRNKLVGVIPSSFSKLKQIESLDLSYNNLSGEIPNQLVDLNSLGVFSVAHNNLSGSIPEQNQFGTFIESSYEGNPFLCGPILHISCSKTGSISTISDDEREDSFLDTYVFCVSFLASYVVMLLTIVAILYINPYWRGVWFSFVGKCITNCGQSSWVLHL >KJB27493 pep chromosome:Graimondii2_0_v6:5:62926267:62927447:1 gene:B456_005G250100 transcript:KJB27493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLPRIVSSKKVPKGYFAVYVGENQKRFVIPVSFLNHPSFQDLLGKSEEEFGYSHPTGGLAIPCNEDTFLKVTSRMY >KJB28490 pep chromosome:Graimondii2_0_v6:5:5044386:5047976:-1 gene:B456_005G051200 transcript:KJB28490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTPSSSSKLNQTQMRTPQSKHRLNFTSTRNNPAIEQHPPGEHPVEVIGRIRNYPGEQKDKNPISYLHINPDNKTLRVRADIGYRDFTLDGISSSEEEDLDTFYKKFVQSRINGVKMGAKCTIMMYGPTGAGKSHTMFGCLKQPGIVYRSLKDILGDVGEDDSGGTFVQVTVLEIYNEEIYDLLSSNGGGGFGIGWPKGNGSKVKLEVMGKKAKNATFLSGSEAGKISKEIQKVEKRRIVKSTLCNDRSSRSHCMIILDVPTVGGRLMLVDMAGSENIDQAGQVGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDQSKILMILCASPDPKEMHKTICTLEYGAKAKCIVRGPHTPLKDKNDDSASAVNLGSRLAAMDQFINKLQMENKQRERERNEAHKALVKKEEEVAALRSLLEVKGSGSGVTEDEINSKVNERTQMLKLELEKKLDECRRMAEEFVEMERRRMEERILQQQEEVEMLRKRLQEIEFELCSSRDGNVEENESTNELDGSSFAKRLVGIYGDEAPGMVMSMELDMTDPEPFVHDVKQMDKAVNQADPWSAKHDGFAPQFGAKLGLTTVYEEEETEEENEVEKVIIEEKRVCSNEKDFKSTPEQSDSETDSLRLLRIQNIFTLCGNQRELSQQIRTPTPAKAAITETIDPHWSSVMVASDNDSVVKSLNKENSGALMAASLKENQNPSTDCTDGQIDVYVKWEASKENPGKFITTLKVIKDATLADLRKLIDIYLGADNQAFTFLVLGDPTGAPVPKENEAIVKASKLPICNNGHLACLRPAKGLQITNHLPLSPLQLTPLPLTPLENKLPLTTSTRLSNQGDDLSPKLSPHLSSTPFITARRW >KJB28491 pep chromosome:Graimondii2_0_v6:5:5044480:5047875:-1 gene:B456_005G051200 transcript:KJB28491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDMAGSENIDQAGQVGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDQSKILMILCASPDPKEMHKTICTLEYGAKAKCIVRGPHTPLKDKNDDSASAVNLGSRLAAMDQFINKLQMENKQRERERNEAHKALVKKEEEVAALRSLLEVKGSGSGVTEDEINSKVNERTQMLKLELEKKLDECRRMAEEFVEMERRRMEERILQQQEEVEMLRKRLQEIEFELCSSRDGNVEENESTNELDGSSFAKRLVGIYGDEAPGMVMSMELDMTDPEPFVHDVKQMDKAVNQADPWSAKHDGFAPQFGAKLGLTTVYEEEETEEENEVEKVIIEEKRVCSNEKDFKSTPEQSDSETDSLRLLRIQNIFTLCGNQRELSQQIRTPTPAKAAITETIDPHWSSVMVASDNDSVVKSLNKENSGALMAASLKENQNPSTDCTDGQIDVYVKWEASKENPGKFITTLKVIKDATLADLRKLIDIYLGADNQAFTFLVLGDPTGAPVPKENEAIVKASKLPICNNGHLACLRPAKGLQITNHLPLSPLQLTPLPLTPLENKLPLTTSTRLSNQGDDLSPKLSPHLSSTPFITARRW >KJB28492 pep chromosome:Graimondii2_0_v6:5:5044966:5047779:-1 gene:B456_005G051200 transcript:KJB28492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTPSSSSKLNQTQMRTPQSKHRLNFTSTRNNPAIEQHPPGEHPVEVIGRIRNYPGEQKDKNPISYLHINPDNKTLRVRADIGYRDFTLDGISSSEEEDLDTFYKKFVQSRINGVKMGAKCTIMMYGPTGAGKSHTMFGCLKQPGIVYRSLKDILGDVGEDDSGGTFVQVTVLEIYNEEIYDLLSSNGGGGFGIGWPKGNGSKVKLEVMGKKAKNATFLSGSEAGKISKEIQKVEKRRIVKSTLCNDRSSRSHCMIILDVPTVGGRLMLVDMAGSENIDQAGQVGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDQSKILMILCASPDPKEMHKTICTLEYGAKAKCIVRGPHTPLKDKNDDSASAVNLGSRLAAMDQFINKLQMENKQRERERNEAHKALVKKEEEVAALRSLLEVKGSGSGVTEDEINSKVNERTQMLKLELEKKLDECRRMAEEFVEMERRRMEERILQQQEEVEMLRKRLQEIEFELCSSRDGNVEENESTNELDGSSFAKRLVGIYGDEAPGMVMSMELDMTDPEPFVHDVKQMDKAVNQADPWSAKHDGFAPQFGAKLGLTTVYEEEETEEENEVEKVIIEEKRVCSNEKDFKSTPEQSDSETDSLRLLRIQNIFTLCGNQRELSQQIRTPTPAKAAITETIDPHWSSVMVASDNDSVVKSLNKENSGALMAASLKENQNPSTDCTDGQIDVYVKWEASKENPGKFITTLKVIKDATLADLRKLIDIYLGADNQAFTFLVLGVSYS >KJB32735 pep chromosome:Graimondii2_0_v6:5:63456814:63461430:1 gene:B456_005G258600 transcript:KJB32735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITDDLLSYSPPFWATFIAGLLLVITLTLSIYLIFEHLASYKNPEEQKFLIGVILMVPCYSIESFVSLVNPSISVDCSILRDCYESFAMYCFGRYLVACLGGEERTIQFMERLGYASSLTPLLDLDCDKGTVKHPFPMNYFLKPWKLGQWFYQVIKFGIVQYMIIKLLTALLAVIFEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLIQFYTVTKDELAYIKPLAKFLTFKSIVFLTWWQGVAIALFSALGLFRSPIAESLQLKSSVQDFIICIEMAIASVVHIYVFPSEPYKLMGDRVPGSVSVLGDYASVDSPLDPDEVRDSERPTKLRLPQPDIEARGGMTIKESMKDVFIGGGEYIVNDVKFTVNQAVEPVEKGINKFNEKLHKISENIKKHGKDKKKTKDDSFITPSARTVIRGIDDPFLNGSMSDSAVARGKKHRGKSGYTKEKSGHTSGESGGESSSDPSYGRYQIRGHRWVTKD >KJB32736 pep chromosome:Graimondii2_0_v6:5:63458472:63461404:1 gene:B456_005G258600 transcript:KJB32736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLGYASSLTPLLDLDCDKGTVKHPFPMNYFLKPWKLGQWFYQVIKFGIVQYMIIKLLTALLAVIFEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLIQFYTVTKDELAYIKPLAKFLTFKSIVFLTWWQGVAIALFSALGLFRSPIAESLQLKSSVQDFIICIEMAIASVVHIYVFPSEPYKLMGDRVPGSVSVLGDYASVDSPLDPDEVRDSERPTKLRLPQPDIEARGGMTIKESMKDVFIGGGEYIVNDVKFTVNQAVEPVEKGINKFNEKLHKISENIKKHGKDKKKTKDDSFITPSARTVIRGIDDPFLNGSMSDSAVARGKKHRGKSGYTKEKSGHTSGESGGESSSDPSYGRYQIRGHRWVTKD >KJB31140 pep chromosome:Graimondii2_0_v6:5:52340604:52343543:1 gene:B456_005G178700 transcript:KJB31140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HVSASHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNIDKLWSLVPQDVKAKANKDSASMIDVTRFGYFKVLGKGVLP >KJB32841 pep chromosome:Graimondii2_0_v6:5:63827369:63828218:1 gene:B456_005G264700 transcript:KJB32841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEHKMVKPAKHGDCEFCLQLPLMALKEITALAEHNIDLRREVFKTGSQESKDTVELLLRVIKESEDYMLKVLAIKSIGFLARIFRKSNHHRVISLLVSQLEHGCGEVVMEALVALKKFSCDENYLCKEHSNKMIEFNAAQILVKLLSDGESELKLQVHGLVLLCCIASKADYCKAVEEARMTTAVRQFLREEGELGTFVSQKPALKELATKALHSLILYYEY >KJB30767 pep chromosome:Graimondii2_0_v6:5:46300596:46301481:1 gene:B456_005G160800 transcript:KJB30767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALTGKLEADVEIKASPEQFHEMFAHKPHHVHHTCYDKIQGCDLHEGEWGKAKKAKEVVEAVDPDKNLVTFRVIEGDLMEEYKSFVITIQVSPKSEGSGSVVHWTLEYEKLHGGIAHPETLLQFVQDISKDIDAHLTQAS >KJB30765 pep chromosome:Graimondii2_0_v6:5:46300591:46301487:1 gene:B456_005G160800 transcript:KJB30765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALTGKLEADVEIKASPEQFHEMFAHKPHHVHHTCYDKIQGCDLHEGEWGKVGTIVHWSYVHDGKAKKAKEVVEAVDPDKNLVTFRVIEGDLMEEYKSFVITIQVSPKSEGSGSVVHWTLEYEKLHGGIAHPETLLQFVQDISKDIDAHLTQAS >KJB30766 pep chromosome:Graimondii2_0_v6:5:46300596:46301481:1 gene:B456_005G160800 transcript:KJB30766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALTGKLEADVEIKASPEQFHEMFAHKPHHVHHTCYDKIQGCDLHEGEWGKVGTIVHWSYVHVVCLDGKAKKAKEVVEAVDPDKNLVTFRVIEGDLMEEYKSFVITIQVSPKSEGSGSVVHWTLEYEKLHGGIAHPETLLQFVQDISKDIDAHLTQAS >KJB28776 pep chromosome:Graimondii2_0_v6:5:7791510:7795432:-1 gene:B456_005G071600 transcript:KJB28776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLNKTLSKEYIGLQLWVLIVICLGVVFLVILSISLWLSFRKKSRRANVMLPLTQVPYVSEEIKEIRVDQASANNGNTLNEKFSDKGSEKGLFNVDNGEDSGQSGSFNHVDKDVKGSQPGEEGATGAVSTYRPSSNPLTAPSPLSGLPEFSRLGWGHWFTLRDLHLATNRFSKDNIIGDGGYGVVYRGNLINGTPVAVKKLLNNPGQADKDFRVEVEAIGHVRHKNLVRLLGYCIEGTQRLLVYEYVNNGNLEQWLRGDMSDKGYLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDNFDAKISDFGLAKLLGDGKSYITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRYPIDYGRPQPEVNMVEWLKMMVQLRRSEEVVDPNIETRPSTSALKRALLTALRCVDPDADKRPKMSQVARMLEAEEYPLPREDRRRRRNQSVNSDADTQRKNSDADRSDDPDLRLESRMHHHP >KJB28778 pep chromosome:Graimondii2_0_v6:5:7792386:7794325:-1 gene:B456_005G071600 transcript:KJB28778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLNKTLSKEYIGLQLWVLIVICLGVVFLVILSISLWLSFRKKSRRANVMLPLTQVPYVSEEIKEIRVDQASANNGNTLNEKFSDKGSEKGLFNVDNGEDSGQSGSFNHVDKDVKGSQPGEEGATGAVSTYRPSSNPLTAPSPLSGLPEFSRLGWGHWFTLRDLHLATNRFSKDNIIGDGGYGVVYRGNLINGTPVAVKKLLNNPGQADKDFRVEVEAIGHVRHKNLVRLLGYCIEGTQRLLVYEYVNNGNLEQWLRGDMSDKGYLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDNFDAKISDFGLAKLLGDGKSYITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRYPIDYGRPQPEVNMVEWLKMMVQLRRSEEVVDPNIETRPSTSALKRALLTALRCVDPDADKRPKMSQVARMLEAEEYPLPREV >KJB28779 pep chromosome:Graimondii2_0_v6:5:7791642:7795241:-1 gene:B456_005G071600 transcript:KJB28779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLNKTLSKEYIGLQLWVLIVICLGVVFLVILSISLWLSFRKKSRRANVMLPLTQVPYVSEEIKEIRVDQASANNGNTLNEKFSDKGSEKGLFNVDNGEDSGQSGSFNHVDKDVKGSQPGEEGATGAVSTYRPSSNPLTAPSPLSGLPEFSRLGWGHWFTLRDLHLATNRFSKDNIIGDGGYGVVYRGNLINGTPVAVKKLLNNPGQADKDFRVEVEAIGHVRHKNLVRLLGYCIEGTQRLLVYEYVNNGNLEQWLRGDMSDKGYLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDNFDAKISDFGLAKLLGDGKSYITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRYPIDYGRPQPEVNMVEWLKMMVQLRRSEEVVDPNIETRPSTSALKRALLTALRCVDPDADKRPKMSQVARMLEAEEYPLPREDRRRRRNQSVNSDADTQRKNSDADRSDDPDLRLESRMHHHP >KJB28777 pep chromosome:Graimondii2_0_v6:5:7791642:7794631:-1 gene:B456_005G071600 transcript:KJB28777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLNKTLSKEYIGLQLWVLIVICLGVVFLVILSISLWLSFRKKSRRANVMLPLTQVPYVSEEIKEIRVDQASANNGNTLNEKFSDKGSEKGLFNVDNGEDSGQSGSFNHVDKDVKGSQPGEEGATGAVSTYRPSSNPLTAPSPLSGLPEFSRLGWGHWFTLRDLHLATNRFSKDNIIGDGGYGVVYRGNLINGTPVAVKKLLNNPGQADKDFRVEVEAIGHVRHKNLVRLLGYCIEGTQRLLVYEYVNNGNLEQWLRGDMSDKGYLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDNFDAKISDFGLAKLLGDGKSYITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRYPIDYGRPQPEVNMVEWLKMMVQLRRSEEVVDPNIETRPSTSALKRALLTALRCVDPDADKRPKMSQVARMLEAEEYPLPREDRRRRRNQSVNSDADTQRKNSDADRSDDPDLRLESRMHHHP >KJB27417 pep chromosome:Graimondii2_0_v6:5:3840073:3846343:1 gene:B456_005G0403001 transcript:KJB27417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRDEEQRVMGKEEEEEEEKEEEEPILKQQNQRFCMFPITYQPLWEMYKKAEASFWTAEEVDLSQDVQHWETLSSSEKHFISHVLAFFAASDGIVLENLAARFLNDVQIPEARAFYGFQIAMENIHSEMYSLLLETYIKDSKEKHRLFNAIESIPCVSKKAKWAIDWIQSSTSFAERLVAFACIEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYGLLQKQLKWHKVHHIVHEAVEIETEFVCEALPCALIGMNSTLMSQYIKFVADRLLVSLGCQRKYSVENPFDWMEFISLQGKANFFERRVGDYQKASVMSSLQNGGKNYVFKLDEDF >KJB27415 pep chromosome:Graimondii2_0_v6:5:3841004:3846242:1 gene:B456_005G0403001 transcript:KJB27415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRDEEQRVMGKEEEEEEEKEEEEPILKQQNQRFCMFPITYQPLWEMYKKAEASFWTAEEVDLSQDVQHWETLSSSEKHFISHVLAFFAASDGIVLENLAARFLNDVQIPEARAFYGFQIAMENIHSEMYSLLLETYIKDSKEKHRLFNAIESIPCVSKKAKWAIDWIQSSTSFAERLVAFACIEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYGLLQKQLKWHKVHHIVHEAVEIETEFVCEALPCALIGMNSTLMSQYIKFVADRLLVSLGCQRKYSVENPFDWMEFISLQGKANFFERRVGDYQKASVMSSLQNGGKNYVFKLDEDF >KJB27418 pep chromosome:Graimondii2_0_v6:5:3841330:3846176:1 gene:B456_005G0403001 transcript:KJB27418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWEVKLMAGGRGLKKSGLYAWLNFGIDCCIGNFAEEVDLSQDVQHWETLSSSEKHFISHVLAFFAASDGIVLENLAARFLNDVQIPEARAFYGFQIAMENIHSEMYSLLLETYIKDSKEKHRLFNAIESIPCVSKKAKWAIDWIQSSTSFAERLVAFACIEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYGLLQKQLKWHKVHHIVHEAVEIETEFVCEALPCALIGMNSTLMSQYIKFVADRLLVSLGCQRKYSVENPFDWMEFISLQGKANFFERRVGDYQKASVMSSLQNGGKNYVFKLDEDF >KJB27416 pep chromosome:Graimondii2_0_v6:5:3840959:3845470:1 gene:B456_005G0403001 transcript:KJB27416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRDEEQRVMGKEEEEEEEKEEEEPILKQQNQRFCMFPITYQPLWEMYKKAEASFWTAEEVDLSQDVQHWETLSSSEKHFISHVLAFFAASDGIVLENLAARFLNDVQIPEARAFYGFQIAMENIHSEMYSLLLETYIKDSKEKHRLFNAIESIPCVSKKAKWAIDWIQSSTSFAERLVAFACIEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYGLLQKQLKWHKVHHIVHEAVEIETEFVCEALPCALIGMNSTLMSQYIKFVADRLLVSLGCQRKYSVENPFDWMEFISLH >KJB32778 pep chromosome:Graimondii2_0_v6:5:63604479:63605880:1 gene:B456_005G261100 transcript:KJB32778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLSQFTFLSDQALQGNKNFDPSAMEDLMKLFEIESYKAWAALELEEEKQVKGAEITMQQAEDYFDSVMETAVDEFRRFEEEMERESKAELSGVDDTAEKVKKMGDLMEKGANIASKLYVEAAMKSAGFNGLSPNKVHPS >KJB27588 pep chromosome:Graimondii2_0_v6:5:72608:74124:-1 gene:B456_005G001200 transcript:KJB27588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIARVTDGLPLAEGLDDGRDLTDAEMYKQQVKALFKNLSKGHNEASRMSVETGPYVFQQSSSLLKWNMQMHDWNVMQLMNVLIYWLLKSLI >KJB29998 pep chromosome:Graimondii2_0_v6:5:29031425:29033619:-1 gene:B456_005G127300 transcript:KJB29998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIMIVVLEESEDVQDCLLLVILSALGRNKSGVTQAARRLAMNAIDQCSEKLEAGIKQILISVMSGDNQLIKSEIDYHEVIYGIYHCAPQILSRVVPYLTGKLLADQLDTHLRAVRLVGSLFTLPGANICEAFLPIFLEFLKRLTDRVVDVKMSVLEHVKICLLSDPSRPEAPQTISALCDRLLDYDENVRKQVVDVICDVACHSLDSIPVRVVKLVVDN >KJB29997 pep chromosome:Graimondii2_0_v6:5:29031425:29033510:-1 gene:B456_005G127300 transcript:KJB29997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIMIVVLEESEDVQDCLLLVILSALGRNKSGVTQAARRLAMNAIDQCSEKLEAGIKQILISVMSGDNQLIKSEIDYHEVIYGIYHCAPQILSRVVPYLTGKLLADQLDTHLRAVRLVGSLFTLPGANICEAFLPIFLEFLKRLTDRVVDVKMSVLEHVKICLLSDPSRPEAPQTISALCDRLLDYDENVRKQVVDVICDVACHSLDSIPVRVVKLVVDN >KJB27764 pep chromosome:Graimondii2_0_v6:5:592355:595175:-1 gene:B456_005G008600 transcript:KJB27764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTGEKGSATTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >KJB27762 pep chromosome:Graimondii2_0_v6:5:592373:594326:-1 gene:B456_005G008600 transcript:KJB27762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTGEKGSATTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >KJB27763 pep chromosome:Graimondii2_0_v6:5:592588:594003:-1 gene:B456_005G008600 transcript:KJB27763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTGEKGSATTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNGNDSYINLNNTFHSISCAFLLAMMVNFHFYLCSTLYKHIKEDIS >KJB28780 pep chromosome:Graimondii2_0_v6:5:7410093:7415960:1 gene:B456_005G069100 transcript:KJB28780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVRLNTADVAAEVKCLRRLIGMRCSNVYDLSPKTYIFKLMNSSGVTESGESEKVLLLMESGVRLHTTAYVRDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIILFQFGLGTNAHYVILELYAQGNIILTDSEFTVLTLLRSHRDDDKGVAIMSRHRYPTEICRHFERTTILKLQTALTSSSNPDENQAAKVIEAGNNSLDAPKGKEKDKKGSHKGGKTSEPNKSASDNTRSKQATLKNVLGEALGYGPALAEHIILDAGLVSNTKVNKDNKLDDDKIQALAQAVEKFEDWLQDVISGDKVPEGYILMQKKNPGKDGSNYDGTTGQMYDECCPILLNQFKSREHVNFETFDAALDEFYSKIESQRVEQQQKTKESTANQKLNKIRLDQENRVHILKKEVDNCVRMAELIEYNLEDVDAAILAVRVALAKGMSWEDLARMVKEEKKSGNPVAGLIDQLHLERNCMTLLLSNNLDEMDDDEKTLPADKVEVDLALSAHANARRWYEMKKKQESKQEKTITAHEKAFKAAERKTRLQLSQEKTVATISHMRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYVHADLHGASSTIIKNHRLEQPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGLLFRLDESSLGSHLNERRVRGEEEGINDVEESGPHIEISESESDKGGEATDVPAVAAENSTSLNDVGNPNVSDIVGGDVASVSPQLEDLLDRTLVLGSASLLGKTPVLITSQNNWADEDNHEEKNATVRDKPHISKAERRKLKKGPGSTAANANIEKGNEKAKENGNAVNVPGDSVQNKKPGGGKISRGQRGKLKKIKEKYADQDEEERSIRMALLASSGKANKNEGSDDGKTKTAASVNQKPNASGPQDAPKICYKCKKVGHLARDCPEHPDDTLHLATGVADDRRAGLDDSNELDRVAMEEDDVNEIGEEEKGRLNDVDYLTGNPLPNDILLYAVPVCGPYSAVQSYKYRVKIIPGNAKKGKAAKTAMNLFSHMPEASNREKELMKACTDPELVAAIIGNVKITAAGLTQLKQKQKKSKKSSKGENRS >KJB29023 pep chromosome:Graimondii2_0_v6:5:9463840:9466173:-1 gene:B456_005G080200 transcript:KJB29023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFSLFLLITTCIIFTTQAQTTVSNISLASSLSPTGDSHWLSESGQFAFGFYPYGNGFAIGIWFQNIQPRTVVWTANRDETPFSSHATLLFNTEGRLVVQENQGREISIIGNASFASSASMLDTGNFVLFNSSSEIIWQSFDYPTDTILPGQRLSPGHRLVSDVSEANHTSGKFQIVMQPDGNLVQYPMGAVKHNTAYWSAGTFTAGDNVTMNLDNNGHLYLLNATGFIIKNFTETVSVSRDPIHRASIDADGIFRVYSHSSNQSGNWSIRWSSTENKCDPYGLCGVNSYCTLMDRNPICKCLPGFDFIDPDWTDSGCRRNYSEDACIRKDQNFEVQDMNSLSWEEDPYATFESISKDDCREECRRDCNCEVAIYKNLKQVCHKLKLPLRFGRRGTGGRVTTFVKMVTGFQTINEGRVKKSKLRMDFFITGIASLTVAFLVLALSGVIIYRHRIQQYKRISDQRDARFVEDVTLKSFTYEELKSATNNFRDSIGRGAYGTVFRGIISNGRRIVAIKRLERVVDDGERDFRNEMKAIGKTHHRNLVQLLGYCYDGTNRLLVYEYMKNGSLADFLFKSNLKINWEGRLAIILNIARGIFYLHEECHIQIIHCDIKPENILMDDKGHAKIADFGLAKLLLPNQSKTFTEIRGTRGYVAPEWHRNMPITAKADVYSFGVMLFEIICCRRRTETNVPDNEAMLVDWVYDCFKANEVSKLVPEDEVDKKKLGRVVKVGLWCTQDEPSSRPSMKKVILMLEGTVNIADPPCPTSIVSSQSLE >KJB27526 pep chromosome:Graimondii2_0_v6:5:60630955:60631243:-1 gene:B456_005G224400 transcript:KJB27526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCQAASQTRFRALKHENGIAGKPTIVVRVIACFQPMEDCQAEYFRHLLKPVT >KJB32165 pep chromosome:Graimondii2_0_v6:5:61007147:61007758:1 gene:B456_005G227100 transcript:KJB32165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFENRVKLSIYSINIISSSIEFNFSFYFSQKLSLPKREKKKEEEKNLRRFSSYLKTRLKLGAILENRSD >KJB30362 pep chromosome:Graimondii2_0_v6:5:36405951:36408016:-1 gene:B456_005G139400 transcript:KJB30362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSRVGFCLLLCTIFMVVPVSIGQLTSTETRLLFQVQKLLEYPEVLQGWTKWTNFCYLPHSPSLKIVCANSRVMEITIVGNRSSPANVVPGSGPQGLSGKFSMDSLFTVLTKFSSLRVLSLVSLGLWGPLPPKINRFHSLQALNMTSNFISGRIPKQIASFQNLTSLVLADNLFNGSVPDLTGLPLLKVVNLGGNLLGPQFPSLSQSLVSITLRNNSFRSQIPSGLKKFNQLQRLDISSNKLVGPLPSFLFSLASIQYLNLAQNQLSGALASTTDCSNNLSFVDISNNLLIGKLPSCIGSNSRNKTVISSWNCLSTGNSNRQHPYSFCNKEALAVKPVESKEQEEPGINLGLILGIIGGVVAIAGAIALLMFVIIRRSRTTEDANYDERSIVDKMSVRSSPKPPIDSRRVPQTMRSAAIGLPRYRVFSLEEIEDATNNFNPSNFMGEGSQGQLYKGWLVDGSVVVVKCLKLQQKHAPQNLMQHMEVLSKLRHRHLVSVLGHCIVTYQDHPNVASTVFVVFEHISNGSLRDHLTDWKKKEILKWPQRMAITIGAARGVQFLHTGISPGIYGNDLKIDNILLDETLTPKISNYNIPLPLKV >KJB30360 pep chromosome:Graimondii2_0_v6:5:36405143:36408360:-1 gene:B456_005G139400 transcript:KJB30360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSRVGFCLLLCTIFMVVPVSIGQLTSTETRLLFQVQKLLEYPEVLQGWTKWTNFCYLPHSPSLKIVCANSRVMEITIVGNRSSPANVVPGSGPQGLSGKFSMDSLFTVLTKFSSLRVLSLVSLGLWGPLPPKINRFHSLQALNMTSNFISGRIPKQIASFQNLTSLVLADNLFNGSVPDLTGLPLLKVVNLGGNLLGPQFPSLSQSLVSITLRNNSFRSQIPSGLKKFNQLQRLDISSNKLVGPLPSFLFSLASIQYLNLAQNQLSGALASTTDCSNNLSFVDISNNLLIGKLPSCIGSNSRNKTVISSWNCLSTGNSNRQHPYSFCNKEALAVKPVESKEQEEPGINLGLILGIIGGVVAIAGAIALLMFVIIRRSRTTEDANYDERSIVDKMSVRSSPKPPIDSRRVPQTMRSAAIGLPRYRVFSLEEIEDATNNFNPSNFMGEGSQGQLYKGWLVDGSVVVVKCLKLQQKHAPQNLMQHMEVLSKLRHRHLVSVLGHCIVTYQDHPNVASTVFVVFEHISNGSLRDHLTDWKKKEILKWPQRMAITIGAARGVQFLHTGISPGIYGNDLKIDNILLDETLTPKISNYNIPLPLKTGSESPLSNRLSSDENEKEDIYQLGVLLLQAITGKLVTFSNELDGLKLELEKSLAEGPSKLRGVIDPSIRGTFADESMKTTVEFAINCLSKDSTKRPSIEDVLWNLQYSIQVQEGWASSGNLATHM >KJB30361 pep chromosome:Graimondii2_0_v6:5:36405179:36408211:-1 gene:B456_005G139400 transcript:KJB30361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSRVGFCLLLCTIFMVVPVSIGQLTSTETRLLFQVQKLLEYPEVLQGWTKWTNFCYLPHSPSLKIVCANSRVMEITIVGNRSSPANVVPGSGPQGLSGKFSMDSLFTVLTKFSSLRVLSLVSLGLWGPLPPKINRFHSLQALNMTSNFISGRIPKQIASFQNLTSLVLADNLFNGSVPDLTGLPLLKVVNLGGNLLGPQFPSLSQSLVSITLRNNSFRSQIPSGLKKFNQLQRLDISSNKLVGPLPSFLFSLASIQYLNLAQNQLSGALASTTDCSNNLSFVDISNNLLIGKLPSCIGSNSRNKTVISSWNCLSTGNSNRQHPYSFCNKEALAVKPVESKEQEEPGINLGLILGIIGGVVAIAGAIALLMFVIIRRSRTTEDANYDERSIVDKMSVRSSPKPPIDSRRVPQTMRSAAIGLPRYRVFSLEEIEDATNNFNPSNFMGEGSQGQLYKGWLVDGSVVVVKCLKLQQKHAPQNLMQHMEVLSKLRHRHLVSVLGHCIVTYQDHPNVASTVFVVFEHISNGSLRDHLTDWKKKEILKWPQRMAITIGAARGVQFLHTGISPGIYGNDLKIDNILLDETLTPKISNYNIPLPLKV >KJB29484 pep chromosome:Graimondii2_0_v6:5:17635046:17641597:-1 gene:B456_005G103000 transcript:KJB29484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVYSVSAPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGILKTREKVVTICVNGIEANFHMFLDHTGEAYFLREVDGDEEESEAVSFPFPSGYETDEPSSAYRRPLKSKSCNFGGDKLNSMDQIDVNNMKIVARTTSQQSEIFGHVFGLGSEKEDDYREDGGGVMRLESLERAEIAAGLLEMKWSTNIVSDSSNGNASPFSSTNDALDDKEFEEDTQVSDEQSQLQSSVNDKEENSIGHQILIGESDFCKTSSLREGFMEEKSEIIANNSGTVDGNSVGDSDLQCQYKLEACKDEQFDGELADDERKETVSDRLQSFVPESSVRTSDGSSEQTHETLCLSDEGNGEVHVHAETLVRTTELVPEVNSHPMSSVLPSESSEDEQFVFSDLAEFKHHEPDCINKDHPSICTESEEVNGLCNVNSESLDSDKFVEESPAANMENSIERSGIISDPISIFGNHGVVGEKDGLQVESLPIMQSPTAKLDSSNNCPVSQSLDSTSETIRWTSIRKDDSNEIRSDADEEQQSAHESSSREESETSGKLKNIIRSSAVEISFCKHLLYEGMGAEAARQAFDAEKLDNKKFSSLGETVVKNDRLVVRIDGHYFPWDAAAPILLGMVTFGSEKIIEPTGMIPIDRLEKSVEGGPSKAIVTQSRKWRLWPFSLKRSRSRKAAQPGAADTRGSDAGNAADDSVASDDDENFLTPKPVKKMIRAITPTSEQLASLNLKDGMNQITFTFSTAMLGKQQVDARIYLWHWNTHVVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLANLKQDGKALPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEEIKALFPSDCNPFYAGFGNRDTDEISYLKVGIPRGKIFIINPKGEVVVNRRVDTKSYSSLHALVHGMFPPMASHEQDMYCIRVLQQKHEELEQHSHLLLVSYRLKYKVLLNSFLGMAYRFFFCSASLFVLITGGL >KJB29485 pep chromosome:Graimondii2_0_v6:5:17635046:17641597:-1 gene:B456_005G103000 transcript:KJB29485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVYSVSAPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGILKTREKVVTICVNGIEANFHMFLDHTGEAYFLREVDGDEEESEAVSFPFPSGYETDEPSSAYRRPLKSKSCNFGGDKLNSMDQIDVNNMKIVARTTSQQSEIFGHVFGLGSEKEDDYREDGGGVMRLESLERAEIAAGLLEMKWSTNIVSDSSNGNASPFSSTNDALDDKEFEEDTQVSDEQSQLQSSVNDKEENSIGHQILIGESDFCKTSSLREGFMEEKSEIIANNSGTVDGNSVGDSDLQCQYKLEACKDEQFDGELADDERKETVSDRLQSFVPESSVRTSDGSSEQTHETLCLSDEGNGEVHVHAETLVRTTELVPEVNSHPMSSVLPSESSEDEQFVFSDLAEFKHHEPDCINKDHPSICTESEEVNGLCNVNSESLDSDKFVEESPAANMENSIERSGIISDPISIFGNHGVVGEKDGLQVESLPIMQSPTAKLDSSNNCPVSQSLDSTSETIRWTSIRKDDSNEIRSDADEEQQSAHESSSREESETSGKLKNIIRSSAVEISFCKHLLYEGMGAEAARQAFDAEKLDNKKFSSLGETVVKNDRLVVRIDGHYFPWDAAAPILLGMVTFGSEKIIEPTGMIPIDRLEKSVEGGPSKAIVTQSRKWRLWPFSLKRSRSRKAAQPGAADTRGSDAGNAADDSVASDDDENFLTPKPVKKMIRAITPTSEQLASLNLKDGMNQITFTFSTAMLGKQQVLFVVFLLEVDARIYLWHWNTHVVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLANLKQDGKALPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEEIKALFPSDCNPFYAGFGNRDTDEISYLKVGIPRGKIFIINPKGEVVVNRRVDTKSYSSLHALVHGMFPPMASHEQDMYCIRVLQQKHEELEQHSHLLLVSYRLKYKVLLNSFLGMAYRFFFCSASLFVLITGGL >KJB29487 pep chromosome:Graimondii2_0_v6:5:17635659:17641597:-1 gene:B456_005G103000 transcript:KJB29487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVYSVSAPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGILKTREKVVTICVNGIEANFHMFLDHTGEAYFLREVDGDEEESEAVSFPFPSGYETDEPSSAYRRPLKSKSCNFGGDKLNSMDQIDVNNMKIVARTTSQQSEIFGHVFGLGSEKEDDYREDGGGVMRLESLERAEIAAGLLEMKWSTNIVSDSSNGNASPFSSTNDALDDKEFEEDTQVSDEQSQLQSSVNDKEENSIGHQILIGESDFCKTSSLREGFMEEKSEIIANNSGTVDGNSVGDSDLQCQYKLEACKDEQFDGELADDERKETVSDRLQSFVPESSVRTSDGSSEQTHETLCLSDEGNGEVHVHAETLVRTTELVPEVNSHPMSSVLPSESSEDEQFVFSDLAEFKHHEPDCINKDHPSICTESEEVNGLCNVNSESLDSDKFVEESPAANMENSIERSGIISDPISIFGNHGVVGEKDGLQVESLPIMQSPTAKLDSSNNCPVSQSLDSTSETIRWTSIRKDDSNEIRSDADEEQQSAHESSSREESETSGKLKNIIRSSAVEISFCKHLLYEGMGAEAARQAFDAEKLDNKKFSSLGETVVKNDRLVVRIDGHYFPWDAAAPILLGMVTFGSEKIIEPTGMIPIDRLEKSVEGGPSKAIVTQSRKWRLWPFSLKRSRSRKAAQPGAADTRGSDAGNAADDSVASDDDENFLTPKPVKKMIRAITPTSEQLASLNLKDGMNQITFTFSTAMLGKQQVDARIYLWHWNTHVVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLANLKQDGKALPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEEIKALFPSDCNPFYAGFGNRDTDEISYLKVGIPRGKIFIINPKFQVCRVRLL >KJB29483 pep chromosome:Graimondii2_0_v6:5:17634149:17642232:-1 gene:B456_005G103000 transcript:KJB29483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVYSVSAPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGILKTREKVVTICVNGIEANFHMFLDHTGEAYFLREVDGDEEESEAVSFPFPSGYETDEPSSAYRRPLKSKSCNFGGDKLNSMDQIDVNNMKIVARTTSQQSEIFGHVFGLGSEKEDDYREDGGGVMRLESLERAEIAAGLLEMKWSTNIVSDSSNGNASPFSSTNDALDDKEFEEDTQVSDEQSQLQSSVNDKEENSIGHQILIGESDFCKTSSLREGFMEEKSEIIANNSGTVDGNSVGDSDLQCQYKLEACKDEQFDGELADDERKETVSDRLQSFVPESSVRTSDGSSEQTHETLCLSDEGNGEVHVHAETLVRTTELVPEVNSHPMSSVLPSESSEDEQFVFSDLAEFKHHEPDCINKDHPSICTESEEVNGLCNVNSESLDSDKFVEESPAANMENSIERSGIISDPISIFGNHGVVGEKDGLQVESLPIMQSPTAKLDSSNNCPVSQSLDSTSETIRWTSIRKDDSNEIRSDADEEQQSAHESSSREESETSGKLKNIIRSSAVEISFCKHLLYEGMGAEAARQAFDAEKLDNKKFSSLGETVVKNDRLVVRIDGHYFPWDAAAPILLGMVTFGSEKIIEPTGMIPIDRLEKSVEGGPSKAIVTQSRKWRLWPFSLKRSRSRKAAQPGAADTRGSDAGNAADDSVASDDDENFLTPKPVKKMIRAITPTSEQLASLNLKDGMNQITFTFSTAMLGKQQVDARIYLWHWNTHVVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLANLKQDGKALPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEEIKALFPSDCNPFYAGFGNRDTDEISYLKVGIPRGKIFIINPKGEVVVNRRVDTKSYSSLHALVHGMFPPMASHEQEDYNSWNFWKLPPPGIDI >KJB29482 pep chromosome:Graimondii2_0_v6:5:17634149:17642232:-1 gene:B456_005G103000 transcript:KJB29482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVYSVSAPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGILKTREKVVTICVNGIEANFHMFLDHTGEAYFLREVDGDEEESEAVSFPFPSGYETDEPSSAYRRPLKSKSCNFGGDKLNSMDQIDVNNMKIVARTTSQQSEIFGHVFGLGSEKEDDYREDGGGVMRLESLERAEIAAGLLEMKWSTNIVSDSSNGNASPFSSTNDALDDKEFEEDTQVSDEQSQLQSSVNDKEENSIGHQILIGESDFCKTSSLREGFMEEKSEIIANNSGTVDGNSVGDSDLQCQYKLEACKDEQFDGELADDERKETVSDRLQSFVPESSVRTSDGSSEQTHETLCLSDEGNGEVHVHAETLVRTTELVPEVNSHPMSSVLPSESSEDEQFVFSDLAEFKHHEPDCINKDHPSICTESEEVNGLCNVNSESLDSDKFVEESPAANMENSIERSGIISDPISIFGNHGVVGEKDGLQVESLPIMQSPTAKLDSSNNCPVSQSLDSTSETIRWTSIRKDDSNEIRSDADEEQQSAHESSSREESETSGKLKNIIRSSAVEISFCKHLLYEGMGAEAARQAFDAEKLDNKKFSSLGETVVKNDRLVVRIDGHYFPWDAAAPILLGMVTFGSEKIIEPTGMIPIDRLEKSVEGGPSKAIVTQSRKWRLWPFSLKRSRSRKAAQPGAADTRGSDAGNAADDSVASDDDENFLTPKPVKKMIRAITPTSEQLASLNLKDGMNQITFTFSTAMLGKQQVDARIYLWHWNTHVVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLANLKQDGKALPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEEIKALFPSDCNPFYAGFGNRDTDEISYLKVGIPRGKIFIINPKGEVVVNRRVDTKSYSSLHALVHGMFPPMASHEQEDYNSWNFWKLPPPGIDI >KJB29486 pep chromosome:Graimondii2_0_v6:5:17634149:17642232:-1 gene:B456_005G103000 transcript:KJB29486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVYSVSAPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGILKTREKVVTICVNGIEANFHMFLDHTGEAYFLREVDGDEEESEAVSFPFPSGYETDEPSSAYRRPLKSKSCNFGGDKLNSMDQIDVNNMKIVARTTSQQSEIFGHVFGLGSEKEDDYREDGGGVMRLESLERAEIAAGLLEMKWSTNIVSDSSNGNASPFSSTNDALDDKEFEEDTQVSDEQSQLQSSVNDKEENSIGHQILIGESDFCKTSSLREGFMEEKSEIIANNSGTVDGNSVGDSDLQCQYKLEACKDEQFDGELADDERKETVSDRLQSFVPESSVRTSDGSSEQTHETLCLSDEGNGEVHVHAETLVRTTELVPEVNSHPMSSVLPSESSEDEQFVFSDLAEFKHHEPDCINKDHPSICTESEEVNGLCNVNSESLDSDKFVEESPAANMENSIERSGIISDPISIFGNHGVVGEKDGLQVESLPIMQSPTAKLDSSNNCPVSQSLDSTSETIRWTSIRKDDSNEIRSDADEEQQSAHESSSREESETSGKLKNIIRSSAVEISFCKHLLYEGMGAEAARQAFDAEKLDNKKFSSLGETVVKNDRLVVRIDGHYFPWDAAAPILLGMVTFGSEKIIEPTGMIPIDRLEKSVEGGPSKAIVTQSRKWRLWPFSLKRSRSRKAAQPGAADTRGSDAGNAADDSVASDDDENFLTPKPVKKMIRAITPTSEQLASLNLKDGMNQITFTFSTAMLGKQQVLFVVFLLEVDARIYLWHWNTHVVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLANLKQDGKALPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEEIKALFPSDCNPFYAGFGNRDTDEISYLKVGIPRGKIFIINPKGEVVVNRRVDTKSYSSLHALVHGMFPPMASHEQEDYNSWNFWKLPPPGIDI >KJB32092 pep chromosome:Graimondii2_0_v6:5:60549954:60550888:-1 gene:B456_005G223200 transcript:KJB32092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPEPRRDRSEPRAPSKGKGKKHTSIGSSSGSGRRSSSSNLGYSDSSTSTQGFYPPEQPSHGYPQPYGYYPPFPNYGVPYQPQMYPPPPMYHPPPPFMYPPPQIYPPYQLNEN >KJB28084 pep chromosome:Graimondii2_0_v6:5:2349170:2352262:1 gene:B456_005G026600 transcript:KJB28084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLDDQRSPLLQLQHHLYYAPNFTFSSNFDLWDVNTHCCSWEGVTCDAYGHVVGIDLSYKNLSGSFHPIFNLHRLQRLNLAGNNFNTTLFSYGFDKLQNLTHLNLSSSCFHGQIPLEISYLTRLVSLDLSNQDSCYLRYYTILDPYSGVYYYYDPLPYELQQPLKLENPNFKTLIKNLRFLTELYLDSVDISTQSAKWCETTSLVLSNLRVLSLSNCGLKGPLCPSLSRLSFLSKLILDGNPISYLPPNFLEISSRLVSLSLSGCNLNGQFPTGILLLPQIQSIHISSNDQLKGQLPEFPANNALQSLLLSYTNFSGKLPQSIGNLKFLTDLDLYYCNFFGPIPSSIANLSHLVNLDLSNNYLTGPIPKSILQLPRLEGLYIGENSFSSMKLDMFVQLKNLKGLHLSNVSLLSESDNKSLTFPQLESLSLRSCNLTEFPEFIKRQDKLKYLDLSNNKISGAIPNWVWKKSLWYLFLANNHLSSLDQLLPNQSSPSSQTSLTRPICNLSQLRNFNASHNNLSGPIPNCLGKMNDLNLLDLQGNSFSGMLPKFSKATQLYILKVSENRLEGKLPRSLVECTLLEVLDVGNNMMNDTFPFWLQKLPYLMVLILRENRFYGQIKHFKHKFVFPNLDVLDIASNQFSGELSINFLQTTRLRSLKIGGNKLEGKLSRSLANCKALEVLDLGNNMVHDTFPFWLEKLPSLKVLILRANRFYGTIAEFNTERGFPKLRILDIGSNNFSGNLSIEFLLSLKAMMQLRNDDKAKLDYIGEDYYQDSVTIFNKGIEMFYQKVLTILTCLDLSNNSFHGRIPEEIQMLRSLKVLNLSYNSFSGEIPVALGNLKDLESLDLSQNELSGKIPPQLTSLTFLAALNLSYNQLEGSIPQSNQFITFSNDSYHGNPKLCGLPLSRKCNEVGLPMPPPPRGDEESWLYAMTTWKIALMGYANGLVVGLCIGYTGLNELGNKWVDRLKKHGERNKRRSR >KJB29476 pep chromosome:Graimondii2_0_v6:5:17450598:17450939:1 gene:B456_005G102500 transcript:KJB29476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRNCSKKSKCTRKCFPVPLTKPQVKRILESFDRNGDGRLSKQELKSALYYMGSYVPSWRAAQAHIEGEMDDLVEYVWQCVYTVS >KJB29477 pep chromosome:Graimondii2_0_v6:5:17450598:17451190:1 gene:B456_005G102500 transcript:KJB29477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRNCSKKSKCTRKCFPVPLTKPQVKRILESFDRNGDGRLSKQELKSALYYMGSYVPSWRAAQAA >KJB30447 pep chromosome:Graimondii2_0_v6:5:39141475:39144268:1 gene:B456_005G144500 transcript:KJB30447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKEGVDPASEFTSDSSWALAGGPDSVSDSVNYFFDRESNILSEFNWNLQPDHAEQIDRFDELERADTRPYLAGSFSGSHSCVAAAAAACGSGSGSGTASSPAGGSADVSTLIPSVSSSSGEDPSEKHTGSGGKPPEIPSKARKKGQKRIRQPRFAFMTKSEVEHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPTIVITTYEGQHCHHSVGFPRGGLISHEAAFAGRFTPSVSQFYYPHGEIPASIIRSQQVPIEIVESRTLPQTTNEGLLGDIVPPGIRNR >KJB30448 pep chromosome:Graimondii2_0_v6:5:39141475:39145239:1 gene:B456_005G144500 transcript:KJB30448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKEGVDPASEFTSDSSWALAGGPDSVSDSVNYFFDRESNILSEFNWNLQPDHAEQIDRFDELERADTRPYLAGSFSGSHSCVAAAAAACGSGSGSGTASSPAGGSADVSTLIPSVSSSSGEDPSEKHTGSGGKPPEIPSKARKKGQKRIRQPRFAFMTKSEVEHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPTIVITTYEGQHCHHSVGFPRGGLISHEAAFAGRFTPSVSQFYYPHGEIPASIIRSQQVPIEIVESRTLPQTTNEGLLGDIVPPGIRNR >KJB30426 pep chromosome:Graimondii2_0_v6:5:40836657:40836908:-1 gene:B456_005G149200 transcript:KJB30426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGGKKKSSSSKSLFYEAPLGYSIEDVRPNGGIKKFRSAAYSNCARKPS >KJB30865 pep chromosome:Graimondii2_0_v6:5:48024278:48027999:1 gene:B456_005G164600 transcript:KJB30865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAPSSASFKRARPPGSGNQVPSCLVDGCTADLSKCRDYHRRHKVCEVHSKTPKVSIRGQEQRFCQQCSRFHSLVEFDEGKRSCRKRLDGHNRRRRKPQPNALTVNSGSLLSNPPGSRYLLFSSPQIFSTTSMTDSSTGAVKVKTDISSSLNFSSRNSSFVGASSLNYKGERQFSFLQSANSSHPGVSVCQPLVNGNPSSSNGGISQKMLLNGLNRTVESNRALSLLSSQPAETREISLSPMVQSGSTSSLIPNLQYKGLGMEGEQVGTILATDQITNTDLHGNGVFRIGHPGSSASGTHHRLTFSWE >KJB30864 pep chromosome:Graimondii2_0_v6:5:48024278:48028020:1 gene:B456_005G164600 transcript:KJB30864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAPSSASFKRARPPGSGNQVPSCLVDGCTADLSKCRDYHRRHKVCEVHSKTPKVSIRGQEQRFCQQCSRFHSLVEFDEGKRSCRKRLDGHNRRRRKPQPNALTVNSGSLLSNPPGSRYLLFSSPQIFSTTSMTDSSTGAVKVKTDISSSLNFSSRNSSFVGASSLNYKGERQFSFLQSANSSHPGVSVCQPLVNGNPSSSNGGISQKMLLNGLNRTVESNRALSLLSSQPAETREISLSPMVQSGSTSSLIPNLQYKGLGMEGEQVGTILATDQITNTDLHGNGVFRIGHPGSSASGTHHRLTFSWE >KJB29423 pep chromosome:Graimondii2_0_v6:5:15761714:15764391:1 gene:B456_005G099900 transcript:KJB29423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVNYSTEEMKPLKIKVAAIVVVLSLCYACVMAEQAKRTYIVHMDKSNMPECFTHHSLWYDSSLKSVSKSASMLYRYENVIHGYSARLTPEEAESLGKQSGVLSVLPDVRYELHTTRTPEFLGLGNNSALIPTTASTSEVIVGILDTGVWPELKSFDDSELGPVPSGWKGKCEVGQNFSSSSCNKKLIGARYYLQGYEAALGPIDETMESKSPRDDDGHGTHTATTAAGSVVPNANLLGYASGTARGMASHARVAIYKVCWLNGCFISDITAGMDRAIADGVDIMSMSIGGHITEYYLDIIAIGAFTAAAHGIFVSCSAGNEGPEAGSLLNVAPWITTVGAGTLDRDFPASITLGNNMRYTGVTLYNGKQLSNSTVPLVYGGSVSNSSNGSLCIDGSLIPEKVRGKIVVCDRGGSDRVEKAVVVKAAGGVGMILANTLTFGEELLADAHLLPSAAVGQIAGDSIKKYISFDPNPTATIGPGTTMLGVQPSPVVAAFSSRGPNPVTPAIFKPDIIAPGVNILAGWTGEVGPTGLAIDQRHVKFNIVSGTSMSCPHVSGLAAILKAAHPEWSPAAIKSALMTTAYSTYPNGEKIKDVATGGPATPFDYGAGHVDPIAALDPGLVYDTTIDDYLGFLCALNYTPSQIKSTTQTNLTCQKSKKYTLGDFNYPSFSVPFQTGLRSTVKYTRTITNVGVPATYKISLYSQTQAVKMSVVPAILSFSAQYEKKSYTVTFRAISMPPGSTGFARLEWSDGKHIVSSPIAYIWT >KJB28111 pep chromosome:Graimondii2_0_v6:5:2432781:2434806:-1 gene:B456_005G027900 transcript:KJB28111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYLWRKYADYVYNKWERTFLWDMLEPYRRPKSFTPLVTIYVAAFYTGVIGAAITEQLYKEKYWEDHPGEAVPLMKPKFYGGPWKVLKGDVPPSE >KJB28113 pep chromosome:Graimondii2_0_v6:5:2432570:2435131:-1 gene:B456_005G027900 transcript:KJB28113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYLWRKYADYVYNKWERTFLWDMLEPYRRPKSFTPLVTIYVAAFYTGVIGAAITEQLYKEKYWEDHPGEAVPLMKPKFYGGPWKVLKGDVPPSE >KJB28112 pep chromosome:Graimondii2_0_v6:5:2433008:2433833:-1 gene:B456_005G027900 transcript:KJB28112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYLWRKYADYVYNKWERTFLWDMLEPYRRPKSFTPLVTIYVAAFYTGVIGAAITEQLYKEKYWEDHPGEAVPLMKPKFYGGPWKVLKGDVPPSE >KJB29581 pep chromosome:Graimondii2_0_v6:5:19669765:19670137:1 gene:B456_005G109000 transcript:KJB29581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINPTTFVPGVSTLEIENLGRISQIISPVLDVAFPPGKMPNIYNALVVKGRDTVGQQIKVTCEVQQLLGNNRVRAVAMSATDSLMRGMEVIDTGAALSVPVGGATLG >KJB30499 pep chromosome:Graimondii2_0_v6:5:40389187:40392438:-1 gene:B456_005G147400 transcript:KJB30499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNMSFSHDMGDEYEKFIRRMNPPRVVIDNEACKNATVIRVDCANEHGILLEVVQILTDLNLIINKAYISADGNWFMDVFNVTDQDGNKITDEGILDYITKSLGPESCFTSAMRSVGVKQTMDHTEIELTGSDRPGLLSELSAVLKHLKCNVVNAEVWTHNTRAAAVIQVTDEETGTSITNLERLSRIKALLCNVLKGSNKSSLAKTIVSHSVTHTDRRLHQMMFADRDYEQTGDDVLEDRQRPNVDVVNWYDKDYSVVTIRCKDRPKLLFDTVCTLTDMGYVVFHAKIDTEGPQSYQEYYIRHVDGSPVKSDAERQRVTQCLEAAIKRRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVSRAEVTTKDGKAVNTFYVRDASGYPVDAKTIEFIRQVIGQTILKVKGSPEDVKSVSQESPTRFLFGGLFKSRSFVNFSLVRSYS >KJB30500 pep chromosome:Graimondii2_0_v6:5:40389267:40392033:-1 gene:B456_005G147400 transcript:KJB30500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNMSFSHDMGDEYEKFIRRMNPPRVVIDNEACKNATVIRVDCANEHGILLEVVQILTDLNLIINKAYISADGNWFMDVFNVTDQDGNKITDEGILDYITKSLGPESCFTSAMRSVGVKQTMDHTEIELTGSDRPGLLSELSAVLKHLKCNVVNAEVWTHNTRAAAVIQVTDEETGTSITNLERLSRIKALLCNVLKGSNKSSLAKTIVSHSVTHTDRRLHQMMFADRDYEQTGDDVLEDRQRPNVDVVNWYDKDYSVVTIRCKDRPKLLFDTVCTLTDMGYVVFHAKIDTEGPQSYQVVRFLLSLHGRFHESEILYDFMRL >KJB32379 pep chromosome:Graimondii2_0_v6:5:61972922:61974911:-1 gene:B456_005G238100 transcript:KJB32379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSQSHEGGIIDSDCEEEEEEEEEEEEEEEEEEEAEEQYDDALGDHQKSGSSSGTHKGLDEIDAKLKSLKLKYSSYQQQGNPNLKNPVKLLLHIGGNTPKAKWVISEKLTSYDFVKTAKTNGNDDELDSAGWVLEVGSKVRVRVSTEMQMKMFGDQRRVDFVDKAVWALKFLSDYEYRNFVTLFQNCLFENAYGMEASEENKVKVYGKEFIGWVKPEVADDSMWEDAKDHSTDSAPFKGSRGSIEEFEEAANGGVRSLALGALDNSFLVNDIGVHVYRNLNRGINGKGICVKYDGGGNASNLTLKKTLLMRAETNIMLMSPANKGKPNATGLKQVDIETGKIVTEWKFEKDGTDITMRDITNDNKGSQMDPSESTFLGLNDKILCQWDMRDKKGMVQDIATSGSPVLHWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKASMRQAKTAFPGLGSPITQVDVTYDGKWVLGTTDTYLILICTLFTDKDGRTKTGFTGRMGNRIPAPRLLKLTPLDSHLAGNDNKFHGGHFSWVTESGKQERHVVATVGKFSVVWDFQQVKNTSHGCYRNQQGLKSCYCYKIVLKDESIVESRFMHDKYAGSDLPEAPLIVATPLKVSSISLSGSGR >KJB32381 pep chromosome:Graimondii2_0_v6:5:61972723:61975006:-1 gene:B456_005G238100 transcript:KJB32381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMKMFGDQRRVDFVDKAVWALKFLSDYEYRNFVTLFQNCLFENAYGMEASEENKVKVYGKEFIGWVKPEVADDSMWEDAKDHSTDSAPFKGSRGSIEEFEEAANGGVRSLALGALDNSFLVNDIGVHVYRNLNRGINGKGICVKYDGGGNASNLTLKKTLLMRAETNIMLMSPANKGKPNATGLKQVDIETGKIVTEWKFEKDGTDITMRDITNDNKGSQMDPSESTFLGLNDKILCQWDMRDKKGMVQDIATSGSPVLHWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKASMRQAKTAFPGLGSPITQVDVTYDGKWVLGTTDTYLILICTLFTDKDGRTKTGFTGRMGNRIPAPRLLKLTPLDSHLAGNDNKFHGGHFSWVTESGKQERHVVATVGKFSVVWDFQQVKNTSHGCYRNQQGLKSCYCYKIVLKDESIVESRFMHDKYAGSDLPEAPLIVATPLKVSSISLSGSGR >KJB32380 pep chromosome:Graimondii2_0_v6:5:61972723:61975114:-1 gene:B456_005G238100 transcript:KJB32380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMKMFGDQRRVDFVDKAVWALKFLSDYEYRNFVTLFQNCLFENAYGMEASEENKVKVYGKEFIGWVKPEVADDSMWEDAKDHSTDSAPFKGSRGSIEEFEEAANGGVRSLALGALDNSFLVNDIGVHVYRNLNRGINGKGICVKYDGGGNASNLTLKKTLLMRAETNIMLMSPANKGKPNATGLKQVDIETGKIVTEWKFEKDGTDITMRDITNDNKGSQMDPSESTFLGLNDKILCQWDMRDKKGMVQDIATSGSPVLHWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKASMRQAKTAFPGLGSPITQVDVTYDGKWVLGTTDTYLILICTLFTDKDGRTKTGFTGRMGNRIPAPRLLKLTPLDSHLAGNDNKFHGGHFSWVTESGKQERHVVATVGKFSVVWDFQQVKNTSHGCYRNQQGLKSCYCYKIVLKDESIVESRFMHDKYAGSDLPEAPLIVATPLKVSSISLSGSGR >KJB29218 pep chromosome:Graimondii2_0_v6:5:12057430:12059271:-1 gene:B456_005G089800 transcript:KJB29218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYKDDTYSCYFHPKQQVIGVCPLCLNDRLLLLASKQPRHSSSSSSSSTRGSHGFIQGFSLKKPPINKLPKIFALGSLLNRLEFKHWKPGNSDDDVLDVSTSQEDSFISIKFEENGVGSWENGKVSKGSIEHCSKSWNPTLTKGITKEPKVTNKTVIEHAKPRALLKWRKRIGHLSQLIKWKRSKANVCQMGSKVNGINLMGKGWIRTLTKRTKE >KJB30533 pep chromosome:Graimondii2_0_v6:5:40586104:40587759:1 gene:B456_005G148200 transcript:KJB30533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSIEDFVGDGVLKELIPKLLEEGWDDVPTLKIMNPEDMDAINMTQHQKDALEIRTYLHDRALMQYGDKLEASRKSLPELLNLSTEDLSSQFGMRRGHIARFTDRNNKCTDPLPKSYGLPARKLTVTPSRSNTIYKMQTIRKSFCRSSTNNDRSLEESLADFKIKDRYIFKGIVAAGPAEPRACGCIQPPPVVDNVAPYSAIENISVQRLTPEYKIGMERLVKTKTPPMKASELWRDKPAVLLCIRRPGCIMCRAEAHQLYAKKPIFDALGIQMFAVLHEHIESEIKDFWPRYWGGVVVFDRTTGFFKALGGGKLLKDKFLSGFVFNPRAIANYKRAKSMGIEQNFKGEGEIKGGLFVVGPGGTGIAYQFIERNFGDWAPVAEVVEICARLKNQQQDEGDSSRSPQEHK >KJB31739 pep chromosome:Graimondii2_0_v6:5:58505587:58506320:-1 gene:B456_005G205800 transcript:KJB31739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSRAWIVAASIGAVEALKDQGICRWNYTVRSAVQHAKNHMRSASQAKNLSSQSSAAISKGLRQSKQSEEALRTVMYLSCWGPN >KJB28754 pep chromosome:Graimondii2_0_v6:5:7228236:7229486:1 gene:B456_005G067600 transcript:KJB28754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGLMNLFSLCWKPFGPDGENHETNGVLGGGGGTTGGSREGKDRLLWFRDIGKYGSGEFSMAVVQANQVLEDQSQIESGQFGTFVGIYDGHGGPEAARYVCDHLFSNFRAITAESHGVVTAETIQRAFRQTEEGFTTLVSELLGSRPNMATVGTCCLVGVIYQQTLFIASLGDSRVVLGKKVGNTGGMAAMQLSTEHNANIEAIRHELKELHPNDPQIVVLKHGVWRVKGIIQVTLTPAYCITFNHWHG >KJB28755 pep chromosome:Graimondii2_0_v6:5:7228851:7230575:1 gene:B456_005G067600 transcript:KJB28755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSYVGILRLCVYAAITAESHGVVTAETIQRAFRQTEEGFTTLVSELLGSRPNMATVGTCCLVGVIYQQTLFIASLGDSRVVLGKKVGNTGGMAAMQLSTEHNANIEAIRHELKELHPNDPQIVVLKHGVWRVKGIIQVSRSIGDLYMKHAQYNREPINAKFRLPEPMNMPLLSANPTIISHPLHPSDSFLIFASDGLWEHLSNEKAVDIVHSHPRAGSAKRLVKAALQEAARKREMRYSDLRKIDKKVRRHFHDDITVIVLFFNHDLISRGAVQDPPVSIRSALEH >KJB28750 pep chromosome:Graimondii2_0_v6:5:7227732:7230613:1 gene:B456_005G067600 transcript:KJB28750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGLMNLFSLCWKPFGPDGENHETNGVLGGGGGTTGGSREGKDRLLWFRDIGKYGSGEFSMAVVQANQVLEDQSQIESGQFGTFVGIYDGHGGPEAARYVCDHLFSNFRAITAESHGVVTAETIQRAFRQTEEGFTTLVSELLGSRPNMATVGTCCLVGVIYQQTLFIASLGDSRVVLGKKVGNTGGMAAMQLSTEHNANIEAIRHELKELHPNDPQIVVLKHGVWRVKGIIQVSRSIGDLYMKHAQYNREPINAKFRLPEPMNMPLLSANPTIISHPLHPSDSFLIFASDGLWEHLSNEKAVDIVHSHPRAGSAKRLVKAALQEAARKREMRYSDLRKIDKKVRRHFHDDITVIVLFFNHDLISRGAVQDPPVSIRSALEH >KJB28753 pep chromosome:Graimondii2_0_v6:5:7227757:7230575:1 gene:B456_005G067600 transcript:KJB28753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVGTCCLVGVIYQQTLFIASLGDSRVVLGKKVGNTGGMAAMQLSTEHNANIEAIRHELKELHPNDPQIVVLKHGVWRVKGIIQVSRSIGDLYMKHAQYNREPINAKFRLPEPMNMPLLSANPTIISHPLHPSDSFLIFASDGLWEHLSNEKAVDIVHSHPRAGSAKRLVKAALQEAARKREMRYSDLRKIDKKVRRHFHDDITVIVLFFNHDLISRGAVQDPPVSIRSALEH >KJB28751 pep chromosome:Graimondii2_0_v6:5:7227757:7230575:1 gene:B456_005G067600 transcript:KJB28751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGLMNLFSLCWKPFGPDGENHETNGVLGGGGGTTGGSREGKDRLLWFRDIGKYGSGEFSMAVVQANQVLEDQSQIESGQFGTFVGIYDGHGGPEAARYVCDHLFSNFRAITAESHGVVTAETIQRAFRQTEEGFTTLVSELLGSRPNMATVGTCCLVGVIYQQTLFIASLGDSRVVLGKKVGNTGGMAAMQLSTEHNANIEAIRHELKELHPNDPQIVVLKHGVWRVKGIIQVTLTPAYCITFNHWHG >KJB28752 pep chromosome:Graimondii2_0_v6:5:7227757:7230575:1 gene:B456_005G067600 transcript:KJB28752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGLMNLFSLCWKPFGPDGENHETNGVLGGGGGTTGGSREGKDRLLWFRDIGKYGSGEFSMAVVQANQVLEDQSQIESGQFGTFVGIYDGHGGPEAARYVCDHLFSNFRAITAESHGVVTAETIQRAFRQTEEGFTTLVSELLGSRPNMATVGTCCLVGVIYQQTLFIASLGDSRVVLGKKVGNTGGMAAMQLSTEHNANIEAIRHELKELHPNDPQIVVLKHGVWRVKGIIQVSRSIGDLYMKHAQYNREPINAKFRLPEPMNMPLLSANPTIISHPLHPSDSFLIFASDGLWEHLSNEKAVDIVHSHPRAVRFCPYFSVHQS >KJB28509 pep chromosome:Graimondii2_0_v6:5:5205376:5208221:-1 gene:B456_005G052800 transcript:KJB28509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQVMFTSFQAGMIAANGLLGKSCYILLSNPKPMVPSLVETGARLFVTKFLSHFISTGSVILLENGGTMFTFEGTTKKCSLKTVINVHNPYFYWKPLMSTKIAFQVRTAADISLADAYINGDISFGDKRYGFLNVLMASMNTVFFTAGLTSAKYCFKHFSRRNTLTQARRNISRHYDLSNELFALFMDETMAHSCAVFKTEDEDLTDAQQRKFSLLIEEVIPYNEILEIGCGWGGLAIEVVKRTGCKYIGITLSEEQFKLAEKRVKEAGLQENIRFQLCDYRQLPSTYKYDKIISCEMIAAVGHEYMEDFFDCCESVLAEDGLLVLQFTSIPEKRFDEYRKRVTTAMNAASRLCVEYVENIGRHYYQTLRHWRKNFLEKQSKINALGFNDKFIRTWEYYFDYCAAGFKSNTLGNYHEYEI >KJB28774 pep chromosome:Graimondii2_0_v6:5:7334146:7334999:1 gene:B456_005G068800 transcript:KJB28774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLVTMETKAKAEVYHGNQTCRDKFTSLLAAKGLPNGLLTLQDIHECGYVKDTGFVWLRRRHCRHKMSSKKDSFYRFENVVISYDTEITAYFETNKIKNLTGVKAKEFMIWVNLTEIYVQQSPSSTSMITFKTPVGLSRSFPVSVFEAGAVAVKELTEEGKEGK >KJB31563 pep chromosome:Graimondii2_0_v6:5:56860101:56863594:-1 gene:B456_005G195900 transcript:KJB31563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTEQPVLGVPYYAGPRMDGYQQGRIPANAIYGDPKGIPIQQTMYRDTPAPFNCPYCGNSGLTLVRSKPSLAAVVGCMMPFMLGICFLCPSMDCLWHKYHYCPNCNEKIADFEKSDPCLVVDLPQWMQKSFALPA >KJB31564 pep chromosome:Graimondii2_0_v6:5:56860050:56861496:-1 gene:B456_005G195900 transcript:KJB31564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTEQPVLGVPYYAGPRMDGYQQGRIPANAIYGDPKGIPIQQTMYRDTPAPFNCPYCGNSGLTLVRSKPSLAAVVGCMMPFMLGICFLCPSMDCLWHKYHYCPNCNEKIADFEKSDPCLVVDLPQWMQKSFALPA >KJB27889 pep chromosome:Graimondii2_0_v6:5:1073418:1077343:1 gene:B456_005G014900 transcript:KJB27889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRHQYPLDLAGQEAFKNFVKVSLWKNNHGKTAYCCLIAHFIDDGWELKIKILGLRKLEHVHDTKVVGGIIGSFVSEWNISKKVCSITVDNSFLNDGMVHQIRENCVSEQGSLSTAHWFISFTLLEDGFREMDTILSKLWKSIEYVTETTHGKLNFQEAVNQVKLQGGKSWDELSFKLESDSDILDSALRSREIFCKLDQIDDNFMLNLSKEEWEKAVTLQNCFKCFDDIKGTQSLTANLYFPKLCNMYEEFGQLKKSNHPFVILMKRKFDNYWSLCNVAFTIAAALDPRLKFRSSCNETYDLESMMKLIRFRKVLMDVYSEYANEAKNLSASSSVLDDYNSLAAQTTKDCIVSYFSKFASASNVKEVASQKSELDCYLEETLLPSDADILGWWRVNSERFPTLAKMARDFLAIPVSVSSPCSNISAMTINPVYSSLDPESMEALVCSQNWLESTKENEGDHHEPMQNMDKRKRKMEENDTSTVKVFKNRPHEKASSNGDIASDFNKNDGSLSFDNWMEPQCSPSESVGEKAEIMEASVCNRDRLESSIGKTNHGRNIAAAIEIPNDEPSFNSNQSDQFQSSSSESDDETTLREQGSWCREDVRTYLVSSFTNKEKKRLNRWKRKKIGQDKEFQLMGENLTPLLMVPHCDETLREYYIDDSVVNTYFKLLKKRSDKFPNVYIKHYSFDSLIATCLIEGSKSEDEVLAWFKDEKLRGVHKVSSNL >KJB27888 pep chromosome:Graimondii2_0_v6:5:1073418:1077343:1 gene:B456_005G014900 transcript:KJB27888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRHQYPLDLAGQEAFKNFVKVSLWKNNHGKTAYCCLIAHFIDDGWELKIKILGLRKLEHVHDTKVVGGIIGSFVSEWNISKKVCSITVDNSFLNDGMVHQIRENCVSEQGSLSTAHWFISFTLLEDGFREMDTILSKLWKSIEYVTETTHGKLNFQEAVNQVKLQGGKSWDELSFKLESDSDILDSALRSREIFCKLDQIDDNFMLNLSKEEWEKAVTLQNCFKCFDDIKGTQSLTANLYFPKLCNMYEEFGQLKKSNHPFVILMKRKFDNYWSLCNVAFTIAAALDPRLKFRSSCNETYDLESMMKLIRFRKVLMDVYSEYANEAKNLSASSSVLDDYNSLAAQTTKDCIVSYFSKFASASNVKEVASQKSELDCYLEETLLPSDADILGWWRVNSERFPTLAKMARDFLAIPVSVSSPCSNISAMTINPVYSSLDPESMEALVCSQNWLESTKENEGDHHEPMQNMDKRKRKMEENDTSTVKVFKNRPHEKASSNGDIASDFNKNDGSLSFDNWMEPQCSPSESVGEKAEIMEASVCNRDRLESSIGKTNHGRNIAAAIEIPNDEPSFNSNQSDQFQSSSSESDDETTLREQGSWCREDVRTYLVSSFTNKEKKRLNRWKRCELSGKKIGQDKEFQLMGENLTPLLMVPHCDETLREYYIDDSVVNTYFKLLKKRSDKFPNVYIKHYSFDSLIATCLIEGSKSEDEVLAWFKDEKLRGVHKLFLPMCLSAHWVLFCVDTKEKKISWLDPIPSSRMMSINSVEKQKIFQWFILYLLPQFGYNDAEKWPFEVRTDIPKQENSIDCGVFVMKYGDCLMHGDFFPFTQKDMIHFRRHIFLDIYRGRLHGKR >KJB30890 pep chromosome:Graimondii2_0_v6:5:48958141:48960996:1 gene:B456_005G166400 transcript:KJB30890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPTQVQHSEDEDEHIFRSKYPPVSVPDNLTLPEFVLQDAELYADKVAFVEAVSGKSYTYRDVVRDTRRFAKALRSLGLRKGHVVLVLLPNIAEYGIVALGIMAAGGVFSGANPASHPSEIKKQAYAANAKLIVTNGPNYEKVKNLEQPVVVIGEEHIEGATNWDELLEAGDRAGTGTRFTKEEVLQSDLCALPFSSGTTGISKGVMLTHRNLVANLCSSLFSVGSEMIGEVTTLGLIPFFHIYGITGICCATLRNKGKVVVMNRFDLRTFLNALITQEVTFAPIVPPIILALVKNPIVEEFDLSKLKLRAIMTAAAPLAPELLASFENKFPGVQVQEAYGLTEHSCITLTHGNPMKGHDTAKKNSVGFILPNLEIKFIDPDTSRSLPKNTPGELCVRSQCVMQGGDKSNH >KJB30891 pep chromosome:Graimondii2_0_v6:5:48958141:48960996:1 gene:B456_005G166400 transcript:KJB30891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPTQVQHSEDEDEHIFRSKYPPVSVPDNLTLPEFVLQDAELYADKVAFVEAVSGKSYTYRDVVRDTRRFAKALRSLGLRKGHVVLVLLPNIAEYGIVALGIMAAGGVFSGANPASHPSEIKKQAYAANAKLIVTNGPNYEKVKNLEQPVVVIGEEHIEGATNWDELLEAGDRAGTGTRFTKEEVLQSDLCALPFSSGTTGISKGVMLTHRNLVANLCSSLFSVGSEMIGEVTTLGLIPFFHIYGITGICCATLRNKGKVVVMNRFDLRTFLNALITQEVTFAPIVPPIILALVKNPIVEEFDLSKLKLRAIMTAAAPLAPELLASFENKFPGVQVQEAYGLTEHSCITLTHGNPMKGHDTAKKNSVGFILPNLEIKFIDPDTSRSLPKNTPGELCVRSQCVMQGYYKNKEETNRTIDKNGWLHTGDIAYIDDDGDIFIVDRIKELIKYKGFQVAPAELEAILLTHSSVEDAAVVPLPDEEAGEIPAACVVMNPNAKENERDIMEYVASNVAHYKKVRVLQFVDTIPKSPSGKIMRRLLKDKMMENMPKPPSYS >KJB29464 pep chromosome:Graimondii2_0_v6:5:17820105:17822898:1 gene:B456_005G103400 transcript:KJB29464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGSNSVRETHYDVLFVKEDASYEEIRASYRIAILNSHPDKLNSDHETGERFLRVHKAWEILSDPKSRTAYDSELRDLRQDVVVSEDISLDDMIIEDAGEVMELYYQCQCGDNFSVDSLELNQMGYTLMRDGTGIFVRTPDALPASIVLPCGSCSLLVRLMINPDIKVPIDGYL >KJB29463 pep chromosome:Graimondii2_0_v6:5:17820105:17822898:1 gene:B456_005G103400 transcript:KJB29463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGSNSVRETHYDVLFVKEDASYEEIRASYRIAILNSHPDKLNSDHETGERFLRVHKAWEILSDPKSRTAYDSELRDLRQDVVVSEDISLDDMIIEDAGEVMELYYQCQCGDNFSVDSLELNQMGYTLMRDGTGIFVRTPDALPASIVLPCGSCSLLVRLMINPDIKVPIDGYL >KJB29465 pep chromosome:Graimondii2_0_v6:5:17820193:17822820:1 gene:B456_005G103400 transcript:KJB29465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGSNSVRETHYDVLFVKEDASYEEIRASYRIAILNSHPDKLNSDHETGERFLRVHKAWEILSDPKSRTAYDSELRDLRQDVVVSEDISLDDMIIEDAGEVMELYYQCQCGDNFSVDSLELNQMGYTLMRDGTGIFVRTPDALPASIVLPCGSCSLLVRLMINPDIKVPIDGYL >KJB28611 pep chromosome:Graimondii2_0_v6:5:6330047:6331005:-1 gene:B456_005G060500 transcript:KJB28611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPIDNALSIVPDGLRPKKQAKVSIQPQKKASEISVNDENKAPLPQPIDASVDYVASEDLKPFQDPESNIQSLVEGLDSKDWVKVCQSLNDARRFSLYHPGLLLPVLEKVMLVVVKSMKNPRSALCKTSIMAASDIFNAYGEKLLSTDSSAFDQLLLQLLLKASQDKKFVCEEADKSLTAMVNSIAALPLLQKLRGFVNHGNLRVRAKAAVSISNSVYKMVKLLRKLHG >KJB28614 pep chromosome:Graimondii2_0_v6:5:6329566:6331140:-1 gene:B456_005G060500 transcript:KJB28614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVVKSMKNPRSALCKTSIMAASDIFNAYGEKLLSTDSSAFDQLLLQLLLKASQDKKFVCEEADKSLTAMVNSIAALPLLQKLRGFVNHGNLRVRAKAAVSISNSVYKMGSEEIKEFGSVTLLQMASDLLNDRLPEAREAARSIVLSVYEAFTENEKLDTEEDWQSFCQSNLSMIQAQLMIKVVSSQ >KJB28610 pep chromosome:Graimondii2_0_v6:5:6329552:6331241:-1 gene:B456_005G060500 transcript:KJB28610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPIDNALSIVPDGLRPKKQAKVSIQPQKKASEISVNDENKAPLPQPIDASVDYVASEDLKPFQDPESNIQSLVEGLDSKDWVKVCQSLNDARRFSLYHPGLLLPVLEKVMLVVVKSMKNPRSALCKTSIMAASDIFNAYGEKLLSTDSSAFDQLLLQLLLKASQDKKFVCEEADKSLTAMVNSIAALPLLQKLRGFVNHGNLRVRAKAAVSISNSVYKMGSEEIKEFGSVTLLQMASDLLNDRLPEAREAARSIVLSVYEAFTENEKLDTEEDWQSFCQSNLSMIQAQLMIKVVSSQ >KJB28612 pep chromosome:Graimondii2_0_v6:5:6329566:6331140:-1 gene:B456_005G060500 transcript:KJB28612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPIDNALSIVPDGLRPKKQAKVSIQPQKKASEISVNDENKAPLPQPIDASSLNDARRFSLYHPGLLLPVLEKVMLVVVKSMKNPRSALCKTSIMAASDIFNAYGEKLLSTDSSAFDQLLLQLLLKASQDKKFVCEEADKSLTAMVNSIAALPLLQKLRGFVNHGNLRVRAKAAVSISNSVYKMGSEEIKEFGSVTLLQMASDLLNDRLPEAREAARSIVLSVYEAFTENEKLDTEEDWQSFCQSNLSMIQAQLMIKVVSSQ >KJB28613 pep chromosome:Graimondii2_0_v6:5:6330299:6331005:-1 gene:B456_005G060500 transcript:KJB28613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPIDNALSIVPDGLRPKKQAKVSIQPQKKASEISVNDENKAPLPQPIDASVDYVASEDLKPFQDPESNIQSLVEGLDSKDWVKVCQSLNDARRFSLYHPGLLLPVLEKVMLVVVKSMKNPRSALCKTSIMAASDIFNAYGEKLLSTDSSAFDQLVGSPIDPDHHFSFPVFEFLL >KJB30582 pep chromosome:Graimondii2_0_v6:5:41658806:41663113:1 gene:B456_005G150300 transcript:KJB30582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSVPSMIMEEEGRYEAEVADVQAWWNSERFKLTRRQYSARDVVALRGNLKQSYGSNEMAKKLWRTLKTHQANCTASRTFGALDPVQVTMMAKHLDSIYVSGWQCSSTHTTTNEPGPDLADYPYDTVPNKVEHLFFTQQYHDRKQREARMSMSREERARSPYVDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVSEHINRLVAARLQFDVMGVETVLVARTDAVAANLIQTNVDTRDHQFILGVTNPNLQGKGLASMLAEAMAAGKTGVELQAIEDKWLAMAQLKTFSESVIDAINNMNIKEDEKRRRMNEWKNHSSYDKCLSNEQAREIAERFGLKNLFWDWDLPRTREGFYRFKGSIMAAIVRGWAFAPHVDLIWMETSSPDLVECTEFAQGVKSVHPEIMLAYNLSPSFNWDASGMSDEQMRDFIPRIAKLGFCWQFITLAGFHADALVTDIFSRDFARRGMLAYVEKIQREERNNGVETLAHQKWSGANFYDRYLKIVQGGISSTAAMGKGVTEEQFKETWTRPGAMEVANEGNMVVAKARM >KJB27460 pep chromosome:Graimondii2_0_v6:5:62911828:62912064:-1 gene:B456_005G249800 transcript:KJB27460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRLPRIVNSKKVPKGYFAVYVGENQKRFVIPVSFLNQPSFQDLLGMSQEEFGYSHPTGGLPIPCNEDTFLEVISRL >KJB30117 pep chromosome:Graimondii2_0_v6:5:32721714:32725581:-1 gene:B456_005G132000 transcript:KJB30117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGYVGDERKVQKNYWIEHSVDLTVEAMMLDSKAADIDKEERPEVLSLLPPYEGKTILELGAGIGRFTGDLAKKAGHVIALDFIENVIKKNETINGHYKNVKFLCADVTSPDLAFTEGSLDLIFSNWLLMYLSDKEVENLAERMLKWLKVGGHIFFRESCFHQSGDCKRKNNPTHYREPRFYTKVFKECQATDDSGNSFELSLVGCKCIGAYVKNKKNQNQICWIFQKVVSDNDKGFQRFLDSVQYKSNSILRYERVFGPGYVSTGGIETTKEFVGKLDLKPGQKVLDVGCGIGGGDIYMAEEFDVHVVGIDLSVNMISFALERATGLKCSVEFEVADCTKKVYPDNSFDVIYSRDTILHIHDKPALFRSFYKWLKPGGKLLISDYCKSSKTPSTEFAAYIKQRGYDLHDVKSYGQMLEDAGFDVILAEDRTDQFLQVLQRELNQVEKEKDAFISDFSKEDYDEIVGGWKAKLIRSSSGEQRWGLFLAKKKN >KJB30120 pep chromosome:Graimondii2_0_v6:5:32721786:32725551:-1 gene:B456_005G132000 transcript:KJB30120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLDSKAADIDKEERPEVLSLLPPYEGKTILELGAGIGRFTGDLAKKAGHVIALDFIENVIKKNETINGHYKNVKFLCADVTSPDLAFTEGSLDLIFSNWLLMYLSDKEVENLAERMLKWLKVGGHIFFRESCFHQSGDCKRKNNPTHYREPRFYTKVFKECQATDDSGNSFELSLVGCKCIGAYVKNKKNQNQICWIFQKVVSDNDKGFQRFLDSVQYKSNSILRYERVFGPGYVSTGGIETTKEFVGKLDLKPGQKVLDVGCGIGGGDIYMAEEFDVHVVGIDLSVNMISFALERATGLKCSVEFEVADCTKKVYPDNSFDVIYSRDTILHIHDKPALFRSFYKWLKPGGKLLISDYCKSSKTPSTEFAAYIKQRGYDLHDVKSYGQMLEDAGFDVILAEDRTDQFLQVLQRELNQVEKEKDAFISDFSKEDYDEIVGGWKAKLIRSSSGEQRWGLFLAKKKN >KJB30123 pep chromosome:Graimondii2_0_v6:5:32721786:32725551:-1 gene:B456_005G132000 transcript:KJB30123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGYVGDERKVQKNYWIEHSVDLTVEAMMLDSKAADIDKEERPEVLSLLPPYEGKTILELGAGIGRFTGDLAKKAGHVIALDFIENVIKKNETINGHYKNVKFLCADVTSPDLAFTEGSLDLIFSNWLLMYLSDKEVENLAERMLKWLKVGGHIFFRESCFHQSGDCKRKNNPTHYREPRFYTKVFKECQATDDSGNSFELSLVGCKCIGAYVKNKKNQNQICWIFQKVVSDNDKGFQRFLDSVQYKSNSILRYERVFGPGYVSTGGIETTKEFVGKLDLKPGQKVLDVGCGIGGGDIYMAEEFDVHVVGIDLSVNMISFALERATGLKCSVEFEVADCTKKVYPDNSFDVIYSRDTILHIHDKPALFRSFYKWLKPGGKLLISDYCKSSKTPSTEFAAYIKQRGYDLHDVKSYGQMLEDAGFDVILAEDRTDQFLQVLQRELNQVEKEKDAFISDFSKVRL >KJB30118 pep chromosome:Graimondii2_0_v6:5:32721786:32724780:-1 gene:B456_005G132000 transcript:KJB30118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSDKEVENLAERMLKWLKVGGHIFFRESCFHQSGDCKRKNNPTHYREPRFYTKVFKECQATDDSGNSFELSLVGCKCIGAYVKNKKNQNQICWIFQKVVSDNDKGFQRFLDSVQYKSNSILRYERVFGPGYVSTGGIETTKEFVGKLDLKPGQKVLDVGCGIGGGDIYMAEEFDVHVVGIDLSVNMISFALERATGLKCSVEFEVADCTKKVYPDNSFDVIYSRDTILHIHDKPALFRSFYKWLKPGGKLLISDYCKSSKTPSTEFAAYIKQRGYDLHDVKSYGQMLEDAGFDVILAEDRTDQFLQVLQRELNQVEKEKDAFISDFSKEDYDEIVGGWKAKLIRSSSGEQRWGLFLAKKKN >KJB30122 pep chromosome:Graimondii2_0_v6:5:32721786:32725551:-1 gene:B456_005G132000 transcript:KJB30122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGDERKVQKNYWIEHSVDLTVEAMMLDSKAADIDKEERPEVLSLLPPYEGKTILELGAGIGRFTGDLAKKAGHVIALDFIENVIKKNETINGHYKNVKFLCADVTSPDLAFTEGSLDLIFSNWLLMYLSDKEVENLAERMLKWLKVGGHIFFRESCFHQSGDCKRKNNPTHYREPRFYTKVFKECQATDDSGNSFELSLVGCKCIGAYVKNKKNQNQICWIFQKVVSDNDKGFQRFLDSVQYKSNSILRYERVFGPGYVSTGGIETTKEFVGKLDLKPGQKVLDVGCGIGGGDIYMAEEFDVHVVGIDLSVNMISFALERATGLKCSVEFEVADCTKKVYPDNSFDVIYSRDTILHIHDKPALFRSFYKWLKPGGKLLISDYCKSSKTPSTEFAAYIKQRGYDLHDVKSYGQMLEDAGFDVILAEDRTDQFLQVLQRELNQVEKEKDAFISDFSKEDYDEIVGGWKAKLIRSSSGEQRWGLFLAKKKN >KJB30121 pep chromosome:Graimondii2_0_v6:5:32722513:32725299:-1 gene:B456_005G132000 transcript:KJB30121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGYVGDERKVQKNYWIEHSVDLTVEAMMLDSKAADIDKEERPEVLSLLPPYEGKTILELGAGIGRFTGDLAKKAGHVIALDFIENVIKKNETINGHYKNVKFLCADVTSPDLAFTEGSLDLIFSNWLLMYLSDKEVENLAERMLKWLKVGGHIFFRESCFHQSGDCKRKNNPTHYREPRFYTKVFKECQATDDSGNSFELSLVGCKCIGAYVKNKKNQNQICWIFQKVVSDNDKGFQRFLDSVQYKSNSILRYERVFGPGYVSTGGIETTKEFVGKLDLKPGQKVLDVGCGIGGGDIYMAEEFDVHVVGIDLSVNMISFALERATGLKCSVEFEVADCTKKVYPDNSFDVIYSRDTILHIHDKPALFRSFYKWLKPGGKLLISDYCKSSKTPSTEFAAYIKQRGYDLHDVKSYGQVYSN >KJB30119 pep chromosome:Graimondii2_0_v6:5:32722375:32725299:-1 gene:B456_005G132000 transcript:KJB30119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGYVGDERKVQKNYWIEHSVDLTVEAMMLDSKAADIDKEERPEVLSLLPPYEGKTILELGAGIGRFTGDLAKKAGHVIALDFIENVIKKNETINGHYKNVKFLCADVTSPDLAFTEGSLDLIFSNWLLMYLSDKEVENLAERMLKWLKVGGHIFFRESCFHQSGDCKRKNNPTHYREPRFYTKVFKECQATDDSGNSFELSLVGCKCIGAYVKNKKNQNQICWIFQKVVSDNDKGFQRFLDSVQYKSNSILRYERVFGPGYVSTGGIETTKEFVGKLDLKPGQKVLDVGCGIGGGDIYMAEEFDVHVVGIDLSVNMISFALERATGLKCSVEFEVADCTKKVYPDNSFDVIYSRDTILHIHDKPALFRSFYKWLKPGGKLLISDYCKSSKTPSTEFAAYIKQRGYDLHDVKSYGQMLEDAGFDVILAEDRTDQVQISLSN >KJB30473 pep chromosome:Graimondii2_0_v6:5:39499880:39500880:1 gene:B456_005G145700 transcript:KJB30473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPCYFLFTVTTVKSSRQVIDALNAEGPDIDIILTEFDLQMTKGMKLLKYIMRNNELRRIPVIMMSAQDEVSIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRREG >KJB30472 pep chromosome:Graimondii2_0_v6:5:39499880:39500880:1 gene:B456_005G145700 transcript:KJB30472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPCYFLFTVTTVKSSRQVIDALNAEGPDIDIILTEFDLQMTKGMKLLKYIMRNNELRRIPVIMMSAQDEVSIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRREQG >KJB28347 pep chromosome:Graimondii2_0_v6:5:4127863:4128899:-1 gene:B456_005G043000 transcript:KJB28347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKGYGVDTVTCNIVINGLCKSGKLDKAMEIAHEMWTHGSAALVTYFIIISALCKAGKIDEAKKKFREMIGKNLQPDAVIFDTFIHIFCKEVKISSAFRVLKDMEKKGCNKSVQTYNSLILGLGTKNQIFEIYGLFDEMRERGITPNDTTSILDDMLQMGINPNISTFRMLIEAFYKASDFGVAKELFEIGLSICGHKEFTYSF >KJB29681 pep chromosome:Graimondii2_0_v6:5:21734630:21735718:1 gene:B456_005G113600 transcript:KJB29681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMKYDSKPPLAAKSPIRLRPRRSLPSNSASLQTPPGSLTRSQKPILTWGIEESEIRPEYRSISCELRVLARTVRDELGNGETEAAGLGKKTVLSVTNSCPVFERGRFYEEYSARRNERLKTKKGETQNESKTGHHLGVTVESSKRRGSSKKLESLRKSVEIQTPRYLLRSMNKENKKPPLPVSVTATQKKTRAGKL >KJB28443 pep chromosome:Graimondii2_0_v6:5:4746523:4747483:1 gene:B456_005G048700 transcript:KJB28443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIIFSGRVHQILCKSMSRTIFVKLLGRKIGYHAISNKIYSLWKPSTTIMIMDLENDYYLVKLHEEAGYVRAFTEGPWIVFSHYLTMQHWSEYFSTSQPFPSSVVVWIRFLGVLGFMYRRSVIKFVRLAIMVYMNKPLVSNIKMDGRVQKVEYESLPNVCFACGYYGHMKNYTPSGLKVNHEGVEEYGPWMIVDLWSMRADQSKGSSKDDKVSANLQGSQFNALQDFRENELSWGMCRFLSLELLLNIRDNPLGWVIQLWIVTMGRGLGRVDLLRPLRLLAGKVVSLE >KJB28290 pep chromosome:Graimondii2_0_v6:5:6679401:6680617:1 gene:B456_005G063800 transcript:KJB28290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGALEKVQYESLPMICFKCGKYGHTKEICSLSEQRLTVEKERGESALNQAASGGDSAINGPWMVDERKIRRNSRVNGTEEMMVKLNDVVLNIGKHSAVIFKNNNNGLNERSLGSGEIHNWDCDTSIIFKGCGFEIRSSQMRSGKRLNRTIRDCGERFKVTSNSKVPLMESLNSIVELISTQLNP >KJB32765 pep chromosome:Graimondii2_0_v6:5:63566145:63568815:1 gene:B456_005G260400 transcript:KJB32765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADATDPFPDLGSVYKVSGRCQVNRDVADIKLASSVDGRESKNRVAANSGLHKDSTSNVWGHPNLIQKLKMQTTSNVRPTVDGSCLSSRRHGSASTQQGAGTCPVQAGFTLPNSSENRVDEYDNNDPDVVYDNDDLDDYDFDFDEDEKSHEARKKSKWFNAFFERLEKLTVEEIISPAQQWHCPACQGGPGAIDWYRGVQPLMTHSMTKMTRRAKMHRVFAELLVEEMRRRGTFIKPANDAVGKWEGLNVRVADHEIVWPPMVIIMNTKYEQDETGKWSGMGNQELLNYFSSYAAIKARHSYGPQGHRGMSVLIFESSAAGYLEAVRLHKHFKEQGRDRDAWDHSQVPFCPGGKRQLYGYIAIKEDLDVFNRHSQGNSKLKFELRSYQEMVESQIKKINDNSQQLTRLKKKVAQEQQHSQVLAESLGRLSEKLHQTIEPKNHIVRQRAILQHEQNKEELIAKEQYFKEKINTIYQSIDCKEDNFEKLQRAASERVKQSNMNPIHDKDECSAIELHEKNIGEFNAEREKLMKSRQDRRLTITLRYWEDLVKLEEGFEKEFTLLMEKYTHRILH >KJB32766 pep chromosome:Graimondii2_0_v6:5:63564975:63568755:1 gene:B456_005G260400 transcript:KJB32766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADATDPFPDLGSVYKVSGRCQVNRDVADIKLASSVDGRESKNRVAANSGLHKDSTSNVWGHPNLIQKLKMQTTSNVRPTVDGSCLSSRRHGSASTQQGAGTCPVQAGFTLPNSSENRVDEYDNNDPDVVYDNDDLDDYDFDFDEDEKSHEARKKSKWFNAFFERLEKLTVEEIISPAQQWHCPACQGGPGAIDWYRGVQPLMTHSMTKMTRRAKMHRVFAELLVEEMRRRGTFIKPANDAVGKWEGLNVRVADHEIVWPPMVIIMNTKYEQDETGKWSGMGNQELLNYFSSYAAIKARHSYGPQGHRGMSVLIFESSAAGYLEAVRLHKHFKEQGRDRDAWDHSQVPFCPGGKRQLYGYIAIKEDLDVFNRHSQGNSKLKFELRSYQEMVESQIKKINDNSQQLTRLKKKVAQEQQHSQVLAESLGRLSEKLHQTIEPKNHIVRQRAILQHEQNKEELIAKEQYFKEKINTIYQSIDCKEDNFEKLQRAASERVKQSNMNPIHDKDECSAIELHEKNIGEFNAEREKLMKSRQDRRLTITLRYWEDLVKLEEGFEKEFTLLMEKYTHRILH >KJB28047 pep chromosome:Graimondii2_0_v6:5:2040753:2042366:-1 gene:B456_005G024000 transcript:KJB28047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLHHSLSLLFIVVCSLSWVSASANSHDDFLECLYSYHPKESSSITQVIFTESNSSYSAVLDSSIRNHRFSTPNTPKPLVIVTPLNISHVQATIHCSKKQGLQIRTRSGGHDFEGLSYVSHVPFVVIDLVNLRSVDVDVENEEAWVQSGATVGEVYYRINERSTNLTFPAAVARTVGIGGSISGGGDGILFRKYGLSADNVIDAQLVDANGRILDRRSMGEDLFWAIRGGGGGSFGIVISWKIKLVHVPSTVTVFSVGRTLEQNATQLLHRWQYVAPNLPNDVYSVVTLSTTNGSENRTKTVLATFISLFQGGANDFIPLMQERFPELGLVKEDFIEMTWIESLLLLNGASNETSEILLDRSNRYSFLPPSFKSKSDYVREPMPEIALQGLWPQLLEVDEGGIAVQNIFAYGGIMEEISETETPFPHRKGTLYKIYYNIGWLEEENNNSQRYISWMRKLYSYMGPFVSKSPREAYVNYRDLDIGRNNDDGKASYKQASIWGRKYFKNNFDRLVYVKTKTDPKNFFKHEQSIPPRFH >KJB31059 pep chromosome:Graimondii2_0_v6:5:50611673:50612180:1 gene:B456_005G1741001 transcript:KJB31059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLTMFSRSINLEYKKTGIDIQCQIPLFVATKMTKFKRSSLFIPSAEMFSKASLRWIGHDEHLCVPYWPHSLQCFVLNALPDSLKDPYIFHYFLGMRKRMLLKDSKKFITNVNNNPTNAM >KJB31382 pep chromosome:Graimondii2_0_v6:5:54996569:54998134:-1 gene:B456_005G189100 transcript:KJB31382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKHHSSITLLPLLSLIFLPSLVSSQACQRTCGNLPIKFPFGTGPGCGDPRFQQYVTCDQQKLTLTTHTGNYPITNIDYSNQVIYISDPSMSTCGCSQPSKGFGLDWDAPFSFTDDNVFTLLDCSTTSSPIFRSNSYNVDNSSAVPLCDKQGAPICSYLYSCRAISMLNLPISTCCIYTPVDLGPSFEFNLPKLQCSSYSAFYSFSGQESNPDNWKYGISLKYKFNVYNDYPNACADCEKSSGACGYTGSYNSFICNCPNGINTTSTCFFVSSFNNGLRLLPSQTGTLLVYPLAWILAMVLL >KJB28431 pep chromosome:Graimondii2_0_v6:5:4590514:4596982:1 gene:B456_005G047700 transcript:KJB28431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPPYSLCNPNKKSTIINGSYALLHSVAITSLIFYRVSSFFHSTPSLPLLLAFTSELILSVLWLLSQAFLWRPFTRQTFPERLLQDKNDDELPAIDVFICTADPEKEPPLEVMNTVLSAMAMDYPAEKLSVYVSDDGGCGLTLYAMKEAWEFGRYWVPFCTRFGIKTRCPKLYFSRYDDEFLGQGYEAEKEKIKLKYKLMEKKVQKAESNGKMLAEFNTKNHPAHVEVMQDESHNANDTNQVKMPRLVYVSREKNPSYHHHFKAGALNVLLRVSNMISNSPYILVLDCDMRCNDPTSAKQAMCFHLDPKINSNLAFVQFPQKFHNLSKMDIYDGQLRSTFLVKWPGMDGLQGPMLSGSGFYMKRKALYRDIVQEDTDFTQLKQYLGPSNELVKSLKSAKYNTDVTSRLLEETRFLASCKYEEGTQWGKQVGFLYMSLLEDYFTGFNLHCEGWKSIFYNPPSPAFLGTAITKLNDTLLQGSRWNCGALQVTFSKFCPLIYGLKSRMSLLQRMCYVYLSLQPFYFFPIWCLATIPQLCLLHGIPLYPKVSNSWFMVFSYIFIMSQLKHLEEVLLTGDPIRTWWNEQRIWMMKAIISYTIGMLNAVLKLLGLKEANFVPTNKVADDEQITFYQKGLFNFQASTVVLTPLITLVTLNMICFAAGATRTVVDGSFNAMFGQIFLSFYVLMVQYPLIDGMIFRRDKGRVPTSVTLLSLAISASFLCFGSLIIKS >KJB30168 pep chromosome:Graimondii2_0_v6:5:32718625:32719336:1 gene:B456_005G131900 transcript:KJB30168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALELALALLMEQFDKSSLTWKVLWDWTMAFPWQPALYTGLFSTELCLWIEIPSMCEGASFAWFLLGERWGTTKWIGVALVLGNLMVQIFGSSTIVKGSIEVKKGIEKGNLVLVSQIDKGKLQKKLSTSPIVVRPRKDPMDVF >KJB28211 pep chromosome:Graimondii2_0_v6:5:3967102:3971984:1 gene:B456_005G041600 transcript:KJB28211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGKGATSSAQQMESSVQDLRERYRLELENLTLTTQPVKTLRFFLLAILQYIKRSASYLLAKGGWLMLSSTLIAVLGILLVTIEGPHEKHVEEVSQYVRFGLWWIALGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRIDLKSAPYDTIQLKRVPSWLDKPCREFGPPLFSSSHGSRVPISSILPQVQIEAILWGLGTALGELPPYFISRAASVSGRKIEAMEELDHSSSEDNGFIATHLKQIEHWLLSHSQHMNFFTILLLASVNPKSSI >KJB28212 pep chromosome:Graimondii2_0_v6:5:3967102:3971984:1 gene:B456_005G041600 transcript:KJB28212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGKGATSSAQQMESSVQDLRERYRLELENLTLTTQPVKTLRFFLLAILQYIKRSASYLLAKGGWLMLSSTLIAVLGILLVTIEGPHEKHVEEVSQYVRFGLWWIALGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRIDLKSAPYDTIQLKRVPSWLDKPCREFGPPLFSSSHGSRVPISSILPQVQIEAILWGLGTALGELPPYFISRAASVSGRKIEAMEELDHSSSEDNGFIATHLKQIEHWLLSHSQHMNFFTILLLASIPNPLFDLAGIMCGQFGIPFWKFFLATLIGKAIIKTHIQVCK >KJB28213 pep chromosome:Graimondii2_0_v6:5:3967102:3972059:1 gene:B456_005G041600 transcript:KJB28213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGKGATSSAQQMESSVQDLRERYRLELENLTLTTQPVKTLRFFLLAILQYIKRSASYLLAKGGWLMLSSTLIAVLGILLVTIEGPHEKHVEEVSQYVRFGLWWIALGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRIDLKSAPYDTIQLKRVPSWLDKPCREFGPPLFSSSHGSRVPISSILPQVQIEAILWGLGTALGELPPYFISRAASVSGRKIEAMEELDHSSSEDNGFIATHLKQIEHWLLSHSQHMNFFTILLLASIPNPLFDLAGIMCGQFGIPFWKFFLATLIGKAIIKTHIQTVFIISVCNNQLLDWIENELIWILSFIPGFDSYLPTLTAKLHSVKEKYLAAPPPVPSNIKDKWDFSFASIWNTIVWLMLMNFFVKIVNATAQKYLKKQQDKSLAEILPDSTHSDS >KJB32035 pep chromosome:Graimondii2_0_v6:5:60258497:60260664:1 gene:B456_005G219400 transcript:KJB32035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNYSKNKFFLVLALLNMAATLVKGQGTRVGFYSTSCPLVESIVSSTVQSHLQSDPSLGPAILRMHFHDCFVHGCDASILINGPDTEKTAPPNLGVRGYEVIDDAKAQVEATCPGVVSCADILALAARDAVFLAKGQKWDVPTGRKDGKVSLASDADNLPAFTDSIEELKRKFAAFGLNARDLVTLVGAHTIGTTACEFFSYRLFNFSATSNGADPSINPDFVSQLRTLCPSNGDGTRRVALDTDSVDSFDASFFHNLRKGRGILASDQMLWTDGCTRSIVESYLGVRGLPSLKFNVQFGKSMVKMSNIEVKTGTNGEIRKICSAINEV >KJB32878 pep chromosome:Graimondii2_0_v6:5:63923192:63926720:1 gene:B456_005G266300 transcript:KJB32878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFEQAPPGNAKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTAGYSYSAANKNMAVVWSENTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKESTA >KJB28104 pep chromosome:Graimondii2_0_v6:5:6668124:6668324:1 gene:B456_005G063600 transcript:KJB28104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFAKEVEVSTPLPPAKAFKAFVVDLDTLMPKVSPQAIKSVELLQGDGGPGTIKKITFFECNLIT >KJB27604 pep chromosome:Graimondii2_0_v6:5:95170:100974:1 gene:B456_005G001700 transcript:KJB27604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASGTDSDAYGGASSNSRRHRILSASNIIQAPLSALLEYSGLLRTSRSIHQESDPLIPNPNLENSTSALANNGHVAIRIIGTGDNHESERDASGMVAGQLREVTPQNEVFLGLGTSDGQGGNSRSSELGVAAGEGEGVSHSSSNGSVSADAEAGDGGGGGSGVNNSRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKISVLTGICVAFTLHVIAVYWWYWNDDLLYPLVMLPPKYIPPFWHAIFIIMVNDTLVRQEAMVLKCFLLMYYKNSRGRNYRKQGQMLTLVEYMMLLYRALLPTPVWYRFFLNKDYGSLFSSLMTGCNPSLLH >KJB27605 pep chromosome:Graimondii2_0_v6:5:95344:100974:1 gene:B456_005G001700 transcript:KJB27605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASGTDSDAYGGASSNSRRHRILSASNIIQAPLSALLEYSGLLRTSRSIHQESDPLIPNPNLENSTSALANNGHVAIRIIGTGDNHESERDASGMVAGQLREVTPQNEVFLGLGTSDGQGGNSRSSELGVAAGEGEGVSHSSSNGSVSADAEAGDGGGGGSGVNNSRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKISVLTGICVAFTLHVIAVYWWYWNDDLLYPLVMLPPKYIPPFWHAIFIIMVNDTLVRQEAMVLKCFLLMYYKNSRGRNYRKQGQMLTLVEYMMLLYRALLPTPVWYRFFLNKDYGSLFSSLMTGLYLTFKLTSVVEKVQSFFAALKALSRKEVHYGAYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSYGDGSTSLFFQIF >KJB27603 pep chromosome:Graimondii2_0_v6:5:95134:101238:1 gene:B456_005G001700 transcript:KJB27603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASGTDSDAYGGASSNSRRHRILSASNIIQAPLSALLEYSGLLRTSRSIHQESDPLIPNPNLENSTSALANNGHVAIRIIGTGDNHESERDASGMVAGQLREVTPQNEVFLGLGTSDGQGGNSRSSELGVAAGEGEGVSHSSSNGSVSADAEAGDGGGGGSGVNNSRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKISVLTGICVAFTLHVIAVYWWYWNDDLLYPLVMLPPKYIPPFWHAIFIIMVNDTLVRQEAMVLKCFLLMYYKNSRGRNYRKQGQMLTLVEYMMLLYRALLPTPVWYRFFLNKDYGSLFSSLMTGLYLTFKLTSVVEKVQSFFAALKALSRKEVHYGAYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSYGDGSTSLFFQIF >KJB29139 pep chromosome:Graimondii2_0_v6:5:10851557:10854354:1 gene:B456_005G086200 transcript:KJB29139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLGNTAMGLIRSVLLMQLMIFLNFILTVKASTPAVAKPGCQSRCGDVIIPYPFGTGGDCNITEQFLITCNTSYIPNKAFLGNSNLEVINISTDGQLRIFSNASYDCYNTSYRNWSYYRLQLYKFSINNNKNKFTAIGCDTYARVEGYLGQRYATGCLSLCNNITDVSNGSCSGIGCCQTSIPKGVMSYNITIESYENHVDVLPENPCSFAFVAENDNYIFSASDLRGSNFKDKQFPVTVDWTIGTTSCKEAKMDTKNFACQENSNCVDSENNSGYFCKCFKGYEGNPYLPNGCQDINECETMSSCNGTCINLPGTFNCSCPGGYEGDGWKNGTGCSLPNRDKSKRSPLIDIALGSSIGFLGLLLGIVSLCWMLRQRQISKLKDAYFLQNGGILLREELSKRQGYREDVKVFTAEELEKATNNYHESRILGQGGQGTVYKGILADNRIVAIKKSIIGDPSQVEQFINEIMVLYQINHKNVVKLLGCCLETQVPLLVYEYITNGTVFHHLHNDDAAFHLPWETRLRIATETAEALSYLHSAASIPIIHRDIKLANILLDENHTAKVSDFGASRLIPSDQAQITTIVQGTFGYLDPEYMLTSLLTEKSDVYSFGVVLMEMLTGQKVVCFKRSEESRVLAMYFVSLMKENRLLDILDPWVLNDENVEQLKEVATLACRCVRVKGEERPTMKEVAHELAGLQAMPKHPWSKSNLAGEESEYLLGKFPSTYDDGVTSSSMGMGYDSINNKITFELEGAR >KJB28206 pep chromosome:Graimondii2_0_v6:5:3218172:3224872:-1 gene:B456_005G034100 transcript:KJB28206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAATMVSSAGGLLAMLNESHPQLKLHALTNLIGFVDQFWPEISTSVPIIESLYEDEEFGQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDHVHTLLAKAIDEYASFRSKAAESSDEAAKVDPRLEEIVERMLDKCIMDRKYQQAMGIAIECRRLDKLEEAITRSENVHAILAYCTHVSHSFVYRREYRREVLRLLVKVYQKLPSPDYLSTSQCLMFLDEPEGVANILEKLLRSENKEDALLAFQVAFDLVENEHQAFLLNVRDRLSAPKSLPSEPVQPGSTNPAPAENENSTASEDVQMTDGSSAITTNVHEADPKEVMYAERLMKVKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRDNLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRNTNVEVIQHGACLGLGLAALGTADEEIYEDIKSVLYTDSAVAGEAAGISIGLLMVGTASEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPIIRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMIQINEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKITAVVGLAVFSQFWYWYPLIYFVSLSFSPAAFIGLNYDLKVPRFEFLSHAKPSLFEYPKPTTVPTTTSAVKLPTAVLSTSAKAKARAKKEAEQKANAEKSSGAESSSSASITVKGKSSSEKDGEAMQVDGPPEKKAEPEPSFEILTNPARVVPAQEKFIKFLEDSRYAPVKLAPSGFVLLRDLRPDEPEALSLTDAPALAASPAGGSAVGQQSSSSAMAVDDEPQPPQPFEFTS >KJB31620 pep chromosome:Graimondii2_0_v6:5:57287269:57290886:1 gene:B456_005G198300 transcript:KJB31620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAFERTKILTDHLLQSSPSPVQTSLSSNACFNYTLPELSEKYAFDTKEMRRLIDGHHLEVRDRLFGMMSQSKLFNPRVRGGKVFVSPDYNQPMEQQREITLKRIDYLFERGAFKGWLTEQGEEIELRKFASFEVFGTFDHSMGTMLAVHFFLWGGAVQVFGTKHHHDKWLRDTEAHVVKGCFAMSELGHGSNVRGVETVTTYDSNTQEFVINTPCESAQKFWIGGAANHATHAIVFSQLNINGSNQGVHAFIAQIRDADGYLCQNVRIADCGRKIGLNGVDNGRIWFDNVRVPRQNLLNSVADVSADGKYLSSINDPDQRFAAFLAPLTIGRVSIATSTVYISKAGLTIAIRYALTRRAFSLKPNEPEVLLLDYPSYQRRLLPLLAKTYAMSFASHYLKKLFVKRTPQSYKTIHIFSSAFKATFTWNNLHILQECREACGGQGLRTENHVGQWKAEFDVQSTFEGDNNILMQQISKALLAEYVAAKKRKKAFKGLGLEHMNKPCPVIPSQLTSTALRSSQFQMDALCLRERDLLNRFAAEISHYQAKGPSKEHAFNMSYQIAEDLGRAFTDRSIFQAFAEAEATLAASSLKDVLGMVRSLYGLICVEDASFLRYGYLSVENAAAVRSEITKVCGELRPHALALVSSFGIPDAFLSPIAFNWIDANSWSSIQH >KJB27495 pep chromosome:Graimondii2_0_v6:5:62935399:62936252:1 gene:B456_005G250300 transcript:KJB27495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIGNTYLHILKVLQFSEIFLFFLFRSIHLSKITMAICMPRIISSKKVPKGYFAVYVGENQKRFVIPVSFLNQPLFQDLLGMSEQEFGYSPLTGGITIPCNEDIFLVVTSRFN >KJB28305 pep chromosome:Graimondii2_0_v6:5:3938207:3940664:1 gene:B456_005G041200 transcript:KJB28305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSKISLFFPVLLSILFIISPVFCDNDEEDDLLKGINSYRASLNLTSLTTNENAECLADELAEEFKNQPCTNSTGANTVPGTEPQFANYPNLLAKCHLNVSVTRDGAVMPACVPNLAPSLVLTNFTQSQYSGNLNETKYTGIGIGSEGDWIVVVLTTSTTEGNYATATTAATTSAANSVSKITIIHHLLLLLMAAFYML >KJB31951 pep chromosome:Graimondii2_0_v6:5:59813229:59815800:-1 gene:B456_005G215500 transcript:KJB31951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPRLYSTKRVQSLKPTKKIPLEEDPTKASDALPLPFHLTNAVFFTLFFSVVYFLLSRWREKIRTSTPLHVVTFSEIIAILAFFASFIYLLGFFGLDFVQSLVFRPSSDDWIAEDEEEENEVLLAKEDARKVPCGQALDCLLPPLPPAAPIVTAQKVFDEKPVTVLTEEDEEIIKSVVAGTTPSYSLESKLGDCKRAAAIRREALQRLTGKSLSGLPLDGFDYESILGQCCEMPVGYVQIPVGISGPLLVNGREYSVPMATTEGCLVASTNRGCKAIHLSGGATSVLLKDGMTRAPCVRFGTAKRAADLKLYLEDPDNFETLSVVFNRSSRFGRLQGIKCAIAGKNLYIRFTCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEVIIKGDVVRKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVAAIYIATGQDPAQNVESSHCITMMEAVNEGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKETPGANARMLATIVAGAVLAGELSLMSAIAAGQLVKSHMKYNRSSKDVSKGSS >KJB28966 pep chromosome:Graimondii2_0_v6:5:8836812:8838413:1 gene:B456_005G077200 transcript:KJB28966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAQELDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KJB28965 pep chromosome:Graimondii2_0_v6:5:8836572:8838419:1 gene:B456_005G077200 transcript:KJB28965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAQELDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KJB28967 pep chromosome:Graimondii2_0_v6:5:8836773:8838413:1 gene:B456_005G077200 transcript:KJB28967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAQELDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KJB32572 pep chromosome:Graimondii2_0_v6:5:62738722:62741848:-1 gene:B456_005G247500 transcript:KJB32572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVFMFLSVATSNMVATSLAREDKNEVQHQISVLLFVGLTGGLFMLFFTKFFGSWALTAFTGPNNAHIVPAANTYVQIRGLAWPAVLVGWVAQSASLGMKDSWGPLKALAVASAVNGIGDIVLCSFLGYGIAGAAWATMVSQVVAGYMMITSLNKKGYNAYTISIPSLDDLQTISGLAAPVFIMMMAKVAFYALIIYFATNMGTHTAAAHQVMIQTYCMCTVWGEPLSQTAQSFMPELLYGINKNLPKARTLLKSLVIIGASLGLILGIVGTSVPWLFPNIFTSDRKVIHEMHRVLAPYFVALAVTPATHSLEGTLLAGRDLKFVSLSMSGCFSLGAVVLLLVSSGGYGLSGCWYALLGFQWARFFLSLQRLLSPTGILHYEESNDCKPEKLKAA >KJB32571 pep chromosome:Graimondii2_0_v6:5:62738552:62742565:-1 gene:B456_005G247500 transcript:KJB32571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATPLFYPPLKNPNLKPLYFRYSSTFSTKPPLPYLGFPRFPSKVHRFIKNCIVSSHELSHLDTEITAQNGGFPLCDRVNEGEDDKMLGTQSLWSQIKEIVMFTGPATGLWICGPLMSLIDTAVIGQGSSIELAALGPGTVLCDYMSYVFMFLSVATSNMVATSLAREDKNEVQHQISVLLFVGLTGGLFMLFFTKFFGSWALTAFTGPNNAHIVPAANTYVQIRGLAWPAVLVGWVAQSASLGMKDSWGPLKALAVASAVNGIGDIVLCSFLGYGIAGAAWATMVSQVVAGYMMITSLNKKGYNAYTISIPSLDDLQTISGLAAPVFIMMMAKVAFYALIIYFATNMGTHTAAAHQVMIQTYCMCTVWGEPLSQTAQSFMPELLYGINKNLPKARTLLKSLVIIGASLGLILGIVGTSVPWLFPNIFTSDRKVIHEMHRVLAPYFVALAVTPATHSLEGTLLAGRDLKFVSLSMSGCFSLGAVVLLLVSSGGYGLSGCWYALLGFQWARFFLSLQRLLSPTGILHYEESNDCKPEKLKAA >KJB27589 pep chromosome:Graimondii2_0_v6:5:76089:79033:1 gene:B456_005G001300 transcript:KJB27589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASDLVDENHSETNGSSSSRGHPVAVANNTSDGKMMRKRMASEIADNQRFPRRTLVSEPEPDNNTVCSFLPAPASSNPLLNHSNIKMNTAIFPSANFIAVTSGGPAVLSTTTSTFTCIDTISTSKPHPPAVCGFSGLPLFPPTDRNRNSVTATTASDSVIISPISNSMDDTSATVWIDGVIRDLIHTSSNVSISQIIHNVREIIYPCNPNLATLLEYRLRSLVDPVERRSKEGEASLLQRNHSQQQGSSGLTFNLDPALDSLPNCSLPESCSMSQYLNWEINPLSVSNSAVATQHQHHNQISSSPSATTPPVLSLYQTLPHHQQTREQQPLPVPEENPSPVEKTTPSTTACTTPTSTFQIVQACSARDRKEEIRQQKRDEEGLHLLTLLLQCAEAVSANKFEEANRMLLELSQLSTPFGTSAQRVAAYFSEAMSARLLSSCLGICATLPPIPQSQSHTQKIVSAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPHVRLTGLGTSLEALEATGKRLSDFADKLGLPFQFCPVADKVGNLEPERLKVSKTEAVAVHWLQHSLYDVTGSDTNALWLLRRLAPKVVTVVEQDLRRQEGPFLGRFVEAIHYYSAVFDSLGASYGEESEERHVVEQQLLSKEIRNVLALGGGSMKFHNWREKLQQCGFKGISLAGNAATQATLLLGMFPSHGYTLVEDNGSLKLGWKDLCLLTASAWRPGEDTLPFQFHAN >KJB29978 pep chromosome:Graimondii2_0_v6:5:28390411:28391058:1 gene:B456_005G126500 transcript:KJB29978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIQLGYLNVDILGDSKTVISKCQSENRDRSEIGAIISDIQSLKGFFQKIRFSFIPRTGNMEAHRIARETLKKGEEFYLEGETLRALWEEHESIRLDHSEQRERR >KJB28678 pep chromosome:Graimondii2_0_v6:5:6557893:6566354:1 gene:B456_005G062100 transcript:KJB28678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDQGGSQYYQVQSEPLTPSMMSSFFSFAPGVAPESTRIFDELPKATIVSVSRPDAGDISPMLLSYTIEFRYKHFKWRLLKNASHVFYLHFALKKRLFIEEIHEKQEQVKEWLQNLGIGDHAPILHDDDEPDDDANLLHHDESAKNRDVPSSAALPVIRPALGRQSSMSERAKVAMHKYLNNFLGNMDIVNSREVCKFLEVSKLSFSQEYGPKLKEEYVMVKHLPKIARNDDSDRCCACRWFDCCNDNWQKVWAVLKPGFLALLGDPFDTKLLDIIVFDVLPASDGNGEGRVSLASEVKERNPLRHAFKVACGVRSIRLRAKSSSRVKDWVAAINDAGLRPPEGWCYPHRFGSFAPPRGLTEDGSEAQWFVDGGAAFNAIASAIEDAKSEIFMCGWWLCPELYLRRPFREHAASRLDALLEAKAKEGVQIYILLYKEVALALKINSVYSKQKLLSIHENVRVLRYPDHFSAGVYLWSHHEKLVIVDNQICFLGGLDLCFGRYDTFEHKVSDNPPVIWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVHCALRGPPCRDIARHFVQRWNYAKRNKAPNEIAIPLLMPQQHMVIPHYMGRSNEIDQEGYKGHRQDSFSSISSLQDIPLLLPQEADCTEVPKSNSPDPTPSKSGYFAFRKSKIEPAVADTPMKGFVDDSLDFNMEKPLDIKRQPGSKLTDPEWWEIQERSDQVGFLDETGQVGPRTSIRCQVIRSVSQWSAGTSQIEESIHCAYCSLIQKAEHFVYIENQFFISGLSGDENIRNRVLEALYRRILRAYSENKCFRVIIIIPLLPGFQGGLDDAGAASVRAIMHWQYRTIRRGHNSILHNLHDRLGPKTHDYISFYGLRSYGELFDGGPVATSQIGLLIEDKELVDSRMGGNPWKAGKFSLSLRLSLWSEHLGLRKGEVNQIVDPISDSSYKDIWVATAMMNTTIYQEIFSCLPTDLIHSRGALRQIIKERLTHNTIDLGIAPETLESYQIGEIEIEKNVPLDRLKAIRGHLVCFPMDFMCKEDLRPVFNESEYYASPQVFH >KJB28677 pep chromosome:Graimondii2_0_v6:5:6557893:6566354:1 gene:B456_005G062100 transcript:KJB28677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDQGGSQYYQVQSEPLTPSMMSSFFSFAPGVAPESTRIFDELPKATIVSVSRPDAGDISPMLLSYTIEFRYKHFKWRLLKNASHVFYLHFALKKRLFIEEIHEKQEQVKEWLQNLGIGDHAPILHDDDEPDDDANLLHHDESAKNRDVPSSAALPVIRPALGRQSSMSERAKVAMHKYLNNFLGNMDIVNSREVCKFLEVSKLSFSQEYGPKLKEEYVMVKHLPKIARNDDSDRCCACRWFDCCNDNWQKVWAVLKPGFLALLGDPFDTKLLDIIVFDVLPASDGNGEGRVSLASEVKERNPLRHAFKVACGVRSIRLRAKSSSRVKDWVAAINDAGLRPPEGWCYPHRFGSFAPPRGLTEDGSEAQWFVDGGAAFNAIASAIEDAKSEIFMCGWWLCPELYLRRPFREHAASRLDALLEAKAKEGVQIYILLYKEVALALKINSVYSKQKLLSIHENVRVLRYPDHFSAGVYLWSHHEKLVIVDNQICFLGGLDLCFGRYDTFEHKVSDNPPVIWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVHCALRGPPCRDIARHFVQRWNYAKRNKAPNEIAIPLLMPQQHMVIPHYMGRSNEIDQEGYKGHRQDSFSSISSLQDIPLLLPQEADCTEVPKSNSPDPTPSKSGYFAFRKSKIEPAVADTPMKGFVDDSLDFNMEKPLDIKRQPGSKLTDPEWWEIQERSDQVGFLDETGQVGPRTSIRCQVIRSVSQWSAGTSQIEESIHCAYCSLIQKAEHFVYIENQFFISGLSGDENIRNRVLEALYRRILRAYSENKCFRVIIIIPLLPGFQGGLDDAGAASVRAIMHWQYRTIRRGHNSILHNLHDRLGPKTHDYISFYGLRSYGELFDGGPVATSQVYVHSKIMIIDDSAAIIGSANINDRSLLGCRDSEIGLLIEDKELVDSRMGGNPWKAGKFSLSLRLSLWSEHLGLRKGEVNQIVDPISDSSYKDIWVATAMMNTTIYQEIFSCLPTDLIHSRGALRQIIKERLTHNTIDLGIAPETLESYQIGEIEIEKNVPLDRLKAIRGHLVCFPMDFMCKEDLRPVFNESEYYASPQVFH >KJB28720 pep chromosome:Graimondii2_0_v6:5:7025800:7026578:1 gene:B456_005G066000 transcript:KJB28720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSYKAHKNGIKKPKRQRHTSTKGMDPKFLRNQRYARKHNKKNGESAAEEE >KJB28721 pep chromosome:Graimondii2_0_v6:5:7025800:7026578:1 gene:B456_005G066000 transcript:KJB28721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSYKAHKNGIKKPKRQRHTSTKGMDPKFLRNQRYARKHNKKNGESAAEEE >KJB27742 pep chromosome:Graimondii2_0_v6:5:494828:496000:1 gene:B456_005G0072001 transcript:KJB27742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STNHEHSFTLLLRQVPFTCDGCGTEGNHVAYTCCTCNIIIHKNCISLPRIIKSKWHDHRLLHTYFHHIEDFRVLNCLICHDEVNTEHGSYYCSKCNGIFHVKCVMKDKDSYEIVENEDEIEMPIESSIIIIESNDAGEATKIKHFRHMHNLMLGPFVGGYENSCDGCMLPISDPFYYCSECVFFLHKACAELPKIKNVWHHDCKEPLALISDKAFYCQRCWYISNAFAYECCGCEEKICLRCVIAFTPGARTCLKHEHPLFYYTKHNGKCNACGTITQAAFCCKDCNFVLHLECFSLPITARHKCDEHLLSLTDHDDNSYSEHHHCDICEESRDPNRWFYNCSTCDTSAHVYCVLEQYPFLKLRSIHEGNYHPHPLTIVKKNYYYPDCDEC >KJB29318 pep chromosome:Graimondii2_0_v6:5:13202244:13203274:1 gene:B456_005G094000 transcript:KJB29318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFKASLSPEMGKTTSEFENLWKKRNLEEPLDGDEETLIKRSKTERTKPGFDMELHLDTPLPIEWQRCLDLQSGTIHFYNSRTDTRTCKDPRTSPECPSTGHMSLDLELNLPCVSNATNPEAVSKQNCVGSVEKKLNSWGGLMQKRPWLTVEEAKEEEVEMVATVCRQCHMLVMLIKSSPACPNCKFRHPPPDQGPPKLCNQRHSLLC >KJB32177 pep chromosome:Graimondii2_0_v6:5:61067503:61069744:1 gene:B456_005G227500 transcript:KJB32177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKRIRVKLMEGQTDLATNNTVLVPSNKKITMDNNKEGEMGNGVMEIIKKRKQIDMEKQPKQMNISGLPEFHVSVFKDLASKDSSVRDAALEKIVTELQEVQKEYDRLNDKDNLVDDDGGLKLDAQMDDGLDNCASSLRYAVHHQERMLRQGLDTCFATGFADVRDCLLGWLSAYGALTQSGRLAKEWLSDEDTLHIKEFMSAIIFLSGKKIYLKRKRDRKSKEIAKSVQCFCEIVIEESLLQLSHDSKHLAFDILLLLLPRLPSSFIQIVLSYKVIKCLIDILSTKDSWLYKDGRNFLKELLVWVRNDDIRRAAVIVAFQKHNNGKFDCITKTKTVRDLMAEFKTESGCFLIVENLINLFLNDHASNEPTDQSQTTAMLVVYFDNKKSPIKSGFLKEIFRRHPRIFRRLLGSL >KJB31126 pep chromosome:Graimondii2_0_v6:5:52254657:52258466:-1 gene:B456_005G178200 transcript:KJB31126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLSKFPILSYIFSQQDPYNYPSLPPQIRQDLLTRFPHLPDPSILASLSRSIPTSVTQTHSLLRSLGPRPDPSAVSAARSKITHIQETQSSLQEADIYKTVLRLEGLHEDYERQLTEVEENLGRLYCSAVEQMNGDDEVNEDVVRILKEAENGVVERVELSGRQLRLLPEAFGKLHGLVYLNLSNNQIEVIPDSIGGLKKLEELNASSNHLQHLPDSVGLLLNLRILDVSGNKLNALPESIARCSSLVELDASFNNLTFLPNNIGYGLLNLEKLSIQLNKIHFLPSSICEMRSLRYLDAHFNELHGLPQVIGRMTKLEVLNLSSNFNDFTELPDTISDLTNLRELDLSNNQIRALPCTFGRLGKLVKLNLDQNPLIVPPIEIANKGADAVKDYMSKKWLDIITEEQRRINLEASNQQGQMGWLSWGTSLVSNAISGVSQSVGEYLSGPKAPRDPYLDQQL >KJB31127 pep chromosome:Graimondii2_0_v6:5:52254721:52258404:-1 gene:B456_005G178200 transcript:KJB31127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLSKFPILSYIFSQQDPYNYPSLPPQIRQDLLTRFPHLPDPSILASLSRSIPTSVTQTHSLLRSLGPRPDPSAVSAARSKITHIQETQSSLQEADIYKTVLRLEGLHEDYERQLTEVEENLGRLYCSAVEQMNGDDEVNEDVVRILKEAENGVVERVELSGRQLRLLPEAFGKLHGLVYLNLSNNQIEVIPDSIGGLKKLEELNASSNHLQHLPDSVGLLLNLRILDVSGNKLNALPESIARCSPSFFCSSLVELDASFNNLTFLPNNIGYGLLNLEKLSIQLNKIHFLPSSICEMRSLRYLDAHFNELHGLPQVIGRMTKLEVLNLSSNFNDFTELPDTISDLTNLRELDLSNNQIRALPCTFGRLGKLVKLNLDQNPLIVPPIEIANKGADAVKDYMSKKWLDIITEEQRRINLEASNQQGQMGWLSWGTSLVSNAISGVSQSVGEYLSGPKAPRDPYLDQQL >KJB31505 pep chromosome:Graimondii2_0_v6:5:56400340:56404771:1 gene:B456_005G194100 transcript:KJB31505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVRSVISGSIFLGDCCHARLYSFAAPSVLYRRTQYKASTTKMASANAQGRPKTIDSHLHIWASPQEAADKYPYFPGQEPTLPGHLDFLLQCMEEASVEGALIVQPINHKFDHSLVTSVLKKHPTKFVGCCLANPAENGTGVKQLEDLILKDGYRAVRFNPYLWPSGQQMTNEVGKAMFSRAGELGVPVGFMCMKGLNLHISEITELCTEFPSTAVLLDHLAFCKPPLNDEEKLAFSELLKLSRFPQVYVKFSALFRVSRMSSPYLDLVPLLAEVVSNFGANRVMWGSDFPYVVPESGYKGAKEAACLIARQASLSSSEVEWIMGKTVMQLFQGQWLP >KJB31502 pep chromosome:Graimondii2_0_v6:5:56400375:56403448:1 gene:B456_005G194100 transcript:KJB31502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVRSVISGSIFLGDCCHARLYSFAAPSVLYRRTQYKASTTKMASANAQGRPKTIDSHLHIWASPQEAADKYPYFPGQEPTLPGHLDFLLQCMEEASVEGALIVQPINHKFDHSLVTSVLKKHPTKFVGCCLANPAENGTGVKQLEDLILKDGYRAVRFNPYLWPSGQQMTNEVGKAMFSRAGELGVPVGFMCMKGLNLHISEITELCTEFPSTAVLLDHLAFCKPPLNDEEKLAFSELLKLSRFPQVYVKFSALFRVSRMSSPYLDLVPLLAEVVSNFGANRVMWGR >KJB31504 pep chromosome:Graimondii2_0_v6:5:56400379:56402669:1 gene:B456_005G194100 transcript:KJB31504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVRSVISGSIFLGDCCHARLYSFAAPSVLYRRTQYKASTTKMASANAQGRPKTIDSHLHIWASPQEAADKYPYFPGQEPTLPGHLDFLLQCMEEASVEGALIVQPINHKFDHSLVTSVLKKHPTKFVGCCLANPAENGTGVKQLEDLILKDGYRAVRFNPYLWPSGQQMTNEVGKAMFSRAGELGVPVGFMCMKGLNLHISEITELCTEFPSTAVLLDHLAFCKPPL >KJB31501 pep chromosome:Graimondii2_0_v6:5:56400375:56404755:1 gene:B456_005G194100 transcript:KJB31501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVRSVISGSIFLGDCCHARLYSFAAPSVLYRRTQYKASTTKMASANAQGRPKTIDSHLHIWASPQEAADKYPYFPGQEPTLPGHLDFLLQCMEEASVEGALIVQPINHKFDHSLVTSVLKKHPTKFVGCCLANPAENGTGVKQLEDLILKDGYRAVRFNPYLWPSGQQMTNEVGKAMFSRAGELGVPVGFMCMKGLNLHISEITELCTEFPSTAVLLDHLAFCKPPLNDEEKLAFSELLKLSRFPQVYVKFSALFRVSRMSSPYLDLVPLLAEVVSNFGANRVMWGSDFPYVVPESGYKGAKEAACLIARQASLSSSEVEWIMGKTVMQLFQGQWLP >KJB31503 pep chromosome:Graimondii2_0_v6:5:56400375:56403988:1 gene:B456_005G194100 transcript:KJB31503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVRSVISGSIFLGDCCHARLYSFAAPSVLYRRTQYKASTTKMASANAQGRPKTIDSHLHIWASPQEAADKYPYFPGQEPTLPGHLDFLLQCMEEASVEGALIVQPINHKFDHSLVTSVLKKHPTKFVGCCLANPAENGTGVKQLEDLILKDGYRAVRFNPYLWPSGQQMTNEVGKAMFSRAGELGVPVGFMCMKGLNLHISEITELCTEFPSTAVLLDHLAFCKPPLNDEEKLAFSELLKLSRFPQVYVKFSALFRVSRMSSPYLDLVPLLAEVVSNFGANRVMWGSDFPYVVPESGYKGAKEAACLIARQASLSSSEVEWIMGKTVMQLFQGQWLP >KJB28470 pep chromosome:Graimondii2_0_v6:5:4961633:4962717:1 gene:B456_005G050400 transcript:KJB28470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLQGFQTANINPSSTTNLNPNFPANLSITTVGTTAGATTNTIPLSAAAASSSSSSSSTSPSTLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTQLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEEHGGKPEANPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPPHPQPQVPPLPPPHGNQNQ >KJB31796 pep chromosome:Graimondii2_0_v6:5:58952078:58954143:1 gene:B456_005G208700 transcript:KJB31796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEEYDFCSTLHPLHSYAPSPFTSSVHNPEFNNMMINSHEPKLFNDPNLRSLFPLPYEPDGPCCFFTPDPDPDPIFPSLPLPDLDFSLFSSDIPDPASHPLLNLPSPPLFLSDLRPLHRLPPLSASSTPKSKRTRLDLVLSHSDNNPQTLDSIVKSFNAPPPPPPIIPHSELARKRRQKRSDKTRCLQKLMPWDKKMDMATMLQEAYKYIRFLQAQVSILQSMPITSSFVSITQHLNNASFEVDFAGLERLNRQQLLQVLINSPMAQTMLCSQGLCVFATEQLVSLNKAKERKTMLQQFLFGRESDRLSDIAFVCACDEY >KJB29378 pep chromosome:Graimondii2_0_v6:5:14948096:14952764:-1 gene:B456_005G098000 transcript:KJB29378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMEGDCRGVPEKEVDVAEEIKVREDNITSNKILNQQEKKKKMKTKKNRRSLSFPRFGCLRTKSHENGGVDMEVQFQGERNNPTHLVIMVNGIIGSAQNWRFAAKQLLKKYPENVIVHCSERNSSTLTFDGVDVMGDRLAEEVKHVISCHPSVQKISFVGHSLGGLVARYAIARLYEQDLTQETSQTNGDCGTDHLGDKDLCPEGQLKGKIAGLEPMNFITFASPHLGSLWHKQVPLFRGSRALEKFAARISWLLGRTGKHLFLTDGKDGKPPLLLRMVNDCEDLKFISALGSFRRRVAYANARFDHIVGWSTSSLRRRNELPKIKHLPRSDRYPHVINVEPAKTATLDEIPTEAKINGDEKINMEEEMIRGLTKLSWERVDVYFKGSRQRILAHSTIQVKSYWVNSDGADVVQHMIDNFLL >KJB29379 pep chromosome:Graimondii2_0_v6:5:14949941:14952757:-1 gene:B456_005G098000 transcript:KJB29379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMEGDCRGVPEKEVDVAEEIKVREDNITSNKILNQQEKKKKMKTKKNRRSLSFPRFGCLRTKSHENGGVDMEVQFQGERNNPTHLVIMVNGIIGSAQNWRFAAKQLLKKYPENVIVHCSERNSSTLTFDGVDVMGDRLAEEVKHVISCHPSVQKISFVGHSLGGLVARYAIARLYEQDLTQETSQTNGDCGTDHLGDKDLCPEGQLKGKIAGLEPMNFITFASPHLGSLWHKQVPLFRGSRALEKFAARISWLLGRTGKHLFLTDGKDGKPPLLLRMVNDCEDLKFISALGSFRRRVAYANARFDRILLLYQLILHLCFLCITNSSRKI >KJB30251 pep chromosome:Graimondii2_0_v6:5:34009679:34012513:-1 gene:B456_005G134500 transcript:KJB30251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWMILTYVVAAEAALALLLTFPSPKLLKNRFVSLISLILQPTLFIVPFAGFQLLDIYWKNEHRLMCTSDICTAAERDRYEKSVFKAQRNVILCTTACLLYWCIYRICKYHKEIQSLEEVEKRYKNE >KJB30252 pep chromosome:Graimondii2_0_v6:5:34010771:34012409:-1 gene:B456_005G134500 transcript:KJB30252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWMILTYVVAAEAALALLLTFPSPKLLKNRFVSLISLILQPTLFIVPFAGFQLLDIYWKNEHRLMCTSDICTAAERDRYEKSLLLKWGIGGKVQ >KJB27836 pep chromosome:Graimondii2_0_v6:5:913918:918565:1 gene:B456_005G012500 transcript:KJB27836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSGKFSIADLQEKKVQPTAAQIARYDHPMAAAVEISPVLEILVREPDGYSIWNGPPFKNNNQPGIKLEKVPCNNAKYSEDGSRIMVTKTDSAIGIYDSNTLKEIRCFQIPNVVASALSPCGAYLQTFQKSTTPQEKNVVLWSIETGDPVYEQFQKNMTKATWPLIRFSSDEAVACRLATNEIQFFDAADFSKGISHRLRVPGVAAVELSRAPGSHVAAFVPESKGSPASVQIYACAKELQSQPLARRSFFRCSTVQLHWNHGSTGLLVVVQSDVDKTNQSYYGESKLHYLTTDGAFEGLVPLRKEGPIHDVQWSYSGKEFAVVYGFMPASATVFDKKCKPVHELGSGPYNTVRWNPKGKLLCLAGFGNLPGDMAFWDIIDKKQLGTTRAECSVTSEWSADGCYFMTATTAPRLQVDNWYVDC >KJB27837 pep chromosome:Graimondii2_0_v6:5:913918:919284:1 gene:B456_005G012500 transcript:KJB27837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSGKFSIADLQEKKVQPTAAQIARYDHPMAAAVEISPVLEILVREPDGYSIWNGPPFKNNNQPGIKLEKVPCNNAKYSEDGSRIMVTKTDSAIGIYDSNTLKEIRCFQIPNVVASALSPCGAYLQTFQKSTTPQEKNVVLWSIETGDPVYEQFQKNMTKATWPLIRFSSDEAVACRLATNEIQFFDAADFSKGISHRLRVPGVAAVELSRAPGSHVAAFVPESKGSPASVQIYACAKELQSQPLARRSFFRCSTVQLHWNHGSTGLLVVVQSDVDKTNQSYYGESKLHYLTTDGAFEGLVPLRKEGPIHDVQWSYSGKEFAVVYGFMPASATVFDKKCKPVHELGSGPYNTVRWNPKGKLLCLAGFGNLPGDMAFWDIIDKKQLGTTRAECSVTSEWSADGCYFMTATTAPRLQVDNCVKIFSYNGSLLFKKMFNKLFQADWKPESPDKFGEIAELIKSVGSVKIEETKSLGQGSTTKKPASANPPAQKPAAYRPPHAKNAAAVQAEVLL >KJB27839 pep chromosome:Graimondii2_0_v6:5:914493:920127:1 gene:B456_005G012500 transcript:KJB27839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVEISPVLEILVREPDGYSIWNGPPFKNNNQPGIKLEKVPCNNAKYSEDGSRIMVTKTDSAIGIYDSNTLKEIRCFQIPNVVASALSPCGAYLQTFQKSTTPQEKNVVLWSIETGDPVYEQFQKNMTKATWPLIRFSSDEAVACRLATNEIQFFDAADFSKGISHRLRVPGVAAVELSRAPGSHVAAFVPESKGSPASVQIYACAKELQSQPLARRSFFRCSTVQLHWNHGSTGLLVVVQSDVDKTNQSYYGESKLHYLTTDGAFEGLVPLRKEGPIHDVQWSYSGKEFAVVYGFMPASATVFDKKCKPVHELGSGPYNTVRWNPKGKLLCLAGFGNLPGDMAFWDIIDKKQLGTTRAECSVTSEWSADGCYFMTATTAPRLQVDNCVKIFSYNGSLLFKKMFNKLFQADWKPESPDKFGEIAELIKSVGSVKIEETKSLGQGSTTKKPASANPPAQKPAAYRPPHAKNAAAVQAELFGGSSTGEMSKNALKNKKKREKQKEKKAAEAAAASGAT >KJB27838 pep chromosome:Graimondii2_0_v6:5:913918:920055:1 gene:B456_005G012500 transcript:KJB27838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSGKFSIADLQEKKVQPTAAQIARYDHPMAAAVEISPVLEILVREPDGYSIWNGPPFKNNNQPGIKLEKVPCNNAKYSEDGSRIMVTKTDSAIGIYDSNTLKEIRCFQIPNVVASALSPCGAYLQTFQKSTTPQEKNVVLWSIETGDPVYEQFQKNMTKATWPLIRFSSDEAVACRLATNEIQFFDAADFSKGISHRLRVPGVAAVELSRAPGSHVAAFVPESKGSPASVQIYACAKELQSQPLARRSFFRCSTVQLHWNHGSTGLLVVVQSDVDKTNQSYYGESKLHYLTTDGAFEGLVPLRKEGPIHDVQWSYSGKEFAVVYGFMPASATVFDKKCKPVHELGSGPYNTVRWNPKGKLLCLAGFGNLPGDMAFWDIIDKKQLGTTRAECSVTSEWSADGCYFMTATTAPRLQVDNCVKIFSYNGSLLFKKMFNKLFQADWKPESPDKFGEIAELIKSVGSVKIEETKSLGQGSTTKKPASANPPAQKPAAYRPPHAKNAAAVQAELFGGSSTGEMSKNALKNKKKREKQKEKKAAEAAAASGAT >KJB32505 pep chromosome:Graimondii2_0_v6:5:62417671:62420301:1 gene:B456_005G243700 transcript:KJB32505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFARNSLTALRARHLALSGQALQGSSQHYGLRLGAHSYGTMKDDEEREQLAKEISKDWSSVFERSINTLFLTEMVRGLMLTLKYFFERKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGLCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >KJB32338 pep chromosome:Graimondii2_0_v6:5:61837589:61837711:-1 gene:B456_005G2364001 transcript:KJB32338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHWLVAVGTPRKNKQQTHPLMSRPFLTFLSLSKSPVSAEQ >KJB32339 pep chromosome:Graimondii2_0_v6:5:61837589:61837827:-1 gene:B456_005G2364001 transcript:KJB32339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHWLVAVGTPRKNKQQTHPLMSRPFLTFLSLSKSPVSAEQ >KJB31136 pep chromosome:Graimondii2_0_v6:5:53082847:53084857:-1 gene:B456_005G180900 transcript:KJB31136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAITNTLSYWLNWRFLVCSLFLLAYLALATTLICKFEGRRRSRNQDRENQEVAPGVIYEEEAWSTCFKCIHPAWLLAFRMFAFITLLTLLVINVIVDGIGIFIFYTQWTFTLVTIYFLFGSAISIYGCKKIWAQARGDSPDHVSSDIEQGTEITPPPGETTVESNESQHFDDRKIAGPWIYTFQIIYQASAVAAVLTDLVFWIILFPFITSRYGLELMLVICMHSVNVVFLLGDTILNCLRLPFFRIAYFFLWTCIYVLFQWVLHAYSNIPWPYPFLDLTPAYAPLWYLGVGMMHLPAYGIYALAVRLKEVIYSRLFPESYRKFT >KJB29145 pep chromosome:Graimondii2_0_v6:5:11134764:11137125:1 gene:B456_005G086800 transcript:KJB29145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLALVRILQLILFSCLLAAGASVASQALPGCKDECGNLRIPYPFGMTPGCNLNEDFLITCNTSVSPPRPQLMDGNLEVTNITLEGQVEILNYVAKDCYNRNGTPADQNLYLLWAAMFTISNYRNKFIAVGCDTVAQIWAKREHDNSTYFTGCMAYCEKADALHLNGSCSGVGCCQVSIPSGLKNLNMSVGSYYNHTRVWDFNPCSYAFVVDENKFSFSDKSFGELAHKESLPMALDWAIGNEPCNVSEHKPDYACKQNSICYNPENRSGYLCKCKDGYNGNPYHPDGCEEIDECKDSSLHNCISERNCFNTPGSYKCFCTKGFNGDGKKDRKGCMRNQANVIKISIVIGLCVLVVIVGSSWLFFINKKRKLLNMKKKFFKQNGGLLLQQELHEQRVSTETVKIFTAEALKNATKNYDESQIIGKGGFGTVYKGILKNGTEVAIKKSKVVDQSQIKQFINEVIILTQINHRNVVKLLGCCLETEVPLLVYEFVSNGTLSEHIHCEDKASSISWGIRLRIATESAQVLSYLHSAASIPIIHRDVKPTNILLDDNYTAKVSDFGASRLVPMDQTQLSTMVQGTLGYLDPEYLCTAQLTEKSDVYSFGVVLVELLTGKTVHSFEGPEEERNLANHFVLWLKNDRLFEILDDKVAKGAVEQIKEVAKLAKKCLNVKGEERPSMKDVAQVLEDVRRLRCEHPWAEVAVNLEETEFLLGET >KJB27536 pep chromosome:Graimondii2_0_v6:5:12688:12983:-1 gene:B456_005G000300 transcript:KJB27536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLCGIQKRISSSPNAIKLIVFSFIRISLAYTELKRIYEVMAIFVKLNLNSADSLLMLYVVMHSPMA >KJB29657 pep chromosome:Graimondii2_0_v6:5:21165993:21167370:1 gene:B456_005G112400 transcript:KJB29657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIFLSSSAEKSVPPNKQLKNIPRRHSSGAHTSIIHGGGGGLFLAPPPSLSFSYPFYQAQHQPPLLPLPLPNKPLHTSLPSRTPPLSSSPSNLKSNRYKDQSLTPPKRSKSKQLSGKSVGEPKKLQTRPTEGKKAQGAISKPFVMASGGPQAAARDLERLSSSIFTLSPPPSSLPLPSFSLRPKLGCKAEAGGGVDDGATDNLRRLLRLP >KJB28434 pep chromosome:Graimondii2_0_v6:5:4636405:4640214:1 gene:B456_005G047900 transcript:KJB28434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAVAPGLLISSLLIAVSRADNGFARCNCDDEGGVWSIESILETQRASDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFQFIAFIVLCGLTHLLNGWSYGPHPFQLMLALTVFKILTALVSCATAISLLTLIPLLLKVKVRELLLKKKAWDLGKEVGIIMKQKETGLHVRMLTQEIRKSLDRHNILHTTLVELSKTLGLQNCAVWMPNEIKTEMNLTHDLKGRNYSYNFTIPITEPDVARIKRSDGVHILKPDSTLATASNAEDGEPGPVAAIRLPMLRICNFKGGTPEQVQTYFSILVCVLPNDQRRSWSNQELEIVKVVADQVAVAISHAAVLEESQLMRDKLAEQNRALQLSRQNAMRASQARNAFQKVMSDGMRRPMHSILGLLSMLQDGNLNDDQRIIVDSMMKTSTVLSTLINDAMDISIMDTARSPLEKRSFRLHSMIKEAACLAKCLSVYQGFGFSIEVEKSLPDLIFGDERRVFQVILHMVGSLLDGNTGGGTVILRVYAENGSQEPNDQRRATWRHYPSDADLLVRFEIMISNNISQQEDCSLSEVSSSRSRYNSRGAEERLSFNICEKLVQMMHGNMWVIQNPLGSAQSMAVVIRFQIRPSITTTITESGESSDQPRSNSIFHGLHVLLADDDTVNRAVTGKLLEKLGCIVSVVSSGFECLSAIGSTTSPFQIVILELQMPELDGFEVATRIYWNEWSYSKTSAVTRNCRRAS >KJB28433 pep chromosome:Graimondii2_0_v6:5:4635999:4640275:1 gene:B456_005G047900 transcript:KJB28433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAVAPGLLISSLLIAVSRADNGFARCNCDDEGGVWSIESILETQRASDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFQFIAFIVLCGLTHLLNGWSYGPHPFQLMLALTVFKILTALVSCATAISLLTLIPLLLKVKVRELLLKKKAWDLGKEVGIIMKQKETGLHVRMLTQEIRKSLDRHNILHTTLVELSKTLGLQNCAVWMPNEIKTEMNLTHDLKGRNYSYNFTIPITEPDVARIKRSDGVHILKPDSTLATASNAEDGEPGPVAAIRLPMLRICNFKGGTPEQVQTYFSILVCVLPNDQRRSWSNQELEIVKVVADQVAVAISHAAVLEESQLMRDKLAEQNRALQLSRQNAMRASQARNAFQKVMSDGMRRPMHSILGLLSMLQDGNLNDDQRIIVDSMMKTSTVLSTLINDAMDISIMDTARSPLEKRSFRLHSMIKEAACLAKCLSVYQGFGFSIEVEKSLPDLIFGDERRVFQVILHMVGSLLDGNTGGGTVILRVYAENGSQEPNDQRRATWRHYPSDADLLVRFEIMISNNISQQEDCSLSEVSSSRSRYNSRGAEERLSFNICEKLVQMMHGNMWVIQNPLGSAQSMAVVIRFQIRPSITTTITESGESSDQPRSNSIFHGLHVLLADDDTVNRAVTGKLLEKLGCIVSVVSSGFECLSAIGSTTSPFQIVILELQMPELDGFEVATRICKFRSRSRPLIIAMTASIDQDIWERCSQIGMNGVIQKPVLLHEIAAELHKVVIQANSLLTIHALNTHIQTGI >KJB32805 pep chromosome:Graimondii2_0_v6:5:63671455:63673479:1 gene:B456_005G262600 transcript:KJB32805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETENRIAAILLKEAAELKRQADKEGVHVYLQQPKVRGRPNSRFLTATVLGVQQANRAVEVNEMWRVRQKELELNDRLKGRSKKDTSHSRSYGDTSNSSIRTSGKHESDFSASCSSSKRPAKSSRSMEDDEGLRDEEIEEFLQSRVKRGRGSIGSRMDETGPYLPTDSPGMPSTDPIIREHRDTLGPKKPPSLKSEESSSDEEVREHRRKKEKDHSKSSDKKHRRKHKSKEKYMDKKKKRKEEKRSKHRK >KJB29019 pep chromosome:Graimondii2_0_v6:5:9256417:9267008:1 gene:B456_005G080000 transcript:KJB29019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTEGVGESSSPPRSFGSFNSYDVRNDVFNRLVESGHEQAISNPDFRDFLDSHFNRLPASYGLDVNMDRVEDVLLHHKLLALAKDPERRPVYHIRFLENLCTKADAIDDKQYINSLSPQSFDDGDSEKVIPSHKSRSRDHPVDFEPCFKLEDLNLDVRKNSKCEDDIHLTENFTKRQEIVHIPTHEVIFSTTDRPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLSVFVVDGWPVEDTDGLNKAMEKAVARSKGSFPGSSHSPPAIEDASETQEKSGDWEIDGRLLKIGEKIACGSCGDLYRGIYLGQDVAVKILRSEHLNDTLEDEFAQEVAILREVQHRNVVRFIGACTKSPQLSIVTEYMPGGSLYDYLHKNHNVLKLSQLLKFAIDVCKGMEYLHQSHIIHRDLKTANLLMDTDNVVKVADFGVARFLNQGGVMTAETGTYRWMAPEVINHQPYDQKADVFSFAVVLWELVTAKIPYDTMTPLQAALGVRQGLRPELPENAHPKLLDLMQRCWEAAPDMRPSFSEITTELETLFEEVKETTEAVNGN >KJB29020 pep chromosome:Graimondii2_0_v6:5:9256417:9267088:1 gene:B456_005G080000 transcript:KJB29020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTEGVGESSSPPRSFGSFNSYDVRNDVFNRLVESGHEQAISNPDFRDFLDSHFNRLPASYGLDVNMDRVEDVLLHHKLLALAKDPERRPVYHIRFLENLCTKADAIDDKQYINSLSPQSFDDGDSEKVIPSHKRSRDHPVDFEPCFKLEDLNLDVRKNSKCEDDIHLTENFTKRQEIVHIPTHEVIFSTTDRPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLSVFVVDGWPVEDTDGLNKAMEKAVARSKGSFPGSSHSPPAIEDASETQEKSGDWEIDGRLLKIGEKIACGSCGDLYRGIYLGQDVAVKILRSEHLNDTLEDEFAQEVAILREVQHRNVVRFIGACTKSPQLSIVTEYMPGGSLYDYLHKNHNVLKLSQLLKFAIDVCKGMEYLHQSHIIHRDLKTANLLMDTDNVVKVADFGVARFLNQGGVMTAETGTYRWMAPEVINHQPYDQKADVFSFAVVLWELVTAKIPYDTMTPLQAALGVRQGLRPELPENAHPKLLDLMQRCWEAAPDMRPSFSEITTELETLFEEVKETTEAVNGN >KJB28476 pep chromosome:Graimondii2_0_v6:5:4996071:5000960:-1 gene:B456_005G050700 transcript:KJB28476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHIIGGKFKLGRKIGNGSFGELYLGVNVQSGEEVAVKLEPVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYSIMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYDKISEKKMLTPIEVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTMLRYPQAASSSRVRPSPKPGLNPQGVSAERTGRPSVGQEIRERFSGAVEAFARRNGSGHGDQSRHRSPDNVLSSKEMQPGSDRAHSSSRNGSTSKRPVVSGSRPNSSGEPSDNRSSRLVSSSGRLSTTQRVQPGFESKSSTFTRTTATRSSRNHALRSFEFLTIGSGKRK >KJB28475 pep chromosome:Graimondii2_0_v6:5:4996638:5000551:-1 gene:B456_005G050700 transcript:KJB28475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHIIGGKFKLGRKIGNGSFGELYLGIIVIIFLLFIFFLLFSCFIDFGVFCFAGVNVQSGEEVAVKLEPVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYSIMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYDKISEKKMLTPIEVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTMLRYPQAASSSRVRPSPKPGLNPQGVSAERTGRPSVGQEIRERFSGAVEAFARRNGSGHGDQSRHRSPDNVLSSKEMQPGSDRAHSSSRNGSTSKRPVVSGSRPNSSGEPSDNRSSRLVSSSGRLSTTQRVQPGFESKSSTFTRTTATRSSRNHALRSFEFLTIGSGKRK >KJB28474 pep chromosome:Graimondii2_0_v6:5:4996034:5001243:-1 gene:B456_005G050700 transcript:KJB28474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHIIGGKFKLGRKIGNGSFGELYLGVNVQSGEEVAVKLEPVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYSIMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYDKISEKKMLTPIEVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTMLRYPQAASSSRVRPSPKPGLNPQGVSAERTGRPSVGQEIRERFSGAVEAFARRNGSGHGDQSRHRSPDNVLSSKEMQPGSDRAHSSSRNGSTSKRPVVSGSRPNSSGEPSDNRSSRLVSSSGRLSTTQRVQPGFESKSSTFTRTTATRSSRNHALRSFEFLTIGSGKRK >KJB30585 pep chromosome:Graimondii2_0_v6:5:41845848:41847613:1 gene:B456_005G150600 transcript:KJB30585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGFPGFIIVFGLLAFIGSTNAQLQMNFYAKSCPKAEKIISDYVKEHIPNAPSLAASFIRMHFHDCFVRGCDGSVLLNSTNGQSPEKNAVPNQTLRGFDFIDRVKSLVEAECPGIVSCADILTLVARDSIVTVGGPFWQVPTGRRDGVISNVTEANNNIPSPFSNFTTLLTLFNNQGLDTNDLVLLSGAHTIGIAHCPAFSRRLYNSTGPGGVDPTLDSEYAANLKTNKCTTPNDNTTIVEMDPGSRKTFDLSYYTLLTKRRGLFNSDAALTTDSTSLGLINQLLSSPQSFFYAQFAKSMEKMGRINVKTGSQGEIRKQCALVNS >KJB30586 pep chromosome:Graimondii2_0_v6:5:41846001:41847559:1 gene:B456_005G150600 transcript:KJB30586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGFPGFIIVFGLLAFIGSTNAQLQMNFYAKSCPKAEKIISDYVKEHIPNAPSLAASFIRMHFHDCFVRGCDGSVLLNSTNGQSPEKNAVPNQTLRGFDFIDRVKSLVEAECPGIVSCADILTLVARDSIVTVVSPFWQVPTGRRDGVISNVTEANNNIPSPFSNFTTLLTLFNNQGLDTNDLVLLSGAHTIGIAHCPAFSRRLYNSTGPGGVDPTLDSEYAANLKTNKCTTPNDNTTIVEMDPGSRKTFDLSYYTLLTKRRGLFNSDAALTTDSTSLGLINQLLSSPQSFFYAQFAKSMEKMGRINVKTGSQGEIRKQCALVNS >KJB32318 pep chromosome:Graimondii2_0_v6:5:61752925:61755574:-1 gene:B456_005G235200 transcript:KJB32318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLVPPATRSGICLVGPLLPTHRRRPAITFSSPNFIASLETRKPKPLISPFASWNPLNVERSKLLLSRVSSSSSSSVSPGSTSPNDDSDKAKLAQVAKRLETTSRYFKRLGNLGFWGQLVCSVVAAVILSFSVVVTGKITSPATFYATFGGIVAAFISVFWSFGYIRLSEKLKRTVNDPSKAPPRANVVKSLKNGIVLNLLGMGAAILGMQATVGLLVAKALTSSTTPYYQGIAPGSSPVLALDVFLVQASANTILSHFLGLVFSLELLRSVTLPNTESIPIPKLA >KJB27692 pep chromosome:Graimondii2_0_v6:5:283228:289003:1 gene:B456_005G005000 transcript:KJB27692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLMGDLRDWSPEPNGVSSRDRYSSSSSSSSNQAGISAEYWRKAEEATQGIIARVQPTVVSEERRKAVIDYVQRLIRNYLGCEVFPFGSVPLKTYLPDGDIDLTAFGGLNFEEALANDACSVLEREDRNTAAEFVVKDVQLIRAEVKLVKCLVQNIVVDISFNQLGGLCTLCFLEQVDRLIGQDHLFKRSIILIKAWCYYESRILGAHHGLISTYGLETLVLYIFHLFHSSLDGPLAVLYKFLDYFSKFDWENYCISLNGPIPISSLPDIVVETPENGGGDLLLSNDFLRECVETFSVPSRGFDANSRIFPQKHLNIVDPLKENNNLGRSVSKGNFYRIRSAFTYGARKLGQILSQSEETLGDELHKFFSNTLDRHGNGQRPDVQDPAPLSRFRGLGATPSVSGTESCQEDQNFYESESSNSSTVTGNYRSSDNEGSLYKVYNGNMSERETDVGITFKEPQGSANASSISQIRLTGDAKDLATSRIQGLVISNDAHKSCPPNAADVFPSGTVRHAPHLYFCNSSLDNGEIRNGNVECKQSENSVLSEENATSGILGESSEKMGADVHGDHSENLSVSSRGVPSPVGPKNHPLSLKSAWSSEDLYPGYSSNPASCSAAPSRELLSSLSDLCGDYDANIRSLSYGQWWFDYAFSAAVPPMSSPLVSQFQSKNSWDVVRKSGQFRRNAISPMNTNGGVPRQAYYPINPPVLHGSGFGIEEMPKPRGTGTYFPNPNTVYSF >KJB27691 pep chromosome:Graimondii2_0_v6:5:283224:290089:1 gene:B456_005G005000 transcript:KJB27691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLMGDLRDWSPEPNGVSSRDRYSSSSSSSSNQAGISAEYWRKAEEATQGIIARVQPTVVSEERRKAVIDYVQRLIRNYLGCEVFPFGSVPLKTYLPDGDIDLTAFGGLNFEEALANDACSVLEREDRNTAAEFVVKDVQLIRAEVKLVKCLVQNIVVDISFNQLGGLCTLCFLEQVDRLIGQDHLFKRSIILIKAWCYYESRILGAHHGLISTYGLETLVLYIFHLFHSSLDGPLAVLYKFLDYFSKFDWENYCISLNGPIPISSLPDIVVETPENGGGDLLLSNDFLRECVETFSVPSRGFDANSRIFPQKHLNIVDPLKENNNLGRSVSKGNFYRIRSAFTYGARKLGQILSQSEETLGDELHKFFSNTLDRHGNGQRPDVQDPAPLSRFRGLGATPSVSGTESCQEDQNFYESESSNSSTVTGNYRSSDNEGSLYKVYNGNMSERETDVGITFKEPQGSANASSISQIRLTGDAKDLATSRIQGLVISNDAHKSCPPNAADVFPSGTVRHAPHLYFCNSSLDNGEIRNGNVECKQSENSVLSEENATSGILGESSEKMGADVHGDHSENLSVSSRGVPSPVGPKNHPLSLKSAWSSEDLYPGYSSNPASCSAAPSRELLSSLSDLCGDYDANIRSLSYGQWWFDYAFSAAVPPMSSPLVSQFQSKNSWDVVRKSGQFRRNAISPMNTNGGVPRQAYYPINPPVLHGSGFGIEEMPKPRGTGTYFPNPNTNYYKDRSLTARGRNPASARSPRNNGRAITSPEPNSPERNNREVAQMHSVNQGVGKSGSSELRHSGSEKALSPNSNGSMHQPDRLVEFGSMRALPLVPTFTETGKPHNPGSPNAQNSTGMERLKSAASMDQDRILVQSFHLKNEEDFPPLSI >KJB28064 pep chromosome:Graimondii2_0_v6:5:3696174:3697607:-1 gene:B456_005G039000 transcript:KJB28064 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein ING1 [Source:Projected from Arabidopsis thaliana (AT3G24010) UniProtKB/Swiss-Prot;Acc:Q9LIQ6] MVLLFMKKVFVIILGCSYMFGVVKFVTPSILRFQCWMELGSSLISCSVLDVIMFQVDSHIQQLDQYLKKSGEELRRERENTATASPTQTPDGTTKSGRSGESGRGGRKKTRLATAAAATEVAASAANPTGVELDLPVDPNEPTYCLCNQVSYGEMVACDNPNCKIEWFHFGCVGLKEQPKGKWYCPDCATVKNRRKGR >KJB28068 pep chromosome:Graimondii2_0_v6:5:3696174:3698708:-1 gene:B456_005G039000 transcript:KJB28068 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein ING1 [Source:Projected from Arabidopsis thaliana (AT3G24010) UniProtKB/Swiss-Prot;Acc:Q9LIQ6] MKRGLRAGNITPDTSLLRFSEEALDEQKHSVRIADEKVALAIQAYDLVDSHIQQLDQYLKKSGEELRRERENTATASPTQTPDGTTKSGRSGESGRGGRKKTRLATAAAATEVAASAANPTGVELDLPVDPNEPTYCLCNQVSYGEMVACDNPNCKIEWFHFGCVGLKEQPKGKWYCPDCATVKNRRKGR >KJB28065 pep chromosome:Graimondii2_0_v6:5:3696174:3698708:-1 gene:B456_005G039000 transcript:KJB28065 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein ING1 [Source:Projected from Arabidopsis thaliana (AT3G24010) UniProtKB/Swiss-Prot;Acc:Q9LIQ6] MSFFIDEFQADLEALPNILQKKYALMRDLDKSLQEIVRQNEQRCEQEIEDMKRGLRAGNITPDTSLLRFSEEALDEQKHSVRIADEKVALAIQAYDLYLKKSGEELRRERENTATASPTQTPDGTTKSGRSGESGRGGRKKTRLATAAAATEVAASAANPTGVELDLPVDPNEPTYCLCNQVSYGEMVACDNPNCKIEWFHFGCVGLKEQPKGKWYCPDCATVKNRRKGR >KJB28067 pep chromosome:Graimondii2_0_v6:5:3696839:3698613:-1 gene:B456_005G039000 transcript:KJB28067 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein ING1 [Source:Projected from Arabidopsis thaliana (AT3G24010) UniProtKB/Swiss-Prot;Acc:Q9LIQ6] MSFFIDEFQADLEALPNILQKKYALMRDLDKSLQEIVRQNEQRCEQEIEDMKRGLRAGNITPDTSLLRFSEEALDEQKHSVRIADEKVALAIQAYDLVDSHIQQLDQYLKKSGEELRRERENTATASPTQTPDGTTKSGRSGESGRGGRKKYAPIIHKLVRFPFMLFNGHPFWIHASRNAPLISTVTSFYYIYMQNVLLDT >KJB28063 pep chromosome:Graimondii2_0_v6:5:3696170:3698491:-1 gene:B456_005G039000 transcript:KJB28063 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein ING1 [Source:Projected from Arabidopsis thaliana (AT3G24010) UniProtKB/Swiss-Prot;Acc:Q9LIQ6] MRDLDKSLQEIVRQNEQRCEQEIEDMKRGLRAGNITPDTSLLRFSEEALDEQKHSVRIADEKVALAIQAYDLVDSHIQQLDQYLKKSGEELRRERENTATASPTQTPDGTTKSGRSGESGRGGRKKTRLATAAAATEVAASAANPTGVELDLPVDPNEPTYCLCNQVSYGEMVACDNPNCKIEWFHFGCVGLKEQPKGKWYCPDCATVKNRRKGR >KJB28066 pep chromosome:Graimondii2_0_v6:5:3696640:3698613:-1 gene:B456_005G039000 transcript:KJB28066 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein ING1 [Source:Projected from Arabidopsis thaliana (AT3G24010) UniProtKB/Swiss-Prot;Acc:Q9LIQ6] MSFFIDEFQADLEALPNILQKKYALMRDLDKSLQEIVRQNEQRCEQEIEDMKRGLRAGNITPDTSLLRFSEEALDEQKHSVRIADEKVALAIQAYDLVDSHIQQLDQYLKKSGEELRRERENTATASPTQTPDGTTKSGRSGESGRGGRKKTRLATAAAATEVAASAANPTGVELDLPVDPNEPTYCLCNQVSYGEMVACDNPNVRN >KJB28062 pep chromosome:Graimondii2_0_v6:5:3696164:3698791:-1 gene:B456_005G039000 transcript:KJB28062 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein ING1 [Source:Projected from Arabidopsis thaliana (AT3G24010) UniProtKB/Swiss-Prot;Acc:Q9LIQ6] MSFFIDEFQADLEALPNILQKKYALMRDLDKSLQEIVRQNEQRCEQEIEDMKRGLRAGNITPDTSLLRFSEEALDEQKHSVRIADEKVALAIQAYDLVDSHIQQLDQYLKKSGEELRRERENTATASPTQTPDGTTKSGRSGESGRGGRKKTRLATAAAATEVAASAANPTGVELDLPVDPNEPTYCLCNQVSYGEMVACDNPNCKIEWFHFGCVGLKEQPKGKWYCPDCATVKNRRKGR >KJB32642 pep chromosome:Graimondii2_0_v6:5:63087800:63089227:1 gene:B456_005G253000 transcript:KJB32642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLFSKQFERRKNIKAEKKTLCDLNENCGESYPGSDYRPADRKNWMAGLGVDKVYINKIVWPGTHDSATNKIGIPFITRPFAQCQSLSVYQQLVKGTRVLDIRVNENRRVCHGVLTTYGIDVVIGDIKKFLSETQSEVIILEIRTEFGHQDPPEFEKYLEEQLGEYLIHQDEHVFGKTIAELLPKRIICVWKPSKSPRPQSGSPFWNAGHLKDNWIDTDLPSTKFDSNLKHLSEQPPASSRKFFYRVENTVTPQPDNPIVCVKPVTNRIHGYARLFITQCFTKGCADRLQIFSTDFIDEDFVDACVGLTQARVEGAC >KJB27843 pep chromosome:Graimondii2_0_v6:5:922957:926051:-1 gene:B456_005G012600 transcript:KJB27843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSIVSPLLQSLLNRLDSICLSIGGGRGGDEILTRSRSVVAAVKDLATVAEQRFEMAVEIKLWLTEVKRLAYRLSYLLDDYEQKICKVRNQKLMSCCFGFFTKTGDWRPIANVLQKLATLAGEGNWPLESNWRPDLYFRLNSGSGTSSLGLELEIVGRVTDRDKVITLLLSEAKEICRVVSIVGVGGVGKTTLARLVYNDEALGRNFRFKYWISPGNGLRFNVERIGEAICSKKFTSLVDLMDGVRRELLRKRFLAVLDNLCIEEMDLWFMLKNLFNVGSPGSTVLIMTRSVEVADSLGSMPLYYLQPLHDADCLDMLWKVALLPREEKEEKQNIELLQISKILVAHCGGLPLAVKVLGALLPYNGEMGDWLSAATFALLELQKYSYTSNILPVLRLSYDLLPSNLKQCFAYCSIFPREYWISKKNCCSCGNLRDIAEDCFMKLLQRLFFEDIVRDDSGNVFCRMHDVVYDFVLTVSLATCSVVGPEIVAKELHHCSLVFESEPSTQLRYLCNKEDLQTLLFISSKFDSIPDTIFSRLSHLHVLDFSQSGISELPVSLGALKHLRCLDASRTYIRKIPETIINLKYLQTLELSECYNLEELPKTVPQLTSLVNLCVSSCCSLTYLPSGIEKLRLLEKLPTFVLGKQSDSAKLNELSELDLKERLEIKNLENVTKEAEAQGAELFKKVSIHSLELSWGHGGVMSAQMSAKILEYLYPPLNLRDFCLKGYKGSKFPSWMNWGLRNLSSISLISCSCQTLPPLGQLPSLKFLYLKGMSEVQLIDLEFYGDGGFPCLEQLEIYDMPNLEEWMSIEGSSGPLSLEVFPCLDKLVVKGCHRLTVLPVIPNLRSLALCDSNEMLLHSVVHLPSLSSLVVEKFKLKFLTSYFKNFSIEKLTFYDCDNLDHLFENNQAPSSLKHLSILYCDRLMSLSLGLRSLTSLQRFDVMECEQLRDISGLASLGSVEELSIVSCPMLQSLPSGIHYLTNLQRLVIKGCPASQGRLEKKNGWDWSKIAHIPYLEIE >KJB29179 pep chromosome:Graimondii2_0_v6:5:11701863:11704655:1 gene:B456_005G088600 transcript:KJB29179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISLRLSSSSLLVGLTSESLSRYLCWSTVVLTKSTRNAKIWLSSPIKVGAVGSEGQSLKMKVVNLYTLVMLNTTMSSFK >KJB29180 pep chromosome:Graimondii2_0_v6:5:11701863:11703111:1 gene:B456_005G088600 transcript:KJB29180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISLRLSSSSLLVGLTSESLSRYLCWSTVVLTKSTRNAKIWLSSPIKVGAVGSEGQSLKMKVVNLYTLVMLNTTMSSFK >KJB30649 pep chromosome:Graimondii2_0_v6:5:43092254:43092662:-1 gene:B456_005G153500 transcript:KJB30649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAYWDTCNIKPHPEHISNEICQVPTGIPLKIKACQINILHNMKLEVIYRIKYTLTCAHRTNSKFYMNLIL >KJB29556 pep chromosome:Graimondii2_0_v6:5:19262752:19265153:-1 gene:B456_005G107100 transcript:KJB29556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKAKNSSFSLCGLANPKGALYSRSDHRNRNFRDRPRRIHWLGVRDMNWVQRKIYLYNVTFGLYMLDWWERYLFNTLVIVLMWFIFYNSSRYVTEFYKRHLS >KJB29557 pep chromosome:Graimondii2_0_v6:5:19263047:19265153:-1 gene:B456_005G107100 transcript:KJB29557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKAKNSSFSLCGLANPKGALYSRSDHRNRNFRDRPRRIHWLGIYLYLFLGVRDMNWVQRKIYLYNVTFGLYMLDWWERYLFNTLVIVLMWFIFYNSSRYVTEFYKRHLS >KJB29555 pep chromosome:Graimondii2_0_v6:5:19263907:19265153:-1 gene:B456_005G107100 transcript:KJB29555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKAKNSSFSLCGLANPKGALYSRSDHRNRNFRDRPRRIHWLGIYLYLFLGVRDMNWVQRKIYLYNVTFGLYMLDWWERYLFIPKPWKTHWLLC >KJB28268 pep chromosome:Graimondii2_0_v6:5:3704557:3708645:-1 gene:B456_005G039200 transcript:KJB28268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71-homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13590) UniProtKB/Swiss-Prot;Acc:Q9T0H9] MQRLVIHHRPPVISRRKLPLLVVADSFPSFDSVSRLPISPSLQCRKISRLSSTCSTFRTRASNVGIGSGGYEDKDEREDQSIVKGPCSDSSSEALKPPSQIPYPLSVAGVLLGCTLVLSLIAFAKGGPASLLAAIAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYEKGLVLLGSMGALSLMTILSVIIGRIFQSVPAQFQTTLPLGEYAAIALLVFFGLKSIKDAWDLPPTAAKKGAEGGPELDEFAEAEELVKEKASKQLSNPLEIVWKSFSLVFFAEWGDRSMLATIALGAAQSPWGVASGAIAGHLLATSIAILGGAFLANYISEKLVGYVGGALFLVFAVATFFGVF >KJB28267 pep chromosome:Graimondii2_0_v6:5:3704872:3708460:-1 gene:B456_005G039200 transcript:KJB28267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71-homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13590) UniProtKB/Swiss-Prot;Acc:Q9T0H9] MQRLVIHHRPPVISRRKLPLLVVADSFPSFDSVSRLPISPSLQCRKISRLSSTCSTFRTRASNVGIGSGGYEDKDEREDQSIVKGPCSDSSSEALKPPSQIPYPLSVAGVLLGCTLVLSLIAFAKGGPASLLAAIAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYEKGLVLLGSMGALSLMTILSVIIGRIFQSVPAQFQTTLPLGEYAAIALLVFFGLKSIKDAWDLPPTAAKKGAEGGPELDEFAEAEELVKEKASKQLSNPLEIVWKSFSLVFFAEWGDRSMLATIALGAAQSPWGVASGAIAGHLLATSIAILGGAFLANYISEKLVGYVGGALFLVFAVATFFGVF >KJB28269 pep chromosome:Graimondii2_0_v6:5:3704643:3708645:-1 gene:B456_005G039200 transcript:KJB28269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71-homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13590) UniProtKB/Swiss-Prot;Acc:Q9T0H9] MQRLVIHHRPPVISRRKLPLLVVADSFPSFDSVSRLPISPSLQCRKISRLSSTCSTFRTRASNVGIGSGGYEDKDEREDQSIVKGPCSDSSSEALKPPSQIPYPLSVAGVLLGCTLVLSLIAFAKGGPASLLAAIAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYEKGLVLLGSMGALSLMTILSVIIGRIFQSVPAQFQTTLPLGEYAAIALLVFFGLKSIKDAWDLPPTAAKKGAEGGPELDEFAEAEELVKEKASKQLSNPLEIVWKSFSLVFFANSSTVFATLSLQSPWGVASGAIAGHLLATSIAILGGAFLANYISEKLVGYVGGALFLVFAVATFFGVF >KJB30738 pep chromosome:Graimondii2_0_v6:5:45142464:45144455:1 gene:B456_005G157900 transcript:KJB30738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKIIFNGKLEKGILPSQQRKRQTLSLWLKASVQDLTSRAASRAMAEAAKSISPPNTAYQFEVTSPSTLPQIFQSAMSASMLVDIVKCVATFFRSATRILKQKS >KJB28436 pep chromosome:Graimondii2_0_v6:5:4886126:4887097:-1 gene:B456_005G049600 transcript:KJB28436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTHLLILLLGVVALATPSFGTYESPNYGKPPTPVYKPPKVKPPPYEHKPPVYEPPKKEKPEPKPPVYTPPKKEKPDQPPVYEPPKKEKPEPKPPFIHHQRKRSLSPNHQYMNPQRRKSLSLSHQFIHHQRKSSLSPNHQCMDHQRRRSHRLISLQRNHQCMSLSHQSHRFTRHQRKRSPNLNHQYMSLPRNHRMVTIQDTLHWGSLNREIPSASNPKNRAVMTS >KJB28249 pep chromosome:Graimondii2_0_v6:5:3487363:3489402:1 gene:B456_005G036900 transcript:KJB28249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQHFSHHHPLVFIQAHSVASKAALCLVCEKPVVGWSYGCNQCEFYLHKGCAELELAPKIQHPFHPKHPLTLLPKSSYSGFGICNFCLKKLGGFFYNCNDCSFYLHINCALLQSSIAANFPNSLHPHPLFFIQNHNNEVKSHCSGCQKPISGPFYHCSDCTYPTFFNLHKECAELPLEINHPCDRKHALTLLQQPPTHPQKCSCYLCRIQWKGFVYSCSLCNFDFSLDDFLFSPPTITVASHEHPWMLVSRKMWFVCDFCGTDGDHSPYHCDTCVLFVHKNCISLPRHIMITRHHHTISLSYSFRQNQVEDWMCKICYKEVDISYGHYRCPASRCRYIAHVRCATDKAIWDGTIIPEGYDERSEEVVDVPWNLISDVVEQIGIGELMVASEIKHSYHAHNLRLTFSGKTKDDNSQCDGCTRPLSTPFYSCEQCKFFLHKDCAELPKEMPHPFHKHLLTLSNSHDGDDYPLCDACGRRYKGFSYRCYEGDCCFEIDIQCVLLSDTLKHPSHEHSLFLVHNNEGTSCSACFKGLDLWDVAYRCMRRCDFSLDVGCATLPLTAWYKYDRHALTLTYSDDSGPSQLYCDLCEKEREPNRWFYYCAYCDNSLHLNCAIGDLPYMKLGNKFKTYWHKHPFTVVKNIWNCPPCKVCGEACNGQALECKESECNFTVHWNCRRRLF >KJB30302 pep chromosome:Graimondii2_0_v6:5:35066562:35067890:1 gene:B456_005G136400 transcript:KJB30302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFVNLRTNPNLTASRHVRASPAKADPTLSCTTALDAITTPSLFRATSPVPDLYSSMTKAVSKFTLIKIGRRRILDDFITLDDQMTQTEQQTILH >KJB30300 pep chromosome:Graimondii2_0_v6:5:35066589:35067890:1 gene:B456_005G136400 transcript:KJB30300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFVNLRTNPNLTASRHVRASPAKADPTLSCTTALDAITTPSLFRATSPVPDLYSSMTKAVSKFTLIKIGRRRILDDFITLDDQMTQTEQQTILH >KJB30301 pep chromosome:Graimondii2_0_v6:5:35066589:35067890:1 gene:B456_005G136400 transcript:KJB30301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFVNLRTNPNLTASRHVRASPAKADPTLSCTTALDAITTPSLFRATSPVPDLYSSMTKAVSKFTLIKIGRRRILDDFITLDDQMTQTEQQTILH >KJB28160 pep chromosome:Graimondii2_0_v6:5:2782431:2787746:-1 gene:B456_005G031200 transcript:KJB28160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRVPDWLNSSLWSTTPADDGLHRYSPSPTTTTTTSSATVSEPTLQPPATVPPPAAASKPQSTPTSPKLEIRDPVNKNSNNNSGGNDQNGDSSGVSPDDISRQAQLLAELSKKVINMWELRRIASQGIPDGAGIRSTVWKLLLGYLPPDRSQWSSELAKKRSQYRHFKEELLMNPSEITRRLEKSVGCDNDESKPEGRGLLSRSQITHGEHPLSLGKSSVWNQFFQDSEIIEQIDRDVMRTHPDMHFFSGDSQLAKFNQDAMRNILIVFAKLNPGIRYVQGMNEILAPLFYVFKNDPDVEMAANAEADTFFCFVELLSGFRDHFCQQLDNSNVGIRSTITRLSQLLKEHDEELWRHLEVTTKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPEGPLETLLRVCCAMLILIRRRLLAGDFTSNLKLLQHYPSANISHLLYVANKLRTQAIG >KJB28161 pep chromosome:Graimondii2_0_v6:5:2782784:2787606:-1 gene:B456_005G031200 transcript:KJB28161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRVPDWLNSSLWSTTPADDGLHRYSPSPTTTTTTSSATVSEPTLQPPATVPPPAAASKPQSTPTSPKLEIRDPVNKNSNNNSGGNDQNGDSSGVSPDDISRQAQLLAELSKKVINMWELRRIASQGIPDGAGIRSTVWKLLLGYLPPDRSQWSSELAKKRSQYRHFKEELLMNPSEITRRLEKSVGCDNDESKPEGRGLLSRSQITHGEHPLSLGKSSVWNQFFQDSEIIEQIDRDVMRTHPDMHFFSGDSQLAKFNQDAMRNILIVFAKLNPGIRYVQGMNEILAPLFYVFKNDPDVEMALQANAEADTFFCFVELLSGFRDHFCQQLDNSNVGIRSTITRLSQLLKEHDEELWRHLEVTTKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPEGPLETLLRVCCAMLILIRRRLLAGDFTSNLKLLQHYPSANISHLLYVANKLRTQAIG >KJB28162 pep chromosome:Graimondii2_0_v6:5:2782988:2787667:-1 gene:B456_005G031200 transcript:KJB28162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRVPDWLNSSLWSTTPADDGLHRYSPSPTTTTTTSSATVSEPTLQPPATVPPPAAASKPQSTPTSPKLEIRDPVNKNSNNNSGGNDQNGDSSGVSPDDISRQAQLLAELSKKVINMWELRRIASQGIPDGAGIRSTVWKLLLGYLPPDRSQWSSELAKKRSQYRHFKEELLMNPSEITRRLEKSVGCDNDESKPEGRGLLSRSQITHGEHPLSLGKSSVWNQFFQDSEIIEQIDRDVMRTHPDMHFFSGDSQLAKFNQDAMRNILIVFAKLNPGIRYVQGMNEILAPLFYVFKNDPDVEMAANAEADTFFCFVELLSGFRDHFCQQLDNSNVGIRSTITRLSQLLKEHDEELWRHLEVTTKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPEGPLV >KJB31610 pep chromosome:Graimondii2_0_v6:5:57174629:57177072:-1 gene:B456_005G197500 transcript:KJB31610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWFWGFLFIAFGFVCSIAAERNPRTERISGSAGDVLEDDPVGRLKVYVYELPSKYNKKILQKDPRCLSHMFAAEIYMHRFLLSSPVRTLNPEEADWFYTPIYATCDLTPTGLPLPFKSPRMVRSAIQLISSSWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPILQRATLVQTFGQRNHVCLNEGSITIPPYAPPQKMQARQIPLDTPRSIFVYFRGLFYDVNNDPEGGYYARGARAAVWENFKNNPLFDISTEHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDTILTSIPPEVILRKQRLLANPSMKRAMLFPQPALPGDAFHQILNGLARKLPHQKSIYLKPGEKILNWTAGPVGDLKPW >KJB30199 pep chromosome:Graimondii2_0_v6:5:33490552:33491383:-1 gene:B456_005G1334002 transcript:KJB30199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AATAYSKGELEYAAYLFDQEKIQTKLAREANERASQNIFKARNSVWYGASFLLQVTYLFDKMEECLGIVLLEALQNGTAVLDLKKLENLFYYIFDT >KJB31273 pep chromosome:Graimondii2_0_v6:5:53502889:53505269:-1 gene:B456_005G183200 transcript:KJB31273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQKSSGSGRRILTYPAIHPCDSISPSTLLNSLIDLGGDICSYKSKVFSVNQRNARETFRQIANLLLFLQEIRDTTPDLAHPVILSFSELHLTFQKIRCLLEDCTSDGTRAWMLVNSDRAANQFRIVIRGLATGLDVFPLSTVDMSNEAKDLVELIIRQARKASFEPDPDDKKAVKDLMRILNHFEEGIAPDPIEIRRILDYLGIHKWSSCNKEVKFLDSEIGTEFSNGENITKLELLCSLMGFMSYCRCVIFDTVDFESQHLNGQCSNISKDLKGINVDDLKCPISLEMMSDPVTLSSGHTYDRCSILKWLRSGNATCPKTGEKLTSTELVPNLVLKGMIQQYCAENGIPVVVSRGKNRDISRTVMAGSSAGEGAMKMLAGFLINKLVNGSREEMNKAAFEIRVLTKTSIFNRSCLVESGTIPHLLKLLLSEDSNTQENSIAALLNLSKHSKGKCVIVENEGLDLIVHVLRKGLKIEAQQHAAATLFYLASDEENRVLIGENPEAIPGLVELVKNENHHCKRNALVAIFGLLVHPENHWRVLASGVVPLLLNLLRDSEREDLVTDSLAILATLAEKIDGTVAILRRGALQLLVGILNTSTSRTGKEYCVSMLLALCVNGGLDVVARLVKIPSLMGSLYSQLSEGTSRASKKASAIIKILHQFYENNSSSASVTPVLPREQFVHVW >KJB29403 pep chromosome:Graimondii2_0_v6:5:15107425:15109430:1 gene:B456_005G098500 transcript:KJB29403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVYMIIIGKFEPPPPKKKRIKLRGTTSCHSITSEFELIAGDVLSGTWEDGFHHKGVMEEWFGEHWWTTCSALLMFTTLFVFAPLISFKRIDSLRYTSALSVGFAIVFVAITAGVTIVKLVEGKIEMHRLMSKLENQASFWKLFTTVPVLVTAYICHHNVFPIANELRDPTQMKLIVRKSLMFCSSLYIATSFFGVVLFGNHILDDVLANFDGDLGIPYSSLLDDLIRVSYGLHLMLVFPIVFSSLRLNVDGLLFPYAIPIAFSEKRFFSMTVALMGFIFMGANFIPSIWDAFQFTDATAAACVGSIFPAAITLRDTNGIATKKDRLISWMMMIFLAVSTSTVAVTSDIYGILTVDKGVIT >KJB29402 pep chromosome:Graimondii2_0_v6:5:15107150:15109430:1 gene:B456_005G098500 transcript:KJB29402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVYMIIIGDVLSGTWEDGFHHKGVMEEWFGEHWWTTCSALLMFTTLFVFAPLISFKRIDSLRYTSALSVGFAIVFVAITAGVTIVKLVEGKIEMHRLMSKLENQASFWKLFTTVPVLVTAYICHHNVFPIANELRDPTQMKLIVRKSLMFCSSLYIATSFFGVVLFGNHILDDVLANFDGDLGIPYSSLLDDLIRVSYGLHLMLVFPIVFSSLRLNVDGLLFPYAIPIAFSEKRFFSMTVALMGFIFMGANFIPSIWDAFQFTDATAAACVGSIFPAAITLRDTNGIATKKDRLISWMMMIFLAVSTSTVAVTSDIYGILTVDKGVIT >KJB30495 pep chromosome:Graimondii2_0_v6:5:40198995:40201183:1 gene:B456_005G147100 transcript:KJB30495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARTVTQGLNVFSKFLSVKLWNSRIKEAVNQESAQKALLLFCQMKQKDLEPNNLTFPFVAKACAKLSDLKCSQSVHSQILKSPFGNNIFIQTAVADMYVKCDKVDYAYKVFERMPERDLAAWNAMLLGFAQFGFLGEVFCLLDGMRLAGIHPDSVTVVGLSLGVLSVKSLELVRGIHAFGIQNGVAANVTVANTWISVYAKCGDLASSEKVFDEIDVKTVISWNSMIAGYANFENFLDAFGLYRRMLVAGIRPDASSIVSLISSCVQPEALFQGTLIHSHGIKLGCDLNLSVTNTLISMYSKCGDVNSARLLFDCMSDRTHVSWTVMISGYAEKGNMDEAMSLFFSMEKVGETPDLVTILSLLSGCSKTGSLELGKLIDSYAKSKEFKDNIMVCNALIDMYSKCGSIVEAKEVFHAMREKTIVSWTTMISGCAMNGQFEEALDLFHQMRSLGLKPNHITFLVVLQACTHAGFLDKGWEFFNMMTIVYDISPGLDHYSCMADLLGRKGKLKEALEFVLNMPIEPDAAILSALLSACKVHQNIEIGKYVASRLLEMEPQEAAPYVEMANIYASTGKWDRVAMIRLLMKHNKVSKSPGESLIQINGKTHRFTVEDRSNSEGVLIFTLLDDLALQLKDDGYLLHLGYIPEFEFI >KJB28546 pep chromosome:Graimondii2_0_v6:5:5449779:5451235:-1 gene:B456_005G054500 transcript:KJB28546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSVEMLGQECFDLKNKAIAHLHDYSFMDEIPTIDYSLLFSKDHNERANSLEHLGKACQDFGCFYLINGVEERVVEGALKGISDYFVLTNQEERSEYLKKNSMDRIRWYLRSDAGENRENLKIVTHPEYHCPSKPDSCKDAIGEYLKGMHEVELGLAKAISTTLGYEETYIEKEFKLEAGFDVATLNLYPPSLQSKGSTGLAEHTDPGFFVSLIQDVNGGLQVLSHQGNWITVNLPRNTIFIGIGDHLEILTNGKYKSHIHQVILDNNEVKRISMATLHGPSLDTLVAPAPGFINDSHPPTYRGMTYKESLELNGFDEIDVQSSLIQLQVPLSF >KJB29893 pep chromosome:Graimondii2_0_v6:5:26570114:26570892:-1 gene:B456_005G122600 transcript:KJB29893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QIEPIPSGNLPPGFDPSTCRNVYVGNIHSQVTEPLLQEVFASTGPVEGCKLIRKEKSSYGFVHYFDRRSAALAILWCFL >KJB32941 pep chromosome:Graimondii2_0_v6:5:64087029:64088373:1 gene:B456_005G269100 transcript:KJB32941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGFQIKRIRPHLVGSLFILGRISMLLFGWFPQMRNRDSVLNALNGHSDHGRIEIKKILPKISFTLSLQMRSKLLLLSQRYTFRFGDMVQQMKIY >KJB29156 pep chromosome:Graimondii2_0_v6:5:11212874:11215333:1 gene:B456_005G087300 transcript:KJB29156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKNVKCYNGGSEMLEDLRFDNLLAEARSESFRVRNIKEINKQFSEIDMEDIDSDYGMFWSSLIDYISVLAHKSDVQPSKKIKRDGKIDESLEKLLGSLDKFEKYPEFIFGTYHTNRHRKYDESCEQPSKEITCDSLVDESLKEFLGSLDKIPEFIHGTYNTNLNRKYYRSCSDLNILTLDDTCEGSYAPFVPSTSLAKEECKDAIRTPSQPQFREKVMALLRTPYDQKAFDNLWREVTYRKPMQGAGNICHRLIKNHSTKTKEKSLLDLHQEVKMKIDEYRSDRRKLLCLLRGFFFWLEKLLWGLLFLF >KJB29152 pep chromosome:Graimondii2_0_v6:5:11212874:11215333:1 gene:B456_005G087300 transcript:KJB29152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKNVKCYNGGSEMLEDLRFDNLLAEARSESFRVRNIKEINKQFSEIDMEDIDSDYGMFWSSLIDYISVLAHKSDVQPSKKIKRDGKIDESLEKLLGSLDKFEKYPEFIFGTYHTNRHRKYDESCEQPSKEITCDSLVDESLKEFLGSLDKIPEFIHGTYNTNLNRKYYRSCSDLNILTLDDTCEGSYAPFVPSTSLAKEECKDAIRTPSQPQFREKVMALLRTPYDQKAFDNLWREVTYRKPMQGAGNICHRLIKNHSTKTKEKSLLDLHQALIKDKFHLEVL >KJB29155 pep chromosome:Graimondii2_0_v6:5:11212874:11215356:1 gene:B456_005G087300 transcript:KJB29155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKNVKCYNGGSEMLEDLRFDNLLAEARSESFRVRNIKEINKQFSEIDMEDIDSDYGMFWSSLIDYISVLAHKSDVQPSKKIKRDGKIDESLEKLLGSLDKFEKYPEFIFGTYHTNRHRKYDESCEQPSKEITCDSLVDESLKEFLGSLDKIPEFIHGTYNTNLNRKYYRSCSDLNILTLDDTCEGSYAPFVPSTSLAKEECKDAIRTPSQPQFREKVMALLRTPYDQKAFDNLWREVTYRKPMQGAGNICHRLIKNHSTKTKEKSLLDLHQEVKMKIDEYRSDRRKLLCLLRGFFFWLEKLPSYEDAFPPWLDTLYLNALG >KJB29154 pep chromosome:Graimondii2_0_v6:5:11213189:11214438:1 gene:B456_005G087300 transcript:KJB29154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKNVKCYNGGSEMLEDLRFDNLLAEARSESFRVRNIKEINKQFSEIDMEDIDSDYGMFWSSLIDYISVLAHKSDVQPSKKIKRDGKIDESLEKLLGSLDKFEKYPEFIFGTYHTNRHRKYDESCEQPSKEITCDSLVDESLKEFLGSLDKIPEFIHGTYNTNLNRKYYRSCSDLNILTLDDTCEGSYAPFVPSTSLAKEECKDAIRTPSQPQFREKVMALLRTPYDQKAFDNLWREVTYRKPMQGAGNICHRLIKNHSTKTKEKSLLDLHQALIKDKFHLEVL >KJB29153 pep chromosome:Graimondii2_0_v6:5:11213189:11214438:1 gene:B456_005G087300 transcript:KJB29153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKNVKCYNGGSEMLEDLRFDNLLAEARSESFRVRNIKEINKQFSEIDMEDIDSDYGMFWSSLIDYISVLAHKSDVQPSKKIKRDGKIDESLEKLLGSLDKFEKYPEFIFGTYHTNRHRKYDESCEQPSKEITCDSLVDESLKEFLGSLDKIPEFIHGTYNTNLNRKYYRSCSDLNILTLDDTCEGSYAPFVPSTSLAKEECKDAIRTPSQPQFREKVMALLRTPYDQKAFDNLWREVTYRKPMQGAGNICHRLIKNHSTKTKEKSLLDLHQALIKDKFHLEVL >KJB29150 pep chromosome:Graimondii2_0_v6:5:11212874:11215333:1 gene:B456_005G087300 transcript:KJB29150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKNVKCYNGGSEMLEDLRFDNLLAEARSESFRVRNIKEINKQFSEIDMEDIDSDYGMFWSSLIDYISVLAHKSDVQPSKKIKRDGKIDESLEKLLGSLDKFEKYPEFIFGTYHTNRHRKYDESCEQPSKEITCDSLVDESLKEFLGSLDKIPEFIHGTYNTNLNRKYYRSCSDLNILTLDDTCEGSYAPFVPSTSLAKEECKDAIRTPSQPQFREKVMALLRTPYDQKAFDNLWREVTYRKPMQGAGNICHRLIKNHSTKTKEKSLLDLHQEVKMKIDEYRSDRRKLLCLLRGFFFWLEKLLWGLLFLF >KJB29157 pep chromosome:Graimondii2_0_v6:5:11212874:11215356:1 gene:B456_005G087300 transcript:KJB29157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKNVKCYNGGSEMLEDLRFDNLLAEARSESFRVRNIKEINKQFSEIDMEDIDSDYGMFWSSLIDYISVLAHKSDVQPSKKIKRDGKIDESLEKLLGSLDKFEKYPEFIFGTYHTNRHRKYDESCEQPSKEITCDSLVDESLKEFLGSLDKIPEFIHGTYNTNLNRKYYRSCSDLNILTLDDTCEGSYAPFVPSTSLAKEECKDAIRTPSQPQFREKVMALLRTPYDQKAFDNLWREVTYRKPMQGAGNICHRLIKNHSTKTKEKSLLDLHQEVKMKIDEYRSDRRKLLCLLRGFFFWLEKLPSYEDAFPPWLDTLYLNALG >KJB29151 pep chromosome:Graimondii2_0_v6:5:11212874:11215333:1 gene:B456_005G087300 transcript:KJB29151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKNVKCYNGGSEMLEDLRFDNLLAEARSESFRVRNIKEINKQFSEIDMEDIDSDYGMFWSSLIDYISVLAHKSDVQPSKKIKRDGKIDESLEKLLGSLDKFEKYPEFIFGTYHTNRHRKYDESCEQPSKEITCDSLVDESLKEFLGSLDKIPEFIHGTYNTNLNRKYYRSCSDLNILTLDDTCEGSYAPFVPSTSLK >KJB29158 pep chromosome:Graimondii2_0_v6:5:11212874:11215333:1 gene:B456_005G087300 transcript:KJB29158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKNVKCYNGGSEMLEDLRFDNLLAEARSESFRVRNIKEINKQFSEIDMEDIDSDYGMFWSSLIDYISVLAHKSDVQPSKKIKRDGKIDESLEKLLGSLDKFEKYPEFIFGTYHTNRHRKYDESCEQPSKEITCDSLVDESLKEFLGSLDKIPEFIHGTYNTNLNRKYYRSCSDLNILTLDDTCEGSYAPFVPSTSLAKEECKDAIRTPSQPQFREKVMALLRTPYDQKAFDNLWREVTYRKPMQGAGNICHRLIKNHSTKTKEKSLLDLHQALIKDKFHLEVL >KJB27918 pep chromosome:Graimondii2_0_v6:5:4921815:4922658:-1 gene:B456_005G049700 transcript:KJB27918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTHLLILLLGVVALATPSFGTYESPNYGKPPAPVHNPPKLKPPPYEHKPPVYEPPKKEKPEPKPPVYTPPKKEKPEPKPPVYEPPKKEKPEPKPPVYTPPKKEKHEHKPPVYEPPKKEKPEPKPPVYAPPKKEKPELKPPVYKPPKKPPVYEPKPPKPPVYAPPKKEKPEPKPPVYESPKKPPYGHYPGHPPLEKPQ >KJB32217 pep chromosome:Graimondii2_0_v6:5:61278904:61280227:-1 gene:B456_005G230200 transcript:KJB32217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYGLFATECNSGYESGWTNYLDHSFLSANPSSNDFKDSNDRGNKEEVVEEEEEDLSMISDASSGPPPHFFYEINGCFNGDDGHDDDQYHYPLPQVAALDKNGAKQQVPPSCLDDTATSSPLINFSKTYDEVSMESVFDYPLQGFSATHFMGGPAFRDHCGFYQYSPYGNQLQTNQWF >KJB29004 pep chromosome:Graimondii2_0_v6:5:9208496:9211406:-1 gene:B456_005G079500 transcript:KJB29004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIPGSIGWPIIGESLSFLSDFSSPSGIFSFMNKRQQRYGKVFKSFVLGRYTVFMTGREASKILLTGKDGMVSLNLFYTGQQVLGPNSLLQTNGEAHKRLRRLIAEPLSIDGLKKYFHFINTQAIETLDQWTGKRVLVLEEASSFTLKVIGNMIMSLEPSGEEQERFRANFKIISSSFASMPFKIPGTAFHRGIKARDSMYAMIDSIIAKRRNGEVIQQDFLESLIIKHSKGTNTIDNEDNKLTDQQMKDNILTLLVAGHDTTTAALTWLVKFLGENPAVLEQLREEHLQIQANRKDGTNLTWSEVNNMPYTNKVISETLRRATILPWYSRKAAQDFEIDGYNIKKGWSINLDVVSIHHDPETFPDPEKFDPSRFDAPLKTFSYLGFGSGPRMCPGMNLAKLEICIFIHHLVCKYKWRALEKDDFVQPTLVRMPKNKYPIMVEPL >KJB32051 pep chromosome:Graimondii2_0_v6:5:60331022:60333320:-1 gene:B456_005G220500 transcript:KJB32051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFVVRSVMRGLINGRSYCSCSSIGNGQVYRSAIVGRSLELSGQRNGVAFGGFEWRRMMSSSPASVEKATSEKEDKTENSVMEETKGKEVMAASYWGISRPKITREDGTDWPWNCFMRRYGCRAMMLETVAAVPGMVGGMLLHLKSLRKFQQSGGWIKALLEEAENERMHLMTMVELVKPKWYERLLVLTVQGVFFNAFFVLYMLSPKLAHRIVGYLEEEAIHSYTEYLKDIDSGAIENVPAPAIAIDYWRLPKDATLKDVITVIRADEAHHRDVNHFASDIHFQGKELREAPAPLGYH >KJB32050 pep chromosome:Graimondii2_0_v6:5:60330995:60333324:-1 gene:B456_005G220500 transcript:KJB32050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFVVRSVMRGLINGRSYCSCSSIGNGQVYRSAIVGRSLELSGQRNGVAFGGFEWRRMMSSSPASVEKATSEKEDKTENSVMEETKGKEVMAASYWGISRPKITREDGTDWPWNCFMPWETYKADLSIDLNKHHKPKNFTDKFAYRTVKLLRVPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLKSLRKFQQSGGWIKALLEEAENERMHLMTMVELVKPKWYERLLVLTVQGVFFNAFFVLYMLSPKLAHRIVGYLEEEAIHSYTEYLKDIDSGAIENVPAPAIAIDYWRLPKDATLKDVITVIRADEAHHRDVNHFASDIHFQGKELREAPAPLGYH >KJB27696 pep chromosome:Graimondii2_0_v6:5:384843:385355:1 gene:B456_005G006100 transcript:KJB27696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFINTSTDLPLPQNKTTLEIKHEDDSKFFAKLLSKQNSMGRNPSFRVYYGGASGSVPFTWESRPGTPKHAFARTSLVPPLTPPPSYYTKSNTKPVKKNSRSGLLHALFWKMVLRLKKAYVVPSSSSTTSSGKYQRRRLSTPSSSFDSRMDDEGIAAVGSGTSTRCFSVHW >KJB27631 pep chromosome:Graimondii2_0_v6:5:149762:152490:1 gene:B456_005G002800 transcript:KJB27631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFSDNTSLSCPNNPSQAPKFANTFTTRIFSDVAGDITITVDGESFLLHKFPLVSRSGKIRKMVADGKDYNVSKLELVNFPGGPHTFELAMKFCYGMNFEITAANAALLRCAAEYLEMSEDYREENLIARTEVYLNDVVVQSLEKCVEVLCTCENLPPIVEELGIINTCVEAIAMNACKEQLVSGLSTLDCNGESTELKTGCMEWWIEDLSVLRIDYYHKVITSMARIGVRPDSIVESLMHYAQISLKSIGKCQIWNPARPNSNSGTTENEQKTIVEALVNLLPTLKSSNVPLSFLFGMLRMAIMVEATVACRLELERRIAFRLEMASLDDLLIPSLRAGDSLFDIDTVHRILVNFLQQIEDEENEDSGGYESDGLASLGHGSLLKVGRLIDSYLAEIAPDPYLSLQKFIAMIETLPDYARVIDDGLYRAIDIYLKAHPMLSDHECKKLCKFIDCQKLSQEATNHAAQNERLPVQMAIKVLYFEQLRLKNALCGSSGDGFLSQKISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQVVMKRGMMEKSGNGKTFFTSLSKGIGRIGIFSGPGGGKRRKPRGSEGKSGRSRRYSVS >KJB30253 pep chromosome:Graimondii2_0_v6:5:34153945:34156897:1 gene:B456_005G134600 transcript:KJB30253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANNSCSSLPSSEPFSCLENGTTNINKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCDKCSKAYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACHMGRVIRPESQGVQLACLSRTASSPSPSNDTNFSTAPCPWPSLALPKTKDAMFLSPTKYHNSPKNALSHNLELQLLTTSNPSEVSVSPKKHDNDPTHLQLSIGSSDIGDKVEYSTVTCTHKDASNKSGHHEKQAPQPLRVAMEEKTYAEEARQEAKRQIEIAEQEFAKAKRIRQQAQAELEKAQALKDHAVKQINSTILQITCHACQHQFQARTPPEENSLVASYISSAVTEGEVENDIQTSLTKATNT >KJB28116 pep chromosome:Graimondii2_0_v6:5:2458681:2460986:-1 gene:B456_005G028100 transcript:KJB28116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSKLGSLFRQNGQTPMISSMLNSLRCMSTSTKKLFIGGLSYGTDDQSLREAFSGFGDVTEARVIADRDTGRSRGFGFVNFADDESASNALSAMDGQELQGRNIRVSYANERPSGPRSFGNNGGFGGSRGFGGGSREDSSF >KJB28117 pep chromosome:Graimondii2_0_v6:5:2459234:2460940:-1 gene:B456_005G028100 transcript:KJB28117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSKLGSLFRQNGQTPMISSMLNSLRCMSTSTKKLFIGGLSYGTDDQSLREAFSGFGDVTEARVIADRDTGRSRGFGFVNFADDESASNALSAMDGQVRKTNNYLYAEHVSFELQIDRFVLKISGTPREKYSSKLCQ >KJB31049 pep chromosome:Graimondii2_0_v6:5:51999947:52006035:1 gene:B456_005G177400 transcript:KJB31049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFTRSTVSRKPNESMRLIVTTFVGVVFGFLIGLSFPKLSLTKFNISSGILTTIDIKYTEYTNSVPSGSTPLQHPIDNNRSSANATSKKIWVPSNPRGAERLPPGIVKAESDLYLRRLWGKPNEDLTSTPKYLVTFTVGYNQRKNIDAAVKKFSGNFTILLFHYDGQTTEWDEFEWSKQAIHVSVRRQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYIKLVRKHGLEISQPGLEPNKGLTWQMTKRRGDREVHKETEEKPGWCNHPHVPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQSVPSLGNQGESQNGKAPWQGVRERCKKEWTIFQTRFSRAERSYYKAINSTSH >KJB31048 pep chromosome:Graimondii2_0_v6:5:52001273:52005697:1 gene:B456_005G177400 transcript:KJB31048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFTRSTVSRKPNESMRLIVTTFVGVVFGFLIGLSFPKLSLTKFNISSGILTTIDIKYTEYTNSVPSGSTPLQHPIDNNRSSANATSKKIWVPSNPRGAERLPPGIVKAESDLYLRRLWGKPNEDLTSTPKYLVTFTVGYNQRKNIDAAVKKFSGNFTILLFHYDGQTTEWDEFEWSKQAIHVSVRRQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYIKLVRKHGLEISQPGLEPNKGLTWQMTKRRGDREVHKETEEKPGWCNHPHVPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQSVPSLGNQGESQNGKAPWQGVRERCKKEWTIFQTRFSRAERSYYKAINSTSH >KJB31050 pep chromosome:Graimondii2_0_v6:5:52002719:52005697:1 gene:B456_005G177400 transcript:KJB31050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIWVPSNPRGAERLPPGIVKAESDLYLRRLWGKPNEDLTSTPKYLVTFTVGYNQRKNIDAAVKKFSGNFTILLFHYDGQTTEWDEFEWSKQAIHVSVRRQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYIKLVRKHGLEISQPGLEPNKGLTWQMTKRRGDREVHKETEEKPGWCNHPHVPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQSVPSLGNQGESQNGKAPWQGVRERCKKEWTIFQTRFSRAERSYYKAINSTSH >KJB30545 pep chromosome:Graimondii2_0_v6:5:40945865:40949791:-1 gene:B456_005G149400 transcript:KJB30545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETRFVSKEWTFLLGLGCFCAGMLFTDRMGTVPEPKGIKRTTAVEAEKLKLISEGCNPKANEEKHVSKHIIGEVFKTHHAIQTLDKTILNLEMELAAARAAQKSLLAGSPLSTDANRAKSSRKRKRDSARATWMPQGEKRNKLEDENSIIVLFVIGHSATTVGILDLAIEAEDKKHGDFLRPLGWYLELSAKPKIYFATAPALWDADFYVKVDENVHVNIETLVRHRKKNRALIGCTKSGPVLSQKGVRCNEPEYWQFGESGNKYFRHATRKLYAISKDLASYISINQHVLHKSANEDVSLGSWFVGLDVQHIDDQRLCCGRPPEVHQQCGEGENALWSATF >KJB32635 pep chromosome:Graimondii2_0_v6:5:63058864:63063232:1 gene:B456_005G252400 transcript:KJB32635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKSFSVFFFVLLLASPILQEAVEGGELGIVGEEAQDFGSGNFDSAPGVETLCLFPKNSAKLVSAGEETELLVGVENVGESPVNVIAITASVHLPFDHRMLVQNLSAQAFNNASVPPSKQATFPYIFAVSKYLQPGTFDLVGNIFYEIDQHPYQSTFYNGTIEVVEASGFLSVESVFLVTLGIALLVLFGLWLQGQFQRISKKTKRAPKVEVGTRTTDTSLDEWLQGTAYTQSASKSKKKK >KJB32634 pep chromosome:Graimondii2_0_v6:5:63058864:63063232:1 gene:B456_005G252400 transcript:KJB32634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKSFSVFFFVLLLASPILQVARCQSEEEADVAEAVEGGELGIVGEEAQDFGSGNFDSAPGVETLCLFPKNSAKLVSAGEETELLVGVENVGESPVNVIAITASVHLPFDHRMLVQNLSAQAFNNASVPPSKQATFPYIFAVSKYLQPGTFDLVGNIFYEIDQHPYQSTFYNGTIEVVEASGFLSVESVFLVTLGIALLVLFGLWLQGQFQRISKKTKRAPKVEVGTRTTDTSLDEWLQGTAYTQSASKSKKKK >KJB29025 pep chromosome:Graimondii2_0_v6:5:9468004:9471028:-1 gene:B456_005G080300 transcript:KJB29025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAADAHRTDLMTITRFVLNEQSKYPESRGDFTILLNHIVLGCKFVCSSVNKAGLAKLIGLTGEINIQGEEQKKLDVLSNEVFIKALVSSGRTCILVSEEDEDAIIVEPSKRGRCFHLFSALFLMNNCFHYELGLIQCRYCVVFDPLDGSSNIDCGVSIGTIFGIYMVKDDHEPTLADVLQPGKQMVAAGYCMYGSSCTLVLSTGKGVNGFTLDPSLGEFILTHPDIRIPKKGKIYSVNEGNAKNWDGPTAKYVENCKFPKDGSPSKSLRYIGSMVADVHRTLLYGGTFMYPADKKSPSGKLRVLYEVFPMSFLMENAGGQAFTGKQRALDLVPTKIHDRSPIFLGSYDDIEEIKALYAAEGKKE >KJB29024 pep chromosome:Graimondii2_0_v6:5:9467943:9471028:-1 gene:B456_005G080300 transcript:KJB29024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAADAHRTDLMTITRFVLNEQSKYPESRGDFTILLNHIVLGCKFVCSSVNKAGLAKLIGLTGEINIQGEEQKKLDVLSNEVFIKALVSSGRTCILVSEEDEDAIIVEPSKRGRYCVVFDPLDGSSNIDCGVSIGTIFGIYMVKDDHEPTLADVLQPGKQMVAAGYCMYGSSCTLVLSTGKGVNGFTLDPSLGEFILTHPDIRIPKKGKIYSVNEGNAKNWDGPTAKYVENCKFPKDGSPSKSLRYIGSMVADVHRTLLYGGTFMYPADKKSPSGKLRVLYEVFPMSFLMENAGGQAFTGKQRALDLVPTKIHDRSPIFLGSYDDIEEIKALYAAEGKKE >KJB31115 pep chromosome:Graimondii2_0_v6:5:51929841:51931732:-1 gene:B456_005G177200 transcript:KJB31115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDPIVISTCFPLSDHQRLADEPTNVGISGQSIFKFVYRTKLVSECRLIYCYMDWLKPWYFWRKQGSKYFIIDGTTLDVVWEFKAGNFNDAYRKTGCRPSLIEPMLVFRRENIFGNKKFSMRVKFNEKGAFHDISVECSSDGMDPVLEIRVDGKLAIESIKVGKKGLQVFWDIHDWLFGSGPRHGLFIFNPIPSSPSTQFCNSKF >KJB32895 pep chromosome:Graimondii2_0_v6:5:63961928:63966193:1 gene:B456_005G267000 transcript:KJB32895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDDFDKYMKVPDEWAPPEIKPYTPGENLQKWLADEKARDQFVIRAGTDTEVLWNDARQSKTEPVYKRTYWTESFVQWSPMGTYLATVHRQGAAVWGGANTFNRLMRYAHPQVKLIDFSPGEKFLVTYSSHEPSNPRDANRVVINIFDVRTGKMMRDFKGSADEFAVGGAGGVAGNSWPVFRWGGGKEDKYFAKLGKNMISVYETETFSLIDKKSLKVENVVDFSWSPTDPIIALFVPELGGGNQPARVSLVQMPGKEELRQKNLFSISDCKMYWQSNGDYLAVKADRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDNPRPDVSFYSMRSTHNLGRVAKLTTLKGKQANALFWSPGGRFIVLAGLKGFNGQLEFFNVDELETMATAEHFMATDVEWDPTGRYVATSVTSVHEMENGFNIWSFNGKLLYRILKDHFFQFLWRPRPPSFLTPEKEEEIARNLKKYSKKYEAEDQDVSMLLSEQDREKRRMLKEEWEKWVSEWRRAQEEEKLERQKLRDGEASDEEEEYEAKEVEVEEVLDVSEEVLSFEE >KJB32894 pep chromosome:Graimondii2_0_v6:5:63961896:63966193:1 gene:B456_005G267000 transcript:KJB32894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVMLMNEIEATAAQMGIDMNIDFSSIQLPPGENCGIISDDDDVYHDDQLEFDSGFGNVIVVDNLPVVPREKFEKLEGVIRKIYSQIGVIKEDGLWMPVDPETKKTLGYCFIEYNTPQEAELAKEKTHGYKLDRAHIFAVNMFDDFDKYMKVPDEWAPPEIKPYTPGENLQKWLADEKARDQFVIRAGTDTEVLWNDARQSKTEPVYKRTYWTESFVQWSPMGTYLATVHRQGAAVWGGANTFNRLMRYAHPQVKLIDFSPGEKFLVTYSSHEPSNPRDANRVVINIFDVRTGKMMRDFKGSADEFAVGGAGGVAGNSWPVFRWGGGKEDKYFAKLGKNMISVYETETFSLIDKKSLKVENVVDFSWSPTDPIIALFVPELGGGNQPARVSLVQMPGKEELRQKNLFSISDCKMYWQSNGDYLAVKADRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDNPRPDVSFYSMRSTHNLGRVAKLTTLKGKQANALFWSPGGRFIVLAGLKGFNGQLEFFNVDELETMATAEHFMATDVEWDPTGRYVATSVTSVHEMENGFNIWSFNGKLLYRILKDHFFQFLWRPRPPSFLTPEKEEEIARNLKKYSKKYEAEDQDVSMLLSEQDREKRRMLKEEWEKWVSEWRRAQEEEKLERQKLRDGEASDEEEEYEAKEVEVEEVLDVSEEVLSFEE >KJB32896 pep chromosome:Graimondii2_0_v6:5:63963261:63966193:1 gene:B456_005G267000 transcript:KJB32896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYLATVHRQGAAVWGGANTFNRLMRYAHPQVKLIDFSPGEKFLVTYSSHEPSNPRDANRVVINIFDVRTGKMMRDFKGSADEFAVGGAGGVAGNSWPVFRWGGGKEDKYFAKLGKNMISVYETETFSLIDKKSLKVENVVDFSWSPTDPIIALFVPELGGGNQPARVSLVQMPGKEELRQKNLFSISDCKMYWQSNGDYLAVKADRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDNPRPDVSFYSMRSTHNLGRVAKLTTLKGKQANALFWSPGGRFIVLAGLKGFNGQLEFFNVDELETMATAEHFMATDVEWDPTGRYVATSVTSVHEMENGFNIWSFNGKLLYRILKDHFFQFLWRPRPPSFLTPEKEEEIARNLKKYSKKYEAEDQDVSMLLSEQDREKRRMLKEEWEKWVSEWRRAQEEEKLERQKLRDGEASDEEEEYEAKEVEVEEVLDVSEEVLSFEE >KJB29791 pep chromosome:Graimondii2_0_v6:5:24635374:24638244:1 gene:B456_005G119200 transcript:KJB29791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21300 [Source:Projected from Arabidopsis thaliana (AT4G21300) UniProtKB/Swiss-Prot;Acc:Q9STE1] MYLKNLSLVCKLYRLIITPLKCFHTNSHHIPAFQLPPFLQSSSIALNLHQGKQVHAQLILNGITTANPLLLAMYLRVGSFTDAKNLFYRMDLGCIKRWNLMIRGLVKMGSFHFALMFYFKLLGCGVSPDNFTFPSVVKACSALNNVRCGTLIHELIMLMGFEVNVFVGSSLINLYVENGYTSLARNLFDKLPVRDCVLWNVMLNGYVKLAELDKAIEIFEEMRKGDTKPNEVTFAAILSVCGSDGMVNFGTQLHGLVVSCGLDSNSVVANTLLSMYSKCGWLSDGRKLFDMIPQADLVSWNGMISGYVQNGFMEDALCLFSEMISSGVKPDSITLSSFLPAVTGLGSLRKGREIHGYILRHGIPLDVFLKSALLDVYLKCRAVDTARNIYNQSTKFDIVMCTAMISGYVLNGLSNDALEIFRWLLKEKIRPNAVTLASVLPACTDLAAIQMGKELHASVIKNGLADRCHVGSAVIDMYAKCGRLDLAHCVFRRLTERDSICWNSMITSCSQNGKPEEAINLFRQMGRTGTKYDCVSISAALSASANLPALHFGKEIHGFMIKSSLFSDLFTESALIDMYAKCGNLDLAQHVFDMMELKNEVSWNSIIAAYGNHGHLKDCLALFDKMLKNKIQPDHVTFLAIISACGHAGKVNDGVHHFKSMVEEYGIPPRMEHYACMVDLLGRAGRLDEAFKAIQSMPFSPDAGVWGTLLGACRNQGNVELAEVASRHLFYLDPQNSGYYVLLSNLLADAGHWRSVLKVRSLMKERGVQKVPGYSWIEVNNTTHMFVAADGSHPQSKHIYSLLKTLLLELKREGYVPQLYLRMNPKERVS >KJB29447 pep chromosome:Graimondii2_0_v6:5:16162177:16164275:-1 gene:B456_005G101100 transcript:KJB29447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNHQHSSFVLDALYCSEENWEEDQDERCFNNGINDNDNQLNPFPILLEQDLFWEDDELSSLFTKEERNQLYDSLQTNGNLAGARREAVEWVLKVSAHYSFSALTALLAVNYLDRFLFSFRFQSEKPWMTQLAAVACLSLAAKVEETQVPLLLDLQVEETRYVFEAKTIQRMEILVLSSVQWKMNPVTPFSFLDYITRRLGLKDHLCWEFLRRCHRILLSLIADSRFMCYLPSVMATATMLHVVDSVEPNLRVEYHNQLLGILGIDKEKVNECCELIMEWATRVEGNQSNKRRFSSIPGSPNGVMDVSFSSDSSNDSWAVASSASVSSSPEPMSKKTRTQQDQLPERLSHHAPSDFLTIPH >KJB29448 pep chromosome:Graimondii2_0_v6:5:16162310:16164158:-1 gene:B456_005G101100 transcript:KJB29448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNHQHSSFVLDALYCSEENWEEDQDERCFNNGINDNDNQLNPFPILLEQDLFWEDDELSSLFTKEERNQLYDSLQTNGNLAGARREAVEWVLKVSAHYSFSALTALLAVNYLDRFLFSFRFQSEKPWMTQLAAVACLSLAAKVEETQVPLLLDLQVEETRYVFEAKTIQRMEILVLSSVQWKMNPVTPFSFLDYITRRLGLKDHLCWEFLRRCHRILLSLIADSRFMCYLPSVMATATMLHVVDSVEPNLRVEYHNQLLGILGIDKQEKVNECCELIMEWATRVEGNQSNKRRFSSIPGSPNGVMDVSFSSDSSNDSWAVASSASVSSSPEPMSKKTRTQQDQLPERLSHHAPSDFLTIPH >KJB27795 pep chromosome:Graimondii2_0_v6:5:690450:697270:-1 gene:B456_005G009900 transcript:KJB27795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAFVSAVVGEVASKAASVAVEMISLGWGFKDDMQRLGNSLEMIGAFLQDAEGNQKQMNSVKLWLKRLRDVAYEADDVLDEIAYEFLRKKVETGDQMLRKVRDLPSTVTFQHNMANKVKDILNSLDDLNKIAKDYGLQQLAVDQRIFIPSNVETVSFLDDSNIVGRKNDVSKVVDMLLSPQDDRTVSVVPIVGMAGIGKTTLARLVYHDVDVERRFDVRFWVCVSDDFNVKRILREMLEHDMNYKHTSIPQNLNALTAKLKGKIEQAKRGNEQIKYLLVLDDVWDVEQWNELMRCLEGVNKNRGNKVIVTTRIEDVALKVETLPNQRHQPGKLKYEECWSIIKEKACGDSPISPSLVLIGEEIAKQCHGVPLAAKVIGGTMRKIERSRAAWLKIQKSDVWDSVYSVLRLSFDHLSSPCLKKCFAYCAMFPKDFCFRKEQLIQLWMAEGFLGASKEMMDTGNRYFHELLSNSLFQDVGKDRCGNILTCKMHDLVHDLALSVSKFETLIFQENSISITDEVSHIRHLSIGYDGESLPIILTAVAPKLHSLFSEIDVFKKLSRTFTSLRVLKFSGADYILKLPASLGELKHLRYMDISKTSIKVLPQSITKLYMLQTLRFMGCREIAFPDGLRNLISVKHIHFDQQSSQPIELRHLTSLQTLPMFFVRDNELHLDALECLNELGGQLKICDLQSVRDKEEAGKANLRLKTKLCKVIFEWSKFSNDTCEEVLEGLQPPSGLQSLIVWNYGGENLPSWMSRPVHGSNIGSLLLDNLMELELNNCINCKCLPPLGQLQSLKFLALRNMEQLKRIGNEFYCDGSSQCEIEVFPALKTFILRQMRNLEEWTATTAAIVSIPDLVGFFSLRILDILDCHELETVPIRGRYTSLKKLHVSLCPKLSKIGDGLSTSTSLEELKLSGCSNLSFIPDLEGFSSLRILDILDCHELETVPIEGRCSSLEKLHVSSCPKLSKIGDGLSTSTILEELKLSGCSNLSSIPDLEGFSSLQILDISDCHELKTVPIRGRCSSLEKLHVSSCPKLSKIGDGLSTSTILEELKLSGCSNLSSIPDLEGFSSLQILDISDCHELEIVPIRGRCSSLEKLHVSSCPKLSKIGDGLSTSTILEELKLSGCANLSFIPDLEEISSLRILDISDCHELKIVPIRGRYFTGVGEGLTRLLPDLLQPNDFLHNLTLSDLPDLRSIPESLGDMCFLDHLTIKRCPTLRSIPIDLLGRLDFLRRLDIGGFSEELEEFPGMDSIQHLRLQELRLLGWEKLRSLPYQLLHLTALEELEIQRFHGIEALPDWLGNLSYLKCLRIVSCDKLMYLPSERVMRSLSKLTTFIISECPRLEARCSKESGPEWSKISHICRISIMCKR >KJB30650 pep chromosome:Graimondii2_0_v6:5:43097509:43098327:-1 gene:B456_005G153600 transcript:KJB30650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIFFVDTTPFVSNYFIDPKDHVYDWKGISPRLHYINNLLLELESGFRESTAKWKIVVGHHTIKSAGHHGNAHELAIHLLPILQAYHVDLYINGHDHCLQHISSTDRWNPQEMKFYYDGQGFMSVEMTETDVDSKFYDVFGNAIYKWSTSKLISSAM >KJB32389 pep chromosome:Graimondii2_0_v6:5:62008255:62012047:-1 gene:B456_005G238700 transcript:KJB32389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMNTNMKIKIEEEEEELFDDEGNDRLPISQLFQLLQQRQSISMQENIRDCRQKASRKRPRDKNYNDHVVKGTKRVSEQDFAKSPVIERAEQVQANLSAEFPSFFKIMIPSVVCRGFWMSLPKEFCQLNLPNHDATVILVGETGKEYRINFLVQRKALSGGWKKFSKEHGLLVGDALVFHLIRPSKFKVYIVRMNGLDEIDAALGLLRLQSSANQTGICLFLGPKKTSQRMALWMLAPVKWKALGLLRLLALQMPLELPRFPPCDLVSCRGTTL >KJB32390 pep chromosome:Graimondii2_0_v6:5:62008460:62011801:-1 gene:B456_005G238700 transcript:KJB32390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMNTNMKIKIEEEEEELFDDEGNDRLPISQLFQLLQQRQSISMQENIRDCRQKASRKRPRDKNYNDHVVKGTKRVSEQDFAKSPVIERAEQVQANLSAEFPSFFKIMIPSVVCRGFWMSLPKEFCQLNLPNHDATVILVGETGKEYRINFLVQRKALSGGWKKFSKEHGLLVGDALVFHLIRPSKFKVYIVRMNGLDEIDAALGLLRLQSSANQTGIYAAGKNNSRPFCNDINQYEVHKYGRSFLRAQENQSENGTLDVGSSKVEGIRFTKTVSIADAIRASEISTLRSGFVPWDNALNSFDFLGTDVGSLHKRVRHVLSHTFESKPELKLKYKEAKLERAYAEQETKSLESDLSGKKEEMQRLDAEIDALTVNAKRYELMFEAAANAPW >KJB32391 pep chromosome:Graimondii2_0_v6:5:62008460:62011801:-1 gene:B456_005G238700 transcript:KJB32391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMNTNMKIKIEEEEEELFDDEGNDRLPISQLFQLLQQRQSISMENIRDCRQKASRKRPRDKNYNDHVVKGTKRVSEQDFAKSPVIERAEQVQANLSAEFPSFFKIMIPSVVCRGFWMSLPKEFCQLNLPNHDATVILVGETGKEYRINFLVQRKALSGGWKKFSKEHGLLVGDALVFHLIRPSKFKVYIVRMNGLDEIDAALGLLRLQSSANQTGIYAAGKNNSRPFCNDINQYEVHKYGRSFLRAQENQSENGTLDVGSSKVEGIRFTKTVSIADAIRASEISTLRSGFVPWDNALNSFDFLGTDVGSLHKRVRHVLSHTFESKPELKLKYKEAKLERAYAEQETKSLESDLSGKKEEMQRLDAEIDALTVNAKRYELMFEAAANAPW >KJB32388 pep chromosome:Graimondii2_0_v6:5:62008255:62012047:-1 gene:B456_005G238700 transcript:KJB32388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMNTNMKIKIEEEEEELFDDEGNDRLPISQLFQLLQQRQSISMENIRDCRQKASRKRPRDKNYNDHVVKGTKRVSEQDFAKSPVIERAEQVQANLSAEFPSFFKIMIPSVVCRGFWMSLPKEFCQLNLPNHDATVILVGETGKEYRINFLVQRKALSGGWKKFSKEHGLLVGDALVFHLIRPSKFKVYIVRMNGLDEIDAALGLLRLQSSANQTGICLFLGPKKTSQRMALWMLAPVKWKALGLLRLLALQMPLELPRFPPCDLVSCRGTTL >KJB32651 pep chromosome:Graimondii2_0_v6:5:63154036:63157037:1 gene:B456_005G253900 transcript:KJB32651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDIVVLGVEKKSAVKLQDSRSVRKIVSLDDHIALACAGLKADARVLINRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTSVPSLYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGHETIKLAIRALLEVVESGGKNIEVATMTKEHGLRQLEESEIDAIVAEIEAEKAAAEAAKKDLASPKET >KJB32652 pep chromosome:Graimondii2_0_v6:5:63154032:63157028:1 gene:B456_005G253900 transcript:KJB32652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDIVVLGVEKKSAVKLQDSRSVRKIVSLDDHIALACAGLKADARVLINRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTSVPSLYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGHETIKLAIRALLEVVESGGKNIEVATMTKEHGLRQLEESEIDAIVAEIEAEKAAAEAAKKDLASPKET >KJB32653 pep chromosome:Graimondii2_0_v6:5:63154140:63156323:1 gene:B456_005G253900 transcript:KJB32653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDIVVLGVEKKSAVKLQDSRSVRKIVSLDDHIALACAGLKADARVLINRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTSVPSLYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGHETIKLAIRALLEVSFCFSYVITAIVT >KJB28135 pep chromosome:Graimondii2_0_v6:5:2613108:2615363:1 gene:B456_005G0293002 transcript:KJB28135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLDDQRSPLLQLQHHLYYAPHFTFSSKFELWHPNTDCCSWEGVTCDAYGHVVGIDLSYKNLSGSFHSIFNLHRLQRLNLAGNNFNTTLFSYGFDKLQNLTHLNLSSSCFHGQIPVEISFLKTLVSLDLSNQDSCYLRYYKVLYPGFYNRFSLPYELQQPLKLENPNFKTLIKNLRFLTELYLDSVDISTQSAKWCETTSLVLSNLRVLSLSNCDLEGPLCSSLSRLSFLSKLILDGNPISYLPPNFLEISSRLVSLSLRDCNLNGHFPTGILLLPKIQSIDISGNDQLMGQLPEFPANNALQSLSLSYTNFSGKLPQSIGNLKFLTDLHLSGCNFFGPIPSSIANLSHLVNLDLGYNYFSGPIPKSILELPRLEWLYIESNSFSSMKLDMFVHLKNLSLSFLHLSFNVIDFPKQLPLNDANFSFSMLRVLYLESCNISVFPEFLKSLENLVVLDLSNNKISGAIPNWVWKKSLRYLFLANNHISSLDQLLPNQSSTSSQTSLARPICNLSKLRNFNASHNNLSGTIPNCLGKMNDLYLLDLQGNNFSGMLPKFSKATQLYILKVSENRLEGKLPRSLAECTQLEVLDVGNNKMNDTFPFWLEKLPYLT >KJB27915 pep chromosome:Graimondii2_0_v6:5:1220108:1222668:1 gene:B456_005G016700 transcript:KJB27915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWVHGDVNGGIMLNPVQAEASSSMDNDNETGENPVEGIFQPGLNEKLDRTSPQRDDIPEIVLADEPYVGQEFESEASAHAFYNAYATRVGFIIRVSKLSRSRHDGSAIGRALVCNKEGFRMPDKREKIMRHRAETRVGCRAMILVRKVSSGKWVVTKFVKEHTHPLTPGKGRTDCIYDQYPNEHDKIRELTHQLAIEKKRSATYKRQLELIFEQIDECNKSLSKKIQHIVDSVREIENK >KJB27917 pep chromosome:Graimondii2_0_v6:5:1220902:1222668:1 gene:B456_005G016700 transcript:KJB27917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLAVEFWVHGDVNGGIMLNPVQAEASSSMDNDNETGENPVEGIFQPGLNEKLDRTSPQRDDIPEIVLADEPYVGQEFESEASAHAFYNAYATRVGFIIRVSKLSRSRHDGSAIGRALVCNKEGFRMPDKREKIMRHRAETRVGCRAMILVRKVSSGKWVVTKFVKEHTHPLTPGKGRTDCIYDQYPNEHDKIRELTHQLAIEKKRSATYKRQLELIFEQIDECNKSLSKKIQHIVDSVREIENK >KJB27914 pep chromosome:Graimondii2_0_v6:5:1220085:1222880:1 gene:B456_005G016700 transcript:KJB27914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPVQAEASSSMDNDNETGENPVEGIFQPGLNEKLDRTSPQRDDIPEIVLADEPYVGQEFESEASAHAFYNAYATRVGFIIRVSKLSRSRHDGSAIGRALVCNKEGFRMPDKREKIMRHRAETRVGCRAMILVRKVSSGKWVVTKFVKEHTHPLTPGKGRTDCIYDQYPNEHDKIRELTHQLAIEKKRSATYKRQLELIFEQIDECNKSLSKKIQHIVDSVREIENK >KJB27916 pep chromosome:Graimondii2_0_v6:5:1220256:1222880:1 gene:B456_005G016700 transcript:KJB27916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESLNFEFLLGYIYIYIYIYFFTVEFWVHGDVNGGIMLNPVQAEASSSMDNDNETGENPVEGIFQPGLNEKLDRTSPQRDDIPEIVLADEPYVGQEFESEASAHAFYNAYATRVGFIIRVSKLSRSRHDGSAIGRALVCNKEGFRMPDKREKIMRHRAETRVGCRAMILVRKVSSGKWVVTKFVKEHTHPLTPGKGRTDCIYDQYPNEHDKIRELTHQLAIEKKRSATYKRQLELIFEQIDECNKSLSKKIQHIVDSVREIENK >KJB29699 pep chromosome:Graimondii2_0_v6:5:22367353:22369534:-1 gene:B456_005G114900 transcript:KJB29699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFRFCFLMLTIAILASPALSDLVLSKVDRRIYLTSHIVRISSTMKVENAGSEKESKVLLAFPEQQAKNMAYLIATPHEGKGKGKNPVVALPVEAANPKDMPAALTLYSVSLPKGLAEGDSFTFDVLAVFTHALRPIPEQITQADIQLVLYQDSAHYLSPYAVKVQSLSVKLPDSRIESYTKLENTKIHGSEIKYGPYENIPSFSYSPIAVHFESNLPFAVAHELVREIEISHWGNVQVTEHYKLIHGGAQSKGEFSRLDFQARPNVRGASAFRYLVANLPPRAHSVYYRDEIGNISTSHLRGDSKKTELLIEPRYPMFGGWRTAFTIGYGLPLQDFLFESDGKRFLNITFSSPMVELVIDALIVKVVLPEGSSDISVAAPFPVEQWQETKISHLDIDGRPVVVLKKTNVVPEHNQFFQVFFFLNFQSEYAHCF >KJB29700 pep chromosome:Graimondii2_0_v6:5:22365743:22369604:-1 gene:B456_005G114900 transcript:KJB29700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFRFCFLMLTIAILASPALSDLVLSKVDRRIYLTSHIVRISSTMKVENAGSEKESKVLLAFPEQQAKNMAYLIATPHEGKGKGKNPVVALPVEAANPKDMPAALTLYSVSLPKGLAEGDSFTFDVLAVFTHALRPIPEQITQADIQLVLYQDSAHYLSPYAVKVQSLSVKLPDSRIESYTKLENTKIHGSEIKYGPYENIPSFSYSPIAVHFESNLPFAVAHELVREIEISHWGNVQVTEHYKLIHGGAQSKGEFSRLDFQARPNVRGASAFRYLVANLPPRAHSVYYRDEIGNISTSHLRGDSKKTELLIEPRYPMFGGWRTAFTIGYGLPLQDFLFESDGKRFLNITFSSPMVELVIDALIVKVVLPEGSSDISVAAPFPVEQWQETKISHLDIDGRPVVVLKKTNVVPEHNQFFQVYYHFSQLSMLREPLMLISGFFFFFVACIVYMHADISISKSSASYLAKQQWEEVQAVIQQVQNIISRCLTTHDNLEASLRDLSRTGNIQACKAARKAADGLLKELSKELKPLLTFLQSSPSAAQVEELVAKERELQEKVMVKHSTVVDGYEKKLGARDIENRVALQQQKLTALRQEVDDLLEFIDEI >KJB29701 pep chromosome:Graimondii2_0_v6:5:22365743:22369695:-1 gene:B456_005G114900 transcript:KJB29701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFRFCFLMLTIAILASPALSDLVLSKVDRRIYLTSHIVRISSTMKVENAGSEKESKVLLAFPEQQAKNMAYLIATPHEGKGKGKNPVVALPVEAANPKDMPAALTLYSVSLPKGLAEGDSFTFDVLAVFTHALRPIPEQITQADIQLVLYQDSAHYLSPYAVKVQSLSVKLPDSRIESYTKLENTKIHGSEIKYGPYENIPSFSYSPIAVHFESNLPFAVAHELVREIEISHWGNVQVTEHYKLIHGGAQSKGEFSRLDFQARPNVRGASAFRYLVANLPPRAHSVYYRDEIGNISTSHLRGDSKKTELLIEPRYPMFGGWRTAFTIGYGLPLQDFLFESDGKRFLNITFSSPMVELVIDALIVKVVLPEGSSDISVAAPFPVEQWQETKISHLDIDGRPVVVLKKTNVVPEHNQFFQVYYHFSQLSMLREPLMLISGFFFFFVACIVYMHADISISKSSASYLAKQQWEEVQAVIQQVQNIISRCLTTHDNLEASLRDLSRTGNIQACKAARKAADGLLKELSKELKPLLTFLQSSPSAAQVLPKVEELVAKERELQEKVMVKHSTVVDGYEKKLGARDIENRVALQQQKLTALRQEVDDLLEFIDEI >KJB30561 pep chromosome:Graimondii2_0_v6:5:40821132:40822767:-1 gene:B456_005G148800 transcript:KJB30561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVLSLKEGSTERPEKGTSGRIRLPTNVLGDKWWKIYKDGEDNATMRRDGRRGFSGLGIENTRNGDARDGDGSIKLLRSS >KJB30527 pep chromosome:Graimondii2_0_v6:5:40488623:40491231:1 gene:B456_005G148000 transcript:KJB30527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTATSSMAAKFAFFPPNPPSYNITVNEASGKMRFSDVNYQRDNVDVLKLSTKRGNEIVAMYVKNPSASLTVLYSHGNAADIGQMYHIFTELSVHLNVNLMGYDYSGYGQSSGKPSEQDTYADIEAANKCLEEMYGIKQEDTILYGQSVGSGPALELAIRLPHLRAVILHSPILSGLRVMYPVKRTLWFDIYKNIDKIPLVDCPVLVIHGTEDEVVNFSHGKQLWELCKEKYEPLWLKGGNHCDLELYPEYLRHLRKFISAIEKLQRPRDAPDLKPKDQTEQASNNVTEQSKEKSRPSIDYREKGRPSFGHREKSRLSTDSRDKARASIDKREKSRKSIDRSFKARNSTDHSERARNSFDRLGDMVRSVGLCNVDCLKQTAAEV >KJB28555 pep chromosome:Graimondii2_0_v6:5:5636528:5636905:1 gene:B456_005G055300 transcript:KJB28555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRVIFATINNYVDKINEKMINIFPGDSKIFSSFEQAMDDTNYNHLEEILNTLPPNDLPPHKLILKVYCLIIVLRNLDPSNDLRYGKKMVHKRFNNNLRHSEITIDQLARSQVLLSRIPLTYRK >KJB29840 pep chromosome:Graimondii2_0_v6:5:25347394:25350777:1 gene:B456_005G120700 transcript:KJB29840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSADEFCSMCIIILVSLLFQLVLAADYVPTEKILLNCGGKSEATDNDNRKWTPDVGSKFLLGSGNSVASQAATQDPAVPEVPYMTARVFRSNFTYSFPVVPGRKFLRLYFYANSYDGQIAANALFSVTCGSYTLLKNFSAAQTSEALNYAFVVKEYSINVDDDHLNLTFTPSTPSNAYAFVNGIEVLSMPDIYGNADGISIVGQNIPFTIDNSTALENIYRLNVGGNDISPSADTGLFRSWYDDQPYLFGAAYGVSGAADPNVTIDYGTMPTYIAPKDVYASARSMGPNAEINNHYNLTWMFSVDSGFSYLVRLHFCEFTDNITKINQRVFDVFLNNQTADQGVDVIALASDQVDVPVYVDYVVIVPGGNSQQDLWLALHPDTSGKPQYYDAILNGVEIFKISDPKSNLAGPNPVPGPKQDVVDPSLALPSHQGYSKNQKAIIAGGVSGGVVLALVIGFCVVAASRRRRHGKDPSTSDGPSGWLPLSLYGNSHSAGSAKTNTTGSYVSSLPSNLCRHFSFAEIKAATNNFDEALVLGVGGFGKVYKGEIDGAATKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAYGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDNTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLPKEQVSLAEWAAHCHKKGILDQIMDPYLKGKIAPECFKKFAETAMKCVADQGIDRPSMGDVLWNLEFALQLQESAEESGKGISELEVEEGTYDVTCKGKKDPNASPGFDINVADSRSSGMSMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >KJB29977 pep chromosome:Graimondii2_0_v6:5:28353902:28355778:1 gene:B456_005G126400 transcript:KJB29977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKKSRDVVKFKVRCSKYLYTLCVSDAEKADKLKQSLPPGLSVQDL >KJB29976 pep chromosome:Graimondii2_0_v6:5:28353716:28355814:1 gene:B456_005G126400 transcript:KJB29976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKKSRDVVKFKVRCSKYLYTLCVSDAEKADKLKQSLPPGLSVQDL >KJB27556 pep chromosome:Graimondii2_0_v6:5:394829:395751:1 gene:B456_005G006300 transcript:KJB27556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKLNKTKALTLLPFPSLLSMYIYKLLFVPSITSGFLYMKRLHFAFSRILCLHALVIIIVDTGYYLEREDGGEKYREVLVHIRKMKSRGIEEEGAG >KJB30676 pep chromosome:Graimondii2_0_v6:5:43555235:43569600:1 gene:B456_005G154600 transcript:KJB30676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKEYLYVLPSRMLEEISSGESEPVDAVIFVGISLVLGIASRHLLRGTRVPYTVALLIIGLGLGSLEYGTSHKLGRIGDGIRLWNNIDPDLLLAVFLPALLFESAFSMEVHQIKRCMAQMVLLAGPGVIISTFCLGFALKITFPYEWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFFKMVNGESFSWDAIVGFLAKVALGAVGLGIAFGIASVLWLGFIFNDTVVEITLTVAVSYVVYFTGQEGIEVSGVLAVMTLGMFYAAFAKTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGILGNDKIFQNNGNSWGYLILLYIFVQVSRCIVVGVLYPFLRYFGYGLDLKEAAILIWSGLRGAVALSLSLSVKRSSGGSFNLSSETGSLFIFFTGGIVFLTLIVNGSTTQFILHFLGLDKLSAAKKRILDYTKYEMLNKAFEAFEDLVDDEELGPADWPTVKRYITSLHDLEGDRVHPHTESEADNDLDPSNLKDIRIRLLNGIQAAYWEMLDEGRIAQSTANLLMQSVDEAIDTASHEPLCDWKGLKSNVQFPNYYKFLQTSMFPQKLITFFTVERLENGCCICAAFLRAHRIARRQLHEFIGDSVVASTVIAESEAEGEEARKFLEDVRITFPQVLRVVKTRQVTYSVLNHLIDYLHNLEKAGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKIPKINDIISAHPFLGAFPSSVRESLECSTKEKMKTRGMTLYKEGSKPNGIWLISNGVVKWKSKSIRNKHSMHPTFTHGSTLGLYEVLVGKPYICDMVSDSVVLCFFIESDRILSMLRSDPALEDFLWQESAIVLAKLLFPQIFEKIALHDLRALVAERSSMKTYITGETIEVSHQSVGFMLEGFIKPSHAEGELIKSPAVLLPSQGNQSFLHADKSASFSHQRSGYLLETRGSIIYQVETRARVIIFDISTLEGNRVLRNNSSSFNLSHRSLTREHGGLMSWPEHFFRGRQHTQNHDATDQQVNRLSARAMQLSIFGSKVNLPQRSWSLSRMNQSQPINNPSYNRFLSFPGHLLVSAGSEGASTMRKNHKEAGKITRRVPSAQANKMDTKEGHVNDDSSDESGGEDEILVRIDSPSVLSFHQAS >KJB30674 pep chromosome:Graimondii2_0_v6:5:43555235:43569600:1 gene:B456_005G154600 transcript:KJB30674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKEYLYVLPSRMLEEISSGESEPVDAVIFVGISLVLGIASRHLLRGTRVPYTVALLIIGLGLGSLEYGTSHKLGRIGDGIRLWNNIDPDLLLAVFLPALLFESAFSMEVHQIKRCMAQMVLLAGPGVIISTFCLGFALKITFPYEWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFFKMVNGESFSWDAIVGFLAKVALGAVGLGIAFGIASVLWLGFIFNDTVVEITLTVAVSYVVYFTGQEGIEVSGVLAVMTLGMFYAAFAKTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGILGNDKIFQNNGNSWGYLILLYIFVQVSRCIVVGVLYPFLRYFGYGLDLKEAAILIWSGLRGAVALSLSLSVKRSSGGSFNLSSETGSLFIFFTGGIVFLTLIVNGSTTQFILHFLGLDKLSAAKKRILDYTKYEMLNKAFEAFEDLVDDEELGPADWPTVKRYITSLHDLEGDRVHPHTESEADNDLDPSNLKDIRIRLLNGIQAAYWEMLDEGRIAQSTANLLMQSVDEAIDTASHEPLCDWKGLKSNVQFPNYYKFLQTSMFPQKLITFFTVERLENGCCICAAFLRAHRIARRQLHEFIGDSVVASTVIAESEAEGEEARKFLEDVRITFPQVLRVVKTRQVTYSVLNHLIDYLHNLEKAGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKIPKINDIISAHPFLGAFPSSVRESLECSTKEKMKTRGMTLYKEGSKPNGIWLISNGVVKWKSKSIRNKHSMHPTFTHGSTLGLYEVLVGKPYICDMVSDSVVLCFFIESDRILSMLRSDPALEDFLWQESAIVLAKLLFPQIFEKIALHDLRALVAERSSMKTYITGETIEVSHQSVGFMLEGFIKPSHAEGELIKSPAVLLPSQGNQSFLHADKSGSTTASFSHQRSGYLLETRGSIIYQIFN >KJB30672 pep chromosome:Graimondii2_0_v6:5:43554564:43569619:1 gene:B456_005G154600 transcript:KJB30672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKEYLYVLPSRMLEEISSGESEPVDAVIFVGISLVLGIASRHLLRGTRVPYTVALLIIGLGLGSLEYGTSHKLGRIGDGIRLWNNIDPDLLLAVFLPALLFESAFSMEVHQIKRCMAQMVLLAGPGVIISTFCLGFALKITFPYEWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFFKMVNGESFSWDAIVGFLAKVALGAVGLGIAFGIASVLWLGFIFNDTVVEITLTVAVSYVVYFTGQEGIEVSGVLAVMTLGMFYAAFAKTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGILGNDKIFQNNGNSWGYLILLYIFVQVSRCIVVGVLYPFLRYFGYGLDLKEAAILIWSGLRGAVALSLSLSVKRSSGGSFNLSSETGSLFIFFTGGIVFLTLIVNGSTTQFILHFLGLDKLSAAKKRILDYTKYEMLNKAFEAFEDLVDDEELGPADWPTVKRYITSLHDLEGDRVHPHTESEADNDLDPSNLKDIRIRLLNGIQAAYWEMLDEGRIAQSTANLLMQSVDEAIDTASHEPLCDWKGLKSNVQFPNYYKFLQTSMFPQKLITFFTVERLENGCCICAAFLRAHRIARRQLHEFIGDSVVASTVIAESEAEGEEARKFLEDVRITFPQVLRVVKTRQVTYSVLNHLIDYLHNLEKAGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKIPKINDIISAHPFLGAFPSSVRESLECSTKEKMKTRGMTLYKEGSKPNGIWLISNGVVKWKSKSIRNKHSMHPTFTHGSTLGLYEVLVGKPYICDMVSDSVVLCFFIESDRILSMLRSDPALEDFLWQESAIVLAKLLFPQIFEKIALHDLRALVAERSSMKTYITGETIEVSHQSVGFMLEGFIKPSHAEGELIKSPAVLLPSQGNQSFLHADKSGSTTASFSHQRSGYLLETRGSIIYQVETRARVIIFDISTLEGNRVLRNNSSSFNLSHRSLTREHGGLMSWPEHFFRGRQHTQNHDATDQQVNRLSARAMQLSIFGSKVNLPQRSWSLSRMNQSQPINNPSYNRFLSFPGHLLVSAGSEGASTMRKNHKEAGKITRRVPSAQANKMDTKEGHVNDDSSDESGGEDEILVRIDSPSVLSFHQAS >KJB30673 pep chromosome:Graimondii2_0_v6:5:43555235:43568399:1 gene:B456_005G154600 transcript:KJB30673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKEYLYVLPSRMLEEISSGESEPVDAVIFVGISLVLGIASRHLLRGTRVPYTVALLIIGLGLGSLEYGTSHKLGRIGDGIRLWNNIDPDLLLAVFLPALLFESAFSMEVHQIKRCMAQMVLLAGPGVIISTFCLGFALKITFPYEWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFFKMVNGESFSWDAIVGFLAKVALGAVGLGIAFGIASVLWLGFIFNDTVVEITLTVAVSYVVYFTGQEGIEVSGVLAVMTLGMFYAAFAKTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGILGNDKIFQNNGNSWGYLILLYIFVQVSRCIVVGVLYPFLRYFGYGLDLKEAAILIWSGLRGAVALSLSLSVKRSSGGSFNLSSETGSLFIFFTGGIVFLTLIVNGSTTQFILHFLGLDKLSAAKKRILDYTKYEMLNKAFEAFEDLVDDEELGPADWPTVKRYITSLHDLEGDRVHPHTESEADNDLDPSNLKDIRIRLLNGIQAAYWEMLDEGRIAQSTANLLMQSVDEAIDTASHEPLCDWKGLKSNVQFPNYYKFLQTSMFPQKLITFFTVERLENGCCICAAFLRAHRIARRQLHEFIGDSVVASTVIAESEAEGEEARKFLEDVRITFPQVLRVVKTRQVTYSVLNHLIDYLHNLEKAGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKIPKINDIISAHPFLGAFPSSVRESLECSTKEKMKTRGMTLYKEGSKPNGIWLISNGVVKWKSKSIRNKHSMHPTFTHGSTLGLYEVLVGKPYICDMVSDSVVLCFFIESDRILSMLRSDPALEDFLWQESAIVLAKLLFPQIFEKIALHDLRALVAERSSMKTYITGETIEVSHQSVGFMLEGFIKPSHAEGELIKSPAVLLPSQGNQSFLHADKSGDFIIFIIKLCP >KJB30675 pep chromosome:Graimondii2_0_v6:5:43555235:43569600:1 gene:B456_005G154600 transcript:KJB30675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKEYLYVLPSRMLEEISSGESEPVDAVIFVGISLVLGIASRHLLRGTRVPYTVALLIIGLGLGSLEYGTSHKLGRIGDGIRLWNNIDPDLLLAVFLPALLFESAFSMEVHQIKRCMAQMVLLAGPGVIISTFCLGFALKITFPYEWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFFKMVNGESFSWDAIVGFLAKVALGAVGLGIAFGIASVLWLGFIFNDTVVEITLTVAVSYVVYFTGQEGIEVSGVLAVMTLGMFYAAFAKTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGILGNDKIFQNNGNSWGYLILLYIFVQVSRCIVVGVLYPFLRYFGYGLDLKEAAILIWSGLRGAVALSLSLSVKRSSGGSFNLSSETGSLFIFFTGGIVFLTLIVNGSTTQFILHFLGLDKLSAAKKRILDYTKYEMLNKAFEAFEDLVDDEELGPADWPTVKRYITSLHDLEGDRVHPHTESEADNDLDPSNLKDIRIRLLNGIQAAYWEMLDEGRIAQSTANLLMQSVDEAIDTASHEPLCDWKGLKSNVQFPNYYKFLQTSMFPQKLITFFTVERLENGCCICAAFLRAHRIARRQLHEFIGDSVVASTVIAESEAEGEEARKFLEDVRITFPQVLRVVKTRQVTYSVLNHLIDYLHNLEKAGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKIPKINDIISAHPFLGAFPSSVRESLECSTKEKMKTRGMTLYKEGSKPNGIWLISNGVVKWKSKSIRNKHSMHPTFTHGSTLGLYEVLVGKPYICDMVSDSVVLCFFIESDRILSMLRSDPALEDFLWQESAIVLAKLLFPQIFEKIALHDLRALVAERSSMKTYITGETIEVSHQSVGFMLEGFIKPSHAEGELIKSPAVLLPSQGNQSFLHADKSGSTTASFSHQRSGYLLETRGSIIYQVETRARGKTTYTKS >KJB30677 pep chromosome:Graimondii2_0_v6:5:43556718:43569619:1 gene:B456_005G154600 transcript:KJB30677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHQIKRCMAQMVLLAGPGVIISTFCLGFALKITFPYEWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFFKMVNGESFSWDAIVGFLAKVALGAVGLGIAFGIASVLWLGFIFNDTVVEITLTVAVSYVVYFTGQEGIEVSGVLAVMTLGMFYAAFAKTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGILGNDKIFQNNGNSWGYLILLYIFVQVSRCIVVGVLYPFLRYFGYGLDLKEAAILIWSGLRGAVALSLSLSVKRSSGGSFNLSSETGSLFIFFTGGIVFLTLIVNGSTTQFILHFLGLDKLSAAKKRILDYTKYEMLNKAFEAFEDLVDDEELGPADWPTVKRYITSLHDLEGDRVHPHTESEADNDLDPSNLKDIRIRLLNGIQAAYWEMLDEGRIAQSTANLLMQSVDEAIDTASHEPLCDWKGLKSNVQFPNYYKFLQTSMFPQKLITFFTVERLENGCCICAAFLRAHRIARRQLHEFIGDSVVASTVIAESEAEGEEARKFLEDVRITFPQVLRVVKTRQVTYSVLNHLIDYLHNLEKAGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKIPKINDIISAHPFLGAFPSSVRESLECSTKEKMKTRGMTLYKEGSKPNGIWLISNGVVKWKSKSIRNKHSMHPTFTHGSTLGLYEVLVGKPYICDMVSDSVVLCFFIESDRILSMLRSDPALEDFLWQESAIVLAKLLFPQIFEKIALHDLRALVAERSSMKTYITGETIEVSHQSVGFMLEGFIKPSHAEGELIKSPAVLLPSQGNQSFLHADKSGSTTASFSHQRSGYLLETRGSIIYQVETRARVIIFDISTLEGNRVLRNNSSSFNLSHRSLTREHGGLMSWPEHFFRGRQHTQNHDATDQQVNRLSARAMQLSIFGSKVNLPQRSWSLSRMNQSQPINNPSYNRFLSFPGHLLVSAGSEGASTMRKNHKEAGKITRRVPSAQANKMDTKEGHVNDDSSDESGGEDEILVRIDSPSVLSFHQAS >KJB30801 pep chromosome:Graimondii2_0_v6:5:46470910:46473366:-1 gene:B456_005G161100 transcript:KJB30801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGDQGKEDSKDRISELTDEILFIVLSFLTLKEAANICFLSRRLKILWPHIVALNFDASNTLGELRRNGRLRKKKRTWYINWVNHVLESHKGSTLNEFRVCFDLDWTCRHDIDSWFCFAISKRVRKLELDFEEVAEETWPPGLRSYSLTKSCFNYIRTPQGLSCIGLLDSLCLRFVKVSGEVLEHFLLHCPLLEKLVVEWSKNLVTLNVASSSPLRLRYLEIRACLALQNLEISAPNLQSFLYYGQKVALHIENAPLLTDVLIGGNLDDEPAFAFCPLSGYLCQLKSLTLEMSAYNMTFPNFPELTNLRHLAVSAYGGYDDDLLVLTSLIDASPSLNKLSLELNIWRSSTYNHAHNTSNVTGKPIPSLKVVEVIGFRGFKIDVDFLAYLLEHGKVMEKITINCCHPSWAGQILEFETIKERERARKHAVQLKSKSPSTTEFVII >KJB30802 pep chromosome:Graimondii2_0_v6:5:46470925:46473078:-1 gene:B456_005G161100 transcript:KJB30802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFCNLCIDDFVFCFIKMEKGDQGKEDSKDRISELTDEILFIVLSFLTLKEAANICFLSRRLKILWPHIVALNFDASNTLGELRRNGRLRKKKRTWYINWVNHVLESHKGSTLNEFRVCFDLDWTCRHDIDSWFCFAISKRVRKLELDFEEVAEETWPPGLRSYSLTKSCFNYIRTPQGLSCIGLLDSLCLRFVKVSGEVLEHFLLHCPLLEKLVVEWSKNLVTLNVASSSPLRLRYLEIRACLALQNLEISAPNLQSFLYYGQKVALHIENAPLLTDVLIGGNLDDEPAFAFCPLSGYLCQLKSLTLEMSAYNMTFPNFPELTNLRHLAVSAYGGYDDDLLVLTSLIDASPSLNKLSLELNIWRSSTYNHAHNTSNVTGKPIPSLKVVEVIGFRGFKIDVDFLAYLLEHGKVMEKITINCCHPSWAGQILEFETIKERERARKHAVQLKSKSPSTTEFVII >KJB30800 pep chromosome:Graimondii2_0_v6:5:46470910:46473078:-1 gene:B456_005G161100 transcript:KJB30800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGDQGKEDSKDRISELTDEILFIVLSFLTLKEAANICFLSRRLKILWPHIVALNFDASNTLGELRRNGRLRKKKRTWYINWVNHVLESHKGSTLNEFRVCFDLDWTCRHDIDSWFCFAISKRVRKLELDFEEVAEETWPPGLRSYSLTKSCFNYIRTPQGLSCIGLLDSLCLRFVKVSGEVLEHFLLHCPLLEKLVVEWSKNLVTLNVASSSPLRLRYLEIRACLALQNLEISAPNLQSFLYYGQKVALHIENAPLLTDVLIGGNLDDEPAFAFCPLSGYLCQLKSLTLEMSAYNMTFPNFPELTNLRHLAVSAYGGYDDDLLVLTSLIDASPSLNKLSLELNIWRSSTYNHAHNTSNVTGKPIPSLKVVEVIGFRGFKIDVDFLAYLLEHGKVMEKITINCCHPSWAGQILEFETIKERERARKHAVQLKSKSPSTTEFVII >KJB31325 pep chromosome:Graimondii2_0_v6:5:54554448:54556150:-1 gene:B456_005G186600 transcript:KJB31325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILPCHLSCHRNDESQSGSYHLHSESSTPSISSQPSLPSVPSLASTSHRHDEKTPAIHHKCIATLKNHSYVFTLALAGKVLYDGSSNGEIRAWRLDSSEHGDRTDNIVVATSNSAVKSLAVLGDKLISAHQDNKIRVWKIHNHEHHKYKCLATLPTLNDRFLRCFSEKNYVQVRRHKKCTWIHHVDTVSALAISTDCSLLYSASWDRTFKIWRTSDFKCLESVQNAHDDAINAIVLSRDGFVHTGSADKRIKVWKKHASKNNHSLVLTLEKHKSAVNALALSNDGTVLYSGACDRSILVWERESEGGDGEWRMVLLGALRGHTKAILCLAVVTDLICSGSADKTMRIWRRGIDKSYCCLAVLEGHTRPVKCLTCNTNTEEEEEEGASETITYMVCSGSLDYDIKVWQVSASVL >KJB32115 pep chromosome:Graimondii2_0_v6:5:60756855:60757949:1 gene:B456_005G225300 transcript:KJB32115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMAHAPVSYGSRLSARARLSKHGLWPLRHFNPLRVLCLFLRFFFLFFSMLLELMFMFLTLILFFLVLGYFRIFDFVFLVLFRLFQLGELGFFFLVLFGELGFFFLVLFMLFAGFLFFLVHFRLLMFWVLFMILWLFVF >KJB28857 pep chromosome:Graimondii2_0_v6:5:8010778:8014276:1 gene:B456_005G073000 transcript:KJB28857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGGALGLSSPLFANGHLSSSSNSTSLPLPVEDGNHLAMVKPSSSIVNLPHYKWRLLIAYDGTRYAGWQFQVSPPTIQCIVEKALIQITKLERECLHFVGASRTDTGVHAWGQVAHFITPFNYDSLETIHAALNGLLPSDIRVREISAATPEFHARFSAKSKVYHYKIYNDTIMDPFQRHYVYHSVYKLNTAAMREAAKMFIGKHDFSAFVNASRNDRVPDPVKTIFRFDVIEMGPLIQLEVEGSGFLYRQVRNMVALLIQIGKEAIPTEIVPKILATLDRRELAKYALSAPPHGLCLVYVKYNQEHLLLPSDCPTTSFGRHHTITKCKLPFY >KJB28856 pep chromosome:Graimondii2_0_v6:5:8010778:8014276:1 gene:B456_005G073000 transcript:KJB28856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGGALGLSSPLFANGHLSSSSNSTSLPLPVEDGNHLAMVKPSSSIVNLPHYKWRLLIAYDGTRYAGWQFQVSPPTIQCIVEKALIQITKLERECLHFVGASRTDTGVHAWGQVAHFITPFNYDSLETIHAALNGLLPSDIRVREISAATPEFHARFSAKTMREAAKMFIGKHDFSAFVNASRNDRVPDPVKTIFRFDVIEMGPLIQLEVEGSGFLYRQVRNMVALLIQIGKEAIPTEIVPKILATLDRRELAKYALSAPPHGLCLVYVKYNQEHLLLPSDCPTTSFGRHHTITKCKLPFY >KJB28855 pep chromosome:Graimondii2_0_v6:5:8010820:8013061:1 gene:B456_005G073000 transcript:KJB28855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGGALGLSSPLFANGHLSSSSNSTSLPLPVEDGNHLAMVKPSSSIVNLPHYKWRLLIAYDGTRYAGWQFQVSPPTIQCIVEKALIQITKLERECLHFVGASRTDTGVHAWGQVAHFITPFNYDSLETIHAALNGLLPSDIRVREISAATPEFHARFSAKSKVYHYKIYNDTIMDPFQRHYVYHSVYKLNTAAMREAAKMFIGKHDFSAFVNASRNDRVPDPVKTIFRFDVIEMGPLIQLEVEGSGFLYRQVRNMVSCCKIK >KJB28858 pep chromosome:Graimondii2_0_v6:5:8010778:8014276:1 gene:B456_005G073000 transcript:KJB28858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPSSSIVNLPHYKWRLLIAYDGTRYAGWQFQVSPPTIQCIVEKALIQITKLERECLHFVGASRTDTGVHAWGQVAHFITPFNYDSLETIHAALNGLLPSDIRVREISAATPEFHARFSAKSKVYHYKIYNDTIMDPFQRHYVYHSVYKLNTAAMREAAKMFIGKHDFSAFVNASRNDRVPDPVKTIFRFDVIEMGPLIQLEVEGSGFLYRQVRNMVALLIQIGKEAIPTEIVPKILATLDRRELAKYALSAPPHGLCLVYVKYNQEHLLLPSDCPTTSFGRHHTITKCKLPFY >KJB27422 pep chromosome:Graimondii2_0_v6:5:14056648:14057772:1 gene:B456_005G0964002 transcript:KJB27422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGKCRKKVVESFEFCFVDFLFVSHFPTDQTKALEKLLKDHAGKYATGDEVSMADLFLAPQILVGIERFNVDMETRRAWLMLLRKGLIYANKYQNCIMIITMAD >KJB27423 pep chromosome:Graimondii2_0_v6:5:14056423:14057772:1 gene:B456_005G0964002 transcript:KJB27423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGKCRKKVVESFEFCFVDFLFVSHFPTDQTKALEKLLKDHAGKYATGDEVSMADLFLAPQILVGIERFNVDMETRRAWLMLLRKGLIYANKYQNCIMIITMAD >KJB27424 pep chromosome:Graimondii2_0_v6:5:14056736:14057536:1 gene:B456_005G0964002 transcript:KJB27424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGKCRKKVVESFEFCFVDFLFVSHFPTDQTKALEKLLKDHAGKYATGDEVSMADLFLAPQILVGIERFNVDM >KJB31991 pep chromosome:Graimondii2_0_v6:5:60063908:60070289:-1 gene:B456_005G217700 transcript:KJB31991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHKEFIILCLEDCSDWSNAMTRRVVMQIDPELSRTVVVSTKLDTKIPQFARSSDVEVFLSPPACTLDGFILGDSPFFTSVPSGRVGSEHDSVYRSNDEFKQAIISREVQDIASLEEKLGRQLSKQERSRIGVSKLRHFLEELLQKRYMDSVPSIIPLLEKEYHSTTRKLTEINKELSTLDEVKLREKGRAFHDLFLTKLSLLLKGTVVAPPDKFGETLVDERTNGGAFVGADGLQLPYKIIPNSGMRLYGGAQYHRAMAEFRFIVGGIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVSKARDTFEPFLHQLGCRLLYILKRLLPISIYLMQKDGEFLSGHEVFLRRVATAFNNFAESTERACREKCLEDLVSTTRYVSWSLHNKNRAGLRHFLDSFGGTEQSSVAVNSVSPGNSQESLPGSVAAEKHETKSRADIKQVPLSSGIDSGSSAQTVETKLADLLDSTLWNRRLGPSSERIVYALVQQIFHGIREYFLASAELKFNCFLLMPVVDKLPALLREDLESAFSDDMDNVFNISNLRRSLGQQKRDTEIDLKRINRLKEKFKVIHQQLSSCQKVPSSSPACAD >KJB31992 pep chromosome:Graimondii2_0_v6:5:60063908:60070310:-1 gene:B456_005G217700 transcript:KJB31992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETLNPHSTLYEAYNELHGLAQELEAPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMSYDPLCDVPLCHLVSDDDPTLAHEKSLADIQAYIEAENMRLEREPCQFSAKEIIIKVKYKHCPNLTIIDTPGLIAPAPARKNRALQSQARAVESIVRKKMQHKEFIILCLEDCSDWSNAMTRRVVMQIDPELSRTVVVSTKLDTKIPQFARSSDVEVFLSPPACTLDGFILGDSPFFTSVPSGRVGSEHDSVYRSNDEFKQAIISREVQDIASLEEKLGRQLSKQERSRIGVSKLRHFLEELLQKRYMDSVPSIIPLLEKEYHSTTRKLTEINKELSTLDEVKLREKGRAFHDLFLTKLSLLLKGTVVAPPDKFGETLVDERTNGGAFVGADGLQLPYKIIPNSGMRLYGGAQYHRAMAEFRFIVGGIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVSKARDTFEPFLHQLGCRLLYILKRLLPISIYLMQKDGEFLSGHEVFLRRVATAFNNFAESTERACREKCLEDLVSTTRYVSWSLHNKNRAGLRHFLDSFGGTEQSSVAVNSVSPGNSQESLPGSVAAEKHETKSRADIKQVPLSSGIDSGSSAQTVETKLADLLDSTLWNRRLGPSSERIVYALVQQIFHGIREYFLASAELKFNCFLLMPVVDKLPALLREDLESAFSDDMDNVFNISNLRRSLGQQKRDTEIDLKRINRLKEKFKVIHQQLSSCQKVPSSSPACAD >KJB32872 pep chromosome:Graimondii2_0_v6:5:63903934:63905325:-1 gene:B456_005G265800 transcript:KJB32872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEISRSRSPRFLLFFACVSLHFISGFSDDSKGSNNSSNTKSNAINNTGTKVIFIVLGLVAVGLFSFFLFKLWQKKKRDEQYARLLKLFEEDDELEVELGLRD >KJB32871 pep chromosome:Graimondii2_0_v6:5:63902776:63905395:-1 gene:B456_005G265800 transcript:KJB32871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEISRSRSPRFLLFFACVSLHFISGFSDDSKGSNNSSNTKSNAINNTGTKVIFIVLGLVAVGLFSFFLFKLWQKKKRDEQYARLLKLFEEDDELEVELGLRD >KJB28621 pep chromosome:Graimondii2_0_v6:5:6092542:6095954:-1 gene:B456_005G058800 transcript:KJB28621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGPESDLEPEWTSSGPETGLEEPIPRLELGVEPKSYPKRPNEADCIYYLRTGFCGYGSRCRFNHPHDRAMVMGPGISSIGEYPERAGQPVCQYYMRTGTCRFGSSCKYHHPKDGGGFVIHVPLNSYGYPLRLGEKECSYYMKTGRCKFGATCRFHHPISSVVQVSTPYSTPQVPHVPTPTLYSTVQSPSSLSSQQYGVVMARPPLMPGSYVQGPYGSLLLSPSMVSFPSWNPYPGLVNSSTQTSVGSRSVFGGTPLSPLAPAYTRSYQLVSSSVGSSSSNRKEQLFPEIPNQPECQYYLKTGDCKFGSSCKYHHPLEVVAPKSDVILSTLGLPLRPGAPPCTHYSQHAVCKFGPACKFDHPMEQLSYSPSTSSLSDIPVGSTIATLAPSSDLRPKLLSGSGKDSDPAVMSSSVSSSSESIGLVFSEGAAIPPSSTQQSGPSSGPSAGSGITKAHTSN >KJB29790 pep chromosome:Graimondii2_0_v6:5:24607690:24613694:1 gene:B456_005G119100 transcript:KJB29790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAAPDHLFHLRNNFYLGSFQAAINNSDLPNLSPDDAVERDCLVYRSYIALGSYQLVINEIDSAAATPLQAVKLLALYLSNPHDKESTIASLKEWLADPAIGSNAVLRLIAGIVFMHEEDYIEALKHTNAGGTMELHALNVQIFIKMHRSDYAERQLRVMQQIDEDHTLTQLANAWLNLAVEAYLIFQDFSEKYPMTGLILNGKAVCCIHMGNFDEAETLLLEALNKDAKDPETLANLVVCGLHLGKSSSRYLSQLKLTHPEHILVKRASSAEDSFERAVQSVA >KJB29789 pep chromosome:Graimondii2_0_v6:5:24607690:24611384:1 gene:B456_005G119100 transcript:KJB29789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAAPDHLFHLRNNFYLGSFQAAINNSDLPNLSPDDAVERDCLVYRSYIALGSYQLVINEIDSAAATPLQAVKLLALYLSNPHDKESTIASLKEWLADPAIGSNAVLRLIAGIVFMHEEDYIEALKHTNAGGTMELHALNVQIFIKMHRSDYAERQLRVMQQIDEDHTLTQLANAWLNLAVGGSKIQEAYLIFQDFSEKYPMTGLILNGKAVCCIHMGNFDEAETLLLEALNKARSNCGN >KJB29788 pep chromosome:Graimondii2_0_v6:5:24607679:24613758:1 gene:B456_005G119100 transcript:KJB29788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAAPDHLFHLRNNFYLGSFQAAINNSDLPNLSPDDAVERDCLVYRSYIALGSYQLVINEIDSAAATPLQAVKLLALYLSNPHDKESTIASLKEWLADPAIGSNAVLRLIAGIVFMHEEDYIEALKHTNAGGTMELHALNVQIFIKMHRSDYAERQLRVMQQIDEDHTLTQLANAWLNLAVGGSKIQEAYLIFQDFSEKYPMTGLILNGKAVCCIHMGNFDEAETLLLEALNKDAKDPETLANLVVCGLHLGKSSSRYLSQLKLTHPEHILVKRASSAEDSFERAVQSVA >KJB30701 pep chromosome:Graimondii2_0_v6:5:43951758:43953592:1 gene:B456_005G1558002 transcript:KJB30701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIKLKALSSILSHLLIGIALVDANLRCYDTGNFTLNSTYGKNRDLILASLLPNVSANGGFFIASIGQDSNKIYALGMCRGDSTPNGCYTCLNASIQDLIASCPNRKEALSWGGDPPCLVRYANRPFFGILELQPTDAGYNTAGIISNLTQFDTNWESLMDRVVSKASSGSSTKYATGEADFTAFQTIHALMQCTPDLSHKDCDSCLRQSVSNYESSCRGKQGGYVQKPNCWSRWDLYPFYKRNASTAASLSPPPSPASPPPLSVNSTSKKGDGGSHSSHTVAIIIVPIVILVAVLIILAVAVLLKRIKKTKRDDQNNKIRGEALQFDFNAVRVATDNFSDANLLGRGGFGSVYKGQLEDGRKVA >KJB29126 pep chromosome:Graimondii2_0_v6:5:10751165:10754220:-1 gene:B456_005G0860001 transcript:KJB29126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIRSLVLMQLIILLNVILTVKASTPAVAKPGCRRRCGDVIIPYPFGTGGDCNITAGFFINCDTSFIPHKPFLGDFEVINISTDGQLRTLSNTSYNCYNTSYRNWLFYDFRFFEFSINNNKNKFTAIGCDTYAVVQDFYGQCYATGCLSFCNNITDVSNGSCSGIGFCQTSIPKDVRSYNISLGSYGYHTDVLLENPCSYAFVAEIDNYTFSASDLRGSEFQRRQFPITLDWKIGNTSCNEAKMNSIVNALIQKNSGYLCKCLEGFVGNPYLPNGCQDINECESMSPCNGTARCTNLPGTYNCSCPVGYEGDGKKSGTGCSLPNKDQSKTSPLIAALGVAIGFLGLLLGIVLWCWMLRQRQISKLREANFQQNGGILLREQLSKRQGYREDVKVFTAEELEKATNNYNESRILGQGGQGTVYKGILADNQIVAIKKSIIGDPSQVDQFINEIMVLYKINHRNVVKLLGCCLETQVPLLVYEYITNRTLFHHLHNDDATSYLSWETRLRIATETAEALSYLHSAASIPIIHRDIKLANILLDDNYTPKVSDFGASRLIPSDEAQITTIVQGTFGFLDPEYMLSSLLTEK >KJB31749 pep chromosome:Graimondii2_0_v6:5:58616362:58617555:-1 gene:B456_005G206800 transcript:KJB31749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTPCCDKTGLRKGTWTPEEDRKLMAYVTRYGCWNWRQLPKFAGLARCGKSCRLRWMNYLRPNIKRGSYSKEEEETIIRLHDSLGNRWSAIAAQLPGRTDNEVKNHWHTTLKRRFKHKPSAAAKDGKDNSSQDPKLRRGTSREKEETKLNDVDHLLISSPNPPLILESSLSSQQPASSDQNSSITTDNTVVTSKLDSGSDDNSTTTNTNTDIHTDTLLEAYEAESSNFWTEPFFSDINYMWSDVSSVVPYEADQFPLLDGEILYPFDFYDQLQGLDL >KJB29578 pep chromosome:Graimondii2_0_v6:5:19620706:19621502:1 gene:B456_005G108700 transcript:KJB29578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLSMMSKMVFTSLLRVLETRYNLQTSRNISFSEMLGIFLYILGTAAKVSQCRERFQRSGSTISRYFAIVLEKVLRIF >KJB27453 pep chromosome:Graimondii2_0_v6:5:5172723:5173440:1 gene:B456_005G052400 transcript:KJB27453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLSGSKVIPECCSQSPLLISLIIFLRKLPKSYKNASKFSHFSDPDLKIQPSNHCVSLTIPYSRYGELLHRSDF >KJB30959 pep chromosome:Graimondii2_0_v6:5:49665222:49669842:1 gene:B456_005G169700 transcript:KJB30959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQEIWIGIPGDGRCLFRSVILGAWLRSGKQSPTERSQKVLADELRSKVADEFIKRRADTEWFVEGDFDNYVVQMRKPHIWGGEPELLMCSHVLKTAITVYMKEKKSASLKIVSEYGQEYGKENPIRVLYHGYGHYDVLRSPVEEKMTKGKCRVKLVP >KJB30960 pep chromosome:Graimondii2_0_v6:5:49665222:49669842:1 gene:B456_005G169700 transcript:KJB30960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWLSTFQAPSQILFIGIPGDGRCLFRSVILGAWLRSGKQSPTERSQKVLADELRSKVADEFIKRRADTEWFVEGDFDNYVVQMRKPHIWGGEPELLMCSHVLKTAITVYMKEKKSASLKIVSEYGQEYGKENPIRVLYHGYGHYDVLRSPVSSASSKQWKKR >KJB30961 pep chromosome:Graimondii2_0_v6:5:49665540:49669507:1 gene:B456_005G169700 transcript:KJB30961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQEIWIGIPGDGRCLFRSVILGAWLRSGKQSPTERSQKVLADELRSKVADEFIKRRADTEWFVEGDFDNYVVQMRKPHIWGGEPELLMCSHVLKTAITVYMKEKKSASLKIVSEYGQEYGKENPIRVLYHGYGHYDVLRSPVSSASSKQWKKR >KJB27805 pep chromosome:Graimondii2_0_v6:5:745164:746565:1 gene:B456_005G010700 transcript:KJB27805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSEDRISSLPDHILCHILSFLPLKEAVRTSIISTKWRYLFASISTIEFDRCLLSDLTDRNVDSFKNFVDRLLKFPDQVSLDCFRLSDGIPWDGEDHDFDVFGWICAALCRGVKEIHLYLDYFGYVLTVPAVLFTCHSLVTLKLNAACRKIYVPSDVCLGNLKTLQLRNSVVDGDSIHRLISNCHVLEDLAFIECHLVYASALNIKTPFLKRFVLDLNVIEFGDFNNVVVINAPNLEKADISIYLFGSSDRETSATHLIQGICTVRSLNLTIDDVYFCVQFFRTCRLPIFHNLIEFEIFHNLIEFEYGGLGSNGRGTWLVEFLHCAPNLNTLTLNFLVLHMEVPSCLSLHLKEIKILNFKGNMRMFEMISYFLDNAM >KJB30722 pep chromosome:Graimondii2_0_v6:5:44543978:44547568:1 gene:B456_005G157000 transcript:KJB30722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDPHAPLLRPRQPDGSAARPVSLAHLLGRATGRRGASMLVRETAARELEERRADWGYSKPVVALDMLWNTAFVVVSVVMLISTADETPNTPIRLWICAYALQCLLHVVLVWLEYRRRNSRRISVTDEERGEAVSGDVNDSEDEEDSGLFGSNESSITKRCETVNTMASFLWWIVGFYWVVSGGDILLQNAPRLYWLAVVFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAITEQEGASEADLSILPKYKFQIINNREKPSLGAGKMIPIETSSGYLANERILLPEDAECCICLSSYEDGVDLHALPCNHHFHSTCIVKWLKMNATCPLCKYNILKGNEQV >KJB29121 pep chromosome:Graimondii2_0_v6:5:10612777:10615946:-1 gene:B456_005G085500 transcript:KJB29121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSITSLVLMQLMILLNVILTVKASTPAVAKPGCRSRCGDVIIPYPFGTGGDCNITAAGFFINCNTSFIPHKPFFGNLEVINISTDGQLRILSNTSYDCYNTSYGNWVFYDFLSFEFSINNKKNKFTAIGCDTSAVVQDFYGQRYATGCLSMYNNITDVSNGSCSGIGCCQTSIPKDVRSYNISLEGYSNHANVLPENPCSYAFVAEVDSYTFSASDLRGFEFQSRQFPITLDWNIGNTSCNEANMDVNNFACKEYNINECESMSPCNGTARCTNLPGTYKCSCPVGYEGDGKKSETGCRLPDKDPSSKTSLTVVALGICLAAGFLLFLAGVWWLCRKLEKRRNIKRKQEHLIRNGGLLLEKKLSSKEGGVENIKFFTSKELQTATDHYNENRILGRGGQGVVYKGMLHDGRIVAVKKSKIVDQEHLDPFINEITEVPLLVYEFIPNGTLSQLIHDQNEEYPRSWDIRLRIAAEVSNAISYLHSPASVPIYHRDIKSSNILLDEKFRAKSSQFTEKSDVYSFGMVLVELLTAQKPVLTSGSQEKKSLVSYFISSVDQNQFLDTLDPQVLKDGQKEELVAVAYLAKRCLNLDGKDRPTMKEVAMELERIRVLQGFFPTQPKPAEVVVTKPTEIGYFTSSSNGFYKDSIITSVSDVHPLMSDTF >KJB29345 pep chromosome:Graimondii2_0_v6:5:13860327:13860493:-1 gene:B456_005G0959001 transcript:KJB29345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPEKIQHVICTGNLCIK >KJB32525 pep chromosome:Graimondii2_0_v6:5:62542363:62543302:1 gene:B456_005G245100 transcript:KJB32525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFDIKLEKKNAMLKHHQLYNTANLLRFVEVFVLLVVIFRFTTTHLPVAVKNSGEYFRGLSVTLVSPRFVFVVGNIIIITLFVKAGKFSSHDLTTTDLYEKFVEKSHATHPYVTEKKSVVVADEKRIVRLDVHGPKNLRRTQSENMKKTKSDKHCSQLRRLGSEKYVKCCGSEEKSTTRSCAEDGMSNEQFRNTVEAFIARQKKLLREEEYSVTWKDLE >KJB27430 pep chromosome:Graimondii2_0_v6:5:63094227:63095218:1 gene:B456_005G2531002 transcript:KJB27430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVSLYEVLGVPVSANGSEIKAAYRRLARTWHPDVVSVNQKEMSADRFMRIHAAYSTLSDPNQRADYDRNLRRWNRPFTTMEATATAVDSYGFSSYGANRNWETDQCW >KJB27431 pep chromosome:Graimondii2_0_v6:5:63094227:63095002:1 gene:B456_005G2531002 transcript:KJB27431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVSLYEVLGVPVSANGSEIKAAYRRLARTWHPDVVSVNQKEMSADRFMRIHAAYSTLSDPNQRADYDRNLRRWNRPFTTMEATATAVDSYGFSSYGANRNWETDQCW >KJB28219 pep chromosome:Graimondii2_0_v6:5:3315291:3316804:1 gene:B456_005G034900 transcript:KJB28219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGNDDELQLGHEIRVTNGGNCSSSGTNGPHMELIPTLKSNLKKATATDEENQLKTQRRKVSWPDAHGKDIAHVQEFEPSVSDDGELGGVRNSCVCAIQ >KJB28217 pep chromosome:Graimondii2_0_v6:5:3315291:3318842:1 gene:B456_005G034900 transcript:KJB28217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGNDDELQLGHEIRVTNGGNCSSSGTNGPHMELIPTLKSNLKKATATDEENQLKTQRRKVSWPDAHGKDIAHVQEFEPSVSDDGELGGVRNSCVCAIQ >KJB28218 pep chromosome:Graimondii2_0_v6:5:3315291:3318842:1 gene:B456_005G034900 transcript:KJB28218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGNDDELQLGHEIRVTNGGNCSSSGTNGPHMELIPTLKSNLKKATATDEENQLKTQRRKVSWPDAHGKDIAHVQEFEPSVSDDGELGGVRNSCVCAIQ >KJB28177 pep chromosome:Graimondii2_0_v6:5:2908319:2911761:-1 gene:B456_005G032300 transcript:KJB28177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVFNYKHSRGISLKTQELYAIVFATRYLDIFTNHISPYNTIMKLIFLGSSFSIVWYMRYHKIVRRSYDKDQDTFRHYILILPCLILALLINEKFTFKEVMWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYIVNWIYRYFTEDHFVHWITWICGLVQTLLYADFFYYYFQSWKNNMKLQLPA >KJB28176 pep chromosome:Graimondii2_0_v6:5:2908316:2911822:-1 gene:B456_005G032300 transcript:KJB28176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFRLAGDMTHLFSVLVLLLKIHTIKSCAGISLKTQELYAIVFATRYLDIFTNHISPYNTIMKLIFLGSSFSIVWYMRYHKIVRRSYDKDQDTFRHYILILPCLILALLINEKFTFKEVMWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYIVNWIYRYFTEDHFVHWITWICGLVQTLLYADFFYYYFQSWKNNMKLQLPA >KJB32956 pep chromosome:Graimondii2_0_v6:5:44125:46284:-1 gene:B456_005G001000 transcript:KJB32956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNCASKCKDNSPKLIKNSDKVCTDETNRVIIDPSATTRKGNKESSLGPITPDANRGIGEFPYKFNSPPTELKKAQNHPHFDPDTTINQDSMVSVNHSSPRTPKDGIFDPFAPGPECMVFAPSYRKYVDEMRITVARRLSFDIPVGTVGSVNHRTAADSISDEEMFESVYENLLEVIVSNQAEGFLTGFSNIECDSDGSKTPPSVPCLNGVSDTCPGAPIKLTGRSRVIDLGFCRKLEF >KJB32384 pep chromosome:Graimondii2_0_v6:5:61987261:61988062:1 gene:B456_005G238400 transcript:KJB32384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRISFSNDFADVGIKYENNYYREAPVSSSEFEFSVKNYAMIPADEIFFKGVLLPLKGDNEHGRKLTLRDTLLVDDGDNGGGSFRRKGSGWWKERLGLKKANVVSKKRERNEGIHGEHIISK >KJB28088 pep chromosome:Graimondii2_0_v6:5:2367869:2369932:1 gene:B456_005G026900 transcript:KJB28088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILHYLHQLQNCAKHRSIVSTKQLHAHFIKLGVTQFLALSNTLLNVYGKCSLLQDALQLFDEMPQRDHVSWATFLTTQNQANLPDKTLSMFPAMFSLDRLLPDDFVFASLVKACGSLGAIKQGKQVHGNFLVSPYFDDDVVKSSLVDMYAKCGLPDDSRLVFDSIKLKNMASWTAIIYGYARKGRKEEALELFLRVPLKNLFAWTALISGLIQSGNGVDAFGLFVKMRRQGISIIDPLVLSSIVGASANLAMLELGKQVHGLVIQLGYESCVFISNALVDMYAKCSDMSAARDVFSRMSQRDVVSWTSIIVGAAQHGQAEEALSLYDNMILNEVKPNEVTFVGLIYACSHVGLVNRGRELFKSMVEDYGIHPSLQHYTCLLDLLGRSGHLEEAESVINLMPFKPDEPTWAALLSACKQYRNAKMAIKFADHLFSLKPEEPSTYILLSNTYASSGLWEHASKARHLLESLEVRKEPGYSYIYFGKESQMFYAGETSHPMKDKIFGLLNELDVEMRRRGYVPDTSYILHNTDHQEKERQLFWHSERLAVAYGLLKSVPGTVIRIVKNLRVCGDCHTVLKLISDIVQREIVVRDAKRYHHFKGGKCSCNDFW >KJB30888 pep chromosome:Graimondii2_0_v6:5:48908232:48909946:1 gene:B456_005G166100 transcript:KJB30888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTVQLYSIKRHQNYEVVEAKKQVPALRCKRHFNNGCKRRLRAYQCKYNALLEISQYDGTRTCVYLRLSRDHPYCKILVEAFGVFHCVFWAFPRSIGGFKYCRPFTSIDTTYLYGRYKGKMLIGMEVDANNQLFPLAFAIVKEESFDSYDIPVRKWTLTHDSSRHYGIMTINLPKVFPCSHVMVVRGRLALDNWQYIENYYSIEHYFQTWASQFNPIPHEIYWPERNIPQLLLDPACKKVQM >KJB28657 pep chromosome:Graimondii2_0_v6:5:6363895:6366591:-1 gene:B456_005G061100 transcript:KJB28657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILSLSFFVGIIGNVISVLVFLSPIGTFERIIKHKSTEDFQSLPYICTLLNSSLWTYYGITKPGGLLVATVNGFGIFVEAVYVGLFLTYAPKKMKLKTGVLVGILNVGFVAAAIVVTQLALESETQIGAIGLMCSGLNIIMYGSPLAAMKTVVRTKSVEYMPFFLSFFLFLNGGIWAFYALLMHDYFLGVPNGIGCLLGTAQLLLYAIYKNAKPSNNTSLQGLMEQKEPLVSHEYV >KJB28659 pep chromosome:Graimondii2_0_v6:5:6365737:6366818:-1 gene:B456_005G061100 transcript:KJB28659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILSLSFFVGIIGNVISVLVFLSPIGTFERIIKHKSTEDFQSLPYICTLLNSSLWTYYGITKPGGLLVATVNGFGIFVEAVYVGLFLTYAPKKMKLKTGVLVGILNVGFVAAAIVVTQLALESETQIGAIGLMCSGLNIIMYGSPLAAMVITFISSLFFDL >KJB28658 pep chromosome:Graimondii2_0_v6:5:6363711:6366818:-1 gene:B456_005G061100 transcript:KJB28658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILSLSFFVGIIGNVISVLVFLSPIGTFERIIKHKSTEDFQSLPYICTLLNSSLWTYYGITKPGGLLVATVNGFGIFVEAVYVGLFLTYAPKKMKLKTGVLVGILNVGFVAAAIVVTQLALESETQIGAIGLMCSGLNIIMYGSPLAAMVPNGIGCLLGTAQLLLYAIYKNAKPSNNTSLQGLMEQKEPLVSHEYV >KJB30994 pep chromosome:Graimondii2_0_v6:5:50199421:50207628:1 gene:B456_005G172700 transcript:KJB30994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGNSEKKLSSSKLKKGSNIIPLTGAAALLTLAVSLAITAINKRRNSKRKDLPGCNFRVNLSASEILKLADRIIAKSKEVHDAVASVPLDKVTYKNVISPLAELEAQQFPLVQSCVVPKLVSTSDKVRKASAEAEKKIDAHISSCSKREDVYCVVKAFAAKGEWMGPEARHYVHCLMKEFERNGLNLTATKREEVQRLRAQIDELSFQYVQNLNDDGTSVLFHENELAGLPAEFLKTLEKAENGMFRVTLKSHHVAAVLELCKIGKTRRLVAMAYGKRCGKANLSVLEDLVQARHKFARLLGYSNYAEYALNPRMAKTPSKVLEFLEDISSSLSDLANKELAMLKELKKQDEGELPFGVEDLLYYVKKVEQQEFDLDLGALKQYFPVNLVLSGIFKIFQDLFGLRFEEIADADAWHSDVRMFSVFDLSSGELLGYFYLDMYKREGKYGQTCVVALQNGSVAFNGARQVPLALLISQLQTDIGGIPSLLRFTEVVSLLHEFGHVVQHLCNRASFARFSGLRVDPDFVEIPAKVLENWCYESFSLKLISGFHQDITMPIKDEICKSLKRWRYSFSALKLKQEVLYCLFDQIIHSAENVDIFELFKHLHPKVMVGLPMLEGTNPASCFPRCAIGYEAACYSRIWSEVFAADIFTSKFQDGLLNQHVGMQFRTKVLAPGGAKDPIEILSDFLGREPSIQTFIDNKIECSLWH >KJB30993 pep chromosome:Graimondii2_0_v6:5:50199421:50207628:1 gene:B456_005G172700 transcript:KJB30993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGNSEKKLSSSKLKKGSNIIPLTGAAALLTLAVSLAITAINKRRNSKRKDLPGCNFRVNLSASEILKLADRIIAKSKEVHDAVASVPLDKVTYKNVISPLAELEAQQFPLVQSCVVPKLVSTSDKVRKASAEAEKKIDAHISSCSKREDVYCVVKAFAAKGEWMGPEARHYVHCLMKEFERNGLNLTATKREEVQRLRAQIDELSFQYVQNLNDDGTSVLFHENELAGLPAEFLKTLEKAENGMFRVTLKSHHVAAVLELCKIGKTRRLVAMAYGKRCGKANLSVLEDLVQARHKFARLLGYSNYAEYALNPRMAKTPSKVLEFLEDISSSLSDLANKELAMLKELKKQDEGELPFGVEDLLYYVKKVEQQEFDLDLGALKQYFPVNLVLSGIFKIFQDLFGLRFEEIADADAWHSDVRMFSVFDLSSGELLGYFYLDMYKREGKYGQTCVVALQNGSVAFNGARQVPLALLISQLQTDIGGIPSLLRFTEVVSLLHEFGHVVQHLCNRASFARFSGLRVDPDFVEIPAKVLENWCYESFSLKLISGFHQDITMPIKDEICKSLKRWRYSFSALKLKQEVLYCLFDQIIHSAENVDIFELFKHLHPKVMVGLPMLEGTNPASCFPRCAIGYEAACYSRIWSEVFAADIFTSKFQDGLLNQHVGMQFRTKVLAPGGAKDPIEILSDFLGREPSIQTFIDNKIECSLWH >KJB28770 pep chromosome:Graimondii2_0_v6:5:7317609:7320663:1 gene:B456_005G068600 transcript:KJB28770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKEGSTKLKAQDETRNRPSIFVIGCPNVGKRTLISRLASVEFEEEDSSQVVVHGWTINTKYYTADVSLCMAHLQDGFSAQTLPIFNHSTALVMVFDMSHLSTFSALQDWISYTDIQNLEILICIGNKVDRVPGHPVHAEYAKRIHKLDDSSTHPSSDFTRYGISEAERSSLLENEDPSSDIRRKCLEWCIDHNIEFIEACALNADFDKCLSVDGDLQGVERLYGAISAHMWPGMVLKSGDMITEPSLPEKEDSSEEEPDYQFEYEVLSAGSAEPGNGIVEEWISASPANTFLDIGKSVDAGNSDSDCVQGNITRCEKEESHTFPTGSALGEKIDRMEPNAEEPGRASASEVDDGPHYEFEDLEQLMSEIGNIRSNLRLMPDFQRREMAAKLAIKMAAMFGGDSDDEEEI >KJB28769 pep chromosome:Graimondii2_0_v6:5:7317522:7320856:1 gene:B456_005G068600 transcript:KJB28769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKEGSTKLKAQDETRNRPSIFVIGCPNVGKRTLISRLASVEFEEEDSSQVVVHGWTINTKYYTADVSLCMAHLQDGFSAQTLPIFNHSTALVMVFDMSHLSTFSALQDWISYTDIQNLEILICIGNKVDRVPGHPVHAEYAKRIHKLDDSSTHPSSDFTRYGISEAERSSLLENEDPSSDIRRKCLEWCIDHNIEFIEACALNADFDKCLSVDGDLQGVERLYGAISAHMWPGMVLKSGDMITEPSLPEKEDSSEEEPDYQFEYEVLSAGSAEPGNGIVEEWISASPANTFLDIGKSVDAGNSDSDCVQGNITRCEKEESHTFPTGSALGEKIDRMEPNAEEPGRASASEVDDGPHYEFEDLEQLMSEIGNIRSNLRLMPDFQRREMAAKLAIKMAAMFGGDSDDEEEI >KJB28687 pep chromosome:Graimondii2_0_v6:5:6594322:6597540:1 gene:B456_005G062600 transcript:KJB28687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFTYESEVITSIPPPKMFKACILDGDNLIPKIVPQVFKSVEYIEGNGEPGSIRKVTFAQGNQFNYMKQKVEALDREKFEYIYSLEASPGGGSMCKTSSKYYTIGDIELKEEAIKAGKEKASGVLFKAIEAYLLANPDAF >KJB28182 pep chromosome:Graimondii2_0_v6:5:2918987:2922244:-1 gene:B456_005G032500 transcript:KJB28182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVLKLVLLFLPLLINVFSFVQGQTWCVARSDASSQALQNALDYACASGADCTPIQSNGLCFLPNTIQAHASYAYNSYYQRRAKAPGSCDFAGTATVAKTDPSYGSCMYPSPQSTSGGTAMPKTGSWNNPNVPTTTTTPINGGGGGPGLNYPGFSPPFLSRASFDSTVNTSSMSILLLLVLSFILHPIWNFLNLDA >KJB28181 pep chromosome:Graimondii2_0_v6:5:2918959:2922288:-1 gene:B456_005G032500 transcript:KJB28181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVLKLVLLFLPLLINVFSFVQGQTWCVARSDASSQALQNALDYACASGADCTPIQSNGLCFLPNTIQAHASYAYNSYYQRRAKAPGSCDFAGTATVAKTDPSYGSCMYPSPQSTSGGTAMPKTGSWNNPNVPTTTTTPINGGGGGPGLNYPGFSPPFLSRASFDSTVNTSSIDRKRRFC >KJB27437 pep chromosome:Graimondii2_0_v6:5:4042163:4043734:1 gene:B456_005G042300 transcript:KJB27437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLLKSLLSPLKKLWFRLHSVQKKRRGIYILYEDVKSCPCEDVHVLWSMLVESNASSMLPAKQ >KJB32873 pep chromosome:Graimondii2_0_v6:5:63907557:63910485:1 gene:B456_005G265900 transcript:KJB32873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPDEEYDYLFKIVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVSRWLKELRDHADSNIVIMLIGNKTDLKHLRAVSTEDGQSYAEKEGLSFIETSALEAINVEKAFQTILSEIYRIMSKKSLSSDDLAPTSIKEGKTIAVGAPDANTKKACCSSS >KJB28209 pep chromosome:Graimondii2_0_v6:5:3240640:3244168:1 gene:B456_005G034400 transcript:KJB28209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFHLFLISLLLFSFSKAESNGSVFFIDSSTHQFLRAQSTNDLAQSESILLPEVGAAVSVLLGVAPTVTLSASGSSKLNEVLIPNPFDRPRAVFIFEVGGIDDPLVVEPKNALFSKALKNSVDLGSSKADILFPDEEDLSMISLDHPLGDYCEEEINEFASWLGGSYATDVTKPLDGVLTIPLANGDNMNLHMEFASKLFALYRNIRKAMERHEDLSQTLRRPAELIMGSFYGIKHDTDGFDKQGMRLLLATLNRIFDSLQTTYEGHIVGVILFNGVPQPESKTLMNMMYTSRPSPRWLEETKSSLNTTLAAQMLVRRTLAWITGVVLLIATLLGVYFLLNMPLTRDTLLYSNVKLD >KJB29351 pep chromosome:Graimondii2_0_v6:5:13948589:13949123:1 gene:B456_005G096100 transcript:KJB29351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEIQHWGIMGKTMEVQRMKELLLLWSRFQSNRVALVGGNHTAARFCTR >KJB27645 pep chromosome:Graimondii2_0_v6:5:181425:184140:-1 gene:B456_005G003400 transcript:KJB27645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01920) UniProtKB/Swiss-Prot;Acc:Q9LZV4] MASLLCPTSATVQQNHRVLCFSPLKSISQVNHLSLTKRHKNTTARCNAFDLLQQSVSQFPVVKSEFESVTGELNEVQKWGFIVFAGLTWIYLTARPGVLVGAIDAYLLAPLQLGLGSLIGRRSLKRSDFLVGDKLGEGSFGIVYSGVIVPKNASLEDRLPKRGKAKKALVDDDRFKEKVILKKVKVGVQGAEECGDYEEWFNYRLSRAAPETCAEFLGSFVADQTNSQFVKGGKWLVWKFEGDRTLADYMKDRSFPLNIESVMFGRVLHGVESVKRNALIIKQILRQIITSLKKIHDTGIVHRDVKPANIVVTKRGQIKLIDFGAATDLRIGKNYVPNRGLLDPDYCPPELYVLPEETPSPPPEPIAALLSPILWQVRAPSLVNASMSCIYL >KJB27646 pep chromosome:Graimondii2_0_v6:5:181439:184140:-1 gene:B456_005G003400 transcript:KJB27646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01920) UniProtKB/Swiss-Prot;Acc:Q9LZV4] MASLLCPTSATVQQNHRVLCFSPLKSISQVNHLSLTKRHKNTTARCNAFDLLQQSVSQFPVVKSEFESVTGELNEVQKWGFIVFAGLTWIYLTARPGVLVGAIDAYLLAPLQLGLGSLIGRRSLKRSDFLVGDKLGEGSFGIVYSGVIVPKNASLEDRLPKRGKAKKALVDDDRFKEKVILKKLQVKVGVQGAEECGDYEEWFNYRLSRAAPETCAEFLGSFVADQTNSQFVKGGKWLVWKFEGDRTLADYMKDRSFPLNIESVMFGRVLHGVESVKRNALIIKQILRQIITSLKKIHDTGIVHRDVKPANIVVTKRGQIKLIDFGAATDLRIGKNYVPNRGLLDPDYCPPELYVLPEETPSPPPEPIAALLSPILWQLNSPDLFDMYSAGIVLLQMAIPSLRSPAYLKNFNSEIKAVQYDLNKWRDRTRLRPDFTILDLESGRGWDLATKLISERGSLRRGRLSAAAALRHPYFLLGGDQAAAVLSKFGF >KJB27644 pep chromosome:Graimondii2_0_v6:5:181340:184270:-1 gene:B456_005G003400 transcript:KJB27644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01920) UniProtKB/Swiss-Prot;Acc:Q9LZV4] MASLLCPTSATVQQNHRVLCFSPLKSISQVNHLSLTKRHKNTTARCNAFDLLQQSVSQFPVVKSEFESVTGELNEVQKWGFIVFAGLTWIYLTARPGVLVGAIDAYLLAPLQLGLGSLIGRRSLKRSDFLVGDKLGEGSFGIVYSGVIVPKNASLEDRLPKRGKAKKALVDDDRFKEKVILKKVKVGVQGAEECGDYEEWFNYRLSRAAPETCAEFLGSFVADQTNSQFVKGGKWLVWKFEGDRTLADYMKDRSFPLNIESVMFGRVLHGVESVKRNALIIKQILRQIITSLKKIHDTGIVHRDVKPANIVVTKRGQIKLIDFGAATDLRIGKNYVPNRGLLDPDYCPPELYVLPEETPSPPPEPIAALLSPILWQLNSPDLFDMYSAGIVLLQMAIPSLRSPAYLKNFNSEIKAVQYDLNKWRDRTRLRPDFTILDLESGRGWDLATKLISERGSLRRGRLSAAAALRHPYFLLGGDQAAAVLSKFGF >KJB28253 pep chromosome:Graimondii2_0_v6:5:3504803:3506836:1 gene:B456_005G037300 transcript:KJB28253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQHFSHPHPLVFFKYQTVASKEVDPEAARCFACENPLEGRSYGCNQCKFYLHKGCAELELVPRMQHPFHPQHPLTFFPQSPYQGEYVCSLCGGMFWGFVYHCRSCLFDLHISCALLQSSIATNFPHSLHHHPLHFIQNHNEEVERDCSGCQKPLSGPIYHCLDCSYPTFFTLHKECVELPLEINHACDRKHPLTLLPQRPAHPEKCSCYLCKIQWSGFVYSCSLCNFELSVDDIFSPPTITNASHEHPWMLISKKMSFICDFCGIVGDHSPYLCATCNLVVHKNCISLPRNIRITRHCHVICFSYSFQQNQVENCMCRICYTEVDTSYGRYCCSASGCDYIAHAHCATNKSIWDGTIIKEGYDERHGPSNLITDVIEQISIEEIMVASKIKHSYHHHNLRLTLSGEIKDDSQCDGCMRPISNPFYSCEQCKFFLHKNCAELSKEMRHPFHKHLLTLTNSSTLGDFSSCDACSHLYQGFSYRCYRRGCNLNFDIRCMLMLDTLNHPSHDHSLLLEHNQQRNCSACSGTMEPWRVAYRCMKRCDYTLDVGCATLPPTAWYKYDKHPLTLTYSDDSEPSQLYCDLCEKERQPNSWFYYCVDCDNSLHLNCALGDLPYMKLGNKIKGTGHQHRLTVVKNIWNCPPCEKCRELCNGRALECKEFGCNFTIHWNCCWYLTF >KJB27816 pep chromosome:Graimondii2_0_v6:5:781201:783627:-1 gene:B456_005G011400 transcript:KJB27816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVWPRFMVLKCEARNKYLSYMHESYDCHGYLRFSETLACSPYTKFEVERAKCSEEDGLVHIKSCHNKKYCKRVKNVSITGNSNEQYWISAAADKPEEGQSEESCTLFKLIPVDTATNKIRIMHVQSGCYLCLWWVDSPTFNNCVLANYKVFDGNSCDLFTVIDWELLANKPFASPRFIVLKSHQNNKYLGFDHEKGDYKDGYLKFSETRVASPYAKFEVEIAQRGGIDGLVHIRSSQNNKYLVSDETRITATARKPEEDRSKKSCTLFKLISVDDAANDVQIVHVQSRKYLWVIRETPNLFTSEHLDEYSRDMFTIIDWESLVFLPRHVAFKGNNGQYLCLRQIEGHPYLQFSSGDIGDAGVTMEVFMNNDGSIRIKPAGSNKFWRRSPNWIWADSDDTTSNNKDTLFRPFKVNDQTIALRNLGNNNFCKSLSKEGKANCLNADVSSITKEVQLGVEVPVLERKIYNIKYDLDNCRIYDESKLVIAMNSASNYTRKSESLDLKLSYTDTHTRTWKANVSLKVGAKATMNFGLPKIFEGSIELSGEIQTGFEWQDTKTVTSVMDVLHKVVAPPMTKVTVNLTAINGTCDVPFTYMQKDTLYNGNIVISEVQGGTYTGSNYYSLNFQTKEESLSSSV >KJB29132 pep chromosome:Graimondii2_0_v6:5:10808063:10812442:1 gene:B456_005G086100 transcript:KJB29132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKYSRVDGRKSSSYCSTAVIVVFVAFCLVGIWMFMSSSVVPLENSDLSSQETRNEAKQTLQEGVSKQFDDTSGDISEDATREGGTMPGKVSLNTDEESRKSVEENQEENHGNDSSDEKTDSENEQRTVDGDDGSGNGKDGEMDSREGESNLESGQAENEGGEMNNNVQAESEENSGEIRSESAEGGKNSDEEVSEDKIKHVDKEDISTVDNNEKNQDENSEPSAIENSAESEENNQTSNEILPTAAQSEILTESTTQNGAWSTQAEESQNEKKWQQASISNEENDHQWKVCKSTAGPDYIPCLDNWQVIRKLPSTKHYEHRERHCPSEPPTCLVPLPEGYKRSIKWPKSRDKIWYYNVPHTKLAEVKGHQNWVKVTGEYLTFPGGGTQFKNGALHYIDFLQQSVPDIAWGKRSRVILDVGCGVASFGGYLFERDVLAMSFAPKDEHEAQVQFALERGIPAILNVMGTKRLPFPSSVFDVVHCARCRVPWHVEGGKLLLELNRVLRPGGYFIWSATPVYQKLPEDVGIWQEMSRLTKSMCWDLVTVKKDRLNAVGAAIYQKPASNDCYNKRSKNAPPLCEESDDPNAAWNVSLQACMHKVPEDSTERGSLWPVQWPERLEQPPYWLNSQIGVYGKAAQEDFTADYNHWKTVISESYLKGMGIDWSSVRNVMDMKAVYGGFAAALKDLKVWVMNVVPIDAADTLPVIYERGLFGIHHDWCESFNTYPRTYDVLHADHLFSSIQNRCKLVAVIAEVDRILRPEGKLIVRDKAETISAVESMAKSLQWEIRMIYSKDGEGLLCVRKKFWRPTVEEPIKSAIV >KJB29129 pep chromosome:Graimondii2_0_v6:5:10809026:10811611:1 gene:B456_005G086100 transcript:KJB29129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKYSRVDGRKSSSYCSTAVIVVFVAFCLVGIWMFMSSSVVPLENSDLSSQETRNEAKQTLQEGVSKQFDDTSGDISEDATREGGTMPGKVSLNTDEESRKSVEENQEENHGNDSSDEKTDSENEQRTVDGDDGSGNGKDGEMDSREGESNLESGQAENEGGEMNNNVQAESEENSGEIRSESAEGGKNSDEEVSEDKIKHVDKEDISTVDNNEKNQDENSEPSAIENSAESEENNQTSNEILPTAAQSEILTESTTQNGAWSTQAEESQNEKKWQQASISNEENDHQWKVCKSTAGPDYIPCLDNWQVIRKLPSTKHYEHRERHCPSEPPTCLVPLPEGYKRSIKWPKSRDKIWYYNVPHTKLAEVKGHQNWVKVTGEYLTFPGGGTQFKNGALHYIDFLQQSVPDIAWGKRSRVILDVGCGVASFGGYLFERDVLAMSFAPKDEHEAQVQFALERGIPAILNVMGTKRLPFPSSVFDVVHCARCRVPWHVEGGKLLLELNRVLRPGGYFIWSATPVYQKLPEDVGIWQEMSRLTKSMCWDLVTVKKDRLNAVGAAIYQKPASNDCYNKRSKNAPPLCEESDDPNAAWNVSLQACMHKVPEDSTERGSLWPVQWPERLEQPPYWLNSQIGVYGKAAQEDFTADYNHWKTVISESYLKGMGIDWSSVRNVMDMKAVYGGYLTPI >KJB29131 pep chromosome:Graimondii2_0_v6:5:10808691:10812437:1 gene:B456_005G086100 transcript:KJB29131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKYSRVDGRKSSSYCSTAVIVVFVAFCLVGIWMFMSSSVVPLENSDLSSQETRNEAKQTLQEGVSKQFDDTSGDISEDATREGGTMPGKVSLNTDEESRKSVEENQEENHGNDSSDEKTDSENEQRTVDGDDGSGNGKDGEMDSREGESNLESGQAENEGGEMNNNVQAESEENSGEIRSESAEGGKNSDEEVSEDKIKHVDKEDISTVDNNEKNQDENSEPSAIENSAESEENNQTSNEILPTAAQSEILTESTTQNGAWSTQAEESQNEKKWQQASISNEENDHQWKVCKSTAGPDYIPCLDNWQVIRKLPSTKHYEHRERHCPSEPPTCLVPLPEGYKRSIKWPKSRDKIWYYNVPHTKLAEVKGHQNWVKVTGEYLTFPGGGTQFKNGALHYIDFLQQSVPDIAWGKRSRVILDVGCGVASFGGYLFERDVLAMSFAPKDEHEAQVQFALERGIPAILNVMGTKRLPFPSSVFDVVHCARCRVPWHVEGGKLLLELNRVLRPGGYFIWSATPVYQKLPEDVGIWQEMSRLTKSMCWDLVTVKKDRLNAVGAAIYQKPASNDCYNKRSKNAPPLCEESDDPNA >KJB29130 pep chromosome:Graimondii2_0_v6:5:10808691:10812437:1 gene:B456_005G086100 transcript:KJB29130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKYSRVDGRKSSSYCSTAVIVVFVAFCLVGIWMFMSSSVVPLENSDLSSQETRNEAKQTLQEGVSKQFDDTSGDISEDATREGGTMPGKVSLNTDEESRKSVEENQEENHGNDSSDEKTDSENEQRTVDGDDGSGNGKDGEMDSREGESNLESGQAENEGGEMNNNVQAESEENSGEIRSESAEGGKNSDEEVSEDKIKHVDKEDISTVDNNEKNQDENSEPSAIENSAESEENNQTSNEILPTAAQSEILTESTTQNGAWSTQAEESQNEKKWQQASISNEENDHQWKVCKSTAGPDYIPCLDNWQVIRKLPSTKHYEHRERHCPSEPPTCLVPLPEGYKRSIKWPKSRDKIWYYNVPHTKLAEVKGHQNWVKVTGEYLTFPGGGTQFKNGALHYIDFLQQVSTVMCIYICIYICFNNAKYYFVKLCKADHIFASTMQSVPDIAWGKRSRVILDVGCGVASFGGYLFERDVLAMSFAPKDEHEAQVQFALERGIPAILNVMGTKRLPFPSSVFDVVHCARCRVPWHVEGGKLLLELNRVLRPGGYFIWSATPVYQKLPEDVGIWQEMSRLTKSMCWDLVTVKKDRLNAVGAAIYQKPASNDCYNKRSKNAPPLCEESDDPNAAWNVSLQACMHKVPEDSTERGSLWPVQWPERLEQPPYWLNSQIGVYGKAAQEDFTADYNHWKTVISESYLKGMGIDWSSVRNVMDMKAVYGGFAAALKDLKVWVMNVVPIDAADTLPVIYERGLFGIHHDWCESFNTYPRTYDVLHADHLFSSIQNRCKLVAVIAEVDRILRPEGKLIVRDKAETISAVESMAKSLQWEIRMIYSKDGEGLLCVRKKFWRPTVEEPIKSAIV >KJB29128 pep chromosome:Graimondii2_0_v6:5:10808691:10812437:1 gene:B456_005G086100 transcript:KJB29128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKYSRVDGRKSSSYCSTAVIVVFVAFCLVGIWMFMSSSVVPLENSDLSSQETRNEAKQTLQEGVSKQFDDTSGDISEDATREGGTMPGKVSLNTDEESRKSVEENQEENHGNDSSDEKTDSENEQRTVDGDDGSGNGKDGEMDSREGESNLESGQAENEGGEMNNNVQAESEENSGEIRSESAEGGKNSDEEVSEDKIKHVDKEDISTVDNNEKNQDENSEPSAIENSAESEENNQTSNEILPTAAQSEILTESTTQNGAWSTQAEESQNEKKWQQASISNEENDHQWKVCKSTAGPDYIPCLDNWQVIRKLPSTKHYEHRERHCPSEPPTCLVPLPEGYKRSIKWPKSRDKIWYYNVPHTKLAEVKGHQNWVKVTGEYLTFPGGGTQFKNGALHYIDFLQQSVPDIAWGKRSRVILDVGCGVASFGGYLFERDVLAMSFAPKDEHEAQVQFALERGIPAILNVMGTKRLPFPSSVFDVVHCARCRVPWHVEGGKLLLELNRVLRPGGYFIWSATPVYQKLPEDVGIWQEMSRLTKSMCWDLVTVKKDRLNAVGAAIYQKPASNDCYNKRSKNAPPLCEESDDPNAAWNVSLQACMHKVPEDSTERGSLWPVQWPERLEQPPYWLNSQIGVYGKAAQEDFTADYNHWKTVISESYLKGMGIDWSSVRNVMDMKAVYGGFAAALKDLKVWVMNVVPIDAADTLPVIYERGLFGIHHDWCESFNTYPRTYDVLHADHLFSSIQNRCKLVAVIAEVDRILRPEGKLIVRDKAETISAVESMAKSLQWEIRMIYSKDGEGLLCVRKKFWRPTVEEPIKSAIV >KJB29174 pep chromosome:Graimondii2_0_v6:5:11613581:11615761:-1 gene:B456_005G088200 transcript:KJB29174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLWGFERLKLRMMVVMPLMLVLSLFEQNMSFSSPLNSEGLALLRFKQRVVSDPFGALSNWKEIDGEIDPYSWFGVECSDEKVVILNLKDLCLVGNLGPEFGKLENLKSIILRNNSFSGSIPQEIGELKELEVLDLGFNNFSGPFPSDFGNNLSLTTLLLDNNEFLGNLAPEIYEVKMLSEFQVDENRLTDAATIPSCKSSGFPW >KJB29173 pep chromosome:Graimondii2_0_v6:5:11612674:11615761:-1 gene:B456_005G088200 transcript:KJB29173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLWGFERLKLRMMVVMPLMLVLSLFEQNMSFSSPLNSEGLALLRFKQRVVSDPFGALSNWKEIDGEIDPYSWFGVECSDEKVVILNLKDLCLVGNLGPEFGKLENLKSIILRNNSFSGSIPQEIGELKELEVLDLGFNNFSGPFPSDFGNNLSLTTLLLDNNEFLGNLAPEIYEFSSFLMKSIKVESSSVPMSCISWFTLPQYQSLGLVSTLLGALELL >KJB29675 pep chromosome:Graimondii2_0_v6:5:21498789:21507209:1 gene:B456_005G113200 transcript:KJB29675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHSSAPLSFTLPPTPLASPAWCGVTPNSTSQPVRPACARASSSSKPLCVALSHTTANRYTIAIVMITIERLRQPSHTINPIDHISAASFRINRVLASSVDYHRQQLEAIGTCRTLCATSQRQQQNFFNSFLGEAAITYH >KJB31022 pep chromosome:Graimondii2_0_v6:5:50279297:50282096:1 gene:B456_005G173000 transcript:KJB31022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFYGSNLNEGNEISMATSPFFTDRVFESREELMQWVQNTTFSLGYIIVTRRSKAKENGVVSYITLICYRGGEYKFKESSKKFGTKKTNCKFRLVGSVICDQHNHPPAQHMEGHAYARRLKENEKKLLVDLTSKNVTPRDILSALKEQNENNVSTLKTIYNARQKLCLSQNVSKSVLKYLDQVWLSKYKEMFVSVWIDRHLNFGDQTTNRVESQHAKLKKYLCAKNSSLEKFVGCIDQIVKSQLTSIYESFENSRIVLKHRHNLPCFRLLRDFMTLEAQDILEGELQRSSRHQLDSSNCDCKLRHSCGLPCACMLSVYLNSDECIPLDSIDVFWRKFDLSPSTSVENEDICCDGELEMFKENFTKQSKAEIKSLLRKLRDIFQPSKTLIKEPTIQKNTPLRRRNHSTTSKSVGLDLVELNKEPARHSSCVIEFPDLNYEPSEQVSNFIDLNQMLESCDTHPLMKEIPDMFHPYITHVQDIRGDGNCGFRAISVCLGYGEDQWLYVRHQLLDELLSSYDVYARVFTNGIDELRNSLCFSQSPAPAEHWMVMPMTGILIANRFGVILNYLTKRGDITFFPLWRGPEHFQYHHAITIAHVYDNHNVMVQLEGDYPMSTISAYWIRHRAPSTAG >KJB32461 pep chromosome:Graimondii2_0_v6:5:62277882:62282567:-1 gene:B456_005G242000 transcript:KJB32461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMETWEGGRAVALEFPVSETSSFSRVPRRIRKRLLSECKTPTVEEIETKLRHADLRRQQFYESVSSKARSKPRSPSRSSSNEEDPGQRLEARLQAAEQKRLNILAKSQMRLAKLDELRQAAKTGVEMRFERERKKLGTKVESRVQQAEANRMLILKTYSQRRATQNERSSQSMLRRMARESKYKERVRAAIHQKRVAAEKKRLGLLEAEKKKACARILQVRRVANSISHQREVERRKMRDQLEDRLQRARRQRAEYLRQRGRTQKSIRVNCTRMHKQADLLSRKLARCWRQFLRQRKTTLDLAKAFDSLKINGKSVKSMPFEQLALLIESIATIQTVKALLDRIESRIKASRVGGASDLLSSLDNIDHLLKRVATPKRKTTPRPSMRSREAKTVATVRETAKYLAKSSRYPVRVVLCAYMILGHPEAVLSGHGEREIALSKSAEAFVQELELLVRIILEGPIKNSDKESGSALTERLTIRSQLTAFDKAWCSYLNCFVVWKVKDAQLLEEDLVRAACQLELSMIQKCKLTPEGDNTALTHDMKAIQRQVVEDQKLLREKVQHLSGDAGIERMECALSETRTKFFQAKERGSPIGSPLTSFLSPNIHGSPSSPNARTHNRSTPTQKPNRVVRSLFKEDGTTPSKSHGSSVASSSHSDAQLGSSIEKHMVTENALIVNEFLHGQRVFVDNFNAIDEDKNSINAKMREIMEKAFWDGIMESMRQDEPDFDRVIELVREVRDEICELAPQSWREEITDAIDLEILAQVLKSGNLDIHYLGRILEFALITLQKLSAPANDDEMKAANQRLLKELAEICEARENSENSPALAMIKGLRFVLEQIQVFFHLFSSRSNGFFMYHLFQRC >KJB32462 pep chromosome:Graimondii2_0_v6:5:62276369:62282849:-1 gene:B456_005G242000 transcript:KJB32462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMETWEGGRAVALEFPVSETSSFSRVPRRIRKRLLSECKTPTVEEIETKLRHADLRRQQFYESVSSKARSKPRSPSRSSSNEEDPGQRLEARLQAAEQKRLNILAKSQMRLAKLDELRQAAKTGVEMRFERERKKLGTKVESRVQQAEANRMLILKTYSQRRATQNERSSQSMLRRMARESKYKERVRAAIHQKRVAAEKKRLGLLEAEKKKACARILQVRRVANSISHQREVERRKMRDQLEDRLQRARRQRAEYLRQRGRTQKSIRVNCTRMHKQADLLSRKLARCWRQFLRQRKTTLDLAKAFDSLKINGKSVKSMPFEQLALLIESIATIQTVKALLDRIESRIKASRVGGASDLLSSLDNIDHLLKRVATPKRKTTPRPSMRSREAKTVATVRETAKYLAKSSRYPVRVVLCAYMILGHPEAVLSGHGEREIALSKSAEAFVQELELLVRIILEGPIKNSDKESGSALTERLTIRSQLTAFDKAWCSYLNCFVVWKVKDAQLLEEDLVRAACQLELSMIQKCKLTPEGDNTALTHDMKAIQRQVVEDQKLLREKVQHLSGDAGIERMECALSETRTKFFQAKERGSPIGSPLTSFLSPNIHGSPSSPNARTHNRSTPTQKPNRVVRSLFKEDGTTPSKSHGSSVASSSHSDAQLGSSIEKHMVTENALIVNEFLHGQRVFVDNFNAIDEDKNSINAKMREIMEKKVSGNCSPPSRGCFSNRKGRERSQGVGGCCHSVYRGPRTMVRNLDWYM >KJB32460 pep chromosome:Graimondii2_0_v6:5:62276369:62282849:-1 gene:B456_005G242000 transcript:KJB32460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMETWEGGRAVALEFPVSETSSFSRVPRRIRKRLLSECKTPTVEEIETKLRHADLRRQQFYESVSSKARSKPRSPSRSSSNEEDPGQRLEARLQAAEQKRLNILAKSQMRLAKLDELRQAAKTGVEMRFERERKKLGTKVESRVQQAEANRMLILKTYSQRRATQNERSSQSMLRRMARESKYKERVRAAIHQKRVAAEKKRLGLLEAEKKKACARILQVRRVANSISHQREVERRKMRDQLEDRLQRARRQRAEYLRQRGRTQKSIRVNCTRMHKQADLLSRKLARCWRQFLRQRKTTLDLAKAFDSLKINGKSVKSMPFEQLALLIESIATIQTVKALLDRIESRIKASRVGGASDLLSSLDNIDHLLKRVATPKRKTTPRPSMRSREAKTVATVRETAKYLAKSSRYPVRVVLCAYMILGHPEAVLSGHGEREIALSKSAEAFVQELELLVRIILEGPIKNSDKESGSALTERLTIRSQLTAFDKAWCSYLNCFVVWKVKDAQLLEEDLVRAACQLELSMIQKCKLTPEGDNTALTHDMKAIQRQVVEDQKLLREKVQHLSGDAGIERMECALSETRTKFFQAKERGSPIGSPLTSFLSPNIHGSPSSPNARTHNRSTPTQKPNRVVRSLFKEDGTTPSKSHGSSVASSSHSDAQLGSSIEKHMVTENALIVNEFLHGQRVFVDNFNAIDEDKNSINAKMREIMEKAFWDGIMESMRQDEPDFDRVIELVREVRDEICELAPQSWREEITDAIDLEILAQVLEHQYYCCISILIVYILILFNPPLSKVLKSGNLDIHYLGRILEFALITLQKLSAPANDDEMKAANQRLLKELAEICEARENSENSPALAMIKGLRFVLEQIQVLKTEISKARIRLMEPLLKGPAALDYLMKAFTNCYGSPSEACSSLPMTMRWLSSVSNCKDEEWGEHQNSVSNLKANDSSSQELLTSITLKTGGSYNSKNSTQIPFVNPNSSNVTGREQPECKGDKVDVLVRLGLLKLVSGVSGLTPDALPETFMLNFSRLRGVQAEIQKIIVISTSILIFRQILSSEQASDMERTISNCTEQLSEFLNCVEDADIEGIVDTIIGTSRHGDKVTDDKNLQLRKSMMARMLAKSLQAEDPVFKKVSLAVYLAFRGVVFGGSGTHGRKLAETVLRRVGAASLTERVVKEAKVLVVAATVSIGVHGPWYATLIGTCDL >KJB32459 pep chromosome:Graimondii2_0_v6:5:62276361:62282924:-1 gene:B456_005G242000 transcript:KJB32459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMETWEGGRAVALEFPVSETSSFSRVPRRIRKRLLSECKTPTVEEIETKLRHADLRRQQFYESVSSKARSKPRSPSRSSSNEEDPGQRLEARLQAAEQKRLNILAKSQMRLAKLDELRQAAKTGVEMRFERERKKLGTKVESRVQQAEANRMLILKTYSQRRATQNERSSQSMLRRMARESKYKERVRAAIHQKRVAAEKKRLGLLEAEKKKACARILQVRRVANSISHQREVERRKMRDQLEDRLQRARRQRAEYLRQRGRTQKSIRVNCTRMHKQADLLSRKLARCWRQFLRQRKTTLDLAKAFDSLKINGKSVKSMPFEQLALLIESIATIQTVKALLDRIESRIKASRVGGASDLLSSLDNIDHLLKRVATPKRKTTPRPSMRSREAKTVATVRETAKYLAKSSRYPVRVVLCAYMILGHPEAVLSGHGEREIALSKSAEAFVQELELLVRIILEGPIKNSDKESGSALTERLTIRSQLTAFDKAWCSYLNCFVVWKVKDAQLLEEDLVRAACQLELSMIQKCKLTPEGDNTALTHDMKAIQRQVVEDQKLLREKVQHLSGDAGIERMECALSETRTKFFQAKERGSPIGSPLTSFLSPNIHGSPSSPNARTHNRSTPTQKPNRVVRSLFKEDGTTPSKSHGSSVASSSHSDAQLGSSIEKHMVTENALIVNEFLHGQRVFVDNFNAIDEDKNSINAKMREIMEKAFWDGIMESMRQDEPDFDRVIELVREVRDEICELAPQSWREEITDAIDLEILAQVLKSGNLDIHYLGRILEFALITLQKLSAPANDDEMKAANQRLLKELAEICEARENSENSPALAMIKGLRFVLEQIQVLKTEISKARIRLMEPLLKGPAALDYLMKAFTNCYGSPSEACSSLPMTMRWLSSVSNCKDEEWGEHQNSVSNLKANDSSSQELLTSITLKTGGSYNSKNSTQIPFVNPNSSNVTGREQPECKGDKVDVLVRLGLLKLVSGVSGLTPDALPETFMLNFSRLRGVQAEIQKIIVISTSILIFRQILSSEQASDMERTISNCTEQLSEFLNCVEDADIEGIVDTIIGTSRHGDKVTDDKNLQLRKSMMARMLAKSLQAEDPVFKKVSLAVYLAFRGVVFGGSGTHGRKLAETVLRRVGAASLTERVVKEAKVLVVAATVSIGVHGPWYATLIGTCDL >KJB27741 pep chromosome:Graimondii2_0_v6:5:478659:482137:1 gene:B456_005G007000 transcript:KJB27741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNAPYPGGYMCNFCSKLGNEFVYHCSCELDFHIKCALFTFNIAENNLKELEHVALQDEELEDDSKCFGCWEPLAKYTHFSPDCGFNLHDKCAELPFKLNLECHREHPLVLQFNSQRLSCKICRKSNQQALGFFYGCSPCEFVVHIECASQSPLQVIKSTSHEHPFTLFNGHQHPLFLMLNQEQLMDNQRGVTDCSRCGEKASAPCFCCAEHCGFYLHKVCAEAPLELNHPFHLNHPLLMQNAPYSSGMYICNFCNKSGHKSVYRCSSCELDFHIKCALFTFNIAENNLKELEHVALQHPLIPTENGGEKLKDVSKCFGCREPLANYTHFSPCRGFNLHEKCTEIPFKLKHVCHRKHPLVLQFNSERLSCKICCQVTRRRGFVYGCSPCKFVVHIECASQSPLQVIKSTNHEHPFTLSLRQVPFTCDGCGTEGNHVAYTCGTCNITIHKNCISLPRIIKSKWHDHRLVHTYFHHIEDFRVLNCLICHDEVNTEHGSYYCSKCNGIFHVKCVMKDKDSYEIVENEDEIEMPIESSIIVIESNDAGEATKINHFKHMHNLMLGPFVGGYENSCDGCMLPISDPFYYCSECAFFLHKACAELPKMKNVWYHDCKEPLALISDKAFYCRRCWGISNAFGYECCGCEEKICLRCVIALTPGARTCLKHEHPIFYYTKHNGKCNACGRTTQSAFCCKDCNFVLHLRCFSLPIIARHKCDEHLLSLTDHDDNCYSENHYCDICEESRDPNHWFYNCSTCDTSAHVNCVLGPYPFLKLGSIHEGNDHPHPLTIVKKKYYYPDCDKCGRACVDVALECSKSECKYIVHWDCVVPYSLRSFWGLFE >KJB28609 pep chromosome:Graimondii2_0_v6:5:6327754:6329400:1 gene:B456_005G060400 transcript:KJB28609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRWLKVRRSRAKIIVLCFVVAVIVLHGTFNPVNPGYSADENQNHHSDAHSKRVESRRLLEEAQNDAAQGDNNDNKSYAEFDIAKILIDEEEGEKEKPDPNKPYSLGPKISDWDQQRSEWLKTNPDFPNFIGPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEIEFLWWMDSDAMFTDMAYELPWERYKDFNMVMHGWNEMVYNDKNWIGLNTGSFLLRNCQWSLDLLDAWAPMGPKGKVREEAGKILTRELKDRPVFEADDQSAIVYLLVKERAKWGEKVYLENAYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYSVERCLRQMDRAFNFGDNQILQMYGFTHKSLASRRVKRVRNETANPLEVKDELGLLHPSFKAVKVSPSS >KJB27826 pep chromosome:Graimondii2_0_v6:5:864366:865964:1 gene:B456_005G012100 transcript:KJB27826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPRFIVIKSIHANACLGFKQDDPYEGFAEFSESMVTSPNAKFEVESAKGGLVHIRNCINMKYLERTEEDSISGKADEQYWITATAEKKEEDQSKEWCTLFQPLEEDSVNKTYRFMHVQSGCYLLLRQSYSSDITAGVLATSTKIDANGNDVFKVIDWDTLVILPRYIAFKGNNDMFLRLAEIDGHPYLQFLGEDIGEAAVAMEVFYTPNGDIRIKPVCSDKYWKRKPDWIWVDSDDTKGNDKDTLFHPFKVDGKTIALLNLGNKMFCKRFTGEGRTSCLSARIPSVTKEAYLNVVEPVLSRKIENLRYDTENARVYDEKVQIVAKNSASNHTTQSNTMDVKLTYTDTTTSTWNSHFSIGLEAKASFEFGVPIIAEGSVEISTNVETGIEWGETKTTTTVMEVNHQVHVPPMTKVTVYLLMTKGKCDVNFMFTQKDTLFNGTIVKTDVVGSTYVGSNYYNVQYDTKEEPLTS >KJB32526 pep chromosome:Graimondii2_0_v6:5:62553246:62556214:1 gene:B456_005G2452001 transcript:KJB32526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTVISMVKPMLEETITELCSAMLPGADCLKVADLGCSAGPNSLLVVSEIIDTIDETCRRLKHPPPCLQAFLNDLPGNDFNAIFKYLLPSFYDRLEIEKGNKFAINKCFVAGVAGSFYGRLFPPNSLHFVHSSYAIMWISKAPKELVTKAGTALNKDNICVAKTSPHAVFEAYLDQFKRDFTLFLRCRAGEIVPNGRMLLTTMGSIKSNDPLTIWEFVGLKLHDMVAEGLIEEEKLGSFNLPYYAASTEEIKSVIEAEGSFKLQNMEVFNVDWDDYIKKADTKQVVDKTTRATMIAKDIRAVGEPILGSHFGEDIMDDLFRRFKEDVFDYMGTHNGQFVNVVMSLIKKDI >KJB32640 pep chromosome:Graimondii2_0_v6:5:63076621:63077509:1 gene:B456_005G252800 transcript:KJB32640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 23 [Source:Projected from Arabidopsis thaliana (AT2G21100) UniProtKB/Swiss-Prot;Acc:Q84TH6] MVKLSYILLFIISLIVTSPPLTQSFHINNWAISKLETKQETVTNLQFYFHDTISGKDPTAIRVAQAADTEKSPTLFGALLMADDPLTETPDPKSKLIGRAQGIYGSAGKEELALLMVMSFCFTDGMYNGSSISILGKNSALSPVREMPIVGGTGVFRLARGYAVAKTHWYNEVGDAIVAYNVTIVH >KJB32884 pep chromosome:Graimondii2_0_v6:5:63992014:63992979:1 gene:B456_005G267600 transcript:KJB32884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAPEELQFLSLTDILRESVAIPKRSPKTFYLMTLALIFPLSFAILAHSLFTHPILNQLASDPLADPSQTRHEWTLLLTFQFCYLIFLFAFSLLSTAAVVFTVASLYTSKPVSFTSTISAIPKVFKRLFITFTWVSLLMFVYNALLIGFLVLFVIAVDSRNALLSFFSFMVILVLFLGVHVYITALWHLASVVSVLEPIYGLAAMKKSYELLKGRARMAFILVFGYLAICGVIGGIFGSVVVHGGDRYGVLWRIVVGGFLVGVLVIVNLVGLLVQSVFYYVCKSYHHQGIDKTALHDHLGGYLGEYVPLKSSVQMENLDA >KJB30221 pep chromosome:Graimondii2_0_v6:5:39901999:39905863:1 gene:B456_005G146400 transcript:KJB30221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEVNNDRGELRILENLDELRTDLADYIAELSEAAVKERGVFAIALSGGSLIDLMGKLCEAPYNKTVDWAKWYIFWADERVVAKNHSDSNYKLAKDGLLSKVPIVPSHVHSINDSVSAEEAADEYMFVIRQLVKTRMVSVSDISDCPKFDLILLGMGPDGHIASLFPNHSALDETDEWVRAKQRRCIWQ >KJB30217 pep chromosome:Graimondii2_0_v6:5:39902711:39905863:1 gene:B456_005G146400 transcript:KJB30217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEVNNDRGELRILENLDELRTDLADYIAELSEAAVKERGVFAIALSGGSLIDLMGKLCEAPYNKTVDWAKWYIFWADERVVAKNHSDSNYKLAKDGLLSKVPIVPSHVHSINDSVSAEEAADEYMFVIRQLVKTRMVSVSDISDCPKFDLILLGMGPDGHIASLFPNHSALDETDEWVTFIIDSPKPPPERITFTLPVINSASNVAMVVTGESKAEAVHLAIDNIRPDCPSPPARLVQPTKGKLVWFLDKPAASKLDGLQFSE >KJB30222 pep chromosome:Graimondii2_0_v6:5:39901977:39905863:1 gene:B456_005G146400 transcript:KJB30222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEVNNDRGELRILENLDELRTDLADYIAELSEAAVKERGVFAIALSGGSLIDLMGKLCEAPYNKTVDWAKWYIFWADERVVAKNHSDSNYKLAKDGLLSKVPIVPSHVHSINDSVSAEEAADEYMFVIRQLVKTRMVSVSDISDCPKFDLILLGMGPDGHIASLFPNHSALDETDEWVTFIIDSPKPPPERITFTLPVINSASNVAMVVTGESKAEAVHLAIDNIRPDCPSPPARLVQPTKGKLVWFLDKPAASKLDGLQFSE >KJB30220 pep chromosome:Graimondii2_0_v6:5:39902018:39905863:1 gene:B456_005G146400 transcript:KJB30220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEVNNDRGELRILENLDELRTDLADYIAELSEAAVKERGVFAIALSGGSLIDLMGKLCEAPYNKTVDWAKWYIFWADERVVAKNHSDSNYKLAKDGLLSKVPIVPSHVHSINDSVSAEEAADEYMFVIRQLVKTRMVSVSDISDCPKFDLILLGMGPDGHIASLFPNHSALDETDEWVTFIIDSPKPPPERITFTLPVINSASNVAMVVTGESKAEAVHLAIDNIRPDCPSPPARLVQPTKGKLVWFLDKPAASKLDGLQFSE >KJB30219 pep chromosome:Graimondii2_0_v6:5:39901914:39905863:1 gene:B456_005G146400 transcript:KJB30219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEVNNDRGELRILENLDELRTDLADYIAELSEAAVKERGVFAIALSGGSLIDLMGKLCEAPYNKTVDWAKWYIFWADERVVAKNHSDSNYKLAKDGLLSKVPIVPSHVHSINDSVSAEEAADEYMFVIRQLVKTRMVRAKQRRCIWQ >KJB30216 pep chromosome:Graimondii2_0_v6:5:39901999:39905863:1 gene:B456_005G146400 transcript:KJB30216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEVNNDRGELRILENLDELRTDLADYIAELSEAAVKERGVFAIALSGGSLIDLMGKLCEAPYNKTVDWAKWYIFWADERVVAKNHSDSNYKLAKDGLLSKVPIVPSHVHSINDSVSAEEAADEYMFVIRQLVKTRMVRAKQRRCIWQ >KJB30218 pep chromosome:Graimondii2_0_v6:5:39902018:39905863:1 gene:B456_005G146400 transcript:KJB30218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEVNNDRGELRILENLDELRTDLADYIAELSEAAVKERGVFAIALSGGSLIDLMGKLCEAPYNKTVDWAKWYIFWADERVVAKNHSDSNYKLAKDGLLSKVPIVPSHVHSINDSVSAEEAADEYMFVIRQLVKTRMVSVSDISDCPKFDLILLGMGPDGHIASLFPNHSALDETDEWVRAKQRRCIWQ >KJB31632 pep chromosome:Graimondii2_0_v6:5:57434981:57435737:-1 gene:B456_005G199400 transcript:KJB31632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCKHGRTKEQTRLFCCALWVLWGSRNHIIHEKKAISGLDLSQKIQSCLMELEGSRGSRISHASTEAAGRGRDTSREIIQFDAAFDIRKFRSASGMVVRDQDGAIRASKSTLHFNISSPFVAEAYACLEATKLGISMGIESVTIMRDSKTVIHKCQSTTRDKSVIGVIIHDIQSNRSRFQEIAFRFIQRTENGQAHILAKDALTKGEEVYLIVPEERRAQNPD >KJB29279 pep chromosome:Graimondii2_0_v6:5:12503291:12507543:1 gene:B456_005G091900 transcript:KJB29279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRKQSEPLPRKIAVGSGDVGNNNHNNGNGLLSGGTIGSNIHKAVFEKDFPSLGTEDRQAGPQIARVSSPGLSSASLSSPACNSALIGGEGWTSALAEMPTMVGSSSTGSLPAPLIVSTSGSGVQSVTVGLNMAEALAQAPSRTRTAPQLSVKTQRREELAIKQSKQLIPVTPSMPKGSILNSVDKSKAKPAIRVSEMNIAVKSGQQQPSSIHHGNQSPHSGHVKSDMPKTSGKLLVLKPGWENGVSSPTQKDVAGPTNVNNKVATSQHAVTAVTSAPARNNNTKLSSGERKAAALNPISGFTVEKKPSLAQTQSRNDFFNLLKKKTSANTAAGLSGSNLHISSSTTEKSEVTKNVDSPSMTTHANENGSAATSNGDTCQEAQRFSDDGEKNMSSYAMVHPDEEEAAFLRSLGWEEHSGDDEGLTEEEINAFYQEYMKLRPSLQLRHGVQPKLAQSFATNLDGASSELSSSDSGSEA >KJB29278 pep chromosome:Graimondii2_0_v6:5:12502999:12507592:1 gene:B456_005G091900 transcript:KJB29278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEPALVPEWLRSTGPVTGGGGNSAHHFASSSFNSDVSSLVHHGRHRNFRNIGDSDSPRYAFLDRASSLNSRRSSSNGSAKHAYSSFSRNHRDKDRERDKDRSSSGDHWERDSSDPLESIFTSRVEKSGVSTSRVEIDALRRSHSMVSRKQSEPLPRKIAVGSGDVGNNNHNNGNGLLSGGTIGSNIHKAVFEKDFPSLGTEDRQAGPQIARVSSPGLSSASLSSPACNSALIGGEGWTSALAEMPTMVGSSSTGSLPAPLIVSTSGSGVQSVTVGLNMAEALAQAPSRTRTAPQLSVKTQRREELAIKQSKQLIPVTPSMPKGSILNSVDKSKAKPAIRVSEMNIAVKSGQQQPSSIHHGNQSPHSGHVKSDMPKTSGKLLVLKPGWENGVSSPTQKDVAGPTNVNNKVATSQHAVTAVTSAPARNNNTKLSSGERKAAALNPISGFTVEKKPSLAQTQSRNDFFNLLKKKTSANTAAGLSGSNLHISSSTTEKSEVTKNVDSPSMTTHANENGSAATSNGDTCQEAQRFSDDGEKNMSSYAMVHPDEEEAAFLRSLGWEEHSGDDEGLTEEEINAFYQEYMKLRPSLQLRHGVQPKLAQSFATNLDGASSELSSSDSGSEA >KJB27999 pep chromosome:Graimondii2_0_v6:5:1713999:1716248:-1 gene:B456_005G020900 transcript:KJB27999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] MLLSVSCQSDNFLSSSFLQSSNPSFISLKRTKKSFQNIYNKPQSISLSISCSSLKIVRSPSLDKHVVKQNKIRFVQKLKTLLLSKPKHFLPIHILAKCRAYLCIHKPRSILSMIYRYPTIFELFTIPMPPTPFNATKSGYQLCVRLTPAAKSLAMQELKLKSAMSGFLANKLQKLLMLSSHRRLLLSKIVHLGPHLGLSPNFRSRLCNDHPDKFKIVGTSYGMALELVHWDPELAVPLKSPPVNRGLIVDRPLRFKQLNLRKGLNLKRRHRDFLMKFNELPDICPYNTSLDDFPKSSLEAEKRACGVVREVLGMMVEKRTLIDHLTHFRKEFGLPNKLRAMIVRHPELFYVSLKGMRDSVFLVEGFDDKGVLLEKDESLVIRDQLMALVAEGKRIRREKRKARINGTILDDCDNNDNVEVEDGDYDDGDYDDGFENLFDSEDSDLEYDFHDDDNESSMLYANGGNAVFWTGDDNGDSEPW >KJB32806 pep chromosome:Graimondii2_0_v6:5:63674868:63678371:-1 gene:B456_005G262700 transcript:KJB32806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYSCCELEFFIHILIVVLLVLFAGLMSGLTLGLMSMSLVDLEVLAKSGTPKDRKHAEKILPVVKKQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGATVAPFVQVLVWICFPVAYPISKLLDFLLGHGHVALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELSEKTAKDAMTPISETFAIDINAKLDRELMSLILEKGHSRVPVYYEHPTNIIGLVLVKNLLTVHPEDEVPVKSVTIRRIPRVQDTLPLYDILNEFQKGHSHMAVVVRQCNKTDQPPSSNSSRSPLPEVKVDIDGEKYPQENALRRKRSLKKWKSFPTSNDSFKSGSRSRKWSKGTDSDILHLNGSPLPQLPEEEEAVGIITMEDVIEELLQEEIFDETDHHYDDS >KJB32809 pep chromosome:Graimondii2_0_v6:5:63675569:63677997:-1 gene:B456_005G262700 transcript:KJB32809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYSCCELEFFIHILIVVLLVLFAGLMSGLTLGLMSMSLVDLEVLAKSGTPKDRKHAEKILPVVKKQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGATVAPFVQVLVWICFPVAYPISKLLDFLLGHGHVALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELSEKTAKDAMTPISETFAIDINAKLDRELMSLILEKGHSRVPVYYEHPTNIIGLVLVKNLLTVHPEDEVPVKSVTIRRIPRVQDTLPLYDILNEFQKGHSHMAVVVRQCNKTDQPPSSNSSRSKYHPLIRIFSLNFHLYQLPVHGNLFIMHNSLLLYIRLYLFVPLLKQALCRK >KJB32810 pep chromosome:Graimondii2_0_v6:5:63675099:63678332:-1 gene:B456_005G262700 transcript:KJB32810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYSCCELEFFIHILIVVLLVLFAGLMSGLTLGLMSMSLVDLEVLAKSGTPKDRKHAEKILPVVKKQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGATVAPFVQVLVWICFPVAYPISKLLDFLLGHGHVALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELSEKTAKDAMTPISETFAIDINAKLDRELMSLILEKGHSRVPVYYEHPTNIIGLVLVKNLLTVHPEDEVPVKSVTIRRIPRVQDTLPLYDILNEFQKGHSHMAVVVRQCNKTDQPPSSNSSRSPLPEVKVDIDGEKYPQENALRRKRSLKKWKSFPTSNDSFKSGSRSRKWSKGTDSDILHLNGSPLPQLPEEEEAVGIITMEDVIEELLQVHIPTISQLPISFPSNVSHTSALDVTFLRRRSLTRRIIITMTHDICLTSSQSFSTALIICCFLFGTQ >KJB32807 pep chromosome:Graimondii2_0_v6:5:63675190:63677546:-1 gene:B456_005G262700 transcript:KJB32807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGATVAPFVQVLVWICFPVAYPISKLLDFLLGHGHVALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELSEKTAKDAMTPISETFAIDINAKLDRELMSLILEKGHSRVPVYYEHPTNIIGLVLVKNLLTVHPEDEVPVKSVTIRRIPRVQDTLPLYDILNEFQKGHSHMAVVVRQCNKTDQPPSSNSSRSPLPEVKVDIDGEKYPQENALRRKRSLKKWKSFPTSNDSFKSGSRSRKWSKGTDSDILHLNGSPLPQLPEEEEAVGIITMEDVIEELLQEEIFDETDHHYDDS >KJB32808 pep chromosome:Graimondii2_0_v6:5:63674901:63678332:-1 gene:B456_005G262700 transcript:KJB32808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGATVAPFVQVLVWICFPVAYPISKLLDFLLGHGHVALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELSEKTAKDAMTPISETFAIDINAKLDRELMSLILEKGHSRVPVYYEHPTNIIGLVLVKNLLTVHPEDEVPVKSVTIRRIPRVQDTLPLYDILNEFQKGHSHMAVVVRQCNKTDQPPSSNSSRSPLPEVKVDIDGEKYPQENALRRKRSLKKWKSFPTSNDSFKSGSRSRKWSKGTDSDILHLNGSPLPQLPEEEEAVGIITMEDVIEELLQEEIFDETDHHYDDS >KJB30340 pep chromosome:Graimondii2_0_v6:5:35859541:35861821:-1 gene:B456_005G138400 transcript:KJB30340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKLDALLGRGFKPYKLKSVISVALSRLSVFKNQRQIRSNQARSDVVQLLQLGHHDRALLRAEQVVKEKNMLDVFVILEGYCNLLIERLHLIEQDRECPDELKEAISGLLFASSRCGDFPELQEIRAIFTSRYGKEFVARAIELRNNCGVNTKIIQKLSTKQPDLQSRWNVLNEIAAEHSIALQLRETSVSATLENLDGSKNHSQPKLGTIGKASNHAVLVDDNEFSDSTKARKKYKDVADAAQAAFESAANAAAAARAAVELSRSDFHDPDDDDDDDDDDHNSPNSQRKRVLERQEPNSEDKETQQGNQAEDLKQTPEIKISSPSSSKDSSQGNLDIRTVSLDDVDPIKLLEKEVVIHESDDENYDSHDSSFHMNTSMFKVGAQDEDKNYECTEKTALMFQNSSDKQIPSSLRAGLKVEAVPENPTEHVAQSSGTKGKRPFTINKAPFSVRTRQVRGY >KJB30342 pep chromosome:Graimondii2_0_v6:5:35859585:35861668:-1 gene:B456_005G138400 transcript:KJB30342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKLDALLGRGFKPYKLKSVISVALSRLSVFKNQRQIRSNQARSDVVQLLQLGHHDRALLRAEQVVKEKNMLDVFVILEGYCNLLIERLHLIEQDRECPDELKEAISGLLFASSRCGDFPELQEIRAIFTSRYGKEFVARAIELRNNCGVNTKIIQKLSTKQPDLQSRWNVLNEIAAEHSIALQLRETSVSATLNHSQPKLGTIGKASNHAVLVDDNEFSDSTKARKKYKDVADAAQAAFESAANAAAAARAAVELSRSDFHDPDDDDDDDDDDHNSPNSQRKRVLERQEPNSEDKETQQGNQAEDLKQTPEIKISSPSSSKDSSQGNLDIRTVSLDDVDPIKLLEKEVVIHESDDENYDSHDSSFHMNTSMFKVGAQDEDKNYECTEKTALMFQNSSDKQIPSSLRAGLKVEAVPENPTEHVAQSSGTKGKRPFTINKAPFSVRTRQVRGY >KJB30341 pep chromosome:Graimondii2_0_v6:5:35859585:35861668:-1 gene:B456_005G138400 transcript:KJB30341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKLDALLGRGFKPYKLKSVISVALSRLSVFKNQRQIRSNQARSDVVQLLQLGHHDRALLRAEQVVKEKNMLDVFVILEGYCNLLIERLHLIEQDRECPDELKEAISGLLFASSRCGDFPELQEIRAIFTSRYGKEFVARAIELRNNCGVNTKKLSTKQPDLQSRWNVLNEIAAEHSIALQLRETSVSATLENLDGSKNHSQPKLGTIGKASNHAVLVDDNEFSDSTKARKKYKDVADAAQAAFESAANAAAAARAAVELSRSDFHDPDDDDDDDDDDHNSPNSQRKRVLERQEPNSEDKETQQGNQAEDLKQTPEIKISSPSSSKDSSQGNLDIRTVSLDDVDPIKLLEKEVVIHESDDENYDSHDSSFHMNTSMFKVGAQDEDKNYECTEKTALMFQNSSDKQIPSSLRAGLKVEAVPENPTEHVAQSSGTKGKRPFTINKAPFSVRTRQVRGY >KJB29048 pep chromosome:Graimondii2_0_v6:5:9846448:9847451:1 gene:B456_005G081600 transcript:KJB29048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPINFNFPYFSPPPPHQPFQPPPPPYHPTSPPPPPPHNPVSPPPPPHHPITPPPPHVHPPPPPHVRPPPPAPLPPAPSPSNHTVIIIVFVSCGGVFFLAFLAAALFCFLKKKKKKTVKEMDRVHVDEHLKVKEAIVPGPDGPRAVLLEIEDDIHIDHEDIIKTQKTEKGSNLHSTLQNLKDIEEGTKASSSSHHHQLEHKA >KJB30558 pep chromosome:Graimondii2_0_v6:5:40772954:40774372:1 gene:B456_005G148500 transcript:KJB30558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVLKSLANSIFLVLFIIFSSSTPLVLAQCEAETKTNGCHNYRESMKLKIIAIVAILLSSMIGVCLPLFSGQVPSLKPDRDLFTIVKAFASGVILATGYMHVLPDSFNDLMSGCLPENPWRKFPFTTFVAMLSAVLTLMVDSFAMSVYKKRCGKALMVDANNGGGLENTNVVPIDNFEHGHCHSLEMNDDVSSQLLRHRVIAQVLELGIVVHSVVIGLAMGASGNQCTIRSLIAALCFHQMFEGMGLGGCILQAEYEIKMKAIMVFFFSATTPLGIVLGIGLSKVYSETSPTSLMVVGLLNACSAGLLNYMALVDLLAADFLGPKLQTNMKLQAWSYVAVLLGAGFMSLMAKWA >KJB31101 pep chromosome:Graimondii2_0_v6:5:51633312:51633327:1 gene:B456_005G1760001 transcript:KJB31101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TQPT >KJB28319 pep chromosome:Graimondii2_0_v6:5:4171075:4174228:1 gene:B456_005G043500 transcript:KJB28319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSIPSPPRMGTPPHMPTASSIHETRSDMDSPLHIDLHQDLFPDYYGGPASIPSIPKEEPSRNDFPNGDNGFPPVIKENDFPTPPVPPCTSGEGLPYAPIDWPNPGDVWSWRVGRRVNNAGFYSDRFINVPKNRIGGLELSFLDDETTRAEFDNYLNALDDMLTQPLPEEPYSHPVLYNYFAAESEMAEARMKLSSFLDMDFPSLICFKDLDELTSLASKLRKDPTLTAEQLVKLKLIEEIPSFCEVFLENREIMEQADNFFTTLQLNKTKVTSLKQEYSELRQQVTNLQSEVDTNSLTVQEIDNQIAQLKSHRAQLTRLIENKKKDKEELTYNQKLVANSIPKVVHEVQLANARKPEWEIKKENADKREAEILAKFAPLKGFSL >KJB28322 pep chromosome:Graimondii2_0_v6:5:4171074:4174228:1 gene:B456_005G043500 transcript:KJB28322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFFFLWSCQRGISVLMMSIPSPPRMGTPPHMPTASSIHETRSDMDSPLHIDLHQDLFPDYYGGPASIPSIPKEEPSRNDFPNGDNGFPPVIKENDFPTPPVPPCTSGEGLPYAPIDWPNPGDVWSWRVGRRVNNAGFYSDRFINVPKSLRFPNSPKMFASKPTLERFILSHFPTADVNAFFASFVWKIPATLEPPAKVTPVAVGIEDAKKESNLEDAKIESNKKTPRSSQRKRNPPPKPLTPRNGQKKQKTSKGSGSASAKGSASATPKRQPTRQRVKTPAPPPLETEDRIGGLELSFLDDETTRAEFDNYLNALDDMLTQPLPEEPYSHPVLYNYFAAESEMAEARMKLSSFLDMDFPSLICFKDLDELTSLASKLRKDPTLTAEQLVKLKLIEEIPSFCEVFLENREIMEQADNFFTTLQLNKTKVTSLKQEYSELRQQVTNLQSEVDTNSLTVQEIDNQIAQLKSHRAQLTRLIENKKKDKEELTYNQKLVANSIPKVVHEVQLANARKPEWEIKKENADKREAEILAKFAPLKGFSL >KJB28320 pep chromosome:Graimondii2_0_v6:5:4170980:4174278:1 gene:B456_005G043500 transcript:KJB28320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSIPSPPRMGTPPHMPTASSIHETRSDMDSPLHIDLHQDLFPDYYGGPASIPSIPKEEPSRNDFPNGDNGFPPVIKENDFPTPPVPPCTSGEGLPYAPIDWPNPGDVWSWRVGRRVNNAGFYSDRFINVPKSLRFPNSPKMFASKPTLERFILSHFPTADVNAFFASFVWKIPATLEPPAKVTPVAVGIEDAKKESNLEDAKIESNKKTPRSSQRKRNPPPKPLTPRNGQKKQKTSKGSGSASAKGSASATPKRQPTRQRVKTPAPPPLETEDRIGGLELSFLDDETTRAEFDNYLNALDDMLTQPLPEEPYSHPVLYNYFAAESEMAEARMKLSSFLDMDFPSLICFKDLDELTSLASKLRKDPTLTAEQLVKLKLIEEIPSFCEVFLENREIMEQADNFFTTLQLNKTKVTSLKQEYSELRQQVTNLQSEVDTNSLTVQEIDNQIAQLKSHRAQLTRLIENKKKDKEELTYNQKLVANSIPKVVHEVQLANARKPEWEIKKENADKREAEILAKFAPLKGFSL >KJB28321 pep chromosome:Graimondii2_0_v6:5:4171798:4173901:1 gene:B456_005G043500 transcript:KJB28321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFYYNCSYYGGPASIPSIPKEEPSRNDFPNGDNGFPPVIKENDFPTPPVPPCTSGEGLPYAPIDWPNPGDVWSWRVGRRVNNAGFYSDRFINVPKSLRFPNSPKMFASKPTLERFILSHFPTADVNAFFASFVWKIPATLEPPAKVTPVAVGIEDAKKESNLEDAKIESNKKTPRSSQRKRNPPPKPLTPRNGQKKQKTSKGSGSASAKGSASATPKRQPTRQRVKTPAPPPLETEDRIGGLELSFLDDETTRAEFDNYLNALDDMLTQPLPEEPYSHPVLYNYFAAESEMAEARMKLSSFLDMDFPSLICFKDLDELTSLASKLRKDPTLTAEQLVKLKLIEEIPSFCEVFLENREIMEQADNFFTTLQLNKTKVTSLKQEYSELRQQVTNLQSEVDTNSLTVQEIDNQIAQLKSHRAQLTRLIENKKKDKEELTYNQKLVANSIPKVVHEVQLANARKPEWEIKKENADKREAEILAKFAPLKGFSL >KJB28318 pep chromosome:Graimondii2_0_v6:5:4171182:4174228:1 gene:B456_005G043500 transcript:KJB28318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSIPSPPRMGTPPHMPTASSIHETRSDMDSPLHIDLHQDLFPDYYGGPASIPSIPKEEPSRNDFPNGDNGFPPVIKENDFPTPPVPPCTSGEGLPYAPIDWPNPGDVWSWRVGRRVNNAGFYSDRFINVPKSLRFPNSPKMFASKPTLERFILSHFPTADVNAFFASFVWKIPATLEPPAKVTPVAVGIEDAKKESNLEDAKIESNKKTPRSSQRKRNPPPKPLTPRNGQKKQKTSKGSGSASAKGSASATPKRQPTRQRVKTPAPPPLETEDRIGGLELSFLDDETTRAEFDNYLNALDDMLTQPLPEEPYSHPVLYNYFAAESEMAEARMKLSSFLDMDFPSLICFKDLDELTSLASKLRKDPTLTAEQLVKLKLIEEIPSFCEVFLENREIMEQADNFFTTLQLNKTKVTSLKQEYSELRQQVTNLQSEVDTNSLTVQEIDNQIAQLKSHRAQLTRLIENKKKDKEELTYNQKLVANSIPKVVHEVQLANARKPEWEIKKENADKREAEILAKFAPLKGFSL >KJB28317 pep chromosome:Graimondii2_0_v6:5:4171102:4174228:1 gene:B456_005G043500 transcript:KJB28317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSIPSPPRMGTPPHMPTASSIHETRSDMDSPLHIDLHQDLFPDYYGGPASIPSIPKEEPSRNDFPNGDNGFPPVIKENDFPTPPVPPCTSGEGLPYAPIDWPNPGDVWSWRVGRRVNNAGFYSDRFINVPKSLRFPNSPKMFASKPTLERFILSHFPTADVNAFFASFVWKIPATLEPPAKVTPVAVGIEDAKKESNLEDAKIESNKKTPRSSQRKRNPPPKPLTPRNGQKKQKTSKGSGSASAKGSASATPKRQPTRQRVKTPAPPPLETEDRIGGLELSFLDDETTRAEFDNYLNALDDMLTQPLPEEPYSHPVLYNYFAAESEMAEARMKLSSFLDMDFPSLICFKDLDELTSLASKLRKDPTLTAEQLVKLKLIEEIPSFCEVFLENREIMEQADNFFTTLQLNKTKVTSLKQEYSELRQQVTNLQSEVDTNSLTVQEIDNQIAQLKSHRAQLTRLIENKKKDKEELTYNQKLVANSIPKVVHEVQLANARKPEWEIKKENADKREAEILAKFAPLKGFSL >KJB28323 pep chromosome:Graimondii2_0_v6:5:4171182:4174228:1 gene:B456_005G043500 transcript:KJB28323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSIPSPPRMGTPPHMPTASSIHETRSDMDSPLHIDLHQDLFPDYYGGPASIPSIPKEEPSRNDFPNGDNGFPPVIKENDFPTPPVPPCTSGEGLPYAPIDWPNPGDVWSWRVGRRVNNAGFYSDRFINVPKNRIGGLELSFLDDETTRAEFDNYLNALDDMLTQPLPEEPYSHPVLYNYFAAESEMAEARMKLSSFLDMDFPSLICFKDLDELTSLASKLRKDPTLTAEQLVKLKLIEEIPSFCEVFLENREIMEQADNFFTTLQLNKTKVTSLKQEYSELRQQVTNLQSEVDTNSLTVQEIDNQIAQLKSHRAQLTRLIENKKKDKEELTYNQKLVANSIPKVVHEVQLANARKPEWEIKKENADKREAEILAKFAPLKGFSL >KJB29304 pep chromosome:Graimondii2_0_v6:5:12887286:12890150:-1 gene:B456_005G093200 transcript:KJB29304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSSHTTSLSIRSFQFCSNNSVTFTNMKLPTLSVLYLIHLTSLLLILAESTQPPFSCDISDPRTKSYPFCKTTLPITQRVQDLVSRLTLDEKISQLVNSVSSIPRLGIPAYQWWSEALHGVAYSLRVTQGIRFNGTIQSATSFPQVILTAASFDAHLWYRIAQAIGIEARAIYNAGQAMGMTFWAPNINIYRDPRWGRGQETPGEDPLVSGKYAVSFVRGIQGDSFEGGKLGQHLQASACCKHFTAYDLDNWKGVKRYVFNAKVSLQDLADTYQPPFQSCIQQGKASGIMCAYNRVNGVPNCADYNLLSKTARGQWGFNGYITSDCDAVAIIHEDQGYAKLPEDAVADVLKAGMDVNCGTYLKKYTKSAVEKRKLPVSKIDRALHNLFSVRIRLGLFDGNPVKQPYGTIGSDKVCSQEHRNLALEAARNGIVLLKNTDKLLPLSKTKTTSLAVIGPNANSAKTFVGNYAGPPCKPVTPLQGLQSYVKDTRFHQGCNAVNCSSAFIAQSVKIAKGTDHVVLVMGLDQTQESEDHDRVDLLLPPKQQNLISRIARVAKNPVILVLLSGGPVDISFAKNDQHIGSILWAGYPGEAGGRALAEIIFGDHNPGGRLPVTWYPQSYVNVPMTDMRMRPEPSSSYPGRTYRFYQGPKVFEFGYGLSYSNYTYEILPVTQNRVHIMVESSNPHRYLPVSEMGDEVCEKMKYTVKVRVKNHGAMAGKHPMLLFVGRPKMVNGRPVRQLVAFQSVNLNAGERADVEFELRPCEHLSSAKKDGSMVIEEGSYLLSIGDKESEIQVVK >KJB29303 pep chromosome:Graimondii2_0_v6:5:12887409:12890131:-1 gene:B456_005G093200 transcript:KJB29303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSSHTTSLSIRSFQFCSNNSVTFTNMKLPTLSVLYLIHLTSLLLILAESTQPPFSCDISDPRTKSYPFCKTTLPITQRVQDLVSRLTLDEKISQLVNSVSSIPRLGIPAYQWWSEALHGVAYSLRVTQGIRFNGTIQSATSFPQVILTAASFDAHLWYRIAQAIGIEARAIYNAGQAMGMTFWAPNINIYRDPRWGRGQETPGEDPLVSGKYAVSFVRGIQGDSFEGGKLGQHLQASACCKHFTAYDLDNWKGVKRYVFNAKVSLQDLADTYQPPFQSCIQQGKASGIMCAYNRVNGVPNCADYNLLSKTARGQWGFNGYITSDCDAVAIIHEDQGYAKLPEDAVADVLKAGMDVNCGTYLKKYTKSAVEKRKLPVSKIDRALHNLFSVRIRLGLFDGNPVKQPYGTIGSDKVCSQEHRNLALEAARNGIVLLKNTDKLLPLSKTKTTSLAVIGPNANSAKTFVGNYAGPPCKPVTPLQGLQSYVKDTRFHQGCNAVNCSSAFIAQSVKIAKGTDHVVLVMGLDQTQESEDHDRVDLLLPPKQQNLISRIARVAKNPVILVLLSGGPVDISFAKNDQHIGSILWAGYPGEAGGRALAEIIFGDHNPASNLYTGGRLPVTWYPQSYVNVPMTDMRMRPEPSSSYPGRTYRFYQGPKVFEFGYGLSYSNYTYEILPVTQNRVHIMVESSNPHRYLPVSEMGDEVCEKMKYTVKVRVKNHGAMAGKHPMLLFVGRPKMVNGRPVRQLVAFQSVNLNAGERADVEFELRPCEHLSSAKKDGSMVIEEGSYLLSIGDKESEIQVVK >KJB30560 pep chromosome:Graimondii2_0_v6:5:40805120:40807104:-1 gene:B456_005G148700 transcript:KJB30560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSFIFLIMAFLLLFTAQCRHLSTSIKQQVDIPKILFSSPEWSSIDEECKGLDEDACLVKRSLAAHTDYIYTQQNIVP >KJB30559 pep chromosome:Graimondii2_0_v6:5:40804096:40804680:1 gene:B456_005G148600 transcript:KJB30559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGELAGLHYLAPENPILNPANLGMMQNTIPAFHFNRFLNSLPNFNILPPAHEFTAQSSSISNYSTSDEAEEHQVNIIDERKQRRMISNRESARRSRMRKQKHLDELWSQVIRLRNENHSLIDKLNHVSECHDLVLQENAKLKEEASDLRQMLTDLKIGSPYLKELEEVPCNTAHLRAESTNQSIANSVDFLH >KJB32936 pep chromosome:Graimondii2_0_v6:5:64063040:64067484:-1 gene:B456_005G268800 transcript:KJB32936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSQVEIASSSPFGCVLRNHTRKERCREINVRAVLDLDTNFKGLMTHVATSTNERSNIGNHRNLHTTNTKNGEPSPISPKHSPLVLDRWVTRQAQDVTTDKHVVNEGAGQLLVPTNSNTASPMASNLSTPLENAMVKHNLGASSLVQIWEARLHRSYQGQSMGSDASRTNPGSSSNENNINASSVEEASTLDENIENRRNNDLDDSLIDCDTSAPPFCSSCDAGERERVRVADIIKRLKNGREDADDDERINTNVTDSQSRENKHSSTSDQGKAGRRYFLRIASSPRLRGRQAFYDLLMQIERDKNRELDSLLERRSVSKFSQRGRLQSMLRLRCLQRSLTIQDKCRPQGPGVHGTRFSHGSTMMQASLTKSREKFSTGAAQNDSATSRCLHRDQVSTQLDKSSTSKLQSEETHCQKASISGHQSICPVNRFTEYRNENLNEQAKPASYAIHQKETSLEVRCLETLKSADTTTPLASQSENQMAKKQGSNCQQNLFLDSQETAENVNPYSQNETAEERDNHQQHLSLGLEETTETSLNEIGEEQELEQDIDDKQQLHLDSQENAGKATFYSDNDGSEVTEELEDHYPQYFDQTNYDWFSDISRPRSYWEDLRKAWYQEVLNTTSKNEEIRQLLERGRVSTCLASDFRERMDRLMNSRVQIMQEDGGASQEEADDEDRMVQVKSYLQRHLHPAGDLGVEEEEEEMSIISHQSHEAHTYFNQSSSSIQMPSPSDLTRSWSSQDGKETGNYSDRGPSTFSPPSGVSEAQYYQDTRRSSCSIAPTSLEIELICDLRGHIEQLQREMGELRKSILSCMDLQMKFQQYSFNRELLHSGGREENKSTERVASPWKRCCCICLEMQVDSLLYSCGHMCTCLKCAHELQWSSGKCPKCTAPILDVVPIK >KJB29100 pep chromosome:Graimondii2_0_v6:5:10394167:10396803:1 gene:B456_005G084300 transcript:KJB29100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSTSQPEFDYLFKLLLIGDSGVGKSTLLLSFTSDTFEELNPTIGVDFKVKHVNIGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTDLSDVWAKEIDLYSTNQDCIKMLVGNKVDKESERVVSKKEGIDFAREYGCLFIECSAKTRVNVEQCFEELVLKVFTK >KJB29097 pep chromosome:Graimondii2_0_v6:5:10393900:10397397:1 gene:B456_005G084300 transcript:KJB29097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSTSQPEFDYLFKLLLIGDSGVGKSTLLLSFTSDTFEELNPTIGVDFKVKHVNIGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTDLSDVWAKEIDLYSTNQDCIKMLVGNKVDKESERVVSKKEGIDFAREYGCLFIECSAKTRVNVEQCFEELVLKILETPSLVAEGSSGVKKNIFKQNPPQSGAATSGCCSW >KJB29098 pep chromosome:Graimondii2_0_v6:5:10393963:10397402:1 gene:B456_005G084300 transcript:KJB29098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSTSQPEFDYLFKLLLIGDSGVGKSTLLLSFTSDTFEELNPTIGVDFKVKHVNIGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTDLSDVWAKEIDLYSTNQDCIKMLVGNKVDKESERVVSKKEGIDFAREYGCLFIECSAKTRVNVEQCFEELVLKILETPSLVAEGSSGVKKNIFKQNPPQSGAATSGCCSW >KJB29099 pep chromosome:Graimondii2_0_v6:5:10393977:10397297:1 gene:B456_005G084300 transcript:KJB29099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSTSQPEFDYLFKLLLIGDSGVGKSTLLLSFTSDTFEELNPTIGVDFKVKHVNIGGKKLKLAIWDTVYDVTRRDTFTDLSDVWAKEIDLYSTNQDCIKMLVGNKVDKESERVVSKKEGIDFAREYGCLFIECSAKTRVNVEQCFEELVLKILETPSLVAEGSSGVKKNIFKQNPPQSGAATSGCCSW >KJB29874 pep chromosome:Graimondii2_0_v6:5:25978364:25980261:1 gene:B456_005G121900 transcript:KJB29874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWRISICSLLLLLLSSSTMATASIYRPRKRPTSSLIQDKFIQCFIGSSHFYIPLSTAFFTPNNASFTSVLQSTAQNLRYLVPSMPKPEFIITPQHESQVQASVICAKRLDIHLRFRSGGHDYEGLSYVSQIESPFVIVDLSKLRSIKVDIQDNSAWVEAGATIGEVYYRIAEKSNIHGFPAGLCTSLGIGGHITGGAYGSMMRKFGLGADNVIDARIVDVNGRVLDRAAMGEDLFWAIRGGGGASFGIILEWKIKLVPVPATVTVFTVTKSLEQGATKLLYKWQTVADKLDEDLFIRVIIQTDNAGKNNAKTVTTSYNALFLGNAERLLRVMQQSFPELGLTEKDCTETSWIKSVLYIAGYSSNTPAEILLQGRSTFKNYFKAKSDFVKEAIPETALEGLWKRLLEEDSPLMIWNPYGGMMARISESQIPFPHRQGTKFKIQYLTLWQDEDNNASKHFDWIRRLYNYMAPYVSMFPRGAYVNYRDLDLGMNKNINTSFIEASLWGVRYFKDNFMRLVKVKSRVDPNNFFRHEQSIPPLPVQARY >KJB28919 pep chromosome:Graimondii2_0_v6:5:8652566:8652852:-1 gene:B456_005G075800 transcript:KJB28919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFDRKRTNYQNFELSKHILDEMVQTCLLSRGIPLPLCINRLFYLYIYGRGAHSILLQIWESQKFIKRS >KJB31764 pep chromosome:Graimondii2_0_v6:5:58782049:58786133:1 gene:B456_005G207600 transcript:KJB31764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSSSKRVFSLFFISNSANRMFFHSFSLCALFLYFCLDCCFARDTITFDNPLNSSKGPLISAGKKFALGFFTPTNGSSYVGIWYYNGLEPRIVWVANRDEPVSNSTTWVFGIGDDGNLMLSDKRNRQNLTALVGISSPSTRSLKLLDSGNLILSEGLDNFSTSVVWQSFHYPTDTFLPGMKINNLVLTSWKSLHDPASGRFSFRQDADRKDQYIITNNELLPYWKSGLSGTSAGDDEISPLVSLMLLNTSYSKTRVSCSMLYPKGNCIDRQTRNQSHDYNNTRLVMGSDGKLRFFTRDNQTDTWSLTWWEPRDRCSVFDACDKFGSCNNKNRVPCKCLPGFKPQSQENWKKGDFSEGCIREYPVCGQHRKEFLKLSMMKVQKPYSEFDVNDTDECRNKCLETCHCHAYSFAEKQTYLRGSEGNLTCSIWTDDLKNSQESYANGGIDLYLRVHLSGNATCRPCGTNIIPYPLSTGPSCGDQKYFKFNCHTENDTGLVSFNANGQTFRVSSINQETQSFSIQVENCTPGDSKEKLLRLPRLSPFFVNGCNVYFAQNNFSIDSVGENKWFYEVELRWKPPPEPICRSFKDCNDWPNSSCKVAHDGKKRCTCNPPFLWNSSKVSCSAATLQQRPGPLEKKTPAYLIILGITTAMLVILCVAFAWNHKRRRMPNRRGNLEFSLHGSARRVLDFINLDDFKEDNKEDIDVPYFNLESILVATDNFAEANKLGQGGFGPVYKGKLPRGQEIAIKRLSRGSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCVKGYEKMLIYEYMPNKSLDSFIFDRSRCVLLDWEKRFDIILGIARGLLYLHHDSRLRIIHRDLKTSNILLDEEMNPKISDFGLAKIFGGKQIEASTERVVGTYGYMSPEYALDGFFSIKSDVFSFGVVLLETISGKRNTGFYQAEQPLSLLGYAWKLWKEGRALDLAEQSLRQSCNAYEYIRCVNVGLLCVQEDPDDRPAMSNVLFMLGSETPSLPIPKRPAYVVRRSLLSSASSSGNQIWITELTTSTLEEGR >KJB31763 pep chromosome:Graimondii2_0_v6:5:58782049:58786133:1 gene:B456_005G207600 transcript:KJB31763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSSSKRVFSLFFISNSANRMFFHSFSLCALFLYFCLDCCFARDTITFDNPLNSSKGPLISAGKKFALGFFTPTNGSSYVGIWYYNGLEPRIVWVANRDEPVSNSTTWVFGIGDDGNLMLSDKRNRQNLTALVGISSPSTRSLKLLDSGNLILSEGLDNFSTSVVWQSFHYPTDTFLPGMKINNLVLTSWKSLHDPASGRFSFRQDADRKDQYIITNNELLPYWKSGLSGTSAGDDEISPLVSLMLLNTSYSKTRVSCSMLYPKGNCIDRQTRNQSHDYNNTRLVMGSDGKLRFFTRDNQTDTWSLTWWEPRDRCSVFDACDKFGSCNNKNRVPCKCLPGFKPQSQENWKKGDFSEGCIREYPVCGQHRKEFLKLSMMKVQKPYSEFDVNDTDECRNKCLETCHCHAYSFAEKQTYLRGSEGNLTCSIWTDDLKNSQESYANGGIDLYLRVHLSGNATCRPCGTNIIPYPLSTGPSCGDQKYFKFNCHTENDTGLVSFNANGQTFRVSSINQETQSFSIQVENCTPGDSKEKLLRLPRLSPFFVNGCNVYFAQNNFSIDSVGENKWFYEVELRWKPPPEPICRSFKDCNDWPNSSCKVAHDGKKRCTCNPPFLWNSSKVSCSAEATLQQRPGPLEKKTPAYLIILGITTAMLVILCVAFAWNHKRRRMPNRRGNLEFSLHGSARRVLDFINLDDFKEDNKEDIDVPYFNLESILVATDNFAEANKLGQGGFGPVYKGKLPRGQEIAIKRLSRGSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCVKGYEKMLIYEYMPNKSLDSFIFDRSRCVLLDWEKRFDIILGIARGLLYLHHDSRLRIIHRDLKTSNILLDEEMNPKISDFGLAKIFGGKQIEASTERVVGTYGYMSPEYALDGFFSIKSDVFSFGVVLLETISGKRNTGFYQAEQPLSLLGYAWKLWKEGRALDLAEQSLRQSCNAYEYIRCVNVGLLCVQEDPDDRPAMSNVLFMLGSETPSLPIPKRPAYVVRRSLLSSASSSGNQIWITELTTSTLEEGR >KJB30021 pep chromosome:Graimondii2_0_v6:5:29297751:29298230:-1 gene:B456_005G127700 transcript:KJB30021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGMERRNRRSHLREANSQAPNILFHPLLPVGQALDALSLQRTGNRRKFERSSLNTQVILEKTINPVELKRTLALILPEPRSTQTPPLAFQDISLRAQHPTSRKSRFQRINSLFFESR >KJB29108 pep chromosome:Graimondii2_0_v6:5:10425539:10428903:-1 gene:B456_005G084700 transcript:KJB29108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVCWPYFDPEYENLSVRINPPRVSVDNTSCSDCTLIKVDSVNKPGILLEVVQILSDLDFIITKAYVSSDGGWFMDVFHVTDQQGKKITDGKTIDYIERVLGPKGHTTDGMKDCPGKRVGVHSFGNHTAIELIGRDRPGLLSEISAVLANLHFNVTVAEVWTHNRRIACVLYVNDNTTSGSVDDPNRLSIMEEQLKHIMRGCEDDDNVARTSFSMGFTHIDRRLHQMLFADRDYEGGGVTTEVDYPPSFKPKITVERCEEKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHANISSNGPYASQEYFIRHMDGCTLDTEGEKERVVKCLEAAIHRRVSEGLSLELCAKDRVGLLSEVTRILRENGLSVRRAGVSTVGEKAVNVFYVRDAYGNPVDAKTIEALRKEIGQTMMLNVKKDPSSTKAREAETSGWAKTSFFFGNLLEKFLA >KJB29110 pep chromosome:Graimondii2_0_v6:5:10425526:10430135:-1 gene:B456_005G084700 transcript:KJB29110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVCWPYFDPEYENLSVRINPPRVSVDNTSCSDCTLIKVDSVNKPGILLEVVQILSDLDFIITKAYVSSDGGWFMDVFHVTDQQGKKITDGKTIDYIERVLGPKGHTTDGMKDCPGKRVGVHSFGNHTAIELIGRDRPGLLSEISAVLANLHFNVTVAEVWTHNRRIACVLYVNDNTTSGSVDDPNRLSIMEEQLKHIMRGCEDDDNVARTSFSMGFTHIDRRLHQMLFADRDYEGGGVTTEVDYPPSFKPKITVERCEEKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHANISSNGPYASQEYFIRHMDGCTLDTEGEKERVVKCLEAAIHRRVSEGLSLELCAKDRVGLLSEVTRILRENGLSVRRAGVSTVGEKAVNVFYVRDAYGNPVDAKTIEALRKEIGQTMMLNVKKDPSSTKAREAETSGWAKTSFFFGNLLEKFLA >KJB29109 pep chromosome:Graimondii2_0_v6:5:10425539:10429741:-1 gene:B456_005G084700 transcript:KJB29109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVCWPYFDPEYENLSVRINPPRVSVDNTSCSDCTLIKVDSVNKPGILLEVVQILSDLDFIITKAYVSSDGGWFMDVFHVTDQQGKKITDGKTIDYIERVLGPKGHTTDGMKDCPGKRVGVHSFGNHTAIELIGRDRPGLLSEISAVLANLHFNVTVAEVWTHNRRIACVLYVNDNTTSGSVDDPNRLSIMEEQLKHIMRGCEDDDNVARTSFSMGFTHIDRRLHQMLFADRDYEGGGVTTEVDYPPSFKPKITVERCEEKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHANISSNGPYASQEYFIRHMDGCTLDTEGEKERVVKCLEAAIHRRVSEGLSLELCAKDRVGLLSEVTRILRENGLSVRRAGVSTVGEKAVNVFYVRDAYGNPVDAKTIEALRKEIGQTMMLNVKKDPSSTKAREAETSGWAKTSFFFGNLLEKFLA >KJB30988 pep chromosome:Graimondii2_0_v6:5:49916349:49921626:1 gene:B456_005G171200 transcript:KJB30988 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MGVSGFIKGFNLLHRTKPRIRLHPYGYIQRRDFSDTKILNSNDSLLPVLIVGAGPVGLVLSVLLTKFGIKCTVLEKNKGFSKHPQAHFINNRTMEVFRKLDGLAEEIQRSQPPVDLWRKFIYCTSLTGSILGSVDHMHPQDFEKIVSPISVAHFSQYKLTRLLVKLLENLGFGIHTLEGPDSLDHEPLRGGEILMGYECVSFDTTDECVTATVSFSKEGKLLTRKIQSKILIGADGAGSMVRKLVGIDLKGEKDLQKLVSVHFWSKDLGRYLLNERPGMLFFIFNTEVIGVLVAHDLTQGEFVLQIPFYPPQQNLEDFSPEICKKLILKLVGQELSDIDVIDIKPWVMHAEVADRFVCGNNQIMLCGDAAHRFPPAGGFGMNTGIQDAHNLAWKIASLLNGVAPTSILATYETERKPIAVFNTALSVQNFRAAMAVPATLGLDPTVANSVHQVINKGFGYILPSDMQKAILDGIFSIGRSQLSEFILNENNPLGSSRLAKLRHIFEEGKSLQLQFPAEDLGFRYLEGALVPDGDDVVPAPELPTGRRRDYVPCADPGSRLPHMNVKVLSNFPSEETISTLDLVSENKVEFLLIIAPMEESYNLAQAAFKVAEEYKVSTKVCVLWPADTVTRVQPGSKAKLAPWKNYIDVIEVKRSLDSSSWWSTCHMTEQGAILVRPDEHIAWRSKSRAVGDLYSKMKIVFSTVLGFESMNS >KJB30996 pep chromosome:Graimondii2_0_v6:5:49926733:49936403:-1 gene:B456_005G171400 transcript:KJB30996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPALRYSPGRELRGENHKRWRSLESGLNVQEKDDDLALFNEMQSKERENFLLQSSDDAEDSFSTKLKYFSDFKLGISVPVRGETSELLDADEEKNDYEWLLTPPDTPLFPSLDDEPPPANVARSGRPRTQPISISRSSTMDKSYRSSRGSASPNRLSPSPRSGSSTLQSRGRPSSAPQSSPIRQATPTRRPSPSPSKSSTPARRSSTPTPQRTSTGGRGTSPIRTNRGNSTSPKIRAWQANIPGFSLDAPPNLRTSLADRPASYVRGSSPASRNGRDARFGRKSMSPTASRSASSSHSHDRDQFSLYSKGSVASSGDDDVDPIHSIPLGGSTHSVSRRVGAFPSNKGPTFNRKSARVLSPSSAPKRSFDSALRQMDHRKSPPNMFRPLLSSVPSTGFYAGKVSSVHRSLMSRNSSLTTSSNANSDQGTSAVLDIEGSDHQHDDMASESGKGPYGNVLEEVFAFDKMDVINQDASYERRNDSLNSLIEDADRDSAIRCDPDHSEELGHRSLEVEMSSNSDALCDRGDLSEVYSFENTKICSKCGCRYRVIEQVEEEISLCTDCSRQHDIVSGDISDAENCPGLSMKITEEDKPFPELETSIPPSDSLSQVTDAVEPWISQHEKNIKLSENFSQENSIGGSLTDRGEQRLGYQQEMAQSTVDHSILDRETGGQQLSYSDEHSSLKVNTSEVVGISVLLKRSGSSKGPVVLGRTFATIPSEDLSYARDSSNSFRSSVGHGSVSASSSTEFSSSRQTDARVQLNGRKSELDNYRYDLNAKPQSFTLSQSSSNNYQALSLASSTNDENFEGSIRNLKFEEAEETVVVSLAKTSENLEADLSLTTAAAPKNDCIEWNKSSTVIDTLTSELLEDNSAAPLPPNEDCVSYENGDSLPSNSRIASSVEALATTLEEHGMRNDIPDGVDAAEAAGHNSLTTISEIEIDNSCQSSCSSELDGLSPNSERKKKRSEDLSVAIPLDVDITAVEERNMSDHTDGVLEESTVLVECRGGSKGRSLTLEEATDTILFCSSIIHDLAYQAATIAIEKESSVPLEGSRPTVTILGNSTSDRKDLRGRTVGRWTSKSHKVRQRRVETDVKSVPTKAVNDENAYEPSIHNVGIPNKMDSMNPPKLESKCNCSIM >KJB30483 pep chromosome:Graimondii2_0_v6:5:39617194:39622002:1 gene:B456_005G146100 transcript:KJB30483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSHSPTGDRKKKMEQPPTSRRTRKSQAKSELYSTFVIHSGSESDSDSGKSKPKPQPSREPDLYATMVYKDVDEEGEDGSSIPPPFKCAPKLFGGGGGTPSDADDGSGDAGDLGTMIVKKDHVREARGGTPSSFNPPALAGVIPIRARGNKMDVEEEEDDEGEGFGTFVVRSTARNEREGSGTVVNRAVASTGELGFGKQKTSTSTTPLQGEESRFLQNNKVLSSSVPDCVIREDPSTKYELLNELGKGSYGAVYKARNIRTSELVAVKVISLSEGEEEYEEIRGEIEMLQQCSHSNVVRYFGSYPGEEYLWIVMEYCGGGSVADLMNDTEEPLEENQIAYICREALKGLDYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGISAIEMAEVLLLVLLLSL >KJB30484 pep chromosome:Graimondii2_0_v6:5:39617194:39624293:1 gene:B456_005G146100 transcript:KJB30484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSHSPTGDRKKKMEQPPTSRRTRKSQAKSELYSTFVIHSGSESDSDSGKSKPKPQPSREPDLYATMVYKDVDEEGEDGSSIPPPFKCAPKLFGGGGGTPSDADDGSGDAGDLGTMIVKKDHVREARGGTPSSFNPPALAGVIPIRARGNKMDVEEEEDDEGEGFGTFVVRSTARNEREGSGTVVNRAVASTGELGFGKQKTSTSTTPLQGEESRFLQNNKVLSSSVPDCVIREDPSTKYELLNELGKGSYGAVYKARNIRTSELVAVKVISLSEGEEEYEEIRGEIEMLQQCSHSNVVRYFGSYPGEEYLWIVMEYCGGGSVADLMNDTEEPLEENQIAYICREALKGLDYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGISAIEMAEGVPPRSAVHPMRVLFMISIEPAPMLEDKEKWFVFYSLFLKQYLF >KJB30482 pep chromosome:Graimondii2_0_v6:5:39616482:39624305:1 gene:B456_005G146100 transcript:KJB30482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYSSSAPTAICEFCVHCSELSDFFMHSHSPTGDRKKKMEQPPTSRRTRKSQAKSELYSTFVIHSGSESDSDSGKSKPKPQPSREPDLYATMVYKDVDEEGEDGSSIPPPFKCAPKLFGGGGGTPSDADDGSGDAGDLGTMIVKKDHVREARGGTPSSFNPPALAGVIPIRARGNKMDVEEEEDDEGEGFGTFVVRSTARNEREGSGTVVNRAVASTGELGFGKQKTSTSTTPLQGEESRFLQNNKVLSSSVPDCVIREDPSTKYELLNELGKGSYGAVYKARNIRTSELVAVKVISLSEGEEEYEEIRGEIEMLQQCSHSNVVRYFGSYPGEEYLWIVMEYCGGGSVADLMNDTEEPLEENQIAYICREALKGLDYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGISAIEMAEGVPPRSAVHPMRVLFMISIEPAPMLEDKEKWFVFYSLFLKQYLF >KJB28095 pep chromosome:Graimondii2_0_v6:5:2397233:2399446:-1 gene:B456_005G027400 transcript:KJB28095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKPENEELQAVKSKAKKDPINDLIFTMLQQNTNPESGIQQATKSLTCLNEQLFRITKAIHEKQFEERQAYNRLYDLNYKEKGFRSMWTNKGKQMAALKRDLDKLTFANGAYKERSINTQGKINSHNLCFRMHHETGNMVKEKKLLKEVNAGQKGLNDSGPSVVEEISDRIWRLRWDIRRNYFIPRPAIRVDEQQVLKEINELKWARDKAFANAPVKGKIWNSLPSKNVIKQQIKAMEEALNEEDRKQHMQIRGEIEVVKSEINGVKKSIASLKRQLLDVRRKKGEAYKVILKLIKTQNQPIS >KJB30403 pep chromosome:Graimondii2_0_v6:5:38481949:38484434:-1 gene:B456_005G141600 transcript:KJB30403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDRLVRPVPLQPVESEVGPSTEISGPSCSPKEKEIFRGGGEEEPLIQTAECRICQEEDSVENLETPCACSGSLKYAHRKCVQHWCNEKGDIICEICHQPYQPGYIAPSRPQTEETAIDIGGGWTISGTPVDLRDPRLLAIAEAERQILEAEYDEYAASNASGAAFCRSAALILMALLLLRHALTVPDADAEDDVSTFFSLFLLRAAGFLLPCYIMAWAISILQRQRQRQVINLYLYLNLKSIVCSSSRQCYRP >KJB30401 pep chromosome:Graimondii2_0_v6:5:38481229:38484775:-1 gene:B456_005G141600 transcript:KJB30401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDRLVRPVPLQPVESEVGPSTEISGPSCSPKEKEIFRGGGEEEPLIQTAECRICQEEDSVENLETPCACSGSLKYAHRKCVQHWCNEKGDIICEICHQPYQPGYIAPSRPQTEETAIDIGGGWTISGTPVDLRDPRLLAIAEAERQILEAEYDEYAASNASGAAFCRSAALILMALLLLRHALTVPDADAEDDVSTFFSLFLLRAAGFLLPCYIMAWAISILQRQRQRQEAAALAATQVAFVLQSGQRRGMHFTIASGPTMTTHHQESI >KJB30402 pep chromosome:Graimondii2_0_v6:5:38481225:38484881:-1 gene:B456_005G141600 transcript:KJB30402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDRLVRPVPLQPVESEVGPSTEISGPSCSPKEKEIFRGGGEEEPLIQTAECRICQEEDSVENLETPCACSGSLKYAHRKCVQHWCNEKGDIICEICHQPYQPGYIAPSRPQTEETAIDIGGGWTISGTPVDLRDPRLLAIAEAERQILEAEYDEYAASNASGAAFCRSAALILMALLLLRHALTVPDADAEDDVSTFFSLFLLRAAGFLLPCYIMAWAISILQRQRQRQEAAALAATQVAFVLQSGQRRGMHFTIASGPTMTTHHQESI >KJB28707 pep chromosome:Graimondii2_0_v6:5:6923535:6927301:-1 gene:B456_005G065600 transcript:KJB28707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRDIDDLPKNAANYTALTPLWFLERAATVHPSRKAVVHGSRTYTWLQTYQRCRRLASALAKRSVGAGSTVAVIAPNIPATYEAHFGIPMCGAVINPVNIRLNASTIAFLLGHSQSATVIVDQEFFTLAEDSLKIMKEKSQGHFNPPLLVVVADEACDPEALRYALGQGAIEYEKFLESGDPDFAWKPPQDEWQSIALGYTSGTTASPKGVVLHHRGAYLMSLCNPLVWGMNEGAIYLWTLPMFHCNGWCFTWALAALCGTNICLRQVTAKGVYSAIEKHGVTHFCAAPVVLNTIVNAAQEDTILALPHVVHVMTAGAAPPPAVLFAMSRKGFRVTHTYGLSETYGPSTICAWKPEWDSLPPDTQARLNARQGVRYTTLECLDVISTKTGEPVPADGKSMGEIVMRGNVVMKGYLKNPKANEETFANGWFHSGDLGVKHQDGYIEIKDRSKDIIISGGENISSVEVENSLYLHPAVLEASVVARADEQWGESPCAFVTLKPEVNKSDEQWLAEDIMKFCKSKMPAYWVPKSVVFGPLPKTATGKIQKHVLRGKAQQLGPVKVRCGFECAEAFSPSIKRLKRGYA >KJB28708 pep chromosome:Graimondii2_0_v6:5:6924329:6927359:-1 gene:B456_005G065600 transcript:KJB28708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRDIDDLPKNAANYTALTPLWFLERAATVHPSRKAVVHGSRTYTWLQTYQRCRRLASALAKRSVGAGSTVAVIAPNIPATYEAHFGIPMCGAVINPVNIRLNASTIAFLLGHSQSATVIVDQEFFTLAEDSLKIMKEKSQGHFNPPLLVVVADEACDPEALRYALGQGAIEYEKFLESGDPDFAWKPPQDEWQSIALGYTSGTTASPKGVVLHHRGAYLMSLCNPLVWGMNEGAIYLWTLPMFHCNGWCFTWALAALCGTNICLRQVTAKGVYSAIEKHGVTHFCAAPVVLNTIVNAAQEDTILALPHVVHVMTAGAAPPPAVLFAMSRKGFRVTHTYGLSETYGPSTICAWKPEWDSLPPDTQARLNARQGVRYTTLECLDVISTKTGEPVPADGKSMGEIVMRGNVVMKGYLKNPKANEETFANGWFHSGDLGVKHQDGYIEIKDRSKDIIISGGENISSVEVENSLYLHPAVLEASVVARADEQWGESPCAFVTLKPEVNKSDEQWLAEDIMKFCKSKMPAYWVPKSVVFGPLPKTATGKIQKHVLRGKAQQLGPVKVSKL >KJB31915 pep chromosome:Graimondii2_0_v6:5:59686674:59688001:1 gene:B456_005G214300 transcript:KJB31915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTATSGTCLIICSNGKSSLETKTSTMITLKSSSFPSRLTAVSIKVRTRVVSCQAVSTASVDKDEKDASISGSEEDPEAAKVGAKVRVKVPLKVYHVPRVPEVDLNGMEGVIKQFVGVWKGKRISANLPFKVEFVTEIEERGPVKFLAHLKEDELEFLD >KJB31916 pep chromosome:Graimondii2_0_v6:5:59686814:59687825:1 gene:B456_005G214300 transcript:KJB31916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTATSGTCLIICSNGKSSLETKTSTMITLKSSSFPSRLTAVSIKVRTRVVSCQAVSTASVDKDEKDASISGSEEDPEAAKVGAKVRVKVPLKVYHVPRVPEVDLNGMEGVIKQFVGVWKGKRISANLPFKVEFVTEIEERGPVKFLAHLKEDELEFLD >KJB32580 pep chromosome:Graimondii2_0_v6:5:62791184:62801500:-1 gene:B456_005G248200 transcript:KJB32580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEEEEPHHVPNVDSSVQLEDTPVSTATVSELENRNSESNFELESVSVLDEAGVSTEVSAEKEESEGKGVVGEAAAGEKGGEDLIGGEEKCEMLGGGVEVNASSSGVGNKEGDGGEDGYMAGGKADVVEDAAGVVVMCKMEDASEMANEILSAGGIEVSVAEHRAVELADEAGNLVEQKVADDVLERVDVPEEKQVADVAEERGIADAAEVDGATESVLAKEEETIVAVEVGDSTEQTVVMEETGIMNKREGADVSVKSEMMVEKEGEQSGVMEETGVDDMTEKTEFVEEPVVEGEMGTDMVEESGVLEEKSVLNVTEQTEDLEYVHAAREIGNEMAEPAEDSVMEEESEKEEDTEFGNDVEGVEQEEERAAEMGDTVEAMEAADDTEMPDTTEELELEAAEETEEAAEETEDTEEIEEDSKASGGKRKRGKKLSSKVPARAPPRKKVEEDVCFICFDGGNLVLCDRRGCPKAYHSACVGRDEAFFQSKGKWNCGWHLCSNCKKNAHYMCFTCTFSLCKGCIKEAVILCIRGNKGFCESCMNLVMLIEKDQQAQIDFDDRGSWEYLFKDYWIDLKSRLSITSDELAQAKNPWKGAAKQESPIELHGFNDAGGSDSDSSSGNVEVTVSKRRKTRSQSKARAREGDSPSTMATSAEGASADESAEWASKELLEVVMNMRNGDKSVLSRMELSQLILDYIQKYKLRDRRNKSYVICDMRLKNLFGKPRVGHIEMLNLLDPHIFFTKEDSQTDDLQGSVVDAEANQLEADWNSDALTKTGKDKKRKTRKKGDARGLQSNVDDYAAIDMHNISLIYLRRNLVEELLEDAETFHDKVVDSFVRIRISGAGQKQDLYRLVQVVGTSKVAEPYRVGKRTTDFLLDILNLNKTEAISIDIISNQEFTEDECKRLRQSIKCGLINRLTVGDIQEKAMTIQAVRVKDWVESEITRLSHLRDRASDLGRRKELRECVEKLQILKTPEELQRRLEEIPEIHVDPNMDPSYESEEEDEDDKKQDNYMRPRGSSFNRRGREPISPRKGGYSSTDSWSGGRNYSSMNRELSRNLSGKGFTSKGDDSIGASETGNENLWNLGREREAQQPNSWSKPKTALSSEIGTRNTQSVVIQEPSLKVASEISPAPPSTGVTTSVQVNETEKMWHYQDPSGKVQGPFSIVQLRKWSNTGYFPADLKIWKTNETQDDSILLTNALAGKFQKDPPVVDNSLPKAQMALYGNSLGASLKQGIESQVGERSRLDQHRVAWSPQRVLASPGQTDISSSTVRPAPSSLEIPKHSRDTWVSNTNLPSPTPNQNPIGGNKGQAFESKWSPTPGQSSGSLPVANPFRGGAVGLQPPTVVSESGSPAAPVVHSHTMVSSESHRRQVNVQASVNLGADLKNAGVSIQNLVQSLSSNNPPAETHGPGSVSVSRQEAVAVPSMPATGTQRWTNASTQKLEPNPSLAMPAQPAAYSHWNDASQAGQFPGVFQTPGQPNMVPSESWRPAVPVQSNVQLPAPPNLPWGMTVPDNQGATTLRQAPGNQNPGWGPIPGNQNMGWGALVPANTNMNWGPSSQGSASVNPNQNWAPPGQRQVPGNVNPGWSAPGNTIQGWTPPGQGPTGWVAPGQGAAPGNANPGYPTPSRNSSMWGGAGAEPNHNGDKFSDQRDRSSQGNESGFGGAKPWNRQSSFGSGGGGSSRPPFKGQRVCKFHENGHCKKGASCDYMHT >KJB27761 pep chromosome:Graimondii2_0_v6:5:584874:585834:1 gene:B456_005G008500 transcript:KJB27761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQLLELHSRMCNEPDSCKFPLCRHFKEKIEQQCKKDGTKWKLLVNKVIAAKNGSYICSPLGDIYMALPCIFSGMINASYKLQKFENGKII >KJB29353 pep chromosome:Graimondii2_0_v6:5:13949231:13949654:1 gene:B456_005G0962002 transcript:KJB29353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHIIYGLLNKFHKNKHKALKNGINLQSGARLIVKVPRSRVFKLLARFLTVLALTLFLLPWSGIRFIVNDEPALPVYTIKPEVVPEAADPINLESLLLLYNYLNNEGILKPGKKGLLLTDDYDEESIQGNSFLTKTDMEF >KJB28013 pep chromosome:Graimondii2_0_v6:5:1808495:1812530:1 gene:B456_005G021700 transcript:KJB28013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRMVFYFILQLPQLIQSASTFEAGEAGCKETCENVSIPYPFGIKRGCYQNSWFRVTCNKTINGTKPFISRINMELLPSYWLVEDNRVTVNNPVTYLNCDDKGNNGTTSSSSVNLQGSPFFLSEQNIFGSVGCGYLAIIFRNNQTDPIAACLQQRCEDHISSKLPGCLTMVPENLTSYTTALRPMTEIISPGEKESSKRCTSTFVGDSNEFSEISIDMTHVPATLEWNPVKCDLEASLCSMVRPNYALPYKTSCNERCGNVDIPFPFGIKVGCYKSEWFRVTCNKTADGEKPFISSINMQLLNVSFYEGTVLVNNSVIYSYCPGKDRENNEGSVNLTGTPFFFSHIFDRFMSIGCGNLATFLDSPTNDHRIGGCKLPPCENNMTSIVRCAVNIPPGLSSFVTNIRRIYPNNGSKSSCISSFIVDTRFLDSLEANSDHNATTTNRSGTYVPTTLQWGIPKRGLCELGEESGTLCSPDGRYCWTSLSQMHLCVCTPDTYNDYDYLSTDVCQEIGKCVDMKYRNCFIHCLNADGNDCSSSCPDRYKYLGHMCPPLNVLDSSEVPTKKSKRSQNLPVIIGCSTSIGTIVVLIGTWHMHKLIERRNNIKLKQKYFKRNGGLLLQQQLSNNKGNFEKIKLFASKELEKATDYYNENRILGRGGQGTVFKGMLTDGSIVAIKKSKMTEDKKLDENELKQFITEVMILSQINHRNVVKLLGCCLETKVPLLVYEFVPNGTLSQLLHVPNEEFPLTWEMRLRIAIEIANSLSYLHSAASVPIYHRDIKSSNILLDDKYRAKVSDFGTSRSVALEQTHVTTRVQGTFGYLDPEYFRSSQFTEKSDVYSFGVVLIELITGQKPVSSCQSEEVVRSLANFFLHSMKENSLLNIVDPLVMNDNAEEEIVAVAKLAKRCLNLNGKRRPTMKQVALELERIRSSEEANGMQQSADEDSDTDAMIEASGVDSFSTSGSVLKDSVTLK >KJB28242 pep chromosome:Graimondii2_0_v6:5:3469131:3471169:1 gene:B456_005G036600 transcript:KJB28242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQHFSHPHPLVSFKYQTVAREEVDTEAALCLGCEKPVEGWSYGCNQCEFYLHKGCAELELAPKIQHPFHPKHPLTLLPKSPYPGGITVCDLCGKNFEGFVYNCNDCIFDLHINCALLQSSIAANFPNSLHHHPLHFIQNHNEEVERDCSGCQKPLSGPIYHCLDCSYPTFFTLHKECVELPLEINHPCDRKHPLTLLPQRPAHPEKCSCYLCKIQWSGFVYSCSLCNFELSVDYIVSPPTITNASHEHPWMLISKKMSFICDFCGIVGDHSPYLCATCNLAVHKNCISLPCNIRITRHCHVICFSYSFQQNQVEDCMCRICYTEVDTSYGWYCCSASGCDYIAHAHCATNKSIWDGTIIKEGYDERHGPSNLITDVIEQISIEEILVASKIKHSYHHHNLRLTFSGEIKDDSQCDGCMRPISNPFYSCEQCKFFLHQDCAELPKEMPHPFHKHVLTLSNLHDWFGYSVCGACCRMYQGFRYRCYKGDCYFEIDIQCMLLSNTLSHEHSLFLVHNNGEGVARCDFSLDVGCATLPLTAWYKYDRHALTLTYSDDSEPSQLYCDLCEKEREPNRWFYYCADCDNSLHLNCAIGDLPYVKLGNKLKFYRHEHPFTIVKNIWNCPPCMVCGEVCNGQALECKESECNFTVHWDCHWYLQESSE >KJB27901 pep chromosome:Graimondii2_0_v6:5:1127472:1132133:1 gene:B456_005G015500 transcript:KJB27901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMLSKASSAMASFPCSRVRSGLCVWPGLRQFCIRKGLEYGLRLLSMPLKTLRGASRSLRVAEFCSVTNVSSSLQIELVPCLRDNYAYLLHDVDTGTVGVVDPSIAMPIIDALSRKNWNLTYILNTHHHHDHTGGNAELKARYGAKVIGSGIDKDRIPGIDIVLNDGDKWMFAGHEVHVIETPGHTRGHISFYFPSSAAIFTGDTLFSLSCGKLFEGTPEQMLSSLQRIMSLPDDTNIYCGHEYTLSNSKFALSIEPKNEALQSYAAHVTHLHNKGLPTIPTTLKKEKACNPFLRTMSSEIRQALNIPADANAAEALGVIRQAKDNF >KJB27902 pep chromosome:Graimondii2_0_v6:5:1127721:1132133:1 gene:B456_005G015500 transcript:KJB27902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKTLRGASRSLRVAEFCSVTNVSSSLQIELVPCLRDNYAYLLHDVDTGTVGVVDPSIAMPIIDALSRKNWNLTYILNTHHHHDHTGGNAELKARYGAKVIGSGIDKDRIPGIDIVLNDGDKWMFAGHEVHVIETPGHTRGHISFYFPSSAAIFTGDTLFSLSCGKLFEGTPEQMLSSLQRIMSLPDDTNIYCGHEYTLSNSKFALSIEPKNEALQSYAAHVTHLHNKGLPTIPTTLKKEKACNPFLRTMSSEIRQALNIPADANAAEALGVIRQAKDNF >KJB32095 pep chromosome:Graimondii2_0_v6:5:60571977:60574020:-1 gene:B456_005G223600 transcript:KJB32095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVEYGICWWWPRVSTQHLGPKWNPESSSDDVCLEHVYREANDVADPLSNNAKTRELGYHFFNEPPEKS >KJB27781 pep chromosome:Graimondii2_0_v6:5:639043:641844:-1 gene:B456_005G009200 transcript:KJB27781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRTFNSLQFQKSHLQRSYPSSFHRFQTSATSSHAKKSNPFLSLAQDPNPTSFSVPYYSKLLSKCNASKTLYPGMQIHAHALKFGSTNDPKSRNFLISLYAKRKLFGYARKLVDESPEPDLVSWSALISGYAQNGLARDAIWAFHEMHLLGLKCNEFTFPSVLKACAFTKDLELGRQVHGIVVVNGFESDEYVGNSLVVLYSKCGKFGDSRRLFEDIPERSVVSWNALFSCYVQSDYFGEAVELFREMVLSGIRPNEFSLSSMINACTGLEDSGEGRKIHGFLIKLGYDSDPFSKNALVDMYAKIGNLEDAVVVFEEIREPDIVSWNALIAGCVLHDKHDSALEFFGQMRLSGTHSNMFTLSSALKACAGIGLKELGRQLHCNLIKLNVGSDPFVHVGLIDMYSKSGLMNDARMVFNLMPDKDLIAWNAVISAHSQNGEDMEALLLFPLMHEAGVGFNQTTLSTVLKSVASLQVNYVCKQIHALSAKSGFESDRYVVNSLIDAYGKCALLEDATRIFRECLIVDLVGFTSMITAYSQSGQGEEALKLYLEMLDRGIEPDPYVCSSLLNACANLSAYEQGKQVHVHVLKHGFMYDNFAGNSLVNMYAKCGSIDDAERAFSSIPERGIVSWSAMIGGLAQHGHGKEALRVFNQMLKYGVSPNQITLVSVLCACNHAGLVTEAQNYFRSMKELFGFERMQEHYACMIDLLGRAGRLDEAMELVNTMPFQADGSVWGALLGAARIHKNVEIGQRAAEMLLILEPEKSGTHVLLANIYASVGMWNNVAKMRRLMKDCNVKKEPGVSWIEVKDKIYTFIAGDRSHVQSEEIYAKLDELSERLSKAGYIPKVEFDLHDVERDEKEKLLYHHSEKLAVAFGLIATPAGAPIRVKKNLRVCMDCHTAFKFISKIVSREIILRDINRYHHFKDGSCSCGDYW >KJB31535 pep chromosome:Graimondii2_0_v6:5:56632299:56634790:-1 gene:B456_005G195100 transcript:KJB31535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMADADHHSLAVAFGVLGNIISVLVYLAPLPTFYRIYKKKSTESFQSLPYQVALFSSMLWLYYALMKKGAFLLITINSFGCVVETIYIAMYIAYATKNSRVSAIKLFVAMNVALFSFIIILTHFLVKGSIRVQVLGWICVAISVSVFAAPLNIVARVIRTKSVEFMPFNLSFFLTLSAVMWFAYGLFMKDLCVALPNVIGFVLGMLQMLLYAIYRNSEKVIEEKKIPEQMKGVVVLSTLGPSEVYPVGVDIEPDVKPKEDTTENEQTGEPDKNDVKCLEDSSECPV >KJB31969 pep chromosome:Graimondii2_0_v6:5:59914276:59918305:1 gene:B456_005G216300 transcript:KJB31969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRIKAVVDKFVEELKEALEADMHDREMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >KJB29235 pep chromosome:Graimondii2_0_v6:5:12224099:12226989:-1 gene:B456_005G090600 transcript:KJB29235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAECTWTHFEMLRPLCFKSPSTTPLFSNFSKHFQKGFSDSSFFRSNRRISNYPSFMATISSLSTHKDPVSTHDATPNITHQPVQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEGAIQAIKDGKNQYARGYGVPDFNNAIAARFKKDTGLVIDPEKEVTVTSGCTEAIAATMLGLINPGDEVILFAPFYDSYEATLSMAGAKVKCITLCPPDFAVPIDELKSTISKNTRAILINTPHNPTGKMFTREELNTIASLCIENDVLVFTDEVYDKLAFEMDHISMASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATSTPMQYAATVALQAPDSYFAELKRDYMAKKAILVQGLKDVGFKVFPSSGTYFVVVDHTPFGLENDIAFCEYLIKEVGVVAIPTSVFYLNPEDGKNLVRFTFCKDEETLRSAVERMKEKLKKK >KJB29236 pep chromosome:Graimondii2_0_v6:5:12224196:12226885:-1 gene:B456_005G090600 transcript:KJB29236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAECTWTHFEMLRPLCFKSPSTTPLFSNFSKHFQKGFSDSSFFRSNRRISNYPSFMATISSLSTHKDPVSTHDATPNITHQPVQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEGAIQAIKDGKNQYARGYGVPDFNNAIAARFKKDTGLVIDPEKEVTVTSGCTEAIAATMLGLINPGDEVILFAPFYDSYEATLSMAGAKVKCITLCPPDFAVPIDELKSTISKNTRAILINTPHNPTGKMFTREELNTIASLCIENDVLVFTDEVYDKLAFEMDHISMASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATSTPMQYAATVALQAPDSYFAELKRDYMAKKAILVQGLKDVGFKVFPSSGTYFVVVDHTPFGLENDIAFCEYLIKEVGVVAIPTSVFYLNPEDGKNLVRFTFCKDEETLRSAVERMKEKLKKK >KJB27609 pep chromosome:Graimondii2_0_v6:5:104513:106731:-1 gene:B456_005G001900 transcript:KJB27609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRKIGMSKWKQGKRRSSRLSGVLDACKAQQSQKMASHESIIKGPYDDKAAPSTGYTLIQDQPSSMPKKPILERILDILQRRDTYEIFAEPVDPEKVEGYYEIIKEPMDFGTMRAKLHEGMYTSLQQFEHDVYLIPQNAMHFNSPTTIYFKQARAIYELAQKAFSCLKNDPKNLESEFSETKRRTNRRIMYEAKAPSSSKLTTNLRSNIKTNLSSKSMSCFLGNSGGFDSRDYGIHSGAINCKRNSYVEGDKRCTYAPWTSLLTENASIVSTDSTPLVPVNQQDIGYKDSLMLFVKDLGPTAQMVAKRKLMGCWVGALFQQPECEYPNAFGSTQKGLPRATVNITDHLHSHRGPTNYKGQMRGDDDPVDRLNEEQLESCQLKLSIGGGLESRCYSKEAKVETSSAPPTQIEENRLDLRSRKDEVEARKGFIFDLPFLKKRLREINSLGN >KJB31113 pep chromosome:Graimondii2_0_v6:5:51886128:51886634:1 gene:B456_005G177000 transcript:KJB31113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHSFKKVVIGLIFSMTLLPSSSSSSAPCNLPAIFNFGDSNSDTGGLSAAFGQTPAPNGETYFHAPAGRYCDGRLLIDFIEIRINSMKSLT >KJB27812 pep chromosome:Graimondii2_0_v6:5:772869:775462:1 gene:B456_005G011200 transcript:KJB27812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEPTSIKGSVTPPTSIDSENSGVGASSQTKGTTGKRKATPQRFDQETCRKGLAQMIVIDELPFKFVESEGFKKFMFVACSRFHIPSRTTMIRDVYQLYLDERVKIKQLLRSSCSRVCLTTDTWTSLQRVNYLCITAHFIDNDWKLNKKILNFCPISSHKGEYIGMLFTVTVDNANSNDVAIGYLRKKFNPRGGLVQNGKYLRMRCMAHIVNLIVVEGLKEMNKSVERVRGAVRYVRQSPARLQKFKECVVVEKIECKKTLCLDVCTRWNSTYLMLDTAQNFERAFERFEEQDTNFRAELERGEGWPSLDDWNNVINLRDFLEHFYEFTLRISGTSYVTSNNFLDELSEIDILLRDAQLNSNVAFNVMAIKMKEKYDKYWGGIDKINLLMFVACVLDPRQKLKYLEFVLSEMSSSEKACEMMQKLKESLYELFDEYKPPLHSTCSQSSVPTHVSLGESQQKMKRRIQALYKKRELEICGEDKTSELDKYLAEANEGFVEDFDILLWWKVNSPRFPTLSKMARDLLAIPVSTVASESSLTPKIVQALVCTQDWIRKSSSQEDIKKIEERIQELDKIENDIFICFILILIFNQK >KJB28864 pep chromosome:Graimondii2_0_v6:5:8141552:8142054:-1 gene:B456_005G074100 transcript:KJB28864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAGYTKQRKSHSSFSIFSIFASCCSNGADDMSEDGMYGRRIWRSDEDGRRWTAEPGIDRKASAFIDRFRTRVSDPERQTLSV >KJB30441 pep chromosome:Graimondii2_0_v6:5:39074836:39077254:-1 gene:B456_005G144100 transcript:KJB30441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSWNHDSNYITEQVVGVAAAAYVITSIAETSSIKDKNRKEGLTFSTWKPGIVSEQFSGEGSKKVPDSADIKVPVPNAKDEKATRRGSSFRRPAIFTDNVGSSSRTEPGSSRKPDTLSSQPERAAPKPERATSKPDHHAIKPGTPATRPELPPTPKPVPPVVEPKRQHTVRPGSDQAKADAWEKAEMAKIKDRYVKLNNTILEWEEKKKKKARSKLDKAEKSESEKKRARALTKFRNEMDYIKQVADGARAQANARQRNDELKAKEKANIIRTTGELPMTCFCC >KJB30442 pep chromosome:Graimondii2_0_v6:5:39074882:39076831:-1 gene:B456_005G144100 transcript:KJB30442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSWNHDSNYITEQVVGVAAAAYVITSIAETSSIKDKNRKEGLTFSTWKPGIVSEQFSGEGSKKVPDSADIKVPVPNAKDEKATRRGSSFRRPAIFTDNVGSSSRTEPGSSRKPDTLSSQPERAAPKPERATSKPDHHAIKPGTPATRPELPPTPKPVPPVVEPKRQHTVRPGSDQAKADAWEKAEMAKIKDRYVKLNNTILEWEEKKKKKARSKLDKAELNSSSRRVNRRKREQEP >KJB28151 pep chromosome:Graimondii2_0_v6:5:2736214:2738482:-1 gene:B456_005G030600 transcript:KJB28151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHCPILPSFFLIFLSFFCYTSYAYTFTVTNNCPYTIWPGTLSGAGTPQLSTTGFQLDSGQSVRIPSVPAGWSGRIWGRTGCLFDAAGVGLCQTGDCGGKLACNGNGATPPASLFEITFGVGNLQDFYDVSFVDGYNLPLVAAPRGVYGACNATGCAYDLNMGCPKELQVVGGDGEGSGRVVGCKSACEAFRQDQYCCSGEFANPTTCRPSFYSSVFKKACPKAYSYAYDDASSTFTCKALDYLIIFCPNSQSHRANRTNGGFTPPSNDDGTEGKVQIVSNSSNLPFPFPILILLLLVNLFF >KJB32167 pep chromosome:Graimondii2_0_v6:5:61023520:61025814:1 gene:B456_005G227200 transcript:KJB32167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCCRQLMGEELSGLSINDLQNLENQLEMSLKGVRMKKDQILTDEVKELNNKGHLIHQENLELHKKLDLMYQENTELQKKAYGTRQANEASRSSPPNYTFNNGYDLRAPVHLQLSQPLPQKNDAPEKPMKLG >KJB32166 pep chromosome:Graimondii2_0_v6:5:61016329:61025043:1 gene:B456_005G227200 transcript:KJB32166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVIERYNRTKEENHHQMNPASEVKFWQREVASLRQQLQYLQEYHRQLMGEELSGLSINDLQNLENQLEMSLKGVRMKKDQILTDEVKELNNKGHLIHQENLELHKKLDLMYQENTELQKKAYGTRQANEASRSSPPNYTFNNGYDLRAPVHLQLSQPLPQKNDAPEKPMKLGLQLH >KJB32213 pep chromosome:Graimondii2_0_v6:5:61240022:61241359:-1 gene:B456_005G229800 transcript:KJB32213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMKVEVVSRKTVKPSIPTPHHLRTFNLSVLDQDVLALHYGSVMFFYPSDGDVSNVSQKSESLKNSLSKILLYFYPLAGQLKDAVTIECNDEGACFIEAKSGCQLKDLLADPDTELLKSLVPSIDPKAIRSTLACNLLVQLTSFTCGGTAVAVSVSQKFADTSSFCTFIRSWTAMSGHEYGRVELPKLVGASLLPPLDTTLISIPPPTTRNCTSKRFLFHGPQITNLKLKVAAAMGHQQHNITDAEIVLALILKCAAAAAYSHGSSSRSRQSALLNVVNLRKRMVPPLPGNTIGNLILKYAVMFDEDDVELHQLVSKMKNEFTNVCNEKVKGIKSKKGYKEIRESRKQIAQLLNGKVKDINSTYTCTNLCGYPFHEMDFGWGKPIWVTSPSNFKNMIVLLDSKWGGIEAWVTLDEVEMAMFERNNELLAVASLNPSALINYSRI >KJB32063 pep chromosome:Graimondii2_0_v6:5:60370786:60375756:-1 gene:B456_005G221100 transcript:KJB32063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTLNLIKTNASNPKVWIVAGITVAGIIVVAETRRRRKKAMIIKREDFGAFMERFELIPFPQPPPPAAKLPLLGLTFAIKDIFDVKGHATGFGNPDWQRTHEVADKTAVVVTALLKNGAKCVGKTVMDELAFGITGENKHYGTPTNPNMPSNVPGGSSSGSAVAVAAELVDFALGTDTIGCVRVPASFCGILGFRPSHGAVSTIGVLPNSQSLDAIAVEPRRGRHLVFADDLFQLSKVPKQKTVHVISKAVEKLSGYQLPKHVNFCQFIASNVPSLKGFFQHSTNLQNGISALQALSSAMVSLQRYEFKTNHEEWLKDVKPRLGPEVSRCVLAAINNTYENVKSLYKVRTEMRAATQSLLKDDGILVIPTIADPPLKLKKGSSTEFHDRACALLSVASMSGCCQVSVPLGEHDGCPISVSFVTYHGADKFLLDTVLDMYASLQEQVSMASNSAPLPDLNGNMDASELLKEKGNAAFKGKQWNKAVNYYTEAIKLNGTNATYYNNRAAAYLELGCFQQAEEDCNKAISLDKKNVKAYLRRGTARDSLLCYKEALEDFKHALVLEPQNKVANLAERRLRKLVS >KJB32064 pep chromosome:Graimondii2_0_v6:5:60372543:60375699:-1 gene:B456_005G221100 transcript:KJB32064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTLNLIKTNASNPKVWIVAGITVAGIIVVAETRRRRKKAMIIKREDFGAFMERFELIPFPQPPPPAAKLPLLGLTFAIKDIFDVKGHATGFGNPDWQRTHEVADKTAVVVTALLKNGAKCVGKTVMDELAFGITGENKHYGTPTNPNMPSNVPGGSSSGSAVAVAAELVDFALGTDTIGCVRVPASFCGILGFRPSHGAVSTIGVLPNSQSLDAIGWFARDPSILHRVGNVLLQLKAVEPRRGRHLVFADDLFQLSKVPKQKTVHVISKAVEKLSGYQLPKHVNFCQFIASNVPSLKGFFQHSTNLQNGISALQALSSAMVSLQRYEFKTNHEEWLKDVKPRLGPEVSRCVLAAINNTYENVKSLYKVRTEMRAATQSLLKDDGILVIPTIADPPLKLKKGSSTEFHDRACALLSVASMSGCCQVAFAVTRLKFSILYVVCNLDIRHLFNLAISSQVSVPLGEHDGCPISVSFVTYHGADKFLLDTVLDMYASLQEQVSMASNSAPLPDLNGNMDASELLKEKVFPPLTSPNI >KJB32062 pep chromosome:Graimondii2_0_v6:5:60370765:60375843:-1 gene:B456_005G221100 transcript:KJB32062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTLNLIKTNASNPKVWIVAGITVAGIIVVAETRRRRKKAMIIKREDFGAFMERFELIPFPQPPPPAAKLPLLGLTFAIKDIFDVKGHATGFGNPDWQRTHEVADKTAVVVTALLKNGAKCVGKTVMDELAFGITGENKHYGTPTNPNMPSNVPGGSSSGSAVAVAAELVDFALGTDTIGCVRVPASFCGILGFRPSHGAVSTIGVLPNSQSLDAIGWFARDPSILHRVGNVLLQLKAVEPRRGRHLVFADDLFQLSKVPKQKTVHVISKAVEKLSGYQLPKHVNFCQFIASNVPSLKGFFQHSTNLQNGISALQALSSAMVSLQRYEFKTNHEEWLKDVKPRLGPEVSRCVLAAINNTYENVKSLYKVRTEMRAATQSLLKDDGILVIPTIADPPLKLKKGSSTEFHDRACALLSVASMSGCCQVSVPLGEHDGCPISVSFVTYHGADKFLLDTVLDMYASLQEQVSMASNSAPLPDLNGNMDASELLKEKGNAAFKGKQWNKAVNYYTEAIKLNGTNATYYNNRAAAYLELGCFQQAEEDCNKAISLDKKNVKAYLRRGTARDSLLCYKEALEDFKHALVLEPQNKVANLAERRLRKLVS >KJB32065 pep chromosome:Graimondii2_0_v6:5:60372276:60375756:-1 gene:B456_005G221100 transcript:KJB32065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTLNLIKTNASNPKVWIVAGITVAGIIVVAETRRRRKKAMIIKREDFGAFMERFELIPFPQPPPPAAKLPLLGLTFAIKDIFDVKGHATGFGNPDWQRTHEVADKTAVVVTALLKNGAKCVGKTVMDELAFGITGENKHYGTPTNPNMPSNVPGGSSSGSAVAVAAELVDFALGTDTIGCVRVPASFCGILGFRPSHGAVSTIGVLPNSQSLDAIGWFARDPSILHRVGNVLLQLKAVEPRRGRHLVFADDLFQLSKVPKQKTVHVISKAVEKLSGYQLPKHVNFCQFIASNVPSLKGFFQHSTNLQNGISALQALSSAMVSLQRYEFKTNHEEWLKDVKPRLGPEVSRCVLAAINNTYENVKSLYKVRTEMRAATQSLLKDDGILVIPTIADPPLKLKKGSSTEFHDRACALLSVASMSGCCQVSVPLGEHDGCPISVSFVTYHGADKFLLDTVLDMYASLQEQVSMASNSAPLPDLNGNMDASELLKEKVFPPLTSPNI >KJB32334 pep chromosome:Graimondii2_0_v6:5:61806100:61807778:1 gene:B456_005G236100 transcript:KJB32334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLFLSSSFLLFSIIFHPFSVHHVASDHDPVIRGNRETLEIANGGGGKFKTKSGCFRNQRLAKTHDVIQTFKSKILVDDNSKKYTETWRGTEICNYKGFTCDIRPDVNETAVASVDFNGAKFAGPNGYLPLDGFIDKLDDLAIFHANSNNFTGTIPYEVSKIKYLYELDLSNNQLSGDFPMNVLKATNLTFLDLRFNSIKGLVPQQVFNLDLDVLFINNNNFEQRLPENLGDTPVLYLTFANNKFTGPIPTSIGKAPNLLEVLFLNNKLTGCLPYEIGNLSQATVFDVGSNKLTGPIPYSFGCLKKIELLNLACNEFYGEVPEIVCQLKNLQNLSLSYNYFTQVGPACRDLIMKKKLDVKNNCILDLPFQRSEAECTAFFSRNLYCDRKDSFKWVPCMKGGYNKYKHSSPESTTSSSSPSVRTYSTLIPHRL >KJB32101 pep chromosome:Graimondii2_0_v6:5:60593988:60597807:-1 gene:B456_005G224000 transcript:KJB32101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMFTKGWSVSYMLQNRIETLFHPYKTLGKSSGDKGKLPGFAVNNNNQGLRFISNNMGFWRKVDTNISAMKDVRENDHSPAGAGSGLPWCSFSRWARCLLGAVLGFFFPLWKVQCANLKRFEGEAEMVVEGVETVAEVVEKVATAAEKVSAEVAEKLPDDSMLKIAAMEVEHVSEITAHDAHATTHFIHQVEEIKHELDDLVNKVGEARTPKN >KJB32102 pep chromosome:Graimondii2_0_v6:5:60594820:60597915:-1 gene:B456_005G224000 transcript:KJB32102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMFTKGWSVSYMLQNRIETLFHPYKTLGKSSGDKGKLPGFAVNNNNQGLRFISNNMGFWRKVDTNISAMKDVRENDHSPAGAGSGLPWCSFSRWARCLLGAVLGFFFPLWKVQCANLKRFEGEAEMVVEGVETVAEVVEKVATAAEKVSAEVAEKLPDDSMLKIAAMEVEHVSEITAHDAHATTHFIHQVEEIKHELDDLVNKVGEARTPKN >KJB29811 pep chromosome:Graimondii2_0_v6:5:24942152:24952822:-1 gene:B456_005G119600 transcript:KJB29811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 6 [Source:Projected from Arabidopsis thaliana (AT5G49820) UniProtKB/Swiss-Prot;Acc:Q93YU2] MKQSPNSTSTSAAQTLTSQESRLLVRETLRISASLASNAGLSSSTGIHEGAAIPLMDENRRMGLVGDEFRDSSLKLICCEEIDGRRWKYVAEKDSFGNFKNNSIRTVSLHTPQAPLDEMMSFVRSYVVPEGFPESVTPSYVPYMTWRALKHFFGGAMGVFTTQTLLNSVGISRNSATPGAVAINWILKLRFAGDLLMELGAGVELATASVPHLFLPLACAANVLKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNIADLLGTGLSILISKKNPSLVTTFSLLSCGYVFSSYREVRSVVLHTLNRARFSVAVESFLKTGQVPSLQEGNMQEKIFSFPWLKDRPVILGSRFRDAFQDPGAFLAIEPLFEKERYIVTYNPSKGKVYALLKDQAKSDDILKAAFHAHVLLHFIHSADNSLSSRSQHEHLMPAATDFELHIAESCKMVSTSYRHFKNNAAEQGWRMSDSLLNPGRARLH >KJB29810 pep chromosome:Graimondii2_0_v6:5:24942574:24952579:-1 gene:B456_005G119600 transcript:KJB29810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 6 [Source:Projected from Arabidopsis thaliana (AT5G49820) UniProtKB/Swiss-Prot;Acc:Q93YU2] MKQSPNSTSTSAAQTLTSQESRLLVRETLRISASLASNAGLSSSTGIHEGAAIPLMDENRRMGLVGDEFRDSSLKLICCEEIDGRRWKYVAEKDSFGNFKNNSIRTVSLHTPQAPLDEMMSFVRSYVVPEGFPESVTPSYVPYMTWRALKHFFGGAMGVFTTQTLLNSVGISRNSATPGAVAINWILKDGAGRVGKMLFSRQGNKFDYDLKQLRFAGDLLMELGAGVELATASVPHLFLPLACAANVLKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNIADLLGTGLSILISKKNPSLVTTFSLLSCGYVFSSYREVRSVVLHTLNRARFSVAVESFLKTGQVPSLQEGNMQEKIFSFPWLKDRPVILGSRFRDAFQDPGAFLAIEPLFEKERYIVTYNPSKGKVYALLKDQAKSDDILKAAFHAHVLLHFIHSADNSLSSRSQHEHLMPAATDFELHIAESCKMVSTSYRHFKNNAAEQGWRMSDSLLNPGRARLH >KJB30393 pep chromosome:Graimondii2_0_v6:5:37681643:37684581:1 gene:B456_005G141000 transcript:KJB30393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAVSDELLGTVVPIVVYWIYSGMYMCLGSFENYRLHSKSDEEEKNLVSKLTVVKGVLFQQLLQAAVAILLFTVTGGHAGASSQQPSSFIVLASQFVTAMLVMDTWQYFLHRYFHENKFLYRHLHSRHHRLVVPYAFGALYNHPLEGLLLDTVGGALSFMLSGMCPRTSIYFFSFATIKTVDDHCGLMLPGNPLHIFFRNNSAYHDVHHQLYGSKYNFSQPFFVMWDRILGTCMPYSLEKRAEGGFEARPTKEFKED >KJB31615 pep chromosome:Graimondii2_0_v6:5:57237975:57241851:1 gene:B456_005G197900 transcript:KJB31615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWMDFRIGIEDVGGAVLQELWNRVGLQIVDLAKETRDVVLEKDNFREFSTSISELDTLLQALNVNQIETSMGSEFTKAALEKLNSQLRKAHKMIKDYKSGSHLRFILHSHSVLSQMQYLAKDIAAIVSSFELISLDMAVNLKSMNTRIIEHLSSMEFRVSASTQTIASEIKNSISRSSRNRKSAVQLLEKIAEAVGANADASLVKNELALLKQEKHEMEVQKKLAEALELSQLINLLYSTEMVSRPLNEDISTYHNQYPIGSFICPLCDEMMVDPVAIICGHSFERKAIQEYFKRGNYDCPTCRQDLQSQELTPNVNLRSSIQEWKKRDMDWKFQAAVAGINSDDPFRENKAFDDMQDLVEISEYAVKAAEEGLIPKFVESLKDTRLNSVAAEKCLYCLAKYCEDHKLQIVDAGAVRRIVKRMYNGETEANSLSILLELSKTETLIERIGNTKDCIPVLVSLLSNPNPDTSSKAKAVLQNLSSNTHFAVKMAEAGYFQSFVARFNQAAGQQETQALMAEALEKMQLKENSINDLKDKQFVHNLVHLLSSNSPAWKSACIKCVKKLVPYPKMVKRFLSDPETIPLLLNLISFRSDPLLKQEAAEILALLIEACQPPQFQMYQGLQELQSQHNVGLLLQFVAKFDCQFKVKFLHLLLELGNKSKTAQNLIRSNTDAVDNLFSCLGSDHPSVRKWAMKLIHCVSEDHPDGVPVPPSPGKETAINTLASILACSPNFEERSLAAGIISQLPKDDIAIDEVLRKSETLKAIHEVICNSEEEFGVIGASTNQDKSLLENALAALLRFTEPTKPQLWRQVGQLELFPSLIRLLSTGSSLAKQRTAIALAHLSRSTSLSFAETSIRLKQENSMPLLSMKKLFPNMSWCCSGSADNEILCPLHGVACSQRLTFCLVKADAVKPLLRTLSDTNSGVAEAALMALETLLEDHSTLSHSNASAAIVESEGVVAILQVLEKGSLSAKTKALDLLQKILNHSQISDALFQRCEGILIQLLHEDALRKKVALVLKNMNVLPEQSSYF >KJB30044 pep chromosome:Graimondii2_0_v6:5:30300114:30301158:-1 gene:B456_005G128600 transcript:KJB30044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIEFKKVFQMFDKNGDGRISKEELNDSLKKLGIFIPDDELTQMIKKIDVNGDNYVDIEEFRELYQSFMNDKDEEEDILEAFKVFDQNGDGYISVDELMSILASLGLKQGKTIEDCKKMIMKVDVDGDGRVNFLEFKQMMKGGGFSAFS >KJB30284 pep chromosome:Graimondii2_0_v6:5:34630634:34641748:1 gene:B456_005G135600 transcript:KJB30284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTLSGERRWASARRSGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTLSWGSKSSSSSNAWGSSTLSPNTDGGGSSPSHLSARPSSGGSGTRPSTAGSDRAHEPANARGSDSRPSSSSGPVASNQTSLASLRPRSAETRPGSSQLSRFAEAVPEYSGAWNGSGTAEKLGMASSKNDGFSLTSGDFPTLGSEKDTSGKNAELQEHGSQGRPGSSSGVAPIKEKIGTSVVDISGNENQKSGAANFWRRDNPPYSEDGVRPSMEKWHTDPRGPHPYPNTAIPPQHYDAWHGPPINNHPGGVWYRGPPAGPPYGPPVPPGGFPLEPFPYYRPQIPGSAHANPRPVPPPGAGPRGPHPKNGDMYRGPMPDAFVRPGMPIRPAFYPGPVAYEGYYGPPMGYCNLNEREMPFMGMPAGPAYNRHPGQSAPDPGGSHARPSGFGPPGKALVAEHFESGHPNDNRGPYKVLLKQHEGWEGKDEEHGSEDNVTSVVEKGDLKRTSSWENDWKADQRKEEEVIMRTVVEESSTQISDHHAKVKSSEGVKKARAYGDISVKKMEHPEDPGAAKDSSLIQKIESLNAKSRASDGHYESVCRMEELKNKSQVVNAKAKHFANEVATGSRAVFHDRALASGMTCPTSNEVGVSAGDKRLDLPAAGGADMNRRSTHSRHGRTDHRGRGRFNSEDVDGWRKKPPFTDSSNVKSAAHFENPSESNVQDYVSLEASDKSGSYPQARDEGELMPPVYDPSDSEAQRSMMRELAKQRAKQRQKEEEERARDQKAKALAKLEELNRRTQTAEGFNPKLESVPDVAVQSKQEESRMLTDEIPSSRSEITSSVSSPTVVADVGQSSTVELEKPTVLSNQQPSVSTKIAHKATTEIHNCSLPLQQRVNNDDASLHNHPKASDGSTSKQKHMGYWKKDPNSLDKSSSEKYISAGTTELPNIRTDAVVDAGPSAEAVANETDSISESISTQYVVNESTMLQKKKNSRSGKNKHKVEEASSTAPLWSGVSKETNHTSSVESSKPKSSESKLDPHSFQSLTESKDGNQSSEQDVAFPNEEAYGQLNNQWKSQHSRRMPRNPQAYKSAVHGDAVVWAPVRSHVKVEVTEEVSHKLAVENVASQTKNDDQVQNNPRNKRAEIERYIPKPVAKEMAQQVISQQPVAHSDDPNATDEIVGRADSGSYGIECSQHSGTATRTVGNPTESRNDGRQGRGHGSWRQRASAEATLQGLQDRHYSTPSKNAQKSTEQKQPQKPDFSLVKEQPKYDEWNTSDGWNMPENPDSTVPPVPVSRYQGMTGRGKRHPFKGQKGGGNNYNSDHKKTNYGEADKLNPQSSAPEMAQLGSPAASKENRGGGDRSASHWQPKSSPINQRGSRPDSDQNVGAEIRTNKKDSAPQAKVSHPSQPEKQTSKGVTLPPKDHCVSEKGVEEAHNVGHHESKRERNVTSHKGRPHSPNQGPGLPVEAPPSNMDTRNEQQSISGFRKNGNQTNRYGRGHESRGDWGSSGQEMKQHNPPANRERQRHNSHYEYQPVGPQNNNNNSRANNPEGRREGSHGTGARYKERGQTHSRRGGGNFHGRLSGIGGYE >KJB30287 pep chromosome:Graimondii2_0_v6:5:34635247:34641624:1 gene:B456_005G135600 transcript:KJB30287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKNDGFSLTSGDFPTLGSEKDTSGKNAELQEHGSQGRPGSSSGVAPIKEKIGTSVVDISGNENQKSGAANFWRRDNPPYSEDGVRPSMEKWHTDPRGPHPYPNTAIPPQHYDAWHGPPINNHPGGVWYRGPPAGPPYGPPVPPGGFPLEPFPYYRPQIPGSAHANPRPVPPPGAGPRGPHPKNGDMYRGPMPDAFVRPGMPIRPAFYPGPVAYEGYYGPPMGYCNLNEREMPFMGMPAGPAYNRHPGQSAPDPGGSHARPSGFGPPGKALVAEHFESGHPNDNRGPYKVLLKQHEGWEGKDEEHGSEDNVTSVVEKGDLKRTSSWENDWKADQRKEEEVIMRTVVEESSTQISDHHAKVKSSEGVKKARAYGDISVKKMEHPEDPGAAKDSSLIQKIESLNAKSRASDGHYESVCRMEELKNKSQVVNAKAKHFANEVATGSRAVFHDRALASGMTCPTSNEVGVSAGDKRLDLPAAGGADMNRRSTHSRHGRTDHRGRGRFNSEDVDGWRKKPPFTDSSNVKSAAHFENPSESNVQDYVSLEASDKSGSYPQARDEGELMPPVYDPSDSEAQRSMMRELAKQRAKQRQKEEEERARDQKAKALAKLEELNRRTQTAEGFNPKLESVPDVAVQSKQEESRMLTDEIPSSRSEITSSVSSPTVVADVGQSSTVELEKPTVLSNQQPSVSTKIAHKATTEIHNCSLPLQQRVNNDDASLHNHPKASDGSTSKQKHMGYWKKDPNSLDKSSSEKYISAGTTELPNIRTDAVVDAGPSAEAVANETDSISESISTQYVVNESTMLQKKKNSRSGKNKHKVEEASSTAPLWSGVSKETNHTSSVESSKPKSSESKLDPHSFQSLTESKDGNQSSEQDVAFPNEEAYGQLNNQWKSQHSRRMPRNPQAYKSAVHGDAVVWAPVRSHVKVEVTEEVSHKLAVENVASQTKNDDQVQNNPRNKRAEIERYIPKPVAKEMAQQVISQQPVAHSDDPNATDEIVGRADSGSYGIECSQHSGTATRTVGNPTESRNDGRQGRGHGSWRQRASAEATLQGLQDRHYSTPSKNAQKSTEQKQPQKPDFSLVKEQPKYDEWNTSDGWNMPENPDSTVPPVPVSRYQGMTGRGKRHPFKGQKGGGNNYNSDHKKTNYGEADKLNPQSSAPEMAQLGSPAASKENRGGGDRSASHWQPKSSPINQRGSRPDSDQNVGAEIRTNKKDSAPQAKVSHPSQPEKQTSKGVTLPPKDHCVSEKGVEEAHNVGHHESKRERNVTSHKGRPHSPNQGPGLPVEAPPSNMDTRNEQQSISGFRKNGNQTNRYGRGHESRGDWGSSGQEMKQHNPPANRERQRHNSHYEYQPVGPQNNNNNSRANNPEGRREGSHGTGARYKERGQTHSRRGGGNFHGRLSGIGGYE >KJB30285 pep chromosome:Graimondii2_0_v6:5:34630855:34641624:1 gene:B456_005G135600 transcript:KJB30285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTLSGERRWASARRSGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTLSWGSKSSSSSNAWGSSTLSPNTDGGGSSPSHLSARPSSGGSGTRPSTAGSDRAHEPANARGSDSRPSSSSGPVASNQTSLASLRPRSAETRPGSSQLSRFAEAVPEYSGAWNGSGTAEKLGMASSKNDGFSLTSGDFPTLGSEKDTSGKNAELQEHGSQGRPGSSSGVAPIKEKIGTSVVDISGNENQKSGAANFWRRDNPPYSEDGVRPSMEKWHTDPRGPHPYPNTAIPPQHYDAWHGPPINNHPGGVWYRGPPAGPPYGPPVPPGGFPLEPFPYYRPQIPGSAHANPRPVPPPGAGPRGPHPKNGDMYRGPMPDAFVRPGMPIRPAFYPGPVAYEGYYGPPMGYCNLNEREMPFMGMPAGPAYNRHPGQSAPDPGGSHARPSGFGPPGKALVAEHFESGHPNDNRGPYKVLLKQHEGWEGKDEEHGSEDNVTSVVEKGDLKRTSSWENDWKADQRKEEEVIMRTVVEESSTQISDHHAKVKSSEGVKKARAYGDISVKKMEHPEDPGAAKDSSLIQKIESLNAKSRASDGHYESVCRMEELKNKSQVVNAKAKHFANEVATGSRAVFHDRALASGMTCPTSNEVGVSAGDKRLDLPAAGGADMNRRSTHSRHGRTDHRGRGRFNSEDVDGWRKKPPFTDSSNVKSAAHFENPSESNVQDYVSLEASDKSGSYPQARDEGELMPPVYDPSDSEAQRSMMRELAKQRAKQRQKEEEERARDQKAKALAKLEELNRRTQTAEGFNPKLESVPDVAVQSKQEESRMLTDEIPSSRSEITSSVSSPTVVADVGQSSTVELEKPTVLSNQQPSVSTKIAHKATTEIHNCSLPLQQRVNNDDASLHNHPKASDGSTSKQKHMGYWKKDPNSLDKSSSEKYISAGTTELPNIRTDAVVDAGPSAEAVANETDSISESISTQYVVNESTMLQKKKNSRSGKNKHKVEEASSTAPLWSGVSKETNHTSSVESSKPKSSESKLDPHSFQSLTESKDGNQSSEQDVAFPNEEAYGQLNNQWKSQHSRRMPRNPQAYKSAVHGDAVVWAPVRSHVKVEVTEEVSHKLAVENVASQTKNDDQVQNNPRNKRAEIERYIPKPVAKEMAQQVISQQPVAHSDDPNATDEIVGRADSGSYGIECSQHSGTATRTVGNPTESRNDGRQGRGHGSWRQRASAEATLQGLQDRHYSTPSKNAQKSTEQKQPQKPDFSLVKEQPKYDEWNTSDGWNMPENPDSTVPPVPVSRYQGMTGRGKRHPFKGQKGGGNNYNSDHKKTNYGEADKLNPQSSAPEMAQLGSPAASKENRGGGDRSASHWQPKSSPINQRGSRPDSDQNVGAEIRTNKKDSAPQAKVSHPSQPEKQTSKGVTLPPKDHCVSEKGVEEAHNVGHHESKRERNVTSHKGRPHSPNQGPGLPVEAPPSNMDTRNEQQSISGFRKNGNQTNRYGRGHESRGDWGSSGQEMKQHNPPANRERQRHNSHYEYQPVGPQNNNNNSRANNPEGRREGSHGTGARYKERGQTHSRRGGGNFHGRLSGIGGYE >KJB30282 pep chromosome:Graimondii2_0_v6:5:34630626:34641748:1 gene:B456_005G135600 transcript:KJB30282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTLSGERRWASARRSGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTLSWGSKSSSSSNAWGSSTLSPNTDGGGSSPSHLSARPSSGGSGTRPSTAGSDRAHEPANARGSDSRPSSSSGPVASNQTSLASLRPRSAETRPGSSQLSRFAEAVPEYSGAWNGSGTAEKLGMASSKNDGFSLTSGDFPTLGSEKDTSGKNAELQEHGSQGRPGSSSGVAPIKEKIGTSVVDISGNENQKSGAANFWRRDNPPYSEDGVRPSMEKWHTDPRGPHPYPNTAIPPQHYDAWHGPPINNHPGGVWYRGPPAGPPYGPPVPPGGFPLEPFPYYRPQIPGSAHANPRPVPPPGAGPRGPHPKNGDMYRGPMPDAFVRPGMPIRPAFYPGPVAYEGYYGPPMGYCNLNEREMPFMGMPAGPAYNRHPGQSAPDPGGSHARPSGFGPPGKALVAEHFESGHPNDNRGPYKVLLKQHEGWEGKDEEHGSEDNVTSVVEKGDLKRTSSWENDWKADQRKEEEVIMRTVVEESSTQISDHHAKVKSSEGVKKARAYGDISVKKMEHPEDPGAAKDSSLIQKIESLNAKSRASDGHYESVCRMEELKNKSQVVNAKAKHFANEVATGSRAVFHDRALASGMTCPTSNEVGVSAGDKRLDLPAAGGADMNRRSTHSRHGRTDHRGRGRFNSEDVDGWRKKPPFTDSSNVKSAAHFENPSESNVQDYVSLEASDKSGSYPQARDEGELMPPVYDPSDSEAQRSMMRELAKQRAKQRQKEEEERARDQKAKALAKLEELNRRTQTAEGFNPKLESVPDVAVQSKQEESRMLTDEIPSSRSEITSSVSSPTVVADVGQSSTVELEKPTVLSNQQPSVSTKIAHKATTEIHNCSLPLQQRVNNDDASLHNHPKASDGSTSKQKHMGYWKKDPNSLDKSSSEKYISAGTTELPNIRTDAVVDAGPSAEAVANETDSISESISTQYVVNESTMLQKKKNSRSGKNKHKVEEASSTAPLWSGVSKETNHTSSVESSKPKSSESKLDPHSFQSLTESKDGNQSSEQDVAFPNEEAYGQLNNQWKSQHSRRMPRNPQAYKSAVHGDAVVWAPVRSHVKVEVTEEVSHKLAVENVASQTKNDDQVQNNPRNKRAEIERYIPKPVAKEMAQQVISQQPVAHSDDPNATDEIVGRADSGSYGIECSQHSGTATRTVGNPTESRNDGRQGRGHGSWRQRASAEATLQGLQDRHYSTPSKNAQKSTEQKQPQKPDFSLVKEQPKYDEWNTSDGWNMPENPDSTVPPVPVSRYQGMTGRGKRHPFKGQKGGGNNYNSDHKKTNYGEADKLNPQSSAPEMAQLGSPAASKENRGGGDRSASHWQPKSSPINQRGSRPDSDQNVGAEIRTNKKDSAPQAKVSHPSQPEKQTSKGVTLPPKDHCVSEKGVEEAHNVGHHESKRERNVTSHKGRPHSPNQGPGLPVEAPPSNMDTRNEQQSISGFRKNGNQTNRYGRGHESRGDWGSSGQEMKQHNPPANRERQRHNSHYEYQPVGPQNNNNNSRANNPEGRREGSHGTGARYKERGQTHSRRGGGNFHGRLSGIGGYE >KJB30283 pep chromosome:Graimondii2_0_v6:5:34630622:34641658:1 gene:B456_005G135600 transcript:KJB30283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTLSGERRWASARRSGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTLSWGSKSSSSSNAWGSSTLSPNTDGGGSSPSHLSARPSSGGSGTRPSTAGSDRAHEPANARGSDSRPSSSSGPVASNQTSLASLRPRSAETRPGSSQLSRFAEAVPEYSGAWNGSGTAEKLGMASSKNDGFSLTSGDFPTLGSEKDTSGKNAELQEHGSQGRPGSSSGVAPIKEKIGTSVVDISGNENQKSGAANFWRRDNPPYSEDGVRPSMEKWHTDPRGPHPYPNTAIPPQHYDAWHGPPINNHPGGVWYRGPPAGPPYGPPVPPGGFPLEPFPYYRPQIPGSAHANPRPVPPPGAGPRGPHPKNGDMYRGPMPDAFVRPGMPIRPAFYPGPVAYEGYYGPPMGYCNLNEREMPFMGMPAGPAYNRHPGQSAPDPGGSHARPSGFGPPGKALVAEHFESGHPNDNRGPYKVLLKQHEGWEGKDEEHGSEDNVTSVVEKGDLKRTSSWENDWKADQRKEEEVIMRTVVEESSTQISDHHAKVKSSEGVKKARAYGDISVKKMEHPEDPGAAKDSSLIQKIESLNAKSRASDGHYESVCRMEELKNKSQVVNAKAKHFANEVATGSRAVFHDRALASGMTCPTSNEVGVSAGDKRLDLPAAGGADMNRRSTHSRHGRTDHRGRGRFNSEDVDGWRKKPPFTDSSNVKSAAHFENPSESNVQDYVSLEASDKSGSYPQARDEGELMPPVYDPSDSEAQRSMMRELAKQRAKQRQKEEEERARDQKAKALAKLEELNRRTQTAEGFNPKLESVPDVAVQSKQEESRMLTDEIPSSRSEITSSVSSPTVVADVGQSSTVELEKPTVLSNQQPSVSTKIAHKATTEIHNCSLPLQQRVNNDDASLHNHPKASDGSTSKQKHMGYWKKDPNSLDKSSSEKYISAGTTELPNIRTDAVVDAGPSAEAVANETDSISESISTQYVVNESTMLQKKKNSRSGKNKHKVEEASSTAPLWSGVSKETNHTSSVESSKPKSSESKLDPHSFQSLTESKDGNQSSEQDVAFPNEEAYGQLNNQWKSQHSRRMPRNPQAYKSAVHGDAVVWAPVRSHVKVEVTEEVSHKLAVENVASQTKNDDQVQNNPRNKRAEIERYIPKPVAKEMAQQVISQQPVAHSDDPNATDEIVGRADSGSYGIECSQHSGTATRTVGNPTESRNDGRQGRGHGSWRQRASAEATLQGLQDRHYSTPSKNAQKSTEQKQPQKPDFSLVKEQPKYDEWNTSDGWNMPENPDSTVPPVPVSRYQGMTGRGKRHPFKGQKGGGNNYNSDHKKTNYGEADKLNPQSSAPEMAQLGSPAASKENRGGGDRSASHWQPKSSPINQRGSRPDSDQNVGAEIRTNKKDSAPQAKVSHPSQPEKQTSKGVTLPPKDHCVSEKGVEEAHNVGHHESKRERNVTSHKGRPHSPNQGPGLPVEAPPSNMDTRNEQQSISGFRKNGNQTNRYGRGHESRGDWGSSGQEMKQHNPPANRERQRHNSHYEYQPVGPQNNNNNSRANNPEGRREGSHGTGARYKERGQTHSRRGGGNFHGRLSGIGGYE >KJB30286 pep chromosome:Graimondii2_0_v6:5:34634984:34641587:1 gene:B456_005G135600 transcript:KJB30286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTLSWGSKSSSSSNAWGSSTLSPNTDGGGSSPSHLSARPSSGGSGTRPSTAGSDRAHEPANARGSDSRPSSSSGPVASNQTSLASLRPRSAETRPGSSQLSRFAEAVPEYSGAWNGSGTAEKLGMASSKNDGFSLTSGDFPTLGSEKDTSGKNAELQEHGSQGRPGSSSGVAPIKEKIGTSVVDISGNENQKSGAANFWRRDNPPYSEDGVRPSMEKWHTDPRGPHPYPNTAIPPQHYDAWHGPPINNHPGGVWYRGPPAGPPYGPPVPPGGFPLEPFPYYRPQIPGSAHANPRPVPPPGAGPRGPHPKNGDMYRGPMPDAFVRPGMPIRPAFYPGPVAYEGYYGPPMGYCNLNEREMPFMGMPAGPAYNRHPGQSAPDPGGSHARPSGFGPPGKALVAEHFESGHPNDNRGPYKVLLKQHEGWEGKDEEHGSEDNVTSVVEKGDLKRTSSWENDWKADQRKEEEVIMRTVVEESSTQISDHHAKVKSSEGVKKARAYGDISVKKMEHPEDPGAAKDSSLIQKIESLNAKSRASDGHYESVCRMEELKNKSQVVNAKAKHFANEVATGSRAVFHDRALASGMTCPTSNEVGVSAGDKRLDLPAAGGADMNRRSTHSRHGRTDHRGRGRFNSEDVDGWRKKPPFTDSSNVKSAAHFENPSESNVQDYVSLEASDKSGSYPQARDEGELMPPVYDPSDSEAQRSMMRELAKQRAKQRQKEEEERARDQKAKALAKLEELNRRTQTAEGFNPKLESVPDVAVQSKQEESRMLTDEIPSSRSEITSSVSSPTVVADVGQSSTVELEKPTVLSNQQPSVSTKIAHKATTEIHNCSLPLQQRVNNDDASLHNHPKASDGSTSKQKHMGYWKKDPNSLDKSSSEKYISAGTTELPNIRTDAVVDAGPSAEAVANETDSISESISTQYVVNESTMLQKKKNSRSGKNKHKVEEASSTAPLWSGVSKETNHTSSVESSKPKSSESKLDPHSFQSLTESKDGNQSSEQDVAFPNEEAYGQLNNQWKSQHSRRMPRNPQAYKSAVHGDAVVWAPVRSHVKVEVTEEVSHKLAVENVASQTKNDDQVQNNPRNKRAEIERYIPKPVAKEMAQQVISQQPVAHSDDPNATDEIVGRADSGSYGIECSQHSGTATRTVGNPTESRNDGRQGRGHGSWRQRASAEATLQGLQDRHYSTPSKNAQKSTEQKQPQKPDFSLVKEQPKYDEWNTSDGWNMPENPDSTVPPVPVSRYQGMTGRGKRHPFKGQKGGGNNYNSDHKKTNYGEADKLNPQSSAPEMAQLGSPAASKENRGGGDRSASHWQPKSSPINQRGSRPDSDQNVGAEIRTNKKDSAPQAKVSHPSQPEKQTSKGVTLPPKDHCVSEKGVEEAHNVGHHESKRERNVTSHKGRPHSPNQGPGLPVEAPPSNMDTRNEQQSISGFRKNGNQTNRYGRGHESRGDWGSSGQEMKQHNPPANRERQRHNSHYEYQPVGPQNNNNNSRANNPEGRREGSHGTGARYKERGQTHSRRGGGNFHGRLSGIGGYE >KJB30997 pep chromosome:Graimondii2_0_v6:5:49950177:49952082:1 gene:B456_005G171500 transcript:KJB30997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWATLRSRYLHLSATTLPSSSLANGSWKLGFSGLNQTFSSSAVERISNELLSLQEVEKVLSDVRADDVAVVPVGNQCDWADFMVIATGRSPWHVKNIAQALIYKVKQKQKGAKRMVLPSVQGQETGKWIVVDSGRVIVHALDEKARAYYNLENLWIAKSAQKEPVEELTKAFVKVRRINNSKKPAQGSD >KJB28761 pep chromosome:Graimondii2_0_v6:5:7292801:7294612:1 gene:B456_005G068100 transcript:KJB28761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKNENNPMANMRFSDEIPSVFGGGGGGSIFDMAGTSCEGSGGDKWGSLGFMDLLGIHQDFVAPSLFDSFQPSILPPSSPPLPVFHHHDDDILHEPHTDSKQQHLNHQAGLLSPASTVPESSEVLNNPATPNSSSISSSSNELAANDEQTKAEDDEDKTKKPLKPKKKNPKRQREPRFAFMTKSDIDHLDDGYRWRKYGQKAVKNSPYPRSYYRCTTAGCGVKKRVERSSDDPTIVVTTYEGQHTHPCPIMPRGAIGIAPDSAAFGAPSFIIPQPMYLNHQQQQQQQLQPYIYNSTPSLNITTASSNIIHPPFPGFLQEKPRFSNPNPSALSHRDHGLLQDIVPSPMRTEAKEEDQ >KJB28760 pep chromosome:Graimondii2_0_v6:5:7292801:7295049:1 gene:B456_005G068100 transcript:KJB28760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKNENNPMANMRFSDEIPSVFGGGGGGSIFDMAGTSCEGSGGDKWGSLGFMDLLGIHQDFVAPSLFDSFQPSILPPSSPPLPVFHHHDDDILHEPHTDSKQQHLNHQAGLLSPASTVPESSEVLNNPATPNSSSISSSSNELAANDEQTKAEDDEDKTKKPLKPKKKNPKRQREPRFAFMTKSDIDHLDDGYRWRKYGQKAVKNSPYPRSYYRCTTAGCGVKKRVERSSDDPTIVVTTYEGQHTHPCPIMPRGAIGIAPDSAAFGAPSFIIPQPMYLNHQQQQQQQLQPYIYNSTPSLNITTASSNIIHPPFPGFLQEKPRFSNPNPSALSHRDHGLLQDIVPSPMRTEAKEEDQ >KJB29047 pep chromosome:Graimondii2_0_v6:5:9818159:9821618:1 gene:B456_005G081500 transcript:KJB29047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKFLPRTLPTSESSSFLHRSLFSTILPLSTHSSGSPQHERYTHLLRLCLRQCREVKTHYMFDEMSQTTERALKAGKLIHAQGLELGFWSKGLLGNAILDLYAKCGDVGSAEKVFHSLEKRDVFSWNSVISMYSKRGLVDEVVKSIGPLLNSGVLPNEFTLSTLLSACARLKDIEFGRLVHCCVVKMGLETSSFCEGALIDMYSKCNYVTDARKVFDGSMDLDTVSWTSMVAGYVQVGLLEEALEVCESMLKAGRVPDQVAFVTIINAFVGLGRLDDAQALFSQMPNPNVVAWNVMISGHAKRGYEVEAIKIFQNMRASGVKSTRSTLGSMFSVIASLASLEFGLLLHGEAIKQGLNSNVYVGSSLINMYAKCDKIDAAKKVFDELPEKNVVLWNAMLGGYAQNGYADEVIELFSQMKGSNSQPDEFTYTSILSACACLGCLETGRLFHAFIIKNKFASNLFVVNALVDMYAKSGALKEARQQFEIIKDRDNVSWNAIIVGYVQDENELEAFNMFQRMILYGFVPDEVSLASILSACANVQSLELGKQIHCLAVKSGLDKSLYAGSSLIDMYAKSGAIRDARKVLHGMPQRSAISINAMIAGYAPKDLEEAIILLQEMQVDGLKPSEVTFTSLLDACNEPHKLNLGKQIHCCIIKRGLLYDEEFLGVSLLCMYLNSNSLRDTDARILFEEFQNRKSAVLWTALISGHTQNGCNEEALHLFREMRSYNVIPDQATFVSVLRACAVLSSLQEGRQIHTLIHHTGYALDELTTSALVDMYAKCGEVKHSAQVFEEMNSKNGISCWNSMIVGLAKNGYAEDALRIFFEMKQAQVMPDDVTYLGVLTACSHAGKVYEGRRIFDMMVNYGIQPRVDHCACIVDLLGRWGFLKEAEDFIDSLKFEPDAMIWAALLGACRIHGDEIRGRRAAEKLIELEPENSSPYVLLSNIYAATGNWDEVNALRRKMREKRVQKYPGCSWIVVGQKTNLFIAGDKSHPKADEIEEILKDLVVLMREDGCAPEVDTLLLHEDE >KJB27704 pep chromosome:Graimondii2_0_v6:5:356522:359311:-1 gene:B456_005G005600 transcript:KJB27704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKGVVLMGRYEIGRLLGQGTFAKVHRARNLETGMNVAIKIVDKEKVLKVGMIDQIKREISVMRLVRHPNVIELYEVMATKTKIYFVMEYAKGGELFNKVVKGKLKEDAARKYFQQLISAVDFCHSRGVCHRDLKPENLLLDENCNLKVTDFGLSALAESKQQDGLLHTTCGTPAYVAPEVINRRGYDGCKADIWSCGVILYVLLAGYLPFQDSNLMEMYRKIGKGEFKFPNWFAPDVRRLVSKILDPNPKTRISMEKIMENPWFRKGLDPKPELVDPEVKEPAIGLQTGTPCNLNAFDIISLSAGFDLSGLFREQEQKKEVRFTSNKTASTIISKLEDVAKRLRLKIKKKGGGLMKMEGCQEGRKGVLGIDAEIFEITPFFHLVEVKKSNGDTLEYQKVMQQDIRPALSDIVWAWQGEPLPQQLPPPPPTEEQHHESHPFHVVSTQSS >KJB32472 pep chromosome:Graimondii2_0_v6:5:62295487:62299657:1 gene:B456_005G242100 transcript:KJB32472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSQSTDTSSTLTLGLVSPSPSSSHRNSIADDSVTLQLGTRDPTRSPFRPVPLQLLEPHQNGNTIERERCDDNDDGSREIEEFRILGHPMCLKRRRDSSSLSSPSPTKRMTVETDLEKRKAAVKSWGSQPIHVADPDVFDMMEQEKKRQFMGIELIASENFVCRAVMEALGSHLTNKYSEGMPRARYYGGNQYIDEIETLCWKRALEAFGLDAENWGVNVQPYSCTSANFAVFTGLLLPGDRIMGLDTPSGGNTSHGYYTPNGRKVSGASIFFESLSYKVNPQTGYIDYEKLEERALDFRPKILICGGSSYPREWDYARFRQIADKCGAVLLCDMAQISGLIAAKEAANPFEYCDIVTSTTHKSLRGPRGGIIFYRKGKKPKKGGMLLSQGDCNEQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKTYMQQVKKNAQALASSLLRRKCKLITGGTDNHLLLWDLRHFGLTGKIYEKVCEMCHITVNKIAIFGENGVITPGGVRIGTPAMTSRGCLECDFDTIASFLLRAAHITSIMQRDHGKLPKASVKSLQEHKDILELRMQVETFASQFAMPGFDI >KJB32469 pep chromosome:Graimondii2_0_v6:5:62295487:62299632:1 gene:B456_005G242100 transcript:KJB32469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSQSTDTSSTLTLGLVSPSPSSSHRNSIADDSVTLQLGTRDPTRSPFRPVPLQLLEPHQNGNTIERERCDDNDDGSREIEEFRILGHPMCLKRRRDSSSLSSPSPTKRMTVETDLEKRKAAVKSWGSQPIHVADPDVFDMMEQEKKRQFMGIELIASENFVCRAVMEALGSHLTNKYSEGMPRARYYGGNQYIDEIETLCWKRALEAFGLDAENWGVNVQPYSCTSANFAVFTGLLLPGDRIMGLDTPSGGNTSHGYYTPNGRKVSGASIFFESLSYKVNPQTGYIDYEKLEERALDFRPKILICGGSSYPREWDYARFRQIADKCGAVLLCDMAQISGLIAAKEAANPFEYCDIVTSTTHKSLRGPRGGIIFYRKGKKPKKGGMLLSQGDCNEQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKTYMQQVKKNAQALASSLLRRKCKLITGGTDNHLLLWDLRHFGLTGKIYEKVCEMCHITVNKIAIFGENGVITPGGVRIGTPAMTSRGCLECDFDTIASFLLRAAHITSIMQRDHGKLPKASVKSLQEHKDILELRMQVETFASQFAMPGFDI >KJB32470 pep chromosome:Graimondii2_0_v6:5:62295914:62298969:1 gene:B456_005G242100 transcript:KJB32470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSQSTDTSSTLTLGLVSPSPSSSHRNSIADDSVTLQLGTRDPTRSPFRPVPLQLLEPHQNGNTIERERCDDNDDGSREIEEFRILGHPMCLKRRRDSSSLSSPSPTKRMTVETDLEKRKAAVKSWGSQPIHVADPDVFDMMEQEKKRQFMGIELIASENFVCRAVMEALGSHLTNKYSEGMPRARYYGGNQYIDEIETLCWKRALEAFGLDAENWGVNVQPYSCTSANFAVFTGLLLPGDRIMGLDTPSGGNTSHGYYTPNGRKVSGASIFFESLSYKVNPQTGYIDYEKLEERALDFRPKILICGGSSYPREWDYARFRQIADKCGAVLLCDMAQISGLIAAKEAANPFEYCDIVTSTTHKSLRGPRGGIIFYRKGKKPKKGGMLLSQGDCNEQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKTYMQQVKKNAQALASSLLRRKCKLITGGTDNHLLLWDLRHFGLTGKIYEKVCEMCHITVNKIAIFGENGVITPGGVRIGECRSHSIKIAVPYPL >KJB32471 pep chromosome:Graimondii2_0_v6:5:62295487:62301414:1 gene:B456_005G242100 transcript:KJB32471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSQSTDTSSTLTLGLVSPSPSSSHRNSIADDSVTLQLGTRDPTRSPFRPVPLQLLEPHQNGNTIERERCDDNDDGSREIEEFRILGHPMCLKRRRDSSSLSSPSPTKRMTVETDLEKRKAAVKSWGSQPIHVADPDVFDMMEQEKKRQFMGIELIASENFVCRAVMEALGSHLTNKYSEGMPRARYYGGNQYIDEIETLCWKRALEAFGLDAENWGVNVQPYSCTSANFAVFTGLLLPGDRIMGLDTPSGGNTSHGYYTPNGRKVSGASIFFESLSYKVNPQTGYIDYEKLEERALDFRPKILICGGSSYPREWDYARFRQIADKCGAVLLCDMAQISGLIAAKEAANPFEYCDIVTSTTHKSLRGPRGGIIFYRKGKKPKKGGMLLSQGDCNEQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKTYMQQVKKNAQALASSLLRRKCKLITGGTDNHLLLWDLRHFGLTGKIYEKVCEMCHITVNKIAIFGENGVITPGGVRIGTPAMTSRGCLECDFDTIASFLLRAAHITSIMQRDHGKLPKASVKSLQEHKDILELRMQVETFASQFAMPGFDI >KJB28762 pep chromosome:Graimondii2_0_v6:5:7299198:7300617:1 gene:B456_005G068200 transcript:KJB28762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 40 precursor (EC 1.11.1.7) (Atperox P40) [Source: Projected from Oryza sativa (Os08g0302000)] MAKCLVLLFLCLVMVSINVANTMNETCVDDISIVLQIDLYKNSCPEAESIIYSWVENAVSQDSRMAASLLRLHFHDCFVNGCDGSVLLDDTEDFTGEKTALPNLNSLRGFEVIDAIKSELESVCPQTVSCADILATAARDSVVISGGPSWEVEMGRKDSLGASKEAATNNIPGPNSTVPILVAKFQNVGLSFNDMIALSGAHTLGMARCSTFSSRLQGSNGPDIDLDFLQNLQQLCSQTDGNSRLARLDLVSPATFDNQYYINLLSGEGLLPSDQALVTDDYQTRQLVLSYAEDPLAFFEDFKNSMLKMGSLGVLTGTDGQIRGNCRVVN >KJB30372 pep chromosome:Graimondii2_0_v6:5:36761745:36768216:-1 gene:B456_005G139700 transcript:KJB30372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMSDSVPIRFPYRNLNKELEVEMIDDPHFRIDLNSSPNSPSASSSSPASRVPNGNANLSSPIHAGSKDCSLITLVLSCTVAAGVQFGWALQLSLLTPYIQVQPCVGIWSDKCTSKYGRRRPFILAGALMISLAVIIIGFSADIGYLLGDTKEHCSTFKGTRTRAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSSNAIFCSWMAVGNILGFSAGASGSWHRWFPFLENRACCEACANLKAAFLVAVVFLLFCTVVTVCFAKEVPLPLPADQPTRLSDSAPLLDGSTQKGFQHSKSKADASIVPNDNRNIAENGYEWVSNSKLANSKDNNSQNEVFSDGPGAVLVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVYHGDPKGNASETKLYDQGVREGAFGLLLNSVVLGISSFFIEPMCQRIGSRLVWAMSNYTVFACMGVTAIISLVSVTEYSEGIEHVFGGGGAIKIAALVVFALLGFPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVIPQMIVSLGAGPWDALFGGGNIPAFILASFCALAAGIIATLRLPDLASSFKSSGFHFG >KJB30369 pep chromosome:Graimondii2_0_v6:5:36761745:36764693:-1 gene:B456_005G139700 transcript:KJB30369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFCICVKSCLAFLQVFLLFCTVVTVCFAKEVPLPLPADQPTRLSDSAPLLDGSTQKGFQHSKSKADASIVPNDNRNIAENGYEWVSNSKLANSKDNNSQNEVFSDGPGAVLVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVYHGDPKGNASETKLYDQGVREGAFGLLLNSVVLGISSFFIEPMCQRIGSRLVWAMSNYTVFACMGVTAIISLVSVTEYSEGIEHVFGGGGAIKIAALVVFALLGFPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVIPQMIVSLGAGPWDALFGGGNIPAFILASFCALAAGIIATLRLPDLASSFKSSGFHFG >KJB30370 pep chromosome:Graimondii2_0_v6:5:36761745:36767770:-1 gene:B456_005G139700 transcript:KJB30370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSVPIRFPYRNLNKELEVEMIDDPHFRIDLNSSPNSPSASSSSPASRVPNGNANLSSPIHAGSKDCSLITLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIEHAFSSFIWLCGPITGLVVQPCVGIWSDKCTSKYGRRRPFILAGALMISLAVIIIGFSADIGYLLGDTKEHCSTFKGTRTRAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSSNAIFCSWMAVGNILGFSAGASGSWHRWFPFLENRACCEACANLKAAFLVAVVFLLFCTVVTVCFAKEVPLPLPADQPTRLSDSAPLLDGSTQKGFQHSKSKADASIVPNDNRNIAENGYEWVSNSKLANSKDNNSQNEVFSDGPGAVLVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVYHGDPKGNASETKLYDQGVREGAFGLLLNSLLIFGAGCSWY >KJB30371 pep chromosome:Graimondii2_0_v6:5:36762639:36767779:-1 gene:B456_005G139700 transcript:KJB30371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMSDSVPIRFPYRNLNKELEVEMIDDPHFRIDLNSSPNSPSASSSSPASRVPNGNANLSSPIHAGSKDCSLITLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIEHAFSSFIWLCGPITGLVVQPCVGIWSDKCTSKYGRRRPFILAGALMISLAVIIIGFSADIGYLLGDTKEHCSTFKGTRTRAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSSNAIFCSWMAVGNILGFSAGASGSWHRWFPFLENRACCEACANLKAAFLVAVVFLLFCTVVTVCFAKEVPLPLPADQPTRLSDSAPLLDGSTQKGFQHSKSKADASIVPNDNRNIAENGYEWVSNSKLANSKDNNSQNEVFSDGPGAVLVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVYHGDPKGNASETKLYDQGVREGAFGLLLNSVVLGISSFFIEPMCQRIGSRLVWAMSNYTVFACMGVTAIISLVSVTEYSEGIEHVFGGGGAIKIAALVVFALLGFPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVIPQMIVSLGAGPWDALFGGGNIPAFILASFCALAAGIIATLRLPDLASSFKSSGFHFG >KJB28020 pep chromosome:Graimondii2_0_v6:5:1866640:1868555:1 gene:B456_005G022300 transcript:KJB28020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDETVEEYLFKIVIIGDSAVGKSNLLSRFARNEFNAHSKATIGVEFQTQSVEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRATFDNVARWLDELNLAKMLVGNKCDLENTREVSLDEGKSLAESEGLFFIETSALDSTNVWTAFEIVIREIYNNVSRKVLSSDSYKARLSVNRVSLVNDDELKQSKTKYACCSS >KJB28019 pep chromosome:Graimondii2_0_v6:5:1866581:1868555:1 gene:B456_005G022300 transcript:KJB28019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDETVEEYLFKIVIIGDSAVGKSNLLSRFARNEFNAHSKATIGVEFQTQSVEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRATFDNVARWLDELNSHSDTTVAKMLVGNKCDLENTREVSLDEGKSLAESEGLFFIETSALDSTNVWTAFEIVIREIYNNVSRKVLSSDSYKARLSVNRVSLVNDDELKQSKTKYACCSS >KJB30964 pep chromosome:Graimondii2_0_v6:5:49691064:49693215:1 gene:B456_005G170000 transcript:KJB30964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCRHLCKGRECFACAPTGSGKTLAFVLPMLLKLKHASTEGVRAVILCPTCELAAQTTRECKKLAEGNKFYIKLLTKKLIRSADLTKQRCDISTPLRLSSAIKKRKLDLSRVDIVKAKKRFIVEHCFLYTISFSFFKVEYLVLDESDKLFELGLIKQIDSVVKACSNPLIIRLLFSATLPDSVKELARTIMHDAVCIIIGRKNTASESVNQKIVFAGTEEGKLLVLRQSFQERLNPPVLIFVQSKERANELYEELKFNNIRVGVIHSDLSETQVLVLVLIEKYNLMFAFLNAGKTWVLIATDVIARGMDFKGVNYVINYDFPDFAAAYIHRIGQSGRAGRTGEAITFYTEDDVPFLRNIANVMAASGCKVSSWIMALRKLRWKKHRPKKESILTIPDVAEE >KJB30965 pep chromosome:Graimondii2_0_v6:5:49691064:49693512:1 gene:B456_005G170000 transcript:KJB30965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCRHLCKGRECFACAPTGSGKTLAFVLPMLLKLKHASTEGVRAVILCPTCELAAQTTRECKKLAEGNKFYIKLLTKKLIRSADLTKQRCDISTPLRLSSAIKKRKLDLSRVDIVKAKKRFIVEHCFLYTISFSFFKVEYLVLDESDKLFELGLIKQIDSVVKACSNPLIIRLLFSATLPDSVKELARTIMHDAVCIIIGRKNTASESVNQKIVFAGTEEGKLLVLRQSFQERLNPPVLIFVQSKERANELYEELKFNNIRVGVIHSDLSETQVKDYFYAY >KJB31567 pep chromosome:Graimondii2_0_v6:5:56864410:56865618:-1 gene:B456_005G196000 transcript:KJB31567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTPTQENTKVEGSHEPPSEEDIKKWGTHVMGTPAAPSAHPDNQKAALWNASDHQQIYELPYVVYSPAERPSHNPFEPVINMFNTWSRKTESIARNIWHNPWGKLNLTAKAITEGGFESLFKQIFATDTDERLKKTFACYLSTTTGPVAGTLYLSTARVAFCSDRPLSFTAPSGQETWSYYKVMIPLANVGSVNPVVMKENPVESYIQVVTVDGHDFWFMGFVNFEKASFHLLNSVQDGKPLP >KJB31565 pep chromosome:Graimondii2_0_v6:5:56864338:56865838:-1 gene:B456_005G196000 transcript:KJB31565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTPTQENTKVEGSHEPPSEEDIKKWGTHVMGTPAAPSAHPDNQKAALWNASDHQQIYELPYVVYSPAERPSHNPFEPVINMFNTWSRKTESIARNIWHNLKTGPSVSEAAWGKLNLTAKAITEGGFESLFKQIFATDTDERLKKTFACYLSTTTGPVAGTLYLSTARVAFCSDRPLSFTAPSGQETWSYYKVMIPLANVGSVNPVVMKENPVESYIQVVTVDGHDFWFMGFVNFEKASFHLLNSVQDGKPLP >KJB31566 pep chromosome:Graimondii2_0_v6:5:56864410:56865618:-1 gene:B456_005G196000 transcript:KJB31566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTPTQENTKVEGSHEPPSEEDIKKWGTHVMGTPAAPSAHPDNQKAALWNASDHQQIYELPYVVYSPAERPSHNPFEPVINMFNTWSRKTESIARNIWHNLKTGPSVSEAAWGKLNLTAKAITEGGFESLFKQIFATDTDERLKKTFACYLSTTTGPVAGTLYLSTARVAFCSDRPLSFTAPSGQETWSYYKCESGGDERESGGELYSGSYGGWARLLVHGIC >KJB32686 pep chromosome:Graimondii2_0_v6:5:63290982:63292298:1 gene:B456_005G255700 transcript:KJB32686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYWSELPPELLTLIAKRLETQFDVLRFRSICRSWRSSFPPKLYPLPKRLPSSKKTKAGCRFSLNQITIATLFLVRLPPATENRSNQTDPSCWLIKISDGKPLNPLTDSGLNANLPKVLDLINCQVIELGHGYVGRYSVHINYRFRGLYRNYIEKVEILRLSPDGDDFMAVGLFSHGVEYLALLKSGENEWTVLENMHGIQDIITSNNKFYAIERSGGTIIVDQFFSVGFIQHAVGSPTNRKFLVESSGNLLTVEMIFLENSESNAGFRIFKLDEENQKWDEIKSLGDQILILGLHQVVSVSAYEFHWGDQGNLVFYSIDGNVEDRVMYVFDLEKGISIPLENCPVYCNLFWPLPVWLNSSESVVSSSMEATPGTEFANLGICEDVGSSFSSS >KJB28455 pep chromosome:Graimondii2_0_v6:5:4933577:4935155:1 gene:B456_005G049900 transcript:KJB28455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDQLQVLNALDVAKTQWYHFTAIIIASMGFFTDAYDLFCISLVTKLLGRIYYHKEGDSTPGTLPSNVSAAINGVAFCGTLAGQLFFGWLGDKMGRKRVYGMTLLLMVISSVASGLSFGSDSKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYTNKKTRGAFIASVFAMQGFGILAGGMIAIMVSAVFKAYYPAPSYEIDANLSTVPEADYIWRIILMFGAFPALLTYYWRTKMPETARYTALVAKNAKQAAADMSKVLQVDLESEPEKLQQKKGNEFGLFTTRFFNRHGPHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKAKTMNAIEEVYKIARAQTLITLCSTVPGYWFTVALIDKIGRFKIQLMGFFFMTIFMFALAIPYHHWTLPNNRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKAGAMVGAFGFLYAADAIGVKKSLMILAFINFLGMLFTFLVPESKGKSLEEISGEADQEKGTETQSG >KJB30126 pep chromosome:Graimondii2_0_v6:5:31875535:31876669:-1 gene:B456_005G130600 transcript:KJB30126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLRVITITLPHPRLPNTCFPLFCYSVFCPRPQPSDSLTPPCTDTFFIALFPDFAFTRLLFIELFPDFPGYRFGSSLLSLGGMVLGLIDILHLIHGRLLFLFLCYVIQRLLRHASMLYEVV >KJB28388 pep chromosome:Graimondii2_0_v6:5:4286067:4288135:1 gene:B456_005G045100 transcript:KJB28388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPMSSLKTLAFLSIFLLLHLKIAKTDFLSPLLSPLFDDVCKEVECGRGKCKPSINGTLPFYICECDPGWKQTFSDKDDHAHLKFLPCIVPNCSMNNVCSAAPSPAQEKASDTDQSAFDICKWTNCGGGSCNKTSPFTYDCRCSEGYFNLLNVSAFPCYKECAIGLDCANLGISLTNKSTPVTPTPSTSQNDVNQACLKLLGTWHWVIVLVLLLAMVA >KJB28080 pep chromosome:Graimondii2_0_v6:5:2289721:2292665:1 gene:B456_005G026200 transcript:KJB28080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYHKNVCDDCFSTNLESSNSSAQTSPLKCFSSFIKTLRFRFLVTPNPTFVKKDQVNYLRAAVTTMSQPHFLLVTFPAQGHINPTLQFAKHLIRIGVRVTFITCISAHRRMSKVPTAQGLTFLPFSDGYDDECQPGDDIDHYLSELRRRGKEAVSEFITSSENEGKPVTCIVYTLFIHWASEVARKHHIPTALLWIQPATVFDIYYFYFNGHESTFKAQADEKNPKRSIKLPGLPLLATRDLPSFLTALNVYRWALSLIQEQMDVVADESNPKILVNTFDALEHEALNAIENFIMVGIGPLIPSSFLNSNDSLDNSLRTDLFQSDSKDYLQWLDSKPKSAVVYVSFGSIAVLTEQQVEEIARALISSRRPFLWVVRNRKDGGEEEKEEDKLTCREELEQFGMVVPWCSQVEVLFHPSLGCFVTHCGWNSRLESMVAGVPVVAFPQWTTAKLIEDVWGNGVRVSANEEGMVERDEIVRCLDLVMGDDEKGMEVKKNVEKWKGLASEAAMEGGSMDMNLKAFVDDIAEGCQWISTHRRVEEIA >KJB31614 pep chromosome:Graimondii2_0_v6:5:57234307:57235307:1 gene:B456_005G197800 transcript:KJB31614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNNSKINSPSSSKNKRKQQQKQPQQQQQQQEARFLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALAYDRAARSMRGSKVRTNFVYSDMPVGSSVTSIISPDESQHDMSSIFPIHPPFHQQTDANQNQLFFTQDPFNACHFSSGWNQESSDTLVSYTPITGVMDAAGNGGSQQFSDDCELPPLPPDVSSTCYGSGVDMSYTYGSWSDTGLLGSADGFDSGVSQPFFDFNSYELMQHTPVFGKIPSVPDTVAEGFDLGSSSSGYFF >KJB31308 pep chromosome:Graimondii2_0_v6:5:54385811:54387273:1 gene:B456_005G185500 transcript:KJB31308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAIDAAALVIQHPCGRIERFYWPILASEVMRTNPGHYVSLIIPLPPSEDANQDDKAVRFTRVKLLRPSDTLALGHAYRLVTSQEVMEVLKAKKYAKTTRQHLESSEKLQHEQGNNRSSESYQSQVTKHERRRPRTSPANTAAMSSKSWQPSLQSISEFGS >KJB31586 pep chromosome:Graimondii2_0_v6:5:57455399:57458631:1 gene:B456_005G199700 transcript:KJB31586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGAAARAVLRSSSARNAAARLAPQSKAAPSQFRVSSRIPLSNCIYRCPVEASFCLESMLPYHSATASALMTSMLTVSRQSYVWLSEGL >KJB31585 pep chromosome:Graimondii2_0_v6:5:57455399:57457693:1 gene:B456_005G199700 transcript:KJB31585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGAAARAVLRSSSARNAAARLAPQSKAAPSQFRVSSRIPLSNCIYRCPVEASFCLESMLPYHSATASALMTSMLTVSRQSYVWLSEACNDDV >KJB28050 pep chromosome:Graimondii2_0_v6:5:2084328:2085947:-1 gene:B456_005G024300 transcript:KJB28050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLHHSLSLLFIVVCSLSWVSASANSHDDFLECLYSYHPKESSSITQVIYTETNSSYSAVLDSSIRNHRFSTPNTPKPLVIVTPLNISHVQATIHCSKKQGLQIRTRSGGHDFEGLSYVSHVPFVVIDLVNLRSVDVDVENEEAWVQSGATVGEVYYRINERSTNLTFPAAVGRTVGIGGSISGGGDGILFRKYGLSADNVINAQLVDANGRVLDRRSMGEDLFWAIRGGGGGSFGIVISWKIKLVHVPSTVTVFSVGRTLEQNATQLLHRWQYVAPNLPNEYTQSLRYQRRTPVKIGQRRFLPPLFHCGANDFIPLMQERFPELGLVKEDFIEMTWIESLLLLNGASNETSEILLDRSNRYSILPPSFKSKSDYHYLQGLWPQQLEVDEGGIALQNIFAYGGIMEEISETETPFPHRKGTLYKIYYNIGWLEEENNNSQRYISWMRKLYSYMGPFVSKSPREVYVNYRDLDIGRNNDDGKASYKQASIWGRKYFKNNFDRLVYVKTKTDPKNFFKHEQSIPPRFH >KJB31841 pep chromosome:Graimondii2_0_v6:5:59154508:59158149:1 gene:B456_005G210500 transcript:KJB31841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMTPNLQATDCSNLIPSLTSLFPPRLLHRPLSLPHSRFISKASLHHPSSPSSSSSPPSLVNRRQIISQTASISLSLATLSSLPLPAKSEEVLSEWERVYLPIDPGVVLLDIAFVPDDLNHGFLLGTRQTILETKDGGNTWTQRSIPSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTSDAGESWERIPLSAQLPGDMVYIKATGEKSAEMVTDQGAIYVTSNRGYNWRAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAIARRIQNMGWRADGGLWLLVRGGGLFLSKGTGISEDFEEVPVQSRGFGILDVGYRSERLGQQGAVGFC >KJB31842 pep chromosome:Graimondii2_0_v6:5:59154508:59158176:1 gene:B456_005G210500 transcript:KJB31842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMTPNLQATDCSNLIPSLTSLFPPRLLHRPLSLPHSRFISKASLHHPSSPSSSSSPPSLVNRRQIISQTASISLSLATLSSLPLPAKSEEVLSEWERVYLPIDPGVVLLDIAFVPDDLNHGFLLGTRQTILETKDGGNTWTQRSIPSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTSDAGESWERIPLSAQLPGDMVYIKATGEKSAEMVTDQGAIYVTSNRGYNWRAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAIARRIQNMGWRADGGLWLLVRGGGLFLSKGTGISEDFEEVPVQSRGFGILDVGYRSEEEAWAAGGSGVLLRTTNGGKNWTRDKAADNIAANLYSVK >KJB31840 pep chromosome:Graimondii2_0_v6:5:59154476:59159783:1 gene:B456_005G210500 transcript:KJB31840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMTPNLQATDCSNLIPSLTSLFPPRLLHRPLSLPHSRFISKASLHHPSSPSSSSSPPSLVNRRQIISQTASISLSLATLSSLPLPAKSEEVLSEWERVYLPIDPGVVLLDIAFVPDDLNHGFLLGTRQTILETKDGGNTWTQRSIPSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTSDAGESWERIPLSAQLPGDMVYIKATGEKSAEMVTDQGAIYVTSNRGYNWRAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAIARRIQNMGWRADGGLWLLVRGGGLFLSKGTGISEDFEEVPVQSRGFGILDVGYRSEEEAWAAGGSGVLLRTTNGGKNWTRDKAADNIAANLYSVKFINDKKGFVLGNDGVLLRYLG >KJB29215 pep chromosome:Graimondii2_0_v6:5:12012783:12014855:1 gene:B456_005G089600 transcript:KJB29215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFLFMLLVSILNPVVSHKSCDFPAVFNLGDSNSDTGGYASAFTPPTSPYGDTYFHMPARRFSDGRLTVDFIAEAFGLPFINAYLDSVGTNFSHGINFATAASTIRLPISVIPNGVFSPFYLGFQYSQFEQFKVRSQMIRKQEGFFSNLTIQEVNASIPDIINKFSANIKNIYNLGARFFWVHNTGPIGCLPYVLIAFASAEKDPAGCLKPYNEVAQYFNLKLQESIAQLRNEFPSAAFTYVDIYSVKYSLFAEPQKHGFELPLVTCCGYGGEYNYSAAVLCGGTITVNGTEIFVGSCDNPSVRVVWDGIHFTEAANKFIFDQISTGSFSDPAVPLKQACQS >KJB29214 pep chromosome:Graimondii2_0_v6:5:12012783:12014855:1 gene:B456_005G089600 transcript:KJB29214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFLFMLLVSILNPVVSHKSCDFPAVFNLGDSNSDTGGYASAFTPPTSPYGDTYFHMPARRFSDGRLTVDFIAEAFGLPFINAYLDSVGTNFSHGINFATAASTIRLPISVIPNGVFSPFYLGFQYSQFEQFKVRSQMIRKQGGLLAGLMPKEEYFSKALYTFDIGQNDLAEGFFSNLTIQEVNASIPDIINKFSANIKNIYNLGARFFWVHNTGPIGCLPYVLIAFASAEKDPAGCLKPYNEVAQYFNLKLQESIAQLRNEFPSAAFTYVDIYSVKYSLFAEPQKHGFELPLVTCCGYGGEYNYSAAVLCGGTITVNGTEIFVGSCDNPSVRVVWDGIHFTEAANKFIFDQISTGSFSDPAVPLKQACQS >KJB28519 pep chromosome:Graimondii2_0_v6:5:5244825:5248496:-1 gene:B456_005G053000 transcript:KJB28519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQGKLFIGGISWETSEDRLKEYFGQYGDILQTVVMRDKVTGRPRGFGFVVFSDPSVLDTVLQEKHTIDGRTVEAKRALSREEQQTSARSGNFNQGRNSGGGGNIRTKKIFVGGLPPTLTEDGFRQYFEAYGHVTDVVIMYDQNTQRPRGFGFISFDTEDAVDRVLHKSFHDLNGKQVEVKRALPKDANPGGASRTMSGGASGFGGYQGYGSSGGNSSSYDGRMDSSSYMRAQGTGAGFPTYGSSGYAPGYGYGPANNGVGYGSYGNYGGAGAGYGAPAGAAYGNPNAGYASGPPGAPRSSWGTQAPSGYGAMGYGNAAPWGAGAGSGGPGSAATGQSPTGATGYGGQGYGYGGYGGNDGSYGNAGYGAAGGRSGGTPNSNAVAGGEDLQGSGGGYMVSGYGDVNGSSGYGNATWRSDSSQGSGNYGGAQANGPHGGQGGYGGGYGGAQGRQAQQQ >KJB28518 pep chromosome:Graimondii2_0_v6:5:5245098:5248425:-1 gene:B456_005G053000 transcript:KJB28518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQGKLFIGGISWETSEDRLKEYFGQYGDILQTVVMRDKVTGRPRGFGFVVFSDPSVLDTVLQEKHTIDGRTVEAKRALSREEQQTSARSGNFNQGRNSGGGGNIRTKKIFVGGLPPTLTEDGFRQYFEAYGHVTDVVIMYDQNTQRPRGFGFISFDTEDAVDRVLHKSFHDLNGKQVEVKRALPKDANPGGASRTMSGGASGFGGYQGYGSSGGNSSSYDGRMDSSSYMRAQGTGAGFPTYGSSGYAPGYGYGPANNGVGYGSYGNYGGAGAGYGAPAGAAYGNPNAGYASGPPGAPRSSWGTQAPSGYGAMGYGNAAPWGAGAGSGGPGSAATGQSPTGATGYGGQGYGYGGYGGNDGSYGNAGYGAAGGRSGGTPNSNAVAGGEDLQGSGGGYMVSGYGDVNGSSGYGNATWRSDSSQGSGNYGGAQANGPHGGQGGYGGGYGGAQGRQAQQQ >KJB28827 pep chromosome:Graimondii2_0_v6:5:8119600:8120720:-1 gene:B456_005G074000 transcript:KJB28827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSSSSSSDKTANLDSALHLLGFQLQDLSPTKVNGHLHVTHKCCQPFKVLHGGVSALIAEALASIGAHMASGFQRVAGIHLSINHLKRAELGDFIFAEATPVSPGKTIQYCSL >KJB28829 pep chromosome:Graimondii2_0_v6:5:8118880:8120699:-1 gene:B456_005G074000 transcript:KJB28829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSSSSSSDKTANLDSALHLLGFQLQDLSPTKVNGHLHVTHKCCQPFKVLHGGVSALIAEALASIGAHMASGFQRVAGIHLSINHLKRAELGDFIFAEATPVSPGKTIQYCSL >KJB28830 pep chromosome:Graimondii2_0_v6:5:8118880:8120699:-1 gene:B456_005G074000 transcript:KJB28830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSSSSSSDKTANLDSALHLLGFQLQDLSPTKVNGHLHVTHKCCQQPFKVLHGGVSALIAEALASIGAHMASGFQRVAGIHLSINHLKRAELGDFIFAEATPVSPGKTIQVWEVRLWKTKDSASSSDSRTLVSSSRVTLLCNLPVPDHAKDAADNLKKYAKL >KJB28831 pep chromosome:Graimondii2_0_v6:5:8120040:8120574:-1 gene:B456_005G074000 transcript:KJB28831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSSSSSSDKTANLDSALHLLGFQLQDLSPTKVNGHLHVTHKCCQPFKVLHGGVSALIAEALASIGAHMASGFQRVAGIHLSINHLKRAELGDFIFAEATPVSPGKTIQVRTVTIFTFIYLLGVENLIPFYYTKKKKQFNKKSLSVG >KJB28828 pep chromosome:Graimondii2_0_v6:5:8118843:8120720:-1 gene:B456_005G074000 transcript:KJB28828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSSSSSSDKTANLDSALHLLGFQLQDLSPTKVNGHLHVTHKCCQPFKVLHGGVSALIAEALASIGAHMASGFQRVAGIHLSINHLKRAELGDFIFAEATPVSPGKTIQVWEVRLWKTKDSASSSDSRTLVSSSRVTLLCNLPVPDHAKDAADNLKKYAKL >KJB29711 pep chromosome:Graimondii2_0_v6:5:22592725:22594226:1 gene:B456_005G115400 transcript:KJB29711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPSQDNIMDTVGVIWVDTKGNIASGASSGGIALKVSGRVGLAAMYGACCWASSKGPFGALFIVGCCVSGAGEYLMKGFAARECCVLSSL >KJB28854 pep chromosome:Graimondii2_0_v6:5:8006772:8008131:1 gene:B456_005G072900 transcript:KJB28854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKYRLRLKRLNFDLRKRTFYLHSRCLKKNNNYSNLMELVLKKRVVLIRVTQYHGVKSDGEELGLSSLFTSTTPPSTSPFLDLEIGVWGIAKGPHMFLRSPHQHHKTVYQAGNNHHKYYDPVEKF >KJB31090 pep chromosome:Graimondii2_0_v6:5:51466408:51468191:1 gene:B456_005G175500 transcript:KJB31090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAMAEMVSFSVSKWVISRLTFWDVSIALLGLFIFSCLHESLTRKGPMMWPVFGIIPSIVFHLSDAYNWGTRVLIKAGGTFHYRGMWMGGAYGIVTTDPSNIEYMLKTNFQNFPKGNHYRERFRDLLGDGIFNADNESWMEKRQLAKHEMHSSRFMEHSFKTMQDLVHKKLLILLQKLSISGQCFDLQEVLLRLTFDNICTVALGVNPGCLALDLPDVPFAKAFEEATELTLLRFLMPPFVWKPLKFFGLGNERRLKEAIKVVHDFADKKVRDRRNILGNLSNQSDFLSRLIESENKQGQNRHFPGNFLRDFCVSFILAGRDTTSVALAWFFWLIHKNPQVENKILAEIYEILCHPQCRTQDDHITVFTEDGLKKMVYLQAALSESLRLYPSVPIEMKQVLEDDVLPDGTRVKKGARVFNFLFSMARMESIWGKDCLEFKPERWIKDGKFVSANQFKYPVFNAGPRLCLGKNFSYTQMKMVAASVLLRYSVKVVEGHSVVPKLTTTLYMKSGLVVTLKPRLVNNA >KJB27623 pep chromosome:Graimondii2_0_v6:5:960021:962778:-1 gene:B456_005G013200 transcript:KJB27623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSVDGSPTISVPELAWWHYKTRERKGRLNSEITYKYIFSASFMHAGSQTAGGSLVKACGSLGAIKQVSPYFDDDVVKSSLVDMYAKCGLPDDSRLVFDSIKLKNTASWTAIIYGYARKGRKEEALELFLRTALISGLIQSGNGVDAFGLFVKMRRQGISIIDPLVLSSIVGASANLTMLEI >KJB27622 pep chromosome:Graimondii2_0_v6:5:959942:961195:-1 gene:B456_005G013200 transcript:KJB27622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAGSQTAGGSLVKACGSLGAIKQGKQVHGNFLVSPYFDDDVVKSSLVDMYAKCGLPDDSRLVFDSIKLKNTASWTAIIYGYARKGRKEEALELFLRVHLNLFA >KJB32608 pep chromosome:Graimondii2_0_v6:5:62829347:62833829:1 gene:B456_005G248600 transcript:KJB32608 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21280) TAIR;Acc:AT2G21280] MEVFCSLSWTHSISPRLHIPHPFSVGETNRFRVICSAAQSQKANQMTVSVTGATGFIGTRLVQRLHADNHNVCVLTRSKSKAETIFPGKKNFPGIVIAEEAGWKDCIEGSNAVVNLAGMPISTRWSPEIKKEIKESRIRVTSKVVDLINSSPQGVRPTVLVSATAVGYYGTSETQVFNENSPSGNDYLAEVCREWEGTALKVDKDVRLALIRIGVVLGKDGGALAKMIPLFMMFAGGPLGSGQQWFSWIHLDDIVNLIYEALSNPSYKGVINGTAPNPVRLSEMCNQLGNVLGRPSWLPVPDFALKAVLGEGASVVLEGQKVVPAKAKELGFPFKYPYVKDALKSILS >KJB32606 pep chromosome:Graimondii2_0_v6:5:62829347:62833808:1 gene:B456_005G248600 transcript:KJB32606 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21280) TAIR;Acc:AT2G21280] MEVFCSLSWTHSISPRLHIPHPFSVGETNRFRVICSAAQSQKANQMTVSVTGATGFIGTRLVQRLHADNHNVCVLTRSKSKAETIFPVKNFPGIVIAEEAGWKDCIEGSNAVVNLAGMPISTRWSPEIKKEIKESRIRVTSKVVDLINSSPQGVRPTVLVSATAVGYYGTSETQVFNENSPSGNDYLAEVCREWEGTALKVDKDVRLALIRIGVVLGKDGGALAKMIPLFMMFAGGPLGSGQQWFSWIHLDDIVNLIYEALSNPSYKGVINGTAPNPVRLSEMCNQLGNVLGRPSWLPVPDFALKAVLGEGASVVCSLFPYTSIKHMQLRFNIYCLCRFWRDKKWFLQKLRNWVSHLSTLMLKMHLNPFFHRIL >KJB32604 pep chromosome:Graimondii2_0_v6:5:62829288:62834158:1 gene:B456_005G248600 transcript:KJB32604 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21280) TAIR;Acc:AT2G21280] MEVFCSLSWTHSISPRLHIPHPFSVGETNRFRVICSAAQSQKANQMTVSVTGATGFIGTRLVQRLHADNHNVCVLTRSKSKAETIFPVKNFPGIVIAEEAGWKDCIEGSNAVVNLAGMPISTRWSPEIKKEIKESRIRVTSKVVDLINSSPQGVRPTVLVSATAVGYYGTSETQVFNENSPSGNDYLAEVCREWEGTALKVDKDVRLALIRIGVVLGKDGGALAKMIPLFMMFAGGPLGSGQQWFSWIHLDDIVNLIYEALSNPSYKGVINGTAPNPVRLSEMCNQLGNVLGRPSWLPVPDFALKAVLGEGASVVLEGQKVVPAKAKELGFPFKYPYVKDALKSILS >KJB32607 pep chromosome:Graimondii2_0_v6:5:62829347:62833825:1 gene:B456_005G248600 transcript:KJB32607 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21280) TAIR;Acc:AT2G21280] MEVFCSLSWTHSISPRLHIPHPFSVGETNRFRVICSAAQSQKANQMTVSVTGATGFIGTRLVQRLHADNHNVCVLTRSKSKAETIFPVKNFPGIVIAEEAGWKDCIEGSNAVVNLAGMPISTRWSPEIKKEIKESRIRVTSKVVDLINSSPQGVRPTVLVSATAVGYYGTSETQVFNENSPSGNDYLAEVCREWEGTALKVDKDVRLALIRIGVVLGKDGGALDIFTFAAKMIPLFMMFAGGPLGSGQQWFSWIHLDDIVNLIYEALSNPSYKGVINGTAPNPVRLSEMCNQLGNVLGRPSWLPVPDFALKAVLGEGASVVLEGQKVVPAKAKELGFPFKYPYVKDALKSILS >KJB32605 pep chromosome:Graimondii2_0_v6:5:62829347:62832433:1 gene:B456_005G248600 transcript:KJB32605 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21280) TAIR;Acc:AT2G21280] MEVFCSLSWTHSISPRLHIPHPFSVGETNRFRVICSAAQSQKANQMTVSVTGATGFIGTRLVQRLHADNHNVCVLTRSKSKAETIFPVKNFPGIVIAEEAGWKDCIEGSNAVVNLAGMPISTRWSPEIKKEIKESRIRVTSKVVDLINSSPQGVRPTVLVSATAVGYYGTSETQVFNENSPSGNDYLAEVCREWEGTALKVDKDVRLALIRIGVVLGKDGGALAKMIPLFMMFAGGPLGSGQQW >KJB29634 pep chromosome:Graimondii2_0_v6:5:20671762:20672573:1 gene:B456_005G111500 transcript:KJB29634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQCNARKAKESNPACQLQVKRRTDDHPPQITVAFVNGVEEVFNATSTPAQTIKTVILRKGQMLETEHMFHEAVEKWPVIIPEELHQSFPGTKESRREEAVTAICLTILLE >KJB28739 pep chromosome:Graimondii2_0_v6:5:7140821:7142991:-1 gene:B456_005G067000 transcript:KJB28739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGNGPPKPIWNIVMVSAIAAGIQFGWALQLSLLTPYVQTLGVPHVWAAFIWLCGPISGLLVQPIVGYFSDHCTSRIGRRRPFIAAGACLVAMAVFFVGFAKDIGHRAGDSLDNPTKPRAVAVFVTGFWILDVANNMLQGPCRAFLADLSGNDHKRMRIANGWFSFFMAIGNVLGYAAGSYSDLYKLLPFTTTTACDVYCANLKTCFIIDIVFLLSVTITAITTVKETPLKSNGSLDEGEGGSSEPFIVEIVTAFKTLKKPMWILLLVTCLNWIAWFPFLLYDTDWVGVEVFGGKVNGSSSEQKLYDDGVRAGALGLMINSIVLAFTSLGLEPVSRLIGGVKNLWGVVNFILAAGLAGTVWITKVAEAWRAKQGPQILTSPPTNVKSFALAVFGLLGIPLSVTFSIPFALASIYCADAGGGQGLSLGVLNLSIVIPQMFVSVISGPLDAAFGGGNLPAFVLGSIVAAISALLAIFALPNPKNQLSLNSGAVVGGGH >KJB31085 pep chromosome:Graimondii2_0_v6:5:51123928:51125989:1 gene:B456_005G175100 transcript:KJB31085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSWLATCAASSCATLTQDSTIPFPKKQPRLSEHLVSDSSSDNRGSATSSSASSSDTSYSSLPSNLSLQTLPSLPSLQLFPDTLVFSVSDISVSSIMPQPNHPITCVAVQGNFLYVASVNEISVYDRQTSTLLDAYNGNESSSGSVKSVTFCDGKVFTAHQDSKIRVWQMTVTKKHKFLTALPTVNDRLRRFVLPKNYVSVRRHVKRLWIEHADAVTGLAVNRGLIYSVSWDKTLKIWRASDARCLQSIKAHDDAINAITASVDGTVYTGSADRRIRVWAKPSGEKRYALVATLEKHKSAVNALALDDERSVLFSGACDRSILVWEREDSANYMVVTGALRGHGKAILCLINVCDLLMSGSADRTVRIWQRGVEGKYCCLAVLEGHQKPVKSLTAVRDDEHSDVVSVISGSLDGEIRMWKVSFSKPGSPSSATDF >KJB29535 pep chromosome:Graimondii2_0_v6:5:18823364:18823972:-1 gene:B456_005G106000 transcript:KJB29535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIASISKPPTKWFSNKGLRLSLSLLRSRSKSSSSLLPPSVAATVPALHNNTKEEALQAVFHRFDTDGDGKISSEELISYFASIGDNMSKEEAQRIIQDFDIDGDNLLEFKAFEIYEVDKGSGCITPVGLQQKLNRLGDMKSYEDCIAMIRVFDLDGNGVLDFHEFQQMMKGEPCKLAEVPRERE >KJB31724 pep chromosome:Graimondii2_0_v6:5:58382212:58389756:1 gene:B456_005G204500 transcript:KJB31724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRSGMEWKNLNIFLGFLLIYAARISFGLTNPSDVAAINSLYAALGSPLLPGWIASGGDPCAEAWQGVQCNGSDIMSIVLNGANLGGQLGDNLGMFASIKAIDLSNNQIGGNIPSNLPVTMQNFFLSANQFSGSIPDSLSSLTMLTDMSLNNNNLSGEIPDAFQSLSGLINLDLSNNKLSGSLPPSMENLSSLTTLRLQANQLSGTLDVLQDLPLRDLNIENNLFNGPIPEKMLSIPSFKNDGNPFNSTVAPLPGPTSPLAPPHAPPHSVVPASGQTPTSDQTPGKHADGPSASEGSNSSQKKNFLTTKRVVWISIASVLLFIILALALLLFMPKCSRGREEAGGIFKRHQVGAYRGNRENIVGDAPLTTTASQTEKGPKDAAMRPVGGNETERGRMGDIAKLPNKEERYVERIGTTPKRFDHEIDMSGYDVMLMEPPRPPPPPPPPPPPPPPPPPPALAEKVILKPIVPNEVTAGPSAKTPKPSTFARSFTIAALQQYTNSFSQENLLGGGMLGSVYRAELPDGKLLAVKKLEKRVASQQKDDEFIELVENIDRIQHVNVVRLMGYCAEHGQKLLIYEYCSNGSLQDALHSDDEFKKLLSWNTRIRMALGAARALEYMHEACQPPVIHRNFQSANVLLDDDLDVRVSDCGLAPLIASGSVSQLSGQLQSIYGYGAPEFESGIYTSQSDVYSFGVFMLELLTGRKSHDRTRSRGEQFLVRWAIPQLHDIEALSRMVDPSLNGEYPAKALSRFADIISRCVQSEPEFRPPMSEVVQDLLDIIRRERPSNESIGD >KJB31723 pep chromosome:Graimondii2_0_v6:5:58381691:58389756:1 gene:B456_005G204500 transcript:KJB31723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRSGMEWKNLNIFLGFLLIYAARISFGLTNPSDVAAINSLYAALGSPLLPGWIASGGDPCAEAWQGVQCNGSDIMSIVLNGANLGGQLGDNLGMFASIKAIDLSNNQIGGNIPSNLPVTMQNFFLSANQFSGSIPDSLSSLTMLTDMSLNNNNLSGEIPDAFQSLSGLINLDLSNNKLSGSLPPSMENLSSLTTLRLQANQLSGTLDVLQDLPLRDLNIENNLFNGPIPEKMLSIPSFKNDGNPFNSTVAPLPGPTSPLAPPHAPPHSVVPASGQTPTSDQTPGKHADGPSASEGSNSSQKKNFLTTKRVVWISIASVLLFIILALALLLFMPKCSRGREEAGGIFKRHQVGAYRGNRENIVGDAPLTTTASQTEKGPKDAAMRPVGGNETERGRMGDIAKLPNKEERYVERIGTTPKRFDHEIDMSGYDVMLMEPPRPPPPPPPPPPPPPPPPPPALAEKVILKPIVPNEVTAGPSAKTPKPSTFARSFTIAALQQYTNSFSQENLLGGGMLGSVYRAELPDGKLLAVKKLEKRVASQQKDDEFIELVENIDRIQHVNVVRLMGYCAEHGQKLLIYEYCSNGSLQDALHSDDEFKKLLSWNTRIRMALGAARALEYMHEACQPPVIHRNFQSANVLLDDDLDVRVSDCGLAPLIASGSVSQLSGQLQSIYGYGAPEFESGIYTSQSDVYSFGVFMLELLTGRKSHDRTRSRGEQFLVRWAIPQLHDIEALSRMVDPSLNGEYPAKALSRFADIISRCVQSEPEFRPPMSEVVQDLLDIIRRERPSNESIGD >KJB31725 pep chromosome:Graimondii2_0_v6:5:58383601:58389576:1 gene:B456_005G204500 transcript:KJB31725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNFFLSANQFSGSIPDSLSSLTMLTDMSLNNNNLSGEIPDAFQSLSGLINLDLSNNKLSGSLPPSMENLSSLTTLRLQANQLSGTLDVLQDLPLRDLNIENNLFNGPIPEKMLSIPSFKNDGNPFNSTVAPLPGPTSPLAPPHAPPHSVVPASGQTPTSDQTPGKHADGPSASEGSNSSQKKNFLTTKRVVWISIASVLLFIILALALLLFMPKCSRGREEAGGIFKRHQVGAYRGNRENIVGDAPLTTTASQTEKGPKDAAMRPVGGNETERGRMGDIAKLPNKEERYVERIGTTPKRFDHEIDMSGYDVMLMEPPRPPPPPPPPPPPPPPPPPPALAEKVILKPIVPNEVTAGPSAKTPKPSTFARSFTIAALQQYTNSFSQENLLGGGMLGSVYRAELPDGKLLAVKKLEKRVASQQKDDEFIELVENIDRIQHVNVVRLMGYCAEHGQKLLIYEYCSNGSLQDALHSDDEFKKLLSWNTRIRMALGAARALEYMHEACQPPVIHRNFQSANVLLDDDLDVRVSDCGLAPLIASGSVSQLSGQLQSIYGYGAPEFESGIYTSQSDVYSFGVFMLELLTGRKSHDRTRSRGEQFLVRWAIPQLHDIEALSRMVDPSLNGEYPAKALSRFADIISRCVQSEPEFRPPMSEVVQDLLDIIRRERPSNESIGD >KJB32730 pep chromosome:Graimondii2_0_v6:5:63416203:63417743:-1 gene:B456_005G258100 transcript:KJB32730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHTLLFSSLFLSYAVVLATAVQCGEEAGGALCPGGICCSRWGYCGTTDSYCLEENGCQLNCTDGDSGGGGGGGGGGGGGGSSGDGGALGDIISSELFEEMLPYRNDPRCPAANFYTYDAFIAAAKLYPDFAATGDNDTRKREVAAFLGQTSHETTGGWDGAPGGRYAWGYCFNEEVGCPAGYCEYNPNYPCYPGVNYCGRGPMQLSWNYNYGQFGESIGQKEELLQHPEVLKTNVTLSFMSAFWFWMTAQPPKPSCHSVITGEWIPSANDVAAGRLPGYGVTTNIINGGLECGHGPDSRVESRIKFYERYCDILGVSYGPDLDCYNQRPFSWGLLVESI >KJB28425 pep chromosome:Graimondii2_0_v6:5:4491359:4491733:1 gene:B456_005G047300 transcript:KJB28425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLGTFIVNRIYRIVINKILQSPGIYYRSELEHNRISVYTGTIISDWGGRLELEVDKKSKDMGSCK >KJB31392 pep chromosome:Graimondii2_0_v6:5:54982122:54984671:1 gene:B456_005G189000 transcript:KJB31392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAKFDSLVSLSRLRLVQFLMGVLFLYLLFISFEIPLVFKTTSAGFYTDALPRPLFLESEEDFTDKSAPARPTDDPELVRLAGSRTPPRRMWEYKEVSGLLFNESSFDSNDSKDEFSVLHKTARHAFVLGKKLWDDLQSPQNKSDSEPERQNQKQNRTGSCPESISLSGSEFVNRSRVLVIPCGLTLGSHITVIGMPHWAHAEYDPKIAILKEGDESVMVTQFMMELQGLKTVEGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGTALRCEGWKSRAAEETVDGQVKCEKWIRDDDNGSEESKATWWLKRLIGRKNKVALDWPYPFAEGRLFVLTLSAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLKGDLDVHSVFAAALPTSHPSFAPQKHLERLSKWKAPPLPEGNVELFIGVLSAGNHFAERMAVRKSWVQHKLIKSSKVVARFFVALNGRKDINVELKKEAEYFGDIVIVPYMDNYDLVVLKTVAICEYGIRTVAAKYIMKCDDDTFVRVDPVIKEAKKLGGRSLYIGNMNYYHKPLRNGKWAVTYEVCTSS >KJB31391 pep chromosome:Graimondii2_0_v6:5:54982071:54986602:1 gene:B456_005G189000 transcript:KJB31391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAKFDSLVSLSRLRLVQFLMGVLFLYLLFISFEIPLVFKTTSAGFYTDALPRPLFLESEEDFTDKSAPARPTDDPELVRLAGSRTPPRRMWEYKEVSGLLFNESSFDSNDSKDEFSVLHKTARHAFVLGKKLWDDLQSPQNKSDSEPERQNQKQNRTGSCPESISLSGSEFVNRSRVLVIPCGLTLGSHITVIGMPHWAHAEYDPKIAILKEGDESVMVTQFMMELQGLKTVEGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGTALRCEGWKSRAAEETVDGQVKCEKWIRDDDNGSEESKATWWLKRLIGRKNKVALDWPYPFAEGRLFVLTLSAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLKGDLDVHSVFAAALPTSHPSFAPQKHLERLSKWKAPPLPEGNVELFIGVLSAGNHFAERMAVRKSWVQHKLIKSSKVVARFFVALNGRKDINVELKKEAEYFGDIVIVPYMDNYDLVVLKTVAICEYGIRTVAAKYIMKCDDDTFVRVDPVIKEAKKLGGRSLYIGNMNYYHKPLRNGKWAVTYEEWPEEEYPPYANGPGYIVSSDIAQFIVDEFENHKLRLFKMEDVSMGMWVEKFNSSKAVEYQHSLKFCQFGCIEDYYTAHYQSPRQMLCMWDKLQKQGKPQCCNMR >KJB28233 pep chromosome:Graimondii2_0_v6:5:3400627:3405081:1 gene:B456_005G035800 transcript:KJB28233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLAPSTQWQMTLPKTSTYGSPIATKMWSSLVLKQNKKGAAKSSGKFKVVALSENSTVNRLENLLNMDVTPYTDKIIAEYVWIGGSGLDMRSKSRTISKPVKHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDAYTPAGEPIPTNKRHRAAEIFSNKKVVDEVPWFGIEQEYTLLQQNVKWPLGWPVGGYPGPQGPYYCAAGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWCSRYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHKEHISAYGEGNERRLTGKHETASINTFSWGVANRGCSIRVGRDTEKNGKGYLEDRRPASNMDPYVVTALLAETTLLYEPTLEAEALAAQKIALNV >KJB27559 pep chromosome:Graimondii2_0_v6:5:20441347:20443572:1 gene:B456_005G111200 transcript:KJB27559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB27557 pep chromosome:Graimondii2_0_v6:5:20441347:20443572:1 gene:B456_005G111200 transcript:KJB27557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB27558 pep chromosome:Graimondii2_0_v6:5:20442336:20443572:1 gene:B456_005G111200 transcript:KJB27558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB29678 pep chromosome:Graimondii2_0_v6:5:21595641:21596920:-1 gene:B456_005G113400 transcript:KJB29678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGLKFTFLMPLMVACLMAASASIFHNNFDITWGDGRGKIVNNREVLTLSLDKASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYVRSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGTPIREFKNMESLGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFNADACVWSNGASSCKSNTSPSSASTNRAWFSQEMDSAKQQRLQWVQKNYMIYNYCNDAKRFPQGLPPECNMS >KJB29679 pep chromosome:Graimondii2_0_v6:5:21595641:21596958:-1 gene:B456_005G113400 transcript:KJB29679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGLKFTFLMPLMVACLMAASASIFHNNFDITWGDGRGKIVNNREVLTLSLDKASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGTPIREFKNMESLGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFNADACVWSNGASSCKSNTSPSSASTNRAWFSQEMDSAKQQRLQWVQKNYMIYNYCNDAKRFPQGLPPECNMS >KJB29677 pep chromosome:Graimondii2_0_v6:5:21595832:21596569:-1 gene:B456_005G113400 transcript:KJB29677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYIFIYILDLQLSSKGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGTPIREFKNMESLGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFNADACVWSNGASSCKSNTSPSSASTNRAWFSQEMDSAKQQRLQWVQKNYMIYNYCNDAKRFPQGLPPECNMS >KJB32211 pep chromosome:Graimondii2_0_v6:5:61225728:61226901:-1 gene:B456_005G229600 transcript:KJB32211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTICLFVLLELTISCSLVSVGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGEGDEEGEDEY >KJB32210 pep chromosome:Graimondii2_0_v6:5:61225507:61227836:-1 gene:B456_005G229600 transcript:KJB32210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISVHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGEGDEEGEDEY >KJB30794 pep chromosome:Graimondii2_0_v6:5:46161619:46164905:1 gene:B456_005G160300 transcript:KJB30794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPLTFPGIFSALLAFSFSLLLFCHPIIGGHNYHDALRKSILFFEGQRSGRLPPDQRVKWRRDSALHDGSTAGVDLTGGYYDAGDNVKFGFPMAFTTTLLAWSIIDFGRNMGPELKNTVKAVKWSTDYLLKATEKPGVVYVQVGDAYSDHSCWERPEDMDTLRTVYKIDNAHPGSDVAGETAAALAAASIVFRKRDPAYSRLLLNRAIRVFNFADKHRGAYSSSLHSAVCPFYCDVNGYQDELLWGAAWLHKASRKRVYREYIVKNEVVLRAGDTINEFGWDNKHAGINVLISKEVLMGKADYFESFKQNADGFICSLLPGISHPQVQYSPGGLMFKTGGSNMQHVTSLSFLLLAYSNYLSHANKVVPCGESSASPALLKHLAKRQVV >KJB30793 pep chromosome:Graimondii2_0_v6:5:46161613:46165096:1 gene:B456_005G160300 transcript:KJB30793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPLTFPGIFSALLAFSFSLLLFCHPIIGGHNYHDALRKSILFFEGQRSGRLPPDQRVKWRRDSALHDGSTAGVDLTGGYYDAGDNVKFGFPMAFTTTLLAWSIIDFGRNMGPELKNTVKAVKWSTDYLLKATEKPGVVYVQVGDAYSDHSCWERPEDMDTLRTVYKIDNAHPGSDVAGETAAALAAASIVFRKRDPAYSRLLLNRAIRVFNFADKHRGAYSSSLHSAVCPFYCDVNGYQDELLWGAAWLHKASRKRVYREYIVKNEVVLRAGDTINEFGWDNKHAGINVLISKEVLMGKADYFESFKQNADGFICSLLPGISHPQVQYSPGGLMFKTGGSNMQHVTSLSFLLLAYSNYLSHANKVVPCGESSASPALLKHLAKRQVDYILGDNPLGMSYMVGYGARFPRRIHHRGSSLPSVAAHPARIGCKAGTRYYLSPNPNPNLLVGAVVGGPNVSDAFPDSRPYFQESEPTTYINAPLVGLLAFFSAHP >KJB27448 pep chromosome:Graimondii2_0_v6:5:40124491:40128667:-1 gene:B456_005G146900 transcript:KJB27448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSMNNMGAFLLSAGTKGKRYSLPNSRVMIHQSLGGAEGGQTDIDIQANEMLHHKADLNLNIFLTHAALLLK >KJB30653 pep chromosome:Graimondii2_0_v6:5:43269392:43272102:1 gene:B456_005G153900 transcript:KJB30653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNQEVMWPRLVANKILRKRLGSNNFVADFPCNKEIPSLDRPSLSPNSIFSHHKDTHNYRIFVSTWNVGGVAPPEDLDIEDLLDTGNTSCEIYVLGFQEIVPLSASNVLGSENSKVSMKWNSLIRRALNNKKKPHCLDKIQHSNEERNKNIPGQDFRCIISKQMVGILITVWVRSYLCPYIRYPSVSCIGCGIMGCLGNKGSVSVRFRLHETSFCFVCSHLASGGRQGDEKLRNANVSEIFSRTSFPRGPSLDLPRKILDHDRVIFLGDLNYRISLPESNVRLLVDERDWKSLLENDQLRMELMNGQFEGWNEGSIQFAPTYKYRPNSDVYYGCFHQSKKGEKKRAPAWCDRIIWYGKGLKQHEYDRGEENFSDHRPVKAIFTAEVGVLHSLKQFQSVFLSERFDRITSQTDDFVCKGRSSFQI >KJB32428 pep chromosome:Graimondii2_0_v6:5:62155015:62156988:1 gene:B456_005G240300 transcript:KJB32428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGMALLTRSSLLANTLVLSALKIPSLILLSCFRDMQMHCKGSRHLAAKSKAKEKELTRQNEINKRLALEGSSTTSSINSSTTKQNARLPSKSKPLIQMAQKAASEILGNKRLEVDSRNENHNTVVPRQNDVKNATLGFSRDHNCLNETSHKLIQNHLDFRECRERELKFTSAGWKRDCHGKWYKDENVEFDSDEEDPNSSF >KJB32429 pep chromosome:Graimondii2_0_v6:5:62154731:62157322:1 gene:B456_005G240300 transcript:KJB32429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYGGDSWGREAQYRKRRIDEVVLEGIEIDDGHGSSYKKLASGKYACLVCPQNPIFDTPLMLSMHCKGSRHLAAKSKAKEKELTRQNEINKRLALEGSSTTSSINSSTTKQNARLPSKSKPLIQMAQKAASEILGNKRLEVDSRNENHNTVVPRQNDVKNATLGFSRDHNCLNETSHKLIQNHLDFRECRERELKFTSAGWKRDCHGKWYKDENVEFDSDEEDPNSSF >KJB32291 pep chromosome:Graimondii2_0_v6:5:61605124:61605816:1 gene:B456_005G233800 transcript:KJB32291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLFATLLVCSLLLCSSILEPVMAQPRSPSCAGKCKARCRKAAVWERCFKYCGICCEKCKCVPSGTYGNKHECPCYRDMVTNKGKPKCP >KJB29758 pep chromosome:Graimondii2_0_v6:5:23670675:23675407:-1 gene:B456_005G117700 transcript:KJB29758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPANLTGQFGDTTYTKVFVGGLAWETHKDTMKKYFEQFGDILEAVVITDKATGRSKGYGFVTFREPDAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGRNFRVMSTFQTGFGGGVGTATAFASAATFPPHYAIQQGIPCNVYGYSSYSPDYSNYPTSYYNVYGGATAQFPMYGAGPGGLITGTGTTFYPYLQFGEGTGGGATAYSSGQGYGLQYPHHLFQFSTGSYPQHYGAPMSLAPTPPLQSGVTMPLHAPAIPHR >KJB29757 pep chromosome:Graimondii2_0_v6:5:23672047:23675129:-1 gene:B456_005G117700 transcript:KJB29757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPANLTGQFGDTTYTKVFVGGLAWETHKDTMKKYFEQFGDILEAVVITDKATGRSKGYGFVTFREPDAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGRNFRVMSTFQTGFGGGVGTATAFASAATFPPHYAIQQGIPCNVYGYSSYSPDYSNYPTSYYNVYGGATAQFPMYGAGPGGLITGTGTTFYPYLQFGEGTGGGATAYSSGQGYGLQYPHHLFQFSTGSYPQHYGAPMSLAPTPPLQSVCFANPQA >KJB31458 pep chromosome:Graimondii2_0_v6:5:56189908:56193387:-1 gene:B456_005G192600 transcript:KJB31458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAGRRRGHELVPLAALISREMKNEKMEKPSVRCGHAAQSRKGEDYFLVKTDCQRVPGNPSSTFSVFAIFDGHNGNAAAIFTREHLLSHILSAVPRGLGREEWFQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDAWTVTVASVGDSRCILDTQGGGISTLTVDHRLEENVEEERVTASGGEVGRLSIAGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSEMAAKSCRGLPAELAARQVVKEALRTRGLKDDTTCIVVDIIPPESSPPPSPLPKKPNKLRSFLFRKSSRDSANKLSKKLSAVGIVEELFEEGSAMLAERLGIDEGAGQTTSGLFTCGVCQVDLAPSEGISVHAGSIFSTSSKPWQGPFLCAACRNKKDAMEGKRPSGVKVA >KJB31457 pep chromosome:Graimondii2_0_v6:5:56189852:56193493:-1 gene:B456_005G192600 transcript:KJB31457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAGRRRGHELVPLAALISREMKNEKMEKPSVRCGHAAQSRKGEDYFLVKTDCQRVPGNPSSTFSVFAIFDGHNGNAAAIFTREHLLSHILSAVPRGLGREEWFQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDAWTVTVASVGDSRCILDTQGGGISTLTVDHRLEENVEERERVTASGGEVGRLSIAGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSEMAAKSCRGLPAELAARQVVKEALRTRGLKDDTTCIVVDIIPPESSPPPSPLPKKPNKLRSFLFRKSSRDSANKLSKKLSAVGIVEELFEEGSAMLAERLGIDEGAGQTTSGLFTCGVCQVDLAPSEGISVHAGSIFSTSSKPWQGPFLCAACRNKKDAMEGKRPSGVKVA >KJB31459 pep chromosome:Graimondii2_0_v6:5:56189908:56193821:-1 gene:B456_005G192600 transcript:KJB31459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAGRRRGHELVPLAALISREMKNEKMEKPSVRCGHAAQSRKGEDYFLVKTDCQRVPGNPSSTFSVFAIFDGHNGNAAAIFTREHLLSHILSAVPRGLGREEWFQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDAWTVTVASVGDSRCILDTQGGGISTLTVDHRLEENVEERERVTASGGEVGRLSIAGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSEMAAKSCRGLPAELAARQVVKEALRTRGLKDDTTCIVVDIIPPESSPPPSPLPKKPNKLRSFLFRKSSRDSANKLSKKLSAVGIVEELFEEGSAMLAERLGIDEGAGQTTSGLFTCGVCQVDLAPSEGISVHAGSIFSTSSKPWQGPFLCAACRNKKDAMEGKRPSGVKVA >KJB31460 pep chromosome:Graimondii2_0_v6:5:56190070:56192370:-1 gene:B456_005G192600 transcript:KJB31460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAGRRRGHELVPLAALISREMKNEKMEKPSVRCGHAAQSRKGEDYFLVKTDCQRVPGNPSSTFSVFAIFDGHNGNAAAIFTREHLLSHILSAVPRGLGREEWFQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDAWTVTVASVGDSRCILDTQGGGISTLTVDHRLEENVEERERVTASGGEVGRLSIAGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSEMAAKSCRGLPAELAARQVVKEALRTRGLKDDTTCIVVDIIPPESSPPPSPLPKKPNKLRSFLFRKSSRDSANKLSKKLSAVGIVEELFEEGSAMLAERLGIDEGAGQTTSGLFTCGVCQVDLAPSEGISVHAGSIFSTSSKPWQGPFLCAACRNKKDAMEGKRPSGVKVA >KJB30546 pep chromosome:Graimondii2_0_v6:5:41028172:41029312:-1 gene:B456_005G149500 transcript:KJB30546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSAAINSFKSSNLISWKTSGKVSKVKIWPGFTGQGRYFEFHSNLIPASSDFVRESLLCTSLCRDGYKIRTVEHLLSALEAKGIDNCRIQIQSLDSEDTEVEVPIFDGSANAWVEAIEQVGRKEALDRCGNNVEKLAPYLSEPFYVSINDSFMVAFPASKVHISCGIDFPKVNSFVLWYADC >KJB30183 pep chromosome:Graimondii2_0_v6:5:33226474:33228595:1 gene:B456_005G132900 transcript:KJB30183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSPPLSPSPSPSPFPFLFPFPFSWATNQGPFSIPPSSSLNIHSFGEYCAQFEGLILVRNPNQGEIEKSAFSNAFRDSFYHYKSQLNTCLKNLPSTIAGKTNSHAFSWSNCLLPLAFAISAGSLTFQSHNNHPSLYEPSNLDSHKTGNFLHRSTSSIPYGGATSIEGHTLSPNGGVCIDMTLMKRVKALHIWDMDVVAEPGIGWMELNEYLEPYGLFFPLDPGPGATIGGMCATRCSGSLAMM >KJB30523 pep chromosome:Graimondii2_0_v6:5:40419368:40423777:1 gene:B456_005G147700 transcript:KJB30523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDSEQVLCHSTIGLKSDSKPYSFIDSKPFKNKEKPPDSVGLNAEGFVKDDMNRVMHDIKGNDGDTDPMLYLEKTGDGWPASKLDCSMSVNDFSNGNEKEARDFVPPNSHSLKNMGSFQDSVFYLDKSVMEYALPELVVCYKESAYHVVKDICIDEGVPTQDKFLFDSVVDKKSDCNFLPSEEDQDSKLLKEKSESDISMQAGSMYPEENQMDKDIDNERDSNKKTISDKCTQDISLSLEENEPKNRIPSQCDTEDLILSRKMTDDTMKMARDDVSKELFTLGELLSMPELSTVKPKAMSSNCKSDGIKQQCFQNSKEKEVMVMPPLVSADKESDNSSKETILSASAPVSVAEEMDSRKEEATMFSPVTSSSLVNEVSDDSKLAARSIAFGFDSSALTSSKNEGCHNLDREALETGHTPKLEDIADQPSSNNLQCGNGESSFSAAGLVTGLISYSGPIAYSGSLSHRSDSSTTSTRSFAFPILQSEWNSSPVRMAKADRRHYRKHRGWRQGLLCCRF >KJB30521 pep chromosome:Graimondii2_0_v6:5:40419869:40423408:1 gene:B456_005G147700 transcript:KJB30521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDSEQVLCHSTIGLKSDSKPYSFIDSKPFKNKEKPPDSVGLNAEGFVKDDMNRVMHDIKGNDGDTDPMLYLEKTGDGWPASKLDCSMSVNDFSNGNEKEARDFVPPNSHSLKNMGSFQDSVFYLDKSVMEYALPELVVCYKESAYHVVKDICIDEGVPTQDKFLFDSVVDKKSDCNFLPSEEDQDSKLLKEKSESDISMQAGSMYPEENQMDKDIDNERDSNKKTISDKCTQDISLSLEENEPKNRIPSQCDTEDLILSRKMTDDTMKMARDDVSKELFTLGELLSMPELSTVKPKAMSSNCKSDGIKQQCFQNSKEKEVMVMPPLVSADKESDNSSKETILSASAPVSVAEEMDSRKEEATMFSPVTSSSLVNEVSDDSKLAARSIAFGFDSSALTSSKNEGCHNLDREALETGHTPKLEDIADQPSSNNLQCGNGESSFSAAGLVTGLISYSGPIAYSGSLSHRSDSSTTSTRSFAFPM >KJB30520 pep chromosome:Graimondii2_0_v6:5:40419869:40423775:1 gene:B456_005G147700 transcript:KJB30520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDSEQVLCHSTIGLKSDSKPYSFIDSKPFKNKEKPPDSVGLNAEGFVKDDMNRVMHDIKGNDGDTDPMLYLEKTGDGWPASKLDCSMSVNDFSNGNEKEARDFVPPNSHSLKNMGSFQDSVFYLDKSVMEYALPELVVCYKESAYHVVKDICIDEGVPTQDKFLFDSVVDKKSDCNFLPSEEDQDSKLLKEKSESDISMQAGSMYPEENQMDKDIDNERDSNKKTISDKCTQDISLSLEENEPKNRIPSQCDTEDLILSRKMTDDTMKMARDDVSKELFTLGELLSMPELSTVKPKAMSSNCKSDGIKQQCFQNSKEKEVMVMPPLVSADKESDNSSKETILSASAPVSVAEEMDSRKEEATMFSPVTSSSLVNEVSDDSKLAARSIAFGFDSSALTSSKNEGCHNLDREALETGHTPKLEDIADQPSSNNLQCGNGESSFSAAGLVTGLISYSGPIAYSGSLSHRSDSSTTSTRSFAFPILQSEWNSSPVRMAKADRRHYRKHRGWRQGLLCCRF >KJB30519 pep chromosome:Graimondii2_0_v6:5:40419869:40423775:1 gene:B456_005G147700 transcript:KJB30519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVMHDIKGNDGDTDPMLYLEKTGDGWPASKLDCSMSVNDFSNGNEKEARDFVPPNSHSLKNMGSFQDSVFYLDKSVMEYALPELVVCYKESAYHVVKDICIDEGVPTQDKFLFDSVVDKKSDCNFLPSEEDQDSKLLKEKSESDISMQAGSMYPEENQMDKDIDNERDSNKKTISDKCTQDISLSLEENEPKNRIPSQCDTEDLILSRKMTDDTMKMARDDVSKELFTLGELLSMPELSTVKPKAMSSNCKSDGIKQQCFQNSKEKEVMVMPPLVSADKESDNSSKETILSASAPVSVAEEMDSRKEEATMFSPVTSSSLVNEVSDDSKLAARSIAFGFDSSALTSSKNEGCHNLDREALETGHTPKLEDIADQPSSNNLQCGNGESSFSAAGLVTGLISYSGPIAYSGSLSHRSDSSTTSTRSFAFPILQSEWNSSPVRMAKADRRHYRKHRGWRQGLLCCRF >KJB30522 pep chromosome:Graimondii2_0_v6:5:40419368:40423775:1 gene:B456_005G147700 transcript:KJB30522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVMHDIKGNDGDTDPMLYLEKTGDGWPASKLDCSMSVNDFSNGNEKEARDFVPPNSHSLKNMGSFQDSVFYLDKSVMEYALPELVVCYKESAYHVVKDICIDEGVPTQDKFLFDSVVDKKSDCNFLPSEEDQDSKLLKEKSESDISMQAGSMYPEENQMDKDIDNERDSNKKTISDKCTQDISLSLEENEPKNRIPSQCDTEDLILSRKMTDDTMKMARDDVSKELFTLGELLSMPELSTVKPKAMSSNCKSDGIKQQCFQNSKEKEVMVMPPLVSADKESDNSSKETILSASAPVSVAEEMDSRKEEATMFSPVTSSSLVNEVSDDSKLAARSIAFGFDSSALTSSKNEGCHNLDREALETGHTPKLEDIADQPSSNNLQCGNGESSFSAAGLVTGLISYSGPIAYSGSLSHRSDSSTTSTRSFAFPILQSEWNSSPVRMAKADRRHYRKHRGWRQGLLCCRF >KJB27539 pep chromosome:Graimondii2_0_v6:5:19664:20736:-1 gene:B456_005G000500 transcript:KJB27539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKEKKEKDNGSEIKWKLYQEILVVETKRVLVVIRRWGTKRTSMLRKTLKLDMLVLIGIGHKSMR >KJB27538 pep chromosome:Graimondii2_0_v6:5:19664:22375:-1 gene:B456_005G000500 transcript:KJB27538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKEKKEKDNGSEIKWKLYQEILVVETKRVLVVIRRWGTKRTSMLRKTLKLDMLVLIGIGHKSMR >KJB27726 pep chromosome:Graimondii2_0_v6:5:2478732:2481350:-1 gene:B456_005G028300 transcript:KJB27726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSYGLTAIPGTDARFFSPETSFLKLRRTFQPTRIKFGSFKIKAKIDEARKEMSFYELLGISETGTSLEIKQAYKQLARKYHPDVSPPDRVEEYTERFIRVQEAYETLSDPRTRALYDKDLALGIHLAFSARRRYQYDEDLEDRSEWKNRWQSQLSELKRRSMNRDAGGNMSWGARMRRQREELSKEL >KJB30275 pep chromosome:Graimondii2_0_v6:5:34529121:34530084:1 gene:B456_005G135400 transcript:KJB30275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAPRLKITVINLLCLVVLCGATESPQYEVVHAESDFEIRHYRDATWMSATVNELSFQKATLFGFHRLFQFIQGANLNYSRVAMTAPVVTSLVPGAGPLHSSAYVVRFYLPAKFQDSPPTPLPELNLKPYAWDSHYVAVRKFSGFATDDIVVKQAAKLATSLSLSPWANATSATHYTYSIAQYDPPFRFFGRTNEVWVDVDASRFAGKASF >KJB30276 pep chromosome:Graimondii2_0_v6:5:34529053:34529967:1 gene:B456_005G135400 transcript:KJB30276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAPRLKITVINLLCLVVLCGATESPQYEVVHAESDFEIRHYRDATWMSATVNELSFQKATLFGFHRLFQFIQGANLNYSRVAMTAPVVTSLVPGAGPLHSSAYVVRFYLPAKFQDSPPTPLPELNLKPYAWDSHYVAVRKFSGFATDDIVVKQAAKLATSLSLSPWANATSATHYTYSIAQYDPPFRFFGRTNEVWVDVDASRFAGKASF >KJB30176 pep chromosome:Graimondii2_0_v6:5:32935764:32936576:-1 gene:B456_005G132400 transcript:KJB30176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKVQKRLAASLLNCGKGKVWLDPCEALLISMAKSRMDIRKLVKDNLIIKKPNISRSGWRYRKGKDVGNPRRKGYGKRKGTKEARLPSKLSWMRRARVLRRLLRKYREMKKIDKHLYHEFYMKAKGSVFKHKRALLEALHNKAKSEKDQMVHFDQVISIKAMGKLGSKETRVPRRKQCLSPPVEVIDVLNNAYLV >KJB30999 pep chromosome:Graimondii2_0_v6:5:49992121:49993944:-1 gene:B456_005G171700 transcript:KJB30999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYEGSESERERAINEWLPVTSNRNAKWWYSAFHNVTAMVGAGVLSLPYAMSQLGWGPGVTIMLLSWVVTLYTIWQMVEMHEMIPGKRFDRYHELGQYAFGEKLGLWIIIPQQLTVDVSSDIVYMVTGGQSLKKFHDLVCPNCKEIRQTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWAASIGKGVQPNVDYSYKSTSNPGKVFDFLAGLGEIAFAYAGHNVVLEIQATMPSTPEKPSKGPMWKGVIVAYLIVAICYLPVAFIGYWAFGNSVNDNILLTLENPTGLIATANIFVVIHVIGSYQIFAMPVFDMMESYMVKELRFRPCLRLRLISRTLYVAFTMVIAICFPFFGGLLSFFGGFAFAPTSYYLPCIIWLIICKPKRFSLTWFINWICIVLGVLLMVLSPIGGLRSIIVSAKDYEFFS >KJB27938 pep chromosome:Graimondii2_0_v6:5:1325307:1326683:-1 gene:B456_005G017700 transcript:KJB27938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKALMKPTLNFKHGTLKNNEAQVQSHSFGQVCFPGKNGVSSSRKSVVVSVVTTKTASPATVVAVPVKTGSMVLLSQKWREIQGLNNWESLVEPLHPLLRNEIIRYGEFVAACYKAFDLDSNSKRYLNCKYGKKNMLKEVGMDDSGYEVTKYIYATPDVNIPIQNDAAPGRWIGYVAVSSDEVTKQLGRRDMLVTFRGTVTNHEWVANFMSSLAPARLDPLHDRPDVKVESGFLSLYTSEEAETKFGLESCRQQLLSEVSRLINKYKGEELSITLAGHSMGSALALLLAYDISELGLNKADHHRKIPVTVFSFGGPRVGNLSFKQRCEQLGVKVLRIVNVNDPITKLPGVLFNENFRGLIGGSRYEFPWFACSCYVHVGVELVLDFFNVQNPSCVHDLETYINLLKWPQRLGIQKEGFDWMNKARDLLITAQSLNINLMPWKDVAINMVNMVQSQRT >KJB28147 pep chromosome:Graimondii2_0_v6:5:2706526:2710292:1 gene:B456_005G030300 transcript:KJB28147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGLSSFWGPVTSTDWCEKNYVYSSYIAEFFNTISNVPGILLALIGLVNALRQRFEKRFSVLHISNMILAIGSMIYHSTLQHVQQQGDETPMVWEMLLYFYILYSPDWHYRSTMPTFLFFYGALYAVVHALFRFGFGFKVHYIILCLLCIPRMYKYYIYTKDVSAKRLAKLYVATLLLASICWLSDRVFCKEISHWHFNPQGHALWHILMGFNSYFANTFLMFCRAQQREWNPKVVYFLGVFPYVKIQKPKSH >KJB28146 pep chromosome:Graimondii2_0_v6:5:2706181:2710292:1 gene:B456_005G030300 transcript:KJB28146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGLSSFWGPVTSTDWCEKNYVYSSYIAEFFNTISNVPGILLALIGLVNALRQRFEKRFSVLHISNMILAIGSMIYHSTLQHVQQQGDETPMVWEMLLYFYILYSPDWHYRSTMPTFLFFYGALYAVVHALFRFGFGFKVHYIILCLLCIPRMYKYYIYTKDVSAKRLAKLYVATLLLASICWLSDRVFCKEISHWHFNPQGHALWHILMGFNSYFANTFLMFCRAQQREWNPKVVYFLGVFPYVKIQKPKSH >KJB32661 pep chromosome:Graimondii2_0_v6:5:63189725:63190887:1 gene:B456_005G254300 transcript:KJB32661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQAQLYSDNIGFPLCNSYDYNGGNGNGGFNSFHFGPQWKPQLQFQEQLQNHEIDEFIKSQDERLRLLLQEQRNQQVSTMVTKLESKASFLEEEITKAKNRTMELQILMNKLEMENQTWQRVAQENEAMVVSLNNMLQQLQQQLDNGVDDAGSCCEEAEENRGFGVVERTMMVCKCCDSRNSCVLFLPCRHLCTCKDCAAFLDCCPVCRTVKKASIEALVS >KJB27682 pep chromosome:Graimondii2_0_v6:5:260217:260967:-1 gene:B456_005G004600 transcript:KJB27682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLAPSNEDQTYDPFLTPMENFYSHAIMKLAVLLLAMILVVQLNSLIHGRCRENPERAAATQLVTVGLKKRDLKRIPVAVYRTGGTSFTATDCPICLGEFLDGEKVRVLPKCNHGFHVKCIDKWLMSNSSCPNCRHSLLEHETVNRDAIAGPDRLPTDSGDVVIVVQEGS >KJB30116 pep chromosome:Graimondii2_0_v6:5:31850502:31851445:1 gene:B456_005G130400 transcript:KJB30116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNAAEKEEFGFSRNYFLAKEMGSSGKKSARKLSDINVVDEHELREASANIEPKHQNDIADLINSYKSLYPKWVFDLS >KJB32116 pep chromosome:Graimondii2_0_v6:5:60759320:60762196:-1 gene:B456_005G225400 transcript:KJB32116 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta carbonic anhydrase 5 [Source:Projected from Arabidopsis thaliana (AT4G33580) TAIR;Acc:AT4G33580] MAALQPTSLSKHPLSSGTPTQIFGSKVQSGDLLPTQFRFWTSFRSKTGVKLKASAEPPALTRELKGDELKGIMEMESGCDLFDKLKNGFLSFKSHKYMENLECYQALAKGQAPKFMVIACADSRVCPSTILGFEPGEAFMVRNVANMVPTYESGPSETNAALEFAVNSLEVENVFIIGHSCCGGIRALMSMQDKAESSSFIRSWVIVGKNAKLSTKAASSNLSFDQQCTHCEKESINNSLLNLLTYPWIEEKVKKGMLSLHGGYYDFVNCTFEKWTLDYKGSIMNGKNRVVVKDRLFWC >KJB32118 pep chromosome:Graimondii2_0_v6:5:60759828:60761159:-1 gene:B456_005G225400 transcript:KJB32118 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta carbonic anhydrase 5 [Source:Projected from Arabidopsis thaliana (AT4G33580) TAIR;Acc:AT4G33580] MEMESGCDLFDKLKNGFLSFKSHKYMENLECYQALAKGQAPKFMVIACADSRVCPSTILGFEPGEAFMVRNVANMVPTYESGPSETNAALEFAVNSLEVENVFIIGHSCCGGIRALMSMQDKAESSSFIRSWVIVGKNAKLSTKAASSNLSFDQQCTHCEKESINNSLLNLLTYPWIEEKVKKGMLSLHGGYYDFVNCTFEKWTLDYKGSIMNGKNRVVVKDRLFWC >KJB32117 pep chromosome:Graimondii2_0_v6:5:60759320:60762198:-1 gene:B456_005G225400 transcript:KJB32117 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta carbonic anhydrase 5 [Source:Projected from Arabidopsis thaliana (AT4G33580) TAIR;Acc:AT4G33580] MEMESGCDLFDKLKNGFLSFKSHKYMENLECYQALAKGQAPKFMVIACADSRVCPSTILGFEPGEAFMVRNVANMVPTYESGPSETNAALEFAVNSLEVENVFIIGHSCCGGIRALMSMQDKAESSFIRSWVIVGKNAKLSTKAASSNLSFDQQCTHCEKESINNSLLNLLTYPWIEEKVKKGMLSLHGGYYDFVNCTFEKWTLDYKGSIMNGKNRVVVKDRLFWC >KJB32119 pep chromosome:Graimondii2_0_v6:5:60759320:60762334:-1 gene:B456_005G225400 transcript:KJB32119 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta carbonic anhydrase 5 [Source:Projected from Arabidopsis thaliana (AT4G33580) TAIR;Acc:AT4G33580] MAALQPTSLSKHPLSSGTPTIFGSKVQSGDLLPTQFRFWTSFRSKTGVKLKASAEPPALTRELKGDELKGIMEMESGCDLFDKLKNGFLSFKSHKYMENLECYQALAKGQAPKFMVIACADSRVCPSTILGFEPGEAFMVRNVANMVPTYESGPSETNAALEFAVNSLEVENVFIIGHSCCGGIRALMSMQDKAESSSFIRSWVIVGKNAKLSTKAASSNLSFDQQCTHCEKESINNSLLNLLTYPWIEEKVKKGMLSLHGGYYDFVNCTFEKWTLDYKGSIMNGKNRVVVKDRLFWC >KJB31702 pep chromosome:Graimondii2_0_v6:5:57902111:57904237:1 gene:B456_005G202200 transcript:KJB31702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPTFNTMQRRCGFTGFVTLCCLLLLECLKVAGNVPFAQEVSHLHWHRAVATWYGSPEGDGSDGGACGYGSLVDVKPFRARVGAVSSMLFKKGEGCGACYKVRCLDKTICSRRAVTIIITDESPGNYGANIGRPHFDLSGAAFGHMAVHGRNTELRNRGELPVVYRRTPCKYRGKNIAFHVNSGSNDNWLSLLVEYEDGDGDIGSMHIREANSNEWIEMNHLWGANWCVNRGPLKGPFSVKLTTLSTGRTLSARDVIPRNWSPKATYTSRLNFL >KJB29195 pep chromosome:Graimondii2_0_v6:5:11798499:11800854:-1 gene:B456_005G089000 transcript:KJB29195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQKMKERRRVSLMIMVLFFQVLSASLHGCLAAIGQGQPPRKPTSIHPRAGVRSSVFLPVSGNVYPLGYYSVTIGIGNPPKPFQLDIDTGSDLTWVQCDAPCTGCTLPRDQLYNPSKKNFVDCKDPICLAVNSPNPPQCKNPNEKCCFQVQYADHGSVLGFIVSDTFPLRLVNGTLSKPHLAFGCGHRLQNRGLHPPTPTAGVLGLGKSKASISSQLSSMGVTKNVFGHCLGDNRGFLFFGADFVPKSGMTWTRMLQSSSDKHYSSGPAELLFGGKPTGIKGVNVIFDTGSTYTYLNLKVYETVLNLERSQWKATT >KJB29192 pep chromosome:Graimondii2_0_v6:5:11798499:11800350:-1 gene:B456_005G089000 transcript:KJB29192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMINAFDLLDLQPRDQLYNPSKKNFVDCKDPICLAVNSPNPPQCKNPNEKCCFQVQYADHGSVLGFIVSDTFPLRLVNGTLSKPHLAFGCGHRLQNRGLHPPTPTAGVLGLGKSKASISSQLSSMGVTKNVFGHCLGDNRGFLFFGADFVPKSGMTWTRMLQSSSDKHYSSGPAELLFGGKPTGIKGVNVIFDTGSTYTYLNLKVYETVLNLIRKDLSGKQLHDVKDKALPICWKGTKPFKSMRDARNYFSTFALSFTGSSNVQLQLPPENYLIVTEQGNVCLGILNGAEAGLGTTNVIGDISLQGKLVIYDNENQMIGWANADCNRKFGAF >KJB29189 pep chromosome:Graimondii2_0_v6:5:11798344:11801114:-1 gene:B456_005G089000 transcript:KJB29189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSASLHGCLAAIGQGQPPRKPTSIHPRAGVRSSVFLPVSGNVYPLGYYSVTIGIGNPPKPFQLDIDTGSDLTWVQCDAPCTGCTLPRDQLYNPSKKNFVDCKDPICLAVNSPNPPQCKNPNEKCCFQVQYADHGSVLGFIVSDTFPLRLVNGTLSKPHLAFGCGHRLQNRGLHPPTPTAGVLGLGKSKASISSQLSSMGVTKNVFGHCLGDNRGFLFFGADFVPKSGMTWTRMLQSSSDKHYSSGPAELLFGGKPTGIKGVNVIFDTGSTYTYLNLKVYETVLNLIRKDLSGKQLHDVKDKALPICWKGTKPFKSMRDARNYFSTFALSFTGSSNVQLQLPPENYLIVTEQGNVCLGILNGAEAGLGTTNVIGDISLQGKLVIYDNENQMIGWANADCNRKFGAF >KJB29191 pep chromosome:Graimondii2_0_v6:5:11799126:11800736:-1 gene:B456_005G089000 transcript:KJB29191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQKMKERRRVSLMIMVLFFQVLSASLHGCLAAIGQGQPPRKPTSIHPRAGVRSSVFLPVSGNVYPLGYYSVTIGIGNPPKPFQLDIDTGSDLTWVQCDAPCTGCTLPRDQLYNPSKKNFVDCKDPICLAVNSPNPPQCKNPNEKCCFQVQYADHGSVLGFIVSDTFPLRLVNGTLSKPHLAFGCGHRLQNRGLHPPTPTAGVLGLGKSKASISSQLSSMGVTKNVFGHCLGDNRGFLFFGADFVPKSGMTWTRMLQSSSDKHYSSGPAELLFGGKPTGIKGVNVIFDTGSTYTYLNLKVYETVLNLVRHQQTSMHKTL >KJB29190 pep chromosome:Graimondii2_0_v6:5:11798376:11800854:-1 gene:B456_005G089000 transcript:KJB29190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQKMKERRRVSLMIMVLFFQVLSASLHGCLAAIGQGQPPRKPTSIHPRAGVRSSVFLPVSGNVYPLGYYSVTIGIGNPPKPFQLDIDTGSDLTWVQCDAPCTGCTLPRDQLYNPSKKNFVDCKDPICLAVNSPNPPQCKNPNEKCCFQVQYADHGSVLGFIVSDTFPLRLVNGTLSKPHLAFGCGHRLQNRGLHPPTPTAGVLGLGKSKASISSQLSSMGVTKNVFGHCLGDNRGFLFFGADFVPKSGMTWTRMLQSSSDKHYSSGPAELLFGGKPTGIKGVNVIFDTGSTYTYLNLKVYETVLNLIRKDLSGKQLHDVKDKALPICWKGTKPFKSMRDARNYFSTFALSFTGSSNVQLQLPPENYLIVTEQGNVCLGILNGAEAGLGTTNVIGDISLQGKLVIYDNENQMIGWANADCNRKFGAF >KJB29193 pep chromosome:Graimondii2_0_v6:5:11798393:11800854:-1 gene:B456_005G089000 transcript:KJB29193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNTFPLRLVNGTLSKPHLAFGCGHRLQNRGLHPPTPTAGVLGLGKSKASISSQLSSMGVTKNVFGHCLGDNRGFLFFGADFVPKSGMTWTRMLQSSSDKHYSSGPAELLFGGKPTGIKGVNVIFDTGSTYTYLNLKVYETVLNLIRKDLSGKQLHDVKDKALPICWKGTKPFKSMRDARNYFSTFALSFTGSSNVQLQLPPENYLIVTEQGNVCLGILNGAEAGLGTTNVIGDISLQGKLVIYDNENQMIGWANADCNRKFGAF >KJB29194 pep chromosome:Graimondii2_0_v6:5:11798547:11799993:-1 gene:B456_005G089000 transcript:KJB29194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMINAFDLLDLQPRDQLYNPSKKNFVDCKDPICLAVNSPNPPQCKNPNEKCCFQVQYADHGSVLGFIVSDTFPLRLVNGTLSKPHLAFGCGHRLQNRGLHPPTPTAGVLGLGKSKASISSQLSSMGVTKNVFGHCLGDNRGFLFFGADFVPKSGMTWTRMLQSSSDKHYSSGPAELLFGGKPTGIKGVNVIFDTGSTYTYLNLKVYETVLNLIRKDLSGKQLHDVKDKALPICWKGTKPFKSMRDARNYFSTFALSFTGSSNVQLQLPPENYLIVTEQGNVCLGILNGAEAGLGTTNVIGDISLQGKLVIYDNENQMIGWANADCNRKFGAF >KJB28145 pep chromosome:Graimondii2_0_v6:5:2695318:2703718:-1 gene:B456_005G030200 transcript:KJB28145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKNQKQQPSASKDDLLNTLGDFTSKENWDSFFTIRGSDDSFEWYAEWPQLRDSLLPLIQQHPSPSSPSPSSSLQILVPGCGNSRLSEHLYDAGFGDITNIDFSKVVISGMLRRNVRDRPNMRWRVMDMTQMQFPDDTFNVVLDKGGLDALMEPELGPQLGTKYLSEVKRVLKSRGKFICLTLAESHVLGVLFPKFRFGWNVCLYAIPQKPSTKPDLQTFMVVAEKESSNELHQIISSFDHSSLACNPNQASGLLEALESENQIRGEYLNGSDVLYSLEDLQLGAKGDLKKLSPGRRVQLTLGDQGVSHFCYKAVLLDAKQQSGAFSFHCGVFIVPKTRSHEWLFSSEEGQWQVVESSKAARLIMVLLKASHANAGMEDIQKDLSPLVKPLAPADNDKGNQIPFMTASDGIKQRSVVYQGSSSLTGPVVIEDVVYENTDDDVSHSLPFRRLVFERTEGLVQSEALLTRDGLFDKSVYGTEKKKASSSSKSKRRGALKRNNESSGKMKVYHGYLASSYHTAIISGFSLISSYLESVASSGNRVKAVVIGLGAGLLPMFLHGCMQSMQIEGVELDPVMLNLARDYFGFTEDKRMKVHIADGIQFVRDYRNLFSAGNEVLLSSNGSCKLSDAESRSTAIDIIIVDVDSSDSSSGLRCPAAGFVEDSFLQNVKDTLSEQGLFVINLVSRSPAIKDAVVSRMKEVFSHLFCIQLEGEVNLVLFGLCSESHIEEDCIPDAALKLDKLLKSEHPEISQSITDAAKKLKRLK >KJB28144 pep chromosome:Graimondii2_0_v6:5:2695470:2703718:-1 gene:B456_005G030200 transcript:KJB28144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKNQKQQPSASKDDLLNTLGDFTSKENWDSFFTIRGSDDSFEWYAEWPQLRDSLLPLIQQHPSPSSPSPSSSLQILVPGCGNSRLSEHLYDAGFGDITNIDFSKVVISGMLRRNVRDRPNMRWRVMDMTQMQFPDDTFNVVLDKGGLDALMEPELGPQLGTKYLSEVKRVLKSRGKFICLTLAESHVLGVLFPKFRFGWNVCLYAIPQKPSTKPDLQTFMVVAEKESSNELHQIISSFDHSSLACNPNQASGLLEALESENQIRGEYLNGSDVLYSLEDLQLGAKGDLKKLSPGRRVQLTLGDQGVSHFCYKAVLLDAKQQSGAFSFHCGVFIVPKTRSHEWLFSSEEGQWQVVESSKAARLIMVLLKASHANAGMEDIQKDLSPLVKPLAPADNDKGNQIPFMTASDGIKQRSVVYQGSSSLTGPVVIEDVVYENTDDDVSHSLPFRRLVFERTEGLVQSEALLTRDGLFDKSVYGTEKKKASSSSKSKRRGALKRNNESSGKMKVYHGYLASSYHTAIISGFSLISSYLESVASSGNRVKAVVIGLGAGLLPMFLHGCMQSMQIEGVELDPVMLNLARDYFGFTEDKRMKVHIADGIQFVRDYRNLFSAGNEVLLSSNGSCKLSDAESRSTAIDIIIVDVDSSDSSSGLRCPAAGFVEDSFLQNVKDTLSEQGLFVINLVSRSPAIKDAVVSRMKEVFSHLFCIQLEGEVNLVLFGLCSESHIEEDCIPDAALKLDKLLKSEHPEISQSITDAAKKLKRLK >KJB28873 pep chromosome:Graimondii2_0_v6:5:8091197:8092646:-1 gene:B456_005G073700 transcript:KJB28873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVFIPMPRMGHFASMVELARLLVDLHSELSITVLILKLNPDAQVAAYIHSLTATRIKFIHLPQPETQKDISFSNHISNFSRFIGFVFDLFLTPFIDVGNQLGVPSYVFYASSAAFLGFQSHALALQDEQNVNIVELKDSDTEFTIPSYINPVSSKLFPTILLNPESNSMATTLVRWLRKAKGIMINTFWELESHAISSLSNGSVPPVYPVGPILDLERKSEVHQNSDIMKWLDEQPPSSVVFLCFGSGGNFNEDQVKEIASALEQSGHRFLWSLRQPPDPFKGPMASQTDYDDASEILPEGFLDRTHGIGKIIGWAPQVAILGHPAIGGFVSHCGWNSILESIWFGVPIATWPLYAEQQLNAFKLVTELGVAVEIKMDYRIEVIGNGEVEILRAETIEKGIRCMMDKGRDARKRVKEISEKIRRGLMDGGSSHSTIRDFINDVMGSMPKKN >KJB28164 pep chromosome:Graimondii2_0_v6:5:2804242:2806833:-1 gene:B456_005G031500 transcript:KJB28164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPLQNLVEAFSSVKAFHLQYNYLNMTLATQELNVSSNVEELYFGGSTLNTNILQSIGVFASLKTLSLHDCGLIGPLPNHGWCDLRNLEVLDVSGNALEGMLPHCFSNLTSLRELDISANRFQIPLSFAPFANLSNLKVLSGDENKMVMEPSFYTSVPKFQLTSISLSKCITSQQLNLELPTFLYYQYDLRYVDLSHNNFSGTVPTWLLENNTKLEVLILMGNSFTGPLSFSSALISNVSSIDISENKLQGQIPTTICSTFPHLWRLFLSKNAFEGNIPLCLSVMKDLSFLDLSNNQLYGKVPEELIAKGSLTILRLSNNKLSGNVVPVVLNSNGVQNLYLDGNNFSGEMTNVDLSTFEFPNSIREIDLSNNKLHGKLPRWIGNASFLERLALSNSGFEGSIPMEFCKLNRLEFLDLSQNHLSGSIPSCFNPPNIEHVHLHGNRLRGPLSLAFYNSSSLVTLDLRGNNLTDNHFHGKVPIQLCKLHSLSIIDLSQNMFFGPIPSCLGNLSLPLKERESLETGFYLPSIEEDETTLNLGMDSYYPDSYLEEVIEFTTKSGLFLYEGNILSYMTGIDLSCNNLTGHIPPELGNLSEIYSLNLSHNKLTGVIPSSFSKLHQIESLDLSYNNLSGEIPNQLVELNSLEVFSVAYNNLSGSIPEPKAQFGTFIENSYEGNPFLCGPILHKSCSKTDSPSTISTLFGFLCSCVVDNFCCPLHKSILAKSLVFSRWEMHHHLSLLKCGQFSYLSHFQATRLSTQIVI >KJB30707 pep chromosome:Graimondii2_0_v6:5:44027981:44029996:-1 gene:B456_005G156100 transcript:KJB30707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLWDHLNLLLSFLTSNAHRIDGFYNTTVGQQPPDRIYGLFLCRGDVTPDVCRDCVAAAARNATSLCPVEKNSVIWYDKCMLRYSNESIFSTMETRPGGTVWDNDTFTEEEDFVDIVASLVKDVASEVADAPMGAKKFATKEVNLSGSQKLYSLAQCTPDISDVACNLCLESAITEFSDCCRQKEKATRASSLLPSCNVQYGLTPFYNKTAGEVSRSKPSPLPPRDSGKGKSSSQTIIYIIVPTVGFLVLLSTFCYCILRRKARMKPYLLKDQKATDNFSDANMIGVGGFGSVYKGTLSNGQQIAVKRLSRSSKQAAEEFKNEVALVAKLQHRNLVRLLGFCVEREERMLIYEFVPNKSLDCFLFHTEKQKQLDWPTRLKIVKGTVRGLLYLHTDSRLKIVHRDLKPSNILLDEDMNPKISDFGMARIVEENHNLEYTKKIVGTYGYIAPEYALHGIFSFKSDVYSYGVLTLEIVGGKTNTSFYNPESAESLLSYVGPT >KJB32678 pep chromosome:Graimondii2_0_v6:5:63244714:63252877:1 gene:B456_005G255100 transcript:KJB32678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTITRLGPWQPHEEGLNQIILLLLLDNLPFSTVIRIQIRMQLLHFSLFDDFNNYLAFILSRAKDVPVEIRKVAGLLLKNGLMAVYNLMEPEHQQYIKLQLLYGLGEADQHIRSTCGTTISNVVQQGGISGWPELFHTLLHFLNSGDPNRNGGAMDALSKISENTPELLDSDDAWSGQRPINKFLLELFEFFHSPHANLRKLSLRFVNKYIISMPSGLYTSKNKYLDGLFLLANEPDAAVRKLVCTALLQLVEFHPSVLEPRLKDVIECMLQLNKDNNDVLALEACGFWSKYWDAQIPPENLREYLPHLTLILLSNMAYPNDDDLLADAEEDESIPDRYQDLKPQLPCSDEDDDTCTICNLRKCSASALDVLSNVFGAKILLTFMPIIEANLSATGDEAWRDKEAAVLALGVIARGCINGLYPRLSEDSGNKKGYEQFDMVLTAFLQRISDTNKLVQGAACSALAILEEEAAEKLAPRLKEILQHLMHPLGEYQKRNLRIICDAIGTLVDAVGEELDQPVYVEILIPPLIQKWQNFSIFDKDLLTLLECFTSIVQALRSIEFSQFTEHLFSRCITIIEAQQQLAKAHPFSDKDFVTCSLDFLSGLTGCFHNGIESLVLQSNLRDMLLKCCRDGAPDVRQSAFGLLGDLARVCPIHLHPRVPEFLYLVVKQLKIPKFEKTISVANNACWAIGELAVQVPQEISPFVATVISCLIPILHQSEGVVKSMVENSAITLGRLAFVCPDLVSPHMEKCMQSWCISLSTTRDGIEKEDAFKGLCAMVKANPSVAQSSLVYVCKAIASWTKIWSTELNTEVCKVMHDYQQMLTNEAWDKFMSDLDPLVKANIAKYLV >KJB32679 pep chromosome:Graimondii2_0_v6:5:63244714:63252877:1 gene:B456_005G255100 transcript:KJB32679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTITRLGPWQPHEEGLNQIILLLLLDNLPFSTVIRIQIRMQLLHFSLFDDFNNYLAFILSRAKDVPVEIRKVAGLLLKNGLMAVYNLMEPEHQQYIKLQLLYGLGEADQHIRSTCGTTISNVVQQGGISGWPELFHTLLHFLNSGDPNRNGGAMDALSKISENTPELLDSDDAWSGQRPINKFLLELFEFFHSPHANLRKLSLRFVNKYIISMPSGLYTSKNKYLDGLFLLANEPDAAVRKLVCTALLQLVEFHPSVLEPRLKDVIECMLQLNKDNNDVLALEACGFWSKYWDAQIPPENLREYLPHLTLILLSNMAYPNDDDLLADAEEDESIPDRYQDLKPQLPCSDEDDDTCTICNLRKCSASALDVLSNVFGAKILLTFMPIIEANLSATGDEAWRDKEAAVLALGVIARGCINGLYPRLSEIVASLIPLLDHKFTLIRSTSCWTLSQFSKYIVQDSGNKKGYEQFDMVLTAFLQRISDTNKLVQGAACSALAILEEEAAEKLAPRLKEILQHLMHPLGEYQKRNLRIICDAIGTLVDAVGEELDQPVYVEILIPPLIQKWQNFSIFDKDLLTLLECFTSIVQALRSIEFSQFTEHLFSRCITIIEAQQQLAKAHPFSDKDFVTCSLDFLSGLTGCFHNGIESLVLQSNLRDMLLKCCRDGAPDVRQSAFGLLGDLARVCPIHLHPRVPEFLYLVVKQLKIPKFEKTISVANNACWAIGELAVQVPQEISPFVATVISCLIPILHQSEGVVKSMVENSAITLGRLAFVCPDLVSPHMEKCMQSWCISLSTTRDGIEKEDAFKGLCAMVKANPSVAQSSLVYVCKAIASWTKIWSTELNTEVCKVMHDYQQMLTNEAWDKFMSDLDPLVKANIAKYLV >KJB27979 pep chromosome:Graimondii2_0_v6:5:1578906:1582704:-1 gene:B456_005G019800 transcript:KJB27979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVARPSKLIGVRKGDYPKSKSGRSYFNSKCSRKSKSSRTSNNKVLKDPLEENILHKYEIGNELGRGEFGITYQCFELETGEAYACKKISKAKLKTDIDIEDVQREVEILRHLPKHPNLVSYKDAFEDDEAVYLVMELCRGGELFDRIVAKGHYTERAAAKVIKTILEIVKVCHEHGVIHRDLKPENFLLADESETAPIKVIDFGLSIFYEPGERFSDIVGSPYYMAPEVLRRNYGKEIDIWSTGVILYILLCGVPPFWDDTEEGIARAIIRGVIDFERDPWPKVSAEVKDLVRSMLDPNPYTRISLQEVLEHPWIQNLQNAPNFNLGENVGARIKQFSLMSKFKKKVLRVVADNLPNEQIDVIIEMFNMMDTDNNGYLSFEELRDGLQKIGHSVGDPDVRMLMEAADIDGNGTLSCEEFVIMVVHLKRIGNDEHLAQAFNHFDKNQSGYIEFEELKETLLQDDPGPNNEQLIKDIMQDVDKDKDGRISYQEFKAMMLTGMDWKMASRQYSRALINAVSIKILRQSGQLK >KJB32512 pep chromosome:Graimondii2_0_v6:5:62447795:62450259:1 gene:B456_005G244100 transcript:KJB32512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS4 MNGEENNRVQIRQRTRLPDFLQSVNLKYVKLGYHYLISHLLTLCLIPLMSVIVVEASRLSLDDVHQLWLQLQYNLVSFIVFSVVLVFGSTVYIMTRPRSVYLLDYSCYLPPSHLKVKYQQFMEHSKLTGDFDESSLEFQRKILERSGLGEETCVPEAMHYLPPRPSMAAAREEAEQVMFGALDKLFANTNVKPRDIGILVVNCSLFNPTPSLSAMIINKYKLRGNIRSFNLGGMGCSAGVIAIDLAKDMLQVHRNSYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGAAVLLSNRSVDRRRSKYKLVHVVRTHCGANDKAFKCVYQEQDDNGKTGVSLSKDLMAIAGGALKTNITTMGPLVLPISEQILFFVTLVAKKLFNAKIKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPVHAEASRMTLHRFGNTSSSSIWYELAYTEAKGRMRKGNRVWQIAFGSGFKCNSTVWVALRNVKPSPNNPWEDCIHRYPVQLNL >KJB28137 pep chromosome:Graimondii2_0_v6:5:2652009:2653319:-1 gene:B456_005G029500 transcript:KJB28137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQKDKKDIKDSPGPIPVKDSPGPIPEGWVLYTKFRKDGIEVKTYFCPATEQEFYTYEDLMRYVRYAKAAKLSIYSPEFEEYMKKKGQS >KJB28049 pep chromosome:Graimondii2_0_v6:5:2066035:2068625:-1 gene:B456_005G024200 transcript:KJB28049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVFCDQDEKNRAHISKWLRLSIRMYGSFEKSLDELRSFFREVKIKDGFVEGKDLVVSVMSAMGEEQICALKDIGPK >KJB27743 pep chromosome:Graimondii2_0_v6:5:493365:493886:1 gene:B456_005G0072002 transcript:KJB27743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSNNYGHQHPLLLILNEDQLLNVAKCSRCREKVSTPCFSCAEDCGFDLHKVCAEAPLELNHPFHPHHPLLLMQNAPYPGGYMCNFCSKLGNEFVYHCSCELDFHIKCALFTFNIAENNLKELEHVALQDEELEDDSKCFGCREPLAKYTHFSPDCEFNLHDKCAELPFKLNL >KJB28843 pep chromosome:Graimondii2_0_v6:5:7867647:7867853:-1 gene:B456_005G072200 transcript:KJB28843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEGGKPVAKSDAKSKCCNNHPELGKCVPGEDDNPDKDGKCWNYCIVDCERGGVCKLMSDGHHECHCAC >KJB31735 pep chromosome:Graimondii2_0_v6:5:58480752:58483309:1 gene:B456_005G205400 transcript:KJB31735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g03510 [Source:Projected from Arabidopsis thaliana (AT1G03510) UniProtKB/Swiss-Prot;Acc:Q9LR72] MSSNSTNYMRLLSLTKQLTSYVNQGRHLDALSLFHTMQTSPSLTLDPFVFPLVLKSCAAIHRRRLASSIHAHATKSSLLSNQFVACALIDMYGKCFSISLARKLFDEIPQRNAVSWSSMISLYTRYGRMDAALGLFQSMDVEPNESTFNAIIAGLTEVGDGPSKAIGFYRRMQELGLRPNLITLLALLPACVVLAALSSIKEIHGYAFRSNIEPHPQLRSGLVEAYGRCASLVNARNVFRYMKERDVVAWSSLISAYALHGEARAALEVFKQMELAKVRPDDITFLGVLKACSHAGLADEALGYFDRMRIDYKVEASADHYSCLVDALSRAGRLYEAYKVIKEMTMKPTAKTWGALLGACRTYGEVELAEIAGRALFEIEPSNAANYVLLAKIYASVGRYEEAERMRMEMKERGVKVAPGSSWI >KJB28918 pep chromosome:Graimondii2_0_v6:5:8639105:8641459:-1 gene:B456_005G075700 transcript:KJB28918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITYYAGDVIVWSASNGSNGTGDGASLVVDSGGTLNLLPNGALRLTNGSGAVVWDSATANRGVSHASIDDLGNFQLLNNESAPIWSSFQNPTDTLVPSQNFTVGKILRSGSYSLTLGRSGNLTLNWNGTIEYWSLGFNSSINGNLTSPRFMLQSNGILVGLDPSFARGMINMAYSTDYGEGDDVFRFLRMDNDGNLRIYSTSESSGNITPTWAAVTDQCQVYGFCGNMGVCGYKDSNPVCGCPSQNFDPVDEHDGRKGCRRKVEIEDCPGDVTMLQLEHTKFLTYPPEVNDQTFIVGTVACRMNCLVSGSCIGSTLVADGSGICYMKTTDFISGYQGAVLPSTSFLKVCGQAVPNPSSYLDTSGKDNDSRLHAMVIIVVVLVILLSLFAIVTGFWCWFYGGSEKSMRISAQYELVDYASGAPVKFSYKELQQSTKAFSERLGEGGFGAVYKGTLGNRMVVAVKQLEGIEQGEMQFRMEVATISSTHHLNLVRLVGFCSDGRHRLLVYEFLRNGSLDKFLFTSNDQSGKLLTWENRFNIALGTGRGITYLHEECRDCIIHCDIKPENILLDEGYTAKVSDFGLAKLMKPKDHRHLSLASIRGTRGYLAPEWLANHPITSKCDVYSYGMVLLEIVSGRRNFEVSPETDGKKFSLWAHSEFEKGHIEAIVDKRIKDVDSEEVERAIMVSFWCIQEQPSQRPMMGKVVQMLEGVIDIERPPAPKLVAEGLSSGTTMTVNSDVSGLSTYAASNPAPSSSSSFMNIGASPPTPEREMGKESSSLLGSK >KJB31797 pep chromosome:Graimondii2_0_v6:5:58955070:58956135:-1 gene:B456_005G208800 transcript:KJB31797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRLLIKRIDNPCSRQIAYSKRGKGILKKATELSTLYGADVALLMFSPTGRLTSYTHKGRVSQIVKLTVWSLSVFLLYFRHLDNEEALERKPCELNRKKYEAQEKMWSYSPDVSNIYLIAEAQHHQQFVTKAIQRIEKLKKTKLLEKETSPSL >KJB30151 pep chromosome:Graimondii2_0_v6:5:39072503:39074274:1 gene:B456_005G144000 transcript:KJB30151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSFASNTIASIGLKSSKEANQACSECSDDELCSNGSRDEGLECPICWEPFNIVENVPYVLWCGHTLCQNCILGLQPAVLKLPTQQIKIPMFISCPWCHLISLRLVYKGNLKFPRKNFFILWMIESLNGDRYGISRRNLSGDNQPVGSLRCNLMLGNQAISGVVRRGSYANRSEQNRSLDTGGRNNAERHHFSLHKSLDFFIHFTSKFPLVIIFLLVVFFAIPGSAVILLLYFLVTVVFAIPSFLVLYFAFPMLDRLVREITS >KJB31837 pep chromosome:Graimondii2_0_v6:5:59501910:59503066:-1 gene:B456_005G212700 transcript:KJB31837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQLLEQVIGVPIKATTYGVERRTSRQYLPDAAGQYHISSQGSTKSKGNFVLKRMNLLGKKADTFAHGVREHVRLGTKISETVKGKLSLGARILQVGGLEKIFKQLFSFREGEKLLKACQCYLSTSAGPIAGLLFISSEKVAFCSERSIKVPCANGEYLRVHDKVVVPLEKIKGVNQSENMKKPSQKYMEIVTVDGFDIWFMGFLNYHKAFKCLQQTISQRLDDVDTF >KJB31801 pep chromosome:Graimondii2_0_v6:5:58959960:58962967:-1 gene:B456_005G208900 transcript:KJB31801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPTAPSTYNEKILANYVPVYVMLPLGVISNDNVFEDQPKMEKQLKELRAAGVDGVMVDVWWGIVESKGPKQYDWSAYRSLFALVHECGLKLQAIMSFHRCGGNVGDEVTIPLPQWVLDIGETDPDIFYTNRKGNRNKEYLTIGVDNQPLFDGRTAVQLYSDYMKSFREHMSDFIEAGPIIDIEVGLGPAGELRYPSYTGTQGWVFPGIGEFQCYDKYLEAEFKEAATGAGHPEWGLPDNAGEYNDTPGSTEFFGLNGTYMTEKGKFFLTWYSNKLINHGDEILDEANRAFLGCKVKLAAKVAGIHWWYKSPSHAAELTSGYYNLKDRDGYRPIARMLSRHYAIFNFTCLEMRDSEQRADAKCGPQGLVQQVLSGGWREEVEVAGENALSRYDSNGYNQMLLNARPSGISREGLPKQIMYGVTYLRLSDELLKDKNFKIFKTFVKKMHADQDYCADLGMYNHQIGPLERSKPKLVMEDLLEATKPMEPFPWGEETDMKVDDFDGVLANLIRKLFSLFK >KJB31802 pep chromosome:Graimondii2_0_v6:5:58960290:58962546:-1 gene:B456_005G208900 transcript:KJB31802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPTAPSTYNEKILANYVPVYVMLPLGVISNDNVFEDQPKMEKQLKELRAAGVDGVMVDVWWGIVESKGPKQYDWSAYRSLFALVHECGLKLQAIMSFHRCGGNVGDEVTIPLPQWVLDIGETDPDIFYTNRKGNRNKEYLTIGVDNQPLFDGRTAVQLYSDYMKSFREHMSDFIEAGPIIDIEVGLGPAGELRYPSYTGTQGWVFPGIGEFQCYDKYLEAEFKEAATGAGHPEWGLPDNAGEYNDTPGSTEFFGLNGTYMTEKGKFFLTWYSNKLINHGDEILDEANRAFLGCKVKLAAKVAGIHWWYKSPSHAAELTSGYYNLKDRDGYRPIARMLSRHYAIFNFTCLEMRDSEQRADAKCGPQGLVQQVLSGGWREEVEVAGENALSRYDSNGYNQMLLNARPSGISREGLPKQIMYGVTYLRLSDELLKDKNFKIFKTFVKKMHADQDYCADLGMYNHQIGPLERSKPKLVMEDLLEATKPMEPFPWGEETDMKVDDFDGVLANLIRKLFSLFK >KJB29336 pep chromosome:Graimondii2_0_v6:5:13597589:13600650:-1 gene:B456_005G095400 transcript:KJB29336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVPDNLSRDQYVYLAKLSEQAERYEEMVQFMQKLVLGSTPAAELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEEHVVLVKEYKSKIESELSEVCASILTLLESNLIPSATASESKVFYLKMKGDYHRYLAEFKVGDERKAAAEDTMLSYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNQSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQDQLDEP >KJB29337 pep chromosome:Graimondii2_0_v6:5:13598616:13600534:-1 gene:B456_005G095400 transcript:KJB29337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVPDNLSRDQYVYLAKLSEQAERYEEMVQFMQKLVLGSTPAAELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEEHVVLVKEYKSKIESELSEVCASILTLLESNLIPSATASESKVFYLKMKGDYHRYLAEFKVGDERKAAAEDTMLSYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNQSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQVIALPSSTLSLPL >KJB29411 pep chromosome:Graimondii2_0_v6:5:15386381:15391135:-1 gene:B456_005G098800 transcript:KJB29411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7N [Source:Projected from Arabidopsis thaliana (AT1G59540) UniProtKB/Swiss-Prot;Acc:Q9S7P3] MEIYNEEINDLFAVENQKLQIHENLERGIFVAGLREEIVNNAEQVMKLLESGEVNRHFGETNMNARSSRSHTIFRVVIESKGKDTGSFGDYSSSDAIRVSVLNLVDLAGSERIAKTGTGGVRLKEGKYINKSLMVLGNVINKLSDSAKRRAHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEIHIEETKGTLLFASRAKRVTNCAQVNEVLTDAALLKRQKLEIEELRWKLQGSHAEVLEQEILKLRNDMLKYELEREKLEMELEKERRSHKERDQYIRDQLMMIENLSSLVSDGDGSSSQGSMKESPTEECHNRGDDFKTPCFKAAPKAFVAKRSNYSQLPDFSPLPDSFSDVADEDTWFKINKGYIADLDSLQTTPARKVQSFPPEDVTPNYLNKKYKKELQNLKRRLELVTEEKNELQRKLAEDIEVKDRLKGYISKLKQEALLAREMPQRLCGSVTSFKDTYEEVLSKMQRYTFDGKSSTAKLLRCISEIGSILFSTMETSISNTTDRHKLSSENDSLIQEYNKMLSEKLKSTITSLILSETASSEDKQTKTPCSCNFEVVAWSRYHVSILVLFFVMILGYFVPFFRTLIF >KJB29409 pep chromosome:Graimondii2_0_v6:5:15386186:15391134:-1 gene:B456_005G098800 transcript:KJB29409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7N [Source:Projected from Arabidopsis thaliana (AT1G59540) UniProtKB/Swiss-Prot;Acc:Q9S7P3] AFPSIQIIQIQIPPFSQCIKIPPLLSLCNSTFKKKMEKICVAVRVRPPICHENSSSTFWGVDDNQISLHKLHGSPISGVSYTFDHVFDENCSNSDVYELLTKDIIHAAVDGFNGTAFAYGQTSSGKTFTMNGSSNDPGIIHRAVNDIFQKINMISDREFLIRVSYMEIYNEEINDLFAVENQKLQIHENLERGIFVAGLREEIVNNAEQVMKLLESGEVNRHFGETNMNARSSRSHTIFRVVIESKGKDTGSFGDYSSSDAIRVSVLNLVDLAGSERIAKTGTGGVRLKEGKYINKSLMVLGNVINKLSDSAKRRAHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEIHIEETKGTLLFASRAKRVTNCAQVNEVLTDAALLKRQKLEIEELRWKLQGSHAEVLEQEILKLRNDMLKYELEREKLEMELEKERRSHKERDQYIRDQLMMIENLSSLVSDGDGSSSQGSMKESPTEECHNRGDDFKTPCFKAAPKAFVAKRSNYSQLPDFSPLPDSFSDVADEDTWFKINKGYIADLDSLQTTPARKVQSFPPEDVTPNYLNKKYKKELQNLKRRLELVTEEKNELQRKLAEDIEVKDRLKGYISKLKQEALLAREMPQRLCGSVTSFKDTYEEVLSKMQRYTFDGKSSTAKLLRCISEIGSILFSTMETSISNTTDRHKLSSENDSLIQEYNKMLSEKLKSTITSLILSETASSEDKQTKTPCSCNFEVVAWSRYHVSILVLFFVMILGYFVPFFRTLIF >KJB29410 pep chromosome:Graimondii2_0_v6:5:15386381:15391135:-1 gene:B456_005G098800 transcript:KJB29410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7N [Source:Projected from Arabidopsis thaliana (AT1G59540) UniProtKB/Swiss-Prot;Acc:Q9S7P3] MIYNFIYVLGTAFAYGQTSSGKTFTMNGSSNDPGIIHRAVNDIFQKINMISDREFLIRVSYMEIYNEEINDLFAVENQKLQIHENLERGIFVAGLREEIVNNAEQVMKLLESGEVNRHFGETNMNARSSRSHTIFRVVIESKGKDTGSFGDYSSSDAIRVSVLNLVDLAGSERIAKTGTGGVRLKEGKYINKSLMVLGNVINKLSDSAKRRAHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEIHIEETKGTLLFASRAKRVTNCAQVNEVLTDAALLKRQKLEIEELRWKLQGSHAEVLEQEILKLRNDMLKYELEREKLEMELEKERRSHKERDQYIRDQLMMIENLSSLVSDGDGSSSQGSMKESPTEECHNRGDDFKTPCFKAAPKAFVAKRSNYSQLPDFSPLPDSFSDVADEDTWFKINKGYIADLDSLQTTPARKVQSFPPEDVTPNYLNKKYKKELQNLKRRLELVTEEKNELQRKLAEDIEVKDRLKGYISKLKQEALLAREMPQRLCGSVTSFKDTYEEVLSKMQRYTFDGKSSTAKLLRCISEIGSILFSTMETSISNTTDRHKLSSENDSLIQEYNKMLSEKLKSTITSLILSETASSEDKQTKTPCSCNFEVVAWSRYHVSILVLFFVMILGYFVPFFRTLIF >KJB30978 pep chromosome:Graimondii2_0_v6:5:50854700:50856677:1 gene:B456_005G174600 transcript:KJB30978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLSFFLLLFPLLAPTFVLSSPVQDPEQVVQQVNESIRNATMARRSLGFLSCGTGNPIDDCWRCDHHWEKNRQKLADCAIGFGKHAIGGRDGKIYVVTDPSDHDPVNPKPGTLRYAVIQDEPLWIIFARDMTIKLKEELLMNSFKTIDGRGVSVHISGGPCITVQYVTNIIIHGINIHDCKRGGNAYVRDSPTHYGWRTISDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIHGSTAITISNNYLTHHNKVMLLGHSDTYKQDKNMQVTIAFNHFGEGLVQRMPR >KJB30977 pep chromosome:Graimondii2_0_v6:5:50854700:50856677:1 gene:B456_005G174600 transcript:KJB30977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLSFFLLLFPLLAPTFVLSSPVQDPEQVVQQVNESIRNATMARRSLGFLSCGTGNPIDDCWRCDHHWEKNRQKLADCAIGFGKHAIGGRDGKIYVVTDPSDHDPVNPKPGTLRYAVIQDEPLWIIFARDMTIKLKEELLMNSFKTIDGRGVSVHISGGPCITVQYVTNIIIHGINIHDCKRGGNAYVRDSPTHYGWRTISDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIHGSTAITISNNYLTHHNKVMLLGHSDTYKQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRFLAPNDADNKEVYTIISLIGSNIETGGGGGERG >KJB30976 pep chromosome:Graimondii2_0_v6:5:50854681:50856710:1 gene:B456_005G174600 transcript:KJB30976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLSFFLLLFPLLAPTFVLSSPVQDPEQVVQQVNESIRNATMARRSLGFLSCGTGNPIDDCWRCDHHWEKNRQKLADCAIGFGKHAIGGRDGKIYVVTDPSDHDPVNPKPGTLRYAVIQDEPLWIIFARDMTIKLKEELLMNSFKTIDGRGVSVHISGGPCITVQYVTNIIIHGINIHDCKRGGNAYVRDSPTHYGWRTISDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIHGSTAITISNNYLTHHNKVMLLGHSDTYKQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRFLAPNDADNKEVTKHEDAPQSQWKHWNWRSEGDLMLNGAFFTASGTGASSSYAKASSLGARPSSLVSSLTAGAGALVCKKGSHC >KJB32255 pep chromosome:Graimondii2_0_v6:5:61546434:61547804:-1 gene:B456_005G233200 transcript:KJB32255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWAGAIGAAKKKMEEHDKQSKYKSVALVIGITGIVGNSLAEIIPFSGIPGGPWKVYGVARQPRPASTSHLPIEYIQCDVLDEEETYDKLSKLNDVTHVFYVAWDKRSTEDENCVINGTMLRNVLKAVIPNSPKLKHICLQTGRRHYTGPIDLYGKYHVQPHDPPFREDLPRLKSSNFCYTLEDVLLEEVKQKENLTWSVHRPGLVFGFSPFSSMNIVRSLCIYAAICKHEGEPLRFPGNRQAWEGYWDASDADLIAEHQIWAAMSRRAKNEAFNCSNGDVFKWKDLWKVLADQFGIEHYGFQETEEKVPSLVEMMKDKGPVWDNIVRENGLLPMKLSNAGAWQFVDSVLSGKSLLDSMNKSKEHGFVGFRNSKTSFNSWIKKMKTHNIVP >KJB29478 pep chromosome:Graimondii2_0_v6:5:17540773:17541509:1 gene:B456_005G102600 transcript:KJB29478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYNVPKGSELPNKKQLRKVFLDCDVDDNNVLTKEEIKNAFDRFGSLFPGFRAWRALKRADKNKDGCISLDELDNLIDYAYKRGYFNVG >KJB31872 pep chromosome:Graimondii2_0_v6:5:59409361:59422386:1 gene:B456_005G212100 transcript:KJB31872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYADVNSGNNAVNSLSAPLSGGTGGKVVETVENGVPDTVHMNEDSPYSGNAMLVEDRPFVADEDLDSATAPLPSVSTSNIERRWSDITSYAPKKKVQSWFQLPNGNWELGKIISSSGTESVISFPEGKVLKVNSDSLIPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNQDMIYTKAGPVLVAVNPFKAVPLYGNDYIQAYKNKSIESPHVYAIADTAIREMSRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCAEGERSYHIFYQLCAGAPSALKEKLNLKDVGEYNYLKQGNCYSISGVDDSEQFRIVKEALDVVHVSKEDQESVFAMLAAVLWLGNVSFTILDNENHVEAVADESLINVAKLIGCDIADLNLALSTRKMRVGNDNIVQKLTLSQAIVTRDALAKSIYACLFEWLVDQINKSLAVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFDDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDSSFANKLKQHLKSNPCFRGEREKAFTVSHFAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCLCHLPRIFASNMLNQSEKLVVGPLHKAGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNLQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLENVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGALEDTRNRTLHGILHVQSCFRGHQARHHFKELQRGIATLQSFVRGEKTRKEYAILLQRHRAATTIQKQIKGRNGRKTFKEISDASVVIQSVIRGWLVRRCSGNTGLLKYGASKGNESDEVMVKASFLAELQRRVLRAEAALREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDDTERNSDASVNNASDDREYSWDTGTNHKGPESNGLRPTSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVEANLNPDRELRRLKQMFETWKKDYAARLRETKVVLNKLGNEEGALDRVKKKWWGRRNSTRNYFI >KJB31873 pep chromosome:Graimondii2_0_v6:5:59410497:59422018:1 gene:B456_005G212100 transcript:KJB31873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYADVNSGNNAVNSLSAPLSGGTGGKVVETVENGVPDTVHMNEDSPYSGNAMLVEDRPFVADEDLDSATAPLPSVSTSNIERRWSDITSYAPKKKVQSWFQLPNGNWELGKIISSSGTESVISFPEGKVLKVNSDSLIPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNQDMIYTKAGPVLVAVNPFKAVPLYGNDYIQAYKNKSIESPHVYAIADTAIREMSRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCAEGERSYHIFYQLCAGAPSALKEKLNLKDVGEYNYLKQGNCYSISGVDDSEQFRIVKEALDVVHVSKEDQESVFAMLAAVLWLGNVSFTILDNENHVEAVADESLINVAKLIGCDIADLNLALSTRKMRVGNDNIVQKLTLSQAIVTRDALAKSIYACLFEWLVDQINKSLAVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFDDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDSSFANKLKQHLKSNPCFRGEREKAFTVSHFAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCLCHLPRIFASNMLNQSEKLVVGPLHKAGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNLQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLENVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGALEDTRNRTLHGILHVQSCFRGHQARHHFKELQRGIATLQSFVRGEKTRKEYAILLQRHRAATTIQKQIKGRNGRKTFKEISDASVVIQSVIRGWLVRRCSGNTGLLKYGASKGNESDEVMVKASFLAELQRRVLRAEAALREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDDTERNSDASVNNASDDREYSWDTGTNHKGPESNGLRPTSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVEANLNPDRELRRLKQMFETWKKDYAARLRETKVVLNKLGNEEGALDRVKKKWWGRRNSTRYT >KJB31871 pep chromosome:Graimondii2_0_v6:5:59410336:59422324:1 gene:B456_005G212100 transcript:KJB31871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYADVNSGNNAVNSLSAPLSGGTGGKVVETVENGVPDTVHMNEDSPYSGNAMLVEDRPFVADEDLDSATAPLPSVSTSNIERRWSDITSYAPKKKVQSWFQLPNGNWELGKIISSSGTESVISFPEGKVLKVNSDSLIPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNQDMIYTKAGPVLVAVNPFKAVPLYGNDYIQAYKNKSIESPHVYAIADTAIREMSRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCAEGERSYHIFYQLCAGAPSALKEKLNLKDVGEYNYLKQGNCYSISGVDDSEQFRIVKEALDVVHVSKEDQESVFAMLAAVLWLGNVSFTILDNENHVEAVADESLINVAKLIGCDIADLNLALSTRKMRVGNDNIVQKLTLSQAIVTRDALAKSIYACLFEWLVDQINKSLAVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFDDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDSSFANKLKQHLKSNPCFRGEREKAFTVSHFAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCLCHLPRIFASNMLNQSEKLVVGPLHKAGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNLQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLENVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGALEDTRNRTLHGILHVQSCFRGHQARHHFKELQRGIATLQSFVRGEKTRKEYAILLQRHRAATTIQKQIKGRNGRKTFKEISDASVVIQSVIRGWLVRRCSGNTGLLKYGASKGNESDEVMVKASFLAELQRRVLRAEAALREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDDTERNSDASVNNASDDREYSWDTGTNHKGPESNGLRPTSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVEANLNPDRELRRLKQMFETWKKDYAARLRETKVVLNKLGNEEGALDRVKKKWWGRRNSTRYT >KJB31688 pep chromosome:Graimondii2_0_v6:5:57766577:57774145:-1 gene:B456_005G201500 transcript:KJB31688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRYRPGSQSGPPRTAREDEPYNIIPVHNLLADHPSLRFPEVRAAAAALRTVGDLRRPPYAQWQPSMDLLDWLALFFGFQHDNVRNQREHLVLHLANAQMRLTPPPDNIDTLDPNVLRRFRRKLLKNYTSWCSYLGKKSNIWISDSSRSNSDHRRELLYVGLYLLIWGESANLRFMPECICYIFHHMAMELNKILEDYIDENTGQPVMPSISGENAFLNCVVKPIYETVKAEVKSSKNGTAPHTAWRNYDDLNEYFWSKRCFQKLKWPIDVGSNFFVTSSKSKHIGKTGFVEQRSFWNLYRSFDRLWVMLFLFLQAAIIVAWEEKEYPWQALSIRNCRVKILTLFITWSGMRFLQALLDAGMQYSRVTRETLGLGIRMVLKVVIAAAWIVIFAVCYGRIWQNNHGRNWTAEADRRVRLFLQIAFAYVLPELLALALFVIPWVRNFIEQTNWKIFYLLSWWFQSKSFVGRGLREGLVDNVKYTLFWALVLATKFAFSYFLQIKPMIRPTKLMLDLKDVPYEWHEIFGGSNRFAVGLLWLPVVFIYLMDIQIWYSIYSAFVGAGVGLFLHLGEIRNIQQLKLRFQFFASAIQFNLMPEEQLLNARGTFRSKINDAVHRLKLRYGLGRPFRKLESNRVEAYKFALIWNKIITIFREEDIISDREVELLELPQNSWNVRVIRWPCLLLCNELLLALSQAKELVDASDKGLWYKICKSEYRRCAVIEAYDSIKHMMLEILNVQSEENSILTVLFQEIDHSIEIEKFTKTFRMTALPHLHMKLIKLVDILTKPKKDVNQVVNTLQALYEIAVRDFFKDKRTIEQLREDGLAPRDPAAMAGLLFENAVKLPDPSDEKFYRQVRRLHTILTSRDSMQNIPVNLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYNEEVLYSREQLRTENEDGISILYYLQTIYDDEWKNFMERMRREGMVKDDEIWTTKMRDLRLWASYRGQTLTRTVRGMMYYYRALMMLAFLDSASEMDIREGARELGSMRRDGGLDSFNSERSPSSRTLSRANSSLGLLFKGHEQGTCMMKYTYVVACQIYGAQKAKKDPHAEEILYLMKQHEALRVAYVDEVSTGRDEKEYYSVLVKYDQQLEKEVEIYRVKLPGPLKLGEGKPENQNHALIFTRGGAVQTIDMNQDNYFEEALKMRNLLEEYRQYYGIRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKIRMHYGHPDVFDRFWFLSRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVILTVYAFLWGRLYLALSGVENAALSSSSDNNRALGAILNQQFIIQLGLFTALPMIVENSLEHGFLQAIWDFITMQLQLSSVFYTFSMGTRTHYFGRTVLHGGAKYRATGRGFVVQHKSFAENYRLYARSHFVKAIELGLILIVYASHSPVAKDTFVYIALTISSWFLVLSWIMAPFVFNPSGFDWLKTVYDFDEFMNWIWYRGGVFAKAEQSWERWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGIVYQLGIANHSTSIAVYLLSWIYIFVAFGIYLVISYARDKYAAKEHIYFRMVQFLVIILGILVIIALLEFTAFNFVDIFTSLLAFIPTGWGLISIAQVLRPFLQSTWLWESVVSVARLYDIMFGVLVMVPLAFLSWMPGFQSMQTRILFNEAFSRGLRIFQIVTGKKSSDS >KJB31689 pep chromosome:Graimondii2_0_v6:5:57766496:57774247:-1 gene:B456_005G201500 transcript:KJB31689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRYRPGSQSGPPRTAREDEPYNIIPVHNLLADHPSLRFPEVRAAAAALRTVGDLRRPPYAQWQPSMDLLDWLALFFGFQHDNVRNQREHLVLHLANAQMRLTPPPDNIDTLDPNVLRRFRRKLLKNYTSWCSYLGKKSNIWISDSSRSNSDHRRELLYVGLYLLIWGESANLRFMPECICYIFHHMAMELNKILEDYIDENTGQPVMPSISGENAFLNCVVKPIYETVKAEVKSSKNGTAPHTAWRNYDDLNEYFWSKRCFQKLKWPIDVGSNFFVTSSKSKHIGKTGFVEQRSFWNLYRSFDRLWVMLFLFLQAAIIVAWEEKEYPWQALSIRNCRVKILTLFITWSGMRFLQALLDAGMQYSRVTRETLGLGIRMVLKVVIAAAWIVIFAVCYGRIWQNNHGRNWTAEADRRVRLFLQIAFAYVLPELLALALFVIPWVRNFIEQTNWKIFYLLSWWFQSKSFVGRGLREGLVDNVKYTLFWALVLATKFAFSYFLQIKPMIRPTKLMLDLKDVPYEWHEIFGGSNRFAVGLLWLPVVFIYLMDIQIWYSIYSAFVGAGVGLFLHLGEIRNIQQLKLRFQFFASAIQFNLMPEEQLLNARGTFRSKINDAVHRLKLRYGLGRPFRKLESNRVEAYKFALIWNKIITIFREEDIISDREVELLELPQNSWNVRVIRWPCLLLCNELLLALSQAKELVDASDKGLWYKICKSEYRRCAVIEAYDSIKHMMLEILNVQSEENSILTVLFQEIDHSIEIEKFTKTFRMTALPHLHMKLIKLVDILTKPKKDVNQVVNTLQALYEIAVRDFFKDKRTIEQLREDGLAPRDPAAMAGLLFENAVKLPDPSDEKFYRQVRRLHTILTSRDSMQNIPVNLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYNEEVLYSREQLRTENEDGISILYYLQTIYDDEWKNFMERMRREGMVKDDEIWTTKMRDLRLWASYRGQTLTRTVRGMMYYYRALMMLAFLDSASEMDIREGARELGSMRRDGGLDSFNSERSPSSRTLSRANSSLGLLFKGHEQGTCMMKYTYVVACQIYGAQKAKKDPHAEEILYLMKQHEALRVAYVDEVSTGRDEKEYYSVLVKYDQQLEKEVEIYRVKLPGPLKLGEGKPENQNHALIFTRGGAVQTIDMNQDNYFEEALKMRNLLEEYRQYYGIRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKIRMHYGHPDVFDRFWFLSRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVILTVYAFLWGRLYLALSGVENAALSSSSDNNRALGAILNQQFIIQLGLFTALPMIVENSLEHGFLQAIWDFITMQLQLSSVFYTFSMGTRTHYFGRTVLHGGAKYRATGRGFVVQHKSFAENYRLYARSHFVKAIELGLILIVYASHSPVAKDTFVYIALTISSWFLVLSWIMAPFVFNPSGFDWLKTVYDFDEFMNWIWYRGGVFAKAEQSWERWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGIVYQLGIANHSTSIAVYLLSWIYIFVAFGIYLVISYARDKYAAKEHIYFRMVQFLVIILGILVIIALLEFTAFNFVDIFTSLLAFIPTGWGLISIAQVLRPFLQSTWLWESVVSVARLYDIMFGVLVMVPLAFLSWMPGFQSMQTRILFNEAFSRGLRIFQIVTGKKSSDS >KJB32659 pep chromosome:Graimondii2_0_v6:5:63174205:63177914:1 gene:B456_005G254200 transcript:KJB32659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGELDFSNQEVFSGNNMGDIPSSCSMDSFFDELLNDSHACTHTHTCNPPGPDNSHTHTCFHVHTKIVPASTEDKASIDDTAGSREKSKKRPLGNREAVRKYREKVKARAASLEDEVMRLRAVNQQLLKRLQGQAALEAEVARLKCLLVDIRGRIEGEIGSFPYQKSATAVNGMNMPGAYVMNPCHVQCNDQMYCLHPGVEGRTGEDAALHGQGFNGCDFDNVQCLADYNSGAKELSTGGVGSGGSNGNSSGTKRRKGVRPATAG >KJB32660 pep chromosome:Graimondii2_0_v6:5:63174209:63178502:1 gene:B456_005G254200 transcript:KJB32660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGELDFSNQEVFSGNNMGDIPSSCSMDSFFDELLNDSHACTHTHTCNPPGPDNSHTHTCFHVHTKIVPASTEDKASIDDTAGSREKSKKRPLGNREAVRKYREKVKARAASLEDEVMRLRAVNQQLLKRLQGQAALEAEVARLKCLLVDIRGRIEGEIGSFPYQKSATAVNGMNMPGAYVMNPCHVQCNDQMYCLHPGVEGRTGEDAALHGQGFNGCDFDNVQCLADYNSGAKELSTGGVGSGGSNGNSSGTKRRKGVRPATAG >KJB29822 pep chromosome:Graimondii2_0_v6:5:25048465:25051404:1 gene:B456_005G120100 transcript:KJB29822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNKLIFRLTFRDFNSEKTCSRGTACNFIHCFRNPGGDYEWADWDKPPPRYWAKKMAALFGYGDEAEFERQIEQDNSGHLRNPNHLVKSDDDRHRSRRSRSRERGKNNDRKQRKDLDGRCDRQKTILKREQNSERILDTCSDGGYSESDIDGSRDTDKIRSHFHAKRNSKWQSDSSEYLADTNRVYEDTECQTKKRRRHQSKGEYRDDHGGSGVRIHEDDGDQLDRDRDSEIHHSQGKSSRHYIRESSLNDWGPSKNKIHVSDSLVKQLSTVSDRESHHHNRQKSSGNLDEVLGPDDYGNADYRTHESNSSDHGSERDKGRHHDHQSKHSRHLVECSELLDAPVHQAKLKDKRDLKRGRHSRHRYEEIDSSDDREGKHHVKRRSHGHKRQ >KJB27958 pep chromosome:Graimondii2_0_v6:5:1441080:1443553:-1 gene:B456_005G018500 transcript:KJB27958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNNYDHQHPLLLILNQEQLIDYQSGVTDCSRCGEKVSAPCFCCAQHCGFDLHKVCAEAPLELNHPFHHDHPLLLMQKPPDSDSDSDSDSDSDSDGECICECICDFCDERCEEFIYHCCCGLDFHIKCALFTFNIAENNLKELEHVTLQHPLISTENGTEELEDVSKCLGCWEPLAKYTHFSPDCGFNLHEKCTKLPFKLNHVWHRKHPLVLQFNSQRLSCKICGETSRMGSGFVYGCSACKFVVHIECASQSPLQVIKSTNHEHPFTVFLRQVPFTCDACGTEGNHVAYTCGTCNIIIHKNCISLPRIIKSKWHDHRLLHTYFHHIEDFRVLDCIICHDEVNTEHGSYYCSKCTVIFHVKCVMKDKDSYEIVENEDEESPDESVSSITKVLERNDAGEATVIQHFKHIHYLILSDRVGHKHPLLFYTEYKGRCVACGKDDITGLFRYIQKVIFVMYVKSVEIQIFGFTIVQYVILLLMSIVSLVNIRSSNLGVPLK >KJB28479 pep chromosome:Graimondii2_0_v6:5:5165914:5168472:-1 gene:B456_005G052100 transcript:KJB28479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTLTRAATSTLTRHLTLSFILPKRLLSSKSITHPPPIPSLRFSRRPLTPLSHAVRCLPLVPTRFTSIRCRVNRSGNSAYSPLNSGSNFSDRPPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQEMIDCYIKTLAKVVGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEDSYVDPEYKDYGAELFVNGEIVQRSPERQRRVEPQPQRAQDRPRYNDRTRYVRRRENMR >KJB28478 pep chromosome:Graimondii2_0_v6:5:5165864:5168540:-1 gene:B456_005G052100 transcript:KJB28478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTLTRAATSTLTRHLTLSFILPKRLLSSKSITHPPPIPSLRFSRRPLTPLSHAVRCLPLVPTRFTSIRCRVNRSGNSAYSPLNSGSNFSDRPPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQEMIDCYIKTLAKVVGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRSPERQRRVEPQPQRAQDRPRYNDRTRYVRRRENMR >KJB28636 pep chromosome:Graimondii2_0_v6:5:6176322:6177827:-1 gene:B456_005G059400 transcript:KJB28636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMIKKVHRFSSEEYMRMYSIVFELCKPNRRGGNSEVLYDKYHNFLKHYITSKVWPSLQGKKDEALVKEIEQRWSNHKVMTRWITRFFRFLDRYFVPCRKLPPLEQSTLLAFYNLVFGEFNHEIKDAVLSLIDREREGEGIDQALIRNIVGIYVDVGQGSMKYYEQDFEGDMFKATASFYSTKASKWLKTESYKDYMLKVECCLKHERDTVCCYLQSTSHKKLLEIVEYELMSVHESELKEKKQTDESDPCIG >KJB28700 pep chromosome:Graimondii2_0_v6:5:6814207:6815125:-1 gene:B456_005G065100 transcript:KJB28700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVSHITMQHGNFLKVQRKRRLRKGGSNLTFSMLRKELRDGNLHALLGGSSCIVSPSSVEADPLLSSFMFNPPTADEPLSLQSLSIAESSADKESTDKESLERKPQQSQLSDQEHEEKARRCEFVQGLLMSTILNENL >KJB28699 pep chromosome:Graimondii2_0_v6:5:6813820:6816258:-1 gene:B456_005G065100 transcript:KJB28699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNSWRFRFSNSSSSSSRRHQSRSDLFLGGGYEEFDGDEDFKAEFLCPFCAEDFDIVGLCCHIDEEHPVEVKNGRKRRLRKGGSNLTFSMLRKELRDGNLHALLGGSSCIVSPSSVEADPLLSSFMFNPPTADEPLSLQSLSIAESSADKESTDKESLERKPQQSQLSDQEHEEKARRCEFVQGLLMSTILNENL >KJB28701 pep chromosome:Graimondii2_0_v6:5:6813820:6816266:-1 gene:B456_005G065100 transcript:KJB28701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNSWRFRFSNSSSSSSRRHQSRSDLFLGGGYEEFDGDEDFKAEFLCPFCAEDFDIVGLCCHIDEEHPVEVKNGVCPVCAKRVGMDIVSHITMQHGNFLKVQRKRRLRKGGSNLTFSMLRKELRDGNLHALLGGSSCIVSPSSVEADPLLSSFMFNPPTADEPLSLQSLSIAESSADKESTDKESLERKPQQSQLSDQEHEEKARRCEFVQGLLMSTILNENL >KJB28698 pep chromosome:Graimondii2_0_v6:5:6813820:6815259:-1 gene:B456_005G065100 transcript:KJB28698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFQVCPVCAKRVGMDIVSHITMQHGNFLKVQRKRRLRKGGSNLTFSMLRKELRDGNLHALLGGSSCIVSPSSVEADPLLSSFMFNPPTADEPLSLQSLSIAESSADKESTDKESLERKPQQSQLSDQEHEEKARRCEFVQGLLMSTILNENL >KJB28556 pep chromosome:Graimondii2_0_v6:5:5644128:5647336:-1 gene:B456_005G055400 transcript:KJB28556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNGEHPASVEVKECHASGFGSIGVENETNNFSNQIKVWQPSNGDERKLCISMPSSPTNHCHVDEAKGLENGVPDSSANIKNINQQQHPNHKKLKDKRFDSFKTWSGRLERQLSNLRGKPQEIESDLENIEPLALPVDRFFDALEGPELETLRASEEIVLPDNKTWPFLLRYPISAFGICLGVSSQAVMWKTLATSPSTKFLHINLIINHILWWISVALVAIVASIYLLKVILYFEAVRREYYHPIRVNFFFAPWIALLFLALAVPPSLVSKLPVPLWYVLMTPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGASMGLKEGPIFFFAVGLAHYVVLFVTLYQRLPTNDTLPKDLHPVFFLFVAAPSVASMAWATIQGSFDNGARIAYFIALFLYFSLAVRVNFFRGFKFSLAWWAYTFPMTGAALAAMRYSSEVTNIVTQTLCVTLSIVATLTVTALLVTTILHAFVLRDLFPNDIAIAISDRKPKPHKKWFNLSDIETFLKFASSDNKDIEAALKIPTPEATSV >KJB28557 pep chromosome:Graimondii2_0_v6:5:5643935:5647466:-1 gene:B456_005G055400 transcript:KJB28557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNGEHPASVEVKECHASGFGSIGVENETNNFSNQIKVWQPSNGDERKLCISMPSSPTNHCHVDEAKGLENGVPDSSANIKNINQQQHPNHKKLKDKRFDSFKTWSGRLERQLSNLRGKPQEIESDLENIEPLALPVDRFFDALEGPELETLRASEEIVLPDNKTWPFLLRYPISAFGICLGVSSQAVMWKTLATSPSTKFLHINLIINHILWWISVALVAIVASIYLLKVILYFEAVRREYYHPIRVNFFFAPWIALLFLALAVPPSLVSKLPVPLWYVLMTPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGASMGLKEGPIFFFAVGLAHYVVLFVTLYQRLPTNDTLPKDLHPVFFLFVAAPSVASMAWATIQGSFDNGARIAYFIALFLYFSLFGLTFFEDSSSHWLGGHTHFR >KJB30020 pep chromosome:Graimondii2_0_v6:5:29296550:29297249:1 gene:B456_005G127600 transcript:KJB30020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPIPKVGSRGNGRNNAQKSARRIPKGVIHVQASFNNTIVTIADVRGQVISWSSVGTCGFKGTRRGTPYSSSSRPRTYSKRLYYGHFILSPLMKGQVTGITMRTALLGELEETCITRAKSKKISHEYSTTLDF >KJB27445 pep chromosome:Graimondii2_0_v6:5:20169443:20169550:-1 gene:B456_005G110400 transcript:KJB27445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVYTFLLISTLGIIFFAIFFREPPKIITKKMK >KJB28850 pep chromosome:Graimondii2_0_v6:5:7996143:7997674:-1 gene:B456_005G072700 transcript:KJB28850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWFFIVFLVLTAASVRCTTVDHMPSTDEDARDYSKLKTKTEEATDEHHSRTQQAKDELKSKADHAANEVKSNTQQAKDRASEVEKEAKEYTESWTEWAKEKISEGLGFKQDDDPKGSVEKASDSVADTATKTKDKLQDMASGAGEYSAGKAKDMKDTAYKKTDDVKNAAKGKSSEMRQATTEKARELADSAKENANTAYIAAKEKVRDMADRTSEMTNEAQERAARKAEEAKEVAAEKAKGAAEETKKKNEETGESLKWAKEKAKQGYDATTEKAKETAKQGYDAAKSKAGETIESAKDTIASGYESAKQKSQELKDNYVNGGGQGRGRERDEEL >KJB31993 pep chromosome:Graimondii2_0_v6:5:60075603:60078158:-1 gene:B456_005G217800 transcript:KJB31993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFYNENHKLNVLKNSNIPKLMTPARSRGSYYSSPENLIKYLRSNSLSSCGNSSSSGKSSFRSSVSPQSEKTPLKVVEKDVLVMDEVLVASDSNIVGSGSSSSSGSVGYYKSEICRAWEEFGHCRYGSKCQFAHGKEEVRPACLPFKTKSEAQIYKSYASTVSNAYSSKPRLLHPVTETAAFITQKDSFANPGYTGPSFSTTIKPEETIINSLSTVQPEITRLNTNFTMKRNTKTSKINPTSTSTIRPDTSATTFTNGSNWSPQDDRIDVTLPSFPGQTPSKGNIDAYIDNVLHAPATKKRLPVFSAICPE >KJB30468 pep chromosome:Graimondii2_0_v6:5:39379068:39380847:1 gene:B456_005G145300 transcript:KJB30468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQEMENFEFSTELLPGLPEELGLECLSRLPCTAHRLASRVCHRWRDLLQSPDFYYHRKKLGYTQKVACLVLSFNGGTVNGPKKPGVSPNYGIAVFDSVSQRWDRLPVYRYPNGLPLFCHLASCEGKLVVMGGWDPVSYDPVTDVFIYDFMTQQWRQGKDMPSKRSFFAIGACLGGVFIAGGHDENKNALRSAWVYDLRKDEWSQLGEMSEERDECEGVVIEEDEFWVVSGYRTESQGQFDGSADVYGLKSGQWRRVEGVWEPGRCPRSCVGMGKDGKLVNWAELSPAVRVGACGISLGGRVLVTGSGYQGGPHGYYMVEMKEGQHSKLEKLNVPDEFSGFVQSGCYAEI >KJB30844 pep chromosome:Graimondii2_0_v6:5:47523138:47526253:1 gene:B456_005G163500 transcript:KJB30844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFVLLVDRLLTESTLEAAIGSRNRSLQATASAVDDTKVFGSSTTVDLLSPRKIVECRICQDEDEDSNMETPCSCCGSLEYAHRRCVQRWCNEKGNTTCEICHQQFKPGYTAPPPLFQIRHLPMNLRANWDIYRRELNDSRFIAVVSTDRHYDEYSVSTTRSSIYYRTVAIVLMLLLILRHTLPVILTGSNEYTFQLFTLLLLPIVGIILPIYLMVKAVGALRHCRQQQEAPNSLFTQSDEETEHSTMQAQPHIVNIL >KJB30842 pep chromosome:Graimondii2_0_v6:5:47523833:47526012:1 gene:B456_005G163500 transcript:KJB30842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFVLLVDRLLTESTLEAAIGSRNRSLQATASAVDDTKVFGSSTTVDLLSPRKIVECRICQDEDEDSNMETPCSCCGSLEYAHRRCVQRWCNEKGNTTCEICHQQFKPGYTAPPPLFQIRHLPMNLRANWDIYRRELNDSRFIAVVSTDRHYDEYSVSTTRSSIYYRTVAIVLMLLLILRHTLPVILTGSNEYTFQLFTLLLLPIVGIILPIYLMVKAVGALRHCRQQQEAPNSLFTQSDEETEHSTMQAQPHIVNIL >KJB30843 pep chromosome:Graimondii2_0_v6:5:47523833:47526010:1 gene:B456_005G163500 transcript:KJB30843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFVLLVDRLLTESTLEAAIGSRNRSLQATASAVDDTKVFGSSTTVDLLSPRKIVECRICQDEDEDSNMETPCSCCGSLEYAHRRCVQRWCNEKGNTTCEICHQQFKPGYTAPPPLFQIRHLPMNLRANWDIYRRELNDSRFIAVVSTDRHYDEYSVSTTRSSIYYRTVAIVLMLLLILRHTLPVILTGSNEYTFQLFTVSFVLVFEDIDRLPVYKLALSHVVQLLLLPIVGIILPIYLMVKAVGALRHCRQQQEAPNSLFTQSDEETEHSTMQAQPHIVNIL >KJB30903 pep chromosome:Graimondii2_0_v6:5:49214975:49216850:1 gene:B456_005G167000 transcript:KJB30903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRRGLCYPRADVCVDKIVVKRRDFAGYNMACRKRQRFSPVIAGNSDLFDALPDDLVISILSKLSSSASCPSDFINVLITCKRLNSFALQPLVLSKASSKLFAIKAENWSESAHRFLKCCADAGNVEACYTLGMIRFYCLQNRGSGASLMAKAAISSHAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRQNITEGRRFLVQANARELAAGLSSASVSNIATCSWLTWSPHPIPHPTNRHPNVPGCPLLSDFGCNVPAPEAHPANKFLTDWFGSRDGIPGPGLRLCSHVGCGRPETRRHEFRRCSVCGAVNYCSRACQALDWKLRHKAECAPVERWLDEEGVGGDGMDEVIAES >KJB32222 pep chromosome:Graimondii2_0_v6:5:61316131:61316858:-1 gene:B456_005G230500 transcript:KJB32222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSFVMILCAVALFSGETRTVEAVTCSPIELIPCLPAISTPPKPPSAACCRKVKEQLPCFCGYLKDPGAKPFIDNPNIPKVASSCGVAHAYPPKC >KJB32705 pep chromosome:Graimondii2_0_v6:5:63352122:63352535:-1 gene:B456_005G257000 transcript:KJB32705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICARKLIKLARKWQKLAAIKRKRITFSNTSSMVEEGHFVVYSADEKRFMLPLEYLKNEIVMELFNLAEEEFGIPSNGHLKLPFDSTFMEYAIELIKRKASKEVEKALIMSIVTGHCSSSLNLNQQETNQQLPIWSF >KJB31900 pep chromosome:Graimondii2_0_v6:5:59588117:59597755:-1 gene:B456_005G213400 transcript:KJB31900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQLNFKMLNPGICFLLWLRRIISSLTLMAATNPIDISSSDSELEIEDDEDKITSSSRPLPEWAVKHGTNSRGHARLSQTIPSPSQTQISNLNPSNVNNHAQIKIPRHEPNDNVKASTVVIALDDDPEYFTGNGNIGQPWTVISRIANGFGTDFEKLTSQQALKRTLPPSLQLSGPSSKPYILIDNVSSNLIRDSHGSSNLLAGPSFSNCQGYIGDHYSRGNSDEIMMYGNTSRILPPTLMHGKSVNHTQIAGPDGHAYRAGVSEERFSVNDERMVYQAALEDLNQPKVEATFPDGLLSVPLLRHQKIALDWMLQRETKSVYCMGGILADDQGLGKTISMIALIQMQKYLESKSKSEDPEKHKTVALNLDDDNDNDNYNDNNNDTDELEEVKQKGESDDSKSVPEVSTSARAVSKRRLSAGTLVVCPASILRQWARELEDKVPEESKLSVLIYHGGSRTKDPSEFAKYDVVLTTYSIITNEVPKQPIVDDDEIDEKIGERYGLSSEFSINKKRKRASHVGMKMKKGKKDIDSSTIDPSAGALAKPAWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLKYEPYSVYTAFCHGIKGSIAKDYVKGYKKLQAILKVVMLRRTKASLIDGEPIIKLPPKSVLMSKVDFTAEERDFYTQLEADSRSQFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGFDSDSIRKSDSVGQVSVEMAKSLPREMLINLVNCLEASAICLVCKDPPDDPVVTMCCHVFCYQCVSEHLTGDDNTCPARGCKEQLGADIVFSRSTLRICIADGYNGSLVHPQFENSVILQDEYSSSKIKTVIEILKSKCLLKNSSLESQSAIGCSETSLSSEQTYKETDHSGIRVVKRTTVYSHSLADRPMKAIVFSQWTRMLDLVEHSLRNHSINYRRLDGTMSLAARDRNVKDFNNDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITIKDTVEDRILSLQEEKRKMVASAFGEDQSGSSATRLTVEDLRYLFMGD >KJB31901 pep chromosome:Graimondii2_0_v6:5:59588117:59596596:-1 gene:B456_005G213400 transcript:KJB31901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNPIDISSSDSELEIEDDEDKITSSSRPLPEWAVKHGTNSRGHARLSQTIPSPSQTQISNLNPSNVNNHAQIKIPRHEPNDNVKASTVVIALDDDPEYFTGNGNIGQPWTVISRIANGFGTDFEKLTSQQALKRTLPPSLQLSGPSSKPYILIDNVSSNLIRDSHGSSNLLAGPSFSNCQGYIGDHYSRGNSDEIMMYGNTSRILPPTLMHGKSVNHTQIAGPDGHAYRAGVSEERFSVNDERMVYQAALEDLNQPKVEATFPDGLLSVPLLRHQKIALDWMLQRETKSVYCMGGILADDQGLGKTISMIALIQMQKYLESKSKSEDPEKHKTVALNLDDDNDNDNYNDNNNDTDELEEVKQKGESDDSKSVPEVSTSARAVSKRRLSAGTLVVCPASILRQWARELEDKVPEESKLSVLIYHGGSRTKDPSEFAKYDVVLTTYSIITNEVPKQPIVDDDEIDEKIGERYGLSSEFSINKKRKRASHVGMKMKKGKKDIDSSTIDPSAGALAKPAWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLKYEPYSVYTAFCHGIKGSIAKDYVKGYKKLQAILKVVMLRRTKASLIDGEPIIKLPPKSVLMSKVDFTAEERDFYTQLEADSRSQFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGFDSDSIRKSDSVGQVSVEMAKSLPREMLINLVNCLEASAICLVCKDPPDDPVVTMCCHVFCYQCVSEHLTGDDNTCPARGCKEQLGADIVFSRSTLRICIADGYNGSLVHPQFENSVILQDEYSSSKIKTVIEILKSKCLLKNSSLESQSAIGCSETSLSSEQTYKETDHSGIRVVKRTTVYSHSLADRPMKAIVFSQWTRMLDLVEHSLRNHSINYRRLDGTMSLAARDRNVKDFNNDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITIKDTVEDRILSLQEEKRKMVASAFGEDQSGSSATRLTVEDLRYLFMGD >KJB31902 pep chromosome:Graimondii2_0_v6:5:59589724:59596362:-1 gene:B456_005G213400 transcript:KJB31902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNPIDISSSDSELEIEDDEDKITSSSRPLPEWAVKHGTNSRGHARLSQTIPSPSQTQISNLNPSNVNNHAQIKIPRHEPNDNVKASTVVIALDDDPEYFTGNGNIGQPWTVISRIANGFGTDFEKLTSQQALKRTLPPSLQLSGPSSKPYILIDNVSSNLIRDSHGSSNLLAGPSFSNCQGYIGDHYSRGNSDEIMMYGNTSRILPPTLMHGKSVNHTQIAGPDGHAYRAGVSEERFSVNDERMVYQAALEDLNQPKVEATFPDGLLSVPLLRHQKIALDWMLQRETKSVYCMGGILADDQGLGKTISMIALIQMQKYLESKSKSEDPEKHKTVALNLDDDNDNDNYNDNNNDTDELEEVKQKGESDDSKSVPEVSTSARAVSKRRLSAGTLVVCPASILRQWARELEDKVPEESKLSVLIYHGGSRTKDPSEFAKYDVVLTTYSIITNEVPKQPIVDDDEIDEKIGERYGLSSEFSINKKRKRASHVGMKMKKGKKDIDSSTIDPSAGALAKPAWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLKYEPYSVYTAFCHGIKGSIAKDYVKGYKKLQAILKVVMLRRTKASLIDGEPIIKLPPKSVLMSKVDFTAEERDFYTQLEADSRSQFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGFDSDSIRKSDSVGQVSVEMAKSLPREMLINLVNCLEASAICLVCKDPPDDPVVTMCCHVFCYQCVSEHLTGDDNTCPARGCKEQLGADIVFSRSTLRICIADGYNGSLVHPQFENSVILQDEYSSSKIKTVIEILKSKCLLKNSSLESQSAIGCSETSLSSEQTYKETDHSGIRVVKRTTVYSHSLADRPMKAIVFSQWTRMLDLVEHSLRNHSINYRRLDGTMSLAARDRNVKDFNNDPESLISCRLL >KJB31899 pep chromosome:Graimondii2_0_v6:5:59589724:59596567:-1 gene:B456_005G213400 transcript:KJB31899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQLNFKMLNPGICFLLWLRRIISSLTLMAATNPIDISSSDSELEIEDDEDKITSSSRPLPEWAVKHGTNSRGHARLSQTIPSPSQTQISNLNPSNVNNHAQIKIPRHEPNDNVKASTVVIALDDDPEYFTGNGNIGQPWTVISRIANGFGTDFEKLTSQQALKRTLPPSLQLSGPSSKPYILIDNVSSNLIRDSHGSSNLLAGPSFSNCQGYIGDHYSRGNSDEIMMYGNTSRILPPTLMHGKSVNHTQIAGPDGHAYRAGVSEERFSVNDERMVYQAALEDLNQPKVEATFPDGLLSVPLLRHQKIALDWMLQRETKSVYCMGGILADDQGLGKTISMIALIQMQKYLESKSKSEDPEKHKTVALNLDDDNDNDNYNDNNNDTDELEEVKQKGESDDSKSVPEVSTSARAVSKRRLSAGTLVVCPASILRQWARELEDKVPEESKLSVLIYHGGSRTKDPSEFAKYDVVLTTYSIITNEVPKQPIVDDDEIDEKIGERYGLSSEFSINKKRKRASHVGMKMKKGKKDIDSSTIDPSAGALAKPAWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLKYEPYSVYTAFCHGIKGSIAKDYVKGYKKLQAILKVVMLRRTKASLIDGEPIIKLPPKSVLMSKVDFTAEERDFYTQLEADSRSQFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGFDSDSIRKSDSVGQVSVEMAKSLPREMLINLVNCLEASAICLVCKDPPDDPVVTMCCHVFCYQCVSEHLTGDDNTCPARGCKEQLGADIVFSRSTLRICIADGYNGSLVHPQFENSVILQDEYSSSKIKTVIEILKSKCLLKNSSLESQSAIGCSETSLSSEQTYKETDHSGIRVVKRTTVYSHSLADRPMKAIVFSQWTRMLDLVEHSLRNHSINYRRLDGTMSLAARDRNVKDFNNDPESLISCRLL >KJB31390 pep chromosome:Graimondii2_0_v6:5:54967597:54970399:-1 gene:B456_005G188800 transcript:KJB31390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMGCQMLWLPLALTLMMATVSTGGSIVEECDPGDLKGLASFRGGIHMDTSGRLAKWVGRRCCRWQGISCNNETGRVTEVHLPGFISSVDFVFQSQMEGWFSPSITLLTSLEVLDLGGLAGLSGRIPTSIGRLKNLRKLYLYGNKLRGPVPESIGKLLKLEELHLHENRLSGFLPPTLGCLKNLNALLLHSNRFTGSIPASFSNLTNLMHLDLHANSITGHIPQNIGDLQLLKELDLSDNLLNGEIPASVNNLTSISVMYLDANHLEGEIPFPSNYGQMPLLGFLRLQNNQLGGKIPPNLGYLVSLQRVSLENNKLEGAIPSSLGNLEALTELYLNGNKLSGVIPKSIGQLSHLILLTLSHNSIQGPLPNEMSALQNLQTLDLSFNSLTLNSIPRWVAKLPSLSRIYLAGCGIKGQIPDMLKSTPSPIQELDLSANDLTGGIPAWMGSLTQLYSLNLSRNHLSSSIPASVADFQELGVLDLHSNNITASMEHVFKIGTSFPGGSLTYVDLSDNSFTSGIQQISVGTLERVVYLNLSHNLQKGKLPTSMEKLKALQSLDLSYNKFGFGLVEALANLSHLETLKLQRNQFTGRIPAEFLNLKNLKDLDLSDNLLVGEIPAGRPLSDFPQSCFTGNTGLCGKPLSPCKS >KJB28189 pep chromosome:Graimondii2_0_v6:5:3117325:3120107:1 gene:B456_005G033300 transcript:KJB28189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLILAPCCLFFIALIKLLYDYLWIPLRIQHMLNSQGIKGPPYRFIHGNNKEVTKMKQKALSKSVGLTDDLFPKVQPHVYTWTNRYGKNFVYWNGARAELVISEPELIKEVLKNSEQIFQKKELSDIGRKFLGNGLIFIEGEKWAKHRKLANHTFHGESLKNMTPAIIASVETMLEKWKGQEGKEIEVYQEFRLLTSEVISRTAFGSNYMEGEKIFAILRKLTVIMSRNLSKTRIPLISKLWKSADLLESEKLSKEIKDRVMKIVKKREDKVVNGEVNSFRSDFLGLLLNAYHDSDAKNRLSLEDVVAECKTFYFAGQETVNSLLAWIVLHLAIHGDWQEKARREVVDIFGNQNPHLEGIAKLKIMTMIINETLRLYGPTSVLQRTGTREIQLGKLLLPANIDILPLNIGLHRDPHFWGNDVHHFKPERFAEGIAKATNYTAAAFFPFGLGPRSCVGMTFAMIETKIALSMVLQRYTITLSPAYVHSPILILTLQPQNGIQVILEPIHSNA >KJB29937 pep chromosome:Graimondii2_0_v6:5:27850338:27850599:-1 gene:B456_005G124500 transcript:KJB29937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRKVSTLFIILVISLPILSHVGVEGTRVLPEDFAKANHLHTYSSSVYEKAKFTMSCWLQRLASGPSPRGPGH >KJB29680 pep chromosome:Graimondii2_0_v6:5:21639748:21642365:-1 gene:B456_005G113500 transcript:KJB29680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-activating enzyme 1, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G20560) UniProtKB/Swiss-Prot;Acc:F4HUK6] MEGSIKCSANYVPLTPITFLDRAAVIYPDSVSLVHGDVKYTWKQTRQRCVRLASALAQLGIAPRHVVAVLAPNVPVMYELHFGVPMAGAVLCTLNIRHDSSTVAVLLKHSGAKFLFVDYEFLHIAEGALKILSNTSAKLPQLVLIPDHDRQVPSRSNTNSTSANLDYDSLLAMGKLDFDIRRPEDEWEPISLNYTSGTTSSPKGVIYSHRGAYLNSLATILLNEMPSMPVYLWTVPMFHCNGWCLTWGVAAQGGTNVCLRNVSAGGIFSNIAKYKVTHMGGAPTVLNMIINAPASNQRRLPGKVVIMTGAAPPPPHVLFKMDELGFRVVHSYGLTETYGPGIVCTWKPEWDSLPQEVQAKIKARQGLNHLGIEEIDVKDPVTMKSMPPDARSIGEVMFRGNTVMNGYLKNIKATKDAFNGGWFRSGDLGVRHPDGYIELKDRSKDIIISGGENISSIEVESVLFSHPAVLEAAVVARPDDYWGETPCAFVKLKDGHEASVDEIIKYCRDNLPHYMAPRTVVFQDLPKTSTGKTQKYVLREKAKALGSMSNSKSKL >KJB30336 pep chromosome:Graimondii2_0_v6:5:35801419:35803622:1 gene:B456_005G138100 transcript:KJB30336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGCIAIDESTTSDSMSISISSLLPPVTKYPDSLCRVGSGTSVIVESKAESKKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAAKAYDIAAQRFRGPDAVTNFKHLQETEEDGIEMAFLNTHSKAEIVDMLRKHTYNDELEQSRTRRCYGFDGNGKRVVGNEAVFGSFGAEVKARDQLFEKAVTPSDVGKLNRLVIPKQHAEKYFPLQSGGASSKGVLLNLEDVTGKVWRFKYSYWNSSQSYVLTKGWSRFVKEKNLKAGDIVSFQRSTGAEKKLYIHWKAKSGVENPVGLRLFGVKIFGGAATGNLGCNNGKRTREIELLALECSKKQRAIINAL >KJB29386 pep chromosome:Graimondii2_0_v6:5:14820406:14823823:1 gene:B456_005G097700 transcript:KJB29386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKADFLLLAVLFSFMFLSCRAMKHDQSKFFNLMKASLSGKALSDWDVIGGKPYCNFTGVNCNDRGYVEVLNFTGWSLSGIFPDDVCFHLPELRVLDISRNNFHGNFLNGIVNCSSLEVFNMSSIYLRTKLPDFSKLVSLRVLDLSYNRFIGDFPMSITNLTNLEVLYFNENDKLKPWQLPDNISRLSKLRIMVFTTCMLYGRIPASIGNATSLVDLELSGNFLSGQIPKELGLLKNLKQLELYYNQYLSGTIPEELGNLTELIDLDMSVNRLSGNIPVSICRLPKLQFLQIYNNSLTGEIPGEIAESTTLTILSLYGNYLSGQVPRNLGRSAPMIALDLSENNITGPIPTGVCRGGKLLYLLMLDNKFSGNLPESYANCKSLLRFRVSNNHLEGWIPEGLFGLPHVSIIDLADNSFTGRFPNSFGNARNLSELFLQNNKVSGFLPPGISGAVNLVKIDLSNNLLSGSIPSQIGNLKNLNLLMLQGNNLSSGIPESFSLLKSLNVLDLSNNHLTGNIPESLSELLPNSMNFSNNQLSGPIPLSLIKGGLVESFSGNPGLCAPIHVQNFPICSHFYNQKKLNSMWAIIVSVLAITIAAVLLLKRRLSKQRAVMEHDETLSSSFFSYDVKSFHRICFNQHEILEAMVDKNIVGHGGSGTVYRIELQNGHVVAVKKLWSKTYKDSGSKDQLVFDKGLKTEVETLGNIRHKNIVKLYSYFSNLDCNLLVYEYMLNGNLWDALHNGRFDLDWPIRHRIALGVAQGLAYLHHDILPPIIHRDIKSTNILLDVDYHPKVADFGIAKVLQARGGKDSTTTVIAGTYGYLAPEYAYSNKATTKCDVYSFGVVLMELITGKKPVETDFGENKNIVLWISAKLETKEGVVEVLDKKLSKTFKEEMVKVLRIAMRCTCKNPSQRPTMNEVVQLLIESEPFRLDSSCKSPSKIKEAANANKIKNQSDI >KJB28235 pep chromosome:Graimondii2_0_v6:5:3440031:3449937:-1 gene:B456_005G036200 transcript:KJB28235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSLQPHYSPLRFRRFHLSGFNLKAVPRFSRQIYGKRVRVSTSISRGNAKIRGRFGGLSVSCFSKMDAEIEKVSSEEKEEEEERPPFDINLAVILAGFAFEAYTTPPENIGRREIDAADCKTVYLSGSFVREIYDGQLFIKLKKGFDFPAMDPWGTSDPYVVMQLDGQVVKSKTKWGTKEPTWNEDLTFNIKLPPSKYIQVAAWDANLVTPHKRMGNAGISLENICDGNLHEVLVELEGMGGGGRLQLEVKYKSFEEIEEEKIWWKLPFVSEFLRRNGFDSALKMFVGSESVSARQFVEYAFGQLKSFNDANFLKERLLNGNKIGVEGVRNSNDSSVSEMSFSHVESSPEATFSDTSNNSENNSEEFQLDNSGMANGQNAKPVAQIGNMQFDNYFWKNVADVINQNVVQKLGVPIPEKLKWDGFDLLNKIGLQSQEIAEAKYIESGLATPDYQDTQGDNVPESGSATREDPENKNDKAIGPLTINTIQSSLPDIKKATQDLLRQTDSILGALMVLTAAVSQSKKEGQENENKEDFSTNVETSVSRYSGGEKLPRTLDGSVLNEKKTEEMKELFSTAESAMEAWAMLASSLGHPSFIKSEFEKLCFLDNETTDTQAAIWRDSARRRLVVAFRGTEQARWKDLRTDLMLVPAGLNPERIGGDFKQEVQVHSGFLSAYDSVRIRIISLIKASIGYIDELLEPQHRWQVYVTGHSLGGALATLLALELSSSKLAKCGAISVTMYNFGSPRVGNRRFAEVYNEVTEYFSPVSFYL >KJB28697 pep chromosome:Graimondii2_0_v6:5:6782749:6782901:-1 gene:B456_005G065000 transcript:KJB28697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPTRKINLISCDPMDHVSDIKLIRTDTTLDLSQKAEKGMPLSVEGRAI >KJB30790 pep chromosome:Graimondii2_0_v6:5:46003064:46005274:-1 gene:B456_005G160000 transcript:KJB30790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLVVILTFFLTHFFIFPLGNFVNGATITSCTQTPYPDVCNFYMGNSLNVAANLDQTQFSFRDVAIQVTLSQAVQAHQLVSSMDSSSFDQRAKLAWNDCVELYEDTIHHLNLSKTTNNQIDSQTWLSAAIANQQTCQNGFIDFNLASHLQTLPSMLGNITKLISNSLAINKATTVTSSTSHSNFAKQVANRRLLSDGFPAWLSVADRKLLQTTGAPPKADIVVAQDGSGNFKTITEAVAAAGGGKRTVIYVKAGVYKENVDIKRSAKNIMLVGDGIDATIVTGSKNAQTTTTFRSATVGVSGDGFIARDMTFENTAGPQKHQAVAFRSGSDFSVFYRCSFKGYQDTLYVYSQRQFYRDCDIYGTVDFIFGDAVAVFQSCNIYIRRPMSGQKNTVTAQGRTDQNENTGIVIHNSRVTASSDMKAVQGSFKSYLGRPWQKYSRTVFMRSTLDGLIDREGWLPWSGNFALSTLYYAEYMNTGAGADTSGRVKWGGYHVIGATEAAKFTVGNFLAGNSWIPGTGVPFDVGL >KJB29204 pep chromosome:Graimondii2_0_v6:5:11826600:11832272:-1 gene:B456_005G089100 transcript:KJB29204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEVENKPVICTESAKELVKELRASFATGKTKSYEWRLTQLNAMVKMMEEKEPQIVAALHDDLSKPELESSIYEIAMLKNSCRLAVKNMKHWMMPEKAKTSLVTFPSSAEIVSEPLGVVLVISAWNYPFLLSLDPIVGAIAAGNAIVLKPSEIAPATSLLLARLVAEYLDSSCIKVVEGAVPETAALLEQKWDKILYTGNGRVARIVMAAAAKHLTPVVMELGGKCPVIVDSDINLQVATRRIIAGKWGCNNGQACISPDYIITMKDYAQKLIDSFKCELERFYGKNPLESKDLSRIVNSNHFARLSKLLDEDKVSSKIVHGGERDKENLKITPTILLDVPRDSLIMNEEIFGPLLPVFLVDKVEDSFDVINSGTKPLAAYLFTNKKKLKEKFVATVSAGGLVINDTTVHVIYY >KJB29202 pep chromosome:Graimondii2_0_v6:5:11825419:11832380:-1 gene:B456_005G089100 transcript:KJB29202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEVENKPVICTESAKELVKELRASFATGKTKSYEWRLTQLNAMVKMMEEKEPQIVAALHDDLSKPELESSIYEIAMLKNSCRLAVKNMKHWMMPEKAKTSLVTFPSSAEIVSEPLGVVLVISAWNYPFLLSLDPIVGAIAAGNAIVLKPSEIAPATSLLLARLVAEYLDSSCIKVVEGAVPETAALLEQKWDKILYTGNGRVARIVMAAAAKHLTPVVMELGGKCPVIVDSDINLQVATRRIIAGKWGCNNGQACISPDYIITMKDYAQKLIDSFKCELERFYGKNPLESKDLSRIVNSNHFARLSKLLDEDKVSSKIVHGGERDKENLKITPTILLDVPRDSLIMNEEIFGPLLPVFLVDKVEDSFDVINSGTKPLAAYLFTNKKKLKEKFVATVSAGGLVINDTTVHLAEPTLPFGGVGDSGMGAYHGKFSFDAFSHKKAVLYRGFACDAFVRYPPYTRGKLGLLRALFDGSILGIIRALLGWSKA >KJB29203 pep chromosome:Graimondii2_0_v6:5:11825720:11830147:-1 gene:B456_005G089100 transcript:KJB29203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKATIFELFIFGLPSVLFVSMELPHKISNLFPLILTWLTLQIAMLKNSCRLAVKNMKHWMMPEKAKTSLVTFPSSAEIVSEPLGVVLVISAWNYPFLLSLDPIVGAIAAGNAIVLKPSEIAPATSLLLARLVAEYLDSSCIKVVEGAVPETAALLEQKWDKILYTGNGRVARIVMAAAAKHLTPVVMELGGKCPVIVDSDINLQVATRRIIAGKWGCNNGQACISPDYIITMKDYAQKLIDSFKCELERFYGKNPLESKDLSRIVNSNHFARLSKLLDEDKVSSKIVHGGERDKENLKITPTILLDVPRDSLIMNEEIFGPLLPVFLVDKVEDSFDVINSGTKPLAAYLFTNKKKLKEKFVATVSAGGLVINDTTVHLAEPTLPFGGVGDSGMGAYHGKFSFDAFSHKKAVLYRGFACDAFVRYPPYTRGKLGLLRALFDGSILGIIRALLGWSKA >KJB29508 pep chromosome:Graimondii2_0_v6:5:17946334:17948922:-1 gene:B456_005G104200 transcript:KJB29508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTGNPNHPPCHGDLITVLSIDGGGIRGIIPGIILSFLESELQKLDSEEARIADYFDVIAGTSTGGLLTTMLTSPNEKNRPLFAAKDIKAFYFEHGPKIFPQRRFPFSGVTKIIQSVMGPKYDGKYLHGVLKERLGNTRLNQTLTNVVIPTFDIKKLQPIIFSSYEVKKSAGLNALMSDICIGTSAAPTYLPAHYFETEDTDGKVKKFNLIDGGVAANNPALIAMGEVTKQIHKGHSDFSCIKAANHYNRFLVLSVGTGSEKLAEKYTAKQAAQWGVLGWLTSGNSTPLINAFSEGSADMVDFHISVIFKSLNSEQNYLRIQDDKLKGEVSSVDVSTEENMKILAKVAENLLNKPVSRVNFETGNYEPSGDLETNAQALIRYAKLLSEEKRRRLKTSLH >KJB30810 pep chromosome:Graimondii2_0_v6:5:46720561:46734800:1 gene:B456_005G161600 transcript:KJB30810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTVSSVLSCTMCFTGKGLRSAIVFEAERGYRNALGRKMRFHNYLFNKVSKIYSRSKHKFPEKLLEEVHNYDTASISGRSKLLNKVSVLMGYSGLHDLFENERPDEQPDRNLEDATDDFDLSLACKRFPSITLGSSLPVELYDEATSSSQIRALLAAQRFLSNSMDEKWVDPNGLSETWDSLYEPLSEAGSSAALQESTGSHQSSWSTTLESEGKSDHLLTVEENTEKLDQSSWSVTLEFEGKSDHLVAKEVSSSKVGVQRHSDIATIDLFLDRSISCIPGLSKRHSRQLEECGFYTLRKLLHHFPRTYADLQNAQTEIDDGQYLIFVGKIMSSRGIRASYTFSILEVIVGCEVANNGPTSEQIYDGSDTKGEKTIYLHLKKFFRGARFASHPFLKSIEGKHKLGELVCVSGKVRALSKDHYEMREYSIDVLKDENDSSVITKGRPYPIYPSKGGLKPCFLRDIIPRALQAVQVNIDPIPEEITKEFGLLCLNDAYVGIHQPKNIEEADLARRRIIFDEFFYLQLGRLFQMLEGLGTKIEKDGLLEKYRKPEVNAAYMEEWSSLTKKFLKALPYSLTSGQLSAISEIIWDLKRPVPMNRLLQGDVGCGKTIVAFLACVEVIASGYQAAFMVPTELLAIQHYDHFVDLLEKMDEVDNKPSVALLTGSTPLKQSRLIRKDLQSGNISLVIGTHSLIAEKVEFSSLRIAVVDEQHRFGVIQRGKFNSKLYCTSTSSRMQAADTDVSSEHDIHMAPHILALSATPIPRTLALALYGDMSLTNITDLPPGRIPVETYIIEGTDNGFKNIYAMMLEELEAGGRLYIVYPVIEQSDQLPQLRAASADLETISNQFQDYNCGLLHGRMKGDEKEEALRKFRSGETDILLSTQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGAKKSKCILVASSSSSLNRLKVLEKSSDGFHLASVDLRLRGPGDLLGKKQSGHLPEFPIARLEMDGNILQEAHVAALKVLSYSHDLELFPALKAELSMRQPLCILGD >KJB32269 pep chromosome:Graimondii2_0_v6:5:61507927:61509072:1 gene:B456_005G232500 transcript:KJB32269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRILRKGCSENCMLRQSLQCIENPQAQAHATVFVAKFFGRAGLMSFLSSVASPQRPALFQSLLFEAVGRAINPVSGAVGLLWTGNWNVCQSAVQTVLQGGTLQPLPEFSGGVSGSDFEDVGETVGVGGGGGAGGPCIQSGGFVDVVECKASDLNLCLMIGDDDRAVQRRRESTSSEESETTTLGSRFSGDGNSSNDSCGADGERKLLRLFI >KJB32268 pep chromosome:Graimondii2_0_v6:5:61507927:61508915:1 gene:B456_005G232500 transcript:KJB32268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRILRKGCSENCMLRQSLQCIENPQAQAHATVFVAKFFGRAGLMSFLSSVASPQRPVGRAINPVSGAVGLLWTGNWNVCQSAVQTVLQGGTLQPLPEFSGGVSGSDFEDVGETVGVGGGGGAGGPCIQSGGFVDVVECKASDLNLCLMIGDDDRAVQRRRESTSSEESETTTLGSRFSGDGNSSNDSCGADGERKLLRLFI >KJB29981 pep chromosome:Graimondii2_0_v6:5:28507558:28515179:1 gene:B456_005G126800 transcript:KJB29981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHKLRNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPINTVPSRTGSFGGAASNSGPIMPNAAPRAGYTTSGPGATGGMSSSASLKKSNNSGPLNRHGDPVKKSSGPQSGGVMPSGRQNSGPIPPVLPATGLITSGPISSGPLNSSGVPRKVSGPLESLGSMKVQGSAVHNQAVNVLSQDDDFSFRRNFPKPIFWALILLFVMGFIAGGFIFAAVHNVILLLVVVVLFSAVTALYVWNSCWRRKAITDFIAHYPNAELRNAKNGQFVKISGVVTCGNVPLESSFQRVPRCVYTSSRLYEYRGWDSKAANHTHCRFTWGLRLLERRAVDFYISDFQSGLRALVKAGYTARVTPYVDDSIVIDVNPANEALSLEFVRWLGERNLSSDDRVMRMKEGYIKEGSTVSVMGVIQRNDNVLMIVPTSEPITTGFQWAKCIFPGTLEGIVLRCEDTLNNDAIPV >KJB28603 pep chromosome:Graimondii2_0_v6:5:5874593:5876156:1 gene:B456_005G057800 transcript:KJB28603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMCVEDRISSFPDPILCHILSFLPIKEAVRTSIISTKWRYLFASMSTIEFDACSMSGLTDRNIDSFKNFVDRLLKSPDQVRLDCFRLSHMICSWNDWNDGDHDFDISGWICAALCRGVKEIDLQLSYREDILPAVLFTCGSLVTLKLDAVGHNFKFPSDVCLGNLKTLHFRDSFFGDSVLRFISNCHVLEDLAFIECDFYTSDINIQTPSLKRFILDFNLGEFADLKYVVVINAPNLVYFQYTDAVAQGYTLSTMKSLEKAHIKICGFDTIDSQAIAAHLIQGICNVRSLRLSINEGIFRTSQLPIFHNLIEFEFLGRGFNGREIWLVKFLHRIPNLKTLTLNFPVVAGAQWKALEVPSCLSFHLKEIKISCFNTHMIEMVSYFLDNAMILEKLIISMDARTNMTQKKKARNQLLQLVKSSKKCLKLVVIL >KJB27912 pep chromosome:Graimondii2_0_v6:5:1212268:1214100:1 gene:B456_005G016500 transcript:KJB27912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRKPSSTMAATAKEVTPTISPSSDLVSRYAPEESRKGADIIVEALEREGVKHVFAYPGEASVEIHQALTRSNVIRNVLPRHEQGGVFAAEGYARSSGLPGVCIATSGPGATNLVSGLADAMIDSIPLVAITGQVPRRMIGTDAFQETLIVEVTRSITKHNYLVLDIDDIPRIVSEAFFLATSGRPGPVLIDVPKDIQEQLAMSRLPKEPSEAHLEQIVRLIFESKKPVLYVGGGCLNSGEELKRFAELTGIPVTCTLMGLGSFPTSDPLSLQMLGMYGTVYANYAVDKSDLLLSFGVRFDDHVTGKLEAFASRAKIVHIDVDSAEIGKNKQPHVSVHSDSKGAKLELDYTAWWEELIEQKVKYPLTYKTFGEAIPPQYAVQLLYELTDGNVIISTGVLQHQMWAAQFYKYKRPRQWLTSGGFGAMGFGLPAAIGAAVANPGAVVVDIDGDGSFMMNVQELATIRVENLPIKILLLNNQHLGMVVQWEDRFCKANRADSYLGNPSNKSEVFPNMLKFAEACEIPAARITKKEDLREAICKTLETSGPYFLDVIIPHQEHVLPIIPTGGTFKDVITEGDGRTKY >KJB32855 pep chromosome:Graimondii2_0_v6:5:63876424:63881070:-1 gene:B456_005G265400 transcript:KJB32855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLIVLTLFSLLITFSSCSSASLINDFHVLVTLKKEFEFPEPFLSSWNSSDLSSVCSSWVGIGCSKGRVVLLDLTDMNMGGSVSPQISKLDRLTNLSLAGNNFTGRIVIGNLSNLRFLNISNNQFHGNLDWNYESIANLEVLDAYNNNFTAVLPVGILALKKLRYLDLAGNYFYGEIPPSYGTLVGLQYLSLSGNDLRGKIPGELGNLKNLKALYLGYYNVFEGGIPVEFGNLVNLVTVDLSSCELDGPIPHELGNLQLLDALYLHNNQLSGPIPKQLGNLTSLVYLDLSRNALTGEIPSEFVNLKQLRLFNLFMNKLHGSIPDYVAELPYLETLALWMNNFTGVIPKNLGQNGKLQLLDLSSNKLTGTIPLDLCASNQLMILVLMKNFLFGNIPQGLGRCYSLSRVRLGQNYLNGSIPNGFIYLPELSLVELQSNYLSGTLSENGNSSSKPVKLGQLNLSNNLLSGPLPLSLSNFSSLEILLLGGNQFSGSIPPSIGELRQVLKLDLSKNSLSGTIPPEIGNCFHLTYLDMSQNNLSGSIPPQISNVHILSYLNVSRNLLNQAIPRSIGWMKSLTVIDFSFNDFSGKLPESGQFAVFNASSFAGNPKLCGSLLNNPCNFTAITRTPRKAPGDFKLFFALGLLICSIIFATAAIIKAKSFKKNGSSSWKMTAFQKLDFTVSDILECVKDGNVIGRGGAGIVYHGKMVNGMEIAVKKLLGLGTSNHDHGFRAEIQTLGNIRHRNIVRLLAFCTNKETNLLVYEYMRNGSLGEALHGKKGAFLGWNLRYKIATEAAKGLCYLHHDCSPLIVHRDVKSNNILLNSSFEAHVADFGLAKFLVGGGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRKPVGEFGEGIDIVHWTKLVTNCRKAEVTSIVDPRLTTVPKDEAMHLLFIAMLCVQENSIERPTMREVVQMLSEFPRQSPEYHQTSSSFLIHNQQKNILKKEITQK >KJB27895 pep chromosome:Graimondii2_0_v6:5:1084310:1091973:1 gene:B456_005G015100 transcript:KJB27895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFFDIDTDTALRLLLSCAEAIEEYGDLKSADAFLHNILILADQIPNWFQDEIKVVKYFADALARRAYGLHPASSFFTFPVDPLPYYHYNSYHINGVIKKVVDGALMGSRRLHVIDFSIPYYRFQNSVLRTLPNFSGDPLPVRVSYTLPPFLKKHVKFSRQMEILTKDAEEVNVKLENELKVVYGNSLAEVDECEIDFKRRRDDEMVVVYYKFKLDKLVREAKAMERELARLKEINPTIVIMLDFYSNHSDSNFLTCFKDSFQYSLKTLDYWAELDHYLEGEYGWECNREAGEGNNIIRRHPSLTEWQHLFSMAGFSRIPLNHRKDNLSFEDNPSFADNSLLEIMGEEEECLILGYKQRPMFFLSAWKPKVEDGHFDSNSTNHKFGQGFNPNPLPRQPLQPFPEGLKLNRLAALAEIHDISKYLSCKYKLSLTLTLASKVNNMNETILDPNKKQVFFIQDNYCYTKDMTSYYFMLDSKDKISGRPLIIEKAFESRDGYHFEPSLSKVEDYRDSTLQGYNIDVAVAICLQNRHTSDEVYIVALYWPPTESEISKSLTLHIFDDLKHMKTTFVTVKVQGPEFKFQEECISSTPTSSNTAMPLEIAENAHIEQVVADPFNTLEGPYNKIVETKRNKQRKSWSKVWVDFDKFEEHGKQVAKCKHCLKVFTGSSKSGTTHLNNHSKVCPGKKKQNQESQLILPVDTNERSSTFDQETSHLDLVKMVIRHQYPLDLAGQEAFKNFVKGLQPMYEFQSRDKFLWKNNHGKTAYCCLIAHFIDDGWELKIKILGLRKLEHVYDTKVVGGIIGSFVSEWNISKKVCSITVDNSFLNDGMVHQIRENCVSEQGSLSTAHWFISFTLLEDGFREMDTILSKLWKSIEYVTETTHGKLNFQEAVNQVKLQGGKSWDELSFKLESDSDILDSALRSREIFCKLEQIDDNFMLNLSKEEWDKVVTLQSCFKCFDDIKGTQSLTANLYFPKLCNMYEEFGQLKKSNHPFVILMKRKFDNYWSLCNVAFTIAAALDPRLKFRFTCNETYDLESMMKLKRFRKVLMDVYFDYANEAKNLSASSSVLDDSNSLTAETTKDCIVSYFSKFVSASNVKEVASQKSELDCYLEETLLPSDADILGWWRINSQRFPTLAKMARDFLAIPVSVSSPCSNVSAMTINPAYSSLDPESMEALVCSQNWLESTKENDGEHHEPMQNMDKRKRKMEENDTSTVKVFKNRTHEKASSNGDIASDFNKNDGSLSFDNWMEPQCSSSESVGERAEIVDEKTRRKKFCYFSTALVYRL >KJB27894 pep chromosome:Graimondii2_0_v6:5:1084310:1089735:1 gene:B456_005G015100 transcript:KJB27894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFFDIDTDTALRLLLSCAEAIEEYGDLKSADAFLHNILILADQIPNWFQDEIKVVKYFADALARRAYGLHPASSFFTFPVDPLPYYHYNSYHINGVIKKVVDGALMGSRRLHVIDFSIPYYRFQNSVLRTLPNFSGDPLPVRVSYTLPPFLKKHVKFSRQMEILTKDAEEVNVKLENELKVVYGNSLAEVDECEIDFKRRRDDEMVVVYYKFKLDKLVREAKAMERELARLKEINPTIVIMLDFYSNHSDSNFLTCFKDSFQYSLKTLDYWAELDHYLEGEYGWECNREAGEGNNIIRRHPSLTEWQHLFSMAGFSRIPLNHRKDNLSFEDNPSFADNSLLEIMGEEEECLILGYKQRPMFFLSAWKPKVEDGHFDSNSTNHKFGQGFNPNPLPRQPLQPFPEGLKLNRLAALAEIHDISKYLSCKYKLSLTLTLASKVNNMNETILDPNKKQVFFIQDNYCYTKDMTSYYFMLDSKDKISGRPLIIEKAFESRDGYHFEPSLSKVEDYRDSTLQGYNIDVAVAICLQNRHTSDEVYIVALYWPPTESEISKSLTLHIFDDLKHMKTTFVTVKVQGPEFKFQEECISSTPTSSNTAMPLEIAENAHIEQIVETKRNKQRKSWSKVWVDFDKFEEHGKQVAKCKHCLKVFTGSSKSGTTHLNNHSKVCPGKKKQNQESQLILPVDTNERSSTFDQETSHLDLVKMVIRHQYPLDLAGQEAFKNFVKGLQPMYEFQSRDKFLWKNNHGKTAYCCLIAHFIDDGWELKIKILGLRKLEHVYDTKVVGGIIGSFVSEWNISKKVCSITVDNSFLNDGMVHQIRENCVSEQGSLSTAHWFISFTLLEDGFREMDTILSKLWKSIEYVTETTHGKLNFQEAVNQVKLQGGKSWDELSFKLESDSDILDSALRSREIFCKLEQIDDNFMLNLSKEEWDKVVTLQSCFKCFDDIKGTQSLTANLYFPKLCNMYEEFGQLKKSNHPFVILMKRKFDNYWSLCNVAFTIAAALDPRLKFRFTCNETYDLESMMKLKRFRKVLMDVYFDYANEAKNLSASSSVLDDSNSLTAETTKDCIVSYFSKFVSASNVKEVASQKSELDCYLEETLLPSDADILGWWRINSQRFPTLAKMARDFLAIPVSVSSPCSNVSAMTINPAYSSLDPESMEALVCSQNWLESTKESKSNFDFV >KJB28427 pep chromosome:Graimondii2_0_v6:5:4496411:4504779:1 gene:B456_005G047400 transcript:KJB28427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVATEEFGVGGSVEGVSRSGEALVEWRSSEQVENGTPSTSPPFWDSDDDDDGGLKPSELYGKYTWKIENFSQINKRELRSNAFEVGSYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWGHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFIESDTLIIKAQVQVIKEKADHPFRCLDCQYRRELVRVYLTNVEQICRRFLDERRQMLGRLIEDKARWLSFRAFWLGIDQNNRHGMSKEKTDVILKVVVKHFFTEKEVTSTLVMDSLYSGLKALKGQSKIKKVKSKVFDAEEMPAPIVHIEKDMFLLVDDVLLLLERATLEPLPPKDEKGPQNRTKDGNSGENLNKYSIERDERRLTELGRRTVEIFVLAHIFSNKIEAAYQEAIALRRQEELIREEAALLAESEQKAKQGASEKEKKSKKKQAKQKRNNRKSKDKGREEKAIVAIQEKHQEGQPEDGKEASMMVEQQPVCEKADVLGDISDVSDSVDGAIEVFPHDSVDKEASPVNCDTDTLEIHPPIGSSSSGITGLSCVQNGVADKKSPSIMEDSSSMCLTDSLSSAVMSPYKGNSFSNNHNKKSPSRGKDHQSKTSSDGNILIKEFGNPSSCPALEAGDRNDVSQSSKAGESVSEATIPSLSDQRKWVERDAVRKEEVLLQKKPIIQDPVDLERPKEKTTAIPSSPRSPPRSPPPTAQFRSEYRSTGSVGSIPVRKTSSNGLQRSDLPAPSSTSFQMNGLLKSETQKPATLKPTEKATTPQEPMMSRPSSAPLVPGPRPTAPVVSLVQATPLIAHTTSTAGYLGPDSSAATSYVPQSYRNAIMGNHVASSSSDFTHPNSPSSGVNPLPVYSQTPPLVSTPVYIPQSSDRIEPNSVQSDFPFGMVDRNIMLNASQWMDKSQRDGTRSMHLDHSSSLSEIQNLNLYKSLYNGSREHFSNEFSSCTSGRQSQCVLADEFPHLDIINDLLDEEHNVGSIGRTGGFHVLSNGSHLLSSHFSFPSNLGMSGEMGSSCGSCRFEQARSYHDDGLQHSFKSSSGNHIDTPREFTPQTNLQPYTNGQIDGLVQTQWPMASSHLSLLQMRNTNGDSYPYYNAKYPNLACGVNGYATVFRPSNGQ >KJB28428 pep chromosome:Graimondii2_0_v6:5:4495925:4504714:1 gene:B456_005G047400 transcript:KJB28428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVATEEFGVGGSVEGVSRSGEALVEWRSSEQVENGTPSTSPPFWDSDDDDDGGLKPSELYGKYTWKIENFSQINKRELRSNAFEVGSYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWGHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFIESDTLIIKAQVQVIKEKADHPFRCLDCQYRRELVRVYLTNVEQICRRFLDERRQMLGRLIEDKARWLSFRAFWLGIDQNNRHGMSKEKTDVILKVVVKHFFTEKEVTSTLVMDSLYSGLKALKGQSKIKKVKSKVFDAEEMPAPIVHIEKDMFLLVDDVLLLLERATLEPLPPKDEKGPQNRTKDGNSGENLNKYSIERDERRLTELGRRTVEIFVLAHIFSNKIEAAYQEAIALRRQEELIREEAALLAESEQKAKQGASEKEKKSKKKQAKQKRNNRKSKDKGREEKAIVAIQEKHQEGQPEDGKEASMMVEQQPVCEKADVLGDISDVSDSVDGAIEVFPHDSVDKEASPVNCDTDTLEIHPPIGSSSSGITGLSCVQNGVADKKSPSIMEDSSSMCLTDSLSSAVMSPYKGNSFSNNHNKKSPSRGKDHQSKTSSDGNILIKEFGNPSSCPALEAGDRNDVSQSSKAGESVSEATIPSLSDQRKWVERDAVRKEEVLLQKKPIIQDPVDLERPKEKTTAIPSSPRSPPRSPPPTAQFRSEYRSTGSVGSIPVRKTSSNGLQRSDLPAPSSTSFQMNGLLKSETQKPATLKPTEKATTPQEPMMSRPSSAPLVPGPRPTAPVVSLVQATPLIAHTTSTAGYLGPDSSAATSYVPQSYRNAIMGNHVASSSSDFTHPNSPSSGVNPLPVYSQTPPLVSTPVYIPQSSDRIEPNSVQSDFPFGMVDRNIMLNASQWMDKSQRDGTRSMHLDHSSSLSEIQNLNLYKSLYNGSREHFSNEFSSCTSGRQSQCVLADEFPHLDIINDLLDEEHNVGSIGRTGGFHVLSNGSHLLSSHFSFPSNLGMSGEMGSSCGSCRFEQARSYHDDGLQHSFKSSSGNHIDTPREFTPQTNLQPYTNGQIDGLVQTQWPMASSHLSLLQMRNTNGDSYPYYNAKYPNLACGVNGYATVFRPSNGQ >KJB28426 pep chromosome:Graimondii2_0_v6:5:4495925:4504714:1 gene:B456_005G047400 transcript:KJB28426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVATEEFGVGGSVEGVSRSGEALVEWRSSEQVENGTPSTSPPFWDSDDDDDGGLKPSELYGKYTWKIENFSQINKRELRSNAFEVGSYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWGHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFIESDTLIIKAQVQVIKEKADHPFRCLDCQYRRELVRVYLTNVEQICRRFLDERRQMLGRLIEDKARWLSFRAFWLGIDQNNRHGMSKEKTDVILKVVVKHFFTEKEVTSTLVMDSLYSGLKALKGQSKIKKVKSKVFDAEEMPAPIVHIEKDMFLLVDDVLLLLERATLEPLPPKDEKGPQNRTKDGNSGENLNKYSIERDERRLTELGRRTVEIFVLAHIFSNKIEAAYQEAIALRRQEELIREEAALLAESEQKAKQGASEKEKKSKKKQAKQKRNNRKSKDKGREEKAIVAIQEKHQEGQPEDGKEASMMVEQQPVCEKADVLGDISDVSDSVDGAIEVFPHDSVDKEASPVNCDTDTLEIHPPIGSSSSGITGLSCVQNGVADKKSPSIMEDSSSMCLTDSLSSAVMSPYKGNSFSNNHNKKSPSRGKDHQSKTSSDGNILIKEFGNPSSCPALEAGDRNDVSQSSKAGESVSEATIPSLSDQRKWVERDAVRKEEVLLQKKPIIQDPVDLERPKEKTTAIPSSPRSPPRSPPPTAQFRSEYRSTGSVGSIPVRKTSSNGLQRSDLPAPSSTSFQMNGLLKSETQKPATLKPTEKATTPQEPMMSRPSSAPLVPGPRPTAPVVSLVQATPLIAHTTSTAGYLGPDSSAATSYVPQSYRNAIMGNHVASSSSDFTHPNSPSSGVNPLPVYSQTPPLVSTPVYIPQSSDRIEPNSVQSDFPFGMVDRNIMLNASQWMDKSQRDGTRSMHLDHSSSLSEIQNLNLYKSLYNGSREHFSNEFSSCTSGRQSQCVLADEFPHLDIINDLLDEEHNVGSIGLNKHGATMMMGSSTVLRAHQATILIHRGNLLPKQTSNLTPTDKSMDWFKLNGRWPHPIYLYFR >KJB32181 pep chromosome:Graimondii2_0_v6:5:61087501:61090378:-1 gene:B456_005G227900 transcript:KJB32181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRETRTMTMLRELALRNSSTNSIVGNQLKHRHGFHIIRQTCTEFPRKLKKTERKPWVTNINELKRIGRAERKERQIVRERILQPPQNGLLVKELIPVAHQVNADRIQLFTCVSKVAQSIAIYSCSVCGEVHIGHRPHKIRTCNVMGSAASKEHCWRRGAIEHVLPLVESFHLYDRLGRAVSHNERLQVDRIPAILELCVQAGIDLPEYPTRRRVFPAYSISGRVIDFEKRFPKEDAPGKDIYACGFWQKRNNISDDNKSVDMQPCDLQGTAVRGMEAWERMRSGASRLMETYAVQTCGYCSELQVGPKGHRVRNCQAYKHQMRDGQHAWQEATIDDVVPPVYVWHVRDTQSEKPLVNGLKKYYGMLPAVVELFAQAGASVPNDYVVMMREDVAVPEWDEEKLVV >KJB32182 pep chromosome:Graimondii2_0_v6:5:61087501:61090348:-1 gene:B456_005G227900 transcript:KJB32182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRETRTMTMLRELALRNSSTNSIVGNQLKHRHGFHIIRQTCTEFPRKLKKTERKPWVTNINELKRIGRAERKERQIVRERILQPPQNGLLVKELIPVAHQVNADRIQLFTCVSKVAQSIAIYSCSVCGEVHIGHRPHKIRTCNVMGSAASKEHCWRRGAIEHVLPLVESFHLYDRLGRAVSHNERLQVDRIPAILELCVQAGIDLPEYPTRRRVFPAYSISGRVIDFEKRFPKEDAPGKDIYACGFWQKRNNISDDNKSVDMQPCDLQGTAVRGMEAWERMRSGASRLMETYAVQTCGYCSELQVGPKGHRVRNCQAYKHQMRDGQHAWQEATIDDVVPPVYVWHVRDTQSEKPLVNGLKKYYGMLPAVVELFAQAGASVPNDYVVMMREDVAVPEWDEEKLVV >KJB27579 pep chromosome:Graimondii2_0_v6:5:39773:41554:1 gene:B456_005G000900 transcript:KJB27579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDTEQIKSIYGHNSDSPEEDDKEDHVNIDLAWESDEVEAISSLFQGRIPQNLYGMNRATAGLTINSHGRMERQETRDRKKNKQNSNKEEKEVMLSSISEDDHKINS >KJB29579 pep chromosome:Graimondii2_0_v6:5:19665927:19666508:-1 gene:B456_005G108800 transcript:KJB29579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLKTYLSVAPILCTLWFGSLAGLLIEINSFFPDALTFPFFNSSYCRGTGQKRLDRDAIKYL >KJB32376 pep chromosome:Graimondii2_0_v6:5:61962363:61962602:-1 gene:B456_005G237900 transcript:KJB32376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANINIDGILKELPNDGRIAKTKVVCTLGLTSRLVPMTEKLLRAGMNVACFNFSHGSHEYHQETLNNLEKLYYFIYFWC >KJB28270 pep chromosome:Graimondii2_0_v6:5:3712691:3713336:-1 gene:B456_005G039300 transcript:KJB28270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNPILLHHIILFPVFHSFEDFIYCQNLAFKMGLTQAKMVLLFIAMMVLISFAAAIVTPHDFILKPSNRGRKILGYYDPGSTYGPPSGGGGDPCC >KJB30182 pep chromosome:Graimondii2_0_v6:5:33134437:33136038:1 gene:B456_005G132800 transcript:KJB30182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKKVQRHRLVLGTPISFFWWFVSLSLILNGSLFTVNFESSIWFQQSVIGRDDTKAGLTAATALPLCGSSMMFVVIRCLC >KJB28196 pep chromosome:Graimondii2_0_v6:5:3190250:3192597:-1 gene:B456_005G033800 transcript:KJB28196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNVMNSWTFTGIVGAFLDLFIAYLYLCGSTLAYLASRFLGLFGLSLPCPCNGLFGYLEKKNRFQATLVHDPCLRISPVQYSIMKRLPFDAIWNNFYDDGEDDDDDELRNSQLNSDYWQDGKVEMEREASSSSWNGKKNTFVGVKNGNFGQIHKWKGRPKVGIRRRKRIDSFLGGKVSSSPNDPLVSITTPTGFNSSATFVKLGNDVTEESTTPVHSEDGKETAKDIGGPKQSFQGSQMDYDSFAENKSVDEKEIAMAIKRSASAQDFDGGRVLGQALDEEHATCAALYIELEKERNAAATAADEAMAMILRLQEEKAAIEMEAKQYRRMIEAKFTYDAEEMNILKEILLRREKEKYFLEKETESYKQMLYGKEQLDADMYDTAATQEPGMSSEWELLRVQQVNDLFREKDKTKVNIDFVEGIAVTELNEAATFLSSSIENSDAHMFRSDDEINAIVEDKEQCNETNPHQHLALKTTEAKMIFPYNNEKVENLGKGLHRSDSGSDFRVLDVHVINNASNVKNKEGEKRIEKKLIGVSSNSPKTCDNQTIGGIEIEPGRKGNSSERLEGLPPIHPSRPKYLHRKSKSAFDYERLKIDNEVGWLRERLKIVQLGREKLNFPAGNKGREQVELQIMEDIATQLRDRRQLTESGKALPQAPLLPPSSKVMSKKRHKQSASLGGLGSI >KJB28015 pep chromosome:Graimondii2_0_v6:5:1835661:1837125:1 gene:B456_005G021900 transcript:KJB28015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YCYMLCLDAPGNKCSSSSSCPDGYQYSGVMCEPIKLTEKSKKHQISTVIIGCSTSLGTLFALLGTWHLYKVLDRRKKFKLKQKYFRRNGGLLLQQQLSRNEGNIEKLRLFGSKELEKATDHYNKNRVLGQGGQGTVYKGMLADGTIVAVKKSKLMEEKIIDKTKLEQFINEVMILSQINHRNVVKLLGCCLETKVPLLVYEFIPNGTLYHFINQPNEEFPLIWKMRLRIAIEIANALSYLHSAASIPIYHRDIKSSNILLDDKYRAKVSDFGISRSVALEQTHVTTRVQGTFGYLDPEYFRSSKFTEKSDVYSFGVVLVELITGQKPISSSESKEVVRSLANFFLLSMKENSLLNVVDPMVMNSNAKEEVVAVAKLAKRCLNFKGKKRPTMKQVALELEWIRSSEEANAIQQSADEDSNTDEMTEALGVASFSTSCSVVKDSVTLFIDT >KJB29416 pep chromosome:Graimondii2_0_v6:5:15669326:15670238:1 gene:B456_005G099300 transcript:KJB29416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDHFHPSPPSNRNFYCLQQFSKSLNHIFLFQPSSFNSSASPFLSFNHKTHFQMFRPFICATFNPHQDDDGPRKPRNNKARKKDDKNPYSDRGLDKFSALLSELEQKKQKIYSQTGSQDVKLVRFKYKNSTDFVPIVVKLKDNKKEEEKKKGIDNTTTKEMNLDAQVIDKHPIHEGKEVMNKVPPGLQPVTDQKKKKQSFSWNIEFHKWRRPCYYLPTFLVLILLLLVLFERSVSILITCIGWYMVPIIQGKSYNKKKGYVRKLSDNKTGAVGDKSSQV >KJB28191 pep chromosome:Graimondii2_0_v6:5:3134743:3136989:1 gene:B456_005G033500 transcript:KJB28191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLMKLVILVPCSLFFAALVKFLYDYLWRPLRIQQMLNSQGIRGPPYRFIHGNNKEVAKMRQEALSKPMALRHDIFPRVHPHIYTWINKYGKNYLSWDGVRAELVISEPELIKEVLKNSEKAFPKRKLTVFLTKLVGNGLVTVEGEKWVKQRKLGNYAFHGESLKNMTPAVISSVETMLEKWKGYVGKEIELFEEFRLLTSEVISRTAFGSSYLEGQKIFYMLSKLAIIANRNLFKTRIPWISKLWKPADVLESEELANEIQSCVMKMIKKREDRVVNGGADSFGNDFLGLLVNAYHDLDDKNMLSLGDLVDECKTFYLAGQDTVNSLLAWTIFLLAIHGDWQEKARREVIDIFGNQNPHSEGIVKLKIMTMIINETLRLYGPANGLMRKVGREVQIGKLVLPANIDLYIVNVVPQHDPQLWGDDVHLFKPERFAEGIAKATNYNAAAFCPFGIGPRSCVGMSFATMETKIALSMILQRYTISLSPAYVHSPISVVTIQPEHGIQVILKSLHSNV >KJB31741 pep chromosome:Graimondii2_0_v6:5:58538633:58541033:1 gene:B456_005G206400 transcript:KJB31741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFPLVSTFFLLFSFTFFPHSLAGFLAQPVPGSDEPLKPGGYSSPNTVPAFPAQTQAQICRLDLSAELFGGVNDACGHNLDRSRCCPVLAAWLFAAHARYALEVTAPAPAESELPEQPMRPDDSQKCVNSLQDSLLSKRVRIPQPNASCDAILCFCGIRLHQISSLSCPAAFNVSGHHRNATPTAAVKNLEKNCRNSTYAGCTKCLGALQKLKGGYNKNGTQDKSTTDRASKMFNRDCQLMGLTWLLARNKTAYIPTVSAVLRAIMYSAHPHESKCSPDQENMPLAVDSLQFEKAQSSSPKSPPSWASFTFPVLPPIILGLLFG >KJB31648 pep chromosome:Graimondii2_0_v6:5:57515741:57518137:-1 gene:B456_005G200100 transcript:KJB31648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEAKRWGFRGKQELNAASASTVRGYLNLLMGNINKDNSSSVIRLGHGDPSHFPSFKTTPAAEDAIVDALRSAKHNCYAPSAGVLPARRAIADYLNRDLPCKLSPDDVYLTSGCTQAIEVVINVLSRPGANILLPRPGFPYYESRSAYNHLEVRHYDLLPEKGWEVDLDAVETIADENTVALVIINPGNPCGNVFSYEQLHKVAETARKLGILVISDEAYDNLAFGSTRYVPMRVLGSTVPVLTLGSISKRWIVPGWRLGWIVASDPNSILKKSGVIDSIAEFLNVSSDPATFIQNSRHFL >KJB31647 pep chromosome:Graimondii2_0_v6:5:57515643:57518204:-1 gene:B456_005G200100 transcript:KJB31647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEAKRWGFRGKQELNAASASTVRGYLNLLMGNINKDNSSSVIRLGHGDPSHFPSFKTTPAAEDAIVDALRSAKHNCYAPSAGVLPARRAIADYLNRDLPCKLSPDDVYLTSGCTQAIEVVINVLSRPGANILLPRPGFPYYESRSAYNHLEVRHYDLLPEKGWEVDLDAVETIADENTVALVIINPGNPCGNVFSYEQLHKVAETARKLGILVISDEAYDNLAFGSTRYVPMRVLGSTVPVLTLGSISKRWIVPGWRLGWIVASDPNSILKKSGVIDSIAEFLNVSSDPATFIQAAIPQILENTKEDFFSKIISTLRECADICYNRIEEIPSLTCPKKPEGSMFVMVKLNLSMLEDINDDMDFCLKLVEEESVIVLPGIAVGLKNWLRITFAIEPSLLQEGLGRIKGFCQRHAKKQ >KJB29913 pep chromosome:Graimondii2_0_v6:5:27106184:27110764:1 gene:B456_005G123200 transcript:KJB29913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRYNESSGDDSRDESGEHGNRRKRYSRSDRERGRRERGREREHGDKNGEKRQRENSGSFGEEEDKRANRDRSEESERIHADNGGKDRQREKRPRSSDEEDGKHSYRDRRRKRERIHDGDDDGKDRRREEGSRSSDEEDDKPSFRDRRHERDRIRDGENDSKARRKDAQEESSESSDKSEEERRAKRDRSGKRDRRHRHDTGHRDRHNDKQREEDRYRNRDRWRHSRHDSDDDDDRGRRSHRNTERENRSQRRDNDNQPSRRDRDDNSFKEKEEGEIKQKQPAFQQTNLNGDTSNLGRSGGVYIPPFKLARMMKETQDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGELLLRRIVLQLKRAYKRNDKPQLLAAVKFVAHLVNQQVAHEIIALELLTVLLENPTDDSVEVAVGFVTECGSLLQDLSPKGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRPELDLVEQEDQLTHEISLQDEIDPEITLDIFKPDPQFLENEKRYEELKKTILGEDSEDEEGSDAGSGDEEDDEDDDESDEEDEEQMKIQDETETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFDKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRRLNERLSDPTMQDSVESIFPRDNPKNTRFSINFFTSIGLGGITENLREYLKNMPRLIMQQQEPASESESGDESGSSSSSDSESASSESEPESDSSSSDEGERRRKKRRK >KJB29912 pep chromosome:Graimondii2_0_v6:5:27106124:27110771:1 gene:B456_005G123200 transcript:KJB29912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRYNESSGDDSRDESGEHGNRRKRYSRSDRERGRRERGREREHGDKNGEKRQRENSGSFGEEEDKRANRDRSEESERIHADNGGKDRQREKRPRSSDEEDGKHSYRDRRRKRERIHDGDDDGKDRRREEGSRSSDEEDDKPSFRDRRHERDRIRDGENDSKARRKDAQEESSESSDKSEEERRAKRDRSGKRDRRHRHDTGHRDRHNDKQREEDRYRNRDRWRHSRHDSDDDDDRGRRSHRNTERENRSQRRDNDNQPSRRDRDDNSFKEKEEGEIKQKQPAFQQTNLNGDTSNLGRSGGVYIPPFKLARMMKETQDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGELLLRRIVLQLKRAYKRNDKPQLLAAVKFVAHLVNQQVAHEIIALELLTVLLENPTDDSVEVAVGFVTECGSLLQDLSPKGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRPELDLVEQEDQLTHEISLQDEIDPEITLDIFKPDPQFLENEKRYEELKKTILGEDSEDEEGSDAGSGDEEDDEDDDESDEEDEEQMKIQDETETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFDKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRRLNERLSDPTMQDSVESIFPRDNPKNTRFSINFFTSIGLGGITENLREYLKNMPRLIMQQQEPASESESGDESGSSSSSDSESASSESEPESDSSSSDEGERRRKKRRK >KJB29911 pep chromosome:Graimondii2_0_v6:5:27106142:27110764:1 gene:B456_005G123200 transcript:KJB29911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRYNESSGDDSRDESGEHGNRRKRYSRSDRERGRRERGREREHGDKNGEKRQRENSGSFGEEEDKRANRDRSEESERIHADNGGKDRQREKRPRSSDEEDGKHSYRDRRRKRERIHDGDDDGKDRRREEGSRSSDEEDDKPSFRDRRHERDRIRDGENDSKARRKDAQEESSESSDKSEEERRAKRDRSGKRDRRHRHDTGHRDRHNDKQREEDRYRNRDRWRHSRHDSDDDDDRGRRSHRNTERENRSQRRDNDNQPSRRDRDDNSFKEKEEGEIKQKQPAFQQTNLNGDTSNLGRSGGVYIPPFKLARMMKETQDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGELLLRRIVLQLKRAYKRNDKPQLLAAVKFVAHLVNQQVAHEIIALELLTVLLENPTDDSVEVAVGFVTECGSLLQDLSPKGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRPELDLVEQEDQLTHEISLQDEIDPEITLDIFKPDPQFLENEKRYEELKKTILGEDSEDEEGSDAGSGDEEDDEDDDESDEEDEEQMKIQDETETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFDKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQVTVRASWNPQTE >KJB29910 pep chromosome:Graimondii2_0_v6:5:27106184:27110764:1 gene:B456_005G123200 transcript:KJB29910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRYNESSGDDSRDESGEHGNRRKRYSRSDRERGRRERGREREHGDKNGEKRQRENSGSFGEEEDKRANRDRSEESERIHADNGGKDRQREKRPRSSDEEDGKHSYRDRRRKRERIHDGDDDGKDRRREEGSRSSDEEDDKPSFRDRRHERDRIRDGENDSKARRKDAQEESSESSDKSEEERRAKRDRSGKRDRRHRHDTGHRDRHNDKQREEDRYRNRDRWRHSRHDSDDDDDRGRRSHRNTERENRSQRRDNDNQPSRRDRDDNSFKEKEEGEIKQKQPAFQQTNLNGDTSNLGRSGGVYIPPFKLARMMKETQDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGELLLRRIVLQLKRAYKRNDKPQLLAAVKFVAHLVNQQVAHEIIALELLTVLLENPTDDSVEVAVGFVTECGSLLQDLSPKGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRPELDLVEQEDQLTHEISLQDEIDPEITLDIFKPDPQFLENEKRYEELKKTILGEDSEDEEGSDAGSGDEEDDEDDDESDEEDEEQMKIQDETETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFDKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRRLNERLSDPTMQDSVESIFPRDNPKNTRFSINFFTSIGLGGITENLREYLKNMPRLIMQQQEPASESESGDESGSSSSSDSESASSESEPESDSSSSDEGERRRKKRRK >KJB31836 pep chromosome:Graimondii2_0_v6:5:59135452:59138318:-1 gene:B456_005G210200 transcript:KJB31836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 5 [Source:Projected from Arabidopsis thaliana (AT1G48930) UniProtKB/Swiss-Prot;Acc:Q9M995] MNNFNIVACLILLGFQAAIVLAEFNYGEALDKTFMFLEAQRSGKLPFDQRVKWRGDSGLKDGALQGVDLVGGYYDAGDHVKFGLPMAFSVTMLAWGTIEYRKEITNLNQMGHTLWAIRWGTDYFIKAHTQPNVLWGQVGDGDSDHYCWERAEDMTTPRTAYKLDEAHPGSDLAGETAAALAAAAIAFKPYNSSYSELLLVHAKQLFSFADKFRGLYDDSIQCAKQFYTSSGYSDELLWAATWLFRATGDEHYLKYVVDNAVYMGGTGWAVKEFSWDNKYAGVQILLSKVLMEQKGGTYTSTLKQYQAKADYFACACLQKNDGYNVHLTPGGLMYVHEWNNLQYASAASFLLAVYSDYLSAANAKLTCPDGQIQPHEVLNFAKSQADYILGKNPKSMSYLVGYGPKYPTHVHHRGASIASISVLPSMVSCVQGFEAWYRRPETDPNVIYGALVGGPDQNDGFNDDRSSYEQTEPTLSGSAPLVGLFSKLESLSGNKGPYHKRPTTEQPSQNYHHQETSVPSTKSPGVPPVRFLHSITNTWNVGKTTYYRHKVVIKNTSEKPITDLKLVIEDLSGNLWGLSPTPVKNTYELPPWLKVLNPGSDCSFVYIQEGPQAKVSVLSYQ >KJB31835 pep chromosome:Graimondii2_0_v6:5:59136229:59138318:-1 gene:B456_005G210200 transcript:KJB31835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 5 [Source:Projected from Arabidopsis thaliana (AT1G48930) UniProtKB/Swiss-Prot;Acc:Q9M995] MNNFNIVACLILLGFQAAIVLAEFNYGEALDKTFMFLEAQRSGKLPFDQRVKWRGDSGLKDGALQGVDLVGGYYDAGDHVKFGLPMAFSVTMLAWGTIEYRKEITNLNQMGHTLWAIRWGTDYFIKAHTQPNVLWGQVGDGDSDHYCWERAEDMTTPRTAYKLDEAHPGSDLAGETAAALAAAAIAFKPYNSSYSELLLVHAKQLFSFADKFRGLYDDSIQCAKQFYTSSGYSDELLWAATWLFRATGDEHYLKYVVDNAVYMGGTGWAVKEFSWDNKYAGVQILLSKVLMEQKGGTYTSTLKQYQAKADYFACACLQKNDGYNVHLTPGGLMYVHEWNNLQYASAASFLLAVYSDYLSAANAKLTCPDGQIQPHEVLNFAKSQADYILGKNPKSMSYLVGYGPKYPTHVHHRGASIASISVLPSMVSCVQGFEAWYRRPETDPNVIYGALVGGPDQNDGFNDDRSSYEQTEPTLSGSAPLVGLFSKLESLSGNKGK >KJB29086 pep chromosome:Graimondii2_0_v6:5:10294587:10299643:1 gene:B456_005G083600 transcript:KJB29086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKEKSEEAIPEEEKKESSNYFDIYGPQAKAELVFKTPEADSTLNLQDVQGLVTWVLADGFMPSWVFIKNKPLIPKVVMLYVPGLDAALYIAQSMKLSGFKQSCGNPRALLALSCVSDGMQTIDALLTCKVKRKRNVIDSARDVSQSAEQETSISSMDSAEILKDIPFPISYYTLTAKELEDNGFCYDQPGFIPTRPAPDGSSPYEMLALDCEMCITSEGFELTRVTLVDIKGQVVLDKLVNPSNPITDYNTRFSGITYEMLSGVTTTLQNVQEDFLMLVHKDTILVGHSLENDLLALKISHDLIIDTSVLYKHPRGGSYKSALRVLAKRFLSREIQQSGSGHDSIEDARAAMDLALLKIRNGPDFGSPPSFMRRKLLTLLGECGKTSSFIDDISIVKRYASESSHAIPVCSDDEALLKARKEVKNDRVHFVWTQFSELNSYFRKQAEDDVKLNGKLAEMISLLTCDKKPAKRKGVKYNISTELKEILTRIDARVRSLHTALPTNSMFIICTGHGDIAIVHRLRKMLTEQSETMIPREKLIKVLEELQAQAEVALCFVGMKH >KJB28996 pep chromosome:Graimondii2_0_v6:5:9115344:9118143:-1 gene:B456_005G079200 transcript:KJB28996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLSQGGMIPGGGSFGGLDLQGSMRVHNHAQNPHSIHQHHHPNPRQGASLHASIHEDFPLKMGNIQNSDQIISLMDYNKGERGKSSVSDEDEPSFTEEGIDGHNDGSKGKKGSPWQRVKWTDKMVRLLITAVSYIGEDAAGGCGGGMRRKFAVIQKKGKWKSVSKVMAERSYHVSPQQCEDKFNDLNKRYKKLNDMLGRGTSCQVVENPALLDVIDYLTEKEKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDPQLQRSLQLAFRIKDDQEKDDAKRHRHDDNDDDDDDDMETDDHDDFEENHASHGDDRGIYRVLGGSAKRSRQVQVHEDACFHNSSNSQDCNKNSFPYPPPAQADINQAPPENPRAAWLQKQWIESCSLQLEEQKLQIQVEMLELEKQRFKWQRFSKKRDRELEKMRMENERMKLENERMALELKQKELDAD >KJB29049 pep chromosome:Graimondii2_0_v6:5:9849858:9852672:-1 gene:B456_005G081700 transcript:KJB29049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIRCKAAVAWESGKPLSIEEVEVAPPQKDEVRIKILFTSLCHTDVYFWDAKGQNPLFPRILGHEAGGIVESVGEGVTDLKPGDHVLPIFTGECKECPHCLSEESNMCDLLRINTDRVGMINDGKSRFSINGKPIYHFLGTSTFSEYTVVHVGQVAKINPHAPLDKVCVLSCGMSTGFGATVNVAKPKKGQSVAIFGLGAVGLAAAEGARVSGASRIIGIDLNPSRFEQAKKFGVTEFVNPKDYNKPVQEVIVEMTGGGVDRSVECTGSIQAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPVNLLNERTLKGTFFGNYKPRSDIPAVVEKYMNKELELDKFITHTVPFSEINKAFELMLAGEGLRCVIRMDA >KJB32891 pep chromosome:Graimondii2_0_v6:5:63948631:63957022:-1 gene:B456_005G266800 transcript:KJB32891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWNYVVTAHKPTNVTHSCVGNFTSPQELNLIVAKCTRIEIHLLTAQGLQPMLDVPIYGRIATLELFRPHGEAQDFLFIATERYKFCVLQWDSETSELITRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCSKPTIVVLYQDNKDARHVKTYEVALKEKDFVEGPWSQNNLDNGADLLIPVPPPLCGVLIVGEETIVYCSANAFKAIPIRPSITKAYGRVDADGSRYLLGDHAGLLHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAVVFIGSSYGDSQLIKLNLQPDAKGSYVEVLERFVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRVVRNGIGINEQASVELQGIKGMWSLRSSTDDPFDTFLVVSFISETRILAMNLEDELEETEIEGFNSQVQTLFCHDAVYNQLVQVTSSSVRLVSSTSRELRNEWHAPSGYSVNVATANATQVLLATGGGHLVYLEIGDGTLTEVKHAQLEYEISCLDINPIGENSNYSHLAAVGMWTDISVRIFSLPELNLITKEQLGGEIIPRSVLLCSFEGTSYLLCALGDGHLLNFQVNMSNGELTDRKKVSLGTQPITLRTFSSKNTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVGHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRTIPLGEHARRICHQEQSRTFAICCLKNQSSADESEMHFIRLLDDQTLECISTYPLDTFEYGCSILSCSFSDDTNVYYCVGTAYVLPEENEPTKGRILVFIVEDGKLQLIAEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGSREIQTECGHHGHILALYVKTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDTYLGAENNFNLFTVRKNSEGATDEERGRLEVIGEYHLGEFVNRFRHGSLVMRLPDSEVGQIQTVIFGTVNGAIGVIASLPHDQYVFLEKLQSNLRKVIKGVGGLSHEQWRSFNNEKRTAEAKNFLDGDLIESFLDLSRGKMEEVSKGMGVSVEELSKRVEELTRLH >KJB31818 pep chromosome:Graimondii2_0_v6:5:59067450:59070781:-1 gene:B456_005G209600 transcript:KJB31818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSFEIIYVSISFFMDSVKNAVFSFYRNRKKKECLDGQSDFPETLNIPNVMEDLVIEGRNTSSTSKSILEDQLKEVRVDIDLLINRKHELETFVEEKVQEAETLTSQIEELDTQLEREKEQCKKITSRIKKFVKAHNRCSQIEDELRRSQARLQKSGEQLVLHISGTSGDEENSNVNIVSDGETNHLHTSYPHKVSRGNSSPSKKRLWTNKDTTEGPICEGKALQAETVRLGKRSRWSERPSQSNIDKENGSLKNGNSGAVPLASNEKPRKGKKVSVRTSTTDKLKGARSSLSLPLTSMAAHAVDDVEILEVEEKVEASALPFLLPPPPPIRQNGYSQYEGNDRNVDVDRVDVI >KJB31817 pep chromosome:Graimondii2_0_v6:5:59067450:59069934:-1 gene:B456_005G209600 transcript:KJB31817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNGSLWMDGPSVRHFLRTSKMFRITSRIKKFVKAHNRCSQIEDELRRSQARLQKSGEQLVLHISGTSGDEENSNVNIVSDGETNHLHTSYPHKVSRGNSSPSKKRLWTNKDTTEGPICEGKALQAETVRLGKRSRWSERPSQSNIDKENGSLKNGNSGAVPLASNEKPRKGKKVSVRTSTTDKLKGARSSLSLPLTSMAAHAVDDVEILEVEEKVEASALPFLLPPPPPIRQNGYSQYEGNDRNVDVDRVDVI >KJB31819 pep chromosome:Graimondii2_0_v6:5:59067450:59071546:-1 gene:B456_005G209600 transcript:KJB31819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKIAGYSPLRSIDKKRNRKKKECLDGQSDFPETLNIPNVMEDLVIEGRNTSSTSKSILEDQLKEVRVDIDLLINRKHELETFVEEKVQEAETLTSQIEELDTQLEREKEQCKKITSRIKKFVKAHNRCSQIEDELRRSQARLQKSGEQLVLHISGTSGDEENSNVNIVSDGETNHLHTSYPHKVSRGNSSPSKKRLWTNKDTTEGPICEGKALQAETVRLGKRSRWSERPSQSNIDKENGSLKNGNSGAVPLASNEKPRKGKKVSVRTSTTDKLKGARSSLSLPLTSMAAHAVDDVEILEVEEKVEASALPFLLPPPPPIRQNGYSQYEGNDRNVDVDRVDVI >KJB28114 pep chromosome:Graimondii2_0_v6:5:2454009:2455865:1 gene:B456_005G028000 transcript:KJB28114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTDTSKPMKLERYNSYIRKVHSTKLLNASSKFLFRVTLLIALVLILFFTINYPPLSDNTHSAPHHHHRHSFLSTSLFSGSSLVGGAAWEKQVRHSSTPRRVNGFSVLVTGAAGFIGSHCSLALKKRGDGVLGLDNFNDYYDPSLKRARQNLLSKHQIFIVEGDLNDGPLLTKLFDVVPFTHVLHLAAQAGVRYAMQNPQSYVKSNIAGFVNLLEVAKAVNPQPAIVWASSSSVYGLNTENPFSERDRTDRPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILQGKPIDIYRTQDQKAVARDFTYIDDVVKGCLGALDTAEKSTGSGGKKKGPAQLRVYNLGNTSPVPVGRLVSILEGLLNTKAKKHVVTLPRNGDVPYTHANVTLAYKDFGYKPTTDLSSGLRKFVKWYVNYFGIESKHSKETQHFDESS >KJB28115 pep chromosome:Graimondii2_0_v6:5:2454009:2455894:1 gene:B456_005G028000 transcript:KJB28115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTDTSKPMKLERYNSYIRKVHSTKLLNASSKFLFRVTLLIALVLILFFTINYPPLSDNTHSAPHHHHRHSFLSTSLFSGSSLVGGAAWEKQVRHSSTPRRVNGFSVLVTGAAGFIGSHCSLALKKRGDGVLGLDNFNDYYDPSLKRARQNLLSKHQIFIVEGDLNDGPLLTKLFDVVPFTHVLHLAAQAGVRYAMQNPQSYVKSNIAGFVNLLEVAKAVNPQPAIVWASSSSVYGLNTENPFSERDRTDRPASLYAATKKAGKPIDIYRTQDQKAVARDFTYIDDVVKGCLGALDTAEKSTGSGGKKKGPAQLRVYNLGNTSPVPVGRLVSILEGLLNTKAKKHVVTLPRNGDVPYTHANVTLAYKDFGYKPTTDLSSGLRKFVKWYVNYFGIESKHSKETQHFDESS >KJB30820 pep chromosome:Graimondii2_0_v6:5:47038082:47044754:1 gene:B456_005G162400 transcript:KJB30820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTKFCKFCCDGVNVRCESRGMKYCDKVHPSLCESSEPPSPCFVNPETIKSDSLAPYLEAYDCEFTMQSVTSKSITSFSTHSSPVSICRSACRCRSDEEDAHDFDDDIGDSGAMFSSSSSLSSMFPAREKQNEGNKEPLRAIIQGHFRALVLQLLLGEGIKVGKEDNAGDWLDIITRIAWQAAKFVKPDTSKGGSMDPGDYVKVKCIASGNPSESTLVKGVVCSKNIKHKRMTSQYKNPRLLLLGGALEFQKVPNQLASFSTLLQQENDHLKMIIAKIKALHPNVLLVEKSVSSYAQEYLLEKEISLVLNVKRRLLERIARCSGALVCPSIDNLSIARLGHCELFRVKKVSEEHEISNQLNKKPSKTLMFFEGCPRRLGCTVLLRGRSREELKKVKHVVQYAIFAAYHLSLETSFLADEGATLPKMKVKHSIIRPEKMQSDNVILVAPSSFYPSNFNAIGNSFAQNDASPSLNPKQGGLESFPNQDDQIHISPSFGGSILGTCNDDLTPIVDMDLCSLEQLSRLQMPPMFPCDIRDFPQSEMRETMTEEERHNMHELEKSEKIIEDEASSGCFLATDTHQSILVSFSSRCVLKGTVCERSRLFRIKFYGSFDKPLGRYLQDDLFDQAFCCQSCNEPTEAHVICYTHRQGNLTINVRRLSSLKLPGERDGKIWMWHRCLRCVHIDGVPPATHRVVMSDAAWGLSFGKFLELSFSNHATANRVATCGHSLQRDCLRFYGFGNMIAIFRYSPIDILSVHLPPSMLEFSGDIQQEWIRKEAAELMVKVEMLYAEVLDVLDNIEQKNNSSNASDLSNRIMELRDQIQKERNDYISLLQPTVIETSHLDLTATDILELNRLKRSLLIGLHVWDRRLNSLDSHLRKGSAFKGELGLSKDGKLDAYQQNTYKSADSLEPPKSDARLEQNSSLPTFESDVPKELDLALCIENREENEETDESIHYPTSTLSERIDSAWTSTDLLTLKVQSPEAFQGNELQTRLVKPTSKIDNLPLRKVASPTRVHSFDSALRLQARIQKGLHPSTLHLSTLKSFHATRDYRTMVRDPVSNVTSTYSYTFPFEAQKLNLLLRSTPTLINCASHVAEGARLLLVQRGPSDIVIAVYDNDPASIISYALISKEYEEWVDDKSIKIGGGWSVADRSKKDSATSSFSPWQSFGSLDMDYTHFGSFGSEDTSSSIGAMFTNTKRSPHLTVCFEDDSYVGGGMVKFSVTCYFAKQFDSLRRKCCPNEVDFVRSLSRCQKWSAQGGKSNVFFAKSLDERFIIKQVQKTELESFNEFAPEYFKYLSDSLSSGSPTCLAKILGIYQVSVKYLKGGKETKMDLVVMENLFFRRSISRTYDLKGSARSRYNPDTLGRNKVLLDMNLLETLRTEPIFLGSKAKRILERAIWNDTSFLASIAVMDYSLLVGVDEEHKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTIISPKQYKKRFRKAMTTYFLTVPDQWTL >KJB30819 pep chromosome:Graimondii2_0_v6:5:47038021:47044865:1 gene:B456_005G162400 transcript:KJB30819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTKFCKFCCDGVNVRCESRGMKYCDKVHPSLCESSEPPSPCFVNPETIKSDSLAPYLEAYDCEFTMQSVTSKSITSFSTHSSPVSICRSACRCRSDEEDAHDFGKHFLSPSTKYCQDVSNVDSHSLSGRHDFYSCKSVGSSPSVSPFRNNFTPYRVGISLQKKQEGSPMAQYVGPFYQENMDALRKPNIGTEEPDNIYDYSDDFIFQNQNEKSQKLLDFENNGLIWFPPPPEDENDEIESNFFTYDDEDDDIGDSGAMFSSSSSLSSMFPAREKQNEGNKEPLRAIIQGHFRALVLQLLLGEGIKVGKEDNAGDWLDIITRIAWQAAKFVKPDTSKGGSMDPGDYVKVKCIASGNPSESTLVKGVVCSKNIKHKRMTSQYKNPRLLLLGGALEFQKVPNQLASFSTLLQQENDHLKMIIAKIKALHPNVLLVEKSVSSYAQEYLLEKEISLVLNVKRRLLERIARCSGALVCPSIDNLSIARLGHCELFRVKKVSEEHEISNQLNKKPSKTLMFFEGCPRRLGCTVLLRGRSREELKKVKHVVQYAIFAAYHLSLETSFLADEGATLPKMKVKHSIIRPEKMQSDNVILVAPSSFYPSNFNAIGNSFAQNDASPSLNPKQGGLESFPNQDDQIHISPSFGGSILGTCNDDLTPIVDMDLCSLEQLSRLQMPPMFPCDIRDFPQSEMRETMTEEERHNMHELEKSEKIIEDEASSGCFLATDTHQSILVSFSSRCVLKGTVCERSRLFRIKFYGSFDKPLGRYLQDDLFDQAFCCQSCNEPTEAHVICYTHRQGNLTINVRRLSSLKLPGERDGKIWMWHRCLRCVHIDGVPPATHRVVMSDAAWGLSFGKFLELSFSNHATANRVATCGHSLQRDCLRFYGFGNMIAIFRYSPIDILSVHLPPSMLEFSGDIQQEWIRKEAAELMVKVEMLYAEVLDVLDNIEQKNNSSNASDLSNRIMELRDQIQKERNDYISLLQPTVIETSHLDLTATDILELNRLKRSLLIGLHVWDRRLNSLDSHLRKGSAFKGELGLSKDGKLDAYQQNTYKSADSLEPPKSDARLEQNSSLPTFESDVPKELDLALCIENREENEETDESIHYPTSTLSERIDSAWTSTDLLTLKVQSPEAFQGNELQTRLVKPTSKIDNLPLRKVASPTRVHSFDSALRLQARIQKGLHPSTLHLSTLKSFHATRDYRTMVRDPVSNVTSTYSYTFPFEAQKLNLLLRSTPTLINCASHVAEGARLLLVQRGPSDIVIAVYDNDPASIISYALISKEYEEWVDDKSIKIGGGWSVADRSKKDSATSSFSPWQSFGSLDMDYTHFGSFGSEDTSSSIGAMFTNTKRSPHLTVCFEDDSYVGGGMVKFSVTCYFAKQFDSLRRKCCPNEVDFVRSLSRCQKWSAQGGKSNVFFAKSLDERFIIKQVQKTELESFNEFAPEYFKYLSDSLSSGSPTCLAKILGIYQVSVKYLKGGKETKMDLVVMENLFFRRSISRTYDLKGSARSRYNPDTLGRNKVLLDMNLLETLRTEPIFLGSKAKRILERAIWNDTSFLASIAVMDYSLLVGVDEEHKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTIISPKQYKKRFRKAMTTYFLTVPDQWTL >KJB28278 pep chromosome:Graimondii2_0_v6:5:3788166:3795699:1 gene:B456_005G039900 transcript:KJB28278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLEIRDQALLRTLPDRILSLWLEWELRAMVIVSLTVQLTLIKLGSRRRFSDRNSKTVSFFLWALYLFADWLAALALGTLLRSRKDKVTDPLVLFWAPFLLLHLGGPDTITAYSLSDNELWPRHFFGMCFHIGVALYVYVKFWTIATPPVIFMAIPIFIVGVIKYGERVWSLFKASSVRFRKSVFSDDKGSPLEVEHSQSPSESGRRLTLEKYLEPKPIKGKYGDLYRAFHLFQVFKPMFADLKLRIYKNLSYVFELDQNKVSAEAAFTIVEIELGFLYDLLYTKIPIVITLLGVILRFICLSFITCTLLAFFVFVKQHDSRVDIAVSCLLMVGAIFLEIYSALLHLRSDWGLYWLDQQNNRFLRLIGSKLVCFTKPKEGIRSMAQHSLLDYCLPPRKLNLGAVLNLFDSEDRMGKYLHTGWKEVSFELKQFIYSGLQEKRKKYAETEFKNLSELLDDRGSSVLKGMGGSSEDILWSVREVEFTHSLLLWHVATEVVFHDDNHRYRAVQLEQYCRISKVLSDYMMYLLFLCPAMLPEGIGNIRHQDTCTEAMNFALDKFQFKEAVRGLFGMDIRSRSFFIQMGSSRKSAFFEGCQIAEQLQSLVSMFQWDNQDKWKLIADLWLNMLTYAAAQCSWKEHARQLQHGEEFITHVTLLMAHLGLSKKIQMVPLPKMLEEVDFEPTFHWDKLHRLTSYLA >KJB27811 pep chromosome:Graimondii2_0_v6:5:867638:870547:-1 gene:B456_005G012200 transcript:KJB27811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWFSAIKRVFVSNSKEKLNIESDKKGGKERKKKGQANYKHGEANSFIPLFREPSSIEKILGEAERDRKLSFRPSTPPDQLRTPPFLLPRAASPRVRSQRIASPRAASPKTASSPRANSPRAASPFLPPPPRAASPRAGPPRLVRPRLEPKLRNHHASATKIQAAYRGYMVRRSFRALKGLVRLQGVVRGHNVKRQTITAMKYMQLLVRVQSQIQSRRIQMLENQARRQAQFKKDKEADSGFGKWTFGQSETGNENWDDSLLTKEEMEARMQRKVEAVIKRERAMAYAYSHQLWKDAMKSPHTGVPNIRSGVFPWWWNWLERRLPPTDLPENQATKTFQFTPTMPNSELKPSPRPQSSQFTFDNIIDIPTPKSTRSTILPTTRPMQTPTPPSKLVSQATNSGLLNSKHSRPRPTIIDSPSNLPLKDDDSLTSCPPFSVPNYMTPTVSAKAKARANGNMKEMGTAGNESKRRLSFPLTQGIVGSLKWSKGSSLFSGKDSRSQRGLDKHQTLQSLGNLSVDSTVSMPATMGRKPFNRFV >KJB27809 pep chromosome:Graimondii2_0_v6:5:867614:870577:-1 gene:B456_005G012200 transcript:KJB27809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWFSAIKRVFVSNSKEKLNIESDKKGGKERKKKGQANYKHGEANSFIPLFREPSSIEKILGEAERDRKLSFRPSTPPDQLRTPPFLLPRAASPRVRSQRIASPRAASPKTASSPRANSPRAASPFLPPPPRAASPRAGPPRLVRPRLEPKLRNHHASATKIQAAYRGYMARRSFRALKGLVRLQGVVRGHNVKRQTITAMKYMQLLVRVQSQIQSRRIQMLENQARRQAQFKKDKEADSGFGKWTFGQSETGNENWDDSLLTKEEMEARMQRKVEAVIKRERAMAYAYSHQLWKDAMKSPHTGVPNIRSGVFPWWWNWLERRLPPTDLPENQATKTFQFTPTMPNSELKPSPRPQSSQFTFDNIIDIPTPKSTRSTILPTTRPMQTPTPPSKLVSQATNSGLLNSKHSRPRPTIIDSPSNLPLKDDDSLTSCPPFSVPNYMTPTVSAKAKARANGNMKEMGTAGNESKRRLSFPLTQGIVGSLKWSKGSSLFSGKDSRSQRGLDKHQTLQSLGNLSVDSTVSMPATMGRKPFNRFV >KJB27810 pep chromosome:Graimondii2_0_v6:5:867638:870336:-1 gene:B456_005G012200 transcript:KJB27810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWFSAIKRVFVSNSKEKLNIESDKKGGKERKKKGQANYKHGEANSFIPLFREPSSIEKILGEAERDRKLSFRPSTPPDQLRTPPFLLPRAASPRVRSQRIASPRAASPKTASSPRANSPRAASPFLPPPPRAASPRAGPPRLVRPRLEPKLRNHHASATKIQAAYRGYMARRSFRALKGLVRLQGVVRGHNVKRQTITAMKYMQLLVRVQSQIQSRRIQMLENQARRQAQFKKDKEADSGFGKWTFGQSETGNENWDDSLLTKEEMEARMQRKVEAVIKRERAMAYAYSHQLWKDAMKSPHTGVPNIRSGVFPWWWNWLERRLPPTDLPENQATKTFQFTPTMPNSELKPSPRPQSSQFTFDNIIDIPTPKSTRSTILPTTRPMQTPTPPSKLVSQATNSGLLNSKHSRPRPTIIDSPSNLPLKDDDSLTSCPPFSVPNYMTPTVSAKAKARANGNMKEMGTAGNESKRRLSFPLTQGIVGSLKWSKGSSLFSGKDSRSQRGLDKHQTLQSLGNLSVDSTVSMPATMGRKPFNRFV >KJB29120 pep chromosome:Graimondii2_0_v6:5:10531441:10532946:-1 gene:B456_005G085300 transcript:KJB29120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHPPFKPSSIAPLPLPSPFTQFSYQPNMFDPTKELPKSYVSLSDHLTGGFSDCNDSYDRAMNYGPKVQKTAQNYANYSSWNTLEGRFEAETLMADDESGISSPPLWKSSPQHENNVNYRCLSPSSRAQAIARGQKELMEMVSKMPESCYELSLKDLVEHQPVVVVEPKQESFAQGRGSNSIDERKYKNEKQNSQKQQLSRSGSLVDNGGFLLKMVFPVSLGSKKKKKMKKNTSKKNDPNTNGNSKVSPKPTVADASGKTVDKDWWKKRSGSSESDSSGSTIKSGSTKSIRSSSSGSGRSYRSISTTSRRHRRSGCLAFIFPKKTKALRSKEANPQS >KJB32795 pep chromosome:Graimondii2_0_v6:5:63654797:63655299:1 gene:B456_005G262100 transcript:KJB32795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAAMLILPHLGETTSPVVPTSPPPEVKASALKWIALGKSFFVRTKSGSISKESKMEVDRCLSADSALENRFLEALELSWW >KJB29164 pep chromosome:Graimondii2_0_v6:5:11363121:11366878:-1 gene:B456_005G087700 transcript:KJB29164 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G21600 protein [Source:Projected from Arabidopsis thaliana (AT1G21600) UniProtKB/TrEMBL;Acc:Q9XI19] MVTTTTATLFLSPPLFTAKYSSSSLRINHSLLPFPSLKPKPLHSPSTPTLKPSFLVRVDDGDADAGGPDGYDMDEEEVEELDNKKDFDVEYDPLAAASAATASSATIDVDIQITESKSFVSTQGWDSDMVVDYRINEEEFHKISLMDCDFFIRKPPDPDNDVYDFREMYVSPPDTDVYSIPKVLAPMPQKYIRCAMSDYGCYNVTEPPIDAPRDPLYKTEREVMKVFLTKHYRNRRLGDPEFVLDFEEIYVIDSKTKSITRAKVLVTVPGGRNRDRKNDLLVIRDNGNSFKIIHSVTIRASYQFLPYSLFLHYLIYFSVLPE >KJB29163 pep chromosome:Graimondii2_0_v6:5:11363031:11366964:-1 gene:B456_005G087700 transcript:KJB29163 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G21600 protein [Source:Projected from Arabidopsis thaliana (AT1G21600) UniProtKB/TrEMBL;Acc:Q9XI19] MVTTTTATLFLSPPLFTAKYSSSSLRINHSLLPFPSLKPKPLHSPSTPTLKPSFLVRVDDGDADAGGPDGYDMDEEEVEELDNKKDFDVEYDPLAAASAATASSATIDVDIQITESKSFVSTQGWDSDMVVDYRINEEEFHKISLMDCDFFIRKPPDPDNDVYDFREMYVSPPDTDVYSIPKVLAPMPQKYIRCAMSDYGCYNVTEPPIDAPRDPLYKTEREVMKVFLTKHYRNRRLGDPEFVLDFEEIYVIDSKTKSITRAKVLVTVPGGRNRDRKNDLLVIRDNGNSFKIIHSSERDDPTTVIEREEWDKTRQDMERHLRKLRDFSISNWF >KJB29135 pep chromosome:Graimondii2_0_v6:5:11735299:11738309:1 gene:B456_005G088700 transcript:KJB29135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFEYNGSALIAMVGKNCFAIASDRRLGVQLQTIATDFQRIYKVHDRLFLGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFANLVSAILYEKRFGPYFCQPVIAGLGDEDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKEGMEPEELFETVSQALLSSVDRDCLSGWGGHVYVV >KJB29134 pep chromosome:Graimondii2_0_v6:5:11735462:11737914:1 gene:B456_005G088700 transcript:KJB29134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFEYNGSALIAMVGKNCFAIASDRRLGVQLQTIATDFQRIYKVHDRLFLGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFANLVSAILYEKRFGPYFCQPVIAGLGDEDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKEGMVCYFIYFPMLKLEHIQIHIIT >KJB29136 pep chromosome:Graimondii2_0_v6:5:11735299:11739206:1 gene:B456_005G088700 transcript:KJB29136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFEYNGSALIAMVGKNCFAIASDRRLGVQLQTIATDFQRIYKVHDRLFLGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFANLVSAILYEKRFGPYFCQPVIAGLGDEDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKEGMEPEELFETVSQALLSSVDRDCLSGWGGHVYVVRENTDVRCIFKHDHSDMILLRSIKYIEKELNMLL >KJB29133 pep chromosome:Graimondii2_0_v6:5:11735190:11739262:1 gene:B456_005G088700 transcript:KJB29133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFEYNGSALIAMVGKNCFAIASDRRLGVQLQTIATDFQRIYKVHDRLFLGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFANLVSAILYEKRFGPYFCQPVIAGLGDEDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKEGMEPEELFETVSQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >KJB29053 pep chromosome:Graimondii2_0_v6:5:9899007:9899461:1 gene:B456_005G082000 transcript:KJB29053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKRNLYRLYLLFFYEENESFYRRIRKKWVRISYGNDLEDPKPKIMVFASNNIMENYNEIYDQPTFIEFENESEEIVRSSYFDFSDREIHESGS >KJB28225 pep chromosome:Graimondii2_0_v6:5:3354773:3359291:1 gene:B456_005G035300 transcript:KJB28225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLPWLIRAASSDCHETCGSVVIRYPFGIRTGCYYNPWFRVTCNQTTNGPKPFISRINLELLHHFPKSGDIVFVNNPVIYLNCDNKGNNGTTSSASVNLQGSPFFFSSRFNRLGSVGCGYLAAIFHDNLTDPIASCLQQRCSDQTSEFSLYRRRGTTFTTCYAPISEDIISYTASVTEVINTGPESNRCKSVFINYNNYSVSYLSEMKDVAVSDFFRGISIRTTHVPAVLEWNPCDLGAELCALANRFNGCLRRCGKVDIPYPFGIEDGCYMHEWFRVTCNETIDGSKLYISSINLQLLNVSVLQGTATINNSITYSNCLKEDGDTDGVSINLKGTPFLFSTEYNIFMSVGCGSLTTFSYSLKDEYPVRACMQPICANFLASDISCSTDLPSDLSSFAANMKEIYPSNDTKSCGSAFMVDHRYLDSLEPISSKKTTLTHVPTTLQWSTPKRGLCFTRLDESHCHKEYKYCYMLCVDAFGSNCSSSTSCPDGYEYSDERDMCMRISYDPSFFSKKNSQKLSIIIGCSTSIGTVFALLGTWRLYKVLERRKDIKLKHKYFKRNGGLLLQQRFSNNDGNVENIHVFASNVLEKATDYYNDNRILGRGGQGTVYKGMLTDGSIVAIKKPILMEEKILDEKNLEQFINEVILLSQINHRNVVKLLGCCLETKVPLLVYEFISNGTLYQLIHEPNEEFPLNWEMRLRITSEIANALSYLHSAASVPIYHRDIKSSNILLDDKYRAKVSDFGTSKSIALEKTHVTTRVQGTFGYLDPEYFRSSQFTEKSDVYSFGVVLVEVLTGQKPVFSTQSEDEVRSLVALFLLSMQNDSLFEVLDRTVKDGPKTEIEAFAKLAKRCLNLNGKKRPTMKQVALELEWIRSPAEADAIEQCAVEDSDIDDPIELSATDSCSTSVPNQIAICLQKRCSGQTSEFSGCYSLISGNLISYTASAAKVINPGRKRCTSAFITYIDFRMSRSKDVSTADTVNFSDISIDTTHVPAVLEWNLYDLEGT >KJB29096 pep chromosome:Graimondii2_0_v6:5:10379624:10380503:1 gene:B456_005G084200 transcript:KJB29096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRKVGFNTLSSKLYSMWKPTRTFQLMDLDNDYYIVKFQAKVDIEKALVEMVVYGQYLMVQPWTPSLNIHESFSMRVVVWVKLPCFPGVWYKKCLLEAVESTIGQVVRVDDNTENGYRGRFARITMCVDFRRPLITKIRVQRAKYEILLNVCYEYGCFGHVKEGYLKTRVEKMTECNKKETCEQSKMPAVKQPSTMELDRWRIICQWGLEGMMDKDLRMVVDIGAFGFGWA >KJB29879 pep chromosome:Graimondii2_0_v6:5:26096974:26102492:-1 gene:B456_005G122100 transcript:KJB29879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSSSLSISISNLRETYPIINSHLPSSSFCLIKAPNQRIGSLKFNSETSQFRRFYLQALPREVPDEGEDQLQPLTLNNGFRLVSEDSQSVYQGDSNQSKSSEKDVDKLIKVELHGSGASGGSRAGLFRTPISGGVHSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLPEHEQEWAHKQYIAKHQQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWIDVKEYEALKPDKIAVDGGEQNLKELNATFSKPLKELLSAEAEVDDAALISIDSKGIDIRVRQGAQLGFRRRFRIHVSFIFNHVTFFSVQRTEVII >KJB29878 pep chromosome:Graimondii2_0_v6:5:26096961:26102628:-1 gene:B456_005G122100 transcript:KJB29878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSSSLSISISNLRETYPIINSHLPSSSFCLIKAPNQRIGSLKFNSETSQFRRFYLQALPREVPDEGEDQLQPLTLNNGFRLVSEDSQSVYQGDSNQSKSSEKDVDKLIKVELHGSGASGGSRAGLFRTPISGGVHSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLPEHEQEWAHKQYIAKHQQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWIDVKEYEALKPDKIAVDGGEQNLKELNATFSKPLKELLSAEAEVDDAALISIDSKGIDIRVRQGAQFNVQRLSFEEGQGVETLEEAKVALWKVIKKGQVQNLKR >KJB32955 pep chromosome:Graimondii2_0_v6:5:54141194:54145488:1 gene:B456_005G184300 transcript:KJB32955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEKSVTAIMVATEFLEVGLNTVNKAAMNKGLSDFVLVFYSNVLGIFMLAPCIIIFYRKRSPPVLTWSTICKIFLLGVLSYVGQICTYIGIGYGSPTLASAMADLTPAFTFIFSIISRMEKLDLGIKSSQAKSLGTLVSITGAFVVTLYKGLPLTTSPRKYSFLHQVLGIPHSNWIIGGVFLASHSVVFAIILNVQTWIIRRYPAEMLVTLICSIIVAILSSVVSLMVEKDPNAWKIGFNMELIATVYTAAFAVAFRSVVHKWALRKKGPIYVSMFKPLEMVIALAMGIAFLGDKLYLGSLLGAAIIAIGFYAVIWGQAQEEKMVHDAQSSNKDPLLPNTTHTLVV >KJB30339 pep chromosome:Graimondii2_0_v6:5:35858198:35859422:1 gene:B456_005G138300 transcript:KJB30339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMATSSTLHTTFTCHSFRYQKSFNTPFCFNKNPILKKTIKTPTLTASVATEAVTETETPSDFIIPQKPEVVVKQVGKPRLVLKFIWMEKNIGLALDQVIPGHGTVPLSPYFFWPRKDAWEELKATLESKPWISQKKMIILLNQATDIINLWQQSGGNLSQS >KJB30338 pep chromosome:Graimondii2_0_v6:5:35858326:35859422:1 gene:B456_005G138300 transcript:KJB30338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMATSSTLHTTFTCHSFRYQKSFNTPFCFNKNPILKKTIKTPTLTASVATEAVTETETPSDFIIPQKPEVVVKQVGKPRLVLKFIWMEKNIGLALDQVIPGHGTVPLSPYFFWPRKDAWEELKATLESKPWISQKKMIILLNQATDIINLWQQSGGNLSQS >KJB31625 pep chromosome:Graimondii2_0_v6:5:57308573:57311666:1 gene:B456_005G198500 transcript:KJB31625 gene_biotype:protein_coding transcript_biotype:protein_coding description:VOZ2 [Source:Projected from Arabidopsis thaliana (AT2G42400) UniProtKB/TrEMBL;Acc:A0A178VSV5] MQNNSSKNKCSSASHQQMVDNAKNRLNFLQDQFTDLQAARKEGRAGDVAVLEEQVYQNLREWKAELCTPSPASSLLGSLGSFSDDINRLLQLYEEEDDATSPLKEPAVLKPEIDDQSLNPSTLPVIPEDYFVTHEPQEQSFQGFDLCKVSTSANADINYQLDYHPFDLQQDFDHEHLLDANGTEDYVKDANSNMLPNISPPPSAFMGPKCALWDCTRPAQGSDWFQDYCSSFHATLALNEDPPGTTPILRPGGISLKDNLLLDAVRAKAQGKNVGIPQCEGAATMKSPWNATELFDLSLLEGEIIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMKEFAGQKRSYYMDPQPSGKYEWHLFEYEIYGCDAFALYRLELKLANQKKSPKAKVPKDSLADLQKKMGRLTAEVPGDDKPPGKGKTKANKKAAMAAAAAANVNSAQD >KJB31624 pep chromosome:Graimondii2_0_v6:5:57308210:57311740:1 gene:B456_005G198500 transcript:KJB31624 gene_biotype:protein_coding transcript_biotype:protein_coding description:VOZ2 [Source:Projected from Arabidopsis thaliana (AT2G42400) UniProtKB/TrEMBL;Acc:A0A178VSV5] MQNNSSKNKCSSASHQQMVDNAKNRLNFLQDQFTDLQAARKEGRAGDVAVLEEQVYQNLREWKAELCTPSPASSLLGSLGSFSDDINRLLQLYEEEDDATSPLKEPAVLKPEIDDQSLNPSTLPVIPEDYFVTHEPQEQSFQGFDLCKVSTSANADINYQLDYHPFDLQQDFDHEHLLDANGTEDYVKDANSNMLPNISPPPSAFMGPKCALWDCTRPAQGSDWFQDYCSSFHATLALNEDPPGTTPILRPGGISLKDNLLLDAVRAKAQGKNVGIPQCEGAATMKSPWNATELFDLSLLEGEIIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMKEFAGQKRSYYMDPQPSGKYEWHLFEYEIYGCDAFALYRLELKLANQKKSPKAKVPKDSLADLQKKMGRLTAEVPGDDKPPGKGKTKANKKAAMAAAAAANVNSAQD >KJB31623 pep chromosome:Graimondii2_0_v6:5:57308484:57311688:1 gene:B456_005G198500 transcript:KJB31623 gene_biotype:protein_coding transcript_biotype:protein_coding description:VOZ2 [Source:Projected from Arabidopsis thaliana (AT2G42400) UniProtKB/TrEMBL;Acc:A0A178VSV5] MQNNSSKNKCSSASHQQMVDNAKNRLNFLQDQFTDLQAARKEGRAGDVAVLEEQVYQNLREWKAELCTPSPASSLLGSLGSFSDDINRLLQLYEEEDDATSPLKEPAVLKPEIDDQSLNPSTLPVIPEDYFVTHEPQEQSFQGFDLCKVSTSANADINYQLDYHPFDLQQDFDHEHLLDANGTEDYVKDANSNMLPNISPPPSAFMGPKCALWDCTRPAQGSDWFQDYCSSFHATLALNEDPPGTTPILRPGGISLKDNLLLDAVRAKAQGKNVGIPQCEGAATMKSPWNATELFDLSLLEGEIIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMKEFAGQKRSYYMDPQPSGKYEWHLFEYEIYGCDAFALYRLELKLANQKKSPKAKVPKDSLADLQKKMGRLTAEVPGDDKPPGKGKTKANKKAAMAAAAAANVNSAQD >KJB28633 pep chromosome:Graimondii2_0_v6:5:6167793:6176228:1 gene:B456_005G059300 transcript:KJB28633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENSDLEEGEACFHEDEGDNINPDTAFSYIDEKIKNVLGHFQKVFEGGVSAENLGAKFGGYGSFLPTYERSPPRLSRPKTPLRNSSISGSTNNLSMEGASQNLKAPPNAPSTGRPGNTSCPSGNIAAKHDSRLTSAQVAEKSILKDESFNRAGIPSDQKTLKFRIKMGSDKKAQKIAAIYSGLGLDYSPSSSLGNSPDESGGTLMISQETTSESPTRILQVMTSNHVPGGVLISPLHDSLLWFLRKEKERPSRENKSTSLLKACQEHPSVLVDKSIMGNKKQLNEKKTKFLIEKSEELEESNNVNRRTVESGKTLLIKKKHENEIAGGESLPNDLKPTALSNSVNIAMEATARVCDVAAEANLNASKGRLLSSDSAKEDSLESISGRSRTSGKKKKRDKRSSLDGNGWEQSVVNFNKNASVDLGDNVGSKEDSKTKVGQVATFRVQNKINIPSKKEKTLAEGKRSKGSKNNGEVADSMKESLRLDVGATLKDTVSSCQGFPSGKDKIQKLKLQKDMKKVQDNHRDSLETNSGQKSDRMEPTMRHFQNRPKGYGPMDFEMEQNGYLDKSKVIFSGRTVNNQLLGVDVPGVVPHLNDKTLASQTAAPAATAPVLIEENWVQCDRCHKWRLLPFDTRPEQLPEKWLCSMLDWLPRMNRCDISEEETTKALNAFYQLPVAENQNNPQNHANGTTSLVSSAHLQHLDQNNSSFNSQALSIKGKKNHALKEVQKSGGSGPNQMSTSKKKYKQQESLKRRSINDMAQAPVESFVMKKSAFEEKERLLVGGETKKAKMKNKRESGLYEYEGSKKSRTEVTSTAEKHQSTNLDNRTLGLNSSTTLPTQANGRSVQNSSGCSNSGGVKHDIKEKTVASLKKLVDQTQASSDAGSLDMRICDKRAPVKKRKLDWQDSQNGNELCMKEESSESGFRNEKKLRFSKIEGKQSNRNDGDGVSNRKSMDHSIGGIEEARSIDRVQQSSKHKKKSSSLKVLDGLDSSRRDSGTGQILIAATSSSSKVSGSRKTRANFEEARGSPVESVSSSPMRTSYPEKLATTGSGKDAANSGIPSCGNLRRCWNGEGTFELAQSGTETKEKVSGDFNSRSHKSSTLDYRDRDSICKISIRNKPSSRLGNSHLLNGDSHSAETVQHTVDHSHSEDRLNKECGGNALFSQKSDDVSNSQAKDCKRSSAVDKMKGSNLTEEQEDMCSRKSMNYQSDVVKSHACLQETFVDCKRNLHKSSEDEQRSYGKKNPSGQPSSDSRIGTQLNIKHDKFDVKSAAPFCTKGNVAPEQNVTQDFSGQTEVMQAEVKSGMSKSSLHFETESCQERKGHRTVPEAQERAVYDGVPVNASSRVNVSKALKQPGKAAGSKNGSAHDLGQQMPDLHVVRNLNACSPVRVKSSSLTVTDALNDAQGLRNYADRLKSSGFVFESNEIYFQAALKFLGVAALLEASNSESGRHDMNHLQVYGTATKLCEMCAKEYERRQEMATAALSYKCVEVAYMRIVYCKHSASSRDLKELQGTVQMVPQGESPSSSISDVDNNLNNQATADKAPLAKGNASYVAGTHALVARNRPSFGRLLDFIGC >KJB28631 pep chromosome:Graimondii2_0_v6:5:6167762:6176473:1 gene:B456_005G059300 transcript:KJB28631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENSDLEEGEACFHEDEGDNINPDTAFSYIDEKIKNVLGHFQKVFEGGVSAENLGAKFGGYGSFLPTYERSPPRLSRPKTPLRNSSISGSTNNLSMEGASQNLKAPPNAPSTGRPGNTSCPSGNIAAKHDSRLTSAQVAEKSILKDESFNRAGIPSDQKTLKFRIKMGSDKKAQKIAAIYSGLGLDYSPSSSLGNSPDESGGTLMISQETTSESPTRILQVMTSNHVPGGVLISPLHDSLLWFLRKEKERPSRENKSTSLLKACQEHPSVLVDKSIMGNKKQLNEKKTKFLIEKSEELEESNNVNRRTVESGKTLLIKKKHENEIAGGESLPNDLKPTALSNSVNIAMEATARVCDVAAEANLNASKGRLLSSDSAKEDSLESISGRSRTSGKKKKRDKRSSLDGNGWEQSVVNFNKNASVDLGDNVGSKEDSKTKVGQVATFRVQNKINIPSKKEKTLAEGKRSKGSKNNGEVADSMKESLRLDVGATLKDTVSSCQGFPSGKDKIQKLKLQKDMKKVQDNHRDSLETNSGQKSDRMEPTMRHFQNRPKGYGPMDFEMEQNGYLDKSKVIFSGRTVNNQLLGVDVPGVVPHLNDKTLASQTAAPAATAPVLIEENWVQCDRCHKWRLLPFDTRPEQLPEKWLCSMLDWLPRMNRCDISEEETTKALNAFYQLPVAENQNNPQNHANGTTSLVSSAHLQHLDQNNSSFNSQALSIKGKKNHALKEVQKSGGSGPNQMSTSKKKYKQQESLKRRSINDMAQAPVESFVMKKSAFEEKERLLVGGETKKAKMKNKRESGLYEYEGSKKSRTEVTSTAEKHQSTNLDNRTLGLNSSTTLPTQANGRSVQNSSGCSNSGGVKHDIKEKTVASLKKLVDQTQASSDAGSLDMRICDKRAPVKKRKLDWQDSQNGNELCMKEESSESGFRNEKKLRFSKIEGKQSNRNDGDGVSNRKSMDHSIGGIEEARSIDRVQQSSKHKKKSSSLKVLDGLDSSRRDSGTGQILIAATSSSSKVSGSRKTRANFEEARGSPVESVSSSPMRTSYPEKLATTGSGKDAANSGIPSCGNLRRCWNGEGTFELAQSGTETKEKVSGDFNSRSHKSSTLDYRDRDSICKISIRNKPSSRLGNSHLLNGDSHSAETVQHTVDHSHSEDRLNKECGGNALFSQKSDDVSNSQAKDCKRSSAVDKMKGSNLTEEQEDMCSRKSMNYQSDVVKSHACLQETFVDCKRNLHKSSEDEQRSYGKKNPSGQPSSDSRIGTQLNIKHDKFDVKSAAPFCTKGNVAPEQNVTQDFSGQTEVMQAEVKSGMSKSSLHFETESCQERKGHRTVPEAQERAVYDGVPVNASSRVNVSKALKQPGKAAGSKNGSAHDLGQQMPDLHVVRNLNACSPVRVKSSSLTVTDALNDAQGLRNYADRLKSSGFVFESNEIYFQAALKFLGVAALLEASNSESGRHDMNHLQVYGTATKLCEMCAKEYERRQEMATAALSYKCVEVAYMRIVYCKHSASSRDLKELQGTVQMVPQGESPSSSISDVDNNLNNQATADKAPLAKGNASYVAGTHALVARNRPSFGRLLDFTQDVSSAMEASRKSLTCFMAATSSLEAAQNIECITSVRKVIDFSFQDVDELACLVEQAMQAISRSDLGSTRD >KJB28632 pep chromosome:Graimondii2_0_v6:5:6167793:6176228:1 gene:B456_005G059300 transcript:KJB28632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENSDLEEGEACFHEDEGDNINPDTAFSYIDEKIKNVLGHFQKVFEGGVSAENLGAKFGGYGSFLPTYERSPPRLSRPKTPLRNSSISGSTNNLSMEGASQNLKAPPNAPSTGRPGNTSCPSGNIAAKHDSRLTSAQVAEKSILKDESFNRAGIPSDQKTLKFRIKMGSDKKAQKIAAIYSGLGLDYSPSSSLGNSPDESGGTLMISQETTSESPTRILQVMTSNHVPGGVLISPLHDSLLWFLRKEKERPSRENKSTSLLKACQEHPSVLVDKSIMGNKKQLNEKKTKFLIEKSEELEESNNVNRRTVESGKTLLIKKKHENEIAGGESLPNDLKPTALSNSVNIAMEATARVCDVAAEANLNASKGRLLSSDSAKEDSLESISGRSRTSGKKKKRDKRSSLDGNGWEQSVVNFNKNASVDLGDNVGSKEDSKTKVGQVATFRVQNKINIPSKKEKTLAEGKRSKGSKNNGEVADSMKESLRLDVGATLKDTVSSCQGFPSGKDKIQKLKLQKDMKKVQDNHRDSLETNSGQKSDRMEPTMRHFQNRPKGYGPMDFEMEQNGYLDKSKVIFSGRTVNNQLLGVDVPGVVPHLNDKTLASQTAAPAATAPVLIEENWVQCDRCHKWRLLPFDTRPEQLPEKWLCSMLDWLPRMNRCDISEEETTKALNAFYQLPVAENQNNPQNHANGTTSLVSSAHLQHLDQNNSSFNSQALSIKGKKNHALKEVQKSGGSGPNQMSTSKKKYKQQESLKRRSINDMAQAPVESFVMKKSAFEEKERLLVGGETKKAKMKNKRESGLYEYEGSKKSRTEVTSTAEKHQSTNLDNRTLGLNSSTTLPTQANGRSVQNSSGCSNSGGVKHDIKEKTVASLKKLVDQTQASSDAGSLDMRICDKRAPVKKRKLDWQDSQNGNELCMKEESSESGFRNEKKLRFSKIEGKQSNRNDGDGVSNRKSMDHSIGGIEEARSIDRVQQSSKHKKKSSSLKVLDGLDSSRRDSGTGQILIAATSSSSKVSGSRKTRANFEEARGSPVESVSSSPMRTSYPEKLATTGSGKDAANSGIPSCGNLRRCWNGEGTFELAQSGTETKEKVSGDFNSRSHKSSTLDYRDRDSICKISIRNKPSSRLGNSHLLNGDSHSAETVQHTVDHSHSEDRLNKECGGNALFSQKSDDVSNSQAKDCKRSSAVDKMKGSNLTEEQEDMCSRKSMNYQSDVVKSHACLQETFVDCKRNLHKSSEDEQRSYGKKNPSGQPSSDSRIGTQLNIKHDKFDVKSAAPFCTKGNVAPEQNVTQDFSGQTEVMQAEVKSGMSKSSLHFETESCQERKGHRTVPEAQERAVYDGVPVNASSRVNVSKALKQPGKAAGSKNGSAHDLGQQMPDLHVVRNLNACSPVRVKSSSLTVTDALNDAQGLRNYADRLKSSGFVFESNEIYFQAALKFLGVAALLEASNSESGRHDMNHLQVYGTATKLCEMCAKEYERRQEMATAALSYKCVEVAYMRIVYCKHSASSRDLKELQGTVQMVPQGESPSSSISDVDNNLNNQATADKAPLAKGNASYVAGTHALVARNRPSFGRLLDFVRCKFCNGGFKKISNMFHGCYFIPGSSTKYRVYYFS >KJB28821 pep chromosome:Graimondii2_0_v6:5:7736548:7738272:1 gene:B456_005G071100 transcript:KJB28821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLVYSNLEEVGRCISEAAPRHYIIKIMSFSLLAKTGIDKYESGEFEAGGYKWKLVLYPHGNKSRNVKEHLSLYLVFADVASLIRLDSEVHAVFRFFLLDQTKDNYLVVHDAAGKERPFHRSMHQWGFDQLIPIRSFNDVGNGYLLDDSCVFGAEVFVTKEMSSGKGECLSMIKDALSSKHVWKIENFSNLDSEYNESQQFFAANHKWKIHLFPRGRRHGLGTHISMYLALADSATLLDGSKIFAEFTLRILDQQQSRHIAGKVSHWFSSSSQESGFENFVSLAYFYHASSGCLVKDICMVEAEVTVHAVSNTL >KJB27754 pep chromosome:Graimondii2_0_v6:5:549404:551839:-1 gene:B456_005G007900 transcript:KJB27754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKANNRGHVLVIPYPTQGHINPMLQFSKRLSSKGLKATFATTVFISETMKPELLNFDIDFDTISDGCDEGRFFEVRSIDDYLVRLQTVGSKTLTELIIKHKNSPRPIDCILYDAFLPWVLDVAQQFGIVGIAFFTQACAVNYIYYYARNGLLSLPISSSMMPIVIPGLPLLDLRDMPSFIYVVGSYPSYFELLLNQFSNIDKADFILINTFYKLEQEVVDSMSKVMSQPLLTIGPTIPSMYLDKRLENDKDYDLNLFKLDSTSTCWLTSKPPCSVVYASFGSLANLTSDQMKELARGLKQTGFHFLWVVRSSELSKVPHGFIEEMGDKALIVSWIPQTEVLANEAIGCFFTHCGWNSTIEALCLGIPMVAMPQWTDQTTDAKLVEDVWKVGVRVNVREDGIVSGDEIERCIRQVMEGEEGIEMKRNAMKWKELAVEAVCEGGSSDKNIDELVSKILARCK >KJB27821 pep chromosome:Graimondii2_0_v6:5:839496:841251:-1 gene:B456_005G0118001 transcript:KJB27821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAWPRFMVLKCEARNKYLSYMREICDCKGYLKFSETLAFSPYTKFEVERAKCNGEDGLVHIKSCHNNKYCKRVKNVSITGNSKEQYWISAAADQPEEGRSKESCTLFKFIPVDTVTNKIRIMHVQSGCYLCLWWVDPPTFNNCVLANYKVFDGNSCDLFTVIDWELLANKPFASPRFIVLKSHQNNKYLGFDHEKGDYKDGYLKFSETRVASPYAKFEVEIAQRGGIDGLVHIRSSQNNKYLVSDETRITATARKPEEDRSKKSCTLFKLISVDDSATDVQIVHVQSRKHLWVIRETPNLFTSEHLDEYSRDMFTIIDWESLVFLPRHVAFKGNNGQYLCLRQIGGHPYLQFSSGDIGDAGVTMEVFMNNDGSIRIKPAGSNKFWRR >KJB27820 pep chromosome:Graimondii2_0_v6:5:839496:840836:-1 gene:B456_005G0118001 transcript:KJB27820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVQSGCYLCLWWVDPPTFNNCVLANYKVFDGNSCDLFTVIDWELLANKPFASPRFIVLKSHQNNKYLGFDHEKGDYKDGYLKFSETRVASPYAKFEVEIAQRGGIDGLVHIRSSQNNKYLVSDETRITATARKPEEDRSKKSCTLFKLISVDDSATDVQIVHVQSRKHLWVIRETPNLFTSEHLDEYSRDMFTIIDWESLVFLPRHVAFKGNNGQYLCLRQIGGHPYLQFSSGDIGDAGVTMEVFMNNDGSIRIKPAGSNKFWRR >KJB29509 pep chromosome:Graimondii2_0_v6:5:17983863:17984168:1 gene:B456_005G104300 transcript:KJB29509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKINLILAAVFVFVLLFSYRITFTEGRLLKVDKLDGPGNVDITNVVTHLNRDILEEASSAGHVKGTTTAAAYRYDDFQPTTPGHSPGAGHATGPSGNYNN >KJB29577 pep chromosome:Graimondii2_0_v6:5:19616662:19617527:1 gene:B456_005G108600 transcript:KJB29577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFEKETGKAFSQRQIKNRTIDASDDWWESRLKVVPKAQKFRTSGINPQFEGKLDQMFMGIVATGDKAWAPSSGTLRKNEEENVRNDVHISNDISSSHFKTKRKKSSKQIGGAARLSSQIEKLCNAADNMSQATSSLTPIMDPYGIPQAIKVLDSMSKEVPEASLLYFFALKLLLNKDKRVAFLTINPKIRALWLKTEMEDR >KJB27998 pep chromosome:Graimondii2_0_v6:5:1711104:1712022:-1 gene:B456_005G020800 transcript:KJB27998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLLPFPLFGTISTIESHVTVNQKLRKGLRWIPSHPETRKGVVSDEMLREVENKRRSGDSRIGQPFKLLLNPWVDNRQPGELKHLSSEAVKCRTLNGDSLVAENIISLRFDPSNMRHVECCVNQQGPPCKTKYSWVTDSEIVP >KJB29551 pep chromosome:Graimondii2_0_v6:5:19190749:19192704:-1 gene:B456_005G106800 transcript:KJB29551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEILTSLRILFSLFLRRQPPPPASGSPSVATYYPAPALFHTPFWCKTPTYLNASAQEGNVRRKVRRNRNCTYMNVESWPEWSKLLDSEKKPFKQKMTTEKVEYEKKRVRM >KJB29550 pep chromosome:Graimondii2_0_v6:5:19189279:19192936:-1 gene:B456_005G106800 transcript:KJB29550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEILTSLRILFSLFLRRQPPPPASGSPSVATYYPAPALFHTPFWCKTPTYLNASAQEGNVRRKVRRNRNCTYIWPEWSKLLDSEKKPFKQKMTTEKVEYEKKRVRM >KJB27638 pep chromosome:Graimondii2_0_v6:5:166616:170198:1 gene:B456_005G003100 transcript:KJB27638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRNLRDSSQSLFGTARNNISAHHHHRRRQSLNGGLPFHKGSNDNLDLFSKNRRSFSVASSDEFSDVKLGRLSLGSAKVNGGIDDLLSSTDGGKHDYDWLLTPPGTPFFPSSEGSESQSATVSVAPRSNSKVRSVSTTKASRLSVSQPENNHSVRPARSSSVTRSSVSSYSNYSSNRGTSILNTSSASVSSYTRPSSPITRSSYTRPSSPITRSPSTARPSAPSPRSTSLPSTPAKIRSSPNGSYIDKSWPSQSLRPSTPTSRPQIHANLNSTAVRSNSRPSTPTPLNPMLSSEAGASPSTGRTVSNGHSAGPASRPRSPGPRVRPSQQPVVPPDFPLGTPANLRTTLPDRPVSAGRSRPVSSVTMKANQDTTSSVNTPRRHSSPVVTRGRLAEPNGRTRVHSNGHPSDIHESRKNAPVSDSTMRRHLKSSTTTADGPGFGRTISKKSLDSAIRHMDIRNGTTSIRSLSSTTLFPQSIRSATAKTQSLRSLSASDSDNSNGSPGRLKNRDLPENRNSISRAAECGSDFHDGRYSAKLSEVDIYESSRYDVILLKEDLKNTNWLHSIDDKSDLVSISDGFEPLPEPFGLL >KJB27637 pep chromosome:Graimondii2_0_v6:5:166612:170483:1 gene:B456_005G003100 transcript:KJB27637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRNLRDSSQSLFGTARNNISAHHHHRRRQSLNGGLPFHKGSNDNLDLFSKNRRSFSVASSDEFSDVKLGRLSLGSAKVNGGIDDLLSSTDGGKHDYDWLLTPPGTPFFPSSEGSESQSATVSVAPRSNSKVRSVSTTKASRLSVSQPENNHSVRPARSSSVTRSSVSSYSNYSSNRGTSILNTSSASVSSYTRPSSPITRSSYTRPSSPITRSPSTARPSAPSPRSTSLPSTPAKIRSSPNGSYIDKSWPSQSLRPSTPTSRPQIHANLNSTAVRSNSRPSTPTPLNPMLSSEAGASPSTGRTVSNGHSAGPASRPRSPGPRVRPSQQPVVPPDFPLGTPANLRTTLPDRPVSAGRSRPVSSVTMKANQDTTSSVNTPRRHSSPVVTRGRLAEPNGRTRVHSNGHPSDIHESRKNAPVSDSTMRRHLKSSTTTADGPGFGRTISKKSLDSAIRHMDIRNGTTSIRSLSSTTLFPQSIRSATAKTQSLRSLSASDSDNSNGSPGRLKNRDLPENRNSISRAAECGSDFHDGRYSAKLSEVDIYESSRT >KJB27639 pep chromosome:Graimondii2_0_v6:5:166722:169230:1 gene:B456_005G003100 transcript:KJB27639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRNLRDSSQSLFGTARNNISAHHHHRRRQSLNGGLPFHKGSNDNLDLFSKNRRSFSVASSDEFSDVKLGRLSLGSAKVNGGIDDLLSSTDGGKHDYDWLLTPPGTPFFPSSEGSESQSATVSVAPRSNSKVRSVSTTKASRLSVSQPENNHSVRPARSSSVTRSSVSSYSNYSSNRGTSILNTSSASVSSYTRPSSPITRSSYTRPSSPITRSPSTARPSAPSPRSTSLPSTPAKIRSSPNGSYIDKSWPSQSLRPSTPTSRPQIHANLNSTAVRSNSRPSTPTPLNPMLSSEAGASPSTGRTVSNGHSAGPASRPRSPGPRVRPSQQPVVPPDFPLGTPANLRTTLPDRPVSAGRSRPVSSVTMKANQDTTSSVNTPRRHSSPVVTRGRLAEPNGRTRVHSNGHPSDIHESRKNAPVSDSTMRRHLKSSTTTADGPGFGRTISKKSLDSAIRHMVWLPSAFY >KJB31185 pep chromosome:Graimondii2_0_v6:5:52716701:52719664:1 gene:B456_005G179900 transcript:KJB31185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITMVSLSHHLLACLSLPLLVTLLVRICKADDMYPFPCSQEIQKCDAFLYHTNTGLDEVEIASYYSVDPSTFRPISHGNNKDYLIPVPCSCGDTDNGTQAYFYDVYYTVKEGDTFRNVSARFYNGQALEVGGEENNFPTGSKVTMHLICGCLESQSTTVVTYTVQQGDSLSSMATQLSTSINDIQSLNRNLTSNPDFINSGWVLYVPMAKDRIPAVPKRKGGIKWTIIIVILSAVTLFSMIALLIILVRRKAQQMSEEDPKPVSKSISARAFSLQNSFLYKDNIEDVTAFESDRPMIYSLEEIEEATDNFDETKKIGTGGYGYVYHGILGAKEVAVKKMKSSKSKEFFAELKVLCKVHHINVVELLGFASGDDHLYLVYEFVQNGSLNDHLHEPLLKGHQPLSWTARAQIALDAAKGIEYIHDHTKARYVHRDIKTSNILLDKGLRAKVADFGLAKLVERTNEEDLLATRLVGTPGYLPPESVMELQVTPKTDVFAFGVVLAELITGQRALVRDNKEPNKMRSLITVVNNVFEEENPESALEEVIDGSLRGSYPMEDAYKMAELAEWCLSDEAVNRPEMRDVVLTLSKIVMSSVEWEASLGGSSQVFSGVFNGR >KJB27800 pep chromosome:Graimondii2_0_v6:5:726917:728368:1 gene:B456_005G010400 transcript:KJB27800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVEDRISNFPDHIRCHILSFLPIHESVRTSILSTKWRNLFASISTVDFDTYLLRGLTERNIIDSFKNFVDRLLKFPDQLSLDCFRLRADGIASWNDGYHDFDVSGWICGAVCRGVKEIDLYLDNFCHTLPALLFTCHSLRTLTLVAKDSKIFKVPSEVCLRNLKTLCITNSVLVGDSLNRLISNCHVLEDLTFDECSVVNARDINIQIPSLKSLFLDFFFSIGDSNYVVVINAPNLVYFRYDSVIVKGYNLSNMKSLEKAEICIWFGSSNYETSAIHLFQGICNVRSLRLTIHEVIPLTSRFPILHNLIEFEFLGKEIWLVEFLHCAPNLKTLTVLLQDVAGTRWNIDAPSCLSFHLKKIEISDYTTDMIEIVHYLLDNSMVLEKLIIRVNAMNATRASKARNQLLPLLKSSKKDLIVIL >KJB28970 pep chromosome:Graimondii2_0_v6:5:8924281:8924903:1 gene:B456_005G077700 transcript:KJB28970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKSLPQYYSSSLSGEFEFENRSSSYNFNGPCSKSNGFAASNDPELKRKKRIASYNVFTMEGKLKSSVRNSFKWIKSKFTDVGYGM >KJB29564 pep chromosome:Graimondii2_0_v6:5:19325927:19326148:-1 gene:B456_005G107700 transcript:KJB29564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFISPFRLSKTLSMRVLHHLPLIVSFSSLEIVANLDFTLSFIFCLRFIIYQIHVHLFYPFLKQTVQSAATLS >KJB27782 pep chromosome:Graimondii2_0_v6:5:645900:650084:-1 gene:B456_005G009300 transcript:KJB27782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAFVSAVVGEAVSKAASVAVEMISLGWGFKDEIQRLENSLEMVGAFLQDAEGKQKQMNSVKLWLEGLRDVAYEAGEVLDEITYEFLRRKVEVGVQMWRKLRDLPSTVIFRHNMANRVKDILNSLDHLNKKAKDYGLQQLAIDQRIFIPSNVETVSFLDDSNIVGRKNDVSKVVDMLVSPQDDRTVSVVPIVGMAGIGKTTLARLVYNDVDVERRFDVRFWVCVSADFNVKRILREMLEHFKGTSIPQNLNALTAKLKGKIEQAKRGNEQIKYLLVLDDVWNVEQWDELMWCLEGVNKNRGNKVIVTTRIEDVALKVETLPNQRHQPGKLKDEECWSIIQEKARGDSPISPSLVLIGEDIAKQCHGVPLAAKVIGGTMRKIEKSRGAWLKIQKSDVWDSVNSVLRLSFDHLSSPCLKKCFAYCAMFPKDFCFRKEQLIQLWMAEGFLGASKEMMDTGNRYFHELLSNSLFQDVGKDRCGNILTCKMHDMVHDLALSVSKFETLIFQENSSSSTDEVSHIQHLSIGYDGESLPIILTAVAPKLHSLFSEIDVFKKLSRTFTSLRVLKFSGADYILELPASLGDLKHLRYMDISKTSIKVLPRSITKLYMLQTLRFMGCREIAFPDGLRNLISLKHIHYDQQRSQPIELRHLISLQTLPMFFVRDIEFHLDALECLNELGGELRICNLQSVRDKEEARKANLRLKTKLCKVIFEWSEFSNDTCEEVLEGLQPHSGLQSLIVWNYGGEKLPSWMSRPVHGSNIGSLLLDNLMELELNSCINCKSLPPLGQLQSLKFLALRNMGKVKRIGNEFYCDGSSQCEIEVFPALKTFILRQMKNLEEWTATTAATMFPCLEELLVSDCPLLESVPLTGGCLSLKKLWVEDCSKLSRIGDGLATSTVLEELTIVKCGDLFSIPNLNGFSALRSVYVSDCGRLGSVPIAGICSSLEEFCISECKELSEIGGGLSTSTCLKELKLSGCANLSSIPDLEGFSFLRNLDISECSKLEIVPIRGRCSSLQKLHISSCRKLSKIGDGLSTSTNLEELKLSDCPNLSSIPDLEGFSFLRNLDISECSKLEIVPIRGRCSSLEKLHISSCQNLSKIGDGLSTSSCLKELKLSGCANLSSIPDLEGFSFLRNLDISECSKSLTCLLDLLQSYTLVHNLTLSDLPDLRSIPESLGKLRFLRHLTIKQCPTLRSIPNDCLGSLTCLRSLDIGGFSEELEEFPGFDSIRRLSASLTELRLRGWAKLSSLPYQLQHLTALEQLEILTFHGIEALPDWLENLSSIKRLGIDSCDKLVYLPSELVRRGLSKVIAFQISACPLLDRRRSKECSSE >KJB27590 pep chromosome:Graimondii2_0_v6:5:80544:85511:-1 gene:B456_005G001400 transcript:KJB27590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethanolamine-phosphate cytidylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G38670) UniProtKB/Swiss-Prot;Acc:Q9ZVI9] MNKISSTSLIGGAVVVAMAVGLYLGVAKRGLPPEGLGMGLWRKIKRKKKPLRVYMDGCFDMMHYGHCNALRQARAVGDQLVVGVVSDAEIIANKGPPVTPMHERMIMVKAVKWVDEVISDAPYAITEDFMKKLFDEYKMDYIIHGDDPCVLPDGTDAYAVAKKAGRFKQIKRTEGISSTDIVGRLLLCVRERSVSDSHNHSSLQRQFSHGHSQKFEDGGSGSRSGTRVSHFLPTSRRIVQFSNGKGPGPNAHIVYIDGAFDLFHAGHVEILRVARELGDFLLVGTHNDQTISAKRGTHRPIMNLHERSLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTVAESSDFQKEKDNPYDVPISMGIFKVLESPLDITTTTIIKRIVANHKAYQKRNEKKVASEKRYYDNKTYVSSD >KJB29149 pep chromosome:Graimondii2_0_v6:5:11202160:11211348:-1 gene:B456_005G087200 transcript:KJB29149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTRKLRRTNDGFPPSVPTGKILSLGDDNFIKFEDVGVKEAKNAAFVLIAGGLGERLGYNGSKSILVHQEASSWLTQGTCQKEIPFVIMTSDDTHARTVDLLESNSYFGMKASQVKLLKQEKVACLDDNDARLTLDPPNKYKIQAIPASLGVSAPKEYHANSLVVPRKAKETIRGITKLTHSDVIFYFSSANFFFVLNQLDPLLRATGHPDRDVNCETGYSPFPGNINQLILELGPYIKELTKTGGAIKEFVNPKYKDASKTSFTSLTRLECTIQDYPKTLPPSARVSFMVMDTWLTYAPVKNNPEDAAKVPKGNPYHSATSGEMATYLILKKPKWGIIKSKVSGSCSISLRSTMALKGRDILDGVLIINSTDGAEVKVGGSIKNKGWLIERTDYKDTTFPEKLRIRGFRMEETYSQPVKYTLKP >KJB27426 pep chromosome:Graimondii2_0_v6:5:55727592:55730688:1 gene:B456_005G1911002 transcript:KJB27426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHDSIQFSLCLEKEKKKYNIPWKLKSTRQYREYMENLLEYLIYFFQRTEPLQDLDGIFSKLYSGLSSRPGMINHLPDGFSWTLLKCIHEDPLSSTLRVRFCTP >KJB27425 pep chromosome:Graimondii2_0_v6:5:55727592:55730740:1 gene:B456_005G1911002 transcript:KJB27425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHDSIQFSLCLEKEKKKYNIPWKLKSTRQYREYMENLLEYLIYFFQRTEPLQDLDGIFSKLYSGLSSRPGMINHLPDGFSWTLLKCIHEDPLSSTLRVRFCTP >KJB31109 pep chromosome:Graimondii2_0_v6:5:51786583:51790937:1 gene:B456_005G176600 transcript:KJB31109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSVLGFFCFFFAPSLCRVPSETHVKTEPEHASTPPRGWNSYDSFCWTISEEEFLQNAEIVSNRLKPHGYEYVVVDYLWYRRKVEGAYTDSLGFDVIDEWGRPIPDPGRWPSSKGGKGFSEVAKKVHGMGLKLGIHVMRGISLQSFNANTPILDTVKGSAYVDFGRQWRAKDIGVKERACAWMSHGFMSVNTKLEAGRAFLRSLYLQYAEWGVDFVKHDCVFGDDLDVEEITFVSELDRPIIYSLSPGTSVTPAMAKEVSGLVNMYRITGDDWDTWRDVLSHFDITRDFSTAKMIGAKGLLGRSWPDLDMLPLGWLTDPGSNEGPHRTSNLNLDEQRTQITLWAMAKSPLMFGGDVRKLDETTYNLITNPTLLEINSFSLNNMEFPYITSRRRCRSEEKVLSQDLAEGGMLGIRALGLITCKDPKANGWSTKALDQDLEQICWKEKPESKFEEPPCLYKRKPLVASGVEMIYKKQYEGTLHLLESDGMELCLDASPRRRLTSKEFGGGSFSPCKWDANQMWELNGTGSLVNSYSGLCATVDLLKVDAGSNEIRSWIATGRRGEIYLAIFNLNPKKTVISTNIADIGKVFPWKNMKGASCKYHEIWSGKTGVTKQMISIAVEKHGCSLFVLTCD >KJB31110 pep chromosome:Graimondii2_0_v6:5:51786583:51790937:1 gene:B456_005G176600 transcript:KJB31110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSVLGFFCFFFAPSLCRVPSETHVKTEPEHASTPPRGWNSYDSFCWTISEEEFLQNAEIVSNRLKPHGYEYVVVDYLWYRRKVEGAYTDSLGFDVIDEWGRPIPDPGRWPSSKGGKGFSEVAKKVHGMGLKLGIHVMRGISLQSFNANTPILDTVKGSAYVDFGRQWRAKDIGVKERACAWMSHGFMSVNTKLEAGRAFLRSLYLQYAEWGVDFVKHDCVFGDDLDVEEITFVSEVLRKLDRPIIYSLSPGTSVTPAMAKEVSGLVNMYRITGDDWDTWRDVLSHFDITRDFSTAKMIGAKGLLGRSWPDLDMLPLGWLTDPGSNEGPHRTSNLNLDEQRTQITLWAMAKSPLMFGGDVRKLDETTYNLITNPTLLEINSFSLNNMEFPYITSRRRCRSEEKVLSQDLAEGGMLGIRALGLITCKDPKANGWSTKALDQDLEQICWKEKPESKFEEPPCLYKRKPLVASGVEMIYKKQYEGTLHLLESDGMELCLDASPRRRLTSKEFGGGSFSPCKWDANQMWELNGTGSLVNSYSGLCATVDLLKVDAGSNEIRSWIATGRRGEIYLAIFNLNPKKTVISTNIADIGKVFPWKNMKGASCKYHEIWSGKTGVTKQMISIAVEKHGCSLFVLTCD >KJB32236 pep chromosome:Graimondii2_0_v6:5:61359133:61362763:-1 gene:B456_005G231100 transcript:KJB32236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAEASVLLATCILLFSGHGVFSFSYDYSATMECLAAPKRAQYGGGMIGFNQRTNGSTLSSELGVSNDGLHNTTLSLKTVKLEKGNLYTFSAWVQISNGSESETVAVAFKASNGELVHGGETMAKQGCWSLLKGGIGAEFTGVVEILLMSKAMEIRVDNVSLQPFTAKEWRSHQDKSIDKVRKKKVSFKVTYANGTAADGALILILQTKSGFPFGCGMNHYIVTDEAYRQWFASRFKVTSFTNEMKWYSTEKTRGVENYTVADAMVNFAEQNGISIRGHNVFWDNRVMQPKWVKELPPAELMKAATRRLNSVVSRYAGRLIGWDVMNENLHFRFFEDKLGENASSMFYSMAYHLDPSMTLFMNEYNTIENSKDHTATACKYKEELEKILSFPGNAGLKAAIGLEGHFRDPKPNIAFMRSALDILGTMGLPIWLTEVDVGGGPDQAHNLEDILREGYSHPAVEGIIIFGGPIAAGFKCMTLANYDFEPTPVGEVVDKLINEWKSGRRQVRTDSRGMSEILLFHGDYRVKVSHPLINSSMSINFKVTKETENITVLLQFDA >KJB32237 pep chromosome:Graimondii2_0_v6:5:61359440:61362726:-1 gene:B456_005G231100 transcript:KJB32237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAEASVLLATCILLFSGHGVFSFSYDYSATMECLAAPKRAQYGGGMIGFNQRTNGSTLSSELGVSNDGLHNTTLSLKTVKLEKGNLYTFSAWVQISNGSESETVAVAFKASNGELVHGGETMAKQGCWSLLKGGIGAEFTGVVEILLMSKAMEIRVDNVSLQPFTAKEWRSHQDKSIDKVIRINRVVFIAVVYLSLVNLIQVRKKKVSFKVTYANGTAADGALILILQTKSGFPFGCGMNHYIVTDEAYRQWFASRFKVTSFTNEMKWYSTEKTRGVENYTVADAMVNFAEQNGISIRGHNVFWDNRVMQPKWVKELPPAELMKAATRRLNSVVSRYAGRLIGWDVMNENLHFRFFEDKLGENASSMFYSMAYHLDPSMTLFMNEYNTIENSKDHTATACKYKEELEKILSFPGNAGLKAAIGLEGHFRDPKPNIAFMRSALDILGTMGLPIWLTEVDVGGGPDQAHNLEDILREGYSHPAVEGIIIFGGPIAAGFKCMTLANYDFEPTPVGEVVDKLINEWKSGRRQVRTDSRGMSEILLFHGDYRVKVSHPLINSSMSINFKVTKETENITVLLQFDA >KJB30299 pep chromosome:Graimondii2_0_v6:5:35061399:35063863:1 gene:B456_005G136300 transcript:KJB30299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKRRPQFFLYIPPNMPLSLPQLAASRQQNNMKTSLFFLYLLSLSYFSVVLVFGTGRSEGKENPFTAKASLIRYWNNHISNNLPKPPFLISKASPLNAIDLAKLTQLANHNSLSSHIESFCSLANLFCSFQEDSSKLDAVVKGKGDANFAVYSSKNFKGYGSLRRGGIDSFKNYSDGLNTPNESFKKYSRGSNAHSEDFTSYAKDANVAIDNFTNYGSSTTGGSGGFNNYQERVNVPNLRFTSYDSDGNKHKLSFSSYSSETNSGSEAFTNYGKKGKAVPAEFTSYSSNANTIESSFAGYGVLGNSANDSFKAYGDSGNNPRNNFKIYGLASNLGIDSFTSYRDSANVGADSFQSYARDANFGKVNFVNYGKTFNVGNSTFKEYGKGSTGSTTIGFKMYDLARSFLDYAKKGVTFAGYTNSSSKETSVNRWVEPGKFFRESVLKQGNVMVMPDIRDKMPRRSFLPRGILSKLPFSTSHLSELRNIFGASMEGMLENALTECERPASRGETKRCVGSVEDMIDFATSVLGHSVTVRTTENVKGSKQEIMIGEVKGINGGEVTKSVSCHQSLYPYLLYYCHSVPKVRVYEAEILDVTSKSKINYGVAICHLDTSAWSPTHGAFLALRSSPGQIEVCHWIFENDMTWTIVD >KJB30400 pep chromosome:Graimondii2_0_v6:5:38366615:38367420:-1 gene:B456_005G141500 transcript:KJB30400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSSQRVLLFLIFFGLLAIQADKVCGLRGLHFVLKQREEGKGIVTRKAVEMKEMRTEQKPLPVNNKTDPNESSKRRVRRGSDPIHNRS >KJB31222 pep chromosome:Graimondii2_0_v6:5:53302022:53302609:1 gene:B456_005G181900 transcript:KJB31222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQTQQQPVVVYPTSVSMQAPPSHSSHSGGSFTTFFIVVAVIIVASAISCFLGRFCGRRMNQRKPSPKRKQSRDLRRPEKGDIEFGSDGRINASATAKPGDHGIGIGMPGNGYVREFRVSEHGDPAGFGVPGNGYPELRIPENGITAGFRMQGNGEPMEFRMPGHGHNGENKAC >KJB27428 pep chromosome:Graimondii2_0_v6:5:61834590:61837083:-1 gene:B456_005G2364002 transcript:KJB27428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRNGNYTVGDFMTRKEDLHVVKATTSVDEALEALVEKRVTGFPVIDDDWNLVGVVSDYDLLALDSISGSSQNDTTLFPNVDSSWKTFNEIQKLMNKNNGKVVGDLMTPSPLVVRETTNLEDAARLLLETKYRRLPVVDIDGKLVGIITRGNVVRAALQIKRSIES >KJB27429 pep chromosome:Graimondii2_0_v6:5:61834611:61837041:-1 gene:B456_005G2364002 transcript:KJB27429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRNGNYTVGDFMTRKEDLHVVKATTSVDEALEALVEKRVTGFPVIDDDWNLTFNEIQKLMNKNNGKVVGDLMTPSPLVVRETTNLEDAARLLLETKYRRLPVVDIDGKLVGIITRGNVVRAALQIKRSIES >KJB27427 pep chromosome:Graimondii2_0_v6:5:61834591:61837041:-1 gene:B456_005G2364002 transcript:KJB27427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKEDLHVVKATTSVDEALEALVEKRVTGFPVIDDDWNLVGVVSDYDLLALDSISGSSQNDTTLFPNVDSSWKTFNEIQKLMNKNNGKVVGDLMTPSPLVVRETTNLEDAARLLLETKYRRLPVVDIDGKLVGIITRGNVVRAALQIKRSIES >KJB31413 pep chromosome:Graimondii2_0_v6:5:55416812:55417036:1 gene:B456_005G190100 transcript:KJB31413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSLGRVESKCWLRKRPVVRGVVMSSVDHPHGRGEGRAPIGRKKPTTPWGYPTLGRRSRKRNKYRDILILRRWSK >KJB32568 pep chromosome:Graimondii2_0_v6:5:62775560:62777328:1 gene:B456_005G247900 transcript:KJB32568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCMEAKALKSSVRGEFTKNSHNQNQHVAFDDFFSSNGGVSSEEFSVDCFFDFTNGEFEEENEEKNSALIFSQEERVTDDDSNSNSSSFSFDSGLTNELSDDEIAGLEWVSQFVDDSFPVLPFSCPVFKQQAENQPEARFEPESAPVFVKTPCFSSPIPSKSRSKRAKPTGKTWPFGSFSRFESSTSSTTTASSSSTSSGFSISSTPTQKPNLGDIIEPPTKKQRRKSVVQSNGNAFQRRCSHCQVQKTPQWRTGPLGAKTLCNACGVRYKSGRLYPEYRPACSPTFSGDMHSNSHRKVLEMRKKKELAGKEPDELTPVVPSF >KJB32567 pep chromosome:Graimondii2_0_v6:5:62775336:62777465:1 gene:B456_005G247900 transcript:KJB32567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCMEAKALKSSVRGEFTKNSHNQNQHVAFDDFFSSNGGVSSEEFSVDCFFDFTNGEFEEENEEKNSALIFSQEERVTDDDSNSNSSSFSFDSGLTNELSVPDDEIAGLEWVSQFVDDSFPVLPFSCPVFKQQAENQPEARFEPESAPVFVKTPCFSSPIPSKSRSKRAKPTGKTWPFGSFSRFESSTSSTTTASSSSTSSGFSISSTPTQKPNLGDIIEPPTKKQRRKSVVQSNGNAFQRRCSHCQVQKTPQWRTGPLGAKTLCNACGVRYKSGRLYPEYRPACSPTFSGDMHSNSHRKVLEMRKKKELAGKEPDELTPVVPSF >KJB30831 pep chromosome:Graimondii2_0_v6:5:47221391:47224593:-1 gene:B456_005G162700 transcript:KJB30831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFPFKAYLSLSLSLTHTQYSRFLPLFSLVSMRRILLFLLLLAHLGELGAEDRLLNPSKLEMFVDELPDMPKIQGFRMLNTSPKPKSLMIGMFKKKWKFHRDLPPTPVFAYGASRRGATVPGPTIEALQGIDTYVTWKNFLPSKHILPWDPTIPTAIPATKTGIPTVVHLHGGMHEPANDGNANSWFTAGFKEKGPDWSKGTYHYNNNQQPGSLWYHDHAMGLTRVNLLAGLIGAYVIRHPEVEGPLELPHGEEFDRPLIVFDRSFRKDGSIYMNSTGNNPSIHPQWQPEYFGDLIIVNGKAWPKMTVRRRKYRLRIINASNARFFRLFFTNGLEFIHVASDSTYLVEPVVTNETLLAPSEIADVVVDFSKSKTDEAVLANDAPYPYPSGDPVNELNGRVMKFTIKKEREVDTWRVPDKLIQYPSPDLSSATQTRYIAMYEYTSDTDEPTHLYINGKPYEAPATETPKAGTSEIWNVINLTEDNHPMHIHLAVFTVLDQTELVKAEEFKACMSKMNDAIKCQISKHARGKKLRVAAHEKGWKNVYKMTPGYVTRILVRFSYIHSNTSYSFDPTGMPGYVYHCHILDHEDNAMMRPLVVMK >KJB30830 pep chromosome:Graimondii2_0_v6:5:47221391:47223961:-1 gene:B456_005G162700 transcript:KJB30830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEPANDGNANSWFTAGFKEKGPDWSKGTYHYNNNQQPGSLWYHDHAMGLTRVNLLAGLIGAYVIRHPEVEGPLELPHGEEFDRPLIVFDRSFRKDGSIYMNSTGNNPSIHPQWQPEYFGDLIIVNGKAWPKMTVRRRKYRLRIINASNARFFRLFFTNGLEFIHVASDSTYLVEPVVTNETLLAPSEIADVVVDFSKSKTDEAVLANDAPYPYPSGDPVNELNGRVMKFTIKKEREVDTWRVPDKLIQYPSPDLSSATQTRYIAMYEYTSDTDEPTHLYINGKPYEAPATETPKAGTSEIWNVINLTEDNHPMHIHLAVFTVLDQTELVKAEEFKACMSKMNDAIKCQISKHARGKKLRVAAHEKGWKNVYKMTPGYVTRILVRFSYIHSNTSYSFDPTGMPGYVYHCHILDHEDNAMMRPLVVMK >KJB29833 pep chromosome:Graimondii2_0_v6:5:25202840:25210272:1 gene:B456_005G120300 transcript:KJB29833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVPHPIPRTVEEVFNDFRGRRSGLIKALTTDVDKFYQQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFSFGKNERKRLFRMINELPTIFEVVTGNVKQPTEQYANHNSSGKSKSSAKVSRQSESESKVVKMSASKDEDESGEDEEDDEQGATCGACGDSYGTDEFWICCDICERWFHGKCVKITPAKAEHIKQYKCPSCSSKRARV >KJB29834 pep chromosome:Graimondii2_0_v6:5:25202948:25210217:1 gene:B456_005G120300 transcript:KJB29834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVPHPIPRTVEEVFNDFRGRRSGLIKALTTDVDKFYQQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFSFGKNERKRLFRMINELPTIFEVVTGNVKQPTEQYANHNSSGKSKSSAKVVQSSKIETWMFFSVVFSSPLYVLLLIDVTFMASYACCWQSRQSESESKVVKMSASKDEDESGEDEEDDEQGATCGACGDSYGTDEFWICCDICERWFHGKCVKITPAKAEHIKQYKCPSCSSKRARV >KJB29832 pep chromosome:Graimondii2_0_v6:5:25202840:25210272:1 gene:B456_005G120300 transcript:KJB29832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVPHPIPRTVEEVFNDFRGRRSGLIKALTTDVDKFYQQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFSFGKNERKRLFRMINELPTIFEVVTGNVKQPTEQYANHNSSGKSKSSAKSRQSESESKVVKMSASKDEDESGEDEEDDEQGATCGACGDSYGTDEFWICCDICERWFHGKCVKITPAKAEHIKQYKCPSCSSKRARV >KJB32231 pep chromosome:Graimondii2_0_v6:5:61346739:61348620:-1 gene:B456_005G230900 transcript:KJB32231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFAGTQQKCMACDKTVYLVDKLTADNRVFHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHYDQLFKRTGSLDKSFEGTPKIAKPEKHIENENAQKVVNLFGGTKEKCVGCNKTVYPIEKVTVDGTSYHRGCFKCSHGGCTISPSNYIAHEGKLYCKHHHVQLFKEKGNYSQLEGDRQEEPITEKLTSMEIAAES >KJB32232 pep chromosome:Graimondii2_0_v6:5:61346748:61348620:-1 gene:B456_005G230900 transcript:KJB32232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFAGTQQKCMACDKTVYLVDKLTADNRVFHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHYDQLFKRTGSLDKSFEGTPKIAKPEKHIENEKVVNLFGGTKEKCVGCNKTVYPIEKVTVDGTSYHRGCFKCSHGGCTISPSNYIAHEGKLYCKHHHVQLFKEKGNYSQLEGDRQEEPITEKLTSMEIAAES >KJB32178 pep chromosome:Graimondii2_0_v6:5:61073284:61073558:1 gene:B456_005G227600 transcript:KJB32178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRQLSRLQTYLGGIKYMTRLPDIIIIVDQQEEYTALRECITLGIPTICLIYTNSDIDLADISIPANDDAVASIQLILYKVVEIP >KJB30906 pep chromosome:Graimondii2_0_v6:5:49260545:49261009:-1 gene:B456_005G167300 transcript:KJB30906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVFLFGIVLGLILITLAGLFVTAYLQYRRGD >KJB32314 pep chromosome:Graimondii2_0_v6:5:61748897:61750406:1 gene:B456_005G235000 transcript:KJB32314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRPKDGDTQLAVVEPKSGLPPRPPSTTSTAIVEYEKPAFKEEEEDLEVKLRRIIENVPVRVSNTSGSSAGSGSGDFHQYRQMRRKEQDRLARMDVDYQKRKEIAEFNMRREERLKAAEERTAKKRLKRQKKKQRKKEKKMKSSAEGGENKKEESSDDEADSEHDEATVE >KJB32626 pep chromosome:Graimondii2_0_v6:5:63033309:63037150:-1 gene:B456_005G252000 transcript:KJB32626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEKRLIHAATMWVRRQPPKVKAFLAVVSGMATLVLLRFIVHDHDNLFVAAESVHSLGISVLIYKLTKEKTCAAIFLAVRLYCSFVMEYDIHTILDLATLATTLWVIYMIRFKLKSSYMEDKDNFAIYYVVIPCAVLALFIHPSTSHNLMNRIFWAFCVYLEAVSVLPQLQVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYIKSVFGGQLVLRLPSGVV >KJB32625 pep chromosome:Graimondii2_0_v6:5:63033230:63037226:-1 gene:B456_005G252000 transcript:KJB32625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEKRLIHAATMWVRRQPPKVKAFLAVVSGMATLVLLRFIVHDHDNLFVAAESVHSLGISVLIYKLTKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTILDLATLATTLWVIYMIRFKLKSSYMEDKDNFAIYYVVIPCAVLALFIHPSTSHNLMNRIFWAFCVYLEAVSVLPQLQVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYIKSVFGGQLVLRLPSGVV >KJB28922 pep chromosome:Graimondii2_0_v6:5:8669567:8670214:-1 gene:B456_005G076000 transcript:KJB28922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTITHDLTETLQLTNNNEYYQYLKEDDADETNFIYIINAILSGTARLNVLLPTATILGFTIFAPLLSNDGQCTTLDRWLLGCFLMFLAASCVFFTFTDSFRTAKGRLYYGVATLSGIWTFNAGRKKPCVPSDYRLRWCDMFHAGLSLVAFLAFAGSHGDVVACYYPGIPRKVTNTVPLVVGFVVSVLFVVFPSRRRGIGYPFLLQGEARSSRF >KJB30384 pep chromosome:Graimondii2_0_v6:5:37120429:37127859:-1 gene:B456_005G140400 transcript:KJB30384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFQKNGLAEKDIDQAITTLKKGASLLKYGRRGKPKFCPFQLSNDESKLIWYSRKKAKHIKLSEVSKIIPGQRTAIFQRYPRPEKEYQSFSLICNDRSLDLICKDKDEAEVWLIGLKALISRGTYHKRRTEVNGNASVDSSQPCDQKASPNSPLDPEDAQGIQAPYEAHNRLGKAFADIITHTSLVKSVSEPDLVGFGLVSAGSVDNSNSRSSGGDAIRISLSSAVSSSSHGSSREDFDALGDVFIWGQGIGDGVLGGGTDKVDDSFNTNLDALLPKELVTTVVLDVNNIACGDRHAVLVTKQGEIFSWGEESGGRLGHGVEADVPKPKHIDTLNGTKFESVACGEYHSCAVTVSGDLFTWGDGTHNSGLLGHGTEVSHWIPKRVSNMESKHVLYVSCGPCHTALVTCGSQLFTFGDGSFGALGHGDHSSSNIPREVETLSGLHTTRVACGAWHTAAVVKIMSESYDSGSPSSSSSAKLYTWGDGDKGQLGHGDNKPRLFPECVAAHFDEKICQVACGYNLTVALTTSGRVYTMGSSAYGQLGSATADGKVPTRVEGKIADNFVEEIACGSYHIAILTSKTKVYTWGKGSNGQLGHGDINDRNTPTLVEFLKDKLVKSVVCGSNFTAIISLHKWVSVADHSICSGCRNPFGFRRKRHNCYNCGLIFCKACSTRKSLKTSMAPTTNKPYRVCDECFVKLRKSAKSVSVVWTSKAKNGILPCKSMDRELCRLSLDSSYQAESRNFKHELKLESQARPLFPVQNGNFHLGGFFSPKMSICPVRDSKKILPASISSSKKTSRATSPASGKLGPNRSSEVTFDDSKKMNDSLNREIINLRAQVEDLSSKSKHLEAELEKTSKRLKEITAVAENEAKKCKSANEVIRSLTAQLKEVTDKLPAGKSALHNSSSIATNTQRMHSDNSHATSIRLPRSEVSCNLDNISMSHGTKGQTEKSETIIQDEPGVYLTLSPLPNGNHELKRVRFSRKHFTEEQAENWWAEHGDKVCERHNIRNTC >KJB30385 pep chromosome:Graimondii2_0_v6:5:37123815:37127660:-1 gene:B456_005G140400 transcript:KJB30385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFQKNGLAEKDIDQAITTLKKGASLLKYGRRGKPKFCPFQLSNDESKLIWYSRKKAKHIKLSEVSKIIPGQRTAIFQRYPRPEKEYQSFSLICNDRSLDLICKDKDEAEVWLIGLKALISRGTYHKRRTEVNGNASVDSSQPCDQKASPNSPLDPEDAQGIQAPYEAHNRLGKAFADIITHTSLVKSVSEPDLVGFGLVSAGSVDNSNSRSSGGDAIRISLSSAVSSSSHGSSREDFDALGDVFIWGQGIGDGVLGGGTDKVDDSFNTNLDALLPKELVTTVVLDVNNIACGDRHAVLVTKQGEIFSWGEESGGRLGHGVEADVPKPKHIDTLNGTKFESVACGEYHSCAVTVSGDLFTWGDGTHNSGLLGHGTEVSHWIPKRVSNMESKHVLYVSCGPCHTALVTCGSQLFTFGDGSFGALGHGDHSSSNIPREVETLSGLHTTRVACGAWHTAAVVKIMSESYDSGSPSSSSSAKLYTWGDGDKGQLGHGDNKPRLFPECVAAHFDEKICQVACGYNLTVALTTSGRVYTMGSSAYGQLGSATADGKVPTRVEGKIADNFVEEIACGSYHIAILTSKTKVYTWGKGSNGQLGHGDINDRNTPTLVEFLKDKLVKSVVCGSNFTAIISLHKWVSVADHSICSGCRNPFGFRRKRHNCYNCGLIFCKACSTRKSLKTSMAPTTNKPYRVCDECFVKLRKSAKSVSVVWTSKAKNGILPCKSMDRELCRLSLDSSYQAESRNFKHELKLESQARPLFPVQNGNFHLGGFFSPKMSICPVRDSKKILPASISSSKKTSRATSPASGKLGPNRSSEVTFDDSKKMNDSLNREIINLRAQVAY >KJB30928 pep chromosome:Graimondii2_0_v6:5:49364718:49365355:1 gene:B456_005G168300 transcript:KJB30928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDEAVDPIRPEFPTARVKKIMKLDKDINKVNSEALFLVSCSTNLFLRFLAERTAQVAAEKKKKTVKLDHLRTAIKRHRPTSDFLLDSLPIPAESTQSVARSATDRDRSRPVADKSAPAGTRRIDLFFRKPENEAPIQINDA >KJB32077 pep chromosome:Graimondii2_0_v6:5:60424839:60427434:-1 gene:B456_005G221600 transcript:KJB32077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGPEICKAENLNPMPGSNTGSRSNIFMEDGVFLTWDDLWVTVAGGRPILQGLAGYAHPGELLAVMGPSGCGKSTLLDTLAGRRSPKTRQEGDILFNGRKQALAYGTSAYVTQDDALITTLTVREAVYSSAQLQLPDTMAKSEKKERAEKTIREMGLQDAMDTRIGGWGAKGLSGGQKRRVSICIEILTHPMLLFLDEPTSGLDSAASYYVMSRIASLNQKDNIGITIIASMHQPSAEVFQLFTNLYLLSSGKTVYFGPVSAANEFFALNGFPCPSFQNPSDHFLKTINKDFGKDIELGFANGIPTEEVIDILVKSYKSSDIYQMVQKEIAQICKQGGGALQKSKRRSGLFTQCHVLTRRSFINMSRDLGYYWLRLGIYISLAIVLGSVFSHIGLDNGSIQARGSLLMFVATFLTFMAIGGFPSFVEEMKVFERERLNGHYGVTPFVIGNTLSSLPFLAMVALIPGAITYFLPGLHHGYQHFLFFVIILFACMMLVESLMMIVASVVPNFLMGIIVGAGIQGLMILVGGFFRLPSDLPKPVFKFPLYYIAFHKYAYQGLFKNEFVGLTFPNVQAGNGSSPTITGEDILKRTWRFEMAYSKWVDLAILFAMIVLYRVLFLIINKTTEKEKAVIGKFMSATWKDKAPVSRGER >KJB28131 pep chromosome:Graimondii2_0_v6:5:2565325:2569060:1 gene:B456_005G029000 transcript:KJB28131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QCLDDQRAPLLQLQHHLYYAPNSTFSSNFDLWDVNTDCCSWEGITCDAYGHVVGIDLSYKNLSGSFHSIFDLHHLQHLNLAGNNFNTTLFSYGFDELQNLTHLNLSSSCFHGQIPVEISFLKRLVSLDLSNQGSCYLRNGYDYDSATLKLEKPNFKTFIKNLKFLTELYLDGVDISTQSTKWCETTSLVLPNMHVLSLSSCSLKGPLCSSLSRLSFLSKLILDENPTSYLPPNFLVNSSCLVSLSLRYCNLNGHFPTGILLLPKIQSIDISLNRQLMGQLPEFPANNALLSLSLYDTNFSGKLPESIGNLKFLTNLDLSGCNFFGPIPSSIANLSNNYLRGAIPKSILQLPRLEWLYIESNSFSSMKLDMSVQVNNLRTLWLNYISLAVFHPYTLDLYFNMIDFPKQIPLSDANFSFPMLRQLILGYCNVSAFPEFLKSQENLEYLILPNNKISGAIPNWVWKKSLHQLSLANNHLLSLGELLPNQSSTFSRGFFYFDVSYNNLSGPIPNWLCNMSQLLSFDVFYNNLSGPIPNCLGDMSALSWLGLQGNNFSGMLPKFSKATQLQFLKVSENRLEGKLPRSLAECTQLEVLDVAKNMMNDTFPFWLGKLPALKVLILRENRFYGQIKHFKHKSVFPTLDVLDIASNQFSGELSIDFLQPTRLRSLKIGGNKLEGKLSRSLANCTALEVLDLGNNMVHDTFPFWLEKLPSLKVLVLRANRFYGTISKIDTKRNGGDD >KJB28130 pep chromosome:Graimondii2_0_v6:5:2565325:2569455:1 gene:B456_005G029000 transcript:KJB28130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QCLDDQRAPLLQLQHHLYYAPNSTFSSNFDLWDVNTDCCSWEGITCDAYGHVVGIDLSYKNLSGSFHSIFDLHHLQHLNLAGNNFNTTLFSYGFDELQNLTHLNLSSSCFHGQIPVEISFLKRLVSLDLSNQGSCYLRNGYDYDSATLKLEKPNFKTFIKNLKFLTELYLDGVDISTQSTKWCETTSLVLPNMHVLSLSSCSLKGPLCSSLSRLSFLSKLILDENPTSYLPPNFLVNSSCLVSLSLRYCNLNGHFPTGILLLPKIQSIDISLNRQLMGQLPEFPANNALLSLSLYDTNFSGKLPESIGNLKFLTNLDLSGCNFFGPIPSSIANLSNNYLRGAIPKSILQLPRLEWLYIESNSFSSMKLDMSVQVNNLRTLWLNYISLAVFHPYTLDLYFNMIDFPKQIPLSDANFSFPMLRQLILGYCNVSAFPEFLKSQENLEYLILPNNKISGAIPNWVWKKSLHQLSLANNHLLSLGELLPNQSSTFSRGFFYFDVSYNNLSGPIPNWLCNMSQLLSFDVFYNNLSGPIPNCLGDMSALSWLGLQGNNFSGMLPKFSKATQLQFLKVSENRLEGKLPRSLAECTQLEVLDVAKNMMNDTFPFWLGKLPALKVLILRENRFYGQIKHFKHKSVFPTLDVLDIASNQFSGELSIDFLQPTRLRSLKIGGNKLEGKLSRSLANCTALEVLDLGNNMVHDTFPFWLEKLPSLKVLVLRANRFYGTISKIDTKRNGGDD >KJB28620 pep chromosome:Graimondii2_0_v6:5:6089826:6090779:1 gene:B456_005G058700 transcript:KJB28620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKTLENHFKYEPVVQTQAGFALLRRNLSPPQPGERRGRRKQAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAAISIKGAQARTNFVYSDNSCFHVLSPFDQFLTSFQTQQPKQAINHGVNSEPVPHQPDISHSENTIPTPIIKPNNNDPSSSSLSSSSSSYGSSVDDSAFFFSTDANSGYLASIVPRNCLNPPSSNPTSSHVSHQNQSHSGNNNYVLPTDVTNTEFPYFGEGMDQESLWSSFDQQSWELSDIVNPPLMDEDGCMKAFHPYNDNPMMIPQASSMAPFGDIVDFGYSLF >KJB28251 pep chromosome:Graimondii2_0_v6:5:3494923:3497089:1 gene:B456_005G037100 transcript:KJB28251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSECESRTEGETVELQHFSHPHPLVSFKYQTVAREEVDPEAALCLGCEKPVEGWSYGCNQCEFYLHKGCAELDLAPKIQHPFHPEHPLTLLPKSPYSGGLTACSFCGKNFEGFVYNCFDCEFDLHINCALLQSSIATNFPHSLHHHPLHFIQNHNEEKCSCYLCKIQWSGFVYSCSLCNFELSVDYIFSPPTITNASHEHPWMLISKKMSFICDFCGIVGDHSPYLCATCNLAVHKNCISLPRNIRITRHCHVICFSYSFQQNQVEDCMCRICFTEVDTSYGRYCCSASGCDYIAHAHCATNKSIWDGTIIKEGYDERLGPSNLITDVIEQISIEEIMVASKIKHSYHHHNLRLTFSGEIKDDSQCDGCMRPISTPFYSCEQCKFFLHKDCVELPKEMPHPFHKHLLTLSNSHDENGCSWCTTCRRLYQGFRYRCYKGDCYFEIDIQCMLLSDTLKHPSHEHSLVLVHNEGTSCSACFKNLLSMDVAYRCMKRCDFSLDVGCASLPLTAWYKKPNCWFYYCADCDNSLHLNCALGDLPYMKLGNKIKGTGHRHPLTVVKNIWNCPPCKVCGEVCNGQALECKEFECNFTVHSIW >KJB29563 pep chromosome:Graimondii2_0_v6:5:19325123:19325485:-1 gene:B456_005G107600 transcript:KJB29563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSLPSPFLGITILVMIYLVIFYLLSNDKESSKEDNESGLSMEEIEQLPRILPVCSHIFHTQCIDLWFLRRNICPNCRSPFKPVDNNIV >KJB28043 pep chromosome:Graimondii2_0_v6:5:2015376:2015789:1 gene:B456_005G023700 transcript:KJB28043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNVCGIANEEVAGCGGVLRDKEGVARAIFSGPVAANDTVSAGAGGGVYSIGLFLSMGWKINGYLIVEIGSKMVYNWCLNKDIRPWSLQTTFSDIERKIEQVGSVVFSMAYQKDNEMSSTLAIASINHGDMFKAWW >KJB30405 pep chromosome:Graimondii2_0_v6:5:38525171:38528355:1 gene:B456_005G141800 transcript:KJB30405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLEATHGDLAPPYRRSSSNPYEDDPKDASTRYLPLYKAVDGGDLEATKKFLDQHPDALCSSLSTDGDTALHIAVLAGHVDIVEELVGRMSALELAVKQKYGSTALNFAAIGGVTEIAELLVEKNRKLLEIPNDHEQIPLVVAALYGHRDLVQYLYLKTPIEELDPTSKNHGAILLTACIMDEFYDIALDLVQRYPLLAIAEDTDKDTALHILAQKPSAFPSGSQLTFWQRWTYKCIHLDPQKASLIASGDIEMPHEGPPHRRSFTKRVLHRISEAFWKGLKLCVPGFTSIYELKLTHLQARELLTCICQEAPNLEDEIKLEAVLKKALFEAVKQGIVEVVTEIMKHYPEVVWFYDDKDRNIFFVATAERQEKIFSLIYKMGAKKNSLATHWDKDFNNMLHQAAFLAPSSRLDRVSGAALQMQRELQWFKEVESVVQPKYKEMINSHFKTPRVLFSDYHKKLVEQGEQWTKETAESCTVVTALIVTIMFSAVFTVPGGYDNSGNPIYLNQNSFLVFIVSDALSLFSSTTSLLMFLGILTSRYREEDFLQTVPTKLMVGLSMMFFSLATMMITFGVALFIVLRDRIAWVSFPIILLASLPVTLFALLQFPLLVEIFISTYGPGIFDKPKKNLCPSGSTRSSTRCFR >KJB28048 pep chromosome:Graimondii2_0_v6:5:2055184:2056797:-1 gene:B456_005G024100 transcript:KJB28048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLHHSLSLLFIVVCSLSWVSASANSHDDFLECLYSYHPKESSSITQVIFTESNSSYSAVLDSSIRNHRFSTPNTPKPLVIVTPLNISHVQATIHCSKKQGLQIRTRSGGHDFEGLSYVSHVPFVVIDLVNLRSVDVDVENEEAWVQSGATVGEVYYRINERSTNLTFPAAVARTVGIGGSISGGGDGILFRKYGLSADNVIDAQLVDANGRILDRRSMGEDLFWAIRGGGGGSFGIVISWKIKLVHVPSTVTVFSVGRTLEQNATQLLHRWQYVAPNLPNDVYSVVTLSTTNGSENRTKTVLATFISLFQGGANDFIPLMQERFPELGLVKEDFIEMTWIESLLLLNGASNETSEILLDRSNRYSFLPPSFKSKSDYVREPMPEIALQGLWPQLLEVDEGGIAVQNIFAYGGIMEEISETETPFPHRKGTLYKIYYNIGWLEEENNNSQRYISWMRKLYSYMGPFVSKSPREAYVNYRDLDIGRNNDDGKASYKQASIWGRKYFKNNFDRLVYVKTKTDPKNFFKHEQSIPPGFH >KJB31192 pep chromosome:Graimondii2_0_v6:5:52924855:52927260:1 gene:B456_005G180200 transcript:KJB31192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMQQLGRTKNVTRRSNKYLEEALFHRLFKEGGSEISVRQQLNHFLKSSKRVYKWEVDETLKKLRHRKLYFPALKLSEMMATKRGMNKTVSDQAIHLDLVAKAQGIPAAENYFVDLPEALKNHITYGALLNCYCKELMTEKAEALMEKMKELNLPLSSMSYNSLMTLYTKIGQPERVQEIIQEMKSCGVIPDSYTYNVWMRALAAMNDISGVERVIEELKRDAEDADDWTTYSNIASIYVDAGLFEKAEKALKELEKRNAHRNLSAFQFLITLYGRMGNLHEVYRIWRSLRLSFHKTANISYLNMIQVLVNLKDLPGAEKCFREWESGCSTYDIRIANVLIGAYSKEGLLEKALELKERARRRGAKPNAKTWEIFLDYYLKNGDTKLAVDCVANAISTGRGDGRKWVPSSETIGTVMRHFEQVKYVDGAEGFVEILKKAVDRVGEEVFELLIRTYAAAGRTSPVMRRRLKMEKVEVSDASKQLLEVISVE >KJB31193 pep chromosome:Graimondii2_0_v6:5:52924994:52927142:1 gene:B456_005G180200 transcript:KJB31193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATKRGMNKTVSDQAIHLDLVAKAQGIPAAENYFVDLPEALKNHITYGALLNCYCKELMTEKAEALMEKMKELNLPLSSMSYNSLMTLYTKIGQPERVQEIIQEMKSCGVIPDSYTYNVWMRALAAMNDISGVERVIEELKRDAEDADDWTTYSNIASIYVDAGLFEKAEKALKELEKRNAHRNLSAFQFLITLYGRMGNLHEVYRIWRSLRLSFHKTANISYLNMIQVLVNLKDLPGAEKCFREWESGCSTYDIRIANVLIGAYSKEGLLEKALELKERARRRGAKPNAKTWEIFLDYYLKNGDTKLAVDCVANAISTGRGDGRKWVPSSETIGTVMRHFEQVKYVDGAEGFVEILKKAVDRVGEEVFELLIRTYAAAGRTSPVMRRRLKMEKVEVSDASKQLLEVISVE >KJB31945 pep chromosome:Graimondii2_0_v6:5:59768292:59768744:-1 gene:B456_005G215300 transcript:KJB31945 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL18 [Source:Projected from Arabidopsis thaliana (AT4G38140) UniProtKB/Swiss-Prot;Acc:Q9SZL4] MISLVCSNSGSCTAALIFYTCVWIPFIQFKIFVLSLLGFVFPRSCGTINVSLPVARFEDLKFSAGCCNAAEEEVCSICLVEFDNDDAVSQIQKCKHVFHMNCIEKWMERGHFTCPLCRSVLFNNVISAHTKCGDIASYTAADLVSSWLSF >KJB29461 pep chromosome:Graimondii2_0_v6:5:17119159:17120770:1 gene:B456_005G102000 transcript:KJB29461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLDNCEEILLSLDSHKSVPAPFLTKTYQLVDDPTTDKIVSWGEDDTTFVVWGPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFGNEFFKKGEKQLLCEIHRRKTSQPQVAINHHYHSHSPLVNAPSFFPFPSRVSISPAASDEKANWCDSPRVGTGVSVFGGYNSSVTALSEDNEKLRRRNNLLTSELAHMRKLYNDIIYFVQNHVKHVTPSNPYPPNMLLCGSQSAANSSLLQKHLNQLLGYYPNSTKPAQVQFLNTPTAASKSSLTILEETSRNSCKTKLFGVPLHSKKRLHPECGATNSETNKVRLVFENEDLGLNLMPPSTC >KJB30295 pep chromosome:Graimondii2_0_v6:5:34978152:34978544:1 gene:B456_005G136100 transcript:KJB30295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFYEYNHASNNVLDGLNMFDGTDAHYFHMGSRGHHSVWDSRLFNYGSWEVLRYLLSYARWWLEEYKFDG >KJB28719 pep chromosome:Graimondii2_0_v6:5:7021142:7021657:-1 gene:B456_005G065900 transcript:KJB28719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLMTFSLVISKFGSNKNFGKDTVQIGSTNIIENIVKILLREGFIDNVRKHREHNKYFLILTLRHRRNRKGPHRTILNLRRMSRPGLRIYSNYQQIPRILGRMGIVILSTYWGIMPD >KJB30797 pep chromosome:Graimondii2_0_v6:5:46240063:46240377:-1 gene:B456_005G160600 transcript:KJB30797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLPPLAGFFGKLHLFWCGWQAVLYFLVSIGLLTSLFSIYYYLKIIKLLMTGRNQEITPHIRNYRKSPLRSINSIELSMIIYVIASTILGISMNSIIAIAQDTLF >KJB28908 pep chromosome:Graimondii2_0_v6:5:8507050:8511379:-1 gene:B456_005G075300 transcript:KJB28908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGYINPAPSPLRKIITVASIAAGIQFGWALQLSLLTPYVQTLGVPHAWAAFIWLCGPISGLLVQPIVGYNSDRCTSRFGRRRPFIVIGALSVSIAVFLIGFAKDIGHHAGDSLERSTKPRAVAIFVVGFWILDVANNMLQGPCRALLADLSANDHKKMRTANGWFSFFMAVGNVLGYAAGSYSHLYKIFPFTKTTACDIYCANLKSCFTIDIMILLLVTVTAVTTVKEDPFTKQIQDDGSKESTPFIGEMIAAFKSLKKPMWILLLVTCLNWIAWFPFLLFDTDWMGKEIYGGEAKGDAHKVKLYGDGVRAGALGLMINSIVLGLTSLGLEPAGRLIGGVKNLWAIVNFILCACLASTVLITKMAEAWRQHHGSPLTHPPFNITGSALAVFGVLGIPLAVTYSIPFALASIYCSSTGGGQGLSLGVLNLSIVIPQMFVSVISGPLDDAFGGGNLPAFVLGSIAAAVSALLAILALPNPPKQVSLSPGMGGGH >KJB28907 pep chromosome:Graimondii2_0_v6:5:8507769:8509422:-1 gene:B456_005G075300 transcript:KJB28907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGYINPAPSPLRKIITVASIAAGIQFGWALQLSLLTPYVQTLGVPHAWAAFIWLCGPISGLLVQPIVGYNSDRCTSRFGRRRPFIVIGALSVSIAVFLIGFAKDIGHHAGDSLERSTKPRAVAIFVVGFWILDVANNMLQGPCRALLADLSANDHKKMRTANGWFSFFMAVGNVLGYAAGSYSHLYKIFPFTKTTACDIYCANLKSCFTIDIMILLLVTVTAVTTVKEDPFTKQIQDDGSKESTPFIGEMIAAFKSLKKPMWILLLVTCLNWIAWFPFLLFDTDWMGKEIYGGEAKGDAHKVKLYGDGVRAGALGLMINSIVLGLTSLGLEPAGRLIGGVKNLWAIVNFILCACLASTVLITKMAEAWRQHHGSPLTHPPFNITGSALAVFGVLGIPLAVSNIQYSIRFGIHLLF >KJB28906 pep chromosome:Graimondii2_0_v6:5:8507050:8511715:-1 gene:B456_005G075300 transcript:KJB28906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGYINPAPSPLRKIITVASIAAGIQFGWALQLSLLTPYVQTLGVPHAWAAFIWLCGPISGLLVQPIVGYNSDRCTSRFGRRRPFIVIGALSVSIAVFLIGFAKDIGHHAGDSLERSTKPRAVAIFVVGFWILDVANNMLQGPCRALLADLSANDHKKMRTANGWFSFFMAVGNVLGYAAGSYSHLYKIFPFTKTTACDIYCANLKSCFTIDIMILLLVTVTAVTTVKEDPFTKQIQDDGSKESTPFIGEMIAAFKSLKKPMWILLLVTCLNWIAWFPFLLFDTDWMGKEIYGGEAKGDAHKVKLYGDGVRAGALGLMINSIVLGLTSLGLEPAGRLIGGVKNLWAIVNFILCACLASTVLITKMAEAWRQHHGSPLTHPPFNITGSALAVFGVLGIPLAVTYSIPFALASIYCSSTGGGQGLSLGVLNLSIVIPQMFVSVISGPLDDAFGGGNLPAFVLGSIAAAVSALLAILALPNPPKQVSLSPGMGGGH >KJB29562 pep chromosome:Graimondii2_0_v6:5:19324217:19324640:1 gene:B456_005G107500 transcript:KJB29562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFYFGCICLPSPFVAKIGKNAKGDITDANNKRLAYQPVAFHFFALVLNGLTDIFFHFAEKKLLIFAMFIDSTTKSFLMMAKDECLSYPTL >KJB32908 pep chromosome:Graimondii2_0_v6:5:63985483:63988656:-1 gene:B456_005G267400 transcript:KJB32908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRKPTTSAARGSSSSSPPSQTLPLFSNMPRKSSLFKHTLIATVFFLLAIYAFFSTFFHTPLPPSDSNSDSSSFLLDLGGVSSQENPIPFPERVKVFMYDLPHKFTYGIIQQHSLARGGSPVPEITTLNYPGHQHMHEWFLFSDLARPQSDRLGSPVVKVTDPEEADLFYVPAFSSLSLIVNAGRPPGSASGYSDEEMQEQLAEWLTGQEYWKRNNGWDHVIIAGDPNALYRVVDRVKSAVLLVSDFGRLRPDQGSLVKDVVIPYSHRISTYTGDFGVKDRTTLLFFMGNRYRKEGGKIRDLLFQILENEEDVVIKHGTQSRENRRAASRGMHTSKFCLNPAGDTPSACRLFDSIVSLCVPVIVSDSIELPFEDIIDYKKFSVFVETTTALKPGYLVSLLRKVPEQKIIEYQKAMKEVKRYYDYTHPNGTVNEIWRQVSQKLPLIKLMINRDKRLVKRELSEPNCSCLCSNQTGIIDSLG >KJB32909 pep chromosome:Graimondii2_0_v6:5:63986415:63988577:-1 gene:B456_005G267400 transcript:KJB32909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRKPTTSAARGSSSSSPPSQTLPLFSNMPRKSSLFKHTLIATVFFLLAIYAFFSTFFHTPLPPSDSNSDSSSFLLDLGGVSSQENPIPFPERVKVFMYDLPHKFTYGIIQQHSLARGGSPVPEITTLNYPGHQHMHEWFLFSDLARPQSDRLGSPVVKVTDPEEADLFYVPAFSSLSLIVNAGRPPGSASGYSDEEMQEQLAEWLTGQEYWKRNNGWDHVIIAGDPNALYRVVDRVKSAVLLVSDFGRLRPDQGSLVKDVVIPYSHRISTYTGDFGVKDRTTLLFFMGNRYRKEGGKIRDLLFQILENEEDVVIKHGTQSRENRRAASRGMHTSKFCLNPAGDTPSACRLFDSIVSLCVPVIVSDSIELPFEDIIDYKKFSVFVETTTALKPGYLVSLLRKVPEQKIIEYQKAMKEVCAIFTALFPFSIHYLLHYY >KJB30847 pep chromosome:Graimondii2_0_v6:5:47526429:47534631:-1 gene:B456_005G163600 transcript:KJB30847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVHWILILNLWILKATSLQAGSRVVLREIGHNIVGGSDPKDYAVELNATNFDAVLKDTPATYAIVEFFAHWCPACRNYKHHYENVAKLFNGPNAVHPGIVLMTRVDCALKINSKLCDKFSVSHYPMLFWGPPTKFSAGWSSNQAKSKLHVIDDGRTAERLLNWINKQIGSSYGLEDEKFENEHLSSNISDPGQIARAVYDVEEATATAFDIILEHKMIKSETRAPLIKFLQLLVAHHPSRRCRKGSAEVLVNFDDLCPLDMWSSDKHDVDTSNMIGVLRNFHICGKDVPRGYWMFCRGSKKDTRGFSCGLWVLMHSLSVRIEDGESQTAFTSICDFIHNFFICQECRQHFYEMCSSVKSPFTKARDFALWLWSAHNEVNERLMKEEASLKTGDPKFPKIIWPPKQLCPSCHHSQGPKDKGGSQINWKRDEVFKFLISYYGNTLVSLYKEKGLLGGDGTTVILEDSSTNAVVVPVGAALAIALASCAFGALACYWRSQQKNRKYYHQLHSLKNI >KJB30846 pep chromosome:Graimondii2_0_v6:5:47526388:47534670:-1 gene:B456_005G163600 transcript:KJB30846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVHWILILNLWILKATSLQAGSRVVLREIGHNIVGGSDPKDYAVELNATNFDAVLKDTPATYAIVEFFAHWCPACRNYKHHYENVAKLFNGPNAVHPGIVLMTRVDCALKINSKLCDKFSVSHYPMLFWGPPTKFSAGWSSNQAKSKLHVIDDGRTAERLLNWINKQIGSSYGLEDEKFENEHLSSNISDPGQIARAVYDVEEATATAFDIILEHKMIKSETRAPLIKFLQLLVAHHPSRRCRKGSAEVLVNFDDLCPLDMWSSDKHDVDTSNMIGVLRNFHICGKDVPRGYWMFCRGSKKDTRGFSCGLWVLMHSLSVRIEDGESQTAFTSICDFIHNFFICQECRQHFYEMCSSVKSPFTKARDFALWLWSAHNEVNERLMKEEASLKTGDPKFPKIIWPPKQLCPSCHHSQGPKDKGGSQINWKRDEVFKFLISYYGNTLVSLYKEKGLLGGDGTTVILEDSSTNAVVVPVGAALAIALASCAFGALACYWRSQQKNRKPRRSWS >KJB30848 pep chromosome:Graimondii2_0_v6:5:47527151:47534438:-1 gene:B456_005G163600 transcript:KJB30848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVHWILILNLWILKATSLQAGSRVVLREIGHNIVGGSDPKDYAVELNATNFDAVLKDTPATYAIVEFFAHWCPACRNYKHHYENVAKLFNGPNAVHPGIVLMTRVDCALKINSKLCDKFSVSHYPMLFWGPPTKFSAGWSSNQAKSKLHVIDDGRTAERLLNWINKQIGSSYGLEDEKFENEHLSSNISDPGQIARAVYDVEEATATAFDIILEHKMIKSETRAPLIKFLQLLVAHHPSRRCRKGSAEVLVNFDDLCPLDMWSSDKHDVDTSNMIGVLRNFHICGKDVPRGYWMFCRGSKKDTRGFSCGLWVLMHSLSVRIEDGESQTAFTSICDFIHNFFICQECRQHFYEMCSSVKSPFTKARDFALWLWSAHNEVNERLMKEEASLKTGDPKFPKIIWPPKQLCPSCHHSQGPKDKGGSQINWKRDEVFKFLISYYGNTLVSLYKEKGLLGGDGTTVILEDSSTNAVVVPVGAALAIALASCAFGALACYWRSQQKNRKYYHQLHSLKNI >KJB30849 pep chromosome:Graimondii2_0_v6:5:47529541:47534631:-1 gene:B456_005G163600 transcript:KJB30849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVHWILILNLWILKATSLQAGSRVVLREIGHNIVGGSDPKDYAVELNATNFDAVLKDTPATYAIVEFFAHWCPACRNYKHHYENVAKLFNGPNAVHPGIVLMTRVDCALKINSKLCDKFSVSHYPMLFWGPPTKFSAGWSSNQAKSKLHVIDDGRTAERLLNWINKQIGSSYGLEDEKFENEHLSSNISDPGQIARAVYDVEEATATAFDIILEHKMIKSETRAPLIKFLQLLVAHHPSRRCRKGSAEVLVNFDDLCPLDMWSSDKHDVDTSNMIGVLRNFHICGKDVPRGYWVDTQRQLWLVIFFPCVYLKILILGSTIYAWLSASNLFYMRCLMAGMRTSLHEPECPNTTTIGDC >KJB30845 pep chromosome:Graimondii2_0_v6:5:47526370:47534805:-1 gene:B456_005G163600 transcript:KJB30845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVHWILILNLWILKATSLQAGSRVVLREIGHNIVGGSDPKDYAVELNATNFDAVLKDTPATYAIVEFFAHWCPACRNYKHHYENVAKLFNGPNAVHPGIVLMTRVDCALKINSKLCDKFSVSHYPMLFWGPPTKFSAGWSSNQAKSKLHVIDDGRTAERLLNWINKQIGSSYGLEDEKFENEHLSSNISDPGQIARAVYDVEEATATAFDIILEHKMIKSETRAPLIKFLQLLVAHHPSRRCRKGSAEVLVNFDDLCPLDMWSSDKHDVDTSNMIGVLRNFHICGKDVPRGYWMFCRGSKKDTRGFSCGLWVLMHSLSVRIEDGESQTAFTSICDFIHNFFICQECRQHFYEMCSSVKSPFTKARDFALWLWSAHNEVNERLMKEEASLKTGDPKFPKIIWPPKQLCPSCHHSQGPKDKGGSQINWKRDEVFKFLISYYGNTLVSLYKEKGLLGGDGTTVILEDSSTNAVVVPVGAALAIALASCAFGALACYWRSQQKNRKPRRSWS >KJB32790 pep chromosome:Graimondii2_0_v6:5:63641010:63642551:1 gene:B456_005G261700 transcript:KJB32790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGFASCCIFLSVLLLAFMLGQGRNVRRHHVEEGSTGLNYLRQASSSIDGDRIDPSGKIFFKMDDLKVGKTMAIYLTNKDPSTSPHLLSREEANSIPFSSAKIAQIIDFFRFSKDSHQAKAIEYTIKQCELEPLEDELRFCATSLESMLDYASSLLGKKAQLQVFTTTFVENPTVPFRNYTILAVPERIRSTKMVACHTLPYPYAVFYCHNTPKSETRLFKVLLGAENGDRVRALAACHMDTSRWDVDHVSFQLLKIKPGSSPVCHVFPPDNLVWVALPA >KJB31581 pep chromosome:Graimondii2_0_v6:5:57029685:57039624:-1 gene:B456_005G196700 transcript:KJB31581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFAKLDDSPMFRKQIQCMEESAELLRERSLRFFKGCRKYTEGLGEGYDGDIAFASALEMFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVETELNEKLMQFVNRDLLDVKEARKRFDKASLVYDQAREKFLSLRKSTKSNIANVLEEELHNARSTFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVMTYARQSRERSKYDQAALNERMQEYKRQVDRESRLSSNGSNGSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSSLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQLSGQRNSSELGSGLLGRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQAPERCLSASPMGSGHHRSASESSSFESSDFDHTGVEEYASERNLVSAHNERQSRASQHQRSCLKNEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLLCIECSGVHRNLGVHISKVRSLTLDVKVWDPSVISLFQSLGNTFANSVWEELLHSRNAFHVDLTPSFSKSDKPQLLLMGKPCHSDSISVKEKFIHAKYAEKLFVCKLKDEQHPQSVAQQIWEGVRANDKKAVYRYIVNCEVDLNAVYEQSSGSSLTLAKVMLLQEHTNVCNNSSSYITGDSSDRSSASSFNLVGTSEGQTTDDLDGCTLLHLACETGDIGMIELLLQYGANINVMDSRGQTPLHRCILKGKAAVAKLLLTRGADPQVLNRENKTPVELAVESEFDDSEVLALLSDSNGYQMNAKQKKLVCRKLS >KJB31584 pep chromosome:Graimondii2_0_v6:5:57029790:57039600:-1 gene:B456_005G196700 transcript:KJB31584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFAKLDDSPMFRKQIQCMEESAELLRERSLRFFKGCRKYTEGLGEGYDGDIAFASALEMFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVETELNEKLMQFVNRDLLDVKEARKRFDKASLVYDQAREKFLSLRKSTKSNIANVLEEELHNARSTFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVMTYARQSRERSKYDQAALNERMQEYKRQVDRESRLSSNGSNGSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSSLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQLSGQRNSSELGSGLLGRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQAPERCLSASPMGSGHHRSASESSSFESSDFDHTGVEEYASERNLVSAHNERQSRASQHQRSCLKNEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLLCIECSGVHRNLGVHISKVRSLTLDVKVWDPSVISLFQSLGNTFANSVWEELLHSRNAFHVDLTPSFSKSDKPQLLLMGKPCHSDSISVKEKFIHAKYAEKLFVCKLKDEQHPQSVAQQIWEGVRANDKKANIQMSVTTAPVTSQGIHQTDPLLALSTWWVPVKGKPQTI >KJB31582 pep chromosome:Graimondii2_0_v6:5:57030354:57039350:-1 gene:B456_005G196700 transcript:KJB31582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFAKLDDSPMFRKQIQCMEESAELLRERSLRFFKGCRKYTEGLGEGYDGDIAFASALEMFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVETELNEKLMQFVNRDLLDVKEARKRFDKASLVYDQAREKFLSLRKSTKSNIANVLEEELHNARSTFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVMTYARQSRERSKYDQAALNERMQEYKRQVDRESRLSSNGSNGSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSSLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQLSGQRNSSELGSGLLGRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQAPERCLSASPMGSGHHRSASESSSFESSDFDHTGVEEYASERNLVSAHNERQSRASQHQRSCLKNEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLLCIECSGVHRNLGVHISKVRSLTLDVKVWDPSVISLFQSLGNTFANSVWEELLHSRNAFHVDLTPSFSKSDKPQLLLMGKPCHSDSISVKEKFIHAKNIQMSVTTAPVTSQGIHQTDPLLALSTWWVPVKGKPQTI >KJB31580 pep chromosome:Graimondii2_0_v6:5:57030990:57038741:-1 gene:B456_005G196700 transcript:KJB31580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFAKLDDSPMFRKQIQCMEESAELLRERSLRFFKGCRKYTEGLGEGYDGDIAFASALEMFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVETELNEKLMQFVNRDLLDVKEARKRFDKASLVYDQAREKFLSLRKSTKSNIANVLEEELHNARSTFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVMTYARQSRERSKYDQAALNERMQEYKRQVDRESRLSSNGSNGSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSSLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQLSGQRNSSELGSGLLGRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQAPERCLSASPMGSGHHRSASESSSFESSDFDHTGVEEYASERNLVSAHNERQSRASQHQRSCLKNEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLLCIECSGVHRNLGVHISKVRSLTLDVKVWDPSVISLFQSLGNTFANSVWEELLHSRNAFHVDLTPSFSKSDKPQLLLMGKPCHSDSISVKEKFIHAKYAEKLFVCKLKDEQHPQSVAQQIWEGVRANDKKAVYRYIVNCEVDLNAVYEQSSGSSLTLAKVMLLQEHTNVCNNSSSYITGDSSDRSSASSFNLVGTSEGQTTDDLDGCTLLHLACETGDIGMIELLLQYGANINVMDSRGQTPLHRCILKGKAAVAKLLLTRGADPQVLNRENKTPVELAVESEFDDSEVLALLSDSNG >KJB31583 pep chromosome:Graimondii2_0_v6:5:57032987:57038741:-1 gene:B456_005G196700 transcript:KJB31583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFAKLDDSPMFRKQIQCMEESAELLRERSLRFFKGCRKYTEGLGEGYDGDIAFASALEMFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVETELNEKLMQFVNRDLLDVKEARKRFDKASLVYDQAREKFLSLRKSTKSNIANVLEEELHNARSTFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVMTYARQSRERSKYDQAALNERMQEYKRQVDRESRLSSNGSNGSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSSLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQLSGQRNSSELGSGLLGRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQAPERCLSASPMGSGHHRSASESSSFESSDFDHTGVEEYASERNLVSAHNERQSRASQHQRSCLKNEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLLCIECSGVHRNLGVHISKVRSLTLDVKVWDPSVISLFQSLGNTFANSVWEELLHSRNAFHVDLTPR >KJB28696 pep chromosome:Graimondii2_0_v6:5:6781175:6781768:-1 gene:B456_005G064900 transcript:KJB28696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYESEIVTAIPPAKMFKACILDGDTLIPKIVPQVFKSVEYLEGNGEPGSIRKVTFAQGNQFNYMKQKVEALDTEKFEYIYSVIEGDPLMNMLDKITYEMKLEDSPGGGSICKTSSKYYTIGDIELKEEAIKPGKEKASGVLFKSIEAYLVANPNAY >KJB28899 pep chromosome:Graimondii2_0_v6:5:8352362:8355484:-1 gene:B456_005G074900 transcript:KJB28899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MVVGNMSEGGTTIEYTPTWVVAVVCSVIVFISLVAERILHFFGKYLKKKNQKPLFEALQKIKEELMLLGFISLLLTVFQDRIAKICISKDLAHQWLPCKEKKEVTIEHFQTLFSSFLPNGNGRRLLAEPADSTAYCTTKGKVPLLSTTALHHLHIFIFVLAVVHVTFSALTIVFGSTKIHQWKNWEDYAKDMEDDPEGVVKTKITQVQEHDFIKGRFLGIGKNSEIQGWVYSFFKQFYGSVTKSDYITLRLGFIMTHCRGNPKFNFHNYMMRALEADFKKVVGISWYLWAFVAIFLLLNFVGWHTYFWIAFIPFILLLAVGTKLEHIITQLAQEVAERHMVVTGELVVQPSDNHFWFNRPRLVLRLIHIILFQNSFEMAFFAWIWVQYGFNSCMMGQVRFIIPRLVIGVFVQFVCSYSTLPLYAFVTQMGSSYKKAIFEEHIQEGLVGWARKARKKNKGSGLKRPSNESSQVGPKEESPLVFEMDKVNGKESSSSAV >KJB28898 pep chromosome:Graimondii2_0_v6:5:8352362:8355225:-1 gene:B456_005G074900 transcript:KJB28898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MSIFFLVFQYLKKKNQKPLFEALQKIKEELMLLGFISLLLTVFQDRIAKICISKDLAHQWLPCKEKKEVTIEHFQTLFSSFLPNGNGRRLLAEPADSTAYCTTKGKVPLLSTTALHHLHIFIFVLAVVHVTFSALTIVFGSTKIHQWKNWEDYAKDMEDDPEGVVKTKITQVQEHDFIKGRFLGIGKNSEIQGWVYSFFKQFYGSVTKSDYITLRLGFIMTHCRGNPKFNFHNYMMRALEADFKKVVGISWYLWAFVAIFLLLNFVGWHTYFWIAFIPFILLLAVGTKLEHIITQLAQEVAERHMVVTGELVVQPSDNHFWFNRPRLVLRLIHIILFQNSFEMAFFAWIWVQYGFNSCMMGQVRFIIPRLVIGVFVQFVCSYSTLPLYAFVTQMGSSYKKAIFEEHIQEGLVGWARKARKKNKGSGLKRPSNESSQVGPKEESPLVFEMDKVNGKESSSSAV >KJB28593 pep chromosome:Graimondii2_0_v6:5:6717063:6718192:-1 gene:B456_005G064000 transcript:KJB28593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYESEVITAIPPAKMFKACIVDGDTLIPKIVPQAFKTVEYIEGNGEPGSIKKVTFGEGSQFNYMKEKVEALDKDKLVYRYSVIEGDALMNKLEKITYETKLEASPGGGSICKTSSKYYTIGDFEITEEGIKAGKEKALQIFKAVEAYLLANPDQC >KJB32370 pep chromosome:Graimondii2_0_v6:5:61914099:61915078:1 gene:B456_005G237600 transcript:KJB32370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRYRPPPPPPPQLQPRPLYKQRSWSPDVERDEAWLRRKESHGHSHGHSHGLRRSQSFSNDDLEELKGCIELGFGFEPDSPELDPKLSDTLPALPFYCAVNRQYSCRLSRTSSASSIGSFSDAGSTNTIIDQGDDPQTVKTRLRQWAQVVACSVQQISGNPN >KJB31418 pep chromosome:Graimondii2_0_v6:5:55484757:55485029:-1 gene:B456_005G190400 transcript:KJB31418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMWFFSSRRVLNSSMVVMLIISVLQIWICSDCRAGAIRVFPGNEMRKMIERQELFQTYFNGTSFSFKGSDKGFEDSKRRVPSCPDPLHN >KJB31864 pep chromosome:Graimondii2_0_v6:5:59266937:59269504:-1 gene:B456_005G211700 transcript:KJB31864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRIIKSNQILFKSTKLIPPNSSLLHSCKSPLFFPSNQRRPICAKHRNDNFLSSDQINISNAFISILIKHPFSPNNPELNNLVPLLTHKIVESVLNNLKNWRLAQQFFTWVSSLPGYKHNIYTYNAMASILSRARQNAQLKALALDVVDSNCSMNPGALGFFIRCLGSVGLVDAANNLFDQVKRIGLCVPNSYSYNCLLEALSKSNLIDLVETRLKEMRNLGLEFDKYTLTPVLQVYCIAGQFDKALSVFNEMLERGWVDEHVLSILVVAFSKWGEVDKAIELIDRMEERGMRLNEKTFYVLIHGFVRESRMDKALCLFDKIRKLGFCRSVSLFDVIIGGLCKNNEREKALSLYSEMKELGIDPDFGILTKLISSFSEEEEEMDRLLQQCWEYVGTQPKNLLFNSVLEGLVRNGSIDTACNLIQSVMGNNRNGGSVLVKYFLNEKEVVTLNTNSFTSVINGLLGAGKVDMALSLFREMVQFGCNPTLSHYNDLIDALCKLNRLEESYELLRQMKEMGLEPTHFTHNCIFGCLCRLEDVEGAVELVRKMRFYGHEPWIKYSTLLVKNLCKHEKAVEACKFLTDMAQEGFLPDIITYSAAINGLIKIKSVDKGLELFQEICARGYCPDVISYNILIKALCKAKRVAEAEGLLNDMMLKGLVPSEVTYNYLIDGWCKNGEIDRAMLFLSKMFGKEREANVITYATLVDGLCNFGRPDDALKLWDEMGRKGCIPNRIAYHTLVNGLCKCGRSSAALVHFNEMKEKEMSPDSYIYIALISAFLSDTNLPCVLDMLKEMVDVGNLPDPLDKNFPIIRGAICKLLEDARTSFSIKHLIAEGKIPDVSLLEGAEGRHKPSI >KJB27961 pep chromosome:Graimondii2_0_v6:5:1456219:1459157:-1 gene:B456_005G018700 transcript:KJB27961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNNYGHQHPLLLILDQDQLIHNQSGVTHCSRCGEEVSAPCFCCVEHCGFYLHKACGDAPLELNHPFHPHHPLLLMKNAPYSNGVYACDFCDKEDNKFVYHCSCGLDFHIKCALFTFNIAENNLKELDHVALQDEELEDDSKCFGCWEPLAKYTHFSPDCRFNLHEKCAKLPFKLNHKCHHKHPLTLQFISEQLSCKICQVTVQLKGFVYGCSPCKFVVHIECVSESLALVVEDKRHEHPFTLLLRGSSFICDACGIEGSYASYICCTCNIMVHKKCTSLPRIIKSKWHDHRLFHKYFLHVEDFRVRDCIICHDEVNIEHGSYYCSECDVIFHVKCAMKYKYSYEIVENEDEESPNESVSSITKVLEWNDAGEATVIEHITHIHHLTLSDRVGEYDSKCCDGCLLPISDSFYYCTQCDFFVHKVCVELPKVKQVWHHRCQSSLVLTSNEVFWCVACGYWSKAFAYKCEECKARTCLRCIIALTPGAHTCVGHKHPVFLYIENTGGCVACGCDSNGCLRCKVCDFSLDHKCFSLPITSQHKNDQHLLSLAYGDDNSYSESHFCDVCEESRDPNLWFYHCATCDTSAHVNCVLGEHPFIKLGSIVEVYEDTHEHPLTVVKKVYYYPNCSDCGKPCLDLALECTGCNFIVHAGCLQIRWTKVTVLQNYPFLLRRTQRVQFGVKREKGEAIGLD >KJB27960 pep chromosome:Graimondii2_0_v6:5:1455985:1459514:-1 gene:B456_005G018700 transcript:KJB27960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNNYGHQHPLLLILDQDQLIHNQSGVTHCSRCGEEVSAPCFCCVEHCGFYLHKACGDAPLELNHPFHPHHPLLLMKNAPYSNGVYACDFCDKEDNKFVYHCSCGLDFHIKCALFTFNIAENNLKELDHVALQDEELEDDSKCFGCWEPLAKYTHFSPDCRFNLHEKCAKLPFKLNHKCHHKHPLTLQFISEQLSCKICQVTVQLKGFVYGCSPCKFVVHIECVSESLALVVEDKRHEHPFTLLLRGSSFICDACGIEGSYASYICCTCNIMVHKKCTSLPRIIKSKWHDHRLFHKYFLHVEDFRVRDCIICHDEVNIEHGSYYCSECDVIFHVKCAMKYKYSYEIVENEDEESPNESVSSITKVLEWNDAGEATVIEHITHIHHLTLSDRVGEYDSKCCDGCLLPISDSFYYCTQCDFFVHKVCVELPKVKQVWHHRCQSSLVLTSNEVFWCVACGYWSKAFAYKCEECKARTCLRCIIALTPGAHTCVGHKHPVFLYIENTGGCVACGCDSNGCLRCKVCDFSLDHKCFSLPITSQHKNDQHLLSLAYGDDNSYSESHFCDVCEESRDPNLWFYHCATCDTSAHVNCVLGEHPFIKLGSIVEVYEDTHEHPLTVVKKVYYYPNCSDCGKPCLDLALECTGCNFIVHAGCLQIRWTKGYNLGSKEKKEKQLG >KJB28634 pep chromosome:Graimondii2_0_v6:5:6719932:6720446:-1 gene:B456_005G064100 transcript:KJB28634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKACILDGDNLIPKIDHHAFKSVEYIEGNGEPGSIKKLTFGEKSQFNYMKQKVEALDKENFVYITEGDALMNKLEKITYETKLEASPDGGSICKTTCMYYTIGIGIFKAIEAYLLANPNAY >KJB31673 pep chromosome:Graimondii2_0_v6:5:57701878:57704333:1 gene:B456_005G201000 transcript:KJB31673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPSTSPTSPPPAPPPPSSSSSSSSSSYTLFITIMSKRRTWVFLFVLVYAILLASLWNSLKSILSWYKHQAQPSSSGWPALYASVLLGAVFGLLSMVAALAVAVPATLVTWITVVVLLAFFGKPKRTLVLEGRKITREIVGFVFKILLKEGNLVAAVCAVLGYFALIRKNSDG >KJB30771 pep chromosome:Graimondii2_0_v6:5:45931621:45937647:1 gene:B456_005G159800 transcript:KJB30771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQTWRKAYGALKDTTKVGLAHVNSDYADLDVAVVKATNHVECPPKERHLRKIFIATSAIRPRADVAYCIHALGRRLTKTHNWTVALKTLIVIHRALREGDPTFREELLNFSQRARILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPRPDQGQDKGYSRTRELDSEELLTQLPALQQLLHRLIGCRPEGAAIGNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAVNALDVYKRAGQQANSLSDFYEVCKGLELARNFQFPVLRELQLTYRPEEGPSEDSKLPNDEPEPSAPADDNDAASTVETAPPPPPPTQTNMDTGDLLGLNYSAPDASAIEESNALALAIVPTESGTASTFNSSAGQPVEFDPTGWELALVTTPSTDISAPTDRQLAGGLDSLTLNSLYDEAAYRAQHPAYGTPAPNPFDAQDPFAMSNNVAPPPAVQMAAMAQQQNNPFGAYQPAYQQPQQQQQQLMMSPSNPFGDTGFGAFPVNQVAPVGQPHANNPFGSTGLL >KJB30769 pep chromosome:Graimondii2_0_v6:5:45932137:45937327:1 gene:B456_005G159800 transcript:KJB30769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLQKKGILEVKIFIATSAIRPRADVAYCIHALGRRLTKTHNWTVALKTLIVIHRALREGDPTFREELLNFSQRARILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPRPDQGQDKGYSRTRELDSEELLTQLPALQQLLHRLIGCRPEGAAIGNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAVNALDVYKRAGQQANSLSDFYEVCKGLELARNFQFPVLREPPQSFLATMEEYIREAPRVVSVPTEPLLQLTYRPEEGPSEDSKLPNDEPEPSAPADDNDAASTVETAPPPPPPTQTNMDTGDLLGLNYSAPDASAIEESNALALAIVPTESGTASTFNSSAGQPVEFDPTGWELALVTTPSTDISAPTDRQLAGGLDSLTLNSLYDEAAYRAQHPAYGTPAPNPFDAQDPFAMSNNVAPPPAVQMAAMAQQQNNPFGAYQPAYQQPQQQQQQLMMSPSNPFGDTGFGAFPVNQVAPVGQPHANNPFGSTGLL >KJB30770 pep chromosome:Graimondii2_0_v6:5:45931621:45937647:1 gene:B456_005G159800 transcript:KJB30770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQTWRKAYGALKDTTKVGLAHVNSDYADLDVAVVKATNHVECPPKERHLRKIFIATSAIRPRADVAYCIHALGRRLTKTHNWTVALKTLIVIHRALREGDPTFREELLNFSQRARILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPRPDQGQDKGYSRTRELDSEELLTQLPALQQLLHRLIGCRPEGAAIGNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAVNALDVYKRAGQQANSLSDFYEVCKGLELARNFQFPVLREPPQSFLATMEEYIREAPRVVSVPTEPLLQLTYRPEEGPSEDSKLPNDEPEPSAPADDNDAASTVETAPPPPPPTQTNMDTGDLLVMFLLEFHNGILFVYFIFFIVYWWVQGLNYSAPDASAIEESNALALAIVPTESGTASTFNSSAGQPVEFDPTGWELALVTTPSTDISAPTDRQLAGGLDSLTLNSLYDEAAYRAQHPAYGTPAPNPFDAQDPFAMSNNVAPPPAVQMAAMAQQQNNPFGAYQPAYQQPQQQQQQLMMSPSNPFGDTGFGAFPVNQVAPVGQPHANNPFGSTGLL >KJB30768 pep chromosome:Graimondii2_0_v6:5:45931582:45937647:1 gene:B456_005G159800 transcript:KJB30768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQTWRKAYGALKDTTKVGLAHVNSDYADLDVAVVKATNHVECPPKERHLRKIFIATSAIRPRADVAYCIHALGRRLTKTHNWTVALKTLIVIHRALREGDPTFREELLNFSQRARILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPRPDQGQDKGYSRTRELDSEELLTQLPALQQLLHRLIGCRPEGAAIGNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAVNALDVYKRAGQQANSLSDFYEVCKGLELARNFQFPVLREPPQSFLATMEEYIREAPRVVSVPTEPLLQLTYRPEEGPSEDSKLPNDEPEPSAPADDNDAASTVETAPPPPPPTQTNMDTGDLLGLNYSAPDASAIEESNALALAIVPTESGTASTFNSSAGQPVEFDPTGWELALVTTPSTDISAPTDRQLAGGLDSLTLNSLYDEAAYRAQHPAYGTPAPNPFDAQDPFAMSNNVAPPPAVQMAAMAQQQNNPFGAYQPAYQQPQQQQQQLMMSPSNPFGDTGFGAFPVNQVAPVGQPHANNPFGSTGLL >KJB29146 pep chromosome:Graimondii2_0_v6:5:11185783:11186800:1 gene:B456_005G086900 transcript:KJB29146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHRYHRAARSESETESESDTETMRCISCKEMYAARDAGRARSSLLDHADRGASTSPSPLFPDVFLVASDDGLPPVPVPAHTAVLVNRSPVFKAMLEMRLSYDALRAFVNYLYTAEAWLDEQRACEKYQVKHLKGFYKKFLVSKLNWDNSLMTYVFAHQHGAKLVLDAALCLITDNMDKLTKKEEYMKLVGKDPRLVMKIYEAYLSKQVNTAVLKDTSIKS >KJB32560 pep chromosome:Graimondii2_0_v6:5:62682185:62684907:-1 gene:B456_005G246900 transcript:KJB32560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSVICSFVIVLALFFNSLLLCYGGKTSIYVRKEEKTVDMPLHSDVFQAPLGYNAPQQVHITQGDHVGKAVIVSWVTQDEPGSNTVVYWSEGSKEKMKAVGKISTYKYYNYTSGFIHHCTVKNLEYNTKYYYVVGEGTSMRKFWFTTPPEVGPDVPYTFGLIGDLGQTFDSNVTLTHYEKNPKNGQTMLFVGDLSYADNHPNHDNVRWDTWGRFVERSAAYQPWIWTAGNHEIDFAPEIGETKPFKPYTHRYHVPYRASQSTAPFWYSIKRASAYIIVLSSYSAYGKYTPQNQWIEQELPKVNRTETPWLIVLMHSPWYHSYNYHYMEAETMRVMYEPLLVQYKVDVVFSGHVHAYERSERISNIAYDLVNGKCSPVKDLSAPIYITIGDGGNIEGIANNMTVPQPEYSAYREASFGHAIFDIKNRTHAYYSWHRNHDGYAVQGDSTWVFNRFWHPVDESQ >KJB32559 pep chromosome:Graimondii2_0_v6:5:62682792:62684875:-1 gene:B456_005G246900 transcript:KJB32559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSVICSFVIVLALFFNSLLLCYGGKTSIYVRKEEKTVDMPLHSDVFQAPLGYNAPQQVHITQGDHVGKAVIVSWVTQDEPGSNTVVYWSEGSKEKMKAVGKISTYKYYNYTSGFIHHCTVKNLEYNTKYYYVVGEGTSMRKFWFTTPPEVGPDVPYTFGLIGDLGQTFDSNVTLTHYEKNPKNGQTMLFVGDLSYADNHPNHDNVRWDTWGRFVERSAAYQPWIWTAGNHEIDFAPEIGETKPFKPYTHRYHVPYRASQSTAPFWYSIKRASAYIIVLSSYSAYGKYTPQNQWIEQELPKVNRTETPWLIVLMHSPWYHSYNYHYMEAETMRVMYEPLLVQYKVDVVFSGHVHAYERSVSSLSNRLLTFKSFKLIV >KJB28549 pep chromosome:Graimondii2_0_v6:5:5538746:5539419:1 gene:B456_005G054800 transcript:KJB28549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDDLQRGKPTNHKVFGEDIDVLAGDALLDFAFEHVAVSTVGVALGLVTGQVVDINSEGLTDVGLDHLEFIHVHKTAALLEAAVVLEAILRGGCDEDEDVERLWKFARYIGLLFQVVDVILDVTKSSKELGKTAGKDLLADKVTYPKLIGIEKSKEFAEKLRSDSLELLQGFDSEKAAPLISLANYIAYRQN >KJB31181 pep chromosome:Graimondii2_0_v6:5:53322923:53326864:-1 gene:B456_005G182200 transcript:KJB31181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREELVDMAVKFLLHPTVGRSPVSQRRLFLEKRGLSSEEIDEAFRRVSDTSSSVTFRQDINSSQDVQSKPLHSVQLQGTAQSSQPLVASILTASRPSWFSWSYAIFSIVLLIFSGVGTSMLLKNFFLPRLKSWICKVVFEEDDDKGRKSKLCLSKEAIESAKAAAAASVNAAKASLEILQSKKDEERHFDDLLRRLGSHVAELRSMSITVQRLEGDGNASHKNPEQYLQHTSQYGRNSNLSKMSVVQRGYPGPTKFKPSVRPSSAPPRPLAGQYPKSYIEVLAKVQRGEKPPGIKDTDDSPPNPHITPRLKPGEFAQPQNSFGYSRDRGFSQPNGENALPWWKRNNTNIRGVEAGNGSYGLRTDK >KJB31183 pep chromosome:Graimondii2_0_v6:5:53322923:53327312:-1 gene:B456_005G182200 transcript:KJB31183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREELVDMAVKFLLHPTVGRSPVSQRRLFLEKRGLSSEEIDEAFRRVSDTSSSVTFRQDINSSQDVQSKPLHSVQLQGTAQSSQPLVASILTASRPSWFSWSYAIFSIVLLIFSGVGTSMLLKNFFLPRLKSWICKVVFEEDDDKGRKSKLCLSKEAIESAKAAAAASVNAAKASLEILQSKKDEERHFDDLLRRLGSHVAELRSMSITVQRLEGDGNASHKNPEQYLQHTSQYGRNSNLSKMSVVQRGYPGPTKFKPSGISNFDSLVRPSSAPPRPLAGQYPKSYIEVLAKVQRGEKPPGIKDTDDSPPNPHITPRLKPGEFAQPQNSFGYSRDRGFSQPNGENALPWWKRNNTNIRGVEAGNGSYGLRTDK >KJB31182 pep chromosome:Graimondii2_0_v6:5:53323317:53326804:-1 gene:B456_005G182200 transcript:KJB31182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREELVDMAVKFLLHPTVGRSPVSQRRLFLEKRGLSSEEIDEAFRRVSDTSSSVTFRQDINSSQDVQSKPLHSVQLQGTAQSSQPLVASILTASRPSWFSWSYAIFSIVLLIFSGVGTSMLLKNFFLPRLKSWICKVVFEEDDDKGRKSKLCLSKEAIESAKAAAAASVNAAKASLEILQSKKDEERHFDDLLRRLGSHVAELRSMSITVQRLEGDGNASHKNPEQYLQHTSQYGRNSNLSKMSVVQRGYPGPTKFKPSGISNFDSLVRPSSAPPRPLAGQYPKSYIEVLAKVQRGEKPPGIKDTDDSPPNPHITPRLKPGEFAQPQNSFGYSRDRGFSQPNGENALPWWKRNNTNIRGVEAGNGSYGLRTDK >KJB29479 pep chromosome:Graimondii2_0_v6:5:17574194:17574518:1 gene:B456_005G102700 transcript:KJB29479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKSCPVQPQAWSKQQLRKLFLDCDGDGDGLLTKEEIKKAFRNLGAVIPGYRAWEGLKRADANKDGCVSHDELDALIDYADKLQYTSGTNY >KJB30633 pep chromosome:Graimondii2_0_v6:5:42500451:42503433:-1 gene:B456_005G152600 transcript:KJB30633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSIGTATEGMQLCIFDLRRGQHEGQELDKILYFFPPDLPFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFYEAEPDIWMVMIVEKSKEPEAIWRIDALREVLKEIHSLFMMFHGSIRALLDKEPGGGLTRAHLYPFIMDYLRDFLVGKKLLLPSFRDCLKERRTVQMLTVGREAAIEVQTLVRLLESSAGNAPCSSLILFQDLLVSTTLSPEDTVNLFTYAVLRLTPLALSSGARSWSYLRKGNTSSHVTAGSTLAPSGSVSEQFYGSQDTSPAGDNRYRVIRPLQHDKWSKGKDGFLITDIWGVDVGGSDVTTPIVWLQHTEERMYLCAYQYKSLTLILLIPLSSILNGEQGVSTVKQQLLENVGSYMCYISYLA >KJB30632 pep chromosome:Graimondii2_0_v6:5:42497890:42503652:-1 gene:B456_005G152600 transcript:KJB30632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSIGTATEGMQLCIFDLRRGQHEGQELDKILYFFPPDLPFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFYEAEPDIWMVMIVEKSKEPEAIWRIDALREVLKEIHSLFMMFHGSIRALLDKEPGGGLTRAHLYPFIMDYLRACQKLSPLDECCWDFLVGKKLLLPSFRDCLKERRTVQMLTVGREAAIEVQTLVRLLESSAGNAPCSSLILFQDLLVSTTLSPEDTVNLFTYAVLRLTPLALSSGARSWSYLRKGNTSSHVTAGSTLAPSGSVSEQFYGSQDTSPAGDNRYRVIRPLQHDKWSKGKDGFLITDIWGVDVGGSDVTTPIVWLQHTEERMYLCAYQYKSLTLILLIPLSSILNGEQGVSTVKQQLLENASLKILKVEEKLSKGWGGENAYHVSGYRYLIVDGNRDISRASPPAKVTTLTKESLLALNRLREEVDAEKSRSKWDNPGRDKDLEVSIRAKNNAWAIARITGGKELYMVLEKANETLLYASDAVEKFSNRYCSGAFSLD >KJB30631 pep chromosome:Graimondii2_0_v6:5:42497890:42503660:-1 gene:B456_005G152600 transcript:KJB30631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSIGTATEGMQLCIFDLRRGQHEGQELDKILYFFPPDLPFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFYEAEPDIWMVMIVEKSKEPEAIWRIDALREVLKEIHSLFMMFHGSIRALLDKEPGGGLTRAHLYPFIMDYLRDFLVGKKLLLPSFRDCLKERRTVQMLTVGREAAIEVQTLVRLLESSAGNAPCSSLILFQDLLVSTTLSPEDTVNLFTYAVLRLTPLALSSGARSWSYLRKGNTSSHVTAGSTLAPSGSVSEQFYGSQDTSPAGDNRYRVIRPLQHDKWSKGKDGFLITDIWGVDVGGSDVTTPIVWLQHTEERMYLCAYQYKSLTLILLIPLSSILNGEQGVSTVKQQLLENASLKILKVEEKLSKGWGGENAYHVSGYRYLIVDGNRDISRASPPAKVTTLTKESLLALNRLREEVDAEKSRSKWDNPGRDKDLEVSIRAKNNAWAIARITGGKELYMVLEKANETLLYASDAVEKFSNRYCSGAFSLD >KJB30630 pep chromosome:Graimondii2_0_v6:5:42497712:42503724:-1 gene:B456_005G152600 transcript:KJB30630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSIGTATEGMQLCIFDLRRGQHEGQELDKILYFFPPDLPFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFYEAEPDIWMVMIVEKSKEPEAIWRIDALREVLKEIHSLFMMFHGSIRALLDKEPGGGLTRAHLYPFIMDYLRDFLVGKKLLLPSFRDCLKERRTVQMLTVGREAAIEVQTLVRLLESSAGNAPCSSLILFQDLLVSTTLSPEDTVNLFTYAVLRLTPLALSSGARSWSYLRKGNTSSHVTAGSTLAPSGSVSEQFYGSQDTSPAGDNRYRVIRPLQHDKWSKGKDGFLITDIWGVDVGGSDVTTPIVWLQHTEERMYLCAYQYKSLTLILLIPLSSILNGEQGVSTVKQQLLENASLKILKVEEKLSKGWGGENAYHVSGYRYLIVDGNRDISRASPPAKVTTLTKESLLALNRLREEVDAEKSRSKWDNPGRDKDLEVSIRAKNNAWAIARITGGKELYMVLEKANETLLYASDAVEKFSNRYCSGAFSLD >KJB27904 pep chromosome:Graimondii2_0_v6:5:1145657:1155450:1 gene:B456_005G015700 transcript:KJB27904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPMKQQNIGKQSEVSDTPSSNLWVGNLSGETVDSDLMDLFGKFGELDSVATYSSRSFAFVFFKRVEDAKAAKEALRGATLHGNQIKIEFARPAKPCKSLWVGGISQTISKEELEEEFSKFGKIEDFKFLRDRNTAFVEYFRMEDASQAMRSMNGKRIGGAQIRVDFLRSHPSRREQWPNSHDLRDGPFTGRMGPSDSHLAKRPHSQIVGRKGDSQPTNVLWVGYPPSVQIDEQMLHNAMILFGEIENIKSFPSRHYAFVEFRSVEEARRAKEGLQGRLFNDPRITIMFSSSELAPGKDYSGLYSGIKGPGLAMLLSDHPFRSSQMDMFGQNHTLPPNTVTGPLATSGILGSNVPVRPFNHQGVRPPMRQTSGSWDVYDVNQFQRDAKRLRIEASLPIDDASFPLRKMDDLGPGSDHFGPVIGGGASSPFLNVQGKGRLSPVPGKLPAGGPGRAHPDNDYIWRGIIAKGGTPVCHARCVPIGKGLETELPEVVNCSARTGLDMLAKHYCEAIGFDIVFFLPDSEDDFASYTEFLRYLGSKSRAGVAKFDDGTTLFLVPPSEFLTKVLKVTGPERLYGVILKLPPQVPSTAPLQSHPPSLSQHDYSLPHLKEEQALQREYGRVSHEESIPSARPLAQTTVQNQPPSNAAAIPQTGVSLTPDLIATLASFLPTVSQSTAVGGVQPPLVTSTTQPSFPQGIAPKGAPAQNWNQEQQAYDPAASSFQQFNPPAQLPPAQHYSSISNTPIHSAQVAHGSTQYLDSAAGLPQQTASSSRPLTNFSIPSQREHVSAPFSQQYHPEAPSNTQNGYGMMHGADTSGLYGAPAFQQPSNPDVLSNQVNGANVFQPQNLMQGDKQNLELPSHGQQLQSVVPGAGQGTSDVEVDKNQRYQSTLQFAASLLLQIQQQQQTNTPGGQGTGSQL >KJB27905 pep chromosome:Graimondii2_0_v6:5:1145657:1155479:1 gene:B456_005G015700 transcript:KJB27905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPMKQQNIGKQSEVSDTPSSNLWVGNLSGETVDSDLMDLFGKFGELDSVATYSSRSFAFVFFKRVEDAKAAKEALRGATLHGNQIKIEFARPAKPCKSLWVGGISQTISKEELEEEFSKFGKIEDFKFLRDRNTAFVEYFRMEDASQAMRSMNGKRIGGAQIRVDFLRSHPSRREQWPNSHDLRDGPFTGRMGPSDSHLAKRPHSQIVGRKGDSQPTNVLWVGYPPSVQIDEQMLHNAMILFGEIENIKSFPSRHYAFVEFRSVEEARRAKEGLQGRLFNDPRITIMFSSSELAPGKDYSGLYSGIKGPGLAMLLSDHPFRSSQMDMFGQNHTLPPNTVTGPLATSGILGSNVPVRPFNHQGVRPPMRQTSGSWDVYDVNQFQRDAKRLRIEASLPIDDASFPLRKMDDLGPGSDHFGPVIGGGASSPFLNVQGKGRLSPVPGKLPAGGPGRAHPDNDYIWRGIIAKGGTPVCHARCVPIGKGLETELPEVVNCSARTGLDMLAKHYCEAIGFDIVFFLPDSEDDFASYTEFLRYLGSKSRAGVAKFDDGTTLFLVPPSEFLTKVLKVTGPERLYGVILKLPPQEEQALQREYGRVSHEESIPSARPLAQTTVQNQPPSNAAAIPQTGVSLTPDLIATLASFLPTVSQSTAVGGVQPPLVTSTTQPSFPQGIAPKGAPAQNWNQEQQAYDPAASSFQQFNPPAQLPPAQHYSSISNTPIHSAQVAHGSTQYLDSAAGLPQQTASSSRPLTNFSIPSQREHVSAPFSQQYHPEAPSNTQNGYGMMHGADTSGLYGAPAFQQPSNPDVLSNQVNGANVFQPQNLMQGDKQNLELPSHGQQLQSVVPGAGQGTSDVEVDKNQRYQSTLQFAASLLLQIQQQQQTNTPGGQGTGSQL >KJB27903 pep chromosome:Graimondii2_0_v6:5:1145657:1155389:1 gene:B456_005G015700 transcript:KJB27903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPMKQQNIGKQSEVSDTPSSNLWVGNLSGETVDSDLMDLFGKFGELDSVATYSSRSFAFVFFKRVEDAKAAKEALRGATLHGNQIKIEFARPAKPCKSLWVGGISQTISKEELEEEFSKFGKIEDFKFLRDRNTAFVEYFRMEDASQAMRSMNGKRIGGAQIRVDFLRSHPSRREQWPNSHDLRDGPFTGRMGPSDSHLAKRPHSQIVGRKGDSQPTNVLWVGYPPSVQIDEQMLHNAMILFGEIENIKSFPSRHYAFVEFRSVEEARRAKEGLQGRLFNDPRITIMFSSSELAPGKDYSGLYSGIKGPGLAMLLSDHPFRSSQMDMFGQNHTLPPNTVTGPLATSGILGSNVPVRPFNHQGSYDPLLSGPEYNDLSSHHNMLDADLKNLTGPNWRKSSPSLPSAQGVRPPMRQTSGSWDVYDVNQFQRDAKRLRIEASLPIDDASFPLRKMDDLGPGSDHFGPVIGGGASSPFLNVQGKGRLSPVPGKLPAGGPGRAHPDNDYIWRGIIAKGGTPVCHARCVPIGKGLETELPEVVNCSARTGLDMLAKHYCEAIGFDIVFFLPDSEDDFASYTEFLRYLGSKSRAGVAKFDDGTTLFLVPPSEFLTKVLKVTGPERLYGVILKLPPQVPSTAPLQSHPPSLSQHDYSLPHLKEEQALQREYGRVSHEESIPSARPLAQTTVQNQPPSNAAAIPQTGVSLTPDLIATLASFLPTVSQSTAVGGVQPPLVTSTTQPSFPQGIAPKGAPAQNWNQEQQAYDPAASSFQQFNPPAQLPPAQHYSSISNTPIHSAQVAHGSTQYLDSAAGLPQQTASSSRPLTNFSIPSQREHVSAPFSQQYHPEAPSNTQNGYGMMHGADTSGLYGAPAFQQPSNPDVLSNQVNGANVFQPQNLMQGDKQNLELPSHGQQLQSVVPGAGQGTSDVEVDKNQRYQSTLQFAASLLLQIQQQQQTNTPGGQGTGSQL >KJB32731 pep chromosome:Graimondii2_0_v6:5:63421865:63425041:-1 gene:B456_005G258200 transcript:KJB32731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSKLDDLPAVSLCRERCSFLDEAIQQRFALAEAHVAYFASLKLFGQSLNSFIEYDPEASLEAPPPPSPPSDKDKAVDQVDGGSSSPKKNVVSHRHSHSNSGSHLQFHSDSDEDDSGASLHHSGHSSPLHDGGGGGHIEYIHQNYPNYGGFESGSFPNGFMHMNFMKKQPMPSIVYEQRPMNPETVYMGESSSPSSYYPYFNDNPSSYLNPGYRNYGGFSNYSSYPAPGYDSSLQQPSTAAVGAVASSSKPPPPPPSPPRASAWDFLNPFEIYENYNRPYTPSRDSREVREEEGIPDLEDEDYLHEAVKEVHGGGGGSGGSGGGSGGGYSKSPVEAEDGKVASNEAEAARQSDGMENERVEYEVHVVDKKVVDNERAEECGNGSGTVPRNAFEVAKEIEVQFVRASESANEIAKLLEVGTLHYQHKHGSKMLLLVQPSLTDNTDPALLDFDEELARKPKSLSSTLQKLYLWEKKLYNEVKAEEKIRVAYDKKSRKLKRLDERGAEATKVDSTRNIIRSLSTKLRIAIQVVDKISVTINKIRDDELWPLLNELIEGMWKCMLKCHRNQYEVIREAKALGSIGSRKKLSDDHLTATLQLEHELISWALRFSRWIGAQKGYVRALNNWLLKCLYYEPEVTDDGIAPFSPSRVGAPLIFVICNQWSQTMDRISEREVVNSMRIFAMSLFQLWEHDKSEMHRRMMTNKDLERRGMNLDREDQKLQKEIQALDKQMVLVSGDGNSLSVSGHVVYQSETSSGNLQGSLQRIFEAMEKFSSESSKAYEELLQRVEERTGQERERVS >KJB29952 pep chromosome:Graimondii2_0_v6:5:27931971:27932297:1 gene:B456_005G125100 transcript:KJB29952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEVRKDTLVGEEEKWKALEGSLIKINCDASFDRHGHNAALGVVIRKGRGNILVSTTRLNTEVGSTFDAEALACLDSVLTGVSLGLTEIIVEGDSRSIISKCKSGFLSF >KJB32579 pep chromosome:Graimondii2_0_v6:5:62761667:62764896:-1 gene:B456_005G247800 transcript:KJB32579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIRKQATRLREQVARQQQAVFKQFGAGGYGGSDNVITDEVELQQHQKLEKLYVSTRAGKHFQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGAENTCTSGNTLSKAALGYGRARAQMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQARVRETLGNPEIVMKLESAETKLQDLKSNMAILGKEAVAAMTAVEAQQQRLTLQRLISMVEAERTYHQRVLQILDQLDAEMRSERQRIEAPPPPSMETMPPPPTYEEVNGVYASQTNNGSTDSMGYFLGEVMHTYNGESDEELSLSVGDFVVVRKVTNNGWAEGECKGKAGWFPFGYIERRERVLASKVAEVF >KJB32814 pep chromosome:Graimondii2_0_v6:5:63692090:63694079:-1 gene:B456_005G263000 transcript:KJB32814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCHFVRILVGNLALKFPSTESSSSCYCKIKLKNFPHQVATIPQQDIETNSCSSQKPLAACFSLSKSQIDNIVSKWGSSYKVSIEVYAGGSGSGSTCGLSSGKLLGKVSVPLDLRGAESRPCVAHNGWVTIGKNGSSLQLCLTVRTERDPRFVFQFGGEPECSPQVFQVQGSVKQAVFTCKFGFRNTSDRNLGSRSSLSESKAPRKWLRSLKTDKDQPSKERKGWSITIHNLTGSPVAMASMVTPFVPSPGSDRVTKSNPGAWLILRPGCGTWKPWGRLEAWREPSFTDAIGYRFDLFHDDITTAASVTSTVASSTINTKLGGKFTVDMTSLSTPLISPQSSGDFGSGSRSGSRPGSGSGSDFGFSPQNQFRGGFVMSSTVEGTGKCSKPEVEVGVHHVTCTEDAAAFVALAAAMDLSMDACRSFNQKLRKELRQQQTQNFVV >KJB28862 pep chromosome:Graimondii2_0_v6:5:8027688:8030621:1 gene:B456_005G073200 transcript:KJB28862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVTYVTGDGPDQPQPRMAFTGDALLIRGCGRTDFQIFTLPKETFLYPAHDYKGFSVTTLGEEMLYNPCLTKDEETFKNIMENLNLAYPKMIDVAVPANMVCGLQDLEPKAN >KJB28863 pep chromosome:Graimondii2_0_v6:5:8027582:8030657:1 gene:B456_005G073200 transcript:KJB28863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVTYVTGDGPDQPQPRMAFTGDALLIRGCGRTDFQGGSSQQLYKSVHSQIFTLPKETFLYPAHDYKGFSVTTLGEEMLYNPCLTKDEETFKNIMENLNLAYPKMIDVAVPANMVCGLQDLEPKAN >KJB28861 pep chromosome:Graimondii2_0_v6:5:8028577:8030621:1 gene:B456_005G073200 transcript:KJB28861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVTYVTGDGPDQPQPRMAFTGDALLIRGCGRTDFQGGSSQQLYKSVHSQIFTLPKETFLYPAHDYKGFSVTTLGEEMLYNPCLTKDEETFKNIMENLNLAYPKMIDVAVPANMVCGLQDLEPKAN >KJB28756 pep chromosome:Graimondii2_0_v6:5:7233983:7234728:-1 gene:B456_005G067700 transcript:KJB28756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVFFLLHTQIFFSYLNVGLNRAALMIMKNSVMIFFALVLLLASPEADGQRPENRLEPKLLSNDQLATSSRLGRKADVGSAATASLVAAPDQEDNDNNPGYEHYGSNTDPNNLVHHSYINGTNPYPKHKKSP >KJB32073 pep chromosome:Graimondii2_0_v6:5:60403198:60407125:-1 gene:B456_005G221500 transcript:KJB32073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTADQPLTLDSLPSVDLTTLTQSELLSLSLCSPTAFDLHCSDNLVIPSIDRSSFNESAGSRRQTFARLSPNNHHSSHHHPLRHRIPGLLPAPKPAPPFPPLHDPEALENRSIISSLKVSLKSHPEFQHLDFTTPPSSPRDAIVSYGMRDTMVDFEIKDAMISLGKRKRGTKPNVQAVNSEEERERGLEIVNNNGVAVDLVALGGLEDPYAEELKRRTDGMAGSEEALLGFMRDLGGQWCSRRRKRKIVDASIFGDVLPIGWKLLLGLKRKEGRASVYCRRYISPGGRHFISCKEVAAYLQSCFGLHDAPLTMDNDGDIAQHIYQMASENEGAIQKGDDQRRTERGKEVNLLGMDNLAEVQIHDLFECHKCNMTFDEKDAYLQHLLSFHQRTTRRYRLGSSVGDGVILRDGKFECQFCHKVFHERRRYNGHVGIHVRNFVRGIEDSPGLLTLPRRTEIATKQELPARISKMDALIEIAQNSILETSTAVPRYELNDGSSPDKLNAVPIPDIPASTSDHEMNSDSPSSDSGTEDEVTDRTLDQDLCQKTSEPMVIDEKMKKIDEAISVANMDSLFDATISDCMDEQNGSTSETVVRKDGLTIHAEEADKSGIEQHRGPERNLLVPSTDQGVCDVENEVNLVGAGTKENPKPEEVDISTNSELVVGLGNSCEPAKDVSPETIRQPSGENVLQAEVPESSMPLLLPVDGPLVANSISDKGEDGLCSINERLDNITGFDEPKLVETEAINLSIGGVQVSSSLPEVPVELANIEGDYTSSVQFGSEVLLNMAGKPQLTTLCVWCGTEFNQEAVDSEIQSDSVGYMCPTCKAKFLGNSLQ >KJB32072 pep chromosome:Graimondii2_0_v6:5:60403669:60406982:-1 gene:B456_005G221500 transcript:KJB32072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTADQPLTLDSLPSVDLTTLTQSELLSLSLCSPTAFDLHCSDNLVIPSIDRSSFNESAGSRRQTFARLSPNNHHSSHHHPLRHRIPGLLPAPKPAPPFPPLHDPEALENRSIISSLKVSLKSHPEFQHLDFTTPPSSPRDAIVSYGMRDTMVDFEIKDAMISLGKRKRGTKPNVQAVNSEEERERGLEIVNNNGVAVDLVALGGLEDPYAEELKRRTDGMAGSEEALLGFMRDLGGQWCSRRRKRKIVDASIFGDVLPIGWKLLLGLKRKEGRASVYCRRYISPGGRHFISCKEVAAYLQSCFGLHDAPLTMDNDGDIAQHIYQMASENEGAIQKGDDQRRTERGKEVNLLGMDNLAEVQIHDLFECHKCNMTFDEKDAYLQHLLSFHQRTTRRYRLGSSVGDGVILRDGKFECQFCHKVFHERRRYNGHVGIHVRNFVRGIEDSPGLLTLPRRTEIATKQELPARISKMDALIEIAQNSILETSTAVPRYELNDGSSPDKLNAVPIPDIPASTSDHEMNSDSPSSDSGTEDEVTDRTLDQDLCQKTSEPMVIDEKMKKIDEAISVANMDSLFDATISDCMDEQNGSTSETVVRKDGLTIHAEEADKSGIEQHRGPERNLLVPSTDQGVCDVENEVNLVGAGTKENPKPEEVDISTNSELVVGLGNSCEPAKDVSPETIRQPSGENVLQAEVPESSMPLLLPVDGPLVANSISDKQGEDGLCSINERLDNITGFDEPKLVETEAINLSIGGVQVSSSLPEVPVELANIEGDYTSSVQFGSEVLLNMAGKPQLTTLCVWCGTEFNQEAVDSEIQSDSVGYMCPTCKAKFLGNSLQ >KJB32074 pep chromosome:Graimondii2_0_v6:5:60404307:60407061:-1 gene:B456_005G221500 transcript:KJB32074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTADQPLTLDSLPSVDLTTLTQSELLSLSLCSPTAFDLHCSDNLVIPSIDRSSFNESAGSRRQTFARLSPNNHHSSHHHPLRHRIPGLLPAPKPAPPFPPLHDPEALENRSIISSLKVSLKSHPEFQHLDFTTPPSSPRDAIVSYGMRDTMVDFEIKDAMISLGKRKRGTKPNVQAVNSEEERERGLEIVNNNGVAVDLVALGGLEDPYAEELKRRTDGMAGSEEALLGFMRDLGGQWCSRRRKRKIVDASIFGDVLPIGWKLLLGLKRKEGRASVYCRRYISPGGRHFISCKEVAAYLQSCFGLHDAPLTMDNDGDIAQHIYQMASENEGAIQKGDDQRRTERGKEVNLLGMDNLAEVQIHDLFECHKCNMTFDEKDAYLQHLLSFHQRTTRRYRLGSSVGDGVILRDGKFECQFCHKVFHERRRYNGHVGIHVRNFVRGIEDSPGLLTLPRRTEIATKQELPARISKMDALIEIAQNSILETSTAVPRYELNDGSSPDKLNAVPIPDIPASTSDHEMNSDSPSSDSGTEDEVTDRTLDQDLCQKTSEPMVIDEKMKKIDEAISVANMDSLFDATISDCMDEQNGSTSETVVRKDGLTIHAEEADKSGIEQHRGPERNLLVPSTDQGVCDVENEVNLVGAGTKENPKPEEVDISTNSELVVGLGNSCEPAKDVSPETIRQPSGENVLQAEVPESSMPLLLPVDGPLVANSISDKV >KJB32454 pep chromosome:Graimondii2_0_v6:5:63265532:63266674:-1 gene:B456_005G255400 transcript:KJB32454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKASPKREFKQSKSRNQKSLTRSSNNSYLLEAIANASNNRGGGVVSHIHENGTLRVKIVVKKQDLRQMLGIINGGTGKNNNNYYYQSSPPSISVEERLNLLRKKQLLRSNAIKKKSRHCWSPELQSIPEE >KJB32760 pep chromosome:Graimondii2_0_v6:5:63546598:63550595:-1 gene:B456_005G260100 transcript:KJB32760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATARGRPTESFHPPVAQQSRDSDASFASSRPSSVAIGRAVSAVEPYAERAFQVATTRSINAFFSTHSIPPISTKPSQAPSAKELLNILTSLLSLLGFPCSKVEDELGFLLKSLNCPFKFNKSTLRAPNTHHNWPNWLGIVHWLVQLAIYNEHLCQNSISSFAQNNSMTEYALECYKRFICGEDDLVEVLDKEFVQKLEKERENVVENCRALEKSVGELEAKVEGLKTGPTEKEMLEKEKNVLEEDVKKFHAMIAEFTGRVAAMEKVLEEKQKELNVKEEERNRICEENEELKKRVELQTFNARDVERMRREMQAVEKDIVEAEMARNSWEDKSWDLDSAIGQKFKELTALAMQCNQAIRRLKLGNDFQYELNAKGSTPAEVMGIDYKATLKPELESYADKIRESSKKKFEDMIVLQQQSKEMASKIEDKKNCIAELQSRIDEVEAQINLFKKEIQECDHRSTAEAKKMVEDVQFETHKLDITEREAAEILKASQLRLQEAIQQSEEEIQIHARQLFMVVDSVSKYKEHVESKISEMRVSLSETAAAISDAYKGSLPAQFPGSANAN >KJB30850 pep chromosome:Graimondii2_0_v6:5:47555013:47556674:1 gene:B456_005G163700 transcript:KJB30850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVSLAVAPRSEPDHTVAPGGKPEHQHIRYQEQQPVVGGVMGSLRLIELQLVAFIMVFSISGLVPLLDLVFPAFTSAYLIALSRFAFPSRGSRVSSSGSEEIFRGSRLFNLYVILGTTIGLLLPLAYVLGGFARADDQAVRSATPHLFLLSFQILTENVISGLSLFSPPVRALVPLLYTVRRVFVILDWVHDTWVNKTLPYNAPSKDIAWDWFGKGLSAANLMYFAINLLCFLIPRFLPRAFERYFRETEEFHQKMSEDKRGKSNTPPNKSRPTDRKMD >KJB27844 pep chromosome:Graimondii2_0_v6:5:926332:932420:1 gene:B456_005G012700 transcript:KJB27844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRQDTGFSHVVSWCKKITGAKRRKKIYPSLRPKTEYLFDLRDSVKEEMIKELMVGTKRTKECREWLIKLRKFEANAKELLQFHEKISDNLRFSKKQPHADLMNVLDEITRLLESSPLQRETRTRSSSMIEGKSFGLAERTSNAYLRSKTEDPVDTYLEAARSRAMGKEVEKGKEILLIKDGMKLLSSSRKAEGDQKPRNMTKKKGSFFSLPQVETKDGSTTAVGSMIDMEAPLSGNSGAFWSESSLITSSSLPSDGVDLKTKPEEVSSSQTNILPTAEQETKLISFEEEEAEEETKPSQRPEISKTALNEIEEISSSHETESETSLPAASQIPESLKTVDQDDVWESIDLEAVGIPNPSSENGSAIILATRNLEVCDNMRFINMIEVGTLSNEEAWKLFCEQVGRVVNKPGILPFARVIAERCGGLPLLVIVTGRALFEEEDVFAWEQAFKQFSGPCGDLRSRNDIIQLLKFSFDRLQVHDIQSCFLHCALFPEDQEEKGSQFLLRAYSKPVSRENHSSLVLHESHENNRLFIPDGCQFILRGGSRLTQPPSVEEWGKSPGCPGLLTLFLQRNFRLRVIPVSFFDCMPCLKVLNLSNTRIKSLPRTISKLVSLETLILRHCERLSMLPSDIGSLKLLQVLDLRGTEINLLPDEIGELSSLTYFDICFYGSINRREHLKLPQGLISSGIISRLCALQSLGIRVCPGDERWDKCVESIIYEVSKLTGLTSLSFYFPEVELLELFLQIYNFVVGHDIKRIVSRVPQYVELDYNRTSQRLRFVNGEKIPDAIVKVLAYCSAFYLDHHLDVISISKFGIANMNKLKHCIVSECPTVKAVLDDREFTEVVFPCLEHLNLHYLWNLEYICDNLLPEGSFAMLRILYVHACPKLKYVLKSSMLRFFSKLEELIVDDCTAIEKIILDDDMTTDLSHMSDSSFKRLTLHYLPALETIREGVWPSFEYISVYNCPNFKKINLDSKSKHTLKGIKGEKDWWDSLEWKEPSLSIHFNDLFTLVSEDDI >KJB29718 pep chromosome:Graimondii2_0_v6:5:22714765:22731541:1 gene:B456_005G115800 transcript:KJB29718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLENLKTNLSLPYAKIHPSIDPEADPNSYSLEKFRLYETRARYYLIGSDRNKKFFRVLKIDRSEPSDLNISEDPVLYSPQEIKNLLQRIAEGNRATGGLNFVAKVFGIVGCIKFLESYYLILVTKRQQIGSICGHAIYSIDESQLITVPHVSVQSDIAHSKTELRYKKLLSSVDLTKDFFYSYTYPIMQSLQKNVLAMGEERMPYDNIFVWNAYLTQAIRSRCSNTIWTIALVHGHFKQIRLSIFGRDFSVTLVSRRSRHFAGTRYLKRGVNDRGRVANDVETEQIILDEEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYQATKLHFEDLAKRYGNPIIVLNLIKTVEKRPREMMLRREFAHAVGYLNAILSEENQLKFIHWDFHKFAKSKSANVLAVLGAVASEALDLTSFYYSGKPSLVKKRANQLNRTSTGRDASLRDLRGKSGDLVKIGSGSENSNSLTSREREGDLSQQIKTDNCDGDPPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTDKPKVDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDSDYYLHVSGAGDDLFPDKCVEDNVKPLGVVGKILAPIPACREDFSRMKLTSFDKLIERTCSSIKNVRLCSEPDQRTGGPTANSGVAPDAAEIQLKSPNWLFGQRKFEEVGSGPKVSVREIKNGGANGEMKLDDFCDLNWLSSYVDDEQDIFQRYLSMTSVDEANGWYGGTLLGDQDESSEIYRHYAELCQGLAMEPFQHDLEREKHYADVLQMNTIDVVDDASVEAEMAEALKEYDLIGADLGIFPVSCKSFTEDPSRLTRWIIGEEKM >KJB29719 pep chromosome:Graimondii2_0_v6:5:22714809:22729372:1 gene:B456_005G115800 transcript:KJB29719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLENLKTNLSLPYAKIHPSIDPEADPNSYSLEKFRLYETRARYYLIGSDRNKKFFRVLKIDRSEPSDLNISEDPVLYSPQEIKNLLQRIAEGNRATGGLNFVAKVFGIVGCIKFLESYYLILVTKRQQIGSICGHAIYSIDESQLITVPHVSVQSDIAHSKTELRYKKLLSSVDLTKDFFYSYTYPIMQSLQKNVLAMGEERMPYDNIFVWNAYLTQAIRSRCSNTIWTIALVHGHFKQIRLSIFGRDFSVTLVSRRSRHFAGTRYLKRGVNDRGRVANDVETEQIILDEEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYQATKLHFEDLAKRYGNPIIVLNLIKTVEKRPREMMLRREFAHAVGYLNAILSEENQLKFIHWDFHKFAKSKSANVLAVLGAVASEALDLTSFYYSGKPSLVKKRANQLNRTSTGRDASLRDLRGKSGDLVKIGSGSENSNSLTSREREGDLSQQIKTDNCDGDPPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTDKPKVDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDSDYYLHVSGAGDDLFPDKCVEDNVKPLGVVGKILAPIPACREDFSRMKLTSFDKLIERTCSSIKNVRLCSEPDQRTGGPTANSGVAPDAA >KJB30984 pep chromosome:Graimondii2_0_v6:5:49875455:49876256:-1 gene:B456_005G170900 transcript:KJB30984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLSFPFRLFREIYRSILIFSMASFLIEMYESMNLSVYIDHVHGLTKICKSSICHFHFISLFLFAYGIAIPFGNIH >KJB30651 pep chromosome:Graimondii2_0_v6:5:43130811:43131928:1 gene:B456_005G153700 transcript:KJB30651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKQKVVDAPEILTVIGKIPHLSKFLNSLYNCQYKSFFLAFAGLTEQIKLDRYLHLRFRFYMREVRTVVYSQFLESHKSVTIEAMAKAFGVSVEFIDQELSRFIAVGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNQIQELSRVIDL >KJB32700 pep chromosome:Graimondii2_0_v6:5:63320204:63320849:1 gene:B456_005G256500 transcript:KJB32700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKKLIQLARKWQRMAAIKRKRITLPTATLDTDTNCSSTSTVAEKGHFVVYSVDQKRFVLPLEYLKNEIVMELFNLAEEEFGVPGNGLLILPCDATFMEYVIALIKKKPSKDVEKALILSVASSRCSSSNLSQHETSQQLPIWSF >KJB28194 pep chromosome:Graimondii2_0_v6:5:3865171:3869864:-1 gene:B456_005G040500 transcript:KJB28194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLASCCAASTCGLCSTVASGISRKSARLAYCGLFGLSLIVSWILREVGAPLLEKLPWIKSSTQTKTWYQEQAVLRVSLGNFLFFAILALIMIGVKDQNDRRDSWHHGGWTAKMVIWILLVILMFFLPNVVITVYEILSKFGAGMFLLVQVIILLDFTHSWNDAWVEKDEQKWYIALLAVSIGCYLVAFAFSGILFIWFNPSGHDCGLNVFFIVMTMVLAFSFGVIALHPAVNGSLLPASVISVYCAYVCYTGLSSEPRDYLSIPLFVLDPQRHFYHHHLPQKQVQRNHF >KJB28193 pep chromosome:Graimondii2_0_v6:5:3865122:3870014:-1 gene:B456_005G040500 transcript:KJB28193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLASCCAASTCGLCSTVASGISRKSARLAYCGLFGLSLIVSWILREVGAPLLEKLPWIKSSTQTKTWYQEQAVLRVSLGNFLFFAILALIMIGVKDQNDRRDSWHHGGWTAKMVIWILLVILMFFLPNVVITVYEILSKFGAGMFLLVQVIILLDFTHSWNDAWVEKDEQKWYIALLAVSIGCYLVAFAFSGILFIWFNPSGHDCGLNVFFIVMTMVLAFSFGVIALHPAVNGSLLPASVISVYCAYVCYTGLSSEPRDYVCNGLHNKASAVSLSTLILGMLTTVLSVIYSALRAGSSTTFLSPPSSPKAGTKKPLLEGDDVEEGKETKEKEARPVSYSYSFFHLIFALASMYSAMLLSGWTSSSDSSDLVDVGWTSVWVRICTEWVTAALYVWTLVAPLIIPDREFF >KJB30328 pep chromosome:Graimondii2_0_v6:5:35626556:35627202:-1 gene:B456_005G137700 transcript:KJB30328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FIYLNKIYDWFEECLEVQAVADDITSFCFCSIHNNFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPHELTWVTGVVLAVLTASFGVTSYSLLQDQIGYWAVKIVTGVPKAISIIGSTLVELLHGNVSVGQSTLTRFYSLHTFVLPFLTAVLMLMHFLMIHKQGIFGPL >KJB29301 pep chromosome:Graimondii2_0_v6:5:12857216:12860216:1 gene:B456_005G093000 transcript:KJB29301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLEIKRIENNTNRQVTFSKRRNGLIKKAYELSILCDIDIALIMFSPSGRISHFSGRRRIEDVFMRYINLPDREREHDIQNKEYMLRILQQLRSENDVALQLAKFEIQQEIVRLQQQLQMAEDQLRAYEPDPFRFTSMAELESCEKHLVETLANVVQRKEYILSNHLSSYDPSPIQQGLPPSFENEVVNWLPDNGQNQSQIFDASASLNPLRDFSSTVYDPLLQGSMSNVDPHNIGDQCHVSNPNTENFAPWPRSFASTGLQSNSMPPTLYSHVQHGMVSHQEMAEMVPNDQQMEIPGNYNSHGQMADNEGSNYENRVHEHDGQ >KJB31121 pep chromosome:Graimondii2_0_v6:5:52118808:52122483:1 gene:B456_005G177600 transcript:KJB31121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSRPDTFYTNEAVRSVSSEVTSDLVVQVKGSRYLLHKFPLLSKCLRLQRICSESPETLQHQIVQLPDFPGGTEAFELCAKFCYGITITFSAYNIVAARCAAEYLQMTEDVEKGNLVYKLEVFFNSCILHGWRDSIVTLHTTKAFPLWSEDLRITSRCIEAIASKASTHPFKVSLSHSHSRRVRDDVSCNGVEGQRQRPAAKGWWAEDIAELGIDLYWRTMIAIKSDGKIPSNLIGEALQIYASRWLPNISRRIKANREPAGSNSDSDSASEVTSKSRLLLESIVSLLPSEKGAVSCSFLLKLLKAANILNVSSSSKMELARRVALQVEEARVGDLLIPSLSSSSNTLYDVDIVLIILEQFMLQGQSPPTSPPRSKLGTERRRRSRSAENIDFKLQESRRSSSASHSSKLKVAKIVDGYLRQIAKDINLPLSKFIAVAETIPDFSRLDHDELYRAIDIYLKAHPDLNKSERKKLCRVLDCKKLSVEACMHAAQNEKLPLRVVVQVLFFEQARAATTGGKGTDLPSNIKALLASHNIDPSKPPRALSTTTSIPGHDQWSTVSGLRSPKSRISTLRMKLAEDDDLDENDMNPDGMGRPSKFKGFCALPTGPKKMFSRLLSTNRNATEKS >KJB31120 pep chromosome:Graimondii2_0_v6:5:52118955:52122470:1 gene:B456_005G177600 transcript:KJB31120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSRPDTFYTNEAVRSVSSEVTSDLVVQVKGSRYLLHKFPLLSKCLRLQRICSESPETLQHQIVQLPDFPGGTEAFELCAKFCYGITITFSAYNIVAARCAAEYLQMTEDVEKGNLVYKLEVFFNSCILHGWRDSIVTLHTTKAFPLWSEDLRITSRCIEAIASKASTHPFKVSLSHSHSRRVRDDVSCNGVEGQRQRPAAKGWWAEDIAELGIDLYWRTMIAIKSDGKIPSNLIGEALQIYASRWLPNISRRIKANREPAGSNSDSDSASEVTSKSRLLLESIVSLLPSEKGAVSCSFLLKLLKAANILNVSSSSKMELARRVALQVEEARVGDLLIPSLSSSSNTLYDVDIVLIILEQFMLQGQSPPTSPPRSKLGTERRRRSRSAENIDFKLQESRRSSSASHSSKLKVAKIVDGYLRQIAKDINLPLSKFIAVAETIPDFSRLDHDELYRAIDIYLKAHPDLNKSERKKLCRVLDCKKLSVEACMHAAQNEKLPLRVVVQVLFFEQARAATTGGKGTDLPSNIKALLASHNIDPSKPPRALSTTTSIPGHDQWSTVSGLRSPKSRISTLRMKLAEDDDLDENDMNPDGMGRPSKFKGFCALPTGPKKMFSRLLSTNRNATEKS >KJB31122 pep chromosome:Graimondii2_0_v6:5:52118955:52122470:1 gene:B456_005G177600 transcript:KJB31122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDVEKGNLVYKLEVFFNSCILHGWRDSIVTLHTTKAFPLWSEDLRITSRCIEAIASKASTHPFKVSLSHSHSRRVRDDVSCNGVEGQRQRPAAKGWWAEDIAELGIDLYWRTMIAIKSDGKIPSNLIGEALQIYASRWLPNISRRIKANREPAGSNSDSDSASEVTSKSRLLLESIVSLLPSEKGAVSCSFLLKLLKAANILNVSSSSKMELARRVALQVEEARVGDLLIPSLSSSSNTLYDVDIVLIILEQFMLQGQSPPTSPPRSKLGTERRRRSRSAENIDFKLQESRRSSSASHSSKLKVAKIVDGYLRQIAKDINLPLSKFIAVAETIPDFSRLDHDELYRAIDIYLKAHPDLNKSERKKLCRVLDCKKLSVEACMHAAQNEKLPLRVVVQVLFFEQARAATTGGKGTDLPSNIKALLASHNIDPSKPPRALSTTTSIPGHDQWSTVSGLRSPKSRISTLRMKLAEDDDLDENDMNPDGMGRPSKFKGFCALPTGPKKMFSRLLSTNRNATEKS >KJB30708 pep chromosome:Graimondii2_0_v6:5:44112050:44113089:-1 gene:B456_005G156200 transcript:KJB30708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFALTSRNGRKLQEVEGDEKAPDSASTKAIKTFGLQRKRAEMIQRMSQECLWKEWTHVTELHGVGKYAANAYAIFCTRKGDRVTPTDHMLNHYWNFLYGPKNTSI >KJB28354 pep chromosome:Graimondii2_0_v6:5:4186372:4187363:1 gene:B456_005G043700 transcript:KJB28354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPNLTKAFILLMAITLMSTQANSLIPYSRSLWDVMLAEDPFKILEQTPVTVPKGSEPVALARADWKETPRYHAITLDIPGMKKEDLKIEVEENRVLRISGERKEEQEIEGEKWHRAERTNGKFWRQFRLPANVDMDRIKAHMEDGVLRISVPKIVDESKKQSKVIDIVQSGGIGEDVKATSHGHH >KJB28054 pep chromosome:Graimondii2_0_v6:5:6658490:6659424:1 gene:B456_005G063400 transcript:KJB28054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYESQVVTAIPPAKMFKACVLDGDNLIPKILPQAFKSIEYIEGNGEPGSIKKVTFGEGSQFKYMKQKVEAVDKENFVYIYSVIEGDALMNKLEKITYETKLEASPDGGSLCKTSSKYYTVGEFELKEEGIKAGKEKALGMFKAIEAYLLANPDAY >KJB28693 pep chromosome:Graimondii2_0_v6:5:6643280:6643873:1 gene:B456_005G063200 transcript:KJB28693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYESEIVTAIPPAKMFKACILDGDTLIPKIVPQVFKSVEYLEGNGEPGSIRKVTFAQGNQFNYMKQKVEALDTEKFEYIYSVIEGDPLMNMLDKITYEIKLEDSPGGGSICKTSSKYYTIGDIELKEEAIKAGKEKALGVLFKSIEAYLVANTNAY >KJB30918 pep chromosome:Graimondii2_0_v6:5:49286442:49288116:1 gene:B456_005G1677002 transcript:KJB30918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQRLNLNIPQKNTFLLPRDILATADRLFGMGTLDNMNHLKNKCIHYVADLLQDQFGLALVHIHPSHYGRIFPIDTSEGINVGLIGSLVIHARIGHWGSLEMHYWNWVERQVALDSGVPTIADHEGKIISTNTDKIILSRNGNAVGIPLVMYQHSNKILTHVTIQSPERIINEIPHLEAHLLRNLDKNGIVMLGSWVETGDILVGKLTPQVAKESSYAPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRW >KJB27607 pep chromosome:Graimondii2_0_v6:5:101325:103909:-1 gene:B456_005G001800 transcript:KJB27607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRQGLSKSSTFKEEILHHLPDATSSSSPFDSGSLAAPALHASSAARDTNSPVLSNVEPTRSKGFNAYEDSINDSKGFWGVLARKAKAILDDDNVSQDTETRGRVLDASTASQQKQQSAESYRRMDHPAIRRGLDRLNTSLNQIDDSFEKTFEEGRTIVEGKTQDIIHETRKLQIRRKGNSPMAQNQFTGINSTVQPPMQLQNQTNHDNQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKERCAQLEEENKLLRESREKGDNPADDDLIRLQLETLLAEKGRLAHENSIYARENRFLREIIEYHQLSMQDVVYLDEGAEEVAPVGSPINFPLSKMLSGDYTPELEVLDPSSSPSKPRIRSPSPSPSQPRIRSPSPSLSQHRIRSPSPNLSQPRIRSPSPSPSPCRQQPILTKEISSHLSQPAEEASKGTTPPHASNSKTNVPPTSTH >KJB27608 pep chromosome:Graimondii2_0_v6:5:101334:103909:-1 gene:B456_005G001800 transcript:KJB27608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRQGLSKSSTFKEEILHHLPDATSSSSPFDSGSLAAPALHASSAARDTNSPVLSNVEPTRSKGFNAYEDSINDSKGFWGVLARKAKAILDDDNVSQDTETRGRVLDASTASQKQQSAESYRRMDHPAIRRGLDRLNTSLNQIDDSFEKTFEEGRTIVEGKTQDIIHETRKLQIRRKGNSPMAQNQFTGINSTVQPPMQLQNQTNHDNQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKERCAQLEEENKLLRESREKGDNPADDDLIRLQLETLLAEKGRLAHENSIYARENRFLREIIEYHQLSMQDVVYLDEGAEEVAPVGSPINFPLSKMLSGDYTPELEVLDPSSSPSKPRIRSPSPSPSQPRIRSPSPSLSQHRIRSPIPAPAHAANSQY >KJB27606 pep chromosome:Graimondii2_0_v6:5:101252:104024:-1 gene:B456_005G001800 transcript:KJB27606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRQGLSKSSTFKEEILHHLPDATSSSSPFDSGSLAAPALHASSAARDTNSPVLSNVEPTRSKGFNAYEDSINDSKGFWGVLARKAKAILDDDNVSQDTETRGRVLDASTASQKQQSAESYRRMDHPAIRRGLDRLNTSLNQIDDSFEKTFEEGRTIVEGKTQDIIHETRKLQIRRKGNSPMAQNQFTGINSTVQPPMQLQNQTNHDNQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKERCAQLEEENKLLRESREKGDNPADDDLIRLQLETLLAEKGRLAHENSIYARENRFLREIIEYHQLSMQDVVYLDEGAEEVAPVGSPINFPLSKMLSGDYTPELEVLDPSSSPSKPRIRSPSPSPSQPRIRSPSPSLSQHRIRSPSPNLSQPRIRSPSPSPSPCRQQPILTKEISSHLSQPAEEASKGTTPPHASNSKTNVPPTSTH >KJB31103 pep chromosome:Graimondii2_0_v6:5:51656217:51657464:-1 gene:B456_005G176100 transcript:KJB31103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVRNYGHYWKEMQKICVAELFSMKRVQSFQSVREEEVDLLIESVSGSATLANPIDLSKCSFSLTASIIFRIVFGKQFQGSELDNDKLQKLVFEVEAMLGSFCNSKFLPYVGKVIDWFTGFHTRLESSFHELDSFFQRVIEDHFDSGPTKDDKEDIVDVLLRMEKDQTQNDAIQLTKDHIKAILMDIFIAGIDTPAINMIWAVAELARKPTAMKKAQNEIRSCVGKKRKLTENDVSKLIYLNMVIKETLRLHPPGVLLLLRETMSQIKIGDYDINPKTRIAVNVWAIGRDPDIWDNPEEFIPERFIDNPIDLKGQHFELLSFGGGRRICPGINMGMTVLELALANLLYFFDRKLPIGMREIDIDMEEKVSLTVGKKTSLMLIPIKYNM >KJB32180 pep chromosome:Graimondii2_0_v6:5:61083672:61085543:-1 gene:B456_005G227800 transcript:KJB32180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYSYNSYPDSGNSSPRSREIDFENPPPWDDQQQHAQNYKAKFMCSYGGKIHPRPHDNQLSYIGGETKILAVERTIKFSSMISKLSALCGGGDGEVSFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLYRASAKPARMRLFIFPATDLGNFGSEGPKSEREGFVEAFNSGTTPGGVVIPPNNLDSLFGIEKGIPQPPPVKIRDPVVEPVNPPPPPIPEVVGTDHVLNPVEIQRQLQELQRLQIRDQEQLAMYRKKTEDAASMAYTGEYYAQKMPEKAPPVNRPVTFQQHVPATAGFWSDKPISAGGFPATVTTNPGQPPHSEHPVYMIPAQGHAPATVYHAPPQVPAPASAPATQQMVRPVTGQAGQGYYTNVQRMPSEVYREQPVYNMVAQPPPTQHPPISGMTQQMVRPQSGGVPDSSYAHMAAYDRRVYYTAPGGVMVPPQYQGVGVAVSGEMRGSTGAGGEIKVGNKGSQGSV >KJB28718 pep chromosome:Graimondii2_0_v6:5:7015608:7017671:-1 gene:B456_005G065800 transcript:KJB28718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGILASLGLYQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGMIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLADVPFLILGNKIDLPYAASEDELRFHMGLTNFTTGKGKVNLEGTNVRPLEVFMCSIVRKMGYGDGFRWLSQYIK >KJB27866 pep chromosome:Graimondii2_0_v6:5:999218:1001098:-1 gene:B456_005G013800 transcript:KJB27866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDFSLSFDNWMELQFSSSECIGEKAEIMKALVCNDNRLESSIGKPDEKNVDDVIEIWNYDLLFDNNQSEEVQSSSSESEDETTLKEEGPWCEQKFMFHKKSIGRDKKFKVQGEILAPLLMVPQSDETRKEYYINDSIRSRSELEVLIWFKAEKLRGVHKLGYSDANEWPFVVPLLIVGFVTKYGDCLTHGDCFPFKQADMVHFRRCIFLDIYRRRLHRKK >KJB31439 pep chromosome:Graimondii2_0_v6:5:55960174:55961439:1 gene:B456_005G191900 transcript:KJB31439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMQGLIFYPSSLSPFIFLITDKESPSIFTIGKFTNKPSSTACKHATTSAAKEVAKLVCLHYVLTEPSTMFPQQ >KJB32616 pep chromosome:Graimondii2_0_v6:5:62867811:62870435:1 gene:B456_005G249100 transcript:KJB32616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTMEEPETSLVEEREELMVSPDSENENKNPCLRTAHFLKPISSSLETPLPKLPSQRFSSIEPKDLPLSISFHGWRCRASNWSAWVEKMVVLHESTWKEAGIYEAIVNSTYQIKRNSDLVLGLAEKWCCGTKSFLFSWGEASVTLEDMMILGGFSVLGSPVFTPLEAEESKEAEENLKSARIEIVRSKAKKACPRLWMQRFMDSGNEIEHEAFLSFWLSRYVFTNAHETIREHVFPIAVHLARGTRLALAPAVLASIYRDLCLLKDAITASTKMGKEEVFKLTLWSPFQLVQVWAWERFAELRPKPNPIVKGEQRLVQWHDVSCKVENVRLALELANGSFEWRPYTMQIDNWKQPKFYREKEFCIWTTARLDKELESFVRCLKVCELVGLDCIEQYFPHRVARQFGIDQDIPSCVPQSKDQTPELAWLNYCESLTGVKLYIPSWLYKAGVTARYLKWWNKSVLESKGAAKGLKKSAKKPKGKKQEKSSSGCLGFHQTIESFPGKAEANDPSVSPNCSTKSLKKQGDNINKTTNASGSFSRFPLRKSKSVSQILEEKQVSNNDSMSSSCRRTSMKSAKNLKRKKEVKEKSTSPNVPLGSSKESVQTLKRKDKDEKDSASPGFIKKCMKKSAVRSKGMKEDTPLSASSRFASGSSKKPASVVKDKEEGSSDSAHQVLPLANAKKLPSTVERKKGPSADDPICSVRKFDGKEAEDCLEDNNPTIAEMMRSCKKRRKVKTKDSDDDGNPSGHSQGLSSTIADDEVVKYLEPLAILAEKVIEDESVLRIGETFEGPYKDQREPKIVQEEIVMGESEQTVKDAEDGLPKQPVPEMLSINGVEGECSCYAVDIPGLTLEARISRLEKLVEELKAMRSACK >KJB32617 pep chromosome:Graimondii2_0_v6:5:62867557:62871303:1 gene:B456_005G249100 transcript:KJB32617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTPPRTSPFRNFFSLFCFETSLVEEREELMVSPDSENENKNPCLRTAHFLKPISSSLETPLPKLPSQRFSSIEPKDLPLSISFHGWRCRASNWSAWVEKMVVLHESTWKEAGIYEAIVNSTYQIKRNSDLVLGLAEKWCCGTKSFLFSWGEASVTLEDMMILGGFSVLGSPVFTPLEAEESKEAEENLKSARIEIVRSKAKKACPRLWMQRFMDSGNEIEHEAFLSFWLSRYVFTNAHETIREHVFPIAVHLARGTRLALAPAVLASIYRDLCLLKDAITASTKMGKEEVFKLTLWSPFQLVQVWAWERFAELRPKPNPIVKGEQRLVQWHDVSCKVENVRLALELANGSFEWRPYTMQIDNWKQPKFYREKEFCIWTTARLDKELESFVRCLKVCELVGLDCIEQYFPHRVARQFGIDQDIPSCVPQSKDQTPELAWLNYCESLTGVKLYIPSWLYKAGVTARYLKWWNKSVLESKGAAKGLKKSAKKPKGKKQEKSSSGCLGFHQTIESFPGKAEANDPSVSPNCSTKSLKKQGDNINKTTNASGSFSRFPLRKSKSVSQILEEKQVSNNDSMSSSCRRTSMKSAKNLKRKKEVKEKSTSPNVPLGSSKESVQTLKRKDKDEKDSASPGFIKKCMKKSAVRSKGMKEDTPLSASSRFASGSSKKPASVVKDKEEGSSDSAHQVLPLANAKKLPSTVERKKGPSADDPICSVRKFDGKEAEDCLEDNNPTIAEMMRSCKKRRKVKTKDSDDDGNPSGHSQGLSSTIADDEVVKYLEPLAILAEKVIEDESVLRIGETFEGPYKDQREPKIVQEEIVMGESEQTVKDAEDGLPKQPVPEMLSINGVEGECSCYAVDIPGLTLEARISRLEKLVEELKAMRSACK >KJB32615 pep chromosome:Graimondii2_0_v6:5:62867557:62871303:1 gene:B456_005G249100 transcript:KJB32615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTMEEPETSLVEEREELMVSPDSENENKNPCLRTAHFLKPISSSLETPLPKLPSQRFSSIEPKDLPLSISFHGWRCRASNWSAWVEKMVVLHESTWKEAGIYEAIVNSTYQIKRNSDLVLGLAEKWCCGTKSFLFSWGEASVTLEDMMILGGFSVLGSPVFTPLEAEESKEAEENLKSARIEIVRSKAKKACPRLWMQRFMDSGNEIEHEAFLSFWLSRYVFTNAHETIREHVFPIAVHLARGTRLALAPAVLASIYRDLCLLKDAITASTKMGKEEVFKLTLWSPFQLVQVWAWERFAELRPKPNPIVKGEQRLVQWHDVSCKVENVRLALELANGSFEWRPYTMQIDNWKQPKFYREKEFCIWTTARLDKELESFVRCLKVCELVGLDCIEQYFPHRVARQFGIDQDIPSCVPQSKDQTPELAWLNYCESLTGVKLYIPSWLYKAGVTARYLKWWNKSVLESKGAAKGLKKSAKKPKGKKQEKSSSGCLGFHQTIESFPGKAEANDPSVSPNCSTKSLKKQGDNINKTTNASGSFSRFPLRKSKSVSQILEEKQVSNNDSMSSSCRRTSMKSAKNLKRKKEVKEKSTSPNVPLGSSKESVQTLKRKDKDEKDSASPGFIKKCMKKSAVRSKGMKEDTPLSASSRFASGSSKKPASVVKDKEEGSSDSAHQVLPLANAKKLPSTVERKKGPSADDPICSVRKFDGKEAEDCLEDNNPTIAEMMRSCKKRRKVKTKDSDDDGNPSGHSQGLSSTIADDEVVKYLEPLAILAEKVIEDESVLRIGETFEGPYKDQREPKIVQEEIVMGESEQTVKDAEDGLPKQPVPEMLSINGVEGECSCYAVDIPGLTLEARISRLEKLVEELKAMRSACK >KJB32551 pep chromosome:Graimondii2_0_v6:5:62624574:62627365:1 gene:B456_005G246200 transcript:KJB32551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEKKPKKHKTNLFLSSILSSPSSSSVSMAILLVLFYIFVCCPVVIIASSTPTGTFSPEDNFLINCGPDSKPELIEGRTFQTGSEYLKTKSDSQITCSDNVPSPIYKSAKVFPEEATYSFTLKQPGYHWLRLHFYAMKDDKYDLHQSTFSVSANEIVLLHNFKINNSSVPTLKEYLINMKDPTLNLKFSPMKDSFAFINAIEVVSVPNNLVNDEGLALFPVNKFSGLTEQNYQVVYRLNMGGPLITPRNDTLGRIWIPDDNYLEQKNFAKATSIAPDGVHYSKTITRLIAPPMVYATVIEMADANIKLPNFNVSWKLDVDKSFDYLLRMHFCDIISKATDSLYFNVYINEKMAISALDLSAVTAGLARPYYRDIVVNAPLINDGLLIQIGPLNQGTGAANAILNGLEVMKMSNSVGSLDGEFGAEEGGLGMSHQGTVATIGFVMMFGAFVGLGAMVYKWKKRPKDWQKRNSFSSWLLPLHAGDNSFLSKNSASQKSNFNTSGLGRYFSLAELQEATKNFDSSEIIGVGGFGNVYLGTIDDGIKVAVKRGNPQSEQGITEFQTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMSNGPFRDHLYGKNLPPLSWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDAFVAKVADFGLSKDTPMGQNHVSTAVKGSFGYLDPEYFKRQQLTEKSDVYSFGVVLLESLCARPAINPQLPREQVNLAEWAMQWKRKDLLEKVIDPQLAGSINPESMKKFAEAAEKCLLEYGVDRPSMGDVLWNLEYALQLQEAFTARKSEDETNPLNTATTQTPIMVPSTTSLPTDNYRSVEGPVDVPAINDHSGTAVFAQFQSLDGR >KJB28695 pep chromosome:Graimondii2_0_v6:5:6779195:6779730:-1 gene:B456_005G064800 transcript:KJB28695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPPEKMFKACILYGDTLICKIVSQAFKSVEYIEGNGEAGSIKKVTLGEGSQFKYMKQKVEAIDKENFVYIYNVVEGDALMTKLEKITYETKLEASPDWGSVCRTTSKYYTIGDFELKEDGVKAGKDKALGIFKAIGAYILENPNAY >KJB29780 pep chromosome:Graimondii2_0_v6:5:24286612:24290915:1 gene:B456_005G118300 transcript:KJB29780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLKVRAVCRDNGEKTDISRVFLVEQVKGMLDKIQQNLFDVAKQKRDVCIEVVKTWDEFVKALGQKKLILAPWCDEEEVEKDVKARTRGEMGAAKSLCTPFEQPELPEGETPFKERLWD >KJB29778 pep chromosome:Graimondii2_0_v6:5:24287642:24290915:1 gene:B456_005G118300 transcript:KJB29778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLKVRAVCRDNGEKTDISRVFLVEQVKGMLDKIQQNLFDVAKQKRDVCIEVVKTWDEFVKALGQKKLILAPWCDEEEVEKDVKARTRGEMGAAKSLCTPFEQPELPEGETPFKERLWD >KJB29779 pep chromosome:Graimondii2_0_v6:5:24287989:24290671:1 gene:B456_005G118300 transcript:KJB29779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLKVRAVCRDNGEKTDISRVFLVEQVKGMLDKIQQNLFDVAKQKRDVCIEVVKTWDEFVKALGQKKLILAPWCDEEEVEKDVKARTRGEMGAAKSLCTPFEQPELPEGETPFKERLWD >KJB29781 pep chromosome:Graimondii2_0_v6:5:24286552:24290915:1 gene:B456_005G118300 transcript:KJB29781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLKVRAVCRDNGEKTDISRVFLVEQVKGMLDKIQQNLFDVAKQKRDVCIEVVKTWDEFVKALGQKKLILAPWCDEEEVEKDVKARTRGEMGAAKSLCTPFEQPELPEGETPFKERLWD >KJB30481 pep chromosome:Graimondii2_0_v6:5:39603016:39605133:1 gene:B456_005G146000 transcript:KJB30481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEHGFLLLRSPLLSLEAFLWALLLVGTIALWLSPGGIAWARFRSKTRFSIPGPVGLPLLGLLTVFNGSTPHRILAKLAKTLNAVKLMAFSVGFTRFIISSDPETARDILHNSAFADRPVKESAYELLFHRAMGFAPFGDYWRNLRRISATHLFSPKRIAGFEGYRREIGIKMMDEINGIMKAGDEVQIKKVLHFGSLNNVMATVFGKKYDFEKVGEGYELEELVSEGYELLGIFNWSDHFPLICWLDLQGVRKRCRNLVSRVNVFVGKIIEEHRLKRLSEGLNDDNCNVGEFVDVLLDLEKHEKLADSDMIAVLWEMIFRGTDTVAVLLEWILARMVLHPEVQAKAQAEIESVVGKSKHVSDSDIPNLPYLQAIVKETLRVHPPGPLLSWARLAIHDVHVGDYFVPAGTTAMVNMWAITHDEKLWEEPERFKPERFMEEDISILGCDLRLAPFGSGRRVCPGKAMGLATAHLWLAQLLQRFKWIPTEDRNVDLTEHLKLSLEMKNPLVCRAIPRVP >KJB29042 pep chromosome:Graimondii2_0_v6:5:9657605:9658906:1 gene:B456_005G081000 transcript:KJB29042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILGDQMLQSDPRVPILPIERKWKSNVETAPNCPRCASANTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKSRRGKSRRERVEKRDQISMTDSKNSTSSSDGDSGNQPDIDLALVFAKFLNHNTSFDQPEVDEIATQESPNEENNSQKPVVGSIPGSYILQEMPETSQMEAFGLQNLLTGDEMVLHEDLWSDHDVATTTPDMEWQPLVQLQQYESFPVDEQLKVSANLMNEDWGSFDLSGFGIFSKPSS >KJB28497 pep chromosome:Graimondii2_0_v6:5:5421608:5422837:1 gene:B456_005G054300 transcript:KJB28497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVDPTPQSAAALQYALSHALVEQDKLILFYIENPSSWKNALATFIRKSSNSSSSAPNSISEGAFGTDADFLDQMRRACEVAQPKINVKIEKTDMDGKDKASVILSKSKDLRIDLIIIGQKRSLSSAILGIKRQSGSLKGPKAIDKVDYLIENSPCSCVAVQKKGQNGGYVLNSKTHKNFWLLA >KJB29560 pep chromosome:Graimondii2_0_v6:5:19314783:19316960:-1 gene:B456_005G107300 transcript:KJB29560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTYKTEFRQLLPLYLPSLYLPREMKASLKGRYTNDKSTAVVTLAVKAGDVKLLASMSDVTVVKGPRLNNLTLTVEKPAFFIFDYDVPKKDFRFQFMNSIKVAEKPLKLTYNHGHGENRTVMDGSLVLDSANKVSVNYMFGTRNFKLKYSYVHGGVTTYEPCYDLGKNAWDFSASRRLYDDVFKATYESWSRDFALEWSRNSKFNGTFKISATINMVGESKIPKVFAESTWDLEM >KJB27512 pep chromosome:Graimondii2_0_v6:5:10184623:10185161:-1 gene:B456_005G083100 transcript:KJB27512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPSSSSSSSSSPAEHGEMHLGIENEPKALFDKEMKLAREAALEVINNNSQEQALKIFLQGLEPVETSVRSIREEVLISESDSEDEDFIDEHDYVVV >KJB27984 pep chromosome:Graimondii2_0_v6:5:1601658:1604894:-1 gene:B456_005G020200 transcript:KJB27984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAAGIGYALVALGPSLSLFIAFISKKPFLILTLLSSTLVWLISLIILSGIWRAFLPLNSTTWWPFAILIFSSIAFQEGLRLLFWKVYKRLEDILDAFADRVSKPRLYPTDKMQIALAGGLGHGVAHAVFFCLSLLTPAFGPATYFVDRCSQIPFFLVSAIIALAFVTVHTFSMVIAFNGYAEGNKVDQLFVPSVHLAAGMMTMINFAYGGCVIGIPLLFLMAILTVMHCGRMVWRRLSESQRQVDS >KJB27985 pep chromosome:Graimondii2_0_v6:5:1601721:1604843:-1 gene:B456_005G020200 transcript:KJB27985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAAGIGYALVALGPSLSLFIAFISKKPFLILTLLSSTLVWLISLIILSGIWRAFLPLNSTTWWPFAILIFSSIAFQEGLRLLFWKVYKRLEDILDAFADRVSKPRLYPTDKMQIALGHGVAHAVFFCLSLLTPAFGPATYFVDRCSQIPFFLVSAIIALAFVTVHTFSMVIAFNGYAEGNKVDQLFVPSVHLAAGMMTMINFAYGGCVIGIPLLFLMAILTVMHCGRMVWRRLSESQRQVDS >KJB28359 pep chromosome:Graimondii2_0_v6:5:4221541:4222497:-1 gene:B456_005G044300 transcript:KJB28359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATSVVQLDQTKAKDECLNLSLRPLQVSDVDDFMVWASDEKVTRFCTWEPYTNKEDGLNYIKNTVVPHPWFRAICVDDRPVGAISVSANSGNDKCRGELGYVLASKYWGKGIVTRAVKMVVDTIFVEWPHLERLEALVDVENVGSQRVLEKAGFQREGVLRKYFILKGRSRDMVMFSLLSTDYQS >KJB31310 pep chromosome:Graimondii2_0_v6:5:54394455:54397486:-1 gene:B456_005G185600 transcript:KJB31310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-D1-1 [Source:Projected from Arabidopsis thaliana (AT1G70210) UniProtKB/Swiss-Prot;Acc:P42751] MSLSCSDRFTDLLCAEDSDEVLSGESPACSSELDSQASCIEESSIDGFIEDETNFVPGFDYLARFQCQSLDASAREASVAWILKVQAYYNLQPLTAYLSVNYLDRFLYSRRLPQASGWPLQLLSTACLSLAAKMEEPLVPSLLDLQVEGAKYIFEPKTIQRMELLVLTVLDWRLRSVTPFSFIDFFACKLDPTGTFLAFLISRATDIILSNIKEASFLEYRPSSIAAAAILCAANEIPNLSLFNPEHAESWCDGLSKVKNP >KJB31309 pep chromosome:Graimondii2_0_v6:5:54394408:54397626:-1 gene:B456_005G185600 transcript:KJB31309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-D1-1 [Source:Projected from Arabidopsis thaliana (AT1G70210) UniProtKB/Swiss-Prot;Acc:P42751] MSLSCSDRFTDLLCAEDSDEVLSGESPACSSELDSQASCIEESSIDGFIEDETNFVPGFDYLARFQCQSLDASAREASVAWILKVQAYYNLQPLTAYLSVNYLDRFLYSRRLPQASGWPLQLLSTACLSLAAKMEEPLVPSLLDLQVEGAKYIFEPKTIQRMELLVLTVLDWRLRSVTPFSFIDFFACKLDPTGTFLAFLISRATDIILSNIKEASFLEYRPSSIAAAAILCAANEIPNLSLFNPEHAESWCDGLSKEKILSCYRLMQELVVDNARRKPPKMLPQLRVSIRGRMRSSDSSSSSSSSPSYKRRKLNNCLRVDDDDRNSK >KJB28430 pep chromosome:Graimondii2_0_v6:5:4533549:4533836:-1 gene:B456_005G047600 transcript:KJB28430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLKGRRSAAVLVFTILFLSFTAEFGAAIRPRGLTEQLFKKMVPNFESLPRGPVPPSAGSPCTNIPGGAGLCTVKEINAAGASFVRRRPFPLTL >KJB31878 pep chromosome:Graimondii2_0_v6:5:59601363:59601566:1 gene:B456_005G213500 transcript:KJB31878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVGLCFEPKVAVMQGKRKLRSMFLKVRAEIRRQMKVRTSKHEFSFHYDPFSYALNFDNGNFGFFC >KJB31612 pep chromosome:Graimondii2_0_v6:5:57193590:57195794:-1 gene:B456_005G197700 transcript:KJB31612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGNPCQLPGMLPNYDPNDSEAGMKILEDLTENVEQIQQRVLEEIITRNARTDYLKGFLDGQFDKQVFKKTVPVVNYEDIKPYIERIANGDSSNIISAEPIIELLTSSGTSGGQPKMMPSTAEDLHRKTFFYNLLVPVMNKYVDGLDEGKAMYLLFVKPETKTPSGLMARPVLTSYYKSNNFKNRPFNRFNVYTSPDETILCSDSKQSMYCQLLCGLVQRQEVLRVGAVFASAFLRAIKFLEHNWKELCSNIRTGHVSDWITDPGCRKVVSLVLNKPKPELADLIEHECNSKSWEGIIKKLWPRTKYIEVIVTGSMAQYVSTLEYYSGGLPLVSTMYASSECYFGINFKPLSKPWDVSYTLIPNMAYFEFLPVKKKNEDGAQYVQCNGVCKENCMEKETEKEDVETVELVDVKLGQYYELVVTTFTGLYRYRVGDILMVTGFHNKAPQFRFVHRRNVVLSIDTDKTSEEDLLKAVTKAKVIVESLGILLIEYTSYADTSSIPGHYVLFWEITSKGNNHLPVLDHKIMEQCCSTVEESLDSVYRRCRRKDNSIGPLEIRVVQHGTFDALMDFCVSQGSSVNQYKTPRCIKSGEAINILDSRVVARFFSQKAPFWEPV >KJB31613 pep chromosome:Graimondii2_0_v6:5:57193347:57196166:-1 gene:B456_005G197700 transcript:KJB31613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACPISCTAVKQQFSTCSRKPKDVNQMTRGNPCQLPGMLPNYDPNDSEAGMKILEDLTENVEQIQQRVLEEIITRNARTDYLKGFLDGQFDKQVFKKTVPVVNYEDIKPYIERIANGDSSNIISAEPIIELLTSSGTSGGQPKMMPSTAEDLHRKTFFYNLLVPVMNKYVDGLDEGKAMYLLFVKPETKTPSGLMARPVLTSYYKSNNFKNRPFNRFNVYTSPDETILCSDSKQSMYCQLLCGLVQRQEVLRVGAVFASAFLRAIKFLEHNWKELCSNIRTGHVSDWITDPGCRKVVSLVLNKPKPELADLIEHECNSKSWEGIIKKLWPRTKYIEVIVTGSMAQYVSTLEYYSGGLPLVSTMYASSECYFGINFKPLSKPWDVSYTLIPNMAYFEFLPVKKKNEDGAQYVQCNGVCKENCMEKETEKEDVETVELVDVKLGQYYELVVTTFTGLYRYRVGDILMVTGFHNKAPQFRFVHRRNVVLSIDTDKTSEEDLLKAVTKAKVIVESLGILLIEYTSYADTSSIPGHYVLFWEITSKGNNHLPVLDHKIMEQCCSTVEESLDSVYRRCRRKDNSIGPLEIRVVQHGTFDALMDFCVSQGSSVNQYKTPRCIKSGEAINILDSRVVARFFSQKAPFWEPV >KJB30989 pep chromosome:Graimondii2_0_v6:5:49921613:49924695:-1 gene:B456_005G171300 transcript:KJB30989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSWSSILTNYPLISAFIAFVIAQTIKFFTSWYKEKQWDLKQLVGSGGMPSSHSSTVTALATAIGFQEGFGGALFATALILACIVMYDATGVRLHAGRQAEVLNQIVYELPAEHPLAESRPLRELLGHTPPQVAAGGLLGIVTSFVGYFIFLDAR >KJB30990 pep chromosome:Graimondii2_0_v6:5:49921646:49924616:-1 gene:B456_005G171300 transcript:KJB30990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSWSSILTNYPLISAFIAFVIAQTIKFFTSWYKEKQWDLKQLVGSGGMPSSHSSTVTALATAIGFQEGFGGALFATALILACIVMYDATGVRLHAGRQAEVLNQIVYELPAEHPLAESRPLRELLGHTPPQQ >KJB30991 pep chromosome:Graimondii2_0_v6:5:49922245:49924616:-1 gene:B456_005G171300 transcript:KJB30991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSWSSILTNYPLISAFIAFVIAQTIKFFTSWYKEKQWDLKQLVGSGGMPSSHSSTVTALATAIGFQEGFGGALFATALILACIVMYDATGVRLHAGRQAEVLNQIVYELPAEHPLAESRPLRELLGHTPPQVHLLSRLLAIIT >KJB30992 pep chromosome:Graimondii2_0_v6:5:49922632:49924616:-1 gene:B456_005G171300 transcript:KJB30992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSWSSILTNYPLISAFIAFVIAQTIKFFTSWYKEKQWDLKQLVGSGGMPSSHSSTVTALATAIGFQEGFGGALFATALILACIVMYDATGVRLHAGRQAEV >KJB29647 pep chromosome:Graimondii2_0_v6:5:20867353:20870362:1 gene:B456_005G112000 transcript:KJB29647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFPNPTPQIEEISICWVLAIHHTFHFFSTFMAQPTLIAAICVLTLSHLVQATTFTIVNKCDYVVWPGILSNAGVPTLATTGFTLQRGETKTITAPTSWGGRFWGRTHCSHDSDGKFSCLTGDCGSGKLECSGNGAAPPATLAEFTLDGAGGLDFFDVSLVDGYNIPMLVVPPGGKGQNCSSTGCVVDLNGLCPSELRIMSSNGRDGIACKSACEAFRQPQYCCSGAYATPDTCKPSSYSQVFKTACPRAYSYAYDDKTSTFTCANAADYSITFCPSPNTSQKSSQEATTPGQNTETTTTAMPTSPLVDNTIMYEGLILDESEASPFRVTTYIFGIITVAIWWWCQIF >KJB29644 pep chromosome:Graimondii2_0_v6:5:20867295:20870344:1 gene:B456_005G112000 transcript:KJB29644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFPNPTPQIEEISICWVLAIHHTFHFFSTFMAQPTLIAAICVLTLSHLVQATTFTIVNKCDYVVWPGILSNAGVPTLATTGFTLQRGETKTITAPTSWGGRFWGRTHCSHDSDGKFSCLTGDCGSGKLECSGNGAAPPATLAEFTLDGAGGLDFFDVSLVDGYNIPMLVVPPGGKGQNCSSTGCVVDLNGLCPSELRIMSSNGRDGIACKSACEAFRQPQYCCSGAYATPDTCKPSSYSQVFKTACPRAYSYAYDDKTSTFTCANAADYSITFCPSPNTRNHRKRRRRQGRTQKQQQRRCQRHLLSTTLSCQVWDVLGLMVTWNPVMTLKAHLSIELY >KJB29645 pep chromosome:Graimondii2_0_v6:5:20867353:20870344:1 gene:B456_005G112000 transcript:KJB29645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFPNPTPQIEEISICWVLAIHHTFHFFSTFMAQPTLIAAICVLTLSHLVQATTFTIVNKCDYVVWPGILSNAGVPTLATTGFTLQRGETKTITAPTSWGGRFWGRTHCSHDSDGKFSCLTGDCGSGKLECSGNGAAPPATLAEFTLDGAGGLDFFDVSLVDGYNIPMLVVPPGGKGQNCSSTGCVVDLNGLCPSELRIMSSNGRDGIACKSACEAFRQPQYCCSGAYATPDTCKPSSYSQVFKTACPRAYSYAYDDKTSTFTCANAADYSITFCPSPNTRTLA >KJB29643 pep chromosome:Graimondii2_0_v6:5:20867353:20870362:1 gene:B456_005G112000 transcript:KJB29643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFPNPTPQIEEISICWVLAIHHTFHFFSTFMAQPTLIAAICVLTLSHLVQATTFTIVNKCDYVVWPGILSNAGVPTLATTGFTLQRGETKTITAPTSWGGRFWGRTHCSHDSDGKFSCLTGDCGSGKLECSGNGAAPPATLAEFTLDGAGGLDFFDVSLVDGYNIPMLVVPPGGKGQNCSSTGCVVDLNGLCPSELRIMSSNGRDGIACKSACEAFRQPQYCCSGAYATPDTCKPSSYSQVFKTACPRAYSYAYDDKTSTFTCANAADYSITFCPSPNTSQKSSQEATTPGQNTETTTTAMPTSPLVDNTIMSGVGCAGSHGDMESSHDIESSPVN >KJB29646 pep chromosome:Graimondii2_0_v6:5:20867361:20868837:1 gene:B456_005G112000 transcript:KJB29646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFPNPTPQIEEISICWVLAIHHTFHFFSTFMAQPTLIAAICVLTLSHLVQATTFTIVNKCDYVVWPGILSNAGVPTLATTGFTLQRGETKTITAPTSWGGRFWGRTHCSHDSDGKFSCLTGDCGSGKLECSGNGAAPPATLAEFTLDGAGGLDFFDVSLVDGYNIPMLVVPPGGKGQNCSSTGCVVDLNGLCPSELRIMSSNGRDGIACKSACEAFRQPQYCCSGAYATPDTCKPSSYSQVFKTACPRAYSYAYDDKTSTFTCANAADYSITFCPSPNTRTLA >KJB28381 pep chromosome:Graimondii2_0_v6:5:4277054:4277709:-1 gene:B456_005G044900 transcript:KJB28381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLTHELTLIITLLAKVVNQIQFLNCIGSEFVRKTQFLDLQKAIHQDNEKQGFEIFPGFTSSLLFSFVANQQGASTMAVGKNKRISKGKKGGKKKAADPFAKKDW >KJB29389 pep chromosome:Graimondii2_0_v6:5:15045770:15048679:1 gene:B456_005G098100 transcript:KJB29389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKIIAHPHCSNLFEAYMDCQKVGAPPEVAARLAAARQEFEARRGSAVSSTRDTIKDPELDQFMEAYYGMLVKYRDELMRPMQEALDFTRRTEAQLNRVSNGLVQIFNSDEKCDGVGSSEEEENNNHSNGETRTDPRAEDRELKNQLLRKYRGYLSSLKQELSKKKKKGKLPKESRQKLLTWWELHYKWPYPSETEKVALAESTGLDQKQINNWFINQRKRHWKPSEDSQFMVMDGLHSPNAVLYMDGHYMGGGPYRPGPS >KJB29390 pep chromosome:Graimondii2_0_v6:5:15045911:15048590:1 gene:B456_005G098100 transcript:KJB29390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFNRVNGNSIPKGNFVYASAVVATETNTSQNPPKLHLLRDQQEAEDMKAKIIAHPHCSNLFEAYMDCQKVGAPPEVAARLAAARQEFEARRGSAVSSTRDTIKDPELDQFMEAYYGMLVKYRDELMRPMQEALDFTRRTEAQLNRVSNGLVQIFNSDEKCDGVGSSEEEENNNHSNGETRTDPRAEDRELKNQLLRKYRGYLSSLKQELSKKKKKGKLPKESRQKLLTWWELHYKWPYPSETEKVALAESTGLDQKQINNWFINQRKRHWKPSEDSQFMVMDGLHSPNAVLYMDGHYMGGGPYRPGPS >KJB29052 pep chromosome:Graimondii2_0_v6:5:9870161:9872693:-1 gene:B456_005G081900 transcript:KJB29052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIRCRAAVAWESGKPLSIEEVEVAPPQKDEVRIKILFTSLCHTDVYFWDAKGQNPLFPRILGHEAGGIVESVGEGVTDLKPGDHVLPIFTGECKECPHCLSEESNICDLLRINTDRGEMINDGKSRFSINGKPIYHFLGTSTFSEYTVVHVGQVAKINPEAPLDKVCVLSCGMSTGFGATVNVAKPKKGQSVAIFGLGAVGLAAAEGARVSGASRIIGVDLNPSRFDQAKKFGVTEFVNPKDYNKPVREVIVEMTGGGVDRSVECTGSIQAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPVNLLNEKTVKGAFFGNYKPRSDIPAVVEKYMNKELELDKFITHTVPFSEINKAFELMLAGEGLRCVIRMDE >KJB32822 pep chromosome:Graimondii2_0_v6:5:63714796:63715453:1 gene:B456_005G263500 transcript:KJB32822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALVDIWSDEFVKLREKGQTLFSTGSTPTTAESGQVVRSLKKSSTESAGAFVGRVTQVKSPVVLCSEGSISMLVEFFSP >KJB28207 pep chromosome:Graimondii2_0_v6:5:3230237:3231703:1 gene:B456_005G034200 transcript:KJB28207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAIAAPANASLQDPTKSHPDVKLFNRWSFEEVQVSDISLSDYIGVQPSKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIYLLTDQNPIQVIVDAIINSGPREDAT >KJB29016 pep chromosome:Graimondii2_0_v6:5:9224167:9226910:1 gene:B456_005G079800 transcript:KJB29016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSILLIMLLLFIFLSSAFCLIRIGTSPKAMEGIPGSIGWPIIGESLSFLSDFSSPSGIFSFMNKRQQRYGKVFKSFVLGRYTVFMTGREASKILLTGKDGMVSLNLFYTGQQVLGPNSLLQTNGEAHKRLRRLIAEPLSIDGLKKYFHFINTQAIETLDQWTGKRVLVLEEASSFTLKVIGNMIMSLEPSGEEQERFRANFKIISSSFASMPFKIPGTAFHRGIKARDSMYAMIDSIIAKRRNGEVIQQDFLESLIIKHSKGTNTIDNEDNKLTDQQMKDNILTLLVAGHDTTTAALTWLVKFLGENPAVLERLREEHLQIQANRKDGTNLTWSEVNNMPYTNKVISETLRRATILPWYSRKAAQDFEIDGYNIKKGWSINLDVVSIHHDPETFPDPEKFDPSRFDAPLKTFSYLGFGSGPRMCPGMNLAKLEICIFIHHLVCKYKWRALEKDDSVQPTLVRMPKNKYPIMVEPL >KJB28167 pep chromosome:Graimondii2_0_v6:5:2819656:2825365:-1 gene:B456_005G031700 transcript:KJB28167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKWKWLRFIRMAVMLLVLEGCRWCTTDACLEHERIALLHLKPFFNDGNQLNSWVEVKGSDCCKWERVECNTTTRRLIQLSLNSTKWEYDMDNRNLNAWYLNASMFLPFEELKSLYLSGNAISGNLENEGFQLLSRLNNLETLDLSWNSLKNSILFHMRNLSSLKTLRLSGNELKGRMDHIQDGTQLRLTNLEELDLSGNLFRNNTISFLQGLSSLKSLNMRSNSLQGSLDTKDGGRKLELTHLEELNLDGNLFNTSAFASLNKLSNLKSLSIRVNQLKGSIDMKDLEAFIKLRELDMSYNELRDFVIHQGWCDLRNLEVLEVSENALEGMLPHCFSNLTSLRVLDISRNHFQIPLSFAPFANLSNLKALSSNENKMVLEPSFYTSIPKFQLEVISLSKCITSQQLSRKVPTFLYYQYDLRYVDLSHNNLSGTLPTWLLENNTKLEELILKGNSFTGPLSRSSALISNVSSIDISENKLQGQIPTGICSTFPHLRRLLLSKNAFEGNIPLCLSGMKYLSFLDLSNNQLYGKVPEELITKGSLIILKLSNNNFSGNVVPVILSANGVENLYLDGNNFSGEMTNVNASTFEFPYSLSQIDLSNNKLHGKLPRWIGNASFLWRLDLSNNGFEGSIPMEFCKLNRLEFLDLSQNNLSGSIPSCFNPPYIEHVHIHGNRLRGPLSLAFYNSSSIVSLDLRGNNLTGSIPKWIYTLSSLSVLLLKDNHFHGKVPVELCKLHSLSIIDLSQNMFSGPIPSCLGNLSLLMQTKKILDTEFYGPIEEDETTRSMILNLGIDSSYPDSYLEEVIEFTTKSGIFLYEGNILSYMTGIDLSCNNLTGHIPPELGNLSEIHSLNLSHNKLTGVIPSSFAKLHQIESLDLSYNNLSGEIPNQLVELNSLEVFSVAYNNLSGSIPEKAQFGTFIENSYEGNPFLCGAILHKSCSKIDSPSTILTVSEDKGEDVVLLTIFVVLYINPYWQRAWFSLVGKCITTCRYSNVGKFLTYHIFKRYV >KJB28166 pep chromosome:Graimondii2_0_v6:5:2819656:2824164:-1 gene:B456_005G031700 transcript:KJB28166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNSLQGSLDTKDGGRKLELTHLEELNLDGNLFNTSAFASLNKLSNLKSLSIRVNQLKGSIDMKDLEAFIKLRELDMSYNELRDFVIHQGWCDLRNLEVLEVSENALEGMLPHCFSNLTSLRVLDISRNHFQIPLSFAPFANLSNLKALSSNENKMVLEPSFYTSIPKFQLEVISLSKCITSQQLSRKVPTFLYYQYDLRYVDLSHNNLSGTLPTWLLENNTKLEELILKGNSFTGPLSRSSALISNVSSIDISENKLQGQIPTGICSTFPHLRRLLLSKNAFEGNIPLCLSGMKYLSFLDLSNNQLYGKVPEELITKGSLIILKLSNNNFSGNVVPVILSANGVENLYLDGNNFSGEMTNVNASTFEFPYSLSQIDLSNNKLHGKLPRWIGNASFLWRLDLSNNGFEGSIPMEFCKLNRLEFLDLSQNNLSGSIPSCFNPPYIEHVHIHGNRLRGPLSLAFYNSSSIVSLDLRGNNLTGSIPKWIYTLSSLSVLLLKDNHFHGKVPVELCKLHSLSIIDLSQNMFSGPIPSCLGNLSLLMQTKKILDTEFYGPIEEDETTRSMILNLGIDSSYPDSYLEEVIEFTTKSGIFLYEGNILSYMTGIDLSCNNLTGHIPPELGNLSEIHSLNLSHNKLTGVIPSSFAKLHQIESLDLSYNNLSGEIPNQLVELNSLEVFSVAYNNLSGSIPEKAQFGTFIENSYEGNPFLCGAILHKSCSKIDSPSTILTVSEDKGEDVVLLTIFVVLYINPYWQRAWFSLVGKCITTCRYSNVGKFLTYHIFKRYV >KJB27935 pep chromosome:Graimondii2_0_v6:5:1283887:1290109:-1 gene:B456_005G017500 transcript:KJB27935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRGVISSHYSLLLLLIGFLVVSSSITRVLSWGVIHVKYKFAGSQRSIVDLKVHDSVRQVRILAGVDLPLGGSGRPDGLGLYYARIGIGTPSKDYYVQVDTGSDIMWVNCIQCKECPRRSSLGMDLTLYNIEDSATGKLVSCDQDFCYAINGGPLAGCTANMSCPYLEIYGDGSSTAGYFVNDIVLYDRVSGDLKTSSANGSVIFGCGATQSGNLGSSNEESLDGILGFGKANSSMISQLASSGKVKNMFAHCLDGVNGGGIFAIGHVVRPKVNMTPLVPNQPHYNVNMTAVQVGHDFLSFTPDIFDSGDRQGVIIDSGTTLAYLPEMVYEPLVSKILSRQPDLKLQTIHDEYTCFQYSKSLDQAFPNVTFHFENSVTLKVYPHEYLFPYNGLWCIGWQNSGMQSRDRKNMTLLGDLVLSNKLVLYDLENQTIGWTEYNCSSSIQVLDERTGTVHLVGSQNISSACHLNAWSITVLILLATLLHFLGC >KJB27936 pep chromosome:Graimondii2_0_v6:5:1283921:1290079:-1 gene:B456_005G017500 transcript:KJB27936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRGVISSHYSLLLLLIGFLVVSSSITRVLSWGVIHVKYKFAGSQRSIVDLKVHDSVRQVRILAGVDLPLGGSGRPDGLGLYYARIGIGTPSKDYYVQVDTGSDIMWVNCIQCKECPRRSSLGMDLTLYNIEDSATGKLVSCDQDFCYAINGGPLAGCTANMSCPYLEIYGDGSSTAGYFVNDIVLYDRVSGDLKTSSANGSVIFGCGATQSGNLGSSNEESLDGILGFGKANSSMISQLASSGKVKNMFAHCLDGVNGGGIFAIGHVVRPKVNMTPLVPNQPHYNVNMTAVQVGHDFLSFTPDIFDSGDRQGVIIDSGTTLAYLPEMVYEPLVSKPDLKLQTIHDEYTCFQYSKSLDQAFPNVTFHFENSVTLKVYPHEYLFPYNGLWCIGWQNSGMQSRDRKNMTLLGDLVLSNKLVLYDLENQTIGWTEYNCSSSIQVLDERTGTVHLVGSQNISSACHLNAWSITVLILLATLLHFLGC >KJB29256 pep chromosome:Graimondii2_0_v6:5:12326988:12328996:1 gene:B456_005G091400 transcript:KJB29256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRNEKPLGEEGTDDPIPPLQVDLKINDIVGNRISGMLYKWVNYGKGWKPRWFVLQDGVLSYYKIDGPDKILVSQETEKGCKVIGEKSRRIISRHRDSFSNNSLTNRKPFGEVHLEVSTFRQSKSHDKRFSIFTGTKMLHLRAESQDDREAWMEALQAVKDMFHRVFHSKIVAPMVAKVAISTEKLRQRLVQEGLDSATIEDSEQIMRNEFATLQKQLVLLKQKQWLLIDILQQLEFLNEHERKNYRYRCCIDVIVVIPNYCPGPKAINTKLK >KJB29257 pep chromosome:Graimondii2_0_v6:5:12327072:12328328:1 gene:B456_005G091400 transcript:KJB29257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRNEKPLGEEGTDDPIPPLQVDLKINDIVGNRISGMLYKWVNYGKGWKPRWFVLQDGVLSYYKIDGPDKILVSQETEKGCKVIGEKSRRIISRHRDSFSNNSLTNRKPFGEVHLEVSTFRQSKSHDKRFSIFTGTKMLHLRAESQDDREAWMEALQAVKDMFHRVFHSKIVAPMVAKVAISTEKLRQRLVQEGLDSATIEDSEQIMRNEFATLQKQLVLLKQKQWLLIDILQQLEVYVMKMRKKHSIFKN >KJB31507 pep chromosome:Graimondii2_0_v6:5:56409436:56412658:-1 gene:B456_005G194300 transcript:KJB31507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLCIKRASKSLATIAPLSLTCKVRFLFPSSFSLLHSLPSPSSPPEPDSSSSSSSSSETHYKQLIFNTIDEKPWAFCNNKWVSNKFHAIIVDPHLFIKVLNLMRERPRIALRFFRWVEMQPGVKRSELVFSVMLDILVENNLLRSAYWVMERVIKFHMHGIVDVLICGYLKFEASVKLLDLLLLVCSKKLMVDHCLWIFDKMVRTGLLPDVKNCNRILTMLRDKSLVAKASQVYRMMKEFGIKPTIITYNTMLDSFCKEGEVQQAIELLSEMRCFPNDVTYNVLINGLTKNCKLEQAEGLIREMLKLGIKVSAYTYNPLICGYFKKGLLVEALNLGEQMVSNGVVHTVATYNTFMYGLCRWGRLDDARQQFNDMLKRNMIPDIVSYNTLIYWYCRIGNISEAFLLFNELRCRRLVPTVVTYNTLIDGLCRVGDLDLARYLKDTMITQGIFPDVYTYTILVNGSYKLGNLSAARDLFDEMLHNGLEPDGFAYATQVVGELKHGDPARAFSMEEQMIAKELPPDLIIYNVFVHWHSKLRDFKEACNLLHKMISIGLIPDHVTYTTIIHAYLENGHLRKAREMFHEMLSKGLSPSVVTYTILVHGHAAKGFLSLAFMYFSEMQEKGVQPNVITYNAMINGLCKVRRIGQAYKFFAEMEAKGILPNKYSYTILINENCDVGNWEESLRLYQEMLDREILPDSCTHNALLKQLNNDCNLNAVRQLETLILECKESCGAET >KJB30487 pep chromosome:Graimondii2_0_v6:5:39821104:39821583:-1 gene:B456_005G146300 transcript:KJB30487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KNILEQFIEVEELFLLDEMIKEYLETDLQKLRIGIQKGMIQLIKLYNEDHINTILHISTNLICFVILCGYSILRNEDVLILNSWVQEFLYNLNLYIGFHSPHGWELIIGSIYKDFEFAHNDQIISSLYSIFPIILNRFLNIGSFVI >KJB28098 pep chromosome:Graimondii2_0_v6:5:2415592:2417785:-1 gene:B456_005G027700 transcript:KJB28098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVDKTSGGREYKVKDMSQADFGRLEIDLAEVEMPGLMACRTEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAVIARDSASVFAWKGETLQEYWWCTEKSLDWGAGGGPDLIVDDGGDVTMLIHEGVKAEEAYEKTGKVPDPTSSDNAEFQIVLTIIKDGLTANPKKYTKMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCASALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVLSTADIFVTTTGNKDIIMVDHMKKMKNNAIVCNIGHFDNEIDMLGLENYPGVKRITIKPQTDRWVFPDTKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWTEKSTGKYEKKVYVLPKHLDEKVAALHLVKLGAKLTKLTKDQADYISVPIEGPYKPPHYRY >KJB31616 pep chromosome:Graimondii2_0_v6:5:57260997:57262295:1 gene:B456_005G198000 transcript:KJB31616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAIMIAAHTAKQQLRHCPNVVITKQKRASISELDSPNQATASGQKFLNKSFLRKVAHIQEPKRSTSKSITHIWYKPTNESSIPSINISH >KJB30942 pep chromosome:Graimondii2_0_v6:5:49453706:49456698:-1 gene:B456_005G168600 transcript:KJB30942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGKAAICLTLAITFLISCSIYLGTVDLRSYFFPVQSAPLSLCATGRPLRVYMYDLPRKFHVGMMGRRYSKESGPVTMENFPPWPARAGVRRQHSVEYWLMASLLYDGKGGEEREAVRVSDPATADAFFVPFFSSMSVTTHGHNMTDAATEGDRRLQVELLEILRQSKYYQRSGGRDHVIPMTHPNAFRFLRQQLNASILIIVDFGRYPRTMATLSKDVVSPYVHVVKSFTDDDPLDPYENRTTLLFFRGNTVRKDEGKIRVKLAKILTGNNDVRYEKSVATPSNIKMSTKGMRLSKFCLHPAGDTPSSCRLFDAIVSHCVPVVVSDKIELPFEDEIDYTKFSIFFSMKEALEPGYLVNQLRQFPKDRWVEMWKRLKQVSHHYEFQYPPKEEDAVYMIWRQVKHKLPGAQLAVHRNRRLKIPDWWRRKK >KJB28666 pep chromosome:Graimondii2_0_v6:5:6737239:6737735:-1 gene:B456_005G064300 transcript:KJB28666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNLIPKIVPQAFKSVEYIEGNGEPGSTKKVTFGEESQFNYMKQKVEALDKENCVYIYSVIEGDALMNKLEKITYETKLEDSLAGGSISKTTCKYYTVGDFELKEEGIKAGKEKALRIFKSIEVHLLANSDAY >KJB31454 pep chromosome:Graimondii2_0_v6:5:56128761:56129915:1 gene:B456_005G192400 transcript:KJB31454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein GIS3 [Source:Projected from Arabidopsis thaliana (AT1G68360) UniProtKB/Swiss-Prot;Acc:Q9C9H1] MAELEYQANTKTNSATTARLKLFGFNVPEDDDVLESSTTKDPSSGSSEPGGFPAPGDRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQATRSAAVSFARNPIISAFAPPPHLLASATPVVVPAAAGPSWVYLPRGGPPPFHVSHGCVIPSAGGGGGGGGVGRGPAGVPYGGGGGLGDPGVSVGPQVMQGGGHHGRGVDGPSLRRFSKGDGGPSFDDALGLDLHLSLAPARP >KJB28078 pep chromosome:Graimondii2_0_v6:5:2279645:2280241:1 gene:B456_005G026000 transcript:KJB28078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLSSRQPFSWVVRNWKDGGYEEREKDKLTFREELEQLGMVVPWCSQVEVLSHLYQGTNAKLIEDVGGMGVRVSANEEGIMERDEIVRCLGLVMGDGEKGMQVKKNVEKWKGLAGEAAMEGGSLDINLKVFVDDVAQGCCK >KJB30456 pep chromosome:Graimondii2_0_v6:5:39219347:39220392:1 gene:B456_005G144900 transcript:KJB30456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAILTSDVRFCSSLLILCLALPCRVFLSICYHLVSCQGPLVLICPYTKLVCLSMHCCKTMGCWWLYIKVPRC >KJB31674 pep chromosome:Graimondii2_0_v6:5:57711570:57715922:1 gene:B456_005G201100 transcript:KJB31674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDLRSHWSLRKMVTRNGMLYPILGFASCVAFLYMSFGDLKLSASFTKGPRFSFVERNGTQFFLNGKPLYVNGWNSYWLMAHSVDESSRPKVSAMLQAGAKMGLTVCRTWAFNDGGYNALQISPGQFDERVFKALDYVIAEARQQGIRLLLSLVNNLQPYGGKTQYVNWAWQEGVGLSSSNDSFFFDPSIRKYFKNYVLTVLTRKNTITGIQYRNDPTIFAWELINEPRCMTDPSGDTLQDWLEEMSAFIKSIDKNHLLTIGLEGFYGPSSPKKATVNPEQWASALGADFMRNSNITNVDFASVHIYPDQWFHGLGFEEKRNYVSKWMHSHIEDCDKELKKPVMFTEYGLSDQNKDFQLSQREQLYRTIQDIIYKSAKKKGSGAGALVWQFFVEGMQEYSDDFGMVPWQSPPIYKLTIEHSCKLARIQGLIQENGNLKQLCSKRK >KJB28875 pep chromosome:Graimondii2_0_v6:5:8108703:8113108:1 gene:B456_005G073800 transcript:KJB28875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKFVLLVMCLLTSTFSMSVAITSSSNGVVRLGLKKQSLGFNSIYAATRIKGRYSTYAKGAVTTTQDSDDSEMNIISLKNYMDIQYFGEIGIGSPPQNFTVIFDTGSSNLWIPSSHCHFSVACFFHSRYNSSNSSTYTEIGKPCKINYGSGMILGFFSEDNIKVGDLVVKNQVFTEATREGSVVLSLASFDGILGLGFQDISVGNVAPLWHSMKQQSLVAQKVFSFWFNKDPMASEGGELVFGGVDPKHFKGQHTYVPVTQKGYWQIDLEDFLVADQSTGCCINCTAIVDSGTAFIAGPFDAVKKINDAIEAKGFVSMECKKAVSQYGDLIWQLLLSGLQPDKLCSSIGICSFNGTQHLSDSIKMVVEQKGETHNDIEVGQDLVCAACELTVAWMRTEMMQKKTRGRVIEYVNKLCDTLPNPTTQLAVDCTKIPNMPTVSFVIGNKSFHLNPDQYILKVEQDMATFCISGFIPLDVPVSPPQGPLWILGEIFMEAYHTVFDFGNLRVGFAEAA >KJB28874 pep chromosome:Graimondii2_0_v6:5:8108618:8113108:1 gene:B456_005G073800 transcript:KJB28874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHKKFRGEFQNSKTELARLETSLFVPIRSLHCTLITGGERELVCSLAITLKEEVLYLSTVSLFLCFCYTLDWSSFSFFADPFFLLKLFLVSGYLGKMGDKFVLLVMCLLTSTFSMSVAITSSSNGVVRLGLKKQSLGFNSIYAATRIKGRYSTYAKGAVTTTQDSDDSEMNIISLKNYMDIQYFGEIGIGSPPQNFTVIFDTGSSNLWIPSSHCHFSVACFFHSRYNSSNSSTYTEIGKPCKINYGSGMILGFFSEDNIKVGDLVVKNQVFTEATREGSVVLSLASFDGILGLGFQDISVGNVAPLWHSMKQQSLVAQKVFSFWFNKDPMASEGGELVFGGVDPKHFKGQHTYVPVTQKGYWQIDLEDFLVADQSTGCCINCTAIVDSGTAFIAGPFDAVKKINDAIEAKGFVSMECKKAVSQYGDLIWQLLLSGLQPDKLCSSIGICSFNGTQHLSDSIKMVVEQKGETHNDIEVGQDLVCAACELTVAWMRTEMMQKKTRGRVIEYVNKLCDTLPNPTTQLAVDCTKIPNMPTVSFVIGNKSFHLNPDQYILKVEQDMATFCISGFIPLDVPVSPPQGPLWILGEIFMEAYHTVFDFGNLRVGFAEAA >KJB31094 pep chromosome:Graimondii2_0_v6:5:51575579:51575861:1 gene:B456_005G175800 transcript:KJB31094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALFPNSSHRREGLAPQCRLFATWGCSMFQGLGCSPIKAVRELGLEHRETVRSISGVGVRALRGPCPSTRGPGRTHL >KJB31062 pep chromosome:Graimondii2_0_v6:5:50711999:50712581:-1 gene:B456_005G174400 transcript:KJB31062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSQFCHVEAANILNIHGVPNIWHIPLLLRNQNAHHSILKQLNLLRLVFGSTFHHPSFSKYHQITKFVINFTLLWLNSLLHNVAGLGNQFGLRY >KJB31061 pep chromosome:Graimondii2_0_v6:5:50711618:50714434:-1 gene:B456_005G174400 transcript:KJB31061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPNTNRRHSSLIFLIFAFRCRNLHYTNRRWRSSSSLEGKDNFCLIHVSLIPVLGVVGEQPLLDNTKMKRSQFCHVEAANILNIHGVPNIWHIPLLLRNQNAHHSILKQLNLLRKF >KJB31747 pep chromosome:Graimondii2_0_v6:5:58556571:58557012:-1 gene:B456_005G2066002 transcript:KJB31747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLALAHAGVEDGKVVFVPWVETDFRTGDAPWWS >KJB31745 pep chromosome:Graimondii2_0_v6:5:58556984:58557010:-1 gene:B456_005G2066002 transcript:KJB31745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGIGTRWC >KJB31746 pep chromosome:Graimondii2_0_v6:5:58556572:58557012:-1 gene:B456_005G2066002 transcript:KJB31746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLALAHAGVEDGKVVFVPWVETDFRTGDAPWWS >KJB31465 pep chromosome:Graimondii2_0_v6:5:56253842:56254312:-1 gene:B456_005G193000 transcript:KJB31465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLQKFKLLATQCGVTQSPTRSPRTSPLLNFRRPKTTLRMLLTRSGSRKSSSCREMVYPQSFFGISGEKKKGDKDLSGRTLKDLFVSSGGEYEEDQGKVVKEKFGGKGEVEVATKLGGVNGLGGEAGSARAGWIGFRHRMVLRKAWRPMLQTIPE >KJB28221 pep chromosome:Graimondii2_0_v6:5:3317902:3321387:-1 gene:B456_005G035000 transcript:KJB28221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDVSKYAHSPVHVAVATRDYGSLRRILEALPRLGNPDEIQTEAASLAEEEKAEEIAAVIDRRDVPNRDTPLHLAVKVGDETATKMLMAAGADWSLQNEQGWSALQEAICNREESIAMIIVRDYQPLAWAKWCRRLPRLVGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKTGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDGKVPPGSLCMISHKDKEVMNALDGAGSQATDEEVRKEVVAMSQTSIFRPGIDVTQAVLLPQLTWRRQEKTEMVGAWKANVYDMHNVVVSIKSRRVPGAMTDDEFLGTSNENEAASEELDEILTEEERRQLEVALKLDSSEMSNESEDGIIGHQHSGYESREIPIEETNGFKNGETKQEKKGWFGGWRKKETKHEVQRKVVPPRSSFLVDEKVSDLLGDSPSRSQIKPGRHSVEIVATDDHRRIRDLRISTSMSSESSNRRKDSSRENEYKKGLRPILWLSPNFPLQIEELLPLLDILANKVKAIRRLRELLTTKLPAGTFPVKVCCSFTD >KJB28220 pep chromosome:Graimondii2_0_v6:5:3317705:3321776:-1 gene:B456_005G035000 transcript:KJB28220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDVSKYAHSPVHVAVATRDYGSLRRILEALPRLGNPDEIQTEAASLAEEEKAEEIAAVIDRRDVPNRDTPLHLAVKVGDETATKMLMAAGADWSLQNEQGWSALQEAICNREESIAMIIVRDYQPLAWAKWCRRLPRLVGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKTGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDGKVPPGSLCMISHKDKEVMNALDGAGSQATDEEVRKEVVAMSQTSIFRPGIDVTQAVLLPQLTWRRQEKTEMVGAWKANVYDMHNVVVSIKSRRVPGAMTDDEFLGTSNENEAASEELDEILTEEERRQLEVALKLDSSEMSNESEDGIIGHQHSGYESREIPIEETNGFKNGETKQEKKGWFGGWRKKETKHEVQRKVVPPRSSFLVDEKVSDLLGDSPSRSQIKPGRHSVEIVATDDHRRIRDLRISTSMSSESSNRRKDSSRENEYKKGLRPILWLSPNFPLQIEELLPLLDILANKVKAIRRLRELLTTKLPAGTFPVKVAIPVVPTIRVLVTFTKFEELPPVDEFSTPPSSPTAGQESPAVTQSSGSWFQWIKTPYQRPSSSNHSYNKIENLQDPFAIPPNYTWITAEAKKKKMQEKSKSKKGKGQNH >KJB28670 pep chromosome:Graimondii2_0_v6:5:6490865:6495033:-1 gene:B456_005G061700 transcript:KJB28670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin-2 complex subunit H2 [Source:Projected from Arabidopsis thaliana (AT3G16730) UniProtKB/Swiss-Prot;Acc:Q9LUR0] MKNMPGHQKQPQNGEAGGGEIGKFHGLQPERDLEANWEVDLAKKLEDYLLKICSGEITGSQDDDGHSSVNFAEAALLLQGSVQVYSRKVEYLYNLVLHALEFLSQKRQQDQPNGESVQAEESASRASPDEDHDKFWDLDDIPVEAKISLDSSTNNDTLVNHFVKPPANLVVLEGDCLDTSGDGSELESYLLATNDLYQDFILLDPYDAAAVDDYLNGDDAGKGEYGTNKGSSRRKSFQSPTWRSGGTAHKSSHRKNKDTNANQSPRVDCDFGVNDCNIGAVPSATDDFGNVDHGCDMDDRYSNPRDLDDSDDDDDDNDPWKPLNPHEPGNLKVRPFRKVKASRKNGVNSTKITTLFPLARLRGTISPELSEMWERWQNAIEKQRESKSPPLYEKLRQSLTGQGTGVANIFANFEEDNENNGYHDENADFGGPDFDEPENMPMDEDLPFKNEKHENGDAELGKNEMFDNGDPCSQASLEDLCRSHLDALLASIAENEKQTELAARVSSWKQKIEHNLEEQDSHPPFDIHEYGERILDKLSLEANKDVMPFGDLVKGQEKHDVARSFSALLQLVNNGDVGLERTGLCGESVCYTAENPFHVQILKQDKRSVETQLGIPKKRGKSPSRKKPTKADRNISSPEKCQSINADSDYGSTKLSSQNCKASVKLGKFSGVRCTPEGKRRRRSRLVEPVDLHSAL >KJB29420 pep chromosome:Graimondii2_0_v6:5:15733754:15736576:-1 gene:B456_005G099700 transcript:KJB29420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTDERNRLNDSINGNVLGPLQDSMADNRDITAVTPIESKAQRGLVAFDTESVSSGSTSGSSQSSSAKIDVKRGPQGIMVSTRSWQQTANRIGENKVLDANLLRLLHNWWLQWRFVNARVDATLSSQRSNAEKSLHNAWTTTSKLRESMIFLDEWTILDHDYCSSLSGSIKALMASMVRLPVVYGARVDVPKL >KJB28362 pep chromosome:Graimondii2_0_v6:5:4240948:4241367:-1 gene:B456_005G0445001 transcript:KJB28362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSVVQSDQIKAKDEYPNLSLRPLQVSDVDDFMVWASDEKVTRFCTWEPYTNKEDGLNYIKNIVVPHPWLRAICIDDRPVGAISVSANSGNDKCRGELGYVLAFKYWGKGIVTRAVKMVVETIFVEWPHLERLEALVD >KJB28057 pep chromosome:Graimondii2_0_v6:5:2209138:2210826:1 gene:B456_005G024900 transcript:KJB28057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIQGLVLSLIKDELGLSAAIEDLREENKHQVNNHKGNQVDRGDETDEGVDSSKVETLQISPIYYTLRDLMDLQDEDIDAFSFRSVTVVDNASSSKIGYLPPTNEAKPEVEVSLNLEKQDTELEACLLLSLPEPSFRTWMEPSGETDTTITPGPSDNKTTRHEPGSKSKWKNKIKDAIAKVTNSWSPKKQLQAAPNIDVVLYEIDAKGVLKMRWEDEKKWHAKPKRRPTSCMCCPKISRIRDFVLEEHV >KJB29499 pep chromosome:Graimondii2_0_v6:5:17810225:17810617:-1 gene:B456_005G103300 transcript:KJB29499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEFIRILPHVQSFLAVSLEIFIINATSILLIHGVVFSTSKKYDYPPLVSNMGWLGLLSVARLGGQCALGCGGAIFAQPPNLMPFPCCGRTSACGVVQPMGKIALTPHCSVLPTASLGFTRPRRGRGLL >KJB30798 pep chromosome:Graimondii2_0_v6:5:46270462:46271362:1 gene:B456_005G160700 transcript:KJB30798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTHKPHHVHHTCYDKIQGCDLLEGEWGKVGTIISWRYVHDGKAKVSKQLVEAIDPSKNLTTFREIEGDQLKEYKSFVATLQASPKNKGSGSIVHWTVEYEKLHHGIAHPETLLQFLVDASKDIDAHLTQPN >KJB31319 pep chromosome:Graimondii2_0_v6:5:54494524:54495189:1 gene:B456_005G186200 transcript:KJB31319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVTTAIFAALLIMVVSAHEGHDHTPGMAMSPGPAATNMGNILAPTAVMGVFLALIVAVLGPLTE >KJB32839 pep chromosome:Graimondii2_0_v6:5:63821681:63822055:-1 gene:B456_005G264500 transcript:KJB32839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAIVSKQLKTTDIEKRLTIPSKSLKYFPPLDGNHVVQFKARDESGHHWKFQIYTRKGKRYLKPVLTKGWREFVCTKELTIGDKVEFYMEEKEQGEAMYSVRVFRAVRIFGRIVAYVLDHKSS >KJB31221 pep chromosome:Graimondii2_0_v6:5:53273455:53289728:-1 gene:B456_005G181800 transcript:KJB31221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDKMKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLRWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDSSVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLTRAPHKRKSELYHALCNMLSSILAPLADSGKNQWPPTGVEPALTLWYEAVGQIRENLLHWMDKQSKHIAVGYPLVTLLLCLGDPQIFQGNLSSHMEQLYKLLRDKNHRFMALDCLHRVLRFYLSVHAANQPPNRIWDYLDSVTSQLLTVLRKGMLTQDVQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSERVGLEIFKGHDIGHYIPKVKAAIESILRSCNRTYSLALLTSSRTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAAMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRACLIDDKLAQDAQDPKQMVQQNIGFKKSSFHQSGDAIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLTLSKQPEHSIRYEAEPIFIIDVLEEHGEDIVQSCYWDSGRLFDYRRESDTIPPEVTLQSIIFESPDKNRWARCLSELVKYAAELCPSSVQDAKLEVLNRLAHITPAELGGKANQSQDVDNKLDQWLMYAMFVCSCPPDNKESGSVSATRDLYHLIFPSLRSGSEAHIHAATMALGHSHLESCEIMFSELTSFVEELSSETEGKPKWKSQKQTRREDLRVHIANIYRNVAENIWPGFLGRKPVFRRHYLRFIEDTTKYITAASADSFQETQPLRYALASVLRSLAPEFVDSKSERFDLRNRKKLFDLLLSWCDDLGSTWGQDGVSDYRREVERYKTSQHRSKDSVDKISFDKELSEQVEAIQWASMTAMASLLYGPCFDDNARKMSGRVIFWINSLFNEPAPKAPYGYSPVDPRTLSYSKYTGGRGAAGHDRHKGGHHRVALAKLALKNLLLTNLDLFPACIDQCYYSDPAIADGYFSILAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSIREWAEDGMEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDFDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLSQRMLEDSIEPIGTGANKGDANGNFILEFSQGPAAAQIASVADSQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVTGRSASGPLSPMPPELNIVPVTAGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDILHSAVGMHGVNAKELQSALQGHQQHSLTRADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVESSDGENKQQVVSLIKYVQSKRGSMMWENEDPTVTRTELPSAALLSALVQSMVDAIFFQGDLRETWGVEALKWAMECTSRHLACRSHQIYRALRPSVTSDTCVLLLRCLHRCLGNPIPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRVIDRLSFRDRTIENVLLSSMPRDEHSGDIGDLQRMESRGYDLPVTSGNLPAFEGVQPLVLKGLMSTVSHGVAIEVLSRITVHSCDSIFGDRETRLLMHITGLLPWLCLQLSKDPLVCPASPLQQQHHKACSVAANIAIWCRAESLDELATVFMAYSGGEITSIDNLLACVSPLLCNEWFPKHSALAFGHLLRLLERGPVEYQRVILLMLKALLQHTPMDSAQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSLTGSHPHESGSFENGTDEKMLAPQTSFKARSGPLQYALGSGFGIGSTSAPQAVPNESGTTPREVALQNTRLILGRVLDSCALGRRREYRRLVPFVTTIGNP >KJB31220 pep chromosome:Graimondii2_0_v6:5:53273455:53289580:-1 gene:B456_005G181800 transcript:KJB31220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDKMKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLRWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDSSVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLTRAPHKRKSELYHALCNMLSSILAPLADSGKNQWPPTGVEPALTLWYEAVGQIRENLLHWMDKQSKHIAVGYPLVTLLLCLGDPQIFQGNLSSHMEQLYKLLRDKNHRFMALDCLHRVLRFYLSVHAANQPPNRIWDYLDSVTSQLLTVLRKGMLTQDVQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSERVGLEIFKGHDIGHYIPKVKAAIESILRSCNRTYSLALLTSSRTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAAMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRACLIDDKLAQDAQDPKQMVQQNIGFKKSSFHQSGDAIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLTLSKQPEHSIRYEAEPIFIIDVLEEHGEDIVQSCYWDSGRLFDYRRESDTIPPEVTLQSIIFESPDKNRWARCLSELVKYAAELCPSSVQDAKLEVLNRLAHITPAELDNKESGSVSATRDLYHLIFPSLRSGSEAHIHAATMALGHSHLESCEIMFSELTSFVEELSSETEGKPKWKSQKQTRREDLRVHIANIYRNVAENIWPGFLGRKPVFRRHYLRFIEDTTKYITAASADSFQETQPLRYALASVLRSLAPEFVDSKSERFDLRNRKKLFDLLLSWCDDLGSTWGQDGVSDYRREVERYKTSQHRSKDSVDKISFDKELSEQVEAIQWASMTAMASLLYGPCFDDNARKMSGRVIFWINSLFNEPAPKAPYGYSPVDPRTLSYSKYTGGRGAAGHDRHKGGHHRVALAKLALKNLLLTNLDLFPACIDQCYYSDPAIADGYFSILAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSIREWAEDGMEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDFDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLSQRMLEDSIEPIGTGANKGDANGNFILEFSQGPAAAQIASVADSQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVTGRSASGPLSPMPPELNIVPVTAGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDILHSAVGMHGVNAKELQSALQGHQQHSLTRADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVESSDGENKQQVVSLIKYVQSKRGSMMWENEDPTVTRTELPSAALLSALVQSMVDAIFFQGDLRETWGVEALKWAMECTSRHLACRSHQIYRALRPSVTSDTCVLLLRCLHRCLGNPIPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRVIDRLSFRDRTIENVLLSSMPRDEHSGDIGDLQRMESRGYDLPVTSGNLPAFEGVQPLVLKGLMSTVSHGVAIEVLSRITVHSCDSIFGDRETRLLMHITGLLPWLCLQLSKDPLVCPASPLQQQHHKACSVAANIAIWCRAESLDELATVFMAYSGGEITSIDNLLACVSPLLCNEWFPKHSALAFGHLLRLLERGPVEYQRVILLMLKALLQHTPMDSAQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSLTGSHPHESGSFENGTDEKMLAPQTSFKARSGPLQYALGSGFGIGSTSAPQAVPNESGTTPREVALQNTRLILGRVLDSCALGRRREYRRLVPFVTTIGNP >KJB31219 pep chromosome:Graimondii2_0_v6:5:53273455:53281172:-1 gene:B456_005G181800 transcript:KJB31219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRACLIDDKLAQDAQDPKQMVQQNIGFKKSSFHQSGDAIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLTLSKQPEHSIRYEAEPIFIIDVLEEHGEDIVQSCYWDSGRLFDYRRESDTIPPEVTLQSIIFESPDKNRWARCLSELVKYAAELCPSSVQDAKLEVLNRLAHITPAELGGKANQSQDVDNKLDQWLMYAMFVCSCPPDNKESGSVSATRDLYHLIFPSLRSGSEAHIHAATMALGHSHLESCEIMFSELTSFVEELSSETEGKPKWKSQKQTRREDLRVHIANIYRNVAENIWPGFLGRKPVFRRHYLRFIEDTTKYITAASADSFQETQPLRYALASVLRSLAPEFVDSKSERFDLRNRKKLFDLLLSWCDDLGSTWGQDGVSDYRREVERYKTSQHRSKDSVDKISFDKELSEQVEAIQWASMTAMASLLYGPCFDDNARKMSGRVIFWINSLFNEPAPKAPYGYSPVDPRTLSYSKYTGGRGAAGHDRHKGGHHRVALAKLALKNLLLTNLDLFPACIDQCYYSDPAIADGYFSILAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSIREWAEDGMEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDFDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLSQRMLEDSIEPIGTGANKGDANGNFILEFSQGPAAAQIASVADSQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVTGRSASGPLSPMPPELNIVPVTAGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDILHSAVGMHGVNAKELQSALQGHQQHSLTRADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVESSDGENKQQVVSLIKYVQSKRGSMMWENEDPTVTRTELPSAALLSALVQSMVDAIFFQGDLRETWGVEALKWAMECTSRHLACRSHQIYRALRPSVTSDTCVLLLRCLHRCLGNPIPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRVIDRLSFRDRTIENVLLSSMPRDEHSGDIGDLQRMESRGYDLPVTSGNLPAFEGVQPLVLKGLMSTVSHGVAIEVLSRITVHSCDSIFGDRETRLLMHITGLLPWLCLQLSKDPLVCPASPLQQQHHKACSVAANIAIWCRAESLDELATVFMAYSGGEITSIDNLLACVSPLLCNEWFPKHSALAFGHLLRLLERGPVEYQRVILLMLKALLQHTPMDSAQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSLTGSHPHESGSFENGTDEKMLAPQTSFKARSGPLQYALGSGFGIGSTSAPQAVPNESGTTPREVALQNTRLILGRVLDSCALGRRREYRRLVPFVTTIGNP >KJB27633 pep chromosome:Graimondii2_0_v6:5:151766:154022:-1 gene:B456_005G002900 transcript:KJB27633 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHA-E2 [Source:Projected from Arabidopsis thaliana (AT3G08560) UniProtKB/TrEMBL;Acc:A0A178VP35] MNDGDVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQIVEAERRKIKQEYERKAKQVEIRKKIEYSMQLNASRIKVLQGQEELVNSIKESARKELQRLGTDKRGYKNLVKALVVQSLVRLREPSVLLRCREVDRKLVETIIDEAKREYAEKFNVPPPKIVIDSVYLPPPPPANGDEFAHQPYCSGGVVLASEDGKIVLENTLDARLEVAFKQKLPEIRRRLVGQLGG >KJB27632 pep chromosome:Graimondii2_0_v6:5:151766:153909:-1 gene:B456_005G002900 transcript:KJB27632 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHA-E2 [Source:Projected from Arabidopsis thaliana (AT3G08560) UniProtKB/TrEMBL;Acc:A0A178VP35] MNDGDVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQIVEAERRKIKQEYERKAKQVEIRKKIEYSMQLNASRIKVLQGQEELVNSIKESARKELQRLGTDKRGYKNLVKALVVQSLVRLREPSVLLRCREVDRKLVETIIDEAKREYAEKFNVPPPKIVIDSVYLPPPPPANGDEFAHQPYWYLLNHMLIEVCIYIICIALAYLYGTCCVEQ >KJB30395 pep chromosome:Graimondii2_0_v6:5:38023001:38024662:1 gene:B456_005G141200 transcript:KJB30395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERVSDSDKMEEIMLPGFRFHPTDEELVGFYLKRKIQQRPLSVELIKQLDIYKYDPWDLPKLATTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDSAATPPKRFLDKCLPANDSWAICRIFKKTNSTAQRALCHSWVSPIPETSSISDMLRGSDTPQLSSDNISLTPKTSSSPVQFNLISNTDLLQASSAAFSPLDFVPYKPLSQMAPQLPISNGDLTSLIFAPLDQTPSPAKSAVDVTSVLLNMSSSMLGEYDSTVHFHGGSQDHCNGFSSGTLPHGIQGNMVINNEDHENALLKNLNVTHVDDQWDGIRSIGFPFSLPLPMSVADAWKPSMP >KJB28056 pep chromosome:Graimondii2_0_v6:5:2204034:2205818:-1 gene:B456_005G024800 transcript:KJB28056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLPKFIVLKPSDNNGYLSYVREGENNLGFLKFFETQAVSPYAKFEVEISDTSGLVHIRSCQNNKYWQRTKTVSIAGVPPGQYWITATAQNKEEDQSKETCTLFKFAPIDHATGPVRIVHVQSGCNLCLWLGSDLILNRCVSANYREFDSNGFDIFSIIDCKSLLVLPKYVAFKGYNNKYLCVRENYITFSADDIGDSTVACETFVTDDGKVHIKSICAGKFWWADPKWIWVGYDDPSNNDGTTFRPVKIDDKTIGLISLANKNFCTTLTGQDHVEWLSPAVPTITKEAKLTVEEPVLTRDIYDVKYDLGNSRVYDETTFIIAKNFASNYTQEPTHMDIKLSYTNIKTSTWKSNFSLKLAMEAKMEFNVPLISQGNIEMSGEFHSDVKWEETKESKTLVDVVHKIVVPAMTKVTVNLIATKVQGATYTGSNYYSIHFETEETKLKPRKKPDPM >KJB31528 pep chromosome:Graimondii2_0_v6:5:60196763:60197944:-1 gene:B456_005G218700 transcript:KJB31528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTLTPTPFSFSLYRRVCPHASPLINSPHFRHPLPLAFSFHFFHKSPIFLLPPKTLRPITHLTMVASKFCFALSLAILSIWAVDAAQHHALAPSPSSPVDCSSLILNMADCLSFVSSGSKTSKPEGTCCSGLKTVLKAGPQCLCEAFKSSASLGVTLNVTKAMTLPAACKVSAPSATRCALSLSPTGAPGMAPSAIAGAPAAFSGGANEAAPAPSPGSSGSQVVSASMGSLILGFIIMLTSMY >KJB31526 pep chromosome:Graimondii2_0_v6:5:60197011:60197944:-1 gene:B456_005G218700 transcript:KJB31526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTLTPTPFSFSLYRRVCPHASPLINSPHFRHPLPLAFSFHFFHKSPIFLLPPKTLRPITHLTMVASKFCFALSLAILSIWAVDAAQHHALAPSPSSPVDCSSLILNMADCLSFVSSGSKTSKPEGTCCSGLKTVLKAGPQCLCEAFKSSASLGVTLNVTKAMTLPAACKVSAPSATRCALSLSPTGAPGIIF >KJB31527 pep chromosome:Graimondii2_0_v6:5:60196057:60197970:-1 gene:B456_005G218700 transcript:KJB31527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTLTPTPFSFSLYRRVCPHASPLINSPHFRHPLPLAFSFHFFHKSPIFLLPPKTLRPITHLTMVASKFCFALSLAILSIWAVDAAQHHALAPSPSSPVDCSSLILNMADCLSFVSSGSKTSKPEGTCCSGLKTVLKAGPQCLCEAFKSSASLGVTLNVTKAMTLPAACKVSAPSATRCALSLSPTGAPAIAGAPAAFSGGANEAAPAPSPGSSGSQVVSASMGSLILGFIIMLTSMY >KJB31525 pep chromosome:Graimondii2_0_v6:5:60196057:60197970:-1 gene:B456_005G218700 transcript:KJB31525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTLTPTPFSFSLYRRVCPHASPLINSPHFRHPLPLAFSFHFFHKSPIFLLPPKTLRPITHLTMVASKFCFALSLAILSIWAVDAAQHHALAPSPSSPVDCSSLILNMADCLSFVSSGSKTSKPEGTCCSGLKTVLKAGPQCLCEAFKSSASLGVTLNVTKAMTLPAACKVSAPSATRCA >KJB27760 pep chromosome:Graimondii2_0_v6:5:576684:580351:-1 gene:B456_005G008400 transcript:KJB27760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDSSEANSTQQVPILGGKEHENSTVIDPTLNHEATNKPKKKVHQFYFVKFWPYKDLDEESKISKSKQVVEEVDQKLNRMDQDYKLLKLKWRDTHSQLYRLSNRKLSIFYGLNLKKDLLHQLQLVLDKLNLAYKIPLKHKGGPNHTQGFQRWISHGSNNLVIERKFIKEMGRRQPRLNHLDLSTTSLLQNFIGCFNWGNRDKSSYIHMLEELKQIEEKKEKALADFAQNPNIFNSSTPRNAIEEQIKLGAELQFYLGSLRKISLELRIEQHEVKSTIEGLEKELIPMKEEVFVYYDQRREMLKQKEAAQYCISKLRQTFVEMNGMYDEYVSLLSNAKELARNKDVAALWKLSHQQVEEFMSEWNHPYVKTFRTNYKFSILPSLSDRWLSRDGRIGFGDDD >KJB28859 pep chromosome:Graimondii2_0_v6:5:8013152:8017937:-1 gene:B456_005G073100 transcript:KJB28859 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) UniProtKB/Swiss-Prot;Acc:O04714] MATVLSLHDRGILTAVNSGASSLSFVGSGFIVLCYSLFKELRKFSFKLVFYLSLSDMLCSFFNMVGDPSKGFFCYAQGYTTHFFCVASFLWTTTIAFTLHRTVVRHKTDVEDLEAIFHLYVWGTSLVMTVIRSIGNDHTHLGAWCWAQTGRTGKAIHFITFYVPLWGAILYNGFTYFQVIRMLNNATRMAVGMSDRAYQFDSRTDTKALNRWGYYPLILIGSWAFGTINRIHDFIEPGHKIFWLTFLDVGTAGLMGLFNSIAYGLNASVRRAISERLELFWPERLKRWFPNSSRHRNQQQQSELVSLKVQDQQ >KJB28860 pep chromosome:Graimondii2_0_v6:5:8013329:8017897:-1 gene:B456_005G073100 transcript:KJB28860 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) UniProtKB/Swiss-Prot;Acc:O04714] MATVLSLHDRGILTAVNSGASSLSFVGSGFIVLCYSLFKELRKFSFKLVFYLSLSDMLCSFFNMVGDPSKGFFCYAQGYTTHFFCVASFLWTTTIAFTLHRTVVRHKTDVEDLEAIFHLYVWGTSLVMTVIRSIGNDHTHLGAWCWAQTGRTGKAVHFITFYVPLWGAILYNGFTYFQVIRMLNNATRMAVGMSDRAYQFDSRTDTKALNRWGYYPLILIGSWAFGTINRIHDFIEPGHKIFWLTFLDVGTAGLMGLFNSIAYGLNASVRRAISERLELFWPERLKRWFPNSSRHRNQQQQSELVSLKVQDQQ >KJB28350 pep chromosome:Graimondii2_0_v6:5:4154751:4156398:1 gene:B456_005G043300 transcript:KJB28350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDHNPQIHIPLSTAVSNDTGNSLEQSQIISQPELIVPACCLPFVEKLKRKDRHNASYSHHLKTLLQGDTTDFEGYRIPLALHPIFENIVTIHGDITRSCMLSSFSAENVLLQFLATFKEMEETLSLEQVTEDQILKWKCCIAEALNIKFHADFAATRLIEIVKSYMDLIARSRMTAIDERIKALEMEMNGLKCEKLEIDRFVLSGSKELVGNFGLF >KJB29991 pep chromosome:Graimondii2_0_v6:5:28853565:28854634:1 gene:B456_005G127100 transcript:KJB29991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLLFPTTTKNLRHMWVFLNILLLMMSHDEGYLRFFAYMSLFNTSMLGLVTSSNLIQIYIFGELVRMCSYLLIGFGFTRPAVANACQKAFITNRIGDFDLLLGILGFYWITGSFKFQDLFEIFNNLIYNNKVHFLFVTLCASLLYAGAVAKSAQFPLHVWLPDAMEGPTPISTLIHAATMVAAGIFLVARLLPFFIVIPYIMNLISLIALAQKDIKKGLAYSTMSQLGHMMLALGMGSYRSALFHLITHAYSKALLLLASRLIIHSMEAIVGYSLEKSQNMVF >KJB30863 pep chromosome:Graimondii2_0_v6:5:47894659:47900554:1 gene:B456_005G164500 transcript:KJB30863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVQSHRKSSSNNVIVSILPLYRSAPPLEVRLEDFEFFAIDRLRVLKGISDGLCRGKKPEEMEKLVLDLWKANMRHPQAVEEVNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRHRFRWESSEAQRALMSEFKLPYKAVSNAEFESLKDKLGQVARSMGQTLAAADAIFYKVPFEEVPDLVAGRRVFIHKGHAYVAINQVVSLVVTQFRSYLSKALILTNRKWTSTIREQEKDRLTPIVEALCTSYLGPDYSQQQEFGEISIKDIDQVAKTSFPLCMRHLLEKVKEDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFAPKVSAERFDKEYAYNIRHNYGREGKRTDYTPYSCQKIISVTPGIGDHHGCPYRHFSEENLRAALVRMGVSSRAVEDVMDKVHNRHYQVIR >KJB30862 pep chromosome:Graimondii2_0_v6:5:47894620:47900639:1 gene:B456_005G164500 transcript:KJB30862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVQSHRKSSSNNVIVSILPLYRSAPPLEVRLEDFEFFAIDRLRVLKGISDGLCRGKKPEEMEKLVLDLWKANMRHPQAVEEVNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRHRFRWESSEAQRALMSEFKLPYKAVSNAEFESLKDKLGQVARSMGQTLAAADAIFYKVPFEEVPDLVAGRRVFIHKGHAYVAINQVVSLVVTQFRSYLSKALILTNRKWTSTIREQEKDRLTPIVEALCTSYLGPDYSQQQEFGEISIKDIDQVAKTSFPLCMRHLLEKVKEDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFAPKVSAERFDKEYAYNIRHNYGREGKRTDYTPYSCQKIISVTPGIGDHHGCPYRHFSEENLRAALVRMGVSSRAVEDVMDKVHNRHYQLACTLTFEAVHGSSCDAGINHPNQYFIDSQRVLQSKNGSVA >KJB29175 pep chromosome:Graimondii2_0_v6:5:11619104:11621301:-1 gene:B456_005G088300 transcript:KJB29175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYLFFFFFFIIPLFSSTSAIDSNDSSCPIDLSYVETFPWNQTTCLDPQGNQCCQTLISLFGMGMAQYLKETSVFQLPNPTVASSCLSGFQTQVAARSVHPSLVTSCFKNSTQFVSNVSNCAGIITVQDWVQKVGPVTALDTACKGDVTGLNCRPCIEAGYNVFSKLFSLDPNSTKCFDFTVLYAIGVINEFGPKDPGAAGCILGLPLDSSVNEKSKRKLSNETVLKLVFGFLGAFVGVLVAFALIILYRKWDKKNKRNAPHRRFVSSFRASVLPNSGAKWFHLSELERATDGFSQRNLIGRGAYGVVYKGTLADGTLVAVKQILDLDLEGDEDFSNEVEIISKIRHRNLLSLRGCCVTSDIMKGRRRYLVYDFMSNGSLGDHLFNEFTRQKLSWPQRKNIILDVAQGLAYLHYGIKPSIYHRDIKATNILLDSEMKAKVADFGLAKQSLEGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGIVILEIMSGRKVIETSNSSYLMITDWAWKLAKSGNVQEIFDESIREEGPKGVMERFVRVGILCAHVMVAFRPKIAEALKMLEGDIDIPKLPDRPLPLSHESFKSSLGRIASTSNASKYNSNMSLV >KJB30127 pep chromosome:Graimondii2_0_v6:5:31894738:31897728:-1 gene:B456_005G130700 transcript:KJB30127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKILAALLAVILEAFELIAIAGYLNKYGLISWFSQTVVKVVGGLGLSWQMSFGILVLLYFYSHYFFANGAAHIGAMFTAFLSVATALGTPSYLGALVLSFLSNLMGGITHYGIGSAPVFYGAGYVPLAKWWGYGFLILVVNIII >KJB29756 pep chromosome:Graimondii2_0_v6:5:23554291:23558550:1 gene:B456_005G117600 transcript:KJB29756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMAIKTLAASPLTRPPLTKSLSSLVRLVSFSTKSDDDDDAIPTAGISRPLADILKELNKKVPDSLVRLRTEDGFNIKYIPWHIVNRIMNLHAPEWSGEVRNITYSADGKSVSVVYRVTLYGTDAEIYRESTGTASVDDPGYGDPVQKAEAMAFRRACARFGLGLHLYHEDLL >KJB28079 pep chromosome:Graimondii2_0_v6:5:2284370:2286093:1 gene:B456_005G026100 transcript:KJB28079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFPHKIQKELRIFKFVGPNVTHFHCLSSLVKTLRFPFLVTPNPTFVKKHQVNYLRAAVTTMSQLHFLLVTFPAQGHINPTLQFAKHLIRIGVRVTFITCISARRRMSKVPTAQGLTFLPFSDGYDDGFQPCDDIDHYLSELRRRGKEAISEFITSSENEGKPVTCIVYTLFIHWASEVARKHHIPTALLWIQPATVFDIYYFYFNGYETTFKAQADETNPQRSIKLPGLPLLATRDLPSFLTALNVYRWALSLIQEKMDVLADESNPKILVNTFDALEHEALNAIENFNMVGIGPLIPSSFLNSNDSLDNSLRTDLFQSGSKDYLQWLDSKPKSAVVYVSFGSIAVLTKQQVEEIARALISSRRPFLWVVRNRKDGGYEEKEEDKLTCREELEQFGMVVPWCSQVEVLFHPSLGCFVTHCGWNSRLESMVAGVPVVAFPQWSDQGTTAKLIEDVWGNGVRVSANEEGIVERDEIVRCLDLVMGDDEKGMQVKKNVVKWKGLAERPPWKVVPWISTLKFLWMMLLKVVASKFIF >KJB32250 pep chromosome:Graimondii2_0_v6:5:61396223:61400019:-1 gene:B456_005G231600 transcript:KJB32250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFKLLLSQSRRLSLPHFSSSRFLSLHRSFSSSSSDSQSLPIQPVSYAPKPKDPPQPDQTLQSTPPEEGTRSTLSREDLRYVKDVPNISPISYPTRVAPLPEDRVGEERDQESEEMARESRKIQAESRAWRTPFRVAAEEEKVVIPFPMLIMPEKKNEKRPVLDLMDAIREVKGNAKANFDETVEAHVRLGIDQKRSELIVRGTMALPHGAKKEVKVAVFAEGADADDARAAGADIVGGVDLIEEIASTGKVDYDRCFSTHSFMKRLYKISKILNQHGLMPNPKQGTVTKDVTKAVKEAKQGQVKFRMDKTSIVHVGIGKVSLSEEFLRDNVGAFMNSLLLAKPAGLKKTSKYAGYVNSFHICSTMGPGFRVSIQSLSRAADHYSKVYLNA >KJB32249 pep chromosome:Graimondii2_0_v6:5:61395865:61400127:-1 gene:B456_005G231600 transcript:KJB32249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFKLLLSQSRRLSLPHFSSSRFLSLHRSFSSSSSDSQSLPIQPVSYAPKPKDPPQPDQTLQSTPPEEGTRSTLSREDLRYVKDVPNISPISYPTRVAPLPEDRVGEERDQESEEMARESRKIQAESRAWRTPFRVAAEEEKVVIPFPMLIMPEKKNEKRPVLDLMDAIREVKGNAKANFDETVEAHVRLGIDQKRSELIVRGTMALPHGAKKEVKVAVFAEGADADDARAAGADIVGGVDLIEEIASTGKVDYDRCFSTHSFMKRLYKISKILNQHGLMPNPKQGTVTKDVTKAVKEAKQGQVKFRMDKTSIVHVGIGKVSLSEEFLRDNVGAFMNSLLLAKPAGLKKNGSGFSRLYTVIIQSSRSLQQSVS >KJB29513 pep chromosome:Graimondii2_0_v6:5:18164251:18166329:1 gene:B456_005G104500 transcript:KJB29513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEPTSIGGSVTPPTSIDSKNSGVGASIQTKGTTGKRKAPPQRSEVWSHFTKFINSEGVSKAKCNYCEKEFCCDMKKNGTGSLKYHIGSCKKNPSNVVDTSQGQLVLPRKGVEGGEGNLSTWRFDQEACRKGLAQMILIDELPFKFVESEGFKKFMFVACPRFHIPSRTTMTRDAYQLYLDERVKIKQLLRSSCSRVCLTTDTWTSLQRVNYLCITAHFIDNDWKLNKKILNFCPISSHKGESIGMVIEKCLLNWGIDKLFTVTVDNASSNDVAIGYLRKKFTPRGGLVQNGKYLHMRCMAHIVNLIVVEGLKEMNKSVERVRGAVRYVRQSPARLQKFKEFVVVEKIECKKMLCLDVCTRWNSTYLMLDTAQNFERAFERFEEQDTNFRAELERGEGWPSVDDWDNVRNLRDFLEHFYEVTLRIYGTSYVTSNNFFDELSEIDILLRDAQLNSNIDFNVMAIKMKEKYDKYWGDIDKMNLLMFVACVLDPRQKLKYLEFTLSEMSSSEKACEMMQKLKESLYELFDEYKPPLHGTCSQSSVSTHDKTSELDKYLAEANEEFVEDFDILLWWKVNSPRFPTLSKIARDVLAIPVSTVASEFAFSTGGRVLDQYRSSLTPKIVQALVCTQDWIRRSSSQEDIKKIEEQIQELDKIENGMFIVLF >KJB30636 pep chromosome:Graimondii2_0_v6:5:42860406:42870061:-1 gene:B456_005G153000 transcript:KJB30636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLQQSHHHQSVAVKGNEQMGTKRGYTFIQSNRDWLPKLILLWVMVMGFISTWIYKKMDADNNVRREEVLSSMCDQRARMLQDQFSVSVNHVHALALLVATFHYYKNPSAIDQETFAEYTARAAFERPLLSGVAYAETVVHSGREKFEKQHGWTIKTMQREPSRLRDEYAPVIFSQETISYIESLDMMSGEEDRENIVRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPKPTMEERIVATAGYLGGAIDVESLVENLLGQLAGNQAILVNVYDITNSSDPLVMYGHQNQDGDWAVVHESKLDFGDPFRKHQMICRYHQKAPTSWTALTNVFLFFVICLLVGYILYGAVIHIVKVEDDFREMQELKVRAEAADVAKSQFLGTVSHEIRTPMNGILGMLALLLDTDLSSTQRGYAQTAQVCGKALITLINEVIDRAKIEAGKLELETVPFNIRSIFDNVLSLFSEKSRNKGVELAVFVSDKVPEMVMGDLGRFRQIITNLVGNSVKFTERGHIFVKVHLAESTQPVADTKVETCLNGGSDEGLLISSACQFKTGYEAADERNSWDSFRHLVVDEELRYDAPINTIDEASRGFTLMVSVEDTGIGIPLIVQDRVFTPFMQADSSTSRNYGGTGIGLSITKCLVELMGGHISFISRPQVGSTFSFTTVFKRCNKASYNDTKKSIVELPSGFKGLKAIVVDGKPV >KJB30635 pep chromosome:Graimondii2_0_v6:5:42862025:42869221:-1 gene:B456_005G153000 transcript:KJB30635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLQQSHHHQSVAVKGNEQMGTKRGYTFIQSNRDWLPKLILLWVMVMGFISTWIYKKMDADNNVRREEVLSSMCDQRARMLQDQFSVSVNHVHALALLVATFHYYKNPSAIDQETFAEYTARAAFERPLLSGVAYAETVVHSGREKFEKQHGWTIKTMQREPSRLRDEYAPVIFSQETISYIESLDMMSGEEDRENIVRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPKPTMEERIVATAGYLGGAIDVESLVENLLGQLAGNQAILVNVYDITNSSDPLVMYGHQNQDGDWAVVHESKLDFGDPFRKHQMICRYHQKAPTSWTALTNVFLFFVICLLVGYILYGAVIHIVKVEDDFREMQELKVRAEAADVAKSQFLGTVSHEIRTPMNGILGMLALLLDTDLSSTQRGYAQTAQVCGKALITLINEVIDRAKIEAGKLELETVPFNIRSIFDNVLSLFSEKSRNKGVELAVFVSDKVPEMVMGDLGRFRQIITNLVGNSVKFTERGHIFVKVHLAESTQPVADTKVETCLNGGSDEGLLISSACQFKTGYEAADERNSWDSFRHLVVDEELRYDAPINTIDEASRGFTLMVSVEDTGIGIPLIVQDRVFTPFMQADSSTSRNYGGTGIGLSITKCLVELMGGHISFISRPQVGSTFSFTTVFKRCNKASYNDTKKSIVELPSGFKGLKAIVVDGKPV >KJB30637 pep chromosome:Graimondii2_0_v6:5:42860879:42869221:-1 gene:B456_005G153000 transcript:KJB30637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLQQSHHHQSVAVKGNEQMGTKRGYTFIQSNRDWLPKLILLWVMVMGFISTWIYKKMDADNNVRREEVLSSMCDQRARMLQDQFSVSVNHVHALALLVATFHYYKNPSAIDQETFAEYTARAAFERPLLSGVAYAETVVHSGREKFEKQHGWTIKTMQREPSRLRDEYAPVIFSQETISYIESLDMMSGEEDRENIVRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPKPTMEERIVATAGYLGGAIDVESLVENLLGQLAGNQAILVNVYDITNSSDPLVMYGHQNQDGDWAVVHESKLDFGDPFRKHQMICRYHQKAPTSWTALTNVFLFFVICLLVGYILYGAVIHIVKVEDDFREMQELKVRAEAADVAKSQFLGTVSHEIRTPMNGMLALLLDTDLSSTQRGYAQTAQVCGKALITLINEVIDRAKIEAGKLELETVPFNIRSIFDNVLSLFSEKSRNKGVEVSRDKTNRKPSLAVFVSDKVPEMVMGDLGRFRQIITNLVGNSVKFTERGHIFVKVHLAESTQPVADTKVETCLNGGSDEGLLISSACQFKTGYEAADERNSWDSFRHLVVDEELRYDAPINTIDEASRGFTLMVSVEDTGIGIPLIVQDRVFTPFMQADSSTSRNYGGTGIGLSITKCLVELMGGHISFISRPQVGSTFSFTTVFKRCNKASYNDTKKSIVELPSGFKGLKAIVVDGKPGKQNGHLFKLPKVILLAKNITNVELEKAKAAGFADTVIMKPMRASMAVACLQQVLGIGKKRQAGKDMLNGSPVLQGLLCGKKILVVDDNMAHQRVFAGALKKFGAAVECRESGKAALKLLQLPCCFYACFMDIQMPEMDGFEATHPIRMMESKANEQMNGGMDEDSGRKGEWHVPILAMTADVIHATYDAGLKCRMDGCVEAL >KJB29871 pep chromosome:Graimondii2_0_v6:5:25802324:25809074:1 gene:B456_005G121700 transcript:KJB29871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLSKEIEKHDTGSGHHPSEDSEYDRLVISNEPTAAGVDILQPQPPTRSETFSWWIKAFIFCIFAVILILVFLKWGVPFLFEKILFPIMQWEATAFGRPVLAVVLVTSLALFPVLFIPSGPSMWLAGMIFGYGLGFLIIMVGTTIGMVLPYLIGLLFRERIHQWLKKWPQTAAMVRLAGEGSWFHQFKVVALFRVSPFPYTIFNYAVVVTNMKFWPYLCGSIAGMIPEAFIYIYSGRLIRTLANVKYGNYHLTPVEIIYNIVSFIIAIVTTIAFTVYAKRALNDLGNRETEVDDESASYQGGLEMEKLPDERRKHVGVMSFSS >KJB29872 pep chromosome:Graimondii2_0_v6:5:25802425:25809037:1 gene:B456_005G121700 transcript:KJB29872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLSKEIEKHDTGSGHHPSEDSEYDRLVISNEPTAAGVDILQPQPPTRSETFSWWIKAFIFCIFAVILILVFLKWGVPFLFEKILFPIMQWEATAFGRPVLAVVLVTSLALFPVLFIPSGPSMWLAGMIFGYGLGFLIIMVGTTIGMVLPYLIGLLFRERIHKWPQTAAMVRLAGEGSWFHQFKVVALFRVSPFPYTIFNYAVVVTNMKFWPYLCGSIAGMIPEAFIYIYSGRLIRTLANVKYGNYHLTPVEIIYNIVSFIIAIVTTIAFTVYAKRALNDLGNRETEVDDESASYQGGLEMEKLPDERRKHVGVMSFSS >KJB31137 pep chromosome:Graimondii2_0_v6:5:52318257:52321368:1 gene:B456_005G178500 transcript:KJB31137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPFSCLPCARSTTSPDAFLLRYPHLRLPKCRHYEHQLPKIENLMFRPFQVPTRPYLPILSLRNSRRTHPCANISSFAEAEGGKEQNDEAIHLGTRQEDAKPNEEYLPGMAQAFNISSRTASAIAIFIAFAALTLPFFMKSLGQGVGVKTKFLSYATLLFGFYMAWNIGANDVANAMGTSVGSGALTLRQAVVTAAILEFSGALLMGTHVTGTMQNGILVANMFQGKDTLLFAGLLSSLASAGTWLQVASYYGWPVSTTHCIVGSMVGFGLAYGGAGAVFWTSLARVASSWVISPVLGALVSFLVYKCIRRFVYSARNPGQAAAAAAPIAVFLGVTGISFAAFPLSKSFPIALAQALGCGAVGAVLVYNLIRKQLGHLLEKSASSHSEPQESNTQTKNLGLLSDVAGPKGTQLEIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAGALSILQGGASSAEIVIPNDVLAWGGFGIVAGLLIWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLALPISATHTLVGAVMGVGFARGLNSVRAETVREIVTSWAVTIPVGASLAVLYTWILTKLLSFIL >KJB29630 pep chromosome:Graimondii2_0_v6:5:20317478:20326837:-1 gene:B456_005G110900 transcript:KJB29630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGSKKRLKVTAAEVGVDSDDDEPILSLLKLRRPKNPKKDKAGLEGSAGKFQKVEVKAGKTVDKDEEDFGGMNDTLASFRKKLKDPKKDIDPGAMRVRSNSLNKSVEGGGILDGKSVSNTDVKGQDIGEDRSDVATDKVVGKKRTGKVRRTKSDSKTKPSEVDDESRAKLEEDQNEGGLSPGEGLYQCSHKAQSASVGKSCPILCLKHNCEAAHHASDSKNPSRNCGDSSHSVSSSSFSHSSSKECNTAENQGFDHSLYQQESILEPGDLTVEKDPTEHPCRSSKVCDKDCHSNIELRDNFSAIDLRSKPGTESSQQNKLNLSLSVVDSLKMEETCTDVPNSCAEEYSLETSIHPNELVASIQRCNSALHQPSEDASHGACGPTHDTVFISKKANVDSPISTPDENESCHEDAVSLPSSELKNSKSSAFQRGGRNIKKRRHGDMAYEGDADWENLLTEQGFFGNQQFADSDHSFRAREKFDEAAVSSGLKACAVGPVEKIKFKEVLKCRGGLQEYLECRNHILGLWSKDVNRILPLVDCGVSDTPSEGEPSRASLIREIYAFLDQGGYINFGISSKKEKAELSVKDNYKLLEGRKSDGNSVASVADSEDGVAFILGQVKNSKASMDAKTGLRVVDENQASEATIAIAEVLVDSITPELPYKCQQNGSFSAKLNTGLISSQVSSSDLSCDATDVGVAPVVTPEERNDSQYVQSATYDKPDGNHQLLNDSEVRKNIIVIGAGPAGLTAARHLKRQGFSVVVLEARDRIGGRVYTDCSSLSVPVDLGASIITGVEADVSTNRRPDPSSLICAQLGLELTVLNSSCPLYDIVSGQKVPADLDDALEAEYNSLLDDMVFLVAQKGKKAMTMSLEDGLEYALKRHRMEEIGADIEETESHSSVDAFYDSKASNIFGFPRKKCSEEEILSPLERRVMNWHYAHLEYGCAASLKEVSLPNWNQDDVYGGFGGAHCMIKGGYSTVVESLGEGLLIHLNHVVTNISYSPKGPGVDNSHHRQVKVSTSNGSEFSGDAVLITVPLGCLKAGAIKFSPPLPQWKHSSIQQLGFGVLNKVVLEFPEVFWDDTVDYFGVTAEETDSRGHCFMFWNVRKTVGAPVLIALVAGKAAIDGQNMSASDHVNHAVIILRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAIGASGEDYDMLARPVENCLFFAGEATCKEHPDTVGGAMLSGLREAVRLIDIFTTGNDYTAEVEAMEAAQRHSESGRDEVRDIIKRLEAVELSNVLYKNSLDRARILSREALLRDMFFNVKTTAGRLHLAKKLLGLPVESLKSFAGTKEGLSTLNSWMLDSMGKDGTQLLRHCVRLLVLVSTDLLAVRSSGIGKTVKEKICVHTSRDIRAIASQLVNVWLEVFRKAKASSKRKSLKDPASGKPPLHSQHGAFESKESLQDPFSAGKQYPLNIKENGKSLDIEVEAVNQGMSEEEQAAFAAEAAARAAAKAAAEALASTEANCNKLLQLPKIPSFHKFARREQYAQMDEGKWPGGVFGRQDCISEIDSRNCRVRDWSVDFSAACVNLDNSGMSVDNLSQRSHLKLREHSGESLAVDSSIFMKAWVDTAGNGGIKDYHAIERWQSQAAAADPDFFHPTNFKDEEDSNTSSRQPTWKNDGRANESSVSQVSVNKERFENHPHGADRIKQAVVDYVASLLMPLYKARKIDKEGYKSIMKKTATKVMEQATDAEKNMAVFEFLDFKRKNKIRPFVDKLIERHMAMKPTMKL >KJB29629 pep chromosome:Graimondii2_0_v6:5:20318074:20326837:-1 gene:B456_005G110900 transcript:KJB29629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGSKKRLKVTAAEVGVDSDDDEPILSLLKLRRPKNPKKDKAGLEGSAGKFQKVEVKAGKTVDKDEEDFGGMNDTLASFRKKLKDPKKDIDPGAMRVRSNSLNKSVEGGGILDGKSVSNTDVKGQDIGEDRSDVATDKVVGKKRTGKVRRTKSDSKTKPSEVDDESRAKLEEDQNEGGLSPGEGLYQCSHKAQSASVGKSCPILCLKHNCEAAHHASDSKNPSRNCGDSSHSVSSSSFSHSSSKECNTAENQGFDHSLYQQESILEPGDLTVEKDPTEHPCRSSKVCDKDCHSNIELRDNFSAIDLRSKPGTESSQQNKLNLSLSVVDSLKMEETCTDVPNSCAEEYSLETSIHPNELVASIQRCNSALHQPSEDASHGACGPTHDTVFISKKANVDSPISTPDENESCHEDAVSLPSSELKNSKSSAFQRGGRNIKKRRHGDMAYEGDADWENLLTEQGFFGNQQFADSDHSFRAREKFDEAAVSSGLKACAVGPVEKIKFKEVLKCRGGLQEYLECRNHILGLWSKDVNRILPLVDCGVSDTPSEGEPSRASLIREIYAFLDQGGYINFGISSKKEKAELSVKDNYKLLEGRKSDGNSVASVADSEDGVAFILGQVKNSKASMDAKTGLRVVDENQASEATIAIAEVLVDSITPELPYKCQQNGSFSAKLNTGLISSQVSSSDLSCDATDVGVAPVVTPEERNDSQYVQSATYDKPDGNHQLLNDSEVRKNIIVIGAGPAGLTAARHLKRQGFSVVVLEARDRIGGRVYTDCSSLSVPVDLGASIITGVEADVSTNRRPDPSSLICAQLGLELTVLNSSCPLYDIVSGQKVPADLDDALEAEYNSLLDDMVFLVAQKGKKAMTMSLEDGLEYALKRHRMEEIGADIEETESHSSVDAFYDSKASNIFGFPRKKCSEEEILSPLERRVMNWHYAHLEYGCAASLKEVSLPNWNQDDVYGGFGGAHCMIKGGYSTVVESLGEGLLIHLNHVVTNISYSPKGPGVDNSHHRQVKVSTSNGSEFSGDAVLITVPLGCLKAGAIKFSPPLPQWKHSSIQQLGFGVLNKVVLEFPEVFWDDTVDYFGVTAEETDSRGHCFMFWNVRKTVGAPVLIALVAGKAAIDGQNMSASDHVNHAVIILRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAIGASGEDYDMLARPVENCLFFAGEATCKEHPDTVGGAMLSGLREAVRLIDIFTTGNDYTAEVEAMEAAQRHSESGRDEVRDIIKRLEAVELSNVLYKNSLDRARILSREALLRDMFFNVKTTAGRLHLAKKLLGLPVESLKSFAGTKEGLSTLNSWMLDSMGKDGTQLLRHCVRLLVLVSTDLLAVRSSGIGKTVKEKICVHTSRDIRAIASQLVNVWLEVFRKAKASSKRKSLKDPASGKPPLHSQHGAFESKESLQDPFSAGKQYPLNIKENGKSLDIEVEAVNQGMSEEEQAAFAAEAAARAAAKAAAEALASTEANCNKLLQLPKIPSFHKFARREQYAQMDEGKWPGGVFGRQDCISEIDSRNCRVRDWSVDFSAACVNLDNSGMSVDNLSQRSHLKLREHSGESLAVDSSIFMKAWVDTAGNGGIKDYHAIERWQSQAAAADPDFFHPTNFKDEEDSNTSSRQPTWKNDGRANESSVSQVSVNKERFENHPHGADRIKQAVVDYVASLLMPLYKARKIDKEGYKSIMKKTATKVMEQATDAEKNMAVFEFLDFKRKNKIRPFVDKLIERHMAMKPTMKL >KJB29628 pep chromosome:Graimondii2_0_v6:5:20318568:20326805:-1 gene:B456_005G110900 transcript:KJB29628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGSKKRLKVTAAEVGVDSDDDEPILSLLKLRRPKNPKKDKAGLEGSAGKFQKVEVKAGKTVDKDEEDFGGMNDTLASFRKKLKDPKKDIDPGAMRVRSNSLNKSVEGGGILDGKSVSNTDVKGQDIGEDRSDVATDKVVGKKRTGKVRRTKSDSKTKPSEVDDESRAKLEEDQNEGGLSPGEGLYQCSHKAQSASVGKSCPILCLKHNCEAAHHASDSKNPSRNCGDSSHSVSSSSFSHSSSKECNTAENQGFDHSLYQQESILEPGDLTVEKDPTEHPCRSSKVCDKDCHSNIELRDNFSAIDLRSKPGTESSQQNKLNLSLSVVDSLKMEETCTDVPNSCAEEYSLETSIHPNELVASIQRCNSALHQPSEDASHGACGPTHDTVFISKKANVDSPISTPDENESCHEDAVSLPSSELKNSKSSAFQRGGRNIKKRRHGDMAYEGDADWENLLTEQGFFGNQQFADSDHSFRAREKFDEAAVSSGLKACAVGPVEKIKFKEVLKCRGGLQEYLECRNHILGLWSKDVNRILPLVDCGVSDTPSEGEPSRASLIREIYAFLDQGGYINFGISSKKEKAELSVKDNYKLLEGRKSDGNSVASVADSEDGVAFILGQVKNSKASMDAKTGLRVVDENQASEATIAIAEVLVDSITPELPYKCQQNGSFSAKLNTGLISSQVSSSDLSCDATDVGVAPVVTPEERNDSQYVQSATYDKPDGNHQLLNDSEVRKNIIVIGAGPAGLTAARHLKRQGFSVVVLEARDRIGGRVYTDCSSLSVPVDLGASIITGVEADVSTNRRPDPSSLICAQLGLELTVLNSSCPLYDIVSGQKVPADLDDALEAEYNSLLDDMVFLVAQKGKKAMTMSLEDGLEYALKRHRMEEIGADIEETESHSSVDAFYDSKASNIFGFPRKKCSEEEILSPLERRVMNWHYAHLEYGCAASLKEVSLPNWNQDDVYGGFGGAHCMIKGGYSTVVESLGEGLLIHLNHVVTNISYSPKGPGVDNSHHRQVKVSTSNGSEFSGDAVLITVPLGCLKAGAIKFSPPLPQWKHSSIQQLGFGVLNKVVLEFPEVFWDDTVDYFGVTAEETDSRGHCFMFWNVRKTVGAPVLIALVAGKAAIDGQNMSASDHVNHAVIILRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAIGASGEDYDMLARPVENCLFFAGEATCKEHPDTVGGAMLSGLREAVRLIDIFTTGNDYTAEVEAMEAAQRHSESGRDEVRDIIKRLEAVELSNVLYKNSLDRARILSREALLRDMFFNVKTTAGRLHLAKKLLGLPVESLKSFAGTKEGLSTLNSWMLDSMGKDGTQLLRHCVRLLVLVSTDLLAVRSSGIGKTVKEKICVHTSRDIRAIASQLVNVWLEVFRKAKASSKRKSLKDPASGKPPLHSQHGAFESKESLQDPFSAGKQYPLNIKENGKSLDIEVEAVNQGMSEEEQAAFAAEAAARAAAKAAAEALASTEANCNKLLQLPKIPSFHKFARREQYAQMDEGKWPGGVFGRQDCISEIDSRNCRVRDWSVDFSAACVNLDNSGMSVDNLSQRSHLKLREHSGESLAVDSSIFMKAWVDTAGNGGIKDYHAIERWQSQAAAADPDFFHPTNFKDEEDSNTSSRQPTWKNDGRANESSVSQVSVNKERFENHPHGADRIKQAVVDYVASLLMPLYKARKIDKEGYKSIMKKTATKVMEQATDAEKNMAVFEFLDFKRKNKIRPFVDKLIERHMAMKPTMKL >KJB29112 pep chromosome:Graimondii2_0_v6:5:10500359:10506250:-1 gene:B456_005G084900 transcript:KJB29112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNPSPRVAIALDATKDLNQRELSATISNVRARGDILVNGDTLVVLGILGKVTLPLGYQSKASVLSTFETSIRAMHEEISKKVDTYVRMLQKSAQDCERQGVRVEVKIIGGASTKDIVIKEAASCKAVILDGKLRQDLQFYLDKIPCKEVTSFQDSIVLEILRADAQSEAGITAVKKLFYIYYKARPILQSNFQANNTITKRNEDLRKIKILLTYERILQKRKQDTIQTGCFPKKRAYSDEGDRVVQKKGNRISGGFKGATDAKGEAETCF >KJB29113 pep chromosome:Graimondii2_0_v6:5:10500472:10506250:-1 gene:B456_005G084900 transcript:KJB29113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNPSPRVAIALDATKDLNQRELSATISNVRARGDILVNGDTLVVLGILGKVTLPLGYQSKASVLSTFETSIRAMHEEISKKVDTYVRMLQKSAQDCERQGVRVEVKIIGGASTKDIVIKEAASCKAVILDGKLRQDLQFYLDKIPCKEVTSFQDSIVLEILRADAQSEAGITAVKKLFYIYYKARPILQSNFQANNTITKRNEDLRKIKILLTYERILQKRKQDTIQTGCFPKKRAYSDEELLNVVLPLVFDELEKIKPETWKEIGWFRRKVIAFRVVLKARRMRKEKLRHASKMKFA >KJB28170 pep chromosome:Graimondii2_0_v6:5:2873855:2876978:-1 gene:B456_005G0320001 transcript:KJB28170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWKWYLWFIRMAVMLLVLERCSWCTTDACLEHERIALLHLKPFFNYRNQLQSWVEVKGSDCCKWERVECNTTTRRLIQLSLNSTKWEDNMEYVMDNRNLNAWYLNASMFLPFEELKRLYLSGNVIGGSLENEGFGKLSSTLSNLEILDLSENYLNDSILLSLSELSSLRYLDLSINKFEGSSHLRGFQLLSRLNNLETLDLSGNSLKNTILFHMRNISSLKTLRLSANQLKGRLDHIHDGTLLRLTNLEELDLSDNLFRNNTISFLQGLSSLKSLTLYYNHLQGSLDTKGLSNLTNLKKLDLSWNQIESFQSLKDGGRKLELTHLEELNLDGNLFNTSAFASLNKLSNLKSLSIRVNQLKGSIDMKDLEAFIKLRELDMSYNELRDFVIHQ >KJB32071 pep chromosome:Graimondii2_0_v6:5:60394204:60396283:-1 gene:B456_005G221400 transcript:KJB32071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMRRSTPCSRCRQRFMAKSVTKGADVECPSCKPNYLPIPADEQYSSSQQQQYGLRSRMRCFYSQIRGKVHQSTVTPSLNPDPSAFNSMKIRSSKRAVLCGITYNNLKQYRLKGTINDVRNMRDLLINNFGYPGHFIRVLTEEESDPRFIPTRKNIEDSLRWLVSDSRQGDSLVFFYSGHGLRQPDFNNDEDDGFDETICPVDFQEAGMIVDNDLNTLIVRPLTPGVTLHAIVDACHSGTILDLPKVYMSKEKKWVNSSPPSGAEKRTNGGLAISIGACLDHQAAADTSAFTAGKMNGALTYILAEILKKLPGPTYGDLFDLIKETLDNVNQSSCLANTRFLRRLFNSNFSQTPLLSSSAEFDVYKKHLFL >KJB31845 pep chromosome:Graimondii2_0_v6:5:59176841:59178140:-1 gene:B456_005G210800 transcript:KJB31845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLVIAREGQDRSIWVFKVLHADSISSMSSMAEPQKKTHKTSRFLACFGFSCKKTPPKKPIQTGSKNTPSLSFPMLCFRPGKSRTKTVPVDNSDKTDTGGESHTSSKLSKKKSDIKLIPSRQNSKPDPQLSFPNQASRTRPKEGPEPNILLGNKKLSDPTRTGSSLPGSPTVKPKINPKTQSKLSHTVSLPVLEGNQRVGNPRKHDRVNSKQHQRKNNGVVGKLDSAMGLSIIMVTLVIMLVWGRLCAILCTSAWFYFRSRYRTINNDNDIESMASSNESDLNSKEYKKKIVLEGLLERNHRVGL >KJB29612 pep chromosome:Graimondii2_0_v6:5:20151660:20153979:1 gene:B456_005G110200 transcript:KJB29612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFSLLFLLLSSVVASAVVFDVNSDGDPLIRQVVSDGGVEEDSDDHLLNAEHHFTLFKSKYGKTYASQEEHDYRLGVFKANLRRAKRHQLLDPSAVHGVTKFSDLTPSEFRRQYLGLKPLKLPADAQKAPILPTDNLPDDFDWRDHGAVTGVKDQGSCGSCWSFSATGALEGAHYLATGELVSLSEQQLVDCDHECDPQQYGACDSGCNGGLMTSAFEYTLKVGGLEREKDYPYIGNDRGPCKFDKTKIAASVSNFSVISVDEDQIAANLVKHGPLAGNGYQCSFHADIYGRGFMSLYLL >KJB29611 pep chromosome:Graimondii2_0_v6:5:20151621:20154200:1 gene:B456_005G110200 transcript:KJB29611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFSLLFLLLSSVVASAVVFDVNSDGDPLIRQVVSDGGVEEDSDDHLLNAEHHFTLFKSKYGKTYASQEEHDYRLGVFKANLRRAKRHQLLDPSAVHGVTKFSDLTPSEFRRQYLGLKPLKLPADAQKAPILPTDNLPDDFDWRDHGAVTGVKDQGSCGSCWSFSATGALEGAHYLATGELVSLSEQQLVDCDHECDPQQYGACDSGCNGGLMTSAFEYTLKVGGLEREKDYPYIGNDRGPCKFDKTKIAASVSNFSVISVDEDQIAANLVKHGPLAVGINAVFMQTYMGGVSCPYICFRTLDHGVLLVGYGAAGYSPIRFKDKPFWIIKNSWGANWGEDGYYKICRGRNVCGVDSMVSSVAALHTKSQ >KJB29613 pep chromosome:Graimondii2_0_v6:5:20151660:20154200:1 gene:B456_005G110200 transcript:KJB29613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFSLLFLLLSSVVASAVVFDVNSDGDPLIRQVVSDGGVEEDSDDHLLNAEHHFTLFKSKYGKTYASQEEHDYRLGVFKANLRRAKRHQLLDPSAVHGVTKFSDLTPSEFRRQYLGLKPLKLPADAQKAPILPTDNLPDDFDWRDHGAVTGVKDQGSCGSCWSFSATGALEGAHYLATGELVSLSEQQLVDCDHEVSIFLTFLYIS >KJB32154 pep chromosome:Graimondii2_0_v6:5:60921392:60923048:1 gene:B456_005G226700 transcript:KJB32154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILQWLPFWPYMKLTIVCWMMIPHFDGAFYVYNHFVHPCLYMDLPTIINWFKKQQDLFLKDNFLVEVADDFVKAHGPTEVQEKLIANEPKGTETSILQKGIKPVQIAEKSEVAPILKTDPNVIQTVNNTTVTLSETVGEVGPDLPKISSDKQVQKEWTCAMCQVTTTSEKTLKSHLQGRKHKNKLMQANNQPCKGKAGLASKVKQSEVSRKEPQKKGSKNYEPPKGQMSASSAACSNAVNPKTEMSKSNLPKEEWKKVIPTTNMVGNHVKSSENVQGQQQQVEKEHNKTKIPQFRCAICNITCGRSEDLNSHLWGRKHLAKLNSLGRGQLA >KJB32157 pep chromosome:Graimondii2_0_v6:5:60920410:60923330:1 gene:B456_005G226700 transcript:KJB32157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQALVNLALICFHSLAWPLFALGYPLRASIQAIEANSNTDTKKLVTYWIIFSLISLFEHAFMGILQWLPFWPYMKLTIVCWMMIPHFDGAFYVYNHFVHPCLYMDLPTIINWFKKQQDLFLKDNFLVEVADDFVKAHGPTEVQEKLIANEPKGTETSILQKGIKPVQIAEKSEVAPILKTDPNVIQTVNNTTVTLSETVGEVGPDLPKISSDKQVQKEWTCAMCQVTTTSEKTLKSHLQGRKHKNKLMQANNQPCKGKAGLASKVKQSEVSRKEPQKKGSKNYEPPKGQMSASSAACSNAVNPKTEMSKSNLPKEEWKKVIPTTNMVGNHVKSSENVQGQQQQVEKEHNKTKIPQFRCAICNITCGRSEDLNSHLWGRKHLAKLNSLGRGQLA >KJB32155 pep chromosome:Graimondii2_0_v6:5:60920410:60923327:1 gene:B456_005G226700 transcript:KJB32155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFSCLASLCFRVSSAIEANSNTDTKKLVTYWIIFSLISLFEHAFMGILQWLPFWPYMKLTIVCWMMIPHFDGAFYVYNHFVHPCLYMDLPTIINWFKKQQDLFLKDNFLVEVADDFVKAHGPTEVQEKLIANEPKGTETSILQKGIKPVQIAEKSEVAPILKTDPNVIQTVNNTTVTLSETVGEVGPDLPKISSDKQVQKEWTCAMCQVTTTSEKTLKSHLQGRKHKNKLMQANNQPCKGKAGLASKVKQSEVSRKEPQKKGSKNYEPPKGQMSASSAACSNAVNPKTEMSKSNLPKEEWKKVIPTTNMVGNHVKSSENVQGQQQQVEKEHNKTKIPQFRCAICNITCGRSEDLNSHLWGRKHLAKLNSLGRGQLA >KJB32156 pep chromosome:Graimondii2_0_v6:5:60920410:60923327:1 gene:B456_005G226700 transcript:KJB32156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQALVNLALICFHSLAWPLFALGYPLRASIQAIEANSNTDTKKLVTYWIIFSLISLFEHAFMGILQWLPFWPYMKLTIVCWMMIPHFDGAFYVYNHFVHPCLYMDLPTIINWFKKQQDLFLKDNFLVEVADDFVKAHGPTEVQEKLIANEGTETSILQKGIKPVQIAEKSEVAPILKTDPNVIQTVNNTTVTLSETVGEVGPDLPKISSDKQVQKEWTCAMCQVTTTSEKTLKSHLQGRKHKNKLMQANNQPCKGKAGLASKVKQSEVSRKEPQKKGSKNYEPPKGQMSASSAACSNAVNPKTEMSKSNLPKEEWKKVIPTTNMVGNHVKSSENVQGQQQQVEKEHNKTKIPQFRCAICNITCGRSEDLNSHLWGRKHLAKLNSLGRGQLA >KJB28649 pep chromosome:Graimondii2_0_v6:5:6313366:6315584:-1 gene:B456_005G060300 transcript:KJB28649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFSYSGERRQRGDGDDIIEGRAMDGNDSVDSKQDTTDMALFVQNLLQQMQSRFQTMSDSIITKNILFVLPNYANIDFSFLLKTHVRHPYPMPTRAWAWDMILRGLSKYIIVLEKFNIYIFLFQTK >KJB29239 pep chromosome:Graimondii2_0_v6:5:12253966:12255362:1 gene:B456_005G090900 transcript:KJB29239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLLSPLLFTLLMLSSGPKMYECARVFTIVNYCKETIWPGVTPGDNFNGGGFELKSGQSVVFQAPVGWSGRIWGRTGCKFDKSGTGSCQTADCGNTLKCKASGKTPASLAEFTLSNVDYYDVSLVDGFNLPIAVKPMNGQGNCSSAGCDKDLRQTCPSELAVKGGNGKVIGCRSACDVFNTDEYCCRGTYGNPVICQPTFYSKKFKDACPTAYSYAYDDPTSIFTCSASDYVVTFCSSRNQTVCSYHDHKLVCNAANGLNPWMGSWWTAMLALLLMINLRIFF >KJB31030 pep chromosome:Graimondii2_0_v6:5:50306552:50310199:-1 gene:B456_005G173300 transcript:KJB31030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:Projected from Arabidopsis thaliana (AT1G67710) UniProtKB/TrEMBL;Acc:Q0WRT0] MVLAESGFSSPRNDAFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCCLAREALNLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKKIHEVRDIESLEGFESIQMTRSGYDLFDDGHFLSGDDTTSGRKRKDADNKHDDRELSDPSSTKKARVVWTVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLQKESDIKNSFIGMKHSDLPSKDSTASFGPHKAMNMIPDDVPNGTYSFSASNSLAQNVDLKGQGDLKGITSAPVAEPKGALSIDIHDSHEAKSTQMSFDHSLGSVDSAVSFASFNSTTPLQYPWTEIPEIQFKQECEPLHLENGFSQLPLPGPSMIENEANRSRIEVKPLLDECRSNFVEHLGPVGAEDLFPIQSKSQSLNNQVFDLISATKSSMKTQDVGLNYLADSEFALRNLNASGVGVPLATLSEDLQICWLQGDCYPMNYGLQDLECSTYDNPGLMAETPFHLYDVLRFDHEHLFDPTEYYAIDQGLFA >KJB31029 pep chromosome:Graimondii2_0_v6:5:50306552:50309986:-1 gene:B456_005G173300 transcript:KJB31029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:Projected from Arabidopsis thaliana (AT1G67710) UniProtKB/TrEMBL;Acc:Q0WRT0] MVLAESGFSSPRNDAFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCCLAREALNLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKKIHEVRDIESLEGFESIQMTRSGYDLFDDGHFLSGDDTTSGRKRKDADNKHDDRELSDPSSTKKARVVWTVDLHQKFVKAVNQIGFDIGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLQKESDIKNSFIGMKHSDLPSKDSTASFGPHKAMNMIPDDVPNGTYSFSASNSLAQNVDLKGQGDLKGITSAPVAEPKGALSIDIHDSHEAKSTQMSFDHSLGSVDSAVSFASFNSTTPLQYPWTEIPEIQFKQECEPLHLENGFSQLPLPGPSMIENEANRSRIEVKPLLDECRSNFVEHLGPVGAEDLFPIQSKSQSLNNQVFDLISATKSSMKTQDVGLNYLADSEFALRNLNASGVGVPLATLSEDLQICWLQEYYAIDQGLFA >KJB30334 pep chromosome:Graimondii2_0_v6:5:35767398:35770044:1 gene:B456_005G138000 transcript:KJB30334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFLFLLSLAPLFHSSSPLPYPYNTSFHIDCGSSTPSTDSYNISWLPDKFFTGGSTSVVSEPLHFHLQHEKTLRYFPLSSGKKNCYNIPLPAGRYYIRTFTVYDNYDGKSHSPSFDASVEGTLVFSWRSPWPESLTRDGAYSDLFAFVKDGQLDLCFYSIATDPPVIASLEVVQIDPLSYNSAQTGDSYILVNYGRLSPGSSQWGPGFTSDPDAFGRSWQSDSDYRAGKSESAKVITTKEKITGTEKAPNYFPMKLYQSAVTIEGRLEYELPVDAKLDYLVWFHFAEIDSTVKKAGERVFDVLVNDKNVSRVDIFKEVGSFVAYSLNYTEKNLSSSVLNVKLSPVAGAPLICGLENYAMVPADLATVPEQVVAMKALKDSLCVPDRMGWNGDPCAPTDWDAWEGVTCHTNKNGTGLVITQM >KJB30335 pep chromosome:Graimondii2_0_v6:5:35767398:35774202:1 gene:B456_005G138000 transcript:KJB30335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFLFLLSLAPLFHSSSPLPYPYNTSFHIDCGSSTPSTDSYNISWLPDKFFTGGSTSVVSEPLHFHLQHEKTLRYFPLSSGKKNCYNIPLPAGRYYIRTFTVYDNYDGKSHSPSFDASVEGTLVFSWRSPWPESLTRDGAYSDLFAFVKDGQLDLCFYSIATDPPVIASLEVVQIDPLSYNSAQTGDSYILVNYGRLSPGSSQWGPGFTSDPDAFGRSWQSDSDYRAGKSESAKVITTKEKITGTEKAPNYFPMKLYQSAVTIEGRLEYELPVDAKLDYLVWFHFAEIDSTVKKAGERVFDVLVNDKNVSRVDIFKEVGSFVAYSLNYTEKNLSSSVLNVKLSPVAGAPLICGLENYAMVPADLATVPEQVVAMKALKDSLCVPDRMGWNGDPCAPTDWDAWEGVTCHTNKNGTGLVITQIELGSQGLKGYISEQISLLSNLINLNLSTNSLDGTLPIGLGQKSLARLDLSDNQFSGSIPESLTSSNLQLVRLNNNLLEGRVPEELYSVGVHGGTIDLSGNKGLCGVPPLPDCPLFWENGRLSKGGKIHLLRRGTGIKDRSH >KJB30333 pep chromosome:Graimondii2_0_v6:5:35767361:35774202:1 gene:B456_005G138000 transcript:KJB30333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFLFLLSLAPLFHSSSPLPYPYNTSFHIDCGSSTPSTDSYNISWLPDKFFTGGSTSVVSEPLHFHLQHEKTLRYFPLSSGKKNCYNIPLPAGRYYIRTFTVYDNYDGKSHSPSFDASVEGTLVFSWRSPWPESLTRDGAYSDLFAFVKDGQLDLCFYSIATDPPVIASLEVVQIDPLSYNSAQTGDSYILVNYGRLSPGSSQWGPGFTSDPDAFGRSWQSDSDYRAGKSESAKVITTKEKITGTEKAPNYFPMKLYQSAVTIEGRLEYELPVDAKLDYLVWFHFAEIDSTVKKAGERVFDVLVNDKNVSRVDIFKEVGSFVAYSLNYTEKNLSSSVLNVKLSPVAGAPLICGLENYAMVPADLATVPEQVVAMKALKDSLCVPDRMGWNGDPCAPTDWDAWEGVTCHTNKNGTGLVITQIELGSQGLKGYISEQISLLSNLINLNLSTNSLDGTLPIGLGQKSLARLDLSDNQFSGSIPESLTSSNLQLVRLNNNLLEGRVPEELYSVGVHGGTIDLSGNKGLCGVPPLPDCPLFWENGRLSKGGKIAIGLSCFLFVAVLLLVIYLFCIRRGRNDYDFGLPSDLISLAAKRNRYQRQKSLMLLEMESQHAKGLPSVPLNPH >KJB31760 pep chromosome:Graimondii2_0_v6:5:58740612:58743351:1 gene:B456_005G207400 transcript:KJB31760 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH [Source:Projected from Arabidopsis thaliana (AT4G03240) UniProtKB/TrEMBL;Acc:A0A178V3B4] MTMASRLTLLRRISKLLLSPSLSPSPPLRSSRLLFLLRNASRTNVSPWPFASSRSFCSDPLNLPQDSHGPAPIDYRSVLPEDEFHRIANSTIHDLQEKLEEYGDIVDMDGFDVDYGNEVLTLKLGALGTYVMNKQTPNRQIWLSSPISGPSRFDWDHDTQAWVYRRTKANLFKLLESELEQLCGEPVNLS >KJB30946 pep chromosome:Graimondii2_0_v6:5:49526657:49527032:1 gene:B456_005G168900 transcript:KJB30946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCNCKKIDVVDGTRSRSFRYEDYNNRRAFLRSYPLQWGEDEDEQERVTATKECSTEKKPIKKFMQSVYHWSGEKVVVLRRFKDKLAVYVVACLPIRFKSPLLP >KJB31415 pep chromosome:Graimondii2_0_v6:5:55442314:55445108:1 gene:B456_005G190200 transcript:KJB31415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKGTSLLEDLIEKAGGCAVMDGGFATQLESHGASINDPLWSALCLIKDPHLIKQVHLEYLEAGADILVTSSYQATIPGFLSRGLSLEEGESLLKKSVKLAVEARDKFWDRVGCVPGNSYNRALVAASIGSYGAYLADGSEYSGCYGPGVNLDKLKDFHRRRLQVIVEAGPDLLAFETIPNKLEAQACVELLEEENIQIPSWICFSSVDGENAPSGESFKECFEILNKSKKVNAVGINCASPHFIESLVCKFKELTTKAIVVYPNSGAIWDGRAKKWLVSPLVYTCFSSHSLQLLVKAKTCCIHAAINVLW >KJB31414 pep chromosome:Graimondii2_0_v6:5:55442260:55445471:1 gene:B456_005G190200 transcript:KJB31414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKGTSLLEDLIEKAGGCAVMDGGFATQLESHGASINDPLWSALCLIKDPHLIKQVHLEYLEAGADILVTSSYQATIPGFLSRGLSLEEGESLLKKSVKLAVEARDKFWDRVGCVPGNSYNRALVAASIGSYGAYLADGSEYSGCYGPGVNLDKLKDFHRRRLQVIVEAGPDLLAFETIPNKLEAQACVELLEEENIQIPSWICFSSVDGENAPSGESFKECFEILNKSKKVNAVGINCASPHFIESLVCKFKELTTKAIVVYPNSGAIWDGRAKKWLPSMCFGDEEFELCAPRWRNQGAKVIGGCCRTTPSTIRAISKALKETS >KJB32954 pep chromosome:Graimondii2_0_v6:5:59901496:59903005:1 gene:B456_005G216000 transcript:KJB32954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTIATSTPSACFSTVINGSSLRLKLNPKAMVITMKDRSKNRKPLQKGRNLSIEAIQAVQSLKRANRNVSNTSLSELERVFDSKFRRLLKFDMVAVLRELLRQNECLLALKVFDDIRKEVWYKPRLLLYTDMISVLASNGLFKEVELIYSYLKTENSLDPDIVGFNALLNALISFKLTHLVMDCYGLMKAVDCEPDRSSFRILINGLESIGETGLSGLLRQDAQKIYGESLEFLEEEEEVSAIVTSRFGRIID >KJB31748 pep chromosome:Graimondii2_0_v6:5:58565136:58567157:1 gene:B456_005G206700 transcript:KJB31748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLENPLSNVNDLFPAASTPSLFLLESHHMPTLYYINTLKATHLDISVRREAISAISQLSCKFGPFLPYLAITYLDRFLSSQGVAQPNTWVLRLVAISCVSLAAKMMKTDFSLADFQGDGGFMFDAQTVERMEYLILGALKWRMRSITPFSFVSFFISLFKVKDPPLKQALKARAVEIIFKAQLDTKLLEFKPSTIAASAVLSASHQLFDGKQFPSFKKAISSCSYVNKENMVKCTNWVEEIAMEGSESIWDYQHISSSGSEITTVTPRTEGDMKRRKINDYGTIIKTVDCPVSKRVLIHGPF >KJB31374 pep chromosome:Graimondii2_0_v6:5:54817969:54821689:1 gene:B456_005G188100 transcript:KJB31374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNILILATSSLLLFNFLLSFAIEDDIACLEGLKNSLTGADSPLSTWSFSNRSSTSVCQLTGVSCWNEKENRIISLHLPSMKLSGQLPDSLKYCRSLQILDLSNNSLSGPIPSDICSWLPYLVHLDLSGNLFSGSIPLQIVDCKFLNDLVLSDNKLSGSIPYELARLDRLKRFSVAGNDLSGSIPSDLARFGEDGFDGNHGLCGKPLSKCGGLNAKNLGIIIIAGVTGAAVSLIVGFAIWWWFFLRAGAGEKRKKSYDVDVQDGSSWIELLKSHKLAQVSLFQKPINKIKLADLMVATNNFDAENAVISTRTGVSYMAVLPDGSGLAIKRLSTCKLSEKQFRSEMNRLGQLRHPNLVPLLGYCVVEEERLLVYKHMPNGTLYSQLHGGNLIGFGNGKFEILDWSTRLKIGVGVARGLAWLHHGCQPPYVHQYFSSNVVLLDDDFDARIADFGLARLMGSRDSNDSSFMNGDLGEFGYVAPEYSSTMVASLKGDVFSFGVVLLELVTGQKPIGVSNAEEGFKGNLVDWVNQLFSTGRSKDAVDKALLGKGCDDEIMQFLRVACTCVVPRPKDRPSMYQVYESLKIMGEKHGALEQYDEFPLIFGKQQEHDN >KJB28126 pep chromosome:Graimondii2_0_v6:5:6677202:6679918:-1 gene:B456_005G063700 transcript:KJB28126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPFSAFWLRSSVVSVLISLISDTWAIGSHDIKFIFEGGESITIACYWGSRASPRLCTIARVWRTPPNSSSNICNYFCKVQS >KJB30854 pep chromosome:Graimondii2_0_v6:5:47646493:47649694:1 gene:B456_005G164100 transcript:KJB30854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELFHGFLAIGTLGSDPNIPDPSTPTFAISVENITEKETEVTENELKLINDELEKVLGAEAKEEGYSSGRNSYVSTGRSSHVSAGRSSHGSTITLSGKPIEGSDTNGNGTTVCPLQGYLFGSAIELSETTTVAKKEHRTSLGELFQRTKITEENVGGKYDKEEKRTEKEGDKSAVHIMKKMLKKKMLNASRSSTAATEGNIDSASAETKLHKILHMFHRKVHPESSTATYKHGKPQKKENKENIFYDGGHEDGGHMLTDDDIMIFPQRALSKKMRRYKSQSIPPQFTLNCNDSNGNRECWIKTDADYLVLEL >KJB30853 pep chromosome:Graimondii2_0_v6:5:47647186:47648470:1 gene:B456_005G164100 transcript:KJB30853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELFHGFLAIGTLGSDPNIPDPSTPTFAISVENITEKETEVTENELKLINDELEKVLGAEAKEEGYSSGRNSYVSTGRSSHVSAGRSSHGSTITLSGKPIEGSDTNGNGTTVCPLQGYLFGSAIELSETTTVAKKEHRTSLGELFQRTKITEENVGGKYDKEEKRTEKEGDKSAVHIMKKMLKKKMLNASRSSTAATEGNIDSASAETKLHKILHMFHRKVHPESSTATYKHGKPQKKENKENIFYDGGHEDGGHMLTDDDIMIFPQRALSKKMRRYKSQSIPPQFTLNCNDSNGNRECWIKTDADCKYTCI >KJB30852 pep chromosome:Graimondii2_0_v6:5:47645821:47649694:1 gene:B456_005G164100 transcript:KJB30852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGWMHRKFRQNSSEQLKDFAIGHSCNCLTGQSLLDDQQIYSKPNYGTKPFSPSQRDHLRKSFAGVEAARVDEEDYEEDSSLAMSELFHGFLAIGTLGSDPNIPDPSTPTFAISVENITEKETEVTENELKLINDELEKVLGAEAKEEGYSSGRNSYVSTGRSSHVSAGRSSHGSTITLSGKPIEGSDTNGNGTTVCPLQGYLFGSAIELSETTTVAKKEHRTSLGELFQRTKITEENVGGKYDKEEKRTEKEGDKSAVHIMKKMLKKKMLNASRSSTAATEGNIDSASAETKLHKILHMFHRKVHPESSTATYKHGKPQKKENKENIFYDGGHEDGGHMLTDDDIMIFPQRALSKKMRRYKSQSIPPQFTLNCNDSNGNRECWIKTDADYLVLEL >KJB31385 pep chromosome:Graimondii2_0_v6:5:55254406:55256518:-1 gene:B456_005G189800 transcript:KJB31385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLWTPSSSSSALQGEKPVMEYEYVNGGDDLDGSCQPAGVKKRRLTATQVEFLERSFEVENKLESDRKLRLAKELGLQPRQVAIWFQNRRARSKNKQLEKDYDSLRATFDKLKADFDNLLKEKDDLKNQVLALKEKLLIKEKGMENLGSFEAIHSSNDEPQKPNPDASHVPLLACKQEEACSAKSDVFDSDSPHYTDGHGYHSSFIEPTDSSNVFEPDQSDEEDDLSKSLLHPPLFFPKFDCYYDAPATSCNFSLPVEDQSFWSSLY >KJB31383 pep chromosome:Graimondii2_0_v6:5:55254190:55256571:-1 gene:B456_005G189800 transcript:KJB31383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYEYVNGGDDLDGSCQPAGVKKRRLTATQVEFLERSFEVENKLESDRKLRLAKELGLQPRQVAIWFQNRRARSKNKQLEKDYDSLRATFDKLKADFDNLLKEKDDLKNQVLALKEKLLIKEKGMENLGSFEAIHSSNDEPQKPNPDASHVPLLACKQEEACSAKSDVFDSDSPHYTDGHGYHSSFIEPTDSSNVFEPDQSDEEDDLSKSLLHPPLFFPKFDCYYDAPATSCNFSLPVEDQSFWSSLY >KJB31384 pep chromosome:Graimondii2_0_v6:5:55254557:55256562:-1 gene:B456_005G189800 transcript:KJB31384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLWTPSSSSSALQGEKPVMEYEYVNGGDDLDGSCQPAGVKKRRLTATQVEFLERSFEVENKLESDRKLRLAKELGLQPRQVAIWFQNRRARSKNKQLEKDYDSLRATFDKLKADFDNLLKEKDDLKNQVLALKEKLLIKEKGMENLGSFEAIHSSNDEPQKPNPDASHVPLLACKQEEACSAKSDVFDSDSPHYTDGHGYHSSFIEPTDSSNVFEPDQSDEEDDLSKSLLHPPLFFPKFDCYYDAPATSCNFSLPVEDQSFWSSLY >KJB29412 pep chromosome:Graimondii2_0_v6:5:15419001:15420166:-1 gene:B456_005G098900 transcript:KJB29412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSATSSCSGFFNLRSNNDEPRVVRSSSSTHSSPAGGCGKLDGVAMWFINGVATAFFASLQRCSCIRIATEEEDDGEEANDVPLIHSDGNYVRHEAGTISRRRRKIIS >KJB31536 pep chromosome:Graimondii2_0_v6:5:56939713:56940955:1 gene:B456_005G196400 transcript:KJB31536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYLQQTYFVQLIRMDPIHVAASYDFDMKINGILSIILQDEGDSFTKRAEMYYQKRPQLIQMVEDLHKSYRSLADKYEQLRSQLNHGSHPTLLSSLSSPVNQVQGYVELNADDPDLETEFDDDVSNHVSSKESKMKAEDKIRQGEKKKTSNGIGMQRNTSDGYEAAMLENEKLWNELRFKVSELVEDNLSHQAELIRRNDEKREIIRDLCSKMKMDENKALTANNTRSQVSRLKRLFLGKFMK >KJB27746 pep chromosome:Graimondii2_0_v6:5:519341:521637:1 gene:B456_005G007500 transcript:KJB27746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEALVKNKQVVLKNYVNAGLPKESDMEIKEKSIQLKVPEGTKDSILVKNLYLSCDPYMRNRMKKLEDSYVPSFEPGSAISGYGVAKVVDSTHPEYKNGDLVWGMTGWEEYSLLTAPGLLFKIQHTDVPLTYYTGLLGMAGMTAYTGFYEICTPKKGEYVYVSAASGAVGQLVGQFAKLLDCYVVGSAGSKEKIDLLKNKFGFDEAFNYKEEPDLDAALKRYFPEGIDIYFENVGGKMLDAVLLNMRVHGRIAVCGMISQYNNDKPEATHNLMYIIPKRVRMQGFIVSDFYHLYPKYLEMVIPSIKEGKITYIEDVAEGIESAPTALIGLFIGRNVGKQLVVVSRD >KJB32783 pep chromosome:Graimondii2_0_v6:5:63623637:63625279:1 gene:B456_005G261400 transcript:KJB32783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVDRGESPSRLEGKFCAAVACWILGFGSLICWNSMLTMGDYYYHLFPGKGTFFISEDVSWNHVREGSKQNCEQHTDAQLG >KJB32782 pep chromosome:Graimondii2_0_v6:5:63623791:63625212:1 gene:B456_005G261400 transcript:KJB32782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVDRGESPSRLEGKFCAAVACWILGFGSLICWNSMLTMGDYYYHLFPGKGTFFISEDVSWNHVREGSKQNCEQHTDAQLG >KJB32781 pep chromosome:Graimondii2_0_v6:5:63620844:63625212:1 gene:B456_005G261400 transcript:KJB32781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVDRGESPSRLEGKFCAAVACWILGFGSLICWNSMLTMGDYYYHLFPGKGTFFISEDVSWNHVREGSKQNCEQHTDAQLG >KJB29143 pep chromosome:Graimondii2_0_v6:5:11072674:11076376:-1 gene:B456_005G086600 transcript:KJB29143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKREPGLRKQIYEYPVNMSDEIRRNIPSKDAVFCLPCFLFNGNLSSHFGSTAFTHNGFSNWKKVHDGCNCAFLTHMGKDPNSLHNNAQRAYVDLMNQVQHIEASLDRQTTQQIAANRLHLKTSIDVVRWLSFQGFDFRASYNEKVKDFLKSAPQNASYTSTIQKEILQIYASRVCNVILEEIGDRKFNIIVDEARDESKKEQMKIILRFVDKQGHVKERFFDIVHVKDTTSLTLKNLATRTRMNQIGTLQLPGENRWSSDLNSITSLLKMYNAISTRGDAHNAYNRLISFEFIFILHMMKEVLGITDNLCQALQRCSQDILNVKSLTKDLIQKLRDDGWNQLLKKVISFCETWELDFPYMNAQYILGRSRNKKEDVIVEHYYQVDIFFATIDTQLQELKSKFNENVLKFYELDVCKHPNLRKISTLSELCRSLVESGKSVMYPLVDRLIRLILTLPVSTASSERVFSTMKIVKTRLRSKMEDKFLRSSLVVYINKEIAEKIDINEIIDDFSEVKDRRVQFK >KJB28338 pep chromosome:Graimondii2_0_v6:5:4104078:4109225:1 gene:B456_005G042700 transcript:KJB28338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPCNRLSLEGEGYNIIPVHNLDASHPCVRYPEVRAVLLSLRALGNLREPPYHTWHPSSMDLLDWLGLFFGFQHDNVKNQREHLVLHLANAHMRLSMPPVDNNGTFQAIVLREFRRKLLENYTNWCSYLGKASNVDNLESDTRRELLYVGLYLLIWGESANLRFMPECLCYIFHHMAMELNKILEDYIDEDTGRSWLPSISGENAFLNRVVKPIYDTIEAEVAKSKNGTAPHDTWRNYDDFNEYFWSKSCFHTLNWPLDFNNKFTSERKTGFVERRTFWNVYRSFNRLWVMLFLFLHVMVILAWEEKKYPWLALDNKDVKVRVLSLFITWSGMRLFHALLEPAMEFSRVSRHTSRLVLRMVLRILVAAAWTGAFVFCYTRIWVQRNHDKHWSNEANQRVTFFLGLAFLYGLPELAELVLFFLPCICLNSKVFDLLSWWFYGDCYIGQGLREGLVDRIKYVLFWVLVLGTKFTVSYFFQIKPMISTTKQLLDSTIADYEWDWQALFSVSNKFTIGLLWLPVVLIYLADTQVWYSFYSPFVGAAMGLLQLLGEIRNIEQLRWRFRFFATAIQFNLLPQEEIMITGGSLREKLCEAIHQVKVRCGLQRPFKMVELESNLVKANEFALIWNEIVTTFREEDIISDEEVGLLQLPPNSWEIRVIRWPCFLLGNELLRSLNLAMDFYDEPDNWLWYKICKNEYRRCAVIETYDCVKYLMLHKLIKSSTEEHSILKALFQEIDHSIEIEKFTKTFRMIALLQIHSRLIKLVELLLEPNKNVNEIANAMQSLYEIVVPYLFKEKRTMDQLREDGLAPKSRTTMAGLLFENAVESQDPSNKDFYMQVKRLHTILTTRDSMHSIPVNNEARRRLAFFSNSLFMKMPRAPRVEEMIAFSVLTPFNDEAVLYSKKTLKTKNEDGISILYYLQTIYDDEWKNFIERMRREGMVTADEIWTTKLRDLRLWASYRGQTFARTVRGMMYYYRALQLLAFLDSSSETDITVTKEEEGIALMKYTYVVSCQKYWEHKAIGDPRAEEIIDLLKHNESLRMAYVDQVSTESDEKEYYSVLVKYDRQLQREVEIYRIKLPGPMNIGEGKPENQNHGIVFTRGDAVQTIDMNQDNYFEEALKIRNLLEEFKHHHGIHRPTILGVREHIFSGSVSSVAWFMSAQETSFVTLFQRLFANPLKIRMHYGHPDMFDRLWFMTRGGLSKASKVINISEDIFAGFNCTLRGGSVTHHEYIQVGKGRDLGLNQISTFEAKIACGSGEQFLSRDVYRLGQRLDFFRMLSFFHTTIGYFFNTTVIVLSVYGFLWGQLYLALSGVENSVLGNDTNSKKALSAVINQELIIQFGLFMTLPAILESSVESGFLEAIWEFLVMQLQLSTVFYTFSMGTRVHFFGRTVLHGGAKYRQTGRNFVVQHTSFAENYRLYARSHFIKAIEFGLILMVYATYTPSAKVTFFYIDMSLTCWLLVVSWIFAPFLFNPSGFDWLKTVDDFDDFMNWIWYRGSIFAKATESWESWWYEEQHHLLTTSLWGKLLEIILNLRFFFFQYGIVYHLGISGQSGSVFVYLWSWIFIFAAFGIYLTMSYVRDNYGAKKHIYVRLAKFLLMILGILLGIALRQFTAFKYVDVFTSLLALVPTGWGLISIAQVFQPLLRHTRLWDSVVSLARFYEMMFGVIVMGPVAVLSWMPGFQSMQTRILFNDAFSKGLQMFKIITEKVHQKDV >KJB27511 pep chromosome:Graimondii2_0_v6:5:7354767:7355126:-1 gene:B456_005G0690002 transcript:KJB27511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YGVTPFTLLKGIFIQGPIFVSESQKRLPTEDKSGAGIRIHDNNEGEESAARGSVTGRFNMAQTPWGNMVACSGMPIAVVV >KJB27562 pep chromosome:Graimondii2_0_v6:5:485695:489833:1 gene:B456_005G007100 transcript:KJB27562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PITGYGVAKVLDSAHPGFAKDDLVWGITGWEEYSLISDPKNFFKIQHTDVPLSYYAGILAYIGFYELCAPKKGDYVFVSAACGAVGQLVGQFAKLHGCHVVGSAGSPEKGLEEGEMEESNNYGHQHPLLLILNQDQLIHNQSGVTHCSRCGEEVSAPCFCCVEHCGFYLHKACADAPLELNHPFHPHHPLVLLQEPPSSYSGCVCDFCDEPCTNFIYHCSCALDFHIKCALLIFNIAENNLKELEHVALQDEELADENKCFGCWEPLAKYTHFSPDCGFNLHDKCAELPFKLNLECHREHPLVLQFNSERLSCKICCLVRTRRGFVYGCSPCEFVVHIECVSQSPLQVIKSTNHEHPFTLFNGHQHPLFLMLNQEQLMDNQRGVTDCWRCGEKLHKVCAEAPLELNHPFHLNHPLLMQNAPYSSGMYICNFCNKSGHKSVYRCSSCELDFHIKCALFTFNIAENNLKELDHVALQVEELPLKMCFGCREPLANYTHFSPCRGFNLHEKCTEIPFKLNHVWHRKHPLVLQFNSERLSCKICCQVTMRRGFVYGCSPCKFVVHIECASQSPLQVINSTNHEHPFTLLLRQVPFTCDGCGTEGNHVAYTCGTCNIIIHKNCISLPRIIKSKWHDHRLLHTYFHHIEDFRVLNCLRCHDEVNTEHGSYYCSKCNGIFHVKCVMKDKDSYEIVENEDEIEMPIESFIIVIESNDAGEATKIKHFKHMHNLMLGPFVGGYENSCDGCMLPISDPFYYCSECAFFLHKACAELPKMKNVWHHDCKEPLALISDEAFQCQRCWYISNAFAYECCGCEEKICLRCVIALTPGARTCLKHEHPLFYYTKHNGKCNACGRTTQAAFCCKDCNFVLHLRCFSLPITARHKCDEHLLSLTDHDDNSYSEHHHCDICEESRDPNHWFYNCSICDTSTHVYCVLGQYPFLKLRSLYEENDHPHPLTIVKKKYYYPYCDKCGKPCVDVALECSKSECKYIVHWNCVVPFHLWRFWAWYKW >KJB27561 pep chromosome:Graimondii2_0_v6:5:484541:485920:1 gene:B456_005G007100 transcript:KJB27561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGMDEASNMKVVLKHYVSGSPQETDMHLTAGTIKLKVPKDSNSIILKNLYLSCDPYMIFKMMKLERQLTDPYVLGSVKVVRD >KJB27560 pep chromosome:Graimondii2_0_v6:5:484522:486068:1 gene:B456_005G007100 transcript:KJB27560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGMDEASNMKVVLKHYVSGSPQETDMHLTAGTIKLKVPKDSNSIILKNLYLSCDPYMIFKMMKLERQLTDPYVLGSPITGYGVAKVLDSAHPGFAKDDLVWGITGWEEYSLISDPKNFFKIQHTDVPLSYYAGILGNYQFSSILG >KJB29671 pep chromosome:Graimondii2_0_v6:5:21414032:21416761:-1 gene:B456_005G113000 transcript:KJB29671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENMSLAIDPRSGFCRSNSTFYSKRKPIPLPKNHSLDVTTFISARAHHGKVAFIDAATGRHLTFSELWRAVDSVATCLSDMGIRKGHVVLLLSPNSIFFPIVCLSVMSLGAIITTTNPLNTANEIGKQVADSKPVLAFTIPQLLPKLAGSAIPIVLLEDHLSSQAGEAKIVTTLHQMMKREPSGSRVRDRVNQDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTVINRFSIEVGEKFICTVPMFHIYGLVAFATGLLASGSTVIVLSKFEMHDMLSTIEKYRATYLPLVPPILVAMVNAADQIRKKYDLSSLHSALSGGAPLSKEVIEGFLEKYPNVKILQGYGLTESTGIGASTDSLEESRKYGTAGMLSPSMEAKVVDPDSGKALNVNQTGELRLRGPSVMKGYFSNPEATTSTLDSDGWLRTGDLCYIDEDGFIFIVDRLKELIKYKGYQVNDPPNWLAFCTRDITNKQSDSFDLWQVPPAELEALLLSHPEILDAAVIPFPDREVGQLPMAYVVRKPGSNLSETGVMDFVGRQVAPYKRIRKVAFVASIPKNPSGKILRKDLIKLATSKL >KJB29668 pep chromosome:Graimondii2_0_v6:5:21413795:21416952:-1 gene:B456_005G113000 transcript:KJB29668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENMSLAIDPRSGFCRSNSTFYSKRKPIPLPKNHSLDVTTFISARAHHGKVAFIDAATGRHLTFSELWRAVDSVATCLSDMGIRKGHVVLLLSPNSIFFPIVCLSVMSLGAIITTTNPLNTANEIGKQVADSKPVLAFTIPQLLPKLAGSAIPIVLLEDHLSSQAGEAKIVTTLHQMMKREPSGSRVRDRVNQDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTVINRFSIEVGEKFICTVPMFHIYGLVAFATGLLASGSTVIVLSKFEMHDMLSTIEKYRATYLPLVPPILVAMVNAADQIRKKYDLSSLHSALSGGAPLSKEVIEGFLEKYPNVKILQGYGLTESTGIGASTDSLEESRKYGTAGMLSPSMEAKVVDPDSGKALNVNQTGELRLRGPSVMKGYFSNPEATTSTLDSDGWLRTGDLCYIDEDGFIFIVDRLKELIKYKGYQVPPAELEALLLSHPEILDAAVIPFPDREVGQLPMAYVVRKPGSNLSETGVMDFVGRQVAPYKRIRKVAFVASIPKNPSGKILRKDLIKLATSKL >KJB29670 pep chromosome:Graimondii2_0_v6:5:21413899:21416806:-1 gene:B456_005G113000 transcript:KJB29670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENMSLAIDPRSGFCRSNSTFYSKRKPIPLPKNHSLDVTTFISARAHHGKVAFIDAATGRHLTFSELWRAVDSVATCLSDMGIRKGHVVLLLSPNSIFFPIVCLSVMSLGAIITTTNPLNTANEIGKQVADSKPVLAFTIPQLLPKLAGSAIPIVLLEDHLSSQAGEAKIVTTLHQMMKREPSGSRVRDRVNQDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTVINRFSIEVGEKFICTVPMFHIYGLVAFATGLLASGSTVIVLSKFEMHDMLSTIEKYRATYLPLVPPILVAMVNAADQIRKKYDLSSLHSALSGGAPLSKEVIEGFLEKYPNVKILQGYGLTESTGIGASTDSLEESRKYGTAGMLSPSMEAKVVDPDSGKALNVNQTGELRLRGPSVMKGYFSNPEATTSTLDSDGWLRTGDLCYIDEDGFIFIVDRLKELIKYKGYQSLRHCSFPTRKS >KJB29669 pep chromosome:Graimondii2_0_v6:5:21413862:21416806:-1 gene:B456_005G113000 transcript:KJB29669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENMSLAIDPRSGFCRSNSTFYSKRKPIPLPKNHSLDVTTFISARAHHGKVAFIDAATGRHLTFSELWRAVDSVATCLSDMGIRKGHVVLLLSPNSIFFPIVCLSVMSLGAIITTTNPLNTANEIGKQVADSKPVLAFTIPQLLPKLAGSAIPIVLLEDHLSSQAGEAKIVTTLHQMMKREPSGSRVRDRVNQDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTVINRFSIEVGEKFICTVPMFHIYGLVAFATGLLASGSTVIVLSKFEMHDMLSTIEKYRATYLPLVPPILVAMVNAADQIRKKYDLSSLHSALSGGAPLSKEVIEGFLEKYPNVKILQGYGLTESTGIGASTDSLEESRKYGTAGMLSPSMEAKVVDPDSGKALNVNQTGELRLRGPSVMKGYFSNPEATTSTLDSDGWLRTGDLCYIDEDGFIFIVDRLKELIKYKGYQVPPAELEALLLSHPEILDAAVIP >KJB32493 pep chromosome:Graimondii2_0_v6:5:62364171:62370013:1 gene:B456_005G242900 transcript:KJB32493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLVKKDDDRDDEVDYSPFLGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSRDMGLRRMVYVIIKELSPSADEVIIVTSSLMKDMTSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVNKLVSSLTRGSVRSPLAQCLLIRYTSQVIRESTNDTQTGDRPFYDFLEGCLRHKAEMVIFEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMSHPTAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVDAIRSLCLKFPLKHRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPEAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVHLENATVRAGAVSTLAKFGAMVDGLKPRIFVLLRRCLFDNDDEVRDRATLYLNTLGGDGAVVETDEGVKEFLFGSLDVPLVNLENSLKNYAFDINSVPKDVKTQPLAEKKATSKKPTGLGAPPPGPPSTVDAYEKLLSSIPEFASFGKLFKSSAPVELTEAETEYAVNVVKHIFDGHVVFQYNCRNTIPEQLLENVTVIVDASEAEEFAEVDSKPLRQLPYDSPGQTFVAFEKPEGVSAVGKFSNMLRFIVKEVDPSTGEAEDDGVEDEYQLEDLELVAADYMLKVGVSNFRNAWESMGVDFEHVDEYGLGPRDSLAEAVNAVINLLGMQPCEGTEVIPPNSRSHTCLLSGVYIGNVKVLVRLQFGLDGPKDVAMKLAVRSEDGTVSDAIHEIVASG >KJB32494 pep chromosome:Graimondii2_0_v6:5:62364171:62370154:1 gene:B456_005G242900 transcript:KJB32494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLVKKDDDRDDEVDYSPFLGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSRDMGLRRMVYVIIKELSPSADEVIIVTSSLMKDMTSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVNKLVSSLTRGSVRSPLAQCLLIRYTSQVIRESTNDTQTGDRPFYDFLEGCLRHKAEMVIFEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMSHPTAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVDAIRSLCLKFPLKHRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPEAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVHLENATVRAGAVSTLAKFGAMVDGLKPRIFVLLRRCLFDNDDEVRDRATLYLNTLGGDGAVVETDEGVKEFLFGSLDVPLVNLENSLKNYDPSEKAFDINSVPKDVKTQPLAEKKATSKKPTGLGAPPPGPPSTVDAYEKLLSSIPEFASFGKLFKSSAPVELTEAETEYAVNVVKHIFDGHVVFQYNCRNTIPEQLLENVTVIVDASEAEEFAEVDSKPLRQLPYDSPGQTFVAFEKPEGVSAVGKFSNMLRFIVKEVDPSTGEAEDDGVEDEYQLEDLELVAADYMLKVGVSNFRNAWESMGVDFEHVDEYGLGPRDSLAEAVNAVINLLGMQPCEGTEVIPPNSRSHTCLLSGVYIGNVKVLVRLQFGLDGPKDVAMKLAVRSEDGTVSDAIHEIVASG >KJB28938 pep chromosome:Graimondii2_0_v6:5:8879968:8882902:1 gene:B456_005G077400 transcript:KJB28938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTVEGGGFFSSSASGYSKGLALLLLGQKHEDKSMRVSPWNHYQLVDQEPDPDPDLQLASIKNRLSRGCASFVCFGRTSAGLDTPSPLKVGPVQQQDVLPGSFASDKSNDQATQLDDGNSDARKVVFKSSLKKQSHTTQVPLEDGNDREVSGEKDGDIPSHTVRRKVQWTDAHGSELAEIREFEPRWIRR >KJB28939 pep chromosome:Graimondii2_0_v6:5:8879960:8882941:1 gene:B456_005G077400 transcript:KJB28939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTVEGGGFFSSSASGYSKGLALLLLGQKHEDKSMRVSPWNHYQLVDQEPDPDPDLQLASIKNRLSRGCASFVCFGRTSAGLDTPSPLKVGPVQQQDVLPGSFASDKSNDQATQLDDGNSDARKVVFKSSLKKQSHTTQVPLEDGNDREVSGEKDGDIPSHTVRRKVQWTDAHGSELAEIREFEPSETGGSDDEFSNGSEKTCACTIM >KJB28937 pep chromosome:Graimondii2_0_v6:5:8880277:8882902:1 gene:B456_005G077400 transcript:KJB28937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTVEGGGFFSSSASGYSKGLALLLLGQKHEDKSMRVSPWNHYQLVDQEPDPDPDLQLASIKNRLSRGCASFVCFGRTSAGLDTPSPLKVGPVQQQDVLPGSFASDKSNDQATQLDDGNSDARKVVFKSSLKKQSHTTQVPLEDGNDREVSGEKDGDIPSHTVRRKVQWTDAHGSELAEIREFEPSETGGSDDEFSNGSEKTCACTIM >KJB31691 pep chromosome:Graimondii2_0_v6:5:58052875:58056544:-1 gene:B456_005G203200 transcript:KJB31691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSAEELRIADIIHPNGGAATLSQIASCINDSLTSLDITTRARIMRLLVRRKIFTIRPPSDGGDPLNLDFNKLFNDGLACTSKFITSAILSSYKQWFNSIGSLVDVSHIGGDMFHAIPITDAIIMKYLSKSIISRTTTITEDGSGVFDDIGFVMDLVMFAHINAKERTEAEWKKILELGGFSHYKIINIPALVSIIEAYPDA >KJB31693 pep chromosome:Graimondii2_0_v6:5:58118755:58118788:1 gene:B456_005G2034001 transcript:KJB31693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FNANGDILER >KJB29599 pep chromosome:Graimondii2_0_v6:5:19762137:19764969:1 gene:B456_005G109400 transcript:KJB29599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVIDITSYIDKNPFDEEEEEVSPFADTTSRGKAPGQSKFGGGLFSTSTASVPPASNSRLSPLPHKPAGFSYEREATIDIPLDIASGGSRNQDLKKKEKELQAKEADLRRRGQEVRRREEAVARAGVVLEEKNWPPFFLSFIMILLMKFRFIYKDCNMLHFQHI >KJB29600 pep chromosome:Graimondii2_0_v6:5:19762137:19764969:1 gene:B456_005G109400 transcript:KJB29600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVIDITSYIDKNPFDEEEEEVSPFADTTSRGKAPGQSKFGGGLFSTSTASVPPASNSRLSPLPHKPAGFSYEREATIDIPLDIASGGSRNQDLKKKEKELQAKEADLRRRGQEVRRREEAVARAGVVLEEKNWPPFFLSFIMILLMKFRFIYKDCNMLHFQHI >KJB28058 pep chromosome:Graimondii2_0_v6:5:2223182:2224914:1 gene:B456_005G025000 transcript:KJB28058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSCNVVSMALLACLVLNSLVFVAHGGDFYQDFDLTWGDRRGKIFNGGKLLSLSLDRVSGSGFQSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYILHTNVFTQGKGNREQQFYLWFDPTRNFHTYSIIWKPQHIIFLVDNTPIRVFKNAESIGVPFPKSQPMRIYSSLWNADDWATRGGLVKTDWAKAPFTAYYRNFNANACVWSGTSSSCAKTNSVSAGAWETNELDAPGRRRLRWVQKYFMIYNYCTDLKRFPQGVPPECKRPRF >KJB28060 pep chromosome:Graimondii2_0_v6:5:2223393:2224914:1 gene:B456_005G025000 transcript:KJB28060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSSQGPTHDEIDFEFLGNLSGDPYILHTNVFTQGKGNREQQFYLWFDPTRNFHTYSIIWKPQHIIFLVDNTPIRVFKNAESIGVPFPKSQPMRIYSSLWNADDWATRGGLVKTDWAKAPFTAYYRNFNANACVWSGTSSSCAKTNSVSAGAWETNELDAPGRRRLRWVQKYFMIYNYCTDLKRFPQGVPPECKRPRF >KJB28061 pep chromosome:Graimondii2_0_v6:5:2223862:2224633:1 gene:B456_005G025000 transcript:KJB28061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFMLHTYRMCYKAFMLHFRSVFNVFFVYVLQLSSQGPTHDEIDFEFLGNLSGDPYILHTNVFTQGKGNREQQFYLWFDPTRNFHTYSIIWKPQHIIFLVDNTPIRVFKNAESIGVPFPKSQPMRIYSSLWNADDWATRGGLVKTDWAKAPFTAYYRNFNANACVWSGTSSSCAKTNSVSAGAWETNELDAPGRRRLRWVQKYFMIYNYCTDLKRFPQGVPPECKRPRF >KJB28059 pep chromosome:Graimondii2_0_v6:5:2223135:2224937:1 gene:B456_005G025000 transcript:KJB28059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSCNVVSMALLACLVLNSLVFVAHGGDFYQDFDLTWGDRRGKIFNGGKLLSLSLDRVSGSGFQSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYILHTNVFTQGKGNREQQFYLWFDPTRNFHTYSIIWKPQHIIFLVDNTPIRVFKNAESIGVPFPKSQPMRIYSSLWNADDWATRGGLVKTDWAKAPFTAYYRNFNANACVWSGTSSSCAKTNSVSAGAWETNELDAPGRRRLRWVQKYFMIYNYCTDLKRFPQGVPPECKRPRF >KJB29930 pep chromosome:Graimondii2_0_v6:5:27681529:27708149:-1 gene:B456_005G124100 transcript:KJB29930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heteroglycan glucosidase 1 [Source:Projected from Arabidopsis thaliana (AT3G23640) UniProtKB/TrEMBL;Acc:A0A1I9LTS6] MSVGLSSSVKGGGVLKLSLCPLAFSRFNPSPPSSTSKFLFRKKSYSKKLTGRRLISKMTDSEVKAATSDSTAGKMIFQPILEDGVFRFDCSANDREAVYPSLSFINNKSRDVPVMSNKTPSYIPSFECRLGQQIVKLEFPAGTSFYGTGEVSGQLERTGKRVFTWNTDAWGYGPETTSLYQSHPWVLAVLPNGEALGILADTTRRCEIDLRTECRIQFISLPSFPVITFGPFSSPTAVLVSLSHAIGTVFMPPKWSLGYHQCRWSYDSQERVLEISRKFREKGIPCDVIWMDIDYMDGFRCFTFDKERFPDPKSLVKDLHNIGFKAIWMLDPGIKQEEGYFVYDSGSKHDVWIQKADGKPFVGDVWPGPCVFPDFTQSKARAWWANLVKDFISNGVDGIWNDMNEPAIFKTVTKTMPESNIHRGDNELGGCQSHAHYHNVYGMLMARSTYEGMGLADRRKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLQMSISMMLQLGLSGQPLSGPDIGGFAGNATPKLFGRWLGFGAMFPFCRGHSETGTIDHEPWSFGKECEEVCRLALKRRYRLIPHIYTLFYMAHTRGTPVATPVFFADPKDPNLRTLESCFLLGPLLVYSSIMPDLGSDKLQPLLPKGIWLSFDFDDSHPDLPALYLQGGYIIPFGPPHQHVGESNPLDDLTLIVALDEHGKAKGSLFEDDGDGYGFTEGEYLLTHYVAELECSVVTVKVSKTEGLWKRPNRRLHVQLLIGEDAMLDAWGNDGEDLQIEMPSEIEVSKLISSSKEHHRLRLESIKHIPDVEDGSGHKGGELSRTPIELANGDWSLKIVPWIGGRIISMVHLPSGSQWLHSRVEINGYEEYSGMEYRSAGCTEEYNVMQRDLEHAGEEESVLLEGDIGGGLVLQRQITVPKDNPKVLRIESSLLARKVGAGSGGFSRLVCLRVHPTFSLLHPTETFVAFTSIDGTKQEVWPETGEKVYQGNLLPNGEWKLVDKCLGLVLINRFNVGEVYKCLIHWGARTVNLELWSEDRPVSKQSPLQIFHEYEPFSY >KJB29931 pep chromosome:Graimondii2_0_v6:5:27687655:27708198:-1 gene:B456_005G124100 transcript:KJB29931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heteroglycan glucosidase 1 [Source:Projected from Arabidopsis thaliana (AT3G23640) UniProtKB/TrEMBL;Acc:A0A1I9LTS6] MEMSVGLSSSVKGGGVLKLSLCPLAFSRFNPSPPSSTSKFLFRKKSYSKKLTGRRLISKMTDSEVKAATSDSTAGKMIFQPILEDGVFRFDCSANDREAVYPSLSFINNKSRDVPVMSNKTPSYIPSFECRLGQQIVKLEFPAGTSFYGTGEVSGQLERTGKRVFTWNTDAWGYGPETTSLYQSHPWVLAVLPNGEALGILADTTRRCEIDLRTECRIQFISLPSFPVITFGPFSSPTAVLVSLSHAIGTVFMPPKWSLGYHQCRWSYDSQERVLEISRKFREKGIPCDVIWMDIDYMDGFRCFTFDKERFPDPKSLVKDLHNIGFKAIWMLDPGIKQEEGYFVYDSGSKHDVWIQKADGKPFVGDVWPGPCVFPDFTQSKARAWWANLVKDFISNGVDGIWNDMNEPAIFKTVTKTMPESNIHRGDNELGGCQSHAHYHNVYGMLMARSTYEGMGLADRRKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLQMSISMMLQLGLSGQPLSGPDIGGFAGNATPKLFGRWLGFGAMFPFCRGHSETGTIDHEPWSFGKECEEVCRLALKRRYRLIPHIYTLFYMAHTRGTPVATPVFFADPKDPNLRTLESCFLLGPLLVYSSIMPDLGSDKLQPLLPKGIWLSFDFDDSHPDLPALYLQGGYIIPFGPPHQHVGESNPLDDLTLIVALDEHGKAKGSLFEDDGDGYGFTEGEYLLTHYVAELECSVVTVKVSKTEGLWKRPNRRLHVQLLIGEDAMLDAWGNDGEDLQIEMPSEIEVSKLISSSKEHHRLRLESIKHIPDVEDGSGHKGGELSRTPIELANGDWSLKIVPWIGGRIISMVHLPSGSQWLHSRVEINGYEEYSGMEYRSAGCTEEYNVMQRDLEHAGEEESVLLEGDIGGGLVLQRQITVPKDNPKVLRIESSLLARKVGAGSGGFSRLVCLRVHPTFSLLHPTETFVAFTSIDGTKQEVWPETGEKVYQGNLLPNGEWKLVDKCLGLVLINRFNVGEVYKCLIHWGARTVNLELWSEDRPVSKQSPLQIFHEYEVREI >KJB31107 pep chromosome:Graimondii2_0_v6:5:51781552:51785220:1 gene:B456_005G176500 transcript:KJB31107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGQEEKNRLAGTAADDARQPYLRSISWTDRSPTKPNPKPPTNTKGRSCLPPLCIKRRPVEEWPNAGSDDLGVWPHPQTPRGSVKPLPSPGSNREFQLRKDKLAFYDKECSRIADHIYLGSDAVAKNREILAKNGITHVLNCVGFVCPEYFKNDLVYKTLWLQDNPSEDITSILYDVFDYFEDVQEQGGRVLVHCCQGVSRSTSLVIAYLMWREVQSFEDAFKYVKTARGVTNPNTGFAFQLLQCQKRVHAAPASPNSLLRMYKMAPHSSYDALHLVPKLLNHPGIQGLDSRGAFIVHVPSAIYVWVGKKCSNIMSNRASLAANQVIRYERAQGPIITVREGEEPVKFWDALAIGQVSAAAEATNICVGERKVGDYDLDFELFHKALAGGVVPPFSVSAAESETCLPARENGWGRLRQKFANGIMKEFVNSSKLGCNLTPGTDRSDMIVDDTRRDSEAEDNVSLSSPSIIPCGSPDSFDCFPDTSPIRSKGPCEDVEQLVTPCDSPLAPRSPCGSPSSFSSFAASSPKFSSKSPTLSPSTSDYGSSFAFSPSSSNWSDFSYMSSRQPSPSGLEAIDIYSCLQSKETSLSPQKTFSSDHTLSVAITGSPCKGTSPSLAERRGSHPPPRMVLPSVDEQVPRNLVRSWSFAMPELDDDDVMNDVDCNQYEPEDDSEELTLDAEVVAVSIKSHGRTENQSEYGECRAQSGAIFENPRGVTTMALYQWPTLSKVEMRGSHHILESGAAYMLLAPDASDCSGVLYIWLGREVMCGKGQSRSESSGGTNKDSHLHWESIGREFLNKMDLPLNASVQVVTEGEEPEQFCKLFNCYTDPKG >KJB30944 pep chromosome:Graimondii2_0_v6:5:49480963:49485988:1 gene:B456_005G168700 transcript:KJB30944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAQASTSAFGTPSSTPAFGTPSSTPAFGTTPSTPAFGAPSSTPAFGTPSSTPAFVTPSSTPAFGTPSSTPAFGAPSSTPAFGTPSSTPAFGTPSTPSFATGFGGSSLFSTPFSSQTQQQQQTSLFQQPQPSIAAPSSGFGFQTSLSATPFPNAQLTTQMAPVAPLPFSLADRDIQAIVDAYKEEAGNPKYAFKHLLFSVIEPQFRGKAAGVSDIMWAEAMAKLEGMESSDRERLWPQLVQGFKDLSQRLKLQDEVIVSDAERLRMTQSNVKMLQRHFQAETLPWIQRMRQKEQSLQRRLLKMMRILEALEGKGCRVPLMKGEVELAEKLAAITRQLKGSGAELSRRVQNLLIVSRVQANAIGAGGSLYLPGSTKIHEQSLADMQEVLQQQTEAIARLGNVLKRDIRDMEIIMAEDTDMTENVN >KJB30943 pep chromosome:Graimondii2_0_v6:5:49480899:49486160:1 gene:B456_005G168700 transcript:KJB30943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAQASTSAFGTPSSTPAFGTPSSTPAFGTTPSTPAFGAPSSTPAFGTPSSTPAFVTPSSTPAFGTPSSTPAFGAPSSTPAFGTPSSTPAFGTPSTPSFATGFGGSSLFSTPFSSQTQQQQQTSLFQQPQPSIAAPSSGFGFQTSLSATPFPNAQLTTQMAPVAPLPFSLADRDIQAIVDAYKEEAGNPKYAFKHLLFSVIEPQFRGKAAGVSDIMWAEAMAKLEGMESSDRERLWPQLVQGFKDLSQRLKLQDEVIVSDAERLRMTQSNVKMLQRHFQAETLPWIQRMRQKEQSLQRRLLKMMRILEALEGKGCRVPLMKGEVELAEKLAAITRQLKGSGAELSRRVQNLLIVSRVQANAIGAGGSLYLPGSTKIHEQSLADMQEVLQQQTEAIARLGNVLKRDIRDMEIIMAEDTDMTENVN >KJB32146 pep chromosome:Graimondii2_0_v6:5:60923999:60930194:-1 gene:B456_005G226800 transcript:KJB32146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRFSLQKVKNLPALRPQVLASASPRFSTATESSFNHRNPSRVPNLIGGAFVDSKSTSTIDVINPATQEVVSQIPLTTNEEFKAAVAAAKQAFPSWRNTPITTRQRIMFKLQELIRRDMDKLAKNITTEQGKTLKDAHGDVFRGLEVVEHSCGMATLQMGEYVPNVASGIDTYSVREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGASIMLAELAIEAGLPPGVLNIVHGTNDIVNAICDDDDIRAISFVGSNTAGMHIYGRASAKGKRVQSNMGAKNHGIVMPDANKDATLNALLAAGFGAAGQRCMALSTIVFVGDSESWLNDLVERAKGLKVNAGIEPNADLGPVISKQAKERICRLVQSGVERGAKLLLDGRNVVVPGYEQGNFIGPTILTGVTADMKCYKEEIFGPVLVCMKADSLEDALNIVNRNKYGNGASIFTTSGAVARKFQTEVEAGQVKLWIKYSRVY >KJB32147 pep chromosome:Graimondii2_0_v6:5:60924846:60930077:-1 gene:B456_005G226800 transcript:KJB32147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRFSLQKVKNLPALRPQVLASASPRFSTATESSFNHRNPSRVPNLIGGAFVDSKSTSTIDVINPATQEVVSQIPLTTNEEFKAAVAAAKQAFPSWRNTPITTRQRIMFKLQELIRRDMDKLAKNITTEQGKTLKDAHGDVFRGLEVVEHSCGMATLQMGEYVPNVASGIDTYSVREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGASIMLAELAIEAGLPPGVLNIVHGTNDIVNAICDDDDIRAISFVGSNTAGMHIYGRASAKGKRVQSNMGAKNHGIVMPDANKDATLNALLAAGFGAAGQRCMALSTIVFVGDSESWLNDLVERAKGLKVNAGIEPNADLGPVISKQAKERICRLVQSGVERGAKLLLDGRNVVVPGYEQGNFIGPTILTGVTADMKCYKEEIFGPVLVCMKADSLEDALNIVNRNKYGNGASIFTTSGAVARKFQTEVEAGQVKLWIKYSRVY >KJB32145 pep chromosome:Graimondii2_0_v6:5:60923990:60930360:-1 gene:B456_005G226800 transcript:KJB32145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRFSLQKVKNLPALRPQVLASASPRFSTATESSFNHRNPSRVPNLIGGAFVDSKSTSTIDVINPATQEVVSQIPLTTNEEFKAAVAAAKQAFPSWRNTPITTRQRIMFKLQELIRRDMDKLAKNITTEQGKTLKDAHGDVFRGLEVVEHSCGMATLQMGEYVPNVASGIDTYSVREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGASIMLAELAIEAGLPPGVLNIVHGTNDIVNAICDDDDIRAISFVGSNTAGMHIYGRASAKGKRVQSNMGAKNHGIVMPDANKDATLNALLAAGFGAAGQRCMALSTIVFVGDSESWLNDLVERAKGLKVNAGIEPNADLGPVISKQAKERICRLVQSGVERGAKLLLDGRNVVVPGYEQGNFIGPTILTGVTADMKCYKEEIFGPVLVCMKADSLEDALNIVNRNKYGNGASIFTTSGAVARKFQTEVEAGQVGINVPIPVPLPFFSFTGNKASFAGDLNFYGKAGVNFFTQIKTVTQQWKDLPSGSGVSLAMPTSQKL >KJB29056 pep chromosome:Graimondii2_0_v6:5:9955585:9958313:-1 gene:B456_005G082300 transcript:KJB29056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIRCRAAVAWESGKPLSIEEVEVAPPQKDEVRIKILFTSLCHTDVYFWDAKGQNPLFPRILGHEAGGIVESVGEGVTDLKPGDHVLPIFTGECKECPHCLSEESNMCDLLRINTDRVGMINDGKSRFSVNGKPIYHFLGTSTFSEYTVVHVGQVAKINPHAPLDKVCVLSCGMSTGFGATVNVAKPKKGQSVAIFGLGAVGLAAAEGARVSGASRIIGVDLNPSRFEQAKKFGVTESVNPKDYNKPVQEVVVEMTGGGVDRSVECTGSIQAMISAFECVHDGWGVAVLVGVPNKDDEFKTHPVNLLNEKTLKGTFFGNYKPRSDIPAVVEKYMNKELELDKFITHTVPFSEINKAFELMLAGEGLRCVIRMDA >KJB29582 pep chromosome:Graimondii2_0_v6:5:19701766:19702985:1 gene:B456_005G109100 transcript:KJB29582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEFDNVKAEKEDALWRYNMERKLMIGFRLIGFLLVLFLLLWLCFPTIVESAGHFRRRFVSAFNKPLFTFIIVNIIILAVYALSNQKRTHKQSTRNNIYDEYVSSYRSIPATSAVVTANVSDFPVTEVSTVDKRIVLVENAVAASPVKQRATIIDTITESKISVSTVKQPKTKVCSTEVKQKHYERSRSMVSECRQRESFREFRRSETAVSSREMVMSGIEPARKSMEEMSSEEFQLIIDSFIAERRKTLMQENIGHYSRRKESVVGMK >KJB27791 pep chromosome:Graimondii2_0_v6:5:677620:679262:-1 gene:B456_005G0097001 transcript:KJB27791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAFVSAVMGEVASKAASVAVEMISLGWGFKDEMQRLGNSLEMIGAFLRDAEGNQKQMNSVKLWLKRLRDVAYEADEVLDEIAYEFLRKKVETGDQMLRKVRDLPSTVTFQHNMANKVKDILTSLDDLNKIAKDYGLQQLAVDQRIFIPSNVETVSFLDDSNIVGKKNDVSKVADMLVSPQDDRTVSVVPIVGMAGIGKTTLARLVYHDVDVERRFDVRFWVCVSDDFNVKRILREILEHDMNYQHTSIPQNLNALTAKLKGKIEQAKRGNEQIKYLLVLDDVWNVEQWDELMWCLEGVNKNRGNKVIVTTRIEDVALKVETLPNQRHQPGKLKDEECWSIIKEKACGDSPISPSLVLIGEEIAKQCHGMPLAAKVIGGTMRKIERSRGAWLKIQKSDVWDSVYSVLRLSFDHLSSPCLKKCFAYCA >KJB32744 pep chromosome:Graimondii2_0_v6:5:63487945:63491581:1 gene:B456_005G259100 transcript:KJB32744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNMEGDVGFYDDAAMDMDFIDELLFEGFNINSDRGQASLDLCRSENRAVTSMSRLMQAVLYLKESTKGRDGVLIQIWVPVKKGDKHVLTTQGQPYSLNTNCKSLEIFRDVSESYSFPAEEDSEGPIGLPGRVFLGKLPEWTPDVRFFRRDEYRRVGLAQKYNVGGSFALPFFEQGSGTCLGVVEIVSCTQKINYRPELEHVCKALEAVDLRSSHCFTPPNVKGYNELYRVALPEITEVLRSICKRYELPLALTWAPSQHSDKNFYCCVSTSGSFCFVADEGLTGFLEACSEHQLFRGQGIVGRAFTTNKQCFATDITAFSKTNYPLSHHARMFGLRAAVAIPLQSAFTGSVVFVLELFLPKDCHDSEAQKRMINSLSGFMQQACRSLQVIVDKELEEEVILPVKEMFKSNKKETEVRIFSSKEDSPVESSSWIAHMMEAQEKGKGISSSWEYKKELDFRQVHQHARTNRVDSGHQVLDGKKAGEKKRKKTEKTIGLQDLRRHFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLRKLQVVIESVQGAEGAIQIGSFYSSFPELSSPNFSNNVPSSTLKLSNHSMSSEPRLETAMFSQEATASKSPLSSSSQSSGSSTCCSSGAKQQSTRINALGGMEDPGGPLKSVIGDAKLHASNQHEMKLLQHQTGGDLRVKAMFGQVKLLFGLQPNWGFIDLQREIAKHFNIEDVDRINLKYLDDDNEWVLLTCDADLEECIDIYKSSQTHTIKISLHQASNLNLGSSFGYSDPL >KJB30733 pep chromosome:Graimondii2_0_v6:5:45123752:45127139:-1 gene:B456_005G157600 transcript:KJB30733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGYSNFRKIDDIFEGVCGNATTRASGLKSASFVNQGRNNQSCRLRPVPARLWISYAAKPETVD >KJB30734 pep chromosome:Graimondii2_0_v6:5:45123428:45127139:-1 gene:B456_005G157600 transcript:KJB30734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGYSNFRKIDDIFEGVCGNATTRASGLKSASFVNQGRNNQSCRLRPVPARLWISYAAKPETVD >KJB30732 pep chromosome:Graimondii2_0_v6:5:45123733:45127138:-1 gene:B456_005G157600 transcript:KJB30732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGYSNFRKIDDIFEGVCGNATTRASGLKSASFVNQGRNNQSCRLRPVPARLWISYAAKPETVD >KJB28921 pep chromosome:Graimondii2_0_v6:5:8658722:8664848:-1 gene:B456_005G075900 transcript:KJB28921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKGSTATKTAKSLFLTFTVLLSLSFFIFFFFFTSPVTEPTNSLPQTSFLSSQNSIKVYVANLPRSLNYGLLQQYWDSNHPDSRIPSDPDHQISTTHFSKPTRYPPYPENPLIKQYSAEYWIMGDLETPQGIRTASFAKRVFDVNEADVVFVPFFATLSAEMELGSGSGAFKKKAGNGDYLRQKKVLDFVRNTDAWKRSGGRDHVFVLTDPVAMWHFREEIAPAVLLVVDFGGWYRLDTKSSGGISSDTIRHTQVSLLKDVIVPYTHLLPRLQLSENQKRHTLLYFKGAKHRHRGGLVREKLWDLLVNEPGVIMEEGFPNATGREQSIKGMRSSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNVELPFEGMVDYSTFSVFVAVSDALRPNWLVSHLRSISEKLKNEFRQTMAKVQPVFVYDNGHPDGIGPIPPDGAVNHIWRKVHQKLPAIKEAIVRGKRKPANTSIPLRCHCT >KJB28920 pep chromosome:Graimondii2_0_v6:5:8662383:8664846:-1 gene:B456_005G075900 transcript:KJB28920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKGSTATKTAKSLFLTFTVLLSLSFFIFFFFFTSPVTEPTNSLPQTSFLSSQNSIKVYVANLPRSLNYGLLQQYWDSNHPDSRIPSDPDHQISTTHFSKPTRYPPYPENPLIKQYSAEYWIMGDLETPQGIRTASFAKRVFDVNEADVVFVPFFATLSAEMELGSGSGAFKKKAGNGDYLRQKKVLDFVRNTDAWKRSGGRDHVFVLTDPVAMWHFREEIAPAVLLVVDFGGWYRLDTKSSGGISSDTIRHTQVSLLKDVIVPYTHLLPRLQLSENQKRHTLLYFKGAKHRHRGGLVREKLWDLLVNEPGVIMEEGFPNATGREQSIKGMRSSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNVELPFEGMVDYSTFSVFVAVSDALRPNWLVSHLRSISEKLKNEFRQTMAKVQPVFVYDNGHPDGIGPIPPDGAVNHIWRKVHQKLPAIKEAIVRGKRKPANTSIPLRCHCT >KJB30966 pep chromosome:Graimondii2_0_v6:5:49756283:49764261:1 gene:B456_005G170100 transcript:KJB30966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPIVQFEKKIEETVDQNPVVVIIGETGSGKSTQLSQMLHRKGYTNSGIVAVTQPRRVAAVSVSRRVAQELGVRLGEEVGYAIRFEDRTSERTQIKYLTDGVLLRESLSNPELNQYSVIILDEAHERSLNTDILLGLMKRLVKRRASNLKVLITSATLDGEKVSKFFSDCPLLTVPGKLFPVEIFYSKERPTSYLESSLKTAMDIHVREPEGDILIFMTGQDDIEKLVSKLEDKVRSLEEGSCMDAIILPLHGSLPPEMQVRVFSPPPPNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPATGMYSLDVVQISKVQANQRAGRAGRTRPGKCYRLYPSRVYNDDFLDVTVPEIQRSSLAGSVLYLKSLDLPDIDILKFDFLDPPSTESLEDALKQLYLIDAIDENGSITSIGRTMAELPLEPSLSRTLIEANEYGCLSQALTVAAMLSAETNLLPGRSKNNEKKRKYPPLELPDGSGFGDHIQLLQIYECWDENNYDIGWCKDYDLQVRGMMFVKEVRKQLSQIMQKIAKGSSDVQVNQSQKRRQSYRNLRKALSIGFASQLAERMRHHNGFRTLGFKPQLVQVHPSSVLKPDDDGLYPNYVVYHELIATSRPYMRNVCAVERQWVIPILEKLDKLDVRKLSGGGLGHVEEITEGNTSDLLKKEAVIVTAPEEQESKIQAARERFLARKGKK >KJB27973 pep chromosome:Graimondii2_0_v6:5:1557917:1561507:1 gene:B456_005G019600 transcript:KJB27973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWLCCSCQAEESYQAHENEHLKAPKDHTDGNHRNSRVAAPVKPEVQKSPPPIEVPALSLEDLKEKTDNFGSKALIGEGSYGRVYYASLNNGKAVAVKKLDVSTESESNVEFLTQVSMVSRLKHDNFVELQGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPVLDWMQRVRIAVDAARGLEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKAVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLKAPVPVPALET >KJB27974 pep chromosome:Graimondii2_0_v6:5:1558370:1561455:1 gene:B456_005G019600 transcript:KJB27974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEYNRRGSVAHAPSRGNFDQLGNTDDLYLKKRSRMRRWLCCSCQAEESYQAHENEHLKAPKDHTDGNHRNSRVAAPVKPEVQKSPPPIEVPALSLEDLKEKTDNFGSKALIGEGSYGRVYYASLNNGKAVAVKKLDVSTESESNVEFLTQVSMVSRLKHDNFVELQGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPVLDWMQRVRIAVDAARGLEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKAVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLKAPVPVPALET >KJB28945 pep chromosome:Graimondii2_0_v6:5:8707874:8710705:-1 gene:B456_005G076600 transcript:KJB28945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVNGQFPGPTIYVHKGDTVFVNVHNHGDSGLTVHWHGVKQPRNPWSDGSAYITQCPIEPGHNFTYEVVFSEEEGTLWWHANSDWTRNTVHGAIVIYPPHGFSYPFPTPAGEQILILGTWFTYDVNKVIKEILRTGKDVPVSDAYIINGQPGDFCACSKEMAYRWQVDYGKTYLIRLVNALMNEEFFFAIAGHDLIVVGIDGSYLKPFTTSYVMLSNGQTMDVLVKTNRSPGRYYMAGRQYYTDNLFFTGYDKTNASAILEYRGKYDRLSSPFFPEKLPSYTDYDSATQFRKRLKSLASKEHPIDVPRNVTTQMYITASMDKIVHNFSAYMDYTLLSSLNNISWVNPSTDVLQAYYRNLSGFYTLDFPDEPPYYFDFVADLRDDSTHPLKGTKVKVLEYGEEVEIVFQSTNLLNASDEHSMYIHGHKFYVLGEGYGNFNSTRDTETYNLVDPPYLSTASLPVKGWLTIRFKANNPGVWAMHCQEGRHLIWGMNTVLIVKNGSNPETSIRTPPPNMPSCASYPSIHKALEVSAE >KJB28943 pep chromosome:Graimondii2_0_v6:5:8707803:8710785:-1 gene:B456_005G076600 transcript:KJB28943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEPACPVLLLFLAGIIIWVVEAEVHYYDFVLAEKNFTRLCKTKSMLVVNGQFPGPTIYVHKGDTVFVNVHNHGDSGLTVHWHGVKQPRNPWSDGSAYITQCPIEPGHNFTYEVVFSEEEGTLWWHANSDWTRNTVHGAIVIYPPHGFSYPFPTPAGEQILILGTWFTYDVNKVIKEILRTGKDVPVSDAYIINGQPGDFCACSKEMAYRWQVDYGKTYLIRLVNALMNEEFFFAIAGHDLIVVGIDGSYLKPFTTSYVMLSNGQTMDVLVKTNRSPGRYYMAGRQYYTDNLFFTGYDKTNASAILEYRGKYDRLSSPFFPEKLPSYTDYDSATQFRKRLKSLASKEHPIDVPRNVTTQMYITASMDKIVHNFSAYMDYTLLSSLNNISWVNPSTDVLQAYYRNLSGFYTLDFPDEPPYYFDFVADLRDDSTHPLKGTKVKVLEYGEEVEIVFQSTNLLNASDEHSMYIHGHKFYVLGEGYGNFNSTRDTETYNLVDPPYLSTASLPVKGWLTIRFKANNPGVWAMHCQEGRHLIWGMNTVLIVKNGSNPETSIRTPPPNMPSCASYPSIHKALEVSAE >KJB28944 pep chromosome:Graimondii2_0_v6:5:8707874:8710705:-1 gene:B456_005G076600 transcript:KJB28944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEPACPVLLLFLAGIIIWVVEAEVHYYDFVLAEKNFTRLCKTKSMLVVNGQFPGPTIYVHKGDTVFVNVHNHGDSGLTVHWHGVKQPRNPWSDGSAYITQCPIEPGHNFTYEVVFSEEEGTLWWHANSDWTRNTVHGAIVIYPPHGFSYPFPTPAGEQILILGTWFTYDVNKVIKEILRTGKDVPVSDAYIINGQPGDFCACSKEMAYRWQVDYGKTYLIRLVNALMNEEFFFAIAGHDLIVVGIDGSYLKPFTTSYVMLSNGQTMDVLVKTNRSPGRYYMAGRQYYTDNLFFTGYDKTNASAILEYRGKYDRLSSPFFPEKLPSYTDYDSATQFRKRLKSLASKEHPIDVPRNVTTQMYITASMDKIVHNFSAYMDYTLLSSLNNISWVNPSTDVLQAYYRNLSGFYTLDFPDEPPYYFDFVADLRDDSTHPLKGTKVKVLEYGEEVEIVFQSTNLLNASDEHSMYIHGHKFYVLGEGYGNFNSTRDTETYNLVDPPYLSTASLPVKGWLTIRFKANNPAGVWAMHCQEGRHLIWGMNTVLIVKNGSNPETSIRTPPPNMPSCASYPSIHKALEVSAE >KJB31213 pep chromosome:Graimondii2_0_v6:5:53098518:53104502:1 gene:B456_005G181300 transcript:KJB31213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSGFLSLVRTLPILAQSNYRAFTSYCNINPDLGVYYKNKTINELIRSRRLDDAQNLFDQMSIRDSITYNLLITGHGRYGNPKQALYIYKEMVSQEIQETGPTYSSVITVCANEGFYREGIQVHCRVISLGFGLNLFIGSSLVNLYLHMGLVDVAWKLFDQLPERNLAAWNLLLNGFLELGKIEELFGLHDQMKWEGVKPNGLSFCYLIRACCNARFLDEGMQLHCHVIKAGWVECNVFVANALVDFYSACGNFIDARKAFLLIPVEDVISWNSIISVYVENDLLYDAIELLSRMHFWDKKPSIRSFVGLLNLSSRREDILLGRQIHCFITKVGFDLGSVHIQSALIDMYGKCGEIESSLSVYGRASKRTLECCNSLITSFLHCGITGDVFEIFGLMVDEGIRIDEVTLSTTLKALSLSTWASLDSCRLLHCCAIKSGYESDVAVSCSLIDLYSRCGHFELSRKVFETLPLPNIFCFASIINGYARNGMGNESVSLLEAMIQKGLVPDKVTFLCVLNGCNHAGLLEEGKFVFNLMKSFGICPERQHFSCMVDLLGRAGLVYEAEELLQQSPGGGDSVMWSSLLRSCGVHKNEIVGKRVAKVLMELGQDSFAIYLQVSNFYSEVGEFKASLQIRELAMARKVMREIGHSSIEVKTYIGGNMLYHKFNILGELEDLDIAEEDMELFEDPSWISERGGKVLVNVDSFGAVGDGVSDDTEAFRKAWNTSCATSKSVLLVPPGHRYLVNATRFKGPCEERLVVQIDGTIVAPDEPNDWDPNLPRNWLVFSKLEGVVFQGNGVIDGSGSKWWASSCKKNKSNPCRGAPTALTIDSSSSIKVKGLTIQNSQQMNFVISRCESVRVSEVQVSCPGDSPNTDGIHITGSTNVVLQDSKIGTGDDCISIVNASSGIKMKRIYCGPGHGVSIGSLGKDNSTAIVTKVVLDTALLRETTNGVRIKTWQGGSGYVRGVRFENVRMEDVANPIIIDQFYCDSPKTCQNQTSAVQISQIMYRNISGTTKSKEAMKFACSDTTPCSNIVLSNINLEKKDGTAETYCNSAQGFGYGIVHPSADCLSSNDKGYILIDHKENSELAEPTGDHIVHTEL >KJB32164 pep chromosome:Graimondii2_0_v6:5:60955390:60957774:1 gene:B456_005G227000 transcript:KJB32164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITKLRLVFLFSFFTTIPRLWANIVEFDDFWKQREEEAWKIALASYEPNPENVTNHLNYKVNKALNKRSSNKIIEFKGVITNDTIRYLRGKHKKYTGPCMATNPIDRCWRCRENWAENRKRLALCGLGFGRKATGGDEGDYYLVTDNSDDDVLEPKPGTLRHAVIQNKPLWIIFAKDMHIKLSKELIVQSNKTIDGRGANVHIAHGCGITLQFVHNVIIHNIHIHHVIESQGGLIRDSEDHYGYRTIGDGDGISIFGSSNIWLDHISMSECQDGLIDVIQGSTAITISNCHFTHHDHVILLGASDTYSNDQYMQVTIAFNHFGKELIQRMPRCRWGFFHVVNNDYTHWKMYAIGGSTHPTIISEGNRYIAPDDPNTKEITNRNYAPESEWKNWVWRSEGDLYMNGAFFRTSGPPSPPNLNFSEKDVIKAKPATFVRRLTRFAGTLDCKEYVKC >KJB30387 pep chromosome:Graimondii2_0_v6:5:37216664:37218054:1 gene:B456_005G140600 transcript:KJB30387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAISDELLATFVPIVVYWIYSAFYMSFGSFDNYRLHSKKDEEDKNLVSKANVIKNVLFQQTIQSTLAIVLYRVIGNEGGGASKSQPNSITVMAGQFIIAMFVLDTWQYFMHRYFHHKKFLYRHFHSHHHKLVVPYAFGAIYNHPLEAFVFDIIGGALSYSISGMSPRTSMYFFSFATIKSVDDHCGVWLPGNLFHIFFNNNSAYHDVHHYLYGGKYNFSQPFFVMWDRIMGTYMPYSLEKRAEGGFEARPIKRAK >KJB30919 pep chromosome:Graimondii2_0_v6:5:49290314:49291492:1 gene:B456_005G167800 transcript:KJB30919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PIAKKPTFLRLRGSFEYEIQSWKYSIPLFFTTQGFDTFRSREISTGAGAIREQLADLDLRILIDYSVVEWKELGEEGLTGNEWEDRKIGRRKDFLVRRMELAKHFIRTNIEPEWMVLCLLPVLPPELRPIIQIDGGKLMSSDINELYRRVIYRNNTLTDLLTTSRSTPGELVMCQEKLVQEAVDTLLDNGIRGQPMRDGHNKVYKSFSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQTFVIRGLIRQHLAPNIGVAKSKIREKGPIVWEILQEVMRGHPVLLNRVPTLHRLCIKAFQPTLVEGHAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLVMFSHMNLLSPAIRILFPYELQIFLLGSMS >KJB30780 pep chromosome:Graimondii2_0_v6:5:45841827:45844263:1 gene:B456_005G159400 transcript:KJB30780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEEEANPKIETGKNISKWLASPQTFFLFLDLGPTFKFSTMPSSSNPLPFLLIELASFNRSRPSDKSTSHPNTTLRRVCGKFVCQQY >KJB31734 pep chromosome:Graimondii2_0_v6:5:58475292:58478294:1 gene:B456_005G205200 transcript:KJB31734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGLLSHKGNGSGSGHKGRPYVLMLLLAFGAALLGVMVLHKFRERRIFNLLIEDKNRQLLSLQLLLQKEREYTKDMRRKAEETKAKIYYLRNQKMELDRRLLDMLSTLDSLKDEQKAMESALEEKKREIKLLRDKDLNTGNENPQMIALTATLKQKEAEIEELKHRLTGQVRVWSVSTDDPSNPKVNMTKGKTEFSRQESSRVHESSYKGGHGSSKGQDGTETKFSFSQEEDKGEGFEDGNEKRGGQQQKLESTGENAKNQGAIREMKIGDADDTGNYGVDGKKNHANAIDTINDVDNEQEKRSSFGGQLGKRRDPHLESERIREGGKKLDIDENSRISSLPGRVGHLSRSKGKRWRSLARNRLLKRNVNSGIDALENMTGRRLSKENKALVRSKEDGAGSEEPQKDERDKTEKTGLRKEMGARNNLFEHRNSEDTEDMNDRKVSAKTSHQVEGENAMQRNQDDSLAMAMQDTGVIGEARNYTQGTNHVKVEEAAKIKQIEGHETEEDTEVAYEQEPKREAEKGDLSSNFMSESDGKEGNKDDTSDPNF >KJB28716 pep chromosome:Graimondii2_0_v6:5:7010920:7014890:1 gene:B456_005G0657001 transcript:KJB28716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGDKERIHVYYAHGEDNSTFVRRCYWLLDKSLEQIVLVHYRETKEVSLATHSNSSSLTDQSTPLLVTEEFDSGIANTYSEEPGESVNVRNHEMKLLEINTLEWDELLVANGANDSIASRGDNVSCFDQQNQMAVNDFSNYGGLISAHNLSTETSLLVNSIAPVAQSNNAYLGTTGGVCNQISGGQVNTTSQLKDSCALGIGDSLDFLVNHGLQSQDSFGKWINDFITEPLGSVGDSVLELSSANDSFTSPEQIFSITEVSPGWAYSTEKTKILVTGVFHQAYQHLAKSTLFCVCGDLCTPAEIVQVGVYRCLLSQHSPGLVNLYMSLDGHKPISQVLGFEYCTPLSHDRIFPTEDESRQEEFQLQMRLAYLLFSTSKSLDILLGNKVSPNILKGVKKFAQKTTNMSDCWKYLMKSFEENRVSSTQAKDSLLEIALKNRLRDWLLERIIEGGKTNEHDTQGQGVLHLCAILGYTWAMYLYSWSGLSLDFRDKHGWTALHWAAFYGREKMVAVLLSAGAKPNLVTDPTTRNPNGYTAADLASLKGYEGLAAYLSEEALVAHFNDMAVAGNASGSLQTSRTEATNSENLNEDELYLKESLAAYRTAADAASRIQTAFRVHSLKLRTKAIESSHPEDETRNIVAAMKIQHAFRNYEIKKKMTAAARIQYSFLTWKMRKDFLNMRRQAIKIQAVFRGFQVRRQYHKILWSVGVLEKAILRWRFKRKGLRGLQINTAEEVAQRSQESDTEEGFFRDGRKQAEERVVKAVVRVQTLFRSKKAQQEYRRMKLAHDMAKLEYENLRGLPSDMDACRH >KJB30737 pep chromosome:Graimondii2_0_v6:5:45130369:45135517:-1 gene:B456_005G157800 transcript:KJB30737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPCTMLIAILADEMGLGKTIQAITYLTLLKHLKNDPGPHLIVCPASLLENWERELKKWCPSFSVLQYHGAGRASYSKELGYLSKAGLPPPFNVLLVCYSLFERHSVQQKDDRKILKRWKWSCVLMDEAHALKDKNSYRWKNLMSVARNAKQRLMLTGTPLQNDLHELWSLLEFMMPDLFATEYVDLKKLLNAEDRELIGRMKSILGPFILRRLKSDVMQQLVPKIQQVEYVIMEKHQENAYREAIEEYRTISRARIAKLSEPDLNNIIGVIPRRQISNYFVQFRKIANHPLLVRRIYDDDDVGRFAKRLHSIGVFECTLDRVVEELKNYSDFSIHQLLLRYGITGGKGTLSDEHVMLSAKCQALAELLPSLKRSGHRVLIFSQWTSMLDILEWTLDVIGVTYRRLDGSTQVTDRQTIVDDFNNDTSIFACLLSTRAGGQGLNLTGADTVVIHDMDFNPQIDRQAEDRCHRIGQTRPVTIYRLVTKGTVDENVYEIAKRKLTLDAAVLESGLDVGNEDDTSEKTMGQILSSLLMS >KJB30736 pep chromosome:Graimondii2_0_v6:5:45129943:45137098:-1 gene:B456_005G157800 transcript:KJB30736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVFEEISDEEWENHSFKPSRVLKKNPNPPPIESFAFNSQTQASFSDQSSDDCVEIEHLADDSNLEDDVEPEDAGPVNLARRFIVDEDDDDEEDGDGKDGNENAFEELYDIESSEEEQLQEDDVVGKALQKCAKISAELRKELYGSSGASCERYAEVEASSVRIVTQNDIDAACAVVDPEFQPVLKPYQLVGVNFLLLLHSKGIGGAILADEMGLGKTIQAITYLTLLKHLKNDPGPHLIVCPASLLENWERELKKWCPSFSVLQYHGAGRASYSKELGYLSKAGLPPPFNVLLVCYSLFERHSVQQKDDRKILKRWKWSCVLMDEAHALKDKNSYRWKNLMSVARNAKQRLMLTGTPLQNDLHELWSLLEFMMPDLFATEYVDLKKLLNAEDRELIGRMKSILGPFILRRLKSDVMQQLVPKIQQVEYVIMEKHQENAYREAIEEYRTISRARIAKLSEPDLNNIIGVIPRRQISNYFVQFRKIANHPLLVRRIYDDDDVGRFAKRLHSIGVFECTLDRVVEELKNYSDFSIHQLLLRYGITGGKGTLSDEHVMLSAKCQALAELLPSLKRSGHRVLIFSQWTSMLDILEWTLDVIGVTYRRLDGSTQVTDRQTIVDDFNNDTSIFACLLSTRAGGQGLNLTGADTVVIHDMDFNPQIDRQAEDRCHRIGQTRPVTIYRLVTKGTVDENVYEIAKRKLTLDAAVLESGLDVGNEDDTSEKTMGQILSSLLMS >KJB30779 pep chromosome:Graimondii2_0_v6:5:45836016:45837711:1 gene:B456_005G159300 transcript:KJB30779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPYFECPHYLRHGRDSKPCKSHGLATWPLAAVRLFMSSRLDFGALSNGKSTVVEFYADWCEVCRELAPNIYKVEQQYRDRVNFVMLNFGVEGIPHFAFLDKNGNEEGNVAGKLPRKYLQENVDALARGEASVPHASVVGQYSSAESRKVH >KJB28051 pep chromosome:Graimondii2_0_v6:5:2094559:2098820:1 gene:B456_005G024400 transcript:KJB28051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNVKKEKQTDKGDASAEPVRTKVAGVARDESADVESNQPNREEDAADRRVLRSKYLAVMTKISDAREEISNVDSNKFNIIINEVDNLHQQVSKPREQGADAEALLGLANTLATSVKSISCEGISLADFVNCLIREFGKSTRSLETQENEQISIDWKEIGVAVSPFFRTCKGICTMLGPMSNELKQRKPMVTRKRAVRPTDTSRPDEVDETGAEEKTGTDRNMAVMFEI >KJB29319 pep chromosome:Graimondii2_0_v6:5:13221432:13227258:1 gene:B456_005G094100 transcript:KJB29319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSADGTVKCACRSADYGRLSSVDGTCSHCAGSKPSSNSSSALAFGTVPLTQSEKLRRFIVASAKGFSIGAGLRGGLALFSIIARLRHKKSRKVESFSDKEAIVVGIKETIRYGLFLGTFSGTFVSVDEIIVALGGHHRTAKWRALIAGLVAGPSMLLTGPSEQHTSLAIYILMRAAVLASRCGMKSKRFGKLCKPLTWKHGDIFLMCLSSSQILSSYLLKPESFPPSYRSFLNKHVGKDQVILQGVKEHASGLPYTNLEAIEKFYKAKGADVKLDPNMKIPCSMIHGNQACSSHVFTFFSEAYKRSLPVYLPVYLIPTLIVHRQGLLKRPYTILGKSLLGTARSSLFLSAYTASAWMWTCMVNRFWGKCDIPIVAMGTFPTGLALAIEKKSRRIEISLYCVARAIESLFTCMADVGYLPKSMNLKRADVVIFSLSTAIIMHCYAQEREVFRSKYLSVLDWVFGVPPPPCETPPCKNR >KJB29320 pep chromosome:Graimondii2_0_v6:5:13221556:13226276:1 gene:B456_005G094100 transcript:KJB29320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSADGTVKCACRSADYGRLSSVDGTCSHCAGSKPSSNSSSALAFGTVPLTQSEKLRRFIVASAKGFSIGAGLRGGLALFSIIARLRHKKSRKVESFSDKEAIVVGIKETIRYGLFLGTFSGTFVSVDEIIVALGGHHRTAKWRALIAGLVAGPSMLLTGPSEQHTSLAIYILMRAAVLASRCGMKSKRFGKLCKPLTWKHGDIFLMCLSSSQILSSYLLKPESFPPSYRSFLNKHVGKDQVILQGVKEHASGLPYTNLEAIEKFYKAKGADVKLDPNMKIPCSMIHGNQACSSHVFTFFSEAYKRSLPVYLPVYLIPTLIVHRQGLLKRPYTILGKSLLGTARSSLFLSAYTASAWMWTCMVNRFWGKCDIPIVAMGTHVSLGSSQRVWHWQLRRKVGGSRYHSTALHEP >KJB32875 pep chromosome:Graimondii2_0_v6:5:63916911:63920271:1 gene:B456_005G266100 transcript:KJB32875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTSYSAEAFGVLTICLVALLVLLGLVCIAYLFYLRSRVLRQGFIQLSYFNGPWIIRITFILFTIWWGFGEIIRLNFLRRQGRVLNALNLKWQENICKCYIVSSLGFAEPCMFLTLVFLLRAPLQNINTGILSRKWNGKTARYVILYCLPVFVLQLILILIAPKLHKDRRDLPSYFTRSAAPVRQNSDDIALCTYPLLNTILLGLFATILTAYLFWLGRQILELVINKGLLKRVYTLIFSVLSLLQIRVALLGLSVLSKPEEFLFEALAFSAFIVQLCCAGVCICILAYYPVKDCLALGNLHDLEATRRRGFLDGQNDTVSLIADPSRLEGSADASSVRGSISFRMYENDGASALTFVELSCFSS >KJB32876 pep chromosome:Graimondii2_0_v6:5:63916911:63920271:1 gene:B456_005G266100 transcript:KJB32876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTSYSAEAFGVLTICLVALLVLLGLVCIAYLFYLRSRVLRQGFIQLSYFNGPWIIRITFILFTIWWGFGEIIRLNFLRRQGRVLNALNLKWQENICKCYIVSSLGFAEPCMFLTLVFLLRAPLQNINTGILSRKWNGKTARYVILYCLPVFVLQLILILIAPKLHKDRRDLPSYFTRSAAPVRQNSDDIALCTYPLLNTILLGLFATILTAYLFWLGRQILELVINKGLLKRVYTLIFSVLSLLQIRVALLGLSVLSKPEEFLFEALAFSAFIVQLCCAGVCICILAYYPVKDCLALGNLHDLEATRRRGFLDGQNDTVSLIADPSRLEGSADASSVRGSISFRMYENDGASALTFVELSCFSS >KJB32566 pep chromosome:Graimondii2_0_v6:5:62726537:62731635:1 gene:B456_005G247300 transcript:KJB32566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHTKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHMSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLKKKGASPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRFWILAAHPEINLLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRYYDFSTQKETQIVPIRRPGSTTLNQCPRTLSYSPTENAVLICSDVDGGTYELYQIPKDSIGRNDLQEAKRGPGSSAIFVARNRFAVLDKSNNQVLIKNLKNEVVKKSGLPVPTDAIFYAGTGNLLCRSDDRVVVFDLQQRLVLGDLQTPFVKYVVWSSDMESVALLSKHSIIITSKKLVHQCTFHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYLTKVSGNKIFCLDRDGKNKTLVIDATEYIFKLSLLRKRYDHVMSMIRNSQLCGEAVIAYLQQKGFPEVALHFVKDEKTRFNLAIESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYRINGNLEKLSKMLKIAEVKNDIMGQFHNALYLGDIQERVKILENAGHLPLAYVTASVHGLHDVAERLAAELGDDVPSLPEGKKPSLLMPPAPVICCGDWPLLRVMKGIFEGGFDSTGRGAVEEEEEGADGDWGEDLDMVDADDLQNGDVTAILEDGEVAEDNEEGGWDLEDLELPPEVETPRVNARSSVFVAPTPGMPVNQIWTQRSSLAADHAAAGNFDTAMRLLSRQLGIRNFAPLKSMFLDLHTGSHSYLRAFSSAPVVSLAVERGWSESASPNVRGPPALVFNFSQLDEKLKAGYKATTDGKFTEALRLFLSILHTIPLIVVESRREVDEVKELIIIAKEYVLGLQMELKRRELKDNPVRQQELAAYFTHCNLQMPHLRLALRNAMTICFKAKNLATAANFARRLLETNPNENHSKAARQVLQASERNMTDASQLNYDFRNPFVTCGATYVPIYRGQKDVSCPYCTTRYVPTQEGQLCTICELAVIGADASGLLCSPSQIR >KJB32565 pep chromosome:Graimondii2_0_v6:5:62726237:62732242:1 gene:B456_005G247300 transcript:KJB32565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHTKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHMSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLKKKGASPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRFWILAAHPEINLLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRYYDFSTQKETQIVPIRRPGSTTLNQCPRTLSYSPTENAVLICSDVDGGTYELYQIPKDSIGRNDLQEAKRGPGSSAIFVARNRFAVLDKSNNQVLIKNLKNEVVKKSGLPVPTDAIFYAGTGNLLCRSDDRVVVFDLQQRLVLGDLQTPFVKYVVWSSDMESVALLSKHSIIITSKKLVHQCTFHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYLTKVSGNKIFCLDRDGKNKTLVIDATEYIFKLSLLRKRYDHVMSMIRNSQLCGEAVIAYLQQKGFPEVALHFVKDEKTRFNLAIESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYRINGNLEKLSKMLKIAEVKNDIMGQFHNALYLGDIQERVKILENAGHLPLAYVTASVHGLHDVAERLAAELGDDVPSLPEGKKPSLLMPPAPVICCGDWPLLRVMKGIFEGGFDSTGRGAVEEEEEGADGDWGEDLDMVDADDLQNGDVTAILEDGEVAEDNEEGGWDLEDLELPPEVETPRVNARSSVFVAPTPGMPVNQIWTQRSSLAADHAAAGNFDTAMRLLSRQLGIRNFAPLKSMFLDLHTGSHSYLRAFSSAPVVSLAVERGWSESASPNVRGPPALVFNFSQLDEKLKAGYKATTDGKFTEALRLFLSILHTIPLIVVESRREVDEVKELIIIAKEYVLGLQMELKRRELKDNPVRQQELAAYFTHCNLQMPHLRLALRNAMTICFKAKNLATAANFARRLLETNPNENHSKAARQVLQASERNMTDASQLNYDFRNPFVTCGATYVPIYRGQKDVSCPYCTTRYVPTQEGQLCTICELAVIGADASVPIAWYFFLFKYPNLLLCCIALYPQHLGLIMSLVPVLFGLLKFSLSIFNFRNLVYLFVSLI >KJB30624 pep chromosome:Graimondii2_0_v6:5:42947247:42948643:-1 gene:B456_005G153100 transcript:KJB30624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSRSYQISATPVTVFGHLLFIAVTTLVLVWLLKFREGLASESANKLKIFNLHPLLMVIGFILMAGEAIMAYKSTPSRRDIQVQKAVHLTLQTIALGCGIFGIVVIFKFHNETNMPDMVTLHSWLGMITICLFGLQYLLGFFSYVFPGAESYSRAAYLPWHTFGGLLIFFLAICTAEMGLLQKFLSLFLTRSQEALIVNFIGLLLFLFAVAVALTVVLPRY >KJB28308 pep chromosome:Graimondii2_0_v6:5:3945558:3953881:1 gene:B456_005G041400 transcript:KJB28308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLQSIVVFTLLLLMLILIIIIIIIIIVFAFKPWRFFSYPSRCRAIKVGELERPLVSNDVDLAQDQSNDLTRNYDLEGECHLNAALLRPPRTEGLVHKQRLSSASRRLALGDSVVGDVSDPLDGILVGQTLKRRVVTEHLVELQKHGRPENQSQNLRFGTENDRPQESVPNTDAISDQRSCLSLQVVSGPSCGLHCSVLSTSTARLPLTLGRVPPSYLLLKDYEVSGKHAMITWNLNKLRWELVDMGSLNGTLLNSRPINHPDPGSRLWGDPVELASGDTITVGTTSNIYVHISSHNERLVPFGVGMTSDPMSLRRGGKKLPMEDVCYYHWPLPGIYQFGVFGICDGHGGVVAAKSASKILPEMVATILADSVKRERVVSEQDASDVLRDAFSQTEASMNNYYEGCTATLLLVWADADENFFAQCANVGDSACFINMDGKQIKMTEDHKVTSCSERLRIEGIGEPLKDGETRLCGLNLARMLGDKFVKQQDSRFSSEPYISQVVHLKKSSGAFALLASDGLWDVVSFKKAVQLVVQTRERHPTETENLAEKIAEVMLDEARTQRTKDNTSIIFIDFDSISTVSSCKVRP >KJB28309 pep chromosome:Graimondii2_0_v6:5:3946882:3953610:1 gene:B456_005G041400 transcript:KJB28309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGELERPLVSNDVDLAQDQSNDLTRNYDLEGECHLNAALLRPPRTEGLVHKQRLSSASRRLALGDSVVGDVSDPLDGILVGQTLKRRVVTEHLVELQKHGRPENQSQNLRFGTENDRPQESVPNTDAISDQRSCLSLQVVSGPSCGLHCSVLSTSTARLPLTLGRVPPSYLLLKDYEVSGKHAMITWNLNKLRWELVDMGSLNGTLLNSRPINHPDPGSRLWGDPVELASGDTITVGTTSNIYVHISSHNERLVPFGVGMTSDPMSLRRGGKKLPMEDVCYYHWPLPGIYQFGVFGICDGHGGVVAAKSASKILPEMVATILADSVKRERVVSEQDASDVLRDAFSQTEASMNNYYEGCTATLLLVWADADENFFAQCANVGDSACFINMDGKQIKMTEDHKVTSCSERLRIEGIGEPLKDGETRLCGLNLARMLGDKFVKQQDSRFSSEPYISQVVHLKKSSGAFALLASDGLWDVVSFKKAVQLVVQTRERHPTETENLAEKIAEVMLDEARTQRTKDNTSIIFIDFDSISTVSSCKVRP >KJB28307 pep chromosome:Graimondii2_0_v6:5:3945558:3953881:1 gene:B456_005G041400 transcript:KJB28307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLQSIVVFTLLLLMLILIIIIIIIIIVFAFKPWRFFSYPSRCRAIKVGELERPLVSNDVDLAQDQSNDLTRNYDLEGECHLNAALLRPPRTEGLVHKQRLSSASRRLALGDSVVGDVSDPLDGILVGQTLKRRVVTEHLVELQKHGRPENQSQNLRFGTENDRPQESVPNTDAISDQRSCLSLQVVSGPSCGLHCSVLSTSTARLPLTLGRVPPSYLLLKDYEVSGKHAMITWNLNKLRWELVDMGSLNGTLLNSRPINHPDPGSRLWGDPVELASGDTITVGTTSNIYVHISSHNERLVPFGVGMTSDPMSLRRGGKKLPMEDVCYYHWPLPGIYQFGVFGICDGHGGVVAAKSASKILPEMVATILADSVKRERVVSEQDASDVLRDAFSQTEASMNNYYEGCTATLLLVWADADENFFAQCANVGDSACFINMDGKQIKMTEDHKVTSCSERLRIEGIGEPLKDGETRLCGLNLARMLGDKFVKQQDSRFSSEPYISQVVHLKKSSGAFALLARRGRDIRQKRRIWQRRLLK >KJB31651 pep chromosome:Graimondii2_0_v6:5:57576051:57580590:1 gene:B456_005G200300 transcript:KJB31651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSSGATARMIDEIYEFSAPRFFDFIKGESEEETREAELWFETALSYAPSPCMVKIKAGNRSVHVESLCNFSEEDQIQKASEASDEAVPNPNGAEDKPQTVPDKVKEAAPNPNGSEDKPQTVVVPDKVKEEEVKPSEANVENNQIVNESPNHKDKEQVEILCAQEKESVLTEKGRSNVTGDKEKANSSVQVETEACTPKPLMNSQKKPLSDKKHQTAKKIASMIRNPSSVLKPKKGSAGTPNLALENQAIKRQKLDAGRSRQILNVKSHHLPHKSKLGLISGSSNLCSSTAKTSNKLERKVYVREPAAPFVSMAEMMKKFQSSTRDLSLPNSFPSNIKPTLKLTRPKEPAFETAQRVRSVKVKSTAELEEEMMAKIPKFKARPLNKKIFEAQTVPVLPRKAPKPPEFQEFHLETMTRANKNAETPSIASTEVSRQNNPWRPHLTEPKTPTLQTSLRARPSKVKSSVEIEEEELQKAPKFKARPLNKKIFESKGELGIFYNAKKQATIPQEFHFATNDRIPPAASVVDLFDKLSLKSESSHDPIPRNTIPNPFHLKTEERAVEKERKFVIEIIEKQFQEERARVPKANPYPYTTDYPVVPPKPEPKHCTKPEPFQLVSLVRHEEEMQREMEERLRKEKEEAEMRIFKAQPILKEDPIPAPEKVRKPLTQVQEFSLHVNHRAVERAEFDQKIKEKEMMYKRYREESEAARMRKRRQ >KJB31650 pep chromosome:Graimondii2_0_v6:5:57575959:57580869:1 gene:B456_005G200300 transcript:KJB31650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSSGATARMIDEIYEFSAPRFFDFIKGESEEETREAELWFETALSYAPSPCMVKIKAGNRSVHVESLCNFSEEDQIQKASEASDEAVPNPNGAEDKPQTVPDKVKEAAPNPNGSEDKPQTVVVPDKVKEEEVKPSEANVENNQIVNESPNHKDKEQVEILCAQEKESVLTEKGRSNVTGDKEKANSSVQVETEACTPKPLMNSQKKPLSDKKHQTAKKIASMIRNPSSVLKPKKGSAGTPNLALENQAIKRQKLDAGRSRQILNVKSHHLPHKSKLGLISGSSNLCSSTAKTSNKLERKVYVREPAAPFVSMAEMMKKFQSSTRDLSLPNSFPSNIKPTLKLTRPKEPAFETAQRVRSVKVKSTAELEEEMMAKIPKFKARPLNKKIFEAQTVPVLPRKAPKPPEFQEFHLETMTRANKNAETPSIASTEVSRQNNPWRPHLTEPKTPTLQTSLRARPSKVKSSVEIEEEELQKAPKFKARPLNKKIFESKGELGIFYNAKKQATIPQEFHFATNDRIPPAASVVDLFDKLSLKSESSHDPIPRNTIPNPFHLKTEERAVEKERKFVIEIIEKQFQEERARVPKANPYPYTTDYPVVPPKPEPKHCTKPEPFQLVSLVRHEEEMQREMEERLRKEKEEAEMRIFKAQPILKEDPIPAPEKVRKPLTQVQEFSLHVNHRAVERAEFDQKIKEKEMMYKRYREESEAARMIEEEKAVKQLRRTMVPHARPVPKFDNPFCPQKSSKETTKPRSPHLRVVQRKERRKIMSTLSTATSSPATSMR >KJB31977 pep chromosome:Graimondii2_0_v6:5:59963191:59966338:-1 gene:B456_005G216800 transcript:KJB31977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWMNLPNRYLIVILTFVSTCVCYIERVGFSIAYTVAADAAGINQSSKGTILSTFYYGYACSQVPGGWAAQKIGGRKVLLLSFVLWSLTCFLVPLDPNRVTILVVARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSITTSGMYLGAAMGMLFLPSLVKLKGPESVFLAEAALGVLWSVLWFKYATDPPRSEHPKAAAAGFGESLLPTEASPRTKLENGGSTVKATKIPWKKILISRPVWAIVVNNFTFHYALYVLMNWLPTYFEQGLQLSLQEMGSSKMMPYLNMFLFSNIGGIVADHLVTKRVMSVTKTRKFLNTVGFIVASIALLALPIFRTSGGAILCSSVALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIIGVDMTGRLLEAAKIEYSGLSSPESWRAVFFIPGWLCIFSSFIFLVFSTGERIFD >KJB30792 pep chromosome:Graimondii2_0_v6:5:46111906:46113024:-1 gene:B456_005G160200 transcript:KJB30792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLFSVPFLTFLFFYFYSVPTLSSYVYDTSATTANVINSTDFIRTSCYATLYPDICYTSLYGYANAIQQDPARLARAAISVSLSKARNMAVYVSNLSREADYGADPRASAALHDCFSNMGDAVDEIRGSLKQMQRVVAPGSESFRFQMGNVQTWMSAALTDEETCTDGFEDVAGGPMKTEVCKRAAKVKKFTSNALALVNSYAEKGTV >KJB31529 pep chromosome:Graimondii2_0_v6:5:56744522:56746435:1 gene:B456_005G195300 transcript:KJB31529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKLNFVKNGVLRLPPGFRFHPTDEELVVQYLRRKVLSWPLPASIIPEVDVCKADPWDLPGDLEQERYFFSTREAKYPNGNRSNRATLSGYWKATGIDKQIVSCSGNQVVGMKKTLVFYRGKPPQGTRTDWIMHEYRLVTADTCNAPHKKNQTQNHLVAVENWVLCRIFLKKRSGATKREDDGLQCCNKKGVGKARRKSPVFYEFLSKERTDLKLAPASSSSCSSGITQVSNDNADDHEESSSCNSFPYFRRKP >KJB27587 pep chromosome:Graimondii2_0_v6:5:51618:52827:1 gene:B456_005G0011002 transcript:KJB27587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGGLVPITRAFLASYYEKYPFDPLPDDVSRLSSQIRSFIQDLIQGFPPTQGESLLIQEADSEPPHKMDENMWKNREHIEEILFLLERPHWPSVLQQSSTAEVAEFATTLGQLKDKFQATLRILESFQSKNSERVFNT >KJB27585 pep chromosome:Graimondii2_0_v6:5:52402:52827:1 gene:B456_005G0011002 transcript:KJB27585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPFSLSFDALGESLLIQEADSEPPHKMDENMWKNREHIEEILFLLERPHWPSVLQQSSTAEVAEFATTLGQLKDKFQATLRILESFQSKNSERVFNT >KJB27586 pep chromosome:Graimondii2_0_v6:5:51618:52827:1 gene:B456_005G0011002 transcript:KJB27586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGGLVPITRAFLASYYEKYPFDPLPDDVSRLSSQIRSFIQDLIQGFPPTQGESLLIQEADSEPPHKMDENMWKNREHIEEILFLLERPHWPSVLQQSSTAEVAEFATTLGQLKDKFQATLRILESFQSKNSERVFNT >KJB27584 pep chromosome:Graimondii2_0_v6:5:51530:52827:1 gene:B456_005G0011002 transcript:KJB27584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGGLVPITRAFLASYYEKYPFDPLPDDVSRLSSQIRSFIQDLIQGFPPTQGESLLIQEADSEPPHKMDENMWKNREHIEEILFLLERPHWPSVLQQSSTAEVAEFATTLGQLKDKFQATLRILESFQSKNSERVFNT >KJB30343 pep chromosome:Graimondii2_0_v6:5:35897817:35899660:-1 gene:B456_005G138500 transcript:KJB30343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGADNGFNHSQTKMSVTTKTPLSDIAKAFEELSGFLGSQTKDQKLRLDNFCEACSLVSVLFSCLGLAFKFAEMEYVAKVHDLVEASKTYATLENVVDRDVANDTVKKPGSHSRNLRRVRQGLDLIRALFEEFLSTDDYYLKDAASTAYSQVCAPYHTWAVRTAVSAGMYTLPTREELLQKLNETDHTAEKKMRRYIEASRPVIAYIDKLYSSRKIALDW >KJB32406 pep chromosome:Graimondii2_0_v6:5:62101442:62117043:1 gene:B456_005G239700 transcript:KJB32406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDFKFLRRNTSKNEEVENVPVNPRDSLASQQSNDGSSRPPLNTIQDPTTKPKLEQEGSVRSRIDRTPTKPKPKLPDSTLPLKTPDKHGFLSKTRYGWAKNEAAESDSRNAGTTNMTPRVSRGIGKATSSCYSESNSTQSTPTKSVSKPPVSGFRNKFDGNGGMRGGNFAALYRGVPSSSGGPVTVVNTVEVPHFDLKEDPSFWMDHNVQVLIRVRPLNGVEKSTNGYNRCLKQENSQTIAWIGQPETRFTFDHVACETVDQEMLFRMAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMLGEIEDLEVKPSTQRGMTPRIFEFLFARIQAEEEIRRDEKLKYNCKCSFLEIYNEQITDLLDPSATNLLLREDVKKGVYVENLTEFEVQTVSDILKLLSQGSLNRKVASTNMNRESSRSHSVFTCVIESRWEKDSTTNLRFARLNLVDLAGSERQKTSGAEGERLKEAASINKSLSTLGHVIMILVDVANGKQRHVPYRDSKLTFLLQDSLGGNSKTMIIANVSPSICCAIETLNTLKFAQRAKLIQNNAVVNEDSTGDVIALQNQIRLLKEELSALKRQNVSRSLSFGAAITGTVQLEESLDDGNTYDMCLEEDDLGYESKGTVRMSFKQLNSLEATLAGALRREKKAETSIKKLEAEIEQLNRLVRQREEDTRSSKMMLRFREDKIQRMESLVRGSLPADSFLLEENKALSEEIQLLQAKVDKNPEVTRFALENIRLLDQLRRFQEFYEEGEKEILLDEISKLRDQLLRFLDGKSNHLSCPSSNDRLQEAVRISKENDSLQSELKNTLNELEECRHNLNSCLEENAKLSREINNLHAMLNSLKSSACHQDGNSKGVKDSDRNGETMEMSSVQKMTSEEQIMDLQLELDILKIILQEEKTSHAVVEERADCLTRDLEMAKGKLVMLNKEIEDANGELEEAKSVIEALESQQIFSINEMEDLRKSKSDLVKLLSEQEAEIAALKKQLSGRTFKDAAPPKKIESEESSLQLKLKRMHESLENAKKMNIWYQTDRAFKASNEEEVDEICRQAEAETAEVIVCLQEELTLLQQQIQDCHLKEVEAQNSAVFLETELKELQEKVGMLTEDNKQLLGRLEMKDGELRTLMEEWELLSSEIETILADGHEELVDAGNQLELLSSSFPQRRIWISEKVGRVVRVLSEKELLIEELGRCLEDATDKRSELECMLKSLRGAALILNEAQQQECNEKEKEIVLLKKELNVKTSIIRKLEDRMKMAEDDLRNASTCASVAFVLVNRLPEANHNHLNALKGKDEQLAESAEAILSKDALLINQATMIEEAEKHIHSLETEVEKSEEACTELSQRLLEEEQRAAAIEQKLEDMVENDILKTQEELSNLKDRMKMAEDDLRNASTCATVAFVLVNRLSEANHNHLNALKGKDEQLAESAEAILSKDALLINQATMIEEAETRIHSLETEVEKSEEACTELRKRLLEEEQCAAAIEQKLEDMVENNFLNTQEELSKLEDRMKMAEDDLRNASTCATVAFVLVNRLSEANHNHLNALKGKDALLIDQATMIEEAENHIRSLKIEVAKSEEVCTDLQKRLFEEGQHAAAIEQKLEDMVENDILKTQEELSKLRTGVSTLRAHMGMHRDSDRSPERSVKENLYASNDGRDERRSNKRADAKNLHSLQRQETGTPDCSLKVVESLHGSRCDEKTIESGNTCKNKCNRDVTIILLKKEIESAMESLKEVQAEMARICNEKEEIRLSEKQSKEGLQCLAAHALALEEAMNDYGKLLEVKIGAVHQKINTVEQTMQEICTHWCQTKEFLELEVGDAKIIATQKASEASCILAKFEEAQDTITEADIMINGLMIANEKMELDIKRRKQVETALLNERDELINQVKSLQSINTVKDQQLEDLEEQFGSSLTETRFMVAELEGLITELQTAFSQSVKAVACDSHCLKSLLFDSMKLARSWLEDVWSEIIVKDCAVSVLHLCHMGILLETLTGLNAENGLLQHGLSESNAVIADLREHNSRSRRELEMCRDIKGKLLADIKNSFDRISKKEEETGELSIKLVTFEKKISALQFQEEVMLQRSNYMGSQLAVLMKELDLSNTNFVSSLLDQEQLLKDKEVQLESQAEISMVDLCTKDFESLIFSSEMKQKIVHLADSGKKLTNAYAVLDGLKKEMTFTKLDACLKEQLLVERESELSFLQEKVEEAQIELRMLKKENCLLLQDLEEQKADSGKKLANAYSVTDGLKKEMIFAKLDACLKGQLLVEQDNELSFLQEKVEEAQIEVRKMQKENCLLLQNLDEKEADTGKKLTNAYAVIDGLKKEIIFAKVDACLKEQLLVEQERISKFIEEFEFLENRTEELESENMNLHAELSRKDEVLKGLLFDLSLLQESASNTKDQKDEMEEMVSTLEALEDELAVKSSELSEAVSHSHMLKVQLQEKLETITSLQLDIKGERESLKLLYSENQELKSHLEDALAVKSSLEDELTERKKITESLEVEISEMNNALSEMKDTIEFLSSNLNEVSCERDELHMEVLSLEEKLRKAQAEAKQSEAIAMEAQQMAESKKTYAEEKEAEVKLLERSVEELECTINVLENKVDIIKGEAERQRLQREELESELDAIKVQMQNVKNADADMKRCLDEKTKDLQQALDQIQILETDISDKDREIAQCITHISELNVHAEAQAKEYKQKFKALEAMAEQVKPEGYASNAQSHSSNKLEKNMAKPRGSGSPFKCIGLGLAQQMKLEKDEDLTAARLRIEELESLAANRQKEIFSLNARLAAAESMTHDVIRDLLGVKLDMTNYVSLLDNQQVQKITEKARLDNLESQVKDHEVVKLKQQLNEFVEERQGWLEEIDRKQAELTAVQVALENVRQRDQLLKTEKEMLKMENVNYKKKVLELEGEVKKLSGQQNLQQRIHHHAKIKEENNMLKIQNEELGVKLRRTEVVLSRVREELSHYRASIGKSPCINFDEEQCLNNKLRESDEDRVQLAHKLLALCTSVLKAAGITMPISDICPAAAEEALEQLQNKVISLERELQSLTLKNKIYSERNRLSELMPQTSPPASARTDENCHTPKRPFLSTLDR >KJB32407 pep chromosome:Graimondii2_0_v6:5:62101593:62117007:1 gene:B456_005G239700 transcript:KJB32407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDFKFLRRNTSKNEEVENVPVNPRDSLASQQSNDGSSRPPLNTIQDPTTKPKLEQEGSVRSRIDRTPTKPKPKLPDSTLPLKTPDKHGFLSKTRYGWAKNEAAESDSRNAGTTNMTPRVSRGIGKATSSCYSESNSTQSTPTKSVSKPPVSGFRNKFDGNGGMRGGNFAALYRGVPSSSGGPVTVVNTVEVPHFDLKEDPSFWMDHNVQVLIRVRPLNGVEKSTNGYNRCLKQENSQTIAWIGQPETRFTFDHVACETVDQEMLFRMAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMLGEIEDLEVKPSTQRGMTPRIFEFLFARIQAEEEIRRDEKLKYNCKCSFLEIYNEQITDLLDPSATNLLLREDVKKGVYVENLTEFEVQTVSDILKLLSQGSLNRKVASTNMNRESSRSHSVFTCVIESRWEKDSTTNLRFARLNLVDLAGSERQKTSGAEGERLKEAASINKSLSTLGHVIMILVDVANGKQRHVPYRDSKLTFLLQDSLGGNSKTMIIANVSPSICCAIETLNTLKFAQRAKLIQNNAVVNEDSTGDVIALQNQIRLLKEELSALKRQNVSRSLSFGAAITGTVQLEESLDDGNTYDMCLEEDDLGYESKGTVRMSFKQLNSLEATLAGALRREKKAETSIKKLEAEIEQLNRLVRQREEDTRSSKMMLRFREDKIQRMESLVRGSLPADSFLLEENKALSEEIQLLQAKVDKNPEVTRFALENIRLLDQLRRFQEFYEEGEKEILLDEISKLRDQLLRFLDGKSNHLSCPSSNDRLQEAVRISKENDSLQSELKNTLNELEECRHNLNSCLEENAKLSREINNLHAMLNSLKSSACHQDGNSKGVKDSDRNGETMEMSSVQKMTSEEQIMDLQLELDILKIILQEEKTSHAVVEERADCLTRDLEMAKGKLVMLNKEIEDANGELEEAKSVIEALESQQIFSINEMEDLRKSKSDLVKLLSEQEAEIAALKKQLSGRTFKDAAPPKKIESEESSLQLKLKRMHESLENAKKMNIWYQTDRAFKASNEEEVDEICRQAEAETAEVIVCLQEELTLLQQQIQDCHLKEVEAQNSAVFLETELKELQEKVGMLTEDNKQLLGRLEMKDGELRTLMEEWELLSSEIETILADGHEELVDAGNQLELLSSSFPQRRIWISEKVGRVVRVLSEKELLIEELGRCLEDATDKRSELECMLKSLRGAALILNEAQQQECNEKEKEIVLLKKELNVKTSIIRKLEDRMKMAEDDLRNASTCASVAFVLVNRLPEANHNHLNALKGKDEQLAESAEAILSKDALLINQATMIEEAEKHIHSLETEVEKSEEACTELSQRLLEEEQRAAAIEQKLEDMVENDILKTQEELSNLKDRMKMAEDDLRNASTCATVAFVLVNRLSEANHNHLNALKGKDEQLAESAEAILSKDALLINQATMIEEAETRIHSLETEVEKSEEACTELRKRLLEEEQCAAAIEQKLEDMVENNFLNTQEELSKLEDRMKMAEDDLRNASTCATVAFVLVNRLSEANHNHLNALKGKDALLIDQATMIEEAENHIRSLKIEVAKSEEVCTDLQKRLFEEGQHAAAIEQKLEDMVENDILKTQEELSKLRTGVSTLRAHMGMHRDSDRSPERSVKENLYASNDGRDERRSNKRADAKNLHSLQRQETGTPDCSLKVVESLHGSRCDEKTIESGNTCKNKCNRDVTIILLKKEIESAMESLKEVQAEMARICNEKEEIRLSEKQSKEGLQCLAAHALALEEAMNDYGKLLEVKIGAVHQKINTVEQTMQEICTHWCQTKEFLELEVGDAKIIATQKASEASCILAKFEEAQDTITEADIMINGLMIANEKMELDIKRRKQVETALLNERDELINQVKSLQSINTVKDQQLEDLEEQFGSSLTETRFMVAELEGLITELQTAFSQSVKAVACDSHCLKSLLFDSMKLARSWLEDVWSEIIVKDCAVSVLHLCHMGILLETLTGLNAENGLLQHGLSESNAVIADLREHNSRSRRELEMCRDIKGKLLADIKNSFDRISKKEEETGELSIKLVTFEKKISALQFQEEVMLQRSNYMGSQLAVLMKELDLSNTNFVSSLLDQEQLLKDKEVQLESQAEISMVDLCTKDFESLIFSSEMKQKIVHLADSGKKLTNAYAVLDGLKKEMTFTKLDACLKEQLLVERESELSFLQEKVEEAQIELRMLKKENCLLLQDLEEQKADSGKKLANAYSVTDGLKKEMIFAKLDACLKGQLLVEQDNELSFLQEKVEEAQIEVRKMQKENCLLLQNLDEKEADTGKKLTNAYAVIDGLKKEIIFAKVDACLKEQLLVEQERISKFIEEFEFLENRTEELESENMNLHAELSRKDEVLKGLLFDLSLLQESASNTKDQKDEMEEMVSTLEALEDELAVKSSELSEAVSHSHMLKVQLQEKLETITSLQLDIKGERESLKLLYSENQELKSHLEDALAVKSSLEDELTERKKITESLEVEISEMNNALSEMKDTIEFLSSNLNEVSCERDELHMEVLSLEEKLRKAQAEAKQSEAIAMEAQQMAESKKTYAEEKEAEVKLLERSVEELECTINVLENKVDIIKGEAERQRLQREELESELDAIKVQMQNVKNADADMKRCLDEKTKDLQQALDQIQILETDISDKDREIAQCITHISELNVHAEAQAKEYKQKFKALEAMAEQVKPEGYASNAQSHSSNKLEKNMAKPRGSGSPFKCIGLGLAQQMKLEKDEDLTAARLRIEELESLAANRQKEIFSLNARLAAAESMTHDVIRDLLGVKLDMTNYVSLLDNQQVQKITEKARLDNLESQVKDHEVVKLKQQLNEFVEERQGWLEEIDRKQAELTAVQVALENVRQRDQLLKTEKEMLKMENVNYKKKVLELEGEVKKLSGQQNLQQRIHHHAKIKAKNNMLKIQNEELGVKLRRTEVVLSRVREELSHYRASIGKSPCINFDEEQCLNNKLRESDEDRVQLAHKLLALCTSVLKAAGITMPISDICPAAAEEALEQLQNKVISLERELQSLTLKNKIYSERNRLSELMPQTSPPASARTDENCHTPKRPFLSTLDR >KJB31485 pep chromosome:Graimondii2_0_v6:5:56331943:56334328:1 gene:B456_005G193700 transcript:KJB31485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDNVSTAYGDLAAGKLNHHQNGGVYSSSTANSSASLLALHHPRLLMQQHQDMINRHSLCLSRFREAAKEAESLRQENTSLRSVNSDLNKQLNALFQASVQDHFASSDYNTTPFDLENALEGLCFGGGGGVGEGEVSDMEGEVDVERVKLPKSISIRSNGYQKMMSKAGAASHRGKTRGLARTSNASQQISRAKVYVQGGKEEEELLELEVYNQGMLKTELCNKWQETGACPYGDHCQFAHSVEELRPVIRHPRYKTEVCRMVLTGDVCSYGHRCHFRHALTEQEKSIGHLKPQTSMSTCGHGDVWCA >KJB31990 pep chromosome:Graimondii2_0_v6:5:60061316:60063623:1 gene:B456_005G217600 transcript:KJB31990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALGLLFHLLLLGLLVHAEINFTYNGFLKANVTTEGASFIKSDGILALTNDSVRLIGHSFYPSPIRFKKSNPNRTQAAVTFSTNFVFSISPKYPEIGGHGLAFVLMPTEKLKSLPNQYLGLPNDTDNAHFFAVEFDVVQNVELQDINDNHVGIDISSLISSISEPAAYYSSNDNRSNQVALKSGEPVQAWIDYDSNKMLMNVSISPCGMLRPHRPLISFPIDLSLVIDEYMYVGFSASTGLLAALHYVHGWSFSIEGRAQDLDPRKLPFLNSKPSKLMHSKGFAVGITLASITLIFLAIIGVIQIIFRIRDGDEIMEDWEIEYSAHRFNYSELFSATRGFREKNLVGSGGFGRVYRGVIRSTGLEVAVKRVYSGSRQGMREFVAEITSMGRLRHRNLVHLHGWCRKQNELLLVYDYFPNGSLDKLLYEDGPLKGKNLTWDQRYKILTGIAHALLYLHENCNQRVVHRDVKPSNVLIDEDLNAKLGDFGLARTYEHNNDPQTTHIVGTLGYLAPELTRTGKATTSTDVYGYGTLMLEVASRRRPIEPQSGADELVLVDWARELHSRGEITRAIDPTLKNYHSGEAQLVLTLGLLCCHPHPDYRPTMRRVVQLLLGDATLPPLPHDIHMEVPIAITDYSDTFGDDSDNPSSQKMSSSSSKNSWTIGHTTRVTF >KJB29914 pep chromosome:Graimondii2_0_v6:5:27328415:27331870:-1 gene:B456_005G123300 transcript:KJB29914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQFNPMASQTKKLPSYALPPMASLRSPKVSMVSTLPPRSKGVEDLKRPFMAPKLVHQSSHTMPPEKIEIFKSLDDWARDNILIHLKQVDKCWQPKDFLPDPSSDGFEDQVRELRERAKEIPDEYFVVLVGDMITEEALPTYQTMLNTLDGTRDETGASPTSWATWTRAWTAEENRHTDVLNKYLYLCGRVDMRQVEKTIQYLIGSGMDPGTENSPYLGFIYTTFQERATFISHGNTARLAKVHGDMNLAQLCGSIAADEKRHETAYTKILEKLFEIDPDGSVLAFADMMRKKISMPAHLMYDGSDENLFYHYSSVAQRIGVYTARDYADIVEYLVDRWKLKELIGLSPEGREAQEFVCGLAPRIRKLEERAQLRAKESPSVPFSWVSGREVKL >KJB27981 pep chromosome:Graimondii2_0_v6:5:1593010:1595241:1 gene:B456_005G020000 transcript:KJB27981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLKHLDVRPEDELPGSVSPSSDETDSIRSHIEKMGPICKSRKKFVHVSPWSSMAEDDNFDEQILSNGSFISSADDETMWLSSGCFSPSSFFKNASEAKNIEDLNGDEPIFWPFERKIDWKSEETWKHFTMSPRKGIIKVTALEETVNSNKNLQKPKQGSKDCHNGGRKIRKGNTMPSRLRESTKVSAKIVPLNIENQILALKVEEDDTMGSISTCRNLWEDDFTSNGDVPIEKVVGLGEFDGHEGIDSDFNEGVFLLDEAL >KJB30349 pep chromosome:Graimondii2_0_v6:5:36068279:36071145:-1 gene:B456_005G138800 transcript:KJB30349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHSMATATPENKDSFATSGNADDKQSKAFVNDNEKGGIKTMPFILLNEICEKLAMVGFSKNMVNYLTQQLHMPLTKAANTVTNFNGTSSLTPLLGAFIADSYAGKFWTITVSTAIYLAGMIVLTLSAALPQLRPPPCAGDQVCQEANGSQMAVLYLSLLFAALGSGGIRPCVAAFGAEQFVEEDSTQPKKTWVFFNWYYFALGVSILLASTVLVYIQDNVGWTWGLGIPTLAMALSIIVFLIGYPLYRNLDPAGSPHTRVLQVSVAAFRKRKIPSISDPKFLYVNEELDASISTDGLLHHTKQLKFLDKAAIVTEEDSLKSSEKPNFWRLNTVHRVEELKSILRMLPIWAAGILFATSNAQQNTFSLQQANTMERHLTKSFVIPSASMSVFGMLSMLITIVLYDRLLVRVARRITGLERGINFLQRMAIGFFIAIIATMVAGFVEVKRKHAASASGLIDSPESTIPISVFWLVPQYSLHGIAEAFMVIGHLEFFYNQAPESMRSTATALFWTSISAGDYTSTLLVTLVHKYSDWLPNRNLNKGKLEYFYWLLTLLQALNIVYYLICAKFYTFKPLSHKTEHVEGVELTTQV >KJB30348 pep chromosome:Graimondii2_0_v6:5:36068279:36070110:-1 gene:B456_005G138800 transcript:KJB30348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLTLSAALPQLRPPPCAGDQVCQEANGSQMAVLYLSLLFAALGSGGIRPCVAAFGAEQFVEEDSTQPKKTWVFFNWYYFALGVSILLASTVLVYIQDNVGWTWGLGIPTLAMALSIIVFLIGYPLYRNLDPAGSPHTRVLQVSVAAFRKRKIPSISDPKFLYVNEELDASISTDGLLHHTKQLKFLDKAAIVTEEDSLKSSEKPNFWRLNTVHRVEELKSILRMLPIWAAGILFATSNAQQNTFSLQQANTMERHLTKSFVIPSASMSVFGMLSMLITIVLYDRLLVRVARRITGLERGINFLQRMAIGFFIAIIATMVAGFVEVKRKHAASASGLIDSPESTIPISVFWLVPQYSLHGIAEAFMVIGHLEFFYNQAPESMRSTATALFWTSISAGDYTSTLLVTLVHKYSDWLPNRNLNKGKLEYFYWLLTLLQALNIVYYLICAKFYTFKPLSHKTEHVEGVELTTQV >KJB32701 pep chromosome:Graimondii2_0_v6:5:63345303:63346064:-1 gene:B456_005G256600 transcript:KJB32701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKKLIQLARKWQKMVAIRRKRITLPSSTLDSDTNSSSTSTVVEKGHFVVYSADQKRFVLPLEYLKNEIVTELFNLAEEKFGLPGNGLLILPCDATFMEYVIALIKRKPSKDVEKALILSVASSRCSSSNLYQHETSQQLPIWSF >KJB32767 pep chromosome:Graimondii2_0_v6:5:63883762:63885336:1 gene:B456_005G265500 transcript:KJB32767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLMGHCPYALALSECFCICTNSNIECQTSLCYKNMINFFICPCKKDHELENMTIVDFYITILWQNSNQGKNQWRKRIVHIDDIDPCHMDPCPSSACKHGGQGLETSFLVFYWFLIHFSLVSFDKGIMMDMELLQCDKELLAFGGSWNRKM >KJB30963 pep chromosome:Graimondii2_0_v6:5:49669955:49673564:-1 gene:B456_005G169900 transcript:KJB30963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKSILRPFLTCRTFSVRSVSSSSSSSRILFNQSYIMSTPQANSSIPKTPFPVWTPKNLNLSRPFSDSSPDFFKPSFMETEPVPVPENVVPVKSEGEFDAALSKAKDESVPAVFYFTATWCAPCRFIGPVMDELARRTPEVTVYKMDIDEEGLARKLEKLKITAVPTVQYFKEGKQEVEVVGGDATRIIQTMKKLYNMRYPKTDDSKQDATSGEEVNEKN >KJB27885 pep chromosome:Graimondii2_0_v6:5:1063689:1064044:1 gene:B456_005G014700 transcript:KJB27885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIVYTQHGYPSEALDLCNSLLRTDLKPNEAIITSILSACADLGPLSIRNEIELYVIDRSRPHWFTCTVDVVR >KJB28275 pep chromosome:Graimondii2_0_v6:5:3734505:3734682:-1 gene:B456_005G0396002 transcript:KJB28275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAKLLQGS >KJB30152 pep chromosome:Graimondii2_0_v6:5:32232012:32234007:1 gene:B456_005G131300 transcript:KJB30152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGATGNGQIDDSQAFNKAWKDICNANAGGETVTLEIPRGKTFLLNPLVFQGPCKAKAIRIQLGGTLIAPLSTSWANEGVDTWIQFSNVDNLYLDGDGKIDGRGSIWWQSCMKKSVYALHFNNCNGLQLKGLTHLNSPRAHISIKNCKDVIVSDLKISAPDESPNTDGIDISDSTSVQILQSIIATGDDCVAINAGSSFINITGVVCGPGHGISIGSLGDGGAYDTVEQVHVKNCTLRDTQNGVRIKTFQGCSGYARKISFEKIVLSNARNPIIINQFYQDKGKFSKGIMKAGAIEISDVTYSDIRGTSANDQAIDLRCDNVVGCRNIVMRNIDITPGVDCPKTYTVCNNAHGTATETQPRVPCLS >KJB30979 pep chromosome:Graimondii2_0_v6:5:49861122:49863645:1 gene:B456_005G170400 transcript:KJB30979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAYQYSWIIPFVPLPIPILKGMGLLLFPTETKNLRRMWAFPNILLLSFWFTRPAAANTCQKAFVTNRIGNFGLLLGILGFYWIIGSFEFQDLFEIFNNLIYNNEIHFLCVTLCASLLFVGAVAKSVQFPLHIWLPDAMEGPTPISTLIHAPLWGPKRILLDKMIYFIYDWSYNRGYINMFSSSLTKGRRGLAELTYFFDRRVIDGITNGVGITSFFVEESVKYLGGSRISFYLLLYLFYVLIFLVIYYFILF >KJB31530 pep chromosome:Graimondii2_0_v6:5:56520825:56521817:-1 gene:B456_005G194700 transcript:KJB31530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPFAQNPWDSSFRPHYGRSFRGIPVKVMPEASQPQSLKPKMVSIPVHFVGSERGRSDSAIKIQKVFRGFLVRKNVKKIMAIREQVNDIERSVSKTETADLIRNDPKQRLKVNENLMSLLFKLDSVEGVDSCVRDFRKSVIKKAIALQEMVDAIISGDQSLDSGNNAEVIDQNQGIIHFSDNCNQTLESENHEEATEDVECVLNLSEAEGTVAVAVQGNEEESSNESQSDSFANHEVEGENGTWQPDNGKEGVEERRKEMLERITEENEKMVRMMETLSERNEMQTRMLSALTQRVEQLEKAFLCDNLRRKKRRNDEKSQDIKKCGGKR >KJB27891 pep chromosome:Graimondii2_0_v6:5:1079306:1081712:1 gene:B456_005G015000 transcript:KJB27891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGKEDTAVFLDRASRSTRGRRRRIMSITKKSQRLLMCLIVTSMKMFEPEPDEEVENDADERFRTKKRLIFPGKPSMKKKKVLSNLDGDSKDENLTQKTSSTQHHDAPDDAEGERIIRKSTRTSVIVRQAERDAIRAALQATMKASFLFIFFFFYRKIFLVDIVISKMGK >KJB27890 pep chromosome:Graimondii2_0_v6:5:1079157:1082557:1 gene:B456_005G015000 transcript:KJB27890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGKEDTAVFLDRASRSTRGRRRRIMSITKKSQRLLMCLIVTSMKMFEPEPDEEVENDADERFRTKKRLIFPGKPSMKKKKVLSNLDGDSKDENLTQKTSSTQHHDAPDDAEGERIIRKSTRTSVIVRQAERDAIRAALQATMKIVLSGFAAADEQLRLTTMVFKNIFPDIDINTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRIQKFVQNHQVPDLRNLQDSGLWIRKRS >KJB31852 pep chromosome:Graimondii2_0_v6:5:59200788:59201620:-1 gene:B456_005G211100 transcript:KJB31852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSYKKYEIRKRDPNPKTTALLVIDMQNYFASMAKPILSNAITTINLCREASIPIFFTRHCHKSPADYGMLGEWWDNDLIFDGTVDSELIPEIGRLSKPDEVVEKNTYSAFENTRLHEMLMEKKVEEVIITGVMTNLCCETTARAAFVKGYRVFFSTDATAPSDSEMYEATLKNMAYGFAYLVDCKRLQQGLFGKGMK >KJB30414 pep chromosome:Graimondii2_0_v6:5:38770056:38772198:-1 gene:B456_005G142200 transcript:KJB30414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAFAKVFLLGFLAMVFGVQGYGGGWTNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEIKCMDDGKWCLPGSIVVTATNFCPPNNALPNNAGGWCNPPLQHFDLSQPVFQHIAQYRAGIVPVAYRRLPCRRKGGIRFTINGHSYFNLVLITNVGGAGDVHAVAIKGSRTGWQPMSRNWGQNWQSNTYLNGQSLSFKVTTSDGRTVVSNNVAPAGWSFGQTFTGRQFR >KJB30413 pep chromosome:Graimondii2_0_v6:5:38770056:38771804:-1 gene:B456_005G142200 transcript:KJB30413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAKVFLLGFLAMVFGVQGYGGGWTNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEIKCMDDGKWCLPGSIVVTATNFCPPNNALPNNAGGWCNPPLQHFDLSQPVFQHIAQYRAGIVPVAYRRLPCRRKGGIRFTINGHSYFNLVLITNVGGAGDVHAVAIKGSRTGWQPMSRNWGQNWQSNTYLNGQSLSFKVTTSDGRTVVSNNVAPAGWSFGQTFTGRQFR >KJB31075 pep chromosome:Graimondii2_0_v6:5:50876301:50880329:-1 gene:B456_005G174800 transcript:KJB31075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSRPAVVIDNGTGYTKMGFAGNVEPCFIQPTVVAVNESFLNQSRTSSKSNWSAQYSAGVMADLDFFIGDEALTKSRSSNAYNLTYPIRNGQVYNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPENREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCQMTGVVVDVGDGATHIVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGEKIPPEDSFEAARKVKEMYCYTCSDVVKEFNKHDKEPGKYIKHWRGIRPKTGAPYSCDIGYERFLGPEVFFSPEIYSSDFTTPLPVVIDKCIQSAPIDTRRALYKVKYAYAL >KJB31073 pep chromosome:Graimondii2_0_v6:5:50874887:50879798:-1 gene:B456_005G174800 transcript:KJB31073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAGNVEPCFIQPTVVAVNESFLNQSRTSSKSNWSAQYSAGVMADLDFFIGDEALTKSRSSNAYNLTYPIRNGQVYNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPENREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCQMTGVVVDVGDGATHIVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGEKIPPEDSFEAARKVKEMYCYTCSDVVKEFNKHDKEPGKYIKHWRGIRPKTGAPYSCDIGYERFLGPEVFFSPEIYSSDFTTPLPVVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASDTRLGGEVKAHPVEVNVVSHPIQRFAVWFGGSVLASTPEFFGACHTKAEYEEYGASICRTNPVFKGMY >KJB31072 pep chromosome:Graimondii2_0_v6:5:50874792:50880424:-1 gene:B456_005G174800 transcript:KJB31072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSRPAVVIDNGTGYTKMGFAGNVEPCFIQPTVVAVNESFLNQSRTSSKSNWSAQYSAGVMADLDFFIGDEALTKSRSSNAYNLTYPIRNGQVYNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPENREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCQMTGVVVDVGDGATHIVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGEKIPPEDSFEAARKVKEMYCYTCSDVVKEFNKHDKEPGKYIKHWRGIRPKTGAPYSCDIGYERFLGPEVFFSPEIYSSDFTTPLPVVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASDTRLGGEVKAHPVEVNVVSHPIQRFAVWFGGSVLASTPEFFGACHTKAEYEEYGASICRTNPVFKGMY >KJB31074 pep chromosome:Graimondii2_0_v6:5:50874887:50880329:-1 gene:B456_005G174800 transcript:KJB31074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSRPAVVIDNGTGYTKMGFAGNVEPCFIQPTVVAVNESFLNQSRTSSKSNWSAQYSAGVMADLDFFIGDEALTKSRSSNAYNLTYPIRNGQVYNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPENREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCQMTGVVVDVGDGATHIVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGEKIPPEDSFEAARKVKEMYCYTCSDVVKFNKHDKEPGKYIKHWRGIRPKTGAPYSCDIGYERFLGPEVFFSPEIYSSDFTTPLPVVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASDTRLGGEVKAHPVEVNVVSHPIQRFAVWFGGSVLASTPEFFGACHTKAEYEEYGASICRTNPVFKGMY >KJB29553 pep chromosome:Graimondii2_0_v6:5:19425622:19426269:-1 gene:B456_005G108200 transcript:KJB29553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIVLLLVFSLVLVLSINSAVGHDAPAPSPEETNQETSEYADATGQNEEGLDEIVDAMSPEMAPASSPTMAFEESFGPGPAMTIEEGAAEGEAEAEGAPES >KJB30833 pep chromosome:Graimondii2_0_v6:5:47335894:47339911:-1 gene:B456_005G162900 transcript:KJB30833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAERKWFFSLLSLTFLSVLLLVLYSISPFSSPRPFPSLVQLGLPYPPAFGYYIFGGKGDKDRIFRLLLAVYHPRNRYVLHLGADATDGERYSLVVALKSVPAIRSFSNVDVIGNPDRFSYMGSSYIASTLHAAAILMKVDPGWDWFIALSALDYPLLTQDDLSHVFSSVRRDLNFIDHNNDLGWKEDQRFRPIVVDPGLYLARRTKIFYATEKRAMPDAFKIFTGSPWVVLSRSFLEFCIFGWDNLPRTLLMYFNNVMLSEESYFHTVICNSPELKNTTVNSDLRYMIWDNPPKMEPHFLNISDYDQMAQSGAAFARMFKEDDPVLDMVDEKILKRKRNQAAPGAWCTGRKSWWSDTCSQWGDVNVLKPGPQAKKFAETITNLLDDWNSQSNQCRLSR >KJB28561 pep chromosome:Graimondii2_0_v6:5:5673282:5675267:1 gene:B456_005G055800 transcript:KJB28561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGFEGFEKRLELHFFGDDNDPTVTTMGLRLLDFESLENVLRVVQCTVVSAVGNHFFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSIRPLIHLAKNLGLTICGCRYTRGTFIFPKSQPFPHINFKQEVIYIEENLPNNLCFRKASVMPSKNPSYSWHVFSSASDNGAHFSDGEFTVEVCMTELDRVLARKFFKTGGDSAAKEMTDLTGIGNINPRALICDFVFDPCGYSMNGVDGDRYSTIHVTPEDGFSYASFEYVGSVYDDQDDIVETLKKAVQVFKPATVSVSTTSHRREVWTRVAHAMEPLGLKCRSFAMDEFPTAGTVVFQTFTAARRK >KJB29309 pep chromosome:Graimondii2_0_v6:5:13082570:13084401:1 gene:B456_005G093500 transcript:KJB29309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATMDFNSSGAFQSDFYGGELMEALEPFMKSASSSSPSPSYNSLSSSQTQATFYPAFSGLQEPQPGSTIDLNNLDQAQSNQIQAQFHFQTYHPSYLYQNPQPSFSSNHMVRFISPKPVSMKQMGSPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFETAEQAALAYDKAAYKLRGDSARLNFPNLRHQGSHIGEYKPLHSSVDAKLQAICESLEHDQKQGNKKKTSKEMKKDKVQVATPEPEEKTVKLENSSSSSPVVSENEVSAESSPLSDLTFSNFNEHSWPEFGFSSENFMLSKYPSYEIDWDSILKS >KJB27913 pep chromosome:Graimondii2_0_v6:5:1216849:1219150:1 gene:B456_005G016600 transcript:KJB27913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTANTPILKRSPLASSSKSSIPISKSVLHFRTIPRKLTPPRSLSVSSSLSQSNSTPGSTASSVAPTKAPTHDFISRYAPDEPRKGADILVEALEREGVKDVFAYPGGASLEIHQALTRSKLIRNVLPRHEQGGVFAAEGYARSSGLPGVCIATSGPGATNLVSGLADAMIDSIPLVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDIDDIPRIVSEAFFLATSGRPGPVLIDVPKDIQQQLAVPNWNQPLRLSGYMSRLPKEPNESLLEQIVRLVFESKKPVLYVGGGCLNSNEELKRFVELTGIPVTTTLMGLGSFPSPDPLSLQMLGMHGTVYANYAVDNSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCSDVKLALKGINKILESKGAKVKLDYSAWRNELNEQKVKYPLNYKTFGEAIPPQYAIEVLDELTDGNAIISTGVGQHQMWAAQFYKYKKPRQWLTSGGLGAMGFGLPAAIGAAVANPGSVVVDIDGDGSFMMNVQELATIRVENLPIKILLLNNQHLGMVVQWEDRFYKANRAHTYLGNPSDESDIFPNMLKFAEACGIPAARVTKKEDLRQAIQKMLETPGPYLLDVIVPHQEHVLPMIPGGGAFKDVITDGDGRTKY >KJB32509 pep chromosome:Graimondii2_0_v6:5:62432126:62433175:1 gene:B456_005G243900 transcript:KJB32509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADVEYQCFVGGLAWTINDRALEEAFSAYGEIVDSKIINDRETGRSRGFGFVTFRDEKSMRDAIEGMNGRSLDGRNITVNEAQSRRSGGGGGGGGGFGGNGGYNRGGGGYGGRREGGYGGGGYGGGRRDGGGYGDGGSRYSGGGGSWA >KJB32510 pep chromosome:Graimondii2_0_v6:5:62432138:62433175:1 gene:B456_005G243900 transcript:KJB32510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADVEYQCFVGGLAWTINDRALEEAFSAYGEIVDSKIINDRETGRSRGFGFVTFRDEKSMRDAIEGMNGRSLDGRNITVNEAQSRRSGGGGGGYGGGRRDGGGYGDGGSRYSGGGGSWA >KJB28256 pep chromosome:Graimondii2_0_v6:5:3540356:3540757:-1 gene:B456_005G037600 transcript:KJB28256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDPNLINISLQTQPTWEFFAKHGEEQQKAIEDNYEILRTIEEHGLGDKKFFGGDQIGVADLVFGMVIHMLAPMEEVVGGVNFIKADSFPRLHAWVRHFSEHPVIKDNVPDYTRVLDFLKKRRELYWKSQHNH >KJB30818 pep chromosome:Graimondii2_0_v6:5:46918494:46920740:-1 gene:B456_005G162300 transcript:KJB30818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGEPALPSYYNVLGVKVDASIQDIKRAYRKLAMQWHPDRWTRTPSLLSEAKHKFQQIQEAYSVLSDQRKRTLYDAGLYDPEDEEDEGFSDFMEEMISLMSQTRKEEKVCSLEELQKMLWDMAQGFESPSWFCGPIEEGGSSKRTKWDSSGMSDRPGGLGVTGFNMYETRSY >KJB32363 pep chromosome:Graimondii2_0_v6:5:61883498:61885062:-1 gene:B456_005G237200 transcript:KJB32363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSIEPLDLCNVQVPYYFRCPISLELMRDPVTVSTGQTYDRTSIESWAATGNTTCPVTRAPLSDFTLIPNHTLRRLIQDWCVANRAFGIERIPTPKQPAEPGMVRSLLNQASTVSNPFSVRHSALVRLKGLARESEKNRNVICSHEAREILMRILFSDVSSGSSELSQEALAVLVMFQLTESECTGIGSDSNRVVYLSELLFHSSIEVRVNSASLIETVLAGMRSSDLRAEICNVDEIFAGVTDILKNLSSYPRALRIGVRALFALCLLKQTRHKAVQAGAAETLIDRLTDLDKCDAERALATIELLCRIPSGCSAFASHALTVPLLVKTILRISDRATEYAAGALMALCSESEQSQKDAVSAGVLTQLLLLVQSDCTDRAKRKAQMLLKLLRDSWPEDSIGNSDDFACSEIVPF >KJB30439 pep chromosome:Graimondii2_0_v6:5:39010022:39011195:1 gene:B456_005G143500 transcript:KJB30439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQVISCDRSHQKYDLCSITGATVVDPATSTFFLVDPTISGPRSIVEKIKPYPRKWENFPMQRIQELTITSGPSGPECEVQHNSPAIVFNVVLVVSKARDWWLNRYKNLLHVFSSHPIVTLDNDTSTHCFPSATLGLMSYGFMALMPNSSQTLLHFRGLLDKAFGHHGQYSIFNPPPKPDSPPRLVFMSRSKGIGREILNQDEAVKVAKEIGFDVILFEPTGKISLQQAYGLINSSHAMVGMHGAALTHSLFLRPGSAFMQVMPLGIDWVRKMCFGEPARAIGIQYIEYKIKVEESSLVEKYDKNDMVIKDPASFQGRNWSSDVMKIYLKEQNVKLDLVRFRDYLMETYSKAKTFMEKMG >KJB29774 pep chromosome:Graimondii2_0_v6:5:24198144:24202324:1 gene:B456_005G118100 transcript:KJB29774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICDEHGVDNTGKYNGDSDLQLDRINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTACAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEYEDELEEEEEEVGA >KJB28355 pep chromosome:Graimondii2_0_v6:5:4203636:4206066:1 gene:B456_005G043800 transcript:KJB28355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGTKMQSPEKETRPELSTMSVQSVPTFPLRSIDEKAIPLIGFGTAEDPFGASRDTLKETIIEAIKLGYRHFDTAAVYQSEQPLGEAISDALRLGLIKSRDELFITSKLWCSDAHRDLVLPALHKTLKNLKLEYVDLYLIHWPLSLKPGKHDFPFKKEDMVPMDIKSVWEAMEECHDIGLTKSIGVSNFSCKKLETLLSSARIPPAVNQVEMSPMWQQKKLRKFCEEKGIVVEAYSPLGAKGAVWGTNQVMECDVLKEIAQAKGKSVAQVCLRWACEQGVCVIVKSFNKERMKQNLDIFDWRLSDDELLKISQLPQCKGYPALEFVSDDGPFKSLQELWDGEI >KJB31889 pep chromosome:Graimondii2_0_v6:5:59542581:59547020:-1 gene:B456_005G213100 transcript:KJB31889 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-oxoprolinase [Source:Projected from Arabidopsis thaliana (AT5G37830) UniProtKB/Swiss-Prot;Acc:Q9FIZ7] MGSVSGEKLRFCIDRGGTFTDVYAEIPGHSDGRVLKLLSVDPSNYDDAPIEGIRRILEEYTGQKIPRTVKIPTDKIEWIRMGTTVATNALLERKGERIALCVTRGFKDLLQIGDQSRPHIFDLSAAKPSNLYEQVIEVDERVELVLDEEKGNGEKSGSFVKGVSGELVRVVKCLDEESLKPLLKGLLEKGISCLAVVLMHSYTYPYHEMAVEKLAMSLGFRHVSSSSALTPMVRAVPRGLTASVDAYLTPVVKEYLSGFISRFDEGLAMVNVLFMQSDGGLAPESRFSGHKAVLSGPAGGVVGYSQTLFRLETEKPLIGFDMGGTSTDVSRYAGSYEQVLETKIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFRVGPESVGAHPGPVCYRKGGELAVTDANLILGYVVPDYFPAIFGPKEDQPLDVEATREEYKKLAEQINSYRKSQDSSAKDMTVEEIALGFVNVANETMCRPIRQLTEMKGHETRNHALACFGGAGPQHACAIARSLGMTEVLIHRFCGILSAYGMGLADVVEEAQLPYAAVYGSESVVEASRREAILLNQVKQKLQEQGFREENIKAETYLNLRYEGTDTAIMVKRCIAEDGSGSDYAEEFEKLFQQEYGFKLQNRNILVCDVRVRGIGVANILKPQTLEPASGSPKIEGHYKVFFGNGWHDTPLFKLENLGYGHVIPGPAIIMNGSSTVIVEPKCKAIITKYGNIKIEIESSVNTVKVAEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQLEYWGDKLNEGDVLVTNHPCAGGSHLPDITVITPVFDNGKLVFFVASRGHHAEIGGVTPGSMPPFSKSIWEEGAAIKAFKLVEKGIFQEEGIIKLLKFPDAVEHSQNIPGTRRLQDNLSDLRAQVAANQRGITLIKELIEQYGLETVQAYMTYVQLNAEEAVREMLKAVAARISSESTRLGERNSITIEEEDCMDDGSVIHLKLTIDSNKGEASFDFSGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKIHVPAGSFLSPSDKAAVVGGNVLTSQRITDVVLTAFQACACSQGCMNNLTFGDNTFGYYETIGGGSGAGPTWDGTSGVQCHMTNTRMTDPEIFEQRYPVFLHKFGLRENSGGAGHRKGGNGLVREIEFRRPVVVSILSERRVHAPRGLKGGANGARGANYLITKDKRRIYLGGKNTVEVQAGEILQILTPGGGGWGSLSSSL >KJB31888 pep chromosome:Graimondii2_0_v6:5:59542581:59546921:-1 gene:B456_005G213100 transcript:KJB31888 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-oxoprolinase [Source:Projected from Arabidopsis thaliana (AT5G37830) UniProtKB/Swiss-Prot;Acc:Q9FIZ7] MGSVSGEKLRFCIDRGGTFTDVYAEIPGHSDGRVLKLLSVDPSNYDDAPIEGIRRILEEYTGQKIPRTVKIPTDKIEWIRMGTTVATNALLERKGERIALCVTRGFKDLLQIGDQSRPHIFDLSAAKPSNLYEQVIEVDERVELVLDEEKGNGEKSGSFVKGVSGELVRVVKCLDEESLKPLLKGLLEKGISCLAVVLMHSYTYPYHEMAVEKLAMSLGFRHVSSSSALTPMVRAVPRGLTASVDAYLTPVVKEYLSGFISRFDEGLAMVNVLFMQSDGGLAPESRFSGHKAVLSGPAGGVVGYSQTLFRLETEKPLIGFDMGGTSTDVSRYAGSYEQVLETKIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFRVGPESVGAHPGPVCYRKGGELAVTDANLILGYVVPDYFPAIFGPKEDQPLDVEATREEYKKLAEQINSYRKSQDSSAKDMTVEEIALGFVNVANETMCRPIRQLTEMKGHETRNHALACFGGAGPQHACAIARSLGMTEVLIHRFCGILSAYGMGLADVVEEAQLPYAAVYGSESVVEASRREAILLNQVKQKLQEQGFREENIKAETYLNLRYEGTDTAIMVKRCIAEDGSGSDYAEEFEKLFQQEYGFKLQNRNILVCDVRVRGIGVANILKPQTLEPASGSPKIEGHYKVFFGNGWHDTPLFKLENLGYGHVIPGPAIIMNGSSTVIVEPKCKAIITKYGNIKIEIESSVNTVKVAEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQLEYWGDKLNEGDVLVTNHPCAGGSHLPDITVITPVFDNGKLVFFVASRGHHAEIGGVTPGSMPPFSKSIWEEGAAIKAFKLVEKGIFQEEGIIKLLKFPDAVEHSQNIPGTRRLQDNLSDLRAQVAANQRGITLIKELIEQYGLETVQAYMTYVQLNAEEAVREMLKAVAARISSESTRLGERNSITIEEEDCMDDGSVIHLKLTIDSNKGEASFDFSGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKIHVPAGSFLSPSDKAAVVGGNVLTSQRITDVVLTAFQACACSQGCMNNLTFGDNTFGYYETIGGGSGAGPTWDGTSGVQCHMTNTRMTDPEIFEQRYPVFLHKFGLRENSGGAGHRKGGNGLVREIEFRRPVVVSILSERRVHAPRGLKGGANGARGANYLITKDKRRIYLGGKNTVEVQAGEILQILTPGGGGWGSLSSSL >KJB28004 pep chromosome:Graimondii2_0_v6:5:1752482:1754816:1 gene:B456_005G021300 transcript:KJB28004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPDPTKKSNIWPFESYKILSWRFCFEAFIFVSHIKKKTKTKTLVWGCWILEETSFMEQEGVTLEELKKKMADFAKERDWDQFHSPRNLLLAMVGEVGELSEIFQWKGEVPRGLPGWEDEEKQHLGEELSDVLLYLVRLSDICGVDLGKAALRKMELNAIKYPVKLCKGSSKKQTQFNGDSGDTADTDQGH >KJB30648 pep chromosome:Graimondii2_0_v6:5:43090371:43097197:1 gene:B456_005G153400 transcript:KJB30648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPSGNVVLSDKMQFAAPPAAGAGGGGGGAAGGEIHQHHPRQWFPDERDGFIYWLRGEFAAANAMIDSLCQHLREVGEVGEYEAVIACIQQRRSNWNPVLHMQQYFSVAEVSYALQQVSWRRRQRPYDQGKLGGKEYKRSGFGFKGHRLEVAKEMQNSGVDNDANLTVNTISDRNDRKTEKRDDNKSGGEDKVSAVSEDIKDAASKPQADSSLKKSGSSVGTIPGNTEPGTEEVNGGCTSSCKVNDLHSAQNESEKQNLAKGPKTFVGNEMFDGKMVNVVDGLKLYEELLDEKEVLDLVSLVNDLRAAGKRGQFQGQTYVASKKPMKGHGREMIQLGLPIADAPLDDEISAGTSKDRRIEAIPALLQDAIDRLVDSQVMTAKPDSCIIDVYNEGDHSMPRMWPPWFGKPICVMFLTECDITFGRMISVDPPGDFRGSLKLSLAPGSLLVMHGKSADFAKHALPSVRKQRILVTFTKYQPKKSMSDNPRLPSPPLSQSSQWVPSPSRSPNHFRLSAGPKHYAAIPTTGVMPAPPIRPQIPPSNGVQPLFVPTPVPPAIPFPASVPIPPGSNSASQQSSTTATEPNIPVETTSPPQENEIESGKTNQHAASPEVGLDKKSPKQDCNGSVDGSVSGRAMVKEEVQCAENSVKQSC >KJB30647 pep chromosome:Graimondii2_0_v6:5:43090371:43097197:1 gene:B456_005G153400 transcript:KJB30647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPSGNVVLSDKMQFAAPPAAGAGGGGGGAAGGEIHQHHPRQWFPDERDGFIYWLRGEFAAANAMIDSLCQHLREVGEVGEYEAVIACIQQRRSNWNPVLHMQQYFSVAEVSYALQQVSWRRRQRPYDQGKLGGKEYKRSGFGFKGHRLEVAKEMQNSGVDNDANLTVNTISDRNDRKTEKRDDNKSGGEDKVSAVSEDIKDAASKPQADSSLKKSGSSVGTIPGNTEPGTEEVNGGCTSSCKVNDLHSAQNESEKQNLAKGPKTFVGNEMFDGKMVNVVDGLKLYEELLDEKEVLDLVSLVNDLRAAGKRGQFQAGQTYVASKKPMKGHGREMIQLGLPIADAPLDDEISAGTSKDRRIEAIPALLQDAIDRLVDSQVMTAKPDSCIIDVYNEGDHSMPRMWPPWFGKPICVMFLTECDITFGRMISVDPPGDFRGSLKLSLAPGSLLVMHGKSADFAKHALPSVRKQRILVTFTKYQPKKSMSDNPRLPSPPLSQSSQWVPSPSRSPNHFRLSAGPKHYAAIPTTGVMPAPPIRPQIPPSNGVQPLFVPTPVPPAIPFPASVPIPPGSTGWPAAATRHPPPRLPIPGTGVFLPPPGSNSASQQSSTTATEPNIPVETTSPPQENEIESGKTNQHAASPEVGLDKKSPKQDCNGSVDGSVSGRAMVKEEVQCAENSVKQSC >KJB30646 pep chromosome:Graimondii2_0_v6:5:43090184:43097249:1 gene:B456_005G153400 transcript:KJB30646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPSGNVVLSDKMQFAAPPAAGAGGGGGGAAGGEIHQHHPRQWFPDERDGFIYWLRGEFAAANAMIDSLCQHLREVGEVGEYEAVIACIQQRRSNWNPVLHMQQYFSVAEVSYALQQVSWRRRQRPYDQGKLGGKEYKRSGFGFKGHRLEVAKEMQNSGVDNDANLTVNTISDRNDRKTEKRDDNKSGGEDKVSAVSEDIKDAASKPQADSSLKKSGSSVGTIPGNTEPGTEEVNGGCTSSCKVNDLHSAQNESEKQNLAKGPKTFVGNEMFDGKMVNVVDGLKLYEELLDEKEVLDLVSLVNDLRAAGKRGQFQGQTYVASKKPMKGHGREMIQLGLPIADAPLDDEISAGTSKDRRIEAIPALLQDAIDRLVDSQVMTAKPDSCIIDVYNEGDHSMPRMWPPWFGKPICVMFLTECDITFGRMISVDPPGDFRGSLKLSLAPGSLLVMHGKSADFAKHALPSVRKQRILVTFTKYQPKKSMSDNPRLPSPPLSQSSQWVPSPSRSPNHFRLSAGPKHYAAIPTTGVMPAPPIRPQIPPSNGVQPLFVPTPVPPAIPFPASVPIPPGSTGWPAAATRHPPPRLPIPGTGVFLPPPGSNSASQQSSTTATEPNIPVETTSPPQENEIESGKTNQHAASPEVGLDKKSPKQDCNGSVDGSVSGRAMVKEEVQCAENSVKQSC >KJB28419 pep chromosome:Graimondii2_0_v6:5:4438540:4439658:1 gene:B456_005G046700 transcript:KJB28419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELIKQLLGEHGTIWYETTPVLQPVRPSAFQPYPRTPRTEPWLEAAGCNNGVRSGNVNKRMIEFLRKTNWVSVISKDKQKQRCLKHTMNERTRREKQKKCYFALYSMLPLGTKNDKNSIVQTATMRVRELEMEREELQRRNSELLVNLGGNANNEGAKIRARIDDPSYGIDYMLEVLKCLKTLDSKPRMIRTTVYNQELVLDLATKMKAADVENAINRTLQEVERKLEERRTR >KJB28728 pep chromosome:Graimondii2_0_v6:5:7102971:7108667:1 gene:B456_005G066700 transcript:KJB28728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 22A1 [Source:Projected from Arabidopsis thaliana (AT3G66658) UniProtKB/Swiss-Prot;Acc:Q0WSF1] MAFWWPIIVLAFAYAICRFLLMLIPPNVPSIDVDASDVLDDGNQTQENSFIYIPPRGRTQQSDRKVQCYEPATMKYLGFFPALTPAEVEERVTQARKAQKIWAKSSFKQRRQFLRILLKYIIEHQELICEVSSRDTGKTMVDASLGEIMTTCEKITWLLSEGEKWLRPEYRSSGRSMLHKKSKVEFHPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNGVVIKVSEHASWSGCFYFRIIQAALAAVGAPENLVEVITGFAETGEALVSSVDKIIFVGSPGVGKMIMANAAETLIPVTLELGGKDAFIVCEDVDIPHVAQVAVRATLQSSGQNCAGAERFYVHRDIYNSFVNQVTKIVKSVSAGPPLAARYDMGAICLQEHSEKLQHLVDDAVDKGAEIVARGSFGHLSEGAVDQFFPPTVLKNVNHTMKLMQEETFGPIMPIMKFNSDEEVVKLANDSRYGLGCAVFSGSQRRAKEIASQIHCGVAVVNDFASTYMCQSLPFGGTKDSGFGRFAGVEGLRACCLVKAVVEDRWWPYIKTKIPKPIQYPVAENGFEFQESLVEALYGLNIWDRLRALVNVLKIISEQNPVATSKKND >KJB28729 pep chromosome:Graimondii2_0_v6:5:7102985:7108667:1 gene:B456_005G066700 transcript:KJB28729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 22A1 [Source:Projected from Arabidopsis thaliana (AT3G66658) UniProtKB/Swiss-Prot;Acc:Q0WSF1] MAFWWPIIVLAFAYAICRFLLMLIPPNVPSIDVDASDVLDDGNQTQENSFIYIPPRGRTQQSDRKVQCYEPATMKYLGFFPALTPAEVEERVTQARKAQKIWAKSSFKQRRQFLRILLKYIIEHQELICEVSSRDTGKTMVDASLGEIMTTCEKITWLLSEGEKWLRPEYRSSGRSMLHKKSKVEFHPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNGVVIKVSEHASWSGCFYFRIIQAALAAVGAPENLVEVITGFAETGEALVSSVDKIIFVGSPGVGKMIMANAAETLIPVTLELGGKDAFIVCEDVDIPHVAQVAVRATLQSSGQNCAGAERFYVHRDIYNSFVNQVTKIVKSVSAGPPLAARYDMGAICLQEHSEKLQHLVDDAVDKGAEIVARGSFGHLSEGAVDQFFPPTVLKNVNHTMKLMQEETFGPIMPIMKFNSDEEVVKLANDSRYGLGCAVFSGSQRRAKEIASQIHCGVAVVNDFASTYMCQSLPFGGTKDSGFGRFAGVEGLRACCLVKAVVEDRWWPYIKTKIPKPIQVRLTSLKLLNLMIILLICQD >KJB31141 pep chromosome:Graimondii2_0_v6:5:52342825:52343637:1 gene:B456_005G178800 transcript:KJB31141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAYRSPVQSKCLTVTTCFKKNRKKRGHLSVGHGRIGKHRKHPGGRGNAGGIHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIINIDKLWSLIPQDVKAKANKDSASMIE >KJB27921 pep chromosome:Graimondii2_0_v6:5:1251816:1252149:1 gene:B456_005G017100 transcript:KJB27921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPRNYGHQHPLLLLNEDQLIVADCSMCGVKVSTPCFSCAQDCGFYLHKVCAEPPLELNHPFHPHHPLLLMQNAPYSSGLYICNLCHLK >KJB30580 pep chromosome:Graimondii2_0_v6:5:41475311:41475786:1 gene:B456_005G150200 transcript:KJB30580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSITSSIRKSVGSKGTRSKEFSDEESGWTAYFEDLSNQPKTDSSTFSCGSSLISDAATAPKISHHNHHVSASSSSPKPPNILRFKQTRTTEICEDHSLEDTASSPVNSPK >KJB30581 pep chromosome:Graimondii2_0_v6:5:41475244:41476430:1 gene:B456_005G150200 transcript:KJB30581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSITSSIRKSVGSKGTRSKEFSDEESGWTAYFEDLSNQPKTDSSTFSCGSSLISDAATAPKISHHNHHVSASSSSPKPPNILRFKQTRTTEICEDHSLEDTASSPVNSPKKYIQRFRLKKKKKIENGMKNNDCRELKKRGLCLVRSSMLVNYFV >KJB27881 pep chromosome:Graimondii2_0_v6:5:1047790:1050493:-1 gene:B456_005G014400 transcript:KJB27881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHFPLNSITSKKRPLYLFNLKIRNSTNNGDFADTLKIYSSMLRDTPVHGNSFTFPLLFKACASLNSLHDGTKLHAHVLQLGFQQDIFVQTSLLDMYSKCSDLASARNVFDEMVMRNVVCWNTMISAYCRCFRVMEAMNLLKEMWVIGFELNASTFVSVIAACTNLRLGLSMHCCVFKLGLLHCEIPLANSVVNMYVKFGLIDDARSIFDTVDERSILSWTTIIGGYVSVGNVGEAFNLFNRMRQMGCVSQDMVLFVKIISGCVKSGNLLLASSVHSLVLKSGFHGEASIDNSVLNMYSKCGDIVSARRVFEMVDEKCIFLWTSMIAANTQHGYPAEALDLFKSLLRTDLKPNEATIASILSACADLGSLSIGNEIEHYVKLNGLASNQQVQTSLIHMYCKCGRIDKAEEVFAGVLHKDLAVWSSMINGYAIHGMGNEALKLFHRMQITKPCSLDHVVFTSILLACSHSGLVEDGLKYYKSMKDDYGIEPGIEHYTCLVDLLGRAGHFDLALKTIQEMPLQVQAQVWAPLLSSCRKHCKIELGEYVAKKLLDLNPGNTSSYVLMANIYTSAGKWKEAAKTRSMMRNKGLVKEPGWSQIEINGSVHVFMAGDRSHHRSAGIYEKLNELNTKLKEAGYVAETDMVVHDLENEEKEESMKVHSERLAVAWGLIGTEPGTTLTIIKNLQTCGDCHSFLKFTSKVTGRHLIVRDGQRFHHFQLGSCSCKDFW >KJB29103 pep chromosome:Graimondii2_0_v6:5:10403382:10409150:1 gene:B456_005G084500 transcript:KJB29103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVDERFVSSKAELKRKQKEKKKAKSGGFESLNLSPNVYRGIKRKGYRVPTPIQRKTMPLILAGNDVVAMARTGSGKTAAFLVPMLEKLKQHVPQGGVRALILSPTRDLALQTLKFAKELGKFTDLRISLLVGGDSMESQFEELAQNPDIIIATPGRLMHHLTEVDDMTLRTVEYVVFDEADSLFGMGFAEQLHKILTQLSENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLETKISPDLKLVFFTLRQEEKHAALLYLARDHISSDQQTLIFVSTKHHVEFLNIMFREEGIEPSVCYGDMDQDARKINISKFRSRKTMLLIVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRAARAGRTGTAFSFVTSEDMPYLLDLHLFLSRPIRAAPTEEEVFQDMDGAMNKIDQAIANGESVYGRFPQNIIDLISDRVRDMIDSSAELNNLQRTCTNAFRLYSKTKPLPARESIKRAKDLPREGLHPIFRNVLEGGELAALAFSERLKAFRPKQTILEAESEAAKSKHSQWVDVMKKKRDMHEKIINLVHKQRFSNHVEKETEPDITSSKINDTKEARGSKRKARSFKDEEYYISSVPTNHHTEAGLSVRSNEGFGSNRLESAVLDLVADDSEGLQKQKSRYHWDKG >KJB29102 pep chromosome:Graimondii2_0_v6:5:10403322:10409154:1 gene:B456_005G084500 transcript:KJB29102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVDERFVSSKAELKRKQKEKKKAKSGGFESLNLSPNVYRGIKRKGYRVPTPIQRKTMPLILAGNDVVAMARTGSGKTAAFLVPMLEKLKQHVPQGGVRALILSPTRDLALQTLKFAKELGKFTDLRISLLVGGDSMESQFEELAQNPDIIIATPGRLMHHLTEVDDMTLRTVEYVVFDEADSLFGMGFAEQLHKILTQLSENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLETKISPDLKLVFFTLRQEEKHAALLYLARDHISSDQQTLIFVSTKHHVEFLNIMFREEGIEPSVCYGDMDQDARKINISKFRSRKTMLLIVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRAARAGRTGTAFSFVTSEDMPYLLDLHLFLSRPIRAAPTEEEVFQDMDGAMNKIDQAIANGESVYGRFPQNIIDLISDRVRDMIDSSAELNNLQRTCTNAFRLYSKTKPLPARESIKRAKDLPREGLHPIFRNVLEGGELAALAFSERLKAFRPKQTILEAESEAAKSKHSQWVDVMKKKRDMHEKIINLVHKQRFSNHVEKETEPDITSSKINDTKVEARGSKRKARSFKDEEYYISSVPTNHHTEAGLSVRSNEGFGSNRLESAVLDLVADDSEGLQKQKSRYHWDKRGKKYVKLNNGERVTASGKVKTESGAKIKTEKTGIYKKWKERSHKKVYLKGTTNGENGEATTISSGDYRSRGNGRNFRGNKKSQHSVPNAHVRSEIKDFNQVRKERQKKANNKLSYMKGKANKKKGKNFGKSGKRGKSK >KJB29105 pep chromosome:Graimondii2_0_v6:5:10403382:10409150:1 gene:B456_005G084500 transcript:KJB29105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVDERFVSSKAELKRKQKEKKKAKSGGFESLNLSPNVYRGIKRKGYRVPTPIQRKTMPLILAGNDVVAMARTGSGKTAAFLVPMLEKLKQHVPQGGVRALILSPTRDLALQTLKFAKELGKFTDLRISLLVGGDSMESQFEELAQNPDIIIATPGRLMHHLTEVDDMTLRTVEYVVFDEADSLFGMGFAEQLHKILTQLSENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLETKISPDLKLVFFTLRQEEKHAALLYLARDHISSDQQTLIFVSTKHHVEFLNIMFREEGIEPSVCYGDMDQDARKINISKFRSRKTMLLIVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRAARAGRTGTAFSFVTSEDMPYLLDLHLFLSRPIRAAPTEEEVFQDMDGAMNKIDQAIANGESVYGRFPQNIIDLISDRVRDMIDSSAELNNLQRTCTNAFRLYSKTKPLPARESIKRAKDLPREGLHPIFRNVLEGGELAALAFSERLKAFRPKQTILEAESEAAKSKHSQWVDVMKKKRDMHEKIINLVHKQRFSNHVEKETEPDITSSKINDTKEARGSKRKARSFKDEEYYISSVPTNHHTEAGLSVRSNEGFGSNRLESAVLDLVADDSEGLQKQKSRYHWDKRGKKYVKLNNGERVTASGKVKTESGAKIKTEKTGIYKKWKERSHKKVYLKGTTNGENGEATTISSGDYRSRGNGRNFRGNKKSQHSVPNAHVRSEIKDFNQVRKERQKKANNKLSYMKGKANKKKGKNFGKSGKRGKSK >KJB29104 pep chromosome:Graimondii2_0_v6:5:10403382:10409150:1 gene:B456_005G084500 transcript:KJB29104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVDERFVSSKAELKRKQKEKKKAKSGGFESLNLSPNVYRGIKRKGYRVPTPIQRKTMPLILAGNDVVAMARTGSGKTAAFLVPMLEKLKQHVPQGGVRALILSPTRDLALQTLKFAKELGKFTDLRISLLVGGDSMESQFEELAQNPDIIIATPGRLMHHLTEVDDMTLRTVEYVVFDEADSLFGMGFAEQLHKILTQLSENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLETKISPDLKLVFFTLRQEEKHAALLYLARDHISSDQQTLIFVSTKHHVEFLNIMFREEGIEPSVCYGDMDQDARKINISKFRSRKTMLLIVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRAARAGRTGTAFSFVTSEDMPYLLDLHLFLSRPIRAAPTEEEVFQDMDGAMNKIDQAIANGESVYGRFPQNIIDLISDRVRDMIDSSAELNNLQRTCTNAFRLYSKTKPLPARESIKRAKDLPREGLHPIFRNVLEGGELAALAFSERLKAFRPKQTILEAESEAAKSKHSQWVDVMKKKRDMHEKIINLVHKQRFSNHVEKETEPDITSSKINDTKVEARGSKRKARSFKDEEYYISSVPTNHHTEAGLSVRSNEGFGSNRLESAVLDLVADDSEGLQKQKSRYHWDKG >KJB28876 pep chromosome:Graimondii2_0_v6:5:8114671:8118411:1 gene:B456_005G073900 transcript:KJB28876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKFLLHAICVWTSTTCWVLTASTDDGLVRVSLKKQPLDLKRLNAARITRVGLESNVNDQGAGVVYLKNYLDTQYYGEIGIGSPSQSFSVVFDTASSNLWVPSSKCLFSIPCHLHSKFRARWSSTYTKIGIPCTINYDYGDVSGFFSLDHVKVGEIIVQDQEFIEITREAFLPFLVAKYDGILGLGFPEISVEQATPLWFSMMQQGHINHKLFSLWLSGDLTSELGGEIVFGGLDWRHFRGGHTYVPVTRSGYWEIVVGDILVESISTGVCKFGCAAILDSGTPLIAGPTQIVDQINSAIGAEGIVSMECKNVASKYGYALWNTLISGVRPEIVCVDVGLCLYNGSQKVSGRLKTLLEDETMEGSAMGESAVCTFCEMIVFWIQVQLKQQKTKDAVFQYVDQLCDDLPNPIGKSFVNCGSLEILPDVTFTIGNKSFPLTPQQYILKVETSYSTVCVSGFVPLDVPPPRGPIWVLGDRFLSAYHTVFDFGNMRVGFAKSAN >KJB32734 pep chromosome:Graimondii2_0_v6:5:63451173:63455894:1 gene:B456_005G258500 transcript:KJB32734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGKGGELIPSSSELDLDRPNIEDYLPSGSSIHEPLGKLRLCDLLDISPTLTEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCCGVVIRYLILFPVRVIVLTVGWIIFLSCFIPVHLLLKGHDKLQKNMERALVELICSFFVASWTGVINYHGPRPSMRPKQVFVANHTSMIDFIILEQMSSFAVIMQKHPGWVGLLQSTILESVGCIWFNRTEAKDRETVTKKLREHSQGVDNNPLLIFPEGTCVNNQYSVMFKKGAFELGCTICPIAIKYNKIFVDAFWNSRKQSFTMHLLQLMTSWAVVCDVWYLEPQNLRPDETPIEFAERVRDIISVRAGLKKVPWDGYLKYSRPSPKHREQKQQSFAESVLRRLEEN >KJB32641 pep chromosome:Graimondii2_0_v6:5:63082867:63085156:1 gene:B456_005G252900 transcript:KJB32641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPFRSNRRYPRCLVKPFLNVTSQSRLSQATNSLELLTRKGIRLPFQTLASLLQQCAKTKCLKEGKFLHLHLKLTGLKKPGTFLSNHLINMYSSCGDLIGARKVFDNMGVRNLYSFNNMLSGYAKLGMVKPARQLFDQMPERDVVSWNTMVIAYARSGFFEEATKFYKELRGLCIGYNEFSFAGVLTVCVKSRELQLTRQVHNQVFVSGFLSNLVISSSVVDAYVKCGMMGEARKLFDEMKVRDIIVWTTLVSGFAQWGDMESANDLFDKMPEKNPVSWTALISGYVRNGMGDTALELFTRMMVSRVRPDQFTFSNCLCACASVASLTHGKQIHACLIRTNFMPNTIVISSLIDMYSKCGNLKVSKLIFYLTSNKQDPVLWNTMISALAQHGHGEEAMKMFDDMVKQGVKPDRTTFVVIINACSHSGLVAEGLRYFKSMSSDHDIAPDQQHYACLIDLLGRAGRFDMLMNHLENMPCNPDKRVWNALLGVSRIHGNIELGKKAAEQLIELEPQSSAAYVLLSSIYGTLGKWESVEKVRHLMSKRQVRKEVALSWIELENKVHAFTVSDSLHPLKEAIYLALDQLADQMDEDVSLLEFENRC >KJB30069 pep chromosome:Graimondii2_0_v6:5:39060719:39061350:1 gene:B456_005G143900 transcript:KJB30069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASERDPKPGTSELLSSAKLVADAAKSTFNKESDKVDKGKVAGAGADLLGAGQHYGKLDKDKGVGQYVEKAETYLHQYQTSHSAPTTNPDSHGTGAAAKDSETAPAGGGGVGDYMKMAQGFLGK >KJB30623 pep chromosome:Graimondii2_0_v6:5:42370063:42375723:-1 gene:B456_005G152300 transcript:KJB30623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGIVEKAISSLGRGFDLTSDFRLKYCKGRERLILLNETEKKEISIPGFGACKDVSVDIKCDKGDRTRYQSDMLDFNQMAEFFNQKCRLGGKIPSGEFNSMFGFQSGLWAKDAAKTKCLGLDGYFIVLFNLHIDRSPLLLSDQVLNDVPSAWDPPALARFIEKYGTHVIVGLSIGGQDVVLVRQDKSSNLQPSQLKKHLDDLGDQLFTGTCSFTPNTKNQRLKVPQAFNIFGQLPLAFSSYPSISTKNGISVICSKRGGDPEANSHCEWLPTVAGRPDAIHFNFIPITSLLKAVPGKGFLSHAINLYLRYKPPIADLQYFLDFQSHKIWAPIHNDLPLGPSPKRASSSPALHFNLMGPKLYVNNSQVIVGMRPITGMRLYLEGFKCDRLAIHLQYLCNLPKAFENKIDDMQYWQASDDRDDPVLFFEAIHRKKFSHVCTAPVKYNPEWTTAAGKDAVFIVTGAQLNVKKHDSKSVLHLRLLFTKVSGFFIVQSSWAQADSGFSQRSGLLSAISQSLTGNALKEKEEVAVVVDSAVYPTGPPVPVQTPKLLKFVETSQLCKGPQDSPGYWLVTGARLQAEKGKISLLVKFSLLNIC >KJB30867 pep chromosome:Graimondii2_0_v6:5:48097026:48097623:-1 gene:B456_005G164800 transcript:KJB30867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYFREPHLDEVVAIWEALSWLRSMGIDHEVVESDCKEAIIALNTPAEHNSEFGAMIRDYLRIKAKFQGIVLCWVRQCK >KJB28423 pep chromosome:Graimondii2_0_v6:5:4473966:4478194:-1 gene:B456_005G047100 transcript:KJB28423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSSTSLPLHICHGNKLTMIINRSHAVLHSIAISFLIYYRAFFLFHQPTPIIIPWLLLFISELLLSFIWFLGRAYIWRPVYRTVFPERLPNDDKLPAIDVFICTTGPDKEPTIGVMNTLLSAMALDYPPDKLHVYLSDDGGYAITLHAMRESWSFARWWLPFCRRFGIKTRCPEAYFSRTENHDSDSKNPDNFMVEREKIKEQYVLFKERVRRAGEESKFKDKGVYTATDHPSCIEVMEENSKEGLLEDQIIEMPLLVYVSREKSTSQFHHFKAGAVNVLLRVSAMLSNSPYILMLDCDMYCSDPTSARQAMCFHFDPEMSPSLAFVQFPQAFRDISENDIYDSEVRSAYTILWPGLDGLKGPVLSGTNFYIKREALCCHSIKKDIDLRELKDSFGPSNEFIKSLRQDYKKPNLNDNGEASNMLLEEAKVLASCSYEDHTKWGKEVGFLYDSVAEDFLTGFVMQCKGWISAYVAPSSSSRPQFLGTSTTNLNDLLTQGTRWGSGLVDVALSRFSPLFYGSSRTSFLHSMGYAELSLFPLLYCLPLWCFATIPPLYLLNGIPLYPEVSDPYFSIFLFIFLSSLSKHLHEVVVTGRPIRKWINEQRIWMIKSVTCHLYGSLDAILKKFDLRKASFLTTNKVTDNEQITLYRGGKFDFRTSTIFVAPLVTVMLVNLASIVGGVYRIMFMGTDWRKMFGQVLLSFYIIVMNYVVIEGMVLRKDKGRIPLYVNVLSIVFSLIFLSLGSTIISN >KJB27739 pep chromosome:Graimondii2_0_v6:5:471206:472824:1 gene:B456_005G0069001 transcript:KJB27739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKSTNHEHPFTLLVSQVPFTCDGCGTGGNHVAYRCGTCNIIIHKNCISLPRIIKSKWHDHRLLHTYFHYIEDFRVLNCLICHDEVNTEHGSYYCSKCNGIFHVKCVMKDKDSYEIVENEDEIEMPIESSIIVIESNDAGEATKINHFKHMHNLMLGPFVGGYENSCDGCMLPISDPFYYCSECAFFLHKACAELPKMKNVWHHDCKEPLALISDKAFLCRRCLYVTNAFAYECCGCEGKICLRCVIALTPGARTCLKHEHPLFYYPKHNGKCNACGTTTQAAFCCKDCNIVLHLRCFSLPITARHKCDEHLLSLTDYDDNSYSEHHHCDICEESRDPNRWFYNCSTCDTSAHVNCVLGPYPFLKLGSIHEGNDHPHPLTIVKKKYYYPDCEKCGEPCVDVALECSKSECKYIVHWNCVTPVHPWSFWAWYRR >KJB32812 pep chromosome:Graimondii2_0_v6:5:63684985:63688704:1 gene:B456_005G262800 transcript:KJB32812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKTSSRNQRSKGIRIKHVLQICLLLGVCFWLVYQVKRSHDKRKEFDAKDATVSVKEQADDLILKFGRKDLPRVQEASKNFKHEEEEEEENVMEDEKKHDEEQEEKTKRLEEEEHEGAIKHEEEERVEGIKREEEEQEEGSKHEEEEEEETNKHEEEEEAEEVRSKHEDEEQEAEIKDEEAEDEGKVVGDDEVDENEQERADAEVGNEEELMDEDKEREAEGDDKENEEKESHEENEELANDQNADGGDRDTHEAREEQYKGDDASSAVSHDTQITTSETDKLEMENPNDNLTTNVLEQESKANATEKTDGDENKSEGKQSEGGSSSTGDENKSDLKADEGKHSETGSSLNMTDGKENDHDTGSSNSEHISPQNTTDTTELIVQASNNSTEESKETNNEAAKEIPGSLQNGTSALDTTGGGRVIEEIYKEKANETVVDASKIENVVNVTTEGKGFESSTSKETTDNEKQGDNESNGKDENEDTSSSNETVDGNHNDPIDTSDNSVSQEEKGTEIDLSTLPDTTTEGAENEDSVAE >KJB32811 pep chromosome:Graimondii2_0_v6:5:63685002:63688704:1 gene:B456_005G262800 transcript:KJB32811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKTSSRNQRSKGIRIKHVLQICLLLGVCFWLVYQVKRSHDKRKEFDAKDATVSVKEQADDLILKFGRKDLPRVQEASKNFKHEEEEEEENVMEDEKKHDEEQEEKTKRLEEEEHEGAIKHEEEERVEGIKREEEEQEEGSKHEEEEEEETNKHEEEEEAEEVRSKHEDEEQEAEIKDEEAEDEGKVVGDDEVDENEQERADAEVGNEEELMDEDKEREAEGDDKENEEKESHEENEELANDQNADGGDRDTHEAREEQYKGDDASSAVSHDTQITTSETDKLEMENPNDNLTTNVLEQESKANATEKTDGDENKSEGKQSEGGSSSTGDENKSDLKADEGKHSETGSSLNMTDGKENDHDTGSSNSEHISPQNTTDTTELIVQASNNSTEESKETNNEAAKEIPGSLQNGTSALDTTGGGRVIEEIYKEKANETVVDASKIENVVNVTTEGKGFESSTSKETTDNEKQGDNESNGKDENEDTSSSNETVDGNHNDPIDTSDNSVSQEEKGTEIDLSTLPDTTTEGAENEDSVAE >KJB30981 pep chromosome:Graimondii2_0_v6:5:49868026:49868193:1 gene:B456_005G170600 transcript:KJB30981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFAKLSLVCPWIQLQFERLTYSGISRSTLIFNSPKHFVAYYTLSRLCVPKYPP >KJB28258 pep chromosome:Graimondii2_0_v6:5:3544165:3545392:-1 gene:B456_005G037800 transcript:KJB28258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELKLFGFWPSPYSRRVIWAMKLKGVDYEYIEEDLPFNKSELLLQYNPVHKKIPVLVHGGKPIAESLVILEYIDEVWPHNPLLPKDAYEKSEAKFWAKFIDENTGPMWGFFQKFGEEQQKAIESYSEILRKIEEHGLRDKKFFGGDQIGIADLVFGMVIHMLAPMEEVVGYKFIKADSFPRLHAWVKHFSEHPVIKDNVPDYTKVVDFLKIRREFYRNSQQNS >KJB31164 pep chromosome:Graimondii2_0_v6:5:52576139:52579804:-1 gene:B456_005G179300 transcript:KJB31164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQFDNGNNLYYLHQSDNLRLHLVSQTLLFDNYNTWHHSMIMALSTKNKLVDCLNAWTRTNNLVTFWIINAISKDIAASLLYHTSTTEIWKDLESCKNDSRIFQLKQKLVGFSQGQMSIMTFCTQLKIIWDELYLTKQICSCLQCRCGVVRNMLEEHQQEYVMTFLMGINDFYAHIRSQILLMVYLPSISKVFSLIF >KJB32078 pep chromosome:Graimondii2_0_v6:5:60431735:60433036:-1 gene:B456_005G221700 transcript:KJB32078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFFNTYESSDIYQMAQKEVAQICQKNNRQSGFFTQCHVLTRRSFTNMSRDLGYYWLRLGIYISLSIVLGSMFSHIGVDNGTIQVRSLMMFVASFLTFMAIVGFPSFVEEMKVFERERLNGHYGVTPFGIGNTLSALPFLALVALIPDAITYFLPGLHHRYQHFLFFVIILFACMMLVESLMMIVASVVPNILMGIIVGDGIQGIMILVCGFFRLPTDLPKPLLKYPFYHIVFHKYAYQGLFKNEFLGLTSPNAEAGNGGSPTITGEEILKQTWHFETAYSKWVDLAILFAMVVFYRVLFLIVMKTTEKVKLVMVKFMSATRKERTQVTVNPSATPSATPYCADKRQWQ >KJB30036 pep chromosome:Graimondii2_0_v6:5:29618708:29621315:-1 gene:B456_005G128300 transcript:KJB30036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAFWGTKVMEIVKKHNSGDLVWKRIKLTSTRKPTPRSISIAFGTMNQSRQIPMKKVIALNTLMI >KJB30035 pep chromosome:Graimondii2_0_v6:5:29616413:29621466:-1 gene:B456_005G128300 transcript:KJB30035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAFWGTKVMEIVKKHNSGDLVWKRIKLTSTRKPTPRSISIAFGTMNQSRQIPMKKVIALNTLMI >KJB30471 pep chromosome:Graimondii2_0_v6:5:39462381:39467359:-1 gene:B456_005G145600 transcript:KJB30471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYSFNWIAKSITKPVMYTIHSKFGKPHLNLTTLFKTLSTKPPQNGKDDSWNDAWETAWLPDDISPKNRAPWEADVNFPSNEESAKMVLSSDVDAETKAFVEDMNENWNERRKSPKQKQKEEAEKEGKGEGGGLYSLENIKKDYRLKKQRIHAGLWMKEIDKLEEAKLGNSANDIDRLLDSCSEIFDSTNADLENSEVPSSSELKTKPDGWETTSKAPDGNVWEMSQREEDILLQEFDRRIAYCKFQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVSRLPSLSDASTQPFKEEKLQLKP >KJB32709 pep chromosome:Graimondii2_0_v6:5:63436115:63441195:-1 gene:B456_005G258400 transcript:KJB32709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTNLKQLMFSLKMKRKKRERNNILLHGSNDICVELNRILWRKRHRIFSLVSFVSGCLILLLFAVSVFSPPPAANLLFLPPHSLVRKEIAAVESNAVFQVPTSGGDLDRGLWSTSRSKLYYGCSNASNKFQTADMKTYPNRYLLISTSGGLNQQRTGIVDSVVAAYILNATLVVPRLDQRSYWKDASGFAEIFNVDRFISSLSRDVKIIKELPLKGGQPWIPRRMAVPRKCNERCYQTRVLPVLTKKQAIELRKFDYRLSNRLETDLQKLRCRVNYHALTFTDPILDMGKLLVERMRMKAKHFIALHLRFEPDMLAFTGCYYGGGDKERRELGKIRRRWKALHASNPEKMRRQGRCPLTPEEIGLMLRALGFGSDVHIYVASGEVYGGEETLAPLKALFPNFHSKETIATEAELAPFSSFSSRMAALDYIVCDESDVFVSNNNGNMVRMIAGRRRYFGHKPTIRPNAKKLYKLFMARNNMTWEEFATKVQAFQVGFMGAPKEVKPGRGEFHENPTSCICETTGTETIQKETQEEDHENDNNGRDTAEVNDEQDPIEEDLDWIEDDYSDNIKDLPDTDSNVLTKQEQPEVEELFSD >KJB32710 pep chromosome:Graimondii2_0_v6:5:63436340:63441195:-1 gene:B456_005G258400 transcript:KJB32710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTNLKQLMFSLKMKRKKRERNNILLHGSNDICVELNRILWRKRHRIFSLVSFVSGCLILLLFAVSVFSPPPAANLLFLPPHSLVRKEIAAVESNAVFQVPTSGGDLDRGLWSTSRSKLYYGCTADMKTYPNRYLLISTSGGLNQQRTGIVDSVVAAYILNATLVVPRLDQRSYWKDASGFAEIFNVDRFISSLSRDVKIIKELPLKGGQPWIPRRMAVPRKCNERCYQTRVLPVLTKKQAIELRKFDYRLSNRLETDLQKLRCRVNYHALTFTDPILDMGKLLVERMRMKAKHFIALHLRFEPDMLAFTGCYYGGGDKERRELGKIRRRWKALHASNPEKMRRQGRCPLTPEEIGLMLRALGFGSDVHIYVASGEVYGGEETLAPLKALFPNFHSKETIATEAELAPFSSFSSRMAALDYIVCDESDVFVSNNNGNMVRMIAGRRRYFGHKPTIRPNAKKLYKLFMARNNMTWEEFATKVQAFQVGFMGAPKEVKPGRGEFHENPTSCICETTGTETIQKETQEEDHENDNNGRDTAEVNDEQDPIEEDLDWIEDDYSDNIKDLPDTDSNVLTKQEQPEVEELFSD >KJB28044 pep chromosome:Graimondii2_0_v6:5:2025738:2027345:-1 gene:B456_005G023800 transcript:KJB28044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLHRSLPLLFVVVCSLSWVNVSANSHDDFLDCLSSYHPDESSSISKVTYTETNSSYSAVLESSIRNHRFSTPNTPKPLVIVTPLNISHVQATIRCSKKHGLQIRTRSGGHDYEGLSYVSHVPFVVIDLVHFKSVEVDVENEEVWVQSGAIVGEVYYRINERSTNLTFPGAHGYTVGIGGFISGGGDGLLSRKYGLAADNVIDAQFVDASGRVLDRRSMGEDLFWAIRGGGGGSFGIVLSWKIKLVHVPSIVTVFSVGRTLEQNATQLLHRWQYVAPNLPNDVYSLVAISAWKASENETRTVLVTFTSVFQGGANELIPLMQERFPELGLVKEDFIEMTWIESVLFINGLSNETSEALLERNRSLLPPSFKSKSDYVNEPVPEIALQGLWPQLLEVDEAISAVQTFIAYGGMMDEISETETPFPHRKGTLYKIHYNIGWQEEENIRSQRYISWMRKLYSYMGPFVSKSPRAAYVNYRDLDIGRNNDDGKASYKQASVWGRKYFKNNFDRLVSVKTKVDPENFFKHEQSIPPHFH >KJB32309 pep chromosome:Graimondii2_0_v6:5:61675857:61683506:-1 gene:B456_005G234600 transcript:KJB32309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGQGHVNPLLRLGKRLASKGLFVTLSTPKGFAQKMAEANNITDDHPIPVGDGFLQFGSFEDGWDDDDPRRAHLDQYMHQLELAGKPAISAMIERYAEQNRPVSCLINNPFIPWASDVAESLGIPSAMLWVQSCACFAAYYHYNHGLVTFPTETDPEIDVQLPSMPLLKHDEVPSFLHPSTPFAYLRTAILGQFKKLDKQFCVLMDTFQELEPEMVEYMSKFCLIKTVGPLFKYPEVPNNTIRCDIMKPDDCIEWLDSKPAASVIYISFGTVVYLKQEQVDEIAEALLATGISYLWVMKPPAKESGLPIHTLPEGFLEKVGDNGKVVQWSPQDKVLIHPSVSCFVSHCGWNSTMEALSCGVPIVAFPQWGDQVTNAVYLVDVFKTGVRMGRGEAENRIIPKEEVAKCFVEATVGPKAKDLKRNALKWKAAAEAVMAGGGSSDRNIQAFINEVRRRCTSTDNDAATMNFVNKHSPTEPTVVINALIASALRKAQGHVNPLLRLGKRLASKGLLITLSTPKVFGKQMAKANNITDDQLIPVGDGFLRFESFQDGWDDDDPRRAHLDQYMHQLELAGKPAISAMIKRYAEQNRPVSCLINNPYIPWASDVAESLGIPSAMLWVQSCACFAAYYHYNHGLVPFPTETDPEIDVQLPSMPLLKHDEVPSYLRPSTPFAFLRTAILGQFKKLDKPFCVLIDTFQELEPEIVEYMSKFCLIKTVGPLVKYPEVPNSTIRCDMMKPDDCIEWLDSKPASSVIYISFGTVVYLKQEQVDEIAKALLATGISFLWVMKPPAKEFGLPFHTLPEGFLEKVGDNGKILLWSPQVKVLTHPSISCFMSHCGWNSVLETLSCGVPIIAFPQWGDQVTNAVYLVDVFKTGLRMGRGKGKKGITPKEEVAKCFVEATLGLKAKDLKSNALKWKLAAEEAIADGGSSDRNMQTFIDEVKNRCRAQQ >KJB29882 pep chromosome:Graimondii2_0_v6:5:26178135:26180867:1 gene:B456_005G1222002 transcript:KJB29882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRSSSSSSKFRYCNPSYYLKRPKRLALLLIVFVSATFFVWDRQTLVREHEVEVSNLTDEVHRLRDMLEELKSKAVPNDPVEMQRREKVKEAMVHAWSSYERYAWGNDELQPQSKDGVNSFGGLGATLIDSLDTLYIMGLDEQFQRAR >KJB29883 pep chromosome:Graimondii2_0_v6:5:26179219:26180867:1 gene:B456_005G1222002 transcript:KJB29883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRREKVKEAMVHAWSSYERYAWGNDELQPQSKDGVNSFGGLGATLIDSLDTLYIMGLDEQFQRAR >KJB29360 pep chromosome:Graimondii2_0_v6:5:14468673:14469593:-1 gene:B456_005G096700 transcript:KJB29360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEYVDSENGNPNNMFSKLHHHHHQRQNQPFSHHFPLSHQSQPPISDDPNGPSTTPNSSLPRLGSASTDGASIEVVRRPRGRPPGSKNKPKQPLLFSREPNPAMNPYVLEIPGGHDVVDAISTFSRRKNIGICVLTGSGTVSNVTIRQLSSTPGAVITFHGRFDILSLSATFLPPTTSYQVPNTFSISLAGPQGQIVGGFVAGSLVAAGTVYIVAATFTNPSYHQLPGGGGGGGGGEETRNTMSSGGDGEGEGQSPPFSGGGGDSTGHGGGSESCGVSMYSNHFGGGSDVIWAPTARPPPPPPPY >KJB32084 pep chromosome:Graimondii2_0_v6:5:60512693:60512787:-1 gene:B456_005G222300 transcript:KJB32084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMKIATCFIMVLMVMFSAGAKAQSGCTTV >KJB28358 pep chromosome:Graimondii2_0_v6:5:4218125:4220143:-1 gene:B456_005G044200 transcript:KJB28358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSALRDFTIRGELDKIVRVNDEFRFGTEYSFPCSAETAYRSKQGNLYNLETLVFYIQNHHRRHTEYMHNSLSLRIPAVTFTDRKPLLDYLTGKVSTSDSIVWNPPKFPDEFRPDPSGFDPDSTKPKATKREEERQRLESQQRKDGLVAKSRLMGAEERGLGLSYGDEMMGFDSKPKMHLKGSKLGEGVPIILVPSAFQTLITIYNVKEFLEDGVFVPTDVKVKQMKGARPECVTVQKKFSRDRDRVVTAYEVRDKPSALKPEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFFMRFEDDSVESAKIVKQWNVKIISISKNKRHQDRAAALEVWDRLEEFVRGRSHS >KJB28357 pep chromosome:Graimondii2_0_v6:5:4217900:4220143:-1 gene:B456_005G044200 transcript:KJB28357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSALRDFTIRGELDKIVRVNDEFRFGTEYSFPCSAETAYRSKQGNLYNLETLVFYIQNHHRRHTEYMHNSLSLRIPAVTFTDRKPLLDYLTGKVSTSDSIVWNPPKFPDEFRPDPSGFDPDSTKPKGNANDAVLDEIGDAHFSIKDKETETADYMGIIRSVEKPLKDREGILECKNKDFYSVLVAATKREEERQRLESQQRKDGLVAKSRLMGAEERGLGLSYGDEMMGFDSKPKMHLKGSKLGEGVPIILVPSAFQTLITIYNVKEFLEDGVFVPTDVKVKQMKGARPECVTVQKKFSRDRDRVVTAYEVRDKPSALKPEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFFMRFEDDSVESAKIVKQWNVKIISISKNKRHQDRAAALEVWDRLEEFVRGRSHS >KJB31677 pep chromosome:Graimondii2_0_v6:5:57735757:57740292:1 gene:B456_005G201300 transcript:KJB31677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQVEDPTTVLIDSEKAGMGTKGKTKICCDKKWEDHVKDASASDQGISVSIAGSEDSDNPFKSSTSASINSNNINSSNSDLSYPDDDEDCDDYADDVSDYGDNDDFLYEDDYAIMQSHFDNVDLPPGVEASIPWLKGSALLGNLPPALGVSLSTTPCLAESKKATTSNLADSELKSVSTNTSMVLRESGSDRKEGNEENGVVQNSLSFKHFDIVDDFSDHHYSNLNSSGEQPKEWAKQIQEEWKILEKDLPETIYVRVYEARMDLLRAVIIGPTGTPYHDGLFVFDCFFPPKYPKEPPMVYYYSGGLRLNPNLYNCGKVCLSLLGTWHGHQNEMWVPGQSTMLQVLVSIQALILNARPFFNEPGYETSYVGAEGNRRSRKYNEEVFILSLKTMIYTLRRPPKHFEDFVTGHFRNRALDILVACQAYKEGAIVGSVAVKEGVPDANKIVKGSSEEFKGTIPKMINAVAKEFVKNGSTNCEQFQSCRS >KJB31676 pep chromosome:Graimondii2_0_v6:5:57735654:57740347:1 gene:B456_005G201300 transcript:KJB31676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRVDDASISKRLKPTQILLSDAMDIEQVEDPTTVLIDSEKAGMGTKGKTKICCDKKWEDHVKDASASDQGISVSIAGSEDSDNPFKSSTSASINSNNINSSNSDLSYPDDDEDCDDYADDVSDYGDNDDFLYEDDYAIMQSHFDNVDLPPGVEASIPWLKGSALLGNLPPALGVSLSTTPCLAESKKATTSNLADSELKSVSTNTSMVLRESGSDRKEGNEENGVVQNSLSFKHFDIVDDFSDHHYSNLNSSGEQPKEWAKQIQEEWKILEKDLPETIYVRVYEARMDLLRAVIIGPTGTPYHDGLFVFDCFFPPKYPKEPPMVYYYSGGLRLNPNLYNCGKVCLSLLGTWHGHQNEMWVPGQSTMLQVLVSIQALILNARPFFNEPGYETSYVGAEGNRRSRKYNEEVFILSLKTMIYTLRRPPKHFEDFVTGHFRNRALDILVACQAYKEGAIVGSVAVKEGVPDANKIVKGSSEEFKGTIPKMINAVAKEFVKNGSTNCEQFQSCRS >KJB31678 pep chromosome:Graimondii2_0_v6:5:57735835:57739325:1 gene:B456_005G201300 transcript:KJB31678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRVDDASISKRLKPTQILLSDAMDIEQVEDPTTVLIDSEKAGMGTKGKTKICCDKKWEDHVKDASASDQGISVSIAGSEDSDNPFKSSTSASINSNNINSSNSDLSYPDDDEDCDDYADDVSDYGDNDDFLYEDDYAIMQSHFDNVDLPPGVEASIPWLKGSALLGNLPPALGVSLSTTPCLAESKKATTSNLADSELKSVSTNTSMVLRESGSDRKEGNEENGVVQNSLSFKHFDIVDDFSDHHYSNLNSSGEQPKEWAKQIQEEWKILEKDLPETIYVRVYEARMDLLRAVIIGPTGTPYHDGLFVFDCFFPPKYPKEPPMVYYYSGGLRLNPNLYNCGKVCLSLLGTWHGHQNEMWVPGQSTMLQVLVSIQALILNARPFFNEPGYETSYVGAEGNRRSRKYNEEVFILSLKTMIYTLRRPPKVSLLI >KJB31680 pep chromosome:Graimondii2_0_v6:5:57735945:57740293:1 gene:B456_005G201300 transcript:KJB31680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQVEDPTTVLIDSEKAGMGTKGKTKICCDKKWEDHVKDASASDQGISVSIAGSEDSDNPFKSSTSASINSNNINSSNSDLSYPDDDEDCDDYADDVSDYGDNDDFLYEDDYAIMQSHFDNVDLPPGVEASIPWLKGSALLGNLPPALGVSLSTTPCLAESKKATTSNLADSELKSVSTNTSMVLRESGSDRKEGNEENGVVQNSLSFKHFDIVDDFSDHHYSNLNSSGEQPKEWAKQIQEEWKILEKDLPETIYVRVYEARMDLLRAVIIGPTGTPYHDGLFVFDCFFPPKYPKEPPMVYYYSGGLRLNPNLYNCGKVCLSLLGTWHGHQNEMWVPGQSTMLQVLVSIQALILNARPFFNEPGYETSYVGAEGNRRSRKYNEEVFILSLKTMIYTLRRPPKHFEDFVTGHFRNRALDILVACQAYKEGAIVGSVAVKEGVPDANKIVKGSSEEFKGTIPKMINAVAKEFVKNGSTNCEQFQSCRS >KJB31679 pep chromosome:Graimondii2_0_v6:5:57735835:57740292:1 gene:B456_005G201300 transcript:KJB31679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRVDDASISKRLKPTQILLSDAMDIEQVEDPTTVLIDSEKAGMGTKGKTKICCDKKWEDHVKDASASDQGISVSIAGSEDSDNPFKSSTSASINSNNINSSNSDLSYPDDDEDCDDYADDVSDYGDNDDFLYEDDYAIMQSHFDNVDLPPGVEASIPWLKGSALLGNLPPALGVSLSTTPCLAESKKATTSNLADSELKSVSTNTSMVLRESGSDRKEGNEENGVVQNSLSFKHFDIVDDFSDHHYSNLNSSGEQPKEWAKQIQEEWKILEKDLPETIYVRVYEARMDLLRAVIIGPTGTPYHDGLFVFDCFFPPKYPKEPPMVYYYSGGLRLNPNLYNCGKVCLSLLGTWHGHQNEMWVPGQSTMLQVLVSIQALILNARPFFNEPGYETSYVGAEGNRRSRKYNEEVFILSLKTMIYTLRRPPKSCFLCTAF >KJB30436 pep chromosome:Graimondii2_0_v6:5:38957157:38959732:1 gene:B456_005G143300 transcript:KJB30436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g69350, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G69350) UniProtKB/Swiss-Prot;Acc:Q9C507] MTTLYMPLFRSCTNLRSLAQLHAHLLVTGFHHDPLPSTKLIESYSQMGSFQSSKLVFRCFPNPDSFMWGVLIKCSVWSNLFQDAIFLYLTMIKNDQLHISNFIFPSLLRACSGFGDLGIGEMVHGTIIKSGFGKDTVIQSSLLSMYGEMGCLSYAKKMFDEMTMRDLVSWSSIILSYVENGKANEGLEMFRLMVLEGIRPDSITMLSVAEAFGDLGFLKLARLIHGYIVRRRIEIDGSLASSLVAMYSKCGDLDSAERIFLNVTNRSTALWTAMISSYYRTGRFAEALKSFFDMLDSRVEPNSVTMMSVLGSFAGLGWLREGKSVHCYIIRKEMDLEYDVLGPVFIELYMQCGKLNYCDKVLHVVGGRNIVAWNMIISICTEKGLLKEALAHLVQMQTLGLMPDSFSLASSISACADGGLLLFGDQLHGHIIKRGLSDEFVQNSLIDMYSKCGLTELAYTIFDDINEKSVVTWNSMICGFHQNGNSVEAIRLFDQMYLNGLEMNDVTFLSVLQACSNLGYLEKGKWFHHKLLTYGVKTDLYIDTALTDMYAKCGDLVTAQRVFDSMSEKSVVSWTVMIAGYGAHVMQDLWKMENLILSR >KJB30437 pep chromosome:Graimondii2_0_v6:5:38957334:38959706:1 gene:B456_005G143300 transcript:KJB30437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g69350, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G69350) UniProtKB/Swiss-Prot;Acc:Q9C507] MTTLYMPLFRSCTNLRSLAQLHAHLLVTGFHHDPLPSTKLIESYSQMGSFQSSKLVFRCFPNPDSFMWGVLIKCSVWSNLFQDAIFLYLTMIKNDQLHISNFIFPSLLRACSGFGDLGIGEMVHGTIIKSGFGKDTVIQSSLLSMYGEMGCLSYAKKMFDEMTMRDLVSWSSIILSYVENGKANEGLEMFRLMVLEGIRPDSITMLSVAEAFGDLGFLKLARLIHGYIVRRRIEIDGSLASSLVAMYSKCGDLDSAERIFLNVTNRSTALWTAMISSYYRTGRFAEALKSFFDMLDSRVEPNSVTMMSVLGSFAGLGWLREGKSVHCYIIRKEMDLEYDVLGPVFIELYMQCGKLNYCDKVLHVVGGRNIVAWNMIISICTEKGLLKEALAHLVQMQTLGLMPDSFSLASSISACADGGLLLFGDQLHGHIIKRGLSDEFVQNSLIDMYSKCGLTELAYTIFDDINEKSVVTWNSMICGFHQNGNSVEAIRLFDQMYLNGLEMNDVTFLSVLQACSNLGYLEKGKWFHHKLLTYGVKTDLYIDTALTDMYAKCGDLVTAQRVFDSMSEKSVVSWTVMIAGYGAHGRVNAAISLFNQMVESGIRPNQVTFMNILSACSHAGSVEDGKSYFKSMRDFGVEPNSEHYACMVDLLSRGGDLNEAYRNIKSMPYPADASIWSALLNGCRIHQRMDMIKSIEEDLVDIHTDDTGYYTLLSNIYGEEGNWKEFEKVRSLMKGIGLRKVPGYSLIELDKRIYRFGVGFTSLLQTEETFSFLENFQILAQEHVFYLENQ >KJB32949 pep chromosome:Graimondii2_0_v6:5:64121757:64122330:1 gene:B456_005G269700 transcript:KJB32949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFVSYLCFSTCIMYTLLYMFFWFSRLIDSTHLVIYILIRNLKLLLCFLNIMLRIFLFFHKESCILYTFKFCAIVNFPYSAWRISLPYPYSDWFHSSCNIY >KJB29161 pep chromosome:Graimondii2_0_v6:5:11294256:11297739:-1 gene:B456_005G087600 transcript:KJB29161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISKPEIECLKLLVFLSWLLSLNLILCSIPHHFTTKKHQSKEVEREKSKMGRGKIEIKRIENSSNRQVTYSKRRNGIMKKAKEITVLCDAKVSLIIFASSGKMHEYCSPSTKLIDILDQYQKTSGKKLWDAKHENLSNEIDRIKKENDSMQIELRHLKGEDITSLPYKELMALEDALENGLTCVRAKQMDVLDMAKKNTKFLEEDNKQLNFIVQLTYENVREHMDHHGYHRAARADFNSQMPFAFRVQPMQPNLQERM >KJB29162 pep chromosome:Graimondii2_0_v6:5:11294554:11297694:-1 gene:B456_005G087600 transcript:KJB29162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISKPEIECLKLLVFLSWLLSLNLILCSIPHHFTTKKHQSKEVEREKSKMGRGKIEIKRIENSSNRQVTYSKRRNGIMKKAKEITVLCDAKVSLIIFASSGKMHEYCSPSTKLIDILDQYQKTSGKKLWDAKHENLSNEIDRIKKENDSMQIELRHLKGEDITSLPYKELMALEDALENGLTCVRAKQMDVLDMAKKNTKFLEEDNKQLNFIVNQQQLTYENVREHMDHHGYHRAARADFNSQMPFAFRVQPMQPNLQERM >KJB30904 pep chromosome:Graimondii2_0_v6:5:49221637:49223135:-1 gene:B456_005G167200 transcript:KJB30904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMEKGIEFENKNLGLKHLNFVRVATIHALVCVSNLYDYSKQNLGPLRSTVDAVERVVTTAVGPVYGKFKDVPDHFLGFLDNKVDEVSHKFDEHAPARAKQVVNQAHDLVQKATQKAQKLVEEARTNGARGALHYAAAEYKNLVLVSSTKLWVKLNRNSTFNSMTEKVVPKAANLCEKYNSWLKEKSGKGYPVVGYLPSIPVDAFGKAVKEAEGKEKANADDHKSESDSD >KJB30905 pep chromosome:Graimondii2_0_v6:5:49221813:49223058:-1 gene:B456_005G167200 transcript:KJB30905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMEKGIEFENKNLGLKHLNFVRVATIHALVCVSNLYDYSKQNLGPLRSTVDAVERVVTTAVGPVYGKFKDVPDHFLGFLDNKVDEVSHKFDEHAPARAKQVVNQAHDLVQKATQKAQKLVEEARTNGARGALHYAAAEYKNLVLVSSTKLWVKLNRNSTFNSMTEKVVPKAANLCEKYNSWLKEKSGKGYPVVGYLPSIPVDAFGKAVKEAEGKEKANADDHKSESDSD >KJB28040 pep chromosome:Graimondii2_0_v6:5:1977869:1979647:1 gene:B456_005G023400 transcript:KJB28040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIHLLETTFVIFVMKGINKFVYHCSCGLDFHIKCALFTFNIAEKNLKELEHVPLQDPLISTENGDEELEGVSKCFGCREPLTKYKHFSPDCGFNLHEKCAKLPFKLNHMLHREHPLVLQFNSERLSCKICLVTRRREFVYGCSPCKIAIHIECVSPSPIIEDKSHPHPFTLFLRRLPFICDACGVEGNYAAYICCTCNIIVHKECISLPCIIISKWHDHRIYHKYFLPRDFSNSDCDICHDKVNPELGCYCCSHCNITFHARCVTEDKYSYSVPSREDEDEISNESSITVLEWNDAKEATKIKHFKHMHNLMLSASVGGYENSCDGCMLPISDPFYYCSECDFFLHKACAELLRMKRVWHHTYCKQPHILISDKVFQCEMCFHKSNAFAYECSECESRTCLRCVIALTPGARTCLKHEHPLRFYREYKGKCNACSCFSWRAFCCKDCNFVLHLGCFSLPITTQHKCDEHLLSLTDHDDNNYSESHYCDICEESRDPNHHPHPLTIVKKKYYYPDCDKCGKPCEDLALECSKSECKYIVHWNCAAPRKLQCLIGWPM >KJB28664 pep chromosome:Graimondii2_0_v6:5:6553942:6556039:1 gene:B456_005G062000 transcript:KJB28664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLAASVLKCGRGKVWLDPNEINEISMANSRQNVRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKMARREERLAQGPGVKAAPAAAPQQAEGVKKSKK >KJB28665 pep chromosome:Graimondii2_0_v6:5:6554025:6555675:1 gene:B456_005G062000 transcript:KJB28665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLAASVLKCGRGKVWLDPNEINEISMANSRQNVRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKMARREERLAQGPGVKAAPAAAPQQAE >KJB32842 pep chromosome:Graimondii2_0_v6:5:63828416:63829441:-1 gene:B456_005G264800 transcript:KJB32842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEAVWRLVILLSSQQSPLELKINCSKALWKLAQGSVWICKTLTETKGMRCLAELVAKGQDELRFNCIMIIKEITTIAESDIRLRRSAFTSSSPAAKALICEILRIIKERDDAKVRVPAIKSIGSLARSFSTRKGRVIISLLIAQLGNTDQEVAMEAAIALRKFVSTDNYLRSEHLESIVKFDGVQLLMKLVSEDTKAQPYVLALICYLAQHDTNSNVLINARALTALQTTDPEVITEHPELETLVPHAISRLHFNLTEDQLQTNSPTGIKQIITEQGKEVVDTVRRGLKLLPKGDSKTPQMVTRCKKRILGAIPPLKNKRIQQFLNLSAWNWQYYVWTA >KJB29782 pep chromosome:Graimondii2_0_v6:5:24334130:24340177:1 gene:B456_005G118400 transcript:KJB29782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKNEKKRGTSATKGGLKEKKFSLKNDPFFETESKKRRKMDYEDDNIESGDSEEEAEILGASDGNGGEDEDENEIEETPGEIRKRVATELLGKMRAIARKEKEGRDGDEEVEEEEARDSLVAKILQQEQLEESGRIKRVVASRLKKPETADGFRVMVKHRHTVTAVALSDDDLKGFSASKDGTILQWDVESGKTERYQWPSEDILKSHGAKDPRGRLKKHSKSVLALAVSSDGRYLASGGLDRHVHLWDIRTREHLQAFSGHQKPVSCLSFRQGTTDLFSGSFDRTIKYWNMEDRAYIDTICGHESEVLTLDCLRKERVLTVGRDRCMMLFKVLDQSRLVFRPPPTSLECCCFINNDEFLSGSDDGSIQLWNVLRKKPVYIVKNAHALLPAGQNVEQKENGDHKIEGYNFSSTYSWVSSISVCRGSDLAASGAGNGCVRLWAIETGRKDIQPLYDVPLMGFVNSLAFAKSGQFLVAGVGQEPRLGRWGRLPTARNGVAIQPLKLL >KJB29783 pep chromosome:Graimondii2_0_v6:5:24334158:24340155:1 gene:B456_005G118400 transcript:KJB29783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKNEKKRGTSATKGGLKEKKFSLKNDPFFETESKKRRKMDYEDDNIESGDSEEEAEILGASDGNGGEDEDENEIEETPGEIRKRVATELLGKMRAIARKEKEGRDGDEEVEEEEARDSLVAKILQQEQLEESGRIKRVVASRLKKPETADGFRVMVKHRHTVTAVALSDDDLKGFSASKDGTILQWDVESGKTERYQWPSEDILKSHGAKDPRGRLKKHSKSVLALAVSSDGRYLASGGLDRHVHLWDIRTREHLQAFSGHQKPVSCLSFRQGTTDLFSGSFDRTIKYWNMEDRAYIDTICGHESEVLTLDCLRKERVLTVGRDRCMMLFKVLDQSRLVFRPPPTSLECCCFINNDEFLSGSDDGSIQLWNVLRKKPVYIVKNAHALLPAGQNVEQKGSEIIPDNCLENGDHKIEGYNFSSTYSWVSSISVCRGSDLAASGAGNGCVRLWAIETGRKDIQPLYDVPLMGFVNSLAFAKSGQFLVAGVGQEPRLGRWGRLPTARNGVAIQPLKLL >KJB27848 pep chromosome:Graimondii2_0_v6:5:942942:945082:1 gene:B456_005G013000 transcript:KJB27848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISPIKAKQIIRLCLPIHAMNNPKLKGYGFKPSDQILIDYLRDRTLFGRDYLVQDIIVLSHDICNYDPWDLPGCDSVTPNDSERFFIYPKTYKYANSKPKVIGTPTGQVPNSDQRQPMINRATKNGKWKVSGVRVNVKSSDETKQVIGIKTRLYFKHNSCPNKTSCVLHQYELVDIDPCQDKYFLGKVIMKEFKQTNISSNNINGRISIPEVRVELGPEPLVETEVTNEYEWTQSEQTNEFITPCPVFGNENFIDDGNEVISNHQSQINKELIRSLEVPNIGIEDQNQSYQNIGIDNDPTVPDEGSNQHNIGAVAENESSMLPSNLHDHLIDFINQMEWSDLYNLCRGNDDGLFLDELSDEPPELGPQNQCGINQQVAAGAEIESTNLPPLDVTESSYSTDSVRKRSALEVERSATGVETELAQQQANRSRLKSSSCLSPVFD >KJB32265 pep chromosome:Graimondii2_0_v6:5:61484807:61486093:1 gene:B456_005G232300 transcript:KJB32265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEALKSPTMATAPYSNKYEDIDNNYVDTWKKGKRSKRQRDDGSSSSPPPPPPPTNEEEYLALCLIMLARGGSTTENAVTDGGNDDHRLSSSSSAPAPAALKLSYKCSVCNKAFPSYQALGGHKASHRKSSTDAKPDNQSITTSSTTASGETGGNGKAHKCSICHKSFPTGQALGGHKRCHYEGGNTTTTTTTNNNNNNNKSSSVSLSGVTVSDGGALSQTRCVDFDFDLNLPALCELEIKDGSRFSQIYTEQEVESPLPTKKPRFMTASLSQH >KJB31053 pep chromosome:Graimondii2_0_v6:5:50520947:50527482:1 gene:B456_005G173800 transcript:KJB31053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCPAVHGGYPSDYVAVSIAIFAVILLIVRSSFPFLIHKVPGARGSAFWIPVIQVVASFNFLLSLVMAGNFLKYKKSHWWLSCYVWAVWVEGPLGFGLLMSCRIAQAFQLYYAFVKRRLPPVRSHIFLPLIVLPWIAGAAIIHVKKPLNCRCHLQTYWVIAVMCLHALYVAALVGFTGAIRHIEFRFDELKDLWQGILVSASLIGLWVSAYILNEIHDNISWLQVTSRFILLFTASILVLVFFSISISQPLLTQISFIKREPIEFKTMCQALGIPDSGLLLHREQAVVIDPNEPLDKLLTHKRFRQSFMAFADSCLAGESVHFFEEVHELGKIPIDDPVRRIYMARHIINKYIIAGSAMEVNISHRSRQAILSTTDLTHPDLFINALNELIQLMKMNLAKDYWSSMYFLKLKDETSVRSNGYEMEQMSGAYSFSPRLSCVNAFDDPFHQDHFSSGSSQDVHHPYQ >KJB28029 pep chromosome:Graimondii2_0_v6:5:1916220:1922112:1 gene:B456_005G022800 transcript:KJB28029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFEDPQEPDISFAADHFPDQEPDVIQCSQGHRTTLSLRTQQGGSICLLCLSNLISNPRAPTLHVSYVLSQLSDALSQPLFLTSLLSFHPHFLISPLLHALSSFDNDPIAQQLIDIISALCASANDSVTADFIAQVAEKLSSGALGWSRRQLYMVRILLNCQINDPCMHIRDKEALVSNLVAGLQLPSDEIRGEILFVLYKLSIQGYASRDGLGANVLQAFCPSLLRLSMEALLKTQRDDVRLNGVAFLMLLAQIGLFGNGHGNEINSLSSDEADHLMQTLEDGLDEFPLTVLFAEAIKGPLLSSDSQVQISTLDLIFHYLTRGDASPKQIQILIEENIVDYVFEILRLSECKDPLVYSCVRVLNLFPCTEQSFRQRLIIGFQTLIPVLRYVAEVPFHPAQTFTLMLIQNCVSDCPGIASTSNIEELALILLRMLERHRDGEIGMIPETFLLVCSIFVAVLKFPSSQGASNLPALLQESLKHAVLACLTISEKDPGQLLHCLYLLKEAYSYSHEACSANTSTNLELRTCIVDICTSHILPWFSMAVNELDEETVMGVFETFHFILIQHPDIQATELAKVLLSSSWFCFSFGCLGLFPAEKMKWRVYLMLSSLVEVLLGNQAGQPIRDAVFSLPCDPIDLLFLLGQKNSHDLDLSSCQDAVLLLLHFSCLHDDWLADEISVLASLEQYILVNSGDFLSGSIDSLTMMQVLNLYGLCRGLAKASNQVSHSLEAERILFHMLCRSEWDLPSAVIHPVAVRWLFQQEKISKPLSCQLLKFCRRDCSDGNKILIHRDNSHTIDVRVIADLVARRDNHAAKLLMCLLVELAEEGAQNQDIIAVVNLILTVINIFPAASDQLCLHGIGNAILMVVYYNSSHSSSSELLLAILLLLFNILSTVHHETLSDGESWLALSTRLIDCLIPAVRKYGWNHEGLLLVGILSLILHHSSSHALIEASKSIIFNASLISTINSTVQVVSSKGPALIEHDEGTSSGENLIFLLLLYYFTLRCFHAVLPEVPKWETFLKSPDMAQPVSIINIHFHDLCRMIHFGSPMVKLVASSCLLEFLSGISYQKKGKHVESQCFMGYIMSIMTVLEGQVFNDDIRVAMNCCLCLSIILGWEKELEMQESRVVRSSWYRLIVEEMAMSLAVPCLASKSFINYHKPAVHLTVALLKLEKIPGWIRTVFDDVSISCIIENLKVMDVTPEMVLLFRALLNSGFLKAEHIASLNHVFQACRKRMYNNSKGHATDKRDQKSVTWSDDVGEICEYLIHLMVSSSDTNSGNKRLFQEIEMFFRSLTEEGNIAQP >KJB28105 pep chromosome:Graimondii2_0_v6:5:2418872:2430374:-1 gene:B456_005G027800 transcript:KJB28105 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD50 [Source:Projected from Arabidopsis thaliana (AT2G31970) UniProtKB/Swiss-Prot;Acc:Q9SL02] MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEYKAIESVLQTLNPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTRALEVIKKLHKDQAQEIKAYKLKLEHLQTLKDAAYKHRESIAQDQEKTESLKSQIQVLEKNIEDLDAKIHNAELTLKDLRKLEDQKSTKTAERSTLFKEQQRQYAALAEENEDTDEELMEWKTKFDERIMLLDNKIQKMESNQQDLNEESSAYRRKLETYIGEIGKLQRDAETLVLSKKDRDTAIEQLFARLNLGSTPNSPFSDEVALNLTRQIEVRLMELERDLDEKKQSNDKKLKTAWDCYMGASERCNIAEAQKKAKLEIKGSILKRLEETKSNRDFLEIQISDVNLSSLDDREKNLQVEIDRKSRQLDERNHDKIVEQKQLEVFAIDQKIKVLSHERDLAAGEATERMELSISTRELENKKKQHKKIIEEYKDRIRVVLKGRVPPDKDLKREVTRTLSAVQKEYDELSTKSSEAEKEVNILQMKIDEINSNLSKHKKEMDSRKKFLEARLNSLEKQSFTIDSYPQVLETAKEKKDVHKSKYNIADGMRQMFDPFERVARAHHICPCCERPFSAEEEDEFVKKQRVKAASSAEHMKVLAVESSNAESYFQQLDKLRMVYEEYIKTVKEAIPLAEKKLLELNEELDQKSQAHDDVLGVLAQIKMDKDSIEILVGPVETADRIFQEIQGLQAKVEGLEYKFDIRGQGGARTVEDIQSELNDLQSKRDVLLKEVDDLRTEQRYMEKDLQSVKTRWHDIREKKVEVANTLRDFKKAEEELEHLSEEKRQLDLEEKHLAESLRSLFKEKESLLEDYDCLKVKLAEEYEQQQKLRSSYQREAEVLYEVNSKIKAYYDLKKGEKLKELREQQSVMESQLLNFDARKQEILAELNKSKDLMRNQDQLRRNIEDNLNYRKTKAEVDVLSREIESLQERIMEIGGISKCEGEIRKISEERERLLSELNRCRGTMSVYQSNISKNKAELKQAQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHSMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALHRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAEKYYRVAKDDHQHSIIEAQEIFD >KJB28106 pep chromosome:Graimondii2_0_v6:5:2419349:2430064:-1 gene:B456_005G027800 transcript:KJB28106 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD50 [Source:Projected from Arabidopsis thaliana (AT2G31970) UniProtKB/Swiss-Prot;Acc:Q9SL02] MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEYKAIESVLQTLNPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTRALEVIKKLHKDQAQEIKAYKLKLEHLQTLKDAAYKHRESIAQDQEKTESLKSQIQVLEKNIEDLDAKIHNAELTLKDLRKLEDQKSTKTAERSTLFKEQQRQYAALAEENEDTDEELMEWKTKFDERIMLLDNKIQKMESNQQDLNEESSAYRRKLETYIGEIGKLQRDAETLVLSKKDRDTAIEQLFARLNLGSTPNSPFSDEVALNLTRQIEVRLMELERDLDEKKQSNDKKLKTAWDCYMGASERCNIAEAQKKAKLEIKGSILKRLEETKSNRDFLEIQISDVNLSSLDDREKNLQVEIDRKSRQLDERNHDKIVEQKQLEVFAIDQKIKVLSHERDLAAGEATERMELSISTRELENKKKQHKKIIEEYKDRIRVVLKGRVPPDKDLKREVTRTLSAVQKEYDELSTKSSEAEKEVNILQMKIDEINSNLSKHKKEMDSRKKFLEARLNSLEKQSFTIDSYPQVLETAKEKKDVHKSKYNIADGMRQMFDPFERVARAHHICPCCERPFSAEEEDEFVKKQRVKAASSAEHMKVLAVESSNAESYFQQLDKLRMVYEEYIKTVKEAIPLAEKKLLELNEELDQKSQAHDDVLGVLAQIKMDKDSIEILVGPVETADRIFQEIQGLQAKVEGLEYKFDIRGQGGARTVEDIQSELNDLQSKRDVLLKEVDDLRTEQRYMEKDLQSVKTRWHDIREKKVEVANTLRDFKKAEEELEHLSEEKRQLDLEEKHLAESLRSLFKEKESLLEDYDCLKVKLAEEYEQQQKLRSSYQREAEVLYEVNSKIKAYYDLKKGEKLKELREQQSVMESQLLNFDARKQEILAELNKSKDLMRNQDQLRRNIEDNLNYRKTKAEVDVLSREIESLQERIMEIGGISKCEGEIRKISEERERLLSELNRCRGTMSVYQSNISKNKAELKQAQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHSMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALHRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAEKYYRVAKDDHQHSIIEAQEIFD >KJB28107 pep chromosome:Graimondii2_0_v6:5:2419059:2429637:-1 gene:B456_005G027800 transcript:KJB28107 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD50 [Source:Projected from Arabidopsis thaliana (AT2G31970) UniProtKB/Swiss-Prot;Acc:Q9SL02] MDDLAYMVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEYKAIESVLQTLNPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTRALEVIKKLHKDQAQEIKAYKLKLEHLQTLKDAAYKHRESIAQDQEKTESLKSQIQVLEKNIEDLDAKIHNAELTLKDLRKLEDQKSTKTAERSTLFKEQQRQYAALAEENEDTDEELMEWKTKFDERIMLLDNKIQKMESNQQDLNEESSAYRRKLETYIGEIGKLQRDAETLVLSKKDRDTAIEQLFARLNLGSTPNSPFSDEVALNLTRQIEVRLMELERDLDEKKQSNDKKLKTAWDCYMGASERCNIAEAQKKAKLEIKGSILKRLEETKSNRDFLEIQISDVNLSSLDDREKNLQVEIDRKSRQLDERNHDKIVEQKQLEVFAIDQKIKVLSHERDLAAGEATERMELSISTRELENKKKQHKKIIEEYKDRIRVVLKGRVPPDKDLKREVTRTLSAVQKEYDELSTKSSEAEKEVNILQMKIDEINSNLSKHKKEMDSRKKFLEARLNSLEKQSFTIDSYPQVLETAKEKKDVHKSKYNIADGMRQMFDPFERVARAHHICPCCERPFSAEEEDEFVKKQRVKAASSAEHMKVLAVESSNAESYFQQLDKLRMVYEEYIKTVKEAIPLAEKKLLELNEELDQKSQAHDDVLGVLAQIKMDKDSIEILVGPVETADRIFQEIQGLQAKVEGLEYKFDIRGQGGARTVEDIQSELNDLQSKRDVLLKEVDDLRTEQRYMEKDLQSVKTRWHDIREKKVEVANTLRDFKKAEEELEHLSEEKRQLDLEEKHLAESLRSLFKEKESLLEDYDCLKVKLAEEYEQQQKLRSSYQREAEVLYEVNSKIKAYYDLKKGEKLKELREQQSVMESQLLNFDARKQEILAELNKSKDLMRNQDQLRRNIEDNLNYRKTKAEVDVLSREIESLQERIMEIGGISKCEGEIRKISEERERLLSELNRCRGTMSVYQSNISKNKAELKQAQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHSMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALHRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAEKYYRVAKDDHQHSIIEAQEIFD >KJB31756 pep chromosome:Graimondii2_0_v6:5:58710139:58712359:1 gene:B456_005G207200 transcript:KJB31756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPQQPSPSESDLQVPSLASLRIQPSSPRFPFSTPIAGSQRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIKLQITPNSTNNRENATAISGDHSDEESQQKLENDFDVFTTTKANTLAQPLVDAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASRRTCKGRLGSVSDYCVHHCICPVVVVRYPDEENGNGGAEKVTKKNIVEDVELQPVPEEEQEYHDAEEGHTDA >KJB31755 pep chromosome:Graimondii2_0_v6:5:58710158:58712359:1 gene:B456_005G207200 transcript:KJB31755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPQQPSPSESDLQVPSLASLRIQPSSPRFPFSTPIAGSQRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIKLQITPNSTNNRENATAISGDHSDEESQQKLENDFDVFTTTKANTLAQPLVDAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASRRTCKGRLGSVSDYCVHHCICPVVVVRYPDEENGNGGAEKVTKKNIVEDVELQPVPEEEQEYHDAEEGHTDA >KJB28811 pep chromosome:Graimondii2_0_v6:5:7695909:7696758:-1 gene:B456_005G070700 transcript:KJB28811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMIYLLMKAKWKKSSKRKEKRFRERMRAEMGMIRVRQQTRMQCSSIVAEMEKLQEEVALKREETSALRQQQLREEETKVEAILQSLNQQRLLNARLLFQHSCHQQLLDLLIRHFLGD >KJB32844 pep chromosome:Graimondii2_0_v6:5:63841965:63843651:1 gene:B456_005G265000 transcript:KJB32844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSDARKIFDLILLGEDVCLAVNESNSFKVECGELGKRDIVRNRTHQNLFCRLFTSRIATDFQKLFHVLDASVTDMEWVVSLYEPQNRGRSCCKTDNSVSPTALVWSCIANIEMGSSLDDRIEACDRLASLVRHKDEYKHIIVEGGVDSLMKLLKENCPLVAHIAAANTLCLLANEDNEGTIMKEMVSTFIKSLSKTSPISKQTQAADLVASIAELNPESKQHDLIRENIIWQLVILLSSEQSTLELKISCSKALWKLAQGSVSNCRTLTETKGMLCLATLVAKEQGELRYNCIMIIKEITSIAESDNGFRSSAFTSSSPAAKAVVDELLRVIKELDDTKLRVPAIKSIGSLARSFLARQSRVIGPLVARLGNTDQDVAMEAAIALKKFVSTDNYLCSEHSKSIIEFEGVPLLMKLLNSGDKSTHPHVLALICYLAQHDSNSNVLIKAGALTALQTIDPEVITEYRELETLVPHTISKLQSYLTVEQQQTESSTGIKQFFTKQSKAVVATIGGRLKLLY >KJB27886 pep chromosome:Graimondii2_0_v6:5:1068751:1073386:1 gene:B456_005G014800 transcript:KJB27886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSDTVLRLLLSCAEAIEDGDLKSADGFLHSILILAGESCYSDQRLVKYFADALVRRAYGLHPACSSFTFLVDPAAYYHSICYLINGVIENVIHDALMGIRRFHLIDFSIPYYYSFGNSVVRTLPTFSGEPLPVRVSYILPPFLKKYVKFSRQMEFLTRDAKEVNVKLEDELKVVYGNSLAEVDECEIDLKRRRDDEMVVVYYKFKLEKLVRDAKAMERELVRLKEINPTIVIMLDFYSNHAHSNFLTCFKDSFQYSLKTFEYYWEELDHYIDGKYGWECNREVGEGNNIIRRHPTLTEWQHLFSRAGFSRIPLNHKKDNLSVEDERWLEIMGEEEECLILGNEGCPMFFLSAWKPKVEDGHFNSISTNHKFGQGFDPNPAPLQPRQPFLEGLKLNRLAALAEIHDISKYLSCKYKLSLTLTLASKVNNMNETISDPNKKHVFFIQDNYCYTNDMTSYYFMLDYSKDMISGRPLIIEKAFESRDGYHFQPSLSKVEDYRDSTLQRYNIDGAVAICLQNRHTSDEVYIVEFYWPPTESEISKSLALRIFDDLKHMKTTFVTVKVQVPEIKLQEAISSIPTSANTAMPLKIAENAHIEQVVADPFNTLEGPYNKIVETKRNKQRKSWSKVWVDFDKFEEHGKQVAKCKHCPKVFTGSSKSGTTHLNNHSKVCPGKKKPNQESQLILPVDTNDRSSNV >KJB27887 pep chromosome:Graimondii2_0_v6:5:1068751:1073386:1 gene:B456_005G014800 transcript:KJB27887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSDTVLRLLLSCAEAIEDGDLKSADGFLHSILILAGESCYSDQRLVKYFADALVRRAYGLHPACSSFTFLVDPAAYYHSICYLINGVIENVIHDALMGIRRFHLIDFSIPYYYSFGNSVVRTLPTFSGEPLPVRVSYILPPFLKKYVKFSRQMEFLTRDAKEVNVKLEDELKVVYGNSLAEVDECEIDLKRRRDDEMVVVYYKFKLEKLVRDAKAMERELVRLKEINPTIVIMLDFYSNHAHSNFLTCFKDSFQYSLKTFEYYWEELDHYIDGKYGWECNREVGEGNNIIRRHPTLTEWQHLFSRAGFSRIPLNHKKDNLSVEDERWLEIMGEEEECLILGNEGCPMFFLSAWKPKVEDGHFNSISTNHKFGQGFDPNPAPLQPRQPFLEGLKLNRLAALAEIHDISKYLSCKYKLSLTLTLASKVNNMNETISDPNKKHVFFIQDNYCYTNDMTSYYFMLDYSKDMISGRPLIIEKAFESRDGYHFQPSLSKVEDYRDSTLQRYNIDGAVAICLQNRHTSDEVYIVEFYWPPTESEISKSLALRIFDDLKHMKTTFVTVKVQVPEIKLQEAISSIPTSANTAMPLKIAENAHIEQIVETKRNKQRKSWSKVWVDFDKFEEHGKQVAKCKHCPKVFTGSSKSGTTHLNNHSKVCPGKKKPNQESQLILPVDTNDRSSNV >KJB28172 pep chromosome:Graimondii2_0_v6:5:2880034:2881241:-1 gene:B456_005G032100 transcript:KJB28172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPNSLSEIDLSNKLHGKLPRWIGNASFLWRLNLSNNGFEEHVHLHGNRLRGTLSLAFYNNSSIVTLDLRGNNLTGSIPKWIYTLSSLSVLLLKDNHFHGKVPIELCKLHSLSIIDLSQNMFSGPIPSCLGNLSLPIQMKRILETGFYGPSIEEDESTRIMIGNLEMDSYYPLSYLEEVIEFTTKSGFYSYNGDILSYMTGIDLSCNNLTSHIPPELGNLSEIHSLNLSHNKLTGVIPSSFSKLQHIESLDLSYNNLSGEIPYQLVELNSLEVFSVAYNNLSGSIPKPKAQFGTFIENSYEGNPFLCGDILHKSCSKTDSPSTISTVSEDKGEDGLIGTYDFFVSFLVSYVVVLLTIFVVLYINPYW >KJB29140 pep chromosome:Graimondii2_0_v6:5:10913628:10916466:1 gene:B456_005G086300 transcript:KJB29140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIRSVVLIVQLVILLNATSAAKATAAVAYQANSGCQSRCGEVSIPYPFGTGGDCNVSKHFFITCNTSFTPSKAFLTTSSIEILDISLDGQLRILADGSYDCYNKSGATRNFRYWLQLGKFFINNTRNKFTAIGCDTYARVEGFSGQRYATGCLSLCNNINDVSNGSCSGIGCCQTSIPKGVKSYKITTESYENHTDVLPENPCSYAFVAENDNYTFSTSDLRGFDFKDKLFPVTLDWTIGQKSCKKAKMDTKSFACKKNSKCIDSEHNSGYICKCFEGYDGNPYLPNGCQDINECETMSPCDGTATCINLNGTYDCSCPPNYEGDGKKNGTGCSLPNKDQSKRSLLIDVALGIGIGFLGLLLGIVLLCWMLKQRQISELKRVNFQQNGGILLREQLSKRQGYREEVKVFTVEELEKATNNYHESRILGQGGQGTVYKGILADNRIVAIKKSIIGDPSQVEQFINEIMVLYQINHRNVVKLLGCCLETQVPLLVYEYITNGTLFHHLHDDDAALDLPWETRLRIATETAEALSYLHSAVSIPIIHRDIKLANILLDNNYNAKVSDFGASRLIPSDEAQITTIVQGTFGYLDPEYMHTSLLTEKSDVYSFGVVLMELLTGQKVVCFKRSEEKRVLAMYFVSLMKEDNLLDILDPRVLTDKNVEQLKEVAALASRCVRMKGEERPTMKEVAHELAGLQAMPKHPWSKSKLQGEESEYLLGDMCSTYTDGATSSSMGYDSINNKITFELEGAR >KJB29692 pep chromosome:Graimondii2_0_v6:5:21880642:21884624:-1 gene:B456_005G114200 transcript:KJB29692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFGTQVDHHSQPPTPEDTKKLKDSSNQHGVVPPTGNKESGGGEGNETSSGRSKEGEGGGVPASGKIVSTTLKIFTLAELKAATRNFRPDTVLGEGGFGRVFKGWVDDKTYAPSKVGVGIAVAVKKSNPDSSQGLQEWQAEVKFLGKFCHPNLVKLLGYCWEENQFLLVYEYMQKGSLENHLFRLGGAEPLTWETRLKIAIGAAQGLAFLHTSEKSVIYRDFKASNILLDGAYNAKLSDFGLAKLGPINGNSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRALDTNRPSGEHNLVEWAKHSLTEKRKLKKIMDPRLEEQYPIKAALQAGELILKCLEADPRNRPSMEEVLETLQKINAIDEQPKESKATKSKLKVNNHRSPVHSKQGGTGSRNTNNHRHSTARSHR >KJB32725 pep chromosome:Graimondii2_0_v6:5:63394180:63396969:-1 gene:B456_005G257700 transcript:KJB32725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAIAISLLYVEIKDSVLKRLFSEIVKKFWSKWELRSMVMLSLILQFLLVYFGRKRKKYTGNWVPLIAVIAWLIYLSADWMATLVLSTLLRGSSELKEGLIVFWTPFLLWHLGSPYNITAYSLEDNELWLRHFLGMFFQIGEAIYIYVRFRSNTMLNAMAILLFIGGVLKYLERIWALRCANPKQLMKYFYSAPKTENPSTSDSAARSEMREMIRTGLFDPSEKRGFIGDPRITSEVRFLREVDSAFEVFKPLFTDLPFQISKAFHDEMVYLNPSTRTAAEAFNFVKIELEFLYDLLYTKNPLQHRHHIIEDSTVDVVVTYTLLLGAVWLESYSFYMHIRSKWTILRYAVRGHKRLKLYYRLVQNRLHLIKSQEGIQKMAQHDFLEYCVKAKANQFAQILKFIDPGDLLQKFWYTKWKEVDIELKKFIYNHLNEKRSKFEKGRFKLECLEKILAERGDNVLQEKGFDPDEKDEYWKLKTTDFPRQIFVWHIATSLVYYNDLSKHRRSTLFNPVCESSKSLSDYMMYLVLVRPTMLPKGFSDIVNKETYQQTQRISPKKKMKVSMEEFNEALLSFGCNKLSENGALWDGIKFAKQLQSLVREERWDHEEKWKMISEVWMEMMVYGASRCTWEEHAQQLRHGGELLTHVALIMAHLGLTTQVQRLEKSADHPIASAFPTPF >KJB32749 pep chromosome:Graimondii2_0_v6:5:63517604:63520935:1 gene:B456_005G259600 transcript:KJB32749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDSFGSLSRRSFDVRLMGHHRGKSHGSLHDLHDEPVVVQSSRWANLPPELLVDVIKRLEESESTWPTRKNVVACASVCRSWRFICKEIVKSPEFCGKLTFPVSLKQPGPRDGTIQCFIKRDKSKLTYRLFLCLSPALLVENGKFLLSAKRTRRTTSTEYIISMNADNISRSSSSYIGKLRSNFLGTKFIIYDTQPAYTSAHVPPPGRTSRRFYSKKVSPKVPTGSYNIAQITYELNVLGTRGPRRMHCMMHSIPASALDAGGVVPGQPELLRHPLEDSFRSISFSKSLDQSVEFSSSRFSEIGTSYDDEDGKMKPLILKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSSQPAPPDTDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KJB32750 pep chromosome:Graimondii2_0_v6:5:63517623:63520893:1 gene:B456_005G259600 transcript:KJB32750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDSFGSLSRRSFDVRLMGHHRGKSHGSLHDLHDEPVVVQSSRWANLPPELLVDVIKRLEESESTWPTRKNVVACASVCRSWRFICKEIVKSPEFCGKLTFPVSLKQPGPRDGTIQCFIKRDKSKLTYRLFLCLSPALLVENGKFLLSAKRTRRTTSTEYIISMNADNISRSSSSYIGKLRSNFLGTKFIIYDTQPAYTSAHVPPPGRTSRRFYSKKVSPKVPTGSYNIAQITYELNVLGTRGPRRMHCMMHSIPASALDAGGVVPGQPELLRHPLEDSFRSISFSKSLDQSVEFSSSRFSEIGTSYDDEDGKMKPLILKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSSQPAPPDTDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KJB27939 pep chromosome:Graimondii2_0_v6:5:2273977:2274979:-1 gene:B456_005G025800 transcript:KJB27939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRESGGIYQSLFFECSFVKRFWSCIFCWWGLRWKDVVANFEEFFSLCWRVSLSGIQKSLWLLAVSAACWSGWISRNEKVFEGKATTLDLLIYQTKLRSFVWARVVYEECIFTESDWWGWPRKSSPVKKGGECAGYG >KJB29698 pep chromosome:Graimondii2_0_v6:5:22335765:22339330:1 gene:B456_005G114800 transcript:KJB29698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKMEWAGKEKHMRGIPRKLVFLAVGAFAKAVATLLNTTSVHNADTLIRLVRSRLPGIPLLTVSNHMSTLDDPLLWGFKGFPSLDANLARWVLSAEDICFKNYALTYFFRLGKCIPITRGAGIYQEHMNEALQCLNNGAWLHTFPEGKVSQEDAPIRRLKWGTASLIVRAHVTPIVLPMVHSGFEEVMPEKFFLDRRPPFPLCNKKIKIMIGQPLEFDIPKMRQLAISKSRGDHLFSSTTSRGWPVISSHGLDLDEAAQRCLYSTISQQIQTVMEELRSLAKSS >KJB29844 pep chromosome:Graimondii2_0_v6:5:25423799:25427890:-1 gene:B456_005G121000 transcript:KJB29844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRNLRESLVSGGGRNNSNNISQASLHHRRGKIFNAGLFPRDSDENLDLFSKSRRSLSVASSDVSVKQGRTSHGSDDLLSSTDGGKHDYDWLLTPPGTPVFPSSEGSESQSAPRSNSKVRSVSTTKASRLSVSHSENNPSSRPARSNSVTRPSLSSSYNNYSSNRGSSILNTSSASVSSYIRPSSPITRSLSTTRPSTPSSRSTSSRPSTPSKVRQSPTGSYLDKSRPSQSSRPSTPTSRPQVPANLNSTAVRSNSRPSTPTRWNPAASLSSPVNPSPSSNGHTVSNGRTAAPASRPSSPGPRVLPPQQPVVPPDFPLDTPPNLRTTLPDRPISAGRSRPSASVTTKTNQDTTSSINMPRRHSSPIVPRGRLTEPPGRTRVHSNGHSSDIHESRKISHASGLAMRKPVKSSTTTADGTGFGRTISKKSLDMAIRHMDIRSGTGSVRSLSGTTLFPQSIRSASVKTQTLRSLSTSDSVNSNGSSCSMKNGDYSENGNSTMRAAENGSDSHDGRYSAKLSEVDIYESSRYDAIFLKEDLKNTNWLHSIDDKLDRASIFDNGFESLPEPFELL >KJB29845 pep chromosome:Graimondii2_0_v6:5:25424902:25427583:-1 gene:B456_005G121000 transcript:KJB29845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRNLRESLVSGGGRNNSNNISQASLHHRRGKIFNAGLFPRDSDENLDLFSKSRRSLSVASSDVSVKQGRTSHGSDDLLSSTDGGKHDYDWLLTPPGTPVFPSSEGSESQSAPRSNSKVRSVSTTKASRLSVSHSENNPSSRPARSNSVTRPSLSSSYNNYSSNRGSSILNTSSASVSSYIRPSSPITRSLSTTRPSTPSSRSTSSRPSTPSKVRQSPTGSYLDKSRPSQSSRPSTPTSRPQVPANLNSTAVRSNSRPSTPTRWNPAASLSSPVNPSPSSNGHTVSNGRTAAPASRPSSPGPRVLPPQQPVVPPDFPLDTPPNLRTTLPDRPISAGRSRPSASVTTKTNQDTTSSINMPRRHSSPIVPRGRLTEPPGRTRVHSNGHSSDIHESRKISHASGLAMRKPVKSSTTTADGTGFGRTISKKSLDMAIRHMVCLPSTFY >KJB32091 pep chromosome:Graimondii2_0_v6:5:60549099:60549857:-1 gene:B456_005G223100 transcript:KJB32091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLLDLSWNSLGGSLPSWIGKFEYLFYLDLSNNSFSGEIPESLTGLENLVHKTALLKESSEHFTLVKRKEQGRPRLAYNNLRSFPPSIDLSHNMFSGPIWPSFGNLKNLHDLCLEENNLSGSIPESISGMTSLEVLDLSRNNLSGEIPHSLARLSFLSVFNVSYNRLYGDTPSGGQFMTFPESSFDGNQALCPRLLAPCQRKQIPPLVSPGKKMKIVDWNFGIGAATGFLLTVFFCFKSGWVFPKGRVCRY >KJB32070 pep chromosome:Graimondii2_0_v6:5:60638020:60639316:-1 gene:B456_005G224500 transcript:KJB32070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKGFVEGGIASIVAGASTHPLDLIKVRMQLQGESHLPNPSLQLYRPALAVNSAAVGNVSISQPRVGPISIGVRIIQLEGVAALFSGVSATMLRQTLYSTTRMGLYEIIKNKWTDKETGNLPLTSKILAGLIAGGVGAAVGNPADVAMVRMQADGRLPIDQRRNYKSVIDALSRMTKQEGVSSLWRGSALTVNRAMIVTASQLATYDQVKETILEKGVMGDGIGTHVTASFAAGFVAAVASNPIDVIKTRVMNMKVAPGAEPPYSGALDCALKTVRAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >KJB32545 pep chromosome:Graimondii2_0_v6:5:62618761:62621374:1 gene:B456_005G246000 transcript:KJB32545 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 2 [Source:Projected from Arabidopsis thaliana (AT4G39120) TAIR;Acc:AT4G39120] MISNSKLSNHIDPLLLNDTQLDRFAEVGNKLADAAGEVIRGYFRKKFEILDKEDLSPVTIADQAAEESMVSIILENFPSHAVYGEEKGWRCKEESADYVWVLDPIDGTKSFITGKPVFGTLIALLKKGKPILGIIDQPVLRERWIGISGRITTLNGQEVATRACTELSRAYLYTTSPHLFSGDANEAFARVRDKVKVPLYGCDCYAYALLASGYVDLVVESGLRPYDFLALIPVIEGAGGAITDWKGNHLHWEASSTSRATSFNVVAAGDKQIHQQALDALQWQ >KJB28541 pep chromosome:Graimondii2_0_v6:5:5342454:5344669:1 gene:B456_005G054000 transcript:KJB28541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAVVGSSFSQSIHTLKCLHFKQLAAADHHHTPLLRIPSARVLSPSLISHHQSYFTHELFPIAVVTVQKWRALKVSAAVAQQETAVTAESPVEEEKVEEVEGEAEAEAAVESTVNTKLYFGNLPYNVDSAQLAGIIQEYGSPELVEVLYNRETGKSRGFAFVTMSTIEDCNIIIQNLDGSEYLGRTLRVNFSDKPRAREPLYPETEYKLFVGNLSWSVTSEILTQAFQEYGNVVGARVLYDGETGRSRGYGFVSYSTKSEMEAAIQSLNGVELEGRALRVSLAQGRQPA >KJB27896 pep chromosome:Graimondii2_0_v6:5:1093141:1096286:1 gene:B456_005G015200 transcript:KJB27896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIGTDYVVLMGMAHGNIAAAIEIPNDEPSFNSNQSDQFQSSSSESDDETTLREQGSWYKEDVRTYLVSSFTNKEKKRLNRWKRSELSGKKIGRDKEFQLMGENLTPLLVAPHCDESLIEYYIDDSVVNTYFKLLKKRSDKFPNVYIKHYSFDSLIATCLIEGSKSEDEVLAWFKDEKLRGVHKLFLPMCLSAHWVLFCVDTKEKKISWLDPIPSSRIMSNSVEKQKIFQWFTLYLLPQFGYNDAEKWPFEVRTDIPKQENSIDCGVFVMKYGDCLMHGDFFPFTQKDMIHFRRRIFLDIYRGRLHGKR >KJB29946 pep chromosome:Graimondii2_0_v6:5:27914343:27915478:1 gene:B456_005G124900 transcript:KJB29946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEPFRNKTEKPSMDHPHTTTSDAARVLRKRRKRRNICFGAIALLVFIIILIIILAFTVFKAKRPVTTVDSVSLSDLNFSVDLARFRVLLNATLDVDLSIKNPNKVGFKYRDSNAQLNYRGQQIGEAPIPAGKISADQTVPMNLTLTVMADRLIYNSRFFSDVTTGGVLPLYAVTRISGKVNVMNLFKIQVESSTTCDFTVFLSNSSVGDQNCKYKTKF >KJB30956 pep chromosome:Graimondii2_0_v6:5:49642612:49643549:1 gene:B456_005G1694002 transcript:KJB30956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFLRRTNSSASFVSNQSQESDQTSNNIVNEQEEHYENIPQRFDNWTLPRVPTNQVYKKTTFENLNAFCSYVIKTKERSLHIQKEYETIQLLDKVVINKLKEQRYKYIHFGLVQVGVKPLSVEATKNTSILVVLRDQRHIMFNDSLLGTIETSLCTGPIHFNCYPNFMVSLTDKNILQSLTLQIHTHNYKMLPGTEVLTLVYRLHFKAMYSVVNTKALLQSPKGETLLIETDTTRSHTTIPRTIQWHEINLPDKWKLEGATDPVAPTPIRNTSLSEISQHQDGTVELKFNRPQRMPPRHSFEIGSTSTAFR >KJB29823 pep chromosome:Graimondii2_0_v6:5:25146551:25153267:1 gene:B456_005G120200 transcript:KJB29823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLETMAAAIGVSVPVLRFLLCFVATIPVSFLWRFVPRTLPKHVYSAFSGVVLSYLSFGISSNLLFLVPMLLGYAAMLLYRPKCGIITFFLSFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISSAINYNDGLLKEEDLREAQKKYRLVKLPSLIEYFGYCLCCGSHFAGPVFEMKDYLEWTEGKGIWAPSDKGLSPSPYGATFRALVQAGISMAVYLYLVPYHPLSRFSEPVYQEWGFWRKLSFQYMSGFTARWKYYFIWSISEASIIISGLGFSGWTESSPPKPKWDRAKNVDIPGVELAKSAVVLPLVWNIQVSTWLRHYVYERLITKGKKPGFFQLLATQTVSAVWHGLYPGYMLFFVQSALMIAGSRVLYRWEQATNMGLVKKALVFINFAYTLLILNYSAVGFLVLSLHESLALYGSVYYVGTILPITLILLGYIIPAKPARSKARKQQ >KJB29824 pep chromosome:Graimondii2_0_v6:5:25146618:25153267:1 gene:B456_005G120200 transcript:KJB29824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLETMAAAIGVSVPVLRFLLCFVATIPVSFLWRFVPRTLPKHVYSAFSGVVLSYLSFGISSNLLFLVPMLLGYAAMLLYRPKCGIITFFLSFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISSAINYNDGLLKEEDLREAQKKYRLVKLPSLIEYFGYCLCCGSHFAGPVFEMKDYLEWTEGKGIWAPSDKGLSPSPYGATFRALVQAGISMAVYLYLVPYHPLSRFSEPVYQEWGFWRKLSFQYMSGFTARWKYYFIWSISEASIIISGLGFSGWTESSPPKPKWDRAKNVDIPGVELAKSAVVLPLVWNIQVSTWLRHYVYERLITKGKKPGFFQLLATQTVSAVWHQGLYPGYMLFFVQSALMIAGSRVLYRWEQATNMGLVKKALVFINFAYTLLILNYSAVGFLVLSLHESLALYGSVYYVGTILPITLILLGYIIPAKPARSKARKQQ >KJB27698 pep chromosome:Graimondii2_0_v6:5:313592:315646:-1 gene:B456_005G005300 transcript:KJB27698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPHAFPPRKRRPSAGAFVSPKLADINLVKSLLSLSQEISSFKPFQCLLKRNSVSSINKSKLLSILFDELIKNPASVFFSPSTLLCFEEMYIVLQRIKTLLEDCSNGSKMWMLMQIQVLSNNFHELTLELSTLLDIFPFKEIELSQDVEELVVLVRKQCGKSKPVVDPGDVFLRLEVLTMLDRIEKEIVPDQLKLKKVMEDLGLRDGSSCREEIESLQDEIQNQVDEKSKSDIVSLIGLVRYANCVLFGSSTASKPDHRRQKSTSDLTIPADFRCPITLELMRDPVVVATGQTYDRKSINLWIESGHSTCPKTGQTLIHTNLIPNRALRNLITMWCREQGIPFETVGNNEKVNSVKGTKAAFEATKMTVSFLVNKLSGSQTMEAANGVVYELRALSKTDSDSRACIAEAGAIPILVRYLGSSVGLEHPNLQVNAVTTILNLSILEANKTRIVETDGALNGVIEVLRSGATWEAKGNAAATIFSLSGIHGYRKGLGRKTRVIKGLLDLAKDGPTSSQKDALLAILNLAGDRETVGRLVEGGVIQMVSGVIDELPEEAVAILEAVTRRGGLAAIAAAFNIIKKLGVILREGSDNARESASATLVTMCRKGGPEMVAELAVIPGIERIIWEVMGGGTARGRRKAATLLRILRRWAAGLDLDTNNVVDSSNMITMTVGTSRTILQA >KJB29714 pep chromosome:Graimondii2_0_v6:5:22599517:22605464:1 gene:B456_005G115600 transcript:KJB29714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-ALPHA MDSDYGIPRELSDLQKLRSQYQPQLPPCLEGTTVRVEFGDTTTSLDPADAHTIARAFPHTYGKPLAHFLRATAKVPDAQIITEHPTIRVGLVFCGRQSPAGHNVVWGLHKALKIHNPNSTLLGFLGGTQGLFAQKTLEITDDILSTYKNQEGGYDLLGRTQDQIRTTEQVKAALAACNDLKLDGLVIIGGVTSNTDAAQLAETFAEAKCPTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAASKLTLFDITKQICDAVQARAEQDKNHGVILLPEGLIESIPEVYALLKEIHSLLRQNVSADKISSQLSPWASALFEFLPHFIRKQLLLQPESDDSAQLSQIETEKLLAHLVENEINKRLKAGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHICYHILAAGLNGYMATITNLKNPVNKWRCGAAPLPAMMTVKRWSQNPNAASIGKPAIHPATVDLKGKAYEMLRQNAARFLLDDIYRNPGPLQFDGPGADAKAVTLCVEDQDYMGRIKKLQEYLDKVRTIVKPGCSQEVLKAALSVMASVTEVLSVMSSSSSGGQAL >KJB29715 pep chromosome:Graimondii2_0_v6:5:22599517:22605464:1 gene:B456_005G115600 transcript:KJB29715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-ALPHA MDSDYGIPRELSDLQKLRSQYQPQLPPCLEGTTVRVEFGDTTTSLDPADAHTIARAFPHTYGKPLAHFLRATAKVPDAQIITEHPTIRVGLVFCGRQSPAGHNVVWGLHKALKIHNPNSTLLGFLGGTQGLFAQKTLEITDDILSTYKNQGGYDLLGRTQDQIRTTEQVKAALAACNDLKLDGLVIIGGVTSNTDAAQLAETFAEAKCPTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAASKLTLFDITKQICDAVQARAEQDKNHGVILLPEGLIESIPEVYALLKEIHSLLRQNVSADKISSQLSPWASALFEFLPHFIRKQLLLQPESDDSAQLSQIETEKLLAHLVENEINKRLKAGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHICYHILAAGLNGYMATITNLKNPVNKWRCGAAPLPAMMTVKRWSQNPNAASIGKPAIHPATVDLKGKAYEMLRQNAARFLLDDIYRNPGPLQFDGPGADAKAVTLCVEDQDYMGRIKKLQEYLDKLPYAHNVIFRSVPL >KJB29713 pep chromosome:Graimondii2_0_v6:5:22599435:22605464:1 gene:B456_005G115600 transcript:KJB29713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-ALPHA MDSDYGIPRELSDLQKLRSQYQPQLPPCLEGTTVRVEFGDTTTSLDPADAHTIARAFPHTYGKPLAHFLRATAKVPDAQIITEHPTIRVGLVFCGRQSPAGHNVVWGLHKALKIHNPNSTLLGFLGGTQGLFAQKTLEITDDILSTYKNQGGYDLLGRTQDQIRTTEQVKAALAACNDLKLDGLVIIGGVTSNTDAAQLAETFAEAKCPTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAASKLTLFDITKQICDAVQARAEQDKNHGVILLPEGLIESIPEVYALLKEIHSLLRQNVSADKISSQLSPWASALFEFLPHFIRKQLLLQPESDDSAQLSQIETEKLLAHLVENEINKRLKAGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHICYHILAAGLNGYMATITNLKNPVNKWRCGAAPLPAMMTVKRWSQNPNAASIGKPAIHPATVDLKGKAYEMLRQNAARFLLDDIYRNPGPLQFDGPGADAKAVTLCVEDQDYMGRIKKLQEYLDKVRTIVKPGCSQEVLKAALSVMASVTEVLSVMSSSSSGGQAL >KJB29716 pep chromosome:Graimondii2_0_v6:5:22599785:22604734:1 gene:B456_005G115600 transcript:KJB29716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-ALPHA MDSDYGIPRELSDLQKLRSQYQPQLPPCLEGTTVRVEFGDTTTSLDPADAHTIARAFPHTYGKPLAHFLRATAKVPDAQIITEHPTIRVGLVFCGRQSPAGHNVVWGLHKALKIHNPNSTLLGFLGGTQGLFAQKTLEITDDILSTYKNQGGYDLLGRTQDQIRTTEQVKAALAACNDLKLDGLVIIGGVTSNTDAAQLAETFAEAKCPTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAASKLTLFDITKQICDAVQARAEQDKNHGVILLPEGLIESIPEVYALLKEIHSLLRQNVSADKISSQLSPWASALFEFLPHFIRKQLLLQPESDDSAQLSQIETEKLLAHLVENEINKRLKAGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHICYHILAAGLNGYMATITNLKNPVNKWRCGAAPLPAMMTVKRWSQNPNAASIGKPAIHPATVDLKGKAYEMLRQNAARFLLDDIYRNPGPLQFDGPGADAKAVTLCVEDQDYMGRIKKLQEYLDKVRDFLLPLSCE >KJB28553 pep chromosome:Graimondii2_0_v6:5:5579253:5581418:-1 gene:B456_005G055100 transcript:KJB28553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYPVISTKNPIFLTGSKIPRNQERCSKLSSFQSRSIFRSFHHQNPGIKPKTQFLNPVIGTNLGEVSVRKGKKKKESLWQQGFQEMLGLCGLGYWVQGFRCFPWLALNFHMAYNLNLNPSTLQLVQNSGNLPMVAKPLFGILSDALYIGGAHRIPYICIGVLLQVLSWGQLALFPVAGQALPALMACVLLSNTGAAVTEVAKDALITEYGQKHRITGLQSYAFMALAAGGILGNLLGGYFLVKLPPRMMFLVFSVLLSIQLLVSLSASEECLGLARSLGPVPTGQSVSENIRKQLSDLMIAISEDSIFRPLTWIVASIAMVPILSGSIFCYQTQCLHLNPSVIGMSRVIGQLILLSLTVLYNHYWKQIPMRKLIGVVQILYASSLLLDLALVREINLSIGIPNEVFALCFSGLAETLAQFKILPFSVLLATLCPRGCEGSLTSFLASALCLSSIFSGFWGVGLAAMLGIRSNDYSNLPVGILIQFLAALLPLGWIHHVPMSQPVEKKRKKGMSKRNRKTRRIGRVVVGSVFAYRRERESDAQK >KJB28552 pep chromosome:Graimondii2_0_v6:5:5578885:5581549:-1 gene:B456_005G055100 transcript:KJB28552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSILGVLIGYLIFALEVLSWGQLALFPVAGQALPALMACVLLSNTGAAVTEVAKDALITEYGQKHRITGLQSYAFMALAAGGILGNLLGGYFLVKLPPRMMFLVFSVLLSIQLLVSLSASEECLGLARSLGPVPTGQSVSENIRKQLSDLMIAISEDSIFRPLTWIVASIAMVPILSGSIFCYQTQCLHLNPSVIGMSRVIGQLILLSLTVLYNHYWKQIPMRKLIGVVQILYASSLLLDLALVREINLSIGIPNEVFALCFSGLAETLAQFKILPFSVLLATLCPRGCEGSLTSFLASALCLSSIFSGFWGVGLAAMLGIRSNDYSNLPVGILIQFLAALLPLGWIHHVPMSQPVEKKRKKGMSKRNRKTRRIGRVVVGSVFAYRRERESDAQK >KJB29693 pep chromosome:Graimondii2_0_v6:5:22085092:22091876:-1 gene:B456_005G114300 transcript:KJB29693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRVGHIPSISRDFPSTPASYPSDSTPLLHQRKKKKTPIFPLKNNKNNKGQEKKEYSNEIGGEIAAETMKRKKGRPSLLELQKRSLKQHQQQQALQQNPLNVLNTISSTNSSRRSARRNPNLHGGSPIPDWIAGGGGDDDDDDDDDERQQKKHKLLLGLNSSRNHHHYRIPSAHNSASCGSDSNADGGDPEASLKRRKVTTLSPGSDQMGEKVSKTTHTLHGSPVEFGPTTPLPDKKLLLFILDRLQKKDTYGVFSEPVDLKELRDYCDIVANPMDFSTVRKKLDGGAYTTLEQFEKDVFLICSNAMLYNAPDTVYFRQARSMQELAKKDFENLRQDSDEGEPQLKVVRRGRPPGKSLKKSLESLSSDRVGSEFSSDAALASGTDASGLPSTYNLRKGPGLQKHQPADTLTRPSWGSHSKENYANCSSEWENEFPASVVKAVMKYGMKHFAVDENRRDTYNYSLNSGHEQPIFSTLNGELKQLIPVGLIAENGYVTSLARFAADLGPVVWKIASRKIDSVLPSKVKFGPGWVEEYRSTEQPQCLFSGKQRSSNSTSGNHSSIDLAPATSGSSSIAASRSPLLCNEDIKTIGGLSSQKDLTCAPSHQFQQRPSLHSGIDGSLSGFGIGYAPQMGLALQPMNSFCGNTPNSSAMCAMHASNFVSKETKAEDNSVGLHSRNAMVSHPDLALQL >KJB28587 pep chromosome:Graimondii2_0_v6:5:5776993:5783612:1 gene:B456_005G057000 transcript:KJB28587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHYHIYEAIGRGKYSSVYKGRKKKTIEYFAIKSVEKSQRSKVLQEVRILHSLNDPNILKFHSWYETSAHLWLVLEFCTGGDLMTLLRQDGKLPEDSIHFLAYDLVKALQYLHSKGIIYCDLKPSNILLDENGHTKLCDFALARKLSDISKTPSSMLPQAKRGTPCYMAPELFEDGGVHSYASDFWALGCVLYECYAGKPPFVGREFTQLVKSILSDPPPPLEGSPSPSFVNIVNSLLIKDPAERIKWPELCVHAFWRNKFSQVSLPPQPAFENMIELYAKPCLSERNGDRSSQSKTPPIYREKDPKGASRKDENSLAGSRGHETPVKGTPVGRKTQPKASGRGAEEKHKDHSSAIKRVNLLRLSRIAKTNLQKENEKENYRRPVPNSSENESEVKIENTDMELDFDENTEEEVHDESDGSDVPTCTTEEKISSQNQEQFKVELGDNNVDRADSQAGSNLPASDESKTCDQESSSDHVEVAATPPSVSSQHRNQRIKESPGAALESEWSKTSNSISKVLWHTSDLSVRPVMPSRKSDKMSEALPSLPFEALHPSDFVRMSKEKLDALNNRIISIFGSAGTGEKQNVIRYLEMLSNNAEAANILTNGPIMLMLVKMLRLSKTSAFRVQLASLIGLLIRHSTFIEDDLSNSGILIALSDGLRDYQEKVRRFSMAALGELLFYISTQNEQAKDNNPLESPSKNNKPVSGWQVPNSLVSLVSSVLRKGEDDMTQLYAVRTIENICSQGGYWASRFTSQDVISNLCYIYRAVGKQESMRLTAGSCLVRLVRFNPHITQSVIDKLSLKDIASALNKGSPREQQICLNLLNMVLLGSHLFSNIGRYLQPLVEDKNLVPSLVSLIEQGTETLRGKALLSVALLCKNGKRWLLQFFCNARLLHTVDRLAKEKDNYLQQCLDSFLHEVASTVPGLLDSITGDIQQMMGGRRHGQNSALTSRAAAKNNVNLFPVVLHLIESSSFKSRLVTHQILRQLANLIQVVETPFQGRDDFQITLLRVLESILEESPVILESPNIFTQGILPSLAVLYKGNKDGNARFLCLKIMFDVMVIFLNEPSLKDQSSEDLRLISNSHFLPLYPTLIEDEDPIPMYAQKLLVMLIEFDYIKIPDILDPKMVSKCFGFLLGDLTNANVNNVKLCLALASAPEMDSKLLSQLKVVRKIGNLLELVCAKDMEDFLEPTLGLFKAFLLRSSGNGFVYTKEPTQLLDGSFESSGSVNQQQCIRDIMDFGSNVGVLLDLSASHEPNIADVASECVVLLLKAAPREATTGFLTNLSKAGSILESWRSKGISHLLLNRLLQAVGYSCRQYLSHAMILSISAAEITRIQGIVSELKSSSIPGLANVASVVFSELQRLPRRI >KJB29466 pep chromosome:Graimondii2_0_v6:5:17364368:17369182:1 gene:B456_005G102200 transcript:KJB29466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKNRFYDDCFIINSEKASYLDLLGLLVSSKLKQRRFIDAPEQHNHRFRRRLVVFGMVLLQKLLSLVRIPLALTEIVVVTLLNLLTYNGGLSGLLLNLMKGKLVWPEKSSEMYRSMLGNVDTRVELDNNIKPGDPKYKALLSMMASKLSYENEAFIKTVIIQHWKMKFLKFYSFWNDFEERSTTQAFMMQDTQSNPNLIVVAFRGTQPFSAYDWKTNVDISWYELKDMGKGKIHSGFMKALGMQKTKGWPKEIQQSTHQNQFAYYTLRQKLREVLQENQDARLIVTGHSLGSALAVLFVAVLMLHEEEWLLEKLEAVYTFGQPRVGDHKFGEFMIDKLRKFDVKYFRYVYSNDMVARIPPDDDTFLSKHFGPCFYFNSFYNGKVLSEEPNKNYFSWLWEIPKRMIAVWELIRAFILPYMKGPEYKENWVMITLRIMGLVTPGMSAHMPQDYVNSIRLGTLPSVHQLKRD >KJB30443 pep chromosome:Graimondii2_0_v6:5:39087677:39089342:1 gene:B456_005G144200 transcript:KJB30443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSKRCLTLLEKCKNMKQLKQAHAQAFTSGLRTNSFALSRLLAFCANPNHGSLTYAWNLFTHIQDPTICICNTLIKAFFLKVMISGYGQMGDIDTARLLFDEAPVKDRGIWGAMISGYVKNNCFKEGLYMFRLMQMSDTEPDEAIFVSILSACAHLGALDTGIWIHKYMDQQKFPLTLRLRTCLVDMYAKCGNLGIAKKLFDEMQQRDVVSWNVMISGMAMHGDGGSAIQLFRQMEKDGVRPDDITFIALFSACSYSGLAFEGLKILDRMCNVYKIEPKSEHFGCIIDLLIRGGLFEEANQIIQRMPDSSNPSDEAIAWRALLRSYCSRGQTKLAEVAAEKLMRLEDHSGVYVLLSNLYASSGKHYDAKRTKQMMKSRGVNKAPGCSSIKINGEAHEFIAGEKSHLQMEEIHVILEKLDKQLHHAGLSPYS >KJB31082 pep chromosome:Graimondii2_0_v6:5:52012564:52012905:-1 gene:B456_005G177500 transcript:KJB31082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNSPKHHAKHPRVRWGDTSYAYQVSATTTEPMPYSSNHKPRHSNVDYGYNNVYEDKRTLEIPKAQIIESEYYNPQHVKTSENYEVKEDVNQEAEDFIALEHKKFARLSTWM >KJB30347 pep chromosome:Graimondii2_0_v6:5:36036297:36038512:1 gene:B456_005G138700 transcript:KJB30347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKADWYACLDNRVEFKGCFFRQFFRSVGRVDYLTLRNGFINVHLAPGSKFNFQKYIKRSLEDDFKIVVGVSPVLWASFMVYLLLNVRGWHALFWASC >KJB30346 pep chromosome:Graimondii2_0_v6:5:36036342:36038406:1 gene:B456_005G138700 transcript:KJB30346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKADWYACLDNRVEFKGCFFRQFFRSVGRVDYLTLRNGFINVHLAPGSKFNFQKYIKRSLEDDFKIVVGVSPVLWASFMVYLLLNVRGWHALFWASC >KJB28128 pep chromosome:Graimondii2_0_v6:5:2516075:2517682:1 gene:B456_005G028800 transcript:KJB28128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAIFGETATGFYTPGFLLKNLTDWLTLFGAITAWIKIQSANSALIRASLKTENRTYNCIGTVLAKNGCWSFLKGGFVLDSPSNLALLLFQNSDDKDIDITIDSSSLQPFTDQEWRFNQQFMINTRAVTIHVSDQQGNRLQGAAITINQNWFVERFNAAVFENELKWYATEPDQGKTNYTLADQMLEFVRAHQIIARGHNIFWEDP >KJB30764 pep chromosome:Graimondii2_0_v6:5:45714790:45731159:-1 gene:B456_005G158900 transcript:KJB30764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 23 [Source:Projected from Arabidopsis thaliana (AT1G23230) UniProtKB/Swiss-Prot;Acc:F4I4P3] MDQTQRSVAAAANSRTYQFHPARAAITDLFNLYLGRNSRQKADDSVREPPNKTQKRVLALNRELPPRNEQFLLDFEQLQTQFGDQDQLQSVTESVLISLVIQCCSHAPRAEFLLFALRSLCSIGYVNWDTFLPSLLSSVASAEGPVSQGSQGVPSVSSSVSQSGMLPSTSVIANASNFQSANAASTLTSVHVIGSPAQSTIEPSSGATLSPVKLSDIACNGQPSTTRMDSSIRDNAISNLRQLCCKMILTGLECNLKPVTQAEIFHHMLNWLVNWDQRQHGNEECDGKSWRLEKALIEWLHCCLDVIWLLVEEDKCRVPFYELLRSGLQFIENIPDDEALFTLILEIHRRRDMMAMHMQMLDQHLHCPTFGTHRILSQTTPNVSVEGVANLRYSPITYPSVLGEPLHGEDLAASIQRGSLDWERALRCIRHAIRSTPSPDWWKRVLVVAPFYRGSVPTPGAVFTCDMICEGTIDRIVELLKLTNSEINCWQEWLVFSDIFFFLMKSGCIDFVDFIDKLGSRLSASDHHILNTNHVTWLLAQIIRVEHVMTALNTDSRKVETTRKILSFHREDRSSDPNNPQSILLDFISSCQNLRIWSLNTSTRDYLNNEQLQKGKQIDEWWRQVSKGERMMDYMSMDDKSIGMFWVVSYTMAQPACETVMNWLSSGGVTELLPGANVQPNERFMVMREVSPLPISLLSGFSMNLYLKLVFQMEESLFAGQVVPSIAMVETYTRLLLIAPHSLFRSHFSHLAQRNASLLSKPAVTLLVLEIVNYRLLPLYRYQGKCKPLMYDVTKIISALKGKRGDHRVFRLAENLCINLILSLRDFFSVKREGKGPTEFTETLNRITIITLAITIKTRGIADADHLLYLQTMLEQILATSQHRWSEKTLCYFPSILRDLLMTRTDKRGLAIQEWQQSETTVINQCTQLLSSSTEPNYVMTYLNRSFPQHRQYLCAGAWILMQGHPENINSGNLARVLREFSPEEVTSNIYTMVDVLLHHIHIELQHGHSLQDLLLKTCANLAFFVWTHELIPLDILLLALIDRDDDPHALRIVISLLDRQELQQRVKLYCMNRGPPEHWLYTGIFKRSDLQNALGNHLSWKERYPTFFDDIVARLLPVIPLVVYRLIENDAIESADRMLGMYSLFLAYHPLRFTFVRDILAYFYGHLPGKLIVRILNVLDLSKIPFSESFPQHISSSNPAICPPPEYFATLLLALVNNVIPPLNSNSRTGSMGDASNNSVRGPHNKTPATPQSGPANASEGQKAFYQIQDPGTYTQLVLETAVIEILSLPISASQIVSSLIQIVVNIQPTLIQSSNGLHGASSGAVQGSVLPTSPSGGSTDSAGRSTPSVSGINTSSFVSRSGYTCQQLSCLFIQACGLLLAQLPPEFHLQLYMEASRIIKESWWLTDGKRSLSELDSAVSYALLDPTWASQDNTSTAIGNIVALLHSFFSNLPQEWLEGTHVIIQQLRPVTSVAMLRIAFRIMGPLLPRLVTAHNLFNKILSLLLNTLVDVFGKNTQPPVPVEASEITDLIDYLHHIIHYEGQAGPVQANSKPRPEVLSICGRAAESLRPDVQHLLTHLKPDVNSSIYAATHPKIAQNPS >KJB30763 pep chromosome:Graimondii2_0_v6:5:45718724:45731275:-1 gene:B456_005G158900 transcript:KJB30763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 23 [Source:Projected from Arabidopsis thaliana (AT1G23230) UniProtKB/Swiss-Prot;Acc:F4I4P3] MDQTQRSVAAAANSRTYQFHPARAAITDLFNLYLGVRNSRQKADDSVREPPNKTQKRVLALNRELPPRNEQFLLDFEQLQTQFGDQDQLQSVTESVLISLVIQCCSHAPRAEFLLFALRSLCSIGYVNWDTFLPSLLSSVASAEGPVSQGSQGVPSVSSSVSQSGMLPSTSVIANASNFQSANAASTLTSVHVIGSPAQSTIEPSSGATLSPVKLSDIACNGQPSTTRMDSSIRDNAISNLRQLCCKMILTGLECNLKPVTQAEIFHHMLNWLVNWDQRQHGNEECDGKSWRLEKALIEWLHCCLDVIWLLVEEDKCRVPFYELLRSGLQFIENIPDDEALFTLILEIHRRRDMMAMHMQMLDQHLHCPTFGTHRILSQTTPNVSVEGVANLRYSPITYPSVLGEPLHGEDLAASIQRGSLDWERALRCIRHAIRSTPSPDWWKRVLVVAPFYRGSVPTPGAVFTCDMICEGTIDRIVELLKLTNSEINCWQEWLVFSDIFFFLMKSGCIDFVDFIDKLGSRLSASDHHILNTNHVTWLLAQIIRVEHVMTALNTDSRKVETTRKILSFHREDRSSDPNNPQSILLDFISSCQNLRIWSLNTSTRDYLNNEQLQKGKQIDEWWRQVSKGERMMDYMSMDDKSIGMFWVVSYTMAQPACETVMNWLSSGGVTELLPGANVQPNERFMVMREVSPLPISLLSGFSMNLYLKLVFQMEESLFAGQVVPSIAMVETYTRLLLIAPHSLFRSHFSHLAQRNASLLSKPAVTLLVLEIVNYRLLPLYRYQGKCKPLMYDVTKIISALKGKRGDHRVFRLAENLCINLILSLRDFFSVKREGKGPTEFTETLNRITIITLAITIKTRGIADADHLLYLQTMLEQILATSQHRWSEKTLCYFPSILRDLLMTRTDKRGLAIQEWQQSETTVINQCTQLLSSSTEPNYVMTYLNRSFPQHRQYLCAGAWILMQGHPENINSGNLARVLREFSPEEVTSNIYTMVDVLLHHIHIELQHGHSLQDLLLKTCANLAFFVWTHELIPLDILLLALIDRDDDPHALRIVISLLDRQELQQRVKLYCMNRGPPEHWLYTGIFKRSDLQNALGNHLSWKERYPTFFDDIVARLLPVIPLVVYRLIENDAIESADRMLGMYSLFLAYHPLRFTFVRDILAYFYGHLPGKLIVRILNVLDLSKIPFSESFPQHISSSNPAICPPPEYFATLLLALVNNVIPPLNSNSRTGSMGDASNNSVRGPHNKTPATPQSGPANASEGQKAFYQIQDPGTYTQLVLETAVIEILSLPISASQIVSSLIQIVVNIQPTLIQSSNGLHGASSGAVQGSVLPTSPSGGSTDSAGRSTPSVSGINTSSFVSRSGYTCQQLSCLFIQACGLLLAQLPPEFHLQLYMEASRIIKESWWLTDGKRSLSELDSAVSYALLDPTWASQDNTSTAIGNIVALLHSFFSNLPQEWLEGTHVIIQQLRPVTSVAMLRIAFRIMGPLLPRLVTAHNLFNKILSLLLNTLVDVFGKNTQPPVPVEASEITDLIDYLHHIIHYEGQAGPVQANSKPRPEVLSICGRAAESLRPDVQHLLTHLKPDVNSSIYAATHPKIAQNPS >KJB30762 pep chromosome:Graimondii2_0_v6:5:45719050:45730919:-1 gene:B456_005G158900 transcript:KJB30762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 23 [Source:Projected from Arabidopsis thaliana (AT1G23230) UniProtKB/Swiss-Prot;Acc:F4I4P3] MILFENHRKNKTQKRVLALNRELPPRNEQFLLDFEQLQTQFGDQDQLQSVTESVLISLVIQCCSHAPRAEFLLFALRSLCSIGYVNWDTFLPSLLSSVASAEGPVSQGSQGVPSVSSSVSQSGMLPSTSVIANASNFQSANAASTLTSVHVIGSPAQSTIEPSSGATLSPVKLSDIACNGQPSTTRMDSSIRDNAISNLRQLCCKMILTGLECNLKPVTQAEIFHHMLNWLVNWDQRQHGNEECDGKSWRLEKALIEWLHCCLDVIWLLVEEDKCRVPFYELLRSGLQFIENIPDDEALFTLILEIHRRRDMMAMHMQMLDQHLHCPTFGTHRILSQTTPNVSVEGVANLRYSPITYPSVLGEPLHGEDLAASIQRGSLDWERALRCIRHAIRSTPSPDWWKRVLVVAPFYRGSVPTPGAVFTCDMICEGTIDRIVELLKLTNSEINCWQEWLVFSDIFFFLMKSGCIDFVDFIDKLGSRLSASDHHILNTNHVTWLLAQIIRVEHVMTALNTDSRKVETTRKILSFHREDRSSDPNNPQSILLDFISSCQNLRIWSLNTSTRDYLNNEQLQKGKQIDEWWRQVSKGERMMDYMSMDDKSIGMFWVVSYTMAQPACETVMNWLSSGGVTELLPGANVQPNERFMVMREVSPLPISLLSGFSMNLYLKLVFQMEESLFAGQVVPSIAMVETYTRLLLIAPHSLFRSHFSHLAQRNASLLSKPAVTLLVLEIVNYRLLPLYRYQGKCKPLMYDVTKIISALKGKRGDHRVFRLAENLCINLILSLRDFFSVKREGKGPTEFTETLNRITIITLAITIKTRGIADADHLLYLQTMLEQILATSQHRWSEKTLCYFPSILRDLLMTRTDKRGLAIQEWQQSETTVINQCTQLLSSSTEPNYVMTYLNRSFPQHRQYLCAGAWILMQGHPENINSGNLARVLREFSPEEVTSNIYTMVDVLLHHIHIELQHGHSLQDLLLKTCANLAFFVWTHELIPLDILLLALIDRDDDPHALRIVISLLDRQELQQRVKLYCMNRGPPEHWLYTGIFKRSDLQNALGNHLSWKERYPTFFDDIVARLLPVIPLVVYRLIENDAIESADRMLGMYSLFLAYHPLRFTFVRDILAYFYGHLPGKLIVRILNVLDLSKIPFSESFPQHISSSNPAICPPPEYFATLLLALVNNVIPPLNSNSRTGSMGDASNNSVRGPHNKTPATPQSGPANASEGQKAFYQIQDPGTYTQLVLETAVIEILSLPISASQIVSSLIQIVVNIQPTLIQSSNGLHGASSGAVQGSVLPTSPSGGSTDSAGRSTPSVSGINTSSFVSRSGYTCQQLSCLFIQACGLLLAQLPPEFHLQLYMEASRIIKESWWLTDGKRSLSELDSAVSYALLDPTWASQDNTSTAIGNIVALLHSFFSNLPQEWLEGTHVIIQQLRPVTSVAMLRIAFRIMGPLLPRLVTAHNLFNKILSLLLNTLVDVFGKNTQPPVPVEASEITDLIDYLHHIIHYEGQAGPVQANSKPRPEVLSICGRAAESLRPDVQHLLTHLKPDVNSSIYAATHPKIAQNPS >KJB29387 pep chromosome:Graimondii2_0_v6:5:14915479:14917842:1 gene:B456_005G097800 transcript:KJB29387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFSAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVAEITNTAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAEVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGADSAEGDEEDEGDEY >KJB31627 pep chromosome:Graimondii2_0_v6:5:57393977:57395189:1 gene:B456_005G198800 transcript:KJB31627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAIPYKSWPLPCIDATSHRARSTTTLGHNGRGKDVLNIVSENAVIVLARKGCCMSHVVRRLLLTLGVNPAVHEIDEEDEAGVLNELGTICKGTENNKMVQLPAVFIGGRLFGGLDKVMATHISGDLIPVLKDAGALWL >KJB27499 pep chromosome:Graimondii2_0_v6:5:62949815:62950331:1 gene:B456_005G250700 transcript:KJB27499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLPRIISSKKVPKGYFAVYVGENQKRFVIPVSFLNQPLFQDLLGMSEQEFGYSHPTGGITIPCNEDIFLVVTSRLN >KJB28208 pep chromosome:Graimondii2_0_v6:5:3235612:3237951:1 gene:B456_005G034300 transcript:KJB28208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKICMLLLYSTMAATLLTHCDARTSMGMMKDPKHGRSSVIKHTNKLQLMSKLMSLVMEQPTDNSNTQPYGVSSPFSLPPFDSLPPLNSPPYFPPPPSLSGTVLSPNPPGVLPSPPQTIPTPMSPSGPILSPPSPIESNPSPTVFFPPIVYPPPTVPPPPNMAPTTALWCVAKPSVPDPIIQEAMNYACAAGADCDSIQPSGSCFQPDSLFAHASYAFNSYWQKSKLDGGSCEFGGTAILVAIDPSYNGCHFEYQY >KJB27765 pep chromosome:Graimondii2_0_v6:5:597765:601667:-1 gene:B456_005G008700 transcript:KJB27765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMLITKARDFVVLFVILFFSAVLTAECFEYHRRLLHQPFFPVTSQPPVYPPSSSSPFPSPEPLPHLKQQPKYPFSTTPPSTPHSPFFLSFPSPPPPPSQPSTLPTFPANISSLLIPHSPSPSSHRRHLLLVSLSSALLAAAIIFSLAVLTLFLRHRSHQNTSSDDKASRSDSLRLFPPNIPPSDASQKPPTPPPPPHQQPQQPPRYVSTNRSSEFLYLGTLVNTRVDAAKATVSSNGGIKLGVSSPPYQKLGSPELNPLPPLPKVQTFQSGEQFLQSPSMGCFENTIEVEEDEFFSPRGSSHGKESPPPPPQQPPQPPPPQEPAVRVESSSRREFNGDNYGSRSFNSRTASYPYSNSCSPSNSFLNSSPPSQRSTVVPIYTVQIKNPSSTSPSSSRLSSSSSERYSPDRSSSFSAQNKESPSRVVYKKLPPPPPPLPPPRFWEVPAAKNPEPGGPPVLVAPSRPVVLQNNESIEKTTAETPKPKLKPLHWDKVRASSDRAMVWDQIKASSFQLNEEMIETLFTANNLNSATKENGRRQSLPSVNQENRVLDPKKSQNIAILLRALNVTIEEVCEALMEGNSDTLGTELLESLLKMAPTNEEERKLKDFTDESPFKLGPAEKFLKAVLDIPFAFKRVDAMLYIANFDSEIEYLKRSFETLEAACGELRNSKMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRAEGSRLSNANQNPKAEKIQQSDLQDDVEFRKLGLEVVSGLSGELTNVKKAAAMDSDVLSIDVAKLATGISKIREVRKLNEEVALKDSSRKFSESMNEFLKKAEEEIIKIQAQDRVALSMVKEITEYFHGNSTKEEAHPFRIFMVVRDFLSILDQVCKEVAKVNERTIYSSARPLPNPIPPPVFPGLNTQHYSSSDDETSSSSS >KJB31104 pep chromosome:Graimondii2_0_v6:5:51726167:51727497:-1 gene:B456_005G176200 transcript:KJB31104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHHPETNPHFVDPEELTEEQRQYLPSTLLPRRDHAHRMPSRGRHARQPQPRQSQEEHPRPLGPSPPQPPHEGQPHLPLHVWVPPVHHEDQSQPQSQPRQGQQRQPLEMWVPPTSKTQVPAPKKEKSRPRKEVGMQPQLQDQHPEASLVRPQPEHHPSPVTMTPLHHDRQPQELRPHSRLPQDRRTKPHTWFGAVFCIIFWLVIIIGGIIVLIVYLVFRPRSPHFDVISVTWNAAYLDMGYLLNADLTVLANFTNPNKKVSVDFSYLYLDLYFENTLIATQYIEPFSATRGQSIFANIHMVSSQVKLSMKESMLLQKQIQNNRVIFTVKGMFRARSNLGSFLKYSYWLHGQCGIMLSSPPTGVLRDKKCKTKH >KJB31294 pep chromosome:Graimondii2_0_v6:5:54152827:54154011:1 gene:B456_005G1844001 transcript:KJB31294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLDLGIKSSQAKSLGTLVSITGAFVVTLYKGLPLTTSPRKYSFLHQVLGIPHSNWIIGGFFLASHSVIFAIILNVQTWIIRRYPAEMLVTLICSIIVAILSSVVSLMVEKDPNAWKIGFNMELIATVYTAAFAVAFRSVVHKWALRKKGPIYVSMFKPLEMVIALAMGIAFLGDKLYLGSLLGAAIIAIGFYAVIWGQAQEEKMVHDAQSSNKDPLLPNTTHTLVV >KJB29425 pep chromosome:Graimondii2_0_v6:5:15767248:15768280:-1 gene:B456_005G100100 transcript:KJB29425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHTVYTLSEVSRHKSKNDCWLVIDGRVLNVTKFLEEHPGGEEVLLESAGKDATKEFNDIGHSKSAQNLLLKYQIGVLQGHTLKNNEQVGSTEEPKKKEMSAFVIKDDLTPKYTAVVEFAAPLLVAGSYFCYRYLTPSS >KJB28255 pep chromosome:Graimondii2_0_v6:5:3537112:3539676:1 gene:B456_005G037500 transcript:KJB28255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQHFSHPHPLVSFKYQTVAREEVDPEAALCLGCEKPVEGWSYGCNQCEFYLHKGCAELELAPKIQNPFHPKHPLTLLPNSPQSRSGFCDLCGKNYGGFVYYCNDCNFDLHINCALLQSSIAANFPNSLHPHSLHFIQNYNKEVEPDCPGCQKPISGPFYHCSDCTYPTFFNLHKECAELPLEINHPCDRKHPLTLLPQPPTHPQKCSCYLCRIQWKGFVYSCSLCNFDLSLDDFLFSPPTITVASHEHPWMLVSRKMSFVCDCCGTDGYHSPYFCATCHLLVHKNCISLPRNIRITRHCHVICFSYSFQQNQVEDCMCRICYTEVDTSYGRYCCSASGCDYIAHAHCATNKSIWDGTIIEEGYDEKHGPSNLITDVIEQISIEEIMVASKIKHSYHHHNLRLTFSGEIKDDSQCDGCMRPISNPFYSCEQCKFFLHKNCAELSKEMGHPFHKHALTLTNSRTLVEYSICNACSHWYQGFSYRCYRRGCSLNFDIRCMLMLNTLNHPSHDHSLFLEHNRQRDCSACSGTMEPWSVAYRCMKRCDYTLDVGCATLPLTAWYKYDKHPLTLTYSDESEPSQLYCDLCEKERQPNSWFYYCADCDNSLHLNCALGDLPYMKLGNKIKGTRHRHPLTVVKNIWNCPPCVECRELCNGQALECKETGCNFTVHWDCCWDLTF >KJB28224 pep chromosome:Graimondii2_0_v6:5:3346854:3353005:1 gene:B456_005G035200 transcript:KJB28224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATFLSSRTTVLPATKPATAIPNRLQCLRVTAQSQATVTADPPSPSAVKLNKYSSRITEPKSQGASQAMLYGVGLSEDDMSKPQVGISSVWYEGNTCNMHLLKLSEEVKRGVEEAGMIGFRFNTVGVSDGISMGTRGMCFSLQSRDLIADSIETVMSAQWYDGNISIPGCDKNMPGTIIAMGRLNRPSLMVYGGTIKPGHFQGNTYDIVSAFQCYGEYVSGSISDEQRKNVILNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSIPAEDPLKLDECRLAGKYLLELLKMDLKPRDIITQKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLELTLDDFQKVSDEVPFLADLKPSGKYVMEDVHKIGGTPAVIRFLLEHGYLDGDCMTVTGKTMAENAQSYPRFPDGQDIIRPVSNPIKKTGHIQILRGNLAPEGSVAKITGKEGLYFSGPALVFEGEEAMLAAISENPSSFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKDVALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQNGDFISIDVQKRAINVELTDAELNERRKKWSPPPYKANRGVLHKYIKNVQPASVGCVTDE >KJB32628 pep chromosome:Graimondii2_0_v6:5:63040089:63043413:-1 gene:B456_005G252100 transcript:KJB32628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPDVDVNQNQNQNKNVKAEDILCPLAEYEECDFSKLLEKPRLLNIDRQRSLDERSLSELSIGISPRHATRAIDPNSYRFFEQLDSICSPVGRRSGFSTPRSQIGFDPHPMVAEAWEALRRSLVYFRGQPVGTIAALDNTEENLNYDQVFVRDFVPSALAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVRNNETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDTSLAELPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDDEGKEFIERIVKRLHALSYHMRSYFWLDLKQLNDIYRFKTEEYSHTAVNKFNVMPDSLPEWVFDFMPVYGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSTAIMDLIESRWEELVGEMPLKVCYPAMETHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACVKTGRPQIARRAIEIAEARLLKDHWPEYYDGKLGRYIGKQSRKAQTWSIAGYLVAKMMLEDPSHLGMIAIEEDKQMKPILRRSYSWTL >KJB32627 pep chromosome:Graimondii2_0_v6:5:63039896:63044075:-1 gene:B456_005G252100 transcript:KJB32627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPDVDVNQNQNQNKNVKAEDILCPLAEYEECDFSKLLEKPRLLNIDRQRSLDERSLSELSIGISPRHATRAIDPNSYRFFEQLDSICSPVGRRSGFSTPRSQIGFDPHPMVAEAWEALRRSLVYFRGQPVGTIAALDNTEENLNYDQVFVRDFVPSALAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVRNNETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDTSLAELPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDDEGKEFIERIVKRLHALSYHMRSYFWLDLKQLNDIYRFKTEEYSHTAVNKFNVMPDSLPEWVFDFMPVYGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSTAIMDLIESRWEELVGEMPLKVCYPAMETHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACVKTGRPQIARRAIEIAEARLLKDHWPEYYDGKLGRYIGKQSRKAQTWSIAGYLVAKMMLEDPSHLGMIAIEEDKQMKPILRRSYSWTL >KJB30731 pep chromosome:Graimondii2_0_v6:5:45052358:45053235:-1 gene:B456_005G157500 transcript:KJB30731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFIRSSVSATFLLLVLLAEMGPTTVEGRKCESPSHKFKGMCMNRDNCATVCQTEGYEDGKCEGFRRRCICIKPCF >KJB32031 pep chromosome:Graimondii2_0_v6:5:60230885:60232318:-1 gene:B456_005G219100 transcript:KJB32031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSCFSQNVLLVTLLLAIAVSLVESQGTKVGFYSTSCPRVESIVRSTVQSHFGSDPTIAPGLLRMHFHDCFVHGCDASILIDGPGTEKTAPPNLLLRGYEVIDDAKTQLEAACPGVVSCADILALAARDSVVLTRGASWAVPTGRRDGTVSQASDAANLPGFRDSVDVQKQKFAAKGLNTQDLVTLVGGHTIGTTACQFFRYRLYNFTTTGNGADPAITAAFVSQLQALCPQNGDGSRRIGLDTGSVNRFDNSFFANLRDGKGILESDQRLWTDASTKTFVQRFLGIRGLLGLTFNIEFGRSMVKMSNIEVKTGTVGEIRKVCSKVN >KJB32445 pep chromosome:Graimondii2_0_v6:5:62219918:62220280:-1 gene:B456_005G241100 transcript:KJB32445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMISKQLTKNDIEKSLLIPTDAFSIFPVQFDEGCFFNVTAVDGAGKAWDFQCFVQQSKGIVSVGWLQFLSGIDVRVGDTVFLHRKSMDGDDGDDSTAKVKIEVKRKIRLMGEDIWAVVL >KJB27683 pep chromosome:Graimondii2_0_v6:5:262902:263451:-1 gene:B456_005G004700 transcript:KJB27683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSMGETQISTLVPAVEKQNDDSYITKTTNFDTNMVIILAALLCAVLCALVFNSITRCRRRESPEQAAARLAATGLKKRDLRRIPVAVYGMGGASFTATECPICLGEFLDGEKVRVLPKCYHGFHVKCIDRWLMSHSSCPNCRHSLLEHKTENKDVTAGSGRQPTDNGDLVIFVQEGS >KJB32870 pep chromosome:Graimondii2_0_v6:5:63989752:63990958:1 gene:B456_005G267500 transcript:KJB32870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNWSLTRLLLHKTSRTFNATAKAWDKQHLSKWRMPAYTGEQHSKHRFVRMMPVDGCFIVELLRELKHNFQCAPFVKRWMLPTLHWDLIMLENQLPLFVLQKLFELTKGSEESSTCLEQLALCFFNPQLQSQRDARAVNAQGIQNTLHFLDLFRKRNPVSNVVAYEQCHPKCKAYVTSYLFFFDGLIKSAEDVGLLHHKGVLRHCLGSNKEVAKLVNGLCKEIARDGRESYLCKVVHDMNTCCNGSYAWFRAGLVHHYFWVVGISTHGATIVVYFSLIQTGIVFVEDIKNLNKPFYYDLIRCLVLPLHHLFFSKIYNEYKNKIYMYSI >KJB30721 pep chromosome:Graimondii2_0_v6:5:44464461:44470308:-1 gene:B456_005G156900 transcript:KJB30721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMHRVVQSSVSTSDATTTSYDGLTSCKAADIVISDQFPAGLRVLVVDDDITCLKILEQMLHRCRYHVTTCPQAKVALNLLRERKGCFDVILSDVYMPDMDGYKLLEHVGLEMDLPVIMMSADGSTRAVMKGIRHGACDYLIKPIREEELKNIWQHVVRKKWNENKELEHSGSLDDTDQHKQRHDDAEYASSVNDATETSLKPLKKRSNSKEEDDGEIDNDDPSTSKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRISGVAQQGGIANPLCGPVEANVKIGSLGSFNIQALAASGQIPPQTLAALHAELLGRSAGNLVVATDQPALLQATPQGPKCIQVDHGVAFVQHSVKSESSSSKHFSQSFAPVEDVASGFRSWPSNNIGTAGPSNSGGLSSQNGNMLIDLLQQQQQLQKPQQRSTVSELRRSINVQPSCHVVPSQSSASFRAGNSPVSVTQNGSYSRTAVIDYSLLSSQSNCPSLNIGQVSDVNLQTTGVLSGYIPPASVSPSVSSCSVNADNCASQQVQTSSMTFKASRRLPGFVHSTSNIPDPYGSTKSGDLLNQEPFNNLGYINKGTCLPAKFAVDEFQSHLSSSSHGKVFSENIGTRVKQEPSMEFGDNAKVGIPMLQQFPPNDLMSVFTE >KJB30720 pep chromosome:Graimondii2_0_v6:5:44464680:44470230:-1 gene:B456_005G156900 transcript:KJB30720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMHRVVQSSVSTSDATTTSYDGLTSCKAADIVISDQFPAGLRVLVVDDDITCLKILEQMLHRCRYHVTTCPQAKVALNLLRERKGCFDVILSDVYMPDMDGYKLLEHVGLEMDLPVIMMSADGSTRAVMKGIRHGACDYLIKPIREEELKNIWQHVVRKKWNENKELEHSGSLDDTDQHKQRHDDAEYASSVNDATETSLKPLKKRSNSKEEDDGEIDNDDPSTSKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRISGVAQQGGIANPLCGPVEANVKIGSLGSFNIQALAASGQIPPQTLAALHAELLGRSAGNLVVATDQPALLQATPQGPKCIQVDHGVAFVQHSVKSESSSSKHFSQSFAPVEDVASGFRSWPSNNIGTAGPSNSGGLSSQNGNMLIDLLQQQQQLQKPQQRSTVSELRRSINVQPSCHVVPSQSSASFRAGNSPVSVTQNGSYSRTAVIDYSLLSSQSNCPSLNIGQVSDVNLQTTGVLSGYIPPASVSPSVSSCSVNADNCASQQVQTSSMTFKASRRLPGFVHSTSNIPDPYGSTKSGDLLNQEPFNNLGYINKGTCLPAKFAVDEFQSHLSSSSHGKVFSENIGTRVKQEPSMEFGDNAKVGIPMLQQFPPNDLMSVFTE >KJB31111 pep chromosome:Graimondii2_0_v6:5:51842142:51847599:1 gene:B456_005G176800 transcript:KJB31111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQRPSVGNGIIGRWKTAWASRNSVKTFTIAAFFAVAFSLFILSGRQRNLGGSGTCDFPAVFNFGDSNSDTGGKSAAFHRIPLPNGNTFFQKPSGRYCNGELIIDFIAEKLGLPHLSAYLDSIGTNFRHGANFATGGSTIQRLDARMFKIGYSPISLDIQLSQFEQFKERINELYKEGVNSNIKSKLPRPEDFSQALYTFDIGQNDLDCAFKSMTEKQAIESVPGIINQFAQAVKRLHNQGARTFWIHNTGPIGCLPFEVLDYPQQHENVDQNGCLRSLNEIAHEFNRQLKDSVIQLRLQFPDAALTYVDIYSAKYSLISHAKHHGFTNPLGYCCGHHRDNLCWRKKTSNGTEISATPCSNPSAYISWDGIHYSHAANLWVANKVLDGLVSDPPTPITEACHRSLNL >KJB31705 pep chromosome:Graimondii2_0_v6:5:57929447:57931493:-1 gene:B456_005G202400 transcript:KJB31705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVGVQGDGNGEMALSLGSKNKYKRMDSELSDDFEDDASHHHHQLERKKSTRKYVLACAIFASLNNVLLGYDVGVMSGAIIFIQEDLKITEVQEEVLVGILSIISLLGSLAGGRTSDVIGRKWTMAFAAIVFQIGAAIMTLASSFEVLMIGRVLAGVGIGFGVMIAPVYIAEISPTVDRGSLTSFPEIFINLGILLGYVSNYAFSGLSVHINWRVMLAVGILPSVFIGFALFIIPESPRWLVMQNRVEEARSVLLKTNENDKEVEERLSEIVAAAGISNGEKNEEKAVWRELLSPSPSLRRMLITGFGIQCFQQITGIDATVYYSPEIFHDAGIVNKSKLLAATVAVGVTKTAFILIATFLVDRVGRKPLLYVSTIGMTVCLFTLSISLAVLGKGQLGIAMALLCVCGNVAFFSVGMGPVCWILTSEIFPLRLRAQASALGAVGNRVCSGFVAMSFLSLARTITVGGTFFLFSLFSALSVAFVYKLVPETKGKSLEQIELLFQNHNEWDGSEMELGDAQHLVHKA >KJB31704 pep chromosome:Graimondii2_0_v6:5:57929341:57931713:-1 gene:B456_005G202400 transcript:KJB31704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVGVQGDGNGEMALSLGSKNKYKRMDSELSDDFEDDASHHHHQLERKKSTRKYVLACAIFASLNNVLLGYGVMSGAIIFIQEDLKITEVQEEVLVGILSIISLLGSLAGGRTSDVIGRKWTMAFAAIVFQIGAAIMTLASSFEVLMIGRVLAGVGIGFGVMIAPVYIAEISPTVDRGSLTSFPEIFINLGILLGYVSNYAFSGLSVHINWRVMLAVGILPSVFIGFALFIIPESPRWLVMQNRVEEARSVLLKTNENDKEVEERLSEIVAAAGISNGEKNEEKAVWRELLSPSPSLRRMLITGFGIQCFQQITGIDATVYYSPEIFHDAGIVNKSKLLAATVAVGVTKTAFILIATFLVDRVGRKPLLYVSTIGMTVCLFTLSISLAVLGKGQLGIAMALLCVCGNVAFFSVGMGPVCWILTSEIFPLRLRAQASALGAVGNRVCSGFVAMSFLSLARTITVGGTFFLFSLFSALSVAFVYKLVPETKGKSLEQIELLFQNHNEWDGSEMELGDAQHLVHKA >KJB29517 pep chromosome:Graimondii2_0_v6:5:18227018:18227320:-1 gene:B456_005G104900 transcript:KJB29517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPLVQQPPPVTETQQPYNSSTSHASFGPVIAVLLVILILGIVAGTMARLCIGRKFIGEGEYESEGWIERKCSSCVDGRIYSPQPMANSSVPTSNPHHL >KJB28842 pep chromosome:Graimondii2_0_v6:5:7853222:7854021:-1 gene:B456_005G072100 transcript:KJB28842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMIYLTLVRADLQIHNDSPIIDRNSPFYDDINGAGPMYAEGGKPVAKSDAKSKCCNNHPELGKCILGEDDNPDKDGKCWNYCIIDCERGGVCKLISDVHHECHCAC >KJB28690 pep chromosome:Graimondii2_0_v6:5:6610243:6610395:1 gene:B456_005G062900 transcript:KJB28690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPTRKINLISCDPIDHVSDIKLIRTDTTLDLSQKAEKGMLSIIKHRAI >KJB29697 pep chromosome:Graimondii2_0_v6:5:22232240:22234796:-1 gene:B456_005G114700 transcript:KJB29697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILSNSLVLPTSLPFQLSSSGSHLKTAGGASPTNLSFNCNGLGKLHLSSSRRPVVTVQASYSDGERPSSASIFVGGFILGGLVVGALGCVFAPQISKAVAGADRKDLMKRLPKFIYDEEKALEKTRKILTEKIAQLNSAIDDVSAQLRSEDAPNGVAVNSDEIETAI >KJB32308 pep chromosome:Graimondii2_0_v6:5:61660659:61662257:-1 gene:B456_005G234500 transcript:KJB32308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIWSWICELPNNGDWGESDPHPPLAFTMASSEEGDSSSTRSIQLKAERTMGSDSEVCITFTISFQGFQSSPLWVSDPCPLSSEQPFLPLVLQLLQETISRSPAMPNTICPRSQLQKLKPEPISWIIESHSPESFSSFFNLVLLTRLFWLCSWDAPSEIGSFYFQYLLGPNIEALTFKQVPVLRTFLVSTGVDTELCFMRTLGYMLTKWIILSELSVGLKTLITPLKGQQQHLGFSYATEAHGYWTLKGYAPVNAMKLLHSSDQKSQFPATGAKGSLLRYALAHQQLEAVIQLEYTIGFHDGYIQVNARVDNLRFHVARLGFSKKNDDMDDFLNEKHFPSRIRVWVGPEVGSTYVSGLTLGRSTNNGENEVETQRILKGSFGKYSKDSSHVKARARVSMKNKMKNWRWDQDAEGNAAVFDAVLCDNVTGQEIATWKSLDQNSNGSSHGFRHRYYGANRPFTKAGGLVFAGDDYGEGVGWRLNRDMEGSVLKWRIGGEVWLSYWPNNVRSSYVETRCVEWCDEVDLPLIPPEK >KJB27678 pep chromosome:Graimondii2_0_v6:5:236692:238500:1 gene:B456_005G004200 transcript:KJB27678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLFHNTSIFCNPTRTHGCKLHVLTMVSLPSKAHGTITSPGCSDERTARIRVVNFLDKIKAIPFKDTNGILSLMEKDASNWTLSAFNGLLMALLTADEADRAVELFSNASGLGLSPNGWTFSIIIRCLCKRNDLDEAQRVLHHMMENGYNPNVITFTILIDSLCKRGKLGYAFRVLELMGGIGCKPNVQTYNCLLKGLCYIGKVEQAHEMLMNMEKESIKPDIYSFTAIMDGFCKVGRSDEAMELLNQALVMGLEPNVVIFNTLFTGYNKEGRPQHGFKVLKLMKDKNCSPDSISYSTLMSGLLKWGKTRAALKVYKEMMGIGFEVEGKMLSSLLRGLCMKSWEEKDLVQDAYQVFDKMRKKDSIIDHSSYGFMIRTLCMVRKMEEAVYHLKEMIGMGYIPRTITFNNVIQGLCIEGKIHEALVVLVTMYENGKIPSRTSYDMLVKEFNRQGLLLGACNVYGAALKQGVVQHRIPIRLP >KJB32405 pep chromosome:Graimondii2_0_v6:5:62086149:62086993:-1 gene:B456_005G239600 transcript:KJB32405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQKLEMCVELMKLAVEFVVVVAEAVGIVIHQNHSPPVMTASRSFATPVPLVGFLP >KJB28539 pep chromosome:Graimondii2_0_v6:5:5327924:5329963:1 gene:B456_005G053800 transcript:KJB28539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLGDPTSAAPALKPESIADPRILHAQALKSSRVDRSIFNNLITLYAKSNLLDSSLRVFKQIPSPNIVSWTSLISVHSSSPLSLTLFLSMLRCPILPNQRTLASLFKACVSLPRCLLFGLSLHALSFKLSLNAQPFSGSALVNFYSKYRLPIDARKVFDEMPERDEVCYAAVIIGLAQNSKPLESLSLFAAMKSSNVGSTMYSVSGALRAVADLAALEQCRMIHGHAVVTGFDKNVIVGSALVDGYGKSGLVSDARKVFDENIAVMNIVGWNALMAGYAQQGDSSSVIKLFQSMANVRFVPDEYSFLAALSGFYNAGLVGESEIWLKRMKLEYGIEPGLEHYTCLIGALGKAGRLEDAERIATTMPFNPDAAVWRSLLSSCAHHGAADMALRMARRLLELDPNDDSAYTIAANVLSVAGRWSEVAGMRKLMKDRRVKKEVGKSWIEVKGEVHMFMAGDRKHERTEEIYEKLAELMESIEKLGYKPVWDEMLHEVGKGEKKEALWYHSEKLALAYGIVSGAAPAGKPMRIIKNLRICKDCHEAFKYISRAIDKEIIVRDVNRYHKFLNGSCTCGDIW >KJB30169 pep chromosome:Graimondii2_0_v6:5:32836987:32840408:1 gene:B456_005G132200 transcript:KJB30169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAIVTRGRYLEKLFKFVDDQAGPLIDGTKVLKLNPAGLHYVQSRLQALQELDRLLVGAPVDYLRAYVSDLGDHRSLEQLRRILRLLTTLKVVSALAPPARDPTPLSLLPFGRLKVLELRGCDLSTSAAKGLLELRHTLEKIICHNSTDALRHVFASRIAEVKGCPQWNRLSFVSCACNCLLLMDESLQLLPSVETLDLSRNKFAKVDNLQKCAKLKHLDLGFNQLRSISSFSEVCCHVVKLVLRNNALSTLRGIENLKSLEGLDVSCNIICNFSELEFIVGLSFLQSVWLEGNPLCCARWYRAQVFSYFSHPENLKLDDKEINTTDYWKRKIIVASRQKRPSSFGFYSPAKDDAEGEGCINKKRIMVSRLACIESEQDSTYFCSDLDSVSCGNETQSREKILSEDLAEIVDLINRVEQLKKERSILWLQEFKDWIVHASKNSADGGNFNATMLHPQKQNYKKSGKSKRHLIESSRRISKSIQASGDESRLSVLESDGSFADTSTGMHANGYFDHIFPPGITGGFSLPGLRTTDIKQEYQKNYLHDEKSSGSMQAESAHHGIFSVQGGNRMLENASVSQLSTTDTIMESNSSSAYPGSPPHYQNDLLHRRLNLVEEILQLSAESYSVASSDSDTSCTEDDYCEAGLSVLENHNRSVEGHSSSHPFEEDYYEKQNKISQLSENDICLIDSCAEQTFSTTKIIGTDQTTQCPVT >KJB30129 pep chromosome:Graimondii2_0_v6:5:32003391:32005513:-1 gene:B456_005G130900 transcript:KJB30129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGICAKRVVVDARHHMLGRLASILAKELLNGQKVVVVRCEEISMSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGIPAPYDKIKRMVIPDALKVLRLQKGHKYCLLGKLSSEVGWNHYDTIKELERKRKERAQVAYERRKQLTKLRVKAEKVAEEKLGTQLEVISPIKY >KJB30686 pep chromosome:Graimondii2_0_v6:5:43710057:43712400:1 gene:B456_005G155000 transcript:KJB30686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGSSKKMIATQAEMVENKVPIPYRDQCAHLLIPLNKCRQAEFYLPWKCEIERHSYEKCEYELVMERMLQMQKIREEEAKLKQAGKQGVSVPLIPKTANA >KJB30685 pep chromosome:Graimondii2_0_v6:5:43710090:43712258:1 gene:B456_005G155000 transcript:KJB30685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGSSKKMIATQAEMVENKVPIPYRDQCAHLLIPLNKCRQAEFYLPWKCEIERHSYEKCEYELVMERMLQMQKIREEEAKLKQAGKQGVSVPLIPKTANA >KJB32383 pep chromosome:Graimondii2_0_v6:5:61977772:61982819:1 gene:B456_005G238300 transcript:KJB32383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVSIFLPWLLTIPFLVHSSPDQQPKGLLINCGSDVKQTVKETGLTYIPDDGFTFSGNKTSLDAKNLLPILSTLRYFPDKVARKYCYTFQAIKGSKYLVRTIYYYGGFDGGKEPPVFDQIVGGTKWSVVNTTEDYANGLSSYYEIILVAHIKTLSVCIARNNQTVSSPFISAIEVISLDDSMYNSTDLGAYALVTVARSSFGNEDTISFPEDPYYRLWQPFKDDSTDVVSSQSSITTSEFWNKPPAKAFATAITMSTTKKLDVQWPPGLLPSTRYHVSLYFRDDRNSKTNTWRVFSILVNGKTFYSNLNVTTDGVTVYAPNWPLSGKTLISLIPDTKSSIAPLINAGEIYQLMPLSGRTLPQDVMAMEELARGFDNPPLDWSGDPCLPRENSWTGVSCSQEKMPRIIALQLTNLGLTGILAPTVNNLTALHHLWLGDNQISGSIPEMNSVDQLETLHLESNNFTGPIPKSLATLQYLREIFLQHNKLDGPIPQELQGRKGLHIL >KJB32089 pep chromosome:Graimondii2_0_v6:5:60542535:60545850:-1 gene:B456_005G222900 transcript:KJB32089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPTMSLVFILLAVMLQQMIQGSQEPACHMNDLTALKGFAKCLEPDIGGWNWSSSNCCSFTGITCDNSSDIYGRLVGLELGNKRLTGTICESLVGLEQLRILNLSLNSLHGKIPANLFHFRNLEVLDLSNNYLVGPLPSAIHLPSIKYLDLSKNYFSSFISMRFCTSSSHIQYLDLAHNFFGEGLIYLENCTSLQYVFLNGNGLSGTFPENLFRLQHLRVLHLQQNQFSGPLSYGIGNLSNLVEMDISLNDFSGSLPDVFGRLRKLESFSASSNRLTGLLPASLVNSTSLLRIDLHNNSLDGPIRIKCSAMAQVTSLDLASNNFQGPVPASLSSFQSLRALNLARTKLGGVVPFKFRNLHALEFLSLGNTSINNISTALEILQHCKNLTILDLGLNFYLEEMPSNVNLQFTSLTALIIPFCHLRGSLPIWLSSSKMFPSWIGKFKYLFYLDLSNNSFSGEIPESLTGLENLVHKTALLKESCEHISLVKRKEQGRPHLVYDNICTFPPTIDLSHNKFTGPIWPSFGNLKNLHVLNLEENNLSGSIPDSISGMTSLEVLDLSCNNLRLEVLGLSPNNISGDTPHSLVHLSFLSVFNVSYNRLYGEIPSEGQFMTFPEAGFEGNEALCPRLLAPCQTK >KJB31396 pep chromosome:Graimondii2_0_v6:5:55055995:55058308:1 gene:B456_005G189400 transcript:KJB31396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSQDNIIDTVRVIWVVTEGNIASRASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGAHFIVDCCVSGAGEYLMKGFAARECCVSSSLCILILVFGIGYFGSGMEKPKVSILRRSKQHNRSGIDHFEARVDQTHNSLL >KJB29819 pep chromosome:Graimondii2_0_v6:5:24992002:24992987:-1 gene:B456_005G119800 transcript:KJB29819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDKRLTKIFCDICIKEILKGNRPGTHFRKDGWLKIMINFEKETGKGFSQRQLKNRWDALKKNEKLGRNLKANILVVPEAQKFRTSGIDPELEGKLDQMFMGIVATENEEENMRNDVHILNDVHISNDVQIDGNCQKRKNPEISNSHFKTGRKKSSKQIGGATRLSSQIEKLCNAADNMSQAMSSLTPVMDPYGIPQAVKVLDSMSEEVPEASPLYFFALKLLLDKDKRIMFLSINPKIRALWLKSEMEDS >KJB30920 pep chromosome:Graimondii2_0_v6:5:49325923:49326548:-1 gene:B456_005G167900 transcript:KJB30920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTQIFPSLIPSFFSLVRSLHCSYITVARLDRSVCYCPSLSPASTLSYSSTQLFTLTNCRASITVVPSHRTRFIQPVSVFVANNRSGLGSPTTERVDIKKR >KJB28547 pep chromosome:Graimondii2_0_v6:5:5488165:5489615:-1 gene:B456_005G054600 transcript:KJB28547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSVEMLGQECFDLKNKAIAHLHDYSFMDEIPTIDYSLLFSKDHNERANSLEHLGKACQDFGCFYLINGVEERVIEGALKGISDYFELTNQEERSEYLKKNSMDRIRWYLRSDAGENRENLKIVTHPEYHCPSKPDSCKDAIGEYSKGMHEVELGLAKAISTTLGYEETYIEKEFKLEAGFDVATLNPPSLQSKGSTGLAEHTDPGFFVSLIQDVNGGLQVLSHQGNWITVNIPRNTIFIGIGDHLEILTNGKYKSHIHQVILDNNEVKRISMATLHGPSLDTFVAPAPGFINDSHPPTYRGMTYKESLELNGFDEIDVQSSLIQLQMPLSL >KJB29384 pep chromosome:Graimondii2_0_v6:5:14730897:14733331:-1 gene:B456_005G097500 transcript:KJB29384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDFVFITILVLPTLSWLILGLPELLGIRTNIGLKRMEELDPKTFHDTCKSRFPPDEVEIQATTLYSSWQENLKNPYWHPIFRRN >KJB27923 pep chromosome:Graimondii2_0_v6:5:1270486:1272827:1 gene:B456_005G017300 transcript:KJB27923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKNYGHQHPLLLLLNEDQLLVVANCSRCGEVVSTPCFSCAEKCGFYLHKVCADAPFELNHPFHLDHPLLLTKNPGYPTEYYCNFCGKDDDNFVYHCSCELDFHIKCALFTFNIAENNLKELEHVSLQHPLISTENGDSKCFVCWEPLAKYTHFSPDCGFNLHEKCAKLPFKLNHGCHRKHPFVLQLNSQLLSCKICGETCREGLGVVYGCSPCKLAVHIECVSATLDLVVEDKSHEHPFNLFPRRSSFICDACGTEGICAAYICCTCKIMVHKNCTLLPRIIKSKWHDHRLFHKYFLPDEFRSSDCTICHDEVDPEHGSYCCSHCNITFHVHCVTEDKDSYSIVSLENEDEMPNESSISVIERNDAGEATKIKHFKHMHNLMLSPFVGGYENSCHGCMLPISDPFYYCSECGFCLHKACAELPKMMNVWHHDCQEPLALISDKVFKCEECWDVSNAFAYECCGCERKTCLRCVNALSPGARTCLKHEHPLFFYRGHEGKCNACGQPTDGVLRCKDCNFELHIECFSLPITARHKCDEHLLSLTDHDDNSYSKSHHCDICEESRDPNCWFYHCATCDTSAHVDCVLGKYPFLKLGSIYEETGHPHPLTIVKKKYYYPDCDKCSKPCEDVALECSKSQCKYIVHWNCVTPRSLQF >KJB28025 pep chromosome:Graimondii2_0_v6:5:1903014:1903809:1 gene:B456_005G022500 transcript:KJB28025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEVVIVLSLLMRINDVKNNKEVQELGRFSVEEYNRRSQGRLRFSLANGGPSTALVFSQVVGAEKQVVAGIKYYLKIKAMQGGVTKTFESVVLVKPWVQSKDLLNFSPSTH >KJB29953 pep chromosome:Graimondii2_0_v6:5:27952328:27952990:1 gene:B456_005G125200 transcript:KJB29953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKYNANTIYEWNIDGMSEYNILSLLQQMTMVSNVYKNQNRLISDHAIANLLVVGFTGDPSHLKDRNSELLSNLKCKKLTDFKWYKDVFMTKVMQRSDNQQPFWKEKFLAGLPTLLGEKVRNQIRENYRGIVPYEKLTYDELISFTQKEGLKICQDLKLQKQLKK >KJB29208 pep chromosome:Graimondii2_0_v6:5:11971194:11976145:1 gene:B456_005G089300 transcript:KJB29208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSKVETISRLAQWRIDNFSPCSYKKSDPFKVGLWNWHLSIEKNRYMYIRLFPEPSRVSKEQPPYAKFILRISNVGANRRLYISPVHDRPLRTCDDFYWPVDSSFHGRFIIDVEFLDLRICPINGGETVSIWPMDGAIQSMSTQTTLCCLARMLDEGLHADVTIKTAEGTLRAHKAVLSASSPVFESMFHHNLKEKESSTIHIDDMSLESCRALLNYLYGTINQEDFWKHRLPLLGAANKYDIVDLKDACEESLLEDINSQNVLERLQEAWLYQLTKLKKGCMTYLFDFGKIYDVRDEINNFFRQADRELMLDMFQEVLTVWKPKQTLERSIPLQMWKCE >KJB29207 pep chromosome:Graimondii2_0_v6:5:11971194:11974941:1 gene:B456_005G089300 transcript:KJB29207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSKVETISRLAQWRIDNFSPCSYKKSDPFKVGLWNWHLSIEKNRYMYIRLFPEPSRVSKEQPPYAKFILRISNVGANRRLYISPVHDRPLRTCDDFYWPVDSSFHGRFIIDVEFLDLRICPINGGETVSIWPMDGAIQSMSTQTTLCCLARMLDEGLHADVTIKTAEGTLRAHKAVLSASSPVFESMFHHNLKEKESSTIHIDDMSLESCRALLNYLYGTINQEDFWKHRLPLLGAANKYDIVDLKDACEESLLEDINSQNVLERLQEAWLYQLTKLKKGCMTYLFDFGKIYDVRDEINNFFRQADRELMLDMFQEVLTVWKPV >KJB32813 pep chromosome:Graimondii2_0_v6:5:63688876:63688980:-1 gene:B456_005G262900 transcript:KJB32813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWSKAPDSSSGLREKAWVQIPLLTAFAFLQQV >KJB31093 pep chromosome:Graimondii2_0_v6:5:51517092:51520610:-1 gene:B456_005G175700 transcript:KJB31093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKISKGCSRRRSRTSRSGGTDSWSPYGYPTPPQSAYPQQNYYYTPQHPSYAPSPSYNYVQQTPGCVHRTMERKYSRIDDNYQTLDQVTAALAQAGLESSNLIVGIDFTKSNEWTGAMSFNHRSLHHIGHGQNPYEQAITIIERSLSALDEDNLIPCYGFGDASTHDQDVFSFYPEEIFCEGFEEVLARYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQPGLLSPQEQNTIDAIVKASEFPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEITSNIMNPSRKQAKFALSALMEIPSQYKATIELGLLGRRKGDTTERVPLPPPIYGTPNPYSRTSSFQQNVSPYSGYHTSATAPSLSSLDNHQVCPICLVNPKDMAFGCGHQTCCDCGEHLQLCPICRTTIQTRIRLY >KJB29331 pep chromosome:Graimondii2_0_v6:5:13435754:13437560:1 gene:B456_005G095000 transcript:KJB29331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFKKKTSPKGEIEGALLKYLGVERNEVTKDGLFSVGEMECMGCCVNAPMIAVVDYTNRSEGYKYNYYEDVTTQRVVEIVEIVAVGFCQEN >KJB29330 pep chromosome:Graimondii2_0_v6:5:13435796:13437435:1 gene:B456_005G095000 transcript:KJB29330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFKKKTSPKGEIEGALLKYLGVERNEVTKDGLFSVGEMECMGCCVNAPMIAVVDYTNRSEGYKYNYYEDVTTQRVVEIVEIVAVGFCQEN >KJB29329 pep chromosome:Graimondii2_0_v6:5:13435671:13437560:1 gene:B456_005G095000 transcript:KJB29329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFKKKTSPKGEIEGALLKYLGVERNEVTKDGLFSVGEMECMGCCVNAPMIAVVDYTNRSEGYKYNYYEDVTTQRVVEIVEIVAVGFCQEN >KJB28558 pep chromosome:Graimondii2_0_v6:5:5651033:5651822:1 gene:B456_005G055500 transcript:KJB28558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTHRRSSAKASSLPTTSSPMPISSTTSKTIMMTPISTKKTKKNRFKYSSIFFFPSYFRFLGSETS >KJB32502 pep chromosome:Graimondii2_0_v6:5:62413319:62414170:-1 gene:B456_005G243400 transcript:KJB32502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSNKSVVVRQVFAEDLDSELLMIKTAILRYPFVSIDTEFPGTIFKPSKQVIREGNPIINYHYMKLNVDALQVIQLGLSLSDAQGNLPNFDSPFSYVWEFNFRDFDINRDCYASDSIELLKRQGIDFEKNKEKGIDSKDFAKKFWDYGLLFNCYGLKSITWITFHGTYDFGFMLKILTQSPLPLHLHSFMHQLAYFFGYNIFDLKYTFKFLGLLGGLEKIAQTLNVARITGSSHQAGSDSLLTLQCFMKLKSENIFESKWNETNQMLLPPLALYGLVQTVG >KJB29449 pep chromosome:Graimondii2_0_v6:5:16394138:16395627:1 gene:B456_005G101400 transcript:KJB29449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMKVHELSRGLWEHEPSLSLACNPLRTHNSNTLSSFHLKTFITPKPTPTKLASSYQQPHSPQVDMQAGAGGTRWSPTQEQIGILEMMYRGGMRTPNAQQIEQITVQLGKYGKIEGKNVFYWFQNHKARERQKQKRNSLVLNSPPITTITLNTREDGPYKRKCRSWSFEYIEEESGSSSSFKEEETRTLELFPLHPEGR >KJB28439 pep chromosome:Graimondii2_0_v6:5:4726158:4729024:1 gene:B456_005G048300 transcript:KJB28439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFVSLTKYFKKFENIKKKKVREREMDMEIGSQHFGHQHPVVFKDVLSDQTKEAICLRCGEMVFGSSFSCMECEFYLHKKCAEAPSKIDHPFHRNHPLVLLPEPSYKGCLCHFCGKTCEKSVYHCSCKLDFHIKCALFPLNMAEKKLEELKHITIKVPLLFTEDDNKELEKLDCFMCWEPLLESMYFSLDCGFNLHKKCVELPHEIKYPFHKRHPLILQFNVDHFSCKICLQKPSGKGFVYSCSPCRFAVHIKCAEFPPILNLPCHRNHPLFLHVKREGRSCHACRENPSFMTCEETQQNKFYRCSSCTFGLHLECALPPLTIKEQIHKHPFSLFWRQVSFICDACGLEGKFVSYICSTCNLLVHKKCISLPPIIRIPRHKHPIFHKYFLQGHQFKDCDCRLCDCRVEIEYGSYSCFNCKFVVHVKCALEKKGWYKEVDPKDIDRKLAIVPKMSTNPIDCVIEKNDDGEMTKIKHFSHKHNLILSKNLIEEHCDGASLMDKYCDGCVLPISNPFYYCSECDFLLHKSCAKSPKQEQLWFHICQRFLILLVGCIFRCDLCQSESNGFSYMCKKCDVHYCLYCATRNYRIKHQAHKHRLFFDRNHKGRCSACNVSVRGLFKCKSCGAFNLHVDCLRLPLTAWHKCDEHRLALTYKELILIVLSEGIHLSNQEAFTMN >KJB32048 pep chromosome:Graimondii2_0_v6:5:60326314:60329009:-1 gene:B456_005G220400 transcript:KJB32048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTNINYFPFFAPYYITSTLLFDFHKFIIIIKRNEKREKKMNRFIVRSVMQSHLSNGRSISNGHVCSSAIVGRSLELSGQHNVVMLVRFEWRRMMSSTPASVEKASSGREEKMENSVTEETKGKDSSALSYWGISRPKITRADGTDWPWNCFMPWETYSAELSIDLKKRHVPKNFVDKFAFRIVKLLRLPTDIFFQRRYGSRAMMLETVAAVPGMVGGMLLHLKSLRKFQQSGGWIKALLEEAENERMHLMTMVELVKPKWYERLLVLTVQGVFFNAFFVLYMLSPKLAHRIVGYLEEEAIHSYTEYLKDIESGAIENVAAPAIAIDYWRLPKDARLKEVITVIRADEAHHRDVNHFASDIHFAGKELKDAPAPLGYH >KJB32047 pep chromosome:Graimondii2_0_v6:5:60327193:60328997:-1 gene:B456_005G220400 transcript:KJB32047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTNINYFPFFAPYYITSTLLFDFHKFIIIIKRNEKREKKMNRFIVRSVMQSHLSNGRSISNGHVCSSAIVGRSLELSGQHNVVMLVRFEWRRMMSSTPASVEKASSGREEKMENSVTEETKGKDSSALSYWGISRPKITRADGTDWPWNCFMPWETYSAELSIDLKKRHVPKNFVDKFAFRIVKLLRLPTDIFFQRRYGSRAMMLETVAAVPGMVGGMLLHLKSLRKFQQSGGWIKALLEEAENERMHLMTMVELVKPKWYERLLVLTVQGVFFNAFFVLYMLSPKLAHRIVGYLEEEAIHSYTEYLKDIESGAIENVAAPAIAIDYWRLPKDARLKEVITVIRADEAHHRDVNHFASQDIHFAGKELKDAPAPLGYH >KJB32049 pep chromosome:Graimondii2_0_v6:5:60326647:60328960:-1 gene:B456_005G220400 transcript:KJB32049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFIVRSVMQSHLSNGRSISNGHVCSSAIVGRSLELSGQHNVVMLVRFEWRRMMSSTPASVEKASSGREEKMENSVTEETKGKDSSALSYWGISRPKITRADGTDWPWNCFMPWETYSAELSIDLKKRHVPKNFVDKFAFRIVKLLRLPTDIFFQRRYGSRAMMLETVAAVPGMVGGMLLHLKSLRKFQQSGGWIKALLEEAENERMHLMTMVELVKPKWYERLLVLTVQGVFFNAFFVLYMLSPKLAHRIVGYLEEEAIHSYTEYLKDIESGAIENVAAPAIAIDYWRLPKDARLKEVITVIRADEAHHRDVNHFASDIHFAGKELKDAPAPLGYH >KJB28171 pep chromosome:Graimondii2_0_v6:5:2870255:2872290:-1 gene:B456_005G0320002 transcript:KJB28171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISGNHFQIPLSFAPFANLSNLKALLSNENKMVTEPSFYTSIPKFQLEVISLPKCITSQQLSCKLPTFLYYQYDLRYVDLSHNNFSGTVPTWLLENNTKLEDLILKGNSFTGPLSLPSAPNSKVSLIDISQNKLQGQIPANVCSPFPHLSLLFLSKNAFEGDIPPCLSGMKDLSILDLSNNQLSGRVPEELITKNSLTILRLSNNNLSGHVLPVILNANGLSKLYLDGNNFSGEMANVDVSISEFPTSLREINLRNNKFYGNLPRWMGNVSFLERLALSQNGFEGSIPMEFCNLNRLEFLDLSENNLFGSIPSCFNTLNIKHVHLHGSRLSGPLPIAFYNISSLVTLDLRGNNLTGSIPKWIGTLSSLSVLLLKDNHFHGEVPVQLCKLDSLNIIDLSQNMFSGIIPSCLGNLTLSTETNKILTDSKIRPRLTGDGLAKTLGALGNYYYPEGYLEEVIEFTTKSGFLSYEGNILIYMTGIDLSCNNLTGHIPPELGNLSEIYSLNLSHNKLTGVIPSSLAKLHQIESLDLSYNNLSGEIPNQLVELNSLEVFSVAYNNLSGSIPEPKAQFGTFIENSYEGNLFLCGAILHKSCSKTDSPSTISTVSEDKGEDGLIVVLLTIFVVLYINPYWRRVWFSLIGKCITTCRYSNVGNFLTYHIFKQCV >KJB28351 pep chromosome:Graimondii2_0_v6:5:4159925:4162617:1 gene:B456_005G043400 transcript:KJB28351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLYPKRVYSVRDISQFQYLHATDEYVKEHGAVPSSPLQGDHHPSEKGKGRRKRSRLSEEEKRERKRQHDAKYRMKKNQENERLKEENIMLKNKLKGKDSETRLDLYELMEDVDIMQGFPIDLGENQPLNHPQDGFQPQLSFVAQHEVQNQSLVEHQSITYFQDHNPQIHIPLSTAVSNDTGNSLEQSQIISQPELIVPACCLPFVEKLKRKDRHNASYSHHLKTLLQGDTTDFEGYRIPLPLHPVLENIVTIHGDITRSCMLSSFSAENVLLQFLATFKEMEETLSLEQVTEDQILKWKCCIAEALNIKFHVDFAATRLIEIVKSYLDLIARSRMTAIDERIKALEMEMNGLKCEKLEIDRFVLSGSKELVGNFGLF >KJB30192 pep chromosome:Graimondii2_0_v6:5:33441091:33456423:-1 gene:B456_005G133300 transcript:KJB30192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSYPLYNCGTRQNSFLIPGSGSRTSVLGLRWSESQFFCKTHAPSYRLGIIKRKCRHSAVIRAAASGSDYYSTLNVSRGATLQEIKTSYRSLARKYHPDINKTPGAEEKFKEISAAYEVLSDNEKRSLYDRFGEAGLQGEYDGSGDYSAAVDPFEVYNAFFGGSDGFFGGMGEPGGFNFNLRNNGSNDLDIWYELHLNFEESIFGGEREIMVSYLETCNDCGATGAKTSSCIKSCTDCGGKGGSTKSKRTPFGVAIEVSTCSSCGGKGKIITDKCRRCSGCCKVKVKRSMRIIIPPGVADGFTKRIRGEGNVDKKRGFAGDLFVVLRIGVKQGIWRDGLNLYSKINVDYTEAILGTVVKVETVEGIKDLRIPCGIQPGDAVKLSRLGVPDVNKPSVRGDHHFIVNVLIPKDISNKELKLIEEVASLKVSKRSCPSGIGMHEAGESKERASIKRTSRVASLWNSVKTFLGRRESREGFASITADASSALLLRSHCKSDSSLLTVSYFTVFVFTLIWTLMRRNKNCCIGLNQRKHTS >KJB30191 pep chromosome:Graimondii2_0_v6:5:33441340:33456423:-1 gene:B456_005G133300 transcript:KJB30191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSYPLYNCGTRQNSFLIPGSGSRTSVLGLRWSESQFFCKTHAPSYRLGIIKRKCRHSAVIRAAASGSDYYSTLNVSRGATLQEIKTSYRSLARKYHPDINKTPGAEEKFKEISAAYEVLSDNEKRSLYDRFGEAGLQGEYDGSGDYSAAVDPFEVYNAFFGGSDGFFGGMGEPGGFNFNLRNNGSNDLDIWYELHLNFEESIFGGEREIMVSYLETCNDCGATGAKTSSCIKSCTDCGGKGGSTKSKRTPFGVAIEVSTCSSCGGKGKIITDKCRRCSGCCKVKVKRSMRIIIPPGVADGFTKRIRGEGNVDKKRGFAGDLFVVLRIGVKQGIWRDGLNLYSKINVDYTEAILGTVVKVETVEGIKDLRIPCGIQPGDAVKLSRLGVPDVNKPSVRGDHHFIVNVLIPKDISNKELKLIEEVASLKVSKRSCPSGMHEAGESKERASIKRTSRVASLWNSVKTFLGRRESREGFASITADASSALLLRSHCKSDSSLLTVSYFTVFVFTLIWTLMRRNKNCCIGLNQRKHTS >KJB30190 pep chromosome:Graimondii2_0_v6:5:33441022:33456562:-1 gene:B456_005G133300 transcript:KJB30190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSYPLYNCGTRQNSFLIPGSGSRTSVLGLRWSESQFFCKTHAPSYRLGIIKRKCRHSAVIRAAASGSDYYSTLNVSRGATLQEIKTSYRSLARKYHPDINKTPGAEEKFKEISAAYEVLSDNEKRSLYDRFGEAGLQGEYDGSGDYSAAVDPFEVYNAFFGGSDGFFGGMGEPGGFNFNLRNNGSNDLDIWYELHLNFEESIFGGEREIMVSYLETCNDCGATGAKTSSCIKSCTDCGGKGGSTKSKRTPFGVAIEVSTCSSCGGKGKIITDKCRRCSGCCKVKVKRSMRIIIPPGVADGFTKRIRGEGNVDKKRGFAGDLFVVLRIGVKQGIWRDGLNLYSKINVDYTEAILGTVVKVETVEGIKDLRIPCGIQPGDAVKLSRLGVPDVNKPSVRGDHHFIVNVLIPKDISNKELKLIEEVASLKVSKRSCPSGMHEAGESKERASIKRTSRVASLWNSAKRVPRRVCVNYGRRIISVTVAVTLQVR >KJB30189 pep chromosome:Graimondii2_0_v6:5:33441022:33452950:-1 gene:B456_005G133300 transcript:KJB30189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPGGFNFNLRNNGSNDLDIWYELHLNFEESIFGGEREIMVSYLETCNDCGATGAKTSSCIKSCTDCGGKGGSTKSKRTPFGVAIEVSTCSSCGGKGKIITDKCRRCSGCCKVKVKRSMRIIIPPGVADGFTKRIRGEGNVDKKRGFAGDLFVVLRIGVKQGIWRDGLNLYSKINVDYTEAILGTVVKVETVEGIKDLRIPCGIQPGDAVKLSRLGVPDVNKPSVRGDHHFIVNVLIPKDISNKELKLIEEVASLKVSKRSCPSGMHEAGESKERASIKRTSRVASLWNSVKTFLGRRESREGFASITADASSALLLRSHCKSDSSLLTVSYFTVFVFTLIWTLMRRNKNCCIGLNQRKHTS >KJB30629 pep chromosome:Graimondii2_0_v6:5:42413919:42414293:-1 gene:B456_005G152500 transcript:KJB30629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKCGDICWCKSGLGKLKCNVDGAIFTDNGCMGWAAVLRNDEGNFFQCISGFMKSTLSPFLLEIIVVQEALSWLKSLHVDNVIIETNTFHHDYEDALDTGLLCYYCLCFSIIFIVLDL >KJB28141 pep chromosome:Graimondii2_0_v6:5:2682688:2684283:1 gene:B456_005G029900 transcript:KJB28141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQLGVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFSISLITKLLGRIYYFDAHSAKPGTLPPRIAAAVNGVAFCGTLAGQLFFGWLGDKLGRKRVYGLTLMLMVICSIASGLSFGKSPHGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGIVALIVSAAFDHAYKALPYNVDKEHSTAPQADYIWRIILMFGAIPALLTYYWRMKMPETARYTALVARNAKQAAADMSKVLQVDLNAEQEKVDKIGIEPSNSFGLFSKEFAKRHGLHLLGTTSTWFLLDIAFYSSNLFQKDIFSAIGWLPKAETMSATHEVYRVAKAQTLIALCGTVPGYWFTVAFIDHIGRFVIQLMGFFFMSVFMFALAIPYQHWKTHNAGFLIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGSFGFLYAAQSTHPDKVDKGYHTGIGVKNALLVLGAVNCLGMLFTLLVPEPKGRSLEEITGENEEDKGQQQASVGNVPV >KJB31914 pep chromosome:Graimondii2_0_v6:5:59679447:59684136:1 gene:B456_005G214200 transcript:KJB31914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTHGLVQVEEKNRDGTEEEKKDGEDANRAFPFYMLLRYADTLDWTLMALGTLGSIVHGMAQPVGYLLLGKALNAFGDNINDIDGMVKALEKVIPFVWYMAFATFPAGVLEIGCWMYASERQMARLRLAFLRAMLSQEIGAFDTDITSGKIISGMSYHMSIIQDAIGEKLGHFLSSFATFFSGILIAAICCWEVSLLTFVVAPTILVIGATYTRKMIAISATKMLYISEATSMVEQTISQIKTVFAFVGENSAIKSFSECLDKQFSLSKGEALIKGVGTGMFQTVTFCAWALIIWIGAVAVTSRKAKGGDVIAAVMSILFGSVSLTFAAPDIQIFNQAKAAGYEVFKLIQRKPTISYDSKGKEVEKISGDIDIRHVYFAYPSRPEKSIIQGFSLSIPAGKTVALVGSSGCGKSTVICLVQRFYDPLKGEIFIDDHNIKDLDLKFLRKNIGAVSQEPSLFSGTIKDNIKLGYMDASDQQIHDAATMANAHTFISQLPNQYSTEVVHLVSIVGQRGVQLSGGQKQRIAIARAILKNPPILLLDEATSALDSESEKLVQDALERAMQGRTVVLIAHRMSTIVNADIIAVVENGQVTETGTHSSLLDSSNFYNNLFSIQNIGQIRDSRTTETTEESATADKQFSTLDIELKEETRELDGHRTESLEQVEPQRRENTSMFFRIWFGLRKRELANVATGSIAAAFAGVSKPFFGFFIITVGVAYYQKDAKQLVGKYSIIFALIGLLALFMHTLQHYFYGVVGEKAMANLRKALYSGILRNEVGWFEKPENNVGSLTSRVINDTSIVKTIISDRMSVIVQCISSILIATVVSMVVNWRMALVAWAVMPCHFIGGLIQAKSAKGFASDSAATHREVVALASESAANIRTIASFCHEEHILRKARISLEKPMKRSMKESIKYGIIQGFSLCLWNIAHAVALWYTTILVDRKQASFENGIRAYQIFSLTVPSITELWTLIPSVISAINVLTPVFETLDRRTEIEPEKPEVLQLERIKGKIEFQNVKFNYPLRPEVIVLNNFSLQIEPGTKVAIVGPSGAGKSSVLAILLMFYVPLEGRVLIDDKNIKEYNLKMLRKQIGLVQQEPLLFSSSIRNNICYGTEQASETEIMEVSRQANIHEFISNLPDGYDTVVGEKGCQLSGGQKQRIAIARTLLKKPAILLMDEATSALDGESERIIVKALESLNQKGNDGLVSRITRITVAHRLSTIISSDLIVVMDRGEIVESGSHSTLISISEGVYSRLCNLQNAMEM >KJB30292 pep chromosome:Graimondii2_0_v6:5:34807950:34808981:-1 gene:B456_005G136000 transcript:KJB30292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMEASSSMMERTASVGLTSPVLELRDLGSSIELVLITGLNKNFMLYEVISIFEQEGAEVVSASFSTVGGKIFHTLHAQAKLSRVGVETTRVCQRLQELIKSY >KJB30294 pep chromosome:Graimondii2_0_v6:5:34808278:34809739:-1 gene:B456_005G136000 transcript:KJB30294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNSCGGQSNSKPDRKAIEKKRRNDMKNLCSKLASLIPHHNFKPSKEIASQQDQLDLAAVYIKHLRERIEKLKRLKEEAMRSMEASSSMMERTASVGLTSPVLELRDLGSSIELVLITGLNKNFMLYEVISIFEQEGAEVVSASFSTVGGKIFHTLHAQAKLSRVGVETTRVCQRLQELIKSY >KJB30293 pep chromosome:Graimondii2_0_v6:5:34807950:34810006:-1 gene:B456_005G136000 transcript:KJB30293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNSCGGQSNSKPDRKAIEKKRRNDMKNLCSKLASLIPHHNFKPSKEIASQQDQLDLAAVYIKHLRERIEKLKRLKEEAMRSMEASSSMMERTASVGLTSPVLELRDLGSSIELVLITGLNKNFMLYEVISIFEQEGAEVVSASFSTVGGKIFHTLHAQAKLSRVGVETTRVCQRLQELIKSY >KJB29357 pep chromosome:Graimondii2_0_v6:5:14066294:14067865:-1 gene:B456_005G096500 transcript:KJB29357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIATILFLALVISSTKALDPCGPQPDDSDLLVIPIYGKCSPFNPPKPDSLFNTVMNMASKDPARVKYLSSLVAQKTTAVPIASGQQVLNIGNYIVRVKIGTPGQLMFMVLDTSNDVAWVPCSGCNGCSATTFSPNTSSTYGSLDCGLPQCAQVRGLSCPATGSAACSFNQSYGGDSSFSANLVRDSLGLANDIVPDFAFGCINAISGGSVPPQGLLGLGRGPMSLISQSGALYSGVFSYCLPSFKSYYFSGSLKLGPVGQPKNIRTTPLLKSPHRPSLYYVNLTGISVGRVQVPIAPEYLAFNPNTGAGTIIDSGTVVTRFVQPIYKAIRDEFVKHVKGPFTAIGVFDTCFDATAEAEAPLITLHFEGLSMPLPMENSFLHTSAGSRACLAIAPVPNNVNAAMNVIANLQQQNHRILFDVINSRLGIAREACN >KJB27870 pep chromosome:Graimondii2_0_v6:5:1016955:1020711:-1 gene:B456_005G014100 transcript:KJB27870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRHQYPLDLAGQEAFKNFVKGLQPMYEFQSRDILLSDIHRIYNEEREKLRLYFDQLACKLNLTVSLWKNNHGKTAYCCLIAHFIDDGWELKMKILGLRKLEHVYDTKVVGGIIRSFVSEWNISKKVCSITVDNSFLNDGMVHQIRENCVSEQGSLSSAHWFISSTLLEDGFREMDSILSKLWKSIEYVTETTHGKLNFQEAVNQVKLQGGKSWHELSFKLESDSDILDSALRSREIFCKLEQIDDNFMLNLSKEEWEKAVTLQSCFKCFDDIKGTQSLTANLYFPKLCNIYEEFGQLKKSNHPFVRLMKRKFDNYWSLCNVAFTIAAALDPRLKFRSSCNETYDLESMMKLIRFRKVLMDVYFEYANEAKNLSASSSVLDDSNSLTAETTKDCIVSYFSKFASASNVKEVASQKSELDCYLEETLLPSDADILSWWRVNSQRFPTLAKMACDFLAIPVSVSSPCSNISAMTINPAYSSLDPESMEALVCSQNWLESTKENDGEHHEPMQNMDKRKRKMEENDTPTVKVFKNRPHEKASSNGDIASDFNKNDGSLSFDNWMEPQCSSSESVGEKAEIMEASVCNRDRLESSIGKTNHGSNIAAAIGIPYDEPPFNSNQLDRFQSSSSESDDETTLRKQGSWCREDVRTYLVSSFTNKEKKRLNRWKRSELSGKKIGRDKEFQLMGEKLTPLLMVPHCDETLLEYYIDDSVVNTYFKLLKKRSDKFPNVYIKHYSFDSLIATCLIEGSKSEDEVLAWFKDEKLRGVHKLFLPMCLSAHWVLFCVDTKEKKISWLDPIPSSRIMSNSVEKQKIFQWFALYLLPEFGHNDAEKWPFEVRTDIPKQENSIDCGVFVMKYGDCLMHGDFFPFTQKDMIHFRRRIFLDIYRGRLHGK >KJB27871 pep chromosome:Graimondii2_0_v6:5:1018207:1020711:-1 gene:B456_005G014100 transcript:KJB27871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRHQYPLDLAGQEAFKNFVKGLQPMYEFQSRDILLSDIHRIYNEEREKLRLYFDQLACKLNLTVSLWKNNHGKTAYCCLIAHFIDDGWELKMKILGLRKLEHVYDTKVVGGIIRSFVSEWNISKKVCSITVDNSFLNDGMVHQIRENCVSEQGSLSSAHWFISSTLLEDGFREMDSILSKLWKSIEYVTETTHGKLNFQEAVNQVKLQGGKSWHELSFKLESDSDILDSALRSREIFCKLEQIDDNFMLNLSKEEWEKAVTLQSCFKCFDDIKGTQSLTANLYFPKLCNIYEEFGQLKKSNHPFVRLMKRKFDNYWSLCNVAFTIAAALDPRLKFRSSCNETYDLESMMKLIRFRKVLMDVYFEYANEAKNLSASSSVLDDSNSLTAETTKDCIVSYFSKFASASNVKEVASQKSELDCYLEETLLPSDADILSWWRVNSQRFPTLAKMACDFLAIPVSVSSPCSNISAMTINPAYSSLDPESMEALVCSQNWLESTKENDGEHHEPMQNMDKRKRKMEENDTPTVKVFKNRPHEKASSNGDIASDFNKNDGSLSFDNWMEPQCSSSESVGEKAEIMEASVCNRDRLESSIGKTNHGSNIAAAIGIPYDEPPFNSNQLDRFQSSSSESDDETTLRKQGSWCRESQVLQTRRKND >KJB27869 pep chromosome:Graimondii2_0_v6:5:1016802:1020994:-1 gene:B456_005G014100 transcript:KJB27869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKLTPLLMVPHCDETLLEYYIDDSVVNTYFKLLKKRSDKFPNVYIKHYSFDSLIATCLIEGSKSEDEVLAWFKDEKLRGVHKLFLPMCLSAHWVLFCVDTKEKKISWLDPIPSSRIMSNSVEKQKIFQWFALYLLPEFGHNDAEKWPFEVRTDIPKQENSIDCGVFVMKYGDCLMHGDFFPFTQKDMIHFRRRIFLDIYRGRLHGK >KJB27872 pep chromosome:Graimondii2_0_v6:5:1016802:1021959:-1 gene:B456_005G014100 transcript:KJB27872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGLQAFEKAFESRDGYHFEPSLTKVEDLRYFMLKDCNIDVAVAICLQNRHTSDEVYIVEFYWPPIESEISKSLALRIFHDLKHMKTTFVTVKVQGPEIKFQEEAISSIPTSSNTAMPLKIAEEARDIHAIEINAHIEQIVETKRNKQRKSWSKVWVDFDKFEKHGKQVAKCKHCPKELTGSSKSGTTHLNNHSKVCPGKKKQHQESQLILPVDTNERSATFDQERSHLDLVKMVIRHQYPLDLAGQEAFKNFVKGLQPMYEFQSRDILLSDIHRIYNEEREKLRLYFDQLACKLNLTVSLWKNNHGKTAYCCLIAHFIDDGWELKMKILGLRKLEHVYDTKVVGGIIRSFVSEWNISKKVCSITVDNSFLNDGMVHQIRENCVSEQGSLSSAHWFISSTLLEDGFREMDSILSKLWKSIEYVTETTHGKLNFQEAVNQVKLQGGKSWHELSFKLESDSDILDSALRSREIFCKLEQIDDNFMLNLSKEEWEKAVTLQSCFKCFDDIKGTQSLTANLYFPKLCNIYEEFGQLKKSNHPFVRLMKRKFDNYWSLCNVAFTIAAALDPRLKFRSSCNETYDLESMMKLIRFRKVLMDVYFEYANEAKNLSASSSVLDDSNSLTAETTKDCIVSYFSKFASASNVKEVASQKSELDCYLEETLLPSDADILSWWRVNSQRFPTLAKMACDFLAIPVSVSSPCSNISAMTINPAYSSLDPESMEALVCSQNWLESTKENDGEHHEPMQNMDKRKRKMEENDTPTVKVFKNRPHEKASSNGDIASDFNKNDGSLSFDNWMEPQCSSSESVGEKAEIMEASVCNRDRLESSIGKTNHGSNIAAAIGIPYDEPPFNSNQLDRFQSSSSESDDETTLRKQGSWCREDVRTYLVSSFTNKEKKRLNRWKRSELSGKKIGRDKEFQLMGEKLTPLLMVPHCDETLLEYYIDDSVVNTYFKLLKKRSDKFPNVYIKHYSFDSLIATCLIEGSKSEDEVLAWFKDEKLRGVHKLFLPMCLSAHWVLFCVDTKEKKISWLDPIPSSRIMSNSVEKQKIFQWFALYLLPEFGHNDAEKWPFEVRTDIPKQENSIDCGVFVMKYGDCLMHGDFFPFTQKDMIHFRRRIFLDIYRGRLHGK >KJB28534 pep chromosome:Graimondii2_0_v6:5:5289768:5292114:-1 gene:B456_005G053500 transcript:KJB28534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDISSPLSGPIFYFSDADLFSDAGLQSSEVTSSNCCFDESSNYNDKLTSMPPSGTPTATNTTTANAAATTDTDNTTPIPTTNDNNNNLSIIFDSPDEIDNDISASIDFSQCQSPSFSVPPFLTQQDHHQFDLPLVQSQIQLPAEVVDGLSQYGGGDHFHHQGFVAAGAPLMGPPPPFPSVFDDDCLSSMPSYVPLNPSSPSCSFLAPSMAAPFMPTNALTADHNSGIFAAGTILTAPHEMLPQDLEFQGDTGGIFCPDSMQRILKPGDLQGLSCENQQLVGGAMCSTPLPTEMSSLEDSTFNKVGKLSVEQRKEKILRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGETQRQASINHEYDDDDQVSGCERRGRHGSFLRHFYSYQWCQLFRMQLFNSVMDLNKTIIYIVF >KJB29340 pep chromosome:Graimondii2_0_v6:5:13760794:13761791:1 gene:B456_005G095600 transcript:KJB29340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSNLRPDKMTLVVILLACSRLGDLSLGTRIHENICDIHDIYSDVFVGNALVDMYMKCGDADSARKLFDKMPVKNVVSWNSMISGLVQQGQFKEALHLELGKWVHAYIDKNQIKADGFVGNALVDMYAKCGSAEQAFGVFQGMECKDVFSYTAMIMGFAMNGEAERALDIFAEMPMVGSKPDEVTFVDVLSACSHAGMVEEGWRHFEDMSKVYNLEPQTEHYGCMVDLLGRAGLISEAEVFIANMPIEPDAFVWGALLGACSIHGKVELGEAIMKKLVAVEPVRDGAYILMSNIYSSAKSLK >KJB32769 pep chromosome:Graimondii2_0_v6:5:63572211:63576768:1 gene:B456_005G260500 transcript:KJB32769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKLQVTQLKASDFGLNYLISSHEKVFKFGYFSRNSPSKQKLRFKLVGAQKDRWKLNDIDTNTVQERINLWLTKTQHFLSEITLPLVKTGQTGQSGPGKLDPRNEDEVDNQEMEDIFMAERIIPSGLPNGNLSLAAIVSIEQFSRMNGLSGKKMQKIFRTLIPKTEYDDARNLVEYCCFRFLSRDASDLHPCLKERAFQRLMFITMLAWENPYRDKNNLHAHSLTKSSLQGKLVGEEAFTRIAPAISGVADHPTAHNLFKALAGDELGISLRVWLTYIDELLKVHEGRRSYQICEYPQLSEERVLCVGSSRKRPVLKWENNMAWPGKVILTDKALYFEAVKFKGRSNAIRLDLTRPGLEVKKVKVGPFNSGLFDSGVAVSSGPGSQTWVLEFVDLGGELRRDVWHASISEIITLHKFLNEYGPDDNDRSLSQVFGSQKGKEKAMTSAINGIARLQALQFMRKLLDDPIKLVQFSFLQNAPHGDLVFQSLAVNYWGGPLIAKATDLKYQRAQGTSPSEPEVEISDHVFDIDGSVYLRKWMSSPTWESNASISFWKHASTRPAVVLSKSLVVADKSLVEKAAAICKQKYQAVEKTQATIDAAKLEGIPSNIDLFKELLLPFTITARNFEKLRRWEEPHLTLSFLAFAYTIIFRNLLSYVFPMALIILASGMLTLKGLKEQGRLGRSFGKVTIRDQPPSNTIQKIIAVKDGIRDVEHILQNLNVTLLKLRTILLADNNRGCIGVVIIRNRSSHRAFQVRPCISSMRSFHSRARIQEGDG >KJB32768 pep chromosome:Graimondii2_0_v6:5:63571939:63576776:1 gene:B456_005G260500 transcript:KJB32768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKLQVTQLKASDFGLNYLISSHEKVFKFGYFSRNSPSKQKLRFKLVGAQKDRWKLNDIDTNTVQERINLWLTKTQHFLSEITLPLVKTGQTGQSGPGKLDPRNEDEVDNQEMEDIFMAERIIPSGLPNGNLSLAAIVSIEQFSRMNGLSGKKMQKIFRTLIPKTEYDDARNLVEYCCFRFLSRDASDLHPCLKERAFQRLMFITMLAWENPYRDKNNLHAHSLTKSSLQGKLVGEEAFTRIAPAISGVADHPTAHNLFKALAGDELGISLRVWLTYIDELLKVHEGRRSYQICEYPQLSEERVLCVGSSRKRPVLKWENNMAWPGKVILTDKALYFEAVKFKGRSNAIRLDLTRPGLEVKKVKVGPFNSGLFDSGVAVSSGPGSQTWVLEFVDLGGELRRDVWHASISEIITLHKFLNEYGPDDNDRSLSQVFGSQKGKEKAMTSAINGIARLQALQFMRKLLDDPIKLVQFSFLQNAPHGDLVFQSLAVNYWGGPLIAKATDLKYQRAQGTSPSEPEVEISDHVFDIDGSVYLRKWMSSPTWESNASISFWKHASTRPAVVLSKSLVVADKSLVEKAAAICKQKYQAVEKTQATIDAAKLEGIPSNIDLFKELLLPFTITARNFEKLRRWEEPHLTLSFLAFAYTIIFRNLLSYVFPMALIILASGMLTLKGLKEQGRLGRSFGKVTIRDQPPSNTIQKIIAVKDGIRDVEHILQNLNVTLLKLRTILLAGQPQITTEVALVLLSSATVLLIVPFKYVLAFLLCDLFTRELEFRREMVKRFLAILKERWLTVPAAPVIVLPFEDEESKSVNQRNQTDKGAIKKNAEELET >KJB30829 pep chromosome:Graimondii2_0_v6:5:47205925:47208903:-1 gene:B456_005G162600 transcript:KJB30829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPCCDKQDTNKGAWSKQEDEKLINYIRLHGEGCWRTLPQAAGLLRCGKSCRLRWINYLRPDLKRGNFTEDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLRRKLINMGIDPNNHRLITHNHLPRPHDSNSGASIISPASKVPVTDNSNIHPPEKSRGDNDQVSDAASSLEDHQLPDLNLDLTISVSASTVDKLKMIDEKARNSPT >KJB27989 pep chromosome:Graimondii2_0_v6:5:1660884:1661532:-1 gene:B456_005G020500 transcript:KJB27989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFPLLYPDFFASYHITPPRGLLLCGPPGTGKTLIARALASAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKQPPSKELKMELAASCVGYCGADLKALCTEAAIHAFREIPA >KJB29248 pep chromosome:Graimondii2_0_v6:5:12276702:12279715:1 gene:B456_005G091100 transcript:KJB29248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAF >KJB29247 pep chromosome:Graimondii2_0_v6:5:12276702:12279715:1 gene:B456_005G091100 transcript:KJB29247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNGNVFLKHGSELRLIPRDRVGAF >KJB28071 pep chromosome:Graimondii2_0_v6:5:2235953:2236315:-1 gene:B456_005G025200 transcript:KJB28071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKSPSLYTRNIIQTTMVKPTSSCKRRRTTTGFSEIEMDVACQLMQLCKQYINGDKGSKKTKAKTDESSPWDRYLPLEDEEEEHLQPRKRRFKSMDFIYSSTKPVIMQDKNVKKMKIMMCN >KJB31591 pep chromosome:Graimondii2_0_v6:5:57066134:57068620:1 gene:B456_005G196800 transcript:KJB31591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSFKLRVEKIFGSLQSSQSSSQQQRPPLWSLSDAEVERREWRRESAADREDTLCSSSFDEFLKEERKYRSGRRKETEDDLDNDDGDDDDDNDGGSSQSHSRKIDEYGDEWEIRSCLGMDSTLDNEEEEDEYDKVASGRENAGERLYMSDIADHGSFLNSHNILQRALNHTTSNKDHCANHMAARIRLKEDDEEAQKLNYCDGSNSEIRELSDMKASDNGCHLRSILKRKDSGTGFKPRKCVRFDSACKDGWEEQFEKSEDHPTGILLMNSEDSDGVSLPAENDRAVPDYLRNPSRYTRYSFDSSSKFGEESNAQAWKDVLKLAPSSKCTESLSEQEDAPCDLPKSVTFIPKRKPGILQLVCDVSEVQEKENECKKSLHPKRLPVGIAAGAAKSGEDDATEDDIPEVSAANGTPVIPKGGRSYRVKSQPEGLDQEG >KJB28248 pep chromosome:Graimondii2_0_v6:5:3479302:3481753:1 gene:B456_005G036800 transcript:KJB28248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQHFSHPHPLVSFKYQTVAREEVDPEAVRCLGCEKPVEGWSYGCNQCEFYLHKGCAELELAPKIQNPFHPKHPLTLLPNSPQSRSGFCDLCGKNYGGFVYYCNDCNFDLHINCALLQSSIAANFPNSLHPHSLHFIQNYNKEVEPDCPGCQKPISGPFYHCSDCTYPTFFNLHKECAELPFEINHPCDRKHPLTLLPQPPTHPQKCSCYLCRIQWKGFVYSCSLCNFDLSLDDFLFSPPTITVASHEHPWMLVSRKMWFVCDFCGTDGDHSPYFCGTCVLFVHKNCISLPCNIRITRHCHVICFSYSFQQNQVEDCMCRICYTEVDTSYGRYCCSASGCDYIAHAHCATNKSIWDGTIIKDGYDERHGPSNLLTDVVEQIRIGELMVASEIKHSYHDHNLRLTFSGKTKDDDSQCDGCTRPISTPFYSCEQCKFFLHKDCAELPKEMPHPFHKHLLTLVNSHGGGGYSRCDACDRLYQGFSYECYKRDCRFKIDIQCMLLSDTLKHPSHEHSLFLVHNNDGTSCSACFKRLYLGEVAYICMKRCDFRLHVGCATLPLTAWYKYDRHALTLTFSDDSEPSQLYCDLCEKEREPKDWFYYCADCDNSLHLNCVIGDLPYLKLGNKLKHYRHKHPFTMVKNIWNCPPCKACGEVCNGQALECKESECNFTVHWYCCRDLQRII >KJB29015 pep chromosome:Graimondii2_0_v6:5:9217454:9218836:1 gene:B456_005G079700 transcript:KJB29015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKLRMEIDQMPPRGAHHRRAHSDTTFRFDDLLLFDPSDLDLSCLDLPDSSSNPSLPPVAPVPAESSDDSSCNGPPRSTLNNPRHIRSLSVDSDFFDGLGLTGPAISGGAGDEKFGGKRGAGEKRVHHRHSNSMDGSTTASFDVESLMAVDGVKKTMAPDRLAELALIDPKRAKRILANRQSAARSKERKIRYTNELEKKVQTLQTEATNLSAQVTMLQVKSTLCWV >KJB27712 pep chromosome:Graimondii2_0_v6:5:375647:377672:1 gene:B456_005G005900 transcript:KJB27712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIMINIIISLFIILVIVHLLKPKKPVKKLPPGPWKLPLIGNMHQLVGSLPHQTLANMAKTYGSLIHLKTGSISYIVISSPELAEEALKTNDISFASRPTILASKIMSYDSTNIVFSPYGSYWRHLRKICVTELLSPKRVESFRKVREEEVSSFINSIALWGSSSGINLSKKIFSLTYGVTSRAAFSEKCKDQEAFISIITRVSKLSGRFTIADMFPSLKLLELLSGRIEFEKLHKEADRILEDIIAEHQERRKIYGGDSEEMKDLVDILLDLQENSELEFPLSVDNIKSIILDMFSAGSETSSITVEWTMAELLKNPGIMEKAKNEVRRVFTGKGYVDEGSIHELKYVKAVIKESIRLHPAVPLVLRECREDCWLDGYDIPTKFKVLVNAGAIGKDPKHWDNAETFCPERFLDNSIDFKGTDFKYIPFGAGRRICPGISFALSNIELPIANLLYHFDWKLPNGMKPEDLDMTEALGLSIRRKHELFAIPIAYHPHVE >KJB28763 pep chromosome:Graimondii2_0_v6:5:7302476:7303123:-1 gene:B456_005G068300 transcript:KJB28763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDDYITISPHFNPDPYRFRTYTVTFFSTPIITTVTTTPSVVRNWLFRVLRLHSIRRHRLVVGLGVQWSPYSSLAHSAAATLQLCIGHQCLIFQLLHADTVPLSLRRFLSDPRNTFVGVWNHSDIAMLLRSEHRLSVSRVVDARHVAAERNGLSSQLSMEKLAEILLGAPDVRKPQSIGVSNWNDYRLSLEQIQYACVDAYVSFELGRVLQVWNW >KJB30435 pep chromosome:Graimondii2_0_v6:5:38948792:38950324:1 gene:B456_005G143200 transcript:KJB30435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRPTPPPVHIDLWPILSESKRIINAHSRHFLALSVLFLLPLSFSFSAFPFINQLFSQSSTPTIETHLSFLHTPFQLQKPPTIPIKYLLFTLLYTLFIFIFSLFASGSITYSVFHGFYGRPVKLLSAIKSAFTSFFPLVSTCLVTELIISGILLILALIFLGLLQLTQLLGFHVDYTSPYFISLCLVFVITFLFIVLYLQVKWVFAYVVVVVESSWGLEPLKRSKNLVHGLKRIAFSMLLFYGFFSGILIWVSAADWGYAAADKWKSWAFVIHIVSTSTLFMLIMLSYLAATTVFYMYSKAIHGELAGEIAEEFAREYVSLPFDDGKVPHVVSVVYG >KJB28880 pep chromosome:Graimondii2_0_v6:5:8240260:8243421:1 gene:B456_005G074300 transcript:KJB28880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQSSSIPTTTATKGWVLPYETPRLRDHYVVGKKLGQGQFGTTYECIHKATGTVFACKSIPKRKLLCREDYDDVWREIKIMHHLSEHPSVVRIEGTYEDSVFVHLVMEICLGGELFDRIVAKGHYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFDSPGDDAVLKATDFGLSIFYKPGQRYADVVGSPFYVAPEVLCKHYGPEIDIWSAGVILYILLSGVPPFWAETESGIFRQILHGKLDFTSEPWPCISESAKDLIRKMLERHPKSRISAYQVLCHPWIVDDRVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDSSGTITYQELKDGLKKVGSELMESEIKSLMEAADIDNNGTIDYGEFIAATLHMNKLEREENIVAAFTFFDKDGSGYITVDELQQACKEFGLGDVHLEDMIKEIDQDNDGRIDYGEFAAMMRKGDGLGRSISMRSNLNKNIADAFGLGVKDLTSISSSSNSNS >KJB31609 pep chromosome:Graimondii2_0_v6:5:57162298:57165260:1 gene:B456_005G197400 transcript:KJB31609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADDKFLNMGILIVATLVVAKLISFLIMPRSKRRVPPVIKSWPVIGGLLRFMKGPIVMIREEYPKLGSVFTLNLFNKKITFLIGPEVSAHFFKASESDLSQQEVYQFNVPTFGPGVVFDVDYSIRQEQFRFFTEALRVNKLKGYVDQMVTEAEDYFSKWGDSGEVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVIFPYLPIPAHRRRDQARKKLAEIFANIISSRKNAGKYENDMLQYFIDSKYKDGRPTTETEITGLLIAALFAGQHTSSITSTWTGAYLLRHKEFLSAVVEEQKKLMRKHGGNVDHDVLSEMDTLYRCIKEALRLHPPLIMLLRSSHSDFSVKTRDGKEYDIPKGHIVATSPAFANRLPHIYKDPDTYDPDRFSVGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFEFELVSPFPEIDWNAMVVGVKGKVMVRYKRRQLSVE >KJB28210 pep chromosome:Graimondii2_0_v6:5:3248563:3251014:1 gene:B456_005G034500 transcript:KJB28210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTCINTTTANTSTLPSYITSNTSATTSTTISTNLSLSSYFTFKTNFSLALTSVTSFFITTLCCAKSTTCTSCSTTNTFGMACSPSTNISATTSTTISTKACSHSASKPKLKTTPTSYTTTTTFVPSYFTFRPIFSFTLTSSTNFSITIFFTAMSATCINTTTTKSSTLPSYLTSNTSATTSTNLSLSSPFTFSSHTTTTTTFSSSSSCTNNVSTTLKSASYSCVWSYFTFKTSFSLTLSRITSFAIITLCSTKTTTCTSCTTTNTFEMASCPSTNISATTSNPISTKSCSYSTSKPKMKSTPSSHTTTATTTCTSTTTTTVTATCTSTTTTAASYTFTTATFTSCACCSSCASNVSTTLKSTSYSCVCSFTTMPSFTSGPRTSM >KJB27940 pep chromosome:Graimondii2_0_v6:5:1693520:1698163:1 gene:B456_005G020600 transcript:KJB27940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTGFRFSPTDEEVIEILSQKVSGNTSMAAFDFIIQKNIYDFEPQELHGSESTIGLNKNERYYYCRRESDSREVMGRGWWKATSHVKAVSSPNGEVMGYKRPLTFHRFKDNIQGNRKGAIKTDWIMHEYGLQSIHTEWRLCKIKYKGKEKVEEDMTPMEKTFGHSNNKTLLTSFEAKDGSSSSSNSIAPMQLELAFEQPPLTQPILPVNNDINYNYDSYCWSNSNMMLYEDHQLADQLDTLSEQPFSDLWSWDDYH >KJB31274 pep chromosome:Graimondii2_0_v6:5:53509313:53513526:-1 gene:B456_005G183300 transcript:KJB31274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRISSLLSCSLSYSSLLPEGRFCGVGRVVSGYSTSAGIENPINPSIKVHCTQLLINGNFVDSASGKTFPTYDPRTGNVIAHVAEGDAEDIDRAVCAARKAFDEGPWPKMTAYERSKVLFRFADLLDKHIEELATLETWDNGKPYEQSAKIELPMISRIIRYYAGWADKIHGLTIPADGPHLVQTIHEPIGVAGQIIPWNFPLLMFAWKVGPALACGCTIVLKSAEQTPFHSEWELFPEAGLPPGVLNVVSGFGPTAGAALASHMDVDKLAFTGSSETGKIVLELASKSNLKPVTLELGGKSPFIVCKDADVDKAVELAHFALFFNQRKARALKRSVGDPFKAGVEQGPQIDSEQFEKILRYIRSGIESGATLITGGDRLGSKGFYIQPTVFTNVKEDMLISQDEIFGPVQSILKFRYMDEVIRRANSTRYGLAAGVFTNDLDTANTLSRALKVGTVWINCYDVFDAAIPFGGYKMSGQGREKGVHCLSNYLQVKAIVAPLKNPAWI >KJB27575 pep chromosome:Graimondii2_0_v6:5:29584:30086:1 gene:B456_005G000600 transcript:KJB27575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKYTDTILKNQFSHTLTAFIVSCLSFTIIKSPSLMQRLKDIFIEESISNILKKRKTHSIEYTDDVGLICNFCTKIYSTKRKYLPHIQSSIKNKLSPRIPGRPKFINIYMHINRKRASTLSMEIPIIFVVSKGHFLNTGRFSGHVSFL >KJB27680 pep chromosome:Graimondii2_0_v6:5:252552:255664:-1 gene:B456_005G004400 transcript:KJB27680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAYMMGCFTVLKSKKKKSEQVVLVKPIARKELVPTALPEPQVQTQSLLSAPPSFRTRVKLIHLNNKTTCDRTHALSAPSSFDAVEQDALTFAEFVEQEEFKTHVGLVKEPRSPSPQPLPLPSPRGTVLKTMGSFKLANASVPLVASGPLPLPPCGTLRNFGYEEIAAACHHFASDRCISEGLSFVMYKASFGDDASSSKKFEATVIRLNSSTQGLREFINEVNTLALLQNPNLCKLLGYHARDSSEQRMLVYERLFHGSLEQLLYGRSDGPPLDWNARMKIALCSAQGLAFLHEEGPFLAMYNEFSTANIQIDKDFSAKLSGYGCVGHIPETGGISVNAVAVANLSVETLEKGWLTPKSNVWSFGIVLLELLTGRKNLDNRYPKEERNLVKWSRPFLADGCRLSLIMDPHLKGRFPMKAARTIADIAQRCLQKDPSERPTMRTVVENLKVVQDIKYSCWFPLQEPATIVGKQLSRSPSFNGVITPAPGLSFLPSPPSRTGLFISLTRPPTSVSALPSRACSSTLSLQELERQEARRSAATTIGRTSVEVEGF >KJB29429 pep chromosome:Graimondii2_0_v6:5:15829335:15831534:-1 gene:B456_005G100400 transcript:KJB29429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVWIFNYVLFYLIFYFLTQRLLQNRGLPPSPALSLPIIGHLHLIKKPLHRTLAKLSTQHGPILFLRFGSRPVLVVSSPSATEECLSKNDTVFANRPRLLAGKHLGYDYTTLVWAPYGDHWRNLRRVASLQLLSSNRVQKYLGIPMDEVKSLVVRLFRSTSKGSGEFQVVEMKSMFFELTLNVMMRMIAGKRYCRDGEDELEEEKKFKEIVRESFQVSGATNIVDFVPMLKWVGLNKIEKKLEILQRKRDEFMQNLIDERRKLTSSNSCYEQNSKTIVDVLLSAQETDPDYYTDDTIRGFMQVLLSAGTDTSAATMEWGLSLLLNNPKTLEKAREEIDMEVGQSRLIHESDYERLAYLHAVISETFRMCPPGPLLVPHESSEECMVGGFSIPRGTMLLVNIWAMHNDPVLWENPTEFKPDRFLGPGLVKNGFTFLPFGTGRRGCPGESLAMGLIPLTVGCLIQCFEWERMGEEMVDMSEGNGLNMPKAQPLVARCRPRPAMMNLLSQL >KJB30524 pep chromosome:Graimondii2_0_v6:5:43006071:43009363:-1 gene:B456_005G153300 transcript:KJB30524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFITHLSLVHPKPSLSAKSLQNPSLSHLSKLSPSSSVCFLPIKRSGVVGKLSFASAVADSTSSIPEDKKISKNPIIVIDNYDSFTYNLCQYVGELGCHFEVYRNDELTVEELKMKNPRGVLISPGPGTPQDSGISLQTVLELGPIVPLFGVCMGLQCIGEAFGGKIVRSPYGVVHGKSSVVYHDEKGEDGLLAGLSNPFNAGRYHSLVIEKDSFPGEALEVTAWTEDGLIMAARHKVYKHVQGVQFHPESIITWEGKTIVLNFVKLIERKEAAESGS >KJB32622 pep chromosome:Graimondii2_0_v6:5:63029350:63032936:1 gene:B456_005G251900 transcript:KJB32622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHREGSEASVEAMVAQVEKHPISTILIIIEALPVVNKFQLIENPHSSFPKGVPWIHYHGAYKDITINLIWPGKDLTFGVDSVGTISASLVTYASIQALQPDLIINAGTAGGFKEKGAAIGDVFLVSHVAFHDRRIPIPVFDLYGVGLRQTYSTPNLLKALNLKTGKLSTGDSLDMSPHDEASIAANDATVKDMEGAAVAYVAELLKVPAIFVKAVTDIVDGEKPTAEEFLQNLAAVTATLEEVVTQVIDFISGKCLPEL >KJB32624 pep chromosome:Graimondii2_0_v6:5:63029350:63032969:1 gene:B456_005G251900 transcript:KJB32624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHREGSEASVEAMVAQVEKHPISTILIIIAMQTEALPVVNKFQLIENPHSSFPKGVPWIHYHGAYKDITINLIWPGKDLTFGVDSVGTISASLVTYASIQALQPDLIINAGTAGGFKEKGAAIGDVFLVSHVAFHDRRIPIPVFDLYGVGLRQTYSTPNLLKALNLKTGKLSTGDSLDMSPHDEASIAANDATVKDMEGAAVAYVAELLKVPAIFVKAVTDIVDGEKPTAEEFLQNLAAVTATLEEVVTQVIDFISGKCLPEL >KJB32623 pep chromosome:Graimondii2_0_v6:5:63029350:63032936:1 gene:B456_005G251900 transcript:KJB32623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHREGSEASVEAMVAQVEKHPISTILIIIAMQTEALPVVNKFQLIENPHSSFPKGVPWIHYHGAYKDITINLIWPGKDLTFGVDSVGTISASLVTYASIQALQPDLIINAGTAGGFKEKGAAIGDVFLVSHVAFHDRRIPIPTYSTPNLLKALNLKTGKLSTGDSLDMSPHDEASIAANDATVKDMEGAAVAYVAELLKVPAIFVKAVTDIVDGEKPTAEEFLQNLAAVTATLEEVVTQVIDFISGKCLPEL >KJB27868 pep chromosome:Graimondii2_0_v6:5:1005514:1007060:-1 gene:B456_005G014000 transcript:KJB27868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLFDIDTDTALRLLLSCAEAIEDGDLKSADAYLQNILILADERPYLYKSRVVKYFADALVRRAYGLHPASSYFTFPVDPSPYYHCGSYLINGVIENVIHDALMEKNALMGNRKLHLIDFSIPYSSFQNSVVRTLPTFSGDPLPVRVSYILPPFLKKYVKFLRQMEFLTRDAKEVNVKLEDELKLVYGNSLAEVDECEIDLKRRRDDEMVVVYYKFKLDKLVRDAKAMERELVRLKEINPTIVIMLDFYSNHTHSNFLTCFKDSFQYSLKTLDCWEELDLYFDEEYEWECHIEAWEGNNVIRRHPTLTEWQHLFSMAGFSRIPLNHREGIDLIVKDVNPLNDFFSMSNQSWLEIMGKEEECLILGYKEFPMFFLSAWKPKVEEEHLNFNSSNDKFGQGFNPYPSPLRPLRPFPE >KJB31150 pep chromosome:Graimondii2_0_v6:5:52410544:52414702:1 gene:B456_005G179000 transcript:KJB31150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWDHPDISLEEMMKLIEGNDKKEESGNDKFDKPISETRVSREECGSNGRNLNKKRSYAQFHLELGQSDFLFHACSICGVKCSPGDEADERNHSIFHKNFSLGVQFKALADRESIQARSELFAYNILPLDHGGIQQAIMKFPEVRST >KJB31149 pep chromosome:Graimondii2_0_v6:5:52410544:52414702:1 gene:B456_005G179000 transcript:KJB31149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWDHPDISLEEMMKLIEGNDKKEESGNDKFDKPISETRVSREECGSNGRNLNKKRSYAQFHLELGQSDFLFHACSICGVKCSPGDEADERNHSIFHKNFSLGVQFKALADRESIQARSELFAYNILPLDHGGIQQAIMKFPEVRST >KJB30198 pep chromosome:Graimondii2_0_v6:5:33492302:33493311:-1 gene:B456_005G1334001 transcript:KJB30198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHPDSVPHLLRMLAVIAILIFNHGLGIPPNSLPSFPFSALILSDISQGVCTSVLKKICRENGLDRWPHSKLDAGLAAAILMQNLNRISM >KJB32519 pep chromosome:Graimondii2_0_v6:5:62500908:62505169:-1 gene:B456_005G244600 transcript:KJB32519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKGKHRLDKYYQLAKEHGYRSRASWKLVQLDSKFSFLKSAHAVLDLCAAPGGWMQVAVQRVPVGSLVLGLDLVPIAPIRGAVALQQDITKSECKSKVKRVMEEHGVMAFDVILHDGSPNVGGAWAQEAMSQNALVIDSVKLATQFLAPKGTFVTKIFRSQDYSSVLYCLKQLFEKVEVDKPAASRSASAEIYLLGLKYKAPAKIDPRLLDVKHLFQGSTEPQKKVIDVLRVSKQKRHRDGYEDGETISKKASTAADFIWSDSPLEILGSVTSITFADPASLPIKDHSSTTEEVKALCDDLRVLGKQDFKYLLKWRMQLRKALSPEKATPTPTPSTVTDVNKGDEENEDDKLLNEMEELTYAMERKKKREKKLLAKRQAKDKSRKATGMQIDALEDGYVDHELFSLSSIKGKKDLAAVDSNEYDDGNVDVRGSEDEENQENTEDESFSDIDSDEERRRYDERIEEILDHAYEEYAAKKDGKTKQRKRVKQAYEQLQGGDDDDVMISDHDSDKDVADLEANPLMVSLDNGEGPTEEEITNRWFSQDIFGEAVEQGDLGKYDSGDEMEVDNRDEKPVIPEKSKAKKKQDEKTSIPDKAKEKKVNNAAGPKSTKLQGASKAEDDFEIVPAPATDSSDDSSSDDSEDDDVETKAEILACAKKMLRKKQRDQILDDAYNKYMFDDDGLPKWFLEEEKRHRQPIKPVTKEEIAAMRAQFKEINARPAKKVAEAKARKKRIAMKKLEKVRQKANSISDQADISERSKRKQIEQLYKKATPKKPQREYVVAKKGVQVRAGKGKVLVDRRMKKDARARATGKSGKGSSKKGKNGKADKKGKGSSKASGRKGNKNKGGHA >KJB28726 pep chromosome:Graimondii2_0_v6:5:7067705:7068463:1 gene:B456_005G066500 transcript:KJB28726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTNKKTVLVLLCFRPVLAIYVASAPITASAPVPPPISQPPTVVPSDPQIKSLCAKTEYPDLCLTTIAPFFNGKTDIASVVEMLIKAGTEQTKQANATATKMAADPKYADDPKTISGLKGCYEMYDDALDNMQNAMDAIPVHDIGTIETMVSAAITDYGTCDDGFTRQPNPVPDGVSPMVDINENLQNIGSIILAITDLLH >KJB30105 pep chromosome:Graimondii2_0_v6:5:31709495:31710019:1 gene:B456_005G129800 transcript:KJB30105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLSQKRIKFSEYAKEVKRWFNPLHMAAVNGHIEVVKELMNVDQELWSGVEGKGNKTPFHFAAMKGRINVINEMLSRYEGCIQCATVQRDFSTRWIFQCRFP >KJB29324 pep chromosome:Graimondii2_0_v6:5:13267832:13269570:1 gene:B456_005G094300 transcript:KJB29324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVLLVFIYTLFHPSIPTTQSSNLSQLNNNNRKMASFITPTILKIFLIISLFQICLGVRKLSEVVEEQPQLLKYHNGPLLSGPITVNLIWYGKFKPSERAIISDFVTSLSSNPSSPSLKAQPTVAQWWRTTEKYYHLASKKSSLSLSLGKQILDEHYSLGKSLKKKQIVELASKGDQKYAINVVLTASDVAVEGFCMSRCGTHGSALSSTKGKRSSKFAYIWVGNAQTQCPGQCAWPFHQPIYGPQSPPLIAPNNNVGVDGMVINLASLFAGTVTNPFGNGYYQGPAEAPLEASSACPGIYGKGAYPGYAGNLLVDPSTGASYNAHGENGRKYLLPALYDPATSSCSTLV >KJB32644 pep chromosome:Graimondii2_0_v6:5:63101696:63105899:-1 gene:B456_005G253300 transcript:KJB32644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEKVGEEETVIVGDYVEIMKTEGKSKGMKSKFSKLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQLFYGLLGSWTAYIISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTIASLLHGQVEGVQHAGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQRFKAIYLIATLYVMTLTLPSAAAVYWAFGDMLLDHSNAFSLLPRTTFRDMAVILMLIHQFITFGFACTPLYFVWEKAIGMHECKSLCKRAAARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHIFTFRSATARENAVDQPPKYFGRWVGTFTINVFIVVWVLIVGFGFGGWASMVNFIDQIDTFGLFTKCYQCPPPSVAVSPPSHGLNTTAAAPLHQPFNHTRRH >KJB32824 pep chromosome:Graimondii2_0_v6:5:63718409:63719241:1 gene:B456_005G263700 transcript:KJB32824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVGVWMDEIEKLKERVQAKRWFMLKAKKDEQLVKERHVEEEKEAGKETIMSEATLCMLMDRFVPC >KJB31211 pep chromosome:Graimondii2_0_v6:5:53096352:53098217:1 gene:B456_005G181200 transcript:KJB31211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQEPKAVTINVTGFKKFQGIAHNPTETIVNNLKNFVESKGLLPAGVTLRSCTVLETVGSGALPVLLKVLESGISGIDIKNEHVIWLHLGVDGGARKFTLEQQAVNEASFFCPDELGWQPMRQPIVADDGGISRKRQTTCSIEAILRFLKNKGYAAMTSNDAGLFICNYVYYQSLRFAEQKGHKSLFVHVPLFSTINEETQMQFVASLLEAIASTC >KJB31212 pep chromosome:Graimondii2_0_v6:5:53096023:53098227:1 gene:B456_005G181200 transcript:KJB31212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQEPKAVTINVTGFKKFQGIAHNPTETIVNNLKNFVESKGLLPAGVTLRSCTVLETVGSGALPVLLKVLESGISGIDIKNEHVIWLHLGVDGGARKFTLEQQAVNEASFFCPDELGWQPMRQPIVADDGGISRKRQTTCSIEAILRFLKNKGYAAMTSNDAGLFICNYVYYQSLRFAEQKGHKSLFVHVPLFSTINEETQMQFVASLLEAIASTC >KJB32791 pep chromosome:Graimondii2_0_v6:5:63644230:63645258:1 gene:B456_005G261800 transcript:KJB32791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFIQCLLFSCLLLLLCGHGINGARDVKPVPVANPKDLDGKFTISDFHKTVNIGPSISTSLPKKIADSIPFSSSKLPQILQLYSIAPGSSLAKSMADTLPKSKGETKTCATSFQSMVDFVSGAFKVAPQDTKFITTTRPTMSTSSLKNYKVLESPKEIKSSRKAACHPMLYPYAVFFCQYDEELSERVFKVSMVDNINAAAVCHMDSARAALLNLMDKQGKSPMCHFCSAGDLIWFQ >KJB29148 pep chromosome:Graimondii2_0_v6:5:11198421:11199164:-1 gene:B456_005G087100 transcript:KJB29148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSSSSYLQEALICKASRFDVNGDHILFGGKMSPLSKTDLQRVFEKLDKNGDGFVSLEELNWLLQRIGSVQFSLEELEPLVGKPCLNLDEFLFFYESISNPPAHGGDEEEEEEEELVIHGGGEEEDSDLAKAFKVFDLNGDGFISCEELEYVLERLGLWDEKSGKDCRSMIWYYDTNSDGMVDFQEFKNMMLHSSS >KJB29506 pep chromosome:Graimondii2_0_v6:5:17920159:17921081:-1 gene:B456_005G104000 transcript:KJB29506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLGRDLKALQRVAEPSAVRGENFFFVVGVGAGRSGTEAQVVMQMRGERSIPLGHAHCRTKRRARVVPMLSIDFIYAHDPVKNRILVRVAKLTLIKWRTFRGVFPPTSSLWAYLPLELQDSNYCTPI >KJB28073 pep chromosome:Graimondii2_0_v6:5:2243646:2246077:-1 gene:B456_005G025400 transcript:KJB28073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPHFIVVTYPAQGHINPTLQFAKHLIRLGVRVTYITSISASRRMTKVPTAEGLSFLPFSDGYDYGIKPGDDTDHYLSEFKRRGKDAISEYITSSENEGKPITCIVYSLCLHWAAEVARKHHIPSALLWIQPATVFDIYYFYFNGYESAIKAQADETNPKCSIKLPGLPPLFPRDLPSFVIASNVYQSALSLFQEQMDVLAEEPNAKILINTFDALEHEALNAIEKFKTVGIGPLVPSSFFNSKDALDNSLRVDLFQSDSKDYIQWLDSKPKSAVVYVSFGSIAALKKQQVEEIARALISSRRPFLWVMRNRKDRGEEEKEEDKLTCREELEQIGMVVPWCSQVEVLSHPSLGCFVTHCGWNSTLESLVAGVPMVAFPQWTDQGTSAKLIEDVWGTGVRVSTNEEGMVERDEIVRCLDVVMGDDEKGMEVKKNVEKWKGLAREAAMEGGSMDMNLKAFVDDVSQGYCK >KJB30027 pep chromosome:Graimondii2_0_v6:5:29458994:29460226:1 gene:B456_005G128000 transcript:KJB30027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKADLMVISLLLLITLCFTAVEGYGRMVGGRTEITDVKTNEEVQELGRFAVEEYNRSHGLGRWRGFVSSSNGRRAELVFSRVLEAQKQVVSGIKYYLKIEAMENKLSKTFESVVVVKPWLHSKELLHFSPSAE >KJB30671 pep chromosome:Graimondii2_0_v6:5:43495717:43497624:1 gene:B456_005G154500 transcript:KJB30671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKVPIVSVVSSFSGLTMPVIGMGTASYPPVDAGTLKSAIVEAIRAGYRHFDTAFIYGSEQPLGAAIAEALNIGLIKSRNELFITSKLWCTYAEPDLVIPAIKMSLENLQLEYLDLYLIHWPLKFSKEVSRLPVPKEEVSVIDIKSVWSAMEECQLLGLTKAIGVSNFSSRKLEEILSFAKIPPAVNQVEMNPLWQQKELNEYCQAKGIHVSAHSPLGSKGTLWGDNRIFDCHALKEIAEAKGKTIAQICLRWVYEQGASVIAKSFNKKRMEENLEIFDWSLSVEELEKIRGLPQCKFNSIGTFIEPSAELEAQL >KJB29574 pep chromosome:Graimondii2_0_v6:5:19538562:19547968:1 gene:B456_005G108500 transcript:KJB29574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNESISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRLAEEWEINLAKLDLRNVIAHGTYGTIYRATYDNQGVAVLQS >KJB29576 pep chromosome:Graimondii2_0_v6:5:19539144:19547808:1 gene:B456_005G108500 transcript:KJB29576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKTGEEDNGIKAPKKQDGLEGGGNSKMKGNESISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRLAEEWEINLAKLDLRNVIAHGTYGTIYRATYDNQGVAVLQS >KJB29575 pep chromosome:Graimondii2_0_v6:5:19538562:19547968:1 gene:B456_005G108500 transcript:KJB29575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNESISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRLAEEWEINLAKLDLRNVIAHGTYGTIYRATYDNQGVADQEERNMGLDRGKKNVED >KJB31979 pep chromosome:Graimondii2_0_v6:5:60001764:60004284:-1 gene:B456_005G217000 transcript:KJB31979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRNEVLIGQRIKVWSAFDSCFYSGTVEDFNPQNNTHKITCDSGEVEILCLDSESWETISNCSLMEREVQPSDEQNTLHLQQW >KJB31670 pep chromosome:Graimondii2_0_v6:5:58017358:58019598:1 gene:B456_005G203100 transcript:KJB31670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIELDEAMLQGQADIWRYMYSFADAMALKSAVELRIADIIHSNGGATTLSQIASCINGGLTSPDITTLARIMRLLIRRKIFTVHHPSDGGDLLYDLTHSSRWLLHDSEQTLAPIVLMNNHTWQMAPWHYFSQCVKEGGIAFKKAYGCELWDLASRNPDLNKLFNDGMACTAKVVTNAILSSYKQGLSSIGSLVDVGGGTGGLISEIVKTYPHMKGINFDLPHVVSMAPTYNGVSHIGGDMFHAIPNADAVIMKWILHDWTDEECIKILRNCKKAIPRENGKVIIVEIILKEDGSGVFDDIGFVMDLVMFAHTNGKERTEAEWKKILEGGGFSHHKIINIPALVSIIEAYPDAQ >KJB27576 pep chromosome:Graimondii2_0_v6:5:31760:33527:1 gene:B456_005G000700 transcript:KJB27576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHTFVVGQEFPDVKAFRNAIKEAAIAQHFELRIVKSDLIRYFDKCATEGCPWRIRAVKLPNAPTFTIRSLEGTHTCGKNAQNGHHQASVDWIVSFIEERLRDNINYKPKDILHDIHKQYGIIIPYKQAWRAKERGLAAIYGSSEEGYCMLPTFCEQIKKTNPGSIAEVFTTGADNRFQRLFISFHASICGFLSGCLPIVGLGGIQLKSKYLGTLLSATAFDADGGLFPLAFGIVDTENDDSWIWFLSELHKALEMNSEKMPQLTFLSDGQKGTLDAVRRKFPNSCHAFCMRYLSESISKEFKNSRLVHLLWKAAYATTTTAFKEKMAEIEEASPEAAKWIQQFPPSRWALLYFEGTRYGHLSSNIEDRWILDARELPIIQVVEQIHNKLIHSWFSVLAPSAETRMREVISRASTYQVLRSDEVEFEVISAERSDIVNIGKHSCSCRDWQLYGIPCAHAAAAIMSCRKDVYAFAEKCFTVASYCEAYSEEIYPIPQKIDWNKFGEAPSTLNEDAQVVRPPKFRRQPGRPEKKRICVEELNREKHTVHCSRCNQTGHYKTTCKADIMKGIEQFEPCTI >KJB28500 pep chromosome:Graimondii2_0_v6:5:5086888:5090380:-1 gene:B456_005G051600 transcript:KJB28500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQQRKILTPGICRKRKEREAFYSFKPSVPVQSSVYTNPKSPPQPNCSNRLLAGYMAHEFLTRGTLLGQKFDPDRSEAVPMVGSLAEPRKVKTGTEPNGKKECPSYAEVASVLKGDGAHIPGIVNPTQLARWIQM >KJB30982 pep chromosome:Graimondii2_0_v6:5:49873060:49873530:1 gene:B456_005G170700 transcript:KJB30982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQLYCITIARVVYLKVVDLLASLMKKNCLFCILSPIPLLPRDLCNRSDHIDIPSTQHKSLKGSRRLTKVRKPRSLKKWIPIR >KJB32207 pep chromosome:Graimondii2_0_v6:5:61207383:61210947:-1 gene:B456_005G229400 transcript:KJB32207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G33770) UniProtKB/Swiss-Prot;Acc:O81893] MRIKGKNFDGEGGEEEEQKLVVGYALTLKKKKSFLQPEFERLAWKKGILFVAIDVNLPLSDQGPFDIILHKLLGKEWSDIVEDYRQTHPEVTVIDPPYAIQQLHNRQSMLQDVVDLNLSDFHGRVGVPKQMVIMKDPLSIPDEVAKAGLKLPLVAKPLVVDGSAKSHELFLACDWSSLSELEPPLVLQEFVNHDGILFKIYIVGDAIKVVRRFSLANVNKCQRAKVPGIFPFPRVSSAAASADDADLDPRIAELPPKPLLERLSKELRHRLGLRLFNIDMIREHGTRDIFYVIDINYFPGYGKMPDYEVIFTDFLLTFKKK >KJB32208 pep chromosome:Graimondii2_0_v6:5:61207383:61211364:-1 gene:B456_005G229400 transcript:KJB32208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G33770) UniProtKB/Swiss-Prot;Acc:O81893] MRIKGKNFDGEGGEEEEQKLVVGYALTLKKKKSFLQPEFERLAWKKGILFVAIDVNLPLSDQGPFDIILHKDYRQTHPEVTVIDPPYAIQQLHNRQSMLQDVVDLNLSDFHGRVGVPKQMVIMKDPLSIPDEVAKAGLKLPLVAKPLVVDGSAKSHELFLACDWSSLSELEPPLVLQEFVNHDGILFKIYIVGDAIKVVRRFSLANVNKCQRAKVPGIFPFPRVSSAAASADDADLDPRIAELPPKPLLERLSKELRHRLGLRLFNIDMIREHGTRDIFYVIDINYFPGYGKMPDYEVIFTDFLLTFKKK >KJB32206 pep chromosome:Graimondii2_0_v6:5:61206713:61211364:-1 gene:B456_005G229400 transcript:KJB32206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G33770) UniProtKB/Swiss-Prot;Acc:O81893] MRIKGKNFDGEGGEEEEQKLVVGYALTLKKKKSFLQPEFERLAWKKGILFVAIDVNLPLSDQGPFDIILHKLLGKEWSDIVEDYRQTHPEVTVIDPPYAIQQLHNRQSMLQDVVDLNLSDFHGRVGVPKQMVIMKDPLSIPDEVAKAGLKLPLVAKPLVVDGSAKSHELFLACDWSSLSELEPPLVLQEFVNHDGILFKIYIVGDAIKVVRRFSLANVNKCQRAKVPGIFPFPRVSSAAASADDADLDPRIAELPPKPLLERLSKELRHRLGLRLFNIDMIREHGTRDIFYGMAKCLIMRSFLRIFY >KJB30451 pep chromosome:Graimondii2_0_v6:5:39204541:39207343:-1 gene:B456_005G144700 transcript:KJB30451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPEIQVAVVDISVPRISAWNSDTLPIYEPGLDEVVKKCRGKNLFFSSDVEKHVSEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIQDLFEPDRVLVGGRETPEGQKAIKALRDVYAHWVPMDRIICTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKTRFVNRIVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAMLSIYDPQVSEEQIQRDLSMNKFDWDHPVHLQPTSPSSMKQVSVVWDAYAATKDAHGICILTEWDEFKTLDYQKIYDNMQKPAFVFDGRNIVDVAKLREIGFIVYSIGKPLDEWLKDMPAVA >KJB31824 pep chromosome:Graimondii2_0_v6:5:59078836:59081466:1 gene:B456_005G209900 transcript:KJB31824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFVFISKTQSSSHLMNFILISSSVCVTYLVVSVLLLNGSKPLSLRAYSSYQDVNTPTTVEHIVFGIASNQKSWTKRKEYVKLWWKPSQMRGCLFLESMPSNATLMDVDDVTLPPICISEDTSRFRYTYRGGLRSAIRVARVVSETVALNYPNVRWYVFGDDDTVFFPENLAKTLSKYDHRLWYYIGAGSEIYEQNRIFGFGMAFGGAGFAISYPLAKVLAKVFDSCIERYPHLYGSDSRVYSCLTELGVGLTREPGFHQFDVRGNAFGLLAAHPLTPLVSLHHIDHIDPIFPNMTTSKAMQHLLHAANVDPQRILQQTVCYDRWSSWTVSVSWGYAIQAYSKPVSLPDVLPVPETFRQWKRGNVLAGVYTFNTKKFHPHPCQRPTIFYLNSVSSTKHGIIETVYNKSHEDCTVFMDPTRKLEEIRVFTKKLDLNHKQMQAPRRHCCDVLPSKSGKVLDVAIRECGEDELIYMHA >KJB32416 pep chromosome:Graimondii2_0_v6:5:62128193:62133531:1 gene:B456_005G239900 transcript:KJB32416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDSDLVARLREILRDSDLDTATAGSVRKQLEKDFGIDLSDRKSFVRDQIDIFLETLNQDNEKEGEEEQAPESENEELQEENDDEEEEESKGGGSQNTRRSKSDKKAKKRGGGFQTVCSLSPQLQKVVGESKLARTEVVKKLWVYIKEKDLQDPKNKRNIICDELLRDIFRVNSINMFQMNKALTKHIWPLSVEDDSNHEMECEDNDDSASMNAESAKGEVKEEEKEKDDSVSMNAESAKGEENEEGKEEDDSESLNAENSKEEKEEEKEGEQEEEEDNEEEEEEQEGNSRRSKKKRSSKGDKDVKRRGGFTKLCSLSPQLQAFIGESELARTEVVKRLWTYIREKNLQDPKDKRNVLCDDSLYALFRVKSINMFQMNKALSKHIWPLNEEEVTVQADSAKTERKSKQARERVADEPKQKEKKQKKGASGFLAPLPLSDALVKFFATGENALSRADVVKKMWEYIKQNDLQDPSDKRRILCDDKLKELFEVDSFNGFAMTKLLTTHFIKMEQ >KJB32414 pep chromosome:Graimondii2_0_v6:5:62128132:62133763:1 gene:B456_005G239900 transcript:KJB32414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDSDLVARLREILRDSDLDTATAGSVRKQLEKDFGIDLSDRKSFVRDQIDIFLETLNQDNEKEGEEEQAPESENEELQEENDDEEEEESKGGGSQNTRRSKSDKKAKKRGGGFQTVCSLSPQLQKVVGESKLARTEVVKKLWVYIKEKDLQDPKNKRNIICDELLRDIFRVNSINMFQMNKALTKHIWPLSVEDEDSNHEMECEDNDDSASMNAESAKGEVKEEEKEKDDSVSMNAESAKGEENEEGKEEDDSESLNAENSKEEKEEEKEGEQEEEEDNEEEEEEQEGNSRRSKKKRSSKGDKDVKRRGGFTKLCSLSPQLQAFIGESELARTEVVKRLWTYIREKNLQDPKDKRNVLCDDSLYALFRVKSINMFQMNKALSKHIWPLNEEEVTVQADSAKTERKSKQARERVADEPKQKEKKQKKGASGFLAPLPLSDALVKFFATGENALSRADVVKKMWEYIKQNDLQDPSDKRRILCDDKLKELFEVDSFNGFAMTKLLTTHFIKMEQ >KJB32415 pep chromosome:Graimondii2_0_v6:5:62128193:62133531:1 gene:B456_005G239900 transcript:KJB32415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKEKKNKRRNLKMRSFKKKTMMKKKRKAREEEVKIQGRSKSDKKAKKRGGGFQTVCSLSPQLQKVVGESKLARTEVVKKLWVYIKEKDLQDPKNKRNIICDELLRDIFRVNSINMFQMNKALTKHIWPLSVEDEDSNHEMECEDNDDSASMNAESAKGEVKEEEKEKDDSVSMNAESAKGEENEEGKEEDDSESLNAENSKEEKEEEKEGEQEEEEDNEEEEEEQEGNSRRSKKKRSSKGDKDVKRRGGFTKLCSLSPQLQAFIGESELARTEVVKRLWTYIREKNLQDPKDKRNVLCDDSLYALFRVKSINMFQMNKALSKHIWPLNEEEVTVQADSAKTERKSKQARERVADEPKQKEKKQKKGASGFLAPLPLSDALVKFFATGENALSRADVVKKMWEYIKQNDLQDPSDKRRILCDDKLKELFEVDSFNGFAMTKLLTTHFIKMEQ >KJB28299 pep chromosome:Graimondii2_0_v6:5:3913904:3917896:-1 gene:B456_005G041000 transcript:KJB28299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKCLSGGASLEANAESVLRAITPSLDPTRHKGQAGKIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTEGAATVIKSYSPELIVHPILEESYNISGVNDEGKRDISKKILSEFDKWMERFDCLVVGPGLGRDPFLLECVSKIMTRARQSNVPIVVDGDGLFLVTNSIELVSGYRLAVLTPNVNEYKRLVQKVLGCDVNDQNTHGELLSLSKQIGNVTILRKGKSDLISDGEKVQSVSIYGSPRRCGGQGDILSGSVAVFLSWARQCNSAAERESTISRTNPTVLGCIAGSALLRKAAALAFEHKKRSTLTTDIIEHLGQSLEAISPAS >KJB28300 pep chromosome:Graimondii2_0_v6:5:3913904:3917897:-1 gene:B456_005G041000 transcript:KJB28300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKHGMNSGFSSLASSAVLRRQKFLIRSLKGYSVSDKIRMEAVKCLSGGASLEANAESVLRAITPSLDPTRHKGQAGKIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTEGAATVIKSYSPELIVHPILEESYNISGVNDEGKRDISKKILSEFDKWMERFDCLVVGPGLGRDPFLLECVSKIMTRARQSNVPIVVDGDGLFLVTNSIELVSGYRLAVLTPNVNEYKRLVQKVLGCDVNDQNTHGELLSLSKQIGNVTILRKGKSDLISDGEKVQSVSIYGSPRRCGGQGDILSGSVAVFLSWARQCNSAAERESTISRTNPTVLGCIAGSALLRKAAALAFEHKKRSTLTTDIIEHLGQSLEAISPAS >KJB29904 pep chromosome:Graimondii2_0_v6:5:26770655:26775002:1 gene:B456_005G122900 transcript:KJB29904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPDFRFEITSPRLACVFVLLATLFWYEILIPRLSAWRVRRNARLRERKRFQAIELQKLRKTATRRCRNCLTPYRDQKPGGGRFMCSYCGHISKRPVLDLPGPPGLGISNSGIIKDLVGKSGKILNGKGWSENGWMCGQDWLENGNWVSGSVGGKPSYWWKNGTGDFGGDEDCLAKKSYSGIVIFACRLLTSFWSIRWLLRRIFGVSSSNYDASSDADHRGMLTKRGESGTSFHESRGEKTRRKAEEKRQARLEKELLEQEERKQREEVARLVEERRRLRDEKLEAERDRSKLSPSAKEKEIKREAENKSKERRKEKDKAFSKSHTDAEELEKRAVKEAELKHDFDKKCEIDFREHLRSGSDNLKGNALETGHVIKSTPAINFSRANGGTRYLDRMRGTFLSSSKAFTGSSFFGKNTNIPAIAKENKTNNPVDHAHTSADRRDFCPSERVAGKLSMDGDDKNVKNNHSVLSEPQLWAAPKKSWQQLFTRSPSLPPVSNANVISRPTSKNKPEAEGSQFPSHSTIQTFDNPINFGLPSPFISMYRNGVPSSSLGFSPAIEPIFRRAAEGLSEIIPEEPELFEDPCYVPDPVSLLGPVSESLDNFQLDLGAGFGTDVGMERPRGLKNISASSEINKPSPIESPLSRSRSATPKAQDLQTSPVDDTNANEKRTWQMWSSSPLGQDGLGLMGDPASWLLPLEHNRSNKDDFLHPSSQKTMASLFAKEDPILAGKLSPQKVFLGNGQNGGTFSPVTSLSDHDPWLQDTSFPPLCGGNNHFPNKSLDEMSYGSLNRSAGTHPFEPSAANCWPKNEWVMQDSEQPVGKSSISRPHVGGLFHNQMYSHFGNLIEIEKQK >KJB29903 pep chromosome:Graimondii2_0_v6:5:26770575:26775123:1 gene:B456_005G122900 transcript:KJB29903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPDFRFEITSPRLACVFVLLATLFWYEILIPRLSAWRVRRNARLRERKRFQAIELQKLRKTATRRCRNCLTPYRDQKPGGGRFMCSYCGHISKRPVLDLPGPPGLGISNSGIIKDLVGKSGKILNGKGWSENGWMCGQDWLENGNWVSGSVGGKPSYWWKNGTGDFGGDEDCLAKKSYSGIVIFACRLLTSFWSIRWLLRRIFGVSSSNYDASSDADHRGMLTKRGESGTSFHESRGEKTRRKAEEKRQARLEKELLEQEERKQREEVARLVEERRRLRDEKLEAERDRSKLSPSAKEKEIKREAENKSKERRKEKDKAFSKSHTDAEELEKRAVKEAELKHDFDKKCEIDFREHLRSGSDNLKGNALETGHVIKSTPAINFSRANGGTRYLDRMRGTFLSSSKAFTGSSFFGKNTNIPAIAKENKTNNPVDHAHTSADRRDFCPSERVAGKLSMDGDDKNVKNNHSVLSEPQLWAAPKKSWQQLFTRSPSLPPVSNANVISRPTSKNKPEAEGSQFPSHSTIQTFDNPINFGLPSPFISMYRNGVPSSSLGFSPAIEPIFRRAAEGLSEIIPEEPELFEDPCYVPDPVSLLGPVSESLDNFQLDLGAGFGTDVGMERPRGLKNISASSEINKPSPIESPLSRSRSATPKAQDLQTSPVDDTNANEKRTWQMWSSSPLGQDGLGLMGDPASWLLPLEHNRSNKDDFLHPSSQKTMASLFAKEDPILAGKLSPQKVFLGNGQNGGTFSPVTSLSDHDPWLQDTSFPPLCGGNNHFPNKSLDEMSYGSLNRSAGTHPFEPSAANCWPKNEWVMQDSEQPVGKSSISRPHVGGLFHNQMYSHFGNLIEIEKQK >KJB27465 pep chromosome:Graimondii2_0_v6:5:52129380:52130259:-1 gene:B456_005G177800 transcript:KJB27465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESETMSEKNLKVEIPSKTETITASTVESHAAGPASGQGQGAPGLSRQPSVTKTNCLCSPTTHPGSFRCRVHRAPSLQRTKSIESQSATLQDHTSKPDSDTAE >KJB29941 pep chromosome:Graimondii2_0_v6:5:27873401:27873868:1 gene:B456_005G124700 transcript:KJB29941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGLKVRSFLKKLRRSNKLKYYYFHYQRLVEYHLSDEDKDKASRIYMTTMVGQERKRYDVPLMYVSLPWFQQLMIGPEEERDLGQPIIMDCTPEMFELFLELWSFKWDFDEGNYFIFLKKFEKWSGVRGDYLTSANAALIQNSNHSSPVSIAKS >KJB31737 pep chromosome:Graimondii2_0_v6:5:58489881:58490546:-1 gene:B456_005G205600 transcript:KJB31737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTNPKLVINGISTEMDERILRRHFSAYGEVKHVVVDVKKAIAFVTFVDPSKAQISLQQQHVILGRKVEVRPAKPKVEIGKRKIFVGGLPRSITDEEFKGYFQKFGSIVDAVVIHDKETKRSRGFGFVTYEAEESANLVLRTNFHLLNNKRVEVKKVTPRQEMVPTGFGFPPYYYDPYYQTYFYVVWVPIPGLY >KJB32527 pep chromosome:Graimondii2_0_v6:5:62552305:62552438:1 gene:B456_005G2452002 transcript:KJB32527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEQVLHMNGGVGEYSYVKNSSLQ >KJB28802 pep chromosome:Graimondii2_0_v6:5:7585696:7588110:1 gene:B456_005G070100 transcript:KJB28802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQTALPPELANNVIRLYRECLRRAKYIGHKQHNTALVVDMVRQQFKKHMHETDPEKIQKLKDDAARGLINHILYESEKLSGRKFSNSS >KJB28801 pep chromosome:Graimondii2_0_v6:5:7585542:7588134:1 gene:B456_005G070100 transcript:KJB28801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQTALPPELANNVIRLYRECLRRAKYIGHKQHNTALVVDMVRQQFKKHMHETDPEKIQKLKDDAARGLINHILYESEKLSGRKFSNSS >KJB32215 pep chromosome:Graimondii2_0_v6:5:61259677:61261955:1 gene:B456_005G230000 transcript:KJB32215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQLESLVETIKSKVRALKKKSKNNKPYIKMDKSSSVKVEIRSRKARKLIDKTLKAADRPGKQP >KJB31201 pep chromosome:Graimondii2_0_v6:5:53050768:53051891:-1 gene:B456_005G180700 transcript:KJB31201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEGADIRGDVLESILSHVPLIHILPASHVSKSWNYAVFSSLRYFSRPKPWLFLHCQNSRPPYASSSSFAYDPRSNHWLRIHNKNPPLQYASAIRSSSNSTLLYMLSPSKFSFSFDPFHLTWHHVDPPLVWRTDPVVAMVGRHVIVAGGACDFEDDPLSVEIYDLDARRWDACDAMPAILKDSAASAWLSVASSSKTLYIMEQVSGVTYSFDPTSRIWSGPLDLRHDENIFFSVIGIFGDNLVLVGLLGNSENVKDVKVWEVKGKSFEILEEIGIMPKELVEKLKGEDASINSIKISCTGDFIYIYNPREPEELVMCEIGGEGICRWGSLKNPAVSDWSRVAEKMVLTSADVGLGDLGKAAESGEVRFSICE >KJB27900 pep chromosome:Graimondii2_0_v6:5:1117928:1122845:1 gene:B456_005G015400 transcript:KJB27900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin-3 [Source:Projected from Arabidopsis thaliana (AT1G08450) UniProtKB/Swiss-Prot;Acc:O04153] MGKLVPNRSFFLLFFLFLHFLLFDFALSEIFFEERFEDGWKSRWVLSDWKRSEGKAGTFKHTAGKWSGDPDDKGIQTYNDAKHYAISAKIPEFSNKNRTLVVQYSIKIEQDIECGGGYIKLLSGYVNQKKFGGDTPYSFMFGPDICGTQTKKLHVILSYQGQNYPIRKDLQCETDKLTHFYTFILRPDASYSVLIDNRERETGSMYTDWDILPPRKIKDVKAKKPADWDDREYIEDPNDVKPEGYDKIPAQIPDPKAKEPDDWDDEEDGIWKPPKIPNPAYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLRPIKYVGIEVWQVKAGSVFDNILICDDPQYAREVVEDIWARNREAEKEAFEEAEKERRAREEEEAKRAREEGEKRRRERDHRHRDRRHRRRVFYLSSLFTFL >KJB27899 pep chromosome:Graimondii2_0_v6:5:1117906:1123952:1 gene:B456_005G015400 transcript:KJB27899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin-3 [Source:Projected from Arabidopsis thaliana (AT1G08450) UniProtKB/Swiss-Prot;Acc:O04153] MGKLVPNRSFFLLFFLFLHFLLFDFALSEIFFEERFEDGWKSRWVLSDWKRSEGKAGTFKHTAGKWSGDPDDKGIQTYNDAKHYAISAKIPEFSNKNRTLVVQYSIKIEQDIECGGGYIKLLSGYVNQKKFGGDTPYSFMFGPDICGTQTKKLHVILSYQGQNYPIRKDLQCETDKLTHFYTFILRPDASYSVLIDNRERETGSMYTDWDILPPRKIKDVKAKKPADWDDREYIEDPNDVKPEGYDKIPAQIPDPKAKEPDDWDDEEDGIWKPPKIPNPAYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLRPIKYVGIEVWQVKAGSVFDNILICDDPQYAREVVEDIWARNREAEKEAFEEAEKERRAREEEEAKRAREEGEKRRRERDHRHRDRRHRRRHDPRDYLDDYHDEL >KJB28094 pep chromosome:Graimondii2_0_v6:5:2394659:2396675:1 gene:B456_005G027300 transcript:KJB28094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCKRTGPGYATPLEAMSGPKETIIYVNCIYNGTGRKKPDFLATVDVDPNSPTYSKVIHRLSMPYIGDELHHSGWNACSSCHGDPSTTRRFLILPSVVSGRVYVIDTQKNPKAPSLHKVVESEDIVEKTGLAYPHSSHCLASGDIMISCLGDKDGNAKGNGFLLLDSEFNVKGRWEKPGHSPLFSYDFWYQPRHKTMISSSLGAPATFTRGFNIQHVKDGLYARHLHVYSWPDGELKQTLDLGETGLTPLEMRFLHDPCKDTGYVGCAYTSNIVRFFKTEDGSWSHEVAISVKPLKVQNWILPELPGFITDILISIDDRFLYFINWLQGDIRQYNIEDPKNPVLVGQVYVGGLVQKGSPVVAVTEDGKTWQSDVPEIQGHRLRGGPNMIQLSLDGKRLYATNSLFSTWDRQIYPELAEKGSHMLQIDVDTMKGGLKINPNFFIDFGAEPDGPCMAHEMRYPGGDCTSDIWI >KJB28348 pep chromosome:Graimondii2_0_v6:5:4138978:4144128:-1 gene:B456_005G043100 transcript:KJB28348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLRHQPRTRFLPPPEDEPYNIIPMHNLRIDHPGLRYPQVHVVLSSLRAVGDLRKPPFSPWVPSMDLLDWLGLFFGFQHDNVKNQREHLVLHLANAHMRLSLPPADNNGTFQVIVVREFRCKLLENYSNWCSYLGKASNVDSFDSDTRRELLYVGLYLLIWGESANLRFMPECICYIFHHMAMELNKILKDYIDEDTGRPWIPSLSVENAFLNRVVKPIYDTIKAEVAKSKNGAAPHDTWRNYDDFNEYFWSKSCFHTLNWPLDLYSKFTSERKTGFVERRTFWNVYRSFDRLWVMLFLFLHIMVILAWEEKKFPWLALDNKDVTVRVLSLFITWSGMRLFHALLEPAMEFSRVSRHTWGLVHRMVLRILVAAAWTGTFVICYTRIWVQRYHDKHWSNEANQRVTFFLGLAFLYGLPELAELVLFFLPCICLNSKVFDLLSWWFYGDCYIGQGLREGLVDRIKYVLFWVLVLGTKFTVSYFFQIKPMISTTKQLLDSTIADYEWDWQALFSVSNKFTIGLLWLPVVLIYLADTQVWYSFYSPFVGAAMGLLQLLGEIRNIEQLRWRFRFFATAIQFNLLPQEEIMITGGSLREKLCEAIHQVKVRCGLQRPLKMVELESNQVKANKFALIWNEIVTTFREEDIISDQEVELLQLPLNSWEIRVIRWPCFLLGNELLRALDLATDLYDVPDNRLWYKICKNEYRRCAVIETYDCVKYLMLHKLIKSNTEEHSILKALFREIDHSIKTEKLTKTFRMIALLQIHSKLIKLVELLLEPNTSVNEIANAMQSLYAIVVPYLFKAKTMDQLREYGLAPKSRFAMARWLFKNAVKWLDPSNKDFYLQVKRLHTILTTRDSMHTIPVNNEARRRLAFFSNSLFMKMPRAPQVKKMIAFSVLTPFNDEDVLYSKETLETKNEDGISILYYLQTIYDDEWKNFIERMRREGMVTADEIWTTKLRDLRLWASYRGQTFARTVRGMMYYYRALLLLAFLDSSTETDITITKEEEGIALMKYTYVVSCQKYWEHKAIGDPRAEEIIDLLKHNEALRMAYVDQVSTGRDEKEYYSVLIKYEQQLQREVEIYRIKLPGPMTIGEGKPENQNHGIVFTRGDAVQTIDMNQDNYFEEALKIRNLLEEFKHHHGIHRPTILGVREHIFSGSVSSVAWFMSAQETSFVTLFQRLFANPLKIRMHYGHPDVFDRLWFMTRGGLSKASKVINISEDIFAGFNCTLRGGSVTHHEYIQVGKGRDLGLNQISTFEAKIACGSGEQFLSRDVYRLGQRLDFFRMLSFFHTTIGYFFNTTVIILSVYGSLWGQLYLALSGVENSILGYNNNSKKALSSVINQDLIIQFGLFTTLPAILESYVENGFLEAIWEFLVMQLQLSIVFYTFSMGTRVHFFGRTILHGGAKYRQTGRNFVVQHTSFTENYRLYARSHFIKAIEFGLILMVYATYTPSAKVTFFYIDMSVTCWLLVLSWIFAPFLFNPSGFDWLKTVDDFDNFMNWIWQRGSIFAEATESWESWWYKEQHHLVTTSLWGRLLEIILNLRFFFFQYGIVYHLGISGQSGSVFVYLWSWIFIFAAFGIYLTMSYVRDNYGAKKHIYVWLAKFLLMILGILLVIALRQFTAFKYVDVFTSLLALVPTGWGLISIAQVFQPLLRHTRLWDSVVSLARYYEIMFGVIVMGPVAVLSWMPGFQSMQTRILFNDAFSKGLQMFKIITHKVHQ >KJB29051 pep chromosome:Graimondii2_0_v6:5:9857435:9860790:-1 gene:B456_005G081800 transcript:KJB29051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENYNELKKSTASQTGLTDVVLGWVMLYIDDPEDRSAVSLVCRRWYELDALTRKHITVALCYTTSPERLRRRFPHLESLKLKGKPRAAMFDMIPEDWGGYVTPWVKEIAENLTCLKSVHFRRMIVNDSDLEVLGRSRGEVLRCLKLDKCSGFSTDGLLHVGRLCRQLRTLFLEDSSIIEKDGRWIHEIAMNNSVLETLNFYMTDLVKVSFEDLQLIARNCRNLVSVKISDCEILDLVGFFHAAAVLEEFNGGSFYDQPDGYAAVTFPSRLCRLGLTYMGKNEMPIVFPFAPLFKELDLLYALLDTEDHCLLIQRCPNLEVLKTRNVIGDRGLEVVARSCKQLKRLRIEADAEEEGMEDEGLVSQTGLMALAQGCLKLEHLAVYVSDITNASLEYIGTYLKNMCDFRLVLLDQKERITDLPLDKGVRALLRGCGKLRRFALHLRPGGLTDAGLGYIGKYSWNIRWMLLGYVGESDAGLLEFSKGCPKLQKLEIGGCFFSEHALAAAMIRLTSLRYLWVLGYRELSQSSRDLLAMTRLFWNIELVPARRVVKTDRVGEVVVVEHPGHLLAYYSLEGPRIDFPDLIFRLNYQRISLYRSISL >KJB29050 pep chromosome:Graimondii2_0_v6:5:9857523:9859005:-1 gene:B456_005G081800 transcript:KJB29050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWMFEAQLELNCGGKTLAWFVHMIYFFTCWRRQLRTLFLEDSSIIEKDGRWIHEIAMNNSVLETLNFYMTDLVKVSFEDLQLIARNCRNLVSVKISDCEILDLVGFFHAAAVLEEFNGGSFYDQPDGYAAVTFPSRLCRLGLTYMGKNEMPIVFPFAPLFKELDLLYALLDTEDHCLLIQRCPNLEVLKTRNVIGDRGLEVVARSCKQLKRLRIEADAEEEGMEDEGLVSQTGLMALAQGCLKLEHLAVYVSDITNASLEYIGTYLKNMCDFRLVLLDQKERITDLPLDKGVRALLRGCGKLRRFALHLRPGGLTDAGLGYIGKYSWNIRWMLLGYVGESDAGLLEFSKGCPKLQKLEIGGCFFSEHALAAAMIRLTSLRYLWVLGYRELSQSSRDLLAMTRLFWNIELVPARRVVKTDRVGEVVVVEHPGHLLAYYSLEGPRIDFPDLIFRLNYQRISLYRSISL >KJB29234 pep chromosome:Graimondii2_0_v6:5:12222272:12223945:-1 gene:B456_005G090500 transcript:KJB29234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTQPGERVNGANGSAPGRNYKIYWCYQCRQSVRIVSTNPSDIICPRCSGQFVCEMEIDRPRMVVDLTASDPSPEARLFEAPSLIMDPLIRVSNRIRDCSNNQEPVSWPLLGHRLLGPESVNNPLESLQRRRSHSFDGNEIREPAQRFDNQEPLGWPLLRSRLLGAESVNNPFEHRRRYRSHSSYGSEIREQEHVQRSNNQEPLGWPFFRLHFLEHCQRRRSHSYDRNEIQEPSGWPFLRRHFLGAESISNPPEHRQRCRRHGSNGNEVHESLGRPFLRRHFLGAESVNNPLEHRQRCNFRSSGQNENQEPFGWPLLRHHFLGAGSVSNPFEHRGRYRSLSSDENEIREQEFEATHRPRTWIILRPAGPYGPSIAERSLRQANLVQPSIDPRNFFFGPALDDLNDQITQNDRPGVPPAPESTINTIPTVKITEMHLIDNLQCPVCKEEFTVGGEARELPCKHIYHNDCIVPWLRLHNSCPVCRKELPVSSDAESSNCHFPESEVSSGDRGQYRRLRQLASNLCPFHRRHQSSGNEN >KJB29717 pep chromosome:Graimondii2_0_v6:5:22638093:22641633:1 gene:B456_005G115700 transcript:KJB29717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVLSALDAAKIQLYHFKAIIIAGMGLFTDAYDLFCIPPIMKLIGRIYYLDHHNSDKCSVMPKETQYKTTPTAVVSTLVSIALLGTAVGQLVFGRLGDRAGRRRVYGLSLGMMVLSSLACGFSICKTRNCVLVSLGFFRFLLGVGIGGDYPLSATIMSEFANKKTRGAFIAAVFSMQGLGILASSIVTMVVCRIFAVSSNEAKSETPDEADLAWRLILMMGAVPAALTYYWRMMMPETARYTALVEQNVVQAAIDMKKVLDVPMSEIAEDSPIRLKPPALPHPSYPLLSKRFLRRHGVDLFCCAATWFLVDVVFYSSNLLQSQIYHRFIKDNNDVNGYKLAFKVARFQAILAISSTIPGYWVTVYFIDRIGRVKIQMLGFLFMALVYFAIGIPYKYYWSENISVGFMALYSLSFFFSNFGPNTTTFIVPAELFPARFRSTCHGIAGAVGKVGAIIGTIGFFWASRNEKDSGKPNPVRMTVALVILGVVCLVGLLLTYFLTRETMGRSLEDNEIYEDELIYNT >KJB28055 pep chromosome:Graimondii2_0_v6:5:2167928:2169550:-1 gene:B456_005G024700 transcript:KJB28055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLHRSLSLLFIVVCSLSWVSASANSHDDFLECLYSYHPNESSSITQVIYTETNSSYLALLNSSIRNLRFSTPNTPKPLVIVTPLNISHVQATIYCSKKHGLEIRTRSGGHDFEGLSFVSPVPFVVIDLVNLRSVDVDVENEEAWVQSGASVGEVYYRINERSTNLSFPAAVFRTVGIGGSISGGGDGSLFRKYGLSADNVIDAQLVDANGRVLDRRSMGEDLFWAIRGGGGGSFGIVISWKIKLVHVPSNVTFFSVGRTLEQNATQLLHRWQYVAPNLPNDVYPVVTISTTNASENGTKTVLATFISLFQGGADEFFPLMQERFPELGLVKEDFIEMTWIESLLLMNGLSNETSEILLDRSNRYTILPPFAKSKSDYVREPMPEIALQGLWLHLLEVDEGGTAVLNFFAHGGIMEEISETETPYPHRKGTLYKISYVIAWQEEENNNSQRYISWMRKLYSYMGPFVSKSPREAYVNYRDLDIGSNNYYGKTSYKQASNWGRKYFKNNFDRLVYVKTKTDPKNFFKHEQSIPPLLHYTS >KJB28582 pep chromosome:Graimondii2_0_v6:5:5751118:5752998:-1 gene:B456_005G056700 transcript:KJB28582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKFLDWYLKIAIGSAIIGGSMELFMIKTGFYDKVTVLESEKRAWENSPEAQAMREALNPWRNHDAQERKSS >KJB28581 pep chromosome:Graimondii2_0_v6:5:5751479:5752547:-1 gene:B456_005G056700 transcript:KJB28581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKFLDWYLKIAIGSAIIGGSMELFMIKTGFYDKVTVLESEKRAWENSPEAQAMREALNPWRNHDAQERKSS >KJB32950 pep chromosome:Graimondii2_0_v6:5:64137526:64137711:1 gene:B456_005G2698001 transcript:KJB32950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCVPTGKTHTQTINSRKIVFVRGRNP >KJB29979 pep chromosome:Graimondii2_0_v6:5:28391217:28393117:1 gene:B456_005G126600 transcript:KJB29979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPLFYEILEKPATSCIIGICSGIWFYIQKKNIGYQHVGLSYETAIEGHHWRVITSSFSHISVLHLVFNMSALWSLGVIEQLDHLGLGVANYLHYTLVLVVFSGLLVLGMYHFLIQRFKIEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLDLFGFLSLPISFAPFESLIFTSIIVPQASFLGHLSGIIVGYAIAWGLIHGMTNYWAVSMLGWIAVVFIFSLKHSGAYDLSFLEIESVTDPSLPSVRFIRNGRTLQMNTVPVEGIQIV >KJB32133 pep chromosome:Graimondii2_0_v6:5:60823568:60832994:1 gene:B456_005G225700 transcript:KJB32133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRASQRRKRFNVFDFADEDERIEKESAEFLARFKKPKRGSTASPRLNKYNFLQCYCSRTKQKDVSTGLIVLDSECTELQSLQSLKTRKKSKIDEPIDIDAKEVQAIKPAEKLSIYNLGGVNACVTSQQCTFPACCPVNMGQEKISELDSPLQYFSSSYKNEPVSVISDDDDRIEIISSSVFTSPDECRDSLEEQLLVHASEGHEIVTTVLPFERENVKVVISPDFMFYRGMYCTEGQLTFSKTCLKFKGSTVNGTKKKISFVWTVGDIISIDAQWLEGVETAIMNLVLLSKKSKRAGNENEPSAIGLLKFSVCDPCWSEREKAIKSLSVRYKDIWNAISEENEENAFMGQSKRFLSKPFFSDFHEHFEEVIYPKGDPDAISISKRDVAFLQPETFINDTIIDFYIKYLKNKIQPEEQHMFHFFNSFFFRKLADLDKGLSSACQLKAAFERVRKWTRKVDIFAKDYIFIPVNYSLHWSLIVICHPGEVANFRDDGTENLLNVPCILHMNSIRGSHRGLKNLFQSYLSEEWKERHGEAADDVPSNFLHLQFVQLEVPQQENSFDCGLFLLHYMELFLLQASPDFCPFKLNMHWFPPAEASLKRFHIKKLICEIFDKQSCYSTSLLPVRSEQETGVQIGSSAKTCYGYSSNSTVNQGPNNFALPAASPPIVLRSHKELGLEGFKFYGVDMHGGSLSHGNYQQINTVGKRNAMSPIEVTFISLLPTSLKHEVKQFASETLNHPCAHVYALRQQKIFHRKYELFL >KJB27458 pep chromosome:Graimondii2_0_v6:5:62908105:62908290:-1 gene:B456_005G249600 transcript:KJB27458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLPRIVGSKKVPKGYFAVYVGENQKRFVIPVSFLSHPTGGLTIPCNEDIFLDVTSRLN >KJB31217 pep chromosome:Graimondii2_0_v6:5:53248428:53251142:-1 gene:B456_005G181700 transcript:KJB31217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSNNVVETINRDCHDFKSLLSSSDRDFLVRNNGDQVKIDSLKGKKLGLYFSASWCGPCRRFTPNLIEVYTELSTKGDFEVIFVSADEDEESFNGYFSKMPWLAIPFSDSEARKRLDEPFSVKGIPHLVLLDENGKVLTEEGVEIIREYGEEGYPFTPEKIQELKDLEEKAKKEQSIKTILVSRSRDFVVTSDGSKVPVSELEGKTVGIYFSASSFKPSADFTQKLAEVYSKLKENGEKFEVVMISLDDDDESFKQSFGAPWLALPSKDKSCEKLARYFELSTLPTVVIIGPDGKTLHPNAADAIDEHGIVAYPFTPEKFAELKEIEKASEATQTLESVLVSGGLDFVLGKDGAKVKVADLVGKTILLYFSAHWCPPCRAFTPSLVEVYKKIKEKDDAFEVIFISSDRDQSSFDDYYSGMPWLALPFNDARKSSLSRKFKVQGIPMLIALGPTGKTITKEVGSVMGRSALELHELCPCIHQHTSWLSC >KJB31218 pep chromosome:Graimondii2_0_v6:5:53248765:53250908:-1 gene:B456_005G181700 transcript:KJB31218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSNNVVETINRDCHDFKSLLSSSDRDFLVRNNGDQVKIDSLKGKKLGLYFSASWCGPCRRFTPNLIEVYTELSTKGDFEVIFVSADEDEESFNGYFSKMPWLAIPFSDSEARKRLDEPFSVKGIPHLVLLDENGKVLTEEGVEIIREYGEEGYPFTPEKIQELKDLEEKAKKEQSIKTILVSRSRDFVVTSDGSKVPVSELEGKTVGIYFSASSFKPSADFTQKLAEVYSKLKENGEKFEVVMISLDDDDESFKQSFGAPWLALPSKDKSCEKLARYFELSTLPTVVIIGPDGKTLHPNAADAIDEHGIVAYPFTPEKFAELKEIEKASEATQTLESVLVSGGLDFVLGKDGAKVKVADLVGKTILLYFSAHWCPPCRAFTPSLVEVYKKIKEKDDAFEVIFISSDRDQSSFDDYYSGMPWLALPFNDARKSSLSRKFKVQGIPMLIALGPTGKTITKEARSLVMAHGADAYPFTEERLKEIEAQIEEMAKGWPEKLKDERHEEHELVLTRRSNYVCDVCDEMGQVWSFYCEECDFDLHPKCALEKETKADAKEGWVCDGEVCTRAS >KJB30954 pep chromosome:Graimondii2_0_v6:5:49625441:49630824:1 gene:B456_005G169300 transcript:KJB30954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISEVEENLFVGSDAKLHGEMCKILSAIYCKVLSIFPSLEAARPRSKSGIQALCSLHIALEKAKNVLQHCSTCSKLYLAITGDSVLLKFEKAKCALIDSLRRVEDIVPQSIGCQILEIVSELEGTVFSLDLLEKQVGDEMITLLQHGRKFDDCNDNNELESFHQAATRLGITSSRAALTERRALKKLIERARAEEDKRKESIVAYLLHLMRKYSKLFRSEVSDDNDSQGSTPCSPTVLGSLEDGGSGGNGQAFERQLSKLSSFNFKPNIRRSGQIPIPPEELRCPISLQLMYDPVIIASGQTYERICIEKWLGDGHDTCPKTQQRLPHLSLTPNYCVKGLIASWCEQNGVPNPDGPPESLDLNYWRLALSESETANTRSVDSVGFCNLKGVKVGPLEESGTIEEIEGKEAENEHPCVQEEVSELNVLERYQDLLSVLNEEENLRKRCKVVEQIRLLLKDDEEARIFMGANGFVEGLLQFLDSAVREGNAMAQEIGAMALFNLAVNNNRNKELMLAAGVILLLEDMVSNSNAHESATALYLNLSCLEQAKSIIGSSKAVPFLVQLLGSETDPQCKLDALHTLYNLSTVHSNIPSLLSAGIVNGLQPLVISGDNAWAEKSIAVLLNLAASQAGISEMVSACGLISDLASVLDTGELIEQEQAVSCLLLLCNGSEKCCQMVLQEGVIPALVSISVNGTTRGREKSHKLLMLFREQRQRDHPPADANMSIETSQDPMPATATAQESNPPCKSVSRKKMGRALSFLWKSKSYSVYQC >KJB31058 pep chromosome:Graimondii2_0_v6:5:50599785:50600610:1 gene:B456_005G174000 transcript:KJB31058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPIPEGFERTTKKAYNKSLDGPEPEDVVEDGFPNVSWQDKLVGNVEERELEDEIVVESKLDIGEDDYTISTEDKYPKITFSKRIHEWIDRSMAKTVVDYDNALMGGPWMIYGHFLVVQPWSRDFITSESYPSKMVVWVRFPRLPYRYYTKGLLRVISKVLGKIIKVDYNTIKAKRCHFAHLEVVVDLKKPLISCVGIDGQVQYVEYEGLPIICYECECYRHTKGSCLKQ >KJB28551 pep chromosome:Graimondii2_0_v6:5:5576603:5578516:1 gene:B456_005G055000 transcript:KJB28551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFFPLTRYLLFALKASRYHTSASVLDSPFNLLHFLNLSSTHQCLQFTKQAHARVFLLGFNQNPFLASKLLSLYALFGHLTQSKAVFDSVQDKNVYLWNSMINGYLKSYSYAEAFDLFCKMDCFNVKPDDFTLATISKVAGEIRDLVVGKLVHCQSVKTGFVVDIVVSNSLISMYGKCGEFEAMKKVFDEMRERNVGSWNALISGYSNSGDWRSVKDLWEVVKSMQFHGMHLDGFTVSSLLPLSSGGCTWGCSYGRELHCYTLKNELDSCFGSDVHLGCCLIDMYSKSGKVSTGRNVFDRMGSRNVYAWTAMINGYIENGDFEEALVLFQEMQLKDGIEPNKVSLVSVLPACGSYAGLRAGKQIHGYATRKELTCDVALRNALIDMYSKCGSLNSARQVFDEGSFGKDAISWSSMICGYGLHGKGEEAISLYNQMLLLGNKPDMITVVGVLSACCRSGLVNEGLSLYNTIKNEYGIKPTVEICACVVDMLGRSGQLDQALDFIRTMPVEPGPSVWGALVNASVMHGNIEMQELAHRFLIHLEPKNPSNYVSLSNLHASSKRWDAVAGLRKMMKEKGLKKAPGCSWININGKTHCFYVADKAHPHSTLIYEILDGLILIMKGAGYPIDSEELIPITS >KJB32322 pep chromosome:Graimondii2_0_v6:5:61760893:61761909:1 gene:B456_005G235400 transcript:KJB32322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress enhanced protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34190) UniProtKB/Swiss-Prot;Acc:Q9M7I9] MALAQVSASLSLTVHDVSPIISPTTTHKFSRVPISSFSGTGSIFATGSPLLIRESFNQRKPICKATTFTIKCEQSTKGGSSNSLDVWLGRLAMVGFAVAITVEISTGKGLLENFGLITPLPTVALVVTALVGVLTAIFIFQSASKSS >KJB27986 pep chromosome:Graimondii2_0_v6:5:1638720:1643360:1 gene:B456_005G020300 transcript:KJB27986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTGFRFSPTDEEVIEILSQKVSGKTSMAAFDFIIQKNIYDFEPQELHGSESTIGLNKNERYYYCRRATDSREVMGRGWWKATSHVKAVSSPNGEVMGYKRPLTFHRFKDNIQGNRKGAIKTDWIMHEYCLQSIHTEWRLCKIKYKGKEKVEEDMTPMEKRHSNNKTLLTSFEAKDGSSSSSNSIAPMQLELAFEEPPLTQPILPVSNDINYYYDSYCWSNSNMMLYEDHQLADQLDTLSEQPFSDLWSWDDYH >KJB30777 pep chromosome:Graimondii2_0_v6:5:45803669:45809182:-1 gene:B456_005G159200 transcript:KJB30777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNPSGYEKYSGSAAEATKFGQDAATTGIPVSSSNAYYSDTSQTTNTRPQTKTRVPWSSGLCGCFSDWRNCCITCWCPCVTFGQIAEIVDKGSSSCGVNGALYTLIACVTGCACCYSCFYRAKMRQQYMLKKHPCGDCLVHCFCEYCALCQEYRELKSRGYDLSIGWHGNMEKQSREVAMTSIPPTVEGGMSR >KJB30778 pep chromosome:Graimondii2_0_v6:5:45808314:45809182:-1 gene:B456_005G159200 transcript:KJB30778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNPSGYEKYSGSAAEATKFGQDAATTGIPVSSSNAYYSDTSQTTNTRPQTKTRVPWSSGLCGCFSDWRNCCITCWCPCVTFGQIAEIVDKGSSSCGVNGALYTLIACVTGCACCYSCFYRAKMRQQYMLKKHPCGDCLVHCFCEYCALCQEYRELKSRGYDLSIGNLF >KJB30355 pep chromosome:Graimondii2_0_v6:5:36287009:36293991:1 gene:B456_005G139200 transcript:KJB30355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPHGSIPIKDYAIETPFKKPSKDDTFHEVKIDFNPSSAIEAIHFILKDEETGASYQHKGRDFRVSLVNYLEDDGNMIHTKRSFGKWPDAVEQLPNMVLKAEASCDSKNENRRLEGFYEEQPIVKEVSVGNMVNVAIRKFPDAANIVLHLETDIPGDVVVHWGVCRDDAKTWEISAVPYPPETTVFRNKALRTLLQPTGNGSRALFTLDEKLDGFLFVLKLDANSWVNFQGNDFYIPLSSARSVKGQSDSESEETSGKAHTDGIINEIRNLVSGLNSEKSLQTKVKEAKESILNEIEKLAAEAYSIFRSSTPSYPEEDSDADDAEPTINISSGTGSGFEILCQGFNWESNKSGRWYMELKEKASEISSLGFTVIWLPPPTESVSPEGYLPKDLYNLNSRYGTIDELKDLVKSLHGVGLKVLGDVVLNHRCAHYQNQNGVWNIFGGRLNWDDRAVVGDDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRKDLIEWLCWLREEIGYDGWRLDFVRGFWGGYVKDYLDASEPYFAVGEYWDSLSYTYGEMDHNQDAHRQRIVDWINATNGTAGAFDVTTKGILHSALERREYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPAVFYDHIVSHHRSEIGALISLRNRNKIHCRSIVEIVKAERDVYAATIDDRVAMKIGPGYYEPPSGPQRWSLALEGYDYKVWEASS >KJB30357 pep chromosome:Graimondii2_0_v6:5:36288262:36293991:1 gene:B456_005G139200 transcript:KJB30357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKAEASCDSKNENRRLEGFYEEQPIVKEVSVGNMVNVAIRKFPDAANIVLHLETDIPGDVVVHWGVCRDDAKTWEISAVPYPPETTVFRNKALRTLLQPTGNGSRALFTLDEKLDGFLFVLKLDANSWVNFQGNDFYIPLSSARSVKGQSDSESEETSGKAHTDGIINEIRNLVSGLNSEKSLQTKVKEAKESILNEIEKLAAEAYSIFRSSTPSYPEEDSDADDAEPTINISSGTGSGFEILCQGFNWESNKSGRWYMELKEKASEISSLGFTVIWLPPPTESVSPEGYLPKDLYNLNSRYGTIDELKDLVKSLHGVGLKVLGDVVLNHRCAHYQNQNGVWNIFGGRLNWDDRAVVGDDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRKDLIEWLCWLREEIGYDGWRLDFVRGFWGGYVKDYLDASEPYFAVGEYWDSLSYTYGEMDHNQDAHRQRIVDWINATNGTAGAFDVTTKGILHSALERREYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPAVFYDHIVSHHRSEIGALISLRNRNKIHCRSIVEIVKAERDVYAATIDDRVAMKIGPGYYEPPSGPQRWSLALEGYDYKVWEASS >KJB30356 pep chromosome:Graimondii2_0_v6:5:36287705:36293693:1 gene:B456_005G139200 transcript:KJB30356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHTKRSFGKWPEASCDSKNENRRLEGFYEEQPIVKEVSVGNMVNVAIRKFPDAANIVLHLETDIPGDVVVHWGVCRDDAKTWEISAVPYPPETTVFRNKALRTLLQPTGNGSRALFTLDEKLDGFLFVLKLDANSWVNFQGNDFYIPLSSARSVKGQSDSESEETSGKAHTDGIINEIRNLVSGLNSEKSLQTKVKEAKESILNEIEKLAAEAYSIFRSSTPSYPEEDSDADDAEPTINISSGTGSGFEILCQGFNWESNKSGRWYMELKEKASEISSLGFTVIWLPPPTESVSPEGYLPKDLYNLNSRYGTIDELKDLVKSLHGVGLKVLGDVVLNHRCAHYQNQNGVWNIFGGRLNWDDRAVVGDDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRKDLIEWLCWLREEIGYDGWRLDFVRGFWGGYVKDYLDASEPYFAVGEYWDSLSYTYGEMDHNQDAHRQRIVDWINATNGTAGAFDVTTKGILHSALERREYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPAVFYDHIVSHHRSEIGALISLRNRNKIHCRSIVEIVKAERDVYAATIDDRVAMKIGPGYYEPPSGPQRWSLALEGYDYKVWEASS >KJB30583 pep chromosome:Graimondii2_0_v6:5:41665056:41668280:-1 gene:B456_005G150400 transcript:KJB30583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLTDSFVGDAKGHRDIEMGRQVPGPGSNSDMGMEAFNKQIQEVEKQVEKLSGLLRKLKDANEESKSVTKASAMKAIKKRMEKDIDEVGKIARNVKARLQAINKDNLANRQKPGCEKGTSVDRSRTNVTNAMATNFKDLMIEFQTLRQKIQDEYREVVERRVTTVTGTRPDEKTIDRLIETGNSEQIFQKAIQEQGRGQILNTVEEIQERHDAVTEIEKKLLDLQQIYLDMAVVVGAQGEILDNIESQVNNAVDHLQSGTEALQTAKRLQKKSRKCMMISIILLLIIALIIVLSILKPWKK >KJB28787 pep chromosome:Graimondii2_0_v6:5:7431637:7433753:-1 gene:B456_005G069500 transcript:KJB28787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSSNMGAEADIIFRHQVVDVMSISTQVSRFDSVAACAETIGDAVIESSVVKFVPRIRSGSCANIGPRRSMEDEHIRIDDLSSHLGSIFNPSIPSAFYAVFDGHGGVDAAAYIKSNATRLFFEDFDFPQVSDIDAVFLKELEDSHRKAFLLADLALAAESSVSSSCGTTVLTALVLGRHLLVANAGDCRAVLCRKGTAVEMSQDHRPSYLPERKRVEELGGFIDDGYLNGYLSVTRALGDWDMKFPLGSASPLIAEPDVRQIVLSEDDEFLIIGCDGIWDVMSSQFAVGLVRRALRRHDNPEECAKELVNEASRLNSSDNLTAIVICFSSPARVESCPPHRRRFRSCNLSEEARNKLKSLLEGN >KJB31970 pep chromosome:Graimondii2_0_v6:5:59928696:59929178:1 gene:B456_005G216400 transcript:KJB31970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIIQSFQKNSSLPVSQTESKDHFPPPAGPGLLRRRLSSLSLKLQPTSSPATSWTFPRSKSLSSMGEYAGSSIRKWWDWGWSWVLSKKPSFAKDIEMNEEETRILGCHNKGSWRHVFYKVRSEIRKLVGSDKVGLPQTYRYNSLDYSKNFDDGKNYTYG >KJB29520 pep chromosome:Graimondii2_0_v6:5:18392977:18394349:-1 gene:B456_005G105200 transcript:KJB29520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof zinc finger protein DOF1.6 [Source:Projected from Arabidopsis thaliana (AT1G47655) UniProtKB/Swiss-Prot;Acc:Q9SX97] MPAGSGDRRPVRAPGTMGSHPAAKLTEPLPCPRCDSTSTKFCYYNNYNLSQPRYFCKSCRRYWTQGGTLRNVPVGGGTRKSSKRSRSSSSLLSPSVATSSSSSVTHEAESVHMAVNPVSAMPATGVKPEVGLADVNLNDTVDLPVSGGFTSFLNSQAEGYLTLGGYGLGTGSGFDGVWGYPGNGYLGVYNGGDGDEPAEAVPGATGCNTWQATGNVEGGGGLVDGDCFGWPGLAISAPGKAGHSRVMRMSAGFSYQLFNHYLIIPRGIFC >KJB29838 pep chromosome:Graimondii2_0_v6:5:25293619:25294557:1 gene:B456_005G120500 transcript:KJB29838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLYEYDIFWAFLIISSAIPILAFLIYGVLAPIRKGPKKHSSYESVFVVFDVETVFLYPWAMSFDILGIPVFMEAFILVLILIVARTVIMKMVPSLVRLYEQMPEPKNVLAMGTWTVTGGMFSTNYYSTVQGVDKLIPVSVHLSGCPPKPEAVIDAITKLHKKISHEIYKDRIRSQQGD >KJB28872 pep chromosome:Graimondii2_0_v6:5:8070997:8074827:1 gene:B456_005G073600 transcript:KJB28872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEEARNRRCKLLLVSLLLVTSYCLKFMVTNLLQRKLFIFLRIEAKLFTVELGSPALVSLFPVLFLPSIPGGVVCKMLKQAIWKVLSKRVVSDSGDKLLLPCLYLPTTSFHAGQILLNLSSFWISLLW >KJB30291 pep chromosome:Graimondii2_0_v6:5:34702687:34703309:1 gene:B456_005G135800 transcript:KJB30291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWHLTVGAHTEIKLEDHKLRIKYAKNATIAAMDEGIIHTIKNSIEDSDEQIGADIVAKALLAPSKLIATNACVDREFVVEKARKLD >KJB28413 pep chromosome:Graimondii2_0_v6:5:4423625:4425668:1 gene:B456_005G046500 transcript:KJB28413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTERDLNFNETELCLGLPGGSGGGGGAAAAGGGGKNEVESSPKTTGKRGYSETVDLKLNLQSKEGCMDLNQNLENGSKEKNHLPAKPPAKAQVLGWPPVRSYRKNIMANQKNNSDEISDRASSGSGGAAFVKVCMDGAPYLRKVDLKMYNSYQKLSDALAKMFSSFTMGSYGCEGMIDFMNESKLMDLLNSSDYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKCKSRA >KJB31839 pep chromosome:Graimondii2_0_v6:5:59143510:59144490:-1 gene:B456_005G210400 transcript:KJB31839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAIEVSDEKVKAMWDALKKEWKAWKKLKGDDTGLGWNPTKRIVDASDEWWESRLQVVPEAKKFKTSGIDPKFEGKLDQMFMGIVATGDKAWAPSSGTLRSDFFEDVNNEIPEESEEENMRNDVHISNDVQIDGNADNMSQATSSLTPIMDPYGIPQAVKMLDSMSEEVPEASPLYFFALRLFLNKDKRIMFLSMNPKIRALWRKTEMEDS >KJB32218 pep chromosome:Graimondii2_0_v6:5:62034529:62036115:-1 gene:B456_005G238900 transcript:KJB32218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCMRSYKRSVERAEMEASKKRLLLSKRRKSVVSIELQELALASPADVELDNAITAASATSDVVFAGDKCCRLSHDEFSFSSCFSNGCCDVVKDSLRFVDLKAKSFETEISTCINVNRFSQKTTPLTEEEMKSPEIKTPPSPAKQPKTPSQEEIDEFFTVAENYEQKRFMEKYNYDIVKDMAVDGRYQWVRLKP >KJB32219 pep chromosome:Graimondii2_0_v6:5:62034651:62036053:-1 gene:B456_005G238900 transcript:KJB32219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCMRSYKRSVERAEMEASKKRLLLSKRRKSVVSIELQELALASPADVELDNAITAASATSDVVFAGDKCCRLSHDEFSFSSCFSNGCCDVVKDSLRFVDLKAKSFETEISTCINVNSQKTTPLTEEEMKSPEIKTPPSPAKQPKTPSQEEIDEFFTVAENYEQKRFMEKYNYDIVKDMAVDGRYQWVRLKP >KJB32825 pep chromosome:Graimondii2_0_v6:5:63720656:63722658:-1 gene:B456_005G263800 transcript:KJB32825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDKGGTMVMEQSNNLHSRPKLGTLFKSEPSLFPTGAEDDEVPNRKSSASMASPRCSNSTTTSGEGSPYIMSPWIQASPYVKSPWVINPSMLQADYGQDGLIASIVREEGHIYSLAAAGDLVYTGSDSKNIRVWKDLKEFSGFKTKSGLVKAIIVLGGKVFTGHQDGKIRVWKVSSTTSPSVHKRLGSLPTFKDIIKSSVKPKNYVEVRRNRNVLRIKHFDAVSCMSVNEEVGLLYSGSWDKTLKVWRLADSKCLESIDAHDDAINSVVAGFDSLVFTGSADGTVKVWKRELQGKGTKHFLVQVLLKQENAVTSLAVSRESAVLYCGSSDGLVNFWERDKHLSHGGILRGHKMAVLCLATAGNLVFSGSADGSICVWRREKGGIHKCLSVLTGHTGPVKCLAVEEDDRTSRKTDRKWIVYSGSLDKSVKVWRVSENAPDMKEIKQVS >KJB32364 pep chromosome:Graimondii2_0_v6:5:61893154:61895584:-1 gene:B456_005G237300 transcript:KJB32364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSTSVTPSSHLAAKLAPPRAISSHFSSNPNSLNISFPLKNGSFKLRRNAPSITLKTLFAHDPKAAVVTKDTWEKSVMNSDAPVLVEFYASWCGPCMMVHRIIDEIASEYADRVSCFILNTDTDFPVAEVYNIMAVPVVLLFKNGERKESVVGTMPKEYYIAAIERILKS >KJB28097 pep chromosome:Graimondii2_0_v6:5:2411342:2412424:1 gene:B456_005G027600 transcript:KJB28097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILKLIFITLTLPLLFLHSYVAVSILELQNALKTSEIDATVRRACSKKLEDCLESEDMESETQRRLLLMQRRYISYETLRRDMVPCQKPGSSYYDCGAAQANPYSRGCEIITRCARGIKGTKT >KJB28411 pep chromosome:Graimondii2_0_v6:5:4376116:4377882:-1 gene:B456_005G046200 transcript:KJB28411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTTNFLPNSPSNFPGKIKMSSLGTSKGVLEIAKFGLYVTIPIVLMYTFANNTKNLQKFMGNRSYVVYPPEGPRPPSPEELREMARELARKKNNH >KJB31453 pep chromosome:Graimondii2_0_v6:5:56039381:56039933:1 gene:B456_005G192300 transcript:KJB31453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQWLTEWRAEIRKKLDGIINTKVNPLTEQNQTMAPSTYLSTLSYASNPPKPISTKTVVVITNSTSLSYSLALYKLIYNKSFFFFKPDPKNLLLHYSPQASQSNSITIN >KJB29415 pep chromosome:Graimondii2_0_v6:5:15536927:15538523:-1 gene:B456_005G099200 transcript:KJB29415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSASQCGSGCESGWTFYLCQSSYSQTRCQNFGGNFDEVYGVKGARFGVEDEEEGLSMVSDASSGPRHYYCQDYVECLDENRSFCSHPVNPEPANKTSKNKKKMKEHCSNNQQHSYLDDTASSPVTSFSEKNINKDASMELLDFSQGFSGTHFKGKSSSFQKKLGFLKTGKAGSKNLQGRSKE >KJB27802 pep chromosome:Graimondii2_0_v6:5:738637:742084:1 gene:B456_005G010600 transcript:KJB27802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAMIATHESKACNQLLRLLKSSKKCQIIVVVETQWKVLHMEVPSCLSLHLKEIKILNFKGDMRMFEMISYFLDNAMVLEKLMIGMKSLSETQQSIVFNQLLQLPKSSKKCQVVIF >KJB27803 pep chromosome:Graimondii2_0_v6:5:739670:742679:1 gene:B456_005G010600 transcript:KJB27803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANGDAAGYILHTRSIKKRHEGIVQVRAFLIFLPQIRVGGCHRHPRPPSEFEARHKLVKRNHKTKAKNSPVPIAGRRTGLQFSYLMAMCSEDRISSLPDHILCHILSFLPLKEAVRTSVISTKWRYLFASISTIEFDDSLLSGLTDRNVDSFKNFVDRLLKFPDQVSLDCFRLSDGISLNDEDHDFDVSGWICAALCRGVKEIDLFLDYFGCVLTVPAVLFTCHSLVTLKLNAECRKIDVPSDVCLGNLKTLQLRNTVVDGDSIHRLISNCHVLEDLAFIECHLMYASALNIKTPSLKRLVLDLDVVEYGDFNNVVVINAPNLEKADISIYLFGFSDRETSATHLIQGIIQGICTVRSLNLTINDLIFRTCRLPIFHNLIKFEYGVLGSNGRETWLVEFLHCAPNLNTLTLNFLVSQC >KJB27804 pep chromosome:Graimondii2_0_v6:5:740437:742147:1 gene:B456_005G010600 transcript:KJB27804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMCSEDRISSLPDHILCHILSFLPLKEAVRTSVISTKWRYLFASISTIEFDDSLLSGLTDRNVDSFKNFVDRLLKFPDQVSLDCFRLSDGISLNDEDHDFDVSGWICAALCRGVKEIDLFLDYFGCVLTVPAVLFTCHSLVTLKLNAECRKIDVPSDVCLGNLKTLQLRNTVVDGDSIHRLISNCHVLEDLAFIECHLMYASALNIKTPSLKRLVLDLDVVEYGDFNNVVVINAPNLEKADISIYLFGFSDRETSATHLIQGIIQGICTVRSLNLTINDLIFRTCRLPIFHNLIKFEYGVLGSNGRETWLVEFLHCAPNLNTLTLNFLVVVETQWKVLHMEVPSCLSLHLKEIKILNFKGDMRMFEMISYFLDNAMVLEKLMIGMKSLSETQQSIVFNQLLQLPKSSKKCQVVIF >KJB28741 pep chromosome:Graimondii2_0_v6:5:7358577:7358714:-1 gene:B456_005G0690001 transcript:KJB28741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGQNEMQKLFK >KJB30274 pep chromosome:Graimondii2_0_v6:5:34485004:34489936:-1 gene:B456_005G135300 transcript:KJB30274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVSTPKDSGGNRRRPGNIGEVSVYVPGLRIPKSVDFFQSLGGHLSKTLVERLTALRTRIVVMAGQEAPTITRTRRKTATQHGGSTLADLHQALEDYLPVLLGLVTDGSQLQYKVQFIWVNQEDDAEETAMFNAWYEVLSVLHLMAMLLLSQANLLLLPRTSADGYQPKVSEESRRASIDIFLKAAGYLDCAVRHVLPQLPSELRRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNVMNLPLSNGWGEKHRLFIKWKYIEAKSQPSLTAPTIKKPSLCQPFDQKTATGSCACGTHAPPYFFYPTPTRRRVRVCGPRLQPLLSSVNLPIVHPPTVVLSPY >KJB30273 pep chromosome:Graimondii2_0_v6:5:34474166:34489959:-1 gene:B456_005G135300 transcript:KJB30273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVSTPKDSGGNRRRPGNIGEVSVYVPGLRIPKSVDFFQSLGGHLSKTLVERLTALRTRIVVMAGQEAPTITRTRRKTATQHGGSTLADLHQALEDYLPVLLGLVTDGSQLQYKVQFIWVNQEDDAEETAMFNAWYEVLSVLHLMAMLLLSQANLLLLPRTSADGYQPKVSEESRRASIDIFLKAAGYLDCAVRHVLPQLPSELRRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNVMNLPLSNGWGEKHRLFIKWKYIEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKRACEVFNAAHPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSYEKIMETAPTLPDFALALKPDEYQLPPADPSWNENVQLSHIGINQVNHDKR >KJB27797 pep chromosome:Graimondii2_0_v6:5:706106:715171:1 gene:B456_005G010100 transcript:KJB27797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYDWHARIKLQGEQQTIEELWKLLKAPTLAIGISEGDYLPSRQHLKDCRGEIIYNDDFEGAFCLRYHQNGVFRFNCADSLDRTNAASYFGSLQVFVEQCQRLQLSLDNDLANGYQSVKNYDGYTAPLPRGWEKRSDATTGKTYYIDHNTRATTWNHPCPDKPWKRFEMTFDKFKRSTILSPVSQLADLFLLAGDIHAILYTGSKAMHSHILNIFNEEAGKFKQFSAAQNMKITLQRRYKNAVVDSSRQKQLEMFLGIRLFKHLPSVPIQPLQVLSRPSGFFLKPIRGMFETSNGGDSLLSFKKKDLIWVCPEGVDVVEVFIYLCEPCHVCQLLLTVSHGADDSTYPSTVDVRTGRSLDGLKLVVEGAFIPQCGNGTNLLIPLTGPISADDMTVTGAGAYLPNEDTSLSLLYDFEELEGDLDFLTRIVALTFYPAASGSPITLGEVEILGVSLPWNEVFANEGRGAKLTELATKYQKETNPSLSGSDTNPFSSTSISNEAVPASAKQGAAYKGFDLLTPADLSSEPVSYPVTDLDQAFVGGCHVPETDCKSSTSQDSKPQENGSEKYLNCLKSLAGPNMERNLHFLEAMKLEIERLQLNLSAAERDRALLSIGTDQASVNPNILLDESYMGRLYRVANILALLGQNALEDKTIGSIGLGKIKYNVIDFWNISRIGESCSRRMCEVRVKTKATISSSLMVSYKEGSESVFMCSQCKRKACRVCCAGGGALLLQNYIREETNCNGLLSHSSQVDRTANHSVILDTAICKKCCHKIILDALALDYVRVLISSRRRARAYSAANMALDEVMGSSFLDGLPDRSHPYRNQQGVKVLEQLLSGEKSLAEFPFASFLHPVETAKDSAPFLSLLTPLDSGSWHSYWKAPLNTTSVDFVIVLDTLSDVKGVILIVSPCGYSEADAPMVQIRVSKKLEREERSFIGKWDVQSLISSSPEFYGPEKSGRDNKLPRHIKFPFTNPVRCRIVQITLSLPLQRPGSSSVNFDKDINLLSLDDTPFPQETRSFEAAMPRDPCLHAKRIVIVGSPANEEIGLRSSQSTDQINYINRLNQTPQLRFKVPIEAERLMYHDLVLEQYLPPSLPLLAGFQLDAFNAIKPRITHLPSSDADILDISITSLEDRHISPAVLCIQVSAIQEGKGSKMVPIAEYRLPETKPGTPMFFDFPKQIQTRRICFKLVGDVSAFADDTTEQDGSGFRAPPASLSLSNKIKLYHYSYNHYDT >KJB27796 pep chromosome:Graimondii2_0_v6:5:705299:715171:1 gene:B456_005G010100 transcript:KJB27796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPVGGSRNTSIVVVGVDPGEVYIVVSLSTRIDTQVIYVDPTTGVLRYHGKFGLDVFKSEKKALDYLTNGSPWLCKSKIHAKAILGYAALGSYGLLLVATRLADTIPSLPGGGCASTVIESQWIKIPLHNPQPQGRGEVKNIQELTELDIDGKHYFSETRDLTRPFPSRMPVLSPDNEFVWNGWLSMPFKNTGLKQHCVILLQGFAESRSFVSSGQAGVVALIARRSRLHPGTRYLARGINSCFSTGNEVECEQLVWVPKRTDQSVPFNTFTWRRGTIPIWWGAELKITAAEAEIYVSDRHPYKGSLQYYQRLSKRYDTRTLDGDTGENQSKNAFVPIACINLLRNGEGKSERILVQHFEESLNHIKSTGKLPCTRIHLMNYDWHARIKLQGEQQTIEELWKLLKAPTLAIGISEGDYLPSRQHLKDCRGEIIYNDDFEGAFCLRYHQNGVFRFNCADSLDRTNAASYFGSLQVFVEQCQRLQLSLDNDLANGYQSVKNYDGYTAPLPRGWEKRSDATTGKTYYIDHNTRATTWNHPCPDKPWKRFEMTFDKFKRSTILSPVSQLADLFLLAGDIHAILYTGSKAMHSHILNIFNEEAGKFKQFSAAQNMKITLQRRYKNAVVDSSRQKQLEMFLGIRLFKHLPSVPIQPLQVLSRPSGFFLKPIRGMFETSNGGDSLLSFKKKDLIWVCPEGVDVVEVFIYLCEPCHVCQLLLTVSHGADDSTYPSTVDVRTGRSLDGLKLVVEGAFIPQCGNGTNLLIPLTGPISADDMTVTGAGAYLPNEDTSLSLLYDFEELEGDLDFLTRIVALTFYPAASGSPITLGEVEILGVSLPWNEVFANEGRGAKLTELATKYQKETNPSLSGSDTNPFSSTSISNEAVPASAKQGAAYKGFDLLTPADLSSEPVSYPVTDLDQAFVGGCHVPETDCKSSTSQDSKPQENGSEKYLNCLKSLAGPNMERNLHFLEAMKLEIERLQLNLSAAERDRALLSIGTDQASVNPNILLDESYMGRLYRVANILALLGQNALEDKTIGSIGLGKIKYNVIDFWNISRIGESCSRRMCEVRVKTKATISSSLMVSYKEGSESVFMCSQCKRKACRVCCAGGGALLLQNYIREETNCNGLLSHSSQVDRTANHSVILDTAICKKCCHKIILDALALDYVRVLISSRRRARAYSAANMALDEVMGSSFLDGLPDRSHPYRNQQGVKVLEQLLSGEKSLAEFPFASFLHPVETAKDSAPFLSLLTPLDSGSWHSYWKAPLNTTSVDFVIVLDTLSDVKGVILIVSPCGYSEADAPMVQIRVSKKLEREERSFIGKWDVQSLISSSPEFYGPEKSGRDNKLPRHIKFPFTNPVRCRIVQITLSLPLQRPGSSSVNFDKDINLLSLDDTPFPQETRSFEAAMPRDPCLHAKRIVIVGSPANEEIGLRSSQSTDQINYINRLNQTPQLRFKVPIEAERLMYHDLVLEQYLPPSLPLLAGFQLDAFNAIKPRITHLPSSDADILDISITSLEDRHISPAVLCIQVSAIQEGKGSKMVPIAEYRLPETKPGTPMFFDFPKQIQTRRICFKLVGDVSAFADDTTEQDGSGFRAPPASLSLSNKIKLYHYSYNHYDT >KJB32793 pep chromosome:Graimondii2_0_v6:5:63648614:63653364:1 gene:B456_005G262000 transcript:KJB32793 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04850) UniProtKB/Swiss-Prot;Acc:Q9M0Z3] MLESVSCCHSPKGYDFVKRKNQGGAYSQAMSWFSGHSSYVPYINNILFHSRPLSVKARMNNCTHVLKHMFGGTPSLPSSPSNSRGLSFSNHGLFHQRRSRIYAAVEVASAIDVINDLGLDTLTFLAVTVLVVPAFKIIRASPILGFFFAGVVLNRFALIRNLTDVKVLSEWGILFLLFEMGLELSLDRLKALAKFAFGMGLTQVFLSTLAFTAFELPPNGAVGTKILQFLFHSGPDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNIIEDSIWPMLAKESLKALGGLGILSLGGKYILRRVFEVVAETRSSEAFVALCLLTVAGTSLMTQQLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDLQLLYREWPSVLALLSGLIVIKTFIITAIGPRVGLTLQESVRVGFLLSQGGEFAFVVFSLANSLGVLPLELNKLLIIVVVLSMALTPLLNEVGRRAADFVDNKFNEDNDADEMVNFDASEPVVIIGFGQMGQVLANFLSTPLASGVDGDFMGLHYIAFDLNPSVVKASRKLGFPILYGDGSSPGVLQSAGIKSPKAVMIMYRGKKRTVEAVQRLRLAFPAVPIYARAQDLKHLLDLKKAGATDAILENTETSLQLGSKLLKGFGVMSDDVTFLRQLFRNSMELQAQEELNKTDDREPDIMKPLQVRGTWMHIGFFNIMSLQSWMD >KJB32794 pep chromosome:Graimondii2_0_v6:5:63648334:63653813:1 gene:B456_005G262000 transcript:KJB32794 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04850) UniProtKB/Swiss-Prot;Acc:Q9M0Z3] MLESVSCCHSPKGYDFVKRKNQGGAYSQAMSWFSGHSSYVPYINNILFHSRPLSVKARMNNCTHVLKHMFGGTPSLPSSPSNSRGLSFSNHGLFHQRRSRIYAAVEVASAIDVINDLGLDTLTFLAVTVLVVPAFKIIRASPILGFFFAGVVLNRFALIRNLTDVKVLSEWGILFLLFEMGLELSLDRLKALAKFAFGMGLTQVFLSTLAFTAFELPPNGAVGTKILQFLFHSGPDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNIIEDSIWPMLAKESLKALGGLGILSLGGKYILRRVFEVVAETRSSEAFVALCLLTVAGTSLMTQQLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDLQLLYREWPSVLALLSGLIVIKTFIITAIGPRVGLTLQESVRVGFLLSQGGEFAFVVFSLANSLGVLPLELNKLLIIVVVLSMALTPLLNEVGRRAADFVDNKFNEDNDADEMVNFDASEPVVIIGFGQMGQVLANFLSTPLASGVDGDFMGLHYIAFDLNPSVVKASRKLGFPILYGDGSSPGVLQSAGIKSPKAVMIMYRGKKRTVEAVQRLRLAFPAVPIYARAQDLKHLLDLKKAGATDAILENTETSLQLGSKLLKGFGVMSDDVTFLRQLFRNSMELQAQEELNKTDDREPDIMKPLQARTDKAEASTLSTSIKDESSRHKVTLFQVAEAKQDGVLNRSEGPESRGSLYGEIDSENGFPMTADEQQH >KJB30957 pep chromosome:Graimondii2_0_v6:5:49661678:49663096:-1 gene:B456_005G169500 transcript:KJB30957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNVAKLPRESLNVGHDDNKKFVIDTTYNEIINGDVLVSEAEHNEVKLPTESLNVRHDDNQKLVFDTTYNDVTNGSLSVAEIEKKEAKLASKSLNVGYDDNGNFVIDTSCNEVISDGISVAETEQNEVKLPTESFNIEHDAETEQNEAKLPTKCLNIGHGDKKKLAVNKANYKEAINNGKSLVETKQNKAKLPIKPLGVGLDDNEKLQKPETKAENKVGEMSNRSSNVKATYKDVLNSKVTYKQALERGARENIENKKMKTMKKVGIRR >KJB28424 pep chromosome:Graimondii2_0_v6:5:4481918:4483946:-1 gene:B456_005G047200 transcript:KJB28424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPITLLMVQGPRKDETFVFHPGSAIRIGRVMRGNNLPIKDAGISSKHLTIESVSGKWILRDIGSSNGTTLNSNVLPAETAFDLHDGDTVKLGETTSILIKIEGSGGSGEEVAVVVESRRKNPPRRGKAAKNETGSSNKELENLELEKKENVRVTRSRKNEDSQNCRLDIPKVPENREAAAKRGKGRRGGRKKNEQEEKLEQKETKLTENDDTLIIKEEVDKEEEALNPLQNEEIQVRNDEENVEHSKIGVKESCDEMENEVRESCDERVEVDLEKMTLRQWFDYLEVHLPKQILEATEEMIEGMRKKAQKVQEYMVEQKKKGKAAVG >KJB29964 pep chromosome:Graimondii2_0_v6:5:28094887:28095674:1 gene:B456_005G125800 transcript:KJB29964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIFTIWVFAESRAIIRYYTEKYKSQGTDLVGKTVEERGQVENWLEVEAHNFNPPIYALTLYLMFASKMGFPHDENLIKESEEKLGKVLDIYEERLSKNKYLAGDFFSLADLSHLPFT >KJB29233 pep chromosome:Graimondii2_0_v6:5:13284893:13285807:1 gene:B456_005G094400 transcript:KJB29233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFATSNVVVLYFVVLVSWIHFNAEARRLGGSVPESDQTQQPLLFQYHNGPLLTGNISVNLIWYGNFKPSQRAIVSDFINSLASSKLVSPQPSVATWWKAMDKYYHLTNKSSSLVISLGSQILDESYSLGKSLTNEQILQLASKGSQRNPINVVLTSADVAVEGFCSSRCGTHGSDSGSKLAGTVTNPFGNGYYQGPKEAPMEAASACPGIYGKGAYPGYAGDLPVDATTGASYNAHGVNGRKYLLPALFDPSTSTCATLA >KJB29326 pep chromosome:Graimondii2_0_v6:5:13312238:13314928:-1 gene:B456_005G094700 transcript:KJB29326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSASIFPCKLQMPYSCKLQPPIIRFSHSIHKAKNHQILRNHNLQPPGIGLRLLNTDRVNNLVVCCSIGSGPSNPGSWKLWVVGLVMSIILPFWRSKWGPLLKLKDEVETIIDKAEEVTDIVEKVAGQVEEVADDIGNHLPEGRLKNALQFVEDMAQNTADGARLAGDLIDKVEEVEGKVESWMGENSIDEEAKRTKEEEAAAKDQA >KJB28072 pep chromosome:Graimondii2_0_v6:5:2237633:2239467:-1 gene:B456_005G025300 transcript:KJB28072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKMERLVLPFATGCSSQASVAVGKSPPRKQKPEPNYQNLTSKQVVGEECSSKGRTKNSFGWLALSKPNISNGIHRLVRITVRSFSQLFVYKDIEEVTTEMEIGNPTDVKHVTHIGLDGTTTTNNSPFKGWQDFSSLDHNFIAFPSISLRQFEMAMAAQSQPGPLIV >KJB30412 pep chromosome:Graimondii2_0_v6:5:39036719:39037544:1 gene:B456_005G143700 transcript:KJB30412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFVNRGALATTITSRKATFFSRSRATLWTKGETSNNFINIYDKRRNKLCVQFSWRGFLLGHVRLLLVKLLEIQDQIGSSNIKMSNLVLI >KJB28705 pep chromosome:Graimondii2_0_v6:5:6899454:6902757:-1 gene:B456_005G065400 transcript:KJB28705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSTRTEVRRNRYKVAVDAEEGRRRREDNMVEIRKSKREESLQKKRREGLQAQQLSASLQSSNVEKKLESLPSMVAGVWSSNGSAQLEATTQFRKLLSIERSPPIDEVIQSGVVPRFVEFLMREDYPQLQFEAAWALTNIASGTSEHTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLSHGALMPLLAQLNEHSKLSMLRNATWTLSNFCRGKPQPPFDQVRPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLNPSPSVLIPALRTVGNIVTGDDLQTQCIIDHGALSCLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVINAGIIGPLVSLLQNAEFDIKKEAAWAISNATSGGTHEQIKYLVSEGCIKPLCDLLACPDPRIITVCLEGLENILKVGEAEKAAGAGEFNYCAQLIEDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEDEETLPPGDGTQQNFHFGGNDVKVPTGGFNFS >KJB31752 pep chromosome:Graimondii2_0_v6:5:58678653:58682893:1 gene:B456_005G207000 transcript:KJB31752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESPPNTPPNSNSQHETIPTIPIEFVSEEEMALIEAAYAATRSSLSSSRSSSICSPTSRFQTHSRTIHSITLLSKRGLNGSSELDIEDSDYLKNPQKRIRVAQPFLHRFRRKRALSVTDITATEWCEKQMEFSLLFGKRKISKAMKAGKARHVKLEEEVVKKVKVHIKSVEDSWALKFINFITCANQLLFEGITRELPLVGFVEGIWLVGVIDELRMPENGSDRNPILVDTKTRVRDTLPAEPQSRNGRLQLMCYKYLWDTLAANSFPSGQFFDFFSLNRSYMLSKDIRERTASSGFPAKI >KJB31753 pep chromosome:Graimondii2_0_v6:5:58678653:58682910:1 gene:B456_005G207000 transcript:KJB31753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESPPNTPPNSNSQHETIPTIPIEFVSEEEMALIEAAYAATRSSLSSSRSSSICSPTSRFQTHSRTIHSITLLSKRGLNGSSELDIEDSDYLKNPQKRIRVAQPFLHRFRRKRALSVTDITATEWCEKQMEFSLLFGKRKISKAMKAGKARHVKLEEEVVKKVKVHIKSVEDSWALKFINFITCANQLLFEGITRELPLVGFVEGIWLVGVIDELRMPENGSDRNPILVDTKTRVRDTLPAEPQSRNGRLQLMCYKYLWDTLAANSFPSGQFFDFFSLNRSYMLSKDIRERTASSGFPAKTLDDVIQYYINTCSMLPTSHDRLLLRYELQKDQSVLGEDEFAYNPDWLKRQIQSNLEFWLGEREASYTPQEERWKCRHCQFASICSGNPFPNIPRGSSSSSDHSSSSS >KJB31569 pep chromosome:Graimondii2_0_v6:5:56877532:56879768:1 gene:B456_005G196200 transcript:KJB31569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRASVELDFFGMEKQNCCKSRFQKSLDRRLSFRGLQGALSKVNPELIKSVIASGLKNPQGQDNVYQMDSNKSFSVPSSPKETQSLFPALPLLTPAARATSENGPETAPLTIFYNGTVSVFNVPRDKAESILKLAVEVEGNSKNVEPIDSKVASPPSDRQQLLETLNGDLPIARRKSLQRFLEKRKERMTCASPYAC >KJB31570 pep chromosome:Graimondii2_0_v6:5:56877564:56878678:1 gene:B456_005G196200 transcript:KJB31570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRASVELDFFGMEKQNCCKSRFQKSLDRRLSFRGLQGALSKVNPELIKSVIASGLKNPQGQDNVYQMDSNKSFSVPSSPKETQSLFPALPLLTPAARATSENGPETAPLTIFYNGTVSVFNVPRDKAESILKLAVEVEGNSKNVEPIDSKVASPPSDRQQLLETLNGDLPIARRKSLQRFLEKRKERYFLFCF >KJB29552 pep chromosome:Graimondii2_0_v6:5:19195006:19196083:-1 gene:B456_005G106900 transcript:KJB29552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKGERNAEKVNKHRFGTILKVNIHGLCVTLNVISFYSLPSPISEYLKMYKIGNTIISCWFVMPSLSRKSCLVAQSSTLALSMCLSLTHTHMFRILQTDITLLSTHAIYLDFYLCFLL >KJB32792 pep chromosome:Graimondii2_0_v6:5:63646486:63647779:1 gene:B456_005G261900 transcript:KJB32792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHILKTTPFSSSSSPKSLLLITATAANYVLPCNRRLRFPFSSPKAISCSISLSESSRSFLQSKRRYRGSQVVAMAAPGSLQKSEEEWRAILSPEQFRILRQKGTEYPGTGEYDKFFGDGVYNCAGCNTPLYRSITKFNPGCGWPAFYKGLPGAINRNPDPDGMRTEITCAACGGHLGHVFRGEGFRTPTNERHCVNSISLKFAPANSWL >KJB29532 pep chromosome:Graimondii2_0_v6:5:18813774:18815782:-1 gene:B456_005G105800 transcript:KJB29532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSLPFTSVHLQCQAIVRPVRASVSGKPSVSEPPVTTLSEKLPLKKIPGNYGIPFVGPIKDRLDYFYNQGRDEFFKSKIQKYQSTVFRTNMPPGPFISPDPKVIALLDGKSFPVLFDVSKIEKKDLFTGTYMPSIDLTGGYRILSYLDPSEPKHAKLKQLIFFLLKSSAKRVIPEFEACYTELYDTLDKELAEKGKSSFQTVNDQAGFNFLCRAFFRSNPPDTKLGDDGPSLISKWVFFQLGPVLSLGLPKYVEELVIRTFPLPPFLVKKDYQRLYDFFYHSSGFVQDEAEKLGISREEVCHNLLFATCFNTFGGMKIFFPNMLKWISRAGVKLHTDLAQEIRSAIRSNGGKLTMAAMEQMPLMKSVVYEGLRIEPPVPSQYGRAKKDLLIESHDAVYQVKAGEMLYGYQPFATKDPKIFENPEEFVPNRFMGDDGERLLKHVLWSNGPETEDPTTANKQCAGKDFVVLVSRLFVVELFRRYDSFEIEVGKSPLGAAVTVTSLKRASF >KJB29533 pep chromosome:Graimondii2_0_v6:5:18813774:18815885:-1 gene:B456_005G105800 transcript:KJB29533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGPFISPDPKVIALLDGKSFPVLFDVSKIEKKDLFTGTYMPSIDLTGGYRILSYLDPSEPKHAKLKQLIFFLLKSSAKRVIPEFEACYTELYDTLDKELAEKGKSSFQTVNDQAGFNFLCRAFFRSNPPDTKLGDDGPSLISKWVFFQLGPVLSLGLPKYVEELVIRTFPLPPFLVKKDYQRLYDFFYHSSGFVQDEAEKLGISREEVCHNLLFATCFNTFGGMKIFFPNMLKWISRAGVKLHTDLAQEIRSAIRSNGGKLTMAAMEQMPLMKSVVYEGLRIEPPVPSQYGRAKKDLLIESHDAVYQVKAGEMLYGYQPFATKDPKIFENPEEFVPNRFMGDDGERLLKHVLWSNGPETEDPTTANKQCAGKDFVVLVSRLFVVELFRRYDSFEIEVGKSPLGAAVTVTSLKRASF >KJB29572 pep chromosome:Graimondii2_0_v6:5:19531732:19536639:1 gene:B456_005G108300 transcript:KJB29572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQRQFFRFRLPWLSAAAAPRPVATPRPAAEPQPQSQAPSQPTVSIPIQRPPFRPAGITPVRTPPIQVQPPPPPPPKTEPQPVPSREKVQTRPQSHTPTPARAAAMASARIAPQPAVPKQQSPPRLASQPTGQTSSQPPSPSRRATQVQTLSPPRRSTMATQVASQPPSSTQPTFKPFGVAVKPSEESNQLKDAAPITAAAKEKPKEMEIRKKVGEERRKGTKKGSTHEEPTTVTTKLVAAASEAGTKTRELLGAVLEKGKGHLEKQEDTERKNTLTSSSSDERQIKTVSSTYPKGGSRPSNSHETNVDSKSEQVPLHKEIREDISKFVHALVTGKPKLHTDEKSVNVVMLAGENSGASFRCISESTTKDGEGRSKGRKPVGSVIKDDVAQKAYVNSNTQSINNSIMLESRLEGRNPGVHLGFFDDAKELRQKHSSMAGKSKVLVIGGTGYIGKFIVEASVKEGHPTFALVREGSVSDPVKGKVINNFKNLGVHLLYGDLYDHESLVKAIKQADVVISAVGSMQLADQVKIIAAIKEAGNVKRFFPSEFGNDVDRVHAVEPAKTAFATKAQIRRAIEAEGIPYTYVSSNCFAGYFLPDLSQPGATIPPRDKVVIPGDGDPKAVFNHESDIGTYTIKAVDDPRTLNKILYIRPPKNTYSFNEIVALWEKLIGKTLEKTYVPEDQLLKQIKEATFPYSVGLAIRHSVFVRGDHTNFEIEPSFGVEASELYPDVKYTTVEEYLSRLV >KJB28502 pep chromosome:Graimondii2_0_v6:5:5145409:5146321:1 gene:B456_005G051800 transcript:KJB28502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVTKGVVLLSLLCFNVFVVNVIAKEVVSTKEEEEKYLTQGGGFGTGGGGGFGGGGGFGGAGGGGGFGGGHGGDFGKGGGYGGGIGKGGGVGGGIGKGGGYGGGIGKGGGIGKGGGVGGGIGKGGGYGGGIGKGGGIGKGGGVGGGIGKGGGYGGGIGKGGGIGKGGGYGGGIGKGGGHGIGGGIGKGGGVGGGIGKGGGIGKGGGFGGGTGGGFGKGGGFGGGVGGGAGGGKGGGFGGNGHH >KJB29255 pep chromosome:Graimondii2_0_v6:5:12315723:12322047:1 gene:B456_005G091300 transcript:KJB29255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFCTSLLENISSLQCTERWSSPSACLRWKSLKHDSSAAYCFTVSVSRDSPNNRTGNARNQMSIFPSF >KJB29254 pep chromosome:Graimondii2_0_v6:5:12315723:12322047:1 gene:B456_005G091300 transcript:KJB29254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFCTSLLENISSLQCTERWSSPSACLRWKSLKHDSSAAYCFTVSVSRDSPNNRTGNARNQMSIFPSF >KJB28929 pep chromosome:Graimondii2_0_v6:5:8683488:8690875:-1 gene:B456_005G076300 transcript:KJB28929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGISESGSDVVGSFISQLQALQNRVKELEAENSKLSSQISKCCCHKTEEMQNGSDVESFKQGVESQRKNAGGDKTRKKKTIERNPGYDLKIMTHHSKRYVALKVMYFGQRFYGFASEAQMDPTVESEIFKALEKTRLLVGDKKESQYSRCGRTDKGVSSVGQVIALFLRSNLKETDGNHKISGELIPEAQIEGEIDYVRVLNRVLPSDIRILGWSPVSIDFSARFSCLAREYKYFFWRGNLNLSAMENAGKKFLGEHDFRNFCKMDAANVHNYRRRITQFEISSSNMSFEGGQLCAIKVKGSAFLWHQVRCMVAVLFMIGQGLESVDVIDILLDIEKTPRKPQYAMAPETPLILQSCEFEDVKFICSSDSGQALRIHLENEGRAYLLQSAIFQEAALSCLPLAKDQSLLNDGTIKKVTSHISLLSRPTEPSYEERRGKLNSRR >KJB32662 pep chromosome:Graimondii2_0_v6:5:63193619:63195138:1 gene:B456_005G254400 transcript:KJB32662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEVTEEGQSRKDYVDPPPAQLVDINELKLWSFYRALIAEFIATLLFLYVTIATVIGHKKQHDACNGVGLLGIAWSFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVTYMVAQCLGAICGVGLVKAFMNHEYTTLGGGANTVATGYNKGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNGKAWDDHWIFWVGPFVGAVAAAAYHQYILRAAAIKALGSFRSNPTN >KJB30089 pep chromosome:Graimondii2_0_v6:5:31428451:31430013:1 gene:B456_005G129400 transcript:KJB30089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATSDPNPEVSDEQQKRSEIYTYEAPWYIYAMNWSVRRDKKYRLAIASLLEQYPNRLQIVQLDDSNGEIRSDPNLSFDHPYPATKTIFIPDKDCQKPDLLATSSDFLRIWRISDDGSRVDLKSLLNGNKNSEFCGPLTSFDWNEAEPKRIGTSSIDTTCTIWDIEKETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDAPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELRRHQASVNAVAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >KJB28081 pep chromosome:Graimondii2_0_v6:5:2299445:2301061:1 gene:B456_005G026300 transcript:KJB28081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKSLRYLFLANNHLSSLDQLLPNQSSTSSQTSLTRPICNLSQLRNFNASHNNLSGPIPNCLGKMNDLNLLDLQGNNFSGMLPKFSKATQLYILKVSENRLEGKLPRSLVECTLLEVLDVGNNMMNDTFPFWLQKLPYLMVLILRENRFYGQIKHFKHKFVFPNLDVLDIASNQFSGELSINFLQTTRLRSLKIGGNKLEGKLSRSLANCKALEVLDLGNNMVHDTFPFWLEKLPSLKVLILRANRFYGTIAEFNTERGFPKLRILDIGSNNFSGNLSIEFLLSLKAMMQLRNDDKAKLDYIGEDYYQDSVTIFNKGIEMFYQKVLTILTCLDLSNNSFHGRIPEEIQMLRSLKVLNLSYNSFSGEIPVALGNLKDLESLDLSQNELSGKIPPQLTSLTFLAALNLSYNQLEGSIPQSNQFITFSNDSYHGNPKLCGLPLSRKCNEVGLPMPPPPRGDEESWLYAMTTWKIALMGYANGLVVGLCIGYTGLNELGNKWVDRLKKHGERNKRRSR >KJB31060 pep chromosome:Graimondii2_0_v6:5:50650892:50652259:-1 gene:B456_005G174300 transcript:KJB31060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAKTKPPLLKHYFLTFASLSFLILFFCFSNQRRHSLTSFLTTTAATTHSSSASEVDLKIRPGYTSYDAYIQRQLNKTLNPKLRKIWMTRDWDRKIHVFSNFFRELQLQNLLSNSSKSLCIGARVGQEVEALKRVGVSDSVGIDLVPSPPLVLKGDFHNQTFDNETFDFEFSNVFDHALYPRKFVGEIERTLKPDGVCVLHLALSTRADKFSANDLYSVKPLIHLFQRSQLVRVRKVDGFGLDTEVIFRKKKTTQRS >KJB32266 pep chromosome:Graimondii2_0_v6:5:61498000:61501105:1 gene:B456_005G232400 transcript:KJB32266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDTFRSDGNNVRYVASELATDIAVTVGDVKFYLHKFPLLSKSACLQKLVASGNEQNCDEVQISDIPGGSVVFEICAKFCYGMTVNLNAYNVFATRCAAEYLGMYETIEKGNLIYKIDIFLNSSIVHSWKDSIIVLQTTKSLSPLSDELKVAGLCVDAIATNACIDDSKVDWSYTYNRKKLPEENGNDPNFNGVKSRPVPKDWWVEDLCELEIDLYKRVIMSIKTKGIQSHEVIGDALKAYSYRRLPGFSKGVIHSRDVGKYRSTVDTIVWLLPAEKGSVSCSFLFKLLKAAIIVDSGEMAREQLVRQIGQQLEEASLNDLLIRAAEREDVMYDVDMVKKIVNKFLMQDRNAEYESEENEVQEIQGSGILTDASKLIVAKLIDAYLAEIAKDPNLPLSKFVDLAETVSCISRPTHNGLYRAIDMYLKEHPWISKSEQKRICKLMDCKKLSADACMHAVQNERLPLRVVIQVLFFEQLRGAAATSSGTSTPDLPKSLKNPNGGSHGSSRSAPTNPEEDWDSVSATEELKALKPELAALRLRNRGDNRNNVDNAAAISKMKGLMKSKRIFTKIWSSKAAIGENSGSDSSESLGSANPEEAKSTPSRNRRHSVS >KJB32267 pep chromosome:Graimondii2_0_v6:5:61497918:61501225:1 gene:B456_005G232400 transcript:KJB32267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPWLSFNFFHNLLLKNPILPLKKLVEFRSWVPIPHTWCYILYRFWQYFTGKERDPVCFDNMKFMKLGSKPDTFRSDGNNVRYVASELATDIAVTVGDVKFYLHKFPLLSKSACLQKLVASGNEQNCDEVQISDIPGGSVVFEICAKFCYGMTVNLNAYNVFATRCAAEYLGMYETIEKGNLIYKIDIFLNSSIVHSWKDSIIVLQTTKSLSPLSDELKVAGLCVDAIATNACIDDSKVDWSYTYNRKKLPEENGNDPNFNGVKSRPVPKDWWVEDLCELEIDLYKRVIMSIKTKGIQSHEVIGDALKAYSYRRLPGFSKGVIHSRDVGKYRSTVDTIVWLLPAEKGSVSCSFLFKLLKAAIIVDSGEMAREQLVRQIGQQLEEASLNDLLIRAAEREDVMYDVDMVKKIVNKFLMQDRNAEYESEENEVQEIQGSGILTDASKLIVAKLIDAYLAEIAKDPNLPLSKFVDLAETVSCISRPTHNGLYRAIDMYLKEHPWISKSEQKRICKLMDCKKLSADACMHAVQNERLPLRVVIQVLFFEQLRGAAATSSGTSTPDLPKSLKNPNGGSHGSSRSAPTNPEEDWDSVSATEELKALKPELAALRLRNRGDNRNNVDNAAAISKMKGLMKSKRIFTKIWSSKAAIGENSGSDSSESLGSANPEEAKSTPSRNRRHSVS >KJB28985 pep chromosome:Graimondii2_0_v6:5:9030782:9032776:1 gene:B456_005G078400 transcript:KJB28985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSNAAATAFYDHAGGGGSFNNAGPAGGDAGDAVMARWLQSAGLQHLASPLTSTGIDQRLLPNLLMQGYGAESTEEKQRLFKLMRNLKFNEFKGDFGAGLLDLHAIDDKELLSESFVSHLLVFAIHGSVVLLLISSSRNIFFISGSGKTFTMQPLPLRAAQDLIRFLHQPVYHSQRFKLSLSYFEIYGGKLFDLLSDRK >KJB30188 pep chromosome:Graimondii2_0_v6:5:33276953:33280295:-1 gene:B456_005G133200 transcript:KJB30188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTQFFFIAILSSLLLQVAKPDLVTDRAALLSLRSSVGGRTLLWNVSNQSPCSWAGVTCERNRVTVLRLPGVALSGQIPTGIFGNLTQLRTLSLRLNSLTGPLPSDLALCQNLRNLYLQGNHFSGEIPEFLFGLHDLVRLSLSGNNFSGEVSVGFNNLTRLRTLLLDSNSLSGSVPELGSLQNLDQFNVSNNLLNGSIPKGLQKYGFDAFLGNLLCGKPLDKACPATATAAPGNTSEPANPTNENKPEKKKKSKLSGGAIAGIVIGSVLGFLLIVVILMILCRKKSSKKSRSIDITSLKNQEMEIPGEKSGGEMENGGYANGNGNGYSVAAAAVAAMVGGGAKAAENSGTGAKKLVFFGNATRVFDLEDLLRASAEVLGKGTFGTAYKAVLEGGNAVAVKRLKDVTISEREFKDKIEGVGAMDHQHLVPLRAYYFSRDEKLLVYDYMPMGSLSALLHGNKGAGRTPLNWDIRSGIALGAACGIEYLHSQGPNVSHGNIKSSNILLTKSYEARVSDFGLANIVGSSSSPNRVLGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPTHSILNEEGIDLPRWVQSVVREEWTSEVFDLELLRYQNVEEEMVQLLQLAVDCAAQYPDKRPTMSQVRSRIEELRQSSLRDDLIPQPA >KJB30223 pep chromosome:Graimondii2_0_v6:5:33724038:33724433:-1 gene:B456_005G133800 transcript:KJB30223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQVKLVKIDHKTCLKSLSSSNEGEEGGYVIRVVTYMVMDDLIVKPLSTISSLGLLNRFSVKDSGALQEKTIKIRMDEAVKLLKASSPSKTVLNDIFYGKKAHV >KJB30332 pep chromosome:Graimondii2_0_v6:5:35736128:35737044:-1 gene:B456_005G137900 transcript:KJB30332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVLNHGSLKGIGLVCSQIVQKQRAVVGEIGSKNSALKTIPSIVQVSLNLSQEKPQHFLQILEISTIIIPRMNLYQS >KJB27788 pep chromosome:Graimondii2_0_v6:5:759728:762984:1 gene:B456_005G011000 transcript:KJB27788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMCVEDRISSFPDHILFHILSFLPIKDAVRTSIISTKWRYLFASISTIKFDGSLMSGLTDRNVDSFKNFVDRLLKFPDQEIDLDLKNLGDTLPALLFTCHSLVTLKLDAVDSEIKVPSDVCLGNLKTLQLRNSVLFGDSIHRLISNCHVLEDLAFIECGFDNISEVNIQSPSLKRLVLEFDVTEGRYFNYVVVINAPILVYFQYHAAVAASYTLSTMKSLEKADISIYQFDSINSETSATHLIQGICNVRSLSLTTDAAIFLTSGLPIFHNLIEFKYLGVGFNGRETWLVEFLHCVPNLKTLTLNFPDDAGTRWKALRMKVPSCLSFHLKEIEISYFDPRMIEMGADWRGTWLVEFLHCDLAVTKTRLLASACQVLWSSGVIHVVIN >KJB27787 pep chromosome:Graimondii2_0_v6:5:759728:762984:1 gene:B456_005G011000 transcript:KJB27787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMCVEDRISSFPDHILFHILSFLPIKDAVRTSIISTKWRYLFASISTIKFDGSLMSGLTDRNVDSFKNFVDRLLKFPDQVSLDCFRLNDNVSWNDKEHDFDVSGWICAALCRGVKEIDLDLKNLGDTLPALLFTCHSLVTLKLDAVDSEIKVPSDVCLGNLKTLQLRNSVLFGDSIHRLISNCHVLEDLAFIECGFDNISEVNIQSPSLKRLVLEFDVTEGRYFNYVVVINAPILVYFQYHAAVAASYTLSTMKSLEKADISIYQFDSINSETSATHLIQGICNVRSLSLTTDAAIFLTSGLPIFHNLIEFKYLGVGFNGRETWLVEFLHCVPNLKTLTLNFPDDAGTRWKALRMKVPSCLSFHLKEIEISYFDPRMIEMGADWRGTWLVEFLHCDLAVTKTRLLASACQVLWSSGVIHVVIN >KJB27789 pep chromosome:Graimondii2_0_v6:5:759948:762414:1 gene:B456_005G011000 transcript:KJB27789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLTDRNVDSFKNFVDRLLKFPDQEIDLDLKNLGDTLPALLFTCHSLVTLKLDAVDSEIKVPSDVCLGNLKTLQLRNSVLFGDSIHRLISNCHVLEDLAFIECGFDNISEVNIQSPSLKRLVLEFDVTEGRYFNYVVVINAPILVYFQYHAAVAASYTLSTMKSLEKADISIYQFDSINSETSATHLIQGICNVRSLSLTTDAAIFLTSGLPIFHNLIEFKYLGVGFNGRETWLVEFLHCVPNLKTLTLNFPDDAGTRWKALRMKVPSCLSFHLKEIEISYFDPRMIEMVSYFLDNAMVLEKLKISTAALTWSQKWGAQIKLLQLLKRSKKSLIVIL >KJB27786 pep chromosome:Graimondii2_0_v6:5:759728:761856:1 gene:B456_005G011000 transcript:KJB27786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMCVEDRISSFPDHILFHILSFLPIKDAVRTSIISTKWRYLFASISTIKFDGSLMSGLTDRNVDSFKNFVDRLLKFPDQVSLDCFRLNDNVSWNDKEHDFDVSGWICAALCRGVKEIDLDLKNLGDTLPALLFTCHSLVTLKLDAVDSEIKVPSDVCLGNLKTLQLRNSVLFGDSIHRLISNCHVLEDLAFIECGFDNISEVNIQSPSLKRLVLEFDVTEGRYFNYVVVINAPILVYFQYHAAVAASYTLSTMKSLEKADISIYQFDSINSETSATHLIQGICNVRSLSLTTDAAIFLTSGLPIFHNLIEFKYLGVGFNGRETWLVEFLHCVPNLKTLTLNFPDDAGTRWKALRMKVPSCLSFHLKEIEISYFDPRMIEMVSYFLDNAMVLEKLKISTAALTWSQKWGAQIKLLQLLKRSKKSLIVIL >KJB29843 pep chromosome:Graimondii2_0_v6:5:25354767:25358499:-1 gene:B456_005G120900 transcript:KJB29843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMNSTIASGKEKKNHYILGMKTVEMKLASYFAAFACLFLCCGSGTVADLDLDEQALLQFSATVPHGRKLNWSPATPVCTSWVGINCTKDGSRVIALHLPGVGLHGQIPANTLGKLDALRVLSLRSNRLSGNLPSDVLSLPTLRYVYLQLNNFSGDIPSSLPPSLDFLDLSFNSLTGNIPTAIQNLTNLTGLNLQNNSLTGPIPNFSLPRLRLLNLSYNHLNGSVPSSFQKFPASSFVGNHICGPPLNQCLTISPSPSPSPTNFPPPTVPENPRRDSHKKLSIGAIIAIGVTGSALVFFLLLILVLSCLKKKRNQGGALTSKVKGGRSEKPKEDFGSEVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGITVVVKRLKEVAAGKREFEQQMGIVGRLGQHPNLVPLRAYYYSKDEKLMVYDFIAAGSFSSLLHGSRERGRPLPGWDSRVKISLGAAKGIAYIHSSGGGKFIHGNIKSSNVLLTKDLHGCISDFGLTPLMTSPKVPSRSAGYRAPEVIESRKFTQKSDVYSFGVLLLEMLTGKAATQSSGHKDVVDLPRWVQSVVREEWTAEVFDVELMKYQNIEEELVQMLQIAMTCVARLPDMRPTMEEVTRMIEEIRPSVSENRPSSEDNRSKGSDTQTP >KJB29842 pep chromosome:Graimondii2_0_v6:5:25354536:25358406:-1 gene:B456_005G120900 transcript:KJB29842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMNSTIASGKEKKNHYILGMKTVEMKLASYFAAFACLFLCCGSGTVADLDLDEQALLQFSATVPHGRKLNWSPATPVCTSWVGINCTKDGSRVIALHLPGVGLHGQIPANTLGKLDALRVLSLRSNRLSGNLPSDVLSLPTLRYVYLQLNNFSGDIPSSLPPSLDFLDLSFNSLTGNIPTAIQNLTNLTGLNLQNNSLTGPIPNFSLPRLRLLNLSYNHLNGSVPSSFQKFPASSFVGNHICGPPLNQCLTISPSPSPSPTNFPPPTVPENPRRDSHKKLSIGAIIAIGVTGSALVFFLLLILVLSCLKKKRNQGGALTSKVKGGRSEKPKEDFGSEVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGITVVVKRLKEVAAGKREFEQQMGIVGRLGQHPNLVPLRAYYYSKDEKLMVYDFIAAGSFSSLLHGSRERGRPLPGWDSRVKISLGAAKGIAYIHSSGGGKFIHGNIKSSNVLLTKDLHGCISDFGLTPLMTSPKVPSRSAGYRAPEVIESRKFTQKSDVYSFGVLLLEMLTGKAATQSSGHKDVVDLPRWVQSVVREEWTAEVFDVELMKYQNIEEELVQMLQIAMTCVARLPDMRPTMEEVTRMIEEIRPSVSENRPSSEDNRSKGSDTQTP >KJB28994 pep chromosome:Graimondii2_0_v6:5:9103739:9104307:-1 gene:B456_005G079000 transcript:KJB28994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLFLIFISDLCYQQLHQTSNIIFFFFFFFFVSYEEQLFSFSLSLFPLFLHINSVLIWWRLVMKINEFKKLQMTHHSYEI >KJB32229 pep chromosome:Graimondii2_0_v6:5:61336773:61338204:1 gene:B456_005G230700 transcript:KJB32229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNQQQPPVGVPPPQGYPPEGYPKDAYPPPGYPPQGYPQGYPPQGYPPPYAPQYPAQPPPQQQQSTGFLEGW >KJB32228 pep chromosome:Graimondii2_0_v6:5:61336635:61338345:1 gene:B456_005G230700 transcript:KJB32228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNQQQPPVGVPPPQGYPPEGYPKDAYPPPGYPPQGYPQGYPPQGYPPPYAPQYPAQPPPQQQQSTGFLEGCLAALCCCCLLDACF >KJB28990 pep chromosome:Graimondii2_0_v6:5:9044481:9047028:1 gene:B456_005G078700 transcript:KJB28990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIFFSILIFLFQFFLPSLSATIMVGGVSHWENPTVHVGDSVIFKHKYQYELYIFQNKNAFILCNFTQASLLTNPNSSSYEWHPSRTGFFYFAFNNGTLKTCRGSQKLSIKVTPAENERTPSPELPPAAAPAPTSGGTVVSSSSPTYPWPFRPRQAVSPSPSASSPVAVPTLVPDKGGEGIPFINSNPAVPLPTGEVDSATIRPLPTSDHGGKAVVGILTAPMALFSMAFLAL >KJB30634 pep chromosome:Graimondii2_0_v6:5:42559607:42562280:-1 gene:B456_005G152700 transcript:KJB30634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCGLYIKKKTDWISTLLESEFFSPCSDHQDLRKNEKNVFCIDCCLEFCRHCKAHSQHRWLQVCKYVYQDVVRLQEMQKHLDCSKIQTYKINGEKAVHLNPRPQAKDAKPSTKSKTGAACEACRRYLQDPPNRFCSIACKVSAVDVKPKDQSHKMELSIQEYHELSWKENQNLETSSEDKQSSLSSTDLSEEETKTWLVKSLKPRKRLHKRKGTPLRAPLT >KJB29655 pep chromosome:Graimondii2_0_v6:5:21157372:21158806:-1 gene:B456_005G112300 transcript:KJB29655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWAFKTLKRYRERFCMFNDDVQGTAGVALAGLLGTVRAQGRSLDDFPNHKIVVVGAGSAGLGVLSMAAQAVVRMTGNADTAAQNFFLLDKDVQFCTSFLAFFILFVQSLLCSFRYVMSFLLCNEDVDFMN >KJB29656 pep chromosome:Graimondii2_0_v6:5:21157372:21160403:-1 gene:B456_005G112300 transcript:KJB29656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWAFKTLKRYRERFCMFNDDVQGTAGVALAGLLGTVRAQGRSLDDFPNHKIVVVGAGSAGLGVLSMAAQAVVRMTGNADTAAQNFFLLDKDVQFCTSFLAFFILFVQSLLCSFRYVMSFLLCNEDVDFMN >KJB28565 pep chromosome:Graimondii2_0_v6:5:5695452:5696183:-1 gene:B456_005G056000 transcript:KJB28565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELLSLDDFKDTKIDPNWGPFDYLLHVLDVDQQKMQKSQNAPPPPQTLCKQDHKGFKLRSKFNNSVGLKRKRNLKAVEEEDDGDGDRVAPKLKPKRSKKQEKSVCPIPPPDLPPLFRQLIVEEMGGRGLVLVIQKTIFFSDINPTASRFSIPFSQVKTHDFLNEAEAKELDDKNSMQVWLLDPSMRGTSITLNKWVMGSSSLYVLTNTWNPLVKNNQLKKGDMVQLWSFRVNSLLCFALVKL >KJB32398 pep chromosome:Graimondii2_0_v6:5:62058528:62059472:-1 gene:B456_005G239200 transcript:KJB32398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTASEIRIDIPPLIRVYQDGRIERLLGTQTVPPGLDPKTNVESKDVVYCQETGQCVRIYVPGTVVTSTQKLPLLVYFHGGRFCIETAFSPTYHNYLNALVSESKIVAVSVDYRRAPEHPIPAAYDDSWTALKWVASHYDGNGPEQWLNRYADFENVYLSGDSAGANIAHHIAIKTSKEKLDGMNLVGMILSHPYFWGKEPVGDEVKNPAVRAKFEGVWRLASPTTSGSDDPLINPIDDQSFGRFLVCKRVLICVAENDILKYRGWYYCEKLKNSGWDGEVEVMEAEGEDHVFHLRNSCCSNAVDKLKKVAES >KJB31195 pep chromosome:Graimondii2_0_v6:5:52960618:52961610:1 gene:B456_005G180400 transcript:KJB31195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLLLVVTMAATINYLTAGKLLVLSAAYTFESPSYSVVHLESDFEIRLYKEISWMSAPVHGTSFLNSTRDGFHRLYQYLHGENLNSTHFSMTKPVLTSISPSHHGSSSSSYTVRYYLPSEYKYKSPPQPSAELNLQLDKWKSQCIAVRKFSGYANDDNVEKEKDALVSSLTKRLPALMQAVENNLYYNYSIAQYNASKHRTGRINEVWMDVSGFTAEGCPV >KJB28446 pep chromosome:Graimondii2_0_v6:5:4753291:4756129:1 gene:B456_005G048900 transcript:KJB28446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIPGSPGTLTSLFLRIMQCVFAAGSIASMSTTSNFFNFTAFCYLIASMGLQVVWSFALAILDGIALVKKKVLHNPVLVSLYVVGDWVTALLSLAAASSSGGIAVLYFNDLGSCGLGEECQKYQLAVALAFLGWVAVSISFLIMLWLFAAG >KJB28447 pep chromosome:Graimondii2_0_v6:5:4753538:4755994:1 gene:B456_005G048900 transcript:KJB28447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIPGSPGTLTSLFLRIMQCVFAAGSIASMSTTSNFFNFTAFCYLIASMGLQVVWSFALAILDGIALVKKKVTALLSLAAASSSGGIAVLYFNDLGSCGLGEECQKYQLAVALAFLGWVAVSISFLIMLWLFAAG >KJB30667 pep chromosome:Graimondii2_0_v6:5:43447599:43450314:1 gene:B456_005G154300 transcript:KJB30667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNSTVSLLPSSPSDPLSSFQNGVSHKRKRKPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETQEVKKRVFVCPEPSCLHHHPSHALGDLVGIKKHFRRKHSNQRKWVCDKCSKGYAVQSDYKAHLKICGTRGHSCDCGRVFSRVESFIEHQDACSVRGVQPELQAVHPGCSSRSASSTSPSSDANVGIFPVPRIGLSITKSTDPTVFSYSNASTSDHHPQPQHNLELQLLPSSTTHSDEDCATHLKLSIGSSDGGEKNEPSKFSLDTFREKNASETVLEATRLKELASEQLKLAMAENTYAEKARLEAKKQIEMAELEFASAKRIRQQAQGELEKAQVLKDQATKKISATIIQITCQACKHQFHTSTAAVPADETSLAMSYMSSATTEGEGE >KJB27954 pep chromosome:Graimondii2_0_v6:5:1404840:1406891:-1 gene:B456_005G018200 transcript:KJB27954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNNYGHQHPLLLILNHDQLIHNQSGVTHCSRCGEEVSAPCFCCVEHCGFYLHKSCGDAPLELNHPFHPHHPLLLLQEPPSSYTRCICDFCDKTCEKFIYHCSCKLDFHIKCALFTFNVAENNLKELEHVALQHPLISTENGHEELEDENGDEELEDVRECFGCWEPLAKYTHFSPACGFNLHEKCAKLPFKLNHVCHRKHPLALQFNSERLSCKICGETNRKALGFVYGCSPCKFVVHIECASQSPLQVIKSTNHEHPFTLVFRQVPFTCDACGIEGNHVAHRCGTCNIIIHKNCISWPRIIKSKWHDHRLLHTYFHHIEDFGVLNCMICHDEVNTEYGSYYCSKCNAIFHVHCVTEDERSYSIVSPEDEDEMPYESSITVLESNDAGEATKIEHFLHIHNLMLSPVVGKNENGCDGCLLPISGPFYSCSFCDFFLHKACAALPKMKDVWHHLCQKPLALISDRVFRCRECLNVCNAFAYECCGCKAKKCLQCVLALTPGAQICLKHEHPLFYYRDYNGKCNACGYTTRAAFRCKVCNFVLHLGCFSLPITVLHKCDEHILSLTDYDDNNYLEHHYCDICEESRDPNCWFYHCAKCDTSAHVNCVLGKYPFVKFQTIENVRYHPHPLTIAKKMYYYPNCDKCRKPCEDLALECLKSECKYIVHWNCVAPRYLHRWWDWPM >KJB32543 pep chromosome:Graimondii2_0_v6:5:62612508:62614468:1 gene:B456_005G245800 transcript:KJB32543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLKKIVLLLFLVSTFLLSTSMAGRQSKFVNMLAEEVDAAFEEEGVEGEAIHERLLRANTKDYGRYDPTPALVKPPFKLIPN >KJB28727 pep chromosome:Graimondii2_0_v6:5:7087219:7088728:-1 gene:B456_005G066600 transcript:KJB28727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATERRIGVAIDFSSSSKSALKWAMENVIRKEDHLTLITIQPEGNYEKGEMQLWEGIGSQANGNECLCSINYPATMKKYGVKHDPEVVEIVSNGAKQKEVEVLMEAYWGDPREKLCEAIDSIPLNCIIVGNRGLGKLKRAIMGSVSNYVVNNGSCAVTVVKHHE >KJB30128 pep chromosome:Graimondii2_0_v6:5:31963581:31966308:-1 gene:B456_005G130800 transcript:KJB30128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWRSCYLDMVLVPLSLAMSLAYHWWLWRKVRSQPLTTIIGTNARGRRFWAFAIMKDNEKKNILAVQTLRNTIMGSTLMATTSILLCAGLAAVISSTYSIKRPLNDSIFGAHGEFMVSLKYVTILSFFLFSFFCYSFSIRFINQVNVLINSPQDPASVITPMYVSELLEKAFILNTVGNRLFYTALPLLLWIFGPLLVFLCSFTLIPVLYNLDLVLGFKKQGKTESQINGCGDCESV >KJB31430 pep chromosome:Graimondii2_0_v6:5:55838402:55840317:1 gene:B456_005G191500 transcript:KJB31430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEKNPLDLNNLPDDYTRDGKQVFEEGSSAGYRTKKSGGRDGKDECGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFSNDNLATPGNPGYHPSYHPAGNLGDPTLPFRTVCPPRLFSASSNLMPPTPILQPPQPYLHPSPSRLSSSHLPQYPAHSVNDYYVGHALGSSTLSHSQYHPQNLNYLGVSDSNYTCIGAPVGNGFGHGSTRGADLVGSGRVVSGFRDVTQQHLDHPPTNRFQDGF >KJB31429 pep chromosome:Graimondii2_0_v6:5:55838134:55840317:1 gene:B456_005G191500 transcript:KJB31429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEKNPLDLNNLPDDYTRDGKQVFEEGSSGYRTKKSGGRDGKDECGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFSNDNLATPGNPGYHPSYHPAGNLGDPTLPFRTVCPPRLFSASSNLMPPTPILQPPQPYLHPSPSRLSSSHLPQYPAHSVNDYYVGHALGSSTLSHSQYHPQNLNYLGVSDSNYTCIGAPVGNGFGHGSTRGADLVGSGRVVSGFRDVTQQHLDHPPTNRFQDGF >KJB29355 pep chromosome:Graimondii2_0_v6:5:14043178:14043750:1 gene:B456_005G0963002 transcript:KJB29355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQRMKELLLLWSRFQSNRVALVALFSLCFNRSFGSMAVHIIYGLLNKFHKNKHKALKNGINLQSGARLIVKVPRSRVFKLLARFLTVLALTIFFLPWSGIRFIVNDEPTLPVYTIKPE >KJB29603 pep chromosome:Graimondii2_0_v6:5:20050378:20051106:-1 gene:B456_005G109700 transcript:KJB29603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSHLKVASCLLLPAIQLVVGISHPSWISLTFFIGSCIGLVDWSLTSNFLGLFRLWKALQFYAGFSIFMLYVYQVPIEFSSMLQWIADFVGLFKICSTSEWTEICSSVSLVLFYIMVCTSFITIGLILFKDFS >KJB28026 pep chromosome:Graimondii2_0_v6:5:1903658:1907336:-1 gene:B456_005G022600 transcript:KJB28026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDQINPILKAGEEKDIGNDGLKKKLVKEGEGWETPKNGYDVEVHYTGALIDGTKFDSSLDRGTPFKFKLGLGQVIKGWDEGIKTMKKGENAIFTVPPELAYGESGSPPTIPPNATLQFDVELISWTSVKDICQDGGIFKKILYEVCLEDGTLVSKSHGVEFTVGDGYFCPAFSEAVKTMKKGEQVLLTVKPQCKDKKILKKILKEGEGYDRPYNGTMVQVKLIGKLEDGMIFVKKGHDEGSFEFKVDEGQVIDGLEKTVKTMKKGEHALTTIQPEYAFGSSESQQELAVVPANSTVYYEVELVSFVKEKESWEMNAPEKIEASGKKKEEGNVLFTAGNIERASKRYEKAVRYIEHDYSFNHEEQQQSKLLKVTCNLNNAACQLKLKDYKQAEELCTKVLELESRNVKALYRRAQAYIQLVDLDLAEAGIKKALEIDPGNRELKVGYRVLKQKMREYNKKDAQFYGNIFAKMNLQQANAAKQEQRTPMIIDSKA >KJB29615 pep chromosome:Graimondii2_0_v6:5:20169732:20171259:-1 gene:B456_005G110500 transcript:KJB29615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPWYRVHTVVLNDLGCLLFVHIMHTALVAGWVSSMALYELVIFYPSDHVLDPMWRQGMFVLPFMTRVAGAHILFSSLCFLAAIWHWVYWDLEIFCDKRTGKPSLDLPKIFEIHLFLSGVACFGFGTFHVIGLYGPGIWVQPVNPVWGVEGFDHFVPRGIASHHIAARTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSISIVVIFFAAFVVAETMWYGSETTPIKLFGPSRYQWDQGYFQQEIYRRVSAGLAENQSLLEAWSKIPEKLAFYDYIGNDPAKGGLFKMGSMDNGDVIAVGWLGHPIFRDKDERELFVHCMPTFVFETFLVVLVEGDRIVRADTPFKRAESKYSVEPVGVTTEFYYGELNGVSYSDPATVKKYARHRATLKSDGVFRNSSTNWFTFGHASFALLFFFGHIWHGARTLFKDIFDGIDPNLDAQVEFGAFQKLGDPTTRRQVV >KJB31397 pep chromosome:Graimondii2_0_v6:5:55131482:55132693:1 gene:B456_005G1895001 transcript:KJB31397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSDSYVGSSPPKSEGRTIYYHVADENGEVDDEAVEGYSFSFKGNGVDELTHKLKEETGLEDVVVCTRSPLNGKLFPLRLQLPPNNSDMHVVVVPLASKVGRNFAKQGINM >KJB29427 pep chromosome:Graimondii2_0_v6:5:15791113:15793848:-1 gene:B456_005G100300 transcript:KJB29427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGNRSVWETPLVPPSRSLGSYVPHHSLTSPQNLLDNNPFDQSLESAFFGLSLSTQKNPELDYGFSRGEKERKNEGLHVGFDGVMRVGPYSDSWNSLVPRSYQLPNLNENWYLFDSRREHVFNEISILPFSYQNRLAPPLTAAGAGCSRNKNVMRSSFNSHNNDQISNGFRRSRWSHEPLNCLSIGDLRGRFLSLAKAQHGCWFLERAIDEASREEIDMILMEVIGHVDELMLDPFANYVVQKLVVMCNEEQKSQIILMIVKDGFRLVNICLNVRGTRAVQKLLENLTSQQQISLIMSALTTCVVALTKDINGHRVIQCCLKVFSDQDNKYLLKEVADNCYQIATDKSGCCAMQHCIDHSKGEAKANLVREIIANALHLAEDRYGNYVVQHVLGLKERQTTESLLRQLEGNYASLSCNRYGSNVVEKCLLESGEEQSTRIIKELLRSPIGSRLLVDRFGNYVIQSALSVSKGFVYNALLNLVWVNFPMMRNHVYGRWILAWFNKRKPPCN >KJB29428 pep chromosome:Graimondii2_0_v6:5:15791113:15793869:-1 gene:B456_005G100300 transcript:KJB29428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGNRSVWETPLVPPSRSLGSYVPHHSLTSPQNLLDNNPFDQSLESAFFGLSLSTQKNPELDYGFSRGEKERKNEGLHVGFDGVMRVGPYSDSWNSLVPRSYQLPNLNENWYLFDSRREHVFNEISILPFSYQNRLAPPLTAAGAGCSRNKNVMRSSFNSHNNDQISNGFRRSRWSHEPLNCLSIGDLRGRFLSLAKAQHGCWFLERAIDEASREEIDMILMEVIGHVDELMLDPFANYVVQKLVVMCNEEQKSQIILMIVKDGFRLVNICLNVRGTRAVQKLLENLTSQQQISLIMSALTTCVVALTKDINGHRVIQCCLKVFSDQDNKYLLKEVADNCYQIATDKSGCCAMQHCIDHSKGEAKANLVREIIANALHLAEDRYGNYVVQHVLGLKERQTTESLLRQLEGNYASLSCNRYGSNVVEKCLLESGEEQSTRIIKELLRSPIGSRLLVDRFGNYVIQSALSVSKGFVYNALLNLVWVNFPMMRNHVYGRWILAWFNKRKPPCN >KJB32188 pep chromosome:Graimondii2_0_v6:5:61107181:61128884:1 gene:B456_005G228400 transcript:KJB32188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMIELCDIIARRPEQFRDKLAWICGRCPQPETLQNDSPRASRSQLHAVIGVARFLSKCPHSRDDRPISVTLEFIRAIPSSFHRSFWPQSYNNDAISSFFVDFLKYISETANASPDLASEIAEYFGEVVTTSVSNQEGNGNDTAISRAFLLALSNNFPPVLQSDADQLIDHLFDQLSTLLTASQGEIPGTLDTQAAANGSSVYWNSYADHMNFSIDGGGTMFAQQVASFEDESMESLEKQEVAFKLIAHTLEKVSIDQKHLEQVRLIAKKQLQSMSAFLKIRKRDWNEKGQPLKARINAKLSAFQAAARMQIKSLVCLDVDAKTSKKLVLETLALLIDAAEACLLSVWRKLRACEELFISLLSGIAQVAVSRGGQPLRVLLIRLKPLVLAACMQADTWGSSQGVMFDGVLKTCCEIIETGWAKDRAPIDTFIMGLATSIRERNDYGEQDDKEKQEVPAVQLNVIRLLADLNVAISKPEVVDMILPIFIESLEEGDAITPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLSKLSSGGSGENKTSESEATTERFETLPAGFLLIATGLKNAKLRSDYRHRLLSLCSDVGLAAEAKSGRSGADFLGPLLPAVAEVCSDFDPTVDLEPSLLKLFRNLWFYVALFGLAPPIQKTQKSDSSTLSSSGSMSAIALQAVAGPYMWNEQWSSAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRQGSGNEKAAVSQRTALSAALGGRVDVGAMSTISGVKATYLLAVAFLEIIRFISNGGILNGDTSGGTSFTASRSAFSCAFEYLKTPNLMPAVSQCLTAMVHRAFETAVSWLEDRITKTGDEAVIRESTLSAHACFLINSMSQREEHIRDIAVKLLVQLREKFPQVLWNSSCLDSLLFFVENEATSVVNDPAWEAAVRSLYQKNVREWTVESLSYAPCTTQGLLQEKLCKANAWQKAQHTTDVVSLLSEIRIGTGKNDCWTGIRTANIPAVMASAAAASGANLKLSEAFILEVLSTGIVSATVKSNYAGEIAGMRRLYNSMGGFQSAAPQQGFGGGLQRLISGAFSQPQQTEDEAFNEVSISKFVRLLQQFVNSAEKGGELDKSQFRETCCQATALLLSNLGSDRKSNVKGFSQLLRLLCWCPAYIATPDAMETGVFIWTWLVSAAPQWGSLVLAELVDAWLWTIDTKRGLFASDWKYSGPTAKLRPHLSAGEPEELPDINPVDQIIAHRLWLGFFIDRFEVVRQNSVEQLLLIGRMLQGTTKLPWSFSRHPAAAGTFFTFMLLGLKFCSCQSQGNLQNFRSGIQLLEDRIYRACLGWFAFEPQFYDMNNMNFAQSEAQSVQYFCNEMVSQTDSKVQAPEKGDTVVHANEDYHPVWGQMDNYAAGREKRKQLLLMLCQNECDRLEVWAHPVSKEPMPVRPKLTPEKWIEHARIAFSVDPRIAFALASRFPTNVSLKTEITQLVQSNVLDIREIPEALPYFVTPKAVDENSALLQQLPHWAACSITVALEFLTPQYKGHPRVMAYVLRVLESYPPDHVTFYMPQLVQSLRYDEGRLEGYLLRATKKSDIFAHILIWHLQGEEPEEGQEAEEKNLAFQAMLPAVRQRIVDGFSPEAQDVFEREFDFFDKVTSISGVLFPIPKEERRAGIRKELEKIQVNGKDLYLPTAPNKLVRGIRVDSGIPLQSAAKVPIMITFNVIDRDGDENDIKPQACIFKVGDDCRQDVLALQVIAQLRDIFGAVGLDLYLFPYGVLPTGPGRGIIEVVPNTRSRSQMGETTDGGLYEIFQQDFGPVGSPSFEAARRNFLVSSAGYAVASLLLQPKDRHNGNLLFDSAGRLVHIDFGFIFEISPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWDNFVSLCIKGYLASRRYMNGIINTVLLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMKSVCVDAYNKWTTAGYDLIQYLQQGIEK >KJB30723 pep chromosome:Graimondii2_0_v6:5:44547572:44556949:-1 gene:B456_005G157100 transcript:KJB30723 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUP155 [Source:Projected from Arabidopsis thaliana (AT1G14850) UniProtKB/TrEMBL;Acc:A0A178WJ50] MSWVEEVVLRDVTNAGLVVSDRIGREVAAQLDLEEALEASRYASHPYSTHPREWPPLIEVEDTWELPPVLIERYNAAGGEGTALCGIFPEIRRAWASVDNSLFLWRFDKWDGQCPEYNVEEQAICAVGLAKSRPGIFIEAIQYLLILATPVELILVGVCCSGGGDNSDPYAEVSLQPLPEYTIPSDGVTMTCISCTDKGRIFLAGRDGHIYELHYTTGSGWHKRCRKVCLTAGVGSVISRWVIPNMFKFGAVDPIVEMVVDNERQILYARTEEMKIQVFVMGPSGDSPLKKVAEERNLLNQKDAHYGGRQVTASRASNRSAKPSIVSISPLSTLESKWLHLVAILSDGRRMYLSTSTSSGSNSTVGGLSGFNNHHHRPSCLKVVTTRPSPPLGVSGGLTFGAMSLAGRNQTEDLSLKVETAYYSTGTLVLSDSSPPTMSSLLIVSRDSSSQSSLSGNLGASARSSRALRESVSSLPVEGRMLFVADVLPLPDTAATVLSLYSELEFCGSESSVESCEKASGKLWARGDLSTQHILPRRRIVIFSTMGMMEVVFNRPVDILRRLLESNSPRPILEDFFNRFGAGEGAAMCLMLAARIVHSENLISNVVAEKAAEAFEDPRIVGVPQVEGTSGLSNTRTAAGGFSMGQVVQEAEPVFSGAHEGLCLCSSRLLFPVWELPVMVVKGGYDAASENGLIACRLSVGAMQVLENKTRALEIFLRSRRNKRRGLYGCVAGLGDMTGSILYGTGSELGAGDRSMVRNLFGAYFRSVESNGGGTSNKRQRLPYSPAELAAMEVRAMECIRQLLLRSAEALFLLQLVSQHHVTRLVQGFDANIRQELVQLTFHQLVCSEEGDRLATRLISALMEYYTGPDGRGTVDDISGKLREGCPSYFKESDYKFFLAVECLERAAVTPDPDVKENLAREAFDFLSKVPESADLRTVCKRFEDLRFYEAVVRLPLQKAQALDPVGDAFHEQIEQAIRDYAIAQREQCYEIIASALRSLKGEGSQREFGSPARPVAVRSVLDHASRRKYICQIVQLSVQSPDRLFHEYLYRTMIDLGLENELLEYGGPDLVPFLQTAGCEPAQEVRALSALTSATPSVGQPGAPIHSNQAKYFDLLARYYVLKRQHLLAAHVLLRLAERRSIDGSNAPSLEQRRQYLSNAVLQAKSASNNDGLVASNRGAFDSGLLDLLEGKLAVLQFQIKVKEELEAMATRLEATPGTSESVQNGSAADSRFNGDANLANGAREKAKELSSDLKSITQLYNEYAVPFELWEICLEMLYFANYSGDADSSIIRETWARLIDQALLSGGIAEACSVLKRVGSKVYPGDGAVLPLDTVCLHLEKAALERVESGTESVGDEDVARALLAACKGAAEPTLNTYDQLLSNGAILSSPNLRLRLLQSVLVVLREWAMSVFAQRMGTTAAGASLILGGAFSPEQTMVLNQGIRDKITSAANRYMTEVRRLPLPQSRTEAVYRGFRELEESLIAPFSFDRFL >KJB28775 pep chromosome:Graimondii2_0_v6:5:7343104:7345268:-1 gene:B456_005G068900 transcript:KJB28775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKKRGCTGNVQSEEDHEMDLIRGPWTVEEDFKLINYIAIHGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDIRRGNITLEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLVERIQAAAGSTTTTTTTTAVVPSASVMGKDFSTHVTPSYTSENSSTATAASSESFEAQVSPVSDLTNCYNNGFQVTNNNNPNPDYNFQACSSQVDYYEHSLSAANYYNHGDGGCLDLQTNNYPMLDGADLSDNLLNAEDFLFLQQQFNFNM >KJB27783 pep chromosome:Graimondii2_0_v6:5:652212:658287:1 gene:B456_005G009400 transcript:KJB27783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVLNKCYPPDFDPSKLPRVRRPKNQQMKVRMMLPLNIRCNTCGNYIYKGTKFNSRREMLSARFTYLGIQIFRFYFKCTKCSAEMTIKTDPQNSDYVVESGATRNFEPWRAEDAEAEKERWKRESEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDSMLEALQRTAAEKEKKIEEEDEALIKSIFQKPKEFVRRISDDVCNDDEDLTRLLSGNGIGLFENIPLGSQFDLRQCEVPHDINLCLQKWDLLGERCQKNPTDALTKASLPDDSSSKEKKEEKVDDDTSTGLLSLCQNYDESDDK >KJB29558 pep chromosome:Graimondii2_0_v6:5:19265274:19268897:1 gene:B456_005G107200 transcript:KJB29558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALANASEIIDQRQKIEQYKHILSTVFSSNDIVQAKKFIDHMLSDDVPLVVSRQLLQTFAQELGRLEPEAQKEIAHYTLAQIQPRVVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGIDLDSGMRVIDDTFRLSKCVQIARLYLEDDDSVNAEAFINKASFLVSNSQHEVLILQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDETIDEDALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILRKVYLERILRKPEIDAFAEELKPHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIPPHKAEKIASRMIYEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIVGVCQALNDILDSMAKKGMAVPV >KJB29559 pep chromosome:Graimondii2_0_v6:5:19265332:19268889:1 gene:B456_005G107200 transcript:KJB29559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALANASEIIDQRQKIEQYKHILSTVFSSNDIVQAKKFIDHMLSDDVPLVVSRQLLQTFAQELGRLEPEAQKEIAHYTLAQIQPRVVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGIDLDSGMRVIDDTFRLSKCVQIARLYLEDDDSVNAEAFINKASFLVSNSQHEVLILQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDETIDEDALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILRKVYLERILRKPEIDAFAEELKPHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIPPHKAEKIASRMIYEDRMRGSIDQVSISCHMILFSYWFNGFKDQLIFMIVFSCFPK >KJB28165 pep chromosome:Graimondii2_0_v6:5:2810110:2813150:-1 gene:B456_005G031600 transcript:KJB28165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWKWYLWFIRMAVMLLVLERCSWCTTDACLEHERIALLHLKPFFNYGNQLQSWVEVKGSDCCKWERVECNTTTRRLIQLSLNSTIWLYNMEYDMDNRNLNAWYLNASMFLPFEELKRLYLSGNAMGGNLENEGFQLLSRLNNLETLDLSWNSLKNSILFHMRNLSSLKTLRLRRNQLKGRLDHIQGLNNLTNLKYLDLSDNNIESISNQGLSNLTNLKKLDLRWNQIESFQSFKDLEAFINLRELYMGYNELKDLVIHQGI >KJB30812 pep chromosome:Graimondii2_0_v6:5:46800493:46801917:1 gene:B456_005G161800 transcript:KJB30812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWGDLYTVLMVVIPLYVAMNIAYGSVRWWKIFSPDECSGINRFVTIFAVPLLSFHFISTNDPYAMNVKFIAADTLQKLIMLFALGLWANFTTNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGEYSGMLMVQVVVLQCIIWYTLLLFLFEYHGDKMLIMEQFPEMAASIVSFKVDSDVVSLDECWKLHIVVRKSNTSRRSLGPSSLLALTPKPSNLTGVEIYILSSSRNPTPKGSNFNNSDFYSMMGIQGFPVRQSNFGLADLYSVQSSRGLTLRPSNFEENSTVMSSRFGFYPAQSVPSSYPTPNPEIFSLTKNASKNSQA >KJB32827 pep chromosome:Graimondii2_0_v6:5:63734716:63736035:1 gene:B456_005G264000 transcript:KJB32827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFSYHCWFCGNTCIEHQAIPYGLLTRCIDNHFCYLSSRFGQKTPCLKNRSYHGYICRTFGARSGTDPSWPMAHHQQYQSLLSQRVYQIYTIFMQVLDLPFLRFPFELDGFEHASIFLHLAIFAGFTLSAELSRSSEILSVVSGIFVASVFGQEVFLLHFHSADHVGLEGHYHWLLQLIVLISLLASLTVTFLPSSLSAALVLSVSVIFQGCWFINMGFMLWCPKFIPRGCIMQAKMRSDSMHGAVTCYSQEADFRARALANMQFSWILSAILIFTGFTCLKFAGKCTPRAQSTEYEQLEIRTSDVPITIDTFKS >KJB32828 pep chromosome:Graimondii2_0_v6:5:63734716:63736035:1 gene:B456_005G264000 transcript:KJB32828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFSYHCWFCGNTCIEHQAIPYGLLTRCIDNHFCYLSSRFGQKTPCLKNRSYHGYICRTFGARSGTDPSWPMAHHQQYQSLLSQRVYQIYSFTLSAELSRSSEILSVVSGIFVASVFGQEVFLLHFHSADHVGLEGHYHWLLQLIVLISLLASLTVTFLPSSLSAALVLSVSVIFQGCWFINMGFMLWCPKFIPRGCIMQAKMRSDSMHGAVTCYSQEADFRARALANMQFSWILSAILIFTGFTCLKFAGKCTPRAQSTEYEQLEIRTSDVPITIDTFKS >KJB30376 pep chromosome:Graimondii2_0_v6:5:36845991:36846200:1 gene:B456_005G140000 transcript:KJB30376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRLLGRNIRYKDLMNRILLMWKPSRDFQLVGLDNDHVLVKFRNKADFDKVFIKGLWVIYGKYLTIQP >KJB27911 pep chromosome:Graimondii2_0_v6:5:1203400:1207641:1 gene:B456_005G016400 transcript:KJB27911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNLGAQPGVPRPPVNPQPAPFGNAFYGAGSGLIRGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDQYVRNKLKVVLLPFLHRGHWTRITEPVGGRLSYKPPIFDINAPDLYIPFMAFGTYVVLAGLSLGLQGKFSPEVLNWLFVKGLFGWFLQVMLLKVTLLSLGSGEAPLLDIMAYAGYTFTGLCLAVLGRIVWRYSYYFLMPWTCLCMGVFLVKTMKRVLFAEVRSYDSSKHHYLLLFIALVQFPLFTWLGNISVNWLF >KJB30757 pep chromosome:Graimondii2_0_v6:5:45657958:45660721:1 gene:B456_005G158700 transcript:KJB30757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLGLRQPRLEGEEYLSIIDEFIEAVLTRWPKAIVQFEDFQMKWAFKTLKCYRERFCMFNDDVQVTAGVALAGLLGTVREQG >KJB30995 pep chromosome:Graimondii2_0_v6:5:50873834:50874500:1 gene:B456_005G174700 transcript:KJB30995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQSFVLALVFIALVGLVSAANTASKAPSAVPVPDDDTIGNTDDGAGASSPGASNDAVAAPLGSEQEAKSMAPAPSSDATTTGVSAVGAAALTGAAAIATYFAF >KJB30985 pep chromosome:Graimondii2_0_v6:5:49878803:49879183:1 gene:B456_005G171000 transcript:KJB30985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSQSRRIRINQLSKYSLDFLGYLSSVRLNPLVLRSQMLENSFLIDNAMKSLDTRIPIISLIGSLSKAKFCNTLGHPISKPIGSSKKKFVSNKIYTSVFLC >KJB31359 pep chromosome:Graimondii2_0_v6:5:54690131:54691931:-1 gene:B456_005G187600 transcript:KJB31359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIFHAAAAVFLLRFFLTVTFSVGIRTIGGDGSGPGFGFSEAPDYRNGVECPVSVNKEVVSSCDPDLVHVAMTLDSEYLRGSIAAVHSVLRHASCPENVFFHFIAAEFDPASPRVLSKLVRSTFPSLNFKIYIFREDAVINLISSSIRQALENPLNYARNYLGDILDLCVDRVIYLDSDLVVVDDIHKLWNTALTNSRVIGAPEYCHANFTKYFTDGFWSDPVLSRVFHSRRPCYFNTGVMVMDLVRWREGNYRKRIENWMEIQRKRRIYELGSLPPFLLVFAGNVEAIDHKWNQHGLGGDNVRGSCRSLHTGPVSLLHWSGKGKPWVRLDARNPCPLDHLWKPYDLYKGSSIKDRSSFPSSIFLGFSSYLS >KJB30898 pep chromosome:Graimondii2_0_v6:5:49012202:49014244:1 gene:B456_005G166700 transcript:KJB30898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPSQDNIMDTVGVIWVDTEGNIASGASSGGIALKVSGRVGLAAMYGAGCWASLKGPFRAPFIVDCCVSGAGEYLMKGFAAWSAVSYRHSIEIAVAYSSLSFGIGYFGSGMERPKVSILRRSKQQNRSGIDHFEARVDVST >KJB28236 pep chromosome:Graimondii2_0_v6:5:3450978:3452932:-1 gene:B456_005G036300 transcript:KJB28236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLKIAFKLTKNNQTRDQTSHTMDDEVKLFGFWASPFSLRVIWALKLKGVDYEYIEEDIPHNKSELLLKYNPVYKKIPVLVHGGKPIAESLVILEYIDEVWPHSPLLPKDAYERSVARFWAKFIDEKIRPMWEFFHKFGEEQQKAIENNFKILRTIEEHGLGDKKFFGGDQIGIADLIFGMVIHYFAPMEDVMGVKFIKVDTFPRLHAWMRHFSKHPVIKDNVPDYSRVVDYLKGYLDIISRMQKG >KJB27794 pep chromosome:Graimondii2_0_v6:5:721362:723208:1 gene:B456_005G010300 transcript:KJB27794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMCVEDRISSFPDYILCHILSFLPIKEAVRTSIISTKWRCLFSYISTIEFDHCLLSGLTDRNVDSFKNFVDRLLKFPDQVSLDCFRLSDGISSWNDEDHDFDVSGWICAALCRGVKEIDLQLDNLGDAPPVLFTCHSLVKLTLEGKGSKLEVPPDACLGNLKTLWLENWVLFGDSINRLISNCHVLEDLAFTDCCIANTSELTIQSPSLKELVLDFFSEGVGDFNYVLVINAPNLVYFRYYSKIVDGYTLSNMNSLENADIHIDAFDFEDDETTATRLFSDDEINATRLIQGICNVRSLTLVIDGVIPPAVPFPIFHNLIEFNFYGRGIWLVEFLYCAPNLKTLKFSFSDVPGTRWKTLLTEVPSCLLFHLKEIEMLISCSDKHMIRYFLDNAMVLEKLIIRVRDLTATQREKARNKLLQLLKNSKKCQFVIL >KJB32721 pep chromosome:Graimondii2_0_v6:5:63371783:63377979:1 gene:B456_005G257400 transcript:KJB32721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKLPHSPRLIQGRQSLVPFPASTMLFPIPPSSPLSNYSFATSSGFLTRTLALFSPGIVACKSSSLRGVRGNQSRIRSASVQRVAEELMEDQSKYSLFSGDSIRQRFLDFYASRDHKILPSASLVPDDPTVLLTIAGMLPFKPIFLGKIPRQVPRATTAQRCIRTNDVENVGRTARHHTFFEMLGNFSFGDYFKKEAIQWSWELSTKEFQLPPERLWISVYEDDDEAFEIWHKEVGVPVDHIKRMGADDNFWMSGATGPCGPCSEIYYDFHPERGTADVDLGDDTRFIEFYNLVFMEYNKKDDGTLEPLKQKNIDTGLGLERMARILQKVPNNYETDLIYPIIEKASALANISYPLADEQTKLKLKVVGDHLRAIVYLISDGVLPSNIGRGYVVRRLIRRVVRAGRSLGIKGERRDNLEGAVLPIIAEKVIELSTHIDPDVKIKAPRILEELKKEELNFVQTLERGETLLEKMLADASSNAEKSGTVPCLSGKDAFLLYDTFGFPVELTTEIAEEQGITVDMNGFDIEMENQRRLSRAAHNVVKLAVEDGTNLTNNINKTEFVGYDTLSTQAVVESLMVNGSPVIQVSEGNDIEVLLNKTPFYAESGGQIGDHGFLYVTGGEKQQTAVVEIKDVQKSLGDLFIHKGTIKEGFLEVGREVEAAVDAKLRQQAKVHHTATHLLQAALKKLISQEISQAGSLVAFDRLRFDFNYGHALTDTQIEEIEQLINGWIEASTSLKTKVMPLNDAKEAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTSEIRVFKIISEQGIASGVRRIEAVAGEAFIEYINVRESQMKNLCSMLKVKAEEVTTRVEKLLEELRMSRTEVANLRTKAAEYKALMIANKAFEVGTSQKIRVLVESLDDVDADSLKAAAENLIDTLQDPAAVILGSCPDEGKVSLVAAFTPAVVDLGIQAGKFIGPIAKLCGGGGGGRPNFAQAGGRKPENLPSALEKAREDIISILSQKTS >KJB30617 pep chromosome:Graimondii2_0_v6:5:42326303:42329254:1 gene:B456_005G152100 transcript:KJB30617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSSNTKLLRVDESNAINTSVTKPCPKDAICDKEKYINAKYVNKLLVSRDPMQLGVSQNSINIWQAVKADNIREVYRLITVSDTNMVNTTFDDVFSVEWYHHVDAQNSSIDIQNEHLIQNDPSACQRIKNSNDPGSCLQGCSLLHLACQRSNPVMVELLLQFGADINMRDYHGRTPLHHCIAIGKNPLAKHLLKRGARSSIRDGGGFSALDRAMEKGAITDEGLLILLSESD >KJB27450 pep chromosome:Graimondii2_0_v6:5:57418156:57419014:-1 gene:B456_005G199200 transcript:KJB27450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILRLASKRLLGLTSREIPSQPIQILPHLYYVNIIDHYNNPRNVGSFNKNDPNTNTSLVGAPACGNVMKFEIKIDNKTTKTSKNKNTK >KJB31694 pep chromosome:Graimondii2_0_v6:5:57793848:57797707:-1 gene:B456_005G201600 transcript:KJB31694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSSGRGNTGNSKGFDFGSDDILCSYEDYGNQESSNGNHTEPNSSNKEFHKGRVARSMFPPSGYSQPEDSFSSDVAATVEKTMKRYTDNLMRFLEGISSRLSQLELYCYNLDRSIGDMRADLVHGNGDADSKLKSIEKHLQEVHRSVQILRDKQELAETQKELAKLQLAQKESSSSHSQSTEERVSPPASDPKKTDLTSEMQNQQLALSLPHQVASQQQPVAPHSQAPPQNLTQQSYYMPSNQLSNPQAPAAAPSPAPAPNPNPPPAPAQNQHPPSQYLTSDPQYRPPQIQDISRMPTQPTQAQGNQVQSFPQYQQQWPQQLSQQVQPQQQSSMQPQMRAPSTPAYSPYPPTQSTNQSQPEALPNSMAMQVSYSGLPQPVSSRADTITYGYGVPGRTAPQQPPQQIKGTFGAQPTDRYTGPGSNPTFPPGSAYMVYDSEGGRTHHPSQQPNFSQGGYPPAANVSLQTQPGAGPNVMVRNTNHPQFVRNHPYSELIEKLVSMGFRGDHVASVIQRMEESGQPPDFNAVLDRLNAHSSGGSQRGGW >KJB28451 pep chromosome:Graimondii2_0_v6:5:4768166:4769409:-1 gene:B456_005G049100 transcript:KJB28451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVRKSSQALSPNITSGPPPHLHSSEPSFPIIAIAVIGILATGFLLVSYYIFVIKCCLNWHRIDLLRRFSLSRRRPEDPITPYSPAMEHRGLDESLIRSIPLFQFKKNNGNIDEKTLCECAVCLNEFQEDEKLRMIPNCSHVFHIDCIDVWLQNNANCPLCRTRISSSTINAPSLAPQDPIINGGDEGYVVIELGDNNNHSSSDESISGSPMELKDVEKRGRKLHKGTSMGDEWIDSRNKGAEFGIQPIRRSISMDSSADRQLYLAVQEAIRQKREVNEGISPIEGCSSRVMRRSFFSFSHGRGSKNAILPVYLET >KJB31441 pep chromosome:Graimondii2_0_v6:5:56020972:56023140:-1 gene:B456_005G192100 transcript:KJB31441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGENSNQSISYWKLVNAQMHFFNVLSYFLLFGCGLSLGVILSFHLKNYSLALHITQLPLSTRSASILAQPPPSKPFNPVSGTSHGDRIGLTEFLEPPHVMHDMDDKELLWRASLAPRIPQYPFHRVPKVAFLFLTKGPVPLALLWEKFFKGHQGLYSIYVHSDPSFNLTEPEGSVFHGRRIPSKEVEWGNVNMIEAERRLLANALMDYSNQRFVLLSEACIPLFNFSTVYSYLINSSQSFVESYDLPGPVGRGRYSRRMRPQVTIQQWRKGAQWFEMDRDLAIEVVSDQIYFPVFQKYCKGACYADEHYLPTFVTMKFANKNSNRTLTWVDWSKGGPHPAKFIRTNVTVEFLERLRSQSQCQYNGNTTDICHLFARKFSPDALYRLLKFAPKVMQFHE >KJB29589 pep chromosome:Graimondii2_0_v6:5:22912502:22914355:1 gene:B456_005G116300 transcript:KJB29589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIRRRRRKRNTIQKLIRIRPHTSQIHMYSIAPSVVFILGYQFSPPISFQLDSANSWLSHCVSLTAIAVAAVVCSSQAMTTTTRLSRNANFSIFKLSNSSDAALNLGPCSHKNVCFFGWKLHSQPCFIHVNNFRKMEWSVRSNVDASGFDPSSSNGGRPRLIRAIQGIQTKLGVRIQELRKNLPMKILFFLVGFYCATAFATFIGQTGDWDILSAALAVFVVEGIGALMYRASLPLLNNVRNLITIFNYWKAGLSLGLFLDSFKYELDKITGFSNPLSFDLDVFALFV >KJB29590 pep chromosome:Graimondii2_0_v6:5:22912633:22914388:1 gene:B456_005G116300 transcript:KJB29590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTRLSRNANFSIFKLSNSSDAALNLGPCSHKNVCFFGWKLHSQPCFIHVNNFRKMEWSVRSNVDASGFDPSSSNGGRPRLIRAIQGIQTKLGVRIQELRKNLPMKILFFLVGFYCATAFATFIGQTGDWDILSAALAVFVVEGIGALMYRASLPLLNNVRNLITIFNYWKAGLSLGLFLDSFKYELDKITGFSNPLSFDLDVFALFV >KJB29592 pep chromosome:Graimondii2_0_v6:5:22912889:22914371:1 gene:B456_005G116300 transcript:KJB29592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCHFVGSSQAMTTTTRLSRNANFSIFKLSNSSDAALNLGPCSHKNVCFFGWKLHSQPCFIHVNNFRKMEWSVRSNVDASGFDPSSSNGGRPRLIRAIQGIQTKLGVRIQELRKNLPMKILFFLPAAVTICCYGNISCIYHEKYNTSESRLESMHGPTPLVATHAL >KJB29591 pep chromosome:Graimondii2_0_v6:5:22912677:22914371:1 gene:B456_005G116300 transcript:KJB29591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTRLSRNANFSIFKLSNSSDAALNLGPCSHKNVCFFGWKLHSQPCFIHVNNFRKMEWSVRSNVDASGFDPSSSNGGRPRLIRAIQGIQTKLGVRIQELRKNLPMKILFFLPAAVTICCYGNISCIYHEKYNTSESRLESMHGPTPLVATHAL >KJB31857 pep chromosome:Graimondii2_0_v6:5:59221992:59235947:1 gene:B456_005G211300 transcript:KJB31857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQENSGFAEHQVEERNGEDNRDMGRDKAREMEGKEERIEGKENKSGDSEGDTLNKPNKRGTKKEVTVPSKRGKKEERGEEGKCLDFVEESGENVDESGVQLKGKNTLVSVENLEVANGEGIATMKEDVDMDSAGSKRRLRTIVKKVSYAEVQENEDDEFVPKKRGRGRPKKEVVVKSEGQEDGFISENGDNTVHAKKRGRGRPRKQVTESVAIEGTDTDKSRRRAKNGSLGMNESENLVKPLRVPGEEEEPVPGSKRKRDVKWITIAKEDRLTCHQCKRNDKGRVVRCKLCNCKRYCIPCIKNWYPKMSEDEIADACPVCRENCNCKACLRTTGLLKKLEETLNPKFSGGEEVQHTRYVLQTLLPYIKQFSEEQIKEKVIEAKINGVAAEQIKLKQAICTENERVYCNYCRTSIADFHRSCPDCNYDLCLTCCREIRDGDLRGGQREVIMEYPDMSFEYLHGELQCSMPSKVGNALKPSKEEDSPEETNSKEHNAATSEWKVNENGTIRCPPKDLGGCGNGLLELRCMFGEHAVIELTRKAEEITKALNLVHVLEVSNKQCPCYNSMGEADIDNNKLRKAASREDATDNYLYCPKAKDIESGDLKHFQKHWANGEPVIVSNVLENASGLSWEPMVMWRAFRQVTNKKSDHQLEVKALHCLDWSEVVVNIHQFFKGYTDGRFDSKSWPQILKLKDWPPSNEFKNLLPRHHAEFLCCLPFKEYTHPQSGLLNIATKLPKKSLKPDMGPKSYIAYGVAQELGRGDSVTRLHCDMSDALDAINKLKRKHNLQDQQELFGRISKVDRNKPDDGWFDVSPYDRQSNDRAGDQECKVIVEQEGQDGYSSLSGNNSVREFEMQESRKEKMDEEECGENRRSSETSGNEIEEVEAHEGGAVWDIFRRQDVPKLQDYLKKHFREFRYVHCCPVSQVFHPLHDQSFYLTMDHKAKLKQEYGIEPWTFVQKLGEAVLIPAGCPHQVRNIKSCIKVALDFVSPENVGECVRLTEEFRLLPRDHREKEDKLEVKKMTVHAVQQAVKYLDPNAEVELAYKVN >KJB31508 pep chromosome:Graimondii2_0_v6:5:56913272:56919940:1 gene:B456_005G196300 transcript:KJB31508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVPAENLPKQHHLPHGGGGGFYGYCGSSYTESFGLMSRVYGYDFHAEAYVGSDLAANRMAEDESTTNSLNEAGSNSKDNNQELELERDEGWLQLGLGGQPTRYDDNKHYQGDPTARRGGMIELDLLPGGMSQQARPLLGPIFHMPDFRAPPPPPPPLMHSFSSSLFFQHQQGSSSTFPHHGELSSSFRPIAQNIAAAPSASSSSSSSSLVPLGSYFARPFQVLSEMDVAGPSSDVRIIDPPRRPHSGIWFMLQASQNQAKEPFLPQIRKSYLRIKDGKMTVRLLMKYLVSKLRLDSESEIEIRCRGQQLQPSLTLQQVRDQIWSSRDAVTLLPRTSTADHLMVLHYGRTPI >KJB29971 pep chromosome:Graimondii2_0_v6:5:28134228:28141231:-1 gene:B456_005G126000 transcript:KJB29971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMELPFSSKEKGHGYWVPPRAQMEGGEQFDGTAQNLVSEDPFSFSELMNFDSYAGWCNSPAAIDQTFASFGLSSYPPLPYTSFDTLHITGPSSGAFAEAGDALSGMDSSYNFADRMVYQQTDAHFGNSLDSADGELGGRQNNGGTRQSNSLVLANSLVSRSIKRSLDERMLRALSLFKESSGGGILAQVWVPIKHGDQYMLTTSNQPYLLDQMLSGYREVSRTYAFPAELKRGSFPGLPGRVFISRVPEWTSNVIHYSKVEYLRFAHAINHKVRGSIALPIFEPSEMSCCAVLELVTMKEKHNFDSEIENVSVALQAVNLRTTAPPRLFPQCLSRNQRAALGEIADVLRAVCHAHRLPLALTWIPCNYTEEAEDETTKLRVREGDTGHDGKCVLCIEDTACYVNDKGMQDFVHACIEHYLEEGQGIAGKALQSNHPFFSADVKTYDINDYPLVHHARKFNLNAAVAIRLRSTDTGDDDYILEFFLPVTMKGSSEQQLLLNNLSGTMQRICRSLRTVSDVEISGEGSNVEFQSGTVPNFPLTSMSRSSETVLSADSERNSHDRVPLNASNATSDGKEKDGPPEQAMTRLRRHVEKKRNTAEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLRKIQTVLDSVQGVEGGLKFDPATGAFVAAGNVIQEADTQKTLVFSNRNLPTRVPNPVDQEKSSAPLASCPDGENSVVKLEEDECSVGGNNRDAIRSVLIQSTLDSKSVGPDSRSFQAASFGTATWTCPENATTDSYVEGGQRWGFNNGNLKVEDSDCHFVSGSSSSLAAAAAADEIDTRMEDDDGIVEHNHQPISSSMTDSLNGSGSMLHRSSSSSQSFEDAEDTKPKTISVDSSSKITVKATYKDDTVRFKFKPSAGCFHLYEEVAKRFKIQIGTFQLKYLDDEEEWVLLVSDSDLLECLEILEYIGSRSLKFQVRDIPCTMGSSGSSNCFLTGGS >KJB29970 pep chromosome:Graimondii2_0_v6:5:28134228:28141832:-1 gene:B456_005G126000 transcript:KJB29970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMELPFSSKEKGHGYWVPPRAQMEGGEQFDGTAQNLVSEDPFSFSELMNFDSYAGWCNSPAAIDQTFASFGLSSYPPLPYTSFDTLHITGPSSGAFAEAGDALSGMDSSYNFADRMVYQQTDAHFGNSLDSADGELGGRQNNGGTRQSNSLVLANSLVSRSIKRSLDERMLRALSLFKESSGGGILAQVWVPIKHGDQYMLTTSNQPYLLDQMLSGYREVSRTYAFPAELKRGSFPGLPGRVFISRVPEWTSNVIHYSKVEYLRFAHAINHKVRGSIALPIFEPSEMSCCAVLELVTMKEKHNFDSEIENVSVALQAVNLRTTAPPRLFPQCLSRNQRAALGEIADVLRAVCHAHRLPLALTWIPCNYTEEAEDETTKLRVREGDTGHDGKCVLCIEDTACYVNDKGMQDFVHACIEHYLEEGQGIAGKALQSNHPFFSADVKTYDINDYPLVHHARKFNLNAAVAIRLRSTDTGDDDYILEFFLPVTMKGSSEQQLLLNNLSGTMQRICRSLRTVSDVEISGEGSNVEFQSGTVPNFPLTSMSRSSETVLSADSERNSHDRVPLNASNATSDGKEKDGPPEQAMTRLRRHVEKKRNTAEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLRKIQTVLDSVQGVEGGLKFDPATGAFVAAGNVIQEADTQKTLVFSNRNLPTRVPNPVDQEKSSAPLASCPDGENSVVKLEEDECSVGGNNRDAIRSVLIQSTLDSKSVGPDSRSFQAASFGTATWTCPENATTDSYVEGGQRWGFNNGNLKVEDSDCHFVSGSSSSLAAAAAADEIDTRMEDDDGIVEHNHQPISSSMTDSLNGSGSMLHRSSSSSQSFEDAEDTKPKTISVDSSSKITVKATYKDDTVRFKFKPSAGCFHLYEEVAKRFKIQIGTFQLKYLDDEEEWVLLVSDSDLLECLEILEYIGSRSLKFQVRDIPCTMGSSGSSNCFLTGGS >KJB32737 pep chromosome:Graimondii2_0_v6:5:63463510:63467246:1 gene:B456_005G258700 transcript:KJB32737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPIPTDLSSSEVLGDCEVAAAAAVVRELMEVIETVGSYAGFRKTQRKDCLNLVRRLKLLVPLLEEIKDQVGNPLGVSGMDSLVSLKKALLGAKKLLKNCNHGSKIYLAMESEAVLSRFHGVYDKLNQALDGMPYDELGVSVEVKEQVELMRMQLKRAKRRTDTQDMELAMDMMVVFSKTDDRNADIAILERLANKLELHTIADLRAETAAIRKLVKHRGGHNETVQQILDLLGKFKLIVGIDETVSLDGPISTRTLRRCQSSLIPNEFLCPITLEIMTDPVIVATGQTYERESITKWLNSNHRTCPKTGQTLDHLSLAPNFALRNLILQWCEKNNFELPKKDTYPSSDTYSADLMEQISLLVKDLSSSHPNVRRDAVMKVRMLSKENPENRISIANTGGIPGLVQLLYYPDSKIQEHAVTALLNLSIDETNKRLIAREGAIKAIIEILQNGTDEARENSAAALFSLSMLNENKIHVGNFNGIPPLVSLLQNGTIRGKKDAATALFNLSLNQANKSKAIKAGIIPPLLRLLDDKNLDMVDEALSILLLLVSHPEGRNEIGRLSFVETLVGIIRSGTPKNKECAVSVLLELGLNNSSLTLAALQFGVYEPLREIAINGTNRAQRKANSLLHHMSKCEHIP >KJB28169 pep chromosome:Graimondii2_0_v6:5:2852829:2859789:-1 gene:B456_005G031900 transcript:KJB28169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WCTTDACLEHERIALLHLKPFFNYGNPLKSWVDLKGSDCCKWERVKCNSITRRVIQLSLNSTKWEYNMDYWYLNASMFLPFEKLKSLYLSENAIGGNLENEGFQWLSRLTKLETLNLSGNSWKNSILFDMRNLSSLKTLRLSSNQLEGRLLHIQGLSNLINLKKLDLSENQIESLQSSKDGGRKSELTHLKELNLNSNLFNNSVFASLNKLLNLKTLTISNQLKGPIDAKGWCDLRNLEVLDVSGNALEGMLPHCFSNLTALRVLDISRNHFQIPLSFAPFANLSNIKALLSNENKMVTEPSFYTSIPKFQLEVISLSKCITSQQLSRKLPTFLYYQYDLRYVDLSHNNFSGTVPTWLLENNTKLEDLILKGNSFTGPLSFSSALISNVSSIDISENKIQGQIPTSICSTFPHLSRLFLSKNAFEGNIPLCLSGMKDLSILDLSNNQLYGKVPEELITKGSLTILRLSNNNFSGNHVHLHGNRLRGPLSLAFYNSSSLVTLDLREKNLTGSMPKWIDTLSSLSVLLLKDNHFHGKNLEEVIEFTTKSGLYSYEGNILAYMTGIDLSCNNLTGHIPPELGNLSEIYSLNLSHNKLIGVIPSSFSKLHQIESLDLSYNNLSGEIPNQLVELNSLEVFSVAHNNLSGSIPEPKAQFGTFIESSYEGNPFLCGTILHKSCSKPDAPSTRSNSLDDEGEDSLLDTYDFCVSFLVSYIVVVLTIFVVLYINPYWRSVWFSFIGKCITTCRYSTMANFLTYHIFRQCD >KJB27806 pep chromosome:Graimondii2_0_v6:5:749672:751104:1 gene:B456_005G010800 transcript:KJB27806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMCVEDRISNFPDHIRCHILSFLPIKAAVRTSIISTKWRYLFASISTIEFDRYLLRGLTHRNVDSFKNFVDRLLKLPDQVSLDCFRLRDGISCNDGDHDFDVSSWICAALCRGVKEIDLELHNLGDVPPVLFTCHSLVTLRLDARGCKIEVPSQVCLGNLKTVQLIHWNARELHIQIPSLKSLVLHFIARQDRDLNYAVVIDVPNLVYFRYNAAIVECYSHMKSLEKADICIYGFHSNLSIDATHLIQGICNVRYLSLNIHEVISGTSRLPIFHNLIEFKFCGRETSLVQFLHCASNLKTLIIKFLDFERTRWKALSIEVPSCLSFHLKEIEFSCFDTHMIEMVNYFLDNAMVLEKLIISMDLLTLIQKAEAATNY >KJB32061 pep chromosome:Graimondii2_0_v6:5:60363762:60367256:-1 gene:B456_005G221000 transcript:KJB32061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMDMMENLPSTISPLLLRSMASSVFIYADKLFFNLAEKFKPFEIIRYVLITSFLFFLRLLSFLFLSDLPPKSQSHVYTFNPPKSNGRYLIGSGVSDSGIARALSQVLSIVNDIPVSSRKYAIVRSLAEGLIEENRREDAEGLREVNRAVLSSAFSRTLSYLEAAMVELGQDRIGHDGAGPAPVQYWVKRALRVVRSVGDGVWAREGSGGVREDVNRSGNSAEKLAAELLWLAQKLVDCGFGEEAVERWASASNLASLSLLAEPRLQGSLVKVSTFLFKQARDMEMALDETEEFYEESRRQTKMKMLTSWLPLLCRASNGTDMPVLSINEKAELEKVLEETIELLDHEEQEQVLSLWLHHFAYCSSSDWPNLHALYCRWCTNSRKLLLLH >KJB28796 pep chromosome:Graimondii2_0_v6:5:7464802:7515976:-1 gene:B456_005G069700 transcript:KJB28796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAQVLHLLRRYLGEYVNDLSSETLRISVWQGDVVLKDLKLKAEALNSLNLPVAVKAGFVGTITLKVPWKSLGNEPVIVLIDRVFVLAHPTPDGRNLKEEDREKLFVAKIQQIEEAEAATLEAISGSKLESPPPGNSWLGSLIATIIGNLKITISNVHIRYEDCFSNPGHPFSFGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDNLPWKIDKKWEDLSPKEWIEVFEDGINEPAADNEVVSMWAMNRNYLVSPINGVLKYHRLGNQERKNPDIPFEKASLVLSDVALTITEAQYHDWIKLLEVFSRYRAYVEISHLRPVVPVSKESYVLWWRYAAMAALHQRKMCYRFSWDQIRHMCQLRRRYIHLYASMLQQSSRVVNIEIREVEKALDSKVILLWRLLAHAKLESVKSKEAAEQRRLHKKSWFTLGWRTQSEDASDGDAFDGSQLTEERLSKEEWRAINKLLTYQPNEDLMSHSGKDMQNMIRFFVTVSINRAAARIININQTEIVCGRFEQLHVSTKFKHRNTHCDVTLRFYGVSAPEGSLAQSVCSEQKVNALTANFVHSPVGENVDWRLSATISPCHVTVLKESFDRFLEFVKRSNAVSPTVTLETATKLQTKIEKVTRRAHEQFQTVLEEQSRFALDIDLDAPKVRIPLRARSSFKCDSHFLLDFGHFTLHTMESQSDEQRQDIYSHFYISGRDIAAFFRDCGSDCQDCSLMKENSSVQSMVMSPRLEKVDNFYSLIDRCGMAVIVDQIKIPHPSFPSTRVSVQVPNLGIHFSPARYCRLMELLNILSFAMDPCVQPGVVDFQVGVAPRSVSDLATDAKILVWRGIGNSVASWQPCFIVLSGYYVYVLDSEKSQNHLRYLSMAGRQVHEVPSTNIGGSPFCIAVSPRGMDTQKALESSGTWVIEFQGEEEKVTWLRGLIQATYQASDPPLVDILGETTDGISESDDPQTRNSKAADLVINGAVVETKLCIYGETGEGVAEKLEEQLIIELLASGGKVKMISSASELVLKTKLHSLKIKDELQGRLFGNPHYLACSVLKYDSSLESNQTCDLNGDEMSGVHQDDDDTFKDALSEFVSSTNSDSLSHHMDMVDAYRFESDEVLIDEQDLAQGKGLSGEIFYDAQGGDDLDFVSVTFSKKGSGSPVYDGIDSKMSIRMSKLEFFCNRPTLVALIGFGFDLGSVGNKTSVTDANGTSKDKMDKGKAEESGCVEGLLGYDKARVVFYLNMDIDSVTVFLNKEDGSQLAIFVQESFLLDLKVRPASLSIEGTLGNMRLRDMTLGTDNWLGWLCDIRNPGVESLIKFKFNSFSAGDDDYEGYNYRLCGRLSAVRIVFLYRFVQEITVYFMELATPHTEEVIKLVDKVGDFEWLIQKSEIDGAAALKLDLTFDTPIIIVPRNSTSNEFVQLDVGLLKVRNKISWHGFPEKDPSAVRLDILHAEFLGVNMFVGIDGCIGKPLIRESRGLDVYVRRSLRDVFKKVPTFSLEVKIGFLHGVMSNKEYDVIRDCITMNLNEAPSLPPSFRGSKSGSKDTMRLLVDKVNLNSQMLLSRSVTILAVEVNYALLELCNGIHEESPLARIALEGLWVSYRMTSLSETDLYVTIPTFSVLDIRANTKPEMRLMLGSSADVPKQASTGNFPCFLNKSSSSRANSEAGIDLDVPISTMFLTDCRWRLSSQTYVLRFQQPRVLVVPDFLLALGEFFVPSLGAITGREETMNPKNDPISKNNSIILSSSIYKQNEDVVHLSRSRQLVADTHGIDEYTYDGCGKTIVLSDENEAKKSHPAQFCPIVIIGCGKRLRFVNVKIENGSLLRKYTFLSNDSSYSVSPEDDVDISLMDNLSSNDDEKLEENMELTNTPKTSCLQDGPNVAPSFTFEAQVVSLEFTFFDGTKSYLDDSSYGEKLLRAKMDLNFMYAYKENDTWIRAVVKDLTVETGAISLLLNLQYQAAAALQFGNAIPLAPCINFDRIWVSPKVLVCALDAYLHRIDVYRYSENELNVERVVSYPIPPSQAVLAVSNTYGRVRKPVGFKHIGFLSHVLGLEGVDGHSGVDSDCSLWMPISPPGYISMGCVANVGNHPPPNHVVYCLRSDLVTSTTYSECLLNELPNQHFTSGFSIWRLDNVLGSFYAHSSIGYPSKGNSSDLSHLLLWSSVWSYASLQEYIPDTVDNNHSSQQTSNQGDSSSGWDILRSISKASSCYMSTPHFERIWWDKGGGLRRPVSIWRPIPCPGYAILGDCITEGLEPPALGIILKSEDPEISAKPVQFTKVAHITGKGFDEVFFWYPIAPPGYASLGCIVSRTDEAPCMDFFCCPRMDLVSPTNIIEVPISRNLSSKASHCWSIWKVENQASTFLARSDIKMPSMRLAYTISDSVKHKTRENVTAEIKLRFFSLTVLDSLHGMMTPLFDVTIANIKLVTHGRLEAMNAVFISSIAASTFNKHLEAWEPLVEPFDGIFKFETYDINVNSSSIIGKRMHIAATNIVNINVSAMNLDTLIETILSWRRQLELDQKATKLIEESGAHSGNQDLAFSALDEEDLQTVVVENQLGSDLYIKRIEQNSEVVDQLHHGGFASVWVPPARFSDRLNVAEESRQARYYVAAQILFAKDLPIVDDGNSHNFFCALRLVIDSQATDQQKLLPQSARTKCVKPLVSDKVYPKKGIAKWNELFIFEVPRKGVAKLEVEVTNLSAKAGKGEVVGALSFPIGHRANILKKLSSSRMLPPRNDTQNIESYSLRRKVQSNNVEDTYGHVKIFVSTSYFERNTTAIFQKDAESRDASDKDIGFWVRLGSEGSWESVRSLLPLSVVPKSFQSEFIAMEVVMRNGKKHAIFRGLATVVNDSDVNLDISVCHVSMIQDSGSSTPNIVVEEIFENQLYHPISGWGNKWPGFQGSDPACWSTRDFSCSSKEFFEPSLPNGWEWISTWTIDKSQFVDEDGWAYAADYPNQWPPTSSKSHIKSGHDVVRRRRWIRTRQLIAEKEKCCVKKDFTTINPGYSTVLSWGSTSKASDQCLRIRPSVDYPHPPYAWGHTVVVAVASNFASGKDQPFVDQCYIKSTSPQGSKKPNFALLLSQLEKKDILLCCSATVESRQIWLSVGADASALHTEFNHPVYDWRISVNSPLKLENRLSCPAEFTIWEKTKDRNYIEREHGIISSRMSAHIYSVDIQRPIYLSFLVQSDWVLEKDPVLILDLSSGAHISSFWMFHQQSKRRLRVSVERDMGGTGAAPKTIRFFVPYWIINDSALPLAYQVVEIEGSDNADMDSRSSKAVKSTRTVLRTPSYLAERRHSGPRRNIQVLEAIEDTSPIASMLSPQDSAGRSGVTLFTSQKDTYVSPRIGIAVALRDSEIYSPGISLLELEKKERFDVKAFSSDGYYYKLSALVNMTTDRTKVIHLQPYMLFVNRVGLSFCLQQCYSETVEWIHPTDPPKLFGWQSSSKAELLKLQVDGYGWSKPFSVSTEGLMRISLKNDTGSDHLFLKIEVRSGTKSSRYEVIFRPNSSSSPYRIENRSIFLPILFQQVDGTSGSWHLLLPNTAVSFLWEDLGRRHLLEILADGADPSRSGKYNIDEIFDHLPIDVAGPAQALRITILKEEKVNVVKISDWMPENEPPVIISQTIPSTLSELSRSESHQQQPQSTSECEFHFIVEFAELGVSIIDHTPEEILYLSLQNVHLAYSSGLGSGLSRFKLRMRGIQMDNQLPLTPTPVLFRPQKIGQETDYILKISVTLQTKGSLDLSVYPYIGFHGPDNSAFLINIHEPIIWRIHEMMQQVNLSRLSDSNTTAVSFDPIIHIGILNISEVRLKVSMAMSPSQRPRGVLGFWSSLMTALGNTENMTVKLNQRFHENLCMRQSMMINNAISNIKNDLLGQPLRLLSGLDILSNASSALGHMSKGVAALSMDKKFIQSRQRQGSKSVEDLGDVIREGGGALAKGLFRGVTGILTKPLEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRLKIASAIASDEQILRRRLPRAVTGDSLLRPYDEYKARGQVLSLLIYSKYVESLHYQMHMKIISCYQREKLSWLPIGE >KJB29213 pep chromosome:Graimondii2_0_v6:5:12000058:12002464:1 gene:B456_005G089500 transcript:KJB29213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLNKHLKLLFLLFSFSTGDSSSAIRITRRRRLDRKKQQSERNVFQCFVFSPANAGESALINFFLGRPYLDSYSPIADVQYAVNVVELPGGIKKTLFLLEIPEDGVSKLLATKESLAPCDIAVFVYDSSNESSWKRATELLMDVASHGEDTGYEVPCLIVIAKDDLDSFPMAIQNSTRVSQDMGIEAPIPISSKLSDFNNIIRRIVNAAEHPYLSIPENEAGRSRKQYHRLIN >KJB32702 pep chromosome:Graimondii2_0_v6:5:63347114:63348038:-1 gene:B456_005G256700 transcript:KJB32702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKKLIQLARKWQKMVAIRRKRITLPSSTLDSDTNSSSTSTVVEKGHFVVYSADQKRFVLPLEYLKNEIVMELFNLAEEEFGLPGNGLLILPCDATFMEYVIALIKRKPSKDVEKALILSVASSRCSSSNLYQHETSQQLPIWSF >KJB28757 pep chromosome:Graimondii2_0_v6:5:7247593:7248966:1 gene:B456_005G067800 transcript:KJB28757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKENQTPRKEHRRSLDLANRRSNDSPAKKTSKKIAQKSLIEAFISPIEDDLTNISEESIDFSSISAVSDANFNFETTESIAITSNPLLSSSSETFISSEIGPYSKISAANRDEPIDFSKTGLAEVEIFLDLLKQARFQALNSAHLENKSKKVLDALIEFTIKEFYTMPQEIDKLQEIVSRNAHVRFLCLLTWVVAVSLFSLYLFCYSRPVCSFTGPPPT >KJB28668 pep chromosome:Graimondii2_0_v6:5:6472702:6477733:1 gene:B456_005G061500 transcript:KJB28668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamete expressed 2 [Source:Projected from Arabidopsis thaliana (AT5G49150) UniProtKB/TrEMBL;Acc:W0TY53] MAAKFHFILISTLTLLLASSADEAAVPKFAFSWLDDKDTFKAGETATIKIKVLGNFDSKGNASLDRTAFKPLVTVNGKTGNSSYISGVFLDIDGDPSTWQIVFIPILAGIFNVILNDDPFKVMDSSLHFTVESGPMYPSASVASWLGFLNEFEAGSKAPVLILPKDAFGNNVTSIDEELSSYNISVTALHENGSIACLLNITSKAWNEFGYIIVEFIAVKAGKFLLNIQGANQTLNGSPLPFKVNPGPLDVSNCIAKWKFEFNAWQIFSKMEILIYQQDQCGNLVPGLYEFDADVIESDTNLSIPVTDLQFEEVEPGVQLFSFSMSKAGNFLLTISDMKHNKSISYMPYAYTVFVGYCDGFKSTINGTGLNTSVAGEQAEFSVYLRDAFEYPSPVEVERLRVEIRSETDSTPVSPTIYPTQISNGMHPPPGSLNVWATAFNVTYTPQKRGIYKIHIFCGNIILNGGTPFTKEVKPGEVNISVSGVVKFSPKAPKLVRNEIVVRLLDSFSNPVMSENSKLSLVLTSVNKPGFSNWMFVDNSDGSYIGHYLAMEVGTYEMCVLFEGKHLSPCPFTVNVYGSEYFPKAYDDKISLWEDESISFDVLENDYFAGSNATIIKFSKPIHGSLLQYGRLFRYTPYKDYFGNDSFQYTMLDINGDLATATVKISVLTIPPQFVSFPSQLQAIEDLISPRFGGYNGFELKYSDPMENISVILSAKHGTIFLSPMSMQFWQPIWSEFCVTKGDEKGTNLSIEGRLEVINFALQSIQYLGNGNFSGNDTLRVSARNRNGVNDLDVQVVVDPINDPPYVNVPEFIVLNNTRDESLLFDIETDQFQFSIGDPDILNFPGGEPGFDLALSMEVSDGFLLATLPAALISSTELKLKYSYQWQPLQTYVTISKHFMVKAMGIRFRASLNDCNTVMQQLSYHGGEHGSAVLTMKLSDLGHHGCYSDCVDRVTKALVAEATVNLIRRKPMSSLAVHTLETVIVIEFLLLLSLGFMIVFFTCKCAILLVKEKRRENPCNSELSRHQNIQTEPVSKTSMPFQQSRDIL >KJB27963 pep chromosome:Graimondii2_0_v6:5:1493549:1495702:-1 gene:B456_005G018900 transcript:KJB27963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLLLIDNQGGVTDCSRCGEKVSAPCFYCAEHCGFYLHKVCAEAPLELHHPFHLNHPLLLMQKSPHLSGAYFCTFCHEFGDKSVYHCSSCELDFHIKCALFTLNIAENNLKELEHVALHDPLISTENGDKKLKDVSKCFGCWEPLAMYTHFSPDCGFNLHEKCAELPFKLNHVYHRKHPLVLQFNSKRLSCKICQVPRRRGFVYGCSPCKFVVHIECASQSSLQVIKNTNHEHPFTLFLRQVPFTCDACGSEGNHVSYTCGTCNIIIHKNCISLPRIIKSKWHDHPLIHTYFHHLEDFRVLDCLICHDEVNTEHGSYYCSKCDVIFHVKCAMKDKDSYEIVENEDEMPHESSISVIERNDAGEATKIKHFKHMHNLMLGPFVGGYENSCNGCMLPISDPFYYCSECVFFLHKACAELTKMKNVWHHHCQEPLALISDKAFECQECWHISNAFAYECSGCEENKCLRCVIVLTPGARTCLKHVHPLYFYRDYEGQCNACGDTTRGYGAFCCKDWNFVLDLGCFSLPITARHKCDEHLLSLTDNDGNSYSESHHCDICEESRDPNRWFYHCTTCDTSAHVGCVLGSYPFLKLGSIYEETNHPHPLIIVKKKYYYLDCNKCGKPCEDVALECSKLECKYIVHWDCVAPYFLRQWWKWGM >KJB31191 pep chromosome:Graimondii2_0_v6:5:52792999:52795939:-1 gene:B456_005G180100 transcript:KJB31191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGFEKAVSATKSVVTSAKKAPTTLSSDRVINFARGDPTMYESYWRQMGEKCTIVISGHNLMSYFSNAGHFCWFLMPELDRAIRRLHCVVGNAVVDDDRYIVVGNGSTQLFQAVLYALSSSYDPDTEPLSVVAAAPFYSSYPEETDFLRSGLYKWAGDAYSFDKDGAYIEVVTSPNNPDGAIREAVVNRDGGKLIHDLAYYWPQYTPITGKADNDIMLFTFSKATGHAGSRIGWAIVKDIEVATKMVKFMELSSIGVSKESQLRAAKILEVISDDCQNSAPDKEENFFEYGHRLMSDRWEKLREVVKRNGVFSLPKYPQDYCNFIGKYTDPSPAFAWLKSKDGLNCDNLLRELKIVTRGGTNFGVDSNYTRISMLSPDEDFNLLLERLSAIKGTIINGNN >KJB28150 pep chromosome:Graimondii2_0_v6:5:2713735:2719402:-1 gene:B456_005G030500 transcript:KJB28150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSNRRTVRYERDQMGCMWGLITMFDFRHGRSTQRLLSDRRRGNRNVVGMGNSGNKIDMSTSSGEDKAMAMDTCKPSVKKLLEEEMSREHATKEEVNNTELDAKQFDSGEGDNERKNQKRRNKTRKKSSGGSLDVDAAKTLVSEISCYHKSEQQTTSNLDIENLVEELCQEMGQKRIDCVNRDQPVEGHMQPNMKSSRFEEMSEAIKFLVSQKLINRNQLTEGGELQASKEAMDALQILSLNEELFLKLLRDPNSSLVKNSKNSPNARDEESKPFSRSNFSEQEPVGLRQLNETVNRKQRNFFRRKSKSLERDLSDEQKVSEASTKIVVLKPGPTCSQTAETGSSIGSSPESKNIIRRREPNEKVGSHFFLSEIKRKFRHAMGREHHRIPAKEIPKRLSGERQSSSDNGGLKEYIGMNSPTKDHFFIERIAKPSSSVKKGEKTSKLKGPESSTEYETTHFSRHSNIYIEAKKHLSEMLTNGDDIMDLSGRQVPKTLGRILSLPDYNSSPIGSPARNPEPSSITAQSRFASPDKLQKLQNNVSNLSQSAEEPESRPGVSDNKNGDEVRGDNAILNKLDACVNDDTEDQSHSSSTKDEMGCEGEVIVVEETEITVEEETELLDASSETNDYSITRDDKNVDMSEVYDEKQYPECSKQDLTEEDQQLFSPLASPSNSSLTKKVEGLEERPSPVSVLEPIFAEDVISPASIRCISGETSMQPLRIRFEEHGGSLATNCSNRFKTCMDDKESIYEYVKAVLEASSFEWDEFYIRSLSSDMLLDPLLLDEVEYLPNQLCHDKNLLFDCINEVLVEVCGYYLGSPGVSFVKTNIRPIPNLKNTIEEVWQGVYWHMLPMPLPRSLDQIVRKDMAKAGTWMDLRLDTDCIGGEISEAIFEDFVEDTITSYLNVSLECEYHAEGLQE >KJB28149 pep chromosome:Graimondii2_0_v6:5:2714406:2717815:-1 gene:B456_005G030500 transcript:KJB28149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSNRRTVRYERDQMGCMWGLITMFDFRHGRSTQRLLSDRRRGNRNVVGMGNSGNKIDMSTSSGEDKAMAMDTCKPSVKKLLEEEMSREHATKEEVNNTELDAKQFDSGEGDNERKNQKRRNKTRKKSSGGSLDVDAAKTLVSEISCYHKSEQQTTSNLDIENLVEELCQEMGQKRIDCVNRDQPVEGHMQPNMKSSRFEEMSEAIKFLVSQKLINRNQLTEGGELQASKEAMDALQILSLNEELFLKLLRDPNSSLVKNSKNSPNARDEESKPFSRSNFSEQEPVGLRQLNETVNRKQRNFFRRKSKSLERDLSDEQKVSEASTKIVVLKPGPTCSQTAETGSSIGSSPESKNIIRRREPNEKVGSHFFLSEIKRKFRHAMGREHHRIPAKEIPKRLSGERQSSSDNGGLKEYIGMNSPTKDHFFIERIAKPSSSVKKGEKTSKLKGPESSTEYETTHFSRHSNIYIEAKKHLSEMLTNGDDIMDLSGRQVPKTLGRILSLPDYNSSPIGSPARNPEPSSITAQSRFASPDKLQKLQNNVSNLSQSAEEPESRPGVSDNKNGDEVRGDNAILNKLDACVNDDTEDQSHSSSTKDEMGCEVYAGEVIVVEETEITVEEETELLDASSETNDYSITRDDKNVDMSEVYDEKQYPECSKQDLTEEDQQLFSPLASPSNSSLTKKVEGLEERPSPVSVLEPIFAEDVISPASIRCISGETSMQPLRIRFEEHGGSLATNCSNRFKTCMDDKESIYEYVKAVLEASSFEWDEFYIRSLSSDMLLDPLLLDEVEYLPNQLCHDKNLLFDCINEVLVEVCGYYLGSPGVSFVKTNIRPIPNLKNTIEEVWQGVYWHMLPMPLPRSLDQIVRKDMAKAGTWMDLRLDTDCIGGEISEAIFEDFVEDTITSYLNVSLECEYHAEGLQE >KJB28871 pep chromosome:Graimondii2_0_v6:5:8056109:8057290:-1 gene:B456_005G073500 transcript:KJB28871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNPHAELDAYVHSLTATRIKFIHLPPLETHKDLSPANFITTLVQTHVPLVKQAATNIFQYSTSVLDSHRLAGFVLDMFFPSFIDLGNELGVPSYVFYASDAAFLGFQFYALGLHDEQNVNIFELKDSDTEFTIPSYLNPVSSKLFPTVMLKPESLPMMNTLARGLRKAKGIMLNTFWELESHAISSLSEASAPPVYPVGPILNLKSECEVHQSSDIMKWLDEQPPSSVVFLCFGSGGSFKGDQVKEIACALEQSGHRFLWSLRQSPEPSKGPMASPTDYDDASAVLPKGFLDRTHGIGKIIGWAPQLAILGHPAIGGFVSHCGWNLTLESIWFEVPIAVWPIFAEQQLNAFKLVRELGLAVEIKMDYRRDGIGSDEIEIVSAKTIEKGKGA >KJB27640 pep chromosome:Graimondii2_0_v6:5:193525:196347:-1 gene:B456_005G003700 transcript:KJB27640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERALVELFDAARKAADLAAAAASDAVSSKGPEVSRCVDALNQLKTFPVTYDILVSTQVERKLRPLTKHPTEKIHRMASDLLELWKKIVIKEASKGKKNGTASAVKVEKKEADGNTAMAEKINLGETGKIKKIYIDGKTKAKSAPKLMTLVKCNDPLRDKFREILVEALSKVPSETDEDMLDQVNACDPIWVAVTVESVMFRKMGKSNDTNKIKYRSIMCNMKDPKNPDLRRKVLLGEVNPERLITMTPEEMASEQRQRENKEIKEKAISYCERDAAPKATTDQFKCGRCGKRETSYYQMQTRSADEPRITYVTCVNCNNHWEFTSAVIVKM >KJB27766 pep chromosome:Graimondii2_0_v6:5:618435:619403:-1 gene:B456_005G008800 transcript:KJB27766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSINNSVTQSHTRTHKTFLIASYFLLGTASGCIFLTLSLRLLPSLCGLFFILLHAITIAGAVTGCSVAVSGSNRFYAAHMVVMVLTSIFQGSVSVLILTRSSDFLGYLKSYVREDDGIVILKLVGGLCVTVFCLEWMVLGLGFVLRYYAFVEGHEGVVNGGQYQRNGKVQV >KJB28090 pep chromosome:Graimondii2_0_v6:5:2380613:2383537:1 gene:B456_005G027100 transcript:KJB28090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEREREREFAMGEEVGCCKRTGTGYGAPLEAMSGPKEALIYVPCVYNGTGREKPDFLATVDVDPNSPTYSKVIHRLSLPYIGDELHHTGWNACSSCHGDPSSDRRFLILPSLLSGRIYVIDTQKNPKAPSLHKVVEPEHIIEKTGLAYPHTSHCLANGDIIISFLGDKDGNAQGNGFLLLDSQFNIKGRWEKPGRKAEFSYDFWYQPRHKTMICSSFGAPLAFTQGFNLKHVEDGFYGRQLFVYDWPDGRLKQTLDLGDNGLISGEIRFLHDPTKDTGYVECVLSSNVVRFFKTQDGSSWSHEVAISVKPLKVQNWILPEMPGLIVGLLISLDDRFLYFVNWFHGDVRQYNIEDPKNPILVGQVYVGGLLQKGSPITAVTEDGKTWQPDVPKIQGHCLRGGPCMTQLSLDGKRLYVTNSLFSTWDRQFYPDVIEKGSHMIQIDVDIENGGLKINPDFFVDFGAEPDGPCLAHEMRYPGGDCTSDIWI >KJB30363 pep chromosome:Graimondii2_0_v6:5:36502440:36508383:-1 gene:B456_005G139500 transcript:KJB30363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETKKKNGGNEDSKKKERHIVTWNQEEDDILREQISLHGTQNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENSNSCINPNNKRILLQNGFNTDGTTDSAAPVKRMRRSHIPDLTESCNFGDRAHRVSGTIINQQLRHPFAVLGQNLHNANNLVQPQVNKVKDVSDDGQSYRTQGTFLKKDDPKITALMQQAELLSSLALKVNTDGTEESLENAWKVLQEFLNQSKENDTFRYTISDIDFQIEDFKDLLEDLRSSNEGSQQSWRQPDLYEVSPSSSEYSSGSTIMPHPAGERGEKTRDKIDELHPDILSNHIGEQNCSTEEEARALSSANKNHGEMISSSDDQTNIIVASTSSSAEFSSPVQVTPLFRSLAAGIPSPKFSESERNFLLKTLGMESPSPNPANNLSQPPPCKRALLHSL >KJB30364 pep chromosome:Graimondii2_0_v6:5:36502661:36507701:-1 gene:B456_005G139500 transcript:KJB30364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETKKKNGGNEDSKKKERHIVTWNQEEDDILREQISLHGTQNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENSNSCINPNNKRILLQNGFNTDGTTDSAAPVKRMRYHSINDKCRNMYIHSNGLKLKSFLFPHFRRSHIPDLTESCNFGDRAHRVSGTIINQQLRHPFAVLGQNLHNANNLVQPQVNKVKDVSDDGQSYRTQGTFLKKDDPKITALMQQAELLSSLALKVNTDGTEESLENAWKVLQEFLNQSKENDTFRYTISDIDFQIEDFKDLLEDLRSSNEGSQQSWRQPDLYEVSPSSSEYSSGSTIMPHPAGERGEKTRDKIDELHPDILSNHIGEQNCSTEEEARALSSANKNHGEMISSSDDQTNIIVASTSSSAEFSSPVQVTPLFRSLAAGIPSPKFSESERNFLLKTLGMESPSPNPANNLSQPPPCKRALLHSL >KJB32209 pep chromosome:Graimondii2_0_v6:5:61223772:61225506:1 gene:B456_005G229500 transcript:KJB32209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQMLNPVFSSISISHSKFPGKSDSRNKTLNFNSCRSFKSLHSQRSIIRCATQDDDNKNNGEESPESLFLKELKRRGMAPTSLVEDAKNTNYGLDEEMKVGEERGSVSKRNVVSTEFDQSLSNQRERSMELNSEGLEGLVPRAKLLLTLGGTFFLSFWPFILSTIAFFSALYLYFGPSFIHDGSKTPVSPPQYIDPYTLLEDERISQTAPHVN >KJB29325 pep chromosome:Graimondii2_0_v6:5:13292246:13293063:-1 gene:B456_005G094600 transcript:KJB29325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSKALMANLRMSSKKDVVVVAGGRSKLNDKACKKHPKHRQSPGVCSLCLREKLLQLSASSSSGSTTTITTIASSCSSSLSSYSSSSSASSYSSPMHRYPFPTEGKDSFSFLFFSGKNILTKSRSVAFSSRLRSNRTGFFSKLLLPRNTKRKEESF >KJB29905 pep chromosome:Graimondii2_0_v6:5:26825063:26826206:-1 gene:B456_005G123000 transcript:KJB29905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPSSSSSQPLANPVSIISSHFCAPYPIDLAIIRNVLTISDGSFVVTDINGNIVFKVKGAFLSVHDRRLLLDGAGNPIVTLKQKLMSAHDRWQVFKGDSTDSSDLLFSAKRSSMFQLKTKLDVFLANNTKEEVCDFKVKGSWLKRSCVVYAGESSTIVAQMHKKHTVESILIGKDKFMVTVYPNIDYAFVVALIVILDGINKEDSSGSGGDFDFLGGIN >KJB29731 pep chromosome:Graimondii2_0_v6:5:23449704:23458298:-1 gene:B456_005G1173002 transcript:KJB29731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WQVLYTTQVTQKAKKYHDGFLKLVNSAALQRQIMLYDGSKKLINSRFLNKDEVIQSGESITFDAHLVNIGEAEGNHPGLMNSDAHVSNYNAAGKTEMIHRVQNRLKTHKSFLKGKPQKIASSKVYSDPSFSIPIIAETKSSQNISADKPLRDATQILSILRKPMIQVGITTQSTDKNVMNPVSPVKDP >KJB29730 pep chromosome:Graimondii2_0_v6:5:23449610:23458298:-1 gene:B456_005G1173002 transcript:KJB29730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WQVLYTTQVTQKAKKYHDGFLKLVNSAALQRQIMLYDGSKKLINSRFLNKDEVIQSGESITFDAHLVNIGEAEGNHPGLMNSDAHVSNYNAAGKTEMIHRVQNRLKTHKSFLKGKPQKIASSKVYSDPSFSIPIIAETKSSQNISADKPLRDATQILSILRKPMIQVGITTQSTDKNVMNPVSPVKDP >KJB29732 pep chromosome:Graimondii2_0_v6:5:23450855:23458296:-1 gene:B456_005G1173002 transcript:KJB29732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WQVLYTTQVTQKAKKYHDGFLKLVNSAALQRQIMLYDGSKKLINSRFLNKDEVIQSGESITFDAHLVNIGEAEGNHPGLMNSDAHVSNYNAAGKTEMIHRVQNRLKTHKSFLKGKPQKIASSKVYSDPSFSIPIIAETKSSQNISADKPLRDATQILSILRKPMIQVGITTQSTDKNVMNPVSPVKDP >KJB29733 pep chromosome:Graimondii2_0_v6:5:23455913:23458298:-1 gene:B456_005G1173002 transcript:KJB29733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WQVLYTTQVTQKAKKYHDGFLKLVNSAALQRQIMLYDGSKKLINSRFLNKDEVIQSGESITFDAHLVNIGEAEGNHPGLMNSDAHVSNYNAAGKTEMIHRVQNRLKTHKSFLKGKPQKIASSKVYSDPSFSIPIIAETKSSQNISADKPLRDGKCILSLI >KJB32524 pep chromosome:Graimondii2_0_v6:5:62534247:62535971:-1 gene:B456_005G245000 transcript:KJB32524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEAPKYKLITPSILSDRMRINGSLARKAIRELMARGSIRLVSAHSSQQIYTRATNT >KJB28445 pep chromosome:Graimondii2_0_v6:5:4748982:4750588:1 gene:B456_005G048800 transcript:KJB28445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTSGAGVHDDSNNYDREKELKAFDNSKAGVKGLVDAGITKVPRMFIRSDISSNTLETTKKTQYKIPVIDLQGIEDDPRRHKEISDQVRHASETWGFFQIVNHGITVSVLEEMKDGVRRFFEQDTEVKKKYYARESGSRFRYQSNFDLYTAPFANWRDTCFCMMAPDPPQPQELPEVLRDILVKYTKEVMRLGSCLFRVLSEALGLRSNHLEEMGCAEGLAVLSHYYPPCPEPELTFGTSKHSDNDFLTVLLQDQIGGLQVLYEDQWLDVPPVPGALVINVGDLLQASLTF >KJB28444 pep chromosome:Graimondii2_0_v6:5:4748872:4751922:1 gene:B456_005G048800 transcript:KJB28444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTSGAGVHDDSNNYDREKELKAFDNSKAGVKGLVDAGITKVPRMFIRSDISSNTLETTKKTQYKIPVIDLQGIEDDPRRHKEISDQVRHASETWGFFQIVNHGITVSVLEEMKDGVRRFFEQDTEVKKKYYARESGSRFRYQSNFDLYTAPFANWRDTCFCMMAPDPPQPQELPEVLRDILVKYTKEVMRLGSCLFRVLSEALGLRSNHLEEMGCAEGLAVLSHYYPPCPEPELTFGTSKHSDNDFLTVLLQDQIGGLQVLYEDQWLDVPPVPGALVINVGDLLQLITNDKFKSVEHRVLANREGPRVSVASFFTTGLLPSSRVYGPIKELLSQHNPPLYRQTTVKDYVSYIYQHGLDGTSPLHLFRL >KJB31851 pep chromosome:Graimondii2_0_v6:5:59188858:59191603:-1 gene:B456_005G211000 transcript:KJB31851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLASSSLCTTHGGGAGGGLLNFCGGGSVSVLGFPLQHLKIQSLPDSKKKQGFGVIKASVAKTEVALVRIGTRGSPLALAQAHETRDKLMASHPELAEEGAIKIVVIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPEKTILPCNLPREDVRDAFISLSASSLAELPAGSVIGTASLRRKSQILYRYPSLKVEDNFRGNVQTRLRKLNEGVVQATLLALAGLRRLSMTENVTSVLPIHEMLPAVAQGAIGIACRSNDEKMVC >KJB31849 pep chromosome:Graimondii2_0_v6:5:59188844:59191710:-1 gene:B456_005G211000 transcript:KJB31849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLASSSLCTTHGGGAGGGLLNFCGGGSVSVLGFPLQHLKIQSLPDSKKKQGFGVIKASVAKTEVALVRIGTRGSPLALAQAHETRDKLMASHPELAEEGAIKIVVIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPEKTILPCNLPREDVRDAFISLSASSLAELPAGSVIGTASLRRKSQILYRYPSLKVEDNFRGNVQTRLRKLNEGVVQATLLALAGLRRLSMTENVTSVLPIHEMLPAVAQGAIGIACRSNDEKMANYLASLNHEETRLAVTCERAFLETLDGSCRTPIAGYASKDEDGNCIFKGLVASPDGTRVLETSRKGPYAFEDMVMMGKDAGKELLSRAGPGFFDF >KJB31850 pep chromosome:Graimondii2_0_v6:5:59188858:59191603:-1 gene:B456_005G211000 transcript:KJB31850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLASSSLCTTHGGGAGGGLLNFCGGGSVSVLGFPLQHLKIQSLPDSKKKQGFGVIKASVAKTEVALVRIGTRGSPLALAQAHETRDKLMASHPELAEEGAIKIVVIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPEKTILPCNLPREDVRDAFISLSASSLAELPAGSVIGTASLRRKSQILYRYPSLKAR >KJB32779 pep chromosome:Graimondii2_0_v6:5:63606894:63610100:1 gene:B456_005G261200 transcript:KJB32779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDLFNDYRSDYEVLLNIPIRINTNTIGAKHRDVTRSDRINTNTNLIAVAQLIVLLHRRKSCSVSL >KJB28032 pep chromosome:Graimondii2_0_v6:5:1957255:1959245:1 gene:B456_005G023100 transcript:KJB28032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYSEMIAKMRLALPNFIVLQPVDKIDYLGYIHEGGDHDGYLRFMEPQAASPYAKFEIEFSASNDFVHIRSCQNNKYWERKQILSISGGYWVTATADKKEDDQSKESCTLFKVTSVDHAMNTVRIMHVQSGCYLCCWRWDSEKYTRGMYSFYTTHDQNGFDIFKFIDWNSLLILPRFVAFKGYNNKYLCLRRVEWDLPYMQFSSDDIGDSTVALEIFPTDDGYVRIKPVCTDKFWRRSPNWIWADSNDSSSKNTDTVFRPVRSDNKTIGLINLGNNAFCKSLTTEGKSDCLNAATYSLTKEARLIVEEPVLSREIYGVKYHLGNSRVYGEIVLIVAKNSASNFSNEPATLDVKLSYTDIKTTNWKNSFSLKLGTKATMDFNIPLIFEGKVELSGEVQTGIDWGETNTTTTVVDVVYKVTVPPRTKVIVCIIATKGMCDVPFTYMQRDTLYDGTSVTNEIQGGTYTGSNYYSTNFEAKEEALE >KJB28959 pep chromosome:Graimondii2_0_v6:5:8803132:8803698:1 gene:B456_005G077000 transcript:KJB28959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNIRDQRYYGASNMHREWNDLQALFAKNKQHDQLRDIEASHNAKLINSGELETGKGKNQVASLDSLMKMFNSVCVVLQYIIKSGNLTQMSKADGIYDLMTSIEFVFILHFMIEMLGITNDLCQIL >KJB30305 pep chromosome:Graimondii2_0_v6:5:35290830:35291392:-1 gene:B456_005G136600 transcript:KJB30305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FEYQEDYSRILNLTPWLFDRCLFSMLPFEEGNDIDSYQFWWLPFWLRIYNIPLELMDRQIALNVGDALGELVAIDWKDHNGGWTEFIRLKVKINVLKPLRGVVKLLDREGTKVTGVINYERLPDFYYGCGLIGHSSKTCKYNKGEPNPRQRHENKWGRTSEAKGSNEC >KJB28041 pep chromosome:Graimondii2_0_v6:5:1988822:1990432:-1 gene:B456_005G023500 transcript:KJB28041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLYCSLPFLFVVVCSLSWVNASANSHENFLDCLSSYHPQESSSISKVVYTETNSSYSEILESSIQNYRSFTTNTPKPLVIVTPLNISHVQATIHCSKKHGLQIRIRSGGHDFEGLSYVSQVPFIVIDLVHFRSIDVDVENEEAWIQSGAITGEVYYRINERSTNLTFPGAVGHTVGIGGFISGGGYGLLFRKYGLAADNVIDAMFVDANGRVLDRKLMGEDLFWAIRGGGGGSFGIVLSWKVKLVHVPSTVTVAAVRRTLEQNATQLLHRWQYVAPNLPNDVYSVVSISTTNSTENGERTVVATFVSVFQGGANELIPLMQERFPELGLVREDYIEMTWIESILLLTGLTNQTKEVLLDRSYKNFFLSPSFKGKSDYMRKPMPEIVIQGLLSQLLEDEARISTLNIIAYGGKMDEIPETETPFPHRKGTLYKISYYVGWQEEDNSNPQRYISWIRKVYKYMGPFVSKYPREAYLNYRDLDIGRNNNEGKASYKQASVWGRKYFKENFDRLTYVKAKIDPENFFRHEQSIPPRFH >KJB27479 pep chromosome:Graimondii2_0_v6:5:58513264:58514271:-1 gene:B456_005G206100 transcript:KJB27479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRRAWIVAASIGAVEALKDQGICRWNYAAKAVVQHAKNYVRSASQAKNLSSQLSAAISKGLRQSKQSEESLRTVMYLSCWGPN >KJB28651 pep chromosome:Graimondii2_0_v6:5:6334565:6339629:1 gene:B456_005G060600 transcript:KJB28651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQFSKHRSYGQPTHHHHHQPQLKMSIPPPPPPPPQHSENDRSCSELRAFDCNLNSLCEHIRMEGFNGGSFSDIVVNAMGSTYHLHRLILSRSSYFRNMLHGPWKEANSPVVTLNVDDSNVNGEAIAVALAYLYGHHPKLNDNNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLAYQVFAENQDYGVHGERVRSACWGYLCQSGAMELKEVLPKLSSQTLHALLTSDELWVSSEEKRFELALYTLLAKDAFYQPERSGQGSSSSSEIDVGTSLESSKGKGKNLVDSFHGCVVDIPAGISSADQQVQRPKYARSESLCPCSMDQSSSMSSSFSEGIRTSHSYVEMPIGDGTSGGMAMEGPSEEGSCYQLNNNNWLASDQSKHCSSEDSSCSGLMLNDWGRCSMASLSWGGRVVGKRQVKSYAKGNCGVGGEEYDAFVNIFEGGSLLYCNMSFEALLNVRKQLEELGFPCKALNDGLWLQMLLRQRVQEIGADTCKNCCLTSMQCSCRQPFGIQHGVATTGYYVQEHDQSHLTGNIRNVYVADNAQDEGNGLFRPVRVQVRGAIDGLAGIGRGATSVPATLWTPTRFVFSRVPFGMGNRIGQQSPANEDSEARADHNGDMSGGGLTALVELSQGGTSNAINVREEQTERSCELDPQSKVPMTSIARPATSGIAMQMLETPDRAIGIDWENATSSSISLDLKTPLSHFPSFRFGVQFEDVHRLSDGQVKHSPEFFYAGSLWKVSVQAFNDEDPQGRRTLGLFLHRRKAEITDSLGKVHMFIDSREKVTARYQLICPSKRDVMVFGSFKQRGTLLPKAPKGWGWRTALLFDELADLLQNGALRVAAVVQLV >KJB28650 pep chromosome:Graimondii2_0_v6:5:6334515:6339636:1 gene:B456_005G060600 transcript:KJB28650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQFSKHRSYGQPTHHHHHQPQLKMSIPPPPPPPPQHSENDRSCSELRAFDCNLNSLCEHIRMEGFNGGSFSDIVVNAMGSTYHLHRLILSRSSYFRNMLHGPWKEANSPVVTLNVDDSNVNGEAIAVALAYLYGHHPKLNDNNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLAYQVFAENQDYGVHGERVRSACWGYLCQSGAMELKEVLPKLSSQTLHALLTSDELWVSSEEKRFELALYTLLAKDAFYQPERSGQGSSSSSEIDVGTSLESSKGKGKNLVDSFHGCVVDIPAGISSADQQVQRPKYARSESLCPCSMDQSSSMSSSFSEGIRTSHSYVEMPIGDGTSGGMAMEGPSEEGSCYQLNNNNWLASDQSKHCSSEDSSCSGLMLNDWGRCSMASLSWGGRVVGKRQVKSYAKGNCGVGGEEYDAFVNIFEGGSLLYCNMSFEALLNVRKQLEELGFPCKALNDGLWLQMLLRQRVQEIGADTCKNCCLTSMQCSCRQPFGIQHGVATTGYYVQEHDQSHLTGNIRNVYVADNAQDEGNGLFRPVRVQVRGAIDGLAGIGRGATSVPATLWTPTRFVFSRVPFGMGNRIGQQSPANEDSEARADHNGDMSGGGLTALVELSQGGTSNAINVREEQTERSCELDPQSKVPMTSIARPATSGIAMQMLETPDRAIGIDWENATSSSISLDLKTPLSHFPSFRFGVQFEDVHRLSDGQVKHSPEFFYAGSLWKVSVQAFNDEDPQGRRTLGLFLHRRKAEITDSLGKVHMFIDSREKVTARYQLICPSKRDVMVFGSFKQRGTLLPKAPKGWGWRTALLFDELADLLQNGALRVAAVVQLV >KJB27835 pep chromosome:Graimondii2_0_v6:5:951434:953850:1 gene:B456_005G013100 transcript:KJB27835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLKLKGYGFNPSEQILIGYLRDRTLFGRDYLVQDIIDLGHDICNYDPWDLPSCDSITPSDSERFFIYPKTYKYANSKSKVIGTPTGQVPKGEQWQPMINRATKNGNWKVSGDQVDVKSSDDTKQVIGIKTRLYFRHNSCPNKTTWTLYQFELVGIDPCQDKYFLGKVIMKKPTNISSNNIDDQISIPQVQVELGSEPLVENEVTNEYGWTQSEQTYEFITPCPVFDINDGNEVISNHQSQINMELIRSLEAPNIGIEDQNQSYRNIGIDINDRTVPDGSNQLNIVAVAENESSMLPSNLHDLIQMKWSDLYNLYGWC >KJB28005 pep chromosome:Graimondii2_0_v6:5:1768988:1770501:1 gene:B456_005G021400 transcript:KJB28005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTTQTINEQDPKSVTNKRYLPLLLVNYGFLFIGSLSSSLLSKYYFNHKGSSRWVSTWVQSAGFPLLIIPIVVPYYLFKSTQRKPFTGFTPKILALSIFIGFMLGVNNLLFSWGNSYLPVSTSSLLLSSQLVFNLILSVIIVKQKITFMNLNCVILLTLSSVLLALESSNDEPHDVTKTKYFIGYLATIGAGLLFALYLPIMEKVYKKVYCYAMVMEMQMVMEVAATVLASIGMVFDGGFTEMKREGYQVFDKGEKVYWATVVSNVVSWQLCFMGTAGMVFLTCSLTGGICMTALLMMNVVGGVVVYKEEFGGVKVVSTVMCGWGFCSYVYGMYVKNKMLKDRDDGGGGGHDSDDKNVGSYNPIEMTQIVNSSSSSSSPPLHIGV >KJB31330 pep chromosome:Graimondii2_0_v6:5:54627048:54628729:1 gene:B456_005G187000 transcript:KJB31330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSFGIPDHRFGSNGEKMPILGFGTAVLPPVGAEATKMAILQSIKLGYRHFDTAAKYGSEQPLGEAIQEALSIGLIQSRDELFITTKLWCGDAHGDLVVPALKRSLQNLKLEYLDLFLIHWPVSCKPGTYEFPIKEENFLPMDFNSVWAAMEECQRLNLTKSIGVSNFSCKKLTDILAIANIPPAVNQVEMNPMWQQKKLREFCRANGIFLTAYSPLGANGTFWGSDRVLECEVLKEIAKAKGKTAAQVSLRWIYEQGVGVIVKSFNGERMKQNLGIFDWSLDEDELKKIDELPQSRGVTGVAYTSKYGPFRTVEDIWDGEM >KJB28646 pep chromosome:Graimondii2_0_v6:5:6297289:6297985:-1 gene:B456_005G060000 transcript:KJB28646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSPEMLGRLLLLLNSIGEVTETSSLKRAKKKSSCNVGSLVTEIMPLELRLKFGIGFCGRVHITEVKYKFQYYCYYLFM >KJB32475 pep chromosome:Graimondii2_0_v6:5:62322134:62326013:-1 gene:B456_005G242400 transcript:KJB32475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTATATATPCVAHLVSHSTARLQHSRAALLLHQNAAFRTKKTTKNNLSSRNTSSTIAAALPFELSPPPIDHDFLDSVTAEGAKVSEEGIIETFENDDEALDAFDNGVVVMDLSHFGRIRASGDDRIQFLHNQTTANFECLSEGQGCETVFVTPTARTIDIAYAWIMKKAVLLMVSPETCGNITEMLNKYIFFADKVEIQDITKQTCLFALSGPKSSEVMANLNLGDLVGQPYGTNRHYNVNGMPITVGVGNIISEEGFSLLTSPAAADSVWKTLLSQGAIPLGSNAWEKLRITQAPGKELTNDFNVLEAGLWNSISLNKGCYKGQETISRLITYDGVKQRLWGIHLSAPAEPGSPITVNGKKVGKLTSYTTGRKECDHFALGYIKRKAASDGDTVIVGEKIIGTVVNVPFLSRQSPPPVKNSGPRLN >KJB32477 pep chromosome:Graimondii2_0_v6:5:62323425:62326013:-1 gene:B456_005G242400 transcript:KJB32477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTATATATPCVAHLVSHSTARLQHSRAALLLHQNAAFRTKKTTKNNLSSRNTSSTIAAALPFELSPPPIDHDFLDSVTAEGAKVSEEGIIETFENDDEALDAFDNGVVVMDLSHFGRIRASGDDRIQFLHNQTTANFECLSEGQGCETVFVTPTARTIDIAYAWIMKKAVLLMVSPETCGNITEMLNKYIFFADKVEIQDITKQTCLFALSGPKSSEVMANLNLGDLVGQPYGTNRHYNVNGMPITVGVGNIISEEGFSLLTSPAAADSVWKTLLSQGAIPLGSNAWEKLRITQGRPAPGKELTNDFNVLEAGLWNSISLNKGT >KJB32476 pep chromosome:Graimondii2_0_v6:5:62322134:62326117:-1 gene:B456_005G242400 transcript:KJB32476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTATATATPCVAHLVSHSTARLQHSRAALLLHQNAAFRTKKTTKNNLSSRNTSSTIAAALPFELSPPPIDHDFLDSVTAEGAKVSEEGIIETFENDDEALDAFDNGVVVMDLSHFGRIRASGDDRIQFLHNQTTANFECLSEGQGCETVFVTPTARTIDIAYAWIMKKAVLLMVSPETCGNITEMLNKYIFFADKVEIQDITKQTCLFALSGPKSSEVMANLNLGDLVGQPYGTNRHYNVNGMPITVGVGNIISEEGFSLLTSPAAADSVWKTLLSQGAIPLGSNAWEKLRITQGRPAPGKELTNDFNVLEAGLWNSISLNKGCYKGQETISRLITYDGVKQRLWGIHLSAPAEPGSPITVNGKKVGKLTSYTTGRKECDHFALGYIKRKAASDGDTVIVGEKIIGTVVNVPFLSRQSPPPVKNSGPRLN >KJB31913 pep chromosome:Graimondii2_0_v6:5:59675936:59678530:1 gene:B456_005G214100 transcript:KJB31913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKGYIVALIMAMTMMELASLTLATSRRSMLTNGIGLTPPMGWNSWNHFGCDVDEKMVKETADALVSTGLSKLGYVYVNIDDCWAEIARDDKGNIMPKNSTFPSGIKALADYVHSKGLKLGIYGDAGYYTCSKTMPGSLGFEEQDAKTFASWGIDYLKYDNCHHDGSKPIERYPVMTKALKKAGRPIFFSLCEWGEMHPAEWGFHVGNSWRTTCDITDTWESMISRADQNELYAQYARPGGWNDPDMLEIGNGGMTKDEYIVHFSLWAISKAPLLLGCDIRNMTQETIEIISNKEVIAVNQDSYGIQARKARMHGDEEIWVAPLSSYRTAVVILNRGSVRYSVTAFWEDMGLDPNTVVEARDLWEHKTLKNRFVGNITTMLNPHSCKMYVLKPVS >KJB27845 pep chromosome:Graimondii2_0_v6:5:934495:935344:-1 gene:B456_005G012800 transcript:KJB27845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRYLDCILVPIGLLIMTVYHLWLLHRVIKYPIKTIIGINAISRRFWVHCMMEDVQGNGVLAVQTLRNNIMASTVLASTAITLSSLIALLMTSNAGEKSAIDVGDLSHRVFSIKYFAMLLCFMVVFLLNIQSIRYYSHASILVCIPPKKKSTENQFRHCLTADYVATIVNRGSYFWSLGLRGFYFSLPLFLWIFGPIPMILCCLVLVFLLYFLDNNVEFGSPVVEISDDNHGGSEDDTEVGVVYPIG >KJB28432 pep chromosome:Graimondii2_0_v6:5:4600849:4601364:1 gene:B456_005G047800 transcript:KJB28432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNQGFEEDYLSGFSWPPRSYTCSFCERGFRSAQALGGHMNVHRRDKARLRQLPPPMDHHGQSPFLNLNLNLNFNPNPNFSSSSLVSSPLPCEMKKRLVNETVVDSTDSTSMKGAKPLFGVKEFKDYGCNNKFMKKKKKNVSLDLGLDLEMGVGSDSKEDLDLELRLGYT >KJB28669 pep chromosome:Graimondii2_0_v6:5:6480842:6481775:-1 gene:B456_005G061600 transcript:KJB28669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKSPIFPMPEPHHFSDYGFDPQFHYFQVLEQARKHKKGTRDSIDSLQFKLEKPISKDDPKSKTKKYWWRNALLFFNWKKWSPNSNNQHDDRAKAKALRASNSGPIYMIETSNNGSTTPYRTSSRPSSGPLTGISTPYISLRELNMEQQQHRVSTSSLPIYLVT >KJB29385 pep chromosome:Graimondii2_0_v6:5:14757879:14761145:1 gene:B456_005G097600 transcript:KJB29385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYGQILLCFGLMFCFYLPCCVKSDELQILLDLTSALNESNTNVLDSWEATSSVCSFNGITCNAQGFVKEIELSHQNLLGVLPLDSICQLKYLDKLSLGFNLLYGEITEELGNCLKLQYLDLGNNFFTGSFPDISSLSNLQYLYLNGSGFSGTFPWKSLDNTTNLAVLSIGDNPFDRMEFPDQILKLKKLYWLYMANCSIEGKIPPAIGNLTELIELELQYNYLSGEIPAEIGKLHKLWQLELYNNELTGKLPVGLRNLTKLEFFDASANNLEGDISEVRYLTNLISLQLFENKFSGEVPPELGEFKKLVNLSLYTNLLTGPLPQKLGSWAEFNYIDVSENFLTGPIPPDMCKKGTMRAVLMLQNKFSGEIPATYASCTTLKRFRVSNNSLTGIVPARIWGLPEVDIIDVAYNQLEGPITADIKNAKQMGILSAEYNRFSGELPEEISEAKSLVRIELNDNQFSGKIPHGIGELKRLSNLNLQNNRLSGSIPDSLGSCASISNINMADNVLSGKIPSSLGSLPTLNSLNLSGNQLSGKIPESLSLLKLNLVDLSYNRLTGPIPNSFSIEAYNGSFTGNPGLCSPTIRHFKQCQPDSAMSKDTHTFIVWFTLGATVLLVSLGCFLYVRRKEKDNSLSLKEESWNIKSFHVLTFTEYEILDSVKQENLIGKGGSGNVYKVTLPNGIELAVKHIRKSHRKSLGTPAVFSKSAGKEKEFDMEVQTLSSIRHVNVVKLYCSITSEDSCLLVYEYLRNGSLWDRLHSSNKMELDWDTRYEIAVGAAKGLDYLHHGCERPVIHRDVKSSNILLDEFMKPRIADFGLAKIVQSNGAKDSTHVIAGTYGYIAPEYGYTYKVNEKSDVYSFGVVLMELVSGKRPIEPEFGDNKDIVSWVCSKINNKESVLSIVDPRIPEVLKEDAIKVLRVAILCTTRLPAIRPTMRTVVQMLEEAKPCKLVGIVISKEGEHKIKEADKFNLLL >KJB29424 pep chromosome:Graimondii2_0_v6:5:15764550:15767152:1 gene:B456_005G100000 transcript:KJB29424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLDRWEKDPFFAVAEEVQQSADRMETTYRTWIHAIKDGSSTWNLEELGRDLHTALSTTKWQLEEFEKAVQSSYYGNSSEEARDRHREFIVAIKNQNLKIEKYLQESASSEGKTPVPWVHLDEGECNELALFLSAPPLPGDKKLPPKVHGRASDLRRGINRESAPDFLKNASQSIEFSSSEVNNEKSYGHRRTASASPDIGAWKIAIVDDVLQQNSSNGQRFIPPRRVPSSSALSSTESAVKGQWSKNGIRKSATARHQESDAEFSRPPELARGNDECCEKGSGCVDCNDKQPIGWYGAIKRRLQRSKHQLKNSRPAQIAIWAFLVICLIVLIVLQTI >KJB31675 pep chromosome:Graimondii2_0_v6:5:57716232:57716921:-1 gene:B456_005G201200 transcript:KJB31675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPLGPGKFYGSSLPRPRIYTETRFNSERVNPPVPVLDPLLSWANEAHWSMGGLSFKRLRLQGRIEGNVQRLKAQRDKFLNNKDPIPKKNNSDASFSPPPAPVAVKRKRFLDLNDEDVESENEDNEIVMNREEKRVLRKGAVRKLGDDFERVAKDKDSGVASGGKRGFSDGIGIDVMKIVEEVNVESEEIKKKKKKRIVKGLKKGTDEVRSGTGTTTRASPRLAKRGC >KJB29735 pep chromosome:Graimondii2_0_v6:5:23073617:23074823:1 gene:B456_005G116500 transcript:KJB29735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKNSSSARAGLPSSYRGVRKRKWGKWVSEIREPGKKTRIWLGSFETPEMAASAYDVAALQFRGGEARLNFPDLVSCLPKPASSNAEDIRKAAHEAASWVRTNAVVPDTGGSSSSTGPVTVRLSQSQIQAINESPMDSPKMWMQMSEALMMDEAMMFCNENKENEWEDMQVDSLWDT >KJB32759 pep chromosome:Graimondii2_0_v6:5:63540091:63543424:-1 gene:B456_005G260000 transcript:KJB32759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTNMNPNHNQSQATETRSSTANGDHHHHPNGHSITAQPLQRDHTYYPRSSSSSASFKGCCCCLFLLFSFLALLVLAIVLIIVLAVKPKKPQFDLQQVAVQYMGISTSTPSSFDGTATTVATTPTTASLSLTIRMLFTAVNPNKVGIKYGESRFTVMYRGIPLGKASVPGFYQEAHSTRNVEATIAVDRANLMQADAADLIRDASIYDRVQLRVLGDVGAKIRVLDFDSPGVQVSVDCAIVISPRKQSLTYKQCGFEGLNV >KJB30873 pep chromosome:Graimondii2_0_v6:5:48546559:48547773:1 gene:B456_005G165400 transcript:KJB30873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTQDIELINQAIQKLLEGTTVKDISENGNDHLLSRLFSQLESLKENNTLKQYEVEELNFPRAEGDKAEAKSENGSGCGGMGVEELVKELKAIKKQNTITHGLLSVMIVVTLFWQVSEASLLLQVKNGLTHPFKSVGSWLVTLLKGRTKPTQQHYNHLVDASASPPSLQLPEFPHLGSSGEGH >KJB28075 pep chromosome:Graimondii2_0_v6:5:2265215:2269315:1 gene:B456_005G025600 transcript:KJB28075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPHFLLVASPAQGHINPTLQFAKHLIRIGVRVTFITCISARSRMTKVPTAQGLTFLPFSDGYDDGFQLRDDIDHYLSELRRRGKEAISEFLTSSENEGKPVTCIVYSPFNHWATEVARKHHIPTTLLWIQPATVFDIYYFYFNGYESTIKAQADETNPKRAIKLPGLPLLATRDLPSFVTASNVYRWGPSLIQEQMDILADESNPKILVNTFDALEQEALNAIENFNMIGIGPLIPSSFLNSSDSLDNSLRTDLFQPDSKDYLQWLDSKPKSAVVYVSFGSITVLTKQQVEEIARALISSRRPFLWVVRNRKDGGEEEKEEDKLTCREELEQYGRVVPWCSQVEVLSHPSLGCFVTHCGWNSTLESMVAGVPVVAFPQWTDQGTNAKLIEDVWGNGVRVSANEEGMVERDEIVRCLDLVMGDDEKGMEVKKNVEKWKGLAREASMEGGSMDMKLKAFVDDVAQGG >KJB30947 pep chromosome:Graimondii2_0_v6:5:49527216:49530174:-1 gene:B456_005G169000 transcript:KJB30947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALFNFHSFLTVVLLGICTCTYLKMQFPAILEQRTGFRGFFWKAARIGERLSPWVAVGCFTMGVSIIFF >KJB28897 pep chromosome:Graimondii2_0_v6:5:8342192:8348968:1 gene:B456_005G074800 transcript:KJB28897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYRQQYQYSNGTTSDHVAIGVRGGAGNKAARWRRSGRADRSRRISIGSLIFVLPLVFLVTVLVYYYISADNNENRRELIRYQPEDDDLKADSDFLTNVTRMENFKILNFGNGSVAHGRDSRYWDRDDRRRDDDYNEDAVEHNIMDSRDKPLDGGHVPTKVKNKKGAFLDSDRGLDRRVVGLYNEAGRNELKMYEAEYEASLKNGDKSKKELGNSHQLSDSKDFGIHNEIDDDDDHYNDSIDSNDSRKEDYDDFGHDKDYILDEAKSHDENVNESSTSFNAKTNNEHVVKEVKEESSLSREASQDFDHVDADSHHAGSLGRKSGKNLRSSSKKKPRRRKFSGSCEMKLMNSTHLVEPVESRKFARFSLQYKQMEEKPEGEDQWVPRFAGHQSLQEREESFLARDQKINCGFVKGPQGYPSTGFDLAEDDVNYISRCHIAVISCIFGNSDRLRTPAGKMVTRSSRKNVCFVMFVDEATMQTLYSEGQTPDGGFIGLWKIVVVKNLPYTDMRRVGKIPKLLPHRLFPFARYSIWLDSKLRLQRDPLQLLDYFLWRKGHEYAISNHYDRHCVWEEVAQNKKLNKYNHTVIDEQFDFYQADGLKRFDASDPNKLLPSNVPEGSFIVRAHTPMSNLFSCLWFNEVERFTPRDQLSFTYTYQKLRRTNPDKPFYLNMFKDCERRAVAKLFRHRSDEKRNVQQRATL >KJB32770 pep chromosome:Graimondii2_0_v6:5:63577433:63579118:-1 gene:B456_005G260600 transcript:KJB32770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPHNPILLFCIFIIFASGATKPSNAKNFTIVNQCKETIWPAIITDGSNFHGEGFTLEPGKTAFYNAPDGWSGRIWGRTGCDFDKTSNGTCQTGNCGTSVNCTSAGSLPVTIAEFTLGDNIDYYDVSLVDGFNLPIVIKPGGGKGNCSTAGCDGDLRQNCSSDLSFKKNGKVVGCRSACDVFNTDEYCCRGTYEDPVACLPTNYSKSFKLVCPAASSYAYDDRVSIITCSASDYMVAFCATRNNTICTYHDERLVCSVTSKGFKAFSHIWRSLMVALPLASILQILF >KJB29665 pep chromosome:Graimondii2_0_v6:5:21360165:21363172:1 gene:B456_005G112700 transcript:KJB29665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVRHSRFTAKKRLTVTLVLSILFMLTIVLLMLLGLGIFSLPMTTDDSSSYDLTSYRRMASERGRLGNRREKWTEVLSWEPRASIYHNFLSKEECEYLIKIAKPFMKKSSVVDSKTGKSKDSRVRTSSGMFLKRGQDKIISDIEKRIAEYSFIPIEHGEGLQVLHYEVGQKYEPHFDYFVDEYNTRHGGQRLATMLMYLSDVEEGGETIFPNAKGNISAVPWWDALSKCGKGGLAVKPKMGDALLFWSMKPDATVDPSSLHGGCPVIVGDKWSSTKWMHVNEYKK >KJB30806 pep chromosome:Graimondii2_0_v6:5:46634111:46640238:1 gene:B456_005G161300 transcript:KJB30806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKDFFTEYGEASQYEIKEVVGTGSYGVVASAIDTHTGEKVAIKKIANIFEHTSEATRILREIKLLRLLRHPDIVQIKHIMLPPCQREFKDIFVVFELMESDLHHVIKINDDLTPEHHQFFLYQLLRGLKYIHTAHVFHRDLKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFYSNYTPAVDIWSVGCIFAELITGKALFPGKNVVDQLDLVTDLLGTPSAETIARIKNEKARNYLNSLRKKKPIPFSKKFPNTDPLALKLLERLIAFDPNDRPSAEEALADPYFHGLANVDNEPSMQPISKLEFEFERRKLSEDDVRELIYREILEYHPQMLQQHLKGKDQISFMYPSGVDRYRQQFACLEGQGKIERGILLQRKHTSLPRERVCNDDTDHIDESKKRTVASAGRATLQSPTKLQASVEPEYVNQNVAGTQKASGKPVQNGRRLSKSESISASRCVGVIRKPQGMHGEVQKLVA >KJB30808 pep chromosome:Graimondii2_0_v6:5:46634314:46639125:1 gene:B456_005G161300 transcript:KJB30808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKDFFTEYGEASQYEIKEVVGTGSYGVVASAIDTHTGEKVAIKKIANIFEHTSEATRILREIKLLRLLRHPDIVQIKHIMLPPCQREFKDIFVVFELMESDLHHVIKINDDLTPEHHQFFLYQLLRGLKYIHTAHVFHRDLKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFYSNYTPAVDIWSVGCIFAELITGKALFPGKNVVDQLDLVTDLLGTPSAETIARIKNEKARNYLNSLRKKKPIPFSKKFPNTDPLALKLLERLIAFDPNDRPSAEEALADPYFHGLANVDNEPSMQPISKLEFEFERRKLSEDDVRELIYREILEYHPQMLQQHLKGKDQISFMYPSGVDRYRQQFACLEGQGKIERGILLQRKHTSLPRERVCNDDTDHIDESKKRTVASAGRATLQSPTKLQASVEPEYVNQNVAGTQKASGKPVQNGRRLSKSESISASRCVGVIRKPQGVYARRSAETGRLTCLGTCKRLTKKNLPILGFLLLCP >KJB30807 pep chromosome:Graimondii2_0_v6:5:46634314:46639125:1 gene:B456_005G161300 transcript:KJB30807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKDFFTEYGEASQYEIKEVVGTGSYGVVASAIDTHTGEKVAIKKIANIFEHTSEATRILREIKLLRLLRHPDIVQIKHIMLPPCQREFKDIFVVFELMESDLHHVIKINDDLTPEHHQFFLYQLLRGLKYIHTAHVFHRDLKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTIKNEKARNYLNSLRKKKPIPFSKKFPNTDPLALKLLERLIAFDPNDRPSAEEALADPYFHGLANVDNEPSMQPISKLEFEFERRKLSEDDVRELIYREILEYHPQMLQQHLKGKDQISFMYPSGVDRYRQQFACLEGQGKIERGILLQRKHTSLPRERVCNDDTDHIDESKKRTVASAGRATLQSPTKLQASVEPEYVNQNVAGTQKASGKPVQNGRRLSKSESISASRCVGVIRKPQGMHGEVQKLVA >KJB28588 pep chromosome:Graimondii2_0_v6:5:5785569:5791708:-1 gene:B456_005G057100 transcript:KJB28588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGILNSLLASDHSSIVSMNLFVALLCGCIVIGHLLEESRWMNESITALVIGVCTGVVILLTTGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFGIISAGAIQFFKELHIGDLQIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLTHINSTIALKFVGNFFYLFISSTLLGVVTGLLSAFIIKKLYFGRHSTDREVALMILMAYLSYMLAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVAEIFIFLYVGMDALDIEKWRVISDSPGKSVGVSSILLGLILVGRAAFVFPLSFISNLTKKAPHEKIEFKQQVTIWWAGLMRGAVSMALAYNQFTSLGHTQVRGNAMMITSTITVVLFSTVVFGLMTKPLVRILLPSPKHLSRMLSSEPTTPKSFFLPLLNNGQGSEAEQGNRSVIRPSSLRMLLTTPSHTVHYYWRKFDDAFMRPVFGGRGFVPFVPGSPTEQNGPQWQ >KJB31480 pep chromosome:Graimondii2_0_v6:5:59903983:59905842:-1 gene:B456_005G216100 transcript:KJB31480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCMRLSMRKLALWYTKTFKPLMTHDELDHIMATMGFVALPPVQPTCSTLAWKEYVYMASPGRSKSSSSCLSGSCEPSTVEPPRPKLPYPRIDGLHIYTYRAFLDAVNFYLEMGMPLHRSNDRSRKWRCMEEDDGVFVYREGTLEQTTYNMYHFNKLNSNSCNGYNGAIVIRGKGNNNKNNTPVSCFVPLKDIIV >KJB31481 pep chromosome:Graimondii2_0_v6:5:59904401:59905649:-1 gene:B456_005G216100 transcript:KJB31481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCMRLSMRKLALWYTKTFKPLMTHDELDHIMATMGFVALPPVQPTCSTLAWKEYVYMASPGRSKSSSSCLSGSCEPSTVEPPRPKLPYPRIDGLHIYTYRAFLDAVNFYLEMCDISELFHIRGMPLHRSNDRSRKWRCMEEDDGVFVYREGTLEQTTYNMYHFNKLNSNSCNGYNGAIVIRGKGNNNKNNTPVSCFVPLKDIIV >KJB32186 pep chromosome:Graimondii2_0_v6:5:61096898:61097998:-1 gene:B456_005G228200 transcript:KJB32186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVVDCLENAYQEFVDAAAAVLETKASSDCERTAATDAALESFKKKWETFKAACDQAQELVDSVKQRITANTTFPVNEDMIDIFDHSIVDFDD >KJB27446 pep chromosome:Graimondii2_0_v6:5:31847364:31849059:1 gene:B456_005G130300 transcript:KJB27446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMPMEFVRDPDDQGSAMEVDGVNTPEIFDEGVIASDNKLAYADFFNNFEDNFDDSDIN >KJB30645 pep chromosome:Graimondii2_0_v6:5:42833517:42834851:-1 gene:B456_005G152900 transcript:KJB30645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISSWRRRRAARREGKLQQQRNDNGEIELTVPRDFRCPISLDLMKDPVTLSTGITYDRENIEKWIEAGNFTCPLTNQVLRSLEPIPNHIIRKKIQDWCVENRSYGIERIPTPRVPVTSMEVSDILSKINVACMKQNGKGCQDLVLKIKSLAKESERNKRCFVSNGAGCALSEAFQAFSRASFDENVAVLEEILSALAIMFPLDVEAKGFLGSASAMRCLIWFLSSGDLSRRRNAVLGLRELVSIDERKVNELSDMEGAIEALFKLIKDPICPTSTKASLLVIYKIITSSPTKEKQVKKLVNLGIVSLLLETLVDSERGICEKALGVLDGICNSEEGRQMACNNALSMPVLVKKILRVSNLTTDFSVSILWKLCKKEKTEDDASVILEALQVGAFLKLLLLLQVGCVEETKDKASELLKILNLHRNKMECVDPMDNFKDLKRPF >KJB31911 pep chromosome:Graimondii2_0_v6:5:59670142:59673329:1 gene:B456_005G214000 transcript:KJB31911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIDIDGILKELPNDGRIPKTKIVCTLGPASRSVPMIEKLLRAGMNVARFNFSHGSHEYHQETLDNLRSAMHNTGILCAVMLDTKGPEIRTGFLKDGKAVQLKEGQEITVTTDYDIKGDEKMISMSYKKLAVDLKPGNTILCADGTITLSVLSCEPAAGTVRCRCENTAMIGERKNVNLPGVVVDLPTLTEKDKEDILGWGVPNSIDMIALSFVRKGSDLVNVRKVLGPHAKKIQLMSKVENQEGVINFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMARICIEAESSLDYGAIFKEMIRSTPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDEGPARHSLIYRGLVPILAEGSAKATDAESTEVILEAAMKSATEKGLCKPGDAIVALHRIGAASVIKICIVK >KJB31912 pep chromosome:Graimondii2_0_v6:5:59669895:59673377:1 gene:B456_005G214000 transcript:KJB31912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIDIDGILKELPNDGRIPKTKIVCTLGPASRSVPMIEKLLRAGMNVARFNFSHGSHEYHQETLDNLRSAMHNTGILCAVMLDTKGPEIRTGFLKDGKAVQLKEGQEITVTTDYDIKGDEKMISMSYKKLAVDLKPGNTILCADGTITLSVLSCEPAAGTVRCRCENTAMIGERKNVNLPGVVVDLPTLTEKDKEDILGWGVPNSIDMIALSFVRKGSDLVNVRKVLGPHAKKIQLMSKVENQEGVINFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMARICIEAESSLDYGAIFKEMIRSTPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDEGPARHSLIYRGLVPILAEGSAKATDAESTEVILEAAMKSATEKGLCKPGDAIVALHRIGAASVIKICIVK >KJB29352 pep chromosome:Graimondii2_0_v6:5:13951372:13951692:1 gene:B456_005G0962001 transcript:KJB29352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YVVMKAEAEVVEDMVKSKAIRLVDELFLECKPKGLGGRKNMSRRAYWECLALYGKLRDEGVAVHQWWG >KJB29601 pep chromosome:Graimondii2_0_v6:5:19785322:19788502:1 gene:B456_005G109500 transcript:KJB29601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVEPPNGIHYQGKHYYSMWRTLFEIDTKYVPIKPIGKGAYGIVCSSVNRETDDKVAIKKINNAFDNPVDALRTLRELKLLRHLRHENVIALKDVMMPIHRRSFKDVYLVYELMDTDLHQIIKSPQALSNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSNAKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIINILGSQKEEDLEFIDNPKARNYIKSLPYSHGIPFSCLYPNAHPLAIDLLQKMLVFDPSKRIGVTEALQHPYMAPLYDPNRNPPAQVPIDLDIDEDLGEEMIREIMWKEMLYYHPEAANANGEVCV >KJB28014 pep chromosome:Graimondii2_0_v6:5:1833860:1835358:1 gene:B456_005G021800 transcript:KJB28014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFVFYFILQLPWLIRPVTSLEAGDSGCSETCGTVSIPYPFGIKRGCYAYNNSWFRVTCNETADGPKPFITRINLELLGKFWLDNKVVTINNPVTYLNCGDKGDNSTISPSSVNLQGTPFFFSNQHNTFGSIGCGNLATVFRNNQIDPIASCVQHSCGDPSLGFGGCYALISEQLTSYTTSIAEVKNPAGRKRCASAFLFDSNQLPSWKISINTTHVPAILEWNPCDLEAALCFDVDKSVALPYKRDDCSRSCGNFDISYPFGIESGCYMNEWFRVTCKETTDGPKPYISSINLQLLKISFSDNSESTVVVNNSITYFSCLDKNGETNGVSVNLTDTHFSFSHSFNRFVSIGCGSLATFLRSPKDNYPARGCQQPYCDDSVTSNATCSIDIPTDLRSFAVNMKEINPSHSSRRSCGSAFVVDKRYLETINSDQNDTSNWTLTHVPTTLRWATLKRGI >KJB31023 pep chromosome:Graimondii2_0_v6:5:50291790:50293040:-1 gene:B456_005G173100 transcript:KJB31023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVEVICKEMIKPSSPTPQQLRHYQLSLLDQQINSVYNHLVLFYPTKTNVQTHNINILDLHHFKLSVSQALTHFYPLAGRIKGNSFVDCNDEGIPFNEAHVKCRLSDLLHDPVPDELNKLYPFPLDVAKVLPMGIQLNTFDCGGIGIALCISHKIGDALSYFTFLNTWAAIARGDTKNVVLPEFVSAKLFPPRNISLPEPALETSEKNIATKRVVFSASKIEEIRAKYAADHERRPSRVEALSAYIWSRFIASTKKKPSPNVMIHTVNLRTKFEPPLSAQSFGNIFRVAITVPSMDDGSNLVSQIRNSIRKIDNDYVRKLQAGEDLFEPTNQGDEKGETTPFIFTSLCRFPLYDADFGWGKPVWVSSARLNAKNLVVFMDTATGDGIEAWINLKEEDMAEFGSDEELLATLKSC >KJB30679 pep chromosome:Graimondii2_0_v6:5:43682633:43683765:-1 gene:B456_005G154800 transcript:KJB30679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIEGNNANANDAIMLDKDGYVSETNATNIFLVKKGCVLTPHADYCLPGITRATIMELVVKEKFELMERRISLSEFHAADEVSCCFSIESIYMEYF >KJB30680 pep chromosome:Graimondii2_0_v6:5:43682633:43684064:-1 gene:B456_005G154800 transcript:KJB30680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKDGYVSETNATNIFLVKKGCVLTPHADYCLPGITRATIMELVVKEKFELMERRISLSEFHAADEVSCCFSIESIYMEYF >KJB27908 pep chromosome:Graimondii2_0_v6:5:1183796:1185533:-1 gene:B456_005G016100 transcript:KJB27908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPLFYEVMEKPATSCIIGICTTIWFYIQKKNIGYQHVGVSYETAIEGHYWRLITSAFSHISVIHLVFNMSALWSLGIVEQLDYLGLGIAYYLQYTLVLVVLSGLLVLGMYHILIQRFKIEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLDLFGFVSLPISFAPFESLIFTSIIVPQASFLGHLSGIIVGYAIAWGLIHGMTNYWAVSMLGWIVLIFVFSLKRSGAYDFNFLEIESVTDPSLPSVRFMGNSRTLQMSSVPLGGIEIA >KJB27480 pep chromosome:Graimondii2_0_v6:5:58516565:58517792:1 gene:B456_005G206200 transcript:KJB27480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGAKRHYEKDRPRSESAGKSSQVGRVSGTGNRETIVKQVGLGDKQKLREAEKAENLMHLICFGPCHRLFERPQIMEAILILLPLKPPVL >KJB32026 pep chromosome:Graimondii2_0_v6:5:60299090:60301254:-1 gene:B456_005G219900 transcript:KJB32026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASNRGVHTIARALMVSTDNYLGIRIYSRSKKSAINHQAQTLGKGRTANIYKKLENSDYYSFDWNMSFCCLFH >KJB32473 pep chromosome:Graimondii2_0_v6:5:62299657:62308096:-1 gene:B456_005G242200 transcript:KJB32473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKQEMSFDSTMEKVKQPNEGKGAKVDEGTSTPQSELEDPLSLRNLSKFIIPPLGVSSYSQNQIDSKGWVITPMDSTYRCWETFMVMLVFYSSWVYPFEVAFLSSSAPRKLYMADNIVDLFFAIDIVLTFFVAYIDTRTQLLVRDSKKIAIRYLSTWFLIDVISTIPFEALGYLFTGNSRVGISYSLLGLLRFCRLRRVKQLFTRLEKDIRFSYFWIRCARLLAVTLLAIHCAGCLYYLLADRYPQQGRTWLGSVHPNFRETSLWIRYISAMYWSITTMTTVGYGDLHAVNTMEMIFIIFYMLFNLGLTAYIIGNMTNLVCEGTRRTMEFRNSIEAASQFVSRNRLPPRLKEQILAYMCLRFKAESLNQQQLIEQLPKSIYTGICQHLFLPTVEKVYLFNGISREILQHLVAKMKAEYLPPREDVIMQNEAPDDVYIIVSGEVEIINCDMEREMVVGTLQSGDMFGETCAICCRPQRFMYRTKTLSQLLRLKTTDLIESMQTKHEDNVAIFKNLLQHNKRLKDLKIGDLAEEGGEEDDEPKNITINLLNAADIGNAAFLDELLKARLDPDIGDSKGRTPLHIAATKGHEDCVLVLLKHACNVHLQDMNGNTSLWNAISSKHHSIFRVLYNCAAVSNPFTAGDLLCLAAQRNDQTVMKELLKHGLPIDAKNRHGLTALQIAMKEKHENMVNLLVMNGADVINTNTYEFSSEALNEMLEKREIGHRINVPDTTSSEALLKKLEGDTVGKLDKSRTVDHPRVSIYKGHPLMRKESCSTEPGKLISLPDSLEDLKNIAGKKFGIDARNATVTDEAGDEIDSIEVIRDNDKLYIVE >KJB30030 pep chromosome:Graimondii2_0_v6:5:29491671:29495909:1 gene:B456_005G128100 transcript:KJB30030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQGQGKNRSSVEAKIMERRGWEKSIRGVGAMSNRSEIEVFSDAATEFHDGELGWSTDIVSQADKDLASAISFKDCEDFDILQSPRNSVDGSQNENPVPRSMPILPVGTPEHHDVGLSYSKDSEDKNGSASDVVSIETETLKGISEESRKVNASVSVAACSVGQATDANENEGSKFNENLSSALVLPSKHAGKISETESISETRLDETSDMSSKTSMSGNGEHETDGKGNPGISFEENLMDAGLKSENAEDLISETVLADKIVELEENSDNLALNMVIDDLSSKAESTNYKNVSTGTFELQTDAAHGTDSSIDVDSNEVNEKKEKEKESGYVLCSPDDIPVVDDAEIKLEGFKDHTRVNLPQLETLASKEIIVDKEDEVKDHVSQEKSDTFVSNPMDEEIAIEDSYKLSGNNEAIIEEVFVIGNADVIQIDKASDALVDADTTENEKDRVVQSLKEQQLLNVADELNKGSNVLSPLDADTTENEKDQEVLSLKEQQPVYVVDDLRRMGFQGSMLNDVPDVKPMVTDADVEAGKLNNVVGDENYVKNMRTSCESTDSSSLLHANPAFHLLEVEDTDDIGTRKTEKSDINEVESGDGSEEGYISTKMDSISESTSTHHQSAIVTEEVNETEGLQSDSVSNSEDYKKEPEISKGNKVHGECAAEDLMASAVDSSERNEFERTSVNQLKKELVHSGPVNDSHNRESGVAASQASAAILQGEVKNGSSKPQLNTTVGDFSIESNSRTDSLEGYWGSVSGTLASRLT >KJB30029 pep chromosome:Graimondii2_0_v6:5:29491671:29497094:1 gene:B456_005G128100 transcript:KJB30029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGWEKSIRGVGAMSNRSEIEVFSDAATEFHDGELGWSTDIVSQADKDLASAISFKDCEDFDILQSPRNSVDGSQNENPVPRSMPILPVGTPEHHDVGLSYSKDSEDKNGSASDVVSIETETLKGISEESRKVNASVSVAACSVGQATDANENEGSKFNENLSSALVLPSKHAGKISETESISETRLDETSDMSSKTSMSGNGEHETDGKGNPGISFEENLMDAGLKSENAEDLISETVLADKIVELEENSDNLALNMVIDDLSSKAESTNYKNVSTGTFELQTDAAHGTDSSIDVDSNEVNEKKEKEKESGYVLCSPDDIPVVDDAEIKLEGFKDHTRVNLPQLETLASKEIIVDKEDEVKDHVSQEKSDTFVSNPMDEEIAIEDSYKLSGNNEAIIEEVFVIGNADVIQIDKASDALVDADTTENEKDRVVQSLKEQQLLNVADELNKGSNVLSPLDADTTENEKDQEVLSLKEQQPVYVVDDLRRMGFQGSMLNDVPDVKPMVTDADVEAGKLNNVVGDENYVKNMRTSCESTDSSSLLHANPAFHLLEVEDTDDIGTRKTEKSDINEVESGDGSEEGYISTKMDSISESTSTHHQSAIVTEEVNETEGLQSDSVSNSEDYKKEPEISKGNKVHGECAAEDLMASAVDSSERNEFERTSVNQLKKELVHSGPVNDSHNRESGVAASQASAAILQGEVKNGSSKPQLNTTVGDFSIESNSRTDSLEGYWGSVSVLSTQSDNQAAMDTETLPSTGSQALSEAEKANTKKSKLASKEQHFNKSDEFEPPSFMTLVEGGGSDQKAAAVSEALTGGNPRAGWFPSLTHATNESQGRKKNEEIIRKVANWNANQHGPLKNLANSEPKPKSPNLNQNPSPNTNPTVLAPRDDGGKVSSMVGPETPMAEATNVEAEKEWKSPARYPSDRKREKRKVKGRPLWVQFVCCSSAN >KJB30031 pep chromosome:Graimondii2_0_v6:5:29491608:29497094:1 gene:B456_005G128100 transcript:KJB30031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQGQGKNRSSVEAKIMERRGWEKSIRGVGAMSNRSEIEVFSDAATEFHDGELGWSTDIVSQADKDLASAISFKDCEDFDILQSPRNSVDGSQNENPVPRSMPILPVGTPEHHDVGLSYSKDSEDKNGSASDVVSIETETLKGISEESRKVNASVSVAACSVGQATDANENEGSKFNENLSSALVLPSKHAGKISETESISETRLDETSDMSSKTSMSGNGEHETDGKGNPGISFEENLMDAGLKSENAEDLISETVLADKIVELEENSDNLALNMVIDDLSSKAESTNYKNVSTGTFELQTDAAHGTDSSIDVDSNEVNEKKEKEKESGYVLCSPDDIPVVDDAEIKLEGFKDHTRVNLPQLETLASKEIIVDKEDEVKDHVSQEKSDTFVSNPMDEEIAIEDSYKLSGNNEAIIEEVFVIGNADVIQIDKASDALVDADTTENEKDRVVQSLKEQQLLNVADELNKGSNVLSPLDADTTENEKDQEVLSLKEQQPVYVVDDLRRMGFQGSMLNDVPDVKPMVTDADVEAGKLNNVVGDENYVKNMRTSCESTDSSSLLHANPAFHLLEVEDTDDIGTRKTEKSDINEVESGDGSEEGYISTKMDSISESTSTHHQSAIVTEEVNETEGLQSDSVSNSEDYKKEPEISKGNKVHGECAAEDLMASAVDSSERNEFERTSVNQLKKELVHSGPVNDSHNRESGVAASQASAAILQGEVKNGSSKPQLNTTVGDFSIESNSRTDSLEGYWGSVSVLSTQSDNQAAMDTETLPSTGSQALSEAEKANTKKSKLASKEQHFNKSDEFEPPSFMTLVEGGGSDQKAAAVSEALTGGNPRAGWFPSLTHATNESQGRKKNEEIIRKVANWNANQHGPLKNLANSEPKPKSPNLNQNPSPNTNPTVLAPRDDGGKVSSMVGPETPMAEATNVEAEKEWKSPARYPSDRKREKRKVKGRPLWVQFVCCSSAN >KJB30028 pep chromosome:Graimondii2_0_v6:5:29491542:29497094:1 gene:B456_005G128100 transcript:KJB30028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGWEKSIRGVGAMSNRSEIEVFSDAATEFHDGELGWSTDIVSQADKDLASAISFKDCEDFDILQSPRNSVDGSQNENPVPRSMPILPVGTPEHHDVGLSYSKDSEDKNGSASDVVSIETETLKGISEESRKVNASVSVAACSVGQATDANENEGSKFNENLSSALVLPSKHAGKISETESISETRLDETSDMSSKTSMSGNGEHETDGKGNPGISFEENLMDAGLKSENAEDLISETVLADKIVELEENSDNLALNMVIDDLSSKAESTNYKNVSTGTFELQTDAAHGTDSSIDVDSNEVNEKKEKEKESGYVLCSPDDIPVVDDAEIKLEGFKDHTRVNLPQLETLASKEIIVDKEDEVKDHVSQEKSDTFVSNPMDEEIAIEDSYKLSGNNEAIIEEVFVIGNADVIQIDKASDALVDADTTENEKDRVVQSLKEQQLLNVADELNKGSNVLSPLDADTTENEKDQEVLSLKEQQPVYVVDDLRRMGFQGSMLNDVPDVKPMVTDADVEAGKLNNVVGDENYVKNMRTSCESTDSSSLLHANPAFHLLEVEDTDDIGTRKTEKSDINEVESGDGSEEGYISTKMDSISESTSTHHQSAIVTEEVNETEGLQSDSVSNSEDYKKEPEISKGNKVHGECAAEDLMASAVDSSERNEFERTSVNQLKKELVHSGPVNDSHNRESGVAASQASAAILQGEVKNGSSKPQLNTTVGDFSIESNSRTDSLEGYWGSVSVLSTQSDNQAAMDTETLPSTGSQALSEAEKANTKKSKLASKEQHFNKSDEFEPPSFMTLVEGGGSDQKAAAVSEALTGGNPRAGWFPSLTHATNESQGRKKNEEIIRKVANWNANQHGPLKNLANSEPKPKSPNLNQNPSPNTNPTVLAPRDDGGKVSSMVGPETPMAEATNVEAEKEWKSPARYPSDRKREKRKVKGRPLWVQFVCCSSAN >KJB27980 pep chromosome:Graimondii2_0_v6:5:1588649:1592347:1 gene:B456_005G019900 transcript:KJB27980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGVQRWIVDISKWNPSSNDFSFALSLLPQTHHSSITRFVKMEDRKRALVSLLLQYTLVHEVLGIPYPDIVINRTLEGKPFLECARFCFEFPNFNFNVSHHGDYVAIASEPLCLVGLDIVNFMIPEKETVPEYIQNFSSYFSSSEWDRIISVGNNEEVLAEFYRYWCLKEAYVKAIGSGLAYGLHKVEFHHTNWTSISVKVDGVTNQQWRFWLFNLDKGHSVSIARGHPRLAIESYKRSLKRTKFNEEEHNVGLHLPNPRFVLRTVEELISVIHKAKRSC >KJB28192 pep chromosome:Graimondii2_0_v6:5:3154960:3157014:1 gene:B456_005G033600 transcript:KJB28192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALMELVTLVPCCFFFIALIKFLYDYLWIPLRIQHMLNSQGIKGPPYRFIHGNNKEIAKMRQEASIKPMALTHDIFPKVQPQIYSWINKYGRNYLFWNGVRAELVISEPELPTIYLSKLLGKGLVTVEGEKWAKQRKLANYAFHGESLKNMTPAVIASVETMLEKWKGQEGKEIEVFHEFRLLTSEVISRTAFGSSYLEGEKVFAMLNKLSIIMSRNLYNTRIPLINKLWKPADMLESEELAKEIQYCVMKMVKKREDRVVNGEADSFGNDFLGLLVNAYHDSDKNNKLSMEDLVDECKTFYFAGQDTVNALLAWTVLLLAIHGDWQDKARREVIGIFGNQNPQPEGIAKLKTMTMIINETLRLYGPSNGMLRRVGREVQMEKVVLPANIDILIANVALHHDPQLWGEDVHLFKPDRFAEGIAKATNYNTGAFFPFGLGPRTCVGMTFATTETKIVLSMILQRYAITLSPAYVHSPIPIISLKPQHVIQVMLKSLHSDA >KJB32695 pep chromosome:Graimondii2_0_v6:5:63307636:63309508:1 gene:B456_005G256000 transcript:KJB32695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVSYPKISHPQDYTHCGPCLCHFTFPSPTLQTHFPSTSLSNMETLLLNQTLCRLDLSPKPKRPLCIAPQIFHFKPNKSFALFAVQNHERDFQETGNTLQADVSDESYGEVSKIIGSRAVPGGKAMEYLIEWKDGHAPSWVPQDFIAKDVVAEYETPWWTAAKKADDKALAELLAVEDDWRDVDAVDPDGRTALLFVSGLGSEPCVKVLADAGADINHRDNAGGLTALHMAAGYIKPGVAKLLLDFGADPEIEDDRGLTPLALAKEILKITPKGNPMQFARRLGLESVTKTLEESIFEYAEVEEIMEKRGKGENLEYLVKWKDGGDNEWVKAKFVAEDLVADFEAGLEYAVAEGVVGKRMGDDGKNEYLVKWIDMEQPTWEPEENVDPELIKEFDDASQGNGGVVKNNGESSDVS >KJB29609 pep chromosome:Graimondii2_0_v6:5:20078644:20079936:-1 gene:B456_005G110000 transcript:KJB29609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGQLINCQTFASWNEQLHAANASMKLAVVSFTASWCGACRFITPVVVELAKKLPDVIFLKVDADDLKTVTRDFAVEALPTFIFMKQGKIVDKVVGARKDELQQKITLHMLKA >KJB28900 pep chromosome:Graimondii2_0_v6:5:8405366:8407813:1 gene:B456_005G075000 transcript:KJB28900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRDRQSIEHQKKDPQQHPHPCFTNFTTHHQQQKWEDPSLLDYSTRIEPPFQAFNPNCQTKSSFPCNPNNQIKVPDPLMNELLQASKVQDWDPSAMLNNLSFLEQKIHQLQGLVHSIIGRGGQVLGQPDELVDQQQQLITAALTSIIVQLISTAGSLLPSVKHHTLCGAPPVASLAQFQPQNGGGNSVPELPNTVDVSSNSGEQQNHVMEEHEMKDEEDAEELGESLFPGTYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPNKESSSEPALIKRYSCPFAGCKRNKDHKKFQPLKTILCVKNHYKRSHCDKSYICSRCHTKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDETKGPIAPSDPGDGSEATNVVGSMNFFNFGSENGVDVKGSLDDPAAYFSPLNFETCNFGGFHEFPRPASDDSETSLAFLLSGTCSYNNNNNNDVERS >KJB29063 pep chromosome:Graimondii2_0_v6:5:10109605:10117812:-1 gene:B456_005G082600 transcript:KJB29063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRDEEDDDVGDEEYEDEEDQLVDDEDYEEDEDVGRGRGGSSRKRRRSDFIDDAAEEDDEEDEYEDDDGEVYGGGGKKHSKAPRVGSQFFDLEAQVDSDEEEEEEEGEDDFIVETGADLPDEDVGRRMHRRPLPMREDEQEDVEALERSIQARYARSSHTEYDEETTDVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKFIDKGVELQIRSVIALDHLKNYIYIEADKEAHVREAVKGLRNIFPAKIMLVPIREMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAQVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVAKKKAFVPPPRLLNVDEARELHIRVERRRDPVTGDYFENIDGMLFKDGFLYKTVSMKSISAQNIKPTFDELEKFRAPSTNGVEMVGLSTLFANRKKGHFMKGDAVIVVKGDLKNLKGWVEKVEEENVHIRPEMKGLPKTLAVNEKELCKYFEPGNHVKVVSGTKEGATGMVVKVEQHVLIILSDTTKEHIRVFADDVVESSEVTTGITKIGEYELHDLVLLDNNSFGVIIRVESEAFQVLKGVPERPEVSLVKLREIKCKVDKKFNVQDRYRNTVAVKDVVRILEGPCKGEQGPVEHIYKGVLFVYDRHHLEHAGFICAKADSCCIVGGSRSNGDRNGGSLSRFGGFKAPSRVPPSPRRFSRGGPPFDSGGRHRGGRGGHDALVGTSVKIRQGPYKGYRGRVVDIKGQSVRVELESQMKVVTVDRNSISDNVVISTPHRESSRYGMGSETPMHPSRTPLHPYMTPMRDPGATPIHDGMRTPMRDRAWNPCAPMSPPRDNWEEGNPASWGTSPQYQPGSPPSRAYEAPTPGSGWASTPGGSYSEAGILRDSSSAYANAPSPYMPSTPSGQPMTPSSGSYIPGTPGGQPMTPGTGLDMMSPVIGADNEGPWFMPDILVNMRKSGDESLGVIQEVLSDGSCKVALGPNGSGDTVIAMPSEMEVVPPRKSDKIKIMGGSLRGVTGKLIGVDGTDGIVRIDDSLDVKILDLVILAKLH >KJB28917 pep chromosome:Graimondii2_0_v6:5:8608503:8611061:-1 gene:B456_005G075600 transcript:KJB28917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFSLILLYLNFLFTISSSSTLIPLGSTLQASNRNQSWSSPNTSFSLSFIPVTPSSFVAAITYNAGDVIVWSASDGSNGTGDGASLVVNSGGTLNLLSNGALRLTNGSGAIVWDSATANRGVSHASLDDLGNFQLLNNESVPIWSSFQNPTDTLVPSQNFTVGMILRSGSYSLTLDRSANLTLNWNDTIEYWSLGFNSSINGNLTSPRFMLQSNGILVGLDPSFARGVINMAFTTDYGESNDVFRFLRMDNDGNLRIYSSSTGNNGSGNITQTWAAVTDQCQVYGFCGNMGICSYKDSKPVCGCASQNFEPVNEHDGRKGCKRKVEIEDCPGDVTMLQLEHTMFLTYPPDLSDQLVTLAIVACRTNCLASSTCTASTLVADGSGFCYLKTPDFVSGYQGAVLPSTSFIKVCGQAIPNPSPYQEASAKDNDSLHTMVIVSVVMASLLALVVVGIGFWCLLYSNRNKSGRMSVQYELVDYASGAPVKFSYKELQQSTKGFSERLGEGGFGAVYKGTLANRMVVAVKQLEGIEQGERQFRMEVATISSTHHLNLVRLVGFCCEGRHRLLVYEFLKNGSLDKFLFTSKDQSGKSLKWENRFNIALGTARGITYLHEECRDCIIHCDIKPENILLDEAYTAKVSDFGLARLMNPKDHRHLSLASIRGTRGYLAPEWLANHPITSKCDVYSYGMVLLEIVSGRRNFEVSPETDGKKFSLWAYSEFEKGHIEAIVDKRTKDVDSEEVERAIMVSFWCIQEQPSRRPMMGKVVQMLEGVIDIDSPPAPKPAVEGSSRGTAITVNSNVSGLSTYAASNPAPSSSSSFMNIGASPPTPEREMGKESSSLLGLKLSETDPDS >KJB31690 pep chromosome:Graimondii2_0_v6:5:57941478:57946097:1 gene:B456_005G202800 transcript:KJB31690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIATPNSKTFSLNLNPKTTTLLSLQQSIQLRTQIPISHQHLLLSPNPTSSLLHSPDPDSVLLSQLQITPYSTLFLHVPLRGGTQPGPSGAAVPKPRLDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSATTIGGAAAPSGLGRGRGKPGEDEEDDEGEDKGYDENQKFDEFEGNDVGLFASAEYDEDDKEADAVWEAIDKRMDSRRKDRREARLKEEIEKYRASNPKITEQFADLKRKLHTLSAEEWESIPEIGDYSLRNKKRRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKIQTARQLIQKGCEECPKNEDVWLEACRLASPDEAKAVIAKGVKSIPNSVKLWLQAAKLEHDDVNKSRVLRRGLENIPDSVRLWKAVVELANEKDAATLLERAVECCPLHVELWLALARLKDYDKAKKVLNRAREKLPKEPAIWITAAKLEEANGNNAMVGKIIERCIRALQREGFVIDREAWMKEAEAAERAGSVVTCQAIIRNTIGIGVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNTEEERRLLDEGLKQFPSFFKLWLMLGQLEERLGNLEKAKGVYESGLKHCPSCIPLWVSLAILEEKMNGIAKARAVLTLARKKNPQQPELWLAAIRAEARHGYKKEADILMAKALQECPNSGILWAASIEMVPRPQRKTKSMDALKKCDHDPHVIAAVAKLFWHDRKVDKARTWLNRAVTLAPDIGDFWALYYKFELQHGTEENQKDVMKRCVAAEPKHGEKWQAISKAVENSHQPTEAILKKVVVVLGKEESAAENNSKH >KJB29573 pep chromosome:Graimondii2_0_v6:5:19537461:19538402:-1 gene:B456_005G108400 transcript:KJB29573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRIFVVIFFSWTALTIITPTLVLWSESSKHNFEFNLKGTEGIKGHRKIIGYGEKQVGNGTISVSRLEAATEQQKWSCLLEFGGWVIKVLRKAMGFFIRVRLNQ >KJB28725 pep chromosome:Graimondii2_0_v6:5:7052030:7053856:-1 gene:B456_005G066300 transcript:KJB28725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDVFSFVDLNVYGAPDKLSSSNNEFESSDGGNKGKAGGFYGVEDWGETGGIDSLPSDYGFYGDASMEASGFSFFKQDHQQQQQQQQEQQSFIEYGLHNGVSFNAQSPLIQACFDEIAELGGINNGVYEDIGEAKKGKQYPGLSSLGLLNNYGNGFKRLNGERKTDDDITVSDTQDEDRKLSTEEVMRVAGEMFLKSCGQTIDGISGVDHPFSLSFSGFSDRETRDIELAALLLAAAEKVGYQQYESASRLLKQCDYMSSKTGNPVQRVVYYFSEALREKIERETGRSSSKSLKWKPLCNFDTDMIALNPTVLACHGAFPFGQVSQFAGIQAIVENVAEANKVHIIDLAIKNGIQWTILIQALASRQHEYRLELLKITAVATEAKDLIDGTGKRLSSFAQSLGVPFAFKVVMVSDMLDLKEDFFELDAEETIVAYAAFAFRSMLATPNRIENIMKVLRVMNPCLMVVTEVEANHNSPIFVNRFIEVLFYFSAYFDCIATCMEQDSKNREILESVFFGDGIRNMVAAEGTDRKVRNVKFDVWRAFFVRYGMEEAELSMSSKYQADLILKTFACGTCCTLDMNGKCLLVGWKGTPMHSISVWKFLEANV >KJB27740 pep chromosome:Graimondii2_0_v6:5:468871:470166:1 gene:B456_005G0069002 transcript:KJB27740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHFLIFIILHLVILFSLSIFAPKIKLFPLFILLGEGEMEESNNYGHQHPLLLILNQDQLIHNQSGVTHCSRCGEEVSAPCFCCVEHCGFYLHKVRANAPLKLNHPFHPHHPLVLLQEQPYSDTGCVCDFCDKTCTQFIYQCSCKLDFHIKCALLTFNIAENNLKELEHVALQDEELKDDSKCFGCWEPLAKYTHFSPDCGFNLHDKCTELPFKLNLECHREHPLVLQFNSQRLSCKICCLVRTRRGFVYGCSPCKFGVHIECASQSPLQVIKSTNHEHPFTLFNGHQHPLFLMLNQEQLMDNQRGVTDCSRCGEKVSAPCFCCAEHCGFYLHKVCAEAPLELNQPFHLNHPLLMQNAPYSSGMYICNFCNKSGHKSVYRCSSCELDFHIKCALFTFNIAENNLKELDHVALQDEELADD >KJB29712 pep chromosome:Graimondii2_0_v6:5:22594317:22594964:1 gene:B456_005G115500 transcript:KJB29712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEIGNGLYLVRTRSDKLYNRSRICSILNYLLKFEAIEIAATYSSLSFGIGYFGSGMERPKFLFLGEVNSKIEVESIILKLELMFLHD >KJB28298 pep chromosome:Graimondii2_0_v6:5:3911814:3913903:1 gene:B456_005G040900 transcript:KJB28298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGQTSAMGIVGSAAAVPYGITGYQANQMMGTSTPGSVGSVRPPTQPAGPSASSAQLAQQQLAYQHIHQQQQQQLQQQLQRFWANQYQEIEQATDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRSWNHTEENKRRTLQKNDIGAAITRTDIFDFLVDIVPREDIKDEVLASVPRVEGSADGLPYYYMPPQLAPQAGAPGMTVGKPVMDPTFYGQKSGPYAGQPVWPPQQQQQPPPDS >KJB28535 pep chromosome:Graimondii2_0_v6:5:5295835:5301542:1 gene:B456_005G053600 transcript:KJB28535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSISGELPEDPVVSKKSGLLYEKRLIERHIADYGKCPVTGEALTMEDIVPIKTGKNVKPRSLTAASIPGMLGMFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQAPLPASAITAVSNGKRASEEEDMGPGAKRMRHGVSDSIIAELTECNAALSQQRKKRQIPPTLAPIDALERYTQLSSHPLHKTNKPGITSIDINPSKDIVATGGIDSNAVLFGRTSGEILSTLSGHSKKVTSVKFVAHNDVFLSGSADKTVRIWQGSEDGNYNCRHILKDHTAEVQAVTVHATNNYFVTASLDATWCFYDLSSGLCLTQVEDPTNSMGYTSAAFHPDGLILGTGTIGSTVRIWDVKSRGNVANFDGHTGAVTAISFSENGYFLATAAHDGVKLWDLRKLKNFRSFELYDQDTPTNSVDFDHSGSYLAMAGSDIRVYQVGSVKAEWNCIKTLPDLSGTGRATCVKFGPDARYLAVGSMDRNLRVFGLPEEDASTES >KJB32915 pep chromosome:Graimondii2_0_v6:5:64012080:64013697:1 gene:B456_005G268100 transcript:KJB32915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKWKFFHRLFRPKQPNGVELDPAMKAMEDLEQDARRCYWDNAEQHSKDKFVRMMLVDGCFIVELLRELKHNNFQCAPSVQRWMLPTLRRDLIMLENQLPLFVLQKLFELTKRSEESSTCLEQLALCFFNPLLQSQRGVRAVNAQGIQNRLHFLDLFRKSILPFPINLSQGLKTSKTSDSSNAAEEGIDMVRSMTELMEASVVIEKAVNCPPLDVSSEGRWLKVPPLYIDDHKGTLFRNMVAYEQCHPKCKPYVTSYLFFFDGLINSAEDEGFIHHKGVLHHCLGSNKEVAKLLNGLCKEIAMDGRESYLCKVVHDMNTYCNGSYAWFRAGLVHHYFRSWVVGISTPGAIIVVYLSLIQTGFVHL >KJB31953 pep chromosome:Graimondii2_0_v6:5:59831119:59833745:-1 gene:B456_005G215700 transcript:KJB31953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPRLYSTKPVQSLKPSKKIPLEEDHGKASDALPLPLHLTNALFFTLFFSVVYFLLSRWREKIRTSTPLHVVTFSEIIAILSFLASFIYLLGFFGIDFVQSLVFQPSPDVWIAEDEEEDDEVLLAKEDARKVPCGQALDCSLPPLPPAAPIVTVQKVFDEKPVTVLTEEDEEIIKSVVAGTTPSYSLESKLGDCKRAAAIRREALQRLTGKSLSGLPLDGFDYESILGQCCEMPVGYVQIPVGISGPLLVNGREYSVPMATTEGCLVASTNRGCKAIHLSGGATSVLLKDGMTRAPCVRFGTAKRAADLKLYLEDPDNFETLSVVFNRSSRFGRLQGIKCAIAGKNLYIRFTCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKGDVVRKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVTAIYIATGQDPAQNVESSHCITMMEAVNEGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKETPGANSRMLATIVAGAVLAGELSLMSALAAGQLVKSHMKYNRSSKDVSKASS >KJB31163 pep chromosome:Graimondii2_0_v6:5:52445378:52446962:1 gene:B456_005G179200 transcript:KJB31163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTAKNKSIYKKQIKKFCAYFSALTFFLSTVLSTSLIFKAYHIPNSLCNPIIRLTPNREISFSPIRCAAPDADADRKVSARLSQMQQLLQEAEERASSAGNEPTPQITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNVKQAYWLSDRIRVRIMQMVCHCHLLSFKEFMILLIVCLRDKN >KJB27574 pep chromosome:Graimondii2_0_v6:5:6721:7053:1 gene:B456_005G000100 transcript:KJB27574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FIRASSALLATMYSSSQSFPTMYQTGMRCPHHSCLLMHQSLIFMTVACAEGCCTMKNDKMWPSSQQGGRVS >KJB28315 pep chromosome:Graimondii2_0_v6:5:3997392:4001623:1 gene:B456_005G041900 transcript:KJB28315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKTMVKCCGKPETNDKGMVEEKKNKNKIKGALVLMKKNVLDVSDLKSSLLDRVHELLGKGVSLQLISAVHTDPANGLRGKLGKPVYLEKWVTTNTPLTAGETKLDVEFEWDESMGVPGAFMIKNNHHSQFYLGTLTLEDVPGHGRLQFVCNSWVYPAHRYNHHRVFFSNKTYLPCQTPEPLRKYREEELVNLRGNGKGKGKLNEWDRVYDYDYYNDLGMPERGVNFARPVLGGSPALPYPRRGKTGRKPHKKDPNTESRLSLLNLNIYVPRDERFNMVKFSDFLAYAFKSLFQVLVPEIAALGDKTINEFDSFQDILDLYEGGIKLPNKDTLKKIKDCLPWEMIKELVRNDGERLMKFPMPAVINDNRSAWRTDEEFARETLAGVNPVVISRLEEFPPASKLDPSVYGNQNSTITKEHIEMNMNGLTAEEALKGNKLFILDHHDALMPYIRRINSTSTKTYATRTLFLLQDDGMLKPLAIELSLPHPQGDSHGAVSKVFTPAVDGIEGSIWQLAKAYAAVNDSGYHQLISHWLKTHAVIEPFIIASNRQLSVVHPIYKLLHPHFRDTMNINALARQILINAGGVLELTVFPGKYAMEMSAFVYKNWVFTDQALPVDLIKRGMVVQDSSCPFGLKLLIEDYPYAVDGLEIWSAIETWVTEYCSFYYPSDEVLKEDTEIQSWWTEIRNEGHGDLKHELWWPEMKTRAELIQACTIIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRFMPEPGTDEYAELEKDPDLAFLKTITPQFQTLLGVSLIEILSRHTTDEIYLGQRDTPEWTSDNEPLAAFERFGKKLVEIEKRVTERNNDGRLKNRIGAVKVPYTLLFPNTSDYSKEGGLTGKGIPNSISI >KJB27865 pep chromosome:Graimondii2_0_v6:5:994717:995925:-1 gene:B456_005G013700 transcript:KJB27865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFVDTDTVLKWLLDSAQAIEDGNLKRADAFLQLILILADETPDKFRVQSRVVKYFAEALVRRAYGLHPASSNFTFPVNPAPYLRSRNSIDGVIKKVIDDALMGNRRLHLIDFSIPRNYCFEDSVLCTLPNFSGDPLPVRVSYILPPFQAKYVLSSQMEFLTRDAREVNVKLEDEPKVVYANSLAEVGECEIDFKRSRDDEMVVVYYKFKLEKLVRDAKAMERELVRLKEINPTIVIMLDFYSNHTHSNFLTCFKDSFQYSLKTLDCWAESEFVVDEYKWELSRDVGEGNNVIRGYQTLSEWQRLFSMAGFTRIPLNHNEDNLGDEKIMREEEECLILGNKRCPMFFLSAWKPKVEDGHFNSFSTDHKFGQGTFLFIFSNYID >KJB32335 pep chromosome:Graimondii2_0_v6:5:61819341:61820906:1 gene:B456_005G236200 transcript:KJB32335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILTYNTISFLFLYFFVSVLCQNGSDISPISSPSPFGVSTKQLIFKDRRLAVVYPIIQAFKATITEDPLNRTGNWVGGDICNYTGFYCDNPPDNLSAIALAGIDFNGFLLGAPTLEGFIDKLPDLAIFHANSNKFAGSIPDVSQLKYFYELDVSNNVHAGTFPMTVIGVKDLSFVDIRFNLFSGSVPPEIFNQALEVLFINNNDFTAKLPENFGSTPVRYLTLANNKLTGSIPRSIGNLNMTLIEVLLLNNKLTGCLPYEVGFLKELKLFDVEDNLLTGPLPCSLSCLDKIELLNLANNLFYWEVPEELCALVNLENLTLSGNYFTKVGPACRKLIKNGILDMTQNCVPDLPHQRSLLECTEFYLKYIRFCPYPETYKIIPCKDGYHHHWEGSKAKTMKAPVTYKTLSRHKF >KJB31534 pep chromosome:Graimondii2_0_v6:5:56620669:56621046:-1 gene:B456_005G195000 transcript:KJB31534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYQGDYYWGYYMPTRSIGSDPMERVVKLASEKAVVIFSLSSCCMCHAVKRLFCGMGVNPTVYELDQDPRGKDLETALMRLLGNSQPVPVVFIGGKLIGAMDRVMASHINGTLVPLLKEAGALWL >KJB32613 pep chromosome:Graimondii2_0_v6:5:62894534:62895428:-1 gene:B456_005G249300 transcript:KJB32613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGKSSKLPQTAVLKQILKRCSSLGKKPHGGGYDDVGLTPPLDVPKGHFAVYVGENRSRYIVPISFLTHPEFQCLLRRAEEEFGFDHDMGLTIPCEEVVFRSLTSTLR >KJB29334 pep chromosome:Graimondii2_0_v6:5:13499229:13501868:1 gene:B456_005G095200 transcript:KJB29334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPASDPNNIPEAKQSTTSSDSTLVPPLSSDYAPYPKLDPKDVAPPPENWTNVSMGSQSQPNQGPATFSGSAATTMPAESNPYVSPAPVRSSSVKTDKMGAVKEVLGKLGKKAAEDTKKAKEIAGNMWQHLKTGPSFADAAVGRIAQGTKVLAEGGYDKIFRTTFETAPEEQLLKTYACYLSTSAGPVMGVLYLSSQKLAFCSDNPLSYQVGAQTQWSYYKVAIPLHQLRAVNPSTSKANPAEKYIQIISVDNHEFWFMGFVHYDSAVKNLQRPLQPARSS >KJB29333 pep chromosome:Graimondii2_0_v6:5:13499091:13501896:1 gene:B456_005G095200 transcript:KJB29333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPASDPNNIPEAKQSTTSSDSTLVPPLSSDYAPYPKLDPKDVAPPPENWTNVSMGSQSQPNQGPATFSGSAATTMPAESNPYVSPAPVRSSSVKNKMGAVKEVLGKLGKKAAEDTKKAKEIAGNMWQHLKTGPSFADAAVGRIAQGTKVLAEGGYDKIFRTTFETAPEEQLLKTYACYLSTSAGPVMGVLYLSSQKLAFCSDNPLSYQVGAQTQWSYYKVAIPLHQLRAVNPSTSKANPAEKYIQIISVDNHEFWFMGFVHYDSAVKNLQRPLQPARSS >KJB28410 pep chromosome:Graimondii2_0_v6:5:4874234:4875212:-1 gene:B456_005G049500 transcript:KJB28410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTHLLIFLLGLAALATPSFGTYESPNYGKPPTPVYKPPTVKPPPYEHKPPVYEPPKKEKPEPKPPVYTPPKKEKPEPKPPVYESPKKEKPEPKPPVYTPPKKEKPEHKPPVYEPPKKEKPEPKPPVYTPPKKEKPEPKPPVYEPPKKEKPKPKPPVYTPPKKEKPEPKPPVYQPPKKPPVYEPKPPKPPVYVPPKKEKPGPKPPVYEHPKKPPYGHYPGHPPLGKPQ >KJB28085 pep chromosome:Graimondii2_0_v6:5:2361518:2365096:1 gene:B456_005G026700 transcript:KJB28085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIDHRHFLYPFLLLSAVLCAADDGAVIVKLASSLSPLPRGWSSTSSDNYCNWSGIKCASNRVTSINLASKSLSGSLPADISTLSELRTVSLQHNSLSGSIPSFANLSNLQSIYLDGNSFTSVTPNAFFGLTSLQTLSLSENTKLSPWTFPDLSQSTSLVEVQLDNTNLYGTLPDVFQSLNSLQSIRLSYNNLNGTLPASLAGSMIQNLWINNQNVGFTGTLDVLSNMTDLLQVWVHKNMFTGQIPDLSKSMGIFDIQLRENLLTGPVPGSLTNLPSLKNISLSNNKLQGPFPKFPNTVENIAVNGTNNFCNSNGDPCDPQVTTLLEIAGGFGYPVFLSDDWPGNDACQWVFVRCDSQGNVITVNLAKKNLVGTISPAIANLTKLKNLNLNDNNLTGSIPDGLIKLSSLQLIDVSNNNLTGDIPKFSASVKFAYSGNILLGKSSGSGGGGTSGSGGSSGGSSGSSKSGSNGKNSVALIVGIVIGVVVFVAVVCFVSYKYVMNKKYGKFGVMDGNASDAEKGVVKNREMNGYGGLPSEMQSQSSGDHSDCYFFEDGNVAISIHVLREVTDNFSEANILGRGGFGIVYKGELQDGTQIAVKRMECAAKGTKGLNEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLGQHLFEWRENGYAPLTWKQRVTIALDVARGVEYLHSLAQQSFIHRDLKPNNILLTDDMRAKVADFGLVKNAPEGKYSLETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEIITGRQALDVTLPDEKSHLVTWFRRVLVNKDNIPKVVDETINCDNEETMAMIFKVAELAGHCTAREPYQRPDMGHAVNVLGPLVEQWKPTTQEDDGNTGIELNMSLPQFLQKWQADEGTSTMYGDFSYSETQTSIPAKPSGFSATFSSSDGR >KJB32937 pep chromosome:Graimondii2_0_v6:5:64073403:64077179:1 gene:B456_005G268900 transcript:KJB32937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSQNGEKTDRKMMERRNMGGRNIAVATLSMILGLFLIHVYGDNSRVGKFSAAPYHDQMRQLQAFKASLIGRQSVSGSPISPSSSPLQEAIGPRVYHVTNYGADPTGKSDSTEALNKAIADAFQVPSEGFLMEGITNLGGPQINLEGGNYLISKPLQLVAAGAGNLMIHGGTLRASDDFPVDGYLIDLSPNSWASSTEEEIREGSSLKLNLQLTSSSSSYNYEYITLRDLMLDSNYRGGGISVINSLRTSIDNCYIAHFSTNGILVQGGHETYIRNSFLGQHITAGGDAGERNYTGTAINLMGNDNAVTDVVIFSAAIGIMASGQANTFSGVHCYNKATGFGGTGIYLKLPGLTQTRIVNSYLDYTGIVAEDPVQLHISSSFFLGDAFILLKSINGVANGVTIVDNMFSGSNKGVDIVQLEGPFTQIDQVVVDRNSAKGMNIKATVARGSVEGNSSSWTVDFNPVLLFPNLIKHVQYSLSSSRGSSFPSHALRNVSENQVVIESDLAVPANVFVTVDQA >KJB32402 pep chromosome:Graimondii2_0_v6:5:63257162:63258262:1 gene:B456_005G255300 transcript:KJB32402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPRIEKPKKNLDHPSINSHSPAFLLHNNGFSSCLDIEMIAVKSVSYISLKDLMPAASSSSQSSPPQQAAAAVSSPIKSSNSSWNEIPIKNPLVKQAALAYLQPMSSQPPAGEKGLLEKIKDKCCGECGCVSWIYDVVWRNIKEVFWGIREDFNGDYYDDDEDDDKVD >KJB28074 pep chromosome:Graimondii2_0_v6:5:2257791:2259200:-1 gene:B456_005G025500 transcript:KJB28074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHPHFIVVTYPAQGHINPTLQFAQHLIRIGVRVTYITSISASRRMTKVPTAEGLSFLPFSDGYDDGIKPGDDIDHYLSEFKRRGKDAISEFITSSENEGKPITCIVYSLCLHWAAEVARKHHIPSALLWIQPATVFDIYYFYFNGYESAIKAQADETNPKCSIKLPGLPPLFTRDLPSFVIASNVYQSALSLFQEQMDVLAEEPNAKILINTFDALEHEALNAIEKFKTVGIGPLVPSSFFNSKDALDNSLRADLFQSDSKNYIQWLDSKPKSAVVYVSFGSMAALKKQQVEEIARALISSRRPFLWVVRNRKDRGEEEKEEDKLTCREELEQFGMVVPWCSQVEVLSHPSLGCFVTHCGWNSTLESLVAGVPVVAFPQWTDQGTSAKLIEDVWGTGVRVSGNEEGIVERDEIVRCLDLVMGDDEKGMEVKKNVEKWKGLAREVAMEGGSMDMNLKAFVDDVAQGYCK >KJB32500 pep chromosome:Graimondii2_0_v6:5:62392157:62396805:-1 gene:B456_005G243200 transcript:KJB32500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKLVERLEAAVARLEALAAGGGISARGLPDSGGDDMSSDPSIVAFDDLMDQYAAKVSGAAEKIGGQVLDVSKLLLKAFSVEKKLLMEIKQTQKPDMAGLAEFLKPLNEVIMKVNSMAEARGSEFINHFKSAAESLSALAWIAYTGKDCGMSMPIAHVEESWQAAEFYNNKVLVEYKTKDPNHVEWAKALKELYLPGLRGYVKSHYPLGPVWNASGVKVSAALSKAPQSGAPAPPCPPPASHFTSEPSKASSSQPKQGMSAVFQELSSGNVTASLRKVTDDMKAKNRTDRTGVVSTSEKQTSSTPSTTTPTVKKVAPPKLELQMGRKWAVENHIGNKNLVIDDCDAKQSVYVFGCKDSVLQIQGKVNNITLDKCTKMGVLFKDVVAAFEIVNCNGVEAQCQGSAPTISVDNTSGAQLYLSKDSLEAAITTAKSIDINVLVPGATSDADWAEHPLPQQYIHVYKNGQFETSPVSHSGA >KJB27483 pep chromosome:Graimondii2_0_v6:5:48928123:48928750:-1 gene:B456_005G166200 transcript:KJB27483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKELDVYHQSGNSKIPTIEDALKLISASVRQVILDAKVGPPSYEKGLANDILSTVEKMQCKNCLIWAKSDSLVRDIIKLSSDVAVRR >KJB27907 pep chromosome:Graimondii2_0_v6:5:1177349:1180804:-1 gene:B456_005G016000 transcript:KJB27907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSSPVPYGYQFRPSRQEIFIRFLFPIVNGECLPSSPLIQVDIYGENKEPWNIFDKNSKTPYWVFTKLKKKSRLRIDRTAGSGCWLARNTKEVYDDGGKLLGYHKYFTFSCKNDEWMNQDNGHWIMHEFSLKREESNDYVICEIRNKNAADLDSDSKLNTKKRRILSSEKNHEEMNLPSKPNDEEMGLISIFSESDHKDLSSDVTFETRTRNDGLDLNLNLKLNDEDLRLYVNHEDPKIINKDVAGRNLNLSKLESDDEEIESISRSSNHEDLSSDVTFEIRNRNDDLGLNLNLKSNDEDGKKRYLRQNIPIENLCDLRRWEPAGLRSVEASEGPAAEESTDEEILNLGVLKMRKWRERERNRRKSQRMGDAMKSLENRTLDSKREIDILAALDKMKSRKSRHATVSVDSMLDALQRTAAEKAAMFLTLMSSLMQKPKEFVPRISDDVFIYDEDLNCLSNGLNRRKVSEESSSDPARFKSSVVKKKPKK >KJB32242 pep chromosome:Graimondii2_0_v6:5:61433948:61435082:-1 gene:B456_005G231900 transcript:KJB32242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMGTLLEGDWSCFSGMYTTDQEADFMAQLLSNCPQLPDIDMSNYLSDSNPVFVTNNSPISMDFCMEDGTKTSFFLVEPDDCLDPEMGKNGNVEKEPKPEPEKKSSNKRSRNSGDVHVQKSKRNGRSKKNQTIAANDDEDGNGGLNGQSWASCSSEDDSNGGASSGSKGETTLNLNGKTRASRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGIDLKRT >KJB28184 pep chromosome:Graimondii2_0_v6:5:2941866:2943779:1 gene:B456_005G032700 transcript:KJB28184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHFSTLPWSFRFVFYTNNQTISVTSCRLDKKSKSMLNCCNFRKSIILPKFSTINYLVKFFTAFNITTTVYSFASILNYVKIAQHTQLPL >KJB32703 pep chromosome:Graimondii2_0_v6:5:63349316:63349771:-1 gene:B456_005G256800 transcript:KJB32703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTKKLIRKERKWQKIASIGRKRIASARTNTKIAAAAANDYNRSSEVVKGCFVIFTMDKRRFLIPLAFLSICIFRELFKLSEEEFGLPSDGPITFPCDTVFMNYIVFIAKQGLSKDLERSVVNSISTYHCSSDTYFNQGHEDPKSLVCEF >KJB29915 pep chromosome:Graimondii2_0_v6:5:27487572:27489670:1 gene:B456_005G123400 transcript:KJB29915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGLKKHLKRLNAPRHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTSEDFRLLYDTKGRFCLHAITSDETKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLENNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDAAGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLAAQATV >KJB29916 pep chromosome:Graimondii2_0_v6:5:27487961:27489596:1 gene:B456_005G123400 transcript:KJB29916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTSEDFRLLYDTKGRFCLHAITSDETKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLENNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDAAGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLAAQATV >KJB31466 pep chromosome:Graimondii2_0_v6:5:56257115:56264240:-1 gene:B456_005G193100 transcript:KJB31466 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEAF RUST 10 DISEASE-RESISTANCE LOCUS RECEPTOR-LIKE PROTEIN KINASE-like 1.1 [Source:Projected from Arabidopsis thaliana (AT1G25390) UniProtKB/Swiss-Prot;Acc:Q9C6K9] MNQIRSIHLASPLLPALNLVLFSFIFLPTTAFAVDESFTICRVPRYCGKLNIKFPFFIQGRDDPRCGYPGFEIHCRNNTKAILSLGDGNYSIDNIFYTNQSVLVSRAVRFETDSICNHKIRNVSLPTDHYQLPPEITKIVFLFNCNLTSFPKLSPHKIGCPAENETNSTLALFNSDPQLKFASENCKERVVTPVGFDQGEELPVEGPLNISLLNRGFVLNLTVSNCTICENSGGKCGFDYSTRHFKCFCPDRPHAWHCTHGANTLGLKLGLGIGGSVTVMILILCIFVVRRRPSSSNFLRGKSPSHLSSTSDLENNATVCFGLPIFSHTELVEATNNFDDDKVLGDGGFGTVYFGKLRDGREVAIKRLYQHNYRRLEQFMNEVEILTRLRHKNLVSLYGCTSRRSRELILVYEFVPNGTVADHLHGDHAQSGLPAWPVRMSIAIETATALAYLHASDIIHRDVKTNNILLDENFSVKVADFGLSRLFPNDVTHISTAPQGTPGYVDPEYHQCYQLTEKSDVYSFGVVLIELISSMPAVDINRHRHEINLANLAISKIQKCAFDELVDPNLGYKSCEQVARMTTLVAELAFRCLQQEKELRPSMEEVLEELQRIKSEAYESENVQQEEHSDSEVPMSVGQPPSPPIGDQIVLLKNIHLPPSPVSVADKWISQRTTPNSSE >KJB31547 pep chromosome:Graimondii2_0_v6:5:56817807:56823971:1 gene:B456_005G195700 transcript:KJB31547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSLRRLRGLAHHKHGGEAKQRPDVLALPQLDELAQASQDMQDMRDCYEGLLYAAAATTNCAYEFSDSLREMGTCLLAKTALNDDEESGKVLLMLGKVQFKLQKLLDSYRSHISQTITIPSESLLNELRTVEEMKRQCDEKRNVYEHMAMRYKEKGRSKGRKGDNFSMQQLQVAHDEYDDEATLFVFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKALKSLEEVEPHVQKVTEQQHIDYRFSGLEDDDGDNGDDNENYENDDDDYYSDGYVDEDDGELSFDYGLDDQDQNMVPTSRHSMELDQVGLTFPQVAVLEASKENLERSHRHSFSFRGEIRNSSQSAPLFAENKSEPSEKIQPLPSRKSSSYVLPTPVATKGSIGFGKPAPQSYKKICYSSPLELHKYQRLLRDEKISGSAVINAQAVLRESNKPASSTQLPPPLADKVLLSRVSPAAASDSKKIKRQAFSGPLTSKQWPSKPVSVEHPQLFSGPILRSPMSQLQATSPKVSPNASPPFVSSPKISELHELPRPPATSASKSSRPLGLVGYSGPLMPRGQVLSATNKSAVSRAASPLPQPPDVVTRSFSIPSRDRRVMSLPVFKPLETAIVSGMSQDAASPPLTPISLAQIQPSSTSSKSVNRN >KJB31546 pep chromosome:Graimondii2_0_v6:5:56817807:56823225:1 gene:B456_005G195700 transcript:KJB31546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSLRRLRGLAHHKHGGEAKQRPDVLALPQLDELAQASQDMQDMRDCYEGLLYAAAATTNCAYEFSDSLREMGTCLLAKTALNDDEESGKVLLMLGKVQFKLQKLLDSYRSHISQTITIPSESLLNELRTVEEMKRQCDEKRNVYEHMAMRYKEKGRSKGRKGDNFSMQQLQVAHDEYDDEATLFVFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKALKSLEEVEPHVQKVTEQQHIDYRFSGLEDDDGDNGDDNENYENDDDDYYSDGYVDEDDGELSFDYGLDDQDQNMVPTSRHSMELDQVGLTFPQVAVLEASKENLERSHRHSFSFRGEIRNSSQSAPLFAENKSEPSEKIQPLPSRKSSSYVLPTPVATKGSIGFGKPAPQSYKKICYSSPLELHKYQRLLRDEKISGSAVINAQAVLRESNKPASSTQLPPPLADKVLLSRVSPAAASDSKKIKRQAFSGPLTSKQWPSKPVSVEHPQLFSGPILRSPMSQLQATSPKVSPNASPPFVSSPKISELHELPRPPATSASKSSRPLGLVGYSGPLMPRGQVLSATNKSAVSRAASPLPQPPDVVTRSFSIPSRDRRVMSLPVFKPLETAIVSGMSQDAASPPLTPISLAQIQPSSTSSKSVNR >KJB32831 pep chromosome:Graimondii2_0_v6:5:63780408:63780413:1 gene:B456_005G2642002 transcript:KJB32831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MA >KJB32152 pep chromosome:Graimondii2_0_v6:5:60882600:60882893:-1 gene:B456_005G226500 transcript:KJB32152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HYITQGTITPIERSIEFANKFPDQILDKVQLQRFLGSLNYVIVFYPSLSKLCKSLYDRLKKNPQPWTNNHTNIITQIKKQITKLPCLYLADPNALRL >KJB27962 pep chromosome:Graimondii2_0_v6:5:1486597:1488639:-1 gene:B456_005G018800 transcript:KJB27962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKNYGHHHSLLLLDEEQLINNQRGVADCSKCGEKVSTPCFSCAEYCGFYLHKVCAAAPLEINHPFHRDHPLVLMQKPPYSFGTYICDFCDKTCEKFFYHCPDLDFHIKCALFTFNIAENNLKELEHFVCQDPLVSTKNDDEELEEVRKCFGCWEPLANYTHFSPYCGFNLHKKCAELPLELSNICHCQHRLVLQFNSERLSCKICKLTQKRGFVYGCSSCKLVFHIECLSPPLDLAIEDESHQHTFTRLLRRVPYICDACGIEGIYNAYICCTCNIMVHKRCTSLPRIIKSKWHDHCIFHKYFLRNDFKSSSCTICHDEVNPKHGSYSCSYCNIKFHLRCVTEEKSLYSVVSLENEYEISNGGLEILSDKSVESATCVFERNDAGEATKIKHFKHLHDLKLSPFVGGYENNCDGCMLPISEPFYYCLECVFFLHKVCAELPKVKHVWHHPCQQPLSLISNKAFCCGMCWHVSNAFAYECCKCETKICLRCMIAFTPGARTCLKHEHPLFFYRDYKGRCNACSLPTWAAFCCKDCNFVLHRGCFSLPITAHHKCDVHLLSLTDHDDNSYSESHYCDICEESRDPNRWFYHCAACDTSAHIGCVLGQYPFFKLGSIHEDKDHPHPLTIVKKIYYYPECDKCGKLCEDLALECSKSDCKYIIHWNCATSRSLQRWWEWLL >KJB28975 pep chromosome:Graimondii2_0_v6:5:8972399:8973886:-1 gene:B456_005G078100 transcript:KJB28975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGSQSHHQATEMSAMVSALTHVVSGQRFAAWDCYPFNMDATCFQQTQTGSASGLAPCKIGQKRGRAEDVTAHLVQTSSHAHSSSPATSVTEEIETAATTSMETAGEAAAASNEETGEPRRRYRGVRQRPWGKWAAEIRDPNKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENVRVVVPQPMQNFPTTQTPVSSSQTTHFPSYYQSPPTADMLRDYWQYSQLLQSCNDFHEQQATSLLNQMIQSSQLPNVQPPLLSSSLSPPLLSSPSSSASASFPLLFGEQHQMGISRPPFNQTQVSQSDFPVPPPPWSHPGHHSSSTC >KJB32455 pep chromosome:Graimondii2_0_v6:5:62260116:62264395:1 gene:B456_005G241700 transcript:KJB32455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MEENMGKMMMEGRSLAETPTYTVATVITVLVFVCFLVERSIYRFGKWLKKTRRKALFASLEKIKEELMLLGLISLLLAQWARWISEICVDSSLFSSRFYTCSEMDYDMKYNMFLESTSSFPNESVVPPKGLNTLASHQCGEGREPFVSFEGLEQLHRFLFVLGITHVLYSCLAVGLAMSKIYSWRKWENQANSIADGNLQAKRNKVMRRQTTFVFHHTSHPWSRSRILIWMLCFLRQFKSSIRKSDYLALRLGFITKHKLPLSYDFHKYMVRSMEDEFHGMLGISWALWGYAIICIFVNIHGLNIYFWLSFIPAILVMLVGTKLQHVVSSLALEIKEQTGPPTGAQVKPRDDLFWFGKPDILLRLIQFIIFQNAFEMATFLWSLWGFEQRSCFMKNHLMIVLRLTSGVLVQFWCSYSTVPLNVIVTQMGSRCKKALVAESVRESLHSWRKRVKQKSKRESVHSHTARSVCSLESIIDDERDEITVGTLSRSSSMESLNQVTITSSEQAAAILGTSNAAQDAVSSRVEEYLSESINITTSQPLPIDEEEDNQGTAEETKVDTLYDLFQRT >KJB29316 pep chromosome:Graimondii2_0_v6:5:13140164:13141765:1 gene:B456_005G093800 transcript:KJB29316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIEKISLPPNISYGYFFSLLPLYFLFCCFTYSSFVPPLIYSFMGSLRDIAIGPVAVVSLLLGSMLSNEIDPNQNEAEYLRLAFTATFFAGITQVTLGFLRLGFLIDFLSHAAIIGFMAGAAITIALQQLKGLLGIQKFTKKTYIISVMRSVWGCVHHGWNWQTILIGVSFLSFLLLTKYIGKKNKKFFWVPAIAPLISVILSTFFVYITHAEKKGVQIVKNIEKGINPSSVNQIYFTGDYLLIGLRHEYIIAGDMNMD >KJB28554 pep chromosome:Graimondii2_0_v6:5:5601688:5603713:1 gene:B456_005G055200 transcript:KJB28554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCSQDLAPLLGVNGTGAADYICGKFSDASYAVDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAATGGLFYYLFGFAFAFGSPSNGFIGRHNFALESIPSSLFDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWAADGWASALRTDDFLFGSGVIDFAGSGVVHIVGGVAGLWGALIEGPRIGRFDHSGRSVALRGHSATLVVLGTFMLWFGWYGFNPGSFNKISSFYTSGNYYGQWSAVGRTAVTTTLAGCTAALTTLFGKRILTGHWNVTDVCNGLLGGFAAITSGCSVVEPWAAIICGFVAALVLIGCNKLAEKVKYDDPLEAAQLHGGCGAWGVIFTGLFASEKLVKQVYPSRPAKYGLFMGGGGKLFAAQIIQILVIVGWVSATMGTLFYFLHKFGLLRISVEDEMAGMDLTRHGGLAYVYHDEDESQKNGIQMRKIESFPTPPSV >KJB31272 pep chromosome:Graimondii2_0_v6:5:53500256:53502826:1 gene:B456_005G183100 transcript:KJB31272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVFVLFATLDFAFSLGSVGNCILDIKNPSPTTEPNCELGNWGGFINSSCCGGAFEQYLLALGRRASLTKAIYLNSTQQSNCLTAMASFEKDVYGCGIQKLTSGASSCSDYTITDVIDKLGDRFRNFQEDCKVLGTGGVVEHLCNSCLRRWEEINGSSDYKQQPASEDDDDICRFAALVSMISNRVEDENWVYAVFQCLRGSAFSLGNHIFQCFWVLIGGLAGALIIVVIATWICYRKRKEQSLGKGSFSAKFFNLPKNRLLINIAHHRKVYKGLLSNGQYVAIKHIINDGQIETFVREVRSLSHIKHPNLVALVGYCENEDECFLVYELCHHGNLSEWLYGKDKGLSWIQRLKIAIDSARGLWFLHTYPEGSIVHRDIKPTNILINDKFQAKLADFGLSKVMDIGQSHVSSEVRGTFGYVDPEYRRNHRVNVSGDVYSFGIVLLQLFSGQRVLNMNLHRPLPLSKMAKVLTREGDTTKFADPKLNGEYSLEAFDLVLKLALSCIGIKQERPSMEQVVLRLQKALNISIQAKPVASRGKIIY >KJB32726 pep chromosome:Graimondii2_0_v6:5:63404424:63406327:1 gene:B456_005G257800 transcript:KJB32726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSSMDDNATPKIELPGFRFHPTEEELLEFYLKNMIYDKKLRYDIIGYHNIYHHDPWDLPGLSKIGEREWYFYVPRDRKHGSGGRPNRTTANGFWKATGSDRKIVSLSDPKRIIGLKKTLVFYKGRAPRGCKTDWVMNEYRLPDGCSLPKDVVLCKVYRKATSLKVLEQRAAMEEELKAIKNTSRSSPLSSMETISFCSPKEAQVPAPQMFCKKENEEEQEQETMVEKNRCLQLPLGSEKLPELQMPKVLSDWTQDQFWTQLNSPWFQNLTTYANILNF >KJB27697 pep chromosome:Graimondii2_0_v6:5:307229:308143:-1 gene:B456_005G005200 transcript:KJB27697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSRFKLSDMFPNVWFYKLKDMNKTKHHEKKQHRPSSSKQEQPHLNPRKSYHFTRELVPIHVSPDQPLRKSTKKRNPRRNFRSPPSKVLTSSVSAGCSCRETIKNKPDSPPEYSASSSSSLSSSDDSSLPQESFDNLVWSSSKANDDIISDADVKKIDEFLPELELPPIVTKPAKFNDMVKDIKNKTKKFGHSPSGVKLRVNSPKIANRRLVQGHARRSISSNSSSSSSRRSLSESFAVVKSSVDPQRDFRESMVEMIMENNIRASKDLEDLLACYLSLNSDEYHEIIIKVFKQIWFDLIDVR >KJB28626 pep chromosome:Graimondii2_0_v6:5:6139723:6144893:-1 gene:B456_005G059000 transcript:KJB28626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPYSKNIIDFFKLLYNVTNNPLSNNPSLFFTIRSFSNASLDQSRSFTVSYLTNNLGFSPESALKASKCVRFKTPQNADTVIAFLEKYGFSDTQIRKIIEVRPHLLRSDLEKTILPKIHFFQSKGGANPDISKLLLHNPRLFSHSLKKLIIPCFNQLSSFLQSDSKAIIALRRNPFLIPCNFDVYMLPNVKTLLDNGVPESNITTMFNYHPRAFVMSPDQFKEIVKDVKEMGFNPLLLKFLHAVILFRKVSKSAMEGKFDVYKKWGWSDEEIWEAFRKFPGVLEPSKEKITAIMDFLVNEMGFESLIIANHPSIVSRSLEKLIVPRALFARELLSKGLIKDLRFTVVFGTSEKLLSKGLIKDLKFSVVFGTSEKLFVQRFVNQYKDKAPELLKLYEEKLKFAVRGKYKSNRASCRT >KJB28800 pep chromosome:Graimondii2_0_v6:5:7578588:7579049:1 gene:B456_005G070000 transcript:KJB28800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPSFFGNRRSNVFDPFSLDVWDPFRDFAVSTTRTPETSAFVDTRIDWKETPEAHVFKADVPGLKKEEVKVEVVDDRVLQISGERNVEKEDKNDTWHRVERSSGKFMRRFRLPENAKMDQVKASMENGVLTVTVPKLVVKKPDVKAVEISG >KJB32874 pep chromosome:Graimondii2_0_v6:5:63909857:63913344:-1 gene:B456_005G266000 transcript:KJB32874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEYDYLFKLLLIGDSSVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEMESFNSVKQWLNEIDRYANDSVCKLLVGNKCDLVENKVVDTQRAKAFADELGIPFLETSAKDAINVEQAFLTMAGAIKKKMGNQPTANKVAGSVQIKGKPIEQKGNCCG >KJB28276 pep chromosome:Graimondii2_0_v6:5:3762738:3764831:1 gene:B456_005G039700 transcript:KJB28276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLEIRDQALLRTLSDRILSLWLRWGLRAMVIVSLTVQLILIKLGSRRRFSDRNSKTVSFFIWTLYLFADWLAALALGTLLRSRKDEVTNPQVLLWAPFLLLHLGGPDTITAYSLSDNELWPRHFFGMCFQIGVALYVYVKFWTIATAPLIFMAIPIFIVGVIKYGERVWSLFKASSVRFRKSVFSDDKGSPLEVEHSQSPSERGTRLTLEEYLEPKQIKGKYGDLYCAFHLFQVFKPMFADLKLRIYQNLSYVFELDQNKVSAEAAFTIVEIELGFLYDLLYRKIPIVITRPGVILRFICLSFITCTLLAFFVFGNHHDSRVDIAVSYLLMVGAIFLEIYSALLHLSSDWGLYWGIGSKLVRFTKPKEGIRSMAQHSLLDYCLPPRKLNLAAVLNFFDSEDRMGKYLHTGWKDVSPELQQFIYSGLQEKRKKNAEIEFKNLSELLDERGSSVFKGMGGSSDDILWSVCEVEFTHSLLLWHVATEVVFHDDNYRYRAVQLEPYCRISKALSDYMMYLLFLCPAMLPEGIGNIRHHDTCTEAMNFALDKFQFKEAVRGLFGMDIRSRSFFIQMGSSRKSAFFEGCQIAEQLQSLVSMFQWDNQDKWKLIADLWLDMLTYAAAQCSWREHAWQLQHGEEFLTHIALLMAHLGLSKKIQIVPLPKMLEEADFEPTFHWDKLHRLTSYLA >KJB31703 pep chromosome:Graimondii2_0_v6:5:57926958:57928756:-1 gene:B456_005G202300 transcript:KJB31703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFDAMNNDGGEDINSSSRPFDDDAYMGYDSSSFPPPPPGQAFPPHDLTSDTTHHVPHNLNRSYSNNLAYIAATTNNIHTNNNNIDPPSPDVYRSFRASAMDGSGIGGDGHGDDGFFASEGPVLPPPDEMREESFARREWRRQNAIHLEEKEKRERAMRDQIIAEAEEYKRSFYEKRDQNCETNKANNREREKLYMANQEKFHKESHLHYWKAIAEIIPREVATIEKKRGRKDPDKIPSVFVIQGPKPGKLTDLSRMRQILLKLKQNPPPHMMPPPKDEKAGKDGKDGKEAKNGKGSTPADSGGENKPAAAGKDAAANGGPVQPKPETSASAEADNKVKPDPDSSK >KJB28033 pep chromosome:Graimondii2_0_v6:5:1964364:1966940:1 gene:B456_005G023200 transcript:KJB28033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNNYGHQHPLLLILNQDQLIHNQSGVTHCSRCGEKVSAPCFCCAERCGFYVHKVCAEAPLELNHPFHTHHPLLLMQNAPYSSGLYICNFCDQDGSKFVYHCSCGLDFHIKCALFTFNIAENNLKELDHVALQHPLISTENGDEELGDVSKCFGCWEPLAKYTHFSPDCGFNFHEKCAKLPFKLNHECHRKHPLALQFNSKRLSCKICRETNRETDRRRIGFVYGCSPCKFAVHIECVSASLDLVVEDKSHEHPFSLFTRRSSFICDACGMEGSYASYICCTCNIMVHKKCTSLPRIIKSKWHDHRLFHIYFFPDEFTSSDCMICHDEVDPEHGSYCCSHCNITFHVRCVTEDKRSYSIVSLENEDEMPNESSIIVIESNDAGEATKIKHFKHMHNLMLGPFDGGYENNCDGCMLPISDPFYYCSECVFFLHKACAELPKMKNVWHELCREPLALISDKVFECAKCRHICNTFAYECSECESKRCLRCVIALTPGARTSLRHEHPLFFYKDYHGRCDACGNLTLGAFCCKDCNFVLHFGCFSLPITAHHKCDEHLLSLTAHNDNKYLESHYCDICEESRDTNRWFYHCAICDTSVHVNCVLGKYPFLKLGSIFEETDHPHPLTIVKKKYYYLDCNKCGKPCEDLSLECSKLECKYIVHLDCVVHYTLRCFLWWRM >KJB28912 pep chromosome:Graimondii2_0_v6:5:8538924:8541626:1 gene:B456_005G075400 transcript:KJB28912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGTGAGTGPTAAAAAAAAQKQKTMMQRVETDIANIVDNFTQLVNVARVNDPPVRNSQESFMMEMRAARMVQAADSLLKLVSELKQTAIFSGFASLNDHVERRTTEFNQQAERTDQMLARIGEEAAASLKELESHYYSSAQRTPDTA >KJB27507 pep chromosome:Graimondii2_0_v6:5:63005563:63006419:1 gene:B456_005G251500 transcript:KJB27507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLPEMMLHAKQTIRRWSRSKHQYSYRTSSSVNVPKGHFAVYVGDEEKNKRLVVPISYLKHPLFQALLNQAEQEFGFDHPLGGLMVPCAEDEFINLTSRTMHA >KJB31411 pep chromosome:Graimondii2_0_v6:5:55366177:55366497:-1 gene:B456_005G190000 transcript:KJB31411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVLTTTALEFGCTWRRSRAKSMEISPALHPIPERLKLLMLLLSLYLLITIADKDGVGEKRLQLTIRISMSLGFSPVFLNNESIAEKITTSASPLAASMVGFGGM >KJB31412 pep chromosome:Graimondii2_0_v6:5:55365684:55367888:-1 gene:B456_005G190000 transcript:KJB31412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSQGPSVGSSSRTTRMRRSCRFFCSSSITARPPAWMQKCSNASLKRSRAKSMEISPALHPIPERLKLLMLLLSLYLLITIADKDGVGEKRLQLTIRISMSLGFSPVFLNNESIAEKITTSASPLAASMVGFGGM >KJB29975 pep chromosome:Graimondii2_0_v6:5:28170634:28173071:-1 gene:B456_005G126200 transcript:KJB29975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRERLGFGFALAVLSLWCSMEVAVEAAWSLQTPVNLVWHYYKVHNTCDNAEAYIRHQVESFYKYDKTIAPKLLRLLYADCFVNGCDASVLLDGPNSEKTAPQNRGLAGFAFIDKIKTVLEARCPGVVSCADILNLATRDAVHLAGAPSYPVYTGRRDGMTSTKDSVDLPSPSISWEASLTYFRSRGLDVLDMTTLLGAHSMGRTHCSFIVDRLYNFNDTGKPDPSMKASLLEEMRKLCPPKTKKGQPDPLIFLNPASGSKYSFINSYYSRVLTNEAVLGVDQQLLYNEDTKQITEEFAAGFEDFRRSFALSMSRMGNINVLTGKEGEIRKNCRFPNKK >KJB27792 pep chromosome:Graimondii2_0_v6:5:673505:677420:-1 gene:B456_005G0097002 transcript:KJB27792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YFHELLSNSLFQDVGKDRCGNILTCKMHDLVHDLALSVSKFETLIFQENSISITDEVSHIRHLSIGYDGESLPIILTAVAPKLHSLFSEIDVFKKLSRTFTSLRVLKFSGADYILELPASLGELKHLRYMDISKTSTKVLPQSITKLYMLQTLRFMGCREIAFPDGLRNLISLKHIHFDQQSSQPIELRHLTSLQTLPMFFVRDNELHLDALECLNELGGQLKICDLQSVRDKEEAGKANLRLKTKLCKVIFEWSKFSNDTCEEVLEGLQPPSGLQSLIVWNYGGENLPSWMSRPVHGSNIGSLLLDNLMELELNNCINCKCLPPLGQLQSLKFLALRNMEQLKRIGNEFYCDGSSQCEIEVFPALKTFILRQMRNLEEWTATTAAIISIPDLVGFSSLLILDILNCHKLETIPIRGRYSSLKKLHVSLCPKLSKIGDGLSTSTSLEELKLSGCSNFSSIPDLEGFSSLRILDILDCHELETVPIEGRCSSLEKLHVSSCPKLSKIGDGLSTSTILEELKLSGCSNLSSIPDLEGFSSLQILDISDCHELETVLIRGRCSSLEKLHVSSCPKLSKIGDGMSTSTILEELKLSGCANLSSIPDLEEISSLRILDISGCHELEIVPIRGRCSSLEKLHVSSCPKLSKIGDGLSTSTSLEELKLNDCANLNSIPDLIGFSSLRILNISDCHELETIPLYDFSCPKLSKIGDRLSTSTSLEKVKPIGCANLNFIPDLEGISSLRILDISNCHELKTIPIRERCLSLEKFLISWCPKLSKIGNGLSTATYLKKLKLSHCGNLNSIPDLIGFSSLHILNISDCNNLEIVRITGRNSTLQVLEIIAYFTGVGEGLTRLLPDLLQPNRYLQSLTLSDLPDLRSIPESLGDMDFLRHLTIKRCPTLRSIPIDLLGRLDFLRRLDIGGFSEELEEFPGMDSIQHLRLQELRLLGWEKLRSLPYQLLRLTALEELEIQRFHGIEALPDWLGNLSYLKCLRIVSCDKLMYLPSERVMRSLSKLTTFIISECPRLEARCSKESGPEWSKISHICRISIMCKR >KJB30851 pep chromosome:Graimondii2_0_v6:5:47608088:47608440:-1 gene:B456_005G163800 transcript:KJB30851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAILGFVPEMLSKKKKQVLKKLPCLKCGFLRKVEEQLDVAAMGTYVWNRDSDTLSQLVSRLNDEVEHKKETIGICLERQREDHRFCL >KJB28030 pep chromosome:Graimondii2_0_v6:5:1926906:1929312:1 gene:B456_005G0229001 transcript:KJB28030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHTGRKTCISCVEAITASTHARSSPMSAALLMQGRLGFFHMCSSPSNNIVEHSFFFSPVEVSFLRRLLPLHLRIAPTAITTVKNLCHNPLGYIVELIKQAKASMTEKYLKSLAALIVIRGKRLYFSDDVESYTKKGEVGTLVPICLLAPAIERFGNELDNMFKH >KJB30350 pep chromosome:Graimondii2_0_v6:5:36157302:36161065:1 gene:B456_005G138900 transcript:KJB30350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGYQDNRWEGYVDWRGKPALRGQHGGMVAASFVLVVEVLENLAYLANSSNLVLYLSKHMHLSPSKSANDVTNFMGTAFLLALLGGFLSDTFFTTYHIYLISALIQFLGSVILAMQARTASLKPRECSPSTTPIPCHEVGGGKALMLFTGLYLVALGVGGIKGSLPTHGAEQFDANTPQGRKQRSTFFNYFVFCLSFGALIAVTFVVWVEDNMGWKWGFSISTIAVFLSIAIFLAGSAVYRNKIPFGSPLTTIGKVLVAAMLNSCMSKNPKNAIANLTTSPSYRTETSKEGDHQIPTESLKVLNRAAVNKPAYPALECSVQQVEEVKIVLKIFPIFACTIVLNCCLAQLSTFSVEQAATMNTKLGSLKIPPASLPVFPVVFIMILAPLYDHFIIPFARRVTKTEMGITHLQRIGIGLFLSMVAMAVAALVEIKRKRVATYSGLLDSTNPLPITFLWIALQYLFLGSADLFTLAGLLEFFFTEAPSSMRSLATSLSWTSLAMGYYLSSVIVSIVNNVSENSGHKPWLSGHTINGYHLERFYWLMCVLSALNFMHYLLWAMRYKYRSAGSSK >KJB28676 pep chromosome:Graimondii2_0_v6:5:6532106:6533909:1 gene:B456_005G061900 transcript:KJB28676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFAIVMMVCLVLIHGGQCKGLFNAAEALHKAKDAENGDVGPDVKAFNVLDYGAKPDGKTDTSINFRRTFEAACNFHGDAMMVIPEGEFLMGPVLFSGPCSNPSLLIIQVNGIVKAQSNMAYYRGGGDDTDWITFQAIDGLIVSGRGTFHGQGSQVWGFNDCARKSNCVRLPATLKFIKVTDAIIRGIKSIDPKGFHIMISMSRNFRIFSVDLQAPGDSPNTDGIHMSKSDLVKISKTVIATGDDCVSMIHGSTNISIKKVICGPGHGFSIGSLGHYDDEADVSGIIVKNCSLRETDNGVRIKTYKTDSPSKASGIIFQDLIMTRVRNPIIIDQEYGNTKYSQPSKVRISDVHYINIRGTSASKVAVDLLCSASNPCQGIHLDNVNLQYAGPPNDDMPFSSNCRNARVAYHGFQSPPPCR >KJB32195 pep chromosome:Graimondii2_0_v6:5:61181694:61183370:1 gene:B456_005G228900 transcript:KJB32195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHTSKKASETTSSSAAMNVSNNLHSTTELSSYEAACKLDMELQTFDTNVQARTNQVINTLAVGVEVRALSFDSLKEVTDCLLEMNQEIVKVILEFKKDIWKNQELFELVEEYFDNSLKTLDFCSALEKCLKRARDSQLLILVALQQFDEETETGGRDSGSEIKYARTLEELKNFKAAGDPFTEEFFQNFQSVYKQQMAMLEKLQLRKNKLDKKLRYIHAWRKVSSMIFVATFATVLICSVVAAAMAAPPVAAALAAAASIPLGSMGKWIDSLWKNYENALKGQKEVISSMQAGTYVAIKDLDNIRILIDRLEIEIESMLKTADFAVEEEAVKIGIDEIKKKLGIFMKNVEDLGQQADICSRDVRRARTVVLQRIIKHPNN >KJB28580 pep chromosome:Graimondii2_0_v6:5:5745679:5746315:-1 gene:B456_005G056600 transcript:KJB28580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKMLDISCFFLIEDSGDSEHDSESSSMDITTVTVAGSGGDEDDAESCSCDTIGTESLDDFYQAILKFLDDDDGDDQDLIGCNDDEDGDERPGLGMDLCREVMDGMEDRLFWETCMAVGYP >KJB30415 pep chromosome:Graimondii2_0_v6:5:38845856:38847468:-1 gene:B456_005G142300 transcript:KJB30415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKTSSDLPPGFRFHPTDEELITFYLKNQAKSKPCPVSIIPEVDIYKFDPWQLPDKAEFGEKEWYFFSPRDRKYPNGVRPNRATVSGYWKATGTDKAIYSGSKYVGVKKALVFYKGKPPKGLKTDWIMHEYRLNDSRKQITKQNGSMRLDDWVLCRIYKKKNSGGRGLDQQVEESNTPIHMTQCSNDASETQQMLKFPRTNSSVPQLLELDYMGPISQLLGENTYNSSFDYFPNTIASNTTGDHVEKLQLQEIPCQYTDSGKLQVNENGFLNQQVYMNPMLYQFQ >KJB27549 pep chromosome:Graimondii2_0_v6:5:10341049:10342065:1 gene:B456_005G084000 transcript:KJB27549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGSGSASQAKFWVALLFCFLVFWENVDAATYTVGGSNGWTFNMATWPRGKRFRAGDTLFFKYDATIHNVVAVNRGGYRSCITPAGAKVYKSGKDEVKLGKGMNYFICNIAGHCESGMKIAINAV >KJB29749 pep chromosome:Graimondii2_0_v6:5:23372909:23380421:-1 gene:B456_005G116900 transcript:KJB29749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYHTGQSLDQINQDTDRNFFMSVKEAKEYGLINGVIMNPLKALHPLAPTADSNE >KJB29892 pep chromosome:Graimondii2_0_v6:5:27621241:27628316:-1 gene:B456_005G123900 transcript:KJB29892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVEETAKGVSDLAVGSASPAETQSKNARKKELKNKQREEERRRKEEEKAAKQAAAKASSHSQKSAGADDEDMDPTQFHENRLKFLAAQKAEGKNPYPHKFFASISIIEYIDKYGSLANGEHIEDISVSLAGRIMSKRSSSSKLFFYDLHGDGAKVQVMADASKSGLDEAEFAKFHSSVKRGDIVGVTGFPGKTKRGELSIFPKSFTVLSHCLHMMPRQKAGPDASVKKTDLWVPGSTRNPEAYILKDQETRYRQRYLDLMLNLEVRQIFKTRSKIISYVRSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMKLYMRIAPELYLKELVVGGLNRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMDLTEKMLSGMVKELTGGYKIKYHSNGLENDPIEIDFTPPFRRLDMVEELEKMANLNIPKDFSSDEANKYLVDACAKFEIKCPPPQTTARLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELCNAYTELNDPVVQRQRFAEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLAMLLTDSQNIKEVLLFPAMKPQDEPSAKGNQFDITLLSLPHHLILFCRPCLNMECCLVFIFGFVNGI >KJB29980 pep chromosome:Graimondii2_0_v6:5:28445810:28449808:1 gene:B456_005G126700 transcript:KJB29980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGVHGDVNGGMVLNSVQAEASSMENENETGENPVEETFQKELNEKVEQISPGRDDIPEGIPADEPYVGQEFESEAAAHAFYNAYATRVGFIIRVSKLSRSRRDGSAIGRALVCNKEGFRMPDKREKIVRQRAETRVGCRAMILVRKVSSGKWVVTKFVKEHTHPLAPGKGRRDCIYDQYPNEHNKIRELTQQLAIEKKRAATYKRHLELIFEQIEEHNESLSKKIQHIVDRVREIESKEQQNRV >KJB28768 pep chromosome:Graimondii2_0_v6:5:7309571:7315736:-1 gene:B456_005G068500 transcript:KJB28768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERSLSLLQLMVNEGLVPSPEEENRKTRVAWQRRLPKQDIAASSATLLTYGSYGLGLDIDAFCVGPYFATMVDDFFIVLYNMLKSRPEVSEIHYVKDAKVLLMRFEFDGISINLPFVQLKVLVVLENLDILNPVFLRDIDETGWKSLSRVLANTRSCRIVPDLKKFQSMLRCVKFWAKRRGVYGNLNGFLGGIHLAILAAFVCQCDPYVGLSALISHFFITFAFWPWPRPVELQDGMLHSTLNPTETRLYIPIRLPFSPYEYCHSNITKSTFYKIRTEFLRGHNLTKDLLKFDFDWHNVLEPFPYTKKYVRFLKIFLLASNQDEFGDWVGWIKSCFRCLLIKLEEVQGLCDPNPVEYIDVNIVDPHVIFYWGLQAGKTNAMDIKSVKDVFWKNISTGYQGPFGK >KJB31500 pep chromosome:Graimondii2_0_v6:5:56737790:56740375:1 gene:B456_005G195200 transcript:KJB31500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD3 [Source:Projected from Arabidopsis thaliana (AT5G43300) UniProtKB/Swiss-Prot;Acc:Q680A6] MALKAVHVCDVPNLDLVPENAALALCSTRFRAGVNDDKICECNIPKFLVVGHRGNGMNMLQSPDPRMKSIKENSILSFNEAAKFSIDFIEFDVQVTKDDYPVIYHDNFVLHEEKGVIVENRVTELALAEFLSYGPQKHPGSEGKPLYRKTKDGRFFEWKVEKDAPLCTLEEAFHDVDQSVGFNVELKFDDFIVYKEEELSRILKAILKVVFENAKGRQILFSSFQPDAARLIRKLQSTYPVYFLTNGGSEIYTDIRRNSLEEAIKLSLENGLQGIVSEVKAVFRNPGAVGRIKESKLSLMTYGQLK >KJB31499 pep chromosome:Graimondii2_0_v6:5:56737606:56740496:1 gene:B456_005G195200 transcript:KJB31499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD3 [Source:Projected from Arabidopsis thaliana (AT5G43300) UniProtKB/Swiss-Prot;Acc:Q680A6] MAMALKAVHVCDVPNLDLVPENAALALCSTRFRAGVNDDKICECNIPKFLVVGHRGNGMNMLQSPDPRMKSIKENSILSFNEAAKFSIDFIEFDVQVTKDDYPVIYHDNFVLHEEKGVIVENRVTELALAEFLSYGPQKHPGSEGKPLYRKTKDGRFFEWKVEKDAPLCTLEEAFHDVDQSVGFNVELKFDDFIVYKEEELSRILKAILKVVFENAKGRQILFSSFQPDAARLIRKLQSTYPVYFLTNGGSEIYTDIRRNSLEEAIKLSLENGLQGIVSEVKAVFRNPGAVGRIKESKLSLMTYGQLNNVPEVAYMQHLMGVEGVIVDLVKEISESVSDLTHAKEGEEKSMYGEEDGKKEVKAKPQFSKDELSFLLKLIPELMIQA >KJB32422 pep chromosome:Graimondii2_0_v6:5:62144166:62144749:1 gene:B456_005G240100 transcript:KJB32422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPLEQQSPPPPYGGVTQQAYTVHRGHGSVGPVIAVLTVITILGIIAAMIGRLCSGRRRIMGHAPSYDCESWTERKCSSCLDGRPDSSPPREAPVVTVPIEDTHQEIKEEKSQIRCSS >KJB32433 pep chromosome:Graimondii2_0_v6:5:62171479:62173086:1 gene:B456_005G240500 transcript:KJB32433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNGVSPNVFTYNILIRGFCSAGNLDMGLGFFSEMERDGCLPNVVTYNTLIDAHCKLKKIDDAFKLFRAMALKGLKPNLITYNVIINGLCREGRMKETSEVLHEMSQKGFVADEVTYNTLVNGYCKDGNFHQALVLHAEMVRNGLTPNVITYTSLINSMCKAGNMNRAMEFFEQMHVRGLRPNERTYTTLVNGFCQQGLLNEAFRVLNEMVRNGFSPSLVTYNALINGHCMLGKVEEGLKMIEDMVEKGIAPDIVSYSTIISGFCRYRDLEKAFQMKQEMVEKGVKLDAVTYSSLIQGLCEQSRLAEACDLFQEMLNAGVTPDEFTYTTLIYAYCKNEDIKTAFHLHDEMVQKGFLPDVVTYSVLINGLNKQARTREAKRLLLKLFYDESVPSDVMYSTLIENCGNIEFKSAVALIKGFCMKGLMNEADRVFESMLQRNHNPDEAAYNVIIHGHCRGGNIQKAYDLYKEMVKIGFVPHTVTVIALVKALFMVGKTDELSQVITNILRSCKLTDAELAKVLVEINHKEGNMDAVFN >KJB30383 pep chromosome:Graimondii2_0_v6:5:37007662:37008812:1 gene:B456_005G140300 transcript:KJB30383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQMPLKRAREETQSSDEETKRHKSYDHILSLLEAEEDEPNQDLSSLLTTLQQELSSDSVLDDPLPYPTSAALDADQKNPRPNTTAEATNTNTITGSLEDEDIQDDKEQVIRHLLEASDDELGIPNREHGFDVGVFEFEQGCNNGGNGLALCDRLWEFEDDAANYYTLLQSELFL >KJB32557 pep chromosome:Graimondii2_0_v6:5:62653251:62657895:1 gene:B456_005G246500 transcript:KJB32557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSHQWGKLRSEKRPESEHSPSTAFVSNLLYSFTNSQLEETFSDVGPIRRCFMICCCCCGCLACAATEDATRAIELKNGSSVGGRKIGVKHAVESNPRFVYDAVETKNDTDGFTSTVDGHGSRMPKLEKPVQPRKVAALCADLADKENCSEKQRVTRTVIFGGLRNTEMAEAVHRCAKESGMVCAVTYPLPKEELDQHGLAQDGCKMDASAVLFTSVKSAHVAVAKIHQKEIQGGIVWARQLGGEGAKTQKWKLIIRNLPFKAKLNEIKDMFSVAGFVWDVFFPHNSEKGYLLFIQNHLKLLSKGFAIVKFTCKQDAENAIQKFNGKMFGKRPIAVHWAVPKRLYSGGTNAAVASDDDGLQSPNFHVSKTRFVIYKLLKSMTKKELKQFCIDAVTSQATKQKPILETVKKGKVVIKNQSRGVAFDQFLEHQHALGALRVLNNNPEMFGPEHRPIVEFTVDNVQTLKLRKAKLQAQQQDASDDSNNAHQMPNDKRTRKHSEFKKSKMENTVGEGKANKKPKLNPAGEKTKPSPSKENSEGSNRNSKGSNRKSKNCKTDPKPVVGSSDNVETNVNDTHKLKSKKVEAVLKPKERTRQG >KJB28440 pep chromosome:Graimondii2_0_v6:5:4732004:4732723:1 gene:B456_005G048400 transcript:KJB28440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLEKRGNLFILTLTGKNVVHRLNPEVLSSIISALSRAKAESTRGSALVTVSHGKFFCNGFDLDWVNATGSKQEAQQRFDYSLDCLTQLVQAFISLPMPTVAAVNGHAAAAGVVIPLCHDYVVMRRDRGVLYVNDLEMGLKIPELYMAFFRAKTSGWALRDLVLRGLKIKGEELLKMGIVDAVYDGEEGVTNAGMKMADNLARRKWDGEVYAEIRKGLYSEFCGMLGIASKAIGTPKL >KJB32069 pep chromosome:Graimondii2_0_v6:5:60388697:60393356:1 gene:B456_005G221300 transcript:KJB32069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKAQMRRIENDTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPKGKLFEFASCSMQQTIERYRWHTKDNKTNKPIEQNLQHLKAESANMLKTEDLEVSRSNKLQLQAFWHM >KJB32609 pep chromosome:Graimondii2_0_v6:5:62835116:62837808:-1 gene:B456_005G248700 transcript:KJB32609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVMACCVDSAVAPPGHADAGDTSIPSPVPFLSSNAAAVTAAVANSESSHWSPAHSSAIYRIDEWGAPYFSVNSSGNVVVRPYGTGTLAHQEIDLLKIVKKVSDPKSAGGLGLRLPLIVRLPDVLKNRVESLHSAFESAIQAQCYESHYQGVYPVKCNQDRLVAEDIVKFGAPFRFGLEAGSKPELLLAMSCLCKGNPEALLVCNGFKDAEYVSLALIAKKLALNTVIVLEQEEEVNLVIDLSNKLSVRPVIGIRAKLRTKHSGHFGSTSGEKGKFGLTTTQILRLVKKLQDSGMLDCLQLLHFHIGSQIPSTALLQDGVVEATQIYSELVRLGACMKVIDIGGGLGIDYDGSKSAMRHENETCLLYADQLKQRCVEQFIEGSLRIEQLADVDGLFDLVSKVIGAAEPARIYNVNLSIFTSIPDFWSIGQVFPIVPIHRLDEKPEVRGVLSDLTCDSDGKIDKFIGGETSLLLHELEGNDGGANGRYYLGMFLGGAYQEALGGVHNLFGGPNVVSVLQSDGPQSFAVTRVMPGPSCADVLRMMQHEPELMFETLKHRAEEFHVEDHDNDGTNYATLISSLARSFHNMPYLKALSPCS >KJB28839 pep chromosome:Graimondii2_0_v6:5:7824920:7827866:-1 gene:B456_005G071900 transcript:KJB28839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESKAVIGLRWEPKLPALPFQSKTTSMSGSGSVSRSRNEPQSTSLWKPNQQLIDGLFLPPNDPSKVNKLLRKQVKDTAGTAWFDMPAPTLTPELKKDLQLLKLRGAIDPKRHYKKESKSKALPKYFQVGTVVESVTDYYSGRMTKKEKKPTLADELLSDPAVRQYRKRKVREIEERNRPAGNEKWKIKGRQTFKRAKQRRH >KJB28840 pep chromosome:Graimondii2_0_v6:5:7825079:7827591:-1 gene:B456_005G071900 transcript:KJB28840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESKAVIGLRWEPKLPALPFQSKTTSMSGSGSVSRSRNEPQSTSLWKPNQQLIDGLFLPPNDPSKVNKLLRKQVKDTAGTAWFDMPAPTLTPELKKDLQLLKLRGAIDPKRHYKKESKSKALPKYFQVGTVVESVTDYYSGRMTKKEKKPTLADELLSDPAVRQYRKRKVREIEERNRPAGNEKWKIKGRQTFKRAKQRRH >KJB27457 pep chromosome:Graimondii2_0_v6:5:62906555:62906794:-1 gene:B456_005G249500 transcript:KJB27457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRMPRIISSKKVPKGYFAVYVGENQKRFVIPVSLLNQPLFQDLLGMSEQEFGYSHPTGGITIPCNEDIFLVVTSRLN >KJB32643 pep chromosome:Graimondii2_0_v6:5:63097845:63098505:1 gene:B456_005G253200 transcript:KJB32643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESRLAGTVKWFNDQKGFGFITPTDGGDDVFVHQSSIRSDGFRSLADGEEVEFVIESSEGRSKAVDVTGPNGEPVRGSGGGGGRYGGGGGGYSGLACYNCGVAGHFARECPGNNR >KJB29642 pep chromosome:Graimondii2_0_v6:5:20817137:20817993:1 gene:B456_005G111900 transcript:KJB29642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIHCLKELGKSIMRRPKKNISRFNQLLLLNMLILKIFLLFPPQVRLHREWNKIFRPWNLMELKRILIQLSKVLWGVGVQLTDGILDPDKHSAVIFKENLHSKSREHAVGRKFEALEAGSLRNIERKNSSKVGFGWGG >KJB29383 pep chromosome:Graimondii2_0_v6:5:14726125:14726606:1 gene:B456_005G097400 transcript:KJB29383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSTKIKLRTFDQKVFKVEEAVVELYEWDANFVKVDQNTFFDLILAANCLKIESLLDLTCQTIANMIKAKRPEEIRTTFNIKNDYTPEAEEAIRREKKWAFDMLGV >KJB29531 pep chromosome:Graimondii2_0_v6:5:18755195:18756607:-1 gene:B456_005G105700 transcript:KJB29531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGRGGSNTVFEEADSLRRSQYEDMKYPDPSMPVNAPMTAADDLCLVYSNAAANCPAFVEGNRLSCEVSPLTMSPWNQTNTMEKSSWVPFDDDNTVPVNSLIGSLTREEGHIYSLAATKDLLYTGSDSKNIRVWKNLKEFTGFKSNSGLVKAIVISGEKIFTGHQDGKIRVWKSSLKNPTLHKRAGTLPTLKDILKSSIKPSNYVEVRRKRALWIKHSDAVSCLSMNEEQGLLYSASWDRSFKVWRISDSKCLESVQAHDDAVNSVVSSFGELIFTGSADGTVKVWKRELLRKGTKHTLDQTLIKQECAVTALAIITSSGPALYCGSSDGLITYRELAKDFSQGGALKGHKLAVLCLEAAGNFLFTGSADKTICIWRRDGSVHTNISVLTGHTGPVKCLAVEKDLEAKKEQRWIVYSGSLDKSVKVWSVSEFPQVGTMQSKHQHQVSYDTESFPCDGSSTSITSQHGTY >KJB31994 pep chromosome:Graimondii2_0_v6:5:60078275:60081525:-1 gene:B456_005G217900 transcript:KJB31994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKNVQFSHVSELSKNEKFGDTTLCLNFLGHGGSNKARFGTTQNDLHADLSNAPDDGCVLVLGLGPTPSVYLDNDYIVGLNKNKSTVALSTQGLLLEDDSILKLGLSGGTKGSISLLECPLSTETDVSMPHQVLAEDCRLSIPVVDEGSTSAKKSGAYMPALLFASKMDSGKGLVHAQELFQFGDKSLRYQFHQSCEPSSQSDFSVDTLSEQTTTITSSDNRASNSKKCRFVGCSKGARGATGLCIGHGGGQRCQKPGCNKGAESRTAYCKAHGGGRRCQHLGCTRSADGKTDFCIAHGGGRRCGFPRGCTKAARGKTGLCIRHGGGKRCKVEGCTRSAEGQAGLCISHGGGHRCQFQGCTKGAQGSTMFCKAHGGGKRCIFAGCTKGAEGSTPLCKGHGGGKRCLYNGGGICPKSVHGGTNFCVAHGGGKRCAVPGCTKSARGRTDCCVKHGGGKRCKVENCGKSAQGSTDFCKAHGGGKRCSWRESKCEKFARGRSGLCAAHSNMVQERQANMGGLIAPEVFHGLVAATSTRSSWNNNQSSSGTSVISDGIDFLDKPAKRQQLIPPQVLVPLSMKSSSSYSSFLSAEKQEERRNGDRMDIGGGVNNKSFDFIIPEGRVHGGGLMSLLGGNLKNPFDGV >KJB30469 pep chromosome:Graimondii2_0_v6:5:39387709:39389073:-1 gene:B456_005G145400 transcript:KJB30469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSMAITEDGALFYWVSSDPHLRCQQLYSLCEKTIVSISAGKYWAATATATCGMARKVWKNHILQLGYTELREKRSLKELILRVGHERM >KJB30729 pep chromosome:Graimondii2_0_v6:5:44811071:44814087:-1 gene:B456_005G157300 transcript:KJB30729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEECSSTSPTLHNWWDLHHASSLSSWTTNPSSWHHHQNPNSNTRNCDQDDVLSISTSLTNASIHSVLTSVDELSGGGSGTRRQLVEQPTVDPDPSNELINGEHGSDNHLWSHVLSNVGSNGADIGNNLLEAISSKSNSSAAGIFEPACDYLKKIDGNWEFSNSSVFNNFIKNMNEGFDSSSTDNNQQSSSESERLTKLSNMVSHWSIAPPDPQVNPPQFINPKSSSQDPTSLQPPAAFCGMATVKNPIFLSCYGNGNHHHDVKMETQTLDMEAPSSYFRRAFKAGNNSNGYHIHNSPINSSISTEADNFYGSMSHFPCTSNITYCRLSKPLIDIHASSKPSFISPLNLSDCKKQGLQAANSLQTRTRNGRTQGIANEGKKKRGEETSDSTTVLKKPKLENSASSSVKMHAPKVKLGDRITALQQIVSPFGKTDTASVLLEAIGYINFLQEQVQLLSNPYMKPNSHKDPWGSLDIKEQKGDIKVDLRSRGLCLVPISCTPKVYHENTASDYWSPTYRGCLYR >KJB28083 pep chromosome:Graimondii2_0_v6:5:2345315:2348063:1 gene:B456_005G026500 transcript:KJB28083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLDDQRAPLLQLQHHLYYAPNFTFSSKFDLWDVNTDCCSWEGVTCDAYGHVVGIDLSYRNLSGSFHSIFNLHRLQRLNLAGNNFNTTLFSYGFHKLQNLTHLNLSSSCFHGQIPVETSFLKRLVSLDLSNQDSYYFRYYKVLYPGFYNYYEPQQPLKLENPNFKTLIKNLRFLTELYLDSVDISTQSAKWCETTSLVLSNLRVLSLSNCGLKGPLCSSLSRLSFLSKLILDGNPISHLPPNFLEISSRLVCLSLSNCNLSGHFPTEILLLPKIQSVDISANDQLMGQLPEFPANNALQSLSLFYTNFSGKLPQSIGNLKFLTDLELFGCNFFGPIPSSIANLSHLVNLDLSSNKLSGSIHSSLFTLPSLKTLDLGENQLVGKIDEFPNASSSLIEVLYIGNNYLTGPIPKSILQLPRLEVLYIGGNSFSSMKLDMFVQLNNLRTLWLNNISLLIESDNRSLTFPQLERLSLRSCNLTEFPKFIKRQDKLVHLDLSNNHIHGVVPNWLWKSSLSSLDLSFNMIDFPKQLPSSDSVKFSSHAKVAMHDMQRRLLLGSCNISAFPEFLKSQENLEAFDASSNNLSGPIPNWLCNMSQLHTFDVSFNNLSGPIPNCLGNMSALSLLGLQGNNFSGMIPKFSKATQLRFLKVSENRLEGELPRSIAECTQLEVLDVGNNKMNDTFPFWLEKLPYLKVLILRENRFYGQIKHFKHKSVFPTLDVLDIASNQFSGELSIDFLQPTRLSFAKR >KJB28652 pep chromosome:Graimondii2_0_v6:5:6340684:6342722:-1 gene:B456_005G060700 transcript:KJB28652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translationally-controlled tumor protein 1 [Source:Projected from Arabidopsis thaliana (AT3G16640) UniProtKB/Swiss-Prot;Acc:P31265] MLVYQDLISGDELLSDSFSYKEIENGMLWEVEGKWVVQGAIDVDIGANPSAEDADEDEGVDDQSVKVVDIVDTFRLQEQPPFDKKQFVVFMKKFIKNLTPKLDAEKQESFKKNIEGATKFLLSKLKDLQFFVGESMHDDGCLVFAYYKDGAVDPTFLYFAYALKEVKC >KJB32444 pep chromosome:Graimondii2_0_v6:5:62217125:62217490:-1 gene:B456_005G241000 transcript:KJB32444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMISKQLTKSDIEKSLLMPTDSFGTFPVPFEGDPFFNVTAVDGTGKAWDFPCFVQPSEGIVSVGWLRFLSGKDVRAGDTVFLHRKPMDDGGGDLTASAKLKIEVKRKIRLMGEDIWAVLQ >KJB27818 pep chromosome:Graimondii2_0_v6:5:816168:817118:-1 gene:B456_005G011600 transcript:KJB27818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQEPVLSRKIYNLRYDIENALVYKKKVLVLAENSATNRTTQANTLDVKLSYTETNTSTWLAHFTLGLETKATFEVGVPLIGKAGVEISSKLEAGIEWGETKTTTTVMEANHQAHVPPMTKVTVYLSMTHGTCDVPFVFTQKDTFYNGTVVTTDVTGNTFTGANYYNIQYVLEQWQ >KJB29037 pep chromosome:Graimondii2_0_v6:5:9631693:9633964:1 gene:B456_005G080800 transcript:KJB29037 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase S.6 [Source:Projected from Arabidopsis thaliana (AT5G42120) UniProtKB/Swiss-Prot;Acc:Q9FHX3] MLLSCLFLFWVNLFSLFNFTFPLLPPNNITLYDGAFFSTDGICLTQETTCLPSSSPSHIGRALYAYPIRFIDLRTRDNASFTCRFSFSIIPNPVCPFGDGIVFLVTSRPDSFSFFNGYMGLPQLDDLSSQDPYFAVEFDTSFNPSLGDINGNHVGVDVNSIVSLAAVDVLSKGFDLKRGKKITAWIEYGDSAKLVQIWLSYSSSKPPSPLLVAQIDLSRQFKEYMHVGFSASNGLGSSMHLVDHWQFKTFTTYNNPMDSVELGYCSMCFPEDSSNTSSQIYGTHKRGFKIGNMALLFGSLVVSVVIIIVVPVLCFVAVRKERSGGRRSKREQTRVEMTNVPTRWSLAEIKLATMGFHRNRIVGEGASAVVYRGSVPSGGAVAVKRFDQSNRKAFTHNPFTTEFAAMAGCLKHKNLVQLQGWCCEGSELVLIYEFLANGSLDRLLHRNTDSSTFLSWSLRLKVVLGVASALTFLHEECQRQIIHRDVKSCNIMLDDEFNAKLGDFGLAEVYEHNCGSREATIPAGTIGYLAPEYVYCGIPTVKTDVYSFGVVVLEVATGKRPVDDDKTVLVDWVWDLWAQKKLLEAADSRLSGRFIVSEMERMLVVGLYCVHPNHEKRPTVKEAARILRGEAPLPVLPSTRPTVRIKSNLCKYSENILNIGRDNSPNGDDAGWLTPKSHFSKA >KJB30375 pep chromosome:Graimondii2_0_v6:5:36827019:36828737:-1 gene:B456_005G139900 transcript:KJB30375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRSRSKQSSVSSITDDQITDLVSKLQHLIPELRRRRFDKVSTSKVLQETCNYIRSLHREVEDLSDRLSQLLASTDSDSDQAAIIRSLLMQ >KJB29159 pep chromosome:Graimondii2_0_v6:5:11217841:11220957:1 gene:B456_005G087400 transcript:KJB29159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDNEIDMEDSEYGQFFNNLEQFGFHPDGNDDGDDDDDVVAAAAVAADGGGGGDDDNDGDGEEEELKKNPEYNCFLENLKLDENGESYTVQIPISSDISLVLKYEGKEEESFENVDRQTNSKSNLKREKAKVSDILGGFSRKARPDTVKRPLEIRDERSKKKLRNSPGQERQSGDNENKLEEEVEADPVACKSSGEPSKMMNYAIKDEQCTQFLVSLDKSGTKTEPSYEKGLQSTHQKNGGSCPDVEVFTLDNMPLCKGDYTPFVPSKCYQSLAGEECGDGIRSSSPSQFREQLMDLLKTPYNREEFQNLWRDVTQRKPVQGVKDLRHGRMKSYSTKTVGKSYLDWYRDLRTTVDEFRPDTRKVLCLLRGFFFWLKNTAHEGAFKPWTDRSYLKALTDQRNI >KJB29160 pep chromosome:Graimondii2_0_v6:5:11217841:11220957:1 gene:B456_005G087400 transcript:KJB29160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDNEIDMEDSEYGQFFNNLEQFGFHPDGNDDGDDDDDVVAAAAVAADGGGGGDDDNDGDGEEEELKKNPEYNCFLENLKLDENGESYTVQIPISSDISLVLKYEGKEEESFENVDRQTNSKSNLKREKAKVSDILGGFSRKARPDTVKRPLEIRDERSKKKLRNSPGQERQSGDNENKLEEEVEADPVACKSSGEPSKMMNYAIKDEQCTQFLVSLDKSGTKTEPSYEKGLQSTHQKNGGSCPDVEVFTLDNMPLCKGDYTPFVPSKCYQSLAGEECGDGIRSSSPSQFREQLMDLLKTPYNREEFQNLWRDVTQRKPVQGVKDLRHGRMKSYSTKTVGKSYLDWYRDLRTTVDEFRPDTRKVLCLLRGFFFWLKVSVLSLVHHLMLIYLKQGKASAFVLPINPF >KJB27443 pep chromosome:Graimondii2_0_v6:5:55785726:55786232:1 gene:B456_005G191300 transcript:KJB27443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQLVVLALVLIALAGLVSVDASPASGSPASAPAEASIDGSTASSSPPSQATTPSRSSGVTFDLDR >KJB32696 pep chromosome:Graimondii2_0_v6:5:63310871:63313550:1 gene:B456_005G256100 transcript:KJB32696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKTDSEATSIDTSWPPRSPPRRPVYYVQSPSNHDVEKMSYGSSPTGSPTHQYYHCSPIHHSRESSTSRFSASLKNPRSLSGWKHVKVGHGGDDVEDDDDDGGEMDGRDECKANNFRLYICLVLLFSLLFTVFSLILWGASKSYKPKIFIKHIVFESIYYQAGNDQSGVPTDMISLNSTVLISYRNPATFYTVHVASTPWELHHFQLKIASGQMKKFTQSRKSGRKVVTIVKGYQVPLYGGIPVLANTGEHKESIAVPLNLTFVMRSRGYILGRLVKTKFYGKIRCIVTLKGNKLGKPLNLTDSCIYQ >KJB31984 pep chromosome:Graimondii2_0_v6:5:60047648:60049783:-1 gene:B456_005G217400 transcript:KJB31984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNRNVNLPPGFRFYPTDEELVVHFLQRKAALLPCHPDVIPDLDLYPYDPWELDGKALGEGNQWYYYSRRTQNRNTSKGYWKPMGIDEPVVNSNSSKKVGMKKYFVFYIGESGPAGVKTNWIMQEYRLSDSDSSSSSRSSKRRGGHSKIDYSKWVVCRVYERNCSEEEDDGTELSCLDEVFLSLDDLDEISLPD >KJB28297 pep chromosome:Graimondii2_0_v6:5:3888895:3892722:-1 gene:B456_005G0408002 transcript:KJB28297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum induced protein with YGL and LRDR motifs [Source:Projected from Arabidopsis thaliana (AT5G19140) UniProtKB/TrEMBL;Acc:Q94BR2] SFAVKDEIFCMFEGALDNLGSLKQQYGLGKSATEVVLVIEAYKALRDRAPYPPSHMVAHLNGSFSFIVFDNSTSTLFVASDQVGKVPLYWGITADGHVAFADNVDLLKGACGKSLASFPQGCFFSTAVGELMSYENPKNKITAVPAEDEEMWGATYKVEGPTVAGTESPMLSF >KJB29821 pep chromosome:Graimondii2_0_v6:5:25040554:25042087:1 gene:B456_005G120000 transcript:KJB29821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEAAISKQEEGGQRDNHPMTKGRKEKRKEIKKMKRKQLRKEAAEKEREAEEAWLNDPEEQKRIAREEEEERKRRELALREFEERERAWIEAMDIKRKAQEDEEEVEKRRDDSEDANGEQRQQEDRGDDWEYVEDGPAEIIWQGNEIIVRKKKVRAPKGEAIQKCKEEDADRPTSNPLPPQSEAFSDYLNTSSAQEVLESVAKEVPNFGTEQDKAHCPFHLKTGACRFGQRCSRVHFYPDKSCTLLMRNMYNGPGLALEQDEGLE >KJB27753 pep chromosome:Graimondii2_0_v6:5:544389:549375:1 gene:B456_005G007800 transcript:KJB27753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFDQKLGPEFFKNLSAEAVTPLNVVHEEIYESSSKRPKTTSKVWDIFEKLPAQQGDSKAICKLCRRIYTAKTTSGTSHLRRHIEACVKRGNHEVDQRSIEACFKPVKRNANRLTLSHDTLIAATTSLKNYKLDVDEIHRAIAMMIIVDEQPFSVVEDAGFRRLLSAACPEFPVLSRSSIKRDIISIYVKERENIRELLATCPGRICLTSSTWKSDSDDHFNCVTTHFIDHEWRLQKRILSFKLMPPPYDSLSVADEIALCMVQWNIEHKVFSVTLENLSSDDCVADMLRSRLAAKKYLPCKGVFFHVSCFFRILNSIVQAGLNLVVDIIAKLRLGIKYVQQSPHRKKNFYIIAKTLNLDTQRKLCLDTPARWNSTYNMIEVAFCYNNAFMYLAEQDKNFLHKLSEDEWEKLSVLYKFLKVFYEVTCVFFRNRQPTSNLYFKAAWKVHSRLFDMVRGPENFMTRMVREMHSKLNQYWSAYNLILSCAAILDPRCKIKFVEYCYTKLYGSGAQKYVSVSVNTLYGLFDEYMQNSARPSQTTLLSTAASKISNDKDNNDGFEDYETFQSARFRTQVEKSQLDLYLEEPSHDLNSEIDVLEYWTLCSLRYPELSKMARDVLTIPVSTIASDSAFDISPQVISTDRSSLKPKMLQALVCLQDWMLASDRTRGLGSMESKPEDDSSSSSDGDDDY >KJB27819 pep chromosome:Graimondii2_0_v6:5:835944:836609:-1 gene:B456_005G011700 transcript:KJB27819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GMCSYLLIGFWIIQPAMANACEKVFIHFLFVIYVSLYYLSAQLLNLHSFLFMYGYLMPWKVRILPLFIVISYIMNLKSLIGIEIVLLGSTLSLAQKDIKRDLAYSTMSQLGYMMLALGMGTYRATLFHLITHAYSKALLFLVFGLIIHSMEVIGRYSP >KJB31646 pep chromosome:Graimondii2_0_v6:5:57479116:57480501:-1 gene:B456_005G200000 transcript:KJB31646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIMVKLNLAMLEDINDDMDFCLKLAKEESVITLPGIAVGLKNWLRVTFAIESCFLQEVLGRVKAFCQRHAKKQ >KJB32951 pep chromosome:Graimondii2_0_v6:5:64135168:64135578:1 gene:B456_005G2698002 transcript:KJB32951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIHLILSRSLALMPCDSLLLWELLVRFVLYYRQHDLNLSTERLTANKAFTNKLWNAGKFVLQVLPNRDNVSSWQNIEACK >KJB32645 pep chromosome:Graimondii2_0_v6:5:63112605:63114147:-1 gene:B456_005G253400 transcript:KJB32645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQHAMSQRGNVFRTHSCDSITSSLLGHVVTNVAELTSIDQDRSDRNPVGTNYPGIPEHDLRLPVMLDRPFHHSFDLLFITNIRVDVAITTTTRAP >KJB30718 pep chromosome:Graimondii2_0_v6:5:44352332:44356886:1 gene:B456_005G156700 transcript:KJB30718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYLMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCALLGSFPENFTFKTNDLKKKLTISYPCVMLNVDVARNNINDQYQTLVNPVNKTYTTHSECSIEFEVDGPYKAMIIPASKEEGILIKKRYVVFNDDGTLAELKGFEIKRRGELKLIKIFQAELFDKFLNGSTLEECYSAVAVVANRWLDLLDQDLFFSPPDGGLDESNISLEEKLRRERSRERGLGVTRYEWVKTISKNKTIMVPLPGGG >KJB32563 pep chromosome:Graimondii2_0_v6:5:62712717:62723733:-1 gene:B456_005G247200 transcript:KJB32563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHTKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHMSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKDDLVVSASLDQTVRVWDVSSLKKKGASPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRFWILAAHPEINLLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRYYDFSTQKETQIVPIRRPGSTTLNQCPRTLSYSPTENAVLICSDVDGGTYELYQIPKDSIGRSDLQEAKRGPGSSAIFVARNRFAVLDKSNNQVLIKNLKNEVVKKSGLPVPTDAIFYAGTGNLLCRSDDRVVVFDLQQRLVLGDLQTPFVKYVVWSSDMESVALLSKHSIIITSKKLVHQCTFHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYLTKVSGNKIFCLDRDGKNKTLVIDATEYIFKLSLLRKRYDHVMSMIRNSQLCGEAVIAYLQQKGFPEVALHFVKDEKTRFNLAIESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLINGNLEKLSKMLKIAEVKNDVMGQFHNALYLGDIQERVKILENAGHLPLAYVTASVHGLHDVAERLAAELGDDVPSLPEGKKPSLLMPPAPVICGGDWPLLRVMKGIFEGGFDSTGRGVVDEEEEGADGDWGEDLDMVDADGLQNGDVTAILEDGEVAEDNEEGGWDLEDLELPPEVETPRVNARSSVFVAPTPGMPVSQIWTQRSSLAADHAAAGNFDTAMRLLSRQLGIRNFTPLKSMFLDLHTGSHSYLRAFSSAPVVSLAVERGWSESSSPNVRGPPALIFNFSQLDEKLKAGYKATTDGKFTEALRLFLNILHTIPLIVVESRREVDEVKELIIIAKEYVLGLQMELKRRELKDNPVRQQELAAYFTHCNLQIPHLRLALRNAMTICFKAKNLATAADFARRLLETNPNENHSKAARQVLQASERNMTDASQLNYDFRNPFVTCGATYVPIYRGQKDVSCPYCTTRFVPTQEGQLCTICDLAVIGADASGLLCSPSQIR >KJB32564 pep chromosome:Graimondii2_0_v6:5:62717897:62723733:-1 gene:B456_005G247200 transcript:KJB32564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHTKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHMSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKDDLVVSASLDQTVRVWDVSSLKKKGASPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRFWILAAHPEINLLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRYYDFSTQKETQIVPIRRPGSTTLNQCPRTLSYSPTENAVLICSDVDGGTYELYQIPKDSIGRSDLQEAKRGPGSSAIFVARNRFAVLDKSNNQVLIKNLKNEVVKKSGLPVPTDAIFYAGTGNLLCRSDDRVVVFDLQQRLVLGDLQTPFVKYVVWSSDMESVALLSKHSIIITSKKLVHQCTFHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYLTKVSGNKIFCLDRDGKNKTLVIDATEYIFKLSLLRKRYDHVMSMIRNSQLCGEAVIAYLQQKGFPEVALHFVKDEKTRFNLAIESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLINGNLEKLSKMLKIAEVKNDVMGQFHNALYLGDIQERVKILENAGHLPLAYVTASVHGLHDVAERLAAELGDDVPSLPEGKKPSLLMPPAPVICGGDWPLLRVMKGIFEGGFDSTGRGVVDEEEEGADGDWGEDLDMVDADGLQNGDVTAILEDGEVAEDNEEGGWDLEDLELPPEVETPRVNARSSVFVAPTPGMPVSQIWTQRSSLAADHAAAGNFDTAMRLLSRQLGIRNFTPLKSMFLDLHTGSHSYLRAFSSAPVVSLAVERGWSESSSPNVRGPPALIFNFSQLDEKLKAGYKATTDGKFTEALRLFLNILHTIPLIVVESRREVDEVKELIIIAKEYVLGLQMELKRRELKDNPVRQQELAAYFTHCNLQIPHLRLALRNAMTICFKAKNLATAADFARRLLETNPNENHSKAARQVLQASERNMTDASQLNYDFRNPFVTCGATYVPIYRGQKDVSCPYCTTRFVPTQEGQLCTICDLAVIGADASGLLCSPSQIR >KJB30224 pep chromosome:Graimondii2_0_v6:5:33780557:33784765:1 gene:B456_005G133900 transcript:KJB30224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFPNKLVWYHRDKVYTMPRDKRIVLTLTFNDEFETDSCWVKEVATSITLVGENFLANAHPFLTQVPYNIVATRSTFSLDNTNSNTLSGIRFMTKFRFKLWWSTHWVGSSGKKMEYETPFMLLDKFDLGRPYVIMLPLIEGSFSTSLQPGVGDNVDICVESCVYMHGGDDLYNLVNEAMKVARLHLGSFRLMDEKRPPGIMDKFGWCTWDAFYCKFALQEARCPPGMLIIDDGWQSICINNDPIEKEGIDRAAVGDEKPYRLVKFQESYRFKDYESTITLSNKGIDVYVWHALCGYWGGIRPNVLGMPESRIIDTHVSPGLLRTMKDFVVCNIVRDRVGLVPPELAHQMYEDLHSHLKSVGIDGVKIDVIEVLELLSEDYGGHVELTKAYYKAHTVSLRKHFNGNAAILNMQQASGFFFLGTKTIALGRVGDYFWHSDPYGDLTRAFWLQRCHMVHYTYNSLWMGNFILPDWDIDFVGKYNFDLLRKVALRDGSILRCQHYARNTRDCLFEDPLHDSKTALKVWNLNKFSGVLGMFNCQGGGCNRKSPIFVEGVSMYAIYMYQSTKLKLMKWSQKIKVTLEPLKFELLIVSPVKILPQKQIQITPIGLVNILNSGDAIQLLAFDVDKNVVIIGVKGRGELKVFASEKPWGCTIDERRAEFGYDEQMVTTEIPWANSPMPSTVEYCF >KJB30311 pep chromosome:Graimondii2_0_v6:5:35429436:35432955:1 gene:B456_005G137000 transcript:KJB30311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKQSSSPASFVDSTVVPGDVVLDLSSMTNQTIKLGGGLRQDCDAISAMKAGTLRFSKPNKYWIESSQKRYVPCVEDTILGIVVDSKADNFLIDIKGPAMGFLPVLAFEGGTRRNIPKFEVGTLLYLRVVKANFGMNPELSCTDVEKLLNLAPSKMDTCLKLQLACRESC >KJB30309 pep chromosome:Graimondii2_0_v6:5:35429403:35432975:1 gene:B456_005G137000 transcript:KJB30309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKQSSSPASFVDSTVVPGDVVLDLSSMTNQTIKLGGGLRQDCDAISAMKAGTLRFSKPNKYWIESSQKRYVPCVEDTILGIVVDSKADNFLIDIKGPAMGFLPVLAFEGGTRRNIPKFEVGTLLYLRVVKANFGMNPELSCTDASGKAAEFGPLKDGYMFETSTGLSRKLLSSPACPVLEALGKKLSFEIAVGLNGRVWVNAASPDTIVVVANAIMNSECLSGAQQIIMVDHLLKNIQ >KJB30310 pep chromosome:Graimondii2_0_v6:5:35429436:35432037:1 gene:B456_005G137000 transcript:KJB30310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKQSSSPASFVDSTVVPGDVVLDLSSMTNQTIKLGGGLRQDCDAISAMKAGTLRFSKPNKYWIESSQKRYVPCVEDTILGIVVDSKADNFLIDIKGPAMGFLPVLAFEGGTRRNIPKFEVGTLLYLRVVKANFGMNPELSCTDASGKAAEFGPLKDGYMFETSTGLSRKLLSSPACPVLEALGKKLSFEIAVGLNGRVWV >KJB28045 pep chromosome:Graimondii2_0_v6:5:2033747:2035360:-1 gene:B456_005G023900 transcript:KJB28045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLHHSLSLLFIVVCSLSWVSASANSHDDFLECLYSYHPKESSSITQVIYTETNSSYSAVLDSSIRNHRFSTPNTPKPLVIVTPLNVSHVQATIHCSKNHGLQIRTRSGGHDFEGLSYVSHVPFVVIDLVNLRSVDVDVENEEAWVQTGATVGEVYYRINERSTNLTFPAAVVRTVGIGGSISGGGDGLLFRKYGLSADNVIDAQLVDANGRVLDRRSMGEDLFWAIRGGGGGSFGIVISWKIKLVHVPSTVTVFSVGRTLEQNATQLLHRWQYVAPNLPNDVYSLVAISTTNASENRTKTVLATFISLFQGGANEFIPLMQERFPELGLVKEDFIEMTWIESLLLMNGVSNETSEILLDRSNRYSLLPPSFKSKSDYVREPMPEIALQGLWPQLLEVDEGGIAVQNIIAYGGIMEEISETETPFPHRKGTLYKINYNIGWLEEENNNSQRYISWMRKLYSYMGPFVSKSPREAYVNYRDLDIGRNNDDGKASYKQASIWGRKYFKNNFDRLVYVKTKTDPKNFFKHEQSIPPRFH >KJB32892 pep chromosome:Graimondii2_0_v6:5:63958507:63959821:-1 gene:B456_005G266900 transcript:KJB32892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit psaK, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30380) UniProtKB/Swiss-Prot;Acc:Q9SUI5] MAATAAITTLPQFSGLRPKVSTAPVRSLAAVQPMRRKGKGALGARCDFIGSPTNLIMVTTTTLMLFAGRFGLAPSANRKATAGLKLEVRDSGLQTGDPAGFTLADTLACGVVGHIFGVGIVLGLKNIGAL >KJB31105 pep chromosome:Graimondii2_0_v6:5:51727226:51729794:-1 gene:B456_005G176300 transcript:KJB31105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLSEVDKYFLYMSQITISHIYVPPGLFFPLGFSWNPCLALVVLHINHLLFSFEDMDKWSRLHVLW >KJB32947 pep chromosome:Graimondii2_0_v6:5:64115326:64115574:1 gene:B456_005G2695002 transcript:KJB32947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRLKTLLNPLSDPHLRLIATESTQNQLSNPSNCLNPITPINQPTLLKVCTILYQQQNSPDSRLHSSLSSYNPSFNPEFFLQ >KJB32928 pep chromosome:Graimondii2_0_v6:5:64031752:64036385:-1 gene:B456_005G268500 transcript:KJB32928 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT5G44635) TAIR;Acc:AT5G44635] MDEGSPQSLVQGFCFVFLEEKRREEKRMEAFSGCFVDDKAIRVENIFLDFLKSFRLDPRMGESYYESEIQAMEANGSSTMYIDFSHVMLYNDILQKAIADEYLRFEPYLKNACKRFVMEQNPTVIAEADDNPNKDINVAFFNIPFTKRLRELTTAEIGKLVSVTGVVTRTSEVRPELLQGSFKCLECGSVVKNVEQQFKYTEPTTCVSATCLNRTKWALLRQESKFADWQRVRVQETSKEIPAGSLPRSLDVILRHEIVELARAGDAVVFTGTVVVIPDILALASPGERAECRRDASQRKSSTAGQEGVRGLRSLGVRDLSYRLAFIANSVQGADGRKDVDIRNRKKAGDEDDQQFTSEELKEIQRMRDTPDFFNKLVDSIAPTVFGHQDIKRAILLMLLGGVHKHTHEGINLRGDINVCIVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDVRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDDPDDQTDYHIAHHIVRVHQKREDALQPAFTTAELKRYITYAKTLKPKLTSEARKLLVESYVALRRGDTTPGSRVAYRMTVRQLEALIRLSEAIARSYLETQVQPRHVRVAVRLLKTSIISVESTEIDLSEFQEGNSDGSDDRNNNFGQADVHPASESEGRTI >KJB28717 pep chromosome:Graimondii2_0_v6:5:7009778:7010734:1 gene:B456_005G0657002 transcript:KJB28717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGGCARLVGAEIHGFHTLQDLDVKNMLEEAKSRWLRPNEIHAILCNHRYFSIQAKPVNMPKSGTIVLFDRKMLRNFRKDGYNWKKKKDGKTIKEAHEHLK >KJB31210 pep chromosome:Graimondii2_0_v6:5:53092289:53095089:-1 gene:B456_005G181100 transcript:KJB31210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPVRCFTCGKVIGNKWDTYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKSETS >KJB30692 pep chromosome:Graimondii2_0_v6:5:43721895:43726737:1 gene:B456_005G155200 transcript:KJB30692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAGLFNIADGILGKIGNLALQEIGLVWGVKEQLEKLKNTVSTIKAVLLDAEDQHAKSHEVRDWLGKLKDAVYDTDDLLDNFSTHVLKRQQGKRGKQVSFLFSKVSQVTYNLKISHQIEAIREKLDAIAADKIKYHFTDRSPISIPLVKVERRQTHSFVRKEDVVGRQGDKDAIMKRLLESNGVDNVSVIPIVGIGGQGKTTLAQLAYNDERTVKHFELRMWVCVSDVFDVKMIVEKILESATSSKYESLEMDSLQTHLRKRIDGRKYLLVLDDMWNDSRERWLNLADLLMNGARGSKIIVTTRAQLVASITGTSQPYLLEGLPEDMSWSLFEKVAFKESKETNDSRLVAIGKDIVKKCAGNPLVIRTIGGVLYTKDTETEWLSLKERQLSMLTRNEDDVLSVLKLSYEQLPSYLKQCFAYCSLFPKDYEINKQMLISLWIAEGFIQPLQGIQCLEELGGQYFMDLLRRSFFQDVEYDEWGNVISCKLHDLMHDLAQLIAGSDCSMVDLDCENISERTHHVSLSAELDSSWKIPTTLLNANKIRTFLLPMQPIHRVVLDKVDHEAIISSFRLMRVLDLHNTGLHILPRIIGKLKHLRYLDLSKNEVIRKLPSSITELLNLQTLKIYSCKRLEQLPRKLSNMISLKHLETGQCTGLTHMPSGIGQLTSLQTLTRFVVGMSSFEMASGGLRELKDLNELRGELMIAKLENLRNVAAECEEANLKEKQHLEVLTLDWSREVNNHVSFEEDEALLEGLQPHSNLQEFHIYGYRAERFPKWMSFDMALLLPNLLEITIWNCIKCIHLPLFSQLPKLKVLRLEVITAVEYIEDSRAESSSSLSFKGNPMNRGREGKEFFPCLEELVFFDLRNLKGWWREAPPVTNNNHGAAASPQRPLQKKESMVSFPCLSKLKIGICTNLTHMPLHPFLEELELKNTPARLLQQSAMVAAGANLVYPLYLSKLKVMHIDGIIDLVSFPEKGIHHLTSLQHLSIENCPDLVCLTEEGLKSLTSLRFFNIRCCEILKSLFKGFKHLTALEELEIKECRELDLSKDLEENVMELQCLRTLRIEDMPKLSSLPDGLQHVTTLKDLQISSCSNLKTLPEWIGNLTSLQRFEVLDCPQLASFPQTLYSLKALEYLEITSCSKLFDTGQIKKCKNWSMIAHIPEIVIDGEKM >KJB32929 pep chromosome:Graimondii2_0_v6:5:64051705:64053328:1 gene:B456_005G268600 transcript:KJB32929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNINTSNNHDNGEQDQKGVSKKRYMPLLLINYTCLFLGSVSSSLLSKYYFNHKGSNKWVSTWVQSAGFPFLLLPVFLPYYLFQCTQRKPFTRFTPRILSLSIFIGLMLGLNNLLFSWGNSYLPVSTSALLLSSQLVFNLILSAIMVKQKITFTNLNCVILLTLSSILLALGSNHDKPQDLTPTKYMIGFVATIGAGLLFALYLPIMEMIYKKVYCYAMMIEMQLVMELSATILASVGMVWDGGFTEMRKESKEVFDKGEGVYWVTMITNVVTWQLCFMGTAGTVFLTCSLTGGICMTALLGLNVLGGVLVYREDFGGVKIVSTVMCGWGFCSYVYGMYVNNHMMKLLDDHKQNMNPSIEMAQPQPQPHSDLHLGLGV >KJB27744 pep chromosome:Graimondii2_0_v6:5:505100:508534:1 gene:B456_005G007300 transcript:KJB27744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSNNYGHQHPLLLILNQDQLLNVAKCSRCRDKVSTPCFGCAEDCGFYLHKVCAEAPLELNHPFHPHHPLLLMQNAPYPGGYICNFCNKSGRKSVYRCSTCELDFHIKCALFTFNIAENNLKELDHVALQVEELEDDSKCFGCREPLAKYTHFSPNCGFNLHEKCAELPFKLNLVCHREHPLVCAEAPLELNHPFHLNHPLLMQNAPYSSGMYICNFCNKSGHKSVYRCSSCELNFHIKFIKSTNHEHSFTLLLRQVPFTCDGCGTEGNHVAYTCCTCNIIIHKNCISLPRIIKSKWHDHRLLHTYFHHIEDFRVLRCLICHYLVNTEHGSYYCSKYNGIFHVKCVMKDKDSYEIVENEDEIEMPIESSIIIIESNDAGEATKIKHFKHMHNLMLGPFVGGYENSCDGCMLPISDPFYYCSECAFFLHKACAELPKMKNVWHHGCKEPLALISDKAFLCRRCWYVTNAFAYECCGCEGKICLRCVIALTPGARTCLKHEHPLFYYPKHNGKCNACGRTTQAAFCCKDCNFVLHLRCFSLPITAHHKCDEHLLSLTDHDDNKYLESHHCDICEESRDSKLWFYNCSTCDTSAHVNCVLRRYPFLKLGSIHEGNDHPHPLTIVKKYYYPDCDKCGKPCEGMALECSKSECKFIVHWKCEVDSYLWGW >KJB29505 pep chromosome:Graimondii2_0_v6:5:17904106:17906232:1 gene:B456_005G103900 transcript:KJB29505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKSPLQPPTSGNLITVLSIDGGAIRGLIPGTILAFLESQLQKLDGEGARLADYFVVIAGTGTGGLVTAMLTAPHPNEGHRPLFAAKDINDFYLQHCPKIFPQDGSPFAPVANLVKSLTGPKYDGEYLRNIVREKLGETRLDRTLTNVVIPTFDIKQLQPRIFSSYEVQYNPFQNALLSDICIGTSAAPTYLPAHQFEIKNSTGEVKEFHLIDGGVAANNPTLVAMSEVAKEINRESSDFFHIKPNDYARFQVLSLGTGSQNPEEKYSAHKAAKWGVLGWLTSEHSSPLIDVFMQASSDMVDFHLATVFRALHSEHSYLRIQDDTLSGAVTSVDISTKENLENLVKLGEELLKKPVSRVNLETGKFEPVDQGTNEEALIRLAEVLSEEKRLREMRSPHGSFNEEHK >KJB29442 pep chromosome:Graimondii2_0_v6:5:15877687:15878136:-1 gene:B456_005G100800 transcript:KJB29442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVQLEHENVTEFVLVTLRDRVEEGRRFSNEEKDPMTSKELNEEPKGDLSVNFSTITPKKPNSALRKVAKVRLTSGFEITAYIPGIGHNSQEHSVVLVRGGRVEDLPSVRYHIVRGTLDAVGVKDRQQGRSSAL >KJB29519 pep chromosome:Graimondii2_0_v6:5:18274870:18275676:-1 gene:B456_005G105100 transcript:KJB29519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFDKETIKAYSQRQLKNRWDAFKKEWKAWKKLKCRDTSLGWNPIKRIVDAPDDWHWSKFEGKLNQMFIEVVTTGDKAWAPSFNTLRSEFFKDVDNKIHEKNEEESVKNDVHISNDVQIDGKVKKGKHLRYQLHILKLEGRNPQSKLEGLQDFMDPYGIPQAVKLLDSISEEVPKASPLYFFSLKLLLNKDKRIMFL >KJB31954 pep chromosome:Graimondii2_0_v6:5:59855232:59857766:-1 gene:B456_005G215800 transcript:KJB31954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHRLSSTKPVQSLKPTKKIPLEEDTGKASDALPLPLYLTNALFFTLFFSVVYFLLSRWREKIRTSTPLHVVTFSEIIAILSFFASFIYLLGFFGIDFVQSLVFQPSPDVWIAEDEEEDDEVLLAKEEARKVPCGQALDCSLPPLPPAAPIVTIQKVFDEKPVTVLTEEDEEIIKSVVAGTTPSYSLESKLGDCKRAAAIRREALQRLTGKSLSGLPLDGFDYESILGQCCEMPVGYVQIPVGIAGPLLVNGREYSVPMATTEGCLVASTNRGCKAIHLSGGATSVLLKDGMTRAPCVRFGTAKRAADLKLYLEDPDNFETLSVVFNRSSRFGRLQGIKCAIAGKNLYIRFTCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEATIKGDVVRKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVAAIYIATGQDPAQNVESSHCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKETPGANSRTLATIVASAVLAGELSLMSAISAGQLVRSHMKYNRSSKDVSKAT >KJB32382 pep chromosome:Graimondii2_0_v6:5:61975167:61976845:-1 gene:B456_005G238200 transcript:KJB32382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFEINGNTLSLTLFIDVTNSKELKESMQAGKLDPEASFLNASLIPDVFPVLVAACKALSPVHSEFVYSYLVSKHITESLKRGGVSDDSSYDLMLLVMRWYCIIYIHVLLVAIHYFYLRLYPAYSTLMLH >KJB32927 pep chromosome:Graimondii2_0_v6:5:64026789:64030996:1 gene:B456_005G268400 transcript:KJB32927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLLFLFSLISLFFLSSSSPAFSHKLSLRKQASVLVSLKQQIEESSSSTPLALGGWNVSNYLSLCSWTGVQCDGSNRSIISLDISNSNISGSLSPVISQLQSLVYLSVSGNSFSGEFPQQIHKLKRLQFLNISKNMFSGEMKWDFSQMKELVSLDAYDNNFNGSLPLGVTELPKLTHLNLGGNYFSGQIPKAYASMEQLQYLSLAGNDLSGFIPAELGNLTNLQYLFLGYYNEFDGGIPPTFGKLVNLVHLDLANCSLDGPIPSQLGNLKQLDTLFLQTNEISGGIPPEIGNLSNLKSLDLSNNMLTGDIPLELSGLRHLLLLNLFVNRLHGEIPQFLAELPELQVLKLWHNNLTGSIPSKLGKNGRLVELDLSTNNLTGLVPESLCFGRRLQILILFSNSLFGPLPEDLSKCDTLSRVRMGHNYLTGPIPNGLLYLPELSLLELHNNYLGGPIPKDTAKIPKKLGQLNLSNNNLSGSLPASIGNFSSLQLLLLGSNRFSGNIPFQFGRLKTVLKLDMSRNNLSGTIPYELGDCFLLTYLDLSQNQLSGPIPVQIAQIHILNYLNVSWNHLNQSLPREIGSIKSLTSADFSHNNLSGPIPQFGQYSFFNSTSFAGNPQLCGSYLKNSCDYSSSSSSVSPLEFRHQSGTKSPQVPAKYKFLFALGLLVCSFIFAALAIIKTRKGRRNSHCWKLTAFQKLEFGSKDVLECIKENNVIGRGGAGIVYRGIMPNGEQVAVKKLLGISKGSSHDNGLSAEIQTLGKIRHRNIVRLLGFCSNKEINFLIYEYMPNGSLGEVLHGKGGGYLRWDTRLKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLNSDFEAHVADFGLAKFLQDTGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGDFGEEGLDIVQWSKRETKLKKEGVVKILDHRVSNTIPEEEVMQVFFVAMLCVEEHSVERPTMREVVQMLAQAKQPNTFHMQ >KJB31240 pep chromosome:Graimondii2_0_v6:5:53342603:53345256:-1 gene:B456_005G182400 transcript:KJB31240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATLPTPHLRSFPSPTPLSFKLSFAFPETRRKSLSISSTPKGTPSPSLAYVPLRVTASSQAASAPTVNLTAIPTEMKAWVYGEYGGDDVLKLDEKVSVPEVKEDEVLIKVVAAALNPVDAKRRQGKFKATDSPLPTVPGYDVAGVVVKVGSQVKELKEGDEVYGNINEKALEGPRQFGSLAQYTAVEEKLLALKPKNLDFAQAAGLPLAIETAYEGLERTGFSSGKSILVLNGSGGVGSLVIQLAKQVFAASKVAATSSTGKLELLKSLGADLAIDYTKENFEDLPEKFDVVFDAIGQCDRAVKAVKEGGSVVALTGAVTPPGFRFVVTSKGEVLKKLNPYLESGKVKPVVDPRGPFPFTQVDEAFSYIETNRATGKVVIHPIP >KJB28134 pep chromosome:Graimondii2_0_v6:5:2615929:2617044:1 gene:B456_005G0293001 transcript:KJB28134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLILRENRFYGQIKHRFVFPTLDVLDIASNQFSGELSIDFLQATRLRSLKIGGNKLEGKLSRSLANCKALEVLDLGNNMVHDTFPFWLEKLPSLKVLILRGNRFYGTITKFNTERGFPKLRILDIASNNFSGDLSIEFLQSLKAMMQLTNDDKAKLDYIGENYYQDSVTIFNKGIKLFYEKILTTLTCLDLSNNSFHGRIPEEIQMLRSLKVLNLSYNSFSGEIPVAVQNLKDLESLDLSQNELSGKIPPQLTTLTFLEALNFSYNPLEGSIPQGNQFSTFSNDSYLGNPKLCGQPLSKKCNEDGLPVPPPPGEEEQSWLYAMSTWKIVLIGYGSGLVAGLCIGYTVLNELGNKWVDKFKKHGKRNRRRSR >KJB29206 pep chromosome:Graimondii2_0_v6:5:11904794:11908205:1 gene:B456_005G089200 transcript:KJB29206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYTQKNPPEKKKKKKEQRRAAIMARNFFVWFALAAILCSLTAISAKVSESKEFVLTLDHSNFTRFVTQHNFVVVKFYAPWCRHSQNLAPEYEKAASVLSNHHPPIILAKFDADDEANKYLAKQYRIRGYPTVKIFRNGGQLIQEYRDSRRADYIVEYLKEQISPASVEIKSAKDASGIIPQNKITIDFTVEAIEKFFGEALGL >KJB29205 pep chromosome:Graimondii2_0_v6:5:11904794:11907725:1 gene:B456_005G089200 transcript:KJB29205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYTQKNPPEKKKKKKEQRRAAIMARNFFVWFALAAILCSLTAISAKVSESKEFVLTLDHSNFTRFVTQHNFVVVKFYAPWCRHSQNLAPEYEKAASVLSNHHPPIILAKFDADDEANKYLAKQYRIRGYPTVKIFRNGGQLIQEYRDSRRADYIVEYLKEQISPASVEIKSAKDASGIIPQNKITIVSSL >KJB32804 pep chromosome:Graimondii2_0_v6:5:63667648:63671372:-1 gene:B456_005G262500 transcript:KJB32804 gene_biotype:protein_coding transcript_biotype:protein_coding description:LL-diaminopimelate aminotransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33680) UniProtKB/Swiss-Prot;Acc:Q93ZN9] MSLMNNLSTSMISSSSSTYLAPTSFNSRTQSVSVPLKNINIVKCVATPQEQQNAYKTKVSRNANIAKLQAGYLFPEVARRRAAHLLKYPNAQVISLGIGDTTEPIPDVITSAMSKRSHALSTLEGYSGYGAEQGEKALRAALASTFYRNLGIEDDDIFVSDGAKCDISRLQVVFGSSVTMAVQDPSYPAYVDSSVIMGQTGQFQKDVEKYGNIEYMRCTPENGFFPDLSKVARTDIIFFCSPNNPTGAAATREQLTRLVKFAKDNGSIIVYDSAYAMYMSDDNPRSIFEIPGAKEVAIETSSFSKYAGFTGVRLGWTVVPKQLLFSDGFPVAKDFNRIICTCFNGASNIAQAGGLACLSPEGLEAMQEVIGFYKENTKIIVETFNSLGFKVYGGKNAPYVWVHFPGRNSWDVFSEILEKTHIVTTPGSGFGPGGEGFIRVSAFGHRENVLEACRRFKQLYN >KJB31184 pep chromosome:Graimondii2_0_v6:5:52711623:52714604:1 gene:B456_005G179800 transcript:KJB31184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POOR HOMOLOGOUS SYNAPSIS 1 [Source:Projected from Arabidopsis thaliana (AT1G10710) UniProtKB/Swiss-Prot;Acc:Q45GQ7] MAGCLAVVETDRPENEKPVYTIKGQWQVHFARFIVYPSLPSTCPSLVPKSRRGRASSGNWIATSSPAASLQIISDLSSSETILSICLGGKILEEHYISKLHFSWPQIQCIPEIPARGSRAVFVSYKDCADQKFALRFSTHHESESFMNAVKEEFQGDAETEPLNPDFGSDSSPQSDFISSNGLPSRANQVLSDLNPDGSYTPQMSPGLSYEIRQQSFDQDEMLNNNAEDIFPPLPPSFSSLLTNCCPTAEKAANQPTVSQEVDLKSQIVRYMEDSSFQDMLTKVEKIISEVGADMLL >KJB27877 pep chromosome:Graimondii2_0_v6:5:1042101:1042820:1 gene:B456_005G0143001 transcript:KJB27877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVFIIDERPHSTFTRDGNDLVVTQKISLAEALTGYTVHLTTLDGRSLNIPINSVIHPNYEEVVPKEGMPFPKDPSKRGNLRIKFNIKFPTRLTAEQKSGIKKLLDHRVGFDLGKMKDMISRNLGIPACDHSTTRMEKDA >KJB29919 pep chromosome:Graimondii2_0_v6:5:27600980:27602188:1 gene:B456_005G123700 transcript:KJB29919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGQILVEGALRFVANLLWEKNVLVAYMPWEGYNFEDVVLISEHLVYEDIYTSFHIRKYEIQTHVTSQGPERITNEILHIEAHLLRNLDKNRIVMLGSWVEASDILVGKLTPQVAKESSYAPEDRLLRAILGIQKKGGSSYNLETICVYISQKRKIKVGNKVARRHENKGIISKILPRQDMPYLQDGGPVDMVFNPLGVPSRMNVGQPFECSLGLVGSLLDRHYQIAPFDERYEQEASRKLVYFELYQASKQTANPWVFEPKYPRKSRIFDGRTGGPFEQPVIIGKPYILKLIHQVDDKIHGHSYGHYALVAQQPLRGRSKAHQKVVRTTIIGGTIPKPA >KJB30601 pep chromosome:Graimondii2_0_v6:5:41966281:41969875:-1 gene:B456_005G151100 transcript:KJB30601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQGCWAMVIILGLLLQPQFLSSQNLTCNQKDWTALRYFMDNLTKTLEGWTTNSSADCCEWEGITCDPPSAGRVIKLVLPNKRLSGKLSDSLSGLDQLKTLNLSHNFLKDSLPLSLFHMPNLEQLDLSYNDFSGLIPESINLPSIRSLNVSANSLKGSLPSHICVNSSGIGFLSLAVNYFSGNISPGLGKCSSLEELYLGTNQLTGVVTEDIFQLQNLRRLGLQDNNLGGKLSPGIANLSNLVRLDISSNNFSGEIPDVFSELKRFQYLIANSNNFSGGIPSSLSNSPTVSLLNLRNNSLEGSIYLNCSAMVTLNSLDLATNKFTGPVPDNLPLCRQLQDVNLARNNFSGQIPESFKQFHSLSYLSLSNSSLHNLSSALQILQQCRNLTALVLTLNFPVETLPDDPNLHFEKLKVLVIASCQLRGSIPQWLSKISSLQLLDLSWNHLNGALPPWLGSYRDLFYLDLSNNSFTGEIPKSLTELPSLIHGNISLEEPSPDFPFFMKRNESARGLQYNQIWSFPPTLELGHNFLSGPVWPEFGNLKKLIVFDLKFNNLSGPIPENLSEMSSLEILDLSHNDLTGTIPPSLESLSFLSIFNVAYNRLYGKIPSGGQFQTFPNSSFEGNNLCGDHRFSCQDNTSEHVSPKRSRKNKDIIIGMVVGIIFGTALLIGLMYLFVLRTHKRNEVDPEKEEPDTNDKNLEELSSRLVVLFQNWESYKELCIDDLLESTNNFDQANIIGCGGFGLVYRGTLPDGRKVAIKRLSGDCGQMDREFRAEVEALSRAQHPNLVHLQGYCMHKNDRLLIYSYMENGSLDYWLHEKVDGPSLLSWETRLKIAQGAARGLAYLHQSCEPHILHRDIKSSNILLDENFKAHLADFGLARLILPYDTHVTTDLVGTLGYIPPEYGQASVATYKGDVYSFGVVLLELLTGKRPMDMCKPKGTRDLISWVIRMKMENKESEVFDPFIYGKQHDKEMLRILEIACLCLNESPKIRPTTQQLVYWLDKVTSLSSV >KJB28016 pep chromosome:Graimondii2_0_v6:5:6653400:6654307:1 gene:B456_005G063300 transcript:KJB28016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFAKEAEVSTSLPPAKAFKAFAEDLDTLLPKVAPQAIKSVERLEGDGGPGTIKKITFAEGYGFSYAKHRVDVLDKDNLLYTYVVIESDFFNNMVEKISYETKFVAAADGGTSIKVNTTFYTIGDIQITPDLMLQIKEASEKRALILKAIENYVLANPGV >KJB28296 pep chromosome:Graimondii2_0_v6:5:3898944:3899282:-1 gene:B456_005G0408001 transcript:KJB28296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVFSGAIVSPPDELVAAGCRTPSPKITADALVKRFIEANPSTVSLQIGDHVQFAYSHRNESALLP >KJB32764 pep chromosome:Graimondii2_0_v6:5:63556219:63559736:-1 gene:B456_005G260300 transcript:KJB32764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNGGGEQQSKKTAELGKPLKEGERILEPSRRPDGTHRKPVRIRAGYVPQEEVAIYQSKGALWKKEMASQVGPPGYDPPTDTKLKTKSAKRNERKKEKRVQAALEKGKNSEAVADNEIKGDGLTEEDLDHGSESNDRSCCFSNPVSTSPLSNSVDASDAVTPAQDLDKKIRALKKKIRLVEAQQQKTLQQDMKPEQLEKLAKLDGWREELKLLEDKKAEFAAL >KJB31194 pep chromosome:Graimondii2_0_v6:5:52931703:52935325:-1 gene:B456_005G180300 transcript:KJB31194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCWMDYMVGASFPKGKTTRSQWCCFDHRRRQHQCTKTETNIQQQPTSATSSNMASSSCNISPPIIPIFVFFLLVSSSFCPVRSSETGSLHAKNQTFRPEVELQKLKTIRELLNKINKPPIKSIQSPDGDIIDCVLLHHQPGFDHPRLKGQKPLDPPERPSGVNPNGMGGEDFQLWSMSGESCPEGTIPIRRTSEEDMLRASSVRRFGRKRPRRRVRRDSTSNGHEHAVGYVSGDQYYGAKASINVWTPRVSNQYEFSLSQMWVISGSFGDDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNRIAIGAAISPTSSYNGGQFDISLLIWKDPKHGNWWLEFGSGVLVGYWPSFLFTHLRDHASMVQFGGEVVNSRAGGFHTSTEMGSGHFAGQGFGKASYFRNLQVVDWDNNLIPLSNLRVLADHPNCYDIQGGINRVWGNYFYYGGPGRNVKCP >KJB29022 pep chromosome:Graimondii2_0_v6:5:9380375:9382082:-1 gene:B456_005G080100 transcript:KJB29022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMGSSMTSLFLTLLVLAVSLSLPFETSADYTYSSPPPPPKKNPLPPYHYKSPPPPPPVYSPPPPPHKKPYKYKSPPPPTPVYKYKSPPPPPPSPPKHPYKYKSPPPPPPSPPKHPYKYKSPPPPPSPPKHPYKYKSPPPPPPSPPKHPYKYKSPPPPPPVYKYKSPPPPPPSPPKHPYKYKSPPPPPPVYKYKSPPPPPPSPPKHPYKYKSPPPPPPSPPKHPYKYKSPPPPPSPPKHPYKYKSPPPPPPSPPKHPYKYKSPPPPPPSPPKHPYKYKSPPPPSPPKHPYKYKSPPPPPPSPPKHPYKYKSPPPPPPVYKYKSPPPPPPHYVYASPPPPHHY >KJB29021 pep chromosome:Graimondii2_0_v6:5:9380915:9381946:-1 gene:B456_005G080100 transcript:KJB29021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMGSSMTSLFLTLLVLAVSLSLPFETSADYTYSSPPPPPKKNPLPPYHYKSPPPPPPVYSPPPPPHKKPYKYKSPPPPTPVYKYKSPPPPPPSPPKHPYKYKSPPPPPPSPPKHPYKYKSPPPPPSPPKHPYKYKSPPPPPPSPPKHPYKYKSPPPPPPVYKYKSPPPPPPSPPKHPYKYKSPPPPPPVYKYKSPPPPPPSPPKHPYKYKSPPPPPPSPPKHPYKYKSPPPPPSPPKHPYKYKSPPPPPPSPPKHPYKYKSPPPPPPSPPKHPYKYKSPPPPSPPKHPYKYKSPPPPPPSPPKHPYKYKSPPPPPPVYKYKSPPPPPPHYVYASPPPPHHY >KJB30106 pep chromosome:Graimondii2_0_v6:5:31710429:31712945:-1 gene:B456_005G129900 transcript:KJB30106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLLIMEALRATYGDDDSSDFDSDHSPTLSLPANSNPQTEETLSSPLPPPPVSLLHPPNSLGSLDYLQTGQPSRVRSFPHVEGNYALHVYILVFIPYISKKEMGQFLKRVSSVVPNLHVVDIVVPLNTLCKEEHKLEQVALGREFQISLGRTVPIRVHQIDSIVTMLRQKLQFQKRYWIDFNKWEVFINDDRTRTFLSLEVVTGGLPEITKQIQAVNEVYKFHNLPEFYKDPRPHISLAWALGDVSGSLKKVVEQETKSSVFRGSLQSRICTSKVGGIECKIGNRTHIICKSPDQ >KJB31119 pep chromosome:Graimondii2_0_v6:5:55611445:55612631:1 gene:B456_005G190900 transcript:KJB31119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTHYHRLVMDYRNNSKVSLCHMACQVGNGVEGAEEVTFLYRLTLGACPKSYGVNVARIAGLPDSVLRIAASKSREFEAIYGKHRSKGSEDKLPMQSSLDEMVVFIRELISLTRLKTCEEGTCIRSLIQLQQRARMLLHQH >KJB28018 pep chromosome:Graimondii2_0_v6:5:1856884:1860323:1 gene:B456_005G022100 transcript:KJB28018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLNHEFAYARKERTERGTTSACANVVNLSWLIGAANSDCHETCGNVAIPLPFGIKTGCYNNSWFRVTCNQTVNGPKPFISRINLELLGKFQPVENAVSVNNPVSYLNCGDKGNNGTTASSSVDLADSPFFFSTEYNVFGSVGCGNIVYLNNQAADAITVCLQRRCGDLTSKLGGCQANISDNLVSYTTNITDIEFSNPGSKRCTSAFIFDSSRLKSSDVDPQFPYNISINTTHVPATLMWYSVICNLGAAICQKLKPAENPPPPVQSPTIPLNYKYGCIERCGNIGIPFPFGIEVGCYMSNWFRVTCKETSDGSRPFISSINLQLLDVSFSEGIVLVNYSVVYTNCLRETNVVGVNLTGTPFLFSDVFNRFVSVGCDSLAAFLRSPTDDYPINWCAQPHCDNMTSEAMCSRDIPPNLSSFAANVTQIYPSNENNRLCGSAFIVDQRYPDSLERIITNRNDTSKQSRSYFPTTLLWGTHKRGLCELREGSNISCRSDGAYCWASLSETHLCVCHLDSYGDSDDVCQESGKCDDLKYKYCHMLCLNTQGNNCSSSCPAGYEYIDDICKSTKDPKRSQILPIIVGCGASIGTIFVLLGAWHSHKLLKRRNNTKLKQKYFKRNGGLLLQQQLSNNEGKVEKIKVFTSKELEKATDYYNENRILGQGGQGTVYKGMLTDGNIVAIKKSKLVEEKVLDDKKLKQFINEVIILSQINHRNVVKLLGCCLETKIPLLVYEFIPNGTLYDLLHRPNEEFPLTWEIRLRIAIATANALSYLHSAASVPIYHRDIKSSNILLDDKYNAKVSDFGTSISVALEQTHVTTRVQGTFGYLDPEYFRSNQFTEKSDVYSFGVVLVELITGQKPISSSESEEVVRSLANFFLLSMEENALLNVVDPLVMNGNAKEEIVAVAKLAKRCLNLNGKKRPTMTKVAMELEQIRSLKEANVIEQNAYEDSDIDDMIEASDIASCSTS >KJB28422 pep chromosome:Graimondii2_0_v6:5:4443487:4445730:-1 gene:B456_005G047000 transcript:KJB28422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKSKTYSNHAVQGTSKALSSLSSLFTKDPLQSSSLIPKPRVQNPRKPLLLCPNEFEYFVRRQCKSGKITLNDALTYFNKLVTTEPSPLIQTFNIVLGSVSKLKCNSNVFSMYRKLNVEGIKPNLYTLSILMNSCCQLKQMSSGFCVFGEIIKRGFEPDLVTVSILLKGMCVDGKVLDAVQVFDKMCERGFQGDGVIYGILINGLCSIGHPGMALELHRKMENSSCQGTLLTFSMVIDAFCKDGMVDVAASVFSEMVSKQISPDAVVYGSLIHGFCGLGRLKEAVNVFDEMVSRGLTPDLIMYNTLIHGFCQVGMWGEAVKIFNRMVENGISPDVVTFTTLIDSLGKEGKTGEAQRIFDLMIRQGAKPDIRTYNSLLSGLCSNGHLDEATKLFGLIVDQGLELDAFSYNIMISGYCKSWKMDEAFELFQKMHGIKPTIVTYNTLIGMLFQEGQVSTAQKMFNEMHVYGQSPALSTYTVMLDGLCKHGHIEEALDLFHSLESTNKKPSIEHFSILIDGMWRAGKSEEARKMFTEISEKGLSLDVVTYNIMLSGLCKQSMSLEANKLLMEMEEKGCVPDSISFNTLIHGLLREKEVEKAMNRLEEMRRRNFSADEGVTSLLLRLAMKDEQCRAALESLPDVI >KJB30745 pep chromosome:Graimondii2_0_v6:5:45547488:45550486:1 gene:B456_005G158300 transcript:KJB30745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNPTVRYVDDKDGNQKLQNLVQKQKNSMRNSLALHRLHAPKTQQRSKFGVVPPWVIVMYNSVFFNNCVHHPNEKKKEVDKFCIDCLQSFCSHCLPSHAFHKHIKIRRYIYSDVINRQDLCKLFNCSGIQTYHTNKAKVLFLKQRTQSHQQQSSSRDYKCSICGKTLQDNTSLYCSIACKVLNIYRDEEIFVGLPLTKKPRLRQTRKGVPLRSPMF >KJB32239 pep chromosome:Graimondii2_0_v6:5:61384304:61384887:-1 gene:B456_005G231300 transcript:KJB32239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGEGEGRKPLEKEERQRVIKKLEKEREESLCKKKAFTKRIGKREVFWNTSYFGFASFSFFLFFSQAPALEPWTCDPCILKPNTASQQAGTRTSTSFCFVTSFSL >KJB27578 pep chromosome:Graimondii2_0_v6:5:34912:39284:1 gene:B456_005G000800 transcript:KJB27578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAKEEGKVLGYNSKKVKKVAILSTLAALLDDPILADVPKKPSLSDVDTLINLELGSAMCISIFKLDGTSFDVAVMNSATVKDLKLAIKKKVIELEQSKMGHRHISWRHVWANFCLAHHNGKLLDDDAALHDFGVRNNSQVHFLPYVVSKGSGRHSKRRKHRFFHGLNKLS >KJB27577 pep chromosome:Graimondii2_0_v6:5:34968:39202:1 gene:B456_005G000800 transcript:KJB27577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAKEEGKVLGYNSKKVKKVAILSTLAALLDDPILADVPKKPSLSDVDTLINLELGSAMCISIFKLDGTSFDVAVMNSATVKDLKLAIKKKVIELEQSKMGHRHISWRHVWANFCLAHHNGKLLDDDAALHDFGVRNNSQVHFLPYVVSKGSGRHSKRRKHRFFHGLNKLS >KJB27988 pep chromosome:Graimondii2_0_v6:5:1645941:1652282:-1 gene:B456_005G020400 transcript:KJB27988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYNGDDYNGARIVSRACELRGAVHGMVSQMDPALVAYCDKIAAQGGSAHMPDDLGLPPEVPVVQLGTSTRASARLRNVQPEADLQSYEALKRPKKNADTAPAEDKSQALDSVQMKPSQTLEVNEINCERDEPTLGDGKQQETSTKANGSQDTIMSDGEISTQAESVKTVLVEPNRKLRDPGARKALQSDNERYF >KJB27987 pep chromosome:Graimondii2_0_v6:5:1645834:1652282:-1 gene:B456_005G020400 transcript:KJB27987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYNGDDYNGARIVSRACELRGAVHGMVSQMDPALVAYCDKIAAQGGSAHMPDDLGLPPEVPVVQLGTSTRASARLRNVQPEADLQSYEALKRPKKNADTAPAAEDKSQALDSVQMKPSQTLEVNEINCERDEPTLGDGKQQETSTKANGSQDTIMSDGEISTQAESVKTVLVEPNRKLRDPGARKALQSDNERYF >KJB29406 pep chromosome:Graimondii2_0_v6:5:15700031:15703995:1 gene:B456_005G099600 transcript:KJB29406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKTQRNNAAMLDSDDDNSSVSSSSTMRSAGMSVSGTEEVELNKDSLLDEAVDNLYEKRGSTREKALASIIEAFNSNLQHEFVEKKFATLLHRCLNSIKKGSSKEISLASHTIGLLALTIGPGDKAREILEESITPVSQAFKSGYESSKIASLLECLAIISFVGGIEPEETEKSMQIMWQLVHPKLGSNVITVKPSAVVITAVVSAWSFLLTTMDRWSLSPKLWLESITYLSSLLDKDDRSVRIAAGEALEVIFEMGSLEKFATEAEGSNNGSVSEGNKSKEGLLHIQGLRAKILNQVRDLSVEAGGKGSAKKDLNYQRSLFKDVLEFLEDGYCPETSMKIGGESLQTSTWSQLIQLNFFRRFLGGGFTKHMQENEFLQDVFGFTPKRNLVGGEHVSNNLKRMYKSPNSVINKARTQHLNKQRMLSERKNIGHFAVNVGDEDS >KJB28401 pep chromosome:Graimondii2_0_v6:5:4864873:4866311:-1 gene:B456_005G049400 transcript:KJB28401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTTHLLVLLLGVVTLTAPTFGTYESPNYGKPPTPVYKPPKVKPPPYEPKPPVYTPPKKEKPEPKPPVYEPPKKEKPEPKPPVYEPPKKEKPEPKSPVYTPPKKEKPEPKPPVYEPPKKEKPEPKPPVYTPPKKEKPEPKPPMYEPPKKPPMYEPKPPKPPVYTPPKKKKPDEPKPPMYEPPKKPPMYEPKPLKPPMYQPPNNPPIYEPKPPKPPVYAPPKEEKPKPKPPVYEPPAYEPPYGHYPGHPPLGKPQ >KJB29005 pep chromosome:Graimondii2_0_v6:5:9214654:9215145:-1 gene:B456_005G079600 transcript:KJB29005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNPFNRGLSPQYLTHQPPPHHFGVLETPQQQQQQQQQLQMPHSSTNNPTLNGQPQPRFMDFNQRA >KJB32556 pep chromosome:Graimondii2_0_v6:5:62636218:62640025:-1 gene:B456_005G246400 transcript:KJB32556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYYTGSDNQRDTVLMIYMRESMPGSYAESPVLQGNTMMYMNSGSYSDAFAGNSQQQNNCIGMQGVEASDSTSQQHGIMSNLGGSHVVEHDFGAWRDASSILHNGQNFQGPQGLSLRLGTQIPFGIQMPSIPFRNPDSDLASFLSHNPSLTGGRNGSSRDEQPRNAGYLPHGFSGANQGTNKGDLYACGMSSMSRAIPNSKYLKAAQQLLDEVVNVPKALKQIDGEKNRMKSCKEDDESSKNVLSNQKESSNNIQKELSHAERQELQSKLTKLLSMLDEVDRRYKQYYHQMQIVVSSFDAIAGCGAAKPYTAVAQQTISRHFRCLKDTINGQIQATRKSLGEQDTLEDGKGVRITRLRYVEQQLRQQKALQQLGMMPQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLSRSQVSNWFINARVRLWKPMVEEMYKEEFADLEMDSHSSSENAVKARKGNTRTSEDIGEDQQQSGSSSATERCSAGQLVDSKSNHVPDVDIAGTITTTVFQNVTHREAETEYGLLRLSEVQRPNVDNSNLFLDGITHSDGVGDRFMKATTTSYHVSELGRFGNASGVSLTLGLQHCEDGSIPMSDVGHQNFVAMTRDNDIYNPAASSIGPETTDSEYVTPGNRQHRFNSSHLLHDFVA >KJB32555 pep chromosome:Graimondii2_0_v6:5:62636239:62640025:-1 gene:B456_005G246400 transcript:KJB32555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYYTGSDNQRDTVLMIYMRESMPGSYAESPVLQGNTMMYMNSGSYSDAFAGNSQQQNNCIGMQGVEASDSTSQQHGIMSNLGGSHVVEHDFGAWRDASSILHNGQNFQGPQGLSLRLGTQIPFGIQMPSIPFRNPDSDLASFLSHNPSLTGGRNGSSRDEQPRNAGYLPHGFSGANQGTNKGDLYACGMSSMSRAIPNSKYLKAAQQLLDEVVNVPKALKQIDGEKNRMKSCKEDDESSKNVLSNQKESSNNIQKELSHAERQELQSKLTKLLSMLDEVDRRYKQYYHQMQIVVSSFDAIAGCGAAKPYTAVAQQTISRHFRCLKDTINGQIQATRKSLGEQDTLEDGKGVRITRLRYVEQQLRQQKALQQLGMMPQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLSRSQVSNWFINARVRLWKPMVEEMYKEEFADLEMDSHSSSENAVKARKGNTRTSEDIGEDQQQSGSSSATERCSAGQLVDSKSNHVPDVDIAGTITTTVFQNVTHREAETEYGLLRLSEVQRPNVDNSNLFLDGITHSDGVGDRFMKATTTSYHVSELGRFGNASGVSLTLGLQHCEDGSIPMSDVGHQNFVAMTRDNDIYNPAASSIGPETTDSEYVTPGNRQHRFNSSHLLHDFVA >KJB27452 pep chromosome:Graimondii2_0_v6:5:5172089:5172328:1 gene:B456_005G052300 transcript:KJB27452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRQRKSKNLRKRMKRLRSDMEEISKEQRKIKEGQRQVGENFEAVKLECDLLRKETNIIMRQTMSRAPDSALLSSSKS >KJB27893 pep chromosome:Graimondii2_0_v6:5:1195308:1201251:-1 gene:B456_005G016300 transcript:KJB27893 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPL4 [Source:Projected from Arabidopsis thaliana (AT5G58003) UniProtKB/TrEMBL;Acc:A0A178UQH1] MSFATDSPVHSSSSDDFAALIDAELEVGSSGSSPDEQDNEEEEVDADSDDDDSDDEEDDSNDDLNDHRNKRCKTEKLDDLEGPQGSTSQGLIEEKLVSLNKDTCTHPGSFGQMCILCGQRVDDESGVTFGYIHKGLRLGNDEIVRLRSTDMKNLLRHKKLYLVLDLDHTLLNSTQLNHLTAEEEYLKGQSDSMQDVSKGSLFMLEFMHMMTKLRPFVRTFLKEASEMFEMYIYTMGDRPYALEMAKLLDPKKEYFNGRVISRDDGTQKHQKGLDVVLGQDSAVVILDDTENAWTKHKDNLILMERYHFFASSCRQFGFDCRSLSQLKSDESEPDGALASILKILRQIHHIFFDELDSDLASRDVRQVLKTVRKEVLKDCKIVFSRVFPTKFQPENHLLWKMAEQLGATCSTETDSSVTHVVSMDAGTEKSRWAVKENKFLVHPRWIEAANFFWLKQPEEKFPVSQTKNQ >KJB27892 pep chromosome:Graimondii2_0_v6:5:1195206:1201272:-1 gene:B456_005G016300 transcript:KJB27892 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPL4 [Source:Projected from Arabidopsis thaliana (AT5G58003) UniProtKB/TrEMBL;Acc:A0A178UQH1] MSFATDSPVHSSSSDDFAALIDAELEVGSSGSSPDEQDNEEEEVDADSDDDDSDDEEDDSNDDLNDHRNKRCKTEKLDDLEGPQGSTSQGLIEEKLEVSLNKDTCTHPGSFGQMCILCGQRVDDESGVTFGYIHKGLRLGNDEIVRLRSTDMKNLLRHKKLYLVLDLDHTLLNSTQLNHLTAEEEYLKGQSDSMQDVSKGSLFMLEFMHMMTKLRPFVRTFLKEASEMFEMYIYTMGDRPYALEMAKLLDPKKEYFNGRVISRDDGTQKHQKGLDVVLGQDSAVVILDDTENAWTKHKDNLILMERYHFFASSCRQFGFDCRSLSQLKSDESEPDGALASILKILRQIHHIFFDELDSDLASRDVRQVLKTVRKEVLKDCKIVFSRVFPTKFQPENHLLWKMAEQLGATCSTETDSSVTHVVSMDAGTEKSRWAVKENKFLVHPRWIEAANFFWLKQPEEKFPVSQTKNQ >KJB29238 pep chromosome:Graimondii2_0_v6:5:12249926:12251955:1 gene:B456_005G090800 transcript:KJB29238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVERGVVKSKRSIWRLRTIIDFFWAIINFIGVFFSTMFSMERSDAYRKSSGSSKKWDGGPGSGPYGGGGGPRRPSRGLDNVRGIDHSSLPACGSCCGG >KJB28722 pep chromosome:Graimondii2_0_v6:5:7035841:7046096:1 gene:B456_005G066100 transcript:KJB28722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQEKSQGNSNSLQRVKVYRLNEDGKWDDQGTGHVTVDYLERSEELALYVFDEEDNETLLVHRICPDDIYRKQEDTIISWRDSEYTTELALSFQENTGCSYIWDHICNVQRNIHFSSLNSETFHSMNSELRELPAVELSTLPIILKTVTESGIADQMRLTELILNDQDFFQKLMELFRICEDLENMDGLHMIFKIVKGIILLNSAQIFEKIFGEELIMDIIGSLEYDPDVPQVQHYRNFLKEHVVFKEAVPIKNPVVISKIHQAYRVGYLKDVVLARVLDEATVASLNSMIHSNNAIVISLLKDDSTFIQELFTRLRSPTTSAESKKNLVYFLREFCSLSKSLQMVQQLQLFRDLINEGIFDIISDALQSQDKKLVLTGTDILILFLNQDPTLLRSHVVRQEGIPLLGLLVKGMITDFGEDMHCQFLEILRSLLDSFSLTGAQRDTIIDIFYDKHLGQLIDVIILSCPSDEVGQSTSNLASSAGRVESQNSTKPEILLNICELLCFCVVHHPYRIKCNFLLNNVIDKILLLTRRREKYLVVAAVRFVRTILSRRDEHLVNHFVKKNLLKPVIDAFVANGNRYNVLNSAVLELFEYIRKENVKLLVRYIVDSFWNQLVKFEYLASVQSLKVKYEQCLENCGTKANVNVLDSRKRIDERALEKEEEDYFNEDSEDDTSSESRTRKVQSQPVSSDGVSASYPSLSPRSGGLVDYEDDEDDEDYRPPPKKHTETSEDDEGTLETLRLKRKLISREHENEVAYKKQRLGKSSKARDSVFAALCSTLSQAVLPSKKTTNAMPLSSQSAEGTKALGEGNHTEMESSSPRSSGKSGSEEDSHREKEPPRNCSDCLHSPSDNRQLSGDDCPLVPPKSSPEMTVNGS >KJB28723 pep chromosome:Graimondii2_0_v6:5:7035841:7046096:1 gene:B456_005G066100 transcript:KJB28723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQEKSQGNSNSLQRVKVYRLNEDGKWDDQGTGHVTVDYLERSEELALYVFDEEDNETLLVHRICPDDIYRKQEDTIISWRDSEYTTELALSFQENTGCSYIWDHICNVQRNIHFSSLNSETFHSMNSELRELPAVELSTLPIILKTVTESGIADQMRLTELILNDQDFFQKLMELFRICEDLENMDGLHMIFKIVKGIILLNSAQIFEKIFGEELIMDIIGSLEYDPDVPQVQHYRNFLKEHVVFKEVISLLKDDSTFIQELFTRLRSPTTSAESKKNLVYFLREFCSLSKSLQMVQQLQLFRDLINEGIFDIISDALQSQDKKLVLTGTDILILFLNQDPTLLRSHVVRQEGIPLLGLLVKGMITDFGEDMHCQFLEILRSLLDSFSLTGAQRDTIIDIFYDKHLGQLIDVIILSCPSDEVGQSTSNLASSAGRVESQNSTKPEILLNICELLCFCVVHHPYRIKCNFLLNNVIDKILLLTRRREKYLVVAAVRFVRTILSRRDEHLVNHFVKKNLLKPVIDAFVANGNRYNVLNSAVLELFEYIRKENVKLLVRYIVDSFWNQLVKFEYLASVQSLKVKYEQCLENCGTKANVNVLDSRKRIDERALEKEEEDYFNEDSEDDTSSESRTRKVQSQPVSSDGVSASYPSLSPRSGGLVDYEDDEDDEDYRPPPKKHTETSEDDEGTLETLRLKRKLISREHENEVAYKKQRLGKSSKARDSVFAALCSTLSQAVLPSKKTTNAMPLSSQSAEGTKALGEGNHTEMESSSPRSSGKSGSEEDSHREKEPPRNCSDCLHSPSDNRQLSGDDCPLVPPKSSPEMTVNGS >KJB31381 pep chromosome:Graimondii2_0_v6:5:54904215:54905308:-1 gene:B456_005G188400 transcript:KJB31381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPYINKYDKSIVYWTQVIGEALVLDEIINYIQSLQRQVEFLSMKLEAVNSRMNPTIEVFPLKDYGQQTFDATGMAFGPQATREYSRGASPEWLHIRGGFERTT >KJB32647 pep chromosome:Graimondii2_0_v6:5:63120333:63125649:-1 gene:B456_005G253600 transcript:KJB32647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYHETYSPNGWKWLGFVSAVWVQAISGNNYTFSNYSDAIKTLMNLTQLELNNLSVAKDIGKAFGLLAGLASDRLPTPVILLIGAIEGLIGYGVQWLVVSQKIQPLPYWQMCIFLCMGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDICSALFSDNPAKFLIMLSVIPFAVCLTAVFFLRETPQSVSVAAEKEEARYFAIFNVVAVVVAFYLLAYDFIGSTNQVFSLVFVIILLVLLASPLAVPVYSFYKSWRLFRLEVPDVERREPLLEQEANATAEAAERNEVINDEPPVAVEEAVVVEKSRPVIGEDHTIFEAMRTWDFWLLFVSFLCGVGTGLAVMNNMAQIGLALGHADVSIFVSLISIWGFFGRITSGSVSEYFLKKAGTPRPIWNAASQILMAVGFLLMALAMPGCVYIGSIIVGACYGVRLAVSVPVASELFGLKYYGLLYNVLILNLPIGSFLFSGLLAGYLYDAEATPTPGGGNTCVGSHCYRLVFIIMALASILGFGLDVLLTIRSKDIYTKIFTRRKSKKPSTTMESNGQ >KJB32333 pep chromosome:Graimondii2_0_v6:5:61802819:61803136:1 gene:B456_005G236000 transcript:KJB32333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDENGEMSTILGLNQIQFEGFCVFMDRGLTEELYKFSKIEDTEQEIEFQLFVETYQLVEPLIKERDIVYESLTYSSKLYVSTGLI >KJB30250 pep chromosome:Graimondii2_0_v6:5:33968062:33970367:-1 gene:B456_005G134400 transcript:KJB30250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATVVGSSMSLHRTSCSAARSALLVANGPLFPRFAANPRSSFPIKLYSSVSYSKSRNRRMGLGGRRGMVVKASSSPDSAEPNAQIAPLRMESPIGQFLSQILISHPHLVPAAVEQQLEQLQTDRDTDEKKEEPSASGTDLVLYRRIAEVKANERKRALEEILYALVVQKFMDANISLVPAITSSADPSGRVDTWPSQEDKLEQIHSAEAHEMIQNHVALILGNRLGESTSVAQISKLRVGQVYAASVMYGYFLRRVDQRFQLERTMKVLPSASDDDKSSIEQTVGDDTRPSGLGDSYQAASSHPEVSSWSGGISSGGFGSGIKPSRLRTYVMSFDGETLQRYASIRSKEAVGIIEKHTEALFGRPEIAITPQGTVDSSNDELIKISFGGLKRLVLEAVTFGSFLWDVESFVDSRYHFVMN >KJB28082 pep chromosome:Graimondii2_0_v6:5:2313103:2316975:1 gene:B456_005G026400 transcript:KJB28082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLDDQRSPLLQLQHHLYYAPNFTFSSKFDLWDVNTDCCSWEGVTCDAYGHVLGIDLSYKNLSGTFHSIFDLHHLQRLNLAGNNFNTTLFSYGFDKLQNLTHLNLSSSCFHGQIPVETSFLKRLVSLDLSNQDSCYFRYYKVLYPGFYNYYEPQQPLKLENPNFKTLIKNLRFLTELYLDGVNISTQSAKWCETTSLVLSNLRVLSLSNCGLKGPLCSSLSRLSFLSKLILDWNPISYLPPNFLEISSRLVSLSFRNCNLSGHFPTEILLLPKIQSIDISFNLQLMGQLPEFPANNALLSLSLFYTNFSGKLPLSIGNLELLTNLELFGCNFFGPIPSSIANLSHLVNLDLSNNYLTGPIPKSILQLPRLEGLYVGDNSFSSMKLEMFVQLNNLRTLWLNNISLLIESDHRSLTFPQLERLSLRSCNLTEFPEFIKRQDKLVDLDLSSNHIHGVVPNWLWKSSLSSLDLSFNFLKSQENLEALEASSNNLSGPIPNWLCNMSQLQTFNVSYNNLSGSIPNCLGNMSALSRLATQLQFLKVSENRLEGKLPRSLAKCTQLTVLDVGSNMINDTFPFWLEKLTYLRVLILRENRFYGQIKHFKHKSVFPTLDVLDIASNQFSGELSIDFLQPTRLRSLKIGGNKLEGKLSRSLANCTALEVLDLGNNMVHDTFPFWLEKLPSLKVLVLRENRFYGTISKFDTERGFPKLRILDVASNNFSGDLSIEFLQSLKAMANMTNDEKAKLDYIGESYYQDSVTIVNRGIEMFYQKVLTILTCLDLSNNSFHGRIPEEIQMLRSLRVMNLSNNGFSSEIPLALQNLKDLESLDLSRNKLSGKIPPQLTSLTFLAALNLSYNQLEGSIPQSNQFITFTNDSYRGNPKLCGLPLSRKCNEVGLPVAPPPRRDGESWLYAMSTWKIALIGYASGLVVGLCIGYTVLNELGNKWVDKFKKCGKRNRRCR >KJB32914 pep chromosome:Graimondii2_0_v6:5:64008242:64009129:-1 gene:B456_005G268000 transcript:KJB32914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSLSPPFVYFIKSSKPNKLERERMAQIWLLWCPKNSCTAKGGGATGRSSASSKTSGVKNGCCCRVLVKILRKVKKQSKEMVRSAGASRQSSFQCRYDPLSYSLNFDRSGCGSAVDDDDYYQMYAFSSRFAANPRRTPLAASHTQSAIAST >KJB30652 pep chromosome:Graimondii2_0_v6:5:43138695:43138916:1 gene:B456_005G153800 transcript:KJB30652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPRNNANKKLKHHRNQDSNDFDSNPEAWATLDKNFKQVQSVLDRNRMLIQQVNNNHQSKNPDNNGHHKEG >KJB28421 pep chromosome:Graimondii2_0_v6:5:4441843:4444342:1 gene:B456_005G046900 transcript:KJB28421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTVAEEVSESPMAQEEVKNEATIIAGDDGNMENGKNPVLVAVVATAEVASIISPAKTVESPQEIKNMKNEKKKKQKKEVQVSNTKKPFIFYLNRAKRYINEFNEVELCGLGMAIPTVVTIAEILKRNGFAIQKGIMTSTVLSTQEDRKGRQIEKAKIEIVLGKAEKFGAMNAVVTPTKAAD >KJB31976 pep chromosome:Graimondii2_0_v6:5:59961247:59962576:-1 gene:B456_005G216700 transcript:KJB31976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine kinase [Source:Projected from Arabidopsis thaliana (AT2G17265) UniProtKB/Swiss-Prot;Acc:Q8L7R2] MAICFQFQSPLKPITFPLSTTKRVPIFKCKASFSTTTTTELEPVFTSVKSFAPATVANLGPGFDFLGAAVDGLGDFVSLSIDPSVSPGHVTISEISGSSKLSPNPLFNCAGIAAIATMKMLNVRSFGLSLKLEKGLPLGSGLGSSAASAAAAAVAVNELFGAKLGVDQLVLAGLESEAKVSGYHADNIAPAVMGGFVLIKSYDPLELKPLIFPQNQELFFILASPEFEAPTKKMRAALPAEIGMPHHVWNCSQAGALVASVLEGDVVGLGKALSSDKIVEPKRAPLIPGMEAVKKAAIKAGAFGCTISGAGPTAVAVIDNEEQGKKIGQKMVDAFLEEGHLRSVAMVKKLDRVGARLIEGVPR >KJB31751 pep chromosome:Graimondii2_0_v6:5:58847409:58848470:-1 gene:B456_005G208100 transcript:KJB31751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 21 [Source:Projected from Arabidopsis thaliana (AT5G06920) UniProtKB/Swiss-Prot;Acc:Q9FL53] MACSSNWWRAPVYFTVSVLLAFLAISTALRSLPRDNSLPTKTTIAPLLLDASSALRKSGFNIIATLLQITPEIFISSPHSTIFAIPDSSIANASHTSWLLKHLFQYHASPLQLSMKDLLKKHRGSCFPTLFHGKNVALTKVDEKERVVEINHVLVSHPDIFLNGPLTIHGVLGPFTSMDPRYVNQGWDHIQAPICDSNLSLVSEAIATKNVVEWTHVIRLLSSKGFVSFAIGLNSVLDGILYDKMKLNSVTVFAPPEFSFVASASSLLEKIVRFHILPRKLTYMELASLPANATLCTLAPDHDLEISKAVNITQELMINQVKIVAPNMFESKKFVIHGISQAFKLGELPNTSR >KJB31398 pep chromosome:Graimondii2_0_v6:5:55127612:55131241:1 gene:B456_005G1895002 transcript:KJB31398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFTKAKAVKLRGHLEKYLVADDDQEAVRQSRNSSGKRARWFVELVHDKPNVVRLRSCHGKYLAATDIPFLLGMTGNKVLQTVPDKMDWKLQWEPIRDGFQIKLKTWCGKFLRANGGTPPWRNSITHDEPHTGATQRWILWDVEAVQVPESDSVLEYISSVSSFSSVSDDVLEALSDDVLGSGPQSPISVVSSVKSPRFSVFSTGSAKLSPKQVNSNKYQAGMDLFLNAKAVRLRGHHDKYLVAEEDEESVSQDRNGSSKSARWTVEFVPGSENIIRLKSFYNKYLTASNQPFLLGMTGRKVIQSLPRRLDSSVEWEPIKVGSQAKLKTRYGNFLRANGGLPPWRNSVTHDIPHRTATQDWVLWDVDIVEIQVQSPGSGLRPSAPPAVPHGDSLNFEPTSPSAVSANSGHFSRQE >KJB31629 pep chromosome:Graimondii2_0_v6:5:57410211:57412239:1 gene:B456_005G199000 transcript:KJB31629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTDSPVIQLQVRDSQLSIQQDNGSFHVGTSVWPCSLVLAKFVERWAPSSPTTTTDNPYYDLLDFHTRCRRAIELGTGCGAAGMAFHLLGLQDIILTDISPVMPALKHNLKRNKPVLGKNMKTSILYWNNKDQIRGVNPPFDVVIAADVVYIEESVGHLVGAMEALVSDDGVILLGYQLRSPEADKLFWEMCEKVFVIEKVPHQDLHPDYAYEETDVYVFRKKKNNNN >KJB31761 pep chromosome:Graimondii2_0_v6:5:58752928:58755189:-1 gene:B456_005G207500 transcript:KJB31761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCELCKLAATTSCESDQASLCWDCDAVVHGANFLVARHVRCLLCHACQSLTPWRATGSKLGRTVSVCERCIDGGDRKESEAENDDDGDGEDDEGDDSDDDVSVGDDVEDGENQVVPWSTVANTPPPAPSSSSSDNYSGGEREVSRSTNLFSLKRSRGNASDLHSQDDPDRLSSKRRYGYHTVLATRCRAEEGGVSVDSRTMRLSKDQQIKPEGTVQLQSESRGATSTESLGKN >KJB31762 pep chromosome:Graimondii2_0_v6:5:58753587:58755071:-1 gene:B456_005G207500 transcript:KJB31762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCELCKLAATTSCESDQASLCWDCDAVVHGANFLVARHVRCLLCHACQSLTPWRATGSKLGRTVSVCERCIDGGDRKESEAENDDDGDGEDDEGDDSDDDVSVGDDVEDGENQVVPWSTVANTPPPAPSSSSSDNYSGGEREDDPDRLSSKRRYGYHTVLATRCRAEEGGVSVDSRTMRLSKDQQIKPEGTVQLQSESRGATSTESLGKN >KJB32747 pep chromosome:Graimondii2_0_v6:5:63496746:63498472:-1 gene:B456_005G259400 transcript:KJB32747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPLIEKTGQHKPESALETPLPLQRNAVNSSKPAPKRFVKNQIPDSILNDASLNAAILVLPSNYNFEIHKCVWRVRSTGAKRVALQFPEGLLMYSLVISDILTSFADVTHCFILGDVTYGACCVDDLSAVALGADLLIHYGHSCLVPIDATKIPCLYVFVEIKINVDGLVNTIKHNLGSSKESIVLAGTIQFSSAIRSAKPELEKRGFRVLIPQSKPLSAGEVLGCTAPKIGSIANANESVAVFVADGRFHLEAFMIANPGIKAFRYDPYLGKLFLEEYDHKGMRETRRRAIEKAKSAKSWGIVLGTLGRQGNPKVLERLEGKMKEKGYDYTVILMSEISPVRIALFEDAVDAWVQIACPRLSIDWGDAFLKPLLTTFEAEIALGEIPGWWEKDGCGSCGNGLSCSESKSCCGDGNGMKDFHGDYPMDYYAQNGGEWNSSYVKKSVRLMRRNIAPSSGNGAAI >KJB28136 pep chromosome:Graimondii2_0_v6:5:2632315:2635858:1 gene:B456_005G029400 transcript:KJB28136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLHFLISLFLFSCFLPLRSLLLKLQQHLYYAPNFTFSSKFELWDPNTDCCSWEGVTCDAYGHVVGIDLSYKNLSGSFHSIVNLHHLQHLNLAGNNFNTTLFSYGFDKLQNLTHLNLSSSCFHGQIPMNISFLTRLVSLDLSYQGSCYQRNGYDYYLLSLKLEKPNFKTFIKNLKFLTELYLDGVDISTQSTKWCETTSLVLPDLHVLSLSSCGLEGPLCSSLSRLSFLSKLVLDGNPISYLPPNFLVNSSRLVSLSLRDCTLSGHFPTGILLLPKIQSIDISLNFQLMGELPEFPSNSALQRLSLIYTNFSGKLPESIGNLKFLTNLELSYCNIFGPIPSSIANLSHLVNLDLRYNNFSGLIPSFHRFGVPNLVNLYLDGNKLFGSIHSSLFTLPSLKTLYLGDNQLVGKIDEFPNASSSLIKVLYIGNNYLTGPIPKSMLQLPRLERLHIEGNSFSFMKLDMSVQLNNLRDLTLSNINLSLSLRSCNLTEFPEFIKAQDKLVELDLSNNHIYGVVPNWLWKSTLSWVDLSFNMIDFPNQLPSSDANFSVPKLRELYLEFCNISSFPEILRSPENLTELDLSNNKISGAIPNWAWKKSLHYLNLANNHLSSLDQLLPNQSSTSSQSSLPRPICNLSQLSHFTASHNNLSGPIPNCLCNMSQLIDLDLEVLDVGNNMMHDTFPFWLEKLTELKVLILRENRFYGQIKHKFVFPTLDVLDIASNQFSGELSIDFVQATQLRSLKIGGNKLEGKLPRSLANCTALVVHDTFPFWLEKLPSLKVLILRANRFYGTITKFDTERGFPKLRILDTASNNFSGDLSIAFLQSLKAMMQITNDDKAKLVYIGEQYYQDSVTIVNKGIEMLYQKVLTILTCLDLSNNSFHGRIPEEIQMLRSLKVLNLSYNNFDDEIPVAVQNLKDLIPPQLTDLTFLAALNLSYNQLEGSIPQSNQFITFSNDSYRGNPKLCGQPLSKKCNEVGLPMPPLPWEDEDSWLYAMSTWKIVLIGYASGLVVGLCIGYTVLNELGNKWVNKFKKGGKRNRTRCR >KJB30716 pep chromosome:Graimondii2_0_v6:5:44280960:44281653:1 gene:B456_005G156500 transcript:KJB30716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLWCEGLAIFQSGLSLALEVENMEQKKISSSSSSNNNAVIRDEDEYKGVPIHSQVMKIKQEFEKIKHPSLQQPDMRRVLREITRQRSRSPLGLAERPISVGNS >KJB32076 pep chromosome:Graimondii2_0_v6:5:60531589:60533862:1 gene:B456_005G222600 transcript:KJB32076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSARDENVYLAKLAEQAERYEEMVKFMENVVSAVPAPDELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNADHVSVIREYRAKIEAELSEICAGILKLLDEKLVPAAGTGDSKVFYLKMKGDYHRYLAEFKTGDDRKAAAENTLTAYKSAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACTLAKQSTYFVSFLRLLMKPLPSWIHLERIHTRTAL >KJB32075 pep chromosome:Graimondii2_0_v6:5:60531543:60533862:1 gene:B456_005G222600 transcript:KJB32075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSARDENVYLAKLAEQAERYEEMVKFMENVVSAVPAPDELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNADHVSVIREYRAKIEAELSEICAGILKLLDEKLVPAAGTGDSKVFYLKMKGDYHRYLAEFKTGDDRKAAAENTLTAYKSAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACTLAKQAFDEAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQDDGTDEIKEAPKQEDEKQP >KJB28186 pep chromosome:Graimondii2_0_v6:5:2967500:2970000:1 gene:B456_005G032900 transcript:KJB28186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVVREEKKSDLMELVILVPCCFFLVALIKFLYDYLWVPLRIQHMMNSQGIKGPPYRFIHGNSKEVARMEQEALSKRVALTDDIFPKVLPHFYAWINRYGRNFVYWNGARPELVISEPELIKEVLQTSEKKIQEKSLSDIGKEFLGNGLLFIAGEKWAKHRKLANHAFHGESLKNMTPAIIASVETMLEKWKGQEGREIEVLKEFRLLTSEVISRTAFGSSYLEGEKIFAMLQKLTIIMSRNLFKTRIPLISKLWKSSDLLESEKLSKEIKDRVMKIVKKREDEAVNGEVNSFGNDFLGLLVNAYHDSDEKNRFSLEDLLAECKTFYFSGQETVNSLLSWIVLHLAIHGDWQEKARREVIDIFGNQNPHLEGVAKLKITTMIINETLRLYGPSNGLARAVTREVQLGKLLLPANISILPLYIGIHHDPHFWGDDVHHFKPERFAEGIAKATNYTAAAFLPFGLGPRSCVGMTFATIETKIVLSMILQRYTITLSPAYIHSPISILSIRPQHEIQVILEPLHHNA >KJB31630 pep chromosome:Graimondii2_0_v6:5:57413234:57417426:-1 gene:B456_005G199100 transcript:KJB31630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVFLLLFLNPLPYAFALNQEGLYLLQVRQSLSDPTNALSSWNRRDDTPCNWQGISCHSPTSRVQSVDLSDFQLVGPFPLFLCRLPYLRSISLANNSINSSLPLDISTCQNLTTLDLSQNLLVGSLPLSLPNIPTLENLILYGNNFSGEIPATFGQFQRLRCLNLAGNLLDATIPAILGNISTLKELDLAYNPFSPSHIPSELGNLTNLEQLFLADCNLVGQIPSSFGRLVSLRNLDFSLNQLSGSIPSSISQLKSIEQIELYNNSLSGELPLNMGNLTTLKRFDAAMNELTGTIPTGLCGLQLESLNVYNNRLEGTLPESITVSKKLQELKLFNNKLSGPLPSQLGVNSPLQSLDVSYNQFSGTIPENLCAKGQLGDIILIFNSFSGEIPESLGKCQSLGRVRFKHNRFSGRVPDGFWGLPRVFLLELAENSFSGEISKSISSAHNLSILSISNNRFSGSLPDEIGSLETLVEMSASGNEFTGHIPLSLVKLKQLVRLDLSENEIDGRIPEEIKECMSLNELNLANNRLSGSIPGDIGSLPVLNYLDLSSNSFSGKVPIELQNLKLNVFNLSNNQLSGELPPFYAKENYKNSFLGNPGLCGDLEGLCPKISRSKNQTNMWILRSIFVLAGLVFVVGVVWFFMKYRSFKKNKKRATVTKWRSFHKIGFSEYEIADCLKEENVIGSGGSGKVYKVVLSNGDAVAVKKLSGVKKEDSLSAADMERDEFETEVEMLGKIRHKNIVRLWCCCITGDDKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRYKIALDAAEGLSYLHHDCVPPIVHRDVKSNNILLDHEFGARVADFGVAKIVKGVGKGAESMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKTPTDPEFGEKDMVKWVCATYNQKGVDQVIDPRLDSTYKEEICRVLDIGILCTDALPINRLSMRKVVKLLQEAGGENKLKANQDGNLSPYYCYYEEASDQASLV >KJB29540 pep chromosome:Graimondii2_0_v6:5:19072696:19073968:1 gene:B456_005G106400 transcript:KJB29540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPFTVYLLFLFNLLAFWTLFSSATLFTVQNHCRFTIWPASLSGNGASLGNGGFELSSGSSAQFQAPPGWSGRFWGRTGCKFDNSGSGKCITGDCGGILNCTFGGVPPVTLVEFTIAGGSTDNKDFYDVSLVDGYNVALGVKAVGGSGDCQNAGCVTDLNANCPVELRVLDSGSVVACKSACTAFNTPEFCCTGDHATPQTCSPTQYSKMFKSACPTAYSYAYDDASSTRTCSGSDYVITFCPTGL >KJB29765 pep chromosome:Graimondii2_0_v6:5:23913658:23914735:1 gene:B456_005G117900 transcript:KJB29765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFPAIRIAAAISILILLRESTARELRPSDHGLGYQSQPTVGLNSSDTMSFFAATSNSSSSSTPSTMTFPKATNSNDTPWWGAGGNRRGSDHVRQVLLLGSLVCGVTGLALLAASALVYCIKIRSSPSTHSTNNTNNSNSLVSSISK >KJB30861 pep chromosome:Graimondii2_0_v6:5:47855073:47861277:1 gene:B456_005G164400 transcript:KJB30861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLGKLSILVGAGIVGSILAKEGHMPNLSDFVSGAFKFAFRQLKHDDSTPSGNKPRNDYLMAQVNSLRQELQTLASNGPVTIVTGRGSGSSKYRIIIVVVVVGYGYVWWKGWRLPDMMFATRRSLSDARDAIAKQLDSVYSSISATRRHLSSRIEGVDNHLNEIADIAATTQDEVSLLQDKSKMLNSNVQSVCYVVQTLESKINRIEGKQDMTNEGVNWLCDYAQTMEQNRPTDRIQAATASSSRPALEAPTRTPSRTGSLPPIVPVDLPAPDSNGTHMVKRSPRNAVSASGLKEVGESSSNDVGNGKATSEDKTNGSSSSSGFSGMMFSGGNGSFLTRTCSATNAVPQQM >KJB29362 pep chromosome:Graimondii2_0_v6:5:14623194:14625598:-1 gene:B456_005G096900 transcript:KJB29362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTGQFVYCGKKATLMVGNVLPLRSIPEGAVVCNVEHHVGDRRTTLITTLPGISGAPQDNNIMLWNAVIFGLDDTPMGWR >KJB28412 pep chromosome:Graimondii2_0_v6:5:4396943:4399422:1 gene:B456_005G046300 transcript:KJB28412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGAQPPMSPPASPPSLPSQQTPPEPPPPPPLKITRTKTPTGILLGKYQLGRLLGRGSFAKVHEATSLDDDNTVVAVKIIDKTKTVDAAMEPRIIREVSAMRRLQHHPNILKIHEVMATKTKIYLVMELASGGELFTKVLRRGRLDEPVARRYFSQLVSALHFCHQNGVAHRDVKPQNLLLDRNGNLKVSDFGLSALPEQLNDGLLHTACGTPAYTAPEVVRRKGYDGSKADAWSCGVILFVLLAGYLPFDDSNLAAMYKKIHRREFQFPAWVSKQAKGIIWQLLDPNPKTRMTMVKLMETSWFKRTVTTLRPSLSDNHLESLMQDKKLKHDMSCNGVTAFDIISMSSGLDLSGLFEGGVNKRKEKRYTTTSMELDGAMERVREIGERLGYRVEKGKRGVVGLGKGRVVVVVEVMEVAELFVLVEVKVVESGGVEFEEGQWLDLEAGLGNIFISWDNTAVG >KJB27611 pep chromosome:Graimondii2_0_v6:5:110708:113555:-1 gene:B456_005G002100 transcript:KJB27611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFLLSSLKEAAVVAAATTAAAAGGQSLLGSIKIAVLPVAKVFTLCFLGFLMASKYVDIFPANGRKLLNGLVFTLLLPCLIFSQLGQAITLHKMLQWWFIPVNVFLGAVSGSIIGYVVVTLVKPPYPYFKFSIIQIAIGNIGNVPLVLIAALCRDTSNPFGDTETCSTQGTAYISFGQWVGAIILYTYVFHMLAPPPEGTFDNEDGNVPLKIPQKDGSPENVPLLEQEASSMDSNNSNKGKMKSFLLFVYEKLKLKQILQPPIIASILAMVIGAVPVLKKIIFTRDAPLYFFTDSCIILGKAMIPCILLALGGNLVDGPGPGSSRIGVRTLVAIIIGRLILVPPAGLGIVTLADKLGFLPADDKMFRFVLLLQHSMPTSVLSGAVANLRGYGKEAAASLFWVHIFAVFSMAGWIILYLNILF >KJB32276 pep chromosome:Graimondii2_0_v6:5:61525444:61527595:1 gene:B456_005G232800 transcript:KJB32276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRAGSSSSRLETLHEEDDYDSYGAESGWVEAKTHCDHLPSLSSDLTHIPIPSTPCSRCQHPSENWLCLSCKVVLCSRFVNKHMLEHYQQTTHSIALSFRYVVIIAFYFNFPFCYIFVARERENIYGSFSR >KJB32274 pep chromosome:Graimondii2_0_v6:5:61525423:61527189:1 gene:B456_005G232800 transcript:KJB32274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRAGSSSSRLETLHEEDDYDSYGAESGWVEAKTHCDHLPSLSSDLTHIPIPSTPCSRCQHPSENWLCLSCKVVLCSRFVNKHMLEHYQQTTHSIALSFSDLSVWCFACDSYLDAQLIQQLRPFHETAYILKFGQAPPFRSVESSRVDDKPAMDVPSSS >KJB32275 pep chromosome:Graimondii2_0_v6:5:61525429:61527595:1 gene:B456_005G232800 transcript:KJB32275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRAGSSSSRLETLHEEDDYDSYGAESGWVEAKTHCDHLPSLSSDLTHIPIPSTPCSRCQHPSENWLCLSCKVVLCSRFVNKHMLEHYQQTTHSIALSFSDLSVWCFACDSYLDAQLIQQLRPFHETAYILKFGQAPPFRSVESSRVDDKPAMDVPSSS >KJB31486 pep chromosome:Graimondii2_0_v6:5:56339295:56340380:1 gene:B456_005G193800 transcript:KJB31486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METERELLEIFGSGVRGKIISLLSYIYTHTHTHTHTKRSNQIFPMPPIPLISSSSSPFSFFFLSFHACTFAW >KJB27612 pep chromosome:Graimondii2_0_v6:5:116184:119213:1 gene:B456_005G002200 transcript:KJB27612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSVFKPYHLNLKASRISIHFHFFTTTQDPTQDLNNAPPQQQEQHVPNNRRTPRGKTRNPEKVEDIICRMMENRAWTTRLQNSIRALVPEFDHALVYNVLHGAKNSDHALQFFRWVERAGLIHHDREAHLKIIQILGRASKLNHARCILLDMPKKGVEWDEDLFVVLIDSYGKAGIVQEAVKIFQKMEELGVDRTIKSYDAFFKVILRRGRYMMAKRYFNKMLSEGIQPTRHTYNIMLWGFFLSLRLDTANRFYEDMKTRGISPDAVTYNTMINGYTRFKRMEEAEKLFVEMKAKNLAPTVISYTTMIKGYVAVEQVDDGLRLFEEMKSSGIKPNATTYSTLLPGLCDAGKTTEAKTILKEMVERYTAPKDNSIFIKLLNSQCKSGDLNAAADVLKAMIRLSIPTEAGHYGVLIENFCKANEFDRAIKLLDKLVEKEIVLRPENSLDIEANAYNPLIQYLCHHGQTGKAEVFFRQLMKKGVLDPTAFNNLIRGHAKEGNPGLGFEILKIMGRRGVPKDADAYKLLIESYLRKGEPADAKTALDSMIEDGLLPDSGIFKSVMESLFEDGRIQTASRVMKSMVEKGVKEHMDLVSKILEALLMRGHVEEALGRIELLMQNGCATNLDSLLSILSEKGKTIAALKLLDFGLERDCSIDVSSYEKVLDALLTAGKTLNAYSILCKIMEKGGITNWSSLEDLIKSLNQEGNTKQADILSRMIKGGAAASGNKKGKKQATVAS >KJB32080 pep chromosome:Graimondii2_0_v6:5:60453996:60456300:-1 gene:B456_005G221900 transcript:KJB32080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGVFLTWDDLWVTVAGGRPILQGLAGYAHPGELLAVMGPSGCGKSTLLDTLAGRQGPKTRQEGDILINGRKQALAYGTSAYVTQDDALITTLTVREAVYYSAQLQLPDTMTKSEKKERAEMTIREMGLQDAMDTRIGGWGAKGLSGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYYVMSRIASLNQKDNIGRTIIASIHQPSAEVFQLFTNLYLLSAGKTVYFGPVSAANEFFALNGFPCPSFQNPSDHFLKTINKDFGKDIELGFANGIPTEEVIDILVKSYKSSDIYQMVQKEIAQICKQGGGALQKSKRRSGFFTQCHVLTSRSFINMSRDLGYYWLRLGIYISLSIVLGSVFSHVGMDNGSIQARGSLLMFVASFLTFMAIGGFPSFVEEMKVFERERLNGHYGVTPFVIGNTLSSLPFLAMVALIPGSITYFLPGLHHGYQHFLFFVIILFACMMLVESLMMIVASVVPNFLMGIIVGAGIQGLMILVGGFFRLPSDLPKPVFKFPLYYIAFHKYAYQGLFKNEFVGLTFPNVQAGNGSSAAVTGEEILKQTWRIEMAYSKWVDLAILFAMIVLYRVLFLIIIKTTEKEKAVIGKFMSATCKDKAQVSRGERR >KJB27482 pep chromosome:Graimondii2_0_v6:5:48380806:48381724:1 gene:B456_005G165300 transcript:KJB27482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDRGMQDKFRVIVSLIIGLLTFSICCSVRSFLDLIYLFVAESTGHQMSSRLLSMKSANSLKLCLVIIRKETFLLNKEKV >KJB31736 pep chromosome:Graimondii2_0_v6:5:58483428:58485128:-1 gene:B456_005G205500 transcript:KJB31736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILQYPDSFNVPELQVWNNAAFDNGESDDTNAIKDSWCNFNSGSVNQSLESDGSKENQSPLWIKSPISFKSTASVVKPLSSKNVTGNTREPISAKMKSGVCKEEEKKRDEKKIDMEIEEIEKEVARLSAKLESLRLEKAEYNARSISMKGRIVPAKFMEQKQSIKNLETTKKMEDPLFSSVKAKMNRRGVSLGPTEIFSATKSRQFLKQETTTPIQSIQSRRKSCFFKLQDIDEGKCTRDRGKSLSLSPKSRKTVSKVLAPKAAATTVGSKRSVKKDEGVLSTIQPKRLFKDGEKPLTAKKPLKPGRVVSSRYNQIGNQSNGNFTVKDARKRSLTQNDKQESNRHDKKRVSNERVVESCKNQKSESRVKKKWEIPSEVVILKGATQDESPQSIDKINDVLPKIRTVRVWAESPRDSGPAKRVAELTGRKSYFSMEEEAEEDSVCQALSFAEEDGEEQ >KJB31370 pep chromosome:Graimondii2_0_v6:5:55675241:55676068:-1 gene:B456_005G191000 transcript:KJB31370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLTGKLEADVDIKASPEQFHEMFAHKPHHVHHTCYDKIQGCDLHEGKWGKVGTIVHWSYVHDGKAKKAKEVVEAVDPDKNLITFRVIEGDLMEEYKSFVATILVSPKSEDSGSVVHWTLEYEKLHDGIADPETLLQLVQDISKDIDAHLIQAN >KJB32297 pep chromosome:Graimondii2_0_v6:5:61615057:61618926:-1 gene:B456_005G234100 transcript:KJB32297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIMATTPLSSHFSPLPSFSSSQSRSVPKPLLFPSSFPSSNFRKLRKTPLLVLSSNNFDAFPSRDASGSNPISSKSVLNVLIQDIEPLDVSLIHKDVPPTTVDAMKRTISGMFGLLPSDRFQVYIEAMWEPLSKLLVSSMMTGYTLRNAEYRLCLERNLGCDTDLEHQSSEKPNFDLQEIVLDGTKVKELSRKNDSSSESEKTTENQFEDIEFEGLGEISPETRKYILHLQSRLASVKKELHELKKKNEAMQMQQFVGEEKNDLLDYLRSLQPEKVVELSEPSSPELKETIHSVVHGLLATLSPRMHSKVPLSENTATGTVNIGSENCSELVEDTSLQLQPLISLTRDYLARLLFWCMLLGHYLRGLEYRQELMELLSLTSSVNNNDCGGDQ >KJB32296 pep chromosome:Graimondii2_0_v6:5:61614949:61619006:-1 gene:B456_005G234100 transcript:KJB32296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIMATTPLSSHFSPLPSFSSSQSRSVPKPLLFPSSFPSSNFRKLRKTPLLVLSSNNFDAFPSRDASGSNPISSKKSVLNVLIQDIEPLDVSLIHKDVPPTTVDAMKRTISGMFGLLPSDRFQVYIEAMWEPLSKLLVSSMMTGYTLRNAEYRLCLERNLGCDTDLEHQSSEKPNFDLQEIVLDGTKVKELSRKNDSSSESEKTTENQFEDIEFEGLGEISPETRKYILHLQSRLASVKKELHELKKKNEAMQMQQFVGEEKNDLLDYLRSLQPEKVVELSEPSSPELKETIHSVVHGLLATLSPRMHSKVPLSENTATGTVNIGSENCSELVEDTSLQLQPLISLTRDYLARLLFWCMLLGHYLRGLEYRQELMELLSLTSSVNNNDCGGDQ >KJB32216 pep chromosome:Graimondii2_0_v6:5:61275599:61276332:1 gene:B456_005G230100 transcript:KJB32216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKAELESKFKKYMSKPMTKAELQSKLRHYMSKPKRALHKARDIYVKSLEGCASKVGHGGVLGCPAAAPQVSRLPKSFNFNYSKPNNEEKFLNFLETMSKKRSMESNLQEQEEAQRQMKDQHGGFNRSYSINVGLARIDEDQPCYFDEEEDDAVFARSRSCTYKRYHY >KJB31087 pep chromosome:Graimondii2_0_v6:5:51288444:51291887:-1 gene:B456_005G175200 transcript:KJB31087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSGFYGRSNPHMLSTSGGKAMGKLIEATHEPAGVEINMKPVLDIVEDIFERATPAAPGAIKQVDASEPDERALHYSSLEQMMDVLSATINRISCEITYRLSIGEDAHSTTLAVAQIVKGYSWDAKIVLALAAFAMTYGEFLLVVQLYATNPLAKDVALLKQLPEILTRADLLKPKFDTLANLINAMAVVAKCTVEFKGLPSKYIRPEDPEMSSANADIPSAVYWTIRSTVICSSQIMGLINMGHEFLSSTTDAWELSSLTHNINSIYNDLVEKLNRCRQRINERKDIEAFETLVRLFDAVHIDNMKILKALIYAKDDQPPLWDGTTKQRVSIELLRRKSVLLLISDLQIPEKELIILEQIYNESRVQPTRIESQYEVIWIPIVDRSSTFDDTMRKQFESLQAMMPWYSVGHPSMIQPAVMRYIKEVWGFNKKPLLVVLDPQGRVVNPNAMPMMFIWGSMAFPFTKLREEALWKEETWRIELLADSIDPSIINWLTDRKFICLYGGEDMNWIRKFTTTAKAVAKTANIELEMLYVGKSNPRERVRRNMTNIELENLSHTLSDISLIWFFWVRLESMWHSRAQHGVTVRNDLIMQEILTMLGFDGSDQGWAVISRGADEMARAKAETFLKSLEEYTAWEAAAAEKGFIPALNDHFRSLRTEHHCNRLTLPGISVAEIGSIKDTVVCVDCGKPMEALLMFRCCTD >KJB31086 pep chromosome:Graimondii2_0_v6:5:51288444:51291777:-1 gene:B456_005G175200 transcript:KJB31086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSGFYGRSNPHMLSTSGGKAMGKLIEATHEPAGVEINMKPVLDIVEDIFERATPAAPGAIKQVDASEPDERALHYSSLEQMMDVLSATINRISCEITYRLSIGEDAHSTTLAVAQIVKGYSWDAKIVLALAAFAMTYGEFLLVVQLYATNPLAKDVALLKQLPEILTRADLLKPKFDTLANLINAMAVVAKCTVEFKGLPSKYIRPEDPEMSSANADIPSAVYWTIRSTVICSSQIMGLINMGHEFLSSTTDAWELSSLTHNINSIYNDLVEKLNRCRQRINERKDIEAFETLVRLFDAVHIDNMKILKALIYAKDDQPPLWDGTTKQRVSIELLRRKSVLLLISDLQIPEKELIILEQIYNESRVQPTRIESQYEVIWIPIVDRSSTFDDTMRKQFESLQAMMPWYSVGHPSMIQPAVMRYIKEVWGFNKKPLLVVLDPQGRVVNPNAMPMMFIWGSMAFPFTKLREEALWKEETWRIELLADSIDPSIINWAING >KJB27968 pep chromosome:Graimondii2_0_v6:5:1521783:1523708:-1 gene:B456_005G019100 transcript:KJB27968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNNYGHQHPLLLILNQDQLIHNQSGVTHCSRCGEEVSAPCFCCVEHCGFYLHKSCGDAPLELNHPFHPHHPLLLLQEPPSSYTRCICDFCDKTCEKFIYHCSCGLDFHIKCALFTFNVAEDNLKELDHVALQHPLISTGNGDEELEDVSKCFGCREPLAKYTHFSPDCRFNLHEKCAKLPFKLNHKCHHKHPLTLQFNSERLSCKICQVKRRRGFVYGCSPCKFVVHIECVSESLDLVVEDKRHEHPFTLLLRGSSFICDACGTEGSYASYICCTCNIMVHKKCTSLPRIIKSKWHDHRLFHKYFLRIEDFRVLDCIICHDEVSTDYGSYYCSECDVIFHVKCAMKNENSYEIVENEDEESADVSSITKVLEWNDAGEATVIEHFKHIHYLILSDRVGEYDDKCCDGCLLPIVASFYYCTQCGFFLHKVCAELPKVKHVWHRRCRPALVLTSNEVFECVQCLWLSNAFAYKCEECEQCTCLQCIIALTPGARTCLGHKHPLFFYPEYKGRCVACGEDDIKGLFRVRIAIFLWIINAFRCLLHPNTKMINIFFHSLTVMITVIQKAIFVMYVKKVEIQIFGFIIVQHVILLLMSIASLVDIHSSNSGVSLNYLRFMSTLSLMLRRFITIRTAVSVVSPV >KJB32153 pep chromosome:Graimondii2_0_v6:5:60884548:60885945:-1 gene:B456_005G226600 transcript:KJB32153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFQKSQNDFLTEIQNRLDALESYKSKLIAPDTPIQAQYSVNTLHQSSQSDSDQSDEQQINKMAWKEPKILYYPKTTAPDLNIEEKPGFQNKYNANTIYEWNIDGMSEYNNLSLLQQMTMVSNVYKTQNQNGLISDHAIANLLVAGFTGQLKGWWDHALTKTQQEEILKAIKNDDQGRIILDEQGREIQYAIAILIFSISKHFIGDLSHLKDRNSKLLSNLKCKKLTDFKRYKDVFMTRVMQRSDNQQPFWKEKFLVGLPTLLGEKVRNQIRKITEDISQNIVKLKEKNNNLNLDEEIEPSINMLNEILLETTSSENDTFTETNELQIDELHTSQSSGDENELSINMLTKDQ >KJB32404 pep chromosome:Graimondii2_0_v6:5:62080730:62082565:-1 gene:B456_005G239500 transcript:KJB32404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVGLALIYTALTLALLVAFTVLICIRANKKKAKSSDGKVTTITTTPISLLEVKVTLPFKVIFGEIKVAEKFDPPEIAQIPKTRLGEGTLGTLFKVVLQCGSIITIRKIREGLIMNASGLELWINFFGGMKNDWLLPILFSFWYGGEAFILYEYLILGSLEELLHGSEGVQFTPLNWEIRKKIALYAAQAVALIHSRVTKNGEPLICGVIKASNILIRVDFSACLSSYETPYLVPLEMIVKRNPGRVAPELKYHYQKTFTQKSDVYSFGILLLELITGQRPSMTNLSAYLREKKIKGAALDNLCDTKMGSEVNESMVEMIEIAWSCLSCKPRDRPSMDDVVHRIQVS >KJB28409 pep chromosome:Graimondii2_0_v6:5:4374258:4375923:-1 gene:B456_005G046100 transcript:KJB28409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMLNGTEYLKLINGVTPSGLGFLGSNFHFKGLSQKGLLCYTKNQKTRILAPRCSLSSSRPASQPRFIQHKKEAFWFYRFLSIVYDHIINPGHWTEDMRDEALEPADLYSRNMTVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKECKIIEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKIGGKACVIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFEKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKPLSGDSPLKLGPKAEDVKKPVNPFVFLFRFIIGAIAATYFVLVPIYMWLKDQIVPKGQPI >KJB28408 pep chromosome:Graimondii2_0_v6:5:4374679:4375707:-1 gene:B456_005G046100 transcript:KJB28408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMLNGTEYLKLINGVTPSGLGFLGSNFHFKGLSQKGLLCYTKNQKTRILAPRCSLSSSRPASQPRFIQHKKEAFWFYRFLSIVYDHIINPGHWTEDMRDEALEPADLYSRNMTVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKECKIIEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKIGGKACVIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFEKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKPLSGDSPLKVA >KJB27455 pep chromosome:Graimondii2_0_v6:5:58115994:58117654:1 gene:B456_005G2034002 transcript:KJB27455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHKHNPLVPTPKNRESPESPNTRMSTEPTSIEGSVTPPTSIDSENSGVGASIQTKGTTGKRKAPPQ >KJB32185 pep chromosome:Graimondii2_0_v6:5:61094128:61095372:-1 gene:B456_005G228100 transcript:KJB32185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIVDSLNKAYQEFVAAAANVLETKGSSAAQKTESTDTALESFKQKWETFGLACDQAEEFVESIKQRIGSECLVDEATGNSSERSTGLPPISAVRLEQMSKAVRWLVIELQNGSGTAAAHPSTPFDGRFSEDGGQ >KJB29083 pep chromosome:Graimondii2_0_v6:5:10286817:10289865:1 gene:B456_005G083400 transcript:KJB29083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTYTTRNNDKHSMEKPKGLHEAAAVSKKSPRNSYDIEVHPISFHGCSALWDSDSDLGSKSREKKAYPLPQPSASSCRASMESHQRGSSFGSERVSVSSFTSNESSSDDDDDIHGDFSSFRVYEENNSNLWSKGSNSGSKASYMHQSPRYLSPWDATLESPTEKHPCHRLPLPPPTSPNKPPKKSPSKWKKGKLLGRGTFGHVFAGFNSENGTMCAVKEVKVITDDQTSKECLKQLNQEISLLSELSHPNIVQYYGSELGSDKLSVYLEYASGGSVHKLLGEYGPFKEPVIRSYTRQILSGLAYLHARNTVHRDIKGANILVDPNGEIKLADFGMAKHMTSCSSMLSFKGSPYWMAPEVIMNAHGYSLAVDIWSLGCTVLEMATSKPPWSQYEGVAAIFKIANSKGFPEIPNNLSKDAQNFIKLCLQREPTARPTALKLLQHPFVQDQSMVEPTKFNLVDDRRRPSKGSWDEISLASSLPESPCSNSTQQCKSACGSSHRSSYQTSY >KJB29081 pep chromosome:Graimondii2_0_v6:5:10286817:10289763:1 gene:B456_005G083400 transcript:KJB29081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTYTTRNNDKHSMEKPKGLHEAAAVSKKSPRNSYDIEVHPISFHGCSALWDSDSDLGSKSREKKAYPLPQPSASSCRASMESHQRGSSFGSERVSVSSFTSNESSSDDDDDIHGDFSSFRVYEENNSNLWSKGSNSGSKASYMHQSPRYLSPWDATLESPTEKHPCHRLPLPPPTSPNKPPKKSPSKWKKGKLLGRGTFGHVFAGFNSENGTMCAVKEVKVITDDQTSKECLKQLNQGSDKLSVYLEYASGGSVHKLLGEYGPFKEPVIRSYTRQILSGLAYLHARNTVHRDIKGANILVDPNGEIKLADFGMAKHMTSCSSMLSFKGSPYWMAPEVIMNAHGYSLAVDIWSLGCTVLEMATSKPPWSQYEGVAAIFKIANSKGFPEIPNNLSKDAQNFIKLCLQREPTARPTALKLLQHPFVQDQSMVEPTKFNLVDDRRRPSKGSWDEISLASSLPESPCSNSTQQCKSACGSSHRSSYQTSY >KJB29082 pep chromosome:Graimondii2_0_v6:5:10286817:10288798:1 gene:B456_005G083400 transcript:KJB29082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTYTTRNNDKHSMEKPKGLHEAAAVSKKSPRNSYDIEVHPISFHGCSALWDSDSDLGSKSREKKAYPLPQPSASSCRASMESHQRGSSFGSERVSVSSFTSNESSSDDDDDIHGDFSSFRVYEENNSNLWSKGSNSGSKASYMHQSPRYLSPWDATLESPTEKHPCHRLPLPPPTSPNKPPKKSPSKWKKGKLLGRGTFGHVFAGFNSENGTMCAVKEVKVITDDQTSKECLKQLNQEISLLSELSHPNIVQYYGSELGSDKLSVYLEYASGGSVHKLLGEYGPFKEPVIRSYTRQILSGLAYLHARNTVHRDIKGANILVDPNGEIKLADFGMAKHVRTYPLTLCF >KJB29101 pep chromosome:Graimondii2_0_v6:5:10398447:10401611:1 gene:B456_005G084400 transcript:KJB29101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQNSIQIESRHAIIIDVVGPTLLSLLPFVRVKLQRRNLTDPSVAASSFSPPRGDADIEALRPPALADPPQLPPLQPPQSDAMISSRDPHALFSGGGISFLTGSRAAKFSYGYSSFKGKRPSMEDFYETRISEVDGQMVAFFGVFDGHGGSRTAEYLKNNLFKNLSTHPDFIKDTKTAIVETFKQTDADYLNEEKGQQKDAGSTASTAVLLGDRLLVANVGDSRVVASRAGSAVPLSIDHKPDRSDERLRIEDAGGFIIWAGTWRVGGILAVSRAFGDKLLKPYVVAEPEIQEEEIDGVDFIIIASDGLWNVLSNEDAVALVQHITDAEAAARKLIKEAYARGSSDNITCVVVRFDSS >KJB29439 pep chromosome:Graimondii2_0_v6:5:15850819:15853317:1 gene:B456_005G100600 transcript:KJB29439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLQIKRIENTTNRQVTFSKRRNGLIKKAYELSVLCDVDVALIMFSPSGRLSLFSRNKSIEEILERYVNLPEHERGRLRNEEFLLKALGKLRDEADQIQTYQAASPESTDSQLEELQQEIVKCKSRIADIQRRLRIFEGEEITTLSQAEFHEQILEETLQQVRLRKQGLQEKATSSSSALDWIRKKDPQVHSLNFLESNGLLPQRDECQSVAENILPPLDGEEIINVGDQLSPTRSGLDNSNNMQRTELGQVNNVNLSPWTELYSTVAGNDSFPDGQPAAGGGGRALLELYVSQFTQSAISTMNQHHT >KJB32221 pep chromosome:Graimondii2_0_v6:5:61314212:61314637:-1 gene:B456_005G230400 transcript:KJB32221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRISFVILCVVALAVVVFSGETCMVEAVTCNPIELSPCLAAIMMPSQPPSAACCSKLKEQQPCFCGYIKDPTLKQYMNNPNIPKVASSCGVAYPPKC >KJB32517 pep chromosome:Graimondii2_0_v6:5:62477068:62479105:-1 gene:B456_005G244400 transcript:KJB32517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKWILILFLTFIIAVSQVHHLYMGELASIMLEKLQQWRKPFNNGGKNLAIGPNLTACHSSYGRPDLLVYCCPPGFQTPVPFTDFKFLDPSPVRVRRPAHLLNESFIAKYNKALSIMKSLPFDDPRSFLRQANLHCQFCTGAYEMLNRSGSELNIHRTWMFFPWHRMMIYFHERILGSLIGDDTFALPFWAWDIPDGMTIPDFYVDESSPFFHSQRDFSHFPPRVADLDYSTDDNDPRFDGKEQTETNLAFMYNRMVSGAKKTELFMGCTFKAGDKNCDSPGTIESAPHNTLHTWIGSGLNPGREDMGKFYSAAKDPVFYAHHSNIDRLWEVWRDINDRKLDIDDSDWLNSFFFFYDENLNLVKIKVKDVLDITKLGYSYEEVDRPWLNHRPPPSVPPEQARRIIKLKQNENPVFSSDFRRVLDGKLTVTVNRSVKREKFDEEETVVVYGIVVRGNEYVKFDVYVNLSDDTKMNPKFREFAGTFVHIPGGGRGGHDLKKMKLKLGISELLKDLKADEDETIWVTLVPRTTSCSNVSIEGIKIEYIK >KJB28265 pep chromosome:Graimondii2_0_v6:5:3691309:3692311:-1 gene:B456_005G038900 transcript:KJB28265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKLVCALVLCMLVVEPIATTAITCGDVTSKVAGCLSYLQNGGNVPKACCDGIRSLNMMARTTPDRQTVCGCLQTAAKAVKIKGNLAETLPAKCSVNIPYKISTSTNCKNVK >KJB30398 pep chromosome:Graimondii2_0_v6:5:38301870:38305628:-1 gene:B456_005G141300 transcript:KJB30398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREIILALIKIDSMCTIRFIMLKKTHFDFRFGFMHGFLYTNMLPVVASFWVFLSKFIAYVFGSIPGYLFRPSAIKRFAEKDSGACSDSNFDGVKERQNSDHVDQGNREADKFSLVASSSKYEFLYRKGFSGFIKEPKAESYSVHEFYMGSANCDGRILVSRDSADADIGKIEVEAEDPGESFTVEKVEENCLQVNFVDERETEEPVEEISESLMLENVLQKEPQPGETDFVLENETEESALRFFFEKDFEKYIKQEESVEEKKPEQNIIKIEDVVKEIPKDFVEKLSEKPKHGEIETKDSFKEKAQESVEERSEKDASDIQGHGDHVEDNLDYFFVIDMYIEKPKKDGEIFSPRNNVVDVESIAQQVLANRLDLSYVLTDAQNMEHGIHERTSNIIRSPINDSDEEFIELEPRSEKLRVMGEDLSIEDEGDEEEFNSMVSPEKTQQTTLREEFIFSSCDEQEEEDNDDDSEFSSEDDDLMERLKIELKLARTGGLPTILEDSESPKMVEQLGPLKIEEKYDHKNHIAEIQKVYKSYSDKMRKLDILNSQTMHAVSLLQLKDPVRLSSAFGRSSAPAIKSLLSHNVWPFKQQKPEADPAMKSIRDLHMAFETVYVGQVCLSWEILHWQYGKVNRLWECDSLATHQYNQAAGKFQRFQVLVQRFLEDEPFQDRPRVENYAKNRCALRLLLQIPVIEDDCSKYKLEGAVSNGVLSDMIEESMHVLWEFLRADKDNTNATSKTPQQAQVAPHDPIDLELLMDVRTELQKKEKRLKEIQRNTNCIIKRLQRQHQRNLLDHTLFIAQVELKLVSRVLNMLKISTDQLIWCHEKLQRINFGSRKTEIEPSFTLFPC >KJB32151 pep chromosome:Graimondii2_0_v6:5:60867062:60871691:-1 gene:B456_005G226400 transcript:KJB32151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGKRFAVLLCAEDSDYVKKRYGGYYGVFVEMLAEEGEAWEVFKVANGEFPDDDEIANFDGFVITGSCNDAHGNDVWICKLIALLKKLDSMNKKVLGICFGHQILSRALGGKTGRAISGWDIGVTAIHLSSSTSTLFSSLNIPTTLSVIECHQDEVRELPPEAEVIAWSEKTGVEMFRYGDHMMGIQGHPEYTKDILLHLIDRLMQLSFIEDSYADELKANLGKVEPDKDAWKKLCTSFLKGRL >KJB32042 pep chromosome:Graimondii2_0_v6:5:60316083:60316582:1 gene:B456_005G220200 transcript:KJB32042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGRDNFFKVFERKMDEKRSRLKESGRELAVSWALCAVCLIGHVAHFLGAKASWMHAFHSTGFHLSLSLFTLLGPGRQLIFEGVKNLFKGAPNMNTLVGLGALSSFAVSSLAVLIPKL >KJB29746 pep chromosome:Graimondii2_0_v6:5:23276056:23281748:-1 gene:B456_005G116700 transcript:KJB29746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVENAEKVNLEQKKKHKGKHDKPKPWDEDPNIDRWKIEKFDPSWNPDGLLEVSSFSTIFPRYREKYLQEAWPKVKSALKEYGIAAELNLVEGSMTVSTTRKTRDPYIIIKARDLIKLLSRSVPAPQAIKILDDEMQCDIIKIGNLVRNKERFVKRRQRLVGPNSSTLKALEILTSCYILVQGNTVAAMGSFKGLKQVRRIVEDCVENKMHPVYHIKILMMKKELEKDRALKDENWDRFLPTFKKKNVQTKKVKSKEKKPYTPFPPPQQPSKIDQELESGEYFLSEKKKLAKKWEEKQEKQAQKAAENKRKREEAFVPPKEPVKQDSNKSENKEEDVAALAMSLKQKAKEFGKQKSLQTINAEEYISAPAAEQPSKKKKKSKHT >KJB30678 pep chromosome:Graimondii2_0_v6:5:43649243:43651580:-1 gene:B456_005G154700 transcript:KJB30678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPSKQTAFALRLPSFFISLPLSLFSSNFISFIFPVPSFGNTSTMVLSKTASETDVSIHSTFASRYVRNSLPRFKMPENSIPKEAAFQIINDELMLDGNPRLNLASFVTTWMEPECNKLIMDAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGESEAAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPAKAVELVDENTICVAAILGSTLNGEFEDVKLLNDLLVEKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRSKDDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGHEGYKNVMENCHENAMVLKEGLEKTGRFNIVSKDDGVPLVAFSLKDNKRHDEFEISEMLRRYGWIVPAYTMPADAQHITVLRVVIREDFSRTLAERLVLDIQKVVHELDALPAKLNARLATEKQEQVKNGTVKKTAIETQREITAYWKKYVTERKSNNKTQIC >KJB27451 pep chromosome:Graimondii2_0_v6:5:57868891:57869601:1 gene:B456_005G202100 transcript:KJB27451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLTLYQPFLHDSPTIFTPFLKKTLSSLALSFICCSNPAMKAIPRRRLIEQNVKKEG >KJB31709 pep chromosome:Graimondii2_0_v6:5:58209813:58210592:1 gene:B456_005G203700 transcript:KJB31709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKELDEARMQGQTEIWWYMFSFVDSMALKSVVELRIADIKHFHGVVITLSQIASCISDDLASRDITTLARIMKFLKGLHRLPFFKRWRSLYDLTHSSRWLLHDTKQTLAPMHYFSHCIKEGGPAFQKAHGREIWDLASENLEFNGLFNDGMECIAKFVTNAILLSYKQGLSSVGLLVDVGGETRGLISEIVKAYPHINGVNFNLPHVISMAPAFNGVSHIASDMFCAIPNADTVIMKVLA >KJB31672 pep chromosome:Graimondii2_0_v6:5:57699338:57700754:1 gene:B456_005G200900 transcript:KJB31672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSMFFVICILHSAIAITSGSLIMFCLNEISIFAHGVETAEKLLGSTPHDQLLIQTSNSFVGLLLFVIGFLVFMVAFVKDKELQSFFAKGCILIHLSMALWRVNFERRLEDLAWDWPRQVVGDI >KJB32724 pep chromosome:Graimondii2_0_v6:5:63383860:63387329:-1 gene:B456_005G257600 transcript:KJB32724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAIAVSLLYVEIKGTVLRRVFSQIVNNFWNEWELRLMVLLSLGLQFLLIHFGKRRKKYTGARVPLIAICAWIIYLSADWMATLVLSTLLRGSVGLEKGLVVFWTPFLLWHLGGPYNITAYSLEDNELWLRHFLGMFFQIGEAIYISVRFQSDTELNAMAVPLFIAGVLKYFERIWALRCASPKQLMKSFYEPLSSKNPSDSSSDGNEKKTMIRTGLYDLSRRKKFIEDPTITEEVKFLRQVHSSFEILKPLFTDLPFQISKEFYDELVYLNRCTNAAEAFNFVKIELEFLYDLLFTKNPLHHQHYICNLVLRFICFYSAFSVLIAFSALYYKFQDHTVDFVVTYVLLFSAVFLESSSFHMYIRSKWTLMWHANHKNKLKRLYLWFAEKKLRSIKSKKGIRRMAQHDLLGYYLKARTSQITRALKSIDFINLFQRFWYSEWKDVDIELKEFIYEHLKNMRTELESEQFNPKHLEKMLGDKGDAILEKKGLDPIVNEDWKMESTDFTRRIFIWHIATSIVYYDDLSKHRKYSCGSICKIGKSLSDYMMYLILVRPTMLPKGFSDRVNDDTYNQSQRILLQPKSKQTAMMEFIETLRLPSSDVMNTQLPELEFGALWEGVQFAKKLQDLITERWDHEEKWKMISDVWMEMMVYGASRCTWEQHAQQLRHGSELLTHVALIMAHLGLSTQVHKQEKATDDTNLI >KJB32378 pep chromosome:Graimondii2_0_v6:5:61970240:61971677:1 gene:B456_005G238000 transcript:KJB32378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPSPIRRLSAEQESSVIVSALKNVITGSVPSSSSSSTVDVAADFGLNFGTLTMAGGSSSSSSSASMVLQPSEVMEKCHVCEFDGCLGCNLFPPSQQEDKNGATASNKTTKRVKKNYRGVRQRPWGKWAAEIRDPRRAARVWLGTFNTAEEAARAYDKAAIDFRGPRAKLNFPFQVATEIDNDNVITPAPPPSAAASSTPTNTTTMTTTIVSSDQEINSGSLNALQQESDQQKNASFEMGLGTEFWDGISNDDEIQQWMMMTGFGADNNNNSSDSAMTSTRNANSPRYQF >KJB32377 pep chromosome:Graimondii2_0_v6:5:61970240:61971909:1 gene:B456_005G238000 transcript:KJB32377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPSPIRRLSAEQESSVIVSALKNVITGSVPSSSSSSTVDVAADFGLNFGTLTMAGGSSSSSSSASMVLQPSEVMEKCHVCEFDGCLGCNLFPPSQQEDKNGATASNKTTKRVKKNYRGVRQRPWGKWAAEIRDPRRAARVWLGTFNTAEEAARAYDKAAIDFRGPRAKLNFPFQVATEIDNDNVITPAPPPSAAASSTPTNTTTMTTTIVSSDQEINSGSLNALQQESDQQKNASFEMGLGTEFWDGISNDDEIQQWMMMTGFGADNNNNSSDSAMTSTRNANSPRYQF >KJB29839 pep chromosome:Graimondii2_0_v6:5:25325471:25327304:-1 gene:B456_005G120600 transcript:KJB29839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTCKRCFLLSLAFLMVFFEKLGTNVVFGFNGNYKHHSPHFSTSQGIYDMEDVEDDGWQMVETKGNQFIVNSQPFYVNGFNTYWLMVMAADQSTRGKVSEVFQQASSVGLTVCRTWAFNDGQWRALQKSPSVYDEEVFKALDFVVSEAKKYKIRLMLSLVNNWDAYGGKPQYVKWGKAAGLNLTSDDEFFSHPTLRSYYKAHVKAVVNRVNTFTNITYKDDPTIFAWELMNEPRCTSDPSGDTLQSWIAEMAVYVKSLDAKHLVEIGVEGFYGPSAPARAQFNPNSISQTISDAHLQFTTTWMEAHIEDAEKYLGMPVIFAEFGVSSKDPGYNSSFRDALISTVYKTVLNSTKKGGSGAGSLLWQLFPEGMDNMDDGYAIVLAKSPSTLNLISLHSSKLAIFNSMCSWKCHWGCKKKNALETIIYHDDL >KJB29787 pep chromosome:Graimondii2_0_v6:5:24514646:24515413:-1 gene:B456_005G118900 transcript:KJB29787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHSLFFLFLLLPISAFSANHHRRRRPPPSPPSPPPLHQHQLNNIIDALVGAGDFNNWANMLSASHFFMLPLSATLFVPSDDSVFPFPVPVPTSSTTAAAASATAFDPLIIPYHIVPQRLTFSQLTLFKPFSRLPTLLPSKTLLITNSSHSNFTLDASQISHPDLYLTSAIAVHGIASLLNYTVYGGDPGLVHGLAPALPPPPPPPAMFQPLGDAMGDRRRSDAGCLFGEFAFVLLLIPWLVWCIKIYGNPLGL >KJB32840 pep chromosome:Graimondii2_0_v6:5:63823754:63825766:1 gene:B456_005G264600 transcript:KJB32840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCSYAQQLFDLMLLGEDVSLEINESNSYKVECGELGKRVSQLLQLLSDLLITTAPTPLYLRPINCMVAKLKGHFEAARRIVCNCKHRNLIWRLFASRIAAEFEELFHVLDGFIGEMEWVVRLYEAQTRGGCLKTVDRMSPTASVWSCIATVEMGSSLDDRIEAVNHLASLVRHKHKDIIVEEGGVDSLMKLLKENCPLVAHIAAANTLCLLANEDNEGTIMKEMVSTFIKSLSKTSPISKQTQTADLVASIAERNPESKQHDLIRENIIWQLVILLSSEQSTLELKISCSKALWKLAQGSVSNCQTLTETKGMLCLAKLVAKEQGELRYNCIMIIKEITSIAESDNGFRRSAFTSSSPAAKAVVDELLRVIKELDDIKLGVPAIKSIGSLARSFSAKHSRVIGPLVARLGNTDQDVAMEAAIALKKFVSTDNYLCSEHSKSIIEFEGVPLLMKLLNSGDKSTHPHVLALICYLAQHDSNSNVLIEAGALTALQTIDPKVNTKYRELETLVPHTISKLQSYLTVEQQQTESSTGIKQFFTKQSKAVVATIGGRLKLLYKGLTVYLPRLVKNPRKRILGAIPPLKTTRIQQFLKAKCMELALMSVYYLKKRLVIEEPAQKLRLVVRKFVKLLEKKEIRRNFGYIIHKLNENVLSKKKKKKRVKTYAHIGS >KJB29514 pep chromosome:Graimondii2_0_v6:5:18168368:18169877:1 gene:B456_005G104600 transcript:KJB29514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVIPSSPIMDFNFNSARSSPRSTAPSTPRRFGECFFSAPTSPTRLSEFYREFDRFSLLNDRESSGSAVPFDWEVKPGTTKSPFSTGDDFAFDFTEDLEKTFLSAEELFDGGKIKPLKSPPGLKVYDYNQKSPLLSSPRSPFSQGKKIIRDAFSPRKQKDRNPLVTAVETSQNNKEHGRGRERVQDLKLRNSSRRATRSLSPYRVSDKDYPWEVDDEGKEERKQQQREPTTTKQPSLNSKPSRKWRLRDFLLFRSASEGRASGKDPLRKYYSSSFSKKPEENKNSSLRSRESSGSVGSRRKISAHELHYTTNKAASENMKKKTFLPYKQGILGRLSFSPLSNGFGK >KJB31302 pep chromosome:Graimondii2_0_v6:5:54332862:54333297:1 gene:B456_005G185100 transcript:KJB31302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNQFKSQILHYSTNIFLNPFLESTISLSVLIVSSFPSPKTNIQKPIICARRSTGRHWSDKSTKLALKLISILASNLKILPQSLDLIVVVFFACCCVGIFVFFSCCCIRVFVGLGLKENEAMK >KJB31216 pep chromosome:Graimondii2_0_v6:5:61870257:61871219:1 gene:B456_005G236900 transcript:KJB31216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKAIESVQCFGRKKTAVAVTHCKRGRGLIKINGCPIELVEPEILRFKAVEPILLLGRQRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVGYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >KJB30868 pep chromosome:Graimondii2_0_v6:5:48341813:48342034:1 gene:B456_005G164900 transcript:KJB30868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQTVKGSFRSGPRRTAVGDFLKPLNSEYRKVAPGWGTTPLMGVAMALFTIFLSIILEIYISSVLLDGISMN >KJB32495 pep chromosome:Graimondii2_0_v6:5:62370632:62374762:-1 gene:B456_005G243000 transcript:KJB32495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAELKEKHAAATETVNNLRERLKQRRQQLLDTDVATYARSQGKSPVTFGPTDLVCCRTLQGHTGKVYSLDWTPERNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDSMCSIFNLNSPTDRDGNLPVSKTLSGHKGYVSCCQYVPDEDIHIITSSGDQTCVLWDITTGLRTSVFGGEFQSGHTADVLSVSINGSNSRMFVSGSCDATARLWDTRVASRAVRTFHGHEGDVNTVKFFPDGNRFGTGSDDGTCRLFDIRTGHQLQVYYQQHGDNEVPHVTSIAFSISGRLLFAGYSNGDCYVWDTLLEKVVLNLGSVQNSHEGRINCLGLSADGSALCTGSSDTNLKIWAFGGHRKVI >KJB28454 pep chromosome:Graimondii2_0_v6:5:4928132:4930169:1 gene:B456_005G049800 transcript:KJB28454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRVLDGIINRLLEVRGKPGKQVQLSEPEIRQLCLVSKDIFLMQPILLELEAPIKICGDIHGQYSDLLRLFENGGFPPRANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFNVRLWKAFTDCFNCLPVAALIEEKIFCMHGGLSPELRNLDQIRNLKRPTDVPESGLLCDLLWSDPSKDIQGWGPNDRGVSYIFGADRVLDCLKKLDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAAAMMSVDETLICSFQILKPAAKKPKFGFGTFTSTKSPTPPSRIKSFLGAMV >KJB30167 pep chromosome:Graimondii2_0_v6:5:32636614:32637450:-1 gene:B456_005G131800 transcript:KJB30167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATPTQKSSNVNQGELQKVFNQFDANRDGKISMTELRDVLKSMGSSITEEELKRVLEDIDTDKDGFINLSEFSSLFRSSSDEVTAALELRDAFDLYDQDKNGLISTSELHLVLNQLGMKCSVDDCARMIKSVDSDGDGNVNFEEFQKMMSASLAANGKGSKP >KJB32307 pep chromosome:Graimondii2_0_v6:5:61657737:61659491:-1 gene:B456_005G234400 transcript:KJB32307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRSAGSLSRSVISAARAPSLRSPPPLPRLRPSVSSAPRLQSRRLSYAPSRNLGELGCVQSFLPLHSMVPTACLTSHLTVNVRACCELSHGTFCRTCQDRNGIEIYREEWKRWVMHVDFQSAKGERIVPHSLICWSFYAAVVIGYMRKEMGLKT >KJB28694 pep chromosome:Graimondii2_0_v6:5:6758603:6759182:-1 gene:B456_005G064700 transcript:KJB28694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYESQVVTAIPPAKMFKACVLDGDNLIPKILPQAFKSIEYIEGNGEPGSIKKVTFGEGSQFKYMKQKVEAVDKENFVYIYSVIEGDALMNKLEKITYETKLEASPDGGSLCKTSSKYYTVGEFELKEEGIKAGKEKALGMFKAIEAYLLANPDAY >KJB28823 pep chromosome:Graimondii2_0_v6:5:7752398:7754318:1 gene:B456_005G071300 transcript:KJB28823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPESKVACETCSKTNMVMVFGEITTKADVDYEKIVRDTCRGIGFTSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLSKRPEEIGAGDQGHMFGYATDETPELMPLTHVLATRLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDKGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKETFDFRPGMIAINLDLKRGGNSRFLKTAAYGHFGRDDTDFTWEVVKPLKWDKVHA >KJB31279 pep chromosome:Graimondii2_0_v6:5:54258583:54261522:1 gene:B456_005G184800 transcript:KJB31279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEATSSFSDENLLGKGGFGRVYKGTLRSGEVVAIKKMELPPLKEAEGEREFRVEVDILSRLDHPNLVSLIGYCADGKHRFLVYEYMQKGNLQDHLNGISEKKIDWPSRLKVAIGAARGLAYLHSSSDGRIPIVHRDFKSTNVLLNTNFEAKISDFGLAKLMPEGQETDVTARVLGTFGYFDPEYTSTGKLTLKTDVYAFGVVLLELLTGRRAVDLNQGPNDRNLVLQVRHILNDRKKLRKVIDPEMARSSYTIECIAMFANLASRCVRVESRHRPSMSECLKELRLIFCTNAKGLGHGF >KJB31280 pep chromosome:Graimondii2_0_v6:5:54258583:54261522:1 gene:B456_005G184800 transcript:KJB31280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDSCSICQSIQSSSIDIIMPFRLVSAWNKRRRSNNQDHTDPWIHKPAEYWEIEDQTLRPTKRCHGSSVFTLKEMKEATSSFSDENLLGKGGFGRVYKGTLRSGEVVAIKKMELPPLKEAEGEREFRVEVDILSRLDHPNLVSLIGYCADGKHRFLVYEYMQKGNLQDHLNGISEKKIDWPSRLKVAIGAARGLAYLHSSSDGRIPIVHRDFKSTNVLLNTNFEAKISDFGLAKLMPEGQETDVTARVLGTFGYFDPEYTSTGKLTLKTDVYAFGVVLLELLTGRRAVDLNQGPNDRNLVLQVRHILNDRKKLRKVIDPEMARSSYTIECIAMFANLASRCVRVESRHRPSMSECLKELRLIFCTNAKGLGHGF >KJB29332 pep chromosome:Graimondii2_0_v6:5:13472764:13474101:1 gene:B456_005G095100 transcript:KJB29332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKDHSLLFLPLFRLNHGNGNDKSLLFFLCFALSFSLSTAQSQTPAGPPPPPSYPNSSGPAGNQFNPSMAIVMVVLVTAFFVMGFFSVYVRQCTERRTRGGNWDAAMNFGRRSRRSIRGLDSSVIESFPTFLYSTVKGLKIGKDTLECAVCLNEFEDDETLRMIPICNHVFHPDCIDAWLSSHSTCPVCRANLAPMPGDTTTCATAQINDSDSETENNNTSDETGHLDVVNRARDIESPEVNLINSNTANNQNRQQRSLSTGWMLTRLFPRSHSTGHSLVQPGENCERFTLRLPEDVRTQLMNSSLSRTKSCVAFPRARSSKRGYRSRSLGRNYYNYERFERPDRWGFTMTPPFFSRIGSVKSPKPAVCGGDEGSATPPKGLYKSTKSPFDRLFIGGEENVGEQSSDRLRSESQV >KJB32456 pep chromosome:Graimondii2_0_v6:5:62265956:62266988:-1 gene:B456_005G241800 transcript:KJB32456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTASLSTAVATTSFLRGHPVPKTLNSLPFNQSLFGLKPQRNGRLTMAAFKVTLLTPEGPQEFVCPDDVYIVDQAEEEGIDLPFSCRAGSCSSCAGMIKQGSVDQSDGNFLDDDQIAAGFVLTCVAYPQSDVVIETHKEEQLTA >KJB28281 pep chromosome:Graimondii2_0_v6:5:3810503:3817498:-1 gene:B456_005G040100 transcript:KJB28281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRSTPVHKSASAVISAIIFLFLIHGAHCFDLPGTATEIFQKIGEERKISLAPHCGSNPPILDPESGGGHVEKLADFNTYVSGSPECKHAVLLASDVYGYEAPNLRKIADKVADAGFYAVVPDFFNGEPYDPNNPDRPKDAWMKDHSPVKGFEDAKLMIDALKSKGFSSIGAAGFCWGAKAVVELTKAELIQAAVILHPSYVTVADIKSVKLPIAILGAELDHLASPAVLKQFDDILSANKVDRFVKVFPNCSHGWTLRYDINNSTAVARANEAHQDMLEWLLKYVK >KJB28280 pep chromosome:Graimondii2_0_v6:5:3810556:3817348:-1 gene:B456_005G040100 transcript:KJB28280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRSTPVHKSASAVISAIIFLFLIHGAHCFDLPGTATEIFQKIGEERKISLAPHCGSNPPILDPESGGGHVEKLADFNTYVSGSPECKHAVLLASDVYGYEAPNLRKIADKVADAGFYAVVPDFFNGEPYDPNNPDRPKDAWMKDHSPVKGFEDAKLMIDALKSKGFSSIGAAGFCWGAKAVVELTKAELIQAAVILHPSYVTVADIKSVKLPIAILGAELDHLASPAVLKQFDDILSANKVDRFVKVFPNCSHGWTLRYDINNSTAVARANEAHQDMLEWLLKYVK >KJB29413 pep chromosome:Graimondii2_0_v6:5:15504797:15505454:-1 gene:B456_005G099000 transcript:KJB29413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEPMPISIHALTLLYLHICLENPIAIVEKTNPIRLINNKMQTPTPREQNKKAKHYWGIGFWGIDLGRFMGMGVSKKEGGKNNISFEILG >KJB31100 pep chromosome:Graimondii2_0_v6:5:52122518:52123168:-1 gene:B456_005G177700 transcript:KJB31100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLNLINTNKESCITYLLFFLFIVYAQVTWDSQLVDIFAVLHSIKMPTQKSIFMCIYKEYRYPKLAENKDVRQRNSNLTALHPDSFLSDRGKKATLKIFD >KJB28608 pep chromosome:Graimondii2_0_v6:5:6004644:6005531:1 gene:B456_005G058300 transcript:KJB28608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVEDRISSFPDPILCHISSFLPIKQAVRTSIISTKWRYLFASLSTIKFDAYSMSDLTDRNVDSFKNFVDRLSKSPDQVRLDCFRLSHMICSWNDWNDGDHDFDISGWICAALCRGVKEIDLQLSYREDILPAVLFTCGSLVTLKLDAVGHNFEFPSDVCLGNLKTLLFRDSFFGDSVLRFISNCHVLEDLAFIECDFYTSDINIQTPSLKRFILDFNLGEFADLKYVVVINAPNLVYFQYTDAVAQGYTLSTMKSLENAHIKICGFDTIDSQAIAAHLIQGICNVRSLRLTINEG >KJB28974 pep chromosome:Graimondii2_0_v6:5:8966753:8967579:-1 gene:B456_005G078000 transcript:KJB28974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFPKAPISFKWIRGMSSSAASGIELTNAYSPDTVIASFLRNEVHDLWAFYLQVVLLRQTLTHCEKFSIAAFRRSLDRVSVPVWLIILSDQLLIIALISYCLTN >KJB28435 pep chromosome:Graimondii2_0_v6:5:4669842:4671244:-1 gene:B456_005G048000 transcript:KJB28435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPWWVNQVSLQGMDPAGNSPGLDKRDLEISMNDMGKSRNNVGGDEEDDDRDTGDEPKEGAVEIGNRRPRGRPPGSKNRPKPPIFVTRDSPNALRSHVMEVASGSDVAESIAQFARRRQRGVCVLSGSGSVANVTLRQPAAPGAVVALQGRFEILSLTGAFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLVAAGPVMVIAATFANATYERLPVVEDEEEAVSGGGGGHGDQIHGGATNSPPTIRSGGSGPQSGLLDPSSLPMYNLPPNLVSNGGTQLGHETYGWVHGRQPY >KJB29018 pep chromosome:Graimondii2_0_v6:5:9247529:9249600:1 gene:B456_005G079900 transcript:KJB29018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKCGSAEQAFGVFQRMECKDVFSYTAMIVGFAMNGEVERALDIFVGMKMVGIKPDEVTFVGVLSTCSHAEMVEEGWRHFEDMSKVYNLEPQTEHYGCMVDLLGRAGLISEAEVFIANMPIGPDAFVWGALLGACSIYGKVELGEAIVKKLVAIEPIRDGAYILMSNIYSSANRWKEIVCKKFKIIKNQLSILDQTV >KJB29017 pep chromosome:Graimondii2_0_v6:5:9247529:9249154:1 gene:B456_005G079900 transcript:KJB29017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGLVQQGQFNEALHFFNDMQRIGLRPDNVTLVAVLNACSNLGKLELGKWVNVYIDKNQIKADGFVGNALVDMYAKCGSAEQAFGVFQRMECKDVFSYTAMIVGFAMNGEVERALDIFVGMKMVGIKPDEVTFVGVLSTCSHAEMVEEGWRHFEDMSKVYNLEPQTEHYGCMVDLLGRAGLISEAEVFIANMPIGPDAFVWGALLGACSIYGKVELGEAIVKKLVAIEPIRDGAYILMSNIYSSANRWKEIVCKKFKIIKNQLSILDQTV >KJB32823 pep chromosome:Graimondii2_0_v6:5:63716882:63717348:1 gene:B456_005G263600 transcript:KJB32823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVVDKWRRELTKLREKGQSIFCTGSSPASVESDQVVQSQERSSNGLIQGFVTQVMPGKSAMVPCSEGSISMLVDCFCP >KJB28349 pep chromosome:Graimondii2_0_v6:5:4144581:4145553:-1 gene:B456_005G043200 transcript:KJB28349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGQPRRPQQAAGQGENQEPIKYGDVFNVSGELANKPIAPQDAAMMQTAETQVLGQTQKGGTAAVMQAAATRNEQVGVVGHNDITDIAGEQGVSVAETDVAGRRIITEAVAGQVVGQYVQATPVMTSQVGAVQQNAITIGEALEATAKTAGDKPVDQSDAAAVQAAEVRATGSNVIIPGGLAATAQSAAAHNATLDRDEEKIKLNQVLTGATAKLPADKAVTRQDAEGVVSAELRNNPNVATHPGGVAASMAAAARLNENVNA >KJB32729 pep chromosome:Graimondii2_0_v6:5:63411249:63413112:-1 gene:B456_005G258000 transcript:KJB32729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPALSFCSNALPGSAMQCEKQSCSATAMFPTAQWCCRGANNDNYRHKKISFRSYCNKSDAGCGCGCGGGSDGGGGLSSIVTREVFEEMLPYRNDPRCPAAGFYTYEALIAAAKAYPAFAATGDDATRKREVAAFFGQTSHETAAGRGRNPPGGPFVWGYCYNKEVKPLSEYCDGTNPQFPCVPGQKYYGRGPIQLTWNYNYGQFGAAIGKEKELLENPDLLLTDASLAFQSALWFWMTPQRSMPSCHDVIVGAWMPSARDKAAGRFPGYGVITNIINGGQCGRGRNAAGEDRIGFYKRYCDMFGVDCGDYLDCYNQKDFRKDFLY >KJB31717 pep chromosome:Graimondii2_0_v6:5:58356879:58358195:1 gene:B456_005G204200 transcript:KJB31717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKITSFLLAQVFVVSILLAIGRQAVATRNIPRNPENANQAKHPEWLIGHDSSVLIPGLEPKNPYCGRIGGSTDGGSGYIPGGDDTFVPNPGFEVPIPGNGAGSASAGKRQHP >KJB28506 pep chromosome:Graimondii2_0_v6:5:5189539:5193212:-1 gene:B456_005G052600 transcript:KJB28506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSRREFFSGPLYGLTTSPTTSSSSANIDLAWPFGKLETIDHDDIRETAYEIFFTSCRSSPGFGGRNALMFYSSHENQHNHHGDEGSSGGLSSQGPGSPSRRANHGAVNAPSSRVKRALGLKMVKRPQHTRRMSMSSLNFSSDGGGGSSSPSSPGSSPGVGSAFSTLPGRPRRPLTSAELMRQQMRVTEQSDNRLRKTLMRTLVGLTGKKSDNIILPLELIRFIKPSEFNDPHEYHIWQKRQLKILEAGLLLYPSVPIEKSNSLVTCLREIIRSSDFKPIDISKNSDTMRTLSNTAISLAWRSTNGSPNNVPHWVDGFPFNIHLYTCLLQAIFDIRDETQVLDEVDELLELMKKTWTTLGINRPIHNACFTWVLFQQYVSTNQTEPDLLYAAYTMLTEVANDAKKPDREASYVKLLSLMLDSIQNWAEKRLLSYHDYFNKGTISGIESLLPLALSSAKILGEDVKIMEGEGGENGDIMLVDSTSDRVDLYIRSSVKNAFAKMVENENAKLANTEEKEEPSVTILKFAKKTEVLAEKEKELFSPILKRWHPVATGVAVVTLHQCYGALLKQHLSGTRMLNSEIVGVLQGASKLEKVLVQMVVEDSVECEDGGKGIIREMSPYEVDSIILKLLRQWIDERLKKGKDLLSRAKETENWNPKSKSEPYAQSAEELLNLAKEMVKDFFEIPIGITNDLILDLAEGLEQLFQEYTTFVASCGSKKSYLPTLPPLTRCNRDSKFFKIWKKASPCSVGVEHMHRIVNMDGHHSRPSTSRGTQRLYIRLNTLHYLITNLPSLDKALATSPKVSTQNNSNGRSGRGASYSFFENVNASIQSACDHVSEVAAYRLIFLDSNSVFYENLYVGDVANSRIRPAVKILKQNLTLLNAIVIDRVQAVAMKEVMKASFEAFLMVLLAGGPSRTFNRSDHEMINDDFDSLKRVFCNCGEGLISDDVVKKEADTIEGVISLMSLSTEQLTEDLSIITCETSGIGLVGTGQKLPMPPTTGRWDRSDPNTILRVLCHRNDRVANQFLKKSFQLAKRK >KJB28190 pep chromosome:Graimondii2_0_v6:5:3127336:3132500:1 gene:B456_005G033400 transcript:KJB28190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLDSVVREENKSGLMELVILVPWCFFLIALTKFLYHYLWVPLRIQHMMNSQGIKGPPYRFIHGNNKEVTKMRKEALSKAVGLTDDLFPRLQPHIYSWINTYGKNFVYWNGVRAEVVISEPELIKEVMKNSENIFQKRKLTDVGAKLLGNGLVFIEGEKWAKHRKLANHAFHGESLKNMTPAIIVSVETMLERWKGQEGKEIEVYNEFRLLTSEVISRTAFGSNYLEGEKIFAMLSKLTILVSQNISKTKIPFINKLWKSAEMLESEKLEKGIQDYVMEMIKKREDKVVSGEADSFGNDFLGLLVNAYNDLDDKNRVSLEDLVGECKTIYFAGQETVNSLLAWIVLHLAIHGDWQEKARREVIDIFGNQNPHLEGIAKLKIMTMIINETLRLYGPSNGLPRTVAREVQLGKLVLPANIDVLSLNIGLHRDPHLWGDDVHLFKPERFAEGIAKATNYTAAAFFPFGFGPRSCVGMTLATIETKIALSMILQRYTITISPAYVHSPIPILTIRPRHGIQIILEPLHSC >KJB29346 pep chromosome:Graimondii2_0_v6:5:13858393:13859003:-1 gene:B456_005G0959002 transcript:KJB29346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TEILLSFSEAAKGCTKDLQFDAFVTCDSCARPTFYKYVSFMFILNALELFALHRASS >KJB30525 pep chromosome:Graimondii2_0_v6:5:40454926:40456054:1 gene:B456_005G147800 transcript:KJB30525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIGGIFIAVFCFSFFALQTPCTSLQIQLQSSSEQGKGVELAPPTVQLPRKLRFAEEVALQGNVAQAQHSISSTKKLEDVKGKAKQKEEATVHGNRGRRQQWKEGGPDLSHYLTMDYSNVRRRRPIHNKSFPVAP >KJB32558 pep chromosome:Graimondii2_0_v6:5:62677737:62681086:-1 gene:B456_005G246800 transcript:KJB32558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVKVTSTNSLFSSASPCVVFSIVIAFVLSSAVLCDGGKTGVYARKPEKTGDMPLHSDVFRVPSGYNAPQQVHITQGDHVGKAVIVSWVTQDEPGSNTVVYWSENSNGKRKAEGKVKTYKFHNYTSGYIHHCIIRNLEYNTKYYYMVGDGDSIRKFWFTTPPRVGPDAPYTFGIIGDLGQTFDSNSTVAHYEQNPKKGQTVLYVGDLSYADNYPNHDNVRWDTWGRFIERSVAYQPWIWTVGNHELDFAPEIGETTPFKPYSNRYPVPYVESKSTSPFWYSIKRASAYIIVLSSYSAYGKFTPQYQWLQQEFPKVNRTETPWLIVLMHAPWYNSFNYPFMEGETMRVIYEPWFVKYKVDVVFSGHVHAYERSERVSNVAYNVVNGICTPVKDQSAPVYITIGDGGNMDGLVSNMSEPQPTYSAYRESSYGHAIFDIKNRTHAHYSWHRNHDGNPVEADSMWFFNRIWHRVDESSVSQ >KJB29963 pep chromosome:Graimondii2_0_v6:5:28066065:28068441:1 gene:B456_005G125600 transcript:KJB29963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSLMGSLRISHLPQMGFLKYPHHNHKQSSIITCGLRGGTKKPLWKSRVLSTEAIQAVHSLKLANSNSKLHHVLSTRLSRLLKADLLDTLAELQRQNEFHLALKVFEFVRKEVWYKPDMCLYCNMIQLLGKNKMTEMAEQLFTELEKDGLKPDTRAFTELIGAYLQVGMMEKAMETYERLKACGCSPDKLTFTILIRNLENVGKEELAAVVKKDCIEYLEFPERFLEDVRKKHLKRRQLDLV >KJB28606 pep chromosome:Graimondii2_0_v6:5:5956373:5957830:-1 gene:B456_005G058100 transcript:KJB28606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMCVEDRISSFPDPILCHILSFLPIKEAVRTSIISTKWRYLFASISTIKFDGYSMSGLTDRNIDSFKNFVDRLLKSPDQVSLDCFRLCHEICSWNDGDHDFDISGWICAALCRGVKEIDLQLGYLEDILPAVLFTCGSLVTLKLDAVGHKFKFPSDVCLGNLKTLHIRDSFFGDSIFSVINIQTPSLKRFILDFDLGGFGDINYVVVINAPNLVYFQYTDVVAQGYTLSTMKSLEKAHISICGCDTIDSQTIATHLIQGICNVRSLRLTIDEVIFQTSRLLIFYNLIEFKFLGRGFNGRETWLVEFLHCVLNLKTLILNFSVVAGTQWKVLEVPFCLSFHLKEIEISYFNTHIIEIVIYFLDKAMILEKLIITMDTLTVT >KJB32028 pep chromosome:Graimondii2_0_v6:5:60283567:60284934:1 gene:B456_005G219600 transcript:KJB32028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFIRTPRQHEQDCHILHSYFSGNFGVISNEEVVRIFVNRDSNALKLVCQTYSHWYGQDLLQFVSNVQRNNSFARIAYLRMVEPYERDAQLLRHSLFGGSLNLNTLIEVACTRPSSELLQIKHCYQTQYKSDLEKDLTMKISGGFKEILVTVLRSCRNYSSKVDMSLAMCDAKTLYEALESGKNIDQRTIISVLSRRNNGQVRAILSSYKQLYGHELSKSVKRSKYGQFGKELQVVIRCIQHPEKFFAKQLRMKNADGREILIRTVVTRSRIDIKGINKAFATKTGSSLENLVVREFGSSKNKNNDIVVGILVGLIKGG >KJB32697 pep chromosome:Graimondii2_0_v6:5:63314370:63316395:-1 gene:B456_005G256200 transcript:KJB32697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVNEIGSNKAVSVATTPPISAPPSQFHSPSLSRSPLLASDDHIQPANKTPRISTPRFVTPLGSPIRRALQLTRLDPHDAWLPITESRNGNAYYAAFHTLCSGIGIQALVLPVAFTILGWTWGIIILTLAFIWQLYTLYLLVQLHESTETGMRYSRYMQLCSATFGEKLAKWLALFPIMYLSGGTCVALIIIGGQTCKMFFDVICGGTCTAQPPTIVEWFLIFTSAAVLLSQLPNLNSIAGVSLIGAITAFGYCTLIWVVSVIEGRTEGVSYNPVRGSTEVTRIFDVFNTFGIVSFAFRGHNLILEIQATMPSDEKHPSSVPMWKGVKIAYTLVAMCLFPLAIGGYWAYGQRIPENGGILTALFVFHGKDTSQFILGLTSLFVIINALSSFQIYGMPTFDDMESVYVKKKKKALPWWFRAILRAMFGYGCFFVAVAIPFLASLAGLVGGIALPVTLAYPCFMWLKVKKPKAFTPIWCLNWVLGLLGMALSGVLVAAGIYVIIDTGVEVSFFDPR >KJB28691 pep chromosome:Graimondii2_0_v6:5:6628994:6629146:1 gene:B456_005G063000 transcript:KJB28691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTTRKINLISCDLMDHVSDIKLIRTDTTLDLSQKAEKGMPLSVEGRAI >KJB28686 pep chromosome:Graimondii2_0_v6:5:6592036:6592992:1 gene:B456_005G062500 transcript:KJB28686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYESEIVTAIPPAKMFKACILDGDTLIPQILPQAFKSVEYIEGNGAAGSIKKVTFGEGSQFKYMKQKVEAVDKDKFVYIYSVIEGDALMDKLEKITYETKLETSPDGGSVCKTTSKYYTIGEFELKEEGIKAGKEKALGMFKAIEAYLLANPNTY >KJB31707 pep chromosome:Graimondii2_0_v6:5:58133055:58135225:1 gene:B456_005G203500 transcript:KJB31707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIELDEAKLQGQAEIWRYLYSFTDFIALTSAVQLRIADIIHSNGGAATLSQIASCISDGHTSTDITALARIMRLLVRRKIFTIHPPSDGGDPLYNLTHSSRWLLHDSEQTLAPLVLMENHPWQMAPWHYVSQCVKEGGTAFKKAHGCEIWDLASRDPDFNKLFNDGVACTSKFITSAILSGYKQGFNSIGLLVDVGGGTGGLISEIVKVYPHIKGVNFDLPHVVSTAPAYNGVSHIGGDMFHAIPNTDAIIIKWVLHNWNDEECIKILRNCKKAIPRENGKVIIVEIILKEDGSGVFDDIGFLMDLVMFAHNSGKERTEAEWKKILEGGGFSHYKIINIPALVSIIEAYPDA >KJB28559 pep chromosome:Graimondii2_0_v6:5:5652021:5654731:1 gene:B456_005G055600 transcript:KJB28559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGYESLSRTDARKAEQIDLESGETLYPGLSYGENELRWGFIRKVYGILAVQLLLTTMVSAFVVSSPSIIDLLRGNSGLLLFLCLIPLILVWPLHVYHQKHPLNFIILGAFTVFLSLTIGVSCANTDERIVLEALILTAAVVTSLTGYTFWASKNGKDFNYLGPILFTSLVILVLTSLIQMFFPLGPTTTAIYGAISALVFCGFIVYDTDNLIKRFTYDDYILASATLYLDILNLFISIMRVLRSGDS >KJB32300 pep chromosome:Graimondii2_0_v6:5:61624195:61626605:-1 gene:B456_005G234200 transcript:KJB32300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDSAISSPLGPPACEKDAKSLRFIEEMTRNADSVQERVLSDILTQNSQTEYLRRFKLNGASDRDSFKSKLPVITYEDLQPEIQRIANGDRSAILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETRTPGGLLARPVLTSYYKSEHFKTRPYDPYNVYTSPNEAILCPDSFQSMYTQMLCGLQDRHQVLRVGAVFASGLLRAIRFLQLNWRQLSQDIETGTLNQKVTDPSLRECMGKILKPDPELARFVRRECSKESWEGIITRIWPNTKYLDVIVTGAMAQYIPTLDYYSGGLPKACTMYASSECYFGLNLNPMCKPSEVSYTIMPNMAYFEFLPHDPNSAGFTRDSPPKLVDLVDVEIGKEYELVITTYAGLCRYRVGDILRVTGFHNSAPQFHFVRRKNVLLSIDSDKTDEAELQKAVENASRLLREFNTSVVEYTSYADTKTIPGHYVIYWELLVKDAANSPTDDVLKQCCLAMEESMNSVYRQGRVADNSIGPLEIRVVRNGTFEELMDYAISRGASINQYKVPRCVNFTPIMELLDSRVVSTHFSPALPHWTPERRR >KJB29055 pep chromosome:Graimondii2_0_v6:5:9940459:9942122:1 gene:B456_005G082200 transcript:KJB29055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQCAFQITHLFLLLLTFLQFKCMACAAKITTYSLEGSEKLLQLVSNYSMVSNLKENESEVWNQGFFMSPIGKLIYTTLKTMDITWACSSSSSVSSHYFDNHGIRGSPTSTVIGPSKHRHSTLGDPNFNPSQGKARWLFCYSLLNFDGITLFLFLFLSTYLYFVQI >KJB31870 pep chromosome:Graimondii2_0_v6:5:59330708:59335994:-1 gene:B456_005G212000 transcript:KJB31870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotate dehydrogenase (quinone), mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G23300) UniProtKB/Swiss-Prot;Acc:P32746] MAIRTAGKLFKDLVRQRVISTSIGPSRHCSSAAASAPKIPHSSKKGRRLLTGATIGLIIAGGAYVSTVDEATFCGWLFSATKLVNPFFALLDAEKAHTLAVAAASRGWVPREKRPDPAILGLEVWGRKFSNPVGLSAGFDKNAEAVEGLLGMGFGFVEVGSVTPVPQEGNIRPRIFRLRQEGAIINRCGFNSEGIVAVAKRLGAQHGKRKLETSSSSSPSNDEAKHGGKAGPGILGVNLGKNKTSEDAAADYVQGVHTLSQYADYLVINVSSPNTPGLRMLQGRKQLKDLVKRVQAARDEMQWGEEGPPPLLVKIAPDLSKDDLEDIAAVALALRLDGLIISNTTISRPDPVDKNPVSAEAGGLSGKPLFNLSTNILKEMYVLTRGKIPLIGCGGISSGEDAYKKIRAGATLVQLYTAFAYGGPALIPQIKAELAECLERDGFKSIHEAVGADCR >KJB27503 pep chromosome:Graimondii2_0_v6:5:62985151:62985441:1 gene:B456_005G251100 transcript:KJB27503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGLVHAKQKLQRTLSARTRNTLATSNVPKGHVAVYVGEDNKKRFVIPISFLNHPLFQDLLDRAEEEFGFNHPMGGLTIPCSEEQFVRITTILNS >KJB31772 pep chromosome:Graimondii2_0_v6:5:58844803:58847154:1 gene:B456_005G208000 transcript:KJB31772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPKILSGSADCIDDIVVENNNKVLKFIEDVTADADEVQRRVLSEILSRSANVEYLQRYGLNGCTDRETFKKVIPVVTYEDLKPDIERIANGDTSPILCSQPISEFLTSSGTSAGERKLMPTIEEELGRRSLLYSLLMPVMSQFLPGLDKGKGMYFLFVKSEAKTPGGLVARPVLTSYYKSSHFKNRPNDPYTNYTSPKETILCLDSYQSMYSQLLCGLFQNEDVVRVGAVFASGFIRAIQFLEKHWSLLCKDIRTGTIDSKITDPSVREAVMKILKPNPKLADFVEAECSKGSWKGIITRLWPETKYIDVIVTGTMSQYIPALDYYSNALPLVCTMYASSECYFGINLNPLCNPSEVSYTLIPNMAYFEFLPVTRKDELTGSISEPTALNDKEKQQLVDLVDVKLGQDYELVVTTYAGLYRYRVGDILRVSGFKNKAPQFNFICRKNVVLSIDSDKTDEVELQNAVKNAANQLLQYEASLVEYTGYADTSAIPGRYVLFWELSVKDSTLIAPSVFEDCCFTVEESLNSVYRQGRVCDKSIGPLEIRVVENGTFDMLMDFALSQGSSINQYKTPRCVKYAPIIELLNSRVISSYFSPKCPRWTAGHKQWCTQN >KJB32777 pep chromosome:Graimondii2_0_v6:5:63598249:63599932:-1 gene:B456_005G261000 transcript:KJB32777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALCQKLGNVKSSSKLNRAKDGKRVIPCKGLFRDDKEKPLTAKWYETRRNIKKALSFNRELLDKKKTVNKLWQEHENGRNSGLKVHIRAAKVVVRTMGKWVNTSKQIGPISGTKIVDHFYWRGEYLSIVGLQHEFQRGIDYMKLINGKILATSIVDSGRYDNVDEICSDKLVYCGEGDNPNKDQKLVRGNLALKNNMDDKMPVRVIRKVNDDGSGDRGCNYKFVYDGLFCVTEYWKEKGKFGKEIYKFLLRKCEEGDM >KJB29654 pep chromosome:Graimondii2_0_v6:5:21048149:21053133:-1 gene:B456_005G112200 transcript:KJB29654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLSSVMGVFGFGIGTSVGIVIGYYMFIYFLPTDVKDPKIRPLVEEDSKTLQQLLPEIPLWVKNPDFDRVDWLNKFIETMWPYLDTAICTTAKNIAKPIIDEQIPKYKIQSVEFETLTLGTLPPTFQGMKVYVTDEKEIIMEPSLKWAGNPNIIIAVKAFGLKATVQVVDLQVFAVPRITLKPLLSVFPCFANIYVSLMDKPHVDFGLKLLGADVMAIPGLYRFVQELIKDQVANMYLWPKALQVPIMDPTQAMKKPVGMLDVKVVKAMKLRKKDFLGKSDPYVKLKLTEEKLSAKKTTVKQSNLNPEWNEEFSFVVKDPNTQALEIILYDWEQVGKHDKMGMNVVPLKDLTPEEPKVFTLDLLKNMDPNDQQNEKSRGQLVLEAFYKPFKEDEMPNDVDDSTMVQKAPEGTPAGGGLLVVIVHEAEDIEGKYHTNPHVRLLFRGEERKTKRVKKSRDPRWEEEFQFMVDEPPTNDKIHIEAFSTSSRIGLLHPKESLGYVTISLADVVNNRRINERYHLIDSKNGRIQIEMQWRTS >KJB29820 pep chromosome:Graimondii2_0_v6:5:24993593:24994674:1 gene:B456_005G119900 transcript:KJB29820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKKCLDFSATLYIIHLFICLVYGGWPSSVTWWVVNGTGVTVMALLGEYLCIKRELREIPTRCRSNI >KJB31611 pep chromosome:Graimondii2_0_v6:5:57189038:57192451:1 gene:B456_005G197600 transcript:KJB31611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPQRKQTNNFLLQRNDKIFRWNGAYTWLFFKKLQFLGVIKGENGNQKRGEKRKKMSRPSFHTSRIDGFQQEDEGPF >KJB31731 pep chromosome:Graimondii2_0_v6:5:58424628:58425298:-1 gene:B456_005G204900 transcript:KJB31731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTIKSWYGVLSKLIYLVDQKDNLSSLYKNKAPTDSGVTQAVTVSSFQEELSERFVTPFSSVGEARRAHRSFFFSPGQVVASFSTLSCNHLLFSVVGPLLSQLRMDNEPAARLPVTLSLCF >KJB29602 pep chromosome:Graimondii2_0_v6:5:19873696:19874328:1 gene:B456_005G109600 transcript:KJB29602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVVSEILRSGFIINSSLRRRTHLVQSFSVVFLYCGNSNSNSSGSTQHQLMDQRKRKRMESNRESARRSRMRKQKHLDDLVGQITELKKDNNQILTSINFTTHRYLNIEAENSVLRAQMTELSQRLDSLNDILQYLNREGIEYTPDCFTNPFNVPYLNQQPPIMASAHIFQY >KJB28520 pep chromosome:Graimondii2_0_v6:5:5251235:5253826:-1 gene:B456_005G053100 transcript:KJB28520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAPNDVKEVNGHKRNSLSSIDSTETEDHTDGEEYSESNSLLLPKKGGMSRKSEKTRRKVQWNDRNGDKLVEVMEFEPSDVSDSEDDEDKDSCICIIM >KJB31754 pep chromosome:Graimondii2_0_v6:5:58685117:58687582:-1 gene:B456_005G207100 transcript:KJB31754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPWLKLSVLVFMWFSFNSQLSLGADTISANRSLSGNQTIVSSGGNFVLGFFKPGNSSNQYIGIWYGNKVTQPTVVWVANREIPVRDTQSSELKISDGNLVLFNESKVPIWSTNISSSSSSSLVAVLEDSGNLVLRDGPNSTTPLWQSLDHPTHTWLPGGKISLNKRTNQSQLLISWKNSEDPAPGLFSLELDPEGTNQYLILWNRTKQYWTSGAWDEQARIFTLVPEMRLNYIYNFSFHSDENESYFTYSLYNPDTISRFVMDISGQIKQLSWLEGSKEWNLFWSQPRQQCQVYAYCGAFGSCTEGGLPFCNCLRGFHQKSQSAWNLSDFSDGCERTTKLQCEENRTLTNGKPDKFLTSPNVKLPQNAQPVTATSISECESTCLHNCSCTAYAYDSDGCRIWIGQLLDLQQLADDASDGKTLYLRLAASEFSSSSNNNGIIIGAAAGSVSLVLVLVIFGIWRWRRRTTINPKAVDGSLLAFGYRDLQSATKNFSEKLGGGGFGSVFKGTLPDSSVIAVKQLESINQGEKQFRTEVSTIGTIQHVNLVRLRGFCSEGIRKLLVYDYMPNGSLDAHLFHEEKSKALTWKTRYQIALGTARGLAYLHEKCRDCIIHCDIKPENILLDAEFCPKVADFGLAKLIGRDFSRVLTTMRGTRGYLAPEWISGVPVTAKADVYSYGMMLFEFVSGRRNSEQSEDGKVRFFPTLAASVMTQDDDVLTLLDPQLNGDAPEEELSKICKVACWCVQDDETRRPSMGQVVQILEGVLDVNLPPIPRFLQVLGDNSEHVVFFTESSSNQSSQTPSYTSTASSQAKSNTSSMSS >KJB30787 pep chromosome:Graimondii2_0_v6:5:45861691:45863936:-1 gene:B456_005G159700 transcript:KJB30787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVASNPLSLPRFRPYFQVSDTALLFKSPSLSPYRTRKFQSIACQTNPLPTQTQPSDEGKVLAEPDSVDDSTSEATTSSVGGGFSESPNKNINRRIAIGSTLAAVGLFMSSRLDFGVSLKDLSAAAVPYEEALSNGKPTVVEFYADWCEVCRELAPDIYKVEQQYRDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKDGNEEGNVVGRLPRKYLQENVDALARGEASVPHARVVGQYSSAESRKVHQVVDPRSHV >KJB29124 pep chromosome:Graimondii2_0_v6:5:10729509:10733065:-1 gene:B456_005G085800 transcript:KJB29124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRSLVLMQLMILLNVILTVKASTPAVAKPGCRSRCGDVIIPYPFGTGGDCNITAGFFINCNTSFIPHKPFFRNVEVINISTDGQLRILSNTSYDCYNTSYRNWLFYYFRLSEFLINNNKNKFTAIGCDTYAVVQQAGSYGQRYATGCLSLCNNITDVSNGSCSGIGCCQTSIPKDVRSYNISLDSYANHTNVLPENPCSYAFVAEVDSYTFSASDLRGFEFQSRQFPITLDWNIGNTSCNEANMDVNNFACKEYSKCVDSENNSGYFCKCLEGYVGNPYLPNGCQDINECESISPCNGTARCTNLLGTYNCSCPVGYEGDGKKSGTGCSLPNKDQSKTSPLIVALGVAIGFLGLLLGIVLWCWMLRQRQISKLREANFQQNGGILLREQLSKRQGYREDVKVFTAEELEKATNNYNESRILGQGGQGTVYKGILADNQIVAIKKSIIGDPSQVEQFINEIMVLYKINHRNVVKLLGCCLETQVPLLVYEYITNRTLFHHLHNDDATSYLSWETRLRIATETAEALSYLHSAASIPIIHRDIKLANILLDDNYTAKVSDFGASRLIPSDQAQITTIVQGTFGYLDPEYMLSSLLTEKSDVYSFGVVLMELLTGQKVVCFKRPEENRVLPMYFTSLMKEDRLLDILDPRVLNDENVEQLMEVATLARRCVRVKGEERPTMKEVAHELAGLQAMAKHPWSKSNLVSEESEYLLGKFPSTYDNGVTSSSIGMGYDSINNKITFELEGAR >KJB32081 pep chromosome:Graimondii2_0_v6:5:60488619:60489633:1 gene:B456_005G222000 transcript:KJB32081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERFMLKISYLEIFVEEKKSLDLTLVKYQVGNSYLYKWYFELGMSMKKLTILLYLLSLLDWSLKTFGFYSDPMKNRITYGFVENDSNQVHGLLEIEGALVGSSRTEKDYGQFDNDRVTLLLWSESRNPLYMMQNRSCFIVDQRNFYKKYESEFEEGEGEGVQNPQQIKEDLFNHIVWTPRI >KJB30719 pep chromosome:Graimondii2_0_v6:5:44360659:44361569:-1 gene:B456_005G156800 transcript:KJB30719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIRRMDCKVEVKSSADRFFDAFNTKAHLMPKMSTRLISDVKLLQGDWNSLGSVRIWYYASQGKSAACKEVRENVDEKNRTIVYGILEGEISNYYKTWKSTLNVTPNGEGSLAKWTIEYEKQNDHVPEPLNYCDFYAIWSKDVDAYLLNAK >KJB31765 pep chromosome:Graimondii2_0_v6:5:58850662:58851042:-1 gene:B456_005G208200 transcript:KJB31765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKLVLFSLFLLVEVLLVHAVGEESDSGVNVKPPEIKVHKEDRPGWAIPREADVPVPSPAESSRETREAEAPGIRRLGKHDSSSDDGSMAAGGVIIGGLVTVFFAAVFAYIRVTRKKDDVQVQH >KJB28545 pep chromosome:Graimondii2_0_v6:5:5350498:5352352:1 gene:B456_005G054200 transcript:KJB28545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLAPFQLLELNVISAQDLKPVCRRKMRTYAVAWVHSERKLSTRIDTQGHTNPTWNDKFVFRVDDEFLYSDTSTLMIEIYAVHWFRDIHVGTVRVLVQNLIPPVSQTRNRQELQLGMRFVAFQVWRPSGRPQGILNIGAALLDSSMRSMPLYFQMGSSAVGYRHLMGEEDAFQSSNVKPLSSTKSHTNNHQFLVNGLIKPELRRTKSDSSSLFEPELRPRRSMKGSSVVNGGSVVNGSEIGKNNYKVNSRGSSMVNYVFDKSTDRKRKPSPTKGINGPKLISPPGKSPSGLTGGRKIWTDSELGPSPSEVAAAVLRNKNRKMEEMESSVGEGWSLDGSMEGLDSKLERWRTELPPVYDSSNEFSSFLSSQTPTTATKKSRHSRRRAHKKGLFSCFGNICGCEISVTCG >KJB31568 pep chromosome:Graimondii2_0_v6:5:56868058:56869484:1 gene:B456_005G196100 transcript:KJB31568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNHHHVSHQPLAPSGPYPPPGPDFPPPPTPVGYNRTHRSPSPPQPGYQGYCYEGYPSSSPGLLPPRVPPRNEYTHNGCTSFLTGCLATLCCCCLLEDCVF >KJB28316 pep chromosome:Graimondii2_0_v6:5:4002068:4003198:1 gene:B456_005G042000 transcript:KJB28316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATQASLFTPSTLRTPKSGDRIAAVLRKQPPFLTVPSFKSPKSIIAPRTIKAEATEEKAETTATKEAPVGFTPPELDPNTPSPIFGGSTGGLLRKAQVEEFYVITWESPKEQIFEMPTGGAAIMRQGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNFRSIGKNVSPIEKIMIFIRGKKKIANKIIVTGLYECC >KJB28139 pep chromosome:Graimondii2_0_v6:5:2663602:2665296:-1 gene:B456_005G029700 transcript:KJB28139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQKDIKDIKVLPAPIPEGWVLDTKLKEDGTEVKCYLCPATEQRFYTYEDLMRYVRYAKAAKVSIYSPYFEGNMKKEGYKRLKKEGES >KJB28841 pep chromosome:Graimondii2_0_v6:5:7847082:7847288:-1 gene:B456_005G072000 transcript:KJB28841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEGGEAVTKSDAKSKFFNNHLELGKCILEEDDNPNKDGKCWNYCIVDCERRGVCKLMPGDHHECHCAC >KJB32943 pep chromosome:Graimondii2_0_v6:5:64103724:64105087:-1 gene:B456_005G269300 transcript:KJB32943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSIHSFFLFCFHYSLFLPSRTSRILFWSLPLLRGSVITGMQRHCAVSVFGIFGIPCFIRYESLLPHCLFRSLFLI >KJB31318 pep chromosome:Graimondii2_0_v6:5:54484203:54485899:-1 gene:B456_005G186100 transcript:KJB31318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CCLIVCSPTGVAITSAGTKKCDLFLGDVNTQLKSRNVTTDIKVDINSNIIHYVYKLFTTVIVDKPIPRLKAIFGFRVSDQRSCKYAGISSSIGLTANPIVNLSGVLGTNVLALGTDISFDTKTKNFTKCNARLSFTNADLIASLALNEKGNSINASYYHIVNPSTNTVVGVEVTHSFSTNVNTITVGTQHVLDPLTTTKARVNHAGKASTLIQHKWRPKSLFTISREVDTKSIDKSPKVGLALALKP >KJB27759 pep chromosome:Graimondii2_0_v6:5:573363:576436:1 gene:B456_005G008300 transcript:KJB27759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVTDSAGLSPLIETVSWNADAVGTLSMPEAEAPMSSSVLNSCNIPKPPPLPSKIVTRNKHPKWPPGSCFVPKETKDMWDKLFKDGNGADLCIFTEDKSCIFAHSSVLSIASPVLGYRIRQSKIKHGMKYIQIPGLPHDAVLVFIRFLYSSCYEEEELKKYALHLLLLSHCCSVPQLKRVCICYLEQDFLTTENVIDTLLLARKCDAPRLVLICVRMVVKNFKSVSSTEGWKLMKRIYPDIEQELVESVVEADSKKEERQRKIEEKKVYTQLYEAMEALLHICKDGCRTIGPRDKMLKGNQIACNFPACKGLEALVRHFSGCKTRVPGGCGHCKRMWQLLELHSRMCNEPDSCKVPLCRHFKEKIQQQCKKDETKWKLLVNKVIAAKNGSYLFSSR >KJB29641 pep chromosome:Graimondii2_0_v6:5:20790081:20794642:1 gene:B456_005G111800 transcript:KJB29641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSGATKEPTIKREQKTTSRGLSGNGNSNSLSYANGGGLDKAPQRHDSGDFGLQFSRELKPSTPAKTTASKVSHRSSFLGRAGILGLERAVDVLDTLGSSMSNLNAGSGFVTGLASRGNTISILAFEVANTIGKGANLLRSLSEQNIQFLKKEVLHSEGVQKLVSTNMKELLSIAAEDKRDELDIFSREVFRFGDLCKDPQWHNLGRYFSKLDIDNSVHKQARAEAEMTIKELTSLAQHTSELYHELNVLDRFEQEYRLKSEEVEALNLPKRGENLMLLQSELKQQRKLVRSLKKKSLWSRTLEEIMEKLVDIVTYMHQAIFEALGETASSVKKETPENSQKLGVCGLALHYANVIHQIDNIAARPASLPRNIRDTLYHGLPPTVKKALRSRLQSIDTKEERSISEVKDEMEKTLQWLVPVATNTTKAHQGFGWVGEWASTGNEFGRSAAVNITLTGLQTLYHADKAKTDAYVLELVALLHHLISLVKQRDHGFKPQTFRSPFHSKMQQQFLSLNSGTKPRKVELSEQDRKLLSKVSGRRLVPGISKSQEFPLGKNQGIKVWALSRSAGNSPDRARKSCKHSKTNILDVMDGLDLAT >KJB32758 pep chromosome:Graimondii2_0_v6:5:63539459:63539931:1 gene:B456_005G259900 transcript:KJB32758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIKIKIKIKIIIKIRQHLIAWHIHHWTKRNRMRERNLPVGFKIKNRDAHATLQWQLELPFFPFLQTEETNTKISKMLGWEQGMG >KJB31329 pep chromosome:Graimondii2_0_v6:5:54613688:54614903:1 gene:B456_005G1869001 transcript:KJB31329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YSRLCFEVEWAKKPVVGFGTASEIVEGSDFFTVTKQAVLQAIKLGYRHFDTASLYGSEQPLGEAIVEALSLGLIKSRNELFITSKLWCTQAYGDLVLPALKTSLQNLKLDYLDLYLIHWPICLKQRKEGVSGGKEYDLFPMDFSSVWAAMEDCQRLGLTKSIGLSNFTCKKVADVLSIAKIPPVVNQKLKDFCHGNGILLQAYSPLGAVGTKWGSNRVMECEVLKEIAKVKGKTVAQVCLRWAYEEGVILIVKSFNAERMKQNLEIMDWSLSEDELKMIQHIPQSRGIQAEAFVSENGPFKTLEELWDGEI >KJB28589 pep chromosome:Graimondii2_0_v6:5:5803516:5805608:1 gene:B456_005G057200 transcript:KJB28589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEFIARLRSSRVVVQDQLEVPDQAAVDGDGNGEDCCWAKLPPELIREVLLRIEDSETHWPQRKNLVACAGVCSSWRLIVKEIVKFPEISGKLTFPISVKQPGPKDGLLHCFIKRNRSTHTYCLYLSLTNALTDDGKFLLAARKHKRPTHNDYIISLRAEDMSRGSSSYVGKLRSNYLGNRYTILAGQSAHTGSKMAKNHSPRLPNLKHASSKIHLVNYSMAHISYELEVLGSRGPRRMQCIMGTIPTTSIPPEEPARARSEFSGRNTDIFQSNPIAHSEPASMGNFPSGSLCGQKNGALVLINKAPRWNEQLHCWCLNFHGRVTVASVKNFQLVASPENRKIVLQFGKVGKDLFTMDYQYPISAFQAFAICLSSFDTKVACE >KJB30828 pep chromosome:Graimondii2_0_v6:5:47124197:47126098:-1 gene:B456_005G162500 transcript:KJB30828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLGGKKMTKVMKINGETMKLKTPVRAEQVVKDYPGHVLLESEAVKHFGIRAKPLQSHQNLEPKRLYFLVELPEAPKERVPRRVRSGINMSAKDRLESLMLSRRSVSDLTLMKPIIAIPEEENEEEGGGSESGAMRVKMRLPKSQVERLIKESLNEREAAEKIMQLCVANTGNSSRAEADKQPQLHWKASHGSIGDGFKAREKRVSFMAMNEGGSQIAVTS >KJB31123 pep chromosome:Graimondii2_0_v6:5:52165625:52166872:-1 gene:B456_005G177900 transcript:KJB31123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAFKTLSGITFVPDPDPRDSIADHPNKCAASTTTAVTPTTSNTPTNKRSLKENGGSGGTMRYRGVRRRPWGRYAAEIRDPRSKERRWLGTFDTAEEAACAYDCAARAMRGIKARTNFVYPATEPHSANDHFLPPFSFSKQSQSSIRDLNCIRHQFGQSSNWPPFASPHAGDFSGGGSSTQRNASLNMILFRDLLNSSSNSSLHAPPHQSLPDHFSFTNGTCASSFPFTFSSNSSVLPGGSLLNSSINNTSPRASDSISDSFTGSTMTLPLKESNSSHATVAPTTDDMEFFPQEPSDSGLLQEVIQGFLPKPSSKKQDVSATTTNCTYHSISPPVNEMTLGQSLSGLKKEPLGFYVDYNNQAVPQQFESFNRTTAVHYANEIPAKHLQVGQDMLDDIFQYPELMSVLAARVQNG >KJB27785 pep chromosome:Graimondii2_0_v6:5:754504:757008:1 gene:B456_005G010900 transcript:KJB27785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMCVEDRISSFPDHILCHILSFLPIEEAVRTSIISTKWRYLFASISTIKFDGYLISGLTERNLDSFKNFVDRLLKFPDQVSLDCFRLNDIISWNDEDHNFDVSDWICAALCRGVKEIDLDLENLGDILPALLFTCHSLVTLKLVAVGSEIKVPSDVCLGNLKTLQLINSEFVGDSIHRLISNCHVLEDLAFINCDFEYLREEVNIQSPSLKRLVLVFDLVEFENIDYVVVINAPNLVYFQYTDTVVKGYTLTNMKCLEKAHINIWESDSIDCETSATHLIQGLRNVRFLSLTTDEAIFLTNRLPIFHNLIEFEYDSHGFDGIETWLVEFLHCAPNLETLTLNFPDVAGTRRKALPIEVPSCLSFHLKEIEISCFETHIIEMVSYFLDNAMVLENLIIKMKGMTVTQKTKVINQLLQLLKSSKKCLIVIL >KJB28282 pep chromosome:Graimondii2_0_v6:5:3852283:3863067:1 gene:B456_005G040400 transcript:KJB28282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 33A [Source:Projected from Arabidopsis thaliana (AT3G23590) UniProtKB/Swiss-Prot;Acc:Q9LUG9] MEFSLQSSRLWEEVVEQTKLAKEKGIDPLLWALQVSSSLGTSGIALPSTELAHVLVNYICWDNNIPILWKFLDKALMMKIIPPLLVIALLSQRVIPSRRSHPAAYRLYLELLKRHAFAFKCQINGLDYQEVMESIDATLHLSEIFDLQTTEPAILVVEFIFSIVWQLLDASLDDEGLLELTEEKVSRWAIKPQEMEIDGHDMYDEKNIVYCERLQNFNTTMAIEIIGQFLQNKATSRILYLARRNMSSHWVSFIQSLQLLGANSAALKNSKVLTSEALQELTSDSRIFLSRECKTSSRQKFHAVMAFGSLASSVSLCPGASRSDLWLPLDMVLEDAMDGYLVNTTSAVEIITGLTKTLQAINGTNWHDTFLGLWIASLRLVQRERDPIEGPMPRLDTRLCMLLSIMTLVVADLIEEEEGAPTDETEYGSTNHWKEMKFPRKRRADLVSSLQVLGDYQGLLAPPKFVVSAANQAAAKAMLFVSGINVGSAYFECVNIKDMPFSCSGNLRHLIIEACIARNLLDTSAYYWPGYVNGRINQLPYSVPAQAPGWASFMKGAPLTSVMSNALVSSPASSLAELEKIFEIAVNGSEDEKISAATILCGASLIRGWNIQEYTVKFITRLMSPPVPADFTGSDSHLIDYAPMLNVLIVGIASVDCVQIFSLHGLVPQLACSLMPICEVFGSCVPNLSWTLPSGEEISPHAVFSNAFALLLKLWRFNHPPIEHGVGDVPTVGSQLTPEYLLLVRNSHLLSSENTHKDRNKRRLSEVASSSSPEPVFLDSFPKLKVWYRQHQRCIAATLSGLVHGTTVHQTVDRLLNMMFRKINKGSQSITSVTSGSSSSSGAGIEDNSLKPELPAWDILEAVPYVVDAALTACAHGKLSPRELATGLKDLADFLPASLATIVSYFSAEVSRCVWKPVVMNGMDWPSPAANLSNVEEHIKKILAATGVDVPRLSAGGSSPATLPLPLAAFVSVTITYKIDKASERFLNLAGPALESLAADCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNSDAIVQLLKSCFTATLGLNIAPISSNGGVGALLGHGFGSHFCGGLSPVAPGILYLRVYRSIRDIVFITEEVVSLLMHSVREIACSGLPKQKIDKLKRSKNGMKYGQVSLAAAMTRVKLAASLAASLVWLSGGHGLVQSLIKETLPSWFISVHRSDRDQGSGLVAMLGGYALAYFTVLCGAFAWGVDSSSSASKRRPKILGSHMEFLASALDGKISLGCDGATWHAYVSGFVSLMVGCTPNWVLEVDVDVLRRLSKGLRQWNEEELALALLGIGGVGTMGAAAELLIEHFDS >KJB30717 pep chromosome:Graimondii2_0_v6:5:44335884:44336399:-1 gene:B456_005G156600 transcript:KJB30717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGSILSREKKQNPSNPVASSSPMRTQLEELELVFKKFDINGDGKISSSELGSVLGSLGLQHSEDELLKIIKEIDTDGDGFIDFKEFVELNTKGVDSNKVLENLKDAFLVYDIDGNGFITAEELHQVFKSLGDECSIMECRKMISGVDKDGNGMIDFEEFKVMMMAGARS >KJB28042 pep chromosome:Graimondii2_0_v6:5:1992150:1993763:-1 gene:B456_005G023600 transcript:KJB28042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLHDCLPLLFVVVFSLSWVNASANSNDYFLDCLSSYHPDEFTSFSKVIYTETNSSYSAVLESSIRNPRFSTPNIPKPLVIITPSNISHVQATIHCSKKHGLQIRTRSGGHDFEGLSYVSQVPFVVIDLVHFRSIDVDVKKEEAWIQSGAITGEVYYRINEKTTNLTFPGALCHTVGIGGFISGGGYGFLFRKYGLAADNVIDAQFVGANGRVLDRRLMGEDLFWAIRGGGGGSFGIVLSWKVKLVHVPSTVTVFSVGRTLEQNATQLLHRWQYVAPNLPNDVYSVVSISSMNSTENGERTVLATFTSVFQGVADELIPLMQERFPELGLLREDYIEMTWIESILFWNQLSNETSEILLDRSNRNSLVPLSYKSKSDYVRKPMPEIALQGLWSRLLKVNETSTAIVNIISYGGKMDEIPETETPFPHRKGTLYKINYNIVWQEEENSNPQRYISWMRTLYSYMGPFVSKSPRAAYVNYRDLDIGRNNDDGKTSYKQASVWGRKYFKNNFDRLVYVKTKIDPENFFKHEQSIPPRFH >KJB28452 pep chromosome:Graimondii2_0_v6:5:4797355:4797768:1 gene:B456_005G049200 transcript:KJB28452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSKGKEEGGETTRYRGVRRRPWGKFAAEIRDSNRHGARVWLGTFNTAEEAARAYDRAAYSMRGHLAILNFPQEYPMGSGGATAYRGSSSAASSSSSSSSSMDQRGKQVFEIEYLDDKLLEELLENEEKNKEKK >KJB30983 pep chromosome:Graimondii2_0_v6:5:49874088:49874686:1 gene:B456_005G170800 transcript:KJB30983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVQLEHENVIEFLLVTLRDRVEEGRRFSNEENDPMTSKELNKDPYEVKISFARVRLIGFEITAYIPGISHNSQELSVVLVKGGRVKDLPGVRYHIIRGTLNAVGVKDCQQGRSKQATMRQNIVQGPILQ >KJB32516 pep chromosome:Graimondii2_0_v6:5:62466754:62469651:1 gene:B456_005G244300 transcript:KJB32516 gene_biotype:protein_coding transcript_biotype:protein_coding description:PE11 [Source:Projected from Arabidopsis thaliana (AT2G21610) UniProtKB/TrEMBL;Acc:A0A178W118] MGCCGDAANINIYSHAIHFMFILAIAVVPFGSLRAAKVDIDMSTAILLKVDLSGGGDFVKIQDAIDAVPSNNKQVHFILVKPGTYREKIVVPADKPFITLSGTKPFDTIITWSEGGEIFRSATLTVLASNFVGRYLTIQNKFGTSGKAVAVRVSGDKAAFYGCRFLSYQDTLLDDAGKHYFNNCYIEGATDFICGNAASLYERCHLHSLSKGNGSITAQKRISPSENTGFTFLGCKVTGIGVAFLGRPWGAYSRVIFAHTYMSNVIVPQGWDDWQDQTKHSTVYYGEHKCYGPGADTSKRVQWSHRLSQDEAAPFLTKDMIGGRGWLRPTPKNFKRPSKL >KJB29809 pep chromosome:Graimondii2_0_v6:5:24844135:24861065:1 gene:B456_005G119500 transcript:KJB29809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNLWGCEGGKMGDVSSMEMWWLINIRATLIALLFISIFHFSKKFYVKFLTIWTSPPSSLLSSTLHLPSASSPISNQDSQSRTLEVVSDSDLKFLIDNLDEKRNEDENWENVINKKNNFLSYKAKCCKSKDRPLKYLSTAVFESCSPELLRDFYMDNDYRKQWDKTILDHVQLQVYTTSGVEIGRTLKKFPLLTPREYVLAWRLWEGKDTTLYCFIKDCEHPSAPRQKKYVRVEYFRSGWQIRKVPGRDASEIRMFHQEDAGLNVEMAKLAFAKGAWSFLCKMDNALRNYSSINHPPSTPSVSAATLIQKVPPDLDYRTDITSAVPTSVAYNDESRKKKLLRRPSKKFLAKALLLLGGVIYLCRAHSALGTKVTMACILTKLRKRDGSSRQSSHS >KJB31750 pep chromosome:Graimondii2_0_v6:5:58652308:58653738:1 gene:B456_005G206900 transcript:KJB31750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVSRNKFLLCFRPVVDMDLMLDPKAVVVDPSQNRRALTYLTVKNKADIMKPSTTQSSSSSSVSDIENSIMVHRPGKKRFSHVIKAVVFEILLAKRVKDRKGIPLRSHGKLLDTSADESVNKVPAAEGVQSTVSKPNSVPNSTQKTSKHQEPEMKPKQEGIQKSCSSSSNAIFMLVISLAVTIFWGKFCAILLTSIWLYFLPHHQQPAGVIENLESITKSSEKKSKDYYRKKVIMEGLLQRKQSKGAFNF >KJB28017 pep chromosome:Graimondii2_0_v6:5:1842909:1847650:-1 gene:B456_005G022000 transcript:KJB28017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRMVFYFILQLPCLIRPTSPFEAGETRCKETCGAVAIPYPFGIKTGCYYNSWFRVTCNKTVNGTKPFITRINMELLHSRWSFRDNVVTVNNPVTYLNCDDKGNNGTTSPSSVNLQGSPFFFSSEHNSFGSVGCGYLAMFFRNNQTDPIALCLQRGCEEPISSKLAGCLATVPENLASYTTALKSMTEIISPWEKEFSKRCTSAFMLGYSTQLSEISIDMTHVPATLEWNPVNCDLEASLCSIVEPHHVLPYKTSCNERCGNVDIPFPFGIKAGCYKSKWFRVTCNKTADGEKPFISSINMQLLNVSFYEGTVLVNNSVIYSNCPGKDRENNEGSVNLTGTPFFFSHIFDRFMSIGCGNLATFLHSPTNDHRIGGCKLPPCENNMTSIVRCAVNIPSGLSSFVANIIQIYPNNGSKRSCTSAFIVDTRFLDFPEANSDHNDTTTNRSWTHVPTTLQWGKTKRGLCELGEESGTLCSPDGRYCWTSLSQMHLCVCTSDNYADYDYLSTDVCQEKGECVDTKYKNCFMLCLNADGNNCSSCPDGYKYSRLEHKCRPVLDSSEFPTKKSKRSQNLPVIIGCSTSIGTVVVLIGTWHMHKLIERRNNIKLKQKYFKRNGGLLLQQQLSNNKGNVEKIKLFASKELEKATDYYNENRILGRGGQGTVFKGMLTDGSIVAIKKSKMTEDKKLDENEHKQFINEVMILSQINHRNVVKLLGCCLETKVPLLVYEFVPNGTLSQLLHVPSEEFPLTWEMRLRIAIEIANALSYLHSAASVPIYHRDIKSSNILLDDKYRAKVSDFGTSRSVALEQTHVTTRVQGTFGYLDPEYFRSSQFTEKSDVYSFGVVLVELISGQKPISSCQSEEVVRSLANFFLHSMKENSLLNIVDPLVMNDNAEEEIVAVAKLAKRCLNLNGKRRPTMKQVALELERIRSSEEANFVQQIADEDSDMDEMIGASGFASFSTSGSYLKDSATLSIDA >KJB27756 pep chromosome:Graimondii2_0_v6:5:558108:560683:-1 gene:B456_005G008100 transcript:KJB27756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKANKRGHVLVIPYSTQGHINPMLQFSKRLSSKGLKATFATTVFISETMKPELLNSEIDFDTISDGCDKEGFFALGDIDDYLVRLQAVGSKTLTELIIKHKNSPHPIDCILYDAFLPWVLDVAQQFGIVGIAFFTQACAVDYIYYYVHNGLLSLPISSSMMPIVIPGLPLLDLRDMPSFIYVVGSYPSHFKLVLNQFSNIDKADFILVNTFYKLEQEVVDSMSKVMTQPLLTIGPTIPSMCVDKRLENDKDYDLNLFKLDSTSTCWLTTKPPCSVVYVSFGSLANLTSNQMKELAWGLKQTGFHLLWVVRSSELPKVPHGFIEEMGDKALIVTWIPQTEVLANEAIGCFFTHCGWNSTIEALCLGVPMVAMPQWADQTTDAKLVEDVWKVGVRVNVREDGIVSGDEIERCIRQVMEGEQGIEMKRNAMKWKELAVEAVCEGGSSDKNIDELVSKILARCK >KJB28594 pep chromosome:Graimondii2_0_v6:5:5825610:5828748:-1 gene:B456_005G057400 transcript:KJB28594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTDKTAVPLSSPKSEATPPSAVAAAAKSPFNYSFVDVALRVLLFAATLTSVVVMATSKETELVPVPGFPLIRIQLQAKFNHSPALVYFIAALSVTGFYSIITALASLSIVLNPTYSKTFLLFFAFFDVVFVGIVASATGAAGAVGYIGLKGNTHVGWTKICNVYDKFCRYTASSLALSLFAAILLVLLSMISTFTLYKKIRD >KJB29554 pep chromosome:Graimondii2_0_v6:5:19206384:19208322:-1 gene:B456_005G107000 transcript:KJB29554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDGATSTPAPRRKPSWRERENNRRRERRRRAIAAKIYTGLRAQGNYNLPKHCDNNEVLKALCSEAGWVVEDDGTTYRKGCKPPPIDIGGSSSKITPFSSQNPSPLSSAFPSPIPSCQVSPSSSSYPSPTRFDANNPSTLLPFLRNAIPSSLPPLRISNSAPVTPPLSSPTSRNPKPLPNWETIAKESMASFNYPFYAVSAPASPTHRHFHAPATIPECDESDTSTVESGQWISFQKFAPSTSQVPTSPTFFKLVKHLPPQNLHNDLGVKDKGRGAEFEFESGQVKPWEGERIHDIGMDDLELTLGSGKPQC >KJB30834 pep chromosome:Graimondii2_0_v6:5:47394762:47395683:1 gene:B456_005G163000 transcript:KJB30834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTSSLCNNHSLASPLPFRPPSKTLFTKILASKRDAHSHNFDGKLVEQNMIVLRMRIHELTKSEKSYEPPRHWMEWEKEYKKSYYDLDVCEALGYLQYKLMETRPSFALGMAVLLLLSLPTSFAIVLFHLMHIIKGFYL >KJB31248 pep chromosome:Graimondii2_0_v6:5:53459484:53460434:1 gene:B456_005G182700 transcript:KJB31248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATCGRRTLGFSCSSAKTIFTHLPSSTPANNKLPGLFSPNKISASRFSLRNLTFSRLPVEMGGAVTLIPLHSATASALFTSLLSLHNQNWGCLSEGDFC >KJB31247 pep chromosome:Graimondii2_0_v6:5:53459447:53462094:1 gene:B456_005G182700 transcript:KJB31247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATCGRRTLGFSCSSAKTIFTHLPSSTPANNKLPGLFSPNKISASRFSLRNLTFSRLPVEMGGAVTLIPLHSATASALFTSLLSLHNQNWGCLSEGFATPL >KJB29335 pep chromosome:Graimondii2_0_v6:5:13550697:13551921:-1 gene:B456_005G095300 transcript:KJB29335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYCNKTTHTNQRNMKISSSQQSSLLECCMCGDSGITQELFQCKICHFRSQHRYCSNLYPKAGVYEVCNWCLNQEEHSKEKSQNSLNSSASSKGKNEDDNNKNKKKADNHHGAGFKGNRRNANSLKQQQLMKVPIKKPKLEEKMKRKRIIKNAYLEEKLRRTKLEEISKNGVITRHFSRNKVRRYKLLDEVSS >KJB27421 pep chromosome:Graimondii2_0_v6:5:9483479:9484816:-1 gene:B456_005G0804001 transcript:KJB27421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKFGCVQSAAKFPAIKFFISPIKSYLPLQVLGATDYYCPTFKVKFNFELSDSEKWQPKNKSKTNNSQLVLPNKVTVLCCGVEGIYYPRLHSFLGCFS >KJB31135 pep chromosome:Graimondii2_0_v6:5:52304979:52305974:1 gene:B456_005G178400 transcript:KJB31135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSELEVLDQQFFPLLFFFSFFPRSAAASPVPELSLPHCCRPRLAVHRPYRTQTRAPVCIVDLPSLAQRRRRSFLAVPQPSLLQIT >KJB31952 pep chromosome:Graimondii2_0_v6:5:59823725:59825916:-1 gene:B456_005G215600 transcript:KJB31952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPRLYSTKPVQSLKPTKKIPLEEDHGKASDALPLPLHLTNALFFTLFFSVVYFLLSRWREKIRTSTPLHVVTFSEIIAILSFLASFIYLLGFFGIDFVQSLVFQPSPDVWIAEDEEEDDEVLLAKEDARKVPCGQALDCSLPPLPPAAPIVTVQKVFDEKPVTVLTEEDEEIIKSVVAGTTPSYSLESKLGDCKRAAAIRREALQRLTGKSLSGLPLDGFDYESILGQCCEMPVGYVQIPVGISGPLLVNGREYSVPMATTEGCLVASTNRGCKAIHLSGGATSVLLKDGMTRAPCVRFGTAKRAADLKLYLEDPDNFETLSVVFNRSSRFGRLQGIKCAIAGKNLYIRFTCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEATIKGDVVRKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVAAIYIATGQDPAQNVESSHCITMMEAVNDGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKETPGANSRTLATIVASAVLAGELSLMSAIAAGQLVKSHMKYNRSTKDVSKASS >KJB29308 pep chromosome:Graimondii2_0_v6:5:13030054:13032814:-1 gene:B456_005G093400 transcript:KJB29308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFSNLGIEGFEKIFSSDKLSQGSRLQSITTLALLAEAVSDSQAKCAALITESSNSESSQAGASLESLAKGTKNSMGLSTIALNCTEAKIVRVEVGERR >KJB27555 pep chromosome:Graimondii2_0_v6:5:389648:392083:-1 gene:B456_005G006200 transcript:KJB27555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKGGRKKASSSSSVHEQLQQLRSLTNSTAVNKTSIIVDASRYIEELKDKVDKMSQETATSSHASTSSQNPLPMVTVETLEKGFLINVFLEKNCPGLLVSILETFEELGLDVLEARVSCEESFKLEAIGGENEGNVECIDAQMVKQAVMQAIRKWGENSHQE >KJB30389 pep chromosome:Graimondii2_0_v6:5:37341251:37342717:1 gene:B456_005G140800 transcript:KJB30389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEITNEMLVGSLMPVIVYWVYGGLYTILGYSCNNYRLHPKEDEDEKNFASKKTVIKGVLGQQFRQFIATNLLYMITRGNDPGASSGQSTSFFVIARQFIVAMFVFDTYQYFLHRYLHQNKFLYKHLHSKHHRLVVSYPFGAIYSHPIEGLLFDIIGGTMAIYISGMSPLTSAFFSSFCNMKSVDDHCGLMLPWNPFHIFFTNNTAYHDLHHQLYGGKYNFSQPFFSIWDKILGTYMPYSLEKREEGGFKLRPLKEFKN >KJB30714 pep chromosome:Graimondii2_0_v6:5:44142861:44143027:1 gene:B456_005G1564001 transcript:KJB30714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGKTFTMQPLPLRAAQDLIRFLHQPVYHSQRFKLWLSYFEIYGGKLFDLLSDRK >KJB31311 pep chromosome:Graimondii2_0_v6:5:54458187:54462683:1 gene:B456_005G185700 transcript:KJB31311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLPHVRAYGSALFRKAGNFIATTSLNQCHVPLWRRNFEHAGVKTVDLEFLLTRFRTQCYSSRKSKSSSSTKKASRTKKVHPEQPPVMENEKDAFFVVRKGDTVGVFKSFADCQAQVGSSICDPPVSVYKGYSLTKETEIYLSSCGLKNALYTIRAADVKEDLFGALMPCPFQEPASSKGETSHNDATKKRPQDMLQSEYGGLGSLGSIAVADPVRKHFKLDPHAEAQITSSGHQSCILEFDGASKGNPGPAGAAAVLKTDSGNVICKLREGLGIATNNAAEYRAIILGLKQALRKGYTNIRVRGDSKLVCMQLQGLWKVKHEHMSELYEQAMKLKDKFLSFQINHVLRELNGEADAEANLAVKLAGEVKSRRSWPKSLIVLAEVFWFVIEYIYKTQMRSRILSLFG >KJB27492 pep chromosome:Graimondii2_0_v6:5:62919587:62920238:-1 gene:B456_005G250000 transcript:KJB27492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIQWCGAVARRVVMKQWPPLSSASPIGAMASPPAPTVCGRGDKKTKKGKRFKGSYGNARPKKEKKIERIKDKVEVPRSTPWPLPFKLI >KJB31816 pep chromosome:Graimondii2_0_v6:5:59064705:59066898:-1 gene:B456_005G209500 transcript:KJB31816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSRTNVPATIYKDFQPLIQRKDEQGAYVIVINLPGFPKEQINVTYVDSTRTIKVEAERAVEKNTRSRFSEAFPVPENCITQKIQGSFRNGVLTITMPKRTITQLQPQPLPSPPLPTAQAQTAAASASGIEKQRGQKVPSPPPKAVSEPKPPMATTAPQAQTAVASTSSVEKQRDQKVPSPPPKDVTEPKPQMATAVPQAQTAVASASSIEKQRDEKVPSSPKAVTEPKPPMTTAAPQSQTVAASPQKQAKEKKKVDALPPLKQQEKTQKKVYSIGDNSTKQAEEKSTGGSGPTTSTTPGIVDKKGETKTKDGLKDLVKEQKTKDSMSEASVPKAAEKAGVEKKPQAGGGGLLEKAKEMRGMDRIMKSVKRLTSDEDEDRQLLINIGVSVLVIVAIGAYITYSYRSSGKAKH >KJB28254 pep chromosome:Graimondii2_0_v6:5:3522230:3523070:1 gene:B456_005G037400 transcript:KJB28254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSECESRTEEETMELQHFSHPHPLVFFKYQTVASKEVDPEAARCFGCENPLEDGSYGCNQCKFYLHKGCAELELVPRIQHPFHPQHPLTFFPQSPYQGEYVCGLCGGIFWGFVYHCGSCLFDLHINCALLQSSIATNFPNSLHHHPLHFIQNHNEEVERDCSGCQKPLSGPICHCIDCSYPTFFTFIRNVLNYP >KJB32008 pep chromosome:Graimondii2_0_v6:5:60189589:60191406:1 gene:B456_005G218500 transcript:KJB32008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGKMMRGFMCHSTAVNTACMAADPRSVVMPRRADRLRVGDDDDDKRVINNARYSRLVGADKRPIVTTFVRREQNQQQKPKKTLEKPVQLGSSKHVFQVVVMRVAIHCQGCAGKVKKHLSKMEGVTSFSIDIETKRVTVMGHVSPVGVLESISKVKKAEFWPC >KJB31661 pep chromosome:Graimondii2_0_v6:5:57611013:57613195:1 gene:B456_005G200500 transcript:KJB31661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSNLGVVYPENKNGKTDAKRKETSLSSIFPAQEAQKVAKRVTDCRYTALINLVQKLPDELHHDTMVPFVKSAVFPGRLVHTNEFLVLLGESYYVERTAKQTSEILKRRGKSSEPEEGLVELREEDEEENTTEPVSQLGRGCPTFAEENKLMGAPEDDEYARIMSRLEELEKELAAKSYGENEKWDTNAAESDGPRKPLLQSKGKDPMSEEISNKYQLASTGFTVGPVIKGEMSHSQCMPQATEIRMLYPSINASVPSEKVKSEAEHSSRDEVFELCSILMASSLQALLPQDSFCLSTCILLS >KJB31968 pep chromosome:Graimondii2_0_v6:5:59910706:59913679:1 gene:B456_005G216200 transcript:KJB31968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPYTFNLLQLLFLYLLLPFLSNSIPPPAQTDHSEIISRFQQYLQINTSQPSPDYQKSTHFILSQADSLSLQSQVIEFVQGKPIVLLQWPGSDPSLPSILLNSHTDVVPSEYSKWVYPPFGAHIDEQGNIFARGSQDMKCVGMQYLEAIRRLRSSGFCPKRSLYLSFVPDEEIDGRDGAEMLASSDVFKNMNVDIVLDEGLASPNENYRVFYGERAPWWLVIKSNGAPGHGAKLYENSAMENLFKSIESIWRFRASQFDLVKAGLKAEGEVISVNMAFLKAGTPSPTGFVMNLQPSEAEAGFDIRIPPIGNVESLEKQIAEEWAPASRNMTFEFKAKGILHDDLGRPLVTATDSSNPWWTLLEEAIKKANGKIGKPEIFPASTDAQNFRKLSLPAIGFSPMANTPILLHDHNEFLNQAEYLRGIEVYESIIKAYTSYIPPGGHGDSRDEL >KJB28886 pep chromosome:Graimondii2_0_v6:5:8255865:8256735:-1 gene:B456_005G074600 transcript:KJB28886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRTSTPLLSLLLCLVLLCEVGMLMAQLSAAQAPGPQDACPNKCAKRCSLSWKPKMCNKTCIACCHRCPDHCVPDGPRASRDSCHCYSQIKTHNKFKCP >KJB28046 pep chromosome:Graimondii2_0_v6:5:2754020:2757247:-1 gene:B456_005G030900 transcript:KJB28046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRSIGVPPPPPPSVPAGRLLSRQQSVGKLKKSSIMSSLFRELKTKLEGSRLQIKLSSRTKKQFGGSAGGKEGLAVFLRELTKRSPFFQQIEEDAQNHAKTILELKAAINSFQTKDMTELIKFQQHMEAILEVLTDENQVLAKFEDFPIKKLETIRAAAALYSKSNLVVSNLKNWEVKSPAAQLLNKFDCYFTKVKEELDAFERTKDEESRNFKSHGIDFDFNIFVTIKELMVDVSSNCMELVLKEWGETKGANDAEKKANKNLLWRAFKLAFRVYSFAGGNDERADKLAKELANERRVQ >KJB30544 pep chromosome:Graimondii2_0_v6:5:40943804:40945625:1 gene:B456_005G149300 transcript:KJB30544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERGKAVEVYNNNNNIDFFQDYSTSSDVVPCRKHPQSSSAGVCAYCLKDRLINLVCSDCGEQRLSSCSCSEIATNPRNSCAGGEVGSVGRVSFLIENENSRDHQVSNPKAKSTSSGNNTKSEDVILLKRSNSSCVEIKKKNGFWRIGRFFKKKRDKETNCGKSVCGGDEKSDLWVVDYMGVSRSRSLCSFRGGGFFGSEDGSDVMNFSGARSSISAARSSGVNGGLLFDPERKSGFSEAEPRRSGFDSERRDSTFMESDIAAIRKSGVGGGSFMDVDGGFSGANRRVFSLKESYFNGGDDSGFIDLKFDFQAESKGDIPSMKKGGGVLSGFGSMREGGEFMPYKSSGGSVENTLPMAGNGALSNGSSYQMTMDERGIKKNRRIFKGWRWIFKAPSKLDHSREDK >KJB29750 pep chromosome:Graimondii2_0_v6:5:23375900:23378014:1 gene:B456_005G117000 transcript:KJB29750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLILVFNRAVVVLDVNAKDNEGQTPLHYAVMCEREDIAKFLVKQNVDKDTKDSDGNSPVDLCDSDWPWLQHAGKAE >KJB29229 pep chromosome:Graimondii2_0_v6:5:12120194:12120544:1 gene:B456_005G090200 transcript:KJB29229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNPASNVVANIPSSIDVVANNVSVPSNVVYVATFTTPVSMLPTTSYAKSFPNIFFVLDIYVVVFALTKMPTFDALDKQREMWVQVNKVCRHTIISTISNEHFYVYCLYEEAKEV >KJB29894 pep chromosome:Graimondii2_0_v6:5:26582966:26583461:1 gene:B456_005G122700 transcript:KJB29894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTNENKSMDEISVVLRRFGDEQSTVLDQFERLSFEVQLNQAILSRSLSEPSVAKRSQYCSQFQAPPPAVKPKGRKGRRGSGFNRVLKKLLKPILGRKGNVGKKPVADHQNPMSWKAFSRSLRL >KJB28704 pep chromosome:Graimondii2_0_v6:5:6840523:6841975:-1 gene:B456_005G065300 transcript:KJB28704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPSEKGSSSEDQTKKSPEWISPKGSPNQSIETKKVCADCGTSKTPLWRGGPAGPKSLCNACGIRSRKKRRAILGLNKGEDKKSKKGNINGNNYNRKSSSSNTKNLGDNLKQRLLSLGKEVLMQRSKVEKQRSKLGEEEQAAVLLMALSYGSVYA >KJB30386 pep chromosome:Graimondii2_0_v6:5:37147169:37150280:1 gene:B456_005G140500 transcript:KJB30386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMPMPMEFVTDPDDQGSAMEVDDVDTPAQSQAVVTADPPSPPIVNLNKYSSTVTEPKSQGGSQAMLYGVWYDGNLCNMHLLKLSDEVKRGVEDAGMVGFRFNTVGVSDAISMGTRGMSFSLQSRDLIADSIETIMGVQWYDGNISIPGCDKNL >KJB29168 pep chromosome:Graimondii2_0_v6:5:11555345:11556715:-1 gene:B456_005G088000 transcript:KJB29168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVIDFSKLNGDERAKTLAEIANACEEWGFFQLVNNGIAEELLERVKKVSSEFYKVEREENFKNSKVVNLLNESGDGKLENVDWEDVITLTEDNVSEWSYQTSGFQETMKEFRYELKILAEKIMEVMDENLGLPKGYMEKAFNGEGGENNAFFGTKLCHYPPCPNPEKVTGLRAHTDAGGVILLFQDDEVGGLQVLKDGEWIDVQPLKNTIVINTGDQIEVLSNGRYKSAWHRVLSTIDGNRLSIASFYNPSLKATIAPAPQLVKETNEEMDKTYPKFVFGDYMSVYVQQKFLPKEPRFQAVRAV >KJB28263 pep chromosome:Graimondii2_0_v6:5:3671704:3675708:-1 gene:B456_005G038700 transcript:KJB28263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVKLFGTWLSPYVYRVIWALKLKGIPYEYIEEDLSNKSPLLLQYNPIHKKIPVLLHDGKPICESTVILRYIDEIWPQNPLLPADPYDRAVALFWIKFADDKSHLLSKLYRTEGEEQEAVVKEWLEMLEAMEEHALIGGKRFFGGDEINMVDIAFCMVAHWLGLIEDFAGLKIFEPHKFPRVSSWIQNFKSVPVIKDNLPDTDKMLGLLKHRREMLRSKSN >KJB31002 pep chromosome:Graimondii2_0_v6:5:50098189:50103400:-1 gene:B456_005G172300 transcript:KJB31002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVALELVNASFVLALLSWIVIDILKRRKHHNGSHSHSDVIPDFKHSVGGGGVRASGAIVAIFNVIIFIFYLGFGFYDYWIHSFVSTKLVCSAVTWFLASLVSVLSKNRTFRDRKRWPLVLVLWWVFSCILVSFSVVVYVIHHLKSKDLPYYLPEANIVDIASLPFLLLLCCCLPLAVNRNSDLQRPLLHKEDEKFSKDDDTAFASAGIWSQLTFRWLNPLFERGRVEKLELHHIPQVPDSETADKASSLLEESLRKRKADYYLLPKAVARTIWKSLAVNAVFAGLNTIASYIGPFLITSFVNFLSEKHDGSSYQYGLVLAFIFFFSKTAESLTQRLWYFGAHRIGIRVRAALTVLIYKESLSTKFVCYSNGKITNLINVDAERIGDFFWYIHGVWLLPIQVLLALVILYRNMGAAPSFAAIFATILVMVSNTPLASRQKRLHSKIMEAKDARTKATSETLKSMRVLKLHSWEPTFLKKLLQLRETERNWLKKYLYTSSAVAFLFWASPTLVSVITFGVCILVKTPLTSGTVLSALATFRILQEPIYNLPELISMIVQTKVSYDRIQEFLGEEVQRKFISDHGAKASHVAIEIEPGEYAWETDSKDIKKPTIKITDNLKILEGYKIAVCGSVGSGKSSLLCSILSEIPRISGAAIKVYGKKAYVPQRAWVQTGSIRENILFGKDMKKAFYEDVLEACALNQDIEMWDNKDMSIVGERGMNLSGGQKQRVQLARAVYSDSDIFILDDPFSAVDAHTGTHLFKKCLKGLLSQKTVIYATHQLEFLDAADIVLVMKEGLIVQSGKYEELIVDSNGELVRQMNAHRKSLDQVNQPQEDDSLTGGLCQISQTEVIEEKHGEPNCNDKLFESSQEEETETGRVKWSVYSTFVAAAYKGALVPVIVLCQVLFQGLQMGSNYWIAWATEENRNVSREQLIGIFILLSGGSSIFILGRAVLLATIAVETAQRLFLGMITSVFRAPISFFDSNPSSRILNRSSTDQSTLDTDIPYRLAGLAFALIQLFSIIILMSHVAWQIFLLFLAILGISFWYQNYYITTARELARMVGIRKAPILHHFSESIAGSTTIRCFGQEDRFMEKNLSLIDDFSRVAFHNSSTMEWLSVRINFLFNFVFFLVLVILVSLPRSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERVLQFSNIPSEAPSVIEDCRPKPDWPTKGTIELENLQVQYKPTLPVVLKGITSTFLGEKKIGVVGRTGSGKSTLIQALFRVVEPSGGRIIIDGVDISTIGLQDLRSRLGIIPQDPTLFQGTIRTNLDPLQQHTDQELWEVLNKCHLVDIVRRDQRLLDAPVAEDGENWSVGQRQLVCLARVLLKKRRILVLDEATASIDTATDNVIQETIRKETCRCTVITVAHRIPTVIDNDLVLVLDKEMV >KJB31003 pep chromosome:Graimondii2_0_v6:5:50097493:50103851:-1 gene:B456_005G172300 transcript:KJB31003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVALELVNASFVLALLSWIVIDILKRRKHHNGSHSHSDVIPDFKHSVGGGGVRASGAIVAIFNVIIFIFYLGFGFYDYWIHSFVSTKLVCSAVTWFLASLVSVLSKNRTFRDRKRWPLVLVLWWVFSCILVSFSVVVYVIHHLKSKDLPYYLPEANIVDIASLPFLLLLCCCLPLAVNRNSDLQRPLLHKEDEKFSKDDDTAFASAGIWSQLTFRWLNPLFERGRVEKLELHHIPQVPDSETADKASSLLEESLRKRKADYYLLPKAVARTIWKSLAVNAVFAGLNTIASYIGPFLITSFVNFLSEKHDGSSYQYGLVLAFIFFFSKTAESLTQRLWYFGAHRIGIRVRAALTVLIYKESLSTKFVCYSNGKITNLINVDAERIGDFFWYIHGVWLLPIQVLLALVILYRNMGAAPSFAAIFATILVMVSNTPLASRQKRLHSKIMEAKDARTKATSETLKSMRVLKLHSWEPTFLKKLLQLRETERNWLKKYLYTSSAVAFLFWASPTLVSVITFGVCILVKTPLTSGTVLSALATFRILQEPIYNLPELISMIVQTKVSYDRIQEFLGEEVQRKFISDHGAKASHVAIEIEPGEYAWETDSKDIKKPTIKITDNLKILEGYKIAVCGSVGSGKSSLLCSILSEIPRISGAAIKVYGKKAYVPQRAWVQTGSIRENILFGKDMKKAFYEDVLEACALNQDIEMWDNKDMSIVGERGMNLSGGQKQRVQLARAVYSDSDIFILDDPFSAVDAHTGTHLFKKCLKGLLSQKTVIYATHQLEFLDAADIVLVMKEGLIVQSGKYEELIVDSNGELVRQMNAHRKSLDQVNQPQEDDSLTGGLCQISQTEVIEEKHGEPNCNDKLFESSQEEETETGRVKWSVYSTFVAAAYKGALVPVIVLCQVLFQGLQMGSNYWIAWATEENRNVSREQLIGIFILLSGGSSIFILGRAVLLATIAVETAQRLFLGMITSVFRAPISFFDSNPSSRILNRSSTDQSTLDTDIPYRLAGLAFALIQLFSIIILMSHVAWQIFLLFLAILGISFWYQNYYITTARELARMVGIRKAPILHHFSESIAGSTTIRCFGQEDRFMEKNLSLIDDFSRVAFHNSSTMEWLSVRINFLFNFVFFLVLVILVSLPRSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERVLQFSNIPSEAPSVIEDCRPKPDWPTKGTIELENLQVQYKPTLPVVLKGITSTFLGEKKIGVVGRTGSGKSTLIQALFRVVEPSGGRIIIDGVDISTIGLQDLRSRLGIIPQDPTLFQGTIRTNLDPLQQHTDQELWEVLNKCHLVDIVRRDQRLLDAPVAEDGENWSVGQRQLVCLARVLLKKRRILVLDEATASIDTATDNVIQETIRKETCRCTVITVAHRIPTVIDNDLVLVLDKGMIVEYDKPKILLEDRSSWFSKLVAEFLRSSKSNHPKNLV >KJB31295 pep chromosome:Graimondii2_0_v6:5:54147564:54148403:1 gene:B456_005G1844002 transcript:KJB31295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEKSVTAIMVATEFLEVGLNTVNKAAMNKGLSDFVLVFYSNVLGIFMLAPCIIIFYRKRSPPVLTWSTICKIFLLGVLSYGGQICTYIGIGYGSPTLASAMADLTPAFTFIFSIIS >KJB30795 pep chromosome:Graimondii2_0_v6:5:46165639:46171878:1 gene:B456_005G160400 transcript:KJB30795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLGLLRIRVRRGYNLIVRDKIASSSDPYVVITTTQQKVKTNVVKKNCNPEWCDEVSLSIKDLNDPIELTVYDKDTLGADDPMGTAEIDLKPYLEAARLRKELQELPNGCALKKVQPSGTNDLADESRILWENGRITQDMRLKLRNVESGEVLIQIEWVDIPGCKGLDPDF >KJB28477 pep chromosome:Graimondii2_0_v6:5:5006983:5007472:1 gene:B456_005G050800 transcript:KJB28477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDQIRLVSSPFWIKIGPCLPEFDKKDLLHAVGVTFGRVLRSEILGEFCRLRVKLNVQRPLHRGIFVSIGNGNKFWIPFKYEKLQTFCFGGGMLGHSLHDCTEIAPAEENRIREDPPFSLALKAELNLVGRESLKLNALAKKL >KJB29125 pep chromosome:Graimondii2_0_v6:5:10744390:10747928:-1 gene:B456_005G085900 transcript:KJB29125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILVKIAQAEFLDKVMTELQTATDHDNENRILGRGGQGVVYEGKLHDGRIVAVKKSKIVDQEHLDPFINDIVILAKIDHRNVVKLLGCCLETEVSLLVYEFIPNGTLSQLIHDQNEEYPRSWDMRLRIAAEVSNAISYLHSDIKSSNILLDEKFRAKVSDFGTSRSIGIDQTHWTTQVQGTFRYLDPEYFQSSQFTEKNDVYSFGVVLVELLIAQKPVLTSGSQEKKSLVSYFISSVDQNHFLDTLDPQVLKDGQKEELVAVAYLAKRCLNLDGKDRPTMKEVAMELERIRVLRSFFPTQPKQAEVVVTKPTEIGYFTLKRILQGFHHYICIRCSSTYV >KJB31114 pep chromosome:Graimondii2_0_v6:5:51896564:51899592:1 gene:B456_005G177100 transcript:KJB31114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLSYSLLKKEQRTLPLQYRLYQGTALYGDHFQRLVCSESFGLPYLSAYLDSVGSNFSHGANFATAGSTIRRQNTTIFQSGASPISLDVQLVQFSEFHTRSKIISKQGVFHKLLPKEDYFSKALYTFDIGQNDLTAGYKLNLTTEQVKAYVPDVLLQLSEAVKRVYDQGGRTFWIHNTGPVGCLPYVLDRFLTSATQLDKYGCGSPFNEVAQYFNQRLKDVVIQLRKQLPLAVITYVDVYSVKYTLVSQAKKLGFELPLIACCGHGGKYNFNNSLRCGGKITVKGKEILIAKSCGNPSVRVNWDGIHFTEAANKWIFQQITSGSFSDPPLPLKMACHLSKDGAMNKFR >KJB27884 pep chromosome:Graimondii2_0_v6:5:1053841:1061519:1 gene:B456_005G014600 transcript:KJB27884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSFSSADAALELLVSCAHAIEDGNLKTADSFLHQIWNLAPEEHGLISKLVRYFAEALVRRAYGLHPSYYTYSNLQIPHPLYYYYYYSRFDINEMVGEAIESAAIGKKGFHLIDFHIPHLYGRGYLFKTLPNRSSDPLSVRITVVLPTFLKNTVDFQEEMEYLTGVGKPLKIELKREDLRIVYANSLGEVDESTLDLRRTNDDEALVVYYNFKFHTLLAEAEAMKKELIKLRQINPEIVIMQEQYANDNDGNFIKRLEYSFRYYSNFFQYYSNLFKSGKPLDYNTAKYYMRQIHNIVACEGRDRIMRHQSLDEWRDLLLTAGFLQIPFQKDVENLHALYWVEEIKEEKGCLVLSHKDCLILFVSCWRPRAGEEHFKFNLNSNKLRQGFNPRPFQPFPEGFILNRLATFAEIYDMLEDVCFRYELPVAFTWACEANTDKIMLDGKKYTLFMERTSCYASNEGSQCFMEACAKHHIQEGQAIAGKALQSSANFHFEPSITKLIKSDYPLFNAAQLFGSHAVVAICLQNHYIIGDVYVVEFYWPEIESEKSEFLALDIFNDLKNMKKKFVTIRVGSNEVGFEREAISTTLQGTMHTRNAQPASSTNDLLSSNTTWSLNAVQPCDVHEMERHGLVEQVESAPFSTPNPMSYGGVLQTQGPHKQEIGEKDFISQTVSIGDYEIVKAYMETCKVPRTKRRKYLSKVWLDFDKFEVNGKQVAKCKHCNKDFTGSSKSGTTHLKNHLERCQSKKIKNQERQLITSEIGDLITRDSDESNFTFDQERSRLDFAKMIIKHQCPLDMAEQEFFKIFVKNLQPMFEFQSKDILLSDIHRIYKEEKEKLQLYFDQLACNFNLTISLWKNNLGKTAYCCLIAHFIDDNWGPKMKIIACKPLEHIYDTKALNEIIQSSVLEWNISKKVFSITMDNPYLSDDMFQKIKETCFSDQGSFPSTHWFIGCTFIKDGFREMDLILLKLRKSIEYVSEIAQGKLKFEVVNQVKLQGGKSWDDLSLRLDSDFGVLHSALESREIFCQLEKIDSNFKLNPSVDEWEMILACHSCLKCFDDIEGTQSLTANLYFPKLCNIYKKFLHLGKSNYPIVTLMKRKFGYYWSLCNLAFAVATILDPRLKFKFVEFSYTEIYGHDSKMHLNRFHKVLTDVYYEYANEARNLSKSTSDLDDSNSSTTEIDNDCILESFSKFAPASNFNEVASWKSELDCYLDEPLLPLDGAFDILYWWRINTKRFPTLAKMARDFLAMPISILAPCLNFNAMITNPTYNNLNPESMEALVCSQNWLEIPKENDGENHGPMQNIVV >KJB30881 pep chromosome:Graimondii2_0_v6:5:49070509:49071902:1 gene:B456_005G166800 transcript:KJB30881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREETTKNRHFLADKRWFMPITIAGFIAGTILISSFIKTADYSILCSLAKTRSIAVEEYSSSPVQLQAIIHYATSSIVPQQNFKEISVTFDVLKKRSPCNFLVFGLGYDSLMWTSLNPNGNTIFLEEDPKWVQSVLKDAPILQAHAVKYRTELKEADDLLIHYRSEPSCYPSKAYLRGNEKCRLALTGFPDEFYDTEWDLIMIDAPRGYFPEAPGRMAAIFSAAVMARNRKGSGVTHVFLHDVNRRVEKVFAEEFLCRKYLVKSEGRLWHFEIPPASNSSSDDSAGFC >KJB29176 pep chromosome:Graimondii2_0_v6:5:11646530:11649779:1 gene:B456_005G088400 transcript:KJB29176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQSLCIFFLLHSFALLCTAASEHEAPGTGGTIVFTTLGRSNYAWDIYTLPTSDPPSPSNELRVTDGESVNFNGHFPSTSPSSVLSLLHNRSLIRTPGPQAPPPLQLVYVTERNGMPNIYYDALYYGAPGSTRSRSALEVPVRLQAPLLGLEESKNRVSMKDRPSLSGENLIYVSTHEDPGEPRTSWAAVYSTHLGTGLTRRLTPSGIADFSPAVSPSGVWTAVASYGKEGWGGEVEELSTDIYVFLTRDGTHRVKVVEHGGWPCWVDDSTLYFHRRSEDQWISVYKATFPKDKPVSTELVTIQRVTPPGLHAFTPATSPGNHKFIAVATRRPNSSFRHIELFDTVKNEFTELTRHVSPTTHHLNPFISPDSARVGYHKCRGESNGAKATQLLLENVKSPVPNLSLFRMYGSFPSFSPAGDRIAYVDFPGVYVVNRDGSNLRQVFHLNAFGSAWDPVRKGIVYTSAGPEFSSVSTEVDIVSINVDDVEQSNYKRLTIDGKNNAFPSPSPDGKRIVFRSGRSGHKNLYIMDAIEGETSWIERLTDGPWTDTMCNWSPDGDWIAFSSDRHDPGSGSFELYMIHPNGTGLRRLLRSGSSGRVNHPSFRPDGKLLVFTTDYGGISAEPISNPHHYQPYGEIFTMKLDGSDLKRLTHNSYEDGTPTWAPLYINPVDVEWPRKGECAFEDCHWLNEMPGRGIKLVGPWGLAKPQCGVF >KJB31692 pep chromosome:Graimondii2_0_v6:5:58056902:58059634:-1 gene:B456_005G203300 transcript:KJB31692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHLPSPLFHHSPLPPYPSPISHSHAIPILISHSHFPFPIPPCPPPISHSHLPSSIDSPLPPFSPPISHSHAIPIPISHSHSIPNSHFPFPIPIPIINNTNSSSSNNRNSNKGVFFTVEELVYFMQKLFTVEELALYNGTDDSLPILLGILGSVFEVKKEKSHYGAGGGYNHFAGRCLPCICFWKFYRSWTYRFIARFIQHRGNDVFPLPFQGYDSYPSSFEETEYPKLPQPRTKQKRGEKLAKTRDKPVNKGETSKNEGKFLLFCSQI >KJB30860 pep chromosome:Graimondii2_0_v6:5:47752588:47754653:1 gene:B456_005G164300 transcript:KJB30860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFQGFFPTSSSSSTSLSLNLTSSLDYSEFECGKDNDGILGLIADIGVGGAKNENNSLVGTTTESEVKSGKTKKGENKKIRKPRYAFQTRSQVDILDDGYRWRKYGHKAVKNSKFPRSYYRCTHQGCKVKKQVQRLTKDEGIVETTYEGMHSHPIQKTNDNFEHILNQMQIYTSFKSII >KJB28844 pep chromosome:Graimondii2_0_v6:5:7870934:7871701:-1 gene:B456_005G072300 transcript:KJB28844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMQIHIDSLITDRNSPFYDDINAAGPMYVEGGKPVAKSDAKSKCCNNHPELGKCVPGEDDNPDKDGKCWNYCIVDCERGGVCKLMSDGHHECHCAC >KJB32295 pep chromosome:Graimondii2_0_v6:5:61611085:61614210:-1 gene:B456_005G234000 transcript:KJB32295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIDNEGLYWSFMKKTTYENRLFLPPYSTPSFRQSPTHGLFQNPTGYSSQRSYPLTPNLPLPSQQSFESLEDSFRRMTLIDHNSSRLQSMRVESAVRGQIGLGFHNEGFGSYDFLGASMVRPPPQRQPSFPGFDVYRQRSQSFNELPYTNRRWPMNTHWGTNNSIWSSNGSQPENPKSNLFPPMYNNRGQEWFTCSSLKELKGRISAVAKDQKGCRFLQKKFDDKIIKREDIDMVISEVKDQLHELMVHQFANYLIQKLFEVINQQQTTELLLVLVTRKQRFMEVCTDPLGTRAVQKLMLRMKSQEQISILLSVLKPIAVTLTNNIHGHHIIDQCISTFSNEDTKHIADEIANNCMDIATDKSGCCVLNQCLSHVQTEARDRMLAEIISNAFILSEHCYGNYVVQFVVGMRLRHVTSNLIMQLRGTYVSLSMNKYGSNVVEKCMKCSSEHASMIIKEIMFDIDFLKVLQDAYGNYVIQSALRVSKGDLYDKLVRFILRHYSVLHSHLFGKMVLDRVKCSKTNRV >KJB30915 pep chromosome:Graimondii2_0_v6:5:49275274:49277713:1 gene:B456_005G1676001 transcript:KJB30915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TITYSQTSCGANSFHFLSRGKSFLLHLALSPSRGILVIGSIGTERSYLFKYLATNSYVPFIIVFLNKFLDNKLKGFLIDDIDIVDSDDIDASDAIDHDLDTELELLTMMNVLTMDMILEIDRFYITLQFELAKVMSHCILRIPNIHDLDVNEANYLSLDLLVNYLSKDREKCSTRNILVIASNHIPQKNMFHTNGFGSTTVGSNARDLVALTNEALSISITQKKSIIDTNTIRYAPHRQTWDLQSQVRSIQDHGILFYHIGRAVVQNVLLSNCPLDPISIYMKKKSCNEGDSYLYKCYQYFLNMFLSNGRLLDQMKKTLLRKRWIFLDEMKIEIM >KJB30816 pep chromosome:Graimondii2_0_v6:5:46898858:46900153:-1 gene:B456_005G162100 transcript:KJB30816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTLLNKPLITFFFFFFFSFFIQTKQDNPCPYPCFPPPTGTGGGGGGGTVTQTPPASYSPPSQTGAYPPPTGNLPYYYPPPPYGGGNSLYGQPPPDPILPYFPYYYRKPPHQTDDQSAAATVGPGRSLLITTATTNLVVFIILGISSLGNF >KJB28363 pep chromosome:Graimondii2_0_v6:5:4233274:4233465:-1 gene:B456_005G0445002 transcript:KJB28363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIFVEWPHLERLEALVDVENVGSQRVLEKAGFQREGVLRKYLIVKGRSRDRVMFSFLSTDYQS >KJB29936 pep chromosome:Graimondii2_0_v6:5:27762101:27765657:1 gene:B456_005G124400 transcript:KJB29936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPLDARVRIGTVDRVSGRGGRNQRGRGSRFIVEGGKRPRNCKADFKSSLEPPEIHKGILDLNHSSIMVLLQPDPFLNELTSMFERSTETGSVWVTLKRSSLKSKAQRNKMKTAEQPIEYRCLVRATNGKKTISTSVGAKDHQRFQASYATILKAHMTALKKRERKDRKKAAEDKKEGGSKKPKRA >KJB31722 pep chromosome:Graimondii2_0_v6:5:58362791:58364298:1 gene:B456_005G204400 transcript:KJB31722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVSPLPSPSSVTNYRIYTSFCSNDLLPTPNMSNWIECYDPNTNSWHRVCRIPELLQNHVRKDFAMVSIGDSIYIIGGRLCHKAPSHHDPYEIVQDDLEVLSSVIRYDVCSGVWSKCAPLAIPRFDFACTVCDNKIYVAGGQCTLDVARGTSSAEVYNPTLDEWKPLPDMSVMRYKCVGVTWQGKIHVIGGFAEKADLDKLPWNTIGRCSAEVYNSDNAKWDLVMGMWKLDVPPNQIVAVDEKLFSSGDCLNAWKGHIEEYDGKFWNEVEGSHLETLSSPNSVWDANWPPAKRIYITMAAIGSKLFFLAGYRKPGETSRLMSVVHVFDTWAKRDGGRSLEPTEEEGEKELCSHACVVFLPNN >KJB28195 pep chromosome:Graimondii2_0_v6:5:3182311:3185657:1 gene:B456_005G033700 transcript:KJB28195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVILVPWSFFLIALTKFLYHYLWVPLRIQHMMNSQGIKGPPYRFIHGNNKEVTKMKKEALSKAVGLTDDLFPRLQPHIYSWINTYGKNFVYWNGVRAEVVISEPELIKEIMKNSETIFQKRKLTDVGAKLLGNGLAFIEGEKWAKHRKLANHAFHGESLENMTPAIIASVETMLEKWKGLEVKEIEVYNEFRFLTSEVISRIAFGSNYLEGEKIFAMLNKLKILVSQNIHKTAIPFINKLWKPADMLESEKLEKGIQDCVMEMIKKREDNVVSGEADSFGTDFLGLLVNAYHDLDDKNRVSLEDLVGECKTIYYAGRENVNSLLAWIVLHLAIHGDWQEKARREVIDIFGNQNPHLEGIAKLKIMTMIINETLRLYGPSHGLPRTVAREGQLGKFILPADIDILSLNIGPHRDPHLWGDDVHLFKPERFAEGIAKATNFTAAAFFPFGLGPRSCVGMTLATIETKIALSMILQRYTITISPTYVHSPIAIITIQPQHGIQIILEPLHSC >KJB31838 pep chromosome:Graimondii2_0_v6:5:59141761:59143232:-1 gene:B456_005G210300 transcript:KJB31838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVEDYNGDESDDEREKKEILQRMECFNRIFVVASSSVQLYYEKYILRQPCMDSKQSGETWIREIFDGHESRCMINFRMSKMVFTSLLRVLETRYNLQTSRNISSSEMLGIFLYILGTGAKVSQCRERFQRFGSTISRYFAVVLEKVSRMATDLIAPKILFLAQYPNKYVMILDICRILRKGIPTQNVMAVCDFNMCFTFVMAGWEGSAHDTRIFLNAIRDPKYKFLHPPNGKYYLVDSGYPQMKGYLGPYRGQRYHLPDFRRDINSAYENNIDSENAHGRESDDDDDDNDDSDDDGESNNLSGFEMKLTRDTIAYSLMNSF >KJB32085 pep chromosome:Graimondii2_0_v6:5:60520371:60523437:-1 gene:B456_005G222400 transcript:KJB32085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQDAMNTRVGGANNGLSGGEKRRLSICIEILTRPKLLFLDEPTSKTVYFGTLSAANEFFASNGFPCPTLQNPSDHFLKTINKDFDKDIKEGFVNEIPTEEVINILVNSYKSSDIFQHAQTEIAQICKQHSRAVEKEKRHVTFFTQCHVLTRRSFINMYRDPGYYRWRLAVYIALALTLAVLFNNLGLSYGSIQARGALLLFVTAILTFMTVGGFPSFVEEMKVFERERLNGHYGIIAFVLANTISAIPFLIVISLIPGTICYYIPGLQKGFQHFFFSISTLFASMMLIESLMMVVAMGAGIQGIMMLVVGFFRSPADLPKPVLKYPLHHIAFHKYAYQRLLKNESEGLRFENDHNNNNNNVGSGGARPMILTGEDAFKDIWHVEMATSKWVDLGVLFAMVVFL >KJB28129 pep chromosome:Graimondii2_0_v6:5:2553716:2557308:1 gene:B456_005G028900 transcript:KJB28129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLDDQRSPLLRLQHHLYYAPHFTFSSKFELWQPNTDCCSWEGVTCDAYGHVVGIDLSYKNLSGSFHPIFNLHRLQRLNLTGNNFNTTLFSYGFDKLQNLTHLNLSSSCFHGQIPVEFSFLKRLVSLDLSNQYSCYLRYYEVLYPGFYNYFSLPYELQQPLKLENPNFKTLIKNLRFLTELYLDSVDISTQSAKWCETTSLVLPNLHVLSLSSCALKGPLYNDFLNGQLPEFPANNALQSLSLFYTNFSGKLPQSIGNLKFLTNLDLDGCNFFGPIPSSIANLILYIGNNYLRGAIPKSILQLPRLEWIYIESNSFSSMKLDMFVQVKNLRTHWLNKISFSLSSLHLPFNVIDFPKQLPLNDSNFSFPMLTELDLRSCNISAFPEFLKSLENIIFLDLSNNKISGAIPNWAWKKSLRYLYLANNHLSSLDQLLPNQSSTSSQTSLTRPICNLSQLRNFNASHNNLSGTIPNCLGEMNDLLLLDLQGNNFSGMLPKFSKATHLYILKEKLPYLTVLILRENRFYGQIKHRFVFPTLDVLDIASNQFSGELSIDFLQPTRLRSLKIGGNKLEGKLSRSLANCKALEVLDLGNNMVHDTFPFWLEKLPSLKVVILRASRFYGTITKFNTERGFPKLRILDIASNNFSGDLSIEFLQSLKAMMQLTNEDKAKLDYIGENYYQDSVTIFNKGIELFYEKILTTLTCLDLSNNSFHGRIPEEIQMLRSLKVLNLSYNSFSGEIPVAVQNLKDLESLDLSQNELSGKIPPQLATTFLEALNLSYNPLEGSIPQGNQFSTFSNDSYRGNPKLYGQPLSKKCNEDGLPVPPPPGEEEQSWLYAMSTWKIVLIGYGSGMVAGLCIGYTVLNELGNKWVDKFKKHGKRNRRRSR >KJB29501 pep chromosome:Graimondii2_0_v6:5:17859181:17860265:1 gene:B456_005G103700 transcript:KJB29501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDTSEVALDLFPYLKVYKDGTLERIAGVEVVPPGLDPQTNVLSKDILIVPQTGVSARIYRPNFVIKDQKLPFVVYFHGGAFCVASPAFPNYHNSLNKLVAEANIVALSVDYRLVPEHPLPTAYQDSWAALQWVASHKEEDGHHHEGWIKDYVDLDQVFLVGDSAGANIAHHLAFRIKESDLGQSFKILGIGMIHPYFWGTNPIGSETADGLRKELVDKWWLYVCPSDKGCDDPFINPFVDGSPDLAGLACHGILVIVAEKDILRDRGRFYYDKLVKSGWRGKAEIMENEGEDHVFHIFNPDCDKAKSLMKRLAAFLNQGSGKGIVE >KJB32538 pep chromosome:Graimondii2_0_v6:5:62577161:62580171:1 gene:B456_005G245500 transcript:KJB32538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGHEKSDIDNSEDERKTRLGSLKKKAINASSKFRHSIKKKSRRHSRVMSIVSIEDNLDAEELQAVDAFRQALVLDELLPAKHDDHHMMLRFLRARKFEIEKAKQMWADMLQWRKEFGADMIMEEFEFKEYDEVVKCYPQGYHGVDKDGRPVYIERLGQVDANKLTQVTTIDRYLKYHVKEFEKTFAIKFPAASIVAKKHIDQSTTILDVEGVGLKSFNKAARDLLQRLQKIDGDNYPETLNRMFIINAGSGFRLLWGTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDANELPEFLGGNCTCSNKGGCMLSDKGPWNDLEILKRVQNGEAKCMRRNTSGVDDKACLEMSHSFNVETATGTGERIAENTPLSPVAESPIKNESRDTFTYDKVIPMVDKGIDACWPKLMADESFAILKDSYHMKDDGKVVTTGMGNNVFGGIMALVMGIMTMLRLSRNMPRKLAEPTMYSGGQVYYANPMISGNAPPLAPPITYADYYSMMERMADLESKVSVLMGQPATMPPEKEELLNAALSRVCTLEEEISAAKKAFEEARDKQQELQTYIDKKNKKKKRFNPFRW >KJB28234 pep chromosome:Graimondii2_0_v6:5:3436664:3438232:-1 gene:B456_005G036100 transcript:KJB28234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWKDGYQGDVIGEYTPDVLVTEFMKGEMELIEQILQTEINIFRAIRDGSALMQHMEDFYYISLLETVRSNYQTVASSRKLEEGSSQS >KJB29518 pep chromosome:Graimondii2_0_v6:5:18228406:18229334:1 gene:B456_005G105000 transcript:KJB29518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAYLVWKIITQFSSVQNLSYYKPTTVVIPMAPRRIDHVASKPEMWKHLKARVEAKRLKVEMGKVREDQECLRVEQRNLITRFGEIERQYDELKQEAEMIAKQSGLTRIKLGLMLGILIAREGGHLVQAANLTRFLGEIVAMEKASAIHRKQMQWSFFEQNFGDF >KJB28261 pep chromosome:Graimondii2_0_v6:5:3594832:3596862:1 gene:B456_005G038100 transcript:KJB28261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQHFSHHHPLVFIQAHSVAALCLVCEKPVEGWSYGCNQCEFYLHKGCAELELAPKIQHPFHPKHPLTLLPKSPYSELGICNFCRKKFGGFVYDCNDCSFDLHINCALLQSSIAANFPNSLHPHPLLFIQNHNNEVKSHCSGCQKPISGQIYHCSDCTYPYFNLHKECAELPLEINHPYDRRHPLTLLPQPPTHPPKCSCYLCRIQWKGFVYSCSLCNFDLSLDDFLFSSPTITVASHEHPWMLVSRKMSFVCDFCGTDGDHTPYFSAICHLLVHKNCISLPRHIMITRHRHTISLSYSLRQNQVEDWMCKICYEEVDIRYGNYRCPASRCRYIAHVRCATDKAIWDGTIMPEGYDERSEEVVDEPWNLITDVVEQIRIGELMVASEIKHSYHDHNLRLTFSGKTKDDDSHCDGCTRPISTPFYSCEQCRFFLHKDCAELPKEMPHPFHKHLLTLSNSHDEYGYSYCVACRRRYQGFGYRCYKRDCRFGIDIQCMLLSDTLKHPSHEHSLVLVHNNEGTSCSACFSYLLSMDVAYRCMKRCDFSLDVGCASLPLTAWYKYDRHALTLTFSDDSEPSQLYCDLCEKEREPNRWFYYCADCDNSLHLNCAIGDLPYMKLGNKFKTAWHKHPFTVVKNIWNCPPCKECGEVCNGQALECKESECNFTVHWNCLWDLRE >KJB29111 pep chromosome:Graimondii2_0_v6:5:10448508:10452168:-1 gene:B456_005G084800 transcript:KJB29111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNTTPHTPSYVVIAFDATKDHNEREFRIIINHIRVRGDILRGGDTLLMLGVLHRVTHPMGYQSKPCPESFGTSLRAMEEELSNKVDSYVNLLHQSAEECEEQGVSIGVKITAGVPIKNVVLQEVVASKPAWVVLDSLSRHLRRDLKLYLKQIPCKVALVQDSLNVDVLRNHTISEPDIGDQKVYSMSKYKSVPPSNFHFTENIDPSETSSRRYSLSTSYLESSKSGLFTPSSTLKSEEHNFLSDFGPSSKLEISDTYTKGGSMLFDTPNIIQKQQRYGFRNKSTGSPLLCVACGLRTELYIEDSKAFTYTEIQQATEDFSKKNLLGEGGYGHVYKGKLKDGQLIAAKVRKQESTQGFAEFNSEVSVLSFARHKNIVMLLGYCCKENLNILVYEYICNKSLYWHLFENTENVLDWHQRRAIAIGTAKGLRFLHEECRGGPIIHRDMRPGNILLTHDLVPMLGDFGLARWKINDETLQTKVLGTFGYLAPEYAENGFVSVRTDVYAFGIVLLQLISGRKVIDYKSEGQQVSLRQWAEPLIEKLALHELIDPRIEESYDTYQLYLMAKTAYLCVQMNPEMRPSMAEGYCAFWKEKPIISTN >KJB28266 pep chromosome:Graimondii2_0_v6:5:3700631:3704420:1 gene:B456_005G039100 transcript:KJB28266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNNHQQTPPASAYNSNAAATTTASKDNSKATVCIILATSFFSFLFIIALPSTSPIRPLTIIRPDPALFPNRPISLTEIPSAPTPPSIAYFISGSAGDSARILRVLLASYHPRNHYLLHLDLSASQTERDRLAITIQAIPIFKAAQNVDVVGKSDYAYPRGPSAISSTLHGASILLRLASDWNWFISLNAGDYPLATQDDILHILSYLPKDLNFVNHTNYIGWKESKKLKPIIVDTGLYLLEKEEIFYATQKRELPTAFKLFSGSSFTMLTRSFVEFCILGTDNLPRTLLMYFTNTPYSYSNYFPTVLCNSDQYKKTVINHNLQHVAFNKTFSTKPLSLKPEEFDAMIQSGAAFATHFQFDSPVLDRIDQEILKRSPGKVVPGGWCLGDPANDTCSVWGDASILRPGPGAKRLKKRIVDLLADDKYRSMQCRYE >KJB28394 pep chromosome:Graimondii2_0_v6:5:4321127:4327803:-1 gene:B456_005G045500 transcript:KJB28394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNPQIQPSRVKLGSQGLEVSRLGFGCVGLSGVYNAPLSHEEGCSVIKEAYNRGITFFDTADAYGDKHDNEIMLGKALKQLPREKIQVATKFGFVKLESKGRPLIEVKGSPQHVRACCEASLERLDVDYIDLYFQHRVDTSVPIEDTMGELKKLVEEGKIKYIGLSEPSIDTIKRAHKVHPITALQMEYSLWTREIEDEIIPLCRELGIGIVAYSPLGRGFFGGRGVEETMPHGSQLANYPRFNGENLEKNKLLYTRVSNLAIKHGSTVPQLALAWLLHQGHDIVPIPGTTKVKNMINNVGSLGLKLSEEDVKEICDAVPVDEVSGRREMRLLSEYTWKLANTPLK >KJB28846 pep chromosome:Graimondii2_0_v6:5:7973299:7976275:-1 gene:B456_005G072500 transcript:KJB28846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDQLSECGGCGAPERFLLHNLRHRASYRRLCTNCVLKNHQGLFCPICLEVFNESPPPHQRLICLKCPSISHLSCSSSSLSPSSFTCPPCSNPNFSFFNLTPTKKPKSTPDHPPGSTLDGDDDNNKTTNTRVIDKEAAKALLAAAKIAAASMTKAAAVAKVEAERRVKEATLAKKRAKEALERLALLARKDNDRHKSSLVGIPKSKPDAAIAAAADAKANSLSLPSPIPDKGNNGSYSVSAANVSISIPKLQRQQSTHEAFNSPTVFLPFLCIY >KJB31290 pep chromosome:Graimondii2_0_v6:5:53846406:53847072:-1 gene:B456_005G184100 transcript:KJB31290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGFGPCKEFSMVKWRMRRRRTACSGGGSTGGRSVRMKIKRLQKLIPGGKLMQPDRLFLRTADYILHLRLQLNLLQALSKIYQPSI >KJB28086 pep chromosome:Graimondii2_0_v6:5:6659667:6659876:-1 gene:B456_005G063500 transcript:KJB28086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPFSAFWLRSSVVSVLISLISDTWAIGSHDIKFIFEGGESITIACYWGSRASPRLCTIARVWRTPPNS >KJB29653 pep chromosome:Graimondii2_0_v6:5:20969345:20972966:-1 gene:B456_005G112100 transcript:KJB29653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFYSYLLCVFSSLLLPFSAIVYGSSDLEVLLLLKSSMIGPKGSGLENWEFSSSPSAHCRFSGVQCDEDFSVVALNVSFAPLFGTIPPEIGLLNKLVNLTISSDSLTGRIPVEMGNLTSLKIFNISNNVFQGSFPGEIFAGMTHLEILDAYNNNFTGVLPIEVVNLKYLKHLSFGGNFFMGEIPEEYSEIQSLEYLGLNGIGLTGKTPSFLARLTNLKYLVIGYFNAYDGGIPPEYGSLSQLELLDMASCNITGEIPSSLSNLKHLHSLFLQLNRLTGRIPSQLSGLISLKSLDLSINELTGEIPDSFSALNKITLINLFKNNLYGSIPSFVGDYPHLEVFQVWGNNFTFELPQNLGRNGKLYKLDVASNHLTGLIPRDLCKGGRLETLIMMENFFFGPLPEELGNCKSLTKIRIMKNLLNGTIPAGIFNLPLLSIMELGDNFFSGELPSQMSGASLGQLKISNNWISGKIPPAIGNLRSLQVLSLEMNKFSGEIPGEIFNIKLLSKMNISDNNITGEIPPSISRCTSLTSIDFSRNSFTGEIPDGIDELKDLSILNFSRNQLTGEIPAEIRNMISLTTLDLSYNYLVGRIPIGGQFLVFNDSSFTGNLNLCPRHVNCPSLGNQTRGSGHGHAVSFAASKLIITVITFMTALLLIIITVYRIRRKRFQKSRAWKLTAFQRLDFKAEDVLECLKNENVIGKGGAGIVYRGSMPDGLDVAIKRLVGGRNDSGFSAEIQTLGRIRHRNIVRLLGYVSNKDTNLLLYEYMPNGSLGEMLHGPKGAHLQWERRYTIALEAARGLCYLHHDCSPLIIHRDVKSNNILLGEDYEAHVADFGLAKFLQDAGASECMSSIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELIAGRKPVGEFGDGVDIVRWVRKMTSEQPQPSDPASVLAIVDPRLSEYPVTAVNHLFKVAMMCVEDESTARPSMREVVHMLTNPPQSAQRPSLLTF >KJB32646 pep chromosome:Graimondii2_0_v6:5:63116676:63118977:1 gene:B456_005G253500 transcript:KJB32646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHFITILALIIFLITPKVVYGASFTFINRCDYTVWPGILANAGTPSLGTTGFELPKDSSRSFQAPTGWSGRFWGRTGCKFDGSGSGTCLTGDCGSGQVECNGLGAAPPVTLAEFTLGTTGGQDFYDVSLVDGYNMPMIVEGRGGSGLCSSTGCTTDLNRKCPSELRVGDGDACKSACEAFGSPEYCCSGAYGTPATCKPSVYSEMFKAACPRSYSYAYDDATSTFTCTGADYTVTFCPSSPSKKSSKDATPVPAVPEETTPTTGSTPQGSGSESGLTYSSTTSSTPQGSGSESGLTYSGNGYEYSDSGSGYGYSGSGYSNSGYGYSGSDSKSDPDGTGKTMLTDGSWLAGLAMGDSSRTTPWILQYAPTSLLLMSTFIM >KJB29122 pep chromosome:Graimondii2_0_v6:5:10617527:10620992:-1 gene:B456_005G085600 transcript:KJB29122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIRSLVLMQLMILLNVILTVKASTPAVAKPGCRSRCGDVIIPYPFGTGGDCNITAGFFINCNTSFIPHKPFFGDLEVINISTDGQLRILSNTSYDCYDTSYRNWFFYDLLSFEFSINNNKNKFTAIGCDTVAVVQDFYGKCYATGCLSLCNNITDVSNGSCSGIGCCQTSIPKNVRSYNISLDSYANHTNVLAENPCSYAFVAEVDSYTFSASDLGGFEFQSRQFPITFDWNIGNTSCNKANMDVNNFACKEYSKCVDSENNSGYLCKCLEGYGGNPYLSNGCQDINECESMSPCNGTARCTNLPGTYKCSCPVGYEGDGKKSGTGCSLPNKDQSKTSPLIAALGVAIGFLGLLLGIVLWCWMLRQRQISKLREANFQQNGGILLREQLSKRQGYREDVKFFTAEELEKATNNYNESRILGQGGQGTVYKGILADNQIVAIKKSIIGYPSQVEQFINEIMVLYKINHRNVVKLLGCCLETQVPLLVYEYITNRTLFHHLHNDDAISYLSWETRLRIATETAEALSYLHSAASIPIIHRDIKLANILLDDNYTPKVSDFGASRLIPSDEAQITTIVQGTFGYLDPEYMLSSLLTEKSDVYSYGVVLMELLTGQKVVCFKRPEENRVLPLYFTSLMKEDRLLDILDPRVLNDENVEQLMEVAILARRCVRVKGEERPTMKEVAHEFAGLQAMPKHPWSKSNLVSEESEYLLGKFPSTYDDGVTSSSIGMGYDSINNKITFELEGAR >KJB27853 pep chromosome:Graimondii2_0_v6:5:978230:982601:1 gene:B456_005G013400 transcript:KJB27853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFHQTDCIEILSQIKHQEKLLNLKRRWLMGLSISRSKKKQFKEPEFFKCKTLPESFLREDDMFYEIIKAHVQDAFGASNSRRGNHVIQDDVQSFDASKITGVLFSYLDALTNDGLHLIVMILSGGSGMIKKTQCEMIKAIRESLPSFLSNKNLEHEQKTSIMQLYKILNDPKNFRNNTMKPMTPTSLFHHAAAIQMLDRLEDLPFQTLIAMDRKLSCVKTVPQLKTHERGQKRKRLVQKVGKTARRMLMDLDEEGKLQGPLAKALAVAELSLNLTMGCQNSSTTSFRQFTPEIVSLQNDIVKAIWTLKTKARFPELKALQLLLDPNIEIATRSLRGAIINALTEFLFECSDMDTIPKSFLEALSVINRDSRSLPNKISRSLPHQSFLKDEIEEEVECILSVSAEMKQIFWDLLPDHELDEEFADAYGEGLEGSDDDSCIEDSGCYENDWKMVNKDLESCMSHSVKSIERDEVIQDVKMDPEYASSNAKSSEDKNRVKNKASFSSPRGELNCQSIERDEVEQKIGVAPENASNERFADNKTSKCRNRYLAIQEACDETSLVAHKLIGRLLEKFAKEQDMDLDWSDSLYLGGDSSTQQHIQGQEKKQKLTEEDMGDNLIGTLKELMPFVTKSLPGHQNRKS >KJB30700 pep chromosome:Graimondii2_0_v6:5:43953760:43955199:1 gene:B456_005G1558001 transcript:KJB30700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQHRNLVRLLGLSFAQKERILIYEFLPNSSLDNFIFDPVKCSLLNWEKRYKIIEGIAKGLLYLHEDSQYRIIHRDLKPANILLDEEMNPKISDFGMAKLFTVDQTRADTSKVVGTYGYMAHDYAWHGQYSVKSDVYSFGVLVLEIISGKKISSFSNQEVGDSLLTHAWRNWREGTPLEVVDPILRDGSRSEIMRCIHLGLLCVQDNIDSRPTMASVVLMLSSYSISLPVPSRPAFSMHSTMETETKSQSSSLSNQSKRENIQVSVNEASISELDPR >KJB30444 pep chromosome:Graimondii2_0_v6:5:39089459:39092063:-1 gene:B456_005G144300 transcript:KJB30444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLFTLLILFFPVLNLSFCKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGNLPPWSGITCTLMGDYRVVTELEVYAVSIVGPFPVPVTNLFDLTRLDMHNNKLTGPIPPQIGRLKRLKILNLRWNKLQDVLPSEIGELKSLTHLYLSFNNFKGEIPKELASLPELRYLHLHQNRFSGRIPAELGTLEKLQHLDVGNNHLVGTIKQLISMYGCFPSLRNLYLNNNYLTGGFPAKIAGLTNLEILYLSYNKLSGVIPHEIIHLPRLTSLHLDHNQFAGRIPEALYRHPFLKEMYIAGNSFQKGAKSIGVPKILELADSEFLV >KJB32879 pep chromosome:Graimondii2_0_v6:5:63928702:63930761:1 gene:B456_005G266400 transcript:KJB32879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSKKQPSAAATQWIEKVKYHKNRDSYFKFLGNPHFRYTAFLLVFLSLWFLLQVFWFPPTKPTQTKFSTTTPKPSAGKCNGDVAVYVYNLPAEFNLGLLDDCSHLNVYTNMCPHVANHGLGQPLDNMDLQSSDSNPWFATHQFIAEMIFHARVDNHPCRTWDPSKANLFYVPFYGGLHVSSNFREPNHAIRDALAVRLVDFLQAQPTWRKNNGKDHFLALGRTSWDFMRTNSGPDFGANCLLNLPCVKNMSVLTVERNPWKGSNQHGIPYPSYFHPSTSDQMMTWQNMMRQSNRPYLFSFIGAPRKGVGKAAIRDEMIKQCMESTQCKILKCDHGNPKCYNPSEILKVMRESRFCLQAPGDSFTRRSTFDAILSGCIPVFFSRHTAYTQYSWFLPEEASKYSVYMDEQSEESKRIEEVLMKIPKEEVDTMRATVIDMIPRLTYAHPNASHSDLGFEDAVDVALQALAQHVRDKV >KJB32277 pep chromosome:Graimondii2_0_v6:5:61527596:61529668:-1 gene:B456_005G232900 transcript:KJB32277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKSKMAREKNLEKQKAAGKGSQLESNKKAMSIQCKVCMQTFMCTTTEVKCREHAEAKHPKSDVYACFPHLKK >KJB32789 pep chromosome:Graimondii2_0_v6:5:63635954:63636760:-1 gene:B456_005G261600 transcript:KJB32789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSIAILIFCFLLLFANLNHARKEPGEYWRSVMKDQPMPEAIKGLLHEDETGSGSGAEMKMKQFVKDFDSRHSLIIYHNSPESKQEDTTHAKDVKHTKDQKQDKPERKN >KJB32501 pep chromosome:Graimondii2_0_v6:5:62398990:62403180:-1 gene:B456_005G243300 transcript:KJB32501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTGETEDNNKNNSITHILTSKTQLFNLKLYAVITILVAFVLLFSLTIFLCFRLNRNARKRKVKHSSGLIPLVSKEILEIKALNRNVGSCFSSEEGKIGNAVPSKSSEGVSDDASGASDVSSAADAQNIGWGRWYSMKELEMATRGFAEENVIGEGGYGVVFRGLLQDGSVVAVKNLLNNKGQAEKEFNVEVEAIGKVRHKNLVGLVGYCTEGAQRILVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMKIAIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDKKWNPKVSDFGLAKLLGSEASYVTTRVMGTFGYVSPEYASTGMLNEGSDVYSFGVLLMEIITGRSPIDYSRAPGEMNLVDWFKGMVASRRGEELVDPLIEVQPSPRALKRALLVCLRCIDLDANKRPKMGQIVHMLEADDFPFRSEHRPAREKYSVPSSVPTSAKVPHPKKQTEKVDAAKSRRK >KJB30916 pep chromosome:Graimondii2_0_v6:5:49273170:49273915:1 gene:B456_005G1676002 transcript:KJB30916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYYSEEKNLHQYLNFNSNIGLIQTPCSEKYLPSEKRKKQSLCLKKYVEKGWMYRTFQRDSTFSTLSKWNLFQTYMPWFLTSTGYKYINLIFLDTFADLLPILSSKMIHRNNESPLISTHLRSPNVQEFLYSIFFLLLVTGYLVQFKKVKSFMIPSYMIELRKLLDRYPTSELNSFWLKNLFL >KJB30998 pep chromosome:Graimondii2_0_v6:5:49952132:49955042:1 gene:B456_005G171600 transcript:KJB30998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAASSVIALNSVSIFGDSSDRKFLPTRQWSPISCRIGSVHTKPCIGLQCRSRRSFTSSSVRAKRVEQASSEAAQEVEAPVAIVTGASRGIGKAVALALGKAGCKVLVNYVRSSKEAEAVSKEIESYGGQAVTFGGDVLKEANVDAMIKTALDAWGTVDILVNNAGITRDTLLMRMTKLQWQEVIDVNLTGAFLCTQAAAKVMMKKRKGKIINISSVAGLVGNLGQANYSAAKAGVIGLTKTVAREYASRNINVNAVAPGFIATDMTSKLGGDIEKRILTTIPFGRYGQPAEVAGLVEFLALNPASNYITGQVFTIDGGMVM >KJB28353 pep chromosome:Graimondii2_0_v6:5:4177790:4180888:1 gene:B456_005G043600 transcript:KJB28353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVFGLVGNDFAIVAADTSAVHSILVHKSNEDKIMILDSHKLIAASGESGDRVQFTEYVQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYFVNILLAGYDKETGPSLFYIDYIATLHKVDKGAFGYGSYFSLAMMDRHYKSGMTVEEAIDLVDKCIMEIRSRLVVAPPNFVIKIVDKDGAREYAWRESVKDAAVPSA >KJB28052 pep chromosome:Graimondii2_0_v6:5:2106392:2108014:-1 gene:B456_005G024500 transcript:KJB28052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLHRSLSLLFIVACSLSWVSASANSHDDFLECLYSYHPKESSSITQVIYTETNSSYSALLDSSIRNQRFSTPNTPKPLVIVTPLNISHVQATIYCSKKHGLEIRTRSGGHDFEGLSYVSHVPFVVIDLVNLRSVDVDVENEEAWVQSGATVGEVYYRINERSTNLSFPAAVFRTVGIGGSISGGGDGPLFRKYGLSADNVIDAQLVDANGRVLDRRSMGEDLFWAIRGGGGGSFGIVISWKIKLVHVPSTVTFCSVGRTLEQNATQLLHRWQYVAPNLPNDVYSVVTISTTNASENGTKTVLATFISLFQGGANEFIPLMQERFPELGLVKEDFIEMTWVESLLLMNGLSNETSEILLDRSNRYSILPTFVKSKSDYVREPMPEIALQGLWLHLLQVDEGGIAVQNFFAYGGIMEEISETETPFPHRKGTLYKISYIIAWQEEENNNSQRYISWMRKLYSYMGPFVSKSPRAVYVNYRDLDIGRNNYHGKTSYKQASIWERKYFKNIFHRLVYVKTKTDPKNFFKHEQSIPPRIHHTS >KJB29873 pep chromosome:Graimondii2_0_v6:5:25847072:25848770:1 gene:B456_005G121800 transcript:KJB29873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATSDSSSQVDKFLQCLANSSALMLESIYTPSNSSFESALQAYIRNRRFLTPETPKPVAIVAPNHVSHVQATVICAKDNGVQIRVRSVGHDYEGLSYRSNVTFVILDMLNLPSIDVDVDNEVAFVQASATIGELYYKIANESKGHAYPAGVCPSLGTGGHFSGGGYGNMMRKYGLSVDNILDAQVVGADGNILDRASMGEDLFWAIRGGGGASFAVIVSWKIKLVQVPEKITVFTVPFTLEQGATDVAFKWQQVAPKLPEDLYIRQIASVINGSCPLEKKILVSFMGLFLGEADKLVPLVNQSFPELNLTKEDCKEMSWLESTVYWAGFPVGTPVQVLLNRTQGAPNIFKVKSDYVKTVIPNEGLETIWQMLIGMGNTSAANISMQWNPYGGKMSEIPESSTAFPHRSGNLFLIHNRNIDRLRDMYDRMGPFVSKDPREAFLNYRDIDIGSSPSDQTNFTHAQVYGS >KJB32201 pep chromosome:Graimondii2_0_v6:5:61188100:61192307:-1 gene:B456_005G229100 transcript:KJB32201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLQLCRFCIRTDSDNFGGRSGSIPSRRKPISVRCSGESDSSSSVAVDPDNFDAKSFRRNLTRSKNYNRKGFGHKEETLELMNREYTSDVIKKLKENGNEYTWGSVTVKLAEAYGFCWGVERAVQIAYEARKQFPDERIWITNEIIHNPTVNKRLEEMEVKNIPIEEGKKRFDVVDKKDVVVLPAFGAAVDEMLVLNDKNVQIVDTTCPWVTKVWNVVEKHKKGDFTSIIHGKYAHEETIATASFAGTYIIVKDMTEAMYVCDYILGGELNGSSSTKEAFMEKFKNATSEGFDPERDLVKVGIANQTTMLKGETEEIGRLVERTMMQKYGVQNVTDHFLSFNTICDATQERQDAMYKLVDEDLDLMLVVGGWNSSNTSHLQEISEDRGIPSYWIDSEKRIGPGNRIAHKLKHGELVETENWLPEGPITIGVTSGASTPDKVVEDALIKVFDIKREEALQVA >KJB28352 pep chromosome:Graimondii2_0_v6:5:6707923:6708494:1 gene:B456_005G063900 transcript:KJB28352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLTYESEVITAIPPAKMFKACILDGDNLILKILPQAFKSIECIEGNGEPGSIKKVTFGEGDALMNKLEKITYETKLEAFPDERSVCKTTSKYHTIGDFELKEEGIKAVKEKASGIFKAIEAYLLANPDAY >KJB28132 pep chromosome:Graimondii2_0_v6:5:2585224:2589560:1 gene:B456_005G029100 transcript:KJB28132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLDDQRSPLLQLQHHLYYAPHFTFSSKFELWHPNTDCCSWEGVTCDAYGHVVGIDLSYKNLSGSFHPIFNLHRLQRLNLVGNNFNTTLFSYGFDKLQNLTHLNLSSSCFHGQIPVEISFLKNLVSLDLSNQDSCYLRYYKPLQLKNPNFKTLIKNLRFLTELYLDSVDISTQSAKWCETTSLILPNLHVLSLSSCSLKGPLCSSLSRLSFLSKLILDWNPISYLPPNFLEISSRLVSLSLRNCNLSGHFPIENLLSPKIQSIDISFNFQLMGNNYLRGAIPKSILQLPRLEWLYIESNSFSSMKLDMFVQVNNLRTLCLSSLHLPFNVIDFPKQLPLNDANFSFPMLTELDLRSCNISAFPEFLKSSLENLIFLDLSINKISGAIPNWVWKKSLRSLYLANNHLSSLDQLLPNQSSTSSQTSSTRPICNLSQLRNFDASHNNLSGTIPNCLGKMNDLLLLDLQGNNFSGMLPKFSKATHLYILKVSENRLEGKLPRSLAEYTQLEVLDVGNNKMNDTFPFWLEKLPYLTVLILRENRFYGQIKHFKHKSVFPTLDVLDIASNQFSGELSIDFLQPTRLRSLKIGGNKLEGKLSRSLANCTALEVLDLGNNMVHDTFPFWLEKLPSLKVVILRANKFYGPITKFNTERGFPKLRILDIASNNFSGDLSIEFLQSLKAMIFHGRIPEEIQMLRSLKVLNLSYNSLSGEIPVAVQNLKDLESLDLSQNELSGKIPPQLTTLTFLEALNLSYNPLEGSIPQGNQFSTFSNDSYRGNPKLCGQPLSKKCNEDGLPVPPPPGEEEQSWLYAISTWKIVLIGYGSGLVAGLCIGYTVLNELGNKWVDKFKKHGKRNRRRSR >KJB31844 pep chromosome:Graimondii2_0_v6:5:59170045:59171256:-1 gene:B456_005G210700 transcript:KJB31844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKVGASTLRLVETRRGKEHLCFKHVIHGILLCLLYFIWSSLNLQDCNGILLNLLSFFF >KJB32614 pep chromosome:Graimondii2_0_v6:5:62861772:62864001:-1 gene:B456_005G249000 transcript:KJB32614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISWRLSHLTSSFDSSLQKPRERKQGLLPPPSLPSKSNSFSSLELCFTSMAASTTNLSPLASHLSKPITNKPPFYLVPQTLLNPLYFPLKPLFRTHKPHCTVSSKNPIADFFPANKPNPEPSFIPSFTDDDKPREECGVVGIFGDPEASRLCYLALHALQHRGQEGAGIVAVNNNVLQSVTGVGLVSDVFNETKLSQLPGEMAIGHVRYSTAGSSMLKNVQPFVAGYRFGSVGVAHNGNLVNYRTLRATLEDNGSIFNTSSDTEVVLHLIAISKARPFFLRIVDACEKLEGAYSMVFVTEDKLVAVRDPYGFRPLVMGRRTNGAVVFASETCALDLIEATYEREVNPGEVLVVDKKDGVQSLCLLPHPEPKQCIFEHIYFALPNSVVFGRSVYESRHVFGEILATEAPVDCDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRGVLEGKRVVVVDDSIVRGTTSSKIVRLIKEAGAKEVHMRIASPPIIGSCYYGVDTPSAEELISNRMSVEEIREFIGCDSLAFLPFNSLQKMLASDSQKFCYACFSGKYPVMPKEVKVKKVGDFLDDGLNGPMDSIDGGWVTGPKNIDVEKEIDPLYQQSKI >KJB28142 pep chromosome:Graimondii2_0_v6:5:2688542:2690189:1 gene:B456_005G030000 transcript:KJB28142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAYEKVKGGRLTFKGGSLATRKSIDKSKKKHKKKKIAEDDTQPTLDASTVSVEGSESGGADIYTIDAAKKMKYEELFPVEARKFGYDPKNPKATSVEQALDDRVKKKADRYCK >KJB27447 pep chromosome:Graimondii2_0_v6:5:35508938:35509364:-1 gene:B456_005G137300 transcript:KJB27447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISHTWEINKIQSGNAYNTIHHESRNAKERIKISNLTKQRISHFIHFKKLRVATNHPSLLTSIPAL >KJB27506 pep chromosome:Graimondii2_0_v6:5:63001840:63002157:-1 gene:B456_005G251400 transcript:KJB27506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLLEMVLHAKQTIRRWSHSKHQCSYRTSSSVNVPKGHFAVYVGDEEKNKRFVVPISYLKHPLFQALLNQAEQEFGFDHPLGGLMVPCDEDEFINLTSRINHA >KJB32110 pep chromosome:Graimondii2_0_v6:5:60677999:60678822:-1 gene:B456_005G224800 transcript:KJB32110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNVFILLACFILLGFSSMEVSLAARNLQQVTTLPPFPRVPIPPLPNLTPILPPLPSVPIPPLPRVPIPPLPRLPIPPLPNLTPILPPLPSLPIPPLPSLPIPPLPPILP >KJB28031 pep chromosome:Graimondii2_0_v6:5:1931579:1933774:1 gene:B456_005G023000 transcript:KJB28031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQNYGHRHPLLLLLNEHQPIVANCSRCGEKVSTPCFSCAQDCGFYLHKVCAEAPLELNHPFHLDHPLLLMQTAPYSFGHYICDFCHKEDNKFVYHCSCELDFHIKCVLFTFNIAENNLKELDHVALHDPLISTENGDYVAMCFGCCEPLANYTHFSPDFGFNLHEKCAKLPLKLNFTYHCQHPLLACHIECLSLPLDLAVEDKSHQHTFTRLLRRVPYICDACGIEGIYVAYTCSTCSIMVHKRCTTLARIIKSKWHDHRLFHKYFLPDEVRSSDCIICHNEVNPKHGSYCCSHCNITFHVRCVTEDKGLYSLVSLENEDEMPNESSIIVIERNDAGEATKIKHFKHMHNLMLGPFDGGYGNSCNGCMLPITDPCYYCSECVFFLHKTCAELPKMMNVWYHYCQEPLALISDKVFGCAECWLISNAFAYECCGCKTNICLRCVIALTPGARTSLKHKHPLFYYRRQHEKCNACGKANVRVFCCKDCNFVLDLGCFSLPIKARHKCDEHLLSLMDHDDNSYSKSHHCDICENSRDPNHWFYHCATCDTSAHVGCVLRRNYPFLKLGNIYEGTNHSHPLTIVKKKYYYPNCD >KJB31031 pep chromosome:Graimondii2_0_v6:5:53233261:53235540:1 gene:B456_005G181600 transcript:KJB31031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANK >KJB32639 pep chromosome:Graimondii2_0_v6:5:63072118:63073247:1 gene:B456_005G252700 transcript:KJB32639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLALIDKRRMITMHLWHLNCLICFWSEPPVSRSYIRPRLNPKPSQVEPLNDVKAPQCYFLHPQDSNPSLGTSSSLIIRPKASV >KJB32916 pep chromosome:Graimondii2_0_v6:5:64015203:64017725:-1 gene:B456_005G268200 transcript:KJB32916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLRLRHHLPNGFYCRSQIVTLNAFGSSIRNFNQPAKLEQDEEDEEVEIDQRRLPADYDPSNFDPTEHRSPPTERVWRLVDEISGLTLSEISELGSIIMKKRGMIEPPTVGVMKAGAAAGLAMKTAGGGAAAAAKEEKKPDKTVFELKLESYEAASKIKVIKEVRSFTDLGLKEAKDLVEKTPSVLKKGVSKEEGEQIIEKMKALGAKVVLE >KJB31001 pep chromosome:Graimondii2_0_v6:5:50000290:50001186:1 gene:B456_005G171900 transcript:KJB31001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALANFFLLLFHFLYCFFHRKLIEIPLGFSSASHLAPMSSLSVSMSSPVLVSIVNILCLFILSSNNGYIGIWITLTTFMSFCVFAGLLRH >KJB31874 pep chromosome:Graimondii2_0_v6:5:59427052:59430178:1 gene:B456_005G212200 transcript:KJB31874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMSGVIQRPLMAAAAVAMASISVDFPAKLPSPTSDTETSISNSLPESNSSLVALISASKLANLSFVTRIRVPLPSFNFPVPNSGRNFVPNLLCSSIASSPLLVNLYQSAELAKGSKPAAFPESVPATVPDALYRWHLPEPTAFNISGTSDCSSVKSRTVVVLLGWLGSKQKHLKRYAEWYTSRGYHVITFTLPMVDILSYQAGGKAEQNVDMLVNHLADWLEEQHGKSLVFHTFSNTGWLMYGAILEKFQKEDPSLTERIKGCIVDSAPVAAPDPQVWASGFSAAFLKKRSFATKGSANSSESDMEASTGKSEASKPKPAVTEAAMLLVLEKFFEVVLNLPSINRRLSDVMSLLSYKQPTCPQLYIYSSADGVIPAHSVESFIEKQKRLGREVRACNFVSTPHVDHFRNDPNLYTSQLSQFLEDCVHTCCGHT >KJB32474 pep chromosome:Graimondii2_0_v6:5:62316676:62318622:-1 gene:B456_005G242300 transcript:KJB32474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIINSLKFSISSLVFLSTLSLTIAASCPMDLNYVTRVPWNSTVCRDFHPSNSTPKAEISKQNCCVSLLSVFGIGLAENLKETSLFQLPDLPSSVSCLHDFQSKLDLLSLPNDVVSLCFEPAQFVITPDLCAEIQTTKDWVAKLGQSTVLDQGCKADLGDLTACDTCLRAGNEVHSRLMAIDGNRSHGTDCFDFIVLYAAGIVNEFGPESDGTVSCAFSLTLNKKSGSNNKHSALVFGLTGAGVAVFVTISVLGFYFWYEKRFVKRNNGGSGSNFYDLEEQGSRTRLRPNTGSIWFKLHDLEKATDHFSSKNFVGRGGFGFVFKGILPDGTLVAVKRIIESEFQGDEEFCNEVEIISNLKHRNLVPLRGCCMIDDEEIEHYDDGDGEKGSQRYLVYEYMPNGNLDDHLFPSKSGVKPLSWPQRKNIILDVAKGLAYLHYGVKPAIYHRDIKATNILLDADMRARVSDFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDLSSSSSPRAFLITDWAWSLVKAGKVEEAFDRSLMDSEDSVNSNPKAIMERFLHVGILCAHVMVALRPTILDALKMLEGDIEVPPIPDRPMSLAHPLCYSDGNAFSISPALSGPQLLKGDMLR >KJB28832 pep chromosome:Graimondii2_0_v6:5:7798995:7801468:-1 gene:B456_005G071700 transcript:KJB28832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKRKRPDTVSDSVTEQERIVHEVIRSKQDMGISQQDMKREINLHSNLIAKCIKSLVSKNLIKEVKNIHSRRQKHYMSAEFEPSNEITGGAWYVEGSLDKEYINVLKEQCWRKIYGLKVATLEGIADAIKRSNVSQIELSKQQVEEIVKALVLDNEVMEVRSTGTGEFASIPVGKACYKCAGKRGYGGEPKLGALASVPCGVCPQISRCTPDGIISPITCEYYKKWLEF >KJB31985 pep chromosome:Graimondii2_0_v6:5:60056591:60057384:1 gene:B456_005G217500 transcript:KJB31985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHIFILSWHEFMISMRCYFVLTPNRIVWDSEGKEIILSTNSGQIGVLPNHAPIATAVDIGILRIRLNDQWLTMALMGGFARIGNNEITILVNDAEKGSDIDPQEAQQALEIAEANLRKAEGKRQTIEANLALRRARTRVEAINAIS >KJB31089 pep chromosome:Graimondii2_0_v6:5:51319510:51320168:1 gene:B456_005G175400 transcript:KJB31089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYKANASTGFVGIFTKLASKLTFRIGSLGCFHRFKCFFHRRIHPALKHREFQTVPLDKSLEDTAKKTFYIRDLSRIPAKPNFPNTLYTNRQAQIALKTFSTLTPQREQTGSEATLLIQRLFLEGM >KJB29702 pep chromosome:Graimondii2_0_v6:5:22504460:22506946:1 gene:B456_005G115000 transcript:KJB29702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEYLRCWYQIINQCCFQKISEDIVGLVATMDSFKGQGGIQMLLTAEQEAQHIVSSARNLKMARLKQAKEEAEKDVALFRSQMEAEYQNKISESSGSSDNTVKRLEEETDRRIKALTKSTSMVSKEIIEMLMKHVTSVKI >KJB31106 pep chromosome:Graimondii2_0_v6:5:51730499:51731967:-1 gene:B456_005G176400 transcript:KJB31106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSDCRFFGVDYNRFIGVDYNRFIGVLRSSRFDLAERYYFQMFPQGFSLTPFTYSRFISLLLDDMDKLNYVPDIWAFNIYLNLLCREKMIDLALEDFHSIVKKGRDPDVVTYTIMIDGVCKVGRFNSAVGLWKEMVGKGLSPDNKACCALVVGFCEVGKGGNVEFSTLIYNALISGFCRISRIDKAQAIKLFMTKNGCEPDLVTSNVLLNYFCNELMLQEADKLFKKMERSGIRPDVYSYNQMIKGLCNTNKPDKAYLLMVNKMEADGLVDAVSYNTVIEAFCKDGHIGKAYRLFEDMGRKGIAPDVVTLTTLIKNFLNKGSSGIAKTLLDRMSAMGLLPDCIFYTTIIDHLCKRGKVETACSIFNDMIIQGVGPDVISYNALKNGFCKSKRVTEAIHLYEEMQSKGFSPDETTFKLIIGGLICEKKLSEACQVWGQMMEKGFTLDRAVSETLINAIHSIDYA >KJB29752 pep chromosome:Graimondii2_0_v6:5:23451036:23452066:1 gene:B456_005G117400 transcript:KJB29752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSANFSPPPPLVFLGENYHIWVVKMRTYLQAYDLWDIVETDKEPPPLRANPTIAQIRQHSDERDKKYKAMSCLQNGVSDVIFTKIMACETHK >KJB31017 pep chromosome:Graimondii2_0_v6:5:50140181:50144268:-1 gene:B456_005G172600 transcript:KJB31017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNFSHRPIFPANMTEDNLVSPMRIANGYLVEGIPERNGDGCSKSWFSNLELEGCFDYGRDKSGDRGGSQESSSDDIVDLLPSDPFGMDITTTFTAISGWLEDLEIDYGRCVRDEVGTGDGSYQLFAGLNFIWNNAMWFQTFPGSMGFECKGSMSGGFGGFSHAKEGGDVSGCAGHGSPCNVEDVLSFGDEDMVSVDQENEEFQDCEVRAEGHEGAPHEALILALGYLGVRDLFVIENVCTSLRSVVQNDPLLWRDIHINPPLNEKITDDVLLQITGRGQGSLQCLSLVDCQRITDEGLKRVVENNPKLIKLSVPGCTKLSIEGILKCLRALKFMGSQGVKQLRIGSLYGVTQVHLEELKFLLGVDDQIQQLVHKPHFYSRRNVYLPCEDGRAIDIEMCPRCENMRLVYDCPAEGCQREGHAAQSCRACIICVSRCAQCGRCLNDSEYEENFCLELLCSDCSKPQLPKCGVSQNGMIGMSSSFTLQQTSNVHLHG >KJB31431 pep chromosome:Graimondii2_0_v6:5:55903849:55906439:1 gene:B456_005G191600 transcript:KJB31431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAHQETVVWFAVFPFPAESLVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTGNLCIKEVQDYLKTLCPDLHITRGEYDEDTRYPETKTLTIGQFKLGLCHAMAISADCYLWLYTVYVPFQVERKQDLHSTNMLPSCSS >KJB32573 pep chromosome:Graimondii2_0_v6:5:62743005:62749566:-1 gene:B456_005G247600 transcript:KJB32573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSKTLIQPPSIHLQNPNLKPSPSIPKRPTFSSLPFHRSFLLSFSHNLTPRNLSRHCISPGQELSYENEDLVEEQNEEEEVEISREIKGEGLANQSIWNQMKEIVMFTGPATGLWLCGPLMSLIDTAVIGQGSSIELAALGPATVVCDYTGYMFMFLSIATSNMVATSLARRDKNEVQHQISILLFIGLFCGFLMLILTRFFGSCALTAFSGPKNAHLVPAGNTYVQIRSFAWPAVLVGWVAQSASLGMKDSWGPLKALAVSSAINGIGDVALCIFLGYGIAGAAWATMVSQVVAAYMMIEALNKKGYNAFAISIPTFDELLSMLAIAGPVFVTLTAKVIFYSILVYFATSMGTHTVAAHQVLLQTYAMCTVWGEPLSQTAQSFMPELIYGVNRSLPKARMLLKSLVTIGATLGLVLGIIGTAVPRFFPNIFTPDVKVIQEMHKVLLPYFLALAITPSTHSLEGTLLAGRDLRFISLSMTGCLAFGALIPPLLTSSGCGLASCWFALMGFQWARFFLSLQRLLSPNGILYSEDLTQFELKDMKAS >KJB31820 pep chromosome:Graimondii2_0_v6:5:59071556:59072523:1 gene:B456_005G209700 transcript:KJB31820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSESNARIDFSPNPYIRHIVICLIRNINRFILNVSQEICILSPCKKSVHNVIEAEAKYYVLHHILQQYAT >KJB28214 pep chromosome:Graimondii2_0_v6:5:3253558:3255963:1 gene:B456_005G034600 transcript:KJB28214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFTYFVMVIILCIFFMNGLAIADNHDVNENDNHPYVSEERLKTKGNRRSLTLLPLIIGAHALHRRAKASGKAWHGGEGANIGVGGGFQSSGNVAGAGGAAAGGSGGGKGDGGGGGGGGGAGGSGGSSGGGGTGGGGGGGGGGGMAAGGGLNFGFRGGIRAGFGGNRVGSGSTNVGGGAGGHLEGVGSGATSTSGSLSKAKGNDGKASDTSKSEAKAGFEGKIGANAGVGGGFQGGGNIGGAGGGGGRGGGGGGMAAEGKVGGQGEVGGNSGGSGSTSATSKGEAKDGSEGKVGGHGNGNGGIAGGGGFKFGFRGGMRTGFGGNNGGSGGTNVGGGVTSHAEGVGSAATSTSGALSGAKSSDEKASDTSKSEAKVGFEGKVGGQGKVGGNSGRSGSTSVASNVGGQGGGVGSGSTDANGTHNGEKGSDEKASATSKGETKDGFKGKIGGQGGAGGGIVGGGGGSGSTSVTGGDVGGKGKVDGNSGGSGSSSAFVGVEGKGSTKINDDKTSANSNDEVKAEAEAKAKAEAEAKVKAEAKAKAETKSKAEAEAKSKAEAEAKVEAEDKAKAETKAKAEAEAKAEAEAKAKAEAEAKAGAEAKAKAEAEAEAEGKAEAKAKGNAEAKVGIGGHGRASGNSGESGSNNVNGKVSASSKAEAKAEVNAQGKGKIEGQGKTSGDGGGSGSSSVDGGAKGHGGGGGSHMHFGGGGGGHMRFAGGGGGSGSSSVDGGAKGHGGGGGGHMQFGGGGGGGGGSGSNNVDGGAKGHGGGGGGGHMHFAGGGGFKFGFGGNVEGRGGFGGSH >KJB31281 pep chromosome:Graimondii2_0_v6:5:53659275:53661176:-1 gene:B456_005G183700 transcript:KJB31281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDRKEWLDVKDNSKIWFRQHITPWNFVFSFMLREFWLTINPLVFKEIQTTMEKADECANTFNSGSYMDNTCI >KJB30827 pep chromosome:Graimondii2_0_v6:5:47637966:47645119:-1 gene:B456_005G164000 transcript:KJB30827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDPRPIEMCYALVILWFSCGSQKLVNCCHTLACSGHVDCTNEQRQRDSPTQRLFWHTKNKHFF >KJB32942 pep chromosome:Graimondii2_0_v6:5:64097045:64098094:1 gene:B456_005G269200 transcript:KJB32942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGFQIKRIRPRLVGSLFILERISMLLFGWFPQMRNRDSVLNALNGHSDHGRIEIKKILPKISFTLSLQMRSKLLLLSQRYTFRFVSGLEIWCNR >KJB30702 pep chromosome:Graimondii2_0_v6:5:43955248:43957989:-1 gene:B456_005G155900 transcript:KJB30702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVTPDVCRDCVATAARNATSLCPVEKNSVIWYDKCMLRYSNESIFSTMETRPGGTVWDNDTFTEEEDFVDIVASLVKDVASEAADAPMPCTSDISDVACNLCLESAITEFSDCCRQKEKATRASSLLPSCNVQYGLTPFYNKTAGEVSRSKPSPLPPRDSGKGKSSSQKIIYIIVPTVGFLVLLSTFCYCILRRKARMKPYLLKDQKDKSKARTMNSLQYDMSTIEAATDNFSDANMIGVGGFGSVYKGTLANGQQIAVKRLSSSKQGAEEFKNEVALVAKLQHRNLVRLLGFCVEREERMLIYEFVPNKSLDCFLFDTEKHKQLDWPTRLKIVKGTVRGLLYLHTDSRLKIVHRDLKPSNILLDEDMNPKISDFGMARIVEENHNLEYTKKIVGTYGYIAPEYALHGIFSFKSDVYSYGVLTLEIVGGKTNTSFYNPESAESLLSYAWRYWTERRPLEIMDPTLRDSYVSDEVIRCIQIGLLCVQQNPKSRPTMARIVPMLSRSDITLPPPQHPAFFFGTKTRRKHSERRDGIGSINKEVPVLNK >KJB31112 pep chromosome:Graimondii2_0_v6:5:51859056:51861865:1 gene:B456_005G176900 transcript:KJB31112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGLSSIFVITIFAISFTNLASVSLVLARKPCRFPAIFNFGDSNSDTGGLSAAFGQAPPPNGFSYFGAPAGRYTDGRLLIDFIAESLGLPYLSAFLDSVGTNFSHGANFATAGSTIRPQNTTLQQSGFSPISLNVQFYEFNDFHARTQVVRKRGGVFEILMPKKEHFSNALYTFDIGQNDLTAGYFSNMSTDDVKAYVPDVLNQFQTIIQYIYNQGGRYFWIHNTGPVGCLPYVMERIPVLAGQIDDYGCASPFNEVAQFFNRGLKKIVEQLRKNLPHAAITYVDVYSVKYSLISQGRKHGFKHPLRTCCGHGGKYNYNKNLGCGAKVNKHGKEVLVGAPCKDPWTYVNWDGVHFTEAANKYIFERIVDGSLSDPPTPLNMACYRN >KJB27510 pep chromosome:Graimondii2_0_v6:5:1037289:1037298:1 gene:B456_005G0143002 transcript:KJB27510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MST >KJB32503 pep chromosome:Graimondii2_0_v6:5:62414783:62415326:-1 gene:B456_005G243500 transcript:KJB32503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSFNLLHTFILISRDRKDISAKLFFLLIIFHFSSLCPRLRGSSVTRVRASIIPNLTVLQSTSSSFSPLFCISHLFAGIIFYLWQKLSFLFFSFPPNTLRRHCILSHLTVCIRI >KJB30730 pep chromosome:Graimondii2_0_v6:5:44868700:44870302:1 gene:B456_005G157400 transcript:KJB30730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKGWGHGCCYFTVDFSSRHPKVSSKLVKFLVKEKLRDSPNAKPREIINEILQDYGFKVRYAHGWRGVEFAKEKPQVSYDDGYNQVPSLFKQISENNPGSMATLITGEDLSSHLLFVSLQDLLHGFKNGCHLLFVSLQASLHGFKNGCRPLLFLNTMTIKSKYQSELLTATALDGNEGIFPVAFAVVDVVNDDNWHWFLLQLKSALSIFQPVTFVADRRVGFKTPLSMIFKNSHHGDLNGSYSEEVLQMIITHFYDTARTTALDNSYCYI >KJB31468 pep chromosome:Graimondii2_0_v6:5:56281226:56282011:1 gene:B456_005G1932002 transcript:KJB31468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTGLEFRRVLPEDRFYNQAKARRSNLNQLSDQLRRAQCDVTSSQSNDKQAATHVNWQSEKRVDSTDLPKPVSVPSSESFTTMREWRMCDEEFQPYFMLGDLWESFKECSAYGAGVPLILNCLDSVVQYYVPYLSGIRIYADSLKRSTKAS >KJB32369 pep chromosome:Graimondii2_0_v6:5:61905789:61909650:1 gene:B456_005G237500 transcript:KJB32369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLVSTYRSRHISESLPLFQARRTLFSTSVNALQRTSDEDGDKRIDFDHLFKSCNRLHLAKLLHALVVVAGKARSIFFSAKLVNVYAYLGDVSFSRRTFDQIPNKDVYTWNSMVSAYVRTGHFREAVDCFYQFFLTSGLRPDFYTFAPVLKACKNPLDGMRIHCLVLKLGFEWDVFVTASLVHMYTRFRALGNARKLFDDMPVRDMGSWNAMISGYCQNSNAAEALDVLNEMRSEGVLMDPVTIVSILPICAQLDDILNGMSIHVYSIKRGLEYDLFVSNALINMYAKFGELANAQKVLDNMVVRDVVSWNSIIAAYEQNDDPNRALALFYDMQLTGISPDYLTLVSVTSIVAQLGDSWNGKSVHGFVMRRGWILKDVISGNSVVDMYSKLGDMSSARAVFESLPVKDVVSWNTLITGYTQNGLASEAIEVFDMMQKEIVPNQATWVSILPAYSNIGALRQGMRVHGLLVKSSLYLDIFVGTCLIDMYGKCGKLDDAMSLFYEVPKMTSVPWNAIISCHGIHGHAEKALKLFREMREERVKPDHVTFVSLLSACSHSGLVEEGQWCFNVMREEYGIEPILKHYGCMVDMFGRAGHLEKAYNFIKDMPVKPDASVWGALLGACRIHGNIDLGAFASERLFEVDSENVGYYVLMSNIYANIGKWEGVDKVRTLARDMGLRKTPGWSSIEANNKVDVFYTGNQSHPKCEEIYKELRNLNAKMKSLGHVPDYSFVLQDVEEDEKEHILMSHSERLAIAFGIISTPPKTPIRIFKNLRVCGDCHNATKYISKITEREIIVRDSNRFHHFKDGVCSCRDYW >KJB28330 pep chromosome:Graimondii2_0_v6:5:4021049:4024074:-1 gene:B456_005G042200 transcript:KJB28330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKDQSREEEKLNQRVESVLQLVKKQSPPLTPKQEKFCNNACIERFLRAKGDNVKKAAKHLRACLAWRETIGTENLIADEFSTEIAEGVAYVAGHDEESRPIIVLRIKQDYQKFHSQKLFTRLLVFTLEVAIATMPKNTQQFVLLLDASFFRSASAFMNLLLAALKIVGEYYPGRLYKAFVIDPPSLFSYLWKGVRPFVELSTATMVVSSLDYEESLDFNDFTSYPRASSLRFDPSSINSTAKVGSCSSSRFSFTVSHHFDSLKPWYLTLTSDTSASKVGPTASPSQLGPALISPLNARSLSFASPAARTPRGNISMRKSLFPSTPLPQRSKAFEPVKVNHPRTPRPSFLQSPAMFFRRDSHVSTKAEKSRESFMPFLKFYRRPYDEMIYRSMMRPPLGGLISIVKRRHMSVSQRF >KJB30399 pep chromosome:Graimondii2_0_v6:5:38359414:38362502:-1 gene:B456_005G141400 transcript:KJB30399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQEEEMVLSYKECLKNHAASLGGHALDGCGEFMPTPSATPTDPASFKCAACGCHRNFHRRDPYDGPAFIHRLPPPPNRSSSPSPTHSPGPSRTPPSPVPFSYYSSAPNMLLALSTAYSGPMDEYHYHLSNSNPSVSRINNNNPSGRKRSRTKFTKEQKEKMHAFAERLGWRMPKGEEKLIQEFCNEVGVNRGVLKVWMHNNKNTFSKKEILSVGNLNLHDSHDNNNNIEDNGNALDSNSHMHNNEDSIQLHISTNNGSSTS >KJB30882 pep chromosome:Graimondii2_0_v6:5:50604587:50605201:1 gene:B456_005G1741002 transcript:KJB30882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLPDFMLIFVISCLGFISLCKPILGHQRISSTIVTGCTDGIGKALAFQLASKGLNLVLVGRNPLKLAATADAIREKFGAQVETRNVVIDLAKTSGEEISKTVEDAIEGLDIGVLVNNAGLAYEGARFLHEVDSEVAESIIKVNIVAATWITKAVVPIMAKKKKGAIVNVGSGSYGGFCSYPLYTIYAATK >KJB27444 pep chromosome:Graimondii2_0_v6:5:58938189:58951843:1 gene:B456_005G208600 transcript:KJB27444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR3 [Source:Projected from Arabidopsis thaliana (AT4G15180) UniProtKB/Swiss-Prot;Acc:O23372] MGDGVACMPLQQHQHQHQHIMERFPVTEKTLCPNNELTTKPVNLKDNAQQQQQQQPQEQQQQEQQQQQPQPQLPRKKKKLVKVKKVVVVKKKVVVGAAAAAAAAAAAATSQKSELVVKAKTEAGLKSSKEIDKGDNSGQKEEVEEGELGTLKWPREGENGEVGTDKSKNGEIEKGEITSEKCRKGEVVKEEIVREVKGELEKEETVSKKKGEVMNGEIVTGKWRKGEVAKGEMVLEKGRKAEPEKGEFGSWRGAKDDLEKGEFIPDRWHKGDLMKDEYSYSKYRKYELGKEKSWKYEMERTPPSGKYSVDDLYHRKEFSRSTLHGRSSSRWETSQDRTSRISSKIVDEEGLYKSEYSNGKNHGREYPSSGNRPKRHGTDSDSGDRKHYGDYGDYANSKCRRLSDDFGRNSHPELYSRHSVERFYKNSSSSRISSLEKYTSRHHESSLSSRVVYDKCGRSPAYSERSPRDRVRNYDHRDRSPIRRERSPWDRSPYTCEKSPYARDRSVYSRERSPYDRSRHHDHRIRSPINAGRSPEDRPRFHDRRDRTPSYLERSPHDRSKTKNQRDTSKKGAINEKRGSQYGSKGQEDKVSRRDHSGRDSHSSAKESQDRISVHNLNGSDEKNGVCESHKEDQSPTPSVNCQEPPLLVDGAPPEELQSMEEDMDICDTPPHIPLVAESAVGKWIYLDVFGIERGPSKLCDLKELVEEGVLLSDHLIKHLDSDRWVTVENAASPLLTASFPSIVSDSVTQLVSPPEAPGNLLIETGDLKPLGTHSGDETMSFQDDSAATSDSLEDLHIDERVGALLDGINIIPGKELEIVGEALQMTFDDAEWEVWGSSDGFPWLLSRTGDWHDKVTEELSSYSDTNAKEAAEPRAVAISDCSSCADSSDWFSGRWSCKGGDWKRNEEATQDRSSRKKLVLNDGYPLCLMPKSGYEDPRWHMKDDLYYPSHSKRLDLPPWAFSIAEERNDCNDISRSNQIKPSAVRGVKGTMLPVVRINACVVQDQGSFVSAPRTKTRVKERHSSRSSRSHSTTSDVKKSSAESDSLSKAVNDQRLKGSWKFAPINTPKDHVCTIDELQLHLGEWYYLDGAGHERGPSSFSELQFLVDQGVIPKYSSAFRKYDQMWVPVTSAAGSLEVTAWNRPGNVASSADSSGTTLLDSQGVAVSDNNTSSSSFHRLHPQFIGYTCGKLHKLVMKSFKSREFAAAINEVLDPWISAKQPKKEMDKHIYQKTDSGKRARMMINGSEEEYDIEDELQSIRKDDFAFEDLCGDVTFHEQESACSVTEMGNWGLLDGHVLARVFHFLRSDMKSLVFASLTCKHWRAAVRFYKGIARQVDLSSLGPNCSDSIAQKILNCYNKERINSMVLIGCTNISSITLEDVLQVFPSLSYIDIRGCSQFGELIVKFPNLRWFKSTSLHAMTISDESNSKIRTLKQITEKTSSGLKTGLGNAIDDFGELKSYFESVDRRDSANQLFRQSLYRRSKLFDARKSSSILSREARIRRWAIKKSENGYKRMEEFLASSLRDIMKENTSDFFVPKVAEIEEKMKNGYYIGHGLGYVKEDISRMCRDAIKTKNRGGARDMNRIITLFIQLATRLEEGAKITSSYERDELLKSWKDDSPTGFSKYKKKLGKAVTERKYMNKSNGTSFANGAFDYGEYASDREIRKRLSKLNRKSLDSESETSDELDRSSEDGKSESEIESTASDTESELDFKPEGRSGESRGDGYFMAGDSFDSMADDREWGARMTKASLVPPVTRKYEVIDQYVVVADEEDVRRKMQVSLPEDYAEKLNAQKTGTEELDMELPEVKDYKPRKELGDEVIEQEVYGIDPYTHNLLLDSMPEELEWPLEDKQSFIEDVLLRTLNKQVRQFTGTGNTPMMYPLKPIVEEIKRVAEVDCDKRTMKMCQGILKAIDDRPDDNYVAYRKGLGVLCNKEGGFREEDFVVEFLGEVYPVWKWFEKQDGIRLLQNNSKDPAPEFYNIYLERPKGDAGGYDLVVVDAMHKANYASRICHSCHPNCEAKVTAVDGQYQIGIYALRAIRYGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKEWHGILDRQQLMLEACELNSVSEEDYLELGRAGLGSCLLGGLPDWLVAYSARVVRFINFERTKLPEQILRHNLEEKRKYCIDISLDAERNDAEIQAEGVYNQRLQNLAITLDKVRYVMRCVFGDPKKAPPPIERLSPEEAVSFLWKGEGSLVEELLQSMAPHVEDETLNDLRSKIQVHDPSWSDNILKELQKSLLWLRDEVRNLPCTYKCRHDAAADLIHIYAYTKCFIRVREYKAVTSPPVYISPLDLSPKYSDKFTGLQEYCKTYGENYCLGQLVFWYNQTSVDPDSSLFRASRGCLSLPDIGCFYAKVQKPSRHRVYGPKTVKFMLSWMEKQPQRPWPKDRIWTFKGSPRIFGSPMLDAVLNNSSLDREMVQWLKHRPAKFQAMWDR >KJB28306 pep chromosome:Graimondii2_0_v6:5:3941309:3944180:-1 gene:B456_005G041300 transcript:KJB28306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDLESLAEATSGAIGALVSTSILYPLDTCKTKYQAEVRAHHQQKYRNTADVLWEAIANGQVLSLYQGLGTKNLQSFISQFIYFYGYSFFKRLYLKKTGNKTIGTKANLIVGVAAGACTVIITQPLDTASSRMQTSKFGKSKGLWKLLSESSLSEAFDALGISLLLTTNPSIQYTAFDQLKQRLLKRQLKEKTSTNSSPEALSAFSAFVLGAVAKCIATFVTYPAIRCKITIQAADLDDNNETEEAESKKQKTVSGALYTIWKREGLLGFYKGIEAQILKTVLSSALLLMIKEKISKSTWVLLLALRRYLFMTQTRLKSA >KJB30255 pep chromosome:Graimondii2_0_v6:5:34193690:34194318:-1 gene:B456_005G134800 transcript:KJB30255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKGMAIASLVLRLFTILFAAGCIVVLILDKAPNGGDSNVTFRDVIGYKYVFATAAVAAAYCLLLLPFTMYRACTGKKLVRGPFLPALYFYGDKVVAFVLASGVGAGFLDTADLKVAYGYFFELFGEDFKDTPLEGFFNKGYVATALLAGAFLCMAILSIFSFPRRPPTDATNKGFFFR >KJB30562 pep chromosome:Graimondii2_0_v6:5:40824145:40825861:1 gene:B456_005G148900 transcript:KJB30562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLQCFLFLICISIFSSTMAATDPPLTLDYYKSTCPNVFDIVKKEMECHVLSDPRNAAFILRLHFHDCFVQGCDGSILLDDTIELQGEKKASTNGLNSLKGFRIIDKIKNKLESECPGIVSCADILTIAARDAVILVGGPYWHVPVGRKDSKTASYDLAMENIPSANEGLLSIIAKFLYQGLSVTDMVALSGAHTIGMARCESFRARIYGDFEATSGKNPISDSYLSELRSVCPAIIGSGDNNVTAMDNVTPNLFDNSFYHTLLRGEGLLNSDQELYSSLFGIETKSLVQKYAADPVAFFNQFSDSMVKLGNITNSDSFVNGEVRKNCRFVNT >KJB32544 pep chromosome:Graimondii2_0_v6:5:62615778:62617630:1 gene:B456_005G245900 transcript:KJB32544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTDENGNPIQLTDEQGNPVQLTDEYGNPIHVIGVATKSPPMHGGDQISVVAAEYQQQQQYRPPPLRPEVSSKEEIQSFNSSSTSSFEDDGVSEKKGRKDKTKEKLAGRNYEEEEEQFHTTTNAAKTSIATTTAAPPQQPYVEQEEMCLREKIKAKLPW >KJB29062 pep chromosome:Graimondii2_0_v6:5:10020840:10025076:-1 gene:B456_005G082500 transcript:KJB29062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGGDFYKVMCAMVPLYFAMIIAYGSVKWWRIFTPEQCSGINRFVAVFAVPVLSFHFIAQNNPYQMDTKFIIADTVSKVLVLALLSVWAIFFPGGSLDWLITLFSLATLPNTLVMGIPLLNAMYGDFTQSLMVQLVVLQCIIWYTLLLFLFEYRAATLLIKTQFPGPTAATISKFELDNDVISLDGRDPLRTESETDINGRIRVRIRRSTSSAPESALSSSICLTPRASNLSNAEIFSVNTPGGPNNNEIVFCNGDMGFGYRAVSPRLSGYASSDAYSLQPTPRASNFNEMDVITTAAGNTPIWMRSPVAGGKVFRQPSPVVPPTKMVWDCQDGGGDDNRQGFKDLGEKEISFRDNTKITVAEMNGDGKEGEVGSKQEMPKAIVMVRLILIVVGRKLSRNPNTYSSILGLLWSLISFKWNVGMPSLVKYSIKIISDAGLGMAMFSLGLFMALQPRIIACGTKRATMGMVIRFLCGPVIMSTASIALGLRGAKLHAAIVQAALPQGIVPFVFAREYGLHPDILSTGVIFGMLVSLPVTLLYYILLGI >KJB28809 pep chromosome:Graimondii2_0_v6:5:7641980:7646032:-1 gene:B456_005G070500 transcript:KJB28809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQEGAPEIVIDQGMYYPTATNYGYYCTGFESPVEWEDHQNIFSADGPDVQFAGAQTEPLPYVYYTPSYGYAQSPYNPYNPYIPGAVVGDGSFVGAQQYYPFPPYQNPVSPTAYVPVLIQPDGIPSSSTDSFLDTHASIGTRPDGRGVRYNLASASAAASRKSAPNQADSLSRVTDGQSKHYAIQESISASSGSASARAHQVRVASGSVQPIGNIPGGKLPSYRNQLKTDLPVGNAISDYGASSPGRGVLDRLRPKIHVSRVFNDAHGSLDSWAEQNRGPRTNRSKNQLMVKAYTSKAGNSDAEGNIIIYTDQYNKDDFPIDYVDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLDSAFEDAEKIAAGKPSGCPIFLFFSVNASGQFCGVAEMIGPVDFQKDMDFWQQDKWSGSFPVKWHIIKDVPNSHFRHIILGNNENKPVTNSRDTQEIMYKQGMEMLKVFKNHAMKTSLLDDFMYYENRQRIMQEEKAMQLIKSIENPVLAPAFDPVNKLNRVELLLNEHERSSKQSDPELLRTIVPSSNQPVSTDCDRTNARRMNESSGKIAVEAKDDASTLKISSLSINPKQDESKISTDAATKSDAVEVVNIGSVTVKVNKFTDSPGFLTVGTIPLNPKTPQLDEGGVSAKKGT >KJB32504 pep chromosome:Graimondii2_0_v6:5:62416104:62417134:-1 gene:B456_005G243600 transcript:KJB32504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFCRSALMAASRSXXXXXXXXXXXXXXXXASRIVSALGGVESMMPLHSAIASARLQSSIAVDSSFWSWLSQGLATPL >KJB28648 pep chromosome:Graimondii2_0_v6:5:6309765:6310547:1 gene:B456_005G060200 transcript:KJB28648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRISFTFLAISTILAVHVALSSSSPTLDSVGGYFLPIKPECSGSIAECLMLSGEGFSDFDAEFAMDSEISRRILARTRYISYGALRRNTVPCSRRGASYYNCRPGAQANPYSRGCSRITRCRR >KJB27461 pep chromosome:Graimondii2_0_v6:5:62916783:62917022:-1 gene:B456_005G249900 transcript:KJB27461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHLPRIISSKKVPKGYFAVYVGENQKRFVIPVSFLNQPLFQDLLGMSQEEFGYSHPTGGLTIPCNEDIFLDVTSRLN >KJB29265 pep chromosome:Graimondii2_0_v6:5:12472932:12473511:1 gene:B456_005G091800 transcript:KJB29265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSMAITEDGALFYWVSSYPHLRCQQLYSLCEKTIVSISAGKYWAATATAIGDVYMWDGKKSMDKPPFATRLHRVKGKKIP >KJB28995 pep chromosome:Graimondii2_0_v6:5:9104845:9105239:-1 gene:B456_005G079100 transcript:KJB28995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLFLIFVLDLCYQQWHQQFNIQYHPFSFLFFSSTIFVSYDEQLFLFLSLFLHINMAADMIEARN >KJB27505 pep chromosome:Graimondii2_0_v6:5:62999297:62999614:-1 gene:B456_005G251300 transcript:KJB27505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLPEMMLHAKQTMRRWSHSKHQCSCQTPSAVNVQKGHFAVYVGDEEKKKRFVVPISYLKHPLFQALLNHAEQEFGFDHPLGGLMVPCAEDEFINLTSRINHA >KJB31386 pep chromosome:Graimondii2_0_v6:5:54922608:54934079:1 gene:B456_005G188500 transcript:KJB31386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAGVVSTTTPTSFRLRWDVFLSFRGEDTRHGITNALYCSLVGEGLRVFRDDDALRRGDEIAPNLVEAIEDSAAFVVILSENYATSRWCLEELARICELRSRSRSRRLVLPVFFGVDPYHVRKQRGPFKEAFFSHENRFGEKQANRWREAMAKVGSLAGLVKSDERELIRVLLREVLQQANNTPVEVATYAVGLDTRVTELTNLLDVKSNGIKVVGLHGIGGIGKTTLAKAVFNKILVHFDHRSFISNVRELSKQGDGLVSLQKKLIGDLFGSTGNFHLPADEFDANASRIRKIINENINEKRVLIVLDDVDQENQLNALGLGARVKWQNDGSIRIIITTRNKGVLNECYVNRTYEVRELHFDQALELFSYHALRREKPTKENVTEWEDALNKLRDVRPHELQDVLKISFDALDRENQRIFLDVGCCFLDLHTKREDVEKSLIKFTEGDALWMHDQLRDMGKEMEIAQNENDDPEMRSRLWDRNQIMTGTRSIEVHTKPFKSMVHLRLLQVNHVKQEGKFKFVPHELKWLQWQGCALKTLLSDFCPQKLAVLDLSESKIEKLWSSYSNNVAENLMVINLRGCPHLASLPDLSGHKNLQKIVLAYCVKLINIDKSVGTLISLHHLHMTGCFNLVEFPSDVSGMKNLQTLVLTDCSNLKELPEDIGSMRSLKELYVNRTGIEKLPESIYHLEKLEKLSLNRCIHIKQLPRCVGQLASLKKLHLDGSGLQELPDSVAYLENLEKLSLISCESLTAIPDTVGNLNLLKELFIKGKAITELPHSICSLSYLKCLNVRGIQMRKLPNSIRGLISSVELDIEGPSITCLPSQIGHLRLLEKLVILNCTSLESLPDSTGSLFALTFINICNASITELPESFGMLKNLITLRLDECRKLHKLPSSMGNLKSLHHFFGMLTCLMVLNMRKDPNKQEQPNSSFVALPASFTNLLSLQELDARTWRICGEIPDDFEKLAAIEILDLGSNDIYKLPSSLKGLSFLRDLKLPKCEKLQSLPPLPSSLEKLNLANCISLTTLSDLSNIKGLQELNLTNCEKLVDLPGLESLTSLRELYMSNCSTCASAAKKRLSKVYLKNLRNLSMPGSRIPDWFTQDMVTFSSHKTCDLTAMIIAVVVSINHYNPDELRYQLPAVSDIQAQIFNGVEAIMTTALNLIGVPRTNQDNWCDNNVHLCRYPAYRPLVSMLKDGFKIKVTRQNAPYVKGVELKKAGIFLVYEKDDDYGGDEDSLDENQQSVSEKLAKFFSSLEENDGIDHQSNCSHE >KJB29144 pep chromosome:Graimondii2_0_v6:5:11124297:11126718:1 gene:B456_005G086700 transcript:KJB29144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLALVRILQLILFSRLLAAGESVASQAWPGCKDECGNMSIPYPFGMSPGCYLNEGFLITCNTSVSPHRPQLLRSNFDVTNITLEGQVEILHYVAKDCYYRNGTAADWNYPQLQAGKLAGKFTISNRNKFIAVGCDINAQIWAEREHNNSTYITGCMVYCEKADALHLNGSCSGVGCCQVSIPSGLKNLNISVLSYYNHTRERDFNPCSYAFVVDESKSSFSDKSFGELAHKEFLPMALDWAIGNEPCNVSEHKPDYACKQNSICYNPENRSGYLCKCKDGYNGNPYHPHSCQEIDECKDSSLHNCISERNCFNTPGSYKCFCTKGFYGDGEKDRKGCMRNQPNVIKISIVIGLCVLVVIVGSSWLFFINKKRKLLNMKKKFFKQNGGLLLQQELHEQRVSTETVKIFSAEALKNATKNYDESQIIGKGGFGTVYKGILKNGTEVAIKKSKVVDQSQIKQFINEVIILTQINHRNVVKLLGCCLETEVPLLVYEFVSNGTLSEHIHCEDKASSISWGIRLRIATESAQVLSYLHSAASIPIIHRDVKPTNILLDDNYTAKVSDFGASRLVPMDQTQLSTMVQGALGYLDPEYLCTAQLTEKSDVYSFGVVLVELLTGKTAHSFEGPEEERNLANHFVLWLKNDRLFEILDDKVAKEGAVEQIKEVAKLAKKCLNVKGEERPSMKEVAQVLEDVRRLRCEHPWAEVAVNLEETEFLLGET >KJB30416 pep chromosome:Graimondii2_0_v6:5:38887195:38889553:1 gene:B456_005G142400 transcript:KJB30416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSVIKVSGEKVKTWWDQRLTEIFCDICIKEILKGNRPGTHFIKDGWLKIMTNFEKQIGKAYSQRQLKNRVVPKAQKFKTPNIDPKFERKLDQMFMGVVATGVKTWGPSSGTLRSEFFEDVDNDIPEENEEENTRNNVHILNDVHISNNGKNEISTSRFKNRRKKSSKQIGGDARLSSQIEKLCNAADNISQVTYSLTPMVFHKQSKCLITCRRKFQRSRATTSRYFAIVLEKVSRMTIDLIAPKDHFFSSIPQQIRNDSRYMSHFKGKYYLVDFGYPQMKGYLGPYRSQQYHLPDFRRGRPIYGKEKIFNHSHSSLRSVIEQTF >KJB30381 pep chromosome:Graimondii2_0_v6:5:38764966:38769005:1 gene:B456_005G142100 transcript:KJB30381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKKLENPNGRQATYAKRKHGIMKKANELSILCDVEIVLLMFSPTNKPSLCIGKRSSLEEIIEKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIHEFLGSSTQTIEDLTNQARLLQTRLSEVHRRLSCWTNVDKINNVEQLGQMEDSLKEYLNQIQAHKENVGKQQQLLSLECTSQFQNEMQVPYRMGLEQQLQTLSWLPNQDSRHIALSDDPNLIPHRDVECSASSSFGSYSGYFGTGKSSELSSSGQENSILNDLSGNGSLQLQLGGQCPLFSYNLNILNDPKFPPVAEMNFNETPADYQVNGALQGPRTGFDTTPGSWPSTSGSCAVTMFDEPLYTRLQQH >KJB27745 pep chromosome:Graimondii2_0_v6:5:510810:515071:1 gene:B456_005G007400 transcript:KJB27745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGMDEASNMKVVLKHYVSGSPQETDMHLTAGTIKLKVPKDSNSIILKNLYLSCDPYMIFKMMKLERQLTDPYVLGSPITGYGVAKVLDSAHPGFAKDDLVWGITGWEEYSLISDPKNFFKIQHTDVPLSYYAGILGMPGITAYIGFYELCAPKKGDYVFVSAACGAVGQLVGQFAKLHGCHVVGSAGSPEKVGILKNKFGFDEAFNYKDEPDFDATLKRHCPEGIDIYFDNVGGKMLDAVLLNLRPHARIAVCGMISQYNREQPDGVQNLSSMVSKEARMQGYLATNYYHLYPKYLEMILPLIKESKVVYVEDVADGLENAPKALVGIFSGRNIGKQVVAVNCL >KJB27713 pep chromosome:Graimondii2_0_v6:5:377983:381168:-1 gene:B456_005G006000 transcript:KJB27713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESCEIGGGGVSATKTGGLCGGVRAEIDTSAPFESVKEAASRFGGIGYWKPSQPKLMSETEHDGEEVDIAKLEEQAAKLEKDLIVKERETLDVLKELETTKLTVEELKLKLKKEASEVDVKNTDAEKENRCGDHPNLVGSLSPCPSAAPGLILMELKQAKLNLSRTTNDLADIRGSVESLNKKLEKERNSLERTRERLSQNSSKISSLEEELTQTRLKLQVAKEAEIKTGVDNPLDISRELQRLSSEAEQFKKIGQAAKSEVSRAVSEIEQTKTRIKTTEMRILAAKKMKEAARAAEALALAEIKNLSRKESLSGNPVQKAEGVTISYEEYSALMSKAQNAEELSNKKVVDAMVQVDEANVSKMEILKKVEEATEEIKTSKKALEEALNRVEAANKGKLEVEEALRKWRSEHGQKRRSLHNPTKFKNSYPSHNRKDSRLFDVNGLNLVNDGPTPVLKPTLSIGQILSRKLLLPEDFETEMLAEKGTVKRKVSLGQMLGKQNGDYVINSQKTERETGHKQFSGKRKKFGFARFSLLLAKQNKKKKKPTLNLR >KJB35386 pep chromosome:Graimondii2_0_v6:6:36078485:36079591:1 gene:B456_006G112400 transcript:KJB35386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILGLANKKTVTIQSGGKDQSVLLATTKTKKQNKPSALLHKLLMKKEFPRMAKAVKNQVTDNYYRPDLTKAALAMLSAVHRSLKVAKSSVKKRNRQALKVRGRK >KJB37366 pep chromosome:Graimondii2_0_v6:6:45849055:45853736:-1 gene:B456_006G202000 transcript:KJB37366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTQVLLNAQSIDGAVRKNAEESLKHFQEQNLTVFLLLLSGELVSEEKPVETRKLAGLILKNALDAKDQQRKVELVQRWLSLDGNAKSQIKAGLLKTLSSPVSDARSTASQVIAKVAGIELPHKQWPELVGSLLSNVHQLPAHAKQATLETLGYLCEEVSPDVIDQDQVNKILTAVVQGMSASEGNTDVRLAATRALYNALGFAQANFSNDMERDYLMRVVCEATLSPEVRIRQAVREDEEPVALQAIEFWSSICDEEIDILEEYGGDFTGDSDIPCFYFIKQAIPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVVPFIEENVTKPDWRQREAATYAFGSILEGPSPAKLIPLVNVALNFMLSALTKDPNSHVKDTTAWTLGRIFEFLHGSAVDSPIITQANCQQIVAVLLQSMKDTPNVAEKACGALYFLAQGYEEVGPSSPLTPFFQEIVQSLLTVTHREDARESRLRTAAYETLNEVVRCSTDETAPLVLQLVPVIIMELHNTVEGQKLSSDEREKQSELQGLLCGCLQVIIQKLGSSESTKYLFLQYADQIMGLFLSVFACRSATVHEEAMLAIGALAYATGPDFAKYMPEFYRYLEMGLQNFEEYQVCAVTVGVVGDICRALEEKVVPYCDGIMTQLLKNLSSNQLHRSVKPPIFSCFGDIALAVGEYFEKYLMWAMSALQSAAELSTHTTGDDELIEYINTLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHILQFLDGIYMEKDMDDVVMKTAIGVLGDLADTLGSHAASLIQQSVSSKDFLNECLSSEDVMIKESAEWAKLAISRAISV >KJB37367 pep chromosome:Graimondii2_0_v6:6:45849055:45853736:-1 gene:B456_006G202000 transcript:KJB37367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTQVLLNAQSIDGAVRKNAEESLKHFQEQNLTVFLLLLSGELVSEEKPVETRKLAGLILKNALDAKDQQRKVELVQRWLSLDGNAKSQIKAGLLKTLSSPVSDARSTASQVIAKVAGIELPHKQWPELVGSLLSNVHQLPAHAKQATLETLGYLCEEVSPDVIDQDQVNKILTAVVQGMSASEGNTDVRLAATRALYNALGFAQANFSNDMERDYLMRVVCEATLSPEVRIRQAAFECLVSISSTYYEKLAPYIQDIFNITAKAVREDEEPVALQAIEFWSSICDEEIDILEEYGGDFTGDSDIPCFYFIKQAIPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVVPFIEENVTKPDWRQREAATYAFGSILEGPSPAKLIPLVNVALNFMLSALTKDPNSHVKDTTAWTLGRIFEFLHGSAVDSPIITQANCQQIVAVLLQSMKDTPNVAEKACGALYFLAQGYEEVGPSSPLTPFFQEIVQSLLTVTHREDARESRLRTAAYETLNEVVRCSTDETAPLVLQLVPVIIMELHNTVEGQKLSSDEREKQSELQGLLCGCLQVIIQKLGSSESTKYLFLQYADQIMGLFLSVFACRSATVHEEAMLAIGALAYATGPDFAKYMPEFYRYLEMGLQNFEEYQVCAVTVGVVGDICRALEEKVVPYCDGIMTQLLKNLSSNQLHRSVKPPIFSCFGDIALAVGEYFEKYLMWAMSALQSAAELSTHTTGDDELIEYINTLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHILQFLDGIYMEKDMDDVVMKTAIGVLGDLADTLGSHAASLIQQSVSSKDFLNECLSSEDVMIKESAEWAKLAISRAISV >KJB37365 pep chromosome:Graimondii2_0_v6:6:45849010:45853736:-1 gene:B456_006G202000 transcript:KJB37365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTQVLLNAQSIDGAVRKNAEESLKHFQEQNLTVFLLLLSGELVSEEKPVETRKLAGLILKNALDAKDQQRKVELVQRWLSLDGNAKSQIKAGLLKTLSSPVSDARSTASQVIAKVAGIELPHKQWPELVGSLLSNVHQLPAHAKQATLETLGYLCEEVSPDVIDQDQVNKILTAVVQGMSASEGNTDVRLAATRALYNALGFAQANFSNDMERDYLMRVVCEATLSPEVRIRQAAFECLVSISSTYYEKLAPYIQDIFNITAKAVREDEEPVALQAIEFWSSICDEEIDILEEYGGDFTGDSDIPCFYFIKQAIPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVVPFIEENVTKPDWRQREAATYAFGSILEGPSPAKLIPLVNVALNFMLSALTKDPNSHVKDTTAWTLGRIFEFLHGSAVDSPIITQANCQQIVAVLLQSMKDTPNVAEKACGALYFLAQGYEEVGPSSPLTPFFQEIVQSLLTVTHREDARESRLRTAAYETLNEVVRCSTDETAPLVLQLVPVIIMELHNTVEGQKLSSDEREKQSELQGLLCGCLQVIIQKLGSSESTKYLFLQYADQIMGLFLSVFACRSATVHEEAMLAIGALAYATGPDFAKYMPEFYRYLEMGLQNFEEYQVCAVTVGVVGDICRALEEKVVPYCDGIMTQLLKNLSSNQLHRSVKPPIFSCFGDIALAVGEYFEKYLMWAMSALQSAAELSTHTTGDDELIEYINTLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHILQFLDGIYMEKDMDDVVMKTAIGVLGDLADTLGSHAASLIQQSVSSKDFLNECLSSEDVMIKESAEWAKLAISRAISV >KJB33393 pep chromosome:Graimondii2_0_v6:6:2049643:2056048:1 gene:B456_006G009300 transcript:KJB33393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLSEEATMEIQSYQNQAELLLKEYLLADSFIPYTSVICGIFACKMVYDLTQLFSSVYFKSYLILSKVQRNEWNNRSISTVHAIFITVMSLYFVFWSNLYSDNRYAGMIMFRSSALSTFTLGVSVGYFLADIGMIIWFYSSLGGIEYVIHHFLSLTAVAYSMMTGEGQLYTFMVLISETTTPGINLRWYLDTAGMKRSRAYLINGVVIFVTWLVARILLFMYLFYHVYLHFDQVKLVHSYGLLLIFVVPFILSVMNLMWFGKIIKGLRKTLAKRQ >KJB33395 pep chromosome:Graimondii2_0_v6:6:2049250:2056048:1 gene:B456_006G009300 transcript:KJB33395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLSEEATMEIQSYQNQAELLLKEYLLADSFIPYTSVICGIFACKMVYDLTQLFSSVYFKSYLILSKVQRNEWNNRSISTVHAIFITVMSLYFVFWSNLYSDNRYAGMIMFRSSALSTFTLGVSVGYFLADIGMIIWFYSSLGGIEYVIHHFLSLTAVAYSMMTGEGQLYTFMVLISETTTPGINLRWYLDTAGMKRSRAYLINGVVIFVTWLVARILLFMYLFYHVYLHFDQVKLVHSYGLLLIFVVPFILSVMNLMWFGKIIKGLRKTLAKRQ >KJB33394 pep chromosome:Graimondii2_0_v6:6:2049253:2056048:1 gene:B456_006G009300 transcript:KJB33394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLSEEATMEIQSYQNQAELLLKEYLLADSFIPYTSVICGIFACKMVYDLTQLFSSVYFKSYLILSKVQRNEWNNRSISTVHAIFITVMSLYFVFWSNLYSDNRYAGMIMFRSSALSTFTLGVSVGYFLADIGMIIWFYSSLGGIEYVIHHFLSLTAVAYSMMTGEGQLYTFMVLISETTTPGINLRWYLDTAGMKRSRAYLINGVVIFVTWLVARILLFMYLFYHVYLHFDQVKLVHSYGLLLIFVVPFILSVMNLMWFGKIIKGLRKTLAKRQ >KJB33396 pep chromosome:Graimondii2_0_v6:6:2049250:2054810:1 gene:B456_006G009300 transcript:KJB33396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLSEEATMEIQSYQNQAELLLKEYLLADSFIPYTSVICGIFACKMVYDLTQLFSSVYFKSYLILSKVQRNEWNNRSISTVHAIFITVMSLYFVFWSNLYSDNRYAGMIMFRSSALSTFTLGVSVGYFLADIGMIIWFYSSLGGIEYVIHHFLSLTAVAYSMMTGEGQLYTFMVLISETTTPGINLRWYLDTAGMKRSRAYLINGVVIFVTWLVARILLFMYLFYHVYLHFDQVKLVHSYGLLLIFVVPFILSVMNLMWFGKIIKGLRKTLAKRQ >KJB35034 pep chromosome:Graimondii2_0_v6:6:33710249:33716599:-1 gene:B456_006G097100 transcript:KJB35034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAKAKSGAMDKEKKKKGTLIWRPVCTQDSSLKEPVIKDATVGLESDCQMQKSNDKVIEVTNAIVNSKALEDDIEDEAMKEKPELSAIKHSLSIQNKGGSTLSGEVFEVSSVGQSTGPPITEGTTNRNVHLHEPYTALCKGWRQKPDTRTTSSVVRDPVDCSGDDTFKTSPKKVGASVIRFVKGKAGSTKEKIEKETGVQIILPSSKQNDSIIIEGTSADSVAKASEEIQRVIDEAVKTTSFDYSHFVSLPLAIHPELVSKLVGFQNSILGSSDACIDENPDGNSDGDNSEDSAQEQQLGNISVELEVADDEESVKVDVSVRPLDSNAPKENEEPKSSNKSDLKIGKSVFIKPQTFHLTVLMLKLWNQKRVDLAAQVLKSTSSRVLDALDNRPVFVRLKGLDLMRGSLAKAQVVYAPAEEIDSENRLLHACKIMIDAFVEAGLVIDKDAKSELKLHATVMNARHRKRGKKGRFSSFNARAIFEHFGSEEWGEYLIREAHLSQRFKYDENGYYHCCASIPFPENISS >KJB35036 pep chromosome:Graimondii2_0_v6:6:33710165:33717862:-1 gene:B456_006G097100 transcript:KJB35036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAKAKSGAMDKEKKKKGTLIWRPVCTQDSSLKEPVIKDATVGLESDCQMQKSNDKVGASVIRFVKGKAGSTKEKIEKETGVQIILPSSKQNDSIIIEGTSADSVAKASEEIQRVIDEAVKTTSFDYSHFVSLPLAIHPELVSKLVGFQNSILGSSDACIDENPDGNSDGDNSEDSAQEQQLGNISVELEVADDEESVKVDVSVRPLDSNAPKENEEPKSSNKSDLKIGKSVFIKPQTFHLTVLMLKLWNQKRVDLAAQVLKSTSSRVLDALDNRPVFVRLKGLDLMRGSLAKAQVVYAPAEEIDSENRLLHACKIMIDAFVEAGLVIDKDAKSELKLHATVMNARHRKRGKKGRFSSFNARAIFEHFGSEEWGEYLIREAHLSQRFKYDENGYYHCCASIPFPENISS >KJB35033 pep chromosome:Graimondii2_0_v6:6:33710249:33716599:-1 gene:B456_006G097100 transcript:KJB35033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAKAKSGAMDKEKKKKGTLIWRPVCTQDSSLKEPVIKDATVGLESDCQMQKSNDKVIEVTNAIVNSKALEDDIEDEAMKEKPELSAIKHSLSIQNKGGSTLSGEVFEVSSVGQSTGPPITEGTTNRNVHLHEPYTALCKGWRQKPDTRTTSSVVRDPVDCSGDDTFKTSPKKVGASVIRFVKGKAGSTKEKIEKETGVQIILPSSKQNDSIIIEGTSADSVAKASEEIQRVIDEAVKTTSFDYSHFVSLPLAIHPELVSKLVGFQNSILGSSDACIDENPDGNSDGDNSEDSAQEQQLGNISVELEVADDEESVKVDVSVRPLDSNAPKENEEPKSSNKSDLKIGKSVFIKPQTFHLTVLMLKLWNQKRVDLAAQVLKSTSSRVLDALDNRPVFVRLKGLDLMRGSLAKAQVVYAPAEEIDSENRLLHACKIMIDAFVEAGLVIDKDAKSELKLHATVMNARHRKRGKKGRFSSFNARAIFEHFGSEEWGEYLIREAHLSQRFKYDENGYYHCCASIPFPENISS >KJB35037 pep chromosome:Graimondii2_0_v6:6:33710249:33716599:-1 gene:B456_006G097100 transcript:KJB35037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAKAKSGAMDKEKKKKGTLIWRPVCTQDSSLKEPVIKDATVGLESDCQMQKSNDKVIEVTNAIVNSKALEDDIEDEAMKEKPELSAIKHSLSIQVGASVIRFVKGKAGSTKEKIEKETGVQIILPSSKQNDSIIIEGTSADSVAKASEEIQRVIDEAVKTTSFDYSHFVSLPLAIHPELVSKLVGFQNSILGSSDACIDENPDGNSDGDNSEDSAQEQQLGNISVELEVADDEESVKVDVSVRPLDSNAPKENEEPKSSNKSDLKIGKSVFIKPQTFHLTVLMLKLWNQKRVDLAAQVLKSTSSRVLDALDNRPVFVRLKGLDLMRGSLAKAQVVYAPAEEIDSENRLLHACKIMIDAFVEAGLVIDKDAKSELKLHATVMNARHRKRGKKGRFSSFNARAIFEHFGSEEWGEYLIREAHLSQRFKYDENGYYHCCASIPFPENISS >KJB35035 pep chromosome:Graimondii2_0_v6:6:33710165:33717862:-1 gene:B456_006G097100 transcript:KJB35035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAKAKSGAMDKEKKKKGTLIWRPVCTQDSSLKEPVIKDATVGLESDCQMQKSNDKVIEVTNAIVNSKALEDDIEDEAMKEKPELSAIKHSLSIQVGASVIRFVKGKAGSTKEKIEKETGVQIILPSSKQNDSIIIEGTSADSVAKASEEIQRVIDEAVKTTSFDYSHFVSLPLAIHPELVSKLVGFQNSILGSSDACIDENPDGNSDGDNSEDSAQEQQLGNISVELEVADDEESVKVDVSVRPLDSNAPKENEEPKSSNKSDLKIGKSVFIKPQTFHLTVLMLKLWNQKRVDLAAQVLKSTSSRVLDALDNRPVFVRLKGLDLMRGSLAKAQVVYAPAEEIDSENRLLHACKIMIDAFVEAGLVIDKDAKSELKLHATVMNARHRKRGKKGRFSSFNARAIFEHFGSEEWGEYLIREAHLSQRFKYDENGYYHCCASIPFPENISS >KJB34640 pep chromosome:Graimondii2_0_v6:6:29931383:29935286:1 gene:B456_006G076500 transcript:KJB34640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHYGANSYGLMVIMTPSCYARDFIYQSFDSDFNLSGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFVSPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQYAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVYVELEVNFFLLRRLLGVRTKGSERQGKVSKLAKGEILMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >KJB34641 pep chromosome:Graimondii2_0_v6:6:29931135:29935378:1 gene:B456_006G076500 transcript:KJB34641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFVSPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQYAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVYVELEVNFFLLRRLLGVRTKGSERQGKVSKLAKGEILMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >KJB34639 pep chromosome:Graimondii2_0_v6:6:29931433:29935449:1 gene:B456_006G076500 transcript:KJB34639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFVSPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQYAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVYVELEVNFFLLRRLLGVRTKGSERQGKVSKLAKGEILMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >KJB34638 pep chromosome:Graimondii2_0_v6:6:29931383:29935286:1 gene:B456_006G076500 transcript:KJB34638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFVSPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQYAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVYVELEVNFFLLRRLLGVRTKGSERQGKVSKLAKGEILMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >KJB34502 pep chromosome:Graimondii2_0_v6:6:28058817:28059961:1 gene:B456_006G069300 transcript:KJB34502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTDFTLCLNPNPTPFSSSLSTQIKSASISKTKENPFSSSPSFIYVSIFSLSIFLNSVVSIFDAFSSKDRVGTVLQLQVLSLALLFLLYSALGLLNNKKGSYFWPDPVLELVLLLAFVEEFLLYYLQRKDTSGIENRYFDLLCVPIAICVVSTMLELRSNRSIYSKSVRGIGLILHGTWFVQMGFSFYTNLMVHGCSLHEKSRGNYTIKCRSHPDYHRARSIATLQFNCHLALLVVLVVGMLSLIGKRNGVVVGASGDGLRYKPLGAEIQLMDSNGWEFHFGFR >KJB37394 pep chromosome:Graimondii2_0_v6:6:45907968:45914455:1 gene:B456_006G202800 transcript:KJB37394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPLSLFISPRGERVAVAAGNQVTILRKEDDYQEPCGIFTNSSLVLYACGAWSESHDILGIVDDADVIYFIKANGEEITRITKRHLKISSTVIGLLAENDSEVQQSFFFTVLTSDGAFHHIEISQESSASIFPVNKSGLALKRQFPQNVFCFDYYPELSLLVVVGSITASGKSESCYISLWRKHQNLVLELIASTQFKGLYFERKGYAGHLAYPKVSISPKGDYIAALDMNGCLHIFKLDKETYSVTNFAFQGRTNSDGPLNACTDILVDIVDFTWWSDHVLTLAKQSGFVAMLDIVSGLKLIENEPVYSMPVLERVQKFEGHIFLLEGFSSEDRFDSSNSNRRTDDLHHGEQTPEDRSNQPDISRMRWNLVSLSERSVPEMYKILIGNSKHQAALEFSDRYQLDRDEVLKSQWLCSNQGIKDLNTFLSNIKDKVFVLSECVDKVGPSEEAVKALLAYGLQLTNFYKFSEQNNQKFGEIWDFRLARLQLLQFSDRLETFLGINMGRFSVQEYSKFRVMPIDESAIALAESGKIGALNLLFKRHPYSLAPFMLDILAAIPETIPVRTYVQLLPGRSPPRFFIREEDWVECDKMVGFISNLPENQDIDNQIRTEPIVKQLLGSFWPSTAELAFWYKHRARDIDSYSGLLDNCLSLVGFACQKGVNELKQFHEDISYLHQLVYANENDAEISTSMSLVAWEQLSEYEKFRTMLDGCREENVVESLWNKAIPFMQKRSRRASLATQEQIADGHSQVDRTRSESFLVRWLKEISLENKLDVCLMVIEEGCRELQSSGFFKDEVEVVDCALQCLYLFTVTDRWSTMAAILSKLPHKQDSGIFIGNLDQRCKVAEGHIEAGSLLAFYQVPKPMSYFLEAHSDEKGVKQIIRLILSKFIRQRPGRSDNEWADLWHDMQCLHEEAFPFLDLEYLLTEFCGGLLKAEKFSLARSYLKGTSSVALATEKAENLVIQAAREFFFSASSLAGSEIWKAKDCLNLFPSSRNVKAEADFIDALTVKLPNLGVTLLPMQFQQIKDPMEIIKMTIRNKAEAYLHVDELIEVAKLLGLSSLDEISGVQEAIAREAAGTGDLQLAYDLCLVLAKRGYGIIWDLCAAIARGPSLENLDTSSRKQLLGFALSHCDEESIGELLHAWKDLDMQVQCETLMTLTGIDCPSFSVQGSSVFSLPDYSIQDIVDRKNSSVLVKGSSSADKRSHLNSIKNILSLVAKSPVVHGTDWELLLQENGKILSFAAVQLPWLLELTRKPEHRKKFTSGMIPGKQYVSVRTQVVITILSWLARNDFAPRDDLIASLVKSIIEPPVTEEEDVIGCSFLLNLVDAFSGVELIEEQLKTRENYLETCSIKNVRTTYSTLHNTGVDCEGAVQRRELLFRKFKEKNNAGTRVYSCS >KJB37393 pep chromosome:Graimondii2_0_v6:6:45907574:45914455:1 gene:B456_006G202800 transcript:KJB37393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESAGEVREVLYEERRHASRPFSSDCLPLSLQQSNGADKGGFLSFLSARGVSQLKEKWIRFKNPKKMRKPLSLFISPRGERVAVAAGNQVTILRKEDDYQEPCGIFTNSSLVLYACGAWSESHDILGIVDDADVIYFIKANGEEITRITKRHLKISSTVIGLLAENDSEVQQSFFFTVLTSDGAFHHIEISQESSASIFPVNKSGLALKRQFPQNVFCFDYYPELSLLVVVGSITASGKSESCYISLWRKHQNLVLELIASTQFKGLYFERKGYAGHLAYPKVSISPKGDYIAALDMNGCLHIFKLDKETYSVTNFAFQGRTNSDGPLNACTDILVDIVDFTWWSDHVLTLAKQSGFVAMLDIVSGLKLIENEPVYSMPVLERVQKFEGHIFLLEGFSSEDRFDSSNSNRRTDDLHHGEQTPEDRSNQPDISRMRWNLVSLSERSVPEMYKILIGNSKHQAALEFSDRYQLDRDEVLKSQWLCSNQGIKDLNTFLSNIKDKVFVLSECVDKVGPSEEAVKALLAYGLQLTNFYKFSEQNNQKFGEIWDFRLARLQLLQFSDRLETFLGINMGRFSVQEYSKFRVMPIDESAIALAESGKIGALNLLFKRHPYSLAPFMLDILAAIPETIPVRTYVQLLPGRSPPRFFIREEDWVECDKMVGFISNLPENQDIDNQIRTEPIVKQLLGSFWPSTAELAFWYKHRARDIDSYSGLLDNCLSLVGFACQKGVNELKQFHEDISYLHQLVYANENDAEISTSMSLVAWEQLSEYEKFRTMLDGCREENVVESLWNKAIPFMQKRSRRASLATQEQIADGHSQVDRTRSESFLVRWLKEISLENKLDVCLMVIEEGCRELQSSGFFKDEVEVVDCALQCLYLFTVTDRWSTMAAILSKLPHKQDSGIFIGNLDQRCKVAEGHIEAGSLLAFYQVPKPMSYFLEAHSDEKGVKQIIRLILSKFIRQRPGRSDNEWADLWHDMQCLHEEAFPFLDLEYLLTEFCGGLLKAEKFSLARSYLKGTSSVALATEKAENLVIQAAREFFFSASSLAGSEIWKAKDCLNLFPSSRNVKAEADFIDALTVKLPNLGVTLLPMQFQQIKDPMEIIKMTIRNKAEAYLHVDELIEVAKLLGLSSLDEISGVQEAIAREAAGTGDLQLAYDLCLVLAKRGYGIIWDLCAAIARGPSLENLDTSSRKQLLGFALSHCDEESIGELLHAWKDLDMQVQCETLMTLTGIDCPSFSVQGSSVFSLPDYSIQDIVDRKNSSVLVKGSSSADKRSHLNSIKNILSLVAKSPVVHGTDWELLLQENGKILSFAAVQLPWLLELTRKPEHRKKFTSGMIPGKQYVSVRTQVVITILSWLARNDFAPRDDLIASLVKSIIEPPVTEEEDVIGCSFLLNLVDAFSGVELIEEQLKTRENYLETCSIKNVRTTYSTLHNTGVDCEGAVQRRELLFRKFKEKNNAGTRVYSCS >KJB38075 pep chromosome:Graimondii2_0_v6:6:48371789:48375967:1 gene:B456_006G236000 transcript:KJB38075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKELQKENELHVQKEATLQERIQHLQHENESHLQKKALLEETINQLRNEFDSHLKKEASLEAAIQQLQYERELHVQKVAGLGINIVGLQNEKEFWFQEKASLEEKISQLRDEKAGLHLKGATLEENVRQLEKEKESWIVTQSSTKEAISSLNRDITRLKMQVVELEESRNNLLQENQQLTENISSMQLHTQNLERNSNSDSQSEDVEKQAAGNEDLKSQIEAANVFVDKLMMENAELVEKVNVLYAILEQQSKAAEHSKVIDTTNSVPRSLENGSIMVPKLDSLEASPITNGKIESENVDGQPAAPLPQNVESKDSGEIVQIPLDDTDVRDLESQATGTEENAVPLTDAPLIGAPFRLISFVARYVSGADLVNNT >KJB38072 pep chromosome:Graimondii2_0_v6:6:48371903:48374513:1 gene:B456_006G236000 transcript:KJB38072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKKKRNKKKKNKQGKATEEDVDQIQNNNVTNGQNSGNALDLDTHQPNGALPNSAEEMIKELQKENELHVQKEATLQERIQHLQHENESHLQKKALLEETINQLRNEFDSHLKKEASLEAAIQQLQYERELHVQKVAGLGINIVGLQNEKEFWFQEKASLEEKISQLRDEKAGLHLKGATLEENVRQLEKEKESWIVTQSSTKEAISSLNRDITRLKMQYQRLWAAEKSKPFFSATLFF >KJB38077 pep chromosome:Graimondii2_0_v6:6:48371789:48375967:1 gene:B456_006G236000 transcript:KJB38077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKKKRNKKKKNKQGKATEEDVDQIQNNNVTNGQNSGNALDLDTHQPNGALPNSAEEMIKELQKENELHVQKEATLQERIQHLQHENESHLQKKVYFITEEISNLSCYLFKQENAVLFSSIFYFCYEVPLFSFIFVFIYFCLSICVIQALLEETINQLRNEFDSHLKKEASLEAAIQQLQYERELHVQKVAGLGINIVGLQNEKEFWFQEKASLEEKISQLRDEKAGLHLKGATLEENVRQLEKEKESWIVTQSSTKEAISSLNRDITRLKMQVVELEESRNNLLQENQQLTENISSMQLHTQNLERNSNSDSQSEDVEKQAAGNEDLKSQIEAANVFVDKLMMENAELVEKVNVLYAILEQQSKAAEHSKVIDTTNSVPRSLENGSIMVPKLDSLEASPITNGKIESENVDGQPAAPLPQNVESKDSGEIVQIPLDDTDVRDLESQATGTEENAVPLTDAPLIGAPFRLISFVARYVSGADLVNNT >KJB38076 pep chromosome:Graimondii2_0_v6:6:48371789:48375967:1 gene:B456_006G236000 transcript:KJB38076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKELQKENELHVQKEATLQERIQHLQHENESHLQKKALLEETINQLRNEFDSHLKKEASLEAAIQQLQYERELHVQKVAGLGINIVGLQNEKEFWFQEKASLEEKISQLRDEKAGLHLKGATLEENVRQLEKEKESWIVTQSSTKEAISSLNRDITRLKMQVVELEESRNNLLQENQQLTENISSMQLHTQNLERNSNSDSQSEDVEKQAAGNEDLKSQIEAANVFVDKLMMENAELVEKVNVLYAILEQQSKAAEHSKVIDTTNSVPRSLENGSIMVPKLDSLEASPITNGKIESENVDGQPAAPLPQNVESKDSGEIVQIPLDDTDVRDLESQATGTEENAVPLTDAPLIGAPFRLISFVARYVSGADLVNNT >KJB38071 pep chromosome:Graimondii2_0_v6:6:48371759:48375967:1 gene:B456_006G236000 transcript:KJB38071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKKKRNKKKKNKQGKATEEDVDQIQNNNVTNGQNSGNALDLDTHQPNGALPNSAEEMIKELQKENELHVQKEATLQERIQHLQHENESHLQKKALLEETINQLRNEFDSHLKKEASLEAAIQQLQYERELHVQKVAGLGINIVGLQNEKEFWFQEKASLEEKISQLRDEKAGLHLKGATLEENVRQLEKEKESWIVTQSSTKEAISSLNRDITRLKMQVVELEESRNNLLQENQQLTENISSMQLHTQNLERNSNSDSQSEDVEKQAAGNEDLKSQIEAANVFVDKLMMENAELVEKVNVLYAILEQQSKAAEHSKVIDTTNSVPRSLENGSIMVPKLDSLEASPITNGKIESENVDGQPAAPLPQNVESKDSGEIVQIPLDDTDVRDLESQATGTEENAVPLTDAPLIGAPFRLISFVARYVSGADLVNNT >KJB38079 pep chromosome:Graimondii2_0_v6:6:48371781:48375967:1 gene:B456_006G236000 transcript:KJB38079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKKKRNKKKKNKQGKATEEDVDQIQNNNVTNGQNSGNALDLDTHQPNGALPNSAEEMIKELQKENELHVQKEAGLGINIVGLQNEKEFWFQEKASLEEKISQLRDEKAGLHLKGATLEENVRQLEKEKESWIVTQSSTKEAISSLNRDITRLKMQVVELEESRNNLLQENQQLTENISSMQLHTQNLERNSNSDSQSEDVEKQAAGNEDLKSQIEAANVFVDKLMMENAELVEKVNVLYAILEQQSKAAEHSKVIDTTNSVPRSLENGSIMVPKLDSLEASPITNGKIESENVDGQPAAPLPQNVESKDSGEIVQIPLDDTDVRDLESQATGTEENAVPLTDAPLIGAPFRLISFVARYVSGADLVNNT >KJB38073 pep chromosome:Graimondii2_0_v6:6:48371781:48375967:1 gene:B456_006G236000 transcript:KJB38073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKKKRNKKKKNKQGKATEEDVDQIQNNNVTNGQNSGNALDLDTHQPNGALPNSAEEMIKELQKENELHVQKEATLQERIQHLQHENESHLQKKALLEETINQLRNEFDSHLKKEASLEAAIQQLQYERELHVQKVAGLGINIVGLQNEKEFWFQEKASLEEKISQLRDEKAGLHLKGATLEENVRQLEKEKESWIVTQSSTKEAISSLNRDITRLKMQVVELEESRNNLLQENQQLTENISSMQLHTQNLERNSNSDSQSEDVEKQAAGNEDLKSQIEAANVFVDKLMMENAELVEKVPFSLQHYYNLIFATSFPFRANILYV >KJB38078 pep chromosome:Graimondii2_0_v6:6:48372407:48375622:1 gene:B456_006G236000 transcript:KJB38078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMYRKRLLCKREFNTYNMKMNHTYRKSICVIQALLEETINQLRNEFDSHLKKEASLEAAIQQLQYERELHVQKVAGLGINIVGLQNEKEFWFQEKASLEEKISQLRDEKAGLHLKGATLEENVRQLEKEKESWIVTQSSTKEAISSLNRDITRLKMQVVELEESRNNLLQENQQLTENISSMQLHTQNLERNSNSDSQSEDVEKQAAGNEDLKSQIEAANVFVDKLMMENAELVEKVNVLYAILEQQSKAAEHSKVIDTTNSVPRSLENGSIMVPKLDSLEASPITNGKIESENVDGQPAAPLPQNVESKDSGEIVQIPLDDTDVRDLESQATGTEENAVPLTDAPLIGAPFRLISFVARYVSGADLVNNT >KJB38074 pep chromosome:Graimondii2_0_v6:6:48371760:48375967:1 gene:B456_006G236000 transcript:KJB38074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKKKRNKKKKNKQGKATEEDVDQIQNNNVTNGQNSGNALDLDTHQPNGALPNSAEEMIKELQKENELHVQKEATLQERIQHLQHENESHLQKKALLEETINQLRNEFDSHLKKEASLEAAIQQLQYERELHVQKVASLEEKISQLRDEKAGLHLKGATLEENVRQLEKEKESWIVTQSSTKEAISSLNRDITRLKMQVVELEESRNNLLQENQQLTENISSMQLHTQNLERNSNSDSQSEDVEKQAAGNEDLKSQIEAANVFVDKLMMENAELVEKVNVLYAILEQQSKAAEHSKVIDTTNSVPRSLENGSIMVPKLDSLEASPITNGKIESENVDGQPAAPLPQNVESKDSGEIVQIPLDDTDVRDLESQATGTEENAVPLTDAPLIGAPFRLISFVARYVSGADLVNNT >KJB34361 pep chromosome:Graimondii2_0_v6:6:23008271:23009085:1 gene:B456_006G062300 transcript:KJB34361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAANRQSITTPNSGQWTTGLFDCFDDSSNCFMTWCCPFITFGRNVEIIDKGKTSPADAARTYYILGSFGFAFCYSYPYRKKLREQFSLKEQPCGDCLVHCCCIQCALCQEHRELINRGLDPTIGWAANVARMIQNEKVAPVVEAGMNHVAP >KJB37937 pep chromosome:Graimondii2_0_v6:6:47840662:47841572:1 gene:B456_006G227300 transcript:KJB37937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSSCFYSREMFLSLVISFLVLIYIFLAVLEFQWNNNGVRSSLFLQDCCFPMSHLVSKKMGNFVSDSKFLNVLENEAFFP >KJB36490 pep chromosome:Graimondii2_0_v6:6:42187950:42189945:-1 gene:B456_006G162400 transcript:KJB36490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFLSFLLILLFPSANAGWPPSPGYWPSSKFRPMNFYSGFRNLWGPGHQSLDQHALTIWLDRTSGSGFKSVKPFRSGYFGASIKVQPGYTAGVITAFYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREMKFHLWFDPTKDFHHYAILWSPREIIFLVDDVPIRRYPRKSAATFPLRPMWVYGSIWDASSWATEDGKYKADYRYQPFVAKYTNFKAGGCTAYAPPWCRPVSASPFRSGGLTRQQRRAMRWVQRYHMVYNYCKDPKRNHALTPECWSK >KJB38503 pep chromosome:Graimondii2_0_v6:6:49980004:49983842:-1 gene:B456_006G258400 transcript:KJB38503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPPAEELLRKIQELEVGHANLKQEMSKLKQSGGESRLDSTRQRSHSISPQRPRLPGNAAAASAGGTATAVAYWKKTSGPFRHSSPLQRESRSNDTLNGGSGGGGGGGGRKSGNTGPAAVNFTNSQYLKILQSMGQSVHIYDLSGRIIYWNRTAEKLYGYSAAEALGQDAIELLVDHRDFSVAHGIVHRVMMGESWTGQFPVKNKMGERFSAVATNTPFYDDDGSLVGIICVSTDTRPFQDMKVALAAEKQADGDSAFSWSKNAVSAKLGLDPQQPLQAAIASKITNLASKVSNKVKSKIRTGENCSDPEGGSGDSHYSDHGLSDAVLSDHKEDANSSGASTPRGDLHPSAFGVFSPFDEKSPARTSRDFGDENEGKPAILKIMTLMGKKGITWPWKGNDREGPETRTTRFVWPWLSTDQENETFQPKAPYSGTKSEGHVNESNKSVNNEAASGSWSSANVNSTSSASSCGSTSSSAVNRVDLDTDCLDYEILWEDLTIGEQIGQGSCGTVYHGLWYGSDVAVKVFSKQEYSDDVIDSFRQEVSLMKRLRHPNVLLFMGAVTSPERLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVHMALDVARGMNYLHHCNPPIIHRDLKSSNLLVDKNWIVKVGDFGLSRLKHETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDIYSFGVILWELATEKIPWDNLNSMQVPFSFKRINSFVFTALHIRTKVLLVYSQVIGAVGFMNQRLEIPKEVDPRWSSIIESCWQRDPQCRPTFHELLDKLRDLQRQYMIQLQQARNSAGDGSQRDW >KJB38504 pep chromosome:Graimondii2_0_v6:6:49980162:49983912:-1 gene:B456_006G258400 transcript:KJB38504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGESWTGQFPVKNKMGERFSAVATNTPFYDDDGSLVGIICVSTDTRPFQDMKVALAAEKQADGDSAFSWSKNAVSAKLGLDPQQPLQAAIASKITNLASKVSNKVKSKIRTGENCSDPEGGSGDSHYSDHGLSDAVLSDHKEDANSSGASTPRGDLHPSAFGVFSPFDEKSPARTSRDFGDENEGKPAILKIMTLMGKKGITWPWKGNDREGPETRTTRFVWPWLSTDQENETFQPKAPYSGTKSEGHVNESNKSVNNEAASGSWSSANVNSTSSASSCGSTSSSAVNRVDLDTDCLDYEILWEDLTIGEQIGQGSCGTVYHGLWYGSDVAVKVFSKQEYSDDVIDSFRQEVSLMKRLRHPNVLLFMGAVTSPERLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVHMALDVARGMNYLHHCNPPIIHRDLKSSNLLVDKNWIVKVGDFGLSRLKHETYLTTKTGKGTVFYRKFHLLHQKYLNHS >KJB38502 pep chromosome:Graimondii2_0_v6:6:49979547:49983946:-1 gene:B456_006G258400 transcript:KJB38502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPPAEELLRKIQELEVGHANLKQEMSKLKQSGGESRLDSTRQRSHSISPQRPRLPGNAAAASAGGTATAVAYWKKTSGPFRHSSPLQRESRSNDTLNGGSGGGGGGGGRKSGNTGPAAVNFTNSQYLKILQSMGQSVHIYDLSGRIIYWNRTAEKLYGYSAAEALGQDAIELLVDHRDFSVAHGIVHRVMMGESWTGQFPVKNKMGERFSAVATNTPFYDDDGSLVGIICVSTDTRPFQDMKVALAAEKQADGDSAFSWSKNAVSAKLGLDPQQPLQAAIASKITNLASKVSNKVKSKIRTGENCSDPEGGSGDSHYSDHGLSDAVLSDHKEDANSSGASTPRGDLHPSAFGVFSPFDEKSPARTSRDFGDENEGKPAILKIMTLMGKKGITWPWKGNDREGPETRTTRFVWPWLSTDQENETFQPKAPYSGTKSEGHVNESNKSVNNEAASGSWSSANVNSTSSASSCGSTSSSAVNRVDLDTDCLDYEILWEDLTIGEQIGQGSCGTVYHGLWYGSDVAVKVFSKQEYSDDVIDSFRQEVSLMKRLRHPNVLLFMGAVTSPERLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVHMALDVARGMNYLHHCNPPIIHRDLKSSNLLVDKNWIVKVGDFGLSRLKHETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDIYSFGVILWELATEKIPWDNLNSMQVIGAVGFMNQRLEIPKEVDPRWSSIIESCWQRDPQCRPTFHELLDKLRDLQRQYMIQLQQARNSAGDGSQRDW >KJB38501 pep chromosome:Graimondii2_0_v6:6:49979551:49983912:-1 gene:B456_006G258400 transcript:KJB38501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPPAEELLRKIQELEVGHANLKQEMSKLKQSGGESRLDSTRQRSHSISPQRPRLPGNAAAASAGGTATAVAYWKKTSGPFRHSSPLQRESRSNDTLNGGSGGGGGGGGRKSGNTGPAAVNFTNSQYLKILQSMGQSVHIYDLSGRIIYWNRTAEKLYGYSAAEALGQDAIELLVDHRDFSVAHGIVHRVMMGESWTGQFPVKNKMGERFSAVATNTPFYDDDGSLVGIICVSTDTRPFQDMKVALAAEKQADGDSAFSWSKNAVSAKLGLDPQQPLQAAIASKITNLASKVSNKVKSKIRTGENCSDPEGGSGDSHYSDHGLSDAVLSDHKEDANSSGASTPRGDLHPSAFGVFSPFDEKSPARTSRDFGDENEGKPAILKIMTLMGKKGITWPWKGNDREGPETRTTRFVWPWLSTDQENETFQPKAPYSGTKSEGHVNESNKSVNNEAASGSWSSANVNSTSSASSCGSTSSSAVNRVDLDTDCLDYEILWEDLTIGEQIGQGSCGTVYHGLWYGSDVAVKVFSKQEYSDDVIDSFRQEVSLMKRLRHPNVLLFMGAVTSPERLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVHMALDVARGMNYLHHCNPPIIHRDLKSSNLLVDKNWIVKVGDFGLSRLKHETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDIYSFGVILWELATEKIPWDNLNSMQVIGAVGFMNQRLEIPKEVDPRWSSIIESCWQRDPQCRPTFHELLDKLRDLQRQYMIQLQQARNSAGDGSQRDW >KJB35259 pep chromosome:Graimondii2_0_v6:6:35025750:35032117:-1 gene:B456_006G107200 transcript:KJB35259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRSASKKAGQPNSTNSINFSPSDLFRTASSRASSKEMERIDNLFHSYANTSLGMIDPEGIETLCSDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGMKALRADTVSKLRKALPELEKEVKRPSNFEDFYSYSFCYCLTEEKQKSIDIESICQLLDLVLGSHFRAQVDYFIEYLKIQSDYKVINMDQWMGFFRFCNEISFPDFSNYNPDLAWPLILDNFVEWMQSKQT >KJB35262 pep chromosome:Graimondii2_0_v6:6:35026332:35030637:-1 gene:B456_006G107200 transcript:KJB35262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIDNLFHSYANTSLGMIDPEGIETLCSDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGMKALRADTVSKLRKALPELEKEVKRPSNFEDFYSYSFCYCLTEEKQKSIDIESICQLLDLVLGSHFRAQVDYFIEYLKIQSDYKVINMDQWMGFFRFCNEWLKFPVLATTLSLAEKIEEYK >KJB35266 pep chromosome:Graimondii2_0_v6:6:35026864:35032002:-1 gene:B456_006G107200 transcript:KJB35266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRSASKKAGQPNSTNSINFSPSDLFRTASSRASSKEMERIDNLFHSYANTSLGMIDPEGIETLCSDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGMKALRADTVSKLRKALPELEKEVKRPSNFEDFYSYSFCYCLTEEKQKSIDIESICQLLDLVLGSHFRAQVDYFIEYLKIQSDYKVINMDQWMGFFRFCNEVPQSLSLSWNFLFCYPCVDVPLFKKENIGEVNSSVHFLRYAHTDITL >KJB35260 pep chromosome:Graimondii2_0_v6:6:35026332:35031930:-1 gene:B456_006G107200 transcript:KJB35260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRSASKKAGQPNSTNSINFSPSDLFRTASSRASSKEMERIDNLFHSYANTSLGMIDPEGIETLCSDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGMKALRADTVSKLRKALPELEKEVKRPSNFEDFYSYSFCYCLTEEKQKSIDIESICQLLDLVLGSHFRAQVDYFIEYLKIQSDYKVINMDQWMGFFRFCNEWLKFPVLATTLSLAEKIEEYK >KJB35263 pep chromosome:Graimondii2_0_v6:6:35025756:35032002:-1 gene:B456_006G107200 transcript:KJB35263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRSASKKAGQPNSTNSINFSPSDLFRTASSRASSKEMERIDNLFHSYANTSLGMIDPEGIETLCSDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGMKALRADTVSKLRKALPELEKEVKRPSNFEDFYSYSFCYCLTEEKQKSIDIESICQLLDLVLGSHFRAQVDYFIEYLKISFPDFSNYNPDLAWPLILDNFVEWMQSKQT >KJB35265 pep chromosome:Graimondii2_0_v6:6:35025756:35032002:-1 gene:B456_006G107200 transcript:KJB35265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRSASKKAGQPNSTNSINFSPSDLFRTASSRASSKEMERIDNLFHSYANTSLGMIDPEGIETLCSDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGMKALRADTVSKLRKALPELEKEIQSDYKVINMDQWMGFFRFCNEISFPDFSNYNPDLAWPLILDNFVEWMQSKQT >KJB35264 pep chromosome:Graimondii2_0_v6:6:35025756:35032002:-1 gene:B456_006G107200 transcript:KJB35264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGMKALRADTVSKLRKALPELEKEVKRPSNFEDFYSYSFCYCLTEEKQKSIDIESICQLLDLVLGSHFRAQVDYFIEYLKIQSDYKVINMDQWMGFFRFCNEWLKFPVLATTLSLAEKIEEYK >KJB35261 pep chromosome:Graimondii2_0_v6:6:35025756:35031060:-1 gene:B456_006G107200 transcript:KJB35261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIDNLFHSYANTSLGMIDPEGIETLCSDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGMKALRADTVSKLRKALPELEKEVKRPSNFEDFYSYSFCYCLTEEKQKSIDIESICQLLDLVLGSHFRAQVDYFIEYLKIQSDYKVINMDQWMGFFRFCNEISFPDFSNYNPDLAWPLILDNFVEWMQSKQT >KJB35676 pep chromosome:Graimondii2_0_v6:6:37492496:37493528:-1 gene:B456_006G123600 transcript:KJB35676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSCLNFTNFLNIISSGFILLQLTINQGWQFILFIQTCKFYLLVIIVLEFTYFPIFWLGFIFQTVIILTKPSSSSFCSLHTSCTIRTP >KJB33960 pep chromosome:Graimondii2_0_v6:6:11454263:11457527:-1 gene:B456_006G040900 transcript:KJB33960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLATNKGKPMEGIMEQVRDGSTIRVYLLPDFQFVQVFVVGIQSPSMGRKAVVETVVETDLTSDDQNGNALAEPWAQLTSAQKLSVSAATVAEVSPDPFGREAKHFTEFRCLNRDVYIVLEGVDKFSNLIGSVYYPEGETAKDLALELVKNIVNAPRRGVQSSNDRFEVFYLDYRNQELVPYSQLRPIDASLSATPGLAQLYSLAFLKVPSLDDKFGTEAAQYWSEQTLGSSLQFKAVIEERDTSEGKIKGQGTDTCLVVTFFTEDPEDSINEAMLKDGLARLEKRMKWESNERKLMLNNFEECQEEAKTGWRGM >KJB34941 pep chromosome:Graimondii2_0_v6:6:32875176:32882022:-1 gene:B456_006G092100 transcript:KJB34941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSSGSSRTCVGKYELGRTLGEGTFAKVKFARHLETGENVAIKILDKEKVLKHKMIAQIKREISTMKLIIHPNVIRLYEVMASKTKIYIVLELVTGGELFDKIASKGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDGNGVLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVIDNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLVSLYKKISKADFSCPPWFSSNAKKLIKRILDPNPLTRITIAELHQNEWFKKGYIPTRFEQVDATLDDVDAIFDESGDSRNLVVERREEGPVLPATMNAFDLISTSQGLNLSSLFEKQMGLVKRETRFTSKRPANEIISQIERAAMPLGFDVKKNNHKMKLRGEKTGRKGHLAVTTEVFQVAPSLCMVELRKSGGDTLEFHKFYNNLSTGLKDIIWDTTSEGKSGENSAAAGSS >KJB34942 pep chromosome:Graimondii2_0_v6:6:32874955:32882490:-1 gene:B456_006G092100 transcript:KJB34942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSSGSSRTCVGKYELGRTLGEGTFAKVKFARHLETGENVAIKILDKEKVLKHKMIAQIKREISTMKLIIHPNVIRLYEVMASKTKIYIVLELVTGGELFDKIASKGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDGNGVLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVIDNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLVSLYKKISKADFSCPPWFSSNAKKLIKRILDPNPLTRITIAELHQNEWFKKGYIPTRFEQVDATLDDVDAIFDESGDSRNLVVERREEGPVLPATMNAFDLISTSQGLNLSSLFEKQMGLVKRETRFTSKRPANEIISQIERAAMPLGFDVKKNNHKMKLRGEKTGRKGHLAVTTEVFQVAPSLCMVELRKSGGDTLEFHKLRQAPHNRPDDRCFPKCALVAIQRGILFIYVYFYVGLLNACNKVVYSIHKPQCRYLHTLMF >KJB34940 pep chromosome:Graimondii2_0_v6:6:32874975:32882400:-1 gene:B456_006G092100 transcript:KJB34940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSSGSSRTCVGKYELGRTLGEGTFAKVKFARHLETGENVAIKILDKEKVLKHKMIAQIKREISTMKLIIHPNVIRLYEVMASKTKIYIVLELVTGGELFDKIASKGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDGNGVLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVIDNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLVSLYKKISKADFSCPPWFSSNAKKLIKRILDPNPLTRITIAELHQNEWFKKGYIPTRFEQVDATLDDVDAIFDESGDSRNLVVERREEGPVLPATMNAFDLISTSQGLNLSSLFEKQMGLVKRETRFTSKRPANEIISQIERAAMPLGFDVKKNNHKMKLRGEKTGRKGHLAVTTEVFQVAPSLCMVELRKSGGDTLEFHKFYNNLSTGLKDIIWDTTSEGKSGENSAAAGSS >KJB33937 pep chromosome:Graimondii2_0_v6:6:10908176:10913843:-1 gene:B456_006G039400 transcript:KJB33937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKRDRFAGEYYCGPYEPPGESEGSGSSGRIDMEITASEDSSALKRKCISLNPDKYGTFGVRTEVLSLSKLSQFERKDLMHRLRHELEQIRMLQKKVELQRTNGVTVSSSSDILSCSNGQILPQARDFQKSSMMASGPGKKGNPLSGKARAFNRTFSGKSESAKHASASNTSNMMLMKQCEGLLKRLMSHQYGWVFNQPVDVVKLNIPDYFTVIKQPMDLGTIKKKMASGAYASPLEFHDDVKLTFSNAMTYNPPGNDVHIMADNLNKFFEVRWKNIEKKLPVTGTQLIQSKAPSEDIETSKPMPPAKKRKTTSVTQEIIKEPVKRMMDEEKHNLGRQLESLLAEMPTHIIDFLREHSSNGTESGEEEIEIDIDDLSDDTLFTLKRLLDDYLQEKQKIQARGEPCEIELINESAPSNSSMQQGKGNDQVDEDVDIGGNEPPVSSYPPVEIEKDTDHRTTKSVSSSSSRDSETGSSDSESDDAKPSGSVDAMKVREAVESGAQLDEKTSVDDLHKNQCMDGSDQLEQTSQRKPSSVESDSCQDGDNAPTERQISPEKLYRAALIKNRFADTILKAREKTLIQGDKGDPEKLRREREELEQQRKKEKARLQAEAKAAEDARRRAEAEAAAEARRKRELEREAARKALLEMEKTIEINENSGFLEDLEMLRAAPVDNLPSSVEGTCTDHSVDGLGSFKFGSSNPLEQLGLYMKEDEEEEEGEPPSIPNPMNDAEEGEID >KJB33939 pep chromosome:Graimondii2_0_v6:6:10908210:10913662:-1 gene:B456_006G039400 transcript:KJB33939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKRDRFAGEYYCGPYEPPGESEGSGSSGRIDMEITASEDSSALKRKCISLNPDKYGTFGVRTEVLSLSKLSQFERKDLMHRLRHELEQIRMLQKKVELQRTNGVTVSSSSDILSCSNGQILPQARDFQKSSMMASGPGKKGNPLSGKARAFNRTFSGKSESAKHASASNTSNMMLMKQCEGLLKRLMSHQYGWVFNQPVDVVKLNIPDYFTVIKQPMDLGTIKKKMASGAYASPLEFHDDVKLTFSNAMTYNPPGNDVHIMADNLNKFFEVRWKNIEKKLPVTGTQLIQSKAPSEDIETSKPMPPAKKRKTTSVTQEIIKEPVKRMMDEEKHNLGRQLESLLAEMPTHIIDFLREHSSNGTESGEEEIEIDIDDLSDDTLFTLKRLLDDYLQEKQKIQARGEPCEIELINESAPSNSSMQQGKGNDQVDEDVDIGGNEPPVSSYPPVEIEKDTDHRTTKSVSSSSSRDSETGSSDSESDDAKPSGSVDAMKVREAVESGAQLDEKTSVDDLHKNQCMDGSDQLEQTSQRKPSSVESDSCQDGDNAPTERQISPEKLYRAALIKNRFADTILKAREKTLIQGDKGDPEKLRREREELEQQRKKGTVASRSQGC >KJB33938 pep chromosome:Graimondii2_0_v6:6:10908210:10913284:-1 gene:B456_006G039400 transcript:KJB33938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKRDRFAGEYYCGPYEPPGESEGSGSSGRIDMEITASEDSSALKRKCISLNPDKYGTFGVRTEVLSLSKLSQFERKDLMHRLRHELEQIRMLQKKVELQRTNGVTVSSSSDILSCSNGQILPQARDFQKSSMMASGPGKKGNPLSGKARAFNRTFSGKSESAKHASASNTSNMMLMKQCEGLLKRLMSHQYGWVFNQPVDVVKLNIPDYFTVIKQPMDLGTIKKKMASGAYASPLEFHDDVKLTFSNAMTYNPPGNDVHIMADNLNKFFEVRWKNIEKKLPVTGTQLIQSKAPSEDIETSKPMPPAKKRKTTSVTQEIIKEPVKRMMDEEKHNLGRQLESLLAEMPTHIIDFLREHSSNGTESGEEEIEIDIDDLSDDTLFTLKRLLDDYLQEKQKIQARGEPCEIELINESAPSNSSMQQGKGNDQVDEDVDIGGNEPPVSSYPPVEIEKDTDHRTTKSVSSSSSRDSETGSSDSESDDAKPSGSVDAMKVREAVESGAQLDEKTSVDDLHKNQCMDGSDQLEQTSQRKPSSVESDSCQDGDNAPTERQISPEKLYRAALIKNRFADTILKAREKTLIQGDKGDPEKLRREREELEQQRKKEKARLQAEAKAAEDARRRAEAEAAAEARRKRELEREAARKALLEMEKTIEINENSGFLEDLEMLRAAPVDNLPSSVEGTCTDHSVDGLGSFKFGSSNPLEQLGLYMKEDEEEEEGEPPSIPNPMNDAEEGEID >KJB33940 pep chromosome:Graimondii2_0_v6:6:10908814:10913662:-1 gene:B456_006G039400 transcript:KJB33940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKRDRFAGEYYCGPYEPPGESEGSGSSGRIDMEITASEDSSALKRKCISLNPDKYGTFGVRTEVLSLSKLSQFERKDLMHRLRHELEQIRMLQKKVELQRTNGVTVSSSSDILSCSNGQILPQARDFQKSSMMASGPGKKGNPLSGKARAFNRTFSGKSESAKHASASNTSNMMLMKQCEGLLKRLMSHQYGWVFNQPVDVVKLNIPDYFTVIKQPMDLGTIKKKMASGAYASPLEFHDDVKLTFSNAMTYNPPGNDVHIMADNLNKFFEVRWKNIEKKLPVTGTQLIQSKAPSEDIETSKPMPPAKKRKTTSVTQEIIKEPVKRMMDEEKHNLGRQLESLLAEMPTHIIDFLREHSSNGTESGEEEIEIDIDDLSDDTLFTLKRLLDDYLQEKQKIQARGEPCEIELINESAPSNSSMQQGKGNDQVDEDVDIGGNEPPVSSYPPVEIEKDTDHRTTKSVSSSSSRDSETGSSDSESDDAKPSGSVDAMKVREAVESGAQLDEKTSVDDLHKNQCMDGSDQLEQTSQRKPSSVESDSCQDGDNAPTERQISPEKLYRAALIKNRFADTILKAREKTLIQGDKGDPEKLRREREELEQQRKKGLNFNLVFLCVFNVLICMFPKWTSLVIYMFAYFNVGQKRHGCKQKPRLLKMLEDELKQKLQLRLDGRGSLSEKQHGRHCWRY >KJB36711 pep chromosome:Graimondii2_0_v6:6:43161741:43164062:1 gene:B456_006G172500 transcript:KJB36711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNDSLRVASLWHSMHAISQQLSPTTGCSGIELLEADTFDLHCFQSLTVCEPGTQHMEALLKVVYELYTDYVLKNPFYEMEMPIRCELFDINLTQAVQKDRVALLGR >KJB36713 pep chromosome:Graimondii2_0_v6:6:43161741:43164062:1 gene:B456_006G172500 transcript:KJB36713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNDSLRVASLWHSMHAISQQLSPTTGCSGIELLEADTFDLHCFQSLTGTKFFVVCEPGTQHMEALLKVVYELYTDYVLKNPFYEMEMPIRCELFDINLTQAVQKDRVALLGR >KJB36714 pep chromosome:Graimondii2_0_v6:6:43161741:43164123:1 gene:B456_006G172500 transcript:KJB36714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIYSLYIINKSGGLIFYKDYGSKGRMDTNDSLRVASLWHSMHAISQQLSPTTGCSGIELLEADTFDLHCFQSLTGTKFFVVCEPGTQHMEALLKVVYELYTDYVLKNPFYEMEMPIRCELFDINLTQAVQKDRVALLGR >KJB36712 pep chromosome:Graimondii2_0_v6:6:43161741:43164062:1 gene:B456_006G172500 transcript:KJB36712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIYSLYIINKSGGLIFYKGRMDTNDSLRVASLWHSMHAISQQLSPTTGCSGIELLEADTFDLHCFQSLTGTKFFVVCEPGTQHMEALLKVVYELYTDYVLKNPFYEMEMPIRCELFDINLTQAVQKDRVALLGR >KJB36345 pep chromosome:Graimondii2_0_v6:6:41262744:41268991:-1 gene:B456_006G153900 transcript:KJB36345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGECKRAKTGPNGELPAEAGVIPRAVQQIFDTLEGQNAEYSVKVTFLELYNEEITDLLAPEEISKVALEDKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSTLIKDLYGEIERLKGEVYAAREKNGVYIPKERYYQEESERKAMAEQIEQMGVLLETHQKQLQELQDKYVAQVRQCSDLTGKLEMTEKNLNETVKLLANSEEELKKCRYELEEKEFIICEQKKAENALAHQACVLRSDLEKALKDNASLFLKIGREDKLNSDNRVVVNNFQVELAQKIGSLCNLVASSVSQQNEHLQSVEKLCCCFTDIHDKAILEMKKKVTAARALHVSHIEAIQNVVRLHKASSNATLDEVSTLASSNAHSIDEFLSSEASKAASMFDDLRGTLATHQGEMAIFAKELRQRFHVSIEQTKEISDYTSGILDKLSEETMRVENHAVEADEIQMKSIASFQKAYEEQSKFDAEKLIADMTNLVYSHVRRQKELVDARLVDIKDSAVANKTFLDGHVSSIEGITTDAKRKWQAFSMQAENDAKDAADYSAAKHCRIEELLQHCASTAESAIKHGKCTQESVNEMGRKNVSDMVSLIRSASDANEQHDAEIDSARVAAEQDGLKNTDDTLQYIDSMSEQELGITRGIVDSIKAHSKSLETFQDGHSSQASSIKHRAEETFQLRYMDYEPSGTTPIRNDQDVPSKGTIESLRAMPMETLVEEFRENNSYESFEPKELKPSLIPRSPLTQIN >KJB36346 pep chromosome:Graimondii2_0_v6:6:41262744:41269385:-1 gene:B456_006G153900 transcript:KJB36346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRHEKEKGVNVQVLLRCRPFSEEELRNNAPQVVKCNEYQREVAVSQNIAGKHFDRVFTFDKVFGPSAQQKDLYEQAVVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRAKTGPNGELPAEAGVIPRAVQQIFDTLEGQNAEYSVKVTFLELYNEEITDLLAPEEISKVALEDKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSTLIKDLYGEIERLKGEVYAAREKNGVYIPKERYYQEESERKAMAEQIEQMGVLLETHQKQLQELQDKYVAQVRQCSDLTGKLEMTEKNLNETVKLLANSEEELKKCRYELEEKEFIICEQKKAENALAHQACVLRSDLEKALKDNASLFLKIGREDKLNSDNRVVVNNFQVELAQKIGSLCNLVASSVSQQNEHLQSVEKLCCCFTDIHDKAILEMKKKVTAARALHVSHIEAIQNVVRLHKASSNATLDEVSTLASSNAHSIDEFLSSEASKAASMFDDLRGTLATHQGEMAIFAKELRQRFHVSIEQTKEISDYTSGILDKLSEETMRVENHAVEADEIQMKSIASFQKAYEEQSKFDAEKLIADMTNLVYSHVRRQKELVDARLVDIKDSAVANKTFLDGHVSSIEGITTDAKRKWQAFSMQAENDAKDAADYSAAKHCRIEELLQHCASTAESAIKHGKCTQESVNEMGRKNVSDMVSLIRSASDANEQHDAEIDSARVAAEQDGLKNTDDTLQYIDSMSEQELGITRGIVDSIKAHSKSLETFQDGHSSQASSIKHRAEETFQLRYMDYEPSGTTPIRNDQDVPSKGTIESLRAMPMETLVEEFRENNSYESFEPKELKPSLIPRSPLTQIN >KJB33414 pep chromosome:Graimondii2_0_v6:6:2218916:2225354:1 gene:B456_006G009900 transcript:KJB33414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETATRPATPSAQVVGNAFVEQYYHILYNSPELAHRFYHDSSVISRPDSSGVMTSVTTMQGINEKILSFDYTNHKAEINTADAQKSYQEGVTVLVTGCLTGKDNMKRKFAQSFFLAPQDNGYFVLNDVLRYVGDSEPLEKHKVNGVNDAPRVPPTPEPELTQVLEPSAPDPATALVEENQNVAEKVYEPSDQEKQLVDEDEAVVKPQSNSNGDDITVVVESASSSAQEDSAKKSYASIVKVPKGSPGPIRVYLPSNTTKVTPKKTEKQPPISAAPATPEASAPSSADAPENDNIPEEVEGHSIYIRNLPFNVTATQLEQEFKKFGPIKQGGVQVRNNKQQGYCFGFVEFLSLNSMNDAIQASPVTIGERQAVVEIKRTSTRVVSGRGSFPGRRGAFRNDGFRGRNFGGNRSFGRNEYGNRGEYSSRPRGGSTGREGRGRGNRSSRPNQNMAST >KJB33412 pep chromosome:Graimondii2_0_v6:6:2218916:2226774:1 gene:B456_006G009900 transcript:KJB33412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETATRPATPSAQVVGNAFVEQYYHILYNSPELAHRFYHDSSVISRPDSSGVMTSVTTMQGINEKILSFDYTNHKAEINTADAQKSYQEGVTVLVTGCLTGKDNMKRKFAQSFFLAPQDNGYFVLNDVLRYVGDSEPLEKHKVNGVNDAPRVPPTPEPELTQVLEPSAPDPATALVEENQNVAEKVYEPSDQEKQLVDEDEAVVKPQSNSNGDDITVVVESASSSAQEDSAKKSYASIVKVPKGSPGPIRVYLPSNTTKVTPKKTEKQPPISAAPATPEASAPSSADAPENDNIPEEVEGHSIYIRNLPFNVTATQLEQEFKKFGPIKQGGVQVRNNKQQGYCFGFVEFLSLNSMNDAIQASPVTIGERQAVVEIKRTSTRVVSGRGSFPGRRGAFRNDGFRGRNFGGNRSFGRNEYGNRGEYSSRPRGGSTGREGRGRGNRSSRPNQNMAST >KJB33413 pep chromosome:Graimondii2_0_v6:6:2218916:2225354:1 gene:B456_006G009900 transcript:KJB33413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETATRPATPSAQVVGNAFVEQYYHILYNSPELAHRFYHDSSVISRPDSSGVMTSVTTMQGINEKILSFDYTNHKAEINTADAQKSYQEGVTVLVTGCLTGKDNMKRKFAQSFFLAPQDNGYFVLNDVLRYVGDSEPLEKHKVNGVNDAPRVPPTPEPELTQVLEPSAPDPATALVEENQNVAEKVYEPSDQEKQLVDEDEAVVKPQSNSNGDDITVVVESASSSAQEDSAKKSYASIVKVPKGSPGPIRVYLPSNTTKVTPKKTEKQPPISAAPATPEASAPSSADAPENDNIPEEVEGHSIYIRNLPFNVTATQLEQEFKKFGPIKQGGVQVRNNKQQGYCFGFVEFLSLNSMNDAIQFTLTGFTSYDRRASSCCGD >KJB33415 pep chromosome:Graimondii2_0_v6:6:2218876:2225696:1 gene:B456_006G009900 transcript:KJB33415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETATRPATPSAQVVGNAFVEQYYHILYNSPELAHRFYHDSSVISRPDSSGVMTSVTTMQGINEKILSFDYTNHKAEINTADAQKSYQEGVTVLVTGCLTGKDNMKRKFAQSFFLAPQDNGYFVLNDVLRYVGDSEPLEKHKVNGVNDAPRVPPTPEPELTQVLEPSAPDPATALVEENQNVAEKVYEPSDQEKQLVDEDEAVVKPQSNSNGDDITVVVESASSSAQEDSAKKSYASIVKVPKGSPGPIRVYLPSNTTKVTPKKTEKQPPISAAPATPEASAPSSADAPENDNIPEEVEGHSIYIRNLPFNVTATQLEQEFKKFGPIKQGGVQVRNNKQQGYCFGFVEFLSLNSMNDAIQASPVTIGERQAVVEIKRTSTRVVSGRGSFPGRRGAFRNDGFRGRNFGGNRSFGRNEYGNRGEYSSRPRGGSTGREGRGRGNRSSRPNQNMAST >KJB38643 pep chromosome:Graimondii2_0_v6:6:50594683:50596356:-1 gene:B456_006G267700 transcript:KJB38643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARTVKDVSPHEFVKAYAAHLKRSGKIELPPWTDIVKGGKLKELPPYDPDWYYIRAASMARKIYLRGGLGVGAFRRIYGGAKRNGSRPRHFCKSSGSIARHILQQLQNVYIVDLDTKG >KJB38642 pep chromosome:Graimondii2_0_v6:6:50593143:50596464:-1 gene:B456_006G267700 transcript:KJB38642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARTVKDVSPHEFVKAYAAHLKRSGKIELPPWTDIVKGGKLKELPPYDPDWYYIRAASMARKIYLRGGLGVGAFRRIYGGAKRNGSRPRHFCKSSGSIARHILQQLQNVYIVDLDTKGGRKITSNGQRDLDQVAGRIAVAL >KJB35846 pep chromosome:Graimondii2_0_v6:6:38662359:38665817:-1 gene:B456_006G132300 transcript:KJB35846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLACLVCHSVESPSHSFRSYSVSSSDNEGRCSAVANCLARKTSLPSARPAITTTSKVAPQPNFQNSVGMAGPPRLVRSRAVRRDLVRDWNFEEVVMER >KJB35847 pep chromosome:Graimondii2_0_v6:6:38662342:38665880:-1 gene:B456_006G132300 transcript:KJB35847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLACLVCHSVESPSHSFRSYSVSSSDNEGRCSAVANCLARKTSLPSARPAITTTSKVAPQPNFQNSVGMAGPPRLVRSRAVRRDLVRDWNFEEVVMER >KJB35845 pep chromosome:Graimondii2_0_v6:6:38662342:38666294:-1 gene:B456_006G132300 transcript:KJB35845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLACLVCHSVESPSHSFRSYSVSSSDNEGRCSAVANCLARKTSLPSARPAITTTSKVAPQPNFQNSVGMAGPPRLVRSRAVRRDLVRDWNFEEVVMER >KJB37743 pep chromosome:Graimondii2_0_v6:6:47106546:47125416:1 gene:B456_006G218700 transcript:KJB37743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPSSILVGSHVWVEDPDVAWIDGEVKEVKGEEITVDSTSGKTIVAKTSNVYPKDPEFPSCGVDDMTRLAYLHEPGVLQNLKCRYDINEIYTYTGNILIAVNPFRRLPHLYSSHMMEQYKGAAFGELSPHPFAVADASYRHMINEGISQSILVSGESGAGKTESTKMLMRYLAYMGGRVSKAEERSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDPRGQISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEEAEKYKLGNPRTFHYLNQSNCYELDGVDSSKEYLLTKRAMDVVGISQGEQDGIFRVVAAILHLGNIEFKKGQEIDSAEPKDDKSRFHLKTAAELLMCNEKALEDSLCKRVMVTRDESITKSLDPVSAALSRDALAKIVYSKLFDWLVDKINVSISQDPESKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEIDWSYIEFIDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKDNKRFSKPKLSRTDFTICHYAGDVTYQTELFLDKNKDYVVSEHQALLNASECSFVSSLFPPSPEESSKTTKFSSIGSSFKQQLQALLETLSATEPHYIRCVKPNNALKPGIFENQNVLQQLRCGGVMEAIRISCAGFPSRKSFREFVARFSLLAPEVLSKRNNYTEVTASKKILEKSKLSGYQIGKTKVFLRAGQMAELDALRTEILGRSASLIQRKVRTYLCRKRFILLRLSAIQIQALCRGQVARHQYEEMRREAAALNIQKHLRKFLARKAYKNLYFSAVSIQTGMRGMIARSELLSRKQTRAATVIQSHCRRFLANRRYLRLKKAAITTQCAWRARVARKELRKLRMAARETGALQEAKTKLEKEVEELTWRLQLEKRTRVDLEESKKQESARFESTLQKMQLEFEESKKKESARFESTTQKMQLEFEESKKQERARFESTLHRKELQFQQLQFELQKLQLEFEESKKQERETLESTLHKKELEFQESKKQERATFESTLYKKELEFQELQVEFQKMQLELEETKELLIKEREAAKKIAEQVPAVQEIPVIDDELVNKLTAENEQLKILDMGAEDQKPQQQTMPSSLSTTMSEVTSAEIPVIDNESMNKPTVIDDESINRLTAENEQLKALVSTLEQKINETERKYEETKKLSDERLKQTLEAESKIIELKTTVQRLEEKILDMETDQKSQQQALLSTPSRKMPEPLENGHHAQLSSGPSTRLGREDSKLRRSQTEKQQPESVDDLLKCVAQNLGFSQEKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIEVMGSALEDQDNNDHMAYWLSNTSSLLFLLQRSLKASGSMQKPPAGASFFARMTKSFRSSSANLQAGVLSQVEAKYPALLFKQQLSAYVEKIYGIIRDNLKKNLSPLISGCIQVPRISKGAAFQKCEGLQGYHSPAGLWQSIIECLNKMMGTLKDNFVPPILVQNIFTQTFAYINVQLFNSLLLRRECCTFSNGEYVKSGLAELELWCAEADVEYVGPSWDELKHTRQAVGFLVINQKSKISFDEISKDLCSALSVQQLYRVCTLYRDDNYNTQSVSPDVLAHMKELMSDDTEDDGGSSFLLEDDISIPFTMEDISNCHQVKEFASVRPAEELMTDPAFQFLQD >KJB37744 pep chromosome:Graimondii2_0_v6:6:47106546:47125416:1 gene:B456_006G218700 transcript:KJB37744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPSSILVGSHVWVEDPDVAWIDGEVKEVKGEEITVDSTSGKTIVAKTSNVYPKDPEFPSCGVDDMTRLAYLHEPGVLQNLKCRYDINEIYTYTGNILIAVNPFRRLPHLYSSHMMEQYKGAAFGELSPHPFAVADASYRHMINEGISQSILVSGESGAGKTESTKMLMRYLAYMGGRVSKAEERSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDPRGQISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEEAEKYKLGNPRTFHYLNQSNCYELDGVDSSKEYLLTKRAMDVVGISQGEQDGIFRVVAAILHLGNIEFKKGQEIDSAEPKDDKSRFHLKTAAELLMCNEKALEDSLCKRVMVTRDESITKSLDPVSAALSRDALAKIVYSKLFDWLVDKINVSISQDPESKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEIDWSYIEFIDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKDNKRFSKPKLSRTDFTICHYAGDVTYQTELFLDKNKDYVVSEHQALLNASECSFVSSLFPPSPEESSKTTKFSSIGSSFKQQLQALLETLSATEPHYIRCVKPNNALKPGIFENQNVLQQLRCGGVMEAIRISCAGFPSRKSFREFVARFSLLAPEVLSKRNNYTEVTASKKILEKSKLSGYQIGKTKVFLRAGQMAELDALRTEILGRSASLIQRKVRTYLCRKRFILLRLSAIQIQALCRGQVARHQYEEMRREAAALNIQKHLRKFLARKAYKNLYFSAVSIQTGMRGMIARSELLSRKQTRAATVIQSHCRRFLANRRYLRLKKAAITTQCAWRARVARKELRKLRMAARETGALQEAKTKLEKEVEELTWRLQLEKRTRVDLEESKKQESARFESTLQKMQLEFEESKKKESARFESTTQKMQLEFEESKKQERARFESTLHRKELQFQQLQFELQKLQLEFEESKKQERETLESTLHKKELEFQESKKQERATFESTLYKKELEFQELQVEFQKMQLELEETKELLIKEREAAKKIAEQVPAVQEIPVIDDELVNKLTAENEQLKILDMGAEDQKPQQQTMPSSLSTTMSEVTSAEIPVIDNESMNKPTVIDDESINRLTAENEQLKALVSTLEQKINETERKYEETKKLSDERLKQTLEAESKIIELKTTVQRLEEKILDMETDQKSQQQALLSTPSRKMPEPLENGHHAQLSSGPSTRLGREDSKLRRSQTEKQQPESVDDLLKCVAQNLGFSQEKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIEVMGSALEDQDNNDHMAYWLSNTSSLLFLLQRSLKASGSMQKPPAGASFFARMTKSFRSSSANLQAGVLSQVEAKYPALLFKQQLSAYVEKIYGIIRDNLKKNLSPLISGCIQVPRISKGAAFQKCEGLQGYHSPAGLWQSIIECLNKMMGTLKDNFVPPILVQNIFTQTFAYINVQLFNSLLLRRECCTFSNGEYVKSGLAELELWCAEADVEYVGPSWDELKHTRQAVGFLVINQKSKISFDEISKDLCSALSVQQLYRVCTLYRDDNYNTQSVSPDVLAHMKELMSDDTEDDGGSSFLLEDDISIPFTMEDISNCHQVKEFASVRPAEELMTDPAFQFLQD >KJB37742 pep chromosome:Graimondii2_0_v6:6:47106546:47125416:1 gene:B456_006G218700 transcript:KJB37742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPSSILVGSHVWVEDPDVAWIDGEVKEVKGEEITVDSTSGKTIVAKTSNVYPKDPEFPSCGVDDMTRLAYLHEPGVLQNLKCRYDINEIYTYTGNILIAVNPFRRLPHLYSSHMMEQYKGAAFGELSPHPFAVADASYRHMINEGISQSILVSGESGAGKTESTKMLMRYLAYMGGRVSKAEERSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDPRGQISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEEAEKYKLGNPRTFHYLNQSNCYELDGVDSSKEYLLTKRAMDVVGISQGEQDGIFRVVAAILHLGNIEFKKGQEIDSAEPKDDKSRFHLKTAAELLMCNEKALEDSLCKRVMVTRDESITKSLDPVSAALSRDALAKIVYSKLFDWLVDKINVSISQDPESKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEIDWSYIEFIDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKDNKRFSKPKLSRTDFTICHYAGDVTYQTELFLDKNKDYVVSEHQALLNASECSFVSSLFPPSPEESSKTTKFSSIGSSFKQQLQALLETLSATEPHYIRCVKPNNALKPGIFENQNVLQQLRCGGVMEAIRISCAGFPSRKSFREFVARFSLLAPEVLSKRNNYTEVTASKKILEKSKLSGYQIGKTKVFLRAGQMAELDALRTEILGRSASLIQRKVRTYLCRKRFILLRLSAIQIQALCRGQVARHQYEEMRREAAALNIQKHLRKFLARKAYKNLYFSAVSIQTGMRGMIARSELLSRKQTRAATVIQSHCRRFLANRRYLRLKKAAITTQCAWRARVARKELRKLRMAARETGALQEAKTKLEKEVEELTWRLQLEKRTRVDLEESKKQESARFESTLQKMQLEFEESKKKESARFESTTQKMQLEFEESKKQERARFESTLHRKELQFQQLQFELQKLQLEFEESKKQERETLESTLHKKELEFQESKKQERATFESTLYKKELEFQELQVEFQKMQLELEETKELLIKEREAAKKIAEQVPAVQEIPVIDDELVNKLTAENEQLKALGSSLEQKINETERKYEETNKLSEERLKQGLEAESKIVELKTEMQRLKKKILDMGAEDQKPQQQAMPSALSTNMSEVTSAPLENGHHAQLSSGPSTRLGREDSKLRRSQTEKQQPESVDDLLKCVAQNLGFSQEKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIEVMGSALEDQDNNDHMAYWLSNTSSLLFLLQRSLKASGSMQKPPAGASFFARMTKSFRSSSANLQAGVLSQVEAKYPALLFKQQLSAYVEKIYGIIRDNLKKNLSPLISGCIQVPRISKGAAFQKCEGLQGYHSPAGLWQSIIECLNKMMGTLKDNFVPPILVQNIFTQTFAYINVQLFNSLLLRRECCTFSNGEYVKSGLAELELWCAEADVEYVGPSWDELKHTRQAVGFLVINQKSKISFDEISKDLCSALSVQQLYRVCTLYRDDNYNTQSVSPDVLAHMKELMSDDTEDDGGSSFLLEDDISIPFTMEDISNCHQVKEFASVRPAEELMTDPAFQFLQD >KJB38307 pep chromosome:Graimondii2_0_v6:6:50888195:50891921:-1 gene:B456_006G272400 transcript:KJB38307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKRGGKVVALAKKKQPEKDVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKLLLKYRPEDKAAKKERLLKKAQAEAEGKSAESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSTVHKKTAAVLCLTTVKNEDKLEFSRVLEAIKANFNDKYEENRKKWGGGVMGSKSQAKTKAKEKLLAKEAAQRMT >KJB38309 pep chromosome:Graimondii2_0_v6:6:50889268:50891889:-1 gene:B456_006G272400 transcript:KJB38309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKRGGKVVALAKKKQPEKDVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKLLLKYRPEDKAAKKERLLKKAQAEAEGKSAESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSTVHKKTAAVLCLTTVKNEDKLEFSRVLEAIKVRLHLLYFLTKFYKLWKISLSMIACVIASTTLST >KJB38308 pep chromosome:Graimondii2_0_v6:6:50890050:50891786:-1 gene:B456_006G272400 transcript:KJB38308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKRGGKVVALAKKKQPEKDVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKLLLKYRPEDKAAKKERLLKKAQAEAEGKSAESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSVLFFLSVSFLQIIEFAELVLLICV >KJB33055 pep chromosome:Graimondii2_0_v6:6:47213260:47213897:1 gene:B456_006G219700 transcript:KJB33055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYGPIHLNLQNNLSHPTWFKQTTAFGVSFIFHVTLLIHIESHSQLSDLGFFLPPLLFQSLLFSFYQCSLCFFFFLFYVPPCICISLNAPLLTWFMFSLQIWVN >KJB38063 pep chromosome:Graimondii2_0_v6:6:48344268:48348174:-1 gene:B456_006G235500 transcript:KJB38063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKLRVLIWVCMLLWGNCLGSFVVEKNSLKVTSWAKSIVGVYDSSIGSFGVPKHSGTLVGMVVYPKANKRGCINFDQFGMSFKSRRGALPIVLLLDRGDCFFTLKALNAQQAGAAAILIADDFNEPLITLNTPEETSAFTGYVQDIAIPSALITKSLGDRIKEALSNRDHVDINLHWREPLLRPDELVDYEFWTNGDYECGPTCDSQLEFINNFKGAAQILEQKGYTRFTPHYMTWYCPASFILAEQCKTQCINHGRYCAMDLKHYPNRRYDGKDIVIQNLRQACLFKVANESGKPWLWWDYVTDFAIRCKWIDKYTKECADKVIRSLGIDVTKIDDCIGDTEADAQNPILEAEQKAQIGEGNRGDVTMLPTLMINNRQYRGKLEKGAVLKAICAGFQDATEQAICLSEVLTDIETNRCSENNGGCWEDNIANITACRDTIRGRVCECPVVNGVKYYGDGYTHCEILGLGVAGAAGYAYYKYKILVRSLLRYLLPHRLKVLECEVIFIC >KJB35926 pep chromosome:Graimondii2_0_v6:6:38916521:38921685:1 gene:B456_006G134000 transcript:KJB35926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKLQAEADLDYSMESETMSTTTQSSLDNQGGRNKDKIQVEKKMKKLRSIKLSRVPSTRKGNQFRSKLSGYAASSEQSTPIDMSDASPNHIHATKSENFQVLPTAESGFKLLRSVTRKSSMKFRRPQMKKFSGGTELKKKGKKSSRREVNSQDSSTHYLNETSSDMKKEQLQASSCYSGPSFDSSDQDNNISANAKQLAYPGNKSVRVVRTSSLGPLKKLTKMASLRSKRTKKCSSISDPNVERATCSSTLKASKFPDHLEIKPGGNESDGTAVLNVCRYSYCSLHGHHHGDKPPLRRFVLMRRRAAKSQKSLKPDHRQTSGNRKKGAQTEKRVPDGDLGVAFQQTVDIREIPSIPATEGKEGSDFVDLAESVPGESSCPSHEEHLQQSNNPLKVGQQSPGTFQAFKHIDVDCSGIGEQQKAKCDTPGTNIEETKRINNGDRKSIHAPGRPEGGDVTSQEFGDPSQFANLSLKPDDTYNTCVPMGKESHKDINDAPVTGMMEEPASTIEDKKEDSDLDSGILQPRDPMASTSSTDVARKTEMENQKNFTFWKLIYRHMVTGLDAEPEIQTPRPGTNAEEQVDDLHHTHGKNDSCQEISQADKATSINDHDASNRKLEFSQSDAIKLVQQAFDKILSEIPDHSSDDQTIVSEKTSDQELLYQKQDEGKENISTSSNSIEECMVQNQEEMKIKSDKEIASEEVKAAPKEGKMSDKQMPSSWSNLKKIIILRRFVKSLEKVRNLKPKTIPYLPTAKDPEAQKIQLRHQTEKERKNAEEWMLDHALRQVISTLAPSQKRKVAMLVQAFETVIPAENGDDVRSNAAASSPTTSVQAYNEFSVDNGAGTPNENGSEISPGKLLQSEMDSTDDRGQVTVSNIAYQKLTKSSPDSKETSLICSSKEQPLCVAGSEMSGTDMNKEDTNAVGENNGNEVSIVDLSLSELEKPRPADKSLTDEDAIRTSNDKIFPVNEEVIPKEKISAPSSEVCNSGSEFDIKEMDLESSDSSNSPDQQPGTPEWPTEIGEGAQPKYKFLHSPLEKPESNFAADISKSERQKYTRLWYLIYKHMVSGGATENGSQRLQSLSDEEVQGDDASKHSRENDTDGHSSFAAGQDMIENYSPGCNNEIIKLVEEAIDEIPLPEIQQDTSDNQSVIGDVVPDLELPEKKHGQEEVKIISSSTGPAKETSEEAKTIRTELCSTLNSKEKTWSSKNVNTKMEAKGGTDAGIQSKKRVQRNWSNLKKLILLRRFVKALEKVREFNPRGPRYLPVDPAAESEKVLLRHQNMGDRKNAEEWMLDYALQQVVAKLTPERKRRVGLLVEAFETVIPAIS >KJB35927 pep chromosome:Graimondii2_0_v6:6:38916521:38921685:1 gene:B456_006G134000 transcript:KJB35927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKLQAEADLDYSMESETMSTTTQSSLDNQGGRNKDKIQVEKKMKKLRSIKLSRVPSTRKGNQFRSKLSGYAASSEQSTPIDMSDASPNHIHATKSENFQVLPTAESGFKLLRSVTRKSSMKFRRPQMKKFSGGTELKKKGKKSSRREVNSQDSSTHYLNETSSDMKKEQLQASSCYSGPSFDSSDQDNNISANAKQLAYPGNKSVRVVRTSSLGPLKKLTKMASLRSKRTKKCSSISDPNVERATCSSTLKASKFPDHLEIKPGGNESDGTAVLNVCRYSYCSLHGHHHGDKPPLRRFVLMRRRAAKSQKSLKPDHRQTSGNRKKGAQTEKRVPDGDLGVAFQQTVDIREIPSIPATEGKEGSDFVDLAESVPGESSCPSHEEHLQQSNNPLKVGQQSPGTFQAFKHIDVDCSGIGEQQKAKCDTPGTNIEETKRINNGDRKSIHAPGRPEGGDVTSQEFGDPSQFANLSLKPDDTYNTCVPMGKESHKDINDAPVTGMMEEPASTIEDKKEDSDLDSGILQPRDPMASTSSTDVARKTEMENQKNFTFWKLIYRHMVTGLDAEPEIQTPRPGTNAEEQVDDLHHTHGKNDSCQEISQADKATSINDHDASNRKLEFSQSDAIKLVQQAFDKILSEIPDHSSDDQTIVSEKTSDQELLYQKQDEGKENISTSSNSIEECMVQNQEEMKIKSDKEIASEEVKAAPKEGKMSDKQMPSSWSNLKKIIILRRFVKSLEKVRNLKPKTIPYLPTAKDPEAQKIQLRHQTEKERKNAEEWMLDHALRQVISTLAPSQKRKVAMLVQAFETVIPAENGDDVRSNAAASSPTTSVQAYNEFSVDNGAGTPNENGSEISPGKLLQSEMDSTDDRGQVTVSNIAYQKLTKSSPDSKETSLICSSKEQPLCVAGSEMSGTDMNKEDTNAVGENNGNEVSIVDLSLSELEKPRPADKSLTDEDAIRTSNDKIFPVNEEVIPKEKISAPSSEVCNSGSEFDIKEMDLESSDSSNSPDQQPGTPEWPTEIGEGAQPKYKFLHSPLEKPESNFAADISKSERQKYTRLWYLIYKHMVSGGATENGSQRLQSLSDEEVQGDDASKHSRENDTDGHSSFAAGQDMIENYSPGCNNEIIKLVEEAIDEIPLPEIQQDTSDNQSVIGDVVPDLELPEKKHGQEEVKIISSSTGPAKETSEEAKTIRTELCSTLNSKEKTWSSKNVNTKMEAKGGTDAGIQSKKRVQRNWSNLKKLILLRRFVKALEKVREFNPRGPRYLPVDPAAESEKVLLRHQNMGDRKNAEEWMLDYALQQVVAKLTPERKRRVGLLVEAFETVIPAIS >KJB35787 pep chromosome:Graimondii2_0_v6:6:38169913:38173754:-1 gene:B456_006G128500 transcript:KJB35787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTAPPPPYSYVSQPPPFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHKNSKFTGEAFCVLGYPLQVDFALQKNRQNMGRRYVEVFRSKRQEYYKAIANEVADARGGSPRRNAPRAKSSDEAKESAEHTGILRLRGLPFSAGKDDIMEFFKDFVLSEDAVHIVLNSEGRPSGEAFVEFTNAEDSKAAMSKDRMTLGSRYIELFPSFSEEMEEAISRGR >KJB35786 pep chromosome:Graimondii2_0_v6:6:38170311:38173580:-1 gene:B456_006G128500 transcript:KJB35786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRGKYTDGADGREMGAKRQRIIDQGPSFYGNSPGSSFMYTAPPPPYSYVSQPPPFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHKNSKFTGEAFCVLGYPLQVDFALQKNRQNMGRRYVEVFRSKRQEYYKAIANEVADARGGSPRRNAPRAKSSDEAKESAEHTGILRLRGLPFSAGKDDIMEFFKDFVLSEDAVHIVLNSEGRPSGEAFVEFTNAEDSKAAMSKDRMTLGSRYIELFPSFSEEMEEAISRGR >KJB35785 pep chromosome:Graimondii2_0_v6:6:38169819:38173879:-1 gene:B456_006G128500 transcript:KJB35785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILQVQASCILPLLLLTHMLANLHLSLLFDFVVFPSIAQRLMWLNSSMVDFALQKNRQNMGRRYVEVFRSKRQEYYKAIANEVADARGGSPRRNAPRAKSSDEAKESAEHTGILRLRGLPFSAGKDDIMEFFKDFVLSEDAVHIVLNSEGRPSGEAFVEFTNAEDSKAAMSKDRMTLGSRYIELFPSFSEEMEEAISRGR >KJB36815 pep chromosome:Graimondii2_0_v6:6:43509609:43510478:-1 gene:B456_006G177700 transcript:KJB36815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQRPPWVREEPATRGKRLRPSHRPSATKGTMLVQQCSISLALQTREAKVVVVPPKKTGEANRTKPNVANRRGGGASKAIGEKPLIFGQRPTKERGERFGHYCVRKARKGRQTTAGTRPIMAEERKVVCRICSDLNLIYYKISSKRHSFN >KJB36663 pep chromosome:Graimondii2_0_v6:6:42952672:42953260:1 gene:B456_006G169700 transcript:KJB36663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSNLCLPCTLPLCRLLYTGEDRVICILRFISTCLFVESSPWGADYLFLTAIQNLLHLKQEFKHCLTQANCHLEALSSLHGRK >KJB37179 pep chromosome:Graimondii2_0_v6:6:44982330:44983162:-1 gene:B456_006G192900 transcript:KJB37179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWILNFLLLLLVSIINFGRALLRLQMFTQDKASESQNEMSESKSCGHFLRFCCCCCAAFKIHFLM >KJB38523 pep chromosome:Graimondii2_0_v6:6:50015525:50018548:-1 gene:B456_006G2592002 transcript:KJB38523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDSITDIFYEKHLGELIDVIILSCPSDEADQSTSNLARNAGKVESQNSAKPEILSSICELLCFCVLHHPYRIKCNFILNNVIEKVLLLTRRREKYLVVAAVRFVRTILTSHDEHLINHIVKNNLLKPVIGAFVANGNRYNVLNSAILELFEYIRKENMKLLVKYIVDSFWNQLVKFENLASIHSLKIKYEQCLENCGTKMDVNAPDPRKRIDERALEKEEEDYFNEDSDEDDTTSASHPRKVQPQPVSSNGLEANRSSLSPRSGGLVDYEDDEDDEDYKPPPPRKQTETSEEDEGMLESIRSKRKLNPKEKEHELSKKQRLGKSAKSRDSVFAALCSTLSQAVLPSKKTANTIHLSTRSAEGNEGSGEENHLEKESSSPDDNSSEEDNHRDKGSPRNCSDNRQLTGEDSPLIPPKSSPEMAVNGS >KJB38525 pep chromosome:Graimondii2_0_v6:6:50015547:50018548:-1 gene:B456_006G2592002 transcript:KJB38525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDSITDIFYEKHLGELIDVIILSCPSDEADQSTSNLARNAGKVESQNSAKPEILSSICELLCFCVLHHPYRIKCNFILNNVIEKVLLLTRRREKYLVVAAVRFVRTILTSHDEHLINHIVKNNLLKPVIGAFVANGNRYNVLNSAILELFEYIRKENMKLLVKYIVDSFWNQLVKFENLASIHSLKIKYEQCLENCGTKMDVNAPDPRKRIDERALEKEEEDYFNEDSDEDDTTSASHPRKVQPQPVSSNGLEANRSSLSPRSGGLVDYEDDEDDEDYKPPPPRKQTETSEEDEGMLESIRSKRKLNPKEKEHELSKKQRLGKSAKSRDSVFAALCSTLSQAVLPSKKTANTIHLSTRSAEGNEGSGEENHLEKESSSPDDNSSEEDNHRDKGSPRNCSDNRQLTGEDSPLIPPKSSPEMAVNGS >KJB38524 pep chromosome:Graimondii2_0_v6:6:50015547:50018548:-1 gene:B456_006G2592002 transcript:KJB38524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDSITDIFYEKHLGELIDVIILSCPSDEADQSTSNLARNAGKVESQNSAKPEILSSICELLCFCVLHHPYRIKCNFILNNVIEKVLLLTRRREKYLVVAAVRFVRTILTSHDEHLINHIVKNNLLKPVIGAFVANGNRYNVLNSAILELFEYIRKENMKLLVKYIVDSFWNQLVKFENLASIHSLKIKYEQVFLLPTNFTTKIFRYRTSVHVTCLFAVLGELWN >KJB38526 pep chromosome:Graimondii2_0_v6:6:50016671:50018548:-1 gene:B456_006G2592002 transcript:KJB38526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDSITDIFYEKHLGELIDVIILSCPSDEADQSTSNLARNAGKVESQNSAKPEILSSICELLCFCVLHHPYRIKCNFILNNVIEKVLLLTRRREKYLVVAAVRFVRTILTSHDEHLINHIVKNNLLKPVIGAFVANGNRYNVLNSAILELFEYIRKENMKLLVKYIVDSFWNQLVKFENLASIHSLKIKYEQCLENCGTKMDVNAPDPRKRIDERALEKEEEDYFNEDSDEDDTTSASHPRKVQPQPVSSNGLEANRSSLRYFHGLIQ >KJB33520 pep chromosome:Graimondii2_0_v6:6:3210013:3214701:1 gene:B456_006G014900 transcript:KJB33520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSQTQFRYTQTPSKVLHLRNLPWECTEEELVELCKPFGKIVNTKCNVGANRNQAFVEFVDLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDTPGNVLLVTIEGVEANDVTIETIHLVFSAFGFVHKIATFEKAAGFQALIQFTDAETASSARNALDGRSIPRYLLPGHVTSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAMEGLMQPVVGPDGKKQEPQSNVLLASIENMQYAVTVDVLHSVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTAAVAKESLEGHCIYEGGYCKLHLSYSRHTDLNVKV >KJB33523 pep chromosome:Graimondii2_0_v6:6:3209917:3215524:1 gene:B456_006G014900 transcript:KJB33523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSQTQFRYTQTPSKVLHLRNLPWECTEEELVELCKPFGKIVNTKCNVGANRNQAFVEFVDLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDTPGNVLLVTIEGVEANDVTIETIHLVFSAFGFVHKIATFEKAAGFQALIQFTDAETASSARNALDGRSIPRYLLPGHVTSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAMEGLMQPVVGPDGKKQEPQSNVLLASIENMQYAVTVDVLHSVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTAAVAKESLEGHCIYEGGYCKLHLSYSRHTDLNVKAYSDKSRDYTISDLSLLATQGPAAMQAQPSAGQVPAWDPSLQARPSYGSVPGTVPGQAYQASAVPTYMNAAPPAGSSPLSQPGATSMRMPPPGGAPLLGQPPYYGQ >KJB33527 pep chromosome:Graimondii2_0_v6:6:3209917:3215524:1 gene:B456_006G014900 transcript:KJB33527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSQTQFRYTQTPSKVLHLRNLPWECTEEELVELCKPFGKIVNTKCNVGANRNQAFVEFVDLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDTPGNVLLVTIEGVEANDVTIETIHLVFSAFGFVHKIATFEKAAGFQALIQFTDAETASSARNALDGRSIPRYLLPGHVTSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAMEGLMQVPVVGPDGKKQEPQSNVLLASIENMQYAVTVDVLHSVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTAAVAKESLEGHCIYEGGYCLQ >KJB33522 pep chromosome:Graimondii2_0_v6:6:3210013:3214701:1 gene:B456_006G014900 transcript:KJB33522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSQTQFRYTQTPSKVLHLRNLPWECTEEELVELCKPFGKIVNTKCNVGANRNQAFVEFVDLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDTPGNVLLVTIEGVEANDVTIETIHLVFSAFGFVHKIATFEKAAGFQALIQFTDAETASSARNALDGRSIPRYLLPGHVTSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAMEGLMQPVVGPDGKKQEPQSNVLLASIENMQYAVTVDVLHSVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTAAVAKESLEGHCIYEGGYCKLHLSYSRHTDLNVKV >KJB33526 pep chromosome:Graimondii2_0_v6:6:3209917:3215524:1 gene:B456_006G014900 transcript:KJB33526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSQTQFRYTQTPSKVLHLRNLPWECTEEELVELCKPFGKIVNTKCNVGANRNQAFVEFVDLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDTPGNVLLVTIEGVEANDVTIETIHLVFSAFGFVHKIATFEKAAGFQALIQFTDAETASSARNALDGRSIPRYLLPGHVTSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAMEGLMQVPVVGPDGKKQEPQSNVLLASIENMQYAVTVDVLHSVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTAAVAKESLEGHCIYEGGYCKLHLSYSRHTDLNVKAYSDKSRDYTISDLSLLATQGPGMPATPNAWQNPQNAPLYPGTDYAASAAMQAQPSAGQVPAWDPSLQARPSYGSVPGTVPGQAYQASAVPTYMNAAPPAGSSPLSQPGATSMRMPPPGGAPLLGQPPYYGQ >KJB33524 pep chromosome:Graimondii2_0_v6:6:3209726:3215604:1 gene:B456_006G014900 transcript:KJB33524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSQTQFRYTQTPSKVLHLRNLPWECTEEELVELCKPFGKIVNTKCNVGANRNQAFVEFVDLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDTPGNVLLVTIEGVEANDVTIETIHLVFSAFGFVHKIATFEKAAGFQALIQFTDAETASSARNALDGRSIPRYLLPGHVTSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAMEGLMQPVVGPDGKKQEPQSNVLLASIENMQYAVTVDVLHSVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTAAVAKESLEGHCIYEGGYCKLHLSYSRHTDLNVKAYSDKSRDYTISDLSLLATQGPASAAMQAQPSAGQVPAWDPSLQARPSYGSVPGTVPGQAYQASAVPTYMNAAPPAGSSPLSQPGATSMRMPPPGGAPLLGQPPYYGQ >KJB33521 pep chromosome:Graimondii2_0_v6:6:3209726:3215655:1 gene:B456_006G014900 transcript:KJB33521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSQTQFRYTQTPSKVLHLRNLPWECTEEELVELCKPFGKIVNTKCNVGANRNQAFVEFVDLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDTPGNVLLVTIEGVEANDVTIETIHLVFSAFGFVHKIATFEKAAGFQALIQFTDAETASSARNALDGRSIPRYLLPGHVTSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAMEGLMQPVVGPDGKKQEPQSNVLLASIENMQYAVTVDVLHSVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTAAVAKESLEGHCIYEGGYCKLHLSYSRHTDLNVKAYSDKSRDYTISDLSLLATQGPGMPATPNAWQNPQNAPLYPGTDYAASAAMQAQPSAGQVPAWDPSLQARPSYGSVPGTVPGQAYQASAVPTYMNAAPPAGSSPLSQPGATSMRMPPPGGAPLLGQPPYYGQ >KJB33525 pep chromosome:Graimondii2_0_v6:6:3209917:3215524:1 gene:B456_006G014900 transcript:KJB33525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSQTQFRYTQTPSKVLHLRNLPWECTEEELVELCKPFGKIVNTKCNVGANRNQAFVEFVDLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDTPGNVLLVTIEGVEANDVTIETIHLVFSAFGFVHKIATFEKAAGFQALIQFTDAETASSARNALDGRSIPRYLLPGHVTSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAMEGLMQPVVGPDGKKQEPQSNVLLASIENMQYAVTVDVLHSVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTAAVAKESLEGHCIYEGGYCLQ >KJB37647 pep chromosome:Graimondii2_0_v6:6:46796296:46799937:1 gene:B456_006G214200 transcript:KJB37647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNPFDLLDDDTEDPSLVIAAAEQQKLEKPKKAPASAPAQAQPAKPAKFPSKPSPPAQAVREARNDPGRGGRGAGRGGGRGYGGRGFNRDSNVNDNSNAFSGGYRPSEEGEERKQTERRGGYGGPRGSFRGGRRGGFNDGESGEGERPRRMYDRRSGTGRGNEFKRDGSGRGNWGSPTDGIAPETEENVPENEKNVSIEKQSGEEDAMDAGKENAANEAEEKEPENKMTLEEYEKIVEEKRKALLALKTEERKVDTKEFESMQQLSSKKSNDDIFIKLGSEKDKRKDADKEERVKKSVSINEFLKPAEGERYYGPGRGRGRGRGPRGGYGGNTASSVLAPSIEDPGHFPSLGGK >KJB37650 pep chromosome:Graimondii2_0_v6:6:46796516:46798715:1 gene:B456_006G214200 transcript:KJB37650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNPFDLLDDDTEDPSLVIAAAEQQKLEKPKKAPASAPAQAQPAKPAKFPSKPSPPAQAVREARNDPGRGGRGAGRGGGRGYGGRGFNRDSNVNDNSNAFSGGYRPSEEGEERKQTERRGGYGGPRGSFRGGRRGGFNDGESGEGERPRRMYDRRSGTGRGNEFKRDGSGRGNWGSPTDGIAPETEENVPENEKNVSIEKQSGEEDAMDAGKENAANEAEEKEPENKEMTLEEYEKIVEEKRKALLALKTEERKVDTKEFESMQQLSSKKSNDDIFIKLVRF >KJB37651 pep chromosome:Graimondii2_0_v6:6:46797099:46799517:1 gene:B456_006G214200 transcript:KJB37651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLIHVVCLVREARNDPGRGGRGAGRGGGRGYGGRGFNRDSNVNDNSNAFSGGYRPSEEGEERKQTERRGGYGGPRGSFRGGRRGGFNDGESGEGERPRRMYDRRSGTGRGNEFKRDGSGRGNWGSPTDGIAPETEENVPENEKNVSIEKQSGEEDAMDAGKENAANEAEEKEPENKEMTLEEYEKIVEEKRKALLALKTEERKVDTKEFESMQQLSSKKSNDDIFIKLGSEKDKRKDADKEERVKKSVSINEFLKPAEGERYYGPGRGRGRGRGPRGGYGGNTASSVLAPSIEDPGHFPSLGGK >KJB37649 pep chromosome:Graimondii2_0_v6:6:46796296:46799937:1 gene:B456_006G214200 transcript:KJB37649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNPFDLLDDDTEDPSLVIAAAEQQKLEKPKKAPASAPAQAQPAKPAKFPSKPSPPAQAVREARNDPGRGGRGAGRGGGRGYGGRGFNRDSNVNDNSNAFSGGYRPSEEGEERKQTERRGGYGGPRGSFRGGRRGGFNDGESGEGERPRRMYDRRSGTGRGNEFKRDGSGRGNWGSPTDGIAPETEENVPENEKNVSIEKQSGEEDAMDAGKENAANEAEEKEPENKEMTLEEYEKIVEEKRKALLALKTEERKVDTKEFESMQQLSSKKSNDDIFIKLGSEKDKRKDADKEERVKKVLLASMSF >KJB37648 pep chromosome:Graimondii2_0_v6:6:46796296:46799937:1 gene:B456_006G214200 transcript:KJB37648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNPFDLLDDDTEDPSLVIAAAEQQKLEKPKKAPASAPAQAQPAKPAKFPSKPSPPAQAVREARNDPGRGGRGAGRGGGRGYGGRGFNRDSNVNDNSNAFSGGYRPSEEGEERKQTERRGGYGGPRGSFRGGRRGGFNDGESGEGERPRRMYDRRSGTGRGQFKRDGSGRGNWGSPTDGIAPETEENVPENEKNVSIEKQSGEEDAMDAGKENAANEAEEKEPENKEMTLEEYEKIVEEKRKALLALKTEERKVDTKEFESMQQLSSKKSNDDIFIKLGSEKDKRKDADKEERVKKSVSINEFLKPAEGERYYGPGRGRGRGRGPRGGYGGNTASSVLAPSIEDPGHFPSLGGK >KJB37646 pep chromosome:Graimondii2_0_v6:6:46796208:46799999:1 gene:B456_006G214200 transcript:KJB37646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNPFDLLDDDTEDPSLVIAAAEQQKLEKPKKAPASAPAQAQPAKPAKFPSKPSPPAQAVREARNDPGRGGRGAGRGGGRGYGGRGFNRDSNVNDNSNAFSGGYRPSEEGEERKQTERRGGYGGPRGSFRGGRRGGFNDGESGEGERPRRMYDRRSGTGRGNEFKRDGSGRGNWGSPTDGIAPETEENVPENEKNVSIEKQSGEEDAMDAGKENAANEAEEKEPENKEMTLEEYEKIVEEKRKALLALKTEERKVDTKEFESMQQLSSKKSNDDIFIKLGSEKDKRKDADKEERVKKSVSINEFLKPAEGERYYGPGRGRGRGRGPRGGYGGNTASSVLAPSIEDPGHFPSLGGK >KJB37652 pep chromosome:Graimondii2_0_v6:6:46796296:46799937:1 gene:B456_006G214200 transcript:KJB37652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNPFDLLDDDTEDPSLVIAAAEQQKLEKPKKAPASAPAQAQPAKPAKFPSKPSPPAQAVREARNDPGRGGRGAGRGGGRGYGGRGFNRDSNVNDNSNAFSGGYRPSEEGEERKQTERRGGYGGPRGSFRGGRRGGFNDGESGEGERPRRMYDRRSGTGRGETEENVPENEKNVSIEKQSGEEDAMDAGKENAANEAEEKEPENKEMTLEEYEKIVEEKRKALLALKTEERKVDTKEFESMQQLSSKKSNDDIFIKLGSEKDKRKDADKEERVKKSVSINEFLKPAEGERYYGPGRGRGRGRGPRGGYGGNTASSVLAPSIEDPGHFPSLGGK >KJB34888 pep chromosome:Graimondii2_0_v6:6:32440781:32443785:1 gene:B456_006G088700 transcript:KJB34888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGKVKLAMGLTKSPSNPSPKASSKSSWPSPSPSSATKNTSSQKAVTSRSSFGVYFPRSSAQVQPRPPDVVELLRLVEELRERESRLKTEVLELKLLKETAAIVPKLENEIVLKNEELERALKEVENLRKENGRLKIMVEEMRGNIEEEKEGKERKVREMEEEMKKTASFRGECVENLRKENEKLKMVVEEMRGKVEGEREMKRTASFRGENDDNFCSSQRFQGLIEVSVKSNLIKNLKRNHSKCTDAIVVKVESSEFKREELEVETDRPSHSEELVESNGRSRVPRVPKPPPRPSLSSSTSCTEKQIPPPPPPPPPAVKQVAPPPPPHSLLKAIAPPPPPPPQKGMKTVAAKVRRVPEVVEFYHSIMRRDSKRDAGGCNVPEALPATANARDMIGEIENRSAYLLAIKTDVETQGDFIRFLIKEVENVSFTDIEDVVPFVKWLDDELSYLVDERAVLKHFEWPEQKADALREAAFGYCDLKKLESEAASFRDDARQPCGSALKKMQALLEKLELGVYNLSRMRESATRRYNGFGIPTDWMLETGIVSQIKLASVKLAMKYMRRVSAELEAVGGGPEEEELIVRGVRFAFRVHQVNDILINSYEFNLPTLLFPGLRR >KJB34891 pep chromosome:Graimondii2_0_v6:6:32440781:32444080:1 gene:B456_006G088700 transcript:KJB34891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGKVKLAMGLTKSPSNPSPKASSKSSWPSPSPSSATKNTSSQKAVTSRSSFGVYFPRSSAQVQPRPPDVVELLRLVEELRERESRLKTEVLELKLLKETAAIVPKLENEIVLKNEELERALKEVENLRKENGRLKIMVEEMRGNIEEEKEGKERKVREMEEEMKKTASFRGECVENLRKENEKLKMVVEEMRGKVEGEREMKRTASFRGENDDNFCSSQRFQGLIEVSVKSNLIKNLKRNHSKCTDAIVVKVESSEFKREELEVETDRPSHSEELVESNGRSRVPRVPKPPPRPSLSSSTSCTEKQIPPPPPPPPPAVKQVAPPPPPHSLLKAIAPPPPPPPQKGMKTVAAKVRRVPEVVEFYHSIMRRDSKRDAGGCNVPEALPATANARDMIGEIENRSAYLLAIKTDVETQGDFIRFLIKEVENVSFTDIEDVVPFVKWLDDELSYLVDERAVLKHFEWPEQKADALREAAFGYCDLKKLESEAASFRDDARQPCGSALKKMQALLEK >KJB34887 pep chromosome:Graimondii2_0_v6:6:32440744:32444176:1 gene:B456_006G088700 transcript:KJB34887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGKVKLAMGLTKSPSNPSPKASSKSSWPSPSPSSATKNTSSQKAVTSRSSFGVYFPRSSAQVQPRPPDVVELLRLVEELRERESRLKTEVLELKLLKETAAIVPKLENEIVLKNEELERALKEVENLRKENGRLKIMVEEMRGNIEEEKEGKERKVREMEEEMKKTASFRGECVENLRKENEKLKMVVEEMRGKVEGEREMKRTASFRGENDDNFCSSQRFQGLIEVSVKSNLIKNLKRNHSKCTDAIVVKVESSEFKREELEVETDRPSHSEELVESNGRSRVPRVPKPPPRPSLSSSTSCTEKQIPPPPPPPPPAVKQVAPPPPPHSLLKAIAPPPPPPPQKGMKTVAAKVRRVPEVVEFYHSIMRRDSKRDAGGCNVPEALPATANARDMIGEIENRSAYLLAIKTDVETQGDFIRFLIKEVENVSFTDIEDVVPFVKWLDDELSYLVDERAVLKHFEWPEQKADALREAAFGYCDLKKLESEAASFRDDARQPCGSALKKMQALLEKLELGVYNLSRMRESATRRYNGFGIPTDWMLETGIVSQIKLASVKLAMKYMRRVSAELEAVGGGPEEEELIVRGVRFAFRVHQFAGGFDVETMRAFQELRDKARSCHVQCQNPHQKLICRSTPC >KJB34889 pep chromosome:Graimondii2_0_v6:6:32441034:32443162:1 gene:B456_006G088700 transcript:KJB34889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGKVKLAMGLTKSPSNPSPKASSKSSWPSPSPSSATKNTSSQKAVTSRSSFGVYFPRSSAQVQPRPPDVVELLRLVEELRERESRLKTEVLELKLLKETAAIVPKLENEIVLKNEELERALKEVENLRKENGRLKIMVEEMRGNIEEEKEGKERKVREMEEEMKKTASFRGECVENLRKENEKLKMVVEEMRGKVEGEREMKRTASFRGENDDNFCSSQRFQGLIEVSVKSNLIKNLKRNHSKCTDAIVVKVESSEFKREELEVETDRPSHSEELVESNGRSRVPRVPKPPPRPSLSSSTSCTEKQIPPPPPPPPPAVKQVAPPPPPHSLLKAIAPPPPPPPQKGMKTVAAKVRRVPEVVEFYHSIMRRDSKRDAGGCNVPEALPATANARDMIGEIENRSAYLLAIKTDVETQGDFIRFLIKEVENVSFTDIEDVVPFVKWLDDELSYLVDERAVLKHFEWPEQKADALREAAFGYCDLKKLESEAASFRDDARQPCGSALKKMQALLEK >KJB34890 pep chromosome:Graimondii2_0_v6:6:32440781:32444176:1 gene:B456_006G088700 transcript:KJB34890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGKVKLAMGLTKSPSNPSPKASSKSSWPSPSPSSATKNTSSQKAVTSRSSFGVYFPRSSAQVQPRPPDVVELLRLVEELRERESRLKTEVLELKLLKETAAIVPKLENEIVLKNEELERALKEVENLRKENGRLKIMVEEMRGNIEEEKEGKERKVREMEEEMKKTASFRGECVENLRKENEKLKMVVEEMRGKVEGEREMKRTASFRGENDDNFCSSQRFQGLIEVSVKSNLIKNLKRNHSKCTDAIVVKVESSEFKREELEVETDRPSHSEELVESNGRSRVPRVPKPPPRPSLSSSTSCTEKQIPPPPPPPPPAVKQVAPPPPPHSLLKAIAPPPPPPPQKGMKTVAAKVRRVPEVVEFYHSIMRRDSKRDAGGCNVPEALPATANARDMIGEIENRSAYLLAVDERAVLKHFEWPEQKADALREAAFGYCDLKKLESEAASFRDDARQPCGSALKKMQALLEKLELGVYNLSRMRESATRRYNGFGIPTDWMLETGIVSQIKLASVKLAMKYMRRVSAELEAVGGGPEEEELIVRGVRFAFRVHQFAGGFDVETMRAFQELRDKARSCHVQCQNPHQKLICRSTPC >KJB35293 pep chromosome:Graimondii2_0_v6:6:38764524:38765464:1 gene:B456_006G1334002 transcript:KJB35293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNDDFSVVVLASDLGIDARPFLLNQDTEIEDQDNWHDCSQDFSDEDFYDLEFLQFFCLQGSDKSGNRILRIVGKYFP >KJB35296 pep chromosome:Graimondii2_0_v6:6:38765221:38765464:1 gene:B456_006G1334002 transcript:KJB35296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNDDFSVVVLASDLGIDARPFLLNQDTEIEDQDNWHDCSQDFSDEDFYDLEFLQFFCLQGSDKSGNRILRIVGKYFP >KJB35294 pep chromosome:Graimondii2_0_v6:6:38764847:38765464:1 gene:B456_006G1334002 transcript:KJB35294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNDDFSVVVLASDLGIDARPFLLNQDTEIEDQDNWHDCSQDFSDEDFYDLEFLQFFCLQGSDKSGNRILRIVGKYFP >KJB35292 pep chromosome:Graimondii2_0_v6:6:38764643:38765464:1 gene:B456_006G1334002 transcript:KJB35292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNDDFSVVVLASDLGIDARPFLLNQDTEIEDQDNWHDCSQDFSDEDFYDLEFLQFFCLQGSDKSGNRILRIVGKYFP >KJB35298 pep chromosome:Graimondii2_0_v6:6:38764968:38765464:1 gene:B456_006G1334002 transcript:KJB35298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNDDFSVVVLASDLGIDARPFLLNQDTEIEDQDNWHDCSQDFSDEDFYDLEFLQFFCLQGSDKSGNRILRIVGKYFP >KJB35295 pep chromosome:Graimondii2_0_v6:6:38764847:38765425:1 gene:B456_006G1334002 transcript:KJB35295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNDDFSVVVLASDLGIDARPFLLNQDTEIEDQDNWHDCSQDFSDEDFYDLEFLQFFCLQGSDKS >KJB35297 pep chromosome:Graimondii2_0_v6:6:38764643:38765464:1 gene:B456_006G1334002 transcript:KJB35297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNDDFSVVVLASDLGIDARPFLLNQDTEIEDQDNWHDCSQDFSDEDFYDLEFLQFFCLQGSDKSGNRILRIVGKYFP >KJB38696 pep chromosome:Graimondii2_0_v6:6:50561888:50566557:-1 gene:B456_006G267300 transcript:KJB38696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKQTVLVTPAFDHSGALRDNAGAAGSSGTNSGRYRIGFSEFEKKRSSGGSKKKKKNGSNSGGVSDFGGGGFGLSGSDLGESGRGSSRSDSLSLRLGNLQKYIEGEHVAAGWPAWLSAVAGEAIHGWVPLRADSYQKLEKIGQGTYSTVFRARDLESGSIVALKKVRFDNFEPESVRFMAREILILRRLDHPNIIKLDGIITSRMSCSIYLVFEYMEHDITGLLSCPDIKFSDSQIKCYMKQLLSGLDHCHSRGIMHRDIKGSNLLVNNEGILKMADFGLANFYGSGRRQPLTSRVVTLWYRPPELLLGSTDYTAAVDLWSVGCVFAELLLGKPILQGRTEVEQLHKIFKLCGSPPDDYWKKSRLPHATVFKPQQPYDSSLRDAFKDLPATAVNLIETLLSVEPYKRGTASLALASEYFTTKPYACDPSSLPVYPPRKEIDAKHREEARRKKISGRVRGPETRKPIRKPHGITKLAPVEDAAAQAQGSQKINGNGVHKVKQRNATITEGLTNPSTDSVEEAAHIKHASQGDIPFSGPLQVSTSSGFAWAKRRKDDASKRSHSRSISRGHVYNSLEPSAQLHTRNNCDSKRHENGDVIYGGRTDSKGHDSYEAAKRAMQKQWSQFERPDSFDASDEYHSQELSLALYQREEMAAKRNNLVCL >KJB38695 pep chromosome:Graimondii2_0_v6:6:50559733:50566912:-1 gene:B456_006G267300 transcript:KJB38695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKQTVLVTPAFDHSGALRDNAGAAGSSGTNSGRYRIGFSEFEKKRSSGGSKKKKKNGSNSGGVSDFGGGGFGLSGSDLGESGRGSSRSDSLSLRLGNLQKYIEGEHVAAGWPAWLSAVAGEAIHGWVPLRADSYQKLEKIGQGTYSTVFRARDLESGSIVALKKVRFDNFEPESVRFMAREILILRRLDHPNIIKLDGIITSRMSCSIYLVFEYMEHDITGLLSCPDIKFSDSQIKCYMKQLLSGLDHCHSRGIMHRDIKGSNLLVNNEGILKMADFGLANFYGSGRRQPLTSRVVTLWYRPPELLLGSTDYTAAVDLWSVGCVFAELLLGKPILQGRTEVEQLHKIFKLCGSPPDDYWKKSRLPHATVFKPQQPYDSSLRDAFKDLPATAVNLIETLLSVEPYKRGTASLALASEYFTTKPYACDPSSLPVYPPRKEIDAKHREEARRKKISGRVRGPETRKPIRKPHGITKLAPVEDAAAQAQGSQKINGNGVHKVKQRNATITEGLTNPSTDSVEEAAHIKHASQGDIPFSGPLQVSTSSGFAWAKRRKDDASKRSHSRSISRGHVYNSLEPSAQLHTRNNCDSKRHENGDVIYGGRTDSKGHDSYEAAKRAMQKQWSQFERPDSFDASDEYHSQELSLALYQREEMAAKRNNLDYQDEREKVEFSGPLLSQSHRVDELLERNERQIRQAIRKSWFQRGKKHGK >KJB35162 pep chromosome:Graimondii2_0_v6:6:34372645:34385754:1 gene:B456_006G103000 transcript:KJB35162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGGGERGSGRGGGRRGRGQGRGRGQPSQSSSRGGGGRARGRGSSGSTATYNPPPPQMAPAQPPPQMAVATPVPPSGAPFSHPSSSSGVGSLSREVSEKLTMEPVAVTTAPPLPPSSSKAIRFPPRPGFGTVGTKCVVRANHFLVDVANADLHHYDVTITPEVTAKKVNRAIMKQLIDVYKESHLGRRCPAYDGRKSLYTAGPLPFESKELVIKLPEEDRSAGSSRPARKERQFKVAIKLASKPDLHYLREFLSRKHFECPQEAIQVLDVVLRAKPSQIYTEVGRSFFYTSLGPRGELGNGTEYWSGYYQSLRPTQMGLSLNIDVSARSFYEPILVSEFVVKHFRRSNLSKPLSDQDRIKVKKALKGVRVRLIYMDYAKTCKIIGVSRDPISQLTFPLDDKRTNVSVVKYFREKYNVVLKYPSLPALQSGSEARPVYLPMELCSIVEGQRYTKKLNEQQVRSLLRATCQRPNIREGNITKMVQVNNFEVEELVTKEFGMHVRKELALINAQVLNPPVLKYHDSGRDKIVNPSCGQWNMINKKMVNWGKVDFWSCVNFSSEYWNMSEEFCAELVNMCNNKGMVFCQTPSIAMRSACADRIDQILMDVYKESAGLNKPLQLLIIILPDQTGSYGKIKRICETELGIVSQCCKPVQAAKFSNQYFENVALKINAKVGGRNTVLNDAILRRIPLVTDVPTIIFGADVTHPPPGEDSSSSIAAVVASMDWPEVTKYRGIVSAQTHRMEIIQDLYKQTKDPQKGLVEGGMVRELLVAFFKSTRQKPSRIIFYRGGVSEGQFSQVLLYEMDAIWKACSSLQEGYMPRVTFVVVQKRHHTRLFPTDRNKTDGSGNILPGDKQGHTLPRFV >KJB35166 pep chromosome:Graimondii2_0_v6:6:34372678:34385554:1 gene:B456_006G103000 transcript:KJB35166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGGGERGSGRGGGRRGRGQGRGRGQPSQSSSRGGGGRARGRGSSGSTATYNPPPPQMAPAQPPPQMAVATPVPPSGAPFSHPSSSSGVGSLSREVSEKLTMEPVAVTTAPPLPPSSSKAIRFPPRPGFGTVGTKCVVRANHFLVDVANADLHHYDVTITPEVTAKKVNRAIMKQLIDVYKESHLGRRCPAYDGRKSLYTAGPLPFESKELVIKLPEEDRSAGSSRPARKERQFKVAIKLASKPDLHYLREFLSRKHFECPQEAIQVLDVVLRAKPSQTYTEVGRSFFHTSLGPRGELGNGIEYWSGYYQSLRPTQMGLSLNIDVSARSFYEPILVSEFVAKHFRRSNLSKPLSDQDRIKVKKALKGVRVKLIYMDYAKTCKIIGVSRDPISQLTFTHDDKRTNVSVVKYFREKYNVVLKYPSLPALQSGSEARPVYLPMELCSIVEGQRYTKKLNEQQVRSLLRATCQRPNIREGNITKMVQVNNFEVEELVTKEFGMHVRKELALINARVLNPPVLKYHDSGRDKIVNPSCGQWNMINKKMVNGGKVDFWSCVNFSSEYRNMSEDFCAGLVNMCNSKGMVFRQTPSIAMRSARADRIDQTLMDVYKESAGLNKPLKLLIIILPDQTGSYGKIKRICETELGIVSQCCKPAQASKFSNQYFENVALKINAKVGGRNTVLNDAVLRRIPLVTDVPTIIFGADVTHPPPGEDSSSSIAAVVASMDWPEVTKYRGIVSAQTHRVEIIEDLYKQTRDPQKGLVEGGMVRELLLAFYRSTKQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACSSLQEGYMPRVTFVVVQKRHHTRLFPTDRNKTDRSGNILPGTVVDTSICHPTEFDFYLNSHAGIQGTSKPTHYHVLLDENGFSADILQVLTNNLCYTYARCTKSVSIVPPAYYAHLAAYRARYYIEDEMSDSGSTGGGRKPKDKVVEVRPLPSIKDNVKEVMFYV >KJB35170 pep chromosome:Graimondii2_0_v6:6:34374172:34385754:1 gene:B456_006G103000 transcript:KJB35170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLNIDVSARSFYEPILVSEFVVKHFRRSNLSKPLSDQDRIKVKKALKGVRVRLIYMDYAKTCKIIGVSRDPISQLTFPLDDKRTNVSVVKYFREKYNVVLKYPSLPALQSGSEARPVYLPMELCSIVEGQRYTKKLNEQQVRSLLRATCQRPNIREGNITKMVQVNNFEVEELVTKEFGMHVRKELALINAQVLNPPVLKYHDSGRDKIVNPSCGQWNMINKKMVNWGKVDFWSCVNFSSEYWNMSEEFCAELVNMCNNKGMVFCQTPSIAMRSACADRIDQILMDVYKESAGLNKPLQLLIIILPDQTGSYGKIKRICETELGIVSQCCKPVQAAKFSNQYFENVALKINAKVGGRNTVLNDAILRRIPLVTDVPTIIFGADVTHPPPGEDSSSSIAAVVASMDWPEVTKYRGIVSAQTHRMEIIQDLYKQTKDPQKGLVEGGMVRELLVAFFKSTRQKPSRIIFYRGGVSEGQFSQVLLYEMDAIWKACSSLQEGYMPRVTFVVVQKRHHTRLFPTDRNKTDGSGNILPGDKQGHTLPRFV >KJB35175 pep chromosome:Graimondii2_0_v6:6:34380676:34385754:1 gene:B456_006G103000 transcript:KJB35175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLIDVYKESHLGRRCPAYDGRKSLYTAGPLPFESKELVIKLPEEDRSAGSSRPARKERQFKVAIKLASKPDLHYLREFLSRKHFECPQEAIQVLDVVLRAKPSQIYTEVGRSFFYTSLGPRGELGNGTEYWSGYYQSLRPTQMGLSLNIDVSARSFYEPILVSEFVVKHFRRSNLSKPLSDQDRIKVKKALKGVRVRLIYMDYAKTCKIIGVSRDPISQLTFPLDDKRTNVSVVKYFREKYNVVLKYPSLPALQSGSEARPVYLPMELCSIVEGQRYTKKLNEQQVRSLLRATCQRPNIREGNITKMVQVNNFEVEELVTKEFGMHVRKELALINAQVLNPPVLKYHDSGRDKIVNPSCGQWNMINKKMVNWGKVDFWSCVNFSSEYWNMSEEFCAELVNMCNNKGMVFCQTPSIAMRSACADRIDQILMDVYKESAGLNKPLQLLIIILPDQTGSYGKIKRICETELGIVSQCCKPVQAAKFSNQYFENVALKINAKVGGRNTVLNDAILRRIPLVTDVPTIIFGADVTHPPPGEDSSSSIAAVVASMDWPEVTKYRGIVSAQTHRMEIIQDLYKQTKDPQKGLVEGGMVRELLVAFFKSTRQKPSRIIFYRGGVSEGQFSQVLLYEMDAIWKACSSLQEGYMPRVTFVVVQKRHHTRLFPTDRNKTDGSGNILPGDKQGHTLPRFV >KJB35171 pep chromosome:Graimondii2_0_v6:6:34374496:34385754:1 gene:B456_006G103000 transcript:KJB35171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLNIDVSARSFYEPILVSEFVVKHFRRSNLSKPLSDQDRIKVKKALKGVRVRLIYMDYAKTCKIIGVSRDPISQLTFPLDDKRTNVSVVKYFREKYNVVLKYPSLPALQSGSEARPVYLPMELCSIVEGQRYTKKLNEQQVRSLLRATCQRPNIREGNITKMVQVNNFEVEELVTKEFGMHVRKELALINAQVLNPPVLKYHDSGRDKIVNPSCGQWNMINKKMVNWGKVDFWSCVNFSSEYWNMSEEFCAELVNMCNNKGMVFCQTPSIAMRSACADRIDQILMDVYKESAGLNKPLQLLIIILPDQTGSYGKIKRICETELGIVSQCCKPVQAAKFSNQYFENVALKINAKVGGRNTVLNDAILRRIPLVTDVPTIIFGADVTHPPPGEDSSSSIAAVVASMDWPEVTKYRGIVSAQTHRMEIIQDLYKQTKDPQKGLVEGGMVRELLVAFFKSTRQKPSRIIFYRGGVSEGQFSQVLLYEMDAIWKACSSLQEGYMPRVTFVVVQKRHHTRLFPTDRNKTDGSGNILPGDKQGHTLPRFV >KJB35174 pep chromosome:Graimondii2_0_v6:6:34375623:34385754:1 gene:B456_006G103000 transcript:KJB35174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVNNFEVEELVTKEFGMHVRKELALINARVLNPPVLKYHDSGRDKIVNPSCGQWNMINKKMVNGGKVDFWSCVNFSSEYRNMSEDFCAGLVNMCNSKGMVFRQTPSIAMRSARADRIDQTLMDVYKESAGLNKPLKLLIIILPDQTGSYGKIKRICETELGIVSQCCKPAQASKFSNQYFENVALKINAKVGGRNTVLNDAVLRRIPLVTDVPTIIFGADVTHPPPGEDSSSSIAAVVASMDWPEVTKYRGIVSAQTHRVEIIEDLYKQTRDPQKGLVEGGMVRELLLAFYRSTKQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACSSLQEGYMPRVTFVVVQKRHHTRLFPTDRNKTDRSGNILPGTVVDTSICHPTEFDFYLNSHAGIQGTSKATRYHVLFDENGFSADILQVLTNSLCYTYARCTKSVSIVPPAYYAHLAAYRARYYIEDEMSDSGSTGGGRKPKDKVVEFRPLPSIKDNVKEVFGWWKVAQV >KJB35167 pep chromosome:Graimondii2_0_v6:6:34372678:34385757:1 gene:B456_006G103000 transcript:KJB35167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGGGERGSGRGGGRRGRGQGRGRGQPSQSSSRGGGGRARGRGSSGSTATYNPPPPQMAPAQPPPQMAVATPVPPSGAPFSHPSSSSGVGSLSREVSEKLTMEPVAVTTAPPLPPSSSKAIRFPPRPGFGTVGTKCVVRANHFLVDVANADLHHYDVTITPEVTAKKVNRAIMKQLIDVYKESHLGRRCPAYDGRKSLYTAGPLPFESKELVIKLPEEDRSAGSSRPARKERQFKVAIKLASKPDLHYLREFLSRKHFECPQEAIQVLDVVLRAKPSQTYTEVGRSFFHTSLGPRGELGNGIEYWSGYYQSLRPTQMGLSLNIDVSARSFYEPILVSEFVAKHFRRSNLSKPLSDQDRIKVKKALKGVRVKLIYMDYAKTCKIIGVSRDPISQLTFTHDDKRTNVSVVKYFREKYNVVLKYPSLPALQSGSEARPVYLPMELCSIVEGQRYTKKLNEQQVRSLLRATCQRPNIREGNITKMVQVNNFEVEELVTKEFGMHVRKELALINARVLNPPVLKYHDSGRDKIVNPSCGQWNMINKKMVNWGKVDFWSCVNFSSEYWNMSEEFCAELVNMCNNKGMVFCQTPSIAMRSACADRIDQILMDVYKESAGLNKPLQLLIIILPDQTGSYGKIKRICETELGIVSQCCKPVQAAKFSNQYFENVALKINAKVGGRNTVLNDAILRRIPLVTDVPTIIFGADVTHPPPGEDSSSSIAAVVASMDWPEVTKYRGIVSAQTHRMEIIQDLYKQTKDPQKGLVEGGMVRELLVAFFKSTRQKPSRIIFYRGGVSEGQFSQVLLYEMDAIWKACSSLQEGYMPRVTFVVVQKRHHTRLFPTDRNKTDGSGNILPGDKQGHTLPRFV >KJB35169 pep chromosome:Graimondii2_0_v6:6:34372678:34385757:1 gene:B456_006G103000 transcript:KJB35169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGGGERGSGRGGGRRGRGQGRGRGQPSQSSSRGGGGRARGRGSSGSTATYNPPPPQMAPAQPPPQMAVATPVPPSGAPFSHPSSSSGVGSLSREVSEKLTMEPVAVTTAPPLPPSSSKAIRFPPRPGFGTVGTKCVVRANHFLVDVANADLHHYDVTITPEVTAKKVNRAIMKQLIDVYKESHLGRRCPAYDGRKSLYTAGPLPFESKELVIKLPEEDRSAGSSRPARKERQFKVAIKLASKPDLHYLREFLSRKHFECPQEAIQVLDVVLRAKPSQTYTEVGRSFFHTSLGPRGELGNGIEYWSGYYQSLRPTQMGLSLNIDVSARSFYEPILVSEFVAKHFRRSNLSKPLSDQDRIKVKKALKGVRVKLIYMDYAKTCKIIGVSRDPISQLTFTHDDKRTNVSVVKYFREKYNVVLKYPSLPALQSGSEARPVYLPMELCSIVEGQRYTKKLNEQQVRSLLRATCQRPNIREGNITKMVQVNNFEVEELVTKEFGMHVRKELALINARVLNPPVLKYHDSGRDKIVNPSCGQWNMINKKMVNGGKVDFWSCVNFSSEYRNMSEDFCAGLVNMCNSKGMVFRQTPSIAMRSARADRIDQTLMDVYKESAGLNKPLKLLIIILPDQTGSYGKIKRICETELGIVSQCCKPVQAAKFSNQYFENVALKINAKVGGRNTVLNDAILRRIPLVTDVPTIIFGADVTHPPPGEDSSSSIAAVVASMDWPEVTKYRGIVSAQTHRMEIIQDLYKQTKDPQKGLVEGGMVRELLVAFFKSTRQKPSRIIFYRGGVSEGQFSQVLLYEMDAIWKACSSLQEGYMPRVTFVVVQKRHHTRLFPTDRNKTDGSGNILPGDKQGHTLPRFV >KJB35173 pep chromosome:Graimondii2_0_v6:6:34374712:34385754:1 gene:B456_006G103000 transcript:KJB35173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYAKTCKIIGVSRDPISQLTFPLDDKRTNVSVVKYFREKYNVVLKYPSLPALQSGSEARPVYLPMELCSIVEGQRYTKKLNEQQVRSLLRATCQRPNIREGNITKMVQVNNFEVEELVTKEFGMHVRKELALINAQVLNPPVLKYHDSGRDKIVNPSCGQWNMINKKMVNWGKVDFWSCVNFSSEYWNMSEEFCAELVNMCNNKGMVFCQTPSIAMRSACADRIDQILMDVYKESAGLNKPLQLLIIILPDQTGSYGKIKRICETELGIVSQCCKPVQAAKFSNQYFENVALKINAKVGGRNTVLNDAILRRIPLVTDVPTIIFGADVTHPPPGEDSSSSIAAVVASMDWPEVTKYRGIVSAQTHRMEIIQDLYKQTKDPQKGLVEGGMVRELLVAFFKSTRQKPSRIIFYRGGVSEGQFSQVLLYEMDAIWKACSSLQEGYMPRVTFVVVQKRHHTRLFPTDRNKTDGSGNILPGDKQGHTLPRFV >KJB35161 pep chromosome:Graimondii2_0_v6:6:34372629:34378834:1 gene:B456_006G103000 transcript:KJB35161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGGGERGSGRGGGRRGRGQGRGRGQPSQSSSRGGGGRARGRGSSGSTATYNPPPPQMAPAQPPPQMAVATPVPPSGAPFSHPSSSSGVGSLSREVSEKLTMEPVAVTTAPPLPPSSSKAIRFPPRPGFGTVGTKCVVRANHFLVDVANADLHHYDVTITPEVTAKKVNRAIMKQLIDVYKESHLGRRCPAYDGRKSLYTAGPLPFESKELVIKLPEEDRSAGSSRPARKERQFKVAIKLASKPDLHYLREFLSRKHFECPQEAIQVLDVVLRAKPSQTYTEVGRSFFHTSLGPRGELGNGIEYWSGYYQSLRPTQMGLSLNIDVSARSFYEPILVSEFVAKHFRRSNLSKPLSDQDRIKVKKALKGVRVKLIYMDYAKTCKIIGVSRDPISQLTFTHDDKRTNVSVVKYFREKYNVVLKYPSLPALQSGSEARPVYLPMELCSIVEGQRYTKKLNEQQVRSLLRATCQRPNIREGNITKMVQVNNFEVEELVTKEFGMHVRKELALINARVLNPPVLKYHDSGRDKIVNPSCGQWNMINKKMVNGGKVDFWSCVNFSSEYRNMSEDFCAGLVNMCNSKGMVFRQTPSIAMRSARADRIDQTLMDVYKESAGLNKPLKLLIIILPDQTGSYGKIKRICETELGIVSQCCKPAQASKFSNQYFENVALKINAKVGGRNTVLNDAVLRRIPLVTDVPTIIFGADVTHPPPGEDSSSSIAAVVASMDWPEVTKYRGIVSAQTHRVEIIEDLYKQTRDPQKGLVEGGMVRELLLAFYRSTKQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACSSLQEGYMPRVTFVVVQKRHHTRLFPTDRNKTDRSGNILPGTVVDTSICHPTEFDFYLNSHAGIQGTSKPTHYHVLLDENGFSADILQVLTNNLCYTYARCTKSVSIVPPAYYAHLAAYRARYYIEDEMSDSGSTGGGRKPKDKVVEVRPLPSIKDNVKEVMFYV >KJB35168 pep chromosome:Graimondii2_0_v6:6:34372678:34385757:1 gene:B456_006G103000 transcript:KJB35168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGGGERGSGRGGGRRGRGQGRGRGQPSQSSSRGGGGRARGRGSSGSTATYNPPPPQMAPAQPPPQMAVATPVPPSGAPFSHPSSSSGVGSLSREVSEKLTMEPVAVTTAPPLPPSSSKAIRFPPRPGFGTVGTKCVVRANHFLVDVANADLHHYDVTITPEVTAKKVNRAIMKQLIDVYKESHLGRRCPAYDGRKSLYTAGPLPFESKELVIKLPEEDRSAGSSRPARKERQFKVAIKLASKPDLHYLREFLSRKHFECPQEAIQVLDVVLRAKPSQTYTEVGRSFFHTSLGPRGELGNGIEYWSGYYQSLRPTQMGLSLNIDVSARSFYEPILVSEFVAKHFRRSNLSKPLSDQDRIKVKKALKGVRVKLIYMDYAKTCKIIGVSRDPISQLTFTHDDKRTNVSVVKYFREKYNVVLKYPSLPALQSGSEARPVYLPMELCSIVEGQRYTKKLNEQQVRSLLRATCQRPNIREGNITKMVQVNNFEVEELVTKEFGMHVRKELALINAQVLNPPVLKYHDSGRDKIVNPSCGQWNMINKKMVNWGKVDFWSCVNFSSEYWNMSEEFCAELVNMCNNKGMVFCQTPSIAMRSACADRIDQILMDVYKESAGLNKPLQLLIIILPDQTGSYGKIKRICETELGIVSQCCKPVQAAKFSNQYFENVALKINAKVGGRNTVLNDAILRRIPLVTDVPTIIFGADVTHPPPGEDSSSSIAAVVASMDWPEVTKYRGIVSAQTHRMEIIQDLYKQTKDPQKGLVEGGMVRELLVAFFKSTRQKPSRIIFYRGGVSEGQFSQVLLYEMDAIWKACSSLQEGYMPRVTFVVVQKRHHTRLFPTDRNKTDGSGNILPGDKQGHTLPRFV >KJB35172 pep chromosome:Graimondii2_0_v6:6:34374496:34385754:1 gene:B456_006G103000 transcript:KJB35172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLNIDVSARSFYEPILVSEFVAKHFRRSNLSKPLSDQDRIKVKKALKGVRVKLIYMDYAKTCKIIGVSRDPISQLTFPLDDKRTNVSVVKYFREKYNVVLKYPSLPALQSGSEARPVYLPMELCSIVEGQRYTKKLNEQQVRSLLRATCQRPNIREGNITKMVQVNNFEVEELVTKEFGMHVRKELALINAQVLNPPVLKYHDSGRDKIVNPSCGQWNMINKKMVNWGKVDFWSCVNFSSEYWNMSEEFCAELVNMCNNKGMVFCQTPSIAMRSACADRIDQILMDVYKESAGLNKPLQLLIIILPDQTGSYGKIKRICETELGIVSQCCKPVQAAKFSNQYFENVALKINAKVGGRNTVLNDAILRRIPLVTDVPTIIFGADVTHPPPGEDSSSSIAAVVASMDWPEVTKYRGIVSAQTHRMEIIQDLYKQTKDPQKGLVEGGMVRELLVAFFKSTRQKPSRIIFYRGGVSEGQFSQVLLYEMDAIWKACSSLQEGYMPRVTFVVVQKRHHTRLFPTDRNKTDGSGNILPGDKQGHTLPRFV >KJB35164 pep chromosome:Graimondii2_0_v6:6:34372678:34378787:1 gene:B456_006G103000 transcript:KJB35164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGGGERGSGRGGGRRGRGQGRGRGQPSQSSSRGGGGRARGRGSSGSTATYNPPPPQMAPAQPPPQMAVATPVPPSGAPFSHPSSSSGVGSLSREVSEKLTMEPVAVTTAPPLPPSSSKAIRFPPRPGFGTVGTKCVVRANHFLVDVANADLHHYDVTITPEVTAKKVNRAIMKQLIDVYKESHLGRRCPAYDGRKSLYTAGPLPFESKELVIKLPEEDRSAGSSRPARKERQFKVAIKLASKPDLHYLREFLSRKHFECPQEAIQVLDVVLRAKPSQTYTEVGRSFFHTSLGPRGELGNGIEYWSGYYQSLRPTQMGLSLNIDVSARSFYEPILVSEFVAKHFRRSNLSKPLSDQDRIKVKKALKGVRVKLIYMDYAKTCKIIGVSRDPISQLTFTHDDKRTNVSVVKYFREKYNVVLKYPSLPALQSGSEARPVYLPMELCSIVEGQRYTKKLNEQQVRSLLRATCQRPNIREGNITKMVQVNNFEVEELVTKEFGMHVRKELALINARVLNPPVLKYHDSGRDKIVNPSCGQWNMINKKMVNGGKVDFWSCVNFSSEYRNMSEDFCAGLVNMCNSKGMVFRQTPSIAMRSARADRIDQTLMDVYKESAGLNKPLKLLIIILPDQTGSYGKIKRICETELGIVSQCCKPAQASKFSNQYFENVALKINAKVGGRNTVLNDAVLRRIPLVTDVPTIIFGADVTHPPPGEDSSSSIAAVVASMDWPEVTKYRGIVSAQTHRVEIIEDLYKQTRDPQKGLVEGGMVRELLLAFYRSTKQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKEGYMPRVTFVVVQKRHHTRLFPTDRNKTDRSGNILPGTVVDTSICHPTEFDFYLNSHAGIQGTSKPTHYHVLLDENGFSADILQVLTNNLCYTYARCTKSVSIVPPAYYAHLAAYRARYYIEDEMSDSGSTGGGRKPKDKVVEVRPLPSIKDNVKEVMFYV >KJB35163 pep chromosome:Graimondii2_0_v6:6:34372771:34377946:1 gene:B456_006G103000 transcript:KJB35163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGGGERGSGRGGGRRGRGQGRGRGQPSQSSSRGGGGRARGRGSSGSTATYNPPPPQMAPAQPPPQMAVATPVPPSGAPFSHPSSSSGVGSLSREVSEKLTMEPVAVTTAPPLPPSSSKAIRFPPRPGFGTVGTKCVVRANHFLVDVANADLHHYDVTITPEVTAKKVNRAIMKQLIDVYKESHLGRRCPAYDGRKSLYTAGPLPFESKELVIKLPEEDRSAGSSRPARKERQFKVAIKLASKPDLHYLREFLSRKHFECPQEAIQVLDVVLRAKPSQTYTEVGRSFFHTSLGPRGELGNGIEYWSGYYQSLRPTQMGLSLNIDVSARSFYEPILVSEFVAKHFRRSNLSKPLSDQDRIKVKKALKGVRVKLIYMDYAKTCKIIGVSRDPISQLTFTHDDKRTNVSVVKYFREKYNVVLKYPSLPALQSGSEARPVYLPMELCSIVEGQRYTKKLNEQQVRSLLRATCQRPNIREGNITKMVQVNNFEVEELVTKEFGMHVRKELALINARVLNPPVLKYHDSGRDKIVNPSCGQWNMINKKMVNGGKVDFWSCVNFSSEYRNMSEDFCAGLVNMCNSKGMVFRQTPSIAMRSARADRIDQTLMDVYKESAGLNKPLKLLIIILPDQTGSYGKIKRICETELGIVSQCCKPAQASKFSNQYFENVALKINAKVGGRNTVLNDAVLRRIPLVTDVPTIIFGADVTHPPPGEDSSSSIAAVVASMDWPEVTKYRGIVSAQTHRVEIIEDLYKQTRDPQKGLVEGGMVRELLLAFYRSTKQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACSSLQEGYMPRVTFVVVQKRHHTRLFPTDRNKTDRSGNILPGTVVDTSICHPTEFDFYLNSHAGIQVK >KJB35165 pep chromosome:Graimondii2_0_v6:6:34372678:34385411:1 gene:B456_006G103000 transcript:KJB35165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGGGERGSGRGGGRRGRGQGRGRGQPSQSSSRGGGGRARGRGSSGSTATYNPPPPQMAPAQPPPQMAVATPVPPSGAPFSHPSSSSGVGSLSREVSEKLTMEPVAVTTAPPLPPSSSKAIRFPPRPGFGTVGTKCVVRANHFLVDVANADLHHYDVTITPEVTAKKVNRAIMKQLIDVYKESHLGRRCPAYDGRKSLYTAGPLPFESKELVIKLPEEDRSAGSSRPARKERQFKVAIKLASKPDLHYLREFLSRKHFECPQEAIQVLDVVLRAKPSQIYTEVGRSFFYTSLGPRGELGNGTEYWSGYYQSLRPTQMGLSLNIDVSARSFYEPILVSEFVVKHFRRSNLSKPLSDQDRIKVKKALKGVRVRLIYMDYAKTCKIIGVSRDPISQLTFPLDDKRTNVSVVKYFREKYNVVLKYPSLPALQSGSEARPVYLPMEMVQVNNFEVEELVTKEFGMHVRKELALINAQVLNPPVLKYHDSGRDKIVNPSCGQWNMINKVFCQTPSIAMRSACADRIDQILMDVYKESAGLNKPLQLLIIILPDQTGSYGKIKRICETELGIVSQCCKPVQAAKFSNQYFENVALKINAKVGGRNTVLNDAILRRIPLVTDVPTIIFGADVTHPPPGEDSSSSIAAVVASMDWPEVTKYRGIVSAQTHRMEIIQDLYKQTKDPQKGLVEGGMVRGGVSEGQFSQVLLYEMDAIWKACSSLQEGYMPRVTFVVVQKRHHTRLFPTDRNKTDGSGNILPGTVVDTSICHPTEFDFYLNSHAGILGTSKATRYHVLFDENGFSADILQVLTNSLCYTYARCTKSVSIGHAIILRMRCRIVGLLVEAESRKTRL >KJB36955 pep chromosome:Graimondii2_0_v6:6:44135940:44138298:1 gene:B456_006G184000 transcript:KJB36955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNIGSLDSCKPTSNDVCCPANVTVSTIQGSVSPTIIKSSEATLGRHLARRLVQIGISDVFSVPGDFNLTLLDHLIAEPGLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHEMIDTAISTALKESKPVYISISCNLPAIPHPTFAREPVPFSLSPKLSNKIGLEAAVEAAAEFLNKAVKPVLVGGPKLRVAKACEAFVELADASGYAVAVMPSGKGLVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIYNDYSSVGYSLLLKKEKAIIVQPDRVVIGNGPAFGCVLMKDFLRELAKRLKHNNTAYENYHRIFVPEGQPLKAAPKDPLRVNVLFQHIQKMLSSDSAVIAETGDSWFNCQKLKLPAGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCGQKTVIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAIHNGEGKCWTAKVSQIQT >KJB36956 pep chromosome:Graimondii2_0_v6:6:44135940:44139195:1 gene:B456_006G184000 transcript:KJB36956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNIGSLDSCKPTSNDVCCPANVTVSTIQGSVSPTIIKSSEATLGRHLARRLVQIGISDVFSVPGDFNLTLLDHLIAEPGLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHEMIDTAISTALKESKPVYISISCNLPAIPHPTFAREPVPFSLSPKLSNKIGLEAAVEAAAEFLNKAVKPVLVGGPKLRVAKACEAFVELADASGYAVAVMPSGKGLVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIYNDYSSVGYSLLLKKEKAIIVQPDRVVIGNGPAFGCVLMKDFLRELAKRLKHNNTAYENYHRIFVPEGQPLKAAPKDPLRVNVLFQHIQKMLSSDSAVIAETGDSWFNCQKLKLPAGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCGQKTVIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAIHNGEGKCWTAKVFCEEELIEAIETATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >KJB36954 pep chromosome:Graimondii2_0_v6:6:44135780:44139195:1 gene:B456_006G184000 transcript:KJB36954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNIGSLDSCKPTSNDVCCPANVTVSTIQGSVSPTIIKSSEATLGRHLARRLVQIGISDVFSVPGDFNLTLLDHLIAEPGLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHEMIDTAISTALKESKPVYISISCNLPAIPHPTFAREPVPFSLSPKLSNKIGLEAAVEAAAEFLNKAVKPVLVGGPKLRVAKACEAFVELADASGYAVAVMPSGKGLVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIYNDYSSVGYSLLLKKEKAIIVQPDRVVIGNGPAFGCVLMKDFLRELAKRLKHNNTAYENYHRIFVPEGQPLKAAPKDPLRVNVLFQHIQKMLSSDSAVIAETGDSWFNCQKLKLPAGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCGQKTVIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAIHNGEGKCWTAKVFCEEELIEAIETATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >KJB37352 pep chromosome:Graimondii2_0_v6:6:45808672:45812328:-1 gene:B456_006G201200 transcript:KJB37352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISEFQEEEARPSASSSPKAVPFNASLDPSDPVGFLEKVFDFLAKETNFLEKENFDKEIAVVVRVAKEKHKQKVEEVAPKVEIKKEEVKKEKEIKEEVNREAMEVDKNEDQSSSGLKVPNKGNGLDLDKYSWTQSLQEVTVNVPVPNGTKSRFVVCEIKKNHLKVGLKGQPPIIDGELFQTVKPDDCYWSIEDSCALSILLTKHNQMEWWKSLVKGDPEIDTQKVEPENSKLSDLDPETRQTVEKMMFDQRQKAMGLPTSDELQKQEILKKFMSEHPEMDFSRAKLM >KJB33278 pep chromosome:Graimondii2_0_v6:6:1026405:1028856:1 gene:B456_006G005200 transcript:KJB33278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKAPIPHFLSSPNPNAKTLRTPFLNLGTTSRRFLLFFLSSPILPSSNSLALDNAKLLQSNIMDVLASSFDPVSQAEKDASSLISRRVSDAVELLERGKELQAQGDFPKALHFFTLVVENYKDFAFSDYARVGRALALYEVGDKEEAFAEMEDVSISLKGYPVHAALAAVLYADKHAPLLAENQFAIATLLDPHFTDLSYVIETKHWPPSLVSSLQHFITLS >KJB33276 pep chromosome:Graimondii2_0_v6:6:1026345:1032001:1 gene:B456_006G005200 transcript:KJB33276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKAPIPHFLSSPNPNAKTLRTPFLNLGTTSRRFLLFFLSSPILPSSNSLALDNAKLLQSNIMDVLASSFDPVSQAEKDASSLISRRVSDAVELLERGKELQAQGDFPKALHFFTLVVENYKDFAFSDYARVGRALALYEVGDKEEAFAEMEDVSISLKGYPEVHAALAAVLYADKHAPLLAENQFAIATLLDPHFTDLSYVIETKHWPPSLGCNLGLNRRKKKQLG >KJB33277 pep chromosome:Graimondii2_0_v6:6:1026381:1029096:1 gene:B456_006G005200 transcript:KJB33277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKAPIPHFLSSPNPNAKTLRTPFLNLGTTSRRFLLFFLSSPILPSSNSLALDNAKLLQSNIMDVLASSFDPVSQAEKDASSLISRRVSDAVELLERGKELQAQGDFPKALHFFTLVVENYKDFAFSDYARVGRALALYEVGDKEEAFAEMEDVSISLKGYPEVHAALAAVLYADKHAPLLAENQFAIATLLDPHFTDLSYVIETKHWPPSLVSSLQHFITLS >KJB33478 pep chromosome:Graimondii2_0_v6:6:2715612:2717753:-1 gene:B456_006G012700 transcript:KJB33478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKTFADKLMHVWTGWEIRVLVLVSLLLQVILVVFGSRRKLTTRIWIRIIVWAAYMSADWVATVALGNLATLGGYCGQKCLKPNDLLQSFWAPFLLLHLGGPDTITAYALEDNELWLRHLLGLIVEVGVAFYVFSRSGGDTTLTFIAIPVFIAGIIKYGERTWVLRSSSAKHFRSSLLSAPDAGPDYVEFVENRDGNDRDPVALTVLPHEDVKSNDYLHQAGYLFKRFKYLFADLILGYYERTDCHSIISNKSPEEAFQLVEVELSFLYDLLYTKANFVYSWFGILLRVISFLASVSALVTFSILIFFKQNGYSTADITITYILLFGAVVLEVYALVILTYSDWTMLFLTRFGMGPSKQPTNVKRWPRIISQYNIMDVCLREAITPRWIGFQKSLGIYEISEKMMNVTWQGVVPELKDLIFQQLKEKSKRIEDNLYDINLCKTLLTHRGDHVLKEIKCDKHLEWSTVGVEFDHSLLLWHIATELCYYDDLTRFNHPSITKSSKISKCLSDYMLYLLVMCPNMLPKGIGEIRYRDTCEEAVRFFKRKKEVIKNHIGKACYELLRVKTEISLEEVKGDRCKSVLFYGNRLGKQLQALKPEDQSNWGCDEKWEMINKVWVELLAYAAVHCGWKEHAQQLRRGGELLTHVCLLMAHLGLSDQYQIQKQFIHQPNRQFFEALFLKAYKKPRSECFLCSLRCLLCISDRSCCCNKDSS >KJB36282 pep chromosome:Graimondii2_0_v6:6:40884621:40885637:1 gene:B456_006G150100 transcript:KJB36282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLYLITQTKAERSLALFHHPPPLHFHSHFLSTMSAKECGHHGKGHNKFRRRLLFGILFFILIVLITVLLIWAILRPSKPRFILQDTTVYGFNASVPNFLTSSFQVTVSSRNPNDRIGIYYDRLDLYATYRNQQITPRTSLPPTYQGHKDVNVWSPFINGNMIPISPDFSTSLSSEQASGSVFLTIKIDGRVRWKVGAFVSGRYHLYVRCPAIITFGSKFNGVIVAQNAVKFQFVTRCSVSV >KJB36358 pep chromosome:Graimondii2_0_v6:6:41319758:41324930:1 gene:B456_006G154700 transcript:KJB36358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPERCFYCFPPFGSPAASFSNDDEQKSLALLNQYSEILSCERDQLKKRALLAQALPLPDVGVPVYKAGTEVKPCEIEKKGLEEAKKKKVVVGRLQVISIASVMKFDATSSGSSWFCKKNEEIRIQKAVCRIRINKTKSTWFWCKELGN >KJB35563 pep chromosome:Graimondii2_0_v6:6:37072078:37075847:1 gene:B456_006G120400 transcript:KJB35563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNHALSEVEKTAVCNGGCDKLNCVCSSSSSNLASPPCTSSIYLELWHACAGPLTSLPKKGNLVVYFPQGHLEQLASASPFSPLEISTFDLPPHIFCKVVNVQLLANKENDEVYTQLTLLPQPELREPNLESKQLDELGVDEGDDGSPKRSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQTRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVAGDAVLFLRGEDGELRLGIRRAVRPRNCLPESVIAKQNSYLNVLSPVANALSMKSMFHVFYSPRASHAEFVIPFRKYFKSIANSVCIGTRFKMRFDMDDSPERRFSGVVMGMGDSDPYKWPNSRWRCLMVRWDEDNMIDRHERVSPWEIDPSASLPPFSIQSSPRLKKLRTGGSRFLDFEEPLRSSKVLQGQENVGFVSPLYGRDTVSRPLDFEMQSPAHHQSLASTGIEKSNISEFMRVRSTTYTGFADSNRFPKVLQGQEICQLRSLTQKADLNPGVWAKTNLGCNSFNMHQTLRTNCYPLASEGLRNMYFPYGEFLKTVQEPTMSSYACPLRRGNVPFNASSIRTGVGVIVDGFRKPNQQNEHKPLENIPSPASENNLRNQQDDSFKRNVAGCKLFGFPLNVESPTPNSQNSGKRSCTKVSLS >KJB35566 pep chromosome:Graimondii2_0_v6:6:37071699:37076511:1 gene:B456_006G120400 transcript:KJB35566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNHALSEVEKTAVCNGGCDKLNCVCSSSSSNLASPPCTSSIYLELWHACAGPLTSLPKKGNLVVYFPQGHLEQLASASPFSPLEISTFDLPPHIFCKVVNVQLLANKENDEVYTQLTLLPQPELREPNLESKQLDELGVDEGDDGSPKRSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQTRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVAGDAVLFLRGEDGELRLGIRRAVRPRNCLPESVIAKQNSYLNVLSPVANALSMKSMFHVFYSPRASHAEFVIPFRKYFKSIANSVCIGTRFKMRFDMDDSPERRFSGVVMGMGDSDPYKWPNSRWRCLMVRWDEDNMIDRHERVSPWEIDPSASLPPFSIQSSPRLKKLRTGPQAATPDTLIAGGSRFLDFEEPLRSSKVLQGQENVGFVSPLYGRDTVSRPLDFEMQSPAHHQSLASTGIEKSNISEFMRVRSTTYTGFADSNRFPKVLQGQEICQLRSLTQKADLNPGVWAKTNLGCNSFNMHQTLRTNCYPLASEGLRNMYFPYGEFLKTVQEPTMSSYACPLRRGNVPFNASSIRTGVGVIVDGFRKPNQQNEHKPLENIPSPASENNLRNQQDDSFKRNVAGCKLFGFPLNVESPTPNSQNSGSQARQLGRKSY >KJB35561 pep chromosome:Graimondii2_0_v6:6:37071556:37076543:1 gene:B456_006G120400 transcript:KJB35561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNHALSEVEKTAVCNGGCDKLNCVCSSSSSNLASPPCTSSIYLELWHACAGPLTSLPKKGNLVVYFPQGHLEQLASASPFSPLEISTFDLPPHIFCKVVNVQLLANKENDEVYTQLTLLPQPELREPNLESKQLDELGVDEGDDGSPKRSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQTRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVAGDAVLFLRGEDGELRLGIRRAVRPRNCLPESVIAKQNSYLNVLSPVANALSMKSMFHVFYSPRASHAEFVIPFRKYFKSIANSVCIGTRFKMRFDMDDSPERRFSGVVMGMGDSDPYKWPNSRWRCLMVRWDEDNMIDRHERVSPWEIDPSASLPPFSIQSSPRLKKLRTGGSRFLDFEEPLRSSKVLQGQENVGFVSPLYGRDTVSRPLDFEMQSPAHHQSLASTGIEKSNISEFMRVRSTTYTGFADSNRFPKVLQGQEICQLRSLTQKADLNPGVWAKTNLGCNSFNMHQTLRTNCYPLASEGLRNMYFPYGEFLKTVQEPTMSSYACPLRRGNVPFNASSIRTGVGVIVDGFRKPNQQNEHKPLENIPSPASENNLRNQQDDSFKRNVAGCKLFGFPLNVESPTPNSQNSGKRSCTKVHKQGSLVGRAIDLSRLYGYDDLMIELEHLFGMEGVLSDPDKGWRVLYTDGENDVMVVGDDPWHEFCEVVSKIHVYTQEEVEKMTIGTGSDDTQSCLEQAAVIMEASKSSSVGQPDSSSPM >KJB35564 pep chromosome:Graimondii2_0_v6:6:37071699:37076511:1 gene:B456_006G120400 transcript:KJB35564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNHALSEVEKTAVCNGGCDKLNCVCSSSSSNLASPPCTSSIYLELWHACAGPLTSLPKKGNLVVYFPQGHLEQLASASPFSPLEISTFDLPPHIFCKVVNVQLLANKENDEVYTQLTLLPQPELREPNLESKQLDELGVDEGDDGSPKRSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQTRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVAGDAVLFLRGEDGELRLGIRRAVRPRNCLPESVIAKQNSYLNVLSPVANALSMKSMFHVFYSPRASHAEFVIPFRKYFKSIANSVCIGTRFKMRFDMDDSPERRFSGVVMGMGDSDPYKWPNSRWRCLMVRWDEDNMIDRHERVSPWEIDPSASLPPFSIQSSPRLKKLRTGGSRFLDFEEPLRSSKVLQGQENVGFVSPLYGRDTVSRPLDFEMQSPAHHQSLASTGIEKSNISEFMRVRSTTYTGFADSNRFPKVLQGQEICQLRSLTQKADLNPGVWAKTNLGCNSFNMHQTLRTNCYPLASEGLRNMYFPYGEFLKTVQEPTMSSYACPLRRGNVPFNASSIRTGVGVIVDGFRKPNQQNEHKPLENIPSPASENNLRNQQDDSFKRNVAGCKLFGFPLNVESPTPNSQNSGSQARQLGRKSY >KJB35567 pep chromosome:Graimondii2_0_v6:6:37073613:37076563:1 gene:B456_006G120400 transcript:KJB35567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMFHVFYSPRASHAEFVIPFRKYFKSIANSVCIGTRFKMRFDMDDSPERRFSGVVMGMGDSDPYKWPNSRWRCLMVRWDEDNMIDRHERVSPWEIDPSASLPPFSIQSSPRLKKLRTGPQAATPDTLIAGGSRFLDFEEPLRSSKVLQGQENVGFVSPLYGRDTVSRPLDFEMQSPAHHQSLASTGIEKSNISEFMRVRSTTYTGFADSNRFPKVLQGQEICQLRSLTQKADLNPGVWAKTNLGCNSFNMHQTLRTNCYPLASEGLRNMYFPYGEFLKTVQEPTMSSYACPLRRGNVPFNASSIRTGVGVIVDGFRKPNQQNEHKPLENIPSPASENNLRNQQDDSFKRNVAGCKLFGFPLNVESPTPNSQNSGKRSCTKVHKQGSLVGRAIDLSRLYGYDDLMIELEHLFGMEGVLSDPDKGWRVLYTDGENDVMVVGDDPWHEFCEVVSKIHVYTQEEVEKMTIGTGSDDTQSCLEQAAVIMEASKSSSVGQPDSSSPM >KJB35562 pep chromosome:Graimondii2_0_v6:6:37071556:37076543:1 gene:B456_006G120400 transcript:KJB35562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNHALSEVEKTAVCNGGCDKLNCVCSSSSSNLASPPCTSSIYLELWHACAGPLTSLPKKGNLVVYFPQGHLEQLASASPFSPLEISTFDLPPHIFCKVVNVQLLANKENDEVYTQLTLLPQPELREPNLESKQLDELGVDEGDDGSPKRSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQTRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVAGDAVLFLRGEDGELRLGIRRAVRPRNCLPESVIAKQNSYLNVLSPVANALSMKSMFHVFYSPRASHAEFVIPFRKYFKSIANSVCIGTRFKMRFDMDDSPERRFSGVVMGMGDSDPYKWPNSRWRCLMVRWDEDNMIDRHERVSPWEIDPSASLPPFSIQSSPRLKKLRTGPQAATPDTLIAGGSRFLDFEEPLRSSKVLQGQENVGFVSPLYGRDTVSRPLDFEMQSPAHHQSLASTGIEKSNISEFMRVRSTTYTGFADSNRFPKVLQGQEICQLRSLTQKADLNPGVWAKTNLGCNSFNMHQTLRTNCYPLASEGLRNMYFPYGEFLKTVQEPTMSSYACPLRRGNVPFNASSIRTGVGVIVDGFRKPNQQNEHKPLENIPSPASENNLRNQQDDSFKRNVAGCKLFGFPLNVESPTPNSQNSGKRSCTKVHKQGSLVGRAIDLSRLYGYDDLMIELEHLFGMEGVLSDPDKGWRVLYTDGENDVMVVGDDPWHEFCEVVSKIHVYTQEEVEKMTIGTGSDDTQSCLEQAAVIMEASKSSSVGQPDSSSPM >KJB35565 pep chromosome:Graimondii2_0_v6:6:37071699:37076511:1 gene:B456_006G120400 transcript:KJB35565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNHALSEVEKTAVCNGGCDKLNCVCSSSSSNLASPPCTSSIYLELWHACAGPLTSLPKKGNLVVYFPQGHLEQLASASPFSPLEISTFDLPPHIFCKVVNVQLLANKENDEVYTQLTLLPQPELREPNLESKQLDELGVDEGDDGSPKRSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQTRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVAGDAVLFLRGEDGELRLGIRRAVRPRNCLPESVIAKQNSYLNVLSPVANALSMKSMFHVFYSPRASHAEFVIPFRKYFKSIANSVCIGTRFKMRFDMDDSPERRFSGVVMGMGDSDPYKWPNSRWRCLMVRWDEDNMIDRHERVSPWEIDPSASLPPFSIQSSPRLKKLRTGGSRFLDFEEPLRSSKVLQGQENVGFVSPLYGRDTVSRPLDFEMQSPAHHQSLASTGIEKSNISEFMRVRSTTYTGFADSNRFPKVLQGQEICQLRSLTQKADLNPGVWAKTNLGCNSFNMHQTLRTNCYPLASEGLRNMYFPYGEFLKTVQEPTMSSYACPLRRGNVPFNASSIRTGVGVIVDGFRKPNQQNEHKPLENIPSPASENNLRNQQDDSFKRNVAGCKLFGFPLNVESPTPNSQNSGSQARQLGRKSY >KJB36546 pep chromosome:Graimondii2_0_v6:6:42545015:42548569:-1 gene:B456_006G165800 transcript:KJB36546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPNVEYIAGGATQNSIKVAQWMLQIPGATDYIGCIGKDKFGEEMKKNSKAAGVNVQYLEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVEKAKYFYIAGFFLTVSPESIQLVAEHAAAKNKVFSMNLSAPFICEFFKDAQEKALPYMDFVFGNETEARTFSKVHGWETDDVAEIALKISQWPKASGTYKRTTVITQGADPVIVAEDGKVKQFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQGKSIEDCVRAGCYASNVIIQRSGCTYPEKPEFK >KJB36547 pep chromosome:Graimondii2_0_v6:6:42545702:42548426:-1 gene:B456_006G165800 transcript:KJB36547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEGILLGMGNPLLDISLVVDEEFLKKYDIKLNNAILAEEKHLPMYQEMASKPNVEYIAGGATQNSIKVAQWMLQIPGATDYIGCIGKDKFGEEMKKNSKAAGVNVQYLEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVEKAKYFYIAGFFLTVSPESIQLVAEHAAAKNKVFSMNLSAPFICEFFKDAQEKALPYMDFVFGNETEARTFSKVHGWETDDVAEIALKISQWPKASGTYKRTTVITQGADPVIVAEDGKVKQFPVILLPKEKLVDTNGAGIQSLPTLDSVNAKMKYHTRHTTQISYRNDLT >KJB36549 pep chromosome:Graimondii2_0_v6:6:42545015:42548600:-1 gene:B456_006G165800 transcript:KJB36549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEGILLGMGNPLLDISLVVDEEFLKKYDIKLNNAILAEEKHLPMYQEMASKPNVEYIAGGATQNSIKVAQWMLQIPGATDYIGCIGKDKFGEEMKKNSKAAGVNVQYLEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVEKAKYFYIAGFFLTVSPESIQLVAEHAAAKNKVFSMNLSAPFICEFFKDAQEKALPYMDFVFGNETEARTFSKVHGWETDDVAEIALKISQWPKASGTYKRTTVITQGADPVIVAEDGKVKQFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQGKSIEDCVRAGCYASNVIIQRSGCTYPEKPEFK >KJB36545 pep chromosome:Graimondii2_0_v6:6:42545015:42548529:-1 gene:B456_006G165800 transcript:KJB36545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIPGATDYIGCIGKDKFGEEMKKNSKAAGVNVQYLEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVEKAKYFYIAGFFLTVSPESIQLVAEHAAAKNKVFSMNLSAPFICEFFKDAQEKALPYMDFVFGNETEARTFSKVHGWETDDVAEIALKISQWPKASGTYKRTTVITQGADPVIVAEDGKVKQFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQGKSIEDCVRAGCYASNVIIQRSGCTYPEKPEFK >KJB36544 pep chromosome:Graimondii2_0_v6:6:42545015:42548529:-1 gene:B456_006G165800 transcript:KJB36544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEGILLGMGNPLLDISLVVDEEFLKKYDIKLNNAILAEEKHLPMYQEMASKPNVEYIAGGATQNSIKVAQWMLQIPGATDYIGCIGKDKFGEEMKKNSKAAGVNVQYLEDETAPTGTCAVCVVGGERIMMLESIYVLSTGIARFKLGSICRSLIANLSAANCYKSEHLKKPENWALVEKAKYFYIAGFFLTVSPESIQLVAEHAAAKNKVFSMNLSAPFICEFFKDAQEKALPYMDFVFGNETEARTFSKVHGWETDDVAEIALKISQWPKASGTYKRTTVITQGADPVIVAEDGKVKQFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQGKSIEDCVRAGCYASNVIIQRSGCTYPEKPEFK >KJB36548 pep chromosome:Graimondii2_0_v6:6:42546037:42548426:-1 gene:B456_006G165800 transcript:KJB36548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEGILLGMGNPLLDISLVVDEEFLKKYDIKLNNAILAEEKHLPMYQEMASKPNVEYIAGGATQNSIKVAQWMLQIPGATDYIGCIGKDKFGEEMKKNSKAAGVNVQYLEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVEKAKYFYIAGFFLTVSPESIQLVAEHAAAKNKVFSMNLSAPFICEFFKDAQEKALPYMDFVFGNETEARTFSKVHGWEVIAVKYNMLL >KJB38584 pep chromosome:Graimondii2_0_v6:6:50236753:50241248:1 gene:B456_006G262200 transcript:KJB38584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSNAAATAFYDHAGGGGSFNNAGPAGGDAGDAVMARWLQSAGLQHLASPLTSTGIDQRLLPNLLMQGYGAESAEEKQRLFKLMRNLKFNGEFGLEPYTPTAQSSGGQATSDGFHSPEFKGDFGAGLLDLHAIDDTELLSEHVISEPFEPSPFMPGVNKSFENEFNVTSSRQQKEQSNADASASSFIANEKEISTRENNVAKIKVVVRKRPLNKKEISRKEDDIVTVGENALTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNDEVYRVTVEPIIPIIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAQDLIRFLHQPVYHSQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVLDVQVVKEYIERGNAARSTGSTGANEESSRSHAILQLAIKKHPEIKESKRNNDGNESKSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPKKDQAVTSLPPSNTDASSASSLPAPADIEVVYEQQQEAKVVDTSQRVVEKDVYTVDFDKQLSKFPSGYSFNRREESGLTSGPTGRERFEVNNSYSSSTKRVYSSNSQNSADTEEKLQKVSPPRRKVTREEKSDKMTGIVAKKDGGGSDLSTTKSRQSNAVSYSNANNVGHRQYDPEPPDENINAILEEEEALIAAHRKEIEDTMEIVREVSKLALD >KJB38586 pep chromosome:Graimondii2_0_v6:6:50236221:50242027:1 gene:B456_006G262200 transcript:KJB38586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSNAAATAFYDHAGGGGSFNNAGPAGGDAGDAVMARWLQSAGLQHLASPLTSTGIDQRLLPNLLMQGYGAESAEEKQRLFKLMRNLKFNGEFGLEPYTPTAQSSGGQATSDGFHSPEFKGDFGAGLLDLHAIDDTELLSEHVISEPFEPSPFMPGVNKSFENEFNVTSSRQQKEQSNADASASSFIANEKEISTRENNVAKIKVVVRKRPLNKKEISRKEDDIVTVGENALTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNDEVYRVTVEPIIPIIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAQDLIRFLHQPVYHSQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVLDVQVVKEYIERGNAARSTGSTGANEESSRSHAILQLAIKKHPEIKESKRNNDGNESKSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPKKDQAVTSLPPSNTDASSASSLPAPADIEVVYEQQQEAKVVDTSQRVVEKDVYTVDFDKQLSKFPSGYSFNRREESGLTSGPTGRERFEVNNSYSSSTKRVYSSNSQNSADTEEKLQKVSPPRRKVTREEKSDKMTGIVAKKDGGGSDLSTTKSRQSNAVSYSNANNVGHRQYDPEPPDENINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVGLQARLARFQHRLKEQEILSRKRVPR >KJB38585 pep chromosome:Graimondii2_0_v6:6:50236221:50241935:1 gene:B456_006G262200 transcript:KJB38585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSNAAATAFYDHAGGGGSFNNAGPAGGDAGDAVMARWLQSAGLQHLASPLTSTGIDQRLLPNLLMQGYGAESAEEKQRLFKLMRNLKFNGEFGLEPYTPTAQSSGGQATSDGFHSPEFKGDFGAGLLDLHAIDDTELLSEHVISEPFEPSPFMPGVNKSFENEFNVTSSRQQKEQSNADASASSFIANEKEISTRENNVAKIKVVVRKRPLNKKEISRKEDDIVTVGENALTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNDEVYRVTVEPIIPIIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAQDLIRFLHQPVYHSQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVLDVQVVKEYIERGNAARSTGSTGANEESSRSHAILQLAIKKHPEIKESKRNNDGNESKSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPKKDQAVTSLPPTVKVSVRLLFQQERGKWIDFWANRSGEI >KJB38587 pep chromosome:Graimondii2_0_v6:6:50236221:50241935:1 gene:B456_006G262200 transcript:KJB38587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSNAAATAFYDHAGGGGSFNNAGPAGGDAGDAVMARWLQSAGLQHLASPLTSTGIDQRLLPNLLMQGYGAESAEEKQRLFKLMRNLKFNGEFGLEPYTPTAQSSGGQATSDGFHSPEFKGDFGAGLLDLHAIDDTELLSEHVISEPFEPSPFMPGVNKSFENEFNVTSSRQQKEQSNADASASSFIANEKEISTRENNVAKIKVVVRKRPLNKKEISRKEDDIVTVGENALTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNDEVYRVTVEPIIPIIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAQDLIRFLHQPVYHSQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVLDVQVVKEYIERGNAARSTGSTGANEESSRSHAILQLAIKKHPEIKESKRNNDGNESKSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPKKDQAVTSLPPSNTDASSASSLPAPADIEVVYEQQQEAKVVDTSQRVVEKDVYTVDFDKQLSKFPSGYSFNRREESGLTSGPTGRERFEVNNSYSSSTKRVYSSNSQNSADTEEKLQKVSPPRRKVTREEKSDKMTGIVAKKDGGGSDLSTTKSRQSNAVSYSNANNVGHRQYDPEPPDENINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVGLQARLARFQHRLKEQEILSRKRVPR >KJB36783 pep chromosome:Graimondii2_0_v6:6:43490707:43493139:1 gene:B456_006G177400 transcript:KJB36783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSCWNPSAEGDSGGRVDGLLWCKDLGQHMYGEFSMAVIQANSMLEDQSQIESGPLSSTTSGPYGTFFGVYDGHGGTEASRYVNDNLFSNLKSFASEHQEISADVLRKAFSTTEENFLSLVRKQWHVKPHMASVGSCCLIGIICNGLLYIANAGDSRVVLGRSGRGTKQAKAMQLSVEHNASIDTVREELRSLHPNDPHIVVKKHRVWRVKGLIQISRSIGDAYLKKAEFNKEPLLPKFRLPEPFQKPILSAEPSVLVHKLHPDDQFLIFASDGLWEHISNQEAVKIVQTSPRNGIARRLIKAALKEAAKKREMRYSDLRKIDRGVRRHFHDDITVVVVFIDPNLINGGSSSYFPLSIKGGRFAAGAGVP >KJB36780 pep chromosome:Graimondii2_0_v6:6:43490707:43493139:1 gene:B456_006G177400 transcript:KJB36780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDMVELKLPATSMTTFSAILSVTFASEHQEISADVLRKAFSTTEENFLSLVRKQWHVKPHMASVGSCCLIGIICNGLLYIANAGDSRVVLGRSGRGTKQAKAMQLSVEHNASIDTVREELRSLHPNDPHIVVKKHRVWRVKGLIQISRSIGDAYLKKAEFNKEPLLPKFRLPEPFQKPILSAEPSVLVHKLHPDDQFLIFASDGLWEHISNQEAVKIVQTSPRNGIARRLIKAALKEAAKKREMRYSDLRKIDRGVRRHFHDDITVVVVFIDPNLINGGSSSYFPLSIKGGRFAAGAGVP >KJB36779 pep chromosome:Graimondii2_0_v6:6:43490707:43493139:1 gene:B456_006G177400 transcript:KJB36779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSCWNPSAEGDSGGRVDGLLWCKDLGQHMYGEFSMAVIQANSMLEDQSQIESGPLSSTTSGPYGTFFGVYDGHGGTEASRYVNDNLFSNLKSFASEHQEISADVLRKAFSTTEENFLSLVRKQWHVKPHMASVGSCCLIGIICNGLLYIANAGDSRVVLGRSGRGTKQAKAMQLSVEHNASIDTVREELRSLHPNDPHIVVKKHRVWRVKGLIQISRSIGDAYLKKAEFNKEPLLPKFRLPEPFQKPILSAEPSVLVHKLHPDDQFLIFASDGLWEHISNQEAVKIVQTSPRNGIARRLIKAALKEAAKKREMRYSDLRKIDRGVRRHFHDDITVVVVFIDPNLINGGSSSYFPLSIKGGRFAAGAGVP >KJB36784 pep chromosome:Graimondii2_0_v6:6:43490707:43493179:1 gene:B456_006G177400 transcript:KJB36784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSCWNPSAEGDSGGRVDGLLWCKDLGQHMYGEFSMAVIQANSMLEDQSQIESGPLSSTTSGPYGTFFGVYDGHGGTEASRYVNDNLFSNLKSFASEHQEISADVLRKAFSTTEENFLSLVRKQWHVKPHMASVGSCCLIGIICNGLLYIANAGDSRVVLGRSGRGTKQAKAMQLSVEHNASIDTVREELRSLHPNDPHIVVKKHRVWRVKGLIQISRSIGDAYLKKAEFNKEPLLPKFRLPEPFQKPILSAEPSVLVHKLHPDDQFLIFASDGLWEHISNQEAVKIVQTSPRNGIARRLIKAALKEAAKKREMRYSDLRKIDRGVRRHFHDDITVVVVFIDPNLINGGSSSYFPLSIKGGRFAAGAGVP >KJB36782 pep chromosome:Graimondii2_0_v6:6:43490707:43493139:1 gene:B456_006G177400 transcript:KJB36782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSCWNPSAEGDSGGRVDGLLWCKDLGQHMYGEFSMAVIQANSMLEDQSQIESGPLSSTTSGPYGTFFGVYDGHGGTEASRYVNDNLFSNLKSFASEHQEISADVLRKAFSTTEENFLSLVRKQWHVKPHMASVGSCCLIGIICNGLLYIANAGDSRVVLGRSGRGTKQAKAMQLSVEHNASIDTVREELRSLHPNDPHIVVKKHRVWRVKGLIQVYILFLFSLRKLSWK >KJB36781 pep chromosome:Graimondii2_0_v6:6:43490707:43493139:1 gene:B456_006G177400 transcript:KJB36781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSCWNPSAEGDSGGRVDGLLWCKDLGQHMYGEFSMAVIQANSMLEDQSQIESGPLSSTTSGPYGTFFGVYDGHGGTEASRYVNDNLFSNLKSFASEHQEISADVLRKAFSTTEENFLSLVRKQWHVKPHMASVGSCCLIGIICNGLLYIANAGDSRVVLGRSGRGTKQAKAMQLSVEHNASIDTVREELRSLHPNDPHIVVKKHRVWRVKGLIQISRSIGDAYLKKAEFNKEPLLPKFRLPEPFQKPILSAEPSVLVHKLHPDDQFLIFASDGLWEHISNQEAVKIVQTSPRNVSFQR >KJB36777 pep chromosome:Graimondii2_0_v6:6:43490707:43493139:1 gene:B456_006G177400 transcript:KJB36777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSCWNPSAEGDSGGRVDGLLWCKDLGQHMYGEFSMAVIQANSMLEDQSQIESGPLSSTTSGPYGTFFVVLGRSGRGTKQAKAMQLSVEHNASIDTVREELRSLHPNDPHIVVKKHRVWRVKGLIQISRSIGDAYLKKAEFNKEPLLPKFRLPEPFQKPILSAEPSVLVHKLHPDDQFLIFASDGLWEHISNQEAVKIVQTSPRNGIARRLIKAALKEAAKKREMRYSDLRKIDRGVRRHFHDDITVVVVFIDPNLINGGSSSYFPLSIKGGRFAAGAGVP >KJB36778 pep chromosome:Graimondii2_0_v6:6:43490707:43493139:1 gene:B456_006G177400 transcript:KJB36778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGSCCLIGIICNGLLYIANAGDSRVVLGRSGRGTKQAKAMQLSVEHNASIDTVREELRSLHPNDPHIVVKKHRVWRVKGLIQISRSIGDAYLKKAEFNKEPLLPKFRLPEPFQKPILSAEPSVLVHKLHPDDQFLIFASDGLWEHISNQEAVKIVQTSPRNGIARRLIKAALKEAAKKREMRYSDLRKIDRGVRRHFHDDITVVVVFIDPNLINGGSSSYFPLSIKGGRFAAGAGVP >KJB34064 pep chromosome:Graimondii2_0_v6:6:14399170:14403638:-1 gene:B456_006G046100 transcript:KJB34064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGGNRRVFNRLGGSSAASPDSSKNQKVCYHWRAGKCSRFPCPFLHRELPHPSGPAAATSNGSGAPKRFADDSGFSGPALRRGPNFNNNHNNSWGRMGANKVVRKTEKVCNYWVQGNCSYGDKCRFLHSWSLGECFTLLNHLDGHQKVVTGIALPAGMDKLYTGSKDETVRAWDTNTGQCMGVINLGGEVGCMISEGPWLFVGIPNVVKAWNTQTNQELSLSGPVGQVYTMVVGNDLLFAGTQDGTILAWKFNAVTNNFEPAASLKGHTLAVVSLVVGANRLYSGSMDHSIKAWSLETLQCLQTLTEHTSVVMSLLCWEQFLLSCSLDQTIKVWVATESGNLEVTYTHKEEHGLLNLRGMPDSESKPVLMCSCNDNSVRLYDLPSFSEKGKIFAKQEIRAIEVGPGGLFFTGDGTGFRVWKWAEAVPKP >KJB34065 pep chromosome:Graimondii2_0_v6:6:14399175:14403338:-1 gene:B456_006G046100 transcript:KJB34065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNYWVQGNCSYGDKCRFLHSWSLGECFTLLNHLDGHQKVVTGIALPAGMDKLYTGSKDETVRAWDTNTGQCMGVINLGGEVGCMISEGPWLFVGIPNVVKAWNTQTNQELSLSGPVGQVYTMVVGNDLLFAGTQDGTILAWKFNAVTNNFEPAASLKGHTLAVVSLVVGANRLYSGSMDHSIKAWSLETLQCLQTLTEHTSVVMSLLCWEQFLLSCSLDQTIKVWVATESGNLEVTYTHKEEHGLLNLRGMPDSESKPVLMCSCNDNSVRLYDLPSFSEKGKIFAKQEIRAIEVGPGGLFFTGDGTGFRVWKWAEAVPKP >KJB36957 pep chromosome:Graimondii2_0_v6:6:44144569:44148609:1 gene:B456_006G184100 transcript:KJB36957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISPFSWIFFNAFLVAAFFTIHLVLVSGQCQRDQGQLLLELKSSFNSTSLGKLHKWNQTTDCCFWDGVTCDASGRVIGLDLSNQSISGAIDNSSGLFRFQHLQQLNLAYNRLMATFPTGFDKLENLSYLNLSNAGFTGQIPAVISRMTRLVTLDLSVSSLLGRSLTLEKPKLEMLVQNLTKLKFLHLDGVNIRATGNEWCRAISSLTDLQVLSMSNCNLSGPIDSSISKLRSLSVIRLDNNNLSTSVPEFFAEFPNLTSLHLSTSGLRGGLPAEVLKIPTLQILDLSNNELLEGSFQEFPSNGSLQTLTLSGTKFGGQVPDSIGNLGQLTRIELASCNFSGPIPKAVKKLTQLVYLDFSSNSFSGPIPSFSSSRNLTQLNLAYNQLNGTIHSTDWSVLSNLVSIDLRNNKLSGTIPPTLFGIPSLQKISLSQNRFNGSLGDLRGKTTLLLDTLDLSSNMLQGQFPMFVFELQGLKILTISSNKFSGFIQWTDIQKLRNLSNLDLSYNNLSIDATSTNSALSTFPNITTLKLASCNLKKFPGFLKTQVKLNHLDLSKNQMSGEIPNWVWEIKNLAYLNLSQNSLMKFEGPFLSITSTLTVVDLHGNQLQGQIDRLPQYATYLDYSRNNFSSVLPRDIGDFLQFAYFFSISDNNFHGSIPESICKSSYLQVLDLSNNSLSGSIPECLIQMSVSLGVLNLRRNNLTGNISDTFPENCLLQTLVLNRNLLRGKVPKSLVTCKMLEVLDLGNNQINDTFPCHLKNISSLRVLVLRGNKFNGSVHCSERSPWPMLQIVDLSSNSFSGRLHEACLSTWKAMRAAESETLSELNHLQFKVLKLNQFYYQDAITVTMKGLELELLKILTVFTSIDISRNNFEGPIPEVIGTFKALYVLNFSHNAFTGSIPPSLGNLSQLESLDLSSNSFDGEIPIQLANLNFISFLNVSNNKLEGQIPRSTQIQSFSEASFENNKGLCGLPLTTDCVNGTSPKPRTTQEFQPADEFDWQLIFIGVGFGVGAALFVAPLIFWKTASKWVDEIVDKILEVVLPKLGRTYTCPGDRKVDEDENLEEDNKGSDEEDEQSQETTEEFRGRYCVFCSKLDQTRKKAIHDLSCTCYDSSSSSPSSSTSPPFSP >KJB33687 pep chromosome:Graimondii2_0_v6:6:6800067:6804824:1 gene:B456_006G026500 transcript:KJB33687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKLRSIPSYWIPFQLTSFSPVKRPPKRAISNLGTTKGLSSQIAMGDLDKMAALKAAYAEVILNTAKEAAARVIISEKRAALFQQQLDCSNKESLRLLLRLKHMIDDKTIEAETTSLNQKRKIDELEAQLHEAEDIITDLRVELNCLRDKLKIAKKTQEKPLSGEIISGDATASLKYPITKPTVQSPLNSGYVTVAESDMRDNVFNHGYLHRCCNATKQTGQPNVSHVESYYHHSSDLTTIAMASKKQVLYTQKTCAPQRNLEMKHTINGNVKPYEDSHTVSSIRVGNVDRTESYTKSEEFQHKSSSHEDVMTVYNGKVNIYNQLTEPYQSSSVPSSSAVCFNVDSNESDLKTGETEIKMKPLTRLGPGSTLIKCKVDPASNATDESSAMVDRTNDSIHIRKRKKESLGNTDEKTCKGRSLKRRSGAGAGAGEKENSLQEITKSKLVNECSKESRRLAQVAHQLISLSGKRW >KJB35698 pep chromosome:Graimondii2_0_v6:6:37615298:37621528:-1 gene:B456_006G124400 transcript:KJB35698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVQMDKMSTAPSSRERAQCLYKKNIELENNRRKSAQARVPSDPNTWQQMRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHYSAALTSARSNTSQGVKVPPRSDRLTKIRLQFKTFLSEATGFYHELILKIRAKYGLPFGYFSDDSESLIVMDKDGKKSAEIKMGLVSCHRCLIYLGDLARYKGLYGDGDSKTREYAAASSYYLQAVSVWPLSGNPHHQLAILASYSGDEVVAVYRYFRSLALDNPFSTARDNLIVAFEKNRHSCSQLTRDAKTSLVKEPAVSLSGKGRRKAEAKPISKEANMDSRPPKEKESGTQDNLKSFCIRFVRLNGILFTHTSPETFADVLKLVSHDLCELLSSGPEEKLSFGTDATENALFLVRLVSVLIFTVHNLKRESEAQTYAEIVQCAVLLQNALTAIFELMGYVVERCLQLGDVSSSYTLPAILVFVEWLACCPDVATADSDVDEKQSITRSLFWKHCLSFLNKILTIGPMCIDDDEDETFFNMSRYEEGETENLLALWEDFELRGFLPLVTAHNILDFSRKRSFISDGDKEKKARVKRILAAGRALASVIRIDQKTVCFDSKAKKFLIGVEPCEGVTFGSSTLLVANGVEHETPSEKINIGNMLPTAPGNVAEDDDDEVIVFQPSVSEKRIEVINSNRPPPETLKLDLSSCGGDRNFYGSTMSSLDSLRQHNTSDASPPLPASVGSIHSQQLQPVQMHASRWSVKEATSLSNSLRALTMLENGHLTKRVMQDNLGLPHPAAPSFAIQQPINTSAGGMYYSQKKVAETVIPSGIDTIVSSRVAADALASKNTSASQVGMHKNPVNGAVRHLGPPPGFGPVPPKPPSESVSVSDLETPVMDDYSWLDGYRLASSLKGSGLDSSLNYASHGDSLYVNNSSSGTGTISFPFPGKQVSTMQFQMEKQNGWQDYNTLDHKKFQHEQKLQQHQFMNGNQQQFTSLPEQFQGQSMWTGRYFV >KJB35694 pep chromosome:Graimondii2_0_v6:6:37615303:37621458:-1 gene:B456_006G124400 transcript:KJB35694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVQMDKMSTAPSSRERAQCLYKKNIELENNRRKSAQARVPSDPNTWQQMRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHYSAALTSARSNTSQGVKVPPRSDRLTKIRLQFKTFLSEATGFYHELILKIRAKYGLPFGYFSDDSESLIVMDKDGKKSAEIKMGLVSCHRCLIYLGDLARYKGLYGDGDSKTREYAAASSYYLQAVSVWPLSGNPHHQLAILASYSGDEVVAVYRYFRSLALDNPFSTARDNLIVAFEKNRHSCSQLTRDAKTSLVKEPAVSLSGKGRRKAEAKPISKEANMDSRPPKEKESGTQDNLKSFCIRFVRLNGILFTHTSPETFADVLKLVSHDLCELLSSGPEEKLSFGTDATENALFLVRLVSVLIFTVHNLKRESEAQTYAEIVQCAVLLQNALTAIFELMGYVVERCLQLGDVSSSYTLPAILVFVEWLACCPDVATADSDVDEKQSITRSLFWKHCLSFLNKILTIGPMCIDDDEDETFFNMSRYEEGETENLLALWEDFELRGFLPLVTAHNILDFSRKRSFISDGDKEKKARVKRILAAGRALASVIRIDQKTVCFDSKAKKFLIGVEPCEGVTFGSSTLLVANGVEHETPSEKINIGNMLPTAPGNVAEDDDDEVIVFQPSVSEKRIEVINSNRPPPETLKLDLSSCGGDRNFYGSTMSSLDSLRQHNTSDASPPLPASVGSIHSQQLQPVQMHASRWSVKEATSLSNSLRALTMLENGHLTKRVMQDNLGLPHPAAPSFAIQQPINTSAGGMYYSQKKVAETVIPSGIDTIVSSRVAADALASKNTSASQVGMHKNPVNGAVRHLGPPPGFGPVPPKPPSESVSVSDLETPVMDDYSWLDGYRLASSLKGSGLDSSLNYASHGDSLYVNNSSSGTGTISFPFPGKQVSTMQFQMEKQNGWQDYNTLDHKKFQHEQKLQQHQFMNGNQQQFTSLPEQFQGQSMWTGRYFV >KJB35696 pep chromosome:Graimondii2_0_v6:6:37615312:37620228:-1 gene:B456_006G124400 transcript:KJB35696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVQMDKMSTAPSSRERAQCLYKKNIELENNRRKSAQARVPSDPNTWQQMRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHYSAALTSARSNTSQGVKVPPRSDRLTKIRLQFKTFLSEATGFYHELILKIRAKYGLPFGYFSDDSESLIVMDKDGKKSAEIKMGLVSCHRCLIYLGDLARYKGLYGDGDSKTREYAAASSYYLQAVSVWPLSGNPHHQLAILASYSGDEVVAVYRYFRSLALDNPFSTARDNLIVAFEKNRHSCSQLTRDAKTSLVKEPAVSLSGKGRRKAEAKPISKEANMDSRPPKEKESGTQDNLKSFCIRFVRLNGILFTHTSPETFADVLKLVSHDLCELLSSGPEEKLSFGTDATENALFLVRLVSVLIFTVHNLKRESEAQTYAEIVQCAVLLQNALTAIFELMGYVVERCLQLGDVSSSYTLPAILVFVEWLACCPDVATADSDVDEKQSITRSLFWKHCLSFLNKILTIGPMCIDDDEDETFFNMSRYEEGETENLLALWEDFELRGFLPLVTAHNILDFSRKRSFISDGDKEKKARVKRILAAGRALASVIRIDQKTVCFDSKAKKFLIGVEPCEGVTFGSSTLLVANGVEHETPSEKINIGNMLPTAPGNVAEDDDDEVIVFQPSVSEKRIEVINSNRPPPETLKLDLSSCGGDRNFYGSTMSSLDSLRQHNTSDASPPLPASVGSIHSQQLQPVQMHASRWSVKEATSLSNSLRALTMLENGHLTKRVMQDNLGLPHPAAPSFAIQQPINTSAGGMYYSQKKVAETVIPSGIDTIVSSRVAADALASKNTSASQVGMHKNPVNGAVRHLGPPPGFGPVPPKPPNLETPVMDDYSWLDGYRLASSLKGSGLDSSLNYASHGDSLYVNNSSSGTGTISFPFPGKQVSTMQFQMEKQNGWQDYNTLDHKKFQHEQKLQQHQFMNGNQQQFTSLPEQFQGQSMWTGRYFV >KJB35697 pep chromosome:Graimondii2_0_v6:6:37615312:37620228:-1 gene:B456_006G124400 transcript:KJB35697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVQMDKMSTAPSSRERAQCLYKKNIELENNRRKSAQARVPSDPNTWQQMRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHYSAALTSARSNTSQGVKVPPRSDRLTKIRLQFKTFLSEATGFYHELILKIRAKYGLPFGYFSDDSESLIVMDKDGKKSAEIKMGLVSCHRCLIYLGDLARYKGLYGDGDSKTREYAAASSYYLQAVSVWPLSGNPHHQLAILASYSGDEVVAVYRYFRSLALDNPFSTARDNLIVAFEKNRHSCSQLTRDAKTSLVKEPAVSLSGKGRRKAEAKPISKEANMDSRPPKEKESGTQDNLKSFCIRFVRLNGILFTHTSPETFADVLKLVSHDLCELLSSGPEEKLSFGTDATENALFLVRLVSVLIFTVHNLKRESEAQTYAEIVQCAVLLQNALTAIFELMGYVVERCLQLGDVSSSYTLPAILVFVEWLACCPDVATADSDVDEKQSITRSLFWKHCLSFLNKILTIGPMCIDDDEDETFFNMSRYEEGETENLLALWEDFELRGFLPLVTAHNILDFSRKRSFISDGDKEKKARVKRILAAGRALASVIRIDQKTVCFDSKAKKFLIGVEPCEGVTFGSSTLLVANGVEHETPSEKINIGNMLPTAPGNVAEDDDDEVIVFQPSVSEKRIEVINSNRPPPETLKLDLSSCGGDRNFYGSTMSSLDSLRQHNTSDASPPLPASVGSIHSQQLQPVQMHASRWSVKEATSLSNSLRALTMLENGHLTKRVMQDNLGLPHPAAPSFAIQQPINTSAGGMYYSQKKVAETVIPSGIDTIVSSRVAADALASKNTSASQVGMHKNPVNGAVRHLGPPPGFGPVPPKPPSESVSVSDLETPVMDDYSWLDGYRLASSLKGSGLDSSLNYASHGDSLYVNNSSSGTGTISFPFPGKQVSTMQFQMEKQNGWQDYNTLDHKKFQHEQKLQQHQFMNGNQQQFTSLPEQFQGQSMWTGRYFV >KJB35695 pep chromosome:Graimondii2_0_v6:6:37616394:37620228:-1 gene:B456_006G124400 transcript:KJB35695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVQMDKMSTAPSSRERAQCLYKKNIELENNRRKSAQARVPSDPNTWQQMRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHYSAALTSARSNTSQGVKVPPRSDRLTKIRLQFKTFLSEATGFYHELILKIRAKYGLPFGYFSDDSESLIVMDKDGKKSAEIKMGLVSCHRCLIYLGDLARYKGLYGDGDSKTREYAAASSYYLQAVSVWPLSGNPHHQLAILASYSGDEVVAVYRYFRSLALDNPFSTARDNLIVAFEKNRHSCSQLTRDAKTSLVKEPAVSLSGKGRRKAEAKPISKEANMDSRPPKEKESGTQDNLKSFCIRFVRLNGILFTHTSPETFADVLKLVSHDLCELLSSGPEEKLSFGTDATENALFLVRLVSVLIFTVHNLKRESEAQTYAEIVQCAVLLQNALTAIFELMGYVVERCLQLGDVSSSYTLPAILVFVEWLACCPDVATADSDVDEKQSITRSLFWKHCLSFLNKILTIGPMCIDDDEDETFFNMSRYEEGETENLLALWEDFELRGFLPLVTAHNILDFSRKRSFISDGDKEKKARVKRILAAGRALASVIRIDQKTVCFDSKAKKFLIGVEPCEGVTFGSSTLLVANGVEHETPSEKINIGNMLPTAPGNVAEDDDDEVIVFQPSVSEKRIEVINSNRPPPETLKLDLSSCGGDRNFYGSTMSSLDSLRQHNTSDASPPLPASVGSIHSQQLQPVQMHASRWSVKEATSLSNSLRALTMLENGHLTKRVMQDNLGLPHPAAPSFAIQQPINTSAGGMYYSQKKVAETVIPSGIDTIVSSRVAADALASKNTSASQVGMHKNPVNGAVRHLGPPPGFGPVPPKPPSESVSVSDLETPVMDDYSWLDGYRLASSLKGSGLDSSLNYASHGDSLYVNNSSSGTGTISFPFPGKQVSTMQFQMEKQNGWQDYNTLDHKKFQHEQKLQQHQFMNGNQQQFTSLPEQFQGQSMWTGRYFV >KJB36655 pep chromosome:Graimondii2_0_v6:6:42863585:42869823:-1 gene:B456_006G169000 transcript:KJB36655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEKRLLFSLLLFSLLLLLDSSSAQMQGFVSLNCGGDGNFTDELGLSWSSDDFLNYGETASISVSNETRQQYMSLRHFPADSKKYCYKLNVVSRTRYLVRATFLYGNFDNNNVYPKFDISLGATHWSTIVISDANTIEKAELIFLATSPTISVCLSNATTGQPFISTLELRQFNGSIYYTPFEENFYLGVSARINFGADSEAPVRYPDDPFDRIWESDSLKRANYLVDVAAGTVKVSTNKSIYISSSEMPPEKVMQTAVVGTNGSLTYRLNLDGFPGFGWAFTYFAEIEDLAPDESRKFRLVLPGQPDLSKAVVNIQENAQGKYRVYEPGYPNISLPFVLSFRFGKTSDSSKGPLLNAIEINRYLEKNDGSVDGMVIANVAALYPSADWAQEGGDPCLPVPWSWVRCNSDRQPKIVSILLSSQNLTGNIPSEFTKLSGLVELWLDGNSLTGPIPDFTGCVDLRIIHLENNHLTGELPSSLMNLPNLRELYVQNNMLTGRVPSGLLSKNLALNYAGNINLHEGGGRAKHLSTIIVGSSVGAAFLLISTILSCIILRKGKKKHEQEQFHHPLPIQGQRIASSLSNAPTEAANCFTLLEIEDATNNFEKKIGSGGFGVVYYGKMKDGKEIAVKVLTSNSFQGKREFTNEVSLLSRIHHRNLVQFLGYCQEDGRSMLVYEFMHNGTLKEHLYGPLTQERGIGWIKRLEIAEDAAKGIEYLHTGCIPAIIHRDLKTSNILLDKHMRAKVSDFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELMSGQEAISNESFGVNCRNIVQWVISCSFTTII >KJB36653 pep chromosome:Graimondii2_0_v6:6:42862583:42870270:-1 gene:B456_006G169000 transcript:KJB36653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEKRLLFSLLLFSLLLLLDSSSAQMQGFVSLNCGGDGNFTDELGLSWSSDDFLNYGETASISVSNETRQQYMSLRHFPADSKKYCYKLNVVSRTRYLVRATFLYGNFDNNNVYPKFDISLGATHWSTIVISDANTIEKAELIFLATSPTISVCLSNATTGQPFISTLELRQFNGSIYYTPFEENFYLGVSARINFGADSEAPVRYPDDPFDRIWESDSLKRANYLVDVAAGTVKVSTNKSIYISSSEMPPEKVMQTAVVGTNGSLTYRLNLDGFPGFGWAFTYFAEIEDLAPDESRKFRLVLPGQPDLSKAVVNIQENAQGKYRVYEPGYPNISLPFVLSFRFGKTSDSSKGPLLNAIEINRYLEKNDGSVDGMVIANVAALYPSADWAQEGGDPCLPVPWSWVRCNSDRQPKIVSILLSSQNLTGNIPSEFTKLSGLVELWLDGNSLTGPIPDFTGCVDLRIIHLENNHLTGELPSSLMNLPNLRELYVQNNMLTGRVPSGLLSKNLALNYAGNINLHEGGGRAKHLSTIIVGSSVGAAFLLISTILSCIILRKGKKKHEQEQFHHPLPIQGQRIASSLSNAPTEAANCFTLLEIEDATNNFEKKIGSGGFGVVYYGKMKDGKEIAVKVLTSNSFQGKREFTNEVSLLSRIHHRNLVQFLGYCQEDGRSMLVYEFMHNGTLKEHLYGPLTQERGIGWIKRLEIAEDAAKGIEYLHTGCIPAIIHRDLKTSNILLDKHMRAKVSDFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELMSGQEAISNESFGVNCRNIVQWAKLHIESGDIQGIIDPYLRNDYDIQSMWKIAEKALNCVQPHGHMRPSISEVLKEIQDAILIEKEGMMVREGNSDEMSRNSANSSYNPGSLDMIGTDNYLSLDESIARPVAR >KJB36656 pep chromosome:Graimondii2_0_v6:6:42862614:42869900:-1 gene:B456_006G169000 transcript:KJB36656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEKRLLFSLLLFSLLLLLDSSSAQMQGFVSLNCGGDGNFTDELGLSWSSDDFLNYGETASISVSNETRQQYMSLRHFPADSKKYCYKLNVVSRTRYLVRATFLYGNFDNNNVYPKFDISLGATHWSTIVISDANTIEKAELIFLATSPTISVCLSNATTGQPFISTLELRQFNGSIYYTPFEENFYLGVSARINFGADSEAPVRYPDDPFDRIWESDSLKRANYLVDVAAGTVKVSTNKSIYISSSEMPPEKVMQTAVVGTNGSLTYRLNLDGFPGFGWAFTYFAEIEDLAPDESRKFRLVLPGQPDLSKAVVNIQENAQGKYRVYEPGYPNISLPFVLSFRFGKTSDSSKGPLLNAIEINRYLEKNDGSVDGMVIANVAALYPSADWAQEGGDPCLPVPWSWVRCNSDRQPKIVSILLSSQNLTGNIPSEFTKLSGLVELWLDGNSLTGPIPDFTGCVDLRIIHLENNHLTGELPSSLMNLPNLRELYVQNNMLTGRVPSGLLSKNLALNYAGNINLHEGGGRAKHLSTIIVGSSVGAAFLLISTILSCIILRKGKKKHEQEQFHHPLPIQGQRIASSLSNAPTEAANCFTLLEIEDATNNFEKKIGSGGFGVVYYGKMKDGKEIAVKVLTSNSFQGKREFTNEVSLLSRIHHRNLVQFLGYCQEDGRSMLVYEFMHNGTLKEHLYGPLTQERGIGWIKRLEIAEDAAKGIEYLHTGCIPAIIHRDLKTSNILLDKHMRAKVSDFGLSKLAVDGASHVSSIVRGTVGYLDPE >KJB36654 pep chromosome:Graimondii2_0_v6:6:42862614:42869900:-1 gene:B456_006G169000 transcript:KJB36654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIANVAALYPSADWAQEGGDPCLPVPWSWVRCNSDRQPKIVSILLSSQNLTGNIPSEFTKLSGLVELWLDGNSLTGPIPDFTGCVDLRIIHLENNHLTGELPSSLMNLPNLRELYVQNNMLTGRVPSGLLSKNLALNYAGNINLHEGGGRAKHLSTIIVGSSVGAAFLLISTILSCIILRKGKKKHEQEQFHHPLPIQGQRIASSLSNAPTEAANCFTLLEIEDATNNFEKKIGSGGFGVVYYGKMKDGKEIAVKVLTSNSFQGKREFTNEVSLLSRIHHRNLVQFLGYCQEDGRSMLVYEFMHNGTLKEHLYGPLTQERGIGWIKRLEIAEDAAKGIEYLHTGCIPAIIHRDLKTSNILLDKHMRAKVSDFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELMSGQEAISNESFGVNCRNIVQWAKLHIESGDIQGIIDPYLRNDYDIQSMWKIAEKALNCVQPHGHMRPSISEVLKEIQDAILIEKEGMMVREGNSDEMSRNSANSSYNPGSLDMIGTDNYLSLDESIARPVAR >KJB35896 pep chromosome:Graimondii2_0_v6:6:38691717:38694746:-1 gene:B456_006G132500 transcript:KJB35896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEDRCFLFWGKIGTEKGWSLRLKACASTSAATASNPFILLCNLLLFYRRMARKENLNNFIVGDLPTLFYIPDFITDSEQARLLNNIYQAPVSKWKSLKNRRLQNWGGVVHEKGLLSQDLPPWLAKITERICEESGLFPSAINHVLINEYLPNQGIMPHQDGPAYYPVVAILSLGSPVVMDFTPHSRLNSCKSTVMESVVDKTSDVKAAEIEGNDVLDKHQPFSVLLMPRSLLIFKDDAYSGKLLAWY >KJB35895 pep chromosome:Graimondii2_0_v6:6:38691782:38694673:-1 gene:B456_006G132500 transcript:KJB35895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEDRCFLFWGKIGTEKGWSLRLKACASTSAATASNPFILLCNLLLFYSNVSCRRMARKENLNNFIVGDLPTLFYIPDFITDSEQARLLNNIYQAPVSKWKSLKNRRLQNWGGVVHEKGLLSQDLPPWLAKITERICEESGLFPSAINHVLINEYLPNQGIMPHQDGPAYYPVVAILSLGSPVVMDFTPHSRLNSCKSTVMESVVDKTSDVKAAEIEGNDVLDKHQPFSVLLMPRSLLIFKDDAYSGKLLAWY >KJB35893 pep chromosome:Graimondii2_0_v6:6:38692126:38694673:-1 gene:B456_006G132500 transcript:KJB35893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKENLNNFIVGDLPTLFYIPDFITDSEQARLLNNIYQAPVSKWKSLKNRRLQNWGGVVHEKGLLSQDLPPWLAKITERICEESGLFPSAINHVLINEYLPNQGIMPHQDGPAYYPVVAILSLGSPVVMDFTPHSRLNSCKSTVMESVVDKTSDVKAAEIEGNDVLDKHQPFSVLLMPRSLLIFKDDAYSGKLLAWY >KJB35894 pep chromosome:Graimondii2_0_v6:6:38692231:38694038:-1 gene:B456_006G132500 transcript:KJB35894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKENLNNFIVGDLPTLFYIPDFITDSEQARLLNNIYQAPVSKWKSLKNRRLQNWGGVVHEKGLLSQDLPPWLAKITERICEESGLFPSAINHVLINEYLPNQGIMPHQDGPAYYPVVAILSLGSPVVMDFTPHSRLNSCKSTVMESVVDKTSDVKAAEIEGNDVLDKHQPFSVLLMPRSLLIFKDDAYSGKLLAWY >KJB37737 pep chromosome:Graimondii2_0_v6:6:47083481:47085667:-1 gene:B456_006G218500 transcript:KJB37737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNQKSTPTQPNQRLGSPSRELHSTSSNEQSQKRNSPESPRHESHVSAQSPELSRNGSFPPPLFPQEIIFEILSNLPVKSLLRFRCVSKSWKSLIADNFFIKKHLKRTQNDPEFSKKRVLINIADIAPRTQLGSTIKSCSLTLKSIFEDPNVNTTEIEYPSNNESHSDWIVGSCNGLVCITIRENTVLLLNPTLRVSKRLPDIGFKKRRGYTVYGFGFDASVDEYKVVGVFCYPSIVKVYSLRANCWRRIQDFPFEVFSNEAGKHVDGTLNWAVFSGQDGDFSIVSLDLAQETYKEVTQPCYGDGVDERTLGVLDGYLCVLCSYRKLYAEVWVMKEYGKRESWTKLVSIPYLPYQRSEMFLTPVSVSKSGEILLRYGVE >KJB37739 pep chromosome:Graimondii2_0_v6:6:47084305:47085582:-1 gene:B456_006G218500 transcript:KJB37739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNQKSTPTQPNQRLGSPSRELHSTSSNEQSQKRNSPESPRHESHVSAQSPELSRNGSFPPPLFPQEIIFEILSNLPVKSLLRFRCVSKSWKSLIADNFFIKKHLKRTQNDPEFSKKRVLINIADIAPRTQLGSTIKSCSLTLKSIFEDPNVNTTEIEYPSNNESHSDWIVGSCNGLVCITIRENTVLLLNPTLRVSKRLPDIGFKKRRGYTVYGFGFDASVDEYKVVGVFCYPSIVKVYSLRANCWRRIQDFPFEVFSNEAGKHVDGTLNWAVFSGQDGDFSIVSLDLAQETYKEVTQPCYGDGVDERTLGVLDGYLCVLCSYRKLYAEVWVMKEYGKRESWTKLVSIPYLPYQRSEMFLTPVSVSKSGEILLRYGVNILLYNPKKNMFRIPMFPYDVISYISQAEVYEESLVSPTAVNQHR >KJB37738 pep chromosome:Graimondii2_0_v6:6:47083481:47085667:-1 gene:B456_006G218500 transcript:KJB37738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNQKSTPTQPNQRLGSPSRELHSTSSNEQSQKRNSPESPRHESHVSAQSPELSRNGSFPPPLFPQEIIFEILSNLPVKSLLRFRCVSKSWKSLIADNFFIKKHLKRTQNDPEFSKKRVLINIADIAPRTQLGSTIKSCSLTLKSIFEDPNVNTTEIEYPSNNESHSDWIVGSCNGLVCITIRENTVLLLNPTLRVSKRLPDIGFKKRRGYTVYGFGFDASVDEYKVVGVFCYPSIVKVYSLRANCWRRIQDFPFEVFSNEAGKHVDGTLNWAVFSGQDGDFSIVSLDLAQETYKEVTQPCYGDGVDERTLGVLDGYLCVLCSYRKLYAEVWVMKEYGKRESWTKLVSIPYLPYQRSEMFLTPVSVSKSGEILLRYGVNILLYNPKKNMFRIPMFPYDVISYISQAEVYEESLVSPTAVNQHR >KJB36519 pep chromosome:Graimondii2_0_v6:6:42349766:42351991:1 gene:B456_006G163200 transcript:KJB36519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIIKKTDGVQSVDIDGTKDLVTVKGTMDLKDLVPYLKEKLKRSVDVVPPKKDDGGEKKDGGEKKVTDADKNGKEKEAAAAGGEKKEGGGETKMEVSKMEYHGYAYPAQPMYWSDRHVYGGPSNAVEGYQNQQHHEYGYMNQGYMNQGYMSHPGYANQGYMSHPGYVNQGYMVDPRHPLHAPQMFSDENPNACSIM >KJB36517 pep chromosome:Graimondii2_0_v6:6:42349669:42351991:1 gene:B456_006G163200 transcript:KJB36517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIIKKTDGVQSVDIDGTKDLVTVKGTMDLKDLVPYLKEKLKRSVDVVPPKKDDGGEKKDGGEKKVTDADKNGKEKEAAAAGGEKKEGGGETKMEVSKMEYHGYAYPAQPMYWSDRHVYGGPSNAVEGYQNQQHHEYGYMNQGYMNQGYMSHPGYANQGYMSHPGYVNQGYMVDPRHPLHAPQMFSDENPNACSIM >KJB36518 pep chromosome:Graimondii2_0_v6:6:42349669:42351991:1 gene:B456_006G163200 transcript:KJB36518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQPLLLLEVVIRNQTLRRNRRRRKKRRRKRKSHPKSTVVLKIRTHCDGCIQKMRKIIKKTDGVQSVDIDGTKDLVTVKGTMDLKDLVPYLKEKLKRSVDVVPPKKDDGGEKKDGGEKKVTDADKNGKEKEAAAAGGEKKEGGGETKMEVSKMEYHGYAYPAQPMYWSDRHVYGGPSNAVEGYQNQQHHEYGYMNQGYMNQGYMSHPGYANQGYMSHPGYVNQGYMVDPRHPLHAPQMFSDENPNACSIM >KJB36516 pep chromosome:Graimondii2_0_v6:6:42349354:42352025:1 gene:B456_006G163200 transcript:KJB36516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQTGAKPEAEKKPAADSGAKQDDGKVTAVYKIDMHCEGCAKKIKRSIKHYEGVEDVKTDCGANKLTVIGKVDPAKVRDRLAEKTKKKVDLISPQPKKDAAPAAAAAGGGDKKPDAEKKPEEKKEEKKEEKKPPKESTVVLKIRTHCDGCIQKMRKIIKKTDGVQSVDIDGTKDLVTVKGTMDLKDLVPYLKEKLKRSVDVVPPKKDDGGEKKDGGEKKVTDADKNGKEKEAAAAGGEKKEGGGETKMEVSKMEYHGYAYPAQPMYWSDRHVYGGPSNAVEGYQNQQHHEYGYMNQGYMNQGYMSHPGYANQGYMSHPGYVNQGYMVDPRHPLHAPQMFSDENPNACSIM >KJB36520 pep chromosome:Graimondii2_0_v6:6:42349669:42351991:1 gene:B456_006G163200 transcript:KJB36520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVFQQTGAKPEAEKKPAADSGAKQDDGKVTAVYKIDMHCEGCAKKIKRSIKHYEGVEDVKTDCGANKLTVIGKVDPAKVRDRLAEKTKKKVDLISPQPKKDAAPAAAAAGGGDKKPDAEKKPEEKKEEKKEEKKPPKESTVVLKIRTHCDGCIQKMRKIIKKTDGVQSVDIDGTKDLVTVKGTMDLKDLVPYLKEKLKRSVDVVPPKKDDGGEKKDGGEKKVTDADKNGKEKEAAAAGGEKKEGGGETKMEVSKMEYHGYAYPAQPMYWSDRHVYGGPSNAVEGYQNQQHHEYGYMNQGYMNQGYMSHPGYANQGYMSHPGYVNQGYMVDPRHPLHAPQMFSDENPNACSIM >KJB35080 pep chromosome:Graimondii2_0_v6:6:33952227:33953646:1 gene:B456_006G099100 transcript:KJB35080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRVSVVVMICLVVGVLLIEPTAADFNAMVFGLCYGPCYVLCRIRPGTSNITCALKCLTSCIIKKSNTVGTVGDTQSFCHLGCASAMCSNLSSDNDPATKAVESCVGGCSETCARKS >KJB35332 pep chromosome:Graimondii2_0_v6:6:35356650:35357840:-1 gene:B456_006G109400 transcript:KJB35332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSKNNRKEPEKSRRVRLSERASSFHGRILTMEPVELRRPKTLPDLLLERSTSLSSDARPPKLTKLLLNVTIQGSLGAVQVVMSPENTVGDLITVSVRQYSKEGLGREEKLIALGSRNFFLCTKTAASKDGQNKTASCSNEAESVTKSSKPWFKFMDFLF >KJB35331 pep chromosome:Graimondii2_0_v6:6:35356573:35357921:-1 gene:B456_006G109400 transcript:KJB35331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSKNNRKEPEKSRRVRLSERASSFHGRILTMEPVELRRPKTLPDLLLERSTSLSSDARPPKLTKLLLNVTIQGSLGAVQVVMSPENTVGDLITVSVRQYSKEGRRPMLPPTDAALFDLHYSQFSLESLGREEKLIALGSRNFFLCTKTAASKDGQNKTASCSNEAESVTKSSKPWFKFMDFLF >KJB36258 pep chromosome:Graimondii2_0_v6:6:40731715:40733546:-1 gene:B456_006G148900 transcript:KJB36258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIDVPPFFICPISLEIMKDPVTLSTGITYDRESIEKWLFSGKNTTCPVTKQVIADCELTPNHTLRRLLQSWCMLNASLGIERIPTPKPPISKAQIVKLLIDAKSPQQQIKCLDTLKSIAAENATNKRCMESSGAVEFLASIVCNYDPTATEESLDYEFDSIKPIDEALSILYSLQLSEASLKNLMGKNGDLILSLTRVMQRGSYESRAYAVLLLKSMAEIADPMQLINFRPQLFIELVQVLHDQISKQASKATLQLLVSICPWGRNKIKAAEAGVVPVLIDLLLDSSSERRACEMILTVLDAVCGCAEGRSELLKHGAGLAIVSKKILRVSQVASERAVRILLSIAKFCATSKVLQEMQQLGVVAKLCLVLQLDCGSKTKEKAREVLKLHARVWKNSPCMPTNLISSYPA >KJB33491 pep chromosome:Graimondii2_0_v6:6:2815112:2818026:-1 gene:B456_006G013300 transcript:KJB33491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQGKRSKLSAPTYPTITLPPRPPIDGLFQSGSGLSPGPMTLVSAFFSDPDSTNRSFSQLLAGAMASPGAKLPYNPMDDSFMEVGFENGGEKNSGFKQNRPLNLGVGNSPWFTVPPGLSPSGLLNSPGLFCLSPQSPFGISHQQALAQVTAQAALVQSHVHAQPEYQTLSAATSSEPSIPPSSANPEETLQRMLSSDPQSSAMECLEASQFDKKSQPCVAVDKPAEDGYNWRKYGQKQIKGCEYPRSYYKCTHPSCPVKKIVERSAEGLITEIIYKSTHNHEKPPPNKQPKGGSDGNANLQGNLELGSLVVAGNSNNLSEGKNHESTQPVELPGFSDCEEGCDEESREERDDDEPNRKRRNSTGEAAVVLSHKTVTDAKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSAGCNVRKHVERASSDPKAVITTYEGKHNHDVPAARNGSHNTVNNSLPQPKQQHDAVAEKHSLLQEIDFRKNVQGPAVLRLKEEQIRV >KJB33492 pep chromosome:Graimondii2_0_v6:6:2815167:2818026:-1 gene:B456_006G013300 transcript:KJB33492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQGKRSKLSAPTYPTITLPPRPPIDGLFQSGSGLSPGPMTLVSAFFSDPDSTNRSFSQLLAGAMASPGAKLPYNPMDDSFMEVGFENGGEKNSGFKQNRPLNLGVGNSPWFTVPPGLSPSGLLNSPGLFCLSPQSPFGISHQQALAQVTAQAALVQSHVHAQPEYQTLSAATSSEPSIPPSSANPEETLQRMLSSDPQSSAMECLEASQFDKKSQPCVAVDKPAEDGYNWRKYGQKQIKGCEYPRSYYKCTHPSCPVKKIVERSAEGLITEIIYKSTHNHEKPPPNKQPKGGSDGNANLQGNLELGSLVVAGNSNNLSEGKNHESTQPVELPGFSDCEEGCDEESREERDDDEPNRKRRQVLNQFVIGLGNL >KJB36481 pep chromosome:Graimondii2_0_v6:6:42105907:42106749:-1 gene:B456_006G161200 transcript:KJB36481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQEEVVACKDQLQIIKGKRSKRPRQLSPLSLAMGSSPTTTATTSSGAESGRNSDDWRGFGSPTTSVELAESTKEDEDMANCLILLARGQTGKLAPEPVSIATTSKTMTGTYVHQCKTCNRCFPSFQALGGHRASHKKPKVANIEENNKGLMFMKEDVQQPFSDMNTTLSLQITNKPALCHISKPKVHECSMCGAEFSSGQALGGHMRRHRTIPNAAAATTIVRPESDDKPKKPRTVLQLDLNLPAPEDDHQRETKFSFASKQDKLVLFSASSLVDCHY >KJB34533 pep chromosome:Graimondii2_0_v6:6:28562582:28563353:1 gene:B456_006G070800 transcript:KJB34533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHKMNDSRVTCVEEEAVLSQEAYILLYAKQGIPWFSTAIEVQKPCTEPGISDSSPKS >KJB37563 pep chromosome:Graimondii2_0_v6:6:46560191:46562944:-1 gene:B456_006G210900 transcript:KJB37563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11980) UniProtKB/Swiss-Prot;Acc:Q9SZ63] MWTEIGKSMTHLLRSPMPLSAFALPKRLVVSFPLAQLLHRHTPPKPFCSRMSATPSTSLTHTISLPTQLGQPVQVLAASGLSDSEFRAAIESSLFRQWLKNLECENGILANGYMTLTQVLIQGVDMFGKRIGFLKFKADIIDKGTGKKVPGIVFARGPAVTVLILLESEGTTYAVLTEQVRVPTGRVVLELPAGMLDDDKGDFVGTAVREVEEEIGIRLKLEDMVDLTAFLDPSTGFKVFPSPGGCDEELGLFLYRGRVDKNIITQLEGKETGCVKHGELIKVRVVPYEKLWHTTPDAKALMAIAIYEMAKKEGLLPQ >KJB37569 pep chromosome:Graimondii2_0_v6:6:46560267:46562856:-1 gene:B456_006G210900 transcript:KJB37569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11980) UniProtKB/Swiss-Prot;Acc:Q9SZ63] MWTEIGKSMTHLLRSPMPLSAFALPKRLVVSFPLAQLLHRHTPPKPFCSRMSATPSTSLTHTISLPTQLGQPVQVLAASGLSDSEFRAAIESSLFRQWLKNLECENGILANGYMTLTQVLIQGVDMFGKRIGFLKFKADIIDKGTGKKVPGIVFARGPAVTVLILLESEGTTYAVLTEQVRVPTGRVVLELPAGMLDDDKGDFVGTAVREGGCDEELGLFLYRGRVDKNIITQLEGKETGCVKHGELIKVRVVPYEKLWHTTPDAKALMAIAIYEMAKKEGLLPQ >KJB37566 pep chromosome:Graimondii2_0_v6:6:46560267:46562856:-1 gene:B456_006G210900 transcript:KJB37566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11980) UniProtKB/Swiss-Prot;Acc:Q9SZ63] MWTEIGKSMTHLLRSPMPLSAFALPKRLVVSFPLAQLLHRHTPPKPFCSRMSATPSTSLTHTISLPTQLGQPVQVLAASGLSDSEFRAAIESSLFRQWLKNLECENGILANGYMTLTQVLIQGVDMFGKRIGFLKFKADIIDKGTGKKVPGIVFARGPAVTVLILLESEGTTYAVLTEQVEKWSPLGGLFSNCLLECWMMTRVILLALQFVRLRRR >KJB37564 pep chromosome:Graimondii2_0_v6:6:46560744:46562830:-1 gene:B456_006G210900 transcript:KJB37564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11980) UniProtKB/Swiss-Prot;Acc:Q9SZ63] MWTEIGKSMTHLLRSPMPLSAFALPKRLVVSFPLAQLLHRHTPPKPFCSRMSATPSTSLTHTISLPTQLGQPVQVLAASGLSDSEFRAAIESSLFRQWLKNLECENGILANGYMTLTQVLIQGVDMFGKRIGFLKFKADIIDKGTGKKVPGIVFARGPAVTVLILLESEGTTYAVLTEQVRVPTGRVVLELPAGMLDDDKGDFVGTAVREVEEEIGIRLKLEDMVDLTAFLDPSTGFKVFPSPVWRL >KJB37568 pep chromosome:Graimondii2_0_v6:6:46560267:46562856:-1 gene:B456_006G210900 transcript:KJB37568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11980) UniProtKB/Swiss-Prot;Acc:Q9SZ63] MWTEIGKSMTHLLRSPMPLSAFALPKRLVVSFPLAQLLHRHTPPKPFCSRMSATPSTSLTHTISLPTQLGQPVQVLAASGLSDSEFRAAIESSLFRQWLKNLECENGILANGYMTLTQVLIQGVDMFGKRIGFLKFKADIIDKGTGKKVPGIVFARGPAVTVLILLESEGTTYAVLTEQVRVPTGRVVLELPAGMLDDDKGDFVGTAVREVEEEIGIRLKLEDMVDLTAFLDPSTGFKVFPSPAVMKNLGYFCTEGVLIKTLSHSLKEKKRVVSNTVS >KJB37567 pep chromosome:Graimondii2_0_v6:6:46560958:46562830:-1 gene:B456_006G210900 transcript:KJB37567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11980) UniProtKB/Swiss-Prot;Acc:Q9SZ63] MWTEIGKSMTHLLRSPMPLSAFALPKRLVVSFPLAQLLHRHTPPKPFCSRMSATPSTSLTHTISLPTQLGQPVQVLAASGLSDSEFRAAIESSLFRQWLKNLECENGILANGYMTLTQVLIQGVDMFGKRIGFLKFKADIIDKGTGKKVPGIVFARGPAVTVLILLESEGTTYAVLTEQVRVPTGRVVLELPAGMLDDDKGDFVGTAVREVEEEIGIRLKLEDMVDLTAFLDPSTGFKVFPSPVCWQC >KJB37565 pep chromosome:Graimondii2_0_v6:6:46560958:46562830:-1 gene:B456_006G210900 transcript:KJB37565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11980) UniProtKB/Swiss-Prot;Acc:Q9SZ63] MWTEIGKSMTHLLRSPMPLSAFALPKRLVVSFPLAQLLHRHTPPKPFCSRMSATPSTSLTHTISLPTQLGQPVQVLAASGLSDSEFRAAIESSLFRQWLKNLECENGILANGYMTLTQVLIQGVDMFGKRIGFLKFKADIIDKGTGKKVPGIVFARGPAVTVLILLESEGTTYAVLTEQVRVPTGRVVLELPAGMLDDDKGDFVGTAVREVEEEIGIRLKLEDMVDLTAFLDPSTGFKVFPSPVCWQC >KJB37676 pep chromosome:Graimondii2_0_v6:6:46863886:46873310:-1 gene:B456_006G215300 transcript:KJB37676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHFETASQPDPATDAYTFLEFNTQLESDFGYTDSRDPICSWPTPYDATAADRSGSDTAASSPSTASKGAARGVNSNNNSNSCSGTVVDALATGISGLNFEETAGDEDDGYEYGKGDSVEHSCRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHVVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGIDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQAVGHVIKLTAQEEVALELRASQGVPVDVSHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQAFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYNMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQLLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKGARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTINERQSLGIDFPWPVPNRPVFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLIEGPLNNLKQSMVQFQKPKKVYNNRRVFFSRGPGIVPSDNFASAASSSPNADRRNSRARGAYMPPGLPNGTHKPGVQPTRFEMPQVPLQPFPGPPSQPYAIPTHEAVHGPVGAVPQVPQPGNRGFGAGCGYAGAPISSLLQHQQGTPQNAGTLGSAFNFPLENPSGQPSMGGQLSQPGFVNNMAIQRPSRSLHDGFSRGVMSQVSQGGYAADYATQGAQSGFLGNFLNQNSQAGYSHFGTGNDFMSQEYMNHGSQGLFTQVGFSDPSQDDASRSHFGVNPNQLQSQVASCTIAY >KJB37680 pep chromosome:Graimondii2_0_v6:6:46864683:46871618:-1 gene:B456_006G215300 transcript:KJB37680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLWENGIKRTGTKFCDSVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGIDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQAVGHVIKLTAQEEVALELRASQGVPVDVSHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQAFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYNMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQLLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKGARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTINERQSLGIDFPWPVPNRPVFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLIEGPLNNLKQSMVQFQKPKKVYNNRRVFFSRGPGIVPSDNFASAASSSPNADRRNSRARGAYMPPGLPNGTHKPGVQPTRFEMPQVPLQPFPGPPSQPYAIPTHEAVHGPVGAVPQVPQPGNRGFGAGCGYAGAPISSLLQHQQGTPQNAGTLGSAFNFPLENPSGQPSMGGQLSQPGFVNNMAIQRPSRSLHDGFSRGDYLGDDFKTQGSHVPYNIADFSTQV >KJB37673 pep chromosome:Graimondii2_0_v6:6:46863613:46871618:-1 gene:B456_006G215300 transcript:KJB37673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLWENGIKRTGTKFCDSVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGIDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQAVGHVIKLTAQEEVALELRASQGVPVDVSHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQAFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYNMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQLLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKGARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTINERQSLGIDFPWPVPNRPVFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLIEGPLNNLKQSMVQFQKPKKVYNNRRVFFSRGPGIVPSDNFASAASSSPNADRRNSRARGAYMPPGLPNGTHKPGVQPTRFEMPQVPLQPFPGPPSQPYAIPTHEAVHGPVGAVPQVPQPGNRGFGAGCGYAGAPISSLLQHQQGTPQNAGTLGSAFNFPLENPSGQPSMGGQLSQPGFVNNMAIQRPSRSLHDGFSRGVMSQDYLGDDFKTQGSHVPYNIADFSTQVSQGGYAADYATQGAQSGFLGNFLNQNSQAGYSHFGTGNDFMSQEYMNHGSQGLFTQVGFSDPSQDDASRSHFGVNPNQLQSQGFLNSLYSQPFAQFNKQPLNLQAPQQGHGSQNQKLQFNG >KJB37677 pep chromosome:Graimondii2_0_v6:6:46864683:46873226:-1 gene:B456_006G215300 transcript:KJB37677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHFETASQPDPATDAYTFLEFNTQLESDFGYTDSRDPICSWPTPYDATAADRSGSDTAASSPSTASKGAARGVNSNNNSNSCSGTVVDALATGISGLNFEETAGDEDDGYEYGKGDSVEHSCRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHVVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGIDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQAVGHVIKLTAQEEVALELRASQGVPVDVSHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQAFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYNMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQLLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKGARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTINERQSLGIDFPWPVPNRPVFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLIEGPLNNLKQSMVQFQKPKKVYNNRRVFFSRGPGIVPSDNFASAASSSPNADRRNSRARGAYMPPGLPNGTHKPGVQPTRFEMPQVPLQPFPGPPSQPYAIPTHEAVHGPVGAVPQVPQPGNRGFGAGCGYAGAPISSLLQHQQGTPQNAGTLGSAFNFPLENPSGQPSMGGQLSQPGFVNNMAIQRPSRSLHDGFSRGVMSQDYLGDDFKTQGSHVPYNIADFSTQV >KJB37672 pep chromosome:Graimondii2_0_v6:6:46862834:46873310:-1 gene:B456_006G215300 transcript:KJB37672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHFETASQPDPATDAYTFLEFNTQLESDFGYTDSRDPICSWPTPYDATAADRSGSDTAASSPSTASKGAARGVNSNNNSNSCSGTVVDALATGISGLNFEETAGDEDDGYEYGKGDSVEHSCRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHVVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGIDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQAVGHVIKLTAQEEVALELRASQGVPVDVSHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQAFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYNMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQLLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKGARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTINERQSLGIDFPWPVPNRPVFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLIEGPLNNLKQSMVQFQKPKKVYNNRRVFFSRGPGIVPSDNFASAASSSPNADRRNSRARGAYMPPGLPNGTHKPGVQPTRFEMPQVPLQPFPGPPSQPYAIPTHEAVHGPVGAVPQVPQPGNRGFGAGCGYAGAPISSLLQHQQGTPQNAGTLGSAFNFPLENPSGQPSMGGQLSQPGFVNNMAIQRPSRSLHDGFSRGVMSQDYLGDDFKTQGSHVPYNIADFSTQVSQGGYAADYATQGAQSGFLGNFLNQNSQAGYSHFGTGNDFMSQEYMNHGSQGLFTQVGFSDPSQDDASRSHFGVNPNQLQSQGFLNSLYSQPFAQFNKQPLNLQAPQQGHGSQNQKLQFNG >KJB37681 pep chromosome:Graimondii2_0_v6:6:46864663:46873310:-1 gene:B456_006G215300 transcript:KJB37681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHFETASQPDPATDAYTFLEFNTQLESDFGYTDSRDPICSWPTPYDATAADRSGSDTAASSPSTASKGAARGVNSNNNSNSCSGTVVDALATGISGLNFEETAGDEDDGYEYGKGDSVEHSCRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHVVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGIDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQAVGHVIKLTAQEEVALELRASQGVPVDVSHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQAFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYNMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQLLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKGARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTINERQSLGIDFPWPVPNRPVFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLIEGPLNNLKQSMVQFQKPKKVYNNRRVFFSRGPGIVPSDNFASAASSSPNADRRNSRARGAYMPPGLPNGTHKPGVQPTRFEMPQVPLQPFPGPPSQPYAIPTHEAVHGPVGAVPQVPQPGNRGFGAGCGYAGAPISSLLQHQQGTPQNAGTLGSAFNFPLENPSGQPSMGGQLSQPGFVNNV >KJB37679 pep chromosome:Graimondii2_0_v6:6:46864663:46873310:-1 gene:B456_006G215300 transcript:KJB37679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHFETASQPDPATDAYTFLEFNTQLESDFGYTDSRDPICSWPTPYDATAADRSGSDTAASSPSTASKGAARGVNSNNNSNSCSGTVVDALATGISGLNFEETAGDEDDGYEYGKGDSVEHSCRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHVVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGIDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQAVGHVIKLTAQEEVALELRASQGVPVDVSHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQAFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYNMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQLLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKGARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTINERQSLGIDFPWPVPNRPVFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLIEGPLNNLKQSMVQFQKPKKVYNNRRVFFSRGPGIVPSDNFASAASSSPNADRRNSRARGAYMPPGLPNGTHKPGVQPTRFEMPQVPLQPFPGPPSQPYAIPTHEAVHGPVGAVPQVPQPGNRGFGAGCGYAGAPISSLLQHQQGTPQNAGTLGSAFNFPLENPSGQPSMGGQLSQPGFVNNMAIQRPSRSLHDGFSRGDYLGDDFKTQGSHVPYNIADFSTQV >KJB37675 pep chromosome:Graimondii2_0_v6:6:46863814:46873226:-1 gene:B456_006G215300 transcript:KJB37675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHFETASQPDPATDAYTFLEFNTQLESDFGYTDSRDPICSWPTPYDATAADRSGSDTAASSPSTASKGAARGVNSNNNSNSCSGTVVDALATGISGLNFEETAGDEDDGYEYGKGDSVEHSCRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHVVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGIDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQAVGHVIKLTAQEEVALELRASQGVPVDVSHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQAFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYNMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQLLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKGARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTINERQSLGIDFPWPVPNRPVFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLIEGPLNNLKQSMVQFQKPKKVYNNRRVFFSRGPGIVPSDNFASAASSSPNADRRNSRARGAYMPPGLPNGTHKPGVQPTRFEMPQVPLQPFPGPPSQPYAIPTHEAVHGPVGAVPQVPQPGNRGFGAGCGYAGAPISSLLQHQQGTPQNAGTLGSAFNFPLENPSGQPSMGGQLSQPGFVNNMAIQRPSRSLHDGFSRGDYLGDDFKTQGSHVPYNIADFSTQVSQGGYAADYATQGAQSGFLGNFLNQNSQAGYSHFGTGNDFMSQEYMNHGSQGLFTQVGFSDPSQDDASRSHFGVNPNQLQSQVASCTIAY >KJB37678 pep chromosome:Graimondii2_0_v6:6:46864683:46871618:-1 gene:B456_006G215300 transcript:KJB37678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLWENGIKRTGTKFCDSVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGIDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQAVGHVIKLTAQEEVALELRASQGVPVDVSHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQAFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYNMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQLLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKGARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTINERQSLGIDFPWPVPNRPVFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLIEGPLNNLKQSMVQFQKPKKVYNNRRVFFSRGPGIVPSDNFASAASSSPNADRRNSRARGAYMPPGLPNGTHKPGVQPTRFEMPQVPLQPFPGPPSQPYAIPTHEAVHGPVGAVPQVPQPGNRGFGAGCGYAGAPISSLLQHQQGTPQNAGTLGSAFNFPLENPSGQPSMGGQLSQPGFVNNMAIQRPSRSLHDGFSRGVMSQDYLGDDFKTQGSHVPYNIADFSTQV >KJB37674 pep chromosome:Graimondii2_0_v6:6:46862716:46873562:-1 gene:B456_006G215300 transcript:KJB37674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHFETASQPDPATDAYTFLEFNTQLESDFGYTDSRDPICSWPTPYDATAADRSGSDTAASSPSTASKGAARGVNSNNNSNSCSGTVVDALATGISGLNFEETAGDEDDGYEYGKGDSVEHSCRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHVVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGIDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQAVGHVIKLTAQEEVALELRASQGVPVDVSHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQAFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYNMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQLLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKGARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTINERQSLGIDFPWPVPNRPVFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLIEGPLNNLKQSMVQFQKPKKVYNNRRVFFSRGPGIVPSDNFASAASSSPNADRRNSRARGAYMPPGLPNGTHKPGVQPTRFEMPQVPLQPFPGPPSQPYAIPTHEAVHGPVGAVPQVPQPGNRGFGAGCGYAGAPISSLLQHQQGTPQNAGTLGSAFNFPLENPSGQPSMGGQLSQPGFVNNMAIQRPSRSLHDGFSRGDYLGDDFKTQGSHVPYNIADFSTQVSQGGYAADYATQGAQSGFLGNFLNQNSQAGYSHFGTGNDFMSQEYMNHGSQGLFTQVGFSDPSQDDASRSHFGVNPNQLQSQE >KJB36942 pep chromosome:Graimondii2_0_v6:6:44196706:44199336:-1 gene:B456_006G184700 transcript:KJB36942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRPVSSLCVQAKQGDNLICSLKGSPVTSSSSSALSFLPRKHGRALGVYASIGTNNHALTGVVFQPFEEVKKEELDIPIAPHLSLARQKYADACEAAINEQINVEYNVSYVYHSLYAYFDRDNVALKGFAKFFKESSEEEREHAEKLMEYQNKRGGRVKLHSILMPPSEFDHAEKGDALYGMELALSLEKLTNEKLLCLHNVAVQNNDAQMADFIESEYLAEQVEAIKKISEYVSQLRRVGKGHGVWHFDQMLLDEGA >KJB36941 pep chromosome:Graimondii2_0_v6:6:44196706:44199136:-1 gene:B456_006G184700 transcript:KJB36941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRPVSSLCVQAKQGDNLICSLKGSPVTSSSSSALSFLPRKHGRALGVYASIGTNNHALTGVVFQPFEEVKKEELDIPIAPHLSLARQKYADACEAAINEQINVEYNVSYVYHSLYAYFDRDNVALKGFAKFFKESSEEEREHAEKLMEYQNKRGGRVKLHSILMPPSEFDHAEKGDALYGMELALSLEKLTNEKLLCLHNVAVQNNDAQMADFIESEYLAEQVSSLSFLVSKKEIFQTPTISNYLKFSG >KJB36940 pep chromosome:Graimondii2_0_v6:6:44196706:44199136:-1 gene:B456_006G184700 transcript:KJB36940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRPVSSLCVQAKQGDNLICSLKGSPVTSSSSSALSFLPRKHGRALGVYASIGTNNHALTGVVFQPFEEVKKEELDIPIAPHLSLARQKYADACEAAINEQINVEYNVSYVYHSLYAYFDRDNVALKGFAKFFKESSEEEREHAEKLMEYQNKRGGRVKLHSILMPPSEFDHAEKGDALYGMELALSLEKLTNEKLLCLHNVTLIVSKLIAIRHRCSR >KJB36939 pep chromosome:Graimondii2_0_v6:6:44197532:44199087:-1 gene:B456_006G184700 transcript:KJB36939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRPVSSLCVQAKQGDNLICSLKGSPVTSSSSSALSFLPRKHGRALGVYASIGTNNHALTGVVFQPFEEVKKEELDIPIAPHLSLARQKYADACEAAINEQINVEYNVSYVYHSLYAYFDRDNVALKGFAKFFKESSEEEREHAEKLMEYQNKRGGRVKLHSILMPPSEFDHAEKGDALYGESVSLLHVYSDLFSALKCNANCFIVHNIS >KJB33324 pep chromosome:Graimondii2_0_v6:6:1317285:1323038:1 gene:B456_006G006600 transcript:KJB33324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFALQKISGHSTQRIFLPATSTLMRGCSFATYEVIPKGQGREAHCDHVVKDQCNNQVANLYPKPNVGGQQKLQIGQNVSRKDKIKFLVTTLLDLKDSKEAIYSALDAWVAWEQNFPIGPLKNVILALEKEHQWHRIVQVIKWMLSKGQGNTMGTYGQLLRALDMDNRADEAHQFWVKKVGADLHSVPWQLCGLMISVYYRNNMLENLVKLFKGLEAFGRKPTDKSIVQRVADAYEMLGLLEEKERVLEKYEDICTKIEKGHKKSKQTSLKKKKDSGRGRPRQRQTSTSNNLVSTMDGPELVR >KJB33325 pep chromosome:Graimondii2_0_v6:6:1317285:1323038:1 gene:B456_006G006600 transcript:KJB33325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFALQKISGHSTQRIFLPATSTLMRGCSFATYEVIPKGQGREAHCDHVVKDQCNNQVANLYPKPNVGGQQKLQIGQNVSRKDKIKFLVTTLLDLKDSKEAIYSALDAWVAWEQNFPIGPLKNVILALEKEHQWHRIVQVIKWMLSKGQGNTMGTYGQLLRALDMDNRADEAHQFWVKKVGADLHSVPWQLCGLMISVYYRNNMLENLVKDGEDLDRDRHRLQITLSAPWMAQN >KJB38367 pep chromosome:Graimondii2_0_v6:6:49521790:49529896:-1 gene:B456_006G251800 transcript:KJB38367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAVIGGGISGLISAYVLVKAGVNVVLYEKEGDLGGHANTVTFHDVDSDLGFMVFNRVTYPNMMELFERLGVDMEPSDMSFSVSLNHGNGIEWGSRNGISSLFVQKRNLFNPYFWQMIREIIKFKNDVISYLKVLENNPDFDRNETLGQFVDSRGYSELFLKAYLVPICGLIWSSPTERIMEFSAFSILSFCQNHHLLQLFGRPQWMTVRWRSRQYVNKIREELENRGCIIRTGCEVHSVLSDDEGCTVLCGDESQESFQGCILAIHAPDALRLLGSQVTYDELRVLGAFQYVYSDIFLHHDTTFMPKNPAAWSAWNFLGSTDKKVCLTYWLNVLQNLGETSLPFLVTLNPDYTPRHTLFKWRTGHPVPSIAATKASLELDQIQGKRGIWFCGAYQGYGFHEDGLKAGMSAANGVLGKSYSVLSNPKQMVPSLMETGARSFVTRFLSHFISTGCVILLEEGGTMFTFEGTSKKCNLKTVIRVHYPHFYWKVMTEADLGLADAYINGDFTFVDKNDGLLNLIMILIANRDLISSNSKLSKKRGWWTPLLFTAGLTSAKYFFKHVLRQNTLTQACRNISRHYDLSNDLYALFLDETMTYSCAVFKSEDEALKDAQQRKISLLIEKARIDGNHEILEIGCGWGSLAIEVVKRTGCKYTGITLSKEQLKFAEMRVKEAGLQDNITFQLCDYRQLPSTYKYDRIISCEMIEAVGHEYMDEFFGCCESVLAEDGLFVLQFISIPEERYNGYRRSSDFIKEYIFPGGCLPSFTRITSAMSATSRLCVEHVENIGLHYYQTLRYWRRNFLENQSKIMSLGFNEKFIRTWEYYFDYCAAGFKSNTLGNYQVVFSRPGNVAALGNPYKRPPSVP >KJB38366 pep chromosome:Graimondii2_0_v6:6:49521790:49529135:-1 gene:B456_006G251800 transcript:KJB38366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELFERLGVDMEPSDMSFSVSLNHGNGIEWGSRNGISSLFVQKRNLFNPYFWQMIREIIKFKNDVISYLKVLENNPDFDRNETLGQFVDSRGYSELFLKAYLVPICGLIWSSPTERIMEFSAFSILSFCQNHHLLQLFGRPQWMTVRWRSRQYVNKIREELENRGCIIRTGCEVHSVLSDDEGCTVLCGDESQESFQGCILAIHAPDALRLLGSQVTYDELRVLGAFQYVYSDIFLHHDTTFMPKNPAAWSAWNFLGSTDKKVCLTYWLNVLQNLGETSLPFLVTLNPDYTPRHTLFKWRTGHPVPSIAATKASLELDQIQGKRGIWFCGAYQGYGFHEDGLKAGMSAANGVLGKSYSVLSNPKQMVPSLMETGARSFVTRFLSHFISTGCVILLEEGGTMFTFEGTSKKCNLKTVIRVHYPHFYWKVMTEADLGLADAYINGDFTFVDKNDGLLNLIMILIANRDLISSNSKLSKKRGWWTPLLFTAGLTSAKYFFKHVLRQNTLTQACRNISRHYDLSNDLYALFLDETMTYSCAVFKSEDEALKDAQQRKISLLIEKARIDGNHEILEIGCGWGSLAIEVVKRTGCKYTGITLSKEQLKFAEMRVKEAGLQDNITFQLCDYRQLPSTYKYDRIISCEMIEAVGHEYMDEFFGCCESVLAEDGLFVLQFISIPEERYNGYRRSSDFIKEYIFPGGCLPSFTRITSAMSATSRLCVEHVENIGLHYYQTLRYWRRNFLENQSKIMSLGFNEKFIRTWEYYFDYCAAGFKSNTLGNYQVVFSRPGNVAALGNPYKRPPSVP >KJB37854 pep chromosome:Graimondii2_0_v6:6:47555565:47560513:-1 gene:B456_006G223300 transcript:KJB37854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGFSSFWGPVTSTDRCEKNYVHSSYIAEFFNTISSIPGILFALIGLINALRQRFEKRFSVLHISNMILSIGSMLYHATLQRVQQQGDETPMVWEMLLYFYILYSPDWHYRSTMPTFLFFYGVGFAIAHALIRFEIGFKVHYIVLCLLCIPRMYKYYIYTKDNYAKRLAKLYIATLFLGSICWLMDQVLCKEISHWYFNPQGHALWHVFMSFNSYFANTFLMFCRAEQRRWDPKIVHFFGVFPYVKIQKPKSQ >KJB37853 pep chromosome:Graimondii2_0_v6:6:47555862:47556868:-1 gene:B456_006G223300 transcript:KJB37853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGFSSFWGPVTSTDRCEKNYVHSSYIAEFFNTISSIPGILFALIGLINALRQRFEKRFSVLHISNMILSIGSMLYHATLQRVQQQGDETPMVWEMLLYFYILYSPDWHYRSTMPTFLFFYGVGFAIAHALIRFEIGFKVHYIVLCLLCIPRMYKYYIYTKDNYAKRLAKLYIATLFLGSICWLMDQVLCKEISHWYFNPQGHALWHVFMSFNSYFANTFLMFCRAEQRRWDPKIVHFFGVFPYVKIQKPKSQ >KJB37855 pep chromosome:Graimondii2_0_v6:6:47555565:47560087:-1 gene:B456_006G223300 transcript:KJB37855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGFSSFWGPVTSTDRCEKNYVHSSYIAEFFNTISSIPGILFALIGLINALRQRFEKRFSVLHISNMILSIGSMLYHATLQRVQQQGDETPMVWEMLLYFYILYSPDWHYRSTMPTFLFFYGVGFAIAHALIRFEIGFKVHYIVLCLLCIPRMYKYYIYTKDNYAKRLAKLYIATLFLGSICWLMDQVLCKEISHWYFNPQGHALWHVFMSFNSYFANTFLMFCRAEQRRWDPKIVHFFGVFPYVKIQKPKSQ >KJB37852 pep chromosome:Graimondii2_0_v6:6:47555862:47556868:-1 gene:B456_006G223300 transcript:KJB37852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGFSSFWGPVTSTDRCEKNYVHSSYIAEFFNTISSIPGILFALIGLINALRQRFEKRFSVLHISNMILSIGSMLYHATLQRVQQQGDETPMVWEMLLYFYILYSPDWHYRSTMPTFLFFYGVGFAIAHALIRFEIGFKVHYIVLCLLCIPRMYKYYIYTKDNYAKRLAKLYIATLFLGSICWLMDQVLCKEISHWYFNPQGHALWHVFMSFNSYFANTFLMFCRAEQRRWDPKIVHFFGVFPYVKIQKPKSQ >KJB33749 pep chromosome:Graimondii2_0_v6:6:7444621:7446692:1 gene:B456_006G028900 transcript:KJB33749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g33350 [Source:Projected from Arabidopsis thaliana (AT1G33350) UniProtKB/Swiss-Prot;Acc:Q9C501] MYGLSQLKMNQHFLTILEKCINLSHLKQLQSFIISQGHSHTQFYIFKLVRFCTLKIFNFYYARLLFDHLPSPNIYLYTAMITAYASNPNHHTSAFVLYRHMLRKGKPAPNNFIFPHVLKSAPEVLESNGTKLVHTQILKSGFGQYPVVQTALVDSYSRTGSCIGIARDVFDEMSERTLVSWTAMVSGYMRVGDVGKAVSLFEQMPNRDVPSWNAVIAGCTQNGFFSEAISVLRKMVMVGTGEGNRPNQVTVVCSLSACGNTGMFQLGKSIHGYVYRNGIDNDCFIANALIDMYGKCGSLDTARRIFEMSSKKNLTSWNSVINCFALHGQSENAISLFEEMINCGFEDIRPDAVTFISLLNACTHGGLVEKGRAYFELMTSSYNIEPRIDHYGCLIDLLGRAGKFEEAMEVINCMKMKPDEVVWGSLLNGCKIYGRLDLAEFAVKKLIEIDPNNGGYGSMLANLYGALGKWDEVRKFRKKLKEQSAYKTPGCSWIEVDGKVHQFYSVDKMHPRTDEIYGILESMVAFS >KJB38649 pep chromosome:Graimondii2_0_v6:6:50433748:50435257:1 gene:B456_006G265400 transcript:KJB38649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKDKDLELGIENGVIVNARGEKEDYSISPISDISRPVVKVKGGLADDRVSLSGDVSSCGSVCVENPNGDGKDAKENRLAKEKRKSLGYKKPPKPPRPPRAPSLDAADQKLIKEIAELARLKRARLKALKKMKATKGMGTTSSNSTNNMLAAVFTVIFCIVMIFQGMSSGNRPTSFQGSPVLAGARTVKGGLISVQFSGKQSANIPNQPDSGSP >KJB38650 pep chromosome:Graimondii2_0_v6:6:50433680:50435878:1 gene:B456_006G265400 transcript:KJB38650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKDKDLELGIENGVIVNARGEKEDYSISPISDISRPVVKVKGGLADDRVSLSGDVSSCGSVCVENPNGDGKDAKENRLAKEKRKSLGYKKPPKPPRPPRAPSLDAADQKLIKEIAELARLKRARLKALKKMKATKGMGTTSSNSTNNMLAAVFTVIFCIVMIFQGMSSGNRPTSFQGSPVLAGARTVKGGLISVQFSGKQSANIPNQPDSGSPYGTGCGSRPLGKEKISRISHFSRRCFVLTALVSPEFNFSW >KJB38651 pep chromosome:Graimondii2_0_v6:6:50434296:50435351:1 gene:B456_006G265400 transcript:KJB38651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKDKDLELGIENGVIVNARGEKEDYSISPISDISRPVVKVKGGLADDRVSLSGDVSSCGSVCVENPNGDGKDAKENRLAKEKRKSLGYKKPPKPPRPPRAPSLDAADQKLIKEIAELARLKRARLKALKKMKATKGMGTTSSNSTNNMLAAVFTVIFCIVMIFQGMSSGNRPTSFQGSPVLAGARTVKGGLISVQFSGKQSANIPNQPDSGSPYLVEQVAGLDPWEKKRSPG >KJB38648 pep chromosome:Graimondii2_0_v6:6:50433888:50435769:1 gene:B456_006G265400 transcript:KJB38648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKDKDLELGIENGVIVNARGEKEDYSISPISDISRPVVKVKGGLADDRVSLSGDVSSCGSVCVENPNGDGKDAKENRLAKEKRKSLGYKKPPKPPRPPRAPSLDAADQKLIKEIAELARLKRARLKALKKMKATKGMGTTSSNSTNNMLAAVFTVIFCIVMIFQGMSSGNRPTSFQGSPVLAGARTVKGGLISVQFSGKQSANIPNQPDSGSPYLVEQVAGLDPWEKKRSPG >KJB33312 pep chromosome:Graimondii2_0_v6:6:1252219:1256607:-1 gene:B456_006G006300 transcript:KJB33312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGKRKGGTDHASDETDGHAPPNKASKISAADDSDDSDDIVVCEISKNRRVSVRNWNGRIWVDIREFYVRDGKQLPGKKGIALSLDQWNILRDHAEEIDKALAENS >KJB33314 pep chromosome:Graimondii2_0_v6:6:1252980:1256673:-1 gene:B456_006G006300 transcript:KJB33314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGKRKGGTDHASDETDGHAPPNKASKISAADDSDDSDDIVVCEISKNRRVSVRNWNGRIWVDIREFYVRDGKQLPGKKATC >KJB33313 pep chromosome:Graimondii2_0_v6:6:1251185:1256509:-1 gene:B456_006G006300 transcript:KJB33313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGKRKGGTDHASDETDGHAPPNKASKISAADDSDDSDDIVVCEISKNRRVSVRNWNGRIWVDIREFYVRDGKQLPGKKGIALSLDQWNILRDHAEEIDKALAENS >KJB33311 pep chromosome:Graimondii2_0_v6:6:1252219:1256673:-1 gene:B456_006G006300 transcript:KJB33311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGKRKGGTDHASDETDGHAPPNKASKISAADDSDDSDDIVVCEISKNRRVSVRNWNGRIWVDIREFYVRDGKQLPGKKGIALSLDQWNILRDHAEEIDKALAENS >KJB34186 pep chromosome:Graimondii2_0_v6:6:18759593:18769503:-1 gene:B456_006G052200 transcript:KJB34186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQCFIINFILKYIRWFLHLLIFFLFRLAVDFFGDNNYLGTRIRVDGTVGEYKWMTYREAATARTAIGCGLIHHGILKGSCIGLYFINRPEWIIADHACSAYSLISVPLYDTLGPDAVKYIINHAELKAVFCVPQTLNPLLSILSEIPSVRLIVVVGGMENEVPSLPSSTGIQIVTYSRLLNQGRSYLQPFCQPKPDDIATICYTSGTTGTPKGVVLTHGNLIANAAGFSLAVKFNPTDVYISYLPLAHIFERFNQINLAHYGVAVGFYQGDNMRLMDDLASLRPTLFCSVPRLYNRIYAGILNAVKESGTLKERLFNAAYNSKKQAIMNGKSPSPIWDRLVFNKIKARLGGRVRFMASGASPLSPDVMDFLKICFGGHIIEGYGMTETSCVISCMDEGDILSGHVGSPNPACEIKLVDVPEMNYTSDDQPYPRGEICVRGPIVFQGYYKDEMQTREVLDEDGWLHTGDIGLWHTGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAIVSVDQDALKAWASSQGIKYKDLEQLCNDPRARAAVQAEMDAVGRDAQLRGFEFAKSVTLVLEPFTMENGLLTPTFKIKRAQAKAYFAKAISNMYVELTTSDSSHVK >KJB34185 pep chromosome:Graimondii2_0_v6:6:18759444:18770722:-1 gene:B456_006G052200 transcript:KJB34185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKSKRAIPENGNQKGKEFTPMDSTTAQRRLNTIHAHLVSAVESAFSHSHLRSSPTAAEFLSEQNYSVVLPEKLQTGKWNVYRSARSPLKLVSRFPDHPDIGTLHDNFLLAVDFFGDNNYLGTRIRVDGTVGEYKWMTYREAATARTAIGCGLIHHGILKGSCIGLYFINRPEWIIADHACSAYSLISVPLYDTLGPDAVKYIINHAELKAVFCVPQTLNPLLSILSEIPSVRLIVVVGGMENEVPSLPSSTGIQIVTYSRLLNQGRSYLQPFCQPKPDDIATICYTSGTTGTPKGVVLTHGNLIANAAGFSLAVKFNPTDVYISYLPLAHIFERFNQINLAHYGVAVGFYQGDNMRLMDDLASLRPTLFCSVPRLYNRIYAGILNAVKESGTLKERLFNAAYNSKKQAIMNGKSPSPIWDRLVFNKIKARLGGRVRFMASGASPLSPDVMDFLKICFGGHIIEGYGMTETSCVISCMDEGDILSGHVGSPNPACEIKLVDVPEMNYTSDDQPYPRGEICVRGPIVFQGYYKDEMQTREVLDEDGWLHTGDIGLWHTGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAIVSVDQDALKAWASSQGIKYKDLEQLCNDPRARAAVQAEMDAVGRDAQLRGFEFAKSVTLVLEPFTMENGLLTPTFKIKRAQAKAYFAKAISNMYVELTTSDSSHVK >KJB34188 pep chromosome:Graimondii2_0_v6:6:18759454:18770666:-1 gene:B456_006G052200 transcript:KJB34188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQCFIINFILKYIRWFLHLLIFFLFRLAVDFFGDNNYLGTRIRVDGTVGEYKWMTYREAATARTAIGCGLIHHGILKGSCIGLYFINRPEWIIADHACSAYSLISVPLYDTLGPDAVKYIINHAELKAVFCVPQTLNPLLSILSEIPSVRLIVVVGGMENEVPSLPSSTGIQIVTYSRLLNQGRSYLQPFCQPKPDDIATICYTSGTTGTPKGVVLTHGNLIANAAGFSLAVKFNPTDVYISYLPLAHIFERFNQINLAHYGVAVGFYQGDNMRLMDDLASLRPTLFCSVPRLYNRIYAGILNAVKESGTLKERLFNAAYNSKKQAIMNGKSPSPIWDRLVFNKIKARLGGRVRFMASGASPLSPDVMDFLKICFGGHIIEGYGMTETSCVISCMDEGDILSGHVGSPNPACEIKLVDVPEMNYTSDDQPYPRGEICVRGPIVFQGYYKDEMQTREVLDEDGWLHTGDIGLWHTGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAIVSVDQDALKAWASSQGIKYKDLEQLCNDPRARAAVQAEMDAVGRDAQLRGFEFAKSVTLVLEPFTMENGLLTPTFKIKRAQAKAYFAKAISNMYVELTTSDSSHVK >KJB34190 pep chromosome:Graimondii2_0_v6:6:18759454:18770666:-1 gene:B456_006G052200 transcript:KJB34190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKSKRAIPENGNQKGKEFTPMDSTTAQRRLNTIHAHLVSAVESAFSHSHLRSSPTAAEFLSAEQNYSVVLPEKLQTGKWNVYRSARSPLKLVSRFPDHPDIGTLHDNFLLAVDFFGDNNYLGTRIRVDGTVGEYKWMTYREAATARTAIGCGLIHHGILKGSCIGLYFINRPEWIIADHACSAYSLISVPLYDTLGPDAVKYIINHAELKAVFCVPQTLNPLLSILSEIPSVRLIVVVGGMENEVPSLPSSTGIQIVTYSRLLNQGRSYLQPFCQPKPDDIATICYTSGTTGTPKGVVLTHGNLIANAAGFSLAVKFNPTDVYISYLPLAHIFERFNQINLAHYGVAVGFYQGDNMRLMDDLASLRPTLFCSVPRLYNRIYAGILNAVKESGTLKERLFNAAYNSKKQAIMNGKSPSPIWDRLVFNKIKARLGGRVRFMASGASPLSPDVMDFLKICFGGHIIEGYGMTETSCVISCMDEGDILSGHVGSPNPACEIKLVDVPEMNYTSDDQPYPRGEICVRGPIVFQGYYKDEMQTREVLDEDGWLHTGDIGLWHTGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAIVSVDQDALKAWASSQGIKYKDLEQLCNDPRARAAVQAEMDAVGRDAQLRGFEFAKSVTLVLEPFTMENGLLTPTFKIKRAQAKAYFAKAISNMYVELTTSDSSHVK >KJB34187 pep chromosome:Graimondii2_0_v6:6:18759593:18769282:-1 gene:B456_006G052200 transcript:KJB34187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSSRYKWMTYREAATARTAIGCGLIHHGILKGSCIGLYFINRPEWIIADHACSAYSLISVPLYDTLGPDAVKYIINHAELKAVFCVPQTLNPLLSILSEIPSVRLIVVVGGMENEVPSLPSSTGIQIVTYSRLLNQGRSYLQPFCQPKPDDIATICYTSGTTGTPKGVVLTHGNLIANAAGFSLAVKFNPTDVYISYLPLAHIFERFNQINLAHYGVAVGFYQGDNMRLMDDLASLRPTLFCSVPRLYNRIYAGILNAVKESGTLKERLFNAAYNSKKQAIMNGKSPSPIWDRLVFNKIKARLGGRVRFMASGASPLSPDVMDFLKICFGGHIIEGYGMTETSCVISCMDEGDILSGHVGSPNPACEIKLVDVPEMNYTSDDQPYPRGEICVRGPIVFQGYYKDEMQTREVLDEDGWLHTGDIGLWHTGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAIVSVDQDALKAWASSQGIKYKDLEQLCNDPRARAAVQAEMDAVGRDAQLRGFEFAKSVTLVLEPFTMENGLLTPTFKIKRAQAKAYFAKAISNMYVELTTSDSSHVK >KJB34184 pep chromosome:Graimondii2_0_v6:6:18760102:18770620:-1 gene:B456_006G052200 transcript:KJB34184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKSKRAIPENGNQKGKEFTPMDSTTAQRRLNTIHAHLVSAVESAFSHSHLRSSPTAAEFLSEQNYSVVLPEKLQTGKWNVYRSARSPLKLVSRFPDHPDIGTLHDNFLLAVDFFGDNNYLGTRIRVDGTVGEYKWMTYREAATARTAIGCGLIHHGILKGSCIGLYFINRPEWIIADHACSAYSLISVPLYDTLGPDAVKYIINHAELKAVFCVPQTLNPLLSILSEIPSVRLIVVVGGMENEVPSLPSSTGIQIVTYSRLLNQGRSYLQPFCQPKPDDIATICYTSGTTGTPKGVVLTHGNLIANAAGFSLAVKFNPTDVYISYLPLAHIFERFNQINLAHYGVAVGFYQGDNMRLMDDLASLRPTLFCSVPRLYNRIYAGILNAVKESGTLKERLFNAAYNSKKQAIMNGKSPSPIWDRLVFNKIKARLGGRVRFMASGASPLSPDVMDFLKICFGGHIIEGYGMTETSCVISCMDEGDILSGHVGSPNPACEIKLVDVPEMNYTSDDQPYPRGEICVRGPIVFQGYYKDEMQTREVLDEDGWLHTGDIGLWHTGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAIVSVDQDALKAWASSQGIKVIYFMDTGMT >KJB34189 pep chromosome:Graimondii2_0_v6:6:18759593:18769282:-1 gene:B456_006G052200 transcript:KJB34189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSSRYKWMTYREAATARTAIGCGLIHHGILKGSCIGLYFINRPEWIIADHACSAYSLISVPLYDTLGPDAVKYIINHAELKAVFCVPQTLNPLLSILSEIPSVRLIVVVGGMENEVPSLPSSTGIQIVTYSRLLNQGRSYLQPFCQPKPDDIATICYTSGTTGTPKGVVLTHGNLIANAAGFSLAVKFNPTDVYISYLPLAHIFERFNQINLAHYGVAVGFYQGDNMRLMDDLASLRPTLFCSVPRLYNRIYAGILNAVKESGTLKERLFNAAYNSKKQAIMNGKSPSPIWDRLVFNKIKARLGGRVRFMASGASPLSPDVMDFLKICFGGHIIEGYGMTETSCVISCMDEGDILSGHVGSPNPACEIKLVDVPEMNYTSDDQPYPRGEICVRGPIVFQGYYKDEMQTREVLDEDGWLHTGDIGLWHTGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAIVSVDQDALKAWASSQGIKYKDLEQLCNDPRARAAVQAEMDAVGRDAQLRGFEFAKSVTLVLEPFTMENGLLTPTFKIKRAQAKAYFAKAISNMYVELTTSDSSHVK >KJB34383 pep chromosome:Graimondii2_0_v6:6:23358860:23366672:-1 gene:B456_006G063300 transcript:KJB34383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENFDEQNKLPELKLDAKQAQGFLSFFKTLPNDPRAVRFFDRRDYYTAHGENATFIAKTYYRTTTALRQLGSGSNGLSSVSVNKNMFETITRDLLLERTDHTLELYEGSGSNWRLMKSASPGNLSSFEDVLFANNEMQDTPVVVALLPNFRENGCTVGFSYVDLTKRILGLVEFLDDSHFTNVESALVALGCKECLLPLESGKSSECRTLSDALTRCGVMVTERKKTEFKARDLVQDLGRLVKGSIEPVRDLVSGFEFAPAALGALLSYAELLADEGNYGNYSICRYNLGSFMRLDSAAMRALNVLESKTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLDISEINSRLDLVQAFVEDTELRQDLRQHLRRISDIERLMRNIQRTRAGLQHIVKLYQSSIRVPHIKSALEKYDGQFSSLIKERYLDPFELLTDDDHLNKFIALVETSVDLDQLENGEYMISPSYDDALATLKSEQESLERQIHNLHKQTAFDLDLPVDKALKLDKGTQFGHVFRITKKEEPKVRKKLSTQFIVLETRKDGVKFTNTKLKKLGDQYQKVLEEYKNCQKELVNRVVQTTATFSEVFEHLAGFLSELDVLLSFADLASSCPTPYTRPRITPPDVGDIVLEGSRHPCVEAQDWVNFIPNDCRLVRGKSWFLIITGPNMGGKSTFIRQVGVNILMAQVGCFVPCEKASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATENSLVIIDELGRGTSTYDGFGLAWAICEHIVEVIKAPTLFATHFHELTALAHENGNYELQKKQIVGVANYHVSAHIDSSSRKLTMLYKVEPGACDQSFGIHVAEFANFPESVVALAREKAAELEDFSPTSIISTDAGQEQEGSKRKRGYDADDISRGAAKAHKFLKEFAELPLETMDLKQALQQVTKLKDDLQKDVNNSEWLQQFF >KJB34382 pep chromosome:Graimondii2_0_v6:6:23358558:23366944:-1 gene:B456_006G063300 transcript:KJB34382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENFDEQNKLPELKLDAKQAQGFLSFFKTLPNDPRAVRFFDRRDYYTAHGENATFIAKTYYRTTTALRQLGSGSNGLSSVSVNKNMFETITRDLLLERTDHTLELYEGSGSNWRLMKSASPGNLSSFEDVLFANNEMQDTPVVVALLPNFRENGCTVGFSYVDLTKRILGLVEFLDDSHFTNVESALVALGCKECLLPLESGKSSECRTLSDALTRCGVMVTERKKTEFKARDLVQDLGRLVKGSIEPVRDLVSGFEFAPAALGALLSYAELLADEGNYGNYSICRYNLGSFMRLDSAAMRALNVLESKTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLDISEINSRLDLVQAFVEDTELRQDLRQHLRRISDIERLMRNIQRTRAGLQHIVKLYQSSIRVPHIKSALEKYDGQFSSLIKERYLDPFELLTDDDHLNKFIALVETSVDLDQLENGEYMISPSYDDALATLKSEQESLERQIHNLHKQTAFDLDLPVDKALKLDKGTQFGHVFRITKKEEPKVRKKLSTQFIVLETRKDGVKFTNTKLKKLGDQYQKVLEEYKNCQKELVNRVVQTTATFSEVFEHLAGFLSELDVLLSFADLASSCPTPYTRPRITPPDVGDIVLEGSRHPCVEAQDWVNFIPNDCRLVRGKSWFLIITGPNMGGKSTFIRQVGVNILMAQVGCFVPCEKASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATENSLVIIDELGRGTSTYDGFGLAWAICEHIVEVIKAPTLFATHFHELTALAHENGNYELQKKQIVGVANYHVSAHIDSSSRKLTMLYKVEPGACDQSFGIHVAEFANFPESVVALAREKAAELEDFSPTSIISTDAGQEEGSKRKRGYDADDISRGAAKAHKFLKEFAELPLETMDLKQALQQVTKLKDDLQKDVNNSEWLQQFF >KJB34381 pep chromosome:Graimondii2_0_v6:6:23358558:23366672:-1 gene:B456_006G063300 transcript:KJB34381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENFDEQNKLPELKLDAKQAQGFLSFFKTLPNDPRAVRFFDRRDYYTAHGENATFIAKTYYRTTTALRQLGSGSNGLSSVSVNKNMFETITRDLLLERTDHTLELYEGSGSNWRLMKSASPGNLSSFEDVLFANNEMQDTPVVVALLPNFRENGCTVGFSYVDLTKRILGLVEFLDDSHFTNVESALVALGCKECLLPLESGKSSECRTLSDALTRCGVMVTERKKTEFKARDLVQDLGRLVKGSIEPVRDLVSGFEFAPAALGALLSYAELLADEGNYGNYSICRYNLGSFMRLDSAAMRALNVLESKTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLDISEINSRLDLVQAFVEDTELRQDLRQHLRRISDIERLMRNIQRTRAGLQHIVKLYQSSIRVPHIKSALEKYDGQFSSLIKERYLDPFELLTDDDHLNKFIALVETSVDLDQLENGEYMISPSYDDALATLKSEQESLERQIHNLHKQTAFDLDLPVDKALKLDKGTQFGHVFRITKKEEPKVRKKLSTQFIVLETRKDGVKFTNTKLKKLGDQYQKVLEEYKNCQKELVNRVVQTTATFSEVFEHLAGFLSELDVLLSFADLASSCPTPYTRPRITPPDVGDIVLEGSRHPCVEAQDWVNFIPNDCRLVRGKSWFLIITGPNMGGKSTFIRQVGVNILMAQVGCFVPCEKASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATENSLVIIDELGRGTSTYDGFGLAWAICEHIVEVIKAPTLFATHFHELTALAHENGNYELQKKQIVGVANYHVSAHIDSSSRKLTMLYKAAELEDFSPTSIISTDAGQEEGSKRKRGYDADDISRGAAKAHKFLKEFAELPLETMDLKQALQQVTKLKDDLQKDVNNSEWLQQFF >KJB34384 pep chromosome:Graimondii2_0_v6:6:23360177:23366672:-1 gene:B456_006G063300 transcript:KJB34384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENFDEQNKLPELKLDAKQAQGFLSFFKTLPNDPRAVRFFDRRDYYTAHGENATFIAKTYYRTTTALRQLGSGSNGLSSVSVNKNMFETITRDLLLERTDHTLELYEGSGSNWRLMKSASPGNLSSFEDVLFANNEMQDTPVVVALLPNFRENGCTVGFSYVDLTKRILGLVEFLDDSHFTNVESALVALGCKECLLPLESGKSSECRTLSDALTRCGVMVTERKKTEFKARDLVQDLGRLVKGSIEPVRDLVSGFEFAPAALGALLSYAELLADEGNYGNYSICRYNLGSFMRLDSAAMRALNVLESKTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLDISEINSRLDLVQAFVEDTELRQDLRQHLRRISDIERLMRNIQRTRAGLQHIVKLYQSSIRVPHIKSALEKYDGQFSSLIKERYLDPFELLTDDDHLNKFIALVETSVDLDQLENGEYMISPSYDDALATLKSEQESLERQIHNLHKQTAFDLDLPVDKALKLDKGTQFGHVFRITKKEEPKVRKKLSTQFIVLETRKDGVKFTNTKLKKLGDQYQKVLEEYKNCQKELVNRVVQTTATFSEVFEHLAGFLSELDVLLSFADLASSCPTPYTRPRITPPDVGDIVLEGSRHPCVEAQDWVNFIPNDCRLVRGKSWFLIITGPNMGGKSTFIRQVGVNILMAQVGCFVPCEKASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATENSLVIIDELGRGTSTYDGFGLAWAICEHIVEVIKAPTLFATHFHELTALAHENGNYELQKKQIVGVANYHVSAHIDSSSRKLTMLYKVGYQKWLGKKLFLLILNQVLSCVLYFMHANLFILGMTRLLSCPVLVFGTSS >KJB33017 pep chromosome:Graimondii2_0_v6:6:51064657:51064890:1 gene:B456_006G2751001 transcript:KJB33017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSMLRYFSTKPKPKMKPIELETSLEQTQTISRVTFDILKEHGPLTVGDTWERVKVSCLVDYNLYSLCIYICCFHI >KJB33016 pep chromosome:Graimondii2_0_v6:6:51063018:51065425:1 gene:B456_006G2751001 transcript:KJB33016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSMLRYFSTKPKPKMKPIELETSLEQTQTISRVTFDILKEHGPLTVGDTWERVKEVGLRGLTSKRHMKIVLRWMRGRQNIRLICNHVGPHKQFL >KJB33019 pep chromosome:Graimondii2_0_v6:6:51062886:51065450:1 gene:B456_006G2751001 transcript:KJB33019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSMLRYFSTKPKPKMKPIELETSLEQTQTISRVTFDILKEHGPLTVGDTWERVKEVGLRGLTSKRHMKIVLRWMRGRQNIRLICNHVGPHKQFL >KJB33018 pep chromosome:Graimondii2_0_v6:6:51064656:51065443:1 gene:B456_006G2751001 transcript:KJB33018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSMLRYFSTKPKPKMKPIELETSLEQTQTISRVTFDILKEHGPLTVGDTWERVKEVGLRGLTSKRHMKIVLRWMRGRQNIRLICNHVGPHKQFL >KJB34316 pep chromosome:Graimondii2_0_v6:6:21694286:21696232:1 gene:B456_006G059300 transcript:KJB34316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YSDDHDLFLECNQTFNCGTIQGIGYPFWSPTLRPSYCGHHGFELICQQNQSPVITIDTQRFHVLNMTRPPLMTIAPVDTWEDPCPQQFHNISLNHNLFDFAATIRNLTIFYGCPLEDDIPFQHRFNCGTTTSNGNTYAYYLDESLSRIHRSELTDCDTSIIVPVNQSEFDELWNETDNIVGAWNKGFEVMYQKDMISCLACRNSGGVCGSNSSSLDFLCFCPDHPCSKSCVVFDDENFAQCFDSFSCGDIHNLMFPFSKDDRSLLCRQDGFVLSKCEDPQPIIHIGGNEYRLMYLNHSTSTMSIVRNDLWEQSCLPNPINITINDSFLTYPPTNRHLTLFYNCSNVPQRPIIFPCSPELLSFYADDLSERDTYRDFSNSCGTNIQVQVNQSSFDELQNERNEYMLEEWRFGFNVVYDFPSIFCERCDNLVEKCSNLVNSPRYPVCKSGMLTFL >KJB37005 pep chromosome:Graimondii2_0_v6:6:44337137:44340062:1 gene:B456_006G186300 transcript:KJB37005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNINSVFYAESYHPIQAGSIDGTDILPHDNAVYRALLCSNAALYDPTGDPKIIGDPYCTVFVGRLSHLTTEPTLHKAMSKYGRVKNLRLVRHIVTGASRRFAFVEFETEREMRRAYQDAHHAIIDDAETIVDYNRQQLMPGWIPRRLGGGLGGRKESGQLRFGGRERPFRAPLQPIPYEDLKKLGITPPPEGRYMSRFQVPSPPRKPRKLVEKEEYSYKRSSVDREELSQRSSSMERDEHRRTVGRKQGSRKRSYVEEESQYGKSSEDRPECSPNTTSIETDHNYKRSTLDREEHYHSRSNAEGGEISRKRSSRYKEERPSKRHRHYSRSNH >KJB37006 pep chromosome:Graimondii2_0_v6:6:44337561:44339954:1 gene:B456_006G186300 transcript:KJB37006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYGRVKNLRLVRHIVTGASRRFAFVEFETEREMRRAYQDAHHAIIDDAETIVDYNRQQLMPGWIPRRLGGGLGGRKESGQLRFGGRERPFRAPLQPIPYEDLKKLGITPPPEGRYMSRFQVPSPPRKPRKLVEKEEYSYKRSSVDREELSQRSSSMERDEHRRTVGRKQGSRKRSYVEEESQYGKSSEDRPECSPNTTSIETDHNYKRSTLDREEHYHSRSNAEGGEISRKRSSRYKEERPSKRHRHYSRSNH >KJB37610 pep chromosome:Graimondii2_0_v6:6:46671216:46674839:-1 gene:B456_006G212700 transcript:KJB37610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALADSTCSRLDNPELRLSCFFNKALVNVGGDLAKLVPGRVSTEVDARRAYDTHGIIRRVHDLLKLYNEIDVPPERLLFKIPSTWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVIQIFVGRVRDWARNHSGDPEIEAAIQRGEDPGLGLVTKAYNYIHKYGHKSKLMAAAVRNKQDLFSLLGVDYVIAPLKILQSLKESVTAPDEKYSYVRRLSPQSAANHNFTAEELTKWDQLSLASAMGPASMQLLAAGLEGYVNQANRVEELLDKIWPPPNV >KJB37607 pep chromosome:Graimondii2_0_v6:6:46671198:46674933:-1 gene:B456_006G212700 transcript:KJB37607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLQSSPSSFLSSSLPKLRLGFANGCSSSSLLFKFNASFPAIRASSGFSSSLDTGLRTELDAVSTFSEIVPDTVIFDDFEKFPPTAATVSSSLLLGILSLPDTVFRNAVDMALADSTCSRLDNPELRLSCFFNKALVNVGGDLAKLVPGRVSTEVDARRAYDTHGIIRRVHDLLKLYNEIDVPPERLLFKIPSTWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVIQIFVGRVRDWARNHSGDPEIEAAIQRGEDPGLGLVTKAYNYIHKYGHKSKLMAAAVRNKQDLFSLLGVDYVIAPLKILQSLKESVTAPDEKYSYVRRLSPQSAANHNFTAEELTKWDQLSLASAMGPASMQLLAAGLEGYVNQANRVEELLDKIWPPPNV >KJB37609 pep chromosome:Graimondii2_0_v6:6:46671216:46674382:-1 gene:B456_006G212700 transcript:KJB37609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALADSTCSRLDNPELRLSCFFNKALVNVGGDLAKLVPGRVSTEVDARRAYDTHGIIRRVHDLLKLYNEIDVPPERLLFKIPSTWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVIQIFVGRVRDWARNHSGDPEIEAAIQRGEDPGLGLVTKAYNYIHKYGHKSKLMAAAVRNKQDLFSLLGVDYVIAPLKILQSLKESVTAPDEKYSYVRRLSPQSAANHNFTAEELTKWDQLSLASAMGPASMQLLAAGLEGYVNQANRVEELLDKIWPPPNV >KJB37608 pep chromosome:Graimondii2_0_v6:6:46671216:46673411:-1 gene:B456_006G212700 transcript:KJB37608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAYWDMQVHDLLKLYNEIDVPPERLLFKIPSTWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVIQIFVGRVRDWARNHSGDPEIEAAIQRGEDPGLGLVTKAYNYIHKYGHKSKLMAAAVRNKQDLFSLLGVDYVIAPLKILQSLKESVTAPDEKYSYVRRLSPQSAANHNFTAEELTKWDQLSLASAMGPASMQLLAAGLEGYVNQANRVEELLDKIWPPPNV >KJB38801 pep chromosome:Graimondii2_0_v6:6:50907257:50909320:-1 gene:B456_006G272800 transcript:KJB38801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLENDDPMSLSNRLLASLLEQIQSINNFKGKWALIKSKLSGLGAQLAEFSEFPASFSNPLAVDLLRSISQTLNEASSLSRKCQSADLAEGKLRTQSDIDAVLAKLDRHIKDSEILIRSGVLQDGGVTVSSKKEAVRVESRNLITRLQIGTTESKNSAMDSLLGLLQEDDKNVMIAVAQGVVPVLVRLLDSSSLEIKEKTVAVISRVSSVESSKHVLIAEGLLLLNHLLRVLESGSCFAKEKACIALQALSFSKENARAIGSRGGISSLLEICQAGTPGSQAFASGVLKILASFDEIKENFIEENAVFVLIGLAASGTALAQENSIGCLCNLVSDDENLKLLIVKEGGIECLKNFWDSSPNPKSLEVAVDFVRQLASSPHIADALIAEDFIPRLESALNCRVLAVRIAAARAVYVLGFNSKTRKEMGECGCTIALIKMLDGKAVEEKEAAAMALSSLLLYTGNRKVFRNEERGIVNAEANGCSWCLCLLAETCGNEC >KJB37803 pep chromosome:Graimondii2_0_v6:6:47323530:47323998:-1 gene:B456_006G220700 transcript:KJB37803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPIAKKQKRQLRNRDAVVRSRERKKMYVKDFEMKSRYLEGECRRLSHVLQCFSAENQALQIFFFSSP >KJB34201 pep chromosome:Graimondii2_0_v6:6:19136190:19148592:1 gene:B456_006G053100 transcript:KJB34201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TYTGGILIAINPFQRLPHLYENRVMEKYKGASVGELSPHPFAIADSAYRQMIKEQISQAILVSGESGAGKTESTKMLMQYLAFMGGRNNNTGERSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDGRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVEKFKVGNPRTFHYLNQSNCFELDVLDDSKEYLETKRAMDIVGINQAEQEAIFRVVAAILHLGNVEFVKGKKTDGAEPKDDKSRLHLKTAADLFMCDEKSLEDSLSKRVIVTRGDRITKSLDPATAAISRDALAKIVYSKLFDWLVQKINISIGQDPESKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEQIDWSYIEFVDNQDILDLVEKKPGGIIALLDEACMFPRSTHETFAEKLYQTFKDHKRFVKPKLSRTDFTICHYAGDVTYQTELFLEKNKDLVVPEHQALLNASKCSFVSSLFPPLPEETSKSSKFSSIATGFKANYIHHLFYLIFTYTIYFTQQQLQSLLETLNATEPHYVRCIKPNNALKPGIFENNNILQQLRCGGVMEAIRISTAGFPSRKLFREFINRFSILAPEVLNGSYNDVAASKRILEKSNLSGYQFLHILHFAIIASAMLFHRLILWLTGLLPLQVGKTKVFLRAGQMAELDARRGAVLGVSAIVIQRKVRAYLSRRHFNLLRLSSIKLQAFCRGQAVRHQYARMRRAAACLNIQKHSRKFLARKAYKNLYFSSVTIQAGIRGMIARDKLLLRKQMRAVTVIQSQCRRFLASHHYLRLKKAAITTQCAWRGKLARRELRNIRMAAKETGALLEANTKLEKQVEELTWELKKMQREKELLMKEGGGTKNIAGQVPIIKEVPVIDNEFMVKLTAENEQLKALVTSLEKKIEETNKLSEERLKQASEAEMKIIELKTAMQRLEEKISDMETEDKILRQKALLSAPSRKMSPESSFASSTPLQNGNHAQLNSGPSKRFGREDSRMRRSKIELPQAQEGVDSLIKCTTQNLGFSQEKPVAAFTIYKCLVHWRTLEAERTSAFDNLIQMIGSSLEKQDDNDHMAYWLSNTSALLFLLQSSLKSSAAAQKPPAPTSFFSRMTQVLG >KJB34203 pep chromosome:Graimondii2_0_v6:6:19136190:19160448:1 gene:B456_006G053100 transcript:KJB34203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKEQISQAILVSGESGAGKTESTKMLMQYLAFMGGRNNNTGERSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDGRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVEKFKVGNPRTFHYLNQSNCFELDVLDDSKEYLETKRAMDIVGINQAEQEAIFRVVAAILHLGNVEFVKGKKTDGAEPKDDKSRLHLKTAADLFMCDEKSLEDSLSKRVIVTRGDRITKSLDPATAAISRDALAKIVYSKLFDWLVQKINISIGQDPESKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEQIDWSYIEFVDNQDILDLVEKKPGGIIALLDEACMFPRSTHETFAEKLYQTFKDHKRFVKPKLSRTDFTICHYAGDVTYQTELFLEKNKDLVVPEHQALLNASKCSFVSSLFPPLPEETSKSSKFSSIATGFKQQLQSLLETLNATEPHYVRCIKPNNALKPGIFENNNILQQLRCGGVMEAIRISTAGFPSRKLFREFINRFSILAPEVLNGSYNDVAASKRILEKSNLSGYQFLHILHFAIIASAMLFHRLILWLTGLLPLQVGKTKVFLRAGQMAELDARRGAVLGVSAIVIQRKVRAYLSRRHFNLLRLSSIKLQAFCRGQAVRHQYARMRRAAACLNIQKHSRKFLARKAYKNLYFSSVTIQAGIRGMIARDKLLLRKQMRAVTVIQSQCRRFLASHHYLRLKKAAITTQCAWRGKLARRELRNIRMAAKETGALLEANTKLEKQVEELTWELKKMQREKELLMKEGGGTKNIAGQVPIIKEVPVIDNEFMVKLTAENEQLKALVTSLEKKIEETNKLSEERLKQASEAEMKIIELKTAMQRLEEKISDMETEDKILRQKALLSAPSRKMSPESSFASSTPLQNGNHAQLNSGPSKRFGREDSRMRRSKIELPQAQEGVDSLIKCTTQNLGFSQEKPVAAFTIYKCLVHWRTLEAERTSAFDNLIQMIGSSLEKQDDNDHMAYWLSNTSALLFLLQSSLKSSAAAQKPPAPTSFFSRMTQSFRSSSANLAVGVVRQVEAKYPALLFKQQLTACVEKIYGIIRDNLKKDLSLLLSCCIQVPRASKGTAFKTFEESEEVDSSPACHWQRIIESLNKHLSTLKENFVPPTLIQKIFIQIFAYINIQLFNSFLVRRECCTFSNGQYVKYGLAELELWCAQVTEEYAGSSWGELKHTRQAVAFLVLQEKSSITYNEITNELCPVLSVQQLYRICALFRDDSNNAPSVSPDVISSMKHLLSDGSADDGGSTFLLEDDISFPFSVEDIIGSMRVKEFAEVKPAAELTENPAFQFLLQD >KJB34202 pep chromosome:Graimondii2_0_v6:6:19136190:19149904:1 gene:B456_006G053100 transcript:KJB34202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKEQISQAILVSGESGAGKTESTKMLMQYLAFMGGRNNNTGERSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDGRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVEKFKVGNPRTFHYLNQSNCFELDVLDDSKEYLETKRAMDIVGINQAEQEAIFRVVAAILHLGNVEFVKGKKTDGAEPKDDKSRLHLKTAADLFMCDEKSLEDSLSKRVIVTRGDRITKSLDPATAAISRDALAKIVYSKLFDWLVQKINISIGQDPESKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEQIDWSYIEFVDNQDILDLVEKKPGGIIALLDEACMFPRSTHETFAEKLYQTFKDHKRFVKPKLSRTDFTICHYAGDVTYQTELFLEKNKDLVVPEHQALLNASKCSFVSSLFPPLPEETSKSSKFSSIATGFKQQLQSLLETLNATEPHYVRCIKPNNALKPGIFENNNILQQLRCGGVMEAIRISTAGFPSRKLFREFINRFSILAPEVLNGSYNDVAASKRILEKSNLSGYQFLHILHFAIIASAMLFHRLILWLTGLLPLQVGKTKVFLRAGQMAELDARRGAVLGVSAIVIQRKVRAYLSRRHFNLLRLSSIKLQAFCRGQAVRHQYARMRRAAACLNIQKHSRKFLARKAYKNLYFSSVTIQAGIRGMIARDKLLLRKQMRAVTVIQSQCRRFLASHHYLRLKKAAITTQCAWRGKLARRELRNIRMAAKETGALLEANTKLEKQVEELTWELKKMQREKELLMKEGGGTKNIAGQVPIIKEVPVIDNEFMVKLTAENEQLKALVTSLEKKIEETNKLSEERLKQASEAEMKIIELKTAMQRLEEKISDMETEDKILRQKALLSAPSRKMSPESSFASSTPLQNGNHAQLNSGPSKRFGREDSRMRRSKIELPQAQEGVDSLIKCTTQNLGFSQEKPVAAFTIYKCLVHWRTLEAERTSAFDNLIQMIGSSLEKQDDNDHMAYWLSNTSALLFLLQSSLKSSAAAQKPPAPTSFFSRMTQSFRSSSANLAVGVVRQVEAKYPALLFKQQLTACVEKIYGIIRDNLKKDLSLLLSCCIQVPRASKGTAFKTFEESEEVDSSPACHWQRIIESLNKHLSTLKENFILFRCPQHLFRRFSFKYLHI >KJB34200 pep chromosome:Graimondii2_0_v6:6:19136190:19147981:1 gene:B456_006G053100 transcript:KJB34200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKEQISQAILVSGESGAGKTESTKMLMQYLAFMGGRNNNTGERSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDGRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVEKFKVGNPRTFHYLNQSNCFELDVLDDSKEYLETKRAMDIVGINQAEQEAIFRVVAAILHLGNVEFVKGKKTDGAEPKDDKSRLHLKTAADLFMCDEKSLEDSLSKRVIVTRGDRITKSLDPATAAISRDALAKIVYSKLFDWLVQKINISIGQDPESKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEQIDWSYIEFVDNQDILDLVEKKPGGIIALLDEACMFPRSTHETFAEKLYQTFKDHKRFVKPKLSRTDFTICHYAGDVTYQTELFLEKNKDLVVPEHQALLNASKCSFVSSLFPPLPEETSKSSKFSSIATGFKQQLQSLLETLNATEPHYVRCIKPNNALKPGIFENNNILQQLRCGGVMEAIRISTAGFPSRKLFREFINRFSILAPEVLNGSYNDVAASKRILEKSNLSGYQFLHILHFAIIASAMLFHRLILWLTGLLPLQVGKTKVFLRAGQMAELDARRGAVLGVSAIVIQRKVRAYLSRRHFNLLRLSSIKLQAFCRGQAVRHQYARMRRAAACLNIQKHSRKFLARKAYKNLYFSSVTIQAGIRGMIARDKLLLRKQMRAVTVIQSQCRRFLASHHYLRLKKAAITTQCAWRGKLARRELRNIRMAAKETGALLEANTKLEKQVEELTWELKKMQREKELLMKEGGGTKNIAGQVPIIKEVPVIDNEFMVKLTAENEQLKALVTSLEKKIEETNKLSEERLKQASEAEMKIIELKTAMQRFYPYFMLWLEEKISDMETEDKILRQKALLSAPSRKMSPESSFASSTPLQNGNHAQLNSGPSKRFGREDSRMRRSKIELPQV >KJB34488 pep chromosome:Graimondii2_0_v6:6:27741048:27743842:1 gene:B456_006G068400 transcript:KJB34488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIATEAPKAPLTSERKVRADLEDKIPKPYLARVENPHGTVPGHNNNGMSVLQQHASFFDQDKDGIVYPRETYRGMRNLGFGRFESFLAAILINGALSYWTLPGWLPNLHFPLYIDRIHKCKHGSDSSTYDTEGRFMPMNFESIFSKYARTVPDKLTFREVWHMTEANRNAYDFIGWIIAKGEWILLYRLAKDENGYLSKEAVRGCFDGSLFEQVAKMNNTGNKKSHRLKE >KJB34490 pep chromosome:Graimondii2_0_v6:6:27741153:27743203:1 gene:B456_006G068400 transcript:KJB34490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIATEAPKAPLTSERKVRADLEDKIPKPYLARVENPHGTVPGHNNNGMSVLQQHASFFDQDKDGIVYPRETYRGMRNLGFGRFESFLAAILINGALSYWTLPGWLPNLHFPLYIDRIHKCKHGSDSSTYDTEGR >KJB34489 pep chromosome:Graimondii2_0_v6:6:27741137:27743823:1 gene:B456_006G068400 transcript:KJB34489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIATEAPKAPLTSERKVRADLEDKIPKPYLARVENPHGTVPGHNNNGMSVLQQHASFFDQDKDGIVYPRETYRGMRNLGFGRFESFLAAILINGALSYWTLPGWLPNLHFPLYIDRIHKCKHGSDSSTYDTEGRFMPMNFESIFSKYARTVPDKLTFREVWHMTEANRNAYDFIGCKGRMDTFV >KJB34362 pep chromosome:Graimondii2_0_v6:6:23062849:23065241:-1 gene:B456_006G062500 transcript:KJB34362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYFIPLLITIHQFLTPISNSLSGKAIAIKITSLTLINSYAIGKLKAKAQHGLSIITPRLL >KJB35268 pep chromosome:Graimondii2_0_v6:6:35032653:35036447:-1 gene:B456_006G107300 transcript:KJB35268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPATARFVPSETAFKEGSIPDFPSDHVPNCAPVDLGSFIPPDPSTSECPVNEELANLAVSSNAGVDLSGDVPDMPTEVISTIMERNASLVSNPSRESKQSSTGGEVNGEGKARKQLREQVTTPHNVDDLEDGTCNDDGLDAELPSYYAIDEDKDDNDDDEFNVEHASPKRRTSKRSKKPVNESEKPPRKRRKANEAQKHKKANEASDNPEKEQRKKFSHSTRRKRRFVDESLLTTPEDEIDFAKVALKDLILLADYKERIAKKEAKALKEPLTDQSTQKRLNEENARDEESSIASEQDQGIMDDQVNGSAQSDIYFNYQTLMTKEPRARWSKQDTELFYGAIRQFGPDFSLIQQLFPGRSRHQIKLKFKNEERRSPFKLSEALASRASDHSYFAKVIEQLQQVSGADPEAHGDVSNDLTREEEEVTPENNEEAAKPKQDEDVAVGDQEADITQDHSSLKSNEMDDEDDDDEILNSYQSAF >KJB35269 pep chromosome:Graimondii2_0_v6:6:35032653:35037135:-1 gene:B456_006G107300 transcript:KJB35269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGMTTASGRRTEKFKPKPRLQTSVPASPPTVVEWVMHPATARFVPSETAFKEGSIPDFPSDHVPNCAPVDLGSFIPPDPSTSECPVNEELANLAVSSNAGVDLSGDVPDMPTEVISTIMERNASLVSNPSRESKQSSTGGEVNGEGKARKQLREQVTTPHNVDDLEDGTCNDDGLDAELPSYYAIDEDKDDNDDDEFNVEHASPKRRTSKRSKKPVNESEKPPRKRRKANEAQKHKKANEASDNPEKEQRKKFSHSTRRKRRFVDESLLTTPEDEIDFAKVALKDLILLADYKERIAKKEAKALKEPLTDQSTQKRLNEENARDEESSIASEQDQGIMDDQVNGSAQSDIYFNYQTLMTKEPRARWSKQDTELFYGAIRQFGPDFSLIQQLFPGRSRHQIKLKFKNEERRSPFKLSEALASRASDHSYFAKVIEQLQQVSGADPEAHGDVSNDLTREEEEVTPENNEEAAKPKQDEDVAVGDQEADITQDHSSLKSNEMDDEDDDDEILNSYQSAF >KJB35267 pep chromosome:Graimondii2_0_v6:6:35032559:35037276:-1 gene:B456_006G107300 transcript:KJB35267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESDFFQDEPVVTQARAGAKFQPKFKRKAKDGTSGSIPSKPPVILKDVATTVASAAINAVQVVEPDNVVDDSKVSQVINPSQVTVTGSLLAEVAVPNCCNDTNSSFERTVGENADLYFGLECLDPLLTQSSTNDGDNRADHERTGTEFQEAGVFPDVDTQDIMFGMTTASGRRTEKFKPKPRLQTSVPASPPTVVEWVMHPATARFVPSETAFKEGSIPDFPSDHVPNCAPVDLGSFIPPDPSTSECPVNEELANLAVSSNAGVDLSGDVPDMPTEVISTIMERNASLVSNPSRESKQSSTGGEVNGEGKARKQLREQVTTPHNVDDLEDGTCNDDGLDAELPSYYAIDEDKDDNDDDEFNVEHASPKRRTSKRSKKPVNESEKPPRKRRKANEAQKHKKANEASDNPEKEQRKKFSHSTRRKRRFVDESLLTTPEDEIDFAKVALKDLILLADYKERIAKKEAKALKEPLTDQSTQKRLNEENARDEESSIASEQDQGIMDDQVNGSAQSDIYFNYQTLMTKEPRARWSKQDTELFYGAIRQFGPDFSLIQQLFPGRSRHQIKLKFKNEERRSPFKLSEALASRASDHSYFAKVIEQLQQVSGADPEAHGDVSNDLTREEEEVTPENNEEAAKPKQDEDVAVGDQEADITQDHSSLKSNEMDDEDDDDEILNSYQSAF >KJB37045 pep chromosome:Graimondii2_0_v6:6:44501930:44504968:1 gene:B456_006G188300 transcript:KJB37045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) UniProtKB/Swiss-Prot;Acc:Q9ZPV8] MAILEESVVDSKISPPNSYGAVVLGGTFDRLHDGHRLFLRSSTELARSRIVVGVCDGPMLTNKRYSDLIEPVEERMHNVECYIKSIKPELVVHVGPITDPYGPSIVDENLDAIVVSKETIPGGISVNRKRADRGLSQLKIEVVDLVSEECSQDKLSSTTLRKLEAEKQQGKGKHDDESRSCQC >KJB37048 pep chromosome:Graimondii2_0_v6:6:44501382:44504994:1 gene:B456_006G188300 transcript:KJB37048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) UniProtKB/Swiss-Prot;Acc:Q9ZPV8] MAILEESVVDSKISPPNSYGAVVLGGTFDRLHDGHRLFLRSSTELARSRIVVGVCDGPMLTNKRYSDLIEPVEERMHNVECYIKSIKPELVVHVGPITDPYGPSIVDENLDAIVVSKETIPGGISVNRKRADRGLSQLKIEVVDLVSEECSQDKLSSTTLRKLEAEKQQGKGKHDDESRSCQC >KJB37044 pep chromosome:Graimondii2_0_v6:6:44502285:44504968:1 gene:B456_006G188300 transcript:KJB37044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) UniProtKB/Swiss-Prot;Acc:Q9ZPV8] MAILEESVVDSKISPPNSYGAVVLGGTFDRLHDGHRLFLRSSTELARSRIVVGVCDGPMLTNKRYSDLIEPVEERMHNVECYIKSIKPELVVHVGPITDPYGPSIVDENLDAIVVSKETIPGGISVNRKRADRGLSQLKIEVVDLVSEECSQDKLSSTTLRKLEAEKQQGKGKHDDESRSCQC >KJB37046 pep chromosome:Graimondii2_0_v6:6:44501930:44504968:1 gene:B456_006G188300 transcript:KJB37046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) UniProtKB/Swiss-Prot;Acc:Q9ZPV8] MAILEESVVDSKISPPNSYGAVVLGGTFDRLHDGHRLFLRYSDLIEPVEERMHNVECYIKSIKPELVVHVGPITDPYGPSIVDENLDAIVVSKETIPGGISVNRKRADRGLSQLKIEVVDLVSEECSQDKLSSTTLRKLEAEKQQGKGKHDDESRSCQC >KJB37047 pep chromosome:Graimondii2_0_v6:6:44502075:44504968:1 gene:B456_006G188300 transcript:KJB37047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) UniProtKB/Swiss-Prot;Acc:Q9ZPV8] MAILEESVVDSKISPPNSYGAVVLGGTFDRLHDGHRLFLRSSTELARSRIVVGVCDGPMLTNKRSIKPELVVHVGPITDPYGPSIVDENLDAIVVSKETIPGGISVNRKRADRGLSQLKIEVVDLVSEECSQDKLSSTTLRKLEAEKQQGKGKHDDESRSCQC >KJB35354 pep chromosome:Graimondii2_0_v6:6:35706001:35709301:1 gene:B456_006G110700 transcript:KJB35354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase E, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G22510) UniProtKB/Swiss-Prot;Acc:Q9FK88] MALSEASLCLNHMLLSNSSSNFGKQRNLGLVQFHHSLRTLGNGIKRCGFRSISFCSTDRKNLTKISCLLSCKCQRADRVSGLTADDGRPRSLSINGKTNVSNVQELNELLQSDGEGVASGDTNGVGTVGGRKGIEEEAWDLLKESVVYYCGNPIGTIAASDTSSSSILNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDNSVTEDVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCTGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDMKKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVEFMPSRGGYLIGNLQPAHMDFRFFSLGNLWSIVGGLATVDQSHAILDLVEEKWSELVADMPLKICYPALEGQEWRIITGSDPKNTAWSYHNGGSWPTLLWQVSFFFPN >KJB35353 pep chromosome:Graimondii2_0_v6:6:35706001:35708649:1 gene:B456_006G110700 transcript:KJB35353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase E, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G22510) UniProtKB/Swiss-Prot;Acc:Q9FK88] MALSEASLCLNHMLLSNSSSNFGKQRNLGLVQFHHSLRTLGNGIKRCGFRSISFCSTDRKNLTKISCLLSCKCQRADRVSGLTADDGRPRSLSINGKTNVSNVQELNELLQSDGEGVASGDTNGVGTVGGRKGIEEEAWDLLKESVVYYCGNPIGTIAASDTSSSSILNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDNSVTEDVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCTGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDMKKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVEFMPSRGGYLIGNLQPAHMDFRFFSLGNLWSIVGGLATVDQSHAILDLVEEKWSELVADMPLKICYPALEGQEWRIITGSDPKNTYCLHPYDYAFSYFSVINHSNTFLVLPAILKDYSFLLQCLVIPQWRFLANFALAG >KJB35352 pep chromosome:Graimondii2_0_v6:6:35705823:35709401:1 gene:B456_006G110700 transcript:KJB35352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase E, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G22510) UniProtKB/Swiss-Prot;Acc:Q9FK88] MALSEASLCLNHMLLSNSSSNFGKQRNLGLVQFHHSLRTLGNGIKRCGFRSISFCSTDRKNLTKISCLLSCKCQRADRVSGLTADDGRPRSLSINGKTNVSNVQELNELLQSDGEGVASGDTNGVGTVGGRKGIEEEAWDLLKESVVYYCGNPIGTIAASDTSSSSILNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDNSVTEDVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCTGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDMKKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVEFMPSRGGYLIGNLQPAHMDFRFFSLGNLWSIVGGLATVDQSHAILDLVEEKWSELVADMPLKICYPALEGQEWRIITGSDPKNTAWSYHNGGSWPTLLWQLTVACMKMNRPEIAEKAVMLAERRLSRDKWPEYYDTRRARFIGKQSRLFQTWSIAGYLVAKLLLANPSAAKVLITEEDSELVNAFSCMLSSNPRRKRGRKGFKQPFII >KJB36874 pep chromosome:Graimondii2_0_v6:6:43754860:43758396:-1 gene:B456_006G180100 transcript:KJB36874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGVASDCANGNPVLGCPSTTRRRVSDLPTTPESDSNNSSSSSDHHALAYNDEEADNPNGYIPYSCGSHFLQSMIRNLLLRRKLLSLFSWVSDTCHWAFVMAHSLRSGRNAGRNILSALLLVAVVLFFLKASRLSNHAKWGRDNGLLILQTFTEDRTLAQHIIAETNVESQAEHSMPKGVIERTPEIWRKPDSDNYHQCVSRPKNRIRSKTNGYIIVHANGGLNQMRTGICDMVAVARIMNATLVLPSLDHDSFWKDPSDFKDIFDWKHFINVLKDDIEIVEHLPLSYRTMKPFVMAPVSWSQASYYRREVLPLLKRHKVIKFTHTDSRLADNGLATSIQRLRCRANYEALRYTKEIEDLGQTLVDRLRKNNEPYIALHLRYEKDMLAFTGCIHNLTAEEGDELTVMRYNVKHWKEKEIDSEERRSQGGCPMTPREAAMFLKAMGYPSTTPVYIVAGEIYGSNSMATLRAEYPNIFSHSTLATEEELELVKPYQNRLAALDYIVAFESDVFVYTYDGNMAKATQGHRRFEGFRKTINPDRQNFVKLIDQLDAGVIYWEEFSLEVKSLHFDRLGAPYLRQPGESPRLEENFYANPLPGCICKRSQEKQTSSLKLERTLSSVQRRYLRDLQQSPTRL >KJB36875 pep chromosome:Graimondii2_0_v6:6:43755533:43758160:-1 gene:B456_006G180100 transcript:KJB36875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGVASDCANGNPVLGCPSTTRRRVSDLPTTPESDSNNSSSSSDHHALAYNDEEADNPNGYIPYSCGSHFLQSMIRNLLLRRKLLSLFSWVSDTCHWAFVMAHSLRSGRNAGRNILSALLLVAVVLFFLKASRLSNHAKWGRDNGLLILQTFTEDRTLAQHIIAETNVESQAEHSMPKGVIERTPEIWRKPDSDNYHQCVSRPKNRIRTGSKTNGYIIVHANGGLNQMRTGICDMVAVARIMNATLVLPSLDHDSFWKDPSDFKDIFDWKHFINVLKDDIEIVEHLPLSYRTMKPFVMAPVSWSQASYYRREVLPLLKRHKVIKFTHTDSRLADNGLATSIQRLRCRANYEALRYTKEIEDLGQTLVDRLRKNNEPYIALHLRYEKDMLAFTGCIHNLTAEEGDELTVMRYNVKHWKEKEIDSEERRSQGGCPMTPREAAMFLKAMGYPSTTPVYIVAGEIYGSNSMATLRAEYPNIFSHSTLATEEELELVKPYQNRLAALDYIVAFESDVFVYTYDGNMAKATQGHRRFEGFRKTINPDRQNFVKLIDQLDAGVIYWEEFSLEVKSLHFDRLGAPYLRQPGESPRLEENFYANPLPGCICKRSQEKQTSSLKLERTLSSVQRRYLR >KJB36873 pep chromosome:Graimondii2_0_v6:6:43754939:43758264:-1 gene:B456_006G180100 transcript:KJB36873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGVASDCANGNPVLGCPSTTRRRVSDLPTTPESDSNNSSSSSDHHALAYNDEEADNPNGYIPYSCGSHFLQSMIRNLLLRRKLLSLFSWVSDTCHWAFVMAHSLRSGRNAGRNILSALLLVAVVLFFLKASRLSNHAKWGRDNGLLILQTFTEDRTLAQHIIAETNVESQAEHSMPKGVIERTPEIWRKPDSDNYHQCVSRPKNRIRTGSKTNGYIIVHANGGLNQMRTGICDMVAVARIMNATLVLPSLDHDSFWKDPSDFKDIFDWKHFINVLKDDIEIVEHLPLSYRTMKPFVMAPVSWSQASYYRREVLPLLKRHKVIKFTHTDSRLADNGLATSIQRLRCRANYEALRYTKEIEDLGQTLVDRLRKNNEPYIALHLRYEKDMLAFTGCIHNLTAEEGDELTVMRYNVKHWKEKEIDSEERRSQGGCPMTPREAAMFLKAMGYPSTTPVYIVAGEIYGSNSMATLRAEYPNIFSHSTLATEEELELVKPYQNRLAALDYIVAFESDVFVYTYDGNMAKATQGHRRFEGFRKTINPDRQNFVKLIDQLDAGVIYWEEFSLEVKSLHFDRLGAPYLRQPGESPRLEENFYANPLPGCICKRSQEKQTSSLKLERTLSSVQRRYLRDLQQSPTRL >KJB33812 pep chromosome:Graimondii2_0_v6:6:8234789:8236760:-1 gene:B456_006G031800 transcript:KJB33812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKAKDIVSTNPVVVFSKSYCPFCVDVKQLLQQLGASFKAIELDKESDGSDIQAALAEWTGQRTVPNVFIATMALHKEGKLVPLLTEAGAITKSSV >KJB33810 pep chromosome:Graimondii2_0_v6:6:8234458:8236906:-1 gene:B456_006G031800 transcript:KJB33810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKAKDIVSTNPVVVFSKSYCPFCVDVKQLLQQLGASFKAIELDKESDGSDIQAALAEWTGQRTVPNVFIGGKHIGGCDSTMALHKEGKLVPLLTEAGAITKSSV >KJB33811 pep chromosome:Graimondii2_0_v6:6:8234521:8236760:-1 gene:B456_006G031800 transcript:KJB33811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKAKDIVSTNPVVVFSKSYCPFCVDVKQLLQQLGASFKAIELDKESDGSDIQAALAEWTGQRTVPNVFIGGKHIGGCDSTMALHKEGKLVPLLTEAGAITKSSV >KJB33809 pep chromosome:Graimondii2_0_v6:6:8234323:8236760:-1 gene:B456_006G031800 transcript:KJB33809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKAKDIVSTNPVVVFSKSYCPFCVDVKQLLQQLGASFKAIELDKESDGSDIQAALAEWTGQRTVPNVFIGGKHIGGCDSTMALHKEAVLPVAFFFMTSALDALKIGLDS >KJB35725 pep chromosome:Graimondii2_0_v6:6:37710924:37727582:1 gene:B456_006G125500 transcript:KJB35725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAFFRNYSNDTDAHDVLEEKSQGQSIGRIRSSVGNDDVGGSGTYSEREFDINARYQSDGEPDGSVRLHNEVAAATGAGVSNSNFQPPGRRVAPGKWGSTFWKDCQPMDHQGGSDSGQDSKSDHRNLEASEYNSSDDRDDRLDLEDDEAQKGKAQRGHSDVPADEMLSDEYYEQDGEEQSDTMHYTGFSNSVGLNTRPKSKPASLSSTMSGSSRALNNFNYDDEENNHDVDYEEEEEEDEDDPDDADFEPDYDAASGRAGNQDKDWDGEDSEEEDNSDGDVDISDEEDSYYRKKPKGVQHVKVGLNVKPTKERKYTNRQRRGKSSFDENECSAEDSDSESVHDFKSIARRGGNHRISSARSNTFTSMGRNSEVRTSSRSVRKVSYVESEGSEEIEEVKKKKTLKDEAEEEDGDSIEKVLWHQPKGMADDAIRNNRSTEPVLLSHLFDSEPDWIEMEFLIKWKGQSHLHCQWKPFFELQNLSGFKKVLNYTKKVMDDAKYRKALSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRISKDASGNVMSEYLVKWQGLSYAEATWEKDIDITFAQDAIDEYKARDAAMAVLGKIVDHQRKKGKASLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWSKEFKKWLPDMNVIVYVGTRASREVCQQYEFYNDKKIGRPIKFNTLLTTFEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPHKFESKDDFVQNYKNLSTFNENELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYRWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDISMNDISKLERIILSSGKLVILDKLLTRLHETKHRVLVFSQMVRMLDILAEYLLLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQREVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGSHFDKNELSAILRFGAEELFKEDRNDEESKKRLLGMDIDEILERAEKVEEKVGEEEGNELLSAFKVANFCNAEDDGTFWSRWIKPDAIAQAEDALAPRAARNTKSYAETSQPERSNKRKKKGSDSLELQERVQKHRKAEYSSPLAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNENQITLIAEEVGGAVAAAPIDAQIELFQALVEGCREAVEVGNAEPKGPLLDFFGVPVKANDLFNRVQELQLLAKRISRYEDPIRQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIHYHGFGNWERIRLDERLGLTKKIAPAELQHHETFLPRAPNLKERANALLEMEVAAIGGGKTASTKAGRKASKKEKQNTLKVSISRGRDTKGKPGSPKVSFKMSRDRHKRPQKVELVKEEGEMSDNEGVYEHFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRKIDEIVLEHEDELYRQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEREEEGGDGPSRINGAIPGHVDRDGDPNYPPFSHSVEKQRGYKNAVAYQTSQPIHKGIDAAKFEAWKRRRRAKGDIHPQLQPSAQRPTNNGIQLVDPNSLGILGAGPSDKCLVNNERPYRMH >KJB35727 pep chromosome:Graimondii2_0_v6:6:37711302:37727076:1 gene:B456_006G125500 transcript:KJB35727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAFFRNYSNDTDAHDVLEEKSQGQSIGRIRSSVGNDDVGGSGTYSEREFDINARYQSDGEPDGSVRLHNEVAAATGAGVSNSNFQPPGRRVAPGKWGSTFWKDCQPMDHQGGSDSGQDSKSDHRNLEASEYNSSDDRDDRLDLEDDEAQKGKAQRGHSDVPADEMLSDEYYEQDGEEQSDTMHYTGFSNSVGLNTRPKSKPASLSSTMSGSSRALNNFNYDDEENNHDVDYEEEEEEDEDDPDDADFEPDYDAASGRAGNQVIDLDKDWDGEDSEEEDNSDGDVDISDEEDSYYRKKPKGVQHVKVGLNVKPTKERKYTNRQRRGKSSFDENECSAEDSDSESVHDFKSIARRGGNHRISSARSNTFTSMGRNSEVRTSSRSVRKVSYVESEGSEEIEEVKKKKTLKDEAEEEDGDSIEKVLWHQPKGMADDAIRNNRSTEPVLLSHLFDSEPDWIEMEFLIKWKGQSHLHCQWKPFFELQNLSGFKKVLNYTKKVMDDAKYRKALSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRISKDASGNVMSEYLVKWQGLSYAEATWEKDIDITFAQDAIDEYKARDAAMAVLGKIVDHQRKKGKASLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWSKEFKKWLPDMNVIVYVGTRASREVCQQYEFYNDKKIGRPIKFNTLLTTFEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPHKFESKDDFVQNYKNLSTFNENELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYRWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDISMNDISKLERIILSSGKLVILDKLLTRLHETKHRVLVFSQMVRMLDILAEYLLLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQREVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGSHFDKNELSAILRFGAEELFKEDRNDEESKKRLLGMDIDEILERAEKVEEKVGEEEGNELLSAFKVANFCNAEDDGTFWSRWIKPDAIAQAEDALAPRAARNTKSYAETSQPERSNKRKKKGSDSLELQERVQKHRKAEYSSPLAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNENQITLIAEEVGGAVAAAPIDAQIELFQALVEGCREAVEVGNAEPKGPLLDFFGVPVKANDLFNRVQELQLLAKRISRYEDPIRQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIHYHGFGNWERIRLDERLGLTKKIAPAELQHHETFLPRAPNLKERANALLEMEVAAIGGGKTASTKAGRKASKKEKQNTLKVSISRGRDTKGKPGSPKVSFKMSRDRHKRPQKVELVKEEGEMSDNEGVYEHFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRKIDEIVLEHEDELYRQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEREEEGGDGPSRINGAIPGHVDRDGDPNYPPFSHSVEKQRGYKNAVAYQTSQPIHKGIDAAKFEAWKRRRRAKGDIHPQLQPSAQRPTNNGIQLVDPNSLGILGAGPSDKCLVNNERPYRMH >KJB35728 pep chromosome:Graimondii2_0_v6:6:37711302:37727538:1 gene:B456_006G125500 transcript:KJB35728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAFFRNYSNDTDAHDVLEEKSQGQSIGRIRSSVGNDDVGGSGTYSEREFDINARYQSDGEPDGSVRLHNEVAAATGAGVSNSNFQPPGRRVAPGKWGSTFWKDCQPMDHQGGSDSGQDSKSDHRNLEASEYNSSDDRDDRLDLEDDEAQKGKAQRGHSDVPADEMLSDEYYEQDGEEQSDTMHYTGFSNSVGLNTRPKSKPASLSSTMSGSSRALNNFNYDDEENNHDVDYEEEEEEDEDDPDDADFEPDYDAASGRAGNQDKDWDGEDSEEEDNSDGDVDISDEEDSYYRKKPKGVQHVKVGLNVKPTKERKYTNRQRRGKSSFDENECSAEDSDSESVHDFKSIARRGGNHRISSARSNTFTSMGRNSEVRTSSRSVRKVSYVESEGSEEIEEVKKKKTLKDEAEEEDGDSIEKVLWHQPKGMADDAIRNNRSTEPVLLSHLFDSEPDWIEMEFLIKWKGQSHLHCQWKPFFELQNLSGFKKVLNYTKKVMDDAKYRKALSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRISKDASGNVMSEYLVKWQGLSYAEATWEKDIDITFAQDAIDEYKARDAAMAVLGKIVDHQRKKGKASLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWSKEFKKWLPDMNVIVYVGTRASREVCQQYEFYNDKKIGRPIKFNTLLTTFEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPHKFESKDDFVQNYKNLSTFNENELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYRWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDISMNDISKLERIILSSGKLVILDKLLTRLHETKHRVLVFSQMVRMLDILAEYLLLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQREVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGSHFDKNELSAILRFGAEELFKEDRNDEESKKRLLGMDIDEILERAEKVEEKVGEEEGNELLSAFKVANFCNAEDDGTFWSRWIKPDAIAQAEDALAPRAARNTKSYAETSQPERSNKRKKKGSDSLELQERVQKHRKAEYSSPLAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNENQITLIAEEVGGAVAAAPIDAQIELFQALVEGCREAVEVGNAEPKGPLLDFFGVPVKANDLFNRVQELQLLAKRISRYEDPIRQFRVLMYLKPSNWSKGCGWNQTVDDARLLLGIHYHGFGNWERIRLDERLGLTKKIAPAELQHHETFLPRAPNLKERANALLEMEVAAIGGGKTASTKAGRKASKKEKQNTLKVSISRGRDTKGKPGSPKVSFKMSRDRHKRPQKVELVKEEGEMSDNEGVYEHFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRKIDEIVLEHEDELYRQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEREEEGGDGPSRINGAIPGHVDRDGDPNYPPFSHSVEKQRGYKNAVAYQTSQPIHKGIDAAKFEAWKRRRRAKGDIHPQLQPSAQRPTNNGIQLVDPNSLGILGAGPSDKCLVNNERPYRMH >KJB35726 pep chromosome:Graimondii2_0_v6:6:37711149:37727568:1 gene:B456_006G125500 transcript:KJB35726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAFFRNYSNDTDAHDVLEEKSQGQSIGRIRSSVGNDDVGGSGTYSEREFDINARYQSDGEPDGSVRLHNEVAAATGAGVSNSNFQPPGRRVAPGKWGSTFWKDCQPMDHQGGSDSGQDSKSDHRNLEASEYNSSDDRDDRLDLEDDEAQKGKAQRGHSDVPADEMLSDEYYEQDGEEQSDTMHYTGFSNSVGLNTRPKSKPASLSSTMSGSSRALNNFNYDDEENNHDVDYEEEEEEDDDPDDADFEPDYDAASGRAGNQDKDWDGEDSEEEDNSDGDVDISDEEDSYYRKKPKGVQHVKVGLNVKPTKERKYTNRQRRGKSSFDENECSAEDSDSESVHDFKSIARRGGNHRISSARSNTFTSMGRNSEVRTSSRSVRKVSYVESEGSEEIEEVKKKKTLKDEAEEEDGDSIEKVLWHQPKGMADDAIRNNRSTEPVLLSHLFDSEPDWIEMEFLIKWKGQSHLHCQWKPFFELQNLSGFKKVLNYTKKVMDDAKYRKALSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRISKDASGNVMSEYLVKWQGLSYAEATWEKDIDITFAQDAIDEYKARDAAMAVLGKIVDHQRKKGKASLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWSKEFKKWLPDMNVIVYVGTRASREVCQQYEFYNDKKIGRPIKFNTLLTTFEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPHKFESKDDFVQNYKNLSTFNENELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYRWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDISMNDISKLERIILSSGKLVILDKLLTRLHETKHRVLVFSQMVRMLDILAEYLLLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQREVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGSHFDKNELSAILRFGAEELFKEDRNDEESKKRLLGMDIDEILERAEKVEEKVGEEEGNELLSAFKVANFCNAEDDGTFWSRWIKPDAIAQAEDALAPRAARNTKSYAETSQPERSNKRKKKGSDSLELQERVQKHRKAEYSSPLAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNENQITLIAEEVGGAVAAAPIDAQIELFQALVEGCREAVEVGNAEPKGPLLDFFGVPVKANDLFNRVQELQLLAKRISRYEDPIRQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIHYHGFGNWERIRLDERLGLTKKIAPAELQHHETFLPRAPNLKERANALLEMEVAAIGGGKTASTKAGRKASKKEKQNTLKVSISRGRDTKGKPGSPKVSFKMSRDRHKRPQKVELVKEEGEMSDNEGVYEHFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRKIDEIVLEHEDELYRQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEREEEGGDGPSRINGAIPGHVDRDGDPNYPPFSHSVEKQRGYKNAVAYQTSQPIHKGIDAAKFEAWKRRRRAKGDIHPQLQPSAQRPTNNGIQLVDPNSLGILGAGPSDKCLVNNERPYRMH >KJB35729 pep chromosome:Graimondii2_0_v6:6:37711302:37727538:1 gene:B456_006G125500 transcript:KJB35729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAFFRNYSNDTDAHDVLEEKSQGQSIGRIRSSVGNDDVGGSGTYSEREFDINARYQSDGEPDGSVRLHNEVAAATGAGVSNSNFQPPGRRVAPGKWGSTFWKDCQPMDHQGGSDSGQDSKSDHRNLEASEYNSSDDRDDRLDLEDDEAQKGKAQRGHSDVPADEMLSDEYYEQDGEEQSDTMHYTGFSNSVGLNTRPKSKPASLSSTMSGSSRALNNFNYDDEENNHDVDYEEEEEEDEDDPDDADFEPDYDAASGRAGNQFVKQDKDWDGEDSEEEDNSDGDVDISDEEDSYYRKKPKGVQHVKVGLNVKPTKERKYTNRQRRGKSSFDENECSAEDSDSESVHDFKSIARRGGNHRISSARSNTFTSMGRNSEVRTSSRSVRKVSYVESEGSEEIEEVKKKKTLKDEAEEEDGDSIEKVLWHQPKGMADDAIRNNRSTEPVLLSHLFDSEPDWIEMEFLIKWKGQSHLHCQWKPFFELQNLSGFKKVLNYTKKVMDDAKYRKALSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRISKDASGNVMSEYLVKWQGLSYAEATWEKDIDITFAQDAIDEYKARDAAMAVLGKIVDHQRKKGKASLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWSKEFKKWLPDMNVIVYVGTRASREVCQQYEFYNDKKIGRPIKFNTLLTTFEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPHKFESKDDFVQNYKNLSTFNENELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYRWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDISMNDISKLERIILSSGKLVILDKLLTRLHETKHRVLVFSQMVRMLDILAEYLLLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQREVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGSHFDKNELSAILRFGAEELFKEDRNDEESKKRLLGMDIDEILERAEKVEEKVGEEEGNELLSAFKVANFCNAEDDGTFWSRWIKPDAIAQAEDALAPRAARNTKSYAETSQPERSNKRKKKGSDSLELQERVQKHRKAEYSSPLAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNENQITLIAEEVGGAVAAAPIDAQIELFQALVEGCREAVEVGNAEPKGPLLDFFGVPVKANDLFNRVQELQLLAKRISRYEDPIRQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIHYHGFGNWERIRLDERLGLTKKIAPAELQHHETFLPRAPNLKERANALLEMEVAAIGGGKTASTKAGRKASKKEKQNTLKVSISRGRDTKGKPGSPKVSFKMSRDRHKRPQKVELVKEEGEMSDNEGVYEHFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRKIDEIVLEHEDELYRQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEREEEGGDGPSRINGAIPGHVDRDGDPNYPPFSHSVEKQRGYKNAVAYQTSQPIHKGIDAAKFEAWKRRRRAKGDIHPQLQPSAQRPTNNGIQLVDPNSLGILGAGPSDKCLVNNERPYRMH >KJB38560 pep chromosome:Graimondii2_0_v6:6:50124388:50126586:1 gene:B456_006G260600 transcript:KJB38560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNVAVIGICSVFLVAVVVAVVVGVSQTHTKEESDSKSNSISSSNKAVQAVCQPTHFKDACEKSLASSNSTDTKELIRSSFQAAIEEVRKVLANSTTIQDLNKDDNNREALKVCQEVLDLSIDDLQLSFDKMGEYDMSKIGDYLLNLRVWLSGALTTQQTCVDTFAEVSNEQAEKMKLVLKTSMELTANALTMVTKLSTVLKDLNIPGLDGIDTTGFERKLLSNDGPEWMGHAERKLLQAPIIKPDVVVAKDGSGKYDTITKALEEVPKKSPNRFVIHIKAGIYKEKINVTKQMTNVMFIGDGPTKTIITNDINCINNHPLKTFQTATVGVDGVGFMAKDIGFENTAGPEGHQAVAFRATSNKVIMFNCHFTGYQDTLYPHKGQQFYRDCVISGTVDFIFGDSASVFQNCLIIVRKPGENQNNMITAPGRQYIDTDSALVLQNCTITGAPDYLPVKDKSKTYLGRPWKPLARAIIMQSTIEDIITPEGYAPMEGTKGLDTAYFAEFENKGPGAKTEGRVTWPAIKKIDINEAKKWTPGVFLGSDQWVPQAGIPYYPDMVPVV >KJB34120 pep chromosome:Graimondii2_0_v6:6:16391366:16398405:-1 gene:B456_006G048800 transcript:KJB34120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQYGIKYAVNLITAHFGDLVAKVCECLLRRGPLTLQAIVRSTELTSSQVKNSLLVLIQHNCVQAFILDQTGEHGDGAKQSTQYIALFDNIIQRWRFPKFLTMVSKKLDKQCVELLEGLLQHGRLTLKQMFDRAKSGQNEGDDVVQEAFVKLLNAHFIERCPASEPVLTNPTKEEEAARKRAAKSGKILEVQETLEQRVVEAAMPTEALRFLFATETEPTADGGKDKSNSSSVTPGEKRKHDAIESEAESGDTDEQVVLWRANIDEFIRHLRHKACIENVRARFDDGAVIVFGAMLEATRSAEKKVKTENSVPLSLSSIYEEVIKSEEGRNITFDRVRASLVQLSCPPFVKATNESYSVDFKKIIELAQHEEVESIVLKRYGRDAYRMFRLLSNSGHLLETDKMPHLLKRRRRQ >KJB34119 pep chromosome:Graimondii2_0_v6:6:16391257:16398563:-1 gene:B456_006G048800 transcript:KJB34119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQYGIKYAVNLITAHFGDLVAKVCECLLRRGPLTLQAIVRSTELTSSQVKNSLLVLIQHNCVQAFILDQTGEHGDGAKQSTQYIALFDNIIQRWRFPKFLTMVSKKLDKQCVELLEGLLQHGRLTLKQMFDRAKSGQNEGDDVVQEAFVKLLNAHFIERCPASEPVLTNPTKEEEAARKRAAKSGKILEVQETLEQRVVEAAMPTEALRFLFATETEPTADGGKDKSNSSSVTPGEKRKHDAIESEAESGDTDEQVVLWRANIDEFIRHLRHKACIENVRARFDDGAVIVFGAMLEATRSAEKKVKTENSVPLSLSSIYEEVIKSEEGRNITFDRVRASLVQLSCPPFVKATNESYSVDFKKIIELAQHEEVESIVLKRYGRDAYRMFRLLSNSGHLLETDKVADATFVEKKETPIILYKLWKDDYLQLEKLQIGVKQTPFQLWKVNKSTLKVHVLDEMFHAAFNLSQRVAFELEQEKELSNVLQDKRVNRLRKRLLLESSQMKLDDAIMLFHDF >KJB34121 pep chromosome:Graimondii2_0_v6:6:16394141:16398405:-1 gene:B456_006G048800 transcript:KJB34121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQYGIKYAVNLITAHFGDLVAKVCECLLRRGPLTLQAIVRSTELTSSQVKNSLLVLIQHNCVQAFILDQTGEHGDGAKQSTQYIALFDNIIQRWRFPKFLTMVSKKLDKQCVELLEGLLQHGRLTLKQMFDRAKSGQNEGDDVVQEAFVKLLNAHFIERCPASEPVLTNPTKEEEAARKRAAKSGKILEVQETLEQRVVEAAMPTEALRFLFATETEPTADGGKDKSNSSSVTPGEKRKHDAIESEAESGDTDEQVVLWRANIDEFIRHLRHKACIENVRARFDDGAVIVFGAMLEATRSAEKKVKTENSVPLSLSSIYEEVIKSEEGRNITFDRVRASLVQLSCPPFVKATNESYSVDFKKIIELAQHEEVESIVLKRYGRDAYRMFRLLSNSGHLLETDKVTIL >KJB34238 pep chromosome:Graimondii2_0_v6:6:20233552:20237797:1 gene:B456_006G055000 transcript:KJB34238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDALFELEQNLRSRKEQLTRQEENIFQRCKSSALNHFTAGVIAGGGLVWAATWKLNRLLRVNLSGDSLLCAGAAVILGFWKFGNSLDSSVDHILALDGTRMQSELANIIVKKYRHDTWKMRLISKHFYLEEVFDDSTSGPKLRWRYRNFFGDNVDQDQGTHGDFQDVSHKGSPSDIHNNFDRKKTDLKSEQVPVKSGFDLMADPLDCVFGYTATSEEIHHSTPSSMSSRAQSRAHRRANRRRRLHHQEASSSSHDNKYSKFDVM >KJB34236 pep chromosome:Graimondii2_0_v6:6:20233486:20237852:1 gene:B456_006G055000 transcript:KJB34236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDALFELEQNLRSRKEQLTRQEENIFQRCKSSALNHFTAGVIAGGGLVWAATWKLNRLLRVNLSGGAAVILGFWKFGNSLDSSVDHILALDGTRMQSELANIIVKKYRHDTWKMRLISKHFYLEEVFDDSTSGPKLRWRYRNFFGDNVDQDQGTHGDFQDVSHKGSPSDIHNNFDRKKTDLKSEQVPVKSGFDLMADPLDCVFGYTATSEEIHHSTPSSMSSRAQSRAHRRANRRRRLHHQEASSSSHDNKYSKFDVM >KJB34237 pep chromosome:Graimondii2_0_v6:6:20233527:20236848:1 gene:B456_006G055000 transcript:KJB34237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDALFELEQNLRSRKEQLTRQEENIFQRCKSSALNHFTAGVIAGGGLVWAATWKLNRLLRVNLSGGAAVILGFWKFGNSLDSSVDHILALDGTRMQSELANIIVKKYRHDTWKMRLISKHFYLEEVFDDSTSGPKLRWRYRNFFGDNVDQDQGTHGDFQDVSHKGSPSDIHNNFDRKKTDLKSEQVPVSALNFLGIVASL >KJB34239 pep chromosome:Graimondii2_0_v6:6:20233552:20237797:1 gene:B456_006G055000 transcript:KJB34239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDALFELEQNLRSRKEQLTRQEENIFQRCKSSALNHFTAGVIAGGGLVWAATWKLNRLLRVNLSGGAAVILGFWKFGNSLDSSVDHILALDGTRMQSELANMYDQGTHGDFQDVSHKGSPSDIHNNFDRKKTDLKSEQVPVKSGFDLMADPLDCVFGYTATSEEIHHSTPSSMSSRAQSRAHRRANRRRRLHHQEASSSSHDNKYSKFDVM >KJB34240 pep chromosome:Graimondii2_0_v6:6:20233552:20237797:1 gene:B456_006G055000 transcript:KJB34240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDALFELEQNLRSRKEQLTRQEENIFQRCKSSALNHFTAGVIAGGGLVWAATWKLNRLLRVNLSGAAVILGFWKFGNSLDSSVDHILALDGTRMQSELANIIVKKYRHDTWKMRLISKHFYLEEVFDDSTSGPKLRWRYRNFFGDNVDQDQGTHGDFQDVSHKGSPSDIHNNFDRKKTDLKSEQVPVKSGFDLMADPLDCVFGYTATSEEIHHSTPSSMSSRAQSRAHRRANRRRRLHHQEASSSSHDNKYSKFDVM >KJB37860 pep chromosome:Graimondii2_0_v6:6:47569047:47574061:-1 gene:B456_006G223500 transcript:KJB37860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKARIENEDLLKKEKEKEKKHKKEKKDKDKDKDKEKREKKEKKEKDKSDGKHKDKKDKKEKHRDKKKEKDRDKEKDRSNISDEKKFHGLPGGQNGEKISDEKILPGKSEGQSGDKFIQKEKGRDKDRSSTLDEKKHAGQFLGYNGQKVSQNSNLAEDFRDSKFVQGRRIRDEGPGAGDRFAEKFMVNDKKRDEQMVRLVAKTANTPAEGMEKNKRSDDSRLDAQRIREDTSSGQNAMVPNLGGAVKARAEGIPGQVENNTERRGEGKEKTKEKESNDKIKDKHKEKKSHGKEKDRDREKHEAAVKAKSEHRNLEQDNLKGSKKDDPVGTKTQKSLDPFDVGNKGAVAVENLHKRKDREKNGFFHVDDIKPNKQPRTTSSHPLTDNGRTLEFCEAPIAPTSDTPKARTSVKADNNERKVNGIIEAQLSSISSTKHLSTSAKASQTDKVFMKPPHPDTKYLSQVLLVPEMEEWPDFDDQAWLFSSNESQSKKKPKVGFPEIDETPHVWSEALQIESADVYALPYVIPY >KJB37862 pep chromosome:Graimondii2_0_v6:6:47568831:47574540:-1 gene:B456_006G223500 transcript:KJB37862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKARIENEDLLKKEKEKEKKHKKEKKDKDKDKDKEKREKKEKKEKDKSDGKHKDKKDKKEKHRDKKKEKDRDKEKDRSNISDEKKFHGLPGGQNGEKISDEKILPGKSEGQSGDKFIQKEKGRDKDRSSTLDEKKHAGQFLGYNGQKVSQNSNLAEDFRDSKFVQGRRIRDEGPGAGDRFAEKFMVNDKKRDEQMVRLVAKTANTPAEGMEKNKRSDDSRLDAQRIREDTSSGQNAMVPNLGGAVKARAEGIPGQVENNTERRGEGKEKTKEKESNDKIKDKHKEKKSHGKEKDRDREKHEAAVKAKSEHRNLEQDNLKGSKKDDPVGTKTQKSLDPFDVGNKGAVAVENLHKRKDREKNGFFHVDDIKPNKQPRTTSSHPLTDNGRTLEFCEAPIAPTSDTPKARTSVKADNNERKVNGIIEAQLSSISSTKHLSTSAKASQTDKVFMKPPHPDTKYLSQVLLVPEMEEWPDFDDQAWLFSSNESQSKKKPKVGFPEIDETPHVWSEALQIESADVYALPYVIPY >KJB37861 pep chromosome:Graimondii2_0_v6:6:47569953:47572900:-1 gene:B456_006G223500 transcript:KJB37861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKARIENEDLLKKEKEKEKKHKKEKKDKDKDKDKEKREKKEKKEKDKSDGKHKDKKDKKEKHRDKKKEKDRDKEKDRSNISDEKKFHGLPGGQNGEKISDEKILPGKSEGQSGDKFIQKEKGRDKDRSSTLDEKKHAGQFLGYNGQKVSQNSNLAEDFRDSKFVQGRRIRDEGPGAGDRFAEKFMVNDKKRDEQMVRLVAKTANTPAEGMEKNKRSDDSRLDAQRIREDTSSGQNAMVPNLGGAVKARAEGIPGQVENNTERRGEGKEKTKEKESNDKIKDKHKEKKSHGKEKDRDREKHEAAVKAKSEHRNLEQDNLKGSKKDDPVGTKTQKSLDPFDVGNKGAVAVENLHKRKDREKNGFFHGECYTMIVCINPADHLKLFFLFS >KJB37887 pep chromosome:Graimondii2_0_v6:6:47649026:47653319:1 gene:B456_006G225000 transcript:KJB37887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQDHGKNHPSGHESHGGVHLCHKCGWPFPNPHPSAKQRRSHKKICGTIEGYKLIDSRDIITHSTPSDEEPLSDESHKTPCAQVPKVVESCSLEKNIGGIGAMSNKSEDDVFSDAAMEFQDGGFGLGRLDSFDHPSKSDKDLTPVVSFKDCEDTCDVIPIKMEPLMDVLEESSKVGGGDKMAEYTVRQETNAEKIEMMLDGISDLGQLEEDFSDRLASKTSINENAEQESDGKGHLGINLEQNLTDVVASNRVHACVTSEKTDDITPETRLVDRVVKVKENDDKLPLNTVINDLSAKDESAKDIDASTYNFQILTDAAQDSTKVCDKTEKENVSVYALKHESFKDHNTVKLPQSRALASEEIIVDEEDEVKDLVSQEKCDTLSLKQLDKDNEADALCIHVAEDSYKLGGNKEELVEGKTDVIQLDKGSDTLGSFVDDDTTKNKKDLEVWYLKEKQPVLVSHTDVELNNACGSDDMDVPQSGRNGAHITEDENTGRIDDENYVKKTLTSNESTNGSSLSQTNPSSNLLDVENSASESVSLHHDSPVAAKEDNDEYTRALPETEGPHLNRASNSLDDMKESEISRDIKLQGECVGKDLMTSAVNNIEGNEFEKTSQDQLKKDSIHSPSFAEPTGRISAAVDDSHTGESGVDASGTSTLSLQGEADNGSIKPQLDAPVGDVSKSSSHNDSLDVFSMQSDNPAAINTENLSPIGSHPLLEPEKANINKPSAVLGEKHFDKSDEFKPPSFMTLVEPVGSNENAAAASEVQTAQNSQHAGWFPSITHTASESQGRKKEEIIAKVNNWNTMQHTPLKSLLGEAINETKPKFPNSPKADPRDEKVTKDNGGMVTKVSSILGPESPETEPSNVEKWDSPARYQADIKREKRKMKGRPLWTQFVCCSSAN >KJB37886 pep chromosome:Graimondii2_0_v6:6:47649026:47653319:1 gene:B456_006G225000 transcript:KJB37886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQDHGKNHPSGHESHGGVHLCHKCGWPFPNPHPSAKQRRSHKKICGTIEGYKLIDSRDIITHSTPSDEEPLSDESHKTPCAQVPKVVESCSLEKNIGGIGAMSNKSEDDVFSDAAMEFQDGGFGLGRLDSFDHPSKSDKDLTPVVSFKDCEDTCDVIPIKMEPLMDVLEESSKVGGGDKMAEYTVRQETNAEKIEMMLDGISDLGQLEEDFSDRLASKTSINENAEQESDGKGHLGINLEQNLTDVVASNRVHACVTSEKTDDITPETRLVDRVVKVKENDDKLPLNTVINDLSAKDESAKDIDASTYNFQILTDAAQDSTKVCDKTEKENVSVYALKHESFKDHNTVKLPQSRALASEEIIVDEEDEVKDLVSQEKCDTLSLKQLDKDNEADALCIHVAEDSYKLGGNKEELVEGKTDVIQLDKGSDTLGSFVDDDTTKNKKDLEVWYLKEKQPVLVSHTDVELNNACGSDDMDVPQSGRNGAHITEDENTGRIDDENYVKKTLTSNESTNGSSLSQTNPSSNLLDVENSASESVSLHHDSPVAAKEDNDEYTRALPETEGPHLNRASNSLDDMKESEISRDIKLQGECVGKDLMTSAVNNIEGNEFEKTSQDQLKKDSIHSPSFAEPTGRISAAVDDSHTGESGVDASGTSTLSLQGEADNGSIKPQLDAPVVFSMQSDNPAAINTENLSPIGSHPLLEPEKANINKPSAVLGEKHFDKSDEFKPPSFMTLVEPVGSNENAAAASEVQTAQNSQHAGWFPSITHTASESQGRKKEEIIAKVNNWNTMQHTPLKSLLGEAINETKPKFPNSPKADPRDEKVTKDNGGMVTKVSSILGPESPETEPSNVEKWDSPARYQADIKREKRKMKGRPLWTQFVCCSSAN >KJB37885 pep chromosome:Graimondii2_0_v6:6:47649158:47652503:1 gene:B456_006G225000 transcript:KJB37885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQDHGKNHPSGHESHGGVHLCHKCGWPFPNPHPSAKQRRSHKKICGTIEGYKLIDSRDIITHSTPSDEEPLSDESHKTPCAQVPKVVESCSLEKNIGGIGAMSNKSEDDVFSDAAMEFQDGGFGLGRLDSFDHPSKSDKDLTPVVSFKDCEDTCDVIPIKMEPLMDVLEESSKVGGGDKMAEYTVRQETNAEKIEMMLDGISDLGQLEEDFSDRLASKTSINENAEQESDGKGHLGINLEQNLTDVVASNRVHACVTSEKTDDITPETRLVDRVVKVKENDDKLPLNTVINDLSAKDESAKDIDASTYNFQILTDAAQDSTKVCDKTEKENVSVYALKHESFKDHNTVKLPQSRALASEEIIVDEEDEVKDLVSQEKCDTLSLKQLDKDNEADALCIHVAEDSYKLGGNKEELVEGKTDVIQLDKGSDTLGSFVDDDTTKNKKDLEVWYLKEKQPVLVSHTDVELNNACGSDDMDVPQSGRNGAHITEDENTGRIDDENYVKKTLTSNESTNGSSLSQTNPSSNLLDVENSASESVSLHHDSPVAAKEDNDEYTRALPETEGPHLNRASNSLDDMKESEISRDIKLQGECVGKDLMTSAVNNIEGNEFEKTSQDQLKKDSIHSPSFAEPTGRISAAVDDSHTGESGVDASGTSTLSLQGEADNGSIKPQLDAPVGDVSKSSSHNDSLDGQWGSVSGIFLDAIR >KJB37888 pep chromosome:Graimondii2_0_v6:6:47649026:47653342:1 gene:B456_006G225000 transcript:KJB37888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQDHGKNHPSGHESHGGVHLCHKCGWPFPNPHPSAKQRRSHKKICGTIEGYKLIDSRDIITHSTPSDEEPLSDESHKTPCAQVPKVVESCSLEKNIGGIGAMSNKSEDDVFSDAAMEFQDGGFGLGRLDSFDHPSKSDKDLTPVVSFKDCEDTCDVIPIKMEPLMDVLEESSKVGGGDKMAEYTVRQETNAEKIEMMLDGISDLGQLEEDFSDRVHACVTSEKTDDITPETRLVDRVVKVKENDDKLPLNTVINDLSAKDESAKDIDASTYNFQILTDAAQDSTKVCDKTEKENVSVYALKHESFKDHNTVKLPQSRALASEEIIVDEEDEVKDLVSQEKCDTLSLKQLDKDNEADALCIHVAEDSYKLGGNKEELVEGKTDVIQLDKGSDTLGSFVDDDTTKNKKDLEVWYLKEKQPVLVSHTDVELNNACGSDDMDVPQSGRNGAHITEDENTGRIDDENYVKKTLTSNESTNGSSLSQTNPSSNLLDVENSASESVSLHHDSPVAAKEDNDEYTRALPETEGPHLNRASNSLDDMKESEISRDIKLQGECVGKDLMTSAVNNIEGNEFEKTSQDQLKKDSIHSPSFAEPTGRISAAVDDSHTGESGVDASGTSTLSLQGEADNGSIKPQLDAPVGDVSKSSSHNDSLDGQWGSVSVFSMQSDNPAAINTENLSPIGSHPLLEPEKANINKPSAVLGEKHFDKSDEFKPPSFMTLVEPVGSNENAAAASEVQTAQNSQHAGWFPSITHTASESQGRKKEEIIAKVNNWNTMQHTPLKSLLGEAINETKPKFPNSPKADPRDEKVTKDNGGMVTKVSSILGPESPETEPSNVEKWDSPARYQADIKREKRKMKGRPLWTQFVCCSSAN >KJB37884 pep chromosome:Graimondii2_0_v6:6:47649026:47652291:1 gene:B456_006G225000 transcript:KJB37884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQDHGKNHPSGHESHGGVHLCHKCGWPFPNPHPSAKQRRSHKKICGTIEGYKLIDSRDIITHSTPSDEEPLSDESHKTPCAQVPKVVESCSLEKNIGGIGAMSNKSEDDVFSDAAMEFQDGGFGLGRLDSFDHPSKSDKDLTPVVSFKDCEDTCDVIPIKMEPLMDVLEESSKVGGGDKMAEYTVRQETNAEKIEMMLDGISDLGQLEEDFSDRLASKTSINENAEQESDGKGHLGINLEQNLTDVVASNRVHACVTSEKTDDITPETRLVDRVVKVKENDDKLPLNTVINDLSAKDESAKDIDASTYNFQILTDAAQDSTKVCDKTEKENVSVYALKHESFKDHNTVKLPQSRALASEEIIVDEEDEVKDLVSQEKCDTLSLKQLDKDNEADALCIHVAEDSYKLGGNKEELVEGKTDVIQLDKGSDTLGSFVDDDTTKNKKDLEVWYLKEKQPVLVSHTDVELNNACGSDDMDVPQSGRNGAHITEDENTGRIDDENYVKKTLTSNESTNGSSLSQTNPSSNLLDVENSASESVSLHHDSPVAAKEDNDEYTRALPETEGPHLNRASNSLDDMKESEISRDIKLQGECVGKDLMTSAVNNIEGNEFEKTSQDQLKKDSIHSPSFAEPTGRISAAVDDSHTGESGVDASGTSTLSLQGEADNGSIKPQLDAPVGDVSKSSSHNDSLDGQWGSVSGMLASSCSLI >KJB37883 pep chromosome:Graimondii2_0_v6:6:47648913:47653358:1 gene:B456_006G225000 transcript:KJB37883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQDHGKNHPSGHESHGGVHLCHKCGWPFPNPHPSAKQRRSHKKICGTIEGYKLIDSRDIITHSTPSDEEPLSDESHKTPCAQVPKVVESCSLEKNIGGIGAMSNKSEDDVFSDAAMEFQDGGFGLGRLDSFDHPSKSDKDLTPVVSFKDCEDTCDVIPIKMEPLMDVLEESSKVGGGDKMAEYTVRQETNAEKIEMMLDGISDLGQLEEDFSDRLASKTSINENAEQESDGKGHLGINLEQNLTDVVASNRVHACVTSEKTDDITPETRLVDRVVKVKENDDKLPLNTVINDLSAKDESAKDIDASTYNFQILTDAAQDSTKVCDKTEKENVSVYALKHESFKDHNTVKLPQSRALASEEIIVDEEDEVKDLVSQEKCDTLSLKQLDKDNEADALCIHVAEDSYKLGGNKEELVEGKTDVIQLDKGSDTLGSFVDDDTTKNKKDLEVWYLKEKQPVLVSHTDVELNNACGSDDMDVPQSGRNGAHITEDENTGRIDDENYVKKTLTSNESTNGSSLSQTNPSSNLLDVENSASESVSLHHDSPVAAKEDNDEYTRALPETEGPHLNRASNSLDDMKESEISRDIKLQGECVGKDLMTSAVNNIEGNEFEKTSQDQLKKDSIHSPSFAEPTGRISAAVDDSHTGESGVDASGTSTLSLQGEADNGSIKPQLDAPVGDVSKSSSHNDSLDGQWGSVSVFSMQSDNPAAINTENLSPIGSHPLLEPEKANINKPSAVLGEKHFDKSDEFKPPSFMTLVEPVGSNENAAAASEVQTAQNSQHAGWFPSITHTASESQGRKKEEIIAKVNNWNTMQHTPLKSLLGEAINETKPKFPNSPKADPRDEKVTKDNGGMVTKVSSILGPESPETEPSNVEKWDSPARYQADIKREKRKMKGRPLWTQFVCCSSAN >KJB38264 pep chromosome:Graimondii2_0_v6:6:49047666:49053815:-1 gene:B456_006G245500 transcript:KJB38264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKGQGDWEEEWGECYAGKRQPALFVINLNSGKVHAVKGIAKSLSVGQVVWAPPVESIDQYLVFVGWSADPRKLGIKYCYNRPCALYAVKVPLYKSEAAQSDLKSMEELTVVNLTQSISSAFFPQFSPDGKFIVFLSAKASVDSGVHSATDSLHRIDWPTDGKLCSSTKIIDVIPVVNCAEDGQFPGLYCSSFLSKPWLSDGCTMILSSYWRSCQVILSVNVLSGEVLRISTADSGFSWNVLTLDGDNIIAVCSSPIDVPQIKYGCLEDKATNTTAWHWLNVSSPIFKCSEKVTSLLSHLQFGITQIPVKDVSDCLTKGAAKSFEAIFVSSKVNNAPDPLIVVLHGGPHSVSLSSFSKSLAFLSSLGFSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLTAIDHVIEKGLVNPSKITVLGGSHGGFLTTHLIGQAPDKFVAAAARNPVCNLSSMVATTDIPDWCYVESYGSKGKTIFTEAPSAEHLTHFYSKSPILHISKVKAPTIFLLGAQDLRVPVSGGLQYARALKERGVETKIILFPNDIHAIERPQSDFESFLNIGVWFKKYCK >KJB38266 pep chromosome:Graimondii2_0_v6:6:49047666:49055031:-1 gene:B456_006G245500 transcript:KJB38266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPRKNMLPRICSQAMFLISQPNLLANKKRKFMLSTSISKESNNNVNFQWAPFPVEMTGVSITVPSPSGSKLLVIRNPENESPTQFEIWSSSRLEKEFRIPQSTHGSVYADGWFEGISWNSDESLIAYVAEEPSPCKPSFDCQGYKQGAAKDKECMSWKGQGDWEEEWGECYAGKRQPALFVINLNSGKVHAVKGIAKSLSVGQVVWAPPVESIDQYLVFVGWSADPRKLGIKYCYNRPCALYAVKVPLYKSEAAQSDLKSMEELTVVNLTQSISSAFFPQFSPDGKFIVFLSAKASVDSGVHSATDSLHRIDWPTDGKLCSSTKIIDVIPVVNCAEDGQFPGLYCSSFLSKPWLSDGCTMILSSYWRSCQVILSVNVLSGEVLRISTADSGFSWNVLTLDGDNIIAVCSSPIDVPQIKYGCLEDKATNTTAWHWLNVSSPIFKCSEKVTSLLSHLQFGITQIPVKDVSDCLTKGAAKSFEAIFVSSKVNNAPDPLIVVLHGGPHSVSLSSFSKSLAFLSSLGFSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLTAIDHVIEKGLVNPSKITVLGGSHGGFLTTHLIGQAPDKFVAAAARNPVCNLSSMVATTDIPDWCYVESYGSKGKTIFTEAPSAEHLTHFYSKSPILHISKVKAPTIFLLGAQDLRVPVSGGLQYARALKERGVETKIILFPNDIHAIERPQSDFESFLNIGVWFKKYCK >KJB38265 pep chromosome:Graimondii2_0_v6:6:49047666:49053999:-1 gene:B456_006G245500 transcript:KJB38265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLISQPNLLANKKRKFMLSTSISKESNNNVNFQWAPFPVEMTGVSITVPSPSGSKLLVIRNPENESPTQFEIWSSSRLEKEFRIPQSTHGSVYADGWFEGISWNSDESLIAYVAEEPSPCKPSFDCQGYKQGAAKDKECMSWKGQGDWEEEWGECYAGKRQPALFVINLNSGKVHAVKGIAKSLSVGQVVWAPPVESIDQYLVFVGWSADPRKLGIKYCYNRPCALYAVKVPLYKSEAAQSDLKSMEELTVVNLTQSISSAFFPQFSPDGKFIVFLSAKASVDSGVHSATDSLHRIDWPTDGKLCSSTKIIDVIPVVNCAEDGQFPGLYCSSFLSKPWLSDGCTMILSSYWRSCQVILSVNVLSGEVLRISTADSGFSWNVLTLDGDNIIAVCSSPIDVPQIKYGCLEDKATNTTAWHWLNVSSPIFKCSEKVTSLLSHLQFGITQIPVKDVSDCLTKGAAKSFEAIFVSSKVNNAPDPLIVVLHGGPHSVSLSSFSKSLAFLSSLGFSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLTAIDHVIEKGLVNPSKITVLGGSHGGFLTTHLIGQAPDKFVAAAARNPVCNLSSMVATTDIPDWCYVESYGSKGKTIFTEAPSAEHLTHFYSKSPILHISKVKAPTIFLLGAQDLRVPVSGGLQYARALKERGVETKIILFPNDIHAIERPQSDFESFLNIGVWFKKYCK >KJB38268 pep chromosome:Graimondii2_0_v6:6:49047666:49055079:-1 gene:B456_006G245500 transcript:KJB38268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTLPLAYFTSISSNISTWSGTLAASPRFSLLFFSSQPRLSLFSSTPISHYVKNLPAILAMDSSKAGTVKELPVGLDEATEEEYASQSKLLQEFTNISSIDKAWVFKSESGICSQAMFLISQPNLLANKKRKFMLSTSISKESNNNVNFQWAPFPVEMTGVSITVPSPSGSKLLVIRNPENESPTQFEIWSSSRLEKEFRIPQSTHGSVYADGWFEGISWNSDESLIAYVAEEPSPCKPSFDCQGYKQGAAKDKECMSWKGQGDWEEEWGECYAGKRQPALFVINLNSGKVHAVKGIAKSLSVGQVVWAPPVESIDQYLVFVGWSADPRKLGIKYCYNRPCALYAVKVPLYKSEAAQSDLKSMEELTVVNLTQSISSAFFPQFSPDGKFIVFLSAKASVDSGVHSATDSLHRIDWPTDGKLCSSTKIIDVIPVVNCAEDGQFPGLYCSSFLSKPWLSDGCTMILSSYWRSCQVILSVNVLSGEVLRISTADSGFSWNVLTLDGDNIIAVCSSPIDVPQIKYGCLEDKATNTTAWHWLNVSSPIFKCSEKVTSLLSHLQFGITQIPVKDVSDCLTKGAAKSFEAIFVSSKVNNAPDPLIVVLHGGPHSVSLSSFSKSLAFLSSLGFSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLTAIDHVIEKGLVNPSKITVLGGSHGGFLTTHLIGQAPDKFVAAAARNPVCNLSSMVATTDIPDWCYVESYGSKGKTIFTEAPSAEHLTHFYSKSPILHISKVKAPTIFLLGAQDLRVPVSGGLQYARALKERGVETKIILFPNDIHAIERPQSDFESFLNIGVWFKKYCK >KJB38267 pep chromosome:Graimondii2_0_v6:6:49048887:49055031:-1 gene:B456_006G245500 transcript:KJB38267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTLPLAYFTSISSNISTWSGTLAASPRFSLLFFSSQPRLSLFSSTPISHYVKNLPAILAMDSSKAGTVKELPVGLDEATEEEYASQSKLLQEFTNISSIDKAWVFKSESGICSQAMFLISQPNLLANKKRKFMLSTSISKESNNNVNFQWAPFPVEMTGVSITVPSPSGSKLLVIRNPENESPTQFEIWSSSRLEKEFRIPQSTHGSVYADGWFEGISWNSDESLIAYVAEEPSPCKPSFDCQGYKQGAAKDKECMSWKGQGDWEEEWGECYAGKRQPALFVINLNSGKVHAVKGIAKSLSVGQVVWAPPVESIDQYLVFVGWSADPRKLGIKYCYNRPCALYAVKVPLYKSEAAQSDLKSMEELTVVNLTQSISSAFFPQFSPDGKFIVFLSAKASVDSGVHSATDSLHRIDWPTDGKLCSSTKIIDVIPVVNCAEDGQFPGLYCSSFLSKPWLSDGCTMILSSYWRSCQVILSVNVLSGEVLRISTADSGFSWNVLTLDGDNIIAVCSSPIDVPQIKYGCLEDKATNTTAWHWLNVSSPIFKCSEKVTSLLSHLQFGITQIPVKDVSDCLTKGAAKSFEAIFVSSKVNNAPDPLIVVLHGGPHSVSLSSFSKSLAFLSSLGFSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLTAIDHVIEKGLVNPSKITVLGGSHGGFLTTHLIGQAPDKFVAAAARNPVCNLSSMVATTDIPDWCYVESYGSKGKTIFTEAPSAEHLTHFYSKSPILHISKVH >KJB34845 pep chromosome:Graimondii2_0_v6:6:32131997:32136167:1 gene:B456_006G087000 transcript:KJB34845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSLNGLQNEEEALNSLLDAFGSLFSLNDIASAYCEAGRNPDLAGLILCEMQGIPPLVATDQSNNEVKNNETSGSSYVKSSQNNSQASEVFTVDQPVSAATDECSGKRKKDEPMESSRCNSFQRSCQENGGLSSPKQKARPVSGGTVSSMLGKGYMKSVPLANGSYPGTKPMKVDSKEMPMSLFWGEELEPSSQNEDRMHKDMEDFLFKMLGEGFRLERDMIREVLNSCGYNMQKSMKKLLDWSAVSLDKEKKPSAMSLDKEKKPLGESGEKTNDIHLRTSRPSQENMNIVLNADVAERQQKDGNDLQKEVLAALFGAPERSEELPRRSKRPARRPIALGEIVERPLIDVTAEPKVDRVRSQEDKKDDEDEEDSFQVLRRAVKEYRGTMKEYYKAAVDAFAKGDQDEANKLLEQEHRDRRRNVARIAQSWHKGGNPAFEVSLIFTSRHPILQVPKGHYQYRQRRLLKRHL >KJB34843 pep chromosome:Graimondii2_0_v6:6:32131997:32136157:1 gene:B456_006G087000 transcript:KJB34843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSLNGLQNEEEALNSLLDAFGSLFSLNDIASAYCEAGRNPDLAGLILCEMQGIPPLVATDQSNNEVKNNETSGSSYVKSSQNNSQASEVFTVDQPVSAATDECSGKRKKDEPMESSRCNSFQRSCQENGGLSSPKQKARPVSGGTVSSMLGKGYMKSVPLANGSYPGTKPMKVDSKEMPMSLFWGEELEPSSQNEDRMHKDMEDFLFKMLGEGFRLERDMIREVLNSCGYNMQKSMKKLLDWSAVSLDKEKKPSAMSLDKEKKPLGESGEKTNDIHLRTSRPSQENMNIVLNADVAERQQKDGNDLQKEVLAALFGAPERSEELPRRSKRPARRPIALGEIVERPLIDVTAEPKVDRVRSQEDKKDDEDEEDSFQVLRRAVKEYRGTMKEYYKAAVDAFAKGDQDEANKLLEQGQFFQEKARQADEESNQKIFETRNTETEDEMLLELHNHGTREAIQLLKCHLSSLAGIPSFKYLKVIINTDKEDSSKGTCRRLVMKLLQKESISWSEGETSGIILIQLDNINPKRLSFAKN >KJB34844 pep chromosome:Graimondii2_0_v6:6:32131990:32136220:1 gene:B456_006G087000 transcript:KJB34844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSLNGLQNEEEALNSLLDAFGSLFSLNDIASAYCEAGRNPDLAGLILCEMQGIPPLVATDQSNNEVKNNETSGSSYVKSSQNNSQASEVFTVDQPVSAATDECSGKRKKDEPMESSRCNSFQRSCQENGGLSSPKQKARPVSGGTVSSMLGKGYMKSVPLANGSYPGTKPMKVDSKEMPMSLFWGEELEPSSQNEDRMHKDMEDFLFKMLGEGFRLERDMIREVLNSCGYNMQKSMKKLLDWSAVSLDKEKKPSAMSLDKEKKPLGESGEKTNDIHLRTSRPSQENMNIVLNADVAERQQKDGNDLQKEVLAALFGAPERSEELPRRSKRPARRPIALGEIVERPLIDVTAEPKVDRVRSQEDKKDDEDEEDSFQVLRRAVKEYRGTMKEYYKAAVDAFAKGDQDEANKLLEQGQFFQEKARQADEESNQKIFETRNTETEDEMLLELHNHGTREAIQLLKCHLSSLAGIPSFKYLKVIINTDKEDSSKGTCRRLVMKLLQKESISWSEGETSGIILIQLDNINPKRLSFAKN >KJB36080 pep chromosome:Graimondii2_0_v6:6:39674137:39675571:-1 gene:B456_006G139800 transcript:KJB36080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPLIWPEVQVNGNKQQHQQQWQFDALQQPVWGREVCNNYITPENSLLSYDSSANSATLHANQTENGAMKSEEVPSVRLVERLL >KJB33800 pep chromosome:Graimondii2_0_v6:6:8198682:8199522:1 gene:B456_006G031400 transcript:KJB33800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAHIDIEPAIPEEETTSNSLIRNTRCCFCFPCFSSRRTPAVGVAFWQRIGSSHVQSDTGFWSSSVRAFKKVREWSEIVAGPRWKTFIRRFNRSKSGGGIVSGGRHGQFHYDPLSYALNFDEGPGQNGNLEDDNDFGAFRAFSTRYALVPGSEKTPLA >KJB33801 pep chromosome:Graimondii2_0_v6:6:8198454:8199607:1 gene:B456_006G031400 transcript:KJB33801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAHIDIEPAIPEEETTSNSLIRNTRCCFCFPCFSSRRTPAVGVAFWQRIGSSHVQSDTGFWSSSVRAFKKVREWSEIVAGPRWKTFIRRFNRSKSGGGIVSGGRHGQFHYDPLSYALNFDEGPGQNGNLEDDNDFGAFRAFSTRYALVPGSEKTPLA >KJB34111 pep chromosome:Graimondii2_0_v6:6:16273175:16284013:-1 gene:B456_006G048600 transcript:KJB34111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTVTLSPSSPQLRLALRCRNSVEPRALLVGAWTTNLDSHRRLRLLSLTRSRSKRLERRHNGVFRIVADSTAGAGADAFSGWSDSEHVEDSVDSRRNGWFGGITRAGSVGLVLVAGLSFAAMSIGNQSTARTKQQLGPLTALQEVLLASDNETDKSEENESKKGIHKDLLSPSEFNSTSTDNKLENDNGSYLVDGYTYNGNVAANTAPIQEDLQNVSALDGMPIGTGLTPISPKLPESEVAGGPFFAPSLRESDSNLDIDSPEATSETKDNLFNVKETIDTNLSDPINLDNDIDEGKLGSQGKENCNTSVDSSSSSSLTNEAVTMNFSVSSKFEPILEPHSLPIDNVKTIESFPSEGNLEVNNLNESVPSKISSMSAPAHPKDEQREVDYKEINDSKPVLESPIPRSSFSPAGIPAPSVVSAALQVHPGKVLIPAVVDQVQGQALAALQVLKVIEADAQPSDLCTRREYARWLLSASVLSRNSVSKVYPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLISSKLSNKDLLNDDLGPFYFSPESPLSRQDLVSWKMALEKRQLPEADKKILYQISGFIDIDKINPDAWPAVVADMSAGEQGIIALAFGCTRLFQPNKPVTKAQVAVALATGEAFDLVNEELARIEAESMAEKAVSAHNALVAEVEKDVNASFEKELLIEKEKIDAVEKMAEEALHELERLKAEREAENMALMKDRAAIDSEMEALSRLRCEVEEQLESLMNNKVEISYEKEAINKLRKETEDESQEVVRLQHELEVERKALSMARAWAEDEAKRAREQAKALEEARDRWERQGIKVVVDKDLQEETIAEVTWVNVGKKVEDEVEGTVTRSEALVKKLKALASEVKWKTREFISKIIQKIQYFISMLKEWASIASAKAGVLKDRAASSTRGSVQELQQSTAGFSSALKEGAKRVAGDCREGVEKLTQRFRT >KJB34112 pep chromosome:Graimondii2_0_v6:6:16273621:16283466:-1 gene:B456_006G048600 transcript:KJB34112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSLLSGITRAGSVGLVLVAGLSFAAMSIGNQSTARTKQQLGPLTALQEVLLASDNETDKSEENESKKGIHKDLLSPSEFNSTSTDNKLENDNGSYLVDGYTYNGNVAANTAPIQEDLQNVSALDGMPIGTGLTPISPKLPESEVAGGPFFAPSLRESDSNLDIDSPEATSETKDNLFNVKETIDTNLSDPINLDNDIDEGKLGSQGKENCNTSVDSSSSSSLTNEAVTMNFSVSSKFEPILEPHSLPIDNVKTIESFPSEGNLEVNNLNESVPSKISSMSAPAHPKDEQREVDYKEINDSKPVLESPIPRSSFSPAGIPAPSVVSAALQVHPGKVLIPAVVDQVQGQALAALQVLKVIEADAQPSDLCTRREYARWLLSASVLSRNSVSKVYPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLISSKLSNKDLLNDDLGPFYFSPESPLSRQDLVSWKMALEKRQLPEADKKILYQISGFIDIDKINPDAWPAVVADMSAGEQGIIALAFGCTRLFQPNKPVTKAQVAVALATGEAFDLVNEELARIEAESMAEKAVSAHNALVAEVEKDVNASFEKELLIEKEKIDAVEKMAEEALHELERLKAEREAENMALMKDRAAIDSEMEALSRLRCEVEEQLESLMNNKVEISYEKEAINKLRKETEDESQEVVRLQHELEVERKALSMARAWAEDEAKRAREQAKALEEARDRWERQGIKVVVDKDLQEETIAEVTWVNVGKKVEDEVEGTVTRSEALVKKLKALASEVKWKTREFISKIIQKIQYFISMLKEWASIASAKAGVLKDRAASSTRGSVQELQQSTAGFSSALKEGAKRVAGDCREGVEKLTQRFRT >KJB34116 pep chromosome:Graimondii2_0_v6:6:16279569:16284045:-1 gene:B456_006G048600 transcript:KJB34116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTVTLSPSSPQLRLALRCRNSVEPRALLVGAWTTNLDSHRRLRLLSLTRSRSKRLERRHNGVFRIVADSTAGAGADAFSGWSDSEHVEDSVDSRRNGWFGGITRAGSVGLVLVAGLSFAAMSIGNQSTARTKQQLGPLTALQEVLLASDNETDKSEENESKKALQVHPGKVLIPAVVDQVQGQALAALQVLKVIEADAQPSDLCTRREYARWLLSASVLSRNSVSKVYPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLISSKLSNKDLLNDDLGPFYFSPESPLSRQDLVSWKMALEKRQLPEADKKILYQISGFIDIDKINPDAWPAVVADMSAGEQGIIALAFGCTRLFQPNKPVTKAQVAVALATGEAFDLVNEELARIEAESMAEKAVSAHNALVAEVEKDVNASFEKELLIEKEKIDAVEKMAEEALHELERLKAEREAENMALMKDRAAIDSEMEALSRLRCEVEEQLESLMNNKVEISYEKEAINKLRKETEDESQEVVRLQHELEVERKALSMARITYSRMCSVRLLMFVHLHKNLHLSDLTWICAYLLNL >KJB34115 pep chromosome:Graimondii2_0_v6:6:16273175:16284091:-1 gene:B456_006G048600 transcript:KJB34115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSLLSGITRAGSVGLVLVAGLSFAAMSIGNQSTARTKQQLGPLTALQEVLLASDNETDKSEENESKKALQVHPGKVLIPAVVDQVQGQALAALQVLKVIEADAQPSDLCTRREYARWLLSASVLSRNSVSKVYPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLISSKLSNKDLLNDDLGPFYFSPESPLSRQDLVSWKMALEKRQLPEADKKILYQISGFIDIDKINPDAWPAVVADMSAGEQGIIALAFGCTRLFQPNKPVTKAQVAVALATGEAFDLVNEELARIEAESMAEKAVSAHNALVAEVEKDVNASFEKELLIEKEKIDAVEKMAEEALHELERLKAEREAENMALMKDRAAIDSEMEALSRLRCEVEEQLESLMNNKVEISYEKEAINKLRKETEDESQEVVRLQHELEVERKALSMARAWAEDEAKRAREQAKALEEARDRWERQGIKVVVDKDLQEETIAEVTWVNVGKKVEDEVEGTVTRSEALVKKLKALASEVKWKTREFISKIIQKIQYFISMLKEWASIASAKAGVLKDRAASSTRGSVQELQQSTAGFSSALKEGAKRVAGDCREGVEKLTQRFRT >KJB34114 pep chromosome:Graimondii2_0_v6:6:16273175:16284091:-1 gene:B456_006G048600 transcript:KJB34114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTVTLSPSSPQLRLALRCRNSVEPRALLVGAWTTNLDSHRRLRLLSLTRSRSKRLERRHNGVFRIVADSTAGAGADAFSGWSDSEHVEDSVDSRRNGWFGGITRAGSVGLVLVAGLSFAAMSIGNQSTARTKQQLGPLTALQEVLLASDNETDKSEENESKKALQVHPGKVLIPAVVDQVQGQALAALQVLKVIEADAQPSDLCTRREYARWLLSASVLSRNSVSKVYPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLISSKLSNKDLLNDDLGPFYFSPESPLSRQDLVSWKMALEKRQLPEADKKILYQISGFIDIDKINPDAWPAVVADMSAGEQGIIALAFGCTRLFQPNKPVTKAQVAVALATGEAFDLVNEELARIEAESMAEKAVSAHNALVAEVEKDVNASFEKELLIEKEKIDAVEKMAEEALHELERLKAEREAENMALMKDRAAIDSEMEALSRLRCEVEEQLESLMNNKVEISYEKEAINKLRKETEDESQEVVRLQHELEVERKALSMARAWAEDEAKRAREQAKALEEARDRWERQGIKVVVDKDLQEETIAEVTWVNVGKKVEDEVEGTVTRSEALVKKLKALASEVKWKTREFISKIIQKIQYFISMLKEWASIASAKAGVLKDRAASSTRGSVQELQQSTAGFSSALKEGAKRVAGDCREGVEKLTQRFRT >KJB34110 pep chromosome:Graimondii2_0_v6:6:16273175:16283993:-1 gene:B456_006G048600 transcript:KJB34110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDCNLLTAGTKQQLGPLTALQEVLLASDNETDKSEENESKKGIHKDLLSPSEFNSTSTDNKLENDNGSYLVDGYTYNGNVAANTAPIQEDLQNVSALDGMPIGTGLTPISPKLPESEVAGGPFFAPSLRESDSNLDIDSPEATSETKDNLFNVKETIDTNLSDPINLDNDIDEGKLGSQGKENCNTSVDSSSSSSLTNEAVTMNFSVSSKFEPILEPHSLPIDNVKTIESFPSEGNLEVNNLNESVPSKISSMSAPAHPKDEQREVDYKEINDSKPVLESPIPRSSFSPAGIPAPSVVSAALQVHPGKVLIPAVVDQVQGQALAALQVLKVIEADAQPSDLCTRREYARWLLSASVLSRNSVSKVYPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLISSKLSNKDLLNDDLGPFYFSPESPLSRQDLVSWKMALEKRQLPEADKKILYQISGFIDIDKINPDAWPAVVADMSAGEQGIIALAFGCTRLFQPNKPVTKAQVAVALATGEAFDLVNEELARIEAESMAEKAVSAHNALVAEVEKDVNASFEKELLIEKEKIDAVEKMAEEALHELERLKAEREAENMALMKDRAAIDSEMEALSRLRCEVEEQLESLMNNKVEISYEKEAINKLRKETEDESQEVVRLQHELEVERKALSMARAWAEDEAKRAREQAKALEEARDRWERQGIKVVVDKDLQEETIAEVTWVNVGKKVEDEVEGTVTRSEALVKKLKALASEVKWKTREFISKIIQKIQYFISMLKEWASIASAKAGVLKDRAASSTRGSVQELQQSTAGFSSALKEGAKRVAGDCREGVEKLTQRFRT >KJB34113 pep chromosome:Graimondii2_0_v6:6:16273621:16282695:-1 gene:B456_006G048600 transcript:KJB34113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDCNLLTAGTKQQLGPLTALQEVLLASDNETDKSEENESKKALQVHPGKVLIPAVVDQVQGQALAALQVLKVIEADAQPSDLCTRREYARWLLSASVLSRNSVSKVYPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLISSKLSNKDLLNDDLGPFYFSPESPLSRQDLVSWKMALEKRQLPEADKKILYQISGFIDIDKINPDAWPAVVADMSAGEQGIIALAFGCTRLFQPNKPVTKAQVAVALATGEAFDLVNEELARIEAESMAEKAVSAHNALVAEVEKDVNASFEKELLIEKEKIDAVEKMAEEALHELERLKAEREAENMALMKDRAAIDSEMEALSRLRCEVEEQLESLMNNKVEISYEKEAINKLRKETEDESQEVVRLQHELEVERKALSMARAWAEDEAKRAREQAKALEEARDRWERQGIKVVVDKDLQEETIAEVTWVNVGKKVEDEVEGTVTRSEALVKKLKALASEVKWKTREFISKIIQKIQYFISMLKEWASIASAKAGVLKDRAASSTRGSVQELQQSTAGFSSALKEGAKRVAGDCREGVEKLTQRFRT >KJB34117 pep chromosome:Graimondii2_0_v6:6:16279594:16282695:-1 gene:B456_006G048600 transcript:KJB34117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDCNLLTAGTKQQLGPLTALQEVLLASDNETDKSEENESKKALQVHPGKVLIPAVVDQVQGQALAALQVLKVIEADAQPSDLCTRREYARWLLSASVLSRNSVSKVYPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLISSKLSNKDLLNDDLGPFYFSPESPLSRQDLVSWKMALEKRQLPEADKKILYQISGFIDIDKINPDAWPAVVADMSAGEQGIIALAFGCTRLFQPNKPVTKAQVAVALATGEAFDLVNEELARIEAESMAEKAVSAHNALVAEVEKDVNASFEKELLIEKEKIDAVEKMAEEALHELERLKAEREAENMALMKDRAAIDSEMEALSRLRCEVEEQLESLMNNKVEISYEKEAINKLRKETEDESQEVVRLQHELEVERKALSMARITYSRMCSVRLLMFVHLHKNLHLSDLTWICAYLLNL >KJB35924 pep chromosome:Graimondii2_0_v6:6:38882244:38883569:-1 gene:B456_006G133800 transcript:KJB35924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLINRLSPKRLFRSNKKDRSIVSKFDPSSYSSGSAVSSSSSSESVSSTLKGHQYPSAATAGFVTPTSVLPQTSGDWSDFPANFYLELCQAFKMLDKDNDGVISRSELEALLGKVARQPPSRIEVSLMLSEVDGDGDGYINLETLMNQVVGPACDEPACEPELRETFDIFDTDHDGKITAEELMAFFKDKIGDERCTLEDCRRMIASVDKNEDGFVCFEDFSRMMELQR >KJB34465 pep chromosome:Graimondii2_0_v6:6:27000447:27002772:1 gene:B456_006G067300 transcript:KJB34465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTHFQKLGNPSILKWVSSYFVENQQKMGSFHIPQSQNPRLYTTKGSVLSQRCYGEDGVRIPRATLKEAQTALLEYLHSTRSIQFVDAENMSKNSPHFLRKLLKKFEIVKDVRRSMSRFLRYHPINEFEPFFESLGLKPCEYTPLLPRDLLFLSDDCLLLENYRVLCEFGVERNKIGQIYKKAVQVFQHDFGVLLLKLQAYQKLGLSQSFIAKIIVYSPHVLTGDIDIKFIKVLEILNGLGFDYAWIKEHLSEKEFYNWSMIFRVLKFFREMGCSGELGGLISQHPLLLFEGSSGRVLSLIAFLLKFGLRMDRISSMFLQFPEIQVSHFVSNIIRFFLFFQEIEMEVDEIGKIVCSYPILLGSCTLKKTSSLLHDLNVGKKRLCKYIQENPQELSKWGMGTRVRPLPDSGEGLESQKLKTKFFLDLGYGENPNMLKKAFKVFRGRGGELQERFDTIVNAGLDQEDVSKMVRVSPQILNQSKALIQSKIDILVNELGFPLSSLILFPSYLSYTTQRVRLRLAMYNWLKDQGKAEPDLALSTIISWSDKIFLSQCVNNHPSGPQVWEDLKAEFTRDK >KJB35487 pep chromosome:Graimondii2_0_v6:6:36658677:36660065:1 gene:B456_006G117200 transcript:KJB35487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDMAASASITTPPHEVDECRGVLRVYSDGSTWRSSKPSFNVPVNGDGSILWKDIVFDPVHDLQLRLYKPASPSLPKLPVFYYIHGGGFCIGSRAWPNCQNYCFRLASNLQAVVISPDYRLAPENRLPAAIEDGFMAMKWLQAQALANNPDPWLTDVADFSKVFISGDSAGGNIAHNLAVQLGAGSLDLAPVLVRGYVLLAPFFGGTVLTRSEAEGPKDAFLNLELIDRFWRLSVPMGETTDHPLINPFGPVSRRLEQVNLDPILVVVGGSDLLKDRGKEYAERLKNWGKKIEYVEFEGQQHGFFTIDPNSEPAKALMVIIKRFIVENSS >KJB35486 pep chromosome:Graimondii2_0_v6:6:36658526:36661510:1 gene:B456_006G117200 transcript:KJB35486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDMAASASITTPPHEVDECRGVLRVYSDGSTWRSSKPSFNVPVNGDGSILWKDIVFDPVHDLQLRLYKPASPSLPKLPVFYYIHGGGFCIGSRAWPNCQNYCFRLASNLQAVVISPDYRLAPENRLPAAIEDGFMAMKWLQAQALANNPDPWLTDVADFSKVFISGDSAGGNIAHNLAVQLGAGSLDLAPVLVRGYVLLAPFFGGTVLTRSEAEGPKDAFLNLELIDRFWRLSVPMGETTDHPLINPFGPVSRRLEQVNLDPILVVVGGSDLLKDRGKEYAERLKNWGKKIEYVEFEGQQHGFFTIDPNSEPAKALMLASNLINKPRSTKRDIFMKHSISHIPKTPNDHKKEFEAVLVHFS >KJB34048 pep chromosome:Graimondii2_0_v6:6:13649463:13649813:1 gene:B456_006G045000 transcript:KJB34048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGISRSKISQEGTAPPGHHFRIVHRKNDERAVADSVLLLSSKPLAQGENHAKADHKMVNSDRKEGPESERVENEHKDEEEEEDGHERDETMLCSPSPSFKDFCTTSSYHDDDNTR >KJB35611 pep chromosome:Graimondii2_0_v6:6:37206572:37209527:-1 gene:B456_006G121300 transcript:KJB35611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATSNIRSPSIILFGKGTVPYQRTAAPFQIPQFPLNRPRFTALFASLHDGNNNDDGSNKVTKAVGLEELFEDSPYDVVSKDSPFHPRPLSSTQLPNSVSAGSRLRVAYQVGVRGAYSEAAAEKAYPNCEAVPCDQFDAAFEAVEKWIVDRAVLPIENSLGGSIHRNYDLLLRHSLHIVGEVKLAVRHCLLANHGVRVEDLQRVLSHPQALAQCENTLTKLGLSREATDDTALAAKYVAFNKLKDTGAVASSSAAKIYGLNILAEDVQDDCDNVTRFLMLAREPIIPGVEKPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDSNNGSKYFDYLFYVDFEASMAEERAQNALRHLKEFATFLRVLGSYPVDTTML >KJB35605 pep chromosome:Graimondii2_0_v6:6:37206572:37209527:-1 gene:B456_006G121300 transcript:KJB35605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATSNIRSPSIILFGKGTVPYQRTAAPFQIPQFPLNRPRFTALFASLHDGNNNDDGSNKVTKAVGLEELFEDSPYDVVSKDSPFHPRPLSSTQLPNSVSAGSRLRVAYQGVRGAYSEAAAEKAYPNCEAVPCDQFDAAFEAVEKWIVDRAVLPIENSLGGSIHRNYDLLLRHSLHIVGEVKLAVRHCLLANHGVRVEDLQRVLSHPQALAQCENTLTKLGLSREATDDTALAAKYVAFNKLKDTGAVASSSAAKIYGLNILAEDVQDDCDNVTRFLMLAREPIIPGVEKPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDSNNGSKYFDYLFYVDFEASMAEERAQNALRHLKEFATFLRVLGSYPVDTTML >KJB35608 pep chromosome:Graimondii2_0_v6:6:37206959:37209392:-1 gene:B456_006G121300 transcript:KJB35608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATSNIRSPSIILFGKGTVPYQRTAAPFQIPQFPLNRPRFTALFASLHDGNNNDDGSNKVTKAVGLEELFEDSPYDVVSKDSPFHPRPLSSTQLPNSVSAGSRLRVAYQGVRGAYSEAAAEKAYPNCEAVPCDQFDAAFEAVEKWIVDRAVLPIENSLGGSIHRNYDLLLRHSLHIVGEVKLAVRHCLLANHGVRVEDLQRVLSHPQALAQCENTLTKLGLSREATDDTALAAKYVAFNKLKDTGAVASSSAAKIYGLNILAEDVQDDCDNVTRFLMLAREPIIPGVEKPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDSNNGSKYFDYLFYVDFEASMAEERAQNALRHLKEFATFLRVLGSYPVDTTML >KJB35609 pep chromosome:Graimondii2_0_v6:6:37205527:37209534:-1 gene:B456_006G121300 transcript:KJB35609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFQKTPLFIPGHFLQPSSLIQSLLALAFALRISEAAAEKAYPNCEAVPCDQFDAAFEAVEKWIVDRAVLPIENSLGGSIHRNYDLLLRHSLHIVGEVKLAVRHCLLANHGVRVEDLQRVLSHPQALAQCENTLTKLGLSREATDDTALAAKYVAFNKLKDTGAVASSSAAKIYGLNILAEDVQDDCDNVTRFLMLAREPIIPGVEKPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDSNNGSKYFDYLFYVDFEASMAEERAQNALRHLKEFATFLRVLGSYPVDTTML >KJB35610 pep chromosome:Graimondii2_0_v6:6:37206959:37209062:-1 gene:B456_006G121300 transcript:KJB35610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCYSGPLSSTQLPNSVSAGSRLRVAYQGVRGAYSEAAAEKAYPNCEAVPCDQFDAAFEAVEKWIVDRAVLPIENSLGGSIHRNYDLLLRHSLHIVGEVKLAVRHCLLANHGVRVEDLQRVLSHPQALAQCENTLTKLGLSREATDDTALAAKYVAFNKLKDTGAVASSSAAKIYGLNILAEDVQDDCDNVTRFLMLAREPIIPGVEKPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDSNNGSKYFDYLFYVDFEASMAEERAQNALRHLKEFATFLRVLGSYPVDTTML >KJB35604 pep chromosome:Graimondii2_0_v6:6:37205299:37209548:-1 gene:B456_006G121300 transcript:KJB35604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATSNIRSPSIILFGKGTVPYQRTAAPFQIPQFPLNRPRFTALFASLHDGNNNDDGSNKVTKAVGLEELFEDSPYDVVSKDSPFHPRPLSSTQLPNSVSAGSRLRVAYQGVRGAYSEAAAEKAYPNCEAVPCDQFDAAFEAVEKWIVDRAVLPIENSLGGSIHRNYDLLLRHSLHIVGEVKLAVRHCLLANHGVRVEDLQRVLSHPQALAQCENTLTKLGLSREATDDTALAAKYVAFNKLKDTGAVASSSAAKIYGLNILAEDVQDDCDNVTRFLMLAREPIIPGVEKPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDSNNGSKYFDYLFYVDFEASMAEERAQNALRHLKEFATFLRVLGSYPVDTTML >KJB35612 pep chromosome:Graimondii2_0_v6:6:37206844:37209534:-1 gene:B456_006G121300 transcript:KJB35612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFQKTPLFIPGHFLQPSSLIQSLLALAFALRIREFVAPTVKQLLRRPIRIVKLFLVINLMLLLNLHIVGEVKLAVRHCLLANHGVRVEDLQRVLSHPQALAQCENTLTKLGLSREATDDTALAAKYVAFNKLKDTGAVASSSAAKIYGLNILAEDVQDDCDNVTRFLMLAREPIIPGVEKPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDSNNGSKYFDYLFYVDFEASMAEERAQNALRHLKEFATFLRVLGSYPVDTTML >KJB35607 pep chromosome:Graimondii2_0_v6:6:37206959:37209163:-1 gene:B456_006G121300 transcript:KJB35607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFQKTPLFIPGHFLQPSSLIQSLLALAFALRISEAAAEKAYPNCEAVPCDQFDAAFEAVEKWIVDRAVLPIENSLGGSIHRNYDLLLRHSLHIVGEVKLAVRHCLLANHGVRVEDLQRVLSHPQALAQCENTLTKLGLSREATDDTALAAKYVAFNKLKDTGAVASSSAAKIYGLNILAEDVQDDCDNVTRFLMLAREPIIPGVEKPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDSNNGSKYFDYLFYVDFEASMAEERAQNALRHLKEFATFLRVLGSYPVDTTML >KJB35606 pep chromosome:Graimondii2_0_v6:6:37206959:37209163:-1 gene:B456_006G121300 transcript:KJB35606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFQKTPLFIPGHFLQPSSLIQSLLALAFALRISEAAAEKAYPNCEAVPCDQFDAAFEAVEKWIVDRAVLPIENSLGGSIHRNYDLLLRHSLHIVGEVKLAVRHCLLANHGVRVEDLQRVLSHPQALAQCENTLTKLGLSREATDDTALAAKYVAFNKLKDTGAVASSSAAKIYGLNILAEDVQDDCDNVTRFLMLAREPIIPGVEKPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDSNNGSKYFDYLFYVDFEASMAEERAQNALRHLKEFATFLRVLGSYPVDTTML >KJB34500 pep chromosome:Graimondii2_0_v6:6:28051869:28058437:1 gene:B456_006G069200 transcript:KJB34500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDDTKENNGSTPRRRENSLHLSPVLPEASGFGLPYAPVDWPKKGDIWAWKVGRRVAITGHFLDRYLYPPKRLQKLVDSGRKRGLASKLSVERYVKLAFPDADINAFFASFSWKIPAMKHSLTNVARAFFAAPPDERAEVLVSDPQSDGLACKAGNKKCNSLFAEAECPSLVPLPCDLCCNEPRFCRDCCCILCSKTIDLKHGGYSYIKCEAMVNGYICGHVAHLNCALRSYMAGTVGGSIGLDAEYYCRRCDTKTFLVPHVTTLLKTCESIDSRDEIEKILNIAVCILRGSQKSNAKGLLNRIESAIKELKCGTSLEDIWKVKETDTVMSTDIFHNGNGELGPTNHQDLVDDKSCLEAVLSVSSDYRSEYLKIECEIDQVLQSLRKAQESEYKIAEEQLCSQKVYLRHLYQQLEKERSELPDQSPGNEADASLNAVLNRVNQIKYEVMRLKEMEEVANGFGRTPKGVLKEYFGLEIED >KJB34501 pep chromosome:Graimondii2_0_v6:6:28051959:28058434:1 gene:B456_006G069200 transcript:KJB34501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDDTKENNGSTPRRRENSLHLSPVLPEASGFGLPYAPVDWPKKGDIWAWKVGRRVAITGHFLDRYLYPPKRLQKLVDSGRKRGLASKLSVERYVKLAFPDADINAFFASFSWKIPAMKHSLTNVARAFFAAPPDERAEVLVSDPQSDGLACKAGNKKCNSLFAEAECPSLVPLPCDLCCNEPRFCRDCCCILCSKTIDLKHGGYSYIKCEAMVNGYICGHVAHLNCALRSYMAGTVGGSIGLDAEYYCRRCDTKTFLVPHVTTLLKTCESIDSRDEIEKILNIAVCILRGSQKSNAKGLLNRIESAIKEVRPKLAIELNRFLAFFKKLPFFFLRFLKNASKCFWYLHQLKCGTSLEDIWKVKETDTVMSTDIFHNGNGELGPTNHQDLVDDKSCLEAVLSVSSDYRSEYLKIECEIDQVLQSLRKAQESEYKIAEEQLCSQKVYLRHLYQQLEKERSELPDQSPGNEADASLNAVLNRVNQIKYEVMRLKEMEEVANGFGRTPKGVLKEYFGLEIED >KJB38659 pep chromosome:Graimondii2_0_v6:6:50476430:50477488:1 gene:B456_006G265900 transcript:KJB38659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKITLMKSLNLILKNLWMIIIYTSDIVDFLKEIDDDDDNYECLLEENEDDGDIDDNNYIDDRQSQIDTRNFDDHPLFEQDYRCLPLSFHDKINDDLSVGNRIIMPSTDLEFIIENQVPLPLQFEIHNLSEGKFSHCGVLEFSGHEDGVVFLPDWMMENLQLKACDFVYMKNKKLEKGSYIKIQPHTSDFISIPNPKAVLEENLRKFCCLTKGDTIIISHGSKRFYIDIVETKPDDAISIVDIDCNVDFAPALDYEEHAIPVSINFGLKKKQEKEEETQKSEFKPFTGLATKLNAEPCCSTYLPKIGRNREVGEANSKRSSICKSEVTNQNKETEDTPKFQAFTGRSYTIER >KJB33155 pep chromosome:Graimondii2_0_v6:6:651869:653659:-1 gene:B456_006G002800 transcript:KJB33155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 3-beta-hydroxysteroid-Delta(8),Delta(7)-isomerase [Source:Projected from Arabidopsis thaliana (AT1G20050) UniProtKB/Swiss-Prot;Acc:O48962] MEHPYVPRDLQLPGYVPVSLSQSTILTVYGLSSLLVVSLVWFLSGRSRSISKLDRLLMCWWAFTGLTHIILEGYFAFSPEFYKDKTGFYLAEVWKEYSKGDSRYAGRDSAIVAVEGMTSVLEGPPCLLAVYAIAKGKGYSYILQFAISLGQLYENFFGCAEPKPEEEQD >KJB33156 pep chromosome:Graimondii2_0_v6:6:651869:653659:-1 gene:B456_006G002800 transcript:KJB33156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 3-beta-hydroxysteroid-Delta(8),Delta(7)-isomerase [Source:Projected from Arabidopsis thaliana (AT1G20050) UniProtKB/Swiss-Prot;Acc:O48962] MEHPYVPRDLQLPGYVPVSLSQSTILTVYGLSSLLVVSLVWFLSGRSRSISKLDRLLMCWWAFTGLTHIILEGYFAFSPEFYKDKTGFYLAEVWKEYSKGDSRYAGRDSAIVAVEGMTSVLEGPPCLLAVCYCQRKRI >KJB33154 pep chromosome:Graimondii2_0_v6:6:651814:653713:-1 gene:B456_006G002800 transcript:KJB33154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 3-beta-hydroxysteroid-Delta(8),Delta(7)-isomerase [Source:Projected from Arabidopsis thaliana (AT1G20050) UniProtKB/Swiss-Prot;Acc:O48962] MEHPYVPRDLQLPGYVPVSLSQSTILTVYGLSSLLVVSLVWFLSGRSRSISKLDRLLMCWWAFTGLTHIILEGYFAFSPEFYKDKTGFYLAEVWKEYSKGDSRYAGRDSAIVAVEGMTSVLEGPPCLLAVYAIAKGKGYSYILQFAISLGQLYGTFVYFITAYLEGDNFSASPFYYYAYYVLANSFWLLIPSLIAIRCWKKISSAVQSQSQKKNKIR >KJB37497 pep chromosome:Graimondii2_0_v6:6:46254324:46258795:-1 gene:B456_006G207300 transcript:KJB37497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKSDEGSREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRTKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVDILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFSSTPEYRHLAHRMGSEHLAKMLSKHLETVIKSRIPGIQSLINKTIAELETELSRLGKPIAADAGGKLYTIMEICRLFDQNFREHLDGVRTGGDKVYNVFDNQLPAALKRLQFDRQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEAAVDATHSILKDLVHKAMSETPVFKAISCTQSRGGKCCN >KJB37493 pep chromosome:Graimondii2_0_v6:6:46254557:46258648:-1 gene:B456_006G207300 transcript:KJB37493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKSDEGSREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRTKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVDILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFSSTPEYRHLAHRMGSEHLAKMLSKHLETVIKSRIPGIQSLINKTIAELETELSRLGKPIAADAGGKLYTIMEICRLFDQNFREHLDGVRTGGDKVYNVFDNQLPAALKRLQFDRQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEAAVDATHSILKDLVHKAMSETPELKQYPALRVEVGNAAIESLERMRDQSKKATLQLVDMECCYLTVEFFRKLPQDVDKGGSATQSIFDRYNDSYLRRIGSTVLSYVNMVCATLRHSIPKSIVYCQVREAKRSLLDFFYTELGKLEQKRLSALLNEDPAIMERRSALAKRLELYRSAQAEIDTVAWSK >KJB37492 pep chromosome:Graimondii2_0_v6:6:46254324:46258795:-1 gene:B456_006G207300 transcript:KJB37492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKSDEGSREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRTKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVDILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFSSTPEYRHLAHRMGSEHLAKMLSKHLETVIKSRIPGIQSLINKTIAELETELSRLGKPIAADAGVSLYTIMEICRLFDQNFREHLDGVRTGGDKVYNVFDNQLPAALKRLQFDRQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEAAVDATHSILKDLVHKAMSETPELKQYPALRVEVGNAAIESLERMRDQSKKATLQLVDMECCYLTVEFFRKLPQDVDKGGSATQSIFDRYNDSYLRRIGSTVLSYVNMVCATLRHSIPKSIVYCQVREAKRSLLDFFYTELGKLEQKRLSALLNEDPAIMERRSALAKRLELYRSAQAEIDTVAWSK >KJB37494 pep chromosome:Graimondii2_0_v6:6:46254837:46258648:-1 gene:B456_006G207300 transcript:KJB37494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKSDEGSREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRTKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVDILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFSSTPEYRHLAHRMGSEHLAKMLSKHLETVIKSRIPGIQSLINKTIAELETELSRLGKPIAADAGGKLYTIMEICRLFDQNFREHLDGVRTGGDKVYNVFDNQLPAALKRLQFDRQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEAAVDATHSILKDLVHKAMSETPELKQYPALRVEVGNAAIESLERMRDQSKKATLQLVDMECCYLTVEFFRKLPQDVDKGGSATQSIFDRYNDSYLRRIGRKYSFVLRKYGLRYFAPLDSKVHCLLSSP >KJB37498 pep chromosome:Graimondii2_0_v6:6:46254324:46258795:-1 gene:B456_006G207300 transcript:KJB37498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKSDEGSREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRTKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVDILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFSSTPEYRHLAHRMGSEHLAKMLSKHLETVIKSRIPGIQSLINKTIAELETELSRLGKPIAADAGGKLYTIMEICRLFDQNFREHLDGVRTGGDKVYNVFDNQLPAALKRLQFDRQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEAAVDAELKQYPALRVEVGNAAIESLERMRDQSKKATLQLVDMECCYLTVEFFRKLPQDVDKGGSATQSIFDRYNDSYLRRIGSTVLSYVNMVCATLRHSIPKSIVYCQVREAKRSLLDFFYTELGKLEQKRLSALLNEDPAIMERRSALAKRLELYRSAQAEIDTVAWSK >KJB37491 pep chromosome:Graimondii2_0_v6:6:46254323:46258940:-1 gene:B456_006G207300 transcript:KJB37491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKSDEGSREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRTKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVDILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFSSTPEYRHLAHRMGSEHLAKMLSKHLETVIKSRIPGIQSLINKTIAELETELSRLGKPIAADAGGKLYTIMEICRLFDQNFREHLDGVRTGGDKVYNVFDNQLPAALKRLQFDRQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEAAVDATHSILKDLVHKAMSETPELKQYPALRVEVGNAAIESLERMRDQSKKATLQLVDMECCYLTVEFFRKLPQDVDKGGSATQSIFDRYNDSYLRRIGSTVLSYVNMVCATLRHSIPKSIVYCQVREAKRSLLDFFYTELGKLEQKRLSALLNEDPAIMERRSALAKRLELYRSAQAEIDTVAWSK >KJB37495 pep chromosome:Graimondii2_0_v6:6:46254764:46258648:-1 gene:B456_006G207300 transcript:KJB37495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKSDEGSREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRTKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVDILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFSSTPEYRHLAHRMGSEHLAKMLSKHLETVIKSRIPGIQSLINKTIAELETELSRLGKPIAADAGGKLYTIMEICRLFDQNFREHLDGVRTGGDKVYNVFDNQLPAALKRLQFDRQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEAAVDATHSILKDLVHKAMSETPELKQYPALRVEVGNAAIESLERMRDQSKKATLQLVDMECCYLTVEFFRKLPQDVDKGGSATQSIFDRYNDSYLRRIGSTVLSYVNMVCATLRHSIPKSIVYCQVREAKRSLLDFFYTELGKLEVRNVLS >KJB37496 pep chromosome:Graimondii2_0_v6:6:46254324:46258795:-1 gene:B456_006G207300 transcript:KJB37496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKSDEGSREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRTKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVDILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFSSTPEYRHLAHRMGSEHLAKMLSKHLETVIKSRIPGIQSLINKTIAELETELSRLGKPIAADAGGKLYTIMEICRLFDQNFREHLDGVRTGGDKVYNVFDNQLPAALKRLQFDRQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEAAVDATHSILKDLVHKAMSETPELKQYPALRVEVGNAAIESLERMRDQSKKATLQLVDMECCYLTVEFFRKLPQDVDKGGSATQSIFDRYNDSYLRRIGSFVLRKYGLRYFAPLDSKVHCLLSSP >KJB37068 pep chromosome:Graimondii2_0_v6:6:44470790:44474620:-1 gene:B456_006G187900 transcript:KJB37068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSRSYGAWLVLFLLISPAFIAPSMSQIDSHWAAKKRHMREKVRKMFYHAYENYMKHAFPHDELKPLTKSFTDSLSELGNLKLQHLPRNYNGSALTLIESLSSLVIMGNNTEFERAVTWLSENLTFNIDARINLFECNIRVLGGLISAHILATDSTNRLVQGCYKNQLLNLAEDLGRRFLPAFDTPTGLPYAWVNLKYGVMEDETPETSTSGCGSLILEMGALSRLTGDPRYESAALRALRKLWSMRSSLNLLGTTLDVTTGEWIEYSSGIGAGVDSFYEYLCKAYILFGKEDYWRMFHSAYLAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQVLVGDIGAANSSHREFFYVWKKFGVIPERYLLDHQMLHPTEKYYPLRPELAESTFYLYQATKGRHGNLFYQFYLLRFSSTYV >KJB37067 pep chromosome:Graimondii2_0_v6:6:44469540:44474741:-1 gene:B456_006G187900 transcript:KJB37067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSRSYGAWLVLFLLISPAFIAPSMSQIDSHWAAKKRHMREKVRKMFYHAYENYMKHAFPHDELKPLTKSFTDSLSELGNLKLQHLPRNYNGSALTLIESLSSLVIMGNNTEFERAVTWLSENLTFNIDARINLFECNIRVLGGLISAHILATDSTNRLVQGCYKNQLLNLAEDLGRRFLPAFDTPTGLPYAWVNLKYGVMEDETPETSTSGCGSLILEMGALSRLTGDPRYESAALRALRKLWSMRSSLNLLGTTLDVTTGEWIEYSSGIGAGVDSFYEYLCKAYILFGKEDYWRMFHSAYLAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQVLVGDIGAANSSHREFFYVWKKFGVIPERYLLDHQMLHPTEKYYPLRPELAESTFYLYQATKDPWYIQVGESIVNSLNLYTKVKGGFASVRDVTTMQLEDHQHSFFLAETCKYLYLLFDDSFLVDRNYIFTTEGHPLPILSSWHERLPAAYIPTNWTYAKSEQQTRRASAMSLQVCPAITLRSGYGVQQVESACHIPDSRADHRCFSDEECGIDSSTCRRRSCSMAGYCGLWIQ >KJB37070 pep chromosome:Graimondii2_0_v6:6:44471825:44474741:-1 gene:B456_006G187900 transcript:KJB37070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSRSYGAWLVLFLLISPAFIAPSMSQIDSHWAAKKRHMREKVRKMFYHAYENYMKHAFPHDELKPLTKSFTDSLSELGNLKLQHLPRNYNGSALTLIESLSSLVIMGNNTEFERAVTWLSENLTFNIDARINLFECNIRVLGGLISAHILATDSTNRLVQGCYKNQLLNLAEDLGRRFLPAFDTPTGLPYAWVNLKYGVMEDETPETSTSGCGSLILEMGALSRLTGDPRYESAALRALRKLWSMRSSLNLLGTTLDVTTGEWIEYSSGIGAGVDSFYEYLCKAYILFGKEDYWRMFHSAYLAVQKYFRHGPWRILKLFIVLEAPMFIM >KJB37069 pep chromosome:Graimondii2_0_v6:6:44468630:44474794:-1 gene:B456_006G187900 transcript:KJB37069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSRSYGAWLVLFLLISPAFIAPSMSQIDSHWAAKKRHMREKVRKMFYHAYENYMKHAFPHDELKPLTKSFTDSLSELGNLKLQHLPRNYNGSALTLIESLSSLVIMGNNTEFERAVTWLSENLTFNIDARINLFECNIRVLGGLISAHILATDSTNRLVQGCYKNQLLNLAEDLGRRFLPAFDTPTGLPYAWVNLKYGVMEDETPETSTSGCGSLILEMGALSRLTGDPRYESAALRALRKLWSMRSSLNLLGTTLDVTTGEWIEYSSGIGAGVDSFYEYLCKAYILFGKEDYWRMFHSAYLAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQVLVGDIGAANSSHREFFYVWKKFGVIPERYLLDHQMLHPTEKYYPLRPELAESTFYLYQATKDPWYIQVGESIVNSLNLYTKVKGGFASVRDVTTMQLEDHQHSFFLAETCKYLYLLFDDSFLVDRNYIFTTEGHPLPILSSWHERLPAAYIPTNWTYAKSEQQTRRASAMSLQVCPAITLRSGYGVQQVESACHIPDSRADHRCFSDEECGIDSSTCRRRSCSMAGYCGLWIQ >KJB38705 pep chromosome:Graimondii2_0_v6:6:50627102:50631936:-1 gene:B456_006G268300 transcript:KJB38705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLHSLADENPDLQKQIGCMTGIFQIFDRHHMITAKRLSHRKLPAAGNSRTNNGVLEGDSNNLYHRHVSTEMNIDRTVNEKQKISTESSRASFSSSCSSSMSSLDCNKIAQQEASSFDRMLFPETPSRDAMMNQLSTSPHLGSQCLDLRDMVKDSMYREARGLLVKTTTREDILGSTVKHRDSPRPFQVPKSVDGSYSVRTNGKKNTSSADLKESLRVLAKLQDAPWYYNNEARQLQRSSCEANGLWNSTSKDTPRFSYDGREINRLSFESQDTFKSTPKLKEQSRLSLDSREWSMKGSKNLTKSFHNTGNLNIPPQSPGSQSRPANIVAKLMGLEPLPDHSSGVMNTCTVEDSNPFLQPLRANDLNRPTRTSNGTRSSLKDPTSPRWKNPDMIMKPILSSRFPIEPAPWRYANGSRGTQKQPLKHVKVPPKPPNCSPSVYSEIEKRLKDLEFRHSGKDLRALKQILEAMQAKGLLETGKEEQAANLVSQRDYEPKCTSPSQHLRGQRSQRGSDSIGTYESPIVIMKPAKLVEQVSMHASTVVPIVDISSLPKIQNGASVDNKRGSISSRPARDHTSRNSQSTSIAGSTNKRASSRNLGTIQSSTKPPKESTASSVKSSGGSVSPRLQQKKLELDRRSRPPTPPSDPSKLRRQSNRHPLESGSPSGKRRSKSHNMQQCDDQLSQVSSESWTSSHQGDDVSLQSDSNLTLELKLDTEVTSRERSIETNCSQSPSMNTTKYSVSGIMQKKSTSRLVEDGSVAELAMVTPEHPSPVSVLDTSIYRDDAPSPVRQILNAPEGDVAEVFNDGRKEEQWNPADNCLSDNTGSGLVSEINRKKLQKIEHLVQKLRRLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLSSGLTTFQLHPSGHPINPELFLVLEQTKASSLLSKPDHEKLHRKLIFDSANEILVGKLAQVGASPEPWLNPGKLTTRTLNAQKLLKELCMEIEQLQPKKSDNNMEEEDGLKNILWEDVTRRSESWTDFNGEISGMVLDVERLVFKDLVNEIVIGEGVTLRAKQQQQQQQRRRRQLFSK >KJB38710 pep chromosome:Graimondii2_0_v6:6:50627102:50632253:-1 gene:B456_006G268300 transcript:KJB38710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLHSLADENPDLQKQIGCMTGIFQIFDRHHMITAKRLSHRKLPAAGNSRTNNGVLEGDSNNLYHRHVSTEMNIDRTVNEKQKISTESSRASFSSSCSSSMSSLDCNKIAQQEASSFDRMLFPETPSRDAMMNQLSTSPHLGSQCLDLRDMVKDSMYREARGLLVKTTTREDILGSTVKHRDSPRPFQVPKSVDGSYSVRTNGKKNTSSADLKESLRVLAKLQDAPWYYNNEARQLQRSSCEANGLWNSTSKDTPRFSYDGREINRLSFESQDTFKSTPKLKEQSRLSLDSREWSMKGSKNLTKSFHNTGNLNIPPQSPGSQSRPANIVAKLMGLEPLPDHSSGVMNTCTVEDSNPFLQPLRANDLNRPTRTSNGTRSSLKDPTSPRWKNPDMIMKPILSSRFPIEPAPWRYANGSRGTQKQPLKHVKVPPKPPNCSPSVYSEIEKRLKDLEFRHSGKDLRALKQILEAMQAKGLLETGKEEQAANLVSQRDYEPKCTSPSQHLRGQRSQRGSDSIGTYESPIVIMKPAKLVEQVSMHASTVVPIVDISSLPKIQNGASVDNKRGSISSRPARDHTSRNSQSTSIAGSTNKRASSRNLGTIQSSTKPPKESTASSVKSSGGSVSPRLQQKKLELDRRSRPPTPPSDPSKLRRQSNRHPLESGSPSGKRRSKSHNMQQCDDQLSQVSSESWTSSHQGDDVSLQSDSNLTLELKLDTEVTSRERSIETNCSQSPSMNTTKYSVSGIMQKKSTSRLVEDGSVAELAMVTPEHPSPVSVLDTSIYRDDAPSPVRQILNAPEGDVAEVFNDGRKEEQWNPADNCLSDNTGSGLVSEINRKKLQKIEHLVQKLRRLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLSSGLTTFQLHPSGHPINPELFLVLEQTKASSLLSKPDHEKLHRKLIFDSANEILVGKLAQVGASPEPWLNPGKLTTRTLNAQKLLKELCMEIEQLQPKKSDNNMEEEDGLKNILWEDVTRRSESWTDFNGEISGMVLDVERLVFKDLVNEIVIGEGVTLRAKQQQQQQQRRRRQLFSK >KJB38706 pep chromosome:Graimondii2_0_v6:6:50627102:50631772:-1 gene:B456_006G268300 transcript:KJB38706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIDRTVNEKQKISTESSRASFSSSCSSSMSSLDCNKIAQQEASSFDRMLFPETPSRDAMMNQLSTSPHLGSQCLDLRDMVKDSMYREARGLLVKTTTREDILGSTVKHRDSPRPFQVPKSVDGSYSVRTNGKKNTSSADLKESLRVLAKLQDAPWYYNNEARQLQRSSCEANGLWNSTSKDTPRFSYDGREINRLSFESQDTFKSTPKLKEQSRLSLDSREWSMKGSKNLTKSFHNTGNLNIPPQSPGSQSRPANIVAKLMGLEPLPDHSSGVMNTCTVEDSNPFLQPLRANDLNRPTRTSNGTRSSLKDPTSPRWKNPDMIMKPILSSRFPIEPAPWRYANGSRGTQKQPLKHVKVPPKPPNCSPSVYSEIEKRLKDLEFRHSGKDLRALKQILEAMQAKGLLETGKEEQAANLVSQRDYEPKCTSPSQHLRGQRSQRGSDSIGTYESPIVIMKPAKLVEQVSMHASTVVPIVDISSLPKIQNGASVDNKRGSISSRPARDHTSRNSQSTSIAGSTNKRASSRNLGTIQSSTKPPKESTASSVKSSGGSVSPRLQQKKLELDRRSRPPTPPSDPSKLRRQSNRHPLESGSPSGKRRSKSHNMQQCDDQLSQVSSESWTSSHQGDDVSLQSDSNLTLELKLDTEVTSRERSIETNCSQSPSMNTTKYSVSGIMQKKSTSRLVEDGSVAELAMVTPEHPSPVSVLDTSIYRDDAPSPVRQILNAPEGDVAEVFNDGRKEEQWNPADNCLSDNTGSGLVSEINRKKLQKIEHLVQKLRRLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLSSGLTTFQLHPSGHPINPELFLVLEQTKASSLLSKPDHEKLHRKLIFDSANEILVGKLAQVGASPEPWLNPGKLTTRTLNAQKLLKELCMEIEQLQPKKSDNNMEEEDGLKNILWEDVTRRSESWTDFNGEISGMVLDVERLVFKDLVNEIVIGEGVTLRAKQQQQQQQRRRRQLFSK >KJB38707 pep chromosome:Graimondii2_0_v6:6:50627102:50631772:-1 gene:B456_006G268300 transcript:KJB38707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIDRTVNEKQKISTESSRASFSSSCSSSMSSLDCNKIAQQEASSFDRMLFPETPSRDAMMNQLSTSPHLGSQCLDLRDMVKDSMYREARGLLVKTTTREDILGSTVKHRDSPRPFQVPKSVDGSYSVRTNGKKNTSSADLKESLRVLAKLQDAPWYYNNEARQLQRSSCEANGLWNSTSKDTPRFSYDGREINRLSFESQDTFKSTPKLKEQSRLSLDSREWSMKGSKNLTKSFHNTGNLNIPPQSPGSQSRPANIVAKLMGLEPLPDHSSGVMNTCTVEDSNPFLQPLRANDLNRPTRTSNGTRSSLKDPTSPRWKNPDMIMKPILSSRFPIEPAPWRYANGSRGTQKQPLKHVKVPPKPPNCSPSVYSEIEKRLKDLEFRHSGKDLRALKQILEAMQAKGLLETGKEEQAANLVSQRDYEPKCTSPSQHLRGQRSQRGSDSIGTYESPIVIMKPAKLVEQVSMHASTVVPIVDISSLPKIQNGASVDNKRGSISSRPARDHTSRNSQSTSIAGSTNKRASSRNLGTIQSSTKPPKESTASSVKSSGGSVSPRLQQKKLELDRRSRPPTPPSDPSKLRRQSNRHPLESGSPSGKRRSKSHNMQQCDDQLSQVSSESWTSSHQGDDVSLQSDSNLTLELKLDTEVTSRERSIETNCSQSPSMNTTKYSVSGIMQKKSTSRLVEDGSVAELAMVTPEHPSPVSVLDTSIYRDDAPSPVRQILNAPEGDVAEVFNDGRKEEQWNPADNCLSDNTGSGLVSEINRKKLQKIEHLVQKLRRLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLSSGLTTFQLHPSGHPINPELFLVLEQTKASSLLSKPDHEKLHRKLIFDSANEILVGKLAQVGASPEPWLNPGKLTTRTLNAQKLLKELCMEIEQLQPKKSDNNMEEEDGLKNILWEDVTRRSESWTDFNGEISGMVLDVERLVFKDLVNEIVIGEGVTLRAKQQQQQQQRRRRQLFSK >KJB38709 pep chromosome:Graimondii2_0_v6:6:50628795:50631607:-1 gene:B456_006G268300 transcript:KJB38709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLHSLADENPDLQKQIGCMTGIFQIFDRHHMITAKRLSHRKLPAAGNSRTNNGVLEGDSNNLYHRHVSTEMNIDRTVNEKQKISTESSRASFSSSCSSSMSSLDCNKIAQQEASSFDRMLFPETPSRDAMMNQLSTSPHLGSQCLDLRDMVKDSMYREARGLLVKTTTREDILGSTVKHRDSPRPFQVPKSVDGSYSVRTNGKKNTSSADLKESLRVLAKLQDAPWYYNNEARQLQRSSCEANGLWNSTSKDTPRFSYDGREINRLSFESQDTFKSTPKLKEQSRLSLDSREWSMKGSKNLTKSFHNTGNLNIPPQSPGSQSRPANIVAKLMGLEPLPDHSSGVMNTCTVEDSNPFLQPLRANDLNRPTRTSNGTRSSLKDPTSPRWKNPDMIMKPILSSRFPIEPAPWRYANGSRGTQKQPLKHVKVPPKPPNCSPSVYSEIEKRLKDLEFRHSGKDLRALKQILEAMQAKGLLETGKEEQAANLVSQRDYEPKCTSPSQHLRGQRSQRGSDSIGTYESPIVIMKPAKLVEQVSMHASTVVPIVDISSLPKIQNGASVDNKRGSISSRPARDHTSRNSQSTSIAGSTNKRASSRNLGTIQSSTKPPKESTASSVKSSGGSVSPRLQQKKLELDRRSRPPTPPSDPSKLRRQSNRHPLESGSPSGKRRSKSHNMQQCDDQLSQVSSESWTSSHQGDDVSLQSDSNLTLELKLDTEVTSRERSIETNCSQSPSMNTTKYSVSGIMQKVGDIILQS >KJB38711 pep chromosome:Graimondii2_0_v6:6:50627101:50632323:-1 gene:B456_006G268300 transcript:KJB38711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLHSLADENPDLQKQIGCMTGIFQIFDRHHMITAKRLSHRKLPAAGNSRTNNGVLEGDSNNLYHRHVSTEMNIDRTVNEKQKISTESSRASFSSSCSSSMSSLDCNKIAQQEASSFDRMLFPETPSRDAMMNQLSTSPHLGSQCLDLRDMVKDSMYREARGLLVKTTTREDILGSTVKHRDSPRPFQVPKSVDGSYSVRTNGKKNTSSADLKESLRVLAKLQDAPWYYNNEARQLQRSSCEANGLWNSTSKDTPRFSYDGREINRLSFESQDTFKSTPKLKEQSRLSLDSREWSMKGSKNLTKSFHNTGNLNIPPQSPGSQSRPANIVAKLMGLEPLPDHSSGVMNTCTVEDSNPFLQPLRANDLNRPTRTSNGTRSSLKDPTSPRWKNPDMIMKPILSSRFPIEPAPWRYANGSRGTQKQPLKHVKVPPKPPNCSPSVYSEIEKRLKDLEFRHSGKDLRALKQILEAMQAKGLLETGKEEQAANLVSQRDYEPKCTSPSQHLRGQRSQRGSDSIGTYESPIVIMKPAKLVEQVSMHASTVVPIVDISSLPKIQNGASVDNKRGSISSRPARDHTSRNSQSTSIAGSTNKRASSRNLGTIQSSTKPPKESTASSVKSSGGSVSPRLQQKKLELDRRSRPPTPPSDPSKLRRQSNRHPLESGSPSGKRRSKSHNMQQCDDQLSQVSSESWTSSHQGDDVSLQSDSNLTLELKLDTEVTSRERSIETNCSQSPSMNTTKYSVSGIMQKKSTSRLVEDGSVAELAMVTPEHPSPVSVLDTSIYRDDAPSPVRQILNAPEGDVAEVFNDGRKEEQWNPADNCLSDNTGSGLVSEINRKKLQKIEHLVQKLRRLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLSSGLTTFQLHPSGHPINPELFLVLEQTKASSLLSKPDHEKLHRKLIFDSANEILVGKLAQVGASPEPWLNPGKLTTRTLNAQKLLKELCMEIEQLQPKKSDNNMEEEDGLKNILWEDVTRRSESWTDFNGEISGMVLDVERLVFKDLVNEIVIGEGVTLRAKQQQQQQQRRRRQLFSK >KJB38708 pep chromosome:Graimondii2_0_v6:6:50628545:50631607:-1 gene:B456_006G268300 transcript:KJB38708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLHSLADENPDLQKQIGCMTGIFQIFDRHHMITAKRLSHRKLPAAGNSRTNNGVLEGDSNNLYHRHVSTEMNIDRTVNEKQKISTESSRASFSSSCSSSMSSLDCNKIAQQEASSFDRMLFPETPSRDAMMNQLSTSPHLGSQCLDLRDMVKDSMYREARGLLVKTTTREDILGSTVKHRDSPRPFQVPKSVDGSYSVRTNGKKNTSSADLKESLRVLAKLQDAPWYYNNEARQLQRSSCEANGLWNSTSKDTPRFSYDGREINRLSFESQDTFKSTPKLKEQSRLSLDSREWSMKGSKNLTKSFHNTGNLNIPPQSPGSQSRPANIVAKLMGLEPLPDHSSGVMNTCTVEDSNPFLQPLRANDLNRPTRTSNGTRSSLKDPTSPRWKNPDMIMKPILSSRFPIEPAPWRYANGSRGTQKQPLKHVKVPPKPPNCSPSVYSEIEKRLKDLEFRHSGKDLRALKQILEAMQAKGLLETGKEEQAANLVSQRDYEPKCTSPSQHLRGQRSQRGSDSIGTYESPIVIMKPAKLVEQVSMHASTVVPIVDISSLPKIQNGASVDNKRGSISSRPARDHTSRNSQSTSIAGSTNKRASSRNLGTIQSSTKPPKESTASSVKSSGGSVSPRLQQKKLELDRRSRPPTPPSDPSKLRRQSNRHPLESGSPSGKRRSKSHNMQQCDDQLSQVSSESWTSSHQGDDVSLQSDSNLTLELKLDTEVTSRERSIETNCSQSPSMNTTKYSVSGIMQKKSTSRLVEDGSVAELAMVTPEHPSPVSVLDTSIYRDDAPSPVRQILNAPEGNAYWFLRYLILI >KJB33835 pep chromosome:Graimondii2_0_v6:6:8640602:8642398:-1 gene:B456_006G033100 transcript:KJB33835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSYSSSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVPTFQREDAVNSLAYEAEARLKDPVYGCVGAISVLQRQVITLQRELDATNANLFRYAYNEMPPLRPPQPPPPSAAGQSSGFCYPYQWNDPSDEDNERSSGGNM >KJB33836 pep chromosome:Graimondii2_0_v6:6:8640640:8641344:-1 gene:B456_006G033100 transcript:KJB33836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSYSSSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVPTFQREDAVNSLAYEAEARLKDPVYGCVGAISVLQRQVITLQRELDATNANLFRYAYNEMPPLRPPQPPPPSAAGQSSGFCYPYQWNDPSDEDNERSSGGNM >KJB34262 pep chromosome:Graimondii2_0_v6:6:20564626:20567970:1 gene:B456_006G056400 transcript:KJB34262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFQQSSKAQTNPTAPPPCLPPLYFHLCKNPIIIDNGTMSFGLDKATGKKCYMLGARRLSIAWANTPRYWRWKRVPESRFSEVAELKEVWWLDVKGTIETKILSPNTTYVAYLVYKFSSSRYGFEKKPVDLHVELGESDAGRTFRIFLDPSANIPQFSREREDGWMEVKLGEFFNEHGDDGKATCSLREVDNYTLKKGLIIEGIDIRPKDSR >KJB34263 pep chromosome:Graimondii2_0_v6:6:20565549:20568281:1 gene:B456_006G056400 transcript:KJB34263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTDITNILPEECISYILSLTSPTDVCRSKLVSPVFRSAADSDTIWGKFLPSDCYDIISNASSSSSSKLLTSSMSKTQLYFHLCKNPIIIDNGTMSFGLDKATGKKCYMLGARRLSIAWANTPRYWRWKRVPESRFSEVAELKEVWWLDVKGTIETKILSPNTTYVAYLVYKFSSSRYGFEKKPVDLHVELGESDAGRTFRIFLDPSANIPQFSREREDGWMEVKLGEFFNEHGDDGKATCSLREVDNYTLKKGLIIEGIDIRPKDSR >KJB34259 pep chromosome:Graimondii2_0_v6:6:20564432:20568152:1 gene:B456_006G056400 transcript:KJB34259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGARRLSIAWANTPRYWRWKRVPESRFSEVAELKEVWWLDVKGTIETKILSPNTTYVAYLVYKFSSSRYGFEKKPVDLHVELGESDAGRTFRIFLDPSANIPQFSREREDGWMEVKLGEFFNEHGDDGKATCSLREVDNYTLKKGLIIEGIDIRPKDSR >KJB34260 pep chromosome:Graimondii2_0_v6:6:20564432:20568152:1 gene:B456_006G056400 transcript:KJB34260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFQQSSKAQTNPTAPPPCLPPLVSPVFRSAADSDTIWGKFLPSDCYDIISNASSSSSSKLLTSSMSKTQLYFHLCKNPIIIDNGTMSFGLDKATGKKCYMLGARRLSIAWANTPRYWRWKRVPESRFSEVAELKEVWWLDVKGTIETKILSPNTTYVAYLVYKFSSSRYGFEKKPVDLHVELGESDAGRTFRIFLDPSANIPQFSREREDGWMEVKLGEFFNEHGDDGKATCSLREVDNYTLKKGLIIEGIDIRPKDSR >KJB34261 pep chromosome:Graimondii2_0_v6:6:20564432:20568281:1 gene:B456_006G056400 transcript:KJB34261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFQQSSKAQTNPTAPPPCLPPSFGLDKATGKKCYMLGARRLSIAWANTPRYWRWKRVPESRFSEVAELKEVWWLDVKGTIETKILSPNTTYVAYLVYKFSSSRYGFEKKPVDLHVELGESDAGRTFRIFLDPSANIPQFSREREDGWMEVKLGEFFNEHGDDGKATCSLREVDNYTLKKGLIIEGIDIRPKDSR >KJB35756 pep chromosome:Graimondii2_0_v6:6:37942550:37946936:1 gene:B456_006G126900 transcript:KJB35756 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 13 [Source:Projected from Arabidopsis thaliana (AT1G51460) UniProtKB/Swiss-Prot;Acc:Q9C8J8] MGDVEIEEVNGGVNGGAEVQQGEEGLGRGRGEGNRMYLVWEELTVALPNFGNGPTRRLLDGVTGCAQPGRIMAIMGPSGSGKSTLLDALAGRLSGNVVMTGNVLLNGKKKRLDYGSFAYVTQEDILLGTLTVRETITYSAQLRLPSSLTRQDIDGIVEGTITEMGLQECADRLIGNWHLRGISGGEKKRLSIALEILTRPHLLFLDEPTSGLDSASAFFVIQTLRNVGRDGRTIISAIHQPSSEVFSLFDDLFLLSGGEQVYFGEAKMAAKFFAEVGFPCPSRRNPSDHFLRCINSDFDIVAEALKGSNRITELQNTLDPLANLPTAQIKTLLVKKYRSSDYAAKARARIREISGIEGLVIEKKHSGEAKWLTQLSTLTNRSFVNMSRDLGYYWLRIAIYVALSICVGSIFFDVGTNYNSILARGACGGFISGFMTFMSIGGFPSFIEELKVSNLISG >KJB35751 pep chromosome:Graimondii2_0_v6:6:37942334:37945726:1 gene:B456_006G126900 transcript:KJB35751 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 13 [Source:Projected from Arabidopsis thaliana (AT1G51460) UniProtKB/Swiss-Prot;Acc:Q9C8J8] MGDVEIEEVNGGVNGGAEVQQGEEGLGRGRGEGNRMYLVWEELTVALPNFGNGPTRRLLDGVTGCAQPGRIMAIMGPSGSGKSTLLDALAGRLSGNVVMTGNVLLNGKKKRLDYGSFAYVTQEDILLGTLTVRETITYSAQLRLPSSLTRQDIDGIVEGTITEMGLQECADRLIGNWHLRGISGGEKKRLSIALEILTRPHLLFLDEPTSGLDSASAFFVIQTLRNVGRDGRTIISAIHQPSSEVFSLFDDLFLLSGGEQVYFGEAKMAAKFFAEVGFPCPSRRNPSDHFLRCINSDFDIVAEALKGSNRITVCISIFTFQRNNNIVIFPCSSHLVSLHFYDFPWILHAKNKI >KJB35757 pep chromosome:Graimondii2_0_v6:6:37942334:37949097:1 gene:B456_006G126900 transcript:KJB35757 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 13 [Source:Projected from Arabidopsis thaliana (AT1G51460) UniProtKB/Swiss-Prot;Acc:Q9C8J8] MGDVEIEEVNGGVNGGAEVQQGEEGLGRGRGEGNRMYLVWEELTVALPNFGNGPTRRLLDGVTGCAQPGRIMAIMGPSGSGKSTLLDALAGRLSGNVVMTGNVLLNGKKKRLDYGSFAYVTQEDILLGTLTVRETITYSAQLRLPSSLTRQDIDGIVEGTITEMGLQECADRLIGNWHLRGISGGEKKRLSIALEILTRPHLLFLDEPTSGLDSASAFFVIQTLRNVGRDGRTIISAIHQPSSEVFSLFDDLFLLSGGEQVYFGEAKMAAKFFAEVGFPCPSRRNPSDHFLRCINSDFDIVAEALKGSNRITELQNTLDPLANLPTAQIKTLLVKKYRSSDYAAKARARIREISGIEGLVIEKKHSGEAKWLTQLSTLTNRSFVNMSRDLGYYWLRIAIYVALSICVGSIFFDVGTNYNSILARGACGGFISGFMTFMSIGGFPSFIEELKVFYRERRNGHYGVAVYILSNFLSSFPFLTVMSLSTAAITYYMRAA >KJB35755 pep chromosome:Graimondii2_0_v6:6:37942334:37949097:1 gene:B456_006G126900 transcript:KJB35755 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 13 [Source:Projected from Arabidopsis thaliana (AT1G51460) UniProtKB/Swiss-Prot;Acc:Q9C8J8] MTGNVLLNGKKKRLDYGSFAYVTQEDILLGTLTVRETITYSAQLRLPSSLTRQDIDGIVEGTITEMGLQECADRLIGNWHLRGISGGEKKRLSIALEILTRPHLLFLDEPTSGLDSASAFFVIQTLRNVGRDGRTIISAIHQPSSEVFSLFDDLFLLSGGEQVYFGEAKMAAKFFAEVGFPCPSRRNPSDHFLRCINSDFDIVAEALKGSNRITELQNTLDPLANLPTAQIKTLLVKKYRSSDYAAKARARIREISGIEGLVIEKKHSGEAKWLTQLSTLTNRSFVNMSRDLGYYWLRIAIYVALSICVGSIFFDVGTNYNSILARGACGGFISGFMTFMSIGGFPSFIEELKVFYRERRNGHYGVAVYILSNFLSSFPFLTVMSLSTAAITYYMVKFHPGISHFMYVSLDLISSIATVESCMMMIASLVPNFMMGVIIGAGYIGLLMMTAGYFRLLPDLPKIFWRYPVSYINYGAWALQGAYKNDMVGLEFDGFIPGGPKLKGDVVLTSMLGIHLDHSKWWDLAAVIMILIAYRLLFFIILKFKERVSPLFRTLYTWRTLQHMKKRPSFRKTSAFPSKRHQVLHSLSSQEGLNSPIH >KJB35752 pep chromosome:Graimondii2_0_v6:6:37942334:37949097:1 gene:B456_006G126900 transcript:KJB35752 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 13 [Source:Projected from Arabidopsis thaliana (AT1G51460) UniProtKB/Swiss-Prot;Acc:Q9C8J8] MGDVEIEEVNGGVNGGAEVQQGEEGLGRGRGEGNRMYLVWEELTVALPNFGNGPTRRLLDGVTGCAQPGRIMAIMGPSGSGKSTLLDALAGRLSGNVVMTGNVLLNGKKKRLDYGSFAYVTQEDILLGTLTVRETITYSAQLRLPSSLTRQDIDGIVEGTITEMGLQECADRLIGNWHLRGISGGEKKRLSIALEILTRPHLLFLDEPTSGLDSASAFFVIQTLRNVGRDGRTIISAIHQPSSEVFSLFDDLFLLSGGEQVYFGEAKMAAKFFAEVGFPCPSRRNPSDHFLRCINSDFDIVAEALKGSNRITELQNTLDPLANLPTAQIKTLLVKKYRSSDYAAKARARIREISGIEGLVIEKKHSGEAKWLTQLSTLTNRSFVNMSRDLGYYWLRIAIYVALSICVGSIFFDVGTNYNSILARGACGGFISGFMTFMSIGGFPSFIEELKVFYRERRNGHYGVAVYILSNFLSSFPFLTVMSLSTAAITYYMVKFHPGISHFMYVSLDLISSIATVESCMMMIASLVPNFMMGVIIGAGYIVRTVTLRNF >KJB35758 pep chromosome:Graimondii2_0_v6:6:37942334:37949097:1 gene:B456_006G126900 transcript:KJB35758 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 13 [Source:Projected from Arabidopsis thaliana (AT1G51460) UniProtKB/Swiss-Prot;Acc:Q9C8J8] MGDVEIEEVNGGVNGGAEVQQGEEGLGRGRGEGNRMYLVWEELTVALPNFGNGPTRRLLDGVTGCAQPGRIMAIMGPSGSGKSTLLDALAGRLSGNVVMTGNVLLNGKKKRLDYGSFAYVTQEDILLGTLTVRETITYSAQLRLPSSLTRQDIDGIVEGTITEMGLQECADRLIGNWHLRGISGGEKKRLSIALEILTRPHLLFLDEPTSGLDSASAFFVIQTLRNVGRDGRTIISAIHQPSSEVFSLFDDLFLLSGGEQVYFGEAKMAAKFFAEVGFPCPSRRNPSDHFLRCINSDFDIVAEALKGSNRITELQNTLDPLANLPTAQIKTLLVKKYRSSDYAAKARARIREISGIEGLVIEKKHSGEAKWLTQLSTLTNRSFVNMSRDLGYYWLRIAIYVALSICVGSIFFDVGTNYNSILARGACGGFISGFMTFMSIGGFPSFIEELKVFYRERRNGHYGVAVYILSNFLSSFPFLTVMSLSTAAITYYMVKFHPGISHFMYVSLDLISSIATVESCMMMIASLVPNFMMGVIIGAGYIGLLMMTAGYFRLLPDLPKIFWRYPVSYINYGAWALQVITIGVPCFECLKD >KJB35754 pep chromosome:Graimondii2_0_v6:6:37942550:37946936:1 gene:B456_006G126900 transcript:KJB35754 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 13 [Source:Projected from Arabidopsis thaliana (AT1G51460) UniProtKB/Swiss-Prot;Acc:Q9C8J8] MGDVEIEEVNGGVNGGAEVQQGEEGLGRGRGEGNRMYLVWEELTVALPNFGNGPTRRLLDGVTGCAQPGRIMAIMGPSGSGKSTLLDALAGRLSGNVVMTGNVLLNGKKKRLDYGSFAYVTQEDILLGTLTVRETITYSAQLRLPSSLTRQDIDGIVEGTITEMGLQECADRLIGNWHLRGISGGEKKRLSIALEILTRPHLLFLDEPTSGLDSASAFFVIQTLRNVGRDGRTIISAIHQPSSEVFSLFDDLFLLSGGEQVYFGEAKMAAKFFAEVGFPCPSRRNPSDHFLRCINSDFDIVAEALKGSNRITELQNTLDPLANLPTAQIKTLLVKKYRSSDYAAKARARIREISGIEGLVIEKKHSGEAKWLTQLSTLTNRSFVNMSRDLGYYWLRIAIYVALSICVGSIFFDVGTNYNSILARGACGGFISGFMTFMSIGGFPSFIEELKVSNLISG >KJB35750 pep chromosome:Graimondii2_0_v6:6:37942333:37949109:1 gene:B456_006G126900 transcript:KJB35750 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 13 [Source:Projected from Arabidopsis thaliana (AT1G51460) UniProtKB/Swiss-Prot;Acc:Q9C8J8] MGDVEIEEVNGGVNGGAEVQQGEEGLGRGRGEGNRMYLVWEELTVALPNFGNGPTRRLLDGVTGCAQPGRIMAIMGPSGSGKSTLLDALAGRLSGNVVMTGNVLLNGKKKRLDYGSFAYVTQEDILLGTLTVRETITYSAQLRLPSSLTRQDIDGIVEGTITEMGLQECADRLIGNWHLRGISGGEKKRLSIALEILTRPHLLFLDEPTSGLDSASAFFVIQTLRNVGRDGRTIISAIHQPSSEVFSLFDDLFLLSGGEQVYFGEAKMAAKFFAEVGFPCPSRRNPSDHFLRCINSDFDIVAEALKGSNRITELQNTLDPLANLPTAQIKTLLVKKYRSSDYAAKARARIREISGIEGLVIEKKHSGEAKWLTQLSTLTNRSFVNMSRDLGYYWLRIAIYVALSICVGSIFFDVGTNYNSILARGACGGFISGFMTFMSIGGFPSFIEELKVFYRERRNGHYGVAVYILSNFLSSFPFLTVMSLSTAAITYYMVKFHPGISHFMYVSLDLISSIATVESCMMMIASLVPNFMMGVIIGAGYIGLLMMTAGYFRLLPDLPKIFWRYPVSYINYGAWALQGAYKNDMVGLEFDGFIPGGPKLKGDVVLTSMLGIHLDHSKWWDLAAVIMILIAYRLLFFIILKFKERVSPLFRTLYTWRTLQHMKKRPSFRKTSAFPSKRHQVLHSLSSQEGLNSPIH >KJB35753 pep chromosome:Graimondii2_0_v6:6:37942334:37949097:1 gene:B456_006G126900 transcript:KJB35753 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 13 [Source:Projected from Arabidopsis thaliana (AT1G51460) UniProtKB/Swiss-Prot;Acc:Q9C8J8] MGDVEIEEVNGGVNGGAEVQQGEEGLGRGRGEGNRMYLVWEELTVALPNFGNGPTRRLLDGVTGCAQPGRIMAIMGPSGSGKSTLLDALAGRLSGNVVMTGNVLLNGKKKRLDYGSFAYVTQEDILLGTLTVRETITYSAQLRLPSSLTRQDIDGIVEGTITEMGLQECADRLIGNWHLRGISGGEKKRLSIALEILTRPHLLFLDEPTSGLDSASAFFVIQTLRNVGRDGRTIISAIHQPSSEVFSLFDDLFLLSGGEQVYFGEAKMAAKFFAEVGFPCPSRRNPSDHFLRCINSDFDIVAEALKGSNRITELQNTLDPLANLPTAQIKTLLVKKYRSSDYAAKARARIREISGIEGLVIEKKHSGEAKWLTQLSTLTNRSFVNMSRDLGYYWLRIAIYVALSICVGSIFFDVGTNYNSILARGACGGFISGFMTFMSIGGFPSFIEELKVFYRERRNGHYGVAVYILSNFLSSFPFLTVMSLSTAAITYYMVKFHPGISHFMYVSLDLISSIATVESCMMMIASLVPNFMMGVIIGAGYIIAARSP >KJB35759 pep chromosome:Graimondii2_0_v6:6:37942334:37949097:1 gene:B456_006G126900 transcript:KJB35759 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 13 [Source:Projected from Arabidopsis thaliana (AT1G51460) UniProtKB/Swiss-Prot;Acc:Q9C8J8] MGDVEIEEVNGGVNGGAEVQQGEEGLGRGRGEGNRMYLVWEELTVALPNFGNGPTRRLLDGVTGCAQPGRIMAIMGPSGSGKSTLLDALAGRLSGNVVMTGNVLLNGKKKRLDYGSFAYVTQEDILLGTLTVRETITYSAQLRLPSSLTRQDIDGIVEGTITEMGLQECADRLIGNWHLRGISGGEKKRLSIALEILTRPHLLFLDEPTSGLDSASAFFVIQTLRNVGRDGRTIISAIHQPSSEVFSLFDDLFLLSGGEQVYFGEAKMAAKFFAEVGFPCPSRRNPSDHFLRCINSDFDIVAEALKGSNRITELQNTLDPLANLPTAQIKTLLVKKYRSSDYAAKARARIREISGIEGLVIEKKHSGEAKWLTQLSTLTNRSFVNMSRDLGYYWLRIAIYVALSICVGSIFFDVGTNYNSILARGACGGFISGFMTFMSIGGFPSFIEELKVSFLSGKAQWTLWGCCLYTIKFPLFISILDCDVPFYSSNYLLHGEISSWNFTFHVCLTRSY >KJB35446 pep chromosome:Graimondii2_0_v6:6:36356111:36358144:-1 gene:B456_006G115300 transcript:KJB35446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLTSPQQQSSGPITRGCQWFKALPNKFCDKTMEIVRKTKKLGQDDPRRIVHSLKVGLALTLVSLFYYFKPLYDGFGDSAMWAVLTVVVVLEFSVGATLGKGFNRMLATFVAGGLGVGAHCLATLAGRKGEPILIATFVFIIAVIMTFMRFFPKMKARYDYGLLIFILTFCLVSVSGYRDDQVLKMAHERFSTILVGSCASLIVCICVCPVWIGEDLHNSVVTNMEKLGNFFQAFGDEYFEVSEEHDQSSENNKSYLQGYRSVLTSKSSEETMANFARWEPGHGPFGYRHPWKMYLKIGNLTRDCAYKVEALNNYLNCKIQTPVEIRGKIQGQCKRVSLECSRALKEMASTFRKMVRTRSAIVHIDSSKEAAEELKTLLRTNLWEEADLLEIIPAASVASLLLEIIECIEKISEAVNELAKAAAFRNGNATVLPEQPDSIHQGEAQNDSNTAMPVPHVAIIVAE >KJB37472 pep chromosome:Graimondii2_0_v6:6:46530680:46534684:1 gene:B456_006G210200 transcript:KJB37472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEDQARSLFGIPLTDRPKWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGFVYLILIMLQGFTTKKMVNPWKTYVKLSAVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYISALLLVIGLILFTLADAQTYPNFSIIGIIMILGALVMDAFLGNFQEAIFTMNPETTQMEMLFCSTVVGIPFLVVPMILTGELVRAWNSCSQHPYVYGVLVFEAMATFIGQMSVLSLIAIFGAAVTAMITTARKAVTLLLSYMIFTKPLTEQHGSGLLLIAMGIILKMLPDSKPAPWIPTSNVNARHHRETSSEEVESVELEDEEKKALV >KJB37475 pep chromosome:Graimondii2_0_v6:6:46531814:46534684:1 gene:B456_006G210200 transcript:KJB37475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGFTTKKMVNPWKTYVKLSAVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYISALLLVIGLILFTLADAQTYPNFSIIGIIMILGALVMDAFLGNFQEAIFTMNPETTQMEMLFCSTVVGIPFLVVPMILTGELVRAWNSCSQHPYVYGVLVFEAMATFIGQMSVLSLIAIFGAAVTAMITTARKAVTLLLSYMIFTKPLTEQHGSGLLLIAMGIILKMLPDSKPAPWIPTSNVNARHHRETSSEEVESVELEDEEKKALV >KJB37474 pep chromosome:Graimondii2_0_v6:6:46530967:46534684:1 gene:B456_006G210200 transcript:KJB37474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEDQARSLFGIPLTDRPKWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGFVYLILIMLQGFTTKKMVNPWKTYVKLSAVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYISALLLVIGLILFTLADAQTYPNFSIIGIIMILGALVMDAFLGNFQEAIFTMNPETTQMEMLFCSTVVGIPFLVVPMILTGELVRAWNSCSQHPYVYGVLVFEAMATFIGQMSVLSLIAIFGAAVTAMITTARKAVTLLLSYMIFTKPLTEQHGSGLLLIAMGIILKMLPDSKPAPWIPTSNVNARHHRETSSEEVESVELEDEEKKALV >KJB37473 pep chromosome:Graimondii2_0_v6:6:46530702:46534684:1 gene:B456_006G210200 transcript:KJB37473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEDQARSLFGIPLTDRPKWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGFVYLILIMLQGFTTKKMVNPWKTYVKLSAVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYISALLLVIGLILFTLADAQTYPNFSIIGIIMILGALVMDAFLGNFQEAIFTMNPETTQMEMLFCSTVVGIPFLVVPMILTGELVRAWNSCSQHPYVYGVLVFEAMATFIGQMSVLSLIAIFGAAVTAMHGSGLLLIAMGIILKMLPDSKPAPWIPTSNVNARHHRETSSEEVESVELEDEEKKALV >KJB37471 pep chromosome:Graimondii2_0_v6:6:46530658:46534684:1 gene:B456_006G210200 transcript:KJB37471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEDQARSLFGIPLTDRPKWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGFVYLILIMLQGFTTKKMVNPWKTYVKLSAVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYISALLLVIGLILFTLADAQTYPNFSIIGIIMILGALVMDAFLGNFQEAIFTMNPETTQMEMLFCSTVVGIPFLVVPMILTGELVRAWNSCSQHPYVYGVLVFEAMATFIGQMSVLSLIAIFGAAVTAMITTARKAVTLLLSYMIFTKPLTEQHGSGLLLIAMGIILKMLPDSKPAPWIPTSNVNARHHRETSSEEVESVELEDEEKKALV >KJB38025 pep chromosome:Graimondii2_0_v6:6:48177024:48179521:-1 gene:B456_006G232800 transcript:KJB38025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRLQWIKKDDKLIQGEGVESLSEAELRQGCRERGMLGVLSVEEIRQQLQDWIDLSLNHRVPSSLLILSRAFIVSGKLKPEDAVRATLSSLPDEVVDTIFVTALPSEDPVSERRRKLEYLKMQEELIKEEEEKEKEELERMKESKAREAKEQARARSLEKREHLCEISRALAVLASAYI >KJB38027 pep chromosome:Graimondii2_0_v6:6:48176393:48180153:-1 gene:B456_006G232800 transcript:KJB38027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVLSVEEIRQQLQDWIDLSLNHRVPSSLLILSRAFIVSGKLKPEDAVRATLSSLPDEVVDTIFVTALPSEDPVSERRRKLEYLKMQEELIKEEEEKEKEELERMKESKAREAKEQARARSLEKREHLCEISRALAVLASAYSVSCEREEFLGLVNKEIEFYNSMVEKKRPDGEKDVIKAYRAAREGIDHSSEVSESDAVLST >KJB38026 pep chromosome:Graimondii2_0_v6:6:48176980:48179521:-1 gene:B456_006G232800 transcript:KJB38026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRLQWIKKDDKLIQGEGVESLSEAELRQGCRERGMLGVLSVEEIRQQLQDWIDLSLNHRVPSSLLILSRAFIVSGKLKPEDAVRATLSSLPDEVVDTIFVTALPSEDPVSERRRKLEYLKMQEELIKEEEEKEKEELERMKESKAREAKEQARARSLEKREHLCEISRALAVLASAYYAVCELRA >KJB38030 pep chromosome:Graimondii2_0_v6:6:48176627:48179521:-1 gene:B456_006G232800 transcript:KJB38030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRLQWIKKDDKLIQGEGVESLSEAELRQGCRERGMLGVLSVEEIRQQLQDWIDLSLNHRVPSSLLILSRAFIVSGKLKPEDAVRATLSSLPDEVVDTIFVTALPSEDPVSERRRKLEYLKMQEELIKEEEEKEKEELERMKESKAREAKEQARARSLEKREHLCEISRALAVLASAYSVSCEREEFLGLVNKEIEFYNSMVEKKRPDGEKDVIKAYRAAREGIDHSSEVSESDAVLST >KJB38029 pep chromosome:Graimondii2_0_v6:6:48176627:48179521:-1 gene:B456_006G232800 transcript:KJB38029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRLQWIKKDDKLIQGEGVESLSEAELRQGCRERGMLGVLSVEEIRQQLQDWIDLSLNHRVPSSLLILSRAFIVSGKLKPEDAVRATLSSLPDEVVDTIFVTALPSEDPVSERRRKLEYLKMQEELIKEEEEKEKEELERMKESKAREAKEQARARSLEKREHLCEISRALAVLASAYSVSCEREEFLGLVNKEIEFYNSMVEKKRPDGEKDVIKAYRAAREGIDHSSEVSESDAVLST >KJB38028 pep chromosome:Graimondii2_0_v6:6:48177024:48179521:-1 gene:B456_006G232800 transcript:KJB38028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRLQWIKKDDKLIQGEGVESLSEAELRQGCRERGMLGVLSVEEIRQQLQDWIDLSLNHRVPSSLLILSRAFIVSGKLKPEDAVRATLSSLPDEVVDTIFVTALPSEDPVSERRRKLEYLKMQEELIKEEEEKEKEELERMKESKAREAKEQARARSLEKREHLCEISRALAVLASAYI >KJB38031 pep chromosome:Graimondii2_0_v6:6:48176393:48180153:-1 gene:B456_006G232800 transcript:KJB38031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRLQWIKKDDKLIQGEGVESLSEAELRQGCRERGMLGVLSVEEIRQQLQDWIDLSLNHRVPSSLLILSRAFIVSGKLKPEDAVRATLSSLPDEVVDTIFVTALPSEDPVSERRRKLEYLKMQEELIKEEEEKEKEELERMKESKAREAKEQARARSLEKREHLCEISRALAVLASAYIEFYNSMVEKKRPDGEKDVIKAYRAAREGIDHSSEVSESDAVLST >KJB33955 pep chromosome:Graimondii2_0_v6:6:11281210:11284144:1 gene:B456_006G040100 transcript:KJB33955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYHFVYKDVEGASTQWDDIQRKLGNLPPKPPALKPPPFEPAPDPDSAPKDKSWVDAKTEDELDELEDDLDDDRFLEEYRKKRLAEMKEAAKVSKYGSVMQISGSDFVREVSQAPQDVWVVVFLYKEGSPECGLLLRCLDELAIKYPATKFVKIISTECIPNYPDHNLPTLLVYHNGAVKANYVSLHSFGRRCTPEGVALVLCKSDPVLNDGQSGSEQAVLEGIRRQFIEKVVTEHEDDDEGGSSSD >KJB38193 pep chromosome:Graimondii2_0_v6:6:48874367:48877759:-1 gene:B456_006G243000 transcript:KJB38193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATLKAEEASQLQLVEREDIDDEEDLFESIDKLISAGINAGDVKKLQDAGIYTCNGLMMHTKKNLTGIKGLSEAKVDKIREAAEKIVNFGYITGSDALLRRKSVIRITTGSQSLDELLGGGIETSAITEAFGEFRSGKTQLAHTLCVSTQLPTNMRGGNGKVAYIDTEGTFRPDRIIPIAERFGMDPAAVLDNIVYARAYTYEHQYNLLLGLAAKMSGEQFRLLIVDSVIALFRVDFTGRGELAERQQKLAQMLSRLTKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHAATIRLMFRKGKGEQRVCKVFDAPNLPEAEAISFYLNFSAKFF >KJB38194 pep chromosome:Graimondii2_0_v6:6:48873376:48877869:-1 gene:B456_006G243000 transcript:KJB38194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATLKAEEASQLQLVEREDIDDEEDLFESIDKLISAGINAGDVKKLQDAGIYTCNGLMMHTKKNLTGIKGLSEAKVDKIREAAEKIVNFGYITGSDALLRRKSVIRITTGSQSLDELLGGGIETSAITEAFGEFRSGKTQLAHTLCVSTQLPTNMRGGNGKVAYIDTEGTFRPDRIIPIAERFGMDPAAVLDNIVYARAYTYEHQYNLLLGLAAKMSGEQFRLLIVDSVIALFRVDFTGRGELAERQQKLAQMLSRLTKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHAATIRLMFRKGKGEQRVCKVFDAPNLPEAEHVFQITPGGIADAKD >KJB36392 pep chromosome:Graimondii2_0_v6:6:41604601:41605098:-1 gene:B456_006G157000 transcript:KJB36392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLTDPAPATTFVHLDATTDPAPTPAKGIYPAVDPLDSTSTMLQPQIVAEAFIGSPGKYVGLAETIRGFKLILFEELDGLSEQAFYLVGNIDEATAKATNLEMESKLKK >KJB37848 pep chromosome:Graimondii2_0_v6:6:47550252:47555564:1 gene:B456_006G223200 transcript:KJB37848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSNRRPVRNEKERLGCMWGLISMFDFRNGRSTQRLLSDRRQGNKKAAVGTGNLGNKLDMLTSSGEKCPRTRDGEEKAKVVNACNPSVKKLLEEEMSGKQVVKNEVNNTEFEAKQFDSVQGDDGRKNRKRKNKTRKKSSGTSLDIDAAENLLSEVSCQDKSEQPQPTSSLDMDSLMKEFSRQIHRKRINCVNHDQPAEDHMQPNPKSSCFEERLSEVIKFLVSQKLIDKNQLTDDGELQASKEVMDVLRISSLDEELFLKLLRDPNSLVKYVRNMPDSQLKDEESKPRAVSKFPDNIHVGLRQLNEPVNTKQRNFFRRKSKSQDGNNISEASSKIVILKPGPITSETGSSFGSSPESRYTIRHKEPNEKVGSHYFLSEIKRKWKHAMGREQQRNPTDGISGRLSSEQQRSGNNGGVKEHIGMSSPTKDHFFIERIARPSFGVKKGEKPSKLKGFELGTESETTDFSQHYNLYIEAKKHLSEMILNGDKNVDLSCQKVSKSLGRILSLPEYNLSPFGSPRRNSESSFTTAQMRFTGCDKFQNVNENDQQNYVSHLSQVTAEEPESQLCFSDDKASDEVQGGVNIVEDDNMVLGESKQSDASFETSGSSISRDDDTPKVCIEQQYPECLKEDSSEVDHQLFSPLESPPNSLVTKKVEGLESVNDTQERPSPVSVLEPIFTDDVISPSSIRSRSGETTIQPLRIRFEEHGSIAANQSNCIKTCVDDEESIFDHVKALLQPSTFNWDELYIRSLSSELLLDPMLLDEVEYFPNQLCNDQKLLFDCINEVLMEVCGYYYSSLGVSFVKPKIRPIPNTKNTIQKVWEGVHWHLLPMPLPRTLDQIVRKDVAKTETWMDLRLDTDCIGFEMGEVILEDLVEDTITGYMSEALGGECNAEELHD >KJB37850 pep chromosome:Graimondii2_0_v6:6:47550246:47555564:1 gene:B456_006G223200 transcript:KJB37850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSNRRPVRNEKERLGCMWGLISMFDFRNGRSTQRLLSDRRQGNKKAAVGTGNLGNKLDMLTSSGEKCPRTRDGEEKAKVVNACNPSVKKLLEEEMSGKQVVKNEVNNTEFEAKQFDSVQGDDGRKNRKRKNKTRKKSSGTSLDIDAAENLLSEVSCQDKSEQPQPTSSLDMDSLMKEFSRQIHRKRINCVNHDQPAEDHMQPNPKSSCFEERLSEVIKFLVSQKLIDKNQLTDDGELQASKEVMDVLRISSLDEELFLKLLRDPNSLVKYVRNMPDSQLKDEESKPRAVSKFPDNIHVGLRQLNEPVNTKQRNFFRRKSKSQDGNNISEASSKIVILKPGPITSETGSSFGSSPESRYTIRHKEPNEKVGSHYFLSEIKRKWKHAMGREQQRNPTDGISGRLSSEQQRSGNNGGVKEHIGMSSPTKDHFFIERIARPSFGVKKGEKPSKLKGFELGTESETTDFSQHYNLYIEAKKHLSEMILNGDKNVDLSCQKVSKSLGRILSLPEYNLSPFGSPRRNSESSFTTAQMRFTGCDKFQNVNENDQQNYVSHLSQVTAEEPESQLCFSDDKASDEVQGGVNIVEDDNMVLGESKQSDASFETSGSSISRDDDTPKVCIEQQYPECLKEDSSEVDHQLFSPLESPPNSLVTKKVEGLESVNDTQERPSPVSVLEPIFTDDVISPSSIRSRSGETTIQPLRIRFEEHGSIAANQSNCIKTCVDDEESIFDHVKALLQPSTFNWDELYIRSLSSELLLDPMLLDEVEYFPNQLCNDQKLLFDCINEVLMEVCGYYYSSLGVSFVKPKIRPIPNTKNTIQKVWEGVHWHLLPMPLPRTLDQIVRKDVAKTETWMDLRLDTDCIGFEMGEVILEDLVEDTITGYMSEALGGECNAEELHD >KJB37849 pep chromosome:Graimondii2_0_v6:6:47551220:47555524:1 gene:B456_006G223200 transcript:KJB37849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSNRRPVRNEKERLGCMWGLISMFDFRNGRSTQRLLSDRRQGNKKAAVGTGNLGNKLDMLTSSGEKCPRTRDGEEKAKVVNACNPSVKKLLEEEMSGKQVVKNEVNNTEFEAKQFDSVQGDDGRKNRKRKNKTRKKSSGTSLDIDAAENLLSEVSCQDKSEQPQPTSSLDMDSLMKEFSRQIHRKRINCVNHDQPAEDHMQPNPKSSCFEERLSEVIKFLVSQKLIDKNQLTDDGELQASKEVMDVLRISSLDEELFLKLLRDPNSLVKYVRNMPDSQLKDEESKPRAVSKFPDNIHVGLRQLNEPVNTKQRNFFRRKSKSQDGNNISEASSKIVILKPGPITSETGSSFGSSPESRYTIRHKEPNEKVGSHYFLSEIKRKWKHAMGREQQRNPTDGISGRLSSEQQRSGNNGGVKEHIGMSSPTKDHFFIERIARPSFGVKKGEKPSKLKGFELGTESETTDFSQHYNLYIEAKKHLSEMILNGDKNVDLSCQKVSKSLGRILSLPEYNLSPFGSPRRNSESSFTTAQMRFTGCDKFQNVNENDQQNYVSHLSQVTAEEPESQLCFSDDKASDEVQGGVNIVEDDNMVLGESKQSDASFETSGSSISRDDDTPKVCIEQQYPECLKEDSSEVDHQLFSPLESPPNSLVTKKVEGLESVNDTQERPSPVSVLEPIFTDDVISPSSIRSRSGETTIQPLRIRFEEHGSIAANQSNCIKTCVDDEESIFDHVKALLQPSTFNWDELYIRSLSSELLLDPMLLDEVEYFPNQLCNDQKLLFDCINEVLMEVCGYYYSSLGVSFVKPKIRPIPNTKNTIQKVWEGVHWHLLPMPLPRTLDQIVRKDVAKTETWMDLRLDTDCIGFEMGEVILEDLVEDTITGYMSEALGGECNAEELHD >KJB37641 pep chromosome:Graimondii2_0_v6:6:46760228:46768248:-1 gene:B456_006G213800 transcript:KJB37641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEYMKKDVVIVAGLIGVQFFFAGNSVLLGYLMSLGLKPFTIVIFFSFSTFLVVSPFAVHFERSKWPKQLTLKLIIQLVSISFSGVSLFQFLFLKGINLSSPAMATAMPNLTPALIFIIAWTCRLEKVALSCLYSKVKIAGTILCVLGALTMSLMQSTVSSKDATAMPPLTDVDTDMIFDKDKISGCLYLVAAVFVLSSNIVLQAITLGDLPAPMSLCALTSFIGMIITAIVQLVEDHALQWASPFVSFKDLIIFSLMVCFKFLEVFMFDIVIYLFNSKWDMHRQVQWAGQV >KJB37640 pep chromosome:Graimondii2_0_v6:6:46760228:46768248:-1 gene:B456_006G213800 transcript:KJB37640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEYMKKDVVIVAGLIGVQFFFAGNSVLLGYLMSLGLKPFTIVIFFSFSTFLVVSPFAVHFERSKWPKQLTLKLIIQLVSISFSGVSLFQFLFLKGINLSSPAMATAMPNLTPALIFIIAWTCRLEKVALSCLYSKVKIAGTILCVLGALTMSLMQSTVSSKDATAMPPLTDVDTDMIFDKDKISGCLYLVAAVFVLSSNIVLQAITLGDLPAPMSLCALTSFIGMIITAIVQLVEDHALQWASPFVSFKDLIIFSLMVCFKFLEVFMFDIVIYLFNSKWDMHRQVQWAGQV >KJB37638 pep chromosome:Graimondii2_0_v6:6:46759694:46768438:-1 gene:B456_006G213800 transcript:KJB37638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEYMKKDVVIVAGLIGVQFFFAGNSVLLGYLMSLGLKPFTIVIFFSFSTFLVVSPFAVHFERSKWPKQLTLKLIIQLVSISFSGVSLFQFLFLKGINLSSPAMATAMPNLTPALIFIIAWTCRLEKVALSCLYSKVKIAGTILCVLGALTMSLMQSTVSSKDATAMPPLTDVDTDMIFDKDKISGCLYLVAAVFVLSSNIVLQAITLGDLPAPMSLCALTSFIGMIITAIVQLVEDHALQWASPFVSFKDLIIFSLMVQWAGQV >KJB37639 pep chromosome:Graimondii2_0_v6:6:46759726:46768297:-1 gene:B456_006G213800 transcript:KJB37639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEYMKKDVVIVAGLIGVQFFFAGNSVLLGYLMSLGLKPFTIVIFFSFSTFLVVSPFAVHFERSKWPKQLTLKLIIQLVSISFSGVSLFQFLFLKGINLSSPAMATAMPNLTPALIFIIAWTCRLEKVALSCLYSKVKIAGTILCVLGALTMSLMQSTVSSKDATAMPPLTDVDTDMIFDKDKISGCLYLVAAVFVLSSNIVLQVMIYSSKNKTRRSSFFFFAKILVFLQAITLGDLPAPMSLCALTSFIGMIITAIVQLVEDHALQWASPFVSFKDLIIFSLMAGAMGGASVSFSGWAMKKRGPVFVSMFSPIGTVIAVVFSFITLGETISLGSFAGMLLMFTGLYVVLWAKRKELYCDIEEGVDAEKPLLN >KJB37637 pep chromosome:Graimondii2_0_v6:6:46759726:46768297:-1 gene:B456_006G213800 transcript:KJB37637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEYMKKDVVIVAGLIGVQFFFAGNSVLLGYLMSLGLKPFTIVIFFSFSTFLVVSPFAVHFERSKWPKQLTLKLIIQLVSISFSGVSLFQFLFLKGINLSSPAMATAMPNLTPALIFIIAWTCRLEKVALSCLYSKVKIAGTILCVLGALTMSLMQSTVSSKDATAMPPLTDVDTDMIFDKDKISGCLYLVAAVFVLSSNIVLQAITLGDLPAPMSLCALTSFIGMIITAIVQLVEDHALQWASPFVSFKDLIIFSLMAGAMGGASVSFSGWAMKKRGPVFVSMFSPIGTVIAVVFSFITLGETISLGSFAGMLLMFTGLYVVLWAKRKELYCDIEEGVDAEKPLLN >KJB35473 pep chromosome:Graimondii2_0_v6:6:36602608:36612591:-1 gene:B456_006G116600 transcript:KJB35473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMVPETDHDQNQNGASQSSAPMEREQQQQNQQLENQASPPGPVGSAPTSAQQQSAAAPPATQGQPQTPVVGPRWAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLSNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTAAASHAAAAAAASNVNAGRLPGRYGFGDERERQTMPEAAPDGAVVLGSPVAPPVNGDMYTDISTENAMLQGSRRMSKGVEYLVEAAAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQMSTLIKIPDSSGSNNVTPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCESAEKIFAAEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLACI >KJB35472 pep chromosome:Graimondii2_0_v6:6:36603299:36611975:-1 gene:B456_006G116600 transcript:KJB35472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMVPETDHDQNQNGASQSSAPMEREQQQQNQQLENQASPPGPVGSAPTSAQQQSAAAPPATQGQPQTPVVGPRWAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLSNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTAAASHAAAAAAASNVNAGRLPGRYGFGDERERQTMPEAAPDGAVVLGSPVAPPVNGDMYTDISTENAMLQGSRRMSKGVEYLVEAAAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQMSTLIKIPDSSGSNNVTPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCESAEKIFAAEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLACI >KJB35470 pep chromosome:Graimondii2_0_v6:6:36602516:36612591:-1 gene:B456_006G116600 transcript:KJB35470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMVPETDHDQNQNGASQSSAPMEREQQQQNQQLENQASPPGPVGSAPTSAQQQSAAAPPATQGQPQTPVVGPRWAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLSNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTAAASHAAAAAAASNVNAGRLPGRYGFGDERERQTMPEAAPDGAVVLGSPVAPPVNGDMYTDISTENAMLQGSRRMSKGVEYLVEAAAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQMSTLIKIPDSSGSNNVTPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCESAEKIFAAEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLACI >KJB35469 pep chromosome:Graimondii2_0_v6:6:36603299:36608104:-1 gene:B456_006G116600 transcript:KJB35469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHKVGNKLSLKAVNPFSDLTFIQRKTWGFPVPNLFPFLIVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTAAASHAAAAAAASNVNAGRLPGRYGFGDERERQTMPEAAPDGAVVLGSPVAPPVNGDMYTDISTENAMLQGSRRMSKGVEYLVEAAAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQMSTLIKIPDSSGSNNVTPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCESAEKIFAAEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLACI >KJB35474 pep chromosome:Graimondii2_0_v6:6:36602608:36612591:-1 gene:B456_006G116600 transcript:KJB35474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMVPETDHDQNQNGASQSSAPMEREQQQQNQQLENQASPPGPVGSAPTSAQQQSAAAPPATQGQPQTPVVGPRWAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLSNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTAAASHAAAAAAASNVNAGRLPGRYGFGDERERQTMPEAAPDGAVVLGSPVAPPVNGDMYTDISTENAMLQGSRRMSKGVEYLVEAAAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQMSTLIKIPDSSGSNNVTPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCESAEKIFAAEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLACI >KJB35468 pep chromosome:Graimondii2_0_v6:6:36602516:36608119:-1 gene:B456_006G116600 transcript:KJB35468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHKVGNKLSLKAVNPFSDLTFIQRKTWGFPVPNLFPFLIVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTAAASHAAAAAAASNVNAGRLPGRYGFGDERERQTMPEAAPDGAVVLGSPVAPPVNGDMYTDISTENAMLQGSRRMSKGVEYLVEAAAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQMSTLIKIPDSSGSNNVTPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCESAEKIFAAEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLACI >KJB35471 pep chromosome:Graimondii2_0_v6:6:36602568:36612743:-1 gene:B456_006G116600 transcript:KJB35471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMVPETDHDQNQNGASQSSAPMEREQQQQNQQLENQASPPGPVGSAPTSAQQQSAAAPPATQGQPQTPVVGPRWAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLSNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTAAASHAAAAAAASNVNAGRLPGRYGFGDERERQTMPEAAPDGAVVLGSPVAPPVNGDMYTDISTENAMLQGSRRMSKGVEYLVEAAAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQMSTLIKIPDSSGSNNVTPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCESAEKIFAAEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLACI >KJB33612 pep chromosome:Graimondii2_0_v6:6:5591669:5593036:1 gene:B456_006G021800 transcript:KJB33612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRQSTAGVSRISDDQIIELVSKLRQLLPEIRDRRSDKVSASKVLQETCNYIRSLHREVDDLSERLSQLLATIDADSAEAAIIRSLIM >KJB33613 pep chromosome:Graimondii2_0_v6:6:5591652:5593108:1 gene:B456_006G021800 transcript:KJB33613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRQSTAGVSRISDDQIIELVSKLRQLLPEIRDRRSDKVSASKVLQETCNYIRSLHREVDDLSERLSQLLATIDADSAEAAIIRSLIM >KJB37636 pep chromosome:Graimondii2_0_v6:6:46752069:46757317:1 gene:B456_006G213600 transcript:KJB37636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAALTPATPLRFKPYSTLRFLSFYSKNPISPRLFPPLPRRPVSFHSTIPRKRCFCSVISAALQSGEMKKTEFPEKKVGEMGNTVGEFRKKLKIADIKGGPDEGLNRVGQTVVVMGWVRTLRVQSSVTFLEVNDGSSLSNMQCVMNSDAEGYDQVESGLIATSASIWVQGTLVASQGSKQKVELKVEKVVVVGKSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLIPSSREAADSPVDAIPNTKNGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLDDDMACATAYLQYVDVAEKDFVQLTYTDAIGLLLKAKKKFEFPVKWGCDLQSEHERYITEEAFKGCPVIIRDYPKEIKAFYMRQNDDGKTVAAMDMLVPRVGELIGGSQREERLDYLENRLDELKLSKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIENIRDAIPFPRAPGSAEF >KJB37635 pep chromosome:Graimondii2_0_v6:6:46752299:46756609:1 gene:B456_006G213600 transcript:KJB37635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAALTPATPLRFKPYSTLRFLSFYSKNPISPRLFPPLPRRPVSFHSTIPRKRCFCSVISAALQSGEMKKTEFPEKKVGEMGNTVGEFRKKLKIADIKGGPDEGLNRVGQTVVVMGWVRTLRVQSSVTFLEVNDGSSLSNMQCVMNSDAEGYDQVESGLIATSASIWVQGTLVASQGSKQKVELKVEKVVVVGKSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLIPSSREAADSPVDAIPNTKNGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLDDDMACATAYLQYVVRHVLDNCKEDMEFFNTWIEKGVIDRLNDVAEKDFVQLTYTDAIGLLLKAKKKFEFPVKWGCDLQSEHERYITEEAFKGCPVIIRDYPKEIKAFYMRQNDDGKTVAAMDMLVPRVGELIGGSQREERLDYLENRLDELKLSKESYWWYLDLRRYGSG >KJB37633 pep chromosome:Graimondii2_0_v6:6:46752069:46756179:1 gene:B456_006G213600 transcript:KJB37633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAALTPATPLRFKPYSTLRFLSFYSKNPISPRLFPPLPRRPVSFHSTIPRKRCFCSVISAALQSGEMKKTEFPEKKVGEMGNTVGEFRKKLKIADIKGGPDEGLNRVGQTVVVMGWVRTLRVQSSVTFLEVNDGSSLSNMQCVMNSDAEGYDQVESGLIATSASIWVQGTLVASQGSKQKVELKVEKVVVVGKSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLIPSSREAADSPVDAIPNTKNGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLDDDMACATAYLQYVVRHVLDNCKEDMEFFNTWIEKGVIDRLNDVAEKDFVQLTYTDAIGLLLKAKKKFEFPVLLVYFLFKPT >KJB37631 pep chromosome:Graimondii2_0_v6:6:46752069:46755716:1 gene:B456_006G213600 transcript:KJB37631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAALTPATPLRFKPYSTLRFLSFYSKNPISPRLFPPLPRRPVSFHSTIPRKRCFCSVISAALQSGEMKKTEFPEKKVGEMGNTVGEFRKKLKIADIKGGPDEGLNRVGQTVVVMGWVRTLRVQSSVTFLEVNDGSSLSNMQCVMNSDAEGYDQVESGLIATSASIWVQGTLVASQGSKQKVELKVEKVVVVGKSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLIPSSREAADSPVDAIPNTKNGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLDDDMACATAYLQYVVCYSSHLL >KJB37634 pep chromosome:Graimondii2_0_v6:6:46752069:46757317:1 gene:B456_006G213600 transcript:KJB37634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAALTPATPLRFKPYSTLRFLSFYSKNPISPRLFPPLPRRPVSFHSTIPRKRCFCSVISAALQSGEMKKTEFPEKKVGEMGNTVGEFRKKLKIADIKGGPDEGLNRVGQTVVVMGWVRTLRVQSSVTFLEVNDGSSLSNMQCVMNSDAEGYDQVESGLIATSASIWVQGTLVASQGSKQKVELKVEKVVVVGKSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLIPSSREAADSPVDAIPNTKNGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLDDDMACATAYLQHVLDNCKEDMEFFNTWIEKGVIDRLNDVAEKDFVQLTYTDAIGLLLKAKKKFEFPVKWGCDLQSEHERYITEEAFKGCPVIIRDYPKEIKAFYMRQNDDGKTVAAMDMLVPRVGELIGGSQREERLDYLENRLDELKLSKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIENIRDAIPFPRAPGSAEF >KJB37630 pep chromosome:Graimondii2_0_v6:6:46752009:46757317:1 gene:B456_006G213600 transcript:KJB37630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAALTPATPLRFKPYSTLRFLSFYSKNPISPRLFPPLPRRPVSFHSTIPRKRCFCSVISAALQSGEMKKTEFPEKKVGEMGNTVGEFRKKLKIADIKGGPDEGLNRVGQTVVVMGWVRTLRVQSSVTFLEVNDGSSLSNMQCVMNSDAEGYDQVESGLIATSASIWVQGTLVASQGSKQKVELKVEKVVVVGKSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLIPSSREAADSPVDAIPNTKNGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLDDDMACATAYLQYVVRHVLDNCKEDMEFFNTWIEKGVIDRLNDVAEKDFVQLTYTDAIGLLLKAKKKFEFPVKWGCDLQSEHERYITEEAFKGCPVIIRDYPKEIKAFYMRQNDDGKTVAAMDMLVPRVGELIGGSQREERLDYLENRLDELKLSKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIENIRDAIPFPRAPGSAEF >KJB37632 pep chromosome:Graimondii2_0_v6:6:46752069:46756155:1 gene:B456_006G213600 transcript:KJB37632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAALTPATPLRFKPYSTLRFLSFYSKNPISPRLFPPLPRRPVSFHSTIPRKRCFCSVISAALQSGEMKKTEFPEKKVGEMGNTVGEFRKKLKIADIKGGPDEGLNRVGQTVVVMGWVRTLRVQSSVTFLEVNDGSSLSNMQCVMNSDAEGYDQVESGLIATSASIWVQGTLVASQGSKQKVELKVEKVVVVGKSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLIPSSREAADSPVDAIPNTKNGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLDDDMACATAYLQYVVCYSSHLL >KJB33591 pep chromosome:Graimondii2_0_v6:6:5189796:5191823:-1 gene:B456_006G020500 transcript:KJB33591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHKFGEKLYSGLVTTMTSHLKEISNSIEAAQGGLFLDELNRKWAEHNKALQMIRDILMYMDRTFIPNTHKTPVHELGLNLWRDVIIHSHAIQSRLQAILLENVRRERSGEVIDRGLMRSITKMLMDLGPSVYQDDFEKHFLEVSADFYRLESQEFIESCDCGEYLKKAERRLNEEIERVSHYLDTRSEVKITNVVETEMIESHMHRLVQMENSGLINMIVDEKYEDLGRMYCLFRRVSNGLVLIRDVMTSYIRDTGKQLLTDPERLKDPVDFVQHLLDLKDKYDKIITSAFRNDKTFQNALNSSFEYFINLNSRSPEFISLFVDDKLRKGLRGVTEEDVELLLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKSVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFHASHGSDIGDGPMLSVQVLTTGSWPTQPITTCKLPAEILGICEKFRNYYLGTHTGRRLSWQTNMGTADLKATFGKGQKHELNVSTYQMCVLMLFNDADRLSYKEIEQVTEIPTFDLKRCLQSLACVKGKNVLRKEPMSKDIVDTDTFLFNDKYTSKFFKVKIGTVAAQRESEPENQETRQRVEEDRKPQIEAAIVRIMKARRVLDHNNIVAEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKGDRKLYRYLA >KJB33592 pep chromosome:Graimondii2_0_v6:6:5189796:5191823:-1 gene:B456_006G020500 transcript:KJB33592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHKFGEKLYSGLVTTMTSHLKEISNSIEAAQGGLFLDELNRKWAEHNKALQMIRDILMYMDRTFIPNTHKTPVHELGLNLWRDVIIHSHAIQSRLQAILLENVRRERSGEVIDRGLMRSITKMLMDLGPSVYQDDFEKHFLEVSADFYRLESQEFIESCDCGEYLKKAERRLNEEIERVSHYLDTRSEVKITNVVETEMIESHMHRLVQMENSGLINMIVDEKYEDLGRMYCLFRRVSNGLVLIRDVMTSYIRDTGKQLLTDPERLKDPVDFVQHLLDLKDKYDKIITSAFRNDKTFQNALNSSFEYFINLNSRSPEFISLFVDDKLRKGLRGVTEEDVELLLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKSVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFHASHGSDIGDGPMLSVQVLTTGSWPTQPITTCKLPAEILGICEKFRNYYLGTHTGRRLSWQTNMGTADLKATFGKGQKHELNVSTYQMCVLMLFNDADRLSYKEIEQVTEIPTFDLKRCLQSLACVKGKNVLRKEPMSKDIVDTDTFLFNDKYTSKFFKVKIGTVAAQRESEPENQETRQRVEEDRKPQIEAAIVRIMKARRVLDHNNIVAEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKGDRKLYRYLA >KJB33593 pep chromosome:Graimondii2_0_v6:6:5189583:5193422:-1 gene:B456_006G020500 transcript:KJB33593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQKKKAFQIEAFKHRVVVDPKYAEKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVTTMTSHLKEISNSIEAAQGGLFLDELNRKWAEHNKALQMIRDILMYMDRTFIPNTHKTPVHELGLNLWRDVIIHSHAIQSRLQAILLENVRRERSGEVIDRGLMRSITKMLMDLGPSVYQDDFEKHFLEVSADFYRLESQEFIESCDCGEYLKKAERRLNEEIERVSHYLDTRSEVKITNVVETEMIESHMHRLVQMENSGLINMIVDEKYEDLGRMYCLFRRVSNGLVLIRDVMTSYIRDTGKQLLTDPERLKDPVDFVQHLLDLKDKYDKIITSAFRNDKTFQNALNSSFEYFINLNSRSPEFISLFVDDKLRKGLRGVTEEDVELLLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKSVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFHASHGSDIGDGPMLSVQVLTTGSWPTQPITTCKLPAEILGICEKFRNYYLGTHTGRRLSWQTNMGTADLKATFGKGQKHELNVSTYQMCVLMLFNDADRLSYKEIEQVTEIPTFDLKRCLQSLACVKGKNVLRKEPMSKDIVDTDTFLFNDKYTSKFFKVKIGTVAAQRESEPENQETRQRVEEDRKPQIEAAIVRIMKARRVLDHNNIVAEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKGDRKLYRYLA >KJB33590 pep chromosome:Graimondii2_0_v6:6:5189583:5192696:-1 gene:B456_006G020500 transcript:KJB33590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHKFGEKLYSGLVTTMTSHLKEISNSIEAAQGGLFLDELNRKWAEHNKALQMIRDILMYMDRTFIPNTHKTPVHELGLNLWRDVIIHSHAIQSRLQAILLENVRRERSGEVIDRGLMRSITKMLMDLGPSVYQDDFEKHFLEVSADFYRLESQEFIESCDCGEYLKKAERRLNEEIERVSHYLDTRSEVKITNVVETEMIESHMHRLVQMENSGLINMIVDEKYEDLGRMYCLFRRVSNGLVLIRDVMTSYIRDTGKQLLTDPERLKDPVDFVQHLLDLKDKYDKIITSAFRNDKTFQNALNSSFEYFINLNSRSPEFISLFVDDKLRKGLRGVTEEDVELLLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKSVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFHASHGSDIGDGPMLSVQVLTTGSWPTQPITTCKLPAEILGICEKFRNYYLGTHTGRRLSWQTNMGTADLKATFGKGQKHELNVSTYQMCVLMLFNDADRLSYKEIEQVTEIPTFDLKRCLQSLACVKGKNVLRKEPMSKDIVDTDTFLFNDKYTSKFFKVKIGTVAAQRESEPENQETRQRVEEDRKPQIEAAIVRIMKARRVLDHNNIVAEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKGDRKLYRYLA >KJB35097 pep chromosome:Graimondii2_0_v6:6:34035148:34038489:-1 gene:B456_006G100000 transcript:KJB35097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVESHSDQEHIINVTWHDELDDASSSSALDELSSRVNLARNEHRSSSSTQASGYQTSSSNGLNSRNSSFTRHRRSPLNSALWILFELLFIVSQITASVVVLSLSRNEKPEAPLFTWIVGYASGCVAKLPILYWRYRNRNGGIEQDSLHSSQGSSYGNPSESTPFTAIAIIQALDEDNDHITESTRDGHISGTLSTRFDVLVDRFKMVLDCFFAVWFIIGNMWIFGGHSSPSDAPKMYRLCVVFLTFSFIGYAMPFILCAAICCCLPCIISVLGFREHFSPTRGATMESISALPTYRFKSKKIGNVDDLDVSCAVLASGTAKERVISGEDAVCCICLAAYADNDELRELPCIHVFHVKCVDKWLRINACCPLCKTEVGNTSDCTSPSTGDSN >KJB35100 pep chromosome:Graimondii2_0_v6:6:34035148:34037862:-1 gene:B456_006G100000 transcript:KJB35100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSPEDNSKQTDQYPLLMERVESHSDQEHIINVTWHDELDDASSSSALDELSSRVNLARNEHRSSSSTQASGYQTSSSNGLNSRNSSFTRHRRSPLNSALWILFELLFIVSQITASVVVLSLSRNEKPEAPLFTWIVGYASGCVAKLPILYWRYRNRNGGIEQDSLHSSQGSSYGNPSESTPFTAIAIIQALDEDNDHITESTRDGHISGTLSTRFDVLVDRFKMVLDCFFAVWFIIGNMWIFGGHSSPSDAPKMYRLCVVFLTFSFIGYAMPFILCAAICCCLPCIISVLGFREHFSPTRGATMESISALPTYRFKSKKIGNVDDLDVSCAVLASGTAKERVISGEDAVCCICLAAYADNDELRELPCIHVFHVKCVDKWLRINACCPLCKTEVGNTSDCTSPSTGDSN >KJB35103 pep chromosome:Graimondii2_0_v6:6:34036593:34038724:-1 gene:B456_006G100000 transcript:KJB35103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSPEDNSKQTDQYPLLMERVESHSDQEHIINVTWHDELDDASSSSALDELSSRVNLARNEHRSSSSTQASGYQTSSSNGLNSRNSSFTRHRRSPLNSALWILFELLFIVSQITASVVVLSLSRNEKPEAPLFTWIVGYASGCVAKLPILYWRYRNRNGGIEQDSLHSSQGSSYGNPSESTPFTAIAIIQALDEDNDHITESTRDGHISGTLSTRCVCNLHLFVSHYFYMIKLDRMERKIICTSQTYIGTWVWIPYPILTSESE >KJB35098 pep chromosome:Graimondii2_0_v6:6:34035148:34038724:-1 gene:B456_006G100000 transcript:KJB35098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSPEDNSKQTDQYPLLMERVESHSDQEHIINVTWHDELDDASSSSALDELSSRVNLARNEHRSSSSTQASGYQTSSSNGLNSRNSSFTRHRRSPLNSALWILFELLFIVSQITASVVVLSLSRNEKPEAPLFTWIVGYASGCVAKLPILYWRYRNRNGGIEQDSLHSSQGSSYGNPSESTPFTAIAIIQALDEDNDHITESTRDGHISGTLSTRFDVLVDRFKMVLDCFFAVWFIIGNMWIFGGHSSPSDAPKMYSTFRQLEELPWNL >KJB35101 pep chromosome:Graimondii2_0_v6:6:34035148:34038896:-1 gene:B456_006G100000 transcript:KJB35101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSPEDNSKQTDQYPLLMERVESHSDQEHIINVTWHDELDDASSSSALDELSSRVNLARNEHRSSSSTQASGYQTSSSNGLNSRNSSFTRHRRSPLNSALWILFELLFIVSQITASVVVLSLSRNEKPEAPLFTWIVGYASGCVAKLPILYWRYRNRNGGIEQDSLHSSQGSSYGNPSESTPFTAIAIIQALDEDNDHITESTRDGHISGTLSTRFDVLVDRFKMVLDCFFAVWFIIGNMWIFGGHSSPSDAPKMYRLCVVFLTFSFIGYAMPFILCAAICCCLPCIISVLGFREHFSPTRGATMESISALPTYRFKSKKIGNVDDLDVSCAVLASGTAKERVISGEDAVCCICLAAYADNDELRELPCIHVFHVKCVDKWLRINACCPLCKTEVGNTSDCTSPSTGDSN >KJB35099 pep chromosome:Graimondii2_0_v6:6:34036302:34037419:-1 gene:B456_006G100000 transcript:KJB35099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSPEDNSKQTDQYPLLMERVESHSDQEHIINVTWHDELDDASSSSALDELSSRVNLARNEHRSSSSTQASGYQTSSSNGLNSRNSSFTRHRRSPLNSALWILFELLFIVSQITASVVVLSLSRNEKPEAPLFTWIVGYASGCVAKLPILYWRYRNRNGGIEQDSLHSSQGSSYGNPSESTPFTAIAIIQALDEDNDHITESTRDGHISGTLSTRFDVLVDRFKMVLDCFFAVWFIIGNMWIFGGHSSPSDAPKMYRYDEDL >KJB35102 pep chromosome:Graimondii2_0_v6:6:34035839:34038724:-1 gene:B456_006G100000 transcript:KJB35102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSPEDNSKQTDQYPLLMERVESHSDQEHIINVTWHDELDDASSSSALDELSSRVNLARNEHRSSSSTQASGYQTSSSNGLNSRNSSFTRHRRSPLNSALWILFELLFIVSQITASVVVLSLSRNEKPEAPLFTWIVGYASGCVAKLPILYWRYRNRNGGIEQDSLHSSQGSSYGNPSESTPFTAIAIIQALDEDNDHITESTRDGHISGTLSTRFDVLVDRFKMVLDCFFAVWFIIGNMWIFGGHSSPSDAPKMYRLCVVFLTFSFIGYAMPFILCAAICCCLPCIISVLGFREHFSPTRGATMESISALPTYRFKSKKIGNVDDLDVSCAVLASGTAKERVISGEDADRTR >KJB35096 pep chromosome:Graimondii2_0_v6:6:34035416:34037419:-1 gene:B456_006G100000 transcript:KJB35096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSPEDNSKQTDQYPLLMERVESHSDQEHIINVTWHDELDDASSSSALDELSSRVNLARNEHRSSSSTQASGYQTSSSNGLNSRNSSFTRHRRSPLNSALWILFELLFIVSQITASVVVLSLSRNEKPEAPLFTWIVGYASGCVAKLPILYWRYRNRNGGIEQDSLHSSQGSSYGNPSESTPFTAIAIIQALDEDNDHITESTRDGHISGTLSTRFDVLVDRFKMVLDCFFAVWFIIGNMWIFGGHSSPSDAPKMYRLCVVFLTFSFIGYAMPFILCAAICCCLPCIISVLGFREHFSPTRGATMESISALPTYRFKSKKIGNVDDLDVSCAVLASGTAKERVISGEDAVCCICLAAYADNDELRELPCIHVFHVKCVDKWLRINACCPLCKTEVGNTSDCTSPSTGDSN >KJB36586 pep chromosome:Graimondii2_0_v6:6:42587218:42593377:-1 gene:B456_006G166200 transcript:KJB36586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAETGNGNHHPGVLNRMLPAVLPVLLISIGYVDPGKWVATVEGGARFGFDLVAPMLLFNCAAILFQYLSARIGIVTGRDLAQICSDEYDKSTCIFLGVQAELSMVVLDLTMVLGVAHGINLLLGVDLSTGVFLAALDAVLFPVFATLLDHCRASFLCIYAAGFILLSYVFGVLISQPEISLSTTGMLTKLSGESAFALMSLLGASIMPHNFYLHSFIVQQHQGPPNISKSASCHSHLFAILCAFSGICLVNYVLMNSAANVFYSAGLVLVTFQDAMSLMEQVFRNGIVPLIFLVVMFLSNQITASAWNLGGQVVLHDFLRLDLPGWLHRATIRIIAMVPALYCVWTSGAEGIYQLLIFAQVMVALLLPSSVIPLFRIASSRPIMGVYKISPVVEFLALVTFMGMLGLKIVFVVEMMFGNSDWVDNLRLNAGISLSVPFIALLVTACASFSLMLWLVATPLKSASSPSEARALNWDLNRTLSEATIQRVENDLCETRYHGEESAHVLERSSTPEESIGSRSDLSFTNYDLNLPETIMESDREIHLTTVNEKSSNSIYPSPSACNTQESTSIIESAPTLVNEVVDDDIPSTKTQRIESMKTVEKTVSVEGDLSVEKDDDDGDSWEPEEPSKPPSGSVSSLTADGPPSFRSLSGKSDDGGNGTGSLSRLAGLGRAARRQLAAILDEFWGQLYDFHGQPTPEAKKKKLDVLLGVDSKPLKVDTSGKEYGEYFPLVGARGSDAINSSLYESPKQLKVQNSVDSPYGYSRGSVPLWSNHMQLLDAYVQNSSHNINSSERRYSSLRAAPSADAVEYQPATVHGYQLASYLNRIAKDKSSNCLNGQMESPASKSPGLAPTNYGDSLAFALGQKLQNGITPAQAAGFQNAAVSRNSPLQSERSYYDINSGTNGNSGLSVNSKKYHSLPDISGLSVPLRNMYMSEKSAQWDSSVGYGSAIGRTKFETSMYPHTGSRAGVPLAFNETSQLKGYRDPLSLQLSSSPDTGSLWSRQPFEQFGVAEKQQTAGSEALGSGLNSLTQDTASSVDLESKLLQSFRHCIVKLLKLDGSDWLFRQNDGADEDLIDRVAAREKFLYDAEAREMNQVVHMGEPQYLSSEKRYGSSPKSDRASFASFSISSVPNCGEGCIWKADLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGVIDLAFSKHRSLVPPCFCLQIPVEYQQRLSPLVSNGFLPPASKPGRGKITTASTLLDIIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSTKQVSTHDGTGSRKVPTTPMLASYNS >KJB36585 pep chromosome:Graimondii2_0_v6:6:42586836:42595011:-1 gene:B456_006G166200 transcript:KJB36585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAETGNGNHHPGVLNRMLPAVLPVLLISIGYVDPGKWVATVEGGARFGFDLVAPMLLFNCAAILFQYLSARIGIVTGRDLAQICSDEYDKSTCIFLGVQAELSMVVLDLTMVLGVAHGINLLLGVDLSTGVFLAALDAVLFPVFATLLDHCRASFLCIYAAGFILLSYVFGVLISQPEISLSTTGMLTKLSGESAFALMSLLGASIMPHNFYLHSFIVQHQGPPNISKSASCHSHLFAILCAFSGICLVNYVLMNSAANVFYSAGLVLVTFQDAMSLMEQVFRNGIVPLIFLVVMFLSNQITASAWNLGGQVVLHDFLRLDLPGWLHRATIRIIAMVPALYCVWTSGAEGIYQLLIFAQVMVALLLPSSVIPLFRIASSRPIMGVYKISPVVEFLALVTFMGMLGLKIVFVVEMMFGNSDWVDNLRLNAGISLSVPFIALLVTACASFSLMLWLVATPLKSASSPSEARALNWDLNRTLSEATIQRVENDLCETRYHGEESAHVLERSSTPEESIGSRSDLSFTNYDLNLPETIMESDREIHLTTVNEKSSNSIYPSPSACNTQESTSIIESAPTLVNEVVDDDIPSTKTQRIESMKTVEKTVSVEGDLSVEKDDDDGDSWEPEEPSKPPSGSVSSLTADGPPSFRSLSGKSDDGGNGTGSLSRLAGLGRAARRQLAAILDEFWGQLYDFHGQPTPEAKKKKLDVLLGVDSKPLKVDTSGKEYGEYFPLVGARGSDAINSSLYESPKQLKVQNSVDSPYGYSRGSVPLWSNHMQLLDAYVQNSSHNINSSERRYSSLRAAPSADAVEYQPATVHGYQLASYLNRIAKDKSSNCLNGQMESPASKSPGLAPTNYGDSLAFALGQKLQNGITPAQAAGFQNAAVSRNSPLQSERSYYDINSGTNGNSGLSVNSKKYHSLPDISGLSVPLRNMYMSEKSAQWDSSVGYGSAIGRTKFETSMYPHTGSRAGVPLAFNETSQLKGYRDPLSLQLSSSPDTGSLWSRQPFEQFGVAEKQQTAGSEALGSGLNSLTQDTASSVDLESKLLQSFRHCIVKLLKLDGSDWLFRQNDGADEDLIDRVAAREKFLYDAEAREMNQVVHMGEPQYLSSEKRYGSSPKSDRASFASFSISSVPNCGEGCIWKADLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGVIDLAFSKHRSLVPPCFCLQIPVEYQQRLSPLVSNGFLPPASKPGRGKITTASTLLDIIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSTKQVSTHDGTGSRKVPTTPMLASYNS >KJB35094 pep chromosome:Graimondii2_0_v6:6:34027579:34032302:-1 gene:B456_006G099900 transcript:KJB35094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISDAEEVMENPRERRPALGRKRARFSLKHNSSQPTVSLEPSLDIDKLNDPEEFFMAFDRIEKAKKEIQKQTGGVPSNLDENAQSMDARPRRPGIARRSVKYKHRYSTSMSPVESFDEERCSPLCGSQQEKSDPVLELAEKLAGSVAKAENNINQLLDHLLSSNYDGDEAVSLLKERLQIKPVDLDKICLPDLQDIRRIDLKASKENLTKPRNSISDLMKGISKRTSNRLAECSVHCSGSPTPPSSLASVSLLKKQSSRFNVLNDQFSNDDIDRSPVRNASPMENTSKQSDQVGIEKEPSVSHCTDRRSPKQQSESFVHHLASPTPPRNPLASMSLQNKYVLQLDPLSHPFPTDNIEKSPGGSASAVESIKKQSSQVDTEKERNVSQLLRSPILESNQTTTANANTELDGRDFAGLFDKFVNDNDRRLDCGISAVSSGSQAGLENNGLSRPEVDTDSQTRKPTEFGGRVEDIPLEAVVSAHVQLNVEGTTIVNSHTIQIESDQANPAMDEDHGMDGSSRAVESGQELHEQQNKKGKTKRSAHRVLKREEVSRRQSLAGAGTTFDTEGRRRSTRIRSRPLEFWKGERFLYGRVHSSLSTVIGIKYESPEKADGENPTLKVKSFVSDEYKDLVELAARF >KJB35091 pep chromosome:Graimondii2_0_v6:6:34027224:34032441:-1 gene:B456_006G099900 transcript:KJB35091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISDAEEVMENPRERRPALGRKRARFSLKHNSSQPTVSLEPSLDIDKLNDPEEFFMAFDRIEKAKKEIQKQTGGVPSNLDENAQSMDARPRRPGIARRSVKYKHRYSTSMSPVESFDEERCSPLCGSQQEKSDPVLELAEKLAGSVAKAENNINQLLDHLLSSNYDGDEAVSLLKERLQIKPVDLDKICLPDLQDIRRIDLKASKENLTKPRNSISDLMKGISKRTSNRLAECSVHCSGSPTPPSSLASVSLLKKQSSRFNVLNDQFSNDDIDRSPVRNASPMENTSKQSDQVGIEKEPSVSHCTDRRSPKQQSESFVHHLASPTPPRNPLASMSLQNKYVLQLDPLSHPFPTDNIEKSPGGSASAVESIKKQSSQVDTEKERNVSQLLRSPILESNQTTTANANTELDGRDFAGLFDKFVNDNDRRLDCGISAVSSGSQAGLENNGLSRPEVDTDSQTRKPTEFGGRVEDIPLEAVVSAHVQLNVEGTTIVNSHTIQIESDQANPAMDEDHGMDGSSRAVESGQELHENKKGKTKRSAHRVLKREEVSRRQSLAGAGTTFDTEGRRRSTRIRSRPLEFWKGERFLYGRVHSSLSTVIGIKYESPEKADGENPTLKVKSFVSDEYKDLVELAARF >KJB35092 pep chromosome:Graimondii2_0_v6:6:34027600:34032302:-1 gene:B456_006G099900 transcript:KJB35092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISDAEEVMENPRERRPALGRKRARFSLKHNSSQPTVSLEPSLDIDKLNDPEEFFMAFDRIEKAKKEIQKQTGGVPSNLDENAQSMDARPRRPGIARRSVKYKHRYSTSMSPVESFDEERCSPLCGSQQEKSDPVLELAEKLAGSVAKAENNINQLLDHLLSSNYDGDEAVSLLKERLQIKPVDLDKICLPDLQDIRRIDLKASKENLTKPRNSISDLMKGISKRTSNRLAECSVHCSGSPTPPSSLASVSLLKKQSSRFNVLNDQFSNDDIDRSPVRNASPMENTSKQSDQVGIEKEPSVSHCTDRRSPKQQSESFVHHLASPTPPRNPLASMSLQNKYVLQLDPLSHPFPTDNIEKSPGGSASAVESIKKQSSQVDTEKERNVSQLLRSPILESNQTTTANANTELDGRDFAGLFDKFVNDNDRRLDCGISAVSSGSQAGLENNGLSRPEVDTDSQTRKPTEFGGRVEDIPLEAVVSAHVQLNVEGTTIVNSHTIQIESDQANPAMDEDHGMDGSSRAVESGQELHEQQNKKGKTKRSAHRVLKREEVSRRQSLAGAGTTFDTEGRRRSTRIRSRPLEFWKGERFLYGRVHSSLSTVIGIKYESPEKADGENPTLKVKSFVSDEYKDLVELAARF >KJB35095 pep chromosome:Graimondii2_0_v6:6:34028684:34032227:-1 gene:B456_006G099900 transcript:KJB35095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISDAEEVMENPRERRPALGRKRARFSLKHNSSQPTVSLEPSLDIDKLNDPEEFFMAFDRIEKAKKEIQKQTGGVPSNLDENAQSMDARPRRPGIARRSVKYKHRYSTSMSPVESFDEERCSPLCGSQQEKSDPVLELAEKLAGSVAKAENNINQLLDHLLSSNYDGDEAVSLLKERLQIKPVDLDKICLPDLQDIRRIDLKASKENLTKPRNSISDLMKGISKRTSNRLAECSVHCSGSPTPPSSLASVSLLKKQSSRFNVLNDQFSNDDIDRSPVRNASPMENTSKQSDQVGIEKEPSVSHCTDRRSPKQQSESFVHHLASPTPPRNPLASMSLQNKYVLQLDPLSHPFPTDNIEKSPGGSASAVESIKKQSSQVDTEKERNVSQLLRSPILESNQTTTANANTELDGRDFAGLFDKFVNDNDRRLDCGISAVSSGSQAGLENNGLSRPEVDTDSQTRKPTEFGGRVEDIPLEAVVSAHVQLNVEGTTIVNSHTIQIESDQANPAMDEDHGMDGSSRAVESGQELHEQQNKKGKTKRSAHRVLKREEVSRRQSLAGVSNTKTSTCIFGVFL >KJB35093 pep chromosome:Graimondii2_0_v6:6:34027390:34032302:-1 gene:B456_006G099900 transcript:KJB35093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISDAEEVMENPRERRPALGRKRARFSLKHNSSQPTVSLEPSLDIDKLNDPEEFFMAFDRIEKAKKEIQKQTGGVPSNLDENAQSMDARPRRPGIARRSVKYKHRYSTSMSPVESFDEERCSPLCGSQQEKSDPVLELAEKLAGSVAKAENNINQLLDHLLSSNYDGDEAVSLLKERLQIKPVDLDKICLPDLQDIRRIDLKASKENLTKPRNSISDLMKGISKRTSNRLAECSVHCSGSPTPPSSLASVSLLKKQSSRFNVLNDQFSNDDIDRSPVRNASPMENTSKQSDQVGIEKEPSVSHCTDRRSPKQQSESFVHHLASPTPPRNPLASMSLQNKYVLQLDPLSHPFPTDNIEKSPGGSASAVESIKKQSSQVDTEKERNVSQLLRSPILESNQTTTANANTELDGRDFAGLFDKFVNDNDRRLDCGISAVSSGSQAGLENNGLSRPEVDTDSQTRKPTEFGGRVEDIPLEAVVSAHVQLNVEGTTIVNSHTIQIESDQANPAMDEDHGMDGSSRAVESGQELHEQQNKKGKTKRSAHRVLKREEVSRRQSLAGAGTTFDTEGRRRSTRIRSRPLEFWKGERFLYGRVHSSLSTVIGIKYESPEKADGENPTLKVKSFVSDEYKDLVELAARF >KJB36835 pep chromosome:Graimondii2_0_v6:6:43635224:43636483:1 gene:B456_006G178800 transcript:KJB36835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLDDGEFWLPSQFLTDDDFFADGVKATNNTNNLKDGFGLELDGSKSLFPFEIPGGFGSLGLSPDLGSPVESVLGSTETESDEDYLAGLTRQMAHSTLEDDSRRNDRSFAAENTKGWALSSSPQSTLCALPSGCGCKQGSSRASPNCQSRVSSPPGTWDLLYAAAGEVERLRMNEEGYGGFSNRGLLGPPARKPSPNLDVSGFYTQQSLSHNKLRTTHFQQLKQQQLMKQQNALAWGGLKQQQENHVVQNRGRYASRTLGLAPSAWPPLQQQQPQPANGSGMRAVFLGNPNGKRECAGTGVFLPRRVGSFSEPRKKSGWYPFVNLLLNKSLVHSKFHRSDTCNLILQLVLLFWFQLEWLRP >KJB36836 pep chromosome:Graimondii2_0_v6:6:43634952:43637251:1 gene:B456_006G178800 transcript:KJB36836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLDDGEFWLPSQFLTDDDFFADGVKATNNTNNLKDGFGLELDGSKSLFPFEIPGGFGSLGLSPDLGSPVESVLGSTETESDEDYLAGLTRQMAHSTLEDDSRRNDRSFAAENTKGWALSSSPQSTLCALPSGCGCKQGSSRASPNCQSRVSSPPGTWDLLYAAAGEVERLRMNEEGYGGFSNRGLLGPPARKPSPNLDVSGFYTQQSLSHNKLRTTHFQQLKQQQLMKQQNALAWGGLKQQQENHVVQNRGRYASRTLGLAPSAWPPLQQQQPQPANGSGMRAVFLGNPNGKRECAGTGVFLPRRVGSFSEPRKKSARVAQALNLNLDEIGAQPQLQHPRFNPSFTSDAAALRLRSGGNFVGNQKQRSLRPQQEMSHEVRLPQEWTY >KJB36837 pep chromosome:Graimondii2_0_v6:6:43634952:43637251:1 gene:B456_006G178800 transcript:KJB36837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLDDGEFWLPSQFLTDDDFFADGVKATNNTNNLKDGFGLELDGSKSLFPFEIPGGFGSLGLSPDLGSPVESVLGSTETESDEDYLAGLTRQMAHSTLEDDSRRNDRSFAAENTKSTLCALPSGCGCKQGSSRASPNCQSRVSSPPGTWDLLYAAAGEVERLRMNEEGYGGFSNRGLLGPPARKPSPNLDVSGFYTQQSLSHNKLRTTHFQQLKQQQLMKQQNALAWGGLKQQQENHVVQNRGRYASRTLGLAPSAWPPLQQQQPQPANGSGMRAVFLGNPNGKRECAGTGVFLPRRVGSFSEPRKKSACPTVLVPARVAQALNLNLDEIGAQPQLQHPRFNPSFTSDAAALRLRSGGNFVGNQKQRSLRPQQEMSHEVRLPQEWTY >KJB36833 pep chromosome:Graimondii2_0_v6:6:43634853:43637522:1 gene:B456_006G178800 transcript:KJB36833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLDDGEFWLPSQFLTDDDFFADGVKATNNTNNLKDGFGLELDGSKSLFPFEIPGGFGSLGLSPDLGSPVESVLGSTETESDEDYLAGLTRQMAHSTLEDDSRRNDRSFAAENTKGWALSSSPQSTLCALPSGCGCKQGSSRASPNCQSRVSSPPGTWDLLYAAAGEVERLRMNEEGYGGFSNRGLLGPPARKPSPNLDVSGFYTQQSLSHNKLRTTHFQQLKQQQLMKQQNALAWGGLKQQQENHVVQNRGRYASRTLGLAPSAWPPLQQQQPQPANGSGMRAVFLGNPNGKRECAGTGVFLPRRVGSFSEPRKKSACPTVLVPARVAQALNLNLDEIGAQPQLQHPRFNPSFTSDAAALRLRSGGNFVGNQKQRSLRPQQEMSHEVRLPQEWTY >KJB36834 pep chromosome:Graimondii2_0_v6:6:43635224:43636572:1 gene:B456_006G178800 transcript:KJB36834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLDDGEFWLPSQFLTDDDFFADGVKATNNTNNLKDGFGLELDGSKSLFPFEIPGGFGSLGLSPDLGSPVESVLGSTETESDEDYLAGLTRQMAHSTLEDDSRRNDRSFAAENTKGWALSSSPQSTLCALPSGCGCKQGSSRASPNCQSRVSSPPGTWDLLYAAAGEVERLRMNEEGYGGFSNRGLLGPPARKPSPNLDVSGFYTQQSLSHNKLRTTHFQQLKQQQLMKQQNALAWGGLKQQQENHVVQNRGRYASRTLGLAPSAWPPLQQQQPQPANGSGMRAVFLGNPNGKRECAGTGVFLPRRVGSFSEPRKKSACPTVLVPARVAQALNLNLDEIGAQPQLQHPRFNPSFTSGSNST >KJB38458 pep chromosome:Graimondii2_0_v6:6:49787664:49789564:-1 gene:B456_006G255500 transcript:KJB38458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFKSVFADDIQSQSSHLPTDPTPDLGSSATWSFGGLIKTLADKSESVMESYRKEFEEFGSGLKKETEIIRSVASRAVNDSLEIGASVAQEKLESVGQAIDDIGSSVWKSTAQIISHGKDTFLSPSDDDNSDSESSKRLSTSNNSVDGKRYSRFEMQIRALQSDRSTYCMEPEDLEDFENWKLGFNLEEKKGEIEGLLSEISVIRNIFKDVDPDEVESKRYWTNYFYKLNKLMKAEEARAKLVKRAISGEEEEDLSWDIDEDDEEGSGNVNSVKEGSSEDVEKCLKIDEEKAGSCKDSDVSVVSSISTPEEEGWYAIEEIQSIDDSKGEDTGSSNNKVDLRKRLTVAEEEEDLSWDIDDDDEEEEDQPVKA >KJB38459 pep chromosome:Graimondii2_0_v6:6:49787260:49789614:-1 gene:B456_006G255500 transcript:KJB38459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFKSVFADDIQSQSSHLPTDPTPDLGSSATWSFGGLIKTLADKSESVMESYRKEFEEFGSGLKKETEIIRSVASRAVNDSLEIGASVAQEKLESVGQAIDDIGSSVWKSTAQIISHGKDTFLSPSDDDNSDSESSKRLSTSNNSVDGKRYSRFEMQIRALQSDRSTYCMEPEDLEDFENWKLGFNLEEKKGEIEGLLSEISVIRNIFKDVDPDEVESKRYWTNYFYKLNKLMKAEEARAKLVKRAISGEEEEDLSWDIDEDDEEGSGNVNSVKEGSSEDVEKCLKIDEEKAGSCKDSDVSVVSSISTPEEEGWYAIEEIQSIDDSKGEDTGSSNNKVDLRKRLTVAEEEEDLSWDIDDDDEEEEDQPVKA >KJB38460 pep chromosome:Graimondii2_0_v6:6:49787253:49789564:-1 gene:B456_006G255500 transcript:KJB38460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFKSVFADDIQSQSSHLPTDPTPDLGSSATWSFGGLIKTLADKSESVMESYRKEFEEFGSGLKKETEIIRSVASRAVNDSLEIGASVAQEKLESVGQAIDDIGSSVWKSTAQIISHGKDTFLSPSDDDNSDSESSKRLSTSNNSVDGKRYSRFEMQIRALQSDRSTYCMEPEDLEDFENWKLGFNLEEKKGEIEGLLSEISVIRNIFKDVDPDEVESKRYWTNYFYKLNKLMKAEEARAKLVKRAISGEEEEDLSWDIDEDDEEGSGNVNSVKEGSSEDVEKCLKIDEEKAGSCKDSDVSVVSSISTPEEEGWYAIEEIQSIDDSKGEDTGSSNNKVDLRKRLTVAEEEEDLSWDIDDDDEEEEDQPVKA >KJB34051 pep chromosome:Graimondii2_0_v6:6:13993972:14008088:1 gene:B456_006G045100 transcript:KJB34051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVVLERIENKINRQVTFSKRRNGLLKKSYELSVLCDAEVALIIFSSRGKLSEFASNISVPTTLEKYWQHRYSSPVDIPLDETQTQLLLERMEELSKKERELEVENKQLKSQLELEHCFQSAQGLGDCSIEMGNEYNMIPSQANHAQQQSSTHTGYHQFIPQERVTEDRTVNRSGANKCTAGWL >KJB34049 pep chromosome:Graimondii2_0_v6:6:13993775:14008347:1 gene:B456_006G045100 transcript:KJB34049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVVLERIENKINRQVTFSKRRNGLLKKSYELSVLCDAEVALIIFSSRGKLSEFASNISVPTTLEKYWQHRYSSPVDIPLDETQTLYQEVLRLKAKYESLQRSQRHLLGEELESLTVKELYKIEKQLDRALSQARQKKTQLLLERMEELSKKERELEVENKQLKSQLELEHCFQSAQGLGDCSIEMGNEYNMIPSQANHAQQQSSTHTGYHQFIPQERVTEDRTVNRSGANKCTAGWL >KJB34050 pep chromosome:Graimondii2_0_v6:6:13993972:13997691:1 gene:B456_006G045100 transcript:KJB34050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVVLERIENKINRQVTFSKRRNGLLKKSYELSVLCDAEVALIIFSSRGKLSEFASNISVPTTLEKYWQHRYSSPVDIPLDETQTLYQEVLRLKAKYESLQRSQRHLLGEELESLTVKELYKIEKQLDRALSQARQKKVVD >KJB34986 pep chromosome:Graimondii2_0_v6:6:33184766:33190118:-1 gene:B456_006G094500 transcript:KJB34986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLQTNRMPGNKYSSSNLPGTPRTRLERLLRERELKRFSRDFNEGEGELSSAQDSFSPESSTSWLNDEESLQPLSGARTSHDGGARQDFQASKQRLLVVANRLPVSAVKHGDSWQLEMSAGGLVSALLGVTEFXXXXXXNCIPVFIEEEIAHQYYNGYCNNTLWPLFHYLGLPQEDHLATTRNFKSQFEAYTKANQCFADVVSKHYEEGDAVWCHDYHLMFLPKYLKERNSDMKVGWFLHTPFPSSEIHRMLPSRSELLKSVLSADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAVFPVGIDPDRFIQALELPQVKDIVKELKQRFAGYKVLLGVDRLDMIKGIPQKILAFEKFLEENPDWRHKVVLLQIAVPTRTHVLKYKQLTSQVHEIVGRINGRFGSFSSVPIHHLDCTLDFHTLCAIYAITDVALVTSLRDGMNLVSYEFVACQAANKGVLILSEFAGAAQSLGAGAILVNPWNVAEVASSIGYALNMSADEREKRHHHNFLHVTTHTSQGWAETFVSELNETIVEAQLRSRQIPPPLPIEAAVDRYACSNNRLLILGFDATLTEPLDALWRKGNQIKELKHKIRSDLREPLRKLCDDPKTTVLVLSGSNRNALDNNFGDFNLWLAAENGIFLRATMGEWMMTMPENTSMDWVDSVKHVFEYFTQRTPRSHFELRETSLIWDYRYSDAEFGRLQARDLLMHLWTGPISNLSLDVVQGCRNRSNPRRNSS >KJB34987 pep chromosome:Graimondii2_0_v6:6:33184768:33190118:-1 gene:B456_006G094500 transcript:KJB34987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLQTNRMPGNKYSSSNLPGTPRTRLERLLRERELKRFSRDFNEGEGELSSAQDSFSPESSTSWLNDEESLQPLSGARTSHDGGARQDFQASKQRLLVVANRLPVSAVKHGDSWQLEMSAGGLVSALLGVTEFXXXXXXNCIPVFIEEEIAHQYYNGYCNNTLWPLFHYLGLPQEDHLATTRNFKSQFEAYTKANQCFADVVSKHYEEGDAVWCHDYHLMFLPKYLKERNSDMKVGWFLHTPFPSSEIHRMLPSRSELLKSVLSADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAVFPVGIDPDRFIQALELPQVKDIVKELKQRFAGYKVLLGVDRLDMIKGIPQKILAFEKFLEENPDWRHKVVLLQIAVPTRTHVLKYKQLTSQVHEIVGRINGRFGSFSSVPIHHLDCTLDFHTLCAIYAITDVALVTSLRDGMNLVSYEFVACQAANKGVLILSEFAGAAQSLGAGAILVNPWNVAEVASSIGYALNMSADEREKRHHHNFLHVTTHTSQGWAETFVSELNETIVEAQLRSRQIPPPLPIEAAVDRYACSNNRLLILGFDATLTEPLDALWRKGNQIKELKHKIRSDLREPLRKLCDDPKTTVLVLSGSNRNALDNNFGDFNLWLAAENGIFLRATMGEWMMTMPENTSMDWVDSVKHVFEYFTQRTPRSHFELRETSLIWDYRYSDAEFGRLQARDLLMHLWTGPISNLSLDVVQGSQSIEVRSVGVTKGAAIDRILGEIVHNKGMKEPIDYVLCMGHFLAKDEDIYSYFELMLPSETPTSSSSQVPTPFGTPTAGSSAAPLKKQHSLSPGKKSSFIGESMLDPMMGADRMSLLEGSSLINLQAENYFSCSVARKRSKSRYLLRSSDEVLELLRGMANHC >KJB36016 pep chromosome:Graimondii2_0_v6:6:39242996:39246296:1 gene:B456_006G136400 transcript:KJB36016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein N-terminal glutamine amidohydrolase [Source:Projected from Arabidopsis thaliana (AT2G41760) UniProtKB/Swiss-Prot;Acc:O22944] MTTSELRLQPNNLDASLFNHTPFYCEENVYFLCKKLCSSEIADAQGSDLFVVFISNDNKQIPLWHQKASHRADGVILWDYHVICVQRKKDGGTHLVWDLDSSLPFPCPLATYVSETIRPSFQLFSEFQRRHMKDSEGNFTAQPPPYEPIVAEDGSVHNLNEYMEIRAADVLTDLPPELTSAVFGQKHGVVIGEAHLEELFSQIP >KJB36015 pep chromosome:Graimondii2_0_v6:6:39242996:39246296:1 gene:B456_006G136400 transcript:KJB36015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein N-terminal glutamine amidohydrolase [Source:Projected from Arabidopsis thaliana (AT2G41760) UniProtKB/Swiss-Prot;Acc:O22944] MTTSELRLQPNNLDASLFNHTPFYCEENVYFLCKKLCSSEIADAQGSDLFVVFISNDNKQIPLWHQKASHRADGVILWDYHVICVQRKKDGGTHLVWDLDSSLPFPCPLATYVSETIRPSFQLFSEFQRHMKDSEGNFTAQPPPYEPIVAEDGSVHNLNEYMEIRAADVLTDLPPELTSAVFGQKHGVVIGEAHLEELFSQIP >KJB36017 pep chromosome:Graimondii2_0_v6:6:39242982:39246398:1 gene:B456_006G136400 transcript:KJB36017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein N-terminal glutamine amidohydrolase [Source:Projected from Arabidopsis thaliana (AT2G41760) UniProtKB/Swiss-Prot;Acc:O22944] MTTSELRLQPNNLDASLFNHTPFYCEENVYFLCKKLCSSEIADAQGSDLFVVFISNDNKQIPLWHQKASHRADGVILWDYHVICVQRKKDGGTHLVWDLDSSLPFPCPLATYVSETIRPSFQLFSEFQRFFRVVHAPLFLRHFASDRRHMKDSEGNFTAQPPPYEPIVAEDGSVHNLNEYMEIRAADVLTDLPPELTSAVFGQKHGVVIGEAHLEELFSQIP >KJB36014 pep chromosome:Graimondii2_0_v6:6:39242996:39247001:1 gene:B456_006G136400 transcript:KJB36014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein N-terminal glutamine amidohydrolase [Source:Projected from Arabidopsis thaliana (AT2G41760) UniProtKB/Swiss-Prot;Acc:O22944] MTTSELRLQPNNLDASLFNHTPFYCEENVYFLCKKLCSSEIADAQGSDLFVVFISNDNKQIPLWHQKASHRADGVILWDYHVICVQRKKDGGTHLVWDLDSSLPFPCPLATYVSETIRPSFQLFSEFQRFFRVVHAPLFLRHFASDRRHMKDSEGNFTAQPPPYEPIVAEDGSVHNLNEYMEIRAADVLTDLPPELTSAVFGQKHGVVIGEAHLEELFSQIP >KJB35150 pep chromosome:Graimondii2_0_v6:6:34320109:34324421:-1 gene:B456_006G102900 transcript:KJB35150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDETGDRRGMSDDYSSEDEGTEDYRRGGYHAVRIGDTFRNGCYVVQSKLGWGHFSTVWLAWDTLRSRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDRDDKKCVVKLLDHFKHSGPNGQHMCMVFEYLGDNLLTLIQYSDYRGVPLHMVKEICRHILVGLDYLHSELSIIHTDLKPENVLLLSMIDPSRDPTKSGASLILPTGKNKVVSETVVSKEIKSSNGDLTRNQKKKIRKKAKKAAQGCVGKEVFEENERDSNINDMEDTNANAKPNENLGEERAHRSLVKDETKSDGINNGNQGKERRRRGSRATRKKLLAEVDLKCKLVDFGNACWTYKKFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGENYDRDEDHLALMMELLGIMPRKIWRFEAYPQVTFLASR >KJB35145 pep chromosome:Graimondii2_0_v6:6:34321734:34323332:-1 gene:B456_006G102900 transcript:KJB35145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIKILKQIAEGDRDDKKCVVKLLDHFKHSGPNGQHMCMVFEYLGDNLLTLIQYSDYRGVPLHMVKEICRHILVGLDYLHSELSIIHTDLKPENVLLLSMIDPSRDPTKSGASLILPTGKNKVVSETVVSKEIKSSNGDLTRNQKKKIRKKAKKAAQGCVGKEVFEENERDSNINDMEDTNANAKPNENLGEERAHRSLVKDETKSDGINNGNQGKERRRRGSRATRKKLLAEVDLKCKLVDFGNACWTYKKFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGENYDRDEW >KJB35147 pep chromosome:Graimondii2_0_v6:6:34320102:34323427:-1 gene:B456_006G102900 transcript:KJB35147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIKILKQIAEGDRDDKKCVVKLLDHFKHSGPNGQHMCMVFEYLGDNLLTLIQYSDYRGVPLHMVKEICRHILVGLDYLHSELSIIHTDLKPENVLLLSMIDPSRDPTKSGASLILPTGKNKVVSETVVSKEIKSSNGDLTRNQKKKIRKKAKKAAQGCVGKEVFEENERDSNINDMEDTNANAKPNENLGEERAHRSLVKDETKSDGINNGNQGKERRRRGSRATRKKLLAEVDLKCKLVDFGNACWTYKKFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGENYDRDEDHLALMMELLGIMPRKIALGGHYSRDFFNRYGDLRHIRRLRFWPLDKVLMEKYNFSEQDAKDMADFLIPILDFTPEKRPTAAQCLNHPWISSGPQQLQLSTTAPKQYGDDGTSKRERKEKDDREAMEAGVGNIEIDGGSSKPLKETLPKLN >KJB35144 pep chromosome:Graimondii2_0_v6:6:34321143:34323332:-1 gene:B456_006G102900 transcript:KJB35144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIKILKQIAEGDRDDKKCVVKLLDHFKHSGPNGQHMCMVFEYLGDNLLTLIQYSDYRGVPLHMVKEICRHILVGLDYLHSELSIIHTDLKPENVLLLSMIDPSRDPTKSGASLILPTGKNKVVSETVVSKEIKSSNGDLTRNQKKKIRKKAKKAAQGCVGKEVFEENERDSNINDMEDTNANAKPNENLGEERAHRSLVKDETKSDGINNGNQGKERRRRGSRATRKKLLAEVDLKCKLVDFGNACWTYKKFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGENYDRDEDHLALMMELLGIMPRKGLICSFFE >KJB35146 pep chromosome:Graimondii2_0_v6:6:34321734:34324277:-1 gene:B456_006G102900 transcript:KJB35146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDETGDRRGMSDDYSSEDEGTEDYRRGGYHAVRIGDTFRNGCYVVQSKLGWGHFSTVWLAWDTLRSRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDRDDKKCVVKLLDHFKHSGPNGQHMCMVFEYLGDNLLTLIQYSDYRGVPLHMVKEICRHILVGLDYLHSELSIIHTDLKPENVLLLSMIDPSRDPTKSGASLILPTGKNKVVSETVVSKEIKSSNGDLTRNQKKKIRKKAKKAAQGCVGKEVFEENERDSNINDMEDTNANAKPNENLGEERAHRSLVKDETKSDGINNGNQGKERRRRGSRATRKKLLAEVDLKCKLVDFGNACWTYKKFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGENYDRDEW >KJB35151 pep chromosome:Graimondii2_0_v6:6:34320106:34324490:-1 gene:B456_006G102900 transcript:KJB35151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIKILKQIAEGDRDDKKCVVKLLDHFKHSGPNGQHMCMVFEYLGDNLLTLIQYSDYRGVPLHMVKEICRHILVGLDYLHSELSIIHTDLKPENVLLLSMIDPSRDPTKSGASLILPTGKNKVVSETVVSKEIKSSNGDLTRNQKKKIRKKAKKAAQGCVGKEVFEENERDSNINDMEDTNANAKPNENLGEERAHRSLVKDETKSDGINNGNQGKERRRRGSRATRKKLLAEVDLKCKLVDFGNACWTYKKFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGENYDRDEDHLALMMELLGIMPRKIALGGHYSRDFFNRYGDLRHIRRLRFWPLDKVLMEKYNFSEQDAKDMADFLIPILDFTPEKRPTAAQCLNHPWISSGPQQLQLSTTAPKQYGDDGTSKRERKEKDDREAMEAGVGNIEIDGGSSKPLKETLPKLN >KJB35148 pep chromosome:Graimondii2_0_v6:6:34320102:34324490:-1 gene:B456_006G102900 transcript:KJB35148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDETGDRRGMSDDYSSEDEGTEDYRRGGYHAVRIGDTFRNGCYVVQSKLGWGHFSTVWLAWDTLRSRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDRDDKKCVVKLLDHFKHSGPNGQHMCMVFEYLGDNLLTLIQYSDYRGVPLHMVKEICRHILVGLDYLHSELSIIHTDLKPENVLLLSMIDPSRDPTKSGASLILPTGKNKVVSETVVSKEIKSSNGDLTRNQKKKIRKKAKKAAQGCVGKEVFEENERDSNINDMEDTNANAKPNENLGEERAHRSLVKDETKSDGINNGNQGKERRRRGSRATRKKLLAEVDLKCKLVDFGNACWTYKKFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGENYDRDEDHLALMMELLGIMPRKIALGGHYSRDFFNRYGDLRHIRRLRFWPLDKVLMEKYNFSEQDAKDMADFLIPILDFTPEKRPTAAQCLNHPWISSGPQQLQLSTTAPKQYGDDGTSKRERKEKDDREAMEAGVGNIEIDGGSSKPLKETLPKLN >KJB35152 pep chromosome:Graimondii2_0_v6:6:34322225:34324421:-1 gene:B456_006G102900 transcript:KJB35152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDETGDRRGMSDDYSSEDEGTEDYRRGGYHAVRIGDTFRNGCYVVQSKLGWGHFSTVWLAWDTLRSRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDRDDKKCVVKLLDHFKHSGPNGQHMCMVFEYLGDNLLTLIQYSDYRGVPLHMVKEICRHILVGLDYLHSELSIIHTDLKPENVLLLSMIDPSRDPTKSGASLILPTGKNKVVSETVVSKEIKSSNGDLTRNQKKKIRKKAKKAAQGCVGKEVFEENERDSNINDMEDTNANAKPNENLGEERAHRSLVKDETKSDGINNGNQGKERRRRGSRATRKKLLAEVDLKCKLVDFGNACWTYKKFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGENYDRDEVCRNPSDYIIDVPLCHVMIMHLN >KJB35149 pep chromosome:Graimondii2_0_v6:6:34321734:34324277:-1 gene:B456_006G102900 transcript:KJB35149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDETGDRRGMSDDYSSEDEGTEDYRRGGYHAVRIGDTFRNGCYVVQSKLGWGHFSTVWLAWDTLRSRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDRDDKKCVVKLLDHFKHSGPNGQHMCMVFEYLGDNLLTLIQYSDYRGVPLHMVKEICRHILVGLDYLHSELSIIHTDLKPENVLLLSMIDPSRDPTKSGASLILPTGKNKVVSETVVSKEIKSSNGDLTRNQKKKIRKKAKKAAQGCVGKEVFEENERDSNINDMEDTNANAKPNENLGEERAHRSLVKDETKSDGINNGNQGKERRRRGSRATRKKLLAEVDLKCKLVDFGNACWTYKKFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGENYDRDEW >KJB34424 pep chromosome:Graimondii2_0_v6:6:24451946:24455373:1 gene:B456_006G065200 transcript:KJB34424 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25370) UniProtKB/Swiss-Prot;Acc:Q93WL3] MATHRISSLPIAISTSLCLPSKRRDYRLALPFTSLYGTRLLLKPFNLSCFVTKHHSSTTATVSLSLPTAKPERATSEKSPIWSARSIKSFAMAELEARKLKYPNTGTEALLMGILVEGTSHAAKFLRANGITLFKVREETVNLLGKSDMYFFSPEHPPLTEQAQKVLDWAVDEKLKSGESGEITTTYLLLGIWSEKESAGHKILATFGFNDEKAKELAKFVGPLSSISAIASRFSH >KJB34423 pep chromosome:Graimondii2_0_v6:6:24451946:24453691:1 gene:B456_006G065200 transcript:KJB34423 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25370) UniProtKB/Swiss-Prot;Acc:Q93WL3] MATHRISSLPIAISTSLCLPSKRRDYRLALPFTSLYGTRLLLKPFNLSCFVTKHHSSTTATVSLSLPTAKPERATSEKSPIWSARSIKSFAMAELEARKLKYPNTGTEALLMGILVEGTSHAAKFLRANGITLFKVREETVNLLGKSDMYFFSPEHPPLTEQAQKVLDWAVDEKLKSGKRKFF >KJB34429 pep chromosome:Graimondii2_0_v6:6:24452010:24455373:1 gene:B456_006G065200 transcript:KJB34429 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25370) UniProtKB/Swiss-Prot;Acc:Q93WL3] MAELEARKLKYPNTGTEALLMGILVEGTSHAAKFLRANGITLFKVREETVNLLGKSDMYFFSPEHPPLTEQAQKVLDWAVDEKLKSGESGEITTTYLLLGIWSEKESAGHKILATFGFNDEKAKELAKFLNNDIVLNYK >KJB34428 pep chromosome:Graimondii2_0_v6:6:24451949:24455373:1 gene:B456_006G065200 transcript:KJB34428 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25370) UniProtKB/Swiss-Prot;Acc:Q93WL3] MAELEARKLKYPNTGTEALLMGILVEGTSHAAKFLRANGITLFKVREETVNLLGKSDMYFFSPEHPPLTEQAQKVLDWAVDEKLKSGESGEITTTYLLLGIWSEKESAGHKILATFGFNDEKAKELAKFLNNDIVLNYK >KJB34422 pep chromosome:Graimondii2_0_v6:6:24451946:24457924:1 gene:B456_006G065200 transcript:KJB34422 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25370) UniProtKB/Swiss-Prot;Acc:Q93WL3] MATHRISSLPIAISTSLCLPSKRRDYRLALPFTSLYGTRLLLKPFNLSCFVTKHHSSTTATVSLSLPTAKPERATSEKSPIWSARSIKSFAMAELEARKLKYPNTGTEALLMGILVEGTSHAAKFLRANGITLFKVREETVNLLGKSDMYFFSPEHPPLTEQAQKVLDWAVDEKLKSGESGEITTTYLLLGIWSEKESAGHKILATFGFNDEKAKELAKFLNNDIVLNYK >KJB34425 pep chromosome:Graimondii2_0_v6:6:24451946:24455373:1 gene:B456_006G065200 transcript:KJB34425 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25370) UniProtKB/Swiss-Prot;Acc:Q93WL3] MATHRISSLPIAISTSLCLPSKRRDYRLALPFTSLYGTRLLLKPFNLSCFVTKHHSSTTATVSLSLPTAKPERATSEKSPIWSARSIKSFAMAELEARKLKYPNTGTEALLMGILVEGTSHAAKFLRANGITLFKVREETVNLLGKSDMYFFSPEHPPLTEQAQKVLDWAVDEKLKSGESGEITTTYLLLGIWSEKESAGHKILATFGFNDEKAKELAKFLNNDIVLNYK >KJB34426 pep chromosome:Graimondii2_0_v6:6:24451850:24455414:1 gene:B456_006G065200 transcript:KJB34426 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25370) UniProtKB/Swiss-Prot;Acc:Q93WL3] MATHRISSLPIAISTSLCLPSKRRDYRLALPFTSLYGTRLLLKPFNLSCFVTKHHSSTTATVSLSLPTAKPERATSEKSPIWSARSIKSFAMAELEARKLKYPNTGTEALLMGILVEGTSHAAKFLRANGITLFKVREETVNLLGKSDMYFFSPEHPPLTEQAQKVLDWAVDEKLKSGESGEITTTYLLLGIWSEKESAGHKILATFGFNDEKAKELAKFLNNDIVLNYK >KJB34427 pep chromosome:Graimondii2_0_v6:6:24451949:24455373:1 gene:B456_006G065200 transcript:KJB34427 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25370) UniProtKB/Swiss-Prot;Acc:Q93WL3] MAELEARKLKYPNTGTEALLMGILVEGTSHAAKFLRANGITLFKVREETVNLLGKSDMYFFSPEHPPLTEQAQKVLDWAVDEKLKSGESGEITTTYLLLGIWSEKESAGHKILATFGFNDEKAKELAKFLNNDIVLNYK >KJB34717 pep chromosome:Graimondii2_0_v6:6:30544119:30546956:1 gene:B456_006G079600 transcript:KJB34717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFSSSMAAMLILGLLTANLDPTVAQIGVCYGMLGNNLPNAREVINLYKSNNIKRMRLYDPNQQALQALRGSNIEVILGVPNDQLQNLANPSKAQSWVRSNVVAYWPSVRFRYIAVGNEVPPSSSLARFVLPALVNVFNAVRSAGLESRIKVSIAIDMTLIGVSYPPSAGAFRGDVRSYLDPIIGHLAWARTPLLANIYTYFSYSGNPRDISLPYALFTSPSPIVWDQGRGYQNLFDAMLDSLYSALEKAGQGGLEVVVSESGWPSAGGFGTSVDNAATYLSNLIKHVQKGTPKRPGKAIETYLFALFDENQKSGPELERHFGLFSPNKQPKYQLHFGGGRHWDIASKEYNATFPLKSDM >KJB36878 pep chromosome:Graimondii2_0_v6:6:44015910:44017455:1 gene:B456_006G182800 transcript:KJB36878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPSRADGLAKERLRWTQELHDRFEQAVNQLGGPDRATPKGILKAMGVDGLTIYHVKSHLQKFRILKFVPETNTKGKFERRNISEILPNFGTTSGAQLNEALQLYMEAQRKQGDDKLQVRRNLKIKFEAQVRYFERIAGEHRNRVTPTKATKSLSPISLPSLCEESESNSKDFETDSEADKNEIESGERIQALKRAGIVEDNSASSSSSSSSSMYAFPLSFSADGYEYDDQNMLLNGGERLSYTANDISFPWNIPVCSSPLVPSFM >KJB38064 pep chromosome:Graimondii2_0_v6:6:48353111:48356147:1 gene:B456_006G235600 transcript:KJB38064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERELLHLFDAAKKAADLAASDAVSSNAPEVSRCVDALNQLKDFPVTYDTLVSTQVGKKLRPLTKHPREKIKTVASDLLELWKKIVIEETTKSKKNGTTSAVKVEKLPKPSAVKSEKLYNTESVKVERSKGDSVKPIKVEKKESNGDTVMVDKRDRGDAGKIETIYKDERQASNVKKQYQPPAGPPKLTSLVKCNDPLRDKFREILVEALSKVPSEADEDMLDQVNACDPIRVAVSVESVMFEKMGKSNGAQKFKYRSIMFNIKDPKNPDLRRKVLLGEVKPERLITMTPEEMASDERQRENKEIKDKALFDCERGGAPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNHWKFC >KJB34306 pep chromosome:Graimondii2_0_v6:6:21592030:21594304:-1 gene:B456_006G058500 transcript:KJB34306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRNMLMGERIHSRDRFSNWRLDIDNMSYEQLLELADKIGYVNTGLKEEEISRCISKIKGSMKNDLAPNKPMHVDNKCSICQEEYEDEGDEEMGKLCCGHSFHIQCIKQWLLQKNACPVCKTEASPR >KJB34307 pep chromosome:Graimondii2_0_v6:6:21592203:21594205:-1 gene:B456_006G058500 transcript:KJB34307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRNMLMGERIHSRDRFSNWRLDIDNMSYEQLLELADKIGYVNTGLKEEEISRCISKIKGSMKNDLAPNKPMHVDNKCSICQEEYEDEGDEEMGKLCCGHSFHIQCIKQWLLQKNACPVCKTEASPR >KJB33333 pep chromosome:Graimondii2_0_v6:6:1417405:1422994:1 gene:B456_006G007000 transcript:KJB33333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQTVPPASTTRRDSQKRRSNSTKKSHSFNHAKPMSPSSIPGERTVKKLRLSKALTIPEGTTVSEACRRMAARRVNAVLLTDSNALLSGIVTDKDVATRVIGEGLKPEQTVVSKIMTPSPIFVSADSLAIEALQKMVQGKFRHLPVVENGEVIAMLDITKCLYDAISRMEKAVEQGNAIASSVDQNGSKASHAFMETLRERMFKPSLSSIIAENSDVAVVSSSDPVCVAAKKMRDLRVNSVVTVTGNKIQGILTSKDILMRVVAQNLSPELTPVEKVMTPNPECVTVETTILDALHLMHDGKFLHLPVLDKDGNVAACLDVLDITHAAISMVESSSGVALNEVSSTMMQKFWDSALALDPPGDYDTHSEMSAVMALDGADAGKLSPHHSLGHGNSFSFKFEDLKGRLHRFSFGTENLNELLSAVMQRTASSNDNRHPQLLYKDDEGDKVLLTNDTDLVAAVNSARSIGKKVSHCLY >KJB33336 pep chromosome:Graimondii2_0_v6:6:1417405:1424324:1 gene:B456_006G007000 transcript:KJB33336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQTVPPASTTRRDSQKRRSNSTKKSHSFNHAKPMSPSSIPGERTVKKLRLSKALTIPEGTTVSEACRRMAARRVNAVLLTDSNALLSGIVTDKDVATRVIGEGLKPEQTVVSKIMTPSPIFVSADSLAIEALQKMVQGKFRHLPVVENGEVIAMLDITKCLYDAISRMEKAVEQGNAIASSVDQNGSKGSASHAFMETLRERMFKPSLSSIIAENSDVAVVSSSDPVCVAAKKMRDLRVNSVVTVTGNKIQGILTSKDILMRVVAQNLSPELTPVEKVMTPNPECVTVETTILDALHLMHDGKFLHLPVLDKDGNVAACLDVLDITHAAISMVESSSGVALNEVSSTMMQKFWDSALALDPPGDYDTHSEMSAVMALDGADAGKLSPHHSLGHGNSFSFKFEDLKGRLHRFSFGTENLNELLSAVMQRTASSNDNRHPQLLYKDDEGDKVLLTNDTDLVAAVNSARSIGKKVLRLHLDFSESAEQLQSEPIASAKRSSAGVPLHLGLLAGTVVLTSIGVLVYLKRSEL >KJB33335 pep chromosome:Graimondii2_0_v6:6:1417405:1424324:1 gene:B456_006G007000 transcript:KJB33335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQTVPPASTTRRDSQKRRSNSTKKSHSFNHAKPMSPSSIPGERTVKKLRLSKALTIPEGTTVSEACRRMAARRVNAVLLTDSNALLSGIVTDKDVATRVIGEGLKPEQTVVSKIMTPSPIFVSADSLAIEALQKMVQGKFRHLPVVENGEVIAMLDITKCLYDAISRMEKAVEQGNAIASSVDQNGTSHAFMETLRERMFKPSLSSIIAENSDVAVVSSSDPVCVAAKKMRDLRVNSVVTVTGNKIQGILTSKDILMRVVAQNLSPELTPVEKVMTPNPECVTVETTILDALHLMHDGKFLHLPVLDKDGNVAACLDVLDITHAAISMVESSSGVALNEVSSTMMQKFWDSALALDPPGDYDTHSEMSAVMALDGADAGKLSPHHSLGHGNSFSFKFEDLKGRLHRFSFGTENLNELLSAVMQRTASSNDNRHPQLLYKDDEGDKVLLTNDTDLVAAVNSARSIGKKVLRLHLDFSESAEQLQSEPIASAKRSSAGVPLHLGLLAGTVVLTSIGVLVYLKRSEL >KJB33334 pep chromosome:Graimondii2_0_v6:6:1417405:1424324:1 gene:B456_006G007000 transcript:KJB33334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQTVPPASTTRRDSQKRRSNSTKKSHSFNHAKPMSPSSIPGERTVKKLRLSKALTIPEGTTVSEACRRMAARRVNAVLLTDSNALLSGIVTDKDVATRVIGEGLKPEQTVVSKIMTPSPIFVSADSLAIEALQKMVQGKFRHLPVVENGEVIAMLDITKCLYDAISRMEKAVEQGNAIASSVDQNGSKASHAFMETLRERMFKPSLSSIIAENSDVAVVSSSDPVCVAAKKMRDLRVNSVVTVTGNKIQGILTSKDILMRVVAQNLSPELTPVEKVMTPNPECVTVETTILDALHLMHDGKFLHLPVLDKDGNVAACLDVLDITHAAISMVESSSGVALNEVSSTMMQKFWDSALALDPPVKCLQSWHWMVQMLENYHPIIPLATGTHFLSNLRILRVVYIDSVLVLRI >KJB33332 pep chromosome:Graimondii2_0_v6:6:1417393:1424324:1 gene:B456_006G007000 transcript:KJB33332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQTVPPASTTRRDSQKRRSNSTKKSHSFNHAKPMSPSSIPGERTVKKLRLSKALTIPEGTTVSEACRRMAARRVNAVLLTDSNALLSGIVTDKDVATRVIGEGLKPEQTVVSKIMTPSPIFVSADSLAIEALQKMVQGKFRHLPVVENGEVIAMLDITKCLYDAISRMEKAVEQGNAIASSVDQNGSKASHAFMETLRERMFKPSLSSIIAENSDVAVVSSSDPVCVAAKKMRDLRVNSVVTVTGNKIQGILTSKDILMRVVAQNLSPELTPVEKVMTPNPECVTVETTILDALHLMHDGKFLHLPVLDKDGNVAACLDVLDITHAAISMVESSSGVALNEVSSTMMQKFWDSALALDPPGDYDTHSEMSAVMALDGADAGKLSPHHSLGHGNSFSFKFEDLKGRLHRFSFGTENLNELLSAVMQRTASSNDNRHPQLLYKDDEGDKVLLTNDTDLVAAVNSARSIGKKVLRLHLDFSESAEQLQSEPIASAKRSSAGVPLHLGLLAGTVVLTSIGVLVYLKRSEL >KJB34441 pep chromosome:Graimondii2_0_v6:6:24849485:24852700:1 gene:B456_006G065800 transcript:KJB34441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPVKWLFLILASCLCFAFVLSESNLLIKKTYIVQMHKSAMPASFSSPLEWYSSKLKSVMSDTQSEGEGDGENRIIYSYQNAFHGVAAQLTEEEAERLKQEDGVVAILPETKYELHTTRSPMFLGLEPEESTSIWSQKLADHDVIVGVLDTGIWPESASFNDTGMTPVPAHWKGTCETGRGFQKHHCNRKIVGARVFYRGYEAATGKINEKNEYKSPRDQDGHGTHTAATVAGSPVRGANLLGYAYGTARGMAPGARIAAYKVCWTGGCFSSDILSAVDRAVGDGVNVLSISLGGGASSYSHDSLAIATFGAMEMGVFVSCSAGNGGPDPVSLTNVSPWITTVGASTMDRDFPGLIYDISAQDYFEFLCTQKLTAMQLKAFSKHSNMSCHHNTLATPGDLNYPAISVVFPEDTAISTLTLHRTVTNVGPPASHYHVVVSPFKGVTIKVEPKTLNFTRRNQKLSYKISFTRKSPQTMPEFGGLAWKDGVHKVRSPIAITWLPPF >KJB34439 pep chromosome:Graimondii2_0_v6:6:24849485:24852700:1 gene:B456_006G065800 transcript:KJB34439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVPAHWKGTCETGRGFQKHHCNRKIVGARVFYRGYEAATGKINEKNEYKSPRDQDGHGTHTAATVAGSPVRGANLLGYAYGTARGMAPGARIAAYKVCWTGGCFSSDILSAVDRAVGDGVNVLSISLGGGASSYSHDSLAIATFGAMEMGVFVSCSAGNGGPDPVSLTNVSPWITTVGASTMDRDFPGSVKLGSGRTISGVSLYKGRRLLQANKQYPLVYMGSNSSSPNPSSLCLEGTLDPHVVSGKIVICDRGINPRVQKGQVVKDAGGVGMILTNTAANGEELVADCHLLPAVAVGEMEGKAIKHYALTNGKPTATLAFLGTRLGVRPSPVVAAFSSRGPNFLTLEILKPDVVAPGVNILAAWTGELGPSSLPTDHRRVRFNILSGTSMSCPHVSGIAALIKARHPDWSPAAVKSALMTTAYVHDNIHNPLQDSSTAAASTPYDHGAGHINPLKALDPGLIYDISAQDYFEFLCTQKLTAMQLKAFSKHSNMSCHHNTLATPGDLNYPAISVVFPEDTAISTLTLHRTVTNVGPPASHYHVVVSPFKGVTIKVEPKTLNFTRRNQKLSYKISFTRKSPQTMPEFGGLAWKDGVHKVRSPIAITWLPPF >KJB34438 pep chromosome:Graimondii2_0_v6:6:24849485:24852700:1 gene:B456_006G065800 transcript:KJB34438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPVKWLFLILASCLCFAFVLSESNLLIKKTYIVQMHKSAMPASFSSPLEWYSSKLKSVMSDTQSEGEGDGENRIIYSYQNAFHGVAAQLTEEEAERLKQEDGVVAILPETKYELHTTRSPMFLGLEPEESTSIWSQKLADHDVIVGVLDTGIWPESASFNDTGMTPVPAHWKGTCETGRGFQKHHCNRKIVGARVFYRGYEAATGKINEKNEYKSPRDQDGHGTHTAATVAGSPVRGANLLGYAYGTARGMAPGARIAAYKVCWTGGCFSSDILSAVDRAVGDGMEGKAIKHYALTNGKPTATLAFLGTRLGVRPSPVVAAFSSRGPNFLTLEILKPDVVAPGVNILAAWTGELGPSSLPTDHRRVRFNILSGTSMSCPHVSGIAALIKARHPDWSPAAVKSALMTTAYVHDNIHNPLQDSSTAAASTPYDHGAGHINPLKALDPGLIYDISAQDYFEFLCTQKLTAMQLKAFSKHSNMSCHHNTLATPGDLNYPAISVVFPEDTAISTLTLHRTVTNVGPPASHYHVVVSPFKGVTIKVEPKTLNFTRRNQKLSYKISFTRKSPQTMPEFGGLAWKDGVHKVRSPIAITWLPPF >KJB34440 pep chromosome:Graimondii2_0_v6:6:24849485:24852700:1 gene:B456_006G065800 transcript:KJB34440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPVKWLFLILASCLCFAFVLSESNLLIKKTYIVQMHKSAMPASFSSPLEWYSSKLKSVMSDTQSEGEGDGENRIIYSYQNAFHGVAAQLTEEEAERLKQEDGVVAILPETKYELHTTRSPMFLGLEPEESTSIWSQKLADHDVIVGVLDTGIWPESASFNDTGMTPVPAHWKGTCETGRGFQKHHCNRKIVGARVFYRGYEAATGKINEKNEYKSPRDQDGHGTHTAATVAGSPVRGANLLGYAYGTARGMAPGARIAAYKVCWTGGCFSSDILSAVDRAVGDGVNVLSISLGGGASSYSHDSLAIATFGAMEMGVFVSCSAGNGGPDPVSLTNVSPWITTVGASTMDRDFPGSVKLGSGRTISGVSLYKGRRLLQANKQYPLVYMGSNSSSPNPSSLCLEGTLDPHVVSGKIVICDRGINPRVQKGQVVKDAGGVGMILTNTAANGEELVADCHLLPAVAVGEMEGKAIKHYALTNGKPTATLAFLGTRLGVRPSPVVAAFSSRGPNFLTLEILKPDVVAPGVNILAAWTGELGPSSLPTDHRRVRFNILSGTSMSCPHVSGIAALIKARHPDWSPAAVKSALMTTAYVHDNIHNPLQDSSTAAASTPYDHGAGHINPLKALDPGLIYDISAQDYFEFLCTQKLTAMQLKAFSKHSNMSCHHNTLATPGDLNYPAISVVFPEDTAISTLTLHRTVTNVGPPASHYHVVVSPFKGVTIKVEPKTLNFTRRNQKLSYKISFTRKSPQTMPEFGGLAWKDGVHKVRSPIAITWLPPF >KJB34900 pep chromosome:Graimondii2_0_v6:6:32530678:32535037:1 gene:B456_006G089300 transcript:KJB34900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKQEISAPVVDVNDPVTGHVISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVLDHPNVISLKHCFFSTTTKNELFLNLVMEYVPESMYRVLKHYSSANQRMPLIYVKLYTYQIFRGLAYLHSVVGVCHRDLKPQNVLVDPLTHQVKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVGQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQMKAHPWHKVFHKRMPPEAIDLTSRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPPLFNFKQELSGASPELINKLIPDHVKRQIGLQHFMHPAGT >KJB34899 pep chromosome:Graimondii2_0_v6:6:32530521:32535037:1 gene:B456_006G089300 transcript:KJB34899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKEISAPVVDVNDPVTGHVISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVLDHPNVISLKHCFFSTTTKNELFLNLVMEYVPESMYRVLKHYSSANQRMPLIYVKLYTYQIFRGLAYLHSVVGVCHRDLKPQNVLVDPLTHQVKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVGQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQMKAHPWHKVFHKRMPPEAIDLTSRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPPLFNFKQELSGASPELINKLIPDHVKRQIGLQHFMHPAGT >KJB34901 pep chromosome:Graimondii2_0_v6:6:32531588:32535037:1 gene:B456_006G089300 transcript:KJB34901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVLDHPNVISLKHCFFSTTTKNELFLNLVMEYVPESMYRVLKHYSSANQRMPLIYVKLYTYQIFRGLAYLHSVVGVCHRDLKPQNVLVDPLTHQVKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVGQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQMKAHPWHKVFHKRMPPEAIDLTSRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPPLFNFKQELSGASPELINKLIPDHVKRQIGLQHFMHPAGT >KJB37529 pep chromosome:Graimondii2_0_v6:6:46435553:46440588:1 gene:B456_006G209300 transcript:KJB37529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKKSYRSSQPSLKYRLSVVEGVFFEIGELVPEEYTPSPPDTNRKTRIKAHAKQTQILSTAELISSLNQIWNYASSIAIPQQQTNLERARLVSQKEDILVKLGSEENGSGSISADRKYFCIDLRTARQLSSLRKPNFDIVKITKKMSMFESSNGNINPSFYQGLFCGGSDFANEDWKGKGLAAVGFSYEFGNIYKWMRKMIPAGLQHFVKFPEIENKKIGKYCIAASSGIGNCISADRTSLTDNLPTENAERYSHCIESKDLSISQDMKPVMNTGGTTSLCSDYFLIDAQETEADCSGSRTPDSDLCADSHINSLASHYSAYKEWQPLSDGSEYHENQQKQLEVFSTNGGRMESHLTAIASEKPQFALAKQEHAFAGAFAGIFVSLCLHPIDTVKTVIQSCHAEQKSIFYIGRSIISERGFTGLYSGIASNIASSAPISALYTFSYESVKGALLPLLPKEYHSLAHCMAGGCASVATSFIFTPSERIKQQMQVGAHYQNCWNALVEIIRKGGLPSLYTGWGAVLCRNIPNSIIKFYTYESLKQVMLTSLQSPTQLNTLQTLVCGALSGSTAAFFTTPFDVVKTRLQTQIPGSLSRYNNVYHALQDIWMHEGLSGLSRGLIPRLVMYTTQGALFFASYESFKQLLSLERPQLTAHEQEKENKDDSTSQLPSSSPSRLHSCHS >KJB37536 pep chromosome:Graimondii2_0_v6:6:46435600:46440546:1 gene:B456_006G209300 transcript:KJB37536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKKSYRSSQPSLKYRLSVVEGVFFEIGELVPEEYTPSPPDTNRKTRIKAHAKQTQILSTAELISSLNQIWNYASSIAIPQQQTNLERARLVSQKEDILVKLGSEENGSGSISADRKYFCIDLRTARQLSSLRKPNFDIVKITKKMSMFESSNGNINPSFYQGLFCGGSDFANEDWKGKGLAAVGFSYEFGNIYKWMRKMIPAGLQHFVKFPEIENKKIGKYCIAASSGIGNCISADRTSLTDNLPTENAERYSHCIESKDLSISQDMKPVMNTGGTTSLCSDYFLIDAQETEADCSGSRTPDSDLCADSHINSLASHYSAYKEWQPLSDGSEYHENQQKQLEVFSTNGGRMESHLTAIASEKPQFALAKQEHAFAGAFAGIFVSLCLHPIDTVKTVIQSCHAEQKSIFYIGRSIISERGFTGLYSGIASNIASSAPISALYTFSYESVKGALLPLLPKEYHSLAHCMAGGCASVATSFIFTPSERIKQQMQVGAHYQNCWYTFKFLYYIQDQKINMFNMHMFVSVHYIFIKQVLLDLFGCYQFFFWCGSYFFLSIIPQICFCRNALVEIIRKGGLPSLYTGWGAVLCRNIPNSIIKVLSFFILSLIVLYAM >KJB37533 pep chromosome:Graimondii2_0_v6:6:46436641:46438741:1 gene:B456_006G209300 transcript:KJB37533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKKSYRSSQPSLKYRLSVVEGVFFEIGELVPEEYTPSPPDTNRKTRIKAHAKQTQILSTAELISSLNQIWNYASSIAIPQQQTNLERARLVSQKEDILVKLGSEENGSGSISADRKYFCIDLRTARQLSSLRKPNFDIVKITKKMSMFESSNGNINPSFYQGLFCGGSDFANEDWKGKGLAAVGFSYEFGNIYKWMRKMIPAGLQHFVKFPEIENKKIGKYCIAASSGIGNCISADRTSLTDNLPTENAERYSHCIESKDLSISQDMKPVMNTGGTTSLCSDYFLIDAQETEADCSGSRTPDSDLCADSHINSLASHYSAYKEWQPLSDGSEYHENQQKQLEVFSTNGGRMESHLTAIASEKPQFALAKQEHAFAGAFAGIFVSLCLHPIDTVKTVIQSCHAEQKSIFYIGRSIISERGFTGLYSGIASNIASSAPISALYTFSYESVKGALLPLLPKEYHSLAHCMAGGCASVATSFIFTPSERIKQQMQVGAHYQNCWNALVEIIRKGGLPSLYTGWGAVLCRNIPNSIIKVLSFFILSLIVLYAM >KJB37530 pep chromosome:Graimondii2_0_v6:6:46435600:46439507:1 gene:B456_006G209300 transcript:KJB37530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKKSYRSSQPSLKYRLSVVEGVFFEIGELVPEEYTPSPPDTNRKTRIKAHAKQTQILSTAELISSLNQIWNYASSIAIPQQQTNLERARLVSQKEDILVKLGSEENGSGSISADRKYFCIDLRTARQLSSLRKPNFDIVKITKKMSMFESSNGNINPSFYQGLFCGGSDFANEDWKGKGLAAVGFSYEFGNIYKWMRKMIPAGLQHFVKFPEIENKKIGKYCIAASSGIGNCISADRTSLTDNLPTENAERYSHCIESKDLSISQDMKPVMNTGGTTSLCSDYFLIDAQETEADCSGSRTPDSDLCADSHINSLASHYSAYKEWQPLSDGSEYHENQQKQLEVFSTNGGRMESHLTAIASEKPQFALAKQEHAFAGAFAGIFVSLCLHPIDTVKTVIQSCHAEQKSIFYIGRSIISERGFTGLYSGIASNIASSAPISALYTFSYESVKGALLPLLPKEYHSLAHCMAGGCASVATSFIFTPSERIKQQMQVGAHYQNCWNALVEIIRKGGLPSLYTGWGAVLCRNIPNSIIKFYTYESLKQVMLTSLQSPTQLNTLQTLVCGALSGSTAAFFTTPFDVVKTRLQTQVCNLFVLFHSLFLSVHEKSAKYYFQQA >KJB37531 pep chromosome:Graimondii2_0_v6:6:46435600:46439449:1 gene:B456_006G209300 transcript:KJB37531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKKSYRSSQPSLKYRLSVVEGVFFEIGELVPEEYTPSPPDTNRKTRIKAHAKQTQILSTAELISSLNQIWNYASSIAIPQQQTNLERARLVSQKEDILVKLGSEENGSGSISADRKYFCIDLRTARQLSSLRKPNFDIVKITKKMSMFESSNGNINPSFYQGLFCGGSDFANEDWKGKGLAAVGFSYEFGNIYKWMRKMIPAGLQHFVKFPEIENKKIGKYCIAASSGIGNCISADRTSLTDNLPTENAERYSHCIESKDLSISQDMKPVMNTGGTTSLCSDYFLIDAQETEADCSGSRTPDSDLCADSHINSLASHYSAYKEWQPLSDGSEYHENQQKQLEVFSTNGGRMESHLTAIASEKPQFALAKQEHAFAGAFAGIFVSLCLHPIDTVKTVIQSCHAEQKSIFYIGRSIISERGFTGLYSGIASNIASSAPISALYTFSYESVKGALLPLLPKEYHSLAHCMAGGCASVATSFIFTPSERIKQQMQVGAHYQNCWNALVEIIRKGGLPSLYTGWGAVLCRNIPNSIIKFYTYESLKQVMLTSLQSPTQLNTLQTLVCGALSGSTAAFFTTPFDVVKTRLQTQA >KJB37534 pep chromosome:Graimondii2_0_v6:6:46435600:46439551:1 gene:B456_006G209300 transcript:KJB37534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKKSYRSSQPSLKYRLSVVEGVFFEIGELVPEEYTPSPPDTNRKTRIKAHAKQTQILSTAELISSLNQIWNYASSIAIPQQQTNLERARLVSQKEDILVKLGSEENGSGSISADRKYFCIDLRTARQLSSLRKPNFDIVKITKKMSMFESSNGNINPSFYQGLFCGGSDFANEDWKGKGLAAVGFSYEFGNIYKWMRKMIPAGLQHFVKFPEIENKKIGKYCIAASSGIGNCISADRTSLTDNLPTENAERYSHCIESKDLSISQDMKPVMNTGGTTSLCSDYFLIDAQETEADCSGSRTPDSDLCADSHINSLASHYSAYKEWQPLSDGSEYHENQQKQLEVFSTNGGRMESHLTAIASEKPQFALAKQEHAFAGAFAGIFVSLCLHPIDTVKTVIQSCHAEQKSIFYIGRSIISERGFTGLYSGIASNIASSAPISALYTFSYESVKGALLPLLPKEYHSLAHCMAGGCASVATSFIFTPSERIKQQMQVGAHYQNCWNALVEIIRKGGLPSLYTGWGAVLCRNIPNSIIKVLSFFILSLIVLYAM >KJB37537 pep chromosome:Graimondii2_0_v6:6:46435600:46440546:1 gene:B456_006G209300 transcript:KJB37537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKKSYRSSQPSLKYRLSVVEGVFFEIGELVPEEYTPSPPDTNRKTRIKAHAKQTQILSTAELISSLNQIWNYASSIAIPQQQTNLERARLVSQKEDILVKLGSEENGSGSISADRKYFCIDLRTARQLSSLRKPNFDIVKITKKMSMFESSNGNINPSFYQGLFCGGSDFANEDWKGKGLAAVGFSYEFGNIYKWMRKMIPAGLQHFVKFPEIENKKIGKYCIAASSGIGNCISADRTSLTDNLPTENAERYSHCIESKDLSISQDMKPVMNTGGTTSLCSDYFLIDAQETEADCSGSRTPDSDLCADSHINSLASHYSAYKEWQPLSDGSEYHENQQKQLEVFSTNGGRMESHLTAIASEKPQFALAKQEHAFAGAFAGIFVSLCLHPIDTVKTVIQSCHAEQKSIFYIGRSIISERGFTGLYSGIASNIASSAPISALYTFSYESVKGALLPLLPKEYHSLAHCMAGGCASVATSFIFTPSERIKQQMQVGAHYQNCWNALVEIIRKGGLPSLYTGWGAVLCRNIPNSIIKVLSFFILSLIVLYAM >KJB37532 pep chromosome:Graimondii2_0_v6:6:46435600:46439455:1 gene:B456_006G209300 transcript:KJB37532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKKSYRSSQPSLKYRLSVVEGVFFEIGELVPEEYTPSPPDTNRKTRIKAHAKQTQILSTAELISSLNQIWNYASSIAIPQQQTNLERARLVSQKEDILVKLGSEENGSGSISADRKYFCIDLRTARQLSSLRKPNFDIVKITKKMSMFESSNGNINPSFYQGLFCGGSDFANEDWKGKGLAAVGFSYEFGNIYKWMRKMIPAGLQHFVKFPEIENKKIGKYCIAASSGIGNCISADRTSLTDNLPTENAERYSHCIESKDLSISQDMKPVMNTGGTTSLCSDYFLIDAQETEADCSGSRTPDSDLCADSHINSLASHYSAYKEWQPLSDGSEYHENQQKQLEVFSTNGGRMESHLTAIASEKPQFALAKQEHAFAGAFAGIFVSLCLHPIDTVKTVIQSCHAEQKSIFYIGRSIISERGFTGLYSGIASNIASSAPISALYTFSYESVKGALLPLLPKEYHSLAHCMAGGCASVATSFIFTPSERIKQQMQVGAHYQNCWYTFKFLYYIQDQKINMFNMHMFVSVHYIFIKQVLLDLFGCYQFFFWCGSYFFLSIIPQICFCRNALVEIIRKGGLPSLYTGWGAVLCRNIPNSIIKVLSFFILSLIVLYAM >KJB37535 pep chromosome:Graimondii2_0_v6:6:46435600:46439653:1 gene:B456_006G209300 transcript:KJB37535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKKSYRSSQPSLKYRLSVVEGVFFEIGELVPEEYTPSPPDTNRKTRIKAHAKQTQILSTAELISSLNQIWNYASSIAIPQQQTNLERARLVSQKEDILVKLGSEENGSGSISADRKYFCIDLRTARQLSSLRKPNFDIVKITKKMSMFESSNGNINPSFYQGLFCGGSDFANEDWKGKGLAAVGFSYEFGNIYKWMRKMIPAGLQHFVKFPEIENKKIGKYCIAASSGIGNCISADRTSLTDNLPTENAERYSHCIESKDLSISQDMKPVMNTGGTTSLCSDYFLIDAQETEADCSGSRTPDSDLCADSHINSLASHYSAYKEWQPLSDGSEYHENQQKQLEVFSTNGGRMESHLTAIASEKPQFALAKQEHAFAGAFAGIFVSLCLHPIDTVKTVIQSCHAEQKSIFYIGRSIISERGFTGLYSGIASNIASSAPISALYTFSYESVKGALLPLLPKEYHSLAHCMAGGCASVATSFIFTPSERIKQQMQVGAHYQNCWNALVEIIRKGGLPSLYTGWGAVLCRNIPNSIIKFYTYESLKQVMLTSLQSPTQLNTLQTLVCGALSGSTAAFFTTPFDVVKTRLQTQFYACKNIYLGLSFFSRGHYQYWT >KJB37528 pep chromosome:Graimondii2_0_v6:6:46435552:46440561:1 gene:B456_006G209300 transcript:KJB37528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKKSYRSSQPSLKYRLSVVEGVFFEIGELVPEEYTPSPPDTNRKTRIKAHAKQTQILSTAELISSLNQIWNYASSIAIPQQQTNLERARLVSQKEDILVKLGSEENGSGSISADRKYFCIDLRTARQLSSLRKPNFDIVKITKKMSMFESSNGNINPSFYQGLFCGGSDFANEDWKGKGLAAVGFSYEFGNIYKWMRKMIPAGLQHFVKFPEIENKKIGAFAGIFVSLCLHPIDTVKTVIQSCHAEQKSIFYIGRSIISERGFTGLYSGIASNIASSAPISALYTFSYESVKGALLPLLPKEYHSLAHCMAGGCASVATSFIFTPSERIKQQMQVGAHYQNCWNALVEIIRKGGLPSLYTGWGAVLCRNIPNSIIKFYTYESLKQVMLTSLQSPTQLNTLQTLVCGALSGSTAAFFTTPFDVVKTRLQTQIPGSLSRYNNVYHALQDIWMHEGLSGLSRGLIPRLVMYTTQGALFFASYESFKQLLSLERPQLTAHEQEKENKDDSTSQLPSSSPSRLHSCHS >KJB33429 pep chromosome:Graimondii2_0_v6:6:2394827:2396595:1 gene:B456_006G010800 transcript:KJB33429 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 83A1 [Source:Projected from Arabidopsis thaliana (AT3G02100) UniProtKB/Swiss-Prot;Acc:Q9SGA8] MENPHILVIPYPAQGHVIPLMDLSSCLLKHGFKITFVTLEFNHQNAMDILALRGEEMGNRVHLVSVPDGLGPLEERNQPGKISEAILQTMPRKVEELVEVINGSERKINCVIADQSLGWALEIAKKHGMKRAAFCPAAAALLVLGFSIPKLIDDGVIDQDGTPLKREMIKLSPNMPPMNTMNFVWACIGNINAQKNIFKLMVRNNESIKLTDWLLCNSAYELEPAAFTMAPNIKPIGPLLAPKSKPTDSNCLTWLNQQAPQSVIYVAFGSFTTFNTTQFQELALGLELTGRPFLWVVRSDIPNGRNSAYPEGFQERIGSRGQMVDWVHQKKVLSHPSIACFISHCGWNSTMEGLSNGVPFLCWPYFADQFFNQSYICEYWGVGLGFERDGRGTITRNEIRNKVEQLVGNEKYKAKSMALKETLMNSIAETGGSNNNLKDFVKWLNE >KJB33893 pep chromosome:Graimondii2_0_v6:6:10152620:10155350:1 gene:B456_006G036800 transcript:KJB33893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPKGFLATLWNFICFLPYFIGLLLLGTIKGIIFCFPICLIMTIGNSSVILGLLPYHCYFTYYSIVSTKLLGPFLKLAVCIFLPVVLILWVVVGIVGSILGGILYGFLSPMFATFDAVGEGKTNVFIHCFYDGTWSTIKGSFTVVKDFKDVCVHSYYSFMEELRQKDGQYYEIRFLYLLPALIAAVLGFLVDFPMISLIAFCKSPYMLVKGWHRLFHDLVGREGPFLETICVPFAGLAILLWPLAVIGAVLGSMASSIFLGAYAAVIVYQESSFWYGLCYIVASLSIYDEYSTDVLDMPEGSCLPRPRYRRHRNESFSKSDSFRPPARIDSLTNARLDLKPLEVTCLLVVSFPLE >KJB33897 pep chromosome:Graimondii2_0_v6:6:10152905:10156639:1 gene:B456_006G036800 transcript:KJB33897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGNSSVILGLLPYHCYFTYYSIVSTKLLGPFLKLAVCIFLPVVLILWVVVGIVGSILGGILYGFLSPMFATFDAVGEGKTNVFIHCFYDGTWSTIKGSFTVVKDFKDVCVHSYYSFMEELRQKDGQYYEIRFLYLLPALIAAVLGFLVDFPMISLIAFCKSPYMLVKGWHRLFHDLVGREGPFLETICVPFAGLAILLWPLAVIGAVLGSMASSIFLGAYAAVIVYQESSFWYGLCYIVASLSIYDEYSTDVLDMPEGSCLPRPRYRRHRNESFSKSDSFRPPARIDSLTNARLDLKPLELLEGLFKECQVHGEKMVSEGLITSKDIDDAKSIKGSRVVSIGLPAYCFLQALLRSVDANRLGILLSDNTEITATNRPKDAFFDWFLNPFLILKEQIRAENLSPEEKDYLGKLVLLCGDAARLKNIGSPPESERKRAELDALARRLQGITKSVSRYPTFRRHFEEFVNKLSEDLSKKDSGSSNSSGSSNRSHQSKNTVLRFFSSRSFKRSTSHSMSDQESQSVLARDVEIE >KJB33894 pep chromosome:Graimondii2_0_v6:6:10152586:10156639:1 gene:B456_006G036800 transcript:KJB33894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPKGFLATLWNFICFLPYFIGLLLLGTIKGIIFCFPICLIMTIGNSSVILGLLPYHCYFTYYSIVSTKLLGPFLKLAVCIFLPVVLILWVVVGIVGSILGGILYGFLSPMFATFDAVGEGKTNVFIHCFYDGTWSTIKGSFTVVKDFKDVCVHSYYSFMEELRQKDGQYYEIRFLYLLPALIAAVLGFLVDFPMISLIAFCKSPYMLVKGWHRLFHDLVGREGPFLETICVPFAGLAILLWPLAVIGAVLGSMASSIFLGAYAAVIVYQESSFWYGLCYIVASLSIYDEYSTDVLDMPEGSCLPRPRYRRHRNESFSKSDSFRPPARIDSLTNARLDLKPLELLEGLFKECQVHGEKMVSEGLITSKDIDDAKSIKGSRVVSIGLPAYCFLQALLRSVDANRLGILLSK >KJB33895 pep chromosome:Graimondii2_0_v6:6:10152586:10156639:1 gene:B456_006G036800 transcript:KJB33895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPKGFLATLWNFICFLPYFIGLLLLGTIKGIIFCFPICLIMTIGNSSVILGLLPYHCYFTYYSIVSTKLLGPFLKLAVCIFLPVVLILWVVVGIVGSILGGILYGFLSPMFATFDAVGEGKTNVFIHCFYDGTWSTIKGSFTVVKDFKDVCVHSYYSFMEELRQKDGQYYEIRFLYLLPALIAAVLGFLVDFPMISLIAFCKSPYMLVKGWHRLFHDLVGREGPFLETICVPFAGLAILLWPLAVIGAVLGSMASSIFLGAYAAVIVYQESSFWYGLCYIVASLSIYDEYSTDVLDMPEGSCLPRPRYRRHRNESFSKSDSFRPPARIDSLTNARLDLKPLELLEGLFKECQVHGEKMVSEGLITSKDIDDAKSIKGSRVVSIGLPAYCFLQALLRSVDANRLGILLSDNTEITATNRPKDAFFDWFLNPFLILKEQIRAENLSPEEKDYLGKLVLLCGDAARLKNIGSPPESERKRAELDALARRSECN >KJB33896 pep chromosome:Graimondii2_0_v6:6:10152586:10156639:1 gene:B456_006G036800 transcript:KJB33896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPKGFLATLWNFICFLPYFIGLLLLGTIKGIIFCFPICLIMTIGNSSVILGLLPYHCYFTYYSIVSTKLLGPFLKLAVCIFLPVVLILWVVVGIVGSILGGILYGFLSPMFATFDAVGEGKTNVFIHCFYDGTWSTIKGSFTVVKDFKDVCVHSYYSFMEELRQKDGQYYEIRFLYLLPALIAAVLGFLVDFPMISLIAFCKSPYMLVKGWHRLFHDLVGREGPFLETICVPFAGLAILLWPLAVIGAVLGSMASSIFLGAYAAVIVYQESSFWYGLCYIVASLSIYDEYSTDVLDMPEGSCLPRPRYRRHRNESFSKSDSFRPPARIDSLTNARLDLKPLELLEGLFKECQVHGEKMVSEGLITSKDIDDAKSIKGSRVVSIGLPAYCFLQALLRSVDANRLGILLSDNTEITATNRPKDAFFDWFLNPFLILKEQIRAENLSPEEKDYLGKLVLLCGDAARLKNIGSPPESERKRAELDALARRLQGITKSVSRYPTFRRHFEEFVNKLSEDLSKKDSGSSNSSGSSNRSHQSKNTVLRFFSSRSFKRSTSHSMMSIKNHNQFLPEM >KJB33892 pep chromosome:Graimondii2_0_v6:6:10151999:10156648:1 gene:B456_006G036800 transcript:KJB33892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPKGFLATLWNFICFLPYFIGLLLLGTIKGIIFCFPICLIMTIGNSSVILGLLPYHCYFTYYSIVSTKLLGPFLKLAVCIFLPVVLILWVVVGIVGSILGGILYGFLSPMFATFDAVGEGKTNVFIHCFYDGTWSTIKGSFTVVKDFKDVCVHSYYSFMEELRQKDGQYYEIRFLYLLPALIAAVLGFLVDFPMISLIAFCKSPYMLVKGWHRLFHDLVGREGPFLETICVPFAGLAILLWPLAVIGAVLGSMASSIFLGAYAAVIVYQESSFWYGLCYIVASLSIYDEYSTDVLDMPEGSCLPRPRYRRHRNESFSKSDSFRPPARIDSLTNARLDLKPLELLEGLFKECQVHGEKMVSEGLITSKDIDDAKSIKGSRVVSIGLPAYCFLQALLRSVDANRLGILLSDNTEITATNRPKDAFFDWFLNPFLILKEQIRAENLSPEEKDYLGKLVLLCGDAARLKNIGSPPESERKRAELDALARRLQGITKSVSRYPTFRRHFEEFVNKLSEDLSKKDSGSSNSSGSSNRSHQSKNTVLRFFSSRSFKRSTSHSMSDQESQSVLARDVEIE >KJB37654 pep chromosome:Graimondii2_0_v6:6:46815238:46820702:1 gene:B456_006G214400 transcript:KJB37654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARAEPDDYEDNRASRVKSISINKNKEVKFLKRKPNHDTMVVAPDYNQGFRKFVDDDTSNRSSSGSAISNSESCAQFGGADASDLTGPAQSNVWDSMVPSKKRTCVNRPKPSPVEKLTKDLCSILHEQQSSYFSGSSEEDLLLESQIPMVSFEFGHGSVLIRHPSSIAREEESEASSLSVENKQYSVNEAYSHCSSFPTYNDSKGFKISGHGIEKANTARQGMEHEQLRRDKVQHEKSLMLESHNSPLCKIDLNDVLNFEEFMKHLTNEEQQQLLQYLPPLDSSKFPDSLENMFESPQFKENLCYFQKLLEEGVFKISVPGVKAEDCKTLKRLVLFNLTKSHWVEHCHVLKKCKSTIGGCATARGPNATALNNLATMKRSRDSQCQNFPEARNLNIPKRVIMKATCENKELVDNDGSCFSPGSLLTLPHDGSSPLLDSLHYVDESSDQDLLLDVPSNGSFPLAELLHL >KJB33355 pep chromosome:Graimondii2_0_v6:6:1590168:1597162:-1 gene:B456_006G007700 transcript:KJB33355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCYIELHAAAVVEENMLGNLVLTCIFAPQSSTEMEFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGQKVAIKKIHDIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSQRDFKDIYVVFELMESDLHKVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLSGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMTKKLPVPFEQKFPNVDPLALRLLQRLLAFDPKFRPNAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFCEILEYHPELLKDYKNGTERTSFLYPSAVDLFRKQFAHLEENSGKSGPVIPLERKHVSLPRSTIVHSKTIAPKEQQSINSLKDKQNVDEASINTSRETEAIPLHLSRTLQAQQRIPLAKPGKVVGLVVPYVNGTITKDAYDPRTFLRSAVLPPQAVHPAISYYKSSTGKFAPDIAINIDTNPFFMTRVKKVEAGDDRNTIDTNLLQAKSHYGGVGVTAAAATTRGKFGTVQYGMTRMY >KJB33354 pep chromosome:Graimondii2_0_v6:6:1590165:1597162:-1 gene:B456_006G007700 transcript:KJB33354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCYIELHAAAVVEENMLGNLVLTCIFAPQSSTEMEFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGQKVAIKKIHDIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSQRDFKDIYVVFELMESDLHKVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLSGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMTKKLPVPFEQKFPNVDPLALRLLQRLLAFDPKFRPNAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFCEILEYHPELLKDYKNGTERTSFLYPRFYRG >KJB33351 pep chromosome:Graimondii2_0_v6:6:1590059:1597393:-1 gene:B456_006G007700 transcript:KJB33351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNGLRCINLWTCMRSCYIELHAAAVVEENMLGNLVLTCIFAPQSSTEMEFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGQKVAIKKIHDIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSQRDFKDIYVVFELMESDLHKVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLSGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMTKKLPVPFEQKFPNVDPLALRLLQRLLAFDPKFRPNAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFCEILEYHPELLKDYKNGTERTSFLYPSAVDLFRKQFAHLEENSGKSGPVIPLERKHVSLPRSTIVHSKTIAPKEQQSINSLKDKQNVDEASINTSRETEAIPLHLSRTLQAQQRIPLGKVVGLVVPYVNGTITKDAYDPRTFLRSAVLPPQAVHPAISYYKSSTGKFAPDIAINIDTNPFFMTRVKKVEAGDDRNTIDTNLLQAKSHYGGVGVTAAAATTRGKFGTVQYGMTRMY >KJB33353 pep chromosome:Graimondii2_0_v6:6:1590367:1598025:-1 gene:B456_006G007700 transcript:KJB33353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQVHRKKSSTEMEFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGQKVAIKKIHDIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSQRDFKDIYVVFELMESDLHKVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLSGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMTKKLPVPFEQKFPNVDPLALRLLQRLLAFDPKFRPNAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFCEILEYHPELLKDYKNGTERTSFLYPSAVDLFRKQFAHLEENSGKSGPVIPLERKHVSLPRSTIVHSKTIAPKEQQSINSLKDKQNVDEASINTSRETEAIPLHLSRTLQAQQRIPLAKPGKVVGLVVPYVNGTITKDAYDPRTFLRSAVLPPQAVHPAISYYKSSTGKFAPDIAINIDTNPFFMTRVKKVEAGDDRNTIDTNLLQAKSHYGGVGVTAAAATTRGKFGTVQYGMTRMY >KJB33350 pep chromosome:Graimondii2_0_v6:6:1589090:1597393:-1 gene:B456_006G007700 transcript:KJB33350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNGLRCINLWTCMRSCYIELHAAAVVEENMLGNLVLTCIFAPQSSTEMEFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGQKVAIKKIHDIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSQRDFKDIYVVFELMESDLHKVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLSGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMTKKLPVPFEQKFPNVDPLALRLLQRLLAFDPKFRPNAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFCEILEYHPELLKDYKNGTERTSFLYPSAVDLFRKQFAHLEENSGKSGPVIPLERKHVSLPRSTIVHSKTIAPKEQQSINSLKDKQNVDEASINTSRETEAIPLHLSRTLQAQQRIPLAKPGKVVGLVVPYVNGTITKDAYDPRTFLRSAVLPPQAVHPAISYYKSSTGKFAPDIAINIDTNPFFMTRVKKVEAGDDRNTIDTNLLQAKSHYGGVGVTAAAATTRGKFGTVQYGMTRMY >KJB33356 pep chromosome:Graimondii2_0_v6:6:1592394:1597162:-1 gene:B456_006G007700 transcript:KJB33356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCYIELHAAAVVEENMLGNLVLTCIFAPQSSTEMEFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGQKVAIKKIHDIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSQRDFKDIYVVFELMESDLHKVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLSGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMTKKLPVPFEQKFPNVDPLALRLLQRLLAFDPKFRPNAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFCEILEYHPELLKDYKNGTERTSFLYPSAVDLFRKQFAHLEENSGKSGPVIPLERKHVSLPS >KJB33352 pep chromosome:Graimondii2_0_v6:6:1590147:1598504:-1 gene:B456_006G007700 transcript:KJB33352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQVHRKKSSTEMEFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGQKVAIKKIHDIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSQRDFKDIYVVFELMESDLHKVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLSGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMTKKLPVPFEQKFPNVDPLALRLLQRLLAFDPKFRPNAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFCEILEYHPELLKDYKNGTERTSFLYPSAVDLFRKQFAHLEENSGKSGPVIPLERKHVSLPRSTIVHSKTIAPKEQQSINSLKDKQNVDEASINTSRETEAIPLHLSRTLQAQQRIPLGKVVGLVVPYVNGTITKDAYDPRTFLRSAVLPPQAVHPAISYYKSSTGKFAPDIAINIDTNPFFMTRVKKVEAGDDRNTIDTNLLQAKSHYGGVGVTAAAATTRGKFGTVQYGMTRMY >KJB33519 pep chromosome:Graimondii2_0_v6:6:4468849:4469737:1 gene:B456_006G018400 transcript:KJB33519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEHNIFALAMIFCLAIAPVYGQYYSKTAMRATQRAENMTRLHFFLHDTVSGENPSAVVIARPNITQPSSLGFGTLFAINDPLTVGPAPTSTLIGNAQGLYVSSSRDPAVFTTVMYADFAFTSGRFNGSSFSLISRSSSSDAIRELAIVGGRGAFRMAQGFALTQINFANMTTGDVILEYNVTLYHY >KJB38655 pep chromosome:Graimondii2_0_v6:6:50445528:50455229:-1 gene:B456_006G265700 transcript:KJB38655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSGDPTTRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWLRQQNSAALKIQKYFRGRKVVEVERAKVREQFYKNYGQHCHHVDRHCFGPDSEFLRQLIFFVNAWNMNDFSVLVETCRFIQHFVRESGDVVELFAGTDYLSNHSLVVYRLKRLSFACIQAIHHNRNQLKDQLLMTGEEPSASTAILLQALVLILDPKLPWACKTVGYLLQRNVFSLFREVILTAKENINANGSFGKVSALEHVLACMISHVGQSPCICTNVDPQWSFSFQILTIPFLWKKFPYLKEVFASRSLTQYYTNQMALCVQNHANVLPTDMLNEFPGYACILGNILETTGAALSQPDCSFEMALDLAAVTTFLLEALPPIKSSSREIGEDDMIIGDESVEIVLDDNLQQQITNAIDSRFLVQLTNVLFGGISTAHGSHNEVPDDKEVAAVAAACAFLHVTFNTLPLERIMTVLAYRTELVPVLWNFMKRCHQNQKWSSLPERFSYLLGDAPGWLLPLSVFCPVYKHMLMIVDNEEFYEQEKPLSLKDVRCLIVILRQALWQILWVNPSAHPSSGKFISNTSGHKKHPVEAIQSRVGTVASELLSQLQDWNNRRQFTPPSDFHADGVNDYFISQAVMEGTKAHDILKQAPFLIPFTSRVKIFTSQLASVRHRQEAHGVFTRNRFRIRRDHILEDAYNQMSALSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFFHFLGSLLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYKEDISGLELYFVIVNNEYGEQTEEELLPGGKNIRVTNENVITFIHLVSNHRLNFQIRQQSTHFLRGFQQLMRKEWIDMFNEHELQLLISGSLDSLDVDDLRQNTNYAGGYHGEHYVIDMFWEVLKSFSLENQKKFLKFVTGCSRGPLLGFKYLEPLFCIQRAAGSASEEALDRLPTSATCMNLLKLPPYGSKEQLETKLLYAINADAGFDLS >KJB38657 pep chromosome:Graimondii2_0_v6:6:50446142:50455108:-1 gene:B456_006G265700 transcript:KJB38657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSGDPTTRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWLRQQNSAALKIQKYFRGRKVVEVERAKVREQFYKNYGQHCHHVDRHCFGPDSEFLRQLIFFVNAWNMNDFSVLVETCRFIQHFVRESGDVVELFAGTDYLSNHSLVVYRLKRLSFACIQAIHHNRNQLKDQLLMTGEEPSASTAILLQALVLILDPKLPWACKTVGYLLQRNVFSLFREVILTAKENINANGSFGKVSALEHVLACMISHVGQSPCICTNVDPQWSFSFQILTIPFLWKKFPYLKEVFASRSLTQYYTNQMALCVQNHANVLPTDMLNEFPGYACILGNILETTGAALSQPDCSFEMALDLAAVTTFLLEALPPIKSSSREIGEDDMIIGDESVEIVLDDNLQQQITNAIDSRFLVQLTNVLFGGISTAHGSHNEVPDDKEVAAVAAACAFLHVTFNTLPLERIMTVLAYRTELVPVLWNFMKRCHQNQKWSSLPERFSYLLGDAPGWLLPLSVFCPVYKHMLMIVDNEEFYEQEKPLSLKDVRCLIVILRQALWQILWVNPSAHPSSGKFISNTSGHKKHPVEAIQSRVGTVASELLSQLQDWNNRRQFTPPSDFHADGVNDYFISQAVMEGTKAHDILKQAPFLIPFTSRVKIFTSQLASVRHRQEAHGVFTRNRFRIRRDHILEDAYNQMSALSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFFHFLGSLLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYKEDISGLELYFVIVNNEYGEQTEEELLPGGKNIRVTNENVITFIHLVSNHRLNFQIRQQSTHFLRGFQQLMRKEWIDMFNEHELQLLISGSLDSLDVDDLRQNTNYAGGYHGEHYVIDMFWEVLKSFSLENQKKFLKFVTGCSRGPLLGFKYLEPLFCIQRAAGSASEEALDRLPTSATCMNLLKLPPYGRFVSPSLSISSLP >KJB38654 pep chromosome:Graimondii2_0_v6:6:50445528:50455108:-1 gene:B456_006G265700 transcript:KJB38654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSGDPTTRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWLRQQNSAALKIQKYFRGRKVVEVERAKVREQFYKNYGQHCHHVDRHCFGPDSEFLRQLIFFVNAWNMNDFSVLVETCRFIQHFVRESGDVVELFAGTDYLSNHSLVVYRLKRLSFACIQAIHHNRNQLKDQLLMTGEEPSASTAILLQALVLILDPKLPWACKTVGYLLQRNVFSLFREVILTAKENINANGSFGKVSALEHVLACMISHVGQSPCICTNVDPQWSFSFQILTIPFLWKKFPYLKEVFASRSLTQYYTNQMALCVQNHANVLPTDMLNEFPGYACILGNILETTGAALSQPDCSFEMALDLAAVTTFLLEALPPIKSSSREIGEDDMIIGDESVEIVLDDNLQQQITNAIDSRFLVQLTNVLFGGISTAHGSHNEVPDDKEVAAVAAACAFLHVTFNTLPLERIMTVLAYRTELVPVLWNFMKRCHQNQKWSSLPERFSYLLGDAPGWLLPLSVFCPVYKHMLMIVDNEEFYEQEKPLSLKDVRCLIVILRQALWQILWVNPSAHPSSGKFISNTSGHKKHPVEAIQSRVGTVASELLSQLQDWNNRRQFTPPSDFHADGVNDYFISQAVMEGTKAHDILKQAPFLIPFTSRVKIFTSQLASVRHRQEAHGVFTRNRFRIRRDHILEDAYNQMSALSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFFHFLGSLLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYKEDISGLELYFVIVNNEYGEQTEEELLPGGKNIRVTNENVITFIHLVSNHRLNFQIRQQSTHFLRGFQQLMRKEWIDMFNEHELQLLISGSLDSLDVDDLRQNTNYAGGYHGEHYVIDMFWEVLKSFSLENQKKFLKFVTGCSRGPLLGFKYLEPLFCIQRAAGSASEEALDRLPTSATCMNLLKLPPYGRATGNQIAICYKCRCWF >KJB38656 pep chromosome:Graimondii2_0_v6:6:50446044:50454930:-1 gene:B456_006G265700 transcript:KJB38656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSGDPTTRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWLRQQNSAALKIQKYFRGRKVVEVERAKVREQFYKNYGQHCHHVDRHCFGPDSEFLRQLIFFVNAWNMNDFSVLVETCRFIQHFVRESGDVVELFAGTDYLSNHSLVVYRLKRLSFACIQAIHHNRNQLKDQLLMTGEEPSASTAILLQALVLILDPKLPWACKTVGYLLQRNVFSLFREVILTAKENINANGSFGKVSALEHVLACMISHVGQSPCICTNVDPQWSFSFQILTIPFLWKKFPYLKEVFASRSLTQYYTNQMALCVQNHANVLPTDMLNEFPGYACILGNILETTGAALSQPDCSFEMALDLAAVTTFLLEALPPIKSSSRESSTVGEDDMIIGDESVEIVLDDNLQQQITNAIDSRFLVQLTNVLFGGISTAHGSHNEVPDDKEVAAVAAACAFLHVTFNTLPLERIMTVLAYRTELVPVLWNFMKRCHQNQKWSSLPERFSYLLGDAPGWLLPLSVFCPVYKHMLMIVDNEEFYEQEKPLSLKDVRCLIVILRQALWQILWVNPSAHPSSGKFISNTSGHKKHPVEAIQSRVGTVASELLSQLQDWNNRRQFTPPSDFHADGVNDYFISQAVMEGTKAHDILKQAPFLIPFTSRVKIFTSQLASVRHRQEAHGVFTRNRFRIRRDHILEDAYNQMSALSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFFHFLGSLLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYKEDISGLELYFVIVNNEYGEQTEEELLPGGKNIRVTNENVITFIHLVSNHRLNFQIRQQSTHFLRGFQQLMRKEWIDMFNEHELQLLISGSLDSLDVDDLRQNTNYAGGYHGEHYVIDMFWEVLKSFSLENQKKFLKFVTGCSRGPLLGFKYLEPLFCIQRAAGSASEEALDRLPTSATCMNLLKLPPYGSKEQLETKLLYAINADAGFDLS >KJB36904 pep chromosome:Graimondii2_0_v6:6:44194403:44196705:1 gene:B456_006G184600 transcript:KJB36904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPRHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNEDFRLLYDTKGRFRLHAITGDETKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDAAGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLAAQNAA >KJB36905 pep chromosome:Graimondii2_0_v6:6:44195294:44196461:1 gene:B456_006G184600 transcript:KJB36905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNEDFRLLYDTKGRFRLHAITGDETKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDAAGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLAAQNAA >KJB37139 pep chromosome:Graimondii2_0_v6:6:44796530:44803961:-1 gene:B456_006G190900 transcript:KJB37139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTHPPFLPNSFLYAFHHYFIIICNTSHLSQHVCSFYFFSSYKLFFGDLGQQQYLETLELGTVMFNKGDLREQYMNRVPDLSLQISPPNPAPSTACTGNSSFDIWCRKDALNSLTYGSQADTQLSLANPASSASQIDSPSPNYFPTTYDDQTRHRNLLKCGQNGGQLSNINHGISLLDVPGPKPTKGIPVYSNTMSLPFLSSDSFVDMDPDKLGFYSSSVASVLHSADACRRASEAARFNGISLEKLGPQFHHHYAQHGAAMGSSRFIPKLQSSKRNTRTPRMRWTSSLHARFVRAVELLGGHERATPKSVLELMDVKDLTLSHVKSHLQMYRTVKSSDKPASSSEKIRNEGECAEEDCLGVSRNTVSGNDKCSEKQGSTSPNLSIQHDYDENNNSIHLWTNSSSRGVGLLSWRNPDECRPQAPSSSQSGKQFDGSSFSQQILRVSSVELQNPSLHFSLGKQTTD >KJB37137 pep chromosome:Graimondii2_0_v6:6:44795530:44803961:-1 gene:B456_006G190900 transcript:KJB37137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTHPPFLPNSFLYAFHHYFIIICNTSHLSQHVCSFYFFSSYKLFFGDLGQQQYLETLELGTVMFNKGDLREQYMNRVPDLSLQISPPNPAPSTACTGNSSFDIWCRKDALNSLTYGSQADTQLSLANPASSASQIDSPSPNYFPTTYDDQTRHRNLLKCGQNGGQLSNINHGISLLDVPGPKPTKGIPVYSNTMSLPFLSSDSFVDMDPDKLGFYSSSVASVLHSADACRRASEAARFNGISLEKLGPQFHHHYAQHGAAMGSSRFIPKLQSSKRNTRTPRMRWTSSLHARFVRAVELLGGHERATPKSVLELMDVKDLTLSHVKSHLQMYRTVKSSDKPASSSEKIRNEGECAEEDCLGVSRNTVSGNDKCSEKQGSTSPNLSIQHDYDENNNSIHLWTNSSSRGVGLLSWRNPDECRPQAPSSSQSGKQFDGSSFSQQILRVSSVELQNPSLHFSLGKQTTD >KJB37138 pep chromosome:Graimondii2_0_v6:6:44796283:44803961:-1 gene:B456_006G190900 transcript:KJB37138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTHPPFLPNSFLYAFHHYFIIICNTSHLSQHVCSFYFFSSYKLFFGDLGQQQYLETLELGTVMFNKGDLREQYMNRVPDLSLQISPPNPAPSTACTGNSSFDIWCRKDALNSLTYGSQADTQLSLANPASSASQIDSPSPNYFPTTYDDQTRHRNLLKCGQNGGQLSNINHGISLLDVPGPKPTKGIPVYSNTMSLPFLSSDSFVDMDPDKLGFYSSSVASVLHSADACRRASEAARFNGISLEKLGPQFHHHYAQHGAAMGSSRFIPKLQSSKRNTRTPRMRWTSSLHARFVRAVELLGGHERATPKSVLELMDVKDLTLSHVKSHLQMYRTVKSSDKPASSSEKIRNEGECAEEDCLGVSRNTVSGNDKCSEKQGSTSPNLSIQHDYDENNNSIHLWTNSSRGVGLLSWRNPDECRPQAPSSSQSGKQFDGSSFSQQILRVSSVELQNPSLHFSLGKQTTD >KJB37303 pep chromosome:Graimondii2_0_v6:6:45639797:45643100:-1 gene:B456_006G199000 transcript:KJB37303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 12 [Source:Projected from Arabidopsis thaliana (AT4G25600) UniProtKB/Swiss-Prot;Acc:Q8GXT7] MAAKVRVFQYSGFLMDEECDLLISLGHGAKEGILGINDDRAIVETRRQLASSETLLNTNDTVLAMIEERISAWTFLPKENSKPLYVQHYGLEETEQNLDYFGNKSTWALSEPLMATVILYLSNVTKGGEILFPDSESKSETWSDCTKTSNIQKPVKGNAVLFFTTHLNGSPDSSSSHARCPVLEGEMWFATKFFYLRAVTGETISFDSSRNECSDEDPSCPEWAAVGECQRNPVFMVGSPDYYGTCRKSCNAC >KJB37308 pep chromosome:Graimondii2_0_v6:6:45639608:45643940:-1 gene:B456_006G199000 transcript:KJB37308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 12 [Source:Projected from Arabidopsis thaliana (AT4G25600) UniProtKB/Swiss-Prot;Acc:Q8GXT7] MASLVSIFLLLFTCSFSNFSAESRKELKDKEDIHLRHLVQSNVIDPSRVMQLSWRPRVFQYSGFLMDEECDLLISLGHGAKEGILGINDDRAIVETRRQLASSETLLNTNDTVLAMIEERISAWTFLPKENSKPLYVQHYGLEETEQNLDYFGNKSTWALSEPLMATVILYLSNVTKGVKE >KJB37307 pep chromosome:Graimondii2_0_v6:6:45640140:45643779:-1 gene:B456_006G199000 transcript:KJB37307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 12 [Source:Projected from Arabidopsis thaliana (AT4G25600) UniProtKB/Swiss-Prot;Acc:Q8GXT7] MASLVSIFLLLFTCSFSNFSAESRKELKDKEDIHLRHLVQSNVIDPSRVMQLSWRPRVFQYSGFLMDEECDLLISLGHGAKEGILGINDDRAIVETRRQLASSETLLNTNDTVLAMIEERISAWTFLPKENSKPLYVQHYGLEETEQNLDYFGNKSTWALSEPLMATVILYLSNVTKGGEILFPDSEVRMNLPQTFINRLELKNLPLLNKCIPTAAVKE >KJB37304 pep chromosome:Graimondii2_0_v6:6:45639492:45643991:-1 gene:B456_006G199000 transcript:KJB37304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 12 [Source:Projected from Arabidopsis thaliana (AT4G25600) UniProtKB/Swiss-Prot;Acc:Q8GXT7] MASLVSIFLLLFTCSFSNFSAESRKELKDKEDIHLRHLVQSNVIDPSRVMQLSWRPRVFQYSGFLMDEECDLLISLGHGAKEGILGINDDRAIVETRRQLASSETLLNTNDTVLAMIEERISAWTFLPKENSKPLYVQHYGLEETEQNLDYFGNKSTWALSEPLMATVILYLSNVTKGGEILFPDSESKSETWSDCTKTSNIQKPVKGNAVLFFTTHLNGSPDSSSSHARCPVLEGEMWFATKFFYLRAVTGETISFDSSRNECSDEDPSCPEWAAVGECQRNPVFMVGSPDYYGTCRKSCNAC >KJB37309 pep chromosome:Graimondii2_0_v6:6:45640429:45643779:-1 gene:B456_006G199000 transcript:KJB37309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 12 [Source:Projected from Arabidopsis thaliana (AT4G25600) UniProtKB/Swiss-Prot;Acc:Q8GXT7] MASLVSIFLLLFTCSFSNFSAESRKELKDKEDIHLRHLVQSNVIDPSRVMQLSWRPRVFQYSGFLMDEECDLLISLGHGAKEGILGINDDRAIVETRRQLASSETLLNTNDTVLAMIEERISAWTFLPKGIVNHLFHLPTMTVFSHEGRFHFTVT >KJB37305 pep chromosome:Graimondii2_0_v6:6:45639608:45643236:-1 gene:B456_006G199000 transcript:KJB37305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 12 [Source:Projected from Arabidopsis thaliana (AT4G25600) UniProtKB/Swiss-Prot;Acc:Q8GXT7] MAAKVRVFQYSGFLMDEECDLLISLGHGAKEGILGINDDRAIVETRRQLASSETLLNTNDTVLAMIEERISAWTFLPKENSKPLYVQHYGLEETEQNLDYFGNKSTWALSEPLMATVILYLSNVTKGGEILFPDSESKSETWSDCTKTSNIQKPVKGNAVLFFTTHLNGSPDSSSSHARCPVLEGEMWFATKFFYLRAVTGETISFDSSRNECSDEDPSCPEWAAVGECQRNPVFMVGSPDYYGTCRKSCNAC >KJB37306 pep chromosome:Graimondii2_0_v6:6:45639608:45643236:-1 gene:B456_006G199000 transcript:KJB37306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 12 [Source:Projected from Arabidopsis thaliana (AT4G25600) UniProtKB/Swiss-Prot;Acc:Q8GXT7] MIEERISAWTFLPKENSKPLYVQHYGLEETEQNLDYFGNKSTWALSEPLMATVILYLSNVTKGGEILFPDSESKSETWSDCTKTSNIQKPVKGNAVLFFTTHLNGSPDSSSSHARCPVLEGEMWFATKFFYLRAVTGETISFDSSRNECSDEDPSCPEWAAVGECQRNPVFMVGSPDYYGTCRKSCNAC >KJB37439 pep chromosome:Graimondii2_0_v6:6:46038503:46038564:-1 gene:B456_006G2045001 transcript:KJB37439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLPNSSVNPSITDFRKEN >KJB34879 pep chromosome:Graimondii2_0_v6:6:33160745:33161764:-1 gene:B456_006G094000 transcript:KJB34879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTISISPVAAQSLYIRNARNVPFIPLRNAPFRATTKLSTLAHSSGSISFSPLRFSIKDKEIKKKKKSRGSSAVCYAAPLSRLNLQWISAISSAYGFIFHKRNSDSETISCSIDSNSSSYKYHFMDEG >KJB34877 pep chromosome:Graimondii2_0_v6:6:33160745:33161764:-1 gene:B456_006G094000 transcript:KJB34877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTISISPVAAQSLYIRNARNVPFIPLRNAPFRATTKLSTLAHSSGSISFSPLRFSIKDKEIKKKKKSRGSSAVCYAAPLSRLNLQWISAISSADSETISCSIDSNSSSYKYHFMDEG >KJB34878 pep chromosome:Graimondii2_0_v6:6:33159304:33161935:-1 gene:B456_006G094000 transcript:KJB34878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTISISPVAAQSLYIRNARNVPFIPLRNAPFRATTKLSTLAHSSGSISFSPLRFSIKDKEIKKKKKSRGSSAVCYAAPLSRLNLQWISAISSAVLFFTKGTVIRKQFLVPLIAIQAPTSIISWMKGEYGIWAAFLGLLVRLFFFIPGELELPFLALLLVIVAPHHVMNLRGTQQGAIISLVIAAYLAYQHFSGAGSLQRAFDQGSIIATVGIVCITVVSCLLLI >KJB34876 pep chromosome:Graimondii2_0_v6:6:33159258:33161935:-1 gene:B456_006G094000 transcript:KJB34876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTISISPVAAQSLYIRNARNVPFIPLRNAPFRATTKLSTLAHSSGSISFSPLRFSIKDKEIKKKKKSRGSSAVCYAAPLSRLNLQWISAISSAVLFFTKGTVIRKQFLVPLIAIQAPTSIISWMKGEYGIWAAFLGLLVRLFFFIPGEHNKALSFPW >KJB34880 pep chromosome:Graimondii2_0_v6:6:33159258:33161960:-1 gene:B456_006G094000 transcript:KJB34880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTISISPVAAQSLYIRNARNVPFIPLRNAPFRATTKLSTLAHSSGSISFSPLRFSIKDKEIKKKKKSRGSSAVCYAAPLSRLNLQWISAISSAGEYGIWAAFLGLLVRLFFFIPGELELPFLALLLVIVAPHHVMNLRGTQQGAIISLVIAAYLAYQHFSGAGSLQRAFDQGSIIATVGIVCITVVSCLLLI >KJB34789 pep chromosome:Graimondii2_0_v6:6:31689847:31692556:1 gene:B456_006G083800 transcript:KJB34789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ICEKGEKLQSKRKELQWCARSVSQKKLSKVIVPDKWKEGASNTNESGGRKINENKLLSKKRRWTPYGNTKCIICKQQVHQDGKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >KJB34788 pep chromosome:Graimondii2_0_v6:6:31689658:31692639:1 gene:B456_006G083800 transcript:KJB34788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEKCQKKLSKVIVPDKWKEGASNTNESGGRKINENKLLSKKRRWTPYGNTKCIICKQQVHQDGKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >KJB35624 pep chromosome:Graimondii2_0_v6:6:37238995:37241794:1 gene:B456_006G121600 transcript:KJB35624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPKIKGRVGKYQVGRTIGEGTFAKVKFARNSETGEPVALKILDKDKVLKHKMAEQIKREIATMKLIKHPNVIRLHEVMASKTKIFIVLEFVTGGELFDKIVNHGRMIENEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSRQVRDDGLFHTACGTPNYVAPEVLDDQGYDGAMADLWSCGVILFVLLAGYLPFDDSNLIDLYRKISVAEFTCPPWLSLSAMKLITRILDPNPMTRITIPEILQDEWFKKGYKPPVFEEKDDTNLDDVEAVFKDSEEHHVMEKRKEEEEQPTTMNAFELISMSKGLNLENLFDAEQGFKRETRFTSKCPANEIIHKIEAAAKPLGFDVHKKNYKVIESETCYEGNYQNLYTDFTYFL >KJB35616 pep chromosome:Graimondii2_0_v6:6:37238526:37242904:1 gene:B456_006G121600 transcript:KJB35616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPKIKGRVGKYQVGRTIGEGTFAKVKFARNSETGEPVALKILDKDKVLKHKMAEQIKREIATMKLIKHPNVIRLHEVMASKTKIFIVLEFVTGGELFDKIVNHGRMIENEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSRQVRDDGLFHTACGTPNYVAPEVLDDQGYDGAMADLWSCGVILFVLLAGYLPFDDSNLIDLYRKISVAEFTCPPWLSLSAMKLITRILDPNPMTRITIPEILQDEWFKKGYKPPVFEEKDDTNLDDVEAVFKDSEEHHVMEKRKEEEEQPTTMNAFELISMSKGLNLENLFDAEQGFKRETRFTSKCPANEIIHKIEAAAKPLGFDVHKKNYKMRLESSKAGRKGNLNVATEIFQMAPSLHMVELRKAKGDTLEFHKFYKNLSTCLEDVVWKTEEDMKEVN >KJB35625 pep chromosome:Graimondii2_0_v6:6:37238069:37242904:1 gene:B456_006G121600 transcript:KJB35625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPKIKGRVGKYQVGRTIGEGTFAKVKFARNSETGEPVALKILDKDKVLKHKMAEQIKREIATMKLIKHPNVIRLHEVMASKTKIFIVLEFVTGGELFDKIVNHGRMIENEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSRQVRDDGLFHTACGTPNYVAPEVLDDQGYDGAMADLWSCGVILFVLLAGYLPFDDSNLIDLYRKISVAEFTCPPWLSLSAMKLITRILDPNPMTRITIPEILQDEWFKKGYKPPVFEEKDDTNLDDVEAVFKDSEEHHVMEKRKEEEEQPTTMNAFELISMSKGLNLENLFDAEQGFKRETRFTSKCPANEIIHKIEAAAKPLGFDVHKKNYKMRLESSKAGRKGNLNVATEIFQMAPSLHMVELRKAKGDTLEFHKFYKNLSTCLEDVVWKTEEDMKEVN >KJB35622 pep chromosome:Graimondii2_0_v6:6:37238526:37242904:1 gene:B456_006G121600 transcript:KJB35622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPKIKGRVGKYQVGRTIGEGTFAKVKFARNSETGEPVALKILDKDKVLKHKMAEQIKREIATMKLIKHPNVIRLHEVMASKTKIFIVLEFVTGGELFDKIVNHGRMIENEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSRQVRDDGLFHTACGTPNYVAPEVLDDQGYDGAMADLWSCGVILFVLLAGYLPFDDSNLIDLYRKISVAEFTCPPWLSLSAMKLITRILDPNPMTDEWFKKGYKPPVFEEKDDTNLDDVEAVFKDSEEHHVMEKRKEEEEQPTTMNAFELISMSKGLNLENLFDAEQGFKRETRFTSKCPANEIIHKIEAAAKPLGFDVHKKNYKMRLESSKAGRKGNLNVATEIFQMAPSLHMVELRKAKGDTLEFHKFYKNLSTCLEDVVWKTEEDMKEVN >KJB35623 pep chromosome:Graimondii2_0_v6:6:37239231:37242570:1 gene:B456_006G121600 transcript:KJB35623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILFCLFLQIKREIATMKLIKHPNVIRLHEVMASKTKIFIVLEFVTGGELFDKIVNHGRMIENEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSRQVRDDGLFHTACGTPNYVAPEVLDDQGYDGAMADLWSCGVILFVLLAGYLPFDDSNLIDLYRKISVAEFTCPPWLSLSAMKLITRILDPNPMTRITIPEILQDEWFKKGYKPPVFEEKDDTNLDDVEAVFKDSEEHHVMEKRKEEEEQPTTMNAFELISMSKGLNLENLFDAEQGFKRETRFTSKCPANEIIHKIEAAAKPLGFDVHKKNYKMRLESSKAGRKGNLNVATEIFQMAPSLHMVELRKAKGDTLEFHKFYKNLSTCLEDVVWKTEEDMKEVN >KJB35620 pep chromosome:Graimondii2_0_v6:6:37238526:37242904:1 gene:B456_006G121600 transcript:KJB35620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPKIKGRVGKYQVGRTIGEGTFAKVKFARNSETGEPVALKILDKDKVLKHKMAEQIKREIATMKLIKHPNVIRLHEVMASKTKIFIVLEFVTGGELFDKIVNHGRMIENEARRYFQQLINAVDYCHSRGVYHRDLKDDGLFHTACGTPNYVAPEVLDDQGYDGAMADLWSCGVILFVLLAGYLPFDDSNLIDLYRKISVAEFTCPPWLSLSAMKLITRILDPNPMTRITIPEILQDEWFKKGYKPPVFEEKDDTNLDDVEAVFKDSEEHHVMEKRKEEEEQPTTMNAFELISMSKGLNLENLFDAEQGFKRETRFTSKCPANEIIHKIEAAAKPLGFDVHKKNYKMRLESSKAGRKGNLNVATEIFQMAPSLHMVELRKAKGDTLEFHKFYKNLSTCLEDVVWKTEEDMKEVN >KJB35617 pep chromosome:Graimondii2_0_v6:6:37238012:37242979:1 gene:B456_006G121600 transcript:KJB35617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPKIKGRVGKYQVGRTIGEGTFAKVKFARNSETGEPVALKILDKDKVLKHKMAEQIKREIATMKLIKHPNVIRLHEVMASKTKIFIVLEFVTGGELFDKIVNHGRMIENEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSRQVRDDGLFHTACGTPNYVAPEVLDDQGYDGAMADLWSCGVILFVLLAGYLPFDDSNLIDLYRKISVAEFTCPPWLSLSAMKLITRILDPNPMTRITIPEILQDEWFKKGYKPPVFEEKDDTNLDDVEAVFKDSEEHHVMEKRKEEEEQPTTMNAFELISMSKGLNLENLFDAEQGFKRETRFTSKCPANEIIHKIEAAAKPLGFDVHKKNYKMRLESSKAGRKGNLNVATEIFQMAPSLHMVELRKAKGDTLEFHKFYKNLSTCLEDVVWKTEEDMKEVN >KJB35618 pep chromosome:Graimondii2_0_v6:6:37238393:37242904:1 gene:B456_006G121600 transcript:KJB35618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPKIKGRVGKYQVGRTIGEGTFAKVKFARNSETGEPVALKILDKDKVLKHKMAEQIKREIATMKLIKHPNVIRLHEVMASKTKIFIVLEFVTGGELFDKIVNHGRMIENEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSRQVRDDGLFHTACGTPNYVAPEVLDDQGYDGAMADLWSCGVILFVLLAGYLPFDDSNLIDLYRKISVAEFTCPPWLSLSAMKLITRILDPNPMTRITIPEILQDEWFKKGYKPPVFEEKDDTNLDDVEAVFKDSEEHHVMEKRKEEEEQPTTMNAFELISMSKGLNLENLFDAEQGFKRETRFTSKCPANEIIHKIEAAAKPLGFDVHKKNYKMRLESSKAGRKGNLNVATEIFQMAPSLHMVELRKAKGDTLEFHKFYKNLSTCLEDVVWKTEEDMKEVN >KJB35619 pep chromosome:Graimondii2_0_v6:6:37238327:37242926:1 gene:B456_006G121600 transcript:KJB35619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPKIKGRVGKYQVGRTIGEGTFAKVKFARNSETGEPVALKILDKDKVLKHKMAEQIKREIATMKLIKHPNVIRLHEVMASKTKIFIVLEFVTGGELFDKIVNHGRMIENEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSRQVRDDGLFHTACGTPNYVAPEVLDDQGYDGAMADLWSCGVILFVLLAGYLPFDDSNLIDLYRKISVAEFTCPPWLSLSAMKLITRILDPNPMTRITIPEILQDEWFKKGYKPPVFEEKDDTNLDDVEAVFKDSEEHHVMEKRKEEEEQPTTMNAFELISMSKGLNLENLFDAEQGFKRETRFTSKCPANEIIHKIEAAAKPLGFDVHKKNYKMRLESSKAGRKGNLNVATEIFQMAPSLHMVELRKAKGDTLEFHKFYKNLSTCLEDVVWKTEEDMKEVN >KJB35615 pep chromosome:Graimondii2_0_v6:6:37238020:37242979:1 gene:B456_006G121600 transcript:KJB35615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPKIKGRVGKYQVGRTIGEGTFAKVKFARNSETGEPVALKILDKDKVLKHKMAEQIKREIATMKLIKHPNVIRLHEVMASKTKIFIVLEFVTGGELFDKIVNHGRMIENEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSRQVRDDGLFHTACGTPNYVAPEVLDDQGYDGAMADLWSCGVILFVLLAGYLPFDDSNLIDLYRKISVAEFTCPPWLSLSAMKLITRILDPNPMTRITIPEILQDEWFKKGYKPPVFEEKDDTNLDDVEAVFKDSEEHHVMEKRKEEEEQPTTMNAFELISMSKGLNLENLFDAEQGFKRETRFTSKCPANEIIHKIEAAAKPLGFDVHKKNYKMRLESSKAGRKGNLNVATEIFQMAPSLHMVELRKAKGDTLEFHKFYKNLSTCLEDVVWKTEEDMKEVN >KJB35626 pep chromosome:Graimondii2_0_v6:6:37238526:37242904:1 gene:B456_006G121600 transcript:KJB35626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPKIKGRVGKYQVGRTIGEGTFAKVKFARNSETGEPVALKILDKDKVLKHKMAEQIKREIATMKLIKHPNVIRLHEVMASKTKIFIVLEFVTGGELFDKIVNHGRMIENEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSRQVRDDGLFHTACGTPNYVAPEVLDDQGYDGAMADLWSCGVILFVLLAGYLPFDDSNLIDLYRKISVAEFTCPPWLSLSAMKLITRILDPNPMTRITIPEILQDEWFKKGYKPPVFEEKDDTNLDDVEAVFKDSEEHHVMEKRKEEEEQPTTMNAFELISMSKGLNLENLFDAEQGFKRETRFTSKCPANEIIHKIEAAAKPLGFDVHKKNYKVIESETCYEGNYQNLYTDFTYFL >KJB35621 pep chromosome:Graimondii2_0_v6:6:37240094:37242570:1 gene:B456_006G121600 transcript:KJB35621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNHGRMIENEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSRQVRDDGLFHTACGTPNYVAPEVLDDQGYDGAMADLWSCGVILFVLLAGYLPFDDSNLIDLYRKISVAEFTCPPWLSLSAMKLITRILDPNPMTRITIPEILQDEWFKKGYKPPVFEEKDDTNLDDVEAVFKDSEEHHVMEKRKEEEEQPTTMNAFELISMSKGLNLENLFDAEQGFKRETRFTSKCPANEIIHKIEAAAKPLGFDVHKKNYKMRLESSKAGRKGNLNVATEIFQMAPSLHMVELRKAKGDTLEFHKFYKNLSTCLEDVVWKTEEDMKEVN >KJB36357 pep chromosome:Graimondii2_0_v6:6:41314953:41319185:1 gene:B456_006G154600 transcript:KJB36357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVLQSSPSHFPSFSSSTSTPHALTNSNVSTVEDIITQESDQEEEDRQEEEERKERDREGEGDQLSLLTLLVAAFRKSLIGCSLSGSKELCSMEIGLPTNVRHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQLSLDSRGNSVPTILLLMQSHLYARGGLQAEGIFRINAENSQEEYVREQLNRGVIPDGIDVHCLAGLIKAWFRELPSGVLDSLPPEQVMQAESEEECARLVRLLPPTEAALLDWAINLMADVVQVEHLNKMNARNVAMVFAPNMTQMSDPLTALMYAVQVMNFLKTLIIRTLKGREDSMVDSAPVSRLEPSDKNGQQSSSQLLKDASEEVKNESKGEKAFVGQEPALESPTHSAEENLTTESGPQSFLTSIENICAGNRSLADNCPCTLVSQVNCLANGSLRSTCGKSRSDQSGASGLRKGAKKANEQAVGNVTGAVQKNKGTRIVGLINTRAELFEAWR >KJB36356 pep chromosome:Graimondii2_0_v6:6:41314953:41319101:1 gene:B456_006G154600 transcript:KJB36356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLVCRLNLSLRSPGELQVPGNVFGVSTESMQLSLDSRGNSVPTILLLMQSHLYARGGLQAEGIFRINAENSQEEYVREQLNRGVIPDGIDVHCLAGLIKAWFRELPSGVLDSLPPEQVMQAESEEECARLVRLLPPTEAALLDWAINLMADVVQVEHLNKMNARNVAMVFAPNMTQMSDPLTALMYAVQVMNFLKTLIIRTLKGREDSMVDSAPVSRLEPSDKNGQQSSSQLLKDASEEVKNESKGEKAFVGQEPALESPTHSAEENLTTESGPQSFLTSIENICAGNRSLADNCPCTLVSQVNCLANGSLRSTCGKSRSDQSGASGLRKGAKKANEQAVGNVTGAVQKNKGTRIVGLINTRAELFEAWR >KJB33806 pep chromosome:Graimondii2_0_v6:6:8230671:8232217:-1 gene:B456_006G031600 transcript:KJB33806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMDSSTKISFNRCIRDGDLVIVYERHDTMKAVKVCENSVLQNRFGVFKHSDWIGKPFGSKVFSNKGGFVYLLAPTPELWTLVLSHRTQILYIADISFVIMYLEVVPGCLVLESGTGSGSLTTSFARAVSPMGHVYTFDFHEQRAASAREDFERTGISTLVTVGVRDIQGDGFPDQFSGLADSVFLDLPQPWLAIPSARNMLKQDGTLCSFSPCIEQVQRSCETLRSDFTDIRTFEILLRTYEVREWKMDHSKVNDGNSTACPPRKRRQPSSEASVGDNASSSAVMARPSAETRGHTGYLTFARKCVS >KJB33805 pep chromosome:Graimondii2_0_v6:6:8230197:8232620:-1 gene:B456_006G031600 transcript:KJB33805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMDSSTKISFNRCIRDGDLVIVYERHDTMKAVKVCENSVLQNRFGVFKHSDWIGKPFGSKVFSNKGGFVYLLAPTPELWTLVLSHRTQILYIADISFVIMYLEVVPGCLVLESGTGSGSLTTSFARAVSPMGHVYTFDFHEQRAASAREDFERTGISTLVTVGVRDIQGDGFPDQFSGLADSVFLDLPQPWLAIPSARNMLKQDGTLCSFSPCIEQVQRSCETLRSDFTDIRTFEILLRTYEVREWKMDHSKVNDGNSTACPPRKRRQPSSEASVGDNASSSAVMARPSAETRGHTGYLTFARKCVS >KJB33807 pep chromosome:Graimondii2_0_v6:6:8230172:8233049:-1 gene:B456_006G031600 transcript:KJB33807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMDSSTKISFNRCIRDGDLVIVYERHDTMKAVKVCENSVLQNRFGVFKHSDWIGKPFGSKVFSNKGGFVYLLAPTPELWTLVLSHRTQILYIADISFVIMYLEVVPGCLVLESGTGSGSLTTSFARAVSPMGHVYTFDFHEQRAASAREDFERTGISTLVTVGVRDIQGDGFPDQFSGLADSVFLDLPQPWLAIPSARNMLKQDGTLCSFSPCIEQVQRSCETLRSDFTDIRTFEILLRTYEVREWKMDHSKVNDGNSTACPPRKRRQPSSEASVGDNASSSAVMARPSAETRGHTGYLTFARKCVS >KJB35186 pep chromosome:Graimondii2_0_v6:6:34417653:34418167:-1 gene:B456_006G1033002 transcript:KJB35186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLNEELNNRIIRMEKELDGKRALEMELQQLRKRLTVLKQVEASIKKLREMDIKLAFSSAFTPNQ >KJB38425 pep chromosome:Graimondii2_0_v6:6:49743708:49746785:-1 gene:B456_006G254700 transcript:KJB38425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIMQSWRCRLSFKNATIALNIFNVIVALFLLQWFLSSASSRTRPSSNQPNSVGFDYIKEAEEMRIAMQPLELIKRVKEIQREAYTGPETIQPKDAKQTAAVDLSKRLQDFRSLNDASSLKALEEWRKRKMERARQREMEKNGTLVTKE >KJB38426 pep chromosome:Graimondii2_0_v6:6:49744211:49746741:-1 gene:B456_006G254700 transcript:KJB38426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIMQSWRCRLSFKNATIALNIFNVIVALFLLQWFLSSASSRTRPSSNQPNSVGFDYIKEAEEMRIAMQPLELIKRVKEIQREAYTGPETIQPKDAKQTAAVDLSKRLQDFRSLNDASSLKGKPAVSHLNLVVNYFLGLIYSFIPDFSSIIQFGT >KJB34277 pep chromosome:Graimondii2_0_v6:6:21011598:21015934:-1 gene:B456_006G057100 transcript:KJB34277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLIQILKSENVEFVVAPYEADAQLAYLATLEAEKGGVVSVITEDSDLIAYGCPTVTFKMDRYGNGEELVLSKVFDSVSSKPSFRSFDKELFTGMCVLAGCDFLPSVPGIGIVKAHSLVSKYRNLDRVLSVLKIEKGSQMPEDYTKSFKEALAVFQHARIYDAEIRELKHIKPLTEQLLQYLDEGLDFLGPKIPPSVAVAIAEGKLDPTTMEAFDCNNIEPAKFQNSVETTDNSRQASCFMVFSSHKTREKRKTGTMSMKQVVVSTESKYFKDAGLEKLAFPVKTRPKNENMVLEETPLKVPNNNPFKKRKFDEMTELVSSKGEDEKLEMSCVFPDDSQLTFPDNSSLSDTGKLEISNQIDSIAEQISMMSEVECCETLCINMGSQESVSSKPNRVYSERKRGQNVKLKSSNCNRSGTKNTILNFFARV >KJB34276 pep chromosome:Graimondii2_0_v6:6:21012144:21017097:-1 gene:B456_006G057100 transcript:KJB34276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKDLLRFMKPFIEPVHIKKYAGKRVGIDAYSWLHKGAYSCSMEICLDSNSEKKLRYIAYFMHRVNFLRHHKITPVVVFDGANIPCKAATENERYRRRKENRELAIAKLKEGHIQGATELFQRAVSITPAMASQLIQILKSENVEFVVAPYEADAQLAYLATLEAEKGGVVSVITEDSDLIAYGCPTVTFKMDRYGNGEELVLSKVFDSVSSKPSFRSFDKELFTGMCVLAGCDFLPSVPGIGIVKAHSLVSKYRNLDRVLSVLKIEKGSQMPEDYTKSFKEALAVFQHARIYDAEIRELKHIKPLTEQLLQYLDEGLDFLGPKIPPSVAVAIAEGKLDPTTMEAFDCNNIEPAKFQNSVETTDNSRQASCFMVFSSHKTREKRKTGTMSMKQVVVSTESKYFKDAGLEKLAFPVKTRPKNENMVLEETPLKVPNNNPFKKRKFDEMTELVSSKGEDEKLEMSCVFPDDSQLTFPDNSSLSDTGKLEISNQIDSIAEQISMMSEVECCETLCINMGSQESVSSKPNRVYSERKRGQNVKLKSSNCNRSGTKNTILNFFARV >KJB34280 pep chromosome:Graimondii2_0_v6:6:21012880:21017213:-1 gene:B456_006G057100 transcript:KJB34280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKDLLRFMKPFIEPVHIKKYAGKRVGIDAYSWLHKGAYSCSMEICLDSNSEKKLRYIAYFMHRVNFLRHHKITPVVVFDGANIPCKAATENERYRRRKENRELAIAKLKEGHIQGATELFQRAVSITPAMASQLIQILKSENVEFVVAPYEADAQLAYLATLEAEKGGVVSVITEDSDLIAYGCPTVTFKMDRYGNGEELVLSKVFDSVSSKPSFRSFDKELFTGMCVLAGCDFLPSVPGIGIVKAHSLVSKYRNLDRVLSVLKIEKGSQMPEDYTKSFKEALAVFQHARIYDAEIRELKHIKPLTEQLLQYLDEGLDFLGPKIPPSVAVAIAEGKLDPTTMEAFDCNNIEPAKFQNSVETTDNSRQASCFMVFSSHKTREKRKTGKFFKHT >KJB34278 pep chromosome:Graimondii2_0_v6:6:21011598:21017123:-1 gene:B456_006G057100 transcript:KJB34278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQIFPARLPLKMKDTGGGKRTGNWQLLSLKRGIFKRAVSITPAMASQLIQILKSENVEFVVAPYEADAQLAYLATLEAEKGGVVSVITEDSDLIAYGCPTVTFKMDRYGNGEELVLSKVFDSVSSKPSFRSFDKELFTGMCVLAGCDFLPSVPGIGIVKAHSLVSKYRNLDRVLSVLKIEKGSQMPEDYTKSFKEALAVFQHARIYDAEIRELKHIKPLTEQLLQYLDEGLDFLGPKIPPSVAVAIAEGKLDPTTMEAFDCNNIEPAKFQNSVETTDNSRQASCFMVFSSHKTREKRKTGTMSMKQVVVSTESKYFKDAGLEKLAFPVKTRPKNENMVLEETPLKVPNNNPFKKRKFDEMTELVSSKGEDEKLEMSCVFPDDSQLTFPDNSSLSDTGKLEISNQIDSIAEQISMMSEVECCETLCINMGSQESVSSKPNRVYSERKRGQNVKLKSSNCNRSGTKNTILNFFARV >KJB34275 pep chromosome:Graimondii2_0_v6:6:21012144:21016774:-1 gene:B456_006G057100 transcript:KJB34275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICLDSNSEKKLRYIAYFMHRVNFLRHHKITPVVVFDGANIPCKAATENERYRRRKENRELAIAKLKEGHIQGATELFQRAVSITPAMASQLIQILKSENVEFVVAPYEADAQLAYLATLEAEKGGVVSVITEDSDLIAYGCPTVTFKMDRYGNGEELVLSKVFDSVSSKPSFRSFDKELFTGMCVLAGCDFLPSVPGIGIVKAHSLVSKYRNLDRVLSVLKIEKGSQMPEDYTKSFKEALAVFQHARIYDAEIRELKHIKPLTEQLLQYLDEGLDFLGPKIPPSVAVAIAEGKLDPTTMEAFDCNNIEPAKFQNSVETTDNSRQASCFMVFSSHKTREKRKTGTMSMKQVVVSTESKYFKDAGLEKLAFPVKTRPKNENMVLEETPLKVPNNNPFKKRKFDEMTELVSSKGEDEKLEMSCVFPDDSQLTFPDNSSLSDTGKLEISNQIDSIAEQISMMSEVECCETLCINMGSQESVSSKPNRVYSERKRGQNVKLKSSNCNRSGTKNTILNFFARV >KJB34279 pep chromosome:Graimondii2_0_v6:6:21011597:21017213:-1 gene:B456_006G057100 transcript:KJB34279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKDLLRFMKPFIEPVHIKKYAGKRVGIDAYSWLHKGAYSCSMEICLDSNSEKKLRYIAYFMHRVNFLRHHKITPVVVFDGANIPCKAATENERYRRRKENRELAIAKLKEGHIQGATELFQRAVSITPAMASQLIQILKSENVEFVVAPYEADAQLAYLATLEAEKGGVVSVITEDSDLIAYGCPTVTFKMDRYGNGEELVLSKVFDSVSSKPSFRSFDKELFTGMCVLAGCDFLPSVPGIGIVKAHSLVSKYRNLDRVLSVLKIEKGSQMPEDYTKSFKEALAVFQHARIYDAEIRELKHIKPLTEQLLQYLDEGLDFLGPKIPPSVAVAIAEGKLDPTTMEAFDCNNIEPAKFQNSVETTDNSRQASCFMVFSSHKTREKRKTGTMSMKQVVVSTESKYFKDAGLEKLAFPVKTRPKNENMVLEETPLKVPNNNPFKKRKFDEMTELVSSKGEDEKLEMSCVFPDDSQLTFPDNSSLSDTGKLEISNQIDSIAEQISMMSEVECCETLCINMGSQESVSSKPNRVYSERKRGQNVKLKSSNCNRKERTYYGRTKA >KJB35802 pep chromosome:Graimondii2_0_v6:6:38230971:38231909:1 gene:B456_006G128900 transcript:KJB35802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAAKEERNRSDFSRRLCSAASHESRNRRCQKKLEIDDDQKLIRLMGSRPDWLMKKISLNGVLQLSDHLIHFSKSSPPFFFWVKFLSFFCYYH >KJB37593 pep chromosome:Graimondii2_0_v6:6:46652159:46654999:-1 gene:B456_006G212500 transcript:KJB37593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRFWGWFLIHIALFLSLCYAEDPFVFYDFEVTYITASPLGVPQQVIAINNKFPGPTINSTTNNNVVINVRNKLDESLLMHWSGIQQRRSSWQDGVLGTNCPIPPKWNWTYQFQVKDQVGSFFYFPSLHFQRAAGGFGSFIINNRPIIPIPFDTPDGDIVILIGDWYTRNHTALRKALDAGKGLGMPDGVLINGKGPYRYNDTLVPDGIEYETINVHPGKTYRLRVHNVGISTSLNFRIQSHNLLLAESEGSYTVQQNYTSLDIHVGQSYSFLLTTDQNASSDYYIVASARFVNESQWKRVTGVAILRYSNSKGKASGPLPDPPQDDFDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEVYVLRNKPPETIDGKRRATLSGISFVNPATPIRLADQYKIKGVYKLDFPNKPLTGPPKMETSVINGTYRGFMEVILQNNDTKMHTYHMSGYAFFVVGMDYGEWSENSRGTYNKWDGIARSTTQVYPGAWTAILISLDNVGVWNLRTENLDSWYLGQETYVRVVNPEATNKTELPMPDNALFCGALSKLQK >KJB37596 pep chromosome:Graimondii2_0_v6:6:46651061:46655370:-1 gene:B456_006G212500 transcript:KJB37596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRFWGWFLIHIALFLSLCYAEDPFVFYDFEVTYITASPLGVPQQVIAINNKFPGPTINSTTNNNVVINVRNKLDESLLMHWSGIQQRRSSWQDGVLGTNCPIPPKWNWTYQFQVKDQVGSFFYFPSLHFQRAAGGFGSFIINNRPIIPIPFDTPDGDIVILIGDWYTRNHTALRKALDAGKGLGMPDGVLINGKGPYRYNDTLVPDGIEYETINVHPGKTYRLRVHNVGISTSLNFRIQSHNLLLAESEGSYTVQQNYTSLDIHVGQSYSFLLTTDQNASSDYYIVASARFVNESQWKRVTGVAILRYSNSKGKASGPLPDPPQDDFDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEVYVLRNKPPETIDGKRRATLSGISFVNPATPIRLADQYKIKGVYKLDFPNKPLTGPPKMETSVINGTYRGFMEVILQNNDTKMHTYHMSGYAFFVVGMDYGEWSENSRGTYNKWDGIARSTTQVYPGAWTAILISLDNVGVWNLRTENLDSWYLGQETYVRVVNPEATNKTELPMPDNALFCGALSKLQKPQDVSSSLATSIIEGRSKLFFTVLMIASTLFLVSR >KJB37592 pep chromosome:Graimondii2_0_v6:6:46652322:46654999:-1 gene:B456_006G212500 transcript:KJB37592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRFWGWFLIHIALFLSLCYAEDPFVFYDFEVTYITASPLGVPQQVIAINNKFPGPTINSTTNNNVVINVRNKLDESLLMHWSGIQQRRSSWQDGVLGTNCPIPPKWNWTYQFQVKDQVGSFFYFPSLHFQRAAGGFGSFIINNRPIIPIPFDTPDGDIVILIGDWYTRNHTALRKALDAGKGLGMPDGVLINGKGPYRYNDTLVPDGIEYETINVHPGKTYRLRVHNVGISTSLNFRIQSHNLLLAESEGSYTVQQNYTSLDIHVGQSYSFLLTTDQNASSDYYIVASARFVNESQWKRVTGVAILRYSNSKGKASGPLPDPPQDDFDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEVYVLRNKPPETIDGKRRATLSGISFVNPATPIRLADQYKIKGVYKLDFPNKPLTGPPKMETSVINGTYRGFMEVILQNNDTKMHTYHMSGYAFFVVGMDYGEWSENSRGTYNKWDGIARSTTQVGHRRYVVLRLAQVQYFFPFDIIVWTCDQQCLLISLFVGLSWCMDCNLDIT >KJB37595 pep chromosome:Graimondii2_0_v6:6:46652646:46654999:-1 gene:B456_006G212500 transcript:KJB37595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRFWGWFLIHIALFLSLCYAEDPFVFYDFEVTYITASPLGVPQQVIAINNKFPGPTINSTTNNNVVINVRNKLDESLLMHWSGIQQRRSSWQDGVLGTNCPIPPKWNWTYQFQVKDQVGSFFYFPSLHFQRAAGGFGSFIINNRPIIPIPFDTPDGDIVILIGDWYTRNHTALRKALDAGKGLGMPDGVLINGKGPYRYNDTLVPDGIEYETINVHPGKTYRLRVHNVGISTSLNFRIQSHNLLLAESEGSYTVQQNYTSLDIHVGQSYSFLLTTDQNASSDYYIVASARFVNESQWKRVTGVAILRYSNSKGKASGPLPDPPQDDFDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEVYVLRNKPPETIDGKRRATLSGISFVNPATPIRLADQYKIKGVYKLDFPNKPLTGPPKMETSVINGTYRGFMEVILQNNDTKMHTYHMSGYAFFVVG >KJB37591 pep chromosome:Graimondii2_0_v6:6:46651061:46655207:-1 gene:B456_006G212500 transcript:KJB37591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRFWGWFLIHIALFLSLCYAEDPFVFYDFEVTYITASPLGVPQQVIAINNKFPGPTINSTTNNNVVINVRNKLDESLLMHWSGIQQRRSSWQDGVLGTNCPIPPKWNWTYQFQVKDQVGSFFYFPSLHFQRAAGGFGSFIINNRPIIPIPFDTPDGDIVILIGDWYTRNHTALRKALDAGKGLGMPDGVLINGKGPYRYNDTLVPDGIEYETINVHPGKTYRLRVHNVGISTSLNFRIQSHNLLLAESEGSYTVQQNYTSLDIHVGQSYSFLLTTDQNASSDYYIVASARFVNESQWKRVTGVAILRYSNSKGKASGPLPDPPQDDFDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEVYVLRNKPPETIDGKRRATLSGISFVNPATPIRLADQYKIKGVYKLDFPNKPLTGPPKMETSVINGTYRGFMEVILQNNDTKMHTYHMSGYAFFVVGMDYGEWSENSRGTYNKWDGIARSTTQVGLSWCMDCNLDIT >KJB37590 pep chromosome:Graimondii2_0_v6:6:46651061:46655207:-1 gene:B456_006G212500 transcript:KJB37590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRFWGWFLIHIALFLSLCYAEDPFVFYDFEVTYITASPLGVPQQVIAINNKFPGPTINSTTNNNVVINVRNKLDESLLMHWSGIQQRRSSWQDGVLGTNCPIPPKWNWTYQFQVKDQVGSFFYFPSLHFQRAAGGFGSFIINNRPIIPIPFDTPDGDIVILIGDWYTRNHTALRKALDAGKGLGMPDGVLINGKGPYRYNDTLVPDGIEYETINVHPGGKTYRLRVHNVGISTSLNFRIQSHNLLLAESEGSYTVQQNYTSLDIHVGQSYSFLLTTDQNASSDYYIVASARFVNESQWKRVTGVAILRYSNSKGKASGPLPDPPQDDFDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEVYVLRNKPPETIDGKRRATLSGISFVNPATPIRLADQYKIKGVYKLDFPNKPLTGPPKMETSVINGTYRGFMEVILQNNDTKMHTYHMSGYAFFVVGMDYGEWSENSRGTYNKWDGIARSTTQVYPGAWTAILISLDNVGVWNLRTENLDSWYLGQETYVRVVNPEATNKTELPMPDNALFCGALSKLQKPQDVSSSLATSIIEGRSKLFFTVLMIASTLFLVSR >KJB37597 pep chromosome:Graimondii2_0_v6:6:46651061:46655207:-1 gene:B456_006G212500 transcript:KJB37597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRFWGWFLIHIALFLSLCYAEDPFVFYDFEVTYITASPLGVPQQVIAINNKFPGPTINSTTNNNVVINVRNKLDESLLMHWSGIQQRRSSWQDGVLGTNCPIPPKWNWTYQFQVKDQVGSFFYFPSLHFQRAAGGFGSFIINNRPIIPIPFDTPDGDIVILIGDWYTRNHTALRKALDAGKGLGMPDGVLINGKGPYRYNDTLVPDGIEYETINVHPGKTYRLRVHNVGISTSLNFRIQSHNLLLAESEGSYTVQQNYTSLDIHVGQSYSFLLTTDQNASSDYYIVASARFVNESQWKRVTGVAILRYSNSKGKASGPLPDPPQDDFDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEVYVLRNKPPETIDGKRRATLSGISFVNPATPIRLADQYKIKGVYKLDFPNKPLTGPPKMETSVINGTYRGFMEVILQNNDTKMHTYHMSGYAFFVVGMDYGEWSENSRGTYNKWDGIARSTTQVYPGAWTAILISLDNVGVWNLRTENLDSWYLGQETYVRVVNPEATNKTELPMPDNALFCGALSKLQKPQDVSSSLATSIIEGRSKLFFTVLMIASTLFLVSR >KJB37594 pep chromosome:Graimondii2_0_v6:6:46651061:46655207:-1 gene:B456_006G212500 transcript:KJB37594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRFWGWFLIHIALFLSLCYAEDPFVFYDFEVTYITASPLGVPQQVIAINNKFPGPTINSTTNNNVVINVRNKLDESLLMHWSGIQQRRSSWQDGVLGTNCPIPPKWNWTYQFQVKDQVGSFFYFPSLHFQRAAGGFGSFIINNRPIIPIPFDTPDGDIVILIGDWYTRNHTVSEALDAGKGLGMPDGVLINGKGPYRYNDTLVPDGIEYETINVHPGGKTYRLRVHNVGISTSLNFRIQSHNLLLAESEGSYTVQQNYTSLDIHVGQSYSFLLTTDQNASSDYYIVASARFVNESQWKRVTGVAILRYSNSKGKASGPLPDPPQDDFDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEVYVLRNKPPETIDGKRRATLSGISFVNPATPIRLADQYKIKGVYKLDFPNKPLTGPPKMETSVINGTYRGFMEVILQNNDTKMHTYHMSGYAFFVVGMDYGEWSENSRGTYNKWDGIARSTTQVYPGAWTAILISLDNVGVWNLRTENLDSWYLGQETYVRVVNPEATNKTELPMPDNALFCGALSKLQKPQDVSSSLATSIIEGRSKLFFTVLMIASTLFLVSR >KJB35968 pep chromosome:Graimondii2_0_v6:6:39161351:39163122:1 gene:B456_006G135700 transcript:KJB35968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] GPDHC1, cytosolic [Source:Projected from Arabidopsis thaliana (AT2G41540) UniProtKB/Swiss-Prot;Acc:O22216] MRIFLAAGVPIENILYLGGPNIASEIYNKEYANARICGAEQWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTKESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSRDMGDSISGKGMIQGVSAVGAFYELLSQSSLSVLHPDGNKPVAPVELCPLLKTLYKILITREKTAEAILQALRDETLNDPRERIEIAQTHAFYKPSLLGQP >KJB35962 pep chromosome:Graimondii2_0_v6:6:39160637:39162587:1 gene:B456_006G135700 transcript:KJB35962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] GPDHC1, cytosolic [Source:Projected from Arabidopsis thaliana (AT2G41540) UniProtKB/Swiss-Prot;Acc:O22216] MVGSIDTLHRSLNSNGSFYNCNGLEEKLDELRHLLCKSDGDPLRIVSVGAGAWGSVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETRDVFQEISNYWKERITVPIIISLSKGIEAALQPLPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARICGAEQWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTKESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSRDMGDSISGKGMIQGVSAVGAFYELLSQSSLSVLHPDGNKPVAPVELCPLLKTLYKILITR >KJB35959 pep chromosome:Graimondii2_0_v6:6:39159929:39163138:1 gene:B456_006G135700 transcript:KJB35959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] GPDHC1, cytosolic [Source:Projected from Arabidopsis thaliana (AT2G41540) UniProtKB/Swiss-Prot;Acc:O22216] MVGSIDTLHRSLNSNGSFYNCNGLEEKLDELRHLLCKSDGDPLRIVSVGAGAWGSVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETRDVFQEISNYWKERITVPIIISLSKGIEAALQPLPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARICGAEQWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTKESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSRDMGDSISGKGMIQGVSAVGAFYELLSQSSLSVLHPDGNKPVAPVELCPLLKTLYKILITREKTAEAILQALRDETLNDPRERIEIAQTHAFYKPSLLGQP >KJB35964 pep chromosome:Graimondii2_0_v6:6:39160173:39163122:1 gene:B456_006G135700 transcript:KJB35964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] GPDHC1, cytosolic [Source:Projected from Arabidopsis thaliana (AT2G41540) UniProtKB/Swiss-Prot;Acc:O22216] MVGSIDTLHRSLNSNGSFYNCNGLEEKLDELRHLLCKSDGDPLRIVSVGAGAWGSVFAALLQDSYGQFRDKVQIRIWRRLIRRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETRDVFQEISNYWKERITVPIIISLSKGIEAALQPLPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARICGAEQWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTKESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSRDMGDSISGKGMIQGVSAVGAFYELLSQSSLSVLHPDGNKPVAPVELCPLLKTLYKILITREKTAEAILQALRDETLNDPRERIEIAQTHAFYKPSLLGQP >KJB35960 pep chromosome:Graimondii2_0_v6:6:39160637:39162060:1 gene:B456_006G135700 transcript:KJB35960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] GPDHC1, cytosolic [Source:Projected from Arabidopsis thaliana (AT2G41540) UniProtKB/Swiss-Prot;Acc:O22216] MVGSIDTLHRSLNSNGSFYNCNGLEEKLDELRHLLCKSDGDPLRIVSVGAGAWGSVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETRDVFQEISNYWKERITVPIIISLSKGIEAALQPLPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARICGAEQWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGKNGSSPDQGKCY >KJB35965 pep chromosome:Graimondii2_0_v6:6:39160173:39163122:1 gene:B456_006G135700 transcript:KJB35965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] GPDHC1, cytosolic [Source:Projected from Arabidopsis thaliana (AT2G41540) UniProtKB/Swiss-Prot;Acc:O22216] MVGSIDTLHRSLNSNGSFYNCNGLEEKLDELRHLLCKSDGDPLRIVSVGAGAWGSVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETRDVFQEISNYWKERITVPIIISLSKGIEAALQPLPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARICGAEQWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTKESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSRDMGDSISGKGMIQGVSAVGAFYELLSQSSLSVLHPDGNKPVAPVELCPLLKTLYKILITRRRLKRFCRH >KJB35966 pep chromosome:Graimondii2_0_v6:6:39160173:39163122:1 gene:B456_006G135700 transcript:KJB35966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] GPDHC1, cytosolic [Source:Projected from Arabidopsis thaliana (AT2G41540) UniProtKB/Swiss-Prot;Acc:O22216] MVGSIDTLHRSLNSNGSFYNCNGLEEKLDELRHLLCKKISNYWKERITVPIIISLSKGIEAALQPLPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARICGAEQWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTKESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSRDMGDSISGKGMIQGVSAVGAFYELLSQSSLSVLHPDGNKPVAPVELCPLLKTLYKILITREKTAEAILQALRDETLNDPRERIEIAQTHAFYKPSLLGQP >KJB35961 pep chromosome:Graimondii2_0_v6:6:39160173:39163122:1 gene:B456_006G135700 transcript:KJB35961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] GPDHC1, cytosolic [Source:Projected from Arabidopsis thaliana (AT2G41540) UniProtKB/Swiss-Prot;Acc:O22216] MIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETRDVFQEISNYWKERITVPIIISLSKGIEAALQPLPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARICGAEQWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTKESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSRDMGDSISGKGMIQGVSAVGAFYELLSQSSLSVLHPDGNKPVAPVELCPLLKTLYKILITREKTAEAILQALRDETLNDPRERIEIAQTHAFYKPSLLGQP >KJB35963 pep chromosome:Graimondii2_0_v6:6:39160173:39163122:1 gene:B456_006G135700 transcript:KJB35963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] GPDHC1, cytosolic [Source:Projected from Arabidopsis thaliana (AT2G41540) UniProtKB/Swiss-Prot;Acc:O22216] MVGSIDTLHRSLNSNGSFYNCNGLEEKLDELRHLLCKSDGDPLRIVSVGAGAWGSVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETRDVFQEISNYWKERITVPIIISLSKGIEAALQPLPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARICGAEQWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTKESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSRDMGDSISGKGMIQGVSAVGAFYELLSQSSLSVLHPDGNKPVAPVELCPLLKTLYKILITSNMVMYEE >KJB35967 pep chromosome:Graimondii2_0_v6:6:39160173:39163122:1 gene:B456_006G135700 transcript:KJB35967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] GPDHC1, cytosolic [Source:Projected from Arabidopsis thaliana (AT2G41540) UniProtKB/Swiss-Prot;Acc:O22216] MVGSIDTLHRSLNSNGSFYNCNGLEEKLDELRHLLCKSDGDPLRIVSVGAGAWGSVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETRDVFQEISNYWKERITVPIIISLSKGIEAALQPLPHIITPTQMINRARMVAALTKESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSRDMGDSISGKGMIQGVSAVGAFYELLSQSSLSVLHPDGNKPVAPVELCPLLKTLYKILITREKTAEAILQALRDETLNDPRERIEIAQTHAFYKPSLLGQP >KJB37071 pep chromosome:Graimondii2_0_v6:6:44505316:44508920:-1 gene:B456_006G188400 transcript:KJB37071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVVAEPKSSAEPPFPATRTDLGKCLTMDPPLGADEDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVNQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >KJB37072 pep chromosome:Graimondii2_0_v6:6:44505773:44508920:-1 gene:B456_006G188400 transcript:KJB37072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVVAEPKSSAEPPFPATRTDLGKCLTMDPPLGADEDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVNQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQASRAICVFSMSMSLLSLVHFSVIGDCF >KJB37074 pep chromosome:Graimondii2_0_v6:6:44505396:44508836:-1 gene:B456_006G188400 transcript:KJB37074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVVAEPKSSAEPPFPATRTDLGKCLTMDPPLGADEDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVNQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQASLHC >KJB37073 pep chromosome:Graimondii2_0_v6:6:44505397:44508836:-1 gene:B456_006G188400 transcript:KJB37073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVVAEPKSSAEPPFPATRTDLGKCLTMDPPLGADEDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVNQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEVGCEYYLS >KJB37075 pep chromosome:Graimondii2_0_v6:6:44506021:44508767:-1 gene:B456_006G188400 transcript:KJB37075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVVAEPKSSAEPPFPATRTDLGKCLTMDPPLGADEDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVNQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQASRAICVFSMSMSLLSLVHFSVIGDCF >KJB37161 pep chromosome:Graimondii2_0_v6:6:44869274:44870744:-1 gene:B456_006G191600 transcript:KJB37161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFSSGFHPPAVPGHETKLSAEEKQPAARCEWDFSLATVVSSTANATVSDTLGVIEFDPSNTILATGGIARKIRIYTLNSLLGPQEETVHFQGEHQSIAFLDHTNACGNCIFTPAKLSSLRWKPGTGGRILGSGDYDGVVMEYDVETKVPIFERDEHGGRRVWSVDYSHSDPFLGASGSDDGTMQMWDPRCGEVGGSVAKVQPTKSRSSVCCVEFNPFGDALIAAGCADQKAYAYDVRKMVEPVHIFDGHTKTITYVRFLNAQTLVSAGTDGCLKLWNIVDSRLLRTYKGHVNSRSFVGLSVWRHGGLLGCGSENNQVFVYDTRWGEPIWVHGFEPMGRDSSDHHHAFVSSVCWRQVNEDQCTLVAGGSNGVLHVFVGKRKSDSE >KJB36488 pep chromosome:Graimondii2_0_v6:6:42179728:42184786:1 gene:B456_006G162300 transcript:KJB36488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPSDYIALGSQSEEETEPELEEDSEETEEEQQEEGFIPVMESPRVLAEKESKNGEATVCSNAGESGENSQGNEWNRGDIDGLFCPICMEAWTTTGDHHVCCLPCGHIFGFSCIRKWLQQQGTTKKCPQCNRKCTLKDVRKLFASRVVAIDGESQKRIQSLEAKCISLDKKNAALIKKEAEWKKKEAEWKKREALLQRELHQLKEKTIYLEHLLDVKPRTLGHPPSMGGYCVPSLGSEFNGQGPFILQKELGVDGARLFDIDASSKIILMTRRLQGLGGMHVLTKMSLVAPYTRQDISLPTGSKAVRDLHICPSDGSLSLFASLGKKLSVLSTKINNFILAYDLPAPAWSCSWDLNGSHQIYAGLQNGSLVVFDMRQTARPLEFVNGLTSNPVHTIYSLHNSTLPSGVTAVLSASSAGICQWNFGGSEERQPVVLETGNQGACISLAYCPSSDDIIASFRPRIDNSNEMAYSQHLLTPAIGQGVQGSHVHLKRFGSNCYQKLAVTCANVNDVRLPRSAVMNIESHGCLFASGDELTGELVLQELPSFTVIQHLKLRKQPIYDIKYVHDVDGGLLGCLCDDILQLYGNHALK >KJB36489 pep chromosome:Graimondii2_0_v6:6:42179728:42184786:1 gene:B456_006G162300 transcript:KJB36489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPSDYIALGSQSEEETEPELEEDSEETEEEQQEEGFIPVMESPRVLAEKESKNGEATVCSNAGESGENSQGNEWNRGDIDGLFCPICMEAWTTTGDHHVCCLPCGHIFGFSCIRKWLQQQGTTKKCPQCNRKCTLKDVRKLFASRVVAIDGESQKRIQSLEAKCISLDKKNAALIKKEAEWKKKEAEWKKREALLQRELHQLKEKTIYLEHLLDVKPRTLGHPPSMGGYCVPSLGSEFNGQGPFILQKELGVDGARLFDIDASSKIILMTRRLQGLGGMHVLTKMSLVAPYTRQDISLPTGSKAVRDLHICPSDGSLSLFASLGKKLSVLSTKINNFILAYDLPAPAWSCSWDLNGSHQIYAGLQNGSLVVFDMRQTARPLEFVNGLTSNPVHTIYSLHNSTLPSGVTAVLSASSAGICQWNFGGSEERQPVVLETGNQGACISLAYCPSSDDIIASFRPRIDNSNEMAYSQHLLTPAIGQGVQGSHVHLKRFGSNCYQKLAVTCANVNDVRLPRSAVMNIESHGCLFASGDELTGF >KJB34271 pep chromosome:Graimondii2_0_v6:6:20806906:20807909:1 gene:B456_006G056800 transcript:KJB34271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRLVVNIFIGSSSSSSSSSEQNVAKIALQAIKQASAIKDKDYKQLTISTIYQNLKCCKSILNEYALRMNHASPTYTTIQQDKFHPVYVSSLVFDGKTYRGEVAGSKKEAEQLVARIAIESLLGFDSGILLQIINSKSKAHNGRNTINGHSGNMINTQRPVLVGPSKQPVNV >KJB35198 pep chromosome:Graimondii2_0_v6:6:34651285:34657803:1 gene:B456_006G104200 transcript:KJB35198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHTEGKDFVVLSRVRTGLKREFEFALKVQAEMCGSLGRTRSRKSQNGPALSPGKRSNKKLKREPKAEEEPIDLMSEEEAKSDVVDVEEPKTEVDGCEEEESKRVEAGKEEEIKSVVIETMFEDVVGNEGKGESEPEKTIIGTLDEKEEKVSKMDVDIGKKQSELEDATKNVEGEKGKEDLVIKSEPCKRDSRVPFFASFEGNSKVEEVVKQEKPLRTYTRSSLKPKVETVKGAVLGDAVIVNASDVKSGRDDNGVKGVDSLITPEINVSTKFVRNFPTKLKDLFDSGMLEGANVRYARSSKVTRNSGSNELRGVIKGSGILCFCSACKGVNVVTPTLYENHAGSSNKRPAEYIYLENGHTLRDVMNACKDSSLTTLENALRMVIGSSMKKSSFCFNCRASITDADSGKPMTLCNSCVDLKECQDSSIEVADGASDRSPGSTVVPKSPISASKCSSSQTKSQGRVTRKDLRMHKLVFEENGLPNGAELGYFVRGKKMLVGYKRGYGILCTCCNSEISPSQFEAHAGWASRRKPFQHIYTSNGVSLHELSISLLKNQKYSTNDCDDLCSICLQGGDLFCCNTCPRAFHKECVSLPSIPTGTWHCRYCQNTFQKEKFVERNANALAAGRVAGIDPIEQITKRSIRIIKTPETEVPSVCVLCRGHAFSKSGFGPRTVILCDQCEREYHVGCLRDHNMDDLKELPEGKWFCCTDCNRIHSALQKLIVRGEEKLPDSSLLVVKKKYEKNRLESKASLDIRWRVLSGKMISSDDTRVLLSKAVAIFHERFDPISDSGSSKGDLIPSMVYGRSVKDQDFGGMYCAILTVNQVVVSAGIFRIFGQEVAEIPLVATSTEGEGQGYFQCLFTCLEKLLGFLNVKNVVLPAADEAESIWTKKFGFSKITKEELDKYRRDYQMMVFQGTSILQKPVPEIRLIRKPEHE >KJB35199 pep chromosome:Graimondii2_0_v6:6:34651516:34657665:1 gene:B456_006G104200 transcript:KJB35199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHTEGKDFVVLSRVRTGLKREFEFALKVQAEMCGSLGRTRSRKSQNGPALSPGKRSNKKLKREPKAEEEPIDLMSEEEAKSDVVDVEEPKTEVDGCEEEESKRVEAGKEEEIKSVVIETMFEDVVGNEGKGESEPEKTIIGTLDEKEEKVSKMDVDIGKKQSELEDATKNVEGEKGKEDLVIKSEPCKRDSRVPFFASFEGNSKVEEVVKQEKPLRTYTRSSLKPKVETVKGAVLGDAVIVNASDVKSGRDDNGVKGVDSLITPEINVSTKFVRNFPTKLKDLFDSGMLEGANVRYARSSKVTRNSGSNELRGVIKGSGILCFCSACKGVNVVTPTLYENHAGSSNKRPAEYIYLENGHTLRDVMNACKDSSLTTLENALRMVIGSSMKKSSFCFNCRASITDADSGKPMTLCNSCVDLKECQDSSIEVADGASDRSPGSTVVPKSPISASKCSSSQTKSQGRVTRKDLRMHKLVFEENGLPNGAELGYFVRGKKMLVGYKRGYGILCTCCNSEISPSQFEAHAGWASRRKPFQHIYTSNGVSLHELSISLLKNQKYSTNDCDDLCSICLQGGDLFCCNTCPRAFHKECVSLPSIPTGTWHCRYCQNTFQKEKFVERNANALAAGRVAGIDPIEQITKRSIRIIKTPETEVPSVCVLCRGHAFSKSGFGPRTVILCDQCEREYHVGCLRDHNMDDLKELPEGKWFCCTDCNRIHSALQKLIVRGEEKLPDSSLLVVKKKYEKNRLESKASLDIRWRVLSGKMISSDDTRVLLSKAVAIFHERFDPISDSGSSKGDLIPSMVYGSVKDQDFGGMYCAILTVNQVVVSAGIFRIFGQEVAEIPLVATSTEGEGQGYFQCLFTCLEKLLGFLNVKNVVLPAADEAESIWTKKFGFSKITKEELDKYRRDYQMMVFQGTSILQKPVPEIRLIRKPEHE >KJB34471 pep chromosome:Graimondii2_0_v6:6:27219500:27224156:-1 gene:B456_006G067500 transcript:KJB34471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAAAPDPYMTNIKPCISSSRKIVVSTMVNIIAQHKEASWSGLASASHISSRQPFLHRITSKPVKFEKFVTRAMSEPSDNKPLSGLPIDLRGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKVYPMDAVYDSPEDVPVDVKTNKRYAGSSNWTVQELVECVKKDFGSIDILVHSLANGPEVCKPLLETSRNGYLAALSASSYSYISLLKHFLPIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKVRVNAISAGPLRSRAAKAIGFIDMMIDYSLANAPLQKELSAEEVGNAAAFLASPLSSAITGAVVYVDNGLNAMGVGVDSPIFENLDIPKDN >KJB34470 pep chromosome:Graimondii2_0_v6:6:27219500:27224253:-1 gene:B456_006G067500 transcript:KJB34470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAAAPDPYMTNIKPCISSSRKIVVSTMVNIIAQHKEASWSGLASASHISSRQPFLHRITSKPVKFEKFVTRAMSEPSDNKPLSGLPIDLRGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKVYPMDAVYDSPEDVPVDVKTNKRYAGSSNWTVQELVECVKKDFGSIDILVHSLANGPEVSKPLLETSRNGYLAALSASSYSYISLLKHFLPIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKVRVNAISAGPLRSRAAKAIGFIDMMIDYSLANAPLQKELSAEEVGNAAAFLASPLSSAITGAVVYVDNGLNAMGVGVDSPIFENLDIPKDN >KJB34472 pep chromosome:Graimondii2_0_v6:6:27219500:27223752:-1 gene:B456_006G067500 transcript:KJB34472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAAAPDPYMTNIKPCISSSRKIVVSTMVNIIAQHKEASWSGLASASHISSRQPFLHRITSKPVKFEKFVTRAMSEPSDNKPLSGLPIDLRGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKVYPMDAVYDSPEDVPVDVKTNKRYAGSSNWTVQELVECVKKDFGSIDILVHSLANGPEVSKPLLETSRNGYLAALSASSYSYISLLKHFLPIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKVRVNAISAGPLRSRAAKAIGFIDMMIDYSLANAPLQKELSAEEVGNAAAFLASPLSSAITGAVVYVDNGLNAMGVGVDSPIFENLDIPKDN >KJB38775 pep chromosome:Graimondii2_0_v6:6:50829882:50831850:-1 gene:B456_006G2712002 transcript:KJB38775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LISPDDMLQACSLWEKFDVPVMLRKFDSGVMVIQNKSHSDEEVFARIKSLVTKPEALRTGISPTDTAMTLGIAPAMAKEHLLTAESKGLLCRDISPDGFRFYINLFPEIDPCNMYFVKGYGICSTWIKAVSTTG >KJB38776 pep chromosome:Graimondii2_0_v6:6:50830123:50831850:-1 gene:B456_006G2712002 transcript:KJB38776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LISPDDMLQACSLWEKFDVPVMLRKFDSGVMVIQNKSHSDEEVFARIKSLVTKPEALRTGISPTDTAMTLGIAPAMAKEHLLTAESKGLLCRDISPDGFRFYINLFPEIDPCNMYFVKGYGICSTWIKAVSTTGKNAFLNQFISIFTD >KJB38777 pep chromosome:Graimondii2_0_v6:6:50830706:50831850:-1 gene:B456_006G2712002 transcript:KJB38777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LISPDDMLQACSLWEKFDVPVMLRKFDSGVMVIQNKSHSDEEVFARIKSLVTKPEALRTGISPTDTAMTLGIAPAMAKEHLLTAESKGLLCRDISPDGFRFYINLFPEIDPCNMYL >KJB34741 pep chromosome:Graimondii2_0_v6:6:31524469:31525817:-1 gene:B456_006G083100 transcript:KJB34741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWHGSGRSRNSINDGDFDEEEVWSYEKEDSSSPTSSKPREPSSSSSSAWRLPSAPRTIRRGSNSSSAARHETEVTRQSSAPLNIPDWSKIYGKHANMEPSRNESWVNTGDDDGDDDDDGMVYEDGDMVPPHEWLARKLARTRVSSFSVCEGMGRTLKGRDLSKMRNAVLTRTGFLEQ >KJB33596 pep chromosome:Graimondii2_0_v6:6:32675543:32678370:1 gene:B456_006G090500 transcript:KJB33596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGTSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPNNVDIARVAPTYHLYTPSEVEAVITRL >KJB33597 pep chromosome:Graimondii2_0_v6:6:32675967:32678370:1 gene:B456_006G090500 transcript:KJB33597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGTSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPNNVDIARVAPTYHLYTPSEVEAVITRL >KJB33598 pep chromosome:Graimondii2_0_v6:6:32675349:32678370:1 gene:B456_006G090500 transcript:KJB33598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGTSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPNNVDIARVAPTYHLYTPSEVEAVITRL >KJB33594 pep chromosome:Graimondii2_0_v6:6:32674994:32678403:1 gene:B456_006G090500 transcript:KJB33594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRITSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGTSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPNNVDIARVAPTYHLYTPSEVEAVITRL >KJB33595 pep chromosome:Graimondii2_0_v6:6:32674994:32678541:1 gene:B456_006G090500 transcript:KJB33595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRITSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGTSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPNNVDIARVAPTYHLYTPSEVEAVITRL >KJB35855 pep chromosome:Graimondii2_0_v6:6:38446786:38452488:1 gene:B456_006G130600 transcript:KJB35855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPMIILLVLTIWKAGNGSRANELKVDGKVLELDESNFDSAISSFDYILVDFYAPWCGHCKRLSPQLDEAAPVLAGLNEPIVLAKVNADKFTRLASKHDIDGYPTLKFFMHGVPMEYNGPRKADLLVQYLKKFVAPDVSILSSDSAINDFVEAAGTFFPIYIGFGLNETVISNLAVKYKKKAWFSVAKDFSDDAMVLYDFDKVPSLVALHPSYKQQSVFYGPFEDSFLGDFVKQNLLPLVVPLNHETLKLLKDEERKVVLTILNDEDEDQSQNLIKLLKAAASANPDLVFSYVGVEQWEDFADKFEANQKTKLPKMIVWNGNEEYFSVGYWC >KJB35854 pep chromosome:Graimondii2_0_v6:6:38446786:38452488:1 gene:B456_006G130600 transcript:KJB35854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGVPMEYNGPRKADLLVQYLKKFVAPDVSILSSDSAINDFVEAAGTFFPIYIGFGLNETVISNLAVKYKKKAWFSVAKDFSDDAMVLYDFDKVPSLVALHPSYKQQSVFYGPFEDSFLGDFVKQNLLPLVVPLNHETLKLLKDEERKVVLTILNDEDEDQSQNLIKLLKAAASANPDLVFSYVGVEQWEDFADKFEANQKTKLPKMIVWNGNEEYFSVIGVESLDAEDQGTQISRFLEGYREGRTERKTVKGPSFMDFIHSLVGIRTVYILVFIVAMMMLIQSIGKEDDMPRVGSQDAVDHAGSSEAESSRYGLEKKED >KJB35853 pep chromosome:Graimondii2_0_v6:6:38446786:38452488:1 gene:B456_006G130600 transcript:KJB35853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLFLVQILPLMILLKQLQSVFYGPFEDSFLGDFVKQNLLPLVVPLNHETLKLLKDEERKVVLTILNDEDEDQSQNLIKLLKAAASANPDLVFSYVGVEQWEDFADKFEANQKTKLPKMIVWNGNEEYFSVIGVESLDAEDQGTQISRFLEGYREGRTERKTVKGPSFMDFIHSLVGIRTVYILVFIVAMMMLIQSIGKEDDMPRVGSQDAVDHAGSSEAESSRYGLEKKED >KJB35852 pep chromosome:Graimondii2_0_v6:6:38446559:38452492:1 gene:B456_006G130600 transcript:KJB35852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPMIILLVLTIWKAGNGSRANELKVDGKVLELDESNFDSAISSFDYILVDFYAPWCGHCKRLSPQLDEAAPVLAGLNEPIVLAKVNADKFTRLASKHDIDGYPTLKFFMHGVPMEYNGPRKADLLVQYLKKFVAPDVSILSSDSAINDFVEAAGTFFPIYIGFGLNETVISNLAVKYKKKAWFSVAKDFSDDAMVLYDFDKVPSLVALHPSYKQQSVFYGPFEDSFLGDFVKQNLLPLVVPLNHETLKLLKDEERKVVLTILNDEDEDQSQNLIKLLKAAASANPDLVFSYVGVEQWEDFADKFEANQKTKLPKMIVWNGNEEYFSVIGVESLDAEDQGTQISRFLEGYREGRTERKTVKGPSFMDFIHSLVGIRTVYILVFIVAMMMLIQSIGKEDDMPRVGSQDAVDHAGSSEAESSRYGLEKKED >KJB38535 pep chromosome:Graimondii2_0_v6:6:50048018:50052943:1 gene:B456_006G259500 transcript:KJB38535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTTKRDSLTLLLLLLIAILSISSVESGRPPFACDPRNGLTRSLRFCRTNLPIHVRVRDLLGRLTLPEKIRLLVNNAIDVPRLGIRGYEWWSEALHGVSNVGPGTKFGGSFPGATSFPQVITTAASFNESLWERIGQVVSDEARAMYNGGMAGLTYWSPNVNIFRDPRWGRGQETPGEDPVLAGKYAASYVRGLQSATGNRLKVAACCKHYTAYDLDNWKGVDRFHFNARVSKQDLADTYNVPFKACVVEGKVASVMCSYNQVNGKPTCADPDLLKGTIRGQWHLNGYIVSDCDSVGVMYDTQHFTVTPEESAAATIKAGLDLDCGPFLAIYTDLAIRRGLLTVTDVDMALANTVTVQMRLGMFDGEPSAQPYGHLGPRHVCTPDHKQLALEAARQGIVLLKNSGSLPLSTSRHRTVAVIGPNSDVTETMIGNYAGVACDYTSPLKGISRYVRTVHQAGCSNVACKANNLFGFAEVAARHADATVLIMGLDQSIEAEFKDRAGLLLPGYQQELVTRVAQASKGPTILVLMSGGPIDVSFAKYDRRVSAILWAGYPGQAGGTAIADVLFGTTNPGGKLPMTWYPQSYVAKVPMTNMGMRPSRGYPGRTYRFYKGPVVFPFGHGLSYTNFKQSLALAPTDLSVLINTNLFATKNYSTLSSKAIRVKHTNCDSLSLPLHIDVENIGNMDGTHTLLLFSEPPAAVKWSPNKQLISFHRVHVIAGSKQRVKINVHACKHLSVVDEFGIRRIPMGQHSLYIGDLKHSISLQANLEGIKN >KJB38536 pep chromosome:Graimondii2_0_v6:6:50048069:50052943:1 gene:B456_006G259500 transcript:KJB38536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTTKRDSLTLLLLLLIAILSISSVESGRPPFACDPRNGLTRSLRFCRTNLPIHVRVRDLLGRLTLPEKIRLLVNNAIDVPRLGIRGYEWWSEALHGVSNVGPGTKFGGSFPGATSFPQVITTAASFNESLWERIGQVVSDEARAMYNGGMAGLTYWSPNVNIFRDPRWGRGQETPGEDPVLAGKYAASYVRGLQSATGNRLKVAACCKHYTAYDLDNWKGVDRFHFNARVSKQDLADTYNVPFKACVVEGKVASVMCSYNQVNGKPTCADPDLLKGTIRGQWHLNGYIVSDCDSVGVMYDTQHFTVTPEESAAATIKAGLDLDCGPFLAIYTDLAIRRGLLTVTDVDMALANTVTVQMRLGMFDGEPSAQPYGHLGPRHVCTPDHKQLALEAARQGIVLLKNSGSLPLSTSRHRTVAVIGPNSDVTETMIGNYAGVACDYTSPLKGISRYVRTVHQAGCSNVACKANNLFGFAEVAARHADATVLIMGLDQSIEAEFKDRAGLLLPGYQQELVTRVAQASKGPTILVLMSGGPIDVSFAKYDRRVSAILWAGYPGQAGGTAIADVLFGTTNPGKFNSLAQCRKYQTL >KJB38060 pep chromosome:Graimondii2_0_v6:6:48340717:48343138:1 gene:B456_006G235400 transcript:KJB38060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMAGTATFSISSATSIFRTSSSLKFSSKPMLIPMRFSPKTQSPPRTLRIYALTSNDIKVGTNLEVDGAPWRILEFLHVKPGKGAAFVRTKMRNYITGNTVEKTFRAGSTIEEANVFKESKQFTYKDGSQFVFMDLNTFEESRLNEADVGDKTKWLKEGMDCNLLFWNGKIIDFELPITVQLTVVDVDPGLKGDTASGGSKPATVDTGAVVNVPLFVNIGDEILVDTRTGQYMSRA >KJB38062 pep chromosome:Graimondii2_0_v6:6:48340825:48343113:1 gene:B456_006G235400 transcript:KJB38062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFWFFVEFLHVKPGKGAAFVRTKMRNYITGNTVEKTFRAGSTIEEANVFKESKQFTYKDGSQFVFMDLNTFEESRLNEADVGDKTKWLKEGMDCNLLFWNGKIIDFELPITVQLTVVDVDPGLKGDTASGGSKPATVDTGAVVNVPLFVNIGDEILVDTRTGQYMSRA >KJB38061 pep chromosome:Graimondii2_0_v6:6:48340825:48342354:1 gene:B456_006G235400 transcript:KJB38061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMAGTATFSISSATSIFRTSSSLKFSSKPMLIPMRFSPKTQSPPRTLRIYALTSNDIKVGTNLEVDGAPWRILEFLHVKPGKGAAFVRTKMRNYITGNTVEKTFRAGSTIEEANVFKESKQFTYKDGSQFVFMDLNTFEESRLNEADVGDKTKWLKEGMDCNLLFWNGKVYIYMHTHIVPLLHSRHLHCMYT >KJB38447 pep chromosome:Graimondii2_0_v6:6:49773075:49779518:-1 gene:B456_006G255300 transcript:KJB38447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSSLRAEDLNAPSTSSSRKTSNVFHLLAQREVSPRTKRSSRKLWGEESKSHLDSCRPKCLAKRDARSDLLSWVESESLQHFSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCQTGNCLKVLSGHRRTPWVVRFHPLYPEILASGSLDHEVRLWNANTAECIGTRDFYRPIASIAFHAQGEVLAVASGHKLYIWHYNRRGETSSPAIILKTRRSLRAVHFHPYAAPFLLTAEVNDLDSSDSSMTVATSPGFLRYPAPTNVDGDTGSNGVHQRGNQPASVRLLTYSTPTGQYELLLSPVEPNSSSPLPEETGANPLPTEMETDVSNSAMEPMEMMEVQSVERTTQFFPFGDPTSWELPFLQGWLIGQTQAGQRNMHLAAGGGHENSLPAGETGTSASVASSGMVPSVSQTRVSGRPSSRHRSSRSRMVSSSGTGESGYSNIIHESSDPQPAVGRIPSELATSLAAAVAAELPCTVKLRIWPHDMKDPCAFLDPEKCRLTIPHAVLCSEMGAHFSPCGRFLAACVACVLPHLEADPGVQSQLNSDVAGVATSPTRHPILAHRVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSVIIDGQTTVPIYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDSSNSTTHNVSGFLDENMFEVPTYALEC >KJB38446 pep chromosome:Graimondii2_0_v6:6:49773075:49779298:-1 gene:B456_006G255300 transcript:KJB38446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSSLRAEDLNAPSTSSSRKTSNVFHLLAQREVSPRTKRSSRKLWGEESKSHLDSCRPKCLAKRDARSDLLSWVESESLQHFSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCQTGNCLKVLSGHRRTPWVVRFHPLYPEILASGSLDHEVRLWNANTAECIGTRDFYRPIASIAFHAQGEVLAVASGHKLYIWHYNRRGETSSPAIILKTRRSLRAVHFHPYAAPFLLTAEVNDLDSSDSSMTVATSPGFLRYPAPTVYLANDRPNLANELPLMSLPFMIWPLFARDNGRTSLQNVDGDTGSNGVHQRGNQPASVRLLTYSTPTGQYELLLSPVEPNSSSPLPEETGANPLPTEMETDVSNSAMEPMEMMEVQSVERTTQFFPFGDPTSWELPFLQGWLIGQTQAGQRNMHLAAGGGHENSLPAGETGTSASVASSGMVPSVSQTRVSGRPSSRHRSSRSRMVSSSGTGESGYSNIIHESSDPQPAVGRIPSELATSLAAAVAAELPCTVKLRIWPHDMKDPCAFLDPEKCRLTIPHAVLCSEMGAHFSPCGRFLAACVACVLPHLEADPGVQSQLNSDVAGVATSPTRHPILAHRVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSVIIDGQTTVPIYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDSSNSTTHNVSGFLDENMFEVPTYALEC >KJB38448 pep chromosome:Graimondii2_0_v6:6:49773075:49779539:-1 gene:B456_006G255300 transcript:KJB38448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSSLRAEDLNAPSTSSSRKTSNVFHLLAQREVSPRTKRSSRKLWGEESKSHLDSCRPKCLAKRDARSDLLSWVESESLQHFSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCQTGNCLKVLSGHRRTPWVVRFHPLYPEILASGSLDHEVRLWNANTAECIGTRDFYRPIASIAFHAQGEVLAVASGHKLYIWHYNRRGETSSPAIILKTRRSLRAVHFHPYAAPFLLTAEVNDLDSSDSSMTVATSPGFLRYPAPTVYLANDRPNLNVDGDTGSNGVHQRGNQPASVRLLTYSTPTGQYELLLSPVEPNSSSPLPEETGANPLPTEMETDVSNSAMEPMEMMEVQSVERTTQFFPFGDPTSWELPFLQGWLIGQTQAGQRNMHLAAGGGHENSLPAGETGTSASVASSGMVPSVSQTRVSGRPSSRHRSSRSRMVSSSGTGESGYSNIIHESSDPQPAVGRIPSELATSLAAAVAAELPCTVKLRIWPHDMKDPCAFLDPEKCRLTIPHAVLCSEMGAHFSPCGRFLAACVACVLPHLEADPGVQSQLNSDVAGVATSPTRHPILAHRVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSVIIDGQTTVPIYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDSSNSTTHNVSGFLDENMFEVPTYALEC >KJB36491 pep chromosome:Graimondii2_0_v6:6:42199551:42202786:1 gene:B456_006G162500 transcript:KJB36491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNGTNHHSHENRPSTGSNNANRGQSTEEEVFVNQAQMTWHEVRRQWAGDQSQKSRRIAREPIMSWTTTYEDLLCSTERFQQPIPLAEMVDFLVDTWHEEGLYD >KJB36501 pep chromosome:Graimondii2_0_v6:6:42200427:42202153:1 gene:B456_006G162500 transcript:KJB36501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNGTNHHSHENRPSTGSNNANRGQSTEEEVFVNQAQMTWHEVRRQWAGDQSQKSRRIAREPIMRMGS >KJB36499 pep chromosome:Graimondii2_0_v6:6:42199817:42202786:1 gene:B456_006G162500 transcript:KJB36499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNGTNHHSHENRPSTGSNNANRGQSTEEEVFVNQAQMTWHEVRRQWAGDQSQKSRRIAREPIMSWTTTYEDLLCSTERFQQPIPLAEMVDFLVDTWHEEGLYD >KJB36492 pep chromosome:Graimondii2_0_v6:6:42199391:42202933:1 gene:B456_006G162500 transcript:KJB36492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNGTNHHSHENRPSTGSNNANRGQSTEEEVFVNQAQMTWHEVRRQWAGDQSQKSRRIAREPIMSWTTTYEDLLCSTERFQQPIPLAEMVDFLVDTWHEEGLYD >KJB36500 pep chromosome:Graimondii2_0_v6:6:42199562:42202786:1 gene:B456_006G162500 transcript:KJB36500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNGTNHHSHENRPSTGSNNANRGQSTEEEVFVNQAQMTWHEVRRQWAGDQSQKSRRIAREPIMSWTTTYEDLLCSTERFQQPIPLAEMVDFLVDTWHEEGLYD >KJB36497 pep chromosome:Graimondii2_0_v6:6:42199817:42202786:1 gene:B456_006G162500 transcript:KJB36497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNGTNHHSHENRPSTGSNNANRGQSTEEEVFVNQAQMTWHEVRRQWAGDQSQKSRRIAREPIMSWTTTYEDLLCSTERFQQPIPLAEMVDFLVDTWHEEGLYD >KJB36493 pep chromosome:Graimondii2_0_v6:6:42199402:42202945:1 gene:B456_006G162500 transcript:KJB36493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNGTNHHSHENRPSTGSNNANRGQSTEEEVFVNQAQMTWHEVRRQWAGDQSQKSRRIAREPIMSWTTTYEDLLCSTERFQQPIPLAEMVDFLVDTWHEEGLYD >KJB36498 pep chromosome:Graimondii2_0_v6:6:42199562:42202786:1 gene:B456_006G162500 transcript:KJB36498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNGTNHHSHENRPSTGSNNANRGQSTEEEVFVNQAQMTWHEVRRQWAGDQSQKSRRIAREPIMSWTTTYEDLLCSTERFQQPIPLAVSVSEHLSTFGFWLTLICPFAW >KJB36494 pep chromosome:Graimondii2_0_v6:6:42199380:42202933:1 gene:B456_006G162500 transcript:KJB36494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNGTNHHSHENRPSTGSNNANRGQSTEEEVFVNQAQMTWHEVRRQWAGDQSQKSRRIAREPIMSWTTTYEDLLCSTERFQQPIPLAEMVDFLVDTWHEEGLYD >KJB36496 pep chromosome:Graimondii2_0_v6:6:42199562:42202153:1 gene:B456_006G162500 transcript:KJB36496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNGTNHHSHENRPSTGSNNANRGQSTEEEVFVNQAQMTWHEVRRQWAGDQSQKSRRIAREPIMRYMPGVSSYSRY >KJB36495 pep chromosome:Graimondii2_0_v6:6:42199526:42202786:1 gene:B456_006G162500 transcript:KJB36495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNGTNHHSHENRPSTGSNNANRGQSTEEEVFVNQAQMTWHEVRRQWAGDQSQKSRRIAREPIMSWTTTYEDLLCSTERFQQPIPLAEMVDFLVDTWHEEGLYD >KJB38641 pep chromosome:Graimondii2_0_v6:6:50379909:50385900:-1 gene:B456_006G264900 transcript:KJB38641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSKPTSSDEALSDGSSSSEEERIDDQINGDEDDEEELEAVARSAGASDEDEDDNAAPDANADDAYEYESNGAEPDLSKREKERLKEMEKLKKQKIQEILDAQNAAIDADMNNKGKGRLKYLLQQTELFAHFSKGEQSSTQKKVKGRGRHSSKVTEEEEDEEYLKEEEDGLSGNTRLVTQPTCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEYRGITGPHMVVAPKSTLGNWVNEIRRFCPVLRTVKFLGNPEERRYIREELLVAGKFDVCVTSFEMAIKEKSALRRFSWRYIVIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDEQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKHYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTVTDEDIDRIIAKGEAATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDFKKIATENWIEPPKRERKRNYSESEYFKQTLRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQIKDSIDEAEPGEGGDPLTAEELEEKEQLLEEGFSSWSRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVQRYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIKKAIGKKLDRYKNPWLEMKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWEELKAAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKNMTPSKRGGRQPIESPALPKKPKQLSMDDYVISGKKRK >KJB38640 pep chromosome:Graimondii2_0_v6:6:50379562:50386054:-1 gene:B456_006G264900 transcript:KJB38640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSKPTSSDEALSDGSSSSEEERIDDQINGDEDDEEELEAVARSAGASDEDEDDNAAPDANADDAYEYESNGAEPDLSKREKERLKEMEKLKKQKIQEILDAQNAAIDADMNNKGKGRLKYLLQQTELFAHFSKGEQSSTQKKVKGRGRHSSKVTEEEEDEEYLKEEEDGLSGNTRLVTQPTCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEYRGITGPHMVVAPKSTLGNWVNEIRRFCPVLRTVKFLGNPEERRYIREELLVAGKFDVCVTSFEMAIKEKSALRRFSWRYIVIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDEQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKHYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTVTDEDIDRIIAKGEAATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDFKKIATENWIEPPKRERKRNYSESEYFKQTLRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQIKDSIDEAEPGGGDPLTAEELEEKEQLLEEGFSSWSRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVQRYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIKKAIGKKLDRYKNPWLEMKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWEELKAAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKNMTPSKRGGRQPIESPALPKKPKQLSMDDYVISGKKRK >KJB38520 pep chromosome:Graimondii2_0_v6:6:50020623:50026032:-1 gene:B456_006G2592001 transcript:KJB38520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQEKSQGNSNSMQRVKVYRLNEDGKWDDQGTGHVTVDYLERSEELALFVFDEEDNETLLLHRISPDDIYRKQEDTIISWRDSEYSTELALSFQENTGCSYIWDHICNVQRNMHFSSLNNEIFHTMNSELRELPAVELSTLPIILKTVTESGIADQMRLTELILNDQDFFQKLMELFRICEDLENIDGLHMIFKIIKGIILLNSPQVFEKIFGDELIMDIIGSLEYDADVPQVQHYRLFLKEHVVFKEAIPIKNPLALSKIHQTYRVGYLKDVVLARVLDEATAASLNSIIHSNNSIVISILKDDSTFIQELFARLRSPTTSAESKKNLVYFLHEFCSLSKSLQVVQQLRLFRTDILILFLNQDANLLRSYVVRQEGIPLFGLLVKGMVTDFGEDMHCQFLEILRSLLDSFTLSGAQ >KJB38522 pep chromosome:Graimondii2_0_v6:6:50020623:50025900:-1 gene:B456_006G2592001 transcript:KJB38522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQEKSQGNSNSMQRVKVYRLNEDGKWDDQGTGHVTVDYLERSEELALFVFDEEDNETLLLHRISPDDIYRKQEDTIISWRDSEYSTELALSFQENTGCSYIWDHICNVQRNMHFSSLNNEIFHTMNSELRELPAVELSTLPIILKTVTESGIADQMRLTELILNDQDFFQKLMELFRICEDLENIDGLHMIFKIIKGIILLNSPQVFEKIFGDELIMDIIGSLEYDADVPQVQHYRLFLKEHVVFKEAIPIKNPLALSKIHQTYRVGYLKDVVLARVLDEATAASLNSIIHSNNSIVISILKDDSTFIQELFARLRSPTTSAESKKNLVYFLHEFCSLSKSLQVVQQLRLFRDLINEGIFDIITDVLQSPDKKLVLTGTDILILFLNQDANLLRSYVVRQEGIPLFGLLVKGMVTDFGEDMHCQFLEILRSLLDSFTLSGAQ >KJB38521 pep chromosome:Graimondii2_0_v6:6:50020623:50025900:-1 gene:B456_006G2592001 transcript:KJB38521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQEKSQGNSNSMQRVKVYRLNEDGKWDDQGTGHVTVDYLERSEELALFVFDEEDNETLLLHRISPDDIYRKQEDTIISWRDSEYSTELALSFQENTGCSYIWDHICNVQRNMHFSSLNNEIFHTMNSELRELPAVELSTLPIILKTVTESGIADQMRLTELILNDQDFFQKLMELFRICEDLENIDGLHMIFKIIKGIILLNSPQVFEKIFGDELIMDIIGSLEYDADVPQVQHYRLFLKEHVVFKEAIPIKNPLALSKIHQTYRVGYLKDVVLARVLDEATAASLNSIIHSNNSIVISILKDDSTFIQELFARLRSPTTSAESKKNLVYFLHEFCSLSKSLQVVQQLRLFRDLINEGIFDIITDVLQSPDKKLVLTGTDILILFLNQDANLLRSYVVRQEGIPLFGLLVKGMVTDFGEDMHCQFLEILRSLLDSFTLSGAQ >KJB38519 pep chromosome:Graimondii2_0_v6:6:50020623:50026271:-1 gene:B456_006G2592001 transcript:KJB38519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQEKSQGNSNSMQRVKVYRLNEDGKWDDQGTGHVTVDYLERSEELALFVFDEEDNETLLLHRISPDDIYRKQEDTIISWRDSEYSTELALSFQENTGCSYIWDHICNVQRNMHFSSLNNEIFHTMNSELRELPAVELSTLPIILKTVTESGIADQMRLTELILNDQDFFQKLMELFRICEDLENIDGLHMIFKIIKGIILLNSPQVFEKIFGDELIMDIIGSLEYDADVPQVQHYRLFLKEHVVFKEAIPIKNPLALSKIHQTYRVGYLKDVVLARVLDEATAASLNSIIHSNNSIVISILKDDSTFIQELFARLRSPTTSAESKKNLVYFLHEFCSLSKSLQVVQQLRLFRDLINEGIFDIITDVLQSPDKKLVLTGTDILILFLNQDANLLRSYVVRQEGIPLFGLLVKGMVTDFGEDMHCQFLEILRSLLDSFTLSGAQ >KJB38617 pep chromosome:Graimondii2_0_v6:6:50284255:50287092:1 gene:B456_006G263300 transcript:KJB38617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEDINDSEGEIKVSFGYQCNGGGDGIPSGAVKGVESRSDIPRNGSFSCLSGAALSANATLANTNICNGLIGSDILPSLDSPNSFRRVLSSPSLSRLDILSSSLQSSMSNLSCSPPSPVGSYETDSYMLKTMSVPTRSDSFLNAVEVQVAGGAAGEDRVQAVCSEENEWLFCAIYDGFNGRDAADFLAGTLYETIMFNFNLLDSESKQYVPSIDSASDESSYSEMEVSSRSFRNGVLDILHRALSQAENDFLYMVEQEMEDRPDLVSVGSCVLVMLLHGNNLYTLNLGDSRAVLATFDEGNDMDQSGRLKAIQLTDSHTVDNELERTRLLSEHPDDSMPVIAGKVKGKLKVTRAFGVGYLKKKLNDALMGILRVRNLISPPYISTEPSLNVHEISKSDHFVIIGSDGLFDFLSNEEAVKLVHSYISSNPSGDPAKFLVEQLVIKAAHSAGFSKGELLNIPAGRRRKYHDDVTVIVIILGTNHRTSKASTCI >KJB38619 pep chromosome:Graimondii2_0_v6:6:50285307:50286819:1 gene:B456_006G263300 transcript:KJB38619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEDINDSEGEIKVSFGYQCNGGGDGIPSGAVKGVESRSDIPRNGSFSCLSGAALSANATLANTNICNGLIGSDILPSLDSPNSFRRVLSSPSLSRLDILSSSLQSSMSNLSCSPPSPVGSYETDSYMLKTMSVPTRSDSFLNAVEVQVAGGAAGEDRVQAVCSEENEWLFCAIYDGFNGRDAADFLAGTLYETIMFNFNLLDSESKQYVPSIDSASDESSYSEMEVSSRSFRNGVLDILHRALSQAENDFLYMVEQEMEDRPDLVSVGSCVLVMLLHGNNLYTLNLGDSRAVLATFDEGNDMDQSGRLKAIQLTDSHTVDNELERTRLLSEHPDDSMPVIAGKVKGKLKVTRAFGVGYLKKKKLNDALMGILRVRNLISPPYISTEPSLNVHEISKSDHFVIIGSDGLFDFLSNEEAVKLVHSYISSNPSGDPAKFLVEQLVIKAAHSAGNYYLFFFDFFRSFFEHFHSQVSVRENC >KJB38620 pep chromosome:Graimondii2_0_v6:6:50284238:50287149:1 gene:B456_006G263300 transcript:KJB38620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEDINDSEGEIKVSFGYQCNGGGDGIPSGAVKGVESRSDIPRNGSFSCLSGAALSANATLANTNICNGLIGSDILPSLDSPNSFRRVLSSPSLSRLDILSSSLQSSMSNLSCSPPSPVGSYETDSYMLKTMSVPTRSDSFLNAVEVQVAGGAAGEDRVQAVCSEENEWLFCAIYDGFNGRDAADFLAGTLYETIMFNFNLLDSESKQYVPSIDSASDESSYSEMEVSSRSFRNGVLDILHRALSQAENDFLYMVEQEMEDRPDLVSVGSCVLVMLLHGNNLYTLNLGDSRAVLATFDEGNDMDQSGRLKAIQLTDSHTVDNELERTRLLSEHPDDSMPVIAGKVKGKLKVTRAFGVGYLKKKKLNDALMGILRVRNLISPPYISTEPSLNVHEISKSDHFVIIGSDGLFDFLSNEEAVKLVHSYISSNPSGDPAKFLVEQLVIKAAHSAGFSKGELLNIPAGRRRKYHDDVTVIVIILGTNHRTSKASTCI >KJB38615 pep chromosome:Graimondii2_0_v6:6:50284255:50287092:1 gene:B456_006G263300 transcript:KJB38615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEDINDSEGEIKVSFGYQCNGGGDGIPSGAVKGVESRSDIPRNGSFSCLSGAALSANATLANTNICNGLIGSDILPSLDSPNSFRRVLSSPSLSRLDILSSSLQSSMSNLSCSPPSPVGSYETDSYMLKTMSVPTRSDSFLNAVEVQVAGGAAGEDRVQAVCSEENEWLFCAIYDGFNGRDAADFLAGTLYETIMFNFNLLDSESKQYVPSIDSASDESSYSEMEVSSRSFRNGVLDILHRALSQAENDFLYMVEQEMEDRPDLVSVGSCVLVMLLHGNNLYTLNLGDSRAVLATFDEGNDMDQSGRLKAIQLTDSHTVDNELERTRLLSEHPDDSMPVIAGKVKGKLKVTRAFGVGYLKKKKLNDALMGILRVRNLISPPYISTEPSLNVHEISKSDHFVIIGSDGLFDFLSNEEAVKLVHSYISSNPSGDPAKFLVEQLVIKAAHSAGFSKGELLNIPAGRRRKYHDDVTVIVIILGTNHRTSKASTCI >KJB38618 pep chromosome:Graimondii2_0_v6:6:50285307:50286410:1 gene:B456_006G263300 transcript:KJB38618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEDINDSEGEIKVSFGYQCNGGGDGIPSGAVKGVESRSDIPRNGSFSCLSGAALSANATLANTNICNGLIGSDILPSLDSPNSFRRVLSSPSLSRLDILSSSLQSSMSNLSCSPPSPVGSYETDSYMLKTMSVPTRSDSFLNAVEVQVAGGAAGEDRVQAVCSEENEWLFCAIYDGFNGRDAADFLAGTLYETIMFNFNLLDSESKQYVPSIDSASDESSYSEMEVSSRSFRNGVLDILHRALSQAENDFLYMVEQEMEDRPDLVSVGSCVLVMLLHGNNLYTLNLGDSRAVLATFDEGNDMDQSGRLKAIQLTDSHTVDNELERTRLLSEHPDDSMPVIAGKVKGKLKVTRAFGVGYLKKVSTV >KJB38616 pep chromosome:Graimondii2_0_v6:6:50284238:50287149:1 gene:B456_006G263300 transcript:KJB38616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSLFQLGCKSLNTGIYPAYIMLGEDINDSEGEIKVSFGYQCNGGGDGIPSGAVKGVESRSDIPRNGSFSCLSGAALSANATLANTNICNGLIGSDILPSLDSPNSFRRVLSSPSLSRLDILSSSLQSSMSNLSCSPPSPVGSYETDSYMLKTMSVPTRSDSFLNAVEVQVAGGAAGEDRVQAVCSEENEWLFCAIYDGFNGRDAADFLAGTLYETIMFNFNLLDSESKQYVPSIDSASDESSYSEMEVSSRSFRNGVLDILHRALSQAENDFLYMVEQEMEDRPDLVSVGSCVLVMLLHGNNLYTLNLGDSRAVLATFDEGNDMDQSGRLKAIQLTDSHTVDNELERTRLLSEHPDDSMPVIAGKVKGKLKVTRAFGVGYLKKKKLNDALMGILRVRNLISPPYISTEPSLNVHEISKSDHFVIIGSDGLFDFLSNEEAVKLVHSYISSNPSGDPAKFLVEQLVIKAAHSAGFSKGELLNIPAGRRRKYHDDVTVIVIILGTNHRTSKASTCI >KJB36134 pep chromosome:Graimondii2_0_v6:6:40102376:40106782:1 gene:B456_006G143000 transcript:KJB36134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 23, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42070) UniProtKB/Swiss-Prot;Acc:P93740] MLKAIQILGCSSGFISQRLKKPHSGNGFSLISSKTSYNSTPKSLSFRVIRMFSTPSQSNSDAPSSSSSSVAVHLAGNVRKIKFCQWCGGPAKHEIPDGEEKIRAICTLCGKIAYQNPKMVVGCLIEHDRKILLCKRNIEPSLGLWTLPAGYLEIGESAAEGAIRETWEEAGAEVEVISPFAQLDIPLIGQTYVIFLAKLKKPQFSPGPESSECCLFEVDDIPFDSLAFSSIFVTLNLYIEDVKSGKVKFHYGTINKRLGTGPSDIRAFTLDYHLQV >KJB36135 pep chromosome:Graimondii2_0_v6:6:40102432:40106556:1 gene:B456_006G143000 transcript:KJB36135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 23, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42070) UniProtKB/Swiss-Prot;Acc:P93740] MLKAIQILGCSSGFISQRLKKPHSGNGFSLISSKTSYNSTPKSLSFRVIRMFSTPSQSNSDAPSSSSSSVAVHLAGNVRKIKFCQWCGGPAKHEIPDGEEKIRAICTLCGKIAYQNPKMVVGCLIEHDRKILLCKRNIEPSLGLWTLPAGYLEIGESAAEGAIRETWEEAGAEVEVISPFAQLDIPLIGQQTYVIFLAKLKKPQFSPGPESSECCLFEVDDIPFDSLAFSSIFVTLNLYIEDVKSGKVKFHYGTINKRLGTGPSDIRAFTLDYHLQV >KJB36136 pep chromosome:Graimondii2_0_v6:6:40103230:40106576:1 gene:B456_006G143000 transcript:KJB36136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 23, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42070) UniProtKB/Swiss-Prot;Acc:P93740] MLWRKFGNVRKIKFCQWCGGPAKHEIPDGEEKIRAICTLCGKIAYQNPKMVVGCLIEHDRKILLCKRNIEPSLGLWTLPAGYLEIGESAAEGAIRETWEEAGAEVEVISPFAQLDIPLIGQTYVIFLAKLKKPQFSPGPESSECCLFEVDDIPFDSLAFSSIFVTLNLYIEDVKSGKVKFHYGTINKRLGTGPSDIRAFTLDYHLQV >KJB34007 pep chromosome:Graimondii2_0_v6:6:12701986:12702861:-1 gene:B456_006G043300 transcript:KJB34007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLATLNRIVDSLQTTYEGFQTSMVKAIYIFDLSAQGKHFLPVLMNFRLWSSILFKRNVLTRMLSIFPLYLTCNPNLYLCERICSFLFCRKMHTYKSWLQVIMKKTMMKFIFLTFPEAQLPLRYVPKFNKK >KJB36822 pep chromosome:Graimondii2_0_v6:6:43551916:43555075:1 gene:B456_006G178000 transcript:KJB36822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSPNPPQPPPPQSSTSTTATDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKAAHPYPQKASKNVLVPLQASMGYPSSINTIAPGYAPWDEASMLVNTASSKIMSPQDEFTGLRGTEANIGSKGVAKISNSGVSGIGSSGQTISNSDMSKQGKQASMLHGIPDFAEVYSFIGSVFDPDTDGHVQKLKEMDPINFETRKVLSSYDVGTNTVGVTKGIIPQNQMNDILC >KJB36824 pep chromosome:Graimondii2_0_v6:6:43552001:43555075:1 gene:B456_006G178000 transcript:KJB36824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSPNPPQPPPPQSSTSTTATDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKAAHPYPQKASKNAVLVPLQASMGYPSSINTIAPGYAPWDEASMLVNTASSKIMSPQDEFTGLRGTEANIGSKGVAKISNSGVSGIGSSGQTISNSDMSKQGKQASMLHGIPDFAEVYSFIGSVFDPDTDGHVQKLKEMDPINFETVLLLMRNLTVNLCSPDFEPIRKVLSSYDVGTNTVGVTKGIIPQNQMNDILC >KJB36820 pep chromosome:Graimondii2_0_v6:6:43551755:43555185:1 gene:B456_006G178000 transcript:KJB36820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSPNPPQPPPPQSSTSTTATDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKAAHPYPQKASKNVLVPLQASMGYPSSINTIAPGYAPWDEASMLVNTASSKIMSPQDEFTGLRGTEANIGSKGVAKISNSGVSGIGSSGQTISNSDMSKQGKQASMLHGIPDFAEVYSFIGSVFDPDTDGHVQKLKEMDPINFETVLLLMRNLTVNLCSPDFEPIRKVLSSYDVGTNTVGVTKGIIPQNQMNDILC >KJB36823 pep chromosome:Graimondii2_0_v6:6:43551916:43555075:1 gene:B456_006G178000 transcript:KJB36823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSPNPPQPPPPQSSTSTTATDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKAAHPYPQKASKNVLVPLQASMGYPSSINTIAPGYAPWDEASMLVNTASSKIMSPQDEFTGLRGTEANIGSKGVAKISNSGVSGIGSSGQTISNSDMSKQGKQASMLHGIPDFAEVYSFIGSVFDPDTDGHVQKLKEMDPINFETVLLLMRNLTVNLCSPDFEPIVSILILLHPQETAFNFLFLFFLSM >KJB36821 pep chromosome:Graimondii2_0_v6:6:43551916:43553800:1 gene:B456_006G178000 transcript:KJB36821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSPNPPQPPPPQSSTSTTATDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKAAHPYPQKASKNVLVPLQASMGYPSSINTIAPGYAPWDEASMLVNTASSKIMSPQDEFTGLRGTEANIGSKGVAKISNSGVSGIGSSGQTISNSDMSKQGKQASMLHGIPDFAEVYSFIGSVFDPDTDGHVQKLKEMDPINFETVSKNLHLLYLS >KJB33117 pep chromosome:Graimondii2_0_v6:6:36101959:36103652:1 gene:B456_006G112900 transcript:KJB33117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPINLIFPYFPATSSSHCCHFSLLHFLSHFSIFSQNFHYPPKYISTNDLSIFKIGFHGFLCEKMKIEGERFNKVRKGFLHL >KJB33118 pep chromosome:Graimondii2_0_v6:6:36101959:36105033:1 gene:B456_006G112900 transcript:KJB33118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPINLIFPYFPATSSSHCCHFSLLHFLSHFSIFSQNFHYPPKYISTNDLSIFKIGFHGFLCEKMKIEGERFNKPMKMHLFVPPLPSTTNTSKGSWWFSSIHSTTFNRGSMANKPCISILHESGG >KJB33116 pep chromosome:Graimondii2_0_v6:6:36101959:36103652:1 gene:B456_006G112900 transcript:KJB33116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPINLIFPYFPATSSSHCCHFSLLHFLSHFSIFSQNFHYPPKYISTNDLSIFKIGFHGFLCEKMKIEGERFNKNEVSKLD >KJB37947 pep chromosome:Graimondii2_0_v6:6:47882852:47886444:1 gene:B456_006G227900 transcript:KJB37947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYEITEQQKVGLGLIGFGISFAFLGVSLFFDRGLLALGNILWLAGVVILIGWYSTLQLFRKNYKGTACFILGLFFLFVRWPIVGIILEIYGCFVVFSGFWPSVSGFLCHIPVVGWVIQYPVMFLDRLRRG >KJB37945 pep chromosome:Graimondii2_0_v6:6:47882609:47886444:1 gene:B456_006G227900 transcript:KJB37945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYEITEQQKVGLGLIGFGISFAFLGVSLFFDRGLLALGNILWLAGVVILIGWYSTLQLFRKNYKGTACFILGLFFLFVRWPIVGIILEIYGCFVVFSGFWPSVSGFLCHIPVVGWVIQYPVMFLDRLRRG >KJB37948 pep chromosome:Graimondii2_0_v6:6:47882996:47885204:1 gene:B456_006G227900 transcript:KJB37948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYEITEQQKVGLGLIGFGISFAFLGVSLFFDRGLLALGNILWLAGVVILIGWYSTLQLFRKNYKGTACFILGLFFLFVRWPIVGIILEIYGCFVVFSGFWPSVSGFLCHIPVVGWVIQYPVMVR >KJB37946 pep chromosome:Graimondii2_0_v6:6:47882824:47885725:1 gene:B456_006G227900 transcript:KJB37946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYEITEQQKVGLGLIGFGISFAFLGVSLFFDRGLLALGNILWLAGVVILIGWYSTLQLFRKNYKGTACFILGLFFLFVRWPIVGIILEIYGCFVVFSGFWPSVSGFLCHIPVVGWVIQYPVMFLDRLRRG >KJB37949 pep chromosome:Graimondii2_0_v6:6:47882996:47885725:1 gene:B456_006G227900 transcript:KJB37949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYEITEQQKVGLGLIGFGISFAFLGVSLFFDRGLLALGNILWLAGVVILIGWYSTLQLFRKNYKGTACFILGLFFLFVRWPIVGIILEIYGCFVVFSGFWPSVSGFLCHIPVVGWVIHSLIG >KJB37944 pep chromosome:Graimondii2_0_v6:6:47882824:47885725:1 gene:B456_006G227900 transcript:KJB37944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYEITEQQKVGLGLIGFGISFAFLGVSLFFDRGLLALGNILWLAGVVILIGWYSTLQLFRKNYKGTACFILGLFFLFVRWPIVGIILEIYGCFVVFSGFWPSVSGFLCHIPVVGWVIQYPVMFLDRLRRG >KJB37950 pep chromosome:Graimondii2_0_v6:6:47882996:47885725:1 gene:B456_006G227900 transcript:KJB37950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYEITEQQKVGLGLIGFGISFAFLGVSLFFDRGLLALGNILWLAGVVILIGWYSTLQLFRKNYKGTACFILGLFFLFVRWPIVGIILEIYGCFVVFSGFWPSVSGFLCHIPVVGWVIQYPVMFLDRLRRG >KJB32979 pep chromosome:Graimondii2_0_v6:6:51049059:51049565:1 gene:B456_006G2749003 transcript:KJB32979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAKEEGKVLGCNSKKVKKVAILSTLAALLDDPILADVPKKPSLSDVDTLINLELGSAMCISIFKLDGTSFDVAVMNSATVKDLKLAIKKKVIELEQSKMGHRHIS >KJB32974 pep chromosome:Graimondii2_0_v6:6:51049127:51049565:1 gene:B456_006G2749003 transcript:KJB32974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAKEEGKVLGCNSKKVKKVAILSTLAALLDDPILADVPKKPSLSDVDTLINLELGSAMCISIFKLDGTSFDVAVMNSATVKDLKLAIKKKVIELEQSKMGHRHIS >KJB32973 pep chromosome:Graimondii2_0_v6:6:51049127:51049565:1 gene:B456_006G2749003 transcript:KJB32973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAKEEGKVLGCNSKKVKKVAILSTLAALLDDPILADVPKKPSLSDVDTLINLELGSAMCISIFKLDGTSFDVAVMNSATVKDLKLAIKKKVIELEQSKMGHRHIS >KJB32976 pep chromosome:Graimondii2_0_v6:6:51049127:51049565:1 gene:B456_006G2749003 transcript:KJB32976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAKEEGKVLGCNSKKVKKVAILSTLAALLDDPILADVPKKPSLSDVDTLINLELGSAMCISIFKLDGTSFDVAVMNSATVKDLKLAIKKKVIELEQSKMGHRHIS >KJB32977 pep chromosome:Graimondii2_0_v6:6:51049127:51049565:1 gene:B456_006G2749003 transcript:KJB32977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAKEEGKVLGCNSKKVKKVAILSTLAALLDDPILADVPKKPSLSDVDTLINLELGSAMCISIFKLDGTSFDVAVMNSATVKDLKLAIKKKVIELEQSKMGHRHIS >KJB32972 pep chromosome:Graimondii2_0_v6:6:51049127:51049565:1 gene:B456_006G2749003 transcript:KJB32972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAKEEGKVLGCNSKKVKKVAILSTLAALLDDPILADVPKKPSLSDVDTLINLELGSAMCISIFKLDGTSFDVAVMNSATVKDLKLAIKKKVIELEQSKMGHRHIS >KJB32975 pep chromosome:Graimondii2_0_v6:6:51049127:51049565:1 gene:B456_006G2749003 transcript:KJB32975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAKEEGKVLGCNSKKVKKVAILSTLAALLDDPILADVPKKPSLSDVDTLINLELGSAMCISIFKLDGTSFDVAVMNSATVKDLKLAIKKKVIELEQSKMGHRHIS >KJB32978 pep chromosome:Graimondii2_0_v6:6:51049059:51049565:1 gene:B456_006G2749003 transcript:KJB32978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAKEEGKVLGCNSKKVKKVAILSTLAALLDDPILADVPKKPSLSDVDTLINLELGSAMCISIFKLDGTSFVMNSATVKDLKLAIKKKVIELEQSKMGHRHIS >KJB34473 pep chromosome:Graimondii2_0_v6:6:27230626:27231103:-1 gene:B456_006G0676001 transcript:KJB34473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKINFDAAFNRQKNESCSGLVVQNERVEVICSRTVMHENITSVFAAEAMACLQALNLGLNLGLREAEIEGDSRSVIRKLQAEEEERSDIEAYIKDSKQLR >KJB37065 pep chromosome:Graimondii2_0_v6:6:44466502:44467437:-1 gene:B456_006G187800 transcript:KJB37065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRMLLALGVLLLCLAEVSSDLKAEEDITNVGEVGSLVTRGGNRRLMLEIDCGGLCKKRCSQHSRPNRCNRACGTCCLRCKCVPPGTSGNREVCGTCYTGMTTHGNVAKCP >KJB37066 pep chromosome:Graimondii2_0_v6:6:44466503:44467334:-1 gene:B456_006G187800 transcript:KJB37066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRMLLALGVLLLCLAEVSSDLKAEEDITNVGSLVTRGGNRRLMLEIDCGGLCKKRCSQHSRPNRCNRACGTCCLRCKCVPPGTSGNREVCGTCYTGMTTHGNVAKCP >KJB37295 pep chromosome:Graimondii2_0_v6:6:45583028:45586845:1 gene:B456_006G198500 transcript:KJB37295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVSDSVQTVNAAATAIVSADSRVQPSTVQKKRWGSCWSFYWCFGSHRSSKRIGHAVLVPEAVVPGVAVVAAQNASNPTGILLPFIAPPSSPASFLQSDPSSATQSPAGLLSLASLSVNAYSPRGPASIFAIGPYAHETQLVTPPVFSALATEPSTAPFTPPPESVQVTTPSSPEVPFAKLLTSSLERAQRNSGINQKFGLSHYEFQSHQICPVSPGGNLISPGSVISNSGTSSPFPDRRPILELRKAEAPKILGFEHFTTSKWGSRLGSGSLTPDGLGQSPTLGSGCMTPDGMGLDSGSWTPDGLPPSSRDGFVLESQISEVALFSNTENGPKNDETIVDHRVSFELSGEDVARYLDSKSFISNRTMSECPKDLVAGGRIYRDGMTKDLESSCKLFSRETSNETVEKASGESEEEHCYQKHRSVTLGSIKEFNFDSAKGEASDNPSIRSEWWANEKVAGKEVKPDGGVKTRFQSSENLYSQWPERLSNEKFQGINSMATDRAFF >KJB37296 pep chromosome:Graimondii2_0_v6:6:45583028:45586845:1 gene:B456_006G198500 transcript:KJB37296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVSDSVQTVNAAATAIVSADSRVQPSTVQKKRWGSCWSFYWCFGSHRSSKRIGHAVLVPEAVVPGVAVVAAQNASNPTGILLPFIAPPSSPASFLQSDPSSATQSPAGLLSLASLSVNAYSPRGPASIFAIGPYAHETQLVTPPVFSALATEPSTAPFTPPPESVQVTTPSSPEVPFAKLLTSSLERAQRNSGINQKFGLSHYEFQSHQICPVSPGGNLISPGSVISNSGTSSPFPDRRPILELRKAEAPKILGFEHFTTSKWGSRLGSGSLTPDGLGQSPTLGSGCMTPDGMGLDSGSWTPDGLPPSSRDGFVLESQISEVALFSNTENGPKNDETIVDHRVSFELSGEDVARYLDSKSFISNRTMSECPKDLVAGGRIYRDGMTKDLESSCKLFSRETSNETVEKASGESEEEHCYQKHRSVTLGSIKEFNFDSAKGEASDNPSIRSEWWANEKVAGKEVKPDGGVKTRFQSSENLYSQWPERLSNEKFQGINSNYNASAPFQQHLVIHFSLLVRSFILSFSFKRFASSFLFFRISFSSRIQKLVLCY >KJB37298 pep chromosome:Graimondii2_0_v6:6:45583106:45586103:1 gene:B456_006G198500 transcript:KJB37298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVSDSVQTVNAAATAIVSADSRVQPSTVQKKRWGSCWSFYWCFGSHRSSKRIGHAVLVPEAVVPGVAVVAAQNASNPTGILLPFIAPPSSPASFLQSDPSSATQSPAGLLSLASLSVNAYSPRGPASIFAIGPYAHETQLVTPPVFSALATEPSTAPFTPPPESVQVTTPSSPEVPFAKLLTSSLERAQRNSGINQKFGLSHYEFQSHQICPVSPGGNLISPGSVISNSGTSSPFPDRRPILELRKAEAPKILGFEHFTTSKWGSRLGSGSLTPDGLGQSPTLGSGCMTPDGMGLDSGSWTPDGLPPSSRDGFVLESQISEVALFSNTENGPKNDETIVDHRVSFELSGEDVARYLDSKSFISNRTMSECPKDLVAGGRIYRDGMTKDLESSCKLFSRETSNETVEKASGESEEEHCYQKHRSVTLGSIKEFNFDSAKGEASDNPSIRSEWWANEKVAGKEVKPGNNWSFFPMLQS >KJB37297 pep chromosome:Graimondii2_0_v6:6:45583324:45585744:1 gene:B456_006G198500 transcript:KJB37297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVSDSVQTVNAAATAIVSADSRVQPSTVQKKRWGSCWSFYWCFGSHRSSKRIGHAVLVPEAVVPGVAVVAAQNASNPTGILLPFIAPPSSPASFLQSDPSSATQSPAGLLSLASLSVNAYSPRGPASIFAIGPYAHETQLVTPPVFSALATEPSTAPFTPPPESVQVTTPSSPEVPFAKLLTSSLERAQRNSGINQKFGLSHYEFQSHQICPVSPGGNLISPGSVISNSGTSSPFPDRRPILELRKAEAPKILGFEHFTTSKWGSRLGSGSLTPDGLGQSPTLGSGCMTPDGMGLDSGSWTPDGLPPSSRDGFVLESQISEVALFSNTENGPKNDETIVDHRVSFELSGEDVARYLDSKSFISNRTMSECPKDLVAGGRIYRDGMTKDLESSCKLFSRETSNETVEKASGESEEEHCYQKHRSVTLGSIKEFNFDSAKGEASDNPSIRSEWWANEKVAGKEVKPGNNWSFFPMLQS >KJB34790 pep chromosome:Graimondii2_0_v6:6:31693476:31695903:1 gene:B456_006G083900 transcript:KJB34790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAIALCRGDASSSDCFNCINSANAELRDRCPNQREAIIWYDYCMFRYTNHSILGKMEINPIFYKWNENNVRSVAAFNQALGSLFNNLTNMASSGTSLGKFATGNTRVGPSQTIYALLQCTPDVKQIDCSACLSQAIGLIPECCNGKQGGRVGMASCNIRFDIERFYNLTAADSGTMPTPASSPSYSPPSNNTTTTGNKSNSSQTTIIVSVSAVSFVLLLISSCVFIISRLRKPKLKPQKHEATEAVDEIITEESLQYDFNTIRAATDHFSDANKLGQGGFGAVYKGTLAGGKLIAVKRLSSDSREGDFEFKNEVQLMANLQHRNLVRLQGFCLEGKERLLIYEFVPNGSLDKFLFDPVKKVYLDWERRYKIIEGVARGLLYLHQDSRVRIIHRDLKAGNILLDAEMTPKIADFGTARLCAVDQTQGATSRIVGTYGYMAPEYAMHGQFSVKSDVFSFGVLILEILSGQKNKAWRNWEAGTAFDLVDPSLRDGSRSELMRCIHIGLLCVQGKVARRPNMGAVILMLTSYSATLPLPSEPTFFMHSNAQSEVQRSQDLNSGATGATISSRSGNEIAVASENELSITELYPR >KJB35010 pep chromosome:Graimondii2_0_v6:6:33413439:33413921:1 gene:B456_006G095200 transcript:KJB35010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLIYWALIPIVGTIAIAVTVFTLVMYGNCAIFSQSAPSGPTSRKSLSARLDLSPYSASSFKFNKELWLARTVESNREDGTECVVCLLGFEDDEVVRQLHRCKHSFHAPCIDKWMYSHSICPICRTPVDRRVARGDDFTSDDNSLCMGIEGVSSISSP >KJB33440 pep chromosome:Graimondii2_0_v6:6:2420952:2423097:-1 gene:B456_006G011100 transcript:KJB33440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISVPCPKISSFVKPKQTQKISFALPHCSKSCFSFGSSIKVPAFSGCQWHTEKKTMAFKARAKLNEVAAEKSSNSVPVVDMKSKAALPKEDDKSTGNTIPDVAAISAFMTQVSDLVKLVDSRDITELQLKQSDCELIIRKKEALQPPEQAPPVFMPQYMPHHAMFQTPFPAAAPTASPAPSNPAPPPLALPSAASPAKTSSSSHPPLKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVICIIEAMKLMNEIEADQSGTITEILAEDGKAVSVDMPLFVIVP >KJB33437 pep chromosome:Graimondii2_0_v6:6:2420726:2423347:-1 gene:B456_006G011100 transcript:KJB33437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKAALPKEDDKSTGNTIPDVAAISAFMTQVSDLVKLVDSRDITELQLKQSDCELIIRKKEALQPPEQAPPVFMPQYMPHHAMFQTPFPAAAPTASPAPSNPAPPPLALPSAASPAKTSSSSHPPLKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVICIIEAMKLMNEIEADQSGTITEILAEDGKAVSVDMPLFVIVP >KJB33439 pep chromosome:Graimondii2_0_v6:6:2420952:2422018:-1 gene:B456_006G011100 transcript:KJB33439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDIYRCCTTKYNFVNFKVAAEKSSNSVPVVDMKSKAALPKEDDKSTGNTIPDVAAISAFMTQVSDLVKLVDSRDITELQLKQSDCELIIRKKEALQPPEQAPPVFMPQYMPHHAMFQTPFPAAAPTASPAPSNPAPPPLALPSAASPAKTSSSSHPPLKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVICIIEAMKLMNEIEADQSGTITEILAEDGKAVSVDMPLFVIVP >KJB33438 pep chromosome:Graimondii2_0_v6:6:2420952:2421922:-1 gene:B456_006G011100 transcript:KJB33438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKAALPKEDDKSTGNTIPDVAAISAFMTQVSDLVKLVDSRDITELQLKQSDCELIIRKKEALQPPEQAPPVFMPQYMPHHAMFQTPFPAAAPTASPAPSNPAPPPLALPSAASPAKTSSSSHPPLKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVICIIEAMKLMNEIEADQSGTITEILAEDGKAVSVDMPLFVIVP >KJB33436 pep chromosome:Graimondii2_0_v6:6:2420726:2422171:-1 gene:B456_006G011100 transcript:KJB33436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKARAKLNEVAAEKSSNSVPVVDMKSKAALPKEDDKSTGNTIPDVAAISAFMTQVSDLVKLVDSRDITELQLKQSDCELIIRKKEALQPPEQAPPVFMPQYMPHHAMFQTPFPAAAPTASPAPSNPAPPPLALPSAASPAKTSSSSHPPLKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVICIIEAMKLMNEIEADQSGTITEILAEDGKAVSVDMPLFVIVP >KJB34245 pep chromosome:Graimondii2_0_v6:6:20294824:20295231:-1 gene:B456_006G055500 transcript:KJB34245 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-protein homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G04645) UniProtKB/Swiss-Prot;Acc:O23020] MFFSIKNLSLSLIFFMVLLTWSDCVYEERTVVIQISNNISQATDLMVHCKSKNDDLGAHVIPFSNTWQFHFRPNFWGTTLYFCKMVWEGETKWFDIFNAERDNPYCHLCKWSIVPTGPCRLDVDINKTVCFNWNT >KJB35545 pep chromosome:Graimondii2_0_v6:6:36888628:36890376:-1 gene:B456_006G119200 transcript:KJB35545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQLQNPLKTPSSQLSIKKHKPCFLKPRRLTVSAAHPHLNGRKLRVAVIGGGPAGSSAAEALASGGIETFLFERSPSTAKPCGGAIPLCMVDEFSIPHHLIDRHVTKMKIISPSNLTVDFGSKSLRAHESIPMLRREVLDAFLRNRAESAGAQLIPSLVTHLEIPSSSLSPYIIHHTVNNSRKTLAVDVIVGADGANSKVAKFIKAGNYTCAIAFQERIRLPDEKMEYYQNLAEMYVGNDVSPDFYAWVFPKCDHVAVGTGTVCGKQDIKMYQQGIKQRVKNKIKGGKVIKVEAHPIPEHPRPVRVRGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCGEGIVRASEGGEWMISDDDLKREYLMEWDKKYVSTFRFLDLLQRVFYGSNEAREALVEVCGSEYVQRMTFDSYLYKKLAKGDRWEDLKMVFATFGSLMRCKIVGRDMAAFNLQLFP >KJB35875 pep chromosome:Graimondii2_0_v6:6:38599209:38602651:1 gene:B456_006G131600 transcript:KJB35875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKEMKIPVFTVVKNGAILKNIFVINKSRYMEDGEESEIPEEVLIVGRHPDCNIMLTHPSISRFHLQIHSRPTSLKLSVLDLSSVHGTWVSGKKIDPGVAVELNEGDTIKLGGSTRVYKLHWIPMSRAYDMENPYVSAMDVPMEEEKEKVGVNTLSPKSEGAEEKDSLLVENKEEETSQSSVPGEEEELLSMDCILEGLAFLFGDGSSGVLVKKEIPSAPPMPENMNSSIYDDDDDDDDDDDDDVEKTRREISELSGELSLDVESQYQKLGENSKTIFPKAVTKAISVSEGENSDRYSDTENMHPSVVKQVENRRSIQESQLHLIEPTLEEEDILLNNVMECDEESQIPKPLSALKPTEERNLNGKVEEPEDYESENKSFCSASLLRELVNSPLPTAPALLSSEYENLDSSPLRLETKSNLQSIWSRRGKPASVLQIQTGRSAGKAGAENKPNPKSLFVSSDEKEIFTPDKENFTPNSLSMKALKRKGKLEEDMMIASDKENQTPRLIKEQKSTRKASRNQQDIMIKGITERAPFQSLMADSAGKSTASNSKKIEKRIACPSLNKSSGEPRRTWTLIADTTSLLDKESRKSLKLLQGLKGTRLIIPGMVIRELDYLKRRGSLFRRTTEASSVLEWIEECMVKTKWWIHVQSTMEEGGPIAPTPPATPHSHFSEGSMGNSFGPFSARGILMKIATPTAEDHILDYALLCRKMKSDDGQLVVLSNDVTLKIKAMAEGLMCETVQEFRESVVNPFSERFMWADSSPRGHTWSVMDDVVLRDKYNRCPLKKPSKGGDIKGLKLILLHNSHYAHLNSVR >KJB35874 pep chromosome:Graimondii2_0_v6:6:38598977:38602686:1 gene:B456_006G131600 transcript:KJB35874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKEMKIPVFTVVKNGAILKNIFVINKSRYMEDGEESEIPEEVLIVGRHPDCNIMLTHPSISRFHLQIHSRPTSLKLSVLDLSSVHGTWVSGKKIDPGVAVELNEGDTIKLGGSTRVYKLHWIPMSRAYDMENPYVSAMDVPMEEEKEKGVNTLSPKSEGAEEKDSLLVENKEEETSQSSVPGEEEELLSMDCILEGLAFLFGDGSSGVLVKKEIPSAPPMPENMNSSIYDDDDDDDDDDDDDVEKTRREISELSGELSLDVESQYQKLGENSKTIFPKAVTKAISVSEGENSDRYSDTENMHPSVVKQVENRRSIQESQLHLIEPTLEEEDILLNNVMECDEESQIPKPLSALKPTEERNLNGKVEEPEDYESENKSFCSASLLRELVNSPLPTAPALLSSEYENLDSSPLRLETKSNLQSIWSRRGKPASVLQIQTGRSAGKAGAENKPNPKSLFVSSDEKEIFTPDKENFTPNSLSMKALKRKGKLEEDMMIASDKENQTPRLIKEQKSTRKASRNQQDIMIKGITERAPFQSLMADSAGKSTASNSKKIEKRIACPSLNKSSGEPRRTWTLIADTTSLLDKESRKSLKLLQGLKGTRLIIPGMVIRELDYLKRRGSLFRRTTEASSVLEWIEECMVKTKWWIHVQSTMEEGGPIAPTPPATPHSHFSEGSMGNSFGPFSARGILMKIATPTAEDHILDYALLCRKMKSDDGQLVVLSNDVTLKIKAMAEGLMCETVQEFRESVVNPFSERFMWADSSPRGHTWSVMDDVVLRDKYNRCPLKKPSKGGDIKGLKLILLHNSHYAHLNSVR >KJB36587 pep chromosome:Graimondii2_0_v6:6:42601213:42603861:1 gene:B456_006G166300 transcript:KJB36587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSASSLILPSLKPKVLSLSDSTPNSLSLFSLSPTPHAKPLFCSALPSFQLLTKKSSFSSRFLTNVAVSSEYDQEEDLFGSDDEERSPTFSPDLKLFVGNLPFTVDSAQLAGLFENAGNVEMVEVIYDKVTRRSRGFGFVTMSTIEEVEAATQQFNGYELEGRALRVNSGPPPPRREEFSPRGARGGPSMGARGGPSMGARGGPPMGASNRVYVGNLSWGVDDIALETLFSEQGRVVEAKVVYDRESGRSRGFGFVTYSSADEVNSAIKSLNGCDLDGRPIRVTVAESRPRRQF >KJB36590 pep chromosome:Graimondii2_0_v6:6:42601213:42603861:1 gene:B456_006G166300 transcript:KJB36590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSASSLILPSLKPKVLSLSDSTPNSLSLFSLSPTPHAKPLFCSALPSFQLLTKKSSFSSRFLTNVAVSSEYDQEEDLFGSDDEERSPTFSPDLKLFVGNLPFTVDSAQLAGLFENAGNVEMVEVIYDKVTRRSRGFGFVTMSTIEEVEAATQQFNGYELEGRALRVNSGPPPPRREEFSPRGARGGPSMGARGGPPMGASNRVYVGNLSWGVDDIALETLFSEQGRVVEAKVVYDRETGNCSLFLFVGFCSAAFGRYG >KJB36592 pep chromosome:Graimondii2_0_v6:6:42601213:42603861:1 gene:B456_006G166300 transcript:KJB36592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSASSLILPSLKPKVLSLSDSTPNSLSLFSLSPTPHAKPLFCSALPSFQLLTKKSSFSSRFLTNVAVSSEYDQEEDLFGSDDEERSPTFSPDLKLFVGNLPFTVDSAQLAGLFENAGNVEMVEVIYDKVTRRSRGFGFVTMSTIEEVEAATQQFNGYELEGRALRVNSGPPPPRREEFSPRGQGVVLLWEQEVVLLWELQIVSMLVTFHGVLMI >KJB36589 pep chromosome:Graimondii2_0_v6:6:42601213:42603861:1 gene:B456_006G166300 transcript:KJB36589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSASSLILPSLKPKVLSLSDSTPNSLSLFSLSPTPHAKPLFCSALPSFQLLTKKSSFSSRFLTNVAVSSEYDQEEDLFGSDDEERSPTFSPDLKLFVGNLPFTVDSAQLAGLFENAGNVEMVEVIYDKVTRRSRGFGFVTMSTIEEVEAATQQFNGYELEGRALRVNSGPPPPRREEFSPRGARGGPSMGARGGPPMGASNRVYVGNLSWGVDDIALETLFSEQGRVVEAKVVYDRESGRSRGFGFVTYSSADEVNSAIKSLNGCDLDGRPIRVTVAESRPRRQF >KJB36588 pep chromosome:Graimondii2_0_v6:6:42601213:42603861:1 gene:B456_006G166300 transcript:KJB36588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSASSLILPSLKPKVLSLSDSTPNSLSLFSLSPTPHAKPLFCSALPSFQLLTKKSSFSSRFLTNVAVSSEYDQEEDLFGSDDEERSPTFSPDLKLFVGNLPFTVDSAQLAGLFENAGNVEMVEVIYDKVTRRSRGFGFVTMSTIEEVEAATQQFNGYELEGRALRVNSGPPPPRREEFSPRGARGGPSMGARGGPPMGASNRVYVGNLSWGVDDIALETLFSEQGRVVEAKVVYDRESGRSRGFGFVTYSSADEVNSAIKSLNGCDLDGRPIRVTVAESRPRRQF >KJB36591 pep chromosome:Graimondii2_0_v6:6:42601213:42603861:1 gene:B456_006G166300 transcript:KJB36591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSASSLILPSLKPKVLSLSDSTPNSLSLFSLSPTPHAKPLFCSALPSFQLLTKKSSFSSRFLTNVAVSSEYDQEEDLFGSDDEERSPTFSPDLKLFVGNLPFTVDSAQLAGLFENAGNVEMVEVIYDKVTRRSRGFGFVTMSTIEEVEAATQQFNGYELEGRALRVNSGPPPPRREEFSPRGARGGPSMGARGGPSMGARGGPPMGASNRVYVGNLSWGVDDIALETLFSEQGRVVEAKVVYDRESGRSRGFGFVTYSSADEVNSAIKSLNGCDLDGRPIRVTVAESRPRRQF >KJB34018 pep chromosome:Graimondii2_0_v6:6:13149464:13151965:1 gene:B456_006G043900 transcript:KJB34018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYAKLIRRVNQPRVVIDNNACEDATVIQVDSVNKHGILLEVVQVLTDMNLTIRKAYIASDGGWFMDVFNVVDNDGNKIRDKEIIDYIQRRIETSAGFVPSQRGSVGVMPSEEHTSIELAGTDRPGLLSEVCAVLADLRCNVVNAEIWTHNTRAAAVVHVTDDSTGCAITDPKRLLMIKDLLCNVLKGNDDLKTAKTMLSAPGVMHRERRLHQIMFADRDYERVEKTGVRAVEERSSKPQVNLLNIEKDYTVITMRAKDRPKLLFDIICTLTDMQYVVFHGMVNTTRMDANQEFYIRHVDGLPISSEAERVRVIQCLEAAIERRASEGLELELCTEDRLGLLSDITRIFRENSLCIKRALISTKGGKAKDTFYVTDMTGNPVDPKIIDSICRQIGRSALQVKHNRSSLAPKPPHQETTMSYLFGNLFKARTFQNFKLIRSYS >KJB34017 pep chromosome:Graimondii2_0_v6:6:13149204:13151973:1 gene:B456_006G043900 transcript:KJB34017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYAKLIRRVNQPRVVIDNNACEDATVIQVDSVNKHGILLEVVQVLTDMNLTIRKAYIASDGGWFMDVFNVVDNDGNKIRDKEIIDYIQRRIETSAGFVPSQRGSVGVMPSEEHTSIELAGTDRPGLLSEVCAVLADLRCNVVNAEIWTHNTRAAAVVHVTDDSTGCAITDPKRLLMIKDLLCNVLKGNDDLKTAKTMLSAPGVMHRERRLHQIMFADRDYERVEKTGVRAVEERSSKPQVNLLNIEKDYTVITMRAKDRPKLLFDIICTLTDMQYVVFHGMVNTTRMDANQEFYIRHVDGLPISSEAERVRVIQCLEAAIERRASEGLELELCTEDRLGLLSDITRIFRENSLCIKRALISTKGGRSALQVKHNRSSLAPKPPHQETTMSYLFGNLFKARTFQNFKLIRSYS >KJB34013 pep chromosome:Graimondii2_0_v6:6:13149464:13151965:1 gene:B456_006G043900 transcript:KJB34013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYAKLIRRVNQPRVVIDNNACEDATVIQVDSVNKHGILLEVVQVLTDMNLTIRKAYIASDGGWFMDVFNVVDNDGNKIRDKEIIDYIQRRIETSAGFVPSQRGSVGVMPSEEHTSIELAGTDRPGLLSEVCAVLADLRCNVVNAEIWTHNTRAAAVVHVTDDSTGCAITDPKRLLMIKDLLCNVLKGNDDLKTAKTMLSAPGVMHRERRLHQIMFADRDYERVEKTGVRAVEERSSKPQVNLLNIEKDYTVITMRAKDRPKLLFDIICTLTDMQYVVFHGMVNTTRMDANQEFYIRHVDGLPISSEAERVRVIQCLEAAIERRASEGLELELCTEDRLGLLSDITRIFRENSLCIKRALISTKGDRPECAAGET >KJB34015 pep chromosome:Graimondii2_0_v6:6:13149464:13151070:1 gene:B456_006G043900 transcript:KJB34015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYAKLIRRVNQPRVVIDNNACEDATVIQVDSVNKHGILLEVVQVLTDMNLTIRKAYIASDGGWFMDVFNVVDNDGNKIRDKEIIDYIQRRIETSAGFVPSQRGSVGVMPSEEHTSIELAGTDRPGLLSEVCAVLADLRCNVVNAEIWTHNTRAAAVVHVTDDSTGCAITDPKRLLMIKDLLCNVLKGNDDLKTAKTMLSAPGVMHRERRLHQIMFADRDYERVEKTGVRAVEERSSKPQVNLLNIEKDYTVITMRAKDRPKLLFDIICTLTDMQYVVFHGMVNTTRMDANQLFCLCRNFISDM >KJB34019 pep chromosome:Graimondii2_0_v6:6:13149464:13151965:1 gene:B456_006G043900 transcript:KJB34019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYAKLIRRVNQPRVVIDNNACEDATVIQVDSVNKHGILLEVVQVLTDMNLTIRKAYIASDGGWFMDVFNVVDNDGNKIRDKEIIDYIQRRIETSAGFVPSQRGSVGVMPSEEHTSIELAGTDRPGLLSEVCAVLADLRCNVVNAEIWTHNTRAAAVVHVTDDSTGCAITDPKRLLMIKDLLCNVLKGNDDLKTAKTMLSAPGVMHRERRLHQIMFADRDYERVEKTGVRAVEERSSKPQVNLLNIEKDYTVITMRAKDRPKLLFDIICTLTDMQYVVFHGMVNTTRMDANQEFYIRHVDGLPISSEAERVRVIQCLEAAIERRASEV >KJB34016 pep chromosome:Graimondii2_0_v6:6:13149464:13150822:1 gene:B456_006G043900 transcript:KJB34016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYAKLIRRVNQPRVVIDNNACEDATVIQVDSVNKHGILLEVVQVLTDMNLTIRKAYIASDGGWFMDVFNVVDNDGNKIRDKEIIDYIQRRIETSAGFVPSQRGSVGVMPSEEHTSIELAGTDRPGLLSEVCAVLADLRCNVVNAEIWTHNTRAAAVVHVTDDSTGCAITDPKRLLMIKDLLCNVLKGNDDLKTAKTMLSAPGVMHRERRLHQIMFADRDYERVEKTGVRAVEERSSKPQVNLLNIEKDYTVITMRAKDRPKLLFDIICTLTDMQYVVFHGMVNTTRMDANQVR >KJB34014 pep chromosome:Graimondii2_0_v6:6:13149464:13150822:1 gene:B456_006G043900 transcript:KJB34014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYAKLIRRVNQPRVVIDNNACEDATVIQVDSVNKHGILLEVVQVLTDMNLTIRKAYIASDGGWFMDVFNVVDNDGNKIRDKEIIDYIQRRIETSAGFVPSQRGSVGVMPSEEHTSIELAGTDRPGLLSEVCAVLADLRCNVVNAEIWTHNTRAAAVVHVTDDSTGCAITDPKRLLMIKDLLCNVLKGNDDLKTAKTMLSAPGVMHRERRLHQIMFADRDYERVEKTGVRAVEERSSKPQVNLLNIEKDYTVITMRAKDRPKLLFDIICTLTDMQYVVFHGMVNTTRMDANQVR >KJB33434 pep chromosome:Graimondii2_0_v6:6:2408846:2420535:1 gene:B456_006G011000 transcript:KJB33434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC2 [Source:Projected from Arabidopsis thaliana (AT5G15540) UniProtKB/Swiss-Prot;Acc:A5HEI1] MSNPSSSSWVSDQPGQRLMDMAHCGIGLTNTIHSEVAQCLPLPSLPVFCGASDLELRLFDDPAVGASRSLNRPQIIAQASRIADLLRETDVSYLNLRDEADSVSHDHLEPLELHAQVLQYNPAAFDLSGLVKGKNSGGAVFERKPSESSAPLIGQFQRETRGNRNQQTDVVANDMPKSSSKKPKIKKKADDTGSSVLPDPSELQDAIIGNFRELLEDFCSRAQIPTDDRDEMEWLSLPVNDVRMLVNEIMSVRAKRLLHLVPVDILVKLLRVLDHQIHRAEGLSIDECEHQDSDVFSLVFCALESIHASLAIMAHNDMPKQLYHEEIIERILEFSRHQITDVMSAYDPSYRALHKPSENGAVEDDDDEEPDAELGSASKKRRSTKTAKAKKSAMNKVSGAVNAILQKLCTILGLLKDLLLIEKLSDSCVLQLLKTSFTTFLVDNIQLLQLKAIGLLTGIFYSYTQHRTYIIDEMVQLLWKLPVSKRALRAYHLPDEEQRQIQMITALLIQLVHSSANLPEALNQTSIGSPILEVSVDAGYLTKCHESVQDTCCHFWTRVLQRLASVKTQEASELKLMIENLVTDLLTTLNLPEYPAAAPILEVLCVLLLQNAGLKSKDTSVRAMAIDLLGTIAARLKHDALLNRKDKFWISEELLSGDDTDRSYPKGACSICFDGKEEKVLYRCQGCQRFFHSDCMGVREQEGPNRSWYCQFCMCKKQLLVLQSYCESQYKDDEKPKRGRSESSKSSDPITKVEIVQQMLLNHLQDAASADDAHLFVRWCYLCLWYKDGPKSQQNFNYYVSRLRSKAIVRDSGTVSSLFLRDSVKKIALALGQNNSFSRGFDKILYLLLVSLRENSPVIRAKALRAVSIIVEVDPEVLGDKRVQVAVEGRFCDSAISVREAALELVGRHIASHPDVSLKYFEKVAERIKDTGVSVRKRAIKIIRDMCNADPNFSGFTNACIEIISRVSDDESSIQDLVCKTFYEFWFEEPSGMQTQYPGDGSSIPLEVAKKTEQIVETLRQLPNHQFLVTVIKRNLVLDFFPQSAKAAGINPVSLAAVRRRCEVMCKCLLERILQTEETSNVEAEVPTLPYVLALHAFCVVDPSLCMPASDPSQFVITLQPYLKSQVDNRVGAQLLESIIFVIDAVVPLMRKLPPSVGDELKQDLKHMIVRHSFLTVVHACIKCLCSVSRKAGNTGDVVEYLIQLFFKLLDSRATDNKQQVGRSLFCLGLLIRYGNSLFGGSSSKNIDVASSISLFKKYLQMDDFSIKVRSLQALGFALIARPEYMLEKDIGKILEAALAASSNVRLKMQMLQNLLEYLLDAESQMETDKASDDQVQYTVEGGHSVPVAAGAGDTNICGGIVQLYWDNILGRCLDFNEEVRQSALKIVEVVLRQGLVHPITCVPCLIALETDPLDVNQKLAHHLLMNMNEKYPAFFESRLGDGLQLSFIFMRSISGNAHENPNEKSQSKLPGNLKGKSDAGSLTQARLGVSRIYKLIRGNRVARNKFMSSIVRKFDTPIWNDSVIPFLMYCTETLALLPFSSPDEPLYLIYTINRVIQVRAGALEANLKTLSSNLLQTDAQMMTSNNGIVQPDYSQAAYNHMATLDLNGTFQEPPVVQPPFFHMTSIDLNGTIQQNFSYQSISHYPPAIETTMHKMAPSEPRALSKDEIQKIQADVLAATALQLLMKLKRHLKIVYSLNDQRCQVELTLMPFLFFRNILP >KJB33435 pep chromosome:Graimondii2_0_v6:6:2409012:2419596:1 gene:B456_006G011000 transcript:KJB33435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC2 [Source:Projected from Arabidopsis thaliana (AT5G15540) UniProtKB/Swiss-Prot;Acc:A5HEI1] MSNPSSSSWVSDQPGQRLMDMAHCGIGLTNTIHSEVAQCLPLPSLPVFCGASDLELRLFDDPAVGASRSLNRPQIIAQASRIADLLRETDVSYLNLRDEADSVSHDHLEPLELHAQVLQYNPAAFDLSGLVKGKNSGGAVFERKPSESSAPLIGQFQRETRGNRNQQTDVVANDMPKSSSKKPKIKKKADDTGSSVLPDPSELQDAIIGNFRELLEDFCSRAQIPTDDRDEMEWLSLPVNDVRMLVNEIMSVRAKRLLHLVPVDILVKLLRVLDHQIHRAEGLSIDECEHQDSDVFSLVFCALESIHASLAIMAHNDMPKQLYHEEIIERILEFSRHQITDVMSAYDPSYRALHKPSENGAVEDDDDEEPDAELGSASKKRRSTKTAKAKKSAMNKVSGAVNAILQKLCTILGLLKDLLLIEKLSDSCVLQLLKTSFTTFLVDNIQLLQLKAIGLLTGIFYSYTQHRTYIIDEMVQLLWKLPVSKRALRAYHLPDEEQRQIQMITALLIQLVHSSANLPEALNQTSIGSPILEVSVDAGYLTKCHESVQDTCCHFWTRVLQRLASVKTQEASELKLMIENLVTDLLTTLNLPEYPAAAPILEVLCVLLLQNAGLKSKDTSVRAMAIDLLGTIAARLKHDALLNRKDKFWISEELLSGDDTDRSYPKGACSICFDGKEEKVLYRCQGCQRFFHSDCMGVREQEGPNRSWYCQFCMCKKQLLVLQSYCESQYKDDEKPKRGRSESSKSSDPITKVEIVQQMLLNHLQDAASADDAHLFVRWCYLCLWYKDGPKSQQNFNYYVSRLRSKAIVRDSGTVSSLFLRDSVKKIALALGQNNSFSRGFDKILYLLLVSLRENSPVIRAKALRAVSIIVEVDPEVLGDKRVQVAVEGRFCDSAISVREAALELVGRHIASHPDVSLKYFEKVAERIKDTGVSVRKRAIKIIRDMCNADPNFSGFTNACIEIISRVSDDESSIQDLVCKTFYEFWFEEPSGMQTQYPGDGSSIPLEVAKKTEQIVETLRQLPNHQFLVTVIKRNLVLDFFPQSAKAAGINPVSLAAVRRRCEVMCKCLLERILQTEETSNVEAEVPTLPYVLALHAFCVVDPSLCMPASDPSQFVITLQPYLKSQVDNRVGAQLLESIIFVIDAVVPLMRKLPPSVGDELKQDLKHMIVRHSFLTVVHACIKCLCSVSRKAGNTGDVVEYLIQLFFKLLDSRATDNKQQVGRSLFCLGLLIRYGNSLFGGSSSKNIDVASSISLFKKYLQMDDFSIKVRSLQALGFALIARPEYMLEKDIGKILEAALAASSNVRLKMQMLQNLLEYLLDAESQMETDKASDDQVQYTVEGGHSVPVAAGAGDTNICGGIVQLYWDNILGRCLDFNEEVRQSALKIVEVVLRQGLVHPITCVPCLIALETDPLDVNQKLAHHLLMNMNEKYPAFFESRLGDGLQLSFIFMRSISGNAHENPNEKSQSKLPGNLKGKSDAGSLTQARLGVSRIYKLIRGNRVARNKFMSSIVRKFDTPIWNDSVIPFLMYCTETLALLPFSSPDEPLYLIYTINRVIQVRAGALEANLKTLSSNLLQTDAQMMTSNNGIVQPDYSQAAYNHMATLDLNGTFQEPPVVQPPFFHMTSIDLNGTIQQNFSYQSISHYPPAIETTMHKMAPSEPRALSKDEIQKIQVLSNPANPSFLWPASTGFEFHG >KJB33433 pep chromosome:Graimondii2_0_v6:6:2408658:2420557:1 gene:B456_006G011000 transcript:KJB33433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC2 [Source:Projected from Arabidopsis thaliana (AT5G15540) UniProtKB/Swiss-Prot;Acc:A5HEI1] MSNPSSSSWVSDQPGQRLMDMAHCGIGLTNTIHSEVAQCLPLPSLPVFCGASDLELRLFDDPAVGASRSLNRPQIIAQASRIADLLRETDVSYLNLRDEADSVSHDHLEPLELHAQVLQYNPAAFDLSGLVKGKNSGGAVFERKPSESSAPLIGQFQRETRGNRNQQTDVVANDMPKSSSKKPKIKKKADDTGSSVLPDPSELQDAIIGNFRELLEDFCSRAQIPTDDRDEMEWLSLPVNDVRMLVNEIMSVRAKRLLHLVPVDILVKLLRVLDHQIHRAEGLSIDECEHQDSDVFSLVFCALESIHASLAIMAHNDMPKQLYHEEIIERILEFSRHQITDVMSAYDPSYRALHKPSENGAVEDDDDEEPDAELGSASKKRRSTKTAKAKKSAMNKVSGAVNAILQKLCTILGLLKDLLLIEKLSDSCVLQLLKTSFTTFLVDNIQLLQLKAIGLLTGIFYSYTQHRTYIIDEMVQLLWKLPVSKRALRAYHLPDEEQRQIQMITALLIQLVHSSANLPEALNQTSIGSPILEVSVDAGYLTKCHESVQDTCCHFWTRVLQRLASVKTQEASELKLMIENLVTDLLTTLNLPEYPAAAPILEVLCVLLLQNAGLKSKDTSVRAMAIDLLGTIAARLKHDALLNRKDKFWISEELLSGDDTDRSYPKGACSICFDGKEEKVLYRCQGCQRFFHSDCMGVREQEGPNRSWYCQFCMCKKQLLVLQSYCESQYKDDEKPKRGRSESSKSSDPITKVEIVQQMLLNHLQDAASADDAHLFVRWCYLCLWYKDGPKSQQNFNYYVSRLRSKAIVRDSGTVSSLFLRDSVKKIALALGQNNSFSRGFDKILYLLLVSLRENSPVIRAKALRAVSIIVEVDPEVLGDKRVQVAVEGRFCDSAISVREAALELVGRHIASHPDVSLKYFEKVAERIKDTGVSVRKRAIKIIRDMCNADPNFSGFTNACIEIISRVSDDESSIQDLVCKTFYEFWFEEPSGMQTQYPGDGSSIPLEVAKKTEQIVETLRQLPNHQFLVTVIKRNLVLDFFPQSAKAAGINPVSLAAVRRRCEVMCKCLLERILQTEETSNVEAEVPTLPYVLALHAFCVVDPSLCMPASDPSQFVITLQPYLKSQVDNRVGAQLLESIIFVIDAVVPLMRKLPPSVGDELKQDLKHMIVRHSFLTVVHACIKCLCSVSRKAGNTGDVVEYLIQLFFKLLDSRATDNKQQVGRSLFCLGLLIRYGNSLFGGSSSKNIDVASSISLFKKYLQMDDFSIKVRSLQALGFALIARPEYMLEKDIGKILEAALAASSNVRLKMQMLQNLLEYLLDAESQMETDKASDDQVQYTVEGGHSVPVAAGAGDTNICGGIVQLYWDNILGRCLDFNEEVRQSALKIVEVVLRQGLVHPITCVPCLIALETDPLDVNQKLAHHLLMNMNEKYPAFFESRLGDGLQLSFIFMRSISGNAHENPNEKSQSKLPGNLKGKSDAGSLTQARLGVSRIYKLIRGNRVARNKFMSSIVRKFDTPIWNDSVIPFLMYCTETLALLPFSSPDEPLYLIYTINRVIQVRAGALEANLKTLSSNLLQTDAQMMTSNNGIVQPDYSQAAYNHMATLDLNGTFQEPPVVQPPFFHMTSIDLNGTIQQNFSYQSISHYPPAIETTMHKMAPSEPRALSKDEIQKIQADVLAATALQLLMKLKRHLKIVYSLNDQRCQAFSPTEPIKPGDVLTRQNVPFDISETQTTLPSTYQELVQRYQEYKNALREDAIDYSTFTANIKRKRPTPRKGGKAVRTNGGGDEDDDYDEEWTGGSGVRRMSNSGRKSYNIRSSSRQR >KJB33131 pep chromosome:Graimondii2_0_v6:6:37353935:37357707:-1 gene:B456_006G122200 transcript:KJB33131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQEFRRLLDLFPVVRSGDYHAESASSRQAASCSASNEAIKDWQDAWHDERKETENQGTDLHDKFWQKLKLTAEKKVGAAEADKLCKAFQHVHRKLVYEGLSFEAAQKFLNS >KJB33132 pep chromosome:Graimondii2_0_v6:6:37355792:37357622:-1 gene:B456_006G122200 transcript:KJB33132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQEFRRLLDLFPVVRSGDYHAESASSRQAASCSASNEAIKDWQDAWHDERKETENQGTDLHDKFWQKLKLTAEKKVCLKYNDSKLTKFSVF >KJB33130 pep chromosome:Graimondii2_0_v6:6:37353935:37357622:-1 gene:B456_006G122200 transcript:KJB33130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLYASLSCFSFAKQIKDWQDAWHDERKETENQGTDLHDKFWQKLKLTAEKKVGAAEADKLCKAFQHVHRKLVYEGLSFEAAQKFLNS >KJB35191 pep chromosome:Graimondii2_0_v6:6:34582774:34586781:-1 gene:B456_006G103700 transcript:KJB35191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFHFLLLLVFFSFSCLFHGGISRAVKSPGESEEVWGYVEVRPTHMFWWLYRSPYRVEQPSKPWPIILWLQGGPGASGVGIGNFEEIGPLNTDLKPRNTTWLKKADLLFVDNPVGTGYSYVEDTEMLVKTDNEAASDLTTLLMKLFNTIESLQKSPLYIVAESYGGKFAVTAGLSILNAIDAGKLKLKLGGVALGNSWISPEDFAFSWGPLLKDVSRLDNNGLEKSNSLAEKISQQLKDGQYTDATDTWSRLESVIGANSNSVDFYNFLLDSGMGPVALTTSAFSNGVFSVNRYSRYLSSLRVSPGNDGPDLDTLMNGVIKKKLKIIPDNVTWGGQSDFVFSYLSGDFMRPRIAEVDELLSKGVNVTIYSGQLDVICATKGTQAWLDKLKWEGLKEFLSKDRNPLYCKEDQATKGFIKSYKNLQFYWILGAGHFVPVEQPCVALNMAAAITQSPAASTS >KJB35189 pep chromosome:Graimondii2_0_v6:6:34582774:34586781:-1 gene:B456_006G103700 transcript:KJB35189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFHFLLLLVFFSFSCLFHGGISRAVKSPGESEEVWGYVEVRPKAHMFWWLYRSPYRVEQPSKPWPIILWLQGGPGASGVGIGNFEEIGPLNTDLKPRNTTWLKKADLLFVDNPVGTGYSYVEDTEMLVKTDNEAASDLTTLLMKLFNTIESLQKSPLYIVAESYGGKFAVTAGLSILNAIDAGKLKLKLGGVALGNSWISPEDFAFSWGPLLKDVSRLDNNGLEKSNSLAEKISQQLKDGQYTDATDTWSRLESVIGANSNSDFYNFLLDSGMGPVALTTSAFSNGVFSVNRYSRYLSSLRVSPGNDGPDLDTLMNGVIKKKLKIIPDNVTWGGQSDFVFSYLSGDFMRPRIAEVDELLSKGVNVTIYSGQLDVICATKGTQAWLDKLKWEGLKEFLSKDRNPLYCKEDQATKGFIKSYKNLQFYWILGAGHFVPVEQPCVALNMAAAITQSPAASTS >KJB35192 pep chromosome:Graimondii2_0_v6:6:34582774:34587014:-1 gene:B456_006G103700 transcript:KJB35192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFHFLLLLVFFSFSCLFHGGISRAVKSPGESEEVWGYVEVRPKAHMFWWLYRSPYRVEQPSKPWPIILWLQGGPGASGVGIGNFEEIGPLNTDLKPRNTTWLKKADLLFVDNPVGTGYSYVEDTEMLVKTDNEAASDLTTLLMKLFNTIESLQKSPLYIVAESYGGKFAVTAGLSILNAIDAGKLKLKLGGVALGNSWISPEDFAFSWGPLLKDVSRLDNNGLEKSNSLAEKISQQLKDGQYTDATDTWSRLESVIGANSNSVDFYNFLLDSGMGPVALTTSAFSNGVFSVNRYSRYLSSLRVSPGNDGPDLDTLMNGVIKKKLKIIPDNVTWGGQSDFVFSYLSGDFMRPRIAEVDELLSKGVNVTIYSGQLDVICATKGTQAWLDKLKWEGLKEFLSKDRNPLYCKEDQATKGFIKSYKNLQFYWILGAGHFVPVEQPCVALNMAAAITQSPAASTS >KJB35188 pep chromosome:Graimondii2_0_v6:6:34582774:34586781:-1 gene:B456_006G103700 transcript:KJB35188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFHFLLLLVFFSFSCLFHGGISRAVKSPGESEEVWGYVEVRPKAHMFWWLYRSPYRVEQPSKPWPIILWLQGGPGASGVGIGNFEEIGPLNTDLKPRNTTWLKKADLLFVDNPVGTGYSYVEDTEMLVKTDNEAASDLTTLLMKLFNTIESLQKSPLYIVAESYGGKFAVTAGLSILNAIDAGKLKLKLGGVALGNSWISPEDFADVSRLDNNGLEKSNSLAEKISQQLKDGQYTDATDTWSRLESVIGANSNSVDFYNFLLDSGMGPVALTTSAFSNGVFSVNRYSRYLSSLRVSPGNDGPDLDTLMNGVIKKKLKIIPDNVTWGGQSDFVFSYLSGDFMRPRIAEVDELLSKGVNVTIYSGQLDVICATKGTQAWLDKLKWEGLKEFLSKDRNPLYCKEDQATKGFIKSYKNLQFYWILGAGHFVPVEQPCVALNMAAAITQSPAASTS >KJB35190 pep chromosome:Graimondii2_0_v6:6:34582774:34586781:-1 gene:B456_006G103700 transcript:KJB35190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFHFLLLLVFFSFSCLFHGGISRAVKSPGESEEVWGYVEVRPKAHMFWWLYRSPYRVEQPSKPWPIILWLQGGPGASGVGIGNFEEIGPLNTDLKPRNTTWLKKADLLFVDNPVGTGYSYVEDTEMLVKTDNEAASDLTTLLMKLFNTIESLQKSPLYIVAESYGGKFAVTAGLSILNAIDAGKLKLKLGVALGNSWISPEDFAFSWGPLLKDVSRLDNNGLEKSNSLAEKISQQLKDGQYTDATDTWSRLESVIGANSNSVDFYNFLLDSGMGPVALTTSAFSNGVFSVNRYSRYLSSLRVSPGNDGPDLDTLMNGVIKKKLKIIPDNVTWGGQSDFVFSYLSGDFMRPRIAEVDELLSKGVNVTIYSGQLDVICATKGTQAWLDKLKWEGLKEFLSKDRNPLYCKEDQATKGFIKSYKNLQFYWILGAGHFVPVEQPCVALNMAAAITQSPAASTS >KJB33637 pep chromosome:Graimondii2_0_v6:6:6109726:6122783:1 gene:B456_006G023400 transcript:KJB33637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDEEEIRGNKKITVGVCVMEKKVFSAPMGQILDRLKAFGEFEVIYFGDKVILEEPIESWPICDCLIAFYSSGYPLEKAEAYAALRKPFLVNELDPQHLLHDRRKVYERLEEFGIPVPRYALVNREEPYQDLDYFIEEEDYVEVHGNRFWKPFVEKPVDGDNHSIMIYYPSSAGGGMKKLFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNTDGKEVRYPVLLTPNEKQMARDVCIAFRQAVCGFDLLRSDGCSYVCDVNGWSFVKNSHKYYDDAACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRMLVPRYRPGRGSDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNEIITSGARKIHSNGTSKCPWMTDGAGLPSNASELLPKLVTLTKKVTEQVRLLAKDEDETLTEASPYDVIPPYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLKGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKVLIDLRNTREEALNVAELKSNQDKCSKSTKNGKDDKDSAPKFFINGDDTRRSSTTSEISMDQEDDDDKETKYRLDPKSYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLICQTALKRLYKTKELDYMSYIVIRMFENTEVALEDPKRFRIELTFSRGADLSPLEMNDSKAASLHQEHTLPIMGPERLQELGSYLTLEKMEKMIRPFAMPAEDFPPPATPAGFSGYFSKSAGVLERLVNLWPFHKNAAHTNGK >KJB33638 pep chromosome:Graimondii2_0_v6:6:6109726:6122783:1 gene:B456_006G023400 transcript:KJB33638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDEEEIRGNKKITVGVCVMEKKVKCGSEVFSAPMGQILDRLKAFGEFEVIYFGDKVILEEPIESWPICDCLIAFYSSGYPLEKAEAYAALRKPFLVNELDPQHLLHDRRKVYERLEEFGIPVPRYALVNREEPYQDLDYFIEEEDYVEVHGNRFWKPFVEKPVDGDNHSIMIYYPSSAGGGMKKLFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNTDGKEVRYPVLLTPNEKQMARDVCIAFRQAVCGFDLLRSDGCSYVCDVNGWSFVKNSHKYYDDAACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRMLVPRYRPGRGSDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNEIITSGARKIHSNGTSKCPWMTDGAGLPSNASELLPKLVTLTKKVTEQVRLLAKDEDETLTEASPYDVIPPYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLKGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKVLIDLRNTREEALNVAELKSNQDKCSKSTKNGKDDKDSAPKFFINGDDTRRSSTTSEISMDQEDDDDKETKYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLICQTALKRLYKTKELDYMSYIVIRMFENTEVALEDPKRFRIELTFSRGADLSPLEMNDSKAASLHQEHTLPIMGPERLQELGSYLTLEKMEKMIRPFAMPAEDFPPPATPAGFSGYFSKSAGVLERLVNLWPFHKNAAHTNGK >KJB33635 pep chromosome:Graimondii2_0_v6:6:6109671:6122787:1 gene:B456_006G023400 transcript:KJB33635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDEEEIRGNKKITVGVCVMEKKVFSAPMGQILDRLKAFGEFEVIYFGDKVILEEPIESWPICDCLIAFYSSGYPLEKAEAYAALRKPFLVNELDPQHLLHDRRKVYERLEEFGIPVPRYALVNREEPYQDLDYFIEEEDYVEVHGNRFWKPFVEKPVDGDNHSIMIYYPSSAGGGMKKLFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNTDGKEVRYPVLLTPNEKQMARDVCIAFRQAVCGFDLLRSDGCSYVCDVNGWSFVKNSHKYYDDAACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRMLVPRYRPGRGSDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNEIITSGARKIHSNGTSKCPWMTDGAGLPSNASELLPKLVTLTKKVTEQVRLLAKDEDETLTEASPYDVIPPYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLKGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKVLIDLRNTREEALNVAELKSNQDKCSKSTKNGKDDKDSAPKFFINGDDTRRSSTTSEISMDQEDDDDKETKYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLICQTALKRLYKTKELDYMSYIVIRMFENTEVALEDPKRFRIELTFSRGADLSPLEMNDSKAASLHQEHTLPIMGPERLQELGSYLTLEKMEKMIRPFAMPAEDFPPPATPAGFSGYFSKSAGVLERLVNLWPFHKNAAHTNGK >KJB33636 pep chromosome:Graimondii2_0_v6:6:6110005:6121462:1 gene:B456_006G023400 transcript:KJB33636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDEEEIRGNKKITVGVCVMEKKVFSAPMGQILDRLKAFGEFEVIYFGDKVILEEPIESWPICDCLIAFYSSGYPLEKAEAYAALRKPFLVNELDPQHLLHDRRKVYERLEEFGIPVPRYALVNREEPYQDLDYFIEEEDYVEVHGNRFWKPFVEKPVDGDNHSIMIYYPSSAGGGMKKLFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNTDGKEVRYPVLLTPNEKQMARDVCIAFRQAVCGFDLLRSDGCSYVCDVNGWSFVKNSHKYYDDAACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRMLVPRYRPGRGSDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNEIITSGARKIHSNGTSKCPWMTDGAGLPSNASELLPKLVTLTKKVTEQVRLLAKDEDETLTEASPYDVIPPYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLKGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKVLIDLRNTREEALNVAELKSNQDKCSKSTKNGKDDKDSAPKFFINGDDTRRSSTTSEISMDQEDDDDKETKYRLDPKSYIKSLTHALFPVFYFIILYLTLCPFRYANVRTPERHVRTRLYFTSVSANHERTNHSLFSCST >KJB33639 pep chromosome:Graimondii2_0_v6:6:6109726:6122783:1 gene:B456_006G023400 transcript:KJB33639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDEEEIRGNKKITVGVCVMEKKVFSAPMGQILDRLKAFGEFEVIYFGDKVILEEPIESWPICDCLIAFYSSGYPLEKAEAYAALRKPFLVNELDPQHLLHDRRKVYERLEEFGIPVPRYALVNREEPYQDLDYFIEEEDYVEVHGNRFWKPFVEKPVDGDNHSIMIYYPSSAGGGMKKLFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNTDGKEVRYPVLLTPNEKQMARDVCIAFRQAVCGFDLLRSDGCSYVCDVNGWSFVKNSHKYYDDAACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRMLVPRYRPGRGSDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNEIITSGARKIHSNGTSKCPWMTDGAGLPSNASELLPKLVTLTKKVTEQVRLLAKDEDETLTEASPYDVIPPYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLKGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKVLIDLRNTREEALNVAELKSNQDKCSKSTKNGKDDKDSAPKFFINGDDTRRSSTTSEISMDQEDDDDKETKYRLDPKSYIKSLTHALFPVFYFIILYLTLCPFRYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLICQTALKRLYKTKELDYMSYIVIRMFENTEVALEDPKRFRIELTFSRGADLSPLEMNDSKAASLHQEHTLPIMGPERLQELGSYLTLEKMEKMIRPFAMPAEDFPPPATPAGFSGYFSKSAGVLERLVNLWPFHKNAAHTNGK >KJB36238 pep chromosome:Graimondii2_0_v6:6:40621440:40626014:1 gene:B456_006G147800 transcript:KJB36238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDYVFLCTNNKKKKKKKKTKANCLVFRDCGSHLLLASHRLYISISSNWKLLAGSSEETPISSPLTPVLAIDIGKNEEKKGVANRTKVQAVLKKIKQSPKKVNLVAALIRGMRVEDALLQLQVTVKRAAKTVYQVIHSARADATHNHGLDPDCLLVPEAFIGKGMFLKRVSFHGKGRSSIKERPECRLTVVVREMTAEEEAEIARQTESKQVSQAY >KJB36239 pep chromosome:Graimondii2_0_v6:6:40622560:40626013:1 gene:B456_006G147800 transcript:KJB36239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQANCLVFRDCGSHLLLASHRLYISISSNWKLLAGSSEETPISSPLTPVLAIDIGKNEEKKGVANRTKVQAVLKKIKQSPKKVNLVAALIRGMRVEDALLQLQVTVKRAAKTVYQVIHSARADATHNHGLDPDCLLVPEAFIGKGMFLKRVSFHGKGRSSIKERPECRLTVVVREMTAEEEAEIARQTESKQVSQAY >KJB34032 pep chromosome:Graimondii2_0_v6:6:13538376:13542557:-1 gene:B456_006G044500 transcript:KJB34032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIESGDEFGRNAALRLISIWEERKVFGSRGQILKEELFGRQSESNNRNGRHINPKLLKQPVGDTVDKIVSGYQFLYCSQMDEDVIFSKCRNAISCMEKVDKEISTDVNSGQFRGSALVGEVQGQHAALRDCIEQLTAVASSRVNLISHLREALKEQAAQSRAEQAGNICRQLLNCDNPELASEQSSKESFIPEATEQSAPLMYARQVSFPKNSGQTEEDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVLGNPMKESSGDYSSEKRPKLENDQPYIPSQNVQQALVPPFSHPESHLHNVAATTQQLTPNEVPPPTSSSSSPLPPVSAMAPYAVSQYMPTAGLINGAAYSYGMTTASQQHSLPGYSVVGGAITGLSPFPTPLTNISYQSFQGSEVFYNQPSSVPTAPNSRQ >KJB34034 pep chromosome:Graimondii2_0_v6:6:13538376:13542625:-1 gene:B456_006G044500 transcript:KJB34034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIESGDEFGRNAALRLISIWEERKVFGSRGQILKEELFGRQSESNNRNGRHINPKLPVGDTVDKIVSGYQFLYCSQMDEDVIFSKCRNAISCMEKVDKEISTDVNSGQFRGSALVGEVQGQHAALRDCIEQLTAVASSRVNLISHLREALKEQEFKLEQVRSQLQAAQSRAEQAGNICRQLLNCDNPELASEQSSKESFIPEATEQSAPLMYARQVSFPKNSGQTEEDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVLGNPMKESSGDYSSEKRPKLENDQPYIPSQNVQQALVPPFSHPESHLHNVAATTQQLTPNEVPPPTSSSSSPLPPVSAMAPYAVSQYMPTAGLINGAAYSYGMTTASQQHSLPGYSVVGGAITGLSPFPTPLTNISYQSFQGSEVFYNQPSSVPTAPNSRQ >KJB34037 pep chromosome:Graimondii2_0_v6:6:13538590:13541635:-1 gene:B456_006G044500 transcript:KJB34037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIESGDEFGRNAALRLISIWEERKVFGSRGQILKEELFGRQSESNNRNGRHINPKLLKQPVGDTVDKIVSGYQFLYCSQMDEDVIFSKCRNAISCMEKVDKEISTDVNSGQFRGSALVGEVQGQHAALRDCIEQLTAVASSRVNLISHLREALKEQEFKLEQVRSQLQAAQSRAEQAGNICRQLLNCDNPELASEQSSKESFIPEATEQSAPLMYARQVSFPKNSGQTEEDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVLGNPMKESSGDYSSEKRPKLENDQPYIPSQNVQQALVPPFSHPESHLHNVAATTQQLTPNEVPPPTSSSSSPLPPVSAMAPYAVSQYMPTAGLINGAAYSYGMTTASQQHSLPGYSVVGGAITGLSPFPTPLTNISYQSFQGSEVFYNQPSSVPTAPNSRQ >KJB34033 pep chromosome:Graimondii2_0_v6:6:13538590:13541635:-1 gene:B456_006G044500 transcript:KJB34033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIESGDEFGRNAALRLISIWEERKVFGSRGQILKEELFGRQSESNNRNGRHINPKLLKQPVGDTVDKIVSGYQFLYCSQMDEDVIFSKCRNAISCMEKVDKEISTDVNSGQFRGSALVGEVQGQHAALRDCIEQLTAVASSRVNLISHLREALKEQEFKLEQVRSQLQAAQSRAEQAGNICRQLLNCDNPELASEQSSKESFIPEATEQSAPLMYARQVSFPKNSGQTEEDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVLGNPMKESSGDYSSEKRPKLENDQPYIPSQNVQQALVPPFSHPESHLHNVAATTQQLTPNEVPPPTSSSSSPLPPVSAMAPYAVSQYMPTAGLINGAAYSYGMTTASQQHSLPGYSVVGGAITGLSPFPTPLTNISYQSFQGSEVFYNQPSSVPTAPNSRQ >KJB34038 pep chromosome:Graimondii2_0_v6:6:13538590:13541635:-1 gene:B456_006G044500 transcript:KJB34038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIESGDEFGRNAALRLISIWEERKVFGSRGQILKEELFGRQSESNNRNGRHINPKLLKQPVGDTVDKIVSGYQFLYCSQMDEDVIFSKCRNAISCMEKVDKEISTDVNSGQFRGSALVGEVQGQHAALRDCIEQLTAVASSRVNLISHLREALKEQEFKLEQVRSQLQAAQSRAEQAGNICRQLLNCDNPELASEQSSKESFIPEATEQSAPLMYARQVSFPKNSGQTEEDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVLGNPMKESSGDYSSEKRPKLENDQPYIPSQNVQQALVPPFSHPESHLHNVAATTQQLTPNEVPPPTSSSSSPLPPVSAMAPYAVSQYMPTAGLINGAAYSYGMTTASQQHSLPGYSVVGGAITGLSPFPTPLTNISYQSFQGSEVFYNQPSSVPTAPNSRQ >KJB34036 pep chromosome:Graimondii2_0_v6:6:13538376:13542557:-1 gene:B456_006G044500 transcript:KJB34036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIESGDEFGRNAALRLISIWEERKVFGSRGQILKEELFGRQSESNNRNGRHINPKLLKQPVGDTVDKIVSGYQFLYCSQMDEDVIFSKCRNAISCMEKVDKEISTDVNSGQFRGSALVGEVQGQHAALRDCIEQLTAVASSRVNLISHLREALKEQEFKLEQVRSQLQAAQSRAEQAGNICRQLLNCDNPELASEQSSKESFIPEATEQSAPLMYARQVSFPKNSGQTEEDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVLGNPMKESSGDYSSEKRPKLENDQPYIPSQNVQQALVPPFSHPESHLHNVAATTQQLTPNEVPPPTSSSSSPLPPVSAMAPYAVSQYMPTAGLINGAAYSYGMTTASQQHSLPGYSVVGGAITGLSPFPTPLTNISYQSFQGSEVFYNQPSSVPTAPNSRQ >KJB34035 pep chromosome:Graimondii2_0_v6:6:13538376:13542625:-1 gene:B456_006G044500 transcript:KJB34035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIESGDEFGRNAALRLISIWEERKVFGSRGQILKEELFGRQSESNNRNGRHINPKLPVGDTVDKIVSGYQFLYCSQMDEDVIFSKCRNAISCMEKVDKEISTDVNSGQFRGSALVGEVQGQHAALRDCIEQLTAVASSRVNLISHLREALKEQEFKLEQVRSQLQAAQSRAEQAGNICRQLLNCDNPELASEQSSKESFIPEATEQSAPLMYARQVSFPKNSGQTEEDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVLGNPMKESSGDYSSEKRPKLENDQPYIPSQNVQQALVPPFSHPESHLHNVAATTQQLTPNEVPPPTSSSSSPLPPVSAMAPYAVSQYMPTAGLINGAAYSYGMTTASQQHSLPGYSVVGGAITGLSPFPTPLTNISYQSFQGSEVFYNQPSSVPTAPNSRQ >KJB36675 pep chromosome:Graimondii2_0_v6:6:43002766:43005026:-1 gene:B456_006G170400 transcript:KJB36675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEIRFFELNTGAKIPSVGLGTYAVTRNTVATAVKVGYRHLDCASIYGNEKEIGFALKELFDDGVVKREDLFITSKLWCTHHLPEDVPEALNKTLEDLQLDYVDLYLIHWPISLKKVSVGVLPENLTQPDISGTWRAMEALYDSGKARAVGVSNFSAKKLGDLLEVAHVVPAVNQVELHPVWQQPKLHEYCHSKGIHLSGYSPLGSQAGENMRRKVQENPVLKMVAERLGKSPAQVALRWGLQRGHSVLPKSNSEARLKENLDVFNWSIPDDLFAKFSEIEQEKLIRGSNFVHPTYGAYRTTEELWDGEI >KJB36676 pep chromosome:Graimondii2_0_v6:6:43002905:43004900:-1 gene:B456_006G170400 transcript:KJB36676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEIRFFELNTGAKIPSVGLGTYAVTRNTVATAVKVGYRHLDCASIYGNEKEIGFALKELFDDGVVKREDLFITSKLWCTHHLPEDVPEALNKTLEDLQLDYVDLYLIHWPISLKKVSVGVLPENLTQPDISGTWRAMEALYDSGKARAVGVSNFSAKKLGDLLEVAHVVPAVNQVELHPVWQQPKLHEYCHSKGIHLSGYSPLGSQAGENMRRKVQENPVLKMVAERLGKSPAQVALRWGLQRGHSVLPKSNSEARLKENLDVFNWSIPDDLFAKFSEIEQASVNFISSLSLLPSLQLSLLPLHACLLQTSLLEKLIRGSNFVHPTYGAYRTTEELWDGEI >KJB36677 pep chromosome:Graimondii2_0_v6:6:43003091:43004847:-1 gene:B456_006G170400 transcript:KJB36677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEIRFFELNTGAKIPSVGLGTYAVTRNTVATAVKVGYRHLDCASIYGNEKEIGFALKELFDDGVVKREDLFITSKLWCTHHLPEDVPEALNKTLEDLQLDYVDLYLIHWPISLKKVSVGVLPENLTQPDISGTWRAMEALYDSGKARAVGVSNFSAKKLGDLLEVAHVVPAVNQVELHPVWQQPKLHEYCHSKGIHLSGYSPLGSQAGENMRRKVQENPVLKMVAERLGKSPAQVALRWGLQRGHSVLPKSNSEARLKENLDVFNWSIPDDLFAKFSEIEQASVNFISSLSLLPSLQLSLLPLHACLLQTSLLVCFCAPCITLFLRLNIRSSIHEISIEYVNIPLQEKLIRGSNFVHPTYGAYRTTEELWDGEI >KJB34214 pep chromosome:Graimondii2_0_v6:6:19193009:19203680:1 gene:B456_006G053300 transcript:KJB34214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRMKRFRCMSNVNETAREIFSLGAPFPCHVVKKHLYGIFGPTKPCIRHSIGAQRYFHQQLPQQECLGYGGMSSQESLMSGRNLFWRSNLPCSFRGNPLRSMQSGSTFCHYGDIANNETSLSRKFLAQLWIADRKMRKDLEKRRRKGKQLNYDEAQEPFQHPSENSFTGRIVTEEESAYQAAPVLKQQPVSQSVSSFLKPTSPEEAQIAPLLARSNLLITRDIEWANLVLGFEQVNRYAIVDVCYPQSPVGFIREQSNVIARQLLRLRRPFVAYITDAMGNELFRVRRPFWWITSSIYVEIDGKEIGVVHRRWHLWRRVYDLYLGNKQFAVVENPGLWNWTFTLKDIDGQVLAEIDRDWRGFGFEIFTDAGQYVIRFGKADPVLKTGPASMIQELDVSRPLTLSERAIALALAISLDNDYFSRHGGWGIPFVAMGE >KJB34211 pep chromosome:Graimondii2_0_v6:6:19193009:19202381:1 gene:B456_006G053300 transcript:KJB34211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRMKRFRCMSNVNETAREIFSLGAPFPCHVVKKHLYGIFGPTKPCIRHSIGAQRYFHQQLPQQECLGYGGMSSQESLMSGRNLFWRSNLPCSFRGNPLRSMQSGSTFCHYGDIANNETSLSRKFLAQLWIADRKMRKDLEKRRRKGKQLNYDEAQEPFQHPSENSFTGRIVTEEESAYQAAPVLKQQPVSQSVSSFLKPTSPEEAQIAPLLARSNLLITRDIEWANLVLGFEQENRYAIVDVCYPQSPVGFIREQSNVIARQLLRLRRPFVAYITDAMGNELFRVRRPFWWITSSIYVEIDGKEIGVVHRRWHLWRRVYDLYLGNKQFAVVENPGLWNWTFTLKDIDGQVLAEIDRDWRGFGFEIFTDAGQYVIRFGKADPVLKTGPASMIQELDVSRPLTLSERAIALALAISLDNDYFSRHGGW >KJB34212 pep chromosome:Graimondii2_0_v6:6:19193009:19203680:1 gene:B456_006G053300 transcript:KJB34212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRMKRFRCMSNVNETAREIFSLGAPFPCHVVKKHLYGIFGPTKPCIRHSIGAQRYFHQQLPQQECLGYGGMSSQESLMSGRNLFWRSNLPCSFRGNPLRSMQSGSTFCHYGDIANNETSLSRKFLAQLWIADRKMRKDLEKRRRKGKQLNYDEAQEPFQHPSENSFTGRIVTEEESAYQAAPVLKQQPVSQSVSSFLKPTSPEEAQIAPLLARSNLLITRDIEWANLVLGFEQENRYAIVDVCYPQSPVGFIREQSNVIARQLLRLRRPFVAYITDAMGNELFRVRRPFWWITSSIYVEIDGKEIGVVHRRWHLWRRVYDLYLGNKQFAVVENPGLWNWTFTLKDIDGQVLAEIDRDWRGFGFEIFTDAGQYVIRFGKADPVLKTGPASMIQELDVSRPLTLSERAIALALAISLDNDYFSRHGGWGIPFVAMGE >KJB34213 pep chromosome:Graimondii2_0_v6:6:19193009:19203680:1 gene:B456_006G053300 transcript:KJB34213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRMKRFRCMSNVNETAREIFSLGAPFPCHVVKKHLYGIFGPTKPCIRHSIGAQRYFHQQLPQQECLGYGGMSSQESLMSGRNLFWRSNLPCSFRGNPLRSMQSGSTFCHYGDIANNETSLSRKFLAQLWIADRKMRKDLEKRRRKGKQLNYDEAQEPFQHPSENSFTGRIVTEEESAYQAAPVLKQQPVSQSVSSFLKPTSPEEAQIAPLLARSNLLITRDIEWANLVLGFEQVENRYAIVDVCYPQSPVGFIREQSNVIARQLLRLRRPFVAYITDAMGNELFRVRRPFWWITSSIYVEIDGKEIGVVHRRWHLWRRVYDLYLGNKQFAVVENPGLWNWTFTLKDIDGQVLAEIDRDWRGFGFEIFTDAGQYVIRFGKADPVLKTGPASMIQELDVSRPLTLSERAIALALAISLDNDYFSRHGGWGIPFVAMGE >KJB35325 pep chromosome:Graimondii2_0_v6:6:35332161:35335456:1 gene:B456_006G109200 transcript:KJB35325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTILLSNMYQRPDMITPGVDAQGNPIDPRKIQQHFEAFYEDLFEELSKYGQIESLNICDNLADHMVGNVYVQFREEEHAANAVKNLSGRFYAARPIIVDFSPVTDFREATCRQYDENTCSRGGYCNFMHLKRISRELRRQLFGRSKRRQSHSRSRSPNRRRSSHDERPHGSRDHGRRYNDRDNYHESRSRRHRSTSPGHRRGRSRSPGGKRNHSPIREGSEERRAKIEQWNREREQQENANNFEKDAANNNKKNGRNEYLRNDDKNYQQQE >KJB35323 pep chromosome:Graimondii2_0_v6:6:35332161:35335456:1 gene:B456_006G109200 transcript:KJB35323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTILLSNMYQRPDMITPGVDAQGNPIDPRKIQQHFEAFYEDLFEELSKYGQIESLNICDNLADHMVGNVYVQFREEEHAANAVKNLSGRFYAARPIIVDFSPVTDFREATCRQYDENTCSRGGYCNFMHLKRISRELRRQLFGRSKRRQSHSRSRSPNRRRSSHDERPHGSRDHGRRYNDRDNYHESRSRRHRSTSPGHRRGRSRSPGGKRNHSPIREGSEERRAKIEQWNREREQQENANNFEKDAANNNKKNGRNEYLRNDDKNYQQQE >KJB35326 pep chromosome:Graimondii2_0_v6:6:35332084:35335472:1 gene:B456_006G109200 transcript:KJB35326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTILLSNMYQRPDMITPGVDAQGNPIDPRKIQQHFEAFYEDLFEELSKYGQIESLNICDNLADHMVGNVYVQFREEEHAANAVKNLSGRFYAARPIIVDFSPVTDFREATCRQYDENTCSRGGYCNFMHLKRISRELRRQLFGRSKRRQSHSRSRSPNRRRSSHDERPHGSRDHGRRYNDRDNYHESRSRRHRSTSPGHRRGRSRSPGGKRNHSPIREGSEERRAKIEQWNREREQQENANNFEKDAANNNKKNGRNEYLRNDDKNYQQQE >KJB35328 pep chromosome:Graimondii2_0_v6:6:35332194:35335456:1 gene:B456_006G109200 transcript:KJB35328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTILLSNMYQRPDMITPGVDAQGNPIDPRKIQQHFEAFYEDLFEELSKYGQIESLNICDNLADHMVGNVYVQFREEEHAANAVKNLSGRFYAARPIIVDFSPVTDFREATCRQYDENTCSRGGYCNFMHLKRISRQDLRRQLFGRSKRRQSHSRSRSPNRRRSSHDERPHGSRDHGRRYNDRDNYHESRSRRHRSTSPGHRRGRSRSPGGKRNHSPIREGSEERRAKIEQWNREREQQENANNFEKDAANNNKKNGRNEYLRNDDKNYQQQE >KJB35324 pep chromosome:Graimondii2_0_v6:6:35332161:35335456:1 gene:B456_006G109200 transcript:KJB35324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTILLSNMYQRPDMITPGVDAQGNPIDPRKIQQHFEAFYEDLFEELSKYGQIESLNICDNLADHMVGNVYVQFREEEHAANAVKNLSGRFYAARPIIVDFSPVTDFREATCRQYDENTCSRGGYCNFMHLKRISRELRRQLFGRSKRRQSHSRSRSPNRRRSSHDERPHGSRDHGRRYNDRDNYHESRSRRHRSTSPGHRRGRSRSPGGKRNHSPIREGSEERRAKIEQWNREREQQENANNFEKDAANNNKKNGRNEYLRNDDKNYQQQE >KJB35327 pep chromosome:Graimondii2_0_v6:6:35332084:35335459:1 gene:B456_006G109200 transcript:KJB35327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTILLSNMYQRPDMITPGVDAQGNPIDPRKIQQHFEAFYEDLFEELSKYGQIESLNICDNLADHMVGNVYVQFREEEHAANAVKNLSGRFYAARPIIVDFSPVTDFREATCRQYDENTCSRGGYCNFMHLKRISRELRRQLFGRSKRRQSHSRSRSPNRRRSSHDERPHGSRDHGRRYNDRDNYHESRSRRHRSTSPGHRRGRSRSPGGKRNHSPIREGSEERRAKIEQWNREREQQENANNFEKDAANNNKKNGRNEYLRNDDKNYQQQE >KJB37893 pep chromosome:Graimondii2_0_v6:6:47857058:47861181:1 gene:B456_006G227600 transcript:KJB37893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSSSFVATRDQALSVFNHPFSQSHSFLVSNFKNGSFLSPINTHTRRPKTHTSFTTPKRFQPITGCLGERNTPAKALRQILESPGAHQGPACFDGLSAKLVERAGFQYCFTSGFSISAARLGLPDTGFISYGEMVDQGQQITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIRAGFAGIILEDQVSPKACGHTQGRKVVSREEAVMRIKAAVDARKESGSDIVIVARTDSRQALSLEESLWRSRAFADAGADVLFIDALSSKEEMKAFCEVSPLVPKMANMLEGGGKTPILSPLELEEIGYKLVAYPLSLIGVSIRAMQDSLTALNGGRIPPPGSMPSFEEIKEILGFNNYYEEEKRYATSKYQLYADRDGSRDPFSGIWSRTLRIKITGRDGFEKLDVRVPAGFLEGITNIVPALGGVNLKALLDDAAEEVGGKVLLDFNDTMGDRIQVFLE >KJB37890 pep chromosome:Graimondii2_0_v6:6:47856917:47861196:1 gene:B456_006G227600 transcript:KJB37890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNLQSMNPSSSFVATRDQALSVFNHPFSQSHSFLVSNFKNGSFLSPINTHTRRPKTHTSFTTPKRFQPITGCLGERNTPAKALRQILESPGAHQGPACFDGLSAKLVERAGFQYCFTSGFSISAARLGLPDTGFISYGEMVDQGQQITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIRAGFAGIILEDQVSPKACGHTQGRKVVSREEAVMRIKAAVDARKESGSDIVIVARTDSRQALSLEESLWRSRAFADAGADVLFIDALSSKEEMKAFCEVSPLVPKMANMLEGGGKTPILSPLELEEIGYKLVAYPLSLIGVSIRAMQDSLTALNGGRIPPPGSMPSFEEIKEILGFNNYYEEEKRYATSKYQLYADREGSNAYSIQRVRDDSEQRGQSPQDPVVEVITPDVYTKYGADGSRDPFSGIWSRTLRIKITGRDGFEKLDVRVPAGFLEGITNIVPALGGVNLKALLDDAAEEVGGKVLLDFNDTMGDRIQVFLE >KJB37894 pep chromosome:Graimondii2_0_v6:6:47857077:47860269:1 gene:B456_006G227600 transcript:KJB37894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSSSFVATRDQALSVFNHPFSQSHSFLVSNFKNGSFLSPINTHTRRPKTHTSFTTPKRFQPITGCLGERNTPAKALRQILESPGAHQGPACFDGLSAKLVERAGFQYCFTSGFSISAARLGLPDTGFISYGEMVDQGQQITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIRAGFAGIILEDQVSPKACGHTQGRKVVSREEAVMRIKAAVDARKESGSDIVIVARTDSRQALSLEESLWRSRAFADAGADVLFIDALSSKEEMKAFCEVSPLVPKMANMLEGGGKTPILSPLELEEIGYKLVAYPLSLIGVSIRAMQDSLTALNGGRIPPPGSMPSFEEIKEILGFNNYYEEEKRYATSKYQLYADREGSNAYSIQRVRDDSEQRGQSPQDPVVEVITPDVYTKYGADGSRDPFSGIWSRTLRIKITGRDGFEKLDVRVPAGFLEGITNIVPGIFLFYDVNSP >KJB37895 pep chromosome:Graimondii2_0_v6:6:47857058:47861181:1 gene:B456_006G227600 transcript:KJB37895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSSSFVATRDQALSVFNHPFSQSHSFLVSNFKNGSFLSPINTHTRRPKTHTSFTTPKRFQPITGCLGERNTPAKALRQILESPGAHQGPACFDGLSAKLVERAGFQYCFTSGFSISAARLGLPDTGFISYGEMVDQGQQITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIRAGFAGIILEDQVSPKACGHTQGRKVVSREEAVMRIKAAVDARKESGSDIVIVARTDSRQALSLEESLWRSRAFADAGADVLFIDALSSKEEMKAFCEVSPLVPKMANMLEGGGKTPILSPLELEEIGYKLVAYPLSLIGVSIRAMQDSLTALNGGRIPPPGSMPSFEEIKEILGFNNYYEEEKRYATSKYQLYADREGSNAYSIQRVRDDSEQRGQSPQDPVVEVITPDVYTKYGADGSRDPFSGIWSRTLRIKITGRDGFEKLDVRVPAGFLEGITNIVPALGGVNLKALLDDAAEEVGGKVLLDFNDTMGDRIQVFLE >KJB37892 pep chromosome:Graimondii2_0_v6:6:47857058:47861181:1 gene:B456_006G227600 transcript:KJB37892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSSSFVATRDQALSVFNHPFSQSHSFLVSNFKNGSFLSPINTHTRRPKTHTSFTTPKRFQPITGCLGERNTPAKALRQILESPGAHQGPACFDGLSAKLVERAGFQYCFTSGFSISAARLGLPDTGFISYGEMVDQGQQITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIRAGFAGIILEDQVSPKACGHTQGRKVVSREEAVMRIKAAVDARKESGSDIVIVARTDSRQALSLEESLWRSRAFADAGADVLFIDALSSKEEMKAFCEVSPLVPKMANMLEGGGKTPILSPLELEEIGYKLVAYPLSLIGVSIRAMQDSLTALNGGRIPPPGSMPSFEEIKEILGFNNYYEEEKRYATSKYQLYADREGSNAYSIQRVRDDSEQRGQSPQDPVVEVITPDVYTKYGADGSRDPFSGIWSRTLRIKITGRDGFEKLDVRVPAGFLEGITNIVPALGGVNLKALLDDAAEEVGGKVLLDFNDTMGDRIQVFLE >KJB37891 pep chromosome:Graimondii2_0_v6:6:47857058:47860670:1 gene:B456_006G227600 transcript:KJB37891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSSSFVATRDQALSVFNHPFSQSHSFLVSNFKNGSFLSPINTHTRRPKTHTSFTTPKRFQPITGCLGERNTPAKALRQILESPGAHQGPACFDGLSAKLVERAGFQYCFTSGFSISAARLGLPDTGFISYGEMVDQGQQITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIRAGFAGIILEDQVSPKACGHTQGRKVVSREEAVMRIKAAVDARKESGSDIVIVARTDSRQALSLEESLWRSRAFADAGADVLFIDALSSKEEMKAFCEVSPLVPKMANMLEGGGKTPILSPLELEEIGYKLVAYPLSLIGVSIRAMQDSLTALNGGRIPPPGSMPSFEEIKEILGFNNYYEEEKRYATSKYQLYADRDGSRDPFSGIWSRTLRIKITGRDGFEKLDVRVPAGFLEGITNIVPALGGVNLKALLDDAAEEVGGKVLLDFNDTMGDRIQVFLE >KJB38174 pep chromosome:Graimondii2_0_v6:6:48711644:48712882:1 gene:B456_006G240500 transcript:KJB38174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMKMATCFVMVLMVMFYAGAKAQSGCTTVLISMSPCLNFIAGNSSTPSQQCCTQLASVVSSSPQCLCEVLNGGGSSLGINVNRTQALALPGACNVQTPPISACNGASPAPADSPVGSPESGSTMPTEGGSKTVPSTEEGGSPPPPSSSSNGSMTTAKLTLSWLFFLMVAISH >KJB36472 pep chromosome:Graimondii2_0_v6:6:42152892:42156612:1 gene:B456_006G161700 transcript:KJB36472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPKRVEEGFGQTPLGHEDDSNDKELEGDNKCDNGECFDNIAKENGSVATGELTGGEEAPLEKTKSKRVATLDAFRGLTIVMMILVDHAGIAYPALDHAAWEGCMLADFVMPFFLFIVGVAIALALKKVPKIKDAVYKITLRTLKLLFWGIVLQGGYSHALADNIDLAYGVDMKLIRWCGILQRIALVYFVVALIETLTTKRRPIVLSPGHLSIFTAYRWQWIGGFIAFSFYMITSYSLYIPDWSFINHKEGKAYTVKCGMRGHLGPACNAVGYVDREILGINHLYKSPAWQHLKACTLSSPRSGPFREDAPGWCHANFEPEGLLSSISAILSGTIGIHYGHVLMHFKGHSQRLKQWLSMAIGLLVLAFLLHFSHAIPINKQLYTISYVCLTAGAAGVVFSGFYILIDVWGLRTPFLFLEWIGMNSMLIFVLGAQGILAAFINGWYYNNPDKTLVTWIKTHVFIDAWHSWNLGTLLYVIFAEITFYGVLAGILHKLGMYWKL >KJB34947 pep chromosome:Graimondii2_0_v6:6:32901151:32906326:-1 gene:B456_006G092200 transcript:KJB34947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFSVFSLSCDQIIGEPEAVKKALFAVSAIMYKFSPREEIPLETTVAEAPSAQSIIIPSDVPIYPPGGLYPNPDPILPSRSVPPILGAAHVSDLQGYGDTGSTWPVYSSALPLVSGFSGASRSEELIVRVLCPFDKIGRVIGRAGSTIKSIRQASGARIDVDDTKADRDDCIITVIATESPDDLKSMAVEAVLLLQGKINDEDYETVIMRLLVPSKVIGCIIGKGGSIINEIRKRTKADVRISKKDKPKCADANDELVEVAGEVSSVRDALIQIVLRLRDDVLKEKDGGHNPSVGADALYSGGASLSVSSLLSSVPPVATLAFDQRAESGSDLGVLSSSSLYGYGTLPMGENGYGSMSSYSSKLYGGLPASSTLEILIPANAVGKVMGKGGLNLANIRKLSGAMIEISESKSSRGERVALITGTPQQKREAENLIQAFIMAT >KJB34948 pep chromosome:Graimondii2_0_v6:6:32901151:32907710:-1 gene:B456_006G092200 transcript:KJB34948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESGKRYRGQRDNDGDSRNQKRRLNDRDDKSNNELVVYRILCPDEVIGSVIGKGGKVINLIRQETRAKVKVVDPYPGSKYRVITIYCFVKEKEEIEVEDEFYNKGPLCASQDALLRVHTVIANAIAAMGDSEKKRTDKYREECQILVPSSQSSNIIGKAGSTIKKLRGKTQASIKVTAKDAGDPSHSCAMDFDNFVQIIGEPEAVKKALFAVSAIMYKFSPREEIPLETTVAEAPSAQSIIIPSDVPIYPPGGLYPNPDPILPSRSVPPILGAAHVSDLQGYGDTGSTWPVYSSALPLVSGFSGASRSEELIVRVLCPFDKIGRVIGRAGSTIKSIRQASGARIDVDDTKADRDDCIITVIATESPDDLKSMAVEAVLLLQGKINDEDYETVIMRLLVPSKVIGCIIGKGGSIINEIRKRTKADVRISKKDKPKCADANDELVEVAGEVSSVRDALIQIVLRLRDDVLKEKDGGHNPSVGADALYSGGASLSVSSLLSSVPPVATLAFDQRAESGSDLGVLSSSSLYGYGTLPMGENGYGSMSSYSSKLYGGLPASSTLEILIPANAVGKVMGKGGLNLANIRKLSGAMIEISESKSSRGERVALITGTPQQKREAENLIQAFIMAT >KJB34951 pep chromosome:Graimondii2_0_v6:6:32903702:32907187:-1 gene:B456_006G092200 transcript:KJB34951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESGKRYRGQRDNDGDSRNQKRRLNDRDDKSNNELVVYRILCPDEVIGSVIGKGGKVINLIRQETRAKVKVVDPYPGSKYRVITIYCFVKEKEEIEVEDEFYNKGPLCASQDALLRVHTVIANAIAAMGDSEKKRTDKYREECQILVPSSQSSNIIGKAGSTIKKLRGKTQASIKVTAKDAGDPSHSCAMDFDNFVQIIGEPEAVKKALFAVSAIMYKFSPREEIPLETTVAEAPSAQSIIIPSDVPIYPPGGLYPNPDPILPSRSVPPILGAAHVSDLQGYGDTGSTWPVYSSALPLVSGFSGASRSEELIVRVLCPFDKIGRVIGRAGSTIKSIRQASGARIDVDDTKADRDDCIITVIATESPDDLKSMAVEAVLLLQGKINDEDYETVIMRLLVPSKVIGCIIGKGGSIINEIRKRTKADVRISKKDKPKCADANDELVEVAGEVSSVRDALIQIVLRLRDDVLKEKDGGHNPSVGADALYSGGASLSVSSLLSSVPPVATLAFDQRAESGSDLGVLSSSSLYGYGTLPVRNYGDMGENGYGSMSSYSSKLYGGLPASSTLEILIPANAVGKVMGKGGLNLANIRKLSGAMIEISESKSSRGERVALITGTPQQKREAENLIQAFIMAT >KJB34949 pep chromosome:Graimondii2_0_v6:6:32904267:32907187:-1 gene:B456_006G092200 transcript:KJB34949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESGKRYRGQRDNDGDSRNQKRRLNDRDDKSNNELVVYRILCPDEVIGSVIGKGGKVINLIRQETRAKVKVVDPYPGSKYRVITIYCFVKEKEEIEVEDEFYNKGPLCASQDALLRVHTVIANAIAAMGDSEKKRTDKYREECQILVPSSQSSNIIGKAGSTIKKLRGKTQASIKVTAKDAGDPSHSCAMDFDNFVQIIGEPEAVKKALFAVSAIMYKFSPREEIPLETTVAEAPSAQSIIIPSDVPIYPPGGLYPNPDPILPSRSVPPILGAAHVSDLQGYGDTGSTWPVYSSALPLVSGFSGASRSEELIVRVLCPFDKIGRVIGRAGSTIKSIRQASGARIDVDDTKADRDDCIITVIATESPDDLKSMAVEAVLLLQGKINDEDYETVIMRLLVPSKVIGCIIGKGGSIINEIRKRTKADVRISKKDKPKCADANDELVEVAGEVSSVRDALIQIVLRLRDDVLKEKDGGHNPSVGADALYSGGASLSVSSLLSSVPPVATLAFDQRAESGSDLGVLSSSSLYGYGTLPMGENGYGSMSSYSSKLYGG >KJB34946 pep chromosome:Graimondii2_0_v6:6:32901145:32907808:-1 gene:B456_006G092200 transcript:KJB34946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESGKRYRGQRDNDGDSRNQKRRLNDRDDKSNNELVVYRILCPDEVIGSVIGKGGKVINLIRQETRAKVKVVDPYPGSKYRVITIYCFVKEKEEIEVEDEFYNKGPLCASQDALLRVHTVIANAIAAMGDSEKKRTDKYREECQILVPSSQSSNIIGKAGSTIKKLRGKTQASIKVTAKDAGDPSHSCAMDFDNFVQIIGEPEAVKKALFAVSAIMYKFSPREEIPLETTVAEAPSAQSIIIPSDVPIYPPGGLYPNPDPILPSRSVPPILGAAHVSDLQGYGDTGSTWPVYSSALPLVSGFSGASRSEELIVRVLCPFDKIGRVIGRAGSTIKSIRQASGARIDVDDTKADRDDCIITVIATESPDDLKSMAVEAVLLLQGKINDEDYETVIMRLLVPSKVIGCIIGKGGSIINEIRKRTKADVRISKKDKPKCADANDELVEVAGEVSSVRDALIQIVLRLRDDVLKEKDGGHNPSVGADALYSGGASLSVSSLLSSVPPVATLAFDQRAESGSDLGVLSSSSLYGYGTLPMGENGYGSMSSYSSKLYGGLPASSTLEILIPANAVGKVMGKGGLNLANIRKLSGAMIEISESKSSRGERVALITGTPQQKREAENLIQAFIMAT >KJB34950 pep chromosome:Graimondii2_0_v6:6:32901151:32907710:-1 gene:B456_006G092200 transcript:KJB34950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESGKRYRGQRDNDGDSRNQKRRLNDRDDKSNNELVVYRILCPDEVIGSVIGKGGKVINLIRQETRAKVKVVDPYPGSKYRVITIYCFVKEKEEIEVEDEFYNKGPLCASQDALLRVHTVIANAIAAMGDSEKKRTDKYREECQILVPSSQSSNIIGKAGSTIKKLRGKTQASIKVTAKDAGDPSHSCAMDFDNFVQIIGEPEAVKKALFAVSAIMYKFSPREEIPLETTVAEAPSAQSIIIPSDVPIYPPGGLYPNPDPILPSRSVPPILGAAHVSDLQGYGDTGSTWPVYSSALPLVSGFSGASRSEELIVRVLCPFDKIGRVIGRAGSTIKSIRQASGARIDVDDTKADRDDCIITVIATESPDDLKSMAVEAVLLLQGKINDEDYETVIMRLLVPSKVIGCIIGKGGSIINEIRKRTKADVRISKKDKPKCADANDELVEVAGEVSSVRDALIQIVLRLRDDVLKEKDGGHNPSVGADALYSGGASLSVSSLLSSVPPVATLAFDQRAESGSDLGVLSSSSLYGYGTLPVHGGKRLWVHVLIFIKALWRLACIFNS >KJB37970 pep chromosome:Graimondii2_0_v6:6:47965064:47967216:-1 gene:B456_006G229300 transcript:KJB37970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCERAPATVICCADEAALCAKCDIEVHAANKLASKHQRLLLQCLSNKLPPCDICQEKAAFIFCVEDRALFCRDCDEPIHPAGSLAANHQRFLATGIRVALSSSCNKNTENNVLEPPNKSAPQTSMKMPAHQQHSSFSSPWAVDDLLELSDIQSLNKKEHSELGELEWLADIGLFGDQLPQEALAAAEVPQLPISQSSSTNLYRPTKYSMALKKPRIETPDEDDEFFTVPDLG >KJB37969 pep chromosome:Graimondii2_0_v6:6:47965064:47967173:-1 gene:B456_006G229300 transcript:KJB37969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHFVLNVISKFMQLTSLPANTKGFSFNAFLTSFLLVIYEKAAFIFCVEDRALFCRDCDEPIHPAGSLAANHQRFLATGIRVALSSSCNKNTENNVLEPPNKSAPQTSMKMPAHQQHSSFSSPWAVDDLLELSDIQSLNKKEHSELGELEWLADIGLFGDQLPQEALAAAEVPQLPISQSSSTNLYRPTKYSMALKKPRIETPDEDDEFFTVPDLG >KJB35570 pep chromosome:Graimondii2_0_v6:6:37106968:37111158:1 gene:B456_006G120700 transcript:KJB35570 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MNNGAGRRINGGAEEDDDEDDVNGDLDAWERTYTDERSWESLQEDESGMLRPIDNQALYHSQYRRRLRSLSSTAARIQKGLIRYLYIVIDLSRAASEMDFRPSRIAVIAKHVETFIREFFYQNPLSQVGIVTIKDGVAHCLTDIGGSPESHINALMKKLECSGDSSLQNALDLVDGYLNQIPSYGHREVLILYSALSTCDPGDIMDTIQKCRKSKIRCSVIGLAAEMFICKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEFAVANLIKMGFPQRAAEGSISICSCHKEAKVGAGYTCPRCKARACELPTECCVCGLTLVSSPHLARSYHHLFPIAPFDEVTSSHLNNPNCKLQRNCFGCQQSLLDPECDLLICINYC >KJB35568 pep chromosome:Graimondii2_0_v6:6:37106992:37110703:1 gene:B456_006G120700 transcript:KJB35568 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MNNGAGRRINGGAEEDDDEDDVNGDLDAWERTYTDERSWESLQEDESGMLRPIDNQALYHSQYRRRLRSLSSTAARIQKGLIRYLYIVIDLSRAASEMDFRPSRIAVIAKHVETFIREFFYQNPLSQVGIVTIKDGVAHCLTDIGGSPESHINALMKKLECSGDSSLQNALDLVDGYLNQIPSYGHREVLILYSALSTCDPGDIMDTIQKCRKSKIRCSVIGLAAEMFICKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEFAVANLIKMGFPQRAAEGSISICSCHKEAKVGAGYTCPRCKARACELPTECCVCGLTLVSSPHLARSYHHLFPIAPFDEVTSSHLNNPNCKLQRNCFGCQQSLLDPGNKPGPAVVCPKCKRYFCLDCDIYIHESLHNCPGCDSLRHS >KJB35574 pep chromosome:Graimondii2_0_v6:6:37108620:37111158:1 gene:B456_006G120700 transcript:KJB35574 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MDFRPSRIAVIAKHVETFIREFFYQNPLSQVGIVTIKDGVAHCLTDIGGSPESHINALMKKLECSGDSSLQNALDLVDGYLNQIPSYGHREVLILYSALSTCDPGDIMDTIQKCRKSKIRCSVIGLAAEMFICKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEFAVANLIKMGFPQRAAEGSISICSCHKEAKVGAGYTCPRCKARACELPTECCVCGLTLVSSPHLARSYHHLFPIAPFDEVTSSHLNNPNCKLQRNCFGCQQSLLDPGNKPGPAVVCPKCKRMRPADMHQLLLVTTLL >KJB35572 pep chromosome:Graimondii2_0_v6:6:37107376:37111091:1 gene:B456_006G120700 transcript:KJB35572 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MNNGAGRRINGGAEEDDDEDDVNGDLDAWERTYTDERSWESLQEDESGMLRPIDNQALYHSQYRRRLRSLSSTAARIQKGLIRYLYIVIDLSRAASEMDFRPSRIAVIAKHVETFIREFFYQNPLSQVGIVTIKDGVAHCLTDIGGSPESHINALMKKLECSGDSSLQNALDLVDGYLNQIPSYGHREVLILYSALSTCDPGDIMDTIQKCRKSKIRCSVIGLAAEMFICKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEFAVANLIKMGFPQRAAEGSISICSCHKEAKVGAGYTCPRCKARACELPTECCVCGLTLVSSPHLARSYHHLFPIAPFDEVTSSHLNNPNCKLQRNCFGCQQSLLDPGNKPGPAVVCPKCKRYFCLDCDIYIHESLHNCPGCDSLRHS >KJB35571 pep chromosome:Graimondii2_0_v6:6:37106843:37111091:1 gene:B456_006G120700 transcript:KJB35571 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MNNGAGRRINGGAEEDDDEDDVNGDLDAWERTYTDERSWESLQEDESGMLRPIDNQALYHSQYRRRLRSLSSTAARIQKGLIRYLYIVIDLSRAASEMDFRPSRIAVIAKHVETFIREFFYQNPLSQVGIVTIKDGVAHCLTDIGGSPESHINALMKKLECSGDSSLQNALDLVDGYLNQIPSYGHREVLILYSALSTCDPGDIMDTIQKCRKSKIRCSVIGLAAEMFICKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEFAVANLIKMGFPQRAAEGSISICSCHKEAKVGAGYTCPRCKARACELPTECCVCGLTLVSSPHLARSYHHLFPIAPFDEVTSSHLNNPNCKLQRNCFGCQQSLLDPGNKPGPAVVCPKCKRYFCLDCDIYIHESLHNCPGCDSLRHS >KJB35573 pep chromosome:Graimondii2_0_v6:6:37106992:37110703:1 gene:B456_006G120700 transcript:KJB35573 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MNNGAGRRINGGAEEDDDEDDVNGDLDAWERTYTDERSWESLQEDESGMLRPIDNQALYHSQYRRRLRSLSSTAARIQKGLIRYLYIVIDLSRAASEMDFRPSRIAVIAKHVETFIREFFYQNPLSQVGIVTIKDGVAHCLTDIGGSPESHINALMKKLECSGDSSLQNALDLVDGYLNQIPSYGHREVLILYSALSTCDPGDIMDTIQKCRKSKIRCSVIGLAAEMFICKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEFAVANLIKMGFPQRAAEGSISICSCHKEAKVGAGYTCPRCKARACELPTECCVCGLTLVSSPHLARSYHHLFPIAPFDEVTSSHLNNPNCKLQRNCFGCQQSLLDPGNKPGPAVVCPKCKRYFCLDCDIYIHESLHNCPGCDSLRHS >KJB35569 pep chromosome:Graimondii2_0_v6:6:37106968:37111158:1 gene:B456_006G120700 transcript:KJB35569 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MNNGAGRRINGGAEEDDDEDDVNGDLDAWERTYTDERSWESLQEDESGMLRPIDNQALYHSQYRRRLRSLSSTAARIQKGLIRYLYIVIDLSRAASEMDFRPSRIAVIAKHVETFIREFFYQNPLSQVGIVTIKDGVAHCLTDIGGSPESHINALMKKLECSGDSSLQNALDLVDGYLNQIPSYGHREVLILYSALSTCDPGDIMDTIQKCRKSKIRCSVIGLAAEMFICKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEFAVANLIKMGFPQRAAEGSISICSCHKEAKVGAGYTCPRCKARACELPTECCVCGLTLVSSPHLARSYHHLFPIAPFDEVTSSHLNNPNCKLQRNCFGCQQSLLDPGNKPGPAVVCPKCKRMRPADMHQLLLVTTLL >KJB35575 pep chromosome:Graimondii2_0_v6:6:37108620:37111158:1 gene:B456_006G120700 transcript:KJB35575 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MDFRPSRIAVIAKHVETFIREFFYQNPLSQVGIVTIKDGVAHCLTDIGGSPESHINALMKKLECSGDSSLQNALDLVDGYLNQIPSYGHREVLILYSALSTCDPGDIMDTIQKCRKSKIRCSVIGLAAEMFICKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEFAVANLIKMGFPQRAAEGSISICSCHKEAKVGAGYTCPRCKARACELPTECCVCGLTLVSSPHLARSYHHLFPIAPFDEVTSSHLNNPNCKLQRNCFGCQQSLLDPECDLLICINYC >KJB36262 pep chromosome:Graimondii2_0_v6:6:40823915:40826464:1 gene:B456_006G149300 transcript:KJB36262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSNRIDKKSLKPGDHIYSWRTAYIYAHHGIYVGNNTVIHFTRRGQEFGTGTVLDLILVSSGPAQSQLHCPTCTPPEEGNGVVSSCLDCFLAGGILYRFEYAVSPALFIAKARGGTCTLAVTDPDDLVVHRAKYLLENGFGCYNVFKNNCEDFAIYCKTGLLVLDHSVGRSGQAISIIGGPLAAVLSTPLRLVTTNIYGMAATAVGMYCASRYAADIGIRMDAVKVPVEDLTRRLAAGLLQVIEPQHLAATAH >KJB36263 pep chromosome:Graimondii2_0_v6:6:40823968:40826429:1 gene:B456_006G149300 transcript:KJB36263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSNRIDKKSLKPGDHIYSWRTAYIYAHHGIYVGNNTVIHFTRRGQEFGTGTVLDLILVSSGPAQSQLHCPTCTPPEEVSPALFIAKARGGTCTLAVTDPDDLVVHRAKYLLENGFGCYNVFKNNCEDFAIYCKTGLLVLDHSVGRSGQAISIIGGPLAAVLSTPLRLVTTNIYGMAATAVGMYCASRYAADIGIRMDAVKVPVEDLTRRLAAGLLQVIEPQHLAATAH >KJB33504 pep chromosome:Graimondii2_0_v6:6:3077543:3082823:1 gene:B456_006G014300 transcript:KJB33504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFAAQMVQEWQEAYMHYSKLKSILKDILRFKQKNKEPLTMATTTTTTKGALNRRASLYRAFSGLTNRYKPVSAMKNNEDEVILVSAVQEGGVEGDYQTMFLMSGEEGGEFELLFFRRLDDEFNKVLRFYKKKVEEVMLEADELSKQMNALTALRIKVDNPVVKGTDMVNLADKGFSSNSSSLSHSHPINGGKQGWTHMDVIQETEMSNEEEMKEIDDRHFRPAPLDVLDHVKINVELETPIATLRSVIKSSKSDLSFSKQELRTAEEKITQAFVEFYRKLRLLKSYCFLNQLAFSKIMKKYDKITLRNASKTYLQMVDKSYLGSSDEVTKLMGRVEDTYVKHFANGNRRKGLKTLRPQAKKERHRITFLYGFFSGCSIALIVAIIVSIHARNLLKSQGRDQYMVNIFPLYSLFGYIVLHMLMYAGNVFFWKRYRVNFSFIFGFKQGTELGYREVLLLSSGLSLLALAGVISHLDMEMDPRTKSFRTLTELIPLFLLIVVLSVTFCPFNIIYRSSRFFLIRCVFHCVCAPLYKVTLPDFFLADQLTSQVQAFRSLEFYICYYGWGNFKERSNTCEESEVYKVLYIVVAIIPYWIRFVQCLRRLVEEKDTAHGLNGLKYFSTIAAVTIRTIYSHQKQKTKTWLVLAAATSGIATMTSTYWDIVIDWGLLNRNSTNPWLRDKLVVPHKGVYYAAMVLNCVLRLAWMQQVLGIQTVPFLHKTALVAVVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFYYEYSADKST >KJB33500 pep chromosome:Graimondii2_0_v6:6:3077543:3081632:1 gene:B456_006G014300 transcript:KJB33500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFAAQMVQEWQEAYMHYSKLKSILKDILRFKQKNKEPLTMATTTTTTKGALNRRASLYRAFSGLTNRYKPVSAMKNNEDEVILVSAVQEGGVEGDYQTMFLMSGEEGGEFELLFFRRLDDEFNKVLRFYKKKVEEVMLEADELSKQMNALTALRIKVDNPVVKGTDMVNLADKGFSSNSSSLSHSHPINGGKQGWTHMDVIQETEMSNEEEMKEIDDRHFRPAPLDVLDHVKINVELETPIATLRSVIKSSKSDLSFSKQELRTAEEKITQAFVEFYRKLRLLKSYCFLNQLAFSKIMKKYDKITLRNASKTYLQMVDKSYLGSSDEVTKLMGRVEDTYVKHFANGNRRKGLKTLRPQAKKERHRITFLYGFFSGCSIALIVAIIVSIHARNLLKSQGRDQYMVNIFPLYSLFGYIVLHMLMYAGNVFFWKRYRVNFSFIFGFKQGTELGYREVLLLSSGLSLLALAGVISHLDMEMDPRTKSFRTLTELIPLFLLIVVLSVTFCPFNIIYRSSRFFLIRCVFHCVCAPLYKVTLPDFFLADQLTSQVQAFRSLEFYICYYGWGNFKERSNTCEESEVYKVLYIVVAIIPYWIRFVQCLRRLVEEKDTAHGLNGLKYFSTIAAVTIRTIYSHQKQKTKTWLVLAAATSGIATMTSTYWDIVIDWGLLNRNSTNPWLRDKLVVPHKGVYYAAMVLNCVLRLAWMQQVLGIQTVPFLHKTALVAVVASLEIIRRGIWNFFR >KJB33503 pep chromosome:Graimondii2_0_v6:6:3078389:3081653:1 gene:B456_006G014300 transcript:KJB33503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIQETEMSNEEEMKEIDDRHFRPAPLDVLDHVKINVELETPIATLRSVIKSSKSDLSFSKQELRTAEEKITQAFVEFYRKLRLLKSYCFLNQLAFSKIMKKYDKITLRNASKTYLQMVDKSYLGSSDEVTKLMGRVEDTYVKHFANGNRRKGLKTLRPQAKKERHRITFLYGFFSGCSIALIVAIIVSIHARNLLKSQGRDQYMVNIFPLYSLFGYIVLHMLMYAGNVFFWKRYRVNFSFIFGFKQGTELGYREVLLLSSGLSLLALAGVISHLDMEMDPRTKSFRTLTELIPLFLLIVVLSVTFCPFNIIYRSSRFFLIRCVFHCVCAPLYKVTLPDFFLADQLTSQVQAFRSLEFYICYYGWGNFKERSNTCEESEVYKVLYIVVAIIPYWIRFVQCLRRLVEEKDTAHGLNGLKYFSTIAAVTIRTIYSHQKQKTKTWLVLAAATSGIATMTSTYWDIVIDWGLLNRNSTNPWLRDKLVVPHKGVYYAAMVLNCVLRLAWMQQVLGIQTVPFLHKTALVAVVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFYYEYSADKST >KJB33506 pep chromosome:Graimondii2_0_v6:6:3077543:3083159:1 gene:B456_006G014300 transcript:KJB33506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFAAQMVQEWQEAYMHYSKLKSILKDILRFKQKNKEPLTMATTTTTTKGALNRRASLYRAFSGLTNRYKPVSAMKNNEDEVILVSAVQEGGVEGDYQTMFLMSGEEGGEFELLFFRRLDDEFNKVLRFYKKKVEEVMLEADELSKQMNALTALRIKVDNPVVKGTDMVNLADKGFSSNSSSLSHSHPINGGKQGWTHMDVIQETEMSNEEEMKEIDDRHFRPAPLDVLDHVKINVELETPIATLRSVIKSSKSDLSFSKQELRTAEEKITQAFVEFYRKLRLLKSYCFLNQLAFSKIMKKYDKITLRNASKTYLQMVDKSYLGSSDEVTKLMGRVEDTYVKHFANGNRRKGLKTLRPQAKKERHRITFLYGFFSGCSIALIVAIIVSIHARNLLKSQGRDQYMVNIFPLYSLFGYIVLHMLMYAGNVFFWKRYRVNFSFIFGFKQGTELGYREVLLLSSGLSLLALAGVISHLDMEMDPRTKSFRTLTELIPLFLLIVVLSVTFCPFNIIYRSSRFFLIRCVFHCVCAPLYKVTLPDFFLADQLTSQVQAFRSLEFYICYYGWGNFKERSNTCEESEVYKVLYIVVAIIPYWIRFVQCLRRLVEEKDTAHGLNGLKYFSTIAAVTIRTIYSHQKQKTKTWLVLAAATSGIATMTSTYWDIVIDWGLLNRNSTNPWLRDKLVVPHKGVYYAAMVLNCVLRLAWMQQVLGIQTVPFLHKTALVAVVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFYYEYSADKST >KJB33501 pep chromosome:Graimondii2_0_v6:6:3077543:3081878:1 gene:B456_006G014300 transcript:KJB33501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFAAQMVQEWQEAYMHYSKLKSILKDILRFKQKNKEPLTMATTTTTTKGALNRRASLYRAFSGLTNRYKPVSAMKNNEDEVILVSAVQEGGVEGDYQTMFLMSGEEGGEFELLFFRRLDDEFNKVLRFYKKKVEEVMLEADELSKQMNALTALRIKVDNPVVKGTDMVNLADKGFSSNSSSLSHSHPINGGKQGWTHMDVIQETEMSNEEEMKEIDDRHFRPAPLDVLDHVKINVELETPIATLRSVIKSSKSDLSFSKQELRTAEEKITQAFVEFYRKLRLLKSYCFLNQLAFSKIMKKYDKITLRNASKTYLQMVDKSYLGSSDEVTKLMGRVEDTYVKHFANGNRRKGLKTLRPQAKKERHRITFLYGFFSGCSIALIVAIIVSIHARNLLKSQGRDQYMVNIFPLYSLFGYIVLHMLMYAGNVFFWKRYRVNFSFIFGFKQGTELGYREVLLLSSGLSLLALAGVISHLDMEMDPRTKSFRTLTELIPLFLLIVVLSVTFCPFNIIYRSSRFFLIRCVFHCVCAPLYKVTLPDFFLADQLTSQVQAFRSLEFYICYYGWGNFKERSNTCEESEVYKVLYIVVAIIPYWIRFVQCLRRLVEEKDTAHGLNGLKYFSTIAAVTIRTIYSHQKQKTKTWLVLAAATSGIATMTSTYWDIVIDWGLLNRNSTNPWLRDKLVVPHKGVYYAAMVRVHVTHFSGNPYIFFYTAIRFSFVPRFAGVELCIETCLDAASVGHSNCAFPT >KJB33502 pep chromosome:Graimondii2_0_v6:6:3077543:3081880:1 gene:B456_006G014300 transcript:KJB33502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSHCEMHRKLTCKWWTNHILAVLMRLAMLMGRVEDTYVKHFANGNRRKGLKTLRPQAKKERHRITFLYGFFSGCSIALIVAIIVSIHARNLLKSQGRDQYMVNIFPLYSLFGYIVLHMLMYAGNVFFWKRYRVNFSFIFGFKQGTELGYREVLLLSSGLSLLALAGVISHLDMEMDPRTKSFRTLTELIPLFLLIVVLSVTFCPFNIIYRSSRFFLIRCVFHCVCAPLYKVTLPDFFLADQLTSQVQAFRSLEFYICYYGWGNFKERSNTCEESEVYKVLYIVVAIIPYWIRFVQCLRRLVEEKDTAHGLNGLKYFSTIAAVTIRTIYSHQKQKTKTWLVLAAATSGIATMTSTYWDIVIDWGLLNRNSTNPWLRDKLVVPHKGVYYAAMVLNCVLRLAWMQQVLGIQTVPFLHKTALVAVVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFYYEYSADKST >KJB33507 pep chromosome:Graimondii2_0_v6:6:3077543:3081880:1 gene:B456_006G014300 transcript:KJB33507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFAAQMVQEWQEAYMHYSKLKSILKDILRFKQKNKEPLTMATTTTTTKGALNRRASLYRAFSGLTNRYKPVSAMKNNEDEVILVSAVQEGGVEGDYQTMFLMSGEEGGEFELLFFRRLDDEFNKVLRFYKKKVEEVMLEADELSKQMNALTALRIKVDNPVVKGTDMVNLADKGFSSNSSSLSHSHPINGGKQGWTHMDVIQETEMSNEEEMKEIDDRHFRPAPLDVLDHVKINVELETPIATLRSVIKSSKSDLSFSKQELRTAEEKITQAFVEFYRKLRLLKSYCFLNQLAFSKIMKKYDKITLRNASKTYLQMVDKSYLGSSDEVTKLMGRVEDTYVKHFANGNRRKGLKTLRPQAKKERHRITFLYGFFSGCSIALIVAIIVSIHARNLLKSQGRDQYMVNIFPLYSLFGYIVLHMLMYAGNVFFWKRYRVNFSFIFGFKQGTELGYREVLLLSSGLSLLALAGVISHLDMEMDPRTKSFRTLTELIPLFLLIVVLSVTFCPFNIIYRSSRFFLIRCVFHCVCAPLYKVTLPDFFLADQLTSQVQAFRSLEFYICYYGWGNFKERSNTCEESEVYKVLYIVVAIIPYWIRFVQCLRRLVEEKDTAHGLNGLKYFSTIAAVTIRTIYSHQKQKTKTWLVLAAATSGIATMTSTYWDIVIDWGLLNRNSTNPWLRDKLVVPHKGVYYAAMVLNCVLRLAWMQQVLGIQTVPFLHKTALVAVVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFYYEYSADKST >KJB33505 pep chromosome:Graimondii2_0_v6:6:3077543:3081880:1 gene:B456_006G014300 transcript:KJB33505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFAAQMVQEWQEAYMHYSKLKSILKDILRFKQKNKEPLTMATTTTTTKGALNRRASLYRAFSGLTNRYKPVSAMKNNEDEVILVSAVQEGGVEGDYQTMFLMSGEEGGEFELLFFRRLDDEFNKVLRFYKKKVEEVMLEADELSKQMNALTALRIKVDNPVVKGTDMVNLADKGFSSNSSSLSHSHPINGGKQGWTHMDVIQETEMSNEEEMKEIDDRHFRPAPLDVLDHVKINVELETPIATLRSVIKSSKSDLSFSKQELRTAEEKITQAFVEFYRKLRLLKSYCFLNQLAFSKIMKKYDKITLRNASKTYLQMVDKSYLGSSDEVTKLMGRVEDTYVKHFANGNRRKGLKTLRPQAKKERHRITFLYGFFSGCSIALIVAIIVSIHARNLLKSQGRDQYMVNIFPLYSLFGYIVLHMLMYAGNVFFWKRYRVNFSFIFGFKQGTELGYREVLLLSSGLSLLALAGVISHLDMEMDPRTKSFRTLTELIPLFLLIVTLPDFFLADQLTSQVQAFRSLEFYICYYGWGNFKERSNTCEESEVYKVLYIVVAIIPYWIRFVQCLRRLVEEKDTAHGLNGLKYFSTIAAVTIRTIYSHQKQKTKTWLVLAAATSGIATMTSTYWDIVIDWGLLNRNSTNPWLRDKLVVPHKGVYYAAMVLNCVLRLAWMQQVLGIQTVPFLHKTALVAVVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFYYEYSADKST >KJB35902 pep chromosome:Graimondii2_0_v6:6:38718990:38723762:-1 gene:B456_006G132800 transcript:KJB35902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYFRQVGFMDSNLQASPNSSDAKTAFRKPSTDSSNRQYRRRSPVSGLSSSEGSSPQRDRSISPIVSRDGLEKSADTRPGRDGRELDSDSRGNWYSRSGDSYRYSDRQSSRSSHGYSRHDKRADEDSKYDRFSSRSDRESRISNHSDHPRQASDLSRSKDYSQNAEKYSRDRYDGSGHRSRDKGKESPFLERYKDKYSSFHRVGSGRRHGNSLSEEMDRDRRRWDRDGRDEKVDYHRSWRDKKADHTSYEESRGHWNDSSSVRERDNDKRRSKDGYRSGHEDIDGLKPSKKERMKYDEGEVNVEKKDRYGRVGKEQCEDQSIFDSKNQESPAKKSKLFSLGKGSDYGDEKLSSLEQAEETVERVIMGQVHSSNVDITNDLNAAKVAAMKAAELVNRNLIGAGHSNMTTEQKKKLLWGSKKSTPAEESGHRWDTALFGDRERQEKFNKLMGVKGDVKVEHKADNQDAEKQRELQLDLEKQYTAGLRRRDGRTVGLGL >KJB35906 pep chromosome:Graimondii2_0_v6:6:38719825:38723468:-1 gene:B456_006G132800 transcript:KJB35906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNLQASPNSSDAKTAFRKPSTDSSNRQYRRRSPVSGLSSSEGSSPQRDRSISPIVSRDGLEKSADTRPGRDGRELDSDSRGNWYSRSGDSYRYSDRQSSRSSHGYSRHDKRADEDSKYDRFSSRSDRESRISNHSDHPRQASDLSRSKDYSQNAEKYSRDRYDGSGHRSRDKGKESPFLERYKDKYSSFHRVGSGRRHGNSLSEEMDRDRRRWDRDGRDEKVDYHRSWRDKKADHTSYEESRGHWNDSSSVRERDNDKRRSKDGYRSGHEDIDGLKPSKKERMKYDEGEVNVEKKDRYGRVGKEQCEDQSIFDSKNQESPAKKSKLFSLGKGSDYGDEKLSSLEQAEETVERVIMGQVHSSNVDITNDLNAAKVAAMKAAELVNRNLIGAGHSNMTTEQKKKLLWGSKKSTPAEEVFLLY >KJB35903 pep chromosome:Graimondii2_0_v6:6:38718959:38724284:-1 gene:B456_006G132800 transcript:KJB35903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNLQASPNSSDAKTAFRKPSTDSSNRQYRRRSPVSGLSSSEGSSPQRDRSISPIVSRDGLEKSADTRPGRDGRELDSDSRGNWYSRSGDSYRYSDRQSSRSSHGYSRHDKRADEDSKYDRFSSRSDRESRISNHSDHPRQASDLSRSKDYSQNAEKYSRDRYDGSGHRSRDKGKESPFLERYKDKYSSFHRVGSGRRHGNSLSEEMDRDRRRWDRDGRDEKVDYHRSWRDKKADHTSYEESRGHWNDSSSVRERDNDKRRSKDGYRSGHEDIDGLKPSKKERMKYDEGEVNVEKKDRYGRVGKEQCEDQSIFDSKNQESPAKKSKLFSLGKGSDYGDEKLSSLEQAEETVERVIMGQVHSSNVDITNDLNAAKVAAMKAAELVNRNLIGAGHSNMTTEQKKKLLWGSKKSTPAEESGHRWDTALFGDRERQEKFNKLMGVKGDVKVEHKADNQDAEKQRELQLDLEKQYTAGLRRRDGRTVGLGL >KJB35905 pep chromosome:Graimondii2_0_v6:6:38718990:38724237:-1 gene:B456_006G132800 transcript:KJB35905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNLQASPNSSDAKTAFRKPSTDSSNRQYRRRSPVSGLSSSEGSSPQRDRSISPIVSRDGLEKSADTRPGRDGRELDSDSRGNWYSRSGDSYRYSDRQSSRSSHGYSRHDKRADEDSKYDRFSSRSDRESRISNHSDHPRQASDLSRSKDYSQNAEKYSRDRYDGSGHRSRDKGKESPFLERYKDKYSSFHRVGSGRRHGNSLSEEMDRDRRRWDRDGRDEKVDYHRSWRDKKADHTSYEESRGHWNDSSSVRERDNDKRRSKDGYRSGHEDIDGLKPSKKERMKYDEGEVNVEKKDRYGRVGKEQCEDQSIFDSKNQESPAKKSKLFSLGKGSDYGDEKLSSLEQAEETVERVIMGQVHSSNVDITNDLNAAKVAAMKAAELGHSNMTTEQKKKLLWGSKKSTPAEESGHRWDTALFGDRERQEKFNKLMGVKGDVKVEHKADNQDAEKQRELQLDLEKQYTAGLRRRDGRTVGLGL >KJB35901 pep chromosome:Graimondii2_0_v6:6:38718990:38724237:-1 gene:B456_006G132800 transcript:KJB35901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNLQASPNSSDAKTAFRKPSTDSSNRQYRRRSPVSGLSSSEGSSPQRDRSISPIVSRDGLEKSADTRPGRDGRELDSDSRGNWYSRSGDSYRYSDRQSSRSSHGYSRHDKRADEDSKYDRFSSRSDRESRISNHSDHPRQASDLSRSKDYSQNAEKYSRDRYDGSGHRSRDKGKESPFLERYKDKYSSFHRVGSGRRHGNSLSEEMDRDRRRWDRDGRDEKVDYHRSWRDKKADHTSYEESRGHWNDSSSVRERDNDKRRSKDGYRSGHEDIDGLKPSKKERMKYDEGEVNVEKKDRYGRVGKEQCEDQSIFDSKNQESPAKKSKLFSLGKGSDYGDEKLSSLEQAEETVERVIMGQVHSSNVDITNDLNAAKVAAMKAAELVNRNLIGAGHSNMTTEQKKKLLWGSKKSTPAEESGHRWDTALFGDRERQEKFNKLMGVKGDVKVEHKADNQDAEKQRELQLDLEKQYTAGLRRRDGRTVGLGL >KJB35908 pep chromosome:Graimondii2_0_v6:6:38719825:38723468:-1 gene:B456_006G132800 transcript:KJB35908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNLQASPNSSDAKTAFRKPSTDSSNRQYRRRSPVSGLSSSEGSSPQRDRSISPIVSRDGLEKSADTRPGRDGRELDSDSRGNWYSRSGDSYRYSDRQSSRSSHGYSRHDKRADEDSKYDRFSSRSDRESRISNHSDHPRQASDLSRSKDYSQNAEKYSRDRYDGSGHRSRDKGKESPFLERYKDKYSSFHRVGSGRRHGNSLSEEMDRDRRRWDRDGRDEKVDYHRSWRDKKADHTSYEESRGHWNDSSSVRERDNDKRRSKDGYRSGHEDIDGLKPSKKERMKYDEGEVNVEKKDRYGRVGKEQCEDQSIFDSKNQESPAKKSKLFSLGKGSDYGDEKLSSLEQAEETVERVIMGQVHSSNVDITNDLNAAKVAAMKAAELVNRNLIGAGHSNMTTEQKKKLLWGSKKSTPAEEVFLLY >KJB35900 pep chromosome:Graimondii2_0_v6:6:38719477:38723468:-1 gene:B456_006G132800 transcript:KJB35900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNLQASPNSSDAKTAFRKPSTDSSNRQYRRRSPVSGLSSSEGSSPQRDRSISPIVSRDGLEKSADTRPGRDGRELDSDSRGNWYSRSGDSYRYSDRQSSRSSHGYSRHDKRADEDSKYDRFSSRSDRESRISNHSDHPRQASDLSRSKDYSQNAEKYSRDRYDGSGHRSRDKGKESPFLERYKDKYSSFHRVGSGRRHGNSLSEEMDRDRRRWDRDGRDEKVDYHRSWRDKKADHTSYEESRGHWNDSSSVRERDNDKRRSKDGYRSGHEDIDGLKPSKKERMKYDEGEVNVEKKDRYGRVGKEQCEDQSIFDSKNQESPAKKSKLFSLGKGSDYGDEKLSSLEQAEETVERVIMGQVHSSNVDITNDLNAAKVAAMKAAELVNRNLIGAGHSNMTTEQKKKLLWGSKKSTPAEESGHRWDTALFGDRERQEKFNKLMSEVALVPMADCRV >KJB35904 pep chromosome:Graimondii2_0_v6:6:38719548:38723468:-1 gene:B456_006G132800 transcript:KJB35904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNLQASPNSSDAKTAFRKPSTDSSNRQYRRRSPVSGLSSSEGSSPQRDRSISPIVSRDGLEKSADTRPGRDGRELDSDSRGNWYSRSGDSYRYSDRQSSRSSHGYSRHDKRADEDSKYDRFSSRSDRESRISNHSDHPRQASDLSRSKDYSQNAEKYSRDRYDGSGHRSRDKGKESPFLERYKDKYSSFHRVGSGRRHGNSLSEEMDRDRRRWDRDGRDEKVDYHRSWRDKKADHTSYEESRGHWNDSSSVRERDNDKRRSKDGYRSGHEDIDGLKPSKKERMKYDEGEVNVEKKDRYGRVGKEQCEDQSIFDSKNQESPAKKSKLFSLGKGSDYGDEKLSSLEQAEETVERVIMGQVHSSNVDITNDLNAAKVAAMKAAELVNRNLIGAGHSNMTTEQKKKLLWGSKKSTPAEESGHRWDTALFGDRERQEKFNKLMVIPFFLFMLWLFFSKQLGCHTSQSLQPCTEQTIACAMMHSIY >KJB35907 pep chromosome:Graimondii2_0_v6:6:38718990:38724237:-1 gene:B456_006G132800 transcript:KJB35907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNLQASPNSSDAKTAFRKPSTDSSNRQYRRRSPVSGLSSSEGSSPQRDRSISPIVSRDGLEKSADTRPGRDGRELDSDSRGNWYSRSGDSYRYSDRQSSRSSHGYSRHDKRADEDSKYDRFSSRSDRESRISNHSDHPRQASDLSRSKDYSQNAEKYSRDRYDGSGHRSRDKGKESPFLERYKDKYSSFHRVGSGRRHGNSLSEEMDRDRRRWDRDGRDEKVDYHRSWRDKKADHTSYEESRGHWNDSSSVRERDNDKRRSKDGYRSGHEDIDGLKPSKKERMKYDEGEVNVEKKDRYGRVGKEQCEDQSIFDSKNQESPAKKSKLFSLGKGSDYVSLSSHTIGDEKLSSLEQAEETVERVIMGQVHSSNVDITNDLNAAKVAAMKAAELVNRNLIGAGHSNMTTEQKKKLLWGSKKSTPAEESGHRWDTALFGDRERQEKFNKLMSEVALVPMADCRV >KJB36129 pep chromosome:Graimondii2_0_v6:6:40094570:40099799:1 gene:B456_006G142900 transcript:KJB36129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAIKAVIFNSQGSCLFQRAAFFHSTPVLEHKKRNYWQFGKRKRRMRAKQELLRNFGAYADIMFENWRDEFHEDDPSSSRGPSWFKRQQSKGSRRDWSDNKGFYYRGRRPFEFCEDIDDDIENIFRSAFGGSQFFYWSFINDEYPKWRRSRYSDNYEKSWRWSSRFDEDSDSTESGNAESSDQDTIADRRALGLSASGPLTLEDVKTAYRACALKWHPDRHHGSSKAVAEEKFKLCNSAYQSLCDKMALN >KJB36130 pep chromosome:Graimondii2_0_v6:6:40094672:40099689:1 gene:B456_006G142900 transcript:KJB36130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAIKAVIFNSQGSCLFQRAAFFHSTPVLEHKKRNYWQFGKRKRRMRAKQELLRNFGAYADIMFENWRDEFHEDDPSSSRGPSWFKRQQSKGSRRDWSDNKGFYYRGRRPFEFCEDIDDDIENIFRSAFGGSQFFYWSFINDEYPKWRRSRYSDNYEKSWRWSSRFDEDSDSTESGNAESSDQDTIADRRALGLSASGPLTLEDVKTISSLRTEMASRSSSWLFQGCCRGEIQAL >KJB36132 pep chromosome:Graimondii2_0_v6:6:40094715:40099689:1 gene:B456_006G142900 transcript:KJB36132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAIKAVIFNSQGSCLFQRAAFFHSTPVLEHKKRNYWQFGKRKRRMRAKQELLRNFGAYADIMFENWRDEFHEDDPSSSRGPSWFKRQQRPFEFCEDIDDDIENIFRSAFGGSQFFYWSFINDEYPKWRRSRYSDNYEKSWRWSSRFDEDSDSTESGNAESSDQDTIADRRALGLSASGPLTLEDVKTAYRACALKWHPDRHHGSSKAVAEEKFKLCNSAYQSLCDKMALN >KJB36131 pep chromosome:Graimondii2_0_v6:6:40095861:40099689:1 gene:B456_006G142900 transcript:KJB36131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAIKAVIFNSQGSCLFQRAAFFHSTPVLEHKKRNYWQFGKRKRRMRAKQELLRNFGAYADIMFENWRDEFHEDDPSSSRGPSWFKRQQSKGSRRDWSDNKGFYYRGRRPFEFCEDIDDDIENIFRSAFGGSQFFYWSFINDEYPKWRRSRYSDNYEKSWRWSSRFDEDSDSTESGNAESSDQDTIADRRALGLSASGPLTLEDVKTAYRACALKWHPDRHHGSSKAVAEEKFKLCNSAYQSLCDKMALN >KJB36133 pep chromosome:Graimondii2_0_v6:6:40094715:40099689:1 gene:B456_006G142900 transcript:KJB36133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAIKAVIFNSQGSCLFQRAAFFHSTPVLEHKKRNYWQFGKRKRRMRAKQELLRNFGAYADIMFENWRDEFHEDDPSSSRGPSWFKRQQSKGSRRDWSDNKGFYYRGRRPFEFCEDIDDDIENIFRSAFGGSQFFYWSFINDEYPKWRRSRYSDNYEKSWRWSSRFDEDSDSTESGNAESSDQDTIADRRALGLSASGPLTLEDVKTAYRACALKWHPDRHHGSSKAVAEEKFKLCNSAYQSLCDKMALN >KJB35020 pep chromosome:Graimondii2_0_v6:6:33521816:33526656:1 gene:B456_006G095900 transcript:KJB35020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRHTYFSPGSNYFALSLVFFSFQFDYLKSLEIEEKINKIRWCQTANSALFLLSANDKTIKFWKVQEKKIKKISKIIADPSKAVGNGNVASSSNSNSPKSFLANGVSPDRSCNHLGNDISFPPGGLPSLCLPVVVTSQETNLVARCRRVYGHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDLKPANMEDLTEVITSAEFHPAHCNMLAYSSSKGSIRLIDLRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTETTTLEASKNPMRTQVQSPSRPSRSLNSITRVVRRETLGVDANGNSLDFTTKLLHLAWHPTENLIACVAANSLYMYYA >KJB35018 pep chromosome:Graimondii2_0_v6:6:33519329:33527317:1 gene:B456_006G095900 transcript:KJB35018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGDEEVAAPAGPPQPLDWKFSQVFGERTAGEEIQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDAKDRGLSRRDLERTDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANSALFLLSANDKTIKFWKVQEKKIKKISKIIADPSKAVGNGNVASSSNSNSPKSFLANGVSPDRSCNHLGNDISFPPGGLPSLCLPVVVTSQETNLVARCRRVYGHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDLKPANMEDLTEVITSAEFHPAHCNMLAYSSSKGSIRLIDLRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTETTTLEASKNPMRTQVQSPSRPSRSLNSITRVVRRETLGVDANGNSLDFTTKLLHLAWHPTENLIACVAANSLYMYYA >KJB35019 pep chromosome:Graimondii2_0_v6:6:33519392:33527017:1 gene:B456_006G095900 transcript:KJB35019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGDEEVAAPAGPPQPLDWKFSQVFGERTAGEEIQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDAKDRGLSRRDLERTDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANSALFLLSANDKTIKFWKVQEKKIKKISKIIADPSKAVGNGNVASSSNSNSPKSFLANGVSPDRSCNHLGNDISFPPGGLPSLCLPVVVTSQETNLVARCRRVYGHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDLKPANMEDLTEVITSAEFHPAHCNMLAYSSSKGSIRLIDLRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTETTTLEASKNPMRTQVQSPSRPSRSLNSITRVVRRAETLGVDANGNSLDFTTKLLHLAWHPTENLIACVAANSLYMYYA >KJB35021 pep chromosome:Graimondii2_0_v6:6:33519392:33527077:1 gene:B456_006G095900 transcript:KJB35021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGDEEVAAPAGPPQPLDWKFSQVFGERTAGEEIQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDAKDRGLSRRDLERTDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANSALFLLSANDKTIKFWKVQEKKIKKISKIIADPSKAVGNGNVASSSNSNSPKSFLANGVSPDRSCNHLGNDISFPPGGLPSLCLPVVTSQETNLVARCRRVYGHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDLKPANMEDLTEVITSAEFHPAHCNMLAYSSSKGSIRLIDLRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTETTTLEASKNPMRTQVQSPSRPSRSLNSITRVVRRETLGVDANGNSLDFTTKLLHLAWHPTENLIACVAANSLYMYYA >KJB37825 pep chromosome:Graimondii2_0_v6:6:47457859:47464331:1 gene:B456_006G222100 transcript:KJB37825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATMVSSAGGLLAMLNESHPQLKYHALSNLISFVDQFWPEISTSVPIIESLYEDEEFGQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYIHTLLSKAIDEYVSLRSKAAESSNEAAMVDPRLEAIVERMLDKCIMDGKYQQAMGIAIECRRLDKLEEAITRSDNVHGTLAYSINVSHSFVYRREYRQEVLRLLVKVYEKLPSPDYLSICQCLMFLDEPGGVANILERLLRSENKEDALLAFQIAFDLVENEHQAFLLNVRDRLSALKSLPSESVQPDYSDPATAQNENSTAPEDVQMTDESSAVTKSVHEADPKEVMYAERLTKIKGILSGETSIQLTLQFLFSYNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRDNLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRGTNVEVIQHGACLGLGLAALGTADEEIYDDIKTVLYTDSAVAGEAAGISMGLLMVGTASEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALVAMAMVMVQTNEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAVVGLAVFSQFWYWYPLIYFVSLSFSPTAFIGLNYDLKVPKFEFLSHAKPSLFEYPKPTTVPTTTSAVKLPTAVLSTSAKAKARAKKEAEQKANAEKSTGAESSAAANTGKGKSSSEKDGEAMQVRFVKLSLILWLYLYYPFETF >KJB37823 pep chromosome:Graimondii2_0_v6:6:47458119:47462610:1 gene:B456_006G222100 transcript:KJB37823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATMVSSAGGLLAMLNESHPQLKYHALSNLISFVDQFWPEISTSVPIIESLYEDEEFGQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYIHTLLSKAIDEYVSLRSKAAESSNEAAMVDPRLEAIVERMLDKCIMDGKYQQAMGIAIECRRLDKLEEAITRSDNVHGTLAYSINVSHSFVYRREYRQEVLRLLVKVYEKLPSPDYLSICQCLMFLDEPGGVANILERLLRSENKEDALLAFQIAFDLVENEHQAFLLNVRDRLSALKSLPSESVQPDYSDPATAQNENSTAPEDVQMTDESSAVTKSVHEADPKEVMYAERLTKIKGILSGETSIQLTLQFLFSYNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRDNLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRGTNVEVIQHGACLGLGLAALGTADEEIYDDIKTVLYTDSAVAGEAAGISMGLLMVGTASEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALVAMAMVMVQTNEASDSRVGTFRHAVILSCYFSSCLLDVQLLYVYVCYLTSFHLLNLGDN >KJB37824 pep chromosome:Graimondii2_0_v6:6:47457805:47464331:1 gene:B456_006G222100 transcript:KJB37824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATMVSSAGGLLAMLNESHPQLKYHALSNLISFVDQFWPEISTSVPIIESLYEDEEFGQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYIHTLLSKAIDEYVSLRSKAAESSNEAAMVDPRLEAIVERMLDKCIMDGKYQQAMGIAIECRRLDKLEEAITRSDNVHGTLAYSINVSHSFVYRREYRQEVLRLLVKVYEKLPSPDYLSICQCLMFLDEPGGVANILERLLRSENKEDALLAFQIAFDLVENEHQAFLLNVRDRLSALKSLPSESVQPDYSDPATAQNENSTAPEDVQMTDESSAVTKSVHEADPKEVMYAERLTKIKGILSGETSIQLTLQFLFSYNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRDNLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRGTNVEVIQHGACLGLGLAALGTADEEIYDDIKTVLYTDSAVAGEAAGISMGLLMVGTASEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALVAMAMVMVQTNEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAVVGLAVFSQFWYWYPLIYFVSLSFSPTAFIGLNYDLKVPKFEFLSHAKPSLFEYPKPTTVPTTTSAVKLPTAVLSTSAKAKARAKKEAEQKANAEKSTGAESSAAANTGKGKSSSEKDGEAMQVDSPPEKKSEPEPSFEILTNPARVVPAQEKFIKFLEDSRYVPVKLAPSGFVLLRDLCPNEPEVLSLTDAPTSTASPAGGSTAAAGQQSSSAMAVDDEPQPPQPFEYSS >KJB34069 pep chromosome:Graimondii2_0_v6:6:14620797:14623765:1 gene:B456_006G046300 transcript:KJB34069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALLKSSFPIFSANPKFLSTKHVKPLKVSIKPPPPDFDFRADILAESTAKIGQTYPQLVDLAENGTLVLVEKRQFGPVPAWRTEFVEPEAIWLLGTTHISPESASDVERVVRAVRPDNVVVELCRSRAGIMYISSGVENGEQLRSNMFSLSGTGFFGAVGRSINLGGQTALALRLLLALFSSKLSSDLNRPFGDEFRAARKASEEVGAQIVLGDRPIEITEQNYENDTFQLYERLSFSYPSLLQPLVHERDTYLAWSLKRSKAVNKCKTVVGVIGKGHMNGVIYALVSDQGNLRFRDLAGKTPSEAESNGWVQRLLKSLARDTLIGILLWALYEQIKSAGILL >KJB34068 pep chromosome:Graimondii2_0_v6:6:14620792:14623776:1 gene:B456_006G046300 transcript:KJB34068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALLKSSFPIFSANPKFLSTKHVKPLKVSIKPPPPDFDFRADILAESTAKIGQTYPQLVDLAENGTLVLVEKRQFGPVPAWRTEFVEPEAIWLLGTTHISPESASDVERVVRAVRPDNVVVELCRSRAGIMYISSGVENGEQLRSNMFSLSGTGFFGAVGRSINLGGQTALALRLLLALFSSKLSSDLNRPFGDEFRAARKASEEVGAQIVLGDRPIEITLERAWNSLKWSEKVILVLSVIRGITSPSSDISKNDLLEQNYENDTFQLYERLSFSYPSLLQPLVHERDTYLAWSLKRSKAVNKCKTVVGVIGKGHMNGVIYALVSDQGNLRFRDLAGKTPSEAESNGWVQRLLKSLARDTLIGILLWALYEQIKSAGILL >KJB34070 pep chromosome:Graimondii2_0_v6:6:14620855:14623774:1 gene:B456_006G046300 transcript:KJB34070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWCWSRSGSSALFLPGGPSSLNQRRYGCWVQLTFRPNQPPMSSVLSGLSGLTMWWSNSAEAEFIFRAGIMYISSGVENGEQLRSNMFSLSGTGFFGAVGRSINLGGQTALALRLLLALFSSKLSSDLNRPFGDEFRAARKASEEVGAQIVLGDRPIEITLERAWNSLKWSEKVILVLSVIRGITSPSSDISKNDLLEQNYENDTFQLYERLSFSYPSLLQPLVHERDTYLAWSLKRSKAVNKCKTVVGVIGKGHMNGVIYALVSDQGNLRFRDLAGKTPSEAESNGWVQRLLKSLARDTLIGILLWALYEQIKSAGILL >KJB37407 pep chromosome:Graimondii2_0_v6:6:45968682:45973123:1 gene:B456_006G203400 transcript:KJB37407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFDNSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGGAAKFGVSRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDSDGTFGIVDYTSYDDMKYAIRKLDDTEFRNPWARAYIRVKRYESSPSRSRSRSRSIRRDRSRSRERSATKSPVKSRSASPVKSPRARSRSRSKSRSRSGSPEKAQPVSD >KJB37410 pep chromosome:Graimondii2_0_v6:6:45968682:45973123:1 gene:B456_006G203400 transcript:KJB37410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFDNSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGGAAKFGVSRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDSDGTFGIVDYTSYDDMKYAIRKLDDTEFRNPWARAYIRVKRYESSPSRSRSRSRSIRRDRSRSRERSATKSPVKSRSASPVKSPRARSRSRSKSRSRSGSPEKAQPVSD >KJB37416 pep chromosome:Graimondii2_0_v6:6:45968780:45973117:1 gene:B456_006G203400 transcript:KJB37416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFDNSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGGAAKFGVSRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDSDGTFGIVDYTSYDDMKYAIRKLDDTEFRNPWARAYIRRYESSPSRSRSRSRSIRRDRSRSRERSATKSPVKSRSASPVKSPRARSRSRSKSRSRSGSPEKAQPVSD >KJB37408 pep chromosome:Graimondii2_0_v6:6:45968780:45973117:1 gene:B456_006G203400 transcript:KJB37408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFDNSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGGAAKFGVSRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDSDGTFGIVDYTSYDDMKYAIRKLDDTEFRNPWARAYIRVKRYESSPSRSRSRSRSIRRDRSRSRERSATKSPVKSRSASPVKSPRARSRSRSKSRSRSGSPEKAQPVSD >KJB37414 pep chromosome:Graimondii2_0_v6:6:45968725:45973117:1 gene:B456_006G203400 transcript:KJB37414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFDNSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGGAAKFGVSRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDSDGTFGIVDYTSYDDMKYAIRKLDDTEFRNPWARAYIRVKRYESSPSRSRSRSRSIRRDRSRSRERSATKSPVKSRSASPVKSPRARSRSRSKSRSRSGSPEKAQPVSD >KJB37411 pep chromosome:Graimondii2_0_v6:6:45968682:45973123:1 gene:B456_006G203400 transcript:KJB37411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFDNSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGGAAKFGVSRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDSDGTFGIVDYTSYDDMKYAIRKLDDTEFRNPWARAYIRVKRYESSPSRSRSRSRSIRRDRSRSRERSATKSPVKSRSASPVKSPRARSRSRSKSRSRSGSPEKAQPVSD >KJB37415 pep chromosome:Graimondii2_0_v6:6:45968780:45973117:1 gene:B456_006G203400 transcript:KJB37415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFDNSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGGAAKFGVSRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDSDGTFGIVDYTSYDDMKYAIRKLDDTEFRNPWARAYIRVKRYESSPSRSRSRSRSIRRDRRSRERSATKSPVKSRSASPVKSPRARSRSRSKSRSRSGSPEKVG >KJB37405 pep chromosome:Graimondii2_0_v6:6:45968683:45973117:1 gene:B456_006G203400 transcript:KJB37405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFDNSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGGAAKFGVSRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDSDGTFGIVDYTSYDDMKYAIRKLDDTEFRNPWARAYIRVKRYESSPSRSRSRSRSIRRDRSRSRERSATKSPVKSRSASPVKSPRARSRSRSKSRSRSGSPEKAQPVSD >KJB37412 pep chromosome:Graimondii2_0_v6:6:45968683:45973117:1 gene:B456_006G203400 transcript:KJB37412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFDNSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGGAAKFGVSRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDSDGTFGIVDYTSYDDMKYAIRKLDDTEFRNPWARAYIRVKRYESSPSRSRSRSRSIRRDRSRSRERSATKSPVKSRSASPVKSPRARSRSRSKSRSRSGSPEKAQPVSD >KJB37417 pep chromosome:Graimondii2_0_v6:6:45968683:45973117:1 gene:B456_006G203400 transcript:KJB37417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFDNSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGGAAKFGVSRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDSDGTFGIVDYTSYDDMKYAIRKLDDTEFRNPWARAYIRVKRYESSPSRSRSRSRSIRRDRSRSRERSATKSPVKSRSASPVKSPRARSRSRSKSRSRSGSPEKAQPVSD >KJB37406 pep chromosome:Graimondii2_0_v6:6:45968780:45973117:1 gene:B456_006G203400 transcript:KJB37406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFDNSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGGAAKFGVSRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDSDGTFGIVDYTSYDDMKYAIRKLDDTEFRNPWARAYIRVKRYESSPSRSRSRSRSIRRDRSRSRERSATKSPVKSRSASPVKSPRARSRSRSKSRSRSGSPEKAQPVSD >KJB37409 pep chromosome:Graimondii2_0_v6:6:45968682:45973123:1 gene:B456_006G203400 transcript:KJB37409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFDNSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGGAAKFGVSRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDSDGTFGIVDYTSYDDMKYAIRKLDDTEFRNPWARAYIRVKRYESSPSRSRSRSRSIRRDRSRSRERSATKSPVKSRSASPVKSPRARSRSRSKSRSRSGSPEKAQPVSD >KJB37413 pep chromosome:Graimondii2_0_v6:6:45969982:45972505:1 gene:B456_006G203400 transcript:KJB37413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR34A [Source:Projected from Arabidopsis thaliana (AT3G49430) UniProtKB/Swiss-Prot;Acc:A2RVS6] MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFDNSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGGAAKFGVSRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDSDGTFGIVDYTSYDDMKYAIRKLDDTEFRNPWARAYIRVKRYESSPSRSRSRSRSIRRDRSRSRERSATKSPVKSRSASPVKSPRARSRSRSKSRSRSGSPEKVG >KJB38730 pep chromosome:Graimondii2_0_v6:6:50738644:50741221:-1 gene:B456_006G269700 transcript:KJB38730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRRKCKIEEQIQIGSAINDNETPIFEPDLIRAIFKQIWIRKAQEREGTGIQNTDAMDSEIGAGTSKKIRPTFANPNALKLSCELLRIFITETVQRAATIAEAEGGTKIEAIHLERVLPQLFVDF >KJB33427 pep chromosome:Graimondii2_0_v6:6:2385566:2389799:1 gene:B456_006G010700 transcript:KJB33427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein WDR12 homolog [Source:Projected from Arabidopsis thaliana (AT5G15550) UniProtKB/TrEMBL;Acc:A0A178UL95] MEIERETNEGNSRRIQVRFITKLKAPYKVPTTAIAIPSDLSRLGLSSIVNKLLQAVDSEWKTEPFDFLIDGELVRMSLEQFLLVKGISAEKILEIEYIRAVAPRKEEEPSPHDDWVSAVDGSSPRFILTGCYDSLGRIWKQAGWCTHILEGHSGAISSVRIINSEGAGSATVATASKDRTLRLWKFDAEDSTDHPARIRAFKILRGHNASVHSIAAKTSGDMICSGSWDCTINLWRTNDTDTNGDTVSIKKRKVNNKIEESQSEGEAVSTLVGHTQCVSSVVWPQHDTIYSASWDHSVRKWDVETGKDLSDIFCGKALNCIDIGGEGLALIAAGGSDPVLRIWDPRKPGTSAPTFQFSSHSSWISACKWHNTSPLHLLSSSYDGKVMLWDLRTAWPVSIIDTHKDKVLCADWWKGDCVVSGGVDTQLRISSDISIH >KJB33428 pep chromosome:Graimondii2_0_v6:6:2385566:2389799:1 gene:B456_006G010700 transcript:KJB33428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein WDR12 homolog [Source:Projected from Arabidopsis thaliana (AT5G15550) UniProtKB/TrEMBL;Acc:A0A178UL95] MEIERETNEGNSRRIQVRFITKLKAPYKVPTTAIAIPSDLSRLGLSSIVNKLLQAVDSEWKTEPFDFLIDGELVRMSLEQFLLVKGISAEKILEIEYIRAVAPRKEEEPSPHDDWVSAVDGSSPRFILTGCYDSLGRIWKQAGWCTHILEGHSGAISSVRIINSEGAGSATVATASKDRTLRLWKFDAEDSTDHPARIRAFKILRGHNASVHSIAAKTSGDMICSGSWDCTINLWRTNDTDTNGDTVSIKKRKVNNKIEESQSEGEAVSTLVGHTQCVSSVVWPQHDTIYSASWDHSVRKWDVETGKDLSDIFCGKALNCIDIGGEGLALIAAGGSDPVLRIWDPRKPGTSAPTFQFSSHSSWISACKWHNTSPLHLLSSSYDGKVMLWDLRTAWPVSIIDTHKDKVLCADWWKGDCVVSGGVDTQLRISSDISIH >KJB35425 pep chromosome:Graimondii2_0_v6:6:36220038:36225674:-1 gene:B456_006G114300 transcript:KJB35425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSSPAFFTFHFANYRSNQFPPKRLPFFPQFRYSSFKGKGPQKPNFLFKFNFLMSASQEPLNQSLQLQNQQLPFSMKPPFMSPGGDYHHFASTESRRLADQKGDAIVVQSPRKSDVADREVESGDWARPPGYTEVIGSPLQTPVSGKGGKAQKISRLTKNSRSGPQIPASNLGSPGNNVTPTGPCRYDSSLGLLTRKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGKIDENVTTLQAEVENLSIEERCLDEQIREMQERLRNLSEDENNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYSQRRYRIVLRSSMGPIDVYLVSQFEEKFEEIQGADPPPNFPSTSGLNENPATTMVPEESRGKEIEMQGQDDNRMCSDLNASQDFVSGIMKIVPSDVDCDADYWLLSDPSVSITDMWRTESLIEWSELGTLNEGYGIATVSSTCPQTPTLNATEAPSANSTGK >KJB35423 pep chromosome:Graimondii2_0_v6:6:36220427:36225740:-1 gene:B456_006G114300 transcript:KJB35423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVEKQKFQHFSKRNKYKIYKMLFSSPAFFTFHFANYRSNQFPPKRLPFFPQFRYSSFKGKGPQKPNFLFKFNFLMSASQEPLNQSLQLQNQQLPFSMKPPFMSPGGDYHHFASTESRRLADQKGDAIVVQSPRKSDVADREVESGDWARPPGYTEVIGSPLQTPVSGKGGKAQKISRLTKNSRSGPQIPASNLGSPGNNVTPTGPCRYDSSLGLLTRKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGKIDENVTTLQAEVENLSIEERCLDEQIREMQERLRNLSEDENNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEQAVDYSQRRYRIVLRSSMGPIDVYLVSQFEEKFEEIQGADPPPNFPSTSGLNENPATTMVPEESRGKEIEMQGQDDNRMCSDLNASQDFVSGIMKIVPSDVDCDADYWLLSDPSVSITDMWRTESLIEWSELGTLNEGYGIATVSSTCPQTPTLNATEAPSANSTGK >KJB35422 pep chromosome:Graimondii2_0_v6:6:36219566:36226109:-1 gene:B456_006G114300 transcript:KJB35422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVEKQKFQHFSKRNKYKIYKMLFSSPAFFTFHFANYRSNQFPPKRLPFFPQFRYSSFKGKGPQKPNFLFKFNFLMSASQEPLNQSLQLQNQQLPFSMKPPFMSPGGDYHHFASTESRRLADQKGDAIVVQSPRKSDVADREVESGDWARPPGYTEVIGSPLQTPVSGKGGKAQKISRLTKNSRSGPQIPASNLGSPGNNVTPTGPCRYDSSLGLLTRKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGKIDENVTTLQAEVENLSIEERCLDEQIREMQERLRNLSEDENNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYSQRRYRIVLRSSMGPIDVYLVSQFEEKFEEIQGADPPPNFPSTSGLNENPATTMVPEESRGKEIEMQGQDDNRMCSDLNASQDFVSGIMKIVPSDVDCDADYWLLSDPSVSITDMWRTESLIEWSELGTLNEGYGIATVSSTCPQTPTLNATEAPSANSTGK >KJB35424 pep chromosome:Graimondii2_0_v6:6:36220427:36225740:-1 gene:B456_006G114300 transcript:KJB35424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVEKQKFQHFSKRNKYKIYKMLFSSPAFFTFHFANYRSNQFPPKRLPFFPQFRYSSFKGKGPQKPNFLFKFNFLMSASQEPLNQSLQLQNQQLPFSMKPPFMSPGGDYHHFASTESRRLADQKGDAIVVQSPRKSDVADREVESGDWARPPGYTEVIGSPLQTPVSGKGGKAQKISRLTKNSRSGPQIPASNLGLLTRKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGKIDENVTTLQAEVENLSIEERCLDEQIREMQERLRNLSEDENNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEQAVDYSQRRYRIVLRSSMGPIDVYLVSQFEEKFEEIQGADPPPNFPSTSGLNENPATTMVPEESRGKEIEMQGQDDNRMCSDLNASQDFVSGIMKIVPSDVDCDADYWLLSDPSVSITDMWRTESLIEWSELGTLNEGYGIATVSSTCPQTPTLNATEAPSANSTGK >KJB35421 pep chromosome:Graimondii2_0_v6:6:36219566:36226109:-1 gene:B456_006G114300 transcript:KJB35421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVEKQKFQHFSKRNKYKIYKMLFSSPAFFTFHFANYRSNQFPPKRLPFFPQFRYSSFKGKGPQKPNFLFKFNFLMSASQEPLNQSLQLQNQQLPFSMKPPFMSPGGDYHHFASTESRRLADQKGDAIVVQSPRKSDVADREVESGDWARPPGYTEVIGSPLQTPVSGKGGKAQKISRLTKNSRSGPQIPASNLGLLTRKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGKIDENVTTLQAEVENLSIEERCLDEQIREMQERLRNLSEDENNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYSQRRYRIVLRSSMGPIDVYLVSQFEEKFEEIQGADPPPNFPSTSGLNENPATTMVPEESRGKEIEMQGQDDNRMCSDLNASQDFVSGIMKIVPSDVDCDADYWLLSDPSVSITDMWRTESLIEWSELGTLNEGYGIATVSSTCPQTPTLNATEAPSANSTGK >KJB34227 pep chromosome:Graimondii2_0_v6:6:19825652:19827805:-1 gene:B456_006G054300 transcript:KJB34227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MUTE [Source:Projected from Arabidopsis thaliana (AT3G06120) UniProtKB/Swiss-Prot;Acc:Q9M8K6] MSHIAVERNRRRQMNEHLKVLRSLTPCFYIKRGDQASVIGGVIEFIKELQQVLQALESKKQRKSLSPNPSPSTPRPLPLPAKPNHSPIGFETVGDVGACCNSSLADVEARIAGSNVVLKIVCGRIPGQILKIIAVLEKFSFEVLHVNISSMEDTVLYSFIIKIGLECQLSLEELAVEVQQSFFSEPVFLNEI >KJB34228 pep chromosome:Graimondii2_0_v6:6:19827041:19827805:-1 gene:B456_006G054300 transcript:KJB34228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MUTE [Source:Projected from Arabidopsis thaliana (AT3G06120) UniProtKB/Swiss-Prot;Acc:Q9M8K6] MSHIAVERNRRRQMNEHLKVLRSLTPCFYIKRGDQASVIGGVIEFIKELQQVLQALESKKQRKSLSPNPSPSTPRPLPLPAKPNHSPIGFETVGDVGACCNSSLADVEARIAGSNVVLKIVCGRIPGQILKIIAVLEKFSFEVLHVNISSMEDTVLYSFIIKV >KJB36248 pep chromosome:Graimondii2_0_v6:6:40695310:40698966:-1 gene:B456_006G148500 transcript:KJB36248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIRMIDIAVNFTDGMFKGIYNGKQHHVSDIATVLSRAWNAGVDRIIVTGGSLEESKEALAIAETDGRLFCTVGVHPTRCKEFEESGDPEKHFQGLLALAKEGIQKGKVVAIGECGLDYDRLHFCPPEVQKKYFEKQFELAYATKLPMFLHMRAAAEDFCEIMERNINKFTGGVTHSFTGSAEDRDKLLSFHNMYIGVNGCSLKMAENLDVVRGIPVERMMIETDSPYCEIKSTHAGINFVKSLWPSKKKEKYDKECLVKGRNEPCLVRQVLEVVAGCKGITDIDLFSATLYQNTCRVFFPQDLDAAADALLAGRNESQ >KJB36250 pep chromosome:Graimondii2_0_v6:6:40696634:40698852:-1 gene:B456_006G148500 transcript:KJB36250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIRMIDIAVNFTDGMFKGIYNGKQHHVSDIATVLSRAWNAGVDRIIVTGGSLEESKEALAIAETDGRLFCTVGVHPTRCKEFEESGDPEKHFQGLLALAKEGIQKGKVVAIGECGLDYDRLHFCPPEVQKKYFEKQFELAYATKLPMFLHMRAAAEDFCEIMERNINKFTGGVTHSFTGSAEDRDKLLSFHNMYIGENATYTCHLHLTCLVAMHAVIWHLLATLYLPTIVFLFRR >KJB36253 pep chromosome:Graimondii2_0_v6:6:40695353:40698904:-1 gene:B456_006G148500 transcript:KJB36253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIRMIDIAVNFTDGMFKGIYNGKQHHVSDIATVLSRAWNAGVDRIIVTGGSLEESKEALAIAETDGRLFCTVGVHPTRCKEFEESGDPEKHFQGLLALAKEGIQKGKVVAIGECGLDYDRLHFCPPEVQKKYFEKQFELAYATKLPMFLHMRAAAEDFCEIMERNINKFTGGVTHSFTGSAEDRDKLLSFHNMYIGVNGCSLKMAENLDVVRGIPVERMMIETDSPYCEIKSTHAGINFVKSLWPSKKKEKQVLEVVAGCKGITDIDLFSATLYQNTCRVFFPQDLDAAADALLAGRNESQ >KJB36251 pep chromosome:Graimondii2_0_v6:6:40695585:40698220:-1 gene:B456_006G148500 transcript:KJB36251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNFLCCWKCIIHGNRFVVQVTGGSLEESKEALAIAETDGRLFCTVGVHPTRCKEFEESGDPEKHFQGLLALAKEGIQKGKVVAIGECGLDYDRLHFCPPEVQKKYFEKQFELAYATKLPMFLHMRAAAEDFCEIMERNINKFTGGVTHSFTGSAEDRDKLLSFHNMYIGVNGCSLKMAENLDVVRGIPVERMMIETDSPYCEIKSTHAGINFVKSLWPSKKKEKYDKECLVKGRNEPCLVRQVLEVVAGCKGITDIDLFSATLYQNTCRVFFPQDLDAAADALLAGRNESQ >KJB36252 pep chromosome:Graimondii2_0_v6:6:40695353:40698904:-1 gene:B456_006G148500 transcript:KJB36252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIRMIDIAVNFTDGMFKGIYNGKQHHVSDIATVLSRAWNAGVDRIIVTGGSLEESKEALAIAETDGRLFCTVGVHPTRCKEFEESGDPEKHFQGLLALAKEGIQKGKVVAIGECGLDYDRLHFCPPEVQKKYFEKQFELAYATKLPMFLHMRAAAEDFCEIMERNINKFTGVNGCSLKMAENLDVVRGIPVERMMIETDSPYCEIKSTHAGINFVKSLWPSKKKEKYDKECLVKGRNEPCLVRQVLEVVAGCKGITDIDLFSATLYQNTCRVFFPQDLDAAADALLAGRNESQ >KJB36249 pep chromosome:Graimondii2_0_v6:6:40695312:40698768:-1 gene:B456_006G148500 transcript:KJB36249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HSLRFNFSVPKYTDIAVNFTDGMFKGIYNGKQHHVSDIATVLSRAWNAGVDRIIVTGGSLEESKEALAIAETDGRLFCTVGVHPTRCKEFEESGDPEKHFQGLLALAKEGIQKGKVVAIGECGLDYDRLHFCPPEVQKKYFEKQFELAYATKLPMFLHMRAAAEDFCEIMERNINKFTGGVTHSFTGSAEDRDKLLSFHNMYIGVNGCSLKMAENLDVVRGIPVERMMIETDSPYCEIKSTHAGINFVKSLWPSKKKEKYDKECLVKGRNEPCLVRQVLEVVAGCKGITDIDLFSATLYQNTCRVFFPQDLDAAADALLAGRNESQ >KJB33969 pep chromosome:Graimondii2_0_v6:6:11551071:11552416:1 gene:B456_006G041300 transcript:KJB33969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNNKLEGKVAIITGGASGIGKATACLFAKHGARVVTADIQDELGQQVAASIGSQKCSYIHCDVTDEEQVKGIVEWTVQNHGQLDIMFSNAGIVRSNKGTTSNSNQNILDLDLAPFDHLFAVNARGMAACVKHAARSMVEKRVRGSIICTASVCARCGGEHHVDYFMSKHAVLGLVRSASKQLGVHGIRVNSVSPFAVATPLICNLTGMKAEEAEKFYEGRTILKGVVVKEKHVADAVLFLASEDSEVVSGHDMVVDAGFLGK >KJB38834 pep chromosome:Graimondii2_0_v6:6:51044136:51046222:-1 gene:B456_006G274800 transcript:KJB38834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVSEKFPLGIGASPFLCTRFILQTNDNTKKMDCIFSSITTYPFITLRGKLQQSICVTNLAHPNTRICYSKQPKNRRYPRRTKLPPDFGVNLFLEKPRTETDMSTDTEQIKSIYGHNSDSPEEEDDKEDHVNIDLAWESDEVEAISSLFQGRIPQKPGKVGRERPLPLPLPYKLRPLGFPMPKKHVKKSSAGVNSSRASVSQQLYKNPSFLIGLSKEIKDLASDDDVSAVLNKWAPFLRKGSLSITIRELGLMDLPQRALQAFCWAQKMPHLYPDDRILTSTVEVLARKRELKLPVNLEKFTSSTNRSLIEAMLKGFVKGGSLNLAWKLLSVVKQSKRMLDPGIYAKLILELGKNPDKHILVEELLGDLGERDDLNLSQQDCTAIMKVCIRHRKFEIVESLFYWFKQSGRDPSVVMYTTLLHSRYSENKYMEALALVWEMEARECLLDLPAYRVVIKLFIGLKDLARAVRYFSKLKEAGFSPTYDMYRDLINMYMVAGRVGKCKEVCKEASMAGFSLDKRTLLNLSKLEKDILG >KJB38836 pep chromosome:Graimondii2_0_v6:6:51044146:51046832:-1 gene:B456_006G274800 transcript:KJB38836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVSEKFPLGIGASPFLCTRFILQTNDNTKKMDCIFSSITTYPFITLRGKLQQSICVTNLAHPNTRICYSKQPKNRRYPRRTKLPPDFGVNLFLEKPRTETDMSTDTEQIKSIYGHNSDSPEEEDDKEDHVNIDLAWESDEVEAISSLFQGRIPQKPGKVGRERPLPLPLPYKLRPLGFPMPKKHVKKSSAGVNSSRASVSQQLYKNPSFLIGLSKEIKDLASDDDVSAVLNKWAPFLRKGSLSITIRELGLMDLPQRALQAFCWAQKMPHLYPDDRILTSTVEVLARKRELKLPVNLEKFTSSTNRSLIEAMLKGFVKGGSLNLAWKLLSVVKQSKRMLDPGIYAKLILELGKNPDKHILVEELLGDLGERDDLNLSQQDCTAIMKVCIRHRKFEIVESLFYWFKQSGRDPSVVMYTTLLHSRYSENKYMEALALVWEMEARECLLDLPAYRVVIKLFIGLKDLARAVRYFSKLKEAGFSPTYDMYRDLINMYMVAGRVGKCKEVCKEASMAGFSLDKRTLLNLSKLEKDILG >KJB38837 pep chromosome:Graimondii2_0_v6:6:51044136:51046832:-1 gene:B456_006G274800 transcript:KJB38837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVSEKFPLGIGASPFLCTRFILQTNDNTKKMDCIFSSITTYPFITLRGKLQQSICVTNLAHPNTRICYSKQPKNRRYPRRTKLPPDFGVNLFLEKPRTETDMSTDTEQIKSIYGHNSDSPEEEDDKEDHVNIDLAWESDEVEAISSLFQGRIPQKPGKVGRERPLPLPLPYKLRPLGFPMPKKHVKKSSAGVNSSRASVSQQLYKNPSFLIGLSKEIKDLASDDDVSAVLNKWAPFLRKGSLSITIRELGLMDLPQRALQAFCWAQKMPHLYPDDRILTSTVEVLARKRELKLPVNLEKFTSSTNRSLIEAMLKGFVKGGSLNLAWKLLSVVKQSKRMLDPGIYAKLILELGKNPDKHILVEELLGDLGERDDLNLSQQDCTAIMKVCIRHRKFEIVESLFYWFKQSGRDPSVVMYTTLLHSRYSENKYMEALALVWEMEARECLLDLPAYRVVIKLFIGLKDLARAVRYFSKLKEAGFSPTYDMYRDLINMYMVAGRVGKCKEVCKEASMAGFSLDKRTLLNLSKLEKDILG >KJB38835 pep chromosome:Graimondii2_0_v6:6:51044136:51046810:-1 gene:B456_006G274800 transcript:KJB38835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVSEKFPLGIGASPFLCTRFILQTNDNTKKMDCIFSSITTYPFITLRGKLQQSICVTNLAHPNTRICYSKQPKNRRYPRRTKLPPDFGVNLFLEKPRTETDMSTDTEQIKSIYGHNSDSPEEEDDKEDHVNIDLAWESDEVEAISSLFQGRIPQKPGKVGRERPLPLPLPYKLRPLGFPMPKKHVKKSSAGVNSSRASVSQQLYKNPSFLIGLSKEIKDLASDDDVSAVLNKWAPFLRKGSLSITIRELGLMDLPQRALQAFCWAQKMPHLYPDDRILTSTVEVLARKRELKLPVNLEKFTSSTNRSLIEAMLKGFVKGGSLNLAWKLLSVVKQSKRMLDPGIYAKLILELGKNPDKHILVEELLGDLGERDDLNLSQQDCTAIMKVCIRHRKFEIVESLFYWFKQSGRDPSVVMYTTLLHSRYSENKYMEALALVWEMEARECLLDLPAYRVVIKLFIGLKDLARAVRYFSKLKEAGFSPTYDMYRDLINMYMVAGRVGKCKEVCKEASMAGFSLDKRTLLNLSKLEKDILG >KJB36658 pep chromosome:Graimondii2_0_v6:6:42903681:42904148:-1 gene:B456_006G169200 transcript:KJB36658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLFLLCLFLINAFVAMAASGNAPAPAPGEPYKAEAPTIRKLGKHQLLKTFDNAPASSPSQAPHTKKNMHPTVGSPSADHTAAITEPNKEENVSVDGEAIHLQNHHHHSMDKSVAGGGVILGGLATTFLVAVFCYIRATGRHKPETHQSNNENQ >KJB33073 pep chromosome:Graimondii2_0_v6:6:43170664:43183328:1 gene:B456_006G172700 transcript:KJB33073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTTDPEPLKPSDRVVGRLAALGIPAEYLDRRYEGIVEFVITNGSSLPEVVSAIFPTDEEVAQCTKSAKFKSERMSITMEDHFRESMVWLQWLMFLGEPANALNNLAESSIGQRGVCGMVWGLNDIAYTCRTCEHDPTCAICVSCFQNGNHKDHDYSIIYSGGGCCDCGDETAWKREGFCSKHKGAEQIQPLPENLANSVGPALDALYICWKRKLFSAEGSFQENMRASNRGAQQRKAANELTYVIVETLLEFCECSESLLSFVSRRVISLDGLLGILVRAERFLGDDVVKKLHELLLKFLAEPIFKNDFSKVFLSYYPTVINDAIKEGSDSILNDKYSLISTFSVQIFTVATLTPRLVKEMNLLGMLLECLGDIFISCAREDAHLQAAKWGSLYDTTNHVIGDIRFVMSHDVVSKYATHEQQDISRTWLKLLAFVQGMNSIQRETGIHIEEENESTHLPFYLGHSIANIHSLLVDGAFSVITSEGENILPYIYKQDMHDGDGMRHAKLGKLSQESSVCSVTGRSVSEATEVGSNSIFHLLIPSSVIWLIQECLRAMETWLEVDDGTSAALKSMSSSNSSGICGSNLFAIKKTLYKIRKGKHFGRLTSSSENHCPPYSSPVCSGHQTSDGTEIAKNSDGACGYMGLDVSAIETDSGVGLPTLHVLSLREWPDIIYDVSSQKISFHIPLHRLLSLLFQKALRMCYGERVMPNITNSCSTSSLSAIYADFFDHILVGCHPFGFSASVMEHPLRIRVFCAQVIAGMWRKNGDAALVSCEWYRSVHWSEQGLELDLFLLQCCAALAPPDLYVKRILERFGLLDYLSLSLERSNEYEPVLVQEMLTLIMQILQERRFCGRNTADSLKRELIYKLAIGDATRSQLVNSLPRDLSKCDQLQEILERVAVYSNPSGFNQGMYSLHWAFWKDLDLYHPRWNSRDLQLAEERYLRFCGVSAMTTQLPRWTKIYPPLEGVARIATCRVTLQIIRAVLFYSVFTDKFTESRAPDGILMTALHLLSLALDIYLQLNGSSGMECHIGDSNSMLAFASEEISESLNYVAGKQSLLSLLVALLRMHRQENKKSYLESSNCSFSPLIESLLRKFAEVDSQCMTKLQELVPEMISHLSKSTPNSDRTTPSSASDGELHKAKAREKQAAILAKMKAEQSKFLSSITSAANDDSKSDSEMSNSDAEHETEGDVQQSCSLCHDTTSKSPLSFLILLQKSRILSFVDRGPPSWDRWSDKEQGSIPTNRVTYQSGSNASSSSSGLASQSLQLTENPVVEFTNNEQGQSSEVNVILEFVKSRFPSVRSNEAPSAPSNDRHNTAYDLETLEEDMYICIHKEMNDNSLISSFQKDXIVALSREASENSLASQKINSNRGLTESTLQPLFYDGFGPLDCDGIYLSSCGHAVHQGCLDRYLSSVKERYVQRSLFEGAHNVDPDQGEFLCPVCRRLANSILPAVNGTFQKAGKLPRTATVDQVHALGSPSASNKATCSLLLQQGLSLLKTAAKVVRRSDFFEAFSLHRKERTIRNLEPVSRVLSKMYFSKKHDRFLGSPRLSHPIILWDTLKYSLMSTEIAARSGKNSMAANYTLASLYKEFNSSSEFIFSLLLRVVQNLSSTNSLCALQRLRGLQLLAESICSGVSFDYRSTKHKQEDDLLRILKHDDKEALYPDIQFWNQASDPILARDPFSSLMWVLFCLPCPFMSCEESLLSLMHLFYVVSVVQAVISCCRKQDYDISELGSHHCLITDVYGALGGFDCARWYFISNDIYHSCHIKEMIRRLSFPYLRRCALLWKLLKSSATSPFCDRDTIWESFHVTTDMMDTTESNSVELNEVQELETMFKIPPIDVILEDELSRSFALKWVHHFQKAYEACSFQNVFYCNPAVPFKLMTLPHAYQDLLQRYVKQCCPDCKTVLDEPALCLLCGRLCSPSRKTCCSESGCTAHVMTCGAGTGVFLLIRRTTILLQRCAQQAPWPSPYLDVFGEEDIEMHRGKPLYLNEERYAALSYMVASHGLDRSSKVIGQTTIGAFFMV >KJB33074 pep chromosome:Graimondii2_0_v6:6:43170664:43183343:1 gene:B456_006G172700 transcript:KJB33074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTTDPEPLKPSDRVVGRLAALGIPAEYLDRRYEGIVEFVITNGSSLPEVVSAIFPTDEEVAQCTKSAKFKSERMSITMEDHFRESMVWLQWLMFLGEPANALNNLAESSIGQRGVCGMVWGLNDIAYTCRTCEHDPTCAICVSCFQNGNHKDHDYSIIYSGGGCCDCGDETAWKREGFCSKHKGAEQIQPLPENLANSVGPALDALYICWKRKLFSAEGSFQENMRASNRGAQQRKAANELTYVIVETLLEFCECSESLLSFVSRRVISLDGLLGILVRAERFLGDDVVKKLHELLLKFLAEPIFKNDFSKVFLSYYPTVINDAIKEGSDSILNDKYSLISTFSVQIFTVATLTPRLVKEMNLLGMLLECLGDIFISCAREDAHLQAAKWGSLYDTTNHVIGDIRFVMSHDVVSKYATHEQQDISRTWLKLLAFVQGMNSIQRETGIHIEEENESTHLPFYLGHSIANIHSLLVDGAFSVITSEGENILPYIYKQDMHDGDGMRHAKLGKLSQESSVCSVTGRSVSEATEVGSNSIFHLLIPSSVIWLIQECLRAMETWLEVDDGTSAALKSMSSSNSSGICGSNLFAIKKTLYKIRKGKHFGRLTSSSENHCPPYSSPVCSGHQTSDGTEIAKNSDGACGYMGLDVSAIETDSGVGLPTLHVLSLREWPDIIYDVSSQKISFHIPLHRLLSLLFQKALRMCYGERVMPNITNSCSTSSLSAIYADFFDHILVGCHPFGFSASVMEHPLRIRVFCAQVIAGMWRKNGDAALVSCEWYRSVHWSEQGLELDLFLLQCCAALAPPDLYVKRILERFGLLDYLSLSLERSNEYEPVLVQEMLTLIMQILQERRFCGRNTADSLKRELIYKLAIGDATRSQLVNSLPRDLSKCDQLQEILERVAVYSNPSGFNQGMYSLHWAFWKDLDLYHPRWNSRDLQLAEERYLRFCGVSAMTTQLPRWTKIYPPLEGVARIATCRVTLQIIRAVLFYSVFTDKFTESRAPDGILMTALHLLSLALDIYLQLNGSSGMECHIGDSNSMLAFASEEISESLNYVAGKQSLLSLLVALLRMHRQENKKSYLESSNCSFSPLIESLLRKFAEVDSQCMTKLQELVPEMISHLSKSTPNSDRTTPSSASDGELHKAKAREKQAAILAKMKAEQSKFLSSITSAANDDSKSDSEMSNSDAEHETEGDVQQSCSLCHDTTSKSPLSFLILLQKSRILSFVDRGPPSWDRWSDKEQGSIPTNRVTYQSGSNASSSSSGLASQSLQLTENPVVEFTNNEQGQSSEVNVILEFVKSRFPSVRSNEAPSAPSNDRHNTAYDLETLEEDMYICIHKEMNDNSLISSFQKDXIVALSREASENSLASQKINSNRGLTESTLQPLFYDGFGPLDCDGIYLSSCGHAVHQGCLDRYLSSVKERYVQRSLFEGAHNVDPDQGEFLCPVCRRLANSILPAVNGTFQKAGKLPRTATVDQVHALGSPSASNKATCSLLLQQGLSLLKTAAKVVRRSDFFEAFSLHRKERTIRNLEPVSRVLSKMYFSKKHDRFLGSPRLSHPIILWDTLKYSLMSTEIAARSGKNSMAANYTLASLYKEFNSSSEFIFSLLLRVVQNLSSTNSLCALQRLRGLQLLAESICSGVSFDYRSTKHKQEDDLLRILKHDDKEALYPDIQFWNQASDPILARDPFSSLMWVLFCLPCPFMSCEESLLSLMHLFYVVSVVQAVISCCRKQDYDISELGSHHCLITDVYGALGGFDCARWYFISNDIYHSCHIKEMIRRLSFPYLRRCALLWKLLKSSATSPFCDRDTIWESFHVTTDMMDTTESNSVELNEVQELETMFKIPPIDVILEDELSRSFALKWVHHFQKAYEACSFQNVFYCNPAVPFKLMTLPHAYQDLLQRYVKQCCPDCKTVLDEPALCLLCGRLCSPSRKTCCSESGCTAHVMTCGAGTGVFLLIRRTTILLQRCAQQAPWPSPYLDVFGEEDIEMHRGKPLYLNEERYAALSYMVASHGLDRSSKVIGQTTIGAFFMV >KJB33075 pep chromosome:Graimondii2_0_v6:6:43170664:43183617:1 gene:B456_006G172700 transcript:KJB33075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTTDPEPLKPSDRVVGRLAALGIPAEYLDRRYEGIVEFVITNGSSLPEVVSAIFPTDEEVAQCTKSAKFKSERMSITMEDHFRESMVWLQWLMFLGEPANALNNLAESSIGQRGVCGMVWGLNDIAYTCRTCEHDPTCAICVSCFQNGNHKDHDYSIIYSGGGCCDCGDETAWKREGFCSKHKGAEQIQPLPENLANSVGPALDALYICWKRKLFSAEGSFQENMRASNRGAQQRKAANELTYVIVETLLEFCECSESLLSFVSRRVISLDGLLGILVRAERFLGDDVVKKLHELLLKFLAEPIFKNDFSKVFLSYYPTVINDAIKEGSDSILNDKYSLISTFSVQIFTVATLTPRLVKEMNLLGMLLECLGDIFISCAREDAHLQAAKWGSLYDTTNHVIGDIRFVMSHDVVSKYATHEQQDISRTWLKLLAFVQGMNSIQRETGIHIEEENESTHLPFYLGHSIANIHSLLVDGAFSVITSEGENILPYIYKQDMHDGDGMRHAKLGKLSQESSVCSVTGRSVSEATEVGSNSIFHLLIPSSVIWLIQECLRAMETWLEVDDGTSAALKSMSSSNSSGICGSNLFAIKKTLYKIRKGKHFGRLTSSSENHCPPYSSPVCSGHQTSDGTEIAKNSDGACGYMGLDVSAIETDSGVGLPTLHVLSLREWPDIIYDVSSQKISFHIPLHRLLSLLFQKALRMCYGERVMPNITNSCSTSSLSAIYADFFDHILVGCHPFGFSASVMEHPLRIRVFCAQVIAGMWRKNGDAALVSCEWYRSVHWSEQGLELDLFLLQCCAALAPPDLYVKRILERFGLLDYLSLSLERSNEYEPVLVQEMLTLIMQILQERRFCGRNTADSLKRELIYKLAIGDATRSQLVNSLPRDLSKCDQLQEILERVAVYSNPSGFNQGMYSLHWAFWKDLDLYHPRWNSRDLQLAEERYLRFCGVSAMTTQLPRWTKIYPPLEGVARIATCRVTLQIIRAVLFYSVFTDKFTESRAPDGILMTALHLLSLALDIYLQLNGSSGMECHIGDSNSMLAFASEEISESLNYVAGKQSLLSLLVALLRMHRQENKKSYLESSNCSFSPLIESLLRKFAEVDSQCMTKLQELVPEMISHLSKSTPNSDRTTPSSASDGELHKAKAREKQAAILAKMKAEQSKFLSSITSAANDDSKSDSEMSNSDAEHETEGDVQQSCSLCHDTTSKSPLSFLILLQKSRILSFVDRGPPSWDRWSDKEQGSIPTNRVTYQSGSNASSSSSGLASQSLQLTENPVVEFTNNEQGQSSEVNVILEFVKSRFPSVRSNEAPSAPSNDRHNTAYDLETLEEDMYICIHKEMNDNSLISSFQKDXIVALSREASENSLASQKINSNRGLTESTLQPLFYDGFGPLDCDGIYLSSCGHAVHQGCLDRYLSSVKERYVQRSLFEGAHNVDPDQGEFLCPVCRRLANSILPAVNGTFQKAGKLPRTATVDQVHALGSPSASNKATCSLLLQQGLSLLKTAAKVVRRSDFFEAFSLHRKERTIRNLEPVSRVLSKMYFSKKHDRFLGSPRLSHPIILWDTLKYSLMSTEIAARSGKNSMAANYTLASLYKEFNSSSEFIFSLLLRVVQNLSSTNSLCALQRLRGLQLLAESICSGVSFDYRSTKHKQEDDLLRILKHDDKEALYPDIQFWNQASDPILARDPFSSLMWVLFCLPCPFMSCEESLLSLMHLFYVVSVVQAVISCCRKQDYDISELGSHHCLITDVYGALGGFDCARWYFISNDIYHSCHIKEMIRRLSFPYLRRCALLWKLLKSSATSPFCDRDTIWESFHVTTDMMDTTESNSVELNEVQELETMFKIPPIDVILEDELSRSFALKWVHHFQKAYEACSFQNVFYCNPAVPFKLMTLPHAYQDLLQRYVKQCCPDCKTVLDEPALCLLCGRLCSPSRKTCCSESGCTAHVMTCGAGTGVFLLIRRTTILLQRCAQQAPWPSPYLDVFGEEDIEMHRGKPLYLNEERYAALSYMVASHGLDRSSKVIGQTTIGAFFMV >KJB33076 pep chromosome:Graimondii2_0_v6:6:43172605:43183343:1 gene:B456_006G172700 transcript:KJB33076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLMLLIGAPSILEAKCYKSLRLSVGCWGEEKKLAQRKDKDNLYVCDAAKWGSLYDTTNHVIGDIRFVMSHDVVSKYATHEQQDISRTWLKLLAFVQGMNSIQRETGIHIEEENESTHLPFYLGHSIANIHSLLVDGAFSVITSEGENILPYIYKQDMHDGDGMRHAKLGKLSQESSVCSVTGRSVSEATEVGSNSIFHLLIPSSVIWLIQECLRAMETWLEVDDGTSAALKSMSSSNSSGICGSNLFAIKKTLYKIRKGKHFGRLTSSSENHCPPYSSPVCSGHQTSDGTEIAKNSDGACGYMGLDVSAIETDSGVGLPTLHVLSLREWPDIIYDVSSQKISFHIPLHRLLSLLFQKALRMCYGERVMPNITNSCSTSSLSAIYADFFDHILVGCHPFGFSASVMEHPLRIRVFCAQVIAGMWRKNGDAALVSCEWYRSVHWSEQGLELDLFLLQCCAALAPPDLYVKRILERFGLLDYLSLSLERSNEYEPVLVQEMLTLIMQILQERRFCGRNTADSLKRELIYKLAIGDATRSQLVNSLPRDLSKCDQLQEILERVAVYSNPSGFNQGMYSLHWAFWKDLDLYHPRWNSRDLQLAEERYLRFCGVSAMTTQLPRWTKIYPPLEGVARIATCRVTLQIIRAVLFYSVFTDKFTESRAPDGILMTALHLLSLALDIYLQLNGSSGMECHIGDSNSMLAFASEEISESLNYVAGKQSLLSLLVALLRMHRQENKKSYLESSNCSFSPLIESLLRKFAEVDSQCMTKLQELVPEMISHLSKSTPNSDRTTPSSASDGELHKAKAREKQAAILAKMKAEQSKFLSSITSAANDDSKSDSEMSNSDAEHETEGDVQQSCSLCHDTTSKSPLSFLILLQKSRILSFVDRGPPSWDRWSDKEQGSIPTNRVTYQSGSNASSSSSGLASQSLQLTENPVVEFTNNEQGQSSEVNVILEFVKSRFPSVRSNEAPSAPSNDRHNTAYDLETLEEDMYICIHKEMNDNSLISSFQKDXIVALSREASENSLASQKINSNRGLTESTLQPLFYDGFGPLDCDGIYLSSCGHAVHQGCLDRYLSSVKERYVQRSLFEGAHNVDPDQGEFLCPVCRRLANSILPAVNGTFQKAGKLPRTATVDQVHALGSPSASNKATCSLLLQQGLSLLKTAAKVVRRSDFFEAFSLHRKERTIRNLEPVSRVLSKMYFSKKHDRFLGSPRLSHPIILWDTLKYSLMSTEIAARSGKNSMAANYTLASLYKEFNSSSEFIFSLLLRVVQNLSSTNSLCALQRLRGLQLLAESICSGVSFDYRSTKHKQEDDLLRILKHDDKEALYPDIQFWNQASDPILARDPFSSLMWVLFCLPCPFMSCEESLLSLMHLFYVVSVVQAVISCCRKQDYDISELGSHHCLITDVYGALGGFDCARWYFISNDIYHSCHIKEMIRRLSFPYLRRCALLWKLLKSSATSPFCDRDTIWESFHVTTDMMDTTESNSVELNEVQELETMFKIPPIDVILEDELSRSFALKWVHHFQKAYEACSFQNVFYCNPAVPFKLMTLPHAYQDLLQRYVKQCCPDCKTVLDEPALCLLCGRLCSPSRKTCCSESGCTAHVMTCGAGTGVFLLIRRTTILLQRCAQQAPWPSPYLDVFGEEDIEMHRGKPLYLNEERYAALSYMVASHGLDRSSKVIGQTTIGAFFMV >KJB36521 pep chromosome:Graimondii2_0_v6:6:42408348:42409538:-1 gene:B456_006G163700 transcript:KJB36521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCIKKQAMMEPLVDTVDQKQIVTNYHLLKTMDISKMVLGDTSFTAPFKLIAERDDYIHAFVASFDVSFTKCHKLMGFSTGPRSRATHWKQTVLYLEDVLTICEGETIIGSMAVAPNKKNPRDVDIMVKYSLSGRRCVVSRVQFYKMR >KJB36522 pep chromosome:Graimondii2_0_v6:6:42408350:42409688:-1 gene:B456_006G163700 transcript:KJB36522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLEQFASLLFRVVNGLGLLNLFLVLLIKAKLLLGSYKLHSLQSKFLFLRPCQVDDGVVLPDEASLYLTAIEDAEYKDDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQKQIVTNYHLLKTMDISKMVLGDTSFTAPFKLIAERDDYIHAFVASFDVSFTKCHKLMGFSTGPRSRATHWKQTVLYLEDVLTICEGETIIGSMAVAPNKKNPRDVDIMVKYSLSGRRCVVSRVQFYKMR >KJB36523 pep chromosome:Graimondii2_0_v6:6:42408527:42411088:-1 gene:B456_006G163700 transcript:KJB36523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPNTTQTSTNSSNNFEGANIRFRDADDKEIASNSSNLDDSIVAVDKASEYVSMGEPDVLFFGCDGEDDKTSADYYFDSYSHFGIHEEMLKDIVRTKTYQNVIYRNKFLFQNKVVLDVGAGTGVLSLFCAKAGAAHVYAVDDGVVLPDEASLYLTAIEDAEYKDDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQKQIVTNYHLLKTMDISKMVLGDTSFTAPFKLIAERDDYIHAFVASFDVSFTKCHKLMGFSTGPRSRATHWKQTVLYLEDVLTICEGETIIGSMAVAPNKKNPRDVDIMVKYSLSGRRCVVSRVQFYKMR >KJB36672 pep chromosome:Graimondii2_0_v6:6:42992920:42995881:-1 gene:B456_006G170200 transcript:KJB36672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53900) UniProtKB/Swiss-Prot;Acc:Q9M336] MGFVTVNSHMATEEKPISDDRMLVFVPPHPLIKHWISVLRNEQTPCPIFKNAMAELGRLLMYEASRDWLPTVTGEIQSPMAIASVEFVDPREPLAIVPILRAGLALAEHASSILPATKTYHLGLSRDEETLLPSVYLNKLPDKFPEGSRIFVVDPMLATGGTIVAALNLIKERGVDNKQIKVISALAAPPALQKLSEKFPGLHVYTGIIDPTVNEKGFIIPGLGDAGDRSYGT >KJB36671 pep chromosome:Graimondii2_0_v6:6:42992920:42995881:-1 gene:B456_006G170200 transcript:KJB36671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53900) UniProtKB/Swiss-Prot;Acc:Q9M336] MAELGRLLMYEASRDWLPTVTGEIQSPMAIASVEFVDPREPLAIVPILRAGLALAEHASSILPATKTYHLGLSRDEETLLPSVYLNKLPDKFPEGSRIFVVDPMLATGGTIVAALNLIKERGVDNKQIKVISALAAPPALQKLSEKFPGLHVYTGIIDPTVNEKGFIIPGLGDAGDRSYGT >KJB36673 pep chromosome:Graimondii2_0_v6:6:42993580:42995778:-1 gene:B456_006G170200 transcript:KJB36673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53900) UniProtKB/Swiss-Prot;Acc:Q9M336] MACRINFNLRCPSSHTPRFVPLKNPTLLIPPFKPHSHTHPSHPFKLSISTSRWLRRRRMGFVTVNSHMATEEKPISDDRMLVFVPPHPLIKHWISVLRNEQTPCPIFKNAMAELGRLLMYEASRDWLPTVTGEIQSPMAIASVEFVDPREPLAIVPILRAGLALAEHASSILPATKTYHLGLSRDEETLLPSVYLNKLPDKFPEGSRIFVVDPMLATGGTIVAALNLIKERGVDNKQIKVISALAAPPALQKLSEKFPG >KJB36670 pep chromosome:Graimondii2_0_v6:6:42992919:42995908:-1 gene:B456_006G170200 transcript:KJB36670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53900) UniProtKB/Swiss-Prot;Acc:Q9M336] MACRINFNLRCPSSHTPRFVPLKNPTLLIPPFKPHSHTHPSHPFKLSISTSRWLRRRRMGFVTVNSHMATEEKPISDDRMLVFVPPHPLIKHWISVLRNEQTPCPIFKNAMAELGRLLMYEASRDWLPTVTGEIQSPMAIASVEFVDPREPLAIVPILRAGLALAEHASSILPATKTYHLGLSRDEETLLPSVYLNKLPDKFPEGSRIFVVDPMLATGGTIVAALNLIKERGVDNKQIKVISALAAPPALQKLSEKFPGLHVYTGIIDPTVNEKGFIIPGLGDAGDRSYGT >KJB34163 pep chromosome:Graimondii2_0_v6:6:17525977:17529157:1 gene:B456_006G051200 transcript:KJB34163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFFENTVMAMQTGVGLSKILILAGAGYTGTVLLKNGKLLDILGELQSLVKGLEKSGEQANDSDALLAQEKYFFKHTRPKRPKSLRIYESHVGMSSPGLGPYSTSIKKVEKEIKEMAKKVNDLCGTEVSSFKCKMVAGGV >KJB34164 pep chromosome:Graimondii2_0_v6:6:17525977:17529157:1 gene:B456_006G051200 transcript:KJB34164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFFENTVMAMQTGVGLSKILILAGAGYTGTVLLKNGKLLDILGELQSLVKGLEKSGEQANDSDALLAQVRRLSTGMGILAEKYFFKHTRPKRPKSLRIYESHVGMSSPGLGPYSTSIKKVEKEIKEMAKKVNDLCGTEVSSFKCKMVAGGV >KJB34026 pep chromosome:Graimondii2_0_v6:6:13513744:13515236:-1 gene:B456_006G044400 transcript:KJB34026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:Projected from Arabidopsis thaliana (AT3G26980) UniProtKB/TrEMBL;Acc:A0A178VGS7] MPEEEVVEIKFRLYDGSDMGPFRYSPASTIAMLKERIVAEWPKDKKIAPKGANDIKLINAGKILENNKIVGQCRVHCGDLPEAVITMHVVVQPSVTKVKTGITICPHASDFI >KJB34028 pep chromosome:Graimondii2_0_v6:6:13513200:13515962:-1 gene:B456_006G044400 transcript:KJB34028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:Projected from Arabidopsis thaliana (AT3G26980) UniProtKB/TrEMBL;Acc:A0A178VGS7] MPEEEVVEIKFRLYDGSDMGPFRYSPASTIAMLKERIVAEWPKDKKIAPKGANDIKLINAGKILENNKIVGQCRVHCGDLPEAVITMHVVVQPSVTKVKTEKKVDEPPRNNLCSCSIM >KJB34031 pep chromosome:Graimondii2_0_v6:6:13513515:13515236:-1 gene:B456_006G044400 transcript:KJB34031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:Projected from Arabidopsis thaliana (AT3G26980) UniProtKB/TrEMBL;Acc:A0A178VGS7] MPEEEVVEIKFRLYDGSDMGPFRYSPASTIAMLKERIVAEWPKDKKIAPKGANDIKLINAGKILENNKIVGQCRVHCGDLPEAVITMHVVVQPSVTKVKTAEKKVDEPPRNNLCSCSIM >KJB34029 pep chromosome:Graimondii2_0_v6:6:13513200:13515962:-1 gene:B456_006G044400 transcript:KJB34029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:Projected from Arabidopsis thaliana (AT3G26980) UniProtKB/TrEMBL;Acc:A0A178VGS7] MPEEEVVEIKFRLYDGSDMGPFRYSPASTIAMLKERIVAEWPKDKKIAPKGANDIKLINAGKILENNKIVGQCRVHCGDLPEAVITMHVVVQPSVTKVKTEKKVDEPPRNNLCSCSIM >KJB34030 pep chromosome:Graimondii2_0_v6:6:13513515:13515236:-1 gene:B456_006G044400 transcript:KJB34030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:Projected from Arabidopsis thaliana (AT3G26980) UniProtKB/TrEMBL;Acc:A0A178VGS7] MPEEEVVEIKFRLYDGSDMGPFRYSPASTIAMLKERIVAEWPKDKKIAPKGANDIKLINAGKILENNKIVGQCRVHCGDLPEAVITMHVVVQPSVTKVKTAEKKVDEPPRNNLCSCSIM >KJB34027 pep chromosome:Graimondii2_0_v6:6:13513515:13515236:-1 gene:B456_006G044400 transcript:KJB34027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:Projected from Arabidopsis thaliana (AT3G26980) UniProtKB/TrEMBL;Acc:A0A178VGS7] MPEEEVVEIKFRLYDGSDMGPFRYSPASTIAMLKERIVAEWPKDKKIAPKGANDIKLINAGKILENNKIVGQCRVHCGDLPEAVITMHVVVQPSVTKVKTEKKVDEPPRNNLCSCSIM >KJB38356 pep chromosome:Graimondii2_0_v6:6:49489003:49493169:-1 gene:B456_006G251300 transcript:KJB38356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYILSNYNAVQFVFVQHDAACRVIARLKKERDEARSLLAQAERQAPLPASAATANVSALSNGKRAAESEDMGPGGKRMRPGVSDSIISELTECNAALSQQRKKRQIPPTLAPIDALERYTQLSSHPLHKTNKPGITSIDINLSKDIVATGGVDSSAVLFDRTSGEILSTLNGHSKKVTSVKFVAQNDVFLSGSADKTVRIWQGSEDGKYDCRHILKDHTAEVQAVTVHATNNYFVTASLDTTWCFYDLSSGLCLTQVEDPSNSMGYTSAAFHPDGLILGTGTTGATVRIWDVKSRGNVANFDGHTGAVTAISFSENGYFLATAAHDGVKLWDLRKLKNFRSFELYDQDTPTNSVDFDHSGSYLAIAGSDIRVYQVGSVKAEWNCIKTLPDLSGTGKATCVKFGTDAKYLAVGSMDRNLRIFGLPEGDDASTES >KJB38355 pep chromosome:Graimondii2_0_v6:6:49489002:49494664:-1 gene:B456_006G251300 transcript:KJB38355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSISGEVPEEPVVSTKSGLLYEKRLIERHISDYGKCPVTGEVLTMDDIVPVKTGKIVKPRSLTAASIPGMLGMFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQAPLPASAATANVSALSNGKRAAESEDMGPGGKRMRPGVSDSIISELTECNAALSQQRKKRQIPPTLAPIDALERYTQLSSHPLHKTNKPGITSIDINLSKDIVATGGVDSSAVLFDRTSGEILSTLNGHSKKVTSVKFVAQNDVFLSGSADKTVRIWQGSEDGKYDCRHILKDHTAEVQAVTVHATNNYFVTASLDTTWCFYDLSSGLCLTQVEDPSNSMGYTSAAFHPDGLILGTGTTGATVRIWDVKSRGNVANFDGHTGAVTAISFSENGYFLATAAHDGVKLWDLRKLKNFRSFELYDQDTPTNSVDFDHSGSYLAIAGSDIRVYQVGSVKAEWNCIKTLPDLSGTGKATCVKFGTDAKYLAVGSMDRNLRIFGLPEGDDASTES >KJB38357 pep chromosome:Graimondii2_0_v6:6:49489003:49494604:-1 gene:B456_006G251300 transcript:KJB38357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSISGEVPEEPVVSTKSGLLYEKRLIERHISDYGKCPVTGEVLTMDDIVPVKTGKIVKPRSLTAASIPGMLGMFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQAPLPASAATANVSALSNGKRESEDMGPGGKRMRPGVSDSIISELTECNAALSQQRKKRQIPPTLAPIDALERYTQLSSHPLHKTNKPGITSIDINLSKDIVATGGVDSSAVLFDRTSGEILSTLNGHSKKVTSVKFVAQNDVFLSGSADKTVRIWQGSEDGKYDCRHILKDHTAEVQAVTVHATNNYFVTASLDTTWCFYDLSSGLCLTQVEDPSNSMGYTSAAFHPDGLILGTGTTGATVRIWDVKSRGNVANFDGHTGAVTAISFSENGYFLATAAHDGVKLWDLRKLKNFRSFELYDQDTPTNSVDFDHSGSYLAIAGSDIRVYQVGSVKAEWNCIKTLPDLSGTGKATCVKFGTDAKYLAVGSMDRNLRIFGLPEGDDASTES >KJB34481 pep chromosome:Graimondii2_0_v6:6:27686087:27686103:1 gene:B456_006G0682002 transcript:KJB34481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERV >KJB34482 pep chromosome:Graimondii2_0_v6:6:27686087:27686103:1 gene:B456_006G0682002 transcript:KJB34482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERV >KJB34483 pep chromosome:Graimondii2_0_v6:6:27686087:27686103:1 gene:B456_006G0682002 transcript:KJB34483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERV >KJB35345 pep chromosome:Graimondii2_0_v6:6:35676787:35681166:-1 gene:B456_006G110100 transcript:KJB35345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSSPTYGGINRIGFVLGSVCEDATNIKPTKKLHAFLTFANCLPREQRLWREMDDSSESPATTLNSNNGGEPIMEEHKPKLVVIMGPTGSGKSRLAIDLATHFPIEIINADSMQVYQGLDVLTNKVPLREQNGVPHHLLGTVSSDVEFTAKKFRDSAIPIISDILSRNHLPVIVGGTNYYIQAVMSSFLLDESVEDMSETYSRDHPGNEQTNHMPDILGDSCNYSYDLLKELDPVAADRIHPNNHRKINLYLSLYARSGVLPSKLYQGKAAENWGRVNNSRYRCCFICIDAALPVLDQYVEHRVDCMIDAGLLNEVYDIYNPNGNYTLGLRQAIGVREFENFLQAYFSDSMDDETSDSSDGTLLKLSTRIDDKLFKENTRGILNSSCDNPQKVLLEEAIDKVKANTRRLVRRQKRRVNQLQTLFGWNISYVDATESISKKSDECWAVQVVGPAVKMIRSFLNKDDSNGQTPDGESKTSTDTVQRDLWTQYICKACGDRILRGAHEWEQHKQGRGHRKRMLSLRKSRSSSLA >KJB35344 pep chromosome:Graimondii2_0_v6:6:35676787:35680961:-1 gene:B456_006G110100 transcript:KJB35344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSSESPATTLNSNNGGEPIMEEHKPKLVVIMGPTGSGKSRLAIDLATHFPIEIINADSMQVYQGLDVLTNKVPLREQNGVPHHLLGTVSSDVEFTAKKFRDSAIPIISDILSRNHLPVIVGGTNYYIQAVMSSFLLDESVEDMSETYSRDHPGNEQTNHMPDILGDSCNYSYDLLKELDPVAADRIHPNNHRKQTLSGKGCRGQNWGRVNNSRYRCCFICIDAALPVLDQYVEHRVDCMIDAGLLNEVYDIYNPNGNYTLGLRQAIGVREFENFLQAYFSDSMDDETSDSSDGTLLKLSTRIDDKLFKENTRGILNSSCDNPQKVLLEEAIDKVKANTRRLVRRQKRRVNQLQTLFGWNISYVDATESISKKSDECWAVQVVGPAVKMIRSFLNKDDSNGQTPDGESKTSTDTVQRDLWTQYICKACGDRILRGAHEWEQHKQGRGHRKRMLSLRKSRSSSLA >KJB36255 pep chromosome:Graimondii2_0_v6:6:40699505:40701752:-1 gene:B456_006G148600 transcript:KJB36255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLFSGSFTRFRSEEGSPDHHAVQMTESSPSSRGVNLDKFFDDVESIKDELKELERLNDDLSSSHEQSKTLHNAKAVRDLRAKMDGDVAMALKKAKLIKVRLEALDRSNAANRSLPGCGPGTSSDRTRTSVVNGLRKKLKDSMESFNELRERISSEYRGTVQRRYFTVTGENPDDKTLDLLISTGESETFLQKAIQEQGRGRILDTINEIQERHDAVKDLERHLKELHQVFLDMAVLVEAQGEQLDDIESQVNRANSFVMGGTERLQRARTYQKNTRKWICYAIILLLSIIFFVVIFTVRPWENNGGSGGGHNSPTPPAPATTSPPPPPPPPPQQ >KJB34836 pep chromosome:Graimondii2_0_v6:6:32106050:32108444:-1 gene:B456_006G086800 transcript:KJB34836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIFSKGIVNPPQELQSPASFTSSMKPKLPFEILNGFVSSNPTNAFSMRFGSSASLAYVPPHNPSSTYKRLFSGVDEMHCIFMGNLNNLCSLLRQYGLSRGTNEAMFIIEAYRTLRDRGPYPPHQVLNHIEGSYGFVIYDAKARTVFAAVSADGGVELFWGVAADGSVAVSDDLKVIKESCAKSFAPFPAGCMFYSEQGLMSFEHPKSQMKAMPRIDSEGVMCGANFMADVQSRISGSSGGGSGRGMPRVGSEANWALRGSKA >KJB33490 pep chromosome:Graimondii2_0_v6:6:2799680:2801055:-1 gene:B456_006G013200 transcript:KJB33490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCITSKHGGGRPVTDSPVPVVRKNGHGFTLSSSSSKHQPERLEVDKDDEKLGENGKIINPKKSRDLRKSKRDGSGRKSGSFSFKLGFSQRFVEAEQVAAGWPAWLSSAAGEAVHGWVPLQADAFEKLDKIGQGTYSNVFKAREVETGRLVALKKVRFDNFQPESIRFMAREITILRRLNHPNVMKLEGVITSRFSSTIYLVFEYMEHDLAGLSSCPDINFTEAQVKCYMKQLLMGLEHCHLRGVMHRDIKASNILVNNQGILKLGDFGLANILTSKNKNQLTSRVVTLWYRPPELLMGSTSYEVSVDLWSVGCVFAEVLMGKPILKGRTEVNFSLAFVFRTRI >KJB33488 pep chromosome:Graimondii2_0_v6:6:2797684:2801055:-1 gene:B456_006G013200 transcript:KJB33488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCITSKHGGGRPVTDSPVPVVRKNGHGFTLSSSSSKHQPERLEVDKDDEKLGENGKIINPKKSRDLRKSKRDGSGRKSGSFSFKLGFSQRFVEAEQVAAGWPAWLSSAAGEAVHGWVPLQADAFEKLDKIGQGTYSNVFKAREVETGRLVALKKVRFDNFQPESIRFMAREITILRRLNHPNVMKLEGVITSRFSSTIYLVFEYMEHDLAGLSSCPDINFTEAQVKCYMKQLLMGLEHCHLRGVMHRDIKASNILVNNQGILKLGDFGLANILTSKNKNQLTSRVVTLWYRPPELLMGSTSYEVSVDLWSVGCVFAEVLMGKPILKGRTEVEQLHKIFKLCGSPPDEYWKKMKLPHSTMFKPQHPYESCLQQRCKDIPSTALDLLEILLSVEPHKRGTASSSLMSEYFHTKPFPSDPSSLPKYSPNKEIDAKQRDDLRRKKLGGVRDPGVTRKTRRPRKTLQEANNLNKTITKEDLRDNARFGGKNQGITANNPKPKDGIVHLNQPAFDTRSETSQLTTMSQGDIVTAPPPVITGSNSFSWAKKRKDAKSTLSYNQPSSISQISDQDSSSFIFSNTNNIFNSSTQENQNVSEGVHTDSRKLKAADGSEKLDFSGLIDQQAQNKFEKSDFFDAIDGFRHEEMMTKHNNKVKIQFQQSSIILIDEHIV >KJB33487 pep chromosome:Graimondii2_0_v6:6:2797464:2801462:-1 gene:B456_006G013200 transcript:KJB33487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCITSKHGGGRPVTDSPVPVVRKNGHGFTLSSSSSKHQPERLEVDKDDEKLGENGKIINPKKSRDLRKSKRDGSGRKSGSFSFKLGFSQRFVEAEQVAAGWPAWLSSAAGEAVHGWVPLQADAFEKLDKIGQGTYSNVFKAREVETGRLVALKKVRFDNFQPESIRFMAREITILRRLNHPNVMKLEGVITSRFSSTIYLVFEYMEHDLAGLSSCPDINFTEAQVKCYMKQLLMGLEHCHLRGVMHRDIKASNILVNNQGILKLGDFGLANILTSKNKNQLTSRVVTLWYRPPELLMGSTSYEVSVDLWSVGCVFAEVLMGKPILKGRTEVEQLHKIFKLCGSPPDEYWKKMKLPHSTMFKPQHPYESCLQQRCKDIPSTALDLLEILLSVEPHKRGTASSSLMSEYFHTKPFPSDPSSLPKYSPNKEIDAKQRDDLRRKKLGGVRDPGVTRKTRRPRKTLQEANNLNKTITKEDLRDNARFGGKNQGITANNPKPKDGIVHLNQPAFDTRSETSQLTTMSQGDIVTAPPPVITGSNSFSWAKKRKDAKSTLSYNQPSSISQISDQDSSSFIFSNTNNIFNSSTQENQNVSEGVHTDSRKLKAADGSEKLDFSGLIDQQAQNKFEKSDFFDAIDGFRHEEMMTKHNNKLKVGCSGPLVFESDQVDELLQRNENHIRLAARRTRFDKEK >KJB33489 pep chromosome:Graimondii2_0_v6:6:2799363:2801210:-1 gene:B456_006G013200 transcript:KJB33489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCITSKHGGGRPVTDSPVPVVRKNGHGFTLSSSSSKHQPERLEVDKDDEKLGENGKIINPKKSRDLRKSKRDGSGRKSGSFSFKLGFSQRFVEAEQVAAGWPAWLSSAAGEAVHGWVPLQADAFEKLDKIGQGTYSNVFKAREVETGRLVALKKVRFDNFQPESIRFMAREITILRRLNHPNVMKLEGVITSRFSSTIYLVFEYMEHDLAGLSSCPDINFTEAQVKCYMKQLLMGLEHCHLRGVMHRDIKASNILVNNQGILKLGDFGLANILTSKNKNQLTSRVVTLWYRPPELLMGSTSYEVSVDLWSVGCVFAEVLMGKPILKGRTEVEQLHKIFKLCGSPPDEYWKKMKLPHSTMFKPQHPYESCLQQRCKDIPSTALDLLEILLSVEPHKRGTASSSLMSEVNLR >KJB35679 pep chromosome:Graimondii2_0_v6:6:38179932:38183717:1 gene:B456_006G128600 transcript:KJB35679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGALSVVDSHTGPCLCSTSMNLKTGGELVSQMKRKQGVGRAGWLELGSSFVDSCHDWRLSSKMIPGVVDKKSSRKARTLVVVNELGGQYEETFGDVKTQLVNYFTYKAVRTVLTQLYEMNPPKYTWFYQYVAANQPTDGKSFLRILGKENQELAERVMITRLHLYGKWIKKCDHAQIYKEISDENLELMRERLMETVVWPSDDSNTDTIG >KJB35680 pep chromosome:Graimondii2_0_v6:6:38180114:38182326:1 gene:B456_006G128600 transcript:KJB35680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGALSVVDSHTGPCLCSTSMNLKTGGELVSQMKRKQGVGRAGWLELGSSFVDSCHDWRLSSKMIPGVVDKKSSRKARTLVVVNELGGQYEETFGDVKTQLVNYFTYKAVRTVLTQLYEMNPPKYTWFYQYVAANQPTDGKSFLRILGKENQELAERVMITRLHLYGKWIKVMKRVNMFQSILLVSNFF >KJB33049 pep chromosome:Graimondii2_0_v6:6:40344463:40356393:1 gene:B456_006G145100 transcript:KJB33049 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA biogenesis protein RRP5 [Source:Projected from Arabidopsis thaliana (AT3G11964) UniProtKB/Swiss-Prot;Acc:F4J8K6] MFHVGQVVKCRVTGSSPASRHINLSLQIRPVRISEDDMVKLGSIVSGVVEGLTSSAVVINVNSKAHLKGMISNEHLADHHERAALLKSILKPGYKFDQLLVLDIEGNNIVLSAKFSLISSAEQLPSDISQIQPNTVVHGYVCNLIETGCFVRFLGRLTGFSPRSKAMDDHKADLSGAFYIGQSVRCNTVDVNSETARITLSLKQSCCSSTDATFIQEYFILEEKIARLQSLGSDGSELKWIEGFNIGSVIEAKIGEAKDIGVVVSFDKYNDVLGFITHSQLGGLSLETGSVVQAAVLDVDKAERLVDLSLKPEFVEKSQEGSSKSQTHKKKRKREASKALELHQTVNAVVEIVKEHYLVIAIPEYNHAIGYASIADYNTQKLPQKQFVNGQRVIATVMALPSPETSGRLLLLLNSIGEVTETSSSKRAKKKSSYNVGSLVPAEVTEIMPLELRLKFGIGFCGRVHITEVNDNNVLEKPFGNFKVGQTITARIVGKPNQKGHLWDLSIKPAMLADTGEIGVKTTLEEFDFSTGQLVTGYVYKVDSEWAWLTISRHVKARLFILDSGCEPNELQQFQERFKVGKPVSGHILNVNKDKKLIRIVRHPLGALSTTNVGDEDKRKGESDNNISDDSVIAHIHEGDILGGRISKILPGIGGLVVQIGPNNYGRVHFTELKDAWESDPLSGYHEGQFVKCKVLEVSHSTKGTIHIDLSLRLSLDGMISKNPLKLASDADSTSKRAEKVEDLYPNMAVQGYVKNVIPKGCFIMLSRKVDAKILLSNLSNGYVNDPKKEFPIGKLVSGRVLAVEPLSKRVEVTLKNSDTNGTSKSEINDFSRLHVGDIVSGRIRRVESYGLFITLDHTNMVGLCHFSELSDDHIENIQTNYAAGEKVKAKILKLDEERHRISLGMKNSYFTDDIDFQITEQEESDEDIEETGVADDDADDEARSILLTDSTGMDIEYRSGVSDVLAQAESRASIPPLDVTLDDIEHSDMENFISENQENNEVTAIDEKSKRQAKKKAKEERESEIRAAEERQLEKDVPRTTDEFEKLVRSSPNSSFVWIKYMAFMLNSANIEKARAIAERALRTINIREETEKLNIWVAYFNLENQYGNPPEEAVQKVFQRALQYCDPKKVHFALLGMYERTEQHKLAEELLDKMSKKFKHSCKVWLRRVQVLLTQQQDGVQPVVNRALLCLPRHKHVKFISQAAILEFKSGVPDRGRSMFEGVLREYPKRTDLWSIYLDQEIRLGDEDVIRALFERAISLSLPPKKMKFLFKKYLDYEKSRGDEERIESVKRKAMDYVESTLT >KJB33042 pep chromosome:Graimondii2_0_v6:6:40337386:40356393:1 gene:B456_006G145100 transcript:KJB33042 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA biogenesis protein RRP5 [Source:Projected from Arabidopsis thaliana (AT3G11964) UniProtKB/Swiss-Prot;Acc:F4J8K6] MAASSKKFQKKKSNEGKPKFNKASKKQFKTKKDGAFKSEAVALQLEDDVPDFPRGGGSSLSKHERDEIRAEVDAEFEAEELASKKNKRKKSQKKIQAMPDDLGSLFGDGITGKLPRYANKITLKNISPGMKLWGVVAEVNEKDLVISLPGGLRGLVRAGDALDPVVSNKVENNEGDFLKNIFYPGQLVSCIVLQLDDDKKETGKRKIWLSLRLSLLHKGFTLDAVQEGMVLTGYVISIEDHGYMLHFGLSSFTGFLRKDGHAENKDVEVRTGQFLQGVIKSIDKTRKVVNLSSDPETVSKCVTKDLKGISIDLLIPGMLINASVRSTLENGIMLSFLTYFTGTVDMCHLQNKFPNKNWKDDYNQNKKVNARILFIDPSTRAVGLTLNPHLVHNKAPPSHVNIGDIFDQSKVVRVDRGFGLLLEIPSKPVSTPAYVNVSDVAEEEVRKLEKKFKEGSQVRVRILGFRHLEGLATGILKASAFEGPVFTHSDVKPGMVVKAKVIALDSFGAIVQFPGGVKALCPTRHMSEFEIAKPGKKFKVGAELVFRVLGCKSKRITVTYKKTLVKSKLGIISSYAEATEGLVTHGWITKIEKHGFFVRFYNGVQGFAPRSELGLGPGDDPNSMFHVGQVVKCRVTGSSPASRHINLSLQIRPVRISEDDMVKLGSIVSGVVEGLTSSAVVINVNSKAHLKGMISNEHLADHHERAALLKSILKPGYKFDQLLVLDIEGNNIVLSAKFSLISSAEQLPSDISQIQPNTVVHGYVCNLIETGCFVRFLGRLTGFSPRSKAMDDHKADLSGAFYIGQSVRCNTVDVNSETARITLSLKQSCCSSTDATFIQEYFILEEKIARLQSLGSDGSELKWIEGFNIGSVIEAKIGEAKDIGVVVSFDKYNDVLGFITHSQLGGLSLETGSVVQAAVLDVDKAERLVDLSLKPEFVEKSQEGSSKSQTHKKKRKREASKALELHQTVNAVVEIVKEHYLVIAIPEYNHAIGYASIADYNTQKLPQKQFVNGQRVIATVMALPSPETSGRLLLLLNSIGEVTETSSSKRAKKKSSYNVGSLVPAEVTEIMPLELRLKFGIGFCGRVHITEVNDNNVLEKPFGNFKVGQTITARIVGKPNQKGHLWDLSIKPAMLADTGEIGVKTTLEEFDFSTGQLVTGYVYKVDSEWAWLTISRHVKARLFILDSGCEPNELQQFQERFKVGKPVSGHILNVNKDKKLIRIVRHPLGALSTTNVGDEDKRKGESDNNISDDSVIAHIHEGDILGGRISKILPGIGGLVVQIGPNNYGRVHFTELKDAWESDPLSGYHEGQFVKCKVLEVSHSTKGTIHIDLSLRLSLDGMISKNPLKLASDADSTSKRAEKVEDLYPNMAVQGYVKNVIPKGCFIMLSRKVDAKILLSNLSNGYVNDPKKEFPIGKLVSGRVLAVEPLSKRVEVTLKNSDTNGTSKSEINDFSRLHVGDIVSGRIRRVESYGLFITLDHTNMVGLCHFSELSDDHIENIQTNYAAGEKVKAKILKLDEERHRISLGMKNSYFTDDIDFQITEQEESDEDIEETGVADDDADDEARSILLTDSTGMDIEYRSGVSDVLAQAESRASIPPLDVTLDDIEHSDMENFISENQENNEVTAIDEKSKRQAKKKAKEERESEIRAAEERQLEKDVPRTTDEFEKLVRSSPNSSFVWIKYMAFMLNSANIEKARAIAERALRTINIREETEKLNIWVAYFNLENQYGNPPEEAVQKVFQRALQYCDPKKVHFALLGMYERTEQHKLAEELLDKMSKKFKHSCKVWLRRVQVLLTQQQDGVQPVVNRALLCLPRHKHVKFISQAAILEFKSGVPDRGRSMFEGVLREYPKRTDLWSIYLDQEIRLGDEDVIRALFERAISLSLPPKKMKFLFKKYLDYEKSRGDEERIESVKRKAMDYVESTLT >KJB33046 pep chromosome:Graimondii2_0_v6:6:40337528:40356184:1 gene:B456_006G145100 transcript:KJB33046 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA biogenesis protein RRP5 [Source:Projected from Arabidopsis thaliana (AT3G11964) UniProtKB/Swiss-Prot;Acc:F4J8K6] MAASSKKFQKKKSNEGKPKFNKASKKQFKTKKDGAFKSEAVALQLEDDVPDFPRGGGSSLSKHERDEIRAEVDAEFEAEELASKKNKRKKSQKKIQAMPDDLGSLFGDGITGKLPRYANKITLKNISPGMKLWGVVAEVNEKDLVISLPGGLRGLVRAGDALDPVVSNKVENNEGDFLKNIFYPGQLVSCIVLQLDDDKKETGKRKIWLSLRLSLLHKGFTLDAVQEGMVLTGYVISIEDHGYMLHFGLSSFTGFLRKDGHAENKDVEVRTGQFLQGVIKSIDKTRKVVNLSSDPETVSKCVTKDLKGISIDLLIPGMLINASVRSTLENGIMLSFLTYFTGTVDMCHLQNKFPNKNWKDDYNQNKKVNARILFIDPSTRAVGLTLNPHLVHNKAPPSHVNIGDIFDQSKVVRVDRGFGLLLEIPSKPVSTPAYVNVSDVAEEEVRKLEKKFKEGSQVRVRILGFRHLEGLATGILKASAFEGPVFTHSDVKPGMVVKAKVIALDSFGAIVQFPGGVKALCPTRHMSEFEIAKPGKKFKVGAELVFRVLGCKSKRITVTYKKTLVKSKLGIISSYAEATEGLVTHGWITKIEKHGFFVRFYNGVQGFAPRSELGLGPGDDPNSMFHVGQVVKCRVTGSSPASRHINLSLQIRPVRISEDDMVKLGSIVSGVVEGLTSSAVVINVNSKAHLKGMISNEHLADHHERAALLKSILKPGYKFDQLLVLDIEGNNIVLSAKFSLISSAEQLPSDISQIQPNTVVHGYVCNLIETGCFVRFLGRLTGFSPRSKAMDDHKADLSGAFYIGQSVRCNTVDVNSETARITLSLKQSCCSSTDATFIQEYFILEEKIARLQSLGSDGSELKWIEGFNIGSVIEAKIGEAKDIGVVVSFDKYNDVLGFITHSQLGGLSLETGSVVQAAVLDVDKAERLVDLSLKPEFVEKSQEGSSKSQTHKKKRKREASKALELHQTVNAVVEIVKEHYLVIAIPEYNHAIGYASIADYNTQKLPQKQFVNGQRVIATVMALPSPETSGRLLLLLNSIGEVTETSSSKRAKKKSSYNVGSLVPAEVTEIMPLELRLKFGIGFCGRVHITEVNDNNVLEKPFGNFKVGQTITARIVGKPNQKGHLWDLSIKPAMLADTGEIGVKTTLEEFDFSTGQLVTGYVYKVDSEWAWLTISRHVKARLFILDSGCEPNELQQFQERFKVGKPVSGHILNVNKDKKLIRIVRHPLGALSTTNVGDEDKRKGESDNNISDDSVIAHIHEGDILGGRISKILPGIGGLVVQIGPNNYGRVHFTELKDAWESDPLSGYHEGQFVKCKVLEVSHSTKGTIHIDLSLRLSLDGMISKNPLKLASDADSTSKRAEKVEDLYPNMAVQGYVKNVIPKGCFIMLSRKVDAKILLSNLSNGYVNDPKKEFPIGKLVSGRVLAVEPLSKRVEVTLKNSDTNGTSKSEINDFSRLHVGDIVSGRIRRVESYGLFITLDHTNMVGLCHFSELSDDHIENIQTNYAAGEKVKAKILKLDEERHRISLGMKNSYFTDDIDFQITEQEESDEDIEETGVADDDADDEARSILLTDSTGMDIEYRSGVSDVLAQAESRASIPPLDVTLDDIEHSDMENFISENQENNEVTAIDEKSKRQAKKKAKEERESEIRAAEERQLEKDVPRTTDEFEKLVRSSPNSSFVWIKYMAFMLNSANIEKARAIAERALRTINIREETEKLNIWVAYFNLENQYGNPPEEAVQKVFQRALQYCDPKKVHFALLGMYERTEQHKLAEELLDKMSKKFKHSCKVWLRRVQVLLTQQQDGVQPVVNRALLCLPRHKHVKFISQAAILEFKSGVPDRGRSMFEGVLREYPKRTDLWSIYLDQVRTLY >KJB33044 pep chromosome:Graimondii2_0_v6:6:40337528:40355675:1 gene:B456_006G145100 transcript:KJB33044 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA biogenesis protein RRP5 [Source:Projected from Arabidopsis thaliana (AT3G11964) UniProtKB/Swiss-Prot;Acc:F4J8K6] MAASSKKFQKKKSNEGKPKFNKASKKQFKTKKDGAFKSEAVALQLEDDVPDFPRGGGSSLSKHERDEIRAEVDAEFEAEELASKKNKRKKSQKKIQAMPDDLGSLFGDGITGKLPRYANKITLKNISPGMKLWGVVAEVNEKDLVISLPGGLRGLVRAGDALDPVVSNKVENNEGDFLKNIFYPGQLVSCIVLQLDDDKKETGKRKIWLSLRLSLLHKGFTLDAVQEGMVLTGYVISIEDHGYMLHFGLSSFTGFLRKDGHAENKDVEVRTGQFLQGVIKSIDKTRKVVNLSSDPETVSKCVTKDLKGISIDLLIPGMLINASVRSTLENGIMLSFLTYFTGTVDMCHLQNKFPNKNWKDDYNQNKKVNARILFIDPSTRAVGLTLNPHLVHNKAPPSHVNIGDIFDQSKVVRVDRGFGLLLEIPSKPVSTPAYVNVSDVAEEEVRKLEKKFKEGSQVRVRILGFRHLEGLATGILKASAFEGPVFTHSDVKPGMVVKAKVIALDSFGAIVQFPGGVKALCPTRHMSEFEIAKPGKKFKVGAELVFRVLGCKSKRITVTYKKTLVKSKLGIISSYAEATEGLVTHGWITKIEKHGFFVRFYNGVQGFAPRSELGLGPGDDPNSMFHVGQVVKCRVTGSSPASRHINLSLQIRPVRISEDDMVKLGSIVSGVVEGLTSSAVVINVNSKAHLKGMISNEHLADHHERAALLKSILKPGYKFDQLLVLDIEGNNIVLSAKFSLISSAEQLPSDISQIQPNTVVHGYVCNLIETGCFVRFLGRLTGFSPRSKAMDDHKADLSGAFYIGQSVRCNTVDVNSETARITLSLKQSCCSSTDATFIQEYFILEEKIARLQSLGSDGSELKWIEGFNIGSVIEAKIGEAKDIGVVVSFDKYNDVLGFITHSQLGGLSLETGSVVQAAVLDVDKAERLVDLSLKPEFVEKSQEGSSKSQTHKKKRKREASKALELHQTVNAVVEIVKEHYLVIAIPEYNHAIGYASIADYNTQKLPQKQFVNGQRVIATVMALPSPETSGRLLLLLNSIGEVTETSSSKRAKKKSSYNVGSLVPAEVTEIMPLELRLKFGIGFCGRVHITEVNDNNVLEKPFGNFKVGQTITARIVGKPNQKGHLWDLSIKPAMLADTGEIGVKTTLEEFDFSTGQLVTGYVYKVDSEWAWLTISRHVKARLFILDSGCEPNELQQFQERFKVGKPVSGHILNVNKDKKLIRIVRHPLGALSTTNVGDEDKRKGESDNNISDDSVIAHIHEGDILGGRISKILPGIGGLVVQIGPNNYGRVHFTELKDAWESDPLSGYHEGQFVKCKVLEVSHSTKGTIHIDLSLRLSLDGMISKNPLKLASDADSTSKRAEKVEDLYPNMAVQGYVKNVIPKGCFIMLSRKVDAKILLSNLSNGYVNDPKKEFPIGKLVSGRVLAVEPLSKRVEVTLKNSDTNGTSKSEINDFSRLHVGDIVSGRIRRVESYGLFITLDHTNMVGLCHFSELSDDHIENIQTNYAAGEKVKAKILKLDEERHRISLGMKNSYFTDDIDFQITEQEESDEDIEETGVADDDADDEARSILLTDSTGMDIEYRSGVSDVLAQAESRASIPPLDVTLDDIEHSDMENFISENQENNEVTAIDEKSKRQAKKKAKEERESEIRAAEERQLEKDVPRTTDEFEKLVRSSPNSSFVWIKYMAFMLNSANIEKARAIAERALRTINIREETEKLNIWVAYFNLENQYGNPPEVTLDLQMHLSIEYIFFTKISSCNCNFIGMLFQEAVQKVFQRALQYCDPKKVHFALLGMYERTEQHKLAEELLDKMSKKFKHSCKVFHEFLCRFFFSEI >KJB33047 pep chromosome:Graimondii2_0_v6:6:40337528:40355675:1 gene:B456_006G145100 transcript:KJB33047 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA biogenesis protein RRP5 [Source:Projected from Arabidopsis thaliana (AT3G11964) UniProtKB/Swiss-Prot;Acc:F4J8K6] MAASSKKFQKKKSNEGKPKFNKASKKQFKTKKDGAFKSEAVALQLEDDVPDFPRGGGSSLSKHERDEIRAEVDAEFEAEELASKKNKRKKSQKKIQAMPDDLGSLFGDGITGKLPRYANKITLKNISPGMKLWGVVAEVNEKDLVISLPGGLRGLVRAGDALDPVVSNKVENNEGDFLKNIFYPGQLVSCIVLQLDDDKKETGKRKIWLSLRLSLLHKGFTLDAVQEGMVLTGYVISIEDHGYMLHFGLSSFTGFLRKDGHAENKDVEVRTGQFLQGVIKSIDKTRKVVNLSSDPETVSKCVTKDLKGISIDLLIPGMLINASVRSTLENGIMLSFLTYFTGTVDMCHLQNKFPNKNWKDDYNQNKKVNARILFIDPSTRAVGLTLNPHLVHNKAPPSHVNIGDIFDQSKVVRVDRGFGLLLEIPSKPVSTPAYVNVSDVAEEEVRKLEKKFKEGSQVRVRILGFRHLEGLATGILKASAFEGPVFTHSDVKPGMVVKAKVIALDSFGAIVQFPGGVKALCPTRHMSEFEIAKPGKKFKVGAELVFRVLGCKSKRITVTYKKTLVKSKLGIISSYAEATEGLVTHGWITKIEKHGFFVRFYNGVQGFAPRSELGLGPGDDPNSMFHVGQVVKCRVTGSSPASRHINLSLQIRPVRISEDDMVKLGSIVSGVVEGLTSSAVVINVNSKAHLKGMISNEHLADHHERAALLKSILKPGYKFDQLLVLDIEGNNIVLSAKFSLISSAEQLPSDISQIQPNTVVHGYVCNLIETGCFVRFLGRLTGFSPRSKAMDDHKADLSGAFYIGQSVRCNTVDVNSETARITLSLKQSCCSSTDATFIQEYFILEEKIARLQSLGSDGSELKWIEGFNIGSVIEAKIGEAKDIGVVVSFDKYNDVLGFITHSQLGGLSLETGSVVQAAVLDVDKAERLVDLSLKPEFVEKSQEGSSKSQTHKKKRKREASKALELHQTVNAVVEIVKEHYLVIAIPEYNHAIGYASIADYNTQKLPQKQFVNGQRVIATVMALPSPETSGRLLLLLNSIGEVTETSSSKRAKKKSSYNVGSLVPAEVTEIMPLELRLKFGIGFCGRVHITEVNDNNVLEKPFGNFKVGQTITARIVGKPNQKGHLWDLSIKPAMLADTGEIGVKTTLEEFDFSTGQLVTGYVYKVDSEWAWLTISRHVKARLFILDSGCEPNELQQFQERFKVGKPVSGHILNVNKDKKLIRIVRHPLGALSTTNVGDEDKRKGESDNNISDDSVIAHIHEGDILGGRISKILPGIGGLVVQIGPNNYGRVHFTELKDAWESDPLSGYHEGQFVKCKVLEVSHSTKGTIHIDLSLRLSLDGMISKNPLKLASDADSTSKRAEKVEDLYPNMAVQGYVKNVIPKGCFIMLSRKVDAKILLSNLSNGYVNDPKKEFPIGKLVSGRVLAVEPLSKRVEVTLKNSDTNGTSKSEINDFSRLHVGDIVSGRIRRVESYGLFITLDHTNMVGLCHFSELSDDHIENIQTNYAAGEKVKAKILKLDEERHRISLGMKNSYFTDDIDFQITEQEESDEDIEETGVADDDADDEARSILLTDSTGMDIEYRSGVSDVLAQAESRASIPPLDVTLDDIEHSDMENFISENQENNEVTAIDEKSKRQAKKKAKEERESEIRAAEERQLEKDVPRTTDEFEKLVRSSPNSSFVWIKYMAFMLNSANIEKARAIAERALRTINIREETEKLNIWVAYFNLENQYGNPPEVTLDLQMHLSIEYIFFTKISSCNCNFIGMLFQEAVQKVFQRALQYCDPKKVHFALLGMYERTEQHKLAEELLDKMSKKFKHSCKVFHEFLCRFFFSEI >KJB33045 pep chromosome:Graimondii2_0_v6:6:40337528:40356393:1 gene:B456_006G145100 transcript:KJB33045 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA biogenesis protein RRP5 [Source:Projected from Arabidopsis thaliana (AT3G11964) UniProtKB/Swiss-Prot;Acc:F4J8K6] MAASSKKFQKKKSNEGKPKFNKASKKQFKTKKDGAFKSEAVALQLEDDVPDFPRGGGSSLSKHERDEIRAEVDAEFEAEELASKKNKRKKSQKKIQAMPDDLGSLFGDGITGKLPRYANKITLKNISPGMKLWGVVAEVNEKDLVISLPGGLRGLVRAGDALDPVVSNKVENNEGDFLKNIFYPGQLVSCIVLQLDDDKKETGKRKIWLSLRLSLLHKGFTLDAVQEGMVLTGYVISIEDHGYMLHFGLSSFTGFLRKDGHAENKDVEVRTGQFLQGVIKSIDKTRKVVNLSSDPETVSKCVTKDLKGISIDLLIPGMLINASVRSTLENGIMLSFLTYFTGTVDMCHLQNKFPNKNWKDDYNQNKKVNARILFIDPSTRAVGLTLNPHLVHNKAPPSHVNIGDIFDQSKVVRVDRGFGLLLEIPSKPVSTPAYVNVSDVAEEEVRKLEKKFKEGSQVRVRILGFRHLEGLATGILKASAFEGPVFTHSDVKPGMVVKAKVIALDSFGAIVQFPGGVKALCPTRHMSEFEIAKPGKKFKVGAELVFRVLGCKSKRITVTYKKTLVKSKLGIISSYAEATEGLVTHGWITKIEKHGFFVRFYNGVQGFAPRSELGLGPGDDPNSMFHVGQVVKCRVTGSSPASRHINLSLQIRPVRISEDDMVKLGSIVSGVVEGLTSSAVVINVNSKAHLKGMISNEHLADHHERAALLKSILKPGYKFDQLLVLDIEGNNIVLSAKFSLISSAEQLPSDISQIQPNTVVHGYVCNLIETGCFVRFLGRLTGFSPRSKAMDDHKADLSGAFYIGQSVRCNTVDVNSETARITLSLKQSCCSSTDATFIQEYFILEEKIARLQSLGSDGSELKWIEGFNIGSVIEAKIGEAKDIGVVVSFDKYNDVLGFITHSQLGGLSLETGSVVQAAVLDVDKAERLVDLSLKPEFVEKSQEGSSKSQTHKKRKREASKALELHQTVNAVVEIVKEHYLVIAIPEYNHAIGYASIADYNTQKLPQKQFVNGQRVIATVMALPSPETSGRLLLLLNSIGEVTETSSSKRAKKKSSYNVGSLVPAEVTEIMPLELRLKFGIGFCGRVHITEVNDNNVLEKPFGNFKVGQTITARIVGKPNQKGHLWDLSIKPAMLADTGEIGVKTTLEEFDFSTGQLVTGYVYKVDSEWAWLTISRHVKARLFILDSGCEPNELQQFQERFKVGKPVSGHILNVNKDKKLIRIVRHPLGALSTTNVGDEDKRKGESDNNISDDSVIAHIHEGDILGGRISKILPGIGGLVVQIGPNNYGRVHFTELKDAWESDPLSGYHEGQFVKCKVLEVSHSTKGTIHIDLSLRLSLDGMISKNPLKLASDADSTSKRAEKVEDLYPNMAVQGYVKNVIPKGCFIMLSRKVDAKILLSNLSNGYVNDPKKEFPIGKLVSGRVLAVEPLSKRVEVTLKNSDTNGTSKSEINDFSRLHVGDIVSGRIRRVESYGLFITLDHTNMVGLCHFSELSDDHIENIQTNYAAGEKVKAKILKLDEERHRISLGMKNSYFTDDIDFQITEQEESDEDIEETGVADDDADDEARSILLTDSTGMDIEYRSGVSDVLAQAESRASIPPLDVTLDDIEHSDMENFISENQENNEVTAIDEKSKRQAKKKAKEERESEIRAAEERQLEKDVPRTTDEFEKLVRSSPNSSFVWIKYMAFMLNSANIEKARAIAERALRTINIREETEKLNIWVAYFNLENQYGNPPEEAVQKVFQRALQYCDPKKVHFALLGMYERTEQHKLAEELLDKMSKKFKHSCKVWLRRVQVLLTQQQDGVQPVVNRALLCLPRHKHVKFISQAAILEFKSGVPDRGRSMFEGVLREYPKRTDLWSIYLDQEIRLGDEDVIRALFERAISLSLPPKKMKFLFKKYLDYEKSRGDEERIESVKRKAMDYVESTLT >KJB33048 pep chromosome:Graimondii2_0_v6:6:40337528:40356393:1 gene:B456_006G145100 transcript:KJB33048 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA biogenesis protein RRP5 [Source:Projected from Arabidopsis thaliana (AT3G11964) UniProtKB/Swiss-Prot;Acc:F4J8K6] MAASSKKFQKKKSNEGKPKFNKASKKQFKTKKDGAFKSEAVALQLEDDVPDFPRGGGSSLSKHERDEIRAEVDAEFEAEELASKKNKRKKSQKKIQAMPDDLGSLFGDGITGKLPRYANKITLKNISPGMKLWGVVAEVNEKDLVISLPGGLRGLVRAGDALDPVVSNKVENNEGDFLKNIFYPGQLVSCIVLQLDDDKKETGKRKIWLSLRLSLLHKGFTLDAVQEGMVLTGYVISIEDHGYMLHFGLSSFTGFLRKDGHAENKDVEVRTGQFLQGVIKSIDKTRKVVNLSSDPETVSKCVTKDLKGISIDLLIPGMLINASVRSTLENGIMLSFLTYFTGTVDMCHLQNKFPNKNWKDDYNQNKKVNARILFIDPSTRAVGLTLNPHLVHNKAPPSHVNIGDIFDQSKVVRVDRGFGLLLEIPSKPVSTPAYVNVSDVAEEEVRKLEKKFKEGSQVRVRILGFRHLEGLATGILKASAFEGPVFTHSDVKPGMVVKAKVIALDSFGAIVQFPGGVKALCPTRHMSEFEIAKPGKKFKVGAELVFRVLGCKSKRITVTYKKTLVKSKLGIISSYAEATEGLVTHGWITKIEKHGFFVRFYNGVQGFAPRSELGLGPGDDPNSMFHVGQVVKCRVTGSSPASRHINLSLQIRPVRISEDDMVKLGSIVSGVVEGLTSSAVVINVNSKAHLKGMISNEHLADHHERAALLKSILKPGYKFDQLLVLDIEGNNIVLSAKFSLISSAEQLPSDISQIQPNTVVHGYVCNLIETGCFVRFLGRLTGFSPRSKAMDDHKADLSGAFYIGQSVRCNTVDVNSETARITLSLKQSCCSSTDATFIQEYFILEEKIARLQSLGSDGSELKWIEGFNIGSVIEAKIGEAKDIGVVVSFDKYNDVLGFITHSQCGLSLETGSVVQAAVLDVDKAERLVDLSLKPEFVEKSQEGSSKSQTHKKKRKREASKALELHQTVNAVVEIVKEHYLVIAIPEYNHAIGYASIADYNTQKLPQKQFVNGQRVIATVMALPSPETSGRLLLLLNSIGEVTETSSSKRAKKKSSYNVGSLVPAEVTEIMPLELRLKFGIGFCGRVHITEVNDNNVLEKPFGNFKVGQTITARIVGKPNQKGHLWDLSIKPAMLADTGEIGVKTTLEEFDFSTGQLVTGYVYKVDSEWAWLTISRHVKARLFILDSGCEPNELQQFQERFKVGKPVSGHILNVNKDKKLIRIVRHPLGALSTTNVGDEDKRKGESDNNISDDSVIAHIHEGDILGGRISKILPGIGGLVVQIGPNNYGRVHFTELKDAWESDPLSGYHEGQFVKCKVLEVSHSTKGTIHIDLSLRLSLDGMISKNPLKLASDADSTSKRAEKVEDLYPNMAVQGYVKNVIPKGCFIMLSRKVDAKILLSNLSNGYVNDPKKEFPIGKLVSGRVLAVEPLSKRVEVTLKNSDTNGTSKSEINDFSRLHVGDIVSGRIRRVESYGLFITLDHTNMVGLCHFSELSDDHIENIQTNYAAGEKVKAKILKLDEERHRISLGMKNSYFTDDIDFQITEQEESDEDIEETGVADDDADDEARSILLTDSTGMDIEYRSGVSDVLAQAESRASIPPLDVTLDDIEHSDMENFISENQENNEVTAIDEKSKRQAKKKAKEERESEIRAAEERQLEKDVPRTTDEFEKLVRSSPNSSFVWIKYMAFMLNSANIEKARAIAERALRTINIREETEKLNIWVAYFNLENQYGNPPEEAVQKVFQRALQYCDPKKVHFALLGMYERTEQHKLAEELLDKMSKKFKHSCKVWLRRVQVLLTQQQDGVQPVVNRALLCLPRHKHVKFISQAAILEFKSGVPDRGRSMFEGVLREYPKRTDLWSIYLDQEIRLGDEDVIRALFERAISLSLPPKKMKFLFKKYLDYEKSRGDEERIESVKRKAMDYVESTLT >KJB33043 pep chromosome:Graimondii2_0_v6:6:40337528:40351703:1 gene:B456_006G145100 transcript:KJB33043 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA biogenesis protein RRP5 [Source:Projected from Arabidopsis thaliana (AT3G11964) UniProtKB/Swiss-Prot;Acc:F4J8K6] MAASSKKFQKKKSNEGKPKFNKASKKQFKTKKDGAFKSEAVALQLEDDVPDFPRGGGSSLSKHERDEIRAEVDAEFEAEELASKKNKRKKSQKKIQAMPDDLGSLFGDGITGKLPRYANKITLKNISPGMKLWGVVAEVNEKDLVISLPGGLRGLVRAGDALDPVVSNKVENNEGDFLKNIFYPGQLVSCIVLQLDDDKKETGKRKIWLSLRLSLLHKGFTLDAVQEGMVLTGYVISIEDHGYMLHFGLSSFTGFLRKDGHAENKDVEVRTGQFLQGVIKSIDKTRKVVNLSSDPETVSKCVTKDLKGISIDLLIPGMLINASVRSTLENGIMLSFLTYFTGTVDMCHLQNKFPNKNWKDDYNQNKKVNARILFIDPSTRAVGLTLNPHLVHNKAPPSHVNIGDIFDQSKVVRVDRGFGLLLEIPSKPVSTPAYVNVSDVAEEEVRKLEKKFKEGSQVRVRILGFRHLEGLATGILKASAFEGPVFTHSDVKPGMVVKAKVIALDSFGAIVQFPGGVKALCPTRHMSEFEIAKPGKKFKVGAELVFRVLGCKSKRITVTYKKTLVKSKLGIISSYAEATEGLVTHGWITKIEKHGFFVRFYNGVQGFAPRSELGLGPGDDPNSMFHVGQVVKCRVTGSSPASRHINLSLQIRPVRISEDDMVKLGSIVSGVVEGLTSSAVVINVNSKAHLKGMISNEHLADHHERAALLKSILKPGYKFDQLLVLDIEGNNIVLSAKFSLISSAEQLPSDISQIQPNTVVHGYVCNLIETGCFVRFLGRLTGFSPRSKAMDDHKADLSGAFYIGQSVRCNTVDVNSETARITLSLKQSCCSSTDATFIQEYFILEEKIARLQSLGSDGSELKWIEGFNIGSVIEAKIGEAKDIGVVVSFDKYNDVLGFITHSQLGGLSLETGSVVQAAVLDVDKAERLVDLSLKPEFVEKSQEGSSKSQTHKKKRKREASKALELHQTVNAVVEIVKEHYLVIAIPEYNHAIGYASIADYNTQKLPQKQFVNGQRVIATVMALPSPETSGRLLLLLNSIGEVTETSSSKRAKKKSSYNVGSLVPAEVTEIMPLELRLKFGIGFCGRVHITEVNDNNVLEKPFGNFKVGQTITARIVGKPNQKGHLWDLSIKPAMLADTGEIGVKTTLEEFDFSTGQLVTGYVYKVDSEWAWLTISRHVKARLFILDSGCEPNELQQFQERFKVGKPVSGHILNVNKDKKLIRIVRHPLGALSTTNVGDEDKRKGESDNNISDDSVIAHIHEGDILGGRISKILPGIGGLVVQIGPNNYGRVHFTELKDAWESDPLSGYHEGQFVKCKVLEVSHSTKGTIHIDLSLRLSLDGMISKNPLKLASDA >KJB38388 pep chromosome:Graimondii2_0_v6:6:49599187:49601926:1 gene:B456_006G252800 transcript:KJB38388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKDIHFKLKAFKLRRFRFGDGGSKKRENGVKKKPSWMMPISHGYHVVENKSVRGGSGEPDFDTVVVQREQFDELELWFFGVFDPRIGDGVTKYIQSHFFDKKPKQSQINKKTKETMKKAYLGARAKAREAQKEDETFQTESASAMLINGEKLVMANLGGYRAVVCRNGVAHQLSSKHHGGARRHWTRRLFPVRILACDSSNVTAIRHPRSSELVVGAEKLNAETEFIIIASTGIWEVMKNQEAVNLIGHLEDPQQAAECLTKEALTRMSKSNISCVIIRFD >KJB38389 pep chromosome:Graimondii2_0_v6:6:49599385:49601695:1 gene:B456_006G252800 transcript:KJB38389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAFKLRRFRFGDGGSKKRENGVKKKPSWMMPISHGYHVVENKSVRGGSGEPDFDTVVVQREQFDELELWFFGVFDPRIGDGVTKYIQSHFFDKKPKQSQINKKTKETMKKAYLGARAKAREAQKEDETFQTESASAMLINGEKLVMANLGGYRAVVCRNGVAHQLSSKHHGGARRHWTRRLFPVRILACDSSNVTAIRHPRSSELVVGAEKLNAETEFIIIASTGIWEVMKNQEAVNLIGHLEDPQQAAECLTKEALTRMSKSNISCVIIRFD >KJB35579 pep chromosome:Graimondii2_0_v6:6:37082401:37087856:-1 gene:B456_006G120500 transcript:KJB35579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G45300) UniProtKB/Swiss-Prot;Acc:Q9SWG0] MQRIIAASSFCSKILRKERQRAFFSSTSLLFDETQLQFKESVRQFAQENIAAHASKIDQSNSFPKEVNLWKLMGDFNLHGITAPEEYGGLGLGYLYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRNGNPAQKEKYLPKLISGEHVGALAMSEPNAGSDVVSMKCKADRVDGGYLLNGNKMWCTNGPVAQTLVVYAKTDVTAGSKGITAFIVEKGIPGYTTAQKLDKLGMRGSDTRCELVFENCFIPEENVLGKEGKGVYVLMSGLDLERLVLSAGPIGIMQACLDVVLPYIRERSQFGRPIGEFQFIQGKVADMYTSLQSSRSYAYSVARDCDNGRVDPKDCAGVILCAAERATQVALQAIQCLGGNGYVNEYTTGRLLRDAKLYEIGAGTSEIRRMVIGRELFKEE >KJB35576 pep chromosome:Graimondii2_0_v6:6:37082308:37087904:-1 gene:B456_006G120500 transcript:KJB35576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G45300) UniProtKB/Swiss-Prot;Acc:Q9SWG0] MQRIIAASSFCSKILRKERQRAFFSSTSLLFDETQLQFKESVRQFAQENIAAHASKIDQSNSFPKEVNLWKLMGDFNLHGITAPEEYGGLGLGYLYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRNGNPAQKEKYLPKLISGEHVGALAMSEPNAGSDVVSMKCKADRVDGGYLLNGNKMWCTNGPVAQTLVVYAKTDVTAGSKGITAFIVEKGIPGYTTAQKLDKLGMRGSDTCELVFENCFIPEENVLGKEGKGVYVLMSGLDLERLVLSAGPIGIMQACLDVVLPYIRERSQFGRPIGEFQFIQGKVADMYTSLQSSRSYAYSVARDCDNGRVDPKDCAGVILCAAERATQVALQAIQCLGGNGYVNEYTTGRLLRDAKLYEIGAGTSEIRRMVIGRELFKEE >KJB35578 pep chromosome:Graimondii2_0_v6:6:37083315:37087731:-1 gene:B456_006G120500 transcript:KJB35578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G45300) UniProtKB/Swiss-Prot;Acc:Q9SWG0] MQRIIAASSFCSKILRKERQRAFFSSTSLLFDETQLQFKESVRQFAQENIAAHASKIDQSNSFPKEVNLWKLMGDFNLHGITAPEEYGGLGLGYLYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRNGNPAQKEKYLPKLISGEHVGALAMSEPNAGSDVVSMKCKADRVDGGYLLNGNKMWCTNGPVAQTLVVYAKTDVTAGSKGITAFIVEKGIPGYTTAQKLDKLGMRGSDTCELVFENCFIPEENVLGKEGKGVYVLMSGLDLERLVLSAGPIGIMQACLDVVLPYIRERSQFGRPIGEFQFIQGKVADMYTSLQSSRSYAYSVARDCDNGRVDPKDCAGVILCAAERATQVALQVKDLLVFIHNFLCITFMKTVLQLMSEVMVL >KJB35577 pep chromosome:Graimondii2_0_v6:6:37082401:37087856:-1 gene:B456_006G120500 transcript:KJB35577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G45300) UniProtKB/Swiss-Prot;Acc:Q9SWG0] MGDFNLHGITAPEEYGGLGLGYLYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRNGNPAQKEKYLPKLISGEHVGALAMSEPNAGSDVVSMKCKADRVDGGYLLNGNKMWCTNGPVAQTLVVYAKTDVTAGSKGITAFIVEKGIPGYTTAQKLDKLGMRGSDTCELVFENCFIPEENVLGKEGKGVYVLMSGLDLERLVLSAGPIGIMQACLDVVLPYIRERSQFGRPIGEFQFIQGKVADMYTSLQSSRSYAYSVARDCDNGRVDPKDCAGVILCAAERATQVALQAIQCLGGNGYVNEYTTGRLLRDAKLYEIGAGTSEIRRMVIGRELFKEE >KJB38377 pep chromosome:Graimondii2_0_v6:6:49615286:49617971:1 gene:B456_006G253000 transcript:KJB38377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVLYLFLICTPCVLQESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYASEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILRYPQVGSSSRARASPKPGLSPPGVSAERTERPSGRWPRDSRKVFRCG >KJB38376 pep chromosome:Graimondii2_0_v6:6:49615286:49618119:1 gene:B456_006G253000 transcript:KJB38376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVLYLFLICTPCVLQESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYASEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILRYPQVGSSSRARASPKPGLSPPGVSAERTERPSVGQEIRERFSGAVEAFARRNVSGHGLHGDQSRHRSSDDVPSSKDAVSYLGSAFLYFLFYSYV >KJB38370 pep chromosome:Graimondii2_0_v6:6:49614756:49618919:1 gene:B456_006G253000 transcript:KJB38370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYASEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILRYPQVGSSSRARASPKPGLSPPGVSAERTERPSVGQEIRERFSGAVEAFARRNVSGHGLHGDQSRHRSSDDVPSSKDAQPDSERTRSSSRNDSASKRPVVVSGNSQPGSSGEPSENRSSRLFSSSGRMSTTQRVQPGFESKSSSFTRTAVTRAGRDDTLRSFDLLTIGSGKRK >KJB38371 pep chromosome:Graimondii2_0_v6:6:49614756:49618919:1 gene:B456_006G253000 transcript:KJB38371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVLYLFLICTPCVLQESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYASEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIRYQFDYVFDWTILRYPQVGSSSRARASPKPGLSPPGVSAERTERPSVGQEIRERFSGAVEAFARRNVSGHGLHGDQSRHRSSDDVPSSKDAQPDSERTRSSSRNDSASKRPVVVSGNSQPGSSGEPSENRSSRLFSSSGRMSTTQRVQPGFESKSSSFTRTAVTRAGRDDTLRSFDLLTIGSGKRK >KJB38374 pep chromosome:Graimondii2_0_v6:6:49615286:49617818:1 gene:B456_006G253000 transcript:KJB38374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVLYLFLICTPCVLQESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYASEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILRYPQVGSSSRARASPKPGLSPPGVSAERTERPSGRFLFTTWFENIFRQFNWL >KJB38378 pep chromosome:Graimondii2_0_v6:6:49615286:49617971:1 gene:B456_006G253000 transcript:KJB38378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVLYLFLICTPCVLQESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYASEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILRYPQVGSSSRARASPKPGLSPPGVSAERTERPSGRFLFTTWFENIFRQFNCWPRDSRKVFRCG >KJB38369 pep chromosome:Graimondii2_0_v6:6:49614756:49618919:1 gene:B456_006G253000 transcript:KJB38369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVLYLFLICTPCVLQESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYASEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILRYPQVGSSSRARASPKPGLSPPGVSAERTERPSVGQEIRERFSGAVEAFARRNVSGHGLHGDQSRHRSSDDVPSSKDAQPDSERTRSSSRNDSASKRPVVVSGNSQPGSSVTRAGRDDTLRSFDLLTIGSGKRK >KJB38373 pep chromosome:Graimondii2_0_v6:6:49615286:49617818:1 gene:B456_006G253000 transcript:KJB38373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVLYLFLICTPCVLQESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYASEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILRYPQVGSSSRARASPKPGLSPPGVSAERTERPSGRFLFTTWFENIFRQFNWL >KJB38375 pep chromosome:Graimondii2_0_v6:6:49614756:49618919:1 gene:B456_006G253000 transcript:KJB38375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVLYLFLICTPCVLQESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYASEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILRYPQVGSSSRARASPKPGLSPPGVSAERTERPSVGQEIRERFSGAVEAFARRNVSGHGLHGDQSRHRSSDDVPSSKDAQPDSERTRSSSRNDSASKRPVVVSGNSQPGSSGEPSENRSSRLFSSSGRMSTTQRVQPGFESKSSSFTRTAVTRAGRDDTLRSFDLLTIGSGKRK >KJB38368 pep chromosome:Graimondii2_0_v6:6:49613641:49619104:1 gene:B456_006G253000 transcript:KJB38368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHIIGGKFKLGRKIGSGSFGELYLGVNVQSGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYASEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILRYPQVGSSSRARASPKPGLSPPGVSAERTERPSVGQEIRERFSGAVEAFARRNVSGHGLHGDQSRHRSSDDVPSSKDAQPDSERTRSSSRNDSASKRPVVVSGNSQPGSSGEPSENRSSRLFSSSGRMSTTQRVQPGFESKSSSFTRTAVTRAGRDDTLRSFDLLTIGSGKRK >KJB38372 pep chromosome:Graimondii2_0_v6:6:49614756:49618919:1 gene:B456_006G253000 transcript:KJB38372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVLYLFLICTPCVLQESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYASEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILRYPQVGSSSRARASPKPGLSPPGVSAERTERPSVGQEIRERFSGAVEAFARRNVSGHGLHGDQSRHRSSDDVPSSKDAKFEFKCLSCSLPKLMNFLLKLFSPSAT >KJB38712 pep chromosome:Graimondii2_0_v6:6:50606878:50613717:-1 gene:B456_006G268000 transcript:KJB38712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDRIHNFLGQEGLPQGQHHSQGLDTAWPGLNNNRWVGSQRQVGGPLVSSLKNFSVHQLAECDRGQTGQSSSRQHDLNFTQSGLRFETARSRSQNQSPTANGYLLGHQAFQTSQNEPNFLGVDTVSRCLSPLDSQIGNGPDLSKKNSLRLESTESPMNYDFFGGQQQISAQHSGMIQSLPRQQSGMNDMQLLQQNAMLKQMQELQRQQLLRPQFQLPDARQLGSANQVSSTVKHGLGSLSPAPINGVPVHDASNYSWQPEHMAPNWLQHGTSPSMHVSSGGFTFSPERGQASLMGLVPQQVDQSFYGTTSNARGNPYHHSSVQMEKPSMQQLPASSNSFPGNQYALFSDQVGLQDGRQGDQGKNVFGATTGQGLNTGFRPETLQEMTIQPNNAVMQESYDGRQERPGPSEISLEKSTAQAAPSQSVATLDATEEKILFGSDDSVWDIFGKSTNMGSVLDGTDSFGALPSLQSGSWSALMQSAVAETSSNDLGAQEEWSGLGVQNSEPSSRNMPSQIVNDGSKHQLAWADNNLQTASTLNSKSFPMSSDANINLDFFSIPGVQQSGVQIASEQTGKIHNHSSQKFVQQLTEERNKRLDCSPLQKPVAECVQIFGNVAHSPNMQVSAKSISGHQQDMDVLNLRVQPHNKPNGWSFIESASHSGEAMSKSQDIDCALQPSQNSDQRGAMSEEKGHGSFLGYPVPDANSEWGNLNSGLGSPQVNREGSELDNVAAITDSRTARVTEDSSQQLPNNHNLNLRRSTDSKVNSGPSKVQARYLQNQDMNPRTFDSSRNDCLDKGASEANILENSNVKETSNDSFHPNLSHPCSTGGMRDNVWLDVNDRHGGKQKSSVRISHKPSGIRKFQYHPMGDLDVEVEPSYGTKSVAHSQAMSQNISQGLKGHDRGYFGQSKFTGHATRESIETEKGCFPGIQVDEVPSRSSNQGSASDRSFVGSVLNKTAPMSQNMLELLPKTDQPREHGTATRLSPSERNLSSEMPDTETSDGSVGQFQHNRPPASQGFGLQLGLPSQRFTNPDRAMSSQSSPQRVSSLNSVLGSSEVGRKGHAWLDSRTCVQSSTHGESYGDIKNNVLSGSGQISNKAAPYNSQTNFSARFTSDYHLKSHLQSQNITSVGSQATPSASINAPFDGLGSLPKQTDDSSERVQTSQLQRKPALHIPKSAVDNDRASSETSQPSSSNQIRARDPGQQYPVLEALPAYPPSATPESPKQGSFTKMPDVWTSVSAPQHPLGAQSSWASQNLFKYHHQSNINSETSLPGTKKLDDQIAKAGGGGQSEFPGGPDKPQSFVGEEQPAKDQHLFPESDASQNPTTMPQDIDTLGHSLRPNNIVNQNHSLLNQVQSMKDIEVEPSNRSVKRFKGPCQDSALDTQPVSSEGAEQLSYGSDTMMKDAPVNRILVSSGDPKMLRFSPNTRDNCEAQVSSYDMMAYAQNDSQNFCSANNSAANLKGEHSQISPQMAPSWFDRYGSFKNEQMSPLYDARKTAMLKAAEKALIVGRPSDSMHALHSNEQVNAAAYPSQLDSACKSSKPIVSELISSHSLPPEITNQDLVVVRARKRKSMVFELLPWHREVKQVSQRSQNISVAEVEWVHAANRLIEKVEDEPEMFEDWPPGVRSKRRLILTRQLMQQLLLAPPRVILSADASKSYEAVAYFVARSVLGDACSTACIPESDTAILPDSESTLSEKLKKERNQSTLKYAEDFVIKTRKLENDLQSLDKRASILDLRLECQDLEKISVINRFAKFHGRGQADGTETSLSSDAIASSHKFFSQRYVIALPMPRNLPDRVQCLSL >KJB38714 pep chromosome:Graimondii2_0_v6:6:50606519:50614976:-1 gene:B456_006G268000 transcript:KJB38714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDRIHNFLGQEGLPQGQHHSQGLDTAWPGLNNNRWVGSQRQVGGPLVSSLKNFSVHQLAECDRGQTGQSSSRQHDLNFTQSGLRFETARSRSQNQSPTANGYLLGHQAFQTSQNEPNFLGVDTVSRCLSPLDSQIGNGPDLSKKNSLRLESTESPMNYDFFGGQQQISAQHSGMIQSLPRQQSGMNDMQLLQQNAMLKQMQELQRQQLLRPQFQLPDARQLGSANQVSSTVKHGLGSLSPAPINGVPVHDASNYSWQPEHMAPNWLQHGTSPSMHVSSGGFTFSPERGQASLMGLVPQQVDQSFYGTTSNARGNPYHHSSVQMEKPSMQQLPASSNSFPGNQYALFSDQVGLQDGRQGDQGKNVFGATTGQGLNTGFRPETLQEMTIQPNNAVMQESYDGRQERPGPSEISLEKSTAQAAPSQSVATLDATEEKILFGSDDSVWDIFGKSTNMGSVLDGTDSFGALPSLQSGSWSALMQSAVAETSSNDLGAQEEWSGLGVQNSEPSSRNMPSQIVNDGSKHQLAWADNNLQTASTLNSKSFPMSSDANINLDFFSIPGVQQSGVQIASEQTGKIHNHSSQKFVQQLTEERNKRLDCSPLQKPVAECVQIFGNVAHSPNMQVSAKSISGHQQDMDVLNLRVQPHNKPNGWSFIESASHSGEAMSKSQDIDCALQPSQNSDQRGAMSEEKGHGSFLGYPVPDANSEWGNLNSGLGSPQVNREGSELDNVAAITDSRTARVTEDSSQQLPNNHNLNLRRSTDSKVNSGPSKVQARYLQNQDMNPRTFDSSRNDCLDKGASEANILENSNVKETSNDSFHPNLSHPCSTGGMRDNVWLDVNDRHGGKQKSSVRISHKPSGIRKFQYHPMGDLDVEVEPSYGTKSVAHSQAMSQNISQGLKGHDRGYFGQSKFTGHATRESIETEKGCFPGIQVDEVPSRSSNQGSASDRSFVGSVLNKTAPMSQNMLELLPKTDQPREHGTATRLSPSERNLSSEMPDTETSDGSVGQFQHNRPPASQGFGLQLGLPSQRFTNPDRAMSSQSSPQRVSSLNSVLGSSEVGRKGHAWLDSRTCVQSSTHGESYGDIKNNVLSGSGQISNKAAPYNSQTNFSARFTSDYHLKSHLQSQNITSVGSQATPSASINAPFDGLGSLPKQTDDSSERVQTSQLQRKPALHIPKSAVDNDRASSETSQPSSSNQIRARDPGQQYPVLEALPAYPPSATPESPKQGSFTKMPDVWTSVSAPQHPLGAQSSWASQNLFKYHHQSNINSETSLPGTKKLDDQIAKAGGGGQSEFPGGPDKPQSFVGEEQPAKDQHLFPESDASQNPTTMPQDIDTLGHSLRPNNIVNQNHSLLNQVQSMKDIEVEPSNRSVKRFKGPCQDSALDTQPVSSEGAEQLSYGSDTMMKDAPVNRILVSSGDPKMLRFSPNTRDNCEAQVSSYDMMAYAQNDSQNFCSANNSAANLKGEHSQISPQMAPSWFDRYGSFKNEQMSPLYDARKTAMLKAAEKALIVGRPSDSMHALHSNEQVNAAAYPSQLDSACKSSKPIVSELISSHSLPPEITNQDLVVVRARKRKSMVFELLPWHREVKQVSQRSQNISVAEVEWVHAANRLIEKVEDEPEMFEDWPPGVRSKRRLILTRQLMQQLLLAPPRVILSADASKSYEAVAYFVARSVLGDACSTACIPESDTAILPDSESTLSEKLKKERNQSTLKYAEDFVIKTRKLENDLQSLDKRASILDLRLECQDLEKISVINRFAKFHGRGQADGTETSLSSDAIASSHKFFSQRYVIALPMPRNLPDRVQCLSL >KJB38713 pep chromosome:Graimondii2_0_v6:6:50606381:50615068:-1 gene:B456_006G268000 transcript:KJB38713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDRIHNFLGQEGLPQGQHHSQGLDTAWPGLNNNRWVGSQRQVGGPLVSSLKNFSVHQLAECDRGQTGQSSSRQHDLNFTQSGLRFETARSRSQNQSPTANGYLLGHQAFQTSQNEPNFLGVDTVSRCLSPLDSQIGNGPDLSKKNSLRLESTESPMNYDFFGGQQQISAQHSGMIQSLPRQQSGMNDMQLLQQNAMLKQMQELQRQQLLRPQFQLPDARQLGSANQVSSTVKHGLGSLSPAPINGVPVHDASNYSWQPEHMAPNWLQHGTSPSMHVSSGGFTFSPERGQASLMGLVPQQVDQSFYGTTSNARGNPYHHSSVQMEKPSMQQLPASSNSFPGNQYALFSDQVGLQDGRQGDQGKNVFGATTGQGLNTGFRPETLQEMTIQPNNAVMQESYDGRQERPGPSEISLEKSTAQAAPSQSVATLDATEEKILFGSDDSVWDIFGKSTNMGSVLDGTDSFGALPSLQSGSWSALMQSAVAETSSNDLGAQEEWSGLGVQNSEPSSRNMPSQIVNDGSKHQLAWADNNLQTASTLNSKSFPMSSDANINLDFFSIPGVQQSGVQIASEQTGKIHNHSSQKFVQQLTEERNKRLDCSPLQKPVAECVQIFGNVAHSPNMQVSAKSISGHQQDMDVLNLRVQPHNKPNGWSFIESASHSGEAMSKSQDIDCALQPSQNSDQRGAMSEEKGHGSFLGYPVPDANSEWGNLNSGLGSPQVNREGSELDNVAAITDSRTARVTEDSSQQLPNNHNLNLRRSTDSKVNSGPSKVQARYLQNQDMNPRTFDSSRNDCLDKGASEANILENSNVKETSNDSFHPNLSHPCSTGGMRDNVWLDVNDRHGGKQKSSVRISHKPSGIRKFQYHPMGDLDVEVEPSYGTKSVAHSQAMSQNISQGLKGHDRGYFGQSKFTGHATRESIETEKGCFPGIQVDEVPSRSSNQGSASDRSFVGSVLNKTAPMSQNMLELLPKTDQPREHGTATRLSPSERNLSSEMPDTETSDGSVGQFQHNRPPASQGFGLQLGLPSQRFTNPDRAMSSQSSPQRVSSLNSVLGSSEVGRKGHAWLDSRTCVQSSTHGESYGDIKNNVLSGSGQISNKAAPYNSQTNFSARFTSDYHLKSHLQSQNITSVGSQATPSASINAPFDGLGSLPKQTDDSSERVQTSQLQRKPALHIPKSAVDNDRASSETSQPSSSNQIRARDPGQQYPVLEALPAYPPSATPESPKQGSFTKMPDVWTSVSAPQHPLGAQSSWASQNLFKYHHQSNINSETSLPGTKKLDDQIAKAGGGGQSEFPGGPDKPQSFVGEEQPAKDQHLFPESDASQNPTTMPQDIDTLGHSLRPNNIVNQNHSLLNQVQSMKDIEVEPSNRSVKRFKGPCQDSALDTQPVSSEGAEQLSYGSDTMMKDAPVNRILVSSGDPKMLRFSPNTRDNCEAQVSSYDMMAYAQNDSQNFCSANNSAANLKGEHSQISPQMAPSWFDRYGSFKNEQMSPLYDARKTAMLKAAEKALIVGRPSDSMHALHSNEQVNAAAYPSQLDSACKSSKPIVSELISSHSLPPEITNQDLVVVRARKRKSMVFELLPWHREVKQVSQRSQNISVAEVEWVHAANRLIEKVEDEPEMFEDWPPGVRSKRRLILTRQLMQQLLLAPPRVILSADASKSYEAVAYFVARSVLGDACSTACIPESDTAILPDSESTLSEKLKKERNQSTLKYAEDFVIKTRKLENDLQSLDKRASILDLRLECQDLEKISVINRFAKFHGRGQADGTETSLSSDAIASSHKFFSQRYVIALPMPRNLPDRVQCLSL >KJB33641 pep chromosome:Graimondii2_0_v6:6:6184258:6189752:1 gene:B456_006G023600 transcript:KJB33641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKDETMDAVLKEAVDLENVPLEEVFQTLRCNRDGLTTEAAEQRLSIFGYNKLEEKQESKILKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLTINSTISFIEENNAGNAAAALMARLAPKAKVFRDGKWSEEEASILVPGDIISIKLGDIIPADARLLDGDPLKIDQSSLTGESLPVTKGPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQQGHFQKVLTAIGNFCICSIAVGMITEIIVMYPIQDRDYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSLQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEIFAKGVDPDTVVLMAARAARLENQDAIDAAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDNQGRMHRVSKGAPEQILNLAHNKSELERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESSGGPWQFIGLMPLFDPPRHDSADTIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQNKEESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGIILGGYLAMMTVIFFWAAYKTDFFPSTFGVKSLQKTDRKDIKMLASAVYLQVSIISQALIFVTRARSWSFLERPGFLLVLAFVVAQLIATLIAVYANWGFAAIEGIGWGWAGVIWLYNLIFYIPLDFIKFFIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDTKMFGDRTSYNELNQMAEEAKRRAEIARLRELTTLKGHVESVVRLKGLDIDTIQQAYTV >KJB33644 pep chromosome:Graimondii2_0_v6:6:6184411:6189740:1 gene:B456_006G023600 transcript:KJB33644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKDETMDAVLKEAVDLENVPLEEVFQTLRCNRDGLTTEAAEQRLSIFGYNKLEEKQESKILKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLTINSTISFIEENNAGNAAAALMARLAPKAKVFRDGKWSEEEASILVPGDIISIKLGDIIPADARLLDGDPLKIDQSSLTGESLPVTKGPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQQGHFQKVLTAIGNFCICSIAVGMITEIIVMYPIQDRDYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSLQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEIFAKGVDPDTVVLMAARAARLENQDAIDAAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDNQGRMHRVSKGAPEQILNLAHNKSELERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESSGGPWQFIGLMPLFDPPRHDSADTIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQNKEESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGIILGGYLAMMTVIFFWAAYKTDFFPSTFGVKSLQKTDRKDIKMLASAVYLQVSIISQALIFVTRARSWSFLERPGFLLVLAFVVAQLVSSNILV >KJB33642 pep chromosome:Graimondii2_0_v6:6:6184411:6189740:1 gene:B456_006G023600 transcript:KJB33642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKDETMDAVLKEAVDLENVPLEEVFQTLRCNRDGLTTEAAEQRLSIFGYNKLEEKQESKILKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLTINSTISFIEENNAGNAAAALMARLAPKAKVFRDGKWSEEEASILVPGDIISIKLGDIIPADARLLDGDPLKIDQSSLTGESLPVTKGPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQQGHFQKVLTAIGNFCICSIAVGMITEIIVMYPIQDRDYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSLQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEIFAKGVDPDTVVLMAARAARLENQDAIDAAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDNQGRMHRVSKGAPEQILNLAHNKSELERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESSGGPWQFIGLMPLFDPPRHDSADTIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQNKEESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGIILGGYLAMMTVIFFWAAYKTDFFPSTFGVKSLQKTDRKDIKMLASAVYLQVSIISQALIFVTRARSWSFLERPGFLLVLAFVVAQLIATLIAVYANWGFAAIEGIGWGWAGVIWLYNLIFYIPLDFIKFFIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDTKMFGDRTSYNELNQMAEEAKRRAEIARYASINHKLTLNFAIKELQTRI >KJB33643 pep chromosome:Graimondii2_0_v6:6:6184624:6189017:1 gene:B456_006G023600 transcript:KJB33643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKDETMDAVLKEAVDLENVPLEEVFQTLRCNRDGLTTEAAEQRLSIFGYNKLEEKQESKILKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLTINSTISFIEENNAGNAAAALMARLAPKAKVFRDGKWSEEEASILVPGDIISIKLGDIIPADARLLDGDPLKIDQSSLTGESLPVTKGPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQQGHFQKVLTAIGNFCICSIAVGMITEIIVMYPIQDRDYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSLQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEIFAKGVDPDTVVLMAARAARLENQDAIDAAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDNQGRMHRVSKGAPEQILNLAHNKSELERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESSGGPWQFIGLMPLFDPPRHDSADTIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQNKEESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGIILGGYLAMMTVIFFWAAYKTDFFPSTFGVKSLQKTDRKDIKMLASAVYLQVSIISQALIFVTRARSWSFLERPGFLLVLAFVVAQLIATLIAVYANWGFAAIEGIGWGWAGVIWLYNLIFYIPLDFIKFFIRYALSGKAWDLVIEQRVCILFN >KJB35204 pep chromosome:Graimondii2_0_v6:6:34864813:34866923:1 gene:B456_006G106100 transcript:KJB35204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD3-1D MSPSMKETSLETMEKKGEDVNGIMKKEQDKLFDPSEAPPFRINDIRAAIPKHCWVKNPWRSMSYVFRDAIVISALLAAALYFRSWFFWPVYWVAQGTMFWALFVLGHDCGHGSFSDNPILNNVMGHILHSTILVPYHGWRISHRTHHQNHGNVEKDESWVPMSEDLYNGLSSRTKFLRFKIPFPLFAYPVYLWHRSPGKTGSHFNPYSNLFAPQERKHIMTSTTCWIAMVVFLVYLSFVIGPSMTFKLYGVPYLIFVAWLDVVTYLHHHGYEQKLPWYRGKVVITTPLHCI >KJB35200 pep chromosome:Graimondii2_0_v6:6:34862675:34866980:1 gene:B456_006G106100 transcript:KJB35200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD3-1D MSPSMKETSLETMEKKGEDVNGIMKKEQDKLFDPSEAPPFRINDIRAAIPKHCWVKNPWRSMSYVFRDAIVISALLAAALYFRSWFFWPVYWVAQGTMFWALFVLGHDCGHGSFSDNPILNNVMGHILHSTILVPYHGWRISHRTHHQNHGNVEKDESWVPMSEDLYNGLSSRTKFLRFKIPFPLFAYPVYLWHRSPGKTGSHFNPYSNLFAPQERKHIMTSTTCWIAMVVFLVYLSFVIGPSMTFKLYGVPYLIFVAWLDVVTYLHHHGYEQKLPWYRGKEWSYLRGGLTTIDRDYGIFNGIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVIGKYYREPKKSGPIPFHLIENLVSSMKQDHYVSNSGEIVFYQTDPNLFSPPKSA >KJB35205 pep chromosome:Graimondii2_0_v6:6:34864813:34866923:1 gene:B456_006G106100 transcript:KJB35205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD3-1D MSYVFRDAIVISALLAAALYFRSWFFWPVYWVAQGTMFWALFVLGHDCGHGSFSDNPILNNVMGHILHSTILVPYHGWRISHRTHHQNHGNVEKDESWVPMSEDLYNGLSSRTKFLRFKIPFPLFAYPVYLWHRSPGKTGSHFNPYSNLFAPQERKHIMTSTTCWIAMVVFLVYLSFVIGPSMTFKLYGVPYLIFVAWLDVVTYLHHHGYEQKLPWYRGKEWSYLRGGLTTIDRDYGIFNGIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVIGKYYREPKKSGPIPFHLIENLVSSMKQDHYVSNSGEIVFYQTDPNLFSPPKSA >KJB35208 pep chromosome:Graimondii2_0_v6:6:34864813:34866923:1 gene:B456_006G106100 transcript:KJB35208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD3-1D MGHILHSTILVPYHGWRISHRTHHQNHGNVEKDESWVPMSEDLYNGLSSRTKFLRFKIPFPLFAYPVYLWHRSPGKTGSHFNPYSNLFAPQERKHIMTSTTCWIAMVVFLVYLSFVIGPSMTFKLYGVPYLIFVAWLDVVTYLHHHGYEQKLPWYRGKEWSYLRGGLTTIDRDYGIFNGIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVIGKYYREPKKSGPIPFHLIENLVSSMKQDHYVSNSGEIVFYQTDPNLFSPPKSA >KJB35202 pep chromosome:Graimondii2_0_v6:6:34864813:34866923:1 gene:B456_006G106100 transcript:KJB35202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD3-1D MSPSMKETSLETMEKKGEDVNGIMKKEQDKLFDPSEAPPFRINDIRAAIPKHCWVKNPWRSMSYVFRDAIVISALLAAALYFRSWFFWPVYWVAQGTMFWALFVLGHDCGHGSFSDNPILNNVMGHILHSTILVPYHGWRISHRTHHQNHGNVEKDESWVPWHRSPGKTGSHFNPYSNLFAPQERKHIMTSTTCWIAMVVFLVYLSFVIGPSMTFKLYGVPYLIFVAWLDVVTYLHHHGYEQKLPWYRGKEWSYLRGGLTTIDRDYGIFNGIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVIGKYYREPKKSGPIPFHLIENLVSSMKQDHYVSNSGEIVFYQTDPNLFSPPKSA >KJB35206 pep chromosome:Graimondii2_0_v6:6:34865465:34866676:1 gene:B456_006G106100 transcript:KJB35206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD3-1D MELHLNNFLYRRISHRTHHQNHGNVEKDESWVPMSEDLYNGLSSRTKFLRFKIPFPLFAYPVYLWHRSPGKTGSHFNPYSNLFAPQERKHIMTSTTCWIAMVVFLVYLSFVIGPSMTFKLYGVPYLIFVAWLDVVTYLHHHGYEQKLPWYRGKEWSYLRGGLTTIDRDYGIFNGIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVIGKYYREPKKSGPIPFHLIENLVSSMKQDHYVSNSGEIVFYQTDPNLFSPPKSA >KJB35201 pep chromosome:Graimondii2_0_v6:6:34864914:34866076:1 gene:B456_006G106100 transcript:KJB35201 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD3-1D MSPSMKETSLETMEKKGEDVNGIMKKEQDKLFDPSEAPPFRINDIRAAIPKHCWVKNPWRSMSYVFRDAIVISALLAAALYFRSWFFWPVYWVAQGTMFWALFVLGHDCGHGSFSDNPILNNVMGHILHSTILVPYHGWRISHRTHHQNHGNVEKDESWVPMSEDLYNGLSSRTKFLRFKIPFPLFAYPVYLWHRSPGKTGSHFNPYSNLFAPQERKHIMTSTTCWIAMVVFLVYLSFVIGPSMTFKLYGVPYLVCHFYQIHNIYIYL >KJB35207 pep chromosome:Graimondii2_0_v6:6:34864813:34866980:1 gene:B456_006G106100 transcript:KJB35207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD3-1D MSPSMKETSLETMEKKGEDVNGIMKKEQDKLFDPSEAPPFRINDIRAAIPKHCWVKNPWRSMSYVFRDAIVISALLAAALYFRSWFFWPVYWVAQGTMFWALFVLGHDCGHGSFSDNPILNNVMGHILHSTILVPYHGWRISHRTHHQNHGNVEKDESWVPMSEDLYNGLSSRTKFLRFKIPFPLFAYPVYLWHRSPGKTGSHFNPYSNLFAPQERKHIMTSTTCWIAMVVFLVYLSFVIGPSMTFKLYGVPYLIFVAWLDVVTYLHHHGYEQKLPWYRGKEWSYLRGGLTTIDRDYGIFNGIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVIGKYYREPKKSGPIPFHLIENLVSSMKQDHYVSNSGEIVFYQTDPNLFSPPKSA >KJB35203 pep chromosome:Graimondii2_0_v6:6:34864813:34866923:1 gene:B456_006G106100 transcript:KJB35203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD3-1D MSPSMKETSLETMEKKGEDVNGIMKKEQDKLFDPSEAPPFRINDIRAAIPKHCWVKNPWRSMSYVFRDAIVISALLAAALYFRSWFFWPVYWVAQGTMFWALFVLGHDCGHGSFSDNPILNNVMGHILHSTILVPYHGWRISHRTHHQNHGNVEKDESWVPMSEDLYNGLSSRTKFLRFKIPFPLFAYPVYLWHRSPGKTGSHFNPYSNLFAPQERKHIMTSTTCWIAMVVFLVYLSFVIGPSMTFKLYGVPYLVCHFYQIHNIYIYL >KJB36259 pep chromosome:Graimondii2_0_v6:6:40744456:40745688:-1 gene:B456_006G149000 transcript:KJB36259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIDVEVPKYFICPISLQIMRDPVTAITGITYDRESIEQWLLKGKSTNCPVTQQPLPTVSDLTPNHTLRRLIQAWCNENASLGVDRIPTPKPSIDKLHFLKLIKQLQHPDSKMKALKELDLLAAKNERNRKYMVEAGVPKAMLSFIVNCFKEDCVSGLEEALSVLFLIRIPSAEAKLLPKQNDQIIKSLIWVLGCEFNTQVMVKSHAVSALKSIIETASSVVLERLEPKFFEMIVGVLKQCTTRITQQGINSALHVLLDACPWGRNRLMMVESGAVSALIELELGSPEKRTTELILGILFHLCSCADGRAEFLRHKGGIAVVTKRIMRVSPAADDRAVLILSLISKFSATSWVVHEMLEVGTVTKLCMLLQLDCATYLKEKTMEILRSHSDDWLKFPCIDKTVLTRYIK >KJB34085 pep chromosome:Graimondii2_0_v6:6:15388780:15393011:-1 gene:B456_006G047300 transcript:KJB34085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHGELRLIGENYDPGFIGMMKEDDGYGSSDDFEGALGNDQDTADNGRPPKKKKKFHRHNPHQIHELESFFKECPHPDEKQRRELSRRLALESKQIKFWFQNRRTQMKTQLERHENVFLKQENDKLRAENDLLRQAIASAICNNCGVPAVPDEISYEPSQLMIENSRLKDELNRARALTNKFLGRHLSSSSANPSPSPSQGLNSNVEVVVRRTGFCGLNNGSTSLPMGFEFGHGATMPLMNPSFAYEMPYDKSALVDVALAAMDELIKMAQMGNPLWIKGFGDGMETLNLEEYKRTFSSFIGMKPSGFTTEATRETAMVPLRGLALVDTLMDANRWAEMFPCMISRAVTIDVLSSGKGVTRDNALQLMEAEFQVLSPLVPIRQIQFIRFCKQHSDSVWAIVDVSINLSNAANALMFVNCRRLPSGCVIQDMDNKYSKVTWVEHSEYDESTVHHLLRPLLSSGFGFGAQRWIATLRRQYSSLAQLMSPDIHGEDINTVGKKSMLKLAQRMAYNFSAGIGASSVNKWDNLNVGNVGEDVRVMTRKNVNDPGEPLGIVLSAATSVWMPITQQTLFGFLRNERMRNQWDILSSGRPMQAMFSVAKGPGQGNCVSILRGAAVNGSDTNMLILQETWSDACGALIVYAPVDASSIRVVMNGGDSSHVALLPSGFAILPGVQTDGPSMQPDIDENTSDGCILTVGFQILVNSVPTAKLTVESVETVNHLLTCTVEKIKAALSVTQLGSVE >KJB34084 pep chromosome:Graimondii2_0_v6:6:15388780:15392734:-1 gene:B456_006G047300 transcript:KJB34084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDNRTAFLHSKRMASHGELRLIGENYDPGFIGMMKEDDGYGSSDDFEGALGNDQDTADNGRPPKKKKKFHRHNPHQIHELESFFKECPHPDEKQRRELSRRLALESKQIKFWFQNRRTQMKTQLERHENVFLKQENDKLRAENDLLRQAIASAICNNCGVPAVPDEISYEPSQLMIENSRLKDELNRARALTNKFLGRHLSSSSANPSPSPSQGLNSNVEVVVRRTGFCGLNNGSTSLPMGFEFGHGATMPLMNPSFAYEMPYDKSALVDVALAAMDELIKMAQMGNPLWIKGFGDGMETLNLEEYKRTFSSFIGMKPSGFTTEATRETAMVPLRGLALVDTLMDANRWAEMFPCMISRAVTIDVLSSGKGVTRDNALQLMEAEFQVLSPLVPIRQIQFIRFCKQHSDSVWAIVDVSINLSNAANALMFVNCRRLPSGCVIQDMDNKYSKVTWVEHSEYDESTVHHLLRPLLSSGFGFGAQRWIATLRRQYSSLAQLMSPDIHGEDINTVGKKSMLKLAQRMAYNFSAGIGASSVNKWDNLNVGNVGEDVRVMTRKNVNDPGEPLGIVLSAATSVWMPITQQTLFGFLRNERMRNQWDILSSGRPMQAMFSVAKGPGQGNCVSILRGAAVNGSDTNMLILQETWSDACGALIVYAPVDASSIRVVMNGGDSSHVALLPSGFAILPGVQTDGPSMQPDIDENTSDGCILTVGFQILVNSVPTAKLTVESVETVNHLLTCTVEKIKAALSVTQLGSVE >KJB38763 pep chromosome:Graimondii2_0_v6:6:50804989:50809948:1 gene:B456_006G270900 transcript:KJB38763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAFKSTTRRASLANSPGDSSSSNRSSSGHRRARSLSRFSRRIPGVGDDDDEPTPAPRSRGRFVNTVRGSGFPEISLDDLAVELFDSSLRGRSVSRMDDVTPRNGKGGGGGESVAQRRGRSVSRQGSRGSVVNNGAGGRFTSDTASSRRRRSVSVVRYQISDSESDLDQLQNPSNRASMKSSIGGNNQLSSTHKPTASNNRQGLRRSLSQKDLKYHDGYSSHSSAITDDEGRDAALSNKNGAERTIKAVYAQKKGDHPIGDDVNGGLYAAMRKELRHAVEEIKTQLEQSMVKTKNSGIANVDTLQPDNSDIIQAISTARRKCTTKLEKSEKRRQDLLAEILLEEQHGRELSKIVKELLPEPKSSIVEKPGRARKVQIPLTLTAIMHDFVIYMAIFESAPFSLLNPLDSDVP >KJB38761 pep chromosome:Graimondii2_0_v6:6:50804924:50809972:1 gene:B456_006G270900 transcript:KJB38761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAFKSTTRRASLANSPGDSSSSNRSSSGHRRARSLSRFSRRIPGVGDDDDEPTPAPRSRGRFVNTVRGSGFPEISLDDLAVELFDSSLRGRSVSRMDDVTPRNGKGGGGGESVAQRRGRSVSRQGSRGSVVNNGAGGRFTSDTASSRRRRSVSVVRYQISDSESDLDQLQNPSNRASMKSSIGGNNQLSSTHKPTASNNRQGLRRSLSQKDLKYHDGYSSHSSAITDDEGRDAALSNKNGAERTIKAVYAQKKGDHPIGDDVNGGLYAAMRKELRHAVEEIKTQLEQSMVKTKNSGIANVDTLQPDNSDIIQAISTARRKCTTKLEKSEKRRQDLLAEILLEEQHGRELSKIVKELLPEPKSSIVEKPGRARKRSNDRYRMSRQLTEEAEKYIEDFISNVEDTDISSLDGDRSDTTSSSIGGITKTPIFQNPAVFKSVPVEMDGVLLPWLQWETSNDVSPLSCENKCSISQEAVSGQDLSDQLTNSSSRGSWSPEFSDCTPSQNVSFGSGNYQSQTTSSSNKMMMKLDLDDYINTGSDEDFLLEMWSQRHGINSGKLLLCNHMFF >KJB38762 pep chromosome:Graimondii2_0_v6:6:50804989:50808842:1 gene:B456_006G270900 transcript:KJB38762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAFKSTTRRASLANSPGDSSSSNRSSSGHRRARSLSRFSRRIPGVGDDDDEPTPAPRSRGRFVNTVRGSGFPEISLDDLAVELFDSSLRGRSVSRMDDVTPRNGKGGGGGESVAQRRGRSVSRQGSRGSVVNNGAGGRFTSDTASSRRRRSVSVVRYQISDSESDLDQLQNPSNRASMKSSIGGNNQLSSTHKPTASNNRQGLRRSLSQKDLKYHDGYSSHSSAITDDEGRDAALSNKNGAERTIKAVYAQKKGDHPIGDDVNGGLYAAMRKELRHAVEEIKTQLEQSMVKTKNSGIANVDTLQPDNSDIIQAISTARRKCTTKLEKSEKRRQDLLAEILLEEQHGRELSKIVKELLPEPKSSIVEKPGRARKVQIPLTLTAIMHDFVIYMAIFESAPFSLLNPLDSDVP >KJB38764 pep chromosome:Graimondii2_0_v6:6:50806430:50809972:1 gene:B456_006G270900 transcript:KJB38764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSIGGNNQLSSTHKPTASNNRQGLRRSLSQKDLKYHDGYSSHSSAITDDEGRDAALSNKNGAERTIKAVYAQKKGDHPIGDDVNGGLYAAMRKELRHAVEEIKTQLEQSMVKTKNSGIANVDTLQPDNSDIIQAISTARRKCTTKLEKSEKRRQDLLAEILLEEQHGRELSKIVKELLPEPKSSIVEKPGRARKRSNDRYRMSRQLTEEAEKYIEDFISNVEDTDISSLDGDRSDTTSSSIGGITKTPIFQNPAVFKSVPVEMDGVLLPWLQWETSNDVSPLSCENKCSISQEAVSGQDLSDQLTNSSSRGSWSPEFSDCTPSQNVSFGSGNYQSQTTSSSNKMMMKLDLDDYINTGSDEDFLLEMWSQRHGINSGKLLLCNHMFF >KJB36457 pep chromosome:Graimondii2_0_v6:6:41860299:41861506:1 gene:B456_006G159300 transcript:KJB36457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNGAFASRPQPTLNSHYDYSYDQCPVQVMKWKGLLVQQATREQVVSTVDTKISGEETVESTSVPSSNKTTVAASPHVPIPECNCVFNLLTGGAKLPSYP >KJB37055 pep chromosome:Graimondii2_0_v6:6:44454372:44461657:-1 gene:B456_006G187700 transcript:KJB37055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGEDEERKKVRQMWTVPTRATEVLNGGGVASPSFSSSFTVNSFSKDGRKISVGDCALFKPPLDSPPFIGIIRCLTASKENKLKLGVNWLYRPAEVKLGEGILLEAAPNEIFYSFHKDEIPAASLLHRCKVAFLPKDVELPSGFCSFVCRRVYDITNKCLWWLTDQDYINEQQEEVDQLLHKTRLEMHATVPPDGCSPKPVNGPTSTSQLKPSLENVQNTASSFPSQGKGKKRERGDQGSELIKRERTGKIDDGDSGHGRREINLKFEIAKITEKGGLEDYEDVEKLVHLMGSERNEKKIDLVSRSMLASVISATDKFDCLNRFVQLRGLRVFDEWLQEVHKGKIGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIEIQKKARSLVDTWKKRVEAEMDAKCVSSHGVPWSARSRLSDVSQSGSKHSGSTEVAVKSSATQLSASKTVSVKLTQGETATKSASALVGPMKAAISPASASANLKDVQARGATVVGTSDPPTTAKDEKSSSSSQSHNNSQSCSSDHAKTGGVSLKEDARSSAAGSGSVTKISGNSSRHRKSNNGLPGSSGVQRETGSGKNSLHRNLASEKVLQSGLTCEKAVDAPVAESNSHKFIVKIPNRGRSPVQSASGGSLEDHSVMNSRDSSPVLSEAHEQFDRNLKEKNDSYRTNVATDANTESWQSNDLKDMLTGFDEGDGSPAAVPDEENCRTGDDARKTNEVTKTASSSGNEHKSGKVQEPSFSSINALIDSCVKFSESNVCMPVGDDAGMNLLASVATGVDVASLIDSPQRNTSLVELSYTGNDTKLKPSSGDEVVRDQNQSVESANEHLKQAVGGNSWVKNADSQIGSSQEKSGELNENLTSSSIPYTADPCLENDKFKENVTTALINLPSASTVERTTDIGDCKEHLEKKAGGVDGDSSLGTKQKWCSSVANEDKVIDPFVEVEKKEVLGSSSVPSVEVDVDNKKNVSEGSERSSQTHQKSPVVGHSPKGTEKEALPPGFGKDTVFENVDEVKAEKDVETTAPAHTGECETVSAQKGELVEQKLEDNEGIEPCAGPSPCVSPTVRDKERPRGSNLTGEEADEAEEGTSATRDAPVTGGTDTDAKVEFDLNEGFNADEGKFGDPNNLTASGCSAPVQLISSLPFPVSSVSSDVPSTITVAAAAKGPFVPPDNLLRTKGALGWKGSAATSAFRPAEPRKILDMPLGTSNITIADASTRKQSRPPLDIDLNVPDERVLQDLASRSFAKGTDSALDLTSARDLACGMVGSPPTRSSGGLDLDLNRVDEPADLGNHSTGYSRRLDVPMQPIKSLSGILNSEVTVHRDFDLNNGPAVDEVSTEPSLFSHNARSSYAPSQPSASSLRMNSTEVANFSSWFPTENTYSSIAIQSILPDREQPFPIVATGGPHRVLGPPIGATPFNPDVYREPMLSSSPAVPFPSTPFQYPVFPFGTTFPLPSTSFSVSSTTYVDSSPGGRFCFPPVHSQLLRPAGAVPSHYPRPYVVSLPYGSNSSGAENGRHWGRQGLDLNAGPGVPDIEGRDESAPLASRQLSVASSQVQAEEQARIYQVPGSILKRKEPEGGWNGYKQSSWQ >KJB37059 pep chromosome:Graimondii2_0_v6:6:44453168:44461975:-1 gene:B456_006G187700 transcript:KJB37059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGEDEERKKVRQMWTVPTRATEVLNGGGVASPSFSSSFTVNSFSKDGRKISVGDCALFKPPLDSPPFIGIIRCLTASKENKLKLGVNWLYRPAEVKLGEGILLEAAPNEIFYSFHKDEIPAASLLHRCKVAFLPKDVELPSGFCSFVCRRVYDITNKCLWWLTDQDYINEQQEEVDQLLHKTRLEMHATVPPDGCSPKPVNGPTSTSQLKPSLENVQNTASSFPSQGKGKKRERGDQGSELIKRERTGKIDDGDSGHGRREINLKFEIAKITEKGGLEDYEDVEKLVHLMGSERNEKKIDLVSRSMLASVISATDKFDCLNRFVQLRGLRVFDEWLQEVHKGKIGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIEIQKKARSLVDTWKKRVEAEMDAKCVSSHGVPWSARSRLSDVSQSGSKHSGSTEVAVKSSATQLSASKTVSVKLTQGETATKSASALVGPMKAAISPASASANLKDVQARGATVVGTSDPPTTAKDEKSSSSSQSHNNSQSCSSDHAKTGGVSLKEDARSSAAGSGSVTKISGNSSRHRKSNNGLPGSSGVQRETGSGKNSLHRNLASEKVLQSGLTCEKAVDAPVAESNSHKFIVKIPNRGRSPVQSASGGSLEDHSVMNSRDSSPVLSEAHEQFDRNLKEKNDSYRTNVATDANTESWQSNDLKDMLTGFDEGDGSPAAVPDEENCRTGDDARKTNEVTKTASSSGNEHKSGKVQEPSFSSINALIDSCVKFSESNVCMPVGDDAGMNLLASVATGVDVASLIDSPQRNTSLVELSYTGNDTKLKPSSGDEVVRDQNQSVESANEHLKQAVGGNSWVKNADSQIGSSQEKSGELNENLTSSSIPYTADPCLENDKFKENVTTALINLPSASTVERTTDIGDCKEHLEKKAGGVDGDSSLGTKQKWCSSVANEDKVIDPFVEVEKKEVLGSSSVPSVEVDVDNKKNVSEGSERSSQTHQKSPVVGHSPKGTEKEALPPGFGKDTVFENVDEVKAEKDVETTAPAHTGECETVSAQKGELVEQKLEDNEGIEPCAGPSPCVSPTVRDKERPRGSNLTGEEADEAEEGTSATRDAPVTGGTDTDAKVEFDLNEGFNADEGKFGDPNNLTASGCSAPVQLISSLPFPVSSVSSDVPSTITVAAAAKGPFVPPDNLLRTKGALGWKGSAATSAFRPAEPRKILDMPLGTSNITIADASTRKQSRPPLDIDLNVPDERVLQDLASRSFAKGTDSALDLTSARDLACGMVGSPPTRSSGGLDLDLNRVDEPADLGNHSTGYSRRLDVPMQPIKSLSGILNSEVTVHRDFDLNNGPAVDEVSTEPSLFSHNARSSYAPSQPSASSLRMNSTEVANFSSWFPTENTYSSIAIQSILPDREQPFPIVATGGPHRVLGPPIGATPFNPDVYREPMLSSSPAVPFPSTPFQYPVFPFGTTFPLPSTSFSVSSTTYVDSSPGGRFCFPPVHSQLLRPAGAVPSHYPRPYVVSLPYGSNSSGAENGRHWGRQGLDLNAGPGVPDIEGRDESAPLASRQLSVASSQVQAEEQARIYQVPGSILKRKEPEGGWNGYKQSSWQ >KJB37058 pep chromosome:Graimondii2_0_v6:6:44453168:44461975:-1 gene:B456_006G187700 transcript:KJB37058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGEDEERKKVRQMWTVPTRATEVLNGGGVASPSFSSSFTVNSFSKDGRKISVGDCALFKPPLDSPPFIGIIRCLTASKENKLKLGVNWLYRPAEVKLGEGILLEAAPNEIFYSFHKDEIPAASLLHRCKVAFLPKDVELPSGFCSFVCRRVYDITNKCLWWLTDQDYINEQQEEVDQLLHKTRLEMHATVPPDGCSPKPVNGPTSTSQLKPSLENVQNTASSFPSQGKGKKRERGDQGSELIKRERTGKIDDGDSGHGRREINLKFEIAKITEKGGLEDYEDVEKLVHLMGSERNEKKIDLVSRSMLASVISATDKFDCLNRFVQLRGLRVFDEWLQEVHKGKIGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIEIQKKARSLVDTWKKRVEAEMDAKCVSSHGVPWSARSRLSDVSQSGSKHSGSTEVAVKSSATQLSASKTVSVKLTQGETATKSASALVGPMKAAISPASASANLKDVQARGATVVGTSDPPTTAKDEKSSSSSQSHNNSQSCSSDHAKTGGVSLKEDARSSAAGSGSVTKISGNSSRHRKSNNGLPGSSGVQRETGSGKNSLHRNLASEKVLQSGLTCEKAVDAPVAESNSHKFIVKIPNRGRSPVQSASGGSLEDHSVMNSRDSSPVLSEAHEQFDRNLKEKNDSYRTNVATDANTESWQSNDLKDMLTGFDEGDGSPAAVPDEENCRTGDDARKTNEVTKTASSSGNEHKSGKVQEPSFSSINALIDSCVKFSESNVCMPVGDDAGMNLLASVATGVDVASLIDSPQRNTSLVELSYTGNDTKLKPSSGDEVVRDQNQSVESANEHLKQAVGGNSWVKNADSQIGSSQEKSGELNENLTSSSIPYTADPCLENDKFKENVTTALINLPSASTVERTTDIGDCKEHLEKKAGGVDGDSSLGTKQKWCSSVANEDKVIDPFVEVEKKEVLGSSSVPSVEVDVDNKKNVSEGSERSSQTHQKSPVVGHSPKGTEKEALPPGFGKDTVFENVDEVKAEKDVETTAPAHTGECETVSAQKGELVEQKLEDNEGIEPCAGPSPCVSPTVRDKERPRGSNLTGEEADEAEEGTSATRDAPVTGGTDTDAKVEFDLNEGFNADEGKFGDPNNLTASGCSAPVQLISSLPFPVSSVSSDVPSTITVAAAAKGPFVPPDNLLRTKGALGWKGSAATSAFRPAEPRKILDMPLGTSNITIADASTRKQSRPPLDIDLNVPDERVLQDLASRSFAKGTDSALDLTSARDLACGMVGSPPTRSSGGLDLDLNRVDEPADLGNHSTGYSRRLDVPMQPIKSLSGILNSEVTVHRDFDLNNGPAVDEVSTEPSLFSHNARSSYAPSQPSASSLRMNSTEVANFSSWFPTENTYSSIAIQSILPDREQPFPIVATGGPHRVLGPPIGATPFNPDVYREPMLSSSPAVPFPSTPFQYPVLFSSSSFAIVTTCWCCSIPLPEALCC >KJB37054 pep chromosome:Graimondii2_0_v6:6:44454372:44461657:-1 gene:B456_006G187700 transcript:KJB37054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGEDEERKKVRQMWTVPTRATEVLNGGGVASPSFSSSFTVNSFSKDGRKISVGDCALFKPPLDSPPFIGIIRCLTASKENKLKLGVNWLYRPAEVKLGEGILLEAAPNEIFYSFHKDEIPAASLLHRCKVAFLPKDVELPSGFCSFVCRRVYDITNKCLWWLTDQDYINEQQEEVDQLLHKTRLEMHATVPPDGCSPKPVNGPTSTSQLKPSLENVQNTASSFPSQGKGKKRERGDQGSELIKRERTGKIDDGDSGHGRREINLKFEIAKITEKGGLEDYEDVEKLVHLMGSERNEKKIDLVSRSMLASVISATDKFDCLNRFVQLRGLRVFDEWLQEVHKGKIGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIEIQKKARSLVDTWKKRVEAEMDAKCVSSHGVPWSARSRLSDVSQSGSKHSGSTEVAVKSSATQLSASKTVSVKLTQGETATKSASALVGPMKAAISPASASANLKDVQARGATVVGTSDPPTTAKDEKSSSSSQSHNNSQSCSSDHAKTGGVSLKEDARSSAAGSGSVTKISGNSSRHRKSNNGLPGSSGVQRETGSGKNSLHRNLASEKVLQSGLTCEKAVDAPVAESNSHKFIVKIPNRGRSPVQSASGGSLEDHSVMNSRDSSPVLSEAHEQFDRNLKEKNDSYRTNVATDANTESWQSNDLKDMLTGFDEGDGSPAAVPDEENCRTGDDARKTNEVTKTASSSGNEHKSGKVQEPSFSSINALIDSCVKFSESNVCMPVGDDAGMNLLASVATGVDVASLIDSPQRNTSLVELSYTGNDTKLKPSSGDEVVRDQNQSVESANEHLKQAVGGNSWVKNADSQIGSSQEKSGELNENLTSSSIPYTADPCLENDKFKENVTTALINLPSASTVERTTDIGDCKEHLEKKAGGVDGDSSLGTKQKWCSSVANEDKVIDPFVEVEKKEVLGSSSVPSVEVDVDNKKNVSEGSERSSQTHQKSPVVGHSPKGTEKEALPPGFGKDTVFENVDEVKAEKDVETTAPAHTGECETVSAQKGELVEQKLEDNEGIEPCAGPSPCVSPTVRDKERPRGSNLTGEEADEAEEGTSATRDAPVTGGTDTDAKVEFDLNEGFNADEGKFGDPNNLTASGCSAPVQLISSLPFPVSSVSSDVPSTITVAAAAKGPFVPPDNLLRTKGALGWKGSAATSAFRPAEPRKILDMPLGTSNITIADASTRKQSRPPLDIDLNVPDERVLQDLASRSFAKGTDSALDLTSARDLACGMVGSPPTRSSGGLDLDLNRVDEPADLGNHSTGYSRRLDVPMQPIKSLSGILNSEVTVHRDFDLNNGPAVDEVSTEPSLFSHNARSSYAPSQPSASSLRMNSTEVANFSSWFPTENTYSSIAIQSILPDREQPFPIVATGGPHRVLGPPIGATPFNPDVYREPMLSSSPAVPFPSTPFQYPVFPFGTTFPLPSTSFSVSSTTYVDSSPGGRFCFPPVHSQLLRPAGAVPSHYPRPYVVSLPYGSNSSGAENGRHWGRQGLDLNAGPGVPDIEGRDESAPLASRQLSVASSQVQAEEQARIYQVPGSILKRKEPEGGWNGYKQSSWQ >KJB37050 pep chromosome:Graimondii2_0_v6:6:44454372:44461657:-1 gene:B456_006G187700 transcript:KJB37050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGEDEERKKVRQMWTVPTRATEVLNGGGVASPSFSSSFTVNSFSKDGRKISVGDCALFKPPLDSPPFIGIIRCLTASKENKLKLGVNWLYRPAEVKLGEGILLEAAPNEIFYSFHKDEIPAASLLHRCKVAFLPKDVELPSGFCSFVCRRVYDITNKCLWWLTDQDYINEQQEEVDQLLHKTRLEMHATVPPDGCSPKPVNGPTSTSQLKPSLENVQNTASSFPSQGKGKKRERGDQGSELIKRERTGKIDDGDSGHGRREINLKFEIAKITEKGGLEDYEDVEKLVHLMGSERNEKKIDLVSRSMLASVISATDKFDCLNRFVQLRGLRVFDEWLQEVHKGKIGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIEIQKKARSLVDTWKKRVEAEMDAKCVSSHGVPWSARSRLSDVSQSGSKHSGSTEVAVKSSATQLSASKTVSVKLTQGETATKSASALVGPMKAAISPASASANLKDVQARGATVVGTSDPPTTAKDEKSSSSSQSHNNSQSCSSDHAKTGGVSLKEDARSSAAGSGSVTKISGNSSRHRKSNNGLPGSSGVQRETGSGKNSLHRNLASEKVLQSGLTCEKAVDAPVAESNSHKFIVKIPNRGRSPVQSASGGSLEDHSVMNSRDSSPVLSEAHEQFDRNLKEKNDSYRTNVATDANTESWQSNDLKDMLTGFDEGDGSPAAVPDEENCRTGDDARKTNEVTKTASSSGNEHKSGKVQEPSFSSINALIDSCVKFSESNVCMPVGDDAGMNLLASVATGVDVASLIDSPQRNTSLVELSYTGNDTKLKPSSGDEVVRDQNQSVESANEHLKQAVGGNSWVKNADSQIGSSQEKSGELNENLTSSSIPYTADPCLENDKFKENVTTALINLPSASTVERTTDIGDCKEHLEKKAGGVDGDSSLGTKQKWCSSVANEDKVIDPFVEVEKKEVLGSSSVPSVEVDVDNKKNVSEGSERSSQTHQKSPVVGHSPKGTEKEALPPGFGKDTVFENVDEVKAEKDVETTAPAHTGECETVSAQKGELVEQKLEDNEGIEPCAGPSPCVSPTVRDKERPRGSNLTGEEADEAEEGTSATRDAPVTGGTDTDAKVEFDLNEGFNADEGKFGDPNNLTASGCSAPVQLISSLPFPVSSVSSDVPSTITVAAAAKGPFVPPDNLLRTKGALGWKGSAATSAFRPAEPRKILDMPLGTSNITIADASTRKQSRPPLDIDLNVPDERVLQDLASRSFAKGTDSALDLTSARDLACGMVGSPPTRSSGGLDLDLNRVDEPADLGNHSTGYSRRLDVPMQPIKSLSGILNSEVTVHRDFDLNNGPAVDEVSTEPSLFSHNARSSYAPSQPSASSLRMNSTEVANFSSWFPTENTYSSIAIQSILPDREQPFPIVATGGPHRVLGPPIGATPFNPDVYREPMLSSSPAVPFPSTPFQYPVFPFGTTFPLPSTSFSVSSTTYVDSSPGGRFCFPPVHSQLLRPAGAVPSHYPRPYVVSLPYGSNSSGAENGRHWGRQGLDLNAGPGVPDIEGRDESAPLASRQLSVASSQVQAEEQARIYQVPGSILKRKEPEGGWNGYKQSSWQ >KJB37051 pep chromosome:Graimondii2_0_v6:6:44454372:44461657:-1 gene:B456_006G187700 transcript:KJB37051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGEDEERKKVRQMWTVPTRATEVLNGGGVASPSFSSSFTVNSFSKDGRKISVGDCALFKPPLDSPPFIGIIRCLTASKENKLKLGVNWLYRPAEVKLGEGILLEAAPNEIFYSFHKDEIPAASLLHRCKVAFLPKDVELPSGFCSFVCRRVYDITNKCLWWLTDQDYINEQQEEVDQLLHKTRLEMHATVPPDGCSPKPVNGPTSTSQLKPSLENVQNTASSFPSQGKGKKRERGDQGSELIKRERTGKIDDGDSGHGRREINLKFEIAKITEKGGLEDYEDVEKLVHLMGSERNEKKIDLVSRSMLASVISATDKFDCLNRFVQLRGLRVFDEWLQEVHKGKIGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIEIQKKARSLVDTWKKRVEAEMDAKCVSSHGVPWSARSRLSDVSQSGSKHSGSTEVAVKSSATQLSASKTVSVKLTQGETATKSASALVGPMKAAISPASASANLKDVQARGATVVGTSDPPTTAKDEKSSSSSQSHNNSQSCSSDHAKTGGVSLKEDARSSAAGSGSVTKISGNSSRHRKSNNGLPGSSGVQRETGSGKNSLHRNLASEKVLQSGLTCEKAVDAPVAESNSHKFIVKIPNRGRSPVQSASGGSLEDHSVMNSRDSSPVLSEAHEQFDRNLKEKNDSYRTNVATDANTESWQSNDLKDMLTGFDEGDGSPAAVPDEENCRTGDDARKTNEVTKTASSSGNEHKSGKVQEPSFSSINALIDSCVKFSESNVCMPVGDDAGMNLLASVATGVDVASLIDSPQRNTSLVELSYTGNDTKLKPSSGDEVVRDQNQSVESANEHLKQAVGGNSWVKNADSQIGSSQEKSGELNENLTSSSIPYTADPCLENDKFKENVTTALINLPSASTVERTTDIGDCKEHLEKKAGGVDGDSSLGTKQKWCSSVANEDKVIDPFVEVEKKEVLGSSSVPSVEVDVDNKKNVSEGSERSSQTHQKSPVVGHSPKGTEKEALPPGFGKDTVFENVDEVKAEKDVETTAPAHTGECETVSAQKGELVEQKLEDNEGIEPCAGPSPCVSPTVRDKERPRGSNLTGEEADEAEEGTSATRDAPVTGGTDTDAKVEFDLNEGFNADEGKFGDPNNLTASGCSAPVQLISSLPFPVSSVSSDVPSTITVAAAAKGPFVPPDNLLRTKGALGWKGSAATSAFRPAEPRKILDMPLGTSNITIADASTRKQSRPPLDIDLNVPDERVLQDLASRSFAKGTDSALDLTSARDLACGMVGSPPTRSSGGLDLDLNRVDEPADLGNHSTGYSRRLDVPMQPIKSLSGILNSEVTVHRDFDLNNGPAVDEVSTEPSLFSHNARSSYAPSQPSASSLRMNSTEVANFSSWFPTENTYSSIAIQSILPDREQPFPIVATGGPHRVLGPPIGATPFNPDVYREPMLSSSPAVPFPSTPFQYPVFPFGTTFPLPSTSFSVSSTTYVDSSPGGRFCFPPVHSQLLRPAGAVPSHYPRPYVVSLPYGSNSSGAENGRHWGRQGLDLNAGPGVPDIEGRDESAPLASRQLSVASSQVQAEEQARIYQVPGSILKRKEPEGGWNGYKQSSWQ >KJB37057 pep chromosome:Graimondii2_0_v6:6:44453168:44461975:-1 gene:B456_006G187700 transcript:KJB37057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGEDEERKKVRQMWTVPTRATEVLNGGGVASPSFSSSFTVNSFSKDGRKISVGDCALFKPPLDSPPFIGIIRCLTASKENKLKLGVNWLYRPAEVKLGEGILLEAAPNEIFYSFHKDEIPAASLLHRCKVAFLPKDVELPSGFCSFVCRRVYDITNKCLWWLTDQDYINEQQEEVDQLLHKTRLEMHATVPPDGCSPKPVNGPTSTSQLKPSLENVQNTASSFPSQGKGKKRERGDQGSELIKRERTGKIDDGDSGHGRREINLKFEIAKITEKGGLEDYEDVEKLVHLMGSERNEKKIDLVSRSMLASVISATDKFDCLNRFVQLRGLRVFDEWLQEVHKGKIGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIEIQKKARSLVDTWKKRVEAEMDAKCVSSHGVPWSARSRLSDVSQSGSKHSGSTEVAVKSSATQLSASKTVSVKLTQGETATKSASALVGPMKAAISPASASANLKDVQARGATVVGTSDPPTTAKDEKSSSSSQSHNNSQSCSSDHAKTGGVSLKEDARSSAAGSGSVTKISGNSSRHRKSNNGLPGSSGVQRETGSGKNSLHRNLASEKVLQSGLTCEKAVDAPVAESNSHKFIVKIPNRGRSPVQSASGGSLEDHSVMNSRDSSPVLSEAHEQFDRNLKEKNDSYRTNVATDANTESWQSNDLKDMLTGFDEGDGSPAAVPDEENCRTGDDARKTNEVTKTASSSGNEHKSGKVQEPSFSSINALIDSCVKFSESNVCMPVGDDAGMNLLASVATGVDVASLIDSPQRNTSLVELSYTGNDTKLKPSSGDEVVRDQNQSVESANEHLKQAVGGNSWVKNADSQIGSSQEKSGELNENLTSSSIPYTADPCLENDKFKENVTTALINLPSASTVERTTDIGDCKEHLEKKAGGVDGDSSLGTKQKWCSSVANEDKVIDPFVEVEKKEVLGSSSVPSVEVDVDNKKNVSEGSERSSQTHQKSPVVGHSPKGTEKEALPPGFGKDTVFENVDEVKAEKDVETTAPAHTGECETVSAQKGELVEQKLEDNEGIEPCAGPSPCVSPTVRDKERPRGSNLTGEEADEAEEGTSATRDAPVTGGTDTDAKVEFDLNEGFNADEGKFGDPNNLTASGCSAPVQLISSLPFPVSSVSSDVPSTITVAAAAKGPFVPPDNLLRTKGALGWKGSAATSAFRPAEPRKILDMPLGTSNITIADASTRKQSRPPLDIDLNVPDERVLQDLASRSFAKGTDSALDLTSARDLACGMVGSPPTRSSGGLDLDLNRVDEPADLGNHSTGYSRRLDVPMQPIKSLSGILNSEVTVHRDFDLNNGPAVDEVSTEPSLFSHNARSSYAPSQPSASSLRMNSTEVANFSSWFPTENTYSSIAIQSILPDREQPFPIVATGGPHRVLGPPIGATPFNPDVYREPMLSSSPAVPFPSTPFQYPVFPFGTTFPLPSTSFSVSSTTYVDSSPGGRFCFPPVHSQLLRPAGAVPSHYPRPYVVSLPYGSNSSGAENGRHWGRQGLDLNAGPGVPDIEGRDESAPLASRQLSVASSQVQAEEQARIYQVPGSILKRKEPEGGWNGYKQSSWQ >KJB37053 pep chromosome:Graimondii2_0_v6:6:44454247:44461975:-1 gene:B456_006G187700 transcript:KJB37053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGEDEERKKVRQMWTVPTRATEVLNGGGVASPSFSSSFTVNSFSKDGRKISVGDCALFKPPLDSPPFIGIIRCLTASKENKLKLGVNWLYRPAEVKLGEGILLEAAPNEIFYSFHKDEIPAASLLHRCKVAFLPKDVELPSGFCSFVCRRVYDITNKCLWWLTDQDYINEQQEEVDQLLHKTRLEMHATVPPDGCSPKPVNGPTSTSQLKPSLENVQNTASSFPSQGKGKKRERGDQGSELIKRERTGKIDDGDSGHGRREINLKFEIAKITEKGGLEDYEDVEKLVHLMGSERNEKKIDLVSRSMLASVISATDKFDCLNRFVQLRGLRVFDEWLQEVHKGKIGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIEIQKKARSLVDTWKKRVEAEMDAKCVSSHGVPWSARSRLSDVSQSGSKHSGSTEVAVKSSATQLSASKTVSVKLTQGETATKSASALVGPMKAAISPASASANLKDVQARGATVVGTSDPPTTAKDEKSSSSSQSHNNSQSCSSDHAKTGGVSLKEDARSSAAGSGSVTKISGNSSRHRKSNNGLPGSSGVQRETGSGKNSLHRNLASEKVLQSGLTCEKAVDAPVAESNSHKFIVKIPNRGRSPVQSASGGSLEDHSVMNSRDSSPVLSEAHEQFDRNLKEKNDSYRTNVATDANTESWQSNDLKDMLTGFDEGDGSPAAVPDEENCRTGDDARKTNEVTKTASSSGNEHKSGKVQEPSFSSINALIDSCVKFSESNVCMPVGDDAGMNLLASVATGVDVASLIDSPQRNTSLVELSYTGNDTKLKPSSGDEVVRDQNQSVESANEHLKQAVGGNSWVKNADSQIGSSQEKSGELNENLTSSSIPYTADPCLENDKFKENVTTALINLPSASTVERTTDIGDCKEHLEKKAGGVDGDSSLGTKQKWCSSVANEDKVIDPFVEVEKKEVLGSSSVPSVEVDVDNKKNVSEGSERSSQTHQKSPVVGHSPKGTEKEALPPGFGKDTVFENVDEVKAEKDVETTAPAHTGECETVSAQKGELVEQKLEDNEGIEPCAGPSPCVSPTVRDKERPRGSNLTGEEADEAEEGTSATRDAPVTGGTDTDAKVEFDLNEGFNADEGKFGDPNNLTASGCSAPVQLISSLPFPVSSVSSDVPSTITVAAAAKGPFVPPDNLLRTKGALGWKGSAATSAFRPAEPRKILDMPLGTSNITIADASTRKQSRPPLDIDLNVPDERVLQDLASRSFAKGTDSALDLTSARDLACGMVGSPPTRSSGGLDLDLNRVDEPADLGNHSTGYSRRLDVPMQPIKSLSGILNSEVTVHRDFDLNNGPAVDEVSTEPSLFSHNARSSYAPSQPSASSLRMNSTEVANFSSWFPTENTYSSIAIQSILPDREQPFPIVATGGPHRVLGPPIGATPFNPDVYREPMLSSSPAVPFPSTPFQYPVFPFGTTFPLPSTSFSVSSTTYVDSSPGGRFCFPPVHSQLLRPAGAVPSHYPRPYVVSLPYGSNSSGAENGRHWGRQGLDLNAGPGVPDIEGRDESAPLASRQLSVASSQVQAEEQARIYQVPGSILKRKEPEGGWNGYKQSSWQ >KJB37052 pep chromosome:Graimondii2_0_v6:6:44453168:44462167:-1 gene:B456_006G187700 transcript:KJB37052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGEDEERKKVRQMWTVPTRATEVLNGGGVASPSFSSSFTVNSFSKDGRKISVGDCALFKPPLDSPPFIGIIRCLTASKENKLKLGVNWLYRPAEVKLGEGILLEAAPNEIFYSFHKDEIPAASLLHRCKVAFLPKDVELPSGFCSFVCRRVYDITNKCLWWLTDQDYINEQQEEVDQLLHKTRLEMHATVPPDGCSPKPVNGPTSTSQLKPSLENVQNTASSFPSQGKGKKRERGDQGSELIKRERTGKIDDGDSGHGRREINLKFEIAKITEKGGLEDYEDVEKLVHLMGSERNEKKIDLVSRSMLASVISATDKFDCLNRFVQLRGLRVFDEWLQEVHKGKIGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIEIQKKARSLVDTWKKRVEAEMDAKCVSSHGVPWSARSRLSDVSQSGSKHSGSTEVAVKSSATQLSASKTVSVKLTQGETATKSASALVGPMKAAISPASASANLKDVQARGATVVGTSDPPTTAKDEKSSSSSQSHNNSQSCSSDHAKTGGVSLKEDARSSAAGSGSVTKISGNSSRHRKSNNGLPGSSGVQRETGSGKNSLHRNLASEKVLQSGLTCEKAVDAPVAESNSHKFIVKIPNRGRSPVQSASGGSLEDHSVMNSRDSSPVLSEAHEQFDRNLKEKNDSYRTNVATDANTESWQSNDLKDMLTGFDEGDGSPAAVPDEENCRTGDDARKTNEVTKTASSSGNEHKSGKVQEPSFSSINALIDSCVKFSESNVCMPVGDDAGMNLLASVATGVDVASLIDSPQRNTSLVELSYTGNDTKLKPSSGDEVVRDQNQSVESANEHLKQAVGGNSWVKNADSQIGSSQEKSGELNENLTSSSIPYTADPCLENDKFKENVTTALINLPSASTVERTTDIGDCKEHLEKKAGGVDGDSSLGTKQKWCSSVANEDKVIDPFVEVEKKEVLGSSSVPSVEVDVDNKKNVSEGSERSSQTHQKSPVVGHSPKGTEKEALPPGFGKDTVFENVDEVKAEKDVETTAPAHTGECETVSAQKGELVEQKLEDNEGIEPCAGPSPCVSPTVRDKERPRGSNLTGEEADEAEEGTSATRDAPVTGGTDTDAKVEFDLNEGFNADEGKFGDPNNLTASGCSAPVQLISSLPFPVSSVSSDVPSTITVAAAAKGPFVPPDNLLRTKGALGWKGSAATSAFRPAEPRKILDMPLGTSNITIADASTRKQSRPPLDIDLNVPDERVLQDLASRSFAKGTDSALDLTSARDLACGMVGSPPTRSSGGLDLDLNRVDEPADLGNHSTGYSRRLDVPMQPIKSLSGILNSEVTVHRDFDLNNGPAVDEVSTEPSLFSHNARSSYAPSQPSASSLRMNSTEVANFSSWFPTENTYSSIAIQSILPDREQPFPIVATGGPHRVLGPPIGATPFNPDVYREPMLSSSPAVPFPSTPFQYPVFPFGTTFPLPSTSFSVSSTTYVDSSPGGRFCFPPVHSQLLRPAGAVPSHYPRPYVVSLPYGSNSSGAENGRHWGRQGLDLNAGPGVPDIEGRDESAPLASRQLSVASSQVQAEEQARIYQVPGSILKRKEPEGGWNGYKQSSWQ >KJB37056 pep chromosome:Graimondii2_0_v6:6:44453168:44462167:-1 gene:B456_006G187700 transcript:KJB37056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGEDEERKKVRQMWTVPTRATEVLNGGGVASPSFSSSFTVNSFSKDGRKISVGDCALFKPPLDSPPFIGIIRCLTASKENKLKLGVNWLYRPAEVKLGEGILLEAAPNEIFYSFHKDEIPAASLLHRCKVAFLPKDVELPSGFCSFVCRRVYDITNKCLWWLTDQDYINEQQEEVDQLLHKTRLEMHATVPPDGCSPKPVNGPTSTSQLKPSLENVQNTASSFPSQGKGKKRERGDQGSELIKRERTGKIDDGDSGHGRREINLKFEIAKITEKGGLEDYEDVEKLVHLMGSERNEKKIDLVSRSMLASVISATDKFDCLNRFVQLRGLRVFDEWLQEVHKGKIGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIEIQKKARSLVDTWKKRVEAEMDAKCVSSHGVPWSARSRLSDVSQSGSKHSGSTEVAVKSSATQLSASKTVSVKLTQGETATKSASALVGPMKAAISPASASANLKDVQARGATVVGTSDPPTTAKDEKSSSSSQSHNNSQSCSSDHAKTGGVSLKEDARSSAAGSGSVTKISGNSSRHRKSNNGLPGSSGVQRETGSGKNSLHRNLASEKVLQSGLTCEKAVDAPVAESNSHKFIVKIPNRGRSPVQSASGGSLEDHSVMNSRDSSPVLSEAHEQFDRNLKEKNDSYRTNVATDANTESWQSNDLKDMLTGFDEGDGSPAAVPDEENCRTGDDARKTNEVTKTASSSGNEHKSGKVQEPSFSSINALIDSCVKFSESNVCMPVGDDAGMNLLASVATGVDVASLIDSPQRNTSLVELSYTGNDTKLKPSSGDEVVRDQNQSVESANEHLKQAVGGNSWVKNADSQIGSSQEKSGELNENLTSSSIPYTADPCLENDKFKENVTTALINLPSASTVERTTDIGDCKEHLEKKAGGVDGDSSLGTKQKWCSSVANEDKVIDPFVEVEKKEVLGSSSVPSVEVDVDNKKNVSEGSERSSQTHQKSPVVGHSPKGTEKEALPPGFGKDTVFENVDEVKAEKDVETTAPAHTGECETVSAQKGELVEQKLEDNEGIEPCAGPSPCVSPTVRDKERPRGSNLTGEEADEAEEGTSATRDAPVTGGTDTDAKVEFDLNEGFNADEGKFGDPNNLTASGCSAPVQLISSLPFPVSSVSSDVPSTITVAAAAKGPFVPPDNLLRTKGALGWKGSAATSAFRPAEPRKILDMPLGTSNITIADASTRKQSRPPLDIDLNVPDERVLQDLASRSFAKGTDSALDLTSARDLACGMVGSPPTRSSGGLDLDLNRVDEPADLGNHSTGYSRRLDVPMQPIKSLSGILNSEVTVHRDFDLNNGPAVDEVSTEPSLFSHNARSSYAPSQPSASSLRMNSTEVANFSSWFPTENTYSSIAIQSILPDREQPFPIVATGGPHRVLGPPIGATPFNPDVYREPMLSSSPAVPFPSTPFQYPVFPFGTTFPLPSTSFSVSSTTYVDSSPGGRFCFPPVHSQLLRPAGAVPSHYPRPYVVSLPYGSNSSGAENGRHWGRQGLDLNAGPGVPDIEGRDESAPLASRQLSVASSQVQAEEQARIYQVPGSILKRKEPEGGWNGYKQSSWQ >KJB33295 pep chromosome:Graimondii2_0_v6:6:1234412:1246841:-1 gene:B456_006G006100 transcript:KJB33295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSVHLSRLTRHHFPFSSLLFPSSRPYYHACAPSRRNLRFSVIKRFPFRCICSFPATNSIADRNRNVEVGEEKYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGLAKSQLVHEVDALGGEIGKVADRCYLQKRVLNVSRGPAVRALRAQTDKREYAMQMKNVVESTPNLSIREAMVTDILLGKNDNVEGVCTFFGMNFYAPAVILTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQRLGFESDRLKTGTPARVDCRTVDFSVLEPQLGDEEVSWFSFDPDFHIEKEQMCCYLTRTTKSTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRTVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDYLPAHQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKIYQDKQARISDEKKRLKTVRISGGDLAADVSHFSGQPVKESSTLESLLKKPHIEYKLLDKHGFGNEMLSRTEKECVEIDIKYEGFIIRQRNQLQQMVHQQHRRLPEDLDYYAMTTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALMIILETKRRKAQEVRRHQIRASVMVDTVENLSDTLTEAVEQFRNT >KJB33299 pep chromosome:Graimondii2_0_v6:6:1235060:1246809:-1 gene:B456_006G006100 transcript:KJB33299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSVHLSRLTRHHFPFSSLLFPSSRPYYHACAPSRRNLRFSVIKRFPFRCICSFPATNSIADRNRNVEVGEEKYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGLAKSQLVHEVDALGGEIGKVADRCYLQKRVLNVSRGPAVRALRAQTDKREYAMQMKNVVESTPNLSIREAMVTDILLGKNDNVEGVCTFFGMNFYAPAVILTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQRLGFESDRLKTGTPARVDCRTVDFSVLEPQLGDEEVSWFSFDPDFHIEKEQMCCYLTRTTKSTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRTVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDYLPAHQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKIYQDKQARISDEKKRLKTVRISGGDLAADVSHFSGQPVKESSTLESLLKKPHIEYKLLDKHGFGNEMLSRTEKECVEIDIKYEGFIIRQRNQLQQMVHQQHRRLPEDLDYYAMTTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALMIILETKRRKAQEVRRHQIRASVMVDTVENLSDTLTEAVGK >KJB33301 pep chromosome:Graimondii2_0_v6:6:1234584:1246841:-1 gene:B456_006G006100 transcript:KJB33301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSVHLSRLTRHHFPFSSLLFPSSRPYYHACAPSRRNLRFSVIKRFPFRCICSFPATNSNRNRNVEVGEEKYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGLAKSQLVHEVDALGGEIGKVADRCYLQKRVLNVSRGPAVRALRAQTDKREYAMQMKNVVESTPNLSIREAMVTDILLGKNDNVEGVCTFFGMNFYAPAVILTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQRLGFESDRLKTGTPARVDCRTVDFSVLEPQLGDEEVSWFSFDPDFHIEKEQMCCYLTRTTKSTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRTVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDYLPAHQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKIYQDKQARISDEKKRLKTVRISGGDLAADVSHFSGQPVKESSTLESLLKKPHIEYKLLDKHGFGNEMLSRTEKECVEIDIKYEGFIIRQRNQLQQMVHQQHRRLPEDLDYYAMTTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALMIILETKRRKAQEVRRHQIRASVMVDTVENLSDTLTEAVGK >KJB33296 pep chromosome:Graimondii2_0_v6:6:1234513:1246841:-1 gene:B456_006G006100 transcript:KJB33296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMKNVVESTPNLSIREAMVTDILLGKNDNVEGVCTFFGMNFYAPAVILTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQRLGFESDRLKTGTPARVDCRTVDFSVLEPQLGDEEVSWFSFDPDFHIEKEQMCCYLTRTTKSTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRTVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDYLPAHQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKIYQDKQARISDEKKRLKTVRISGGDLAADVSHFSGQPVKESSTLESLLKKPHIEYKLLDKHGFGNEMLSRTEKECVEIDIKYEGFIIRQRNQLQQMVHQQHRRLPEDLDYYAMTTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALMIILETKRRKAQEVRRHQIRASVMVDTVENLSDTLTEAVEQFRNT >KJB33298 pep chromosome:Graimondii2_0_v6:6:1234584:1244127:-1 gene:B456_006G006100 transcript:KJB33298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWPFVFSTPNLSIREAMVTDILLGKNDNVEGVCTFFGMNFYAPAVILTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQRLGFESDRLKTGTPARVDCRTVDFSVLEPQLGDEEVSWFSFDPDFHIEKEQMCCYLTRTTKSTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRTVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDYLPAHQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKIYQDKQARISDEKKRLKTVRISGGDLAADVSHFSGQPVKESSTLESLLKKPHIEYKLLDKHGFGNEMLSRTEKECVEIDIKYEGFIIRQRNQLQQMVHQQHRRLPEDLDYYAMTTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALMIILETKRRKAQEVRRHQIRASVMVDTVENLSDTLTEAVGK >KJB33300 pep chromosome:Graimondii2_0_v6:6:1234584:1246877:-1 gene:B456_006G006100 transcript:KJB33300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSVHLSRLTRHHFPFSSLLFPSSRPYYHACAPSRRNLRFSVIKRFPFRCICSFPATNSIADRNRNVEVGEEKYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGLAKSQLVHEVDALGGEIGKVADRCYLQKRVLNVSRGPAVRALRAQTDKREYAMQMKNVVESTPNLSIREAMVTDILLGKNDNVEGVCTFFGMNFYAPAVILTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQRLGFESDRLKTGTPARVDCRTVDFSVLEPQLGDEEVSWFSFDPDFHIEKEQMCCYLTRTTKSTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRTVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDYLPAHQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKIYQDKQARISDEKKRLKTVRISGGDLAADVSHFSGQPVKESSTLESLLKKPHIEYKLLDKHGFGNEMLSRTEKECVEIDIKYEGFIIRQRNQLQQMVHQQHRRLPEDLDYYAMTTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALMIILETKRRKAQEVRRHQIRASVMCNL >KJB33303 pep chromosome:Graimondii2_0_v6:6:1235060:1245759:-1 gene:B456_006G006100 transcript:KJB33303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMKNVVESTPNLSIREAMVTDILLGKNDNVEGVCTFFGMNFYAPAVILTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQRLGFESDRLKTGTPARVDCRTVDFSVLEPQLGDEEVSWFSFDPDFHIEKEQMCCYLTRTTKSTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRTVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDYLPAHQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKIYQDKQARISDEKKRLKTVRISGGDLAADVSHFSGQPVKESSTLESLLKKPHIEYKLLDKHGFGNEMLSRTEKECVEIDIKYEGFIIRQRNQLQQMVHQQHRRLPEDLDYYAMTTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALMIILETKRRKAQEVRRHQIRASVMVDTVENLSDTLTEAVGK >KJB33297 pep chromosome:Graimondii2_0_v6:6:1234412:1246877:-1 gene:B456_006G006100 transcript:KJB33297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSVHLSRLTRHHFPFSSLLFPSSRPYYHACAPSRRNLRFSVIKRFPFRCICSFPATNSIADRNRNVEVGEEKYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGLAKSQLVHEVDALGGEIGKVADRCYLQKRVLNVSRGPAVRALRAQTDKREYAMQMKNVVESTPNLSIREAMVTDILLGKNDNVEGVCTFFGMNFYAPAVILTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQRLGFESDRLKTGTPARVDCRTVDFSVLEPQLGDEEVSWFSFDPDFHIEKEQMCCYLTRTTKSTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRTVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDYLPAHQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKIYQDKQARISDEKKRLKTVRISGGDLAADVSHFSGQPVKESSTLESLLKKPHIEYKLLDKHGFGNEMLSRTEKECVEIDIKYEGFIIRQRNQLQQMVHQQHRRLPEDLDYYAMTTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALMIILETKRRKAQEVRRHQIRASVMVDTVENLSDTLTEAVEQFRNT >KJB33302 pep chromosome:Graimondii2_0_v6:6:1235060:1246334:-1 gene:B456_006G006100 transcript:KJB33302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMFVTGVSWFCYLQPCNPAVGGLAKSQLVHEVDALGGEIGKVADRCYLQKRVLNVSRGPAVRALRAQTDKREYAMQMKNVVESTPNLSIREAMVTDILLGKNDNVEGVCTFFGMNFYAPAVILTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQRLGFESDRLKTGTPARVDCRTVDFSVLEPQLGDEEVSWFSFDPDFHIEKEQMCCYLTRTTKSTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRTVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDYLPAHQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKIYQDKQARISDEKKRLKTVRISGGDLAADVSHFSGQPVKESSTLESLLKKPHIEYKLLDKHGFGNEMLSRTEKECVEIDIKYEGFIIRQRNQLQQMVHQQHRRLPEDLDYYAMTTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALMIILETKRRKAQEVRRHQIRASVMVDTVENLSDTLTEAVGK >KJB35485 pep chromosome:Graimondii2_0_v6:6:36650348:36651938:-1 gene:B456_006G117000 transcript:KJB35485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAALVKAAKQFDALVAALPPSEGGEKAQLRRIAELQVENDTVGQELQKQLEAAVKELKQVQELFSQAADNCLNLKKLEFISLNNPSFHV >KJB34851 pep chromosome:Graimondii2_0_v6:6:32287883:32292080:1 gene:B456_006G087900 transcript:KJB34851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFTLLWLLVFLFASISSSSARPAPYAMRISCGARQNIRTPPTYTRWYKDFAYTGGIPANATAPSHITPPLKTLRYFPLSEGPENCYIINRVPKGHYSVRIFFGLVEHEIDNEPLFEVSVEGTIIHSLKSGWSKNDEQVFAEALVFLLDGSVSICFHSTGRGDPTIISIEILQIDEKAYYFGPEWGRGVILKTTSRLTCGTSKPKFDEDYSGDHWGGDRFWHPIRTFGKNVDAPRSTESGIKKASTVPNFYPEALYQSAVVSTDSKPDLAYTMDVDPNKNYSIWLHFAEIDATITSAGKRVFDVLINGGTVFENVDVVGMSGDRYTALVLNTTVALSGRTLTITLHPKKGNHAILTAIEVFQVIVAESKTSPEEVRALQALKKSLGLPNRFGWNGDPCVPKEHPWSGADCHFDKSGGKWFIDGLGLGNQGLRGSLPNEISKLHHLQSINLSGNSIHGVIPSSLGTVTSLETLDLSYNFLNGSIPESLGKLTALRRL >KJB34852 pep chromosome:Graimondii2_0_v6:6:32287751:32293708:1 gene:B456_006G087900 transcript:KJB34852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFTLLWLLVFLFASISSSSARPAPYAMRISCGARQNIRTPPTYTRWYKDFAYTGGIPANATAPSHITPPLKTLRYFPLSEGPENCYIINRVPKGHYSVRIFFGLVEHEIDNEPLFEVSVEGTIIHSLKSGWSKNDEQVFAEALVFLLDGSVSICFHSTGRGDPTIISIEILQIDEKAYYFGPEWGRGVILKTTSRLTCGTSKPKFDEDYSGDHWGGDRFWHPIRTFGKNVDAPRSTESGIKKASTVPNFYPEALYQSAVVSTDSKPDLAYTMDVDPNKNYSIWLHFAEIDATITSAGKRVFDVLINGGTVFENVDVVGMSGDRYTALVLNTTVALSGRTLTITLHPKKGNHAILTAIEVFQVIVAESKTSPEEVRALQALKKSLGLPNRFGWNGDPCVPKEHPWSGADCHFDKSGGKWFIDGLGLGNQGLRGSLPNEISKLHHLQSINLSGNSIHGVIPSSLGTVTSLETLDLSYNFLNGSIPESLGKLTALRRLNLNGNSLSGRVPSALGGRLLNGASFNFTDNHGLCGIPGLPKCGPHLSAGAKVGIAFAVFLSLVLLVICSICWWKRRQNILRAQQIAVLPMQKQGLNHHTIFRCQGILVVAGQLPRMGRACYRDPISFPSLCCVYF >KJB34848 pep chromosome:Graimondii2_0_v6:6:32287790:32293708:1 gene:B456_006G087900 transcript:KJB34848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFTLLWLLVFLFASISSSSARPAPYAMRISCGARQNIRTPPTYTRWYKDFAYTGGIPANATAPSHITPPLKTLRYFPLSEGPENCYIINRVPKGHYSVRIFFGLVEHEIDNEPLFEVSVEGTIIHSLKSGWSKNDEQVFAEALVFLLDGSVSICFHSTGRGDPTIISIEILQIDEKAYYFGPEWGRGVILKTTSRLTCGTSKPKFDEDYSGDHWGGDRFWHPIRTFGKNVDAPRSTESGIKKASTVPNFYPEALYQSAVVSTDSKPDLAYTMDVDPNKNYSIWLHFAEIDATITSAGKRVFDVLINGGTVFENVDVVGMSGDRYTALVLNTTVALSGRTLTITLHPKKGNHAILTAIEVFQVIVAESKTSPEEVRALQALKKSLGLPNRFGWNGDPCVPKEHPWSGADCHFDKSGGKWFIDGLGLGNQGLRGSLPNEISKLHHLQSINLSGNSIHGVIPSSLGTVTSLETLDLSYNFLNGSIPESLGKLTALRRLNLNGNSLSGRVPSALGGRLLNGASFNFTDNHGLCGIPGLPKCGPHLSAGAKVGIAFAVFLSLVLLVICSICWWKRRQNILRAQQIAAASRSAPYAKARTQSSHDIQMSRNPSRGRTASENGPSLLS >KJB34855 pep chromosome:Graimondii2_0_v6:6:32287883:32292080:1 gene:B456_006G087900 transcript:KJB34855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFTLLWLLVFLFASISSSSARPAPYAMRISCGARQNIRTPPTYTRWYKDFAYTGGIPANATAPSHITPPLKTLRYFPLSEGPENCYIINRVPKGHYSVRIFFGLVEHEIDNEPLFEVSVEGTIIHSLKSGWSKNDEQVFAEALVFLLDGSVSICFHSTGRGDPTIISIEILQIDEKAYYFGPEWGRGVILKTTSRLTCGTSKPKFDEDYSGDHWGGDRFWHPIRTFGKNVDAPRSTESGIKKASTVPNFYPEALYQSAVVSTDSKPDLAYTMDVDPNKNYSIWLHFAEIDATITSAGKRVFDVLINGGTVFENVDVVGMSGDRYTALVLNTTVALSGRTLTITLHPKKGNHAILTAIEVFQVIVAESKTSPEEVRALQALKKSLGLPNRFGWNGDPCVPKEHPWSGADCHFDKSGGKWFIDGLGLGNQGLRGSLPNEISKLHHLQSINLSGNSIHGVIPSSLGTVTSLETLDLSYNFLNGSIPESLGKLTALRRL >KJB34849 pep chromosome:Graimondii2_0_v6:6:32287790:32291673:1 gene:B456_006G087900 transcript:KJB34849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFTLLWLLVFLFASISSSSARPAPYAMRISCGARQNIRTPPTYTRWYKDFAYTGGIPANATAPSHITPPLKTLRYFPLSEGPENCYIINRVPKGHYSVRIFFGLVEHEIDNEPLFEVSVEGTIIHSLKSGWSKNDEQVFAEALVFLLDGSVSICFHSTGRGDPTIISIEILQIDEKAYYFGPEWGRGVILKTTSRLTCGTSKPKFDEDYSGDHWGGDRFWHPIRTFGKNVDAPRSTESGIKKASTVPNFYPEALYQSAVVSTDSKPDLAYTMDVDPNKNYSIWLHFAEIDATITSAGKRVFDVLINGGTVFENVDVVGMSGDRYTALVLNTTVALSGRTLTITLHPKKGNHAILTAIEVFQVIVAESKTSPEEVRALQALKKSLGLPNRFGWNGDPCVPKEHPWSGADCHFDKSGGKWFIDGLGLGNQGLRGSLPNEISKLHHLQSINLSGNSIHGVIPSSLGTVTSLETL >KJB34854 pep chromosome:Graimondii2_0_v6:6:32287883:32292422:1 gene:B456_006G087900 transcript:KJB34854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFTLLWLLVFLFASISSSSARPAPYAMRISCGARQNIRTPPTYTRWYKDFAYTGGIPANATAPSHITPPLKTLRYFPLSEGPENCYIINRVPKGHYSVRIFFGLVEHEIDNEPLFEVSVEGTIIHSLKSGWSKNDEQVFAEALVFLLDGSVSICFHSTGRGDPTIISIEILQIDEKAYYFGPEWGRGVILKTTSRLTCGTSKPKFDEDYSGDHWGGDRFWHPIRTFGKNVDAPRSTESGIKKASTVPNFYPEALYQSAVVSTDSKPDLAYTMDVDPNKNYSIWLHFAEIDATITSAGKRVFDVLINGGTVFENVDVVGMSGDRYTALVLNTTVALSGRTLTITLHPKKGNHAILTAIEVFQVIVAESKTSPEEVRALQALKKSLGLPNRFGWNGDPCVPKEHPWSGADCHFDKSGGKWFIDGLGLGNQGLRGSLPNEISKLHHLQSINLSGNSIHGVIPSSLGTVTSLETLDLSYNFLNGSIPESLGKLTALRRLNLNGNSLSGRVPSALGGRLLNGASFKYFYR >KJB34850 pep chromosome:Graimondii2_0_v6:6:32287790:32292826:1 gene:B456_006G087900 transcript:KJB34850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFTLLWLLVFLFASISSSSARPAPYAMRISCGARQNIRTPPTYTRWYKDFAYTGGIPANATAPSHITPPLKTLRYFPLSEGPENCYIINRVPKGHYSVRIFFGLVEHEIDNEPLFEVSVEGTIIHSLKSGWSKNDEQVFAEALVFLLDGSVSICFHSTGRGDPTIISIEILQIDEKAYYFGPEWGRGVILKTTSRLTCGTSKPKFDEDYSGDHWGGDRFWHPIRTFGKNVDAPRSTESGIKKASTVPNFYPEALYQSAVVSTDSKPDLAYTMDVDPNKNYSIWLHFAEIDATITSAGKRVFDVLINGGTVFENVDVVGMSGDRYTALVLNTTVALSGRTLTITLHPKKGNHAILTAIEVFQVIVAESKTSPEEVRALQALKKSLGLPNRFGWNGDPCVPKEHPWSGADCHFDKSGGKWFIDGLGLGNQGLRGSLPNEISKLHHLQSINLSGNSIHGVIPSSLGTVTSLETLDLSYNFLNGSIPESLGKLTALRRLNLNGNSLSGRVPSALGGRLLNGASFNFTDNHGLCGIPGLPKCGPHLSAGAKVGIAFAVFLSLVLLVICSICWWKRRQNILRAQQIAG >KJB34853 pep chromosome:Graimondii2_0_v6:6:32287790:32293708:1 gene:B456_006G087900 transcript:KJB34853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFTLLWLLVFLFASISSSSARPAPYAMRISCGARQNIRTPPTYTRWYKDFAYTGGIPANATAPSHITPPLKTLRYFPLSEGPENCYIINRVPKGHYSVFAEALVFLLDGSVSICFHSTGRGDPTIISIEILQIDEKAYYFGPEWGRGVILKTTSRLTCGTSKPKFDEDYSGDHWGGDRFWHPIRTFGKNVDAPRSTESGIKKASTVPNFYPEALYQSAVVSTDSKPDLAYTMDVDPNKNYSIWLHFAEIDATITSAGKRVFDVLINGGTVFENVDVVGMSGDRYTALVLNTTVALSGRTLTITLHPKKGNHAILTAIEVFQVIVAESKTSPEEVRALQALKKSLGLPNRFGWNGDPCVPKEHPWSGADCHFDKSGGKWFIDGLGLGNQGLRGSLPNEISKLHHLQSINLSGNSIHGVIPSSLGTVTSLETLDLSYNFLNGSIPESLGKLTALRRLNLNGNSLSGRVPSALGGRLLNGASFNFTDNHGLCGIPGLPKCGPHLSAGAKVGIAFAVFLSLVLLVICSICWWKRRQNILRAQQIAAASRSAPYAKARTQSSHDIQMSRNPSRGRTASENGPSLLS >KJB33404 pep chromosome:Graimondii2_0_v6:6:2130732:2135257:1 gene:B456_006G009600 transcript:KJB33404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADLVKENDRKRSLHENHGFSTNSDSFSIANIEMQGSFVELNESQASFVGTFETGKDAAQIFSETRSAIRKKTKFRKRMEQLDSSDCSDQSQIDKHPEEVGLTNTDQTNTVSGPTLSDEGNSEEKIDSFDANDTSDVSLAIAIPPVSQSRKKLLVLDLNGLLADIVYNPSIDCTPDALVAGRAVFKRPYCDDFLKFCCERFEVGIWSSRNRKNVERFIDFLMGDMKQKLLFCWDSSYCTTTQFNTLGHKYKPLVFKDLRKLWEKHDPDLPWEKGYYNESNTLLIDDSPYKALLNPPHTAIFPHSFKFDMKDNSLGDGGDLKVYLERLASADNVQNFVEQNPLGQIAITERSQDWGFYSQVIDTCL >KJB33407 pep chromosome:Graimondii2_0_v6:6:2132486:2134787:1 gene:B456_006G009600 transcript:KJB33407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLQDGQVRTWFQKLYMLVNAFCILNIFKTNWKFASFLPVFKRPYCDDFLKFCCERFEVGIWSSRNRKNVERFIDFLMGDMKQKLLFCWDSSYCTTTQFNTLGHKYKPLVFKDLRKLWEKHDPDLPWEKGYYNESNTLLIDDSPYKALLNPPHTAIFPHSFKFDMKDNSLGDGGDLKVYLERLASADNVQNFVEQNPLGQIAITERSQDWGFYSQVIDTCL >KJB33405 pep chromosome:Graimondii2_0_v6:6:2130792:2132962:1 gene:B456_006G009600 transcript:KJB33405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADLVKENDRKRSLHENHGFSTNSDSFSIANIEMQGSFVELNESQASFVGTFETGKDAAQIFSETRSAIRKKTKFRKRMEQLDSSDCSDQSQIDKHPEEVGLTNTDQTNTVSGPTLSDEGNSEEKIDSFDANDTSDVSLAIAIPPVSQSRKKLLVLDLNGLLADIVYNPSIDCTPDALVAGRAVFKRPYCDDFLKFCCERFEVGIWSSRNRYFSFFFFWKQIIYCLLLVLDCCHGELMYHLTCWNFELLQEKCGKIY >KJB33406 pep chromosome:Graimondii2_0_v6:6:2131957:2132547:1 gene:B456_006G009600 transcript:KJB33406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADLVKENDRKRSLHENHGFSTNSDSFSIANIEMQGSFVELNESQASFVGTFETGKDAAQIFSETRSAIRKKTKFRKRMEQLDSSDCSDQSQIDKHPEEVGLTNTDQTNTVSGPTLSDEGNSEEKIDSFDANDTSDVSLAIAIPPVSQSRKKLLVLDLNGLLADIVYNPSIDCTPDALVAGRAGKNLVSKTLYAC >KJB33408 pep chromosome:Graimondii2_0_v6:6:2130792:2134886:1 gene:B456_006G009600 transcript:KJB33408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADLVKENDRKRSLHENHGFSTNSDSFSIANIEMQGSFVELNESQASFVGTFETGKDAAQIFSETRSAIRKKTKFRKRMEQLDSSDCSDQSQIDKHPEEVGLTNTDQTNTVSGPTLSDEGNSEEKIDSFDANDTSDVSLAIAIPPVSQSRKKLLVLDLNGLLADIVYNPSIDCTPDALVAGRAVFKRPYCDDFLKFCCERFEVGIWSSRNRIRLTAPRHNSILLGINISPWFLKI >KJB33402 pep chromosome:Graimondii2_0_v6:6:2130380:2135272:1 gene:B456_006G009600 transcript:KJB33402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLHLWELSRQARMQPRFFQKQGPTLSDEGNSEEKIDSFDANDTSDVSLAIAIPPVSQSRKKLLVLDLNGLLADIVYNPSIDCTPDALVAGRAVFKRPYCDDFLKFCCERFEVGIWSSRNRKNVERFIDFLMGDMKQKLLFCWDSSYCTTTQFNTLGHKYKPLVFKDLRKLWEKHDPDLPWEKGYYNESNTLLIDDSPYKALLNPPHTAIFPHSFKFDMKDNSLGDGGDLKVYLERLASADNVQNFVEQNPLGQIAITERSQDWGFYSQVIDTCL >KJB33403 pep chromosome:Graimondii2_0_v6:6:2132084:2134098:1 gene:B456_006G009600 transcript:KJB33403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLHLWELSRQARMQPRFFQKQGPTLSDEGNSEEKIDSFDANDTSDVSLAIAIPPVSQSRKKLLVLDLNGLLADIVYNPSIDCTPDALVAGRAVFKRPYCDDFLKFCCERFEVGIWSSRNRKNVERFIDFLMGDMKQKLLFCWDSSYCTTTQFNTLGHKYKPLVFKDLRKLWEKHDPDLPWEKGYYNESNTLLIDDSPYKALLNPVPDIYIYIFFSIFY >KJB37728 pep chromosome:Graimondii2_0_v6:6:47058208:47061183:1 gene:B456_006G218000 transcript:KJB37728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRFCTSLFHAKQLPFAFPLKPTFSTPRTLPKGLFFTSAIKMADTQKFRSFPSLEKASKPELLRALESSLGISFSSDSICPSPNPLIIVISGPSGVGKDAVIKRLREVRENLHFVVTATSRGMRPGEVNGKDYFFVSKDEFLSMVEKDELLEYALVYGDYKGIPKKQIRDFMAKGCDIVLRVDIQGAETLRKALGDSGVFIFLVAESELALVERLIDRKTESEEELLVRIATAREEVKRVKRFDYVVVNAKGKLEEAVDLVGSIIDAEKAKVRQREPMI >KJB37725 pep chromosome:Graimondii2_0_v6:6:47058238:47061183:1 gene:B456_006G218000 transcript:KJB37725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRFCTSLFHAKQLPFAFPLKPTFSTPRTLPKGLFFTSAIKMADTQKFRSFPSLEKASKPELLRALESSLGISFSSDSICPSPNPLIIVISGPSGVGKDAVIKRLREVRENLHFVVTATSRGMRPGEVNGKDYFFVSKDEFLSMVEKDELLEYALVYGDYKGIPKKQIRDFMAKGCDIVLRVDIQGAETLRKALGDSGVFIFLVAESELALVERLIDRKTESEEELLVRIATAREEVKRVKRFDYVVVNAKGKLEEAVDLVGSIIDAEKAKVRQREPMI >KJB37727 pep chromosome:Graimondii2_0_v6:6:47058355:47059849:1 gene:B456_006G218000 transcript:KJB37727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRFCTSLFHAKQLPFAFPLKPTFSTPRTLPKGLFFTSAIKMADTQKFRSFPSLEKASKPELLRALESSLGISFSSDSICPSPNPLIIVISGPSGVGKDAVIKRLREVRENLHFVVTATSRGMRPGEVNGKDYFFVSKDEFLSMVEKDELLEYALVYGDYKGIPKKQIRDFMAKGCDIVLRVDIQGAETLRKALGDSGVFIFLVAESELALVERLIDRKTESEEELLVRIATAREEVKRVKRFDYVVVNAKGKLEEAVDLVGSIIDAEKAKVRQREPMI >KJB37726 pep chromosome:Graimondii2_0_v6:6:47058208:47061129:1 gene:B456_006G218000 transcript:KJB37726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRFCTSLFHAKQLPFAFPLKPTFSTPRTLPKGLFFTSAIKMADTQKFRSFPSLEKASKPELLRALESSLGISFSSDSICPSPNPLIIVISGPSGVGKDAVIKRLREVRENLHFVVTATSRGMRPGEVNGKDYFFVSKDEFLSMVEKDELLEYALVYGDYKGIPKKQIRDFMAKGCDIVLRVDIQGAETLRKALGDSGVFIFLVAESELALVERLIDRKTESEEELLVRIATAREEVKRVKRFDYVVVNAKGKLEEAVDLVGSIIDAEKAKVRQREPMI >KJB34552 pep chromosome:Graimondii2_0_v6:6:28930507:28934479:-1 gene:B456_006G072000 transcript:KJB34552 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MSMNPFCEIALEEALKIKESGLAKEVVAVTMGPSNCVDTLRTGLAMGADRGIHVEAARDLVPLSVAKVLKKLVEVENPGLLILGKQAIDDDCNQTGQMIAALLGWPQGTFASKVVLDKEKQVATVDREVDGGLETLCLDLPAVITTDLRLNQPRYATLPNIMKAKSKPIKRYTPEELNVEIKSDLEVVQVTEPPKRKAGVILSSVDELIDKLKNEAHVI >KJB34556 pep chromosome:Graimondii2_0_v6:6:28931394:28934479:-1 gene:B456_006G072000 transcript:KJB34556 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MKIMVAVKRVVDYAVKIRIKPDKSGVETQNVKMSMNPFCEIALEEALKIKESGLAKEVVAVTMGPSNCVDTLRTGLAMGADRGIHVEAARDLVPLSVAKVLKKLVEVENPGLLILGKQAIDDDCNQTGQMIAALLGWPQGTFASKVVLDKEKQVATVDREVDGGLETLCLDLPAVIT >KJB34553 pep chromosome:Graimondii2_0_v6:6:28931813:28934378:-1 gene:B456_006G072000 transcript:KJB34553 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MKIMVAVKRVVDYAVKIRIKPDKSGVETQNVKMSMNPFCEIALEEALKIKESGLAKEVVAVTMGPSNCVDTLRTGLAMGADRGIHVEAARDLVPLSVAKVLKKLVEVENPGLLILGKQAIDDDCNQTGQMIAALLGWPQGTFASKVSCVGQGETGGNCR >KJB34555 pep chromosome:Graimondii2_0_v6:6:28930507:28934492:-1 gene:B456_006G072000 transcript:KJB34555 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MGPSNCVDTLRTGLAMGADRGIHVEAARDLVPLSVAKVLKKLVEVENPGLLILGKQAIDDDCNQTGQMIAALLGWPQGTFASKVVLDKEKQVATVDREVDGGLETLCLDLPAVITTDLRLNQPRYATLPNIMKAKSKPIKRYTPEELNVEIKSDLEVVQVTEPPKRKAGVILSSVDELIDKLKNEAHVI >KJB34557 pep chromosome:Graimondii2_0_v6:6:28931964:28934479:-1 gene:B456_006G072000 transcript:KJB34557 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MKIMVAVKRVVDYAVKIRIKPDKSGVETQNVKMSMNPFCEIALEEALKIKESGLAKEVVAVTMGPSNCVDTLRTGLAMGADRGIHVEAARDLVPLSVAKVLKKLVEVENPGLLILGKQAIDDDCNQTGQMIAALLGWPQGTFASKVSSTVILFGNYPSIAIYYFAFYLFFWFITYVFIVHIKL >KJB34551 pep chromosome:Graimondii2_0_v6:6:28930353:28934528:-1 gene:B456_006G072000 transcript:KJB34551 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MKIMVAVKRVVDYAVKIRIKPDKSGVETQNVKMSMNPFCEIALEEALKIKESGLAKEVVAVTMGPSNCVDTLRTGLAMGADRGIHVEAARDLVPLSVAKVLKKLVEVENPGLLILGKQAIDDDCNQTGQMIAALLGWPQGTFASKVVLDKEKQVATVDREVDGGLETLCLDLPAVITTDLRLNQPRYATLPNIMKAKSKPIKRYTPEELNVEIKSDLEVVQVTEPPKRKAGVILSSVDELIDKLKNEAHVI >KJB34554 pep chromosome:Graimondii2_0_v6:6:28930507:28934479:-1 gene:B456_006G072000 transcript:KJB34554 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MKIMVAVKRVVDYAVKIRIKPDKSGVETQNVKMSMNPFCEIALEEALKIKESGLAKEVLKKLVEVENPGLLILGKQAIDDDCNQTGQMIAALLGWPQGTFASKVVLDKEKQVATVDREVDGGLETLCLDLPAVITTDLRLNQPRYATLPNIMKAKSKPIKRYTPEELNVEIKSDLEVVQVTEPPKRKAGVILSSVDELIDKLKNEAHVI >KJB35300 pep chromosome:Graimondii2_0_v6:6:35237919:35242456:-1 gene:B456_006G109000 transcript:KJB35300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDILGPKWGRYYVGPIQFMVCYGAVIACTLLGGQCMKAIYLLSQPNGSMKLYEFVIIFGFLMLVLAQIPSFHSLRHINLISLFLCLAYSACAAAASIYIGNSSKGPTKDYGLKGDAESQIFGIFNAIAIIATTYGNGIIPEIQATIAPPVKGKMFKGLCVCYTVLIITFFTVAITGYWAFGNHSEGLLLSNFLDDGNPLVPKWFILMTNLFTILQLSAVGVVYLQPTNEVLERTFADPKSKELSARNVIPRVVSRSLSVVIATTVAAMLPFFGDINAVIGAFGFMPLDFILPVIFFNLTFKPSKRSLVFWLNVSIAVVFSALAIIAAIAAVRQIVLDAKTYRLFANV >KJB35299 pep chromosome:Graimondii2_0_v6:6:35237724:35242556:-1 gene:B456_006G109000 transcript:KJB35299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLTPYPKREKEREMGSLGNSANSKAVHEAGEDDYDHHKHLSPTHQQLDAGALFVLKSKGSWLHCGYHLTTSIVAPPLLSLPFAFTFLGWAAGIISLVVGALVTFYSYNLLSLVLEHHAQLGRRHLRFRDMANDILGPKWGRYYVGPIQFMVCYGAVIACTLLGGQCMKAIYLLSQPNGSMKLYEFVIIFGFLMLVLAQIPSFHSLRHINLISLFLCLAYSACAAAASIYIGNSSKGPTKDYGLKGDAESQIFGIFNAIAIIATTYGNGIIPEIQATIAPPVKGKMFKGLCVCYTVLIITFFTVAITGYWAFGNHSEGLLLSNFLDDGNPLVPKWFILMTNLFTILQLSAVGVVYLQPTNEVLERTFADPKSKELSARNVIPRVVSRSLSVVIATTVAAMLPFFGDINAVIGAFGFMPLDFILPVIFFNLTFKPSKRSLVFWLNVSIAVVFSALAIIAAIAAVRQIVLDAKTYRLFANV >KJB33941 pep chromosome:Graimondii2_0_v6:6:11043902:11051700:1 gene:B456_006G039500 transcript:KJB33941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDQWRMLKCAGGVQDKTIINRIMLRFRPIAPKPVTGDSASGESTFGNKNSGVTSMRVKRKYVRVCEKNNRRRRALDEAKDDKDGNKGSVTLQLMPERADLEKSAVVDSSGAGDHDLDRTVGDDYHQSHEDPPPLCLKLQKMVATDQEVAAAVTGLSDQATGRKVSVVESWVTVESITDSCMDEGDMGSCTDVDRMSNLDKDTYPGFISDGWHRVLWVNEAFKSMVGGEGAETALGLVVKEGFKFPQGAFSCRVGLRYGDGKGKKKQSKMVPCDVWKMSFGGFAWRLDVKAALGLGL >KJB37334 pep chromosome:Graimondii2_0_v6:6:45715542:45719243:1 gene:B456_006G200300 transcript:KJB37334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFQVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >KJB37335 pep chromosome:Graimondii2_0_v6:6:45715459:45719289:1 gene:B456_006G200300 transcript:KJB37335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFQVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >KJB37337 pep chromosome:Graimondii2_0_v6:6:45715760:45718452:1 gene:B456_006G200300 transcript:KJB37337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFQVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVVVFSIFDIPV >KJB37338 pep chromosome:Graimondii2_0_v6:6:45715760:45719243:1 gene:B456_006G200300 transcript:KJB37338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFQVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >KJB37336 pep chromosome:Graimondii2_0_v6:6:45715760:45718179:1 gene:B456_006G200300 transcript:KJB37336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFQVTIHFPPDYPFKPPKVFFFFYVILVSIYRQVVYSDSC >KJB38038 pep chromosome:Graimondii2_0_v6:6:48230986:48233502:1 gene:B456_006G233700 transcript:KJB38038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIKVPEFSFYFCSVLLILLVNNGCCDWGSSIHVGHEISLAVPLEYSDGFIGRAFLMDDGNGQVEPNFRVALSAEANKGGYSCSLEVFLGDVKVWNSGHHSQFYTMDTCVLELTEDGDLLLKGAEDQVGWRTGTSGQGVERLRILKTGNLVLVDVFDQIKWQSFNFPTDVMLWGQRLDLATRLTSYPRNSTSFYTFEIQHSKLALHLNSGLVLFNDEHRKMAQIPSWWRSIQPPSVRFLALGNETGNLGLYSYSPKKRSFEASFQVLNSKCDFPLACKPYGICTFSNACSCIRLATKEKHTVSNCSEGTSSSAQFCNGTQVEMLELNGVNTVLRDASTRVIVSKTACANLCLDDCKCVAALYSSGNGAKIFRECSIFRLVAGIKQVETGTGTSYMVKVPKGARYDYKETSVEKWVLIVVGVVDGLVIIILVVGGLAYHLIQKRRNNL >KJB38041 pep chromosome:Graimondii2_0_v6:6:48230986:48233502:1 gene:B456_006G233700 transcript:KJB38041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIKVPEFSFYFCSVLLILLVNNGCCDWGSSIHVGHEISLAVPLEYSDGFIGRAFLMDDGNGQVEPNFRVALSAEANKGGYSCSLEVFLGDVKVWNSGHHSQFYTMDTCVLELTEDGDLLLKGAEDQVGWRTGTSGQGVERLRILKTGNLVLVDVFDQIKWQSFNFPTDVMLWGQRLDLATRLTSYPRNSTSFYTFEIQHSKLALHLNSGKLKYSYWEFKPPNNTNISFLELGSKGLVLFNDEHRKMAQIPSWWRSIQPPSVRFLALGNETGNLGLYSYSPKKRSFEASFQVLNSKCDFPLACKPYGICTFSNACSCIRLATKEKHTVSNCSEGTSSSAQFCNGTQVEMLELNGVNTVLRDASTRVIVSKTACANLCLDDCKCVAALYSSGNGAKIFRECSIFRLVAGIKQVETGTGTSYMVKVPKGARYDYKETSVEKWVLIVVGVVDGLVIIILVVGGLAYHLIQKRRNNL >KJB38039 pep chromosome:Graimondii2_0_v6:6:48230986:48233502:1 gene:B456_006G233700 transcript:KJB38039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIKVPEFSFYFCSVLLILLVNNGCCDWGSSIHVGHEISLAVPLEYSDGFIGRAFLMDDGNGQVEPNFRVALSAEANKGGYSCSLEVFLGDVKVWNSGHHSQFYTMDTCVLELTEDGDLLLKGAEDQVGWRTGTSGQGVERLRILKTGNLVLVDVFDQIKWQSFNFPTDVMLWGQRLDLATRLTSYPRNSTSFYTFEIQHSKLALHLNSGKLKYSYWEFKPPNNTNISFLELGSKGLVLFNDEHRKMAQIPSWWRSIQPPSVRFLALGNETGNLGLYSYSPKKRSFEASFQVLNSKCDFPLACKPYGICTFSNACSCIRLATKEKHTVSNCSEGTSSSAQFCNGTQVEMLELNGVNTVLRDASTRVIVSKTACANLCLDDCKCVAALYSSGNGAKIFRECSIFRLVAGIKQVETGTGTSYMVKVPKGARYDYKETSVEKWVLIVVGVVDGLVIIILVVGGLAYHLIQKRRNNL >KJB38040 pep chromosome:Graimondii2_0_v6:6:48230986:48233502:1 gene:B456_006G233700 transcript:KJB38040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIKVPEFSFYFCSVLLILLVNNGCCDWGSSIHVGHEISLAVPLEYSDGFIGRAFLMDDGNGQVEPNFRVALSAEANKGGYSCSLEVFLGDVKVWNSGHHSQFYTMDTCVLELTEDGDLLLKGAEDQVGWRTGTSGQGVERLRILKTGNLVLVDVFDQIKWQSFNFPTDVMLWGQRLDLATRLTSYPRNSTSFYTFEIQHSKLALHLNSGKKMAQIPSWWRSIQPPSVRFLALGNETGNLGLYSYSPKKRSFEASFQVLNSKCDFPLACKPYGICTFSNACSCIRLATKEKHTVSNCSEGTSSSAQFCNGTQVEMLELNGVNTVLRDASTRVIVSKTACANLCLDDCKCVAALYSSGNGAKIFRECSIFRLVAGIKQVETGTGTSYMVKVPKGARYDYKETSVEKWVLIVVGVVDGLVIIILVVGGLAYHLIQKRRNNL >KJB36893 pep chromosome:Graimondii2_0_v6:6:43923815:43925735:1 gene:B456_006G181700 transcript:KJB36893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPIPLPSLSRSDKSNDFCEPQLKAASERARVTVVNWKMMKTLTSSCCSKPIIEKHNDVGFSCFKDLSLNRPQLCLFPSKDVVRIRSLHLLSLQHKRLHPLLSSQDSQVELETVETQPEQEIPSKTVNVRFQLEKECSFGEHFFIVGDHPMLGLWDPENAVPLTWSEGHVWTVELDVPVRVSIQFKFILKTSTGNLLWQPDPDRIFESRETETNNTIVVCEDWDKAEYQKIIEEEALTNQDGPLLDSEMAIVAENLTPPEEELVPDINHTSPGEEQLQALPEELATGNGDPSPEKPLAIVAENISYPTEDFIANAADDGVLDLKRTNYPDDEALDISNKNVLVAEDIGDISRATEEVEGNMIAYEGNPVLVPGLSPLTTVSTEEEMLSDDENSTINALIGVDEALDHNLSEVIA >KJB36896 pep chromosome:Graimondii2_0_v6:6:43924552:43926372:1 gene:B456_006G181700 transcript:KJB36896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLWDPENAVPLTWSEGHVWTVELDVPVRVSIQFKFILKTSTGNLLWQPDPDRIFESRETETNNTIVVCEDWDKAEYQKIIEEEALTNQDGPLLDSEMAIVAENLTPPEEELVPDINHTSPGEEQLQALPEELATGNGDPSPEKPLAIVAENISYPTEDFIANAADDGVLDLKRTNYPDDEALDISNKNVLVAEDIGDISRATEEVEGNMIAYEGNPVLVPGLSPLTTVSTEEEMLSDDENSTINALIGVDEALDHNLSEHNNVQLDEKPEPEGEQSEEETTAVAKDDEEQLNQHIQEPPVAKEELPDVAPFHRNVLQSDVQWGRKTLQKLLNGLRFL >KJB36894 pep chromosome:Graimondii2_0_v6:6:43923815:43926440:1 gene:B456_006G181700 transcript:KJB36894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPIPLPSLSRSDKSNDFCEPQLKAASERARVTVVNWKMMKTLTSSCCSKPIIEKHNDVGFSCFKDLSLNRPQLCLFPSKDVVRIRSLHLLSLQHKRLHPLLSSQDSQVELETVETQPEQEIPSKTVNVRFQLEKECSFGEHFFIVGDHPMLGLWDPENAVPLTWSEGHVWTVELDVPVRVSIQFKFILKTSTGNLLWQPDPDRIFESRETETNNTIVVCEDWDKAEYQKIIEEEALTNQDGPLLDSEMAIVAENLTPPEEELVPDINHTSPGEEQLQALPEELATGNGDPSPEKPLAIVAENISYPTEDFIANAADDGVLDLKRTNYPDDEALDISNKNVLVAEDIGDISRATEEVEGNMIAYEGNPVLVPGLSPLTTVSTEEEMLSDDENSTINALIGVDEALDHNLSELDEKPEPEGEQSEEETTAVAKDDEEQLNQHIQEPPVAKEELPDVAPFHRNVLQSDVQWGRKTLQKLLNGLRFL >KJB36895 pep chromosome:Graimondii2_0_v6:6:43923815:43926454:1 gene:B456_006G181700 transcript:KJB36895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPIPLPSLSRSDKSNDFCEPQLKAASERARVTVVNWKMMKTLTSSCCSKPIIEKHNDVGFSCFKDLSLNRPQLCLFPSKDVVRIRSLHLLSLQHKRLHPLLSSQDSQVELETVETQPEQEIPSKTVNVRFQLEKECSFGEHFFIVGDHPMLGLWDPENAVPLTWSEGHVWTVELDVPVRVSIQFKFILKTSTGNLLWQPDPDRIFESRETETNNTIVVCEDWDKAEYQKIIEEEALTNQDGPLLDSEMAIVAENLTPPEEELVPDINHTSPGEEQLQALPEELATGNGDPSPEKPLAIVAENISYPTEDFIANAADDGVLDLKRTNYPDDEALDISNKNVLVAEDIGDISRATEEVEGNMIAYEGNPVLVPGLSPLTTVSTEEEMLSDDENSTINALIGVDEALDHNLSEHNNVQLDEKPEPEGEQSEEETTAVAKDDEEQLNQHIQEPPVAKEELPDVAPFHRNVLQSDVQWGRKTLQKLLNGLRFL >KJB37220 pep chromosome:Graimondii2_0_v6:6:45259193:45262769:-1 gene:B456_006G195500 transcript:KJB37220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSSPLSTIRFLNFNSPLIISPLSFNFPKTTFTRFPNFSIHTTTSSPAITAAESQLAPPKPLPHLPWLIVGLGNPGKKYNATRHNVGFEMVDAIAESEGISINNVNFKALLGRGFIGNVPVMLAKPQTFMNSSGESVGSIVSHYKIPLKHVLVVYDDLDLPFAKLRLLPKGGHGGHNGMRSIIDSLKGSRDFPRLRIGIGRPPGKMDAVNFVLRPFNKQEREELEFTFERGIEAVRILLLEGFDKSATVVNSTKAMEQLG >KJB37222 pep chromosome:Graimondii2_0_v6:6:45259150:45262836:-1 gene:B456_006G195500 transcript:KJB37222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSSPLSTIRFLNFNSPLIISPLSFNFPKTTFTRFPNFSIHTTTSSPAITAAESQLAPPKPLPHLPWLIVGLGNPGKKYNATRHNVGFEMVDAIAESEGISINNVNFKALLGRGFIGNVPVMLAKPQTFMNSSGESVGSIVSHYKIPLKHVLVVYDDLDLPFAKLRLLPKGGHGGHNGMRSIIDSLKGSRDFPRLRIGIGRPPGKMDAVNFVLRPFNKQEREELEFTFERGIEAVRILLLEGFDKSATVVNSTKAMEQLG >KJB37218 pep chromosome:Graimondii2_0_v6:6:45259959:45262769:-1 gene:B456_006G195500 transcript:KJB37218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSSPLSTIRFLNFNSPLIISPLSFNFPKTTFTRFPNFSIHTTTSSPAITAAESQLAPPKPLPHLPWLIVGLGNPGKKYNATRHNVGFEMVDAIAESEGISINNVNFKALLGRGFIGNVPVMLAKPQTFMNSSGESVGSIVSHYKIPLKHVLVVYDDLDLPFAKLRLLPKGGHGGHNGMRSIIDSLKGSRDFPRLRIGIGRPPGKMDAVNFVLRPFNKQEREELEFTFERGIEAVRILLLEGFDKSATVVNSTKAMEQLG >KJB37221 pep chromosome:Graimondii2_0_v6:6:45260526:45262652:-1 gene:B456_006G195500 transcript:KJB37221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSSPLSTIRFLNFNSPLIISPLSFNFPKTTFTRFPNFSIHTTTSSPAITAAESQLAPPKPLPHLPWLIVGLGNPGKKYNATRHNVGFEMVDAIAESEGISINNVNFKALLGRGFIGNVPVMLAKPQTFMNSSGESVGSIVSHYKIPLKHVLVVYDDLDLPFAKLRLLPKGGHGGHNGMRSIIDSLKGSRDFPRLRIGIGRPPGKMDAVNFVLRPFNKQEREEVCKNI >KJB37219 pep chromosome:Graimondii2_0_v6:6:45260335:45262290:-1 gene:B456_006G195500 transcript:KJB37219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAIAESEGISINNVNFKALLGRGFIGNVPVMLAKPQTFMNSSGESVGSIVSHYKIPLKHVLVVYDDLDLPFAKLRLLPKGGHGGHNGMRSIIDSLKGSRDFPRLRIGIGRPPGKMDAVNFVLRPFNKQEREELEFTFERGIEAVRILLLEGFDKSATVVNSTKAMEQLG >KJB37217 pep chromosome:Graimondii2_0_v6:6:45259217:45262769:-1 gene:B456_006G195500 transcript:KJB37217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSSPLSTIRFLNFNSPLIISPLSFNFPKTTFTRFPNFSIHTTTSSPAITAAESQLAPPKPLPHLPWLIVGLGNPGKKYNATRHNVGFEMVDAIAESEGISINNVNFKALLGRGFIGNVPVMLAKPQTFMNSSGESVGSIVSHYKIPLKHVLVVYDDLDLPFAKLRLLPKGGHGGHNGMRSIIDSLKGSRDFPRLRIGIGRPPGKMDAVNFVLRPFNKQEREELEFTFERGIEAVRILLLEGFDKSATVVNSTKAMEQLG >KJB33386 pep chromosome:Graimondii2_0_v6:6:1917886:1926385:-1 gene:B456_006G008900 transcript:KJB33386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVEDDEPASKRMKLSSEELRRLSNGSTIKEPVAGSSRDLMARPLQSEGGEEVVGSKGVIKKVEFVRIIAKALYSLGYIKSGAHLEEESGIPLHSSVVNVFMRQILEGNWDESVVTLRNIGLTDERTVKSASFLILEQKFFELLDEEKAMDALNTLRTEIAPLGVNDSRVRELSSYIVSPSYCSLTRSPKQDTVRARSRSKLLEELQKLLPATVMVPEGRLEHLVEQALVLQRDACMFHNSLDKEMSLYSDHQCGRDQIPSQALQVYETGVSLKHKLSGHQKPLSSVSWSPDDRQLLTCGAEEVVRLWDVSSGECLHVYEKTGLGMVSCGWSPDGKWIFSGLNDKSICMWELDGKELECWKGQRTLKISDLEITGDGKQIISICRETAILLLDREAKVERFIEEDQTITSFSLSRDSRFLLINLLNQEIHLWNIEGDPKLVSKYRGHKRTRFIIRSCFGGLEQAFIASGSEDSLVYIWHRGTGELIETLPGHSGAVNCVSWNPANPHMLASASDDRTIRIWGLNNLSTKRKEPCSNGIHYCNGVY >KJB33387 pep chromosome:Graimondii2_0_v6:6:1917886:1926575:-1 gene:B456_006G008900 transcript:KJB33387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVEDDEPASKRMKLSSEELRRLSNGSTIKEPVAGSSRDLMARPLQSEGGEEVVGSKGVIKKVEFVRIIAKALYSLGYIKSGAHLEEESGIPLHSSVVNVFMRQILEGNWDESVVTLRNIGLTDERTVKSASFLILEQKFFELLDEEKAMDALNTLRTEIAPLGVNDSRVRELSSYIVSPSYCSLTRSPKQDTVRARSRSKLLEELQKLLPATVMVPEGRLEHLVEQALVLQRDACMFHNSLDKEMSLYSDHQCGRDQIPSQALQILQAHTDEVWFLQFSHNGKYLASSSNDQSAIIWEVYETGVSLKHKLSGHQKPLSSVSWSPDDRQLLTCGAEEVVRLWDVSSGECLHVYEKTGLGMVSCGWSPDGKWIFSGLNDKSICMWELDGKELECWKGQRTLKISDLEITGDGKQIISICRETAILLLDREAKVERFIEEDQTITSFSLSRDSRFLLINLLNQEIHLWNIEGDPKLVSKYRGHKRTRFIIRSCFGGLEQAFIASGSEDSLVYIWHRGTGELIETLPGHSGAVNCVSWNPANPHMLASASDDRTIRIWGLNNLSTKRKEPCSNGIHYCNGVY >KJB33388 pep chromosome:Graimondii2_0_v6:6:1917886:1926436:-1 gene:B456_006G008900 transcript:KJB33388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVEDDEPASKRMKLSSEELRRLSNGSTIKEPVAGSSRDLMARPLQSEGGEEVVGSKGVIKKVEFVRIIAKALYSLGYIKSGAHLEEESGIPLHSSVVNVFMRQILEGNWDESVVTLRNIGLTDERTVKSASFLILEQKFFELLDEEKAMDALNTLRTEIAPLGVNDSRVRELSSYIVSPSYCSLTRSPKQDTVRARSRSKLLEELQKLLPATVMVPEGRLEHLVEQALVLQRDACMFHNSLDKEMSLYSDHQCGRDQIPSQALQILQAHTDEVWFLQFSHNGKYLASSSNDQSAIIWEVYETGVSLKHKLSGHQKPLSSVSWSPDDRQLLTCGAEEVVRLWDVSSGECLHVYEKTGLGMVSCGWSPDGKWIFSGLNDKSICMWELDGKELECWKGQRTLKISDLEITGDGKQIISICRETAILLLDREAKVERFIEEDQTITSFSLSRDSRFLLINLLNQEIHLWNIEGDPKLVSKYRGHKRTRFIIRSCFGGLEQAFIASGSEDSLVYIWHRGTGELIETLPGHSGAVNCVSWNPANPHMLASASDDRTIRIWGLNNLSTKRKEPCSNGIHYCNGVY >KJB36299 pep chromosome:Graimondii2_0_v6:6:41043935:41049799:-1 gene:B456_006G151200 transcript:KJB36299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPWLLVFLVFVIVFTSQFEWKQQFGEEIEPTSTVSVKDQYVSKRQESVKEKIILSQERNIQKLNELVKSLQEQLLRCKAENEVTNRSTFALTEHLTELEQQPMLDD >KJB36298 pep chromosome:Graimondii2_0_v6:6:41045834:41049833:-1 gene:B456_006G151200 transcript:KJB36298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPWLLVFLVFVIVFTSQFEWKQQFGEEIEPTSTVSVKDQYVSKRQESVKEKIILSQERNIQKLNELVKSLQEQLLRCKAENEVTNRSTFALTEHLTELEQQPMLDD >KJB36297 pep chromosome:Graimondii2_0_v6:6:41043296:41049885:-1 gene:B456_006G151200 transcript:KJB36297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPWLLVFLVFVIVFTSQFEWKQQFGEEIEPTSTVSVKDQYVSKRQESVKEKIILSQERNIQKLNELVKSLQEQLLRCKAENEVTNRSTFALTEHLTELEQQPMLDD >KJB36593 pep chromosome:Graimondii2_0_v6:6:42610504:42614696:1 gene:B456_006G166400 transcript:KJB36593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFMESKEKPKEMEKCLDSQLWHACAGGMVQMPSVNTKVFYFPQGHSEHACGTVDFRNCPRIQAYILCRVAAVKFMADPETDEVFAKIRLIPVNTNDPDFEDDGIGSINGNETQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCIGIRRAKRGIGGGPESSTGWNATAGNCMIPYGGFSAFLREDEGKLMRNGSSNGVSSNGNLMGKRKVRTEQVIEAATLASNGQQFEVVYYPRASTPEFCVKASLVKAALQIRWCSGMRFKMAFETEDSSRISWFMGTISSVQVADPLHWPDSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPPRKKLRLPQHSDFHLDGQLPMPTFSGNLLGASNPFGCLPNTAPAGMQGARHAHYGLSLSDLHLNKLQSGLFPASFPPLDYAPVPNRTSNGGPNIRKPSMSENVSCVLTMSHPSQNTKKADGAKTPQLVLFGRPILTEQQISLSCSGDTISPVLTGNSSSEYLDKAANFSDGSGSALHQQGLPERASCEGLPWYKNSRQETEPNLETGHCKVFMESEDVGRTLDLSLLGSYDELQRKLADMFGIENSETLSHLLYRDATGAVKQIGEEPFSDFMKTARRLTILTDSSSDNVGEYRGRSKP >KJB36594 pep chromosome:Graimondii2_0_v6:6:42611667:42614016:1 gene:B456_006G166400 transcript:KJB36594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFMESKEKPKEMEKCLDSQLWHACAGGMVQMPSVNTKVFYFPQGHSEHACGTVDFRNCPRIQAYILCRVAAVKFMADPETDEVFAKIRLIPVNTNDPDFEDDGIGSINGNETQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCIGIRRAKRGIGGGPESSTGWNATAGNCMIPYGGFSAFLREDEGKLMRNGSSNGVSSNGNLMGKRKVRTEQVIEAATLASNGQQFEVVYYPRASTPEFCVKASLVKAALQIRWCSGMRFKMAFETEDSSRISWFMGTISSVQVADPLHWPDSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPPRKKLRLPQHSDFHLDGQLPMPTFSGNLLGASNPFGCLPNTAPAGMQGARHAHYGLSLSDLHLNKLQSGLFPASFPPLDYAPVPNRTSNGGPNIRKPSMSENVSCVLTMSHPSQNTKKADGAKTPQLVLFGRPILTEQQISLSCSGDTISPVLTGNSSSEYLDKAANFSDGSGSALHQQGLPERASCEGLPWYKNSRQETEPNLETGHCKVFMESEDVGRTLDLSLLGSYDELQRKLADMFGIENSETLSHLLYRDATGAVKQIGEEPFR >KJB36595 pep chromosome:Graimondii2_0_v6:6:42611170:42614657:1 gene:B456_006G166400 transcript:KJB36595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFMESKEKPKEMEKCLDSQLWHACAGGMVQMPSVNTKVFYFPQGHSEHACGTVDFRNCPRIQAYILCRVAAVKFMADPETDEVFAKIRLIPVNTNDPDFEDDGIGSINGNETQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCIGIRRAKRGIGGGPESSTGWNATAGNCMIPYGGFSAFLREDEGKLMRNGSSNGVSSNGNLMGKRKVRTEQVIEAATLASNGQQFEVVYYPRASTPEFCVKASLVKAALQIRWCSGMRFKMAFETEDSSRISWFMGTISSVQVADPLHWPDSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPPRKKLRLPQHSDFHLDGQLPMPTFSGNLLGASNPFGCLPNTAPAGMQGARHAHYGLSLSDLHLNKLQSGLFPASFPPLDYAPVPNRTSNGGPNIRKPSMSENVSCVLTMSHPSQNTKKADGAKTPQLVLFGRPILTEQQISLSCSGDTISPVLTGNSSSEYLDKAANFSDGSGSALHQQGLPERASCEGLPWYKNSRQETEPNLETGHCKVFMESEDVGRTLDLSLLGSYDELQRKLADMFGIENSETLSHLLYRDATGAVKQIGEEPFSDFMKTARRLTILTDSSSDNVGEYRGRSKP >KJB38490 pep chromosome:Graimondii2_0_v6:6:49857481:49861490:1 gene:B456_006G256500 transcript:KJB38490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRSCTLRFMILFSIASFLYQSCYSFLSPPSSIINPSKVKQVSWKPRAFVYEGFLTDLECDHLISLAKSELKRSAVADNVSGKSKLSEVRTSSGMFISKAKDPIVAGIEDKISTWTFLPKENGEDIQVLRYEHGQKYDPHYDYFVDKVNIARGGHRTATVLMYLTNVTKGGETVFPEAEESSLHTTPAKDDLSDCAKKGIAVKPRRGDALLFFSLHPNAIPDPSSLHAGCPVTEGEKWSATKWIHVDSFDKNLAAGDNCMDSNESCERWAVLGECSKNPEYMIGSPELPGYCRRSCKVC >KJB34692 pep chromosome:Graimondii2_0_v6:6:30416003:30422953:1 gene:B456_006G079000 transcript:KJB34692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGGSSPLVGSEIHGFRTLADLDVQTMMEEAKSRWLRPNEIHAILCNHKYFPIYMKPVNLPKSGTIVFFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDNPTFVRRCYWLLDKSLEHIVLVHYRETQESQGSPATPGNSNSSSITDQSTPLNVMEEFDSGAGNAYYEEPGNSVDVRNHEMRLHEINTLEWDELLVTNDSNDSTISRRDNNSCFQQLNQTTGNGFLNYGGPISADNLSTEISMLVNLVEPVAQSNNTYFNTPTTVCNTISSSQINPNVQQKDSIATGAGDPLDLLINDGLNSQGSFGKWVNYTITESPVSGDSMQESSVSSVQDSFTSPEYIFTITEVSHEWAYSTEKTKILVTGFFHQAYQHLVKSNLVCVCGDVCNPAEVIQVGVYRCVLPQHSPGLVNLYMSLDGHKPISQVLSFEYRVPLSHDPLVPVEDESRWKEFQLQMRLAYLLFSTSKNLNILSGKVSPNTLKEAKNFAQKTSSISNSWTYLLKSIEENRASFTQAKDGLFEIALKNRLKDWLLERIIEGSKITDFDTEGLGVLHLCAILGYTWAIHLFSWSGLSLDFRDKRGWTALHWAAYYGREKMVAALLSAGAKSYLVTDPTTQNPNGCTAADLASLKGYDGLAAYLSEEALVAQFNEMAVAGNASGSLKTSRTEVTHTDTLNEDELYLKDTLAAYRTAADAAARIQNAFRAHSLKIRTKAIESSTPEDEARSIVAAMKIQHAFRNFETKRKMAAAARIQYRFRTWKIRKEFLNLRHQATKIQAAFRGLLVRRQYRKITWSVGVLEKAILRWRLKRKGLRGLQINTVDTVTEQRPESDTEEDFYRTSRRQAEQRVEKAVVRVQAMFRSKKAQEDYRRMKLAYDQAMLEYQSLRDPTS >KJB36226 pep chromosome:Graimondii2_0_v6:6:40584690:40588803:1 gene:B456_006G147400 transcript:KJB36226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKLDSHMQSAAAFVQGGILDANDDSCSICLEEFSASDPSTVTNCKHEFHLQCILEWCQRSSQCPMCWQAISLKDPASQELLQAVETERSIRVTAPRNTAIFHHPALGGFELQHLPVGVNDPELEERIIQHLAAAAAMGRTHHAGRREGQRRSAHSHQHFLVFSHPSTQHPGSGSSAQTQTAGESEAPAITVARPSGPLSSRDEASPFPSSQNTSASGSTVSPVNRRGFSFNNRSTSSNSSLPNQEGAGPSEFQSFSESIKSRLNAVSWRYRESISKSTRGWKERLFSRSSSMSDIGNEVRREVSAGIASVSRMMERLEINGNSRENQAASLSQHLSESSVTEQDNQRNTGPRGIIPSRPPHATSSLSR >KJB36227 pep chromosome:Graimondii2_0_v6:6:40585490:40587741:1 gene:B456_006G147400 transcript:KJB36227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKLDSHMQSAAAFVQGGILDANDDSCSICLEEFSASDPSTVTNCKHEFHLQCILEWCQRSSQCPMCWQAISLKDPASQELLQAVETERSIRVTAPRNTAIFHHPALGGFELQHLPVGVNDPELEERIIQHLAAAAAMGRTHHAGRREGQRRSAHSHQHFLVFSHPSTQHPGSGSSAQTQTAGESEAPAITVARPSGPLSSRDEASPFPSSQNTSASGSTVSPVNRRGFSFNNRTSSNSSLPNQEGAGPSEFQSFSESIKSRLNAVSWRYGGRIQNSSYSNSLEI >KJB36229 pep chromosome:Graimondii2_0_v6:6:40583912:40588803:1 gene:B456_006G147400 transcript:KJB36229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKLDSHMQSAAAFVQGGILDANDDSCSICLEEFSASDPSTVTNCKHEFHLQCILEWCQRSSQCPMCWQAISLKDPASQELLQAVETERSIRVTAPRNTAIFHHPALGGFELQHLPVGVNDPELEERIIQHLAAAAAMGRTHHAGRREGQRRSAHSHQHFLVFSHPSTQHPGSGSSAQTQTAGESEAPAITVARPSGPLSSRDEASPFPSSQNTSASGSTVSPVNRRGFSFNNRSTSSNSSLPNQEGAGPSEFQSFSESIKSRLNAVSWRYRESISKSTRGWKERLFSRSSSMSDIGNEVRREVSAGIASVSRMMERLEINGNSRENQAASLSQHLSESSVTEQDNQRNTGPRGIIPSRPPHATSSLSR >KJB36228 pep chromosome:Graimondii2_0_v6:6:40584045:40588791:1 gene:B456_006G147400 transcript:KJB36228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKLDSHMQSAAAFVQGGILDANDDSCSICLEEFSASDPSTVTNCKHEFHLQCILEWCQRSSQCPMCWQAISLKDPASQELLQAVETERSIRVTAPRNTAIFHHPALGGFELQHLPVGVNDPELEERIIQHLAAAAAMGRTHHAGRREGQRRSAHSHQHFLVFSHPSTQHPGSGSSAQTQTAGESEAPAITVARPSGPLSSRDEASPFPSSQNTSASGSTVSPVNRRGFSFNNRTSSNSSLPNQEGAGPSEFQSFSESIKSRLNAVSWRYRESISKSTRGWKERLFSRSSSMSDIGNEVRREVSAGIASVSRMMERLEINGNSRENQAASLSQHLSESSVTEQDNQRNTGPRGIIPSRPPHATSSLSR >KJB36722 pep chromosome:Graimondii2_0_v6:6:43211940:43214999:-1 gene:B456_006G173000 transcript:KJB36722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MGRIATAAIVSLWVIPVSVLVNRIVPDPYMDEIFHIPQAQKYCNGDFMSWDPMITTPPGLYYLSLVHVAALLPSKYVLQLASSFPEACSTAVLRSVNGVLAVLCSIIVYEIITHLRPAFDDRKLGAMAVVIRQTNIIWMLFVACSGVIDITMAHQKDSVEVDDSKASNKGTNLSALNTSVNVSSNLKRRKSRGNSKANQHIFYPSNASSASPTLGLLHEIQAIVLSSWRMKWELLVSFSPFFFVLLAFVAFLLWNGSVVLGAKEAHAVSPHFAQIMYFSIVSTLFAAPLHFTIGHALDLFQSFWKNRLLGFLLLFLASIASLLSVHFFSIAHPYLLADNRHYTFYLWRKIIIFHWSMKYLLVPFYVYSWFSIFRLLGKTRMRIWTLVYFFATSAVLIPAPLIEFRYYTIPFYFFILHTSINDSRSWLLIGILYTVLNAFTMTMFLFRPFHWDHVPGVQRFIW >KJB36721 pep chromosome:Graimondii2_0_v6:6:43211875:43215027:-1 gene:B456_006G173000 transcript:KJB36721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MGRIATAAIVSLWVIPVSVLVNRIVPDPYMDEIFHIPQAQKYCNGDFMSWDPMITTPPGLYYLSLVHVAALLPSKYVLQLASSFPEACSTAVLRSVNGVLAVLCSIIVYEIITHLRPAFDDRKATLFAAVLALYPLHWFFTFLYYTDVASLTAVLAMYLACLKKKYLFSALLGAMAVVIRQTNIIWMLFVACSGVIDITMAHQKDSVEVDDSKASNKGTNLSALNTSVNVSSNLKRRKSRGNSKANQHIFYPSNASSASPTLGLLHEIQAIVLSSWRMKWELLVSFSPFFFVLLAFVAFLLWNGSVVLGAKEAHAVSPHFAQIMYFSIVSTLFAAPLHFTIGHALDLFQSFWKNRLLGFLLLFLASIASLLSVHFFSIAHPYLLADNRHYTFYLWRKIIIFHWSMKYLLVPFYVYSWFSIFRLLGKTRMRIWTLVYFFATSAVLIPAPLIEFRYYTIPFYFFILHTSINDSRSWLLIGILYTVLNAFTMTMFLFRPFHWDHVPGVQRFIW >KJB36723 pep chromosome:Graimondii2_0_v6:6:43212015:43213396:-1 gene:B456_006G173000 transcript:KJB36723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MKWELLVSFSPFFFVLLAFVAFLLWNGSVVLGAKEAHAVSPHFAQIMYFSIVSTLFAAPLHFTIGHALDLFQSFWKNRLLGFLLLFLASIASLLSVHFFSIAHPYLLADNRHYTFYLWRKIIIFHWSMKYLLVPFYVYSWFSIFRLLGKTRMRIWTLVYFFATSAVLIPAPLIEFRYYTIPFYFFILHTSINDSRSWLLIGILYTVLNAFTMTMFLFRPFHWDHVPGVQRFIW >KJB36965 pep chromosome:Graimondii2_0_v6:6:44205821:44207589:1 gene:B456_006G184800 transcript:KJB36965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDASPQLRSVPPSSDFHPEISLSQSPTHDGLHFWQFMIAGSIAGTVEHMAMFPLDTLKTRMQALGASCSVQPVSVRQALGSILKLEGPPGLYRGIAAMGLGAGPAHAVYFSVYELSKQVLSRGDPNNSMVHAASGVVATVTSDAVFTPMDMVKQRLQLKNSPYKGVAECVRMVVMEEGIGAFYASYRTTVIMNAPFTAVHFATYEAAKRELMEVSPDTANDERLVIHATAGAGAGALAAALTTPLDVVKTQLQCQGVCGCDKFSSSSIGNVIETIVKKDGYRGLMRGWIPRTLFHAPAAAICWSIYEASKSFFQQLNSR >KJB34296 pep chromosome:Graimondii2_0_v6:6:21236130:21238815:1 gene:B456_006G057900 transcript:KJB34296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDKLDYVLVPLGLLLLSLYHLWLLCTIIKHPTRTVIGLNAQSRHQWVVSMMSDPLKNGVLAVQTIRNNIMASTLLATIAITLSSLISVFVSSSSDSGNTSSEIVFGNKTRLLSSIKYFSILLCFLVAFLCNVQSIRYYAHVSFLVTLSSPIDNMETVEYVARNLNRGSYFWSLGLRAFYLSFSLLLWIFGPIPMFLCSCMMSFLLYFLDTTSSFTRQLHRHSFNENSLKADDLESISLLS >KJB34295 pep chromosome:Graimondii2_0_v6:6:21236130:21238815:1 gene:B456_006G057900 transcript:KJB34295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYHYQASYKDCHRLKCPVSSPMGCLHDDPLKNGVLAVQTIRNNIMASTLLATIAITLSSLISVFVSSSSDSGNTSSEIVFGNKTRLLSSIKYFSILLCFLVAFLCNVQSIRYYAHVSFLVTLSSPIDNMETVEYVARNLNRGSYFWSLGLRAFYLSFSLLLWIFGPIPMFLCSCMMSFLLYFLDTTSSFTRQLHRHSFNENSLKADDLESISLLSEKYSVEEMNFHCPLLHAASNSSTTSNHC >KJB34294 pep chromosome:Graimondii2_0_v6:6:21236080:21239016:1 gene:B456_006G057900 transcript:KJB34294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDKLDYVLVPLGLLLLSLYHLWLLCTIIKHPTRTVIGLNAQSRHQWVVSMMSDPLKNGVLAVQTIRNNIMASTLLATIAITLSSLISVFVSSSSDSGNTSSEIVFGNKTRLLSSIKYFSILLCFLVAFLCNVQSIRYYAHVSFLVTLSSPIDNMETVEYVARNLNRGSYFWSLGLRAFYLSFSLLLWIFGPIPMFLCSCMMSFLLYFLDTTSSFTRQLHRHSFNENSLKADDLESISLLSEKYSVEEMNFHCPLLHAASNSSTTSNHC >KJB38413 pep chromosome:Graimondii2_0_v6:6:49679025:49680631:1 gene:B456_006G253700 transcript:KJB38413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNTSVSPENMSSSSKTIVWFRRDLRIDDNPALAAAARDGSVFPVYIWCPKEEEQFYPGRVSRWWLKQSLAHLEQSLKSLGAELVLIKTHSTLSALLDCIKATGATKLVFNHLYDPVSLVRDHNIKEKLAEAGISVRSYNGDLLYEPWEIYDEKGQAFTTFDAYWDKCLNMQMEPISFLPPWRLVQVAVTGTVERCSIEDLGLENETEKASNALLGRAWSPGWGSADKAIMEFVEHNLCDYSRSRLKVGGNSTSLLSPYLHFGELSVRKVFQSARMKQILWRREQNSQGEESVTLFLKAVGLREYSRYLTFNFPFTHERPLLSNLKYFPWNADVNRFKAWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLLLPWRWGMKYFWHTLLDADLECDILGWQYISGSLPDGHELERLDSPQVRRVPKKLINYIY >KJB38412 pep chromosome:Graimondii2_0_v6:6:49678673:49681689:1 gene:B456_006G253700 transcript:KJB38412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNTSVSPENMSSSSKTIVWFRRDLRIDDNPALAAAARDGSVFPVYIWCPKEEEQFYPGRVSRWWLKQSLAHLEQSLKSLGAELVLIKTHSTLSALLDCIKATGATKLVFNHLYDPVSLVRDHNIKEKLAEAGISVRSYNGDLLYEPWEIYDEKGQAFTTFDAYWDKCLNMQMEPISFLPPWRLVQVAVTGTVERCSIEDLGLENETEKASNALLGRAWSPGWGSADKAIMEFVEHNLCDYSRSRLKVGGNSTSLLSPYLHFGELSVRKVFQSARMKQILWRREQNSQGEESVTLFLKAVGLREYSRYLTFNFPFTHERPLLSNLKYFPWNADVNRFKAWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLLLPWRWGMKYFWHTLLDADLECDILGWQYISGSLPDGHELERLDSPQTDSRLQI >KJB38414 pep chromosome:Graimondii2_0_v6:6:49678673:49681689:1 gene:B456_006G253700 transcript:KJB38414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNTSVSPENMSSSSKTIVWFRRDLRIDDNPALAAAARDGSVFPVYIWCPKEEEQFYPGRVSRWWLKQSLAHLEQSLKSLGAELVLIKTHSTLSALLDCIKATGATKLVFNHLYDPVSLVRDHNIKEKLAEAGISVRSYNGDLLYEPWEIYDEKGQAFTTFDAYWDKCLNMQMEPISFLPPWRLVQVAVTGTVERCSIEDLGLENETEKASNALLGRAWSPGWGSADKAIMEFVEHNLCDYSRSRLKVGGNSTSLLSPYLHFGELSVRKVFQSARMKQILWRREQNSQGEESVTLFLKAVGLREYSRYLTFNFPFTHERPLLSNLKYFPWNADVNRFKAWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLLLPWRWGMKYFWHTLLDADLECDILGWQYISGSLPDGHELERLDSPQIQGSKFDPEGEYVRQWLPELARMPTEVPSFQNGNNGSLHRKRAKCVEEERLPADKPNNHNKQAGTSRGEEDLCSTAESSASKRQSISRMSFSVPRSCSLSDGRPMQECELSDMKQSWQEKIDLEQTSSKNGN >KJB38411 pep chromosome:Graimondii2_0_v6:6:49678555:49681689:1 gene:B456_006G253700 transcript:KJB38411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNTSVSPENMSSSSKTIVWFRRDLRIDDNPALAAAARDGSVFPVYIWCPKEEEQFYPGRVSRWWLKQSLAHLEQSLKSLGAELVLIKTHSTLSALLDCIKATGATKLVFNHLYDPVSLVRDHNIKEKLAEAGISVRSYNGDLLYEPWEIYDEKGQAFTTFDAYWDKCLNMQMEPISFLPPWRLVQVAVTGTVERCSIEDLGLENETEKASNALLGRAWSPGWGSADKAIMEFVEHNLCDYSRSRLKVGGNSTSLLSPYLHFGELSVRKVFQSARMKQILWRREQNSQGEESVTLFLKAVGLREYSRYLTFNFPFTHERPLLSNLKYFPWNADVNRFKAWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLLLPWRWGMKYFWHTLLDADLECDILGWQYISGSLPDGHELERLDSPQIQGSKFDPEGEYVRQWLPELARMPTEWIHHPWDAPHTVLKAAGVELGLNYPKPIIDIDIAREHLREAIFKMWEMEAAAKAATSDGMNEEVFDNSIGIETSTIPKVILKENSSCPTYSSNDQRVPSFQNGNNGSLHRKRAKCVEEERLPADKPNNHNKQAGTSRGEEDLCSTAESSASKRQSISRMSFSVPRSCSLSDGRPMQECELSDMKQSWQEKIDLEQTSSKNGN >KJB38415 pep chromosome:Graimondii2_0_v6:6:49679722:49681689:1 gene:B456_006G253700 transcript:KJB38415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVEHNLCDYSRSRLKVGGNSTSLLSPYLHFGELSVRKVFQSARMKQILWRREQNSQGEESVTLFLKAVGLREYSRYLTFNFPFTHERPLLSNLKYFPWNADVNRFKAWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLLLPWRWGMKYFWHTLLDADLECDILGWQYISGSLPDGHELERLDSPQIQGSKFDPEGEYVRQWLPELARMPTEWIHHPWDAPHTVLKAAGVELGLNYPKPIIDIDIAREHLREAIFKMWEMEAAAKAATSDGMNEEVFDNSIGIETSTIPKVILKENSSCPTYSSNDQRVPSFQNGNNGSLHRKRAKCVEEERLPADKPNNHNKQAGTSRGEEDLCSTAESSASKRQSISRMSFSVPRSCSLSDGRPMQECELSDMKQSWQEKIDLEQTSSKNGN >KJB38410 pep chromosome:Graimondii2_0_v6:6:49678463:49681689:1 gene:B456_006G253700 transcript:KJB38410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNTSVSPENMSSSSKTIVWFRRDLRIDDNPALAAAARDGSVFPVYIWCPKEEEQFYPGRVSRWWLKQSLAHLEQSLKSLGAELVLIKTHSTLSALLDCIKATGATKLVFNHLYDPVSLVRDHNIKEKLAEAGISVRSYNGDLLYEPWEIYDEKGQAFTTFDAYWDKCLNMQMEPISFLPPWRLVQVAVTGTVERCSIEDLGLENETEKASNALLGRAWSPGWGSADKAIMEFVEHNLCDYSRSRLKVGGNSTSLLSPYLHFGELSVRKVFQSARMKQILWRREQNSQGEESVTLFLKAVGLREYSRYLTFNFPFTHERPLLSNLKYFPWNADVNRFKAWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLLLPWRWGMKYFWHTLLDADLECDILGWQYISGSLPDGHELERLDSPQIQGSKFDPEGEYVRQWLPELARMPTEWIHHPWDAPHTVLKAAGVELGLNYPKPIIDIDIAREHLREAIFKMWEMEAAAKAATSDGMNEEVFDNSIGIETSTIPKVILKENSSCPTYSSNDQRVPSFQNGNNGSLHRKRAKCVEEERLPADKPNNHNKQAGTSRGEEDLCSTAESSASKRQSISRMSFSVPRSCSLSDGRPMQECELSDMKQSWQEKIDLEQTSSKNGN >KJB35030 pep chromosome:Graimondii2_0_v6:6:33578080:33582800:-1 gene:B456_006G096400 transcript:KJB35030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKGGKDEAKVMNPMFPRLHVNDAEKGGPKAPPRNKMALYEQLSITSQRFNSGSQSMLPFPPNNSNILVPSMSSSHGGGNERNMFMPFANSHESSVLADEKFDSCSIPGTKLNTMKGNQDRKSSKTTKCRSFDPLQPLHFLNFKKFSYRSVGLDDDLTVPTSILPGMDRNHGCSKQSEGRESFSKSNLSSSMQFWASNKKQMKENGRLCESSQDLMERSNSIVSTRDEILAGTSLDLSTKIKNPGSLKRPHAVMNQENNSITVDMLNSGDVPDARLICSRQSLGVDNENRNLVHEEKTHGATEVEGVNRHNNVPDASEYISASDICPDDVVGIIGEKHFWKVRRAIANQQRVFSMQVFELHRLIKVQRLIAGSPHMLFEDTFHIDRPSFDVSAIKKLSDDVPQPPLIVKVKSNSRKPDTNIECANDNAFAELPCASANDETKGLVIHQPKYESYSGNAFSTLMTANSGLSPWCVSPPGNQWLVPVMSPSEGLVYKPYTWPIPPTAGLLTPVYGSCGIVNLGVSGRDFSNTAKNLAASHQHDIEILRTDPPISQTNFPHYGMPVMNPSVTGSALEQMSRVIAVQSKANFTMAQPSSCNTSSQLSQAISYSTQKLPAPNETEIQGTTASSPSDRAKVDALPLFPTEPPTTAANCDARTHQQRTKVIKVIPHNRVSATESAARIFQSIQEERKQYD >KJB35029 pep chromosome:Graimondii2_0_v6:6:33578082:33582800:-1 gene:B456_006G096400 transcript:KJB35029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKGGKDEAKVMNPMFPRLHVNDAEKGGPKAPPRNKMALYEQLSITSQRFNSGSQSMLPFPPNNSNILVPSMSSSHGGGNERNMFMPFANSHESSVLADEKFDSCSIPGTKLNTMKGNQDRKSSKTTKCRSFDPLQPLHFLNFKKFSYRSVGLDDDLTVPTSILPGMDRNHGCSKQSEGRESFSKSNLSSSMQFWASNKKQMKENGRLCESSQDLMERSNSIVSTRDEILAGTSLDLSTKIKNPGSLKRPHAVMNQENNSITVDMLNSGDVPDARLICSRQSLGVDNENRNLVHEEKTHGATEVEGVNRHNNVPDASEYISASDICPDDVVGIIGEKHFWKVRRAIANQQRVFSMQVFELHRLIKVQRLIAGSPHMLFEDTFHIDRPSFDVSAIKKLSDDVPQPPLIVKVKSNSRKPDTNIECANDNAFAELPCASANDETKGLVIHQPKYESYSGNAFSTLMTANSGLSPWCVSPPGNQWLVPVMSPSEGLVYKPYTWPIPPTAGLLTPVYGSCGIVNLGVSGRDFSNTAKNLAASHQHDIEILRTDPPISQTNFPHYGMPVMNPSVTGSALEQMSRVIAVQSKANFTMAQPSSCNTSSQLSQAISYSTQKLPAPNETEIQGTTASSPSDRAKVDALPLFPTEPPTTAANCDARTHQQRTKVIKVIPHNRVSATESAARIFQSIQEERKQYD >KJB34166 pep chromosome:Graimondii2_0_v6:6:18100635:18102486:1 gene:B456_006G051400 transcript:KJB34166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLPSPSSFSITPYSFLCLNFISYPLFKYSQNHSYRYPSLLGLSIFTLHAMAIDCISNIASMTHHPKDEKKDEQKKLVFDASVLKFESQIPKEFIWPDDEKPSANAPELQVPLIDLGGFLSGDPVATMEASRFISEACQQHGFFLVVNHGVDAKLLADAHKYMDNFFLLPLRQKQRAQRKLGEHCGYASSFTGRFSTKLPWKETLSFRYSAENNSSKMVEDYLVNKMGNELRQLGRVYQDYCEAMSKLSLGIMELLAISLGVGRAHFREFFDKNDSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDRVGGLQVFVDNEWHSISPNFEAFVVNIGDTFMVTYLFS >KJB34165 pep chromosome:Graimondii2_0_v6:6:18100557:18102594:1 gene:B456_006G051400 transcript:KJB34165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLPSPSSFSITPYSFLCLNFISYPLFKYSQNHSYRYPSLLGLSIFTLHAMAIDCISNIASMTHHPKDEKKDEQKKLVFDASVLKFESQIPKEFIWPDDEKPSANAPELQVPLIDLGGFLSGDPVATMEASRFISEACQQHGFFLVVNHGVDAKLLADAHKYMDNFFLLPLRQKQRAQRKLGEHCGYASSFTGRFSTKLPWKETLSFRYSAENNSSKMVEDYLVNKMGNELRQLGRVYQDYCEAMSKLSLGIMELLAISLGVGRAHFREFFDKNDSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDRVGGLQVFVDNEWHSISPNFEAFVVNIGDTFMALSNGRYKSCLHRAVVNSHKPRKSLAFFLCPEGDKVVTPPAELVSQNSPRVYPDFTWPMLLEFTQKHYRADMNTLQEFSNWVQQRNS >KJB33913 pep chromosome:Graimondii2_0_v6:6:10691050:10695426:-1 gene:B456_006G038400 transcript:KJB33913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVAVKLYSVFFKFLLKQRLQSWIQDPIDESSNPYGVTTRPEESVSAPNPCFTDGVATKDIHIDPFTALCIRIFLPESSLSPPEQSHPKSHLRSSLLDPNSINHRRNSYAPSDTGTPRHDSRRSSLDGLNSRSDNNVYRGYSPQPQKCRKLPIMLQFHGGGWVSGSNESVANDFFCRRIAKLCDVIVVAVGYRLAPENKYPAAFDDGLKVLNWLGKQANLAECCKSMGSGARGVGAEFTKAEVQRHIVDAFGASMVEPWLAAHGDPSRCVLLGVSCGANIADYVACKAIEAGKRLDPVKVVAQVLMYPFFIGSIPTKSETRLANSYFYDMPMCLLAWKLFLPAEKFSLDHPAGNPLILDRSLKRMPPTLTIVAEHDWMRDRAIAYSEALRNVNVDAPVLEYKDAVHEFATLDMLLKTPQAQACAEDIAIWVKKYISFRGNELSY >KJB33918 pep chromosome:Graimondii2_0_v6:6:10737644:10741465:-1 gene:B456_006G038600 transcript:KJB33918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDREKEREIELESAMYTNCLLLGLDPSIIGLGASNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSARDFDRVWPIFDSAQSRDFRKVVQGIITELEAQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALRESHRRNFAADVASNPLPAPLTDVAFSHAATLLPVTKARIALERRRFLKNAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGEQWDDLVSTSSQNSHLVSKATRLWESILSRKSQHEVLASGPIEDLIAHREHRYRISGSALLSAMDQSSQVPPTDVLSVQSGDLDGKEQNDGFHTQFNEETHSRVDDRSGRIHQTVDVAEVIRRWTHALQRIHKQSLQLAKANDGEGPDILRSAHDGGTSGHAESLAATLAEHQQHLASFQVLINQLKEVAPAIQKSISDCTEKVNSISSNLPTMAKHRGQASSPIQAQSSGRTLESSSDDVGDITSKMSTVQLEKISASPPALKLPQLFSLTPNSSGKGGAMQKRAPLTPNSAGKGGNRQKQHTFAPQTNQIDTFSERNSVEQPSVNNHVDYPPQGLLLLCSTCPCY >KJB33919 pep chromosome:Graimondii2_0_v6:6:10736453:10741510:-1 gene:B456_006G038600 transcript:KJB33919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDREKEREIELESAMYTNCLLLGLDPSIIGLGASNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSARDFDRVWPIFDSAQSRDFRKVVQGIITELEAQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALRESHRRNFAADVASNPLPAPLTDVAFSHAATLLPVTKARIALERRRFLKNAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGEQWDDLVSTSSQNSHLVSKATRLWESILSRKSQHEVLASGPIEDLIAHREHRYRISGSALLSAMDQSSQVPPTDVLSVQSGDLDGKEQNDGFHTQFNEETHSRVDDRSGRIHQTVDVAEVIRRWTHALQRIHKQSLQLAKANDGEGPDILRSAHDGGTSGHAESLAATLAEHQQHLASFQVLINQLKEVAPAIQKSISDCTEKVNSISSNLPTMAKHRGQASSPIQAQSSGRTLESSSDDVGDITSKMSTVQLEKISASPPALKLPQLFSLTPNSSGKGGAMQKRAPLTPNSAGKGGNRQKQHTFAPQTNQIDTFSERNSVEQPSVNNHVDYPPQDSDNSYIQNLKRSVRQAALSTPSCNLESSRDSQSNESSEHFFVPVSTTNFSRGGPENKVSLIKTKRLFSTQTDNSLLDSHASNGRIGSHNYDDLPQTLNNLDSLNDYDQVNGFLSAAASSSATSDGQSFFDMDDAQDQVFSPPLLMDMSLLADTYEDLLAPLSETEAALMEH >KJB33917 pep chromosome:Graimondii2_0_v6:6:10736511:10741481:-1 gene:B456_006G038600 transcript:KJB33917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDREKEREIELESAMYTNCLLLGLDPSIIGLGASNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSARDFDRVWPIFDSAQSRDFRKVVQGIITELEAQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALRESHRRNFAADVASNPLPAPLTDVAFSHAATLLPVTKARIALERRRFLKNAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGEQWDDLVSTSSQNSHLVSKATRLWESILSRKSQHEVLASGPIEDLIAHREHRYRISGSALLSAMDQSSQVPPTDVLSVQSGDLDGKEQNDGFHTQFNEETHSRVDDRSGRIHQTVDVAEVIRRWTHALQRIHKQSLQLAKANDGEGPDILRSAHDGGTSGHAESLAATLAEHQQHLASFQVLINQLKEVAPAIQKSISDCTEKVNSISSNLPTMAKHRGQASSPIQAQSSGRTLESSSDDVGDITSKMSTVQLEKISASPPALKLPQLFSLTPNSSGKGGAMQKRAPLTPNSAGKGGNRQKQHTFAPQTNQIDTFSERNSVEQPSVNNHVDYPPQDSDNSYIQNLKRSVRQAALSTPSCNLESSRDSQSNESSEHFFVPVSTTNFSRGGPENKVSLIKTKRLFSTQTDNSLLDSHASNGRIGSHNYDDLPQTLNNLDSLNDYDQVNGFLSAAASSSATSDGQSFFDMDDAQDQVFSPPLLMDMSLLADTYEDLLAPLSETEAALMEH >KJB38108 pep chromosome:Graimondii2_0_v6:6:48465733:48470989:1 gene:B456_006G237200 transcript:KJB38108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSFLLSLSFLFLSFLSISLSQQPPKGYLIDCGATSKTAIDDREWLPDEDFISTGSSKNLTVPRLIPTFSTVRSFPLQNNLRRKFCYTARVYKGARYLIRTAYYYGGVNGVNFPSPPVFDQIVDGTFWRVVNTTEDYRKGSTSSYEAVFEAKGTTMSVCIASNTYTESDPFISSLEMLLLGDSLYNTTNFDSYALSLVARHSFGHNRSVISYPDDLFDRYWEPYAENVSVIASNNTPSVSGFWNIPPSKIFESALSTDQLEPLELRWPPLSLPNSTYYIALYFADHRDSMLSGSRVLHIHINEVRYISNLEVTSAGAAVFATRWPLEGQTKITLSSAANSNASPLINAGEIFDILRLGGRTHTRDVIALNAMKSSLRNPPLDWNGDPCLPLNYTWTGITCFEGERIRVVTLNLTSMGLSGSLSSSIANLTALTGIWLGNNSLSGTIPNLSSLRLLEVLHLEDNQFNGDIPSSLGEVRSLRELFLQNNNLTGRIPDSLVGKPGLDLRTSGNQFLSPSPS >KJB38111 pep chromosome:Graimondii2_0_v6:6:48465767:48470989:1 gene:B456_006G237200 transcript:KJB38111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSFLLSLSFLFLSFLSISLSQQPPKGYLIDCGATSKTAIDDREWLPDEDFISTGSSKNLTVPRLIPTFSTVRSFPLQNNLRRKFCYTARVYKGARYLIRTAYYYGGVNGVNFPSPPVFDQIVDGTFWRVVNTTEDYRKGSTSSYEAVFEAKGTTMSVCIASNTYTESDPFISSLEMLLLGDSLYNTTNFDSYALSLVARHSFGHNRSVISYPDDLFDRYWEPYAENVSVIASNNTPSVSGFWNIPPSKIFESALSTDQLEPLELRWPPLSLPNSTYYIALYFADHRDSMLSGSRVLHIHINEVRYISNLEVTSAGAAVFATRWPLEGQTKITLSSAANSNASPLINAGEIFDILRLGGRTHTRDVIALNAMKSSLRNPPLDWNGDPCLPLNYTWTGITCFEGERIRVVTLNLTSMGLSGSLSSSIANLTALTGIWLGNNSLSGTIPNLSSLRLLEVLHLEDNQFNGDIPSSLGEVRSLRELFLQNNNLTGRIPDSLVGKPGLDLRYV >KJB38110 pep chromosome:Graimondii2_0_v6:6:48465767:48470989:1 gene:B456_006G237200 transcript:KJB38110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSFLLSLSFLFLSFLSISLSQQPPKGYLIDCGATSKTAIDDREWLPDEDFISTGSSKNLTVPRLIPTFSTVRSFPLQNNLRRKFCYTARVYKGARYLIRTAYYYGGVNGVNFPSPPVFDQIVDGTFWRVVNTTEDYRKGSTSSYEAVFEAKGTTMSVCIASNTYTESDPFISSLEMLLLGDSLYNTTNFDSYALSLVARHSFGHNRSVISYPDDLFDRYWEPYAENVSVIASNNTPSVSGFWNIPPSKIFESALSTDQLEPLELRWPPLSLPNSTYYIALYFADHRDSMLSGSRVLHIHINEVRYISNLEVTSAGAAVFATRWPLEGQTKITLSSAANSNASPLINAGEIFDILRLGGRTHTRDVIALNAMKSSLRNPPLDWNGDPCLPLNYTWTGITCFEGERIRVVTLNLTSMGLSGSLSSSIANLTALTGIWLGNNSLSGTIPNLSSLRLLEVLHLEDNQFNGDIPSSLGEILTKQ >KJB38109 pep chromosome:Graimondii2_0_v6:6:48466551:48470588:1 gene:B456_006G237200 transcript:KJB38109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVCIASNTYTESDPFISSLEMLLLGDSLYNTTNFDSYALSLVARHSFGHNRSVISYPDDLFDRYWEPYAENVSVIASNNTPSVSGFWNIPPSKIFESALSTDQLEPLELRWPPLSLPNSTYYIALYFADHRDSMLSGSRVLHIHINEVRYISNLEVTSAGAAVFATRWPLEGQTKITLSSAANSNASPLINAGEIFDILRLGGRTHTRDVIALNAMKSSLRNPPLDWNGDPCLPLNYTWTGITCFEGERIRVVTLNLTSMGLSGSLSSSIANLTALTGIWLGNNSLSGTIPNLSSLRLLEVLHLEDNQFNGDIPSSLGEVRSLRELFLQNNNLTGRIPDSLVGKPGLDLRTSGNQFLSPSPS >KJB36935 pep chromosome:Graimondii2_0_v6:6:44088654:44094915:-1 gene:B456_006G183500 transcript:KJB36935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYTVHLAMAALVGASLVAVSAYYMHRKTLNQLLEFAKTVEREDISEGESPLPSKKRRAHHSRRKGSGYYRRSSSSLPDVWMMYGGIDGEEKRNGTIHVDGIPPGLPRLHMLPEGKSGGHATPTKKSGSVMRPTSPKSPVASASAFESMEGSEDEDNMTDNSKIDLTYLHTNGNAGPNLPDHINANGEAIQIAASSMIRSHSVSGDLHGVPPDPIAADILRKEPEHETFARLNISPTEVPSPDEVDVYVVLQECLEMRKRYVFKEAVAPWEKEVISDPSTPKPNPAPFFYAPEGKSDHYFEMQDGVIHVYANKDSKEELFPVADATTFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNSDREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLIQIPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPRPDEWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMSTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKHYYKRGPDGNDIHKTNVPHIRVEFRDTIWREEMQLVYLGKADIRTDVDK >KJB36936 pep chromosome:Graimondii2_0_v6:6:44090093:44095089:-1 gene:B456_006G183500 transcript:KJB36936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYTVHLAMAALVGASLVAVSAYYMHRKTLNQLLEFAKTVEREDISEGESPLPSKKRRAHHSRRKGSGYYRRSSSSLPDVWMMYGGIDGEEKRNGTIHVDGIPPGLPRLHMLPEGKSGGHATPTKKSGSVMRPTSPKSPVASASAFESMEGSEDEDNMTDNSKIDLTYLHTNGNAGPNLPDHINANGEAIQIAASSMIRSHSVSGDLHGVPPDPIAADILRKEPEHETFARLNISPTEVPSPDEVDVYVVLQECLEMRKRYVFKEAVAPWEKEVISDPSTPKPNPAPFFYAPEGKSDHYFEMQDGVIHVYANKDSKEELFPVADATTFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNSDREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLIQIPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPRPDEWTNVFNPAFSYYAYYCYANLYTLNKVCII >KJB36932 pep chromosome:Graimondii2_0_v6:6:44087962:44095219:-1 gene:B456_006G183500 transcript:KJB36932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYTVHLAMAALVGASLVAVSAYYMHRKTLNQLLEFAKTVEREDISEGESPLPSKKRRAHHSRRKGSGYYRRSSSSLPDVWMMYGGIDGEEKRNGTIHVDGIPPGLPRLHMLPEGKSGGHATPTKKSGSVMRPTSPKSPVASASAFESMEGSEDEDNMTDNSKIDLTYLHTNGNAGPNLPDHINANGEAIQIAASSMIRSHSVSGDLHGVPPDPIAADILRKEPEHETFARLNISPTEVPSPDEVDVYVVLQECLEMRKRYVFKEAVAPWEKEVISDPSTPKPNPAPFFYAPEGKSDHYFEMQDGVIHVYANKDSKEELFPVADATTFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNSDREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLIQIPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPRPDEWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMSTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKHYYKRGPDGNDIHKTNVPHIRVEFRDTIWREEMQLVYLGKADIRTDVDK >KJB36933 pep chromosome:Graimondii2_0_v6:6:44087813:44095124:-1 gene:B456_006G183500 transcript:KJB36933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYTVHLAMAALVGASLVAVSAYYMHRKTLNQLLEFAKTVEREDISEGESPLPSKKRRAHHSRRKGSGYYRRSSSSLPDVWMMYGGIDGEEKRNGTIHVDGIPPGLPRLHMLPEGKSGGHATPTKKSGSVMRPTSPKSPVASASAFESMEGSEDEDNMTDNSKIDLTYLHTNGNAGPNLPDHINANGEAIQIAASSMIRSHSVSGDLHGVPPDPIAADILRKEPEHETFARLNISPTEVPSPDEVDVYVVLQECLEMRKRYVFKEAVAPWEKEVISDPSTPKPNPAPFFYAPEGKSDHYFEMQDGVIHVYANKDSKEELFPVADATTFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNSDREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLIQIPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPRPDEWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMSTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKHYYKRGPDGNDIHKTNVPHIRVEFRDTIWREEMQLVYLGKADIRTDVDK >KJB36934 pep chromosome:Graimondii2_0_v6:6:44087962:44095089:-1 gene:B456_006G183500 transcript:KJB36934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYTVHLAMAALVGASLVAVSAYYMHRKTLNQLLEFAKTVEREDISEGESPLPSKKRRAHHSRRKGSGYYRRSSSSLPDVWMMYGGIDGEEKRNGTIHVDGIPPGLPRLHMLPEGKSGGHATPTKKSGSVMRPTSPKSPVASASAFESMEGSEDEDNMTDNSKIDLTYLHTNGNAGPNLPDHINANGEAIQIAASSMIRSHSVSGDLHGVPPDPIAADILRKEPEHETFARLNISPTEVPSPDEVDVYVVLQECLEMRKRYVFKEAVAPWEKEVISDPSTPKPNPAPFFYAPEGKSDHYFEMQDGVIHVYANKDSKEELFPVADATTFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNSDREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLIQIPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPRPDEWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMSTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKFYEFVCFSPTGLESTTTREGLMGMIYTRQMYLISGWNFVTRSGERRCSWFTWARLISVQMLTSNVEHTAQGSFLECYKYI >KJB33206 pep chromosome:Graimondii2_0_v6:6:207218:208701:-1 gene:B456_006G000600 transcript:KJB33206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NFRAAYDLSLIDNSWPQDAFDIVNGNTSHSWQKLDAGGHLSHSFELEAKRKGMFHGAPAVIYFRIPTKSVQQEAYSTPIFPLDILEERPPEKKFEWAKRLMAKYGSQISVISIVVLFIYLIITPSKASKKKR >KJB33207 pep chromosome:Graimondii2_0_v6:6:207321:208701:-1 gene:B456_006G000600 transcript:KJB33207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NFRAAYDLSLIDNSWPQDAFDIVNGNTSHSWQKLDAGGHLSHSFELEAKRKGMFHGAPAVIYFRIPTKSVQQEAYSTPIFPLDILEERPPEKKFEWVKVDG >KJB33431 pep chromosome:Graimondii2_0_v6:6:2399081:2402414:1 gene:B456_006G010900 transcript:KJB33431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEEVLKVVFPLLDGVDLAVCMVVCKQWRHMAQDDYFWKCVCAKRWPSICKRPNSHTVTYYRIYRTFYKRQRPQPLLPPRLSFDDLEFFIDIWNEDELVFSEVVPGPVLQTGIKFLPTGICNTLKFHLESPEYKMTLPVDPRFNIPWGDTVSISVLVERKDSNKVACIINKSLFDYIDRSASRALAFEYLNFSPNYPFISGIRAWISLLFLEDGDDGIIDVFGIEMDFRNTASSKDEVLWLLDMLDWK >KJB33430 pep chromosome:Graimondii2_0_v6:6:2399008:2402430:1 gene:B456_006G010900 transcript:KJB33430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEEVLKVVFPLLDGVDLAVCMVVCKQWRHMAQDDYFWKCVCAKRWPSICKRPNSHTVTYYRIYRTFYKRQRPQPLLPPRLSFDDLEFFIDIWNEDELVFSEVVPGPVLQTGIKFLPTGICNTLKFHLESPEYKMTLPVDPRFNIPWGDTVSISVLVERKDSNKVACIINKSLFDYIDRSASRALAFEYLNFSPNYPFISGIRAWISLLFLEDGDDGIIDVFGIEMDFRNTASSKDEVLWLLDMLDWK >KJB33432 pep chromosome:Graimondii2_0_v6:6:2399008:2402430:1 gene:B456_006G010900 transcript:KJB33432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEEVLKVVFPLLDGVDLAVCMVVCKQWRHMAQDDYFWKCVCAKRWPSICKRPNSHTVTYYRIYRTFYKRQRPQPLLPPRLSFDDLEFFIDIWNEDELVFSEVVPGPVLQTGIKFLPTGICNTLKFHLESPEYKMTLPVDPRFNIPWGDTVSISVLVERKDSNKVACIINKSLFDYIDRSASRALAFEYLNFSPNYPFISGIRAWISLLFLEDGDDGIIDVFGIEMDFRNTASSKDEVLWLLDMLDWK >KJB34075 pep chromosome:Graimondii2_0_v6:6:14949727:14951550:-1 gene:B456_006G046700 transcript:KJB34075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MTILTTKTQLLNPLIQLKTPLPLKFRPKMASISARLDNSQQQMNLSVLRFTLGIPGLDESYLPRWIGYGFGSLLILNHLLGSDSVSAAQLRTEALGLSLAAFSITLPYLGKFLKGAAPLDQTTLPEGAEQIFVMSENVSVAQKEALAWATYVLLRNTNTTSVLILTQGELCIRGYWNLPDGVSKDNVLDWFKSSIEETGLSDLTDTLYFPQTAGDSFCLGTTSATQS >KJB34074 pep chromosome:Graimondii2_0_v6:6:14949727:14951518:-1 gene:B456_006G046700 transcript:KJB34074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MTILTTKTQLLNPLIQLKTPLPLKFRPKMASISARLDNSQQQMNLSVLRFTLGIPGLDESYLPRWIGYGFGSLLILNHLLGSDSVSAAQLRTEALGLSLAAFSITLPYLGKFLKGAAPLDQTTLPEGAEQIFVMSENVSVAQKEALAWATYVLLRNTNTTSVLILTQGELCIRGYWNLPDGVSKDNVLDWFKSSIEETGLSDLTDTLYFPQTADAEFWNILPQGTRSVLVQPVLLSPNPSMNYMGNIEGFFLLTSSMRYAYNEKDRAWIRAVSNKLRSKN >KJB34364 pep chromosome:Graimondii2_0_v6:6:23073258:23090510:1 gene:B456_006G062600 transcript:KJB34364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPQGGSDGDKTNPSSSPVSVVSNFWKEFDLEKEKSVLDEQGLRIAENQENSQKNRRKLAESTRDFKKAAPEEKLSLFNSLLKGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASISEQDLKISELESENRKMKVELEEFRTESMHLKNQQATIRRLEERNRQLEQQMEEKVKEIVEMKQRSLAEENQKTLEVLKDREQSLQDQLRQAKESVSNMQKLHELAQSQLFELRAQSEEDRAAKQSEVNLLMDEVERAQTRLFSLEREKGLLRSQLQSANEESENKRSDVDSNAILENSLSSKEKIISELNMELHNIETTLSNERVQHVNEIKKLNSLLNEKDVALEEMKKELKARPTEKLVDDLRKKVKILQAVGYNSIEAEDWEAATSGEEMSKMESLLLDKNKKMEHELTQLKVKLSEKTSFLETAEGKIVELAEKVNEQQKLIQKLEDDILKGYSSKEKKGTLFDDWDLSESGGNELSENTDQKQVSADQDQSSMLKVICNQRDRFRARLRETEEEIRQLKEKIGELTTELEKTKADNVKLYGKIRYVQDYNQEKVISRGSKKYAEDLESGFTSDVESKYKKIYEDDINPFAAFSKKERDQRYKELGFRDRITLSSGRFLLGNNAGMLEHLHFSTQLVCIS >KJB34363 pep chromosome:Graimondii2_0_v6:6:23073178:23090551:1 gene:B456_006G062600 transcript:KJB34363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPQGGSDGDKTNPSSSPVSVVSNFWKEFDLEKEKSVLDEQGLRIAENQENSQKNRRKLAESTRDFKKAAPEEKLSLFNSLLKGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASISEQDLKISELESENRKMKVELEEFRTESMHLKNQQATIRRLEERNRQLEQQMEEKVKEIVEMKQRSLAEENQKTLEVLKDREQSLQDQLRQAKESVSNMQKLHELAQSQLFELRAQSEEDRAAKQSEVNLLMDEVERAQTRLFSLEREKGLLRSQLQSANEESENKRSDVDSNAILENSLSSKEKIISELNMELHNIETTLSNERVQHVNEIKKLNSLLNEKDVALEEMKKELKARPTEKLVDDLRKKVKILQAVGYNSIEAEDWEAATSGEEMSKMESLLLDKNKKMEHELTQLKVKLSEKTSFLETAEGKIVELAEKVNEQQKLIQKLEDDILKGYSSKEKKGTLFDDWDLSESGGNELSENTDQKQVSADQDQSSMLKVICNQRDRFRARLRETEEEIRQLKEKIGELTTELEKTKADNVKLYGKIRYVQDYNQEKVISRGSKKYAEDLESGFTSDVESKYKKIYEDDINPFAAFSKKERDQRYKELGFRDRITLSSGRFLLGNKYARTFAFFYTIGLHILVFTCLYRMSALSYLSHGSEETAVVEKNLNLPRGY >KJB34365 pep chromosome:Graimondii2_0_v6:6:23078018:23090323:1 gene:B456_006G062600 transcript:KJB34365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPDVYKLYTSCREQSLQDQLRQAKESVSNMQKLHELAQSQLFELRAQSEEDRAAKQSEVNLLMDEVERAQTRLFSLEREKGLLRSQLQSANEESENKRSDVDSNAILENSLSSKEKIISELNMELHNIETTLSNERVQHVNEIKKLNSLLNEKDVALEEMKKELKARPTEKLVDDLRKKVKILQAVGYNSIEAEDWEAATSGEEMSKMESLLLDKNKKMEHELTQLKVKLSEKTSFLETAEGKIVELAEKVNEQQKLIQKLEDDILKGYSSKEKKGTLFDDWDLSESGGNELSENTDQKQVSADQDQSSMLKVICNQRDRFRARLRETEEEIRQLKEKIGELTTELEKTKADNVKLYGKIRYVQDYNQEKVISRGSKKYAEDLESGFTSDVESKYKKIYEDDINPFAAFSKKERDQRYKELGFRDRITLSSGRFLLGNKYARTFAFFYTIGLHILVFTCLYRMSALSYLSHGSEETAVVEKNLNLPRGY >KJB34366 pep chromosome:Graimondii2_0_v6:6:23077687:23090510:1 gene:B456_006G062600 transcript:KJB34366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKVKEIVEMKQRSLAEENQKTLEVLKDREQSLQDQLRQAKESVSNMQKLHELAQSQLFELRAQSEEDRAAKQSEVNLLMDEVERAQTRLFSLEREKGLLRSQLQSANEESENKRSDVDSNAILENSLSSKEKIISELNMELHNIETTLSNERVQHVNEIKKLNSLLNEKDVALEEMKKELKARPTEKLVDDLRKKVKILQAVGYNSIEAEDWEAATSGEEMSKMESLLLDKNKKMEHELTQLKVKLSEKTSFLETAEGKIVELAEKVNEQQKLIQKLEDDILKGYSSKEKKGTLFDDWDLSESGGNELSENTDQKQVSADQDQSSMLKVICNQRDRFRARLRETEEEIRQLKEKIGELTTELEKTKADNVKLYGKIRYVQDYNQEKVISRGSKKYAEDLESGFTSDVESKYKKIYEDDINPFAAFSKKERDQRYKELGFRDRITLSSGRFLLGNKYARTFAFFYTIGLHILVFTCLYRMSALSYLSHGSEETAVVEKNLNLPRGY >KJB33092 pep chromosome:Graimondii2_0_v6:6:20395617:20406654:-1 gene:B456_006G056000 transcript:KJB33092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYSFVARGTVILAEYTEFSGNFNTIAAQCLQKLPASSNRFTYNCDGHTFNYLVDNGFTYCVVATESAGRQIPIAFLERVKEDFSKRYGGGKAANAKAKSLNREFGSKLKAHMKYCAEHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIEVLVDKTENLRSQAQDFRQQGTKIKRKMWIENMKMKLIVFGIVAVIIIVIIISVCRNLNC >KJB33094 pep chromosome:Graimondii2_0_v6:6:20396290:20406532:-1 gene:B456_006G056000 transcript:KJB33094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYSFVARGTVILAEYTEFSGNFNTIAAQCLQKLPASSNRFTYNCDGHTFNYLVDNGFTYCVVATESAGRQIPIAFLERVKEDFSKRYGGGKAANAKAKSLNREFGYQCLSHLLLYFFFFTCLALYIE >KJB33093 pep chromosome:Graimondii2_0_v6:6:20395793:20406603:-1 gene:B456_006G056000 transcript:KJB33093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYSFVARGTVILAEYTEFSGNFNTIAAQCLQKLPASSNRFTYNCDGHTFNYLVDNGFTYCVVATESAGRQIPIAFLERVKEDFSKRYGGGKAANAKAKSLNREFGSKLKAHMKYCAEHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIEVLVDKTENLRSQNI >KJB38195 pep chromosome:Graimondii2_0_v6:6:48856002:48861783:1 gene:B456_006G242500 transcript:KJB38195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVPSTPRHTSTTAETAEYLIGTFVGEKSFPLASDFWQKLLELPLTLRWSSYRVQQACELFAQNNHHTRHLAKILIHLSWCLQEAVRTSGAPSVVYLKAINAVYFSSVFLKYIVENSQSDNIEELCLSLLENEPVPKDFMTDQRIENLLMHNVLTFLGSIDVSPTTSLLHQELLNFMLVVMSTQLLSGPTPGPKDVNPFLDAAMSQESLLVSSVVRRLLLNYIMRPRLPSSTAASYSIFSEENQPGVLQRVGSAAANFVLLPFNYLVNSNSEGSRNQLADCSLHVLLVLIHYHKCVVSDESVTDRSDDSASTDPVSKVNTYFTVNPYSKALENARDIEFDRADIEGNAQNGPVVRLPFASLFDTLGMCLADETAVLLLYSLVQGNSDFLEYVLVRTDLDTLLMPILETLYSASRRTSNQIYMLLIILLILSQDSSFNASIHKMILPGVPWYKEHLLHQTSLGSLMVIILIRTVQYNLSKLRDVYLHTTCLATLANMAPHVHRLSAYASQRLVSLFYMLSRKYNKLVELRDDKLQTKAISTGDGLVEDMSAELQIYTDFLRIVLEILNAILTYALPRNPEVVYAIMHRQEVFQPFKSHPRFAELLENIYNVLDFFNSRMDAQRMDGEWSVEKVLLFIINNCRSWRGEGMKMFTQLHFSYEQESHPEEFFIPYVWQLVLSRCGFSFNADAITLFPVGMHANVSL >KJB38196 pep chromosome:Graimondii2_0_v6:6:48856002:48862059:1 gene:B456_006G242500 transcript:KJB38196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVPSTPRHTSTTAETAEYLIGTFVGEKSFPLASDFWQKLLELPLTLRWSSYRVQQACELFAQNNHHTRHLAKILIHLSWCLQEAVRTSGAPSVVYLKAINAVYFSSVFLKYIVENSQSDNIEELCLSLLENEPVPKDFMTDQRIENLLMHNVLTFLGSIDVSPTTSLLHQELLNFMLVVMSTQLLSGPTPGPKDVNPFLDAAMSQESLLVSSVVRRLLLNYIMRPRLPSSTAASYSIFSEENQPGVLQRVGSAAANFVLLPFNYLVNSNSEGSRNQLADCSLHVLLVLIHYHKCVVSDESVTDRSDDSASTDPVSKVNTYFTVNPYSKALENARDIEFDRADIEGNAQNGPVVRLPFASLFDTLGMCLADETAVLLLYSLVQGNSDFLEYVLVRTDLDTLLMPILETLYSASRRTSNQIYMLLIILLILSQDSSFNASIHKMILPGVPWYKEHLLHQTSLGSLMVIILIRTVQYNLSKLRDVYLHTTCLATLANMAPHVHRLSAYASQRLVSLFYMLSRKYNKLVELRDDKLQTKAISTGDGLVEDMSAELQIYTDFLRIVLEILNAILTYALPRNPEVVYAIMHRQEVFQPFKSHPRFAELLENIYNVLDFFNSRMDAQRMDGEWSVEKVLLFIINNCRSWRGEGMKMFTQLHFSYEQESHPEEFFIPYVWQLVLSRCFNADAITLFPVGMHANKEIYYDEMNTNQNGELNEQRVQFDP >KJB38198 pep chromosome:Graimondii2_0_v6:6:48856002:48862059:1 gene:B456_006G242500 transcript:KJB38198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVPSTPRHTSTTAETAEYLIGTFVGEKSFPLASDFWQKLLELPLTLRWSSYRVQQACELFAQNNHHTRHLAKILIHLSWCLQEAVRTSGAPSVVYLKAINAVYFSSVFLKYIVENSQSDNIEELCLSLLENEPVPKDFMTDQRIENLLMHNVLTFLGSIDVSPTTSLLHQELLNFMLVVMSTQLLSGPTPGPKDVNPFLDAAMSQESLLVSSVVRRLLLNYIMRPRLPSSTAASYSIFSEENQPGVLQRVGSAAANFVLLPFNYLVNSNSEGSRNQLADCSLHVLLVLIHYHKCVVSDESVTDRSDDSASTDPVSKVNTYFTVNPYSKALENARDIEFDRADIEGNAQNGPVVRLPFASLFDTLGMCLADETAVLLLYSLVQGNSDFLEYVLVRTDLDTLLMPILETLYSASRRTSNQIYMLLIILLILSQDSSFNASIHKMILPGVPWYKEHLLHQTSLGSLMVIILIRTVQYNLSKLRDVYLHTTCLATLANMAPHVHRLSAYASQRLVSLFYMLSRKYNKLVELRDDKLQTKAISTGDGLVEDMSAELQIYTDFLRIVLEILNAILTYALPRNPEVVYAIMHRQEVFQPFKSHPRFAELLENIYNVLDFFNSRMDAQRMDGEWSVEKVLLFIINNCRSWRGEGMKLHFSYEQESHPEEFFIPYVWQLVLSRCGFSFNADAITLFPVGMHANKEIYYDEMNTNQNGELNEQRVQFDP >KJB38200 pep chromosome:Graimondii2_0_v6:6:48856002:48862176:1 gene:B456_006G242500 transcript:KJB38200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVPSTPRHTSTTAETAEYLIGTFVGEKSFPLASDFWQKLLELPLTLRWSSYRVQQACELFAQNNHHTRHLAKILIHLSWCLQEAVRTSGAPSVVYLKAINAVYFSSVFLKYIVENSQSDNIEELCLSLLENEPVPKDFMTDQRIENLLMHNVLTFLGSIDVSPTTSLLHQELLNFMLVVMSTQLLSGPTPGPKDVNPFLDAAMSQESLLVSSVVRRLLLNYIMRPRLPSSTAASYSIFSEENQPGVLQRVGSAAANFVLLPFNYLVNSNSEGSRNQLADCSLHVLLVLIHYHKCVVSDESVTDRSDDSASTDPVSKVNTYFTVNPYSKALENARDIEFDRADIEGNAQNGPVVRLPFASLFDTLGMCLADETAVLLLYSLVQGNSDFLEYVLVRTDLDTLLMPILETLYSASRRTSNQIYMLLIILLILSQDSSFNASIHKMILPGVPWYKEHLLHQTSLGSLMVIILIRTVQYNLSKLRDVYLHTTCLATLANMAPHVHRLSAYASQRLVSLFYMLSRKYNKLVELRDDKLQTKAISTGDGLVEDMSAELQIYTDFLRIVLEILNAILTYALPRNPEVVYAIMHRQEVFQPFKSHPRFAELLENIYNVLDFFNSRMDAQRMDGEWSVEKVLLFIINNCRSWRGEGMKMFTQLHFSYEQESHPEEFFIPYVWQLVLSRCGFSFNADAITLFPVGMHANKEIYYDEMNTNQNGELNEQRVQFDP >KJB38197 pep chromosome:Graimondii2_0_v6:6:48856002:48862059:1 gene:B456_006G242500 transcript:KJB38197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVPSTPRHTSTTAETAEYLIGTFVGEKSFPLASDFWQKLLELPLTLRWSSYRVQQACELFAQNNHHTRHLAKILIHLSWCLQEAVRTSGAPSVVYLKAINAVYFSSVFLKYIVENSQSDNIEELCLSLLENEPVPKDFMTDQRIENLLMHNVLTFLGSIDVSPTTSLLHQELLNFMLVVMSTQLLSGPTPGPKDVNPFLDAAMSQESLLVSSVVRRLLLNYIMRPRLPSSTAASYSIFSEENQPGVLQRVGSAAANFVLLPFNYLVNSNSEGSRNQLADCSLHVLLVLIHYHKCVVSDESVTDRSDDSASTDPVSKVNTYFTVNPYSKALENARDIEFDRADIEGNAQNGPVVRLPFASLFDTLGMCLADETAVLLLYSLVQGNSDFLEYVLVRTDLDTLLMPILETLYSASRRTSNQIYMLLIILLILSQDSSFNASIHKMILPGVPWYKEHLLHQTSLGSLMVIILIRTVQYNLSKLRDVYLHTTCLATLANMAPHVHRLSAYASQRLVSLFYMLSRKYNKLVELRDDKLQTKAISTGDGLVEDMSAELQIYTDFLRIVLEILNAILTYALPRNPEVHLLLKLFKIIFGCIPSHQHLRTCAFVQVVYAIMHRQEVFQPFKSHPRFAELLENIYNVLDFFNSRMDAQRMDGEWSVEKVLLFIINNCRSWRGEGMKMFTQLHFSYEQESHPEEFFIPYVWQLVLSRCGFSFNADAITLFPVGMHANKEIYYDEMNTNQNGELNEQRVQFDP >KJB38199 pep chromosome:Graimondii2_0_v6:6:48856002:48862059:1 gene:B456_006G242500 transcript:KJB38199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVPSTPRHTSTTAETAEYLIGTFVGEKSFPLASDFWQKLLELPLTLRWSSYRVQQACELFAQNNHHTRHLAKILIHLSWCLQEAVRTSGAPSVVYLKAINAVYFSSVFLKYIVENSQSDNIEELCLSLLENEPVPKDFMTDQRIENLLMHNVLTFLGSIDVSPTTSLLHQELLNFMLVVMSTQLLSGPTPGPKDVNPFLDAAMSQESLLVSSVVRRLLLNYIMRPRLPSSTAASYSIFSEENQPGVLQRVGSAAANFVLLPFNYLVNSNSEGSRNQLADCSLHVLLVLIHYHKCVVSDESVTDRSDDSASTDPVSKVNTYFTVNPYSKALENARDIEFDRADIEGNAQNGPVVRLPFASLFDTLGMCLADETAVLLLYSLVQGNSDFLEYVLVRTDLDTLLMPILETLYSASRRTSNQIYMLLIILLILSQDSSFNASIHKMILPGVPWYKEHLLHQTSLGSLMVIILIRTVQYNLSKLRDVYLHTTCLATLANMAPHVHRLSAYASQRLVSLFYMLSRKYNKLVELRDDKLQTKAISTGDGLVEDMSAELQIYTDFLRIVLEILNAILTYALPRNPEVVYAIMHRQEVFQPFKSHPRFAELLENIYNVLDFFNSRMDAQRMDGEWSVEKVLLFIINNCRSWRGEGMKRVIPRSSLFHTFGNSSYPAVDSVLTQML >KJB37815 pep chromosome:Graimondii2_0_v6:6:47428153:47430632:1 gene:B456_006G221700 transcript:KJB37815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPRLLDLENHFAFYGAYHSNPINILIHTLFVWPIFFTSLILSYFTPAFCDLSQSGIFPSGFNYALVLNYGSAFSIFLGLFYVVLDKKAGSLAALLCLACWVGASFIAAKLGYSLAWKVVLVSQLLCWTGQFLGHGIFEVLQSLFGYEPYPGFQMRVNAKIKAEIEEWQDKKQKKVS >KJB37814 pep chromosome:Graimondii2_0_v6:6:47428126:47430747:1 gene:B456_006G221700 transcript:KJB37814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPRLLDLENHFAFYGAYHSNPINILIHTLFVWPIFFTSLILSYFTPAFCDLSQSGIFPSGFNYALVLNYGSAFSIFLGLFYVVLDKKAGSLAALLCLACWVGASFIAAKLGYSLAWKVVLVSQLLCWTGQFLGHGIFEKRAPALLDNLVQALLMAPFFVLLEVLQSLFGYEPYPGFQMRVNAKIKAEIEEWQDKKQKKVS >KJB33936 pep chromosome:Graimondii2_0_v6:6:10876507:10877871:-1 gene:B456_006G039300 transcript:KJB33936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFPFSSIPNLPLFFSMFLILYSIAYSIVFRNWSPKIRPEACSCFISLFHGTPAVFLATFAIFSDQNHVLFIGHHLATLFVFITCRHVVFHGSYAILTLLILAEVTSFCQNVWTLATARRHDNQLAAKVYSNLSPYFYAFYSVVRGIFGPFFLYQMGVFYSSGVADNVIPRWLWVSWMCVVITAIGVSILWISNLWVELFKEKKAKLEKEL >KJB36322 pep chromosome:Graimondii2_0_v6:6:41159733:41164215:-1 gene:B456_006G152800 transcript:KJB36322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSGKLMPNIDQQSTKMLNLTVLQRIDPFIEEILITAAHVTFYEFNIDSNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVENLLGDFEYEVQVPYLLYRNAAQEVNGMWFYNSRECQDVANLFSRILNAYSKVPAKPKVSASKSEFEELEAVPSMSVIEGPLEPPATASPTTDAPEDSSFVNFFSAAMNLGTNAPNGTNSIQPCHSISTTPPSSHAAAVVSAPVPTPPVPSLPPAPPSLDSVSSSNRVTNLIKPSSFFAPPTSSSASLMMPPISSSTPTASALHPPLNLQRPYGTPLLQPFPPPTPPASLTPSAPPTLHDGTHISRDKVRDALLVLVQDDQFIDMFYQALQKVHHS >KJB36321 pep chromosome:Graimondii2_0_v6:6:41160869:41164046:-1 gene:B456_006G152800 transcript:KJB36321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSGKLMPNIDQQSTKMLNLTVLQRIDPFIEEILITAAHVTFYEFNIDSNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVENLLGDFEYEVQVPYLLYRNAAQEVNGMWFYNSRECQDVANLFSRILNAYSKVPAKPKVSASKSEFEELEAVPSMSVIEGPLEPPATASPTTDAPEDSSFVNFFSAAMNLGTNAPNGTNSIQPCHSISTTPPSSHAAAVVSAPVPTPPVPSLPPAPPSLDSVSSSNRVTNLIKPSSFFAPPTSSSASLMMPPISSSTPTASALHPPLNLQRPYGTPLLQPFPPPTPPASLTPSAPPTLHDGTHISRDKVRDALLVLVQDDQFIDMFYQALQKVHHS >KJB36323 pep chromosome:Graimondii2_0_v6:6:41159751:41164194:-1 gene:B456_006G152800 transcript:KJB36323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSGKLMPNIDQQSTKMLNLTVLQRIDPFIEEILITAAHVTFYEFNIDSNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVENLLGDFEYEVQVPYLLYRNAAQEVNGMWFYNSRECQDVANLFSRILNAYSKVPAKPKVSASKSEFEELEAVPSMSVIEGPLEPPATASPTTDAPEDSSFVNFFSAAMNLGTNAPNGTNSIQPCHSISTTPPSSHAAAVVSAPVPTPPVPSLPPAPPSLDSVSSSNRVTNLIKPSSFFAPPTSSSASLMMPPISSSTPTASALHPPLNLQRPYGTPLLQPFPPPTPPASLTPSAPPTLHDGTHISRDKVRDALLVLVQDDQFIDMFYQALQKVHHS >KJB33803 pep chromosome:Graimondii2_0_v6:6:8226165:8229223:1 gene:B456_006G031500 transcript:KJB33803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFLGRPYLDSYSLTADEQYAVNVVELPGGIKKTLFLLEIPEDRVSKLLSSKESLAPCDIAVFVYHSSDESSWKRATELLMDVAGHGEDTGYEVPCLIVAAKDDLDSFPMAIQNSTRVSQDMGIEAPIPISSKLSDFNNIFRRMVNAAEHPHLSIPETEAGRSRKQYHRLIN >KJB33804 pep chromosome:Graimondii2_0_v6:6:8226821:8228469:1 gene:B456_006G031500 transcript:KJB33804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLNKHLKRLFLLFSFSTGDSSSAIRITRRRRLDRKKQQSERNVFQCFVFGPANAGKSAFMNSFLGRPYLDSYSLTADEQYAVNVVELPGGIKKTLFLLEIPEDRVSKLLSSKESLAPCDIAVFVYHSSDESSWKRATELLMDVAGHGEDTGYEVPCLIVAAKDDLDSFPMAIQNSTRVSQDMGIEAPIPISSKLSDFNNIFRRMVNAAEHPHLSIPETEAGRSRKQYHRLIN >KJB33802 pep chromosome:Graimondii2_0_v6:6:8226659:8229223:1 gene:B456_006G031500 transcript:KJB33802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFLGRPYLDSYSLTADEQYAVNVVELPGGIKKTLFLLEIPEDRVSKLLSSKESLAPCDIAVFVYHSSDESSWKRATELLMDVAGHGEDTGYEVPCLIVAAKDDLDSFPMAIQNSTRVSQDMGIEAPIPISSKLSDFNNIFRRMVNAAEHPHLSIPETEAGRSRKQYHRLIN >KJB33974 pep chromosome:Graimondii2_0_v6:6:11585311:11586843:-1 gene:B456_006G041600 transcript:KJB33974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILTSLFSVPTLKFVFISVFFSWLHVMLCKICKAPKLPADGPPTYPILGCLISFYKNRHRLLDWYTELLTESATNTVVINRFGAPRTIVTTNSKNVEYVLKTNFNNFPKGKPFTEILGDFLGYGIFNVDGELWRMQRKLASHVFSTSFLREFMMSTLKDEVENNLLPLLESLAETSEVVDLQELLKRLAFNMICKVSLGVDRCCLDPSQPVSPLNEAFDTASKISAKRGAAPLFLVWKVKKWVGVRSEKRLKNAVEEVRTYVEGIIRGKKRKIDESGENHGEDLLSRLISAGNDKEVTRDMVISFIMAGRDTTSAAMTWLFWLLSCHPVIEKELVKEINNREKILLDYESLNKELKLLKASLCESMRLYPPVPWDSKHAMADDLLPDGTPVQAGDRVTYFPYGMGRMEALWGEDWYEFKPSRWFVEPTSYHEGSLKKVCPYKFPVFQAGPRVCLGKEMAFIQMNYVAASILRQFEIKLVVSEKPVFMPLLTAHMAGGLKVVIQKRDHSR >KJB36291 pep chromosome:Graimondii2_0_v6:6:41020534:41021124:-1 gene:B456_006G151000 transcript:KJB36291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFLQRST >KJB33189 pep chromosome:Graimondii2_0_v6:6:11821679:11825233:1 gene:B456_006G042300 transcript:KJB33189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRNRLLLPRQFRKRYFWRWVLVNPSFGLACLGKVNMTYENDQDLMIRYYRFVANEELACDEAELGPEGFAEKLYSQQKLHEQQLGMLNYMRKFHFDDQSAILEKLHHQMEDANFESEASILSAEQIQEIVRRRVSPLFRPSQLSLTE >KJB33186 pep chromosome:Graimondii2_0_v6:6:11821587:11825432:1 gene:B456_006G042300 transcript:KJB33186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSQLQKYGTLSREQLSYLFDRFSLLIAQPDFKKRILDAVNDKQEPVAVTTAVQEEIFLEMGVDPSFGLACLGKVNMTYENDQDLMIRYYRFVANEELACDEAELGPEGFAEKLYSQQKLHEQQLGMLNYMRKFHFDDQSAILEKLHHQMEDANFESEASILSAEQIQEIVRRRVSPLFRPSQLSLTE >KJB33184 pep chromosome:Graimondii2_0_v6:6:11821679:11824669:1 gene:B456_006G042300 transcript:KJB33184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSQLQKYGTLSREQLSYLFDRFSLLIAQPDFKKRILDAVNDKQEPVAVTTAVQEEIFLEMGVDPSFGLACLGKVNMTYENDQDLMIRYYRFVANEELACDEAELGPEGFAEKLYSQQKLHEQVVTIPDFSKFETLLCSSP >KJB33181 pep chromosome:Graimondii2_0_v6:6:11821713:11825051:1 gene:B456_006G042300 transcript:KJB33181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSQLQKYGTLSREQLSYLFDRFSLLIAQPDFKKRILDAVNDKQEPVAVTTAVQEEIFLEMGVDPSFGLACLGKVNMTYENDQDLMIRYYRFVANEELACDEAELGPEGFAEKLYSQQKLHEQQLGMLNYMRKFHFDDQSAILEKLHHQMEDANFESEASILSAEQIQEIVRRRVSPLFRPS >KJB33185 pep chromosome:Graimondii2_0_v6:6:11821679:11825233:1 gene:B456_006G042300 transcript:KJB33185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSQLQKYGTLSREQLSYLFDRFSLLIAQPDFKKRILDAVNDKQEPVAVTTAVQEEIFLEMGVDPSFGLACLGKVNMTYENDQDLMIRYYRFVANEELACDEAELGPEGFAEKLYSQQKLHEQQLGMLNYMRKFHFDDQSAILEKVVTPSDGRC >KJB33182 pep chromosome:Graimondii2_0_v6:6:11821588:11825385:1 gene:B456_006G042300 transcript:KJB33182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSQLQKYGTLSREQLSYLFDRFSLLIAQPDFKKRILDAVNDKQEPVAVTTAVQEEIFLEMGVDPSFGLACLGKVNMTYENDQDLMIRYYRFVANEELACDEAELGPEGFAEKLYSQQKLHEQLHHQMEDANFESEASILSAEQIQEIVRRRVSPLFRPS >KJB33183 pep chromosome:Graimondii2_0_v6:6:11821663:11825233:1 gene:B456_006G042300 transcript:KJB33183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSQLQKYGTLSREQLSYLFDRFSLLIAQPGIFHEEIFLEMGVDPSFGLACLGKVNMTYENDQDLMIRYYRFVANEELACDEAELGPEGFAEKLYSQQKLHEQQLGMLNYMRKFHFDDQSAILEKLHHQMEDANFESEASILSAEQIQEIVRRRVSPLFRPSQLSLTE >KJB33188 pep chromosome:Graimondii2_0_v6:6:11821679:11825233:1 gene:B456_006G042300 transcript:KJB33188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDPSFGLACLGKVNMTYENDQDLMIRYYRFVANEELACDEAELGPEGFAEKLYSQQKLHEQQLGMLNYMRKFHFDDQSAILEKLHHQMEDANFESEASILSAEQIQEIVRRRVSPLFRPSQLSLTE >KJB33187 pep chromosome:Graimondii2_0_v6:6:11821679:11825233:1 gene:B456_006G042300 transcript:KJB33187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSQLQKYGTLSREQLSYLFDRFSLLIAQPDFKKRILDAVNDKQEPVAVTTAVQEEIFLEMGVDPSFGLACLGKVNMTYENDQDLMIRYYRFVANEELACDEAELGPEGFAEKLYSQQKLHEQQLGMLNYMRKFHFDDQSAILEKMEDANFESEASILSAEQIQEIVRRRVSPLFRPS >KJB33190 pep chromosome:Graimondii2_0_v6:6:11821679:11825233:1 gene:B456_006G042300 transcript:KJB33190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDPSFGLACLGKVNMTYENDQDLMIRYYRFVANEELACDEAELGPEGFAEKLYSQQKLHEQQLGMLNYMRKFHFDDQSAILEKLHHQMEDANFESEASILSAEQIQEIVRRRVSPLFRPSQLSLTE >KJB35768 pep chromosome:Graimondii2_0_v6:6:37975725:37987166:1 gene:B456_006G127100 transcript:KJB35768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKFEQDSFGHEEASVSCSKPENGCVDSLSIFHSEKAVEELLQQTPVAGMDEHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRYELERTRNIQMEQKARMAEQFSAEKNGDIDCERVKNSDNQSMLQNESNEHSETGCMKHGIWSHEVLRDRESDSNSNADRNKIMRKASFKLSWWCNGENGDQHKHDIVSFERGNITTAERSSKQISLKWESDPQTVLILTKPNSVSVRILCVQMVRWLRERKKLNVYVEPRVKVELLAESSNFSYVQTWKDDSEISHLHMKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFHSEHFKDCLDSVLRGPISITLRHRLLCRVVRDAAKNEYETEEPFLVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTVRIMVPFNSRSPAWASFDGKDRKLLAAGDALLCSMAPWPVPTACQVDSTNDFLRSIHDGLHWNLRKTQSFDGPRDRDL >KJB35764 pep chromosome:Graimondii2_0_v6:6:37974194:37987299:1 gene:B456_006G127100 transcript:KJB35764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSKLDSTDSFGHEEASVSCSKPENGCVDSLSIFHSEKAVEELLQQTPVAGMDEHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRYELERTRNIQMEQKVSGNNNCEMLQHWWIRSSLDNAMDMLRIMLPCLFFWEVGKEQNKRIAEARMAEQFSAEKNGDIDCERVKNSDNQSMLQNESNEHSETGCMKHGIWSHEVLRDRESDSNSNADRNKIMRKASFKLSWWCNGENGDQHKHDIVSFERGNITTAERSSKQISLKWESDPQTVLILTKPNSVSVRILCVQMVRWLRERKKLNVYVEPRVKVELLAESSNFSYVQTWKDDSEISHLHMKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFHSEHFKDCLDSVLRGPISITLRHRLLCRVVRDAAKNEYETEEPFLVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTVRIMVPFNSRSPAWASFDGKDRKLLAAGDALLCSMAPWPVPTACQVDSTNDFLRSIHDGLHWNLRKTQSFDGPRDRDL >KJB35763 pep chromosome:Graimondii2_0_v6:6:37974152:37987208:1 gene:B456_006G127100 transcript:KJB35763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKARMAEQFSAEKNGDIDCERVKNSDNQSMLQNESNEHSETGCMKHGIWSHEVLRDRESDSNSNADRNKIMRKASFKLSWWCNGENGDQHKHDIVSFERGNITTAERSSKQISLKWESDPQTVLILTKPNSVSVRILCVQMVRWLRERKKLNVYVEPRVKVELLAESSNFSYVQTWKDDSEISHLHMKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSLDSEHFKDCLDSVLRGPISITLRHRLLCRVVRDAAKNEYETEEPFLVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTVRIMVPFNSRSPAWASFDGKDRKLLAAGDALLCSMAPWPVPTACQVDSTNDFLRSIHDGLHWNLRKTQSFDGPRDRDL >KJB35767 pep chromosome:Graimondii2_0_v6:6:37974416:37987166:1 gene:B456_006G127100 transcript:KJB35767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSKLDSTDSFGHEEASVSCSKPENGCVDSLSIFHSEKAVEELLQQTPVAGMDEHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRYELERTRNIQMEQKARMAEQFSAEKNGDIDCERVKNSDNQSMLQNESNEHSETGCMKHGIWSHEVLRDRESDSNSNADRNKIMRKASFKLSWWCNGENGDQHKHDIVSFERGNITTAERSSKQISLKWESDPQTVLILTKPNSVSVRILCVQMVRWLRERKKLNVYVEPRVKVELLAESSNFSYVQTWKDGSINVQGTCSSNCSIFIRFFGLYDSFSEHFKDCLDSVLRGPISITLRHRLLCRVVRDAAKNEYETEEPFLVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTVRIMVPFNSRSPAWASFDGKDRKLLAAGDALLCSMAPWPVPTACQVDSTNDFLRSIHDGLHWNLRKTQSFDGPRDRDL >KJB35761 pep chromosome:Graimondii2_0_v6:6:37974130:37987166:1 gene:B456_006G127100 transcript:KJB35761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRYELERTRNIQMEQKARMAEQFSAEKNGDIDCERVKNSDNQSMLQNESNEHSETGCMKHGIWSHEVLRDRESDSNSNADRNKIMRKASFKLSWWCNGENGDQHKHDIVSFERGNITTAERSSKQISLKWESDPQTVLILTKPNSVSVRILCVQMVRWLRERKKLNVYVEPRVKVELLAESSNFSYVQTWKDDSEISHLHMKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFHSEHFKDCLDSVLRGPISITLRHRLLCRVVRDAAKNEYETEEPFLVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTVRIMVPFNSRSPAWASFDGKDRKLLAAGDALLCSMAPWPVPTACQVDSTNDFLRSIHDGLHWNLRKTQSFDGPRDRDL >KJB35762 pep chromosome:Graimondii2_0_v6:6:37974608:37986865:1 gene:B456_006G127100 transcript:KJB35762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSKLDSTDSFGHEEASVSCSKPENGCVDSLSIFHSEKAVEELLQQTPVAGMDEHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRYELERTRNIQMEQKARMAEQFSAEKNGDIDCERVKNSDNQSMLQNESNEHSETGCMKHGIWSHEVLRDRESDSNSNADRNKIMRKASFKLSWWCNGENGDQHKHDIVSFERGNITTAERSSKQISLKWESDPQTVLILTKPNSVSVRILCVQMVRWLRERKKLNVYVEPRVKVELLAESSNFSYVQTWKDDSEISHLHMKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFHSEHFKDCLDSVLRGPISITLRHRLLCRVVRDAAKNEYETEEPFLVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTVRIMVPFNSRSPAWASFDGKDRKLLAAGDALLCSMAPWPVPTACQVDSTNDFLRSIHDGLHWNLRKTQSFDGPRDRDL >KJB35765 pep chromosome:Graimondii2_0_v6:6:37974136:37987299:1 gene:B456_006G127100 transcript:KJB35765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRRLISFCDSFGHEEASVSCSKPENGCVDSLSIFHSEKAVEELLQQTPVAGMDEHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRYELERTRNIQMEQKARMAEQFSAEKNGDIDCERVKNSDNQSMLQNESNEHSETGCMKHGIWSHEVLRDRESDSNSNADRNKIMRKASFKLSWWCNGENGDQHKHDIVSFERGNITTAERSSKQISLKWESDPQTVLILTKPNSVSVRILCVQMVRWLRERKKLNVYVEPRVKVELLAESSNFSYVQTWKDDSEISHLHMKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFHSEHFKDCLDSVLRGPISITLRHRLLCRVVRDAAKNEYETEEPFLVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTVRIMVPFNSRSPAWASFDGKDRKLLAAGDALLCSMAPWPVPTACQVDSTNDFLRSIHDGLHWNLRKTQSFDGPRDRDL >KJB35766 pep chromosome:Graimondii2_0_v6:6:37974608:37984663:1 gene:B456_006G127100 transcript:KJB35766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSKLDSTDSFGHEEASVSCSKPENGCVDSLSIFHSEKAVEELLQQTPVAGMDEHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRYELERTRNIQMEQKARMAEQFSAEKNGDIDCERVKNSDNQSMLQNESNEHSETGCMKHGIWSHEVLRDRESDSNSNADRNKIMRKASFKLSWWCNGENGDQHKHDIVSFERGNITTAERSSKQISLKWESDPQTVLILTKPNSVSVRILCVQMVRWLRERKKLNVYVEPRVKVELLAESSNFSYVQTWKDDSEISHLHMKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFLNISKIALIRS >KJB36733 pep chromosome:Graimondii2_0_v6:6:43271900:43272415:-1 gene:B456_006G173900 transcript:KJB36733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDDDGGGGRVFRFSPVLVGLLGVIAGAIVVATYHLVYTICTCFRRPTVETDNTTTQYVVDQIPRERPRQRNRGASTIPTLIPIFRYSKDCNEDTCAICLGDFKDGEQIRVLPDCLHFFHVGCIDKWLHLHSNCPLCRAGTSPPQQVAVSLPESSISISTGLGRLPDLRV >KJB35384 pep chromosome:Graimondii2_0_v6:6:36052639:36058452:1 gene:B456_006G112300 transcript:KJB35384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVLHFPSPQSTNTSSPSSSSEPALSCGPQTSLACTHDRDGFVNETSCGGDPEGEVKERQKEDTDQLPLLALLVTLFRKSLVACKSTDRRELCAMEIGSPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQLSYDSRGNSVPTILLLMQRRLYAQGGLQAEGIFRINAENSQEEYVREQLNSGVVPEGIDVHCLAGLIKAWFRELPTGVLDSLSSEQVMQCQTEEQCAELARLLPPTESALLDWAINLMADVVQQEHLNKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKTLILRTLREREDSVIEPTLAFHLEPFDENGDQTASLSCIQDTEKDNEEKELVFLAEEPLNESFRNYSQNNEMPNTEDHSPIPTIEKQTTDAADHSDDTSAEVETSITETDAIVANHLKPGAPVNAGMNNNVGQSSNSSLKESNKLRGLQSVLQITNSVEKTKVISNLSRVDSRIERIEAWR >KJB35385 pep chromosome:Graimondii2_0_v6:6:36052702:36057242:1 gene:B456_006G112300 transcript:KJB35385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVLHFPSPQSTNTSSPSSSSEPALSCGPQTSLACTHDRDGFVNETSCGGDPEGEVKERQKEDTDQLPLLALLVTLFRKSLVACKSTDRRELCAMEIGSPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQLSYDSRGNSVPTILLLMQRRLYAQGGLQVAEGIFRINAENSQEEYVREQLNSGVVPEGIDVHCLAGLIKAWFRELPTGVLDSLSSEQVMQCQTEEQCAELARLLPPTESALLDWAINLMADVVQQEHLNKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKTLILRTLREREDSVIEPTLAFHLEPFDENGDQTASLSCIQDTEKDNEEKELVFLAEEPLNESFRNYSQNNEMPNTEDHSPIPTIEKQTTDAADHSDDTSAEVETSITETDAIVANHLKPGAPVNAGMNNNVGQSSNSSLKESNKLRGLQSVLQITNSVEKTKVISNLSRVDSRIERIEAWR >KJB36332 pep chromosome:Graimondii2_0_v6:6:41179140:41181373:1 gene:B456_006G153100 transcript:KJB36332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALRQPLHSTTSAIPPLQLSKPRATGISPSPALFLKDKTQGSSKLSRFYGHRSLIVKLVGKASPGKDDITPAADDPENGVSLGTMKLPSNTDIQRFETLLFQWANSLCQGANLPLPVPLKIDKIAGGARLGFITVGDGKTEVLVYIDCIVFPATDNSGPIFRAIRNGPLKDQSPPGEPRIMRSLLQALQKSVEIARV >KJB36333 pep chromosome:Graimondii2_0_v6:6:41179208:41180238:1 gene:B456_006G153100 transcript:KJB36333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALRQPLHSTTSAIPPLQLSKPRATGISPSPALFLKDKTQGSSKLSRFYGHRSLIVKLVGKASPGKDDITPAADDPENGVSLGTMKLPSNTDIQRFETLLFQWANSLCQGANLPLPVPLKVCHCFIGILVTLKLFSFFTANWASTHVNRILCIRY >KJB36334 pep chromosome:Graimondii2_0_v6:6:41179208:41181972:1 gene:B456_006G153100 transcript:KJB36334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALRQPLHSTTSAIPPLQLSKPRATGISPSPALFLKDKTQGSSKLSRFYGHRSLIVKLVGKASPGKDDITPAADDPENGVSLGTMKLPSNTDIQRFETLLFQWANSLCQGANLPLPVPLKIDKIAGGARLGFITVGDGKTEVLVYIDCIVFPATDNSGPIFRAIRNGPLKDQSPPDSFSMN >KJB35580 pep chromosome:Graimondii2_0_v6:6:37104476:37105257:1 gene:B456_006G120600 transcript:KJB35580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVKQIASLEAETLNRLSNWGRYSTSDDPTRTGKVEFMRCDDMRTEVAMRRARETNRDLETTLMEVQLEVNIELAKLLSETIHPAFAGTNGVEIEEEDGHVCGICLQYMEKGEEARGMRVCGHMFHDYCIFEWVKRKPNCPLCRCPILAIHTNTKH >KJB38753 pep chromosome:Graimondii2_0_v6:6:50782064:50789057:1 gene:B456_006G270500 transcript:KJB38753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIASRFDFKEIQEKVSLHLRPWHRSFQFWVRAADIYTGYKVFQVRVSFVKDVQKQHAMWERQHELAADKIYAMCSDLGGFFLKVAQIIGKPDLAPAAWVKKLVTLCDQAPATPFDGVQHVLEKELGQSISEIFEKFDVNPLGSASIAQVHRARLKGNKNDVVVKVQHPGIQELMMTDIRNLQAFALYMQKTDIKFDLYSVTKEMETQIGYEFDFLREANAMERIHHFLYENNRKSPVLVPRVVRDLASRRVLVMEYIDGIPILKLGDEMAKRGINPGGKMAAAAKQNILKSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPDQLRLGYANLVLAIADNDPVKAMESYRELGIGTVSNCGNEQQELLRLAQTMFDTKLPPGVVMLQPFSEDSSIKKIAVESFPEELFSILRTVHLLRGLSVGLGINFSCAEQWRPIAEEALYNAGRLKGANRKSRVRKLSSFRRFTWRN >KJB38754 pep chromosome:Graimondii2_0_v6:6:50781965:50789057:1 gene:B456_006G270500 transcript:KJB38754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIASRFDFKEIQEKVSLHLRPWHRSFQFWVRAADIYTGYKVFQVRVSFVKDVQKQHAMWERQHELAADKIYAMCSDLGGFFLKVVAQIIGKPDLAPAAWVKKLVTLCDQAPATPFDGVQHVLEKELGQSISEIFEKFDVNPLGSASIAQVHRARLKGNKNDVVVKVQHPGIQELMMTDIRNLQAFALYMQKTDIKFDLYSVTKEMETQIGYEFDFLREANAMERIHHFLYENNRKSPVLVPRVVRDLASRRVLVMEYIDGIPILKLGDEMAKRGINPGGKMAAAAKQNILKSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPDQLRLGYANLVLAIADNDPVKAMESYRELGIGTVSNCGNEQQELLRLAQTMFDTKLPPGVVMLQPFSEDSSIKKIAVESFPEELFSILRTVHLLRGLSVGLGINFSCAEQWRPIAEEALYNAGRLKGANRKSRVRKLSSFRRFTWRN >KJB38756 pep chromosome:Graimondii2_0_v6:6:50782430:50788075:1 gene:B456_006G270500 transcript:KJB38756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIASRFDFKEIQEKVSLHLRPWHRSFQFWVRAADIYTGYKVFQVRVSFVKDVQKQHAMWERQHELAADKIYAMCSDLGGFFLKVAQIIGKPDLAPAAWVKKLVTLCDQAPATPFDGVQHVLEKELGQSISEIFEKFDVNPLGSASIAQVHRARLKGNKNDVVVKVQHPGIQELMMTDIRNLQAFALYMQKTDIKFDLYSVTKEMETQIGYEFDFLREANAMERIHHFLYENNRKSPVLVPRVVRDLASRRVLVMEYIDGIPILKLGDEMAKRGINPGGKMAAAAKQNILKSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPDQLRLGYANLVLAIADNDPVKAMESYRELGIGTVSNCGNEQQELLRLAQTMFDTKLPPGVVMLQPFSEDSSIKKIAVESFPEELFSILRTVHLLRGLSVGLGINFSCAEQWRPIAEEALYNAGRLKGVTFEP >KJB38757 pep chromosome:Graimondii2_0_v6:6:50781911:50789057:1 gene:B456_006G270500 transcript:KJB38757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIASRFDFKEIQEKVSLHLRPWHRSFQFWVRAADIYTGYKVFQVRVSFVKDVQKQHAMWERQHELAADKIYAMCSDLGGFFLKVAQIIGKPDLAPAAWVKKLVTLCDQAPATPFDGVQHVLEKELGQSISEIFEKFDVNPLGSASIAQVHRARLKGNKNDVVVKVQHPGIQELMMTDIRNLQAFALYMQKTDIKFDLYSVTKEMETQIGYEFDFLREANAMERIHHFLYENNRKSPVLVPRVVRDLASRRVLVMEYIDGIPILKLGDEMAKRGINPGGKMAAAAKQNILKSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPDQLRLGYANLVLAIADNDPVKAMESYRELGIGTVSNCGNEQQELLRLAQTMFDTKLPPGVVMLQPFSEDSSIKKIAVESFPEELFSILRTVHLLRGLSVGLGINFSCAEQWRPIAEEALYNAGRLKGANRKSRVRKLSSFRRFTWRN >KJB38755 pep chromosome:Graimondii2_0_v6:6:50782243:50788461:1 gene:B456_006G270500 transcript:KJB38755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIASRFDFKEIQEKVSLHLRPWHRSFQFWVRAADIYTGYKVFQVRVSFVKDVQKQHAMWERQHELAADKIYAMCSDLGGFFLKVAQIIGKPDLAPAAWVKKLVTLCDQAPATPFDGVQHVLEKELGQSISEIFEKFDVNPLGSASIAQVHRARLKGNKNDVVVKVQHPGIQELMMTDIRNLQAFALYMQKTDIKFDLYSVTKEMETQIGYEFDFLREANAMERIHHFLYENNRKSPVLVPRVVRDLASRRVLVMEYIDGIPILKLGDEMAKRGINPGGKMAAAAKQNILKSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPDQLRLGYANLVLAIADNDPVKAMESYRELGIGTVSNCGNEQQELLRLAQTMFDTKLPPGVVMLQPFSEDSSIKKIAVESFPEELFSILRTVHLLRGLSVGLGINFSCAEQWRPIAEEALYNAGRLKGANRKSRVRKLSSFRRFTWRN >KJB36127 pep chromosome:Graimondii2_0_v6:6:40075956:40078326:-1 gene:B456_006G142800 transcript:KJB36127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQGSFPAISAVRSSFSSSSLVGVSFVRLPDCNRRSSGDRISCRRTSCRAMVQQAVQGGAPATYAKDMERLSAKESLLLAFKDAGGFEALVTGKTTDMQRIDVNERITGLERLNPTTRPTTSPFLEGLWNFEWFGSGSPGLFAARVVFERFPSTLARMSKMNLLLKDGYAKVAAHLKLLNSIMFQRVEKAGYKLGPSMYVLQAFALTSQWNYSFC >KJB36128 pep chromosome:Graimondii2_0_v6:6:40077126:40078185:-1 gene:B456_006G142800 transcript:KJB36128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQGSFPAISAVRSSFSSSSLVGVSFVRLPDCNRRSSGDRISCRRTSCRAMVQQAVQGGAPATYAKDMERLSAKESLLLAFKDAGGFEALVTGKTTDMQRIDVNERITGLERLNPTTRPTTFPGLPFWRVYGTLSGLDLEAQDFLLLESYLRDFLRPWQECQK >KJB36126 pep chromosome:Graimondii2_0_v6:6:40072223:40078326:-1 gene:B456_006G142800 transcript:KJB36126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQGSFPAISAVRSSFSSSSLVGVSFVRLPDCNRRSSGDRISCRRTSCRAMVQQAVQGGAPATYAKDMERLSAKESLLLAFKDAGGFEALVTGKTTDMQRIDVNERITGLERLNPTTRPTTSPFLEGLWNFEWFGSGSPGLFAARVVFERFPSTLARMSKMNLLLKDGYAKVAAHLKLLNSIESKFILSAKVSVEGPLRMKEEYVEGIFESPTIVEETIPEQLKGAYSQALTTAQQLPVPIRDAVANGLRVPLSGTFQRLFMISYLDEEILIIRDTAGIPEVLTRLEASSSGMAETNPEYES >KJB33664 pep chromosome:Graimondii2_0_v6:6:6354729:6358692:-1 gene:B456_006G024900 transcript:KJB33664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFMTGTKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPNSNTQFVGDENRPPAQVFREKIMSVADVGAGVEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVVTLDPPIRKGQTLYPHIVMQFETDYVVQSTLSINEDLLNTKYKDRLEPSYKGLIHEVFTTIMRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFISSKGLKIMNLGDVRTTDGVAEILQNDDDDAVDPHLERIKNEAGGDESDEEDEDFVLDKDDEGSPTDDSGEDDSDASDSGDEKEKPAKRDPRKEASSSKATKKKSKDGQDDGKKKKRRKKDPNAPKRAMSGFMFFSQVERENIKKTNPGASFAEISRILGEKWKKLSEEEKEPYEAKAKVDKRRYDVERNAYKNPQPMNIDSGNESDSE >KJB33666 pep chromosome:Graimondii2_0_v6:6:6354729:6360940:-1 gene:B456_006G024900 transcript:KJB33666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGHLFNNISLGGRGGTNPGQLKISSGGILWKKQGGGKAVEVDKSDILGVTWMKVPRTNQLGVRIKDGLYYKFTGFRDQDVASLTSFFQNNCGIAPEEKQLSVSGRNWGEVDLSGNMLAFMTGTKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPNSNTQFVGDENRPPAQVFREKIMSVADVGAGVEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVVTLDPPIRKGQTLYPHIVMQFETDYVVQSTLSINEDLLNTKYKDRLEPSYKGLIHEVFTTIMRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFISSKGLKIMNLGDVRTTDGVAEILQNDDDDAVDPHLERIKNEAGGDESDEEDEDFVLDKDDEGSPTDDSGEDDSDASDSGDEKEATCQKGSQKGSFFF >KJB33665 pep chromosome:Graimondii2_0_v6:6:6354729:6360940:-1 gene:B456_006G024900 transcript:KJB33665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGHLFNNISLGGRGGTNPGQLKISSGGILWKKQGGGKAVEVDKSDILGVTWMKVPRTNQLGVRIKDGLYYKFTGFRDQDVASLTSFFQNNCGIAPEEKQLSVSGRNWGEVDLSGNMLAFMTGTKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPNSNTQFVGDENRPPAQVFREKIMSVADVGAGVEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVVTLDPPIRKGQTLYPHIVMQFETDYVVQSTLSINEDLLNTKYKDRLEPSYKGLIHEVFTTIMRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFIRSGLNIHWMNSFVPFGYILTAVCSSKGLKIMNLGDVRTTDGVAEILQNDDDDAVDPHLERIKNEAGGDESDEEDEDFVLDKDDEGSPTDDSGEDDSDASDSGDEKEKPAKRDPRKEASSSKATKKKSKDGQDDGKKKKRRKKDPNAPKRAMSGFMFFSQVERENIKKTNPGASFAEISRILGEKWKKLSEEEKEPYEAKAKVDKRRYDVERNAYKNPQPMNIDSGNESDSE >KJB33663 pep chromosome:Graimondii2_0_v6:6:6354649:6360991:-1 gene:B456_006G024900 transcript:KJB33663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGHLFNNISLGGRGGTNPGQLKISSGGILWKKQGGGKAVEVDKSDILGVTWMKVPRTNQLGVRIKDGLYYKFTGFRDQDVASLTSFFQNNCGIAPEEKQLSVSGRNWGEVDLSGNMLAFMTGTKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPNSNTQFVGDENRPPAQVFREKIMSVADVGAGVEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVVTLDPPIRKGQTLYPHIVMQFETDYVVQSTLSINEDLLNTKYKDRLEPSYKGLIHEVFTTIMRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFISSKGLKIMNLGDVRTTDGVAEILQNDDDDAVDPHLERIKNEAGGDESDEEDEDFVLDKDDEGSPTDDSGEDDSDASDSGDEKEKPAKRDPRKEASSSKATKKKSKDGQDDGKKKKRRKKDPNAPKRAMSGFMFFSQVERENIKKTNPGASFAEISRILGEKWKKLSEEEKEPYEAKAKVDKRRYDVERNAYKNPQPMNIDSGNESDSE >KJB38156 pep chromosome:Graimondii2_0_v6:6:48657284:48662119:1 gene:B456_006G239800 transcript:KJB38156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSIPSPSHMGTPPHSENPHMDTTPPLDNPHDMGSSHIDIQQDLNSHMDTPPHTENPNDMGSPHIDIHQDLFPDYYGGTAPASAPAPAPALDSAPSEPKHEFEGNDNHNGNNDSAPVIKDNNSPPPVPPGSSGEGLPYAPIHWPNAGDIWTWKVGRRVNSAGFYGDRFLHVPESLRKPNSPKVFASKPALERFIRLNFPDADVNAFFASFVWKIPAIVESPTKVAAAPAAPAEGTEDDKQESDTKGLRRTQRKRAPPPPPFSTPSSIEAPEEGTEDVKQESNSKTPRRTQRKRAPPAPPVSTPNSSNGKKMQKNSKGSTKTKRPTRQRGKESAPPPAETEDMNSGLDLSFLDNETGRAEFDNYLSSLDEILAQPFSEEPFSHPAEMQNSFAGDSEMAEARRKLSSLLDMDFPSLICFKDLEELAGLASKLRKDPTLTAEQLVKLKLIEEIPSFCEVFLENREVMEQADKFFRGLDDNRAKVSSLKQEYSELKQQVTNLESEVDSNTMTVQDIDNQIAQLKAHRAELTKLIDKKKRDKDELTYNQKLVANSIPKVVHEVQLANAKKPEWELKKENALKREGEILAKFAPLKGFSL >KJB38158 pep chromosome:Graimondii2_0_v6:6:48657371:48662083:1 gene:B456_006G239800 transcript:KJB38158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSIPSPSHMGTPPHSENPHMDTTPPLDNPHDMGSSHIDIQQDLNSHMDTPPHTENPNDMGSPHIDIHQDLFPDYYGGTAPASAPAPAPALDSAPSEPKHEFEGNDNHNGNNDSAPVIKDNNSPPPVPPGSSGEGLPYAPIHWPNAGDIWTWKVGRRVNSAGFYGDRFLHVPESLRKPNSPKVFASKPALERFIRLNFPDADVNAFFASFVWKIPAIVESPTKVAAAPAAPAEGTEDDKQESDTKGLRRTQRKRAPPPPPFSTPSSIEAAPEEGTEDVKQESNSKTPRRTQRKRAPPAPPVSTPNSSNGKKMQKNSKGSTKTKRPTRQRGKESAPPPAETEDMNSGLDLSFLDNETGRAEFDNYLSSLDEILAQPFSEEPFSHPAEMQNSFAGDSEMAEARRKLSSLLDMDFPSLICFKDLEELAGLASKLRKDPTLTAEQLVKLKLIEEIPSFCEVFLENREVMEQADKFFRGLDDNRAKVSSLKQEYSELKQQVTNLESEVDSNTMTVQDIDNQIAQLKAHRAELTKLIDKKKRDKDELTYNQKLVANSIPKVVHEVQLANAKKPEWELKKENALKREGEILAKFAPLKGFSL >KJB38157 pep chromosome:Graimondii2_0_v6:6:48657358:48662083:1 gene:B456_006G239800 transcript:KJB38157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSIPSPSHMGTPPHSENPHMDTTPPLDNPHDMGSSHIDIQQDLNSHMDTPPHTENPNDMGSPHIDIHQDLFPDYYGGTAPASAPAPAPALDSAPSEPKHEFEGNDNHNGNNDSAPVIKDNNSPPPVPPGSSGEGLPYAPIHWPNAGDIWTWKVGRRVNSAGFYGDRFLHVPESLRKPNSPKVFASKPALERFIRLNFPDADVNAFFASFVWKIPAIVESPTKVAAAPAAPAEGTEDDKQESDTKGLRRTQRKRAPPPPPFSTPSSIEAAPEEGTEDVKQESNSKTPRRTQRKRAPPAPPVSTPNSSNGKKMQKNSKGSTKTKRPTRQRGKESAPPPAETEDMNSGLDLSFLDNETGRAEFDNYLSSLDEILAQPFSEEPFSHPAEMQNSFAGDSEMAEARRKLSSLLDMDFPSLICFKDLEELAGLASKLRKDPTLTAEQLVKLKLIEEIPSFCEVFLENREVMEQADKFFRGLDDNRAKVSSLKQEYSELKQQVTNLESEVDSNTMTVQDIDNQIAQLKAHRAELTKLIDKKKRDKDELTYNQKLVANSIPKVVHEVQLANAKKPEWELKKENALKREGEILAKFAPLKGFSL >KJB34566 pep chromosome:Graimondii2_0_v6:6:29223961:29227584:1 gene:B456_006G072900 transcript:KJB34566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYPEWFGSDVRLALPNTHPHPCKNIRNTDFLYKETFTVYNKPYNLKKEEMDIATEAPKAPLTSERKVRADLEEYIPKPYLARALNTSSVENPHGTVPGHDNNGMSVLQQHASFFDQDKDGIVYPRETYRGMRNLGFGRFESFLAAIVINVAFSYWTLPGWLPNLHFPIYIDRIHKCKHGSDSSTYDTEGR >KJB34567 pep chromosome:Graimondii2_0_v6:6:29223961:29228227:1 gene:B456_006G072900 transcript:KJB34567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYPEWFGSDVRLALPNTHPHPCKNIRNTDFLYKETFTVYNKPYNLKKEEMDIATEAPKAPLTSERKVRADLEEYIPKPYLARALNTSSVENPHGTVPGHDNNGMSVLQQHASFFDQDKDGIVYPRETYRGMRNLGFGRFESFLAAIVINVAFSYWTLPGWLPNLHFPIYIDRIHKCKHGSDSSTYDTEGRFMPMNFESIFSKYARTVSDKLTFGELWHMTEANRNSYDFIGWIISKGEWIVLYRLAKDENGYLSKEAVRGCFDGSLFEYVAKMNNSGSKKSYRLKE >KJB34568 pep chromosome:Graimondii2_0_v6:6:29223961:29228227:1 gene:B456_006G072900 transcript:KJB34568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIATEAPKAPLTSERKVRADLEEYIPKPYLARALNTSSVENPHGTVPGHDNNGMSVLQQHASFFDQDKDGIVYPRETYRGMRNLGFGRFESFLAAIVINVAFSYWTLPGWLPNLHFPIYIDRIHKCKHGSDSSTYDTEGRFMPMNFESIFSKYARTVSDKLTFGELWHMTEANRNSYDFIGWIISKGEWIVLYRLAKDENGYLSKEAVRGCFDGSLFEYVAKMNNSGSKKSYRLKE >KJB38450 pep chromosome:Graimondii2_0_v6:6:49903942:49910808:-1 gene:B456_006G257200 transcript:KJB38450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLLPLPLFQVKKKQFFRNFMTIMLFGAVGTLISFAIISLGAIHFFKKMSIGNLKIGDYLAIGAIFSATDSVCTLQVLNQDDTPLLYSLVFGEGVVNDATAVVLFKAIQSFDLPHINTTIALQFVGNFLYLFISSTLLGVLAGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAEFFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVAEIFIFLYVGMDALDIEKWRVVSDSPGKSVGVSAILLGLILVGRAAFVFPLSSISNLTKKATSDKIDFKQQVTIWWAGLMRGAVSMALAYNQFTSLGHTQLRGNAMMITSTITVVLFSTVVFGLMTKPLIRILLPSPKNRMLSSEPSTPKPLTVPLLTNGHDLEAYESDRNLITRPTSLRMFLSTPSNTVHYYWRKFDNAFMRPVFGGRGFVPFVPGSPIEQNDQQWQ >KJB38449 pep chromosome:Graimondii2_0_v6:6:49904294:49909597:-1 gene:B456_006G257200 transcript:KJB38449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGILSTLLAKPETILGSGHSSVVSMNLFVALLCGCIVIGHLLEESRWMNESITALAIGLCTGIVILLTTGGKSSHLLVFSEDLFFNYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFAIISLGAIHFFKKMSIGNLKIGDYLAIGAIFSATDSVCTLQVLNQDDTPLLYSLVFGEGVVNDATAVVLFKAIQSFDLPHINTTIALQFVGNFLYLFISSTLLGVLAGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAEFFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVAEIFIFLYVGMDALDIEKWRVVSDSPGKSVGVSAILLGLILVGRAAFVFPLSSISNLTKKATSDKIDFKQQVTIWWAGLMRGAVSMALAYNQFTSLGHTQLRGNAMMITSTITVVLFSTVVFGLMTKPLIRILLPSPKNRMLSSEPSTPKPLTVPLLTNGHDLEAYESDRNLITRPTSLRMFLSTPSNTVHYYWRKFDNAFMRPVFGGRGFVPFVPGSPIEQNDQQWQ >KJB38477 pep chromosome:Graimondii2_0_v6:6:49828698:49837531:-1 gene:B456_006G256000 transcript:KJB38477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFGKHSGPTTAPKSANPFQFQRPPPPSSTPPIRPSSYTPSSTPPIRPSSYTPSSTPPIRPSSYTSPSTTPIRPSRVNEAADRVRTPPSAFENFGPALRPYQYGGVQRPMESSPRWDDGKIPLKDYDAQTRFRPPVVTSFAASQNPETSFTAKARFQESKSTKSPPTVSIDDTVPRNSSQMILQRPSFNPHMPQNPVKLPANYPNFPAHQDRSVASPHLGSADYRKNFANEVPDMQVPKQGRLPSQQFDDEFTQEHHKSVRNGSKRPSGSPPRLGTKSISPSSNFPIRPRSLPSVRNDPPPTVRNIGPPVSKRTRSPPLIYHDDFLQESSTPIEDDTERELQAKAKRLARFKTELSETVETKPPDIADQRLSTTRFQHNVEERKKHVGEQPMDSSGESLNDVVSSDFDGTEASRVIIGLCPDMCPESERAERERKGDLDQYERVDGDRNQTSESLAVKKYTRTAEREASLIRPMLVLQKTIDYLLNLLDQPYDDRFLGIYNFLWDRMRAIRMDLRMQHIFDQGAITMLEQMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINVPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEIRQTPEVLFARNVARACRTGNFVAFFRLARKASYLQACLMHAHFAKLRTQAFASLHSSLQNNQGLPISHVAEWLGIEEEDIESILDYYGFSIKEFEEPYMVKEGSFLNAENDYPTKCSRLVHQKRSRTIALDVATPHEVTSLPIGASKESQPSKAHKQRANVSPSPKRKSSVSAADEELPDSKVVPSPKSSSQLHLVTETSKGLQQLQDGHLKSGASEPFNFSVDRSSPRSFPAKVVVMEKSNNIVVSSMPDRTNTYGMEQMPPLTFSQVSQPERSPSGRFHLSAENSVPQSMAIIDNVKSSPMRSPSGKYTKNALPQTMDLSDLKSQSERPSVKYDKALENSVPQGMEINDLGDKPLDNHQEIENQEIVANIQRKEVAEAKLKLILRLWSRRAAKQRELREQRQLAAEAALSSLPLGIPVRQNNDQWSTFGELDFDHVMSERCEKYERSWSRLNVSDVVSSILGQRNPDAKCLCWKIILCSPESSQGDQPRQNNQVGHLAAGPWLFSKIMPSTEDNNDDDLAITSPGLSIWKKWVPSLCGTDLTCCLSVVKDANCDNLDEVVSGANAVLFLVSQYIPWKLQKAKLYSLLNLVPPGACLPLLVLSGSYNLEGSDPSPVIVNELGLHEIDKSRISCFLVVFLLGKWHLEHSNGFFSDEKLREGLKWLANKSPLQPVLSSVKIRELVMSHLSPVLEELDKMGDYEVGPNHCISVFNEALDWSFGEIAAAIKANPTNWPCPEAMLLKDFSDEFLAAKLFLPSVGWSSPAKTAPLEHALKDCRLARFPDDISLLQRGSMMGKDIDNHRLLLENCLVEYLTQSTKMMGIPLATKETSVMIQRNTRLELRNLSYYLVPNWITIFRRVFNWRLSSLSTGACSFSYVLQTHHVPPLGDLLKLPLEVDTSPYCLSHPSLDEILEVGCSPLKSRRINFDPQPSQDKEDHTSQKNGLAITGDVACTTSKPDSSYGKMVVAGTETDRLSQLLEKCNIVQNSIGEKLSIYF >KJB38475 pep chromosome:Graimondii2_0_v6:6:49828511:49837756:-1 gene:B456_006G256000 transcript:KJB38475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFGKHSGPTTAPKSANPFQFQRPPPPSSTPPIRPSSYTPSSTPPIRPSSYTSPSTTPIRPSRVNEAADRVRTPPSAFENFGPALRPYQYGGVQRPSFNPHMPQNPVKLPANYPNFPAHQDRSVASPHLGSADYRKNFANEVPDMQVPKQGRLPSQQFDDEFTQEHHKSVRNGSKRPSGSPPRLGTKSISPSSNFPIRPRSLPSVRNDPPPTVRNIGPPVSKRTRSPPLIYHDDFLQESSTPIEDDTERELQAKAKRLARFKTELSETVETKPPDIADQRLSTTRFQHNVEERKKHVGEQPMDSSGESLNDVVSSDFDGTEASRVIIGLCPDMCPESERAERERKGDLDQYERVDGDRNQTSESLAVKKYTRTAEREASLIRPMLVLQKTIDYLLNLLDQPYDDRFLGIYNFLWDRMRAIRMDLRMQHIFDQGAITMLEQMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINVPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEIRQTPEVLFARNVARACRTGNFVAFFRLARKASYLQACLMHAHFAKLRTQAFASLHSSLQNNQGLPISHVAEWLGIEEEDIESILDYYGFSIKEFEEPYMVKEGSFLNAENDYPTKCSRLVHQKRSRTIALDVATPHEVTSLPIGASKESQPSKAHKQRANVSPSPKRKSSVSAADEELPDSKVVPSPKSSSQLHLVTETSKGLQQLQDGHLKSGASEPFNFSVDRSSPRSFPAKVVVMEKSNNIVVSSMPDRTNTYGMEQMPPLTFSQVSQPERSPSGRFHLSAENSVPQSMAIIDNVKSSPMRSPSGKYTKNALPQTMDLSDLKSQSERPSVKYDKALENSVPQGMEINDLGDKPLDNHQEIENQEIVANIQRKEVAEAKLKLILRLWSRRAAKQRELREQRQLAAEAALSSLPLGIPVRQNNDQWSTFGELDFDHVMSERCEKYERSWSRLNVSDVVSSILGQRNPDAKCLCWKIILCSPESSQGDQPRQNNQVGHLAAGPWLFSKIMPSTEDNNDDDLAITSPGLSIWKKWVPSLCGTDLTCCLSVVKDANCDNLDEVVSGANAVLFLVSQYIPWKLQKAKLYSLLNLVPPGACLPLLVLSGSYNLEGSDPSPVIVNELGLHEIDKSRISCFLVVFLLGKWHLEHSNGFFSDEKLREGLKWLANKSPLQPVLSSVKIRELVMSHLSPVLEELDKMGDYEVGPNHCISVFNEALDWSFGEIAAAIKANPTNWPCPEAMLLKDFSDEFLAAKLFLPSVGWSSPAKTAPLEHALKDCRLARFPDDISLLQRGSMMGKDIDNHRLLLENCLVEYLTQSTKMMGIPLATKETSVMIQRNTRLELRNLSYYLVPNWITIFRRVFNWRLSSLSTGACSFSYVLQTHHVPPLGDLLKLPLEVDTSPYCLSHPSLDEILEVGCSPLKSRRINFDPQPSQDKEDHTSQKNGLAITGDVACTTSKPDSSYGKMVVAGTETDRLSQLLEKCNIVQNSIGEKLSIYF >KJB38478 pep chromosome:Graimondii2_0_v6:6:49828511:49837756:-1 gene:B456_006G256000 transcript:KJB38478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFGKHSGPTTAPKSANPFQFQRPPPPSSTPPIRPSSYTPSSTPPIRPSSYTPSSTPPIRPSSYTSPSTTPIRPSRVNEAADRVRTPPSAFENFGPALRPYQYGGVQRPSFNPHMPQNPVKLPANYPNFPAHQDRSVASPHLGSADYRKNFANEVPDMQVPKQGRLPSQQFDDEFTQEHHKSVRNGSKRPSGSPPRLGTKSISPSSNFPIRPRSLPSVRNDPPPTVRNIGPPVSKRTRSPPLIYHDDFLQESSTPIEDDTERELQAKAKRLARFKTELSETVETKPPDIADQRLSTTRFQHNVEERKKHVGEQPMDSSGESLNDVVSSDFDGTEASRVIIGLCPDMCPESERAERERKGDLDQYERVDGDRNQTSESLAVKKYTRTAEREASLIRPMLVLQKTIDYLLNLLDQPYDDRFLGIYNFLWDRMRAIRMDLRMQHIFDQGAITMLEQMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINVPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEIRQTPEVLFARNVARACRTGNFVAFFRLARKASYLQACLMHAHFAKLRTQAFASLHSSLQNNQGLPISHVAEWLGIEEEDIESILDYYGFSIKEFEEPYMVKEGSFLNAENDYPTKCSRLVHQKRSRTIALDVATPHEVTSLPIGASKESQPSKAHKQRANVSPSPKRKSSVSAADEELPDSKVVPSPKSSSQLHLVTETSKGLQQLQDGHLKSGASEPFNFSVDRSSPRSFPAKVVVMEKSNNIVVSSMPDRTNTYGMEQMPPLTFSQVSQPERSPSGRFHLSAENSVPQSMAIIDNVKSSPMRSPSGKYTKNALPQTMDLSDLKSQSERPSVKYDKALENSVPQGMEINDLGDKPLDNHQEIENQEIVANIQRKEVAEAKLKLILRLWSRRAAKQRELREQRQLAAEAALSSLPLGIPVRQNNDQWSTFGELDFDHVMSERCEKYERSWSRLNVSDVVSSILGQRNPDAKCLCWKIILCSPESSQGDQPRQNNQVGHLAAGPWLFSKIMPSTEDNNDDDLAITSPGLSIWKKWVPSLCGTDLTCCLSVVKDANCDNLDEVVSGANAVLFLVSQYIPWKLQKAKLYSLLNLVPPGACLPLLVLSGSYNLEGSDPSPVIVNELGLHEIDKSRISCFLVVFLLGKWHLEHSNGFFSDEKLREGLKWLANKSPLQPVLSSVKIRELVMSHLSPVLEELDKMGDYEVGPNHCISVFNEALDWSFGEIAAAIKANPTNWPCPEAMLLKDFSDEFLAAKLFLPSVGWSSPAKTAPLEHALKDCRLARFPDDISLLQRGSMMGKDIDNHRLLLENCLVEYLTQSTKMMGIPLATKETSVMIQRNTRLELRNLSYYLVPNWITIFRRVFNWRLSSLSTGACSFSYVLQTHHVPPLGDLLKLPLEVDTSPYCLSHPSLDEILEVGCSPLKSRRINFDPQPSQDKEDHTSQKNGLAITGDVACTTSKPDSSYGKMVVAGTETDRLSQLLEKCNIVQNSIGEKLSIYF >KJB38476 pep chromosome:Graimondii2_0_v6:6:49828698:49837531:-1 gene:B456_006G256000 transcript:KJB38476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFGKHSGPTTAPKSANPFQFQRPPPPSSTPPIRPSSYTPSSTPPIRPSSYTSPSTTPIRPSRVNEAADRVRTPPSAFENFGPALRPYQYGGVQRPMESSPRWDDGKIPLKDYDAQTRFRPPVVTSFAASQNPETSFTAKARFQESKSTKSPPTVSIDDTVPRNSSQMILQRPSFNPHMPQNPVKLPANYPNFPAHQDRSVASPHLGSADYRKNFANEVPDMQVPKQGRLPSQQFDDEFTQEHHKSVRNGSKRPSGSPPRLGTKSISPSSNFPIRPRSLPSVRNDPPPTVRNIGPPVSKRTRSPPLIYHDDFLQESSTPIEDDTERELQAKAKRLARFKTELSETVETKPPDIADQRLSTTRFQHNVEERKKHVGEQPMDSSGESLNDVVSSDFDGTEASRVIIGLCPDMCPESERAERERKGDLDQYERVDGDRNQTSESLAVKKYTRTAEREASLIRPMLVLQKTIDYLLNLLDQPYDDRFLGIYNFLWDRMRAIRMDLRMQHIFDQGAITMLEQMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINVPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEIRQTPEVLFARNVARACRTGNFVAFFRLARKASYLQACLMHAHFAKLRTQAFASLHSSLQNNQGLPISHVAEWLGIEEEDIESILDYYGFSIKEFEEPYMVKEGSFLNAENDYPTKCSRLVHQKRSRTIALDVATPHEVTSLPIGASKESQPSKAHKQRANVSPSPKRKSSVSAADEELPDSKVVPSPKSSSQLHLVTETSKGLQQLQDGHLKSGASEPFNFSVDRSSPRSFPAKVVVMEKSNNIVVSSMPDRTNTYGMEQMPPLTFSQVSQPERSPSGRFHLSAENSVPQSMAIIDNVKSSPMRSPSGKYTKNALPQTMDLSDLKSQSERPSVKYDKALENSVPQGMEINDLGDKPLDNHQEIENQEIVANIQRKEVAEAKLKLILRLWSRRAAKQRELREQRQLAAEAALSSLPLGIPVRQNNDQWSTFGELDFDHVMSERCEKYERSWSRLNVSDVVSSILGQRNPDAKCLCWKIILCSPESSQGDQPRQNNQVGHLAAGPWLFSKIMPSTEDNNDDDLAITSPGLSIWKKWVPSLCGTDLTCCLSVVKDANCDNLDEVVSGANAVLFLVSQYIPWKLQKAKLYSLLNLVPPGACLPLLVLSGSYNLEGSDPSPVIVNELGLHEIDKSRISCFLVVFLLGKWHLEHSNGFFSDEKLREGLKWLANKSPLQPVLSSVKIRELVMSHLSPVLEELDKMGDYEVGPNHCISVFNEALDWSFGEIAAAIKANPTNWPCPEAMLLKDFSDEFLAAKLFLPSVGWSSPAKTAPLEHALKDCRLARFPDDISLLQRGSMMGKDIDNHRLLLENCLVEYLTQSTKMMGIPLATKETSVMIQRNTRLELRNLSYYLVPNWITIFRRVFNWRLSSLSTGACSFSYVLQTHHVPPLGDLLKLPLEVDTSPYCLSHPSLDEILEVGCSPLKSRRINFDPQPSQDKEDHTSQKNGLAITGDVACTTSKPDSSYGKMVVAGTETDRLSQLLEKCNIVQNSIGEKLSIYF >KJB38479 pep chromosome:Graimondii2_0_v6:6:49828570:49837774:-1 gene:B456_006G256000 transcript:KJB38479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGFYKNIYIFYLPRSPSSPFVSRFKKNPNVKPRLLSSKLKKKKEDPFSCISKGNPCFEIPVYALKKSKKIKKQGRKVKTMSGFGKHSGPTTAPKSANPFQFQRPPPPSSTPPIRPSSYTSPSTTPIRPSRVNEAADRVRTPPSAFENFGPALRPYQYGGVQRPMESSPRWDDGKIPLKDYDAQTRFRPPVVTSFAASQNPETSFTAKARFQESKSTKSPPTVSIDDTVPRNSSQMILQRPSFNPHMPQNPVKLPANYPNFPAHQDRSVASPHLGSADYRKNFANEVPDMQVPKQGRLPSQQFDDEFTQEHHKSVRNGSKRPSGSPPRLGTKSISPSSNFPIRPRSLPSVRNDPPPTVRNIGPPVSKRTRSPPLIYHDDFLQESSTPIEDDTERELQAKAKRLARFKTELSETVETKPPDIADQRLSTTRFQHNVEERKKHVGEQPMDSSGESLNDVVSSDFDGTEASRVIIGLCPDMCPESERAERERKGDLDQYERVDGDRNQTSESLAVKKYTRTAEREASLIRPMLVLQKTIDYLLNLLDQPYDDRFLGIYNFLWDRMRAIRMDLRMQHIFDQGAITMLEQMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINVPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEIRQTPEVLFARNVARACRTGNFVAFFRLARKASYLQACLMHAHFAKLRTQAFASLHSSLQNNQGLPISHVAEWLGIEEEDIESILDYYGFSIKEFEEPYMVKEGSFLNAENDYPTKCSRLVHQKRSRTIALDVATPHEVTSLPIGASKESQPSKAHKQRANVSPSPKRKSSVSAADEELPDSKVVPSPKSSSQLHLVTETSKGLQQLQDGHLKSGASEPFNFSVDRSSPRSFPAKVVVMEKSNNIVVSSMPDRTNTYGMEQMPPLTFSQVSQPERSPSGRFHLSAENSVPQSMAIIDNVKSSPMRSPSGKYTKNALPQTMDLSDLKSQSERPSVKYDKALENSVPQGMEINDLGDKPLDNHQEIENQEIVANIQRKEVAEAKLKLILRLWSRRAAKQRELREQRQLAAEAALSSLPLGIPVRQNNDQWSTFGELDFDHVMSERCEKYERSWSRLNVSDVVSSILGQRNPDAKCLCWKIILCSPESSQGDQPRQNNQVGHLAAGPWLFSKIMPSTEDNNDDDLAITSPGLSIWKKWVPSLCGTDLTCCLSVVKDANCDNLDEVVSGANAVLFLVSQYIPWKLQKAKLYSLLNLVPPGACLPLLVLSGSYNLEGSDPSPVIVNELGLHEIDKSRISCFLVVFLLGKWHLEHSNGFFSDEKLREGLKWLANKSPLQPVLSSVKIRELVMSHLSPVLEELDKMGDYEVGPNHCISVFNEALDWSFGEIAAAIKANPTNWPCPEAMLLKDFSDEFLAAKLFLPSVGWSSPAKTAPLEHALKDCRLARFPDDISLLQRGSMMGKDIDNHRLLLENCLVEYLTQSTKMMGIPLATKETSVMIQRNTRLELRNLSYYLVPNWITIFRRVFNWRLSSLSTGACSFSYVLQTHHVPPLGDLLKLPLEVDTSPYCLSHPSLDEILEVGCSPLKSRRINFDPQPSQDKEDHTSQKNGLAITGDVACTTSKPDSSYGKMVVAGTETDRLSQLLEKCNIVQNSIGEKLSIYF >KJB33392 pep chromosome:Graimondii2_0_v6:6:2030291:2030769:-1 gene:B456_006G009200 transcript:KJB33392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFPLKSPSLNLPFLSFESKPPKSPKRSPLPLLYYPSTPKTSPNCHCWWWCAAPRTTKGGLLILNHLTT >KJB34658 pep chromosome:Graimondii2_0_v6:6:30801880:30807401:-1 gene:B456_006G080600 transcript:KJB34658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRSPPKRVSISHSFTSFFLGFLIPCINAAPLGPESDRVIKLPSQPATPSISQFSGYVTVNEEHGRALFYWFFEAQSEASKKPLLLWLNGGPGCSSIAYGAASELGPLRVGENGVDLHFNEFAWNQEANLLFVESPVGVGFSYTNTTSDLTKLDDAFVAEDVYMFLVEWLQRFPHFKTRDFFISGESYAGHYVPQLAELVYDRNNDTTRYPFINLQGFMVGNPVTDDNYDYTGIMDYAWSHSVISDEFYHKIKQACDFKELNWSTECNALVNQVFDTYSEIDIYNIYDPKCLINTTSSATANKIKFDGLNRVRILAEGYDPCYSPYSEMYFNRPDVQTSIHADSRGEKWVSCNSSKEN >KJB34659 pep chromosome:Graimondii2_0_v6:6:30802361:30807027:-1 gene:B456_006G080600 transcript:KJB34659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRSPPKRVSISHSFTSFFLGFLIPCINAAPLGPESDRVIKLPSQPATPSISQFSGYVTVNEEHGRALFYWFFEAQSEASKKPLLLWLNGGPGCSSIAYGAASELGPLRVGENGVDLHFNEFAWNQEANLLFVESPVGVGFSYTNTTSDLTKLDDAFVAEDVYMFLVEWLQRFPHFKTRDFFISGESYAGHYVPQLAELVYDRNNDTTRYPFINLQGFMVGNPVTDDNYDYTGIMDYAWSHSVISDEFYHKIKQACDFKELNWSTECNALVNQVFDTYSEIDIYNIYDPKCLINTTSSATANKIKFDGLNRVRILAEGYDPCYSPYSEMYFNRPDVQTSIHADSRGEKWVSCKDSILNSYNFSVFSVLPVYEKLIKGKLKIWMYSGDMDGRVPIISSRYCVEALGLPVKSPWRSWFHNKQVGGRIVEYEGLTLVTVRGAGHLVPLNKPSEALALIHSFLSDEPLPNRR >KJB34660 pep chromosome:Graimondii2_0_v6:6:30801880:30807401:-1 gene:B456_006G080600 transcript:KJB34660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRSPPKRVSISHSFTSFFLGFLIPCINAAPLGPESDRVIKLPSQPATPSISQFSGYVTVNEEHGRALFYWFFEAQSEASKKPLLLWLNGGPGCSSIAYGAASELGPLRVGENGVDLHFNEFAWNQEANLLFVESPVGVGFSYTNTTSDLTKLDDAFVAEDVYMFLVEWLQRFPHFKTRDFFISGESYAGHYVPQLAELVYDRNNDTTRYPFINLQGFMVGNPVTDDNYDYTGIMDYAWSHSVISDEFYHKIKQACDFKELNWSTECNALVNQVFDTYSEIDIYNIYDPKCLINTTSSATANKIKFDGLNRVRILAEGYDPCYSPYSEMYFNRPDVQTSIHADSRGEKWRFYLEFI >KJB37572 pep chromosome:Graimondii2_0_v6:6:46575262:46577298:-1 gene:B456_006G211100 transcript:KJB37572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLTSSLLCLLFTWLLLQAFNSFRNGRKSSQSKLPPGPWPKPIIGNLFDLGDKPHRSLAKFAQIHGPVMSLKLGSILTIVVSSETTAKEILQKQDLTFCNRTIVDAVRASQHYEVGLPWIPVSPLWRTLRKVCNTHIFASIKLDANEYLRRNKIQELIANVSESCLKGEAVNIGQAAFDTTVNLLSNTVFSMDLVDPNSSIAREFKKTVRGMMDEAGTPNLADFFPLLRKIDPQGVRRRMTVRYENLLNLFGNIFDERLQSRKSQDYTASNDVLDTLLHIIEDDIEELNKTHVMHLFLVLFVAGTDTTSSTLEWAMAELLQNPQVLLKAKKELNQAIEKGKPIKESDINHLPYLQAIIKETFRMHPVVPLLLPRRAGTDADLCGFKVPKGSQVLVNVWAIGRDPSIWENPNSFMPERFLGCEIDVKGRDFGLIPFGAGRRICPGLPLANRMLHLMLGSLINSFDWKLEGGISPNKINMEEKLAITVQMAEPLRAIPVLV >KJB38083 pep chromosome:Graimondii2_0_v6:6:48377805:48383968:1 gene:B456_006G236100 transcript:KJB38083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGGGGGVGPGKRRWRFLAVGVLFLVVLSMLVPLGFLLGLHNGFHSAGFVPRPHTTTSGDRSNHVDNLVRKLGPTLPKDILKHYVNEAKNETSSANATRKSQKKKGTPVPPQVVLQPLSKRNISGDGDKAGMKGGINEGLRLCELQYGSYCIWHEENREDMKDSMVKKLKDQLFVARAYYPSVAKIPAQNTLTRDLRQNIQELERVLSESTTDADLPPEIQKKSERMEATIAKSKSVTMDCHNVDKKLRQIFDLTEDEANFHMKQSAFLYQLAVQTMPKSQHCLSMRLTVEYFRDDSFDQELPVKYSDPTLQHYVIFSTNVIASTVVINSTVMHARDSMNQVFHVLTNGQNYYAMKHWFLGNTFKDAVVEVLNIDPFIPDYYDKATPSHLNLPTEFRVSFHGINNASAMHSRTQYISIFSHSHYLLPEIFKNLEKVVVLDDDIVVQQDLSTLWSLNMGQKVMGAIQICSVRLGQVRSYLGESSFHKNSCTWMSGLNVIDLARWRKLGISETYWKLVKEQVSKKEGSAALASLLTFQDLIYALDNDWVLSGLGHDYGLSTQSIKKAAVLHYNGNMKPWLELGIPKYKVYWRKFLNPENQFLNECNVNP >KJB38081 pep chromosome:Graimondii2_0_v6:6:48377701:48383955:1 gene:B456_006G236100 transcript:KJB38081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGGGGGVGPGKRRWRFLAVGVLFLVVLSMLVPLGFLLGLHNGFHSAGFVPRPHTTTSGDRSNHVDNLVRKLGPTLPKDILKHYVNEAKNETSSANATRKSQKKKGTPVPPQVVLQPLSKRNISGDGDKAGMKGGINEGLRLCELQYGSYCIWHEENREDMKDSMVKKLKDQLFVARAYYPSVAKIPAQNTLTRDLRQNIQELERVLSESTTDADLPPEIQKKSERMEATIAKSKSVTMDCHNVDKKLRQIFDLTEDEANFHMKQSAFLYQLAVQTMPKSQHCLSMRLTVEYFRDDSFDQELPVKYSDPTLQHYVIFSTNVIASTVVINSTVMHARDSMNQVFHVLTNGQNYYAMKHWFLGNTFKDAVVEVLNIDPFIPDYYDKATPSHLNLPTEFRVSFHGINNASAMHSRTQYISIFSHSHYLLPEIFKNLEKVVVLDDDIVVQQDLSTLWSLNMGQKVMGAIQICSVRLGQVRSYLGESSFHKNSCTWMSGLNVIDLARWRKLGISETYWKLVKEQVSKKEGSAALASLLTFQDLIYALDNDWVLSGLGHDYGLSTQSIKKAAVLHYNGNMKPWLELGIPKYKVYWRKFLNPENQFLNECNVNP >KJB38084 pep chromosome:Graimondii2_0_v6:6:48379720:48383920:1 gene:B456_006G236100 transcript:KJB38084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGINEGLRLCELQYGSYCIWHEENREDMKDSMVKKLKDQLFVARAYYPSVAKIPAQNTLTRDLRQNIQELERVLSESTTDADLPPEIQKKSERMEATIAKSKSVTMDCHNVDKKLRQIFDLTEDEANFHMKQSAFLYQLAVQTMPKSQHCLSMRLTVEYFRDDSFDQELPVKYSDPTLQHYVIFSTNVIASTVVINSTVMHARDSMNQVFHVLTNGQNYYAMKHWFLGNTFKDAVVEVLNIDPFIPDYYDKATPSHLNLPTEFRVSFHGINNASAMHSRTQYISIFSHSHYLLPEIFKNLEKVVVLDDDIVVQQDLSTLWSLNMGQKVMGAIQICSVRLGQVRSYLGESSFHKNSCTWMSGLNVIDLARWRKLGISETYWKLVKEQVSKKEGSAALASLLTFQDLIYALDNDWVLSGLGHDYGLSTQSIKKAAVLHYNGNMKPWLELGIPKYKVYWRKFLNPENQFLNECNVNP >KJB38082 pep chromosome:Graimondii2_0_v6:6:48377768:48384016:1 gene:B456_006G236100 transcript:KJB38082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGGGGGVGPGKRRWRFLAVGVLFLVVLSMLVPLGFLLGLHNGFHSAGFVPRPHTTTSGDRSNHVDNLVRKLGPTLPKDILKHYVNEAKNETSSANATRKSQKKKGTPVPPQVVLQPLSKRNISGDGDKAGMKGGINEGLRLCELQYGSYCIWHEENREDMKDSMVKKLKDQLFVARAYYPSVAKIPAQNTLTRDLRQNIQELERVLSESTTDADLPPEIQKKSERMEATIAKSKSVTMDCHNVDKKLRQIFDLTEDEANFHMKQSAFLYQLAVQTMPKSQHCLSMRLTVEYFRDDSFDQELPVKYSDPTLQHYVIFSTNVIASTVVINSTVMHARDSMNQVFHVLTNGQNYYAMKHWFLGNTFKDAVVEVLNIDPFIPDYYDKATPSHLNLPTEFRVSFHGINNASAMHSRTQYISIFSHSHYLLPEIFKNLEKVVVLDDDIVVQQDLSTLWSLNMGQKVMGAIQICSVRLGQVRSYLGESSFHKNSCTWMSGLNVIDLARWRKLGISETYWKLVKEQVSKKEGSAALASLLTFQDLIYALDNDWVLSGLGHDYGLSTQSIKKAAVLHYNGNMKPWLELGIPKYKKIEGSRGTKVLTILTLS >KJB36473 pep chromosome:Graimondii2_0_v6:6:42157538:42161038:-1 gene:B456_006G161800 transcript:KJB36473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILHDDVVLISQSDKEGEPSVITVNCPDKTGLGCDLCRILLFFGLSIVRGDVSTDGKWCYIVFWVVCKPTTRWELLKKRLVEACPCCSSASEIFYYRSELQPPKPPDVFLLKLCFLCNLELNIKKVKVSTIPDGTVIDLFFVTDTRELLHTKIRQEETYKALEDIMQRIVINFNIEKVGPEIAACSQASPFLPSGITEDIFHLEVSNELPSISLTSNNVSVVMDNSLSPAHTLVQIVCQDHKGLLYDIMRTLKDYSIQISYGRVYIRQGSKCEIDLFIMQADGKKIVDPSKQSPLSSHLKMELLQLLRVAVVSRGPDTELLVANPVELSSKGRPLVFYDITCALKMLNTCIFSAEVGRHMIGDREWEVYRVLLDEGASLSIPRQKVEEGVWKLLMGWE >KJB36550 pep chromosome:Graimondii2_0_v6:6:42455610:42460466:1 gene:B456_006G164800 transcript:KJB36550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQAESSDSKGTKKDFSTAILERKKAPNRLVVDEAINDDNSVVALHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPTEYCVVAPDTEIFCEGEPVRREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSDDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPLAKEVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRAENPEAMEEDVDDEVAEIKPAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFAETGARPAASDPFAASAGGADEDDLYS >KJB36551 pep chromosome:Graimondii2_0_v6:6:42455816:42460455:1 gene:B456_006G164800 transcript:KJB36551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQAESSDSKGTKKDFSTAILERKKAPNRLVVDEAINDDNSVVALHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPTEYCVVAPDTEIFCEGEPVRREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSDDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQVEEAV >KJB36554 pep chromosome:Graimondii2_0_v6:6:42455816:42460455:1 gene:B456_006G164800 transcript:KJB36554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQAESSDSKGTKKDFSTAILERKKAPNRLVVDEAINDDNSVVALHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPTEYCVVAPDTEIFCEGEPVRREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSDDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVSNEHFQTALGTSNPSALRETVMPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPLAKEVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRAENPEAMEEDVDDEVAEIKPAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFAETGARPAASDPFAASAGGADEDDLYS >KJB36552 pep chromosome:Graimondii2_0_v6:6:42455816:42460455:1 gene:B456_006G164800 transcript:KJB36552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQAESSDSKGTKKDFSTAILERKKAPNRLVVDEAINDDNSVVALHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPTEYCVVAPDTEIFCEGEPVRREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSDDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPLAKEVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKISYVILRILREKGGERRTLKLWRKMWMMKWQKSSLLILRNQ >KJB36553 pep chromosome:Graimondii2_0_v6:6:42455816:42460455:1 gene:B456_006G164800 transcript:KJB36553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPTEYCVVAPDTEIFCEGEPVRREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSDDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPLAKEVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRAENPEAMEEDVDDEVAEIKPAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFAETGARPAASDPFAASAGGADEDDLYS >KJB34825 pep chromosome:Graimondii2_0_v6:6:32085088:32088520:1 gene:B456_006G086300 transcript:KJB34825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNVLIKMAREQFDIAAPDDEGGGNKGLDPKTNSFKKEMKKSCSKISSGCSSPTSVIPEVATDEPNSSSVTFSFRVQSVGKLNSTDVKRLKLHMFPSFGLQLPSCLNPKKIASVGDINVDDEEKEKKEAKGDDGSLVINAEEKKEAKGDDGSLVMNASIVDKDEGHIDENKRLKEPVSNDDNTKNGNDGCNDTKLAPTTAEVSPSPAPSAEVSSTIPSPPPPPASLQPKENVVSGGPPLPPSPKLEPKEPEVPKTETAIPPPPPPPGKSTSEASGGPPPPPPPVPSASGGGGAPPPAPSLSRTLTKKFGPTPPPPPPGSQANGGANPPPPPPPGGKSVRTKRGGTRLKRSSHMGNLYRNLKSKVEGSPMQGKAFGKKKGGGGVKNNSSGQGMADALAEITKKSAYFQQIEEDVEKYSKSIYELKGAIGKFKTKDMNELLQFYKEVESVLENLTDETQVLARIEDFPTKKLEALRTSSTLYSKLESMIKELKNLKIEPPLPQLLDKVSRSFTKIKGDIDALERTKDEEAKIFKGYNIEFDFQIIVRIKETMVDVSSDCMELALKK >KJB38262 pep chromosome:Graimondii2_0_v6:6:49041451:49044085:-1 gene:B456_006G245300 transcript:KJB38262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKLQFDTSCFNILCSTKTSLPNINGASLSVSLSPIEKLEPITKTKHQRLLETPNGGGTKKFNGGRKQSLDNQAESSNQTLEKNPDRERREKSRESFRLANNRGNFGVVNGKILVDKMHTKCLTKRVSYGGCIPAILGALENVKDLDEALKPWAEKLSNKERSIILKEQPNWDRALEIFEWFKRKRCYELNVIHYNIMFRILGKAHKWGYVEKLWNEMSFRGVEPINSTYGTLIDVYSKGGMKQQALCWLGRMNKQGIEPDEVTMGIVVQLYKKAGEFQKAEEFFKKWSLNGSLRHEGSETSSAVGSDSHLSSYTYNTLIDTYGKAGQIREASETFEIMLREGIVPTTVTFNTMIHICGNHGQLEEVASLMKMMEEVRCLPDTRTYNILISLHAKYDDIKMAAGYFAKMKEACLEPDLVSYRTLLYAYSIRQMVSEAEELIHEMDERHLEIDEYTQSALTRMYIEAGMLEKSWLWFRRFHLAGNMSSEGYSANIDAFGERGHVSEAENIFLCCQEMKRLTVLEFNVMIKAYGIGKSYDKACWLFDSMQSHGVVPDKCSYSSLIQILTSADLPHVAKGYLKKMQETGLVSDCIPYCAVISSFLKLGGLGMAEGVYNEMIQSKVEPDVVVYGVLINAFADIGSVKEAMRYVNEMKSAGLPGNAVIYNSLIKLYTKVGYLKEAQEVYMLLQSSGFCPDVYSSNCMIDLYSERSMVTQAEAIFDNLKQNGDANEFTYAMMLCMHKRNGRFEEATHIARQMRELGLLTDLLSYNNVLGLYAMDGRFREAVRLFKEMVNACIQPDDGTFKSLGSILVKCGVPKSAVNRLQVSRKRDAQSGLQAWMAILSSVVGMDEDEDDDDYA >KJB34651 pep chromosome:Graimondii2_0_v6:6:30041580:30049402:1 gene:B456_006G076700 transcript:KJB34651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTESAAPPLNDVDIVHLAQRKQPSNSHQQSNVSLPPFLLSPHCHKDLLSYLHSRAASPSPSSVVCEYVISLLSLISLSPGTPTVCSLLSSLLSSYTQLFPSLPHDSNSLRTIKNFNTLLIHVPLEDLKLVIDLVASDLSGVVSVDDAQLFDLLPQCFELIRNAEEKGGDYVNSVVDKILDSKWSKGFLLKMVSIAKEFSFLDKTRGNEFLEKVFVGIKTVDLMDLPSLVYQLLVLASKGFNKREIIEGIVYFFGSELGSKMASTVRQVEGTVLLHVNFAVKQDPSLGKEVMGLVKSDLRAFNHFTVAVLLSVSRVRRFSESSMAILKTALLTAYRDYKFTKECKWIPDDIKGEYLKSVKVVEKSVLRAVNESNYGREHMVPSILQFGFILLESVGEVNCKELGNSNGLLGIEELGIQMLKTLFEVHDMARNEIMEQVKFRILSLKPELSRPILRLLCYLIQCYPYPMMEHIPRLKELLDYFTFMDGKIASYLVSALLPLIRFSRDLRDYAILVVRKAVFRREEAVRVAATNSIIELILAEKQPKGDGLFFLQDSSSQASCSQQADIPCSMGGDLFQELSALLQRCLYQQAKVKQVVYQGLVKLVLADPAIGGLVFDFLLPHFLQFFKEGPDVQLGVSSCIKSENGKVLIQEPLDCLLSCISWILLLQTHGKTDKLLDSIGACFGFSLSQENEDGRNMSTEVFSGAFLKIRKFLRNANLEDILGQTHDASSAAVHEEKWKCSALILSGVIEVVLNTVAMDLEKAADQKVELEKEIMQFVDRHDSLAKEASTSRQSNAGKRPNLRATAKDATDDIDSGNPKLIQEHTFFLATSSIHQLLLMALKLYSSESSDIKATSQNHSQSSSSKTSKSCFKIISFALNASLHHVKSSAAVGNEGPMKKLIYGEINMLGPPLLRLTLLLKPGSNVATSHRKKESKAKKDAEERKEHLLLALFCLKEMITVSLCGSCLTHLLENLLSVPELENADLHDECDQASEIDDQDIKNKELFIQKYLKPLLTDLMKLSAFRTVEILCDILLMIGHKLPCKWRNSHGAWAIRMCKTNNTTNSSIAKSMVRLATSLSSPPTDLLVAQEMLKELLKFIGPNNSDLSQVSEYLVVNQSTTIAIASCLLQITDNVIIDVDWSTKKLKAASQVAQKTIHHDQNGEHNLGFTFEEIVYSRIKAVVEVLSSFVLMSLKDNQAEHFLRLTARFYKNLALISKLKIAPKGQKQLLPSLQFQKLVELTCKELTVPLYNFVAEMQQAQQENANSKGMINKIKRENKCIPELIFQIEDYEKHLIRLSKATKMNLLKHAKRSTCRDFKILDPTTAVVGQAVPNNVGNDNDNENENENENENGRCSDSEDGNNDGNGSDKELSHEVESESDAVEDDRASVPTVKGVKRSRIVHDSDDDS >KJB34650 pep chromosome:Graimondii2_0_v6:6:30041526:30049402:1 gene:B456_006G076700 transcript:KJB34650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSILQFGFILLESVGEVNCKELGNSNGLLGIEELGIQMLKTLFEVHDMARNEIMEQVKFRILSLKPELSRPILRLLCYLIQCYPYPMMEHIPRLKELLDYFTFMDGKIASYLVSALLPLIRFSRDLRDYAILVVRKAVFRREEAVRVAATNSIIELILAEKQPKGDGLFFLQDSSSQASCSQQADIPCSMGGDLFQELSALLQRCLYQQAKVKQVVYQGLVKLVLADPAIGGLVFDFLLPHFLQFFKEGPDVQLGVSSCIKSENGKVLIQEPLDCLLSCISWILLLQTHGKTDKLLDSIGACFGFSLSQENEDGRNMSTEVFSGAFLKIRKFLRNANLEDILGQTHDASSAAVHEEKWKCSALILSGVIEVVLNTVAMDLEKAADQKVELEKEIMQFVDRHDSLAKEASTSRQSNAGKRPNLRATAKDATDDIDSGNPKLIQEHTFFLATSSIHQLLLMALKLYSSESSDIKATSQNHSQSSSSKTSKSCFKIISFALNASLHHVKSSAAVGNEGPMKKLIYGEINMLGPPLLRLTLLLKPGSNVATSHRKKESKAKKDAEERKEHLLLALFCLKEMITVSLCGSCLTHLLENLLSVPELENADLHDECDQASEIDDQDIKNKELFIQKYLKPLLTDLMKLSAFRTVEILCDILLMIGHKLPCKWRNSHGAWAIRMCKTNNTTNSSIAKSMVRLATSLSSPPTDLLVAQEMLKELLKFIGPNNSDLSQVSEYLVVNQSTTIAIASCLLQITDNVIIDVDWSTKKLKAASQVAQKTIHHDQNGEHNLGFTFEEIVYSRIKAVVEVLSSFVLMSLKDNQAEHFLRLTARFYKNLALISKLKIAPKGQKQLLPSLQFQKLVELTCKELTVPLYNFVAEMQQAQQENANSKGMINKIKRENKCIPELIFQIEDYEKHLIRLSKATKMNLLKHAKRSTCRDFKILDPTTAVVGQAVPNNVGNDNDNENENENENENGRCSDSEDGNNDGNGSDKELSHEVESESDAVEDDRASVPTVKGVKRSRIVHDSDDDS >KJB34652 pep chromosome:Graimondii2_0_v6:6:30041526:30049402:1 gene:B456_006G076700 transcript:KJB34652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTESAAPPLNDVDIVHLAQRKQPSNSHQQSNVSLPPFLLSPHCHKDLLSYLHSRAASPSPSSVVCEYVISLLSLISLSPGTPTVCSLLSSLLSSYTQLFPSLPHDSNSLRTIKNFNTLLIHVPLEDLKLVIDLVASDLSGVVSVDDAQLFDLLPQCFELIRNAEEKGGDYVNSVVDKILDSKWSKGFLLKMVSIAKEFSFLDKTRGNEFLEKVFVGIKTVDLMDLPSLVYQLLVLASKGFNKREIIEGIVYFFGSELGSKMASTVRQVEGTVLLHVNFAVKQDPSLGKEVMGLVKSDLRAFNHFTVAVLLSVSRVRRFSESSMAILKTALLTAYRDYKFTKECKWIPDDIKGEYLKSVKVVEKSVLRAVNESNYGREHMVPSILQFGFILLESVGEVNCKELGNSNGLLGIEELGIQMLKTLFEVHDMARNEIMEQVKFRILSLKPELSRPILRLLCYLIQCYPYPMMEHIPRLKELLDYFTFMDGKIASYLVSALLPLIRFSRDLRDYAILVVRKAVFRREEAVRVAATNSIIELILAEKQPKGDGLFFLQDSSSQASCSQQADIPCSMGGDLFQELSALLQRCLYQQAKVKQVVYQGLVKLVLADPAIGGLVFDFLLPHFLQFFKEGPDVQLGVSSCIKSENGKVLIQEPLDCLLSCISWILLLQTHGKTDKLLDSIGACFGFSLSQENEDGRNMSTEVFSGAFLKIRKFLRNANLEDILGQTHDASSAAVHEEKWKCSALILSGVIEVVLNTVAMDLEKAADQKVELEKEIMQFVDRHDSLAKEASTSRQSNAGKRPNLRATAKDATDDIDSGNPKLIQEHTFFLATSSIHQLLLMALKLYSSESSDIKATSQNHSQSSSSKTSKSCFKIISFALNASLHHVKSSAAVGNEGPMKKLIYGEINMLGPPLLRLTLLLKPGSNVATSHRKKESKAKKDAEERKEHLLLALFCLKEMITVSLCGSCLTHLLENLLSVPELENADLHDECDQASEIDDQDIKNKELFIQKYLKPLLTDLMKLSAFRTVEILCDILLMIGHKLPCKWRNSHGAWAIRMCKTNNTTNSSIAKSMVRLATSLSSPPTDLLVAQEMLKELLKFIGPNNSDLSQVSEYLVVNQSTTIAIASCLLQITDNVIIDVDWSTKKLKAASQVAQKTIHHDQNGEHNLGFTFEEIVYSRIKAVVEVLSSFVLMSLKDNQAEHFLRLTARFYKNLALISKLKIAPKGQKQLLPSLQFQKLVELTCKELTVPLYNFVAEMQQAQQENANSKGMINKIKRENKCIPELIFQIEDYEKHLIRLSKATKMNLLKHAKRSTCRDFKILDPTTAVVGQAVPNNVGNDNDNENENENENENGRCSDSEDGNNDGNGSDKELSHEVESESDAVEDDRASVPTVKGVKRSRIVHDSDDDS >KJB35277 pep chromosome:Graimondii2_0_v6:6:35096401:35096967:1 gene:B456_006G107900 transcript:KJB35277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFPRKIKTSLSSIAAFCIPRRPELYRQEEPPSLPRPATAAGIDIEGQPSASLQPQLSSQLETLPALQNHQQWQNAVISFCFSYALGLSLQYAETPHQSNQHLPFPTVLLSFLVLLTFICILGAFFINPYCTTTSNALQKVGLLLAAAAFTHTLSIPLPFELKCTIWAVFLLSLLTAIILTYFNTKTA >KJB36832 pep chromosome:Graimondii2_0_v6:6:43603138:43603967:1 gene:B456_006G178700 transcript:KJB36832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKVFGAWGSPFSSKVELALRLKGVLYDYIEEDLNNKSSLLLQYNPVYKKVPVLLHNGKSITESIIILEYIEETWKAYPILPQDPNDKAMARFWVNFIDGKCSSAIRKVAFSPEEEREKAVEEACECLKTVESALNGKKFFGGDTIGMGLELLSSEKFPNLFKWTDDFVSCSIVTELLPPRDKLVAHIKAHLSK >KJB35643 pep chromosome:Graimondii2_0_v6:6:37370208:37398556:-1 gene:B456_006G122400 transcript:KJB35643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSSVPAAAAKSDAETEELLDRMLTRLALCDDSKLQALLSKLLPLTISSLSSSSQLVRNKVLEILSHVNKRVKHQPEIGLPLTELWSMYTEADATPMVKNFCIVYIEMAFERAPLKEKENLSPMLVVNISKLPQQHQEILMRIATKVIGECHASRVENEIAAKYKLMNDSHDRDLFLEFCLHTVLYQPPAQGGGSSPGLSIAQANRIAGKVPLKGDMLLTRKLGILNLVEAMELSPELVYPVYLAASADSQEPVVKRGEELIKRKASGANLDDLRLISRLFLLFTGTTGAENTATDSRVNPGNATLKVKLMAVFCRSITAANSFPSTLQCIFDCIYGSTTTSRLKQLGMEFTVWVFKHSKLDQLKLMGPLILNGIVKLLDGYSNSESDSVARSTRTFSFQAIGLLAQRLPQLFRDKIEMATRLFHALKVESQSLRFIIQEATNSLAAAYMGASAAVLTELESLLLNNCQVEESEVRFCALRWATSVFDSQHCPSRFICMLGAADSRLDIREIALEGLFLGKDAGQIISQNLDHRYPKLGEMLDYILKQQTKLLDSYEMREQKLLFPSKMYVAMIKFLLKCFESELVQNSSLGRSSEFLSSVERMCLLLEHAMAFEGSVELHSTTSKALVTIGSYLPEMVSSHFASRISWLKHLLSHVDMDTRESVARLLGIASSSLPVTASSDLIRELVSLFSGTNKRFEVQHGALCATGFVTADCVSRSPSIQEELLQNTLKCLVDVVNSESATLASISMQALGHIGLSGSLPSLVCDSSSVSILELLNEKLSKLLSGDDNKAVQKIVISIGHMCVKETSASDMKIALDLIFSLCRSKVEDILFAAGEALSFIWGGVPVTADVILKTNYTSLSMTSNFLMGDMKLSLSKYSSDEKNVVSEDCHMVVRDTISKKLFDALLYSSRKEERCAGTVWLLSLTMYCGDHPTIQQMLPEIQEAFSFLLGEQNELTQELASQGMSIVYDLGDTSMKKNLVDALVTTLTGSGKRKRAIKLVEDSEVFQEGTIGESLSGGKLSTYKELCNLANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKQAGDALQPHLRLLIPRLVRYQYDPDKNVQDAMAHIWKSLVADPKRTIDENLDYIFDDLLVQCGSRLWRSREAACLALADIIQGRKFDQVGKHLKKIWLVAFRAMDDIKETVRTAGDKLCRAITSLTIRLCDVSLTEAPDASQSMDIVLPFLLAEGILSKVDSIRKASIGVVMKLAKGAGIALRPHLSDLVCCMLESLSSLEDQGLNYVELHAANVGIQTEKLENLRLSIAKGSPMWETLDLCINVVDGKSLELLVPRLANLVRSGVGLNTRVGVATFINLLVQKVVVGIRPYSNMLLRSLFPVVKEEKSTAAKRAFAGALAIVLKHSTPSQAQKLIEDTAALHAGDRNAQISCVYLLKSYSSIASDVLSGYNTVIIPVIFTSRFEDDKHVSGLFEELWEESTSGDRITLQLYMGEIVSLICDSIASSSWASKRKSAKAICKLSEVLGDSLSSYHVLLTSLMKEIPGRLWEGKETLLDAISALSVSNHKAISMEDPALPGTILSLVSSACTKKVKKYREAAFSCLEQVIKSFGNPEFFCLVFPMLFDMCNLTSPNTTGRAPLASDTTKAGFFKFLLLLCLLDYICMQVLKICGTT >KJB35644 pep chromosome:Graimondii2_0_v6:6:37371355:37398556:-1 gene:B456_006G122400 transcript:KJB35644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSSVPAAAAKSDAETEELLDRMLTRLALCDDSKLQALLSKLLPLTISSLSSSSQLVRNKVLEILSHVNKRVKHQPEIGLPLTELWSMYTEADATPMVKNFCIVYIEMAFERAPLKEKENLSPMLVVNISKLPQQHQEILMRIATKVIGECHASRVENEIAAKYKLMNDSHDRDLFLEFCLHTVLYQPPAQGGGSSPGLSIAQANRIAGKVPLKGDMLLTRKLGILNLVEAMELSPELVYPVYLAASADSQEPVVKRGEELIKRKASGANLDDLRLISRLFLLFTGTTGAENTATDSRVNPGNATLKVKLMAVFCRSITAANSFPSTLQCIFDCIYGSTTTSRLKQLGMEFTVWVFKHSKLDQLKLMGPLILNGIVKLLDGYSNSESDSVARSTRTFSFQAIGLLAQRLPQLFRDKIEMATRLFHALKVESQSLRFIIQEATNSLAAAYMGASAAVLTELESLLLNNCQVEESEVRFCALRWATSVFDSQHCPSRFICMLGAADSRLDIREIALEGLFLGKDAGQIISQNLDHRYPKLGEMLDYILKQQTKLLDSYEMREQKLLFPSKMYVAMIKFLLKCFESELVQNSSLGRSSEFLSSVERMCLLLEHAMAFEGSVELHSTTSKALVTIGSYLPEMVSSHFASRISWLKHLLSHVDMDTRESVARLLGIASSSLPVTASSDLIRELVSLFSGTNKRFEVQHGALCATGFVTADCVSRSPSIQEELLQNTLKCLVDVVNSESATLASISMQALGHIGLSGSLPSLVCDSSSVSILELLNEKLSKLLSGDDNKAVQKIVISIGHMCVKETSASDMKIALDLIFSLCRSKVEDILFAAGEALSFIWGGVPVTADVILKTNYTSLSMTSNFLMGDMKLSLSKYSSDEKNVVSEDCHMVVRDTISKKLFDALLYSSRKEERCAGTVWLLSLTMYCGDHPTIQQMLPEIQEAFSFLLGEQNELTQELASQGMSIVYDLGDTSMKKNLVDALVTTLTGSGKRKRAIKLVEDSEVFQEGTIGESLSGGKLSTYKELCNLANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKQAGDALQPHLRLLIPRLVRYQYDPDKNVQDAMAHIWKSLVADPKRTIDENLDYIFDDLLVQCGSRLWRSREAACLALADIIQGRKFDQVGKHLKKIWLVAFRAMDDIKETVRTAGDKLCRAITSLTIRLCDVSLTEAPDASQSMDIVLPFLLAEGILSKVDSIRKASIGVVMKLAKGAGIALRPHLSDLVCCMLESLSSLEDQGLNYVELHAANVGIQTEKLENLRLSIAKGSPMWETLDLCINVVDGKSLELLVPRLANLVRSGVGLNTRVGVATFINLLVQKVVVGIRPYSNMLLRSLFPVVKEEKSTAAKRAFAGALAIVLKHSTPSQAQKLIEDTAALHAGDRNAQISCVYLLKSYSSIASDVLSGYNTVIIPVIFTSRFEDDKHVSGLFEELWEESTSGDRITLQLYMGEIVSLICDSIASSSWASKRKSAKAICKLSEVLGDSLSSYHVLLTSLMKEIPGRLWEVGIL >KJB35642 pep chromosome:Graimondii2_0_v6:6:37368405:37398556:-1 gene:B456_006G122400 transcript:KJB35642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSSVPAAAAKSDAETEELLDRMLTRLALCDDSKLQALLSKLLPLTISSLSSSSQLVRNKVLEILSHVNKRVKHQPEIGLPLTELWSMYTEADATPMVKNFCIVYIEMAFERAPLKEKENLSPMLVVNISKLPQQHQEILMRIATKVIGECHASRVENEIAAKYKLMNDSHDRDLFLEFCLHTVLYQPPAQGGGSSPGLSIAQANRIAGKVPLKGDMLLTRKLGILNLVEAMELSPELVYPVYLAASADSQEPVVKRGEELIKRKASGANLDDLRLISRLFLLFTGTTGAENTATDSRVNPGNATLKVKLMAVFCRSITAANSFPSTLQCIFDCIYGSTTTSRLKQLGMEFTVWVFKHSKLDQLKLMGPLILNGIVKLLDGYSNSESDSVARSTRTFSFQAIGLLAQRLPQLFRDKIEMATRLFHALKVESQSLRFIIQEATNSLAAAYMGASAAVLTELESLLLNNCQVEESEVRFCALRWATSVFDSQHCPSRFICMLGAADSRLDIREIALEGLFLGKDAGQIISQNLDHRYPKLGEMLDYILKQQTKLLDSYEMREQKLLFPSKMYVAMIKFLLKCFESELVQNSSLGRSSEFLSSVERMCLLLEHAMAFEGSVELHSTTSKALVTIGSYLPEMVSSHFASRISWLKHLLSHVDMDTRESVARLLGIASSSLPVTASSDLIRELVSLFSGTNKRFEVQHGALCATGFVTADCVSRSPSIQEELLQNTLKCLVDVVNSESATLASISMQALGHIGLSGSLPSLVCDSSSVSILELLNEKLSKLLSGDDNKAVQKIVISIGHMCVKETSASDMKIALDLIFSLCRSKVEDILFAAGEALSFIWGGVPVTADVILKTNYTSLSMTSNFLMGDMKLSLSKYSSDEKNVVSEDCHMVVRDTISKKLFDALLYSSRKEERCAGTVWLLSLTMYCGDHPTIQQMLPEIQEAFSFLLGEQNELTQELASQGMSIVYDLGDTSMKKNLVDALVTTLTGSGKRKRAIKLVEDSEVFQEGTIGESLSGGKLSTYKELCNLANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKQAGDALQPHLRLLIPRLVRYQYDPDKNVQDAMAHIWKSLVADPKRTIDENLDYIFDDLLVQCGSRLWRSREAACLALADIIQGRKFDQVGKHLKKIWLVAFRAMDDIKETVRTAGDKLCRAITSLTIRLCDVSLTEAPDASQSMDIVLPFLLAEGILSKVDSIRKASIGVVMKLAKGAGIALRPHLSDLVCCMLESLSSLEDQGLNYVELHAANVGIQTEKLENLRLSIAKGSPMWETLDLCINVVDGKSLELLVPRLANLVRSGVGLNTRVGVATFINLLVQKVVVGIRPYSNMLLRSLFPVVKEEKSTAAKRAFAGALAIVLKHSTPSQAQKLIEDTAALHAGDRNAQISCVYLLKSYSSIASDVLSGYNTVIIPVIFTSRFEDDKHVSGLFEELWEESTSGDRITLQLYMGEIVSLICDSIASSSWASKRKSAKAICKLSEVLGDSLSSYHVLLTSLMKEIPGRLWEGKETLLDAISALSVSNHKAISMEDPALPGTILSLVSSACTKKVKKYREAAFSCLEQVIKSFGNPEFFCLVFPMLFDMCNLTSPNTTGRAPLASDTTKAESDDAEDVSIPVDKLMNCITSCIRVASVTDLVENKKKLMDVFSISLSPGFQWTVKMSAFSSVKELCSRLQSNLNDFQGTSLHAHTTAFIHELFSSVSPKLVECISTIKISQVYSLCFSRFASL >KJB35645 pep chromosome:Graimondii2_0_v6:6:37382483:37398556:-1 gene:B456_006G122400 transcript:KJB35645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSSVPAAAAKSDAETEELLDRMLTRLALCDDSKLQALLSKLLPLTISSLSSSSQLVRNKVLEILSHVNKRVKHQPEIGLPLTELWSMYTEADATPMVKNFCIVYIEMAFERAPLKEKENLSPMLVVNISKLPQQHQEILMRIATKVIGECHASRVENEIAAKYKLMNDSHDRDLFLEFCLHTVLYQPPAQGGGSSPGLSIAQANRIAGKVPLKGDMLLTRKLGILNLVEAMELSPELVYPVYLAASADSQEPVVKRGEELIKRKASGANLDDLRLISRLFLLFTGTTGAENTATDSRVNPGNATLKVKLMAVFCRSITAANSFPSTLQCIFDCIYGSTTTSRLKQLGMEFTVWVFKHSKLDQLKLMGPLILNGIVKLLDGYSNSESDSVARSTRTFSFQAIGLLAQRLPQLFRDKIEMATRLFHALKVESQSLRFIIQEATNSLAAAYMGASAAVLTELESLLLNNCQVEESEVRFCALRWATSVFDSQHCPSRFICMLGAADSRLDIREIALEGLFLGKDAGQIISQNLDHRYPKLGEMLDYILKQQTKLLDSYEMREQKLLFPSKMYVAMIKFLLKCFESELVQNSSLGRSSEFLSSVERMCLLLEHAMAFEGSVELHSTTSKALVTIGSYLPEMVSSHFASRISWLKHLLSHVDMDTRESVARLLGIASSSLPVTASSDLIRELVSLFSGTNKRFEVQHGALCATGFVTADCVSRSPSIQEELLQNTLKCLVDVVNSESATLASISMQALGHIGLSGSLPSLVCDSSSVSILELLNEKLSKLLSGDDNKAVQKIVISIGHMCVKETSASDMKIALDLIFSLCRSKVEDILFAAGEALSFIWGGVPVTADVILKTNYTSLSMTSNFLMGDMKLSLSKYSSDEKNVVSEDCHMVVRDTISKKLFDALLYSSRKEERCAGTVWLLSLTMYCGDHPTIQQMLPEIQEAFSFLLGEQNELTQELASQGMSIVYDLGDTSMKKNLVDALVTTLTGSGKRKRAIKLVEDSEVFQEGTIGESLSGGKLSTYKELCNLANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKQAGDALQPHLRLLIPRLVRYQYDPDKNVQVRVLDTRTVLHCFSLPLFLTEVV >KJB35641 pep chromosome:Graimondii2_0_v6:6:37367775:37398667:-1 gene:B456_006G122400 transcript:KJB35641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSSVPAAAAKSDAETEELLDRMLTRLALCDDSKLQALLSKLLPLTISSLSSSSQLVRNKVLEILSHVNKRVKHQPEIGLPLTELWSMYTEADATPMVKNFCIVYIEMAFERAPLKEKENLSPMLVVNISKLPQQHQEILMRIATKVIGECHASRVENEIAAKYKLMNDSHDRDLFLEFCLHTVLYQPPAQGGGSSPGLSIAQANRIAGKVPLKGDMLLTRKLGILNLVEAMELSPELVYPVYLAASADSQEPVVKRGEELIKRKASGANLDDLRLISRLFLLFTGTTGAENTATDSRVNPGNATLKVKLMAVFCRSITAANSFPSTLQCIFDCIYGSTTTSRLKQLGMEFTVWVFKHSKLDQLKLMGPLILNGIVKLLDGYSNSESDSVARSTRTFSFQAIGLLAQRLPQLFRDKIEMATRLFHALKVESQSLRFIIQEATNSLAAAYMGASAAVLTELESLLLNNCQVEESEVRFCALRWATSVFDSQHCPSRFICMLGAADSRLDIREIALEGLFLGKDAGQIISQNLDHRYPKLGEMLDYILKQQTKLLDSYEMREQKLLFPSKMYVAMIKFLLKCFESELVQNSSLGRSSEFLSSVERMCLLLEHAMAFEGSVELHSTTSKALVTIGSYLPEMVSSHFASRISWLKHLLSHVDMDTRESVARLLGIASSSLPVTASSDLIRELVSLFSGTNKRFEVQHGALCATGFVTADCVSRSPSIQEELLQNTLKCLVDVVNSESATLASISMQALGHIGLSGSLPSLVCDSSSVSILELLNEKLSKLLSGDDNKAVQKIVISIGHMCVKETSASDMKIALDLIFSLCRSKVEDILFAAGEALSFIWGGVPVTADVILKTNYTSLSMTSNFLMGDMKLSLSKYSSDEKNVVSEDCHMVVRDTISKKLFDALLYSSRKEERCAGTVWLLSLTMYCGDHPTIQQMLPEIQEAFSFLLGEQNELTQELASQGMSIVYDLGDTSMKKNLVDALVTTLTGSGKRKRAIKLVEDSEVFQEGTIGESLSGGKLSTYKELCNLANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKQAGDALQPHLRLLIPRLVRYQYDPDKNVQDAMAHIWKSLVADPKRTIDENLDYIFDDLLVQCGSRLWRSREAACLALADIIQGRKFDQVGKHLKKIWLVAFRAMDDIKETVRTAGDKLCRAITSLTIRLCDVSLTEAPDASQSMDIVLPFLLAEGILSKVDSIRKASIGVVMKLAKGAGIALRPHLSDLVCCMLESLSSLEDQGLNYVELHAANVGIQTEKLENLRLSIAKGSPMWETLDLCINVVDGKSLELLVPRLANLVRSGVGLNTRVGVATFINLLVQKVVVGIRPYSNMLLRSLFPVVKEEKSTAAKRAFAGALAIVLKHSTPSQAQKLIEDTAALHAGDRNAQISCVYLLKSYSSIASDVLSGYNTVIIPVIFTSRFEDDKHVSGLFEELWEESTSGDRITLQLYMGEIVSLICDSIASSSWASKRKSAKAICKLSEVLGDSLSSYHVLLTSLMKEIPGRLWEGKETLLDAISALSVSNHKAISMEDPALPGTILSLVSSACTKKVKKYREAAFSCLEQVIKSFGNPEFFCLVFPMLFDMCNLTSPNTTGRAPLASDTTKAESDDAEDVSIPVDKLMNCITSCIRVASVTDLVENKKKLMDVFSISLSPGFQWTVKMSAFSSVKELCSRLQSNLNDFQGTSLHAHTTAFIHELFSSVSPKLVECISTIKISQVHIAASECLLEIAQLGRYISATNWRDIGLEGEVVQLIEKEKNEQARSTLKKCIDNLQNFERANAESN >KJB36310 pep chromosome:Graimondii2_0_v6:6:41086445:41086675:-1 gene:B456_006G151800 transcript:KJB36310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLWLLVFLVFVIVFTSQFKWKRQFDEEIEPTSTVFVEDQYISKRQESVKENVSPIPFFSAILSSSFFLSQQSIN >KJB36277 pep chromosome:Graimondii2_0_v6:6:40868000:40872365:1 gene:B456_006G149900 transcript:KJB36277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPQAMAALRDKAALVRESLQKSQTITESMVSILGSFDHRLSSLETAMRPTQIRTHSIRRAHENIDKTLKAAEAILGRFDLTRKAEAKILRGPHEDLESYLEAIDQLRSNLQYFTTHKCFKRTSGIITHANNLLTKAISKLEDEFRNLLTNYSKVVEPDRLFDGLPDSLRPSATSPGKRNDSKKDSDNQKNSENAVYTPPTLIPPRVLPLLHNLAQQMVQAGHQQQLFRIYRDTRASVLEQSLRKLGVERLSKDDVQKMQWEVLEAKIGNWIHYMRIAVKMLFAGEKKICDQILAGIDSLRDQCYAEITANSVSVLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELQSEIDYLFGTKSCTEMRESAQSLTKRLAQTAQETFGDFEEAVAKDATKTAVLDGTVHPLTSYVINYVKFLFDYKTTLEQLFQEFEESDTEAQLKTLTKRIMEALQTNLDGKSKQYKDPALTQLFLMNNMHYIVRSVRRSEAKDLLGDDWVQIHRRIVQQHANQYKRISWAKVLFARLILCIHIARLAC >KJB36280 pep chromosome:Graimondii2_0_v6:6:40868000:40872387:1 gene:B456_006G149900 transcript:KJB36280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPQAMAALRDKAALVRESLQKSQTITESMVSILGSFDHRLSSLETAMRPTQIRTHSIRRAHENIDKTLKAAEAILGRFDLTRKAEAKILRGPHEDLESYLEAIDQLRSNLQYFTTHKCFKRTSGIITHANNLLTKAISKLEDEFRNLLTNYSKVVEPDRLFDGLPDSLRPSATSPGKRNDSKKDSDNQKNSENAVYTPPTLIPPRVLPLLHNLAQQMVQAGHQQQLFRIYRDTRASVLEQSLRKLGVERLSKDDVQKMQWEVLEAKIGNWIHYMRIAVKMLFAGEKKICDQILAGIDSLRDQCYAEITANSVSVLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELQSEIDYLFGTKSCTEMRESAQSLTKRLAQTAQETFGDFEEAVAKDATKTAVLDGTVHPLTSYVINYVKFLFDYKTTLEQLFQEFEESDTEAQLKTLTKRIMEALQTNLDGKSKQYKDPALTQLFLMNNMHYIVRSVRRSEAKDLLGDDWVQIHRRIVQQHANQYKRISWAKVLQCVTIQGAAPAGGGGILGGDSGSGISRAMVKDRFKNFNAQFEDLHMRQCQWNVPDSELRESLRLAVAEVLLPAYRSFHKRFGPMAESGKNPCKYIKYKPEDLERMLSEFFEGKTCVEQKR >KJB36279 pep chromosome:Graimondii2_0_v6:6:40868000:40872365:1 gene:B456_006G149900 transcript:KJB36279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPQAMAALRDKAALVRESLQKSQTITESMVSILGSFDHRLSSLETAMRPTQIRTHSIRRAHENIDKTLKAAEAILGRFDLTRKAEAKILRGPHEDLESYLEAIDQLRSNLQYFTTHKCFKRTSGIITHANNLLTKAISKLEDEFRNLLTNYSKVVEPDRLFDGLPDSLRPSATSPGKRNDSKKDSDNQKNSENAVYTPPTLIPPRVLPLLHNLAQQMVQAGHQQQLFRIYRDTRASVLEQSLRKLGVERLSKDDVQKMQWEVLEAKIGNWIHYMRIAVRIRVKMLFAGEKKICDQILAGIDSLRDQCYAEITANSVSVLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELQSEIDYLFGTKSCTEMRESAQSLTKRLAQTAQETFGDFEEAVAKDATKTAVLDGTVHPLTSYVINYVKFLFDYKTTLEQLFQEFEESDTEAQLKTLTKRIMEALQTNLDGKSKQYKDPALTQLFLMNNMHYIVRSVRRSEAKDLLGDDWVQIHRRIVQQHANQYKRISWAKVLQCVTIQGAAPAGGGGILGGDSGSGISRAMVKDRFKNFNAQFEDLHMRQCQWNVPDSELRESLRLAVAEVLLPAYRSFHKRFGPMAESGKNPCKYIKYKPEDLERMLSEFFEGKTCVEQKR >KJB36278 pep chromosome:Graimondii2_0_v6:6:40868166:40871436:1 gene:B456_006G149900 transcript:KJB36278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPQAMAALRDKAALVRESLQKSQTITESMVSILGSFDHRLSSLETAMRPTQIRTHSIRRAHENIDKTLKAAEAILGRFDLTRKAEAKILRGPHEDLESYLEAIDQLRSNLQYFTTHKCFKRTSGIITHANNLLTKAISKLEDEFRNLLTNYSKVVEPDRLFDGLPDSLRPSATSPGKRNDSKKDSDNQKNSENAVYTPPTLIPPRVLPLLHNLAQQMVQAGHQQQLFRIYRDTRASVLEQSLRKLGVERLSKDDVQKMQWEVLEAKIGNWIHYMRIAVKMLFAGEKKICDQILAGIDSLRDQCYAEITANSVSVLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELQSEIDYLFGTKSCTEMRESAQSLTKRLAQTAQETFGDFEEAVAKDATKTAVLDGTVHPLTSYVINYVKFLFDYKTTLEQLFQEFEESDTEAQLKTLTKRIMEALQTNLDGKSKQYKDPALTQLFLMNNMHYIVRSVRRCVLCFYCVSLG >KJB35740 pep chromosome:Graimondii2_0_v6:6:37868117:37870853:1 gene:B456_006G126400 transcript:KJB35740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRIVFSRIQNLDPENASKIMGYLLIQDHGEKEMIRLAFGPEAHIHSLILKAKSHLGLSISNPPTPSTPSSPSPFSSRPSPLSIPSSSSRLTKGLEIPNPSSPSTTTWPPLNHCPISPSSTSSLSYASIVNGSSNTANGSGSLSSVPSCVNTCNDNELIDDYQFQDHLSFLNDSKPEDLFSPRLDLAMSTTPSGYADSLLHRRSFSVPGLCFGAEDVNSGIGWKPCLYFARGFCKNGTSCRFLHGDCADAAALVGSPSKLNELEQYQELLRSKALQQQQHQQKLASASQLMSGASFQYSKCVNLLLQQQNDSHRSAMAALMMGDELHKFGRCRAERNDFSAMGLGAAINPGSRQIYLTFPADSTFKEEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDSRVLVKPYKEKGKVQEKKQQQQSERGEYSACSSPSGVDSREPFDLHLGSRMFYNTQEMLLRRKLEEQADLQQAIELQGRRLMNLQLLDLKNHHHSQFQHGFSTASPVPSPTVSRTPNNQALIFPVDGIDQEFPQENGGCPISAVSELAAAINDDKQLEDYKNDNGNSSTKGKANTEESDLPESLDHILPDNLFASPKKSASDHFTVFSTSSAEAVEADDKTGTPPTTSCSNSNPSPANASGLNMASLKTYLLQMPRFSSGQGTIGM >KJB35741 pep chromosome:Graimondii2_0_v6:6:37867555:37871747:1 gene:B456_006G126400 transcript:KJB35741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRIVFSRIQNLDPENASKIMGYLLIQDHGEKEMIRLAFGPEAHIHSLILKAKSHLGLSISNPPTPSTPSSPSPFSSRPSPLSIPSSSSRLTKGLEIPNPSSPSTTTWPPLNHCPISPSSTSSLSYASIVNGSSNTANGSGSLSSVPSCVNTCNDNELIDDYQFQDHLSFLNDSKPEDLFSPRLDLAMSTTPSGYADSLLHRRSFSVPGLCFGAEDVNSGIGWKPCLYFARGFCKNGTSCRFLHGDCADAAALVGSPSKLNELEQYQELLRSKALQQQQHQQKLASASQLMSGASFQYSKCVNLLLQQQNDSHRSAMAALMMGDELHKFGRCRAERNDFSAMGLGAAINPGSRQIYLTFPADSTFKEEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDSRVLVKPYKEKGKVQEKKQQQQSERGEYSACSSPSGVDSREPFDLHLGSRMFYNTQEMLLRRKLEEQADLQQAIELQGRRLMNLQLLDLKNHHHSQFQHGFSTASPVPSPTVSRTPNNQALIFPVDGIDQEFPQENGGCPISAVSELAAAINDDKQLEDYKNDNGNSSTKGKANTEESDLPESLDHILPDNLFASPKKSASDHFTVFSTSSAEAVEADDKTGTPPTTSCSNSNPSPANASGLNMASLKTYLLQMPRNHWHVVSQQWRKIDFARWQLSKHIQGRLNPKKAV >KJB37664 pep chromosome:Graimondii2_0_v6:6:46838172:46839799:1 gene:B456_006G214800 transcript:KJB37664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKKSGKHQEIGKASSDCISSDFDHSTSLLIPSLDNHRFNRNNLQKNPNVPTQNRSHLIIQIPSSTNPSPPPPPPPSTTTTHHQERPNDFSPTHALLSTPHKRSVMTQNPLSHSPTPSSFKNRHNHRQRIDRLALTTSCFTFIAVPFDAQELGRKLVHHLNRGRLLCFHLRFLVLLALPSLYFLVSYPRRFLVLNLLALLAFLLTLWVSLNLALPRLPSIRLLLARSLPAKFTRLGSSSISSKAVVWSIGSKPKSEKKANSGTWVQVYSNGDVYEGEFHKGKCSGSGVYYYHMKGRYEGDWVDGKYDGYGVETWAKGSRYRGQYRQGLRHGIGVYRFYTGDVYAGEWSNGQCHGCGVHACEDGSKYVGEFKWGVKHGLGHYHFRNGDEYFGEYFSDKMHGFGVYQFGNGHRYEGAWHEGRRQGFGTYTFRNGEAQSGHWQNGALDVLSAQNTRPRVLQAVQVSFI >KJB37665 pep chromosome:Graimondii2_0_v6:6:46838072:46840448:1 gene:B456_006G214800 transcript:KJB37665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKKSGKHQEIGKASSDCISSDFDHSTSLLIPSLDNHRFNRNNLQKNPNVPTQNRSHLIIQIPSSTNPSPPPPPPPSTTTTHHQERPNDFSPTHALLSTPHKRSVMTQNPLSHSPTPSSFKNRHNHRQRIDRLALTTSCFTFIAVPFDAQELGRKLVHHLNRGRLLCFHLRFLVLLALPSLYFLVSYPRRFLVLNLLALLAFLLTLWVSLNLALPRLPSIRLLLARSLPAKFTRLGSSSISSKAVVWSIGSKPKSEKKANSGTWVQVYSNGDVYEGEFHKGKCSGSGVYYYHMKGRYEGDWVDGKYDGYGVETWAKGSRYRGQYRQGLRHGIGVYRFYTGDVYAGEWSNGQCHGCGVHACEDGSKYVGEFKWGVKHGLGHYHFRKHGELLRKLVTLQRLTRECIKLCQLQTKQPMLPEWWLLKLSRNKCIIITVKKYQP >KJB37661 pep chromosome:Graimondii2_0_v6:6:46838072:46840448:1 gene:B456_006G214800 transcript:KJB37661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKKSGKHQEIGKASSDCISSDFDHSTSLLIPSLDNHRFNRNNLQKNPNVPTQNRSHLIIQIPSSTNPSPPPPPPPSTTTTHHQERPNDFSPTHALLSTPHKRSVMTQNPLSHSPTPSSFKNRHNHRQRIDRLALTTSCFTFIAVPFDAQELGRKLVHHLNRGRLLCFHLRFLVLLALPSLYFLVSYPRRFLVLNLLALLAFLLTLWVSLNLALPRLPSIRLLLARSLPAKFTRLGSSSISSKAVVWSIGSKPKSEKKANSGTWVQVYSNGDVYEGEFHKGKCSGSGVYYYHMKGRYEGDWVDGKYDGYGVETWAKGSRYRGQYRQGLRHGIGVYRFYTGDVYAGEWSNGQCHGCGVHACEDGSKYVGEFKWGVKHGLGHYHFRNGDEYFGEYFSDKMHGFGVYQFGNGHRYEGAWHEGRRQGFGTYTFRNGEAQSGHWQNGALDVLSAQNTRPRVLQAVQEAWRAAEKARDVAKIDKRVHKAVSAANKAANAARVVAVKAVQKQMHHHNSEEIPTVIA >KJB37663 pep chromosome:Graimondii2_0_v6:6:46838072:46840448:1 gene:B456_006G214800 transcript:KJB37663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKKSGKHQEIGKASSDCISSDFDHSTSLLIPSLDNHRFNRNNLQKNPNVPTQNRSHLIIQIPSSTNPSPPPPPPPSTTTTHHQERPNDFSPTHALLSTPHKRSVMTQNPLSHSPTPSSFKNRHNHRQRIDRLALTTSCFTFIAVPFDAQELGRKLVHHLNRGRLLCFHLRFLVLLALPSLYFLVSYPRRFLVLNLLALLAFLLTLWVSLNLALPRLPSIRLLLARSLPAKFTRLGSSSISSKAVVWSIGSKPKSEKKANSGTWVQVYSNGDVYEGEFHKGKCSGSGVYYYHMKGRYEGDWVDGKYDGYGVETWAKGSRYRGQYRQGLRHGIGVYRFYTGDVYAGEWSNGQCHGCGVHACEDGSKYVGEFKWGVKHGLGHYHFRNGDEYFGEYFSDKMHGFGVYQFGNGHRYEGAWHEGRRQGFGTYTFRNGEAQSGHWQNGALDVLSAQNTRPRVLQAVQVMASC >KJB37662 pep chromosome:Graimondii2_0_v6:6:46838072:46840448:1 gene:B456_006G214800 transcript:KJB37662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKKSGKHQEIGKASSDCISSDFDHSTSLLIPSLDNHRFNRNNLQKNPNVPTQNRSHLIIQIPSSTNPSPPPPPPPSTTTTHHQERPNDFSPTHALLSTPHKRSVMTQNPLSHSPTPSSFKNRHNHRQRIDRLALTTSCFTFIAVPFDAQELGRKLVHHLNRGRLLCFHLRFLVLLALPSLYFLVSYPRRFLVLNLLALLAFLLTLWVSLNLALPRLPSIRLLLARSLPAKFTRLGSSSISSKAVVWSIGSKPKSEKKANSGTWVQVYSNGDVYEGEFHKGKCSGSGVYYYHMKGRYEGDWVDGKYDGYGVETWAKGSRYRGQYRQGLRHGIGVYRFYTGDVYAGEWSNGQCHGCGVHACEDGSKYVGEFKWGVKHGLGHYHFRNGDEYFGEYFSDKMHGFGVYQFGNGHRYEGAWHEGRRQGFGTYTFRNGSMASC >KJB33551 pep chromosome:Graimondii2_0_v6:6:3830194:3831240:-1 gene:B456_006G017000 transcript:KJB33551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVVTIMITNVMDLEVSSLTVKCPSQCTRRCSQTQYHKPCMFFCQKCCRTCLCVPPGYYGNKQVCPCYNNWKTKEGGPKCP >KJB33550 pep chromosome:Graimondii2_0_v6:6:3830109:3831338:-1 gene:B456_006G017000 transcript:KJB33550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLVAAFFLALIAISMVQTLVMASHGHGGHHYDNKRYGPGSLKPYQCPSQCTRRCSQTQYHKPCMFFCQKCCRTCLCVPPGYYGNKQVCPCYNNWKTKEGGPKCP >KJB37806 pep chromosome:Graimondii2_0_v6:6:47342865:47345072:1 gene:B456_006G221000 transcript:KJB37806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITRILPEECLCLIISLTSPVDACGSAMVSHALRSVADCDAVWEKFLPCDYKSIISGSSSSLLSPGKRRIYIFISVSIPFSFKMVPWFSEVAELKLVWWLEVKGMIDIRILSYNTNYAAYLVFKLRNKRTIEFRHRAVGLHINVGGITSWEVRRVSLDPSQNGTQHVREREDGWMEVEMGEFFNEFGDDGTVQLSLREIDTSCYKQGLIIEGIELRPKDTGS >KJB34983 pep chromosome:Graimondii2_0_v6:6:33179786:33181775:1 gene:B456_006G094200 transcript:KJB34983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVGELELLMMHNRTYCAEIAHDVSTKKRKEIVERAAQLDVLVTNKLARLRSQEDE >KJB34980 pep chromosome:Graimondii2_0_v6:6:33178740:33181138:1 gene:B456_006G094200 transcript:KJB34980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVGELELLMMHNRTYCAEIAHDVSTKKRKEIVERAAQLDVLVTNKLARLRSQEDE >KJB34982 pep chromosome:Graimondii2_0_v6:6:33178482:33181775:1 gene:B456_006G094200 transcript:KJB34982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVGELELLMMHNRTYCAEIAHDVSTKKRKEIVERAAQLDVLVTNKLARLRSQEDE >KJB34981 pep chromosome:Graimondii2_0_v6:6:33178555:33181138:1 gene:B456_006G094200 transcript:KJB34981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVGELELLMMHNRTYCAEIAHDVSTKKRKEIVERAAQLDVLVTNKLARLRSQEDE >KJB36556 pep chromosome:Graimondii2_0_v6:6:42489027:42490795:-1 gene:B456_006G165000 transcript:KJB36556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETENEPGSSMHGVSAKERAFAFSVASPKIPTDPTAKFALPVDSEHKAKVFNLLSFAKPHMVTFHLSWLSFFTCFVSTFAAAPLVPIIRDNLNLKKGDIGNAGIASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMLSAPTVFCMSFVSSAGGYIAVRFMIGFSLATFVSCQYWMSTMFNGNIIGLVNGTAAGWGNMGGGATQLIMPLIFELIKQAGATPFTAWRIAFFIPGWLHVIMGILVLTLGQDLPDGNLSTLQKKGDVPKDRFSKASICHDFHLHAFVLWYAITNYRTWIFVLLYGMSLGVELTTDNVIAEYFFDRFNLKLRTAGTIAATFGMANIFARPMGGFLSDLAARRFGMRGRLWNLYILQTLGGIFCICLGRANSLDLSITSMILFSIGAQAACGATFGIIPFISRRSLGVISGLTGAGGNFGSGLTQLLFFTSSNYSTETGIFYMGIMIVACTLPVTLVHFPQWGSMLLPASKHGEKCSEEYYYGAEWNAEEKEKGLHHGSLKFAENSKSERGQRVGSAATPQTSTTEDV >KJB37718 pep chromosome:Graimondii2_0_v6:6:47036291:47038779:-1 gene:B456_006G217700 transcript:KJB37718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35490) UniProtKB/Swiss-Prot;Acc:O82291] MALLLTAQPSSLLLSKSLKVKSFFSQSPKPTSLSFPFSSKPHKPLSFSSFISLSSSRSDPTPESESDSDPETPTQINITDEWGERSELGSDPVPTKLPDSDPPRNEDEWEEQYVEKGNGTPAAAQGAAEPATAAAEPATADVAEGFDDRVADLKRCLVDSVYGTELGFRAGQELRAEILELVNQLEAVNPTRAPIEATQLLDGNWILLYTAFSELLPLLAAGATPLLKVKSISQSIDTASQSIVNSTTLSGPFADLSFSASATFEVRSPSRIQI >KJB37720 pep chromosome:Graimondii2_0_v6:6:47036361:47038680:-1 gene:B456_006G217700 transcript:KJB37720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35490) UniProtKB/Swiss-Prot;Acc:O82291] MALLLTAQPSSLLLSKSLKVKSFFSQSPKPTSLSFPFSSKPHKPLSFSSFISLSSSRSDPTPESESDSDPETPTQINITDEWGERSELGSDPVPTKLPDSDPPRNEDEWEEQYVEKGNGTPAAAQGAAEPATAAAEPGFDDRVADLKRCLVDSVYGTELGFRAGQELRAEILELVNQLEAVNPTRAPIEATQLLDGNWILLYTAFSELLPLLAAGATPLLKVKSISQSIDTASQSIVNSTTLSGPFADLSFSASATFEVRSPSRIQVEFKEGIVQPPEIKPSVDLPADIDVFGQRISLSPVQQSLGPLQDLVANISRTISGQPPLKIPIGNRSSSWLLITYLDEDLRISRGDGGLFVLARQGSPLLEQ >KJB37719 pep chromosome:Graimondii2_0_v6:6:47036843:47038480:-1 gene:B456_006G217700 transcript:KJB37719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35490) UniProtKB/Swiss-Prot;Acc:O82291] MALLLTAQPSSLLLSKSLKVKSFFSQSPKPTSLSFPFSSKPHKPLSFSSFISLSSSRSDPTPESESDSDPETPTQINITDEWGERSELGSDPVPTKLPDSDPPRNEDEWEEQYVEKGNGTPAAAQGAAEPATAAAEPATADVAEGFDDRVADLKRCLVDSVYGTELGFRAGQELRAEILELVNQLEAVNPTRAPIEATQLLDGNWILLYTAFSELLPLLAAGATPLLKVKSISQSIDTASQSIVNSTTLSGPFADLSFSASATFEVRSPSRIQVEFKEGIVQPPEIKPSVDLPADIDVFGQRISLSPVQQSLGPLQDLVANISRTISGQPPLKIPIGNRSSSWLLITYLDEDLRISRGDGGLFVLARQGSPLLEQ >KJB34411 pep chromosome:Graimondii2_0_v6:6:24301302:24303421:1 gene:B456_006G064900 transcript:KJB34411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 4 [Source:Projected from Arabidopsis thaliana (AT5G51570) UniProtKB/Swiss-Prot;Acc:Q9FHM7] MKRHADDAFYELQNPKEQIQAFVFDVVRALVPRMDLDELFEQKGEVAKAVLEEIEKVMGEYGYSIEHILMVDIIPDSSVRKAMNEINAAQRLQLASVYKGEAEKILQVKRAEAEAEAKYLGGVGVARQRQAITDGLRENILNFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDIGEQIRNGLMEAASAKVNVE >KJB34410 pep chromosome:Graimondii2_0_v6:6:24300564:24303669:1 gene:B456_006G064900 transcript:KJB34410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 4 [Source:Projected from Arabidopsis thaliana (AT5G51570) UniProtKB/Swiss-Prot;Acc:Q9FHM7] MGNTSCIFFACVDQASIGVVERWGRFEKLAEPGLHLFNPCAGQWLAGVLSTRISSLDVRIETKTKDNVFVQLVCSIQYRVMKRHADDAFYELQNPKEQIQAFVFDVVRALVPRMDLDELFEQKGEVAKAVLEEIEKVMGEYGYSIEHILMVDIIPDSSVRKAMNEINAAQRLQLASVYKGEAEKILQVKRAEAEAEAKYLGGVGVARQRQAITDGLRENILNFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDIGEQIRNGLMEAASAKVNVE >KJB34413 pep chromosome:Graimondii2_0_v6:6:24300615:24303634:1 gene:B456_006G064900 transcript:KJB34413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 4 [Source:Projected from Arabidopsis thaliana (AT5G51570) UniProtKB/Swiss-Prot;Acc:Q9FHM7] MGNTSCIFFACVDQASIGVVERWGRFEKLAEPGLHLFNPCAGQWLAGVLSTRISSLDVRIETKTKDNVFVQLVCSIQYRVMKRHADDAFYELQNPKEQIQAFVFDVPRMDLDELFEQKGEVAKAVLEEIEKVMGEYGYSIEHILMVDIIPDSSVRKAMNEINAAQRLQLASVYKGEAEKILQVKRAEAEAEAKYLGGVGVARQRQAITDGLRENILNFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDIGEQIRNGLMEAASAKVNVE >KJB34412 pep chromosome:Graimondii2_0_v6:6:24300615:24303634:1 gene:B456_006G064900 transcript:KJB34412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 4 [Source:Projected from Arabidopsis thaliana (AT5G51570) UniProtKB/Swiss-Prot;Acc:Q9FHM7] MGNTSCIFFACVDQASIGVVERWGRFEKLAEPGLHLFNPCAGQWLAGVLSTRISSLDVRIETKTKDNVFVQLVCSIQYRVMKRHADDAFYELQNPKEQIQAFVFDVVRALVPRMDLDELFEQKGEVAKAVLEEIEKVVMGEYGYSIEHILMVDIIPDSSVRKAMNEINAAQRLQLASVYKGEAEKILQVKRAEAEAEAKYLGGVGVARQRQAITDGLRENILNFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDIGEQIRNGLMEAASAKVNVE >KJB37450 pep chromosome:Graimondii2_0_v6:6:46086667:46087814:1 gene:B456_006G205200 transcript:KJB37450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLFGSLGEAEPEGLIRVITSDGGVMEFSAPISARNIIDEFPGHAIFRSHDPSCKPVFHHQELLPGKSYYLLPFNSKESSHGNDDQIVRQGHLRSNSNPSSVIVPYRMSCDLKGTLKRSHTDIFSRYNNSDHGFWKVKLVISQEQLLDILSQETRTQELIESVRTVAKCGNGVSTSVGFSDQWSLSSIRNAPSHKDGFLFQL >KJB36665 pep chromosome:Graimondii2_0_v6:6:42960990:42965369:-1 gene:B456_006G169900 transcript:KJB36665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQTLTSSSSTIFLVFVIYLCFCPSSSGNPKNFLQTGSSLSVEDDTDMLTSSDNTFTCGFYGVSENAYYFSIWFTSSKDKTIAWMANRYKPVNGKGSKLPLHRDGAFVLTDVDGSIMWEANTRTTYVERAELLDNGNLVLKNSSGKVVWQSFDFPTDTLLPHQRFTKSKKLISILGRGNYGTGYFNFFFDTDNVLRLVYDGPDISSVYWPNDCLLDDMGWFLSSDWLNFNGSDLGFGIRRRLTMDYDGNLRLYSLNIVSGLWTIRWEAVRQLCSVHGLCGRNGICVYTPEPKCSCPPGYEMADPSNRNKGCKPRFSRICSSKSPQQVKFVKMRYVDFDGFDSSYNCQCNAFSYRLTGDGNCFTKVELFNGYDSPNFPGALYLKHPLSIETSEVIVLNGTNHTCKLGETTGDMGLSNSFASVIGAIQMLFFVSGWWFLFRKSGIPAMVEEGYRVLSNHFRKFSYMELKKATKNFEEEQGRGASGVVFKGVLADERVVAVKKLGDAHLTEEVFWAEVSIIGEINHMNLVRMWGFCLEPKHRLLVYEYVENRSLDKHLFSGNVLGWNERFKVALGTAKGLAYLHHECLEWVIHCDVKPENILLDGEFEPKISDFGLAKLSERDRNNSEMSRIRGTKGYMAPDWALNLPITAKVDVYSYGVVILELVKGIRLSNWVTEDGDEQETELTRFIKVVKRKIQIEEMAWVEDTVDTRLNGHFTRIQAKKMIEIGISCVDENRSKRPTMDSIVQALLECED >KJB37570 pep chromosome:Graimondii2_0_v6:6:46571139:46573307:-1 gene:B456_006G211000 transcript:KJB37570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREMDLLASSLLGLLLTWFLFQAFLSIKNGNKSSQRKLPPGPRRIPIFGNLFDLGDKPHRSLAKFAQIHGPVMSLKLGSLITVVVSSETTAKEILQKQDLIFCNRTIVDAIRASQHHEFGMPWIPVSPLWRTLRKVGNTHIFSSLKLDANKYLRRHKIQQLIAKVGESCLKCEAINIGQAAFDTTINLLSNTMFSVDLVDPNSARAQVFRKTVYSIMVEAGKPNLADYFPLLRKMDPQGVRRRMTVHSDKLLKLFGNMMDERQQSRKSPDYTASNDVLDTLLDIIEGDIEELNKDHIKHLFLVLFVAGTDTTSNTLEWAMAEVLRNPHVLLKVKKELDQVIGKGKPIEESDINSLPYLQAIIKETFRMHPAVPLLLPRRAGSDTDLCGFHVPEGSQVLVNAWAIGRDSSIWENPNSFMPERFLGSEIDVKGRDFGLIPFGAGRRICPGLPLANRMLYLMLGSLINSFDWKLEGGISPQEMNMEEKFGLTVQMAEPLQAIPVVI >KJB38614 pep chromosome:Graimondii2_0_v6:6:50281246:50283560:1 gene:B456_006G263200 transcript:KJB38614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENELEQGKGKEVILQVDESRRRLNSRLHSAGHLLDVCMRNVGFGHLEPGKGYHFPDGPFVEYKGTVPLNELQNKQREIEIEVNALISKGGKVNATVLPYREAAELCGGSLPDYIHPGSNPRIIKLGDNPGCPCGGTHVSDVSEILSMKVSQIRTKKGMTKVFYTIGT >KJB38612 pep chromosome:Graimondii2_0_v6:6:50282285:50283252:1 gene:B456_006G263200 transcript:KJB38612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVGFGHLEPGKGYHFPDGPFVEYKGTVPLNELQNKQREIEIEVNALISKGGKVNATVLPYREAAELCGGSLPDYIHPVSGGYKAYFWTAFVSNTGNDWKSHGNSESDSFRLLTVALFSHPVQGSNPRIIKLGDNPGCPCGGTHVSDVSEILSMKVSQIRTKKGMTKVFYTIGT >KJB38608 pep chromosome:Graimondii2_0_v6:6:50280886:50283563:1 gene:B456_006G263200 transcript:KJB38608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTTPTKLEFYDQMLKLQSKAILVHYFKGDDGRKALILDNTIFHPQGGGQPSDTGFICVTDSNLRFCVQDVRSKDGVVFHYGVAEDSSMENELEQGKGKEVILQVDESRRRLNSRLHSAGHLLDVCMRNVGFGHLEPGKGYHFPDGPFVEYKGTVPLNELQNKQREIEIEVNALISKGGKVNATVLPYREAAELCGGSLPDYIHPGSNPRIIKLGDNPGCPCGGTHVSDVSEILSMKVSQIRTKKGMTKVFYTIGT >KJB38610 pep chromosome:Graimondii2_0_v6:6:50280933:50283118:1 gene:B456_006G263200 transcript:KJB38610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTTPTKLEFYDQMLKLQSKAILVHYFKGDDGRKALILDNTIFHPQGGGQPSDTGFICVTDSNLRFCVQDVRSKDGVVFHYGVAEDSSMENELEQGKGKEVILQVDESRRRLNSRLHSAGHLLDVCMRNVGFGHLEPGKGYHFPDGPFVEYKGTVPLNELQNKQREIEIEVNALISKGGKVNATVLPYREAAELCGGSLPDYIHPGSNPRIIKLGDNPGCPCGGTHVSDVSEILSMKVYIINLLHLENDNLINDPHVSECQI >KJB38609 pep chromosome:Graimondii2_0_v6:6:50281111:50282610:1 gene:B456_006G263200 transcript:KJB38609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTTPTKLEFYDQMLKLQSKAILVHYFKGDDGRKALILDNTIFHPQGGGQPSDTGFICVTDSNLRFCVQDVRSKDGVVFHYGVAEDSSMENELEQGKGKEVILQVDESRRRLNSRLHSAGHLLDVCMRNVGFGHLEPGKGYHFPDGPFVEYKGTVPLNELQNKQREIEIEVNALISKGGKVSIV >KJB38611 pep chromosome:Graimondii2_0_v6:6:50280933:50283560:1 gene:B456_006G263200 transcript:KJB38611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTTPTKLEFYDQMLKLQSKAILVHYFKGDDGRKALILDNTIFHPQGGGQPSDTGFICVTDSNLRFCVQDVRSKDGVVFHYGVAEDSSMENELEQGKGKEVILQVDESRRRLNSRPFVEYKGTVPLNELQNKQREIEIEVNALISKGGKVNATVLPYREAAELCGGSLPDYIHPGSNPRIIKLGDNPGCPCGGTHVSDVSEILSMKVSQIRTKKGMTKVFYTIGT >KJB38613 pep chromosome:Graimondii2_0_v6:6:50280933:50283560:1 gene:B456_006G263200 transcript:KJB38613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTTPTKLEFYDQMLKLQSKAILVHYFKGDDGRKALILDNTIFHPQGGGQPSDTGFICVTDSNLRFCVQDVRSKDGVVFHYGVAEDSSMENELEQGKGKEVILQVDESRRRLNSRLHSAGHLLDVCMRNVGFGHLEPGKGYHFPDGPFVEYKGTVPLNELQNKQREIEIEVNALISKGGKVS >KJB35823 pep chromosome:Graimondii2_0_v6:6:38328467:38340249:1 gene:B456_006G129600 transcript:KJB35823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLNLQRQLDDVRWNHANQDEVACTSVKSNEVLIFDIGYISSKPVEVLRTRRTLSVLGSEVYKGLCEIAFTATDNSRLIASDTHGVVNVWDKRKSPLPSLELITGSRSTLNTIQLHVDNQTIFGASKDGNIYMWDLRGGRTSAAFQCHNEAGLPPLVSFKLASKLAKIGSLKAQSDIVPKEIHSIDLDPSCPYQLAFHLDDGWSGVLDIYNLRVTHVHCPPPAWLNGASISTDLLYLRKPSWLPTSSIYAVGSSYDCGIHILDFYPDTSSPSHVDYREDVESLSKMNHQRKKNIFVPLSEGVTTCASHPLNGTIIAGTKHSSLLVVSQTRWAF >KJB35822 pep chromosome:Graimondii2_0_v6:6:38326456:38340265:1 gene:B456_006G129600 transcript:KJB35822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYLVTSKPSTENPKLKPRPRPWRRSNLELNGKFDPKYRHSISDLLMHSYAEIGAFSHFYHIDGKPCQTHINNAVNSDRQLPFRMQGISAIDLDNKGIYIVSVTKSGCLTVHDFETLYCQSTGSLPRLLEDESKHLMHLNLQRQLDDVRWNHANQDEVACTSVKSNEVLIFDIGYISSKPVEVLRTRRTLSVLGSEVYKGLCEIAFTATDNSRLIASDTHGVVNVWDKRKSPLPSLELITGSRSTLNTIQLHVDNQTIFGASKDGNIYMWDLRGGRTSAAFQCHNEAGLPPLVSFKLASKLAKIGSLKAQSDIVPKEIHSIDLDPSCPYQLAFHLDDGWSGVLDIYNLRVTHVHCPPPAWLNGASISTDLLYLRKPSWLPTSSIYAVGSSYDCGIHILDFYPDTSSPSHVDYREDVESLSKMNHQRKKNIFVPLSEGVTTCASHPLNGTIIAGTKHSSLLVVSQTRWAF >KJB35821 pep chromosome:Graimondii2_0_v6:6:38326390:38340275:1 gene:B456_006G129600 transcript:KJB35821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYLVTSKPSTENPKLKPRPRPWRRSNLELNGKFDPKYRHSISDLLMHSYAEIGAFSHFYHIDGKPCQTHMNQINNAVNSDRQLPFRMQGISAIDLDNKGIYIVSVTKSGCLTVHDFETLYCQSTGSLPRLLEDESKHLMHLNLQRQLDDVRWNHANQDEVACTSVKSNEVLIFDIGYISSKPVEVLRTRRTLSVLGSEVYKGLCEIAFTATDNSRLIASDTHGVVNVWDKRKSPLPSLELITGSRSTLNTIQLHVDNQTIFGASKDGNIYMWDLRGGRTSAAFQCHNEAGLPPLVSFKLASKLAKIGSLKAQSDIVPKEIHSIDLDPSCPYQLAFHLDDGWSGVLDIYNLRVTHVHCPPPAWLNGASISTDLLYLRKPSWLPTSSIYAVGSSYDCGIHILDFYPDTSSPSHVDYREDVESLSKMNHQRKKNIFVPLSEGVTTCASHPLNGTIIAGTKHSSLLVVSQTRWAF >KJB34510 pep chromosome:Graimondii2_0_v6:6:28194729:28201657:-1 gene:B456_006G069800 transcript:KJB34510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPENEHDPQNNFKFKLKALNLLFLAFLAIILDPFCTFSIHDLVQIIRHENLKTITISSFSLIFLVTLYFRNRRRKVYLLNFACYKPKPDQICSKERIMKIFAGTGKFTEESLAFQKKIMEKSAVGDKTCVPRAMMAVPVEKGIAAAKKETEEVIFGAIEEVLEKSGMKSKDIRILVVNSSVFNPVPSWSAMIVNRFKLRHDVLSYNLGGMGCSAGVIAIDVAKQLLQVHPRTYALVVSAENVTKDSYLGNNRAMLLSNTLFRVGGAAILLSNHPSDHHRSKYELVHTLRTHEGANDASYKCVHEEEDEQGTIGIALSKNLMAVAGEALKANISTLAPLVLPWSELLLVVTSLVARKFFTKKVKPYIPNFKLAFEHFCIHAGGKAVLDELQKSLNLGEWQMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRINNGDRVWQIGFGSGFKCNSMVWKALKNIHPLVEKNPWMDEIHDFPVILPKHEPLSSSTI >KJB37616 pep chromosome:Graimondii2_0_v6:6:46702412:46710466:1 gene:B456_006G212900 transcript:KJB37616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCISLESLGIGDQSPEVTLTRTRSMPAKALFGSETGNAGRLMAGGSEGTVAGILYKWTNYGKGWRSRWFLLRNGMLSYSKTRRPETLNLPSPDDVRLIGDISTNRLSRIDSCSGRRKNQKTVGIVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVAWIQALVSTGSLLPLRPLNDNISLVPHDLSISTDRLKKRLHEEGINDDLVKDCEQIMLSEFSEIQGQLKVLCEERSNFLDTIRQLEAYNIESETSGFHGDYQLTKHECSSLGRGNSECSTTESSDDIEKQELEEVSYEEGTLFFDTKEYFTEPAVSCGSVRGAMDHADDLEETEKQLDNVEKIHSDKEDCDSRYPHIERRKKLPDPVEEVKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGLMHIHGNRLYSCKLKFKEQSILDRNPHQVHGFVEDLLGKKVATLIGKWDDSMYYINGDGSGRPQDCSPSSSATLLWKRNKPPPNLTRYNLTSFAITLNELTPGLQENLPPTDSRLRPDQRHLENGEYDRANSEKQRLERRQRMSRKLQENGWKPRWFQRDSENGSFRYVGGYWESREQAKWDGCPNIFGEFNEVVDSSEQG >KJB37619 pep chromosome:Graimondii2_0_v6:6:46704070:46710467:1 gene:B456_006G212900 transcript:KJB37619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEFSEIQGQLKVLCEERSNFLDTIRQLEAYNIESETSGFHGDYQLTKHECSSLGRGNSECSTTESSDDIEKQELEEVSYEEGTLFFDTKEYFTEPAVSCGSVRGAMDHADDLEETEKQLDNVEKIHSDKEDCDSRYPHIERRKKLPDPVEEVKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGLMHIHGNRLYSCKLKFKEQSILDRNPHQVHGFVEDLLGKKVATLIGKWDDSMYYINGDGSGRPQDCSPSSSATLLWKRNKPPPNLTRYNLTSFAITLNELTPGLQENLPPTDSRLRPDQRHLENGEYDRANSEKQRLERRQRMSRKLQENGWKPRWFQRDSENGSFRYVGGYWESREQAKWDGCPNIFGEFNEVVDSSEQG >KJB37621 pep chromosome:Graimondii2_0_v6:6:46703485:46710462:1 gene:B456_006G212900 transcript:KJB37621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEFSEIQGQLKVLCEERSNFLDTIRQLEAYNIESETSGFHGDYQLTKHECSSLGRGNSECSTTESSDDIEKQELEEVSYEEGTLFFDTKEYFTEPAVSCGSVRGAMDHADDLEETEKQLDNVEKIHSDKEDCDSRYPHIERRKKLPDPVEEVKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGLMHIHGNRLYSCKLKFKEQSILDRNPHQVHGFVEDLLGKKVATLIGKWDDSMYYINGDGSGRPQDCSPSSSATLLWKRNKPPPNLTRYNLTSFAITLNELTPGLQENLPPTDSRLRPDQRHLENGEYDRANSEKQRLERRQRMSRKLQENGWKPRWFQRDSENGSFRYVGGYWESREQAKWDGCPNIFGEFNEVVDSSEQG >KJB37615 pep chromosome:Graimondii2_0_v6:6:46702255:46710453:1 gene:B456_006G212900 transcript:KJB37615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCISLESLGIGDQSPEVTLTRTRSMPAKALFGSETGNAGRLMAGGSEGTVAGILYKWTNYGKGWRSRWFLLRNGMLSYSKTRRPETLNLPSPDDVRLIGDISTNRLSRIDSCSGRRKNQKTVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVAWIQALVSTGSLLPLRPLNDNISLVPHDLSISTDRLKKRLHEEGINDDLVKDCEQIMLSEFSEIQGQLKVLCEERSNFLDTIRQLEAYNIESETSGFHGDYQLTKHECSSLGRGNSECSTTESSDDIEKQELEEVSYEEGTLFFDTKEYFTEPAVSCGSVRGAMDHADDLEETEKQLDNVEKIHSDKEDCDSRYPHIERRKKLPDPVEEVKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGLMHIHGNRLYSCKLKFKEQSILDRNPHQVHGFVEDLLGKKVATLIGKWDDSMYYINGDGSGRPQDCSPSSSATLLWKRNKPPPNLTRYNLTSFAITLNELTPGLQENLPPTDSRLRPDQRHLENGEYDRANSEKQRLERRQRMSRKLQENGWKPRWFQRDSENGSFRYVGGYWESREQAKWDGCPNIFGEFNEVVDSSEQG >KJB37620 pep chromosome:Graimondii2_0_v6:6:46702412:46710466:1 gene:B456_006G212900 transcript:KJB37620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEFSEIQGQLKVLCEERSNFLDTIRQLEAYNIESETSGFHGDYQLTKHECSSLGRGNSECSTTESSDDIEKQELEEVSYEEGTLFFDTKEYFTEPAVSCGSVRGAMDHADDLEETEKQLDNVEKIHSDKEDCDSRYPHIERRKKLPDPVEEVKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGLMHIHGNRLYSCKLKFKEQSILDRNPHQVHGFVEDLLGKKVATLIGKWDDSMYYINGDGSGRPQDCSPSSSATLLWKRNKPPPNLTRYNLTSFAITLNELTPGLQENLPPTDSRLRPDQRHLENGEYDRANSEKQRLERRQRMSRKLQENGWKPRWFQRDSENGSFRYVGGYWESREQAKWDGCPNIFGEFNEVVDSSEQG >KJB37617 pep chromosome:Graimondii2_0_v6:6:46702535:46709074:1 gene:B456_006G212900 transcript:KJB37617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCISLESLGIGDQSPEVTLTRTRSMPAKALFGSETGNAGRLMAGGSEGTVAGILYKWTNYGKGWRSRWFLLRNGMLSYSKTRRPETLNLPSPDDVRLIGDISTNRLSRIDSCSGRRKNQKTVGIVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVAWIQALVSTGSLLPLRPLNDNISLVPHDLSISTDRLKKRLHEEGINDDLVKDCEQIMLSEFSEIQGQLKVLCEERSNFLDTIRQLEAYNIESETSGFHGDYQLTKHECSSLGRGNSECSTTESSDDIEKQELEEVSYEEGTLFFDTKEYFTEPAVSCGSVRGAMDHADDLEETEKQLDNVEKIHSDKEDCDSRYPHIERRKKLPDPVEEVKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGLMHIHGNRLYSCKLKFKEQSILDRNPHQVHGFVEDLLGKKVATLIGKWDDSMYYINGDGSGRPQDCSPSSSATLLWKRNKPPPNLTRYNLTSFAITLNELTPGLQLCLISGI >KJB37618 pep chromosome:Graimondii2_0_v6:6:46704066:46710453:1 gene:B456_006G212900 transcript:KJB37618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEFSEIQGQLKVLCEERSNFLDTIRQLEAYNIESETSGFHGDYQLTKHECSSLGRGNSECSTTESSDDIEKQELEEVSYEEGTLFFDTKEYFTEPAVSCGSVRGAMDHADDLEETEKQLDNVEKIHSDKEDCDSRYPHIERRKKLPDPVEEVKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGLMHIHGNRLYSCKLKFKEQSILDRNPHQVHGFVEDLLGKKVATLIGKWDDSMYYINGDGSGRPQDCSPSSSATLLWKRNKPPPNLTRYNLTSFAITLNELTPGLQENLPPTDSRLRPDQRHLENGEYDRANSEKQRLERRQRMSRKLQENGWKPRWFQRDSENGSFRYVGGYWESREQAKWDGCPNIFGEFNEVVDSSEQG >KJB37614 pep chromosome:Graimondii2_0_v6:6:46702221:46710467:1 gene:B456_006G212900 transcript:KJB37614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCISLESLGIGDQSPEVTLTRTRSMPAKALFGSETGNAGRLMAGGSEGTVAGILYKWTNYGKGWRSRWFLLRNGMLSYSKTRRPETLNLPSPDDVRLIGDISTNRLSRIDSCSGRRKNQKTVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVAWIQALVSTGSLLPLRPLNDNISLVPHDLSISTDRLKKRLHEEGINDDLVKDCEQIMLSEFSEIQGQLKVLCEERSNFLDTIRQLEAYNIESETSGFHGDYQLTKHECSSLGRGNSECSTTESSDDIEKQELEEVSYEEGTLFFDTKEYFTEPAVSCGSVRGAMDHADDLEETEKQLDNVEKIHSDKEDCDSRYPHIERRKKLPDPVEEVKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGLMHIHGNRLYSCKLKFKEQSILDRNPHQVHGFVEDLLGKKVATLIGKWDDSMYYINGDGSGRPQDCSPSSSATLLWKRNKPPPNLTRYNLTSFAITLNELTPGLQENLPPTDSRLRPDQRHLENGEYDRANSEKQRLERRQRMSRKLQENGWKPRWFQRDSENGSFRYVGGYWESREQAKWDGCPNIFGEFNEVVDSSEQG >KJB34541 pep chromosome:Graimondii2_0_v6:6:28869525:28871088:1 gene:B456_006G071600 transcript:KJB34541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIKSEPAEQSIYNRINELKAFDETKSGVKGLVDSGLSKIPTIFINEEYKLERNNNIHNQKSGGCTNNGGIPIIDLTGVDDDPNLRREIVKKVVEACDKWGFFQIINHGIPVTTLDEMMDGIRRFHEQDKEAKKEFYSRDITRKVYYNSNYDLYLAEATNWRDTLSCAMAPRGPLPQQLPAVCRDIFREYSNKMVKLGHTLLELFSEALGLNRSYLEDIGCGEGLFVMGHYYPPCPEPDLTLGTSSHTDCGFFTILLQDQIGGLQVRHQNQWLDVNSIHGALIVNLADMMQLISNDKFISVHHRVLANTRGPRVSVASFFRTHLLPENASRLYGPIKELISQKNPPFYRETTTKDFVSNYYSKGLDCKTLQYLKL >KJB35583 pep chromosome:Graimondii2_0_v6:6:37219775:37223216:1 gene:B456_006G121400 transcript:KJB35583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMVMEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYQSVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGKQAAKTEIEKLNLSEMTCREGVIEVAKIIYKVHDEAKDKAFELEMSWVCDESKQQHQKVPDDLLEEAKVAARTALEEMDAD >KJB35587 pep chromosome:Graimondii2_0_v6:6:37219848:37221778:1 gene:B456_006G121400 transcript:KJB35587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYQSVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGKQAAKTEIEKLNLSEMTCREGVIEVAKM >KJB35588 pep chromosome:Graimondii2_0_v6:6:37219775:37223216:1 gene:B456_006G121400 transcript:KJB35588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYQSVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGKQAAKTEGVIEVAKIIYKVHDEAKDKAFELEMSWVCDESKQQHQKVPDDLLEEAKVAARTALEEMDAD >KJB35581 pep chromosome:Graimondii2_0_v6:6:37219773:37223216:1 gene:B456_006G121400 transcript:KJB35581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYQSVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGKQAAKTEIEKLNLSEMTCREGVIEVAKIIYKVHDEAKDKAFELEMSWVCDESKQQHQKVPDDLLEEAKVAARTALEEMDAD >KJB35586 pep chromosome:Graimondii2_0_v6:6:37219775:37223216:1 gene:B456_006G121400 transcript:KJB35586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYQSVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGKQAAKTEIEKLNLSEMTCREGVIEVAKMYMMKQRIRPLNLR >KJB35585 pep chromosome:Graimondii2_0_v6:6:37219775:37223216:1 gene:B456_006G121400 transcript:KJB35585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYQSVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGKQAAKTEIEKLNLSEMTCREGVIEVAKISIYKVHDEAKDKAFELEMSWVCDESKQQHQKVPDDLLEEAKVAARTALEEMDAD >KJB35582 pep chromosome:Graimondii2_0_v6:6:37219775:37221054:1 gene:B456_006G121400 transcript:KJB35582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYQSVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGKQAAKT >KJB35584 pep chromosome:Graimondii2_0_v6:6:37219775:37223216:1 gene:B456_006G121400 transcript:KJB35584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYQSVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGKQAAKTIYKVHDEAKDKAFELEMSWVCDESKQQHQKVPDDLLEEAKVAARTALEEMDAD >KJB37697 pep chromosome:Graimondii2_0_v6:6:46896083:46898289:1 gene:B456_006G216000 transcript:KJB37697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKVPSEKFTQELARELLIAISYSVPGTDPNSDHALKIIDGANGAVAAIDKAEKYRSELISISDTSPDAQVPPVVLGNHAG >KJB37696 pep chromosome:Graimondii2_0_v6:6:46896170:46898270:1 gene:B456_006G216000 transcript:KJB37696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKVPSEKFTQELARELLIAISYSVPGTDPNSDHALKIIDGANGAVAAIDKAEKYRSELISISDTSPDAQVPPVVLGNHAG >KJB37698 pep chromosome:Graimondii2_0_v6:6:46896150:46898289:1 gene:B456_006G216000 transcript:KJB37698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKVPSEKFTQELARELLIAISYSVPGTDPNSDHALKIIDGANGAVAAIDKAEKYRSELISISDTSPDAQVPPVVLGNHAG >KJB33108 pep chromosome:Graimondii2_0_v6:6:23211472:23212850:-1 gene:B456_006G062900 transcript:KJB33108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMIKKYQQKFKKAKDEMSKWDDLQSRLISHFRNASSIISRLQIIQNSKNYASLNCVGGMEAAVMQKQMDSLQTILLSMKNTMEDFRGVVLSLEKLQHDGKQLAKGSSNQMNKKQLQHRIGVKPTLTNCIDGLVLLHEIYHDEYLLKSSLVSALSALTLKPNPVRCKGTMPKHLGDKVGMVK >KJB33102 pep chromosome:Graimondii2_0_v6:6:23210808:23212604:-1 gene:B456_006G062900 transcript:KJB33102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPLFPDYSLNCVGGMEAAVMQKQMDSLQTILLSMKNTMEDFRGVVLSLEKLQHDGKQLAKGSSNQMNKKQLQHRIGVKPTLTNCIDGLVLLHEIYHDEYLLKSSLVSALSALTLKPNPVRCKGTMPKHLGDKFW >KJB33096 pep chromosome:Graimondii2_0_v6:6:23211608:23212707:-1 gene:B456_006G062900 transcript:KJB33096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMIKKYQQKFKKAKDEMSKWDDLQSRLISHFRNASSIISRLQIIQNSKNYASLNCVGGMEAAVMQKQMDSLQTILLSMKNTMEDFRGVVLSLEKLQHDGKQLAKGSSNQMNKKQLQHRIGVKPTLTNCIDGLVLLHEIYHDEYLLKSSLVSALSALTLKPKLHMGSTAAL >KJB33099 pep chromosome:Graimondii2_0_v6:6:23209170:23212890:-1 gene:B456_006G062900 transcript:KJB33099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPLFPDYSLNCVGGMEAAVMQKQMDSLQTILLSMKNTMEDFRGVVLSLEKLQHDGKQLAKGSSNQMNKKQLQHRIGVKPTLTNCIDGLVLLHEIYHDEYLLKSSLVSALSALTLKPNSGDLGALHQLLVDQPNILNEEVQYIFDIVFAEEIC >KJB33107 pep chromosome:Graimondii2_0_v6:6:23209628:23212850:-1 gene:B456_006G062900 transcript:KJB33107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMIKKYQQKFKKAKDEMSKWDDLQSRLISHFRNASSIISRLQFKLCWRNGSCSDAKADGFFANHFTFHEKYNIFREDFRGVVLSLEKLQHDGKQLAKGSSNQMNKKQLQHRIGVKPTLTNCIDGLVLLHEIYHDEYLLKSSLVSALSALTLKPNSGDLGALHQLLVDQPNILNEEVQYIFDIVFAEEIC >KJB33095 pep chromosome:Graimondii2_0_v6:6:23211608:23212604:-1 gene:B456_006G062900 transcript:KJB33095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPLFPDYSLNCVGGMEAAVMQKQMDSLQTILLSMKNTMEDFRGVVLSLEKLQHDGKQLAKGSSNQMNKKQLQHRIGVKPTLTNCIDGLVLLHEIYHDEYLLKSSLVSALSALTLKPKLHMGSTAAL >KJB33103 pep chromosome:Graimondii2_0_v6:6:23209170:23212986:-1 gene:B456_006G062900 transcript:KJB33103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPLFPDYSLNCVGGMEAAVMQKQMDSLQTILLSMKNTMEDFRGVVLSLEKLQHDGKQLAKGSSNQMNKKQLQHRIGVKPTLTNCIDGLVLLHEIYHDEYLLKSSLVSALSALTLKPNSGDLGALHQLLVDQPNILNEEVQYIFDIVFAEEIC >KJB33098 pep chromosome:Graimondii2_0_v6:6:23209170:23212986:-1 gene:B456_006G062900 transcript:KJB33098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMIKKYQQKFKKAKDEMSKWDDLQSRLISHFRNASSIISRLQIIQNSKNYASLNCVGGMEAAVMQKQMDSLQTILLSMKNTMEDFRGVVLSLEKLQHDGKQLAKGSSNQMNKKQLQHRIGVKPTLTNCIDGLVLLHEIYHDEYLLKSSLVSALSALTLKPNSGDLGALHQLLVDQPNILNEEVQYIFDIVFAEEIC >KJB33106 pep chromosome:Graimondii2_0_v6:6:23209922:23212707:-1 gene:B456_006G062900 transcript:KJB33106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMIKKYQQKFKKAKDEMSKWDDLQSRLISHFRNASSIISRLQIIQNSKNYASLNCVGGMEAAVMQKQMDSLQTILLSMKNTIFKKIFREDFRGVVLSLEKLQHDGKQLAKGSSNQMNKKQLQHRIGVKPTLTNCIDGLVLLHEIYHDEYLLKSSLVSALSALTLKPNSGDLGALHQLLVDQPNILNEEVQYIFDIVFAEEIC >KJB33100 pep chromosome:Graimondii2_0_v6:6:23210808:23212707:-1 gene:B456_006G062900 transcript:KJB33100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMIKKYQQKFKKAKDEMSKWDDLQSRLISHFRNASSIISRLQIIQNSKNYASLNCVGGMEAAVMQKQMDSLQTILLSMKNTMEDFRGVVLSLEKLQHDGKQLAKGSSNQMNKKQLQHRIGVKPTLTNCIDGLVLLHEIYHDEYLLKSSLVSALSALTLKPNPVRCKGTMPKHLGDKFW >KJB33101 pep chromosome:Graimondii2_0_v6:6:23210808:23212604:-1 gene:B456_006G062900 transcript:KJB33101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPLFPDYSLNCVGGMEAAVMQKQMDSLQTILLSMKNTMEDFRGVVLSLEKLQHDGKQLAKGSSNQMNKKQLQHRIGVKPTLTNCIDGLVLLHEIYHDEYLLKSSLVSALSALTLKPNPVRCKGTMPKHLGDKFW >KJB33097 pep chromosome:Graimondii2_0_v6:6:23211608:23212707:-1 gene:B456_006G062900 transcript:KJB33097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMIKKYQQKFKKAKDEMSKWDDLQSRLISHFRNASSIISRLQIIQNSKNYASLNCVGGMEAAVMQKQMDSLQTILLSMKNTMEDFRGVVLSLEKLQHDGKQLAKGSSNQMNKKQLQHRIGVKPTLTNCIDGLVLLHEIYHDEYLLKSSLVSALSALTLKPKLHMGSTAAL >KJB33104 pep chromosome:Graimondii2_0_v6:6:23210808:23212707:-1 gene:B456_006G062900 transcript:KJB33104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMIKKYQQKFKKAKDEMSKWDDLQSRLISHFRNASSIISRLQIIQNSKNYASLNCVGGMEAAVMQKQMDSLQTILLSMKNTMEDFRGVVLSLEKLQHDGKQLAKGSSNQMNKKQLQHRIGVKPTLTNCIDGLVLLHEIYHDEYLLKSSLVSALSALTLKPNPVRCKGTMPKHLGDKFW >KJB33105 pep chromosome:Graimondii2_0_v6:6:23209170:23212890:-1 gene:B456_006G062900 transcript:KJB33105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMIKKYQQKFKKAKDEMSKWDDLQSRLISHFRNASSIISRLQIIQNSKNYASLNCVGGMEAAVMQKQMDSLQTILLSMKNTMEDFRGVVLSLEKLQHDGKQLAKGSSNQMNKKQLQHRIGVKPTLTNCIDGLVLLHEIYHDEYLLKSSLVSALSALTLKPNSGDLGALHQLLVDQPNILNEEVQYIFDIVFAEEIC >KJB33728 pep chromosome:Graimondii2_0_v6:6:7230703:7234495:1 gene:B456_006G028000 transcript:KJB33728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQASFFNFGSISSLPCPPRTSRQRFVIRAKVEPSEKSVEIMRKFSEQYARRSGTYFCVDKGVTSVVIKGLAEHKDTLGAPLCPCRHYDDKAAEAGQGFWNCPCVPMRERKECHCMLFLTPDNDFAGQDQAITLEEIKETTANM >KJB33731 pep chromosome:Graimondii2_0_v6:6:7230407:7234808:1 gene:B456_006G028000 transcript:KJB33731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQASFFNFGSISSLPCPPRTSRQRFVIRAKVEPSEKSVEIMRKFSEQYARRSGTYFCVDKGVTSVVIKGLAEHKDTLGAPLCPCRHYDDKAAEAGQGFWNCPCVPMRERPSHWKRSRKQQRTCSSKHSELVFPNPQINTNRKMYLYVNRVIFFSLFIPTFTPI >KJB33729 pep chromosome:Graimondii2_0_v6:6:7230437:7233310:1 gene:B456_006G028000 transcript:KJB33729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQASFFNFGSISSLPCPPRTSRQRFVIRAKVEPSEKSVEIMRKFSEQYARRSGTYFCVDKGVTSVVIKGLAEHKDTLGAPLCPCRHYDDKAAEAGQGFWNCPCVPMRER >KJB33730 pep chromosome:Graimondii2_0_v6:6:7230437:7234801:1 gene:B456_006G028000 transcript:KJB33730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQASFFNFGSISSLPCPPRTSRQRFVIRAKVEPSEKSVEIMRKFSEQYARRSGTYFCVDKGVTSVVIKGLAEHKDTLGAPLCPCIMMTKLLRQGRDSGIVHAFL >KJB38261 pep chromosome:Graimondii2_0_v6:6:49039363:49041146:1 gene:B456_006G245200 transcript:KJB38261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGAPPPLPPPPYTPSRQPPPPVLSPPPIRITRTKTPTGGILLGKYQLGRMLGQGSFAKVHEATSIDDGNTVVAIKVIDKTKTVDAAMEPRIISEVSAMRRLQHHPNILKIHEVMATKTKIYLVMELATGGELFDKLLSRGRLSEGTARRYFTQLVSALHFCHQNGVAHRDLKPQNVLFDQYGNVKVSDFGLSALPEQLHDGLLHTACGTPAYTAPEVARSRPYEGSKADAWSCGVILFVLLAGYLPFDDHNLIGMYKKIARREYKFPKWISKQSRGIIWQLLDPNPDTRMTLEKVMETSWIKKALATYRSSHKENESLLHGRKLKHEMICNGVNAFDIISLSSGLDLSGLFEGGNDGIRKEKRYTTSMEVDGVMERVMEAGEKMGYRVEKGKRGVLGLGKGKVVLTVEVVEIAELFVLVEVKVVEGTVEFDEGQWLNLETGLGDLFVSWDDHIVGD >KJB34909 pep chromosome:Graimondii2_0_v6:6:32607421:32614005:1 gene:B456_006G089800 transcript:KJB34909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVIGDRILKDANGDISGHLRNHIHLTNCIHLKNHMHKHSPMLADRSLMRDLMVLRRSRSLRDPSASPQSWQSPPVVDLVSKNDDDEGIERQRDERMLSVSSPPLVNFANSKVVPVEVNEGEPAITDHSSKTGTRDSRKIRREEASRRSGRVDLYGENKEPEGFTSRNSGRKDRKSRKQKGKQTQGVRLKALSEKLNYPPLDSDDVASSNIHLRGRHFRPEKPSVEPEVSIRGLSRVKRRKFRGARRACTASSFREVGGPNELSVASNSFAQGSVYPKYGAEEEEEEKEYDERNVTQTPNCGIPFNWSRIHHRGKSFLDIAGRRFSCGLSDTKLRKGGAGPNGRNVTGMPVESDQSSSSAKPNAEVLPLLVEASGSQDSAANAGWSNDYSGELGIFADNLLKRNVDSDLASEAGYGDKRKLSRNLHGRHQNLTQKYMPRTFRDLVGQNLVSQALSNAVVRRKVGLLYIFYGPHGTGKTSCARIFARALNCQSLEQPKPCGFCNSCISHDKGKSQNIREVGPVGNFDFEGIMDQLDNMTITRLPSQYRVFIFDDCNSLSTDCWSAISKVIDRVPRRIVFIFVSSSLDILPHIIVSRCQKFFFPKLKDADIIYALQRIASREDIEIEKDALKLIASLSDGSLRDAEMTLEQLSLLGQRISVPLVQELVGLISDEKLVDLLDLALSADTVNTIKSLRVIMETGVEPLALMSQLATVITDVLAGSYDFSQERHKRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYILPISSSDTSSRHSPLPLNDLGGREIVRKGGELVELRNNNTRVLSTNARPENLYAGSSADFETSIMKGKRHAFAGMAPQSVAENRNGTEGIWLEVLQKIQDDSLKEFLYREGKLISVNLGAAPTIRLTFSSQVTKSKAEKFRGHILQAFESVLGSPVTIEIRCEAKKDVYHGLLDIPPSGDGPSQIVMDPESNSRNRMPSASFGDISKKPMRDRDAGVSPQAQLLHHESLEAGRSEIVVIPTSLRKAKDNEHASNVESNRRDSTVADAAAYRKSTSASTSGRRKAGELSQSHSIVRSKVSLAHVIQHAEGRKQRNEWSKHNAMSIAEQLEKENLRLEPRSRSLLCWKASRVTRRKLSRLKTRTRRPHPILKLVLCGKCLSSKSSRR >KJB33708 pep chromosome:Graimondii2_0_v6:6:7050583:7059063:1 gene:B456_006G027300 transcript:KJB33708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIRDDIYSGSQFKRPFTSSRAESYGQNQMPGGGGGTGGGEGGGGGAGGGGSMSQKLTTNDALTYLKEVKEMFQDQKEKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIYGFNTFLPKGYGITLDEDEAPPKKTVEFDEAISFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDINEVYTEVASLFEDHPDLLDEFTRFLPDSQAAPMTQQVPYGRNSTQHYNERSSATPTLRQIQMDKQRRRDRSITSNADRDLSVDRPELDDDKAVIKVQKEQRKRVEKDSRDQRIRDHDDPEHDNNRDFNLQRFPDKKRSGRKVEGFASYDDRDTFKSMCNQGFVFCEKVKERLCNSDDYQAFLKCLNIYSNGIIKRNDLQNLVTDLLGKYPDLMNEFNQFLERCENTDGLLAGVINKKSLTVVGHAPKSEEKREIEAAKEKERYKYMGKSIQELDLSNCQSCTPSYRLLPDDYPIPIASQRSELGALVLNDNWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNSINENKINLDSPFHVEEHFTVLNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYSKNHYKSLDHRSFYFKQQDSKNLSVKSLVAEIKELKEKNQKEDDVLMASVAGHRQPPAPHLEYEYVDVNIHEDLYKLIEYSCEEMCSTKEQLNRVMRLWTTFLEPMLGVPPQRNGRKGTEGAGKAQNSAVNGTASSIAESDGSPGADATVNSGQPKAASNGDGNSSSELTNSCRNGLTNRETLAKDEHSVRVSRVDSKPEKEIKFTADKRPGINMVAIGIKAENNQGRSNVEGTSGPGAAASRPTSITAGEAHESEANVDPVHSSEGVTVAKHPLIVNGTPTDGSNASRYHEESTGPSKVEKEEGELSPNGDFEEDNFVAYGDAGPKVVPKAKHGVESRQQRSGNGKDLHSVDAGGENDADADDEDSENASEAGDDASGSESAGDECSHEEEEEVERDEVDGKAESEGEAEGIADAHVGGDGTSLSFSERFLFTVKALSKHVPPALPEDEKYNSWVFYANDDFYVLFRLHQILYERILSAKTNLAGGEIKWKHLKDTSSSDLYARFMSALYSLLNGSTDNTKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQAVAADEMDNKLLQLYEYEKSRKHWKTMDSVYYENARVLLHEENIYRLKCSSLPSRLSIQLMDNVIEKPEAFAVSMEPNFSAILHNDFLSVFPGKKEPHGIILKRNKKYSNPMLLGLGCEC >KJB33705 pep chromosome:Graimondii2_0_v6:6:7050583:7059753:1 gene:B456_006G027300 transcript:KJB33705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIRDDIYSGSQFKRPFTSSRAESYGQNQMPGGGGGTGGGEGGGGGAGGGGSMSQKLTTNDALTYLKEVKEMFQDQKEKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIYGFNTFLPKGYGITLDEDEAPPKKTVEFDEAISFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDINEVYTEVASLFEDHPDLLDEFTRFLPDSQAAPMTQQVPYGRNSTQHYNERSSATPTLRQIQMDKQRRRDRSITSNADRDLSVDRPELDDDKAVIKVQKEQRKRVEKDSRDQRIRDHDDPEHDNNRDFNLQRFPDKKRSGRKVEGFASYDDRDTFKSMCNQGFVFCEKVKERLCNSDDYQAFLKCLNIYSNGIIKRNDLQNLVTDLLGKYPDLMNEFNQFLERCENTDGLLAGVINKKSLTVVGHAPKSEEKREIEAAKEKERYKYMGKSIQELDLSNCQSCTPSYRLLPDDYPIPIASQRSELGALVLNDNWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNSINENKINLDSPFHVEEHFTVLNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYSKNHYKSLDHRSFYFKQQDSKNLSVKSLVAEIKELKEKNQKEDDVLMASVAGHRQPPAPHLEYEYVDVNIHEDLYKLIEYSCEEMCSTKEQLNRVMRLWTTFLEPMLGVPPQRNGRKGTEGAGKAQNSAVNGTASSIAESDGSPGADATVNSGQPKAASNGDGNSSSELTNSCRNGLTNRETLAKDEHSVRVSRVDSKPEKEIKFTADKRPGINMVAIGIKAENNQGRSNVEGTSGPGAAASRPTSITAGEAHESEANVDPVHSSEGVTVAKHPLIVNGTPTDGSNASRYHEESTGPSKVEKEEGELSPNGDFEEDNFVAYGDAGPKVVPKAKHGVESRQQRSGNGKDLHSVDAGGENDADADDEDSENASEAGDDASGSESAGDECSHEEEEEVERDEVDGKAESEGEAEGIADAHVGGDGTSLSFSERFLFTVKALSKHVPPALPEDEKYNSWVFYANDDFYVLFRLHQILYERILSAKTNLAGGEIKWKHLKDTSSSDLYARFMSALYSLLNGSTDNTKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQAVAADEMDNKLLQLYEYEKSRKHWKTMDSVYYENARVLLHEENIYRLKCSSLPSRLSIQLMDNVIEKPEAFAVSMEPNFSAILHNDFLSVFPGKKEPHGIILKRNKKYSNPMLLGLGCEC >KJB33703 pep chromosome:Graimondii2_0_v6:6:7050583:7060298:1 gene:B456_006G027300 transcript:KJB33703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIRDDIYSGSQFKRPFTSSRAESYGQNQMPGGGGGTGGGEGGGGGAGGGGSMSQKLTTNDALTYLKEVKEMFQDQKEKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIYGFNTFLPKGYGITLDEDEAPPKKTVEFDEAISFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDINEVYTEVASLFEDHPDLLDEFTRFLPDSQAAPMTQQVPYGRNSTQHYNERSSATPTLRQIQMDKQRRRDRSITSNADRDLSVDRPELDDDKAVIKVQKEQRKRVEKDSRDQRIRDHDDPEHDNNRDFNLQRFPDKKRSGRKVEGFASYDDRDTFKSMCNQGFVFCEKVKERLCNSDDYQAFLKCLNIYSNGIIKRNDLQNLVTDLLGKYPDLMNEFNQFLERCENTDGLLAGVINKKSLTVVGHAPKSEEKREIEAAKEKERYKYMGKSIQELDLSNCQSCTPSYRLLPDDYPIPIASQRSELGALVLNDNWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNSINENKINLDSPFHVEEHFTVLNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYSKNHYKSLDHRSFYFKQQDSKNLSVKSLVAEIKELKEKNQKEDDVLMASVAGHRQPPAPHLEYEYVDVNIHEDLYKLIEYSCEEMCSTKEQLNRVMRLWTTFLEPMLGVPPQRNGRKGTEGAGKAQNSAVNGTASSIAESDGSPGADATVNSGQPKAASNGDGNSSSELTNSCRNGLTNRETLAKDEHSVRVSRVDSKPEKEIKFTADKRPGINMVAIGIKAENNQGRSNVEGTSGPGAAASRPTSITAGEAHESEANVDPVHSSEGVTVAKHPLIVNGTPTDGSNASRYHEESTGPSKVEKEEGELSPNGDFEEDNFVAYGDAGPKVVPKAKHGVESRQQRSGNGKDLHSVDAGGENDADADDEDSENASEAGDDASGSESAGDECSHEEEEEVERDEVDGKAESEGEAEGIADAHVGGDGTSLSFSERFLFTVKALSKHVPPALPEDEKYNSWVFYANDDFYVLFRLHQILYERILSAKTNLAGGEIKWKHLKDTSSSDLYARFMSALYSLLNGSTDNTKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQAVAADEMDNKLLQLYEYEKSRKHWKTMDSVYYENARVLLHEENIYRLKCSSLPSRLSIQLMDNVIEKPEAFAVSMEPNFSAILHNDFLSVFPGKKEPHGIILKRNKKNYANLDEFDATCMAMEGVELVNGLENKIACNSYKISYVLDTEDFFFRRRNSSRRRSRSNQARIQRFLRFLSTTQ >KJB33710 pep chromosome:Graimondii2_0_v6:6:7050583:7060298:1 gene:B456_006G027300 transcript:KJB33710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIRDDIYSGSQFKRPFTSSRAESYGQNQMPGGGGGTGGGEGGGGGAGGGGSMSQKLTTNDALTYLKEVKEMFQDQKEKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIYGFNTFLPKGYGITLDEDEAPPKKTVEFDEAISFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDINEVYTEVASLFEDHPDLLDEFTRFLPDSQAAPMTQQVPYGRNSTQHYNERSSATPTLRQIQMDKQRRRDRSITSNADRDLSVDRPELDDDKAVIKVQKEQRKRVEKDSRDQRIRDHDDPEHDNNRDFNLQRFPDKKRSGRKVEGFASYDDRDTFKSMCNQGFVFCEKVKERLCNSDDYQAFLKCLNIYSNGIIKRNDLQNLVTDLLGKYPDLMNEFNQFLERCENTDGLLAGVINKKSLTVVGHAPKSEEKREIEAAKEKERYKYMGKSIQELDLSNCQSCTPSYRLLPDDYPIPIASQRSELGALVLNDNWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNSINENKINLDSPFHVEEHFTVLNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYSKNHYKSLDHRSFYFKQQDSKNLSVKSLVAEIKELKEKNQKEDDVLMASVAGHRQPPAPHLEYEYVDVNIHEDLYKLIEYSCEEMCSTKEQLNRVMRLWTTFLEPMLGVPPQRNGRKGTEGAGKAQNSAVNGTASSIAESDGSPGADATVNSGQPKAASNGDGNSSSELTNSCRNGLTNRETLAKDEHSVRVSRVDSKPEKEIKFTADKRPGINMVAIGIKAENNQGRSNVEGTSGPGAAASRPTSITAGEAHESEANVDPVHSSEGVTVAKHPLIVNGTPTDGSNASRYHEESTGPSKVEKEEGELSPNGDFEEDNFVAYGDAGPKVVPKAKHGVESRQQRSGNGKDLHSVDAGGENDADADDEDSENASEAGDDASGSESAGDECSHEEEEEVERDEVDGKAESEGEAEGIADAHVGGDGTSLSFSERFLFTVKALSKHVPPALPEDEKYNSWVFYANDDFYVLFRLHQILYERILSAKTNLAGGEIKWKHLKDTSSSDLYARFMSALYSLLNGSTDNTKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQAVAADEMDNKLLQLYEYEKSRKHWKTMDSVYYENARVLLHEENIYRLKCSSLPSRLSIQLMDNVIEKPEAFAVSMEPNFSAILHNDFLSVFPGKKEPHGIILKRNKKNYANLDEFDATCMAMEGVELVNGLENKIACNSYKKFITAQITQ >KJB33709 pep chromosome:Graimondii2_0_v6:6:7050203:7060298:1 gene:B456_006G027300 transcript:KJB33709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIRDDIYSGSQFKRPFTSSRAESYGQNQMPGGGGGTGGGEGGGGGAGGGGSMSQKLTTNDALTYLKEVKEMFQDQKEKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIYGFNTFLPKGYGITLDEDEAPPKKTVEFDEAISFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDINEVYTEVASLFEDHPDLLDEFTRFLPDSQAAPMTQQVPYGRNSTQHYNERSSATPTLRQIQMDKQRRRDRSITSNADRDLSVDRPELDDDKAVIKVQKEQRKRVEKDSRDQRIRDHDDPEHDNNRDFNLQRFPDKKRSGRKVEGFASYDDRDTFKSMCNQGFVFCEKVKERLCNSDDYQAFLKCLNIYSNGIIKRNDLQNLVTDLLGKYPDLMNEFNQFLERCENTDGLLAGVINKKSLTVVGHAPKSEEKREIEAAKEKERYKYMGKSIQELDLSNCQSCTPSYRLLPDDYPIPIASQRSELGALVLNDNWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNSINENKINLDSPFHVEEHFTVLNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYSKNHYKSLDHRSFYFKQQDSKNLSVKSLVAEIKELKEKNQKEDDVLMASVAGHRQPPAPHLEYEYVDVNIHEDLYKLIEYSCEEMCSTKEQLNRVMRLWTTFLEPMLGVPPQRNGRKGTEGAGKAQNSAVNGTASSIAESDGSPGADATVNSGQPKAASNGDGNSSSELTNSCRNGLTNRETLAKDEHSVRVSRVDSKPEKEIKFTADKRPGINMVAIGIKAENNQGRSNVEGTSGPGAAASRPTSITAGEAHESEANVDPVHSSEGVTVAKHPLIVNGTPTDGSNASRYHEESTGPSKVEKEEGELSPNGDFEEDNFVAYGDAGPKVVPKAKHGVESRQQRSGNGKDLHSVDAGGENDADADDEDSENASEAGDDASGSESAGDECSHEEEEEVERDEVDGKAESEGEAEGIADAHVGGDGTSLSFSERFLFTVKALSKHVPPALPEDEKYNSWVFYANDDFYVLFRLHQILYERILSAKTNLAGGEIKWKHLKDTSSSDLYARFMSALYSLLNGSTDNTKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQAVAADEMDNKLLQLYEYEKSRKHWKTMDSVYYENARVLLHEENIYRLKCSSLPSRLSIQLMDNVIEKPEAFAVSMEPNFSAILHNDFLSVFPGKKEPHGIILKRNKKNYANLDEFDATCMAMEGVELVNGLENKIACNSYKISYVLDTEDFFFRRRNSSRRRSRSNQNPLVETKPQKAFENDMFCVCSNMVDAQTEERTNC >KJB33711 pep chromosome:Graimondii2_0_v6:6:7053455:7060298:1 gene:B456_006G027300 transcript:KJB33711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNNQKILNTYLSVNRNNVYFLITESLTVVGHAPKSEEKREIEAAKEKERYKYMGKSIQELDLSNCQSCTPSYRLLPDDYPIPIASQRSELGALVLNDNWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNSINENKINLDSPFHVEEHFTVLNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYSKNHYKSLDHRSFYFKQQDSKNLSVKSLVAEIKELKEKNQKEDDVLMASVAGHRQPPAPHLEYEYVDVNIHEDLYKLIEYSCEEMCSTKEQLNRVMRLWTTFLEPMLGVPPQRNGRKGTEGAGKAQNSAVNGTASSIAESDGSPGADATVNSGQPKAASNGDGNSSSELTNSCRNGLTNRETLAKDEHSVRVSRVDSKPEKEIKFTADKRPGINMVAIGIKAENNQGRSNVEGTSGPGAAASRPTSITAGEAHESEANVDPVHSSEGVTVAKHPLIVNGTPTDGSNASRYHEESTGPSKVEKEEGELSPNGDFEEDNFVAYGDAGPKVVPKAKHGVESRQQRSGNGKDLHSVDAGGENDADADDEDSENASEAGDDASGSESAGDECSHEEEEEVERDEVDGKAESEGEAEGIADAHVGGDGTSLSFSERFLFTVKALSKHVPPALPEDEKYNSWVFYANDDFYVLFRLHQILYERILSAKTNLAGGEIKWKHLKDTSSSDLYARFMSALYSLLNGSTDNTKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQAVAADEMDNKLLQLYEYEKSRKHWKTMDSVYYENARVLLHEENIYRLKCSSLPSRLSIQLMDNVIEKPEAFAVSMEPNFSAILHNDFLSVFPGKKEPHGIILKRNKKNYANLDEFDATCMAMEGVELVNGLENKIACNSYKISYVLDTEDFFFRRRNSSRRRSRSNQARIQRFLRFLSTTQ >KJB33707 pep chromosome:Graimondii2_0_v6:6:7050583:7060298:1 gene:B456_006G027300 transcript:KJB33707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIRDDIYSGSQFKRPFTSSRAESYGQNQMPGGGGGTGGGEGGGGGAGGGGSMSQKLTTNDALTYLKEVKEMFQDQKEKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIYGFNTFLPKGYGITLDEDEAPPKKTVEFDEAISFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDINEVYTEVASLFEDHPDLLDEFTRFLPDSQAAPMTQQVPYGRNSTQHYNERSSATPTLRQIQMDKQRRRDRSITSNADRDLSVDRPELDDDKAVIKVQKEQRKRVEKDSRDQRIRDHDDPEHDNNRDFNLQRFPDKKRSGRKVEGFASYDDRDTFKSMCNQGFVFCEKVKERLCNSDDYQAFLKCLNIYSNGIIKRNDLQNLVTDLLGKYPDLMNEFNQFLERCENTDGLLAGVINKKEKREIEAAKEKERYKYMGKSIQELDLSNCQSCTPSYRLLPDDYPIPIASQRSELGALVLNDNWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNSINENKINLDSPFHVEEHFTVLNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYSKNHYKSLDHRSFYFKQQDSKNLSVKSLVAEIKELKEKNQKEDDVLMASVAGHRQPPAPHLEYEYVDVNIHEDLYKLIEYSCEEMCSTKEQLNRVMRLWTTFLEPMLGVPPQRNGRKGTEGAGKAQNSAVNGTASSIAESDGSPGADATVNSGQPKAASNGDGNSSSELTNSCRNGLTNRETLAKDEHSVRVSRVDSKPEKEIKFTADKRPGINMVAIGIKAENNQGRSNVEGTSGPGAAASRPTSITAGEAHESEANVDPVHSSEGVTVAKHPLIVNGTPTDGSNASRYHEESTGPSKVEKEEGELSPNGDFEEDNFVAYGDAGPKVVPKAKHGVESRQQRSGNGKDLHSVDAGGENDADADDEDSENASEAGDDASGSESAGDECSHEEEEEVERDEVDGKAESEGEAEGIADAHVGGDGTSLSFSERFLFTVKALSKHVPPALPEDEKYNSWVFYANDDFYVLFRLHQILYERILSAKTNLAGGEIKWKHLKDTSSSDLYARFMSALYSLLNGSTDNTKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQAVAADEMDNKLLQLYEYEKSRKHWKTMDSVYYENARVLLHEENIYRLKCSSLPSRLSIQLMDNVIEKPEAFAVSMEPNFSAILHNDFLSVFPGKKEPHGIILKRNKKNYANLDEFDATCMAMEGVELVNGLENKIACNSYKISYVLDTEDFFFRRRNSSRRRSRSNQARIQRFLRFLSTTQ >KJB33704 pep chromosome:Graimondii2_0_v6:6:7050583:7058834:1 gene:B456_006G027300 transcript:KJB33704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIRDDIYSGSQFKRPFTSSRAESYGQNQMPGGGGGTGGGEGGGGGAGGGGSMSQKLTTNDALTYLKEVKEMFQDQKEKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIYGFNTFLPKGYGITLDEDEAPPKKTVEFDEAISFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDINEVYTEVASLFEDHPDLLDEFTRFLPDSQAAPMTQQVPYGRNSTQHYNERSSATPTLRQIQMDKQRRRDRSITSNADRDLSVDRPELDDDKAVIKVQKEQRKRVEKDSRDQRIRDHDDPEHDNNRDFNLQRFPDKKRSGRKVEGFASYDDRDTFKSMCNQGFVFCEKVKERLCNSDDYQAFLKCLNIYSNGIIKRNDLQNLVTDLLGKYPDLMNEFNQFLERCENTDGLLAGVINKKSLTVVGHAPKSEEKREIEAAKEKERYKYMGKSIQELDLSNCQSCTPSYRLLPDDYPIPIASQRSELGALVLNDNWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNSINENKINLDSPFHVEEHFTVLNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYSKNHYKSLDHRSFYFKQQDSKNLSVKSLVAEIKELKEKNQKEDDVLMASVAGHRQPPAPHLEYEYVDVNIHEDLYKLIEYSCEEMCSTKEQLNRVMRLWTTFLEPMLGVPPQRNGRKGTEGAGKAQNSAVNGTASSIAESDGSPGADATVNSGQPKAASNGDGNSSSELTNSCRNGLTNRETLAKDEHSVRVSRVDSKPEKEIKFTADKRPGINMVAIGIKAENNQGRSNVEGTSGPGAAASRPTSITAGEAHESEANVDPVHSSEGVTVAKHPLIVNGTPTDGSNASRYHEESTGPSKVEKEEGELSPNGDFEEDNFVAYGDAGPKVVPKAKHGVESRQQRSGNGKDLHSVDAGGENDADADDEDSENASEAGDDASGSESAGDECSHEEEEEVERDEVDGKAESEGEAEGIADAHVGGDGTSLSFSERFLFTVKALSKHVPPALPEDEKYNSWVFYANDDFYVLFRLHQILYERILSAKTNLAGGEIKWKHLKDTSSSDLYARFMSALYSLLNGSTDNTKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQAVAADEMDNKLLQLYEYEKSRKHWKTMDSVYYENARVLLHEENIYRLKCSSLPSRLSIQLMDNVIEKPEAFAVSMEPNFSAILHNDFLSVFPGKKEPHGIILKR >KJB33706 pep chromosome:Graimondii2_0_v6:6:7050583:7060298:1 gene:B456_006G027300 transcript:KJB33706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIRDDIYSGSQFKRPFTSSRAESYGQNQMPGGGGGTGGGEGGGGGAGGGGSMSQKLTTNDALTYLKEVKEMFQDQKEKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIYGFNTFLPKGYGITLDEDEAPPKKTVEFDEAISFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDINEVYTEVASLFEDHPDLLDEFTRFLPDSQAAPMTQQVPYGRNSTQHYNERSSATPTLRQIQMDKQRRRDRSITSNADRDLSVDRPELDDDKAVIKVQKEQRKRVEKDSRDQRIRDHDDPEHDNNRDFNLQRFPDKKRSGRKVEGFASYDDRDTFKSMCNQGFVFCEKVKERLCNSDDYQAFLKCLNIYSNGIIKRNDLQNLVTDLLGKYPDLMNEFNQFLERCENTDGLLAGVINKKSLTVVGHAPKSEEKREIEAAKEKERYKYMGKSIQELDLSNCQSCTPSYRLLPDDYPIPIASQRSELGALVLNDNWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNSINENKINLDSPFHVEEHFTVLNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYSKNHYKSLDHRSFYFKQQDSKNLSVKSLVAEIKELKEKNQKEDDVLMASVAGHRQPPAPHLEYEYVDVNIHEDLYKLIEYSCEEMCSTKEQLNRVMRLWTTFLEPMLGVPPQRNGRKGTEGAGKAQNSAVNGTASSIAESDGSPGADATVNSGQPKAASNGDGNSSSELTNSCRNGLTNRETLAKDEHSVRVSRVDSKPEKEIKFTADKRPGINMVAIGIKAENNQGRSNVEGTSGPGAAASRPTSITAGEAHESEANVDPVHSSEGVTVAKHPLIVNGTPTDGSNASRYHEESTGPSKVEKEEGELSPNGDFEEDNFVAYGDAGPKVVPKAKHGVESRQQRSGNGKDLHSVDAGGENDADADDEDSENASEAGDDASGSESAGDECSHEEEEEVERDEVDGKAESEGEAEGIADAHVGGDGTSLSFSERFLFTVKALSKHVPPALPEDEKYNSWVFYANDDFYVLFRLHQILYERILSAKTNLAGGEIKWKHLKDTSSSDLYARFMSALYSLLNGSTDNTKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQAVAADEMDNKLLQLYEYEKSRKHWKTMDSVYYENARVLLHEENIYRLKCSSLPSRLSIQLMDNVIEKPEAFAVSMEPNFSAILHNDFLSVFPGKKEPHGIILKRNKKNYANLDEFDATCMAMEGVELVNGLENKIACNSYKISYVLDTEDFFFRRRNSSRRRSRSNQARIQRFLRFLSTTQ >KJB38216 pep chromosome:Graimondii2_0_v6:6:48871110:48873717:1 gene:B456_006G242900 transcript:KJB38216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIWGKMWALMGLLLFNSVCNVSSLSVNVNNIECVYEYVLYEGDTISGNFVVVDHDIFWGSDHPGIDFTVTSPLGNTVHELKGTSGDKFEVKAPRSGMYKFCFHNPHSAPETVSFHIHVGHIPTEHDLAKDEHLDPINVKIAELREALESVTAEQKYLKARDTRHRHTNESTRKRVIGYTVGEYILLTLVSALQVIYIRQLFSKSVAYNRV >KJB34896 pep chromosome:Graimondii2_0_v6:6:32482004:32486646:1 gene:B456_006G089100 transcript:KJB34896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPRPLQRLAYKEMGDRWKQIGFNLLSFLFLIPSFMIEGSLAINSDGLALLEFRARIDSDPYGAFANWNSNDSSPCLWWGVHCVDGKVQMLDLSALSLEGTLAPELGKLSNLRSLVLYKNHFSGAIPKEFGELTKLELLDLRENELGGTIPAEIGKMLSLKRLLLCDNKLEGSIPSDLGRLNLLSELQYDENLTSTSATGIGCINRKFGLCLWQSSLKQLSRMGSLLMPIKGTLIRYLNALPLPQQKDSLAEHQDDCCSDVPGSSEQQIANSMQDVASFARRRLLAQSKNLPAVPATGMSSNEQIISLPTTRSSGSFPAVPKAKQPSAPSPSPSPPVETLPTLETDTKPGEPPPEEPSESGSGNIWKYLIIIPVVVILLAFLAFLFLCRKRAAKTIGPWKTGLSGQLQKAFVTGVPSLNRVELETACEDFSNIIDTIGGCRVYKGTLSSGVEIAVAATGISSLKDWSKNSEKAYRRKIDKLSRINHKNYVNLIGYCEEDEPFNRMMVFEYAPNGTLFEHLHVKEMEHLDWNARVRIIMGVAYCLQYMHHDLNPPLAHPNLSSSSIYLTDDYAAKLGEIGCLPSTSKSEASSDSESQHSSELPPLVDLEANVYNFGLLLLEIISGKLPCSEEQGPIEKWADQYLNDKSNFGSMTDPTLDSFKNEELEIICEVIKECIATDPRKRPTMKDIITKLREVIQVAPEQATPRLSPLWWAELEILSMEAT >KJB34897 pep chromosome:Graimondii2_0_v6:6:32482079:32486295:1 gene:B456_006G089100 transcript:KJB34897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPRPLQRLAYKEMGDRWKQIGFNLLSFLFLIPSFMIEGSLAINSDDEGLALLEFRARIDSDPYGAFANWNSNDSSPCLWWGVHCVDGKVQMLDLSALSLEGTLAPELGKLSNLRSLVLYKNHFSGAIPKEFGELTKLELLDLRENELGGTIPAEIGKMLSLKRLLLCDNKLEGSIPSDLGRLNLLSELQYDENLTSTSATGIGCINRKFGLCLWQSSLKQLSRMGSLLMPIKGTLIRYLNALPLPQQKDSLAEHQDDCCSDVPGSSEQQIANSMQDVASFARRRLLAQSKNLPAVPATGMSSNEQIISLPTTRSSGSFPAVPKAKQPSAPSPSPSPPVETLPTLETDTKPGEPPPEEPSESGSGNIWKYLIIIPVVVILLAFLAFLFLCRKRAAKTIGPWKTGLSGQLQKAFVTGVPSLNRVELETACEDFSNIIDTIGGCRVYKGTLSSGVEIAVAATGISSLKDWSKNSEKAYRRKIDKLSRINHKNYVNLIGYCEEDEPFNRMMVFEYAPNGTLFEHLHVKEMEHLDWNARVRIIMGVAYCLQYMHHDLNPPLAHPNLSSSSIYLTDDYAAKLGEIGCLPSTSKSEASSDSESQHSSELPPLVDLEANVYNFGLLLLEIISGKLPCSEEQGPIEKWADQYLNDKSNFGSMTDPTLDSFKNEELEIICEVIKECIATDPRKRPTMKDIITKLREVIQVAPEQATPRLSPLWWAELEILSMEAT >KJB34767 pep chromosome:Graimondii2_0_v6:6:31374020:31378478:1 gene:B456_006G082600 transcript:KJB34767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMIAKRERLNCNTGQSKQITLNSWPATKKRIPMTVHCGNKRAKSEKKGNAKQLLARKKNPSNRQKLNKDFEFLEDEKIPQAKRHCNGIAKTATLDEEELDEWEEEAIVFMNMKDRRKSRNFESVMVERRPKEITRKLSDHIVTSLSDSSSFSSSSSSGSPVSHLESDFSSTDRCKKNKVKDKRKYVKCHQCMTEERTIVPCMKCKEKVYCINCIRQWYPNIPKEEIAKQCPFCCRNCNCSICLHSSGLIKTSKRDITYQEKIKHLKYLIESMLPFLKQICKMQKQEIEIEAEIQELLPSAVDIPQTLCYSDERIYCNHCATSIFDLHRSCPNCAYELCLHCCQEIREGRLSSCDEVAYEYRNRGYDYVHAGDPLPGSYLNETAKDRTKQSIQWKANNDGSVTCPPREMNGCGDCRLELKCIFPVGWISDLAAKAGEMLRACRIRQGILKHKCAVTGRDTLHHRVSREGTNDNCLHSPTSYDIQKEDLSHFQMKWAKGEPVIVRNALANSTGLSWEPMVMWRALCETEDLHTSLEMSEVRAIDCLACCEVGINTYQFFKGYMEGRSYHNFWPEMLKLKDWPPSNNFEDLLPRHYDEFIRILPFQEYTDPRSGILNLAVKLPPGVLKPDLGPKTYIAYGISQELGRGDSVTKLHYDLSDAVNILTHTADVALGEEQLAAIEKLKMKHKAQDAKEKLERDREDKHPINEGRKGITDQNQYSDNNIDDVGARNSGHSKNTGGALWDIFRREDVPKLEAYLRKHSKEFRHIYCSPVERVIYKN >KJB34768 pep chromosome:Graimondii2_0_v6:6:31374890:31378560:1 gene:B456_006G082600 transcript:KJB34768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMIAKRERLNCNTGQSKQITLNSWPATKKRIPMTVHCGNKRAKSEKKGNAKQLLARKKNPSNRQKLNKDFEFLEDEKIPQAKRHCNGIAKTATLDEEELDEWEEEAIVFMNMKDRRKSRNFESVMVERRPKEITRKLSDHIVTSLSDSSSFSSSSSSGSPVSHLESDFSSTDRCKKNKVKDKRKYVKCHQCMTEERTIVPCMKCKEKVYCINCIRQWYPNIPKEEIAKQCPFCCRNCNCSICLHSSGLIKTSKRDITYQEKIKHLKYLIESMLPFLKQICKMQKQEIEIEAEIQELLPSAVDIPQTLCYSDERIYCNHCATSIFDLHRSCPNCAYELCLHCCQEIREGRLSSCDEVAYEYRNRGYDYVHAGDPLPGSYLNETAKDRTKQSIQWKANNDGSVTCPPREMNGCGDCRLELKCIFPVGWISDLAAKAGEMLRACRIRQGILKHKCAVTGRDTLHHRVSREGTNDNCLHSPTSYDIQKEDLSHFQMKWAKGEPVIVRNALANSTGLSWEPMVMWRALCETEDLHTSLEMSEVRAIDCLACCEVGINTYQFFKGYMEGRSYHNFWPEMLKLKDWPPSNNFEDLLPRHYDEFIRILPFQEYTDPRSGILNLAVKLPPGVLKPDLGPKTYIAYGISQELGRGDSVTKLHYDLSDAVNILTHTADVALGEEQLAAIEKLKMKHKAQDAKEKLERDREDKHPINEGRKGITDQNQYSDNNIDDVGARNSGHSKNTGGALWDIFRREDVPKLEAYLRKHSKEFRHIYCSPVERVIHPIHDQSFYLTMEHKRKLKEEFG >KJB34769 pep chromosome:Graimondii2_0_v6:6:31374020:31379305:1 gene:B456_006G082600 transcript:KJB34769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMIAKRERLNCNTGQSKQITLNSWPATKKRIPMTVHCGNKRAKSEKKGNAKQLLARKKNPSNRQKLNKDFEFLEDEKIPQAKRHCNGIAKTATLDEEELDEWEEEAIVFMNMKDRRKSRNFESVMVERRPKEITRKLSDHIVTSLSDSSSFSSSSSSGSPVSHLESDFSSTDRCKKNKVKDKRKYVKCHQCMTEERTIVPCMKCKEKVYCINCIRQWYPNIPKEEIAKQCPFCCRNCNCSICLHSSGLIKTSKRDITYQEKIKHLKYLIESMLPFLKQICKMQKQEIEIEAEIQELLPSAVDIPQTLCYSDERIYCNHCATSIFDLHRSCPNCAYELCLHCCQEIREGRLSSCDEVAYEYRNRGYDYVHAGDPLPGSYLNETAKDRTKQSIQWKANNDGSVTCPPREMNGCGDCRLELKCIFPVGWISDLAAKAGEMLRACRIRQGILKHKCAVTGRDTLHHRVSREGTNDNCLHSPTSYDIQKEDLSHFQMKWAKGEPVIVRNALANSTGLSWEPMVMWRALCETEDLHTSLEMSEVRAIDCLACCEVGINTYQFFKGYMEGRSYHNFWPEMLKLKDWPPSNNFEDLLPRHYDEFIRILPFQEYTDPRSGILNLAVKLPPGVLKPDLGPKTYIAYGISQELGRGDSVTKLHYDLSDAVNILTHTADVALGEEQLAAIEKLKMKHKAQDAKEKLERDREDKHPINEGRKGITDQNQYSDNNIDDVGARNSGHSKNTGGALWDIFRREDVPKLEAYLRKHSKEFRHIYCSPVERVIHPIHDQSFYLTMEHKRKLKEEFGVEPWTFEQNLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPQSIKECLRLTEEFRQLPKNHRAREDKLEINKMIIYGVERAIRELSDLISTPN >KJB34770 pep chromosome:Graimondii2_0_v6:6:31374020:31379333:1 gene:B456_006G082600 transcript:KJB34770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMIAKRERLNCNTGQSKQITLNSWPATKKRIPMTVHCGNKRAKSEKKGNAKQLLARKKNPSNRQKLNKDFEFLEDEKIPQAKRHCNGIAKTATLDEEELDEWEEEAIVFMNMKDRRKSRNFESVMVERRPKEITRKLSDHIVTSLSDSSSFSSSSSSGSPVSHLESDFSSTDRCKKNKVKDKRKYVKCHQCMTEERTIVPCMKCKEKVYCINCIRQWYPNIPKEEIAKQCPFCCRNCNCSICLHSSGLIKTSKRDITYQEKIKHLKYLIESMLPFLKQICKMQKQEIEIEAEIQELLPSAVDIPQTLCYSDERIYCNHCATSIFDLHRSCPNCAYELCLHCCQEIREGRLSSCDEVAYEYRNRGYDYVHAGDPLPGSYLNETAKDRTKQSIQWKANNDGSVTCPPREMNGCGDCRLELKCIFPVGWISDLAAKAGEMLRACRIRQGILKHKCAVTGRDTLHHRVSREGTNDNCLHSPTSYDIQKEDLSHFQMKWAKGEPVIVRNALANSTGLSWEPMVMWRALCETEDLHTSLEMSEVRAIDCLACCEVGINTYQFFKGYMEGRSYHNFWPEMLKLKDWPPSNNFEDLLPRHYDEFIRILPFQEYTDPRSGILNLAVKLPPGVLKPDLGPKTYIAYGISQELGRGDSVTKLHYDLSDAVNILTHTADVALGEEQLAAIEKLKMKHKAQDAKEKLERDREDKHPINEGRKGITDQNQYSDNNIDDVGARNSGHSKNTGGALWDIFRREDVPKLEAYLRKHSKEFRHIYCSPVERVIHPIHDQSFYLTMEHKRKLKEEFGVEPWTFEQNLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPQSIKECLRLTEEFRQLPKNHRAREDKLEINKMIIYGVERAIRELSDLISTPN >KJB34369 pep chromosome:Graimondii2_0_v6:6:23120352:23120498:1 gene:B456_006G062700 transcript:KJB34369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKSSKIGGSKRSCPWSWKGNGGFFREERGRLYIIRRCIIMLLCWHD >KJB33872 pep chromosome:Graimondii2_0_v6:6:9517584:9520514:-1 gene:B456_006G035400 transcript:KJB33872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLLTAVPSSSFIRLHTKGTSNRSNVNNLSVVRASKSVAKANDSDQKIVRRSANYHPPTWEYDYIQSLKSDYLGESFNEQAIRLLGEVRMMLEKVMDPLEKLELIDTLQRLGLSYHFQDETKSILEDIHIRADQSKALWKEGNLYATALEFRLLRQHGYNLTEEVFSCFMDEMGNFKSSLCEDCKGLLNLYEASHLSMEDEGILDTARHFAAKQLQQYLKQKKLDEYVRMLVEHALELPLHWRVSRLEARWFIDVYEKREKRNPILLELAKLDFNIVQAVYQDDLIYASKWWRDIGLGEKLPFARDMLMENFLWTVGIAFDPHFGNLRRTLTKIIALITSIDDVYDVYGTLDELELFTQAVERWDINAMELLPEYMKICFFALYNSINEIAFDNLKEHGFHTIPLLKKAWAELCKAYLVEAKWYYSGYIPTLNEYMDNAWISIAVPLVLSHIFSSPNLTTKECLEYWKEDSNLIYCSAIIFRLADDLGTSMVELKRGDVPKSIQCYMHETGCSEVEAHEHVKKLIDATWKRMNGEYLMSQSPLSLPFKHIALNLVRIAQCMYQYGDNHGIEDQKTNDHVLLLLVLSIP >KJB35116 pep chromosome:Graimondii2_0_v6:6:34107618:34112107:1 gene:B456_006G100800 transcript:KJB35116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Periodic tryptophan protein 2 [Source:Projected from Arabidopsis thaliana (AT1G15440) UniProtKB/Swiss-Prot;Acc:Q8VYZ5] MDVEPPSPGTPEREGEDGERIGKKRKDSDGKESGFSEGEGYLNGGKWELLRKDCFMQAPAKVTACDYHKGLDLVVVGFSNGVFGLYQMPDFVCIHLLSISREKLTTAVFNDLGNWLTFGCAKLGQLLVWEWRSESYILKQQGHYFDVNTLAYSPDSQLLATGADDNKVKVWTVSSGFCFVTFSEHTNAVTALHFMANNHCLLSASLDGTVRAWDLLRYRNFRTFTAPSHKQFVSLAADQSGEVICAGTLDSFEIFVWSMRRNLLLEVLSGHEGPVHGLMFSPTDAILASSSWDKTVRLWNVFKEKGAVETFRHTHDVLTLTYRPDGKQLACSTLDGQIHFWDPIDGESMRSIEGRRDIAGGRLMSDRRSAANSSSGKCFTSLCYSADGSYILAGGNSRYICMYDVSDQVLLRRFQITHNLSLDGVLDFLNSKHMTEAGPLNLIDDDNSDTEEGIDKQTRGNVGYNLPGSMPNNGRPVIRTKSLKIAPTGRSFSAATTEGVLVYSIDDSFIFDPTDLDIDVTPEAIDAALNEEQPSRALILSLRLNEDSLIKKCIFSVNPTDVPAVASSIPYRYLQRLVEALTNLLERCPHLEFVLQWCQELCKLHGNSIQQNSRNLQPVLKSLQKAITRIHQDLADTCALNEYMLRYLCSVSVKK >KJB35115 pep chromosome:Graimondii2_0_v6:6:34107618:34112107:1 gene:B456_006G100800 transcript:KJB35115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Periodic tryptophan protein 2 [Source:Projected from Arabidopsis thaliana (AT1G15440) UniProtKB/Swiss-Prot;Acc:Q8VYZ5] MNYRFQNLLGAPYRGGNAVVTQNTNLISPVGNRVSVTDLVKSQTITLPIQSSSNIRRIAASPDGVFLLTVDDNNRCLFINLPRRVVLHRITFKHPVNAVKFSPNGKYIAVAAGKLLQIWKSPGFKREVFAFELVRTFADCNDKILSMDWDNESKYLIVGSKDLTGRLFFVDKKRGNYKKPFLFLGHRDSVVGCFFGVDKKKNRVDKAYTIARDGFIFSWGYSGNDVNRDARDDDLMDVEPPSPGTPEREGEDGERIGKKRKDSDGKESGFSEGEGYLNGGKWELLRKDCFMQAPAKVTACDYHKGLDLVVVGFSNGVFGLYQMPDFVCIHLLSISREKLTTAVFNDLGNWLTFGCAKLGQLLVWEWRSESYILKQQGHYFDVNTLAYSPDSQLLATGADDNKVKVWTVSSGFCFVTFSEHTNAVTALHFMANNHCLLSASLDGTVRAWDLLRYRNFRTFTAPSHKQFVSLAADQSGEVICAGTLDSFEIFVWSMRRNLLLEVLSGHEGPVHGLMFSPTDAILASSSWDKTVRLWNVFKEKGAVETFRHTHDVLTLTYRPDGKQLACSTLDGQIHFWDPIDGESMRSIEGRRDIAGGRLMSDRRSAANSSSGKCFTSLCYSADGSYILAGGNSRYICMYDVSDQVLLRRFQITHNLSLDGVLDFLNSKHMTEAGPLNLIDDDNSDTEEGIDKQTRGNVGYNLPGSMPNNGRPVIRTKSLKIAPTGRSFSAATTEGVLVYSIDDSFIFDPTDLDIDVTPEAIDAALNEEQPSRALILSLRLNEDSLIKKCIFSVNPTDVPAVASSIPYRYLQRLVEALTNLLERCPHLEFVLQWCQELCKLHGNSIQQNSRNLQPVLKSLQKAITRIHQDLADTCALNEYMLRYLCSVSVKK >KJB35117 pep chromosome:Graimondii2_0_v6:6:34107719:34112071:1 gene:B456_006G100800 transcript:KJB35117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Periodic tryptophan protein 2 [Source:Projected from Arabidopsis thaliana (AT1G15440) UniProtKB/Swiss-Prot;Acc:Q8VYZ5] MNYRFQNLLGAPYRGGNAVVTQNTNLISPVGNRVSVTDLVKSQTITLPIQSSSNIRRIAASPDGVFLLTVDDNNRCLFINLPRRVVLHRITFKHPVNAVKFSPNGKYIAVAAGKLLQIWKSPGFKREVFAFELVRTFADCNDKILSMDWDNESKYLIVGSKDLTGRLFFVDKKRGNYKKPFLFLGHRDSVVGCFFGVDKKKNRVDKAYTIARDGFIFSWGYSGNDVNRDARDDDLMDVEPPSPGTPEREGEDGERIGKKRKDSDGKESGFSEGEGYLNGGKWELLRKDCFMQAPAKVTACDYHKGLDLVVVGFSNGVFGLYQMPDFVCIHLLSISREKLTTAVFNDLGNWLTFGCAKLGQLLVWEWRSESYILKQQGHYFDVNTLAYSPDSQLLATGADDNKVKVWTVSSGFCFVTFSEHTNAVTALHFMANNHCLLSASLDGTVRAWDLLRYRNFRTFTAPSHKQFVSLAADQSGEVICAGTLDSFEIFVWSMRRNLLLEVLSGHEGPVHGLMFSPTDAILASSSWDKTVRLWNVFKEKGAVETFRHTHDVLTLTYRPDGKQLACSTLDGQIHFWDPIDGESMRSIEGRRDIAGGRLMSDRRSAANSSSGKCFTSLCYSADGSYILAGGNSRYICMYDVSDQVLLRRFQITHNLSLDGVLDFLNSKHMTEAGPLNLIDDDNSDTEEGIDKQTRGNVGYNLPGSMPNNGRPVIRTKSLKIAPTGRSFSAATTEGVLVYSIDDSFIFDPTDLDIDVTPEVLSFFFLLLIFEFH >KJB35118 pep chromosome:Graimondii2_0_v6:6:34107719:34112071:1 gene:B456_006G100800 transcript:KJB35118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Periodic tryptophan protein 2 [Source:Projected from Arabidopsis thaliana (AT1G15440) UniProtKB/Swiss-Prot;Acc:Q8VYZ5] MNYRFQNLLGAPYRGGNAVVTQNTNLISPVGNRVSVTDLVKSQTITLPIQSSSNIRRIAASPDGVFLLTVDDNNRCLFINLPRRVVLHRITFKHPVNAVKFSPNGKYIAVAAGKLLQIWKSPGFKREVFAFELVRTFADCNDKILSMDWDNESKYLIVGSKDLTGRLFFVDKKRGNYKKPFLFLGHRDSVVGCFFGVDKKKNRVDKAYTIARDGFIFSWGYSGNDVNRDARDDDLMDVEPPSPGTPEREGEDGERIGKKRKDSDGKESGFSEGEGYLNGGKWELLRKDCFMQAPAKVTACDYHKGLDLVVVGFSNGVFGLYQMPDFVCIHLLSISREKLTTAVFNDLGNWLTFGCAKLGQLLVWEWRSESYILKQQGHYFDVNTLAYSPDSQLLATGADDNKVKVWTVSSGFCFVTFSEHTNAVTALHFMANNHCLLSASLDGTVRAWDLLRYRNFRTFTAPSHKQFVSLAADQSGEVICAGTLDSFEIFVWSMRRNLLLEVLSGHEGPVHGLMFSPTDAILASSSWDKTVRLWNVFKEKGAVETFRHTHDVLTLTYRPDGKQLACSTLDGQIHFWDPIDGESMRSIEGRRDIAGGRLMSDRRSAANSSSGKCFTSLCYSADGSYILAGGNSRYICMYDVSDQVLLRRFQITHNLSLDGVLDFLNSKHMTEAGPLNLIDDDNSDTEEGIDKQTRGNVGYNLPGSMPNNGRPVIRTKSLKIAPTGRSFSAATTEGVLVYSIDDSFIFDPTDLDIDVTPEAIDAALNEEQPSRALILSLRLNEDSLIKKCIFSVNPTDVPAVASSIPYRYLQRLVEALTNLLERCPHLEFVLQWCQVAFWSFYQIP >KJB33111 pep chromosome:Graimondii2_0_v6:6:33812428:33813193:1 gene:B456_006G097800 transcript:KJB33111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKVGVEKDGAAVGAKLLQKRSWKERALAFANNGFRKPGAQAGLYLKCCLTRGGLRRRGRCSDEDC >KJB33112 pep chromosome:Graimondii2_0_v6:6:33812428:33813193:1 gene:B456_006G097800 transcript:KJB33112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKVGVEKDGAAVGAKLLQKRSWKERALAFANNGFRQPLGLDLHVPLCFCFFKSFELFFIFERFRV >KJB35360 pep chromosome:Graimondii2_0_v6:6:35753480:35756071:-1 gene:B456_006G111100 transcript:KJB35360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEFGLERAKMNGWPNTYVFTKAMGEMLLEKFKGDLPLVIIRPTIIASTYKQPFPGWIEGVRTMDVVLVNYGKGKLTCFPGNLNSALDVIPVDMVVNAMVVAMEVHYAQHQYSCETIYHVGTSSKNPLKLSDLRNLVHYYFTKNPWIDTNGQKVEVGKLIVVSTINRFFLYMKIKYVLPLKVFYLINILCCQCFEKIYTNLNRRVKFILRLAEFYKPYSFFTGIFDDRNLERLQRVAEERGIDLAEFNFDSRSIDWEDYIMNSHIPGLLNQGLL >KJB35362 pep chromosome:Graimondii2_0_v6:6:35753648:35757102:-1 gene:B456_006G111100 transcript:KJB35362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDNAVKFLQGKTILITGATGFLAKVFVEKILRLQPNVNKLYLLVRASNNKSATQRLYDEIISTELFKILRNNWGSKFDALISSRVKAVAGDISFENLGLNDSELREEMRKKIQIIVNVAASTDFGERYDDALGINTFGALNVLNFGKKCDEVKLFLHISTAYVCGEETGIILEKGENLKRRNDINIFEEKRLAEEQLSQLQNQCIPDKAITSSMKEFGLERAKMNGWPNTYVFTKAMGEMLLEKFKGDLPLVIIRPTIIASTYKQPFPGWIEGVRTMDVVLVNYGKGKLTCFPGNLNSALDVIPVDMVVNAMVVAMEVHYAQHQYSCETIYHVGTSSKNPLKLSDLRNLVHYYFTKNPWIDTNGQKVEVGKLIVVSTINRFFLYMKIKYVLPLKVFYLINILCCQCFEKIYTNLNRRVKFILRLAEFYKPYSFFTGIFDDRNLERLQRVAEERGIDLAEFNFDSRSIDWEDYIMNSHIPGLLNQGLL >KJB35361 pep chromosome:Graimondii2_0_v6:6:35753648:35757102:-1 gene:B456_006G111100 transcript:KJB35361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKIQIIVNVAASTDFGERYDDALGINTFGALNVLNFGKKCDEVKLFLHISTAYVCGEETGIILEKGENLKRRNDINIFEEKRLAEEQLSQLQNQCIPDKAITSSMKEFGLERAKMNGWPNTYVFTKAMGEMLLEKFKGDLPLVIIRPTIIASTYKQPFPGWIEGVRTMDVVLVNYGKGKLTCFPGNLNSALDVIPVDMVVNAMVVAMEVHYAQHQYSCETIYHVGTSSKNPLKLSDLRNLVHYYFTKNPWIDTNGQKVEVGKLIVVSTINRFFLYMKIKYVLPLKVFYLINILCCQCFEKIYTNLNRRVKFILRLAEFYKPYSFFTGIFDDRNLERLQRVAEERGIDLAEFNFDSRSIDWEDYIMNSHIPGLLNQGLL >KJB35730 pep chromosome:Graimondii2_0_v6:6:37727709:37734311:-1 gene:B456_006G125600 transcript:KJB35730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLSLTFRFPVEKSPKPRKSKPAVPQLNCCNGYGFSKNNSGFRGQLSMKVNAVQDEAIQSSNSETTLLSQTIPPSSSKLVLVVGATGGVGQLVVASLLNRNIKSRLLLRDPEKAISLFGNQDEEKLQVVKGDTRNPADLYPSIFEGVTHVICCTGTTAFPSKRWDGDNTPERVDWEGVRNLVSALPSSLKRVVLVSSVGVTKFNELPWSIMNLFGVLKYKKKGEDFLCESGLPFTIIRAGRLTDGPYTSYDLNTLLKATAGQRRAVVIGQGDNLVGEVSRLVVAEACIQAMDIEFTEGKIYEINSVEGEGPGTDPEKWEELFKTARA >KJB35731 pep chromosome:Graimondii2_0_v6:6:37727730:37734311:-1 gene:B456_006G125600 transcript:KJB35731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLSLTFRFPVEKSPKPRKSKPAVPQLNCCNGYGFSKNNSGFRGQLSMKVNAVQDEAIQSSNSETTLLSQTIPPSSSKLVLVVGATGGVGQLVVASLLNRNIKSRLLLRDPEKAISLFGNQDEEKLQVVKGDTRNPADLYPSIFEGVTHVICCTGTTAFPSKRWDGDNTPERVDWEGVRNLVSALPSSLKRVVLVSSVGVTKFNELPWSIMNLFGVLKYKKKGEDFLCESGLPFTIIRAGRLTDGPYTSYDLNTLLKATAGQRRAVVIGQGI >KJB38684 pep chromosome:Graimondii2_0_v6:6:50535326:50536377:1 gene:B456_006G266900 transcript:KJB38684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNCSFNGWSNEGCSSSFPQPLDSSLFYNSMVEDEFSVQDMSFYDSAPLMNSFCLYADVEQNPNLTQENSFWNELGTLFEPENQKFLKRLMREKKTKNFNNAKMLSREIISQYFYMPITKAAKELKVGLTLLKKRCRELGIRRWPYRKLMSLQTLTKNLQVLDREEREGSEGKLREAMEALRKEREMLEEMPNMELDDRTKRLRQACFKANYKKRKMMMMMVMEEEPSRLAAEAFGSNNDGSRRNEEEEDDDEEIKYLLSDSFSS >KJB35913 pep chromosome:Graimondii2_0_v6:6:38755883:38758707:1 gene:B456_006G133200 transcript:KJB35913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein A [Source:Projected from Arabidopsis thaliana (AT3G57090) UniProtKB/Swiss-Prot;Acc:Q9M1J1] MEAKIGQFLESIGTFFGGGDQIPWCDRDVIAGCEREVADAAKGSSEEQKSESIMRLSWALVHSRQAEDVQRGIAMLEASLANSSSPLQQREKIYLLAVGYYRTGEFSRSRQLVEQCLEIAPDWRQALVLKKAVEERIAKDGVIGIGITATALGLIAGGIAAALTRRH >KJB35911 pep chromosome:Graimondii2_0_v6:6:38755962:38762399:1 gene:B456_006G133200 transcript:KJB35911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein A [Source:Projected from Arabidopsis thaliana (AT3G57090) UniProtKB/Swiss-Prot;Acc:Q9M1J1] MEAKIGQFLESIGTFFGGGDQIPWCDRDVIAGCEREVADAAKGSSEEQKSESIMRLSWALVHSRQAEDVQRGIAMLEASLANSSSPLQQREKIYLLAVGYYRTGEFSRSRQLVEQCLEIAPDWRQALVLKKAVEERIAKDGVIGIGITATALGLIAGGIAAALTRRH >KJB35912 pep chromosome:Graimondii2_0_v6:6:38755962:38758707:1 gene:B456_006G133200 transcript:KJB35912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein A [Source:Projected from Arabidopsis thaliana (AT3G57090) UniProtKB/Swiss-Prot;Acc:Q9M1J1] MLHIYVVLIYWGFCISWTLIFFIFYCFGIWFHLWYWWKGCEREVADAAKGSSEEQKSESIMRLSWALVHSRQAEDVQRGIAMLEASLANSSSPLQQREKIYLLAVGYYRTGEFSRSRQLVEQCLEIAPDWRQALVLKKAVEERIAKDGVIGIGITATALGLIAGGIAAALTRRH >KJB36572 pep chromosome:Graimondii2_0_v6:6:43305416:43309800:1 gene:B456_006G174600 transcript:KJB36572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVFRLVSGCPGPSGFGSASTAQEVTEGIDGTNLTALVTGGASGIGLETSRVLALRGVHVIIGARNMKAANEAKNKIVRETPRARIDVLELDLCSTNSIRSFADNFIALHLPLNILINNAGIMFCPFQLSQDGIEVQFATNHIGHFLLTNLLLDTMKNTVKASGIQGRVVNLSSIAHNYCYKKGIRFHKINDKQGYSEKRAYGQSKLANILHANELSRRLQEEGVNITVNSVHPGLIMTPLFRHSAALMKLLKFFSFFLWKNVPQGAATTCYVALHPRLNGVTGKYFADCNEMRPSSYARNESLARELWEFSNKLISSVSVP >KJB36574 pep chromosome:Graimondii2_0_v6:6:43305495:43309775:1 gene:B456_006G174600 transcript:KJB36574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVFRLVSGCPGPSGFGSASTAQEVTEGIDGTNLTALVTGGASGIGLETSRVLALRGVHVIIGARNMKAANEAKNKIVRETPRARIDVLELDLCSTNSIRSFADNFIALHLPLNILINNAGIMFCPFQLSQDGIEVQFATNHIGHFLLTNLLLDTMKNTVKASGIQGRVVNLSSIAHNYCYKKGIRFHKINDKQGEKRAYGQSKLANILHANELSRRLQEEGVNITVNSVHPGLIMTPLFRHSAALMKLLKFFSFFLWKNVPQGAATTCYVALHPRLNGVTGKYFADCNEMRPSSYARNESLARELWEFSNKLISSVSVP >KJB36577 pep chromosome:Graimondii2_0_v6:6:43306536:43309775:1 gene:B456_006G174600 transcript:KJB36577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCPFQLSQDGIEVQFATNHIGHFLLTNLLLDTMKNTVKASGIQGRVVNLSSIAHNYCYKKGIRFHKINDKQGYSEKRAYGQSKLANILHANELSRRLQEEGVNITVNSVHPGLIMTPLFRHSAALMKLLKFFSFFLWKNVPQGAATTCYVALHPRLNGVTGKYFADCNEMRPSSYARNESLARELWEFSNKLISSVSVP >KJB36578 pep chromosome:Graimondii2_0_v6:6:43305496:43309775:1 gene:B456_006G174600 transcript:KJB36578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAANEAKNKIVRETPRARIDVLELDLCSTNSIRSFADNFIALHLPLNILINNAGIMFCPFQLSQDGIEVQFATNHIGHFLLTNLLLDTMKNTVKASGIQGRVVNLSSIAHNYCYKKGIRFHKINDKQGYSEKRAYGQSKLANILHANELSRRLQEEGVNITVNSVHPGLIMTPLFRHSAALMKLLKFFSFFLWKNVPQGAATTCYVALHPRLNGVTGKYFADCNEMRPSSYARNESLARELWEFSNKLISSVSVP >KJB36576 pep chromosome:Graimondii2_0_v6:6:43305512:43309336:1 gene:B456_006G174600 transcript:KJB36576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVFRLVSGCPGPSGFGSASTAQEVTEGIDGTNLTALVTGGASGIGLETSRVLALRGVHVIIGARNMKAANEAKNKIVRETPRARIDVLELDLCSTNSIRSFADNFIALHLPLNILINNAGIMFCPFQLSQDGIEVQFATNHIGHFLLTNLLLDTMKNTVKASGIQGRVVNLSSIAHNYCYKKGIRFHKINDKQGYSEKRAYGQSKLANILHANELSRRLQEEGVNITVNSVHPGLIMTPLFRHSAALMSITFEILQFLSLEERSSGGSYDVLRRAPPATQWGDRKILCGLQ >KJB36575 pep chromosome:Graimondii2_0_v6:6:43305495:43309775:1 gene:B456_006G174600 transcript:KJB36575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVFRLVSGCPGPSGFGSASTAQEVTEGIDGTNLTALVTGGASGIGLETSRVLALRGVHVIIGARNMKAANEAKNKIVRETPRARIDVLELDLCSTNSIRSFADNFIALHLPLNILINNAGIMFCPFQLSQDGIEVQFATNHIGHFLLTNLLLDTMKNTVKASGIQGRVVNLSSIAHNYCYKKGIRFHKINDKQGYSEKRAYGQSKLANILHANELSRRLQEEGVNITVNSVHPGLIMTPLFRHSAALMKLLKFFSFFLWKNVPQVTTTLCYFRVFTLPTLKPMKQFVCVLFSNFEMGIFN >KJB36573 pep chromosome:Graimondii2_0_v6:6:43305495:43307934:1 gene:B456_006G174600 transcript:KJB36573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVFRLVSGCPGPSGFGSASTAQEVTEGIDGTNLTALVTGGASGIGLETSRVLALRGVHVIIGARNMKAANEAKNKIVRETPRARIDVLELDLCSTNSIRSFADNFIALHLPLNILINNAGIMFCPFQLSQDGIEVQFATNHIGHFLLTNLLLDTMKNTVKASGIQGRVVNLSSIAHNYCYKKGIRFHKINDKQGYANCKFKLSIEC >KJB36923 pep chromosome:Graimondii2_0_v6:6:44052438:44054049:1 gene:B456_006G183000 transcript:KJB36923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHQHHHHQYQQQQYQYHQQQQQPPTSSVNVDPDRFPQWSIQETKEFLMIRAELDRSFMETKRNKLLWEVISTRMREKGFNRSTEQCKCKWKNLVTRYKGCETMEEEAMRQQFPFYNELQAIFSARMQSILWSESEGGVTGSKRKAVQLSSDEEEDTEEKELERSSVRKKKQGKTSGGAGIGGSTSGNGNNSNNIKEILEDFMRQQMQMEMQWREAFEAREHERRLKEMEWRQKMEALENERILMDRRWYEREEQRRMREEARAEKRDALITALLNKLRRDDHM >KJB36030 pep chromosome:Graimondii2_0_v6:6:39328622:39330914:-1 gene:B456_006G137000 transcript:KJB36030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCAWLLSLCFTLVFCLCNAYRTPPISPSLDGFLTNGNFEQAPKKENLNKTVILGKYSLPGWEIDGTVEFVSGGPQPGGFYFPIPRGAHAVRLGNEASISQNVNVKPGFIYSITFGTTRTCAQDEVLRISVPAQTTDISIQTLYSTDGGDTMAIAFKATAKVVKVTFHNPGVQEDPTCGPLLDAIAIKEMPPLTYTKGNLVKNSGFETGPHTFKNFSTGVLLPPHKQDTISPLPGWIIESLKPVKFIDKKHFSVPSGQFAIEMVAGRESAIAQVIRTVPNKDYTLTFTVGDAKNDCHGSMMVEAFAGKGTLKVPYVSQGKGGFKTSSFRFQAISARTRITFYSAYYHTKLHDFGHMCGPVLDDVKVLPVS >KJB36029 pep chromosome:Graimondii2_0_v6:6:39328975:39330798:-1 gene:B456_006G137000 transcript:KJB36029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCAWLLSLCFTLVFCLCNAYRTPPISPSLDGFLTNGNFEQAPKKENLNKTVILGKYSLPGWEIDGTVEFVSGGPQPGGFYFPIPRGAHAVRLGNEASISQNVNVKPGFIYSITFGTTRTCAQDEVLRISVPAQTTDISIQTLYSTDGGDTMAIAFKATAKVVKVTFHNPGVQEDPTCGPLLDAIAIKEMPPLTYTKGNLVKNSGFETGPHTFKNFSTGVLLPPHKQDTISPLPGWIIESLKPVKFIDKKHFSVPSGQFAIEMVAGRESAIAQVIRTVPNKDYTLTFTVGDAKNDCHGSMMVEAFAGKGTLKVPYVSQGKGGFKTSSFRFQAISARTRITFYSAYYHTKLHDFGHMCGPVLDDVKVLPVS >KJB36031 pep chromosome:Graimondii2_0_v6:6:39328593:39331054:-1 gene:B456_006G137000 transcript:KJB36031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCAWLLSLCFTLVFCLCNAYRTPPISPSLDGFLTNGNFEQAPKKENLNKTVILGKYSLPGWEIDGTVEFVSGGPQPGGFYFPIPRGAHAVRLGNEASISQNVNVKPGFIYSITFGTTRTCAQDEVLRISVPAQTTDISIQTLYSTDGGDTMAIAFKATAKVVKVTFHNPGVQEDPTCGPLLDAIAIKEMPPLTYTKGNLVKNSGFETGPHTFKNFSTGVLLPPHKQDTISPLPGWIIESLKPVKFIDKKHFSVPSGQFAIEMVAGRESAIAQVIRTVPNKDYTLTFTVGDAKNDCHGSMMVEAFAGKGTLKVPYVSQGKGGFKTSSFRFQAISARTRITFYSAYYHTNSLMNTYIQSLIHWSTLRIIPTFFCLVKELWLGLFAFLLLFFF >KJB36028 pep chromosome:Graimondii2_0_v6:6:39328975:39330798:-1 gene:B456_006G137000 transcript:KJB36028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCAWLLSLCFTLVFCLCNAYRTPPISPSLDGFLTNGNFEQAPKKENLNKTVILGKYSLPGWEIDGTVEFVSGGPQPGGFYFPIPRGAHAVRLGNEASISQNVNVKPGFIYSITFGTTRTCAQDEVLRISVPAQTTDISIQTLYSTDGGDTMAIAFKATAKVVKVTFHNPGVQEDPTCGPLLDAIAIKEMPPLTYTKGNLVKNSGFETGPHTFKNFSTGVLLPPHKQDTISPLPGWIIESLKPVKFIDKKHFSVPSGQFAIEMVAGRESAIAQVIRTVPNKDYTLTFTVGDAKNDCHGSMMVEAFAGKGTLKVPYVSQGKGGFKTSSFRFQAISARTRITFYSAYYHTKLHDFGHMCGPVLDDVKVLPVS >KJB38839 pep chromosome:Graimondii2_0_v6:6:35444624:35445924:1 gene:B456_006G1096003 transcript:KJB38839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLTFGRYDIALGINTFGAFNVLNFGKKCDKIKLFLHISTAYVCGEKAGIILEKRFYMGETLKGTHSINIFEEKITMEQQLAQLQYHGAPDKAITSSMKEFGLERAKLNGWPNTYVFTKAMGEMLLGQFKGDLPLVIIRPTMIASTYKQPFPGWIEGVRTFDSFIVNYGKGKLTCFPANPNTIIDVIPVDMVVNAMVVAMKVHYAERHVCETIYHVSSSFRNPLTLSDLRNLFH >KJB38747 pep chromosome:Graimondii2_0_v6:6:50878521:50880487:1 gene:B456_006G272200 transcript:KJB38747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDCRTHKVVVKGEKADPLKVLERVQRKSHRKVELLSPIPKPPAPEEEKTEDKEKSKPEDKKEEPPAAVVTVVLKVYMHCEACAMEIRKRIQRMKGVESAEPDLKSSEVTVKGVFEPPKLVEYVYRRTGKTAVIVKQEAETPKTEEEKAKDANKDEKKSEESGEKDKKEAGGEEKDDGKDKKQEGDNKEAPAADAAPAAEDATEETKVAVELKKNEYYYYPPRYATEFYAYPPQMFSDENPNACTVM >KJB38748 pep chromosome:Graimondii2_0_v6:6:50878521:50880520:1 gene:B456_006G272200 transcript:KJB38748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEKKTVEKKEMEEKKVEDEKKGEEKAATEEKKEEKKAEETKEESPVVPQEIVLKVYMHCEGCARKVRRCLSGFPGVEDVMTDCRTHKVVVKGEKADPLKVLERVQRKSHRKVELLSPIPKPPAPEEEKTEDKEKSKPEDKKEEPPAAVVTVVLKVYMHCEACAMEIRKRIQRMKGVESAEPDLKSSEVTVKGVFEPPKLVEYVYRRTGKTAVIVKQEAETPKTEEEKAKDANKDEKKSEESGEKDKKEAGGEEKDDGKDKKQEGDNKEAPAADAAPAAEDATEETKVAVELKKNEYYYYPPRYATEFYAYPPQMFSDENPNACTVM >KJB34024 pep chromosome:Graimondii2_0_v6:6:13289563:13297256:-1 gene:B456_006G044200 transcript:KJB34024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGKLDLPDDLLSSKTSFDHSSLKGEAWDGNLEDKGHVGLLEGTKDQAISESNIPLSPQWLYSKPSDSKMLATGISGDIRATNSLSHRTSGDSNLKDSWRLDASQDKKDRRKTAVDLESSRRWREEERETSLLGRRDRRKEDRRADISSMRDVSENKLTSSERWNDINSRGSGHESRRDNKWSSRWGPEDKEKDSRTEKRTEADKEEALTDKQAFVSGGRIASERDNDSRDKWRPRHRLEIHAGGAASHHSAPGFGLERGRVEVSTVRFAAGRGRSNANVSLQIGRPKSASVIGSCPLDKNKSFNTYCYPRGKLLDIYRKQKTAPNFLTVPDEMDHLSPITQKETVEPLAFVPPDAEEEALLGDIWKGKATSSGVLYNTARDTSGGKQSSTLNREDSVESEINSTKEGGQRRVLPSDTGVTHALISDGEIDGSINDADDIKSIDKGQVSDLKMPKLPRLEDKESSIHFGEGGELPEDSGSLFDFSSLRATLSHNQIDIKGNYEAHSLESVIPPEDLSLCYLDPQGVIQGPYLGIDIISWFEQGYFGTDLPVRLADAQDGSPFQELGDVMPHLSMNSGSASSGSAVMRMQLPDSFEGSLGETISTSASAPEFKGSDIGCDHKQSLSAVETSGTDFQFRRLTQSYPSEYQSSEDQSLHEFAAAQEEEIIFHGRPTSAGVDPSKISGEVQGPFGNPASHLSITDEFSKTNIPSHRDDELHPFGLLMSELRSPSGLKCSQSSNIASSIGDRGQFLDPWLDRETNFSDHSVVRTVPEQTSFREAWPDDYRRNALSNPNIHLGTTGGWPSSHKEQEDNSFGLLRQLMSQKLPNEPLQEENHFSHRFPYSAGFDVEHVQGFDLMLSKNLNRQRSIHHSDPHMEHLLELQFQQQRQLELQRQQQQLELKRQQQQQQQQLELQRQQQLELQRQQQQLELQRQQQLELQRQQQLELQLQQQQQLELQRQQQLRHHQIKLLQEQQQHLQLPHSQAQQLLCDQLLQHQMPDPGYGQHIFDAARDNIIDQVQLRRHLLAELQQNPHASRHLDSSLEQIIQVKINHGALQGQQAEFLDFMSQAKYGNMLPSEHQLRLQQEQLQAQQLSMALRQQLGMEGDRRLAGSLSVDDVGQFVGNPSSHHQAQSVGFNASDLYQQRLSSLEEHICNLKQNHALQDLPERGIFDPNSTAFGRLTLPVAAPGMKVDNANSLDLAEHLYMHSNNQLDPFSSGNPSFYQQVLGNVYASHPGAMESFHARKNGQLENSWTAKEIPQLNLEAELQRRESEVDSSAWASAGGVNENSKKALLDLLYQKLGIQSMQSSEIDRQNSTSSSRGRETFWPVSEQQTSNFPFNHFPNQEVHVNNLFPEGPQNSNSSALLQDHLFGVSPSASANHMVNCERLPLKANFGSFAEEQSFLLGIEDPSRSSYADASLMGKSAVDIEIAELEGKDNENGMKGMSNRNGSVSGSEDNVLEQVEATLDCGDLPSGIHSRHSSLGTDGSVRLYGYEIGVDKSAGEDASNDRLPSMLPKGLDKVPQKCPPVPRVSSSQDVFSDQSSLSFVNQNNSASLATSDEGRQGTVANLGTMRSVETQASSKKDVRFRRTSSCNDAAVSEASFIEILKKPVLRGTEAANSTSLEPSDGATQAGRSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRLDD >KJB34022 pep chromosome:Graimondii2_0_v6:6:13290616:13296963:-1 gene:B456_006G044200 transcript:KJB34022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGKLDLPDDLLSSKTSFDHSSLKGEAWDGNLEDKGHVGLLEGTKDQAISESNIPLSPQWLYSKPSDSKMLATGISGDIRATNSLSHRTSGDSNLKDSWRLDASQDKKDRRKTAVDLESSRRWREEERETSLLGRRDRRKEDRRADISSMRDVSENKLTSSERWNDINSRGSGHESRRDNKWSSRWGPEDKEKDSRTEKRTEADKEEALTDKQAFVSGGRIASERDNDSRDKWRPRHRLEIHAGGAASHHSAPGFGLERGRVEVSTVRFAAGRGRSNANVSLQIGRPKSASVIGSCPLDKNKSFNTYCYPRGKLLDIYRKQKTAPNFLTVPDEMDHLSPITQKETVEPLAFVPPDAEEEALLGDIWKGKATSSGVLYNTARDTSGGKQSSTLNREDSVESGENAAVNNIYLGNHAGTCYALDSQMIVTEEINSTKEGGQRRVLPSDTGVTHALISDGEIDGSINDADDIKSIDKGQVSDLKMPKLPRLEDKESSIHFGEGGELPEDSGSLFDFSSLRATLSHNQIDIKGNYEAHSLESVIPPEDLSLCYLDPQGVIQGPYLGIDIISWFEQGYFGTDLPVRLADAQDGSPFQELGDVMPHLSMNSGSASSGSAVMRMQLPDSFEGSLGETISTSASAPEFKGSDIGCDHKQSLSAVETSGTDFQFRRLTQSYPSEYQSSEDQSLHEFAAAQEEEIIFHGRPTSAGVDPSKISGEVQGPFGNPASHLSITDEFSKTNIPSHRDDELHPFGLLMSELRSPSGLKCSQSSNIASSIGDRGQFLDPWLDRETNFSDHSVVRTVPEQTSFREAWPDDYRRNALSNPNIHLGTTGGWPSSHKEQEDNSFGLLRQLMSQKLPNEPLQEENHFSHRFPYSAGFDVEHVQGFDLMLSKNLNRQRSIHHSDPHMEHLLELQFQQQRQLELQRQQQQLELKRQQQQQQQQLELQRQQQLELQRQQQQLELQRQQQLELQRQQQLELQLQQQQQLELQRQQQLRHHQIKLLQEQQQHLQLPHSQAQQLLCDQLLQHQMPDPGYGQHIFDAARDNIIDQVQLRRHLLAELQQNPHASRHLDSSLEQIIQVKINHGALQGQQAEFLDFMSQAKYGNMLPSEHQLRLQQEQLQAQQLSMALRQQLGMEGDRRLAGSLSVDDVGQFVGNPSSHHQAQSVGFNASDLYQQRLSSLEEHICNLKQNHALQDLPERGIFDPNSTAFGRLTLPVAAPGMKVDNANSLDLAEHLYMHSNNQLDPFSSGNPSFYQQVLGNVYASHPGAMESFHARKNGQLENSWTAKEIPQLNLEAELQRRESEVDSSAWASAGGVNENSKKALLDLLYQKLGIQSMQSSEIDRQNSTSSSRGRETFWPVSEQQTSNFPFNHFPNQEVHVNNLFPEGPQNSNSSALLQDHLFGVSPSASANHMVNCERLPLKANFGSFAEEQSFLLGIEDPSRSSYADASLMGKSAVDIEIAELEGKDNENGMKGMSNRNGSVSGSEDNVLEQVEATLDCGDLPSGIHSRHSSLGTDGSVRLYGYEIGVDKSAGEDASNDRSSCY >KJB34023 pep chromosome:Graimondii2_0_v6:6:13289563:13297067:-1 gene:B456_006G044200 transcript:KJB34023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGKLDLPDDLLSSKTSFDHSSLKGEAWDGNLEDKGHVGLLEGTKDQAISESNIPLSPQWLYSKPSDSKMLATGISGDIRATNSLSHRTSGDSNLKDSWRLDASQDKKDRRKTAVDLESSRRWREEERETSLLGRRDRRKEDRRADISSMRDVSENKLTSSERWNDINSRGSGHESRRDNKWSSRWGPEDKEKDSRTEKRTEADKEEALTDKQAFVSGGRIASERDNDSRDKWRPRHRLEIHAGGAASHHSAPGFGLERGRVEVSTVRFAAGRGRSNANVSLQIGRPKSASVIGSCPLDKNKSFNTYCYPRGKLLDIYRKQKTAPNFLTVPDEMDHLSPITQKETVEPLAFVPPDAEEEALLGDIWKGKATSSGVLYNTARDTSGGKQSSTLNREDSVESGENAAVNNIYLGNHAGTCYALDSQMIVTEEINSTKEGGQRRVLPSDTGVTHALISDGEIDGSINDADDIKSIDKGQVSDLKMPKLPRLEDKESSIHFGEGGELPEDSGSLFDFSSLRATLSHNQIDIKGNYEAHSLESVIPPEDLSLCYLDPQGVIQGPYLGIDIISWFEQGYFGTDLPVRLADAQDGSPFQELGDVMPHLSMNSGSASSGSAVMRMQLPDSFEGSLGETISTSASAPEFKGSDIGCDHKQSLSAVETSGTDFQFRRLTQSYPSEYQSSEDQSLHEFAAAQEEEIIFHGRPTSAGVDPSKISGEVQGPFGNPASHLSITDEFSKTNIPSHRDDELHPFGLLMSELRSPSGLKCSQSSNIASSIGDRGQFLDPWLDRETNFSDHSVVRTVPEQTSFREAWPDDYRRNALSNPNIHLGTTGGWPSSHKEQEDNSFGLLRQLMSQKLPNEPLQEENHFSHRFPYSAGFDVEHVQGFDLMLSKNLNRQRSIHHSDPHMEHLLELQFQQQRQLELQRQQQQLELKRQQQQQQQQLELQRQQQLELQRQQQQLELQRQQQLELQRQQQLELQLQQQQQLELQRQQQLRHHQIKLLQEQQQHLQLPHSQAQQLLCDQLLQHQMPDPGYGQHIFDAARDNIIDQVQLRRHLLAELQQNPHASRHLDSSLEQIIQVKINHGALQGQQAEFLDFMSQAKYGNMLPSEHQLRLQQEQLQAQQLSMALRQQLGMEGDRRLAGSLSVDDVGQFVGNPSSHHQAQSVGFNASDLYQQRLSSLEEHICNLKQNHALQDLPERGIFDPNSTAFGRLTLPVAAPGMKVDNANSLDLAEHLYMHSNNQLDPFSSGNPSFYQQVLGNVYASHPGAMESFHARKNGQLENSWTAKEIPQLNLEAELQRRESEVDSSAWASAGGVNENSKKALLDLLYQKLGIQSMQSSEIDRQNSTSSSRGRETFWPVSEQQTSNFPFNHFPNQEVHVNNLFPEGPQNSNSSALLQDHLFGVSPSASANHMVNCERLPLKANFGSFAEEQSFLLGIEDPSRSSYADASLMGKSAVDIEIAELEGKDNENGMKGMSNRNGSVSGSEDNVLEQVEATLDCGDLPSGIHSRHSSLGTDGSVRLYGYEIGVDKSAGEDASNDRLPSMLPKGLDKVPQKCPPVPRVSSSQDVFSDQSSLSFVNQNNSASLATSDEGRQGTVANLGTMRSVETQASSKKDVRFRRTSSCNDAAVSEASFIEILKKPVLRGTEAANSTSLEPSDGATQAGRSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRLDD >KJB33976 pep chromosome:Graimondii2_0_v6:6:11645318:11650222:-1 gene:B456_006G041700 transcript:KJB33976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIKGPSDYSQEPPRHPSLQINSKVPFNAEPPRSALASSYVTPVDLFYKRNHGPIPVVDDIERMLPKYNVTATLQCAGNRRTAMSKTRKVRGVGWDVSAIGNAVWGGAKLADLLELIGIPKLTCSTQSGGKHVEFVSIDKCKEENGGPYKASIPLSQATNPEADVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSVICSLEDVQSIKPGKVTISGYAVSGGGRGIERVDVSIDGGKTWLEASRSQKTGVPYIADHVSSDKWAWVLFEVTVDIPYSTEIVAKAVDSAANVQPENVQDIWNLRGILNTSWHRVQVRVGHSNM >KJB33978 pep chromosome:Graimondii2_0_v6:6:11645318:11650222:-1 gene:B456_006G041700 transcript:KJB33978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIKGPSDYSQEPPRHPSLQINSKVPFNAEPPRSALASSYVTPVDLFYKRNHGPIPVVDDIERYCVDICGLIGTPKKLYMRDVRMLPKYNVTATLQCAGNRRTAMSKTRKVRGVGWDVSAIGNAVWGGAKLADLLELIGIPKLTCSTQSGGKHVEFVSIDKCKEENGGPYKASIPLSQATNPEADVLLAYEMNGEFVSNMRKCLVIMLKPLNRDHGYPLRVIVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSVICSLEDVQSIKPGKVTISGYAVSGGGRGIERVDVSIDGGKTWLEASRSQKTGVPYIADHVSSDKWAWVLFEVTVDIPYSTEIVAKAVDSAANVQPENVQDIWNLRGILNTSWHRVQVRVGHSNM >KJB33977 pep chromosome:Graimondii2_0_v6:6:11645318:11650222:-1 gene:B456_006G041700 transcript:KJB33977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIKGPSDYSQEPPRHPSLQINSKVPFNAEPPRSALASSYVTPVDLFYKRNHGPIPVVDDIERYCVDICGLIGTPKKLYMRDVRMLPKYNVTATLQCAGNRRTAMSKTRKVRGVGWDVSAIGNAVWGGAKLADLLELIGIPKLTCSTQSGGKHVEFVSIDKCKVEENGGPYKASIPLSQATNPEADVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSVICSLEDVQSIKPGKVTISGYAVSGGGRGIERVDVSIDGGKTWLEASRSQKTGVPYIADHVSSDKWAWVLFEVTVDIPYSTEIVAKAVDSAANVQPENVQDIWNLRGILNTSWHRVQVRVGHSNM >KJB33979 pep chromosome:Graimondii2_0_v6:6:11646064:11650060:-1 gene:B456_006G041700 transcript:KJB33979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIKGPSDYSQEPPRHPSLQINSKVPFNAEPPRSALASSYVTPVDLFYKRNHGPIPVVDDIERYCVDICGLIGTPKKLYMRDVRMLPKYNVTATLQCAGNRRTAMSKTRKVRGVGWDVSAIGNAVWGGAKLADLLELIGIPKLTCSTQSGGKHVEFVSIDKCKEENGGPYKASIPLSQATNPEADVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSVICSLEDVQSIKPGKVTISGYAVSGGGRGIERVDVSIDGGKTWLEASRSQKTGVPYIADHVSSDKWAWVLFEVTVDIPYSTEIVAKAVHFFPFHFHAVRIWNAMINIRALVICSTCGSCKCMSSKVAIFQRHLLNPFRDRNRPFQY >KJB33975 pep chromosome:Graimondii2_0_v6:6:11645318:11650222:-1 gene:B456_006G041700 transcript:KJB33975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDVRMLPKYNVTATLQCAGNRRTAMSKTRKVRGVGWDVSAIGNAVWGGAKLADLLELIGIPKLTCSTQSGGKHVEFVSIDKCKEENGGPYKASIPLSQATNPEADVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSVICSLEDVQSIKPGKVTISGYAVSGGGRGIERVDVSIDGGKTWLEASRSQKTGVPYIADHVSSDKWAWVLFEVTVDIPYSTEIVAKAVDSAANVQPENVQDIWNLRGILNTSWHRVQVRVGHSNM >KJB33980 pep chromosome:Graimondii2_0_v6:6:11645318:11650313:-1 gene:B456_006G041700 transcript:KJB33980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIKGPSDYSQEPPRHPSLQINSKVPFNAEPPRSALASSYVTPVDLFYKRNHGPIPVVDDIERYCVDICGLIGTPKKLYMRDVRMLPKYNVTATLQCAGNRRTAMSKTRKVRGVGWDVSAIGNAVWGGAKLADLLELIGIPKLTCSTQSGGKHVEFVSIDKCKEENGGPYKASIPLSQATNPEADVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSVICSLEDVQSIKPGKVTISGYAVSGGGRGIERVDVSIDGGKTWLEASRSQKTGVPYIADHVSSDKWAWVLFEVTVDIPYSTEIVAKAVDSAANVQPENVQDIWNLRGILNTSWHRVQVRVGHSNM >KJB35543 pep chromosome:Graimondii2_0_v6:6:36862244:36865393:-1 gene:B456_006G118900 transcript:KJB35543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSEDAGRMLVMYDDPSDQRSLSLDETSSTEESPDETRLSLETTADSVPYIGQRFATHDAAYEFYSEYAKRCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPVKTLNDSKPQRNRKSSRCGCQAYLRISKVTDLGPAEWRVTGFVNHHNHELLEPNQVRFLPAYRTISDADKNRILMFAKTGISVQQMMRLMELEKCVEPGYLPFTEKDVRNLLQSFRKSDQEDESIDLLRMCRNNKDKDPNFKFEYTLDSNNRLDNIAWSYASSVQSYEIYGDAVVFDTTHRLTAFDMPLGIWVGVNNYGMPCFFGCVLLREENSMSFSWALKAFLGFMNGKAPQTILTDQNMCLKEAIAIEMPSTKHALCIWMIVAKFPSWFNAVLGERYNEWKAEFYRLYNLESIEDFELGWRDMVDFFGLHTNRHIANLFALRTLWALPYLRSHFFAGMTTTGHSKAINSFIQRFLSAQTRLAQFVEQVCSLYL >KJB35540 pep chromosome:Graimondii2_0_v6:6:36860619:36864369:-1 gene:B456_006G118900 transcript:KJB35540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSEDAGRMLVMYDDPSDQRSLSLDETSSTEESPDETRLSLETTADSVPYIGQRFATHDAAYEFYSEYAKRCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPVKTLNDSKPQRNRKSSRCGCQAYLRISKVTDLGPAEWRVTGFVNHHNHELLEPNQVRFLPAYRTISDADKNRILMFAKTGISVQQMMRLMELEKCVEPGYLPFTEKDVRNLLQSFRKSDQEDESIDLLRMCRNNKDKDPNFKFEYTLDSNNRLDNIAWSYASSVQSYEIYGDAVVFDTTHRLTAFDMPLGIWVGVNNYGMPCFFGCVLLREENSMSFSWALKAFLGFMNGKAPQTILTDQNMCLKEAIAIEMPSTKHALCIWMIVAKFPSWFNAVLGERYNEWKAEFYRLYNLESIEDFELGWRDMVDFFGLHTNRHIANLFALRTLWALPYLRSHFFAGMTTTGHSKAINSFIQRFLSAQTRLAQFVEQVAVAVDFKDQAAEQQTMQQNLQNICLKTGAPMESHAASVLTPFAFSKLQEQLVLAAHYASFQMDDASFQMDDGFLVRHHTKLEGGRKVYWVPREGIISCSCHQFEFSGILCRHALRVLSTGNCFQIPDRYLPLRWRRISTSPAKLLQSTSSDHTERIQLLQSMVSTLVTESAKSKERLDIATEEVSILLSRIREQPVASQGARDISPIHRNL >KJB35542 pep chromosome:Graimondii2_0_v6:6:36860230:36865393:-1 gene:B456_006G118900 transcript:KJB35542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSEDAGRMLVMYDDPSDQRSLSLDETSSTEESPDETRLSLETTADSVPYIGQRFATHDAAYEFYSEYAKRCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPVKTLNDSKPQRNRKSSRCGCQAYLRISKVTDLGPAEWRVTGFVNHHNHELLEPNQVRFLPAYRTISDADKNRILMFAKTGISVQQMMRLMELEKCVEPGYLPFTEKDVRNLLQSFRKSDQEDESIDLLRMCRNNKDKDPNFKFEYTLDSNNRLDNIAWSYASSVQSYEIYGDAVVFDTTHRLTAFDMPLGIWVGVNNYGMPCFFGCVLLREENSMSFSWALKAFLGFMNGKAPQTILTDQNMCLKEAIAIEMPSTKHALCIWMIVAKFPSWFNAVLGERYNEWKAEFYRLYNLESIEDFELGWRDMVDFFGLHTNRHIANLFALRTLWALPYLRSHFFAGMTTTGHSKAINSFIQRFLSAQTRLAQFVEQVAVAVDFKDQAAEQQTMQQNLQNICLKTGAPMESHAASVLTPFAFSKLQEQLVLAAHYASFQMDDASFQMDDGFLVRHHTKLEGGRKVYWVPREGIISCSCHQFEFSGILCRHALRVLSTGNCFQIPDRYLPLRWRRISTSPAKLLQSTSSDHTERIQLLQSMVSTLVTESAKSKERLDIATEEVSILLSRIREQPVASQGARDISPIHRNL >KJB35541 pep chromosome:Graimondii2_0_v6:6:36860619:36864369:-1 gene:B456_006G118900 transcript:KJB35541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSEDAGRMLVMYDDPSDQRSLSLDETSSTEESPDETRLSLETTADSVPYIGQRFATHDAAYEFYSEYAKRCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPVKTLNDSKPQRNRKSSRCGCQAYLRISKVTDLGPAEWRVTGFVNHHNHELLEPNQVRFLPAYRTISDADKNRILMFAKTGISVQQMMRLMELEKCVEPGYLPFTEKDVRNLLQSFRKSDQEDESIDLLRMCRNNKDKDPNFKFEYTLDSNNRLDNIAWSYASSVQSYEIYGDAVVFDTTHRLTAFDMPLGIWVGVNNYGMPCFFGCVLLREENSMSFSWALKAFLGFMNGKAPQTILTDQNMCLKEAIAIEMPSTKHALCIWMIVAKFPSWFNAVLGERYNEWKAEFYRLYNLESIEDFELGWRDMVDFFGLHTNRHIANLFALRTLWALPYLRSHFFAGMTTTGHSKAINSFIQRFLSAQTRLAQFVEQVAVAVDFKDQAAEQQTMQQNLQNICLKTGAPMESHAASVLTPFAFSKLQEQLVLAAHYASFQMDDASFQMDDGFLVRHHTKLEGGRKVYWVPREGIISCSCHQFEFSGILCRHALRVLSTGNCFQIPDRYLPLRWRRISTSPAKLLQSTSSDHTERIQLLQSMVSTLVTESAKSKERLDIATEEVSILLSRIREQPVASQGARDISPIHRNL >KJB35339 pep chromosome:Graimondii2_0_v6:6:35617550:35618830:-1 gene:B456_006G109800 transcript:KJB35339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVSPTQNLNSSVIRPSKPRRFHQLTRSFIRCVYHNDSVQFPNGVGLNRADWQSSCAILSSKVFSQDQGSGDKSTAPSNSDHLAAAVNGHKTSIDLNLVPIEKNNKPQPPTQKPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYLARVISHPQALSQCEHTLTKLGLNVTREAVDDTAGAAEYIAANNLRDTAAIASARAAELYGLQILADGIQDDSSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDANVGTAKHFEYMFYVDFEASMAEVRAQNALAEVQEFTSFLRVLGSYPMDMTPWCPSSGD >KJB35338 pep chromosome:Graimondii2_0_v6:6:35617260:35619239:-1 gene:B456_006G109800 transcript:KJB35338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSQLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYLARVISHPQALSQCEHTLTKLGLNVTREAVDDTAGAAEYIAANNLRDTAAIASARAAELYGLQILADGIQDDSSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDANVGTAKHFEYMFYVDFEASMAEVRAQNALAEVQEFTSFLRVLGSYPMDMTPWCPSSGD >KJB37517 pep chromosome:Graimondii2_0_v6:6:46364175:46366212:-1 gene:B456_006G208500 transcript:KJB37517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHEEKHEESLMVKLAEKIHGHDSSSDSDIDKPSESSIKAKVFRLFGRERSVHHVFGGGKSADTFLWRNKKISAGVLGVATVIWVLFELLEYHLLTLVCHVLIFALVILFLWSNAYAFINKSPLCIPEVHIPKDPVIEFAEALRFETNLAFTVFRDIASGRELKKFLYSLSCFTQYLCCMRNMRTRWIHLQRKQCMRLRNSMRCSMQMF >KJB33268 pep chromosome:Graimondii2_0_v6:6:955069:955308:-1 gene:B456_006G0049002 transcript:KJB33268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRWIFSLEDV >KJB33269 pep chromosome:Graimondii2_0_v6:6:955069:955315:-1 gene:B456_006G0049002 transcript:KJB33269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRIEMDFQLGGCIEPGEVYDK >KJB34388 pep chromosome:Graimondii2_0_v6:6:23414468:23416708:1 gene:B456_006G063500 transcript:KJB34388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPPQKKDGPPVASSIAASERIGVDRSVEKERKKDLPPPRKQFDDESRGGRAKRRSVLNQPEARNRVELFRHLPPHKNGTQLPSLESKFFELHEKHPAVYKVGLQYLAGDLSGGNARCIAMLQAFQEAIRDYSTPPEKTLTRDLTSKIGGFVSFLIECRPLSMSMGNAIRFLKSRIARLPLTLSESEAKTTLCSDIDRFINEKIVLADKVILRHAATKIRDGDVLLTYGSSCVVEMILLYAHELGKQFRVVVVDSHPMLEGQKLLRRLVVKGLSCTYTQLNAISYVMHKVTRVFLGASSIFSNGTVYSTVGTASVAMVAHAFRVPVLVCCEAYKFHERVQLDSICFNELGDPDAISKVPGRVDVNYLDNLTDKQKENLELLNLKYDATPSDYISVIVTDYGMIPPTSVPVIVREYRKEYLLI >KJB34389 pep chromosome:Graimondii2_0_v6:6:23413165:23416955:1 gene:B456_006G063500 transcript:KJB34389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARRMSRAVPRVRQVGFFTPNTPAQQPQPQSAAPSSESPPLSDSPASNSLSPVMIPPPRHLSDNLGGRTAAVPVPGREISGELPTVGSYNPSESVLWLDSPPRSRIGDEELSEGAESSLGWYRRSDSAKFASSLPSGGFNLSTAKHPESVAAVEAKNPLPEQSEVQKEQASSSKPLKAKTTKAERRALQESQRAAKAASRAEANKSGTPGGAPSSKPMKQPPQKKDGPPVASSIAASERIGVDRSVEKERKKDLPPPRKQFDDESRGGRAKRRSVLNQPEARNRVELFRHLPPHKNGTQLPSLESKFFELHEKHPAVYKVGLQYLAGDLSGGNARCIAMLQAFQEAIRDYSTPPEKTLTRDLTSKIGGFVSFLIECRPLSMSMGNAIRFLKSRIARLPLTLSESEAKTTLCSDIDRFINEKIVLADKVILRHAATKIRDGDVLLTYGSSCVVEMILLYAHELGKQFRVVVVDSHPMLEGQKLLRRLVVKGLSCTYTQLNAISYVMHKVTRVFLGASSIFSNGTVYSTVGTASVAMVAHAFRVPVLVCCEAYKFHERVQLDSICFNE >KJB34387 pep chromosome:Graimondii2_0_v6:6:23413010:23417050:1 gene:B456_006G063500 transcript:KJB34387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNPNIDFSHLCFLLFLQKSTKFFERQLSMDARRMSRAVPRVRQVGFFTPNTPAQQPQPQSAAPSSESPPLSDSPASNSLSPVMIPPPRHLSDNLGGRTAAVPVPGREISGELPTVGSYNPSESVLWLDSPPRSRIGDEELSEGAESSLGWYRRSDSAKFASSLPSGGFNLSTAKHPESVAAVEAKNPLPEQSEVQKEQASSSKPLKAKTTKAERRALQESQRAAKAASRAEANKSGTPGGAPSSKPMKQPPQKKDGPPVASSIAASERIGVDRSVEKERKKDLPPPRKQFDDESRGGRAKRRSVLNQPEARNRVELFRHLPPHKNGTQLPSLESKFFELHEKHPAVYKVGLQYLAGDLSGGNARCIAMLQAFQEAIRDYSTPPEKTLTRDLTSKIGGFVSFLIECRPLSMSMGNAIRFLKSRIARLPLTLSESEAKTTLCSDIDRFINEKIVLADKVILRHAATKIRDGDVLLTYGSSCVVEMILLYAHELGKQFRVVVVDSHPMLEGQKLLRRLVVKGLSCTYTQLNAISYVMHKVTRVFLGASSIFSNGTVYSTVGTASVAMVAHAFRVPVLVCCEAYKFHERVQLDSICFNELGDPDAISKVPGRVDVNYLDNLTDKQKENLELLNLKYDATPSDYISVIVTDYGMIPPTSVPVIVREYRKEYLLI >KJB35535 pep chromosome:Graimondii2_0_v6:6:36845646:36850486:1 gene:B456_006G118600 transcript:KJB35535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVEDQNPQQEEDQVVNPWEVSAKDGGKIDYDKLIDKFGCQRLDQSFVDRVQRLTSRPPHVFLRRGVFFAHRDFNDILDAYERGQKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKCMWKNLSVEESQRLARENAKDIIACGFDISKTFIFSDFDYVGGAFYKNMVKVAKCVTYNKVVGIFGFTGEDHIGKVSFPPVQAVPSFPSSFPHLFSGKDDLRCLIPCAIDQDPYFRMTRDVAPRIGYHKPALIESLFFPALQGETGKMSASDPNSAIYVTDSAKDIKYKVNKHAFTGGQETIEKHRQYGANLEVDIPIKYLNFFLEDDAELEHIKKEYGAGRMLTGEVKKRLIEVLTEIVERHRRARAAVTDEMVDAFMAVRPLPNMFD >KJB36039 pep chromosome:Graimondii2_0_v6:6:39453147:39457081:1 gene:B456_006G138100 transcript:KJB36039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLTLETEDTFASLLELAANNDVVGFKRSIQRDPSGVDEVGLWYGRPKGSKQMVNNERTPLMVAATYGSIDVIKLILSSSDADINRVCGHDKSTALHCAASGGASNAIDVVKLLLAAGADANLVDANGHLPIDVIVVPPKLQFAKLTLEQLLATKSSVLEQNLRVSTAVTNSGSNPPSPSRENGSPTSGLGSPQKLKSTNAPFSSTSEKKEYPIDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTAEELRPLYVSTGSAVLSPRSSTSGATAMDFAAALSLLPGSPSSVSVMSPSPFTPPMSPSANGMSHSNVAWPQPNVPALHLPGSNLQSSRLRSSLNARDIPTEDFNLLPDFDVQQQQLINELSSLSQPSMSSNSLNRSGRLKTLTPSNLDDLFSAENSSLQYSDQALAAAVYSPTHKSAVLNQFQQQQSMLSPINTNFSPKNIEHPLLQASLSGRMSPRNVEPISPMSSRVSMLVQREKQQQFRSLSSRELGSGSSATVGSPVNSWWGSSNGKPDWAVDADGSGKLRRSSSFELGNGDEPDLSWVQSLVKESPTEVKEKTGVPVSGVTLNASTGLGSSMNSRIDPVDTAVLGAWIEQMKLDELVAQQN >KJB36040 pep chromosome:Graimondii2_0_v6:6:39453147:39457081:1 gene:B456_006G138100 transcript:KJB36040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNERTPLMVAATYGSIDVIKLILSSSDADINRVCGHDKSTALHCAASGGASNAIDVVKLLLAAGADANLVDANGHLPIDVIVVPPKLQFAKLTLEQLLATKSSVLEQNLRVSTAVTNSGSNPPSPSRENGSPTSGLGSPQKLKSTNAPFSSTSEKKEYPIDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTAEELRPLYVSTGSAVLSPRSSTSGATAMDFAAALSLLPGSPSSVSVMSPSPFTPPMSPSANGMSHSNVAWPQPNVPALHLPGSNLQSSRLRSSLNARDIPTEDFNLLPDFDVQQQQLINELSSLSQPSMSSNSLNRSGRLKTLTPSNLDDLFSAENSSLQYSDQALAAAVYSPTHKSAVLNQFQQQQSMLSPINTNFSPKNIEHPLLQASLSGRMSPRNVEPISPMSSRVSMLVQREKQQQFRSLSSRELGSGSSATVGSPVNSWWGSSNGKPDWAVDADGSGKLRRSSSFELGNGDEPDLSWVQSLVKESPTEVKEKTGVPVSGVTLNASTGLGSSMNSRIDPVDTAVLGAWIEQMKLDELVAQQN >KJB36041 pep chromosome:Graimondii2_0_v6:6:39453192:39456861:1 gene:B456_006G138100 transcript:KJB36041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLTLETEDTFASLLELAANNDVVGFKRSIQRDPSGVDEVGLWYGRPKGSKQMVNNERTPLMVAATYGSIDVIKLILSSSDADINRVCGHDKSTALHCAASGGASNAIDVVKLLLAAGADANLVDANGHLPIDVIVVPPKLQFAKLTLEQLLATKSSVLEQNLRVSTAVTNSGSNPPSPSRENGSPTSGLGSPQKLKSTNAPFSSTSEKKEYPIDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTAEELRPLYVSTGSAVLSPRSSTSGATAMDFAAALSLLPGSPSSVSVMSPSPFTPPMSPSANGMSHSNVAWPQPNVPALHLPGSNLQSSRLRSSLNARDIPTEDFNLLPDFDVQQQQLINELSSLSQPSMSSNSLNRSGRLKTLTPSNLDDLFSAENSSLQYSDQALAAAVYSPTHKSAVLNQFQQQQSMLSPINTNFSPKNIEHPLLQASLSGRMSPRNVEPISPMSSRVSMLVQREKQQQFRSLSSRELGSGSSATVGSPVNSWWGSSNGKPDWAVDADGSGKLRRSSSFELGNGDEPDLSWVQSLVKESPTEVKEKTGVPVSGVTLNASTGLGSSMNSRIDPVDTAVLGAWIEQMKLDELVAQQN >KJB34509 pep chromosome:Graimondii2_0_v6:6:28183834:28186140:1 gene:B456_006G069700 transcript:KJB34509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFEKSIAKSPDALKVSGDSEAASALNKGFLATHFATLHPGSVTVNLGSSGFMAYSLDKQNPLLPRLFAVVDDIFCLFQGHIENVAVLKQQYGLNKTANEGIIVIEAYRTLRDRGPYPPDQVVRDIQGKFAFIIYDSSSKATFIAADADGSVPFFWGTDAEGHLVLADDRETVKKGCGKSFAPFPKGCFFTSSGGLRSYEHPLNELKPVPRVDSSGHVCGATFNVDVETKKESTGMKKVGSAADWSANY >KJB34508 pep chromosome:Graimondii2_0_v6:6:28183834:28186040:1 gene:B456_006G069700 transcript:KJB34508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFEKSIAKSPDALKVSGDSEAASALNKGFLATHFATLHPGSVTVNLGSSGFMAYSLDKQNPLLPRLFAVVDDIFCLFQGHIENVAVLKQQYGLNKTANEGIIVIEAYRTLRDRGPYPPDQVVRDIQGKFAFIIYDSSSKATFIAAMTGRLSRKAAGSLLLHSRKGASSHPREG >KJB33238 pep chromosome:Graimondii2_0_v6:6:753591:762468:-1 gene:B456_006G003600 transcript:KJB33238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVCLVEHGLCIKKSKAKGGAYSFAACYGIWDKDKRVETSKAISKRYINLETSLNRFPSPPVIRPRLTRRIFCNYDGSNRNNQTQSSSNSVQLYRDFERLLTETVRQSQSAWGGSSDWSQVEGAWVLKPKGLKPKSVVHFVGGIFVGAAPQLTYRLFLERLSEKGVLVIATPYASGFDYFFIADEVQSKFDRCLRFLQEIGRDLPTFGIGHSLGSVIHLLIGSRYAIPRSGNVLMAFNNQEASLALSWLSPVLVPMAQSIGPFLSQIASSPTIRMGAEMTLKQIESLSPPIMKQILPLVEQLPPLYMDLAKGREDFSPKPEEAQRLIKSYYGISRNLLIKFKDDSIDETPKLAKVLSSESAISSMLDMSIRSLPGDHGLPLQQVMLALPDVPPAMADAVNRGGELLVNLSAGTPWETVAKEVSTTLGVESSIIRAEISKDMNNLVEVITSWMASNSGTKLLRP >KJB33236 pep chromosome:Graimondii2_0_v6:6:753280:762292:-1 gene:B456_006G003600 transcript:KJB33236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCVFTSPSPFQLHTHIRSFSESLLRFPSPPVIRPRLTRRIFCNYDGSNRNNQTQSSSNSVQLYRDFERLLTETVRQSQSAWGGSSDWSQVEGAWVLKPKGLKPKSVVHFVGGIFVGAAPQLTYRLFLERLSEKGVLVIATPYASGFDYFFIADEVQSKFDRCLRFLQEIGRDLPTFGIGHSLGSVIHLLIGSRYAIPRSGNVLMAFNNQEASLALSWLSPVLVPMAQSIGPFLSQIASSPTIRMGAEMTLKQIESLSPPIMKQILPLVEQLPPLYMDLAKGREDFSPKPEEAQRLIKSYYGISRNLLIKFKDDSIDETPKLAKVLSSESAISSMLDMSIRSLPGDHGLPLQQALPDVPPAMADAVNRGGELLVNLSAGTPWETVAKEVSTTLGVESSIIRAEISKDMNNLVEVITSWMASNSGRDVQFHTHMISHELPPICNL >KJB33239 pep chromosome:Graimondii2_0_v6:6:753194:762509:-1 gene:B456_006G003600 transcript:KJB33239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVCLVEHGLCIKKSKAKGGAYSFAACYGIWDKDKRVETSKAISKRYINLETSLNRFPSPPVIRPRLTRRIFCNYDGSNRNNQTQSSSNSVQLYRDFERLLTETVRQSQSAWGGSSDWSQVEGAWVLKPKGLKPKSVVHFVGGIFVGAAPQLTYRLFLERLSEKGVLVIATPYASGFDYFFIADEVQSKFDRCLRFLQEIGRDLPTFGIGHSLGSVIHLLIGSRYAIPRSGNVLMAFNNQEASLALSWLSPVLVPMAQSIGPFLSQIASSPTIRMGAEMTLKQIESLSPPIMKQILPLVEQLPPLYMDLAKGREDFSPKPEEAQRLIKSYYGISRNLLIKFKDDSIDETPKLAKVLSSESAISSMLDMSIRSLPGDHGLPLQQALPDVPPAMADAVNRGGELLVNLSAGTPWETVAKEVSTTLGVESSIIRAEISKDMNNLVEVITSWMASNSGRDVQFHTHMISHELPPICNL >KJB33235 pep chromosome:Graimondii2_0_v6:6:753591:762468:-1 gene:B456_006G003600 transcript:KJB33235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVCLVEHGLCIKKSKAKGGAYSFAACYGIWDKDKRVETSKAISKRYINLETSLNRFPSPPVIRPRLTRRIFCNYDGSNRNNQTQSSSNSVQLYRDFERLLTETVRQSQSAWGGSSDWSQVEGAWVLKPKGLKPKSVVHFVGGIFVGAAPQLTYRLFLERLSEKGVLVIATPYASGFDYFFIADEVQSKFDRCLRFLQEIGRDLPTFGIGHSLGSVIHLLIGSRYAIPRSGNVLMAFNNQEASLALSWLSPVLVPMAQSIGPFLSQIASSPTIRMGAEMTLKQIESLSPPIMKQILPLVEQLPPLYMDLAKGREDFSPKPEEAQRLIKSYYGISRNLLIKFKDDSIDETPKLAKVLSSESAISSMLDMSIRSLPGDHGLPLQQALPDVPPAMADAVNRGGELLVNLSAGTPWETVAKEVSTTLGVESSIIRAEISKDMNNLVEVITSWMASNSGTKLLRP >KJB33240 pep chromosome:Graimondii2_0_v6:6:758281:762474:-1 gene:B456_006G003600 transcript:KJB33240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVCLVEHGLCIKKSKAKGGAYSFAACYGIWDKDKRVETSKAISKRYINLETSLNRFPSPPVIRPRLTRRIFCNYDGSNRNNQTQSSSNSVQLYRDFERLLTETVRQSQSAWGGSSDWSQVEGAWVLKPKGLKPKSVVHFVGGIFVGAAPQLTYRLFLERLSEKGVLVIATPYASGFDYFFIADEVQSKFDRCLRFLQEIGRDLPTFGIGHSLGSVIHLLIGSRYAIPRSGNVLMAFNNQEASLALSWLSPVLVPMAQSIGPFLSQIASSPTIRMGAEMTLKQIESLSPPIMKQILPLVEQLPPLYMDLAKGREDFSPKPEEAQRLVCKISNSFIPRLLHLTLLFILVYHISQPRQSVLSTCFVL >KJB33237 pep chromosome:Graimondii2_0_v6:6:753291:762474:-1 gene:B456_006G003600 transcript:KJB33237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVCLVEHGLCIKKSKAKGGAYSFAACYGIWDKDKRVETSKAISKRYINLETSLNRFPSPPVIRPRLTRRIFCNYDGSNRNNQTQSSSNSVQLYRDFERLLTETVRQSQSAWGGSSDWSQVEGAWVLKPKGLKPKSVVHFVGGIFVGAAPQLTYRLFLERLSEKGVLVIATPYASGFDYFFIADEVQSKFDRCLRFLQEIGRDLPTFGIGHSLGSVIHLLIGSRYAIPRSGNVLMAFNNQAEMTLKQIESLSPPIMKQILPLVEQLPPLYMDLAKGREDFSPKPEEAQRLIKSYYGISRNLLIKFKDDSIDETPKLAKVLSSESAISSMLDMSIRSLPGDHGLPLQQALPDVPPAMADAVNRGGELLVNLSAGTPWETVAKEVSTTLGVESSIIRAEISKDMNNLVEVITSWMASNSGTKLLRP >KJB34125 pep chromosome:Graimondii2_0_v6:6:16427474:16428737:1 gene:B456_006G048900 transcript:KJB34125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAYFCCRVVIDNNACEDATVIQVDSVNKHGILLEVVQVLTDMNLTITKAYISFDGGWFMVGKLGQ >KJB34123 pep chromosome:Graimondii2_0_v6:6:16427474:16428737:1 gene:B456_006G048900 transcript:KJB34123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAYFCCRVVIDNNACEDATVIQVDSVNKHGILLEVVQVLTDMNLTITKAYISFDGGWFMVGPSCI >KJB34122 pep chromosome:Graimondii2_0_v6:6:16427451:16428782:1 gene:B456_006G048900 transcript:KJB34122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAYFCCRVVIDNNACEDATVIQVDSVNKHGILLEVVQVLTDMNLTITKAYISFDGGWFMVVYNVVDNDGNKIRDKEVMDYIQSES >KJB34124 pep chromosome:Graimondii2_0_v6:6:16427474:16428737:1 gene:B456_006G048900 transcript:KJB34124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAYFCCRVVIDNNACEDATVIQVDSVNKHGILLEVVQVLTDMNLTITKAYISFDGGWFMVVYNVVDNDGNKIRDKEVMDYIQSES >KJB33786 pep chromosome:Graimondii2_0_v6:6:7896948:7899468:1 gene:B456_006G030500 transcript:KJB33786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIARTHDEDYETREFKTLVALAAMERRKDNGEFKGLTSHSSIVDLQDKLVCVTSGASFFGFALVNRLLLHGYFVRVLVANQEDVEKLREMEISGEMMGCKSKISVVVAKPNEIQGLMEAFDGCCGVFHTSSFADPAGLSGYSKSMAEMEGKASENVMKACARTPSVKHCVLTSSLLACIWRDNSQYEFPPLVNHNCWSNESLCVDKKLWYALGKLKAEKVAWKIAEEMSLNLTTICPGLITGHEFSYRNPTATIAYLKGAQEMYANGLLATVDVRRLAEAHVSVFEAMKTTTAFGRYICFDRIIQCEDEAEKLADEIGIPRNKISGNSSDCVFPNCFELSNKKLANLMSRTLRSCYGES >KJB36698 pep chromosome:Graimondii2_0_v6:6:43106176:43110062:1 gene:B456_006G171800 transcript:KJB36698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSRIGRSLSCSSSFNFKNNVISRNLLLNETHIPRPVGNACISHVSQGLGLLRGYFALAEAGKKLVLNARLSILDSILGNPRIRRLFSSGGPKKRRSVESFEEKLEEVLEALGIDPHNYVPVTYVSEVNRFQELLRFGPTLLLLGTFWFMGRRMQSGFEVGGPGGRGGRGIFNIGKAQITKMDKNAKDKVLFNDVAGCDEAKQEIMEFVHSLKNHKKYEELGAKIPKGAFLVGPPGTGKTLLAKATAGESGVPFLSMSGSDFMKMFVGVGPSRVRSLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMHGFGTTSGVVVFDGTNRPDILDRALLRPDIANVCNEAALIAARNGSAVITMEHFEAAVDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGIATLGFAQYVPNENLLMTKEQLSDVACMTLGGRAAKQVLLRKISTGAQSDLKKVTKMTYAQVAVYGFSDKVGLLSVPQRDAFEMTKPYSSKTGAIIDSEVREWVVKAYEPTMQLIEEHKEHVAQEDLIRILGKRPFKSSEPTNYERFKQGFQEENKEPKDTKDEGRTAGDDGSTPLKPEVVPA >KJB36191 pep chromosome:Graimondii2_0_v6:6:40895797:40896465:1 gene:B456_006G150200 transcript:KJB36191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKQAHLNGAYYGPSVPPAPANYRSHRRSSGCGCGCCLLKLLLKIIITLVIIIGLAVLIFWLIVRPNEVKFHVTDVSLNEFNLDGNNTLHYDLAVNITVRNPNRRIGVHYDRIEARAYYEDQRFDTQTLPSFYQGHKNTSFLNPVFKGKHLVFLGADETADFNEERASTIYSIDVKLYLRIRFKVGSVRTGRFRPKVSCDLKVPLSAANATFSTTKCDWDF >KJB38785 pep chromosome:Graimondii2_0_v6:6:50842571:50844850:-1 gene:B456_006G271400 transcript:KJB38785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFRAFLNSPVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTSAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWARAQG >KJB38783 pep chromosome:Graimondii2_0_v6:6:50841736:50843558:-1 gene:B456_006G271400 transcript:KJB38783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFRAFLNSPVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTSAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWARAQGYLSEKKDKATLQ >KJB38784 pep chromosome:Graimondii2_0_v6:6:50841694:50844928:-1 gene:B456_006G271400 transcript:KJB38784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFRAFLNSPVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTSAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWARAQGYLSEKKDKATLQ >KJB33211 pep chromosome:Graimondii2_0_v6:6:305977:311891:1 gene:B456_006G001000 transcript:KJB33211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGPSLFVASPLVTSTAGNSVRNRCLSSFRAMAVSEDQKSSPATVATRSTEKGRWLEEKNRKGRKEEEELTSNIYSNPQEITDENGNTLREYFQDCKDLMIQSKAGPPRWFTPLECGSHAPDSPLLLFLPGIDGMGLGLFKHHHKLGKIFEIWCLHIPVTDKTSFTDLVKLVERTVRSEYKRSPDRPIYIVGESLGACIALDIAARNPDVDLVLILANPATSFSRSQLQSLIPVLQVMPDQLSLYFSYMLNLASGDPLRMAFENVAKGLMPHQIVGELTQDLVAMSSYLCVLADMLPRETLLWKLQMLKSASSSANSHLHAVKNQALLLCSGKDQLFPSEEEAQRLYRMLPKCEKRVFEESGHFLFLEDGFDLVTAIKGASFYRQGKYLDYVSDYIPPTPYEFKKIYESNRWVLAVTSPVMLSTLEDGKVVRGLAGIPSEGPVLYVGYHMLLGFELAPLVLQFLMERNILLRGIAHPMMFTRAKEGRMLDLGSFDTYRLMGAVPVSGSNFYKLLSSKSHALLYPGGVREALHRKGEEYKLFWPEQSEFVRMASRFGAKIVPFGVVGEDDVGELVFDYNDQMQIPSLRTFIKELTEEVVHLRIDASGEVSNQDVYLPGVVPKLPGRFYYYFGKPIETKERKEELRNRERAHEVYLHVKTEVEKCIAYLKEKREEDPYRNLMTRLVYQATHGFEAQVPTFEL >KJB33213 pep chromosome:Graimondii2_0_v6:6:306022:311713:1 gene:B456_006G001000 transcript:KJB33213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGPSLFVASPLVTSTAGNSVRNRCLSSFRAMAVSEDQKSSPATVATRSTEKGRWLEEKNRKGRKEEEELTSNIYSNPQEITDENGNTLREYFQDCKDLMIQSKAGPPRWFTPLECGSHAPDSPLLLFLPGIDGMGLGLFKHHHKLGKIFEIWCLHIPVTDKTSFTDLVKLVERTVRSEYKRSPDRPIYIVGESLGACIALDIAARNPDVDLVLILANPATSFSRSQLQSLIPVLQVMPDQLSLYFSYMLNLASGDPLRMAFENVAKGLMPHQIVGELTQDLVAMSSYLCVLADMLPRETLLWKLQMLKSASSSANSHLHAVKNQALLLCSGKDQLFPSEEEAQRLYRMLPKCEKRVFEESGHFLFLEDGFDLVTAIKGASFYRQGKYLDYVSDYIPPTPYEFKKIYESNRWVLAVTSPVMLSTLEDGKVVRGLAGIPSEGPVLYVGYHMLLGFELAPLVLQFLMERNILLRGIAHPMMFTRAKEGRMLDLGSFDTYRLMGAVPVSGSNFYKLLSSKSHALLYPGGVREALHRKGEEYKLFWPEQSEFVRMASRFGAKIVPFGVVGEDDVGELVFDYNDQMQIPSLRTFIKELTEEVVHLRIDASGEVSNQDVYLPGVVPKLPGRFYYYFGKPIETKGKKS >KJB33212 pep chromosome:Graimondii2_0_v6:6:306022:311713:1 gene:B456_006G001000 transcript:KJB33212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGPSLFVASPLVTSTAGNSVRNRCLSSFRAMAVSEDQKSSPATVATRSTEKGRWLEEKNRKGRKEEEELTSNIYSNPQEITDENGNTLREYFQDCKDLMIQSKAGPPRWFTPLECGSHAPDSPLLLFLPGIDGMGLGLFKHHHKLGKIFEIWCLHIPVTDKTSFTDLVKLVERTVRSEYKRSPDRPIYIVGESLGACIALDIAARNPDVDLVLILANPGDPLRMAFENVAKGLMPHQIVGELTQDLVAMSSYLCVLADMLPRETLLWKLQMLKSASSSANSHLHAVKNQALLLCSGKDQLFPSEEEAQRLYRMLPKCEKRVFEESGHFLFLEDGFDLVTAIKGASFYRQGKYLDYVSDYIPPTPYEFKKIYESNRWVLAVTSPVMLSTLEDGKVVRGLAGIPSEGPVLYVGYHMLLGFELAPLVLQFLMERNILLRGIAHPMMFTRAKEGRMLDLGSFDTYRLMGAVPVSGSNFYKLLSSKSHALLYPGGVREALHRKGEEYKLFWPEQSEFVRMASRFGAKIVPFGVVGEDDVGELVFDYNDQMQIPSLRTFIKELTEEVVHLRIDASGEVSNQDVYLPGVVPKLPGRFYYYFGKPIETKERKEELRNRERAHEVYLHVKTEVEKCIAYLKEKREEDPYRNLMTRLVYQATHGFEAQVPTFEL >KJB34102 pep chromosome:Graimondii2_0_v6:6:16132371:16137790:-1 gene:B456_006G048100 transcript:KJB34102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESDRVARRWEDLDIDILVKIFQSFDIVELTSGIATVCTSWRMACCDPLLWRTLDLSMMKSNFIKIPLEPYVYVDARSDKTLNHLLKTSLSLSQGNIMTLIFHFNLYVSDDLLTYTAERCPRLRRLVMPAWNRIKKTGICKAIRIWQDLESLTMPSIANPPYLLEEIANNCKNFSELKVMGPFDNFFAATIITYLPKVRVLSLRCSMLVKDTLISILDELRNLEVLNISHCLLIEIPPPPAPRRIMRELDQCILDKASRLREFLTCMKDSCIMCQRTRNDEGLMRWYKYEEGVWKADEVSSLSL >KJB34103 pep chromosome:Graimondii2_0_v6:6:16132371:16136247:-1 gene:B456_006G048100 transcript:KJB34103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESDRVARRWEDLDIDILVKIFQSFDIVELTSGIATVCTSWRMACCDPLLWRTLDLSMMKSNFIKIPLEPYVYVDARSDKTLNHLLKTSLSLSQGNIMTLIFHFNLYVSDDLLTYTAERCPRLRRLVMPAWNRIKKTGICKAIRIWQDLESLTMPSIANPPYLLEEIANNCKNFSELKVMGPFDNFFAATIITYLPKVRVLSLRCSMLVKDTLISILDELRNLEVLNISHCLLIEIPPPPAPRRIMRELDQCILDKASRLREFLTCMKDSCIMCQRTRNDEGLMRWYKYEEGVWKADEVSSLSL >KJB34063 pep chromosome:Graimondii2_0_v6:6:14395757:14398618:1 gene:B456_006G046000 transcript:KJB34063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGKSHEETKSGEDENMAAWLVGLNTLKIQPFKLPPLGPHDARVRMKTMRCADFVVKEPMVIGHECAGIIEEVGSEVKNLVPGDRVALEPGISCWRCDLCKDGRYNLCPEMKFFATPPVHGSLAHQVVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRANIGPETNVLVMGAGPIGLVTMMAARAFGAPRIVIVDVDDNRLSVAKNLGADGIVKVSTNMQDVAEEVERICKAMGGGVDVSFDCAGFNKTMSTALSATCAGGRVCLVGMGHHEMTVPLTPAAAREVDVIGIFRYRNTWPLCIEFLRSGKIDVKPLITHRFGFSQKEVEEAFETSAGGGSAIKVMFNL >KJB34061 pep chromosome:Graimondii2_0_v6:6:14395683:14398675:1 gene:B456_006G046000 transcript:KJB34061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGKSHEETKSGEDENMAAWLVGLNTLKIQPFKLPPLGPHDARVRMKAVGICGSDVHYLKTMRCADFVVKEPMVIGHECAGIIEEVGSEVKNLVPGDRVALEPGISCWRCDLCKDGRYNLCPEMKFFATPPVHGSLAHQVVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRANIGPETNVLVMGAGPIGLVTMMAARAFGAPRIVIVDVDDNRLSVAKNLGADGIVKVSTNMQDVAEEVERICKAMGGGVDVSFDCAGFNKTMSTALSATCAGGRVCLVGMGHHEMTVPLTPAAAREVDVIGIFRYRNTWPLCIEFLRSGKIDVKPLITHRFGFSQKEVEEAFETSAGGGSAIKVMFNL >KJB34062 pep chromosome:Graimondii2_0_v6:6:14395886:14398152:1 gene:B456_006G046000 transcript:KJB34062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGKSHEETKSGEDENMAAWLVGLNTLKIQPFKLPPLGPHDARVRMKAVGICGSDVHYLKTMRCADFVVKEPMVIGHECAGIIEEVGSEVKNLVPGDRVALEPGISCWRCDLCKDGRYNLCPEMKFFATPPVHGSLAHQVVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRANIGPETNVLVMGAGPIGLVTMMAARAFGAPRIVIVDVDDNRLSVAKNLGADGIVKVSTNMQDVAEEVERICKAMGGGVDVSFDCAGFNKTMSTALSATCAGGRVCLVGMGHHEMTVPLTPAAARYLF >KJB34840 pep chromosome:Graimondii2_0_v6:6:32123967:32126229:1 gene:B456_006G086900 transcript:KJB34840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLLQLQRGVTDTEDKKQKAVCMERYRRNDDKEYRQLIDVDVEREEECGICMELNGKIVLPNCNHAMCLKCYREWRTRSQSCPFCRDSLKRVNSGDLWVFTDSRNIIDMATVTRENLRRLFLYIDKLPLIVPDTIFDTYDSHLR >KJB34842 pep chromosome:Graimondii2_0_v6:6:32124150:32126229:1 gene:B456_006G086900 transcript:KJB34842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLSCVKWPSCNARCRASDFPREYDGECLQMRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLLQLQRGVTDTEDKKQKAVCMERYRRNDDKEYRQLIDVDVEREEECGICMELNGKIVLPNCNHAMCLKCYREWRTRSQSCPFCRDSLKRVNSGDLWVFTDSRNIIDMATVTRENLRRLFLYIDKLPLIVPDTIFDTYDSHLR >KJB34839 pep chromosome:Graimondii2_0_v6:6:32122920:32126229:1 gene:B456_006G086900 transcript:KJB34839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIGSMRKSFKDSLKVLEADIQHANTLCRASDFPREYDGECLQMRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLLQLQRGVTDTEDKKQKAVCMERYRRNDDKEYRQLIDVDVEREEECGICMELNGKIVLPNCNHAMCLKCYREWRTRSQSCPFCRDSLKRVNSGDLWVFTDSRNIIDMATVTRENLRRLFLYIDKLPLIVPDTIFDTYDSHLR >KJB34841 pep chromosome:Graimondii2_0_v6:6:32123891:32126229:1 gene:B456_006G086900 transcript:KJB34841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLLQLQRGVTDTEDKKQKAVCMERYRRNDDKEYRQLIDVDVEREEECGICMELNGKIVLPNCNHAMCLKCYREWRTRSQSCPFCRDSLKRVNSGDLWVFTDSRNIIDMATVTRENLRRLFLYIDKLPLIVPDTIFDTYDSHLR >KJB34837 pep chromosome:Graimondii2_0_v6:6:32122547:32126229:1 gene:B456_006G086900 transcript:KJB34837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIGSMRKSFKDSLKVLEADIQHANTLASDFPREYDGECLQMRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLLQLQRGVTDTEDKKQKAVCMERYRRNDDKEYRQLIDVDVEREEECGICMELNGKIVLPNCNHAMCLKCYREWRTRSQSCPFCRDSLKRVNSGDLWVFTDSRNIIDMATVTRENLRRLFLYIDKLPLIVPDTIFDTYDSHLR >KJB34838 pep chromosome:Graimondii2_0_v6:6:32122787:32125427:1 gene:B456_006G086900 transcript:KJB34838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIGSMRKSFKDSLKVLEADIQHANTLASDFPREYDGECLQMRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLLQLQRGVTDTEDKKQKAVCMERYRRNDDKEYRQLIDVDVEREEECGICMELNGKIVLPNCNHAMCLKCYREWYSSSPCT >KJB33845 pep chromosome:Graimondii2_0_v6:6:8908707:8917636:1 gene:B456_006G033900 transcript:KJB33845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHLKAMRHGRALLRVSIDNHPQKSDYMLISVGAYVHPQNPVLHQGSSINFSVVGSDDQASGHWHSVNESVIVLHTQSGQAEAVGEGSTQVSFESSNVKLRTTVTVLPGSTLVVEAPKEMLTNVPFPSQGFSFSVKFSDTNDKINTVGSSKGAPYDCRVDPPFVGYAKPWIDLDTGNSFCLFFPYSPEHLVRTIPKLKDMKPYIYVSINASMKEHSHVSGSASALFVGGFSIMQMGKDIAQINLTPDFNKTIVTILGNTDVEIHWRGQDLLAINPIQKEGFGLSCHIDYEVKALSAKRFADKIIVKLPSTGQRVEVDVNYEPDEKSEATINFSFWAKVMGSIALTVITLIIGFICFLDRPLGSSQPPSTPLCSSSISAPVTPDRRSPLHLDEQSPRTPQPFVDYVRRTIDETPYYRREGRRRFNPQNTY >KJB33271 pep chromosome:Graimondii2_0_v6:6:967866:978081:1 gene:B456_006G005000 transcript:KJB33271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 24 [Source:Projected from Arabidopsis thaliana (AT5G63950) UniProtKB/Swiss-Prot;Acc:Q8W103] MADKEESNIRNAVSLNDSHYRFLHDLSAPPKPKPTKEESDEEEFQVRRRLCKQSHTDDSIPRFSEITDFDSPLEEEAKPVKVKIEGRRRLCKVSSRDGGGADKTSIPNEPNFSGICDFDSPLQTKNVCEGGSQIRDILNDLSSKLELMSIEKKTAPKRNIPEYGSAKSSFSSMSDPSDTSSGSSKNVGGGVQDVVDLREDDVYEEESKKLNVKLVSARQVFDSNVEEKEEKSESQSDFGNDTFVTRVHESKKNFQRLKKNEPKNAYERLMSVGRSFASKHGEKEDDNDCVVLSSKQGFKKAVKCGGNLKKSDQSEEADELDDSYSSEVDQPFILSGPNSTFKLPTKVAKMLYLHQREGLKWLWSLHCQGKGGILGDDMGLGKTMQICGFLAGLFHSKLIKRALIVAPKTLLSHWIKELSVVGLSGKTREYFATSAKTRQYELEDVLQNQGILLTTYDIVRNNSKALKGESCYRDDDEDEDDIIWDYMILDEGHLIKNPSTQRAKSLLDIPSAHRIVISGTPIQNNLKELWALFNFCCPELLGDNKWFKERYEHAILRGNEKNASEREKRIGSTVAKELRERIQPYFLRRLKKEVFGEDDTSTAKLSKKNEIIVWLKLTACQRRLYEAFLNSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEGMDSMLNPEDASVAEKLAMHVADVAETNDFQDNHDNLSCKISFLLSLLDTLIPEGHHVLIFSQTRKMLNHIQESLVLNDYKFLRIDGTTKASDRVKIVNDFQEGNGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQTKDVLVYRLMTCGTVEEKIYRKQVDEISEISCYPC >KJB33270 pep chromosome:Graimondii2_0_v6:6:967752:981201:1 gene:B456_006G005000 transcript:KJB33270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 24 [Source:Projected from Arabidopsis thaliana (AT5G63950) UniProtKB/Swiss-Prot;Acc:Q8W103] MADKEESNIRNAVSLNDSHYRFLHDLSAPPKPKPTKEESDEEEFQVRRRLCKQSHTDDSIPRFSEITDFDSPLEEEAKPVKVKIEGRRRLCKVSSRDGGGADKTSIPNEPNFSGICDFDSPLQTKNVCEGGSQIRDILNDLSSKLELMSIEKKTAPKRNIPEYGSAKSSFSSMSDPSDTSSGSSKNVGGGVQDVVDLREDDVYEEESKKLNVKLVSARQVFDSNVEEKEEKSESQSDFGNDTFVTRVHESKKNFQRLKKNEPKNAYERLMSVGRSFASKHGEKEDDNDCVVLSSKQGFKKAVKCGGNLKKSDQSEEADELDDSYSSEVDQPFILSGPNSTFKLPTKVAKMLYLHQREGLKWLWSLHCQGKGGILGDDMGLGKTMQICGFLAGLFHSKLIKRALIVAPKTLLSHWIKELSVVGLSGKTREYFATSAKTRQYELEDVLQNQGILLTTYDIVRNNSKALKGESCYRDDDEDEDDIIWDYMILDEGHLIKNPSTQRAKSLLDIPSAHRIVISGTPIQNNLKELWALFNFCCPELLGDNKWFKERYEHAILRGNEKNASEREKRIGSTVAKELRERIQPYFLRRLKKEVFGEDDTSTAKLSKKNEIIVWLKLTACQRRLYEAFLNSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEGMDSMLNPEDASVAEKLAMHVADVAETNDFQDNHDNLSCKISFLLSLLDTLIPEGHHVLIFSQTRKMLNHIQESLVLNDYKFLRIDGTTKASDRVKIVNDFQEGNGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQTKDVLVYRLMTCGTVEEKIYRKQIYKGGLFKTATEHKEQIRYFSQQDLRELFSLPKQGFDISLTQKQLHEEHDSQRKMDELLETHIKFLETLGIAGVSHHSLLFSKTAPVQVVEEEDEDIWKKENMVVRHSSSSSSVEQKPDGAVYAFKPKDIMMSRKSLSPIEVAKLTESEIKQRINRLSQIYANKITILRLPDKGAKIEKQIAELNAELQKMKTAEVTEKETEVGVDDITGQLQKVLNV >KJB33272 pep chromosome:Graimondii2_0_v6:6:968503:981201:1 gene:B456_006G005000 transcript:KJB33272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 24 [Source:Projected from Arabidopsis thaliana (AT5G63950) UniProtKB/Swiss-Prot;Acc:Q8W103] MSIEKKTAPKRNIPEYGSAKSSFSSMSDPSDTSSGSSKNVGGGVQDVVDLREDDVYEEESKKLNVKLVSARQVFDSNVEEKEEKSESQSDFGNDTFVTRVHESKKNFQRLKKNEPKNAYERLMSVGRSFASKHGEKEDDNDCVVLSSKQGFKKAVKCGGNLKKSDQSEEADELDDSYSSEVDQPFILSGPNSTFKLPTKVAKMLYLHQREGLKWLWSLHCQGKGGILGDDMGLGKTMQICGFLAGLFHSKLIKRALIVAPKTLLSHWIKELSVVGLSGKTREYFATSAKTRQYELEDVLQNQGILLTTYDIVRNNSKALKGESCYRDDDEDEDDIIWDYMILDEGHLIKNPSTQRAKSLLDIPSAHRIVISGTPIQNNLKELWALFNFCCPELLGDNKWFKERYEHAILRGNEKNASEREKRIGSTVAKELRERIQPYFLRRLKKEVFGEDDTSTAKLSKKNEIIVWLKLTACQRRLYEAFLNSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEGMDSMLNPEDASVAEKLAMHVADVAETNDFQDNHDNLSCKISFLLSLLDTLIPEGHHVLIFSQTRKMLNHIQESLVLNDYKFLRIDGTTKASDRVKIVNDFQEGNGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQTKDVLVYRLMTCGTVEEKIYRKQIYKGGLFKTATEHKEQIRYFSQQDLRELFSLPKQGFDISLTQKQLHEEHDSQRKMDELLETHIKFLETLGIAGVSHHSLLFSKTAPVQVVEEEDEDIWKKENMVVRHSSSSSSVEQKPDGAVYAFKPKDIMMSRKSLSPIEVAKLTESEIKQRINRLSQIYANKITILRLPDKGAKIEKQIAELNAELQKMKTAEVTEKETEVGVDDITGQLQKVLNV >KJB33273 pep chromosome:Graimondii2_0_v6:6:967866:981149:1 gene:B456_006G005000 transcript:KJB33273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 24 [Source:Projected from Arabidopsis thaliana (AT5G63950) UniProtKB/Swiss-Prot;Acc:Q8W103] MSIEKKTAPKRNIPEYGSAKSSFSSMSDPSDTSSGSSKNVGGGVQDVVDLREDDVYEEESKKLNVKLVSARQVFDSNVEEKEEKSESQSDFGNDTFVTRVHESKKNFQRLKKNEPKNAYERLMSVGRSFASKHGEKEDDNDCVVLSSKQGFKKAVKCGGNLKKSDQSEEADELDDSYSSEVDQPFILSGPNSTFKLPTKVAKMLYLHQREGLKWLWSLHCQGKGGILGDDMGLGKTMQICGFLAGLFHSKLIKRALIVAPKTLLSHWIKELSVVGLSGKTREYFATSAKTRQYELEDVLQNQGILLTTYDIVRNNSKALKGESCYRDDDEDEDDIIWDYMILDEGHLIKNPSTQRAKSLLDIPSAHRIVISGTPIQNNLKELWALFNFCCPELLGDNKWFKERYEHAILRGNEKNASEREKRIGSTVAKELRERIQPYFLRRLKKEVFGEDDTSTAKLSKKNEIIVWLKLTACQRRLYEAFLNSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEGMDSMLNPEDASVAEKLAMHVADVAETNDFQDNHDNLSCKISFLLSLLDTLIPEGHHVLIFSQTRKMLNHIQESLVLNDYKFLRIDGTTKASDRVKIVNDFQEGNGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQTKDVLVYRLMTCGTVEEKIYRKQIYKGGLFKTATEHKEQIRYFSQQDLRELFSLPKQGFDISLTQKQLHEEHDSQRKMDELLETHIKFLETLGIAGVSHHSLLFSKTAPVQVVEEEDEDIWKKENMVVRHSSSSSSVEQKPDGAVYAFKPKDIMMSRKSLSPIEVAKLTESEIKQRINRLSQIYANKITILRLPDKGAKIEKQIAELNAELQKMKTAEVTEKETEVGVDDITGQLQKVLNV >KJB37226 pep chromosome:Graimondii2_0_v6:6:45120047:45121664:1 gene:B456_006G194500 transcript:KJB37226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFTTDMQNFKQSFSFMDIDPATMESPLNQYGGELNQSVIDNSALNFQTFFPFSNETFFSNQVAEIAGNCRANPPSYFHDSNHKGSITALSVAHSSFVTSRNEFHDSNKRKSLDLSESSYGNSSSPWIKRINNLGRGKRAKSNEKGENKPKDVVHVRARRGEATDSHSLAERVRRGKINERLRCLQDIVPGCYKTMGMAVMLDEIINYVQSLQNQVEFLSMKLTAASTYYDFNSDTDAVERMQVLPREKAQGAKDELDKFMREGDVERLACFHSSTIWSSLT >KJB37225 pep chromosome:Graimondii2_0_v6:6:45120047:45121664:1 gene:B456_006G194500 transcript:KJB37225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFTTDMQNFKQSFSFMDIDPATMESPLNQYGGELNQSVIDNSALNFQTFFPFSNETFFSNQVAEIAGNCRANPPSYFHDSNHKGSITALSVAHSSFVTSRNEFHDSNKRKSLDLSESSYGNSSSPWIKRINNLGRGKRAKSNEKGENKPKDVVHVRARRGEATDSHSLAERVRRGKINERLRCLQDIVPGCYKTMGMAVMLDEIINYVQSLQNQVEFLSMKLTAASTYYDFNSDTDAVERMQREKAQGAKDELDKFMREGDVERLACFHSSTIWSSLT >KJB37580 pep chromosome:Graimondii2_0_v6:6:46620503:46622189:-1 gene:B456_006G211700 transcript:KJB37580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLFIWILSFFILIALIVLLVYQLMCLADLEFDYINPYDSSSRINSVVLPEFVVQGILCLFYLLTGHWIMALISAPYLYYNVRLLKTIDVRGGAISGED >KJB37579 pep chromosome:Graimondii2_0_v6:6:46619469:46622189:-1 gene:B456_006G211700 transcript:KJB37579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLFIWILSFFILIALIVLLVYQLMCLADLEFDYINPYDSSSRINSVVLPEFVVQGILCLFYLLTGHWIMALISAPYLYYNVRLYAQRQHLVDVTEIFNLLHREKKRRLFKLAYLVVLLFFDIFWMIWSAIEDDDD >KJB37582 pep chromosome:Graimondii2_0_v6:6:46620745:46622070:-1 gene:B456_006G211700 transcript:KJB37582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLFIWILSFFILIALIVLLVYQLMCLADLEFDYINPYDSSSRINSVVLPEFVVQGILCLFYLLTGHWIMALISAPYLYYNVRLWTQ >KJB37581 pep chromosome:Graimondii2_0_v6:6:46620977:46621861:-1 gene:B456_006G211700 transcript:KJB37581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLFIWILSFFILIALIVLLVYQLMCLADLEFDYINPYDSSSRINSVVLPEFVVQGILCLFYLLTGHWIMALISAPYLYYNVRLD >KJB33377 pep chromosome:Graimondii2_0_v6:6:1743859:1755080:-1 gene:B456_006G008500 transcript:KJB33377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLFRSEEEGNWEGEEVMENSVAEHQRSEASSSSSDPSNHAQVCIEMEKSIEVSLVHQSRRPNLSSLQIPLRSLESSFYDFTTVDIPSDPSPSSTKAGLPPRPHSAKIRSSVRNLLPQRSFRVNNLCQKGEKMVLLVPETPPSDSSMEKPSTSRSFSLNKVLFPSKKVAHSLPVTPIAYSGPKPIPERHIDAQSHVTNSVVQPHSMTRSLSVPVNVKPISVRRADSGRGLVRVISATPRPAALEGSLPDDAPSTEITSEDAGEDIPEEEAVCRICLVELGEGGETLKMECSCKGELALAHKDCAVKWFSIKGNKTCDVCKQDVQNLPVTLLKVNDPRIVIRRPPTVPQQAQVSGYRVWQDIPVLVMVSILAYFCFLEQLLVSDLGPRALAISLPFSCALGLLSSMIASTMVSRSYIWAYASFQFAMVILFAHIFYTVLAVNPILSVLLSSFTGFGIAISTNSVLVEYLRWRIRRETQPRQQHINGTLQQ >KJB33376 pep chromosome:Graimondii2_0_v6:6:1743859:1755071:-1 gene:B456_006G008500 transcript:KJB33376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLFRSEEEGNWEGEEVMENSVAEHQRSEASSSSSDPSNHAQVCIEMEKSIEVSLVHQSRRPNLSSLQIPLRSLESSFYDFTTVDIPSDPSPSSTKAGLPPRPHSAKIRSSVRNLLPQRSFRVNNLCQKGEKMVLLVPETPPSDSSMEKPSTSRSFSLNKVLFPSKKVAHSLPVTPIAYSGPKPIPERHIDAQSHVTNSVVQPHSMTRSLSVPVNVKPISVRRADSGRGLVRVISATPRPAALEGSLPDDAPSTEINAGEDIPEEEAVCRICLVELGEGGETLKMECSCKGELALAHKDCAVKWFSIKGNKTCDVCKQDVQNLPVTLLKVNDPRIVIRRPPTVPQQAQVSGYRVWQDIPVLVMVSILAYFCFLEQLLVSDLGPRALAISLPFSCALGLLSSMIASTMVSRSYIWAYASFQFAMVILFAHIFYTVLAVNPILSVLLSSFTGFGIAISTNSVLVEYLRWRIRRETQPRQQHINGTLQQ >KJB36421 pep chromosome:Graimondii2_0_v6:6:41784862:41788612:-1 gene:B456_006G158500 transcript:KJB36421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVSIKARQIFDSRGNPTVEVDVETSNGKKARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALIGKDPTQQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVLNIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYGSADKTYDLNFKEEKNDGSQKISGDALKDLYKSFVSEYPIESIEDPFDQDDWEHYSKLTNEIGTKVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKKAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGSDAIYAGASFRAPVEPY >KJB36423 pep chromosome:Graimondii2_0_v6:6:41784846:41788612:-1 gene:B456_006G158500 transcript:KJB36423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVSIKARQIFDSRGNPTVEVDVETSNGKKARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALIGKDPTQQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVLNIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYGSADKTYDLNFKEEISGDALKDLYKSFVSEYPIESIEDPFDQDDWEHYSKLTNEIGTKVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKKAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGSDAIYAGASFRAPVEPY >KJB36420 pep chromosome:Graimondii2_0_v6:6:41784690:41788655:-1 gene:B456_006G158500 transcript:KJB36420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVSIKARQIFDSRGNPTVEVDVETSNGKKARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALIGKDPTQQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVLNIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYGSADKTYDLNFKEEKNDGSQKISGDALKDLYKSFVSEYPIESIEDPFDQDDWEHYSKLTNEIGTKVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKKAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGSDAIYAGASFRAPVEPY >KJB36424 pep chromosome:Graimondii2_0_v6:6:41784822:41788612:-1 gene:B456_006G158500 transcript:KJB36424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVSIKARQIFDSRGNPTVEVDVETSNGKKARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALIGKDPTQQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVLNIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYGSADKTYDLNFKEEKNDGSQKISGDALKDLYKSFVSEYPIESIEDPFDQDDWEHYSKLTNEIGTKVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKKAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGSDAIYAGASFRAPVEPY >KJB36425 pep chromosome:Graimondii2_0_v6:6:41785629:41788526:-1 gene:B456_006G158500 transcript:KJB36425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVSIKARQIFDSRGNPTVEVDVETSNGKKARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALIGKDPTQQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVLNIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYGSADKTYDLNFKEEKNDGSQKISGDALKDLYKSFVSEYPIESIEDPFDQDDWEHYSKLTNEIGTKVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKKAGWGVMASHRRFQ >KJB36422 pep chromosome:Graimondii2_0_v6:6:41784846:41788612:-1 gene:B456_006G158500 transcript:KJB36422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVSIKARQIFDSRGNPTVEVDVETSNGKKARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIGPALIGKDPTQQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVLNIPLYKHIANLAAGYTGKVVIGMDVAASEFYGSADKTYDLNFKEEKNDGSQKISGDALKDLYKSFVSEYPIESIEDPFDQDDWEHYSKLTNEIGTKVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKKAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGSDAIYAGASFRAPVEPY >KJB38390 pep chromosome:Graimondii2_0_v6:6:49602180:49609318:-1 gene:B456_006G252900 transcript:KJB38390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSTRLDSVVFQLTPTRTRCDLVISANGKTQKMASGLLNPFLAHLKTAQEQMAKGGYSIILQPDPTIDATWFSKGTVERFVRFVSTPEILERVYSVESEILQIEEAIAIQSNNNIGSSAVEENQVKPLESLEGSRATSDSSDEKAIVLYSPGPLPPEANASSVEGNSKAQLLKVLETRKTVLQKEQSMAFARAVAAGFDIDNMAPLMSFAETFGASRLRDACIKFTELWKKKHETGQWLEIEAAEAMSSQSDFAAMSASGIVFSENNGKSGVESSTDGKVPMDQQTLSHQEYYQAQYPHPMFPPWPIHSPPGGMPPFQGYPMQGMPYYPNYPGGSPFFQQPYPSAEDPRLNAGKRIQKRHSMESRDSHSGSDTWETERAKSQENEELDNETSLSPKPSKKSSRSGKKQSGMVVIRNINYITSKRENSSGSESQSHSESEVDMEDGNIEHKNSQRSSKRKGSRTKSVDEYDREETVHGKETDGGHWQAFQNYLLRDAEDEERRTDQGMFSTDKEFKGKRRPNRVNESEYPLVSGGREMGQFEEGNTTDMHEISASGSRMRIASNDQSLIIRRGGHSADDGRFFIDGEMDGRRGYRRNINDDFIVDRQQNPSDFTNSPPDPQTFNRFENSPNISERRPSNNINDDSYIVSLRSTSATQAGTDDRSAINMDSDFSLSLQKAENISNRAGSQVNYELDDLSLIPERGAEMGSIGYDPALDYEMQVHAESGTKKNKDVMQGSKKSEKDRKSKLTSDPSDKKKTIGPIRKGKPSKPSPLDEAKVRAERLRTYKADLQKLKKEKEEEEIRRLEALKMARQKRIAARGSSVPAQSSVASQSKKQLPSKLSPSTHKGSKFTDGEPGPSSPLQRSIKPASVGSVYSHKASKPSKLNGGTPSRGNRLSRSVSSLLEPKKDIGGVTPDTKASMARIRRLSEPKTSSSPLVSSVKSRHSEPKSKTKVSGVPESKKISAIMNHDKSKIASLPELKIKTTKDPNVTDNKSGGNGMTQKVSGNTSSTIDVAEPSRNTDKGSLNIDGDDGTVIEKTVVMLESIPTVNSSEATTLEQKESNGIFKIGRETEMVSDYAAIRAPVSSSNLDAVDKEHQIQPKPQAYEIQKGNVSNTDKELSKFTSTSVTEKQYQAPLARVSSLEDPCTEISEYDKAPPTSMQVATTDSENVRALVADTKNLKLEKIPEVLDKSQVKESSKGFRRLLKFGKRNHSSARSEHSIDSNNEADELVANGASSSEVQSLKNLISQDETPTADNTPQKSSRTFSLLSPFRSKTSEKKYMA >KJB38392 pep chromosome:Graimondii2_0_v6:6:49603628:49608989:-1 gene:B456_006G252900 transcript:KJB38392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSTRLDSVVFQLTPTRTRCDLVISANGKTQKMASGLLNPFLAHLKTAQEQMAKGGYSIILQPDPTIDATWFSKGTVERFVRFVSTPEILERVYSVESEILQIEEAIAIQSNNNIGSSAVEENQVKPLESLEGSRATSDSSDEKAIVLYSPGPLPPEANASSVEGNSKAQLLKVLETRKTVLQKEQSMAFARAVAAGFDIDNMAPLMSFAETFGASRLRDACIKFTELWKKKHETGQWLEIEAAEAMSSQSDFAAMSASGIVFSENNGKSGVESSTDGKVPMDQQTLSHQEYYQAQYPHPMFPPWPIHSPPGGMPPFQGYPMQGMPYYPNYPGGSPFFQQPYPSAEDPRLNAGKRIQKRHSMESRDSHSGSDTWETERAKSQENEELDNETSLSPKPSKKSSRSGKKQSGMVVIRNINYITSKRENSSGSESQSHSESEVDMEDGNIEHKNSQRSSKRKGSRTKSVDEYDREETVHGKETDGGHWQAFQNYLLRDAEDEERRTDQGMFSTDKEFKGKRRPNRVNESEYPLVSGGREMGQFEEGNTTDMHEISASGSRMRIASNDQSLIIRRGGHSADDGRFFIDGEMDGRRGYRRNINDDFIVDRQQNPSDFTNSPPDPQTFNRFENSPNISERRPSNNINDDSYIVSLRSTSATQAGTDDRSAINMDSDFSLSLQKAENISNRAGSQVNYELDDLSLIPERGAEMGSIGYDPALDYEMQVHAESGTKKNKDVMQGSKKSEKDRKSKLTSDPSDKKKTIGPIRKGKPSKPSPLDEAKVRAERLRTYKADLQKLKKEKEEEEIRRLEALKMARQKRIAARGSSVPAQSSVASQSKKQLPSKLSPSTHKGSKFTDGEPGPSSPLQRSIKPASVGSVYSHKASKPSKLNGGTPSRGNRLSRSVSSLLEPKKDIGGVTPDTKASMARIRRLSEPKTSSSPLVSSVKSRHSEPKSKTKVSGVPESKKISAIMNHDKSKIASLPELKIKTTKDPNVTDNKSGGNGMTQKVSGNTSSTIDVAEPSRNTDKGSLNIDGDDGTVIEKTVVMLESIPTVNSSEATTLEQKESNGIFKIGRETEMVSDYAAIRAPVSSSNLDAVDKEHQIQPKPQAYEVWFIRP >KJB38391 pep chromosome:Graimondii2_0_v6:6:49602242:49609318:-1 gene:B456_006G252900 transcript:KJB38391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSTRLDSVVFQLTPTRTRCDLVISANGKTQKMASGLLNPFLAHLKTAQEQMAKGGYSIILQPDPTIDATWFSKGTVERFVRFVSTPEILERVYSVESEILQIEEAIAIQSNNNIGSSAVEENQVKPLESLEGSRATSDSSDEKAIVLYSPGPLPPEANASSVEGNSKAQLLKVLETRKTVLQKEQSMAFARAVAAGFDIDNMAPLMSFAETFGASRLRDACIKFTELWKKKHETGQWLEIEAAEAMSSQSDFAAMSASGIVFSENNGKSGVESSTDGKVPMDQQTLSHQEYYQAQYPHPMFPPWPIHSPPGGMPPFQGYPMQGMPYYPNYPGGSPFFQQPYPSAEDPRLNAGKRIQKRHSMESRDSHSGSDTWETERAKSQENEELDNETSLSPKPSKKSSRSGKKQSGMVVIRNINYITSKRENSSGSESQSHSESEVDMEDGNIEHKNSQRSSKRKGSRTKSVDEYDREETVHGKETDGGHWQAFQNYLLRDAEDEERRTDQGMFSTDKEFKGKRRPNRVNESEYPLVSGGREMGQFEEGNTTDMHEISASGSRMRIASNDQSLIIRRGGHSADDGRFFIDGEMDGRRGYRRNINDDFIVDRQQNPSDFTNSPPDPQTFNRFENSPNISERRPSNNINDDSYIVSLRSTSATQAGTDDRSAINMDSDFSLSLQKAENISNRAGSQVNYELDDLSLIPERGAEMGSIGYDPALDYEMQVHAESGTKKNKDVMQGSKKSEKDRKSKLTSDPSDKKKTIGPIRKGKPSKPSPLDEAKVRAERLRTYKADLQKLKKEKEEEEIRRLEALKMARQKRIAARGSSVPAQSSVASQSKKQLPSKLSPSTHKGSKFTDGEPGPSSPLQRSIKPASVGSVYSHKASKPSKLNGGTPSRGNRLSRSVSSLLEPKKDIGGVTPDTKASMARIRRLSEPKTSSSPLVSSVKSRHSEPKSKTKVSGVPESKKISAIMNHDKSKIASLPELKIKTTKDPNVTDNKSGGNGMTQKVSGNTSSTIDVAEPSRNTDKGSLNIDGDDGTVIEKTVVMLESIPTVNSSEATTLEQKESNGIFKIGRETEMVSDYAAIRAPVSSSNLDAVDKEHQIQPKPQAYEGNVSNTDKELSKFTSTSVTEKQYQAPLARVSSLEDPCTEISEYDKAPPTSMQVATTDSENVRALVADTKNLKLEKIPEVLDKSQVKESSKGFRRLLKFGKRNHSSARSEHSIDSNNEADELVANGASSSEVQSLKNLISQDETPTADNTPQKSSRTFSLLSPFRSKTSEKKYMA >KJB38393 pep chromosome:Graimondii2_0_v6:6:49602272:49609183:-1 gene:B456_006G252900 transcript:KJB38393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSTRLDSVVFQLTPTRTRCDLVISANGKTQKMASGLLNPFLAHLKTAQEQMAKGGYSIILQPDPTIDATWFSKGTVERFVRFVSTPEILERVYSVESEILQIEEAIAIQSNNNIGSSAVEENQVKPLESLEGSRATSDSSDEKAIVLYSPGPLPPEANASSVEGNSKAQLLKVLETRKTVLQKEQSMAFARAVAAGFDIDNMAPLMSFAETFGASRLRDACIKFTELWKKKHETGQWLEIEAAEAMSSQSDFAAMSASGIVFSENNGKSGVESSTDGKVPMDQQTLSHQEYYQAQYPHPMFPPWPIHSPPGGMPPFQGYPMQGMPYYPNYPGGSPFFQQPYPSAEDPRLNAGKRIQKRHSMESRDSHSGSDTWETERAKSQENEELDNETSLSPKPSKKSSRSGKKQSGMVVIRNINYITSKRENSSGSESQSHSESEVDMEDGNIEHKNSQRSSKRKGSRTKSVDEYDREETVHGKETDGGHWQAFQNYLLRDAEDEERRTDQGMFSTDKEFKGKRRPNRVNESEYPLVSGGREMGQFEEGNTTDMHEISASGSRMRIASNDQSLIIRRGGHSADDGRFFIDGEMDGRRGYRRNINDDFIVDRQQNPSDFTNSPPDPQTFNRFENSPNISERRPSNNINDDSYIVSLRSTSATQAGTDDRSAINMDSDFSLSLQKAENISNRAGSQVNYELDDLSLIPERGAEMGSIGYDPALDYEMQVHAESGTKKNKDVMQGSKKSEKDRKSKLTSDPSDKKKTIGPIRKGKPSKPSPLDEAKVRAERLRTYKADLQKLKKEKEEEEIRRLEALKMARQKRIAARGSSVPAQSSVASQSKKQLPSKLSPSTHKGSKFTDGEPGPSSPLQRSIKPASVGSVYSHKASKPSKLNGGTPSRGNRLSRSVSSLLEPKKDIGGVTPDTKASMARIRRLSEPKTSSSPLVSSVKSRHSEPKSKTKVSGVPESKKISAIMNHDKSKIASLPELKIKTTKDPNVTDNKSGGNGMTQKVSGNTSSTIDVAEPSRNTDKGSLNIDGDDGTVIEKTVVMLESIPTVNSSEATTLEQKESNGIFKIGRETEMVSDYAAIRAPVSSSNLDAVDKEHQIQPKPQAYEVATTDSENVRALVADTKNLKLEKIPEVLDKSQVKESSKGFRRLLKFGKRNHSSARSEHSIDSNNEADELVANGASSSEVQSLKNLISQDETPTADNTPQKSSRTFSLLSPFRSKTSEKKYMA >KJB34962 pep chromosome:Graimondii2_0_v6:6:32987719:32989992:1 gene:B456_006G092600 transcript:KJB34962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVRFSSQKAPVHKLGDSQMTLSPKFRLAVIQSSLLNPSLEFELSLQGEPLIPGLPDDVALNCLLRLPVENHGACRVVCKRWHLLLGNKERFFTRRKELGFKDPWLFVFAFHKCTGKIQWQVLDLTHFSWHTIPAMPCKDKVCPHGFRCVSIPREGSLFVCGGMVSDVDCPLDMVLKYEIHKNRWTVMNKMNTARSFFASEVINGMIYVAGGNSGDLFELDSAEVLDPEKGNWHSIASMGTNMASYDSAVLNGKLLVTEGWLWPFFVSPRGQVYDPRTNNWESMAVGLREGWTGSSVVVYGHLFVVSELERMKLKVYDPDSDSWETIEGPPLPEQIRKPFAVNACDNKIYVVGRNLHVAVGYISSLNQTSNSEKKWSFRVRWLVIDAPDRLSDLTPSSSQVLFA >KJB34963 pep chromosome:Graimondii2_0_v6:6:32987714:32989992:1 gene:B456_006G092600 transcript:KJB34963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVRFSSQKAPVHKLGDSQMTLSPKFRLAVIQSSLLNPSLEFELSLQGEPLIPGLPDDVALNCLLRLPVENHGACRVVCKRWHLLLGNKERFFTRRKELGFKDPWLFVFAFHKCTGKIQWQVLDLTHFSWHTIPAMPMGTNMASYDSAVLNGKLLVTEGWLWPFFVSPRGQVYDPRTNNWESMAVGLREGWTGSSVVVYGHLFVVSELERMKLKVYDPDSDSWETIEGPPLPEQIRKPFAVNACDNKIYVVGRNLHVAVGYISSLNQTSNSEKKWSFRVRWLVIDAPDRLSDLTPSSSQVLFA >KJB34964 pep chromosome:Graimondii2_0_v6:6:32987616:32990426:1 gene:B456_006G092600 transcript:KJB34964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVRFSSQKAPVHKLGDSQMTLSPKFRLAVIQSSLLNPSLEFELSLQGEPLIPGLPDDVALNCLLRLPVENHGACRVVCKRWHLLLGNKERFFTRRKELGFKDPWLFVFAFHKCTGKIQWQVLDLTHFSWHTIPAMPCKDKVCPHGFRCVSIPREGSLFVCGGMVSDVDCPLDMVLKYEIHKNRWTVMNKMNTARSFFASEVINGMIYVAGGNSGDLFELDSAEVLDPEKGNWHSIASMGTNMASYDSAVLNGKLLVTEGWLWPFFVSPRGQVYDPRTNNWESMAVGLREGWTGSSVVVYGHLFVVSELERMKLKVYDPDSDSWETIEGPPLPEQIRKPFAVNACDNKIYVVGRNLHVAVGYISSLNQTSNSEKKWSFRVRWLVIDAPDRLSDLTPSSSQVLFA >KJB37275 pep chromosome:Graimondii2_0_v6:6:45346664:45349347:-1 gene:B456_006G196600 transcript:KJB37275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDCVSKIPSMPHHPKDEKREEQKQLVFDASVLKYQPDIPKQFIWPDHEKPNVNAPELQVPFIDLGGFLSGDPVSAMEASRLVGEACRQHGFFLVVNHGVDATLVADAHSYMGNFFELPLNDKQRAQRKLGEHCGYASSFTGRFSSKLPWKETLSFRYSADKKSSKIVEDYLDSKLGDEFKHFGRVYQDYCEAMSKLSLGIMELLAISLGVGRSHFREFFEENESIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDRVGGLQVFVDNEWRSISPNAEAFVVNIGDTFMALSNGRYKSCLHRAVVNRHIPRKSLAFFLCPKGDKVVAPPTELVDAYNPRVYPDFTWPMLLEFTQKHYRADMNTLEVFSNWVQQRNS >KJB38662 pep chromosome:Graimondii2_0_v6:6:50489961:50493076:1 gene:B456_006G266100 transcript:KJB38662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAHVCFFIWILAILTFYQHPINVNARYHYHKKQQKTKGSPFIDEAPAPAPALVPSTSADPPSIPSDPYPTDPGDTSDCIFDVTAYGAVGDGSSDDTAAFREAWKAACSVESATILVPSDNIFTITSTIFSGPCKPGLVLQVNGVLMPPKGPDCWPKADSRKQWLVFYRLDDMKLTGNGTIEGNGHEWWELPCKPHRGPKGSSLPGPCESPAMIRFFMSSNIVVSGLRVQNSPQFHMKFDGCEGVLIEELSISTPKLSPNTDGIHIENTKSVGIYNSMISNGDDCISIGPGCSNVDINGVTCGPSHGISIGSLGVHNSQACVSNITVRNAVIRESDNGVRIKTWQGGTGSVSGISFENIQMENVRNCIIVDQYYCLSKECLNQTSAVYVTDIRYKNIKGTYDVRNPPIHFACSDTVACTNITMAEVELLPQEGELVDDPFCWNAYGIEETLSIPPIGCLQEGMPQAITETSQYSC >KJB38663 pep chromosome:Graimondii2_0_v6:6:50490164:50493047:1 gene:B456_006G266100 transcript:KJB38663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMLICFRSLNPCLFFKPSCFSVLFFMWKVNGVLMPPKGPDCWPKADSRKQWLVFYRLDDMKLTGNGTIEGNGHEWWELPCKPHRGPKGSSLPGPCESPAMIRFFMSSNIVVSGLRVQNSPQFHMKFDGCEGVLIEELSISTPKLSPNTDGIHIENTKSVGIYNSMISNGDDCISIGPGCSNVDINGVTCGPSHGISIGSLGVHNSQACVSNITVRNAVIRESDNGVRIKTWQGGTGSVSGISFENIQMENVRNCIIVDQYYCLSKECLNQTSAVYVTDIRYKNIKGTYDVRNPPIHFACSDTVACTNITMAEVELLPQEGELVDDPFCWNAYGIEETLSIPPIGCLQEGMPQAITETSQYSC >KJB34759 pep chromosome:Graimondii2_0_v6:6:31322873:31325902:1 gene:B456_006G082500 transcript:KJB34759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRPGSLAPKSKPGKKDLDSFTIRGTNKVVRVGDCVLMRPPDNGKPPYVARVEKIESDSRNNVKVRVRWYYRPEESLGGRRQFHGAKELFLSDHYDVQSAQTIEGKCIVHSFKNYSKLENVGAEDYFCRFEYKAVTGAFTPDRVAVYCKCEMPYNPDDLMVQCEVCKDWYHPACVDMTIEEAKMLDHFVCFECTEDDAKRSQNGFHSSPVSDSDEKVETKRRKR >KJB34760 pep chromosome:Graimondii2_0_v6:6:31322885:31325902:1 gene:B456_006G082500 transcript:KJB34760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRPGSLAPKSKPGKKDLDSFTIRGTNKVVRVGDCVLMRPPDNGKPPYVARVEKIESDSRNNVKVRVRWYYRPEESLGGRRQFHGAKELFLSDHYDVQSAQTIEGKCIVHSFKNYSKLENVGAEDYFCRFEYKAVTGAFTPDRVAVYCKCEMPYNPDDLMVQCEVCKDWYGVLYFLLRFPCTISPITFEIDVMFILPFLEVSSCLCGHDN >KJB38454 pep chromosome:Graimondii2_0_v6:6:49798371:49802493:1 gene:B456_006G255700 transcript:KJB38454 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MPGDCSIRALWILNNIDAVVFSRRFPVVEKRWRAACQSENESSDDDPVKYTVFSSVPSDSELAAAFSERKTREGSVRGFGIRVSQSREGSDSWVDDPITRHIVGVYINKEEEGENNLMWPLALHIKGPYCILILPLVEPRHVKAYARLCKRSDCGNAVTAHENLSSLLLDLPSITGAFMVAHAVGDIVTGDVVEPEVVVNQSPSVGGLLDSLTGSIGISGISSRAKPVAAPVASSTPAGAAAIGALASDVPKSGSRLLDKDALRSFISSAMPFGTPLDLSYSNIFSVRANGFSSLDIPPQDLKQPAWKPYLYKGKQRLLFTIHETLHAAMYDRDEIPDSLSVSGQINCRAELERLPDVSFPLTGLSTSKIEALSFHPCAQVPEQNVDKQALMFSPPLGNFVLMRYQATCCLGPPVKGFYQLSMVSEDEGAFLFKLHLMEGYKSPLTMEFCNVTMPFPRRRILSFDGTPSIGTVSNAEHSVEWKIITSGRGLSGKSIEATFPGTVRFAPWQMQRSTSFRSVFEGITDDDSDNETENTNNMANTEEFLMEKMSKDLPPVDLEEPFSWLAYNYAKVSFKIIGASLSGISIDPKSVTSGDYILWNTLGKCPSAVTAKV >KJB38455 pep chromosome:Graimondii2_0_v6:6:49800238:49802433:1 gene:B456_006G255700 transcript:KJB38455 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MVAHAVGDIVTGDVVEPEVVVNQSPSVGGLLDSLTGSIGISGISSRAKPVAAPVASSTPAGAAAIGALASDVPKSGSRLLDKDALRSFISSAMPFGTPLDLSYSNIFSVRANGFSSLDIPPQDLKQPAWKPYLYKGKQRLLFTIHETLHAAMYDRDEIPDSLSVSGQINCRAELERLPDVSFPLTGLSTSKIEALSFHPCAQVPEQNVDKQALMFSPPLGNFVLMRYQATCCLGPPVKGFYQLSMVSEDEGAFLFKLHLMEGYKSPLTMEFCNVTMPFPRRRILSFDGTPSIGTVSNAEHSVEWKIITSGRGLSGKSIEATFPGTVRFAPWQMQRSTSFRSVFEGITDDDSDNETENTNNMANTEEFLMEKMSKDLPPVDLEEPFSWLAYNYAKVSFKIIGASLSGISIDPKSVSIYPAVKAPVESSSQVTSGDYILWNTLGKCPSAVTAKV >KJB38452 pep chromosome:Graimondii2_0_v6:6:49798371:49802433:1 gene:B456_006G255700 transcript:KJB38452 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MPGDCSIRALWILNNIDAVVFSRRFPVVEKRWRAACQSENESSDDDPVKYTVFSSVPSDSELAAAFSERKTREGSVRGFGIRVSQSREGSDSWVDDPITRHIVGVYINKEEEGENNLMWPLALHIKGPYCILILPLVEPRHVKAYARLCKRSDCGNAVTAHENLSSLLLDLPSITGAFMVAHAVGDIVTGDVVEPEVVVNQSPSVGGLLDSLTGSIGISGISSRAKPVAAPVASSTPAGAAAIGALASDVPKSGSRLLDKDALRSFISSAMPFGTPLDLSYSNIFSVRANGFSSLDIPPQDLKQPAWKPYLYKGKQRLLFTIHETLHAAMYDRDEIPDSLSVSGQINCRAELERLPDVSFPLTGLSTSKIEALSFHPCAQVPEQNVDKQALMFSPPLGNFVLMRYQATCCLGPPVKGFYQLSMVSEDEGAFLFKLHLMEVSNAEHSVEWKIITSGRGLSGKSIEATFPGTVRFAPWQMQRSTSFRSVFEGITDDDSDNETENTNNMANTEEFLMEKMSKDLPPVDLEEPFSWLAYNYAKVSFKIIGASLSGISIDPKSVSIYPAVKAPVESSSQVTSGDYILWNTLGKCPSAVTAKV >KJB38451 pep chromosome:Graimondii2_0_v6:6:49798350:49802544:1 gene:B456_006G255700 transcript:KJB38451 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MPGDCSIRALWILNNIDAVVFSRRFPVVEKRWRAACQSENESSDDDPVKYTVFSSVPSDSELAAAFSERKTREGSVRGFGIRVSQSREGSDSWVDDPITRHIVGVYINKEEEGENNLMWPLALHIKGPYCILILPLVEPRHVKAYARLCKRSDCGNAVTAHENLSSLLLDLPSITGAFMVAHAVGDIVTGDVVEPEVVVNQSPSVGGLLDSLTGSIGISGISSRAKPVAAPVASSTPAGAAAIGALASDVPKSGSRLLDKDALRSFISSAMPFGTPLDLSYSNIFSVRANGFSSLDIPPQDLKQPAWKPYLYKGKQRLLFTIHETLHAAMYDRDEIPDSLSVSGQINCRAELERLPDVSFPLTGLSTSKIEALSFHPCAQVPEQNVDKQALMFSPPLGNFVLMRYQATCCLGPPVKGFYQLSMVSEDEGAFLFKLHLMEGYKSPLTMEFCNVTMPFPRRRILSFDGTPSIGTVSNAEHSVEWKIITSGRGLSGKSIEATFPGTVRFAPWQMQRSTSFRSVFEGITDDDSDNETENTNNMANTEEFLMEKMSKDLPPVDLEEPFSWLAYNYAKVSFKIIGASLSGISIDPKSVSIYPAVKAPVESSSQVTSGDYILWNTLGKCPSAVTAKV >KJB38453 pep chromosome:Graimondii2_0_v6:6:49798371:49802433:1 gene:B456_006G255700 transcript:KJB38453 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MPGDCSIRALWILNNIDAVVFSRRFPVVEKRWRAACQSENESSDDDPVKYTVFSSVPSDSELAAAFSERKTREGSVRGFGIRVSQSREGSDSWVDDPITRHIVGVYINKEEEGENNLMWPLALHIKGPYCILILPLVEPRHVKAYARLCKRSDCGNAVTAHENLSSLLLDLPSITGAFMVAHAVGDIVTGDVVEPEVVVNQSPSVGGLLDSLTGSIGISGISSRAKPVAAPVASSTPAGAAAIGALASDVPKSGSRLLDKDALRSFISSAMPFGTPLDLSYSNIFSVRANGFSSLDIPPQDLKQPAWKPYLYKGKQRLLFTIHETLHAAMYDRDEIPDSLSVSGQINCRAELERLPDVSFPLTGLSTSKIEALSFHPCAQVPEQNVDKQALMFSPPLGNFVLMRYQATCCLGPPVKGFYQLSMVSEDEGAFLFKLHLMEGYKSPLTMEFCNVTMPFPRRRILSFDGTPSIGTVSNAEHSVEWKIITSGRGLSGKSIEATFPGTVRFAPWQMQRSTSFRSVFEGITDDDSDNETENTNNMANTEEFLMEKMSKDLPPVDLEEPFSWLAYNYAKVSFKIIGASLSGISIDPKSVSLTFLQLAFHVQLQLILRTSMEIVYVSFFIFASNR >KJB37049 pep chromosome:Graimondii2_0_v6:6:44449585:44450571:-1 gene:B456_006G187600 transcript:KJB37049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPSSLFLILLFLSIPSSESNLTTDYYKNSCPHLENIIRETVTSKYISVPAAAAGTLRLFFHDCMVGGCDASVLISSNPFTKAERDADINLALPGDAFDVIVRAKTALELSCPGIVSCADILALATRNFVSMAGGPVYTVMLGRKDSLESNISSVEGNIPRANTTMDEIIRMFESKNFTVGEMVALSGAHTIGFSHCKEFAYRLFGYSRSTPTDPSYHPKFSAALKTLCANYKNDSDMAAFNDPMTPSKFDNMYYQNLKRGMGLLESDNGLLRHPRTNHFVDLYAFNQTAFSNDFARAIEKLSLSGIKTGNQGEVRRKCDAFNTFQT >KJB35278 pep chromosome:Graimondii2_0_v6:6:35111023:35111380:1 gene:B456_006G108000 transcript:KJB35278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEYQLPNLIVGAIIKESLYNAFENGITAEQIVTFLQQNAHPRVAEKIPSVPENVTDQVEYLICLNTLALLRD >KJB36244 pep chromosome:Graimondii2_0_v6:6:40666223:40666951:-1 gene:B456_006G148100 transcript:KJB36244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNPQGTFSNQISHNLPVVGFKDPKDEETELEFDVGDQDNITPCTELKSIVAGEDDSQLQVSSETVINDDHSIPEVKEDTEEGPCLTDFEEKCPPGGEDSVVFYTTSLRGIRKTFEDCSSMRFVLDSLKILVQERDVSMDMEFREELWRILGGRVIPPKLFIKGRYIGGADEVFRLHEQGKLKKLLEGIPSNCLCTDCANMRFLVCSNCSGSRKVFTEKQGDELCMKCPDCNENGLVKCPVCC >KJB38724 pep chromosome:Graimondii2_0_v6:6:50690206:50697625:-1 gene:B456_006G269200 transcript:KJB38724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQSWPLGAEGRKPTHDFLSLYSHSSVLQDPRPPAQDGYRKTHDFLQLEALGKAIAKEETTAEEAMAEKPLPPPPPAPPPRSAEHVLPGGIGTYSISHISTRAEGALFTVARRSISERNDETSNSSSYTGSGFTLWEESAAKKGKTGKENTGETLAVREAAGKERQWATSSLERPSQSSSTNNHRNSFSSLSSSRPSSKQKSFMEMMKSAQYSDFEEDEDFNLKKESSTTTRSKGELRVKVDGKSAANQKANTSRSKHSATEQRRRSKINDRFQRLRGIIPNSDQKKDKASFLLEVIEYIQFLQEKVHKHEGAYQGWNHEPSKLMPWRNNETPTENHADQSRAINSMSTPSALVFSVAPNIPGTAMNPVDSDMSTATTLRPVDLGPAMMNKTMPFAMSPQTNSITSAQSTPLLPSDAETNGALPIKKLKEQELTVEGGTISISSVYSQGLLNTLTQALQASGVDLSHASLSVQIELGKQSSTGYTAPTSTLKGQGDSIHNSRGNTFKRWVC >KJB38723 pep chromosome:Graimondii2_0_v6:6:50690206:50696116:-1 gene:B456_006G269200 transcript:KJB38723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPLPPPPPAPPPRSAEHVLPGGIGTYSISHISTRAEGALFTVARRSISERNDETSNSSSYTGSGFTLWEESAAKKGKTGKENTGETLAVREAAGKERQWATSSLERPSQSSSTNNHRNSFSSLSSSRPSSKQKSFMEMMKSAQYSDFEEDEDFNLKKESSTTTRSKGELRVKVDGKSAANQKANTSRSKHSATEQRRRSKINDRFQRLRGIIPNSDQKKDKASFLLEVIEYIQFLQEKVHKHEGAYQGWNHEPSKLMPWRNNETPTENHADQSRAINSMSTPSALVFSVAPNIPGTAMNPVDSDMSTATTLRPVDLGPAMMNKTMPFAMSPQTNSITSAQSTPLLPSDAETNGALPIKKLKEQELTVEGGTISISSVYSQGLLNTLTQALQASGVDLSHASLSVQIELGKQSSTGYTAPTSTLKGQGDSIHNSRGNTFKRWVC >KJB36974 pep chromosome:Graimondii2_0_v6:6:44228500:44230186:1 gene:B456_006G185100 transcript:KJB36974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEINNIVYRCCVLLFCHLQEYNATVEFLWAPLLVESNSDDPVNHRLSERIIRPDSVLKHSSQWEHADILIFNSYLWWRQGPVKLLWSSEENGNCEELDGLGAMELAMGAWADWVASKVIPQKKRVFFVTTSPTHFWSQEWEPGSEGNCYNQMRPITLEGYWGSGSDLPTMRMVDKVLSGLGSKVSVINITQLSEYRKDGHPSIYRKFWETLSPQQLANPASYSDCIHWCLPGVPDVWNELIFHFL >KJB36970 pep chromosome:Graimondii2_0_v6:6:44226669:44230186:1 gene:B456_006G185100 transcript:KJB36970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTPRWSRKKSHFPLLAVLLLAFITCSILYNEFSIQQIHESPDHGSTPTSPQTSFTYVKPNLPNGASEVLDRFSSCNSTRNYSGKKIQWVDPGTESGRRRSARQESCDVFSGKWVFDNQSYPLYKESDCPYMSDQLACHKHGRSDLQYQYWRWQPHNCNLKRWNVTEMWEKLRGKRLMFVGDSLNRGQWISMVCLLQSVIPADKKSMTPNAQLTIFRAEEYNATVEFLWAPLLVESNSDDPVNHRLSERIIRPDSVLKHSSQWEHADILIFNSYLWWRQGPVKLLWSSEENGNCEELDGLGAMELAMGAWADWVASKVIPQKKRVFFVTTSPTHFWKHLQEYGAPTPIVTNVYIAPRM >KJB36972 pep chromosome:Graimondii2_0_v6:6:44226669:44230186:1 gene:B456_006G185100 transcript:KJB36972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTPRWSRKKSHFPLLAVLLLAFITCSILYNEFSIQQIHESPDHGSTPTSPQTSFTYVKPNLPNGASEVLDRFSSCNSTRNYSGKKIQWVDPGTESGRRRSARQESCDVFSGKWVFDNQSYPLYKESDCPYMSDQLACHKHGRSDLQYQYWRWQPHNCNLKRWNVTEMWEKLRGKRLMFVGDSLNRGQWISMVCLLQSVIPADKKSMTPNAQLTIFRAEEYNATVEFLWAPLLVESNSDDPVNHRLSERIIRPDSVLKHSSQWEHADILIFNSYLWWRQGPVKLLYV >KJB36969 pep chromosome:Graimondii2_0_v6:6:44226669:44229267:1 gene:B456_006G185100 transcript:KJB36969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTPRWSRKKSHFPLLAVLLLAFITCSILYNEFSIQQIHESPDHGSTPTSPQTSFTYVKPNLPNGASEVLDRFSSCNSTRNYSGKKIQWVDPGTESGRRRSARQESCDVFSGKWVFDNQSYPLYKESDCPYMSDQLACHKHGRSDLQYQYWRWQPHNCNLKRWNVTEMWEKLRGKRLMFVGDSLNRGQWISMVCLLQSVIPADKKSMTPNAQLTIFRAEEYNATVEFLWAPLLVESNSDDPVNHRLSERIIRPDSVLKHSSQWEHADILIFNSYLWWRQGPVKLLWSSEENGNCEELDGLGAMELAMGAWADWVASKVIPQKKRVFFVTTSPTHFW >KJB36973 pep chromosome:Graimondii2_0_v6:6:44226669:44230220:1 gene:B456_006G185100 transcript:KJB36973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTPRWSRKKSHFPLLAVLLLAFITCSILYNEFSIQQIHESPDHGSTPTSPQTSFTYVKPNLPNGASEVLDRFSSCNSTRNYSGKKIQWVDPGTESGRRRSARQESCDVFSGKWVFDNQSYPLYKESDCPYMSDQLACHKHGRSDLQYQYWRWQPHNCNLKRWNVTEMWEKLRGKRLMFVGDSLNRGQWISMVCLLQSVIPADKKSMTPNAQLTIFRAEEYNATVEFLWAPLLVESNSDDPVNHRLSERIIRPDSVLKHSSQWEHADILIFNSYLWWRQGPVKLLWSSEENGNCEELDGLGAMELAMGAWADWVASKVIPQKKRVFFVTTSPTHFWSQEWEPGSEGNCYNQMRPITLEGYWGSGSDLPTMRMVDKVLSGLGSKVSVINITQLSEYRKDGHPSIYRKFWETLSPQQLANPASYSDCIHWCLPGVPDVWNELIFHFL >KJB36971 pep chromosome:Graimondii2_0_v6:6:44226669:44230186:1 gene:B456_006G185100 transcript:KJB36971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQLACHKHGRSDLQYQYWRWQPHNCNLKRWNVTEMWEKLRGKRLMFVGDSLNRGQWISMVCLLQSVIPADKKSMTPNAQLTIFRAEEYNATVEFLWAPLLVESNSDDPVNHRLSERIIRPDSVLKHSSQWEHADILIFNSYLWWRQGPVKLLWSSEENGNCEELDGLGAMELAMGAWADWVASKVIPQKKRVFFVTTSPTHFWSQEWEPGSEGNCYNQMRPITLEGYWGSGSDLPTMRMVDKVLSGLGSKVSVINITQLSEYRKDGHPSIYRKFWETLSPQQLANPASYSDCIHWCLPGVPDVWNELIFHFL >KJB36583 pep chromosome:Graimondii2_0_v6:6:42561519:42564718:-1 gene:B456_006G166000 transcript:KJB36583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METITQNGHHKGSLESFCTASKGAGGAVDPLNWGVAAESLKGSHLDEVKRMVAEYRNPLVKLGGETLTISQVAAIATRDLGVKVELSEDARAGVKASADWVLDGMNKGTDSYGVTTGFGATSHRRTKQGAALQKELIRFLNAGVFGHGTESCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLINSNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGEPLDAEEAFRVAGIDSGFFVLQPKEGLALVNGTAVGSGMASMVLFEANILAVLSEVLSAIFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYVKAAKKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNARLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTYLAALCQAIDLRHLEENLRSTVKNTVSQIAKKVLTTGANGELHPSRFCEKDLLKAVDREYVFAYIDDPCSATYPLMQKLRQVLVEHALTNGENEKNASTSIFQKIAAFEEELKAVLPKEVESARASVENGNAAIPNKIKECRSFPLYKFVREELGTGLLTGENVMSPGEEFDKVFTAMCQGRIIDPMLECLEEWNGAPLPIC >KJB34971 pep chromosome:Graimondii2_0_v6:6:33092841:33096300:-1 gene:B456_006G093300 transcript:KJB34971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLFLRNSLFPPLHHSFLPFPKYSFPSFPSSIKSRPLTSTMMRGSEITEEVSETKEAVNVDTKKKTIFVAGATGSTGKRVVEQLLSKGFSVKAGVRDLGKAKTLLSNDNPSLHIVQADVTEGSAKLAEAIGEDSDAVICATGFRPGWDLFAPWKVDNFGTVNLVEACRKLGVNRFILISSILVNGAAMGQVFNPAYIFLNVFGLTLIAKLQAEQYIKKSGINYTIIRPGGLRNDPPTGNVVMEPEDTLYEGSISRDQVAEVAVESLVHPESCFKVVEIVSRTDAPKRSYKDLFGSIKLT >KJB34972 pep chromosome:Graimondii2_0_v6:6:33093087:33094519:-1 gene:B456_006G093300 transcript:KJB34972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVFNPAYIFLNVFGLTLIAKLQAEQYIKKSGINYTIIRPGGLRNDPPTGNVVMEPEDTLYEGSISRDQVAEVAVESLVHPESCFKVVEIVSRTDAPKRSYKDLFGSIKLT >KJB34100 pep chromosome:Graimondii2_0_v6:6:15991946:15994776:1 gene:B456_006G047900 transcript:KJB34100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFYGLWKYLFSKTEFHVLILGIDKAGKTTLLEKLKSVYLNLEGLPPDRIVPTVGLNIGRIEVSNTKFVFWDLGGQPGLRSIWEKYYEEAHAVIFVIDAACPSRFEDSKSALEKVLRHEDLQGAPLLILANKLDLSEALSAEELAQYLDIKKLDERVYMFEAASAFDGMGIKEGVEWLVEVMERSKRTETLRIRAGITGPN >KJB34098 pep chromosome:Graimondii2_0_v6:6:15991813:15994867:1 gene:B456_006G047900 transcript:KJB34098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFYGLWKYLFSKTEFHVLILGIDKAGKTTLLEKLKSVYLNLEGLPPDRIVPTVGLNIGRIEVSNTKFVFWDLGGQPGLRSIWEKYYEEAHAVIFVIDAACPSRFEDSKSALEKVLRHEDLQGAPLLILANKLDLSEALSAEELAQYLDIKKLDERVYMFEAASAFDGMGIKEGVEWLVEVMERSKRTETLRIRAGITGPN >KJB34097 pep chromosome:Graimondii2_0_v6:6:15991813:15994859:1 gene:B456_006G047900 transcript:KJB34097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFYGLWKYLFSKTEFHVLILGIDKAGKTTLLEKLKSVYLNLEGLPPDRIVPTVGLNIGRIEVSNTKFVFWDLGGQPGLRSIWEKYYEEAHAVIFVIDAACPSRFEDSKSALGSLRSFIS >KJB34099 pep chromosome:Graimondii2_0_v6:6:15992770:15994166:1 gene:B456_006G047900 transcript:KJB34099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFYGLWKYLFSKTEFHVLILGIDKAGKTTLLEKLKSVYLNLEGLPPDRIVPTVGLNIGRIEVSNTKFVFWDLGGQPGLRSIWEKYYEEAHAVIFVIDAACPSRFEDSKSALEKVLRHEDLQGAPLLILANKLLCFNAGSLRSFIS >KJB34828 pep chromosome:Graimondii2_0_v6:6:32097561:32100073:1 gene:B456_006G086600 transcript:KJB34828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKLTNSIGVQENGNDSNKYERIETYAAEEEIMLTDNNNNKLQPKTAKDEDDIVNVQNIRDDMRKYVVACAIFASLNSVLLGYDVGVMSGAIIFIQQDLKITETQQEILVGILSILSLLGSLAGGKISDTIGRKWTIALACIVFQSGAAIMALAPSYQVLMIGRLLAGTGIGFGIMIAPVYIAEISPSIARGSLTSFPEIFINLGILLGYISNYVFSGLPVHSNWRIMLGVGIAPSVFLGFALFIIPESPRWLVMQNRIEEARTVLLKTYDNTKEVDERLAEIQMAARIANADKYKEKAVWLEILNPSPAIKRMLITGCGIQCFQQISGIDATVYYSPTIFKDAGIKGNSELLAATVAVGFTKTMFIFVAVFLIDKLGRKPLLYISTIGMTISLFCLSFTLTFLGDQRFGIGLAILFVCSNVAFFSVGIGPICWVVSSEIFPLRLRAQASGLGAVGSRVSSGVICMSFLTLSRAITVGGTFFLFSVISALSVVFVHTCVPETKGKSLEQIEMLFQKGSELQVGGEIEMGDAECLVQRQ >KJB37266 pep chromosome:Graimondii2_0_v6:6:45306159:45309866:-1 gene:B456_006G196200 transcript:KJB37266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQAVKLRCQRIGCNATFTEDDNPEGSCTFHASPIFHDGMKEWSCCKKRSHDFSLFLEIPGCKTGKHTTENPAVTLSTSATSKESCPRCSQGFFCSDHGSQPKVPNPTPAVLAKSSADVKESSPPPKKIVDINQPQTCKNKGCGKVFKEIENHESACSYHPGPAVFHDRVRGWKCCDIYVKEFDEFMTIPPCKKGWHDADPVP >KJB37263 pep chromosome:Graimondii2_0_v6:6:45306526:45308773:-1 gene:B456_006G196200 transcript:KJB37263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGMLLNLVNRSDFSCCCCCLACWLGSCAWADLCKQGPIFHDGMKEWSCCKKRSHDFSLFLEIPGCKTGKHTTEKPVLNKPVATKTIPTSSPAVTLSTSATSKESCPRCSQGFFCSDHGSQPKVPNPTPAVLAKSSADVKESSPPPKKIVDINQPQTCKNKGCGKVFKEIENHESACSYHPGPAVFHDRVRGWKCCDIYVKEFDEFMTIPPCKKGWHDADPVP >KJB37264 pep chromosome:Graimondii2_0_v6:6:45306100:45309987:-1 gene:B456_006G196200 transcript:KJB37264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQAVKLRCQRIGCNATFTEDDNPEGSCTFHASGPIFHDGMKEWSCCKKRSHDFSLFLEIPGCKTGKHTTEKPVLNKPVATKTIPTSSPAVTLSTSATSKESCPRCSQGFFCSDHGSQPKVPNPTPAVLAKSSADVKESSPPPKKIVDINQPQTCKNKGCGKVFKEIENHESACSYHPGPAVFHDRVRGWKCCDIYVKEFDEFMTIPPCKKGWHDADPVP >KJB37267 pep chromosome:Graimondii2_0_v6:6:45306526:45309704:-1 gene:B456_006G196200 transcript:KJB37267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQAVKLRCQRIGCNATFTEDDNPEGSCTFHASPIFHDGMKEWSCCKKRSHDFSLFLEIPGCKTGKHTTEKPVLNKPVATKTIPTSSPAVTLSTSATSKESCPRCSQGFFCSDHGSQPKVPNPTPAVLAKSSADVKESSPPPKKIVDINQPQTCKNKGCGKVFKEIENHESACSYHPGPAVFHDRVRGWKCCDIYVKEFDEFMTIPPCKKGWHDADPVP >KJB37265 pep chromosome:Graimondii2_0_v6:6:45306526:45308773:-1 gene:B456_006G196200 transcript:KJB37265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGMLLNLVNRSDFSCCCCCLACWLGSCAWADLCKQGPIFHDGMKEWSCCKKRSHDFSLFLEIPGCKTGKHTTEKPVLNKPVATKTIPTSSPAVTLSTSATSKESCPRCSQGFFCSDHGSQPKVPNPTPAVLAKSSADVKESSPPPKKIVDINQPQTCKNKGCGKVFKEIENHESACSYHPGPAVFHDRVRGWKCCDIYVKEFDEFMTIPPCKKGWHDADPVP >KJB37152 pep chromosome:Graimondii2_0_v6:6:44836942:44849250:-1 gene:B456_006G191300 transcript:KJB37152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNKLFFSSKKSDSDGSNSPRSDSNSPIRSDKKKPRAPTSQQASISTSTSLFSPVACKQTQVKDGPRSKDLKSRTPPSKPSSSSTSKKPDAKDRSSSGSPLLASSLGLNRIKTRSGPLPQETFFSFRGEKSAVASVLGASNLSRPGGSSSGGDGSSSKSGSGKKDVLTKRLLQGSLLDSVSNSDSMSTGSGGGWHSREQSPGVQGKSRLQNGEPSSGAGQDESSLGHSGGLKSSDFCTPEISFDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEETLVAIRAKFDKAKEEVNSDLAIFAADLVGVLERTADSHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPACVLKQLYTRMLFILTRCTRLLQFHKESGLAEDEPLVQLRQSRVLHPVDNRKFSGVLREAKSLGSSKASKAASSKKSYSQEQHALDWSKDHVVLPGGSVAPIDDTPKNLESPASIDKITSWKKLPTPARKGPKEVTAIKEQHNSTSETLKRTGTSDVDLTSINLQELPAAKESEGQPSKHQHKVSSGSRGDQPTASEGSSIICRICEEEVPTSNVEDHSRICTAADRCDQNGLSVDERLVRIAETLEKMADSFANKDIQHLGSPDVAKASNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLSTPRTCQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDRSMPYLLSCLDDLRNVIDRRKFNALTVETFGARIEKLIREKYLQLCELVEDEKVDITSTVIDEDAPLEDDVVRSLRTSPILSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSQHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASDHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVPEEMSLEAQDLIDRLLTEDPHQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNPSDDHVYPGSELDDSSDADSLSGSSGYLSNHQDEVGDECGGLTEFESISSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANNSNA >KJB37149 pep chromosome:Graimondii2_0_v6:6:44836942:44849250:-1 gene:B456_006G191300 transcript:KJB37149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNKLFFSSKKSDSDGSNSPRSDSNSPIRSDKKKPRAPTSQQASISTSTSLFSPVACKQTQVKDGPRSKDLKSRTPPSKPSSSSTSKKPDAKDRSSSGSPLLASSLGLNRIKTRSGPLPQETFFSFRGEKSAVASVLGASNLSRPGGSSSGGDGSSSKSGSGKKDVLTKRLLQGSLLDSVSNSDSMSTGSGGGWHSREQSPGVQGKSRLQNGEPSSGAGQDESSLGHSGGLKSSDFCTPEISFDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEETLVAIRAKFDKAKEEVNSDLAIFAADLVGVLERTADSHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPACVLKQLYTRMLFILTRCTRLLQFHKESGLAEDEPLVQLRQSRVLHPVDNRKFSGVLREAKSLGSSKASKAASSKKSYSQEQHALDWSKDHVVLPGGSVAPIDDTPKNLESPASIDKITSWKKLPTPARKGPKEVTAIKEQHNSTSETLKRTGTSDVDLTSINLQELPAAKESEGQPSKHQHKVSSGSRGDQPTASEGSSIICRICEEEVPTSNVEDHSRICTAADRCDQNGLSVDERLVRIAETLEKMADSFANKDIQHLGSPDVAKASNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLSTPRTCQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDRSMPYLLSCLDDLRNVIDRRKFNALTVETFGARIEKLIREKYLQLCELVEDEKVDITSTVIDEDAPLEDDVVRSLRTSPILSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSQHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASDHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVPEEMSLEAQDLIDRLLTEDPHQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNPSDDHVYPGSELDDSSDADSLSGSSGYLSNHQDEVGDECGGLTEFESISSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANNSNA >KJB37157 pep chromosome:Graimondii2_0_v6:6:44839368:44849450:-1 gene:B456_006G191300 transcript:KJB37157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNKLFFSSKKSDSDGSNSPRSDSNSPIRSDKKKPRAPTSQQASISTSTSLFSPVACKQTQVKDGPRSKDLKSRTPPSKPSSSSTSKKPDAKDRSSSGSPLLASSLGLNRIKTRSGPLPQETFFSFRGEKSAVASVLGASNLSRPGGSSSGGDGSSSKSGSGKKDVLTKRLLQGSLLDSVSNSDSMSTGSGGGWHSREQSPGVQGKSRLQNGEPSSGQDESSLGHSGGLKSSDFCTPEISFDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEETLVAIRAKFDKAKEEVNSDLAIFAADLVGVLERTADSHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPACVLKQLYTRMLFILTRCTRLLQFHKESGLAEDEPLVQLRQSRVLHPVDNRKFSGVLREAKSLGSSKASKAASSKKSYSQEQHALDWSKDHVVLPGGSVAPIDDTPKNLESPASIDKITSWKKLPTPARKGPKEVTAIKEQHNSTSETLKRTGTSDVDLTSINLQELPAAKESEGQPSKHQHKVSSGSRGDQPTASEGSSIICRICEEEVPTSNVEDHSRICTAADRCDQNGLSVDERLVRIAETLEKMADSFANKDIQHLGSPDVAKASNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLSTPRTCQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDRSMPYLLSCLDDLRNVIDRRKFNALTVETFGARIEKLIREKYLQLCELVEDEKVDITSTVIDEDAPLEDDVVRSLRTSPILSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSQHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASDHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQMFLSWLGWKLLRQY >KJB37154 pep chromosome:Graimondii2_0_v6:6:44836942:44849250:-1 gene:B456_006G191300 transcript:KJB37154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNKLFFSSKKSDSDGSNSPRSDSNSPIRSDKKKPRAPTSQQASISTSTSLFSPVACKQTQVKDGPRSKDLKSRTPPSKPSSSSTSKKPDAKDRSSSGSPLLASSLGLNRIKTRSGPLPQETFFSFRGEKSAVASVLGASNLSRPGGSSSGGDGSSSKSGSGKKDVLTKRLLQGSLLDSVSNSDSMSTGSGGGWHSREQSPGVQGKSRLQNGEPSSGQDESSLGHSGGLKSSDFCTPEISFDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEETLVAIRAKFDKAKEEVNSDLAIFAADLVGVLERTADSHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPACVLKQLYTRMLFILTRCTRLLQFHKESGLAEDEPLVQLRQSRVLHPVDNRKFSGVLREAKSLGSSKASKAASSKKSYSQEQHALDWSKDHVVLPGGSVAPIDDTPKNLESPASIDKITSWKKLPTPARKGPKEVTAIKEQHNSTSETLKRTGTSDVDLTSINLQELPAAKESEGQPSKHQHKVSSGSRGDQPTASEGSSIICRICEEEVPTSNVEDHSRICTAADRCDQNGLSVDERLVRIAETLEKMADSFANKDIQHLGSPDVAKASNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLSTPRTCQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDRSMPYLLSCLDDLRNVIDRRKFNALTVETFGARIEKLIREKYLQLCELVEDEKVDITSTVIDEDAPLEDDVVRSLRTSPILSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSQHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASDHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVPEEMSLEAQDLIDRLLTEDPHQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNPSDDHVYPGSELDDSSDADSLSGSSGYLSNHQDEVGDECGGLTEFESISSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANNSNA >KJB37156 pep chromosome:Graimondii2_0_v6:6:44836238:44849475:-1 gene:B456_006G191300 transcript:KJB37156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNKLFFSSKKSDSDGSNSPRSDSNSPIRSDKKKPRAPTSQQASISTSTSLFSPVACKQTQVKDGPRSKDLKSRTPPSKPSSSSTSKKPDAKDRSSSGSPLLASSLGLNRIKTRSGPLPQETFFSFRGEKSAVASVLGASNLSRPGGSSSGGDGSSSKSGSGKKDVLTKRLLQGSLLDSVSNSDSMSTGSGGGWHSREQSPGVQGKSRLQNGEPSSGAGQDESSLGHSGGLKSSDFCTPEISFDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEETLVAIRAKFDKAKEEVNSDLAIFAADLVGVLERTADSHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPACVLKQLYTRMLFILTRCTRLLQFHKESGLAEDEPLVQLRQSRVLHPVDNRKFSGVLREAKSLGSSKASKAASSKKSYSQEQHALDWSKDHVVLPGGSVAPIDDTPKNLESPASIDKITSWKKLPTPARKGPKEVTAIKEQHNSTSETLKRTGTSDVDLTSINLQELPAAKESEGQPSKHQHKVSSGSRGDQPTASEGSSIICRICEEEVPTSNVEDHSRICTAADRCDQNGLSVDERLVRIAETLEKMADSFANKDIQHLGSPDVAKASNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLSTPRTCQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDRSMPYLLSCLDDLRNVIDRRKFNALTVETFGARIEKLIREKYLQLCELVEDEKVDITSTVIDEDAPLEDDVVRSLRTSPILSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSQHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASDHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVPEEMSLEAQDLIDRLLTEDPHQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNPSDDHVYPGSELDDSSDADSLSGSSGYLSNHQDEGDECGGLTEFESISSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANNSNA >KJB37153 pep chromosome:Graimondii2_0_v6:6:44836238:44849450:-1 gene:B456_006G191300 transcript:KJB37153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNKLFFSSKKSDSDGSNSPRSDSNSPIRSDKKKPRAPTSQQASISTSTSLFSPVACKQTQVKDGPRSKDLKSRTPPSKPSSSSTSKKPDAKDRSSSGSPLLASSLGLNRIKTRSGPLPQETFFSFRGEKSAVASVLGASNLSRPGGSSSGGDGSSSKSGSGKKDVLTKRLLQGSLLDSVSNSDSMSTGSGGGWHSREQSPGVQGKSRLQNGEPSSGQDESSLGHSGGLKSSDFCTPEISFDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEETLVAIRAKFDKAKEEVNSDLAIFAADLVGVLERTADSHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPACVLKQLYTRMLFILTRCTRLLQFHKESGLAEDEPLVQLRQSRVLHPVDNRKFSGVLREAKSLGSSKASKAASSKKSYSQEQHALDWSKDHVVLPGGSVAPIDDTPKNLESPASIDKITSWKKLPTPARKGPKEVTAIKEQHNSTSETLKRTGTSDVDLTSINLQELPAAKESEGQPSKHQHKVSSGSRGDQPTASEGSSIICRICEEEVPTSNVEDHSRICTAADRCDQNGLSVDERLVRIAETLEKMADSFANKDIQHLGSPDVAKASNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLSTPRTCQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDRSMPYLLSCLDDLRNVIDRRKFNALTVETFGARIEKLIREKYLQLCELVEDEKVDITSTVIDEDAPLEDDVVRSLRTSPILSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSQHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASDHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVPEEMSLEAQDLIDRLLTEDPHQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNPSDDHVYPGSELDDSSDADSLSGSSGYLSNHQDEGDECGGLTEFESISSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANNSNA >KJB37155 pep chromosome:Graimondii2_0_v6:6:44836238:44849436:-1 gene:B456_006G191300 transcript:KJB37155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNKLFFSSKKSDSDGSNSPRSDSNSPIRSDKKKPRAPTSQQASISTSTSLFSPVACKQTQVKDGPRSKDLKSRTPPSKPSSSSTSKKPDAKDRSSSGSPLLASSLGLNRIKTRSGPLPQETFFSFRGEKSAVASVLGASNLSRPGGSSSGGDGSSSKSGSGKKDVLTKRLLQGSLLDSVSNSDSMSTGSGGGWHSREQSPGVQGKSRLQNGEPSSGAGQDESSLGHSGGLKSSDFCTPEISFDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEETLVAIRAKFDKAKEEVNSDLAIFAADLVGVLERTADSHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPACVLKQLYTRMLFILTRCTRLLQFHKESGLAEDEPLVQLRQSRVLHPVDNRKFSGVLREAKSLGSSKASKAASSKKSYSQEQHALDWSKDHVVLPGGSVAPIDDTPKNLESPASIDKITSWKKLPTPARKGPKEVTAIKEQHNSTSETLKRTGTSDVDLTSINLQELPAAKESEGQPSKHQHKVSSGSRGDQPTASEGSSIICRICEEEVPTSNVEDHSRICTAADRCDQNGLSVDERLVRIAETLEKMADSFANKDIQHLGSPDVAKASNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLSTPRTCQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDRSMPYLLSCLDDLRNVIDRRKFNALTVETFGARIEKLIREKYLQLCELVEDEKVDITSTVIDEDAPLEDDVVRSLRTSPILSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSQHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASDHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVPEEMSLEAQDLIDRLLTEDPHQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNPSDDHVYPGSELDDSSDADSLSGSSGYLSNHQDEVGDECGGLTEFESISSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANNSNA >KJB37158 pep chromosome:Graimondii2_0_v6:6:44839528:44849250:-1 gene:B456_006G191300 transcript:KJB37158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNKLFFSSKKSDSDGSNSPRSDSNSPIRSDKKKPRAPTSQQASISTSTSLFSPVACKQTQVKDGPRSKDLKSRTPPSKPSSSSTSKKPDAKDRSSSGSPLLASSLGLNRIKTRSGPLPQETFFSFRGEKSAVASVLGASNLSRPGGSSSGGDGSSSKSGSGKKDVLTKRLLQGSLLDSVSNSDSMSTGSGGGWHSREQSPGVQGKSRLQNGEPSSGAGQDESSLGHSGGLKSSDFCTPEISFDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEETLVAIRAKFDKAKEEVNSDLAIFAADLVGVLERTADSHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPACVLKQLYTRMLFILTRCTRLLQFHKESGLAEDEPLVQLRQSRVLHPVDNRKFSGVLREAKSLGSSKASKAASSKKSYSQEQHALDWSKDHVVLPGGSVAPIDDTPKNLESPASIDKITSWKKLPTPARKGPKEVTAIKEQHNSTSETLKRTGTSDVDLTSINLQELPAAKESEGQPSKHQHKVSSGSRGDQPTASEGSSIICRICEEEVPTSNVEDHSRICTAADRCDQNGLSVDERLVRIAETLEKMADSFANKDIQHLGSPDVAKASNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLSTPRTCQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDRSMPYLLSCLDDLRNVIDRRKFNALTVETFGARIEKLIREKYLQLCELVEDEKVDITSTVIDEDAPLEDDVVRSLRTSPILSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSQHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASDHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQMFLSWLGWKLLRQY >KJB37151 pep chromosome:Graimondii2_0_v6:6:44836238:44849436:-1 gene:B456_006G191300 transcript:KJB37151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNKLFFSSKKSDSDGSNSPRSDSNSPIRSDKKKPRAPTSQQASISTSTSLFSPVACKQTQVKDGPRSKDLKSRTPPSKPSSSSTSKKPDAKDRSSSGSPLLASSLGLNRIKTRSGPLPQETFFSFRGEKSAVASVLGASNLSRPGGSSSGGDGSSSKSGSGKKDVLTKRLLQGSLLDSVSNSDSMSTGSGGGWHSREQSPGVQGKSRLQNGEPSSGAGQDESSLGHSGGLKSSDFCTPEISFDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEETLVAIRAKFDKAKEEVNSDLAIFAADLVGVLERTADSHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPACVLKQLYTRMLFILTRCTRLLQFHKESGLAEDEPLVQLRQSRVLHPVDNRKFSGVLREAKSLGSSKASKAASSKKSYSQEQHALDWSKDHVVLPGGSVAPIDDTPKNLESPASIDKITSWKKLPTPARKGPKEVTAIKEQHNSTSETLKRTGTSDVDLTSINLQELPAAKESEGQPSKHQHKVSSGSRGDQPTASEGSSIICRICEEEVPTSNVEDHSRICTAADRCDQNGLSVDERLVRIAETLEKMADSFANKDIQHLGSPDVAKASNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLSTPRTCQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDRSMPYLLSCLDDLRNVIDRRKFNALTVETFGARIEKLIREKYLQLCELVEDEKVDITSTVIDEDAPLEDDVVRSLRTSPILSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSQHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASDHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVPEEMSLEAQDLIDRLLTEDPHQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNPSDDHVYPGSELDDSSDADSLSGSSGYLSNHQDEGDECGGLTEFESISSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANNSNA >KJB37150 pep chromosome:Graimondii2_0_v6:6:44836942:44849250:-1 gene:B456_006G191300 transcript:KJB37150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNKLFFSSKKSDSDGSNSPRSDSNSPIRSDKKKPRAPTSQQASISTSTSLFSPVACKQTQVKDGPRSKDLKSRTPPSKPSSSSTSKKPDAKDRSSSGSPLLASSLGLNRIKTRSGPLPQETFFSFRGEKSAVASVLGASNLSRPGGSSSGGDGSSSKSGSGKKDVLTKRLLQGSLLDSVSNSDSMSTGSGGGWHSREQSPGVQGKSRLQNGEPSSGAGQDESSLGHSGGLKSSDFCTPEISFDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEETLVAIRAKFDKAKEEVNSDLAIFAADLVGVLERTADSHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPACVLKQLYTRMLFILTRCTRLLQFHKESGLAEDEPLVQLRQSRVLHPVDNRKFSGVLREAKSLGSSKASKAASSKKSYSQEQHALDWSKDHVVLPGGSVAPIDDTPKNLESPASIDKITSWKKLPTPARKGPKEVTAIKEQHNSTSETLKRTGTSDVDLTSINLQELPAAKESEGQPSKHQHKVSSGSRGDQPTASEGSSIICRICEEEVPTSNVEDHSRICTAADRCDQNGLSVDERLVRIAETLEKMADSFANKDIQHLGSPDVAKASNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLSTPRTCQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDRSMPYLLSCLDDLRNVIDRRKFNALTVETFGARIEKLIREKYLQLCELVEDEKVDITSTVIDEDAPLEDDVVRSLRTSPILSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSQHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASDHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVPEEMSLEAQDLIDRLLTEDPHQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNPSDDHVYPGSELDDSSDADSLSGSSGYLSNHQDEGDECGGLTEFESISSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANNSNA >KJB38251 pep chromosome:Graimondii2_0_v6:6:48957764:48960553:1 gene:B456_006G244200 transcript:KJB38251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGRSQSMVGRIDTRGKHRIQAELKRLDQEARFLEEELEHLEKMEKASTACKEMLSKVESTPDPLLPITNGPLNLTWDRWFEGPQESQGCKCWIL >KJB33742 pep chromosome:Graimondii2_0_v6:6:7296969:7299191:-1 gene:B456_006G028500 transcript:KJB33742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGFSIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFLDPAKLESVIKSFASKQEPLPLSFSSIGAFPNENNVLFLSPAPTMSLLQFQAQVCEAIKKEGIEIGEEFKADAWIPYCPVAQEVPKTRMAEAFCVLRELKLPVSGYAMDIGLVEFSPVREHFSFGLGNSIDT >KJB33743 pep chromosome:Graimondii2_0_v6:6:7296573:7299134:-1 gene:B456_006G028500 transcript:KJB33743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGFSIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFLDPAKLESVIKSFASKQEPLPLSFSSIGAFPNENNVLFLSPAPTMSLLQFQAQVCEAIKKEGIEIGEEFKADAWIPYCPVAQEVPKTRMAEAFCVLRELKLPVSGYAMDIGLVEFSPVREHFSFGLGNSIDT >KJB33741 pep chromosome:Graimondii2_0_v6:6:7298244:7298795:-1 gene:B456_006G028500 transcript:KJB33741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGFSIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFLDPAKLESVIKSFASKQEPLPLSFSSIGAFPNENNVLFLSPAPTMSLLQFQAQVCEAIKKEGIEIGEEFKADAWIPYCPVAQEVPKTRMAEAFCVLRELKLPVSGYAMDIGLVEFSPVREHFSFGLGNSIDT >KJB33745 pep chromosome:Graimondii2_0_v6:6:7295150:7299191:-1 gene:B456_006G028500 transcript:KJB33745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGFSIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFLDPAKLESVIKSFASKQEPLPLSFSSIGAFPNENNVLFLSPAPTMSLLQFQAQVCEAIKKEGIEIGEEFKADAWIPYCPVAQEVPKTRMAEAFCVLRELKLPVSGYAMDIGLVEFSPVREHFSFGLGNSIDT >KJB33744 pep chromosome:Graimondii2_0_v6:6:7295150:7299191:-1 gene:B456_006G028500 transcript:KJB33744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGFSIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFLDPAKLESVIKSFASKQEPLPLSFSSIGAFPNENNVLFLSPAPTMSLLQFQAQVCEAIKKEGIEIGEEFKADAWIPYCPVAQEVPKTRMAEAFCVLRELKLPVSGYAMDIGLVEFSPVREHFSFGLGNSIDT >KJB33740 pep chromosome:Graimondii2_0_v6:6:7298244:7298795:-1 gene:B456_006G028500 transcript:KJB33740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGFSIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFLDPAKLESVIKSFASKQEPLPLSFSSIGAFPNENNVLFLSPAPTMSLLQFQAQVCEAIKKEGIEIGEEFKADAWIPYCPVAQEVPKTRMAEAFCVLRELKLPVSGYAMDIGLVEFSPVREHFSFGLGNSIDT >KJB36240 pep chromosome:Graimondii2_0_v6:6:40626112:40632276:-1 gene:B456_006G147900 transcript:KJB36240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEIINLPKFSPGKQGKEVLLELHVHGFFVFTNKTDERLAEPMKTENSFGKSRVRMNMNSDNLSSQLMLTNTSLSGSGYRGIGVLGFAGLQNLGNTCFMNSAVQCLVHTTQLVDYCLGDYEKDINHENPLGMHGELSLAFGELLRKLWAPGAMPVAPRMFKFKLAKFAPQFDGYNQHDSQEFLAFLLDGLHEDLNRVKCKPYIEAKDAEGRPDEEVADEHWLNHLARNASIIVDIFQGQYRSTLVCPVCKKVSVTFDPFMYLTLPLPSTTMRTMTLTVFSTDGIMLPMPFTITVPKGGRLKDLIDSLSLACSLKDDETLLVAEIYKNQIFRLLDGPSDSVALIRDDDKLVAYRLPKVSETCPLVVFSHQQLERPYGFGAMAPNWKLFGVPLIARIENLSSGSEIHYQFLKLLRPFLMPVEDVVNDYDGDETRNTVNENSKVEGIVSPLVSYCDAGPDSGEENDVHLNADFKFYLVDKPGSSEIKMNDPVPISSLTKNLDVTVHWSDKMIEKYDTCLLSSLPEVFKPQLFAKRHEESISLYKCLEGFLQEEPLGLDDMWYCPRCKKHQQAIKKLDLWRLPEILVIHLKRFSYSRFFKNKLETYVDFPIHDLNLSNYISHIDNQLSNCYQLYAVTNHYGGMGGGHYTAFIDHGHGRWYEFDDDRVFPISEDRIKSSAAYVLFYRRVREM >KJB36242 pep chromosome:Graimondii2_0_v6:6:40627641:40634122:-1 gene:B456_006G147900 transcript:KJB36242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLFSSEDDDHLFDLDYNPYARKRPLSSRLDRDDYDETDEEEANRQKLYLVPYRWWKEAQRSVADEIVGILYNVLSNYDNADSEIVLDLRKEESSGTRVKMDEGVSSREYALVKEALWLRTLKWHNDSKTSEEDDRYHCVAEGQSQEVFPLQIRLSFSPGTNSLLVKISLKDNAVDFYRRACSIFNSQSELLQIWDFSGQTSQFVMNEIINLPKFSPGKQGKEVLLELHVHGFFVFTNKTDERLAEPMKTENSFGKSRVRMNMNSDNLSSQLMLTNTSLSGSGYRGIGVLGFAGLQNLGNTCFMNSAVQCLVHTTQLVDYCLGDYEKDINHENPLGMHGELSLAFGELLRKLWAPGAMPVAPRMFKFKLAKFAPQFDGYNQHDSQEFLAFLLDGLHEDLNRVKCKPYIEAKDAEGRPDEEVADEHWLNHLARNASIIVDIFQGQYRSTLVCPVCKKVSVTFDPFMYLTLPLPSTTMRTMTLTVFSTDGIMLPMPFTITVPKGGRLKDLIDSLSLACSLKDDETLLVAEIYKNQIFRLLDGPSDSVALIRDDDKLVAYRLPKVSETCPLVVFSHQQLERPYGFGAMAPNWKLFGVPLIARIENLSSGSEIHYQFLKLLRPFLMPVEDVVNDYDGDETRNTVNENSKVEGIVSPLVSYCDAGPDSGEENDVHLNADFKFYLVDKPGSSEIKMNDPVPISSLTKNLDVTVHWSDKMIEKYDTCLLSSLPEVFKPQLFAKRHEESISLYKCLEGFLQEEPLGLDDMWLVVSFSNRSFVSTLDKVIYLLFHL >KJB36241 pep chromosome:Graimondii2_0_v6:6:40626112:40634278:-1 gene:B456_006G147900 transcript:KJB36241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLFSSEDDDHLFDLDYNPYARKRPLSSRLDRDDYDETDEEEANRQKLYLVPYRWWKEAQRSVADEIVGILYNVLSNYDNADSEIVLDLRKEESSGTRVKMDEGVSSREYALVKEALWLRTLKWHNDSKTSEEDDRYHCVAEGQSQEVFPLQIRLSFSPGTNSLLVKISLKDNAVDFYRRACSIFNSQSELLQIWDFSGQTSQFVMNEIINLPKFSPGKQGKEVLLELHVHGFFVFTNKTDERLAEPMKTENSFGKSRVRMNMNSDNLSSQLMLTNTSLSGSGYRGIGVLGFAGLQNLGNTCFMNSAVQCLVHTTQLVDYCLGDYEKDINHENPLGMHGELSLAFGELLRKLWAPGAMPVAPRMFKFKLAKFAPQFDGYNQHDSQEFLAFLLDGLHEDLNRVKCKPYIEAKDAEGRPDEEVADEHWLNHLARNASIIVDIFQGQYRSTLVCPVCKKVSVTFDPFMYLTLPLPSTTMRTMTLTVFSTDGIMLPMPFTITVPKGGRLKDLIDSLSLACSLKDDETLLVAEIYKNQIFRLLDGPSDSVALIRDDDKLVAYRLPKVSETCPLVVFSHQQLERPYGFGAMAPNWKLFGVPLIARIENLSSGSEIHYQFLKLLRPFLMPVEDVVNDYDGDETRNTVNENSKVEGIVSPLVSYCDAGPDSGEENDVHLNADFKFYLVDKPGSSEIKMNDPVPISSLTKNLDVTVHWSDKMIEKYDTCLLSSLPEVFKPQLFAKRHEESISLYKCLEGFLQEEPLGLDDMWYCPRCKKHQQAIKKLDLWRLPEILVIHLKRFSYSRFFKNKLETYVDFPIHDLNLSNYISHIDNQLSNCYQLYAVTNHYGGMGGGHYTAFIDHGHGRWYEFDDDRVFPISEDRIKSSAAYVLFYRRVREM >KJB36827 pep chromosome:Graimondii2_0_v6:6:43572305:43575896:-1 gene:B456_006G178300 transcript:KJB36827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPKLKAKSFWVSKSFRSLCYKAIESNVCCSQRLEYSGMIVNNSVFCPSPGPFEEQQTEMEGLVEKEGSFKEKNESFSENGVQMGTFAELLEDKGRESSSSSDFLTSETTGHEEEHSHSSSEEGSASPPSLGWPVKENAGTEDCTSTNCSEDGKKTPLDNRKLEKQGSTISEIELMKERFAKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQIWRLEPVPPEKKAMWRREMEWLLSVSDHIVELIPSWQTFPDGSKLEVMTCRPRSDLYINLPALRKLDNMLLEILDTFTDTEFWYVDQGILAPEADGSTSFRKAFQRQEEKWWLPVSRVPPGGLHENSRKQLQHKRDCTNQILKAAMAINSITLADMEVPESYLESLPKNSRTSLGDLIYRYISSDMFTPDCLLDCLDLSSEHQAIEIANRVEASIYLWRKKSNSKPISTNRSSSKSSWDLVKDLMIDSDKREMLADRAQSLLLCLKQRFPGLPQTTLDMSKIQYNKDVGKSIIESYSRVLESLAFNIIARIDDVLYVDDLTKHSDQFSSLSRIGLIGQKSLSVPYSVPFPSTPYRTAFSTPNFSPAQLATPAKGDRSPFLSSSKVPQRGMGVTKVLTDYLNIDLRPKEYSNPDEGTESGSTITQEASVSFECLKEAVSLSILEPVPEKEP >KJB36826 pep chromosome:Graimondii2_0_v6:6:43573076:43575284:-1 gene:B456_006G178300 transcript:KJB36826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPKLKAKSFWVSKSFRSLCYKAIESNVCCSQRLEYSGMIVNNSVFCPSPGPFEEQQTEMEGLVEKEGSFKEKNESFSENGVQMGTFAELLEDKGRESSSSSDFLTSETTGHEEEHSHSSSEEGSASPPSLGWPVKENAGTEDCTSTNCSEDGKKTPLDNRKLEKQGSTISEIELMKERFAKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQIWRLEPVPPEKKAMWRREMEWLLSVSDHIVELIPSWQTFPDGSKLEVMTCRPRSDLYINLPALRKLDNMLLEILDTFTDTEFWYVDQGILAPEADGSTSFRKAFQRQEEKWWLPVSRVPPGGLHENSRKQLQHKRDCTNQILKAAMAINSITLADMEVPESYLESLPKNSRTSLGDLIYRYISSDMFTPDCLLDCLDLSSEHQAIEIANRVEASIYLWRKKSNSKPISTNRSSSKSSWDLVKDLMIDSDKREMLADRAQSLLLCLKQRFPGLPQTTLDMSKIQYNKVCVNSLNLRLVLMNAFNYKIHADK >KJB37707 pep chromosome:Graimondii2_0_v6:6:46974424:46977769:1 gene:B456_006G216800 transcript:KJB37707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSLSDSLTDKNVVFRKLKAKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLRMMIYGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQMLSKEVGKSMAEEAGLPSSPVASQSSLASNGPLDSKSAEAPKESSLDRVEKPEISVAPKTSQRVLTSTIKKPLGAKKTGGLGARKLTNKHSENLYDQKPEEPVVPVASSSINTAPITSPFPSRFEYVENAQSTKLNSDGPQVLGHVALPKSSSFFAEFEMDNGFQKKSSSNSSKVQEVIQETDEARKKFSNAKSISSAQYFGDQTRAADGDAQVTLQKFSGSTAISSADLFGHGIDKSLDLTASDLIDRLSFQDISNLKNIAGETGKKISSLASTLITDFQDRIL >KJB37705 pep chromosome:Graimondii2_0_v6:6:46974424:46977769:1 gene:B456_006G216800 transcript:KJB37705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSLSDSLTDKNVVFRKLKAKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLRMMIYGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQMLSKEVGKSMAEEAGLPSSPVASQSSLASNGPLDSKSAEAPKESSLDRVEKPEISVAPKTSQRVLTSTIKKPLGAKKTGGLGARKLTNKHSENLYDQKPEEPVVPVASSSINTAPITSPFPSRFEYVENAQSTKLNSDGPQVLGHVALPKSSSFFAEFEMDNGFQKKSSSNSSKVQEETDEARKKFSNAKSISSAQYFGDQTRAADGDAQVTLQKFSGSTAISSADLFGHGIDKSLDLTASDLIDRLSFQAQQDISNLKNIAGETGKKISSLASTLITDFQDRIL >KJB37704 pep chromosome:Graimondii2_0_v6:6:46974332:46977850:1 gene:B456_006G216800 transcript:KJB37704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSLSDSLTDKNVVFRKLKAKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLRMMIYGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQMLSKEVGKSMAEEAGLPSSPVASQSSLASNGPLDSKSAEAPKESSLDRVEKPEISVAPKTSQRVLTSTIKKPLGAKKTGGLGARKLTNKHSENLYDQKPEEPVVPVASSSINTAPITSPFPSRFEYVENAQSTKLNSDGPQVLGHVALPKSSSFFAEFEMDNGFQKKSSSNSSKVQEVIQETDEARKKFSNAKSISSAQYFGDQTRAADGDAQVTLQKFSGSTAISSADLFGHGIDKSLDLTASDLIDRLSFQAQQDISNLKNIAGETGKKISSLASTLITDFQDRIL >KJB37706 pep chromosome:Graimondii2_0_v6:6:46974424:46977769:1 gene:B456_006G216800 transcript:KJB37706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSLSDSLTDKNVVFRKLKAKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLRMMIYGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQMLSKEVGKSMAEEAGLPSSPVASQSSLASNGPLDSKSAEAPKESSLDRVEKPEISVAPKTSQRVLTSTIKKPLGAKKTGGLGARKLTNKHSENLYDQKPEEPVVPVASSSINTAPITSPFPSRFEYVENAQSTKLNSDGPQVLGHVALPKSSSFFAEFEMDNGFQKKSSSNSSKVQEVETDEARKKFSNAKSISSAQYFGDQTRAADGDAQVTLQKFSGSTAISSADLFGHGIDKSLDLTASDLIDRLSFQAQQDISNLKNIAGETGKKISSLASTLITDFQDRIL >KJB37708 pep chromosome:Graimondii2_0_v6:6:46974424:46977769:1 gene:B456_006G216800 transcript:KJB37708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSLSDSLTDKNVVFRKLKAKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLRMMIYGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQMLSKEVGKSMAEEAGLPSSPVASQSSLASNGPLDSKSAEAPKESSLDRVEKPEISVAPKTSQRVLTSTIKKPLGAKKTGGLGARKLTNKHSENLYDQKPEEPVVPVASSSINTAPITSPFPSRFEYVENAQSTKLNSDGPQVLGHVALPKSSSFFAEFEMDNGFQKKSSSNSSKVQEVIQETDEARKKFSNAKSISSAQYFGDQTRAADGDAQVTLQKFSGSTAISSADLFGHGIDKSLDLTASDLIDRLSFQQDISNLKNIAGETGKKISSLASTLITDFQDRIL >KJB36754 pep chromosome:Graimondii2_0_v6:6:43324659:43329343:1 gene:B456_006G175000 transcript:KJB36754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKGTADAGTKKRDNFLHQSLFMPKGLPDGAELAYFVKGQKLIEGYKQGSGIVCGCCERELSPSQFEAHAGMADRRQPYRHVRTSNGLTLHDIALSLSNGQRITTDIGGDMCSICGDSGDLLFCHECCQAFHPACLNLGHLPEGDWHCANCADENGLGRKAVLGKSSRIARPIVIRLTRVVKAPEFEIGGCAICREYDFSSTTFDDRTIIFCDQCEKEFHVGCLRDSGQCDLKVIPKDKWFCCDDCKRIYGALQSSVSNGVQIIPTSFSNVIRRRHLEKGLFIDEATDCVQWCILSGKSHYPEDLPLLSSAAAIFQECFDPIVAKSGCDLIPAMVYGRSISGQEFGGMYCVVLIVRSVVVSAGLLRIFGREIAELPMVGTTREHQGKGYFQALFACIERFLSSLNVESLMLPAAEEALSIWTEKFGFKKMKEQQLMNYQKQLQVTVFKGTSVLVKKVQQIPNNSVNLPDNFPSE >KJB36755 pep chromosome:Graimondii2_0_v6:6:43324659:43329343:1 gene:B456_006G175000 transcript:KJB36755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKGTADAGTKKRDNFLHQSLFMPKGLPDGAELAYFVKGQKLIEGYKQGSGIVCGCCERELSPSQFEAHAGMADRRQPYRHVRTSNGLTLHDIALSLSNGQRITTDIGGDMCSICGDSGDLLFCHECCQAFHPACLNLGHLPEGDWHCANCADENGLGRKAVLGKSSRIARPIVIRLTRVVKAPEFEIGGCAICREYDFSSTTFDDRTIIFCDQCEKEFHVGCLRDSGQCDLKEVPKDKWFCCDDCKRIYGALQSSVSNGVQIIPTSFSNVIRRRHLEKGLFIDEATDCVQWCILSGKSHYPEDLPLLSSAAAIFQECFDPIVAKSGCDLIPAMVYGRSISGQEFGGMYCVVLIVRSVVVSAGLLRIFGREIAELPMVGTTREHQGKLRKLCQFGQRSLGLKR >KJB36753 pep chromosome:Graimondii2_0_v6:6:43324659:43329343:1 gene:B456_006G175000 transcript:KJB36753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKGTADAGTKKRDNFLHQSLFMPKGLPDGAELAYFVKGQKLIEGYKQGSGIVCGCCERELSPSQFEAHAGMADRRQPYRHVRTSNGLTLHDIALSLSNGQRITTDIGGDMCSICGDSGDLLFCHECCQAFHPACLNLGHLPEGDWHCANCADENGLGRKAVLGKSSRIARPIVIRLTRVVKAPEFEIGGCAICREYDFSSTTFDDRTIIFCDQCEKEFHVGCLRDSGQCDLKEVPKDKWFCCDDCKRIYGALQSSVSNGVQIIPTSFSNVIRRRHLEKGLFIDEATDCVQWCILSGKSHYPEDLPLLSSAAAIFQECFDPIVAKSGCDLIPAMVYGRSISGQEFGGMYCVVLIVRSVVVSAGLLRIFGREIAELPMVGTTREHQGKGYFQALFACIERFLSSLNVESLMLPAAEEALSIWTEKFGFKKMKEQQLMNYQKQLQVTVFKGTSVLVKKVQQIPNNSVNLPDNFPSE >KJB36752 pep chromosome:Graimondii2_0_v6:6:43324987:43328529:1 gene:B456_006G175000 transcript:KJB36752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKGTADAGTKKRDNFLHQSLFMPKGLPDGAELAYFVKGQKLIEGYKQGSGIVCGCCERELSPSQFEAHAGMADRRQPYRHVRTSNGLTLHDIALSLSNGQRITTDIGGDMCSICGDSGDLLFCHECCQAFHPACLNLGHLPEGDWHCANCADENGLGRKAVLGKSSRIARPIVIRLTRVVKAPEFEIGGCAICREYDFSSTTFDDRTIIFCDQCEKEFHVGCLRDSGQCDLKEVPKDKWFCCDDCKRIYGALQSSVSNGVQIIPTSFSNVIRRRHLEKGLFIDEATDCVQWCILSGKSHYPEDLPLLSSAAAIFQECFDPIVAKSGCDLIPAMVYGRSISGQEFGGMYCVVLIVRYFAFCLSFGWWGGGCCFLSIALLHMHVYTVLVHGAGLLLYQLVFLGYLVGRLLNSLW >KJB38269 pep chromosome:Graimondii2_0_v6:6:49065378:49068668:1 gene:B456_006G245600 transcript:KJB38269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKLSYVFVFCLLFFVFHANSQPSTTGYTCNLNQTTYPCQTYVFFRATAPNYLDLASIGDLFNISRLMISEPSNISSPSAPLIPDQFLFVPISCACNSINDTIGSLSAANLTYTFIRGDTFYRVSTLNFQNLTTYQSVEVFNPDAVPTQIEIGQRIVFPIFCKCPNETQIRNGVKYLVSYVYQPLDNLSVIASRFGIQTQAITGANNDSIQPYDTIFIPVSRLPVLSQPEPAPVASGKTERTGVIIGLSIGLGICGILMILLFVVLVYREVLSGRRGKERDEENHRLKMNRPGMGVKGIEANLMADVSGCLDKYRVFKIEELREATDDFSDSCLIQGSVYKGSIDGDNYAIKKMKWNASEELKILQKVNHGNLVKLEGFCIDPEDTSCYLVYEFIENGSLSFWLHENDNEKLSWRTRLRIAVDVAHGLQYIHEHTRPRVVHKDIKTSNILLDANMRAKIANFGLAKSGCNAITVHIVGTQGYIAPEYLADGVVSTKMDVFSFGVVLLELVSGKEAIDEEVRLLWASVEGVLDGNEERKERQVREFMDGCLLEQSLSMEGVMNVMAVAISCLNKDPTKRPSMVDIVYALSKSDDLVFDESEDSLSAPPVVAR >KJB35330 pep chromosome:Graimondii2_0_v6:6:35338450:35341004:-1 gene:B456_006G109300 transcript:KJB35330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSVTSDDEFDSEEESSEDEELPVAAENGKAKTDAKTAKANAGKPDAVKQAVKIAEPSNSKKNEDDDDDSDSEDGSGSDDEDDSEDEDEEMSVDESSDEDEETPKKVESSKKRPAEAATPVSAKKAKPAATPQKTDGKKGGHTATPHPSKQAGKTSGKSPKSGGQFSCGSCSKSFGSEGGLESHKKAKHGGK >KJB35329 pep chromosome:Graimondii2_0_v6:6:35338435:35341077:-1 gene:B456_006G109300 transcript:KJB35329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGIEVKAGQPIKTDPGASHVIHLSQATLGESKNKAESVPLYVNVNGKKLVLGTLSHQNCPQLCFDLVFEQGFELSHNWKNGSVYFLGYKAFVPEEGSDDEFDSEEESSEDEELPVAAENGKAKTDAKTAKANAGKPDAVKQAVKIAEPSNSKKNEDDDDDSDSEDGSGSDDEDDSEDEDEEMSVDESSDEDEETPKKVESSKKRPAEAATPVSAKKAKPAATPQKTDGKKGGHTATPHPSKQAGKTSGKSPKSGGQFSCGSCSKSFGSEGGLESHKKAKHGGK >KJB38052 pep chromosome:Graimondii2_0_v6:6:48974611:48976013:1 gene:B456_006G244600 transcript:KJB38052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAYLGKRKMGDPAMVRKLFACETESSATAICTDKTGTLQVTKFWVGQESMEDGGGSISPFVVELIHQGVALNTTAGSPLTEYEKAIHSWAVVGLKMDMEKIKKSCRVLIRVEALDFEQKRSGVLIGRNNDDTVHVHWKGDAEMVLAMCSTYYDACGVVKDIDDGERAKFEQIIQGTAASNLRCIAFAHKQVPEEEYDELKEMKRVDGRSLTLLGLVGIKDPCRTVIC >KJB36272 pep chromosome:Graimondii2_0_v6:6:40838694:40849221:1 gene:B456_006G149600 transcript:KJB36272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSKAPSKKQQKKGIDFKKIKRKLGRKLPPPKNATNTEIKSKAIVLPEQSVATNKEGLAVSKKGLTLKELLQQTSHHNAKVRRDALMGIKDLVLNHPAELRLHRYAVIEKLRERISDDDKVVREALYQLFKSEIFPGCAEDNQGLLISLLMTYIFNAMTNLAIDIRMMAFKFFDLVVQYYPPCFSLYAEKILQSYEDILRKNQYYLEDKGKLRTTLSGLVRCLSLLPSKKPESEKGISGDRMIHAFEPDAPTANTGFSTIIKKLKDLVLVLINCFQDFIPLLISMPQLDAQSFDCILSILQSIDIAVRFFIYGTHEVAADSICNQTLSSPLSKKLLGVFPLYPKHHLSVKEDDRYFILNIVITEIFLHLREWICPSANVFEKFLEFIENALLGTICSSTRSGKVAWEKHVPSLLPFIPKLASEVETRWQLRILESFTQTFKGCNPESSLKLSCLSMIEEMLIPNGDKHYTEASDTVVLDFQTVWIRELPSLLMLLGDRQPSSSQVVLRLLLRLGQFCGSNSFLFWDYENTQFALSEFYSTCEEGNIYYGPFMRLPRDCQELSICCLYYFSNLSALLLKSVALCCLCPELELFVSFRIIEVLHAAYKAGHIQIADHISFFMTLLSRFKVFPENIDPVRESDVKVSNHGAFKSLTSVLCSYLSQMGDSSVVLQILDQAIIGLILLQPPLDNACAMLRVLILLDSKPTRLSEQSIIALSNFLPGYLMDVVHCIPEDDVHTWRYYLLPCFFLFDRSNKVLKLVLDLIGSFLTDSNLSLPSDTSTRYATDNLSRINATISLLLLMYKDIKVQKIISLFRTEVSSILKSIASLQSSEVKMTLEERHRFQCSFEQLKVVTSTSPAG >KJB36273 pep chromosome:Graimondii2_0_v6:6:40838688:40849339:1 gene:B456_006G149600 transcript:KJB36273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSKAPSKKQQKKGIDFKKIKRKLGRKLPPPKNATNTEIKSKAIVLPEQSVATNKEGLAVSKKGLTLKELLQQTSHHNAKVRRDALMGIKDLVLNHPAELRLHRYAVIEKLRERISDDDKVVREALYQLFKSEIFPGCAEDNQGLLISLLMTYIFNAMTNLAIDIRMMAFKFFDLVVQYYPPCFSLYAEKILQSYEDILRKNQYYLEDKGKLRTTLSGLVRCLSLLPSKKPESEKGISGDRMIHAFEPDAPTANTGFSTIIKKLKDLVLVLINCFQDFIPLLISMPQLDAQSFDCILSILQSIDIAVRFFIYGTHEVAADSICNQTLSSPLSKKLLGVFPLYPKHHLSVKEDDRYFILNIVITEIFLHLREWICPSANVFEKFLEFIENALLGTICSSTRSGKVAWEKHVPSLLPFIPKLASEVETRWQLRILESFTQTFKGCNPESSLKLSCLSMIEEMLIPNGDKHYTEASDTVVLDFQTVWIRELPSLLMLLGDRQPSSSQVVLRLLLRLGQFCGSNSFLFWDYENTQFALSEFYSTCEEGNIYYGPFMRLPRDCQELSICCLYYFSNLSALLLKSVALCCLCPELELFVSFRIIEVLHAAYKAGHIQIADHISFFMTLLSRFKVFPENIDPVRESDVKVSNHGAFKSLTSVLCSYLSQMGDSSVVLQILDQAIIGLILLQPPLDNACAMLRVLILLDSKPTRLSEQSIIALSNFLPGYLMDVVHCIPEDDVHTWRYYLLPCFFLFDRSNKVLKLVLDLIGSFLTDSNLSLPSDTSTRYATDNLSRINATISLLLLMYKDIKVQKIISLFRTEVSSILKSIASLQSSEVKMTLEERHRFQCSFEQLKVVTSTSPAG >KJB36692 pep chromosome:Graimondii2_0_v6:6:43050323:43052612:1 gene:B456_006G171100 transcript:KJB36692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESISSPYWCYRCNRFIRVRIQSPHDSVHCLHCGGGFIEEIQTPSRSPIDHRFPVASLYSGTPSLSPSPTATPRFRRARRNAGDRSPFNPVVVLRRPSSETDGELPERGNTSFELYYDDGSGSGLRHLPDSMSEFLMGSDFDRLLDQLSQLQVNEVGRFEQPPASKAAIESMPVIRIVGRHIRSDSHCAVCKEPFELDSEAREMPCKHIYHSDCIVPWLSIRNSCPVCRHELPMEDNNSGENEAVGLTIWRLPGGGFAVGRLTGGRRAAEREFPLVFTEMDGGFNNAAAPRRISLAPSGRSSQIESRGFRRVFRSFVSFFGRFRSSSRSGSDSGFTRRSRSLSVFNRSSRRDSD >KJB36103 pep chromosome:Graimondii2_0_v6:6:39871547:39873874:-1 gene:B456_006G141200 transcript:KJB36103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRPPPEDFALKETNPHLGGGKVSGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYLGKTRFFEKKSNPEWNQVFAFSKDRLQASLLEVTVKDKDFVKDDFIGKVFFDLNEIPKRVPPDSPLAPQWYRLEDRQGHKVKGELMLAVWMGTQADEAFPEAWHSDAAFVSGADGLANIRSKVYLSPKLWYLRVNVIEAQDLQPGDKGRYPEVFVKAILGNQALRTRISQARGINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGKCAIPLQYVDRRLDHKAVNSRWFNLEKHVIIEGEKKKETKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLELGILNAHGLMPMKNKDGRGTTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKAAGAKDTKIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIHLAVRFSCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLGRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMNVLGGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLAGFYILRHPRFRHKLPSVPLNFFRRLPARTDSML >KJB36102 pep chromosome:Graimondii2_0_v6:6:39871547:39873874:-1 gene:B456_006G141200 transcript:KJB36102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRPPPEDFALKETNPHLGGGKVSGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYLGKTRFFEKKSNPEWNQVFAFSKDRLQASLLEVTVKDKDFVKDDFIGKVFFDLNEIPKRVPPDSPLAPQWYRLEDRQGHKVKGELMLAVWMGTQADEAFPEAWHSDAAFVSGADGLANIRSKVYLSPKLWYLRVNVIEAQDLQPGDKGRYPEVFVKAILGNQALRTRISQARGINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGKCAIPLQYVDRRLDHKAVNSRWFNLEKHVIIEGEKKKETKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLELGILNAHGLMPMKNKDGRGTTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKAAGAKDTKIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIHLAVRFSCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLGRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMNVLGGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLAGFYILRHPRFRHKLPSVPLNFFRRLPARTDSML >KJB36101 pep chromosome:Graimondii2_0_v6:6:39870780:39875487:-1 gene:B456_006G141200 transcript:KJB36101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRPPPEDFALKETNPHLGGGKVSGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYLGKTRFFEKKSNPEWNQVFAFSKDRLQASLLEVTVKDKDFVKDDFIGKVFFDLNEIPKRVPPDSPLAPQWYRLEDRQGHKVKGELMLAVWMGTQADEAFPEAWHSDAAFVSGADGLANIRSKVYLSPKLWYLRVNVIEAQDLQPGDKGRYPEVFVKAILGNQALRTRISQARGINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGKCAIPLQYVDRRLDHKAVNSRWFNLEKHVIIEGEKKKETKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLELGILNAHGLMPMKNKDGRGTTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKAAGAKDTKIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIHLAVRFSCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLGRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMNVLGGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLAGFYILRHPRFRHKLPSVPLNFFRRLPARTDSML >KJB36100 pep chromosome:Graimondii2_0_v6:6:39870780:39876234:-1 gene:B456_006G141200 transcript:KJB36100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRPPPEDFALKETNPHLGGGKVSGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYLGKTRFFEKKSNPEWNQVFAFSKDRLQASLLEVTVKDKDFVKDDFIGKVFFDLNEIPKRVPPDSPLAPQWYRLEDRQGHKVKGELMLAVWMGTQADEAFPEAWHSDAAFVSGADGLANIRSKVYLSPKLWYLRVNVIEAQDLQPGDKGRYPEVFVKAILGNQALRTRISQARGINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGKCAIPLQYVDRRLDHKAVNSRWFNLEKHVIIEGEKKKETKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLELGILNAHGLMPMKNKDGRGTTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKAAGAKDTKIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIHLAVRFSCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLGRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMNVLGGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLAGFYILRHPRFRHKLPSVPLNFFRRLPARTDSML >KJB33034 pep chromosome:Graimondii2_0_v6:6:598599:598872:1 gene:B456_006G0023001 transcript:KJB33034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTPGHQSIGHL >KJB33855 pep chromosome:Graimondii2_0_v6:6:9181826:9185187:1 gene:B456_006G034600 transcript:KJB33855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEIRSCQVLKHSSDKEPNFVSEPNGSGPAFKELKSFAYTLFSIHHSSSSSSSPFFTLLMARSWLVDSRAIAKKVKNASWSSSVQITDCGANRECPNCLYRIDNSDVFPQWPGLPVGVKFDPSDSELLDHLAAKCGVGDSKEHLFIDEFILTLGECQGICYTHPENLPGARKDGSSIHFFHRTINAYSTGQRKRRKIQNQDNTNEEHVRWHKTGKTKPVTENGVHKGWKKIMVLYKSTKKGCKPEKSNWVMHQYHLGDTEDEHDGEYVVSKIFYQQQKQTEKNDDSPITEEPDNLMIRTSPRTPKTITPDPPRPWKSMILDDIEENMHQEAKFAVERSDVHTDAVQCEDNSHYPAWLAGESQAVENPDFNGFDEFLLCNELLDSSSLPTTNDPKADGAAIETKGNNDASCGISELENLEFDTPPDDLQFGSQESILSWLDRL >KJB33856 pep chromosome:Graimondii2_0_v6:6:9181826:9185405:1 gene:B456_006G034600 transcript:KJB33856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEIRSCQVLKHSSDKEPNFVSEPNGSGPAFKELKSFAYTLFSIHHSSSSSSSPFFTLLMARSWLVDSRAIAKKVKNASWSSSVQITDCGANRECPNCLYRIDNSDVFPQWPGLPVGVKFDPSDSELLDHLAAKCGVGDSKEHLFIDEFILTLGECQGICYTHPENLPGARKDGSSIHFFHRTINAYSTGQRKRRKIQNQDNTNEEHVRWHKTGKTKPVTENGVHKGWKKIMVLYKSTKKGCKPEKSNWVMHQYHLGDTEDEHDGEYVVSKIFYQQQKQTEKNDDSPITEEPDNLMIRTSPRTPKTITPDPPRPWKSMILDDIEENMHQEAKFAVERSDVHTDAVQCEDNSHYPAWLAGESQAVENPDFNGFDEFLLCNELLDSSSLPTTNDPKADGAAIETKGNNDASCGISELENLEFDTPPDVTLADLQFGSQESILSWLDRL >KJB33563 pep chromosome:Graimondii2_0_v6:6:4183237:4192616:-1 gene:B456_006G018000 transcript:KJB33563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANLTVASENYNPLPEDMETRMLKIIWNNLEDPLSQTVKQVHLIFDLFLDIQSSLCGAEGSEKIKTFLQKIASDLLRLGSRCKGRYVPLALLTKRFGAKTMLDMSPDLLFEIVQAYSDDDVCCAATSFLKCFLEYLRDECWSNYGIERGYALYRGHCLPPLLHGLASGISKLRSNLNTYALPVLLEVDVDGIFPLLACISIGPTEAENDLLYPDHDGKNMELRVEQKVAVLVSLLKVSRSLALIEGDIDFCDDSMTSNMDDMVEAKSFNPFALVCIKGIKVRILVGWLVLALTHIDESLRVDAAEFLFLSPKTSSLPSRLELSLMSEAVPLNMRSSSTGFQMKWSSLFRKFFSRVRTALERQFKQGSWQPRMNSEISDLCLCQGNEDNTVSRAEELFNFMRWLSCFLFFSCYPSAPYKRKIMAMELIQIMINVWPVLPSSQESSASMSPESCLYPYSVGITSPESTFLLVGSIIDSWDRLRESSFRILLHFPTPLPGISSDEMVQKVITWAKKLVCSPRVRESDAGALTLRLIFRKYVVDLGWRVTVSVSVVCSHSQNSPLNGDYHKCPAIHPVMEYVKSLIHWLDVAVEEGEKDLAEACKNSFVHGVLLALRYTFEELDWNSDAVLCSISDMRHALEKLLELVVRITSMALWVVSADAWYLPEDIDDMVDADAFLLDGPDEMDAALPSIEQEDKCTKSIRDARPSDQVVMVGCWLAMKELSLLLGTIIRKIPLPSYSCSGSIESGHPSYDSIDASVTAISEGMLDLKQLEKIGNHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPMLCKLTESWMGQLMDRTVAKGQTVDDLLRRSAGIPAAFTALFLAEPEGAPKKLLLRALRWLIDVAKGSLLSPSETNCTNVSCQVSSTKSGQETDSTLVTETIATEKTSKIRDEGVVPTVHAFNVLRAAFNDTNLASDTSGFAAEALIVSICSFSSPYWEIRNSACLAYTSLVRRMIGFLNVHKRESARRALTGLEFFHRYPSLHPFVFNELKIATELLGDALLGQTESNLAKAVHPSLCPMLILLSRLKPSPIASETGDDLDPFLFMPFIMKCSTQSNLRVRILASRALTGLVSNEKLPTVLLNIASELPQAENQITASPVASIPLYPANGAHHVSYNLIHGLLLQLGSLVHVNCRNLADFSRKDQILGDLMKVLAMCSWFASPKRCPCPLLNCTFLQVLDHMLSVAKSCHLSKNLFAIRNLLLELSTECLDVEASYGFQYYDPTIAELRQQAASSYFSCLFQPSDEVGEEVFQIPKRSPLNSMLFQTHEVENSGFLERLIRSFSDSSYEVRLVTLKWLHKFLKSRPGNEINYLSSSDTRIIQNWTKANLQPTLMKLLELEKNHRCMYRILRIIFTSNLLKFQESEEKSDGTLYVGALDYDSVLQLWDRLISLLKLTRHAKTQEILICCLAICVRQFIRLFSCFILTDKGQKTAGYNESGQMERSACFYECITFYVNLIKERSSSSEPVNMRKAAAESMFASGLLEQAEVIASSVINQQISSKNSFSSFEHQDAVSTYAHQILEMWFTCIKLLEDEDDGIRQRAATDIQKFLPPKSSGTTSDTCGARTQVEKVIELSFDRLSSIFGHWIVYFDCLLRWVLDAGNYVISKGDLVRRVFDKEIDNHHEEKLLISQICCSHLEKLPITKSWAGKLFDNEEVRNYLLDWRSRFFQQLVSFAKDHIGKLGVDWIGGVAYSLLDLNKRILTQKHVPAFSQQNKMQTSYFERSRIRFQKISAPSFVLCEE >KJB33564 pep chromosome:Graimondii2_0_v6:6:4183577:4191322:-1 gene:B456_006G018000 transcript:KJB33564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQICLQQIKTSMLANLTVASENYNPLPEDMETRMLKIIWNNLEDPLSQTVKQVHLIFDLFLDIQSSLCGAEGSEKIKTFLQKIASDLLRLGSRCKGRYVPLALLTKRFGAKTMLDMSPDLLFEIVQAYSDDDVCCAATSFLKCFLEYLRDECWSNYGIERGYALYRGHCLPPLLHGLASGISKLRSNLNTYALPVLLEVDVDGIFPLLACISIGPTEAENDLLYPDHDGKNMELRVEQKVAVLVSLLKVSRSLALIEGDIDFCDDSMTSNMDDMVEAKSFNPFALVCIKGIKVRILVGWLVLALTHIDESLRVDAAEFLFLSPKTSSLPSRLELSLMSEAVPLNMRSSSTGFQMKWSSLFRKFFSRVRTALERQFKQGSWQPRMNSEISDLCLCQGNEDNTVSRAEELFNFMRWLSCFLFFSCYPSAPYKRKIMAMELIQIMINVWPVLPSSQESSASMSPESCLYPYSVGITSPESTFLLVGSIIDSWDRLRESSFRILLHFPTPLPGISSDEMVQKVITWAKKLVCSPRVRESDAGALTLRLIFRKYVVDLGWRVTVSVSVVCSHSQNSPLNGDYHKCPAIHPVMEYVKSLIHWLDVAVEEGEKDLAEACKNSFVHGVLLALRYTFEELDWNSDAVLCSISDMRHALEKLLELVVRITSMALWVVSADAWYLPEDIDDMVDADAFLLDGPDEMDAALPSIEQEDKCTKSIRDARPSDQVVMVGCWLAMKELSLLLGTIIRKIPLPSYSCSGSIESGHPSYDSIDASVTAISEGMLDLKQLEKIGNHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPMLCKLTESWMGQLMDRTVAKGQTVDDLLRRSAGIPAAFTALFLAEPEGAPKKLLLRALRWLIDVAKGSLLSPSETNCTNVSCQVSSTKSGQETDSTLVTETIATEKTSKIRDEGVVPTVHAFNVLRAAFNDTNLASDTSGFAAEALIVSICSFSSPYWEIRNSACLAYTSLVRRMIGFLNVHKRESARRALTGLEFFHRYPSLHPFVFNELKIATELLGDALLGQTESNLAKAVHPSLCPMLILLSRLKPSPIASETGDDLDPFLFMPFIMKCSTQSNLRVRILASRALTGLVSNEKLPTVLLNIASELPQAENQITASPVASIPLYPANGAHHVSYNLIHGLLLQLGSLVHVNCRNLADFSRKDQILGDLMKVLAMCSWFASPKRCPCPLLNCTFLQVLDHMLSVAKSCHLSKNLFAIRNLLLELSTECLDVEASYGFQYYDPTIAELRQQAASSYFSCLFQPSDEVGEEVFQIPKRSPLNSMLFQTHEVENSGFLERLIRSFSDSSYEVRLVTLKWLHKFLKSRPGNEINYLSSSDTRIIQNWTKANLQPTLMKLLELEKNHRCMYRILRIIFTSNLLKFQESEEKSDGTLYVGALDYDSVLQLWDRLISLLKLTRHAKTQEILICCLAICVRQFIRLFSCFILTDKGQKTAGYNESGQMERSACFYECITFYVNLIKERSSSSEPVNMRKAAAESMFASGLLEQAEVIASSVINQQISSKNSFSSFEHQDAVSTYAHQILEMWFTCIKLLEDEDDGIRQRAATDIQKFLPPKSSGTTSDTCGARTQVEKVIELSFDRLSSIFGHWIVYFDCLLRWVLDAGNYVISKGDLVRRVFDKEIDNHHEEKLLISQICCSHLEKLPITKSWAGKLFDNEEVRNYLLDWRSRFFQQLVSFAKDHIGKLGVDWIGGVAYSLLDLNKRILTQKHVPAFSQQNKMQTSYFERSRIRFQKISAPSFVLCEE >KJB33562 pep chromosome:Graimondii2_0_v6:6:4183237:4192492:-1 gene:B456_006G018000 transcript:KJB33562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKWRAIQHRHRYTYNAVVFPPSFIDSLNQSSLSASAPTFHRELQHLISLNSTYSQVNHVRKLASSFNELLVKEGEKNEALVSTAASFYLEVFFLENSMPLHKTLLSVLAKTKDVFQPVIAECFRLLCNEYRTMSDKKKRFSLSRVALSVMGMPKLGFLVDVIQDCAVLVCWDAVLGLKSVVLETEGWARPSPIVLEQCQEALSCMYYLFQKFPDEFKKLGGDDSNVMEIALGVLVSLLKSVAFSRDCFVAAGVSFFAAFQVCLSDQELGLFIIEGIFGQIVSSSCTNTEDSFSNVISKVPYKGDVCLDIRNLSGLNRLCLIRGILTAVPRMVLNTHFVVSRETCNDFESHGNVACSVKTILYDGILPELCNYCENPTDSHFNFHALTVMQICLQQIKTSMLANLTVASENYNPLPEDMETRMLKIIWNNLEDPLSQTVKQVHLIFDLFLDIQSSLCGAEGSEKIKTFLQKIASDLLRLGSRCKGRYVPLALLTKRFGAKTMLDMSPDLLFEIVQAYSDDDVCCAATSFLKCFLEYLRDECWSNYGIERGYALYRGHCLPPLLHGLASGISKLRSNLNTYALPVLLEVDVDGIFPLLACISIGPTEAENDLLYPDHDGKNMELRVEQKVAVLVSLLKVSRSLALIEGDIDFCDDSMTSNMDDMVEAKSFNPFALVCIKGIKVRILVGWLVLALTHIDESLRVDAAEFLFLSPKTSSLPSRLELSLMSEAVPLNMRSSSTGFQMKWSSLFRKFFSRVRTALERQFKQGSWQPRMNSEISDLCLCQGNEDNTVSRAEELFNFMRWLSCFLFFSCYPSAPYKRKIMAMELIQIMINVWPVLPSSQESSASMSPESCLYPYSVGITSPESTFLLVGSIIDSWDRLRESSFRILLHFPTPLPGISSDEMVQKVITWAKKLVCSPRVRESDAGALTLRLIFRKYVVDLGWRVTVSVSVVCSHSQNSPLNGDYHKCPAIHPVMEYVKSLIHWLDVAVEEGEKDLAEACKNSFVHGVLLALRYTFEELDWNSDAVLCSISDMRHALEKLLELVVRITSMALWVVSADAWYLPEDIDDMVDADAFLLDGPDEMDAALPSIEQEDKCTKSIRDARPSDQVVMVGCWLAMKELSLLLGTIIRKIPLPSYSCSGSIESGHPSYDSIDASVTAISEGMLDLKQLEKIGNHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPMLCKLTESWMGQLMDRTVAKGQTVDDLLRRSAGIPAAFTALFLAEPEGAPKKLLLRALRWLIDVAKGSLLSPSETNCTNVSCQVSSTKSGQETDSTLVTETIATEKTSKIRDEGVVPTVHAFNVLRAAFNDTNLASDTSGFAAEALIVSICSFSSPYWEIRNSACLAYTSLVRRMIGFLNVHKRESARRALTGLEFFHRYPSLHPFVFNELKIATELLGDALLGQTESNLAKAVHPSLCPMLILLSRLKPSPIASETGDDLDPFLFMPFIMKCSTQSNLRVRILASRALTGLVSNEKLPTVLLNIASELPQAENQITASPVASIPLYPANGAHHVSYNLIHGLLLQLGSLVHVNCRNLADFSRKDQILGDLMKVLAMCSWFASPKRCPCPLLNCTFLQVLDHMLSVAKSCHLSKNLFAIRNLLLELSTECLDVEASYGFQYYDPTIAELRQQAASSYFSCLFQPSDEVGEEVFQIPKRSPLNSMLFQTHEVENSGFLERLIRSFSDSSYEVRLVTLKWLHKFLKSRPGNEINYLSSSDTRIIQNWTKANLQPTLMKLLELEKNHRCMYRILRIIFTSNLLKFQESEEKSDGTLYVGALDYDSVLQLWDRLISLLKLTRHAKTQEILICCLAICVRQFIRLFSCFILTDKGQKTAGYNESGQMERSACFYECITFYVNLIKERSSSSEPVNMRKAAAESMFASGLLEQAEVIASSVINQQISSKNSFSSFEHQDAVSTYAHQILEMWFTCIKLLEDEDDGIRQRAATDIQKFLPPKSSGTTSDTCGARTQVEKVIELSFDRLSSIFGHWIVYFDCLLRWVLDAGNYVISKGDLVRRVFDKEIDNHHEEKLLISQICCSHLEKLPITKSWAGKLFDNEEVRNYLLDWRSRFFQQLVSFAKDHIGKLGVDWIGGVAYSLLDLNKRILTQKHVPAFSQQNKMQTSYFERSRIRFQKISAPSFVLCEE >KJB33565 pep chromosome:Graimondii2_0_v6:6:4184637:4192492:-1 gene:B456_006G018000 transcript:KJB33565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKWRAIQHRHRYTYNAVVFPPSFIDSLNQSSLSASAPTFHRELQHLISLNSTYSQVNHVRKLASSFNELLVKEGEKNEALVSTAASFYLEVFFLENSMPLHKTLLSVLAKTKDVFQPVIAECFRLLCNEYRTMSDKKKRFSLSRVALSVMGMPKLGFLVDVIQDCAVLVCWDAVLGLKSVVLETEGWARPSPIVLEQCQEALSCMYYLFQKFPDEFKKLGGDDSNVMEIALGVLVSLLKSVAFSRDCFVAAGVSFFAAFQVCLSDQELGLFIIEGIFGQIVSSSCTNTEDSFSNVISKVPYKGDVCLDIRNLSGLNRLCLIRGILTAVPRMVLNTHFVVSRETCNDFESHGNVACSVKTILYDGILPELCNYCENPTDSHFNFHALTVMQICLQQIKTSMLANLTVASENYNPLPEDMETRMLKIIWNNLEDPLSQTVKQVHLIFDLFLDIQSSLCGAEGSEKIKTFLQKIASDLLRLGSRCKGRYVPLALLTKRFGAKTMLDMSPDLLFEIVQAYSDDDVCCAATSFLKCFLEYLRDECWSNYGIERGYALYRGHCLPPLLHGLASGISKLRSNLNTYALPVLLEVDVDGIFPLLACISIGPTEAENDLLYPDHDGKNMELRVEQKVAVLVSLLKVSRSLALIEGDIDFCDDSMTSNMDDMVEAKSFNPFALVCIKGIKVRILVGWLVLALTHIDESLRVDAAEFLFLSPKTSSLPSRLELSLMSEAVPLNMRSSSTGFQMKWSSLFRKFFSRVRTALERQFKQGSWQPRMNSEISDLCLCQGNEDNTVSRAEELFNFMRWLSCFLFFSCYPSAPYKRKIMAMELIQIMINVWPVLPSSQESSASMSPESCLYPYSVGITSPESTFLLVGSIIDSWDRLRESSFRILLHFPTPLPGISSDEMVQKVITWAKKLVCSPRVRESDAGALTLRLIFRKYVVDLGWRVTVSVSVVCSHSQNSPLNGDYHKCPAIHPVMEYVKSLIHWLDVAVEEGEKDLAEACKNSFVHGVLLALRYTFEELDWNSDAVLCSISDMRHALEKLLELVVRITSMALWVVSADAWYLPEDIDDMVDADAFLLDGPDEMDAALPSIEQEDKCTKSIRDARPSDQVVMVGCWLAMKELSLLLGTIIRKIPLPSYSCSGSIESGHPSYDSIDASVTAISEGMLDLKQLEKIGNHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPMLCKLTESWMGQLMDRTVAKGQTVDDLLRRSAGIPAAFTALFLAEPEGAPKKLLLRALRWLIDVAKGSLLSPSETNCTNVSCQVSSTKSGQETDSTLVTETIATEKTSKIRDEGVVPTVHAFNVLRAAFNDTNLASDTSGFAAEALIVSICSFSSPYWEIRNSACLAYTSLVRRMIGFLNVHKRESARRALTGLEFFHRYPSLHPFVFNELKIATELLGDALLGQTESNLAKAVHPSLCPMLILLSRLKPSPIASETGDDLDPFLFMPFIMKCSTQSNLRVRILASRALTGLVSNEKLPTVLLNIASELPQAENQITASPVASIPLYPANGAHHVSYNLIHGLLLQLGSLVHVNCRNLADFSRKDQILGDLMKVLAMCSWFASPKRCPCPLLNCTFLQVLDHMLSVAKSCHLSKNLFAIRNLLLELSTECLDVEASYGFQYYDPTIAELRQQAASSYFSCLFQPSDEVGEEVFQIPKRSPLNSMLFQTHEVENSGFLERLIRSFSDSSYEVRLVTLKWLHKFLKSRPGNEINYLSSSDTRIIQNWTKANLQPTLMKLLELEKNHRCMYRILRIIFTSNLLKFQESEEKSDGTLYVGALDYDSVLQLWDRLISLLKLTRHAKTQEILICCLAICVRQFIRLFSCFILTDKGQKTAGYNESGQMERSACFYECITFYVNLIKERSSSSEPVNMRKAAAESMFASGLLEQAEVIASSVINQQISSKNSFSSFEHQDAVSTYAHQILEMWFTCIKLLEDEDDGIRQRAATDIQKFLPPKSSGTTSDTCGARTQVEKVIELSFDRLSSIFGHWIVYFDCLLRWVLDAGNYVISKGDLVRRVFDKEIDNHHEEKLLISQICCSHLEKLPITKSWAGKLFDNEEVRNYLLDWRSRFFQQLVSFAKDHIGKLGVDWIGGVGNHKDAFLPLYANLLGFYAVSNFIFNLETIDGMHLLSDVSELGKAINPFLWNPLISSLYLLIDRLHENKFGATNNCINTRFGDGIWDNFDPYFLLR >KJB34232 pep chromosome:Graimondii2_0_v6:6:20022496:20046090:1 gene:B456_006G054700 transcript:KJB34232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKIFLTHLRRSIPLSTYYLPHVTHLPLCPASRSHPNLPARVSPIPYPATRSFFTSSNNVEESILPVRAIVSLLDGYHNLTGFPWWITIVTSTMAMRFALFPVVVIHLQKLKKIGELAPKLPSPLPPPMSGRSYKDHFIHFEKERKANGCPSYFWFFASFLTQVPCLFLWVTSIRRMSLDNYPGFDSGGALWFKNLTELPHDVSGFIFPFLIAGLHYLNVQISFATSSVGKVDSFLTKVFKFYLDILTLPLFGVSLLIPQGSLVYWVTNSSFSVIQQLILKHSAVRAILGLPDKDAPTARSPSVEPTSMQPTIPVQNLSPKELLALSVKHLSREEKDQAVLLLKLALERDPEYVKAMVVMGQTLMQKGLMEDAIEYLERAISKLSLAGHPTEVENVDLLILSSQWAGVVYMKQGKKAEGLAHLETIASLKEPEDPKSKAHYFDGLLLLASALFDFGRKAEALKFLKLVVAYNPKYNYLLEELKNEEYDFASNLASSRRRDY >KJB34231 pep chromosome:Graimondii2_0_v6:6:20022496:20046090:1 gene:B456_006G054700 transcript:KJB34231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISLCALHLDHTLTSRRASPQSPTPPLGPFSLRPTMLKNLSFRFVQSYHCLMVTIISLASLGRSYKDHFIHFEKERKANGCPSYFWFFASFLTQVPCLFLWVTSIRRMSLDNYPGFDSGGALWFKNLTELPHDVSGFIFPFLIAGLHYLNVQISFATSSVGKVDSFLTKVFKFYLDILTLPLFGVSLLIPQGSLVYWVTNSSFSVIQQLILKHSAVRAILGLPDKDAPTARSPSVEPTSMQPTIPVQNLSPKELLALSVKHLSREEKDQAVLLLKLALERDPEYVKAMVVMGQTLMQKGLMEDAIEYLERAISKLSLAGHPTEVENVDLLILSSQWAGVVYMKQGKKAEGLAHLETIASLKEPEDPKSKAHYFDGLLLLASALFDFGRKAEALKFLKLVVAYNPKYNYLLEELKNEEYDFASNLASSRRRDY >KJB34233 pep chromosome:Graimondii2_0_v6:6:20024031:20046090:1 gene:B456_006G054700 transcript:KJB34233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDNYPGFDSGGALWFKNLTELPHDVSGFIFPFLIAGLHYLNVQISFATSSVGKVDSFLTKVFKFYLDILTLPLFGVSLLIPQGSLVYWVTNSSFSVIQQLILKHSAVRAILGLPDKDAPTARSPSVEPTSMQPTIPVQNLSPKELLALSVKHLSREEKDQAVLLLKLALERDPEYVKAMVVMGQTLMQKGLMEDAIEYLERAISKLSLAGHPTEVENVDLLILSSQWAGVVYMKQGKKAEGLAHLETIASLKEPEDPKSKAHYFDGLLLLASALFDFGRKAEALKFLKLVVAYNPKYNYLLEELKNEEYDFASNLASSRRRDY >KJB34324 pep chromosome:Graimondii2_0_v6:6:21983660:21987247:-1 gene:B456_006G059900 transcript:KJB34324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRLLNESCSDTDEPKEKRMRPKPSFASVIGQAVMVNYLYTALEPVLRKVVNEEVERSIGDRLRSFTRSPSLRIQAAEPEPSTLRLIFPKALSLPIFTGSKIVDEESNQLQVALVDTRGGQRAPVLLPNPIKVDIVVLDGDFPSGDRDNFTSEEFDRNIVRERTGKRPLLTGELSVTVRDGVASIGDIEFTDNSSWIRSRKFRIGAKVAQGSFQGVRIREAMTEAFVVKDHRGELYKKHHPPMLGDEVWRLEKIGKDGAFHKKLAFEGVNTVQDFLKMSVVDPPKIRKILGPGMSEKTWDVTIKHAKTCVMGNKYYVFQGTNYRIFLNPICQLVRAEINGTTYPIQTLSSINRSYVEDLVRQAYVNWSSLEEIEGISNEIGLLTQGEDMLDQYRNQQNATMRSFEQNAYLTHDSFEGYVPNEMQADCSRWQISQNYFNTPNENGIRLNLLESNSDDDLTSPKSFISGG >KJB34323 pep chromosome:Graimondii2_0_v6:6:21983660:21986841:-1 gene:B456_006G059900 transcript:KJB34323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNYLYTALEPVLRKVVNEEVERSIGDRLRSFTRSPSLRIQAAEPEPSTLRLIFPKALSLPIFTGSKIVDEESNQLQVALVDTRGGQRAPVLLPNPIKVDIVVLDGDFPSGDRDNFTSEEFDRNIVRERTGKRPLLTGELSVTVRDGVASIGDIEFTDNSSWIRSRKFRIGAKVAQGSFQGVRIREAMTEAFVVKDHRGELYKKHHPPMLGDEVWRLEKIGKDGAFHKKLAFEGVNTVQDFLKMSVVDPPKIRKILGPGMSEKTWDVTIKHAKTCVMGNKYYVFQGTNYRIFLNPICQLVRAEINGTTYPIQTLSSINRSYVEDLVRQAYVNWSSLEEIEGISNEIGLLTQGEDMLDQYRNQQNATMRSFEQNAYLTHDSFEGYVPNEMQADCSRWQISQNYFNTPNENGIRLNLLESNSDDDLTSPKSFISGG >KJB35154 pep chromosome:Graimondii2_0_v6:6:34237384:34245829:-1 gene:B456_006G102300 transcript:KJB35154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDDVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPVPAPLAGWMSNPSAVTHPAVSAGAIGLGASSIPAALKHPRTPPTNPSVDYPSADSDHVSKRARPMGISDEVNLPVNVLQVTFPGHGHSQTFIAPDDLPKAVARTLNQGSSPMSMDFHPVRQTLLLVGTNVGDIALWEVGSRERLVSKNFKVWDLSVCSMPLQAALVKDPAVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGDEVRQHLEIDAHVGGVNDIAFSLPNKQLCVITCGDDKTIKVWDASNGTKQYTFEGHEAHVYSVCPHYKENIQFIFSTALDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGESFIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNVQPLTSYDAEGGLPASPRIRFNKDGSLLAVSANDNGIKILANSDGMRLLRTLENLSYDNSRTPEAPKPTINPISTAAAATSAALADRSASVVAIPGMNGDVRSFADVKPRITEESSDKSKIWKLTEISESSQCRSLRLPENLRVTKISRLIFTNSGNAILALASNAIHLLWKWQRSDRNSNGKATASVSPQLWQPSSGILMTNDVADTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLIGHSKRITGLAFSHALNVLVSSGADSQIPVGITPTAQSETRVQFHQDQIRLLVVHETQLALYETTKLECFKQWVPRESFAPITHATFSCDSQLVYASFLDATICVFVAANLRPRCRINPSAYLPASVSSNVHPLVIAAHPSEPNEFAVGLSDGGVHVFEPLESENRWGVPQSADNGSASSITATPSVAAQGSEQAQR >KJB35153 pep chromosome:Graimondii2_0_v6:6:34237309:34245911:-1 gene:B456_006G102300 transcript:KJB35153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDDVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPVPAPLAGWMSNPSAVTHPAVSAGAIGLGASSIPAALKHPRTPPTNPSVDYPSADSDHVSKRARPMGISDEVNLPVNVLQVTFPGHGHSQTFIAPDDLPKAVARTLNQGSSPMSMDFHPVRQTLLLVGTNVGDIALWEVGSRERLVSKNFKVWDLSVCSMPLQAALVKDPAVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGDEVRQHLEIDAHVGGVNDIAFSLPNKQLCVITCGDDKTIKVWDASNGTKQYTFEGHEAHVYSVCPHYKENIQFIFSTALDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGESFIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNVQPLTSYDAEGGLPASPRIRFNKDGSLLAVSANDNGIKILANSDGMRLLRTLENLSYDNSRTPEAPKPTINPISTAAAATSAALADRSASVVAIPGMNGDVRSFADVKPRITEESSDKSKIWKLTEISESSQCRSLRLPENLRVTKISRLIFTNSGNAILALASNAIHLLWKWQRSDRNSNGKATASVSPQLWQPSSGILMTNDVADTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLIGHSKRITGLAFSHALNVLVSSGADSQLCVWNTDGWEKQKTKFLQIPVGITPTAQSETRVQFHQDQIRLLVVHETQLALYETTKLECFKQWVPRESFAPITHATFSCDSQLVYASFLDATICVFVAANLRPRCRINPSAYLPASVSSNVHPLVIAAHPSEPNEFAVGLSDGGVHVFEPLESENRWGVPQSADNGSASSITATPSVAAQGSEQAQR >KJB34217 pep chromosome:Graimondii2_0_v6:6:19289853:19292367:1 gene:B456_006G053500 transcript:KJB34217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKGGSFVPVHQWRLGLLTALVLVGMVVVWSIDGCTIKTFVQSWQFKQDYITLKVNSFANINQTHQNFSHSLRNITLNPTLNTTHFPFLNVNSSLETKKSSLNWVSAELENNFTANLLSRWLAEGGEPCKDSKTVEIKIPGLDKDDLVELSAGEIHYFMFQAVDESGNGRCLGGDYFEFDLSGDSWKSRPLVKDFGNGTYSVSLQVHPDFAGDYNLTIILLFRHFQGLKFSPARFAYDKQLRHMKIRFFRTKTQLPELQICQKSDFNRDVWSGRWTRHGKNDGCRISNDGRYRCLAPDFPCRNPWCNGSLGVLESNGWVYSSHCSFRLFTVDSGWDCLKNRWIFFWGDSNHVDTIRNMLNFVLGLPEIKSVPRRFDMNFSNPKDRSQMVRITSIFNGHWNGTQNYLGLDSLKDEGFRNLLKKYFSEDSVPDTIIMNSGLHDGVHWSNIRAFSRGAEYAASFWKDIMESVRRRGLVVPPIIFRSTIATGGYARLLAFNPNKMEAFNGVFLEKLRRAGLVSSVIDNFDMTFSWHFDNRCNDGVHYGRAPLKMKWRDGEIGHQYFVDLMLCHVLLNVLCAR >KJB35549 pep chromosome:Graimondii2_0_v6:6:36906787:36916434:-1 gene:B456_006G119300 transcript:KJB35549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFIDNLSPMDLMRSEKMSLVQLIIPVESAHRAVSYLGELGLLQFRDLNAEKSPFQRTFVNQVKRCGEMSRKLRFFKDQIIKAGLLSSIHPVVEPDVELEELEMQLAEHEHELIEMNSNSEKLRQTYNELLEFKMVLIKAGSFLVSNNNQAVAEEWELSENVYSSDRYVEASSLLEQQMRTADQSGLRFISGIIFQSKALRFERMLFRATRGNMLFNQAPAGEEIMDPLSAEMVKKTVFVVFFSGEQARTKILKICEAFGANCYPVPDDFSKQRQITREILSRLSELETTLDAGIRHQNKALTSIGYHLTQWMSMVRREKAIYDTLNMLNFDVTKKCLVGEGWCPVFAKAKVQEALQRATFDSNSQVGSIFHVMDAVESPPTYFRTNHFTNAYQEIVDAYGIARYQEANPAVYTVVTFPFLFAVMFGDWGHGICLLLGALVLIARESRLSTQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGGSAYKCRDATCSDAHSAGLIKFRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNARFFHSSLDIRYQFVPQMIFLNSLFGYLSLLIIIKWCIGSQADLYHVMIYMFLSPTDDLGENELFWGQRPLQIVLLLLALVAVPWMLFPKPFILKKLHSERFQGRNYGMLVSSEFDPDVEPDSAWEHHEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALR >KJB35547 pep chromosome:Graimondii2_0_v6:6:36905444:36917631:-1 gene:B456_006G119300 transcript:KJB35547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFIDNLSPMDLMRSEKMSLVQLIIPVESAHRAVSYLGELGLLQFRDLNAEKSPFQRTFVNQVKRCGEMSRKLRFFKDQIIKAGLLSSIHPVVEPDVELEELEMQLAEHEHELIEMNSNSEKLRQTYNELLEFKMVLIKAGSFLVSNNNQAVAEEWELSENVYSSDRYVEASSLLEQQMRTADQSGLRFISGIIFQSKALRFERMLFRATRGNMLFNQAPAGEEIMDPLSAEMVKKTVFVVFFSGEQARTKILKICEAFGANCYPVPDDFSKQRQITREILSRLSELETTLDAGIRHQNKALTSIGYHLTQWMSMVRREKAIYDTLNMLNFDVTKKCLVGEGWCPVFAKAKVQEALQRATFDSNSQVGSIFHVMDAVESPPTYFRTNHFTNAYQEIVDAYGIARYQEANPAVYTVVTFPFLFAVMFGDWGHGICLLLGALVLIARESRLSTQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGGSAYKCRDATCSDAHSAGLIKFRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNARFFHSSLDIRYQFVPQMIFLNSLFGYLSLLIIIKWCIGSQADLYHVMIYMFLSPTDDLGENELFWGQRPLQIVLLLLALVAVPWMLFPKPFILKKLHSERFQGRNYGMLVSSEFDPDVEPDSAWEHHEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNVVIRLIGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFKPFSFALITEDGD >KJB35546 pep chromosome:Graimondii2_0_v6:6:36905430:36917631:-1 gene:B456_006G119300 transcript:KJB35546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFIDNLSPMDLMRSEKMSLVQLIIPVESAHRAVSYLGELGLLQFRDLNAEKSPFQRTFVNQVKRCGEMSRKLRFFKDQIIKAGLLSSIHPVVEPDVELEELEMQLAEHEHELIEMNSNSEKLRQTYNELLEFKMVLIKAGSFLVSNNNQAVAEEWELSENVYSSDRYVEASSLLEQQMRTADQSGLRFISGIIFQSKALRFERMLFRATRGNMLFNQAPAGEEIMDPLSAEMVKKTVFVVFFSGEQARTKILKICEAFGANCYPVPDDFSKQRQITREILSRLSELETTLDAGIRHQNKALTSIGYHLTQWMSMVRREKAIYDTLNMLNFDVTKKCLVGEGWCPVFAKAKVQEALQRATFDSNSQVGSIFHVMDAVESPPTYFRTNHFTNAYQEIVDAYGIARYQEANPAVYTVVTFPFLFAVMFGDWGHGICLLLGALVLIARESRLSTQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGGSAYKCRDATCSDAHSAGLIKFRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNARFFHSSLDIRYQFVPQMIFLNSLFGYLSLLIIIKWCIGSQADLYHVMIYMFLSPTDDLGENELFWGQRPLQIVLLLLALVAVPWMLFPKPFILKKLHSERFQGRNYGMLVSSEFDPDVEPDSAWEHHEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNVVIRLIGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFKPFSFALITEDGD >KJB35548 pep chromosome:Graimondii2_0_v6:6:36905548:36917631:-1 gene:B456_006G119300 transcript:KJB35548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFIDNLSPMDLMRSEKMSLVQLIIPVESAHRAVSYLGELGLLQFRDLNAEKSPFQRTFVNQVKRCGEMSRKLRFFKDQIIKAGLLSSIHPVVEPDVELEELEMQLAEHEHELIEMNSNSEKLRQTYNELLEFKMVLIKAGSFLVSNNNQAVAEEWELSENVYSSDRYVEASSLLEQQMRTADQSGLRFISGIIFQSKALRFERMLFRATRGNMLFNQAPAGEEIMDPLSAEMVKKTVFVVFFSGEQARTKILKICEAFGANCYPVPDDFSKQRQITREILSRLSELETTLDAGIRHQNKALTSIGYHLTQWMSMVRREKAIYDTLNMLNFDVTKKCLVGEGWCPVFAKAKVQEALQRATFDSNSQVGSIFHVMDAVESPPTYFRTNHFTNAYQEIVDAYGIARYQEANPAVYTVVTFPFLFAVMFGDWGHGICLLLGALVLIARESRLSTQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGGSAYKCRDATCSDAHSAGLIKFRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNARFFHSSLDIRYQFVPQMIFLNSLFGYLSLLIIIKWCIGSQADLYHVMIYMFLSPTDDLGENELFWGQRPLQIVLLLLALVAVPWMLFPKPFILKKLHSERFQGRNYGMLVSSEFDPDVEPDSAWEHHEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNVVIRLIGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFKPFSFALITEDGD >KJB37310 pep chromosome:Graimondii2_0_v6:6:45646195:45650290:1 gene:B456_006G199100 transcript:KJB37310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMMEVILHVYDVTNSESDKTNSTIVQINKIFKDGIGLGGIFHSAVQVYGEDEWSFGFCEQGSGVFSCPSGKNPMYTYRESVVLGRTNFSIFKVNQILRELSREWPGSSYDLLSKNCNHFCDDFCERLGVQKLPGWVNRFANAGDTAIEIAESTAFRLRQAKTEIVSASKVAYRFLLGVTSGSSGADDSTGNSNSVCPSFQSAWFKDIVNVGAKPSTRSEIETLDNNVLQKHHPQNSTQTMQQNFRDPERPQRWSSQDFEQPIFQNPHDSEQSLRQNSLLDI >KJB36474 pep chromosome:Graimondii2_0_v6:6:42030616:42051947:1 gene:B456_006G160800 transcript:KJB36474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVFQVMAFGVRALDKNDIDASYIGKLAKIATAEIISSKELNADWQRAAASLLVAIGSHLPDLMMEEIFLHLSGPSSALAAMIQILADFASADALQFAPRLKGVLSRVLPILGNVRDAQRPIFANAFKCWCQAVWQYNVDFPSNSLIDGDVMSFLNSAFELLLRVWAASRDLKVRVSSVEALGQMVGLITRTQLKTALPRLIPTILELCKKDQDIALIATSSLYNLLNVSLLSETGPPLLEFEELTVILSTLLPVICMDNDGKEHSDFSVGLKTYNEVQRCFLTVGSIYPEDLFSFLLNKCRLKEEPLTFGALCVLKHLLPRSSEAWHNKRPLLVDAVKSLLDEQSLGIRKALSELIVVMASHCYLVGPTAELFVEYLVRHCALSEQDRIDLESSQAKVGLICPTELRAICEKGLLLLTITIPEMEHVLWPFLLKMIIPRDYTGAVAMVCRCIAELCRHRSSYNNNMLDDCKDRSDIPNPEELFARLVVLLHNPLVREQRATQILTVLCYLAPMFPRNINLFWQDEIPKMKAYVSDPEDLKLDPSYQETWDDMIINFLAESLDVIQDTDWVISLGNAFTKQYALYTPDDEHSALLHRCLGILLQKVNDRAYVRGKIDWMYKQANITIPTNRLGLAKAIGLVAASHLDTVLDKLKNILDNVGQSIFQRFLSLFSESYRTEESDDVHAALALMYGYAARYAPSMVIEARIDALVGTNMLSKLLHVRHPTAKQAVITAIDLLGRAVINAAENGAPFPLKRRDQLLDYILTLMGRDESDDFADSSLELLRTQALALNACTTLVSVEPKLTIETRNRVMKATLGFFALPNDPIDVINPLIDNLITLLCAILLTSGEDGRSRAEQLLHILRQIDQYVSSHMDYQRRRGCLAVYEMLVKFRMLCVSGYCALGCRGSCTHSKQIDRTLHGNFSNLPSAFVSPSREALSLGDRVIMYLPCCADTDSEVRKLSAQILDQLFSISLSLPKPLGSSVGGDIELCYGALSSLEDVIAILRSDSSIDPSEVFNRIVASVCILLTKDELVDTLHGCMPAICNRIKQSAEGAIVAVIEFVTKRGAELSEANISRTAQSLLSAAGHVTEKQLRLEVLGAISSLSENTNEKVVFNEVLTAAARDIVTKDISRLRGGWSMQDAFHAFSQHIVLSVLFLEHLISVLNQTRVAKSDPGKGENSILLSETQLADDILQAAIFALTAFFRGGGKVGKRAVEQRYSSVLAALILQFGKCHGLANSGQHEPLRALLTAFQAFCECVGDLEMGKILARDSEQNEKEKWINLIGDLAGCISMKRPKEVENLCKIFTKSLNLHENFQREAAAAALSEFVCYSSGFSSTLEEMVEVLCRHVSDESPTVRCLCLRGLVKIPSVHIYQHTTQVLGVILALLDDLDESVQLTAVSCLLMILETSPKDAVEPILLNLSVRLRNLQISMNVKMRADAFAAFGALSNYGIGAHKDAFLEQIHATLPRLILHLHDDDLAVRHACRNTLKRFVPLMEIEGLLALFDSHRINSDHRSAYEDFVRDFTRQFVQHLSSRVDTFMASTIQALNAPWPIIQANAIYVSSSILSLSDDPNILALYHAQVFGMLVGKMSRSADAVVRARSSLAFSLLLKSTNLISWRAARLDQADSARKGS >KJB38557 pep chromosome:Graimondii2_0_v6:6:50117093:50118867:-1 gene:B456_006G260400 transcript:KJB38557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNARRSLSTLLSRALSSSSSFPSHSRLTVALLNKTPVFTPQATKIQTRTKTSASGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVLEFPEDPKPSEEEMIDAYVKTLASVVGSEEEAKKRIYSVCTTRYTGFGALISEELSYKVKGLPHVLWVLPDSYLDVPNKDYGGG >KJB38556 pep chromosome:Graimondii2_0_v6:6:50117093:50118867:-1 gene:B456_006G260400 transcript:KJB38556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNARRSLSTLLSRALSSSSSFPSHSRLTVALLNKTPVFTPQATKIQTRTKTSASGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVLEFPEDPKPSEEEMIDAYVKTLASVVGRFLNNNNSFKFH >KJB38555 pep chromosome:Graimondii2_0_v6:6:50116953:50118875:-1 gene:B456_006G260400 transcript:KJB38555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNARRSLSTLLSRALSSSSSFPSHSRLTVALLNKTPVFTPQATKIQTRTKTSASGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVLEFPEDPKPSEEEMIDAYVKTLASVVGSEEEAKKRIYSVCTTRYTGFGALISEELSYKVKGLPHVLWVLPDSYLDVPNKDYGGDLFVDGKVIHRPQYTSSVQPSSGSRVRGRGDRHIRR >KJB36506 pep chromosome:Graimondii2_0_v6:6:42230328:42232051:1 gene:B456_006G162800 transcript:KJB36506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDAKLKEYIQQHGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGEFSDDEDRIICTLFASIGSRWSIIASQLPGRTDNDIKNYWNTKLKKKLFGMVPQSQRKPHQIPHASFSSVLQSSSPSSPSPSSPLLYNCSNNTYHTPARSFSCFEASYSSSFSNSSASCVNAASVLQPQESLLGQIQHYQLHDNGVQMFGGEASCSSSDGSCSNQISHNKELENSNGTVYDGDHQQFGMYSYNNFYSEAADGSQKLMIALNGDHGTDGWSDHHKSSNGLLDETETPLDYGIEGIKQLISTTSTSSCNSFIFDENKADENLLYY >KJB33830 pep chromosome:Graimondii2_0_v6:6:8497924:8503847:-1 gene:B456_006G032800 transcript:KJB33830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVSSPKPGGTSAADCISDPEEKEVSDEEDDDRNHKHRRRDTCSQSLERDSTDPVFTRPYRKRSKPLENGHNESQAGETCKNSNSLLLEKDLTSKFDRRYPGLASLPRGHLDLNQRIRSNQTFSGDSGPGRGRGRDNSSWNHRDSRFNSVDIAYQLVQPGSVAPSLFAGRGLPNLSNTQAPSWSAFGLMPGIPNGSLDTLHSIGLQGVLRPPMNSSLNMGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSVPSAQILATSAGPGPLPSVLPPSITLVNGKGIHSKSSKSGMTEDATVLNCAYTATTSAGGDLYDPDQPLWNNNGPEVSAALTGQCSPKINETEPLRNDDISDLHHGMLCESADNELPIRSSRSQGMNLSVWGRIGSSRSRIEAKDKIDHLPSDHLENETKKEKEPFPSSQDTSCQVKRISSEDDGSKVMDSSLKSQIDLHSRRKPTQKALRTLFVNGIPQKCNKREALLSHFRKFGEVIDIYIPQNSERAFIQFSRREEAEAALKAPDAVMGNRFIKLWWANRDSITDDGVNSGSGSGSGMPVTPSGLTASGVPPQPVANKEKDNLQRIAQKSNVVEDTDVPSLKSPKSVSTNGPQAPPLLQKKLETLERMKEELRKKQEMLEQKRNDFRRQLNKLEKQSSIVKDDPLSEPAAKRHKVGSAADPAKVLIPSSSEPSASAAKTCTKEMTDKNKSMENVVSRSPKSSTTMALQESMGSKQQYCKPRQSAPMRHPFLMNKYRLDNRPTAFRVIPPLPSGFADVDVLKEHFLQYGDLFSVELQDVENEDNGDIGSEALKKCSALITYSTRQSAERAYVNGKCWQGNNLQFKWLIYNTNPSSKETSSSAPKEPLEADVTKEEKTAHSVAQEVVGSGNGESENSDRESFVEHMELAEVSEQSPSPTSSMKESPKGDMS >KJB33829 pep chromosome:Graimondii2_0_v6:6:8495295:8503846:-1 gene:B456_006G032800 transcript:KJB33829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVSSPKPGGTSAADCISDPEEKEVSDEEDDDRNHKHRRRDTCSQSLERDSTDPVFTRPYRKRSKPLENGHNESQAGETCKNSNSLLLEKDLTSKFDRRYPGLASLPRGHLDLNQRIRSNQTFSGDSGPGRGRGRDNSSWNHRDSRFNSVDIAYQLVQPGSVAPSLFAGRGLPNLSNTQAPSWSAFGLMPGIPNGSLDTLHSIGLQGVLRPPMNSSLNMGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSVPSAQILATSAGPGPLPSVLPPSITLVNGKGIHSKSSKSGMTEDATVLNCAYTATTSAGGDLYDPDQPLWNNNGPEVSAALTGQCSPKINETEPLRNDDISDLHHGMLCESADNELPIRSSRSQGMNLSVWGRIGSSRSRIEAKDKIDHLPSDHLENETKKEKEPFPSSQDTSCQVKRISSEDDGSKVMDSSLKSQIDLHSRRKPTQKALRTLFVNGIPQKCNKREALLSHFRKFGEVIDIYIPQNSERAFIQFSRREEAEAALKAPDAVMGNRFIKLWWANRDSITDDGVNSGSGSGSGMPVTPSGLTASGVPPQPVANKEKDNLQRIAQKSNVVEDTDVPSLKSPKSVSTNGPQAPPLLQKKLETLERMKEELRKKQEMLEQKRNDFRRQLNKLEKQSSIVKDDPLSEPAAKRHKVGSAADPAKVLIPSSSEPSASAAKTCTKEMTDKNKSMENVVSRSPKSSTTMALQESMGSKQQYCKPRQSAPMRHPFLMNKYRLDNRPTAFRVIPPLPSGFADVDVLKEHFLQYGDLFSVELQDVENEDNGDIGSEALKKCSALITYSTRQSAERAYVNGKCWQGNNLQFKWLIYNTNPSSKETSSSAPKEPLEADVTKEEKTAHSVAQEVVGSGNGESENSDRESFVEHMELAEVSEQSPSPTSSMKESPKGDMS >KJB33827 pep chromosome:Graimondii2_0_v6:6:8497924:8503809:-1 gene:B456_006G032800 transcript:KJB33827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVSSPKPGGTSAADCISDPEEKEVSDEEDDDRNHKHRRRDTCSQSLERDSTDPVFTRPYRKRSKPLENGHNESQAGETCKNSNSLLLEKDLTSKFDRRYPGLASLPRGHLDLNQRIRSNQTFSGDSGPGRGRGRDNSSWNHRDSRFNSVDIAYQLVQPGSVAPSLFAGRGLPNLSNTQAPSWSAFGLMPGIPNGSLDTLHSIGLQGVLRPPMNSSLNMGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSVPSAQILATSAGPGPLPSVLPPSITLVNGKGIHSKSSKSGMTEDATVLNCAYTATTSAGGDLYDPDQPLWNNNGPEVSAALTGQCSPKINETEPLRNDDISDLHHGMLCESADNELPIRSSRSQGMNLSVWGRIGSSRSRIEAKDKIDHLPSDHLENETKKEKEPFPSSQDTSCQVKRISSEDDGSKVMDSSLKSQIDLHSRRKPTQKALRTLFVNGIPQKCNKREALLSHFRKFGEVIDIYIPQNSERAFIQFSRREEAEAALKAPDAVMGNRFIKLWWANRDSITDDGVNSGSGSGSGMPVTPSGLTASGVPPQPVANKEKDNLQRIAQKSNVVEDTDVPSLKSPKSVSTNGPQAPPLLQKKLETLERMKEELRKKQEMLEQKRNDFRRQLNKLEKQSSIVKDDPLSEPAAKRHKVGSAADPAKVLIPSSSEPSASAAKTCTKEMTDKNKSMENVVSRSPKSSTTMALQESMGSKQQYCKPRQSAPMRHPFLMNKYRLDNRPTAFRVIPPLPSGFADVDVLKEHFLQYGDLFSVELQDVENEDNGDIGSEALKKCSALITYSTRQSAERAYVNGKCWQGNNLQFKWLIYNTNPSSKETSSSAPKEPLEADVTKEEKTAHSVAQEVVGSGNGESENSDRESFVEHMELAEVSEQSPSPTSSMKESPKGDMS >KJB33826 pep chromosome:Graimondii2_0_v6:6:8495295:8503847:-1 gene:B456_006G032800 transcript:KJB33826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVSSPKPGGTSAADCISDPEEKEVSDEEDDDRNHKHRRRDTCSQSLERDSTDPVFTRPYRKRSKPLENGHNESQAGETCKNSNSLLLEKDLTSKFDRRYPGLASLPRGHLDLNQRIRSNQTFSGDSGPGRGRGRDNSSWNHRDSRFNSVDIAYQLVQPGSVAPSLFAGRGLPNLSNTQAPSWSAFGLMPGIPNGSLDTLHSIGLQGVLRPPMNSSLNMGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSVPSAQILATSAGPGPLPSVLPPSITLVNGKGIHSKSSKSGMTEDATVLNCAYTATTSAGGDLYDPDQPLWNNNGPEVSAALTGQCSPKINETEPLRNDDISDLHHGMLCESADNELPIRSSRSQGMNLSVWGRIGSSRSRIEAKDKIDHLPSDHLENETKKEKEPFPSSQDTSCQVKRISSEDDGSKVMDSSLKSQIDLHSRRKPTQKALRTLFVNGIPQKCNKREALLSHFRKFGEVIDIYIPQNSERAFIQFSRREEAEAALKAPDAVMGNRFIKLWWANRDSITDDGVNSGSGSGSGMPVTPSGLTASGVPPQPVANKEKDNLQRIAQKSNVVEDTDVPSLKSPKSVSTNGPQAPPLLQKKLETLERMKEELRKKQEMLEQKRNDFRRQLNKLEKQSSIVKDDPLSEPAAKRHKVGSAADPAKVLIPSSSEPSASAAKTCTKEMTDKNKSMENVVSRSPKSSTTMALQESMGSKQQYCKPRQSAPMRHPFLMNKYRLDNRPTAFRVIPPLPSGFADVDVLKEHFLQYGDLFSVELQDVENEDNGDIGSEALKKCSALITYSTRQSAERAYVNGKCWQGNNLQFKWLIYNTNPSSKETSSSAPKEPLEADVTKEEKTAHSVAQEVVGSGNGESENSDRESFVEHMELAEVSEQSPSPTSSMKESPKGDMS >KJB33828 pep chromosome:Graimondii2_0_v6:6:8497924:8501051:-1 gene:B456_006G032800 transcript:KJB33828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDATVLNCAYTATTSAGGDLYDPDQPLWNNNGPEVSAALTGQCSPKINETEPLRNDDISDLHHGMLCESADNELPIRSSRSQGMNLSVWGRIGSSRSRIEAKDKIDHLPSDHLENETKKEKEPFPSSQDTSCQVKRISSEDDGSKVMDSSLKSQIDLHSRRKPTQKALRTLFVNGIPQKCNKREALLSHFRKFGEVIDIYIPQNSERAFIQFSRREEAEAALKAPDAVMGNRFIKLWWANRDSITDDGVNSGSGSGSGMPVTPSGLTASGVPPQPVANKEKDNLQRIAQKSNVVEDTDVPSLKSPKSVSTNGPQAPPLLQKKLETLERMKEELRKKQEMLEQKRNDFRRQLNKLEKQSSIVKDDPLSEPAAKRHKVGSAADPAKVLIPSSSEPSASAAKTCTKEMTDKNKSMENVVSRSPKSSTTMALQESMGSKQQYCKPRQSAPMRHPFLMNKYRLDNRPTAFRVIPPLPSGFADVDVLKEHFLQYGDLFSVELQDVENEDNGDIGSEALKKCSALITYSTRQSAERAYVNGKCWQGNNLQFKWLIYNTNPSSKETSSSAPKEPLEADVTKEEKTAHSVAQEVVGSGNGESENSDRESFVEHMELAEVSEQSPSPTSSMKESPKGDMS >KJB35988 pep chromosome:Graimondii2_0_v6:6:39168839:39171161:1 gene:B456_006G135800 transcript:KJB35988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTMASEELLKPFYQRATEAEERLSRLEAVLAGNKDAGNQELSQLISELQAKLEDANAETLSEREKAKKLAMENEKLKYRIAHLVQAVKVADQKLECMRGDVSEATAQTSSKFETMRL >KJB35989 pep chromosome:Graimondii2_0_v6:6:39168895:39171020:1 gene:B456_006G135800 transcript:KJB35989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTMASEELLKPFYQRATEAEERLSRLEAVLAGNKDAGNQELSQLISELQAKLEDANAETLSEREKAKKLAMENEKLKYRIAHLVQAVKVADQKLECMRGKYA >KJB35990 pep chromosome:Graimondii2_0_v6:6:39168895:39170188:1 gene:B456_006G135800 transcript:KJB35990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTMASEELLKPFYQRATEAEERLSRLEAVLAGNKDAGNQELSQLISELQAKLEDANAETLSEREKAKKLAMENEKLKYRIAHLVQAVKVADQKLECMRGKN >KJB35991 pep chromosome:Graimondii2_0_v6:6:39168857:39171068:1 gene:B456_006G135800 transcript:KJB35991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTMASEELLKPFYQRATEAEERLSRLEAVLAGNKDAGNQELSQLISELQAKLEDANAETLSEREKAKKLAMENEKLKYRIAHLVQAVKVADQKLECMRGKYA >KJB38136 pep chromosome:Graimondii2_0_v6:6:48566094:48569901:1 gene:B456_006G238200 transcript:KJB38136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKNQFPRSSNRSLGFFFFGFFGVAFAAFLMGFCGKPKKKDKGIMKEDDEKMKIKGTVVLMKKNVMDMNDLTASCLDRVYELFDRGVSLQLISAVYTDPANEMRGKLGKPANLEKWITKFTPLTAGEITFSVTFEWDESIGVPGAFIIKNRHHSQFYLKTVTLEDVPGHGRVHFVCNSWVYPTHRYKYDRVFFSNKTYLPCQMPVPLRKYREEELVNLRGDGKGCLKEWDRVYDYDFYNDLAMPDRGHDFHRPVLGGSLDCPYPRRGRTGRKPHKKDSRTESRLFLLSLKIYVPRDEQFSLVKFSDFVAYALKSLFQVLIPEVAALCDKTINEFDSFQDVLDLYEGGIRLPNDATLKKIRDCVPWEMIRELVRNDGERLMKFPMPAVIKEDKSAWRTDEEFARETLAGVNPVIISRLQEFPPASKLDPEVYGNQKSTITKEHIERNMDGFTVEDALKHNKLFILNHHDALMPYLARINSTTTKTYATRTLFFLQEDDTLKPLAIELSLPHPQGDSHGAVSKVFTPVEDGVGSSIWQLAKAYAAVNDSGYHQLVSHWLNTHAVIEPFIIATNRQLSVVHPIYKLLHPHFRDTMNINALARQTLINAGGVLELTVFPGKYALEMSSAIYRNWVFTEQALPVDLIKR >KJB38137 pep chromosome:Graimondii2_0_v6:6:48566094:48569901:1 gene:B456_006G238200 transcript:KJB38137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKNQFPRSSNRSLGFFFFGFFGVAFAAFLMGFCGKPKKKDKGIMKEDDEKMKIKGTVVLMKKNVMDMNDLTASCLDRVYELFDRGVSLQLISAVYTDPANEMRGKLGKPANLEKWITKFTPLTAGEITFSVTFEWDESIGVPGAFIIKNRHHSQFYLKTVTLEDVPGHGRVHFVCNSWVYPTHRYKYDRVFFSNKTYLPCQMPVPLRKYREEELVNLRGDGKGCLKEWDRVYDYDFYNDLAMPDRGHDFHRPVLGGSLDCPYPRRGRTGRKPHKKDSRTESRLFLLSLKIYVPRDEQFSLVKFSDFVAYALKSLFQVLIPEVAALCDKTINEFDSFQDVLDLYEGGIRLPNDATLKKIRDCVPWEMIRELVRNDGERLMKFPMPAVIKEDKSAWRTDEEFARETLAGVNPVIISRLQEFPPASKLDPEVYGNQKSTITKEHIERNMDGFTVEDALKHNKLFILNHHDALMPYLARINSTTTKTYATRTLFFLQEDDTLKPLAIELSLPHPQGDSHGAVSKVFTPVEDGVGSSIWQLAKAYAAVNDSGYHQLVSHWLNTHAVIEPFIIATNRQLSVVHPIYKLLHPHFRDTMNINALARQTLINAGGVLELTVFPGKYALEMSSAIYRNWVFTEQALPVDLIKRGMAVPDSSCPYGLKLMINDYPYAIDGLEIWAAIETWVTEYCSFYYPSDETVKNDTEIQSWWSEVKNEGHGDLRKEPWWPEMNTLADLTQACTIIIWIASAFHAAVNFGQYPYAGYLPNRPTVSRRFMPEPGTKEYDELENDPDLAFLKTITAQFQTLLGVSLIEVLSRHSTDEIYLGQRDTAEWTTDDEPLAAFERFGKKLVEIESRIMERNNDSKLKNRVGPVKVPYTLLYPSTSDYSREGGLTGKGIPNSISI >KJB38135 pep chromosome:Graimondii2_0_v6:6:48566094:48568457:1 gene:B456_006G238200 transcript:KJB38135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKNQFPRSSNRSLGFFFFGFFGVAFAAFLMGFCGKPKKKDKGIMKEDDEKMKIKGTVVLMKKNVMDMNDLTASCLDRVYELFDRGVSLQLISAVYTDPANEMRGKLGKPANLEKWITKFTPLTAGEITFSVTFEWDESIGVPGAFIIKNRHHSQFYLKTVTLEDVPGHGRVHFVCNSWVYPTHRYKYDRVFFSNKTYLPCQMPVPLRKYREEELVNLRGDGKGCLKEWDRVYDYDFYNDLAMPDRGHDFHRPVLGGSLDCPYPRRGRTGRKPHKKDSRTESRLFLLSLKIYVPRDEQFSLVKFSDFVAYALKSLFQVLIPEVAALCDKTINEFDSFQDVLDLYEGGIRLPNDATLKKIRDCVPWEMIRELVRNDGERLMKFPMPAVIKEDKSAWRTDEEFARETLAGVNPVIISRLQEFPPASKLDPEVYGNQKSTITKEHIERNMDGFTVEDALKHNKLFILNHHDALMPYLARINSTTTKTYATRTLFFLQEDDTLKPLAIELSLPHPQGDSHGAVSKVFTPVEDGVGSSIWQLAKAYAAVNDSGYHQLVSHWYDAEMPTLDALCI >KJB38138 pep chromosome:Graimondii2_0_v6:6:48566094:48569901:1 gene:B456_006G238200 transcript:KJB38138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCGKPKKKDKGIMKEDDEKMKIKGTVVLMKKNVMDMNDLTASCLDRVYELFDRGVSLQLISAVYTDPANEMRGKLGKPANLEKWITKFTPLTAGEITFSVTFEWDESIGVPGAFIIKNRHHSQFYLKTVTLEDVPGHGRVHFVCNSWVYPTHRYKYDRVFFSNKTYLPCQMPVPLRKYREEELVNLRGDGKGCLKEWDRVYDYDFYNDLAMPDRGHDFHRPVLGGSLDCPYPRRGRTGRKPHKKDSRTESRLFLLSLKIYVPRDEQFSLVKFSDFVAYALKSLFQVLIPEVAALCDKTINEFDSFQDVLDLYEGGIRLPNDATLKKIRDCVPWEMIRELVRNDGERLMKFPMPAVIKEDKSAWRTDEEFARETLAGVNPVIISRLQEFPPASKLDPEVYGNQKSTITKEHIERNMDGFTVEDALKHNKLFILNHHDALMPYLARINSTTTKTYATRTLFFLQEDDTLKPLAIELSLPHPQGDSHGAVSKVFTPVEDGVGSSIWQLAKAYAAVNDSGYHQLVSHWLNTHAVIEPFIIATNRQLSVVHPIYKLLHPHFRDTMNINALARQTLINAGGVLELTVFPGKYALEMSSAIYRNWVFTEQALPVDLIKRGMAVPDSSCPYGLKLMINDYPYAIDGLEIWAAIETWVTEYCSFYYPSDETVKNDTEIQSWWSEVKNEGHGDLRKEPWWPEMNTLADLTQACTIIIWIASAFHAAVNFGQYPYAGYLPNRPTVSRRFMPEPGTKEYDELENDPDLAFLKTITAQFQTLLGVSLIEVLSRHSTDEIYLGQRDTAEWTTDDEPLAAFERFGKKLVEIESRIMERNNDSKLKNRVGPVKVPYTLLYPSTSDYSREGGLTGKGIPNSISI >KJB33698 pep chromosome:Graimondii2_0_v6:6:6984398:6986099:1 gene:B456_006G027100 transcript:KJB33698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGEGEGGSKLTKKYAETYLKEVREMFEDKKYKYDMFLEVMKDFSTQRADIVCVMGRVKELLKGHSNLIQGFNLFLPKGYEISVDEEKASPEEKISEFDEAFDFITLIKERDEHVYLDLVNKHWGGAGRN >KJB33699 pep chromosome:Graimondii2_0_v6:6:6984398:6986099:1 gene:B456_006G027100 transcript:KJB33699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEPDEQNEMAGGGEGEGGSKLTKKYAETYLKEVREMFEDKKYKYDMFLEVMKDFSTQRADIVCVMGRVKELLKGHSNLIQGFNLFLPKGYEISVDEEKASPEEKISEFDEAFDFITLIKERDEHVYLDLVNKHWGGAGRN >KJB34621 pep chromosome:Graimondii2_0_v6:6:29776197:29780552:-1 gene:B456_006G075600 transcript:KJB34621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKSLIILIILTCLIAPSCGRELLVTHKDKQAVYNRTLATILVEYASAVYMSDLTELFTWTCARCGGLTKGFEVIELVVDIQNCLQGFVGVAKDLNAIVIAFRGTQDNSIQNWVKDLFWKQLDLKYPGMPNAMVHHGFYTAYHNTTIRPGILYAVKKAKEFYGDLDIMVTGHSMGGAMASFCALDLTVNHEAKNVQVITFGQPRIGNAAFASYYGKLVPNTVRVTNEHDIVPHLPPYYSYFPQKTYHHFPREVWLYNLGLGSVVYQFEKVCDGSGEDPDCSRSVTGSSITDHLNYYGVDLTFIRWRSCRIVMDPRVEEYGKTDDKGNIVLSRDPATLVPRMNTLSNGREATYNA >KJB34622 pep chromosome:Graimondii2_0_v6:6:29776197:29780669:-1 gene:B456_006G075600 transcript:KJB34622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKSLIILIILTCLIAPSCGRELLVTHKDKQAVYNRTLATILVEYASAVYMSDLTELFTWTCARCGGLTKGFEVIELVVDIQNCLQGFVGVAKDLNAIVIAFRGTQDNSIQNWVKDLFWKQLDLKYPGMPNAMVHHGFYTAYHNTTIRPGILYAVKKAKEFYGDLDIMVTGHSMGGAMASFCALDLTVNHEAKNVQVITFGQPRIGNAAFASYYGKLVPNTVRVTNEHDIVPHLPPYYSYFPQKTYHHFPREVWLYNLGLGSVVYQFEKVCDGSGEDPDCSRSVTGSSITDHLNYYGVDLTFIRWRSCRIVMDPRVEEYGKTDDKGNIVLSRDPATLVPRMNTLSNGREATYNA >KJB37924 pep chromosome:Graimondii2_0_v6:6:47790126:47791260:-1 gene:B456_006G226600 transcript:KJB37924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKVRRIKLGTQGLEASAEGLGCMSMSAFYGPPKPEPEMINLIHHAINSGVTFLDTSDVYGPHANEILLGKLATKFGACFVDGKMEIGGGPAYVRAACEASLKRLRLDCIDLYHQHRVDTKVPIEITITFTVYARIGKFF >KJB33575 pep chromosome:Graimondii2_0_v6:6:4720293:4721607:1 gene:B456_006G019000 transcript:KJB33575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYKQNTYGKLTCCSTPSNKVIISRIVIPIVGFLALLSMGFLLYLRCGVENHTFKSNATENRDLFSILNFDGRIAFQDIINAIEDFDNRYWIGTGGYGSVYRAQLPSGEIVAVKKLNHREAEITTFEKSFKNEAKLLSEIRHKKIIKLHGFCLHNHCMFLINEYMARGSLFCVLENDIEAVELDWIKRVKIIKDTSCALSYLHHDCHPPIVHRDISSNNILLNSNHEAYVSDFGTARFLDPDSSNQTMLVGTYGYIAPELAYTMVVNEKCDVYSFGVLALQTLMGKHPGGLLVSLSTSYSKNIMLSDILDPRLSLPSDQRVAMDIAFVATIAFACLRLNPKFRPTMKCVSQEFLSQKRL >KJB33228 pep chromosome:Graimondii2_0_v6:6:704045:710287:1 gene:B456_006G003100 transcript:KJB33228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDSRGPLPSTPESLTSAGFNTDQLPHDSSHSSDEEEAAVDPAIIRDDVDEVEEEDDEGEDLYNDNFMEDYRGMDAHDRYESVGLDESMEDERDLDQIMQDRRAAELELDARDARLSNRKLPQLLHDQDTDDDNYRPSKRTRADFRPDDTDGMQSSPGRSQQGHSRDDVPMTDRTDDYPYEDDDDDQAEFEMYRVQGTLREWVTRDEVRRFIFKKFRDFLLTYVNPKNGHGDIEYVRLVNEIVSANKCSLEIDYKQFISVHPNIAIWLADAPQSVLEVMEDVAQRVVFDLHPNYKNIHQKIYVRITNLPIYDQIRDIRQIHLNTMVRIGGVVTRRSGVFPQLQQVKYDCNKCGSILGPFFQNSYSEVKVGSCPECQSKGPFTVNVEQTVYRNYQKLTLQESPGTVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDMSLNTKNGFPVFATVVEANYVTKKQDLFSAYKLTQEDKEEIEKLAKDTQIGERIIKSIAPSIYGHEDIKTAIALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADKGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFSQNVELTDPIISRFDILCVVKDVVDPVTDEMLAKFVVDSHFRSQPKGANLDDKAFSESQEEAQASARPDDSKILSQELLRKYLTYAKLNVFPRFHEKDMAKLTKVYADLRKESSRGQGVPIAVRHIESMIRMSEAHARMHLRQHVTEEDVDMAIRVLLESFISTQKFGVQKALRKSFRQYITFKKDYDGLLLVLLRELVNNAVRFEEILSGSTSGLTHVDVKMADLQAKAEEYEITNLEAFFSSSEFRAHYQLDEQRRVIRHHLVYDDKP >KJB33229 pep chromosome:Graimondii2_0_v6:6:704058:708128:1 gene:B456_006G003100 transcript:KJB33229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDSRGPLPSTPESLTSAGFNTDQLPHDSSHSSDEEEAAVDPAIIRDDVDEVEEEDDEGEDLYNDNFMEDYRGMDAHDRYESVGLDESMEDERDLDQIMQDRRAAELELDARDARLSNRKLPQLLHDQDTDDDNYRPSKRTRADFRPDDTDGMQSSPGRSQQGHSRDDVPMTDRTDDYPYEDDDDDQAEFEMYRVQGTLREWVTRDEVRRFIFKKFRDFLLTYVNPKNGHGDIEYVRLVNEIVSANKCSLEIDYKQFISVHPNIAIWLADAPQSVLEVMEDVAQRVVFDLHPNYKNIHQKIYVRITNLPIYDQIRDIRQIHLNTMVRIGGVVTRRSGVFPQLQQVKYDCNKCGSILGPFFQNSYSEVKVGSCPECQSKGPFTVNVEQTVYRNYQKLTLQESPGTVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDMSLNTKNGFPVFATVVEANYVTKKQDLFSAYKLTQEDKEEIEKLAKDTQIGERIIKSIAPSIYGHEDIKTAIALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADKGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFSQNVELTDPIISRFDILCVVKDVVDPVTDEMLAKFVVDSHFRSQPKGANLDDKAFSESQEEAQASARPDDSKVRKGL >KJB36887 pep chromosome:Graimondii2_0_v6:6:43856590:43859232:-1 gene:B456_006G181200 transcript:KJB36887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYRCFEPISKCSSDGRSNQTVAADLDGTLLVSQSAFPYFMLVALEAGSLVRALVLLTSVPFVYFTYLFISESVAINTFIFITFSGLKVRDIELVSRSVLPKFYAEDVHPDSWRVFSSFGKRYIITASPRIMVEPFAKTYLGADKVIGTELEVTKSGRATGFAIKPGVLVGEHKRAAVLKEFGTNLPDLGLGDRETDHDFMSLCKEGYMVPRTKCEPLPRNKLLSPVIFHEGRLVQRPTPLAALLTFLWLPIGFILSLLRVYTNIPLPERIARYNYRLLGIKLIVKGTPPPAPRKGQSGVLFVCNHRTVLDPVVTAVALGRKISCVTYSISKFTEIISPIRAVALSREREKDAADIKRLLKEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQTVFHGTTVRGHKLLDPYFVFMNPMPTYEITFLNQLPTELTCKGGKSAIEVANYIQRVLAGTLGFECTNLTRKDKYAMLAGTDGRVPSMKEKEKEKEKAQVL >KJB38669 pep chromosome:Graimondii2_0_v6:6:50493529:50497489:-1 gene:B456_006G266200 transcript:KJB38669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESFVPFGGIKNDIKGRLKCYKQDWTSGLSAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYRFMFDFAKNRPDLGSQLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQQAIKGLVDEFHIPERENPKSVDFQLSWRFANGMFAMVLSFGLLFTALRSRKARSWRYACGFVADYGVPLMVLVWTAVSYIPAGTIPKGIPRRLFSPNPWSPGAYENWTVVKDMLKVPVLYIIGASVPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTILCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNGLVTTARQFIHKNASLGQVYERMQEAYQQMQTPLVYQEPSARGLKELKESTIEMASNMENINAPVDESVFDIEKEINDLLPIEVKEQRLSNLLQATMVGGCVAAMPLIKKIPTSVLWGYFAFMAIESLPGNQFWERMLLLFTAPSRRYKVLEEYHATFVETVPFKTIAMFTIFQTIYLFVCFGITWVPVAGVLFPLMIMLLVPVRQYVLPKFFKGAHLQDLDAAEYEESPDVPFNLITEGELVRTASFADDGEILDGMITRSRGEIRHMCSPKVTSSTGTTPSKEFKSIQSPRFSEKVYSPRISQLRGELSPGNAGRGPFSPRANEKPSNLGKG >KJB38664 pep chromosome:Graimondii2_0_v6:6:50493420:50497489:-1 gene:B456_006G266200 transcript:KJB38664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESFVPFGGIKNDIKGRLKCYKQDWTSGLSAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYRFMFDFAKNRPDLGSQLFLAWTGWFTRLAGELFGLLIAMLFMQQAIKGLVDEFHIPERENPKSVDFQLSWRFANGMFAMVLSFGLLFTALRSRKARSWRYACGSLRGFVADYGVPLMVLVWTAVSYIPAGTIPKGIPRRLFSPNPWSPGAYENWTVVKDMLKVPVLYIIGASVPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTILCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNGLVTTARQFIHKNASLGQVYERMQEAYQQMQTPLVYQEPSARGLKELKESTIEMASNMENINAPVDESVFDIEKEINDLLPIEVKEQRLSNLLQATMVGGCVAAMPLIKKIPTSVLWGYFAFMAIESLPGNQFWERMLLLFTAPSRRYKVLEEYHATFVETVPFKTIAMFTIFQTIYLFVCFGITWVPVAGVLFPLMIMLLVPVRQYVLPKFFKGAHLQDLDAAEYEESPDVPFNLITEGELVRTASFADDGEILDGMITRSRGEIRHMCSPKVTSSTGTTPSKEFKSIQSPRFSEKVYSPRISQLRGELSPGNAGRGPFSPRANEKPSNLGKG >KJB38665 pep chromosome:Graimondii2_0_v6:6:50493420:50497651:-1 gene:B456_006G266200 transcript:KJB38665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESFVPFGGIKNDIKGRLKCYKQDWTSGLSAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYRFMFDFAKNRPDLGSQLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQQAIKGLVDEFHIPERENPKSVDFQLSWRFANGMFAMVLSFGLLFTALRSRKARSWRYACGSLRGFVADYGVPLMVLVWTAVSYIPAGTIPKGIPRRLFSPNPWSPGAYENWTVVKDMLKVPVLYIIGASVPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTILCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNGLVTTARQFIHKNASLGQVYERMQEAYQQMQTPLVYQEPSARGLKELKESTIEMASNMENINAPVDESVFDIEKEINDLLPIEVKEQRLSNLLQATMVGGCVAAMPLIKKIPTSVLWGYFAFMAIESLPGNQFWERMLLLFTAPSRRYKVLEEYHATFVETVPFKTIAMFTIFQTIYLFVCFGITWVPVAGVLFPLMIMLLVPVRQYVLPKFFKGAHLQDLDAAEYEESPDVPFNLITEGELVRTASFADDGEILDGMITRSRGEIRHMCSPKVTSSTGTTPSKEFKSIQSPRFSEKVYSPRISQLRGELSPGNAGRGPFSPRANEKPSNLGKG >KJB38668 pep chromosome:Graimondii2_0_v6:6:50494553:50497253:-1 gene:B456_006G266200 transcript:KJB38668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESFVPFGGIKNDIKGRLKCYKQDWTSGLSAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYRFMFDFAKNRPDLGSQLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQQAIKGLVDEFHIPERENPKSVDFQLSWRFANGMFAMVLSFGLLFTALRSRKARSWRYACGSLRGFVADYGVPLMVLVWTAVSYIPAGTIPKGIPRRLFSPNPWSPGAYENWTVVKDMLKVPVLYIIGASVPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTILCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNGLVTTARQFIHKNASLGQVYERMQEAYQQMQTPLVYQEPSARGLKELKESTIEMASNMENINAPVDESVFDIEKEINDLLPIEVKEQRLSNLLQATMVGGCVAAMPLIKKIPTSVLWGYFAFMAIESLPGNQFWERMLLLFTAPSRRYK >KJB38667 pep chromosome:Graimondii2_0_v6:6:50494931:50497253:-1 gene:B456_006G266200 transcript:KJB38667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESFVPFGGIKNDIKGRLKCYKQDWTSGLSAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYRFMFDFAKNRPDLGSQLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQQAIKGLVDEFHIPERENPKSVDFQLSWRFANGMFAMVLSFGLLFTALRSRKARSWRYACGSLRGFVADYGVPLMVLVWTAVSYIPAGTIPKGIPRRLFSPNPWSPGAYENWTVVKDMLKVPVLYIIGASVPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTILCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNGLVTTARQFIHKNASLGQVYERMQEAYQQMQTPLVYQEPSARVRTYVA >KJB38666 pep chromosome:Graimondii2_0_v6:6:50493529:50497489:-1 gene:B456_006G266200 transcript:KJB38666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESFVPFGGIKNDIKGRLKCYKQDWTSGLSAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYRFMFDFAKNRPDLGSQLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQQAIKGLVDEFHIPERENPKSVDFQLSWRFANGMFAMVLSFGLLFTALRSRKARSWRYACGSLRGFVADYGVPLMVLVWTAVSYIPAGTIPKGIPRRLFSPNPWSPGAYENWTVVKDMLKVPVLYIIGASVPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTILCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNGLVTTARQFIHKNASLGQVYERMQEAYQQMQTPLVYQEPSARGLKELKESTIEMASNMENINAPVDESVFDIEKEINDLLPIEVKEQRLSNLLQATMVGGCVAAMPLIKKIPTSVLWGYFAFMAIESLPGNQFWERMLLLFTAPSRRYK >KJB33873 pep chromosome:Graimondii2_0_v6:6:9572788:9574321:1 gene:B456_006G035500 transcript:KJB33873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTLVLMEVALFNTKTHILRVFQRRKMLRIDGLCKKMGYKDAKLLMLYGGRSSINRYLQWKSGKVWSKLFSAGDDSCNSCSELEDGKSHEKSFRF >KJB36995 pep chromosome:Graimondii2_0_v6:6:44297548:44298995:-1 gene:B456_006G185900 transcript:KJB36995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACCTTHIKYQGRHHAQDDLAKKEDKGHQQDLTTTGHNGAIVRLQGSSSFISMYTRKGKKGINQDAMTVWENFMGEKKSFFCGVFDGHGPLGHKVSRHVRDTLPFKLSSIIKTSQPNGCTENDAAASAGQSYGKIDSNGVNKDRFSSWEARLIRAFKESDEELNSGLSFNSYNSGSTAVTIVKQDEHLIISNLGDSRAILCTRGNKNRLIPIQLTVDLKPRLPDEAERIEKCGGRVFAMDEEPHVLRVWAPDQDSPGLAMTRAFGDFCLKDYGLSSIPEVSYRRLTNNDEFVVLATDGVRT >KJB36994 pep chromosome:Graimondii2_0_v6:6:44297370:44298995:-1 gene:B456_006G185900 transcript:KJB36994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACCTTHIKYQGRHHAQDDLAKKEDKGHQQDLTTTGHNGAIVRLQGSSSFISMYTRKGKKGINQDAMTVWENFMGEKKSFFCGVFDGHGPLGHKVSRHVRDTLPFKLSSIIKTSQPNGCTENDAAASAGQSYGKIDSNGVNKDRFSSWEARLIRAFKESDEELNSGLSFNSYNSGSTAVTIVKQDEHLIISNLGDSRAILCTRGNKNRLIPIQLTVDLKPRLPDEAERIEKCGGRVFAMDEEPHVLRVWAPDQDSPGLAMTRAFGDFCLKDYGLSSIPEVSYRRLTNNDEFVVLATDGYCRCGMC >KJB36992 pep chromosome:Graimondii2_0_v6:6:44296875:44299130:-1 gene:B456_006G185900 transcript:KJB36992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACCTTHIKYQGRHHAQDDLAKKEDKGHQQDLTTTGHNGAIVRLQGSSSFISMYTRKGKKGINQDAMTVWENFMGEKKSFFCGVFDGHGPLGHKVSRHVRDTLPFKLSSIIKTSQPNGCTENDAAASAGQSYGKIDSNGVNKDRFSSWEARLIRAFKESDEELNSGLSFNSYNSGSTAVTIVKQDEHLIISNLGDSRAILCTRGNKNRLIPIQLTVDLKPRLPDEAERIEKCGGRVFAMDEEPHVLRVWAPDQDSPGLAMTRAFGDFCLKDYGLSSIPEVSYRRLTNNDEFVVLATDGVWDVLTNKEVITIVASVKKQSAAAKVLVYYAVQAWKSRYPGSQVDDCAVICLFLKEQPLVSKSLYDQDHDHDHDYDMSKCGGSQLDFADSNICRDKKAEEGETVINCDFTMDKSIEQRD >KJB36993 pep chromosome:Graimondii2_0_v6:6:44296891:44299120:-1 gene:B456_006G185900 transcript:KJB36993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKSFFCGVFDGHGPLGHKVSRHVRDTLPFKLSSIIKTSQPNGCTENDAAASAGQSYGKIDSNGVNKDRFSSWEARLIRAFKESDEELNSGLSFNSYNSGSTAVTIVKQDEHLIISNLGDSRAILCTRGNKNRLIPIQLTVDLKPRLPDEAERIEKCGGRVFAMDEEPHVLRVWAPDQDSPGLAMTRAFGDFCLKDYGLSSIPEVSYRRLTNNDEFVVLATDGVWDVLTNKEVITIVASVKKQSAAAKVLVYYAVQAWKSRYPGSQVDDCAVICLFLKEQPLVSKSLYDQDHDHDHDYDMSKCGGSQLDFADSNICRDKKAEEGETVINCDFTMDKSIEQRD >KJB38276 pep chromosome:Graimondii2_0_v6:6:49089886:49091258:-1 gene:B456_006G245900 transcript:KJB38276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSVAYEKATELRLGLPGIDEPAVLSSVKNNKRPLHDEKSGENGKSAQNETAPPSKAQIVGWPPVQSYRKNNFQQAKKSESECGGIYVKVSMDGAPYLRKIDLKVYKGYPELLQVLENMFKFTIGMYICCYMI >KJB38277 pep chromosome:Graimondii2_0_v6:6:49089886:49091431:-1 gene:B456_006G245900 transcript:KJB38277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSVAYEKATELRLGLPGIDEPAVLSSVKNNKRPLHDEKSGENGKSAQNETAPPSKAQIVGWPPVQSYRKNNFQQAKKSESECGGIYVKVSMDGAPYLRKIDLKVYKGYPELLQVLENMFKFTIGEYSEREGYKGSDYEPTYEDKDGDWMLVGDVPWEMFITSCKRLRIMKGSEARGLSCSGV >KJB38275 pep chromosome:Graimondii2_0_v6:6:49089886:49090988:-1 gene:B456_006G245900 transcript:KJB38275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVTCRAQIVGWPPVQSYRKNNFQQAKKSESECGGIYVKVSMDGAPYLRKIDLKVYKGYPELLQVLENMFKFTIGEYSEREGYKGSDYEPTYEDKDGDWMLVGDVPWEMFITSCKRLRIMKGSEARGLSCSGV >KJB37802 pep chromosome:Graimondii2_0_v6:6:47301257:47304509:1 gene:B456_006G220600 transcript:KJB37802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTGNQSGQNDYINYTVMMPPTPDNQPSTDSHGGVGSKEDKRMSVMKSANNKSMLLRSQTGDFDHSRWLYESKAKYGIGNAYWQEDDQFGVDGGVSMSDFMDKPWKPLTRKVPVKSGILSPYRFLILIRLVVLFFFLAWRWRNPNPDAMWLWGMSCVCESWFAFSWLLDSLPKLNPINRATDLAVLNEKFEQVSPSNPTGRSDLPGVDVFVSTADPEKEPPLVTANTILSIMAANYPVEKLSAYISDDGGAILTFEAMAEAIRFAEVWVPFCRKHDIEPRNPDSYFNLKTDPTKNKKRPDFVKDRRWIKREYDEFKVRINGLPETIRKRCDMYNSREAIKEKSLAKEKNGGTLPPDFQVEKATWMADGTHWPGTWLNPIADHSKGDHAGILQVMSKFPEPDPVMGQPDEKRLDFTGIDIRIPMFSYVSREKRPGFDHNKKAGAMNGMVRASAILSNGPFILNLDCDHYIYNSMAIKEGMCFMMDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGSMRALDGLQGPVYVGTGCMFRRYALYGFHPPRANEYTGVFGQNKSPADTIPGKPALSGEDDAQPLNVHPDLDLPRKFGNSTMFNESIAVAEYQGRPLADHMSVKNGRPPGALLIPRQPLDAQMVAEAVAVISCWYEDNTDWGDKVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFYSKNNPLFATRRLKFLQRIAYLNVGFYPFTSIFLVVYCFLPALSLFSGQFIVQGLNVAFLLYLFVITITLCCMSLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLVAVIQGLLKVVAGIEISFTLTTKSASEDENDIYADLYLVKWTSLFIMPLTIIIVTLLAVVIGISRQIYSVIPQWTQLFGGMFFAFWVLCHMYPFAKGLMGRRGRVPTIIYVWAGLLAICISLLWVTLNPPGDQPGDAVVL >KJB38602 pep chromosome:Graimondii2_0_v6:6:50253932:50257399:1 gene:B456_006G262600 transcript:KJB38602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTTMPETETPSSSTGSLLIRAPLTLDSDSEYELETASTVGGSSVNSYENNSELGGSEEGFLSGNEEFETGSEKDRPLDGDPDEGIELGGENNGGVSERYKIYVANKDDDDLDSLETSMADEEESGGVAVPVAQVSMDDEVFDEIPSDEDAGFSGVVKVPGSVESSPRVNMATGVEGEEDEDEEQPLVNSEVENGGLEDFVGEDKGLSLEESQKDDEDGEPSVDKSIPMETLGKERVESVHRNSDEIEETGSVTISDSQPVVETSDEVFVSDMKESSDTDLVEVLAPMSIVDHNVQPGVEDIAKGVGEKGFLSDDDLVQLIFGSSEMTEQVANEVDSEARLETVSQQIVVDSDEEEEAESEYEEAKELLNSATLAALLKAAAGAESGGAGLTITSPDGSKVFPLDGSAHSGSLLQSSKVIPPSNVVDNASKGNLSHEDKHRFEKLQQLRVKFLRLVQRLGHSHADPMVAQVLYRLAIAAGSLFSREFTLESAKRAATQLEAEGKDDLDFSLNIVVLGKTGVGKSASINSILCEQKLRIDAFQPATTSVKEIVGTVYGVKMRILDTPGLQSPVTDEGTNRKVLASIKRFIRKFPPDVVLYVDRLDAHDKDHTDFLLLKSLTDSLGSSIWQNAIVTLTHAASASPEGPMGEPLSFEVFVAQRSHVIHRAISQAVGDLRLMNPSMMHPVALVENHPSCPRDGNGEILLPNGQSWMSQLLLLCYSVKILSEASSLSKPREQFDHQKLFGFRLRSPPLAYLLSSLLQSRSHLKLPNTEGSEDVDLDTELGGWTYSDEEDNDEYDQLPPFKPLKRSEVDKLSKEERKAYYEEYDYRVKLLQKKQWREEVKRMREIKKKGKDGDDNNGYIGDDGNEEEGDPATIPVPLPDMVLPPSFDGDNPTYRYRFLDSASQLLSRPVLDSQPWDHDIGYDGVSLERSLAIAGYYPGAFVVQITKDKREFNIHLDSSVCTKHGENVSTMAGLDVQTVGKQLAYIFRGETKFRNFEINRTTAGLLVTFLGENVATGLKIEDQIAVSKRLLLAGTAGAMRCQGDTAYGANIEFRLKDKDFPVEQNQTTFGLSLVKWRRDLGLMANLQSQFPIGHRSSMTVRVGLNNKQSGQINIKTSSSDQLRIALVSFLPIAASIFRMVYPGSDSKSSAY >KJB34680 pep chromosome:Graimondii2_0_v6:6:30293814:30297517:1 gene:B456_006G078200 transcript:KJB34680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSVVNTKALLQSPKGETLLIETGTTRSHTKIPRTIQWHEINLPDKWKLKGATDPVAQTPIRNTSLSEISQHQDGTVELKFNRPQRMPPRHSFEIRSTSTAFRRLNIEEESNPETQTVDFRTARASVSSIPTTFRTNLQGIYNSSNIAQPICARQEESPQNSPNMSPTYSSMTNNARQRENSEIFVLENLFEINKEWYYIENLVESQPIKKEPVKEIIEKSSKEPIFTPYEIPKAFQKSQNDFLTEIQNRLNALENYKSELIAPDTPIQTQYSVNTLHQSSQSDSDHLLQQMTMVSNVYKTQNQNGLINNHAIANLLVAGFIDEQGREIQDAVATLIFSISKHFIGDPSHLKDRNSEVLSNLKCKKLTDFKWYKDVFMTRVMQRSDNQQPFWKEKFLAGLPTLLGEKVRSQIRENYKGIIPYEKLTYGELISFTQKEGLKICQDLKLQKQLKKERYQYELQIDELHTTSQSSRDENEPSINMLTKDQEFMIEVIDKIQDLELKREYLLKLKFSLKDKPEKEKEIISKQQEMKEQMRSLKHETSEKSSSETEPEPKENTQEYMMVLTEVSIQRYLIKINIVINNEFQLETIALFDTGADQNCIREGIIPTKYYNKTSESLKAANGKKLKITYKIPNAEISNKCIKYQTCFLMVKDITQDVILGTPFISLLKPYKVTNNSISTKVLNTKNLKEERQG >KJB38344 pep chromosome:Graimondii2_0_v6:6:49453926:49455927:1 gene:B456_006G250600 transcript:KJB38344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLPLSFRLNMAAAVIKKFFIASMFMWIAPLAILYGFNHNLLPGSTNLSPHALTLVSGFVAVISVNIVIAFYIYMAMKEPVDKHEPDPKFLADAKASVSQPTGEAPTSSESIKKRE >KJB38343 pep chromosome:Graimondii2_0_v6:6:49453596:49455927:1 gene:B456_006G250600 transcript:KJB38343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVIKKFFIASMFMWIAPLAILYGFNHNLLPGSTNLSPHALTLVSGFVAVISVNIVIAFYIYMAMKEPVDKHEPDPKFLADAKASVSQPTGEAPTSSESIKKRE >KJB38342 pep chromosome:Graimondii2_0_v6:6:49453556:49455967:1 gene:B456_006G250600 transcript:KJB38342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVIKKFFIASMFMWIAPLAILYGFNHNLLPGSTNLSPHALTLVSGFVAVISVNIVIAFYIYMAMKEPVDKHEPDPKFLADAKASVSQPTGEAPTSSESIKKRE >KJB35505 pep chromosome:Graimondii2_0_v6:6:36740744:36751665:-1 gene:B456_006G117900 transcript:KJB35505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLPSEDSSDSTHRVDSDDNGNNNNDISNNDDQRVYFVPFRWWKDAQDSTSSESDSKKGILYTATPGTSYAGPMKLINNIFNSDLAFNLRKEEDSSLHNGENGEVGVSGRDYALVPGEMWLQALKWHSDAKVAAKGGKSFSAAEDDMADVYPLHLRLSIPRETNSLAVKISKKDNAVELFRRACKIFSIDSEQLRIWDFSGQTALYFVNERDRFLKDSQRQSDQEILLELQVYGLSDSMKCREGRKDEMLGHPANCSSGAPVLSNGCNGTANSNFGSTNASIFCGRSGEAGSLGLTGLQNLGNTCFMNSAIQCLAHTPKVVDYFLGDYTREINPDNPLGMKGEIASAFGDLLRKLWAPGATPVAPRTFKSKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKSKPYVEMKDSEGRQDEEVADEYWQNHIARNDSIIVDVCQGQYKSTLVCPQCKKVSVTFDPFMYLSLPLPSTTSRTMTVTVISTDGTFQPSPFTITVPKSGKLEDLIQALSFACSLGADETLLVAEIYNHRIIRYLEELSDSLSLIRDDDTLVAYRLNKDIEKAPLVVFMHQQMEEQYMLGKLTSSWKTFGIPLVARLSNVETGSDIHGLYLKLLNSFQTQAEDVLEDHDTSESTAVEDISLKEHDASSPISNGIEKPPDANGVSALSEAELQLYITDDKGIVKESQILMAEAIPAAGMSRRLHVLVPWPEKYVKQYDTQLLGSLPQIFKSCFFAKRPQESVSLYKCLQAFLMEEPLGPEDMWYCPRCKEHRQASKKLDLWRLPEIVVIHLKRFSYNRFLKNKLETYVDFPIDNLDLSNYIGSRNGELSNRYMLYAVSNHYGSMGGGHYTAFVHHGGGQWYEFDDSHVFPISLEKIKTSAAYLLFYRRVVE >KJB35506 pep chromosome:Graimondii2_0_v6:6:36740511:36751665:-1 gene:B456_006G117900 transcript:KJB35506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLPSEDSSDSTHRVDSDDNGNNNNDISNNDDQRVYFVPFRWWKDAQDSTSSESDSKKGILYTATPGTSYAGPMKLINNIFNSDLAFNLRKEEDSSLHNGENGEVGVSGRDYALVPGEMWLQALKWHSDAKVAAKGGKSFSAAEDDMADVYPLHLRLSIPRETNSLAVKISKKDNAVELFRRACKIFSIDSEQLRIWDFSGQTALYFVNERDRFLKDSQRQSDQEILLELQVYGLSDSMKCREGRKDEMLGHPANCSSGAPVLSNGCNGTANSNFGSTNASIFCGRSGEAGSLGLTGLQNLGNTCFMNSAIQCLAHTPKVVDYFLGDYTREINPDNPLGMKGEIASAFGDLLRKLWAPGATPVAPRTFKSKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKSKPYVEMKDSEGRQDEEVADEYWQNHIARNDSIIVDVCQGQYKSTLVCPQCKKVSVTFDPFMYLSLPLPSTTSRTMTVTVISTDGTFQPSPFTITVPKSGKLEDLIQALSFACSLGADETLLVAEIYNHRIIRYLEELSDSLSLIRDDDTLVAYRLNKDIEKAPLVVFMHQQMEEQYMLGKLTSSWKTFGIPLVARLSNVETGSDIHGLYLKLLNSFQTQAEDVLEDHDTSESTAVEDISLKEHDASSPISNGIEKPPDANGVSALSEAELQLYITDDKGIVKESQILMAEAIPAAGMSRRLHVLVPWPEKYVKQYDTQLLGSLPQIFKSCFFAKRPQESVSLYKCLQAFLMEEPLGPEDMWYCPRCKEHRQASKKLDLWRLPEIVVIHLKRFSYNRFLKNKLETYVDFPIDNLDLSNYIGSRNGELSNRYMLYAVSNHYGSMGGGHYTAFVHHGGGQWYEFDDSHVFPISLEKIKTSAAYLLFYRRVVE >KJB35507 pep chromosome:Graimondii2_0_v6:6:36741267:36751330:-1 gene:B456_006G117900 transcript:KJB35507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLPSEDSSDSTHRVDSDDNGNNNNDISNNDDQRVYFVPFRWWKDAQDSTSSESDSKKGILYTATPGTSYAGPMKLINNIFNSDLAFNLRKEEDSSLHNGENGEVGVSGRDYALVPGEMWLQALKWHSDAKVAAKGGKSFSAAEDDMADVYPLHLRLSIPRETNSLAVKISKKDNAVELFRRACKIFSIDSEQLRIWDFSGQTALYFVNERDRFLKDSQRQSDQEILLELQVYGLSDSMKCREGRKDEMLGHPANCSSGAPVLSNGCNGTANSNFGSTNASIFCGRSGEAGSLGLTGLQNLGNTCFMNSAIQCLAHTPKVVDYFLGDYTREINPDNPLGMKGEIASAFGDLLRKLWAPGATPVAPRTFKSKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKSKPYVEMKDSEGRQDEEVADEYWQNHIARNDSIIVDVCQGQYKSTLVCPQCKKVSVTFDPFMYLSLPLPSTTSRTMTVTVISTDGTFQPSPFTITVPKSGKLEDLIQALSFACSLGADETLLVAEIYNHRIIRYLEELSDSLSLIRDDDTLVAYRLNKDIEKAPLVVFMHQQMEEQYMLGKLTSSWKTFGIPLVARLSNVETGSDIHGLYLKLLNSFQTQAEDVLEDHDTSESTAVEDISLKEHDASSPISNGIEKPPDANGVSALSEAELQLYITDDKGIVKESQILMAEAIPAAGMSRRLHVLVPWPEKYVKQYDTQLLGSLPQIFKSCFFAKRPQESVSLYKCLQAFLMEEPLGPEDMWYCPRCKEHRQASKKLDLWRLPEIVVIHLKRFSYNRFLKNKLETYVDFPIDNLDLSNYIGSRNGELSNRYMLYAVSNHYGSMGGGHYTAFVHHGGGQWYEFDDSHVFPISLEKIKTSAAYLLFYRRVVE >KJB38282 pep chromosome:Graimondii2_0_v6:6:49120559:49121727:-1 gene:B456_006G246200 transcript:KJB38282 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein D [Source:Projected from Arabidopsis thaliana (AT1G04260) UniProtKB/Swiss-Prot;Acc:P93829] MSSLISGIKGTTQSLTALRRPWRDFFDISTVDLPSSTSDATTRIAQNLTHFRLNYTLILLLILFLSLIYHPLSLLTFLVILLAWFFLYFARDREEPVVIFGFFIDDRIVIAALFGLTVAGLVLTGVWVNVLVALAVGVGFVILHAGLRSTDDLVMDDLESPYGHVLAGGDDELDSPRGDYSGI >KJB36418 pep chromosome:Graimondii2_0_v6:6:41925785:41927901:1 gene:B456_006G159900 transcript:KJB36418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCLDCKGDAFCFYCRSSKHKDHQVIQIRRSSYHDVVRVTEIQKVLDISGVQTYVINSARVLFLNERPQPKSGKGVAHICEICGRSLLDPFRFCSLGCKNPQGQQL >KJB36416 pep chromosome:Graimondii2_0_v6:6:41925517:41927760:1 gene:B456_006G159900 transcript:KJB36416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCLDCKGDAFCFYCRSSKHKDHQVIQIRRSSYHDVVRVTEIQKVLDISGVQTYVINSARVLFLNERPQPKSGKGVAHICEICGRSLLDPFRFCSLGCKLVGIKRNGNASFSLEAKNEVVVMDRTRREGISKEEEELREGSQQDIYPPTPPPPPSSARRRKGIPHRAPFGS >KJB36415 pep chromosome:Graimondii2_0_v6:6:41925517:41927760:1 gene:B456_006G159900 transcript:KJB36415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMAVPPWLESLLSTAFFTVCTTHGDAARGECNMYCLDCKGDAFCFYCRSSKHKDHQVIQIRRSSYHDVVRVTEIQKVLDISGVQTYVINSARVLFLNERPQPKSGKGVAHICEICGRSLLDPFRFCSLGCKLVGIKRNGNASFSLEAKNEVVVMDRTRREGISKEEEELREGSQQDIYPPTPPPPPSSARRRKGIPHRAPFGS >KJB36419 pep chromosome:Graimondii2_0_v6:6:41925786:41927244:1 gene:B456_006G159900 transcript:KJB36419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMAVPPWLESLLSTAFFTVCTTHGDAARGECNMYCLDCKGDAFCFYCRSSKHKDHQVIQIRRSSYHDVVRVTEIQKVLDISGVQTYVINSARVLFLNERPQPKSGKGVAHICEICGRSLLDPFRFCSLGCKVNSIFSIYFISLHPFFSLIIIITITIHHPSDVYMVTLPSTFIYNI >KJB36417 pep chromosome:Graimondii2_0_v6:6:41925766:41927244:1 gene:B456_006G159900 transcript:KJB36417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPPWLESLLSTAFFTVCTTHGDAARGECNMYCLDCKGDAFCFYCRSSKHKDHQVIQIRRSSYHDVVRVTEIQKVLDISGVQTYVINSARVLFLNERPQPKSGKGVAHICEICGRSLLDPFRFCSLGCKLVGIKRNGNASFSLEAKNEVVVMDRTRREGISKEEEELREGSQQDIYPPTPPPPPSSARRRKGIPHRAPFGS >KJB38639 pep chromosome:Graimondii2_0_v6:6:50375766:50379191:1 gene:B456_006G264800 transcript:KJB38639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFPCFGSSNKEANNNNNNGGTTVKELSKKDSTKDSSVPQSQHVNRDKSKSRNGSDSKRELPVVPKDGPTANIAAQTFTFRELAAATKNFRPECLLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLHEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRAHGEHNLVAWARPLFKDRRKFPKMADPLLHGHYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNAPGNQSNRVGPSIPRPKEDGLDSPKEHSHRNSPDYRKRNHARESSLGAELGRNETSGGSGRKWGLDDSERHESHIDSPLNTSRPRERNRDLDRERAVAEAKVWGENLREKKRANAMGSFDGTND >KJB35419 pep chromosome:Graimondii2_0_v6:6:36218124:36219980:1 gene:B456_006G114200 transcript:KJB35419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAVLTLTPLSSTSFLTAHTYSKLSSALTSTSIKPKFRSYQIKAIKEKAEEIQTPPSSSSSSSVDEVTKKYGLEVGLWKIFSSKEEGEQKKSKGDQAKELLAKYGGAYLATSITLSLISFSLCYALISAGVDVQALLQKVGISTDATGEKVGTFALAYAAHKAASPIRFPPTVALTPIVAGWIGKKVEKDK >KJB35420 pep chromosome:Graimondii2_0_v6:6:36218221:36219825:1 gene:B456_006G114200 transcript:KJB35420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAVLTLTPLSSTSFLTAHTYSKLSSALTSTSIKPKFRSYQIKAIKEKAEEIQTPPSSSSSSSVDEVTKKYGLEVGLWKIFSSKEEGEQKKSKGDQAKELLAKYGGAYLATSITLSLISFSLCYALISAGVDVQALLQKVN >KJB34709 pep chromosome:Graimondii2_0_v6:6:30474696:30487475:-1 gene:B456_006G079300 transcript:KJB34709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKYVPSTDSPSVRMKPLSFSTKVRAQFADGETDDSKKRNIDVDLREVYFLIMHFLSTGPCKRTCGQFWNELLENQLLPRRYHAWYSRKGEDSGHENDDGLSFPLSYAQLVERNPHIEKDHLIKLLKQLLLTAPSQSKDKSARHTPNAADVPTLLGTGPFSLLSYDDNKGKSEAKRPPVHMRWPHMHADQVRGLGLREIGGGFTRHHRSPSIRAACYAIAKPSTMVQKMQNIKRLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAYCLASCRGHEGDITDLAVSSNNFMVASASNDCIIRVWHLPDGQPISVLRGHTGAVTAIAFSPRPGSVYQLLSSSDDGTCRIWDARNAEIRPRIYVPKPSDSLAGKNSSSSSTSVQQSHQIFCCAFNANGTVFVTGSSDTLARVWVACKPNTDDSDQPNHEIDVLSGHENDVNYVQFSGCSVSSRYSTADSLKEESVPKFRNSWFSQDNIVTCSRDGSAIIWVPKSRRSHGKVGRWCKHYHLKVPPPPLPPQPPRGGPRQRILPTPRGVNMIIWSLDNRFVLAAIMDCRICVWNAADGSLVHSLSGHTDSTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGTPIRIYEIARFKLVDGKFSSDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIHDTSGNSLDLETQLTTYRRNMQDLLCDSGMIPYTEPYQTMYQKRRLGALGLEWKPGALNLFVGPNFSLDQDYQIPPLADLDAIAAPLPAFLDAMDWEPEIEVQSDDNDSEYNVIEEYSTGGEQGSLGSLSGDPECSTDDSEIDDTHKDARRRSKRKKPKADSEFMTSSGRRVKRRNLDECDGNSLSNGPNRKSRNGRKTLRRKSSTSKTSSKSSRPRRAAARNALHFFSKITGTSTDGEDEYDSEGDSSESESMIQDSYVESDESDRDLPNEQIKLSKGKEVFFGESEDVAKANALPESHNAGNRKRLVLKLPGRYPNKLVPPERRENSASFSRKASEGSIKHISSLDMGCSSVDANNSMIGGLRGQSDKIEDHLDLTEAYKDGGHRAIKWGGVKARTSKRLRFGELVSSDVYAGSTRCLRDHKENNVNGYVGPEKACATVSPSTDIQTCKEDMNGKVTIPENLGNEREVLDDPDNAEDPSSPSEHIKYPESPKSVNRSAEDMPISSFNQNGQPSEVKEGNMPISTKLRFFSKRTTIDDESPGLKMKISRGHMNGGYDALNDSTSERAKGLVSEVPLVDGSNDICSDNEGDGLRDSDAQIDRIPMSTPLVSGGLQPDSKKMYDVVYRRSKTQRDRSNLESGCAIVESTANVSNHNSSMIGDLHEGSTNGTHNKLSSRLKGHVLHSEDVHRSTQDGSTNGSQLPSKEWGSSSRMAVGSRSTRNRRSNYYFHDTSPIRKPNQSTRRGSWLMLTTHEEGSRYIPQLGDEIVYLRQGHQEYAAHIGLKEAGPWTSSKGGSMLRAVEFCRVEGLEYSTSPGSGESCCKMTLKFTDPSSSMFDRAFNLTLPDMTGFPDFLVERTRFDTAIDRNWSCRDKCKVWWKDASGDDGSWWDGRIVAVKPKSYEFPDSPWERYTVQYRSEPKEPQLHSPWELFDADTQWEQPHIDSNIRDNLLSAFAKLEKSSHKAQDQYAVNKLKQVSQKSNFTNRYPVPLSFDIIQSRLENNYYRSLEAVQHDIQVMLLNAESYFGRNAELLSKLRRLSDFFMRTLSSLQPP >KJB34712 pep chromosome:Graimondii2_0_v6:6:30474791:30485870:-1 gene:B456_006G079300 transcript:KJB34712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPHMHADQVRGLGLREIGGGFTRHHRSPSIRAACYAIAKPSTMVQKMQNIKRLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAYCLASCRGHEGDITDLAVSSNNFMVASASNDCIIRVWHLPDGQPISVLRGHTGAVTAIAFSPRPGSVYQLLSSSDDGTCRIWDARNAEIRPRIYVPKPSDSLAGKNSSSSSTSVQQSHQIFCCAFNANGTVFVTGSSDTLARVWVACKPNTDDSDQPNHEIDVLSGHENDVNYVQFSGCSVSSRYSTADSLKEESVPKFRNSWFSQDNIVTCSRDGSAIIWVPKSRRSHGKVGRWCKHYHLKVPPPPLPPQPPRGGPRQRILPTPRGVNMIIWSLDNRFVLAAIMDCRICVWNAADGSLVHSLSGHTDSTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGTPIRIYEIARFKLVDGKFSSDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIHDTSGNSLDLETQLTTYRRNMQDLLCDSGMIPYTEPYQTMYQKRRLGALGLEWKPGALNLFVGPNFSLDQDYQIPPLADLDAIAAPLPAFLDAMDWEPEIEVQSDDNDSEYNVIEEYSTGGEQGSLGSLSGDPECSTDDSEIDDTHKDARRRSKRKKPKADSEFMTSSGRRVKRRNLDECDGNSLSNGPNRKSRNGRKTLRRKSSTSKTSSKSSRPRRAAARNALHFFSKITGTSTDGEDEYDSEGDSSESESMIQDSYVESDESDRDLPNEQIKLSKGKEVFFGESEDVAKANALPESHNAGNRKRLVLKLPGRYPNKLVPPERRENSASFSRKASEGSIKHISSLDMGCSSVDANNSMIGGLRGQSDKIEDHLDLTEAYKDGGHRAIKWGGVKARTSKRLRFGELVSSDVYAGSTRCLRDHKENNVNGYVGPEKACATVSPSTDIQTCKEDMNGKVTIPENLGNEREVLDDPDNAEDPSSPSEHIKYPESPKSVNRSAEDMPISSFNQNGQPSEVKEGNMPISTKLRFFSKRTTIDDESPGLKMKISRGHMNGGYDALNDSTSERAKGLVSEVPLVDGSNDICSDNEGDGLRDSDAQIDRIPMSTPLVSGGLQPDSKKMYDVVYRRSKTQRDRSNLESGCAIVESTANVSNHNSSMIGDLHEGSTNGTHNKLSSRLKGHVLHSEDVHRSTQDGSTNGSQLPSKEWGSSSRMAVGSRSTRNRRSNYYFHDTSPIRKPNQSTRRGSWLMLTTHEEGSRYIPQLGDEIVYLRQGHQEYAAHIGLKEAGPWTSSKGGSMLRAVEFCRVEGLEYSTSPGSGESCCKMTLKFTDPSSSMFDRAFNLTLPDMTGFPDFLVERTRFDTAIDRNWSCRDKCKVWWKDASGDDGSWWDGRIVAVKPKSYEFPDSPWERYTVQYRSEPKEPQLHSPWELFDADTQWEQPHIDSNIRDNLLSAFAKLEKSSHKAQDQYAVNKLKQVSQKSNFTNRYPVPLSFDIIQSRLENNYYRSLEAVQHDIQVMLLNAESYFGRNAELLSKLRRLSDFFMRTLSSLQPP >KJB34711 pep chromosome:Graimondii2_0_v6:6:30474791:30480847:-1 gene:B456_006G079300 transcript:KJB34711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPYTEPYQTMYQKRRLGALGLEWKPGALNLFVGPNFSLDQDYQIPPLADLDAIAAPLPAFLDAMDWEPEIEVQSDDNDSEYNVIEEYSTGGEQGSLGSLSGDPECSTDDSEIDDTHKDARRRSKRKKPKADSEFMTSSGRRVKRRNLDECDGNSLSNGPNRKSRNGRKTLRRKSSTSKTSSKSSRPRRAAARNALHFFSKITGTSTDGEDEYDSEGDSSESESMIQDSYVESDESDRDLPNEQIKLSKGKEVFFGESEDVAKANALPESHNAGNRKRLVLKLPGRYPNKLVPPERRENSASFSRKASEGSIKHISSLDMGCSSVDANNSMIGGLRGQSDKIEDHLDLTEAYKDGGHRAIKWGGVKARTSKRLRFGELVSSDVYAGSTRCLRDHKENNVNGYVGPEKACATVSPSTDIQTCKEDMNGKVTIPENLGNEREVLDDPDNAEDPSSPSEHIKYPESPKSVNRSAEDMPISSFNQNGQPSEVKEGNMPISTKLRFFSKRTTIDDESPGLKMKISRGHMNGGYDALNDSTSERAKGLVSEVPLVDGSNDICSDNEGDGLRDSDAQIDRIPMSTPLVSGGLQPDSKKMYDVVYRRSKTQRDRSNLESGCAIVESTANVSNHNSSMIGDLHEGSTNGTHNKLSSRLKGHVLHSEDVHRSTQDGSTNGSQLPSKEWGSSSRMAVGSRSTRNRRSNYYFHDTSPIRKPNQSTRRGSWLMLTTHEEGSRYIPQLGDEIVYLRQGHQEYAAHIGLKEAGPWTSSKGGSMLRAVEFCRVEGLEYSTSPGSGESCCKMTLKFTDPSSSMFDRAFNLTLPDMTGFPDFLVERTRFDTAIDRNWSCRDKCKVWWKDASGDDGSWWDGRIVAVKPKSYEFPDSPWERYTVQYRSEPKEPQLHSPWELFDADTQWEQPHIDSNIRDNLLSAFAKLEKSSHKAQDQYAVNKLKQVSQKSNFTNRYPVPLSFDIIQSRLENNYYRSLEAVQHDIQVMLLNAESYFGRNAELLSKLRRLSDFFMRTLSSLQPP >KJB34708 pep chromosome:Graimondii2_0_v6:6:30475045:30486351:-1 gene:B456_006G079300 transcript:KJB34708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKYVPSTDSPSVRMKPLSFSTKVRAQFADGETDDSKKRNIDVDLREVYFLIMHFLSTGPCKRTCGQFWNELLENQLLPRRYHAWYSRKGEDSGHENDDGLSFPLSYAQLVERNPHIEKDHLIKLLKQLLLTAPSQSKDKSARHTPNAADVPTLLGTGPFSLLSYDDNKGKSEAKRPPVHMRWPHMHADQVRGLGLREIGGGFTRHHRSPSIRAACYAIAKPSTMVQKMQNIKRLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAYCLASCRGHEGDITDLAVSSNNFMVASASNDCIIRVWHLPDGQPISVLRGHTGAVTAIAFSPRPGSVYQLLSSSDDGTCRIWDARNAEIRPRIYVPKPSDSLAGKNSSSSSTSVQQSHQIFCCAFNANGTVFVTGSSDTLARVWVACKPNTDDSDQPNHEIDVLSGHENDVNYVQFSGCSVSSRYSTADSLKEESVPKFRNSWFSQDNIVTCSRDGSAIIWVPKSRRSHGKVGRWCKHYHLKVPPPPLPPQPPRGGPRQRILPTPRGVNMIIWSLDNRFVLAAIMDCRICVWNAADGSLVHSLSGHTDSTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGTPIRIYEIARFKLVDGKFSSDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIHDTSGNSLDLETQLTTYRRNMQDLLCDSGMIPYTEPYQTMYQKRRLGALGLEWKPGALNLFVGPNFSLDQDYQIPPLADLDAIAAPLPAFLDAMDWEPEIEVQSDDNDSEYNVIEEYSTGGEQGSLGSLSGDPECSTDDSEIDDTHKDARRRSKRKKPKADSEFMTSSGRRVKRRNLDECDGNSLSNGPNRKSRNGRKTLRRKSSTSKTSSKSSRPRRAAARNALHFFSKITGTSTDGEDEYDSEGDSSESESMIQDSYVESDESDRDLPNEQIKLSKGKEVFFGESEDVAKANALPESHNAGNRKRLVLKLPGRYPNKLVPPERRENSASFSRKASEGSIKHISSLDMGCSSVDANNSMIGGLRGQSDKIEDHLDLTEAYKDGGHRAIKWGGVKARTSKRLRFGELVSSDVYAGSTRCLRDHKENNVNGYVGPEKACATVSPSTDIQTCKEDMNGKVTIPENLGNEREVLDDPDNAEDPSSPSEHIKYPESPKSVNRSAEDMPISSFNQNGQPSEVKEGNMPISTKLRFFSKRTTIDDESPGLKMKISRGHMNGGYDALNDSTSERAKGLVSEVPLVDGSNDICSDNEGDGLRDSDAQIDRIPMSTPLVSGGLQPDSKKMYDVVYRRSKTQRDRSNLESGCAIVESTANVSNHNSSMIGDLHEGSTNGTHNKLSSRLKGHVLHSEDVHRSTQDGSTNGSQLPSKEWGSSSRMAVGSRSTRNRRSNYYFHDTSPIRKPNQSTRRGSWLMLTTHEEGSRYIPQLGDEIVYLRQGHQEYAAHIGLKEAGPWTSSKGGSMLRAVEFCRVEGLEYSTSPGSGESCCKMTLKFTDPSSSMFDRAFNLTLPDMTGFPDFLVERTRFDTAIDRNWSCRDKCKVWWKDASGDDGSWWDGRIVAVKPKSYEFPDSPWERYTVQYRSEPKEPQLHSPWELFDADTQWEQPHIDSNIRDNLLSAFAKLEKSSHKAQDQYAVNKLKQVSQKSNFTNRYPVPLSFDIIQSRLENNYYRSLEAVQHDIQVMLLNAESYFGRNAELLSKLRRLSDFFMRTLSSLQPP >KJB34710 pep chromosome:Graimondii2_0_v6:6:30474696:30487475:-1 gene:B456_006G079300 transcript:KJB34710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKYVPSTDSPSVRMKPLSFSTKVRAQFADGETDDSKKRNIDVDLREVYFLIMHFLSTGPCKRTCGQFWNELLENQLLPRRYHAWYSRKGEDSGHENDDGLSFPLSYAQLVERNPHIEKDHLIKLLKQLLLTAPSQSKDKSARHTPNAADVPTLLGTGPFSLLSYDDNKGKSEAKRPPVHMRWPHMHADQVRGLGLREIGGGFTRHHRSPSIRAACYAIAKPSTMVQKMQNIKRLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAYCLASCRGHEGDITDLAVSSNNFMVASASNDCIIRVWHLPDGQPISVLRGHTGAVTAIAFSPRPGSVYQLLSSSDDGTCRIWDARNAEIRPRIYVPKPSDSLAGKNSSSSSTSVQQSHQIFCCAFNANGTVFVTGSSDTLARVWVACKPNTDDSDQPNHEIDVLSGHENDVNYVQFSGCSVSSRYSTADSLKEESVPKFRNSWFSQDNIVTCSRDGSAIIWVPKSRRSHGKVGRWCKHYHLKVPPPPLPPQPPRGGPRQRILPTPRGVNMIIWSLDNRFVLAAIMDCRICVWNAADGSLVHSLSGHTDSTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGTPIRIYEIARFKLVDGKFSSDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIHDTSGNSLDLETQLTTYRRNMQDLLCDSGMIPYTEPYQTMYQKRRLGALGLEWKPGALNLFVGPNFSLDQDYQIPPLADLDAIAAPLPAFLDAMDWEPEIEVQSDDNDSEYNVIEEYSTGGEQGSLGSLSGDPECSTDDSEIDDTHKDARRRSKRKKPKADSEFMTSSGRRVKRRNLDECDGNSLSNGPNRKSRNGRKTLRRKSSTSKTSSKSSRPRRAAARNALHFFSKITGTSTDGEDEYDSEGDSSESESMIQDSYVESDESDRDLPNEQIKLSKGKEVFFGESEDVAKANALPESHNAGNRKRLVLKLPGRYPNKLVPPERRENSASFSRKASEGSIKHISSLDMGCSSVDANNSMIGGLRGQSDKIEDHLDLTEAYKDGGHRAIKWGGVKARTSKRLRFGELVSSDVYAGSTRCLRDHKENNVNGYVGPEKACATVSPSTDIQTCKEDMNGKVTIPENLGNEREVLDDPDNAEDPSSPSEHIKYPESPKSVNRSAEDMPISSFNQNGQPSEVKEGNMPISTKLRFFSKRTTIDDESPGLKMKISRGHMNGGYDALNDSTSERAKGLVSEVPLVDGSNDICSDNEGDGLRDSDAQIDRIPMSTPLVSGGLQPDSKKMYDVVYRRSKTQRDRSNLESGCAIVESTANVSNHNSSMIGDLHEGSTNGTHNKLSSRLKGHVLHSEDVHRSTQDGSTNGSQLPSKEWGSSSRMAVGSRSTRNRRSNYYFHDTSPIRKPNQSTRRGSWLMLTTHEEGSRYIPQLGDEIVYLRQGHQEYAAHIGLKEAGPWTSSKGGSMLRAVEFCRVEGLEYSTSPGSGESCCKMTLKFTDPSSSMFDRAFNLTLPDMTGFPDFLVERTRFDTAIDRNWSCRDKCKVWWKDASGDDGSWWDGRIVAVKPKSYEFPDSPWERYTVQYRSEPKEPQLHSPWELFDADTQWEQPHIDSNIRDNLLSAFAKLEKSSHKAQDQYAVNKLKQVSQKSNFTNRYPVPLSFDIIQSRLENNYYRSLEAVQHDIQVMLLNAESYFGRNAELLSKLRRLSDFFMRTLSSLQPP >KJB34713 pep chromosome:Graimondii2_0_v6:6:30475725:30487278:-1 gene:B456_006G079300 transcript:KJB34713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKYVPSTDSPSVRMKPLSFSTKVRAQFADGETDDSKKRNIDVDLREVYFLIMHFLSTGPCKRTCGQFWNELLENQLLPRRYHAWYSRKGEDSGHENDDGLSFPLSYAQLVERNPHIEKDHLIKLLKQLLLTAPSQSKDKSARHTPNAADVPTLLGTGPFSLLSYDDNKGKSEAKRPPVHMRWPHMHADQVRGLGLREIGGGFTRHHRSPSIRAACYAIAKPSTMVQKMQNIKRLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAYCLASCRGHEGDITDLAVSSNNFMVASASNDCIIRVWHLPDGQPISVLRGHTGAVTAIAFSPRPGSVYQLLSSSDDGTCRIWDARNAEIRPRIYVPKPSDSLAGKNSSSSSTSVQQSHQIFCCAFNANGTVFVTGSSDTLARVWVACKPNTDDSDQPNHEIDVLSGHENDVNYVQFSGCSVSSRYSTADSLKEESVPKFRNSWFSQDNIVTCSRDGSAIIWVPKSRRSHGKVGRWCKHYHLKVPPPPLPPQPPRGGPRQRILPTPRGVNMIIWSLDNRFVLAAIMDCRICVWNAADGSLVHSLSGHTDSTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGTPIRIYEIARFKLVDGKFSSDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIHDTSGNSLDLETQLTTYRRNMQDLLCDSGMIPYTEPYQTMYQKRRLGALGLEWKPGALNLFVGPNFSLDQDYQIPPLADLDAIAAPLPAFLDAMDWEPEIEVQSDDNDSEYNVIEEYSTGGEQGSLGSLSGDPECSTDDSEIDDTHKDARRRSKRKKPKADSEFMTSSGRRVKRRNLDECDGNSLSNGPNRKSRNGRKTLRRKSSTSKTSSKSSRPRRAAARNALHFFSKITGTSTDGEDEYDSEGDSSESESMIQDSYVESDESDRDLPNEQIKLSKGKEVFFGESEDVAKANALPESHNAGNRKRLVLKLPGRYPNKLVPPERRENSASFSRKASEGSIKHISSLDMGCSSVDANNSMIGGLRGQSDKIEDHLDLTEAYKDGGHRAIKWGGVKARTSKRLRFGELVSSDVYAGSTRCLRDHKENNVNGYVGPEKACATVSPSTDIQTCKEDMNGKVTIPENLGNEREVLDDPDNAEDPSSPSEHIKYPESPKSVNRSAEDMPISSFNQNGQPSEVKEGNMPISTKLRFFSKRTTIDDESPGLKMKISRGHMNGGYDALNDSTSERAKGLVSEVPLVDGSNDICSDNEGDGLRDSDAQIDRIPMSTPLVSGGLQPDSKKMYDVVYRRSKTQRDRSNLESGCAIVESTANVSNHNSSMIGDLHEGSTNGTHNKLSSRLKGHVLHSEDVHRSTQDGSTNGSQLPSKEWGSSSRMAVGSRSTRNRRSNYYFHDTSPIRKPNQSTRRGSWLMLTTHEEGSRYIPQLGDEIVYLRQGHQEYAAHIGLKEAGPWTSSKGGSMLRAVEFCRVEGLEYSTSPGSGESCCKMTLKFTDPSSSMFDRAFNLTLPDMTGFPDFLVERTRFDTAIDRNWSCRDKCKVWWKDASGDDGSWWDGRIVAVKPKSYEFPDSPWERYTVQYRSEPKEPQLHSPWELFDADTQWEQPHIDSNIRDNLLSAFAKLEKSSHKAQVSGKI >KJB36561 pep chromosome:Graimondii2_0_v6:6:42517278:42521618:-1 gene:B456_006G165400 transcript:KJB36561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMYQQDSDFVHWVLGGDPFYNYVYNNDMVQQDAGDIYHHTHYFRGHSHTDTQSSLIENDEVIARTLQEEFSHLAVAEASEFSHAGEEQSQASCEPHDWLTPSTTDYCYSGYEYGQDESDDLVPHSSCSSSSPSDSEDFSGSLELTEGYLLDDEVGKRLNQMVPIPHVPRINGEIPSIDEAMSDHERLLSRLQVYGFMELKVQGDGNCQFRALSHQLFHTPDNHKIVRRQIVNQLKSNPEAYEGYVPMDYTDYLKKMSKSGEWGDHVTLQAAADRYGVRIFVITSFKDTCYIEILPNFQKMKSVIFLSFWAEVHYNSIYCQGDPPSSEVPRKKRWWNFGN >KJB36559 pep chromosome:Graimondii2_0_v6:6:42517520:42520090:-1 gene:B456_006G165400 transcript:KJB36559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMYQQDSDFVHWVLGGDPFYNYVYNNDMVQQDAGDIYHHTHYFRGHSHTDTQSSLIENDEVIARTLQEEFSHLAVAEASEFSHAGEEQSQASCEPHDWLTPSTTDYCYSERILPQCAGYEYGQDESDDLVPHSSCSSSSPSDSEDFSGSLELTEGYLLDDEVGKRLNQMVPIPHVPRINGEIPSIDEAMSDHERLLSRLQVYGFMELKVQGDGNCQFRALSHQLFHTPDNHKIVRRQIVNQLKSNPEAYEGYVPMDYTDYLKKMSKSGEWGDHVTLQAAADRYGVRIFVITSFKDTCYIEILPNFQKMKSVIFLSFWAEVHYNSIYCQGDPPSSEVPRKKRWWNFGN >KJB36564 pep chromosome:Graimondii2_0_v6:6:42517520:42520090:-1 gene:B456_006G165400 transcript:KJB36564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMYQQDSDFVHWVLGGDPFYNYVYNNDMVQQDAGDIYHHTHYFRGHSHTDTQSSLIENDEVIARTLQEEFSHLAVAEASEFSHAGEEQSQASCEPHDWLTPSTTDYCYSGYEYGQDESDDLVPHSSCSSSSPSDSEDFSGSLELTEGYLLDDEVGKRLNQMVPIPHVPRINGEIPSIDEAMSDHERLLSRLQVYGFMELKVQGDGNCQLKSNPEAYEGYVPMDYTDYLKKMSKSGEWGDHVTLQAAADRYGVRIFVITSFKDTCYIEILPNFQKMKSVIFLSFWAEVHYNSIYCQGDPPSSEVPRKKRWWNFGN >KJB36560 pep chromosome:Graimondii2_0_v6:6:42517278:42521781:-1 gene:B456_006G165400 transcript:KJB36560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMYQQDSDFVHWVLGGDPFYNYVYNNDMVQQDAGDIYHHTHYFRGHSHTDTQSSLIENDEVIARTLQEEFSHLAVAEASEFSHAGEEQSQASCEPHDWLTPSTTDYCYSGYEYGQDESDDLVPHSSCSSSSPSDSEDFSGSLELTEGYLLDDEVGKRLNQMVPIPHVPRINGEIPSIDEAMSDHERLLSRLQVYGFMELKVQGDGNCQFRALSHQLFHTPDNHKIVRRQIVNQLKSNPEAYEGYVPMDYTDYLKKMSKSGEWGDHVTLQAAADRYGVRIFVITSFKDTCYIEILPNFQKMKSVIFLSFWAEVHYNSIYCQGDPPSSEVPRKKRWWNFGN >KJB36565 pep chromosome:Graimondii2_0_v6:6:42517520:42520090:-1 gene:B456_006G165400 transcript:KJB36565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMYQQDSDFVHWVLGGDPFYNYVYNNDMVQQDAGDIYHHTHYFRGHSHTDTQSSLIENDEVIARTLQEEFSHLAVAEASEFSHAGEEQSQASCEPHDWLTPSTTDYCYSGYEYGQDESDDLVPHSSCSSSSPSDSEDFSGSLELTEGYLLDDEVGKRLNQMVPIPHVPRINGEIPSIDEAMSDHERLLSRLQVYGFMELKVQGDGNCQFRALSHQLFHTPDNHKIVRRQIVNQLKSNPEAYEGYVPMDYTDYLKKMSKSGEWGDHVTLQAAADRYGVRIFVITSFKDTCYIEILPNFQKMKSVIFLSFWAEVHYNSIYCQGDPPSSEVPRKKRWWNFGN >KJB36563 pep chromosome:Graimondii2_0_v6:6:42517298:42520501:-1 gene:B456_006G165400 transcript:KJB36563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMYQQDSDFVHWVLGGDPFYNYVYNNDMVQQDAGDIYHHTHYFRGHSHTDTQSSLIENDEVIARTLQEEFSHLAVAEASEFSHAGEEQSQASCEPHDWLTPSTTDYCYSGYEYGQDESDDLVPHSSCSSSSPSDSEDFSGSLELTEGYLLDDEVGKRLNQMVPIPHVPRINGEIPSIDEAMSDHERLLSRLQVYGFMELKVQGDGNCQLKSNPEAYEGYVPMDYTDYLKKMSKSGEWGDHVTLQAAADRLFS >KJB36562 pep chromosome:Graimondii2_0_v6:6:42517298:42520501:-1 gene:B456_006G165400 transcript:KJB36562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMYQQDSDFVHWVLGGDPFYNYVYNNDMVQQDAGDIYHHTHYFRGHSHTDTQSSLIENDEVIARTLQEEFSHLAVAEASEFSHAGEEQSQASCEPHDWLTPSTTDYCYSGYEYGQDESDDLVPHSSCSSSSPSDSEDFSGSLELTEGYLLDDEVGKRLNQMVPIPHVPRINGEIPSIDEAMSDHERLLSRLQVYGFMELKVQGDGNCQFRALSHQLFHTPDNHKIVRRQIVNQLKSNPEAYEGYVPMDYTDYLKKMSKSGEWGDHVTLQAAADRLFS >KJB36566 pep chromosome:Graimondii2_0_v6:6:42518423:42521618:-1 gene:B456_006G165400 transcript:KJB36566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMYQQDSDFVHWVLGGDPFYNYVYNNDMVQQDAGDIYHHTHYFRGHSHTDTQSSLIENDEVIARTLQEEFSHLAVAEASEFSHAGEEQSQASCEPHDWLTPSTTDYCYSGYEYGQDESDDLVPHSSCSSSSPSDSEDFSGSLELTEGYLLDDEVGKRLNQMVPIPHVPRINGEIPSIDEAMSDHERLLSRLQVYGFMELKVQGDGNCQFRALSHQLFHTPDNHKIVRRQIVNQLKSNPEAYEGYVPMDYTDYLKKMSKSGEWGDHVTLQAAADRVCVIKVANRFL >KJB32992 pep chromosome:Graimondii2_0_v6:6:38769624:38769965:1 gene:B456_006G1334001 transcript:KJB32992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVVSGERLKRYIYHKICSELPEGPFCIVYMHSTVQKEDNSPGVTILRWIYEELPPEIKDRLQVIYFIHPGLRSRLVFATLGRFFLSGG >KJB32995 pep chromosome:Graimondii2_0_v6:6:38769624:38770507:1 gene:B456_006G1334001 transcript:KJB32995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVVSGERLKRYIYHKICSELPEGPFCIVYMHSTVQKEDNSPGVTILRWIYEELPPEIKDRLQVIYFIHPGLRSRLVFATLGRFFLSGGLYWKIKYISRLQYLWEDIKKGEVEIPEFVQNHDDVLEHRPLTDYGIEPDPLHLTEVPNTAYSLGRYEERLTSREFMS >KJB32998 pep chromosome:Graimondii2_0_v6:6:38769622:38770921:1 gene:B456_006G1334001 transcript:KJB32998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVVSGERLKRYIYHKICSELPEGPFCIVYMHSTVQKEDNSPGVTILRWIYEELPPEIKDRLQVIYFIHPGLRSRLVFATLGRFFLSGGLYWKIKYISRLQYLWEDIKKGEVEIPEFVQNHDDVLEHRPLTDYGIEPDPLHLTEVPNTAYSLGRYEERLTSREFMS >KJB32991 pep chromosome:Graimondii2_0_v6:6:38769338:38770921:1 gene:B456_006G1334001 transcript:KJB32991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAAPVVSGERLKRYIYHKICSELPEGPFCIVYMHSTVQKEDNSPGVTILRWIYEELPPEIKDRLQVIYFIHPGLRSRLVFATLGRFFLSGGLYWKIKYISRLQYLWEDIKKGEVEIPEFVQNHDDVLEHRPLTDYGIEPDPLHLTEVPNTAYSLGRYEERLTSREFMS >KJB32996 pep chromosome:Graimondii2_0_v6:6:38769624:38770507:1 gene:B456_006G1334001 transcript:KJB32996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVVSGERLKRYIYHKICSELPEGPFCIVYMHSTVQKEDNSPGVTILRWIYEELPPEIKDRLQVIYFIHPGLRSRLVFATLGRFFLSGGLYWKIKYISRLQYLWEDIKKGEVEIPEFVQNHDDVLEHRPLTDYGIEPDPLHLTEVPNTAYSLGRYEERLTSREFMS >KJB32997 pep chromosome:Graimondii2_0_v6:6:38769624:38770507:1 gene:B456_006G1334001 transcript:KJB32997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVVSGERLKRYIYHKICSELPEGPFCIVYMHSTVQKEDNSPGVTILRWIYEELPPEIKDRLQVIYFIHPGLRSRLVFATLGRFFLSGGLYWKIKYISRLQYLWEDIKKGEVEIPEFVQNHDDVLEHRPLTDYGIEPDPLHLTEVPNTAYSLGRYEERLTSREFMS >KJB32993 pep chromosome:Graimondii2_0_v6:6:38769624:38770507:1 gene:B456_006G1334001 transcript:KJB32993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVVSGERLKRYIYHKICSELPEGPFCIVYMHSTVQKEDNSPGVTILRWIYEELPPEIKDRLQVIYFIHPGLRSRLVFATLGRFFLSGGLYWKIKYISRLQYLWEDIKKGEVEIPEFVQNHDDVLEHRPLTDYGIEPDPLHLTEVPNTAYSLGRYEERLTSREFMS >KJB32994 pep chromosome:Graimondii2_0_v6:6:38769622:38770921:1 gene:B456_006G1334001 transcript:KJB32994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVVSGERLKRYIYHKICSELPEGPFCIVYMHSTVQKEDNSPGVTILRWIYEELPPEIKDRLQVIYFIHPGLRSRLVFATLGRFFLSGGLYWKIKYISRLQYLWEDIKKGEVEIPEFVQNHDDVLEHRPLTDYGIEPDPLHLTEVPNTAYSLGRYEERLTSREFMS >KJB35743 pep chromosome:Graimondii2_0_v6:6:37883295:37885148:-1 gene:B456_006G126500 transcript:KJB35743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTSPDWSLASTDSYTSKPRKSKSALFFSPCKTLHFVSLPTSNLPLFHINSSCNSPSAIMEDASSSSSTSNVPITKLGLKFHDPDVDNLNGLLCGLLQDTPSEDVAYDFYEKAKENPRFIPEKKMLKLLIRLINTCVKARKFMVVEALLEAFKSDKELSVITFNSAMAGYNKLHMFRSTIAAYETMKSNGISPDSESYCQIMEAYHRIGDMDKVSSLFDEFESSKLNLTPLAPRAYSILCDSLAKSGRPYEALEYFGDMKKKGLFVSSLVYSSLIISFASIRDITIVEKLLEEAEERKMVRDPELFLKLVLMYIEEGLLEKTLDVVRVMNDANVKVSDCIFCTIVNGFSKRRGFQSAIVVYEQLILQGCKPGQVTYASIINAYCRIGLNSKAEMVFSEMQQKGFDKCVVAYSSMIAMYGKAGMIRDAMKVLAQMKAKGCQPNVWIYNSLMDMHGRVKNLRQVEKLWKEMKRRKLAPDKVSYTTVISAYNRVRECEMCVKFYQEFRLNGGSIDKAMAGTMVGVFSKTSRIDELVRLLQDMKAEGTELDGRLYHSAMNALRDAGLENQVKWLQKNFDAMQHGTQL >KJB35742 pep chromosome:Graimondii2_0_v6:6:37883048:37885277:-1 gene:B456_006G126500 transcript:KJB35742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEALLEAFKSDKELSVITFNSAMAGYNKLHMFRSTIAAYETMKSNGISPDSESYCQIMEAYHRIGDMDKVSSLFDEFESSKLNLTPLAPRAYSILCDSLAKSGRPYEALEYFGDMKKKGLFVSSLVYSSLIISFASIRDITIVEKLLEEAEERKMVRDPELFLKLVLMYIEEGLLEKTLDVVRVMNDANVKVSDCIFCTIVNGFSKRRGFQSAIVVYEQLILQGCKPGQVTYASIINAYCRIGLNSKAEMVFSEMQQKGFDKCVVAYSSMIAMYGKAGMIRDAMKVLAQMKAKGCQPNVWIYNSLMDMHGRVKNLRQVEKLWKEMKRRKLAPDKVSYTTVISAYNRVRECEMCVKFYQEFRLNGGSIDKAMAGTMVGVFSKTSRIDELVRLLQDMKAEGTELDGRLYHSAMNALRDAGLENQVKWLQKNFDAMQHGTQL >KJB35935 pep chromosome:Graimondii2_0_v6:6:38938303:38943115:-1 gene:B456_006G134200 transcript:KJB35935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLLSTTIVKLTPKLHYNTTRSILKTPLCFFSGVGDKKNKRNRVSIISLAVKDQAFHRTTEKIRGKISPKRGKNPVLSEGRDEDENYGPVCPGCGVFMQDKDPNLPGYYQKRKVVEGTLVENENVEDLEEYFEGGLEGLDDDDDVEEEEEEEEDFVDEVEGNFEGSDTEEDNLGKGDGFDRDSDEWEANFLKGEDDIEFDGFAPASVGYGNITEEIMEKGKRKRLSKAERKRMAREAQKEKEEVTVCARCHSLRNYGQVKNQSVENLIPDFDFDRLIATRLIKPTGNAGATVVVMVVDCVDFDGSFPKRAAKSLFKLLENAQNDSKLSKKLPKLVLVATKVDLLPSQISPTRLDRWVRHRAKAGGAPKLNGVYLVSSRKDLGVKNLLAFIKELAGPRGNVWVIGAQNAGKSTLINAFAKREKANITRPTEAPVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNRDEQKMVEIRKELKPRTYRVKVGQAVHVGGLMRLDLIYASVETIYVTIWASPNVSLHLGKVENADEIWKNHVGIRLQPPIGEDRASELGKWQEREVKVSGSSWDVNTIDIAAAGLGWFSLGLKGEATLALWTYDGVEITLREPLVLDRAPFLERPGFWLPKAVSDAIGSQSKLESQKRKKFEESTDDLSEVSA >KJB35938 pep chromosome:Graimondii2_0_v6:6:38938631:38942999:-1 gene:B456_006G134200 transcript:KJB35938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLLSTTIVKLTPKLHYNTTRSILKTPLCFFSGVGDKKNKRNRVSIISLAVKDQAFHRTTEKIRGKISPKRGKNPVLSEGRDEDENYGPVCPGCGVFMQDKDPNLPGYYQKRKVVEGTLVENENVEDLEEYFEGGLEGLDDDDDVEEEEEEEEDFVDEVEGNFEGSDTEEDNLGKGDGFDRDSDEWEANFLKGEDDIEFDGFAPASVGYGNITEEIMEKGKRKRLSKAERKRMAREAQKEKEEVTVCARCHSLRNYGQVKNQSVENLIPDFDFDRLIATRLIKPTGNAGATVVVMVVDCVDFDGSFPKRAAKSLFKLLENAQNDSKLSKKLPKLVLVATKVDLLPSQISPTRLDRWVRHRAKAGGAPKLNGVYLVSSRKDLGVKNLLAFIKELAGPRGNVWVIGAQNAGKSTLINAFAKREKANITRPTEAPVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNRDEQKMVEIRKELKPRTYRVKVGQAVHVGGLMRLDLIYASVETIYVTIWASPNVSLHLGKVENADEIWKNHVGIRLQPPIGEDRASELGKWQEREVKVSGSSWDVNTIDIAAAGLGWFSLGLKGEATLALWTYDGVEITLREPLVLDRAPFLERPGFWLPKAVSDAIGSQSKLESQKRKKFEESTDDLSEVSA >KJB35940 pep chromosome:Graimondii2_0_v6:6:38939763:38942935:-1 gene:B456_006G134200 transcript:KJB35940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLLSTTIVKLTPKLHYNTTRSILKTPLCFFSGVGDKKNKRNRVSIISLAVKDQAFHRTTEKIRGKISPKRGKNPVLSEGRDEDENYGPVCPGCGVFMQDKDPNLPGYYQKRKVVEGTLVENENVEDLEEYFEGGLEGLDDDDDVEEEEEEEEDFVDEVEGNFEGSDTEEDNLGKGDGFDRDSDEWEANFLKGEDDIEFDGFAPASVGYGNITEEIMEKGKRKRLSKAERKRMAREAQKEKEEVTVCARCHSLRNYGQVKNQSVENLIPDFDFDRLIATRLIKPTGNAGATVVVMVVDCVDFDGSFPKRAAKSLFKLLENAQNDSKLSKKLPKLVLVATKVDLLPSQISPTRLDRWVRHRAKAGGAPKLNGVYLVSSRKDLGVKNLLAFIKELAGPRGNVWVIGAQNAGKSTLINAFAKREKANITRPTEAPVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNRDEQKMVEIRKELKPRTYRVKVGQAVHVGGLMRLDLIYASVETIYVTIWASPNVSLHLGKVENADEIWKNHVGIRLQVYVYFYFVLFCIFCPSYANW >KJB35936 pep chromosome:Graimondii2_0_v6:6:38938639:38943023:-1 gene:B456_006G134200 transcript:KJB35936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDKDPNLPGYYQKRKVVEGTLVENENVEDLEEYFEGGLEGLDDDDDVEEEEEEEEDFVDEVEGNFEGSDTEEDNLGKGDGFDRDSDEWEANFLKGEDDIEFDGFAPASVGYGNITEEIMEKGKRKRLSKAERKRMAREAQKEKEEVTVCARCHSLRNYGQVKNQSVENLIPDFDFDRLIATRLIKPTGNAGATVVVMVVDCVDFDGSFPKRAAKSLFKLLENAQNDSKLSKKLPKLVLVATKVDLLPSQISPTRLDRWVRHRAKAGGAPKLNGVYLVSSRKDLGVKNLLAFIKELAGPRGNVWVIGAQNAGKSTLINAFAKREKANITRPTEAPVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNRDEQKMVEIRKELKPRTYRVKVGQAVHVGGLMRLDLIYASVETIYVTIWASPNVSLHLGKVENADEIWKNHVGIRLQPPIGEDRASELGKWQEREVKVSGSSWDVNTIDIAAAGLGWFSLGLKGEATLALWTYDGVEITLREPLVLDRAPFLERPGFWLPKAVSDAIGSQSKLESQKRKKFEESTDDLSEVSA >KJB35943 pep chromosome:Graimondii2_0_v6:6:38939361:38942999:-1 gene:B456_006G134200 transcript:KJB35943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLLSTTIVKLTPKLHYNTTRSILKTPLCFFSGVGDKKNKRNRVSIISLAVKDQAFHRTTEKIRGKISPKRGKNPVLSEGRDEDENYGPVCPGCGVFMQDKDPNLPGYYQKRKVVEGTLVENENVEDLEEYFEGGLEGLDDDDDVEEEEEEEEDFVDEVEGNFEGSDTEEDNLGKGDGFDRDSDEWEANFLKGEDDIEFDGFAPASVGYGNITEEIMEKGKRKRLSKAERKRMAREAQKEKEEVTVCARCHSLRNYGQVKNQSVENLIPDFDFDRLIATRLIKPTGNAGATVVVMVVDCVDFDGSFPKRAAKSLFKLLENAQNDSKLSKKLPKLVLVATKVDLLPSQISPTRLDRWVRHRAKAGGAPKLNGVYLVSSRKDLGVKNLLAFIKELAGPRGNVWVIGAQNAGKSTLINAFAKREKANITRPTEAPVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNRDEQKMVEIRKELKPRTYRVKVGQAVHVGGLMRLDLIYASVETIYVTIWASPNVSLHLGKVENADEIWKNHVGIRLQDPSKSIGS >KJB35937 pep chromosome:Graimondii2_0_v6:6:38938593:38943089:-1 gene:B456_006G134200 transcript:KJB35937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLLSTTIVKLTPKLHYNTTRSILKTPLCFFSGVGDKKNKRNRVSIISLAVKDQAFHRTTEKIRGKISPKRGKNPVLSEGRDEDENYGPVCPGCGVFMQDKDPNLPGYYQKRKVVEGTLVENENVEDLEEYFEGGLEGLDDDDDVEEEEEEEEDFVDEVEGNFEGSDTEEDNLGKGDGFDRDSDEWEANFLKGEDDIEFDGFAPASVGYGNITEEIMEKGKRKRLSKAERKRMAREAQKEKEEVTVCARCHSLRNYGQVKNQSVENLIPDFDFDRLIATRLIKPTGNAGATVVVMVVDCVDFDGSFPKRAAKSLFKLLENAQNDSKLSKKLPKLVLVATKVDLLPSQISPTRLDRWVRHRAKAGGAPKLNGVYLVSSRKDLGVKNLLAFIKELAGPRGNVWVIGAQNAGKSTLINAFAKREKANITRPTEAPVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNRDEQKMVEIRKELKPRTYRVKVGQAVHVGGLMRLDLIYASVETIYVTIWASPNVSLHLGKVENADEIWKNHVGIRLQPPIGEDRASELGKWQEREVKVSGSSWDVNTIDIAAAGLGWFSLGLKGEATLALWTYDGVEITLREPLVLDRAPFLERPGFWLPKAVSDAIGSQSKLESQKRKKFEESTDDLSEVSA >KJB35942 pep chromosome:Graimondii2_0_v6:6:38938639:38942889:-1 gene:B456_006G134200 transcript:KJB35942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDKDPNLPGYYQKRKVVEGTLVENENVEDLEEYFEGGLEGLDDDDDVEEEEEEEEDFVDEVEGNFEGSDTEEDNLGKGDGFDRDSDEWEANFLKGEDDIEFDGFAPASVGYGNITEEIMEKGKRKRLSKAERKRMAREAQKEKEEVTVCARCHSLRNYGQVKNQSVENLIPDFDFDRLIATRLIKPTGNAGATVVVMVVDCVDFDGSFPKRAAKSLFKLLENAQNDSKLSKKLPKLVLVATKVDLLPSQISPTRLDRWVRHRAKAGGAPKLNGVYLVSSRKDLGVKNLLAFIKELAGPRGNVWVIGAQNAGKSTLINAFAKREKANITRPTEAPVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNRDEQKMVEIRKELKPRTYRVKVGQAVHVGGLMRLDLIYASVETIYVTIWASPNVSLHLGKVENADEIWKNHVGIRLQPPIGEDRASELGKWQEREVKVSGSSWDVNTIDIAAAGLGWFSLGLKGEATLALWTYDGVEITLREPLVLDRAPFLERPGFWLPKAVSDAIGSQSKLESQKRKKFEESTDDLSEVSA >KJB35939 pep chromosome:Graimondii2_0_v6:6:38938639:38942995:-1 gene:B456_006G134200 transcript:KJB35939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDKDPNLPGYYQKRKVVEGTLVENENVEDLEEYFEGGLEGLDDDDDVEEEEEEEEDFVDEVEGNFEGSDTEEDNLGKGDGFDRDSDEWEANFLKGEDDIEFDGFAPASVGYGNITEEIMEKGKRKRLSKAERKRMAREAQKEKEEVTVCARCHSLRNYGQVKNQSVENLIPDFDFDRLIATRLIKPTGNAGATVVVMVVDCVDFDGSFPKRAAKSLFKLLENAQNDSKLSKKLPKLVLVATKVDLLPSQISPTRLDRWVRHRAKAGGAPKLNGVYLVSSRKDLGVKNLLAFIKELAGPRGNVWVIGAQNAGKSTLINAFAKREKANITRPTEAPVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNRDEQKMVEIRKELKPRTYRVKVGQAVHVGGLMRLDLIYASVETIYVTIWASPNVSLHLGKVENADEIWKNHVGIRLQPPIGEDRASELGKWQEREVKVSGSSWDVNTIDIAAAGLGWFSLGLKGEATLALWTYDGVEITLREPLVLDRAPFLERPGFWLPKAVSDAIGSQSKLESQKRKKFEESTDDLSEVSA >KJB35941 pep chromosome:Graimondii2_0_v6:6:38938639:38942995:-1 gene:B456_006G134200 transcript:KJB35941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLLSTTIVKLTPKLHYNTTRSILKTPLCFFSGVGDKKNKRNRVSIISLAVKDQAFHRTTEKIRGKISPKRGKNPVLSEGRDEDENYGPVCPGCGVFMQDKDPNLPGYYQKRKVVEGTLVENENVEDLEEYFEGGLEGLDDDDDVEEEEEEEEDFVDEVEGNFEGSDTEEDNLGKGDGFDRDSDEWEANFLKGEDDIEFDGFAPASVGYGNITEEIMEKGKRKRLSKAERKRMAREAQKEKEEVTVCARCHSLRNYGQVKNQSVENLIPDFDFDRLIATRLIKPTGNAGATVVVMVVDCVDFDGSFPKRAAKSLFKLLENAQNDSKLSKKLPKLVLVATKVDLLPSQISPTRLDRWVRHRAKAGGAPKLNGVYLVSSRKDLGVKNLLAFIKELAGPRGNVWVIGAQNAGKSTLINAFAKREKANITRPTEAPVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNRDEQKMVEIRKELKPRTYRVKARQAVHVGGLMRLDLIYASVETIYVTIWASPNVSLHLGKVENADEIWKNHVGIRLQVYVYFYFVLFCIFCPSYANW >KJB34479 pep chromosome:Graimondii2_0_v6:6:27358431:27359021:1 gene:B456_006G068000 transcript:KJB34479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLMIRNQTRMPPSNPSPPLSIHRDSKTISKPKPKIRIIHIFAPEIIKTDVANFRELVQRLTGKPPQEKGSKRKPRKDHSFCDKSVSTAAMASKKMEVRSGFVLGLEMRERVVKEEEGMLWGNIGDNSSGFLGDLDGFIQQLGEFPLLPLDASNDHMHGFEEVQLA >KJB35843 pep chromosome:Graimondii2_0_v6:6:38428743:38430124:-1 gene:B456_006G130400 transcript:KJB35843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMADQQRIHPVLDVEAPPQTSPTVPLVPQGTSKSDDQSYPPFHRTYPPMNSKPPKKRSRCCKCLCWTLSLLLLLILILGITVGILFLVFRPKLPKYSIDRNPNERIGIYYEGGSHLSAWYTETKLCQGSMPKFYQGHRNTTVLVLPLSGQIQNGTGLIMAVQEQQQRTGNIPLRLRVSQPVRVKLGKLKLMKVKFSVRCGLVVDALSANNAITIQSSSCKFRLRL >KJB36621 pep chromosome:Graimondii2_0_v6:6:43131478:43134703:1 gene:B456_006G172200 transcript:KJB36621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPSPQIPMRASSKSSNNSIHPVDVETPPVRTPTPIIYREIKHFKKWIPWLVPAFVVANTVMFIITMYVNNCPKNSVSCVADFLGRFSFQPFKENPLLGPSSATLLKMGALHVKEVVDGDQGWRLITCNWLHGGVFHLLANMLSLLVIGIRLEREFGFIRVGLIYIISGFGGSLMSALFLQSNISVGASGALFGILGSMLSELITNWTIYANKVASFVTLLVIIAVNLAVGILPHVDNFAHIGGFLTGFLLGFVFLIRPQFGWVSQRYAPPGHSSSARPKFKKYQCILWAVSLILVIVG >KJB36620 pep chromosome:Graimondii2_0_v6:6:43131478:43134803:1 gene:B456_006G172200 transcript:KJB36620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPSPQIPMRASSKSSNNSIHPVDVETPPVRTPTPIIYREIKHFKKWIPWLVPAFVVANTVMFIITMYVNNCPKNSVSCVADFLGRFSFQPFKENPLLGPSSATLLKMGALHVKEVVDGDQGWRLITCNWLHGGVFHLLANMLSLLVIGIRLEREFGFIRVGLIYIISGFGGSLMSALFLQSNISVGASGALFGILGSMLSELITNWTIYANKVASFVTLLVIIAVNLAVGILPHVDNFAHIGGFLTGFLLGFVFLIRPQFGWVSQRYAPPGHSSSARPKFKKYQCILWAVSLILVIVGLSLGLVMLLRGVNANDHCSWCHYLSCVPTSRWSCNTEPAYCSSTQLGSQVNVTCSTNGRTTTYFLPGASSSQIQSLCSQQCS >KJB36619 pep chromosome:Graimondii2_0_v6:6:43131468:43134703:1 gene:B456_006G172200 transcript:KJB36619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPSPQIPMRASSKSSNNSIHPVDVETPPVRTPTPIIYREIKHFKKWIPWLVPAFVVANTVMFIITMYVNNCPKNSVSCVADFLGRFSFQPFKENPLLGPSSATLLKMGALHVKEVVDGDQGWRLITCNWLHGGVFHLLANMLSLLVIGIRLEREFGFIRVGLIYIISGFGGSLMSALFLQSNISVGASGALFGILGSMLSELITNWTIYANKVASFVTLLVIIAVNLAVGILPHVDNFAHIGGFLTGFLLGFVFLIRPQFGWVSQRYAPPGHSSSARPKFKKYQCILWAVSLILVIVGLSLGLVMLLRGVNANDHCSWCHYLSCVPTSRWSCNTEPAYCSSTQLGSQVNVTCSTNGRTTTYFLPGASSSQIQSLCSQQCS >KJB36622 pep chromosome:Graimondii2_0_v6:6:43131434:43134703:1 gene:B456_006G172200 transcript:KJB36622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPSPQIPMRASSKSSNNSIHPVDVETPPVRTPTPIIYREIKHFKKWIPWLVPAFVVANTVMFIITMYVNNCPKNSVSCVADFLGRFSFQPFKENPLLGPSSATLLKMGALHVKEVVDGDQGWRLITCNWLHGGVFHLLANMLSLLVIGIRLEREFGFIRVGLIYIISGFGGSLMSALFLQSNISVGASGALFGILGSMLSELITNWTIYANKVASFVTLLVIIAVNLAVGILPHVDNFAHIGGFLTGFLLGFVFLIRPQFGWVSQRYAPPGHSSSARPKFKKYQCILWAVSLILVIVGLSLGLVMLLRGVNANDHCSWCHYLSCVPTSRWSCNTEPAYCSSTQLGSQVNVTCSTNGRTTTYFLPGASSSQIQSLCSQQCS >KJB34919 pep chromosome:Graimondii2_0_v6:6:32723627:32727765:1 gene:B456_006G090900 transcript:KJB34919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGDIDGGCFRYLIVRPKKGGIWDWMKYLLWGDLESGLRFLESSDRGSVAMGGGAGGGEEADDRWIIIVTIVVRKLLGLCREVIIPKRGTKTFISTIGQLDERVELYKVTERIVNLELDDRAIMMASKLAYENAQVVKNVVVHHWKMHFVDFYNGWDDYQKENSTQVFMIRDKPKDATLILISFRGTEPEYIRTFRYHLQTEIVKESDGIDKIDIRSLDMTAYHMVREKLRSLLEEHKNAKFIVTGHSLGGALAILFPIVLVIHEEKKLMEKLLAVYTFGQPRVGNRQLGKFMEAHLDHPVPKYFRVVYCNDLVPRLPYDDKTFLYKHFGVCLYYNSCYIEQRMEEEPNKNFFDIEQLIPEHANAEGWFSILVRVLGLAMPGVSAHYINSVRLGKSM >KJB34970 pep chromosome:Graimondii2_0_v6:6:33092412:33092790:1 gene:B456_006G093200 transcript:KJB34970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKMAMVLVAYITVLVVFATGVAATSVREDQGAVAPSPMESAGVALGAPAVFVAVVSMLAWFF >KJB33146 pep chromosome:Graimondii2_0_v6:6:49880967:49896698:-1 gene:B456_006G257000 transcript:KJB33146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHHVELEAAKFLHKLIQDSKDEPVKLATKLHVILQHMKASGKEHSMPFQVISRAMETVINQHGLDLEALKSSRLPPTAGPQTLDATSGQYSGSSQGVAVPKDSKAGLAQIEMPKFDPFSSGRQPVGPSIAGHDYYQGAGTHRSSQSLDHESPSSLDTRSANSQSQEKQMSQNDNKKAASKRKRGDPMEPKFDTSQQLDSSNAPIDPRKGKMNKAEPSGSSNYNMVQSSVQMEHFPSLPGNIRSVLRGRQDGQNVTENLVDSTSNLMSHAPSSKYPEEVEDSSTQNAPGLQQEGLLGAHENFPSGGGVWNQNKAGLAFDRSQLHRFSPNVVSGNMTAEISSHQSMHASFVPGAFGKVQGLLPATSISYPAGELPSSGPGQFSSSECQKHGLSKGSVASPDGLSTTLSAGKVLEHDGGSSNMLAEANKAAQVGRQNMASEMTMVRATTPRDMGKSPVSQSSTSSGMPFKEQQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNIFPKEAGNTDGPHKELNEYGGKSQTSNEPGSISEVAMPFGRMNNTPPGFTSTGRFPEADSLSKEAETLKVEESNGPTFDLSAIVDERKQILARRNAEPEIHSYETVGPQAYLTRQPDSATVNPEMMGWSGIGSHNEVSRASLPAAAVQHDLVLERKDSDSQFQSPEQDEEDKSVSTEPLPSPKHTMSEKWIMDQRRRKLLAEQQWVLKQHKTKQRMITCFTKLKETVSSSEDISAKTKSVIELKKLQLLELQRHLRSGFLNDFFKPITNDMERLKSYKKHRHGRRIKQLEKYEQRMKEERQKRIRERQKEFFSEIEVHKERLDDVFKIRRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQDAKAMGSRFGNDMTEMRTASVFENDTAVENEDEAKHYVESNEKYYLMAHSIKENINDQPTFLKGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPDLRKIVYSGPPEERRKLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRIEENLGAIGNSKARSVHNSVMELRNICNHPYLSHLHVEEVDSLIPQHYLPPIIRLCGKLEMLDRILPKLKATDHRVLFFSTMTRLLDVMEDYLTFKQYRYLRLDGHTAGNDRGALIDKFNQQDSPFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRLGQKKDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEVAPVLDDDALNDLLARSESEIDVFESVDTQRREEETAKWKKLVCGSEMDCSKPLPPLPPRLVTDDDLKEFYEAMKLYDTPKTELQPNNIGSKRKGSLGGLDTQQYGRGKRQREVRSYEEQWTEEQFEKMCQVDSPESPKPNEEAVERNMPKDASRGTVSNTQSPAPLPPLPQPPAVEPAHQPLQQNKDAAPPPKRGRGRPRRVPVEKSPTTPVFSTPSETSKVDVGLQKAAGSSSSVSTAPDPPNTSGVSPNLQPRIPSVSITPVESSPPGFSSPVQLKGQGQKAQSGGQAPRRRAKKQEPASIPAADGLASPGPESNEQSQIKLVNPPDNQAVAISGIVPSASNVPMTKCTNLLPISPAPCPTIPVQVKGQGRKAQSGVGTPRRTGKKLAQISAAAQDVLVGQDSKLNTQAQDQSAAASPNKVIPIRSNQEYDAASPTKVIQEQAQGTNAPAVVTGHNQHSAEHDNLSQSKRSESSPEVPNANAVTLGPAVGQIQNADARDKVSVIAEVSPVIPVSSQTAVELVKNQIAAHKAYTTMSTVKTSSVGSAMTDNLPSSNPLEGGNKTIPSPGAKTASSSLPFPTNASVSSAPQSADSSPAESVQSKRPGPKNTNRTEAPRRRGRRPAIPDASSGQDLKLNSLPSNKSREYLVNKVTAGKSNQDSGPHELVNVTPVHAPEVLSPGASVGHDSKRKVTSAIPAYSRIQTADVNDVARVMKEIFSETSSKTKAGETCGSEGRNTPTAPAPLLNQTPDVSDENSLDGKSAVCTPAREQAAPACEQAAPACDVPKEESKKLSVTEADAKEPEKNATLVVEASVQGADSLKPECKTHTGSENVASSGQVPDENLITDCNMEVDITCPQKAGGNQDVSKGTPASGGDQTGSGVQPKSPSPTELPRTGESAKSDCVEVRDKEVRPSEASSSETAMQPLETAVPVSDISQDKSIEQSRSEADASESEEKSVAATGPDNIPDSSQLSCETTITESGKEAKGDGTDPIVEPSSNQLELSAASPTKVESDQLNQNSSENETAISSEDSLETTRGVSKLESAVECTNGSQGKETNPTGTELHSPQLEHVAKAP >KJB33151 pep chromosome:Graimondii2_0_v6:6:49880967:49896719:-1 gene:B456_006G257000 transcript:KJB33151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHHVELEAAKFLHKLIQDSKDEPVKLATKLHVILQHMKASGKEHSMPFQVISRAMETVINQHGLDLEALKSSRLPPTAGPQTLDATSGQYSGSSQGVAVPKDSKAGLAQIEMPKFDPFSSGRQPVGPSIAGHDYYQGAGTHRSSQSLDHESPSSLDTRSANSQSQEKQMSQNDNKKAASKRKRGDPMEPKFDTSQQLDSSNAPIDPRKGKMNKAEPSGSSNYNMVQSSVQMEHFPSLPGNIRSVLRGRQDGQNVTENLVDSTSNLMSHAPSSKYPEEVEDSSTQNAPGLQQEGLLGAHENFPSGGGVWNQNKAGLAFDRSQLHRFSPNVVSGNMTAEISSHQSMHASFVPGAFGKVQGLLPATSISYPAGELPSSGPGQFSSSECQKHGLSKGSVASPDGLSTTLSAGKVLEHDGGSSNMLAEANKAAQVGRQNMASEMTMVRATTPRDMGKSPVSQSSTSSGMPFKEQQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNIFPKEAGNTDGPHKELNEYGGKSQTSNEPGSISEVAMPFGRMNNTPPGFTSTGRFPEADSLSKEAETLKVEESNGPTFDLSAIVDERKQILARRNAEPEIHSYETVGPQAYLTRQPDSATVNPEMMGWSGIGSHNEVSRASLPAAAVQHDLVLERKDSDSQFQSPEQDEEDKSVSTEPLPSPKHTMSEKWIMDQRRRKLLAEQQWVLKQHKTKQRMITCFTKLKETVSSSEDISAKTKSVIELKKLQLLELQRHLRSGFLNDFFKPITNDMERLKSYKKHRHGRRIKQLEKYEQRMKEERQKRIRERQKEFFSEIEVHKERLDDVFKIRRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQDAKAMGSRFGNDMTEMRTASVFENDTAVENEDEAKHYVESNEKYYLMAHSIKENINDQPTFLKGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPDLRKIVYSGPPEERRKLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRIEENLGAIGNSKARSVHNSVMELRNICNHPYLSHLHVEEVDSLIPQHYLPPIIRLCGKLEMLDRILPKLKATDHRVLFFSTMTRLLDVMEDYLTFKQYRYLRLDGHTAGNDRGALIDKFNQQDSPFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRLGQKKDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEVAPVLDDDALNDLLARSESEIDVFESVDTQRREEETAKWKKLVCGSEMDCSKPLPPLPPRLVTDDDLKEFYEAMKLYDTPKTELQPNNIGSKRKGSLGGLDTQQYGRGKRQREVRSYEEQWTEEQFEKMCQVDSPESPKPNEEAVERNMPKDASRGTVSNTQSPAPLPPLPQPPAVEPAHQPLQQNKDAAPPPKRGRGRPRRVPVEKSPTTPVFSTPSETSKVDVGLQKAAGSSSSVSTAPDPPNTSGVSPNLQPRIPSVSITPVESSPPGFSSPVQLKGQGQKAQSGGQAPRRRAKKQEPASIPAADGLASPGPESNEQSQIKLVNPPDNQAVAISGIVPSASNVPMTKCTNLLPISPGMDSTSVTNHPSDAGVSLNSQSLTTSGAPMAQSAPCPTIPVQVKGQGRKAQSGVGTPRRTGKKLAQISAAAQDVLVGQDSKLNTQAQDQSAAASPNKVIPIRSNQEYDAASPTKVIQEQAQGTNAPAVVTGHNQHSAEHDNLSQSKRSESSPEVPNANAVTLGPAVGQIQNADARDKVSVIAEVSPVIPVSSQTAVELVKNQIAAHKAYTTMSTVKTSSVGSAMTDNLPSSNPLEGGNKTIPSPGAKTASSSLPFPTNASVSSAPQSADSSPAESVQSKRPGPKNTNRTEAPRRRGRRPAIPDASSGQDLKLNSLPSNKSREYLVNKVTAGKSNQDSGPHELVNVTPVHAPEVLSPGASVGHDSKRKVTSAIPAYSRIQTADVNDVARVMKEIFSETSSKTKAGETCGSEGRNTPTAPAPLLNQTPDVSDENSLDGKSAVCTPAREQAAPACEQAAPACDVPKEESKKLSVTEADAKEPEKNATLVVEASVQGADSLKPECKTHTGSENVASSGQVPDENLITDCNMEVDITCPQKAGGNQDVSKGTPASGGDQTGSGVQPKSPSPTELPRTGESAKSDCVEVRDKEVRPSEASSSETAMQPLETAVPVSDISQDKSIEQSRSEADASESEEKSVAATGPDNIPDSSQLSCETTITESGKEAKGDGTDPIVEPSSNQLELSAASPTKVESDQLNQNSSENETAISSEDSLETTRGVSKLESAVECTNGSQGKETNPTGTELHSPQLEHVAKAP >KJB33149 pep chromosome:Graimondii2_0_v6:6:49881455:49896133:-1 gene:B456_006G257000 transcript:KJB33149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHHVELEAAKFLHKLIQDSKDEPVKLATKLHVILQHMKASGKEHSMPFQVISRAMETVINQHGLDLEALKSSRLPPTAGPQTLDATSGQYSGSSQGVAVPKDSKAGLAQIEMPKFDPFSSGRQPVGPSIAGHDYYQGAGTHRSSQSLDHESPSSLDTRSANSQSQEKQMSQNDNKKAASKRKRGDPMEPKFDTSQQLDSSNAPIDPRKGKMNKAEPSGSSNYNMVQSSVQMEHFPSLPGNIRSVLRGRQDGQNVTENLVDSTSNLMSHAPSSKYPEEVEDSSTQNAPGLQQEGLLGAHENFPSGGGVWNQNKAGLAFDRSQLHRFSPNVVSGNMTAEISSHQSMHASFVPGAFGKVQGLLPATSISYPAGELPSSGPGQFSSSECQKHGLSKGSVASPDGLSTTLSAGKVLEHDGGSSNMLAEANKAAQVGRQNMASEMTMVRATTPRDMGKSPVSQSSTSSGMPFKEQQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNIFPKEAGNTDGPHKELNEYGGKSQTSNEPGSISEVAMPFGRMNNTPPGFTSTGRFPEADSLSKEAETLKVEESNGPTFDLSAIVDERKQILARRNAEPEIHSYETVGPQAYLTRQPDSATVNPEMMGWSGIGSHNEVSRASLPAAAVQHDLVLERKDSDSQFQSPEQDEEDKSVSTEPLPSPKHTMSEKWIMDQRRRKLLAEQQWVLKQHKTKQRMITCFTKLKETVSSSEDISAKTKSVIELKKLQLLELQRHLRSGFLNDFFKPITNDMERLKSYKKHRHGRRIKQLEKYEQRMKEERQKRIRERQKEFFSEIEVHKERLDDVFKIRRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQDAKAMGSRFGNDMTEMRTASVFENDTAVENEDEAKHYVESNEKYYLMAHSIKENINDQPTFLKGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPDLRKIVYSGPPEERRKLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRIEENLGAIGNSKARSVHNSVMELRNICNHPYLSHLHVEEVDSLIPQHYLPPIIRLCGKLEMLDRILPKLKATDHRVLFFSTMTRLLDVMEDYLTFKQYRYLRLDGHTAGNDRGALIDKFNQQDSPFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRLGQKKDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEVAPVLDDDALNDLLARSESEIDVFESVDTQRREEETAKWKKLVCGSEMDCSKPLPPLPPRLVTDDDLKEFYEAMKLYDTPKTELQPNNIGSKRKGSLGGLDTQQYGRGKRQREVRSYEEQWTEEQFEKMCQVDSPESPKPNEEAVERNMPKDASRGTVSNTQSPAPLPPLPQPPAVEPAHQPLQQNKDAAPPPKRGRGRPRRVPVEKSPTTPVFSTPSETSKVDVGLQKAAGSSSSVSTAPDPPNTSGVSPNLQPRIPSVSITPVESSPPGFSSPVQLKGQGQKAQSGGQAPRRRAKKQEPASIPAADGLASPGPESNEQSQIKLVNPPDNQAVAISGIVPSASNVPMTKCTNLLPISPGMDSTSVTNHPSDAGVSLNSQSLTTSGAPMAQSAPCPTIPVQVKGQGRKAQSGVGTPRRTGKKLAQISAAAQDVLVGQDSKLNTQAQDQSAAASPNKVIPIRSNQEYDAASPTKVIQEQAQGTNAPAVVTGHNQHSAEHDNLSQSKRSESSPEVPNANAVTLGPAVGQIQNADARDKVSVIAEVSPVIPVSSQTAVELVKNQIAAHKAYTTMSTVKTSSVGSAMTDNLPSSNPLEGGNKTIPSPGAKTASSSLPFPTNASVSSAPQSADSSPAESVQSKRPGPKNTNRTEAPRRRGRRPAIPDASSGQDLKLNSLPSNKSREYLVNKVTAGKSNQDSGPHELVNVTPVHAPEVLSPGASVGHDSKRKVTSAIPAYSRIQTADVNDVARVMKEIFSETSSKTKAGETCGSEGRNTPTAPAPLLNQTPDVSDENSLDGKSAVCTPAREQAAPACEQAAPACDVPKEESKKLSVTEADAKEPEKNATLVVEASVQGADSLKPECKTHTGSENVASSGQVPDENLITDCNMEVDITCPQKAGGNQDVSKGTPASGGDQTGSGVQPKSPSPTELPRTGESAKSDCVEVRDKEVRPSEASSSETAMQPLETAVPVSDISQDKSIEQSRSEADASESEEKSVAATGPDNIPDSSQLSCETTITESGKEAKGDGTDPIVEPSSNQLELSAASPTKVESDQLNQNSSENETAISSEDSLETTRGVSKLESAVECTNGSQGKETNPTGTELHSPQLEHVAKAP >KJB33145 pep chromosome:Graimondii2_0_v6:6:49881455:49896133:-1 gene:B456_006G257000 transcript:KJB33145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHHVELEAAKFLHKLIQDSKDEPVKLATKLHVILQHMKASGKEHSMPFQVISRAMETVINQHGLDLEALKSSRLPPTAGPQTLDATSGQYSGSSQGVAVPKDSKAGLAQIEMPKFDPFSSGRQPVGPSIAGHDYYQGAGTHRSSQSLDHESPSSLDTRSANSQSQEKQMSQNDNKKAASKRKRGDPMEPKFDTSQQLDSSNAPIDPRKGKMNKAEPSGSSNYNMVQSSVQMEHFPSLPGNIRSVLRGRQDGQNVTENLVDSTSNLMSHAPSSKYPEEVEDSSTQNAPGLQQEGLLGAHENFPSGGGVWNQNKAGLAFDRSQLHRFSPNVVSGNMTAEISSHQSMHASFVPGAFGKVQGLLPATSISYPAGELPSSGPGQFSSSECQKHGLSKGSVASPDGLSTTLSAGKVLEHDGGSSNMLAEANKAAQVGRQNMASEMTMVRATTPRDMGKSPVSQSSTSSGMPFKEQQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNIFPKEAGNTDGPHKELNEYGGKSQTSNEPGSISEVAMPFGRMNNTPPGFTSTGRFPEADSLSKEAETLKVEESNGPTFDLSAIVDERKQILARRNAEPEIHSYETVGPQAYLTRQPDSATVNPEMMGWSGIGSHNEVSRASLPAAAVQHDLVLERKDSDSQFQSPEQDEEDKSVSTEPLPSPKHTMSEKWIMDQRRRKLLAEQQWVLKQHKTKQRMITCFTKLKETVSSSEDISAKTKSVIELKKLQLLELQRHLRSGFLNDFFKPITNDMERLKSYKKHRHGRRIKQLEKYEQRMKEERQKRIRERQKEFFSEIEVHKERLDDVFKIRRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQDAKAMGSRFGNDMTEMRTASVFENDTAVENEDEAKHYVESNEKYYLMAHSIKENINDQPTFLKGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPDLRKIVYSGPPEERRKLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRIEENLGAIGNSKARSVHNSVMELRNICNHPYLSHLHVEEVDSLIPQHYLPPIIRLCGKLEMLDRILPKLKATDHRVLFFSTMTRLLDVMEDYLTFKQYRYLRLDGHTAGNDRGALIDKFNQQDSPFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRLGQKKDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEVAPVLDDDALNDLLARSESEIDVFESVDTQRREEETAKWKKLVCGSEMDCSKPLPPLPPRLVTDDDLKEFYEAMKLYDTPKTELQPNNIGSKRKGSLGGLDTQQYGRGKRQREVRSYEEQWTEEQFEKMCQVDSPESPKPNEEAVERNMPKDASRGTVSNTQSPAPLPPLPQPPAVEPAHQPLQQNKDAAPPPKRGRGRPRRVPVEKSPTTPVFSTPSETSKVDVGLQKAAGSSSSVSTAPDPPNTSGVSPNLQPRIPSVSITPVESSPPGFSSPVQLKGQGQKAQSGGQAPRRRAKKQEPASIPAADGLASPGPESNEQSQIKLVNPPDNQAVAISGIVPSASNVPMTKCTNLLPISPGMDSTSVTNHPSDAGVSLNSQSLTTSGAPMAQSAPCPTIPVQVKGQGRKAQSGVGTPRRTGKKLAQISAAAQDVLVGQDSKLNTQAQDQSAAASPNKVIPIRSNQEYDAASPTKVIQEQAQGTNAPAVVTGHNQHSAEHDNLSQSKRSESSPEVPNANAVTLGPAVGQIQNADARDKVSVIAEVSPVIPVSSQTAVELVKNQIAAHKAYTTMSTVKTSSVGSAMTDNLPSSNPLEGGNKTIPSPGAKTASSSLPFPTNASVSSAPQSADSSPAESVQSKRPGPKNTNRTEAPRRRGRRPAIPDASSGQDLKLNSLPSNKSREYLVNKVTAGKSNQDSGPHELVNVTPVHAPEVLSPGASVGHDSKRKVTSAIPAYSRIQTADVNDVARVMKEIFSETSSKTKAGETCGSEGRNTPTAPAPLLNQTPDVSDENSLDGKSAVCTPAREQAAPACEQAAPACDVPKEESKKLSVTEADAKEPEKNATLVVEASVQGADSLKPECKTHTGSENVASSGQVPDENLITDCNMEVDITCPQKAGGNQDVSKGTPASGGDQTGSGVQPKSPSPTELPRTGESAKSDCVEVRDKEVRPSEASSSETAMQPLETAVPVSDISQDKSIEQSRSEADASESEEKSVAATGPDNIPDSSQLSCETTITESGKEAKGDGTDPIVEPSSNQLELSAASPTKVESDQLNQNSSENETAISSEDSLETTRGVSKLESAVECTNGSQGKETNPTGTELHSPQLEHVAKAP >KJB33150 pep chromosome:Graimondii2_0_v6:6:49880929:49896722:-1 gene:B456_006G257000 transcript:KJB33150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHHVELEAAKFLHKLIQDSKDEPVKLATKLHVILQHMKASGKEHSMPFQVISRAMETVINQHGLDLEALKSSRLPPTAGPQTLDATSGQYSGSSQGVAVPKDSKAGLAQIEMPKFDPFSSGRQPVGPSIAGHDYYQGAGTHRSSQSLDHESPSSLDTRSANSQSQEKQMSQNDNKKAASKRKRGDPMEPKFDTSQQLDSSNAPIDPRKGKMNKAEPSGSSNYNMVQSSVQMEHFPSLPGNIRSVLRGRQDGQNVTENLVDSTSNLMSHAPSSKYPEEVEDSSTQNAPGLQQEGLLGAHENFPSGGGVWNQNKAGLAFDRSQLHRFSPNVVSGNMTAEISSHQSMHASFVPGAFGKVQGLLPATSISYPAGELPSSGPGQFSSSECQKHGLSKGSVASPDGLSTTLSAGKVLEHDGGSSNMLAEANKAAQVGRQNMASEMTMVRATTPRDMGKSPVSQSSTSSGMPFKEQQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNIFPKEAGNTDGPHKELNEYGGKSQTSNEPGSISEVAMPFGRMNNTPPGFTSTGRFPEADSLSKEAETLKVEESNGPTFDLSAIVDERKQILARRNAEPEIHSYETVGPQAYLTRQPDSATVNPEMMGWSGIGSHNEVSRASLPAAAVQHDLVLERKDSDSQFQSPEQDEEDKSVSTEPLPSPKHTMSEKWIMDQRRRKLLAEQQWVLKQHKTKQRMITCFTKLKETVSSSEDISAKTKSVIELKKLQLLELQRHLRSGFLNDFFKPITNDMERLKSYKKHRHGRRIKQLEKYEQRMKEERQKRIRERQKEFFSEIEVHKERLDDVFKIRRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQDAKAMGSRFGNDMTEMRTASVFENDTAVENEDEAKHYVESNEKYYLMAHSIKENINDQPTFLKGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPDLRKIVYSGPPEERRKLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRIEENLGAIGNSKARSVHNSVMELRNICNHPYLSHLHVEEVDSLIPQHYLPPIIRLCGKLEMLDRILPKLKATDHRVLFFSTMTRLLDVMEDYLTFKQYRYLRLDGHTAGNDRGALIDKFNQQDSPFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRLGQKKDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEVAPVLDDDALNDLLARSESEIDVFESVDTQRREEETAKWKKLVCGSEMDCSKPLPPLPPRLVTDDDLKEFYEAMKLYDTPKTELQPNNIGSKRKGSLGGLDTQQYGRGKRQREVRSYEEQWTEEQFEKMCQVDSPESPKPNEEAVERNMPKDASRGTVSNTQSPAPLPPLPQPPAVEPAHQPLQQNKDAAPPPKRGRGRPRRVPVEKSPTTPVFSTPSETSKVDVGLQKAAGSSSSVSTAPDPPNTSGVSPNLQPRIPSVSITPVESSPPGFSSPVQLKGQGQKAQSGGQAPRRRAKKQEPASIPAADGLASPGPESNEQSQIKLVNPPDNQAVAISGIVPSASNVPMTKCTNLLPISPAPCPTIPVQVKGQGRKAQSGVGTPRRTGKKLAQISAAAQDVLVGQDSKLNTQAQDQSAAASPNKVIPIRSNQEYDAASPTKVIQEQAQGTNAPAVVTGHNQHSAEHDNLSQSKRSESSPEVPNANAVTLGPAVGQIQNADARDKVSVIAEVSPVIPVSSQTAVELVKNQIAAHKAYTTMSTVKTSSVGSAMTDNLPSSNPLEGGNKTIPSPGAKTASSSLPFPTNASVSSAPQSADSSPAESVQSKRPGPKNTNRTEAPRRRGRRPAIPDASSGQDLKLNSLPSNKSREYLVNKVTAGKSNQDSGPHELVNVTPVHAPEVLSPGASVGHDSKRKVTSAIPAYSRIQTADVNDVARVMKEIFSETSSKTKAGETCGSEGRNTPTAPAPLLNQTPDVSDENSLDGKSAVCTPAREQAAPACEQAAPACDVPKEESKKLSVTEADAKEPEKNATLVVEASVQGADSLKPECKTHTGSENVASSGQVPDENLITDCNMEVDITCPQKAGGNQDVSKGTPASGGDQTGSGVQPKSPSPTELPRTGESAKSDCVEVRDKEVRPSEASSSETAMQPLETAVPVSDISQDKSIEQSRSEADASESEEKSVAATGPDNIPDSSQLSCETTITESGKEAKGDGTDPIVEPSSNQLELSAASPTKVESDQLNQNSSENETAISSEDSLETTRGVSKLESAVECTNGSQGKETNPTGTELHSPQLEHVAKAP >KJB33144 pep chromosome:Graimondii2_0_v6:6:49880878:49896722:-1 gene:B456_006G257000 transcript:KJB33144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHHVELEAAKFLHKLIQDSKDEPVKLATKLHVILQHMKASGKEHSMPFQVISRAMETVINQHGLDLEALKSSRLPPTAGPQTLDATSGQYSGSSQGVAVPKDSKAGLAQIEMPKFDPFSSGRQPVGPSIAGHDYYQGAGTHRSSQSLDHESPSSLDTRSANSQSQEKQMSQNDNKKAASKRKRGDPMEPKFDTSQQLDSSNAPIDPRKGKMNKAEPSGSSNYNMVQSSVQMEHFPSLPGNIRSVLRGRQDGQNVTENLVDSTSNLMSHAPSSKYPEEVEDSSTQNAPGLQQEGLLGAHENFPSGGGVWNQNKAGLAFDRSQLHRFSPNVVSGNMTAEISSHQSMHASFVPGAFGKVQGLLPATSISYPAGELPSSGPGQFSSSECQKHGLSKGSVASPDGLSTTLSAGKVLEHDGGSSNMLAEANKAAQVGRQNMASEMTMVRATTPRDMGKSPVSQSSTSSGMPFKEQQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNIFPKEDGPHKELNEYGGKSQTSNEPGSISEVAMPFGRMNNTPPGFTSTGRFPEADSLSKEAETLKVEESNGPTFDLSAIVDERKQILARRNAEPEIHSYETVGPQAYLTRQPDSATVNPEMMGWSGIGSHNEVSRASLPAAAVQHDLVLERKDSDSQFQSPEQDEEDKSVSTEPLPSPKHTMSEKWIMDQRRRKLLAEQQWVLKQHKTKQRMITCFTKLKETVSSSEDISAKTKSVIELKKLQLLELQRHLRSGFLNDFFKPITNDMERLKSYKKHRHGRRIKQLEKYEQRMKEERQKRIRERQKEFFSEIEVHKERLDDVFKIRRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQDAKAMGSRFGNDMTEMRTASVFENDTAVENEDEAKHYVESNEKYYLMAHSIKENINDQPTFLKGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPDLRKIVYSGPPEERRKLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRIEENLGAIGNSKARSVHNSVMELRNICNHPYLSHLHVEEVDSLIPQHYLPPIIRLCGKLEMLDRILPKLKATDHRVLFFSTMTRLLDVMEDYLTFKQYRYLRLDGHTAGNDRGALIDKFNQQDSPFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRLGQKKDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEVAPVLDDDALNDLLARSESEIDVFESVDTQRREEETAKWKKLVCGSEMDCSKPLPPLPPRLVTDDDLKEFYEAMKLYDTPKTELQPNNIGSKRKGSLGGLDTQQYGRGKRQREVRSYEEQWTEEQFEKMCQVDSPESPKPNEEAVERNMPKDASRGTVSNTQSPAPLPPLPQPPAVEPAHQPLQQNKDAAPPPKRGRGRPRRVPVEKSPTTPVFSTPSETSKVDVGLQKAAGSSSSVSTAPDPPNTSGVSPNLQPRIPSVSITPVESSPPGFSSPVQLKGQGQKAQSGGQAPRRRAKKQEPASIPAADGLASPGPESNEQSQIKLVNPPDNQAVAISGIVPSASNVPMTKCTNLLPISPGMDSTSVTNHPSDAGVSLNSQSLTTSGAPMAQSAPCPTIPVQVKGQGRKAQSGVGTPRRTGKKLAQISAAAQDVLVGQDSKLNTQAQDQSAAASPNKVIPIRSNQEYDAASPTKVIQEQAQGTNAPAVVTGHNQHSAEHDNLSQSKRSESSPEVPNANAVTLGPAVGQIQNADARDKVSVIAEVSPVIPVSSQTAVELVKNQIAAHKAYTTMSTVKTSSVGSAMTDNLPSSNPLEGGNKTIPSPGAKTASSSLPFPTNASVSSAPQSADSSPAESVQSKRPGPKNTNRTEAPRRRGRRPAIPDASSGQDLKLNSLPSNKSREYLVNKVTAGKSNQDSGPHELVNVTPVHAPEVLSPGASVGHDSKRKVTSAIPAYSRIQTADVNDVARVMKEIFSETSSKTKAGETCGSEGRNTPTAPAPLLNQTPDVSDENSLDGKSAVCTPAREQAAPACEQAAPACDVPKEESKKLSVTEADAKEPEKNATLVVEASVQGADSLKPECKTHTGSENVASSGQVPDENLITDCNMEVDITCPQKAGGNQDVSKGTPASGGDQTGSGVQPKSPSPTELPRTGESAKSDCVEVRDKEVRPSEASSSETAMQPLETAVPVSDISQDKSIEQSRSEADASESEEKSVAATGPDNIPDSSQLSCETTITESGKEAKGDGTDPIVEPSSNQLELSAASPTKVESDQLNQNSSENETAISSEDSLETTRGVSKLESAVECTNGSQGKETNPTGTELHSPQLEHVAKAP >KJB33147 pep chromosome:Graimondii2_0_v6:6:49880929:49896722:-1 gene:B456_006G257000 transcript:KJB33147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHHVELEAAKFLHKLIQDSKDEPVKLATKLHVILQHMKASGKEHSMPFQVISRAMETVINQHGLDLEALKSSRLPPTAGPQTLDATSGQYSGSSQGVAVPKDSKAGLAQIEMPKFDPFSSGRQPVGPSIAGHDYYQGAGTHRSSQSLDHESPSSLDTRSANSQSQEKQMSQNDNKKAASKRKRGDPMEPKFDTSQQLDSSNAPIDPRKGKMNKAEPSGSSNYNMVQSSVQMEHFPSLPGNIRSVLRGRQDGQNVTENLVDSTSNLMSHAPSSKYPEEVEDSSTQNAPGLQQEGLLGAHENFPSGGGVWNQNKAGLAFDRSQLHRFSPNVVSGNMTAEISSHQSMHASFVPGAFGKVQGLLPATSISYPAGELPSSGPGQFSSSECQKHGLSKGSVASPDGLSTTLSAGKVLEHDGGSSNMLAEANKAAQVGRQNMASEMTMVRATTPRDMGKSPVSQSSTSSGMPFKEQQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNIFPKEDGPHKELNEYGGKSQTSNEPGSISEVAMPFGRMNNTPPGFTSTGRFPEADSLSKEAETLKVEESNGPTFDLSAIVDERKQILARRNAEPEIHSYETVGPQAYLTRQPDSATVNPEMMGWSGIGSHNEVSRASLPAAAVQHDLVLERKDSDSQFQSPEQDEEDKSVSTEPLPSPKHTMSEKWIMDQRRRKLLAEQQWVLKQHKTKQRMITCFTKLKETVSSSEDISAKTKSVIELKKLQLLELQRHLRSGFLNDFFKPITNDMERLKSYKKHRHGRRIKQLEKYEQRMKEERQKRIRERQKEFFSEIEVHKERLDDVFKIRRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQDAKAMGSRFGNDMTEMRTASVFENDTAVENEDEAKHYVESNEKYYLMAHSIKENINDQPTFLKGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPDLRKIVYSGPPEERRKLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRIEENLGAIGNSKARSVHNSVMELRNICNHPYLSHLHVEEVDSLIPQHYLPPIIRLCGKLEMLDRILPKLKATDHRVLFFSTMTRLLDVMEDYLTFKQYRYLRLDGHTAGNDRGALIDKFNQQDSPFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRLGQKKDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEVAPVLDDDALNDLLARSESEIDVFESVDTQRREEETAKWKKLVCGSEMDCSKPLPPLPPRLVTDDDLKEFYEAMKLYDTPKTELQPNNIGSKRKGSLGGLDTQQYGRGKRQREVRSYEEQWTEEQFEKMCQVDSPESPKPNEEAVERNMPKDASRGTVSNTQSPAPLPPLPQPPAVEPAHQPLQQNKDAAPPPKRGRGRPRRVPVEKSPTTPVFSTPSETSKVDVGLQKAAGSSSSVSTAPDPPNTSGVSPNLQPRIPSVSITPVESSPPGFSSPVQLKGQGQKAQSGGQAPRRRAKKQEPASIPAADGLASPGPESNEQSQIKLVNPPDNQAVAISGIVPSASNVPMTKCTNLLPISPAPCPTIPVQVKGQGRKAQSGVGTPRRTGKKLAQISAAAQDVLVGQDSKLNTQAQDQSAAASPNKVIPIRSNQEYDAASPTKVIQEQAQGTNAPAVVTGHNQHSAEHDNLSQSKRSESSPEVPNANAVTLGPAVGQIQNADARDKVSVIAEVSPVIPVSSQTAVELVKNQIAAHKAYTTMSTVKTSSVGSAMTDNLPSSNPLEGGNKTIPSPGAKTASSSLPFPTNASVSSAPQSADSSPAESVQSKRPGPKNTNRTEAPRRRGRRPAIPDASSGQDLKLNSLPSNKSREYLVNKVTAGKSNQDSGPHELVNVTPVHAPEVLSPGASVGHDSKRKVTSAIPAYSRIQTADVNDVARVMKEIFSETSSKTKAGETCGSEGRNTPTAPAPLLNQTPDVSDENSLDGKSAVCTPAREQAAPACEQAAPACDVPKEESKKLSVTEADAKEPEKNATLVVEASVQGADSLKPECKTHTGSENVASSGQVPDENLITDCNMEVDITCPQKAGGNQDVSKGTPASGGDQTGSGVQPKSPSPTELPRTGESAKSDCVEVRDKEVRPSEASSSETAMQPLETAVPVSDISQDKSIEQSRSEADASESEEKSVAATGPDNIPDSSQLSCETTITESGKEAKGDGTDPIVEPSSNQLELSAASPTKVESDQLNQNSSENETAISSEDSLETTRGVSKLESAVECTNGSQGKETNPTGTELHSPQLEHVAKAP >KJB33148 pep chromosome:Graimondii2_0_v6:6:49880967:49896698:-1 gene:B456_006G257000 transcript:KJB33148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHHVELEAAKFLHKLIQDSKDEPVKLATKLHVILQHMKASGKEHSMPFQVISRAMETVINQHGLDLEALKSSRLPPTAGPQTLDATSGQYSGSSQGVAVPKDSKAGLAQIEMPKFDPFSSGRQPVGPSIAGHDYYQGAGTHRSSQSLDHESPSSLDTRSANSQSQEKQMSQNDNKKAASKRKRGDPMEPKFDTSQQLDSSNAPIDPRKGKMNKAEPSGSSNYNMVQSSVQMEHFPSLPGNIRSVLRGRQDGQNVTENLVDSTSNLMSHAPSSKYPEEVEDSSTQNAPGLQQEGLLGAHENFPSGGGVWNQNKAGLAFDRSQLHRFSPNVVSGNMTAEISSHQSMHASFVPGAFGKVQGLLPATSISYPAGELPSSGPGQFSSSECQKHGLSKGSVASPDGLSTTLSAGKVLEHDGGSSNMLAEANKAAQVGRQNMASEMTMVRATTPRDMGKSPVSQSSTSSGMPFKEQQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNIFPKEDGPHKELNEYGGKSQTSNEPGSISEVAMPFGRMNNTPPGFTSTGRFPEADSLSKEAETLKVEESNGPTFDLSAIVDERKQILARRNAEPEIHSYETVGPQAYLTRQPDSATVNPEMMGWSGIGSHNEVSRASLPAAAVQHDLVLERKDSDSQFQSPEQDEEDKSVSTEPLPSPKHTMSEKWIMDQRRRKLLAEQQWVLKQHKTKQRMITCFTKLKETVSSSEDISAKTKSVIELKKLQLLELQRHLRSGFLNDFFKPITNDMERLKSYKKHRHGRRIKQLEKYEQRMKEERQKRIRERQKEFFSEIEVHKERLDDVFKIRRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQDAKAMGSRFGNDMTEMRTASVFENDTAVENEDEAKHYVESNEKYYLMAHSIKENINDQPTFLKGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPDLRKIVYSGPPEERRKLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRIEENLGAIGNSKARSVHNSVMELRNICNHPYLSHLHVEEVDSLIPQHYLPPIIRLCGKLEMLDRILPKLKATDHRVLFFSTMTRLLDVMEDYLTFKQYRYLRLDGHTAGNDRGALIDKFNQQDSPFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRLGQKKDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEVAPVLDDDALNDLLARSESEIDVFESVDTQRREEETAKWKKLVCGSEMDCSKPLPPLPPRLVTDDDLKEFYEAMKLYDTPKTELQPNNIGSKRKGSLGGLDTQQYGRGKRQREVRSYEEQWTEEQFEKMCQVDSPESPKPNEEAVERNMPKDASRGTVSNTQSPAPLPPLPQPPAVEPAHQPLQQNKDAAPPPKRGRGRPRRVPVEKSPTTPVFSTPSETSKVDVGLQKAAGSSSSVSTAPDPPNTSGVSPNLQPRIPSVSITPVESSPPGFSSPVQLKGQGQKAQSGGQAPRRRAKKQEPASIPAADGLASPGPESNEQSQIKLVNPPDNQAVAISGIVPSASNVPMTKCTNLLPISPGMDSTSVTNHPSDAGVSLNSQSLTTSGAPMAQSAPCPTIPVQVKGQGRKAQSGVGTPRRTGKKLAQISAAAQDVLVGQDSKLNTQAQDQSAAASPNKVIPIRSNQEYDAASPTKVIQEQAQGTNAPAVVTGHNQHSAEHDNLSQSKRSESSPEVPNANAVTLGPAVGQIQNADARDKVSVIAEVSPVIPVSSQTAVELVKNQIAAHKAYTTMSTVKTSSVGSAMTDNLPSSNPLEGGNKTIPSPGAKTASSSLPFPTNASVSSAPQSADSSPAESVQSKRPGPKNTNRTEAPRRRGRRPAIPDASSGQDLKLNSLPSNKSREYLVNKVTAGKSNQDSGPHELVNVTPVHAPEVLSPGASVGHDSKRKVTSAIPAYSRIQTADVNDVARVMKEIFSETSSKTKAGETCGSEGRNTPTAPAPLLNQTPDVSDENSLDGKSAVCTPAREQAAPACEQAAPACDVPKEESKKLSVTEADAKEPEKNATLVVEASVQGADSLKPECKTHTGSENVASSGQVPDENLITDCNMEVDITCPQKAGGNQDVSKGTPASGGDQTGSGVQPKSPSPTELPRTGESAKSDCVEVRDKEVRPSEASSSETAMQPLETAVPVSDISQDKSIEQSRSEADASESEEKSVAATGPDNIPDSSQLSCETTITESGKEAKGDGTDPIVEPSSNQLELSAASPTKVESDQLNQNSSENETAISSEDSLETTRGVSKLESAVECTNGSQGKETNPTGTELHSPQLEHVAKAP >KJB32983 pep chromosome:Graimondii2_0_v6:6:36081370:36085766:-1 gene:B456_006G1125001 transcript:KJB32983 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamoylputrescine amidase [Source:Projected from Arabidopsis thaliana (AT2G27450) UniProtKB/Swiss-Prot;Acc:Q8VYF5] MEKGNRQVVVSALQFACTDDVPTNLATAERLVRAAHAKGANIILIQELFEGYYFCQAQREDFFRRAKPYNDHPTIKRMQGLAKELGVVLPVSFFEEANSAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFQTKFGKIGVAICWDQWFPEAARAMALQGAEILFYPTAIGSEPQDEGLDSREHWQRVMQGHAGANVVPLVASNRIGKEIIETEHGKSQITFYGNSFIAGPTGEIVAAANDKDEAVLIAQFDLDKIKSKRSCWGVFRDRRPDLYKVLLTSDGSKTSS >KJB32981 pep chromosome:Graimondii2_0_v6:6:36081029:36085706:-1 gene:B456_006G1125001 transcript:KJB32981 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamoylputrescine amidase [Source:Projected from Arabidopsis thaliana (AT2G27450) UniProtKB/Swiss-Prot;Acc:Q8VYF5] MEKGNRQVVVSALQFACTDDVPTNLATAERLVRAAHAKGANIILIQELFEGYYFCQAQREDFFRRAKPYNDHPTIKRMQGLAKELGVVLPVSFFEEANSAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFQTKFGKIGVAICWDQWFPERVMQGHAGANVVPLVASNRIGKEIIETEHGKSQITFYGNSFIAGPTGEIVAAANDKDEAVLIAQFDLDKIKSKRSCWGVFRDRRPDLYKVLLTSDGSKTSS >KJB32982 pep chromosome:Graimondii2_0_v6:6:36082012:36085609:-1 gene:B456_006G1125001 transcript:KJB32982 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamoylputrescine amidase [Source:Projected from Arabidopsis thaliana (AT2G27450) UniProtKB/Swiss-Prot;Acc:Q8VYF5] MEKGNRQVVVSALQFACTDDVPTNLATAERLVRAAHAKGANIILIQELFEGYYFCQAQREDFFRRAKPYNDHPTIKRMQGLAKELGVVLPVSFFEEANSAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFQTKFGKIGVAICWDQWFPEAARAMALQGAEILFYPTAIGSEPQDEGLDSREHWQRVMQGHAGANVVSEKTGELKVPFQCY >KJB32984 pep chromosome:Graimondii2_0_v6:6:36081029:36085760:-1 gene:B456_006G1125001 transcript:KJB32984 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamoylputrescine amidase [Source:Projected from Arabidopsis thaliana (AT2G27450) UniProtKB/Swiss-Prot;Acc:Q8VYF5] MEKGNRQVVVSALQFACTDDVPTNLATAERLVRAAHAKGANIILIQELFEGYYFCQAQREDFFRRAKPYNDHPTIKRMQGLAKELGVVLPVSFFEEANSAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFQTKFGKIGVAICWDQWFPEAARAMALQGAEILFYPTAIGSEPQDEGLDSREHWQRVMQGHAGANVVPLVASNRIGKEIIETEHGKSQITFYGNSFIAGPTGEIVAAANDKDEAVLIAQFDLDKIKSKRSCWGVFRDRRPDLYKVLLTSDGSKTSS >KJB36105 pep chromosome:Graimondii2_0_v6:6:39903255:39912843:1 gene:B456_006G141400 transcript:KJB36105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPIGLSTSTIPNPSPTTLLHPRREPFEHGLLPMQKLIFTDPLQALTSLKQKLVSSSIQRVDSAALADSLQISLDHARLVLDTLASVLHSESDPLVTSRFDDVDSVGADLLDLILFLYIQSYKRLLPRLHKDAAAVADVWPSTSAFDGYLSALSPLQLVRCNTRRFLPSQADEEAHQLSYLQKHLANILSLLSEPVEGEGEESLVISMEGFEHLGFLIQFEDKGSDGDFLSQAAPIFANSDPDMPAVPVPASQVLGWLLQNIASSLEHVTEKNPAKENGPPSGSDQDVVKASPSVRSPCFIEGVSKSSYVKQASDLKNSSLKVINCHDSVIYILAPLRYATIYGCSDATIVLGAVGKAVRVEHCERVHVIIAAKRVCIANCRECVFFLGVNHHPLIVGDNHKLQVAPYNTYYSRLEEHMTEVGIEATINRWDDTLELGMIDPHNSLSHPAGVSDAQAESATRLDPDHFTKFLIPNWLEGESTGSTKDNPFPLTDPYLTSQQKNQNNLGETKQILREAPLEENLKRELSCALHVYFRDWLYASGNIRQLYCQQSD >KJB36108 pep chromosome:Graimondii2_0_v6:6:39904475:39912843:1 gene:B456_006G141400 transcript:KJB36108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFEHLGFLIQFEDKGSDGDFLSQAAPIFANSDPDMPAVPVPASQVLGWLLQNIASSLEHVTEKNPAKENGPPSGSDQDVVKASPSVRSPCFIEGVSKSSYVKQASDLKNSSLKVINCHDSVIYILAPLRYATIYGCSDATIVLGAVGKAVRVEHCERVHVIIAAKRVCIANCRECVFFLGVNHHPLIVGDNHKLQNWLSHSLCHSWGPLVLSTSAKSAKLSTLTGGWCCLALKCAPPFLFSFDFSMVAPYNTYYSRLEEHMTEVGIEATINRWDDTLELGMIDPHNSLSHPAGVSDAQAESATRLDPDHFTKFLIPNWLEGESTGSTKDNPFPLTDPYLTSQQKNQNNLGETKQILREAPLEENLKRELSCALHVYFRDWLYASGNIRQLYCQQSD >KJB36106 pep chromosome:Graimondii2_0_v6:6:39903255:39912843:1 gene:B456_006G141400 transcript:KJB36106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPIGLSTSTIPNPSPTTLLHPRREPFEHGLLPMQKLIFTDPLQALTSLKQKLVSSSIQRVDSAALADSLQISLDHARLVLDTLASVLHSESDPLVTSRFDDVDSVGADLLDLILFLYIQSYKRLLPRLHKDAAAVADVWPSTSAFDGYLSALSPLQLVRCNTRRFLPSQADEEAHQLSYLQKHLANILSLLSEPVEGEGEESLVISMEGFEHLGFLIQFEDKGSDGDFLSQAAPIFANSDPDMPAVPVPASQVLGWLLQNIASSLEHVTEKNPAKENGPPSGSDQDVVKASPSVRSPCFIEGVSKSSYVKQASDLKNSSLKVINCHDSVIYILAPLRYATIYGCSDATIVLGAVGKAVRVEHCERVHVIIAAKRVCIANCRECVFFLGVNHHPLIVGDNHKLQNWLSHSLCHSWGPLVLSTSAKSAKLSTLTGGWCCLALKCAPPFLFSFDFSMVAPYNTYYSRLEEHMTEVGIEATINRWDDTLELGMIDPHNSLSHPAGVSDAQAESATRLDPDHFTKFLIPNWLEGESTGSTKDNPFPLTDPYLTSQQKNQNNLGETKQILREAPLEENLKRELSCALHVYFRDWLYASGNIRQLYCQQSD >KJB36111 pep chromosome:Graimondii2_0_v6:6:39905942:39912843:1 gene:B456_006G141400 transcript:KJB36111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFEHLGFLIQFEDKGSDGDFLSQAAPIFANSDPDMPAVPVPASQVLGWLLQNIASSLEHVTEKNPAKENGPPSGSDQDVVKASPSVRSPCFIEGVSKSSYVKQASDLKNSSLKVINCHDSVIYILAPLRYATIYGCSDATIVLGAVGKAVRVEHCERVHVIIAAKRVCIANCRECVFFLGVNHHPLIVGDNHKLQMRREEKRKGFDVVGWLARLLLRVRGERCLKVAPYNTYYSRLEEHMTEVGIEATINRWDDTLELGMIDPHNSLSHPAGVSDAQAESATRLDPDHFTKFLIPNWLEGESTGSTKDNPFPLTDPYLTSQQKNQNNLGETKQILREAPLEENLKRELSCALHVYFRDWLYASGNIRQLYCQQSD >KJB36110 pep chromosome:Graimondii2_0_v6:6:39905942:39912843:1 gene:B456_006G141400 transcript:KJB36110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFEHLGFLIQFEDKGSDGDFLSQAAPIFANSDPDMPAVPVPASQVLGWLLQNIASSLEHVTEKNPAKENGPPSGSDQDVVKASPSVRSPCFIEGVSKSSYVKQASDLKNSSLKVINCHDSVIYILAPLRYATIYGCSDATIVLGAVGKAVRVEHCERVHVIIAAKRVCIANCRECVFFLGVNHHPLIVGDNHKLQVAPYNTYYSRLEEHMTEVGIEATINRWDDTLELGMIDPHNSLSHPAGVSDAQAESATRLDPDHFTKFLIPNWLEGESTGSTKDNPFPLTDPYLTSQQKNQNNLGETKQILREAPLEENLKRELSCALHVYFRDWLYGKSIIFLFY >KJB36109 pep chromosome:Graimondii2_0_v6:6:39905942:39912843:1 gene:B456_006G141400 transcript:KJB36109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFEHLGFLIQFEDKGSDGDFLSQAAPIFANSDPDMPAVPVPASQVLGWLLQNIASSLEHVTEKNPAKENGPPSGSDQDVVKASPSVRSPCFIEGVSKSSYVKQASDLKNSSLKVINCHDSVIYILAPLRYATIYGCSDATIVLGAVGKAVRVEHCERVHVIIAAKRVCIANCRECVFFLGVNHHPLIVGDNHKLQVAPYNTYYSRLEEHMTEVGIEATINRWDDTLELGMIDPHNSLSHPAGVSDAQAESATRLDPDHFTKFLIPNWLEGESTGSTKDNPFPLTDPYLTSQQKNQNNLGETKQILREAPLEENLKRELSCALHVYFRDWLYASGNIRQLYCQQSD >KJB36112 pep chromosome:Graimondii2_0_v6:6:39906200:39911487:1 gene:B456_006G141400 transcript:KJB36112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFEHLGFLIQFEDKGSDGDFLSQAAPIFANSDPDMPAVPVPASQVLGWLLQNIASSLEHVTEKNPAKENGPPSGSDQDVVKASPSVRSPCFIEGVSKSSYVKQASDLKNSSLKVINCHDSVIYILAPLRYATIYGCSDATIVLGAVGKAVRVEHCERVHVIIAAKRVCIANCRECVFFLGVNHHPLIVGDNHKLQVAPYNTYYSRLEEHMTEVGIEATINRWDDTLELGMIDPHNSLSHPAGVSDAQAESATRLDPDHFTKFLIPNWLEGESTGSTKDNPFPLTDPYLTSQQKNVNALSPTDEEEV >KJB36107 pep chromosome:Graimondii2_0_v6:6:39904475:39912843:1 gene:B456_006G141400 transcript:KJB36107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFEHLGFLIQFEDKGSDGDFLSQAAPIFANSDPDMPAVPVPASQVLGWLLQNIASSLEHVTEKNPAKENGPPSGSDQDVVKASPSVRSPCFIEGVSKSSYVKQASDLKNSSLKVINCHDSVIYILAPLRYATIYGCSDATIVLGAVGKAVRVEHCERVHVIIAAKRVCIANCRECVFFLGVNHHPLIVGDNHKLQVAPYNTYYSRLEEHMTEVGIEATINRWDDTLELGMIDPHNSLSHPAGVSDAQAESATRLDPDHFTKFLIPNWLEGESTGSTKDNPFPLTDPYLTSQQKNQNNLGETKQILREAPLEENLKRELSCALHVYFRDWLYASGNIRQLYCQQSD >KJB37293 pep chromosome:Graimondii2_0_v6:6:45562411:45564617:-1 gene:B456_006G198300 transcript:KJB37293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGHSTGGPDFYAGIEGRSVASNQTTAPYRTRIPGIFMDPASQIVNRAVPNLVGKRTLADFQKQQLHYNHPVRNDLLYLRSVKPRTTYQHSSTISPMDFSSNLSPDVMGNFSSPSSCISQRYYGLPLLQHPRPQQAMNPGFSSVQQMSPIQMRVVHPQDPEKKMMNRLQELEKQLLDDENDDEGDAVSVISNTNSEWSETIQNLISSGSPIQPVAPSSTSSTTSSSSSTTSVVSPAPTSSKQTIMEAASAIAEGKTDVANEILTRLAQDSNAKGNSEQRFVACMLLALKSRINSVENPPPVAELFSKEHAAATQLLYDLSPCFKLGFLAANLAILDATRDQPSCNKLHIIDFDIGKGRQYINLLHALSERGSGKPALVKITAIADNGGDERVKMVEDKLSEIAERFGVCMKFNVVASPKLIDLSRESLGCEPDEPLAINFAFNLYRMPDESVSVENQRDELLRRVKGLTPSVVTLVEHEMNTNTAPFTSRVGEASAYYGALFDSIESSVPREKSERVMVEEGLCRKIANSVSCEGRERVERCEVFGKWRARMSMAGFELKPLSESVAESMRARLNSGNRVNPGFTVKEENGGVCFGWMGRTLTVASAWR >KJB37325 pep chromosome:Graimondii2_0_v6:6:45691004:45692116:-1 gene:B456_006G199700 transcript:KJB37325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LHRYIAAFLATTPSISFHQLPPIPLDPASYDSPDALTSDLVNLNNVHVQVALTSTLRSLIIDLFCYPALEIAAHLGIPAYNFFTSNASCLSLYLHLPSIYRNTTDNFKDVDTVFHLPCLPPTPLNHLPDTTLLKNTIECDFLINCTAHLAKSAGNIINTFETLEPKVVKALSLGFSIPDGPNQTQTPPIFCVGPLIDTHRNGNGDEGEECLKEKRGDQMMEIAVGLERSGQRFLWVVSNPPPNNNKQGFSKGSHPSLEGFLERTSGGVGHDSVGGFVTHCGWNSVLESICTGVPMVAWLLYAEQKLNKILLLEELKLALPVNESENGLVSAKEVVKRVRELTETE >KJB37819 pep chromosome:Graimondii2_0_v6:6:47451737:47453755:-1 gene:B456_006G222000 transcript:KJB37819 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYTB5-D [Source:Projected from Arabidopsis thaliana (AT2G32720) UniProtKB/TrEMBL;Acc:A0A178VXM5] MVGDGKVFTLAQVSEHKTPKDCWLIINGKVYDVTKFLEDHPGGDEVLLSATGKDATDDFEDVGHSDSARDMMDQYYVGEIDISTIPKKTTYKPPNQPHYNQDKTSEFIIKLLQFLVPLAILCLALGIHHYTKSS >KJB37820 pep chromosome:Graimondii2_0_v6:6:47451740:47456049:-1 gene:B456_006G222000 transcript:KJB37820 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYTB5-D [Source:Projected from Arabidopsis thaliana (AT2G32720) UniProtKB/TrEMBL;Acc:A0A178VXM5] MGGDGKVFTLAQVSEHNTPKDCWLIINDKVYDVTKYLKDHPGGDEVLLSATGKDATDDFEDVGHSDSARDMMDQYYVGEIDISTIPKKTTYKPPNQPHYNQDKTSEFIIKLLQFLVPLAILCLALGIHHYTKSS >KJB37821 pep chromosome:Graimondii2_0_v6:6:47451747:47453423:-1 gene:B456_006G222000 transcript:KJB37821 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYTB5-D [Source:Projected from Arabidopsis thaliana (AT2G32720) UniProtKB/TrEMBL;Acc:A0A178VXM5] MFEEHDLFMLVSISFEQQVYDVTKFLEDHPGGDEVLLSATGKDATDDFEDVGHSDSARDMMDQYYVGEIDISTIPKKTTYKPPNQPHYNQDKTSEFIIKLLQFLVPLAILCLALGIHHYTKSS >KJB37822 pep chromosome:Graimondii2_0_v6:6:47454996:47456166:-1 gene:B456_006G222000 transcript:KJB37822 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYTB5-D [Source:Projected from Arabidopsis thaliana (AT2G32720) UniProtKB/TrEMBL;Acc:A0A178VXM5] MLREKEGLKYSTAKEAKRKMGGDGKVFTLAQVSEHNTPKDCWLIINDKVYDVTKYLKDHPGGDEVLLSATGKDATDDFEDVGHSDSARDMLDQYYVGEIDISTIPTKTTYKPPNSNQDK >KJB38213 pep chromosome:Graimondii2_0_v6:6:48867259:48869946:-1 gene:B456_006G242800 transcript:KJB38213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKETQVQVPRVKLGTQGLEVSKLGFGCGALSGMYNAPLSHEEGCFVLKEAFSKGITFFDTSDLYGELYDNEIMVGKALKQLPRDKVQLATKFGIRRLEGFNFEVKGTREYVRKCCEASLNRLGVDYIDLYYQHRVDTSVTIEETMGELKKLVEEGKIKYIGLSEPSVDTLRRAHAVHPISALEMEYSLWSREIEDDIIPVCRELGIGIVAYSPLGRGFFGGKASVESLPSESILKMHPRFSGDNLEKNKLVYARLENLAKKHKCTPAQLALAWVFHQGEDIIPIPATTKIKNLESNIGSLTLKLRKDDLKEMCDAVPIEEVNGEREYDVFAEYSYKFANTPKSD >KJB38215 pep chromosome:Graimondii2_0_v6:6:48868023:48869771:-1 gene:B456_006G242800 transcript:KJB38215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKETQVQVPRVKLGTQGLEVSKLGFGCGALSGMYNAPLSHEEGCFVLKEAFSKGITFFDTSDLYGELYDNEIMVGKALKQLPRDKVQLATKFGIRRLEGFNFEVKGTREYVRKCCEASLNRLGVDYIDLYYQHRVDTSVTIEETMGELKKLVEEGKIKYIGLSEPSVDTLRRAHAVHPISALEMEYSLWSREIEDDIIPVCRELGIGIVAYSPLGRGFFGGKASVESLPSESILVHQIH >KJB38214 pep chromosome:Graimondii2_0_v6:6:48867267:48869497:-1 gene:B456_006G242800 transcript:KJB38214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNAPLSHEEGCFVLKEAFSKGITFFDTSDLYGELYDNEIMVGKALKQLPRDKVQLATKFGIRRLEGFNFEVKGTREYVRKCCEASLNRLGVDYIDLYYQHRVDTSVTIEETMGELKKLVEEGKIKYIGLSEPSVDTLRRAHAVHPISALEMEYSLWSREIEDDIIPVCRELGIGIVAYSPLGRGFFGGKASVESLPSESILKMHPRFSGDNLEKNKLVYARLENLAKKHKCTPAQLALAWVFHQGEDIIPIPATTKIKNLESNIGSLTLKLRKDDLKEMCDAVPIEEVNGEREYDVFAEYSYKFANTPKSD >KJB38212 pep chromosome:Graimondii2_0_v6:6:48867234:48869866:-1 gene:B456_006G242800 transcript:KJB38212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKETQVQVPRVKLGTQGLEVSKLGFGCGALSGMYNAPLSHEEGCFVLKEAFSKGITFFDTSDLYGELYDNEIMVGKALKQLPRDKVQLATKFGIRRLEGFNFEVKGTREYVRKCCEASLNRLGVDYIDLYYQHRVDTSVTIEETMGELKKLVEEGKIKYIGLSEPSVDTLRRAHAVHPISALEMEYSLWSREIEDDIIPVCRELGIGIVAYSPLGRGFFGGKASVESLPSESILKMHPRFSGDNLEKNKLVYARLENLAKKHKCTPAQLALAWVFHQGEDIIPIPATTKIKNLESNIGSLTLKLRKDDLKEMCDAVPIEEVNGEREYDVFAEYSYKFANTPKSD >KJB36915 pep chromosome:Graimondii2_0_v6:6:44003114:44007575:-1 gene:B456_006G182500 transcript:KJB36915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFNLALVIVAIVVCVLVFLFNVYLLVNYQHPDDANQAYFPKFVVVFGLSIAAISILMLPADVANRQACRHAIYNGACNLTLPMKELWLAVYIIDAVLVFFVIPFAMFFYEGDQDKSVGKRIKSALLWVVTTAIVCALLLGILYGLAGKVDFTVRHLSSVTTNFPSNWDFSSGQPCIGGSGAHACSAYTASASSEKTWTMRTTFPEYVVALATIVGSVLFSIFGGVGIACLPLGLIASFIRRPKAVITRSQYIKEATELGKRAKEVKKAADALHQEERSGSKGRKWRKNVKAVEKLEEDVKLLEEMYPQGEKAETSWALTVLGYLAKLVLGILGLIVSVAWIIHIVIYLLIDPPLSPFLNEVFIKLDDIWGLLGTVAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCATAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFIVLAALTFVYYVAFGWRRKKPSGRFQLSS >KJB36914 pep chromosome:Graimondii2_0_v6:6:44003114:44006737:-1 gene:B456_006G182500 transcript:KJB36914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTFPEYVVALATIVGSVLFSIFGGVGIACLPLGLIASFIRRPKAVITRSQYIKEATELGKRAKEVKKAADALHQEERSGSKGRKWRKNVKAVEKELLQLEEDVKLLEEMYPQGEKAETSWALTVLGYLAKLVLGILGLIVSVAWIIHIVIYLLIDPPLSPFLNEVFIKLDDIWGLLGTVAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCATAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFIVLAALTFVYYVAFGWRRKKPSGRFQLSS >KJB36917 pep chromosome:Graimondii2_0_v6:6:44003129:44007575:-1 gene:B456_006G182500 transcript:KJB36917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFNLALVIVAIVVCVLVFLFNVYLLVNYQHPDDANQAYFPKFVVVFGLSIAAISILMLPADVANRQACRHAIYNGACNLTLPMKELWLAVYIIDAVLVFFVIPFAMFFYEGDQDKSVGKRIKSALLWVVTTAIVCALLLGILYGLAGKVDFTVRHLSSVTTNFPSNWDFSSGQPCIGGSGAHACSAYTASASSEKTWTMRTTFPEYVVALATIVGSVLFSIFGGVGIACLPLGLIASFIRRPKAVITRSQYIKEATELGKRAKEVKKAADALHQEERSGSKGRKWRKNVKAVEKELLQLEEDVKLLEEMYPQGEKAETSWALTVLGYLAKLVLGILGLIVSVAWIIHIVIYLLIDPPLSPFLNEVFIKLDDIWGLLGTVAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCATAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFIVLAALTFVYYVAFGWRRKKPSGRFQLSS >KJB36916 pep chromosome:Graimondii2_0_v6:6:44003548:44006602:-1 gene:B456_006G182500 transcript:KJB36916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLAGKVDFTVRHLSSVTTNFPSNWDFSSGQPCIGGSGAHACSAYTASASSEKTWTMRTTFPEYVVALATIVGSVLFSIFGGVGIACLPLGLIASFIRRPKAVITRSQYIKEATELGKRAKEVKKAADALHQEERSGSKGRKWRKNVKAVEKELLQLEEDVKLLEEMYPQGEKAETSWALTVLGYLAKLVLGILGLIVSVAWIIHIVIYLLIDPPLSPFLNEVFIKLDDIWGLLGTVAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCATAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFIVLAALTFVYYVAFGWRRKKPSGRFQLSS >KJB36913 pep chromosome:Graimondii2_0_v6:6:44003050:44007575:-1 gene:B456_006G182500 transcript:KJB36913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFNLALVIVAIVVCVLVFLFNVYLLVNYQHPDDANQAYFPKFVVVFGLSIAAISILMLPADVANRQACRHAIYNGACNLTLPMKELWLAVYIIDAVLVFFVIPFAMFFYEGDQDKSVGKRIKSALLWVVTTAIVCALLLGILYGLAGKVDFTVRHLSSVTTNFPSNWDFSSGQPCIGGSGAHACSAYTASASSEKTWTMRTTFPEYVVALATIVGSVLFSIFGGVGIACLPLGLIASFIRRPKAVITRSQYIKEATELGKRAKEVKKAADALHQEERSGSKGRKWRKNVKAVEKELLQLEEDVKLLEEMYPQGEKAETSWALTVLGYLAKLVLGILGLIVSVAWIIHIVIYLLIDPPLSPFLNEVFIKLDDIWGLLGTVAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCATAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFIVLAALTFVYYVAFGWRRKKPSGRFQLSS >KJB36199 pep chromosome:Graimondii2_0_v6:6:40449616:40452793:1 gene:B456_006G146100 transcript:KJB36199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQLPTIKNVFVNETDDDDPPALSSQALAALKEFLEEQRQSLANHETAENGEGTLEPESEVALVTEDWRLSQFWYDPETARTLSQEVLSLCSHSNYKVACIACPTLYAYLKKIDPNISVQLLEYDKRFEQYGSDFTFYDYNQPEDLPLELKHTYQVVIADPPYLSKECLEKVTQTISFLAQPRESHLLLLTGEVQRHRAAELLGLRACNFWPRHSSKLGNEFRVFTNYDPGERLGGWEQEQ >KJB36200 pep chromosome:Graimondii2_0_v6:6:40449610:40453121:1 gene:B456_006G146100 transcript:KJB36200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQLPTIKNVFVNETDDDDPPALSSQALAALKEFLEEQRQSLANHETAENGEGTLEPESEVALVTEDWRLSQFWYDPETARTLSQEVLSLCSHSNYKVACIACPTLYAYLKKIDPNISVQLLEYDKRFEQYGSDFTFYDYNQPEDLPLELKHTYQVVIADPPYLSKECLEKVTQTISFLAQPRESHLLLLTGEVQRHRAAELLGLRACNFWPRHSSKLGNEFRVFTNYDPGERLGGWEQEQ >KJB36197 pep chromosome:Graimondii2_0_v6:6:40449543:40453055:1 gene:B456_006G146100 transcript:KJB36197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQLPTIKNVFVNETDDDDPPALSSQALAALKEFLEEQRQSLANHETAENGEGTLEPESEVALVTEDWRLSQFWYDPETARTLSQEVLSLCSHSNYKVACIACPTLYAYLKKIDPNISVQLLEYDKRFEQYGSDFTFYDYNQPEDLPLELKHTYQVVIADPPYLSKECLEKVTQTISFLAQPRESHLLLLTGEVQRHRAAELLGLRACNFWPRHSSKLGNEFRVFTNYDPGERLGGWEQEQ >KJB36202 pep chromosome:Graimondii2_0_v6:6:40449610:40453121:1 gene:B456_006G146100 transcript:KJB36202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQLPTIKNVFVNETDDDDPPALSSQALAALKEFLEEQRQSLANHETAENGEGTLEPESEVALVTEDWRLSQFWYDPETARTLSQEVLSLCSHSNYKVACIACPTLYAYLKKIDPNISVQLLEYDKRFEQYGSDFTFYDYNQPEDLPLELKHTYQVVIADPPYLSYFKYITVGECEDFDIFQSQDEQGVFRESYSNNIFSCSTTRISLAFAYGHRAAELLGLRACNFWPRHSSKLGNEFRVFTNYDPGERLGGWEQEQ >KJB36198 pep chromosome:Graimondii2_0_v6:6:40449710:40451239:1 gene:B456_006G146100 transcript:KJB36198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQLPTIKNVFVNETDDDDPPALSSQALAALKEFLEEQRQSLANHETAENGEGTLEPESEVALVTEDWRLSQFWYDPETARTLSQEVLSLCSHSNYKVACIACPTLYAYLKKIDPNISVQLLEYDKRFEQYGSDFTFYDYNQPEDLPLELKHTYQVVIADPPYLVR >KJB36201 pep chromosome:Graimondii2_0_v6:6:40449710:40451837:1 gene:B456_006G146100 transcript:KJB36201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQLPTIKNVFVNETDDDDPPALSSQALAALKEFLEEQRQSLANHETAENGEGTLEPESEVALVTEDWRLSQFWYDPETARTLSQEVLSLCSHSNYKVACIACPTLYAYLKKIDPNISVQLLEYDKRFEQYGSDFTFYDYNQPEDLPLELKHTYQVVIADPPYLSYFKYITVGECEDFDIFQSQDGR >KJB37440 pep chromosome:Graimondii2_0_v6:6:46038997:46039428:1 gene:B456_006G204600 transcript:KJB37440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEYEKLTVTEQPKKQMKAAKSNTKNTIIMEEGDANISSASKHGKVDDECVESFMEQLKAKVKSKVDYSDFQILEEDLGKDLRMVGRFSVPLRLALIVNRIKDGFGFTWEETQS >KJB35137 pep chromosome:Graimondii2_0_v6:6:34208257:34210184:1 gene:B456_006G101900 transcript:KJB35137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRECFTLDEQLSRAVIEAFIRLHKKGLI >KJB35133 pep chromosome:Graimondii2_0_v6:6:34208074:34210309:1 gene:B456_006G101900 transcript:KJB35133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRECFTLDEQLSRAVIEAFIRLHKKGLI >KJB35136 pep chromosome:Graimondii2_0_v6:6:34208257:34210184:1 gene:B456_006G101900 transcript:KJB35136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRECFTLDEQLSHSSLETCGIQEV >KJB35135 pep chromosome:Graimondii2_0_v6:6:34208257:34209837:1 gene:B456_006G101900 transcript:KJB35135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRECFTLDEQLSRKTSFISSKSEI >KJB35134 pep chromosome:Graimondii2_0_v6:6:34208144:34210307:1 gene:B456_006G101900 transcript:KJB35134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRECFTLDEQLSRAVIEAFIRLHKKGLI >KJB34630 pep chromosome:Graimondii2_0_v6:6:30119561:30125965:-1 gene:B456_006G077200 transcript:KJB34630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) UniProtKB/Swiss-Prot;Acc:Q9LRZ3] MAAKNQQTQKPNKRKQFSSTKTDKDGSKSKKPKLLSSTPSSANPSNKLLKKPFNSSKPKREHPTHSKFKKSESGNDNKELTKRERRIQAKELAEARKKKRKPHYTLEQELASLWEKMRRRNIAKEDRSKLITEALQKMKGKIPEIAGSHVSSRVLQTCVKYCSQIERDAVFSELQPHLLTLSCNAYAVHLVKKMFDAASKKQLAGVISSLRGHVASLLRHMVGSVVIEHAYQLGNATQKQELLMELYSTELHLFKDLASIKESRLIDIISKLDLQKSSVLRHMSSVIQPILEKGILDHSMIHRVLIEYLDIADKSSAADIIQQLSGPLLVRMIHTREGSKIGMLCVKHGSAKERKKIIKGMKGHISKIAHDQCGCMVLVSIFSMVDDTKLITKIIIRQLQTTLKELALDKSARRLLLQLLHPNYSRYLNTDGLASLNLTVPSLSSKNESEIKSKKLSRDEESSKEAAKSDIPEENLDEESTKEVATTDSDMEATESGKSDTPEENLNSAEGGKKDPSLRRRELLVNSGLAENLIDVCIENAEELLTSNFGKEVIFEVLNFVLRLFNGVSILFYKIQK >KJB34631 pep chromosome:Graimondii2_0_v6:6:30119561:30125965:-1 gene:B456_006G077200 transcript:KJB34631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) UniProtKB/Swiss-Prot;Acc:Q9LRZ3] MAAKNQQTQKPNKRKQFSSTKTDKDGSKSKKPKLLSSTPSSANPSNKLLKKPFNSSKPKREHPTHSKFKKSESGNDNKELTKRERRIQAKELAEARKKKRKPHYTLEQELASLWEKMRRRNIAKEDRSKLITEALQKMKGKIPEIAGSHVSSRVLQTCVKYCSQIERDAVFSELQPHLLTLSCNAYAVHLVKKMFDAASKKQLAGVISSLRGHVASLLRHMVGSVVIEHAYQLGNATQKQELLMELYSTELHLFKDLASIKESRLIDIISKLDLQKSSVLRHMSSVIQPILEKGILDHSMIHRVLIEYLDIADKSSAADIIQQLSGPLLVRMIHTREGSKIGMLCVKHGSAKERKKIIKGMKGHISKIAHDQCGCMVLVSIFSMVDDTKLITKIIIRQLQTTLKELALDKSARRLLLQLLHPNYSRYLNTDGLASLNLTVPSLSSKNESEIKSKKLSRDEESSKEAAKSDIPEENLDEESTKEVATTDSDMEATESGKSDTPEENLNSAEGGKKDPSLRRRELLVNSGLAENLIDVCIENAEELLTSNFGKEVIFEVAKGGSDGILHPTLDEKLNNLHEAIAELAAKPKSDESEEEHVLENFHSSRTIRKLILDCPAFASTLWKKSLEGKCQLWAQGHRLAALLRL >KJB34629 pep chromosome:Graimondii2_0_v6:6:30119520:30126053:-1 gene:B456_006G077200 transcript:KJB34629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) UniProtKB/Swiss-Prot;Acc:Q9LRZ3] MAAKNQQTQKPNKRKQFSSTKTDKDGSKSKKPKLLSSTPSSANPSNKLLKKPFNSSKPKREHPTHSKFKKSESGNDNKELTKRERRIQAKELAEARKKKRKPHYTLEQELASLWEKMRRRNIAKEDRSKLITEALQKMKGKIPEIAGSHVSSRVLQTCVKYCSQIERDAVFSELQPHLLTLSCNAYAVHLVKKMFDAASKKQLAGVISSLRGHVASLLRHMVGSVVIEHAYQLGNATQKQELLMELYSTELHLFKDLASIKESRLIDIISKLDLQKSSVLRHMSSVIQPILEKGILDHSMIHRVLIEYLDIADKSSAADIIQQLSGPLLVRMIHTREGSKIGMLCVKHGSAKERKKIIKGMKGHISKIAHDQCGCMVLVSIFSMVDDTKLITKIIIRQLQTTLKELALDKSARRLLLQLLHPNYSRYLNTDGLASLNLTVPSLSSKNESEIKSKKLSRDEESSKEAAKSDIPEENLDEESTKEVATTDSDMEATESGKSDTPEENLNSAEGGKKDPSLRRRELLVNSGLAENLIDVCIENAEELLTSNFGKEVIFEVAKGGSDGILHPTLDEKLNNLHEAIAELAAKPKSDESEEEHVLENFHSSRTIRKLILDCPAFASTLWKKSLEGKCQLWAQGHSSKVVSAFLESSDSEVRKVAKEELQPLVDDGTLKIPETKQLANEN >KJB37836 pep chromosome:Graimondii2_0_v6:6:47482596:47483543:-1 gene:B456_006G222600 transcript:KJB37836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEDKFPKMETFMNKDWPSFSYGMATTGSTFFNNPIIWASSSSEETPGSNLLKNVPGFINQDINHGFNPINQAQPSPSMATTMSQFPDLTSLFGPSKPVIDPINENPPLQTPQQWLRINQSFTNDQSKGFSDYWLSTTKTHPMKYSGRRFQNQHQKGYSSPGKLFRGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAEAAAMAYDTAAYILRGEYAQLNFPDLKDQVMANSLNGNTAAILEAKLQAISQGSTVNKKSDLDTTTTMPAEEGVSGLNRNTTKNMEALSSDVDGVQLSRLPSLDMDIIWDALLVSDS >KJB36534 pep chromosome:Graimondii2_0_v6:6:42439580:42441592:-1 gene:B456_006G164600 transcript:KJB36534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGFMVGVLGVLFLFHAAYSTIQYRGLLKIMEEEFSGPPMNVVLELLLGFVFCISAALTVPGNFLSIHPDSEENRIVSLSANLDFMIFNHRAKALPLETDMKLKH >KJB36535 pep chromosome:Graimondii2_0_v6:6:42439642:42441543:-1 gene:B456_006G164600 transcript:KJB36535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGFMVGVLGVLFLFHAAYSTIQYRGLLKIMEEEFSGPPMNVVLELLLGFVFCISAALTVPGNFLSIHPDSEENRIVSLSANLDFMIFNHRAKALPLETDMKLKH >KJB34620 pep chromosome:Graimondii2_0_v6:6:29769392:29772439:-1 gene:B456_006G075500 transcript:KJB34620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLSNSVKLAWVVGTRMILLNSNNQLLSSLTQFSSSPLSSLQFQLRTKSSSDFPAGRHRAAVATADVCDSNAALLVNGDLRALDPIFKIYGRRLAFSGPIVTVKVFEDNVLVRQLLETEGNGRVLVIDGGGSTRCALVGGNLAQWAHDMAWAGIIMNGCIRDVDEINACDIGVRALGSNPLKSNKKAVGDKHVPVQIAGTLIHDGEWLYADSDGILISKTELSV >KJB34619 pep chromosome:Graimondii2_0_v6:6:29769349:29772439:-1 gene:B456_006G075500 transcript:KJB34619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLSNSVKLAWVVGTRMILLNSNNQLLSSLTQFSSSPLSSLQFQLRTKSSSGRHRAAVATADVCDSNAALLVNGDLRALDPIFKIYGRRLAFSGPIVTVKVFEDNVLVRQLLETEGNGRVLVIDGGGSTRCALVGGNLAQWAHDMAWAGIIMNGCIRDVDEINACDIGVRALGSNPLKSNKKAVGDKHVPVQIAGTLIHDGEWLYADSDGILISKTELSV >KJB34224 pep chromosome:Graimondii2_0_v6:6:19413084:19415620:1 gene:B456_006G054000 transcript:KJB34224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEFMKIQTCVLKVNIHCDGCKHKVKKILQKIEGVFKTTIDSEQGKVTVLGNVDPNVLIRKLAKSGKHAEPWGSAKANNNQAHLANQFKNMQMLDNGKKGGNNNNKNNNQNNNKGGQKGNNNNNNNQPKGGQPTPQQLHQHLQQLQQMKGFQDLKLPQLKDMKMGPNPNQLQNNKAVKFAEEEDFSDDDYDDDDLDDDCDLDDDDFDDGDELDDPRHPVNKMKAMMGNNAPPKGMPNNMVMLNGMMNGNLPQLMNPQKGPQNAAPNGGGNGKKGGNGGGGGMPVGGGNNEGKNGNGGKKGGGAGGNPNGGNPYQGGGGKNGGKNGGGLPQELQKNGGNNGGGNGGKKGAPNGVSDGFPTMGGGANGGNMSQQQRAAAMNMAMGQMGSLPQMGNIPAVQGLPAAAMNGGGGGGGYFQGAGPDVMPGNPYHQQQQQQQYLAAMMNQQRAMGGGNERFQPMMHARPPAAVNYMPPYPCQYPYPYPYPQPQAQPQPDPYTHFFSDENTSSCNVM >KJB34225 pep chromosome:Graimondii2_0_v6:6:19413225:19415618:1 gene:B456_006G054000 transcript:KJB34225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQTLKLSFLGFIFVMSGVFKTTIDSEQGKVTVLGNVDPNVLIRKLAKSGKHAEPWGSAKANNNQAHLANQFKNMQMLDNGKKGGNNNNKNNNQNNNKGGQKGNNNNNNNQPKGGQPTPQQLHQHLQQLQQMKGFQDLKLPQLKDMKMGPNPNQLQNNKAVKFAEEEDFSDDDYDDDDLDDDCDLDDDDFDDGDELDDPRHPVNKMKAMMGNNAPPKGMPNNMVMLNGMMNGNLPQLMNPQKGPQNAAPNGGGNGKKGGNGGGGGMPVGGGNNEGKNGNGGKKGGGAGGNPNGGNPYQGGGGKNGGKNGGGLPQELQKNGGNNGGGNGGKKGAPNGVSDGFPTMGGGANGGNMSQQQRAAAMNMAMGQMGSLPQMGNIPAVQGLPAAAMNGGGGGGGYFQGAGPDVMPGNPYHQQQQQQQYLAAMMNQQRAMGGGNERFQPMMHARPPAAVNYMPPYPCQYPYPYPYPQPQAQPQPDPYTHFFSDENTSSCNVM >KJB34223 pep chromosome:Graimondii2_0_v6:6:19413084:19415620:1 gene:B456_006G054000 transcript:KJB34223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMLDNGKKGGNNNNKNNNQNNNKGGQKGNNNNNNNQPKGGQPTPQQLHQHLQQLQQMKGFQDLKLPQLKDMKMGPNPNQLQNNKAVKFAEEEDFSDDDYDDDDLDDDCDLDDDDFDDGDELDDPRHPVNKMKAMMGNNAPPKGMPNNMVMLNGMMNGNLPQLMNPQKGPQNAAPNGGGNGKKGGNGGGGGMPVGGGNNEGKNGNGGKKGGGAGGNPNGGNPYQGGGGKNGGKNGGGLPQELQKNGGNNGGGNGGKKGAPNGVSDGFPTMGGGANGGNMSQQQRAAAMNMAMGQMGSLPQMGNIPAVQGLPAAAMNGGGGGGGYFQGAGPDVMPGNPYHQQQQQQQYLAAMMNQQRAMGGGNERFQPMMHARPPAAVNYMPPYPCQYPYPYPYPQPQAQPQPDPYTHFFSDENTSSCNVM >KJB37228 pep chromosome:Graimondii2_0_v6:6:45147386:45149064:1 gene:B456_006G194700 transcript:KJB37228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFEERGFNSVVCPKPIRTFRRSTNCYQTEMADSKTGAELLDIILPEGGYGAENPTDQMASSPPYFCGSPPSRASNPVIQDARFNDEQPVLPPLTSPAPSSRGGCVRVKFGQMPAAVRIEGFDCLTRDGRHRSISAVA >KJB37230 pep chromosome:Graimondii2_0_v6:6:45147386:45149110:1 gene:B456_006G194700 transcript:KJB37230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFEERGFNSVVCPKPIRTFRRSTNSCYQTEMADSKTGAELLDIILPEGGYGAENPTDQMASSPPYFCGSPPSRASNPVIQDARFNDEQPVLPPLTSPAPSSRGGCVRVKFGQMPAAVRIEGFDCLTRDGRHRSISAVGRKF >KJB37229 pep chromosome:Graimondii2_0_v6:6:45147913:45148604:1 gene:B456_006G194700 transcript:KJB37229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFEERGFNSVVCPKPIRTFRRSTNSCYQTEMADSKTGAELLDIILPEGGYGAENPTDQMASSPPYFCGSPPSRASNPVIQDARFNDEQPVLPPLTSPAPSSRGGCVRVKFGQMPAAVRIEGFDCLTRDGRHRSISAVA >KJB37231 pep chromosome:Graimondii2_0_v6:6:45147386:45149064:1 gene:B456_006G194700 transcript:KJB37231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFEERGFNSVVCPKPIRTFRRSTNSCYQTEMADSKTGAELLDIILPEGGYGAENPTDQMASSPPYFCGSPPSRASNPVIQDARFNDEQPVLPPLTSPAPSSRGGCVRVKFGQMPAAVRIEGFDCLTRDGRHRSISAVA >KJB33246 pep chromosome:Graimondii2_0_v6:6:763349:768766:1 gene:B456_006G003700 transcript:KJB33246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIDVTKSCLDSIRQISEHIEGAIVYLDAGCTESFQLMGAFPLFLDLGARAVCSSENMCALDAVAHSTYPDSPLGPDAFHEYQSLLLQDYEELIENSDLKSGQLVDSNTKGNLTLEDEGWSRFTSNEDVPSLEASSAGKNQYGDSPRQGMVDLGQKPIVSVHHFPMILSPISPRVFVLPSEGSIAEACLSSEHEDSISAGLPSLSTGLPSDVDEVPPAATLTAHFLYHLAAKMDLKMEIFSLGDLSKTIGKILTDMSSLYDVGRRKRTVGLLLIDRTLDLLTPCCHGDSLVDRIFSALPRKERTSSSASIKCSQAQLKPGPSSLARASLEVQIPIGEVLTKEDFEIDDSGLSNGIEAFRCGWDSYNSASEMVDLISLSKKASDEKFFPAELLQGSLVSTETFKGTPYLEAILDRKTKDGAILVKKWLQETLRRENMTIDVKTRPGFASKLELKTMIKALTKSQSSLIRNRGIIQLASATLLALDESCSARWDAFISAEKILSVNAGDTSQSLAAQISDLINKSAFAGSDGKKSGKKELSQGLLSFQDALLLTITGYILAGENFPTSGSGGPFSWQEEHFLKEAILDAILENPSVARLKFLHGLTQELEANLNKTKSDVTKETSTDELNIDEFDDDQWGKWGDEDEDEENDNKEQEYDDMQLKLELRDRVDNLFKYLHKLSSLKSKKGPLGLESNLSSDPYTNKGLLYKLLTKILGKFDVPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLADQNLILVFVVGGINGVEVQEAQEALSESGRPDIELVLGGTTFLTPDDMLDLLLGESSYI >KJB33248 pep chromosome:Graimondii2_0_v6:6:764553:768777:1 gene:B456_006G003700 transcript:KJB33248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRLLSDAHRFILRCLSMHKGGHCCTIFTSISEVAHSTYPDSPLGPDAFHEYQSLLLQDYEELIENSDLKSGQLVDSNTKGNLTLEDEGWSRFTSNEDVPSLEASSAGKNQYGDSPRQGMVDLGQKPIVSVHHFPMILSPISPRVFVLPSEGSIAEACLSSEHEDSISAGLPSLSTGLPSDVDEVPPAATLTAHFLYHLAAKMDLKMEIFSLGDLSKTIGKILTDMSSLYDVGRRKRTVGLLLIDRTLDLLTPCCHGDSLVDRIFSALPRKERTSSSASIKCSQAQLKPGPSSLARASLEVQIPIGEVLTKEDFEIDDSGLSNGIEAFRCGWDSYNSASEMVDLISLSKKASDEKFFPAELLQGSLVSTETFKGTPYLEAILDRKTKDGAILVKKWLQETLRRENMTIDVKTRPGFASKLELKTMIKALTKSQSSLIRNRGIIQLASATLLALDESCSARWDAFISAEKILSVNAGDTSQSLAAQISDLINKSAFAGSDGKKSGKKELSQGLLSFQDALLLTITGYILAGENFPTSGSGGPFSWQEEHFLKEAILDAILENPSVARLKFLHGLTQELEANLNKTKSDVTKETSTDELNIDEFDDDQWGKWGDEDEDEENDNKEQEYDDMQLKLELRDRVDNLFKYLHKLSSLKSKKGPLGLESNLSSDPYTNKGLLYKLLTKILGKFDVPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLADQNLILVFVVGGINGVEVQEAQEALSESGRPDIELVLGGTTFLTPDDMLDLLLGESSYI >KJB33245 pep chromosome:Graimondii2_0_v6:6:763278:768777:1 gene:B456_006G003700 transcript:KJB33245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIDVTKSCLDSIRQISEHIEGAIVYLDAGCTESFQLMGAFPLFLDLGARAVCSSENMCALDAVADWNGSFESARKIVIMTSRLLSDAHRFILRCLSMHKGGHCCTIFTSISEVAHSTYPDSPLGPDAFHEYQSLLLQDYEELIENSDLKSGQLVDSNTKGNLTLEDEGWSRFTSNEDVPSLEASSAGKNQYGDSPRQGMVDLGQKPIVSVHHFPMILSPISPRVFVLPSEGSIAEACLSSEHEDSISAGLPSLSTGLPSDVDEVPPAATLTAHFLYHLAAKMDLKMEIFSLGDLSKTIGKILTDMSSLYDVGRRKRTVGLLLIDRTLDLLTPCCHGDSLVDRIFSALPRKERTSSSASIKCSQAQLKPGPSSLARASLEVQIPIGEVLTKEDFEIDDSGLSNGIEAFRCGWDSYNSASEMVDLISLSKKASDEKFFPAELLQGSLVSTETFKGTPYLEAILDRKTKDGAILVKKWLQETLRRENMTIDVKTRPGFASKLELKTMIKALTKSQSSLIRNRGIIQLASATLLALDESCSARWDAFISAEKILSVNAGDTSQSLAAQISDLINKSAFAGSDGKKSGKKELSQGLLSFQDALLLTITGYILAGENFPTSGSGGPFSWQEEHFLKEAILDAILENPSVARLKFLHGLTQELEANLNKTKSDVTKETSTDELNIDEFDDDQWGKWGDEDEDEENDNKEQEYDDMQLKLELRDRVDNLFKYLHKLSSLKSKKGPLGLESNLSSDPYTNKGLLYKLLTKILGKFDVPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLADQNLILVFVVGGINGVEVQEAQEALSESGRPDIELVLGGTTFLTPDDMLDLLLGESSYI >KJB33247 pep chromosome:Graimondii2_0_v6:6:763412:768766:1 gene:B456_006G003700 transcript:KJB33247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIDVTKSCLDSIRQISEHIEGAIVYLDAGCTESFQLMGAFPLFLDLGARAVCSSENMCALDAVADWNGSFESARKIVIMTSRLLSDAHRFILRCLSMHKGGHCCTIFTSISEVAHSTYPDSPLGPDAFHEYQSLLLQDYEELIENSDLKSGQLVDSNTKGNLTLEDEGWSRFTSNEDVPSLEASSAGKNQYGDSPRQGMVDLGQKPIVSVHHFPMILSPISPRVFVLPSEGSIAEACLSSEHEDSISAGLPSLSTGLPSDVDEVPPAATLTAHFLYHLAAKMDLKMEIFSLGDLSKTIGKILTDMSSLYDVGRRKRTVGLLLIDRTLDLLTPCCHGDSLVDRIFSALPRKERTSSSASIKCSQAQLKPGPSSLARASLEVQIPIGEVLTKEDFEIDDSGLSNGIEAFRCGWDSYNSASEMVDLISLSKKASDEKFFPAELLQGSLVSTETFKGTPYLEAILDRKTKDGAILVKKWLQETLRRENMTIDVKTRPGFASKLELKTMIKALTKSQSSLIRNRGIIQLASATLLALDESCSARWDAFISAEKILSVNAGDTSQSLAAQISDLINKSAFAGSDGKKSGKKELSQGLLSFQDALLLTITGYILAGENFPTSGSGGPFSWQEEHFLKEAILDAILENPSVARLKFLHGLTQELEANLNKTKSDVTKETSTDELNIDEFDDDQWGKWGDEDEDEENDNKEQEYDDMQLKLELRDRVDNLFKYLHKLSSLKSKKGPLGLESNLSSDPYTNKGLLYKLLTKILGKFDVPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLADQNLILVFVVGGINGVEVQEAQEALSESGRPDIELVLGGTTFLTPDDMLDLLLGESSYI >KJB37687 pep chromosome:Graimondii2_0_v6:6:47021479:47023008:-1 gene:B456_006G217400 transcript:KJB37687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREEIKGSKPSFPLSFWEVTMAFTVVMGFVLGLGGVYLTMPASDYSFLKLPRSLEDLHILRDHLEIYTSDYTLQVLVGYCAVYIFMQTFMIPGTVFMSLLAGALFGVLKGVALVVITATAGASSCYFLSKLVGRPLVISLWPDKLSFFQAQVISTPCSVSCLFLTSS >KJB37685 pep chromosome:Graimondii2_0_v6:6:47020691:47023008:-1 gene:B456_006G217400 transcript:KJB37685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREEIKGSKPSFPLSFWEVTMAFTVVMGFVLGLGGVYLTMPASDYSFLKLPRSLEDLHILRDHLEIYTSDYTLQVLVGYCAVYIFMQTFMIPGTVFMSLLAGALFGVLKGVALVVITATAGASSCYFLSKLVGRPLVISLWPDKLSFFQAQVISTPCSAGIALGELQSVGDLYDFNSIATLFLIGVVSVTPTLMSKPKL >KJB37683 pep chromosome:Graimondii2_0_v6:6:47020691:47022014:-1 gene:B456_006G217400 transcript:KJB37683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHCRDHLEIYTSDYTLQVLVGYCAVYIFMQTFMIPGTVFMSLLAGALFGVLKGVALVVITATAGASSCYFLSKLVGRPLVISLWPDKLSFFQAQVAQRRESLLNYMLFLRLTPTLPNTFINVASPIVDVPYHIFFMATFIGLIPAAFLTVRAGIALGELQSVGDLYDFNSIATLFLIGVVSVTPTLMSKPKL >KJB37682 pep chromosome:Graimondii2_0_v6:6:47020624:47023008:-1 gene:B456_006G217400 transcript:KJB37682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREEIKGSKPSFPLSFWEVTMAFTVVMGFVLGLGGVYLTMPASDYSFLKLPRSLEDLHILRDHLEIYTSDYTLQVLVGYCAVYIFMQTFMIPGTVFMSLLAGALFGVLKGVALVVITATAGASSCYFLSKLVGRPLVISLWPDKLSFFQAQVAQRRESLLNYMLFLRLTPTLPNTFINVASPIVDVPYHIFFMATFIGLIPAAFLTVRAGIALGELQSVGDLYDFNSIATLFLIGVVSVTPTLMSKPKL >KJB37684 pep chromosome:Graimondii2_0_v6:6:47020691:47023008:-1 gene:B456_006G217400 transcript:KJB37684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREEIKGSKPSFPLSFWEVTMAFTVVMGFVLGLGGVYLTMPASDYSFLKLPRSLEDLHILRDHLEIYTSDYTLQVLVGYCAVYIFMQTFMIPGTVFMSLLAGALFGVLKGVALVVITATAGASSCYFLSKLVGRPLVISLWPDKLSFFQAQAGIALGELQSVGDLYDFNSIATLFLIGVVSVTPTLMSKPKL >KJB37686 pep chromosome:Graimondii2_0_v6:6:47021249:47022699:-1 gene:B456_006G217400 transcript:KJB37686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREEIKGSKPSFPLSFWEVTMAFTVVMGFVLGLGGVYLTMPASDYSFLKLPRSLEDLHILRDHLEIYTSDYTLQVLVGYCAVYIFMQTFMIPGTVFMSLLAGALFGVLKGVALVVITATAGASSCYFLSKLVGRPLVISLWPDKLSFFQAQVAQRRESLLNYMLFLRLTPTLPNTFINVASPIVDVPYHIFFMATFIGLIPAAFLTVRVFYFGLPCEICMLSC >KJB38080 pep chromosome:Graimondii2_0_v6:6:48608153:48610580:1 gene:B456_006G238800 transcript:KJB38080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTIVDVVHILQLDHSQSFYFSIFEISILVQMIVVNLLTGFNFSAGAFHYPPPQAERRSKSISTFKEPQLKAMADKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRPNVSKAELKEKLARMYEVKDQNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKVRGVKKTKAGEAAKKK >KJB37520 pep chromosome:Graimondii2_0_v6:6:46401377:46403051:1 gene:B456_006G209000 transcript:KJB37520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTQDLSLSPSSTSNFIQMSYNLSVSDNSSFNRCIPEMVSTDTIDFDSLFNLPFYDSSFDYNLQALSNNDDNQLNPVDESPPADQLLADLLPSNQLENLSLCQTTQFPSLSFGSHSENPGGSSRLEVKNEECRVDFDSAYGGVHENAGKYLQRSFSSNCFEGKSNFSFKPPFDSLMESQSFQGQASSLPETSFFTAQMRKVSSTGDLQSMRNVHNTQRSISTPCAMENTFMEESGFKVGRYNAEERQERISKYRAKRSQRNFNKTIKYACRKTLADTRPRIRGRFARNDETVETPKLACSTGDEADDLWGLLHEVGDEATATSGTLMNNFGQYQFQFNHGCF >KJB34775 pep chromosome:Graimondii2_0_v6:6:31629951:31631943:-1 gene:B456_006G083400 transcript:KJB34775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTSYFAASGHKLGAFFSFIFRFPYYPFGASAVSKVILQDLGNRVLVGKDDEPVRQLISMISNDNYHVVRQACSSLSTLAGDVSVAMKLMKCDILQPIGAVLMVSSASEKLVSVLQVVVTLAIRSDTIAEMMLTNDVLSSLKVLCVHKNPEVQRLALLAVGNLGFCPENHHVLVAVEGLRELLVQLTATPEPRVNKAAARALAILGENESLRCAIGGRQIPKRGIRILSLDGGGMKGLATVQILEEIENRTGKRMHELFDLICGTSTGGIYAAALSIKSMSAYRLEEIYKNFGKVVFSEPVPKDNNSATWKEKLDQLYKSSSQSFRVVAKGSKHNPDKLERLLKDLCADEDGDDRLIESAVKDIPKVCLISTLVSVMPAQPFVFRNYQVRGQELLLLFCHPLWQNVCIYTFLMCSTPWERRRHLV >KJB34774 pep chromosome:Graimondii2_0_v6:6:31629174:31631896:-1 gene:B456_006G083400 transcript:KJB34774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTSYFAASGHKLGAFFSFIFRFPYYPFGASAVSKVILQDLGNRVLVGKDDEPVRQLISMISNDNYHVVRQACSSLSTLAGDVSVAMKLMKCDILQPIGAVLMVSSASEKLVSVLQVVVTLAIRSDTIAEMMLTNDVLSSLKVLCVHKNPEVQRLALLAVGNLGFCPENHHVLVAVEGLRELLVQLTATPEPRVNKAAARALAILGENESLRCAIGGRQIPKRGIRILSLDGGGMKGLATVQILEEIENRTGKRMHELFDLICGTSTGGIYAAALSIKSMSAYRLEEIYKNFGKVVFSEPVPKDNNSATWKEKLDQLYKSSSQSFRVVAKGSKHNPDKLERLLKDLCADEDGDDRLIESAVKDIPKVCLISTLVSVMPAQPFVFRNYQYPVGTPKAPCPTSESSGTTARVSHKQSGLIGSCKYQLWQAIRASCAAPYYLDDFSDDVYRWRDGGLMANNPTIISMREALLLWPDTKIDCLVSVGSGSVPTKARKGGWRYLDAGQVLIESACSVDRVEETLNTLLPMHPKIRYFRFNPVDERCDMKLDETDPAVWQKLEAATKDYIENNSEYFNIACETLVQASANSDTEK >KJB33628 pep chromosome:Graimondii2_0_v6:6:6023107:6027304:1 gene:B456_006G022900 transcript:KJB33628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHKELAAAKKAASLAARLCQNVQKALLQSDVQSKTDKTPVTVADYGSQALVSFVLQQELRAEFSLVAEEDSKDLRKDGAQEIVERITKLVNYSLTSDGSYNVTLSTEDVLKAIDSGRSEGGSQGQHWVLDPIDGTKGFLRGDQYAIALALLDGGKVVLGVLACPNLPLTSLKDAGQHSPNNEVGCLFFAEVGGGTYMQPLDGSSAVKVQVSAVENPEEASFFESYEAAHSMHDLTSLIAQKLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPHKGYREKIWDHAAGCIVVTAGGVVTDAAGQPLDFSKGKHLDVDTGIIVTNQKLMPLLLNAVKESLKEKAPSS >KJB33629 pep chromosome:Graimondii2_0_v6:6:6023112:6027304:1 gene:B456_006G022900 transcript:KJB33629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHKELAAAKKAASLAARLCQNVQKALLQSDVQSKTDKTPVTVADYGSQALVSFVLQQELRAEFSLVAEEDSKDLRKDGAQEIVERITKLVNYSLTSDGSYNVTLSTEDVLKAIDSGRSEGGSQGQHWVLDPIDGTKGFLRGDQYAIALALLDGGKVVLGVLACPNLPLTSLKDAGQHSPNNEVGCLFFAEVGGGTYMQPLDGSSAVKVQVSAVENPEEASFFESYEAAHSMHDLTSLIAQKLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPHKGYREKIWDHAAGCIVVTGMDQFHSIIGFLSKC >KJB33627 pep chromosome:Graimondii2_0_v6:6:6023084:6027304:1 gene:B456_006G022900 transcript:KJB33627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHKELAAAKKAASLAARLCQNVQKALLQSDVQSKTDKTPVTVADYGSQALVSFVLQQELRAEFSLVAEEDSKDLRKDGAQEIVERITKLVNYSLTSDGSYNVTLSTEDVLKAIDSGRSEGGSQGQHWVLDPIDGTKGFLRGDQYAIALALLDGGKVVLGVLACPNLPLTSLKDAGQHSPNNEVGCLFFAEVGGGTYMQPLDGSSAVKVQVSAVENPEEASFFESYEAAHSMHDLTSLIAQKLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPHKGYREKIWDHAAGCIVVTEAGGVVTDAAGQPLDFSKGKHLDVDTGIIVTNQKLMPLLLNAVKESLKEKAPSS >KJB34585 pep chromosome:Graimondii2_0_v6:6:34918412:34921045:1 gene:B456_006G106600 transcript:KJB34585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRLRSLTLLCTLVLLGSLASTQAKKSKEDLKEVTHKVYFDVEIAGKPAGRIVIGLFGKAVPKTAENFRALCTGEKGVGKSGKPLHFKGSAFHRIIPNFMIQGGDFTLGDGRGGESIYGEKFVLSGMDVVYKVEAEGRQSGTPKSKVVIADSGELPL >KJB34586 pep chromosome:Graimondii2_0_v6:6:34918598:34920285:1 gene:B456_006G106600 transcript:KJB34586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRLRSLTLLCTLVLLGSLASTQAKKSKEDLKEVTHKVYFDVEIAGKPAGRIVIGLFGKAVPKTAENFRALCTGEKGVGKSGKPLHFKGSAFHRIIPNFMIQGGDFTLGDGRGGESIYGEKFADENFKLKHTGPGLLSMANAGPDTNGSQFFITTVTTSW >KJB34587 pep chromosome:Graimondii2_0_v6:6:34918412:34921045:1 gene:B456_006G106600 transcript:KJB34587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRLRSLTLLCTLVLLGSLASTQAKKSKEDLKEVTHKVYFDVEIAGKPAGRIVIGLFGKAVPKTAENFRALCTGEKGVGKSGKPLHFKGSAFHRIIPNFMIQGGDFTLGDGRGGESIYGEKFADENFKLKHTGPGLLSMANAGPDTNGSQFFITTVTTSWLDGRHVVFGKVLSGMDVVYKVEAEGRQSGTPKSKVVIADSGELPL >KJB34588 pep chromosome:Graimondii2_0_v6:6:34918412:34921045:1 gene:B456_006G106600 transcript:KJB34588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRLRSLTLLCTLVLLGSLASTQAKKSKEDLKEVTHKVYFDVEIAGKPAGRIVIGLFGKAVPKTAENFRALCTGEKGVGKSGKPLHFKGSAFHRIIPNFMIQGGDFTLGDGRGGESIYGEKFADENFKLKHTGPGLLSMANAGPDTNGSQFFITTVTTSWLDGRHVVFGKVLSGMDVVYKVEAEGRQSGTPKSKVVIADSGELPLLWLADKNHIFHLLYDMLCVALKTYKIWLLGDYKQPRVFQPFETSFKTCQTARRFVNPNI >KJB36075 pep chromosome:Graimondii2_0_v6:6:39624632:39630761:-1 gene:B456_006G139400 transcript:KJB36075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTGNKRRNSANVQSKHPGRTDRRNNKPNQQNGGKTLKAKEAESKALKARSDPNSKVSDSNVASEPIEVYENVIVHYVDDVNKSEETSPNPNGNKMATKLKKAEILDDCSSDIEGETTQQKEEESDSETIKDSASSLGDSLTAEDEREEGVLRASNGVHDNNLKDGSSHGSRMGSELETNKSHLKALNNTPKKSAKSNGVPHKNATKSYVEETLKVSPKSSSESSEGSNARHAEELKEVDVLDETPNGNKSFASDNEPVDAEDKFQVENEAALNEKINEMETRIEKLKAELREVAALEISLYSVVPEHGSSAHKLHTPARRLSRLYIHACKHWEQNKRATIAKNTVSGLILTAKSCGNDVSRLTFWLSNTIVLREIISQAFGNSRNSNPLARLPELNGSNKRSEVKPSTLKWKGGGGSKQVNSFMPLVDYWQETGTFTNALEKVESWIFSRIVESVWWQALTPYMQTPLEGSPVSKTIGKLLGPALGDQQQGSFSINLWINAFQDAFQRLCPVRAGGHECGCLPVIARLVMEQCVARLDVAMFNAILRESAHEIPTDPISDPIVDSRVLPIPAGDLSFGSGAQLKNAVGNWCRWLTEMFGMDSDDNLNEDQCSSQDDFRKNGDGESKSFLFLNSLSDLLMLPKDMLMDRSIREELCPSIDLPLVKRILCNFTPDEFCPDPVPGAVLEALNAETIVERRLSGDPARSFPYTAALVVYTPPSSSDVAEKVVEAGGKSPLSRNASVVQRKGYTSDEELEELNSPLSSIIEKFPLSPTIVTNGGVNGNHEHAGYGGINARYELLHEVWSV >KJB36074 pep chromosome:Graimondii2_0_v6:6:39624632:39630911:-1 gene:B456_006G139400 transcript:KJB36074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTGNKRRNSANVQSKHPGRTDRRNNKPNQQNGGKTLKAKEAESKALKARSDPNSKVSDSNVASEPIEVYENVIVHYVDDVNKSEETSPNPNGNKMATKLKKAEILDDCSSDIEGETTQQKEEESDSETIKDSASSLGDSLTAEDEREEGVLRASNGVHDNNLKDGSSHGSRMGSELETNKSHLKALNNTPKKSAKSNGVPHKNATKSYVEETLKVSPKSSSESSEGSNARHAEELKEVDVLDETPNGNKSFASDNEPVDAEDKFQVENEAALNEKINEMETRIEKLKAELREVAALEISLYSVVPEHGSSAHKLHTPARRLSRLYIHACKHWEQNKRATIAKNTVSGLILTAKSCGNDVSRLTFWLSNTIVLREIISQAFGNSRNSNPLARLPELNGSNKRSEVKPSTLKWKGGGGSKQVNSFMPLVDYWQETGTFTNALEKVESWIFSRIVESVWWQALTPYMQTPLEGSPVSKTIGKLLGPALGDQQQGSFSINLWINAFQDAFQRLCPVRAGGHECGCLPVIARLVMEQCVARLDVAMFNAILRESAHEIPTDPISDPIVDSRVLPIPAGDLSFGSGAQLKNAVGNWCRWLTEMFGMDSDDNLNEDQCSSQDDFRKNGDGESKSFLFLNSLSDLLMLPKDMLMDRSIREELCPSIDLPLVKRILCNFTPDEFCPDPVPGAVLEALNAETIVERRLSGDPARSFPYTAALVVYTPPSSSDVAEKVVEAGGKSPLSRNASVVQRKGYTSDEELEELNSPLSSIIEKFPLSPTIVTNGGVNGNHEHAGYGGINARYELLHEVWSV >KJB36072 pep chromosome:Graimondii2_0_v6:6:39624632:39630918:-1 gene:B456_006G139400 transcript:KJB36072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTGNKRRNSANVQSKHPGRTDRRNNKPNQQNGGKTLKAKEAESKALKARSDPNSKVSDSNVASEPIEVYENVIVHYVDDVNKSEETSPNPNGNKMATKLKKAEILDDCSSDIEGETTQQKEEESDSETIKDSASSLGDSLTAEDEREEGVLRASNGVHDNNLKDGSSHGSRMGSELETNKSHLKALNNTPKKSAKSNGVPHKNATKSYVEETLKVSPKSSSESSEGSNARHAEELKEVDVLDETPNGNKSFASDNEPVDAEDKFQVENEAALNEKINEMETRIEKLKAELREVAALEISLYSVVPEHGSSAHKLHTPARRLSRLYIHACKHWEQNKRATIAKNTVSGLILTAKSCGNDVSRLTFWLSNTIVLREIISQAFGNSRNSNPLARLPELNGSNKRSEVKPSTLKWKGGGGSKQVNSFMPLVDYWQETGTFTNALEKVESWIFSRIVESVWWQALTPYMQTPLEGSPVSKTIGKLLGPALGDQQQGSFSINLWINAFQDAFQRLCPVRAGGHECGCLPVIARLVMEQCVARLDVAMFNAILRESAHEIPTDPISDPIVDSRVLPIPAGDLSFGSGAQLKNAVGNWCRWLTEMFGMDSDDNLNEDQCSSQDDFRKNGDGESKSFLFLNSLSDLLMLPKDMLMDRSIREELCPSIDLPLVKRILCNFTPDEFCPDPVPGAVLEALNAETIVERRLSGDPARSFPYTAALVVYTPPSSSDVAEKVVEAGGKSPLSRNASVVQRKGYTSDEELEELNSPLSSIIEKFPLSPTIVTNGGVNGNHEHAGYGGINARYELLHEVWSV >KJB36073 pep chromosome:Graimondii2_0_v6:6:39624585:39630918:-1 gene:B456_006G139400 transcript:KJB36073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTGNKRRNSANVQSKHPGRTDRRNNKPNQQNGGKTLKAKEAESKALKARSDPNSKVSDSNVASEPIEVYENVIVHYVDDVNKSEETSPNPNGNKMATKLKKAEILDDCSSDIEGETTQQKEEESDSETIKDSASSLGDSLTAEDEREEGVLRASNGVHDNNLKDGSSHGSRMGSELETNKSHLKALNNTPKKSAKSNGVPHKNATKSYVEETLKVSPKSSSESSEGSNARHAEELKEVDVLDETPNGNKSFASDNEPVDAEDKFQVENEAALNEKINEMETRIEKLKAELREVAALEISLYSVVPEHGSSAHKLHTPARRLSRLYIHACKHWEQNKRATIAKNTVSGLILTAKSCGNDVSRLTFWLSNTIVLREIISQAFGNSRNSNPLARLPELNGSNKRSEVKPSTLKWKGGGGSKQVNSFMPLVDYWQETGTFTNALEKVESWIFSRIVESVWWQALTPYMQTPLEGSPVSKTIGKLLGPALGDQQQGSFSINLWINAFQDAFQRLCPVRAGGHECGCLPVIARLVMEQCVARLDVAMFNAILRESAHEIPTDPISDPIVDSRVLPIPAGDLSFGSGAQLKNAVGNWCRWLTEMFGMDSDDNLNEDQCSSQDDFRKNGDGESKSFLFLNSLSDLLMLPKDMLMDRSIREELCPSIDLPLVKRILCNFTPDEFCPDPVPGAVLEALNAETIVERRLSGDPARSFPYTAALVVYTPPSSSDVAEKVVEAGGKSPLSRNASVVQRKGYTSDEELEELNSPLSSIIEKFPLSPTIVTNGGVNGNHEHAGYGGINARYELLHEVWSV >KJB36076 pep chromosome:Graimondii2_0_v6:6:39625627:39628666:-1 gene:B456_006G139400 transcript:KJB36076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTGNKRRNSANVQSKHPGRTDRRNNKPNQQNGGKTLKAKEAESKALKARSDPNSKVSDSNVASEPIEVYENVIVHYVDDVNKSEETSPNPNGNKMATKLKKAEILDDCSSDIEGETTQQKEEESDSETIKDSASSLGDSLTAEDEREEGVLRASNGVHDNNLKDGSSHGSRMGSELETNKSHLKALNNTPKKSAKSNGVPHKNATKSYVEETLKVSPKSSSESSEGSNARHAEELKEVDVLDETPNGNKSFASDNEPVDAEDKFQVENEAALNEKINEMETRIEKLKAELREVAALEISLYSVVPEHGSSAHKLHTPARRLSRLYIHACKHWEQNKRATIAKNTVSGLILTAKSCGNDVSRLTFWLSNTIVLREIISQAFGNSRNSNPLARLPELNGSNKRSEVKPSTLKWKGGGGSKQVNSFMPLVDYWQETGTFTNALEKVESWIFSRIVESVWWQALTPYMQTPLEGSPVSKTIGKLLGPALGDQQQGSFSINLWINAFQDAFQRLCPVRAGGHECGCLPVIARLVMEQCVARLDVAMFNAILRESAHEIPTDPISDPIVDSRVLPIPAGDLSFGSGAQLKNAVGNWCRWLTEMFGMDSDDNLNEDQCSSQDDFRKNGDGESKSFLFLNSLSDLLMLPKDMLMDRSIREEVDF >KJB34727 pep chromosome:Graimondii2_0_v6:6:32255073:32256779:-1 gene:B456_006G087700 transcript:KJB34727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSRGREGGSLIDRHVESMNAKCSTCVFFEGLWSFSSSKLDPVLKFQVLESIKLCWVYPISVSLEFFFMAQGRSSCCGIGPLLLRTMLEPIPHCYCYRASFQTTVTLHWEIQRKSKELNVGYRTMYFL >KJB36729 pep chromosome:Graimondii2_0_v6:6:43250576:43253853:-1 gene:B456_006G173500 transcript:KJB36729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKLSCLENHENDLLSAVQTGDLDMVKAMVEADPVTLKSTTRYGKLSILHVAAIHGQIEILSFLLDRHPNSDILNRHRQTPLMLAAMHGKTDCVKRLIQSGSYVLMFDSLQGRTCLHYAAYYGHFDCLQALLSAAHSSPLADSWGFARFVNIRDENGATPLHLAAREGWSDCVHALLDNGALVCASTGGNGYPGSTPLHFAARGGSIECIRELLAWGADRLQPDSYGRIPYLIALKHKHDACAALLNPASAEPLVWPLPLRFISELNPEAKELLEKALMEANRERERAILKDTVHELPSASQYEVEADDTASEASDIDVCCICFDRLCTIEIRQCGHRMCAHCILALCCHKKPNPLTASPLVLVCPFCRRGITQLVVAKIDNNESEADASPLRLSSGMMTNVDTGAEFSPSKPTKSRKSNVSEGSSSFKGLSAISSFGKMASHSPGKVPAECSEETEKF >KJB38529 pep chromosome:Graimondii2_0_v6:6:50031315:50035436:1 gene:B456_006G259300 transcript:KJB38529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSICFQATGVPRSNYIFDSCSPALAISKPINLRFCGLRKEAFGFSGLTHSSSGRVRFSSRGHSKKVSASAESNGSPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGKMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGPQKVKYGKVGFPDNIVTAKNIIIATGSVPFVPKGIEVDGKTVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFATKITPAKDGKPVIIELIDAKTKEPKDTLEVDAALIATGRAPFTNGLGLENVNVVTQRGFVPVDERMRVIDTNGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAQKEGFEVGVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKVSF >KJB38532 pep chromosome:Graimondii2_0_v6:6:50031140:50035868:1 gene:B456_006G259300 transcript:KJB38532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSICFQATGVPRSNYIFDSCSPALAISKPINLRFCGLRKEAFGFSGLTHSSSGRVRFSSRGHSKKVSASAESNGSPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGKMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGGPQKVKYGKVGFPDNIVTAKNIIIATGSVPFVPKGIEVDGKTVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFATKITPAKDGKPVIIELIDAKTKEPKDTLEVDAALIATGRAPFTNGLGLENVNVVTQRGFVPVDERMRVIDTNGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAQKEGFEVGVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKVKAAALSHGPVSEPVAV >KJB38530 pep chromosome:Graimondii2_0_v6:6:50031140:50035868:1 gene:B456_006G259300 transcript:KJB38530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSICFQATGVPRSNYIFDSCSPALAISKPINLRFCGLRKEAFGFSGLTHSSSGRVRFSSRGHSKKVSASAESNGSPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGKMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGPQKVKYGKVGFPDNIVTAKNIIIATGSVPFVPKGIEVDGKTVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFATKITPAKDGKPVIIELIDAKTKEPKDTLEVYAALIATGRAPFTNGLGLENVNVVTQRGFVPVDERMRVIDTNGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAQKEGFEVGVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKVKAAALSHGPVSEPVAV >KJB38528 pep chromosome:Graimondii2_0_v6:6:50031124:50035919:1 gene:B456_006G259300 transcript:KJB38528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSICFQATGVPRSNYIFDSCSPALAISKPINLRFCGLRKEAFGFSGLTHSSSGRVRFSSRGHSKKVSASAESNGSPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGKMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGPQKVKYGKVGFPDNIVTAKNIIIATGSVPFVPKGIEVDGKTVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFATKITPAKDGKPVIIELIDAKTKEPKDTLEVDAALIATGRAPFTNGLGLENVNVVTQRGFVPVDERMRVIDTNGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAQKEGFEVGVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKVKAAALSHGPVSEPVAV >KJB38531 pep chromosome:Graimondii2_0_v6:6:50031140:50035868:1 gene:B456_006G259300 transcript:KJB38531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSICFQATGVPRSNYIFDSCSPALAISKPINLRFCGLRKEAFGFSGLTHSSSGRVRFSSRGHSKKVSASAESNGSPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGKMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGPQKVKYGKVGFPDNIVTAKNIIIATGSVPFVPKGIEVDGKTVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFATKITPAKDGKPVIIELIDAKTKEPKDTLEVCPLAPFTNGLGLENVNVVTQRGFVPVDERMRVIDTNGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAQKEGFEVGVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKVKAAALSHGPVSEPVAV >KJB33475 pep chromosome:Graimondii2_0_v6:6:2689723:2695126:1 gene:B456_006G012600 transcript:KJB33475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYLTPKSPLIINPSKNSHPSQTTPLFLFLLPSFSFHSPNSFLSHGSTFLTMPPQSNFSLSRWFGLFQLPNSMPERSDNGSVSGEGNEENPISYTVECYACTQVGVPVFHSTSCDQAHPPEWEASAGSSLVPIQARTASKQKKTQQPAAPNTRRPSGPFGRVLDPRTKRVQNWNRAFLLARAMALAIDPLFFYALSIGRGGSPCLYMDGGLAAIVTVLRTCVDAVHLFHLWLQFRLAYVSRESLVVGCGKLVWDARAIASHYVRSLKGFWFDVFVILPVPQAVFWLVVPKLIREEQIKIIMTILLLIFLFQFLPKVYHIICLMRRMQKVTGYIFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRVASCLRQQCARNEQCKLSLSCSEEVCYQFLFPAEAVGNTCGGNSTNVIGKPLCLEVHGPFNYGIYQWALPVVSSNSVAVKILYPIFWGLMSLSTFGNDLEPTSHWLEVMFSICIVLAGLMLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMKRRQLPSRLRQRVRHYERQKWATLGGEDEMELIKDLPEGLRRDIKRFLCLDLIKKVPLFHNLNDLILDNICDRVKPLVFSKDEKIIREGDPVQRMVFVVRGRIKRIQSLSKGVVATSLIESGGFLGDELLSWCLRRPFIDRLPASSATFVCVEPIEAFSLDSNHLKYITDHFRYKFANERLKRTARYYSSNWRTWAAVNIQLGWRRYRTRTRGPMISAAENGNSSDRRLLQYAAMFMSIRPHDHLE >KJB33476 pep chromosome:Graimondii2_0_v6:6:2690027:2695126:1 gene:B456_006G012600 transcript:KJB33476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERSDNGSVSGEGNEENPISYTVECYACTQVGVPVFHSTSCDQAHPPEWEASAGSSLVPIQARTASKQKKTQQPAAPNTRRPSGPFGRVLDPRTKRVQNWNRAFLLARAMALAIDPLFFYALSIGRGGSPCLYMDGGLAAIVTVLRTCVDAVHLFHLWLQFRLAYVSRESLVVGCGKLVWDARAIASHYVRSLKGFWFDVFVILPVPQAVFWLVVPKLIREEQIKIIMTILLLIFLFQFLPKVYHIICLMRRMQKVTGYIFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRVASCLRQQCARNEQCKLSLSCSEEVCYQFLFPAEAVGNTCGGNSTNVIGKPLCLEVHGPFNYGIYQWALPVVSSNSVAVKILYPIFWGLMSLSTFGNDLEPTSHWLEVMFSICIVLAGLMLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMKRRQLPSRLRQRVRHYERQKWATLGGEDEMELIKDLPEGLRRDIKRFLCLDLIKKVPLFHNLNDLILDNICDRVKPLVFSKDEKIIREGDPVQRMVFVVRGRIKRIQSLSKGVVATSLIESGGFLGDELLSWCLRRPFIDRLPASSATFVCVEPIEAFSLDSNHLKYITDHFRYKFANERLKRTARYYSSNWRTWAAVNIQLGWRRYRTRTRGPMISAAENGNSSDRRLLQYAAMFMSIRPHDHLE >KJB33477 pep chromosome:Graimondii2_0_v6:6:2690043:2695126:1 gene:B456_006G012600 transcript:KJB33477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQSNFSLSRWFGLFQLPNSMPERSDNGSVSGEGNEENPISYTVECYACTQVGVPVFHSTSCDQAHPPEWEASAGSSLVPIQARTASKQKKTQQPAAPNTRRPSGPFGRVLDPRTKRVQNWNRAFLLARAMALAIDPLFFYALSIGRGGSPCLYMDGGLAAIVTVLRTCVDAVHLFHLWLQFRLAYVSRESLVVGCGKLVWDARAIASHYVRSLKGFWFDVFVILPVPQAVFWLVVPKLIREEQIKIIMTILLLIFLFQFLPKVYHIICLMRRMQKVTGYIFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRVASCLRQQCARNEQCKLSLSCSEEVCYQFLFPAEAVGNTCGGNSTNVIGKPLCLEVHGPFNYGIYQWALPVVSSNSVAVKILYPIFWGLMSLSTFGNDLEPTSHWLEVMFSICIVLAGLMLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMKRRQLPSRLRQRVRHYERQKWATLGGEDEMELIKDLPEGLRRDIKRFLCLDLIKKVPLFHNLNDLILDNICDRVKPLVFSKDEKV >KJB34719 pep chromosome:Graimondii2_0_v6:6:30637318:30638255:1 gene:B456_006G079800 transcript:KJB34719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVPGMMSSGSEPDAQGVNVDEKKRKRMISNRESARRSRMKKQKLLEDLVTEVALLKVQIHKNTNKYEALMQKIVVLESENNALKVQQMELAQYLKNLQLMQTQMELLEFNLMNQPGRTLCDIIVDINEPPKVQSWQCHGSNQPAIMASTEMLNY >KJB35055 pep chromosome:Graimondii2_0_v6:6:33835290:33841765:1 gene:B456_006G098400 transcript:KJB35055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDSKDDEEEREQVMSEVHLGCPPGISGPHISRFTICLPSGVESSRFNGLFKEEESCTDQEISFDEDGDLILPRRRQISRRCFTMKIQHNITSPIPNVGLQVWKAELILSDFVLHKMCTSMEFHGIVSLELGAGTGLAGMLLAHAAKTVFLTDHGDQILENCLKNVQLNSGVLNHQKVVYVRELDWTHPWPPKVSSDLATQERFSWSSSELEEVQKASLLLAADVIYSDDLTDALFGILERIMSQGSEKVLYLALEKRYNFSLDDLDVVANGYLNFRSYLKDDSECEGLELGSLPCFMGKCIDVAEIPQYVGGYDRGDDVELWEIRYSKGKL >KJB35054 pep chromosome:Graimondii2_0_v6:6:33835290:33841562:1 gene:B456_006G098400 transcript:KJB35054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDSKDDEEEREQVMSEVHLGCPPGISGPHISRFTICLPSGVESSRFNGLFKEEESCTDQEISFDEDGDLILPRRRQISRRCFTMKIQHNITSPIPNVGLQVWKAELILSDFVLHKMCTSMEFHGIVSLELGAGTGLAGMLLAHAAKTVFLTDHGDQILENCLKNVQLNSGVLNHQKVVYVRELDWTHPWPPKVSSDLATQERFSWSSSELEEVQKASLLLAADVIYSDDLTDALFGILERIMSQGSEKVLYLALEKRYNFSLDDLDVVANGYLNFRSYLKDDSECEGLELGSLPCFMGKCIDVAEIPQYVGGYDRGDDVELWEIRYSKGKL >KJB37778 pep chromosome:Graimondii2_0_v6:6:47204477:47205131:-1 gene:B456_006G219500 transcript:KJB37778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGHVLVFLVSVFFFIISSSVDGSQYKQLSTIHVMNSMAKGSGPMQIRCKSRYTDYGMQQFGEGTEYRCGVREKAVYYCMGMTGRRIASWHAFQPRRDGNRKAVFWLVKENGIFLSWDNSTWVRKSDWETD >KJB33851 pep chromosome:Graimondii2_0_v6:6:9100777:9101589:1 gene:B456_006G034400 transcript:KJB33851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFHLFGTLDKLKQAIYYTRRSHFDVQDFYNEAITSLLAVEDGKINIAAIISWVSSGFCHRKRSNNAAGMTPASSTTGGNREASMASSSCD >KJB35501 pep chromosome:Graimondii2_0_v6:6:36697758:36698269:-1 gene:B456_006G117600 transcript:KJB35501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFLPSTSMNFFKGFSKFIWIHGGQTSRPPSSFIMKLSILNKLPMALLNFWSTSTSKYSMDKRKLVKLEN >KJB33515 pep chromosome:Graimondii2_0_v6:6:3170008:3174870:-1 gene:B456_006G014800 transcript:KJB33515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRNSYGKRSHSHSGYSENGSNKRRNAGDDREQFVIDSDDTVYRYLCPARKIGSIIGRGGEIVKQLRAETKSKIRIGETIPGSDERVVTIYSSRDERNALEDGDTFVSPAQDALFRVHDKVVAEDLHSDDESEGHQITARLLVSSDQIGCVIGKGGQIVQNIRSETGAQIRILKDDLPSCALSTDELVQISGEAAVVKKALHQIASRLHENPSRSQHLLASAVSNAYPAAGAMLGPAAGAQIVGITSLVGPYGRYKGDTGEWPRSMYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAAIKVDSSTTDGDDCLITISAKEFFEDTYSPAIEAAARLQPRCSEKVERDSGIVSFTTRLLVPTSRIGCLIGKGGAIVTEMRRITKANIRILSKENLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANLFDREGAVNALVPVLPYLHVPTEGTDSLSYESREGKRHGRVHSFSGGYGSSDLAASDGYGSYGGLQIGSTTGAYGAYSSGRGGPSGKSAWG >KJB33517 pep chromosome:Graimondii2_0_v6:6:3171119:3173609:-1 gene:B456_006G014800 transcript:KJB33517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRNSYGKRSHSHSGYSENGSNKRRNAGDDREQFVIDSDDTVYRYLCPARKIGSIIGRGGEIVKQLRAETKSKIRIGETIPGSDERVVTIYSSRDERNALEDGDTFVSPAQDALFRVHDKVVAEDLHSDDESEGHQITARLLVSSDQIGCVIGKGGQIVQNIRSETGAQIRILKDDLPSCALSTDELVQISGEAAVVKKALHQIASRLHENPSRSQHLLASAVSNAYPAAGAMLGPAAGAQIVGITSLVGPYGRYKGDTGEWPRSMYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAAIKVDSSTTDGDDCLITISAKEFFEDTYSPAIEAAARLQPRCSEKVERDSGIVSFTTRLLVPTSRIGCLIGKGGAIVTEMRRITKANIRILSKENLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANLFDREGAVNALVPVLPYLHVPTEGTDSLSYESREGKRHGRVHSFSGGYGSSDLAASDGYGSYGGLQIGSTTGAYGAYSSGRGGPSG >KJB33518 pep chromosome:Graimondii2_0_v6:6:3168815:3174924:-1 gene:B456_006G014800 transcript:KJB33518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRNSYGKRSHSHSGYSENGSNKRRNAGDDREQFVIDSDDTVYRYLCPARKIGSIIGRGGEIVKQLRAETKSKIRIGETIPGSDERVVTIYSSRDERNALEDGDTFVSPAQDALFRVHDKVVAEDLHSDDESEGHQITARLLVSSDQIGCVIGKGGQIVQNIRSETGAQIRILKDDLPSCALSTDELVQISGEAAVVKKALHQIASRLHENPSRSQHLLASAVSNAYPAAGAMLGPAAGAQIVGITSLVGPYGRYKGDTGEWPRSMYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAAIKVDSSTTDGDDCLITISAKEFFEDTYSPAIEAAARLQPRCSEKVERDSGIVSFTTRLLVPTSRIGCLIGKGGAIVTEMRRITKANIRILSKENLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANLFDREGAVNALVPVLPYLHVPTEGTDSLSYESREGKRHGRVHSFSGGYGSSDLAASDGYGSYGGLQIGSTTGAYGAYSSGRGGPSGLSSHTSVSRR >KJB33516 pep chromosome:Graimondii2_0_v6:6:3171119:3173609:-1 gene:B456_006G014800 transcript:KJB33516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRNSYGKRSHSHSGYSENGSNKRRNAGDDREQFVIDSDDTVYRYLCPARKIGSIIGRGGEIVKQLRAETKSKIRIGETIPGSDERVVTIYSSRDERNALEDGDTFVSPAQDALFRVHDKVVAEDLHSDDESEGHQITARLLVSSDQIGCVIGKGGQIVQNIRSETGAQIRILKDDLPSCALSTDELVQISGEAAVVKKALHQIASRLHENPSRSQHLLASAVSNAYPAAGAMLGPAAGAQIVGITSLVGPYGRYKGDTGEWPRSMYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAAIKVDSSTTDGDDCLITISAKEFFEDTYSPAIEAAARLQPRCSEKVERDSGIVSFTTRLLVPTSRIGCLIGKGGAIVTEMRRITKANIRILSKENLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANLFDREGAVNALVPVLPYLHVPTEGTDSLSYESREGKRHGRVHSFSGGYGSSDLAASDGYGSYGGLQIGSTTGAYGAYSSGRGGPSG >KJB33514 pep chromosome:Graimondii2_0_v6:6:3171028:3173609:-1 gene:B456_006G014800 transcript:KJB33514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRNSYGKRSHSHSGYSENGSNKRRNAGDDREQFVIDSDDTVYRYLCPARKIGSIIGRGGEIVKQLRAETKSKIRIGETIPGSDERVVTIYSSRDERNALEDGDTFVSPAQDALFRVHDKVVAEDLHSDDESEGHQITARLLVSSDQIGCVIGKGGQIVQNIRSETGAQIRILKDDLPSCALSTDELVQISGEAAVVKKALHQIASRLHENPSRSQHLLASAVSNAYPAAGAMLGPAAGAQIVGITSLVGPYGRYKGDTGEWPRSMYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAAIKVDSSTTDGDDCLITISAKEFFEDTYSPAIEAAARLQPRCSEKVERDSGIVSFTTRLLVPTSRIGCLIGKGGAIVTEMRRITKANIRILSKENLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANLFDREGAVNALVPVLPYLHVPTEGTDSLSYESREGKRHGRVHSFSGGYGSSDLAASDGYGSYGGLQIGSTTGAYGAYSSGRGGPSGKSAWG >KJB33513 pep chromosome:Graimondii2_0_v6:6:3170008:3174870:-1 gene:B456_006G014800 transcript:KJB33513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRNSYGKRSHSHSGYSENGSNKRRNAGDDREQFVIDSDDTVYRYLCPARKIGSIIGRGGEIVKQLRAETKSKIRIGETIPGSDERVVTIYSSRDERNALEDGDTFVSPAQDALFRVHDKVVAEDLHSDDESEGHQITARLLVSSDQIGCVIGKGGQIVQNIRSETGAQIRILKDDLPSCALSTDELVQISGEAAVVKKALHQIASRLHENPSRSQHLLASAVSNAYPAAGAMLGPAAGAQIVGITSLVGPYGRYKGDTGEWPRSMYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAAIKVDSSTTDGDDCLITISAKEFFEDTYSPAIEAAARLQPRCSEKVERDSGIVSFTTRLLVPTSRIGCLIGKGGAIVTEMRRITKANIRILSKENLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANLFDREGAVNALVPVLPYLHVPTEGTDSLSYESREGKRHGRVHSFSGGYGSSDLAASDGYGSYGGLQIGSTTGAYGAYSSGRGGPSGLSSHTSVSRR >KJB33739 pep chromosome:Graimondii2_0_v6:6:7252338:7254104:1 gene:B456_006G028300 transcript:KJB33739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFAFATSLFLFLIEICQQVDGIGSKCDIYKGKWVFDASYPLYNSSNCPFIHQEFNCQNNGRPDRLYQNFRWQPTSCNLPRFNGKDFLRKFRGKRIMFVGDSLGLNQWQSLSCLLHTATPQDPYISQRVAAISTFSFPTYGVSIMFLRNAFLVDIVNKKDRRVLKLNSIGNGRIWKGYDVLIFDTWHWWLLTGRKQPWDYVQDNNITRKDMNRTVAYKKALRTWARWVNLNVDPAKTKVFFQGVSPDHVDSRDWADPTAKTCRGETWPILSTEYPGGSPSPQDVLKRVLRIVSKKVHLLDITGLSQLRKDGHPSAFGYGGHRGNDCTHWCLPGVPDTWNELLFATLIQT >KJB37871 pep chromosome:Graimondii2_0_v6:6:47589697:47592413:-1 gene:B456_006G223800 transcript:KJB37871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVNTWGNSSLESVDPEMHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRSRALQAFHLDPTKWGVNVQPYSGSPANFAAYTAVLEPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNPSNGYLDYDKLEEKALDFRPKLIICGGSAYPRDWDYAKFRAVADKCGALLMCDMAHISGLVAAQEAANPFEFCDLVTTTTHKSLRGPRAGMIFYRKGPRPPKKGQPEGAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQSMSPGFKAYAKQVKANAVAIGKYLMSKGYQLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCSITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHRAVTMTLDIQKQYGKLLKDFNKGLENNKEIEKLKADVEKFASSFDMPGFKMSEMKYKN >KJB37870 pep chromosome:Graimondii2_0_v6:6:47589526:47592469:-1 gene:B456_006G223800 transcript:KJB37870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVNTWGNSSLESVDPEMHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRSRALQAFHLDPTKWGVNVQPYSGSPANFAAYTAVLEPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNPSNGYLDYDKLEEKALDFRPKLIICGGSAYPRDWDYAKFRAVADKCGALLMCDMAHISGLVAAQEAANPFEFCDLVTTTTHKSLRGPRAGMIFYRKGPRPPKKGQPEGAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQSMSPGFKAYAKQVKANAVAIGKYLMSKGYQLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCSITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHRAVTMTLDIQKQYGKLLKDFNKGLENNKEIEKLKADVEKFASSFDMPGFKMSEMKYKN >KJB35591 pep chromosome:Graimondii2_0_v6:6:37115603:37118655:-1 gene:B456_006G120800 transcript:KJB35591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKTLDSDLDFAFQLQMQEAFSASLSVHHPSSSLDVTLSPPSDMGFDYVTLMLQDIERFEMERKDREESEEEMRRFRNDLNRSIYDQNFARYIMNVPEQEWNNYGDNYERPYDGNVVEAQNEGFRVYVKGLVSEERIREMKVTVGGVGVAICDFWNNLVLELRKKLDGAEFMTGEMAGVEAVIHGLNAALSLDLKRVTLFVDDFLVYQFITGRQQPGQSKLGTKVNEVSLLQKRLTYFQPSFAARNDMSFVFKLARDAIVSQITWPVETSNGKGLKETCMICFEDTDATQMFTVDGCFHRYCFSCMKQHVEVKLLNAMVASCPREGCNTEVTIDSCGKFLDPKLVEIMSNRKKEASIAVSEKVYCAFPRCSALMSKSEVLQYTRTVMLVAEQSGARKCVKCHRFFCIYCKVPWHFDMTCIDYKRLNPHPASEEAMLNTLATKKRWRECIKCKHVIELAGGCYHITCRCGFEFCYTCGAEWRNKKPTCSCPIWDEPNIIHNRQRRQ >KJB33679 pep chromosome:Graimondii2_0_v6:6:6540056:6544069:1 gene:B456_006G025900 transcript:KJB33679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRSYYMQFRHNNNQAMERKWIFPLAVGSIVSLFLLFLTTLTSFDGSPFLFFYRSSAVTGGSSPFVENQLKPIPISTLPPPPRFAYLISGSAGDCRMLKRTLLALYHPLNQYVVHLDREASSEERLDLEKFVKDHPVFNKVGNVRMIVKANLVTYRGPTMVANTLHAAAILLKEGGDWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFIDHTSNIGWKEFQRAKPIIIDPGLYSMRKADVFWVTQKRSVPTAFKLFTGSAWMALSRPFVDYCIWGWDNLPRTVLMYYANFLSSPEGLLTCNG >KJB33678 pep chromosome:Graimondii2_0_v6:6:6540047:6544098:1 gene:B456_006G025900 transcript:KJB33678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRSYYMQFRHNNNQAMERKWIFPLAVGSIVSLFLLFLTTLTSFDGSPFLFFYRSSAVTGGSSPFVENQLKPIPISTLPPPPRFAYLISGSAGDCRMLKRTLLALYHPLNQYVVHLDREASSEERLDLEKFVKDHPVFNKVGNVRMIVKANLVTYRGPTMVANTLHAAAILLKEGGDWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFIDHTSNIGWKEFQRAKPIIIDPGLYSMRKADVFWVTQKRSVPTAFKLFTGSAWMALSRPFVDYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNAQEFRNSTVNSDLHFISWDNPPKQHPHLLRLADMQRMIDSNAPFARKFPRDDPVLDKIDSEILSRGPDMFTPGGWCVGSGKNGTDPCTVIGNKTVIRPGPGAKRLEKLISSLLSNDNFRPRQCK >KJB34368 pep chromosome:Graimondii2_0_v6:6:31551478:31552649:-1 gene:B456_006G083200 transcript:KJB34368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGRRLSTSRSERYLGSYGYGHNQGETVTDETELGEDDVWSMVDTVSDRHDQGDDNPRSEWTTRVEHESNGNGNLGRRRIPRDERHVGGLSLAFEDSSSSKPRIVHQFRGHDKVAAAASPRGHKMATSAPVNVPDWSKIYRVDSVESIHDSDDGESEEMMPPHEYLAREYARSKKSGGASVFEGVGRTLKGRDLRRVRDAVWSQTGFDGTQNQLNYD >KJB34367 pep chromosome:Graimondii2_0_v6:6:31551743:31552375:-1 gene:B456_006G083200 transcript:KJB34367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGRRLSTSRSERYLGSYGYGHNQGETVTDETELGEDDVWSMVDTVSDRHDQGDDNPRSEWTTRVEHESNGNGNLGRRRIPRDERHVGGLSLAFEDSSSSKPRIVHQFRGHDKVAAAASPRGHKMATSAPVNVPDWSKIYRVDSVESIHDSDDGESEEMMPPHEYLAREYARSKKSGGASVFEGVGRTLKGRDLRRVRDAVWSQTGFDG >KJB35320 pep chromosome:Graimondii2_0_v6:6:35324366:35328569:1 gene:B456_006G109100 transcript:KJB35320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQISPKLLIPESFQVSRDDITGQLGLIWELIKTPLIVPLLQLGVYICLAMSLMLFMERVYMGIVIILVKLFWKKPEKRYKFEFIQDDVELGSSNFPVVLIQIPMFNEKEVYKISIGAACGLSWPSDRLVIQVLDDSTDPAIKLMVEQECQRWASKGINITYQIRENRTGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDFLRRSIPFLVNNPDIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVWEIIQNKKVKFWKKVYVIYSFFFVRKIIAHMVTFCFYCVVLPLTILIPEVWVPIWGAVYIPSVITILNSVGTPRSIHLLFYWILFENVMSLHRTKATFIGLLEAGRANEWVVTEKLGDAVKKAADAAKNKNNAKAPRKLKFKFTDRLNTLELGFAAFLFLCGCYDFVHGKNNYFVYLFLQTITFFITGIGYVGTII >KJB35318 pep chromosome:Graimondii2_0_v6:6:35324366:35328569:1 gene:B456_006G109100 transcript:KJB35318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQISPKLLIPESFQVSRDDITGQLGLIWELIKTPLIVPLLQLGVYICLAMSLMLFMERVYMGIVIILVKLFWKKPEKRYKFEFIQDDVELGSSNFPVVLIQIPMFNEKEVYKISIGAACGLSWPSDRLVIQVLDDSTDPAIKLMVEQECQRWASKGINITYQIRENRTGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDFLRRSIPFLVNNPDIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVWEIIQNKKVKFWKKVYVIYSFFFVRKIIAHMVTFCFYCVVLPLTILIPEVWVPIWGAVYIPSVITILNSVGTPRYDNGSILCSPACLLQLAKTKIFAWFLADQSTYCFTGSCSRM >KJB35316 pep chromosome:Graimondii2_0_v6:6:35324623:35327320:1 gene:B456_006G109100 transcript:KJB35316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQISPKLLIPESFQVSRDDITGQLGLIWELIKTPLIVPLLQLGVYICLAMSLMLFMERVYMGIVIILVKLFWKKPEKRYKFEFIQDDVELGSSNFPVVLIQIPMFNEKEVYKISIGAACGLSWPSDRLVIQVLDDSTDPAIKLMVEQECQRWASKGINITYQIRENRTGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDFLRRSIPFLVNNPDIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVWEIIQNKVRTFAVNHAAKFHFFYIFIMLIDLFRNTCCRK >KJB35317 pep chromosome:Graimondii2_0_v6:6:35324366:35328569:1 gene:B456_006G109100 transcript:KJB35317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQECQRWASKGINITYQIRENRTGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDFLRRSIPFLVNNPDIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVWEIIQNKKVKFWKKVYVIYSFFFVRKIIAHMVTFCFYCVVLPLTILIPEVWVPIWGAVYIPSVITILNSVGTPRSIHLLFYWILFENVMSLHRTKATFIGLLEAGRANEWVVTEKLGDAVKKAADAAKNKNNAKAPRKLKFKFTDRLNTLELGFAAFLFLCGCYDFVHGKNNYFVYLFLQTITFFITGIGYVGTII >KJB35322 pep chromosome:Graimondii2_0_v6:6:35326841:35328569:1 gene:B456_006G109100 transcript:KJB35322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSHLFINECNESHKKMNAGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVWEIIQNKKVKFWKKVYVIYSFFFVRKIIAHMVTFCFYCVVLPLTILIPEVWVPIWGAVYIPSVITILNSVGTPRSIHLLFYWILFENVMSLHRTKATFIGLLEAGRANEWVVTEKLGDAVKKAADAAKNKNNAKAPRKLKFKFTDRLNTLELGFAAFLFLCGCYDFVHGKNNYFVYLFLQTITFFITGIGYVGTII >KJB35315 pep chromosome:Graimondii2_0_v6:6:35324273:35328569:1 gene:B456_006G109100 transcript:KJB35315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQISPKLLIPESFQVSRDDITGQLGLIWELIKTPLIVPLLQLGVYICLAMSLMLFMERVYMGIVIILVKLFWKKPEKRYKFEFIQDDVELGSSNFPVVLIQIPMFNEKEVYKISIGAACGLSWPSDRLVIQVLDDSTDPAIKLMVEQECQRWASKGINITYQIRENRTGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDFLRRSIPFLVNNPDIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVWEIIQNKKVKFWKKVYVIYSFFFVRKIIAHMVTFCFYCVVLPLTILIPEVWVPIWGAVYIPSVITILNSVGTPRSIHLLFYWILFENVMSLHRTKATFIGLLEAGRANEWVVTEKLGDAVKKAADAAKNKNNAKAPRKLKFKFTDRLNTLELGFAAFLFLCGCYDFVHGKNNYFVYLFLQTITFFITGIGYVGTII >KJB35321 pep chromosome:Graimondii2_0_v6:6:35324366:35328569:1 gene:B456_006G109100 transcript:KJB35321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQECQRWASKGINITYQIRENRTGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDFLRRSIPFLVNNPDIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVWEIIQNKKVKFWKKVYVIYSFFFVRKIIAHMVTFCFYCVVLPLTILIPEVWVPIWGAVYIPSVITILNSVGTPRSIHLLFYWILFENVMSLHRTKATFIGLLEAGRANEWVVTEKLGDAVKKAADAAKNKNNAKAPRKLKFKFTDRLNTLELGFAAFLFLCGCYDFVHGKNNYFVYLFLQTITFFITGIGYVGTII >KJB35319 pep chromosome:Graimondii2_0_v6:6:35324366:35328569:1 gene:B456_006G109100 transcript:KJB35319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQISPKLLIPESFQVSRDDITGQLGLIWELIKTPLIVPLLQLGVYICLAMSLMLFMERVYMGIVIILVKLFWKKPEKRYKFEFIQDDVELGSSNFPVVLIQIPMFNEKEVYKISIGAACGLSWPSDRLVIQVLDDSTDPAIKLMVEQECQRWASKGINITYQIRENRTGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDFLRRSIPFLVNNPDIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVWEIIQNKKVKFWKKVYVIYSFFFVRKIIAHMVTFCFYCVVLPLTILIPEVWVPIWGAVYIPSVITILNSVGTPRSIHLLFYWILFENVMSLHRTKATFIGLLEAGRANEWVVTEKLGDAVKKAADAAKNKNNAKAPRKLKFKFTDR >KJB35639 pep chromosome:Graimondii2_0_v6:6:37429689:37431626:-1 gene:B456_006G122800 transcript:KJB35639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVEHRVIKVNGINMHVAEKGEGPLILFIHGFPELWYSWRHQIASLSSKGYRAVAPDLRGYGDTDAPDLVTSYTCFHIVGDLVQLLNIIAPNEHKLLVVGHDWGAAIAWYLCLFRPDKVKAVFNVSVPFIPHNPQMNPIDGWRAIYGNDYYMCRFQQPGEIEAEFAEMGTETVVKAFLTYRVPGPIMLPKGKPFGHSADTPIALPSWLSEEEVHYYVSKFDNKSGFTGGINYYRNLHRNWELMAPWTGCDVKVAAKFVVSDLDLVYHMPGMKEYIHNGGFKKDVPMLEEVVVMEGVGHFIHMEKPDEINSLIYDFFRQFD >KJB34646 pep chromosome:Graimondii2_0_v6:6:32021461:32022090:-1 gene:B456_006G085600 transcript:KJB34646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLWNDKREREMYENFAELYAIIKATEKLEKAYVRDIISSSEYETECQKLIAHFKTLASTLKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAAASATTSAAIVAECVQNFITAMDSLKLNMVAVDQVYPLLSDLSASLNKLGILPPDFEGKIKMREWISRLAKMGAADELTEQQARQLHFDLESSYNSFMAALPNAGT >KJB34642 pep chromosome:Graimondii2_0_v6:6:32021461:32022090:-1 gene:B456_006G085600 transcript:KJB34642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLWNDKREREMYENFAELYAIIKATEKLEKAYVRDIISSSEYETECQKLIAHFKTLASTLKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAAASATTSAAIVAECVQNFITAMDSLKLNMVAVDQVYPLLSDLSASLNKLGILPPDFEGKIKMREWISRLAKMGAADELTEQQARQLHFDLESSYNSFMAALPNAGT >KJB34643 pep chromosome:Graimondii2_0_v6:6:32021194:32022598:-1 gene:B456_006G085600 transcript:KJB34643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLWNDKREREMYENFAELYAIIKATEKLEKAYVRDIISSSEYETECQKLIAHFKTLASTLKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAAASATTSAAIVAECVQNFITAMDSLKLNMVAVDQVYPLLSDLSASLNKLGILPPDFEGKIKMREWISRLAKMGAADELTEQQARQLHFDLESSYNSFMAALPNAGT >KJB34648 pep chromosome:Graimondii2_0_v6:6:32021194:32022795:-1 gene:B456_006G085600 transcript:KJB34648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLWNDKREREMYENFAELYAIIKATEKLEKAYVRDIISSSEYETECQKLIAHFKTLASTLKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAAASATTSAAIVAECVQNFITAMDSLKLNMVAVDQVYPLLSDLSASLNKLGILPPDFEGKIKMREWISRLAKMGAADELTEQQARQLHFDLESSYNSFMAALPNAGT >KJB34647 pep chromosome:Graimondii2_0_v6:6:32021194:32022602:-1 gene:B456_006G085600 transcript:KJB34647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLWNDKREREMYENFAELYAIIKATEKLEKAYVRDIISSSEYETECQKLIAHFKTLASTLKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAAASATTSAAIVAECVQNFITAMDSLKLNMVAVDQVYPLLSDLSASLNKLGILPPDFEGKIKMREWISRLAKMGAADELTEQQARQLHFDLESSYNSFMAALPNAGT >KJB34645 pep chromosome:Graimondii2_0_v6:6:32021194:32022554:-1 gene:B456_006G085600 transcript:KJB34645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLWNDKREREMYENFAELYAIIKATEKLEKAYVRDIISSSEYETECQKLIAHFKTLASTLKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAAASATTSAAIVAECVQNFITAMDSLKLNMVAVDQVYPLLSDLSASLNKLGILPPDFEGKIKMREWISRLAKMGAADELTEQQARQLHFDLESSYNSFMAALPNAGT >KJB34644 pep chromosome:Graimondii2_0_v6:6:32020741:32022941:-1 gene:B456_006G085600 transcript:KJB34644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLWNDKREREMYENFAELYAIIKATEKLEKAYVRDIISSSEYETECQKLIAHFKTLASTLKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAAASATTSAAIVAECVQNFITAMDSLKLNMVAVDQVYPLLSDLSASLNKLGILPPDFEGKIKMREWISRLAKMGAADELTEQQARQLHFDLESSYNSFMAALPNAGT >KJB36735 pep chromosome:Graimondii2_0_v6:6:43278764:43281656:1 gene:B456_006G174100 transcript:KJB36735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLEGKQSWDFLDKGMINEPEPRTMIRHSKRGCRCSFMIKWLDNDLEGQTLAVPSSSVMKLATKSISDHPIIHTLLKPEKDTDLSYSSPFLTILDDSDAEMDLNKLLQKQIEQISNLADAPEKDFLDGFLWRKKAVPDNRNHLKRITCSKTKLQVDIETKYQSGTAASMQEEFIQNRSRLSPLASRAALASSLLTAKKCLDMEFSSCMTANMFMKGKHSSENLAISVPLVSYASHAISPLISTQGDASCKPSSCIPTKVRGNENNTSVKINRSAEDKTSSPAKVTAEKVISEIAMTAEHAIARDKKSSVLGDVNVRLTTPMRLTRLAMRKGAVFPNECIEVKICTDNKKRRISGNKNKLCHSAIRQENENLGNEENNSTHIIDSGSSERNLAILESNVSATKTAKDASTPCKNAQSGRRDQGKKRKAVYPDKQGRRFSPRNHLPRTRSQNKSHHGK >KJB34375 pep chromosome:Graimondii2_0_v6:6:23309980:23315368:-1 gene:B456_006G063200 transcript:KJB34375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRALMPGDDESISDEAIWETLPHFWVAISMVFFVAATVFTLLKLCGDVGALGWWDLFINFGIAECFAFLVCTKWSNPVIHRNSPAIEAGPSTATIRYLDWNSGLVVAPEEDQQQDTMCGLQDIGGHLMKIPIIGFQVLLCMYLEGTPPGARDIPLPVLFSPLFLLQGVGVLFAASILIERIVLLLRSGAGTGFYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHDGASGYNTFSGYPPEIVKKMPKKDLAEEVWRLQAALGEQSEITKYSQQEFERLQNEKVLCRVCFEREIRVVLLPCRHRILCSTCCEKCRKCPICRVSIEERLPVYDV >KJB34378 pep chromosome:Graimondii2_0_v6:6:23312190:23318919:-1 gene:B456_006G063200 transcript:KJB34378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSWRRVLKSAQALAAHSLLFCFTLLLLLKLDHLVSYSWWTIFFPLWMFHGVVARGRFSFPAPSVPHNRQWAPCHAIVAVPLLIAFELLLCIYLESVNVYGFPAVSLKVVFLPLLVFEIIILIDNFRMCRALMPGDDESISDEAIWETLPHFWVAISMVFFVAATVFTLLKLCGDVGALGWWDLFINFGIAECFAFLVCTKWSNPVIHRNSPAIEAGPSTATIRYLDWNSGLVVAPEEDQQQDTMCGLQDIGGHLMKIPIIGFQVLLCMYLEGTPPGARDIPLPVLFSPLFLLQGVGVLFAASILIERIVLLLRSGAGTGFYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHDGASGYVWF >KJB34377 pep chromosome:Graimondii2_0_v6:6:23309980:23318919:-1 gene:B456_006G063200 transcript:KJB34377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSWRRVLKSAQALAAHSLLFCFTLLLLLKLDHLVSYSWWTIFFPLWMFHGVVARGRFSFPAPSVPHNRQWAPCHAIVAVPLLIAFELLLCIYLESVNVYGFPAVSLKVVFLPLLVFEIIILIDNFRMCRALMPGDDESISDEAIWETLPHFWVAISMVFFVAATVFTLLKLCDVGALGWWDLFINFGIAECFAFLVCTKWSNPVIHRNSPAIEAGPSTATIRYLDWNSGLVVAPEEDQQQDTMCGLQDIGGHLMKIPIIGFQVLLCMYLEGTPPGARDIPLPVLFSPLFLLQGVGVLFAASILIERIVLLLRSGAGTGFYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHDGASGYNTFSGYPPEIVKKMPKKDLAEEVWRLQAALGEQSEITKYSQQEFERLQNEKVLCRVCFEREIRVVLLPCRHRILCSTCCEKCRKCPICRVSIEERLPVYDV >KJB34376 pep chromosome:Graimondii2_0_v6:6:23310722:23318792:-1 gene:B456_006G063200 transcript:KJB34376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSWRRVLKSAQALAAHSLLFCFTLLLLLKLDHLVSYSWWTIFFPLWMFHGVVARGRFSFPAPSVPHNRQWAPCHAIVAVPLLIAFELLLCIYLESVNVYGFPAVSLKVVFLPLLVFEIIILIDNFRMCRALMPGDDESISDEAIWETLPHFWVAISMVFFVAATVFTLLKLCGDVGALGWWDLFINFGIAECFAFLVCTKWSNPVIHRNSPAIEAGPSTATIRYLDWNSGLVVAPEEDQQQDTMCGLQDIGGHLMKIPIIGFQVLLCMYLEVCILLMVLALIEVCCQQIYDCFKFQGTPPGARDIPLPVLFSPLFLLQGVGVLFAASILIERIVLLLRSGAGTGFYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHDGASGYNTFSGYPPEIVKKMPKKDLAEEVWRLQAALGEQSEITKYSQQEFERLQNEKVLCRVCFEREIRVVLLPCRHRILCSTCCEKCRKCPICRVSIEERLPVYDV >KJB34380 pep chromosome:Graimondii2_0_v6:6:23312542:23318792:-1 gene:B456_006G063200 transcript:KJB34380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSWRRVLKSAQALAAHSLLFCFTLLLLLKLDHLVSYSWWTIFFPLWMFHGVVARGRFSFPAPSVPHNRQWAPCHAIVAVPLLIAFELLLCIYLESVNVYGFPAVSLKVVFLPLLVFEIIILIDNFRMCRALMPGDDESISDEAIWETLPHFWVAISMVFFVAATVFTLLKLCGDVGALGWWDLFINFGIAECFAFLVCTKWSNPVIHRNSPAIEAGPSTATIRYLDWNSGLVVAPEEDQQQDTMCGLQDIGGHLMKIPIIGFQVLLCMYLEGTPPGARDIPLPVLFSPLFLLQGVGVLFAASILIERIVLLLRSGAGTGFYFRFSSRAHDCLGFLHHGSRY >KJB34373 pep chromosome:Graimondii2_0_v6:6:23309826:23319054:-1 gene:B456_006G063200 transcript:KJB34373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSWRRVLKSAQALAAHSLLFCFTLLLLLKLDHLVSYSWWTIFFPLWMFHGVVARGRFSFPAPSVPHNRQWAPCHAIVAVPLLIAFELLLCIYLESVNVYGFPAVSLKVVFLPLLVFEIIILIDNFRMCRALMPGDDESISDEAIWETLPHFWVAISMVFFVAATVFTLLKLCGDVGALGWWDLFINFGIAECFAFLVCTKWSNPVIHRNSPAIEAGPSTATIRYLDWNSGLVVAPEEDQQQDTMCGLQDIGGHLMKIPIIGFQVLLCMYLEGTPPGARDIPLPVLFSPLFLLQGVGVLFAASILIERIVLLLRSGAGTGFYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHDGASGYNTFSGYPPEIVKKMPKKDLAEEVIMVLLTFGGFRQLLVSNQKSPNIVSRSLRGFKMKKCYVGFALRERYVWFCSLVGIVFFAVPAARSVENALSVGSPSKNAYLYTMFKWKCRANIK >KJB34379 pep chromosome:Graimondii2_0_v6:6:23312542:23318792:-1 gene:B456_006G063200 transcript:KJB34379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSWRRVLKSAQALAAHSLLFCFTLLLLLKLDHLVSYSWWTIFFPLWMFHGVVARGRFSFPAPSVPHNRQWAPCHAIVAVPLLIAFELLLCIYLESVNVYGFPAVSLKVVFLPLLVFEIIILIDNFRMCRALMPGDDESISDEAIWETLPHFWVAISMVFFVAATVFTLLKLCGDVGALGWWDLFINFGIAECFAFLVCTKWSNPVIHRNSPAIEAGPSTATIRYLDWNSGLVVAPEEDQQQDTMCGLQDIGGHLMKIPIIGFQVLLCMYLEVCILLMVLALIEVCCQQIYDCFKFQGTPPGARDIPLPVLFSPLFLLQGVGVLFAASILIERIVLLLRSGAGTGFYFRFSSRAHDCLGFLHHGSRY >KJB34374 pep chromosome:Graimondii2_0_v6:6:23309826:23319182:-1 gene:B456_006G063200 transcript:KJB34374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSWRRVLKSAQALAAHSLLFCFTLLLLLKLDHLVSYSWWTIFFPLWMFHGVVARGRFSFPAPSVPHNRQWAPCHAIVAVPLLIAFELLLCIYLESVNVYGFPAVSLKVVFLPLLVFEIIILIDNFRMCRALMPGDDESISDEAIWETLPHFWVAISMVFFVAATVFTLLKLCGDVGALGWWDLFINFGIAECFAFLVCTKWSNPVIHRNSPAIEAGPSTATIRYLDWNSGLVVAPEEDQQQDTMCGLQDIGGHLMKIPIIGFQVLLCMYLEGTPPGARDIPLPVLFSPLFLLQGVGVLFAASILIERIVLLLRSGAGTGFYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHDGASGYNTFSGYPPEIVKKMPKKDLAEEVWRLQAALGEQSEITKYSQQEFERLQNEKVLCRVCFEREIRVVLLPCRHRILCSTCCEKCRKCPICRVSIEERLPVYDV >KJB35441 pep chromosome:Graimondii2_0_v6:6:36306567:36307148:1 gene:B456_006G114900 transcript:KJB35441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACTLKLVTTRALVLALLYLLVLQGVCGCVSGHGCFHEKPAQNRKILHADLKENNGSITGAGNMENFVGWELRAVPSGPDPLHHNGGSPKKPRTDP >KJB38220 pep chromosome:Graimondii2_0_v6:6:48896252:48901033:-1 gene:B456_006G243200 transcript:KJB38220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRQMKESSEQHLVIKSHLQNTMNTVQRPPKTAQNGKGPPQAHEPHNNTKQPHNQATSPPSKNRGRRRGRGGKKSDQLDVCMRPSSRPCTVAHKPVNQASCGMEMAFPTSSKSLNFAPRPGYGQVGTKCIVKANHFFAQLPDKDLNQYDVTITPEVASRTVNRAIIAELVRLYKESDLGTRLPAYDGRKSLYTAGELPFAWKEFVVKLVDEEDGINGPKRQREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSSKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYSFTIQHTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYSXXXXXILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVALKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPLVTFIVVQKRHHTRLFANNHRDRSSTDKSGNILPGKTWSILDNLIIWDMLTLGVYSNNGAYCSFQALSLIRKSVIHRNLTSIFAAMPVFRYNINFLCSLASFIE >KJB38222 pep chromosome:Graimondii2_0_v6:6:48895392:48901657:-1 gene:B456_006G243200 transcript:KJB38222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRQMKESSEQHLVIKSHLQNTMNTVQRPPKTAQNGKGPPQAHEPHNNTKQPHNQATSPPSKNRGRRRGRGGKKSDQLDVCMRPSSRPCTVAHKPVNQASCGMEMAFPTSSKSLNFAPRPGYGQVGTKCIVKANHFFAQLPDKDLNQYDVTITPEVASRTVNRAIIAELVRLYKESDLGTRLPAYDGRKSLYTAGELPFAWKEFVVKLVDEEDGINGPKQREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSSKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYSFTIQHTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYSXXXXXILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVALKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPLVTFIVVQKRHHTRLFANNHRDRSSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYLEPEMQDNNSSTGAGSGQAKGGGTKAAGESGVRPLPALKENVKRVMFYC >KJB38221 pep chromosome:Graimondii2_0_v6:6:48895383:48901131:-1 gene:B456_006G243200 transcript:KJB38221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRQMKESSEQHLVIKSHLQNTMNTVQRPPKTAQNGKGPPQAHEPHNNTKQPHNQATSPPSKNRGRRRGRGGKKSDQLDVCMRPSSRPCTVAHKPVNQASCGMEMAFPTSSKSLNFAPRPGYGQVGTKCIVKANHFFAQLPDKDLNQYDVTITPEVASRTVNRAIIAELVRLYKESDLGTRLPAYDGRKSLYTAGELPFAWKEFVVKLVDEEDGINGPKRQREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSSKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYSFTIQHTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYSXXXXXILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVALKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPLVTFIVVQKRHHTRLFANNHRDRSSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYLEPEMQDNNSSTGAGSGQAKGGGTKAAGESGVRPLPALKENVKRVMFYC >KJB38223 pep chromosome:Graimondii2_0_v6:6:48895392:48901936:-1 gene:B456_006G243200 transcript:KJB38223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRQMKESSEQHLVIKSHLQNTMNTVQRPPKTAQNGKGPPQAHEPHNNTKQPHNQATSPPSKNRGRRRGRGGKKSDQLDVCMRPSSRPCTVAHKPVNQASCGMEMAFPTSSKSLNFAPRPGYGQVGTKCIVKANHFFAQLPDKDLNQYDVTITPEVASRTVNRAIIAELVRLYKESDLGTRLPAYDGRKSLYTAGELPFAWKEFVVKLVDEEDGINGPKRQREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSSKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYSFTIQHTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYSXXXXXILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVALKINMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPLVTFIVVQKRHHTRLFANNHRDRSSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYLEPEMQDNNSSTGAGSGQAKGGGTKAAGESGVRPLPALKENVKRVMFYC >KJB38219 pep chromosome:Graimondii2_0_v6:6:48895756:48901033:-1 gene:B456_006G243200 transcript:KJB38219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRQMKESSEQHLVIKSHLQNTMNTVQRPPKTAQNGKGPPQAHEPHNNTKQPHNQATSPPSKNRGRRRGRGGKKSDQLDVCMRPSSRPCTVAHKPVNQASCGMEMAFPTSSKSLNFAPRPGYGQVGTKCIVKANHFFAQLPDKDLNQYDVTITPEVASRTVNRAIIAELVRLYKESDLGTRLPAYDGRKSLYTAGELPFAWKEFVVKLVDEEDGINGPKRQREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSSKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYSFTIQHTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYSXXXXXILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVALKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPLVTFIVVQKRHHTRLFANNHRDRSSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYLEPEMQDNNSSTGAGSGQAKGGGTKAAGESGVRPLPALKENVKRVMFYC >KJB35806 pep chromosome:Graimondii2_0_v6:6:38276411:38293309:1 gene:B456_006G129200 transcript:KJB35806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQWRDLGTTNVACTPYKNHWHVLTAPNVDFALLDLSCHCMHYCGLVKHLLLKSKLKNLLLETCVVEKSEEWVISDASVAYGGVAPLSLCAIKTKEFLIGKKWNQDVLQGALNVLRTDIVLKEDAPGGMVEFRKSLTLSFFFKFFLWVSHQIEGKKSIKESVLLSDLSAIKSFHRPPLVASQDYEIRKHGTSVGSPEVHLSSRLQVTGEAEYADDSPMPPNGLHAALVLSKKPHARILSIDDSGAKASPGFAGIFFAKDVPGSNKIGPVVLDEELFASEFVTCVGQVIGIVVAETHENAKLAARKVHVEYEELPAILSIEDAVRAESFHPNSQKCLKKGDVDLCFQSDQCEMIIEGKVQVGGQEHFYLEPHSSLIWTLDSGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFLAAAAAVPSYLMNRPVKLTLDRDIDMITTGQRHSFLGKYKVGFTNKGKVLALDLEIYNNAGNSLDLSLAVLERAMFHSDNVYEIPNVRIFGSVCFTNYPSNTAFRGFGGPQGMLIAENWIQRIALELKKSPEEIREINFQGEGSILHYGQQLEHCTLAPIWNELKVSCDFLKVREEVDGFNLHNRWKKRGIAMIPTKFGISFTTKFMNQAGALVNVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNIPLSSVFISETSTDKVPNASPTAASASSDMYAAAALDACEQIKARMEPIASQHNFGSFAELVTACYLERIDLSAHGFYITPNIGFDWSTGKGKPFSYFTYGAAFAEVEIDTLTGDFHTRTANIFMDLGYSLNPAIDVGQVEGAFIQGLGWVALEELKWGDAAHKWIPPGCLYTCGPGSYKIPSLNDVPFKFNVSLLKGNPNVKAIHSSKAVGEPPFFMASSVFFAIKDAILAARAETGHTGWFPLDNPATPERIRMACLDEFTAPFVSSDFHPKLSV >KJB35808 pep chromosome:Graimondii2_0_v6:6:38283017:38293035:1 gene:B456_006G129200 transcript:KJB35808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLYKINALKTYFRHYAVNACLAPLYSVEGMHVITVEGLGNHKRGLHPIQESLARSHGSQCGFCTPGFIMSLYALLRSSETPPTEEQIEESLAGNLCRCTGYRPIVDAFRVFSKTNNALYTDISSLSLQEGEFICPSTGKPCSCGSKAVHDIGTNEQPICSTRYKPISYSEVDGSAYTDKEFIFPPELLRRKLTPLNLSGLGGLKWYRPFTVNDVLELKEKYPNAKLLVGNTEVGIEMRLKRMPYQVLVSVAHVPELNIINVKDDGIEIGSAVRLTELLNLFREVITQRPANETSACKAFIEQLKWFAGTQIRNVASVGGNVCTASPISDLNPLWIAARAKFRIIDCNGNIRTTLAENFFLGYRKVDLTSNEILLSIFLPWTRPFEYVKEFKQAHRRDDDIAIVNAGMRVCLQEKSEEWVISDASVAYGGVAPLSLCAIKTKEFLIGKKWNQDVLQGALNVLRTDIVLKEDAPGGMVEFRKSLTLSFFFKFFLWVSHQIEGKKSIKESVLLSDLSAIKSFHRPPLVASQDYEIRKHGTSVGSPEVHLSSRLQVTGEAEYADDSPMPPNGLHAALVLSKKPHARILSIDDSGAKASPGFAGIFFAKDVPGSNKIGPVVLDEELFASEFVTCVGQVIGIVVAETHENAKLAARKVHVEYEELPAILSIEDAVRAESFHPNSQKCLKKGDVDLCFQSDQCEMIIEGKVQVGGQEHFYLEPHSSLIWTLDSGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFLAAAAAVPSYLMNRPVKLTLDRDIDMITTGQRHSFLGKYKVGFTNKGKVLALDLEIYNNAGNSLDLSLAVLERAMFHSDNVYEIPNVRIFGSVCFTNYPSNTAFRGFGGPQGMLIAENWIQRIALELKKSPEEIREINFQGEGSILHYGQQLEHCTLAPIWNELKVSCDFLKVREEVDGFNLHNRWKKRGIAMIPTKFGISFTTKFMNQAGALVNVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNIPLSSVFISETSTDKVPNASPTAASASSDMYAAAALDACEQIKARMEPIASQHNFGSFAELVTACYLERIDLSAHGFYITPNIGFDWSTGKGKPFSYFTYGAAFAEVEIDTLTGDFHTRTANIFMDLGYSLNPAIDVGQVEGAFIQGLGWVALEELKWGDAAHKWIPPGCLYTCGPGSYKIPSLNDVPFKFNVSLLKGNPNVKAIHSSKAVGEPPFFMASSVFFAIKDAILAARAETGHTGWFPLDNPATPERIRMACLDEFTAPFVSSDFHPKLSV >KJB35807 pep chromosome:Graimondii2_0_v6:6:38282692:38293035:1 gene:B456_006G129200 transcript:KJB35807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISHYDRKTKKCMHYAVNACLAPLYSVEGMHVITVEGLGNHKRGLHPIQESLARSHGSQCGFCTPGFIMSLYALLRSSETPPTEEQIEESLAGNLCRCTGYRPIVDAFRVFSKTNNALYTDISSLSLQEGEFICPSTGKPCSCGSKAVHDIGTNEQPICSTRYKPISYSEVDGSAYTDKEFIFPPELLRRKLTPLNLSGLGGLKWYRPFTVNDVLELKEKYPNAKLLVGNTEVGIEMRLKRMPYQVLVSVAHVPELNIINVKDDGIEIGSAVRLTELLNLFREVITQRPANETSACKAFIEQLKWFAGTQIRNVASVGGNVCTASPISDLNPLWIAARAKFRIIDCNGNIRTTLAENFFLGYRKVDLTSNEILLSIFLPWTRPFEYVKEFKQAHRRDDDIAIVNAGMRVCLQEKSEEWVISDASVAYGGVAPLSLCAIKTKEFLIGKKWNQDVLQGALNVLRTDIVLKEDAPGGMVEFRKSLTLSFFFKFFLWVSHQIEGKKSIKESVLLSDLSAIKSFHRPPLVASQDYEIRKHGTSVGSPEVHLSSRLQVTGEAEYADDSPMPPNGLHAALVLSKKPHARILSIDDSGAKASPGFAGIFFAKDVPGSNKIGPVVLDEELFASEFVTCVGQVIGIVVAETHENAKLAARKVHVEYEELPAILSIEDAVRAESFHPNSQKCLKKGDVDLCFQSDQCEMIIEGKVQVGGQEHFYLEPHSSLIWTLDSGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFLAAAAAVPSYLMNRPVKLTLDRDIDMITTGQRHSFLGKYKVGFTNKGKVLALDLEIYNNAGNSLDLSLAVLERAMFHSDNVYEIPNVRIFGSVCFTNYPSNTAFRGFGGPQGMLIAENWIQRIALELKKSPEEIREINFQGEGSILHYGQQLEHCTLAPIWNELKVSCDFLKVREEVDGFNLHNRWKKRGIAMIPTKFGISFTTKFMNQAGALVNVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNIPLSSVFISETSTDKVPNASPTAASASSDMYAAAALDACEQIKARMEPIASQHNFGSFAELVTACYLERIDLSAHGFYITPNIGFDWSTGKGKPFSYFTYGAAFAEVEIDTLTGDFHTRTANIFMDLGYSLNPAIDVGQVEGAFIQGLGWVALEELKWGDAAHKWIPPGCLYTCGPGSYKIPSLNDVPFKFNVSLLKGNPNVKAIHSSKAVGEPPFFMASSVFFAIKDAILAARAETGHTGWFPLDNPATPERIRMACLDEFTAPFVSSDFHPKLSV >KJB35805 pep chromosome:Graimondii2_0_v6:6:38276297:38293309:1 gene:B456_006G129200 transcript:KJB35805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKKEEEMGQIGEESKEAIVYVNGVRKVLPDGLAHLTLLEYLRDIGLTGTKLGCGEGGCGACTVMISHYDRKTKKCMHYAVNACLAPLYSVEGMHVITVEGLGNHKRGLHPIQESLARSHGSQCGFCTPGFIMSLYALLRSSETPPTEEQIEESLAGNLCRCTGYRPIVDAFRVFSKTNNALYTDISSLSLQEGEFICPSTGKPCSCGSKAVHDIGTNEQPICSTRYKPISYSEVDGSAYTDKEFIFPPELLRRKLTPLNLSGLGGLKWYRPFTVNDVLELKEKYPNAKLLVGNTEVGIEMRLKRMPYQVLVSVAHVPELNIINVKDDGIEIGSAVRLTELLNLFREVITQRPANETSACKAFIEQLKWFAGTQIRNVASVGGNVCTASPISDLNPLWIAARAKFRIIDCNGNIRTTLAENFFLGYRKVDLTSNEILLSIFLPWTRPFEYVKEFKQAHRRDDDIAIVNAGMRVCLQEKSEEWVISDASVAYGGVAPLSLCAIKTKEFLIGKKWNQDVLQGALNVLRTDIVLKEDAPGGMVEFRKSLTLSFFFKFFLWVSHQIEGKKSIKESVLLSDLSAIKSFHRPPLVASQDYEIRKHGTSVGSPEVHLSSRLQVTGEAEYADDSPMPPNGLHAALVLSKKPHARILSIDDSGAKASPGFAGIFFAKDVPGSNKIGPVVLDEELFASEFVTCVGQVIGIVVAETHENAKLAARKVHVEYEELPAILSIEDAVRAESFHPNSQKCLKKGDVDLCFQSDQCEMIIEGKVQVGGQEHFYLEPHSSLIWTLDSGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFLAAAAAVPSYLMNRPVKLTLDRDIDMITTGQRHSFLGKYKVGFTNKGKVLALDLEIYNNAGNSLDLSLAVLERAMFHSDNVYEIPNVRIFGSVCFTNYPSNTAFRGFGGPQGMLIAENWIQRIALELKKSPEEIREINFQGEGSILHYGQQLEHCTLAPIWNELKVSCDFLKVREEVDGFNLHNRWKKRGIAMIPTKFGISFTTKFMNQAGALVNVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNIPLSSVFISETSTDKVPNASPTAASASSDMYAAAALDACEQIKARMEPIASQHNFGSFAELVTACYLERIDLSAHGFYITPNIGFDWSTGKGKPFSYFTYGAAFAEVEIDTLTGDFHTRTANIFMDLGYSLNPAIDVGQVEGAFIQGLGWVALEELKWGDAAHKWIPPGCLYTCGPGSYKIPSLNDVPFKFNVSLLKGNPNVKAIHSSKAVGEPPFFMASSVFFAIKDAILAARAETGHTGWFPLDNPATPERIRMACLDEFTAPFVSSDFHPKLSV >KJB36442 pep chromosome:Graimondii2_0_v6:6:41829466:41834867:1 gene:B456_006G158900 transcript:KJB36442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIVGTLGPRSRSVEVLSGCLKAGMSVARFDFSWHDPEYHQETLENLKAAVKLTKKLCAVMLDTVGPELQVVNKSEKAISLEADATVILTPDEGQEASSNLLPINFDGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVQGNDVVCVIKNTATLTGALFTLHASQIRIELPTLSDKDKEVISSWGVKNKIDFLSLSYTRHAEDVRHAREFLSKQGDLYQTQIFAKIENIEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNVAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDYGKASGVVKPHDRVVVCQKVGDASVVKIIELED >KJB36443 pep chromosome:Graimondii2_0_v6:6:41829466:41834867:1 gene:B456_006G158900 transcript:KJB36443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSHLLLEEPIRMVSILEPSKASFFPAMTKIVGTLGPRSRSVEVLSGCLKAGMSVARFDFSWHDPEYHQETLENLKAAVKLTKKLCAVMLDTVGPELQVVNKSEKAISLEADATVILTPDEGQEASSNLLPINFDGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVQGNDVVCVIKNTATLTGALFTLHASQIRIELPTLSDKDKEVISSWGVKNKIDFLSLSYTRHAEDVRHAREFLSKQGDLYQTQIFAKIENIEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNVAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDYGKASGVVKPHDRVVVCQKVGDASVVKIIELED >KJB36441 pep chromosome:Graimondii2_0_v6:6:41829330:41834901:1 gene:B456_006G158900 transcript:KJB36441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSHLLLEEPIRMVSILEPSKASFFPAMTKIVGTLGPRSRSVEVLSGCLKAGMSVARFDFSWHDPEYHQETLENLKAAVKLTKKLCAVMLDTVGPELQVVNKSEKAISLEADATVILTPDEGQEASSNLLPINFDGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVQGNDVVCVIKNTATLTGALFTLHASQIRIELPTLSDKDKEVISSWGVKNKIDFLSLSYTRHAEDVRHAREFLSKQGDLYQTQIFAKIENIEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNVAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDYGKASGVVKPHDRVVVCQKVGDASVVKIIELED >KJB36444 pep chromosome:Graimondii2_0_v6:6:41829572:41832681:1 gene:B456_006G158900 transcript:KJB36444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSHLLLEEPIRMVSILEPSKASFFPAMTKIVGTLGPRSRSVEVLSGCLKAGMSVARFDFSWHDPEYHQETLENLKAAVKLTKKLCAVMLDTVGPELQVVNKSEKAISLEADATVILTPDEGQEASSNLLPINFDGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVSEVQGNDVVCVIKNTATLTGALFTLHASQIRIELPTLSDKDKEVISSWGVKNKIDFLSLSYTRHAEDVRHAREFLSKQGDLYQTQIFAKIENIEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNVAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEVNSVS >KJB37128 pep chromosome:Graimondii2_0_v6:6:44745188:44750372:1 gene:B456_006G190400 transcript:KJB37128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRRLKTLLQPLSDPHLRLIATESTQNQLSNPSNCLNPITPINQPTLLKVCTILYQQQNSPDSRLHSSLSSYNPSFNPEFFLQVCNTFPYSWRPIYRFFLYTQKVPHFTHNSVTFNKMLDVAGKSKNIDLFWETCQEMGKLGLVNDKTFRIALKTLALARELKKCVGFFHLMNVFGVGYKLETLNTVVESLCKDKLVEEAKFVTFKLKECVEPNGVTYKWLIWGFCDLGNLIEASKIWNLMVDEGFEPDAEVVETMMEALFKTNKYDEAMKVFQMMRVKRMHDLGLSSYRLVIKWMCKRGKIEQANGMFEEMCQRGIQADNLTLASIIYGLLARGRIREAYRIVEGIENPDISIYHGLIKGLLRLRKASEATQVFREMITRGCEPIMHTYIMLLQGHLGKKGRKGHDPLVNFDSIFVGGLIKAGKTVEATKYVERTMKRGMEVPRFDYNKFLHYYSNEEGVMMFEEVGKKLREVGLFDLADILERYGQKMATRDRRRERAVDP >KJB37129 pep chromosome:Graimondii2_0_v6:6:44743335:44750280:1 gene:B456_006G190400 transcript:KJB37129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRRLKTLLQPLSDPHLRLIATESTQNQLSNPSNCLNPITPINQPTLLKVCTILYQQQNSPDSRLHSSLSSYNPSFNPEFFLQVCNTFPYSWRPIYRFFLYTQKVPHFTHNSVTFNKMLDVAGKSKNIDLFWETCQEMGKLGLVNDKTFRIALKTLALARELKKCVGFFHLMNVFGVGYKLETLNTVVESLCKDKLVEEAKFVTFKLKECVEPNGVTYKWLIWGFCDLGNLIEASKIWNLMVDEGFEPDAEVVETMMEALFKTNKYDEAMKVFQMMRVKRMHDLGLSSYRLVIKWMCKRGKIEQANGMFEEMCQRGIQADNLTLASIIYGLLARGRIREAYRIVEGIENPDISIYHGLIKGLLRLRKASEATQVFREMITRGCEPIMHTYIMLLQGHLGKKGRKGHDPLVNFDSIFVGGLIKAGKTVEATKYVERTMKRGMEVPRFDYNKFLHYYSNEEGVMMFEEVGKKLREVGLFDLADILERYGQKMATRDRRRERAVDP >KJB35837 pep chromosome:Graimondii2_0_v6:6:38410941:38413358:1 gene:B456_006G130200 transcript:KJB35837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGKWVGFVTLLLHVCFSRADKIVALPGQPKVSFQHFSGYVTVHDEKPHRQRALFYYFVEAETMPASKPLVLWLNGEANMLYLESPAGVGFSYSANNSFYTDLNDEVVARDNFVFLERWLLMFPQYKNRDFYITGESYAGHYVPQLTLHIIHSKLKLNLKGIAIGNPLLEFVTDMNSADQYYWSHGLISDSAYELLLSFCNSSRLMREALTQSFSSDCLSVYSEVAEELGKSVDKYDVIADVCLSSGQSPMAIFSHPMFLGPQFSSSLHSQLDGFSQQQVKVEADPCIEDKTTKYLNRKDVQKALHAKLVGITSWNLCNKIVQYMDDLETPTIYTVGKIVKSGIPVMVYSGDQDSVIPFTGTRNLVYKLAKALGLKTTVPYRPWFEGKQVGGWTQVYGDILSFATIRGASHTAPASQPERSMALFKAVLSGKPLPEA >KJB35834 pep chromosome:Graimondii2_0_v6:6:38410941:38413358:1 gene:B456_006G130200 transcript:KJB35834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGKWVGFVTLLLHVCFSRADKIVALPGQPKVSFQHFSGYVTVHDEKPHRQRALFYYFVEAETMPASKPLVLWLNGGPGCSSVGAGAFIEHGPFKPNGKTLTKNAHSWNKEANMLYLESPAGVGFSYSANNSFYTDLNDEVVARDNFVFLERWLLMFPQYKNRDFYITGESYAGHYVPQLTLHIIHSKLKLNLKGIAIGNPLLEFVTDMNSADQYYWSHGLISDSAYELLLSFCNSSRLMREALTQSFSSDCLSVYSEVAEELGKSVDKYDVIADVCLSSGQSPMAIFSHPMFLGPQFSSSLHSQLDGFSQQQVKVEADPCIEDKTTKYLNRKDVQKALHAKLVGITSWNLCNK >KJB35836 pep chromosome:Graimondii2_0_v6:6:38410941:38413358:1 gene:B456_006G130200 transcript:KJB35836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGKWVGFVTLLLHVCFSRADKIVALPGQPKVSFQHFSGYVTVHDEKPHRQRALFYYFVEAETMPASKPLVLWLNGGPGCSSVGAGAFIEHGPFKPNGKTLTKNAHSWNKEANMLYLESPAGVGFSYSANNSFYTDLNDEVVARDNFVFLERWLLMFPQYKNRDFYITGESYAGHYVPQLTLHIIHSKLKLNLKGIAIGNPLLEFVTDMNSADQYYWSHGLISDSAYELLLSFCNSSRLMREALTQSFSSDCLSVYSEVAEELGKSVDKYDVIADVCLSSGQSPMAIFSHPMFLGPQFSSSLHSQLDGFSQQQVKVEADPCIEDKTTKYLNRKDVQKALHAKLVGITSWNLCNK >KJB35840 pep chromosome:Graimondii2_0_v6:6:38410941:38413358:1 gene:B456_006G130200 transcript:KJB35840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGKWVGFVTLLLHVCFSRADKIVALPGQPKVSFQHFSGYVTVHDEKPHRQRALFYYFVEAETMPASKPLVLWLNGGPGCSSVGAGAFIEHGPFKPNGKTLTKNAHSWNKEANMLYLESPAGVGFSYSANNSFYTDLNDEVVARDNFVFLERWLLMFPQYKNRDFYITGESYAGHYVPQLTLHIIHSKLKLNLKGIAIGNPLLEFVTDMNSADQYYWSHGLISDSAYELLLSFCNSSRLMREALTQSFSSDCLSVYSEVAEELGKSVDKYDVIADVCLSSGQSPMAIFSHPMFLGPQFSSSLHSQLDGFSQQQVKVEADPCIEDKTTKYLNRKDVQKALHAKLVGITSWNLCNK >KJB35831 pep chromosome:Graimondii2_0_v6:6:38410554:38413818:1 gene:B456_006G130200 transcript:KJB35831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGKWVGFVTLLLHVCFSRADKIVALPGQPKVSFQHFSGYVTVHDEKPHRQRALFYYFVEAETMPASKPLVLWLNGGPGCSSVGAGAFIEHGPFKPNGKTLTKNAHSWNKEANMLYLESPAGVGFSYSANNSFYTDLNDEVVARDNFVFLERWLLMFPQYKNRDFYITGESYAGHYVPQLTLHIIHSKLKLNLKGIAIGNPLLEFVTDMNSADQYYWSHGLISDSAYELLLSFCNSSRLMREALTQSFSSDCLSVYSEVAEELGKSVDKYDVIADVCLSSGQSPMAIFSHPMFLGPQFSSSLHSQLDGFSQQQVKVEADPCIEDKTTKYLNRKDVQKALHAKLVGITSWNLCNKIVQYMDDLETPTIYTVGKIVKSGIPVMVYSGDQDSVIPFTGTRNLVYKLAKALGLKTTVPYRPWFEGKQVGGWTQVYGDILSFATIRGASHTAPASQPERSMALFKAVLSGKPLPEA >KJB35832 pep chromosome:Graimondii2_0_v6:6:38410941:38413358:1 gene:B456_006G130200 transcript:KJB35832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGKWVGFVTLLLHVCFSRADKIVALPGQPKVSFQHFSGYVTVHDEKPHRQRALFYYFVEAETMPASKPLVLWLNGGPGCSSVGAGAFIEHGPFKPNGKTLTKNAHSWNKEANMLYLESPAGVGFSYSANNSFYTDLNDEVVARDNFVFLERWLLMFPQYKNRDFYITGESYAGHYVPQLTLHIIHSKLKLNLKGIAIGNPLLEFVTDMNSADQYYWSHGLISDSAYELLLSFCNSSRLMREALTQSFSSDCLSVYSEVAEELGKSVDKYDVIADVCLSSGQSPMAIFSHPMFLGPQFSSSLHSQLDGFSQQQVKVEADPCIEDKTTKYLNRKDVQKALHAKLVGITSWNLCNK >KJB35835 pep chromosome:Graimondii2_0_v6:6:38411717:38413269:1 gene:B456_006G130200 transcript:KJB35835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWSNFQARDNFVFLERWLLMFPQYKNRDFYITGESYAGHYVPQLTLHIIHSKLKLNLKGIAIGNPLLEFVTDMNSADQYYWSHGLISDSAYELLLSFCNSSRLMREALTQSFSSDCLSVYSEVAEELGKSVDKYDVIADVCLSSGQSPMAIFSHPMFLGPQFSSSLHSQLDGFSQQQVKVEADPCIEDKTTKYLNRKDVQKALHAKLVGITSWNLCNKIVQYMDDLETPTIYTVGKIVKSGIPVMVYSGDQDSVIPFTGTRNLVYKLAKALGLKTTVPYRPWFEGKQVGGWTQVYGDILSFATIRGASHTAPASQPERSMALFKAVLSGKPLPEA >KJB35839 pep chromosome:Graimondii2_0_v6:6:38410949:38412470:1 gene:B456_006G130200 transcript:KJB35839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGKWVGFVTLLLHVCFSRADKIVALPGQPKVSFQHFSGYVTVHDEKPHRQRALFYYFVEAETMPASKPLVLWLNGGPGCSSVGAGAFIEHGPFKPNGKTLTKNAHSWNKEANMLYLESPAGVGFSYSANNSFYTDLNDEVVARDNFVFLERWLLMFPQYKNRDFYITGESYAGHYVPQLTLHIIHSKLKLNLKGIAIGNPLLEFVTDMNSADQYYWSHGLISDSAYELLLSFCNSSRLMREALTQSFSSDCLSVYSEVAEELGKSVDKYDVIADVCLSSGQSPMAIFSHPMFLGPQFSSSLHSQLDGFSQQVKLRLILQ >KJB35833 pep chromosome:Graimondii2_0_v6:6:38410949:38412470:1 gene:B456_006G130200 transcript:KJB35833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGKWVGFVTLLLHVCFSRADKIVALPGQPKVSFQHFSGYVTVHDEKPHRQRALFYYFVEAETMPASKPLVLWLNGGPGCSSVGAGAFIEHGPFKPNGKTLTKNAHSWNKEANMLYLESPAGVGFSYSANNSFYTDLNDEVVARDNFVFLERWLLMFPQYKNRDFYITGESYAGHYVPQLTLHIIHSKLKLNLKGIAIGNPLLEFVTDMNSADQYYWSHGLISDSAYELLLSFCNSSRLMREALTQSFSSDCLSVYSEVAEELGKSVDKYDVIADVCLSSGQSPMAIFSHPMFLGPQFSSSLHSQLDGFSQQVKLRLILQ >KJB35838 pep chromosome:Graimondii2_0_v6:6:38410941:38413358:1 gene:B456_006G130200 transcript:KJB35838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGKWVGFVTLLLHVCFSRADKIVALPGQPKVSFQHFSGYVTVHDEKPHRQRALFYYFVEAETMPASKPLVLWLNGGAFIEHGPFKPNGKTLTKNAHSWNKEANMLYLESPAGVGFSYSANNSFYTDLNDEVVARDNFVFLERWLLMFPQYKNRDFYITGESYAGHYVPQLTLHIIHSKLKLNLKGIAIGNPLLEFVTDMNSADQYYWSHGLISDSAYELLLSFCNSSRLMREALTQSFSSDCLSVYSEVAEELGKSVDKYDVIADVCLSSGQSPMAIFSHPMFLGPQFSSSLHSQLDGFSQQQVKVEADPCIEDKTTKYLNRKDVQKALHAKLVGITSWNLCNKIVQYMDDLETPTIYTVGKIVKSGIPVMVYSGDQDSVIPFTGTRNLVYKLAKALGLKTTVPYRPWFEGKQVGGWTQVYGDILSFATIRGASHTAPASQPERSMALFKAVLSGKPLPEA >KJB37817 pep chromosome:Graimondii2_0_v6:6:47438777:47442362:1 gene:B456_006G221800 transcript:KJB37817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYIRKAKTAGGVAVMDVSQASLGVRTRAKTLALQRQKSSTSPATVASAPASGDGSYLQLRSRRLEKPPLVVHHHDSKRHKQQQQQQQGCKKDNFGQNPNPSSNSRVRVGSEKKKKGEVGSQDTVQEDNGNDNIINYSNLNNDNNNESNDFGGVETSFGENVLDIEARERGTRESTPCSLIRDPDSIRTPGSTTRPTRSAETNQRVQNSTRRHIPTSHEMDEFFTLAEVDQQRQFIEKYGCWKLLQKLFSILWFMI >KJB37816 pep chromosome:Graimondii2_0_v6:6:47438712:47442618:1 gene:B456_006G221800 transcript:KJB37816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYIRKAKTAGGVAVMDVSQASLGVRTRAKTLALQRQKSSTSPATVASAPASGDGSYLQLRSRRLEKPPLVVHHHDSKRHKQQQQQQQGCKKDNFGQNPNPSSNSRVRVGSEKKKKGEVGSQDTVQEDNGNDNIINYSNLNNDNNNESNDFGGVETSFGENVLDIEARERGTRESTPCSLIRDPDSIRTPGSTTRPTRSAETNQRVQNSTRRHIPTSHEMDEFFTLAEVDQQRQFIEKYNFDPVKDKPLPGRYQWEKVDP >KJB34183 pep chromosome:Graimondii2_0_v6:6:18995643:19001043:1 gene:B456_006G052600 transcript:KJB34183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVFSSAIVSPPDELVAAGCRTPSPKITADALVKRFLETNSSGVSMQIGDHVQFAYSHHKESPLQPRSFAVKDEIFCLFEGALDNLGSLKQQYGLAKSANEVILVIEAYKALRDRAPYPPNHVVGHLIGSFAFIVFDKSTSTLFVASDQFGKVPLYWGITADGYVAFADNAELLKGACGKSLASFPQGCFYSTAVGGLRSYENPKNKITAVPAEEEEIWGAKFKVEGPAVVAATE >KJB34181 pep chromosome:Graimondii2_0_v6:6:18995643:18998254:1 gene:B456_006G052600 transcript:KJB34181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVFSSAIVSPPDELVAAGCRTPSPKITADALVKRFLETNSSGVSMQIGDHVQFAYSHHKESPLQPRSFAVKDEIFCLFEGALDNLGSLKQQYGLAKSANEVILVIEAYKALRDRAPYPPNHVVGHLIGSFAFIVFDKSTSTLFVASDQFGKVPLYWGITADGYVAFADNAELLKGACGKSLASFPQGCFYSTAVGGLRSYENPKNKITAVPAEEEEIWGAKFKVKNDYVHFNQL >KJB34178 pep chromosome:Graimondii2_0_v6:6:18995638:18998390:1 gene:B456_006G052600 transcript:KJB34178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVFSSAIVSPPDELVAAGCRTPSPKITADALVKRFLETNSSGVSMQIGDHVQFAYSHHKESPLQPRSFAVKDEIFCLFEGALDNLGSLKQQYGLAKSANEVILVIEAYKALRDRAPYPPNHVVGHLIGSFAFIVFDKSTSTLFVASDQFGKVPLYWGITADGYVAFADNAELLKGACGKSLASFPQGCFYSTAVGGLRSYENPKNKITAVPAEEEEIWGAKFKVEGPAVVAATE >KJB34182 pep chromosome:Graimondii2_0_v6:6:18995643:18998390:1 gene:B456_006G052600 transcript:KJB34182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVFSSAIVSPPDELVAAGCRTPSPKITADALVKRFLETNSSGVSMQIGDHVQFAYSHHKESPLQPRSFAVKDEIFCLFEGALDNLGSLKQQYGLAKSANEVILVIEAYKALRDRAPYPPNHVVGHLIGSFAFIVFDKSTSTLFVASDQFGKVPLYWGITADGYVAFADNAELLKGCFYSTAVGGLRSYENPKNKITAVPAEEEEIWGAKFKVEGPAVVAATE >KJB34179 pep chromosome:Graimondii2_0_v6:6:18995643:18997437:1 gene:B456_006G052600 transcript:KJB34179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVFSSAIVSPPDELVAAGCRTPSPKITADALVKRFLETNSSGVSMQIGDHVQFAYSHHKESPLQPRSFAVKDEIFCLFEGALDNLGSLKQQYGLAKSANEVILVIEAYKALRDRAPYPPNHVVGHLIGSFAFIVFDKSTSTLFVASVSIQIGLTYFF >KJB34180 pep chromosome:Graimondii2_0_v6:6:18995643:18998241:1 gene:B456_006G052600 transcript:KJB34180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVFSSAIVSPPDELVAAGCRTPSPKITADALVKRFLETNSSGVSMQIGDHVQFAYSHHKESPLQPRSFAVKDEIFCLFEGALDNLGSLKQQYGLAKSANEVILVIEAYKALRDRAPYPPNHVVGHLIGSFAFIVFDKSTSTLFVASDQFGKVPLYWGITADGYVAFADNAELLKGACGKSLASFPQGCFYSTAVGGLRSYENPKNKITAVPAEEEEIWGAKFKVEGPAVVAATE >KJB36300 pep chromosome:Graimondii2_0_v6:6:41047088:41047832:1 gene:B456_006G151300 transcript:KJB36300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILKLLQPTTPGKSLNAALKIKPNQTSKKWMILRSLTCLNCKELLTTGIVWIQVKEKGLFRYQLKFKGLFGCNTGIRAYLNKVTKFKGLFSILANNQIDLVLPSKVTDGVRR >KJB33424 pep chromosome:Graimondii2_0_v6:6:2366568:2370282:1 gene:B456_006G010600 transcript:KJB33424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRLGSLPPPTLTGITVTKKCERFNGGFKVVGDGGGDNGFPPFLPKEIEKIKDPFARNLARRIKRLPVQIGCSESYIMSSCVQPLAQTDANPVALLHCFDSSCLEWRRAYPLLEESGLEAWALDILGWGFSDLGRLPPCNVASKRYHFYQLWKSYIKRPMVLVGPSLGAAVAIDFAVNYPEAVEKLVLINPSVYAEGTGNLAKLPKVVAYAGVSLLKTFPLRLYANLLAFDGIPLSKSLDWTNVGRLHCHMPWWKDATVNFMVSGGYNVVSKIKQVKQKTLIICGENDKIVSNQLAMRLRFELPNASMQRLPDCGHLCHVDKPRNVVKLIADFARAK >KJB33426 pep chromosome:Graimondii2_0_v6:6:2366581:2370256:1 gene:B456_006G010600 transcript:KJB33426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRLGSLPPPTLTGITVTKKCERFNGGFKVVGDGGGDNGFPPFLPKEIEKIKDPFARNLARRIKRLPVQIGCSESYIMSSCVQPLAQTDANPVALLHCFDSSCLEWRRAYPLLEESGLEAWALDILGWGFSDLGRLPPCNVASKRYHFYQLWKSYIKRPMVLVGPSLGAAVAIDFAVNYPEAVEKLVLINPSVYAEGTGNLAKLPKVVAYAGVSLLKTFPLRLYANLLAFDGIPLSKSLDWTNVGRLHCHMPWWKDATVNFMVSGGYNVVSKIKQVKQKTLIICGENDKIVSNQLAMTAFRASECKHATIAGLRSPLSCR >KJB33425 pep chromosome:Graimondii2_0_v6:6:2366581:2370256:1 gene:B456_006G010600 transcript:KJB33425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRLGSLPPPTLTGITVTKKCERFNGGFKVVGDGGGDNGFPPFLPKEIEKIKDPFARNLARRIKRLPVQIGCSESYIMSSCVQPLAQTDANPVALLHCFDSSCLEWRRAYPLLEESGLEAWALDILGWGFSDLGSSSHRLTISTGFVFMSIFLLCALMTICVYIFPPLPGRLPPCNVASKRYHFYQLWKSYIKRPMVLVGPSLGAAVAIDFAVNYPEAVEKLVLINPSVYAEGTGNLAKLPKVVAYAGVSLLKTFPLRLYANLLAFDGIPLSKSLDWTNVGRLHCHMPWWKDATVNFMVSGGYNVVSKIKQVKQKTLIICGENDKIVSNQLAMRLRFELPNASMQRLPDCGHLCHVDKPRNVVKLIADFARAK >KJB35488 pep chromosome:Graimondii2_0_v6:6:36986393:36990720:1 gene:B456_006G119600 transcript:KJB35488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICWPKPAKCAHASSSNFSGSAKTHSNTKQESTSSTQKLPMETSNKPFVASKPHTPVSSNLKADTSVSSSLKSFSFSDLKNATKNFRSETLLGEGGFGCVFKGWIDENTFAPTKPGTGIVVAIKKLKLESFQGHKEWLAEVNYLGQLRHENLVKLIGYCVEFENRLLVYEFMPKGSLENHLFKKGVQPISWATRMHIARQVAQGLTFLHSLDANVIFRDLKASNILLDSDYNAKLSDFGLARDGPTGDNTHVSTRVVGTQGYAAPEYVATGHLTPKSDVYSFGVVLLELLSGRRAMDDENFGFSEETLVEWAKPFLSDNRKVLRIMDTRLGGQYSKKGAQAAAALALQCLHKDPKNRPCMTDVLASLDRLHVTKDSSARTPQQLQTKLDHHGIKHVNSPHKARIPTTNSL >KJB35491 pep chromosome:Graimondii2_0_v6:6:36986477:36990720:1 gene:B456_006G119600 transcript:KJB35491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICWPKPAKCAHASSSNFSGSAKTHSNTKQESTSSTQKLPMETSNKPFVASKPHTPVSSNLKADTSVSSSLKSFSFSDLKNATKNFRSETLLGEGGFGCVFKGWIDENTFAPTKPGTGIVVAIKKLKLESFQGHKEWLAEVNYLGQLRHENLVKLIGYCVEFENRLLVYEFMPKGSLENHLFKKGVQPISWATRMHIARQVAQGLTFLHSLDANVIFRDLKASNILLDSDYNAKLSDFGLARDGPTGDNTHVSTRVVGTQGYAAPEYVATAMLWCSNHHHACGILLQEYFFGVSRPRTSRLLVA >KJB35490 pep chromosome:Graimondii2_0_v6:6:36986653:36990567:1 gene:B456_006G119600 transcript:KJB35490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICWPKPAKCAHASSSNFSGSAKTHSNTKQESTSSTQKLPMETSNKPFVASKPHTPVSSNLKADTSVSSSLKSFSFSDLKNATKNFRSETLLGEGGFGCVFKGWIDENTFAPTKPGTGIVVAIKKLKLESFQGHKEWLAEVNYLGQLRHENLVKLIGYCVEFENRLLVYEFMPKGSLENHLFKKGVQPISWATRMHIARQVAQGLTFLHSLDANVIFRDLKASNILLDSDYNAKLSDFGLARDGPTGDNTHVSTRVVGTQGYAAPEYVATVVVELSVAMSCWHQYGGHKWSFDPKE >KJB35492 pep chromosome:Graimondii2_0_v6:6:36986874:36990720:1 gene:B456_006G119600 transcript:KJB35492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLMDGIKRIVCFSGGSAKTHSNTKQESTSSTQKLPMETSNKPFVASKPHTPVSSNLKADTSVSSSLKSFSFSDLKNATKNFRSETLLGEGGFGCVFKGWIDENTFAPTKPGTGIVVAIKKLKLESFQGHKEWLAEVNYLGQLRHENLVKLIGYCVEFENRLLVYEFMPKGSLENHLFKKGVQPISWATRMHIARQVAQGLTFLHSLDANVIFRDLKASNILLDSDYNAKLSDFGLARDGPTGDNTHVSTRVVGTQGYAAPEYVATGHLTPKSDVYSFGVVLLELLSGRRAMDDENFGFSEETLVEWAKPFLSDNRKVLRIMDTRLGGQYSKKGAQAAAALALQCLHKDPKNRPCMTDVLASLDRLHVTKDSSARTPQQLQTKLDHHGIKHVNSPHKARIPTTNSL >KJB35489 pep chromosome:Graimondii2_0_v6:6:36986653:36989190:1 gene:B456_006G119600 transcript:KJB35489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICWPKPAKCAHASSSNFSGSAKTHSNTKQESTSSTQKLPMETSNKPFVASKPHTPVSSNLKADTSVSSSLKSFSFSDLKNATKNFRSETLLGEGGFGCVFKGWIDENTFAPTKPGTGIVVAIKKLKLESFQGHKEWLAEVNYLGQLRHENLVKLIGYCVEFENRLLVYEFMPKGSLENHLFKKGVQPISWATRMHIARQVAQGLTFLHSLDANVIFRDLKASNILLDSDYNAKLSDFGLARDGPTGDNTHVSTRVVGTQGYAAPEYVATVVVELSVAMSCWHQYGGHKW >KJB34547 pep chromosome:Graimondii2_0_v6:6:28929075:28929749:1 gene:B456_006G071900 transcript:KJB34547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAARGFHELPTEVKKSYYTREKTKKIIYGSNFDLYELRFANWRDTLFCVMGPEPLHPQQLPLVCRDITMEYSKQIHKLGTTLFKLLSKAIGLTPDHLIGLDCSKGHCLLSHYYPACPEPELTLGTTKHSDPDFLTILLQDHIGGLQVFHQNQWFDVPFLPGALVVNIGSLLQVCYVASKIEYTCAEYIHLLNIHTRLLVT >KJB34545 pep chromosome:Graimondii2_0_v6:6:28928013:28930059:1 gene:B456_006G071900 transcript:KJB34545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAARGFHELPTEVKKSYYTREKTKKIIYGSNFDLYELRFANWRDTLFCVMGPEPLHPQQLPLVCRDITMEYSKQIHKLGTTLFKLLSKAIGLTPDHLIGLDCSKGHCLLSHYYPACPEPELTLGTTKHSDPDFLTILLQDHIGGLQVFHQNQWFDVPFLPGALVVNIGSLLQLISNDKLISVEYQVLANEKGPRVSVACFFTPHLYPSTRIYGPIKELLSKENPPLYRETTVEDFISYYDSKGLDEKSALAHFKLQP >KJB34550 pep chromosome:Graimondii2_0_v6:6:28928013:28930230:1 gene:B456_006G071900 transcript:KJB34550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFTRDSGTQTPTTYDRFQELKAFDDSKSGVEDHDSVKPIPTQFTIPVIDLENIKTRSDEVVEGIRKAGGEVGFFQVVNHGVPRSVLEGMLAAARGFHELPTEVKKSYYTREKTKKIIYGSNFDLYELRFANWRDTLFCVMGPEPLHPQQLPLVCRDITMEYSKQIHKLGTTLFKLLSKAIGLTPDHLIGLDCSKGHCLLSHYYPACPEPELTLGTTKHSDPDFLTILLQDHIGGLQVFHQNQWFDVPFLPGALVVNIGSLLQLISNDKLISVEYQVLANEKGPRVSVACFFTPHLYPSTRIYGPIKELLSKENPPLYRETTVEDFISYYDSKGLDEKSALAHFKLQP >KJB34549 pep chromosome:Graimondii2_0_v6:6:28928005:28930268:1 gene:B456_006G071900 transcript:KJB34549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAARGFHELPTEVKKSYYTREKTKKIIYGSNFDLYELRFANWRDTLFCVMGPEPLHPQQLPLVCRDITMEYSKQIHKLGTTLFKLLSKAIGLTPDHLIGLDCSKGHCLLSHYYPACPEPELTLGTTKHSDPDFLTILLQDHIGGLQVFHQNQWFDVPFLPGALVVNIGSLLQVLANEKGPRVSVACFFTPHLYPSTRIYGPIKELLSKENPPLYRETTVEDFISYYDSKGLDEKSALAHFKLQP >KJB34548 pep chromosome:Graimondii2_0_v6:6:28928013:28930230:1 gene:B456_006G071900 transcript:KJB34548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSKQIHKLGTTLFKLLSKAIGLTPDHLIGLDCSKGHCLLSHYYPACPEPELTLGTTKHSDPDFLTILLQDHIGGLQVFHQNQWFDVPFLPGALVVNIGSLLQVLANEKGPRVSVACFFTPHLYPSTRIYGPIKELLSKENPPLYRETTVEDFISYYDSKGLDEKSALAHFKLQP >KJB34546 pep chromosome:Graimondii2_0_v6:6:28929312:28930059:1 gene:B456_006G071900 transcript:KJB34546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIFFIFCRDITMEYSKQIHKLGTTLFKLLSKAIGLTPDHLIGLDCSKGHCLLSHYYPACPEPELTLGTTKHSDPDFLTILLQDHIGGLQVFHQNQWFDVPFLPGALVVNIGSLLQVLANEKGPRVSVACFFTPHLYPSTRIYGPIKELLSKENPPLYRETTVEDFISYYDSKGLDEKSALAHFKLQP >KJB34544 pep chromosome:Graimondii2_0_v6:6:28928013:28930230:1 gene:B456_006G071900 transcript:KJB34544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAARGFHELPTEVKKSYYTREKTKKIIYGSNFDLYELRFANWRDTLFCVMGPEPLHPQQLPLVCRDITMEYSKQIHKLGTTLFKLLSKAIGLTPDHLIGLDCSKGHCLLSHYYPACPEPELTLGTTKHSDPDFLTILLQDHIGGLQVFHQNQWFDVPFLPGALVVNIGSLLQVLANEKGPRVSVACFFTPHLYPSTRIYGPIKELLSKENPPLYRETTVEDFISYYDSKGLDEKSALAHFKLQP >KJB38782 pep chromosome:Graimondii2_0_v6:6:50837532:50841625:1 gene:B456_006G271300 transcript:KJB38782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTTTVDCPGCPPLRALTFDALGLIKVIEAPEKRGVAKVVERWGDPDASKCVLAASMNDRKIDPLLAVARKNGVIEILNPLNGELSRMVSDVSNAGVRPEDDAIAGLHLFRRHRVELTPRSCTLLTCKTKGNASIKTVDLADTQADSGAPKTWNVCGSGNILFSEVDGSESYALFGGKGVEVNMWDLENCTKIWTAKPPPANSLGIFTPTWFTSATFLSKDDHRKVVAGTNNHQVRLYDISAQRRPVVSIDFRETPIKAVAEDLDGHTVYIGNGSGDLASVDIRTGKLLGCFLGKCSGSIRSIARHPELPVIASCGLDSYLRIWDIGTRQLLSSVFLKQHLTKVVFDTNFTEEVKHVAADLAVHEAQSIGEIETADESEKPAMKRKKSHLKKRRE >KJB38778 pep chromosome:Graimondii2_0_v6:6:50837474:50841661:1 gene:B456_006G271300 transcript:KJB38778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTTTVDCPGCPPLRALTFDALGLIKVIEAPEKRGVAKVVERWGDPDASKCVLAASMNDRKIDPLLAVARKNGVIEILNPLNGELSRMVSDVSNAGVRPEDDAIAGLHLFRRHRVELTPRSCTLLTCKTKGNASIKTVDLADTQADSGAPKTWNVCGSGNILFSEVDGSESYALFGGKGVEVNMWDLENCTKIWTAKPPPANSLGIFTPTWFTSATFLSKDDHRKVVAGTNNHQVRLYDISAQRRPVVSIDFRETPIKAVAEDLDGHTVYIGNGSGDLASVDIRTGKLLGCFLGKCSGSIRSIARHPELPVIASCGLDSYLRIWDIGTRQLLSSVFLKQHLTKVVFDTNFTEEVKHVAADLAVHEAQSIGEIETADESEKPAMKRKKSSSKENKEGKKKKKSSKEKEGIKKLKSKKRSKNQEHDIAAADDA >KJB38780 pep chromosome:Graimondii2_0_v6:6:50837532:50840758:1 gene:B456_006G271300 transcript:KJB38780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTTTVDCPGCPPLRALTFDALGLIKVIEAPEKRGVAKVVERWGDPDASKCVLAASMNDRKIDPLLAVARKNGVIEILNPLNGELSRMVSDVSNAGVRPEDDAIAGLHLFRRHRVELTPRSCTLLTCKTKGNASIKTVDLADTQADSGAPKTWNVCGSGNILFSEVDGSESYALFGGKGVEVNMWDLENCTKIWTAKPPPANSLGIFTPTWFTSATFLSKDDHRKVVAGTNNHQVRLYDISAQRRPVVSIDFRETPIKAVAEDLDGHTVYIGNGSGDLASVDIRTGKLLGCFLGKCSGSIRSIARHPELPVIASCGLDSYLRIWDIGTRQLLSSVFLKQHLTKVVFDTNFTEGQLFPWNLYC >KJB38781 pep chromosome:Graimondii2_0_v6:6:50837583:50840712:1 gene:B456_006G271300 transcript:KJB38781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTTTVDCPGCPPLRALTFDALGLIKVIEAPEKRGVAKVVERWGDPDASKCVLAASMNDRKIDPLLAVARKNGVIEILNPLNGELSRMVSDVSNAGVRPEDDAIAGLHLFRRHRVELTPRSCTLLTCKTKGNASIKTVDLADTQADSGAPKTWNVCGSGNILFSEVDGSESYALFGGKGVEVNMWDLENCTKIWTAKPPPANSLGIFTPTWFTSATFLSKDDHRKVVAGTNNHQVRLYDISAQRRPVVSIDFRETPIKAVAEDLDGHTVYIGNGSGDLASVDIRTGKLLGCFLGKCSGSIRSIARHPELPVIASCGLDSYLRIWDIGTRQLLSSVCFPEAASYKSCFRY >KJB38779 pep chromosome:Graimondii2_0_v6:6:50837532:50839927:1 gene:B456_006G271300 transcript:KJB38779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTTTVDCPGCPPLRALTFDALGLIKVIEAPEKRGVAKVVERWGDPDASKCVLAASMNDRKIDPLLAVARKNGVIEILNPLNGELSRMVSDVSNAGVRPEDDAIAGLHLFRRHRVELTPRSCTLLTCKTKGNASIKTVDLADTQADSGAPKTWNVCGSGNILFSEVDGSESYALFGGKGVEVNMWDLENCTKIWTAKPPPANSLGIFTPTWFTSATFLSKDDHRKVVAGTNNHQVRLYDISAQRRPVVSIDFRETPIKAVAEDLDGHTVYIGNGSGDLASVDIRTGKLLGCFLGKCSGSIRSIARHPELPVIASCGEYMP >KJB34793 pep chromosome:Graimondii2_0_v6:6:31703931:31707015:1 gene:B456_006G084000 transcript:KJB34793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPWLFLFSSLTIAFFLTVTVAQQEYLYHFCLDLNGNFTRNSTYQANLDRLLSSFTLNTSNENGLYNFSSGQGSNIANALALCRGDVNSSDCFTCINNANDKLRNRCPYQREAIIWYDYCMFRYTNRTILGVAETLPSLYTWNLNNATSTKDAFKQAVSSLMDNLANIASTGTSLGKFATGSALSPFQAIYALVQCTPDLTMNECSYCLSQAIGEIPQFLDTKRGGRIYMPSCYFRFEIERFYDLTAADTGTTIPSPPSPPPSNDTTTIVNKHNSSRTTIVVSISAVAFAVLFISSCMFIISRMRKPKLKPQKHELTEAEDEITTVKSLQYDFNTIRAATDHFSDANKLGQGGFGAVYKGTLAGGKLIAVKRLSSDSRQGDLEFKNEVLLMANLQHRNLVRLQGFCLEGNERLLTYEFVPNGSLDKFLFDPVTHACLNRETRYNIIEGVARGILYLHQDSRLRIIHRDLKASNILLDAKMNPKIADFGMARLCAVDQIQGSTNRIVGTYGYMAPEYAMHGQFSVKSDVFSYGVLVLEILSGQKNRAFHNGSNTEDLLSFAWRNWEAGAALDLVDPNLRNGSRSEVMRCIHIGLLCVQENVAQRPNMGAIVLMLAGHFATLPLPSEPALFMHGNTQSAMQKWDWEDLNSGATKLSQFPNKFSVVSENMVSITEMYPR >KJB34791 pep chromosome:Graimondii2_0_v6:6:31701983:31707049:1 gene:B456_006G084000 transcript:KJB34791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRYTNRTILGVAETLPSLYTWNLNNATSTKDAFKQAVSSLMDNLANIASTGTSLGKFATGSALSPFQAIYALVQCTPDLTMNECSYCLSQAIGEIPQFLDTKRGGRIYMPSCYFRFEIERFYDLTAADTGTTIPSPPSPPPSNDTTTIVNKHNSSRTTIVVSISAVAFAVLFISSCMFIISRMRKPKLKPQKHELTEAEDEITTVKSLQYDFNTIRAATDHFSDANKLGQGGFGAVYKGTLAGGKLIAVKRLSSDSRQGDLEFKNEVLLMANLQHRNLVRLQGFCLEGNERLLTYEFVPNGSLDKFLFGKHDTIS >KJB34792 pep chromosome:Graimondii2_0_v6:6:31701983:31707049:1 gene:B456_006G084000 transcript:KJB34792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRYTNRTILGVAETLPSLYTWNLNNATSTKDAFKQAVSSLMDNLANIASTGTSLGKFATGSALSPFQAIYALVQCTPDLTMNECSYCLSQAIGEIPQFLDTKRGGRIYMPSCYFRFEIERFYDLTAADTGTTIPSPPSPPPSNDTTTIVNKHNSSRTTIVVSISAVAFAVLFISSCMFIISRMRKPKLKPQKHELTEAEDEITTVKSLQYDFNTIRAATDHFSDANKLGQGGFGAVYKGTLAGGKLIAVKRLSSDSRQGDLEFKNEVLLMANLQHRNLVRLQGFCLEGNERLLTYEFVPNGSLDKFLFDPVTHACLNRETRYNIIEGVARGILYLHQDSRLRIIHRDLKASNILLDAKMNPKIADFGMARLCAVDQIQADTWLLNMQCMVNFQLNQMFSVMVYWFWRF >KJB37866 pep chromosome:Graimondii2_0_v6:6:47581140:47585805:1 gene:B456_006G223600 transcript:KJB37866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIDKIQSFSQDQGKVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNMICVETMMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQVEGGFSCALDLVKHIRAKYGDFFGITVAGYPEAHPDAIGSNGVATPEAYQSDLAYLKKKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFVRMTGFCKTKIPDEVTAALEPIKDNDEAVKSYGIHLGTEMCRKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKISRSLPWRRPANVFRVKEDVRPIFWANRPKSYLSRTIGWDQYPQGRWGDSRNPSYGALSDYQFMRPRARDKKLQEEWATPLKSIDDIQEKFKNHCLGKLRSSPWSELDGLQPETKIIHEQLGKINLKGFLTINSQPAVNGERSDSPSVGWGGPGGYVYQKAYLEFFCSLDKLDALVKKCNSFSSLTYVAVNKKGNLLSNIGLTDVNAVTWGVFPAKEIIQPTVVDPASFMVWKDEAFEIWSRSWSALYPDGDPSKNLLEEIQSSYYLVSLVDNNYMDGNIFGVFEDL >KJB37865 pep chromosome:Graimondii2_0_v6:6:47581344:47585801:1 gene:B456_006G223600 transcript:KJB37865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIDKIQSFSQDQGKVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNMICVETMMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQVEGGFSCALDLVKHIRAKYGDFFGITVAGYPEAHPDAIGSNGVATPEAYQSDLAYLKKKIPDEVTAALEPIKDNDEAVKSYGIHLGTEMCRKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKISRSLPWRRPANVFRVKEDVRPIFWANRPKSYLSRTIGWDQYPQGRWGDSRNPSYGALSDYQFMRPRARDKKLQEEWATPLKSIDDIQEKFKNHCLGKLRSSPWSELDGLQPETKIIHEQLGKINLKGFLTINSQPAVNGERSDSPSVGWGGPGGYVYQKAYLEFFCSLDKLDALVKKCNSFSSLTYVAVNKKGNLLSNIGLTDVNAVTWGVFPAKEIIQPTVVDPASFMVWKDEAFEIWSRSWSALYPDGDPSKNLLEEIQSSYYLVSLVDNNYMDGNIFGVFEDL >KJB37867 pep chromosome:Graimondii2_0_v6:6:47582475:47585801:1 gene:B456_006G223600 transcript:KJB37867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKISRSLPWRRPANVFRVKEDVRPIFWANRPKSYLSRTIGWDQYPQGRWGDSRNPSYGALSDYQFMRPRARDKKLQEEWATPLKSIDDIQEKFKNHCLGKLRSSPWSELDGLQPETKIIHEQLGKINLKGFLTINSQPAVNGERSDSPSVGWGGPGGYVYQKAYLEFFCSLDKLDALVKKCNSFSSLTYVAVNKKGNLLSNIGLTDVNAVTWGVFPAKEIIQPTVVDPASFMVWKDEAFEIWSRSWSALYPDGDPSKNLLEEIQSSYYLVSLVDNNYMDGNIFGVFEDL >KJB37864 pep chromosome:Graimondii2_0_v6:6:47582314:47585574:1 gene:B456_006G223600 transcript:KJB37864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSLCTEAHPDAIGSNGVATPEAYQSDLAYLKKKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFVRMTGFCKTKIPDEVTAALEPIKDNDEAVKSYGIHLGTEMCRKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKISRSLPWRRPANVFRVKEDVRPIFWANRPKSYLSRTIGWDQYPQGRWGDSRNPSYGALSDYQFMRPRARDKKLQEEWATPLKSIDDIQEKFKNHCLGKLRSSPWSELDGLQPETKIIHEQLGKINLKGFLTINSQPAVNGERSDSPSVGWGGPGGYVYQKAYLEFFCSLDKLDALVKKCNSFSSLTYVAVNKKGNLLSNIGLTDVNAVTWGVFPAKEIIQPTVVDPASFMVWKDEAFEIWSRSWSALYPDGDPSKNLLEEIQSSYYLVSLVDNNYMDGNIFGVFEDL >KJB37868 pep chromosome:Graimondii2_0_v6:6:47581432:47585801:1 gene:B456_006G223600 transcript:KJB37868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINNYKGFVRMTGFCKTKIPDEVTAALEPIKDNDEAVKSYGIHLGTEMCRKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKISRSLPWRRPANVFRVKEDVRPIFWANRPKSYLSRTIGWDQYPQGRWGDSRNPSYGALSDYQFMRPRARDKKLQEEWATPLKSIDDIQEKFKNHCLGKLRSSPWSELDGLQPETKIIHEQLGKINLKGFLTINSQPAVNGERSDSPSVGWGGPGGYVYQKAYLEFFCSLDKLDALVKKCNSFSSLTYVAVNKKGNLLSNIGLTDVNAVTWGVFPAKEIIQPTVVDPASFMVWKDEAFEIWSRSWSALYPDGDPSKNLLEEIQSSYYLVSLVDNNYMDGNIFGVFEDL >KJB37863 pep chromosome:Graimondii2_0_v6:6:47581344:47585801:1 gene:B456_006G223600 transcript:KJB37863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIDKIQSFSQDQGKVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNMICVETMMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQVEGGFSCALDLVKHIRAKYGDFFGITVAGYPEAHPDAIGSNGVATPEAYQSDLAYLKKKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFVRMTGFCKTKIPDEVTAALEPIKDNDEAVKSYGIHLGTEMCRKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKISRSLPWRRPANVFRVKEDVRPIFWANRPKSYLSRTIGWDQYPQGRWGDSRNPSYGALSDYQFMRPRARDKKLQEEWATPLKSIDDIQEKFKNHCLGKLRSSPWSELDGLQPETKIIHEQLGKINLKGFLTINSQPAVNGERSDSPSVGWGGPGGYVYQKAYLEFFCSLDKLDALVKKCNSFSSLTYVAVNKKGNLLSNIGLTDVNAVTWGVFPAKEIIQPTVVDPASFMVWKDEAFEIWSRSWSALYPDGDPSKNLLEEIQSSYYLVSLVDNNYMDGNIFGVFEDL >KJB34151 pep chromosome:Graimondii2_0_v6:6:17220159:17220662:-1 gene:B456_006G050300 transcript:KJB34151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSLKRLPILHRLVSKSNYNLPRISILLTKMKKPMVHKLIFLKKSGKLKRFKLLKHYNHGFLGEYQSDSPSSTNLIHYYNKKHEVGNTDIYSMLFWCKCFGCLKTQARGEEDCRLALEADHLSVVVPPTALTGEIDIEDDDSVDERAERFIQNFYAQMRLQRQESL >KJB34161 pep chromosome:Graimondii2_0_v6:6:17485148:17488352:1 gene:B456_006G051100 transcript:KJB34161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLAFLHINGAWLQYPELQHSNSRLFPKKINSISGLSGSFSEDSSSSLLLSRLLPLKRTQTFLSPKWLDKNPDATRTLIVKSQLNCPLISPNDQWGTWTALFATGAFGLWSENTKAGSALSGALVSTLIGLAASNLGIISSEAKVYSIVKEFLLPLAVPLLLFRADLRRVIKSTGKLLLAFLLGSVATTVGTALAYLIVPMRALGQDSWKIAAALMGRHIGGAVNYVAISNALETSESVLAAGLAADNVICAVYFTTLFALASKVPAETSTSPEDVAMGEGSKSDGKLPVLKIATALAVSFAICKLGAYLTKYFGIPGGILPAVTAIVVILATVFPTQFGHLAPSGEAMALILMQVFFTVVGASGNIWSVIRTAPSIFMFALVQISIHLALILGLGKLFKFDLKLLLIASNANVGGPTTASGMATAKGWSSMIIPGILAGIFGIAIATFVGYGFGVNVLKYM >KJB34162 pep chromosome:Graimondii2_0_v6:6:17485170:17488300:1 gene:B456_006G051100 transcript:KJB34162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLAFLHINGAWLQYPELQHSNSRLFPKKINSISGLSGSFSEDSSSSLLLSRLLPLKRTQTFLSPKWLDKNPDATRTLIVKSQLNCPLISPNDQWGTWTALFATGAFGLWSENTKAGSALSGALVSTLIGLAASNLGIISSEAKVYSIVKEFLLPLAVPLLLFRADLRRVIKSTGKLLLAFLLGSVGTALAYLIVPMRALGQDSWKIAAALMGRHIGGAAGLAADNVICAVYFTTLFALASKVPAETSTSPEDVAMGEGSKSDGKLPVLKIATALAVSFAICKLGAYLTKYFGIPGGILPAVTAIVVILATVFPTQFGHLAPSGEAMALILMQVFFTVVGASGNIWSVIRTAPSIFMFALVQISIHLALILGLGKLFKFDLKLLLIASNANVGGPTTASGMATAKGWSSMIIPGILAGIFGIAIATFVGYGFGVNVLKYM >KJB36192 pep chromosome:Graimondii2_0_v6:6:40435606:40436893:1 gene:B456_006G145900 transcript:KJB36192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQIKFAVVVKIMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >KJB36194 pep chromosome:Graimondii2_0_v6:6:40435908:40437118:1 gene:B456_006G145900 transcript:KJB36194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQIKFAVVVKIMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >KJB36193 pep chromosome:Graimondii2_0_v6:6:40435472:40437118:1 gene:B456_006G145900 transcript:KJB36193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQIKFAVVVKIMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >KJB35534 pep chromosome:Graimondii2_0_v6:6:36838610:36841255:1 gene:B456_006G118500 transcript:KJB35534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRQNHDYDVSSGFDMQLIGNFLSFASRGDRVGLNQMLRGGISPDVQDYDRRTALHLAASEGHAPIVELLLLYKANVNLIDRWNRTPLTDARLYGHRDICRILEVNGGKDMDDKEFINDQPTLNDQAPMTVRHEPDSNEVIDISELNTDKSSVINPQGVYGESEKVKWRGTWVVKTVIKSQIQHPVKMILSSKDNTLLQELRHPNILQFLGSIVQGEEMILITEYLPKDNLDLILKKKGRLDFPTALRYALDIARGMNYLHKHKPKPIVHNNLDPRNLLQDESGHLKIGEYWVQMLYEQIQPNQDACMSPTSHLQFHSFISCF >KJB35533 pep chromosome:Graimondii2_0_v6:6:36838610:36839782:1 gene:B456_006G118500 transcript:KJB35533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRQNHDYDVSSGFDMQLIGNFLSFASRGDRVGLNQMLRGGISPDVQDYDRRTALHLAASEGHAPIVELLLLYKANVNLIDRWNRTPLTDARLYGHRDICRILEVNGGKDMDDKEFINDQPTLNDQAPMTVRHEPDSNEVIDISELNTDKSSVINPQGVYGESEKVKWRGTWVVKTVIKSQIQHPVKMILSSKDNTLLQELRHPNILQFLGSIVQGEEMILITEYLPKVIPFTLTLISLLLFYF >KJB35704 pep chromosome:Graimondii2_0_v6:6:37824936:37829554:-1 gene:B456_006G126300 transcript:KJB35704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYDTTNVLFTKIKVLDPENASKIMGYILIQDLADRDLLRLAYGPDSLLHSLVLKAKAYLGLSSNTFSAPSLNPISRPNSSNSNNSQNPLPPSSPTLIPRNGFLEFSKKAPSWCPASSPKSSPFLSYESIRSGSLSVPQRSGDSNTDFIDETQMSDYFSFLNDSSSSKNEDFVGHRRSFSASDACFGTAEEAGGFGGFMGGYKPCLYFARGFCKNGDNCKFSHGLGGLTDNVDVNGAVVGSPSKMDFLYHQHEEIMRMRAAAAHQRLAAAQLMGGASSPLPYEKNMNVLLQHQTDAQRAAALMYGEETCKFSQGRAERNDYFAMGLEEKANSASKQIYLTFPADSTFKDEDVSNYFSMFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILSRGNPHFICDSRVLVKPYKEKGKVSDKRQHLQQQFERGNFSPCSSPSGLDSRESYDLHVGTKMFYNAQEMMLRRELEEQADLQQAIELQRRRFMNLQLPDFKNDGIHHHHCSLSVGASVPSSDSMKQEVSEVGGDNTAVAVPLIMNAAELEEVKSACVQKARVGKSQESSSPKGCHESSVEHALPDSPFASPKKPTENNLPERPALVESNESSALCAAASSSENDPLPPITSTSKMASV >KJB35703 pep chromosome:Graimondii2_0_v6:6:37823830:37829878:-1 gene:B456_006G126300 transcript:KJB35703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYDTTNVLFTKIKVLDPENASKIMGYILIQDLADRDLLRLAYGPDSLLHSLVLKAKAYLGLSSNTFSAPSLNPISRPNSSNSNNSQNPLPPSSPTLIPRNGFLEFSKKAPSWCPASSPKSSPFLSYESIRSGSLSVPQRSGDSNTDFIDETQMSDYFSFLNDSSSSKNEDFVGHRRSFSASDACFGTAEEAGGFGGFMGGYKPCLYFARGFCKNGDNCKFSHGLGGLTDNVDVNGAVVGSPSKMDFLYHQHEEIMRMRAAAAHQRLAAAQLMGGASSPLPYEKNMNVLLQHQTDAQRAAALMYGEETCKFSQGRAERNDYFAMGLEEKANSASKQIYLTFPADSTFKDEDVSNYFSMFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILSRGNPHFICDSRVLVKPYKEKGKVSDKRQHLQQQFERGNFSPCSSPSGLDSRESYDLHVGTKMFYNAQEMMLRRELEEQADLQQAIELQRRRFMNLQLPDFKNDGIHHHHCSLSVGASVPSSDSMKQEVSEDVMRAV >KJB35702 pep chromosome:Graimondii2_0_v6:6:37823805:37829878:-1 gene:B456_006G126300 transcript:KJB35702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYDTTNVLFTKIKVLDPENASKIMGYILIQDLADRDLLRLAYGPDSLLHSLVLKAKAYLGLSSNTFSAPSLNPISRPNSSNSNNSQNPLPPSSPTLIPRNGFLEFSKKAPSWCPASSPKSSPFLSYESIRSGSLSVPQRSGDSNTDFIDETQMSDYFSFLNDSSSSKNEDFVGHRRSFSASDACFGTAEEAGGFGGFMGGYKPCLYFARGFCKNGDNCKFSHGLGGLTDNVDVNGAVVGSPSKMDFLYHQHEEIMRMRAAAAHQRLAAAQLMGGASSPLPYEKNMNVLLQHQTDAQRAAALMYGEETCKFSQGRAERNDYFAMGLEEKANSASKQIYLTFPADSTFKDEDVSNYFSMFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILSRGNPHFICDSRVLVKPYKEKGKVSDKRQHLQQQFERGNFSPCSSPSGLDSRESYDLHVGTKMFYNAQEMMLRRELEEQADLQQAIELQRRRFMNLQLPDFKNDGIHHHHCSLSVGASVPSSDSMKQEVSEVGGDNTAVAVPLIMNAAELEEVKSACVQKARVGKSQESSSPKGCHESSVEHALPDSPFASPKKPTENNLPERPALVESNESSALCAAASSSENDPLPPITSTSKMASV >KJB35705 pep chromosome:Graimondii2_0_v6:6:37824129:37829651:-1 gene:B456_006G126300 transcript:KJB35705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLYHQHEEIMRMRAAAAHQRLAAAQLMGGASSPLPYEKNMNVLLQHQTDAQRAAALMYGEETCKFSQGRAERNDYFAMGLEEKANSASKQIYLTFPADSTFKDEDVSNYFSMFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILSRGNPHFICDSRVLVKPYKEKGKVSDKRQHLQQQFERGNFSPCSSPSGLDSRESYDLHVGTKMFYNAQEMMLRRELEEQADLQQAIELQRRRFMNLQLPDFKNDGIHHHHCSLSVGASVPSSDSMKQEVSEVGGDNTAVAVPLIMNAAELEEVKSACVQKARVGKSQESSSPKGCHESSVEHALPDSPFASPKKPTENNLPERPALVESNESSALCAAASSSENDPLPPITSTSKMASV >KJB35871 pep chromosome:Graimondii2_0_v6:6:38589169:38596192:1 gene:B456_006G131400 transcript:KJB35871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine-phosphatase PTP1 [Source:Projected from Arabidopsis thaliana (AT1G71860) UniProtKB/Swiss-Prot;Acc:O82656] MAAAANPRSFYSSSNPPHPNRFVFSADPPPKISLTPDQFNYCSEALKLFSQKLQMPDEINREFSHLQANRITPSEMMRRCTVGFNGANLDKNRYSDVIPFDTNRVVLYSCKDYRPAAKGYINASFVTTSSSENISKFIATQGPLPHTYEDFWEMVIQCHCPVIVMLTRLVDNYKTVKCGDYFQAENVPRQFGNICIDTKWIQETETSLLIRNLEVNYKESEDPPLSVLHIQYPEWPDHGVPTDTLAVREILKRVLQVPVNIGPILVHCSAGIGRTGTYCAIHNTVQRILIGDMSALDLANTISMFRSQRIGMVQTMDQYFFCYKAIVDELKELISDFSSEHSSKWIPNRS >KJB35865 pep chromosome:Graimondii2_0_v6:6:38589169:38595033:1 gene:B456_006G131400 transcript:KJB35865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine-phosphatase PTP1 [Source:Projected from Arabidopsis thaliana (AT1G71860) UniProtKB/Swiss-Prot;Acc:O82656] MAAAANPRSFYSSSNPPHPNRFVFSADPPPKISLTPDQFNYCSEALKLFSQKLQMPDEINREFSHLQANRITPSEMMRRCTVGFNGANLDKNRYSDVIPFDTNRVVLYSCKDYRPAAKGYINASFVTTSSSENISKFIATQGPLPHTYEDFWEMVIQCHCPVIVMLTRLVDNYKTVKCGDYFQAENVPRQFGNICIDTKWIQETETSLLIRNLEVNYKESEDPPLSVLHIQYPEWPDHGVPTDTLAVREILKRVLQVPVNIGPILVHCSAGIGRTGTYCAIHNTVQRILIGDMSALDLANTISMFRSQRIGMVQTMVLLPSVS >KJB35866 pep chromosome:Graimondii2_0_v6:6:38589413:38594367:1 gene:B456_006G131400 transcript:KJB35866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine-phosphatase PTP1 [Source:Projected from Arabidopsis thaliana (AT1G71860) UniProtKB/Swiss-Prot;Acc:O82656] MAAAANPRSFYSSSNPPHPNRFVFSADPPPKISLTPDQFNYCSEALKLFSQKLQMPDEINREFSHLQANRITPSEMMRRCTVGFNGANLDKNRYSDVIPFDTNRVVLYSCKDYRPAAKGYINASFVTTSSSENISKFIATQGPLPHTYEDFWEMVIQCHCPVIVMLTRLVDNYKTVKCGDYFQAENVPRQFGNICIDTKWIQETETSLLIRNLEVNYKESEDPPLSVLHIQYPEWPDHGVPTDTLAVREILKRVLQVPVNIGPILVHCRFNSALFF >KJB35869 pep chromosome:Graimondii2_0_v6:6:38589413:38594367:1 gene:B456_006G131400 transcript:KJB35869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine-phosphatase PTP1 [Source:Projected from Arabidopsis thaliana (AT1G71860) UniProtKB/Swiss-Prot;Acc:O82656] MAAAANPRSFYSSSNPPHPNRFVFSADPPPKISLTPDQFNYCSEALKLFSQKLQMPDEINREFSHLQANRITPSEMMRRCTVGFNGANLDKNRYSDVIPFDTNRVVLYSCKDYRPAAKGYINASFVTTSSSENISKFIATQGPLPHTYEDFWEMVIQCHCPVIVMLTRLVDNYKTVKCGDYFQAENVPRQFGNICIDTKWIQETETSLLIRNLEVNYKESEDPPLSVLHIQYPEWPDHGVPTDTLAVREILKRVLQVPVNIGPILVHCRFNSALFF >KJB35872 pep chromosome:Graimondii2_0_v6:6:38589169:38596142:1 gene:B456_006G131400 transcript:KJB35872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine-phosphatase PTP1 [Source:Projected from Arabidopsis thaliana (AT1G71860) UniProtKB/Swiss-Prot;Acc:O82656] MAAAANPRSFYSSSNPPHPNRFVFSADPPPKISLTPDQFNYCSEALKLFSQKLQMPDEINREFSHLQANRITPSEMMRRCTVGFNGANLDKNRYSDVIPFDTNRVVLYSCKDYRPAAKGYINASFVTTSSSENISKFIATQGPLPHTYEDFWEMVIQCHCPVIVMLTRLVDNYKTVKCGDYFQAENVPRQFGNICIDTKWIQETETSLLIRNLEVNYKESEDPPLSVLHIQYPEWPDHGVPTDTLAVREILKRVLQVPVNIGPILVHCSAGIGRTGTYCAIHNTVQRILIGDMSALDLANTISMFRSQRIGMVQTMDQYFFCYKAIVDELKELISDFSSEHSSKC >KJB35867 pep chromosome:Graimondii2_0_v6:6:38589933:38595610:1 gene:B456_006G131400 transcript:KJB35867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine-phosphatase PTP1 [Source:Projected from Arabidopsis thaliana (AT1G71860) UniProtKB/Swiss-Prot;Acc:O82656] MVSVDTNRVVLYSCKDYRPAAKGYINASFVTTSSSENISKFIATQGPLPHTYEDFWEMVIQCHCPVIVMLTRLVDNYKTVKCGDYFQAENVPRQFGNICIDTKWIQETETSLLIRNLEVNYKESEDPPLSVLHIQYPEWPDHGVPTDTLAVREILKRVLQVPVNIGPILVHCSAGIGRTGTYCAIHNTVQRILIGDMSALDLANTISMFRSQRIGMVQTMDQYFFCYKAIVDELKELISDFSSEHSSKC >KJB35864 pep chromosome:Graimondii2_0_v6:6:38589169:38591380:1 gene:B456_006G131400 transcript:KJB35864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine-phosphatase PTP1 [Source:Projected from Arabidopsis thaliana (AT1G71860) UniProtKB/Swiss-Prot;Acc:O82656] MAAAANPRSFYSSSNPPHPNRFVFSADPPPKISLTPDQFNYCSEALKLFSQKLQMPDEINREFSHLQANRITPSEMMRRCTVGFNGANLDKNRYSDVIPFDTNRVVLYSCKDYRPAAKGYINASFVTTSSSENISKFIATQGPLPHTYEDFWEMVIQCHCPVIVMLTRLVDNYKTVKCGDYFQAENVPRQFGNICIDTKWIQETETSLLIRNLEVNYKEV >KJB35870 pep chromosome:Graimondii2_0_v6:6:38589169:38596142:1 gene:B456_006G131400 transcript:KJB35870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine-phosphatase PTP1 [Source:Projected from Arabidopsis thaliana (AT1G71860) UniProtKB/Swiss-Prot;Acc:O82656] MAAAANPRSFYSSSNPPHPNRFVFSADPPPKISLTPDQFNYCSEALKLFSQKLQMPDEINREFSHLQANRITPSEMMRRCTVGFNGANLDKNRYSDVIPFDTNRVVLYSCKDYRPAAKGYINASFVTTSSSENISKFIATQGPLPHTYEDFWEMVIQCHCPVIVMLTRLVDNYKTVKCGDYFQAENVPRQFGNICIDTKWIQETETSLLIRNLEVNYKESEDPPLSVLHIQYPEWPDHGVPTDTLAVREILKRVLQVPVNIGPILVHCRYWEDWNILCYS >KJB35868 pep chromosome:Graimondii2_0_v6:6:38589169:38596142:1 gene:B456_006G131400 transcript:KJB35868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine-phosphatase PTP1 [Source:Projected from Arabidopsis thaliana (AT1G71860) UniProtKB/Swiss-Prot;Acc:O82656] MAAAANPRSFYSSSNPPHPNRFVFSADPPPKISLTPDQFNYCSEALKLFSQKLQMPDEINREFSHLQANRITPSEMMRRCTVGFNGANLDKNRYSDVIPFDTNRVVLYSCKDYRPAAKGYINASFVTTSSSENISKFIATQGPLPHTYEDFWEMVIQCHCPVIVMLTRLVDNYKSEDPPLSVLHIQYPEWPDHGVPTDTLAVREILKRVLQVPVNIGPILVHCSAGIGRTGTYCAIHNTVQRILIGDMSALDLANTISMFRSQRIGMVQTMDQYFFCYKAIVDELKELISDFSSEHSSKC >KJB33390 pep chromosome:Graimondii2_0_v6:6:2008620:2012901:-1 gene:B456_006G009100 transcript:KJB33390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLTSSPICSFPKQAQWKRFSNNRSSYHILNVFSPSQSEATTEISVENDIVRRSANYHPPIWDYDSLQSLGLHHVEDELYKERASKLKEEVRMMFDNVVDPLEKLELIDALQRLGLSYYFEDEIRKTLKNISNNLSSNVAWKKDNLYATSLEFRLLRKHGYEVNQDVFTSFMDKDGNIKASFTHDCKGLLNLYEASYHLVEGETMLENARELAAKLLKQCLKQNNDQYLSMLVEHALELPLHWRMLRLEARWFIDAYEKNKDKNPIILELAILDYNIVQAMHQEDLRYASGWWKDLGIGERLTFARDRLMENFLWSVGIIGAPQFERGRRIQTKVNALITYIDDVYDVYGTMDELELFTDAVERWDVNATQKLPNYMKLCFHALHSSINDMAFHTLKEQGIDVLPFLKNLWANLCKSYMLEARWYYIGYKPNLQEYIDNAWISISGPVLLGHAYLETNHVTKEGLQTFEEYHPNIIRWSSTVLRLANDLATSSYEIKRGDIPKSIQCYMHETGRSEEEAREHIKKLIDATWKNMNRDRMAAKSLSSQMFFETAMNLARVSMLVYQNDDGHGIEDGEPKERALRLFIQSIPSPK >KJB33391 pep chromosome:Graimondii2_0_v6:6:2008726:2012846:-1 gene:B456_006G009100 transcript:KJB33391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLTSSPICSFPKQAQWKRFSNNRSSYHILNVFSPSQSEATTEISVENDIVRRSANYHPPIWDYDSLQSLGLHHVEDELYKERASKLKEEVRMMFDNVVDPLEKLELIDALQRLGLSYYFEDEIRKTLKNISNNLSSNVAWKKDNLYATSLEFRLLRKHGYEVNQDVFTSFMDKDGNIKASFTHDCKGLLNLYEASYHLVEGETMLENARELAAKLLKQCLKQNNDQYLSMLVEHALELPLHWRMLRLEARWFIDAYEKNKDKNPIILELAILDYNIVQAMHQEDLRYASGWWKDLGIGERLTFARDRLMENFLWSVGIIGAPQFERGRRIQTKVNALITYIDDVYDVYGTMDELELFTDAVERWDVNATQKLPNYMKLCFHALHSSINDMAFHTLKEQGIDVLPFLKNLVLSQSPEFSSSSFSIFLMNTI >KJB34796 pep chromosome:Graimondii2_0_v6:6:31753398:31755795:1 gene:B456_006G084200 transcript:KJB34796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHFLCHGHGLCTLARDTSKKVHFSPQLQTDPRQNYLHAKSCLQPSDTPASKSLSWHLSSETKSTLKGTSQTLASNEITKPTIPASEVFQLVENEDNARAKSSAALFPASNELYHIGDFNFPRLADFGAAISAANKLQCQQVLEQLDVHKRLQLTLELVKNEMEISKIQESIAKAIEEKISGEQCRYLLNEQLKAIKKELGLETDDKTALSDCGGSYRD >KJB34795 pep chromosome:Graimondii2_0_v6:6:31753398:31756108:1 gene:B456_006G084200 transcript:KJB34795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHFLCHGHGLCTLARDTSKKVHFSPQLQTDPRQNYLHAKSCLQPSDTPASKSLSWHLSSETKSTLKGTSQTLASNEITKPTIPASEVFQLVENEDNARAKSSAALFPASNELYHIGDFNFPRLADFGAAISAANKLQCQQVLEQLDVHKRLQLTLELVKNEMEISKIQESIAKAIEEKISGEQCRYLLNEQLKAIKKELGLETDDKTALSDCGGSYRD >KJB38422 pep chromosome:Graimondii2_0_v6:6:49685163:49687833:-1 gene:B456_006G2539002 transcript:KJB38422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHPWKSFPGKKIEARLLCRILCKRTNLEHSGSWRSSTNAICKHKFVFHALCRLDKNFTSQSGLFAIRHGHLLRSWNTSSHQKVISHSQKIREHFSVVFVRLVAAMLLVTSASVALSNTRSWALSEENLLFLEAWRTIDRAYIDKTFNGQSWFRYREDALRNEPMNNREETYMAIRKMLATLNDPFTRFLEPEKFKSLRSGTQGALTGVGLSIGYPTESEGSRTGLVVISATPGGPANQAGISSGDIILEIDNASTESVGIYEAAERLQGPEGSSVELTVQTGPEIKHLTLTRAKISLNPVKSRLCEVPGSEKNYPKIGYIKLTSFNQKASAAVKEAIDTLRSNNVNAFVLDLRDNSGGLFPEGIETAKIWLDKGVIVYICDNRGVRDIYDTDGSSAIAASEPLAVLIMVSVTRISIR >KJB38423 pep chromosome:Graimondii2_0_v6:6:49684833:49687833:-1 gene:B456_006G2539002 transcript:KJB38423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHPWKSFPGKKIEARLLCRILCKRTNLEHSGSWRSSTNAICKHKFVFHALCRLDKNFTSQSGLFAIRHGHLLRSWNTSSHQKVISHSQKIREHFSVVFVRLVAAMLLVTSASVALSNTRSWALSEENLLFLEAWRTIDRAYIDKTFNGQSWFRYREDALRNEPMNNREETYMAIRKMLATLNDPFTRFLEPEKFKSLRSGTQGALTGVGLSIGYPTESEGSRTGLVVISATPGGPANQAGISSGDIILEIDNASTESVGIYEAAERLQGPEGSSVELTVQTGPEIKHLTLTRAKISLNPVKSRLCEVPGSEKNYPKIGYIKLTSFNQKASAAVKEAIDTLRSNNVNAFVLDLRDNSGGLFPEGIETAKIWLDKGVIVYICDNRGVRDIYDTDGSSAIAASEPLAVLVNKGTASASEILAGALKDNKRAVLFGEPTYGKGKIQSVFQLSDGSGLAVTVARYETPGHNDINKVYCRSLCISLALSP >KJB38421 pep chromosome:Graimondii2_0_v6:6:49684342:49687833:-1 gene:B456_006G2539002 transcript:KJB38421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHPWKSFPGKKIEARLLCRILCKRTNLEHSGSWRSSTNAICKHKFVFHALCRLDKNFTSQSGLFAIRHGHLLRSWNTSSHQKVISHSQKIREHFSVVFVRLVAAMLLVTSASVALSNTRSWALSEENLLFLEAWRTIDRAYIDKTFNGQSWFRYREDALRNEPMNNREETYMAIRKMLATLNDPFTRFLEPEKFKSLRSGTQGALTGVGLSIGYPTESEGSRTGLVVISATPGGPANQAGISSGDIILEIDNASTESVGIYEAAERLQGPEGSSVELTVQTGPEIKHLTLTRAKISLNPVKSRLCEVPGSEKNYPKIGYIKLTSFNQKASAAVKEAIDTLRSNNVNAFVLDLRDNSGGLFPEGIETAKIWLDKGVIVYICDNRGVRDIYDTDGSSAIAASEPLAVLVNKGTASASEILAGALKDNKRAVLFGEPTYGKGKIQSVFQLSDGSGLAVTVARYETPGHNDINKVGVIPDHPLPNSFPKDDDGFCGCLQDPASACYVNNVQLFKR >KJB38424 pep chromosome:Graimondii2_0_v6:6:49684342:49687833:-1 gene:B456_006G2539002 transcript:KJB38424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHPWKSFPGKKIEARLLCRILCKRTNLEHSGSWRSSTNAICKHKFVFHALCRLDKNFTSQSGLFAIRHGHLLRSWNTSSHQKVISHSQKIREHFSVVFVRLVAAMLLVTSASVALSNTRSWALSEENLLFLEAWRTIDRAYIDKTFNGQSWFRYREDALRNEPMNNREETYMAIRKMLATLNDPFTRFLEPEKFKSLRSGTQGALTGVGLSIGYPTESEGSRTGLVVISATPGGPANQAGISSGDIILEIDNASTESVGIYEAAERLQGPEGSSVELTVQTGPEIKHLTLTGGLFPEGIETAKIWLDKGVIVYICDNRGVRDIYDTDGSSAIAASEPLAVLVNKGTASASEILAGALKDNKRAVLFGEPTYGKGKIQSVFQLSDGSGLAVTVARYETPGHNDINKVGVIPDHPLPNSFPKDDDGFCGCLQDPASACYVNNVQLFKR >KJB38832 pep chromosome:Graimondii2_0_v6:6:51037379:51040550:1 gene:B456_006G274600 transcript:KJB38832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNRDSVLKALNGHSDHGRIEMKKILPKISFTLSLQMRSKLLLLSQQYTFSISDLFQVGDMVQQMKIY >KJB37198 pep chromosome:Graimondii2_0_v6:6:45053969:45061047:1 gene:B456_006G193800 transcript:KJB37198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQDPAQGSIFPSLSSSSSRSRTRRRASQPAAMDLELNFEISRTAEFILNRNFTRVALQFPDDLLKHSTKVVTCLRNKLGSGNKVGLFVMADTTFGSCCVDEVGASHIGADCVIHYGHTCLSPTSTLPAFCVFGKASISIPLCVEKLSSYFGTNGKPIVVLYGLEYAHAISHIKEAMVEAMPKTKLELIFADIMCSIINPLEDHRKSDELGYLGSCSSVNSLGAATGTRCSLGGLIWDLLEGRRMEDYLLLWIGPDNSAFSNVVLTFNGCEIVRYDATEDQLVTDVSQQKRILKRRYYLVERAKDANMVGILVGTLGVAGYLHMIRQMKELIMLAGKKAYTLVMGRPNPAKLANFPECDVFIYVSCAQTALLDSKEFLAPVITPFEAMLAFSRGSQWTGTYIMEFRDLINSSQLEVSKQPEEARFSFLKGGYVEDFELQENGDGDNDGTLALANVTEKALQLRDRDPDSLVKGIAKSGAEFFVTRSYHGLETQANSSSPEPYLIGRSGKASGYKDERSEPETL >KJB37996 pep chromosome:Graimondii2_0_v6:6:48062274:48063298:1 gene:B456_006G231000 transcript:KJB37996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLHLQAIPHTKNIIMERCKKFAFLMVAMALLLQSMVPPTALAALNNVMEYNPLASVFSREGPGCIAKGGFCLFDLTSCCRPCGCLAGWCYNIDHDCNEYT >KJB36161 pep chromosome:Graimondii2_0_v6:6:40234184:40234612:1 gene:B456_006G144000 transcript:KJB36161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVWKSKDVPANALLHNWNYAPRVFARLHVVYTNGADGRSQWIQPPKGTLKCNTNAAVFQKANMTTWVAMLRDSIGSFVGCCFGFGDGCMKANMVEALAVREAPSWLKDKIIAAIIMETNCPTVIEACTNPAEDDSEMGIGS >KJB35005 pep chromosome:Graimondii2_0_v6:6:33312794:33321867:-1 gene:B456_006G094900 transcript:KJB35005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVAKSGDGFFLTPHIYTFRIPQVEGGSYVSIKVCWSQKLPYKDGQFCLTVPFSFPTYVIPVGKKIPKREKIQLNVNSGSGTELMIQCSSYPLKELSREVRKLSFVYEAEVPAWSTSDLDFAYTVTSSDLFGGVLLQSPALRDFDEREMFCLYLFPGNKQIRRVFRREVVFVVDISQSMQGLPIENVKNALLASLSKLNPQDSFNIITFNSESNLFSPTMVLATHGSILKATQWLSSNILTTDGGTNIMQPLKQAMKLLSDTSESIPLVFLITDGSVEDEREICNVVKGCLTSGGSVSPRIFTFGIGLYCNHYFLQMLAQIGRGHYDCTYNADNIELRMERLFTTASSVVLADITMNIPENLDSLELFPSRIPDLSFGSPLIMSGRYKGDFPDTIKVKGRLADMSTFIMDLKVQNAKDMSFDRILTRRQIDILTCHAWLSKSKELEDKVAKISLQTSFPSEYTCLILLQTDSEKKVPEPMLLQEIFNKISLPKKGDSKTQKLVSLGCLGVGFGNLTATAKNIPPGANEPKSPEGAEILVNAATNCFSLVLDRCCCMCCIQTLSYMNNQCYIVLTQLCTALACCECINCCYELCECF >KJB35006 pep chromosome:Graimondii2_0_v6:6:33313051:33321706:-1 gene:B456_006G094900 transcript:KJB35006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSVIADEFSSSVSCGLMLSKRIYYGKGASPTPALMSRSLSSTESCYLPTAVMAYAVVSEPSVVDNPDVPSYQPYVHGRCEPPALIPLHMHEVSMEVDCWMDTAFVTASGAWRVHCIMAGRRCDCRVAVPMGEQGSILGVEVNISETEKLSYNSKWVTLADSKDMEKVAKSGDGFFLTPHIYTFRIPQVEGGSYVSIKVCWSQKLPYKDGQFCLTVPFSFPTYVIPVGKKIPKREKIQLNVNSGSGTELMIQCSSYPLKELSREVRKLSFVYEAEVPAWSTSDLDFAYTVTSSDLFGGVLLQSPALRDFDEREMFCLYLFPGNKQIRRVFRREVVFVVDISQSMQGLPIENVKNALLASLSKLNPQDSFNIITFNSESNLFSPTMVLATHGSILKATQWLSSNILTTDGGTNIMQPLKQAMKLLSDTSESIPLVFLITDGSVEDEREICNVVKGCLTSGGSVSPRIFTFGIGLYCNHYFLQMLAQIGRGHYDCTYNADNIELRMERLFTTASSVVLADITMNIPENLDSLELFPSRIPDLSFGSPLIMSGRYKGDFPDTIKVKGRLADMSTFIMDLKVQNAKDMSFDRILTRRQIDILTCHAWLSKSKELEDKVAKISLQTSFPSEYTCLILLQTDSEKKVPEPMLLQEIFNKISLPKKGDSKTQKLVSLGCLGVGFGNLTATAKNIPPGANEPKSPEGAEILVNAATNCFSLVLDRCCCMCCIQTLSYMNNQCYIVLTQLCTALACCECINCCYELCECF >KJB35007 pep chromosome:Graimondii2_0_v6:6:33312853:33321745:-1 gene:B456_006G094900 transcript:KJB35007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSVIADEFSSSVSCGLMLSKRIYYGKGASPTPALMSRSLSSTESCYLPTAVMAYAVVSEPSVVDNPDVPSYQPYVHGRCEPPALIPLHMHEVSMEVDCWMDTAFVTASGAWRVHCIMAGRRCDCRVAVPMGEQGSILGVEVNISETEKLSYNSKWVTLADSKDMEKVAKSGDGFFLTPHIYTFRIPQVEGGSYVSIKVCWSQKLPYKDGQFCLTVPFSFPTYVIPVGKKIPKREKIQLNVNSGSGTELMIQCSSYPLKELSREVRKLSFVYEAEVPAWSTSDLDFAYTVTSSDLFGGVLLQSPALRDFDEREMFCLYLFPGNKQIRRVFRREVVFVVDISQSMQGLPIENVKNALLASLSKLNPQDSFNIITFNSESNLFSPTMVLATHGSILKATQWLSSNILTTDGGTNIMQPLKQAMKLLSDTSESIPLVFLITDGSVEDEREICNVVKGLYCNHYFLQMLAQIGRGHYDCTYNADNIELRMERLFTTASSVVLADITMNIPENLDSLELFPSRIPDLSFGSPLIMSGRYKGDFPDTIKVKGRLADMSTFIMDLKVQNAKDMSFDRILTRRQIDILTCHAWLSKSKELEDKVAKISLQTSFPSEYTCLILLQTDSEKKVPEPMLLQEIFNKISLPKKGDSKTQKLVSLGCLGVGFGNLTATAKNIPPGANEPKSPEGAEILVNAATNCFSLVLDRCCCMCCIQTLSYMNNQCYIVLTQLCTALACCECINCCYELCECF >KJB33456 pep chromosome:Graimondii2_0_v6:6:2508870:2509526:-1 gene:B456_006G0116002 transcript:KJB33456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IDEAENKNKNKNGELLLKRQGIQPKVKKTVSFAENGNVYRIISNGDEVTSSGDGSLTDESVSSDERGDTMENLVKESEDLVENLEEAMNLNKQARSNGVEDYQIQGDDFVFSAPLPVKMESKAVLMKKRNGVLKIVSS >KJB35999 pep chromosome:Graimondii2_0_v6:6:39932502:39934721:1 gene:B456_006G141600 transcript:KJB35999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNVRSACFSVLSCKKPNRIRAVASEDFATTAALKTDTEDKLKLGGSELKVTRLGIGAWSWGDTSYWNNFQWDGCHIFVSGALTGKYKPENPPSGPRGRIYTPEFLTKLQPLLIRIKEIGGNYGKTPTQSVYNNLIIMAYTSWENLGSSW >KJB35998 pep chromosome:Graimondii2_0_v6:6:39932502:39934721:1 gene:B456_006G141600 transcript:KJB35998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNVRSACFSVLSCKKPNRIRAVASEDFATTAALKTDTEDKLKLGGSELKVTRLGIGAWSWGDTSYWNNFQWDGCHIFVSGALTGKYKPENPPSGPRGRIYTPEFLTKLQPLLIRIKEIGGNYGKTPTQVVLNWLIAQENVVPIPGAKNAAQAKEFVGALGWRLSNEEVDELRSLASEISPVTGFPVEKL >KJB36000 pep chromosome:Graimondii2_0_v6:6:39932502:39933711:1 gene:B456_006G141600 transcript:KJB36000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNVRSACFSVLSCKKPNRIRAVASEDFATTAALKTDTEDKLKLGGSELKVTRLGIGAWSWGDTSYWNNFQWDGCHIFVSGALTGKYKPENPPSGPRGRIYTPEFLTKVCLLSISYLNFNFRLDC >KJB36001 pep chromosome:Graimondii2_0_v6:6:39932502:39934029:1 gene:B456_006G141600 transcript:KJB36001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNVRSACFSVLSCKKPNRIRAVASEDFATTAALKTDTEDKLKLGGSELKVTRLGIGAWSWGDTSYWNNFQWDGCHIFVSGALTGKYKPENPPSGPRGRIYTPEFLTKLQPLLIRIKEIGGNYGKTPTQLNYNHGH >KJB38010 pep chromosome:Graimondii2_0_v6:6:48200436:48203523:1 gene:B456_006G233200 transcript:KJB38010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSAPHFSIHCSKSDSDYARNLICFPLKIGGPSSHFLKKLVLSSRSVNEKISNNTCFANSPVPSTATRSKVKRHTISVFVGDESGMINRIAGDFARRGYNIEFLAVGLNKDKALFTIVVSGTETVLQQVEDISNEPQVERELMLVKVTMDPKFRAEVTGDPGKMLAVQRNLSKFGIKEIARTAKIALRREKMGASAPFWRLSAASYPDLQETVPNNALARATDRSVVSDADASGGGDVYPVESFDGFAVNQVLDAHWGVLIGDNVRMLISGRVSLYSTCTLMLLKFCWLLSIHEQAPSFKCTSTCASLPK >KJB38011 pep chromosome:Graimondii2_0_v6:6:48200436:48203523:1 gene:B456_006G233200 transcript:KJB38011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSAPHFSIHCSKSDSDYARNLICFPLKIGGPSSHFLKKLVLSSRSVNEKISNNTCFANSPVPSTATRSKVKRHTISVFVGDESGMINRIAGDFARRGYNIEFLAVGLNKDKALFTIVVSGTETVLQQVEDISNEPQVERELMLVKVTMDPKFRAEVTGDPGKMLAVQRNLSKFGIKEIARTAKIALRREKMGASAPFWRLSAASYPDLQETVPNNALARATDRSVVSDADASGGLMQGDVYPVESFDGFAVNQVLDAHWGVLIGDNVRMLISGRVSLYSTCTLMLLKFCWLLSIHEQAPSFKCTSTCASLPK >KJB38012 pep chromosome:Graimondii2_0_v6:6:48200436:48203523:1 gene:B456_006G233200 transcript:KJB38012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSAPHFSIHCSKSDSDYARNLICFPLKIGGPSSHFLKKLVLSSRSVNEKISNNTCFANSPVPSTATRSKVKRHTISVFVGDESGMINRIAGDFARRGYNIEFLAVGLNKDKALFTIVVSGTETVLQQVEDISNEPQVERELMLVKVTMDPKFRAEVTGDPGKMLAVQRNLSKFGIKEIARTAKIALRREKMGASAPFWRLSAASYPDLQETVPNNALARATDRSVVSDADASGGFLCIWKMLCQRLA >KJB37377 pep chromosome:Graimondii2_0_v6:6:45880718:45882376:-1 gene:B456_006G202400 transcript:KJB37377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLSTPLSHNSLSSPQLSTKRHGCPLHQPHVPLRITCSSSASKRVPKSEESSSHFKELRTVACGLLAVCTVATASPVIAANQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLWLCDYTNEKLNLKGKSLTAALISDAKFDGADMSEAVMSKAYAVGASFKGTDFLNAVLDRVNFGKANLQGDIFKNTVLSGSTFDNAQLEDAVFEDTIIDYIDLQKLCTNTSISAEGRVELGYR >KJB37378 pep chromosome:Graimondii2_0_v6:6:45880768:45882213:-1 gene:B456_006G202400 transcript:KJB37378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSMEAASKRVPKSEESSSHFKELRTVACGLLAVCTVATASPVIAANQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLWLCDYTNEKLNLKGKSLTAALISDAKFDGADMSEAVMSKAYAVGASFKGTDFLNAVLDRVNFGKANLQGDIFKNTVLSGSTFDNAQLEDAVFEDTIIDYIDLQKLCTNTSISAEGRVELGYR >KJB37376 pep chromosome:Graimondii2_0_v6:6:45880718:45882364:-1 gene:B456_006G202400 transcript:KJB37376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLSTPLSHNSLSSPQLSTKRHGCPLHQPHVPLRITCSSSASKRVPKSEESSSHFKELRTVACGLLAVCTVATASPVIAANQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLWLCDYTNEKLNLKGKSLTAALISDAKFDGADMSEAVMSKAYAVGASFKDFLNAVLDRVNFGKANLQGDIFKNTVLSGSTFDNAQLEDAVFEDTIIDYIDLQKLCTNTSISAEGRVELGYR >KJB35493 pep chromosome:Graimondii2_0_v6:6:36675507:36677135:-1 gene:B456_006G117300 transcript:KJB35493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSTCSPILSSTQPKAVMMIRAVRNPKALEKTTSLKMHSSPLKSMKTSILHSFSNFIDPPLNPSVDPTHVFKGNLAPVDEMEPTDCEVIEGELPLCLNGVYIRNGPNPQLQPRRALNLFEGDGMLHSLRLSNGKATYCNRYVKTYKYLVEKEVGFPIFPNMLSGLYGLLDVARLSIFIKRLVTGNLDILKGMGVANTSVAAVSGKLLALCESDLPYIVNATQNGDIETLGRWEIDKNLHSNMTAHPKVDSETKETFAYSWSFKTPHLTFFRIDEKGVKQNEVPIFSVHRPSIIHDFAITKRFAIFHETQLSFSLSKVLTGRGSPLVYEPDKTTRIGIIPRYANSDSKMKWFEVPRFNASHIVNAWENGEDEIIVVASNILSLENFLDSMKLDVVLEKVKINTQTGNVCRNLLSTRKLDLGSINTSYMGKKTRYAYLGVIEEVPKMSGLVKIDLETGDEVGRRFYRDDCFGGEPLFVRRNVGDDEDDGFVMTFVHNERTDESMFLLMDPKSPDFATIAAVKLPRRVPYGFHSLFFTNSKIPI >KJB35499 pep chromosome:Graimondii2_0_v6:6:36691611:36694888:-1 gene:B456_006G117500 transcript:KJB35499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIGFLGLLFLLLALYCGIDPFKHSAISEFPDFESYKVDLPPWELVPADRDKDNLLQKSEIKFLNQVQGPESMAFDPLGRGPYTGVADGRVLLWDGQNWKDFAYTSSNRSEICNPKPSPQSYLPNEHICGRPLGLRFDKNTGDLYIADAYLGLFKVGPEGGLATPLVTEVDGVPLRFTNDLDIDDEGNIYFTDSSSKFQRRNFMQLVFSSENSGRLLKYNLYTKETAVLVRNLQFPNGVSLSKDGSFLVFCEGCPGRLLKYWLKGEKAGSTEVFAILPGFPDNVRTNKEGNSFSSSLYLQKFNTCFRLAVSCTELL >KJB35496 pep chromosome:Graimondii2_0_v6:6:36691611:36694888:-1 gene:B456_006G117500 transcript:KJB35496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIGFLGLLFLLLALYCGIDPFKHSAISEFPDFESYKVDLPPWELVPADRDKDNLLQKSEIKFLNQVQGPESMAFDPLGRGPYTGVADGRVLLWDGQNWKDFAYTSSNRSEICNPKPSPQSYLPNEHICGRPLGLRFDKNTGDLYIADAYLGLFKVGPEGGLATPLVTEVDGVPLRFTNDLDIDDEGNIYFTDSSSKFQRRNFMQLVFSSENSGRLLKYNLYTKETAVLVRNLQFPNGVSLSKDGSFLVFCEGCPDYSSTG >KJB35497 pep chromosome:Graimondii2_0_v6:6:36691611:36694888:-1 gene:B456_006G117500 transcript:KJB35497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIGFLGLLFLLLALYCGIDPFKHSAISEFPDFESYKVDLPPWELVPADRDKDNLLQKSEIKFLNQVQGPESMAFDPLGRGPYTGVADGRVLLWDGQNWKDFAYTSSNRSEICNPKPSPQSYLPNEHICGRPLGLRFDKNTGDLYIADAYLGLFKVGPEGGLATPLVTEVDGVPLRFTNDLDIDDEGNIYFTDSSSKFQRRNFMQLVFSSENSGRLLKYNLYTKETAVLVRNLQFPNGVSLSKDGSFLVFCEGCPGRISGQCPNK >KJB35498 pep chromosome:Graimondii2_0_v6:6:36692642:36694623:-1 gene:B456_006G117500 transcript:KJB35498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIGFLGLLFLLLALYCGIDPFKHSAISEFPDFESYKVDLPPWELVPADRDKDNLLQKSEIKFLNQVQGPESMAFDPLGRGPYTGVADGRVLLWDGQNWKDFAYTSSNRSEICNPKPSPQSYLPNEHICGRPLGLRFDKNTGDLYIADAYLGLFKVGPEGGLATPLVTEVDGVPLRFTNDLDIDDEGNIYFTDSSSKFQRRNFMQLVFSSENSGRLLKYNLYTKETAVLVRNLQFPNGVSLSKDGSFLVFCEGCPGRFACFYMYSNIAVLDLHVL >KJB35500 pep chromosome:Graimondii2_0_v6:6:36691953:36694623:-1 gene:B456_006G117500 transcript:KJB35500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIGFLGLLFLLLALYCGIDPFKHSAISEFPDFESYKVDLPPWELVPADRDKDNLLQKSEIKFLNQVQGPESMAFDPLGRGPYTGVADGRVLLWDGQNWKDFAYTSSNRSEICNPKPSPQSYLPNEHICGRPLGLRFDKNTGDLYIADAYLGLFKVGPEGGLATPLVTEVDGVPLRFTNDLDIDDEGNIYFTDSSSKFQRRNFMQLVFSSENSGRLLKYNLYTKETAVLVRNLQFPNGVSLSKDGSFLVFCEGCPGRFACFYILLKYWLKGEKAGSTEVFAILPGFPDNVRTNKEGEFWVAIHCRRFTYAHIMGLYPKLRKFILKLPISAKIQYLLQIGGKLHGIVVKYSPDGKLLQVLEDSEGKVVKAVSEVEERDGKLWLGSVLMPFVAVYNLA >KJB35495 pep chromosome:Graimondii2_0_v6:6:36691564:36694930:-1 gene:B456_006G117500 transcript:KJB35495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIGFLGLLFLLLALYCGIDPFKHSAISEFPDFESYKVDLPPWELVPADRDKDNLLQKSEIKFLNQVQGPESMAFDPLGRGPYTGVADGRVLLWDGQNWKDFAYTSSNRSEICNPKPSPQSYLPNEHICGRPLGLRFDKNTGDLYIADAYLGLFKVGPEGGLATPLVTEVDGVPLRFTNDLDIDDEGNIYFTDSSSKFQRRNFMQLVFSSENSGRLLKYNLYTKETAVLVRNLQFPNGVSLSKDGSFLVFCEGCPGRLLKYWLKGEKAGSTEVFAILPGFPDNVRTNKEGEFWVAIHCRRFTYAHIMGLYPKLRKFILKLPISAKIQYLLQIGGKLHGIVVKYSPDGKLLQVLEDSEGKVVKAVSEVEERDGKLWLGSVLMPFVAVYNLA >KJB35891 pep chromosome:Graimondii2_0_v6:6:38666941:38674264:-1 gene:B456_006G132400 transcript:KJB35891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIESNLRDKKNSYDMDIVRDIEITEASNEQEAKESIVENSPTTLGKENGASQSINVEMDSQIWEPPEPEYPVDDLEGTVAYDDDDDECGDGTEWGKPSSLSHIIDDDNGRYRFKEEKKRAMEEVINGKFKAIISQFLKSVGVACSVNDSNSWVDIVISLSWEAAMFLNPDAIDDKANGPDRYVKVKCIATGSRSQSQLIKGLVFKKHAAHKRMQTRYKNPRLLLIQGVLGQSSSGLSSFSSLDEEKCHLKCLSEMIDMCHPNVILVEKTVSRDFQECILEKGITLVFDMKLHRLERVALCTGLPVIPSETLMNQKLKQNDSFKQCDSFHIEKFVEEHACSGEGGKRPSKTLMFLEGCPKHLGCTILLKGSHSEELKKIKCVVQNAVVMAYHLILETSFLTDQKAMFSRILFTGIANVLLPGRESCASEIGNLSVPCLDESIAETRSHEIDIPISNAFHEEGYHINGEINGDQIMNSGLDYNSALSLEPYNPAILSGLSSISASLKKVIGNNFPLASTAPYRSLSAYFGLNGMQSKLTEAVPTVKCFEVTDEFYVESKGDPDEKKPLEDRPQSSHAFFEAPFDLKANGHDNEELNNKDLNTMLNSQSILVLISSRNALRETICKQSHFSHIMFYGNFDVPLGKFLQDNLLNQGSQCAVCAEPPKVHFYHYAHHSKQLTIQVKQLPKHLPGEAQGKLWMWSRCGKCKTGNGISKTTKRVLMSTAARSLSFGKFLELSFSEHSSFGQSSSCGHSLHKDFLYFFGSGPMVAMFSCSSVTTYTMSMPPQQLEFSKSIRSDWLKEEFENVYMKGMLMFREVASFLVQIRSQFEGSTLNLKGSLKEFSDVEEMLKLEASDFEVNFQNAVAENGNANLGFHPLLSLTQVRWVLLLESCLWDRRLHSLLLPDPTVVVVGANNKAVLEQLESDMSSADGEDNRTEAKSGNGDKCSYNTGNLKDETGSFVERNEFSGDKFSSNVPVKKFEECDSIHNSTMVENIGKPAVNGTCPVKSSSLEFVVTSNISVLPHLGDENYQAEDELLSDHLQVDRTIPISTELSSDESIVDSNGSSRGGSPRSLLSSLENLNGWFWMPLSEIRQIYMKDLLRGKAPKFESISSYTPEKIPTGNQLIRDEGTRLHIPLGMNDYILSDYESELSSIIACALLSLKDHAVAEMSNEDGRRDKLTESLRRHIQVPTITSPHWSPSGSSDSDSVSSLSISSDESQFSSFDGLNLMDSLVPPDALNIEVPLGVSKSLGKGKYTVVCLYANQFRDLRERCCPSELDYIASLSRCRNWDAKGGKSKSFFGKTLDDRFIIKEIKKTEYDSFEKFALHYFKYMNQAFESGSQTCLAKVLGIYQVIVRQPKTGKETRNDLMVMENLTFGRNITRQYDLKGALHARLSSAADGSGDVLLDQNFVNDMNSSPLYLSNKNKRLLQRAIWNDTTFLNSINVMDYSLLLGVDTQRRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVLPTVISPKEYKKRFRKFMSTYFFSVPDDWCSQESCDPCELGGGIRDDDIDMSSQSK >KJB35890 pep chromosome:Graimondii2_0_v6:6:38666484:38676356:-1 gene:B456_006G132400 transcript:KJB35890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMCHYCGAELAKSIEEKRKQDNGNAVISSTGDPIWSCKLCCERKGRDFVKQDGITPYATPMISPIFSLSCSDRSYSSCSDFSVDINSYDQWDGQEVVSKNILPNGRLQHLSSEGPRKRVDSLNMMIESNLRDKKNSYDMDIVRDIEITEASNEQEAKESIVENSPTTLGKENGASQSINVEMDSQIWEPPEPEYPVDDLEGTVAYDDDDDECGDGTEWGKPSSLSHIIDDDNGRYRFKEEKKRAMEEVINGKFKAIISQFLKSVGVACSVNDSNSWVDIVISLSWEAAMFLNPDAIDDKANGPDRYVKVKCIATGSRSQSQLIKGLVFKKHAAHKRMQTRYKNPRLLLIQGVLGQSSSGLSSFSSLDEEKCHLKCLSEMIDMCHPNVILVEKTVSRDFQECILEKGITLVFDMKLHRLERVALCTGLPVIPSETLMNQKLKQNDSFKQCDSFHIEKFVEEHACSGEGGKRPSKTLMFLEGCPKHLGCTILLKGSHSEELKKIKCVVQNAVVMAYHLILETSFLTDQKAMFSRILFTGIANVLLPGRESCASEIGNLSVPCLDESIAETRSHEIDIPISNAFHEEGYHINGEINGDQIMNSGLDYNSALSLEPYNPAILSGLSSISASLKKVIGNNFPLASTAPYRSLSAYFGLNGMQSKLTEAVPTVKCFEVTDEFYVESKGDPDEKKPLEDRPQSSHAFFEAPFDLKANGHDNEELNNKDLNTMLNSQSILVLISSRNALRETICKQSHFSHIMFYGNFDVPLGKFLQDNLLNQGSQCAVCAEPPKVHFYHYAHHSKQLTIQVKQLPKHLPGEAQGKLWMWSRCGKCKTGNGISKTTKRVLMSTAARSLSFGKFLELSFSEHSSFGQSSSCGHSLHKDFLYFFGSGPMVAMFSCSSVTTYTMSMPPQQLEFSKSIRSDWLKEEFENVYMKGMLMFREVASFLVQIRSQFEGSTLNLKGSLKEFSDVEEMLKLEASDFEVNFQNAVAENGNANLGFHPLLSLTQVRWVLLLESCLWDRRLHSLLLPDPTVVVVGANNKAVLEQLESDMSSADGEDNRTEAKSGNGDKCSYNTGNLKDETGSFVERNEFSGDKFSSNVPVKKFEECDSIHNSTMVENIGKPAVNGTCPVKSSSLEFVVTSNISVLPHLGDENYQAEDELLSDHLQVDRTIPISTELSSDESIVDSNGSSRGGSPRSLLSSLENLNGWFWMPLSEIRQIYMKDLLRGKAPKFESISSYTPEKIPTGNQLIRDEGTRLHIPLGMNDYILSDYESELSSIIACALLSLKDHAVAEMSNEDGRRDKLTESLRRHIQVPTITSPHWSPSGSSDSDSVSSLSISSDESQFSSFDGLNLMDSLVPPDALNIEVPLGVSKSLGKGKYTVVCLYANQFRDLRERCCPSELDYIASLSRCRNWDAKGGKSKSFFGKTLDDRFIIKEIKKTEYDSFEKFALHYFKYMNQAFESGSQTCLAKVLGIYQVIVRQPKTGKETRNDLMVMENLTFGRNITRQYDLKGALHARLSSAADGSGDVLLDQNFVNDMNSSPLYLSNKNKRLLQRAIWNDTTFLNSINVMDYSLLLGVDTQRRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVLPTVISPKEYKKRFRKFMSTYFFSVPDDWCSQESCDPCELGGGIRDDDIDMSSQSK >KJB35892 pep chromosome:Graimondii2_0_v6:6:38666564:38676356:-1 gene:B456_006G132400 transcript:KJB35892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIESNLRDKKNSYDMDIVRDIEITEASNEQEAKESIVENSPTTLGKENGASQSINVEMDSQIWEPPEPEYPVDDLEGTVAYDDDDDECGDGTEWGKPSSLSHIIDDDNGRYRFKEEKKRAMEEVINGKFKAIISQFLKSVGVACSVNDSNSWVDIVISLSWEAAMFLNPDAIDDKANGPDRYVKVKCIATGSRSQSQLIKGLVFKKHAAHKRMQTRYKNPRLLLIQGVLGQSSSGLSSFSSLDEEKCHLKCLSEMIDMCHPNVILVEKTVSRDFQECILEKGITLVFDMKLHRLERVALCTGLPVIPSETLMNQKLKQNDSFKQCDSFHIEKFVEEHACSGEGGKRPSKTLMFLEGCPKHLGCTILLKGSHSEELKKIKCVVQNAVVMAYHLILETSFLTDQKAMFSRILFTGIANVLLPGRESCASEIGNLSVPCLDESIAETRSHEIDIPISNAFHEEGYHINGEINGDQIMNSGLDYNSALSLEPYNPAILSGLSSISASLKKVIGNNFPLASTAPYRSLSAYFGLNGMQSKLTEAVPTVKCFEVTDEFYVESKGDPDEKKPLEDRPQSSHAFFEAPFDLKANGHDNEELNNKDLNTMLNSQSILVLISSRNALRETICKQSHFSHIMFYGNFDVPLGKFLQDNLLNQGSQCAVCAEPPKVHFYHYAHHSKQLTIQVKQLPKHLPGEAQGKLWMWSRCGKCKTGNGISKTTKRVLMSTAARSLSFGKFLELSFSEHSSFGQSSSCGHSLHKDFLYFFGSGPMVAMFSCSSVTTYTMSMPPQQLEFSKSIRSDWLKEEFENVYMKGMLMFREVASFLVQIRSQFEGSTLNLKGSLKEFSDVEEMLKLEASDFEVNFQNAVAENGNANLGFHPLLSLTQVRWVLLLESCLWDRRLHSLLLPDPTVVVVGANNKAVLEQLESDMSSADGEDNRTEAKSGNGDKCSYNTGNLKDETGSFVERNEFSGDKFSSNVPVKKFEECDSIHNSTMVENIGKPAVNGTCPVKSSSLEFVVTSNISVLPHLGDENYQAEDELLSDHLQVDRTIPISTELSSDESIVDSNGSSRGGSPRSLLSSLENLNGWFWMPLSEIRQIYMKDLLRGKAPKFESISSYTPEKIPTGNQLIRDEGTRLHIPLGMNDYILSDYESELSSIIACALLSLKDHAVAEMSNEDGRRDKLTESLRRHIQVPTITSPHWSPSGSSDSDSVSSLSISSDESQFSSFDGLNLMDSLVPPDALNIEVPLGVSKSLGKGKYTVVCLYANQFRDLRERCCPSELDYIASLSRCRNWDAKGGKSKSFFGKTLDDRFIIKEIKKTEYDSFEKFALHYFKYMNQAFESGSQTCLAKVLGIYQ >KJB34864 pep chromosome:Graimondii2_0_v6:6:32249204:32254012:-1 gene:B456_006G087600 transcript:KJB34864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGVLCRISPRLGPHLNQRWRQHYLKHTHWSDGYPETTFLRPLVSQPTTPLAISRYLTLVVSLLSLYLQFHGLFLSPQPIPLHHLTRFSRFFHTWTHPNGSILQPHDLIEPHFVLTQNRKEEHTQSSALSSTFFSDRNQHCNPLDSSSSHCTFVLMENSYIPFFSTTATSSTTPITTTTTIHSSSNMDWSQNSDFSMFEPMNSQFQHPSQLLSPNQSLQFPYNRSIEQAPVYPFFTGQKGFEFGVRKEQERRTNDPYRTKLARINRKLARQRSLQRNANSGASTQVDARRLINSGADTDTNKNKDNKKDQYRFCTPDNQRLRVVLKKELKNSDVGSLGRIVLPKRDAEVNLPPLSDKEGIQVMIKDVYSNHMWTLKYKFWSNNKSRMYVLENTGDFVKQNGLGTGDSLTLYEDESKNLYFSITKVATVAVESSSNQQYYHNVNNNGEEGNDNSNNIGNMYLPFTSQSKDDEATSLELFMEQQEPNDLIMTLPMDSTYGSHTMFPEETRHLPNNVGQTPSLSRSVDDISINFDDCYGGLDMLPEVNQYNFSF >KJB36037 pep chromosome:Graimondii2_0_v6:6:39423591:39427397:1 gene:B456_006G137900 transcript:KJB36037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPAFCFDNLQNGFGLKPKGLETSADVGDKTFVIGGGADHDSTSYIGVKIYDKSTGKWVIPTVLGTKPKACKGHSAILLNEDRIMIIIRGSTPDDCIWFLEADTKYVKEQRKIWGTEVVAWSRGVRGDAEKPVVISGPSGVGKGTLINMLMKEFPSMFGFSVSHTTRAPRGNEKNGVHYHFTDRSVMEKDIKDGKFLEFASVHGNLYGTSIEAVEAVADSGKRCILDIDVQGARSVRASSLDAIFIFICPPTMRELEERLRARGTETEEQILKRLRNAEAEIEQGKSSGIFDHILYNDNLEECYENLKELLGLNGSAPTNHKPSPKGIDLPSNHSVSKIDNKILINCETPELEKASKNL >KJB36036 pep chromosome:Graimondii2_0_v6:6:39423471:39427405:1 gene:B456_006G137900 transcript:KJB36036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPAFCFDNLQNGFGLKPKGLETSADVGDKTFVIGGGADHDSTSYIGVKIYDKSTGKWVIPTVLGTKPKACKGHSAILLNEDRIMIIIRGSTPDDCIWFLEADTKYVKEQRKIWGTEVVAWSRGVRGDAEKPVVISGPSGVGKGTLINMLMKEFPSMFGFSVSHTTRAPRGNEKNGVHYHFTDRSVMEKDIKDGKFLEFASVHGNLYGTSIEAVEAVADSGKRCILDIDVQGARSVRASSLDAIFIFICPPTMRELEERLRARGTETEEQILKRLRNAEAEIEQGKSSGIFDHILYNDNLEECYENLKELLGLNGSAPTNHKPSPKGIDLPSNHSVSKIDNKILINCETPELEKASKNLIALDVSSLKGGAPGRTRGLNVYALDSFSDGLNEKLS >KJB33878 pep chromosome:Graimondii2_0_v6:6:9770866:9772780:-1 gene:B456_006G035900 transcript:KJB33878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSTVALNKDYLHAIALEFRLLRQHGYKVNQDVFACFMDEVEDIKASQKQDCKGLLNLYEASYHLLKGETMLENVRKLAAKLLKQYLKENNDHQYLWMLEEHALVLHLHWRMPRLEARWFIDMYEKKKDKNPIILELAILDYNIVQSMHQDYLRYASTWWKELGLGKTLSFARDSLMENFLWSVGMINTPQDEKGRRIQTKVNALITVIDDVYEVYGTLDELELFTDVVERFVVLPNYMKICYHALYNSVNEMAFDTLKEQGIDYIDNAWISISGFVMLGHSYLATDLITEEGLHHIQQYYPDIIYWSSIIVRLVDDLGTSLYELQRGDVPKSIQCYMNESEASEEEARDHIRKLIDATWKKMNEDQMAKSPFSRTFIDVAMNLARISLLMYQNGDGHAIEVKETKDRVLSLFVNPISLPKYLRTLELFFKLGE >KJB33889 pep chromosome:Graimondii2_0_v6:6:10073037:10074365:-1 gene:B456_006G036500 transcript:KJB33889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIDGLKHLFALQSRGLVDPKLLARETVFSVSEIEALYELFKKISNVVIDDGLINKIFDLFDTKHNGIIGFEKFARALSIFHPNVPIDDKNDFSYLCQLYELN >KJB38129 pep chromosome:Graimondii2_0_v6:6:48589512:48590670:1 gene:B456_006G238500 transcript:KJB38129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHDNMDRGSKKVALIFNMGAGYCDVAVTATAGGVSQIKALAGSATGGEDFLQSMMCHLLPNFNSLFSSHEINEISSMWLL >KJB38106 pep chromosome:Graimondii2_0_v6:6:48455113:48461982:-1 gene:B456_006G237100 transcript:KJB38106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRSEDQGLPERKGQKRKLEEEIQEDREISLPTGDARRALLAEVTAQVTVLESAFTWRESDRSAAKRATHVLAELSKNEEVVNVIVEGGAVPALVKHLQAPPCEDGDRSPKPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALSHLVNLLRRHKDSSISRAVISVIRRAADALTNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLAAGALQPVIGLLNSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLHSPDVQLKEMSTFALGRLAQDTHNQAGIAHSGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKPVQRRVALALAYLCSPDDQRTIFIDNNGLELLLGLLGSTSTKQQLDGAVALYKLSNKAMTLSPMDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIKWEVFELMMRFIYTGSVDVTLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLENVSSMYELSEAFHAISLRHTCILFILEHFDKLSARPGHLHLIQRTVPEIRNYFAKALTKPNPHKLRL >KJB38102 pep chromosome:Graimondii2_0_v6:6:48455113:48461982:-1 gene:B456_006G237100 transcript:KJB38102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRSEDQGLPERKGQKRKLEEEIQEDREISLPTGDARRALLAEVTAQVTVLESAFTWRESDRSAAKRATHVLAELSKNEEVVNVIVEGGAVPALVKHLQAPPCEDGDRSPKPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALSHLVNLLRRHKDSSISRAVISVIRRAADALTNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLAAGALQPVIGLLNSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLHSPDVQLKEMSTFALGRLAQDTHNQAGIAHSGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIRVGGVQRLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKPVQRRVALALAYLCSPDDQRTIFIDNNGLELLLGLLGSTSTKQQLDGAVALYKLSNKAMTLSPMDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIKWEVFELMMRFIYTGSVDVTLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLENVSSMYELSEAFHAISLRHTCILFILEHFDKLSARPGHLHLIQRTVPEIRNYFAKALTKPNPHKLRL >KJB38104 pep chromosome:Graimondii2_0_v6:6:48455675:48460536:-1 gene:B456_006G237100 transcript:KJB38104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLAAGALQPVIGLLNSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLHSPDVQLKEMSTFALGRLAQDTHNQAGIAHSGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIRVGGVQRLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKPVQRRVALALAYLCSPDDQRTIFIDNNGLELLLGLLGSTSTKQQLDGAVALYKLSNKAMTLSPMDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIKWEVFELMMRFIYTGSVDVTLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLENVSSMYELSEAFHAISLRHTCILFILEHFDKLSARPGHLHLIQRTVPEIRNYFAKALTKPNPHKLRL >KJB38103 pep chromosome:Graimondii2_0_v6:6:48455971:48461881:-1 gene:B456_006G237100 transcript:KJB38103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRSEDQGLPERKGQKRKLEEEIQEDREISLPTGDARRALLAEVTAQVTVLESAFTWRESDRSAAKRATHVLAELSKNEEVVNVIVEGGAVPALVKHLQAPPCEDGDRSPKPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALSHLVNLLRRHKDSSISRAVISVIRRAADALTNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLAAGALQPVIGLLNSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLHSPDVQLKEMSTFALGRLAQDTHNQAGIAHSGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIRVGGVQRLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKPVQRRVALALAYLCSPDDQRTIFIDNNGLELLLGLLGSTSTKQQLDGAVALYKLSNKAMTLSPMDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIKWEVFELMMRFIYTGSVDVTLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLENVSSMYELSEAFHAISLRHTCILFILEHFDKLSARPGYVLKSTCHVPALH >KJB38105 pep chromosome:Graimondii2_0_v6:6:48455077:48462112:-1 gene:B456_006G237100 transcript:KJB38105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRSEDQGLPERKGQKRKLEEEIQEDREISLPTGDARRALLAEVTAQVTVLESAFTWRESDRSAAKRATHVLAELSKNEEVVNVIVEGGAVPALVKHLQAPPCEDGDRSPKPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALSHLVNLLRRHKDSSISRAVISVIRRAADALTNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLAAGALQPVIGLLNSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLHSPDVQLKEMSTFALGRLAQDTHNQAGIAHSGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIRVGGVQRLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKPVQRRVALALAYLCSPDDQRTIFIDNNGLELLLGLLGSTSTKQQLDGAVALYKLSNKAMTLSPMDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIKWEVFELMMRFIYTGSVDVTLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLENVSSMYELSEAFHAISLRHTCILFILEHFDKLSARPGFEQLLVSFYARNSNACMILMCKS >KJB38580 pep chromosome:Graimondii2_0_v6:6:50215523:50221222:-1 gene:B456_006G261800 transcript:KJB38580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCHNGTSGQEYEAIVFPQVPEHQTNRDVIDEANIDKYFPDRLFRTVSDLSLSMLETEDTSRLELQQVQLFEHIAPNYRRSKSSTRMKQERTARREKLDSHCKGELMGIIQNAVSKLCFSDGLEMSGKDYAVEITAIYRMLNNKHGVKYTMLKDVILEQLLIAVSTSKEEMVIRASVTVLTTIVSTNISVVDDIKKKGLRLTDLARALKCNVHEAATLIYLIKPSAAEIKTLELLPTLVEVICTSNSSRCRPSKSVTVTPPAASLMIIEVLVTAFDNATNNMHLAAINSPRVLSGLLDVAKNHSLEEHISLATILVKCMQFDGQCRKYISQAIAMAPFIHLLQSNEKRAVFVALEFFHEVLRIPRSSAISLLQQIVKGCIDIMDILIDCVRGFRAEYQILAANLLLQLDTLENSKGKSLFREEAMQVLFQSIVLEESSTAQLLSAFILSNIGGTYSWTGEPYTIAWLVKKAGLTSLNHRNMIRNFDWLDPSLQDMVTDLWCSKIARSINEFGKPAFVALRKGLKSQIKRVARESLTTIAWLGCEISKSSNSLRYSACEILLGEVEKFLHPGMDLEERLLACLCIYNYASGKGMKKLIHFSEGVRESLRRFSNVIWMADELHRVADFYLPNKSRISCVHTQIVEVSHKYSGAVNALIYYKGMLYSGYSDGSIKVWDIKRQSATLLWDIKEHKDSVTCFSLFEPGETLLSGSVDKTIRVWQMVHNKLECIEVIATKEPVQKLDTYGQMIFMITHAHHLKVIDSLRTTNSICKTKSVKCMKMVQGRIYAGCTDSSIQEVSLSSNHQREIKAAVKKWRMQSKPINSITMYRDWLYCASSMVEGSNVREWRRNSDPKMSLRPEKRASVLAMEVVEDFIYLNCSSSANSLQIWLRGTQQKVGRISAGSKITSLITANDVVLCGTESGLIKAWIPL >KJB38583 pep chromosome:Graimondii2_0_v6:6:50219882:50222775:-1 gene:B456_006G261800 transcript:KJB38583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSPSFSVPADKLDVESMRLVVNSINRYISRLVSDEEEWDSIRLKCSSGLNFQQQEFFEFSEQAVLSNLYWGINGIEVALRACLEEDKGCQLKNSEKMLQVPALLDEQGTTSGIPNEYLVSCSYFYLSVIKNLQSDRLQAALHFLQALLVSPMLVRTEFASEELCGSLFESATQEIGGTQRLNSVSSNCSARDNLAESTRQMARRYKHWLMYYQVMLYESTNFSHKIADSTDSSKPSEHWYRPQANYKFDKVYPLAHQEDIIETMAYETQSSVNNKEDQVRSKAIEDRDHIRRLQLRRMELNQNESIKCLQDVLDESQSDTPNFEDECNSESTEDGTGLFNSERIYLDGQKRASYDQMLHCHNGTSGQEYEAIVFPQVPEHQTNRDVIDEANIDKYFPDRLFRTVSDLSLSMLETEDTSRLELQQVQLFEHIAPNYRRSKSSTRMKQERTARREKLDSHCKGELMGIIQNAVSKLCFSDGLEMSGKDYAVEITAIYRMLNNKHGVKYTMLKDVILEQLLIAVSTSKEEMVIRASVTVLTTIVSTNISVVDDIKKKGLRLTDLARALKCNVHEAATLIYLIKPSAAEIKTLELLPTLVEVICTSNSSRCRPSKSVTVTPPAASLMIIEVLVTAFDNATNNMHLAAINSPRVLSGLLDVAKNHSLEEHISLATILVKCMQFDGQCRKYISQAIAMAPFIHLLQSNEKRAVFVALEFFHEVLRIPRSSAISLLQQIVKGCIDIMDILIDCVRGFRAEYQILAANLLLQLDTLVSSIMYR >KJB38578 pep chromosome:Graimondii2_0_v6:6:50215523:50221807:-1 gene:B456_006G261800 transcript:KJB38578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHKIADSTDSSKPSEHWYRPQANYKFDKVYPLAHQEDIIETMAYETQSSVNNKEDQVRSKAIEDRDHIRRLQLRRMELNQNESIKCLQDVLDESQSDTPNFEDECNSESTEDGTGLFNSERIYLDGQKRASYDQMLHCHNGTSGQEYEAIVFPQVPEHQTNRDVIDEANIDKYFPDRLFRTVSDLSLSMLETEDTSRLELQQVQLFEHIAPNYRRSKSSTRMKQERTARREKLDSHCKGELMGIIQNAVSKLCFSDGLEMSGKDYAVEITAIYRMLNNKHGVKYTMLKDVILEQLLIAVSTSKEEMVIRASVTVLTTIVSTNISVVDDIKKKGLRLTDLARALKCNVHEAATLIYLIKPSAAEIKTLELLPTLVEVICTSNSSRCRPSKSVTVTPPAASLMIIEVLVTAFDNATNNMHLAAINSPRVLSGLLDVAKNHSLEEHISLATILVKCMQFDGQCRKYISQAIAMAPFIHLLQSNEKRAVFVALEFFHEVLRIPRSSAISLLQQIVKGCIDIMDILIDCVRGFRAEYQILAANLLLQLDTLENSKGKSLFREEAMQVLFQSIVLEESSTAQLLSAFILSNIGGTYSWTGEPYTIAWLVKKAGLTSLNHRNMIRNFDWLDPSLQDMVTDLWCSKIARSINEFGKPAFVALRKGLKSQIKRVARESLTTIAWLGCEISKSSNSLRYSACEILLGEVEKFLHPGMDLEERLLACLCIYNYASGKGMKKLIHFSEGVRESLRRFSNVIWMADELHRVADFYLPNKSRISCVHTQIVEVSHKYSGAVNALIYYKGMLYSGYSDGSIKVWDIKRQSATLLWDIKEHKDSVTCFSLFEPGETLLSGSVDKTIRVWQMVHNKLECIEVIATKEPVQKLDTYGQMIFMITHAHHLKVIDSLRTTNSICKTKSVKCMKMVQGRIYAGCTDSSIQEVSLSSNHQREIKAAVKKWRMQSKPINSITMYRDWLYCASSMVEGSNVREWRRNSDPKMSLRPEKRASVLAMEVVEDFIYLNCSSSANSLQIWLRGTQQKVGRISAGSKITSLITANDVVLCGTESGLIKAWIPL >KJB38582 pep chromosome:Graimondii2_0_v6:6:50216612:50222775:-1 gene:B456_006G261800 transcript:KJB38582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSPSFSVPADKLDVESMRLVVNSINRYISRLVSDEEEWDSIRLKCSSGLNFQQQEFFEFSEQAVLSNLYWGINGIEVALRACLEEDKGCQLKNSEKMLQVPALLDEQGTTSGIPNEYLVSCSYFYLSVIKNLQSDRLQAALHFLQALLVSPMLVRTEFASEELCGSLFESATQEIGGTQRLNSVSSNCSARDNLAESTRQMARRYKHWLMYYQVMLYESTNFSHKIADSTDSSKPSEHWYRPQANYKFDKVYPLAHQEDIIETMAYETQSSVNNKEDQVRSKAIEDRDHIRRLQLRRMELNQNESIKCLQDVLDESQSDTPNFEDECNSESTEDGTGLFNSERIYLDGQKRASYDQMLHCHNGTSGQEYEAIVFPQVPEHQTNRDVIDEANIDKYFPDRLFRTVSDLSLSMLETEDTSRLELQQVQLFEHIAPNYRRSKSSTRMKQERTARREKLDSHCKGELMGIIQNAVSKLCFSDGLEMSGKDYAVEITAIYRMLNNKHGVKYTMLKDVILEQLLIAVSTSKEEMVIRASVTVLTTIVSTNISVVDDIKKKGLRLTDLARALKCNVHEAATLIYLIKPSAAEIKTLELLPTLVEVICTSNSSRCRPSKSVTVTPPAASLMIIEVLVTAFDNATNNMHLAAINSPRVLSGLLDVAKNHSLEEHISLATILVKCMQFDGQCRKYISQAIAMAPFIHLLQSNEKRAVFVALEFFHEVLRIPRSSAISLLQQIVKGCIDIMDILIDCVRGFRAEYQILAANLLLQLDTLENSKGKSLFREEAMQVLFQSIVLEESSTAQLLSAFILSNIGGTYSWTGEPYTIAWLVKKAGLTSLNHRNMIRNFDWLDPSLQDMVTDLWCSKIARSINEFGKPAFVALRKGLKSQIKRVARESLTTIAWLGCEISKSSNSLRYSACEILLGEVEKFLHPGMDLEERLLACLCIYNYASGKGMKKLIHFSEGVRESLRRFSNVIWMADELHRVADFYLPNKSRISCVHTQIVEVSHKYSGAVNALIYYKGMLYSGYSDGSIKVWDIKRQSATLLWDIKEHKDSVTCFSLFEPGETLLSGSVDKTIRVWQMVHNKLECIEVIATKEPVQKLDTYGQMIFMITHAHHLKVIDSLRTTNSICKTKSVKCMKMVQGRIYAGCTDSSIQEVSLSSNHQREIKAAVKKWRMQSKPINSITMYRDWLYCASSMVEGSNVREWRRNSDPKMSLRPEKRASVLAMEVVEDFIYLNCSSSANSLQVYLTLFF >KJB38577 pep chromosome:Graimondii2_0_v6:6:50215242:50222851:-1 gene:B456_006G261800 transcript:KJB38577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSPSFSVPADKLDVESMRLVVNSINRYISRLVSDEEEWDSIRLKCSSGLNFQQQEFFEFSEQAVLSNLYWGINGIEVALRACLEEDKGCQLKNSEKMLQVPALLDEQGTTSGIPNEYLVSCSYFYLSVIKNLQSDRLQAALHFLQALLVSPMLVRTEFASEELCGSLFESATQEIGGTQRLNSVSSNCSARDNLAESTRQMARRYKHWLMYYQVMLYESTNFSHKIADSTDSSKPSEHWYRPQANYKFDKVYPLAHQEDIIETMAYETQSSVNNKEDQVRSKAIEDRDHIRRLQLRRMELNQNESIKCLQDVLDESQSDTPNFEDECNSESTEDGTGLFNSERIYLDGQKRASYDQMLHCHNGTSGQEYEAIVFPQVPEHQTNRDVIDEANIDKYFPDRLFRTVSDLSLSMLETEDTSRLELQQVQLFEHIAPNYRRSKSSTRMKQERTARREKLDSHCKGELMGIIQNAVSKLCFSDGLEMSGKDYAVEITAIYRMLNNKHGVKYTMLKDVILEQLLIAVSTSKEEMVIRASVTVLTTIVSTNISVVDDIKKKGLRLTDLARALKCNVHEAATLIYLIKPSAAEIKTLELLPTLVEVICTSNSSRCRPSKSVTVTPPAASLMIIEVLVTAFDNATNNMHLAAINSPRVLSGLLDVAKNHSLEEHISLATILVKCMQFDGQCRKYISQAIAMAPFIHLLQSNEKRAVFVALEFFHEVLRIPRSSAISLLQQIVKGCIDIMDILIDCVRGFRAEYQILAANLLLQLDTLENSKGKSLFREEAMQVLFQSIVLEESSTAQLLSAFILSNIGGTYSWTGEPYTIAWLVKKAGLTSLNHRNMIRNFDWLDPSLQDMVTDLWCSKIARSINEFGKPAFVALRKGLKSQIKRVARESLTTIAWLGCEISKSSNSLRYSACEILLGEVEKFLHPGMDLEERLLACLCIYNYASGKGMKKLIHFSEGVRESLRRFSNVIWMADELHRVADFYLPNKSRISCVHTQIVEVSHKYSGAVNALIYYKGMLYSGYSDGSIKVWDIKRQSATLLWDIKEHKDSVTCFSLFEPGETLLSGSVDKTIRVWQMVHNKLECIEVIATKEPVQKLDTYGQMIFMITHAHHLKVIDSLRTTNSICKTKSVKCMKMVQGRIYAGCTDSSIQEVSLSSNHQREIKAAVKKWRMQSKPINSITMYRDWLYCASSMVEGSNVREWRRNSDPKMSLRPEKRASVLAMEVVEDFIYLNCSSSANSLQIWLRGTQQKVGRISAGSKITSLITANDVVLCGTESGLIKAWIPL >KJB38581 pep chromosome:Graimondii2_0_v6:6:50215292:50222775:-1 gene:B456_006G261800 transcript:KJB38581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSPSFSVPADKLDVESMRLVVNSINRYISRLVSDEEEWDSIRLKCSSGLNFQQQEFFEFSEQAVLSNLYWGINGIEVALRACLEEDKGCQLKNSEKMLQVPALLDEQGTTSGIPNEYLVSCSYFYLSVIKNLQSDRLQAALHFLQALLVSPMLVRTEFASEELCGSLFESATQEIGGTQRLNSVSSNCSARDNLAESTRQMARRYKHWLMYYQVMLYESTNFSHKIADSTDSSKPSEHWYRPQANYKFDKVYPLAHQEDIIETMAYETQSSVNNKEDQVRSKAIEDRDHIRRLQLRRMELNQNESIKCLQDVLDESQSDTPNFEDECNSESTEDGTGLFNSERIYLDGQKRASYDQMLHCHNGTSGQEYEAIVFPQVPEHQTNRDVIDEANIDKYFPDRLFRTVSDLSLSMLETEDTSRLELQQVQLFEHIAPNYRRSKSSTRMKQERTARREKLDSHCKGELMGIIQNAVSKLCFSDGLEMSGKDYAVEITAIYRMLNNKHGVKYTMLKDVILEQLLIAVSTSKEEMVIRASVTVLTTIVSTNISVVDDIKKKGLRLTDLARALKCNVHEAATLIYLIKPSAAEIKTLELLPTLVEVICTSNSSRCRPSKSVTVTPPAASLMIIEVLVTAFDNATNNMHLAAINSPRVLSGLLDVAKNHSLEEHISLATILVKCMQFDGQCRKYISQAIAMAPFIHLLQSNEKRAVFVALEFFHEVLRIPRSSAISLLQQIVKGCIDIMDILIDCVRGFRAEYQILAANLLLQLDTLENSKGKSLFREEAMQVLFQSIVLEESSTAQLLSAFILSNIGGTYSWTGEPYTIAWLVKKAGLTSLNHRNMIRNFDWLDPSLQDMVTDLWCSKIARSINEFGKPAFVALRKGLKSQIKRVARESLTTIAWLGCEISKSSNSLRYSACEILLGEVEKFLHPGMDLEERLLACLCIYNYASGKGMKKLIHFSEGVRESLRRFSNRISCVHTQIVEVSHKYSGAVNALIYYKGMLYSGYSDGSIKVWDIKRQSATLLWDIKEHKDSVTCFSLFEPGETLLSGSVDKTIRVWQMVHNKLECIEVIATKEPVQKLDTYGQMIFMITHAHHLKVIDSLRTTNSICKTKSVKCMKMVQGRIYAGCTDSSIQEVSLSSNHQREIKAAVKKWRMQSKPINSITMYRDWLYCASSMVEGSNVREWRRNSDPKMSLRPEKRASVLAMEVVEDFIYLNCSSSANSLQIWLRGTQQKVGRISAGSKITSLITANDVVLCGTESGLIKAWIPL >KJB38579 pep chromosome:Graimondii2_0_v6:6:50215292:50222775:-1 gene:B456_006G261800 transcript:KJB38579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSPSFSVPADKLDVESMRLVVNSINRYISRLVSDEEEWDSIRLKCSSGLNFQQQEFFEFSEQAVLSNLYWGINGIEVALRACLEEDKGCQLKNSEKMLQVPALLDEQGTTSGIPNEYLVSCSYFYLSVIKNLQSDRLQAALHFLQALLVSPMLVRTEFASEELCGSLFESATQEIGGTQRLNSVSSNCSARDNLAESTRQMARRYKHWLMYYQVMLYESTNFSHKIADSTDSSKPSEHWYRPQANYKFDKVYPLAHQEDIIETMAYETQSSVNNKEDQVRSKAIEDRDHIRRLQLRRMELNQNESIKCLQDVLDESQSDTPNFEDECNSESTEDGTGLFNSERIYLDGQKRASYDQMLHCHNGTSGQEYEAIVFPQVPEHQTNRDVIDEANIDKYFPDRLFRTVSDLSLSMLETEDTSRLELQQVQLFEHIAPNYRRSKSSTRMKQERTARREKLDSHCKGELMGIIQNAVSKLCFSDGLEMSGKDYAVEITAIYRMLNNKHGVKYTMLKDVILEQLLIAVSTSKEEMVIRASVTVLTTIVSTNISVVDDIKKKGLRLTDLARALKCNVHEAATLIYLIKPSAAEIKTLELLPTLVEVICTSNSSRCRPSKSVTVTPPAASLMIIEVLVTAFDNATNNMHLAAINSPRVLSGLLDVAKNHSLEEHISLATILVKCMQFDGQCRKYISQAIAMAPFIHLLQSNEKRAVFVALEFFHEVLRIPRSSAISLLQQIVKGCIDIMDILIDCVRGFRAEYQILAANLLLQLDTLENSKGKSLFREEAMQVLFQSIVLEESSTAQLLSAFILSNIGGTYSWTGEPYTIAWLVKKAGLTSLNHRNMIRNFDWLDPSLQDMVTDLWCSKIARSINEFGKPAFVALRKGLKSQIKRVARESLTTIAWLGCEISKSSNSLRYSACEILLGEVEKFLHPGMDLEERLLACLCIYNYASGKGMKKLIHFSEGVRESLRRFSNVIWMADELHRVADFYLPNKSRISCVHTQIVEVSHKYSGAVNALIYYKGMLYSGYSDGSIKVWDIKRQSATLLWDIKEHKDSVTCFSLFEPGETLLSGSVDKTIRVWQMVHNKLECIEVIATKEPVQKLDTYGQMIFMITHAHHLKVIDSLRTTNSICKTKSVKCMKMVQGRIYAGCTDSSIQEVSLSSNHQREIKAAVKKWRMQSKPINSITMYRDWLYCASSMVEGMEEKL >KJB34504 pep chromosome:Graimondii2_0_v6:6:28449110:28449725:-1 gene:B456_006G070500 transcript:KJB34504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSPSKSSAVIDADRRQPSRLQRHAPSSIQINQVSTRNVAIPLLSPLVSSPPSFDQRMTEKREEPPRQEQKAADPKKLVFKIWQHPAGPIYYEPSPLLSSFVPA >KJB34503 pep chromosome:Graimondii2_0_v6:6:28446146:28449725:-1 gene:B456_006G070500 transcript:KJB34503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSPSKSSAVIDADRRQPSRLQRHAPSSIQINQVSTRNVAIPLLSPLVSSPPSFDQRMTEKREEPPRQEQKAADPKKLVFKIWQHPAGPIYYEPSPLLSSFVPA >KJB35104 pep chromosome:Graimondii2_0_v6:6:34046111:34046698:1 gene:B456_006G100100 transcript:KJB35104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEMVFFFFFYVYKIMEFEMGESGAVCLHFFVAAAVTRHANAVVAVVRTIYHPIQVQEARSLFSRGNPSNKFTFLHTNSFNLFVVYFNSQFLFWILNFYFYFFAHCFTY >KJB33676 pep chromosome:Graimondii2_0_v6:6:6518713:6522407:-1 gene:B456_006G025800 transcript:KJB33676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLFRASKTLKPRKYLSPFYSIKNPFDSFGLSQRFCSHSRQNSKESAPIDLSRYPIEKIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKYKLHGCNDGNIDEPSTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDRVKAQLKSMFDLDPSDALLTSAKTGQGLEHVLPAVIERIPPPPGSSSSPLRMLLLDSYYDEYKGVICHVAVVDGALRKGDKISSAATGQAYEVLDIGIMHPELTPTGALLSGQVGYVVTGMRSTKEARIGDTLYHTRTTVEPLPGFKPAKHMVFSGLYPADGSDFDALNHAIERLTCNDASVSITKESSTALGLGFRCGFLGLLHMDVFHQRLEQEHEAHVISTVPTVPYIFEYSDGSKVEVQNPAALSSDPKKRVTACWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYRLPLREIVVDFYNELKSITSGYASFDYEDSEYQQSDLVKLDILLNGKPVDAMATIVHSLKAQRVGRELVDKLKKFIDRQMFEIIIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRVGSVDIPQEAFHQLLKVS >KJB33675 pep chromosome:Graimondii2_0_v6:6:6517580:6522503:-1 gene:B456_006G025800 transcript:KJB33675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLFRASKTLKPRKYLSPFYSIKNPFDSFGLSQRFCSHSRQNSKESAPIDLSRYPIEKIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKYKLHGCNDGNIDEPSTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDRVKAQLKSMFDLDPSDALLTSAKTGQGLEHVLPAVIERIPPPPGSSSSPLRMLLLDSYYDEYKGVICHVAVVDGALRKGDKISSAATGQAYEVLDIGIMHPELTPTGALLSGQVGYVVTGMRSTKEARIGDTLYHTRTTVEPLPGFKPAKHMVFSGLYPADGSDFDALNHAIERLTCNDASVSITKESSTALGLGFRCGFLGLLHMDVFHQRLEQEHEAHVISTVPTVPYIFEYSDGSKVEVQNPAALSSDPKKRVTACWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYRLPLREIVVDFYNELKSITSGYASFDYEDSEYQQSDLVKLDILLNGKPVDAMATIVHSLKAQRVGRELVDKLKKFIDRQMFEIIIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRVGSVDIPQEAFHQLLKVS >KJB33677 pep chromosome:Graimondii2_0_v6:6:6518404:6522417:-1 gene:B456_006G025800 transcript:KJB33677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLFRASKTLKPRKYLSPFYSIKNPFDSFGLSQRFCSHSRQNSKESAPIDLSRYPIEKIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKYKLHGCNDGNIDEPSTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDRVKAQLKSMFDLDPSDALLTSAKTGQGLEHVLPAVIERIPPPPGSSSSPLRMLLLDSYYDEYKGVICHVAVVDGALRKGDKISSAATGQAYEVLDIGIMHPELTPTGALLSGQVGYVVTGMRSTKEARIGDTLYHTRTTVEPLPGFKPAKHMVFSGLYPADGSDFDALNHAIERLTCNDASVSITKESSTALGLGFRCGFLGLLHMDVFHQRLEQEHEAHVISTVPTVPYIFEYSDGSKVEVQNPAALSSDPKKRVTACWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYRLPLREIVVDFYNELKSITSGYASFDYEDSEYQQSDLVKLDILLNGKPVDAMATIVHSLKAQRVGRELVDKLKKFIDRQMFEIIIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRVGSVDIPQEAFHQLLKVS >KJB33674 pep chromosome:Graimondii2_0_v6:6:6518713:6522407:-1 gene:B456_006G025800 transcript:KJB33674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLFRASKTLKPRKYLSPFYSIKNPFDSFGLSQRFCSHSRQNSKESAPIDLSRYPIEKIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKYKLHGCNDGNIDEPSTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDRVKAQLKSMFDLDPSDALLTSAKTGQGLEHVLPAVIERIPPPPGSSSSPLRMLLLDSYYDEYKGVICHVAVVDGALRKGDKISSAATGQAYEVLDIGIMHPELTPTGALLSGQVGYVVTGMRSTKEARIGDTLYHTRTTVEPLPGFKPAKHMVFSGLYPADGSDFDALNHAIERLTCNDASVSITKESSTALGLGFRCGFLGLLHMDVFHQRLEQEHEAHVISTVPTVPYIFEYSDGSKVEVQNPAALSSDPKKRVTACWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYRLPLREIVVDFYNELKSITSGYASFDYEDSEYQQSDLVKLDILLNGKPVDAMATIVHSLKAQRVGRELVDKLKKFIDRQMFEIIIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRVGSVDIPQEAFHQLLKVS >KJB34831 pep chromosome:Graimondii2_0_v6:6:32102090:32103358:1 gene:B456_006G086700 transcript:KJB34831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIQHNFITVRGLKFHVADLGEGSNVVLFLHGFPEIWYSWRYQMVALANAGFRTLAPDYRGYGLSDIPPEPEKTTFADLVADLVAILDHLGINKVFVVAKDFGVRPAYLLTLLHPHRVSCVVTLGVPHVPLEPRKYRESLPEGFYISRWNEPGRAEADFGRFDAKTVVRNIYILFSRSEIPIADEKQEVMDMVDASVPLPPWFTEEDLATYGALYEKSGFKTALQVPYRYGVIPNKNQ >KJB34833 pep chromosome:Graimondii2_0_v6:6:32102090:32104019:1 gene:B456_006G086700 transcript:KJB34833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIQHNFITVRGLKFHVADLGEGSNVVLFLHGFPEIWYSWRYQMVALANAGFRTLAPDYRGYGLSDIPPEPEKTTFADLVADLVAILDHLGINKVFVVAKDFGVRPAYLLTLLHPHRVSCVVTLGVPHVPLEPRKYRESLPEGFYISRWNEPGRAEADFGRFDAKTVVRNIYILFSRSEIPIADEKQEVMDMVDASVPLPPWFTEEDLATYGALYEKSGFKTALQVPYRS >KJB34829 pep chromosome:Graimondii2_0_v6:6:32102072:32104034:1 gene:B456_006G086700 transcript:KJB34829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIQHNFITVRGLKFHVADLGEGSNVVLFLHGFPEIWYSWRYQMVALANAGFRTLAPDYRGYGLSDIPPEPEKTTFADLVADLVAILDHLGINKVFVVAKDFGVRPAYLLTLLHPHRVSCVVTLGVPHVPLEPRKYRESLPEGFYISRWNEPGRAEADFGRFDAKTVVRNIYILFSRSEIPIADEKQEVMDMVDASVPLPPWFTEEDLATYGALYEKSGFKTALQVPYRSFDEDFGITDPIVKVPALLIMGCKDYVLKFPGMEEYIKFGKAKELVPDLDIIYLPEGTHFVQEQSPELVNELILDFLRSHM >KJB34834 pep chromosome:Graimondii2_0_v6:6:32102090:32104019:1 gene:B456_006G086700 transcript:KJB34834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIQHNFITVRGLKFHVADLGEGSNVVLFLHGFPEIWYSWRYQMVALANAGFRTLAPDYRGYGLSDIPPEPEKTTFADLVADLVAILDHLGINKVFVVAKDFGVRPAYLLTLLHPHRVSCVVTLGVPHVPLEPRKYRESLPEGFYISRWNEPGRAEADFGRFDAKTVVRNIYILFSRSEIPIADEKQEVMDMVDASVPLPPWFTEEDLATYGALYEKSGFKTALQVPYRS >KJB34832 pep chromosome:Graimondii2_0_v6:6:32102090:32104019:1 gene:B456_006G086700 transcript:KJB34832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIQHNFITVRGLKFHVADLGEGSNVVLFLHGFPEIWYSWRYQMVALANAGFRTLAPDYRGYGLSDIPPEPEKTTFADLVADLVAILDHLGINKVFVVAKDFGVRPAYLLTLLHPHRVSCVVTLGVPHVPLEPRKYRESLPEGFYISRWNEPGRAEADFGRFDAKTVVRNIYILFSRSEIPIADEKQEVMDMVDASVPLPPWFTEEDLATYGALYEKSGFKTALQVPYRS >KJB34830 pep chromosome:Graimondii2_0_v6:6:32102090:32104019:1 gene:B456_006G086700 transcript:KJB34830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIQHNFITVRGLKFHVADLGEGSNVVLFLHGFPEIWYSWRYQMVALANAGFRTLAPDYRGYGLSDIPPEPEKTTFADLVADLVAILDHLGINKVFVVAKDFGVRPAYLLTLLHPHRVSCVVTLGVPHVPLEPRKYRESLPEGFYISRWNVCFGLLIVEMYCFYLVWISCRNLDERRLISDDSMLKPL >KJB34835 pep chromosome:Graimondii2_0_v6:6:32102189:32102988:1 gene:B456_006G086700 transcript:KJB34835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIQHNFITVRGLKFHVADLGEGSNVVLFLHGFPEIWYSWRYQMVALANAGFRTLAPDYRGYGLSDIPPEPEKTTFADLVADLVAILDHLGINKVFVVAKDFGVRPAYLLTLLHPHRVSCVVTLGVPHVPLEPRKYRESLPEGFYISRWNVCFGLLIVEMYCFYLVWISCRNLDERRLISDDSMLKPL >KJB38569 pep chromosome:Graimondii2_0_v6:6:50200138:50202030:-1 gene:B456_006G261500 transcript:KJB38569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKPKTDDPKLSPESTTSPPKKVIIKSADMKEEQQKEAAFEKNNVEKYVAERIKKEFDKRHGPTWHCIIGRNFAYMRQL >KJB38567 pep chromosome:Graimondii2_0_v6:6:50200019:50202067:-1 gene:B456_006G261500 transcript:KJB38567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKPKTDDPKLSPESTTSPPKKVIIKSADMKEEQQKEAVNIAISAFEKNNVEKYVAERIKKEFDKRHGPTWHCIIGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >KJB38570 pep chromosome:Graimondii2_0_v6:6:50200213:50201970:-1 gene:B456_006G261500 transcript:KJB38570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKPKTDDPKLSPESTTSPPKKVIIKSADMKEEQQKEAVNIAISAFEKNNVEKYVAERIKKEFDKRHGPTWHCIIGRNFA >KJB38568 pep chromosome:Graimondii2_0_v6:6:50200109:50202067:-1 gene:B456_006G261500 transcript:KJB38568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKPKTDDPKLSPESTTSPPKKVIIKSADMKEEQQKEAVNIAISAFEKNNVEKYVAERIKKEFDKRHGPTWHCIIGRNFAYMRQL >KJB36326 pep chromosome:Graimondii2_0_v6:6:41166546:41169703:1 gene:B456_006G152900 transcript:KJB36326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSASILLQILACALYNNWWPMLSALMYVLVPMPCLFFGGGSTQFLTSRDGGGWIDAAKFLTGASAVGSFAIPIILRHAHMISTGAMFIEFTSFIIFVCTVLCFHRASLEDDW >KJB36324 pep chromosome:Graimondii2_0_v6:6:41166071:41169726:1 gene:B456_006G152900 transcript:KJB36324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSASILLQILACALYNNWWPMLSALMYVLVPMPCLFFGGGSTQFLTSRDGGGWIDAAKFLTGASAVGSFAIPIILRHAHMISTGAMFIEFTSFIIFVCTVLCFHRASLEDDW >KJB36327 pep chromosome:Graimondii2_0_v6:6:41168589:41169703:1 gene:B456_006G152900 transcript:KJB36327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSALMYVLVPMPCLFFGGGSTQFLTSRDGGGWIDAAKFLTGASAVGSFAIPIILRHAHMISTGAMFIEFTSFIIFVCTVLCFHRASLEDDW >KJB36325 pep chromosome:Graimondii2_0_v6:6:41166286:41169703:1 gene:B456_006G152900 transcript:KJB36325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTIEVINKWNLFIYYLCFIFEICRLAGLAFMFSASILLQILACALYNNWWPMLSALMYVLVPMPCLFFGGGSTQFLTSRDGGGWIDAAKFLTGASAVGSFAIPIILRHAHMISTGAMFIEFTSFIIFVCTVLCFHRASLEDDW >KJB38191 pep chromosome:Graimondii2_0_v6:6:48795628:48800092:1 gene:B456_006G241600 transcript:KJB38191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLHSESRRLYSWWWDSHIPKNSKWLQENLTDMDSKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATVELRHAHKTMSEAFPNQVPFVLDDESSLGSSGPEVEPDTPETPHPFRAFFDLDDLQKGSGINKRGLKQLNQMFESGIVSPNANITVKKGNGGEAEENEQSGLSQLSIENENLKNQVLSESERAGKAETEAEFLKKTLAEIQAEKEDVLLHYHQSLKKSSSLERELNEAQMYAGNLDERASKAEIEIKVLKEALSKLEAERDAGLHQYNQCLERISSLENTISQSQEEAKGLNERAFKADIEVRNLKIELSRLEAEKEAGLVRYKQCLDMISSLENKISLAEENAKMLNMQIERAESEVKALKDAIAKLKEEKDTMARLYEQCLVTIAELESEISRAQEDAKRLNNEIVVSGEKLRSVEEQRALLEKSNQSLLVEADNLVQKIAIKDRELSEKQNDLEKLQTSLQDEHLRFVQVEATLQTLQMLNSQSQEEQRVLTSELLNKLQKLNELEASNQKLEVEIDQVQGENRSLNELNSSATVSMQNLEDEILGLKELKEKLESEVAVQMERSNILQQEVDKLKDEIEVLSSAYQALIQQLLSAGLNPECLELSVKELREENSKLKEEFSKQRGEAEVLYKKLRSMDDLLEKNAVLRSSVSELNGKLEGSRELVGELRKSSEFLWGEKSSLAAEKSVLLSQLQKMTENMQTLFEKNRSLESSLSGANIELEGLRSKSKTLEEFCQYLKNEKSVLAGERDSLILKLEDVEKRLCILELRFDKLEEKYSDLEKEKDLTLCQVEELRDSLGAEQQERACYVQSSESRLVDLENHVHLLQEESRFRRKEFEEEIDKAVKAQVEIFIFQKIIKDLEEKNLSLLIECQKHVEASKLSDKLIRELESENLEQQIEGEFLLDEIEKLRSGIYLIFRALEFDPVNKHRDVVESDQVPLSCILDNVEDIKSSLSRNQEEKQRLVVENSVLLTLIGQLKFEGGELESENRALEYKFEIVEKQNAMLQKDKRELQEMDQQLMLEVRDGKIEKEILNAELESERGKLKSMHGACLLLEEENSKQLEENRLLLEKFSDLKEDMRVLEDENSVALQEVVALSSLSLILETFGAEKAVEIKKLEKTLNKKEAEISELSETVEKLRNGLNGVKDLNDQLNYQVVIRSDLLKQKTIELSEADEKLQAAHNLNAELYQTLEELKREYEESKQIRENLERQIVELSEDNKEQKMEIEHLREANDNLGSKVVTLHKEIEEKKIYGQNLSLELQEKSNEFELWEAEAASFYFDFQASAVCEVLLENKVHELTEVCETLEEESAVKSAQIGQMKERVEFLESEIGGLKVSMSAYVPVIASLKDSIISLEQIALLQPKLCVPNGDEDKVKLISTFREYLRLKLFRYACIILHLKFYLFVFTRM >KJB38190 pep chromosome:Graimondii2_0_v6:6:48794435:48801524:1 gene:B456_006G241600 transcript:KJB38190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLHSESRRLYSWWWDSHIPKNSKWLQENLTDMDSKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATVELRHAHKTMSEAFPNQVPFVLDDESSLGSSGPEVEPDTPETPHPFRAFFDLDDLQKGSGINKRGLKQLNQMFESGIVSPNANITVKKGNGGEAEENEQSGLSQLSIENENLKNQVLSESERAGKAETEAEFLKKTLAEIQAEKEDVLLHYHQSLKKSSSLERELNEAQMYAGNLDERASKAEIEIKVLKEALSKLEAERDAGLHQYNQCLERISSLENTISQSQEEAKGLNERAFKADIEVRNLKIELSRLEAEKEAGLVRYKQCLDMISSLENKISLAEENAKMLNMQIERAESEVKALKDAIAKLKEEKDTMARLYEQCLVTIAELESEISRAQEDAKRLNNEIVVSGEKLRSVEEQRALLEKSNQSLLVEADNLVQKIAIKDRELSEKQNDLEKLQTSLQDEHLRFVQVEATLQTLQMLNSQSQEEQRVLTSELLNKLQKLNELEASNQKLEVEIDQVQGENRSLNELNSSATVSMQNLEDEILGLKELKEKLESEVAVQMERSNILQQEVDKLKDEIEVLSSAYQALIQQLLSAGLNPECLELSVKELREENSKLKEEFSKQRGEAEVLYKKLRSMDDLLEKNAVLRSSVSELNGKLEGSRELVGELRKSSEFLWGEKSSLAAEKSVLLSQLQKMTENMQTLFEKNRSLESSLSGANIELEGLRSKSKTLEEFCQYLKNEKSVLAGERDSLILKLEDVEKRLCILELRFDKLEEKYSDLEKEKDLTLCQVEELRDSLGAEQQERACYVQSSESRLVDLENHVHLLQEESRFRRKEFEEEIDKAVKAQVEIFIFQKIIKDLEEKNLSLLIECQKHVEASKLSDKLIRELESENLEQQIEGEFLLDEIEKLRSGIYLIFRALEFDPVNKHRDVVESDQVPLSCILDNVEDIKSSLSRNQEEKQRLVVENSVLLTLIGQLKFEGGELESENRALEYKFEIVEKQNAMLQKDKRELQEMDQQLMLEVRDGKIEKEILNAELESERGKLKSMHGACLLLEEENSKQLEENRLLLEKFSDLKEDMRVLEDENSVALQEVVALSSLSLILETFGAEKAVEIKKLEKTLNKKEAEISELSETVEKLRNGLNGVKDLNDQLNYQVVIRSDLLKQKTIELSEADEKLQAAHNLNAELYQTLEELKREYEESKQIRENLERQIVELSEDNKEQKMEIEHLREANDNLGSKVVTLHKEIEEKKIYGQNLSLELQEKSNEFELWEAEAASFYFDFQASAVCEVLLENKVHELTEVCETLEEESAVKSAQIGQMKERVEFLESEIGGLKVSMSAYVPVIASLKDSIISLEQIALLQPKLCVPNGDEDKDVEMVDELYVVSSEKLEEGQSAFLTSGISDLQEMCTKVKAVEKALVQEMNRLVKRKSNKNSHEIDASSKAINPSNSEMKKAMQVGEEVARKLKSKKMKPEISELRNGILLKDIPLDHVSDSSLYRGSKSKRETSTADDQMLELWESAEHECGVDSKINDMQERVFVPGEIIACHQSSDLEPENESSLGALVEKELSIDKLEISTSIREPKKRAKNRKVLDRLASDAHKLMALQTSVKQLKKRMEIKKRKKAFDLEYGQVKEQLQEVEDAIKELCNVNGELTKDVEGSSSSLDGTNSMELEGPGKSNLKKVREQATKGSEKIGRLQFEVQSIEYVMLKLEDERKNKGKTRTGVLLRDFIYNGGRRSGRRKKGCFCGCARPSSSKD >KJB38192 pep chromosome:Graimondii2_0_v6:6:48794579:48801451:1 gene:B456_006G241600 transcript:KJB38192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLHSESRRLYSWWWDSHIPKNSKWLQENLTDMDSKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATVELRHAHKTMSEAFPNQVPFVLDDESSLGSSGPEVEPDTPETPHPFRAFFDLDDLQKGSGINKRGLKQLNQMFESGIVSPNANITVKKGNGGEAEENEQSGLSQLSIENENLKNQVLSESERAGKAETEAEFLKKTLAEIQAEKEDVLLHYHQSLKKSSSLERELNEAQMYAGNLDERASKAEIEIKVLKEALSKLEAERDAGLHQYNQCLERISSLENTISQSQEEAKGLNERAFKADIEVRNLKIELSRLEAEKEAGLVRYKQCLDMISSLENKISLAEENAKMLNMQIERAESEVKALKDAIAKLKEEKDTMARLYEQCLVTIAELESEISRAQEDAKRLNNEIVVSGEKLRSVEEQRALLEKSNQSLLVEADNLVQKIAIKDRELSEKQNDLEKLQTSLQDEHLRFVQVEATLQTLQMLNSQSQEEQRVLTSELLNKLQKLNELEASNQKLEVEIDQVQGENRSLNELNSSATVSMQNLEDEILGLKELKEKLESEVAVQMERSNILQQEVDKLKDEIEVLSSAYQALIQQLLSAGLNPECLELSVKELREENSKLKEEFSKQRGEAEVLYKKLRSMDDLLEKNAVLRSSVSELNGKLEGSRELVGELRKSSEFLWGEKSSLAAEKSVLLSQLQKMTENMQTLFEKNRSLESSLSGANIELEGLRSKSKTLEEFCQYLKNEKSVLAGERDSLILKLEDVEKRLCILELRFDKLEEKYSDLEKEKDLTLCQVEELRDSLGAEQQERACYVQSSESRLVDLENHVHLLQEESRFRRKEFEEEIDKAVKAQVEIFIFQKIIKDLEEKNLSLLIECQKHVEASKLSDKLIRELESENLEQQIEGEFLLDEIEKLRSGIYLIFRALEFDPVNKHRDVVESDQVPLSCILDNVEDIKSSLSRNQEEKQRLVVENSVLLTLIGQLKFEGGELESENRALEYKFEIVEKQNAMLQKDKRELQEMDQQLMLEVRDGKIEKEILNAELESERGKLKSMHGACLLLEEENSKQLEENRLLLEKFSDLKEDMRVLEDENSVALQEVVALSSLSLILETFGAEKAVEIKKLEKTLNKKEAEISELSETVEKLRNGLNGVKDLNDQLNYQVVIRSDLLKQKTIELSEADEKLQAAHNLNAELYQTLEELKREYEESKQIRENLERQIVELSEDNKEQKMEIEHLREANDNLGSKVVTLHKEIEEKKIYGQNLSLELQEKSNEFELWEAEAASFYFDFQASAVCEVLLENKVHELTEVCETLEEESAVKSAQIGQMKERVEFLESEIGGLKVSMSAYVPVIASLKDSIISLEQIALLQPKLCVPNGDEDKDVEMVDELYVVSSEKLEEGQSAFLTSGISDLQEMCTKVKAVEKALVQEMNRLVKRKSNKNSHEIDASSKAINPSNSEMKKAMQVGEEVARKLKSKKMKPEISELRNGILLKDIPLDHVSDSSLYRGSKSKRETSTADDQMLELWESAEHECGVDSKINDMQERVFVPGEIIACHQSSDLEPENESSLGALVEKELSIDKLEISTSIREPKKRAKNRKVLDRLASDAHKLMALQTSVKQLKKRMEIKKRKKAFDLEYGQVKEQLQEVEDAIKELCNVNGELTKDVEGSSSSLDGTNSMELEGPGKSNLKKVREQATKGSEKIGRLQFEVQSIEYVMLKLEDERKNKGKTRTGVLLRDFIYNGGRRSGRRKKGCFCGCARPSSSKD >KJB34667 pep chromosome:Graimondii2_0_v6:6:30179516:30181264:1 gene:B456_006G077400 transcript:KJB34667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVESWINLDLNVALGDNGTIDGQGSVWWEWFTSHSLNYTRPHLVEFVSSEYVLVSNISLLNAPAYNIHPVYCSNVHIHNISVYAPPESPYTVGIVPDSSDNICIEDCNISMGHDAIAFKSGWDEYGIAYGRPTTIAHVRRVDLRSSTGSSLAFGSEMSGGISDILVEEVHLYDSLTGIEFRTTIGRGGYIKEIMISDVDLLNVNTSFAAIGDYGSHPDDKFDPDAFPVLEKITLQNIIGTNITIAGNFKGIEESPFTFICLSNISLSINSTSLSPWQCSYVSGFSESVFPEPCPELMNSSTSSCGILMLELQFYNIIL >KJB34665 pep chromosome:Graimondii2_0_v6:6:30177914:30181264:1 gene:B456_006G077400 transcript:KJB34665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALLLLWALCNAIRVNGEESNGRCDHKLKFNPRPHSVSIQEFGAIGDGKTLNTIAFRNAIFYLKSFADKGGAQLYVPPGRWLTGSFNLTSHLTLFLEKDAIILGSQDPSHWGIVEPLPSYGRGIELPGRRYRSLVNGYMLRDVVITGDNGTIDGQGSVWWEWFTSHSLNYTRPHLVEFVSSEYVLVSNISLLNAPAYNIHPVYCSNVHIHNISVYAPPESPYTVGIVPDSSDNICIEDCNISMGHDAIAFKSGWDEYGIAYGRPTTIAHVRRVDLRSSTGSSLAFGSEMSGGISDILVEEVHLYDSLTGIEFRTTIGRGGYIKEIMISDVDLLNVNTSFAAIGDYGSHPDDKFDPDAFPVLEKITLQNIIGTNITIAGNFKGIEESPFTFICLSNISLSINSTSLSPWQCSYVSGFSESVFPEPCPELMNSSTSSCGILMLELQFYNIIL >KJB34666 pep chromosome:Graimondii2_0_v6:6:30177970:30181264:1 gene:B456_006G077400 transcript:KJB34666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDVVITGDNGTIDGQGSVWWEWFTSHSLNYTRPHLVEFVSSEYVLVSNISLLNAPAYNIHPVYCSNVHIHNISVYAPPESPYTVGIVPDSSDNICIEDCNISMGHDAIAFKSGWDEYGIAYGRPTTIAHVRRVDLRSSTGSSLAFGSEMSGGISDILVEEVHLYDSLTGIEFRTTIGRGGYIKEIMISDVDLLNVNTSFAAIGDYGSHPDDKFDPDAFPVLEKITLQNIIGTNITIAGNFKGIEESPFTFICLSNISLSINSTSLSPWQCSYVSGFSESVFPEPCPELMNSSTSSCGILMLELQFYNIIL >KJB33645 pep chromosome:Graimondii2_0_v6:6:6190208:6191333:-1 gene:B456_006G023700 transcript:KJB33645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSALSSLLGSSGSPSEDPPSSSESSRVSTFHSAPRWQLHFNSVKETPKLMVIDFSASWCGPCKFMEPFLNAMAAKFTDVEFVKLDVDELPDVAQEFGVQAMPTFVLVKQGKEVDRVVGARKDELEKKVEKNKC >KJB36631 pep chromosome:Graimondii2_0_v6:6:42779686:42781250:1 gene:B456_006G168000 transcript:KJB36631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASSDKVSEREKKYQGKQLILSFFAVKGDLQLNYYAQSCPNAEEIIKQQVIKLYNKHGNTAVSWVRNLFHDCMVKSCDASLLLETVNGIESEQKSDRSFGMRNFKYVKTIKDALEQECPRTVSCADIVSLSARDGIVLLGGPRIEMKTGRKDSKRSYLSEVENTIPNHNDSMELVLSRFQSIGIDTQGIVALLGAHSVGRVHCVNLVHRLYPTVDPTLDPDYAEYLKGRCPTPDPDPEAVLYSRNDRETPMILDNMYYKNLLKHKGLLVIDQQLTSDPTTSPFVEKMAADNGYFHDQFARAVLLLSENNPLTGDEGEVRKDCRYVNSE >KJB36629 pep chromosome:Graimondii2_0_v6:6:42778855:42781289:1 gene:B456_006G168000 transcript:KJB36629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIKSHHCCFSFIFLLLPLLLQLHSVKGDLQLNYYAQSCPNAEEIIKQQVIKLYNKHGNTAVSWVRNLFHDCMVKSCDASLLLETVNGIESEQKSDRSFGMRNFKYVKTIKDALEQECPRTVSCADIVSLSARDGIVLLGGPRIEMKTGRKDSKRSYLSEVENTIPNHNDSMELVLSRFQSIGIDTQGIVALLGAHSVGRVHCVNLVHRLYPTVDPTLDPDYAEYLKGRCPTPDPDPEAVLYSRNDRETPMILDNMYYKNLLKHKGLLVIDQQLTSDPTTSPFVEKMAADNGYFHDQFARAVLLLSENNPLTGDEGEVRKDCRYVNSE >KJB36630 pep chromosome:Graimondii2_0_v6:6:42779219:42781250:1 gene:B456_006G168000 transcript:KJB36630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIKSHHCCFSFIFLLLPLLLQLHSVKGDLQLNYYAQSCPNAEEIIKQQVIKLYNKHGNTAVSWVRNLFHDCMVKSCDASLLLETVNGIESEQKSDRSFGMRNFKYVKTIKDALEQECPRTVSCADIVSLSARDGIVLLGGPRIEMKTGRKDSKRSYLSEVENTIPNHNDSMELVLSRFQSIGIDTQGIVALLAHSVGRVHCVNLVHRLYPTVDPTLDPDYAEYLKGRCPTPDPDPEAVLYSRNDRETPMILDNMYYKNLLKHKGLLVIDQQLTSDPTTSPFVEKMAADNGYFHDQFARAVLLLSENNPLTGDEGEVRKDCRYVNSE >KJB36231 pep chromosome:Graimondii2_0_v6:6:40591182:40594567:1 gene:B456_006G147500 transcript:KJB36231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSIYGVSSSHISLLLFFSFLSLSTAALPQNPSGKSSPSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVGKHNITIFAPRNEALERQLDPEFKRFLLEPGNLKSLQTLLMFHIIPKRVGSHQWPDPKTGPVKHNTLCNDHIRLTSQSTGKKTVDSAELVRPDDVIRPDGVIHGIQQLLIPRSVIEDFNRRRNLRSITAVLPEGAPEVDPRTHRLKKPAPVPVGAPPVLPIYEAMAPGPSLAPAPAPGPGGPRHHFDGESQVKDFIQTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVITVLAPNDEAMAKLTTDQLMVR >KJB36232 pep chromosome:Graimondii2_0_v6:6:40591182:40594890:1 gene:B456_006G147500 transcript:KJB36232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSIYGVSSSHISLLLFFSFLSLSTAALPQNPSGKSSPSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVGKHNITIFAPRNEALERQLDPEFKRFLLEPGNLKSLQTLLMFHIIPKRVGSHQWPDPKTGPVKHNTLCNDHIRLTSQSTGKKTVDSAELVRPDDVIRPDGVIHGIQQLLIPRSVIEDFNRRRNLRSITAVLPEGAPEVDPRTHRLKKPAPVPVGAPPVLPIYEAMAPGPSLAPAPAPGPGGPRHHFDGESQVKDFIQTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVITVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHIIPEYQTEESMYNAVRRFGKVKYDTLRLPHKVVAQEADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDEVLFPEEETQTVKKPAAVKVASKPRRAGKLLEVGCWMLGSLGGGSGFRWCQ >KJB36230 pep chromosome:Graimondii2_0_v6:6:40591164:40594890:1 gene:B456_006G147500 transcript:KJB36230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSIYGVSSSHISLLLFFSFLSLSTAALPQNPSGKSSPSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVGKHNITIFAPRNEALERQLDPEFKRFLLEPGNLKSLQTLLMFHIIPKRVGSHQWPDPKTGPVKHNTLCNDHIRLTSQSTGKKTVDSAELVRPDDVIRPDGVIHGIQQLLIPRSVIEDFNRRRNLRSITAVLPEGAPEVDPRTHRLKKPAPVPVGAPPVLPIYEAMAPGPSLAPAPAPGPGGPRHHFDGESQVKDFIQTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVITVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHIIPEYQTEESMYNAVRRFGKVKYDTLRLPHKVVAQEADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDEVLFPEEETQTVKKPAAVKVASKPRRGKLLEVGCWMLGSLGGGSGFRWCQ >KJB33879 pep chromosome:Graimondii2_0_v6:6:9814659:9816486:-1 gene:B456_006G036000 transcript:KJB33879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFGCFMDEVEDIKASQNQDCKGLINLYEASYHLLEGETMLENTRKMPRLEARWFIDMYEIKKDKNPIILELAILDYNIVQSMHQDYLRYASTKGRRIQTKVNALIIVIDDVYDVYGTLDELELFTDVVERFVVLPNYMKICYHALYNSVNEMAFDVRKEQGIDVLPFLKKMYIDNAWISISGFVMLGHSYLATDLITEEGLHHIQQYYCDIIYWSSIIVRFVDDLGTSLYELQRGDVPKSIQCYMNESEASEEEARDHIRKLIDATWKKMNEDQMAKSPFSRTFIEVAMNLARIYLLMFQNGDGHAIEDKETKDRVLSLFVNPISLPK >KJB34594 pep chromosome:Graimondii2_0_v6:6:29540725:29547954:-1 gene:B456_006G074300 transcript:KJB34594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFFKPSRPKTPPEVAKAIKDSLNALDTKTVAEVKALEKAMEEVEKNFVTMRCMLSGDGEVEPNVEQVSQLALEISKEDVISLVVHKLPILGWEARKDLVHCWSILLKQQVDSKYCCVEYIEKHLELLDFLVVCSYDNKEIALNCGNMLRECIKFPSLAQYILNSASFVLFFKFVELPNFDVASDAFSTFKDILTKHASLVAEYLTGHYDEFFDLYEKLLTSSNYVTRRQSLKLLSDFLLEAPNVQIMKRYILEVRYLKIMMTLLRDSSKNIQISAFHIFKVFVANPNKPREIKIILAKNHEKLLELLNSLSGKGAEDEQFEEEKELIIKEIEKVSRLQSLKS >KJB34593 pep chromosome:Graimondii2_0_v6:6:29540644:29548038:-1 gene:B456_006G074300 transcript:KJB34593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFFKPSRPKTPPEVAKAIKDSLNALDTKTVAEVKALEKAMEEVEKNFVTMRCMLSGDGEVEPNVEQVSQLALEISKEDVISLVVHKLPILGWEARKDLVHCWSILLKQQVDSKYCCVEYIEKHLELLDFLVVCYDNKEIALNCGNMLRECIKFPSLAQYILNSASFVLFFKFVELPNFDVASDAFSTFKDILTKHASLVAEYLTGHYDEFFDLYEKLLTSSNYVTRRQSLKLLSDFLLEAPNVQIMKRYILEVRYLKIMMTLLRDSSKNIQISAFHIFKVFVANPNKPREIKIILAKNHEKLLELLNSLSGKGAEDEQFEEEKELIIKEIEKVSRLQSLKS >KJB33778 pep chromosome:Graimondii2_0_v6:6:7766796:7773753:1 gene:B456_006G030400 transcript:KJB33778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPFEKRFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAHCSFATGHNLWVVMPYMAGGSCLHIMKSAFPEGFEEPVIATLLREVLKALVYLHSHGHIHRDVKAGNILVDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVAACLVKDPKKRPTSEKLLKHHFFKHARSYDYLVRTILYGLAPLGERFRVLKTKEADLLVQNKAFYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQDDDDAPNAEDQDGSRKQRDRHDVVGLSAERISPEMPSNSIAASSQEDGLSDLHDLESSLASFPIKPLQALKGCFDIGEAEGTNGPNWKGVTQLESEQLITKSSRAMDQDAGRNEGENSGQSSSSTRQVVPEHKKFLSGSLIPDSAFSPNKFTGDGDRDFPQPKFPSERNYSGPLLYRQRRETNNPSSEDSSEGAVVQRGRFKVTSADLSPKGPTNCTFNPATGGSTSPTSLNLRASAVLPSLQCILQQNTMQREEIIRLIKYLEQSSGKLGDLSEVGTNDLLQIPPSSAREKELQSQVLQLQQSIGNLVEELQRQKMKNIQVCPLTLN >KJB33780 pep chromosome:Graimondii2_0_v6:6:7766796:7775315:1 gene:B456_006G030400 transcript:KJB33780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPFEKRFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAHCSFATGHNLWVVMPYMAGGSCLHIMKSAFPEGFEEPVIATLLREVLKALVYLHSHGHIHRDVKAGNILVDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVAACLVKDPKKRPTSEKLLKHHFFKHARSYDYLVRTILYGLAPLGERFRVLKTKEADLLVQNKAFYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQDDDDAPNAEDQDGSRKQRDRHDVVGLSAERISPEMPSNSIAASSQEDGLSDLHDLESSLASFPIKPLQALKGCFDIGEAEGTNGPNWKGVTQLESEQLITKSSRAMDQDAGRNEGENSGQSSSSTRQVVPEHKKFLSGSLIPDSAFSPNKFTGDGDRDFPQPKFPSERNYSGPLLYRQRRETNNPSSEDSSEGAVVQRGRFKVTSADLSPKGPTNCTFNPATGGSTSPTSLNLRASAVLPSLQCILQQNTMQREEIIRLIKYLEQSSGKLGDLSEVGTNDLLQSLVLATFLWCKRGLSLEIGEEIVDSGILISALLNTTSF >KJB33773 pep chromosome:Graimondii2_0_v6:6:7766563:7775315:1 gene:B456_006G030400 transcript:KJB33773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPFEKRFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAHCSFATGHNLWVVMPYMAGGSCLHIMKSAFPEGFEEPVIATLLREVLKALVYLHSHGHIHRDVKAGNILVDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVAACLVKDPKKRPTSEKLLKHHFFKHARSYDYLVRTILYGLAPLGERFRVLKTKEADLLVQNKAFYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQDDDDAPNAEDQDGSRKQRDRHDVVGLSAERISPEMPSNSIAASSQEDGLSDLHDLESSLASFPIKPLQALKGCFDIGEAEGTNGPNWKGVTQLESEQLITKSSRAMDQDAGRNEGENSGQSSSSTRQVVPEHKKFLSGSLIPDSAFSPNKFTGDGDRDFPQPKFPSERNYSGPLLYRQRRETNNPSSEDSSEGAVVQRGRFKVTSADLSPKGPTNCTFNPATGGSTSPTSLNLRASAVLPSLQCILQQNTMQREEIIRLIKYLEQSSGKLGDLSEVGTNDLLQIPPSSAREKELQSQVLQLQQSIGNLVEELQRQKMKNIQLEKQLNKALANNKK >KJB33777 pep chromosome:Graimondii2_0_v6:6:7767895:7773069:1 gene:B456_006G030400 transcript:KJB33777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPFEKRFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAHCSFATGHNLWVVMPYMAGGSCLHIMKSAFPEGFEEPVIATLLREVLKALVYLHSHGHIHRDVKAGNILVDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVAACLVKDPKKRPTSEKLLKHHFFKHARSYDYLVRTILYGLAPLGERFRVLKTKEADLLVQNKAFYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQDDDDAPNAEDQDGSRKQRDRHDVVGLSAERISPEMPSNSIAASSQEDGLSDLHDLESSLASFPIKPLQALKGCFDIGEAEGTNGPNWKGVTQLESEQLITKSSRAMDQDAGRNEGENSGQSSSSTRQVVPEHKKFLSGSLIPDSAFSPNKFTGDGDRDFPQPKFPSERNYSGPLLYRQRRETNNPSSEDSSEGAVVQRGRFKVTSADLSPKGPTNCTFNPATGGSTSPTSLNLRASAVLPSLQCILQQNTMQREEIIRLIKYLEQSSGKLGDLSEVGTNDLLQVINQP >KJB33782 pep chromosome:Graimondii2_0_v6:6:7767895:7772844:1 gene:B456_006G030400 transcript:KJB33782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPFEKRFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAHCSFATGHNLWVVMPYMAGGSCLHIMKSAFPEGFEEPVIATLLREVLKALVYLHSHGHIHRDVKAGNILVDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVAACLVKDPKKRPTSEKLLKHHFFKHARSYDYLVRTILYGLAPLGERFRVLKTKEADLLVQNKAFYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQDDDDAPNAEDQDGSRKQRDRHDVVGLSAERISPEMPSNSIAASSQEDGLSDLHDLESSLASFPIKPLQALKGCFDIGEAEGTNGPNWKGVTQLESEQLITKSSRAMDQDAGRNEGENSGQSSSSTRQVVPEHKKFLSGSLIPDSAFSPNKFTGDGDRDFPQPKFPSERNYSGPLLYRQRRETNNPSSEDSSEGAVVQRGRFKVTSADLSPKGPTNCTFNPATGGSTSPTSLNLRASAVLPSLQCILQQNTMQRVCLFSLHLISSHSGCLS >KJB33774 pep chromosome:Graimondii2_0_v6:6:7766675:7775676:1 gene:B456_006G030400 transcript:KJB33774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPFEKRFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAHCSFATGHNLWVVMPYMAGGSCLHIMKSAFPEGFEEPVIATLLREVLKALVYLHSHGHIHRDVKAGNILVDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVAACLVKDPKKRPTSEKLLKHHFFKHARSYDYLVRTILYGLAPLGERFRVLKTKEADLLVQNKAFYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQDDDDAPNAEDQDGSRKQRDRHDVVGLSAERISPEMPSNSIAASSQEDGLSDLHDLESSLASFPIKPLQALKGCFDIGEAEGTNGPNWKGVTQLESEQLITKSSRAMDQDAGRNEGENSGQSSSSTRQVVPEHKKFLSGSLIPDSAFSPNKFTGDGDRDFPQPKFPSERNYSGPLLYRQRRETNNPSSEDSSEGAVVQRGRFKVTSADLSPKGPTNCTFNPATGGSTSPTSLNLRASAVLPSLQCILQQNTMQREEIIRLIKYLEQSSGKLGDLSEVGTNDLLQIPPSSAREKELQSQVLQLQQSIGNLVEELQRQKMKNIQN >KJB33781 pep chromosome:Graimondii2_0_v6:6:7766675:7775353:1 gene:B456_006G030400 transcript:KJB33781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPFEKRFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAHCSFATGHNLWVVMPYMAGGSCLHIMKSAFPEGFEEPVIATLLREVLKALVYLHSHGHIHRDVKAGNILVDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVAACLVKDPKKRPTSEKLLKHHFFKHARSYDYLVRTILYGLAPLGERFRVLKTKEADLLVQNKAFYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQDDDDAPNAEDQDGSRKQRDRHDVVGLSAERISPEMPSNSIAASSQEDGLSDLHDLESSLASFPIKPLQALKGCFDIGEAEGTNGPNWKGVTQLESEQLITKSSRAMDQDAGRNEGENSGQSSSSTRQVVPEHKKFLSGSLIPDSAFSPNKFTGDGDRDFPQPKFPSERNYSGPLLYRQRRETNNPSSEDSSEGAVVQRGRFKVTSADLSPKGPTNCTFNPATGGSTSPTSLNLRASAVLPSLQCILQQNTMQREEIIRLIKYLEQSSGKLGDLSEVGTNDLLQIPPSSAREKELQSQVLQLQQSIGNLVEELQRQKMKNIQLEKQLNKALANNKK >KJB33776 pep chromosome:Graimondii2_0_v6:6:7766563:7775676:1 gene:B456_006G030400 transcript:KJB33776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPFEKRFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAHCSFATGHNLWVVMPYMAGGSCLHIMKSAFPEGFEEPVIATLLREVLKALVYLHSHGHIHRDVKAGNILVDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVAACLVKDPKKRPTSEKLLKHHFFKHARSYDYLVRTILYGLAPLGERFRVLKTKEADLLVQNKAFYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQDDDDAPNAEDQDGSRKQRDRHDVVGLSAERISPEMPSNSIAASSQEDGLSDLHDLESSLASFPIKPLQALKGCFDIGEAEGTNGPNWKGVTQLESEQLITKSSRAMDQDAGRNEGENSGQSSSSTRQVVPEHKKFLSGSLIPDSAFSPNKFTGDGDRDFPQPKFPSERNYSGPLLYRQRRETNNPSSEDSSEGAVVQRGRFKVTSADLSPKGPTNCTFNPATGGSTSPTSLNLRASAVLPSLQCILQQNTMQREEIIRLIKYLEQSSGKLGDLSEVGTNDLLQIPPSSAREKELQSQVLQLQQSIGNLVEELQRQKMKNIQN >KJB33779 pep chromosome:Graimondii2_0_v6:6:7767895:7773069:1 gene:B456_006G030400 transcript:KJB33779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPFEKRFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAHCSFATGHNLWVVMPYMAGGSCLHIMKSAFPEGFEEPVIATLLREVLKALVYLHSHGHIHRDVKAGNILVDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVAACLVKDPKKRPTSEKLLKHHFFKHARSYDYLVRTILYGLAPLGERFRVLKTKEADLLVQNKAFYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQDDDDAPNAEDQDGSRKQRDRHDVVGLSAERISPEMPSNSIAASSQEDGLSDLHDLESSLASFPIKPLQALKGCFDIGEAEGTNGPNWKGVTQLESEQLITKSSRAMDQDAGRNEGENSGQSSSSTRQVVPEHKKFLSGSLIPDSAFSPNKFTGDGDRDFPQPKFPSERNYSGPLLYRQRRETNNPSSEDSSEGAVVQRGRFKVTSADLSPKGPTNCTFNPATGGSTSPTSLNLRASAVLPSLQCILQQNTMQREEIIRLIKYLEQSSGSASILGSSTFLLLNCGRNYDAKIYIFYTGKLGDLSEVGTNDLLQVINQP >KJB33775 pep chromosome:Graimondii2_0_v6:6:7766796:7775315:1 gene:B456_006G030400 transcript:KJB33775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPFEKRFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAHCSFATGHNLWVVMPYMAGGSCLHIMKSAFPEGFEEPVIATLLREVLKALVYLHSHGHIHRDVKAGNILVDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVAACLVKDPKKRPTSEKLLKHHFFKHARSYDYLVRTILYGLAPLGERFRVLKTKEADLLVQNKAFYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQDDDDAPNAEDQDGSRKQRDRHDVVGLSAERISPEMPSNSIAASSQEDGLSDLHDLESSLASFPIKPLQALKGCFDIGEAEGTNGPNWKGVTQLESEQLITKSSRAMDQDAGRNEGENSGQSSSSTRQVVPEHKKFLSGSLIPDSAFSPNKFTGDGDRDFPQPKFPSERNYSGPLLYRQRRETNNPSSEDSSEGAVVQRGRFKVTSADLSPKGPTNCTFNPATGGSTSPTSLNLRASAVLPSLQCILQQNTMQREEIIRLIKYLEQSSGKLGDLSEVGTNDLLQIPPSSAREKELQSQVLQLQQSIGNLVEELQRQKMKNIQLEKQLNKALANNKK >KJB37245 pep chromosome:Graimondii2_0_v6:6:45275944:45279007:1 gene:B456_006G195700 transcript:KJB37245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVDWCKVRGMGRQPCCDKLGVKKGPWTAEEDKKLVNFMRTHGYYCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLNHDEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLQKPDTPQNKPNLDPNQPENLSKSCAHEFSLPTGPDKWRSSWESDDDPSINLIWPEAFLHDLSENFHGTDSWWKEYSEIGTSSKEETCFSWLVGDAGEQ >KJB37246 pep chromosome:Graimondii2_0_v6:6:45277252:45279007:1 gene:B456_006G195700 transcript:KJB37246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVDWCKVRGMGRQPCCDKLGVKKGPWTAEEDKKLVNFMRTHGYYCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLNHDEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLQKPDTPQNKPNLDPNQPENLSKSCAHEFSLPTGPDKWRSSWESDDDPSINLIWPEAFLHDLSENFHGTDSWWKEYSEIGTSSKEETCFSWLVGDAGEQ >KJB37553 pep chromosome:Graimondii2_0_v6:6:46513473:46518221:1 gene:B456_006G210000 transcript:KJB37553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPNQEAIDTFISITGAPEAVAISMLEEHAGNLNTAVNAYFSEGDRPRSTIVPVDDAMDIDDPNEVVPNGPVLPFLPSSRTNEQSSLHDRNFHSSFFDGDSGSTHEPMVTHPTEVREVKNSHEPSSHAPVVEDASETAQALGPNSHETVTIDEVGDDSIAQPRWQNDNSNNQHVTPSAPAFDNLPDYGNDIEEQMIQAAIEASKRDTEELSNTVPWHNMSHSEDAVLEEAVSLSFKTAEQEKALREQGYCARTSEVEASIPPNEHLGNIAVSNGRLMEGSSSIQAEAADGDEQPLFRHRSRQTSSGPGESANEVGVVEASAPSSPGQQGIGNPPLNNGNEFPSDEWGGISSVEHDEAVMLEAAMFGGIPENGYRFAYAPHHFIRPEGSNPWRTPRPPSPSLEAQRLIREQQDDEYNASLQADREKELKAIQEAEACRLEEEAARKAALEEEKRKEEESRRKMEEEQECERQLAAKEASLPLEPSADEENAVNLLVRMPDGSRRGRLFLKSDRLQSLYDFIDIGRGVKPGTYRLVKFLSQAFCLVPYHSWLLVNLDGCMIYFTLFKD >KJB37552 pep chromosome:Graimondii2_0_v6:6:46513466:46518255:1 gene:B456_006G210000 transcript:KJB37552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPNQEAIDTFISITGAPEAVAISMLEEHAGNLNTAVNAYFSEGDRPRSTIVPVDDAMDIDDPNEVVPNGPVLPFLPSSRTNEQSSLHDRNFHSSFFDGDSGSTHEPMVTHPTEVREVKNSHEPSSHAPVVEDASETAQALGPNSHETVTIDEVGDDSIAQPRWQNDNSNNQHVTPSAPAFDNLPDYGNDIEEQMIQAAIEASKRDTEELSNTVPWHNMSHSEDAVLEEAVSLSFKTAEQEKALREQGYCARTSEVEASIPPNEHLGNIAVSNGRLMEGSSSIQAEAADGDEQPLFRHRSRQTSSGPGESANEVGVVEASAPSSPGQQGIGNPPLNNGNEFPSDEWGGISSVEHDEAVMLEAAMFGGIPENGYRFAYAPHHFIRPEGSNPWRTPRPPSPSLEAQRLIREQQDDEYNASLQADREKELKAIQEAEACRLEEEAARKAALEEEKRKEEESRRKMEEEQECERQLAAKEASLPLEPSADEENAVNLLVRMPDGSRRGRLFLKSDRLQSLYDFIDIGRGVKPGTYRLVRPYPRRAFSDDECSLTLNELGLANKQEALFLELI >KJB35798 pep chromosome:Graimondii2_0_v6:6:38213510:38217000:-1 gene:B456_006G128800 transcript:KJB35798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT1G51340) UniProtKB/TrEMBL;Acc:A0A178W9H8] MMTEEDDLYPSSVKMRYPIFIFFKDVRHVFKLDELGSEIAQIALPAALALTADPIASLVDTAFIGQIGAVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTIGRVSSEAQESDYVETGSCVDTESNELIPQKECIEGTYRPKTLGSSFDVVKIEPERRHIPSASSALVIGGILGLLQALFLISGAKPLLNFMGISSDSPMLNPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVANIILDPIFMFVFRLGVSGAAIAHVISQYLISVILLWKLMSQVDLLPPSLKHLYFGRFLKNGFLLLIRVMAVTFCITLSASMAARLGSTSMAAFQVCLQVWLATSLLADGLAVAGQAILASSFARKDNEKATATASRVLQLGLVLGLILAVILGGGLSFGAKLFTKDADVLRLIGTGIPVSTITNFNLLQVLNPKTGSCSYCQHYMFMYSLLHSWIHRTLDISDDIYESSSFCWILEDRDRNRALEVSEGLNVGFCKLIISFQTLPLYLIIMSCGGIL >KJB35792 pep chromosome:Graimondii2_0_v6:6:38213510:38217000:-1 gene:B456_006G128800 transcript:KJB35792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT1G51340) UniProtKB/TrEMBL;Acc:A0A178W9H8] MMTEEDDLYPSSVKMRYPIFIFFKDVRHVFKLDELGSEIAQIALPAALALTADPIASLVDTAFIGQIGAVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTIGRVSSEAQESDYVETGSCVDTESNELIPQKECIEGTYRPKTLGSSFDVVKIEPERRHIPSASSALVIGGILGLLQALFLISGAKPLLNFMGISSDSPMLNPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVANIILDPIFMFVFRLGVSGAAIAHVISQYLISVILLWKLMSQVDLLPPSLKHLYFGRFLKNGFLLLIRVMAVTFCITLSASMAARLGSTSMAAFQVCLQVWLATSLLADGLAVAGQAILASSFARKDNEKATATASRVLQLGLVLGLILAVILGGGLSFGAKLFTKDADVLRLIGTGIPFVAATQPINSLAFVFDGVNFGASDFAYSAFSLASSCSYCQHYMFMYSLLHSWIHRTLDISDDIYESSSFCWILEDRDRNRALEVSEGLNVGFCKLIISFQTLPLYLIIMSCGGIL >KJB35793 pep chromosome:Graimondii2_0_v6:6:38214225:38216727:-1 gene:B456_006G128800 transcript:KJB35793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT1G51340) UniProtKB/TrEMBL;Acc:A0A178W9H8] MMTEEDDLYPSSVKMRYPIFIFFKDVRHVFKLDELGSEIAQIALPAALALTADPIASLVDTAFIGQIGAVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTIGRVSSEAQESDYVETGSCVDTESNELIPQKECIEGTYRPKTLGSSFDVVKIEPERRHIPSASSALVIGGILGLLQALFLISGAKPLLNFMGISSDSPMLNPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVANIILDPIFMFVFRLGVSGAAIAHVISQYLISVILLWKLMSQVDLLPPSLKHLYFGRFLKNGFLLLIRVMAVTFCITLSASMAARLGSTSMAAFQVCLQVWLATSLLADGLAVAGQAILASSFARKDNEKATATASRVLQLGLVLGLILAVILGGGLSFGAKLFTKDADVLRLIGTGIPVSTITNFNLLQVLNPKTGK >KJB35788 pep chromosome:Graimondii2_0_v6:6:38213728:38216727:-1 gene:B456_006G128800 transcript:KJB35788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT1G51340) UniProtKB/TrEMBL;Acc:A0A178W9H8] MMTEEDDLYPSSVKMRYPIFIFFKDVRHVFKLDELGSEIAQIALPAALALTADPIASLVDTAFIGQIGAVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTIGRVSSEAQESDYVETGSCVDTESNELIPQKECIEGTYRPKTLGSSFDVVKIEPERRHIPSASSALVIGGILGLLQALFLISGAKPLLNFMGISSDSPMLNPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVANIILDPIFMFVFRLGVSGAAIAHVISQYLISVILLWKLMSQVDLLPPSLKHLYFGRFLKNGFLLLIRVMAVTFCITLSASMAARLGSTSMAAFQVCLQVWLATSLLADGLAVAGQAILASSFARKDNEKATATASRVLQLGLVLGLILAVILGGGLSFGAKLFTKDADVLRLIGTGIPFVAATQPINSLAFVFDGVNFGASDFAYSAFSLVLVAIASIICLCILSSTHGFIGLWISLTIYMSLRAFAGFWRIGTGTGPWKFLRG >KJB35796 pep chromosome:Graimondii2_0_v6:6:38214004:38216727:-1 gene:B456_006G128800 transcript:KJB35796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT1G51340) UniProtKB/TrEMBL;Acc:A0A178W9H8] MMTEEDDLYPSSVKMRYPIFIFFKDVRHVFKLDELGSEIAQIALPAALALTADPIASLVDTAFIGQIGAVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTIGRVSSEAQESDYVETGSCVDTESNELIPQKECIEGTYRPKTLGSSFDVVKIEPERRHIPSASSALVIGGILGLLQALFLISGAKPLLNFMGISSDSPMLNPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVANIILDPIFMFVFRLGVSGAAIAHVISQYLISVILLWKLMSQVDLLPPSLKHLYFGRFLKNGFLLLIRVMAVTFCITLSASMAARLGSTSMAAFQVCLQVWLATSLLADGLAVAGQAILASSFARKDNEKATATASRVLQLGLVLGLILAVILGGGLSFGAKLFTKDADVLRLIGTGIPVSTITNFNLLQVLNPKTGKFL >KJB35797 pep chromosome:Graimondii2_0_v6:6:38213504:38216854:-1 gene:B456_006G128800 transcript:KJB35797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT1G51340) UniProtKB/TrEMBL;Acc:A0A178W9H8] MMTEEDDLYPSSVKMRYPIFIFFKDVRHVFKLDELGSEIAQIALPAALALTADPIASLVDTAFIGQIGAVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTIGRVSSEAQESDYVETGSCVDTESNELIPQKECIEGTYRPKTLGSSFDVVKIEPERRHIPSASSALVIGGILGLLQALFLISGAKPLLNFMGISSDSPMLNPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVANIILDPIFMFVFRLGVSGAAIAHVISQYLISVILLWKLMSQVDLLPPSLKHLYFGRFLKNGFLLLIRVMAVTFCITLSASMAARLGSTSMAAFQVCLQVWLATSLLADGLAVAGQAILASSFARKDNEKATATASRVLQLGLVLGLILAVILGGGLSFGAKLFTKDADVLRLIGTGIPFVAATQPINSLAFVFDGVNFGASDFAYSAFSLHYMFMYSLLHSWIHRTLDISDDIYESSSFCWILEDRDRNRALEVSEGLNVGFCKLIISFQTLPLYLIIMSCGGIL >KJB35789 pep chromosome:Graimondii2_0_v6:6:38213504:38217087:-1 gene:B456_006G128800 transcript:KJB35789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT1G51340) UniProtKB/TrEMBL;Acc:A0A178W9H8] MMTEEDDLYPSSVKMRYPIFIFFKDVRHVFKLDELGSEIAQIALPAALALTADPIASLVDTAFIGQIGAVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTIGRVSSEAQESDYVETGSCVDTESNELIPQKECIEGTYRPKTLGSSFDVVKIEPERRHIPSASSALVIGGILGLLQALFLISGAKPLLNFMGISSDSPMLNPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVANIILDPIFMFVFRLGVSGAAIAHVISQYLISVILLWKLMSQVDLLPPSLKHLYFGRFLKNGFLLLIRVMAVTFCITLSASMAARLGSTSMAAFQVCLQAILASSFARKDNEKATATASRVLQLGLVLGLILAVILGGGLSFGAKLFTKDADVLRLIGTGIPFVAATQPINSLAFVFDGVNFGASDFAYSAFSLVLVAIASIICLCILSSTHGFIGLWISLTIYMSLRAFAGFWRIGTGTGPWKFLRG >KJB35795 pep chromosome:Graimondii2_0_v6:6:38213728:38216265:-1 gene:B456_006G128800 transcript:KJB35795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT1G51340) UniProtKB/TrEMBL;Acc:A0A178W9H8] MGWEDLKAWVYLMHVGAVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTIGRVSSEAQESDYVETGSCVDTESNELIPQKECIEGTYRPKTLGSSFDVVKIEPERRHIPSASSALVIGGILGLLQALFLISGAKPLLNFMGISSDSPMLNPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVANIILDPIFMFVFRLGVSGAAIAHVISQYLISVILLWKLMSQVDLLPPSLKHLYFGRFLKNGFLLLIRVMAVTFCITLSASMAARLGSTSMAAFQVCLQVWLATSLLADGLAVAGQAILASSFARKDNEKATATASRVLQLGLVLGLILAVILGGGLSFGAKLFTKDADVLRLIGTGIPFVAATQPINSLAFVFDGVNFGASDFAYSAFSLVLVAIASIICLCILSSTHGFIGLWISLTIYMSLRAFAGFWRIGTGTGPWKFLRG >KJB35790 pep chromosome:Graimondii2_0_v6:6:38213510:38217000:-1 gene:B456_006G128800 transcript:KJB35790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT1G51340) UniProtKB/TrEMBL;Acc:A0A178W9H8] MMTEEDDLYPSSVKMRYPIFIFFKDVRHVFKLDELGSEIAQIALPAALALTADPIASLVDTAFIGQIGAVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTIGRVSSEAQESDYVETGSCVDTESNELIPQKECIEGTYRPKTLGSSFDVVKIEPERRHIPSASSALVIGGILGLLQALFLISGAKPLLNFMGISSDSPMLNPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVANIILDPIFMFVFRLGVSGAAIAHVISQYLISVILLWKLMSQVDLLPPSLKHLYFGRFLKNGFLLLIRVMAVTFCITLSASMAARLGSTSMAAFQVCLQVWLATSLLADGLAVAGQAILASSFARKDNEKATATASRVLQLGLVLGLILAVILGGGLSFGAKLFTKDADVLRLIGTGIPFVAATQPINSLAFVFDGVNFGASDFAYSAFSLVLVAIASIICLCILSSTHGFIGLWISLTIYMSLRAFAGFWRIGTGTGPWKFLRG >KJB35791 pep chromosome:Graimondii2_0_v6:6:38213510:38216854:-1 gene:B456_006G128800 transcript:KJB35791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT1G51340) UniProtKB/TrEMBL;Acc:A0A178W9H8] MMTEEDDLYPSSVKMRYPIFIFFKDVRHVFKLDELGSEIAQIALPAALALTADPIASLVDTAFIGQIGAVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTIGRVSSEAQESDYVETGSCVDTESNELIPQKECIEGTYRPKTLGSSFDVVKIEPERRHIPSASSALVIGGILGLLQALFLISGAKPLLNFMGISSDSPMLNPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVANIILDPIFMFVFRLGVSGAAIAHVISQYLISVILLWKLMSQVDLLPPSLKHLYFGFLLLIRVMAVTFCITLSASMAARLGSTSMAAFQVCLQVWLATSLLADGLAVAGQAILASSFARKDNEKATATASRVLQLGLVLGLILAVILGGGLSFGAKLFTKDADVLRLIGTGIPFVAATQPINSLAFVFDGVNFGASDFAYSAFSLVLVAIASIICLCILSSTHGFIGLWISLTIYMSLRAFAGFWRIGTGTGPWKFLRG >KJB35794 pep chromosome:Graimondii2_0_v6:6:38214225:38216727:-1 gene:B456_006G128800 transcript:KJB35794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT1G51340) UniProtKB/TrEMBL;Acc:A0A178W9H8] MMTEEDDLYPSSVKMRYPIFIFFKDVRHVFKLDELGSEIAQIALPAALALTADPIASLVDTAFIGQIGAVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTIGRVSSEAQESDYVETGSCVDTESNELIPQKECIEGTYRPKTLGSSFDVVKIEPERRHIPSASSALVIGGILGLLQALFLISGAKPLLNFMGISSDSPMLNPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVANIILDPIFMFVFRLGVSGAAIAHVISQYLISVILLWKLMSQVDLLPPSLKHLYFGRFLKNGFLLLIRVMAVTFCITLSASMAARLGSTSMAAFQVCLQVWLATSLLADGLAVAGQAILASSFARKDNEKATATASRVLQLGLVLGLILAVILGGGLSFGAKLFTKDADVLRLIGTGIPVSTITNFNLLQVLNPKTGK >KJB33290 pep chromosome:Graimondii2_0_v6:6:1225850:1233121:1 gene:B456_006G006000 transcript:KJB33290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNGHSRLRRRDHLVQENGDPDLSCSIDELDPWTAWAYKPRTISFLFVGACFLIWASGALDPESNKSGDLVTSVKRGVWAMIAVFLTYCLLQAPSTVLTRPHPAVWRLVHGMAVVYLVALTFLLFQTRDDARQFMKFLHPDLGVELPERSYGADCRIYIPENPSSRFKNVYETLFDEFVLAHILGWWGKAILIRNQPLLWVLSTGFEFMELTFRHMLPNFNECWWDSIILDIFTCNWFGIWAGVHTVRYFDGRTYEWVGISRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNLYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPKPMPQWLVVFWLSMGSTLVLFLMIWSWKLQRSYHKKRR >KJB33293 pep chromosome:Graimondii2_0_v6:6:1225872:1233041:1 gene:B456_006G006000 transcript:KJB33293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNGHSRLRRRDHLVQENGDPDLSCSIDELDPWTAWAYKPRTISFLFVGACFLIWASGALDPESNKSGDLVTSVKRGVWAMIAVFLTYCLLQAPSTVLTRPHPAVWRLVHGMAVVYLVALTFLLFQTRDDARQFMKFLHPDLGVELPERSYGADCRIYIPENPSSRFKNVYETLFDEFVLAHILGWWGKAILIRNQPLLWVLSTGFEFMELTFRHMLPNFNECWWDSIILDIFTCNWFGIWAGVHTVRYFDGRTYEWVGISRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNLYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPKPMPQWLVVFWLSMGSTLVLFLMIWSWKLQRSYHKKRR >KJB33292 pep chromosome:Graimondii2_0_v6:6:1227425:1232613:1 gene:B456_006G006000 transcript:KJB33292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNGHSRLRRRDHLVQENGDPDLSCSIDELDPWTAWAYKPRTISFLFVGACFLIWASGALDPESNKSGDLVTSVKRGVWAMIAVFLTYCLLQAPSTVLTRPHPAVWRLVHGMAVVYLVALTFLLFQTRDDARQFMKFLHPDLGVELPERSYGADCRIYIPENPSSRFKNVYETLFDEFVLAHILGWWGKAILIRNQPLLWVLSTGFEFMELTFRHMLPNFNECWWDSIILDIFTCNWFGIWAGVHTVRYFDGRTYEWVGISRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNLYLQDRYYLILSLSISDMYIQCQNILTIFLFPFQ >KJB33294 pep chromosome:Graimondii2_0_v6:6:1226445:1233121:1 gene:B456_006G006000 transcript:KJB33294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVYLVALTFLLFQTRDDARQFMKFLHPDLGVELPERSYGADCRIYIPENPSSRFKNVYETLFDEFVLAHILGWWGKAILIRNQPLLWVLSTGFEFMELTFRHMLPNFNECWWDSIILDIFTCNWFGIWAGVHTVRYFDGRTYEWVGISRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNLYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPKPMPQWLVVFWLSMGSTLVLFLMIWSWKLQRSYHKKRR >KJB33291 pep chromosome:Graimondii2_0_v6:6:1225850:1233121:1 gene:B456_006G006000 transcript:KJB33291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNGHSRLRRRDHLVQENGDPDLSCSIDELDPWTAWAYKPRTISFLFVGACFLIWASGALDPESNKSGDLVTSVKRGVWAMIAVFLTYCLLQAPSTVLTRPHPAVWRLVHGMAVVYLVALTFLLFQTRDDARQFMKFLHPDLGVELPERSYGADCRIYIPENPSSRFKNVYETLFDEFVLAHILGWWGKAILIRNQPLLWVLSTGFEFMELTFRHMLPNFNECWWDSIILDIFTCNWFGIWAGVHTVRYFDGRTYEWVGISRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNLYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPKPMPQWLVVFWLSMGSTLVLFLMIWSWKLQRSYHKKRR >KJB33880 pep chromosome:Graimondii2_0_v6:6:9860246:9862883:-1 gene:B456_006G036100 transcript:KJB33880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCMFNSFSICSFPVQSKWKHFSYHGSSFRRLNVSFASRCEATNKISVEDNIVIRRSADYHPPIWEYDYVQSLRNDFVQDESYKERSSKLKEDVRMMFENVVDPLEKLELIDTIQRLGLSYHFGDGIKKTLKNISIDRSSTVASNKDNLYATALEFRLLRQHGYKVNQDVFACFMDEVGDIKASHNQDCKGLLNLYEASYHLLEGETMLENARKLAAKLLKQCLKENNDHQYLWMLVEHALVLPLHWRMPRLEARWFIDVYEKKKDKNPIILELAILDYNIVQSMHQDDLRYASTWWKELGLGKTLSFARDRLMENFLWSVGMINTPQDGKGRRIQTKVNALITVIDDVYDVYGTLDELELFTDVVERWDINEIQKLPNYMKICYHALYNSVNEMAFDTLKEQGIDVLPFLKKVWTNLCKSYLLEAKWYYIGYTPTLREYIDNAWISISGSVMLGHSYLATDLITEEGLHHIQQYYPDMIYWSSIVVRLADDLGTSSYELQRGDVPKSIQCYMNESGASEEEARDHIRKLIDATWKKMNEDQMAKSPFSRTFIEVAMNLARISLLMYQNGDGHAIEDKETKDRVLSLFVNPIALPK >KJB33882 pep chromosome:Graimondii2_0_v6:6:9861178:9862853:-1 gene:B456_006G036100 transcript:KJB33882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCMFNSFSICSFPVQSKWKHFSYHGSSFRRLNVSFASRCEATNKISVEDNIVIRRSADYHPPIWEYDYVQSLRNDFVQDESYKERSSKLKEDVRMMFENVVDPLEKLELIDTIQRLGLSYHFGDGIKKTLKNISIDRSSTVASNKDNLYATALEFRLLRQHGYKVNQDVFACFMDEVGDIKASHNQDCKGLLNLYEASYHLLEGETMLENARKLAAKLLKQCLKENNDHQYLWMLVEHALVLPLHWRMPRLEARWFIDVYEKKKDKNPIILELAILDYNIVQSMHQDDLRYASTWWKELGLGKTLSFARDRLMENFLWSVGMINTPQDGKGRRIQTKVNALITVIDDVYDVYGTLDELELFTDVVERWDINEIQKLPNYMKICYHALYNSVNEMAFDTLKEQGIDVLPFLKKVVLNQFL >KJB33881 pep chromosome:Graimondii2_0_v6:6:9860266:9862883:-1 gene:B456_006G036100 transcript:KJB33881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCMFNSFSICSFPVQSKWKHFSYHGSSFRRLNVSFASRCEATNKISVEDNIVIRRSADYHPPIWEYDYVQSLRNDFVQDESYKERSSKLKEDVRMMFENVVDPLEKLELIDTIQRLGLSYHFGDGIKKTLKNISIDRSSTVASNKDNLYATALEFRLLRQHGYKVNQDVFACFMDEVGDIKASHNQDCKGLLNLYEASYHLLEGETMLENARKLAAKLLKQCLKENNDHQYLWMLVEHALVLPLHWRMPRLEARWFIDVYEKKKDKNPIILELAILDYNIVQSMHQDDLRYASTWWKELGLGKTLSFARDRLMENFLWSVGMINTPQDGKGRRIQTKVNALITVIDDVYDVYGTLDELELFTDVVERFVVVLLYDFICF >KJB33883 pep chromosome:Graimondii2_0_v6:6:9860266:9862883:-1 gene:B456_006G036100 transcript:KJB33883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCMFNSFSICSFPVQSKWKHFSYHGSSFRRLNVSFASRCEATNKISVEDNIVIRRSADYHPPIWEYDYVQSLRNDFVQDESYKERSSKLKEDVRMMFENVVDPLEKLELIDTIQRLGLSYHFGDGIKKTLKNISIDRSSTVASNKDNLYATALEFRLLRQHGYKVNQDVFACFMDEVGDIKASHNQDCKGLLNLYEASYHLLEGETMLENARKLAAKLLKQCLKENNDHQYLWMLVEHALVLPLHWRMPRLEARWFIDVYEKKKDKNPIILELAILDYNIVQSMHQDDLRYASTWWKELGLGKTLSFARDRLMENFLWSVGMINTPQDGKGRRIQTKVNALITVIDDVYDVYGTLDELELFTDVVERWDINEIQKLPNYMKICYHALYNSVNEMAFDTLKEQGIDVLPFLKKVVLNQFL >KJB34634 pep chromosome:Graimondii2_0_v6:6:29917181:29919427:1 gene:B456_006G076300 transcript:KJB34634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNENTCGCAKKCKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFSTTPEYRHLAHRMGSEHLAKMLSKHLETVIKSRIPDIQSLINKTIDELETELSRLGKPIAADAGLYTIMEIFRLFYQNFREHLDGVRTGGDKVYNVFDNQLPAALKSSLLKLMEADGYQPHLIAPEQGYRRLIESTLVTAGSTWFGFLELKQYPALRVEVGNAAIESLERMRDQSKKATLQLVDMECCYLTVEFFRKLPQDVDKGGSATQSIFDRYNDSYLRRIGRFIYAK >KJB38230 pep chromosome:Graimondii2_0_v6:6:48918742:48920648:1 gene:B456_006G243400 transcript:KJB38230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIFIQPSFTSKSLNKSLNTHFVPSFKLPGSVSFKPRTVSQLSVRAGLIEPDGGKLVDLHVAEPERGLKKREAAPLPRVKLTRIDLQWVHVLSEGWASPLTGFMRESEFLQTLHFNSLRLGDGSFVNMSVPIVLAIDDSQKERIAESKRVTLVDSDDNPVAILNNIEIYKHLKEERIARTWGTTAPGLPYVEETITKAGNWLIGGDLEVIEPIKYHDGLDRFRLSPVELRQEFEKRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGYTKADDVPLSWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPIEKRDLYDADHGKKVLSMAPGLERLNILPFRVY >KJB38228 pep chromosome:Graimondii2_0_v6:6:48918669:48921602:1 gene:B456_006G243400 transcript:KJB38228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIFIQPSFTSKSLNKSLNTHFVPSFKLPGSVSFKPRTVSQLSVRAGLIEPDGGKLVDLHVAEPERGLKKREAAPLPRVKLTRIDLQWVHVLSEGWASPLTGFMRESEFLQTLHFNSLRLGDGSFVNMSVPIVLAIDDSQKERIAESKRVTLVDSDDNPVAILNNIEIYKHLKEERIARTWGTTAPGLPYVEETITKAGNWLIGGDLEVIEPIKYHDGLDRFRLSPVELRQEFEKRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGYTKADDVPLSWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPIEKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKTQSKMAFFDPSRAQDFLFISGTKMRALAKNKENPPDGFMCPGGWKVLVKYYDSLTPSDNGRIHEAVPA >KJB38229 pep chromosome:Graimondii2_0_v6:6:48918442:48921602:1 gene:B456_006G243400 transcript:KJB38229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIFIQPSFTSKSLNKSLNTHFVPSFKLPGSVSFKPRTVSQLSVRAGLIEPDGGKLVDLHVAEPERGLKKREAAPLPRVKLTRIDLQWVHVLSEGWASPLTGFMRESEFLQTLHFNSLRLGDGSFVNMSVPIVLAIDDSQKERIAESKRVTLVDSDDNPVAILNNIEIYKHLKEERIARTWGTTAPGLPYVEETITKAGNWLIGGDLEVIEPIKYHDGLDRFRLSPVELRQEFEKRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGYTKADDVPLSWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPIEKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKTQSKMAFFDPSRAQDFLFISGTKMRALAKNKENPPDGFMCPGGWKVLVKYYDSLTPSDNGRIHEAVPA >KJB38091 pep chromosome:Graimondii2_0_v6:6:48410966:48414987:1 gene:B456_006G236400 transcript:KJB38091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAPPSKSRDLDKLLLRPGNLVGPTFDPGVQLRDDLQEYARVLVVGAGGLGCELLKDLALSGFKNLEVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKDIEFYNDFNIIALGLDSIEARSYINAVACSFLGYDSDDNPREETMKPMVDGGTEGFKGHARVIVPGVTPCFECTIWLFPPQVKFPLCTLAETPRNAAHCIEYAHLIKWDEVHSGQAFDPDNPDHMKWVYDEAVKRAELFGIPGVTYSLTQGVVKNIIPAIASTNAIISAACTLETLKIASGCSKTLSNYLTYNGVEGLHTKVTEFVKDKDCLVCGPGVLIELDTTVTLKKFIDMLEEDPKVLMTKASITYHGKNLYMQAPPVLEEMTRSNLELPLYDLMDKIPKDVLHATGTINKDDKKSSGLRKLRVVFKGIDGVADMDMAGGA >KJB38088 pep chromosome:Graimondii2_0_v6:6:48410882:48415008:1 gene:B456_006G236400 transcript:KJB38088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAPPSKSRDLDKLLLRPGNLVGPTFDPGVQLRDDLQEYARVLVVGAGGLGCELLKDLALSGFKNLEVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKDIEFYNDFNIIALGLDSIEARSYINAVACSFLEYDSDDNPREETMKPMVDGGTEGFKGHARVIVPGVTPCFECTIWLFPPQVKFPLCTLAETPRNAAHCIEYAHLIKWDEVHSGQAFDPDNPDHMKWVYDEAVKRAELFGIPGVTYSLTQGVVKNIIPAIASTNAIISAACTLETLKIASGCSKTLSNYLTYNGVEGLHTKVTEFVKDKDCLVCGPGVLIELDTTVTLKKFIDMLEEDPKVLMTKASITYHGKNLYMQAPPVLEEMTRSNLELPLYDLMDKIPKDVLHATGTINKDDKKSSGLRKLRVVFKGIDGVADMDMAGGA >KJB38090 pep chromosome:Graimondii2_0_v6:6:48410966:48414987:1 gene:B456_006G236400 transcript:KJB38090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKDIEFYNDFNIIALGLDSIEARSYINAVACSFLEYDSDDNPREETMKPMVDGGTEGFKGHARVIVPGVTPCFECTIWLFPPQVKFPLCTLAETPRNAAHCIEYAHLIKWDEVHSGQAFDPDNPDHMKWVYDEAVKRAELFGIPGVTYSLTQGVVKNIIPAIASTNAIISAACTLETLKIASGCSKTLSNYLTYNGVEGLHTKVTEFVKDKDCLVCGPGVLIELDTTVTLKKFIDMLEEDPKVLMTKASITYHGKNLYMQAPPVLEEMTRSNLELPLYDLMDKIPKDVLHATGTINKDDKKSSGLRKLRVVFKGIDGVADMDMAGGA >KJB38092 pep chromosome:Graimondii2_0_v6:6:48410966:48414987:1 gene:B456_006G236400 transcript:KJB38092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAPPSKSRDLDKLLLRPGNLVGPTFDPGVQLRDDLQEYARVLVVGAGGLGCELLKDLALSGFKNLEVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKDIEFYNDFNIIALGLDSIEARSYINAVACSFLEYDSDDNPREETMKPMVDGGTEGFKGHARVIVPGVTPCFECTIWLFPPQVKFPLCTLAETPRNAAHCIEYAHLIKWDEVHSGQAFDPDNPDHMKWVYDEAVKRAELFGIPGVTYSLTQGVVKNIIPAIASTNAIISAACTLETLKIASGCSKTLSNYLTYNGVEGLHTKVTEFVKDKDCLVCGPGVLIELDTTVTLKKQFIDMLEEDPKVLMTKASITYHGKNLYMQAPPVLEEMTRSNLELPLYDLMDKIPKDVLHATGTINKDDKKSSGLRKLRVVFKGIDGVADMDMAGGA >KJB38089 pep chromosome:Graimondii2_0_v6:6:48410966:48414987:1 gene:B456_006G236400 transcript:KJB38089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAPPSKSRDLDKLLLRPGNLVGPTFDPGVQLRDDLQEYARVLVVGAGGLGCELLKDLALSGFKNLEVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKDIEFYNDFNIIALGLDSIEARSYINAVACSFLEYDSDDNPREETMKPMVDGGTEGFKGHARVIVPGVTPCFECTIWLFPPQVKFPLCTLAETPRNAAHCIEYAHLIKWDEVHSGQAFDPDNPDHMKWVYDEAVKRAELFGIPGVTYSLTQGVVKNIIPAIASTNAIISAACTLETLKIASGCSKTLSNYLTYV >KJB38554 pep chromosome:Graimondii2_0_v6:6:50113393:50115177:-1 gene:B456_006G260300 transcript:KJB38554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFVAPKYDRQLTGDDLWSELDIFSDLLGFDNNGKSFVNHQFHNNNNNKKLINPKDNQLNKERSETIQKTSQVTKKVEKTQRTRKNFYRGIRQRPWGKWAAEIRDPQKGVRVWLGTYNTAEEAARAYDEAAKRIRGDKAKLNFPQTPTKNQAASPALTLLPPAKKRCIVPELTQPSFQTDSPPYPMGLGYGRSEDYKPIEVVESELELKEQIWSLESFLGLETNHETMTQLSGNGGTDSLELWTLDDLVTQYKQRR >KJB33457 pep chromosome:Graimondii2_0_v6:6:2541094:2545589:1 gene:B456_006G011700 transcript:KJB33457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQDQLEIKFRLIDGSDIGPKTFPPATSVATLKESVLAQWPKEKENGPRTMKDVKLISAGKILENNKTLGECQSPLCSIPGGVTTMHVIVQPPLEKEKKAISQPKQNKCLCVIL >KJB33459 pep chromosome:Graimondii2_0_v6:6:2541103:2545518:1 gene:B456_006G011700 transcript:KJB33459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQDQLEIKFRLIDGSDIGPKTFPPATSVATLKESVLAQWPKEKENGPRTMKDVKLISAGKILENNKTLGECQSPLCSIPGGVTTMHVIVQPPLEKEKKAISQPKQNKCLCVIL >KJB33458 pep chromosome:Graimondii2_0_v6:6:2541094:2545589:1 gene:B456_006G011700 transcript:KJB33458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQDQLEIKFRLIDGSDIGPKTFPPATSVATLKESVLAQWPKEKENGPRTMKDVKLISAGKILENNKTLGECQSPLCSIPGGVTTMHVIVQPPLEKEKKAISQPKQNKCLCVIL >KJB35075 pep chromosome:Graimondii2_0_v6:6:33929814:33938074:1 gene:B456_006G099000 transcript:KJB35075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVSDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAVRESSSKIKIFSKNFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHENNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGELERANEILPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFELAIQLGKLGIAKEIATEVQSESKWKQLGELAMSTGKLQMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLSDPEEYPNLFEDWQLALTLESQVAETRGIYPPAADYLKHADRSHMTLVETFRNMPTEEEPLENGDLDHEVYRSYPSVFLLCRQLLT >KJB35072 pep chromosome:Graimondii2_0_v6:6:33929720:33939488:1 gene:B456_006G099000 transcript:KJB35072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVSDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAVRESSSKIKIFSKNFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHENNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGELERANEILPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFELAIQLGKLGIAKEIATEVQSESKWKQLGELAMSTGKLQMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLSDPEEYPNLFEDWQLALTLESQVAETRGIYPPAADYLKHADRSHMTLVETFRNMPTEEEPLENGDLDHENLEDQNGEEGSQEEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGTPSA >KJB35074 pep chromosome:Graimondii2_0_v6:6:33929720:33939488:1 gene:B456_006G099000 transcript:KJB35074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVSDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAVRESSSKIKIFSKNFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHENNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGELERANEILPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFELAIQLGKLGIAKEIATEVQSESKWKQLGELAMSTGKLQMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLSDPEEYPNLFEDWQLALTLESQVAETRGIYPPAADYLKHADRSHMTLVETFRNMPTEEEPLENGDLDHEVYQEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGTPSA >KJB35073 pep chromosome:Graimondii2_0_v6:6:33929720:33939488:1 gene:B456_006G099000 transcript:KJB35073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVSDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAVRESSSKIKIFSKNFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHENNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGELERANEILPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFELAIQLGKLGIAKEIATEVQSESKWKQLGELAMSTGKLQMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLSDPEEYPNLFEDWQLALTLESQVAETRGIYPPAADYLKHADRSHMTLVETFRNMPTEEEPLENGDLDHENLEDQNGEEGSQEEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGTPSA >KJB35076 pep chromosome:Graimondii2_0_v6:6:33929720:33939488:1 gene:B456_006G099000 transcript:KJB35076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPGMSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVSDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAVRESSSKIKIFSKNFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHENNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGELERANEILPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFELAIQLGKLGIAKEIATEVQSESKWKQLGELAMSTGKLQMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLSDPEEYPNLFEDWQLALTLESQVAETRGIYPPAADYLKHADRSHMTLVETFRNMPTEEEPLENGDLDHENLEDQNGEEGSQEEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGTPSA >KJB35070 pep chromosome:Graimondii2_0_v6:6:33929720:33939488:1 gene:B456_006G099000 transcript:KJB35070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVSDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAVRESSSKIKIFSKNFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHENNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGELERANEILPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFELAIQLGKLGIAKEIATEVQSESKWKQLGELAMSTGKLQMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLSDPEEYPNLFEDWQLALTLESQVAETRGIYPPAADYLKHADRSHMTLVETFRNMPTEEEPLENGDLDHENLEDQNGEEGSQEEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGTPSA >KJB35071 pep chromosome:Graimondii2_0_v6:6:33929720:33939488:1 gene:B456_006G099000 transcript:KJB35071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVSDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAVRESSSKIKIFSKNFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHENNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGELERANEILPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFELAIQLGKLGIAKEIATEVQSESKWKQLGELAMSTGKLQMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLSDPEEYPNLFEDWQLALTLESQVAETRGIYPPAADYLKHADRSHMTLVETFRNMPTEEEPLENGDLDHENLEDQNGEEGSQEEAVVVDADSNDGAVLVNGNEPEEEWVLTPDH >KJB35077 pep chromosome:Graimondii2_0_v6:6:33929656:33939488:1 gene:B456_006G099000 transcript:KJB35077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVSDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAVRESSSKIKIFSKNFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHENNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGELERANEILPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFELAIQLGKLGIAKEIATEVQSESKWKQLGELAMSTGKLQMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLSDPEEYPNLFEDWQLALTLESQVAETRGIYPPAADYLKHADRSHMTLVETFRNMPTEEEPLENGDLDHENLEDQNGEEGSQEEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGTPSA >KJB36312 pep chromosome:Graimondii2_0_v6:6:41104602:41105904:1 gene:B456_006G152000 transcript:KJB36312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKRLEGKVAIITGGASGIGASAVHVFHENGAKVVIADIQDCKGQALANQLGENVAFIHCDVSNEDDICNLIDTTISKHGKLDIMYNNAGIMDRPTSGGILDAKKSDLEKMFQVNTIGAFLGAKHAARVMIPQRKGCILFTASACTSIAGLASHTYAATKYGILGLAKNLTPDLGQYGIRVNCISPYGVVTGFPGITEEQRSIVELKLSNMGNLKGEILKPECVAKAALYLASEEANYVSGVNLLVDGGYSAVNPTMFKFANLVN >KJB36685 pep chromosome:Graimondii2_0_v6:6:43028548:43030302:-1 gene:B456_006G170900 transcript:KJB36685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTEAGCKKQRWSLQGMTALVTGGTRGIGYATVEELATLGAVVHTCSRNQTELHERLQEWQSKGFKVSGSACDLSCRQQREKLMETVSTVFDGKLNILVNNAGTTVIKPCEGHTLEDYTTVMNTNIEAPYHLCQLAYPLLKASGNGSIVFISSVAGSMALPRLSAYSASKGAINQITKNLACEWAKDNIRTNTVSPWGVRTSITKPEADAPFVEEFLRLIAGTAMPRIGEPEEISSMVAFLCLPAASYINGQVISVDGGYTAGGCWPFQNFSFNLLSS >KJB36690 pep chromosome:Graimondii2_0_v6:6:43028548:43030855:-1 gene:B456_006G170900 transcript:KJB36690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTEAGCKKQRWSLQGMTALVTGGTRGIGYATVEELATLGAVVHTCSRNQTELHERLQEWQSKGFKVSGSACDLSCRQQREKLMETVSTVFDGKLNILVNNAGTTVIKPCEGHTLEDYTTVMNTNIEAPYHLCQLAYPLLKASGNGSIVFISSVAGSMALPRLSAYSASKGAINQITKNLACEWAKDNIRTNTVSPWGVRTSITKPEADAPFVEEFLRLIAGTAMPRIGEPEEISSMVAFLCLPAASYINGQVISVDGGYTAGGCWPFQNFSFNLLSS >KJB36689 pep chromosome:Graimondii2_0_v6:6:43028548:43030751:-1 gene:B456_006G170900 transcript:KJB36689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVSTVFDGKLNILVNNAGTTVIKPCEGHTLEDYTTVMNTNIEAPYHLCQLAYPLLKASGNGSIVFISSVAGSMALPRLSAYSASKGAINQITKNLACEWAKDNIRTNTVSPWGVRTSITKPEADAPFVEEFLRLIAGTAMPRIGEPEEISSMVAFLCLPAASYINGQVISVDGGYTAGGCWPFQNFSFNLLSS >KJB36687 pep chromosome:Graimondii2_0_v6:6:43029042:43030017:-1 gene:B456_006G170900 transcript:KJB36687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTEAGCKKQRWSLQGMTALVTGGTRGIGYATVEELATLGAVVHTCSRNQTELHERLQEWQSKGFKVSGSACDLSCRQQREKLMETVSTVFDGKLNILVNNAGTTVIKPCEGHTLEDYTTVMNTNIEAPYHLCQLAYPLLKASGNGSIVFISSVAGSMALPRLSAYSASKGAINQITKNLACEWAKDNIRTNTVSPWGVRTSITKPVNYL >KJB36686 pep chromosome:Graimondii2_0_v6:6:43028548:43030568:-1 gene:B456_006G170900 transcript:KJB36686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTEAGCKKQRWSLQGMTALVTGGTRGIGYATVEELATLGAVVHTCSRNQTELHERLQEWQSKGFKVSGSACDLSCRQQREKLMETVSTVFDGKLNILVNNAGTTVIKPCEGHTLEDYTTVMNTNIEAPYHLCQLAYPLLKASGNGSIVFISSVAGSMALPRLSAYSASKGAINQITKNLACEWAKDNIRTNTVSPWGVRTSITKPEADAPFVEEFLRLIAGTAMPRIGEPEEISSMVAFLCLPAASYINGQVISVDGGYTAGGCWPFQNFSFNLLSS >KJB36688 pep chromosome:Graimondii2_0_v6:6:43028548:43030751:-1 gene:B456_006G170900 transcript:KJB36688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTEAGCKKQRWSLQGMTALVTGGTRGIGYATVEELATLGAVVHTCSRNQTELHERLQEWQSKGFKVSGSACDLSCRQQREKLMETVSTVFDGKLNILVNNAGTTVIKPCEGHTLEDYTTVMNTNIEAPYHLCQLAYPLLKASGNGSIVFISSVAGSMALPRLSAYSASKGAINQITKNLACEWAKDNIRTNTVSPWGVRTSITKRRTPLSLRNF >KJB37997 pep chromosome:Graimondii2_0_v6:6:48065538:48065947:1 gene:B456_006G2311001 transcript:KJB37997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HHNGNRGSQRADGNSFHRSNCLNSSLTNIIKNPLGNIFDVNGEPVVECNPDDQNCIKKYGFCLFQNKICCDDCTCFGLCVLCRQK >KJB38094 pep chromosome:Graimondii2_0_v6:6:48421045:48425067:-1 gene:B456_006G236600 transcript:KJB38094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase large subunit 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19220) UniProtKB/Swiss-Prot;Acc:P55229] MTVSADGRLSLSATAQLGTTLALARRRCSRLAKFSNGELMGKKVDMTPKYQRLCNKNVKSNICMSLTTNIAGESKLRDLEMEKRDPRTVVAIILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHISRAYNFGNGVNFGDGYVEILAATQTPGEAGKRWFQGTADAVRQFHWLFEDARSKEIEDVLILSGDHLYRMDYMDFVQNHRQSGADITISSLPIDDRRASDFGLMKIDKKGRILSFSEKPKGAELKAMAVDTTVLGLSKEEAEKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASAKEFFIKAYLFNDYWEDIGTIRSFFEANLALTEHPPRFSFYDAAKPIFTSRRNLPPSKIDNCKVVDSIISHGSFLNNCFIEHSVVGIRSRINAGVHLKDTVMLGADFYETDAERAGLLSEEGVPIGIGENTKIKDCIIDKNARIGKNVVIANSEGVQEADRSSEGFYIRSGISVILKNSIIKDGFVI >KJB38095 pep chromosome:Graimondii2_0_v6:6:48421089:48425048:-1 gene:B456_006G236600 transcript:KJB38095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase large subunit 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19220) UniProtKB/Swiss-Prot;Acc:P55229] MQLRDLEMEKRDPRTVVAIILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHISRAYNFGNGVNFGDGYVEILAATQTPGEAGKRWFQGTADAVRQFHWLFEDARSKEIEDVLILSGDHLYRMDYMDFVQNHRQSGADITISSLPIDDRRASDFGLMKIDKKGRILSFSEKPKGAELKAMAVDTTVLGLSKEEAEKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASAKEFFIKAYLFNDYWEDIGTIRSFFEANLALTEHPPRFSFYDAAKPIFTSRRNLPPSKIDNCKVVDSIISHGSFLNNCFIEHSVVGIRSRINAGVHLKDTVMLGADFYETDAERAGLLSEEGVPIGIGENTKIKDCIIDKNARIGKNVVIANSEGVQEADRSSEGFYIRSGISVILKNSIIKDGFVI >KJB38096 pep chromosome:Graimondii2_0_v6:6:48421574:48425048:-1 gene:B456_006G236600 transcript:KJB38096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase large subunit 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19220) UniProtKB/Swiss-Prot;Acc:P55229] MTVSADGRLSLSATAQLGTTLALARRRCSRLAKFSNGELMGKKVDMTPKYQRLCNKNVKSNICMSLTTNIAGESKLRDLEMEKRDPRTVVAIILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHISRAYNFGNGVNFGDGYVEILAATQTPGEAGKRWFQGTADAVRQFHWLFEDARSKEIEDVLILSGDHLYRMDYMDFVQNHRQSGADITISSLPIDDRRASDFGLMKIDKKGRILSFSEKPKGAELKAMAVDTTVLGLSKEEAEKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASAKEFFIKAYLFNDYWEDIGTIRSFFEANLALTEHPPRFSFYDAAKPIFTSRRNLPPSKIDNCKVVDSIISHGSFLNNCFIEHSVVGIRSRINAGVHLKDTVMLGADFYETDAERAGLLSEEGVPIGIGENTKIKDCIIDKNARIGKNVVIANSEV >KJB38185 pep chromosome:Graimondii2_0_v6:6:48762774:48766624:1 gene:B456_006G241400 transcript:KJB38185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLRQRRVSALGVSRILQAVNGDSFRLQPESNCRTFARFGVIIRNYSSGKGTAKFDFTDLTRPHTWYPNARKKQRKVFLHVGPTNSGKTYHALKRLESSSSGVYCGPLRLLAWEVAKRLNKARVPCDLITGQEKDEVDGAKHKAVTVEMADVTSDYECAVIDEIQMLGCKTRGFSFTRALLGIAADELHLCGDAAAVPLIQELLKVTGDDVQVRSYERLLPLVPLEVPLGSFSNIRTGDCIVSFSRKEIYKLKKKIETGGKHLCSVVYGSLPPETRTRQATMFNDASSEFDVLVASDAIGMGLNLNISRIIFSTMKKFDGLEMRDLTVPEVKQIAGRSGRYGSKYPMGEVTCLDAEDLPLLHSSLKSASPTLQQAGVFPSFDFIYMYSRLQQKRGLYQILEDFLENAKLSDNYFFANCEEMLKVAAVIDELPLSLQDKYLFCLR >KJB38186 pep chromosome:Graimondii2_0_v6:6:48762774:48767537:1 gene:B456_006G241400 transcript:KJB38186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLRQRRVSALGVSRILQAVNGDSFRLQPESNCRTFARFGVIIRNYSSGKGTAKFDFTDLTRPHTWYPNARKKQRKVFLHVGPTNSGKTYHALKRLESSSSGVYCGPLRLLAWEVAKRLNKARVPCDLITGQEKDEVDGAKHKAVTVEMADVTSDYECAVIDEIQMLGCKTRGFSFTRALLGIAADELHLCGDAAAVPLIQELLKVTGDDVQVRSYERLLPLVPLEVPLGSFSNIRTGDCIVSFSRKEIYKLKKKIETGGKHLCSVVYGSLPPETRTRQATMFNDASSEFDVLVASDAIGMGLNLNISRIIFSTMKKFDGLEMRDLTVPEVKQIAGRSGRYGSKYPMGEVTCLDAEDLPLLHSSLKSASPTLQQAGVFPSFDFIYMYSRLQQKRGLYQILEDFLENAKLSDNYFFANCEEMLKVAAVIDELPLSLQDKYLFCLSPVDMNDEISSQGLTQFAENYAKKGLVRLKEIFSPGSLQVPKTHSALKELESIHKVLELYVWLSFRLDESFPDHELASSQKAICSMLIEEFLERLGFQNPTSRKLNSLMAKKRRQYL >KJB33736 pep chromosome:Graimondii2_0_v6:6:7236069:7238881:1 gene:B456_006G028100 transcript:KJB33736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRVTITGDGSSKVVKMGDDAMGGHRRMNGNKRFLQDKPWRNVGNRRHQINGVQWRSNGFKGQGSRIAGKDLRFKLMRKRGPFQFRDTFEDHRRNMEKPSNHIQPPQNRNKHMSETGPNRINNLNQVSHNSITEVLQIYSLQTIDGSRGFPEMPKIIPTSLPRNELFPSNGIFNPSRESGLMPGRGKAITSMPITHAAPMSSIMQRKPRVDEEPFTVVTLLNSLGLGKYAIHFTAEEVDITALRQMGDRDLKELGIPMVMLMHACVLGHAISIRTLSFL >KJB33735 pep chromosome:Graimondii2_0_v6:6:7236069:7238881:1 gene:B456_006G028100 transcript:KJB33735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRVTITGDGSSKVVKMGDDAMGGHRRMNGNKRFLQDKPWRNVGNRRHQINGVQWRSNGFKGQGSRIAGKDLRFKLMRKRGPFQFRDTFEDHRRNMEKPSNHIQPPQNRNKHMSETGPNRINNLNQVSHNSITEVLQIYSLQTIDGSRGFPEMPKIIPTSLPRNELFPSNGIFNPSRESGLMPGRGKAITSMPITHAAPMSSIMQRKPRVVCFLASDSFVFFLIWWIFC >KJB33733 pep chromosome:Graimondii2_0_v6:6:7236069:7238881:1 gene:B456_006G028100 transcript:KJB33733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRVTITGDGSSKVVKMGDDAMGGHRRMNGNKRFLQDKPWRNVGNRRHQINGVQWRSNGFKGQGSRIAGKDLRFKLMRKRGPFQFRDTFEDHRRNMEKPSNHIQPPQNRNKHMSETGPNRINNLNQVSHNSITEVLQIYSLQTIDGSRGFPEMPKIIPTSLPRNELFPSNGIFNPSRESGLMPGRGKAITSMPITHAAPMSSIMQRKPRVDEEPFTVVTLLNSLGLGKYAIHFTAEEVDITALRQMGDRDLKELGIPMGPRKKLLLALRPHSRRHLPHIIRL >KJB33734 pep chromosome:Graimondii2_0_v6:6:7236336:7237575:1 gene:B456_006G028100 transcript:KJB33734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRVTITGDGSSKVVKMGDDAMGGHRRMNGNKRFLQDKPWRNVGNRRHQINGVQWRSNGFKGQGSRIAGKDLRFKLMRKRGPFQFRDTFEDHRRNMEKPSNHIQPPQNRNKHMSETGPNRINNLNQVSHNSITEVLQIYSLQTIDGSRGFPEMPKIIPTSLPRNELFPSNGIFNPSRESGLMPGRGKAITSMPITHAAPMSSIMQRKPRVVCFLASDSFVFFLIWWIFC >KJB33737 pep chromosome:Graimondii2_0_v6:6:7236764:7238878:1 gene:B456_006G028100 transcript:KJB33737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFILCLYSCMLVYIVLCSHFCCHFHRHQINGVQWRSNGFKGQGSRIAGKDLRFKLMRKRGPFQFRDTFEDHRRNMEKPSNHIQPPQNRNKHMSETGPNRINNLNQVSHNSITEVLQIYSLQTIDGSRGFPEMPKIIPTSLPRNELFPSNGIFNPSRESGLMPGRGKAITSMPITHAAPMSSIMQRKPRVDEEPFTVVTLLNSLGLGKYAIHFTAEEVDITALRQMGDRDLKELGIPMGPRKKLLLALRPHSRRHLPHIIRL >KJB33732 pep chromosome:Graimondii2_0_v6:6:7236067:7239187:1 gene:B456_006G028100 transcript:KJB33732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRVTITGDGSSKVVKMGDDAMGGHRRMNGNKRFLQDKPWRNVGNRRHQINGVQWRSNGFKGQGSRIAGKDLRFKLMRKRGPFQFRDTFEDHRRNMEKPSNHIQPPQNRNKHMSETGPNRINNLNQVSHNSITEVLQIYSLQTIDGSRGFPEMPKIIPTSLPRNELFPSNGIFNPSRESGLMPGRGKAITSMPITHAAPMSSIMQRKPRVDEEPFTVVTLLNSLGLGKYAIHFTAEEVDITALRQMGDRDLKELGIPMGPRKKLLLALRPHSRRHLPHIIRL >KJB33944 pep chromosome:Graimondii2_0_v6:6:11202495:11205926:-1 gene:B456_006G039700 transcript:KJB33944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLREIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVMIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRRLVLDVVN >KJB33946 pep chromosome:Graimondii2_0_v6:6:11202495:11205926:-1 gene:B456_006G039700 transcript:KJB33946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGLIHQVLRRKFQSQSAVQALSWFSSRKVNDDAGSAGMRTVALLGAGVSGLLGFATVASADEAEHGLGVANYPWPHAGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVMIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQVYGERCGDIFVMGGRTRNGRKEADGF >KJB33945 pep chromosome:Graimondii2_0_v6:6:11202495:11204960:-1 gene:B456_006G039700 transcript:KJB33945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLREIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVMIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRRLVLDVVN >KJB33943 pep chromosome:Graimondii2_0_v6:6:11202491:11206007:-1 gene:B456_006G039700 transcript:KJB33943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGLIHQVLRRKFQSQSAVQALSWFSSRKVNDDAGSAGMRTVALLGAGVSGLLGFATVASADEAEHGLGVANYPWPHAGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVMIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRRLVLDVVN >KJB36188 pep chromosome:Graimondii2_0_v6:6:40397021:40401877:-1 gene:B456_006G145600 transcript:KJB36188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFWTQGGSDTEEEETDIEDEIENGGAGESAAAAAGSRYLQTNASDSDDSDGQKRVVRSAKDKRFEEMASTVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVTESDRVPNLYIKCLVMLEDFLAEALANKEAKKKMSSSNHKALNAMKQKLKKNNKQYEELINKYRENPESEEEKFEDEDEDEDEDESGSELEDPSQIAAESSDEDDEGEDMDDDDADGAWEKKLSKKEKLMDREFKKDPSEITWDTVNKKFKEVVAARGRKGTGKFEQVEQLTFLTKVAKTPAQKLEILFSVISAQFDVNPGLSGHMPINVWKKCVQNMLVILDILVQYPNIVVDDRVEPDENETQKGADYDGTIRVWGNLVAFLERIDNEFFKSLQCIDPHTREYVERLRDEPLFLVLAQNVQEYLERIGDLKSAAKVALRRVELVYYKPQEVYDAMRKLAELSEDGETDGDETKVEETRGISAFVVTPELVSRKPTFPENSRALMDILVTLIYKSGDERTKARAMLCDIYHHALFDEFSIARDLLLMSHLQDNIQHMDVSTQILFNRAMAQVGLCAFRVGLIAEGHGCLSELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLEAVHLICAMLLEVPNMAANSLDAKRNVISKTFRRLLEVSERQPFTGPPENVRDHVMAATRALCKGDFQKAFDVINSLDVWKLLRNRESVLEMLKAKIKEEALRTYLFTYCSSYDTLGLDQLTKMFDLSDAQIHSIVSKMLVNDELHASWDQPTRCIIFHDVEYSRLQALAFQLTEKLSILAESNERAVEARFGGGGLDLPLRRRDNQEYAAGTAGGGGGRWPDLSYNQGRQGSSGRAAYTGGGRPLALGQASRDRSGQSRGTGGYSGRAGSGMRGSQMDASARMVSLNRGVRG >KJB36187 pep chromosome:Graimondii2_0_v6:6:40396968:40401919:-1 gene:B456_006G145600 transcript:KJB36187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFWTQGGSDTEEEETDIEDEIENGGAGESAAAAAGSRYLQTNASDSDDSDGQKRVVRSAKDKRFEEMASTVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVTESDRVPNLYIKCLVMLEDFLAEALANKEAKKKMSSSNHKALNAMKQKLKKNNKQYEELINKYRENPESEEEKFEDEDEDEDEDESGSELEDPSQIAAESSDEDDEGEDMDDDDADGAWEKKLSKKEKLMDREFKKDPSEITWDTVNKKFKEVVAARGRKGTGKFEQVEQLTFLTKVAKTPAQKLEILFSVISAQFDVNPGLSGHMPINVWKKCVQNMLVILDILVQYPNIVVDDRVEPDENETQKGADYDGTIRVWGNLVAFLERIDNEFFKSLQCIDPHTREYVERLRDEPLFLVLAQNVQEYLERIGDLKSAAKVALRRVELVYYKPQEVYDAMRKLAELSEDGETDGDETKVEETRGISAFVVTPELVSRKPTFPENSRALMDILVTLIYKSGDERTKARAMLCDIYHHALFDEFSIARDLLLMSHLQDNIQHMDVSTQILFNRAMAQVGLCAFRVGLIAEGHGCLSELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLEAVHLICAMLLEVPNMAANSLDAKRNVISKTFRRLLEVSERQPFTGPPENVRDHVMAATRALCKGDFQKAFDVINSLDVWKLLRNRESVLEMLKAKIKEEALRTYLFTYCSSYDTLGLDQLTKMFDLSDAQIHSIVSKMLVNDELHASWDQPTRCIIFHDVEYSRLQALAFQLTEKLSILAESNERAVEARFGGGGLDLPLRRRDNQEYAAGTAGGGGGRWPDLSYNQGRQGSSGRAAYTGGGRPLALGQASRDRSGQSRGTGGYSGRAGSGMRGSQMDASARMVSLNRGVRG >KJB38036 pep chromosome:Graimondii2_0_v6:6:48210865:48211539:1 gene:B456_006G233500 transcript:KJB38036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVAGGEGTRWRLPPWMQGKASTPSSDRDKSDKVQEVGDEFISGNFKPRKQSKKASEPIEKGETKRRKRKICQQDAPCDSETASPVKMSIGLREKQVQEPSHRQRNKTNVRLRSGKDSKTPSPVEDDEEELSPEDLLSIAKEYVKADKDIGLQELSTGDCEFGKQLSTIASPKAKSESSLIAIDGNRKSPVDETTYDLTESPKDDKHLINATRNKHLAVIGGE >KJB37463 pep chromosome:Graimondii2_0_v6:6:46203632:46206936:1 gene:B456_006G206300 transcript:KJB37463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein KINESIN LIGHT CHAIN-RELATED 1 [Source:Projected from Arabidopsis thaliana (AT4G10840) UniProtKB/Swiss-Prot;Acc:O81629] MPGLKSPPDAPPLRITVSEPPSHNPVRTPNKKIPSPSPSRSKASPARSAKKPPPDSPNPDDSSLDNPDLGPFLLKLARDTIASGEGPTKALDYAIRAAKSFERCAVEGEPSLDLAMSLHVLAAIYCSLGRFDEAVPVLEQAIQVPDVERGPDHALASFSGHMQLGDTYSMLGQAEKSIGCYEQGLKIQIQALGETDARVGETCRYLAEAHVQAMQFDKAEELCKKTLEIHHAHSEPASLEEAADRRLMALVCEAKGDYEAALEHLVLASMAMIANGQENEVASIDVSIGNIYMSLCRFDEAIFSYQKALTVFKSSKGDNHPSVASVFVRLADLYHRTGKLRESKSYCENALRIFAKPVPGTTAEEIAGGLTEISAIYESVDEPEEALKLLQKAMKLLEDKPGQQSTIAGIEARIGVMFYILGRYDESRNSFESAVTKLRASGERKSAFFGVVLNQMGLACVQLFKIDEAAELFEEARAILEQECGPCHQDTLGVYSNLAATYDAMGRVEDAIEILEYVLKLREEKLGIANPDFEDEKNRLAELLKEAGRPRNRKAKSLENLIDPNSKRTAKKEGTKKWGFRI >KJB37462 pep chromosome:Graimondii2_0_v6:6:46203632:46206908:1 gene:B456_006G206300 transcript:KJB37462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein KINESIN LIGHT CHAIN-RELATED 1 [Source:Projected from Arabidopsis thaliana (AT4G10840) UniProtKB/Swiss-Prot;Acc:O81629] MPGLKSPPDAPPLRITVSEPPSHNPVRTPNKKIPSPSPSRSKASPARSAKKPPPDSPNPDDSSLDNPDLGPFLLKLARDTIASGEGPTKALDYAIRAAKSFERCAVEGEPSLDLAMSLHVLAAIYCSLGRFDEAVPVLEQAIQVPDVERGPDHALASFSGHMQLGDTYSMLGQAEKSIGCYEQGLKIQIQALGETDARVGETCRYLAEAHVQAMQFDKAEELCKKTLEIHHAHSEPASLEEAADRRLMALVCEAKGDYEAALEHLVLASMAMIANGQENEVASIDVSIGNIYMSLCRFDEAIFSYQKALTVFKSSKGDNHPSVASVFVRLADLYHRTGKLRESKSYCENALRIFAKPVPGTTAEEIAGGLTEISAIYESVDEPEEALKLLQKAMKLLEDKPGQQSTIAGIEARIGVMFYILGRYDESRNSFESAVTKLRASGERKSAFFGVVLNQMGLACVQLFKIDEAAELFEEARAILEQECGPCHQDTLGVYSNLAATYDAMGR >KJB34653 pep chromosome:Graimondii2_0_v6:6:30075645:30077758:1 gene:B456_006G076800 transcript:KJB34653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMRLLAIIAILVQGLVIGDSQELPSFDPELAVAKVLEVAEGKVGPDVKIFNVVDFGAKPDGATTSTINFIRAFKEACNHNGRAMLVIPEGNFVVGSMLLSGPCTFQPPLMVQFAGNLLPQGSTVAAENADWITFMNFNGLFISGKGIINGQGEKKAWNSVCDGCRRQSASLKLIKLNDVLISGITSVNPKGFHMMISVCSNFVITNVNISAPDESPNTDGIHMSKTNKVRISDSIIGTGDDCVSMIHGTTNVTIENVKCGPGHGFSIGSLGHYEDEFDVFGITVRNCSLSHTENGARIKTYRNESPSKASGIIFQDLIMDNVKNPILIDQEYATIKGSVDSKVLISDVEFSNIRGTTISKIAIVLACSPTFPCQGITLKDIHLEYSGPPDHNEDTPFSSNCTNVKVNYIGEQMPPPCA >KJB36398 pep chromosome:Graimondii2_0_v6:6:41626341:41629389:-1 gene:B456_006G157300 transcript:KJB36398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYFSLAQWQELELQALIYRYMLAGAAVPPELLHPIKKSLLYSSSYFLHHYQPALLQSGYWGRAAMDPEPGHCRRTDGKKWRCSKDVVPGQKYCERHVHCGRNRSRKPVEMPTSSSTAAAANNSNVSVIARFGGGGSGCGAVKARSPMTASTLAAVANGPNNIGFSRPSPPVDLLQLNHSSPESKTKPKGLFEAQNEVDDRSDGHILRHFIDDWPRTPQDPDNNGTNASPINSSTCLSISMPGNSSSDLSLKLSTGIGDSRREHHQQPPLNWAMGGWAVSNQVASMGGPLAEALRSSSTSNSSPTSVLHQLPKGSTSEISYIST >KJB36396 pep chromosome:Graimondii2_0_v6:6:41626341:41629389:-1 gene:B456_006G157300 transcript:KJB36396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYFSLAQWQELELQALIYRYMLAGAAVPPELLHPIKKSLLYSSSYFLHHYQPALLQSGYWGRAAMDPEPGHCRRTDGKKWRCSKDVVPGQKYCERHVHCGRNRSRKPVEMPTSSSTAAAANNSNVSVIARFGGGGSGCGAVKARSPMTASTLAAVANGPNNIGFSRPSPPVDLLQLNHSSPESKTKPKGLFEAQNEVDDRSDGHILRHFIDDWPRTPQDPDNNGTNASPINSSTCLSISMPGNSSSDLSLKLSTGIGDSRREHHQQPPLNWAMGGWAVSNQVASMGGPLAEALRSSSTSNSSPTSVLHQLPKGSTSEISYIST >KJB36397 pep chromosome:Graimondii2_0_v6:6:41626793:41628145:-1 gene:B456_006G157300 transcript:KJB36397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEPGHCRRTDGKKWRCSKDVVPGQKYCERHVHCGRNRSRKPVEMPTSSSTAAAANNSNVSVIARFGGGGSGCGAVKARSPMTASTLAAVANGPNNIGFSRPSPPVDLLQLNHSSPESKTKPKGLFEAQNEVDDRSDGHILRHFIDDWPRTPQDPDNNGTNASPINSSTCLSISMPGNSSSDLSLKLSTGIGDSRREHHQQPPLNWAMGGWAVSNQVASMGGPLAEALRSSSTSNSSPTSVLHQLPKGSTSEISYIST >KJB37233 pep chromosome:Graimondii2_0_v6:6:45198159:45200627:1 gene:B456_006G194900 transcript:KJB37233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLTISNGISSSSNANGGPILPNNQLPFGLPHRRNVLFPISPRTFHLTSAAKKFSSRTGRFDSKNRRSNLTTKEQDEEQELKRTAEIEESNIAVGVGLEDVGGSSSEISADGKPFPDLPGLQPDLWEGPKWDVFGFLVQYLWAFGIVFALIACGIAVATYNEGATDFKETPAYKESIQSQELLEQPDASNSDVFESNPTEVAPSLE >KJB33473 pep chromosome:Graimondii2_0_v6:6:2655669:2661881:-1 gene:B456_006G012500 transcript:KJB33473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLKKDSRSSSERDGPVSSSIECYACTQVGVPVFHSTSCDSVHQPQWEAYAGSSLFPMEATSDPIKNLTRARYTTGPFGKILDPRSGLVRRWNPVLLVARGIALAVDSMFFYTLTLMVIDDRPPCVYLDGGLAAIVTVVRTCVDGVHLWHLWLQFKMAYVSKESLVTGCGKLVWDARAIASHYVRSLKGFWFDVFVILPVPQAVFWLIVPKLLREEQYKLIMTILLVIFLSQFLPKVYHSLCLMRRMRKVTGYIFGSIWWGFGLNLIAYLIASHVAGGCWYVLATQRVVTCLQQRCERSARCDISSSCSKVFCSTQYLSPANMLKMSRCGGNSTLMTKSFCFDPEGVFRYGIYEPALPVISSNSLAVKILYPIFWGLLNLSSFGNELSPTSNPLEVVFSICIVLGGLLLFTLLVGNIQVFLHVVMANRKKLQLRRRDIEWWMRRRQLPSGLRQRVRHFETQTWDTMGGEEEMKWIEELPDGLRRDIKRYLCLDLIKKVPLFDSLDDLILDNICDRVKPLVYSKDEKIIREGDPVLRMVFVVSGRVKRSQGLSKGKIATSILEPGGFLGDELLSWCLRRPFIDRLPASSATFTCIEYTEAFGLNENDLRYITEHFRYRFANNQLKRTTRYYSSNWRSWAAVNIQFAWRCYRMKSKSDPSIPVILNGGNEDRLRKYAALFMSLKPRDHLE >KJB33474 pep chromosome:Graimondii2_0_v6:6:2655702:2661804:-1 gene:B456_006G012500 transcript:KJB33474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLKKDSRSSSERDGPVSSSIECYACTQVGVPVFHSTSCDSVHQPQWEAYAGSSLFPMEATSDPIKNLTRARYTTGPFGKILDPRSGLVRRWNPVLLVARGIALAVDSMFFYTLTLMVIDDRPPCVYLDGGLAAIVTVVRTCVDGVHLWHLWLQFKMAYVSKESLVTGCGKLVWDARAIASHYVRSLKGFWFDVFVILPVPQAVFWLIVPKLLREEQYKLIMTILLVIFLSQFLPKVYHSLCLMRRMRKVTGYIFGSIWWGFGLNLIAYLIASHVAGGCWYVLATQRVVTCLQQRCERSARCDISSSCSKVFCSTQYLSPANMLKMSRCGGNSTLMTKSFCFDPEGVFRYGIYEPALPVISSNSLAVKILYPIFWGLLNLSSFGNELSPTSNPLEVVFSICIVLGGLLLFTLLVGNIQVFLHVVMANRKKLQLRRRDIEWWMRRRQLPSGLRQRVRHFETQTWDTMGGEEEMKWIEELPDGLRRDIKRYLCLDLIKKVPLFDSLDDLILDNICDRVKPLVYSKDEKTDNTRRRSSATNGVCR >KJB35980 pep chromosome:Graimondii2_0_v6:6:39140923:39151672:1 gene:B456_006G135500 transcript:KJB35980 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase INO80-like protein [Source:Projected from Arabidopsis thaliana (AT3G57300) UniProtKB/TrEMBL;Acc:F4J277] MEPRRQSKDSFSYSGLFNLEPLMNFKVPQPDDDFDYYGNSSQDESRGGAMSHHGNGTMSERELSLAKRKWRGALNSDEEDDDYQGTHITEERYRSMLGEHVQKYKRRFKDTSASPAPSRMGIPAPKSNLGSSKNRKLLNEQRAGFYDMETTSEWMNDVSSQRFANYHEADLVPKIMYEPAYLDIGEGITFKIPPTYDKLALSLNLPSFSDIRVEEFYLKGTLDLGSLATMMASDKRFGSRSRAGMGEPHPQYESLQARLKALAASNSSQKFSLKVSESALNSSIPEGAAGNLQRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKPKVKKDPSVIEREEMEKIGKVWVTIVRRDIPKHHRNFTNFHRKQLIDSKRFAENCQREVKLKVSRSLKFMRGAALRTRKLARDMLLFWKRVDKEMAEVRKREEREAAEALRREQELREAKRQQQRLNFLIQQTELYSHFMQNKANSQPSEALPAKDEESNDDEKEDDGGPGVEEDPEEAELKKEALRAAQDAVSKQKKLTSAFDTECIKLRQAAETEVPLEDNSVAGSSNIDLHNPSTMPVTSTVQTPEMFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPALKTLPYWGGLQERMVLRKNINPKRLYRREAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSSSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTRKTEIMVHCKLSSRQQAFYQAIKNKISLAELFDSNRGHLNEKKILNLMNIVIQLRKVCNHPELFERNEGSTYFYFGEIPNSLLPPPFGELEDIHYAGSHNPITYKLPKLVQQEVLQNSETLCSAVARGVYQEMFYKYFNVFSSGNVYQSIFQQESISNECCVRSGTFGFSRLMDLSPAEVAFLGTGSFMERLLFSISRVDNQFLDGTLDDLMEVLDDDFSPSYLEMGTVRVVTRMLLMPSRSKTNLLRRRIATGPGSDPFEALVVSHQDRLLSNTKLLHSTYTFIPRTRAPPIGAQCSDRNFAYRMTEELHNPWVKRLLIGFARTSEYNGPRMPDGPHCLIQEIDSQLPVALPALQLTYKIFGSCPPMQSFDHAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKSTVQQLVMTGGHVQGDLLAPEDVVSLLLDDAQLEQKLREIPLQAKDRLKKKQPTKGIRLDAEGDASLEDLANAGAQGTGVDPSPDPEKAKSSNKKRKSAAERQTSAKQRISQKTSEPSFVDNELDDALQDDMQSQRPKRPKRPKKSVNENLEPVITTAAAASASGQVPGNEFGPGGFGTEMEHNMAQSNMST >KJB35979 pep chromosome:Graimondii2_0_v6:6:39140704:39151672:1 gene:B456_006G135500 transcript:KJB35979 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase INO80-like protein [Source:Projected from Arabidopsis thaliana (AT3G57300) UniProtKB/TrEMBL;Acc:F4J277] MEPRRQSKDSFSYSGLFNLEPLMNFKVPQPDDDFDYYGNSSQDESRGSQGGAMSHHGNGTMSERELSLAKRKWRGALNSDEEDDDYQGTHITEERYRSMLGEHVQKYKRRFKDTSASPAPSRMGIPAPKSNLGSSKNRKLLNEQRAGFYDMETTSEWMNDVSSQRFANYHEADLVPKIMYEPAYLDIGEGITFKIPPTYDKLALSLNLPSFSDIRVEEFYLKGTLDLGSLATMMASDKRFGSRSRAGMGEPHPQYESLQARLKALAASNSSQKFSLKVSESALNSSIPEGAAGNLQRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKPKVKKDPSVIEREEMEKIGKVWVTIVRRDIPKHHRNFTNFHRKQLIDSKRFAENCQREVKLKVSRSLKFMRGAALRTRKLARDMLLFWKRVDKEMAEVRKREEREAAEALRREQELREAKRQQQRLNFLIQQTELYSHFMQNKANSQPSEALPAKDEESNDDEKEDDGGPGVEEDPEEAELKKEALRAAQDAVSKQKKLTSAFDTECIKLRQAAETEVPLEDNSVAGSSNIDLHNPSTMPVTSTVQTPEMFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPALKTLPYWGGLQERMVLRKNINPKRLYRREAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSSSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTRKTEIMVHCKLSSRQQAFYQAIKNKISLAELFDSNRGHLNEKKILNLMNIVIQLRKVCNHPELFERNEGSTYFYFGEIPNSLLPPPFGELEDIHYAGSHNPITYKLPKLVQQEVLQNSETLCSAVARGVYQEMFYKYFNVFSSGNVYQSIFQQESISNECCVRSGTFGFSRLMDLSPAEVAFLGTGSFMERLLFSISRVDNQFLDGTLDDLMEVLDDDFSPSYLEMGTVRVVTRMLLMPSRSKTNLLRRRIATGPGSDPFEALVVSHQDRLLSNTKLLHSTYTFIPRTRAPPIGAQCSDRNFAYRMTEELHNPWVKRLLIGFARTSEYNGPRMPDGPHCLIQEIDSQLPVALPALQLTYKIFGSCPPMQSFDHAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKSTVQQLVMTGGHVQGDLLAPEDVVSLLLDDAQLEQKLREIPLQAKDRLKKKQPTKGIRLDAEGDASLEDLANAGAQGTGVDPSPDPEKAKSSNKKRKSAAERQTSAKQRISQKTSEPSFVDNELDDALQDDMQSQRPKRPKRPKKSVNENLEPVITTAAAASASGQVPGNEFGPGGFGTEMEHNMAQSNMST >KJB35982 pep chromosome:Graimondii2_0_v6:6:39140923:39151672:1 gene:B456_006G135500 transcript:KJB35982 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase INO80-like protein [Source:Projected from Arabidopsis thaliana (AT3G57300) UniProtKB/TrEMBL;Acc:F4J277] MSHHGNGTMSERELSLAKRKWRGALNSDEEDDDYQGTHITEERYRSMLGEHVQKYKRRFKDTSASPAPSRMGIPAPKSNLGSSKNRKLLNEQRAGFYDMETTSEWMNDVSSQRFANYHEADLVPKIMYEPAYLDIGEGITFKIPPTYDKLALSLNLPSFSDIRVEEFYLKGTLDLGSLATMMASDKRFGSRSRAGMGEPHPQYESLQARLKALAASNSSQKFSLKVSESALNSSIPEGAAGNLQRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKPKVKKDPSVIEREEMEKIGKVWVTIVRRDIPKHHRNFTNFHRKQLIDSKRFAENCQREVKLKVSRSLKFMRGAALRTRKLARDMLLFWKRVDKEMAEVRKREEREAAEALRREQELREAKRQQQRLNFLIQQTELYSHFMQNKANSQPSEALPAKDEESNDDEKEDDGGPGVEEDPEEAELKKEALRAAQDAVSKQKKLTSAFDTECIKLRQAAETEVPLEDNSVAGSSNIDLHNPSTMPVTSTVQTPEMFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPALKTLPYWGGLQERMVLRKNINPKRLYRREAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSSSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTRKTEIMVHCKLSSRQQAFYQAIKNKISLAELFDSNRGHLNEKKILNLMNIVIQLRKVCNHPELFERNEGSTYFYFGEIPNSLLPPPFGELEDIHYAGSHNPITYKLPKLVQQEVLQNSETLCSAVARGVYQEMFYKYFNVFSSGNVYQSIFQQESISNECCVRSGTFGFSRLMDLSPAEVAFLGTGSFMERLLFSISRVDNQFLDGTLDDLMEVLDDDFSPSYLEMGTVRVVTRMLLMPSRSKTNLLRRRIATGPGSDPFEALVVSHQDRLLSNTKLLHSTYTFIPRTRAPPIGAQCSDRNFAYRMTEELHNPWVKRLLIGFARTSEYNGPRMPDGPHCLIQEIDSQLPVALPALQLTYKIFGSCPPMQSFDHAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKSTVQQLVMTGGHVQGDLLAPEDVVSLLLDDAQLEQKLREIPLQAKDRLKKKQPTKGIRLDAEGDASLEDLANAGAQGTGVDPSPDPEKAKSSNKKRKSAAERQTSAKQRISQKTSEPSFVDNELDDALQDDMQSQRPKRPKRPKKSVNENLEPVITTAAAASASGQVPGNEFGPGGFGTEMEHNMAQSNMST >KJB35981 pep chromosome:Graimondii2_0_v6:6:39140923:39151672:1 gene:B456_006G135500 transcript:KJB35981 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase INO80-like protein [Source:Projected from Arabidopsis thaliana (AT3G57300) UniProtKB/TrEMBL;Acc:F4J277] MEPRRQSKDSFSYSGLFNLEPLMNFKVPQPDDDFDYYGNSSQDESRGSQGGAMSHHGNGTMSERELSLAKRKWRGALNSDEEDDDYQGTHITEERYRSMLGEHVQKYKRRFKDTSASPAPSRMGIPAPKSNLGSSKNRKLLNEQRAGFYDMETTSEWMNDVSSQRIMYEPAYLDIGEGITFKIPPTYDKLALSLNLPSFSDIRVEEFYLKGTLDLGSLATMMASDKRFGSRSRAGMGEPHPQYESLQARLKALAASNSSQKFSLKVSESALNSSIPEGAAGNLQRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKPKVKKDPSVIEREEMEKIGKVWVTIVRRDIPKHHRNFTNFHRKQLIDSKRFAENCQREVKLKVSRSLKFMRGAALRTRKLARDMLLFWKRVDKEMAEVRKREEREAAEALRREQELREAKRQQQRLNFLIQQTELYSHFMQNKANSQPSEALPAKDEESNDDEKEDDGGPGVEEDPEEAELKKEALRAAQDAVSKQKKLTSAFDTECIKLRQAAETEVPLEDNSVAGSSNIDLHNPSTMPVTSTVQTPEMFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPALKTLPYWGGLQERMVLRKNINPKRLYRREAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSSSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTRKTEIMVHCKLSSRQQAFYQAIKNKISLAELFDSNRGHLNEKKILNLMNIVIQLRKVCNHPELFERNEGSTYFYFGEIPNSLLPPPFGELEDIHYAGSHNPITYKLPKLVQQEVLQNSETLCSAVARGVYQEMFYKYFNVFSSGNVYQSIFQQESISNECCVRSGTFGFSRLMDLSPAEVAFLGTGSFMERLLFSISRVDNQFLDGTLDDLMEVLDDDFSPSYLEMGTVRVVTRMLLMPSRSKTNLLRRRIATGPGSDPFEALVVSHQDRLLSNTKLLHSTYTFIPRTRAPPIGAQCSDRNFAYRMTEELHNPWVKRLLIGFARTSEYNGPRMPDGPHCLIQEIDSQLPVALPALQLTYKIFGSCPPMQSFDHAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKSTVQQLVMTGGHVQGDLLAPEDVVSLLLDDAQLEQKLREIPLQAKDRLKKKQPTKGIRLDAEGDASLEDLANAGAQGTGVDPSPDPEKAKSSNKKRKSAAERQTSAKQRISQKTSEPSFVDNELDDALQDDMQSQRPKRPKRPKKSVNENLEPVITTAAAASASGQVPGNEFGPGGFGTEMEHNMAQSNMST >KJB35983 pep chromosome:Graimondii2_0_v6:6:39140923:39151672:1 gene:B456_006G135500 transcript:KJB35983 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase INO80-like protein [Source:Projected from Arabidopsis thaliana (AT3G57300) UniProtKB/TrEMBL;Acc:F4J277] MEPRRQSKDSFSYSGLFNLEPLMNFKVPQPDDDFDYYGNSSQDESRGSQGGAMSHHGNGTMSERELSLAKRKWRGALNSDEEDDDYQGTHITEERYRSMLGEHVQKYKRRFKDTSASPAPSRMGIPAPKSNLGSSKNRKLLNEQRAGFYDMETTSEWMNDVSSQRFANYHEADLVPKIMYEPAYLDIGEGITFKIPPTYDKLALSLNLPSFSDIRVEEFYLKGTLDLGSLATMMASDKRFGSRSRAGMGEPHPQYESLQARLKALAASNSSQKFSLKVSESALNSSIPEGAAGNLQRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKPKVKKDPSVIEREEMEKIGKVWVTIVRRDIPKHHRNFTNFHRKQLIDSKRFAENCQREVKLKVSRSLKFMRGAALRTRKLARDMLLFWKRVDKEMAEVRKREEREAAEALRREQELREAKRQQQRLNFLIQQTELYSHFMQNKANSQPSEALPAKDEESNDDEKEDDGGPGVEEDPEEAELKKEALRAAQDAVSKQKKLTSAFDTECIKLRQAAETEVPLEDNSVAGSSNIDLHNPSTMPVTSTVQTPEMFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPALKTLPYWGGLQERMVLRKNINPKRLYRREAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSSSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTRKTEIMVHCKLSSRQQAFYQAIKNKISLAELFDSNRGHLNEKKILNLMNIVIQLRKVCNHPELFERNEGSTYFYFGEIPNSLLPPPFGELEDIHYAGSHNPITYKLPKLVQQEVLQNSETLCSAVARGVYQEMFYKYFNVFSSGNVYQSIFQQESISNECCVRSGTFGFSRLMDLSPAEVAFLGTGSFMERLLFSISRVDNQFLDGTLDDLMEVLDDDFSPSYLEMGTVRVVTRMLLMPSRSKTNLLRRRIATGPGSDPFEALVVSHQDRLLSNTKLLHSTYTFIPRTRAPPIGAQCSDRNFAYRMTEELHNPWVKRLLIGFARTSEYNGPRMPDGPHCLIQEIDSQLPVALPALQLTYKIFGSCPPMQSFDHAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKSTVQQLVMTGGHVQGDLLAPEDVVSLLLDDAQLEQKLREIPLQVRID >KJB33069 pep chromosome:Graimondii2_0_v6:6:38009872:38012456:1 gene:B456_006G127300 transcript:KJB33069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITILLNLQNSTSPSPFFLDGRRQPSEKPLMHGRNQPVCTNIVVTNTIAKLKVIAPVHYWNGVIWPKQPVTLVEIPT >KJB37236 pep chromosome:Graimondii2_0_v6:6:45212792:45231285:1 gene:B456_006G195200 transcript:KJB37236 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCD1 [Source:Projected from Arabidopsis thaliana (AT1G49040) UniProtKB/TrEMBL;Acc:A0A178WQS8] MARIFEYFVVCGLGPEIRTLDGTKGYHGTEYLYLPSLLDQYPPLNHTLYPPPPPQLPTCVLPAGVELFPSGFDSNDPLSHPRSYPIVLTEGDGSKIYVSCITFRDPVCEDIAEAYRIPPNSFADKCICVVSRSPCFRVLREALEEIFALCFSPSGSSKPLWDVIAHMVSNVPLPTPGKERVLFAIDNCLLSVEVPPKDGLPQADISFQPLVQCLDVDNLIKLFTAVLLERRILIRSNKYSLLTLASEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTSNLGMDGVVIVDLEFNQITATEEIPPIPEPELSLLRGEILKLLHPNVVGIDLMRANFCGSSDQNLKIINKPWGEDHDLQLRLIFLKFFASILAGYRNFMENNATHVFNTQAFLKKRSRSTNQPSEPMIAQFLDSHGFLDYLESGLGSDENNDNLLDKLQDALGRGQNPLSILPSILAEPEIVTISDPDVGVSGSGAKYTYDRFPSNVRTEEQEEKRKQILAAVNGAIEYSGRQTPSSPSISSLERAAERERMVLDIQVKLQGLWLRLLKLEAGEDPLSSFEYGTILALIESDAEGIGGSGFVVCIREHINSGWHGQLTEEQFIAVKELLKTAISRATSRNDVLTIRDALEVSAEMYKKDANNVPDYVQRHLISLSIWEELRFWEGYFDYLVEQSSDKSANYAALVTAQLIVLASHMAGLGLPDNDAWYIIEIIAERNNIGYKLLIKLRGLLSHIHQLRINYWGVSSGKPQLLLPPGLSSPRLKDAADENQEPLEASGVGRSWVQSMFSRDTSSRTNSFSRVRKWASDGATGMSSVSIRYPQCSIMFRS >KJB37240 pep chromosome:Graimondii2_0_v6:6:45212792:45235061:1 gene:B456_006G195200 transcript:KJB37240 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCD1 [Source:Projected from Arabidopsis thaliana (AT1G49040) UniProtKB/TrEMBL;Acc:A0A178WQS8] MARIFEYFVVCGLGPEIRTLDGTKGYHGTEYLYLPSLLDQYPPLNHTLYPPPPPQLPTCVLPAGVELFPSGFDSNDPLSHPRSYPIVLTEGDGSKIYVSCITFRDPVCEDIAEAYRIPPNSFADKCICVVSRSPCFRVLREALEEIFALCFSPSGSSKPLWDVIAHMVSNVPLPTPGKERVLFAIDNCLLSVEVPPKDGLPQADISFQPLVQCLDVDNLIKLFTAVLLERRILIRSNKYSLLTLASEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTSNLGMDGVVIVDLEFNQITATEEIPPIPEPELSLLRGEILKLLHPNVVGIDLMRANFCGSSDQNLKIINKPWGEDHDLQLRLIFLKFFASILAGYRNFMENNATHVFNTQAFLKKRSRSTNQPSEPMIAQFLDSHGFLDYLESGLGSDENNDNLLDKLQDALGRGQNPLSILPSILAEPEIVTISDPDVGVSGSGAKYTYDRFPSNVRTEEQEEKRKQILAAVNGAIEYSGRQTPSSPSISSLERAAERERMVLDIQVKLQGLWLRLLKLEAGEDPLSSFEYGTILALIESDAEGIGGSGFVVCIREHINSGWHGQLTEEQFIAVKELLKTAISRATSRNDVLTIRDALEVSAEMYKKDANNVPDYVQRHLISLSIWEELRFWEGYFDYLVEQSSDKSANYAALVTAQLIVLASHMAGLGLPDNDAWYIIEIIAERNNIGYKLLIKLRGLLSHIHQLRINYWGVSSGKPQLLLPPGLSSPRLKDAADENQEPLEASGVGRSWVQSMFSRDTSSRTNSFSRVRKWASDGATENGNARKQDMPSAGQKKTQTNVRILRGHSGPVTALHCVTRKEVWDLVGDREDAGFFISGSTDCTVKIWDPSLRGSELRATLQGHTRTVRAISSDRGKVVSGSDDLSVIVWDKQTTQLLEELKGHDAQVSCVRMLSGERVLTAAQDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDTTGILAAAGRDAVANIWDIRAGRQMHKLLGHTKWIRSIRMVGDTLITGSDDWTARVWTVSRGTCDAVLACHAGPLLCVEYSASDKGIITGSTDGLLRFWENDEEGIKCVKNVTIHTAPILSINAGEQWLGVGAADNSMSLFQRPQERLGVPNTGSKMSGWQLYRTPQKTNAVVRCVVSDLERKRICGGGRNGVLRLWEATINI >KJB37239 pep chromosome:Graimondii2_0_v6:6:45212792:45234950:1 gene:B456_006G195200 transcript:KJB37239 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCD1 [Source:Projected from Arabidopsis thaliana (AT1G49040) UniProtKB/TrEMBL;Acc:A0A178WQS8] MARIFEYFVVCGLGPEIRTLDGTKGYHGTEYLYLPSLLDQYPPLNHTLYPPPPPQLPTCVLPAGVELFPSGFDSNDPLSHPRSYPIVLTEGDGSKIYVSCITFRDPVCEDIAEAYRIPPNSFADKCICVVSRSPCFRVLREALEEIFALCFSPSGSSKPLWDVIAHMVSNVPLPTPGKERVLFAIDNCLLSVEVPPKDGLPQADISFQPLVQCLDVDNLIKLFTAVLLERRILIRSNKYSLLTLASEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTSNLGMDGVVIVDLEFNQITATEEIPPIPEPELSLLRGEILKLLHPNVVGIDLMRANFCGSSDQNLKIINKPWGEDHDLQLRLIFLKFFASILAGYRNFMENNATHVFNTQAFLKKRSRSTNQPSEPMIAQFLDSHGFLDYLESGLGSDENNDNLLDKLQDALGRGQNPLSILPSILAEPEIVTISDPDVGVSGSGAKYTYDRFPSNVRTEEQEEKRKQILAAVNGAIEYSGRQTPSSPSISSLERAAERERMVLDIQVKLQGLWLRLLKLEAGEDPLSSFEYGTILALIESDAEGIGGSGFVVCIREHINSGWHGQLTEEQFIAVKELLKTAISRATSRNDVLTIRDALEVSAEMYKKDANNVPDYVQRHLISLSIWEELRFWEGYFDYLVEQSSDKSANYAALVTAQLIVLASHMAGLGLPDNDAWYIIEIIAERNNIGYKLLIKLRGLLSHIHQLRINYWGVSSGKPQLLLPPGLSSPRLKDAADENQEPLEASGVGRSWVQSMFSRDTSSRTNSFSRVRKWASDGATDMPSAGQKKTQTNVRILRGHSGPVTALHCVTRKEVWDLVGDREDAGFFISGSTDCTVKIWDPSLRGSELRATLQGHTRTVRAISSDRGKVVSGSDDLSVIVWDKQTTQLLEELKGHDAQVSCVRMLSGERVLTAAQDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDTTGILAAAGRDAVANIWDIRAGRQMHKLLGHTKWIRSIRMVGDTLITGSDDWTARVWTVSRGTCDAVLACHAGPLLCVEYSASDKGIITGSTDGLLRFWENDEEGIKCVKNVTIHTAPILSINAGEQWLGVGAADNSMSLFQRPQERLGVPNTGSKMSGWQLYRTPQKTNAVVRCVVSDLERKRICGGGRNGVLRLWEATINI >KJB37237 pep chromosome:Graimondii2_0_v6:6:45212792:45234372:1 gene:B456_006G195200 transcript:KJB37237 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCD1 [Source:Projected from Arabidopsis thaliana (AT1G49040) UniProtKB/TrEMBL;Acc:A0A178WQS8] MARIFEYFVVCGLGPEIRTLDGTKGYHGTEYLYLPSLLDQYPPLNHTLYPPPPPQLPTCVLPAGVELFPSGFDSNDPLSHPRSYPIVLTEGDGSKIYVSCITFRDPVCEDIAEAYRIPPNSFADKCICVVSRSPCFRVLREALEEIFALCFSPSGSSKPLWDVIAHMVSNVPLPTPGKERVLFAIDNCLLSVEVPPKDGLPQADISFQPLVQCLDVDNLIKLFTAVLLERRILIRSNKYSLLTLASEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTSNLGMDGVVIVDLEFNQITATEEIPPIPEPELSLLRGEILKLLHPNVVGIDLMRANFCGSSDQNLKIINKPWGEDHDLQLRLIFLKFFASILAGYRNFMENNATHVFNTQAFLKKRSRSTNQPSEPMIAQFLDSHGFLDYLESGLGSDENNDNLLDKLQDALGRGQNPLSILPSILAEPEIVTISDPDVGVSGSGAKYTYDRFPSNVRTEEQEEKRKQILAAVNGAIEYSGRQTPSSPSISSLERAAERERMVLDIQVKLQGLWLRLLKLEAGEDPLSSFEYGTILALIESDAEGIGGSGFVVCIREHINSGWHGQLTEEQFIAVKELLKTAISRATSRNDVLTIRDALEVSAEMYKKDANNVPDYVQRHLISLSIWEELRFWEGYFDYLVEQSSDKSANYAALVTAQLIVLASHMAGLGLPDNDAWYIIEIIAERNNIGYKLLIKLRGLLSHIHQLRINYWGVSSGKPQLLLPPGLSSPRLKDAADENQEPLEASGVGRSWVQSMFSRDTSSRTNSFSRVRKWASDGATENGNARKQDMPSAGQKKTQTNVRILRGHSGPVTALHCVTRKEVWDLVGDREDAGFFISGSTDCTVKIWDPSLRGSELRATLQGHTRTVRAISSDRGKVVSGSDDLSVIVWDKQTTQLLEELKGHDAQVSCVRMLSGERVLTAAQDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDTTGILAAAGRDAVANIWDIRAGRQMHKLLGHTKWIRSIRMVGDTLITGSDDWTARVWTVSRGTCDAVLACHAGPLLCVEYSASDKGIITGSTDGLLRFWENDEEGIKCVKNVTIHTAPILSINAGEQWLGVGAADNSMSLFQRPQERLGVPNTGSKMSGWQLYRTPQKTNAVVYAFISPIYLCGSWFSLLLMHFLGSICSS >KJB37238 pep chromosome:Graimondii2_0_v6:6:45212792:45234950:1 gene:B456_006G195200 transcript:KJB37238 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCD1 [Source:Projected from Arabidopsis thaliana (AT1G49040) UniProtKB/TrEMBL;Acc:A0A178WQS8] MARIFEYFVVCGLGPEIRTLDGTKGYHGTEYLYLPSLLDQYPPLNHTLYPPPPPQLPTCVLPAGVELFPSGFDSNDPLSHPRSYPIVLTEGDGSKIYVSCITFRDPVCEDIAEAYRIPPNSFADKCICVVSRSPCFRVLREALEEIFALCFSPSGSSKPLWDVIAHMVSNVPLPTPGKERVLFAIDNCLLSVEVPPKDGLPQADISFQPLVQCLDVDNLIKLFTAVLLERRILIRSNKYSLLTLASEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTSNLGMDGVVIVDLEFNQITATEEIPPIPEPELSLLRGEILKLLHPNVVGIDLMRANFCGSSDQNLKIINKPWGEDHDLQLRLIFLKFFASILAGYRNFMENNATHVFNTQAFLKKRSRSTNQPSEPMIAQFLDSHGFLDYLESGLGSDENNDNLLDKLQDALGRGQNPLSILPSILAEPEIVTISDPDVGVSGSGAKYTYDRFPSNVRTEEQEEKRKQILAAVNGAIEYSGRQTPSSPSISSLERAAERERMVLDIQVKLQGLWLRLLKLEAGEDPLSSFEYGTILALIESDAEGIGGSGFVVCIREHINSGWHGQLTEEQFIAVKELLKTAISRATSRNDVLTIRDALEVSAEMYKKDANNVPDYVQRHLISLSIWEELRFWEGYFDYLVEQSSDKSANYAALVTAQLIVLASHMAGLGLPDNDAWYIIEIIAERNNIGYKLLIKLRGLLSHIHQLRINYWGVSSGKPQLLLPPGLSSPRLKDAADENQEPLEASGVGRSWVQSMFSRDTSSRTNSFSRVRKWASDGATENGNARKQDMPSAGQKKTQTNVRILRGHSGPVTALHCVTRKEVWDLVGDREDAGFFISGSTDCTVKIWDPSLRGSELRATLQGHTRTVRAISSDRGKVVSGSDDLSVIVWDKQTTQLLEELKGHDAQVSCVRMLSGERVLTAAQDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDTTGILAAAGRDAVANIWDIRAGRQMHKLLGHTKWIRSIRMVGDTLITGSDDWTARVWTVSRGTCDAVLACHAGPLLCVEYSASDKGIITGSTDGLLRFWENDEGYKMREECNNSYCSYLIYKCRGAVVRSWSS >KJB33876 pep chromosome:Graimondii2_0_v6:6:9653551:9656125:1 gene:B456_006G035700 transcript:KJB33876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRLLTAVPSCSFIRSHSKGTSNRSNVNNLSVVGASKAVATANVSDQKIVRRSANYHPPIWEYDYIQSLKSDYLAESFNEKAIRLVGEVRMMLENVMDPVEKLVLIDTLQRLGLSYHFQNETKRILEDIHVRADQSKVLWKEGSLSATALGFRLLRQHGYNVTQEVFSGFMDEMGNFKSSLREDCKGLLNLYEASHLCMEGEGILDIARDFAAKQLQQYLKQKKLDEYVRLLVEHALELPLHWRVSRLEARWFIDVYEKREERNPMLLELAKLDFNIVQAVHQDDLRYASKWWRDIGLGEKLPFARDRLMENFLWTVGLAFDPHFGNLRRTLTKVNALITSIDDVYDVYGTLDELELFTQAVERWDTNTMELLPEYMKICFLALYNSINEIAFDNLKEHGFHTIPFLKKAWAELCKSYLVEAKWYYSGYIPTFKEYIDNAWISISAPVILSHVFFSSNITKKECLEYWKDDSNLIYGSSMILRLADDLGTSVGELKRGDVSKSIQCYMHETGCSEEESRKQVKTLIDATWKRMNEESLMSQSSLSLPFKHIALNLARMAQCMYQYGDGHGVEGQETKDRVLLLLVSSIPYLS >KJB34930 pep chromosome:Graimondii2_0_v6:6:32817226:32822917:1 gene:B456_006G091600 transcript:KJB34930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGDSSHHSTSDSGPSRNSQDKQEEVRRWYFSRKEIEENSPSRMDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFIRQSHVKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAAQRIKQKEVYEQQKELILIGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSQGSEVEGGGVGGDTSHRTPAKHPSGSEEKQVSSRSVADHSSVDKHGMSTRTAQNQNSANGEMGGVITDHKLDTETKDGNQHHELLPHKEHMREVSNKSRSGTERTGGEDQERTGGRNDTAETGEWRDEGASRKGSGVVGRNLDIREGPVGQSPKEAIKIDKNKLKAALEKRRKSRGETMKKKDVMDEDDLIERELEDGVELAVEDEKIKRERQNWSRNENQDHVKDHGEMGEANHYITKGQSSQGFETEAAEEGEMLDDASPKVNPRKRKGGSPPERQSEGKKRHEYTSSYNHDTVEDGPKSGRNSYPDKEYRRHAHENHF >KJB34927 pep chromosome:Graimondii2_0_v6:6:32817087:32822860:1 gene:B456_006G091600 transcript:KJB34927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGDSSHHSTSDSGPSRNSQDKQEEVRRWYFSRKEIEENSPSRMDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFIRQSHVKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAAQRIKQKEVYEQQKELILIGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSQGSEVEGGGVGGDTSHRTPAKHPSGSEEKQVSSRSVADHSSVDKHGMSTRTAQNQNSANGEMGGVITDHKLDTETKDGNQHHELLPHKEHMREVSNKSRSGTERTGGEDQERTGGRNDTAETGEWRDEGASRKGSGVVGRNLDIREGPVGQSPKEAIKIDKNKLKAALEKRRKSRGETMKKKDVMDEDDLIERELEDGVELAVEDEKIKRERQNWSRNENQDHVKDHGEMGEANHYITKGQSSQGFETEAAEEGEMLDDASPKVNPRKRKGGSPPERQSEGKKRHEYTSSYNHDTVEDGPKSGRNSYPDKEYRRHAHENHF >KJB34929 pep chromosome:Graimondii2_0_v6:6:32817087:32822860:1 gene:B456_006G091600 transcript:KJB34929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGDSSHHSTSDSGPSRNSQDKQEEVRRWYFSRKEIEENSPSRMDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFIRQSHVKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAAQRIKQKEVYEQQKELILIGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGYVFKLCCFKFEKITSVFLTHCEAPCRLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSQGSEVEGGGVGGDTSHRTPAKHPSGSEEKQVSSRSVADHSSVDKHGMSTRTAQNQNSANGEMGGVITDHKLDTETKDGNQHHELLPHKEHMREVSNKSRSGTERTGGEDQERTGGRNDTAETGEWRDEGASRKGSGVVGRNLDIREGPVGQSPKEAIKIDKNKLKAALEKRRKSRGETMKKKDVMDEDDLIERELEDGVELAVEDEKIKRERQNWSRNENQDHVKDHGEMGEANHYITKGQSSQGFETEAAEEGEMLDDASPKVNPRKRKGGSPPERQSEGKKRHEYTSSYNHDTVEDGPKSGRNSYPDKEYRRHAHENHF >KJB34932 pep chromosome:Graimondii2_0_v6:6:32818131:32822860:1 gene:B456_006G091600 transcript:KJB34932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGDSSHHSTSDSGPSRNSQDKQEEVRRWYFSRKEIEENSPSRMDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFIRQSHVKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAAQRIKQKEVYEQQKELILIGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSQGSEVEGGGVGGDTSHRTPAKHPSGSEEKQVSSRSVADHSSVDKHGMSTRTAQNQNSANGEMGGVITDHKLDTETKDGNQHHELLPHKEHMREVSNKSRSGTERTGGEDQERTGGRNDTAETGEWRDEGASRKGSGVVGRNLDIREGPVGQSPKEAIKIDKNKLKAALEKRRKSRGETMKKKDVMDEDDLIERELEDGVELAVEDEKIKRERQNWSRNENQDHVKDHGEMGEANHYITKGQSSQGFETEAAEEGEMLDDASPKVNPRKRKGGSPPERQSEGKKRHEYTSSYNHDTVEDGPKSGRNSYPDKEYRRHAHENHF >KJB34926 pep chromosome:Graimondii2_0_v6:6:32817085:32823008:1 gene:B456_006G091600 transcript:KJB34926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGDSSHHSTSDSGPSRNSQDKQEEVRRWYFSRKEIEENSPSRMDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFIRQSHVKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAAQRIKQKEVYEQQKELILIGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSQGSEVEGGGVGGDTSHRTPAKHPSGSEEKQVSSRSVADHSSVDKHGMSTRTAQNQNSANGEMGGVITDHKLDTETKDGNQHHELLPHKEHMREVSNKSRSGTERTGGEDQERTGGRNDTAETGEWRDEGASRKGSGVVGRNLDIREGPVGQSPKEAIKIDKNKLKAALEKRRKSRGETMKKKDVMDEDDLIERELEDGVELAVEDEKIKRERQNWSRNENQDHVKDHGEMGEANHYITKGQSSQGFETEAAEEGEMLDDASPKVNPRKRKGGSPPERQSEGKKRHEYTSSYNHDTVEDGPKSGRNSYPDKEYRRHAHENHF >KJB34928 pep chromosome:Graimondii2_0_v6:6:32817085:32823434:1 gene:B456_006G091600 transcript:KJB34928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGDSSHHSTSDSGPSRNSQDKQEEVRRWYFSRKEIEENSPSRMDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFIRQSHVKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAAQRIKQKEVYEQQKELILIGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSQGSEVEGGGVGGDTSHRTPAKHPSGSEEKQVSSRSVADHSSVDKHGMSTRTAQNQNSANGEMGGVITDHKLDTETKDGNQHHELLPHKEHMREVSNKSRSGTERTGGEDQERTGGRNDTAETGEWRDEGASRKGSGVVGRNLDIREGPVGQSPKEAIKIDKNKLKAALEKRRKSRGETMKKKDVMDEDDLIERELEDGVELAVEDEKIKRERQNWSRNENQDHVKDHGEMGEANHYITKGQSSQGFETEAAEEGEMLDDASPKVNPRKRKGGSPPERQSEGKKRHEYTSSYNHDTVEDGPKSGRNSYPDKEYRRHAHENHF >KJB34931 pep chromosome:Graimondii2_0_v6:6:32817226:32822917:1 gene:B456_006G091600 transcript:KJB34931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGDSSHHSTSDSGPSRNSQDKQEEVRRWYFSRKEIEENSPSRMDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFIRQSHVKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAAQRIKQKEVYEQQKELILIGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSQGSEVEGGGVGGDTSHRTPAKHPSGSEEKQVSSRSVADHSSVDKHGMSTRTAQNQNSANGEMGGVITDHKLDTETKDGNQHHELLPHKEHMREVSNKSRSGTERTGGEDQERTGGRNDTAETGEWRDEGASRKGSGVVGRNLDIREGPVGQSPKEAIKIDKNKLKAALEKRRKSRGETMKKKDVMDEDDLIERELEDGVELAVEDEKIKRERQNWSRNENQDHVKDHGEMGEANHYITKGQSSQGFETEAAEEGEMLDDASPKVNPRKRKGGSPPERQSEGKKRHEYTSSYNHDTVEDGPKSGRNSYPDKEYRRHAHENHF >KJB36680 pep chromosome:Graimondii2_0_v6:6:43008874:43009340:-1 gene:B456_006G170600 transcript:KJB36680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFYMSKQLFSTFSQQKPTLRETSKMNKNKFQQSCIFEGSDMKNRRLIKRLYTFSQLFTQVHSNLYYISVSNKKSSIEISSFFLLYC >KJB36998 pep chromosome:Graimondii2_0_v6:6:44393578:44396707:1 gene:B456_006G187000 transcript:KJB36998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPGPHLMYAMSSGLALTHLTRGRFSPHHTLTYTLNAFFGPDIGSFSEWLTSVLFLDSSVLAFLADSIHHPVYYVLILGLPLCLFYSWASGILVKRSLLDSVSGENGKSSMYTWILSTGWWINRAPVNPDAVVVVGFLCTCLIGGFIYINRVGLPKSTRKQSYQSMKLIMIIASLYSFWCASQTYWANPRRPAVGEEADLGVLVFLATYFLLPHGLCILSMNSEDLHTDHIPL >KJB37000 pep chromosome:Graimondii2_0_v6:6:44393578:44396717:1 gene:B456_006G187000 transcript:KJB37000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPGPHLMYAMSSGLALTHLTRGRFSPHHTLTYTLNAFFGPDIGSFSEWLTSVLFLDSSVLAFLADSIHHPVYYVLILGLPLCLFYSWASGILVKRSLLDSVSGVPLSRKQCLLLISAGSFSHFFLDHLFEENVGFLCTCLIGGFIYINRVGLPKSTRKQSYQSMKLIMIIASLYSFWCASQTYWANPRRPAVGEEADLGVLVFLATYFLLPHGLCILSMNSEDLHTDHIPL >KJB36997 pep chromosome:Graimondii2_0_v6:6:44393565:44396850:1 gene:B456_006G187000 transcript:KJB36997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPGPHLMYAMSSGLALTHLTRGRFSPHHTLTYTLNAFFGPDIGSFSEWLTSVLFLDSSVLAFLADSIHHPVYYVLILGLPLCLFYSWASGILVKRSLLDSVSGVPLSRKQCLLLISAGSFSHFFLDHLFEENGKSSMYTWILSTGWWINRAPVNPDAVVVVGFLCTCLIGGFIYINRVGLPKSTRKQSYQSMKLIMIIASLYSFWCASQTYWANPRRPAVGEEADLGVLVFLATYFLLPHGLCILSMNSEDLHTDHIPL >KJB36999 pep chromosome:Graimondii2_0_v6:6:44393578:44396717:1 gene:B456_006G187000 transcript:KJB36999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPGPHLMYAMSSGLALTHLTRGRFSPHHTLTYTLNAFFGPDIGSFSEWLTSVLFLDSSVLAFLADSIHHPVYYVLILGLPLCLFYSWASGILVKRSLLDSVSGENVGFLCTCLIGGFIYINRVGLPKSTRKQSYQSMKLIMIIASLYSFWCASQTYWANPRRPAVGEEADLGVLVFLATYFLLPHGLCILSMNSEDLHTDHIPL >KJB36996 pep chromosome:Graimondii2_0_v6:6:44393543:44396754:1 gene:B456_006G187000 transcript:KJB36996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPGPHLMYAMSSGLALTHLTRGRFSPHHTLTYTLNAFFGPDIGSFSEWLTSVLFLDSSVLAFLADSIHHPVYYVLILGLPLCLFYSWASGILVKRSLLDSVSGVPLSRKQCLLLISAGSFSHFFLDHLFEENGKSSMYTWILSTGWWINRAPVNPDAVVVVGFLCTCLIGGFIYINRVGLPKSTRKQSYQSMKLIMIIASLYSFWCASQTYWANPRRPAVGEEADLGVLVFLATYFLLPHGLCILSMNSEDLHTDHIPL >KJB33254 pep chromosome:Graimondii2_0_v6:6:1043112:1043720:1 gene:B456_006G005400 transcript:KJB33254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDENAAHGHNKGSPESPCAKSGGSSNNNNNNKEQDRFLPIANVGRIMKKVIPSNGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDIIWAITTLGFEEYVGPLKLYLTKYREIEGEKLNLPKQQRSEQKQHQQSKHEQNIAFNTNVYSSTNLLSRHTSFVPSDQPFSLPFSSNNIQKQLQQQDQIDSVGYW >KJB35211 pep chromosome:Graimondii2_0_v6:6:34685348:34686249:1 gene:B456_006G104500 transcript:KJB35211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKAEKAPAEKKPRAEKKLPKEAGDKRKKRSKKSIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KJB33751 pep chromosome:Graimondii2_0_v6:6:7465796:7467832:1 gene:B456_006G029000 transcript:KJB33751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICGMLESKENSWVLEHDHLKMSWFHHYHQIKQKDGYFQYPSPLLLPPYAPPGFHKDSSPSSPSSSSGSRISPALLFIIVILAVLFFISGLLHLLVRFLTKHPPSSTSSQSNRYPEISTSDALQRQLQQLFHLHDSGHAFHINCIDTWLLSNSTCPLCRGTLFTPGFSMENPMFEFDEIREDEGLHGQKTMEIEEIVVDKGVLPVRLGKFKRLDGQPRESLGETSSSNLDARRCFSMGSYQYVLGASDLRVTLSYDKRHGCDVKPSVEGKKISSASKGESFSVSKIWLWSKKGKLSTSSSDEQMGMPSSLNTELPPWLVKTQEK >KJB33752 pep chromosome:Graimondii2_0_v6:6:7465817:7467444:1 gene:B456_006G029000 transcript:KJB33752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICGMLESKENSWVLEHDHLKMSWFHHYHQIKQKDGYFQYPSPLLLPPYAPPGFHKDSSPSSPSSSSGSRISPALLFIIVILAVLFFISGLLHLLVRFLTKHPPSSTSSQSNRYPEISTSDALQRQLQQLFHLHDSGLDQAFIDALPVFHYKEIVGLKEPFDCAVCLCEFSEKDKLRLLPMCSHAFHINCIDTWLLSNSTCPLCRGTLFTPGFSMENPMFEFDEIREDEGLHGQKTMEIEEIVVDKGVLPVRLGKFKRLDGQPRESLGETSSSNLDARRCFSMGSYQYVLGASDLRVTLSYDKRHGCDVKPSVEGKKISSASKGESFSVSKIWLWSKKGKLSTSSSDEQMGMPSSLNTELPPWLVKTQEK >KJB33750 pep chromosome:Graimondii2_0_v6:6:7465660:7468436:1 gene:B456_006G029000 transcript:KJB33750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKDSFNNCFTSMILEKDKLRLLPMCSHAFHINCIDTWLLSNSTCPLCRGTLFTPGFSMENPMFEFDEIREDEGLHGQKTMEIEEIVVDKGVLPVRLGKFKRLDGQPRESLGETSSSNLDARRCFSMGSYQYVLGASDLRVTLSYDKRHGCDVKPSVEGKKISSASKGESFSVSKIWLWSKKGKLSTSSSDEQMASAQSAANIRCDESQLKNTCATAILNPSRLCSVTNCVGYFIG >KJB33570 pep chromosome:Graimondii2_0_v6:6:4556429:4560869:-1 gene:B456_006G018500 transcript:KJB33570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQLALYFILLLLFLFLLCPLLQAAKLQEPACGEEVCGNITIPSPFGIRHSCYAKPSFRVTCNETLNGEKPFINVNDIDLEVLGSLFSNSILISYPVTYISCDHINRARVSVNLSGTPFFFSRDMNYFGSVGCENLATILSNGTDSLGGCIQPRCDDGASESGCFTEITGNLTSYTVTMTAMYPDSNRCASAFIFSMYYFRSVYPLPTGISIKTTHVPAVLNWDPTYCGGAGCVRLGRIKFHTYEVESCGNVTFHYPFRIGQDDPNDDWFEVICTNNTNGEKVPLLNINGMNLQILSFNFLRGTITVNHPIVYFNCRKDRRNGMSLNLTGTRFYYSDIENYFWSSGCDNLVTVFGNKTDNLIGGCLQPSCKINDETSSVDGCIVNIPQGLSSFYVNMSSKLDFSDYRRKRSCEFASMISYDYDLTFNVSNTTQVPTQLQWGTPIFGECYLNDSSDTSCTYDGKYCWSRLSSNHLCVCYRNYKYCRILCLTTPSYYCSSKSCPPHYEYNSCSTSIGTLFLLLATWSMYKVLKRKQKIMLKQKYFKRNGGLLLQQHLSSNEGNVEKIKLFTSKEMEKATDHYNENRILGQGGQGTVYKGMLIDGSIVAIKKSKMVEGKKSDEKKVEQFINEVIILSQINHRNVVKLLGCCLEAEVPLLVYEFIPNGTLYDLIRNQNEELPLTWEMRLRIAIEIANALFYLHSAASTPIYHRDIKSSNILLDDKYRAKVSDFGTSKSLALEQTHLTTRVQGTFGYMDPEYFRSSQFTEKSDVYSYGVVLIELLTGQKPISANQSEPVRSLVSFFLHSIQENSLFKIIDPMVVKDGLEQEIMVVALLAKRCLNLNGKKRPTMKQVAMELEMIKASGATITEDCGDEESEIDDMIHSWDTNPNSVTFPLNSSF >KJB38845 pep chromosome:Graimondii2_0_v6:6:20393670:20395624:1 gene:B456_006G055900 transcript:KJB38845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEKDDPVAIRIDKKLKAISPISSNCCIFKVPNYLRKVNEKAYEPDVVSIGPYHHGKHHLKPMEEHKFRFLRMLLNEMKEDVTNYVMVMRGLEDRARKCYAEQIGLDTDDFIEMMLIDACFIIQLIRKFAMTTVMDDPFFKIDGFHNLLCRDLLLVENQLPLFILWKLFGMIEIPNPDIFRYITMNFFAIILPGKGCNRDSLKSIMEIKPLLGLVNECWQPSESEMVAYRKKTKPIEWSFMHCATELQEDGIRFEKADGSSIFDIKFENGTMKIPKLKIDDHTECFFRNVIAFEQFFPGRSLNHVTDYMNFMDCLINSSKDVELLRRRGIIKNWLGNDEVVATMFNRLGDSVSISRYSFYSEVFSNVNRYCSKQWNKWIANLKHNYFNSPWALVSVLAAVLLLLLTMVQTIFSVLSYVK >KJB38843 pep chromosome:Graimondii2_0_v6:6:20393670:20395624:1 gene:B456_006G055900 transcript:KJB38843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHKFRFLRMLLNEMKEDVTNYVMVMRGLEDRARKCYAEQIGLDTDDFIEMMLIDACFIIQLIRKFAMTTVMDDPFFKIDGFHNLLCRDLLLVENQLPLFILWKLFGMIEIPNPDIFRYITMNFFAIILPGKGCNRDSLKSIMEIKPLLGLVNECWQPSESEMVAYRKKTKPIEWSFMHCATELQEDGIRFEKADGSSIFDIKFENGTMKIPKLKIDDHTECFFRNVIAFEQFFPGRSLNHVTDYMNFMDCLINSSKDVELLRRRGIIKNWLGNDEVVATMFNRLGDSVSISRYSFYSEVFSNVNRYCSKQWNKWIANLKHNYFNSPWALVSVLAAVLLLLLTMVQTIFSVLSYVK >KJB38844 pep chromosome:Graimondii2_0_v6:6:20393670:20395666:1 gene:B456_006G055900 transcript:KJB38844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEKDDPVAIRIDKKLKAISPISSNCCIFKVPNYLRKVNEKAYEPDVVSIGPYHHGKHHLKPMEEHKFRFLRMLLNEMKEDVTNYVMVMRGLEDRARKCYAEQIGLDTDDFIEMMLIDACFIIQLIRKFAMTTVMDDPFFKIDGFHNLLCRDLLLVENQLPLFILWKLFGMIEIPNPDIFRYITMNFFAIILPGKGCNRDSLKSIMEIKPLLGLVNECWQPSESEMVAYRKKTKPIEWSFMHCATELQEDGIRFEKADGSSIFDIKFENGTMKIPKLKIDDHTECFFRNVIAFEQFFPGRSLNHVTDYMNFMDCLINSSKDVELLRRRGIIKNWLGNDEVVATMFNRLGDSVSISRYSFYSEVFSNVNRYCSKQWNKWIANLKHNYFNSPWALVSVLAAVLLLLLTMVQTIFSVLSYVK >KJB33197 pep chromosome:Graimondii2_0_v6:6:164238:172055:1 gene:B456_006G000300 transcript:KJB33197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAMMPMAIILLVAILLAGGVSSAESSYIEYNTTQRIVPGKINVHLVPHSHDDVGWLKTVDQYYFGGNNSIRGACVQNVLDSVISALFDDKNRKFIYVEMAFFQRWWRQQSNAKKIKVKELVNSGQLEFINGGMCMHDEATPHYIDLIDQTTLGHKYIKDEFNQIPRVGWQIDPFGHSAVQAYLLGAELGFDSLFFARIDYQDRAKRLKEKTLEVVWQGSKSLGSSSQIFTGIFPRHYDPPDGFTFEINDVSPPIQDDVLLFDYNVQERVNDFIAAALAQANVTRTNHIMWAMGTDFRYQYANSWFRQMDKFIHYVNQDGRINALYSTPSIYTDAKYAENVQWPLKTDDFFPYADKPNAYWTGYFTSRPAFKGYVRVLSAYYLAARQLEFFKGRSASGPNTEALADALAIAQHHDAVSGTERQHVAADYALRLSIGYKEAEKVVASSLAFLADSRSSTEQKNSVTSFQQCPLLNISFCPPSEAALSSGKSLVIIIYNSLGWKREETIRIPVSSERVVVKDSEGREIESQLIPLSNSTLRIRSQYIKAYLGKKPREIAKYWVAFSVSVPPLGFSTYIVATTKETEGRSPTISTMNTYEASENNTIEVGQGSLKLLYSADEGKLTRYVNTRNSVTAFAEQSYGYYSGNDGTDKDPQASGAYVFRPNGTFSIKSENQTPLTVVRGPLLDEVHQQLNSWISQVTRVYKGKEHAEVEFSIGPIPVNDGIGKEIITQITTTMRTNKTFYTDSNGRDFIKRIQDFRKDWDLQVNQPIAGNYYPVNLGIYVQDDSTELSVLVDRSVGASSLADGQIELMLHRRLIHDDIRGVGEVLNETVCVSEGCDGLTILGKFYLRIDHIGEGAKWRRTVGQEIYSPLLLAFSEQDGNDWMSSHIPTFSGIDPSYSLPDNIAIITLQELENGKVLLRLAHLFETGEDKEYSVMASVELKKLFPNKKIKKVTEMSLSANQERGEMEKRRLAWKVEGGGGESKVVRGGAVDPAKLLVELAPMEIRTFLIDVDYIQMVGG >KJB33198 pep chromosome:Graimondii2_0_v6:6:164272:168836:1 gene:B456_006G000300 transcript:KJB33198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAMMPMAIILLVAILLAGGVSSAESSYIEYNTTQRIVPGKINVHLVPHSHDDVGWLKTVDQYYFGGNNSIRGACVQNVLDSVISALFDDKNRKFIYVEMAFFQRWWRQQSNAKKIKVKELVNSGQLEFINGGMCMHDEATPHYIDLIDQTTLGHKYIKDEFNQIPRVGWQIDPFGHSAVQAYLLGAELGFDSLFFARIDYQDRAKRLKEKTLEVVWQGSKSLGSSSQIFTGIFPRHYDPPDGFTFEINDVSPPIQDDVLLFDYNVQERVNDFIAAALAQANVTRTNHIMWAMGTDFRYQYANSWFRQMDKFIHYVNQDGRINALYSTPSIYTDAKYAENVQWPLKTDDFFPYADKPNAYWTGYFTSRPAFKGYVRVLSAYYLAARQLEFFKGRSASGPNTEALADALAIAQHHDAVSGTERQHVAADYALRLSIGYKEAEKVVASSLAFLADSRSSTEQKNSVTSFQQCPLLNISFCPPSEAALSSGKSLVIIIYNSLGWKREETIRIPVSSERVVVKDSEGREIESQLIPLSNSTLRIRSQYIKAYLGKKPREIAKYWVAFSVSVPPLGFSTYIVATTKETEGRSPTISTMNTYEASENNTIEVGQGSLKLLYSADEGKLTRYVNTRNSVTAFAEQSYGYYSGNDGTDKDPQASGAYVFRPNGTFSIKSENQVSVFI >KJB33199 pep chromosome:Graimondii2_0_v6:6:164272:172055:1 gene:B456_006G000300 transcript:KJB33199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAMMPMAIILLVAILLAGGVSSAESSYIEYNTTQRIVPGKINVHLVPHSHDDVGWLKTVDQYYFGGNNSIRGACVQNVLDSVISALFDDKNRKFIYVEMAFFQRWWRQQSNAKKIKVKELVNSGQLEFINGGMCMHDEATPHYIDLIDQTTLGHKYIKDEFNQIPRVGWQIDPFGHSAVQAYLLGAELGFDSLFFARIDYQDRAKRLKEKTLEVVWQGSKSLGSSSQIFTGIFPRHYDPPDGFTFEINDVSPPIQDDVLLFDYNVQERVNDFIAAALAQANVTRTNHIMWAMGTDFRYQYANSWFRQMDKFIHYVNQDGRINALYSTPSIYTDAKYAENVQWPLKTDDFFPYADKPNAYWTGYFTSRPAFKGYVRVLSAYYLAARQLEFFKGRSASGPNTEALADALAIAQHHDAVSGTERQHVAADYALRLSIGYKEAEKVVASSLAFLADSRSSTEQKNSVTSFQQCPLLNISFCPPSEAALSSGKSLVIIIYNSLGWKREETIRIPVSSERVVVKDSEGREIESQLIPLSNSTLRIRSQYIKAYLGKKPREIAKYWVAFSVSVPPLGFSTYIVATTKETEGRSPTISTMNTYEASENNTIEVGQGSLKLLYSADEGKLTRYVNTRNSVTAFAEQSYGYYSGNDGTDKDPQASGAYVFRPNGTFSIKSENQTPLTVVRGPLLDEVHQQLNSWISQVTRVYKGKEHAEVEFSIGPIPVNDGIGKEIITQITTTMRTNKTFYTDSNGRDFIKRIQDFRKDWDLQVNQPIAGNYYPVNLGIYVQDDSTELSVLVDRSVGASSLADGQIELMLHRRLIHDDIRGVGEVLNETVCVSEGCDGLTILGKFYLRIDHIGEGAKWRRTVGQEIYSPLLLAFSEQDGNDWMSSHIPTFSGIDPSYSLPDNIAIITLQVKNKSQN >KJB36453 pep chromosome:Graimondii2_0_v6:6:41853438:41858175:1 gene:B456_006G159200 transcript:KJB36453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLSNLTEGSNGTAMGPGLGDIPESCVACVFTYLTPPEICNLARLNRAFRGAASSDSVWEMKLPSNYQDLLDLVPPERYQNLSKKDIFALLSRPVPFDDGNKEVWLDRVTGRVCMAISAKGMAITGIDDRRYWNWVSTEESRFHTVAYLQQIWWFEVDGVVKFPLPADIYTLSFRLHLGRFSKRLGRRVSSFEHTHGWDIKPVTFELSTCDGQLASCEHYLDDTEQDYDNGNHKRGCWIDYKVGEFIVNDSEPVTEVRFSVKQIDCTHSKGGLCVDSVFIIPTDLKERKRKGMLK >KJB36456 pep chromosome:Graimondii2_0_v6:6:41853570:41858104:1 gene:B456_006G159200 transcript:KJB36456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLSNLTEGSNGTAMGPGLGDIPESCVACVFTYLTPPEICNLARLNRAFRGAASSDSVWEMKLPSNYQDLLDLVPPERYQNLSKKDIFALLSRPVPFDDGNKEVWLDRVTGRVCMAISAKGMAITGIDDRRYWNWVSTEESRLHLGRFSKRLGRRVSSFEHTHGWDIKPVTFELSTCDGQLASCEHYLDDTEQDYDNGNHKRGCWIDYKVGEFIVNDSEPVTEVRFSVKQIDCTHSKGGLCVDSVFIIPTDLKERKRKGMLK >KJB36455 pep chromosome:Graimondii2_0_v6:6:41853438:41858175:1 gene:B456_006G159200 transcript:KJB36455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLSNLTEGSNGTAMGPGLGDIPESCVACVFTYLTPPEICNLARLNRAFRGAASSDSVWEMKLPSNYQDLLDLVPPERYQNLSKKDIFALLSRPVPFDDGNKGCFWGAFGVREGLGGGYEVWLDRVTGRVCMAISAKGMAITGIDDRRYWNWVSTEESRFHTVAYLQQIWWFEVDGVVKFPLPADIYTLSFRLHLGRFSKRLGRRVSSFEHTHGWDIKPVTFELSTCDGQLASCEHYLDDTEQDYDNGNHKRGCWIDYKVGEFIVNDSEPVTEVRFSVKQIDCTHSKGGLCVDSVFIIPTDLKERKRKGMLK >KJB36454 pep chromosome:Graimondii2_0_v6:6:41854334:41857780:1 gene:B456_006G159200 transcript:KJB36454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGCFWGAFGVREGLGGGYEVWLDRVTGRVCMAISAKGMAITGIDDRRYWNWVSTEESRFHTVAYLQQIWWFEVDGVVKFPLPADIYTLSFRLHLGRFSKRLGRRVSSFEHTHGWDIKPVTFELSTCDGQLASCEHYLDDTEQDYDNGNHKRGCWIDYKVGEFIVNDSEPVTEVRFSVKQIDCTHSKGGLCVDSVFIIPTDLKERKRKGMLK >KJB38315 pep chromosome:Graimondii2_0_v6:6:49299034:49299787:1 gene:B456_006G248300 transcript:KJB38315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKHLTLLLSLFSFSAYTASPLVALASSPSDGQSPSSSEDQPPQTVSKIPSNVNPKLKKICQEVNHPTECVTTAGPFLGETADISPVTVLQAEIEAIDSKAKEALAKATKLAADPTTSKTITFPLNICIDGYKAILKNKRAILDAISKRDADELNMELSSNVDHISQCEDAFEEAKINSPIPELHSLLGKMIFNSINIGVDMVDFENKN >KJB34670 pep chromosome:Graimondii2_0_v6:6:30206121:30208254:-1 gene:B456_006G077600 transcript:KJB34670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNKANPTINKSTGLPRKRFYRARAHSNPLSDSHFPVPLSPSHVDYSLHYPQLFPSSDRSDGSKKIEFADIGCGFGGLLISLSTLFPETLMIGMELRDKVTEYVKERILGLRVANPGQYQNVSVVRTNSMKYIPNYFEKWQLSKMFFLFPDPHFKEKNHRRRVISPHLLDEYAYVLKVGGIIYTITDVEELGEWMKSCLQNHLMFEPLSETELDADPVVKLLSTATEEGQKVARNGGQTFQAVYRRIKPASQENS >KJB33602 pep chromosome:Graimondii2_0_v6:6:5292798:5298759:-1 gene:B456_006G020900 transcript:KJB33602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLALYFILLLLFLFLFLLCPILQAAEFEEPACGQEVCGNITISSPFGIHSSCYTIPWFRVTCKATRDGQKPFINVNGIDLEVLDFTSADAILISNPVAYINCDHTSEASVSVNLSGTPFFFSSERNNFGSVGCGNLAAILSDEADSLGGCVQPRCDDGASKSGCFTEMTANLTSYTVSMKAMYPDSNRCASAFIYSRDFFRSGYPLPTGINIGTTHAPSVLNWNSTYCGDAGTVMVNHPITYFNCRKNHYNGMSLNLIGTRFYYSDSGNIFWSSGCDENCGYYQNCRMLCFNTPSNYCSSESCPPSYEYDSTRFRCERKTKAQKTSNSSLESIIVGCSTSIGTLFLILATWSMYKVLKRKQNIILKKKYFKKLLQQHLPSNEGNVEKLTLFTSKEMEKATDHYNKNRILGQGGQGTVYKGMLIDGSIVAIKKSKMVEGKKFDEKKVEQFINEVIILSQINHRNVVKLLGRCLEAEVPLLVYEFIPNGTLYDLIHNQNEGLPLTWEMRLGIAIEIANALFYLHSAASAPIYHRDIKSSNILLDEKYRAKVSDFGTSRSVALEQTHLTTRVQGTFGYMDPEYFRSSQFTEKSDVYSFGVVLTELLTGQKPISVQQSESVRSLVSYFLHSMQENSLFNILDPMVVKDGSEKEIIVVALLAKRCLHFNGKKRPTMKQVAMELELIKASGGNVIEDRGDEESEIDDMIHLWETNPSSSMSRTITTDSVTFPLNSSF >KJB36088 pep chromosome:Graimondii2_0_v6:6:39707706:39709386:1 gene:B456_006G140400 transcript:KJB36088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFLHCRNPFLVSVLSIIIVYLLIKRWAKPKSSIRYPPGPLALPIIGHLYLLSSSLPKSFQALARRYGPLMRIHIAQSIFIVVSDAVVAKEVLKVHDAEFASRFEFGPAQYNIYKDAGFITGPYGSYWRFMKKLCMTRLFTGRQLDRFNHIREEEMVKLLKSLVKKSREGKWCDLSAELANLTNNLIFRMTMNKRFSKDNSEGNEMWKLVTEIMGLAAKLGVNEVHGLLKKFDLFGNGKKLREGLERYDKLVEQIIKDYEENYGVDDGSGNEDLMDILLGIYRDKNAGLKITREQIKYFIFELFTASIDTSSAAIQWGMAELMNHPQVFKRLREEIDSVVNNKRVVKESDVPNLPYLQAIAKETLRLHSPVPIFHRECIKDCNINGFDLQVKDRVLINAYAIMRHPEAWSDPDTYLPERFLENSGGNRDQDFWFLPFGSGRRVCAGSLHAYLVMHGTIGSLVQCFDWKTKDGAKVDITVGSGFSGAMALPMECYPILRFDPFQE >KJB34564 pep chromosome:Graimondii2_0_v6:6:29185379:29187180:1 gene:B456_006G072800 transcript:KJB34564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLQQHVSFFDQDKDGIVYPWETYTAMRNLGFNPFSSFFIAICITVGMSYQTLPGWLPNLYFPIYIDRIHKCKHGSDSSTFDTEGRFMPMNFESIFSKYARTVPDKLSFGEVWHMTEANRKSYDFIGWLMTKAEWMLLYLLAMDENGYLSKEAVRGCFDGSLFEYVAKMNKAGKNKVY >KJB34565 pep chromosome:Graimondii2_0_v6:6:29185379:29187180:1 gene:B456_006G072800 transcript:KJB34565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIATEAPKAPLTSERKVRADLEEYIPKPYLARALAAPDVEHPHGTPGHDNHGMSVLQQHVSFFDQDKDGIVYPWETYTAMRNLGFNPFSSFFIAICITVGMSYQTLPGWLPNLYFPIYIDRIHKCKHGSDSSTFDTEGRFMPMNFESIFSKYARTVPDKLSFGEVWHMTEANRKSYDFIGWLMTKAEWMLLYLLAMDENGYLSKEAVRGCFDGSLFEYVAKMNKAGKNKVY >KJB34706 pep chromosome:Graimondii2_0_v6:6:30697854:30702606:1 gene:B456_006G080100 transcript:KJB34706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPTAEELLRKIQELEAGHEHLQQEMSRLKQSGAGQSKPDHIRQRSHSTSPQRRRFPGNSAAAAATTAAALKKSSCSFRHSSPLQRESRRCDTGNGGGGGDGESRNTGPAAVNFTNSQYLNILQSMGQSVHIFDLNGRIIYWNRTAEKLYGYSAAEALGQDAVELLVDPRDFAMANNIVHRVMMGESWTGQFPVKNKMGERFSALVTDTPFYDDDGSLVGIICVSSDSRPFQVAFSAEKQLEGDSTFSRSKNAVSAKLGLDPQQPLQAAIALKITNLASKVSNKVKSSIRTGENCIDSEGGSGDSHHSDHGFSDAVRFDHKEDATSSAASTLRGDVHPSAFGVFSPFEEKPAVKTSRDSGDESEGKPAIQKIMTLMNKKGLSWPWKGNDREGSEARTARFVWPWLGNDQENETLEPKCPYSSAKTEGHVNEGNRPVNNEASGSWSSSINVNSTSSASSCGSTSSSAVNKVDMDSDCLDYEILWEDLTIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSDDIIHAFRQEVSLMKRLRHPNVLLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTTKLDWRRRIHMALDVARGMNYLHHCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETYLTTRTGKGTPQWMAPEVLRNELSDEKSDIYSFGVVLWELATEKIPWENLNSMQVIGAVGFMNQRLEIPNGVDPRWASIIESCWHRCLSLYIYLYYFKPKLSWDFMMSRIFILSQLFHVFEIEGLFVAH >KJB34705 pep chromosome:Graimondii2_0_v6:6:30697603:30702637:1 gene:B456_006G080100 transcript:KJB34705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPTAEELLRKIQELEAGHEHLQQEMSRLKQSGAGQSKPDHIRQRSHSTSPQRRRFPGNSAAAAATTAAALKKSSCSFRHSSPLQRESRRCDTGNGGGGGDGESRNTGPAAVNFTNSQYLNILQSMGQSVHIFDLNGRIIYWNRTAEKLYGYSAAEALGQDAVELLVDPRDFAMANNIVHRVMMGESWTGQFPVKNKMGERFSALVTDTPFYDDDGSLVGIICVSSDSRPFQVAFSAEKQLEGDSTFSRSKNAVSAKLGLDPQQPLQAAIALKITNLASKVSNKVKSSIRTGENCIDSEGGSGDSHHSDHGFSDAVRFDHKEDATSSAASTLRGDVHPSAFGVFSPFEEKPAVKTSRDSGDESEGKPAIQKIMTLMNKKGLSWPWKGNDREGSEARTARFVWPWLGNDQENETLEPKCPYSSAKTEGHVNEGNRPVNNEASGSWSSSINVNSTSSASSCGSTSSSAVNKVDMDSDCLDYEILWEDLTIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSDDIIHAFRQEVSLMKRLRHPNVLLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTTKLDWRRRIHMALDVARGMNYLHHCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETYLTTRTGKGTPQWMAPEVLRNELSDEKSDIYSFGVVLWELATEKIPWENLNSMQVIGAVGFMNQRLEIPNGVDPRWASIIESCWHSDPQCRPTFQELLNKLRDLQRQYILQYQQARNMGGDGSQRES >KJB37543 pep chromosome:Graimondii2_0_v6:6:46455024:46460025:1 gene:B456_006G209400 transcript:KJB37543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSKAGSSYCIRSDITRGRTGQNVAFGVVNNVEAPIMKEKSIKISSLSCKNARVAQFFPLETVGFRSKGRNSVIVAASPPTEDALIAIEPLTKQDLVGYLASGCKSKEKWRIGTEHEKFGFEIKTLRPMKYEQIAELLNGISERFDWEKVMEGDKIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKLGLKDIPVMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGYLSMRSQIWTDTDKDRTGMLPFVFDDSFGFEQYVDYALDVPMYFVYRKKKYIDCTGMTFRDFMAGKLPCIPGELPNLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEVSLQSILDMTADWTSEEREMLRNKVPKTGLKTPFRDGLLWHIAEDVLKLAKDGLERRGFKESGFLNEVAEVVRTGVTPAEKLLELYNGKWGQSVDPVFEELLY >KJB37541 pep chromosome:Graimondii2_0_v6:6:46454978:46460025:1 gene:B456_006G209400 transcript:KJB37541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSKAGSSYCIRSDITRGRTGQNVAFGVVNNVEAPIMKEKSIKISSLSCKNARVAQFFPLETVGFRSKGRNSVIVAASPPTEDALIAIEPLTKQDLVGYLASGCKSKEKWRIGTEHEKFGFEIKTLRPMKYEQIAELLNGISERFDWEKVMEGDKIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKLGLKDIPVMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGYLSMRSQIWTDTDKDRTGMLPFVFDDSFGFEQYVDYALDVPMYFVYRKKKYIDCTGMTFRDFMAGKLPCIPGELPNLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEVSLQSILDMTADWTSEEREMLRNKQVPKTGLKTPFRDGLLWHIAEDVLKLAKDGLERRGFKESGFLNEVAEVVRTGVTPAEKLLELYNGKWGQSVDPVFEELLY >KJB37544 pep chromosome:Graimondii2_0_v6:6:46454940:46460107:1 gene:B456_006G209400 transcript:KJB37544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSKAGSSYCIRSDITRGRTGQNVAFGVVNNVEAPIMKEKSIKISSLSCKNARVAQFFPLETVGFRSKGRNSVIVAASPPTEDALIAIEPLTKQDLVGYLASGCKSKEKWRIGTEHEKFGFEIKTLRPMKYEQIAELLNGISERFDWEKVMEGDKIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKLGLKDIPVMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGYLSMRSQIWTDTDKDRTGMLPFVFDDSFGFEQYVDYALDVPMYFVYRKKKYIDCTGMTFRDFMAGKLPCIPGELPNLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEVSLQSILDMTADWTSEEREMLRNKVPKTGLKTPFRDGLLWHIAEDVLKLAKDGLERRGFKESGFLNEVAEVVRTGVTPAEKLLELYNGKWGQSVDPVFEELLY >KJB37540 pep chromosome:Graimondii2_0_v6:6:46455260:46460107:1 gene:B456_006G209400 transcript:KJB37540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSKAGSSYCIRSDITRGRTGQNVAFGVVNNVEAPIMKEKSIKISSLSCKNARVAQFFPLETVGFRSKGRNSVIVAASPPTEDALIAIEPLTKQDLVGYLASGCKSKEKWRIGTEHEKFGFEIKTLRPMKYEQIAELLNGISERFDWEKVMEGDKIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKLGLKDIPVMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGYLSMRSQIWTDTDKDRTGMLPFVFDDSFGFEQYVDYALDVPMYFVYRKKKYIDCTGMTFRDFMAGKLPCIPGELPNLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEVSLQSILDMTADWTSEEREMLRNKVPKTGLKTPFRDGLLWHIAEDVLKLAKDGLERRGFKESGFLNEVAEVVRTGVTPAEKLLELYNGKWGQSVDPVFEELLY >KJB37542 pep chromosome:Graimondii2_0_v6:6:46454978:46460025:1 gene:B456_006G209400 transcript:KJB37542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSKAGSSYCIRSDITRGRTGQNVAFGVVNNVEAPIMKEKSIKISSLSCKNARVAQFFPLETVGFRSKGRNSVIVAASPPTEDALIAIEPLTKQDLVGYLASGCKSKEKWRIGTEHEKFGFEIKTLRPMKYEQIAELLNGISERFDWEKVMEGDKIIGLKQVKAVAEEMGIGFLGIGFQPKLGLKDIPVMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGYLSMRSQIWTDTDKDRTGMLPFVFDDSFGFEQYVDYALDVPMYFVYRKKKYIDCTGMTFRDFMAGKLPCIPGELPNLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEVSLQSILDMTADWTSEEREMLRNKVPKTGLKTPFRDGLLWHIAEDVLKLAKDGLERRGFKESGFLNEVAEVVRTGVTPAEKLLELYNGKWGQSVDPVFEELLY >KJB33033 pep chromosome:Graimondii2_0_v6:6:50856105:50858194:1 gene:B456_006G271700 transcript:KJB33033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVYICEHNTSPPESQHIKTDQQNILIRSLMLNNNKGGSSSKDVKAAAEGPRKRAAEKVMDSSGSPKKANTKNNSQSEGSSSSVAEKDYHSFTVERLRARLKERGLSPKGKKDELIARLKCVNESAE >KJB33032 pep chromosome:Graimondii2_0_v6:6:50854656:50858127:1 gene:B456_006G271700 transcript:KJB33032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVYICEHNTSPPESQHIKTDQQNILIRSLMLNNNKGGSSSKDVKAAAEGPRKRAAEKVMDSSGSPKKANTKNNSQSEGSSSSVAEKDYHSFTVERLRARLKERGLSPKGKKDELIARLKCVNESAE >KJB33031 pep chromosome:Graimondii2_0_v6:6:50854458:50858253:1 gene:B456_006G271700 transcript:KJB33031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSSQPFDHDQTAPGASKFLSDLPSRGHLSSTIISSNLGGMRVYICEHNTSPPESQHIKTDQQNILIRSLMLNNNKGGSSSKDVKAAAEGPRKRAAEKVMDSSGSPKKANTKNNSQSEGSSSSVAEKDYHSFTVERLRARLKERGLSPKGKKDELIARLKCVNESAE >KJB37790 pep chromosome:Graimondii2_0_v6:6:47269646:47274559:1 gene:B456_006G220100 transcript:KJB37790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDVSKYAHSAVHKAVATKDYAGLKRILAALPRLGNPTEIQTEAASLAEEEKADAISAVIDRRDAPNRDTPLHLAVKLGDETATEMLMGAGADWSLQNEQGWSALQEAICNREEAIAMIIVRHYPPLAWAKWCRRLPRLVGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDGKVPPGSLCMISHKDREVINAFDGAGSLATDEEVRQEVAAMSQTNIFRPGIDVTQAVLLPQVTWRRQEKTEMVGAWKAKVYDMHNVVVSIKSRRVPGAMTDDEFFAASNGNETESEEFDEILTEDERRQLEVALKLDSSEISNENGDGIIGHRHSCYESREIPIEETNGYKNVETKQEKKGWFGGWRKREPKHEAQRKIVPPRSSLSIDEKVSDLLGDSPSGNQIKPGRHSVEIVARDDHRRTRDSRTTSTSLESGNRRKDSGRENEYKKGLRPVLWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPAGTFPVKVAIPVVPTIRVLVSFTKFEELQPVDEFSTPPSSPGVGRESPAVTHVTGSSWFQWIKAPYHRPSSSNYSHNKIENLQDPFAIPQDYTWITAEAKKKKMQERSKSKKGKSQNHLK >KJB35476 pep chromosome:Graimondii2_0_v6:6:36631962:36634332:1 gene:B456_006G116800 transcript:KJB35476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQKSIHAGKAKIDVNVDFTHKICASMMLPSLRNAGSPLSLVIGSLCIKHPNLFGGSEKLDVSWDKGLYDSNILVAYRRPRPQWVAQQCFVMQHSLSPEIGVHGIPVDNFSRSGSGGVNLSRFSVGLDLNEPASSKWSSTTSVKFENVRLLSDDGRSITRDLDGFPVTCSGNAHDSMVVLKQESQYAKANEHSFSRFTMQIEQGIPVLSKWLIFNRFKFVASKGIKLGPAFLLTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRSCLVANTEVTLPLVCNCFIVK >KJB35479 pep chromosome:Graimondii2_0_v6:6:36631962:36636272:1 gene:B456_006G116800 transcript:KJB35479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQKSIHAGKAKIDVNVDFTHKICASMMLPSLRNAGSPLSLVIGSLCIKHPNLFGGSEKLDVSWDKGLYDSNILVAYRRPRPQWVAQQCFVMQHSLSPEIGVHGIPVDNFSRSGSGGVNLSRFSVGLDLNEPASSKWSSTTSVKFENVRLLSDDGRSITRDLDGFPVTCSGNAHDSMVVLKQESQYAKANEHSFSRFTMQIEQGIPVLSKWLIFNRFKFVASKGIKLGPAFLLTRWFDCRRYGSISSICNRWSR >KJB35477 pep chromosome:Graimondii2_0_v6:6:36631962:36636272:1 gene:B456_006G116800 transcript:KJB35477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQKSIHAGKAKIDVNVDFTHKICASMMLPSLRNAGSPLSLVIGSLCIKHPNLFGGSEKLDVSWDKGLYDSNILVAYRRPRPQWVAQQCFVMQHSLSPEIGVHGIPVDNFSRSGSGGVNLSRFSVGLDLNEPASSKWSSTTSVKFENVRLLSDDGRSITRDLDGFPVTCSGNAHDSMVVLKQESQYAKANEHSFSRFTMQIEQGIPVLSKWLIFNRFKFVASKGIKLGPAFLLTRQPDRWFDCRRYGSISSICNRWSR >KJB35475 pep chromosome:Graimondii2_0_v6:6:36631900:36636272:1 gene:B456_006G116800 transcript:KJB35475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQKSIHAGKAKIDVNVDFTHKICASMMLPSLRNAGSPLSLVIGSLCIKHPNLFGGSEKLDVSWDKGLYDSNILVAYRRPRPQWVAQQCFVMQHSLSPEIGVHGIPVDNFSRSGSGGVNLSRFSVGLDLNEPASSKWSSTTSVKFENVRLLSDDGRSITRDLDGFPVTCSGNAHDSMVVLKQESQYAKANEHSFSRFTMQIEQGIPVLSKWLIFNRFKFVASKGIKLGPAFLLTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRSCLVANTEVTLPLSKMLEGSVFLDCGTDLGSGRLVPGNPAMRQGKPGSGVGFGYGLRFKSPLGHFQVDYAINAFQQKTLYFGVTNLAS >KJB35478 pep chromosome:Graimondii2_0_v6:6:36631962:36636272:1 gene:B456_006G116800 transcript:KJB35478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQKSIHAGKAKIDVNVDFTHKICASMMLPSLRNAGSPLSLVIGSLCIKHPNLFGGSEKLDVSWDKGLYDSNILVAYRRPRPQWVAQQCFVMQHSLSPEIGVHGIPVDNFSRSGSGGVNLSRFSVGLDLNEPASSKWSSTTSVKFENVRLLSDDGRSITRDLDGFPVTCSGNAHDSMVVLKQESQYAKANEHSFSRFTMQIEQGIPVLSKWLIFNRFKFVASKGIKLGPAFLLTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRSCLVANTEVTLPLSKMLEGSVFLDCGTDLGSGRLVPAR >KJB37212 pep chromosome:Graimondii2_0_v6:6:45089467:45096835:-1 gene:B456_006G194200 transcript:KJB37212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRFSSLVSETIPCHRDYGTSLKYQKVYLKKRLVNALTELEDLKPQVQQKINELNRIYTYQSTSLEQSAVKNQHLTYYGVTKAVRPAARELGYYGLRTPQLSYSNPMEEQFRRMSLNLLRPKEETLSKHSFLGPNGLYGQWQPPRSDKGVKYPSNVDLTPVEFPSLQRPIENELPGKNDHSNSEPEASSMESILSVDNYVNSEKSHADEPCPMISFEESETAPVHNNVTRQLSPPPVLAEVQDLVHATQASKTDCTVENPSVDGLVHSESPLELHIATTMMESFMKLAKSNTDRNLETCGVLAGSLKNRKFYVTALIIPKQESTSNSTTNEEEIFEVQDKKSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQIMLPEAVAIVMAPTDSSRKRGIFRLTTPGGMSVIRQCQQRGFHPHNPPPDGGSVYNTCTDVYMNPSVKFDVIDLR >KJB37211 pep chromosome:Graimondii2_0_v6:6:45090961:45096632:-1 gene:B456_006G194200 transcript:KJB37211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSTERINIAASAQKLDVDNRIPLRYYYRIADNILKQADIFRAEKNIIDLYIMLLRFSSLVSETIPCHRDYGTSLKYQKVYLKKRLVNALTELEDLKPQVQQKINELNRIYTYQSTSLEQSAVKNQHLTYYGVTKAVRPAARELGYYGLRTPQLSYSNPMEEQFRRMSLNLLRPKEETLSKHSFLGPNGLYGQWQPPRSDKGVKYPSNVDLTPVEFPSLQRPIENELPGKNDHSNSEPEASSMESILSVDNYVNSEKSHADEPCPMISFEESETAPVHNNVTRQLSPPPVLAEVQDLVHATQASKTDCTVENPSVDGLVHSESPLELHIATTMMESFMKLAKSNTDRNLETCGVLAGSLKNRKFYVTALIIPKQESTSNSCQTTNEEEIFEVQDKKSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQTVSGTRNCQGMNEICVKCIDKVKMKSFSFICVFTL >KJB37216 pep chromosome:Graimondii2_0_v6:6:45089836:45096638:-1 gene:B456_006G194200 transcript:KJB37216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSTERINIAASAQKLDVDNRIPLRYYYRIADNILKQADIFRAEKNIIDLYIMLLRFSSLVSETIPCHRDYGTSLKYQKVYLKKRLVNALTELEDLKPQVQQKINELNRIYTYQSTSLEQSAVKNQHLTYYGVTKAVRPAARELGYYGLRTPQLSYSNPMEEQFRRMSLNLLRPKEETLSKHSFLGPNGLYGQWQPPRSDKGVKYPSNVDLTPVEFPSLQRPIENELPGKNDHSNSEPEASSMESILSVDNYVNSEKSHADEPCPMISFEESETAPVHNNVTRQLSPPPVLAEVQDLVHATQASKTDCTVENPSVDGLVHSESPLELHIATTMMESFMKLAKSNTDRNLETCGVLAGSLKNRKFYVTALIIPKQESTSNSCQTTNEEEIFEVQDKKSLFPLGWIHIMLPEAVAIVMAPTDSSRKRGIFRLTTPGGMSVIRQCQQRGFHPHNPPPDGGSVYNTCTDVYMNPSVKFDVIDLR >KJB37209 pep chromosome:Graimondii2_0_v6:6:45089467:45096835:-1 gene:B456_006G194200 transcript:KJB37209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSTERINIAASAQKLDVDNRIPLRYYYRIADNILKQADIFRAEKNIIDLYIMLLRFSSLVSETIPCHRDYGTSLKYQKVYLKKRLVNALTELEDLKPQVQQKINELNRIYTYQSTSLEQSAVKNQHLTYYGVTKAVRPAARELGYYGLRTPQLSYSNPMEEQFRRMSLNLLRPKEETLSKHSFLGPNGLYGQWQPPRSDKGVKYPSNVDLTPVEFPSLQRPIENELPGKNDHSNSEPEASSMESILSVDNYVNSEKSHADEPCPMISFEESETAPVHNNVTRQLSPPPVLAEVQDLVHATQASKTDCTVENPSVDGLVHSESPLELHIATTMMESFMKLAKSNTDRNLETCGVLAGSLKNRKFYVTALIIPKQESTSNSTTNEEEIFEVQDKKSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQIMLPEAVAIVMAPTDSSRKRGIFRLTTPGGMSVIRQCQQRGFHPHNPPPDGGSVYNTCTDVYMNPSVKFDVIDLR >KJB37213 pep chromosome:Graimondii2_0_v6:6:45089467:45096835:-1 gene:B456_006G194200 transcript:KJB37213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSTERINIAASAQKLDVDNRIPLRYYYRIADNILKQADIFRAEKNIIDLYIMLLRFSSLVSETIPCHRDYGTSLKYQKVYLKKRLVNALTELEDLKPQVQQKINELNRIYTYQSTSLEQSAVKNQHLTYYGVTKAVRPAARELGYYGLRTPQLSYSNPMEEQFRRMSLNLLRPKEETLSKHSFLGPNGLYGQWQPPRSDKGVKYPSNVDLTPVEFPSLQRPIENELPGKNDHSNSEPEASSMESILSVDNYVNSEKSHADEPCPMISFEESETAPVHNNVTRQLSPPPVLAEVQDLVHATQASKTDCTVENPSVDGLVHSESPLELHIATTMMESFMKLAKSNTDRNLETCGVLAGSLKNRKFYVTALIIPKQESTSNSCQTTNEEEIFEVQDKKSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQIMLPEAVAIVMAPTDSSRKRGIFRLTTPGGMSVIRQCQQRGFHPHNPPPDGGSVYNTCTDVYMNPSVKFDVIDLR >KJB37210 pep chromosome:Graimondii2_0_v6:6:45090961:45095223:-1 gene:B456_006G194200 transcript:KJB37210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRFSSLVSETIPCHRDYGTSLKYQKVYLKKRLVNALTELEDLKPQVQQKINELNRIYTYQSTSLEQSAVKNQHLTYYGVTKAVRPAARELGYYGLRTPQLSYSNPMEEQFRRMSLNLLRPKEETLSKHSFLGPNGLYGQWQPPRSDKGVKYPSNVDLTPVEFPSLQRPIENELPGKNDHSNSEPEASSMESILSVDNYVNSEKSHADEPCPMISFEESETAPVHNNVTRQLSPPPVLAEVQDLVHATQASKTDCTVENPSVDGLVHSESPLELHIATTMMESFMKLAKSNTDRNLETCGVLAGSLKNRKFYVTALIIPKQESTSNSCQTTNEEEIFEVQDKKSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQTVSGTRNCQGMNEICVKCIDKVKMKSFSFICVFTL >KJB37206 pep chromosome:Graimondii2_0_v6:6:45090961:45096632:-1 gene:B456_006G194200 transcript:KJB37206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSTERINIAASAQKLDVDNRIPLRYYYRIADNILKQADIFRAEKNIIDLYIMLLRFSSLVSETIPCHRDYGTSLKYQKVYLKKRLVNALTELEDLKPQVQQKINELNRIYTYQSTSLEQSAVKNQHLTYYGVTKAVRPAARELGYYGLRTPQLSYSNPMEEQFRRMSLNLLRPKEETLSKHSFLGPNGLYGQWQPPRSDKGVKYPSNVDLTPVEFPSLQRPIENELPGKNDHSNSEPEASSMESILSVDNYVNSEKSHADEPCPMISFEESETAPVHNNVTRQLSPPPVLAEVQDLVHATQASKTDCTVENPSVDGLVHSESPLELHIATTMMESFMKLAKSNTDRNLETCGVLAGSLKNRKFYVTALIIPKQESTSNSTTNEEEIFEVQDKKSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQTVSGTRNCQGMNEICVKCIDKVKMKSFSFICVFTL >KJB37214 pep chromosome:Graimondii2_0_v6:6:45091181:45096632:-1 gene:B456_006G194200 transcript:KJB37214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSTERINIAASAQKLDVDNRIPLRYYYRIADNILKQADIFRAEKNIIDLYIMLLRFSSLVSETIPCHRDYGTSLKYQKVYLKKRLVNALTELEDLKPQVQQKINELNRIYTYQSTSLEQSAVKNQHLTYYGVTKAVRPAARELGYYGLRTPQLSYSNPMEEQFRRMSLNLLRPKEETLSKHSFLGPNGLYGQWQPPRSDKGVKYPSNVDLTPVEFPSLQRPIENELPGKNDHSNSEPEASSMESILSVDNYVNSEKSHADEPCPMISFEESETAPVHNNVTRQLSPPPVLAEVQDLVHATQASKTDCTVENPSVDGLVHSESPLELHIATTMMESFMKLAKSNTDRNLETCGVLAGSLKNRKFYVTALIIPKQESTSNSCQTTNEEEIFEVQDKKSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQVCSSWYHNKSSAF >KJB37208 pep chromosome:Graimondii2_0_v6:6:45090961:45095223:-1 gene:B456_006G194200 transcript:KJB37208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRFSSLVSETIPCHRDYGTSLKYQKVYLKKRLVNALTELEDLKPQVQQKINELNRIYTYQSTSLEQSAVKNQHLTYYGVTKAVRPAARELGYYGLRTPQLSYSNPMEEQFRRMSLNLLRPKEETLSKHSFLGPNGLYGQWQPPRSDKGVKYPSNVDLTPVEFPSLQRPIENELPGKNDHSNSEPEASSMESILSVDNYVNSEKSHADEPCPMISFEESETAPVHNNVTRQLSPPPVLAEVQDLVHATQASKTDCTVENPSVDGLVHSESPLELHIATTMMESFMKLAKSNTDRNLETCGVLAGSLKNRKFYVTALIIPKQESTSNSTTNEEEIFEVQDKKSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQTVSGTRNCQGMNEICVKCIDKVKMKSFSFICVFTL >KJB37207 pep chromosome:Graimondii2_0_v6:6:45090128:45095223:-1 gene:B456_006G194200 transcript:KJB37207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRFSSLVSETIPCHRDYGTSLKYQKVYLKKRLVNALTELEDLKPQVQQKINELNRIYTYQSTSLEQSAVKNQHLTYYGVTKAVRPAARELGYYGLRTPQLSYSNPMEEQFRRMSLNLLRPKEETLSKHSFLGPNGLYGQWQPPRSDKGVKYPSNVDLTPVEFPSLQRPIENELPGKNDHSNSEPEASSMESILSVDNYVNSEKSHADEPCPMISFEESETAPVHNNVTRQLSPPPVLAEVQDLVHATQASKTDCTVENPSVDGLVHSESPLELHIATTMMESFMKLAKSNTDRNLETCGVLAGSLKNRKFYVTALIIPKQESTSNSCQTTNEEEIFEVQDKKSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQIMLPEAVAIVMAPTDSSRKRGIFRLTTPGGMSVIRQCQQRGFHPHNPPPDGGSVYNTCTDVYMNPSVKFDVIDLR >KJB37215 pep chromosome:Graimondii2_0_v6:6:45089467:45096932:-1 gene:B456_006G194200 transcript:KJB37215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSTERINIAASAQKLDVDNRIPLRYYYRIADNILKQADIFRAEKNIIDLYIMLLRFSSLVSETIPCHRDYGTSLKYQKVYLKKRLVNALTELEDLKPQVQQKINELNRIYTYQSTSLEQSAVKNQHLTYYGVTKAVRPAARELGYYGLRTPQLSYSNPMEEQFRRMSLNLLRPKEETLSKHSFLGPNGLYGQWQPPRSDKGVKYPSNVDLTPVEFPSLQRPIENELPGKNDHSNSEPEASSMESILSVDNYVNSEKSHADEPCPMISFEESETAPVHNNVTRQLSPPPVLAEVQDLVHATQASKTDCTVENPSVDGLVHSESPLELHIATTMMESFMKLAKSNTDRNLETCGVLAGSLKNRKFYVTALIIPKQESTSNSCQTTNEEEIFEVQDKKSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQIMLPEAVAIVMAPTDSSRKRGIFRLTTPGGMSVIRQCQQRGFHPHNPPPDGGSVYNTCTDVYMNPSCKTYLLV >KJB38178 pep chromosome:Graimondii2_0_v6:6:48719651:48723615:-1 gene:B456_006G240800 transcript:KJB38178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTVGDKSDDEYSVIGDKREINFIDYANNKSVFDYNHEGGPLIISAPFPFSKGNPQSVFVGATAFDLISIENTTSEPVELWTKIYASTPKDSFVLSLMKPPSANSEDDMSQGFVELFDLEDRMIQPGETLTIWLSCKAKEIGLHTTIVHFDVEGERLERMVFLLVEDKISQSLASKKPYSRAQRKKQFPVDEFVTGPRPVRAMGRGVRNRLLPYDIPKDIRELVENKQTTDAIKAGLTRDNYVSYFKHLLILEELQLEEDMRAYNMENINMRKKGNYLSLQVPGLAEKRPSLVHGDHIFAKLACEDASGSGRVYEGCIHRVEADEVYLKFAPEFHSNHIDENLYNVQFTYNRINMRRQYQAIDAAKSLNVSLLFPSGSPKSRVIETTPLVPICCTLNEEQMCSIEMILGCKGGPPYVIFGPPGTGKTMTIVEAILQLYSTQKSSRILVCAPSNSAADFILERLLNEESVEIKENEIFRLNAATRPYYDVNPDFLRFCFFDELVFKCPPLSVLACYRIIISTYMSSSLLYAESVGKGHFSHIFLDESGQASEPESMIPVANLCRRETVVVLAGDPKQLGPVIYSKEAENFGLGKSYLERLFEHEFYSNGNENYVTKLVRNYRCHPEILYLPSLLFYNDELIPCKDDIGSLLNSVKFLPNKDYPVFFFGIQGCDEREGSNPSWFNRIEASKVVEIVSSLTASGILNEKDIGVITPYRQQVLKLMKAFENLDIPDVKVGTVEQFQGQERKVIIISTVRSTIKHNEFDRTHCLGFLSNPRRFNVAVTRAIALLVIVGNPHIISKDPYWSQLIWRCADHNSYQGCALPERQEYEDDAEVSTPEDCRIDDENSIAEMWGEDTKEIGNLIPFTDEAEWSDGWK >KJB38177 pep chromosome:Graimondii2_0_v6:6:48719651:48723575:-1 gene:B456_006G240800 transcript:KJB38177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTVGDKSDDEYSVIGDKREINFIDYANNKSVFDYNHEGGPLIISAPFPFSKGNPQSVFVGATAFDLISIENTTSEPVELWTKIYASTPKDSFVLSLMKPPSANSEDDMSQGFVELFDLEDRMIQPGETLTIWLSCKAKEIGLHTTIVHFDVEGERLERMVFLLVEDKISQSLASKKPYSRAQRKKQFPVDEFVTGPRPVRAMGRGVRNRLLPYDIPKDIRELVENKQTTDAIKAGLTRDNYVSYFKHLLILEELQLEEDMRAYNMENINMRKKGNYLSLQVPGLAEKRPSLVHGDHIFAKLACEDASGSGRVYEGCIHRVEADEVYLKFAPEFHSNHIDENLYNVQFTYNRINMRRQYQAIDAAKSLNVSLLFPSGSPKSRVIETTPLVPICCTLNEEQMCSIEMILGCKGGPPYVIFGPPGTGKTMTIVEAILQLYSTQKSSRILVCAPSNSAADFILERLLNEESVEIKENEIFRLNAATRPYYDVNPDFLRFCFFDELVFKCPPLSVLACYRIIISTYMSSSLLYAESVGKGHFSHIFLDESGQASEPESMIPVANLCRRETVVVLAGDPKQLGPVIYSKEAENFGLGKSYLERLFEHEFYSNGNENYVTKLVRNYRCHPEILYLPSLLFYNDELIPCKDDIGSLLNSVKFLPNKDYPVFFFGIQGCDEREGSNPSWFNRIEASKVVEIVSSLTASGILNEKDIGVITPYRQQVLKLMKAFENLDIPDVKVGTVEQFQGQERKVIIISTVRSTIKHNEFDRTHCLGFLSNPRRFNVAVTRAIALLVIVGNPHIISKDPYWSQLIWRCADHNSYQGCALPERQEYEDDAEVSTPEDCRIDDENSIAEMWGEDTKEIGNLIPFTDEAEWSDGWK >KJB38324 pep chromosome:Graimondii2_0_v6:6:49330949:49335886:-1 gene:B456_006G249000 transcript:KJB38324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLKTVVPSQLLAERGSNLVVINPGSANIRVGLAKQDSPFSIPHCIARRSNQLPKLNVQDQLLNSQVTTAQHMEREKAYDVIASLLKIPFLDEEVANNSVPRKMGRVDGYNPQNTRKDGAFTWTDTHVKDLNSSVPQESSSDKSVIAESLVQNEGTELKEPTLAELKFREVIFGEEALRISPTEPYSLRRLIRRGHLNISQHYPMQQVLEDLHALWDWILLEKLHIPHQERSLYSAILVVPETFDNREIKEILSILLRDLRFSSAVVHQEGLAAVFGNGLSTACVVNLGAQVSSVICIEDGVALPNTEKTLPFGGEDISRCLLWTQRHHQTWPQIRTDILTKPIDLLMLNRLKESYCEIKEGELDAIAVVHSYEDAMPAGSHKTRLTALNVPPMGLFYPTLLIPDLYPPPPRSWFHDYEDMLEETWHIEFPRRSDMPDGLYPGSNVGLQMWDNYPFVSMKPKKEEKVGLAEAITSSILSTGRIDLQRKLFCSIQLIGGVALTGGLIPAVEERVLQTIPSNEAIHTVEVLQSRTNPTFVSWKGGAVSHLPSFFFFHVWVSFVFGTMQMHLFIEFAYAISS >KJB38325 pep chromosome:Graimondii2_0_v6:6:49330961:49335846:-1 gene:B456_006G249000 transcript:KJB38325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLKTVVPSQLLAERGSNLVVINPGSANIRVGLAKQDSPFSIPHCIARRSNQLPKLNVQDQLLNSQVTTAQHMEREKAYDVIASLLKIPFLDEEVANNSVPRKQMGRVDGYNPQNTRKDGAFTWTDTHVKDLNSSVPQESSSDKSVIAESLVQNEGTELKEPTLAELKFREVIFGEEALRISPTEPYSLRRLIRRGHLNISQHYPMQQVLEDLHALWDWILLEKLHIPHQERSLYSAILVVPETFDNREIKEILSILLRDLRFSSAVVHQEGLAAVFGNGLSTACVVNLGAQVSSVICIEDGVALPNTEKTLPFGGEDISRCLLWTQRHHQTWPQIRTDILTKPIDLLMLNRLKESYCEIKEGELDAIAVVHSYEDAMPAGSHKTRLTALNVPPMGLFYPTLLIPDLYPPPPRSWFHDYEDMLEETWHIEFPRRSDMPDGLYPGSNVGLQMWDNYPFVSMKPKKEEKVGLAEAITSSILSTGRIDLQRKLFCSIQLIGGVALTGGLIPAVEERVLQTIPSNEAIHTVEVLQSRTNPTFVSWKGGAVSHLPSFFFFHVWVSFVFGTMQMHLFIEFAYAISS >KJB38326 pep chromosome:Graimondii2_0_v6:6:49331333:49335846:-1 gene:B456_006G249000 transcript:KJB38326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLKTVVPSQLLAERGSNLVVINPGSANIRVGLAKQDSPFSIPHCIARRSNQLPKLNVQDQLLNSQVTTAQHMEREKAYDVIASLLKIPFLDEEVANNSVPRKMGRVDGYNPQNTRKDGAFTWTDTHVKDLNSSVPQESSSDKSVIAESLVQNEGTELKEPTLAELKFREVIFGEEALRISPTEPYSLRRLIRRGHLNISQHYPMQQVLEDLHALWDWILLEKLHIPHQERSLYSAILVVPETFDNREIKEILSILLRDLRFSSAVVHQEGLAAVFGNGLSTACVVNLGAQVSSVICIEDGVALPNTEKTLPFGGEDISRCLLWTQRHHQTWPQIRTDILTKPIDLLMLNRLKESYCEIKEGELDAIAVVHSYEDAMPAGSHKTRLTALNVPPMGLFYPTLLIPDLYPPPPRSWFHDYEDMLEETWHIEFPRRSDMPDGLYPGSNVGLQMWDNYPFVSMKPKKEEKVGLAEAITSSILSTGRIDLQRKLFCSIQLIGGVALTGGLIPAVEERVLQTIPSNEAIHTVEVSLA >KJB33771 pep chromosome:Graimondii2_0_v6:6:7684681:7690095:-1 gene:B456_006G030300 transcript:KJB33771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASINGWCLTSSSSTTTTSSFSARRRPTLRPSVVASLNSSPSPPTLIQDRPVFAAPIPLLTPREEMGNKSYDEAIEALKKLLSEKGELKAEAAARVDQITAELNTASADGKPSDSSVERLKEGFVYFKKEKYEKNPALYGELAKGQSPKYMIVACSDSRVCPSHVLDMQPGEAFVVRNVANMVPPYDQIKYAGIGSAIEYAVLHLKVQEIVVIGHSACGGIKGLMSFPFDGNNSTDFIEDWVKIGIPAKTKVLAEHGGEPLGVQCTHCEKEAVNVSLGNLLSYPFVRDGLVKKTLGIKGGYYDFVKGSFELWSLQFQLSSSLSV >KJB33766 pep chromosome:Graimondii2_0_v6:6:7683832:7690194:-1 gene:B456_006G030300 transcript:KJB33766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASINGWCLTSSSSTTTTSSFSARRRPTLRPSVVASLNSSPSPPTLIQDRPVFAAPIPLLTPREEMGNKSYDEAIEALKKLLSEKGELKAEAAARVDQITAELNTASADGKPSDSSVERLKEGFVYFKKEKYEKNPALYGELAKGQSPKYMIVACSDSRVCPSHVLDMQPGEAFVVRNVANMVPPYDQIKYAGIGSAIEYAVLHLKVQEIVVIGHSACGGIKGLMSFPFDGNNSTDFIEDWVKIGIPAKTKVLAEHGGEPLGVQCTHCEKEAVNVSLGNLLSYPFVRDGLVKKTLGIKGGYYDFVKGSFELWSLQFQLSSSLSVKDVATILHWKLY >KJB33767 pep chromosome:Graimondii2_0_v6:6:7684397:7690173:-1 gene:B456_006G030300 transcript:KJB33767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASINGWCLTSSSSTTTTSSFSARRRPTLRPSVVASLNSSPSPPTLIQDRPVFAAPIPLLTPREEMGNKSYDEAIEALKKLLSEKGELKAEAAARVDQITAELNTASADGKPSDSSVERLKEGFVYFKKEKYEKNPALYGELAKGQSPKYMIVACSDSRVCPSHVLDMQPGEAFVVRNVANMVPPYDQIKYAGIGSAIEYAVLHLKVQEIVVIGHSACGGIKGLMSFPFDGNNSTDFIEDWVKIGIPAKTKVLAEHGGEPLGVQCTHCEKEAVNVSLGNLLSYPFVRDGLVKKTLGIKGGYYDFVKGSFELWSLQFQLSSSLSV >KJB33772 pep chromosome:Graimondii2_0_v6:6:7685969:7690126:-1 gene:B456_006G030300 transcript:KJB33772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASINGWCLTSSSSTTTTSSFSARRRPTLRPSVVASLNSSPSPPTLIQDRPVFAAPIPLLTPREEMGNKSYDEAIEALKKLLSEKGELKAEAAARVDQITAELNTASADGKPSDSSVERLKEGFVYFKKEKYEKNPALYGELAKGQSPKYMIVACSDSRVCPSHVLDMQPGEAFVVRNVANMVPPYDQIKYAGIGSAIEYAVLHLKVQEIVVIGHSACGGIKGLMSFPFDGNNST >KJB33770 pep chromosome:Graimondii2_0_v6:6:7683851:7690194:-1 gene:B456_006G030300 transcript:KJB33770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASINGWCLTSSSSTTTTSSFSARRRPTLRPSVVASLNSSPSPPTLIQDRPVFAAPIPLLTPREEMGNKSYDEAIEALKKLLSEKGELKAEAAARVDQITAELNTASADGKPSDSSVERLKEGFVYFKKEKYEKNPALYGELAKGQSPKYMIVACSDSRVCPSHVLDMQPGEAFVVRNVANMVPPYDQIKYAGIGSAIEYAVLHLKVQEIVVIGHSACGGIKGLMSFPFDGNNSTDFIEDWVKIGIPAKTKVLAEHGGEPLGVQCTHCEK >KJB33769 pep chromosome:Graimondii2_0_v6:6:7684397:7688636:-1 gene:B456_006G030300 transcript:KJB33769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKSYDEAIEALKKLLSEKGELKAEAAARVDQITAELNTASADGKPSDSSVERLKEGFVYFKKEKYEKNPALYGELAKGQSPKYMIVACSDSRVCPSHVLDMQPGEAFVVRNVANMVPPYDQIKYAGIGSAIEYAVLHLKVQEIVVIGHSACGGIKGLMSFPFDGNNSTDFIEDWVKIGIPAKTKVLAEHGGEPLGVQCTHCEKEAVNVSLGNLLSYPFVRDGLVKKTLGIKGGYYDFVKGSFELWSLQFQLSSSLSV >KJB33768 pep chromosome:Graimondii2_0_v6:6:7683832:7690126:-1 gene:B456_006G030300 transcript:KJB33768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASINGWCLTSSSSTTTTSSFSARRRPTLRPSVVASLNSSPSPPTLIQDRPVFAAPIPLLTPREEMGNKSYDEAIEALKKLLSEKGELKAEAAARVDQITAELNTASADGKPSDSSVERLKEGFVYFKKEKYEKNPALYGELAKGQSPKYMIVACSDSRVCPSHVLDMQPGEAFVVRNVANMVPPYDQIKYAGIGSAIEYAVLHLKVQEIVVIGHSACGGIKGLMSFPFDGNNSTDFIEDWVKIGIPAKTKVLAEHGGEPLGVQCTHCEKEAVNVSLGNLLSYPFVRDGLVKKTLGIKGGYYDFVKGSFELWSLQFQLSSSLSVKDVATILHWKLY >KJB38387 pep chromosome:Graimondii2_0_v6:6:49594491:49596711:1 gene:B456_006G252700 transcript:KJB38387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRVALVNYGKDYGKLVVIVDVVDQNRALVDAPDMVRGQMNFKRLTLTDITIDIPRVPKKKTLIEAMEKADVKNKWENSSWGRKLIVQKRRASLNDFDRFKLMLAKIKRAGVVRQELAKLKKEKSS >KJB34338 pep chromosome:Graimondii2_0_v6:6:22201746:22205612:-1 gene:B456_006G060600 transcript:KJB34338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQVADEGLPANIAGMSKNQLYDIMSNMKTLIEQNQQQAREILIQNPLLTKALFQAQIMLGMVKPPQAIPAIQPPASQQSQQSVQPPPQPNIQPAHLLPGQVRLQEQAAPSQIQAPVRKQHQNQAGTRISVASVPAANLQSQSIPPHSLQTPQQTKVHLNPPMSLPHSSQLPNAPQLPLQSPLHPPAHHQTHMSTSSSQLQQSFQTTGIPHMHQQPPIQPQARLSSAPSFHHQHAPQMGPNVGFQHPGAQHLSQPMFHSGNRPPSLGPSFPQGQPPRANQLPAQSMYQNQAGALHLGSEFGNQVGGSMQADRGSSWTPSQPDNSTLTQLQGPSPLVSSQMVPGNQPPHPASLTPEMEKALLQQVMSLTPEQINLLPTEQRNQVLQLQQILRQ >KJB34342 pep chromosome:Graimondii2_0_v6:6:22201817:22205583:-1 gene:B456_006G060600 transcript:KJB34342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGMVKPPQAIPAIQPPASQQSQQSVQPPPQPNIQPAHLLPGQVRLQEQAAPSQIQAPVRKQHQNQAGTRISVASVPAANLQSQSIPPHSLQTPQQTKVHLNPPMSLPHSSQLPNAPQLPLQSPLHPPAHHQTHMSTSSSQLQQSFQTTGIPHMHQQPPIQPQARLSSAPSFHHQHAPQMGPNVGFQHPGAQHLSQPMFHSGNRPPSLGPSFPQGQPPRANQLPAQSMYQNQAGALHLGSEFGNQVGGSMQADRGSSWTPSQPDNSTLTQLQGPSPLVSSQMVPGNQPPHPASLTPEMEKALLQQVMSLTPEQINLLPTEQRNQVLQLQQILRQ >KJB34341 pep chromosome:Graimondii2_0_v6:6:22201817:22205583:-1 gene:B456_006G060600 transcript:KJB34341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPHSSQLPNAPQLPLQSPLHPPAHHQTHMSTSSSQLQQSFQTTGIPHMHQQPPIQPQARLSSAPSFHHQHAPQMGPNVGFQHPGAQHLSQPMFHSGNRPPSLGPSFPQGQPPRANQLPAQSMYQNQAGALHLGSEFGNQVGGSMQADRGSSWTPSQPDNSTLTQLQGPSPLVSSQMVPGNQPPHPASLTPEMEKALLQQVMSLTPEQINLLPTEQRNQVLQLQQILRQ >KJB34340 pep chromosome:Graimondii2_0_v6:6:22201817:22205583:-1 gene:B456_006G060600 transcript:KJB34340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGMVKPPQAIPAIQPPASQQSQQSVQPPPQPNIQPAHLLPGQVRLQEQAAPSQIQAPVRKQHQNQAGTRISVASVPAANLQSQSIPPHSLQTPQQTKSPLHPPAHHQTHMSTSSSQLQQSFQTTGIPHMHQQPPIQPQARLSSAPSFHHQHAPQMGPNVGFQHPGAQHLSQPMFHSGNRPPSLGPSFPQGQPPRANQLPAQSMYQNQAGALHLGSEFGNQVGGSMQADRGSSWTPSQPDNSTLTQLQGPSPLVSSQMVPGNQPPHPASLTPEMEKALLQQVMSLTPEQINLLPTEQRNQVLQLQQILRQ >KJB34343 pep chromosome:Graimondii2_0_v6:6:22202343:22205489:-1 gene:B456_006G060600 transcript:KJB34343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQVADEGLPANIAGMSKNQLYDIMSNMKTLIEQNQQQAREILIQNPLLTKALFQAQIMLGMVKPPQAIPAIQPPASQQSQQSVQPPPQPNIQPAHLLPGQVRLQEQAAPSQIQAPVRKQHQNQAGTRISVASVPAANLQSQSIPPHSLQTPQQTKVHLNPPMSLPHSSQLPNAPQLPLQSPLHPPAHHQTHMSTSSSQLQQSFQTTGIPHMHQQPPIQPQARLSSAPSFHHQHAPQMGPNVGFQHPGAQHLSQPMFHSGNRPPSLGPSFPQGQPPRANQLPAQSMYQNQAGALHLGSEFGNQVGGSMQADRGSSWTPSQPDNSTLTQLQGPSPLVSSQMVPGNQPPHPASFPLALYS >KJB34339 pep chromosome:Graimondii2_0_v6:6:22201799:22205583:-1 gene:B456_006G060600 transcript:KJB34339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQVADEGLPANIAGMSKNQLYDIMSNMKTLIEQNQQQAREILIQNPLLTKALFQAQIMLGMVKPPQAIPAIQPPASQQSQQSVQPPPQPNIQPAHLLPGQVRLQEQAAPSQIQAPVRKQHQNQAGTRISVASVPAANLQSQSIPPHSLQTPQQTKVHLNPPMSLPHSSQLPNAPQLPLQSPLHPPAHHQTHMSTSSSQLQQSFQTTGIPHMHQQPPIQPQARLSSAPSFHHQHAPQMGPNVGFQHPGAQHLSQPMFHVIDLLALDLRFHKGSHRVQISCQLNQCIKIRQEPCI >KJB36503 pep chromosome:Graimondii2_0_v6:6:42204167:42205829:-1 gene:B456_006G162700 transcript:KJB36503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFLFTSESVNEGHPDKICDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAKINYEKIVRDTCRGIGFTSADVGLDADNCKVLVNIEQQSPDIAQGVHGHLSKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGARLTEVRKNKTCQWLRPDGKTQVTVEYRNDGGAMVPIRVHTVLISTQHDETVTNEKIAADLREHVIKPVIPAKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILALIKEAFDFRPGMISINLDLKRGGKFRYQKTAAYGHFGRDDPDFTWEIVKPLKPKA >KJB36504 pep chromosome:Graimondii2_0_v6:6:42204167:42206860:-1 gene:B456_006G162700 transcript:KJB36504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFLFTSESVNEGHPDKICDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAKINYEKIVRDTCRGIGFTSADVGLDADNCKVLVNIEQQSPDIAQGVHGHLSKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGARLTEVRKNKTCQWLRPDGKTQVTVEYRNDGGAMVPIRVHTVLISTQHDETVTNEKIAADLREHVIKPVIPAKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILALIKEAFDFRPGMISINLDLKRGGKFRYQKTAAYGHFGRDDPDFTWEIVKPLKPKA >KJB36505 pep chromosome:Graimondii2_0_v6:6:42204167:42206562:-1 gene:B456_006G162700 transcript:KJB36505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFLFTSESVNEGHPDKICDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAKINYEKIVRDTCRGIGFTSADVGLDADNCKVLVNIEQQSPDIAQGVHGHLSKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGARLTEVRKNKTCQWLRPDGKTQVTVEYRNDGGAMVPIRVHTVLISTQHDETVTNEKIAADLREHVIKPVIPAKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILALIKEAFDFRPGMISINLDLKRGGKFRYQKTAAYGHFGRDDPDFTWEIVKPLKPKA >KJB37115 pep chromosome:Graimondii2_0_v6:6:44701766:44704740:1 gene:B456_006G189900 transcript:KJB37115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVMEVKEKLEKEYYDLPVGENGRDDEDMILWYLKDRRFSVEEAIAKLTKAIKWRQEFGVADLSEDTVKSIAKTGKAYVHDFLDVNDRPVLIVVASKHLPDVHDPCDNEKLCVFLIEKALSKLPAGQEQILGIVDLRGFGTKNADLSYLTFLVIYKLVAEHCCELGHCICLPGTKNADLSYLTFLFDVFYYYYPKRLGEVLFVEAPFVFQPIWQLTKPLLKSYASMVKFCSTETVRKEYFTEETLPISFRD >KJB37116 pep chromosome:Graimondii2_0_v6:6:44701193:44705395:1 gene:B456_006G189900 transcript:KJB37116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIHTCVALRPCRPPLISSSKSTLQRPPNCKFSVRNCIRDPNNSQKLVMEVKEKLEKEYYDLPVGENGRDDEDMILWYLKDRRFSVEEAIAKLTKAIKWRQEFGVADLSEDTVKSIAKTGKAYVHDFLDVNDRPVLIVVASKHLPDVHDPCDNEKLCVFLIEKALSKLPAGQEQILGIVDLRGFGTKNADLSYLTFLFDVFYYYYPKRLGEVLFVEAPFVFQPIWQLTKPLLKSYASMVKFCSTETVRKEYFTEETLPISFRD >KJB37119 pep chromosome:Graimondii2_0_v6:6:44701376:44705355:1 gene:B456_006G189900 transcript:KJB37119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIHTCVALRPCRPPLISSSKSTLQRPPNCKFSVRNCIRDPNNSQKLVMEVKEKLEKEYYDLPVGENGRDDEDMILWYLKDRRFSVEEAIAKLTKAIKWRQEFGVADLSEDTVKSIAKTGKAYVHDFLDVNDRPVLIVVASKHLPDFDVFYYYYPKRLGEVLFVEAPFVFQPIWQLTKPLLKSYASMVKFCSTETVRKEYFTEETLPISFRD >KJB37112 pep chromosome:Graimondii2_0_v6:6:44701149:44705395:1 gene:B456_006G189900 transcript:KJB37112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIHTCVALRPCRPPLISSSKSTLQRPPNCKFSVRNCIRDPNNSQKLVMEVKEKLEKEYYDLPVGENGRDDEDMILWYLKDRRFSVEEAIAKLTKAIKWRQEFGVADLSEDTVKSIAKTGKAYVHDFLDVNDRPVLIVVASKHLPDVHDPCDNEKLCVFLIEKALSKLPAGQEQILGIVDLRGFGTKNADLSYLTFLFDVFYYYYPKRLGEVLFVEAPFVFQPIWQLTKPLLKSYASMVKFCSTETVRKEYFTEETLPISFRD >KJB37113 pep chromosome:Graimondii2_0_v6:6:44701149:44705395:1 gene:B456_006G189900 transcript:KJB37113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIHTCVALRPCRPPLISSSKSTLQRPPNCKFSVRNCIRDPNNSQKLVMEVKEKLEKEYYDLPVGENGRDDEDMILWYLKDRRFSVEEAIAKLTKAIKWRQEFGVADLSEDTVKSIAKTGKAYVHDFLDVNDRPVLIVVASKHLPDVHDPCDNEKLCVFLIEKALSKLPAGQEQILGIVDLRGFGTKNADLSYLTFLVIYKLVAEHCCELGHCICLPGTKNADLSYLTFLFDVFYYYYPKRLGEVLFVEAPFVFQPIWQLTKPLLKSYASMVKFCSTETVRKEYFTEETLPISFRD >KJB37118 pep chromosome:Graimondii2_0_v6:6:44701376:44705355:1 gene:B456_006G189900 transcript:KJB37118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIHTCVALRPCRPPLISSSKSTLQRPPNCKFSVRNCIRDPNNSQKLVMEVKEKLEKEYYDLPVGENGRDDEDMILWYLKDRRFSVEEAIAKLTKAIKWRQEFGVADLSEDTVKSIAKTGKAYVHDFLDVNDRPVLIVVASKHLPDVHDPCDNEKLCVFLIEKALSKLPAGQEQILGIVDLRGFGTKNADLSYLTFLVIYKLVAEHCCELGHCICLPGTKNADLSYLTFLVIYNLVAEHFWELGHCICVPTNISALSCK >KJB37114 pep chromosome:Graimondii2_0_v6:6:44701766:44704740:1 gene:B456_006G189900 transcript:KJB37114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVMEVKEKLEKEYYDLPVGENGRDDEDMILWYLKDRRFSVEEAIAKLTKAIKWRQEFGVADLSEDTVKSIAKTGKAYVHDFLDVNDRPVLIVVASKHLPDVHDPCDNEKLCVFLIEKALSKLPAGQEQILGIVDLRGFGTKNADLSYLTFLFDVFYYYYPKRLGEVLFVEAPFVFQPIWQLTKPLLKSYASMVKFCSTETVRKEYFTEETLPISFRD >KJB37117 pep chromosome:Graimondii2_0_v6:6:44701193:44705395:1 gene:B456_006G189900 transcript:KJB37117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIHTCVALRPCRPPLISSSKSTLQRPPNCKFSVRNCIRDPNNSQKLVMEVKEKLEKEYYDLPVGENGRDDEDMILWYLKDRRFSVEEAIAKLTKAIKWRQEFGVADLSEDTVKSIAKTGKAYVHDFLDVNDRPVLIVVASKHLPDALSKLPAGQEQILGIVDLRGFGTKNADLSYLTFLFDVFYYYYPKRLGEVLFVEAPFVFQPIWQLTKPLLKSYASMVKFCSTETVRKEYFTEETLPISFRD >KJB34096 pep chromosome:Graimondii2_0_v6:6:15950365:15955581:-1 gene:B456_006G047800 transcript:KJB34096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSWRSVNGKRKTRRAKMRKMIFEADKLSSQGRHFRGTLIVKRRGAIQSRRVRWNEESLVEIEANKPARQKIIEPKTPYHPMIDDDDGSLSPVGHGFNDCIGDAMDAEELRSALKDVASSSKKTIGQSSGWTSSDDDGDPMEEDGSGMSFKEHRKAHYNEFLKIKELRQKGSFLEDEGDDVEGDSSSSLRSGAKGKEKEEGSATLPQRSSAGPANGL >KJB38604 pep chromosome:Graimondii2_0_v6:6:50266869:50268517:-1 gene:B456_006G262800 transcript:KJB38604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPSFMFSTLLQLLFASLPHHCTLTYTAGGTWQLLQKSIGISAMHMQLLRNDRVIMFDRTDFGPSNLSLSNRKCRNDPTDTVLQVDCTAHSVEYNVFANKFRALTVQTDVWCSSGVIMPNGNLVFSPCRTCDLNEIPNGLTVKRWYAINHILPDGRQIIVSGRGQCNYEFIPKNIVANKINLPFLLETKDKGIENDLYPFVFLNFDGNLFIFANNQATLFDYEKNIVVKKYLMIPDGEPRSYPSIGPAVLLPLKNLKASAIEAEVLVCGGALKGSYLQSLKGTFLAVLDTSRVMGDMILLPNGNVLLINGTGPETAGWEQGRDPVMSSILYRPDNDISSWFEVQNPTTIPRMYHSTVALLRDGRVLVSRSNPHTFYNFTGVLFPTKLNLETFSPAYLDAKFENLRSTIIAPKSIGNSTYNVEATMPRSWNIAPSGFYLLFVVYQGIPSQGIWVRLRQY >KJB34575 pep chromosome:Graimondii2_0_v6:6:29328557:29334310:-1 gene:B456_006G073400 transcript:KJB34575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLVSSPTSSFLKKIAFHKCKKQVSKKIVSVMAPQQSERKTYTTGSVKTGMTMTEKILARASEKQQLNPGDNVWVNVDILMTHDVCGPGSIGIFKKEFGEKAKVWDREKIVIIPDHYIFTSDERANRNVDILRDFCGEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKVLLKVPPTLRFVMDGEMPHYLLAKDLILQIIGEISVAGATYKSMEFVGTTVESLNMEERMTLCNMVVEAGGKNGVVPADSTTFKYLEDKTSVPYEPVYSDAQASFLSEYRFDISKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASVKGQSSDIPCPCDAKGLDGHIYSSGTWIWWEDMLADI >KJB34574 pep chromosome:Graimondii2_0_v6:6:29328535:29334317:-1 gene:B456_006G073400 transcript:KJB34574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLVSSPTSSFLKKIAFHKCKKQVSKKIVSVMAPQQSERKTYTTGSVKTGMTMTEKILARASEKQQLNPGDNVWVNVDILMTHDVCGPGSIGIFKKEFGEKAKVWDREKIVIIPDHYIFTSDERANRNVDILRDFCGEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKVLLKVPPTLRFVMDGEMPHYLLAKDLILQIIGEISVAGATYKSMEFVGTTVESLNMEERMTLCNMVVEAGGKNGVVPADSTTFKYLEDKTSVPYEPVYSDAQASFLSEYRFDISKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDIYTLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARMNEPKVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTSYVTDPREFLC >KJB34576 pep chromosome:Graimondii2_0_v6:6:29328557:29334310:-1 gene:B456_006G073400 transcript:KJB34576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLVSSPTSSFLKKIAFHKCKKQVSKKIVSVMAPQQSERKTYTTGSVKTGMTMTEKILARASEKQQLNPGDNVWVNVDILMTHDVCGPGSIGIFKKEFGEKAKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKVLLKVPPTLRFVMDGEMPHYLLAKDLILQIIGEISVAGATYKSMEFVGTTVESLNMEERMTLCNMVVEAGGKNGVVPADSTTFKYLEDKTSVPYEPVYSDAQASFLSEYRFDISKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDIYTLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARMNEPKVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTSYVTDPREFLC >KJB34577 pep chromosome:Graimondii2_0_v6:6:29328557:29334310:-1 gene:B456_006G073400 transcript:KJB34577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLVSSPTSSFLKKIAFHKCKKQVSKKIVSVMAPQQSERKTYTTGSVKTGMTMTEKILARASEKQQLNPGDNVWVNVDILMTHDVCGPGSIGIFKKEFGEKAKVWDREKIVIIPDHYIFTSDERANRNVDILRDFCGEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKVLLKVPPTLRFVMDGEMPHYLLAKDLILQMEERMTLCNMVVEAGGKNGVVPADSTTFKYLEDKTSVPYEPVYSDAQASFLSEYRFDISKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDIYTLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARMNEPKVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTSYVTDPREFLC >KJB37516 pep chromosome:Graimondii2_0_v6:6:46359974:46364263:1 gene:B456_006G208400 transcript:KJB37516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKHVLLSALGVGVGVGMGLGLVSGQSRLTGSGSAASTGLTLENMEKELIRQIVDGRESQVRFDEFPYYLSEQTRTLLTSAAYVHLKHADVSKYTRNLSPASKALLLSGPSELYQQMLAKALAHYFESKLLLLDVTDFSLRCFKRSPSESALERLSSRLKRQSSSVDIASRGNEGPPKLRRNASVSDNMNGLASKCSPANLAPMSRTSSLFFDEKLLIQALYKVLVYVSRATPIVLYLRDIDKLLFRSQRFYNFFQQMLKKLSGNVLILGSRVVDLGNDRELEERLAVLFPYNIEIRPPEEEQHLVNWKSLLEKDMNMIQTQDNKNHIIEVLSANDLDCDDLDSICIADTLALSRYIEEVVVSAISYHLMNNKDPEYRNGKLVISSKSLAYGLSIFQGKSIGNYRLKLEAPTETLKEAGTLSVGIKPESKSVIRTGNGTTNPEKTDGENSASVIKVPEVVPDNEFEKRIRHEVIPANEINVTFADIGALDETKECLQELVMLPLKRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIAREAGASFINVSMSTIASKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKPVERILVLAATNRPFDLDEAIIRRFERRIMVGLPGVENREKIFRTLLAKEKVEENLNFAELAAMTEGYTGSDLKNLCTTAAYRPVRELIQQERLKDMERKKKEAEGQNSDDPSTKNDDVEERVITLRPLNMEDLRQAKNQVAASFSSEGAGMNELKQWNELYGEGGSRKKEQLSYFL >KJB37111 pep chromosome:Graimondii2_0_v6:6:44695574:44699479:-1 gene:B456_006G189800 transcript:KJB37111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRDSTAIKARVEEFKRTVQVQKARRDEYESIISQESLALAKFEKVRHEIKENGEIPEAISWYNRVLGFQIEGGHGVKFTFNDMNIENPKQEYSLTIRHANDAYSLLDCDPPLNGIGELINELNRTNGLFKFVRIMREKFQEAAALGLQPQSTSFRQGSSAISMSCPALSVSTDISESSTKKNEHRVPLQEVNRQVEEVNYRSKSPSKATENKLYDGRFNRYSKKPN >KJB37108 pep chromosome:Graimondii2_0_v6:6:44696777:44699425:-1 gene:B456_006G189800 transcript:KJB37108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIETAQSPKTKMESLRSICDREVRVHQQNLDSFTALFPTSLHFIKALAQDAAQAHVKLAKLKANLREAEDELVKVLAAKTRKEAKQMATRDSTAIKARVEEFKRTVQVQKARRDEYESIISQESLALAKFEKVRHEIKENGEIPEAISWYNRVLGFQIEGGHGVKFTFNDMNIENPKQEYSLTIRHANDAYSLLDCDPPLNGIGELINELNRTNGLFKFVRIMREKFQEAAALGLQPQSTSFRQGSSAISMSCPALSVSTDISESSTKKNEHRVPLQEVNRQVEEVNYRSKSPSKATENKLYDGRFNRYSKKPN >KJB37109 pep chromosome:Graimondii2_0_v6:6:44696777:44699425:-1 gene:B456_006G189800 transcript:KJB37109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIETAQSPKTKMESLRSICDREVRVHQQNLDSFTALFPTSLHFIKALAQDAAQAHVKLAKLKANLREAEDELVKVLAAKTRKEAKQMATRDSTAIKARVEEFKRTVQVQKARRDEYESIISQESLALAKFEKVRHEIKENGEIPEAISWYNRVLGFQIEGGHGVKFTFNDMNIENPKQEYSLTIRHANDAYSLLDCDPPLNGIGELINELNRTNGLFKFVRIMREKFQEAAALGLQPQSTSFRQGSSAISMSCPALSVSTDISESSTKKNEHRVPLQEVNRQVEEVNYRSKSPSKATENKLYDGRFNRYSKKPN >KJB37110 pep chromosome:Graimondii2_0_v6:6:44696423:44699460:-1 gene:B456_006G189800 transcript:KJB37110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIETAQSPKTKMESLRSICDREVRVHQQNLDSFTALFPTSLHFIKALAQDAAQAHVKLAKLKANLREAEDELVKVLAAKTRKEAKQMATRDSTAIKARVEEFKRTVQVQKARRDEYESIISQESLALAKFEKVRHEIKENGEIPEAISWYNRVLGFQIEGGHGVKFTFNDMNIENPKQEYSLTIRHANDAYSLLDCDPPLNGIGELINELNRTNGLFKFVRIMREKFQEAAALGLQPQSTSFRQGSSAISMSCPALSVSTDISESSTKKNEHRVPLQEVNRQVEEVNYRSKSPSKATENKLYDGRFNRYSKKPN >KJB37107 pep chromosome:Graimondii2_0_v6:6:44696565:44699460:-1 gene:B456_006G189800 transcript:KJB37107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRDSTAIKARVEEFKRTVQVQKARRDEYESIISQESLALAKFEKVRHEIKENGEIPEAISWYNRVLGFQIEGGHGVKFTFNDMNIENPKQEYSLTIRHANDAYSLLDCDPPLNGIGELINELNRTNGLFKFVRIMREKFQEAAALGLQPQSTSFRQGSSAISMSCPALSVSTDISESSTKKNEHRVPLQEVNRQVEEVNYRSKSPSKATENKLYDGRFNRYSKKPN >KJB33901 pep chromosome:Graimondii2_0_v6:6:10174423:10177762:1 gene:B456_006G037100 transcript:KJB33901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDRSGHGILTHGKNSEFQNELHESNRADVDMGEECKSSETSSPEKSREATESESDSWSGSASLVEKSAVEINGDECQAPPEIPLVNKYEMNVDSEQESQSLNTVSVDELGPGVPVKDGKGLFMVPAVGMEFESEEHAYKCYSRYAVMEGFSIRKDFVNKSRVTGAVVSRRFTCYRQGYRPSKHSMNTRKPRKETRTGCLAHMTIAWQPYGKFRVTNFENKHNHEFVNPSTAHLLPSQKRLAFAEAVEADLASSSGVDGVPKLGMGFESEDHAYEFYNSYAGKVGFSVRKDYVNRSKIHGAVVSRRFTCFREGFRQKDKRDLNIKRPRKETRIGCLAQLVISRQSDGSYRVTNFEEKHNHELVAACRIRMLRSQKQLVAAQTAEGNAIEGRKIQLKSAYEVSCNSIGDCVDHEYDPVDQRSKLISKCTREMRDGEAEKIQQYFQSKKVKNPSFFYAIQLDADNQIANIFWTDAKMVMDYSDFGDVLCFDTTYRISEDCRLFSPFVGVNHHKQMVIFGAALLYDETVDSFKWLFQKFLEAMSGKKPKTILTDQDEIVSEAISSILPDINQRVCVWHVYQRALKQLSHMFIGSPPFVNDLLSCFFDHEEEEDFIASWNHMMDLHGLWDNEWLNKIFENREQWAIAYKRHIFCADIKSVQLRGSFILNLKKYLKSDSDVLSFLKQLGKMVNDWHYKELEANYDMSQNMPKLMGDVILLKHARDAYTPRIFELFQQEYETCLNIVVNQCIENGSLLEYKVSIYGQPREYTVSYNLSNNTVCCSCMKFEFIGVLCSHALKVLDYSNIRLLPSQYILKRWTREARV >KJB36762 pep chromosome:Graimondii2_0_v6:6:43345830:43349575:-1 gene:B456_006G175500 transcript:KJB36762 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPa4 [Source:Projected from Arabidopsis thaliana (AT3G53620) UniProtKB/TrEMBL;Acc:A0A178VKC0] MAPPIETPTKSSGSHHASHPPLNERILSSMSRRSVAAHPWHDLEIGPGAPMVFNCVIEIPKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLIIMQEPVIPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASTAYEAIQHSLNLYADYIVESLRR >KJB36761 pep chromosome:Graimondii2_0_v6:6:43346835:43348354:-1 gene:B456_006G175500 transcript:KJB36761 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPa4 [Source:Projected from Arabidopsis thaliana (AT3G53620) UniProtKB/TrEMBL;Acc:A0A178VKC0] MAPPIETPTKSSGSHHASHPPLNERILSSMSRRSVAAHPWHDLEIGPGAPMVFNCVIEIPKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLIIMQEPVIPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASTAYEAIQHSL >KJB36760 pep chromosome:Graimondii2_0_v6:6:43345830:43349584:-1 gene:B456_006G175500 transcript:KJB36760 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPa4 [Source:Projected from Arabidopsis thaliana (AT3G53620) UniProtKB/TrEMBL;Acc:A0A178VKC0] MAPPIETPTKSSGSHHASHPPLNERILSSMSRRSVAAHPWHDLEIGPGAPMVFNCVIEIPKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLIIMQEPVIPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASTAYEESLRGLHRGELEAVVLGSPCPVYIQIYINMNMNMLLLYKKFIVSLCGQKHPALTGFATKKMCFSFYR >KJB33349 pep chromosome:Graimondii2_0_v6:6:1584435:1586564:-1 gene:B456_006G007600 transcript:KJB33349 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein f [Source:Projected from Arabidopsis thaliana (AT2G42820) UniProtKB/Swiss-Prot;Acc:Q682H0] MGVLPVIAKRLDAIIGPGVMLLYPLYASIRAIESPSMVDDQQWLTYWIIYSLITLFELSFWRILAWIPLWPYMKLVLCMWLVLPIFNGAAYIYEKHIRKYIKFGGFVGSNYSEDQKKILQMISLDARKYVAEYVDKHGWPAFERIIKAAEKEAKKP >KJB35520 pep chromosome:Graimondii2_0_v6:6:36801690:36807540:-1 gene:B456_006G118300 transcript:KJB35520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTTKQRFVFAVNGQRFEVCSIDPSTTLLEFLRSQTPFKSVKLSCGEGGCGACVVLLSKYDHVHNKVEDFTVSSCLTLLCSINGCSITTAEGIGNSKDGFHPIQQRFSGFHASQCGFCTPGMCVSLYASLVNADKTNNPAEPSPGFSKLTVSEAEKSIAGNLCRCTGYRPIVDACKSFATDVDMEDLGFNSFWRKGESNEVKLSRLAPYNCNYVTRVFPEFLKKETAVGPNLGSERYHWYSPVSLEELQCLLQMEANGGTSMKIIVGNTGMGYYKELVHYDKYIDLRYIPELSIVRKDSTGIEIGAAVMISKAIEALKEENEGGFSKEAKLVFEKIGDHMDKIASKFIRNSGSVGGNLMMAQRKHFPSDIATILLSVDTILEIMTGERRLKLTLEEFLGRPPLDSKTVLLSIKIPCWESSRDISSDACNKLFFETYRAAPRPLGNALPYLNAAFLAQVSLCKNPTGFMLKNCRFAFGAYGTKHSIRVKEVEEFLYGKLLSFGVLYEAIKLLETLVIPEDGTSTPAYRSSLAVGFLFEFLSPLIDSPIDFSDGLVSGFNSTSLSKNSNQQLDLGQIEFPTLLSSSRQVIQLNKEYHPVGEPTIKTGAILQASGEAIYIDDIPSPKDCLYGAFIYSLEPRARVKGIKFNPGSSPKGVIALITSKDIPGLNLGSKIVFGTEPLYADDLTEGVGQRIAFVVADTQKNADIAADLAVVDYDKENLEPPILSVEEAVERSSFYAPFFYPEQCGDFSKGMAEADHRILNSQIKLGSQYYFYMETQTALAVPDEDNCMVVYSSIQCPEFAHDTIAGCLGVPAHNVRVKTRRLGGGFGGKAVKSIAVASACAVAAYKLNRPVRIYMDRKTDMIMSGGRHPMKITYSVGFKSSGKITALKLDILIDSGMFVDVSPILPDHMLGSLKKYDWGALSFDIKLCKTNLPSRAAMRAPGDVQASYIAEVVIEHIASTLSMDVDSVRNINLHTHNSLDLFFASAAGEPSEYTLPSIWDKLATTSSFYHRTEMVKEFNRCHKWKKRGISRVPIVHEVKMRSTPGKVSILRDGSIVVEVGGIEMGQGLWTKVKQMTAYGLGLVKCGGTQDLLEKVRVIEADTLSVIQGGMTAGSTTSESSCEAVRLCCKVLVERLVPLKQRLMEQIGSLEWETLILEAYRNSVNLSASSLYVPNFSTMHYLNYAAAVEVNLITGETTILQTDIIYDCGESLNPAVDLGQIEGAYVQGIGFFMLEEYTTNSDGLVITNNTWTYKIPTVDTIPKQFNVEIFNSGNHKNRVLSSKASGEPPLTLAVSVHCATRAAIREARRQLVSWSGPNEAGSTLVQLEVPATMPVVKELCGLDSVQKFLQWTTAKN >KJB35521 pep chromosome:Graimondii2_0_v6:6:36801967:36807523:-1 gene:B456_006G118300 transcript:KJB35521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVSLYASLVNADKTNNPAEPSPGFSKLTVSEAEKSIAGNLCRCTGYRPIVDACKSFATDVDMEDLGFNSFWRKGESNEVKLSRLAPYNCNYVTRVFPEFLKKETAVGPNLGSERYHWYSPVSLEELQCLLQMEANGGTSMKIIVGNTGMGYYKELVHYDKYIDLRYIPELSIVRKDSTGIEIGAAVMISKAIEALKEENEGGFSKEAKLVFEKIGDHMDKIASKFIRNSGSVGGNLMMAQRKHFPSDIATILLSVDTILEIMTGERRLKLTLEEFLGRPPLDSKTVLLSIKIPCWESSRDISSDACNKLFFETYRAAPRPLGNALPYLNAAFLAQVSLCKNPTGFMLKNCRFAFGAYGTKHSIRVKEVEEFLYGKLLSFGVLYEAIKLLETLVIPEDGTSTPAYRSSLAVGFLFEFLSPLIDSPIDFSDGLVSGFNSTSLSKNSNQQLDLGQIEFPTLLSSSRQVIQLNKEYHPVGEPTIKTGAILQASGEAIYIDDIPSPKDCLYGAFIYSLEPRARVKGIKFNPGSSPKGVIALITSKDIPGLNLGSKIVFGTEPLYADDLTEGVGQRIAFVVADTQKNADIAADLAVVDYDKENLEPPILSVEEAVERSSFYAPFFYPEQCGDFSKGMAEADHRILNSQIKLGSQYYFYMETQTALAVPDEDNCMVVYSSIQCPEFAHDTIAGCLGVPAHNVRVKTRRLGGGFGGKAVKSIAVASACAVAAYKLNRPVRIYMDRKTDMIMSGGRHPMKITYSVGFKSSGKITALKLDILIDSGMFVDVSPILPDHMLGSLKKYDWGALSFDIKLCKTNLPSRAAMRAPGDVQASYIAEVVIEHIASTLSMDVDSVRNINLHTHNSLDLFFASAAGEPSEYTLPSIWDKLATTSSFYHRTEMVKEFNRCHKWKKRGISRVPIVHEVKMRSTPGKVSILRDGSIVVEVGGIEMGQGLWTKVKQMTAYGLGLVKCGGTQDLLEKVRVIEADTLSVIQGGMTAGSTTSESSCEAVRLCCKVLVERLVPLKQRLMEQIGSLEWETLILEAYRNSVNLSASSLYVPNFSTMHYLNYAAAVSEVEVNLITGETTILQTDIIYDCGESLNPAVDLGQIEGAYVQGIGFFMLEEYTTNSDGLVITNNTWTYKIPTVDTIPKQFNVEIFNSGNHKNRVLSSKASGEPPLTLAVSVHCATRAAIREARRQLVSWSGPNEAGSTLVQLEVPATMPVVKELCGLDSVQKFLQWTTAKN >KJB35519 pep chromosome:Graimondii2_0_v6:6:36801617:36807540:-1 gene:B456_006G118300 transcript:KJB35519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTTKQRFVFAVNGQRFEVCSIDPSTTLLEFLRSQTPFKSVKLSCGEGGCGACVVLLSKYDHVHNKVEDFTVSSCLTLLCSINGCSITTAEGIGNSKDGFHPIQQRFSGFHASQCGFCTPGMCVSLYASLVNADKTNNPAEPSPGFSKLTVSEAEKSIAGNLCRCTGYRPIVDACKSFATDVDMEDLGFNSFWRKGESNEVKLSRLAPYNCNYVTRVFPEFLKKETAVGPNLGSERYHWYSPVSLEELQCLLQMEANGGTSMKIIVGNTGMGYYKELVHYDKYIDLRYIPELSIVRKDSTGIEIGAAVMISKAIEALKEENEGGFSKEAKLVFEKIGDHMDKIASKFIRNSGSVGGNLMMAQRKHFPSDIATILLSVDTILEIMTGERRLKLTLEEFLGRPPLDSKTVLLSIKIPCWESSRDISSDACNKLFFETYRAAPRPLGNALPYLNAAFLAQVSLCKNPTGFMLKNCRFAFGAYGTKHSIRVKEVEEFLYGKLLSFGVLYEAIKLLETLVIPEDGTSTPAYRSSLAVGFLFEFLSPLIDSPIDFSDGLVSGFNSTSLSKNSNQQLDLGQIEFPTLLSSSRQVIQLNKEYHPVGEPTIKTGAILQASGEAIYIDDIPSPKDCLYGAFIYSLEPRARVKGIKFNPGSSPKGVIALITSKDIPGLNLGSKIVFGTEPLYADDLTEGVGQRIAFVVADTQKNADIAADLAVVDYDKENLEPPILSVEEAVERSSFYAPFFYPEQCGDFSKGMAEADHRILNSQIKLGSQYYFYMETQTALAVPDEDNCMVVYSSIQCPEFAHDTIAGCLGVPAHNVRVKTRRLGGGFGGKAVKSIAVASACAVAAYKLNRPVRIYMDRKTDMIMSGGRHPMKITYSVGFKSSGKITALKLDILIDSGMFVDVSPILPDHMLGSLKKYDWGALSFDIKLCKTNLPSRAAMRAPGDVQASYIAEVVIEHIASTLSMDVDSVRNINLHTHNSLDLFFASAAGEPSEYTLPSIWDKLATTSSFYHRTEMVKEFNRCHKWKKRGISRVPIVHEVKMRSTPGKVSILRDGSIVVEVGGIEMGQGLWTKVKQMTAYGLGLVKCGGTQDLLEKVRVIEADTLSVIQGGMTAGSTTSESSCEAVRLCCKVLVERLVPLKQRLMEQIGSLEWETLILEAYRNSVNLSASSLYVPNFSTMHYLNYAAAVSEVEVNLITGETTILQTDIIYDCGESLNPAVDLGQIEGAYVQGIGFFMLEEYTTNSDGLVITNNTWTYKIPTVDTIPKQFNVEIFNSGNHKNRVLSSKASGEPPLTLAVSVHCATRAAIREARRQLVSWSGPNEAGSTLVQLEVPATMPVVKELCGLDSVQKFLQWTTAKN >KJB37702 pep chromosome:Graimondii2_0_v6:6:46941077:46942186:1 gene:B456_006G216400 transcript:KJB37702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELDLLSLTQLQKLAQSQQNHNHHQLDTNLATVGSLMWYPKGQSHEVDDDSWEVRAFAEDTGNVMGTTWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRARLHQAHPAAAGNGALNNPTSPSSPSTTSSSTLLIPTQEFVTNGGLCLLYQLHNPSDGVLTSSPPMDAWPIDSSSTLLSVSPYPSYNLMAVTAATQSPINFPATPPMLNSSSSSSSSSSSSFCYSTKAVHSRTRGDNNNLNQGLNISNKEIPLEELDLELRLGHKRPTTS >KJB37643 pep chromosome:Graimondii2_0_v6:6:47941927:47943033:1 gene:B456_006G228700 transcript:KJB37643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIHQLLLRGLKVTSKNLHFLNPILSFHSSSNNGQTLVLPFSSHPSIPFGVENLQDVPISFVPYFVEAMAQLYDLLFQWFQTNQSPPVAISFRGYPCPFNINFAARSLLPSLRSWGHHFQYVFGEDSDKMEIIKEEFTNHDRIWAVGPLLPIKATNNERGAPSSIPRDQVIEWLDSCNRDNSRRFHFIWGIEGDSQNLLPLGFEERLAGKGLMIKGWVPQQAIMGHRAVGSYLTHCGWNSAVEGLLGGALLLAWPMQVDHFDNSNLLIDELGVAIRASEGLITVPDSNKLAQVLSEPVSADRPERVRAMKLRQVGLDAIPKGGS >KJB34749 pep chromosome:Graimondii2_0_v6:6:30932845:30939528:-1 gene:B456_006G081600 transcript:KJB34749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKRTAEENKKKGILQLGNYELGRNLGEGNFGKVKLAKNLHSGLTFAVKILEKSKLIQLSIADQIKREIATLKLLKHPNVVRLHEVLASKTKIYMVLEYATGGELFVRIASKGKLSEAEGRKFFQQLIDGVSYCHNKGVFHRDLKLENVLIDDKGNIKISDFGLSALPQHIREDGLLHTTCGSPNYVAPEILANRGYDGATSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDPQIPKWLSPGARNMIRRILDPNPETRITMAGIKEDDWFKQDYTPAVPDDEEEDIYIDDEAFSMCEVGCDGGRSQESPTLINAFQLIGMSSCLDLSGFFEKEDVSERKIRFTSNRSGKDLLAKIQDTVTEMGFRVQMRNGKLKATQEHTVQKRLASLSVAAEVFEISPSLYVVELRKSYGDSTVYRQLCTKLFNDFGDPQGQGLVSTEA >KJB34750 pep chromosome:Graimondii2_0_v6:6:30932849:30939420:-1 gene:B456_006G081600 transcript:KJB34750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKRTAEENKKKGILQLGNYELGRNLGEGNFGKVKLAKNLHSGLTFAVKILEKSKLIQLSIADQIKREIATLKLLKHPNVVRLHEVLASKTKIYMVLEYATGGELFVRIASKGKLSEAEGRKFFQQLIDGVSYCHNKGVFHRDLKLENVLIDDKGNIKISDFGLSALPQHIREDGLLHTTCGSPNYVAPEILANRGYDGATSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDPQIPKWLSPGARNMIRRILDPNPETRITMAGIKEDDWFKQDYTPAVPDDEEEDIYIDDEAFSMCEVGCDGGRSQESPTLINAFQLIGMSSCLDLSGFFEKEDVSERKIRFTSNRSGKDLLAKIQDTVTEMGFRVQMRNGKLKATQEHTVQKRLASLSVAAEVFEISPSLYVVELRKSYGDSTVYRQGQGLVSTEA >KJB37427 pep chromosome:Graimondii2_0_v6:6:45982516:45985405:-1 gene:B456_006G203800 transcript:KJB37427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLLNSLPLGFRFRPTDEELIHFYLRSKINGNRNDEIEIIREIDVCKCEPWDLPDLSAIKTQDPEWFFFCPLDRKYPTGNRLNRATEAGYWKATGKDRKIKSGSTLIGMKKTLVFYNGRAPRGKRTNWVMHEYRTTLDELDGTKPGQNAFVICRIFKKQDETIEDINSDEVDPSVLSPTEDMASELEVPQASPTVKQEAEKACDTSETCFASFPDEVISNAVAPIFEGNSDNHDNYNEIDQVAEISPAEVDLLEEALNHFYDPMMEPLFSPLHNQIEAEQAPWMFDHVGNCYNVEFGHGTNENDPYISNFLESILKNSDDYHGDDTGSQKNSESETHTTMTIGKDGGFCSKPDSEVAQVLLGTDTVNGVPPIGTAQDCGIPNSDAELFSNHMNTSCDIDGAITGIRTRSRPSRSQLDSENPLAQGNASRRLRLQCKLQVHSLHCDNAIYNRSDVEIEDDSKSVVTKEEKVVEKDITVGCNADFGIVLGSKTIVSVRKETSSRWSKVFSVHHSRKPFAVVFRVAVMLILFIVLISTPKAL >KJB37423 pep chromosome:Graimondii2_0_v6:6:45981416:45985390:-1 gene:B456_006G203800 transcript:KJB37423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLLNSLPLGFRFRPTDEELIHFYLRSKINGNRNDEIEIIREIDVCKCEPWDLPDLSAIKTQDPEWFFFCPLDRKYPTGNRLNRATEAGYWKATGKDRKIKSGSTLIGMKKTLVFYNGRAPRGKRTNWVMHEYRTTLDELDGTKPGQNAFVICRIFKKQDETIEDINSDEVDPSVLSPTEDMASELEVPQASPTVKQEAEKACDTSETCFASFPDEVISNAVAPIFEGNSDNHDNYNEIDQVAEISPAEVDLLEEALNHFYDPMMEPLFSPLHNQIEAEQAPWMFDHVGNCYNVEFGHGTNENDPYISNFLESILKNSDDYHGDDTGSQKNSESETHTTMTIGKDGGFCSKPDSEVAQVLLGTDTVNGVPPIGTAQDCGIPNSDAELFSNHMNTSCDIDGAITGIRTRSRPSRSQLDSENPLAQGNASRRLRLQCKLQVHSLHCDNAIYNRSDVEIEDDSKSVVTKEEKVVEKDITVGCNADFGIVLGSKTIVSVRKETSSRWSKVFGEFSDSQTSIP >KJB37428 pep chromosome:Graimondii2_0_v6:6:45983659:45985291:-1 gene:B456_006G203800 transcript:KJB37428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLLNSLPLGFRFRPTDEELIHFYLRSKINGNRNDEIEIIREIDVCKCEPWDLPDLSAIKTQDPEWFFFCPLDRKYPTGNRLNRATEAGYWKATGKDRKIKSGSTLIGMKKTLVFYNGRAPRGKRTNWVMHEYRTTLDELDGTKPGQNAFVICRIFKKQDETIEDINSDEVDPSVLSPTEDMASELEVPQASPTVKQEAEKACDTSETCFASFPDEVISNAVAPIFEGNSDNHDNYNEIDQVAEISPAEVDLLEEALNHFYDPMMEPLFSPLHNQIEAEQAPWMFDHVGNCYNVEFGHGTNENDPYISNFLESILKNSDDYHGDDTGSQKNSESETHTTMTIGKDGGFCSKPDSEVAQVLVSRGKLVTCHLSYSF >KJB37426 pep chromosome:Graimondii2_0_v6:6:45982516:45985291:-1 gene:B456_006G203800 transcript:KJB37426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLLNSLPLGFRFRPTDEELIHFYLRSKINGNRNDEIEIIREIDVCKCEPWDLPDLSAIKTQDPEWFFFCPLDRKYPTGNRLNRATEAGYWKATGKDRKIKSGSTLIGMKKTLVFYNGRAPRGKRTNWVMHEYRTTLDELDGTKPGQNAFVICRIFKKQDETIEDINSDEVDPSVLSPTEDMASELEVPQASPTVKQEAEKACDTSETCFASFPDEVISNAVAPIFEGNSDNHDNYNEIDQVAEISPAEVDLLEEALNHFYDPMMEPLFSPLHNQIEAEQAPWMFDHVGNCYNVEFGHGTNENDPYISNFLESILKNSDDYHGDDTGSQKNSESETHTTMTIGKDGGFCSKPDSEVAQVLLGTDTVNGVPPIGTAQDCGIPNSDAELFSNHMNTSCDIDGAITGIRTRSRPSRSQLDSENPLAQGNASRRLRLQCKLQVHSLHCDNAIYNRSDVEIEDDSKSVVTKVRRKSSGKGYHCRL >KJB37424 pep chromosome:Graimondii2_0_v6:6:45983295:45985146:-1 gene:B456_006G203800 transcript:KJB37424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLLNSLPLGFRFRPTDEELIHFYLRSKINGNRNDEIEIIREIDVCKCEPWDLPDLSAIKTQDPEWFFFCPLDRKYPTGNRLNRATEAGYWKATGKDRKIKSGSTLIGMKKTLVFYNGRAPRGKRTNWVMHEYRTTLDELDGTKPGQNAFVICRIFKKQDETIEDINSDEVDPSVLSPTEDMASELEVPQASPTVKQEAEKACDTSETCFASFPDEVISNAVAPIFEGNSDNHDNYNEIDQVAEISPAEVDLLEEALNHFYDPMMEPLFSPLHNQIEAEQAPWMFDHVGNCYNVEFGHGTNENDPYISNFLESILKNSDDYHGDDTGSQKNSESETHTTMTIGKDGGFCSKPDSEVAQVLLGTDTVNGVPPIGTAQDCGIPNSDAELFSNHMNTSCDIDGAITGIRTRSRPSRSQLDSENPLAQGNASRRLRLQCKLQVHSLHCDNAIYNRSDVEIEDDSKSVVTKVRSL >KJB37425 pep chromosome:Graimondii2_0_v6:6:45983295:45984571:-1 gene:B456_006G203800 transcript:KJB37425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYSFFLQNAFVICRIFKKQDETIEDINSDEVDPSVLSPTEDMASELEVPQASPTVKQEAEKACDTSETCFASFPDEVISNAVAPIFEGNSDNHDNYNEIDQVAEISPAEVDLLEEALNHFYDPMMEPLFSPLHNQIEAEQAPWMFDHVGNCYNVEFGHGTNENDPYISNFLESILKNSDDYHGDDTGSQKNSESETHTTMTIGKDGGFCSKPDSEVAQVLLGTDTVNGVPPIGTAQDCGIPNSDAELFSNHMNTSCDIDGAITGIRTRSRPSRSQLDSENPLAQGNASRRLRLQCKLQVHSLHCDNAIYNRSDVEIEDDSKSVVTKVRSL >KJB34086 pep chromosome:Graimondii2_0_v6:6:16231829:16235585:-1 gene:B456_006G048400 transcript:KJB34086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIICGVPLLECVYCLACARWAWKRCLHTAGHDSETWGLATAEEFEPVPRLCRYILAVYEEDLRHPLFEPPGGYGINPDWLILRKTYENTQGRAPPYILYLDHDHADIVLAIRGLNLAKESDYQVLLDNKLGKKKFDGGYVHNGLLKAAGWVLEAECDILKELVEKHPNYTLTFAGHSLGSGVAAMLALVVVRHHDKLGNIDRRRIRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSVFCLPCLLCMRCMRDTCIPEEKMLRDPRRLYAPGRLYHIVERKPFRLGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREARRAMDLMLEKDRIMEIPAKQRMERQETLAREHSEEHKAALQRAVTLSVPHAYLPSRYGTFDEHEDGDNSHKSTGESSVGSSGKSKTKVSWNELIERLFEKDESGLMLLKESHRDD >KJB34091 pep chromosome:Graimondii2_0_v6:6:16231829:16235597:-1 gene:B456_006G048400 transcript:KJB34091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIICGVPLLECVYCLACARWAWKRCLHTAGHDSETWGLATAEEFEPVPRLCRYILAVYEEDLRHPLFEPPGGYGINPDWLILRKTYENTQGRAPPYILYLDHDHADIVLAIRGLNLAKESDYQVLLDNKLGKKKFDGGYVHNGLLKAAGWVLEAECDILKELVEKHPNYTLTFAGHSLGSGVAAMLALVVVRHHDKLGNIDRRRIRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSVFCLPCLLCMRCMRDTCIPEEKMLRDPRRLYAPGRLYHIVERKPFRLGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREARRAMDLMLEKDRIMEIPAKQRMERQETLAREHSEEHKAALQRAVTLSVPHAYLPSRYGTFDEHEDGDNSHKSTGESSVGSSGKSKTKVSWNELIERLFEKDESGLMLLKESHRDD >KJB34088 pep chromosome:Graimondii2_0_v6:6:16232137:16234745:-1 gene:B456_006G048400 transcript:KJB34088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIICGVPLLECVYCLACARWAWKRCLHTAGHDSETWGLATAEEFEPVPRLCRYILAVYEEDLRHPLFEPPGGYGINPDWLILRKTYENTQGRAPPYILYLDHDHADIVLAIRGLNLAKESDYQVLLDNKLGKKKFDGGYVHNGLLKAAGWVLEAECDILKELVEKHPNYTLTFAGHSLGSGVAAMLALVVVRHHDKLGNIDRRRIRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSVFCLPCLLCMRCMRDTCIPEEKMLRDPRRLYAPGRLYHIVERKPFRLGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREARRAMDLMLEKDRIMEIPAKQRMERQETLAREHSEEHKAALQRAVTLSVPHAYLPSRYGTFDEHEDGDNSHKSTGESSVGSSGKSKTKVSWNELIERLFEKDESGLMLLKESHRDD >KJB34087 pep chromosome:Graimondii2_0_v6:6:16231790:16235585:-1 gene:B456_006G048400 transcript:KJB34087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIICGVPLLECVYCLACARWAWKRCLHTAGHDSETWGLATAEEFEPVPRLCRYILAVYEEDLRHPLFEPPGGYGINPDWLILRKTYENTQGRAPPYILYLDHDHADIVLAIRGLNLAKESDYQVLLDNKLGKKKFDGGYVHNGLLKAAGWVLEAECDILKELVEKHPNYTLTFAGHSLGSGVAAMLALVVVRHHDKLGNIDRRRIRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSVFCLPCLLCMRCMRDTCIPEEKMLRDPRRLYAPGRLYHIVERKPFRLGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREARRAMDLMLEKDRIMEIPAKQRMERQETLAREHSEEHKAALQRAVTLSVPHAYLPSRYGTFDEHEDGDNSHKSTGESSVGSSGKSKTKVSWNELIERLFEKDESGLMLLKESHRDD >KJB34090 pep chromosome:Graimondii2_0_v6:6:16232586:16234745:-1 gene:B456_006G048400 transcript:KJB34090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIICGVPLLECVYCLACARWAWKRCLHTAGHDSETWGLATAEEFEPVPRLCRYILAVYEEDLRHPLFEPPGGYGINPDWLILRKTYENTQGRAPPYILYLDHDHADIVLAIRGLNLAKESDYQVLLDNKLGKKKFDGGYVHNGLLKAAGWVLEAECDILKELVEKHPNYTLTFAGHSLGSGVAAMLALVVVRHHDKLGNIDRRRIRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSVFCLPCLLCMRCMRDTCIPEEKMLRDPRRLYAPGRLYHIVERKPFRLGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREARRAMDWYRWIKAMIKKNRPVHFN >KJB34089 pep chromosome:Graimondii2_0_v6:6:16232694:16234745:-1 gene:B456_006G048400 transcript:KJB34089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIICGVPLLECVYCLACARWAWKRCLHTAGHDSETWGLATAEEFEPVPRLCRYILAVYEEDLRHPLFEPPGGYGINPDWLILRKTYENTQGRAPPYILYLDHDHADIVLAIRGLNLAKESDYQVLLDNKLGKKKFDGGYVHNGLLKAAGWVLEAECDILKELVEKHPNYTLTFAGHSLGSGVAAMLALVVVRHHDKLGNIDRRRIRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSVFCLPCLLCMRCMRDTCIPEEKMLRDPRRLYAPGRLYHIVERKPFRLGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREARRAMDVSLNLLYFSW >KJB35335 pep chromosome:Graimondii2_0_v6:6:35442813:35444155:1 gene:B456_006G1096002 transcript:KJB35335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTREMKEMIRENDEHQNQDMELDNVAKFLQGKTILVTGATGFLAKVFIEKILRLQLNVNKLYLLLRTSNDKFATQRLEDEIISTELFRILRDKWGSKFDALIS >KJB37315 pep chromosome:Graimondii2_0_v6:6:45665493:45667092:-1 gene:B456_006G199400 transcript:KJB37315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLSAAVTPKPFRSFPLLKPAPIFHPLLHLPKTTTYAARRKKSFAVCFVVDEEQKQSAPTQIVEQGFEDARDRQILIPSRLSEKLARKRSERFTYLVAAVMSSFGITSMSVMAVYYRFWWQMEGGEVPLSEMFGTFTLAVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAITNAVPAIALLSYGFFNKGLVPGLCFGAVSFFSAAFSLMEEKKRCSLLDFSNKFRMDEMRGQGLGITMFGMAYMFVHDGLVHKRFPVGPIANVPYFRKVAAAHQLHHSEKFNGVPYGLFLGPKEVEDVGGHEELEKEINRRIKSSKGS >KJB37319 pep chromosome:Graimondii2_0_v6:6:45665140:45667276:-1 gene:B456_006G199400 transcript:KJB37319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLSAAVTPKPFRSFPLLKPAPIFHPLLHLPKTTTYAARRKKSFAVCFVVDEEQKQSAPTQIVEQGFEDARDRQILIPSRLSEKLARKRSERFTYLVAAVMSSFGITSMSVMAVYYRFWWQMEGGEVPLSEMFGTFTLAVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAITNAVPAIALLSYGFFNKGLVPGLCFGGRGLV >KJB37314 pep chromosome:Graimondii2_0_v6:6:45665140:45667276:-1 gene:B456_006G199400 transcript:KJB37314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLSAAVTPKPFRSFPLLKPAPIFHPLLHLPKTTTYAARRKKSFAVCFVVDEEQKQSAPTQIVEQGFEDARDRQILIPSRLSEKLARKRSERFTYLVAAVMSSFGITSMSVMAVYYRFWWQMEGGEVPLSEMFGTFTLAVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAITNAVPAIALLSYGFFNKGLVPGLCFGAGLGITMFGMAYMFVHDGLVHKRFPVGSGGCGRT >KJB37318 pep chromosome:Graimondii2_0_v6:6:45665140:45667276:-1 gene:B456_006G199400 transcript:KJB37318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLSAAVTPKPFRSFPLLKPAPIFHPLLHLPKTTTYAARRKKSFAVCFVVDEEQKQSAPTQIVEQGFEDARDRQILIPSRLSEKLARKRSERFTYLVAAVMSSFGITSMSVMAVYYRFWWQMEVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAITNAVPAIALLSYGFFNKGLVPGLCFGAGLGITMFGMAYMFVHDGLVHKRFPVGPIANVPYFRKVAAAHQLHHSEKFNGVPYGLFLGPKEVEDVGGHEELEKEINRRIKSSKGS >KJB37317 pep chromosome:Graimondii2_0_v6:6:45665493:45667092:-1 gene:B456_006G199400 transcript:KJB37317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLSAAVTPKPFRSFPLLKPAPIFHPLLHLPKTTTYAARRKKSFAVCFVVDEEQKQSAPTQIVEQGFEDARDRQILIPSRLSEKLARKRSERFTYLVAAVMSSFGITSMSVMAVYYRFWWQMEGGEVPLSEMFGTFTLAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAITNAVPAIALLSYGFFNKGLVPGLCFGAGLGITMFGMAYMFVHDGLVHKRFPVGPIANVPYFRKVAAAHQLHHSEKFNGVPYGLFLGPKEVEDVGGHEELEKEINRRIKSSKGS >KJB37313 pep chromosome:Graimondii2_0_v6:6:45665108:45667304:-1 gene:B456_006G199400 transcript:KJB37313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLSAAVTPKPFRSFPLLKPAPIFHPLLHLPKTTTYAARRKKSFAVCFVVDEEQKQSAPTQIVEQGFEDARDRQILIPSRLSEKLARKRSERFTYLVAAVMSSFGITSMSVMAVYYRFWWQMEGGEVPLSEMFGTFTLAVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAITNAVPAIALLSYGFFNKGLVPGLCFGAGLGITMFGMAYMFVHDGLVHKRFPVGPIANVPYFRKVAAAHQLHHSEKFNGVPYGLFLGPKEVEDVGGHEELEKEINRRIKSSKGS >KJB37316 pep chromosome:Graimondii2_0_v6:6:45666017:45667092:-1 gene:B456_006G199400 transcript:KJB37316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLSAAVTPKPFRSFPLLKPAPIFHPLLHLPKTTTYAARRKKSFAVCFVVDEEQKQSAPTQIVEQGFEDARDRQILIPSRLSEKLARKRSERFTYLVAAVMSSFGITSMSVMAVYYRFWWQMEGGEVPLSEMFGTFTLAVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAITNAVPAIALLSYGFFNKGLVPGLCFGAGRGLV >KJB35656 pep chromosome:Graimondii2_0_v6:6:37425167:37429167:1 gene:B456_006G1227001 transcript:KJB35656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMDMEHELRALRVQLAEKSKHSLLLQKEVVVTQMNGVDQPSESIHVLHVGKMRMKLCKGKATIAKEYYSGLMQLCGVRGGGNAAAQALFWQAKKEFSVVLAFESERDRNAAIMLARRFAFDCNIMLAGPDDRASLGT >KJB35655 pep chromosome:Graimondii2_0_v6:6:37425167:37429272:1 gene:B456_006G1227001 transcript:KJB35655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMDMEHELRALRVQLAEKSKHSLLLQKELARSKRVKENLSHLYELDGAEVLGSYLRIKPCSDIAPELSKCAIQWYRISSEGGKKELISGAHKSVYAPEPFDVGRILQVEIIYDGQLIMLTTTGAIDPAAGLGNYVEALVRKHDVEFNVVVTQMNGVDQPSESIHVLHVGKMRMKLCKGKATIAKEYYSGLMQLCGVRGGGNAAAQALFWQAKKEFSVVLAFESERDRNAAIMLARRFAFDCNSFFRNLNRLAYGSVLLIHCNYVE >KJB35654 pep chromosome:Graimondii2_0_v6:6:37425167:37428736:1 gene:B456_006G1227001 transcript:KJB35654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMDMEHELRALRVQLAEKSKHSLLLQKELARSKRVKENLSHLYELDGAEVLGSYLRIKPCSDIAPELSKCAIQWYRISSEGGKKELISGAHKSVYAPEPFDVGRILQVEIIYDGQLIMLTTTGAIDPAAGLGNYVEALVRKHDVEFNVVVTQMNGVDQPSESIHVLHVGKMRMKLCKGKATIAKEYYSGLMQLCGVRGGGNAAAQALFWQAKKEFSVVLAFESERDRNAAIMLARRFAFDCNVSFKWFSKF >KJB35659 pep chromosome:Graimondii2_0_v6:6:37425167:37429166:1 gene:B456_006G1227001 transcript:KJB35659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMDMEHELRALRVQLAEKSKHSLLLQKELARSKRVKENLSHLYELDGAEVLGSYLRIKPCSDIAPELSKCAIQWYRISSEGGKKELISGAHKSVYAPEPFDVGRILQVEIIYDGQLIMLTTTGAIDPAAGLGNYVEALVRKHDVEFNVVVTQMNGVDQPSESIHVLHVGKMRMKLCKGKATIAKEYYSGLMQLCGVRGGGNAAAQALFWQAKKEFSVVLAFESERDRNAAIMLARRFAFDCNIMLAGPDDRASLGT >KJB35657 pep chromosome:Graimondii2_0_v6:6:37425167:37429167:1 gene:B456_006G1227001 transcript:KJB35657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMDMEHELRALRVQLAEKSKHSLLLQKELARSKRVKENLSHLYELDGAEVLGSYLRIKPCSDIAPELSKCAIQWYRISSEGGKKELISGAHKSVYAPEPFDVGRILQVEIIYDGQLIMLTTTGAIDPAAAGLGNYVEALVRKHDVEFNVVVTQMNGVDQPSESIHVLHVGKMRMKLCKGKATIAKEYYSGLMQLCGVRGGGNAAAQALFWQAKKEFSVVLAFESERDRNAAIMLARRFAFDCNIMLAGPDDRASLGT >KJB35658 pep chromosome:Graimondii2_0_v6:6:37425167:37429167:1 gene:B456_006G1227001 transcript:KJB35658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMDMEHELRALRVQLAEKSKHSLLLQKELARSKRVKENLSHLYELDGAEVLGSYLRIKPCSDIAPELSKCAIQWYRISSEGGKKELISGAHKSVYAPEPFDVGRILQVEIIYDGQLIMLTTTGAIDPAAGLGNYVEALVRKHDVEFNVCFVTQMNGVDQPSESIHVLHVGKMRMKLCKGKATIAKEYYSGLMQLCGVRGGGNAAAQALFWQAKKEFSVVLAFESERDRNAAIMLARRFAFDCNIMLAGPDDRASLGT >KJB38167 pep chromosome:Graimondii2_0_v6:6:48693398:48696424:-1 gene:B456_006G240100 transcript:KJB38167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFVDSKYGAACCILLGSFSVAFSDVVVDSMVVERSRGESQSVSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVKEQRVIGQARGPNVPLGNSSFLKSSKENIIQLWNAVRQPNVFLPTVFIFLWQATPQSDSAMFFFTTNKLGFTPEFLGRVKLVTSVASLAGVGLYNGFLKNVPLRKIFLATTVTGTVLGMTQVFLVTGLNRQFGISDEWFAIGDSLIITVLSQASFMPVLVLAAKLCPEGMEATLFATLMSISNGGNVLGGLIGAGLTQIFGVTKDKFDNLAALIILCNLSSLLPLPLLHLLPRDDSDTASKVKM >KJB38163 pep chromosome:Graimondii2_0_v6:6:48693201:48697834:-1 gene:B456_006G240100 transcript:KJB38163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVLPLSSREDEPFIGAGNGDSLAIEMEQETSTSTSSGGSSRKKNSRLKCFGVDLSPDNVAVAMVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVVTGFSALPWLVKPLYGFISDSIPLFGYRRRSYLVLSGLLGALSWSLMATFVDSKYGAACCILLGSFSVAFSDVVVDSMVVERSRGESQSVSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVKEQRVIGQARGPNVPLGNSSFLKSSKENIIQLWNAVRQPNVFLPTVFIFLWQATPQSDSAMFFFTTNKLGFTPEFLGRVKLVTSVASLAGVGLYNGFLKNVPLRKIFLATTVTGTVLGMTQVFLVTGLNRQFGISDEWFAIGDSLIITVLSQASFMPVLVLAAKLCPEGMEATLFATLMSISNGGNVLGGLIGAGLTQIFGVTKDKFDNLAALIILCNLSSLLPLPLLHLLPRDDSDTASKVKM >KJB38169 pep chromosome:Graimondii2_0_v6:6:48693398:48696424:-1 gene:B456_006G240100 transcript:KJB38169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFVDSKYGAACCILLGSFSVAFSDVVVDSMVVERSRGESQSVSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVKEQRVIGQARGPNVPLGNSSFLKSSKENIIQLWNAVRQPNVFLPTVFIFLWQATPQSDSAMFFFTTNKLGFTPEFLGRVKLVTSVASLAGVGLYNGFLKNVPLRKIFLATTVTGTVLGMTQVFLVTGLNRQFGISDEWFAIGDSLIITVLSQASFMPVLVLAAKLCPEGMEATLFATLMSISNGGNVLGGLIGAGLTQIFGVTKDKFDNLAALIILCNLSSLLPLPLLHLLPRDDSDTASKVKM >KJB38166 pep chromosome:Graimondii2_0_v6:6:48693201:48697834:-1 gene:B456_006G240100 transcript:KJB38166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVLPLSSREDEPFIGARNSAGNGDSLAIEMEQETSTSTSSGGSSRKKNSRLKCFGVDLSPDNVAVAMVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVVTGFSALPWLVKPLYGFISDSIPLFGYRRRSYLVLSGLLGALSWSLMATFVDSKYGAACCILLGSFSVAFSDVVVDSMVVERSRGESQSVSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVKEQRVIGQARGPNVPLGNSSFLKSSKENIIQLWNAVRQPNVFLPTVFIFLWQATPQSDSAMFFFTISRTCQTCYFSCIAGWSWTV >KJB38168 pep chromosome:Graimondii2_0_v6:6:48693201:48697834:-1 gene:B456_006G240100 transcript:KJB38168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFVDSKYGAACCILLGSFSVAFSDVVVDSMVVERSRGESQSVSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVKEQRVIGQARGPNVPLGNSSFLKSSKENIIQLWNAVRQPNVFLPTVFIFLWQATPQSDSAMFFFTTNKLGFTPEFLGRVKLVTSVASLAGVGLYNGFLKNVPLRKIFLATTVTGTVLGMTQVFLVTGLNRQFGISDEWFAIGDSLIITVLSQASFMPVLVLAAKLCPEGMEATLFATLMSISNGGNVLGGLIGAGLTQIFGVTKDKFDNLAALIILCNLSSLLPLPLLHLLPRDDSDTASKVKM >KJB38170 pep chromosome:Graimondii2_0_v6:6:48693398:48696424:-1 gene:B456_006G240100 transcript:KJB38170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFVDSKYGAACCILLGSFSVAFSDVVVDSMVVERSRGESQSVSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVKEQRVIGQARGPNVPLGNSSFLKSSKENIIQLWNAVRQPNVFLPTVFIFLWQATPQSDSAMFFFTTNKLGFTPEFLGRVKLVTSVASLAGVGLYNGFLKNVPLRKIFLATTVTGTVLGMTQVFLVTGLNRQFGISDEWFAIGDSLIITVLSQASFMPVLVLAAKLCPEGMEATLFATLMSISNGGNVLGGLIGAGLTQIFGVTKDKFDNLAALIILCNLSSLLPLPLLHLLPRDDSDTASKVKM >KJB38161 pep chromosome:Graimondii2_0_v6:6:48692875:48697961:-1 gene:B456_006G240100 transcript:KJB38161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVLPLSSREDEPFIGARNSAGNGDSLAIEMEQETSTSTSSGGSSRKKNSRLKCFGVDLSPDNVAVAMVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVVTGFSALPWLVKPLYGFISDSIPLFGYRRRSYLVLSGLLGALSWSLMATFVDSKYGAACCILLGSFSVAFSDVVVDSMVVERSRGESQSVSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVKEQRVIGQARGPNVPLGNSSFLKSSKENIIQLWNAVRQPNVFLPTVFIFLWQATPQSDSAMFFFTTNKLGFTPEFLGRVKLVTSVASLAGVGLYNGFLKNVPLRKIFLATTVTGTVLGMTQVFLVTGLNRQFGISDEWFAIGDSLIITVLSQASFMPVLVLAAKLCPEGMEATLFATLMSISNGGNVLGGLIGAGLTQIFGVTKDKFDNLAALIILCNLSSLLPLPLLHLLPRDDSDTASKVYTELEESGEENCKYHGTVTTTSPKLSSIEMTNDL >KJB38164 pep chromosome:Graimondii2_0_v6:6:48695113:48697656:-1 gene:B456_006G240100 transcript:KJB38164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVLPLSSREDEPFIGARNSAGNGDSLAIEMEQETSTSTSSGGSSRKKNSRLKCFGVDLSPDNVAVAMVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVVTGFSALPWLVKPLYGFISDSIPLFGYRRRSYLVLSGLLGALSWSLMATFVDSKYGAACCILLGSFSVAFSDVVVDSMVVERSRGESQSVSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVKEQRVIGQARGPNVPLGNSSFLKSSKENIIQLWNAVRQPNVFLPTVFIFLWQATPQSDSAMFFFTYVMLLCLKFFCHRFIFMSFLFPQQKFLLISAQINLVSPQNF >KJB38165 pep chromosome:Graimondii2_0_v6:6:48693201:48697834:-1 gene:B456_006G240100 transcript:KJB38165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVLPLSSREDEPFIGARNSAGNGDSLAIEMEQETSTSTSSGGSSRKKNSRLKCFGVDLSPDNVAVAMVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVVTGFSALPWLVKPLYGFISDSIPLFGYRRRSYLVLSGLLGALSWSLMATFVDSKYGAACCILLGSFSVAFSDVVVDSMVVERSRGESQSVSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVKEQRVIGQARGPNVPLGNSSFLKSSKENIIQLWNAVRQPNVFLPTVFIFLWQATPQSDSAMFFFTTNKLGFTPEFLGRVKLVTSVASLAGVGLYNGFLKNVPLRKIFLATTVTGLSCHGIEPTVWNK >KJB38162 pep chromosome:Graimondii2_0_v6:6:48693157:48697879:-1 gene:B456_006G240100 transcript:KJB38162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVLPLSSREDEPFIGARNSAGNGDSLAIEMEQETSTSTSSGGSSRKKNSRLKCFGVDLSPDNVAVAMVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVVTGFSALPWLVKPLYGFISDSIPLFGYRRRSYLVLSGLLGALSWSLMATFVDSKYGAACCILLGSFSVAFSDVVVDSMVVERSRGESQSVSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVKEQRVIGQARGPNVPLGNSSFLKSSKENIIQLWNAVRQPNVFLPTVFIFLWQATPQSDSAMFFFTTNKLGFTPEFLGRVKLVTSVASLAGVGLYNGFLKNVPLRKIFLATTVTGTVLGMTQVFLVTGLNRQFGISDEWFAIGDSLIITVLSQASFMPVLVLAAKLCPEGMEATLFATLMSISNGGNVLGGLIGAGLTQIFGVTKDKFDNLAALIILCNLSSLLPLPLLHLLPRDDSDTASKVKM >KJB33062 pep chromosome:Graimondii2_0_v6:6:50938024:50942124:-1 gene:B456_006G273300 transcript:KJB33062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase PHS1 [Source:Projected from Arabidopsis thaliana (AT5G23720) UniProtKB/Swiss-Prot;Acc:Q75QN6] MAQHQKESPAPAIINPLRLQFYMQELQEKEEDKEVDLRSYEPDTPLPLTVTSRVLYMLGDITAGPAYRFSQWLELVRKRSGKNRSSGFPNRPNRLVNMPSCAEESSTDSQSLPSAEQPSEISLWDRLGKAAILDIESISFSWDMLSSLHHTEHSSSAEQSEDEQNKALEVTVNSGGVVFFALFNQPIFDDNSPKEAAAVIKFSSSRMATQSERLGYEFAKWLGIRTPQARVVHNCSPEWLQIKEAAQKARVTATQEGDEVGEVTCSELLEALELSRCLLLMSYVHGLPLLESSSAFDSKEAAERTAAALGRVLMLDLVIRNEDRLPCRQLRWRGNPANLLLTDKTSSANIGSFDEAFDTAIKRFRPRVIRAIQKERRASSVDCRLSTHSPGLTSQSSDLSDIIESPRSSDMSLVGSSFSESFHSDSYIVAIDSGVPRRPPIGKRSNDQVMYPKLVELLLNSSSYSSNLLHDITCGKLGSAPPEDTETTDVQGMEATSVIQEFRSGFRAALRDLQGFHIFLLTLHQKLDSLLRQFLSIINKTTSGDFDKEDYTVPESPYTPCLGGASFPFTPTKERMLSDNQADSSDSESQRSAPKTSSSGHRESLDSSSPVSRDGWHGKFYKGNGEPHRSLRLTAKLRDFNKFAKVDAESSKELEQWNEMLKNDAVKLCQDNNFNTGFFEGSDNNSVVDAYELKVIPLFSFLAFLLCIYYFIF >KJB33057 pep chromosome:Graimondii2_0_v6:6:50936427:50942707:-1 gene:B456_006G273300 transcript:KJB33057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase PHS1 [Source:Projected from Arabidopsis thaliana (AT5G23720) UniProtKB/Swiss-Prot;Acc:Q75QN6] MAQHQKESPAPAIINPLRLQEKEEDKEVDLRSYEPDTPLPLTVTSRVLYMLGDITAGPAYRFSQWLELVRKRSGKNRSSGFPNRPNRLVNMPSCAEESSTDSQSLPSAEQPSEISLWDRLGKAAILDIESISFSWDMLSSLHHTEHSSSAEQSEDEQNKALEVTVNSGGVVFFALFNQPIFDDNSPKEAAAVIKFSSSRMATQSERLGYEFAKWLGIRTPQARVVHNCSPEWLQIKEAAQKARVTATQEGDEVGEVTCSELLEALELSRCLLLMSYVHGLPLLESSSAFDSKEAAERTAAALGRVLMLDLVIRNEDRLPCRQLRWRGNPANLLLTDKTSSANIGSFDEAFDTAIKRFRPRVIRAIQKERRASSVDCRLSTHSPGLTSQSSDLSDIIESPRSSDMSLVGSSFSESFHSDSYIVAIDSGVPRRPPIGKRSNDQVMYPKLVELLLNSSSYSSNLLHDITCGKLGSAPPEDTETTDVQGMEATSVIQEFRSGFRAALRDLQGFHIFLLTLHQKLDSLLRQFLSIINKTTSGDFDKEDYTVPESPYTPCLGGASFPFTPTKERMLSDNQADSSDSESQRSAPKTSSSGHRESLDSSSPVSRDGWHGKFYKGNGEPHRSLRLTAKLRDFNKFAKVDAESSKELEQWNEMLKNDAVKLCQDNNFNTGFFEGSDNNSVVDAYELKVRLEHILDRIALISEAANTEKPSLITSCLFIGGALAARSAYTLQHFGITHILCLCSNEIGQADSQYHDLFKYKNFSIYDNEDSNISSIFEEVCDFIDHVEHTGGRVLVHCFEGKSRSATVVIAYLMLRKNLTLLEAWNSLKRAHRRAQPNDGFARILLDLDRKLHGKVSMEWHQRKPMMKVCPICGKNAGLSSSSLKLHLQKAHKKLSSGSVDSAMTMEIQKALDALKINRGGSVSPTQRQSHSVMDQ >KJB33061 pep chromosome:Graimondii2_0_v6:6:50936452:50942124:-1 gene:B456_006G273300 transcript:KJB33061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase PHS1 [Source:Projected from Arabidopsis thaliana (AT5G23720) UniProtKB/Swiss-Prot;Acc:Q75QN6] MAQHQKESPAPAIINPLRLQFYMQELQEKEEDKEVDLRSYEPDTPLPLTVTSRVLYMLGDITAGPAYRFSQWLELVRKRSGKNRSSGFPNRPNRLVNMPSCAEESSTDSQSLPSAEQPSEISLWDRLGKAAILDIESISFSWDMLSSLHHTEHSSSAEQSEDEQNKALEVTVNSGGVVFFALFNQPIFDDNSPKEAAAVIKFSSSRMATQSERLGYEFAKWLGIRTPQARVVHNCSPEWLQIKEAAQKARVTATQEGDEVGEVTCSELLEALELSRCLLLMSYVHGLPLLESSSAFDSKEAAERTAAALGRVLMLDLVIRNEDRLPCRQLRWRGNPANLLLTDKTSSANIGSFDEAFDTAIKRFRPRVIRAIQKERRASSVDCRLSTHSPGLTSQSSDLSDIIESPRSSDMSLVGSSFSESFHSDSYIVAIDSGVPRRPPIGKRSNDQVMYPKLVELLLNSSSYSSNLLHDITCGKLGSAPPEDTETTDVQGMEATSVIQEFRSGFRAALRDLQGFHIFLLTLHQKLDSLLRQFLSIINKTTSGDFDKEDYTVPESPYTPCLGGASFPFTPTKERMLSDNQADSSDSESQRSAPKTSSSGHRESLDSSSPVSRDGWHGKFYKGNGEPHRSLRLTAKLRDFNKFAKVDAESSKELEQWNEMLKNDAVKLCQDNNFNTGFFEGSDNNSVVDAYELKVRLEHILDRIALISEAANTEKPSLITSCLFIGGALAARSAYTLQHFGITHILCLCSNEIGQADSQYHDLFKYKNFSIYDNEDSNISSIFEEVCDFIDHVEHTGGRVLVHCFEGKSRSATVVIAYLMLRKNLTLLEAWNSLKRAHRRAQPNDGFARILLDLDRKLHGKVSMEWHQRKPMMKVCPICGKNAGLSSSSLKLHLQKAHKKLSSGSVDSAMTMEIQKALDALKINRGGSVSPTQRQSHSVMDQ >KJB33058 pep chromosome:Graimondii2_0_v6:6:50936452:50941705:-1 gene:B456_006G273300 transcript:KJB33058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase PHS1 [Source:Projected from Arabidopsis thaliana (AT5G23720) UniProtKB/Swiss-Prot;Acc:Q75QN6] MLDLVIRNEDRLPCRQLRWRGNPANLLLTDKTSSANIGSFDEAFDTAIKRFRPRVIRAIQKERRASSVDCRLSTHSPGLTSQSSDLSDIIESPRSSDMSLVGSSFSESFHSDSYIVAIDSGVPRRPPIGKRSNDQVMYPKLVELLLNSSSYSSNLLHDITCGKLGSAPPEDTETTDVQGMEATSVIQEFRSGFRAALRDLQGFHIFLLTLHQKLDSLLRQFLSIINKTTSGDFDKEDYTVPESPYTPCLGGASFPFTPTKERMLSDNQADSSDSESQRSAPKTSSSGHRESLDSSSPVSRDGWHGKFYKGNGEPHRSLRLTAKLRDFNKFAKVDAESSKELEQWNEMLKNDAVKLCQDNNFNTGFFEGSDNNSVVDAYELKVRLEHILDRIALISEAANTEKPSLITSCLFIGGALAARSAYTLQHFGITHILCLCSNEIGQADSQYHDLFKYKNFSIYDNEDSNISSIFEEVCDFIDHVEHTGGRVLVHCFEGKSRSATVVIAYLMLRKNLTLLEAWNSLKRAHRRAQPNDGFARILLDLDRKLHGKVSMEWHQRKPMMKVCPICGKNAGLSSSSLKLHLQKAHKKLSSGSVDSAMTMEIQKALDALKINRGGSVSPTQRQSHSVMDQ >KJB33060 pep chromosome:Graimondii2_0_v6:6:50937220:50942124:-1 gene:B456_006G273300 transcript:KJB33060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase PHS1 [Source:Projected from Arabidopsis thaliana (AT5G23720) UniProtKB/Swiss-Prot;Acc:Q75QN6] MAQHQKESPAPAIINPLRLQFYMQELQEKEEDKEVDLRSYEPDTPLPLTVTSRVLYMLGDITAGPAYRFSQWLELVRKRSGKNRSSGFPNRPNRLVNMPSCAEESSTDSQSLPSAEQPSEISLWDRLGKAAILDIESISFSWDMLSSLHHTEHSSSAEQSEDEQNKALEVTVNSGGVVFFALFNQPIFDDNSPKEAAAVIKFSSSRMATQSERLGYEFAKWLGIRTPQARVVHNCSPEWLQIKEAAQKARVTATQEGDEVGEVTCSELLEALELSRCLLLMSYVHGLPLLESSSAFDSKEAAERTAAALGRVLMLDLVIRNEDRLPCRQLRWRGNPANLLLTDKTSSANIGSFDEAFDTAIKRFRPRVIRAIQKERRASSVDCRLSTHSPGLTSQSSDLSDIIESPRSSDMSLVGSSFSESFHSDSYIVAIDSGVPRRPPIGKRSNDQVMYPKLVELLLNSSSYSSNLLHDITCGKLGSAPPEDTETTDVQGMEATSVIQEFRSGFRAALRDLQGFHIFLLTLHQKLDSLLRQFLSIINKTTSGDFDKEDYTVPESPYTPCLGGASFPFTPTKERMLSDNQADSSDSESQRSAPKTSSSGHRESLDSSSPVSRDGWHGKFYKGNGEPHRSLRLTAKLRDFNKFAKVDAESSKELEQWNEMLKNDAVKLCQDNNFNTGFFEGSDNNSVVDAYELKVRLEHILDRIALISEAANTEKPSLITSCLFIGGALAARSAYTLQHFGITHILCLCSNEIGQADSQYHDLFKYKNFSIYDNEDSNISSIFEEVCDFIDHVEHTGGRVLVHCFEGKSRSATVVIAYLMLRK >KJB33059 pep chromosome:Graimondii2_0_v6:6:50936452:50942124:-1 gene:B456_006G273300 transcript:KJB33059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase PHS1 [Source:Projected from Arabidopsis thaliana (AT5G23720) UniProtKB/Swiss-Prot;Acc:Q75QN6] MLSSLHHTEHSSSAEQSEDEQNKALEVTVNSGGVVFFALFNQPIFDDNSPKEAAAVIKFSSSRMATQSERLGYEFAKWLGIRTPQARVVHNCSPEWLQIKEAAQKARVTATQEGDEVGEVTCSELLEALELSRCLLLMSYVHGLPLLESSSAFDSKEAAERTAAALGRVLMLDLVIRNEDRLPCRQLRWRGNPANLLLTDKTSSANIGSFDEAFDTAIKRFRPRVIRAIQKERRASSVDCRLSTHSPGLTSQSSDLSDIIESPRSSDMSLVGSSFSESFHSDSYIVAIDSGVPRRPPIGKRSNDQVMYPKLVELLLNSSSYSSNLLHDITCGKLGSAPPEDTETTDVQGMEATSVIQEFRSGFRAALRDLQGFHIFLLTLHQKLDSLLRQFLSIINKTTSGDFDKEDYTVPESPYTPCLGGASFPFTPTKERMLSDNQADSSDSESQRSAPKTSSSGHRESLDSSSPVSRDGWHGKFYKGNGEPHRSLRLTAKLRDFNKFAKVDAESSKELEQWNEMLKNDAVKLCQDNNFNTGFFEGSDNNSVVDAYELKVRLEHILDRIALISEAANTEKPSLITSCLFIGGALAARSAYTLQHFGITHILCLCSNEIGQADSQYHDLFKYKNFSIYDNEDSNISSIFEEVCDFIDHVEHTGGRVLVHCFEGKSRSATVVIAYLMLRKNLTLLEAWNSLKRAHRRAQPNDGFARILLDLDRKLHGKVSMEWHQRKPMMKVCPICGKNAGLSSSSLKLHLQKAHKKLSSGSVDSAMTMEIQKALDALKINRGGSVSPTQRQSHSVMDQ >KJB34071 pep chromosome:Graimondii2_0_v6:6:14646844:14648293:1 gene:B456_006G046400 transcript:KJB34071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRATYGDDDSSDFDSDHSPTLSLPANSNPQTEETLSSSLPPPPVSLLHPRNSLVFIPSIIKKEMGQFLKRVSSVVPNLHVVDFDVPLNTLCKEEHKLEQVALGREFQISLGRIVPIRVHQIDSIVTMLRQKLQFQKRYWIDFNKWEVFINDDRTRTFLSLEVITGGLPEITKQIQAVNEVYKFHNLLEFYK >KJB34072 pep chromosome:Graimondii2_0_v6:6:14647155:14648364:1 gene:B456_006G046400 transcript:KJB34072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQFLKRVSSVVPNLHVVDFDVPLNTLCKEEHKLEQVALGREFQISLGRIVPIRVHQIDSIVTMLRQKLQFQKRYWIDFNKWEVFINDDRTRTFLSLEVITGGLPEITKQIQAVNEVYKFHNLLEFYKVCAVIINCQV >KJB35114 pep chromosome:Graimondii2_0_v6:6:34095789:34098216:-1 gene:B456_006G100700 transcript:KJB35114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGHKIRTGYAKLSFLSRLARQSHDAKTLPALPCQIMKQDGDRLGSLALFGAGVSGLLGCATIAYSDEAEHGLAVPNYPWPHQGILSSYDHASIRRGHQVYQQVCASCHSMSLISFRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKVSLAS >KJB35111 pep chromosome:Graimondii2_0_v6:6:34093518:34098278:-1 gene:B456_006G100700 transcript:KJB35111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGHKIRTGYAKLSFLSRLARQSHDAKTLPALPCQIMKQDGDRLGSLALFGAGVSGLLGCATIAYSDEAEHGLAVPNYPWPHQGILSSYDHASIRRGHQVYQQVCASCHSMSLISFRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFGLLTGYRDPPAGISIREGLHYNPYFPGGAIAMPKMLNDDAIEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVLKSRKLVLDVVN >KJB35113 pep chromosome:Graimondii2_0_v6:6:34095402:34098216:-1 gene:B456_006G100700 transcript:KJB35113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGHKIRTGYAKLSFLSRLARQSHDAKTLPALPCQIMKQDGDRLGSLALFGAGVSGLLGCATIAYSDEAEHGLAVPNYPWPHQGILSSYDHASIRRGHQVYQQVCASCHSMSLISFRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFGLLTGYRDPPAGISVISSSTCI >KJB35112 pep chromosome:Graimondii2_0_v6:6:34093886:34098216:-1 gene:B456_006G100700 transcript:KJB35112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGHKIRTGYAKLSFLSRLARQSHDAKTLPALPCQIMKQDGDRLGSLALFGAGVSGLLGCATIAYSDEAEHGLAVPNYPWPHQGILSSYDHASSIRRGHQVYQQVCASCHSMSLISFRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFGLLTGYRDPPAGISIREGLHYNPYFPGGAIAMPKMLNDDAIEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVLKSRKLVLDVVN >KJB35110 pep chromosome:Graimondii2_0_v6:6:34093518:34098216:-1 gene:B456_006G100700 transcript:KJB35110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGHKIRTGYAKLSFLSRLARQSHDAKTLPALPCQIMKQDGDRLGSLALFGAGVSGLLGCATIAYSDEAEHGLAVPNYPWPHQGILSSYDHASIRRGHQVYQQVCASCHSMSLISFRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFGLLTGYRDPPAGISIREGLHYNPYFPGGAIAMPKMLNDDAIEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLHSLRWELR >KJB34156 pep chromosome:Graimondii2_0_v6:6:17391576:17394945:-1 gene:B456_006G050800 transcript:KJB34156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVRLHIYDVTNSGSDKTNSTIVQINKIFKDGIGLGGIFHSAVQVYGEEEWSFGFCEQGSGVFSCPSGKNPMYTYRESMVLGITNFSKLNVNQILQELSREWPGSSYDLLSKNCNHFCDEFCERLGVQKLPGWVNRFANAGDAAIEIAENTALRLRQAKTEIVSASKVAYRFLVGVTSSSSGGNDSPGNSNRGSPRFQAAWFKNIITTGAKPSSSSEIETQGGSGFQNHRLQNSAQTMQQNFQDPQRSP >KJB34157 pep chromosome:Graimondii2_0_v6:6:17391610:17394881:-1 gene:B456_006G050800 transcript:KJB34157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVRLHIYDVTNSGSDKTNSTIVQINKIFKDGIGLGGIFHSAVQFSKENILRQYLCGQILGSEVYGEEEWSFGFCEQGSGVFSCPSGKNPMYTYRESMVLGITNFSKLNVNQILQELSREWPGSSYDLLSKNCNHFCDEFCERLGVQKLPGWVNRFANAGDAAIEIAENTALRLRQAKTEIVSASKVAYRFLVGVTSSSSGGNDSPGNSNRGSPRFQAAWFKNIITTGAKPSSSSEIETQGGSGFQNHRLQNSAQTMQQNFQDPQRSP >KJB38672 pep chromosome:Graimondii2_0_v6:6:50505725:50507541:1 gene:B456_006G266300 transcript:KJB38672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHPLKHHLTLTLLALTSLSHFPPASPLTPCRTLCGTTAINYPFSIDDGCGAPQYRSMLNCTGTDLFFMTTSGRYKVQSIDYNKQTMVVYDPAMSTCSILQPHHDFVMTDVESVVIPPSSDTIFALLNCSIDSPVLNRYKNLCFNFSGHSCDELYGGCNAFRVFHSFTNSSPPCCFTGYDTVKYMSMNILDCSHYTSFINVDGLKGIGPADWVYGMKLSYAVPDTGCGRCTRSGGACGYDTETGVMMCLCSTSMNATRECAAGSNGDIGGAQKLALRTKFHAFALVVGALIYYVIFI >KJB38671 pep chromosome:Graimondii2_0_v6:6:50505725:50507541:1 gene:B456_006G266300 transcript:KJB38671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHPLKHHLTLTLLALTSLSHFPPASPLTPCRTLCGTTAINYPFSIDDGCGAPQYRSMLNCTGTDLFFMTTSGRYKVQSIDYNKQTMVVYDPAMSTCSILQPHHDFVMTDVESVVIPPSSDTIFALLNCSIDSPVLNRYKNLCFNFSGHSCDELYGGCNAFRVFHSFTNSSPPCCFTGYDTVKYMSMNILDCSHYTSFINVDGLKGIGPADWVYGMKLSYAVPDTGCGRCTRSGGACGYDTETGVMMCLCSTSMNATRECGSNGDIGGAQKLALRTKFHAFALVVGALIYYVIFI >KJB38252 pep chromosome:Graimondii2_0_v6:6:48960554:48964126:-1 gene:B456_006G244300 transcript:KJB38252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFISKFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTHAVIVVIDSVDRARITIMKEELFRLLAHEDLQHSVILVFANKQDLKDAMTPAEITDALSLHSIKNHDWHIQACSALTGDGLYDGLGWIAQHVTGKAPS >KJB33792 pep chromosome:Graimondii2_0_v6:6:8112465:8116203:1 gene:B456_006G031000 transcript:KJB33792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNGSGGDADNFDWDTEDELEIDNYAIASRSGVSLTNGEAVLGTAEVSSSADSPNSKLIDHFVGMGFSQELVTKAIEENGEENSNLILEALLRYSASSLASSSNSKLIDHFVGMGFSEEMVLKAVQENGEGNTDTILETLLTYSALEKSAPVQQHADSDDFSSDCEGNFLDDFTDIDSSSDTEEIINTGSDEESKLLYLTKMGYSEAEASVAMERCGPDSSIAELTDFICAAQMAKAADALFPVEDRKPFCNGSNYNKRRNLGYDLWKRKKQMKLEKKLLNEDDDALHLPNPMIGFGVPTEPDLITQRTLPEAAVGPPYFYYENVALAPKGVWSTISRFLYDVEPEFVDSKFFCAAARKRGYIHNLPIENRFPLLPFPPRTIHEAFPLTRKWWPSWDPRTKLNCIQTCTASARLTERIRKALEAYDGEPPLNVQKYVLDECRKWNLVWVGRNKVAPLEADEVEMLLGFPKNHTRGGGMSRTDRYKSLGNSFQVCDFYSFSFP >KJB33791 pep chromosome:Graimondii2_0_v6:6:8112465:8117035:1 gene:B456_006G031000 transcript:KJB33791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNGSGGDADNFDWDTEDELEIDNYAIASRSGVSLTNGEAVLGTAEVSSSADSPNSKLIDHFVGMGFSQELVTKAIEENGEENSNLILEALLRYSASSLASSSNSKLIDHFVGMGFSEEMVLKAVQENGEGNTDTILETLLTYSALEKSAPVQQHADSDDFSSDCEGNFLDDFTDIDSSSDTEEIINTGSDEESKLLYLTKMGYSEAEASVAMERCGPDSSIAELTDFICAAQMAKAADALFPVEDRKPFCNGSNYNKRRNLGYDLWKRKKQMKLEKKLLNEDDDALHLPNPMIGFGVPTEPDLITQRTLPEAAVGPPYFYYENVALAPKGVWSTISRFLYDVEPEFVDSKFFCAAARKRGYIHNLPIENRFPLLPFPPRTIHEAFPLTRKWWPSWDPRTKLNCIQTCTASARLTERIRKALEAYDGEPPLNVQKYVLDECRKWNLVWVGRNKVAPLEADEVEMLLGFPKNHTRGGGMSRTDRYKSLGNSFQVDTVAYHLSVLKDMFPGGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEISEVNRNIVRGWWEQTNQRGTLIDIADVQELNGDRLEQLMSRFGGFDLVVGGSPCNNLAGSNRHHRDGLEGKESSLFFDYCRILDLVRCMQRNQ >KJB33794 pep chromosome:Graimondii2_0_v6:6:8112196:8117039:1 gene:B456_006G031000 transcript:KJB33794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNGSGGDADNFDWDTEDELEIDNYAIASRSGVSLTNGEAVLGTAEVSSSADSPNSKLIDHFVGMGFSQELVTKAIEENGEENSNLILEALLRYSASSLASSSNSKLIDHFVGMGFSEEMVLKAVQENGEGNTDTILETLLTYSALEKSAPVQQHADSDDFSSDCEGNFLDDFTDIDSSSDTEEIINTGSDEESKLLYLTKMGYSEAEASVAMERCGPDSSIAELTDFICAAQMAKAADALFPVEDRKPFCNGSNYNKRRNLGYDLWKRKKQMKLEKKLLNEDDDALHLPNPMIGFGVPTEPDLITQRTLPEAAVGPPYFYYENVALAPKGVWSTISRFLYDVEPEFVDSKFFCAAARKRGYIHNLPIENRFPLLPFPPRTIHEAFPLTRKWWPSWDPRTKLNCIQTCTASARLTERIRKALEAYDGEPPLNVQKYVLDECRKWNLVWVGRNKVAPLEADEVEMLLGFPKNHTRGGGMSRTDRYKSLGNSFQVDTVAYHLSVLKDMFPGGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEISEVNRNIVRGWWEQTNQRGTLIDIADVQELNGDRLEQLMSRFGGFDLVVGGSPCNNLAGSNRHHRDGLEGKESSLFFDYCRILDLVRCMQRNQ >KJB33793 pep chromosome:Graimondii2_0_v6:6:8112680:8116296:1 gene:B456_006G031000 transcript:KJB33793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNGSGGDADNFDWDTEDELEIDNYAIASRSGVSLTNGEAVLGTAEVSSSADSPNSKLIDHFVGMGFSQELVTKAIEENGEENSNLILEALLRYSASSLASSSNSKLIDHFVGMGFSEEMVLKAVQENGEGNTDTILETLLTYSALEKSAPVQQHADSDDFSSDCEGNFLDDFTDIDSSSDTEEIINTGSDEESKLLYLTKMGYSEAEASVAMERCGPDSSIAELTDFICAAQMAKAADALFPVEDRKPFCNGSNYNKRRNLGYDLWKRKKQMKLEKKLLNEDDDALHLPNPMIGFGVPTEPDLITQRTLPEAAVGPPYFYYENVALAPKGVWSTISRFLYDVEPEFVDSKFFCAAARKRGYIHNLPIENRFPLLPFPPRTIHEAFPLTRKWWPSWDPRTKLNCIQTCTASARLTERIRKALEAYDGEPPLNVQKYVLDECRKWNLVWVGRNKVAPLEADEVEMLLGFPKNHTRGGGMSRTDRYKSLGNSFQVSTQWLIIFQF >KJB33244 pep chromosome:Graimondii2_0_v6:6:1036686:1041679:1 gene:B456_006G005300 transcript:KJB33244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINWLRFVSPSLKKPPLFHLCHLPPPPPSSSAVIVAMSYDKELAAAKKAASLAARLCQKVQKALLQSDVQSKNDKSPVTVADYGSQALVSFVLQQEFPDNFSLVAEEDSKDLRKDGGQEIVERITKLVNDSLTIDGSYNVTLSTEDILRAIDNGRSEGGSQGRHWVLDPIDGTKGFLRGDQYAIALALLDGGKVVLGVLACPNLPLTSLSDAGQHSPNNKVGCLFFAVVGGGTYMQPLDGSSAVKVIMIYYNFLLLISVCICNLYNYMGSPGASKCC >KJB33243 pep chromosome:Graimondii2_0_v6:6:1036671:1041688:1 gene:B456_006G005300 transcript:KJB33243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINWLRFVSPSLKKPPLFHLCHLPPPPPSSSAVIVAMSYDKELAAAKKAASLAARLCQKVQKALLQSDVQSKNDKSPVTVADYGSQALVSFVLQQEFPDNFSLVAEEDSKDLRKDGGQEIVERITKLVNDSLTIDGSYNVTLSTEDILRAIDNGRSEGGSQGRHWVLDPIDGTKGFLRGDQYAIALALLDGGKVVLGVLACPNLPLTSLSDAGQHSPNNKVGCLFFAVVGGGTYMQPLDGSSAVKVQVSAVENPEEASFFESYEAAHSMHDLSSLIAQKLGVKAPPVRIDSQAKYGALSRGDGAIYLRLPHKGYREKIWDHAAGCIVVSEAGGVVTDAAGQPLDFSKGKYLDLDTGIIVTNQKLMPLLFNAVRKSIQEKASSL >KJB33241 pep chromosome:Graimondii2_0_v6:6:1036768:1041211:1 gene:B456_006G005300 transcript:KJB33241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINWLRFVSPSLKKPPLFHLCHLPPPPPSSSAVIVAMSYDKELAAAKKAASLAARLCQKVQKALLQSDVQSKNDKSPVTVADYGSQALVSFVLQQEFPDNFSLVAEEDSKDLRKDGGQEIVERITKLVNDSLTIDGSYNVTLSTEDILRAIDNGRSEGGSQGRHWVLDPIDGTKGFLRGDQYAIALALLDGGKVVLGVLACPNLPLTSLSDAGQHSPNNKVGCLFFAVVGGGTYMQPLDGSSAVKVQVSAVENPEEASFFESYEAAHSMHDLSSLIAQKLGVKAPPVRIDSQAKYGALSRGDGAIYLRLPHKGYREKIWDHAAGCIVVSEGAKCKRTPSTRASMKLGVWSQMLQGSHWIFQRESILIWTQASLSPTRS >KJB33242 pep chromosome:Graimondii2_0_v6:6:1036671:1041688:1 gene:B456_006G005300 transcript:KJB33242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINWLRFVSPSLKKPPLFHLCHLPPPPPSSSAVIVAMSYDKELAAAKKAASLAARLCQDSKDLRKDGGQEIVERITKLVNDSLTIDGSYNVTLSTEDILRAIDNGRSEGGSQGRHWVLDPIDGTKGFLRGDQYAIALALLDGGKVVLGVLACPNLPLTSLSDAGQHSPNNKVGCLFFAVVGGGTYMQPLDGSSAVKVQVSAVENPEEASFFESYEAAHSMHDLSSLIAQKLGVKAPPVRIDSQAKYGALSRGDGAIYLRLPHKGYREKIWDHAAGCIVVSEAGGVVTDAAGQPLDFSKGKYLDLDTGIIVTNQKLMPLLFNAVRKSIQEKASSL >KJB36380 pep chromosome:Graimondii2_0_v6:6:41500858:41502254:-1 gene:B456_006G156200 transcript:KJB36380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASLRFISFVHILPSTFSFQDLTSSKFNFTRNLADRKILSFLKMAEEHRCQTPEGHRLCVNNCGFFGSPATMNLCSKCYRDFRLKEQQGATSIKSSLSSSSSSSSVVVESVSQVPLFTLPEFIGESPVPAVEVALVAEQRPQQQQPIRCMVCRKRVGLTGFRCKCEITFCGSHRYPENHGCTFDFKKVGREEIARANPVVKAEKLEKV >KJB36382 pep chromosome:Graimondii2_0_v6:6:41501158:41502168:-1 gene:B456_006G156200 transcript:KJB36382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASLRFISFVHILPSTFSFQDRKILSFLKMAEEHRCQTPEGHRLCVNNCGFFGSPATMNLCSKCYRDFRLKEQQGATSIKSSLSSSSSSSSVVVESVSQVPLFTLPEFIGESPVPAVEVALVAEQRPQQQQPIRCMVCRKRVGLTGFRCKCEITFCGSHRYPENHGCTFDFKKVGREEIARANPVVKAEKLEKV >KJB36381 pep chromosome:Graimondii2_0_v6:6:41501307:41502019:-1 gene:B456_006G156200 transcript:KJB36381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASLRFISFVHILPSTFSFQDLADLTSSKFNFTRNLADRKILSFLKMAEEHRCQTPEGHRLCVNNCGFFGSPATMNLCSKCYRDFRLKEQQGATSIKSSLSSSSSSSSVVVESVSQVPLFTLPEFIGESPVPAVEVALVAEQRPQQQQPIRCMVCRKRVGLTGFRCKCEITFCGSHRYPENHGCTFDFKKVGREEIARANPVVKAEKLEKV >KJB34312 pep chromosome:Graimondii2_0_v6:6:21652391:21653278:-1 gene:B456_006G058900 transcript:KJB34312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRELKVISDVDGGGIGGLFLVLWAALLTLSSISAILFSCADGVSKEKTDSGDTNFYGGGCTAGCGGAGCGAACGA >KJB37831 pep chromosome:Graimondii2_0_v6:6:47472912:47476811:1 gene:B456_006G222400 transcript:KJB37831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSAIRSLPLDGSVGDYEGSFDGTNLPSDACLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGKQSCKESTDNSKDASCVAESQDTGSSTTSSSKLVAQDLNDGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQSKYLQTILEKACKALSDHVAASAGLEAAREELSELGIKISNDFQGMVPFDNIKLPSLPELPAALENKATTTGMPA >KJB37830 pep chromosome:Graimondii2_0_v6:6:47473266:47476404:1 gene:B456_006G222400 transcript:KJB37830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSAIRSLPLDGSVGDYEGSFDGTNLPSDACLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGKQSCKESTDNSKDGCFVRVSASCVAESQDTGSSTTSSSKLVAQDLNDGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQSKYLQTILEKACKALSDHVAASAGLEAAREELSELGIKISNDFQGMVPFDNIKLPSLPELPAALENKATTTGMPA >KJB37833 pep chromosome:Graimondii2_0_v6:6:47473064:47476800:1 gene:B456_006G222400 transcript:KJB37833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSAIRSLPLDGSVGDYEGSFDGTNLPSDACLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDTTPKTIMRTMGVKGLTLYHLKSHLQKYRLGKQSCKESTDNSKDASCVAESQDTGSSTTSSSKLVAQDLNDGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQSKYLQTILEKACKALSDHVAASAGLEAAREELSELGIKISNDFQGMVPFDNIKLPSLPELPAALENKATTTGMPA >KJB37832 pep chromosome:Graimondii2_0_v6:6:47472912:47476811:1 gene:B456_006G222400 transcript:KJB37832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSAIRSLPLDGSVGDYEGSFDGTNLPSDACLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGKQSCKESTDNSKDASCVAESQDTGSSTTSSSKLVAQDLNDGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQSKYLQTILEKACKALSDHVAASAGLEAAREELSELGIKISNDFQGMVPFDNIKLPSLPELPAALENKATTTDWYYEEETQALVW >KJB35213 pep chromosome:Graimondii2_0_v6:6:34708864:34709994:-1 gene:B456_006G104700 transcript:KJB35213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDTIPSSFPNASQALPMYPMTDHQDHINVPYSCLEAPGSGSDLAPSTSLLYNLSILEDKVNQLQSLVSNLISPDQIHPESTGSLAIASMDSLVQEIIGAASSMGLVSQHMSLGTTSGNNNGISKQPNFTDDFAGGNLVQERGQGSYPSVDQTFSWYDRNSIHNNRSLQVGNADKLKERKELGEMVQRSEISEGSQGDAGTNYDIVELDAEDLLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKTNAALVNPMKSHEGSSNGMGNCSTKFPKRYSCPYEGCRWNQKHANFQPLKSMICVKNHYKRSHCPKMYVCKRCNRKQFSLLSDLRTHEKHCGDLRWQCSCGNTFSRKDKLMGHVALFVGHRPVVAHSLH >KJB34177 pep chromosome:Graimondii2_0_v6:6:18692610:18694887:1 gene:B456_006G052100 transcript:KJB34177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVVVSGSGENQEFEGRITAYVIVCVIIAAFGGLMFGYDIGISGGVTSMDDFLKKFFPAVFEKKHHALENNYCKYDNQFLQLFTSCLYLAALIASFVASKVCSKYGRKLTMQIASIFFIIGVILTAGGINIEMIIFGRIFLGFGVGFANQAVPLFLSEIAPPNLRGALNISFQLFITIGILVSNLINYFTTNVHPHGWRISLGIAGVPALMLCLGSILICETPTSLIERHKVEEGRKVLRKIRGVENVDDEFDSIIHACEMAKQVKDPFRKLMKPVSRPQLVISICLQIFQQFTGINAIMFYAPVLFQTVGFGNDAALLSSVITGLVNVFSTVVSIYVVDRAGRRILLLEACVQMFISQVIIGIILFKELKTTGDNLSKGEAIFVVISVCTFVMGFAWSWGPLGWLIPSEIFPLETRSAGFAFAVSTNMLFTFIIGQAFLSMLCQMQAGIFFFFAAWVIIMGAFTWFLLPETKGVPVDAMVDKVWKQHWFWRSFMIEDDRPDVKVV >KJB34579 pep chromosome:Graimondii2_0_v6:6:29387144:29388124:1 gene:B456_006G073500 transcript:KJB34579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAMMGEQFGWGVIEEEAWRKGPWTAEEDRLLTEYVRLHGEGRWNSVARLAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEESIILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAKLSPDNSDQAKARTLKRQHFQQQLLQQKQQQQYLQLNESDMKRMMSLLEETEPKAPFMPQLRQEMGAATSCPNMVEEQSLFYPMGNGNETSNEDVVWDGLWNLDDYQWKLWCSKPS >KJB35635 pep chromosome:Graimondii2_0_v6:6:37343859:37345128:-1 gene:B456_006G122000 transcript:KJB35635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVLGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYQSVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGKQAAKT >KJB35633 pep chromosome:Graimondii2_0_v6:6:37343254:37344985:-1 gene:B456_006G122000 transcript:KJB35633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVLGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYQSVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGKQAAKTEIEKLKLSEMTCREGVIEVAKM >KJB35631 pep chromosome:Graimondii2_0_v6:6:37341845:37344985:-1 gene:B456_006G122000 transcript:KJB35631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVLGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYQSVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGKQAAKTEIEKLKLSEMTCREGVIEVAKISIYKVHDEAKDKAFELEMSWVCDESKQQHQKVPDDLLEEAKVAARTALEEMDAD >KJB35632 pep chromosome:Graimondii2_0_v6:6:37341389:37345128:-1 gene:B456_006G122000 transcript:KJB35632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVLGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYQSVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGKQAAKTIYKVHDEAKDKAFELEMSWVCDESKQQHQKVPDDLLEEAKVAARTALEEMDAD >KJB35634 pep chromosome:Graimondii2_0_v6:6:37341389:37345180:-1 gene:B456_006G122000 transcript:KJB35634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVLGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYQSVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGKQAAKTEIEKLKLSEMTCREGVIEVAKIIYKVHDEAKDKAFELEMSWVCDESKQQHQKVPDDLLEEAKVAARTALEEMDAD >KJB34569 pep chromosome:Graimondii2_0_v6:6:29258632:29261148:-1 gene:B456_006G073000 transcript:KJB34569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHWVNFLQDDISSPTNVPFLYFSDADLFSAALQNSEVTSNCCYKDHNSSFGNNLTIPLDIEQLNNGHHDNTGNTNPIAPTTTSTTTTTTTATSSANYNITATDNLSVIFDSPDEIEDDISASIDFSQSPSFSIPQFLAQQDQIDHLSLVQSQNQLCETTADLVGPLSGPPFTHVFEEDCLSTVPSLNPSSPSCSFFGASTMANFMPALSVDSSGIFTGSFLMGSESQAQNLEFQGDNGGLFCPDSVQCIFNPGDIQTLGSENQQLMGGVVGSAPLASEMSSLEDSSRNKVGKLSVQQRKEKIQRYMKKRKERNFSKKIKYACRKTLADSRPRVRGRFAKNDDCGGTPRQAYSNHEEDDVVVKEKEEMVDSSDIFADISGVNSLKYNYSIQSWL >KJB34408 pep chromosome:Graimondii2_0_v6:6:24210875:24213281:1 gene:B456_006G064700 transcript:KJB34408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >KJB34404 pep chromosome:Graimondii2_0_v6:6:24210943:24213228:1 gene:B456_006G064700 transcript:KJB34404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >KJB34406 pep chromosome:Graimondii2_0_v6:6:24210933:24212513:1 gene:B456_006G064700 transcript:KJB34406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGIYSPLDSCCIV >KJB34405 pep chromosome:Graimondii2_0_v6:6:24210875:24213281:1 gene:B456_006G064700 transcript:KJB34405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >KJB34407 pep chromosome:Graimondii2_0_v6:6:24210875:24213281:1 gene:B456_006G064700 transcript:KJB34407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >KJB34402 pep chromosome:Graimondii2_0_v6:6:24210943:24213228:1 gene:B456_006G064700 transcript:KJB34402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >KJB34403 pep chromosome:Graimondii2_0_v6:6:24210875:24213252:1 gene:B456_006G064700 transcript:KJB34403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >KJB34721 pep chromosome:Graimondii2_0_v6:6:30666522:30667729:-1 gene:B456_006G079900 transcript:KJB34721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRRVGIAVDFSPSSKNALKWAVDNVIRKGDHLILVAVRPEGHYDEGDQIQLWEATALIPLSEFCDPIIMKRYGVKPDPETLDIVTTAARQTQIEVLMKIYWGDPREKLCEAIDTIPLSCIIVGNRGLGTLKRAIMGSVSNYVVNNASCPVTVVKHHV >KJB34722 pep chromosome:Graimondii2_0_v6:6:30666522:30667758:-1 gene:B456_006G079900 transcript:KJB34722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRRVGIAVDFSPSSKNALKWAVDNVIRKGDHLILVAVRPEGHYDEGDQIQLWEATGSPLIPLSEFCDPIIMKRYGVKPDPETLDIVTTAARQTQIEVLMKIYWGDPREKLCEAIDTIPLSCIIVGNRGLGTLKRAIMGSVSNYVVNNASCPVTVVKHHV >KJB34720 pep chromosome:Graimondii2_0_v6:6:30666522:30667757:-1 gene:B456_006G079900 transcript:KJB34720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRRVGIAVDFSPSSKNALKWAVDNVIRKGDHLILVAVRPEGHYDEGDQIQLWEATGSPLIPLSEFCDPIIMKRYGVKPDPETLDIVTTAARQTQIEVLMKIYWGDPREKLCEAIDTIPLSCIIVGNRGLGTLKRAIMGSVSNYVVNNASCPVTVVKHHV >KJB34723 pep chromosome:Graimondii2_0_v6:6:30666515:30667828:-1 gene:B456_006G079900 transcript:KJB34723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRRVGIAVDFSPSSKNALKWAVDNVIRKGDHLILVAVRPEGHYDEGDQIQLWEATGSPLIPLSEFCDPIIMKRYGVKPDPETLDIVTTAARQTQIEVLMKIYWGDPREKLCEAIDTIPLSCIIVGNRGLGTLKRAIMGSVSNYVVNNASCPVTVVKHHV >KJB34391 pep chromosome:Graimondii2_0_v6:6:23493976:23494630:1 gene:B456_006G063700 transcript:KJB34391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRFPSVIGTLRRYGSRIGIRIWTQKWRMVLTQHRLSLGKRCFWERDLMTNKERKEGPFSGMDDDFFLLDGDIKRSSVNGIPSIEFSDRVSQILIKNMATSVVLKLLGRNIGFAALQNRIYGI >KJB38635 pep chromosome:Graimondii2_0_v6:6:50357644:50362445:-1 gene:B456_006G264600 transcript:KJB38635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMFSFSRRRMKLNRVKKVQLSESVHGTRSPIRPSKRNNNTIVESALPAGTSHCNDFDPSTAPEINSSGNSENWMVLSVAGEKPVPRFNHAAAVVGNRMIVVGGESGNGLLDDVQVLNFDNFSWTSASSKLYLSPSSFPLKIPSCKGHCLVSWGKKALLVGGRTDPGNDRVSVWAFDTETECWSVMEAKGEIPVERSGHTVVKANSVLILFGGETAKKKKLNDLHMFDLKSLTWLTLQCTGTRPSPRSNHVATLYDDKTLFIFGGASKSRTLNDMYSLDFETMVWSRIKVRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHTETFIYDILKSEWSMAIASLPSSITTNKGFSLVLMQHKDKDFLVVFGGCRKEPSNQVEVLIIEKNESSMGRQYNPGKSAGKRSASQPVINASSHHSVDSAVRQNLASVIDHGSGRRSLSDLSLADQNPLSGNVSLRKQFHNKEGYNTSVRITKSSEDLSSILQATEQKTNQTDTGVEVNAPGTKIRSDESFLYECENSNPSNPRVEGITSIPVGNDNFVFPEMEGKAGALSAPSSIYYEMRMAALSRRNGILEVQLGAAMASRDTIERNLASALKSKEEMEKRLADTVKEMDLLKEKLAGIELAQEEANNISNIVHSDNVRLEHDVAFVKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMENRAPTPRKPFNV >KJB38637 pep chromosome:Graimondii2_0_v6:6:50357564:50362257:-1 gene:B456_006G264600 transcript:KJB38637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMFSFSRRRMKLNRVKKVQLSESVHGTRSPIRPSKRNNNTIVESALPAGTSHCNDFDPSTAPEINSSGNSENWMVLSVAGEKPVPRFNHAAAVVGNRMIVVGGESGNGLLDDVQVLNFDNFSWTSASSKLYLSPSSFPLKIPSCKGHCLVSWGKKALLVGGRTDPGNDRVSVWAFDTETECWSVMEAKGEIPVERSGHTVVKANSVLILFGGETAKKKKLNDLHMFDLKSLTWLTLQCTGTRPSPRSNHVATLYDDKTLFIFGGASKSRTLNDMYSLDFETMVWSRIKVRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHTETFIYDILKSEWSMAIASLPSSITTNKGFSLVLMQHKDKDFLVVFGGCRKEPSNQVEVLIIEKNESSMGRQYNPGKSAGKRSASQPVINASSHHSVDSAVRQNLASVIDHGSGRRSLSDLSLADQNPLSGNVSLRKQFHNKEGYNTSVRITKSSEDLSSILQATEQKTNQTDTGVEVNAPGTKIRSDESFLYECENSNPSNPRVEGITSIPVGNDNFVFPEMEGKAGALSAPSSIYYEMRMAALSRRNGILEVQLGAAMASRDTIERNLASALKSKEEMEKRLADTVKEMDLLKEKLAGIELAQEEANNISNIVHSDNVRLEHDVAFVKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMENRAPTPRKPFNV >KJB38636 pep chromosome:Graimondii2_0_v6:6:50357635:50362257:-1 gene:B456_006G264600 transcript:KJB38636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSVAGEKPVPRFNHAAAVVGNRMIVVGGESGNGLLDDVQVLNFDNFSWTSASSKLYLSPSSFPLKIPSCKGHCLVSWGKKALLVGGRTDPGNDRVSVWAFDTETECWSVMEAKGEIPVERSGHTVVKANSVLILFGGETAKKKKLNDLHMFDLKSLTWLTLQCTGTRPSPRSNHVATLYDDKTLFIFGGASKSRTLNDMYSLDFETMVWSRIKVRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHTETFIYDILKSEWSMAIASLPSSITTNKGFSLVLMQHKDKDFLVVFGGCRKEPSNQVEVLIIEKNESSMGRQYNPGKSAGKRSASQPVINASSHHSVDSAVRQNLASVIDHGSGRRSLSDLSLADQNPLSGNVSLRKQFHNKEGYNTSVRITKSSEDLSSILQATEQKTNQTDTGVEVNAPGTKIRSDESFLYECENSNPSNPRVEGITSIPVGNDNFVFPEMEGKAGALSAPSSIYYEMRMAALSRRNGILEVQLGAAMASRDTIERNLASALKSKEEMEKRLADTVKEMDLLKEKLAGIELAQEEANNISNIVHSDNVRLEHDVAFVKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMENRAPTPRKPFNV >KJB37691 pep chromosome:Graimondii2_0_v6:6:46882784:46886975:1 gene:B456_006G215600 transcript:KJB37691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKGCLECLLKLLNFLMTLVGLAMVGYGIYLFVEYKRAADVAMLLSPVGTDQIQLGRPMLMAVSLSSSIFDNLPKAWFIYLFIGVGVVLFVISCFGCIGASTRNLCCLSCYSLLVVLLILVELGCAAFIFFDKSWKEELPTDKTGYFDMIYQFLEENWSIVKWVALGIVVLEAIIFLLALMVRAANVPADYDSDDEFIAPRQQIRQPLIRPPVPATGVPVTGSLDQRPSRNDAWSARMREKYGLDTSEFTYNPSESNRYQQAAPQPAEESSCCTIM >KJB37690 pep chromosome:Graimondii2_0_v6:6:46882822:46886962:1 gene:B456_006G215600 transcript:KJB37690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKGCLECLLKLLNFLMTLVGLAMVGYGIYLFVEYKRAADVAMLLSPVGTDQIQLGRPMLMAVSLSSSIFDNLPKAWFIYLFIGVGVVLFVISCFGCIGASTRNLCCLSCYSLLVVLLILVELGCAAFIFFDKSWKEELPTDKTGYFDMIYQFLEENWSIVKWVALGIVVLEAIIFLLALMVRAANVPADYDSDDEFIAPRQQIRQPLIRPPVPATGVPVTGSLDQRPSRNDAWSARMREKYGLDTSEFTYNPSESNRYQQAAPQPAEESSCCTIM >KJB35523 pep chromosome:Graimondii2_0_v6:6:36923721:36926099:1 gene:B456_006G119400 transcript:KJB35523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRSQLSRNLKELRILFCQTSPSSASARSFVEQNYKDLKTLNPKFPILIRECRGIEPQIWARYDMGVERGIRLEGLTEPQILKALEDLVKAGFPYIQLS >KJB35525 pep chromosome:Graimondii2_0_v6:6:36923739:36926437:1 gene:B456_006G119400 transcript:KJB35525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRSQLSRNLKELRILFCQTSPSSASARSFVEQNYKDLKTLNPKFPILIRECRGIEPQIWARYDMGVERGIRLEGLTEPQILKALEDLVKAEGSKP >KJB35522 pep chromosome:Graimondii2_0_v6:6:36923846:36925646:1 gene:B456_006G119400 transcript:KJB35522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRSQLSRNLKELRILFCQTSPSSASARSFVEQNYKDLKTLNPKFPILIRECRGIEPQIWARYDMGVERGIRLEGLTEPQILKALEDLVKAGASLKA >KJB35524 pep chromosome:Graimondii2_0_v6:6:36923739:36926098:1 gene:B456_006G119400 transcript:KJB35524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRSQLSRNLKELRILFCQTSPSSASARSFVEQNYKDLKTLNPKFPILIRECRGIEPQIWARYGIEDHCLKQLLSSI >KJB37301 pep chromosome:Graimondii2_0_v6:6:45623655:45624148:1 gene:B456_006G198800 transcript:KJB37301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFSVIVLCVVTLVVVLFLGAEAAPTPKCDNPLEYSSCLNAYKKPPPSAGCCQKMKEQAPCYCEYMKIEDVKRVFDRVEIAKMAKLCGVSYSSTC >KJB36770 pep chromosome:Graimondii2_0_v6:6:43383287:43386549:-1 gene:B456_006G175900 transcript:KJB36770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTDMRKNSKSSWPKVIARKWLNIPSGADEFQSDYAVNGETGDRRKSCCDQDYHSIVPENFSGWLTETAGGIKPSKSMPEPPPVTDSLNLRMFVGTWNVGGKSPHEGLNIRDWLSSPAPADIYVLGFQEIVPLNAGNVLGAEDNGPAAKWLSLIREALNSDQSDKELARCYSDATEESSPSSLQLEQQASLKPRTSFTDLLSMEDELGEEDFERLLNLNSNSSEEGSPSPTYMSGSPARQHFCLAASKQMVGLFLCVWVRTDLYKHISNLKVSCVGCGIMGYLGNKGSVSISMTLHQKTFCFVCTHLTSGEKEGDEIRRNSDVAEILKRTKFSRSFRDLRQPFHPENILDHNKVIWLGDLNYRLAPGCVDTHELLKKKNWQALLEKDQLRIERRAGRVFSGWEEGRICFAPTYKYLADSDDYVVQTSNSKEKRRIPA >KJB36771 pep chromosome:Graimondii2_0_v6:6:43382498:43387944:-1 gene:B456_006G175900 transcript:KJB36771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTDMRKNSKSSWPKVIARKWLNIPSGADEFQSDYAVNGETGDRRKSCCDQDYHSIVPENFSEGWLTETAGGIKPSKSMPEPPPVTDSLNLRMFVGTWNVGGKSPHEGLNIRDWLSSPAPADIYVLGFQEIVPLNAGNVLGAEDNGPAAKWLSLIREALNSDQSDKELARCYSDATEESSPSSLQLEQQASLKPRTSFTDLLSMEDELGEEDFERLLNLNSNSSEEGSPSPTYMSGSPARQHFCLAASKQMVGLFLCVWVRTDLYKHISNLKVSCVGCGIMGYLGNKGSVSISMTLHQKTFCFVCTHLTSGEKEGDEIRRNSDVAEILKRTKFSRSFRDLRQPFHPENILDHNKVIWLGDLNYRLAPGCVDTHELLKKKNWQALLEKDQLRIERRAGRVFSGWEEGRICFAPTYKYLADSDDYVVQTSNSKEKRRIPAWCDRILWKGEGLKQVWYSRGECRFSDHRPVYSLFSVHINLANKLIPTAISCPPRFSSKSALSSACGAKVQAEELLVIPGHKAA >KJB36769 pep chromosome:Graimondii2_0_v6:6:43382498:43387944:-1 gene:B456_006G175900 transcript:KJB36769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTDMRKNSKSSWPKVIARKWLNIPSGADEFQSDYAVNGETGDRRKSCCDQDYHSIVPENFSGWLTETAGGIKPSKSMPEPPPVTDSLNLRMFVGTWNVGGKSPHEGLNIRDWLSSPAPADIYVLGFQEIVPLNAGNVLGAEDNGPAAKWLSLIREALNSDQSDKELARCYSDATEESSPSSLQLEQQASLKPRTSFTDLLSMEDELGEEDFERLLNLNSNSSEEGSPSPTYMSGSPARQHFCLAASKQMVGLFLCVWVRTDLYKHISNLKVSCVGCGIMGYLGNKGSVSISMTLHQKTFCFVCTHLTSGEKEGDEIRRNSDVAEILKRTKFSRSFRDLRQPFHPENILDHNKVIWLGDLNYRLAPGCVDTHELLKKKNWQALLEKDQLRIERRAGRVFSGWEEGRICFAPTYKYLADSDDYVVQTSNSKEKRRIPAWCDRILWKGEGLKQVWYSRGECRFSDHRPVYSLFSVHINLANKLIPTAISCPPRFSSKSALSSACGAKVQAEELLVIPGHKAA >KJB36768 pep chromosome:Graimondii2_0_v6:6:43383287:43386549:-1 gene:B456_006G175900 transcript:KJB36768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTDMRKNSKSSWPKVIARKWLNIPSGADEFQSDYAVNGETGDRRKSCCDQDYHSIVPENFSEGWLTETAGGIKPSKSMPEPPPVTDSLNLRMFVGTWNVGGKSPHEGLNIRDWLSSPAPADIYVLGFQEIVPLNAGNVLGAEDNGPAAKWLSLIREALNSDQSDKELARCYSDATEESSPSSLQLEQQASLKPRTSFTDLLSMEDELGEEDFERLLNLNSNSSEEGSPSPTYMSGSPARQHFCLAASKQMVGLFLCVWVRTDLYKHISNLKVSCVGCGIMGYLGNKGSVSISMTLHQKTFCFVCTHLTSGEKEGDEIRRNSDVAEILKRTKFSRSFRDLRQPFHPENILDHNKVIWLGDLNYRLAPGCVDTHELLKKKNWQALLEKDQLRIERRAGRVFSGWEEGRICFAPTYKYLADSDDYVVQTSNSKEKRRIPA >KJB36609 pep chromosome:Graimondii2_0_v6:6:42674033:42676777:1 gene:B456_006G167000 transcript:KJB36609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQQNRGEKEKTKKWRHPRCFIVIRHQPHQLSLSLSFFYYSLQPQTTHPFISTSIPCQQTRGAENEEEEDDNNQDPPPAMQILRTTVFLLLASAISTSGFGSMGPISAAFGDDGFFCAIDASGKQEVICWSKNNSSPSSSTYTSTSTTITTTSSASTSLSSMDTPSQVPPMAALSGGEGFLCGILANTSQVFCWSLVASAGAYHFCGIREDDHGVECWGSFNFSSVPKHSGFMALASSDVTTCGIREDDLVLDCWSRYATLQPDYNPPLELCSPGLCRPTSCLEGEFAFNASNLNEPDLTSLCVRKDLHICSPCASNCSAGFFLSSPCSTNADRICTACSLCQNSSCWDVCGLQPSSEKNWHHMIRLAIIVASCVSCFLLILLSWCFLPRMFATKPEEGTKKQFKSCIGKPDQLDGEATADLFPSVSVTSCPGTAQIFRLTELKDATNGFKEFNVLGRGSYGFVYKAVLADGRQVAVKRANAATIIHTNSRDFEMELEILCNARHCNIVNLLGYCSEMGERLLVYEYMPHGTLHDHLHGGLSPLSWSLRLKISLQAARGLEYLHKEVEPPIVHRDVKTSNILLDSDWGARIADFGLFTSSEKDLDLSGDMKSDVYRFGIVLLEILSGRKAYDRDYTPASIVDWAVPLIKQGKAAAIIDCYVALPRNVEPLLKLADIAELAVREDPSERPTMSDIVILLQQIVKDGLLL >KJB37941 pep chromosome:Graimondii2_0_v6:6:47861408:47864401:-1 gene:B456_006G227700 transcript:KJB37941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKILANLIVMGSGIFARAVVQAYRQALANAAKSGVTHETLQNAARRAGKVMTEQEARQILGVSEDTAWEEMMKAI >KJB37942 pep chromosome:Graimondii2_0_v6:6:47861964:47864265:-1 gene:B456_006G227700 transcript:KJB37942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKILANLIVMGSGIFARAVVQAYRQALANAAKSGVTHETLQNAARRAGKVMTEQEARQILGVSEDTAWEEMMKASISCIVFSFPFSVAFILNNL >KJB37940 pep chromosome:Graimondii2_0_v6:6:47861351:47864444:-1 gene:B456_006G227700 transcript:KJB37940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKILANLIVMGSGIFARAVVQAYRQALANAAKSGVTHETLQNAARRAGKVMTEQEARQILGVSEDTAWEEMMKKYDVLFEKNAKVGSFYLQSKVHRAKECLEAIYRAKGEGTPPS >KJB34868 pep chromosome:Graimondii2_0_v6:6:32326324:32328410:-1 gene:B456_006G088100 transcript:KJB34868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDFWTSRLAAAKRQYNLQHRHQTSHLDRLTIDDFEVDDEVRPDFPCPYCYEDFDIASLCSHLEDDHPCESKVTICPVCYVKVSRDMLSHITLQHGNLFKLQRRCRLRRVAIPNSQALSLLGRDLREAHLQVLLGGGAYRSSGVNVSNANAATDSFLSSLIMNFPASEAEEITKSVVTSFEDTTTKNMAPAHMWKSR >KJB34869 pep chromosome:Graimondii2_0_v6:6:32325794:32328710:-1 gene:B456_006G088100 transcript:KJB34869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHITLQHGNLFKLQRRCRLRRVAIPNSQALSLLGRDLREAHLQVLLGGGAYRSSGVNVSNANAATDSFLSSLIMNFPASEAEEITKSVVTSFEDTTTKNMAPAHMWKSSLDPSLSYEEREKRIQQATGRAGFVQDLLLTTLLND >KJB34866 pep chromosome:Graimondii2_0_v6:6:32325789:32328851:-1 gene:B456_006G088100 transcript:KJB34866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDFWTSRLAAAKRQYNLQHRHQTSHLDRLTIDDFEVDDEVRPDFPCPYCYEDFDIASLCSHLEDDHPCESKVTICPVCYVKVSRDMLSHITLQHGNLFKLQRRCRLRRVAIPNSQALSLLGRDLREAHLQVLLGGGAYRSSGVNVSNANAATDSFLSSLIMNFPASEAEEITKSVVTSFEDTTTKNMAPAHMWKSSLDPSLSYEEREKRIQQATGRAGFVQDLLLTTLLND >KJB34867 pep chromosome:Graimondii2_0_v6:6:32325794:32327231:-1 gene:B456_006G088100 transcript:KJB34867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVLILALIFLLQICPVCYVKVSRDMLSHITLQHGNLFKLQRRCRLRRVAIPNSQALSLLGRDLREAHLQVLLGGGAYRSSGVNVSNANAATDSFLSSLIMNFPASEAEEITKSVVTSFEDTTTKNMAPAHMWKSSLDPSLSYEEREKRIQQATGRAGFVQDLLLTTLLND >KJB34870 pep chromosome:Graimondii2_0_v6:6:32325794:32328710:-1 gene:B456_006G088100 transcript:KJB34870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHITLQHGNLFKLQRRCRLRRVAIPNSQALSLLGRDLREAHLQVLLGGGAYRSSGVNVSNANAATDSFLSSLIMNFPASEAEEITKSVVTSFEDTTTKNMAPAHMWKSSLDPSLSYEEREKRIQQATGRAGFVQDLLLTTLLND >KJB35747 pep chromosome:Graimondii2_0_v6:6:37889685:37895557:-1 gene:B456_006G126600 transcript:KJB35747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGDNLQPIWVEFKPAFNQSTNEEAEQSSVIQIKSSTVSYDGQRVYFCTKNGLLLELSEVEPPRWENHGRPPGADVAAIADAARIRTEVVYTISSAGDLYEYDKNSRPSWKKHLKSVDTSKEGSLIPLMGCTIHGLIGDHSISLFLLTKGGKLVERRLHQRKWKWINHESPEDHHLTSITPLLEDEPNEIFISLFLTTSTGSVFEFRIPNHSGTAQENQISVTWLNHMHPPNTKAARGIAGLRFQLGRTLFALDDGRLAELHMPSLGGENSGPTHQFNMRKKVSSKYVWSILDAPETEGWNAEYCTEERGPMNCIAGIKDESNEAGNTRSLTRRRKGNKAQQEYLSPSTSRSALAETSKEYSFPDNWTSTNFRLRMMQAGVSFFMVTDEGLTFEYLYTENVWLWLRHDHSTPMRGSVGNYNGSLFFVDMYGTLLIRERSGNDLAWINCTAMRKGTQVTAGPPWDGKLKVTAEDALFFVSKSGRLLQFTVALRKFKWKDCRNPPETKLACIIDQEIFRENIVFVVGRNGRLYQYNKVTELWHEHHQSQHLVLSRLPGTAIRPSFFSLTGSLFMLSEDGGLVEYHWNAWDGWNWVEHGTPCKDVNLVAPPGPFFKGNQLLLIGSDGNVYLRYMDQLTWRWKNSGSPHDRDKDTEDQTKMENPNYSNGNCDPKVASTRPIPLSENSAIFELRDGRVSNSRP >KJB35745 pep chromosome:Graimondii2_0_v6:6:37889286:37894374:-1 gene:B456_006G126600 transcript:KJB35745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWCNSSAGDLYEYDKNSRPSWKKHLKSVDTSKEGSLIPLMGCTIHGLIGDHSISLFLLTKGGKLVERRLHQRKWKWINHESPEDHHLTSITPLLEDEPNEIFISLFLTTSTGSVFEFRIPNHSGTAQENQISVTWLNHMHPPNTKAARGIAGLRFQLGRTLFALDDGRLAELHMPSLGGENSGPTHQFNMRKKVSSKYVWSILDAPETEGWNAEYCTEERGPMNCIAGIKDESNEAGNTRSLTRRRKGNKAQQEYLSPSTSRSALAETSKEYSFPDNWTSTNFRLRMMQAGVSFFMVTDEGLTFEYLYTENVWLWLRHDHSTPMRGSVGNYNGSLFFVDMYGTLLIRERSGNDLAWINCTAMRKGTQVTAGPPWDGKLKVTAEDALFFVSKSGRLLQFTVALRKFKWKDCRNPPETKLACIIDQEIFRENIVFVVGRNGRLYQYNKVTELWHEHHQSQHLVLSRLPGTAIRPSFFSLTGSLFMLSEDGGLVEYHWNAWDGWNWVEHGTPCKDVNLVAPPGPFFKGNQLLLIGSDGNVYLRYMDQLTWRWKNSGSPHDRDKDTEDQTKMENPNYSNGNCDPKVASTRPIPLSENSAIFELRDGRLAEIREVQDKQWVWIRIIGTPTSTCTASYWIALAS >KJB35746 pep chromosome:Graimondii2_0_v6:6:37889286:37897129:-1 gene:B456_006G126600 transcript:KJB35746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFRLKLFIWVLLSISYLAFFTSASLCKQFVQQTTRQFEQETDRFWEFQEQSNRWVEVKLPFDLVSCVNDNCNKVGLIDQKKGTKEENLGNEKDASNQNNNKMKDGNIGRLDENSYAILPQRKRISLTKMSDTSIWVTGESGSIYERFWNGVQWVIAPHDLHLSAGSAVSVLIVNQTILAISEEGNLYQMQLGDNLQPIWVEFKPAFNQSTNEEAEQSSVIQIKSSTVSYDGQRVYFCTKNGLLLELSEVEPPRWENHGRPPGADVAAIADAARIRTEVVYTISSAGDLYEYDKNSRPSWKKHLKSVDTSKEGSLIPLMGCTIHGLIGDHSISLFLLTKGGKLVERRLHQRKWKWINHESPEDHHLTSITPLLEDEPNEIFISLFLTTSTGSVFEFRIPNHSGTAQENQISVTWLNHMHPPNTKAARGIAGLRFQLGRTLFALDDGRLAELHMPSLGGENSGPTHQFNMRKKVSSKYVWSILDAPETEGWNAEYCTEERGPMNCIAGIKDESNEAGNTRSLTRRRKGNKAQQEYLSPSTSRSALAETSKEYSFPDNWTSTNFRLRMMQAGVSFFMVTDEGLTFEYLYTENVWLWLRHDHSTPMRGSVGNYNGSLFFVDMYGTLLIRERSGNDLAWINCTAMRKGTQVTAGPPWDGKLKVTAEDALFFVSKSGRLLQFTVALRKFKWKDCRNPPETKLACIIDQEIFRENIVFVVGRNGRLYQYNKVTELWHEHHQSQHLVLSRLPGTAIRPSFFSLTGSLFMLSEDGGLVEYHWNAWDGWNWVEHGTPCKDVNLVAPPGPFFKGNQLLLIGSDGNVYLRYMDQLTWRWKNSGSPHDRDKDTEDQTKMENPNYSNGNCDPKVASTRPIPLSENSAIFELRDGRLAEIREVQDKQWVWIRIIGTPTSTCTASYWIALAS >KJB35744 pep chromosome:Graimondii2_0_v6:6:37889286:37891869:-1 gene:B456_006G126600 transcript:KJB35744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPNTKAARGIAGLRFQLGRTLFALDDGRLAELHMPSLGGENSGPTHQFNMRKKVSSKYVWSILDAPETEGWNAEYCTEERGPMNCIAGIKDESNEAGNTRSLTRRRKGNKAQQEYLSPSTSRSALAETSKEYSFPDNWTSTNFRLRMMQAGVSFFMVTDEGLTFEYLYTENVWLWLRHDHSTPMRGSVGNYNGSLFFVDMYGTLLIRERSGNDLAWINCTAMRKGTQVTAGPPWDGKLKVTAEDALFFVSKSGRLLQFTVALRKFKWKDCRNPPETKLACIIDQEIFRENIVFVVGRNGRLYQYNKVTELWHEHHQSQHLVLSRLPGTAIRPSFFSLTGSLFMLSEDGGLVEYHWNAWDGWNWVEHGTPCKDVNLVAPPGPFFKGNQLLLIGSDGNVYLRYMDQLTWRWKNSGSPHDRDKDTEDQTKMENPNYSNGNCDPKVASTRPIPLSENSAIFELRDGRLAEIREVQDKQWVWIRIIGTPTSTCTASYWIALAS >KJB36084 pep chromosome:Graimondii2_0_v6:6:39695197:39697323:1 gene:B456_006G140200 transcript:KJB36084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTPPSCQNQNSQPNSELLRFLSAPSSLLAPFSESLDTGLSKGGPHSDRLIYRFMNPSQDKSGTEATVDHANSRQTFPGLPPHYPRQTSSAMDTSYFLLGMDPRCGKPGTSSLLRQSSSPPGLFTNLSVQTGLGSYTNGELSPSSSNRLKNQISFSSRLPSSLGILSQISEIGDDAKLGTGYQYGSWNDSANFPENFSGSKTTQDNDPNFFSANQNSDSGSRLHVLSHHLSLPKTSNETPAMEKFLSFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVDYINDLQKQFKVTEREPQFPIQHFFLVLCAFFSSYTCNNMIFFSDAKRPSCKLQVLKYLKPIPNQVL >KJB36082 pep chromosome:Graimondii2_0_v6:6:39694965:39697535:1 gene:B456_006G140200 transcript:KJB36082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTPPSCQNQNSQPNSELLRFLSAPSSLLAPFSESLDTGLSKGGPHSDRLIYRFMNPSQDKSGTEATVDHANSRQTFPGLPPHYPRQTSSAMDTSYFLLGMDPRCGKPGTSSLLRQSSSPPGLFTNLSVQTGLGSYTNGELSPSSSNRLKNQISFSSRLPSSLGILSQISEIGDDAKLGTGYQYGSWNDSANFPENFSGSKTTQDNDPNFFSANQNSDSGSRLHVLSHHLSLPKTSNETPAMEKFLSFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVDYINDLQKQFKTLSDRRANCKCLNI >KJB36083 pep chromosome:Graimondii2_0_v6:6:39695197:39697341:1 gene:B456_006G140200 transcript:KJB36083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTPPSCQNQNSQPNSELLRFLSAPSSLLAPFSESLDTGLSKGGPHSDRLIYRFMNPSQDKSGTEATVDHANSRQTFPGLPPHYPRQTSSAMDTSYFLLGMDPRCGKPGTSSLLRQSSSPPGLFTNLSVQTGLGSYTNGELSPSSSNRLKNQISFSSRLPSSLGILSQISEIGDDAKLGTGYQYGSWNDSANFPENFSGSKTTQDNDPNFFSANQNSDSGSRLHVLSHHLSLPKTSNETPAMEKFLSFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKVWFRLFFFPLSSSRFGN >KJB36085 pep chromosome:Graimondii2_0_v6:6:39695474:39696724:1 gene:B456_006G140200 transcript:KJB36085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTPPSCQNQNSQPNSELLRFLSAPSSLLAPFSESLDTGLSKGGPHSDRLIYRFMNPSQDKSGTEATVDHANSRQTFPGLPPHYPRQTSSAMDTSYFLLGMDPRCGKPGTSSLLRQSSSPPGLFTNLSVQTGLGSYTNGELSPSSSNRLKNQISFSSRLPSSLGILSQISEIGDDAKLGTGYQYGSWNDSANFPENFSGSKTTQDNDPNFFSANQNSDSGSRLHVLSHHLSLPKTSNETPAMEKFLSFQDSVPCKIRAKRGCATHPRSIAERVKNTFISY >KJB36086 pep chromosome:Graimondii2_0_v6:6:39695197:39697341:1 gene:B456_006G140200 transcript:KJB36086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTPPSCQNQNSQPNSELLRFLSAPSSLLAPFSESLDTGLSKGGPHSDRLIYRFMNPSQDKSGTEATVDHANSRQTFPGLPPHYPRQTSSAMDTSYFLLGMDPRCGKPGTSSLLRQSSSPPGLFTNLSVQTGLGSYTNGELSPSSSNRLKNQISFSSRLPSSLGILSQISEIGDDAKLGTGYQYGSWNDSANFPENFSGSKTTQDNDPNFFSANQNSDSGSRLHVLSHHLSLPKTSNETPAMEKFLSFQDSVPCKIRAKRGCATHPRSIAERVKNTFISY >KJB38179 pep chromosome:Graimondii2_0_v6:6:48726594:48729832:-1 gene:B456_006G240900 transcript:KJB38179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVFGFVGNGFAVVAADTSAVHSILVHKSNEDKIMVLDSHKLIAASGESGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYFVNILLAGYDKETGPSLYYIDYIATLHKVDKGAFGYGSYFSLSMMDRHYHSGMTVEEAIDLVDKCIMEIRSRLVVAPPNFVIKIVDKDGAREYAWRESVKDAAVASA >KJB33152 pep chromosome:Graimondii2_0_v6:6:596994:597390:1 gene:B456_006G0023002 transcript:KJB33152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSPADQTPPSSGMQSLPVESQPPSSSSTPQMSLEEKFKIIRSVEEECIQEDELLNLLNHKPEPICYDGFEPSGRMHIAQ >KJB36141 pep chromosome:Graimondii2_0_v6:6:40111250:40113407:-1 gene:B456_006G143200 transcript:KJB36141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTLLAYFLIPAVFLVQVTCFQFNFSTFQKEDESQLTLSRNSYIVLGAIQVTPDVNGGSMQNLSGRALYKKPFRLWKGNHTTIASFNTSFVLNIRNQTSPGGEGVAFLITGNSSLPDNSQGQWLGMVNANTNGSSQASVVAVEFDTRKSDDQDMDGNHIGLNINSIHSTKQVSLSNYGVNISGREDLRVHLRYDGQKLSVFIGDNQTLVLSQSLDLSTHLPEKVFMGFSGSTSNETELNCVKSWAFSGTDIGGDRNLRWVWIMVPVASVGILVGVAMYLWLRRVYKEEDMEGQGARLQGNIEDEIKRSNLGPRRFGLKELKQATGNFNPKNKLGKGGFGTVYKGTWRNNDVAVKRVSKKSHQGKQEFIAEVTTIGHLNHKNLVKLIGWCYEKREFLLVYEYMPHGSLDKFIFCDDKPTLQEESTLNWEQRLLIIQGVAQALDYLHNGCQKRVLHRDIKSSNIMLDSEFNAKLGDFGLARTIQEKEKTHHSTIEIAGTPGYMAPETFLISRATVETDVYSFGVLVMEVVCGRRPGNQSELNNYNNSIVNRVWDYYRKGSISGAADSRMDGDFDEKEVECVLVIGLGCCHPNPHYRPSMRTVLQVLSGEADPPQVPQERPSFVWPAMPPSFNHMDDSLTGSQLTPFTDLSGR >KJB35025 pep chromosome:Graimondii2_0_v6:6:33806590:33809379:1 gene:B456_006G097500 transcript:KJB35025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIGVLMTCPMSEYLENQLENRFNLFKLWNHSSPKPDFLKTHSSSIKAVVGNTKIGADANMIDSLPNLEIVASYSDGLDKIDLGKCKEKGIKVTNTPDVLTDDVADLGIGLALAVLRKICVCDRFVRSGNWINGDFALASKFSGKSVGIVGLGRIGSAIAKRAEAFNCSISYHSRSKKPNTNYKYYSNIADLAADCQILFVACALTEETHHIINRKVIDALGPKGILINIGRGAHVDEPELVSALLEGRLGGAGLDVYENEPNVPEQLFSLENIVLVPHVGSDTEETSKAMADLVISNLEAHFKCKPLLTPVL >KJB33561 pep chromosome:Graimondii2_0_v6:6:4179363:4184250:1 gene:B456_006G017900 transcript:KJB33561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGLATFLFRIYTSKKNVSRVKFMSSNRYIHSFRQFENWVSDTDPPSFHVPRREFSTSLVGLVGKFRNYGDANVRPFSSVVKNGGDDGGEDNGMHDSEMEKNEHGKHIFDFVKLVDFDGENENKVEDDVDDLNENGIYGSKDVELEHGNDKENKRIVDFMRVNDFDGVHENSDEDGIDGSKEDGIDGSKEDGIDRTVNRKQVGFRNPVELYQELRNNEKPAKLCREDWEILQQVFSYFCRSGWAANQSLAIYIGRSFFPTAAHKFRSFFFKNCSADVTEYLVSLGPSNAAVKFLFPIFVEFCIEEFPDEIKQFRSMIQSADLTAPHTWFPFARAMKRKIIYHCGPTNSGKTYNALQRFMEAKKGIYCSPLRLLAMEVFDKVNAQGIYCSLHTGQEKKYVPFSNHVACTVEMVSTEELYDVAVIDEIQMMSDPYRGHAWTRALLGLKADEIHLCGDPTVLNIVRKICSDTGDELHEHHYDRFKPLVVEAKTLLGDLQNVRSGDCVVAFSRREIFEVKMAIEKHTSHRCCVIYGALPPETRRHQANLFNDQDNEFDVLVASDAVGMGLNLNIRRVVFYSLSKYNGDKIVPVPASQVKQIAGRAGRRGSRYPDGLTTTLHLNDLDYLIECLKQPFEEVKKVGLFPFFEQVELFAGQFPNVTFCKLLEKFGENCRLDGLYFLCRHDHIKKVANMLEKVQGISLEDRFNFCFAPVNIRDPKAMYHLLRFASAYSQNVPVSIAMGMPKGSAKNDSELLDLETKHQVLSMYLWLSHHFREETFPYVKKAEEMAIDVADLLGKSLVNACWKPESRQRKKSNPEKKEEGYQRPRSLIKLHDKKRADKSVPADNSSKVAA >KJB37468 pep chromosome:Graimondii2_0_v6:6:46211226:46215591:-1 gene:B456_006G206500 transcript:KJB37468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEPEEDKACPFPPKQQPQGIMDSVDDDDDGVDLQNSWPLDQLTFLSNPPSPFIISSSEQPFSPLWTFSDEDSKLGSAAGYNLFLTCTSNSVNENPKEDDNKRGLSSPFLGPVPLENPDSYCAMKERMTQALRYFKESTQQHVLAQVWAPIKSGGRYVLTTSGQPFVLDPNSNGLHQYRMVSLMYMFSVDGESDKQLGLPGRVFLQKLPEWTPNVQYYSSKEYSRLGHALHYNVQGTLALPVFEPSMQSCVGVLELIMTSQKINYAPEVDKVCRALQAVNLKSSEILDHPITQICNKSRQNALAEILEILTVVCENHKLPLAQTWVPCQHRSVLANGGGLKRSCTSFDGSCMGQVCMSTTDLASYVVDAHTWGFREACLEHHLQKGQGVAGRAFLCHNSCFCADITQFCKTEYPLVHYARMFGLTACFAICLRSAYTGDDDYVLEFFLPSAIVDSNEQRTLLGSILATMKQHFRSLKVASGDKLEESEGFIQIIEASSDERHDSILESFPIPPSVKSPPGINTSPDRELQLDSSRQYLTMNLDPATTGGSIAASGSDKPICLPQNKDGKKLERKRGKTEKSISLEVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRRINKVNRSLSKLKCVIESVQGTTDSTFGLASLASSPLPVTVGSVSWPTSLKGSNQQNSPNSKPLEPQGEKDDSTQGSNGQALVEDWLLGGRTSSQELFPQLNGLSPNMDEGEEVLRCQREESADMPTSRGLCQGNPEIESAGTKDPLNSIQCFKAHSPPELAFQSLGGLNVSATFSMPEALLATECQEPFGGMLVEGAGSSKDLRNLCPSAAEAAVDETPPPYSDLALKQATTTYTQSTPNLTARQEKTSVTIKATYREDIIRFRISLTSGIVELKEEVTKRLKLDVGTFEIKYLDEDNEWVLIACDSDLQECLDVSISSGCYMVRLSVQSAMANLGSSCESTGVL >KJB37469 pep chromosome:Graimondii2_0_v6:6:46211229:46215554:-1 gene:B456_006G206500 transcript:KJB37469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEPEEDKACPFPPKQQPQGIMDSVDDDDDGVDLQNSWPLDQLTFLSNPPSPFIISSSEQPFSPLWTFSDEDSKLGSAAGYNLFLTCTSNSVNENPKEDDNKRGLSSPFLGPVPLENPDSYCAMKERMTQALRYFKESTQQHVLAQVWAPIKSGGRYVLTTSGQPFVLDPNSNGLHQYRMVSLMYMFSVDGESDKQLGLPGRVFLQKLPEWTPNVQYYSSKEYSRLGHALHYNVQGTLALPVFEPSMQSCVGVLELIMTSQKINYAPEVDKVCRALQAVNLKSSEILDHPITQICNKSRQNALAEILEILTVVCENHKLPLAQTWVPCQHRSVLANGGGLKRSCTSFDGSCMGQVCMSTTDLASYVVDAHTWGFREACLEHHLQKGQGVAGRAFLCHNSCFCADITQFCKTEYPLVHYARMFGLTACFAICLRSAYTGDDDYVLEFFLPSAIVDSNEQRTLLGSILATMKQHFRSLKVASGDKLEESEGFIQIIEASSDERHDSILESFPIPPSVKSPPGINTSPDRELQLDSSRQYLTMNLDPATTGGSIAASGSDKPICLPQNKDGKKLERKRGKTEKSISLEVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRRINKVNRSLSKLKCVIESVQGTTDSTFGLASLASSPLPVTVGSVSWPTSLKGSNQQNSPNSKPLEPQGEKDDSTQGSNGQALVEDWLLGGRTSSQELFPQLNGLSPNMDEGEEVLRCQREESADMPTSRGLCQGGLNVSATFSMPEALLATECQEPFGGMLVEGAGSSKDLRNLCPSAAEAAVDETPPPYSDLALKQATTTYTQSTPNLTARQEKTSVTIKATYREDIIRFRISLTSGIVELKEEVTKRLKLDVGTFEIKYLDEDNEWVLIACDSDLQECLDVSISSGCYMVRLSVQSAMANLGSSCESTGVL >KJB37801 pep chromosome:Graimondii2_0_v6:6:48044846:48045645:-1 gene:B456_006G230600 transcript:KJB37801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPSMWKGLVAIFMAGILAGSVSVKAQMVADIVTDEFFDGILNQADASCEGRNFYSRAAFLEALSSFTQFGTADDTRREVAAFFAHVTHETGHFCYIEEINGATRDYCDETNTQYPCNPDKGYYGRGPIQLSWNFNYGPAGESIGFDGLNSPETVATDPVISFKTALWYWENFVQPVISQGFGATIRAINGAIECDGGNQAAVQARINYYTQYCSQLGVDSGPNLSC >KJB37800 pep chromosome:Graimondii2_0_v6:6:48044726:48045731:-1 gene:B456_006G230600 transcript:KJB37800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPSMWKGLVAIFMAGILAGSVSVKAQMVADIVTDEFFDGILNQADASCEGRNFYSRAAFLEALSSFTQFDFCYIEEINGATRDYCDETNTQYPCNPDKGYYGRGPIQLSWNFNYGPAGESIGFDGLNSPETVATDPVISFKTALWYWENFVQPVISQGFGATIRAINGAIECDGGNQAAVQARINYYTQYCSQLGVDSGPNLSC >KJB38750 pep chromosome:Graimondii2_0_v6:6:50778133:50781277:1 gene:B456_006G270400 transcript:KJB38750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQDGNQQSQQLILGHNVFLLKHPDVPDIEKVRLKDEVLISVKSNEMAPYYETLAADKVVELDQDVLDSMRAKNEEEIKKLDEKIADAEENLGESEVREAHLAKSLYYIRIGDKEKALEQLKLTETKTVAVGQKMDLVFYTLQIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKATDLFLGSISTFTTYELFPYETFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIRKIPNLSEFLNSLYDCQYKSFFLAFGMYSYRIITLNRISKTSFIDQFVWNYISAGLTEQIKLDRYLHPHFRFYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >KJB38751 pep chromosome:Graimondii2_0_v6:6:50778432:50781277:1 gene:B456_006G270400 transcript:KJB38751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVVVEMAPYYETLAADKVVELDQDVLDSMRAKNEEEIKKLDEKIADAEENLGESEVREAHLAKSLYYIRIGDKEKALEQLKLTETKTVAVGQKMDLVFYTLQIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKATDLFLGSISTFTTYELFPYETFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIRKIPNLSEFLNSLYDCQYKSFFLAFAGLTEQIKLDRYLHPHFRFYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >KJB38749 pep chromosome:Graimondii2_0_v6:6:50778074:50781277:1 gene:B456_006G270400 transcript:KJB38749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQDGNQQSQQLILGHNVFLLKHPDVPDIEKVRLKDEVLISVKSNEMAPYYETLAADKVVELDQDVLDSMRAKNEEEIKKLDEKIADAEENLGESEVREAHLAKSLYYIRIGDKEKALEQLKLTETKTVAVGQKMDLVFYTLQIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKATDLFLGSISTFTTYELFPYETFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIRKIPNLSEFLNSLYDCQYKSFFLAFAGLTEQIKLDRYLHPHFRFYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >KJB35461 pep chromosome:Graimondii2_0_v6:6:36484965:36489409:1 gene:B456_006G115800 transcript:KJB35461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGDESWSEKVEDLVAAGDTQGAISFLENLISQLQTASSSDDLRLPSALSDLASLYSSIGFSLKSDQLLSRASLLKRHAHSSSIGLKNKDLKEDSLTSSDVSLAENDNPLTHGNLEKLPVLPGDCWTPKSSSDDDWEAIADREPSELLSSECLPGVSNLSLEDSKVEAPKRRGRGTFSYRKTELYSDRLSDVSASKDTDNEDVCKHPETKTMESKYGMHHVLVLADFSPSTRTTDLEKLFEDFRDRGVAIRWVNDTTALAVFRTPSVALEARNHVRCPFTIRILDKDDILLGSISAKGFDGGEMCAVHHSDLEPPRQRPQTSARTAQRLIAQAIGSKLPSNFGSRELRSQEEARRTRIVTRQKLRDDAWGDE >KJB35456 pep chromosome:Graimondii2_0_v6:6:36484886:36489775:1 gene:B456_006G115800 transcript:KJB35456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGDESWSEKVEDLVAAGDTQGAISFLENLISQLQTASSSDDLRLPSALSDLASLYSSIGFSLKSDQLLSRASLLKRHAHSSSIGLKNKDLKEDSLTSSDVSLAENDNPLTHGNLEKLPVLPGDCWTPKSSSDDDWEAIADREPSELLSSECLPGVSNLSLEDSKVEAPKRRGRGTFSYRKTELYSDRLSDVSASKDTDNEDVCKHPETKTMESKYGMHHVLVLADFSPSTRTTDLEKLFEDFRDRGVAIRWVNDTTALAVFRTPSVDLEPPRQRPQTSARTAQRLIAQAIGSKLPSNFGSRELRSQEEARRTRIVTRQKLRDDAWGDE >KJB35460 pep chromosome:Graimondii2_0_v6:6:36484965:36489409:1 gene:B456_006G115800 transcript:KJB35460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLQGLKNKDLKEDSLTSSDVSLAENDNPLTHGNLEKLPVLPGDCWTPKSSSDDDWEAIADREPSELLSSECLPGVSNLSLEDSKVEAPKRRGRGTFSYRKTELYSDRLSDVSASKDTDNEDVCKHPETKTMESKYGMHHVLVLADFSPSTRTTDLEKLFEDFRDRGVAIRWVNDTTALAVFRTPSVALEARNHVRCPFTIRILDKDDILLGSISAKDLEPPRQRPQTSARTAQRLIAQAIGSKLPSNFGSRELRSQEEARRTRIVTRQKLRDDAWGDE >KJB35458 pep chromosome:Graimondii2_0_v6:6:36484965:36489409:1 gene:B456_006G115800 transcript:KJB35458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGDESWSEKVEDLVAAGDTQGAISFLENLISQLQTASSSDDLRLPSALSDLASLYSSIGFSLKSDQLLSRASLLKRHAHSSSIGLKNKDLKEDSLTSSDVSLAENDNPLTHGNLEKLPVLPGDCWTPKSSSDDVFWMTCYGIAILMVCTDWEAIADREPSELLSSECLPGVSNLSLEDSKVEAPKRRGRGTFSYRKTELYSDRLSDVSASKDTDNEDVCKHPETKTMESKYGMHHVLVLADFSPSTRTTDLEKLFEDFRDRGVAIRWVNDTTALAVFRTPSVALEARNHVRCPFTIRILDKDDILLGSISAKDLEPPRQRPQTSARTAQRLIAQAIGSKLPSNFGSRELRSQEEARRTRIVTRQKLRDDAWGDE >KJB35459 pep chromosome:Graimondii2_0_v6:6:36484965:36489409:1 gene:B456_006G115800 transcript:KJB35459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGDESWSEKVEDLVAAGDTQGAISFLENLISQLQTASSSDDLRLPSALSDLASLYSSIGFSLKSDQLLSRASLLKRHAHSSSIGLKNKDLKEDSLTSSDVSLAENDNPLTHGNLEKLPVLPGDCWTPKSSSDDDWEAIADREPSELLSSECLPGVSNLSLEDSKVEAPKRRGRGTFSYRKTELYSDRLSDVSASKDTDNEDVCKHPETKTMESKYGMHHVLVLADFSPSTRTTDLEKLFEDFRDRGVAIRWVNDTTALAVFRTPSPLKPATMFDVRLLYVYLTRMIYFWAQFQQKIWSLLAKGHRHRQELPRG >KJB35455 pep chromosome:Graimondii2_0_v6:6:36484886:36489775:1 gene:B456_006G115800 transcript:KJB35455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGDESWSEKVEDLVAAGDTQGAISFLENLISQLQTASSSDDLRLPSALSDLASLYSSIGFSLKSDQLLSRASLLKRHAHSSSIGLKNKDLKEDSLTSSDVSLAENDNPLTHGNLEKLPVLPGDCWTPKSSSDDDWEAIADREPSELLSSECLPGVSNLSLEDSKVEAPKRRGRGTFSYRKTELYSDRLSDVSASKDTDNEDVCKHPETKTMESKYGMHHVLVLADFSPSTRTTDLEKLFEDFRDRGVAIRWVNDTTALAVFRTPSVALEARNHVRCPFTIRILDKDDILLGSISAKDLEPPRQRPQTSARTAQRLIAQAIGSKLPSNFGSRELRSQEEARRTRIVTRQKLRDDAWGDE >KJB35457 pep chromosome:Graimondii2_0_v6:6:36484965:36487323:1 gene:B456_006G115800 transcript:KJB35457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGDESWSEKVEDLVAAGDTQGAISFLENLISQLQTASSSDDLRLPSALSDLASLYSSIGFSLKSDQLLSRASLLKRHAHSSSIGLKNKDLKEDSLTSSDVSLAENDNPLTHGNLEKLPVLPGDCWTPKSSSDDDWEAIADREPSELLSSECLPGVSNLSLEDSKVEAPKRRGRGTFSYRKTELYSDRLSDVSASKDTDNEDVCKHPETKTMESKYGMHHVLVLADFSPSTRTTDLEKLFEDFRDRGVAIRWVNDTTALAVFRTPSVGNNY >KJB33682 pep chromosome:Graimondii2_0_v6:6:6741635:6746373:1 gene:B456_006G026200 transcript:KJB33682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRYFLGFFLLLLINFSLVPAEVNGSVLKMRGGTSSVQFDPTSVTQLSWHPKAFIYKGFLSSEECDHLIILAKDKLEKSMVADDVSGQSIESEVRTSSGMFLEKALDEVVADIEARTAAWTFHPVENGEALQILHYQHGQKYEQHFGYFYDKVNLERGGHRIATVLMYLSDVESSGKTVFPNSEGKLTQPKDDSWSDCAKTGYAMKPRKADTLLFFNLHPDTTTDPKSLHGSCPVIKSEKWSATKWIHVQSFDNMESQTEDCVDKNGNCPFWAKAGECEKNPAYMVGSEEFTGYCRKSCKVCSS >KJB33683 pep chromosome:Graimondii2_0_v6:6:6741834:6746314:1 gene:B456_006G026200 transcript:KJB33683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRYFLGFFLLLLINFSLVPAEVNGSVLKMRGGTSSVQFDPTSVTQLSWHPKAFIYKGFLSSEECDHLIILAKDKLEKSMVADDVSGQSIESEVRTSSGMFLEKALDEVVADIEARTAAWTFHPVENGEALQILHYQHGQKYEQHFGYFYDKVNLERGGHRIATVLMYLSDVESSGKTVFPNSEGKLTQPKDDSWSDCAKTGY >KJB33684 pep chromosome:Graimondii2_0_v6:6:6741834:6746314:1 gene:B456_006G026200 transcript:KJB33684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRYFLGFFLLLLINFSLVPAEVNGSVLKMRGGTSSVQFDPTSVTQLSWHPKAFIYKGFLSSEECDHLIILAKDKLEKSMVADDVSGQSIESEVRTSSGMFLEKALDEVVADIEARTAHGQKYEQHFGYFYDKVNLERGGHRIATVLMYLSDVESSGKTVFPNSEGKLTQPKDDSWSDCAKTGYAMKPRKADTLLFFNLHPDTTTDPKSLHGSCPVIKSEKWSATKWIHVQSFDNMESQTEDCVDKNGNCPFWAKAGECEKNPAYMVGSEEFTGYCRKSCKVCSS >KJB37253 pep chromosome:Graimondii2_0_v6:6:45289020:45294261:-1 gene:B456_006G196000 transcript:KJB37253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSYQTNTKLLREAIFKTKQNKTKLFVSFSFSSGIINMSTQRIDPLVQVETTCRALLNELQIIWEEVGETDADRDEMLLELERECVEVYRRKVDQANHSKAHIRQTIADSEAELAAICSAMGERPVHIRQSDQNIGSLKEELRKMLSQVEEMKKRKEERRNHFIDVLDQIEMIINEINGSTESVSSETVVDETDLSLMRLEELHRQLHELLKEKSNRLKQVQDHLNTLNSLCSVMGMDFKLTATEVHPSLGDSEGSRSISNNTLEQLGTEINKLREVKIQRMERLQDLATTMLELWNLMDTPIEEQQKFQNVTCNVAASEHEITEPNTLSDDFINYVEEEVSRLEDLKSSKMKEIILKKRAELKEISTKTHLIPDTQLEDAIEAIDSGVIDAATILEQIELQIAKVKEEAFSRKEILEKVEKWLAACEEESWLEEYNRDENRYNAGRGTHLTLKRAEKARSLVNKLPGRVEALTSKIMAWEEERGTEFLYDGTPVLSMLEDYANLREEKEQERRRLRDQKRLQGQLIAEQEVLYGAKQSPPKPQSAKKGSKQCTGVPSTKKVALGGPMLQAHKLDSVNSPRISQTRSNKKTEFMLQNDAIPASPAFKRGQDIADAPFRKHPSNGINTNEIESPLKTIPTNDPSNNTMTPLKTISSVDEENRKPKAMHSTASVQMQTDITPPPTLQTSLVEETHEEMEQSFEEKRLALMHSDKQIPSMIQV >KJB37254 pep chromosome:Graimondii2_0_v6:6:45289023:45294261:-1 gene:B456_006G196000 transcript:KJB37254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSYQTNTKLLREAIFKTKQNKTKLFVSFSFSSGIINMSTQRIDPLVQVETTCRALLNELQIIWEEVGETDADRDEMLLELERECVEVYRRKVDQANHSKAHIRQTIADSEAELAAICSAMGERPVHIRQSDQNIGSLKEELRKMLSQVEEMKKRKEERRNHFIDVLDQIEMIINEINGSTESVSSETVVDETDLSLMRLEELHRQLHELLKEKSNRLKQVQDHLNTLNSLCSVMGMDFKLTATEVHPSLGDSEGSRSISNNTLEQLGTEINKLREVKIQRMERLQDLATTMLELWNLMDTPIEEQQKFQNVTCNVAASEHEITEPNTLSDDFINYVEEEVSRLEDLKSSKMKEIILKKRAELKEISTKTHLIPDTQLEDAIEAIDSGVIDAATILEQIELQIAKVKEEAFSRKEILEKVEKWLAACEEESWLEEYNRDENRYNAGRGTHLTLKRAEKARSLVNKLPGRVEALTSKIMAWEEERGTEFLYDGDQKRLQGQLIAEQEVLYGAKQSPPKPQSAKKGSKQCTGVPSTKKVALGGPMLQAHKLDSVNSPRISQTRSNKKTEFMLQNDAIPASPAFKRGQDIADAPFRKHPSNGINTNEIESPLVRKPFSPISLPVSSKANMTNKLEDNGESKTLQKTIPTNDPSNNTMTPLKTISSVDEENRKPKAMHSTASVQMQTDITPPPTLQTSLVEETHEEMEQSFEEKRLALMHSDKQIPSMIQV >KJB37255 pep chromosome:Graimondii2_0_v6:6:45289204:45294261:-1 gene:B456_006G196000 transcript:KJB37255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSYQTNTKLLREAIFKTKQNKTKLFVSFSFSSGIINMSTQRIDPLVQVETTCRALLNELQIIWEEVGETDADRDEMLLELERECVEVYRRKVDQANHSKAHIRQTIADSEAELAAICSAMGERPVHIRQSDQNIGSLKEELRKMLSQVEEMKKRKEERRNHFIDVLDQIEMIINEINGSTESVSSETVVDETDLSLMRLEELHRQLHELLKEKSNRLKQVQDHLNTLNSLCSVMGMDFKLTATEVHPSLGDSEGSRSISNNTLEQLGTEINKLREVKIQRMERLQDLATTMLELWNLMDTPIEEQQKFQNVTCNVAASEHEITEPNTLSDDFINYVEEEVSRLEDLKSSKMKEIILKKRAELKEISTKTHLIPDTQLEDAIEAIDSGVIDAATILEQIELQIAKVKEEAFSRKEILEKVEKWLAACEEESWLEEYNRDENRYNAGRGTHLTLKRAEKARSLVNKLPGRVEALTSKIMAWEEERGTEFLYDGTPVLSMLEDYANLREEKEQERRRLRDQKRLQGQLIAEQEVLYGAKQSPPKPQSAKKGSKQCTGVPSTKKVALGGPMLQAHKLDSVNSPRISQTRSNKKTEFMLQNDAIPASPAFKRGQDIADAPFRKHPSNGINTNEIESPLVRKPFSPISLPVSSKANMTNKLEDNGESKTLQKTIPTNDPSNNTMTPLKTISSVDEENRKPKAMHSTASVQMQTDITPPPTLQTSLVEETHEEMEQSFEEKRLALMHSDKQIPSMIQV >KJB37380 pep chromosome:Graimondii2_0_v6:6:45892048:45896344:1 gene:B456_006G202600 transcript:KJB37380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHIVSSIDSSEFTHLTRTLSKSTVIGLDAEWKPVRSQRSTFPTVTLLQLACQIGDDSAGWDESVVFLLDLSSLPLPSIWELIKDVLVSPDILKLGFKFKQDLIYLSSTFRAQGGNPGFDQVEPYMDITNIYKFLQHKQGKKISKDTKSLSAICEEILGLHLSKELQCSDWSHRPLTEEQIRYAAKDAHCLLGIFKIFQAKVIKEGPLCNHVNEQHQSNVSLGLKGILEMPDCENKLVGRKFCNALDIVQATASSEECERIARGVEVIRKTKPMDESLWKIVRKYGEKLFLRVSDRNPKASRRKGKRLSSVVVCEEKQLENYGDWQGPPPWDISLGGDGCPKFLCDVMVEGLAKHLRCVGVDAAVPHSRKPEPRELIDQAYKEKRVLLTRDAKLLRHQYLIKNQIYRVNNLLKNEQLLEVIEAFQLKISEDQLMSRCTKCNGRFIQKPLTVEEAIEAAKGFQKIPNCLFDKNLEFWQCMDCNQLYWEVVYFLFSLILEMSFFSNTENTL >KJB37383 pep chromosome:Graimondii2_0_v6:6:45892058:45895511:1 gene:B456_006G202600 transcript:KJB37383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHIVSSIDSSEFTHLTRTLSKSTVIGLDAEWKPVRSQRSTFPTVTLLQLACQIGDDSAGWDESVVFLLDLSSLPLPSIWELIKDVLVSPDILKLGFKFKQDLIYLSSTFRAQGGNPGFDQVEPYMDITNIYKFLQHKQGKKISKDTKSLSAICEEILGLHLSKELQCSDWSHRPLTEEQIRYAAKDAHCLLGIFKIFQAKVIKEGPLCNHVNEQHQSNVSLGLKGILEMPDCENKLVGRKFCNALDIVQATASSEECERIARGVEVIRKTKPMDESLWKIVRKYGEKLFLRVSDRNPKASRRKGKRLSSVVVCEEKQLENYGDWQGPPPWDISLGGDGCPKFLCDVMVEGLAKHLRCVGVDAAVPHSRKPEPRLELIDQAYKEKRVLLTRDAKLLRHQYLIKNQIYRVNNLLKNEQLLEVCLQSYL >KJB37381 pep chromosome:Graimondii2_0_v6:6:45892048:45897408:1 gene:B456_006G202600 transcript:KJB37381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHIVSSIDSSEFTHLTRTLSKSTVIGLDAEWKPVRSQRSTFPTVTLLQLACQIGDDSAGWDESVVFLLDLSSLPLPSIWELIKDVLVSPDILKLGFKFKQDLIYLSSTFRAQGGNPGFDQVEPYMDITNIYKFLQHKQGKKISKDTKSLSAICEEILGLHLSKELQCSDWSHRPLTEEQIRYAAKDAHCLLGIFKIFQAKVIKEGPLCNHVNEQHQSNVSLGLKGILEMPDCENKLVGRKFCNALDIVQATASSEECERIARGVEVIRKTKPMDESLWKIVRKYGEKLFLRVSDRNPKASRRKGKRLSSVVVCEEKQLENYGDWQGPPPWDISLGGDGCPKFLCDVMVEGLAKHLRCVGVDAAVPHSRKPEPRELIDQAYKEKRVLLTRDAKLLRHQYLIKNQIYRVNNLLKNEQLLEVIEAFQLKISEDQLMSRCTKCNGRFIQKPLTVEEAIEAAKGFQKIPNCLFDKNLEFWQCMDCNQLYWEGTQYHNAVQKFIDVCQLKE >KJB37382 pep chromosome:Graimondii2_0_v6:6:45892048:45897408:1 gene:B456_006G202600 transcript:KJB37382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHIVSSIDSSEFTHLTRTLSKSTVIGLDAEWKPVRSQRSTFPTVTLLQLACQIGDDSAGWDESVVFLLDLSSLPLPSIWELIKDVLVSPDILKLGFKFKQDLIYLSSTFRAQGGNPGFDQVEPYMDITNIYKFLQHKQGKKISKDTKSLSAICEEILGLHLSKELQCSDWSHRPLTEEQIRYAAKDAHCLLGIFKIFQAKVIKEGPLCNHVNEQHQSNVSLGLKGILEMPDCENKLVGRKFCNALDIVQATASSEECERIARGVEVIRKTKPMDESLWKIVRKYGEKLFLRVSDRNPKASRRKGKRLSSVVVCEEKQLENYGDWQGPPPWDISLGGDGCPKFLCDVMVEGLAKHLRCVGVDAAVPHSRKPEPRELIDQAYKEKRVLLTRDAKLLRHQYLIKNQIYRVNNLLKNEQLLEVCLQSYL >KJB37550 pep chromosome:Graimondii2_0_v6:6:46479023:46483590:-1 gene:B456_006G209800 transcript:KJB37550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPYICFLIMFLASGVLCVTCSSFPTKEVWALTTFKEAIYEDPHLVLSNWNALDADPCGWFGITCNKERQHVIKINISGSSLKGFLAPEMSQVTYLQELTLRKNNLIGTIPKEFGMLKFLKVLDLGMNQLTGPIPTELGNLTSLVKICIHRNLQLNGLSGSLPAELGNLRNLSELILDRNKLQGPVPAENNSSFVAKIHGTNDSRTSETGLCGASQLKVVDLSYNFLVGSIPKCLEYLPSSSFQGNCLQEKDAKQRPIRQCGPQPSRSHQAPSLKHHSFKDAAKHQKASKPAWLLALEIATGIMAGSLFLVAILTAFQRCRSKPAIIIPWKKSGSEKEHLMVYVDSELLKDVTKFGRQELEVSCEDFSNIIGSSPDSIVYKGTMRGGPEIAVISLCIKEQHWTGYLELYFQREVADLARLNHENVGKLLGYCRESSPFTRMLVFEYASNGTLYEHLHYGEGSQLSWTRRMRIILGIARGLKYLHAELEPPFTISELSSSSVYLTEDFSPKLVDFESWKMILTRSEKNSGSIGNNGPACLLPNSLERRHLDIQGNIHSFGILLLEIVSGKPPYCKDKGCLIDWARDYLELPEVMSYIVDPELKHFSYDDLKVICEVISLCTHTDSSKRPSMKEISLMLESKIDTSVAIELKSSSLAWAELALSS >KJB37549 pep chromosome:Graimondii2_0_v6:6:46479022:46483664:-1 gene:B456_006G209800 transcript:KJB37549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPYICFLIMFLASGVLCVTCSSFPTKEVWALTTFKEAIYEDPHLVLSNWNALDADPCGWFGITCNKERQHVIKINISGSSLKGFLAPEMSQVTYLQELTLRKNNLIGTIPKEFGMLKFLKVLDLGMNQLTGPIPTELGNLTSLVKINLQLNGLSGSLPAELGNLRNLSELILDRNKLQGPVPAENNSSFVAKIHGTNDSRTSETGLCGASQLKVVDLSYNFLVGSIPKCLEYLPSSSFQGNCLQEKDAKQRPIRQCGPQPSRSHQAPSLKHHSFKDAAKHQKASKPAWLLALEIATGIMAGSLFLVAILTAFQRCRSKPAIIIPWKKSGSEKEHLMVYVDSELLKDVTKFGRQELEVSCEDFSNIIGSSPDSIVYKGTMRGGPEIAVISLCIKEQHWTGYLELYFQREVADLARLNHENVGKLLGYCRESSPFTRMLVFEYASNGTLYEHLHYGEGSQLSWTRRMRIILGIARGLKYLHAELEPPFTISELSSSSVYLTEDFSPKLVDFESWKMILTRSEKNSGSIGNNGPACLLPNSLERRHLDIQGNIHSFGILLLEIVSGKPPYCKDKGCLIDWARDYLELPEVMSYIVDPELKHFSYDDLKVICEVISLCTHTDSSKRPSMKEISLMLESKIDTSVAIELKSSSLAWAELALSS >KJB38605 pep chromosome:Graimondii2_0_v6:6:50270502:50272148:-1 gene:B456_006G262900 transcript:KJB38605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSFIFSILLLHLLFASLPSHRTLTFAADGSWQLLQKSVGISAMHMQLLRNDRVIMFDRTDFGPSNLLLPNGKCRNDPTDTALQVDCTAHSVEYDVFANKFRALTVQTDVWCSSGAIMPDGNLFQTGGFNDGERRVRVFSPCRTCDWNEIPNGLTAKRWYASNHILPDGRQIIVGGRGQFNYEFIPKNIVSNKINLPFLSETNDKGIENNLYPFVFLNVDGNLFIFANNRAILFDYVNNIVVKKYPMIPGGEPRSYPSTGSAVLLPLKNLKAAAIEAEVLVCGGAPKGSYLQSLKGTFMAALNTCARIKITDPNPEWVMETMPLARVMGDMILLPNGNVLLINGAGSGTAGWEQGRDPVLSPVLYQPDNNIGSRFEVQNPTTTPRMYHSTVALLRDGRVLVGGSNPHAFYNFTGVLFPTELSLEAFSPAYLDAKFDKMRPTIIAPKSMSGIRYRKKYTIQVVINSDKVDESSVSVTMFAPAFNTHSFSMNQRLLVLGNEKVTAVGNSIYNIEATTPKSGNLAPSGFYLLFVVHQGIPSQGIWVRLH >KJB33650 pep chromosome:Graimondii2_0_v6:6:6267492:6268365:-1 gene:B456_006G024100 transcript:KJB33650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIGDENQTTNLPSTNPEIPINLTAIADYYLTFNCISTMYQAYTHGDFPMVGFIVMVYFGYLGLTYCINQLRALPPTHASPKKDFLQSIIWVLATAILVGFALQFSTFVHPLVAVFVFAAAVSTSYFLFFLYFVHDCDPHQSANSCCIFRIKVSRGCCANYKVTNNGSKITRDVVPGPENV >KJB36648 pep chromosome:Graimondii2_0_v6:6:42851607:42853177:1 gene:B456_006G168700 transcript:KJB36648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNFAIAVVSLLSSPPNLSKPSLHSTHSEQPPSTNMGKTRGMGAGRKLRTHRRRQRWADKAYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KJB36649 pep chromosome:Graimondii2_0_v6:6:42851607:42853177:1 gene:B456_006G168700 transcript:KJB36649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNFAIAVVSLLSSPPNLSKPSLHSTHSEQPPSTNMGKTRGMGAGRKLRTHRRRQRWADKAYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENVSVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KJB34458 pep chromosome:Graimondii2_0_v6:6:26455657:26456335:1 gene:B456_006G066900 transcript:KJB34458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSSNNGPLIEILKGVNSDNGGDGEQKKNSSTKRQGSNLKATNTFRGKKSKRAGKPSKECRTTAEASSSRAATPSISTKRGREVDENKSGTRHVKKRSTK >KJB34669 pep chromosome:Graimondii2_0_v6:6:30198953:30204357:1 gene:B456_006G077500 transcript:KJB34669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLNIRDVLTAFSPSLDYFAISSGDGRIKIWDTLKGQIQTEFADIVSSEATNIYAKAERGHLSIDYKCMKWLSFDKKKKRKLGSSLLILGTGSGDVLALDVSAGQLKWKVSDCHPGGVSAIAFATNGSCIYSSGADGMICKIDSLTGNLLGKFRASTKSISCMAVSCDGKTLVRAAGQLKSFDCSNHKKIQKFTGHPGSVRCMIFTEDGKFILSSAAAERYIAVWRTDGGKKQSASCVLAMEHPAVFVDSKCVNGDIYVLAISETGVCYFWYGQDVEALCNAKPTKLSISLEDGLSKPHKGALPKIFAAKLQGVAKQASVHAFLAHGLLVKPLFQKMVVQYGADMLLSSSQDGVLLPRSQSLNKSKKGLDSQNRVIALDRANAEDAALPIPKIFYLHEEKEDRHRSLSVGTDDVMTDSIDHGSQPKFVDGKDASIKLDADSKALCMEDQLRSLGILDTCNSDSIVFDGINLEANLPPKKMRAAISSMEPGDAHKLLEDLVKLWQSRSCSGKYVLPWIYSLLLTHGHLMSQESITQMLNSLLKNTKSRGLALQPLLQLSGRLQLVTTQIDKAAQSRSQYSTSDHKMDESEDDDDDDDDDDDVDDVLYGEEDESQISSDDDN >KJB34668 pep chromosome:Graimondii2_0_v6:6:30198913:30205489:1 gene:B456_006G077500 transcript:KJB34668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLNIRDVLTAFSPSLDYFAISSGDGRIKIWDTLKGQIQTEFADIVSSEATNIYAKAERGHLSIDYKCMKWLSFDKKKKRKLGSSLLILGTGSGDVLALDVSAGQLKWKVSDCHPGGVSAIAFATNGSCIYSSGADGMICKIDSLTGNLLGKFRASTKSISCMAVSCDGKTLVRAAGQLKSFDCSNHKKIQKFTGHPGSVRCMIFTEDGKFILSSAAAERYIAVWRTDGGKKQSASCVLAMEHPAVFVDSKCVNGDIYVLAISETGVCYFWYGQDVEALCNAKPTKLSISLEDGLSKPHKGALPKIFAAKLQGVAKQASVHAFLAHGLLVKPLFQKMVVQYGADMLLSSSQDGVLLPRSQSLNKSKKGLDSQNRVIALDRANAEDAALPIPKIFYLHEEKEDRHRSLSVGTDDVMTDSIDHGSQPKFVDGKDASIKLDADSKALCMEDQLRSLGILDTCNSDSIVFDGINLEANLPPKKMRAAISSMEPGDAHKLLEDLVKLWQSRSCSGKYVLPWIYSLLLTHGHLMSQESITQMLNSLLKNTKSRGLALQPLLQLSGRLQLVTTQIDKAAQSRSQYSTSDHKMDESEDDDDDDDDDDDVDDVLYGEEDESQISSDDDN >KJB38121 pep chromosome:Graimondii2_0_v6:6:48519966:48526791:1 gene:B456_006G237700 transcript:KJB38121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRGDGGDGTSEESVNDDEDDDDEDSGGTGGVGSVRTVSPNIIAGSLSMSNHHHNHHHHQLQQHQQHQHRKSFTPPVKVIRTTPSAGMTASMTTSTSTWKPADEMIGVSVPRKARSATKRSHEWASSGGGGVGVLGGEQIHCQASTSPVRTGVTGALTSPSPAPASPSSSSASMRKKMKPNANGTKQRPPKSSSKSSSSAQEEIEIEIAEVLYGMMRQPQVPSKQEIIGNDSAKFDSREVNKPNNDSKSVVSSPISNSPSTLPQSSSILPSNSSSSATPMSAIAPKRKRPRPVKYEDENTTTTTPPPPSIFPPRHSSISSTTTKVEIDQPAKVEATSPNLEKNSGPVAENDSGACDLTSSSKAGPVSSELVQAEPVKEEKNNLALDSKPSTEESESRDIGFGNKEESQSPKKESLSSPADNPSSAGLPLDDEREKSTVTKANSTVCENESQREEKFQIDLMAPPPSRSSPEREGETDVGASDPKPVAADVELEMKSLVNEDDKRMKIGKGDVNVEVEDNNKKAQLSAEEADSQKPVVNKERNLDLQLDLEKSDRDSGSGSVSGNKLNHHVLKLHHQHPSVEKTAHSGSLPLPMSIASWPGGLPPMGYMAPLQGVVSMEGSAVSSAAIQPPHLLFSQPRPKRCATHCYIARNIHNHQQIMKMNAFWPAASGSASLYGPKACNLNVVPPSELHGNIPGRGVNSVQEKGQGLAIFPGHVCKDKSSQAATNMVDAAQRKQIMLQQALPPGAPNNIMQGPAFIFPLNQQQAAAAAAASVRPGYVKSPPAACSTAASSTSNSALLSATPAGATGAPAFSFNYPNITGNETQYLAILQNNAYPFPIPAHVGAPPAYRGNHAQPMPFIHGSFYSSPQMLHPSQLQQQQQQQPPTQLQQSQQGHQNTSMSSGSSSSQKNLQNQQQRSHGGDVSSGSGNSQVFHASKKDSPHPLQLRQQQQQLSQNDSHQARQLDGESDGKDGPSTATDSRVSRSNMNIYGQNFAMPVQPSNFALMTAASMNSAGNYGEKKQQTQQQSQQLGSKAGVEPLASQAFAMSFSSANGTTAPGLGISSLAPNHAILQSLPGSTRQGYQHIMAVQQKKDNYHAYEEGKRGTHDASSVQEEKKAGKSSGTAGQSIAFSRPDMPDSSDSTLAGKNVIDSSICTLGSAPARTSGPVMPASIGSVNVANAQQQLQRNQQQQLQFGAASAPRSKTPETSNGSAYPDHFHSSSIAAKFSNVLSAFPQNLIQSSSSPAQSPQWKNSVRTTSSQVPSQSLPSTSSLKNISQQQGRPQQSPTQISFASNPKSPQGQQPLSSTPTPSPMMVGSPTTSLSRSAGGSPRTTGSSSTSNKAGQASGLASQQAKNSPTVPSQKSSPVGGSNVPSVLGNPHICSSSNMGAKPQVALQHQQHQKHALHQGQLFFPNAYMQAQAQHSPSSTTPATTASAYYVQRQQQTLPLGSSTTSTSMLSLCSPVTLANSGTTDPAKAVAAAVASNMKGGLASQGLINPAQFATPQSTGKSHQLVPGFPCVHAVPSAVQVKPAEQKQPAGE >KJB38124 pep chromosome:Graimondii2_0_v6:6:48521202:48526232:1 gene:B456_006G237700 transcript:KJB38124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKMKPNANGTKQRPPKSSSKSSSSAQEEIEIEIAEVLYGMMRQPQVPSKQEIIGNDSAKFDSREVNKPNNDSKSVVSSPISNSPSTLPQSSSILPSNSSSSATPMSAIAPKRKRPRPVKYEDENTTTTTPPPPSIFPPRHSSISSTTTKVEIDQPAKVEATSPNLEKNSGPVAENDSGACDLTSSSKAGPVSSELVQAEPVKEEKNNLALDSKPSTEESESRDIGFGNKEESQSPKKESLSSPADNPSSAGLPLDDEREKSTVTKANSTVCENESQREEKFQIDLMAPPPSRSSPEREGETDVGASDPKPVAADVELEMKSLVNEDDKRMKIGKGDVNVEVEDNNKKAQLSAEEADSQKPVVNKERNLDLQLDLEKSDRDSGSGSVSGNKLNHHVLKLHHQHPSVEKTAHSGSLPLPMSIASWPGGLPPMGYMAPLQGVVSMEGSAVSSAAIQPPHLLFSQPRPKRCATHCYIARNIHNHQQIMKMNAFWPAASGSASLYGPKACNLNVVPPSELHGNIPGRGVNSVQEKGQGLAIFPGHVCKDKSSQAATNMVDAAQRKQIMLQQALPPGAPNNIMQGPAFIFPLNQQQAAAAAAASVRPGYVKSPPAACSTAASSTSNSALLSATPAGATGAPAFSFNYPNITGNETQYLAILQNNAYPFPIPAHVGAPPAYRGNHAQPMPFIHGSFYSSPQMLHPSQLQQQQQQQPPTQLQQSQQGHQNTSMSSGSSSSQKNLQNQQQRSHGGDVSSGSGNSQVFHASKKDSPHPLQLRQQQQQLSQNDSHQARQLDGESDGKDGPSTATDSRVSRSNMNIYGQNFAMPVQPSNFALMTAASMNSAGNYGEKKQQTQQQSQQLGSKAGVEPLASQAFAMSFSSANGTTAPGLGISSLAPNHAILQSLPGSTRQGYQHIMAVQQKKDNYHAYEEGKRGTHDASSVQEEKKAGKSSGTAGQSIAFSRPDMPDSSDSTLAGKNVIDSSICTLGSAPARTSGPVMPASIGSVNVANAQQQLQRNQQQQLQFGAASAPRSKTPETSNGSAYPDHFHSSSIAAKFSNVLSAFPQNLIQSSSSPAQSPQWKNSVRTTSSQVPSQSLPSTSSLKNISQQQGRPQQSPTQISFASNPKSPQGQQPLSSTPTPSPMMVGSPTTSLSRSAGGSPRTTGSSSTSNKAGQASGLASQQAKNSPTVPSQKSSPVGGSNVPSVLGNPHICSSSNMGAKPQVALQHQQHQKHALHQGQLFFPNAYMQAQAQHSPSSTTPATTASAYYVQRQQQTLPLGSSTTSTSMLSLCSPVTLANSGTTDPAKAVAAAVASNMKGGLASQGLINPAQFATPQSTGKSHQLVPGFPCVHAVPSAVQVKPAEQKQPAGE >KJB38123 pep chromosome:Graimondii2_0_v6:6:48519966:48526791:1 gene:B456_006G237700 transcript:KJB38123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTREARRVSMASAAATNGLSRRRHRTSSLRDSPEEDGPVETHETARLRDRKKDRDRERERYRERERDRLSRTSKRRRGDRLMSNRGDGGDGTSEESVNDDEDDDDEDSGGTGGVGSVRTVSPNIIAGSLSMSNHHHNHHHHQLQQHQQHQHRKSFTPPVKVIRTTPSAGMTASMTTSTSTWKPADEMIGVSVPRKARSGRATKRSHEWASSGGGGVGVLGGEQIHCQASTSPVRTGVTGALTSPSPAPASPSSSSASMRKKMKPNANGTKQRPPKSSSKSSSSAQEEIEIEIAEVLYGMMRQPQVPSKQEIIGNDSAKFDSREVNKPNNDSKSVVSSPISNSPSTLPQSSSILPSNSSSSATPMSAIAPKRKRPRPVKYEDENTTTTTPPPPSIFPPRHSSISSTTTKVEIDQPAKVEATSPNLEKNSGPVAENDSGACDLTSSSKAGPVSSELVQAEPVKEEKNNLALDSKPSTEESESRDIGFGNKEESQSPKKESLSSPADNPSSAGLPLDDEREKSTVTKANSTVCENESQREEKFQIDLMAPPPSRSSPEREGETDVGASDPKPVAADVELEMKSLVNEDDKRMKIGKGDVNVEVEDNNKKAQLSAEEADSQKPVVNKERNLDLQLDLEKSDRDSGSGSVSGNKLNHHVLKLHHQHPSVEKTAHSGSLPLPMSIASWPGGLPPMGYMAPLQGVVSMEGSAVSSAAIQPPHLLFSQPRPKRCATHCYIARNIHNHQQIMKMNAFWPAASGSASLYGPKACNLNVVPPSELHGNIPGRGVNSVQEKGQGLAIFPGHVCKDKSSQAATNMVDAAQRKQIMLQQALPPGAPNNIMQGPAFIFPLNQQQAAAAAAASVRPGYVKSPPAACSTAASSTSNSALLSATPAGATGAPAFSFNYPNITGNETQYLAILQNNAYPFPIPAHVGAPPAYRGNHAQPMPFIHGSFYSSPQMLHPSQLQQQQQQQPPTQLQQSQQGHQNTSMSSGSSSSQKNLQNQQQRSHGGDVSSGSGNSQVFHASKKDSPHPLQLRQQQQQLSQNDSHQARQLDGESDGKDGPSTATDSRVSRSNMNIYGQNFAMPVQPSNFALMTAASMNSAGNYGEKKQQTQQQSQQLGSKAGVEPLASQAFAMSFSSANGTTAPGLGISSLAPNHAILQSLPGSTRQGYQHIMAVQQKKDNYHAYEEGKRGTHDASSVQEEKKAGKSSGTAGQSIAFSRPDMPDSSDSTLAGKNVIDSSICTLGSAPARTSGPVMPASIGSVNVANAQQQLQRNQQQQLQFGAASAPRSKTPETSNGSAYPDHFHSSSIAAKFSNVLSAFPQNLIQSSSSPAQSPQWKNSVRTTSSQVPSQSLPSTSSLKNISQQQGRPQQSPTQISFASNPKSPQGQQPLSSTPTPSPMMVGSPTTSLSRSAGGSPRTTGSSSTSNKAGQASGLASQQAKNSPTVPSQKSSPVGGSNVPSVLGNPHICSSSNMGAKPQVALQHQQHQKHALHQGQLFFPNAYMQAQAQHSPSSTTPATTASAYYVQRQQQTLPLGSSTTSTSMLSLCSPVTLANSGTTDPAKAVAAAVASNMKGGLASQGLINPAQFATPQSTGKSHQLVPGFPCVHAVPSAVQVKPAEQKQPAGE >KJB38122 pep chromosome:Graimondii2_0_v6:6:48519966:48526791:1 gene:B456_006G237700 transcript:KJB38122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTREARRVSMASAAATNGLSRRRHRTSSLRDSPEEDGPVETHETARLRDRKKDRDRERERYRERERDRLSRTSKRRRGDRLMSNRGDGGDGTSEESVNDDEDDDDEDSGGTGGVGSVRTVSPNIIAGSLSMSNHHHNHHHHQLQQHQQHQHRKSFTPPVKVIRTTPSAGMTASMTTSTSTWKPADEMIGVSVPRKARSATKRSHEWASSGGGGVGVLGGEQIHCQASTSPKPNANGTKQRPPKSSSKSSSSAQEEIEIEIAEVLYGMMRQPQVPSKQEIIGNDSAKFDSREVNKPNNDSKSVVSSPISNSPSTLPQSSSILPSNSSSSATPMSAIAPKRKRPRPVKYEDENTTTTTPPPPSIFPPRHSSISSTTTKVEIDQPAKVEATSPNLEKNSGPVAENDSGACDLTSSSKAGPVSSELVQAEPVKEEKNNLALDSKPSTEESESRDIGFGNKEESQSPKKESLSSPADNPSSAGLPLDDEREKSTVTKANSTVCENESQREEKFQIDLMAPPPSRSSPEREGETDVGASDPKPVAADVELEMKSLVNEDDKRMKIGKGDVNVEVEDNNKKAQLSAEEADSQKPVVNKERNLDLQLDLEKSDRDSGSGSVSGNKLNHHVLKLHHQHPSVEKTAHSGSLPLPMSIASWPGGLPPMGYMAPLQGVVSMEGSAVSSAAIQPPHLLFSQPRPKRCATHCYIARNIHNHQQIMKMNAFWPAASGSASLYGPKACNLNVVPPSELHGNIPGRGVNSVQEKGQGLAIFPGHVCKDKSSQAATNMVDAAQRKQIMLQQALPPGAPNNIMQGPAFIFPLNQQQAAAAAAASVRPGYVKSPPAACSTAASSTSNSALLSATPAGATGAPAFSFNYPNITGNETQYLAILQNNAYPFPIPAHVGAPPAYRGNHAQPMPFIHGSFYSSPQMLHPSQLQQQQQQQPPTQLQQSQQGHQNTSMSSGSSSSQKNLQNQQQRSHGGDVSSGSGNSQVFHASKKDSPHPLQLRQQQQQLSQNDSHQARQLDGESDGKDGPSTATDSRVSRSNMNIYGQNFAMPVQPSNFALMTAASMNSAGNYGEKKQQTQQQSQQLGSKAGVEPLASQAFAMSFSSANGTTAPGLGISSLAPNHAILQSLPGSTRQGYQHIMAVQQKKDNYHAYEEGKRGTHDASSVQEEKKAGKSSGTAGQSIAFSRPDMPDSSDSTLAGKNVIDSSICTLGSAPARTSGPVMPASIGSVNVANAQQQLQRNQQQQLQFGAASAPRSKTPETSNGSAYPDHFHSSSIAAKFSNVLSAFPQNLIQSSSSPAQSPQWKNSVRTTSSQVPSQSLPSTSSLKNISQQQGRPQQSPTQISFASNPKSPQGQQPLSSTPTPSPMMVGSPTTSLSRSAGGSPRTTGSSSTSNKAGQASGLASQQAKNSPTVPSQKSSPVGGSNVPSVLGNPHICSSSNMGAKPQVALQHQQHQKHALHQGQLFFPNAYMQAQAQHSPSSTTPATTASAYYVQRQQQTLPLGSSTTSTSMLSLCSPVTLANSGTTDPAKAVAAAVASNMKGGLASQGLINPAQFATPQSTGKSHQLVPGFPCVHAVPSAVQVKPAEQKQPAGE >KJB38125 pep chromosome:Graimondii2_0_v6:6:48519966:48526791:1 gene:B456_006G237700 transcript:KJB38125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTREARRVSMASAAATNGLSRRRHRTSSLRDSPEEDGPVETHETARLRDRKKDRDRERERYRERERDRLSRTSKRRRGDRLMSNRGDGGDGTSEESVNDDEDDDDEDSGGTGGVGSVRTVSPNIIAGSLSMSNHHHNHHHHQLQQHQQHQHRKSFTPPVKVIRTTPSAGMTASMTTSTSTWKPADEMIGVSVPRKARSATKRSHEWASSGGGGVGVLGGEQIHCQASTSPVRTGVTGALTSPSPAPASPSSSSASMRKKMKPNANGTKQRPPKSSSKSSSSAQEEIEIEIAEVLYGMMRQPQVPSKQEIIGNDSAKFDSREVNKPNNDSKSVVSSPISNSPSTLPQSSSILPSNSSSSATPMSAIAPKRKRPRPVKYEDENTTTTTPPPPSIFPPRHSSISSTTTKVEIDQPAKVEATSPNLEKNSGPVAENDSGACDLTSSSKAGPVSSELVQAEPVKEEKNNLALDSKPSTEESESRDIGFGNKEESQSPKKESLSSPADNPSSAGLPLDDEREKSTVTKANSTVCENESQREEKFQIDLMAPPPSRSSPEREGETDVGASDPKPVAADVELEMKSLVNEDDKRMKIGKGDVNVEVEDNNKKAQLSAEEADSQKPVVNKERNLDLQLDLEKSDRDSGSGSVSGNKLNHHVLKLHHQHPSVEKTAHSGSLPLPMSIASWPGGLPPMGRYMAPLQGVVSMEGSAVSSAAIQPPHLLFSQPRPKRCATHCYIARNIHNHQQIMKMNAFWPAASGSASLYGPKACNLNVVPPSELHGNIPGRGVNSVQEKGQGLAIFPGHVCKDKSSQAATNMVDAAQRKQIMLQQALPPGAPNNIMQGPAFIFPLNQQQAAAAAAASVRPGYVKSPPAACSTAASSTSNSALLSATPAGATGAPAFSFNYPNITGNETQYLAILQNNAYPFPIPAHVGAPPAYRGNHAQPMPFIHGSFYSSPQMLHPSQLQQQQQQQPPTQLQQSQQGHQNTSMSSGSSSSQKNLQNQQQRSHGGDVSSGSGNSQVFHASKKDSPHPLQLRQQQQQLSQNDSHQARQLDGESDGKDGPSTATDSRVSRSNMNIYGQNFAMPVQPSNFALMTAASMNSAGNYGEKKQQTQQQSQQLGSKAGVEPLASQAFAMSFSSANGTTAPGLGISSLAPNHAILQSLPGSTRQGYQHIMAVQQKKDNYHAYEEGKRGTHDASSVQEEKKAGKSSGTAGQSIAFSRPDMPDSSDSTLAGKNVIDSSICTLGSAPARTSGPVMPASIGSVNVANAQQQLQRNQQQQLQFGAASAPRSKTPETSNGSAYPDHFHSSSIAAKFSNVLSAFPQNLIQSSSSPAQSPQWKNSVRTTSSQVPSQSLPSTSSLKNISQQQGRPQQSPTQISFASNPKSPQGQQPLSSTPTPSPMMVGSPTTSLSRSAGGSPRTTGSSSTSNKAGQASGLASQQAKNSPTVPSQKSSPVGGSNVPSVLGNPHICSSSNMGAKPQVALQHQQHQKHALHQGQLFFPNAYMQAQAQHSPSSTTPATTASAYYVQRQQQTLPLGSSTTSTSMLSLCSPVTLANSGTTDPAKAVAAAVASNMKGGLASQGLINPAQFATPQSTGKSHQLVPGFPCVHAVPSAVQVKPAEQKQPAGE >KJB38120 pep chromosome:Graimondii2_0_v6:6:48519956:48526828:1 gene:B456_006G237700 transcript:KJB38120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTREARRVSMASAAATNGLSRRRHRTSSLRDSPEEDGPVETHETARLRDRKKDRDRERERYRERERDRLSRTSKRRRGDRLMSNRGDGGDGTSEESVNDDEDDDDEDSGGTGGVGSVRTVSPNIIAGSLSMSNHHHNHHHHQLQQHQQHQHRKSFTPPVKVIRTTPSAGMTASMTTSTSTWKPADEMIGVSVPRKARSATKRSHEWASSGGGGVGVLGGEQIHCQASTSPVRTGVTGALTSPSPAPASPSSSSASMRKKMKPNANGTKQRPPKSSSKSSSSAQEEIEIEIAEVLYGMMRQPQVPSKQEIIGNDSAKFDSREVNKPNNDSKSVVSSPISNSPSTLPQSSSILPSNSSSSATPMSAIAPKRKRPRPVKYEDENTTTTTPPPPSIFPPRHSSISSTTTKVEIDQPAKVEATSPNLEKNSGPVAENDSGACDLTSSSKAGPVSSELVQAEPVKEEKNNLALDSKPSTEESESRDIGFGNKEESQSPKKESLSSPADNPSSAGLPLDDEREKSTVTKANSTVCENESQREEKFQIDLMAPPPSRSSPEREGETDVGASDPKPVAADVELEMKSLVNEDDKRMKIGKGDVNVEVEDNNKKAQLSAEEADSQKPVVNKERNLDLQLDLEKSDRDSGSGSVSGNKLNHHVLKLHHQHPSVEKTAHSGSLPLPMSIASWPGGLPPMGYMAPLQGVVSMEGSAVSSAAIQPPHLLFSQPRPKRCATHCYIARNIHNHQQIMKMNAFWPAASGSASLYGPKACNLNVVPPSELHGNIPGRGVNSVQEKGQGLAIFPGHVCKDKSSQAATNMVDAAQRKQIMLQQALPPGAPNNIMQGPAFIFPLNQQQAAAAAAASVRPGYVKSPPAACSTAASSTSNSALLSATPAGATGAPAFSFNYPNITGNETQYLAILQNNAYPFPIPAHVGAPPAYRGNHAQPMPFIHGSFYSSPQMLHPSQLQQQQQQQPPTQLQQSQQGHQNTSMSSGSSSSQKNLQNQQQRSHGGDVSSGSGNSQVFHASKKDSPHPLQLRQQQQQLSQNDSHQARQLDGESDGKDGPSTATDSRVSRSNMNIYGQNFAMPVQPSNFALMTAASMNSAGNYGEKKQQTQQQSQQLGSKAGVEPLASQAFAMSFSSANGTTAPGLGISSLAPNHAILQSLPGSTRQGYQHIMAVQQKKDNYHAYEEGKRGTHDASSVQEEKKAGKSSGTAGQSIAFSRPDMPDSSDSTLAGKNVIDSSICTLGSAPARTSGPVMPASIGSVNVANAQQQLQRNQQQQLQFGAASAPRSKTPETSNGSAYPDHFHSSSIAAKFSNVLSAFPQNLIQSSSSPAQSPQWKNSVRTTSSQVPSQSLPSTSSLKNISQQQGRPQQSPTQISFASNPKSPQGQQPLSSTPTPSPMMVGSPTTSLSRSAGGSPRTTGSSSTSNKAGQASGLASQQAKNSPTVPSQKSSPVGGSNVPSVLGNPHICSSSNMGAKPQVALQHQQHQKHALHQGQLFFPNAYMQAQAQHSPSSTTPATTASAYYVQRQQQTLPLGSSTTSTSMLSLCSPVTLANSGTTDPAKAVAAAVASNMKGGLASQGLINPAQFATPQSTGKSHQLVPGFPCVHAVPSAVQVKPAEQKQPAGE >KJB34525 pep chromosome:Graimondii2_0_v6:6:28407810:28414446:-1 gene:B456_006G070400 transcript:KJB34525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKFFVSHSLPLFFLFFFYSFPLISTKHNDDPTFKTMHDFSGYPVDESSHTFTPSNSISLSVDIQALQKQIDELSTFSDTPAPSVTRILYTENDVLARRYVKNLMGLAGLSVTEDVVGNIFGRWDGYEPKLTAVATGSHIDAIPYSGKYDGVVGVLGAIEAINALKRSGFKPKRSLEIILFTSEEPTRFGISCLGSRLLAGSEALAEALKATVDGQNISFLEAARSAGYARDEDDLSRVFLKNDSYSAFVELHIEQAPILEEEGISIGVVTNIAAPASIKVDFEGNGGHAGGVLMPDRNDAGLAAAELMLAIEKHATESGSLDTVGTVGILELYPGAINSIPSKAHLEIDVRDIEESFRNAVINKIHKAAKSIAKKRKVTLSEFKIINQDPPAHSNGSVIKAVVDASDELNLTYKYMISRAYHDSLFMARIAPMGMIFIPCYKGYSHKPEEYASPQDLENGVKVLALTLAKLSLR >KJB34527 pep chromosome:Graimondii2_0_v6:6:28408160:28413224:-1 gene:B456_006G070400 transcript:KJB34527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGTFSVGGRDGYEPKLTAVATGSHIDAIPYSGKYDGVVGVLGAIEAINALKRSGFKPKRSLEIILFTSEEPTRFGISCLGSRLLAGSEALAEALKATVDGQNISFLEAARSAGYARDEDDLSRVFLKNDSYSAFVELHIEQAPILEEEGISIGVVTNIAAPASIKVDFEGNGGHAGGVLMPDRNDAGLAAAELMLAIEKHATESGSLDTVGTVGILELYPGAINSIPSKAHLEIDVRDIEESFRNAVINKIHKAAKSIAKKRKVTLSEFKIINQDPPAHSNGSVIKAVVDASDELNLTYKYMISRAYHDSLFMARIAPMGMIFIPCYKGYSHKPEEYASPQDLENGVKVLALTLAKLSLR >KJB34530 pep chromosome:Graimondii2_0_v6:6:28409044:28414328:-1 gene:B456_006G070400 transcript:KJB34530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKFFVSHSLPLFFLFFFYSFPLISTKHNDDPTFKTMHDFSGYPVDESSHTFTPSNSISLSVDIQALQKQIDELSTFSDTPAPSVTRILYTENDVLARRYVKNLMGLAGLSVTEDVVGNIFGRWDGYEPKLTAVATGSHIDAIPYSGKYDGVVGVLGAIEAINALKRSGFKPKRSLEIILFTSEEPTRFGISCLGSRLLAGSEALAEALKATVDGQNISFLEAARSAGYARDEDDLSRVFLKNDSYSAFVELHIEQAPILEEEGISIGVVTNIAAPASIKVDFEGNGGHAGGVLMPDRNDAGLAAAELMLAIEKHATESGSLDTVGTVGILELYPGAINSIPSKAHLEIDVRDIEESFRNAVINKIHKAAKSIAKKRKVTLSEFKIINQDPPAHSNGSVIKAVVDASDELNLTYKYMISRAYHDSLFMAR >KJB34528 pep chromosome:Graimondii2_0_v6:6:28407941:28414328:-1 gene:B456_006G070400 transcript:KJB34528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKFFVSHSLPLFFLFFFYSFPLISTKHNDDPTFKTMHDFSGYPVDESSHTFTPSNSISLSVDIQALQKQVIDELSTFSDTPAPSVTRILYTENDVLARRYVKNLMGLAGLSVTEDVVGNIFGRWDGYEPKLTAVATGSHIDAIPYSGKYDGVVGVLGAIEAINALKRSGFKPKRSLEIILFTSEEPTRFGISCLGSRLLAGSEALAEALKATVDGQNISFLEAARSAGYARDEDDLSRVFLKNDSYSAFVELHIEQAPILEEEGISIGVVTNIAAPASIKVDFEGNGGHAGGVLMPDRNDAGLAAAELMLAIEKHATESGSLDTVGTVGILELYPGAINSIPSKAHLEIDVRDIEESFRNAVINKIHKAAKSIAKKRKVTLSEFKIINQDPPAHSNGSVIKAVVDASDELNLTYKYMISRAYHDSLFMARIAPMGMIFIPCYKGYSHKPEEYASPQDLENGVKVLALTLAKLSLR >KJB34526 pep chromosome:Graimondii2_0_v6:6:28407941:28412651:-1 gene:B456_006G070400 transcript:KJB34526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYCRSGFKPKRSLEIILFTSEEPTRFGISCLGSRLLAGSEALAEALKATVDGQNISFLEAARSAGYARDEDDLSRVFLKNDSYSAFVELHIEQAPILEEEGISIGVVTNIAAPASIKVDFEGNGGHAGGVLMPDRNDAGLAAAELMLAIEKHATESGSLDTVGTVGILELYPGAINSIPSKAHLEIDVRDIEESFRNAVINKIHKAAKSIAKKRKVTLSEFKIINQDPPAHSNGSVIKAVVDASDELNLTYKYMISRAYHDSLFMARIAPMGMIFIPCYKGYSHKPEEYASPQDLENGVKVLALTLAKLSLR >KJB34531 pep chromosome:Graimondii2_0_v6:6:28410275:28414328:-1 gene:B456_006G070400 transcript:KJB34531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKFFVSHSLPLFFLFFFYSFPLISTKHNDDPTFKTMHDFSGYPVDESSHTFTPSNSISLSVDIQALQKQIDELSTFSDTPAPSVTRILYTENDVLARRYVKNLMGLAGLSVTEDVVGNIFGRWDGYEPKLTAVATGSHIDAIPYSGKYDGVVGVLGAIEAINALKRSGFKPKRSLEIILFTSEEPTRFGISCLGSRLLAGSEALAEALKATVDGQNISFLEAARSAGYARDEDDLSRVFLKNDSYSAFVELHIEQAPILEEEGISIGVVTNIAAPASIKVDFEGNGGHAGGVLMPDRNDAGLAAAELMLAIEKHATESGSLDTVGTVGILELYPGAINSIPSKAHLEIGKYHAMLIGLGCA >KJB34529 pep chromosome:Graimondii2_0_v6:6:28407941:28414328:-1 gene:B456_006G070400 transcript:KJB34529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKFFVSHSLPLFFLFFFYSFPLISTKHNDDPTFKTMHDFSGYPVDESSHTFTPSNSISLSVDIQALQKQIDELSTFSDTPAPSVTRILYTENDVLARRYVKNLMGLAGLSVTEDVVGNIFGRWDGYEPKLTAVATGSHIDAIPYSGKYDGVVGVLGAIEAINALKRSGFKPKRSLEIILFTSEEPTRFGISCLGSRLLAGSEALAEALKATVDGQNISFLEAARSAGYARDEDDLSRVFLKNDSYSAFVELHIEQAPILEEEGISIGVVTNIAAPASIKVDFEGNGGHAGGVLMPDRNDAGLAAAELMLAIEKHATESGSLDTVGTVGILELYPGAINSIPSKAHLEIDVRDIEESFRNAVINKIHKAAKSIAKKRKVTLSEFKIINQDPPAHSNGSVIKAVVDASDELNLTYKYMISRAYHDSLFMASSNGHDIYSMLQRI >KJB37716 pep chromosome:Graimondii2_0_v6:6:47032300:47034343:-1 gene:B456_006G217600 transcript:KJB37716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGRSFPSRQQNLINGKQDATQAVASGIFQINTAVSTFQRLVNTLGTPKDSPDLREKLHKTRLHIGQLVKDTSTKLKQASETDHRDEVSASKKIIDAKLAKDFQAVLKEFQKAQRLAAERETAYTPFVPQAVLPSSNGTSEIDLGSDKGAEQKALLVESRRQEVVLLDNEIAFNEAIIEERELGIQEIQQKIGEVNEIFKDLAVLVHEQGALIDDIGTHIENSQAATVQAKSHLVKAAKIQRSSSSLACLLLVIFGIVLLIVIVVLAA >KJB37717 pep chromosome:Graimondii2_0_v6:6:47031927:47034550:-1 gene:B456_006G217600 transcript:KJB37717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGRSFPSRQQNLINGKQDATQAVASGIFQINTAVSTFQRLVNTLGTPKDSPDLREKLHKTRLHIGQLVKDTSTKLKQASETDHRDEVSASKKIIDAKLAKDFQAVLKEFQKAQRLAAERETAYTPFVPQAVLPSSNGTSEIDLGSDKGAEQKALLVESRRRLCCWIMRLHLMRLLLKKEN >KJB33512 pep chromosome:Graimondii2_0_v6:6:3144100:3147185:-1 gene:B456_006G014700 transcript:KJB33512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRNSYGKRSHSQSDYSENGSNKRRNAGDDREQFVIDSDDTVYRYLCPARKIGSIIGRGGEIVKQLRADTKSKIRIGETIPGSDERVVTIYSSRDERNALEDGDSFVSPAQDALFRVHDRVVAEDLHSDEDSEGRQITARLLISSDQIGCVIGKGGQIVQNIRSETGAQIRILKDDLPSCALSTDELVQISGEAAVVKKALHQIASRLHENPSRSQHLLASAVSNAYPAAGAMLGPAAGARIVGVTSLVGPYGRYKGDTGEWPRSMYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAAIKVDSSTTDGDDCLITISAKEFFEDWYSPSIEAAARLQPRCSEKVEGDSGIVSFTTRLFVPTSRIGCLIGKGGAIVTEMRRITKANIRILSKENLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANLFDREGAVNALVPVLPYLHVPTEGTDNLSYESREGKRHGRVHSFSGGYGSSDLSSSDSYGSYGGLQYYWCSWSLFCRTWWSFWVIKPYLCFPALKLWLLKFRYWYLRLMKPESSLLPTLMKPA >KJB35678 pep chromosome:Graimondii2_0_v6:6:37495468:37500362:1 gene:B456_006G123700 transcript:KJB35678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISMTIRLQTPTSLISRARPRLLLHTHNLLCPKSLLRNRLHHHSHHQLPTPFKTFKCSSQRQSSDNQPQEYEFERLFSNLNQATLKREPGSLSSAIFLVAGTTVGAGILAIPAVTQDSGFLASAVACILCWVFMVATGLLIAEVNVNTMCELGSGGVSLVSMARRTLGPVGVQIACWSYIFIHYALLVAYLARSSDILTNYLGLPLWESATLFSLVFGGICYFGSQRFIGAVNGVLVFGIIASFIALVAVASGGLEWDALLKANFEAVPMSIPIIALSFVYQNVVPVLCTNLEGNMSKVRTAIVVGTAIPLGLFLVWDAVILGSISSLGMGSDQMVDPLQQLRASNSGVVGPIIEVFSLLAIATSYIGFVLGLSDFLADLLKLPAGENRPQPYLLTLIPPLGLALLDPEIFFKALDFAGTYGVLVLFGILPAAMSWSDRYSTPSTSVKLPELVPGGRLTLTLVMLCSGGVIVTEILESLGHQ >KJB35677 pep chromosome:Graimondii2_0_v6:6:37495468:37500362:1 gene:B456_006G123700 transcript:KJB35677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISMTIRLQTPTSLISRARPRLLLHTHNLLCPKSLLRNRLHHHSHHQLPTPFKTFKCSSQRQSSDNQPQEYEFERLFSNLNQATLKREPGSLSSAIFLVAGTTVGAGILAIPAVTQDSGFLASAVACILCWVFMVATGLLIAEVNVNTMCELGSGGVSLVSMARRTLGPVGVQIACWSYIFIHYALLVAYLARSSDILTNYLGLPLWESATLFSLVFGGICYFGSQRFIGAVNGVLVFGIIASFIALVAVASGGLEWDALLKANFEAVPMSIPIIALSFVYQNVVPVLCTNLEGNMSKVRTAIVVGTAIPLGLFLVWDAVILGSISSLGMGSDQMVDPLQQLRASNSGVVGPIIEVFSLLAIATSYIGFVLGLSDFLADLLKLPAVLVLFGILPAAMSWSDRYSTPSTSVKLPELVPGGRLTLTLVMLCSGGVIVTEILESLGHQ >KJB33193 pep chromosome:Graimondii2_0_v6:6:619488:621498:-1 gene:B456_006G002600 transcript:KJB33193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLHIWVFIILIYLLDFGYGDAIEVPPLNINQKDLMKHLSLVDIKIALPVIYVFSDSFVDNGNNKAILGNKDASGGGCFPFGIDFDGKPTGRVTNGRIGVDFIATVAGLPYPPPIMGILYTLGARKFFVSNVSPLGCSPFNINTKNHSGPCVEEIKNCASVYNDLLLGLLAKLQSTLHVKPRSYGFKDVNTSCCIDNNGTRIQVCAPNIAPYKDRKNRVFFDPFHPSETMHFLWARHFLKDSSVCSPINLIQLMQA >KJB37760 pep chromosome:Graimondii2_0_v6:6:47155662:47157859:1 gene:B456_006G218900 transcript:KJB37760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoheme IX farnesyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44520) UniProtKB/Swiss-Prot;Acc:O64886] MQSLGHLLLDLLALLCWHARWLLQANMLAAGLAASNLVLYAFVYTPLKQVHPVNTWVGAVVGAIPPLLGWAAASGQISLNGLILPAALYFWQIPHFMALAYLCRDDYAAGGYRMFSLADASGRRTAAVAFRNCLYLIPLGFIAYDWGVASGWFCVESSVITLAISAAAFSFYRDRTTQKARRMFHASLLYLPVFMSGLLFHRLSDNPQCLAENPNRIIELPSFPEAVTESEEDDQKKKVRYVTAVPQRRAPVAYASIAPFPFLPVPSYVDQ >KJB37756 pep chromosome:Graimondii2_0_v6:6:47153818:47157277:1 gene:B456_006G218900 transcript:KJB37756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoheme IX farnesyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44520) UniProtKB/Swiss-Prot;Acc:O64886] MWRNSATVSFSSKLLSYSSSSANPNYFHYASAFSIFSPNGVVDQALSPSHQRFYCSSAVPSPSPSSGSVIKLGFLANKSDAYLPLSLKARDAVQLARHYGRCYWELSKARLSMLVVATSGTGYVLGSGNAVDLAGLCYTCAGTMMVAASANSLNQVFEINNDAKMKRTRLRPLPSGRIAIPHAVTWASSVGFAGTALLACKANMLAAGLAASNLVLYAFVYTPLKQVHPVNTWVGAVVGAIPPLLGWAAASGQISLNGLILPAALYFWQIPHFMALAYLCRDDYAAGGYRMFSLADASGRRTAAVAFRNCLYLIPLGFIAYDCKLF >KJB37761 pep chromosome:Graimondii2_0_v6:6:47153849:47158166:1 gene:B456_006G218900 transcript:KJB37761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoheme IX farnesyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44520) UniProtKB/Swiss-Prot;Acc:O64886] MLVVATSGTGYVLGSGNAVDLAGLCYTCAGTMMVAASANSLNQVFEINNDAKMKRTRLRPLPSGRIAIPHAVTWASSVGFAGTALLACKANMLAAGLAASNLVLYAFVYTPLKQVHPVNTWVGAVVGAIPPLLGWAAASGQISLNGLILPAALYFWQIPHFMALAYLCRDDYAAGGYRMFSLADASGRRTAAVAFRNCLYLIPLGFIAYDWGVASGWFCVESSVITLAISAAAFSFYRDRTTQKARRMFHASLLYLPVFMSGLLFHRLSDNPQCLAENPNRIIELPSFPEAVTESEEDDQKKKVRYVTAVPQRRAPVAYASIAPFPFLPVPSYVDQ >KJB37759 pep chromosome:Graimondii2_0_v6:6:47153818:47158297:1 gene:B456_006G218900 transcript:KJB37759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoheme IX farnesyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44520) UniProtKB/Swiss-Prot;Acc:O64886] MWRNSATVSFSSKLLSYSSSSANPNYFHYASAFSIFSPNGVVDQALSPSHQRFYCSSAVPSPSPSSGSVIKLGFLANKSDAYLPLSLKARDAVQLARHYGRCYWELSKARLSMLVVATSGTGYVLGSGNAVDLAGLCYTCAGTMMVAASANSLNQVFEINNDAKMKRTRLRPLPSGRIAIPHAVTWASSVGFAGTALLACKANMLAAGLAASNLVLYAFVYTPLKQVHPVNTWVGAVVGAIPPLLGWAAASGQISLNGLILPAALYFWQIPHFMALAYLCRDDYAAGGYRMFSLADASGRRTAAVAFRNCLYLIPLGFIAYDWGVASGWFCVESSVITLAISAAAFSFYRDRTTQKARRMFHASLLYLPVFMSGLLFHRLSDNPQCLAENPNRIIELPSFPEAVTESEEDDQKKKVSHFLF >KJB37758 pep chromosome:Graimondii2_0_v6:6:47153818:47158166:1 gene:B456_006G218900 transcript:KJB37758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoheme IX farnesyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44520) UniProtKB/Swiss-Prot;Acc:O64886] MWRNSATVSFSSKLLSYSSSSANPNYFHYASAFSIFSPNGVVDQALSPSHQRFYCSSAVPSPSPSSGSVIKLGFLANKSDAYLPLSLKARDAVQLARHYGRCYWELSKARLSMLVVATSGTGYVLGSGNAVDLAGLCYTCAGTMMVAASANSLNQVFEINNDAKMKRTRLRPLPSGRIAIPHAVTWASSVGFAGTALLACKANMLAAGLAASNLVLYAFVYTPLKQVHPVNTWVGAVVGAIPPLLGWAAASGQISLNGLILPAALYFWQIPHFMALAYLCRDDYAAGGYRMFSLADASGRRTAAVAFRNCLYLIPLGFIAYDFITLAISAAAFSFYRDRTTQKARRMFHASLLYLPVFMSGLLFHRLSDNPQCLAENPNRIIELPSFPEAVTESEEDDQKKKVRYVTAVPQRRAPVAYASIAPFPFLPVPSYVDQ >KJB37755 pep chromosome:Graimondii2_0_v6:6:47153765:47158217:1 gene:B456_006G218900 transcript:KJB37755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoheme IX farnesyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44520) UniProtKB/Swiss-Prot;Acc:O64886] MWRNSATVSFSSKLLSYSSSSANPNYFHYASAFSIFSPNGVVDQALSPSHQRFYCSSAVPSPSPSSGSVIKLGFLANKSDAYLPLSLKARDAVQLARHYGRCYWELSKARLSMLVVATSGTGYVLGSGNAVDLAGLCYTCAGTMMVAASANSLNQVFEINNDAKMKRTRLRPLPSGRIAIPHAVTWASSVGFAGTALLACKANMLAAGLAASNLVLYAFVYTPLKQVHPVNTWVGAVVGAIPPLLGWAAASGQISLNGLILPAALYFWQIPHFMALAYLCRDDYAAGGYRMFSLADASGRRTAAVAFRNCLYLIPLGFIAYDWGVASGWFCVESSVITLAISAAAFSFYRDRTTQKARRMFHASLLYLPVFMSGLLFHRLSDNPQCLAENPNRIIELPSFPEAVTESEEDDQKKKVRYVTAVPQRRAPVAYASIAPFPFLPVPSYVDQ >KJB37757 pep chromosome:Graimondii2_0_v6:6:47155662:47157859:1 gene:B456_006G218900 transcript:KJB37757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoheme IX farnesyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44520) UniProtKB/Swiss-Prot;Acc:O64886] MQSLGHLLLDLLALLCWHARLLQANMLAAGLAASNLVLYAFVYTPLKQVHPVNTWVGAVVGAIPPLLGWAAASGQISLNGLILPAALYFWQIPHFMALAYLCRDDYAAGGYRMFSLADASGRRTAAVAFRNCLYLIPLGFIAYDWGVASGWFCVESSVITLAISAAAFSFYRDRTTQKARRMFHASLLYLPVFMSGLLFHRLSDNPQCLAENPNRIIELPSFPEAVTESEEDDQKKKVRYVTAVPQRRAPVAYASIAPFPFLPVPSYVDQ >KJB36114 pep chromosome:Graimondii2_0_v6:6:39935913:39941626:1 gene:B456_006G141700 transcript:KJB36114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAEDIRNLPIDITFSRLGEWLVDRKRIPSDWRKRLAAIRSKISKEFSALPKDIDPYFQTLDPEWIGYLEAKKIYDILLKLTPESRNIFGRLSGAAGSWEAIVHSFEKDHLYLGEAAQIIIQNVNYEIPFQKKQVQKIQQQLAELERKEADIKRSAALSAAKYAEACQELGLQGKNVRLELLETANSLPSTFSRILEVINGDSVSRGIEYYSNFVRDAHTENDKSSLAVLLSLKDICENPPSLSVSAASETLDLENTHNDIDLGRGDIDVSADAIDWDISVDNAQIDWDIGTLEETDDGGGGLGPYEIVNASDILQNSSPSEVAESDKTPLDKTENPPEISVSDISWDISVETPQVDVIDEVSLPNIQLENQTYAPDSLPLTRGTREERSQLLETEYRNKILDDLYEMKAFLNQRLVELRNEDTLSLQNQVQAVAPLVLQQHTPDAIETIISDVSLAISLLTNRKTRDLIMILNSRRFLDRLVSTLEEKKYHEAKLKEGLKDVAAKRMELQNSLSSSWPKQEAALAKTRELKKLCESTLSSVFDGRPVHIIGEINALLNTGISAHDLTYS >KJB37622 pep chromosome:Graimondii2_0_v6:6:46953841:46955151:-1 gene:B456_006G216500 transcript:KJB37622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDSKSGYIDRLGVNKIGKNIRKSPLHRPNFANTATKRQPPQPQVYNITKNDFRNAVQQLTGSPSQDLLPRPALNSPKPHCMRMQKIRPPPLTPAVPVPTPAYPLATVPPPAPYNNNFVKPGKYEQPLPTMLQSTIYGDVVWANVTQSPISTYMRYLQTDLLDPSPVANQGQPQAPPPSSSLLPNPPTSAPPSLRGVNGSLAPIPTLSFPPTNGPALLPSPTDFMNLLSRCSPYPAPSSGLVFPLSPSGFSPFPNPRWRDQ >KJB38820 pep chromosome:Graimondii2_0_v6:6:50957239:50960064:1 gene:B456_006G273500 transcript:KJB38820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMTRYALLLLLAFASLQLLCFAADDDVVFYDSFEESFDGRWIVSDKDDYKGVWKHSKSEGHDDYGLLVSEMAKKYAIVKELDEAVNLKDGTTVLQFETRLQGGLECGGAYLKYLRPQDAGWKPKEFDNESPYSIMFGPDKCGATNKLHFILKHKNPKSGEYIEHHLKYPPSVPSDKLTHVYTAILKPDNEVSILIDGEEKKKASFLSAEDFEPPLIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPEDWDEDAPMEIEDEEAVKPESWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIENPQCEIAPGCGEWKRPMKRNPAYKGKWSPPLIDNPSYKGIWKPQEIPNPNYFELEKPDFDPIAAIGIEIWTMQDGILFDNILIAKNDKVAESYRETTWKPKFEVEKEKQKAEDEAADSARLAGFQEGF >KJB38816 pep chromosome:Graimondii2_0_v6:6:50957239:50959507:1 gene:B456_006G273500 transcript:KJB38816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMTRYALLLLLAFASLQLLCFAADDDVVFYDSFEESFDGRWIVSDKDDYKGVWKHSKSEGHDDYGLLVSEMAKKYAIVKELDEAVNLKDGTTVLQFETRLQGGLECGGAYLKYLRPQDAGWKPKEFDNESPYSIMFGPDKCGATNKLHFILKHKNPKSGEYIEHHLKYPPSVPSDKLTHVYTAILKPDNEVSILIDGEEKKKASFLSAEDFEPPLIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPEDWDEDAPMEIEDEEAVKPESWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIENPQCEIAPGCGEWKRPMKRNPAYKGKWSPPLIDNPSYKGIWKPQEIPNPNYFELEKPDFDPIAAIGIEIWTMQDGILFDNILIAKNDKVAESYRETTWKPKFEVEKEKQKAEDEAADSARLAGFQRKVFDVLYKVADIPFLSKYKHQILVSCPS >KJB38817 pep chromosome:Graimondii2_0_v6:6:50957417:50959587:1 gene:B456_006G273500 transcript:KJB38817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMTRYALLLLLAFASLQLLCFAADDDVVFYDSFEESFDGRWIVSDKDDYKGVWKHSKSEGHDDYGLLVSEMAKKYAIVKELDEAVNLKDGTTVLQFETRLQGGLECGGAYLKYLRPQDAGWKPKEFDNESPYSIMFGPDKCGATNKLHFILKHKNPKSGEYIEHHLKYPPSVPSDKLTHVYTAILKPDNEVSILIDGEEKKKASFLSAEDFEPPLIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPEDWDEDAPMEIEDEEAVKPESWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIENPQCEIAPGCGEWKRPMKRNPAYKGKWSPPLIDNPSYKGIWKPQEIPNPNYFELEKPDFDPIAAIGIEIWTMQDGILFDNILIAKNDKVAESYRETTWKPKFEVEKEKQKAEDEAADSARLAGFQRKVFDVLYKVADIPFLSKYKHQILDLIEHAETQPNLTIGVLVSIVVIILTIFFKLIFGGKKQVCQE >KJB38822 pep chromosome:Graimondii2_0_v6:6:50957239:50960094:1 gene:B456_006G273500 transcript:KJB38822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMTRYALLLLLAFASLQLLCFAADDDVVFYDSFEESFDGRWIVSDKDDYKGVWKHSKSEGHDDYGLLVSEMAKKYAIVKELDEAVNLKDGTTVLQFETRLQGGLECGGAYLKYLRPQDAGWKPKEFDNESPYSIMFGPDKCGATNKLHFILKHKNPKSGEYIEHHLKYPPSVPSDKLTHVYTAILKPDNEVSILIDGEEKKKASFLSAEDFEPPLIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPEDWDEDAPMEIEDEEAVKPESWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIENPQCEIAPGCGEWKRPMKRNPAYKGKWSPPLIDNPSYKGIWKPQEIPNPNYFELEKPDFDPIAAIGIEIWTMQDGILFDNILIAKNDKVAESYRETTWKPKFEVEKEKQKAEDEAADSARLAGFQRKVFDVLYKVADIPFLSKYKHQILDLIEHAETQPNLTIGVLVSIVVIILTIFFKLIFGGKKQPRVEKKPEVAKTSNGEGSSGEKAEEAEKEEAAAAPRRRRRDN >KJB38815 pep chromosome:Graimondii2_0_v6:6:50957239:50960064:1 gene:B456_006G273500 transcript:KJB38815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMTRYALLLLLAFASLQLLCFAADDDVVFYDSFEESFDGRWIVSDKDDYKGVWKHSKSEGHDDYGLLVSEMAKKYAIVKELDEAVNLKDGTTVLQFETRLQGGLECGGAYLKYLRPQDAGWKPKEFDNESPYSIMFGPDKCGATNKLHFILKHKNPKSGEYIEHHLKYPPSVPSDKLTHVYTAILKPDNEVSILIDGEEKKKASFLSAEDFEPPLIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPEDWDEDAPMEIEDEEAVKPESWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIENPQCEIAPGCGEWKRPMKRNPAYKGKWSPPLIDNPSYKGIWKPQEIPNPNYFELEKPDFDPIAAIGIEIWTMQDGILFDNILIAKNDKVAESYRETTWKPKFEVEKEKQKAEDEAADSARLAGFQRKVFDVLYKVADIPFLSKYKHQILDLIEHAETQPNLTIGVLVSIVVIILTIFFKLIFGGKKQPRVEKKPEVAKTSNGEGSSGEKAEEAEKEEAAAAPRRRRRDN >KJB38814 pep chromosome:Graimondii2_0_v6:6:50957417:50959166:1 gene:B456_006G273500 transcript:KJB38814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMTRYALLLLLAFASLQLLCFAADDDVVFYDSFEESFDGRWIVSDKDDYKGVWKHSKSEGHDDYGLLVSEMAKKYAIVKELDEAVNLKDGTTVLQFETRLQGGLECGGAYLKYLRPQDAGWKPKEFDNESPYSIMFGPDKCGATNKLHFILKHKNPKSGEYIEHHLKYPPSVPSDKLTHVYTAILKPDNEVSILIDGEEKKKASFLSAEDFEPPLIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPEDWDEDAPMEIEDEEAVKPESWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIENPQCEIAPGCGEWKRPMKRNPAYKGKWSPPLIDNPSYKGIWKPQEIPNPNYFELEKPDFDPIAAIGIEIWTMQDGILFDNILIAKNDKVAESYRETTWKPKFEVEKEKQKAEDEAADSARLAGFQVIHWQPDFLLSL >KJB38818 pep chromosome:Graimondii2_0_v6:6:50957239:50960064:1 gene:B456_006G273500 transcript:KJB38818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMTRYALLLLLAFASLQLLCFAADDDVVFYDSFEESFDGRWIVSDKDDYKGVWKHSKSEGHDDYGLLVSEMAKKYAIVKELDEAVNLKDGTTVLQFETRLQGGLECGGAYLKYLRPQDAGWKPKEFDNESPYSIMFGPDKCGATNKLHFILKHKNPKSGEYIEHHLKYPPSVPSDKLTHVYTAILKPDNEVSILIDGEEKKKASFLSAEDFEPPLIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPEDWDEDAPMEIEDEEAVKPESWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIENPQCEIAPGCGEWKRPMKRNPAYKGKWSPPLIDNPSYKGIWKPQEIPNPNYFELEKPDFDPIAAIGIEIWTMQDGILFDNILIAKNDKVAESYRETTWKPKFEVEKEKQKAEDEAADSARLAGFQRKVFDVLYKVADIPFLSKYKHQILDLIEHAETQPNLTIGVLVSIVPRVEKKPEVAKTSNGEGSSGEKAEEAEKEEAAAAPRRRRRDN >KJB38819 pep chromosome:Graimondii2_0_v6:6:50957239:50960064:1 gene:B456_006G273500 transcript:KJB38819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMTRYALLLLLAFASLQLLCFAADDDVFYDSFEESFDGRWIVSDKDDYKGVWKHSKSEGHDDYGLLVSEMAKKYAIVKELDEAVNLKDGTTVLQFETRLQGGLECGGAYLKYLRPQDAGWKPKEFDNESPYSIMFGPDKCGATNKLHFILKHKNPKSGEYIEHHLKYPPSVPSDKLTHVYTAILKPDNEVSILIDGEEKKKASFLSAEDFEPPLIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPEDWDEDAPMEIEDEEAVKPESWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIENPQCEIAPGCGEWKRPMKRNPAYKGKWSPPLIDNPSYKGIWKPQEIPNPNYFELEKPDFDPIAAIGIEIWTMQDGILFDNILIAKNDKVAESYRETTWKPKFEVEKEKQKAEDEAADSARLAGFQRKVFDVLYKVADIPFLSKYKHQILDLIEHAETQPNLTIGVLVSIVVIILTIFFKLIFGGKKQPRVEKKPEVAKTSNGEGSSGEKAEEAEKEEAAAAPRRRRRDN >KJB38821 pep chromosome:Graimondii2_0_v6:6:50957417:50959166:1 gene:B456_006G273500 transcript:KJB38821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMTRYALLLLLAFASLQLLCFAADDDVVFYDSFEESFDGRWIVSDKDDYKGVWKHSKSEGHDDYGLLVSEMAKKYAIVKELDEAVNLKDGTTVLQFETRLQGGLECGGAYLKYLRPQDAGWKPKEFDNESPYSIMFGPDKCGATNKLHFILKHKNPKSGEYIEHHLKYPPSVPSDKLTHVYTAILKPDNEVSILIDGEEKKKASFLSAEDFEPPLIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPEDWDEDAPMEIEDEEAVKPESWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIENPQCEIAPGCGEWKRPMKRNPAYKGKWSPPLIDNPSYKGIWKPQEIPNPNYFELEKPDFDPIAAIGIEIWTMQDGILFDNILIAKNDKVAESYRETTWKPKFEVEKEKQKAEDEAADSARLAGFQVIHWQPDFLLSL >KJB35714 pep chromosome:Graimondii2_0_v6:6:37683770:37686621:1 gene:B456_006G125100 transcript:KJB35714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLYFFLTCFPIIFLFKLKKSLPPWDTEVKLLSLWFGKDVSFSSVTKFIKTSSSLCYFTSKMSLKKMSLTSKVDNFEEEVVEEGAAMSILDLPELVLESILERLPPASLCSMAGVCSSLRSRCITDHFWEKHMKNKWGRIIGPVAYREWQWLIALRKDSSHLKQWRPKGLMRIFSIVRPSWWIKPKVDDCSKQSSLPRESIMSWFLALETGRFCFPAQVYNRENGHVGFMLSCYDAELCYDQRTDTFQARYPPHGRRAVAIENNVPWERIRAPPVDTSPHDLHISDCLNELRPGDHIEIQWRRNKEFPYGWWYGVVGHLASCDGNENYCRCHNSGKLISSFLKWESENTTLVLLQASHVIRLLSYSKGHISCI >KJB35715 pep chromosome:Graimondii2_0_v6:6:37683770:37686621:1 gene:B456_006G125100 transcript:KJB35715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLYFFLTCFPIIFLFKLKKSLPPWDTEVKLLSLWFGKDVSFSSVTKFIKTSSSLCYFTSKMSLKKMSLTSKVDNFEEEVVEEGAAMSILDLPELVLESILERLPPASLCSMAGVCSSLRSRCITDHFWEKHMKNKWGRIIGPVAYREWQWLIALRKDSSHLKQWRPKGLMRIFSIVRPSWWIKPKVDDCSKQSSLPRESIMSWFLALETGRFCFPAQVYNRENGHVGFMLSCYDAELCYDQRTDTFQARYPPHGRRAVAIENNVPWERIRAPPVDTSPHDLHISDCLNELRPGDHIEIQWRRNKEFPYGWWYGVVGHLASCDGNENYCRCHNSGKLISSFLKWESENTTLVLLQASHVIRLLSYSKGHISCI >KJB35713 pep chromosome:Graimondii2_0_v6:6:37683734:37686623:1 gene:B456_006G125100 transcript:KJB35713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLYFFLTCFPIIFLFKLKKSLPPWDTEVKLLSLWFGKDVSFSSVTKFIKTSSSLCYFTSKMSLKKMSLTSKVDNFEEEVVEEGAAMSILDLPELVLESILERLPPASLCSMAGVCSSLRSRCITDHFWEKHMKNKWGRIIGPVAYREWQWLIALRKDSSHLKQWRPKGLMRIFSIVRPSWWIKPKVDDCSKQSSLPRESIMSWFLALETGRFCFPAQVYNRENGHVGFMLSCYDAELCYDQRTDTFQARYPPHGRRAVAIENNVPWERIRAPPVDTSPHDLHISDCLNELRPGDHIEIQWRRNKEFPYGWWYGVVGHLASCDGNENYCRCHNSDTVVLEFNQYALGSRWRHTTIDRKEHREEGNEGDGFYGGIRKLGSVEEISTWKRLWPAEILE >KJB33136 pep chromosome:Graimondii2_0_v6:6:41645492:41647369:1 gene:B456_006G157400 transcript:KJB33136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQTEQQPQHQNQLTVQNSGSLSFSSHLSKEDEEISKSALSTFRAKEEEIERKKMEVREKVQLQLGRVEEETKRLASIREVCSIKIFLFFPKAKRFP >KJB33133 pep chromosome:Graimondii2_0_v6:6:41645376:41647413:1 gene:B456_006G157400 transcript:KJB33133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQTEQQPQHQNQLTVQNSGSLSFSSHLSKEDEEISKSALSTFRAKEEEIERKKMEVREKVQLQLGRVEEETKRLASIREELEALADPMRKEVAVVRKKIDAVNKELKPLGHTCQKKEREYKEALEAFNEKNKEKVQLITKLMELVSESERLRMKKLEELSKNVDSLH >KJB33138 pep chromosome:Graimondii2_0_v6:6:41645492:41647369:1 gene:B456_006G157400 transcript:KJB33138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQTEQQPQHQNQLTVQNSGSLSFSSHLSKEDEEISKSALSTFRAKEEEIERKKMEVREKVQLQLGRVEEETKRLASIREELEALADPMRKEVAVVRKKIDAVNKELKPLGHTCQKKGIQGSPRGV >KJB33135 pep chromosome:Graimondii2_0_v6:6:41645492:41647369:1 gene:B456_006G157400 transcript:KJB33135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQTEQQPQHQNQLTVQNSGSLSFSSHLSKEDEEISKSALSTFRAKEEEIERKKMEVREKVQLQLGRVEEETKRLASIREELEALADPMRKEVAVVRKKIDAVNKELKPLGHTCQKKEREYKEALEAFNEKNKEKVQLITKLMEVTGERK >KJB33137 pep chromosome:Graimondii2_0_v6:6:41645716:41646009:1 gene:B456_006G157400 transcript:KJB33137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQTEQQPQHQNQLTVQNSGSLSFSSHLSKEDEEISKSALSTFRAKEEEIERKKMEVREKVQLQLGRVEEETKRLASIREVCSIKIFLFFPKAKRFP >KJB33134 pep chromosome:Graimondii2_0_v6:6:41645492:41646895:1 gene:B456_006G157400 transcript:KJB33134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQTEQQPQHQNQLTVQNSGSLSFSSHLSKEDEEISKSALSTFRAKEEEIERKKMEVREKVQLQLGRVEEETKRLASIREELEALADPMRKEVAVVRKKIDAVNKELKPLGHTCQKKEREYKEALEAFNEKNKEKVQLITKLMEVSIYIVM >KJB33670 pep chromosome:Graimondii2_0_v6:6:6441444:6445251:1 gene:B456_006G025400 transcript:KJB33670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPASQPQTATTEAATTKITDLDEDSLAHCATYLSLQDLSSLAMTSKFLKKIAYSDSIWLHRFSLRWPLEMLSSSSSGVRQAYLDWRTALHQFKFADPFVLDLYTEARHFDHILLDKNDIIFSQGSIIRMMKTDSFLGGGSLVRMSDHNARITCMRLFPLNETSLVRSEIQREENVLVTSSCDHSIRLWWKGACHRCFRGHNGAVSTLSDKLLGAGGVKVLASGGEDGTVRLWSLSSSGKRGQQALKATLYGHQKPISLMSVAGHKPSLLVTMSRDSKVRVWDTNTSSAVRSSCCVGMTSLPGAPVDMKCDEALLYIAAGSSVVVVDLRTMRKVNTVAICQPKLYSFAIMPSKSLICTGGFGKALLWDIRRSQEASKPKAVTELDGHMGSVSLLHMDPYKIVTGGLGDNFVNAWEIDTGKQTNSLLCNRPELGNTNIGCSAMAVNACRIATASYGESQGLVSFRDFSGAVRPTSSKCNDDEEEADVWKFWGTQTYSDSDGSNE >KJB36452 pep chromosome:Graimondii2_0_v6:6:41843199:41843742:-1 gene:B456_006G159100 transcript:KJB36452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQNFFEYVLEFIRDVRLGYFSKYIQPTPVLLPINILEDFTKPLSLSFQLFGNILVDELVVVVLVSLVPSVVPVPITFLGLFTSEIQALIFATLATAYIDESIGYLLYQNVTN >KJB37465 pep chromosome:Graimondii2_0_v6:6:46208009:46210826:1 gene:B456_006G206400 transcript:KJB37465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGNNTSGRGIAANNTPSGNSEKPEWLQQYNLLGKIGEGTYGLVFLARIKSPTNRGKCIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINHADMSLYLAFDYAEYDLYEIIKHHRDKVNHAMNQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEQGVVKIADFGLARIYQAPLKPLSENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEAKSTPNPFQLDQLDKIFKILGHPTLEKWPTLASLPHWQSDVQHIQSHKYENAGLHSVVHLSPKSPAFDLLSRMLEYDPRKRITAAQALEHEYFRIEPLPGRNALVPSQPGEKIVNYPTRPVDQNTDFEGTTSIQQPPQPVSSGNVAGGMGAHVGRNGSVNRPMPPPPMQRMPQGIMAYNFSSQAGVGGGINPGGMPMQRNLAAQAHQQQQLRRKDPGMGMTGYPPQQKSRRM >KJB37464 pep chromosome:Graimondii2_0_v6:6:46208009:46210865:1 gene:B456_006G206400 transcript:KJB37464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGNNTSGRGIAANNTPSGNSEKPEWLQQYNLLGKIGEGTYGLVFLARIKSPTNRGKCIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINHADMSLYLAFDYAEYDLYEIIKHHRDKVNHAMNQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEQGVVKIADFGLARIYQAPLKPLSENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEAKSTPNPFQLDQLDKIFKILGHPTLEKWPTLASLPHWQSDVQHIQSHKYENAGLHSVVHLSPKSPAFDLLSRMLEYDPRKRITAAQALEHEYFRIEPLPGRNALVPSQPGEKIVNYPTRPVDQNTDFEGTTSIQQPPQPVSSGNVAGGMGAHVGRNGSVNRPMPPPPMQRMPQGIMAYNFSSQAGVGGGINPGGMPMQRNLAAQAHQQQQLRRKDPGMGMTGYPPQQKSRRM >KJB37466 pep chromosome:Graimondii2_0_v6:6:46208523:46210796:1 gene:B456_006G206400 transcript:KJB37466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGNNTSGRGIAANNTPSGNSEKPEWLQQYNLLGKIGEGTYGLVFLARIKSPTNRGKCIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINHADMSLYLAFDYAEYDLYEIIKHHRDKVNHAMNQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEQGVVKIADFGLARIYQAPLKPLSENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEAKSTPNPFQLDQLDKIFKILGHPTLEKWPTLASLPHWQSDVQHIQSHKYENAGLHSVVHLSPKSPAFDLLSRMLEYDPRKRITAAQALEHEYFRIEPLPGRNALVPSQPGEKIVNYPTRPVDQNTDFEGTTSIQQPPQPVSSGNVAGGMGAHVGRNGSVNRPMPPPPMQRMPQGIMAYNFSSQAGVGGGINPGGMPMQRNLAAQAHQQQQLRRKDPGMGMTGYPPQQKSRRM >KJB37467 pep chromosome:Graimondii2_0_v6:6:46208437:46210796:1 gene:B456_006G206400 transcript:KJB37467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGNNTSGRGIAANNTPSGNSEKPEWLQQYNLLGKIGEGTYGLVFLARIKSPTNRGKCIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINHADMSLYLAFDYAEYDLYEIIKHHRDKVNHAMNQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEQGVVKIADFGLARIYQAPLKPLSENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEAKSTPNPFQLDQLDKIFKILGHPTLEKWPTLASLPHWQSDVQHIQSHKYENAGLHSVVHLSPKSPAFDLLSRMLEYDPRKRITAAQALEHEYFRIEPLPGRNALVPSQPGEKIVNYPTRPVDQNTDFEGTTSIQQPPQPVSSGNVAGGMGAHVGRNGSVNRPMPPPPMQRMPQGIMAYNFSSQAGVGGGINPGGMPMQRNLAAQAHQQQQLRRKDPGMGMTGYPPQQKSRRM >KJB37479 pep chromosome:Graimondii2_0_v6:6:46224851:46230135:-1 gene:B456_006G206700 transcript:KJB37479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGLRAGFTTDAPPPLHMSEPLRRTAFNRLFAVVYFSAILALLYRHVRNLFLHPTTSFLSFSITLTLFISDLVLAFMWASAQAFRMSPIRRKEFPQNLKRIIKDKDFVGLDVFICTADPYKEPPMNVVNTALSLMAYDYPTEKISIYVSDDGGSVLTLFAFMEAAKFARHWLPFCREQNIMERSPNVYFCSNYQHPSSPHFDKIKMMYEDMKVKVEHVIDKDEVTDEYITDHQQRQAFNKWTKSFTRMDHPTVIQIILDKSHDKDISGRLMPNLIYVSRGKSKTSPHHFKAGALNVLLRVSAVMTNAPIILTQDCDMYSNDPQTPLRMLCYLSDPPLKSSLAFIQFPQRFHGVNKDDIYDTEYKLPFQINPMGLDGLKGPSYLGSGCFFRRRALLGDPSTPVAPEIPELSPEHVVNKPIRSQETLSLAHHVASCNYENETKWGSKTGFRYGSLVEDFYTSYRLHCEGWKSLFCNPERAAFLGNVPITLFDGVNQCKRWCIGLFEVAFSKYSPLTFGSQYMGLLMSLGYSHYAFCSFWCIPVTFYSFLPQLTLLNQVSIFPKEPWFFLYVFLFLGSYGQNFLDLILVGGTVRRWWNAQRMWMIRGLTCNLFASIEYLLRSLGISTYDFCLTSKVVDDEQSKRYGQGIFEFGVPSPLFVPLTVAAIINLFSFLSGLTGFFSGNNMEGLGLQMILTGSIVLNYLPVYGAIALRNDAGKMPTQIIIISPFVSVALYYASSLCLWSNNDSSKH >KJB35800 pep chromosome:Graimondii2_0_v6:6:38187791:38195641:-1 gene:B456_006G128700 transcript:KJB35800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSATVNKPRPSELLSRLTSAEPEVKVRALREVKNQIIGNRTKKLSFLKLGAVPAVAGILADSIDDVAENNNCNNTNNFLVQSAAALGSFACGFDAGVQAVLDAGAFPNLLRLLANPNEKVVDAVARALRMIYQSKLAPKYDFLQQKNMEFLISLLNSEKETVSGLGASIITRSCETNLEQKALFDAGILRRLNSLLEGGSLSQRDASLESLATVFRNNPEVISKFAGPEIGRPLSSIIDLAKDRYPRTRLLACMCLIVIRNASPHFLQDIGIKTKLIHILLELLDDPGQVGDEAPFAFSSLIAQKEDLQKLALEANAIDKFHHHIKKGSLHPRRYEGILLALADMCSKLESCRFKFLSLQVLNLVADALTDYNAGVRAAACICLKSVTRSIKNLSAGYFMNETIVIPLVQLFLDPSTSVQVAALGATSNIVVDFTTRKSIFVQCGGMKQLVQLAKSMESSVRSNALWALKNFVFQADNRLKEGVFSELTASLLSSLIRDPEPSVQEQALALVRNLVDGCINLIEFVFAEDGLILGAIGRQLQCASKAEIGIQGMYALCNVASGNEFHREAVMQLLFTQMGDKNQSFVVKFLQSNDSQLRTATVWTIVNLTCPSSPGAPCRLEKLRNAGIVSQIKNMVNDPCVDVKLRVRTVLGQSMAFGDN >KJB35799 pep chromosome:Graimondii2_0_v6:6:38187658:38195800:-1 gene:B456_006G128700 transcript:KJB35799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSATVNKPRPSELLSRLTSAEPEVKVRALREVKNQIIGNRTKKLSFLKLGAVPAVAGILADSIDDVAENNNCNNTNNFLVQSAAALGSFACGFDAGVQAVLDAGAFPNLLRLLANPNEKVVDAVARALRMIYQSKLAPKYDFLQQKNMEFLISLLNSEKETVSGLGASIITRSCETNLEQKALFDAGILRRLNSLLEGGSLSQRDASLESLATVFRNNPEVISKFAGPEIGRPLSSIIDLAKDRYPRTRLLACMCLIVIRNASPHFLQDIGIKTKLIHILLELLDDPGQVGDEAPFAFSSLIAQKEDLQKLALEANAIDKFHHHIKKGSLHPRRYEGILLALADMCSKLESCRFKFLSLQVLNLVADALTDYNAGVRAAACICLKSVTRSIKNLSAGYFMNETIVIPLVQLFLDPSTSVQVAALGATSNIVVDFTTRKSIFVQCGGMKQLVQLAKSMESSVRSNALWALKNFVFQADNRLKEGVFSELTASLLSSLIRDPEPSVQEQALALVRNLVDGCINLIEFVFAEDGLILGAIGRQLQCASKAEIGIQGMYALCNVASGNEFHREAVMQLLFTQMGDKNQSFVVKFLQSNDSQLRTATVWTIVNLTCPSSPGAPCRLEKLRNAGIVSQIKNMVNDPCVDVKLRVRTVLGQSMAFGDN >KJB33989 pep chromosome:Graimondii2_0_v6:6:33916669:33918415:1 gene:B456_006G098900 transcript:KJB33989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGAVVWDPWLIVAQIVCLQCLYYLTLGAFLSFLVGTRVSRLSLVYFFDFATVTTSTVTGWCVIASFLLSSIAGAGYMLYLIERAKKCLDFAATLYIIHLFICLINGGWPSSITWWFVNVIGVAVMALLGEYLCIRRELKEIPITRYRSSKEYFLYMSKCLKR >KJB33988 pep chromosome:Graimondii2_0_v6:6:33916494:33919993:1 gene:B456_006G098900 transcript:KJB33988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGAVVWDPWLIVAQIVCLQCLYYLTLGAFLSFLVGTRVSRLSLVYFFDFATVTTSTVTGWCVIASFLLSSIAGAGYMLYLIERAKKCLDFAATLYIIHLFICLINGGWPSSITWWFVNVIGVAVMALLGEYLCIRRELKEIPITRYRSNV >KJB35088 pep chromosome:Graimondii2_0_v6:6:34021045:34027401:1 gene:B456_006G099800 transcript:KJB35088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGEEVEYESDPEEVKRSLAMRRREAASDDEDNNTEPRMDRKTVVHSDESDGQGGAADYDDGEEELDLEESYDEEEEEEEEIYEEGVEKVGKTVLQRNLDEIGEDLKEAVVSDVNWSYTDEEDVDVEINDNNDNHVEEKDEKEKENEPFAVPTAGAFYMHDDRFRENVGGRRRRTRGGRKLWESKDDRKWGHDKFEEMTLQEKHYQEGRSSRGRYRARSKNQGPDHGYPRGSRSKAFGKKNNQNQPPKSVRGRGPRKYEPTMKNSNQAPPTRNRSSGKPLERTSQAPSSGVFTHATNADAASVPSRKHVFASSLSSASPPFYPSGSSNKDVTVTQKDMQAGSMSRNLRPSVTDGNYSVSQSKSLRGKNALDSVNMAKLCIDDSRWSTSVKPLNNVQMLPSGSSLGNTGQHSQSRVQVRGTPIPGQKSYQSAPRQNQVNKVSPQMQVNAVQRSPVQGWAQSSVQAAIQQLGQHPGIGSQASSPPKTAMSVNSNESGEVDSSETSRSKDALVSKGKNSVQGAGRGSFMYGGAQIMGATGNIAVHNGDQNFPAFLPVMQFGGQHPSGLSVPAVGMAFPGYVAQSQNGLGSSEMTWLPVLTGGAGALGATFCPPYIPVDGAYHARSSGQTSSTGSSSKENTSSKPINEWKPSQEPELVNDDYGERQNNPNKQPRRYSEMSFSK >KJB35087 pep chromosome:Graimondii2_0_v6:6:34021045:34025159:1 gene:B456_006G099800 transcript:KJB35087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGEEVEYESDPEEVKRSLAMRRREAASDDEDNNTEPRMDRKTVVHSDESDGQGGAADYDDGEEELDLEESYDEEEEEEEEIYEEGVEKVGKTVLQRNLDEIGEDLKEAVVSDVNWSYTDEEDVDVEINDNNDNHVEEKDEKEKENEPFAVPTAGAFYMHDDRFRENVGGRRRRTRGGRKLWESKDDRKWGHDKFEEMTLQEKHYQEGRSSRGRYRARSKNQGPDHGYPRGSRSKAFGKKNNQNQPPKSVRGRGPRKYEPTMKNSNQAPPTRNRSSGKPLERTSQAPSSGVFTHATNADAASVPSRKHVFASSLSSASPPFYPSGSSNKDVTVTQKDMQAGSMSRNLRPSVTDGNYSVSQSKSLRGKNALDSVNMAKLCIDDSRWSTSVKPLNNVQMLPSGSSLGNTGQHSQSRVQVRGTPIPGQKSYQSAPRQNQVNKVSPQMQVNAVQRSPVQGWAQSSVQAAIQQLGQHPGIGSQASSPPKTAMSVNSNESGEVDSSETSRSKDALVSKGKNSVQGAGRGSFMYGGAQIMGATGNIAVHNGDQNFPAFLPVMQFGGQHPSGLSVPAVGMAFPGYVAQSQNGLGSSEMTWYSNSLPVETFFLLMTQGFLWQIKVMSWSGP >KJB35090 pep chromosome:Graimondii2_0_v6:6:34021045:34027401:1 gene:B456_006G099800 transcript:KJB35090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGEEVEYESDPEEVKRSLAMRRREAASDDEDNNTEPRMDRKTVVHSDESDGQGGAADYDDGEEELDLEESYDEEEEEEEEIYEEGVEKVGKTVLQRNLDEIGEDLKEAVVSDVNWSYTDEEDVDVEINDNNDNHVEEKDEKEKENEPFAVPTAGAFYMHDDRFRENVGGRRRRTRGGRKLWESKDDRKWGHDKFEEMTLQEKHYQEGRSSRGRYRARSKNQGPDHGYPRGSRSKAFGKKNNQNQPPKSVRGRGPRKYEPTMKNSNQAPPTRNRSSGKPLERTSQAPSSGVFTHATNADAASVPSRKHVFASSLSSASPPFYPSGSSNKDVTVTQKDMQAGSMSRNLRPSVTDGNYSVSQSKSLRGKNALDSVNMAKLCIDDSRWSTSVKPLNNVQMLPSGSSLGNTGQHSQSRVQVRGTPIPGQKSYQSAPRQNQVNKVSPQMQVNAVQRSPVQGWAQSSVQAAIQQLGQHPGIGSQASSPPKTAMSVNSNESGEVDSSETSRSKDALVSKGKNSVQGAGRGSFMYGGAQIMGATGNIAVHNGDQNFPAFLPVMQFGGQHPSGLSVPAVGMAFPGYVAQSQNGLGSSEMTWLPVLTGGAGALGATFCPPYIPVDGAYHARSSGQTSSTGSSSKENTSSKPINEWKPSQEPELVNDDYGERQNNPNKQPRRYSEMSFSK >KJB35089 pep chromosome:Graimondii2_0_v6:6:34021045:34027401:1 gene:B456_006G099800 transcript:KJB35089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGEEVEYESDPEEVKRSLAMRRREAASDDEDNNTEPRMDRKTVVHSDESDGQGGAADYDDGEEELDLEESYDEEEEEEEEIYEEGVEKVGKTVLQRNLDEIGEDLKEAVVSDVNWSYTDEEDVDVEINDNNDNHVEEKDEKEKENEPFAVPTAGAFYMHDDRFRENVGGRRRRTRGGRKLWESKDDRKWGHDKFEEMTLQEKHYQEGRSSRGRYRARSKNQGPDHGYPRGSRSKAFGKKNNQNQPPKSVRGRGPRKYEPTMKNSNQAPPTRNRSSGKPLERTSQAPSSGVFTHATNADAASVPSRKHVFASSLSSASPPFYPSGSSNKDVTVTQKDMQAGSMSRNLRPSVTDGNYSVSQSKSLRGKNALDSVNMAKLCIDDSRWSTSVKPLNNVQMLPSGSSLGNTGQHSQSRVQVRGTPIPGQKSYQSAPRQNQVNKVSPQMQVNAVQRSPVQGWAQSSVQAAIQQLGQHPGIGSQASSPPKTAMSVNSNESGEVDSSETSRSKDALVSKGKNSVQGAGRGSFMYGGAQIMGATGNIAVHNGDQNFPAFLPVMQFGGQHPSGLSVPAVGMAFPGYVAQSQNGLGSSEMTWLPVLTGGAGALGATFCPPYIPVDGAYHARSSGQTSSTGSSSKENTSSKPINEWKPSQEPELVNDDYGERQNNPNKQPRRYSEMSFSK >KJB37963 pep chromosome:Graimondii2_0_v6:6:47945815:47947194:1 gene:B456_006G228800 transcript:KJB37963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNAIMEAKTHMKPQGDIGNMQAAAGDLGNGAVHILTIPFPAAGHILPHIDFIHQLLLRGLKVTILVTPKNLHFLNPILSLYSSSNNVETLVLPFPSHPSIPPGVENMVGFPISFGPIFMEAMAELYNPLFQWFQTHPSPPVAIISDMLLSSWVNTLSSNLNIHQLTFMVTNANSTLPLVRKGMKKLSPSMEQFAKNAVVPSLKSWGIIFNTFLELDGDKMEIIKEEFTEHDRLWAIGPLLPIKATNNERGGPSSIPRDEVIAWLDSCNRVNSVVFIGFGSQISLTKLQMEAIASALEESRVRFIWGIKGDSQNMVPLGFEDRLAGKGVVIKGWVPQQAILDHQAVGSYLTHCGWNSALEGLLGGALLLAWPMQVDHFDNTNLLVDELGVAIRACEGLTTVPDPNKLARVLSKSVSADQPERARAMKLRQAALDAIQMHGSSYTALNSLVETLSCIRK >KJB35772 pep chromosome:Graimondii2_0_v6:6:38013034:38015234:-1 gene:B456_006G127400 transcript:KJB35772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIATCFSSPSFSPLHKSISIPKISTTFPLHPRISSFSTTNTTTTLRYRQPSPFLTFSALPGGNGGDINNSDGGGGNDDNGKGNGGGRGGSGGEDAGDKNRKEAMVVLEEAGRSMESLPKDLAAAVQSGRIPGSVIERFLGLEKSGFMRWLMQFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFFKELEIVFADVVMAIIADFMLVYLPAPTVSLRPPLAVNAGAISKFFYSCPDNAFQVALPGTSYSLLQRLGAVMRNGAKLFAVGTTSSLVGTAVTNALINARKAVDKSSGAEVENVPILSTSVAYGVYMAVSSNLRYVKFRH >KJB35771 pep chromosome:Graimondii2_0_v6:6:38010374:38015296:-1 gene:B456_006G127400 transcript:KJB35771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIATCFSSPSFSPLHKSISIPKISTTFPLHPRISSFSTTNTTTTLRYRQPSPFLTFSALPGGNGGDINNSDGGGGNDDNGKGNGGGRGGSGGEDAGDKNRKEAMVVLEEAGRSMESLPKDLAAAVQSGRIPGSVIERFLGLEKSGFMRWLMQFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFFKELEIVFADVVMAIIADFMLVYLPAPTVSLRPPLAVNAGAISKFFYSCPDNAFQVALPGTSYSLLQRLGAVMRNGAKLFAVGTTSSLVGTAVTNALINARKAVDKSSGAEVENVPILSTSVAYGVYMAVSSNLRYQVLAGVIEQRILEPLLHQHKLMLSAICFAVRTGNTFLGSLLWVDYARLVGIQKAHEEENTALE >KJB35770 pep chromosome:Graimondii2_0_v6:6:38010374:38015234:-1 gene:B456_006G127400 transcript:KJB35770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIATCFSSPSFSPLHKSISIPKISTTFPLHPRISSFSTTNTTTTLRYRQPSPFLTFSALPGGNGGDINNSDGGGGNDDNGKGNGGGRGGSGGEDAGDKNRKEAMVVLEEAGRSMESLPKDLAAAVQSGRIPGSVIERFLGLEKSGFMRWLMQFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFFKELEIVFADVVMAIIADFMLVYLPAPTVSLRPPLAVNAGAISKFFYSCPDNAFQVALPGTSYSLLQRLGAVMRNGAKLFAVGTTSSLVGTAVTNALINARKAVDKSSGAEVENVPILSTSVAYGVYMAVSSNLRHRYEIFMYEISNTHSQVKVT >KJB37363 pep chromosome:Graimondii2_0_v6:6:45844411:45848504:1 gene:B456_006G201900 transcript:KJB37363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIFLPSPTFTTYRTIQASLNLNSRCWLRTQKPKLLLYMVPATPTPTVCSRQFMFKPYAAVNSLETHVSTHQNKAASGVSSQNGFHRSKTLFDKASDSSDGMSDFERQLQELFNEVKTLITTGKEKDAVDLLQANYEAVKEQMNDGSKGIEEAAILDVIAMGYMAVGEFKSVRSLLDVISEVIDDLNNDEPLLDSILVHMGSMYSNLGEFAKSLPVNQRATDILENRHGKNSVVLVTPLLGIAKVLSSTGKATKAVDIYHRVIRILELNRGVETEDLVVPLFGLGSLLIKEGKVTGAENSFIRLVRIPFILIRLWVFSSFFTFYFSFSRILNIYTKLYGENDGRVGLAMCSLAHAKCAKGNANEAIDLYKKALQIIKDASYMPLDDSMMENMRIDLAELLHVVGRGREGRELLEECLLITEKRKGKDDPSLVTHYLNLAASYSQSKDFVTAERLLRTSLEIMKRADGPENPSITFPMLHLAVTLYHLKQDEEAEKIALEALRIRKKAFRKDSLPVGEALDCLVSIQSRLGKGEAELLEQLERVLKIQEREFGSESEEVMVTLNKVVFYLDKLGKKDEKFALQKRLSRLQMKYKQSVRY >KJB37364 pep chromosome:Graimondii2_0_v6:6:45844411:45848504:1 gene:B456_006G201900 transcript:KJB37364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIFLPSPTFTTYRTIQASLNLNSRCWLRTQKPKLLLYMVPATPTPTVCSRQFMFKPYAAVNSLETHVSTHQNKAASGVSSQNGFHRSKTLFDKASDSSDGMSDFERQLQELFNEVKTLITTGKEKDAVDLLQANYEAVKEQMNDGSKGIEEAAILDVIAMGYMAVGEFKSVRSLLDVVTFLSLISEVIDDLNNDEPLLDSILVHMGSMYSNLGEFAKSLPVNQRATDILENRHGKNSVVLVTPLLGIAKVLSSTGKATKAVDIYHRVIRILELNRGVETEDLVVPLFGLGSLLIKEGKVTGAENSFIRLVRIPFILIRLWVFSSFFTFYFSFSRILNIYTKLYGENDGRVGLAMCSLAHAKCAKGNANEAIDLYKKALQIIKDASYMPLDDSMMENMRIDLAELLHVVGRGREGRELLEECLLITEKRKGKDDPSLVTHYLNLAASYSQSKDFVTAERLLRTSLEIMKRADGPENPSITFPMLHLAVTLYHLKQDEEAEKIALEALRIRKKAFRKDSLPVGEALDCLVSIQSRLGKGEAELLEQLERVLKIQEREFGSESEEVMVTLNKVVFYLDKLGKKDEKFALQKRLSRLQMKYKQSVRY >KJB37362 pep chromosome:Graimondii2_0_v6:6:45844367:45848764:1 gene:B456_006G201900 transcript:KJB37362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIFLPSPTFTTYRTIQASLNLNSRCWLRTQKPKLLLYMVPATPTPTVCSRQFMFKPYAAVNSLETHVSTHQNKAASGVSSQNGFHRSKTLFDKASDSSDGMSDFERQLQELFNEVKTLITTGKEKDAVDLLQANYEAVKEQMNDGSKGIEEAAILDVIAMGYMAVGEFKSVRSLLDVISEVIDDLNNDEPLLDSILVHMGSMYSNLGEFAKSLPVNQRATDILENRHGKNSVVLVTPLLGIAKVLSSTGKATKAVDIYHRVIRILELNRGVETEDLVVPLFGLGSLLIKEGKVTGAENSFIRILNIYTKLYGENDGRVGLAMCSLAHAKCAKGNANEAIDLYKKALQIIKDASYMPLDDSMMENMRIDLAELLHVVGRGREGRELLEECLLITEKRKGKDDPSLVTHYLNLAASYSQSKDFVTAERLLRTSLEIMKRADGPENPSITFPMLHLAVTLYHLKQDEEAEKIALEALRIRKKAFRKDSLPVGEALDCLVSIQSRLGKGEAELLEQLERVLKIQEREFGSESEEVMVTLNKVVFYLDKLGKKDEKFALQKRLSRLQMKYKQSVRY >KJB37015 pep chromosome:Graimondii2_0_v6:6:49943006:49943694:-1 gene:B456_006G257800 transcript:KJB37015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPSERNLRRPENRVPTPETDFRSAFEVLDADRDGKISRDDLRRFYAGFSYGSEDADEMIGTMISLADLNSDGFVEYDEFERVLGGYNNRKKVSSYGVMEDVFKVMDKDGDGRLSHEDLKSYMNWAGFSASDEDIKAMIRLGGGDDKGVCFDGLLKILAVDFSG >KJB37014 pep chromosome:Graimondii2_0_v6:6:49942703:49943750:-1 gene:B456_006G257800 transcript:KJB37014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPSERNLRRPENRVPTPETDFRSAFEVLDADRDGKISRDDLRRFYAGFSYGSEDADEMIGTMISLADLNSDGFVEYDEFERVLGGYNNRKKVSSYGVMEDVFKVMDKDGDGRLSHEDLKSYMNWAGFSASDEDIKAMIRLGGGDDKGVCFDGLLKILAVDFSG >KJB38399 pep chromosome:Graimondii2_0_v6:6:49626789:49632063:-1 gene:B456_006G253200 transcript:KJB38399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METARCWLNKLKSKDKLRPSKKKEAANGKEGSKPPASEEAPSKVTKQRVEAAKQYIENHYKKQMKSLQERKERRDILEKKLADAEVSEEEQNNLLKCFEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRICKEKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCSNLQEKDFTLAKNLSGALQSDGRPAPPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGANEIKAHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKSEEADNQIPSATKSGPWRKMLSSKDVNFVGYTYKNFEIVDDNQLPGIAELKKKSSKPKRPSIKSLFEDESAAAASQPVQGSFINLLPPQHEAPENHYRK >KJB38401 pep chromosome:Graimondii2_0_v6:6:49626789:49632073:-1 gene:B456_006G253200 transcript:KJB38401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METARCWLNKLKSKDKLRPSKKKEAANGKEGSKPPASEEAPSKVTKQRVEAAKQYIENHYKKQMKSLQERKERRDILEKKLADAEVSEEEQNNLLKCFEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRICKEKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCSNLQEKDFTLAKNLSGALQSDGRPAPPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGANEIKAHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKSEEADNQIPSATKSGPWRKRS >KJB38398 pep chromosome:Graimondii2_0_v6:6:49626658:49632113:-1 gene:B456_006G253200 transcript:KJB38398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METARCWLNKLKSKDKLRPSKKKEAANGKEGSKPPASEEAPSKVTKQRVEAAKQYIENHYKKQMKSLQERKERRDILEKKLADAEVSEEEQNNLLKCFEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRICKEKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCSNLQEKDFTLAKNLSGALQSDGRPAPPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGANEIKAHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKSEEADNQIPSATKSGPWRKMLSSKDVNFVGYTYKNFEIVDDNQLPGIAELKKKSSKPKRPSIKSLFEDESAAAASQPVQGSFINLLPPQHEAPENHYRK >KJB38400 pep chromosome:Graimondii2_0_v6:6:49626789:49631790:-1 gene:B456_006G253200 transcript:KJB38400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METARCWLNKLKSKDKLRPSKKKEAANGKEGSKPPASEEAPSKVTKQRVEAAKQYIENHYKKQMKSLQERKERRDILEKKLADAEVSEEEQNNLLKCFEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRICKEKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCSNLQEKDFTLAKNLSGALQSDGRPAPPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGANEIKAHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKSEEADNQIPSATKSGPWRKMLSSKDVNFVGYTYKNFEIVDDNQLPGIAELKKKSSKPKRPSIKSLFEDESAAAASQPVQGSFINLLPPQHEAPENHYRK >KJB33948 pep chromosome:Graimondii2_0_v6:6:11441520:11442356:-1 gene:B456_006G040800 transcript:KJB33948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTTMSSVGSFVAPNGLVMDKKLSSSSNRLPSLASISSSSFLSRRNVVIRRSRLPKISAAKELHFNKDGSAIKKLQTGVNNLANLVGVTLGPKGRNVILESKYYC >KJB34524 pep chromosome:Graimondii2_0_v6:6:28400882:28405887:-1 gene:B456_006G070300 transcript:KJB34524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESFFDLMEFLKKPTITETFVDILLCAVPIWLAVMIGLFIGWAWRPRWTSLVFLGLRSKFRFVWTAPPGFGARRLWLAFTALSAFSVCRTVFSNFIKGRARKSTAETSNSAVPLQSPSPTVRISPEGAAGTVSPTTKAEERQQDIVTENDLAHLLHLLEGKEGEMEWQNMMERTTPNMSYQAWRHEPENAPAIYRSRTVFEDATPELVRDFFWDDEFRPKWDTMLSYVKILDECPRTGTMIVHWIKKFPFFCSDREYIIARRIWEAGNAYHCVTKGVPYPDLQKRDKPRRVELYFSSWVIRAVESRKGDGQMTACEVALVHYEDMGIPKDVAKLGVRHGMWGTVKKLHSGMRAYQNARKTNSSLSRCAQMARITTKISPDESAGSLRPATVEDEKDRTMGMRREHDNGLDWKWIAIGGTVALAFGLHSGIIGKALLVGAGRRMARR >KJB33384 pep chromosome:Graimondii2_0_v6:6:1841107:1848224:-1 gene:B456_006G008700 transcript:KJB33384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSGLNQQTQEGEKKCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDVYLLPAELGAPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYTQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAISHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPSTLPSFHAFKDGDMSINSQLMWLQGGVGDQGLQSLNFQGFGVAPWMQPRLDTSSIPGVQPDLYQAMVTAALQDMRTVDSSKIGSQSLLQCQQNQSTSTGSPALVQRQMLQQSQTQNGFLPSFQENQTASQVQLLQQLQCPNLYNDQRQKQQQRQSQETQQLPPVPQQISDVIPAFPSVSANQAQSSLPAVDSQCQQSTFSDHLGNSIATSDVSSMQSILGSLSQMGASHLLNLNGSNPILSSSTFLSKPAAIEPRLSSRVANSVLPQVEQLGTAQSNASELHNLLPPFPGREYSAYHNATDPQNNLLFGVSIDSSSLMLHHGMTNPKSIRNENDSMSLPYAASNFTSASGTDFPLNSDMTASSCVDESGYLQSSENVDQVNPPTGTFVKVHKSGSFGRSLDISKFGSYDELRCELARLFGLERQLEDPQRSGWQLVFVDRENDILLLGDDPWQFSLFFCDHQGIREQCVVYQDTIPT >KJB33383 pep chromosome:Graimondii2_0_v6:6:1841028:1848829:-1 gene:B456_006G008700 transcript:KJB33383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSGLNQQTQEGEKKCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDVYLLPAELGAPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYTQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAISHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPSTLPSFHAFKDGDMSINSQLMWLQGGVGDQGLQSLNFQGFGVAPWMQPRLDTSSIPGVQPDLYQAMVTAALQDMRTVDSSKIGSQSLLQCQQNQSTSTGSPALVQRQMLQQSQTQNGFLPSFQENQTASQVQLLQQLQCPNLYNDQRQKQQQRQSQETQQLPPVPQQISDVIPAFPSVSANQAQSSLPAVDSQCQQSTFSDHLGNSIATSDVSSMQSILGSLSQMGASHLLNLNGSNPILSSSTFLSKPAAIEPRLSSRVANSVLPQVEQLGTAQSNASELHNLLPPFPGREYSAYHNATDPQNNLLFGVSIDSSSLMLHHGMTNPKSIRNENDSMSLPYAASNFTSASGTDFPLNSDMTASSCVDESGYLQSSENVDQVNPPTGTFVKVHKSGSFGRSLDISKFGSYDELRCELARLFGLERQLEDPQRSGWQLVFVDRENDILLLGDDPWQEFVNNVWYIKILSPLEVQQMGKGQNPATSIPNQRLNATTTATTSSSNGNHCGDYMSRQDLRSSVASMGSLEY >KJB38433 pep chromosome:Graimondii2_0_v6:6:49721527:49723168:1 gene:B456_006G254300 transcript:KJB38433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMVKKKERTLNETESSIDLKSLIHESALFFDKLVELIPARFYLPDEKDKPWFQGLSKAEKASAKKQARENIKKARRDRLDPEKSSKTTLDLLKENIEKEKSGKDSDAEEEEVEVRPIMPDVDDERSVTYEELRERLRRKIEELRGGRNSSGSEKKKNERNDKKGKKRKRDNGAEEKKVDTTANDKDNVEKDVEEAAKELTFSRVKLGDEDKHGKKKRKLSKLKELENAMKLAAAKKDPEKGEVIAKKHSWKAAMDRAAGIKVHDDPKLLKQSIQKEKKRHKKNAEKWNERVETTQKLKVEKQQKRSENIADKIHQKKMRRIAKREKKLLRPGFEGRKEGFINEGSS >KJB35051 pep chromosome:Graimondii2_0_v6:6:33826581:33828004:-1 gene:B456_006G098200 transcript:KJB35051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKQGGKAKPLKQPKSEKKEYDEHDLANIQKKKEEEKALRELRAKASQKGSFGGSGLKKSGKK >KJB35052 pep chromosome:Graimondii2_0_v6:6:33826644:33827912:-1 gene:B456_006G098200 transcript:KJB35052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKQGGKAKPLKQPKSEKKEYDEDSIVFFCDIRHDLANIQKKKEEEKALRELRAKASQKGSFGGSGLKKSGKK >KJB35365 pep chromosome:Graimondii2_0_v6:6:35802264:35808413:-1 gene:B456_006G111300 transcript:KJB35365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVQEDNAGNYSKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRAVLDEGGPGPASNGDAAVATRPKSKPKSGGGEGGDGEDPEQAKLRAGLNSAIIREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFEMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDVKARQHMFKVHLGDTPHNLTESDFENLARRTEGFSGSDISVCVKDVLFEPVRKTQDAMFFFKTPNNMWMPCGPKQPGAVQITMQELAAKGLAAQILPPPISRSDFDKVLARQRPTVSKADLEVHERFTKEFGEEG >KJB35364 pep chromosome:Graimondii2_0_v6:6:35803514:35808145:-1 gene:B456_006G111300 transcript:KJB35364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVQEDNAGNYSKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRAVLDEGGPGPASNGDAAVATRPKSKPKSGGGEGGDGEDPEQAKLRAGLNSAIIREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFEMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDVKARQHMFKVLFSDVSLLNIEKKKRREMLGCFVFFFTIVIQLLLF >KJB34060 pep chromosome:Graimondii2_0_v6:6:14393568:14394116:1 gene:B456_006G045900 transcript:KJB34060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVKGEVQTRKGLRWIPRHLETRKGIVSNKMLRGVKNKREVVKCRTLNGDSLVAESITSLHSDLSSIRHVESRVNQQGPPYKDKYSWMTASKVVLLGNGEKNLRRGVKQNMKS >KJB35700 pep chromosome:Graimondii2_0_v6:6:37626172:37637453:1 gene:B456_006G124500 transcript:KJB35700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFVRRLTVGSTIPHVPVHHQKFKPTIEIPAFPLLPHNNQRPLGIALRSRKYWRVEAVGPVGRSEGGDGTKKAGGGPGPGPCSYSLGDRTTEVERRERNRTVEVVVAAGVTVVLGVGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFSVLYLRYHAGIVTDEMLSMPKAPFLAVGLLEALGAATGMAAGAILSGASIPILSQTFLVWQILLSIIFLGRRYRINQLLGCFLVAVGVIISVASGSSSGHSLKEAGMFWSLLMIVSFLFQAADTVLKEVIFLDAAQRLKGGSIDLFVVNSYGSAFQALFICLLLPFLSKLWGIPFSQLPNYLKDGAACFLNIGGTLSSSKH >KJB35699 pep chromosome:Graimondii2_0_v6:6:37626143:37638444:1 gene:B456_006G124500 transcript:KJB35699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFVRRLTVGSTIPHVPVHHQKFKPTIEIPAFPLLPHNNQRPLGIALRSRKYWRVEAVGPVGRSEGGDGTKKAGGGPGPGPCSYSLGDRTTEVERRERNRTVEVVVAAGVTVVLGVGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFSVLYLRYHAGIVTDEMLSMPKAPFLAVGLLEALGAATGMAAGAILSGASIPILSQTFLVWQILLSIIFLGRRYRINQLLGCFLVAVGVIISVASGSSSGHSLKEAGMFWSLLMIVSFLFQAADTVLKEVIFLDAAQRLKGGSIDLFVVNSYGSAFQALFICLLLPFLSKLWGIPFSQLPNYLKDGAACFLNIGGTLSSRCDGAPLLPLLFVIVNMGFNISLLHLLKISSAVVSSLASTFSVPISVYVFTLPLPYLGVASSLPTGFVAGAIILVTGLLIYAWTPSGSTAALPSPSN >KJB35701 pep chromosome:Graimondii2_0_v6:6:37626172:37638353:1 gene:B456_006G124500 transcript:KJB35701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFVRRLTVGSTIPHVPVHHQKFKPTIEIPAFPLLPHNNQRPLGIALRSRKYWRVEAVGPVGRSEGGDGTKKAGGGPGPGPCSYSLGDRTTEVERRERNRTVEVVVAAGVTVVLGVGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFSVLYLRYHAGIVTDEMLSMPKAPFLAVGLLEALGAATGMAAGAILSGASIPILSQTFLVWQILLSIIFLGRRYRINQLLGCFLVAVGVIISVASGSSSGHSLKEAGMFWSLLMIVSFLFQAADTVLKEVIFLDAAQRLKGGSIDLFVVNSYGSAFQLPNYLKDGAACFLNIGGTLSSRCDGAPLLPLLFVIVNMGFNISLLHLLKISSAVVSSLASTFSVPISVYVFTLPLPYLGVASSLPTGFVAGAIILVTGLLIYAWTPSGSTAALPSPSN >KJB33715 pep chromosome:Graimondii2_0_v6:6:7156088:7163178:1 gene:B456_006G027700 transcript:KJB33715 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM-domain containing factor CFM2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01370) UniProtKB/Swiss-Prot;Acc:Q8L7C2] MLLSMNKHPPFTLLPTTLIQPPILSPQYLKPTLKPRKYIFLIRSSITRPENQALPQSAIQRIADKLRSLGFSETPNPQPESESGSGCPGEIFVPLPEKLPKYRVGHTIDSSWSTPENPVPDPGSDPGSLMVRFRDMKRERKKMGRVKEEERVVPSLAELKLSAAELKRLRTVGIGEKRKLKVGKAGITEGIVNGIHERWRKSEVVRIVCEDICKMNMKRTHEVLERKTGGLVIWRSGSKIILYRGANYKYPYFSADKIGTHDSSSNASSDTNVDNKELDETESCSSEFNGVKTSTPKATDKMTKRALIQGVGSPSRVRFQLPGEAELVAEADRLLDGLGPRFTDWWGYEPLPVDGDLLPAIIPGYRRPFRLLPYGVKSLLTNDEMTTLRRLGRPLPCHFALGRNRKLQGLAASIIKLWEKCEIAKIAVKRGVQNTNSELMAEELKWLTGGTLLSRDKDFIVLYRGKDFLPSAVSSAIEERRKHVIHAENQSGKTMQEVHGEGAKIASENDINSAKDRKSDVFSIRKNLNSAEATIKRTSSKLSMALEKKAKAEKLLAELEQEVIPQQSEIDKEGITREERYMLRKVGLRMKPFLLLGRRGVFDGTVENMHLHWKYRELVKIISKETNVEAVHQEAQILEAESGGILVAVERVSKGYAIIFYRGKNYERPTCLRPQTLLTKREAMKRSLEEQRRKSLKLHILKLTRNIDELKHQLVVDKEASNTLAADQSRLPSVEEEMETLQSVKCARSDIEYHASPEGHLEAKDKSESTSMKNDRMVAAVSISEPSEQVLVEPSSIHDGVENHKTEPEFSSESVNRRKHNTELRALHSQFEMEENAYNNGPMESMVESASKNLDVLISPAADNVSNKMASTAKFLSNKERLLLRKQALNMKKRPVLAVGRSNIVTGVAKTINTHFKKHPLAIVNVKGRAKGTSVQEVVLKLQEATGAVLVSQEPSKVILYRGWGANDEPARGDKRNVKDSPVQNQPAVSPELIAAIKFECGLQCHQEEQAP >KJB33712 pep chromosome:Graimondii2_0_v6:6:7156035:7163328:1 gene:B456_006G027700 transcript:KJB33712 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM-domain containing factor CFM2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01370) UniProtKB/Swiss-Prot;Acc:Q8L7C2] MLLSMNKHPPFTLLPTTLIQPPILSPQYLKPTLKPRKYIFLIRSSITRPENQALPQSAIQRIADKLRSLGFSETPNPQPESESGSGCPGEIFVPLPEKLPKYRVGHTIDSSWSTPENPVPDPGSDPGSLMVRFRDMKRERKKMGRVKEEERVVPSLAELKLSAAELKRLRTVGIGEKRKLKVGKAGITEGIVNGIHERWRKSEVVRIVCEDICKMNMKRTHEVLERKTGGLVIWRSGSKIILYRGANYKYPYFSADKIGTHDSSSNASSDTNVDNKELDETESCSSEFNGVKTSTPKATDKMTKRALIQGVGSPSRVRFQLPGEAELVAEADRLLDGLGPRFTDWWGYEPLPVDGDLLPAIIPGYRRPFRLLPYGVKSLLTNDEMTTLRRLGRPLPCHFALGRNRKLQGLAASIIKLWEKCEIAKIAVKRGVQNTNSELMAEELKWLTGGTLLSRDKDFIVLYRGKDFLPSAVSSAIEERRKHVIHAENQSGKTMQEVHGEGAKIASENDINSAKDRKSDVFSIRKNLNSAEATIKRTSSKLSMALEKKAKAEKLLAELEQEVIPQQSEIDKEGITREERYMLRKVGLRMKPFLLLGRRGVFDGTVENMHLHWKYRELVKIISKETNVEAVHQEAQILEAESGGILVAVERVSKGYAIIFYRGKNYERPTCLRPQTLLTKREAMKRSLEEQRRKSLKLHILKLTRNIDELKHQLVVDKEASNTLAADQSRLPSVEEEMETLQSVKCARSDIEYHASPEGHLEAKDKSESTSMKNDRMVAAVSISEPSEQVLVEPSSIHDGVENHKTEPEFSSESVNRRKHNTELRALHSQFEMVESSSHHDNLMEENAYNNGPMESMVESASKNLDVLISPAADNVSNKMASTAKFLSNKERLLLRKQALNMKKRPVLAVGRSNIVTGVAKTINTHFKKHPLAIVNVKGRAKGTSVQEVVLKLQEATGAVLVSQEPSKVILYRGWGANDEPARGDKRNVKDSPVQNQPAVSPELIAAIKFECGLQCHQEEQAP >KJB33713 pep chromosome:Graimondii2_0_v6:6:7156088:7161525:1 gene:B456_006G027700 transcript:KJB33713 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM-domain containing factor CFM2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01370) UniProtKB/Swiss-Prot;Acc:Q8L7C2] MLLSMNKHPPFTLLPTTLIQPPILSPQYLKPTLKPRKYIFLIRSSITRPENQALPQSAIQRIADKLRSLGFSETPNPQPESESGSGCPGEIFVPLPEKLPKYRVGHTIDSSWSTPENPVPDPGSDPGSLMVRFRDMKRERKKMGRVKEEERVVPSLAELKLSAAELKRLRTVGIGEKRKLKVGKAGITEGIVNGIHERWRKSEVVRIVCEDICKMNMKRTHEVLERKTGGLVIWRSGSKIILYRGANYKYPYFSADKIGTHDSSSNASSDTNVDNKELDETESCSSEFNGVKTSTPKATDKMTKRALIQGVGSPSRVRFQLPGEAELVAEADRLLDGLGPRFTDWWGYEPLPVDGDLLPAIIPGYRRPFRLLPYGVKSLLTNDEMTTLRRLGRPLPCHFALGRNRKLQGLAASIIKLWEKCEIAKIAVKRGVQNTNSELMAEELKWLTGGTLLSRDKDFIVLYRGKDFLPSAVSSAIEERRKHVIHAENQSGKTMQEVHGEGAKIASENDINSAKDRKSDVFSIRKNLNSAEATIKRTSSKLSMALEKKAKAEKLLAELEQEVIPQQSEIDKEGITREERYMLRKVGLRMKPFLLLGRRGVFDGTVENMHLHWKYRELVKIISKETNVEAVHQEAQILEAESGGILVAVERVSKGYAIIFYRGKNYERPTCLRPQTLLTKREAMKRSLEEQRRKSLKLHILKLTRNIDELKHQLVVDKEAKEEMETLQSVKCARSDIEYHASPEGHLEAKDKSESTSMKNDRMVAAVSISEPSEQVLVEPSSIHDGVENHKTEPEFSSESVNRRKHNTELRALHSQFEMQEENAYNNGPMESMVESASKNLDVLISPAADNVSNKMASTAKFLSNKERLLLRKQALNMKKRPVLAVGDYL >KJB33714 pep chromosome:Graimondii2_0_v6:6:7156088:7163079:1 gene:B456_006G027700 transcript:KJB33714 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM-domain containing factor CFM2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01370) UniProtKB/Swiss-Prot;Acc:Q8L7C2] MLLSMNKHPPFTLLPTTLIQPPILSPQYLKPTLKPRKYIFLIRSSITRPENQALPQSAIQRIADKLRSLGFSETPNPQPESESGSGCPGEIFVPLPEKLPKYRVGHTIDSSWSTPENPVPDPGSDPGSLMVRFRDMKRERKKMGRVKEEERVVPSLAELKLSAAELKRLRTVGIGEKRKLKVGKAGITEGIVNGIHERWRKSEVVRIVCEDICKMNMKRTHEVLERKTGGLVIWRSGSKIILYRGANYKYPYFSADKIGTHDSSSNASSDTNVDNKELDETESCSSEFNGVKTSTPKATDKMTKRALIQGVGSPSRVRFQLPGEAELVAEADRLLDGLGPRFTDWWGYEPLPVDGDLLPAIIPGYRRPFRLLPYGVKSLLTNDEMTTLRRLGRPLPCHFALGRNRKLQGLAASIIKLWEKCEIAKIAVKRGVQNTNSELMAEELKWLTGGTLLSRDKDFIVLYRGKDFLPSAVSSAIEERRKHVIHAENQSGKTMQEVHGEGAKIASENDINSAKDRKSDVFSIRKNLNSAEATIKRTSSKLSMALEKKAKAEKLLAELEQEVIPQQSEIDKEGITREERYMLRKVGLRMKPFLLLGRRGVFDGTVENMHLHWKYRELVKIISKETNVEAVHQEAQILEAESGGILVAVERVSKGYAIIFYRGKNYERPTCLRPQTLLTKREAMKRSLEEQRRKSLKLHILKLTRNIDELKHQLVVDKEAKEEMETLQSVKCARSDIEYHASPEGHLEAKDKSESTSMKNDRMVAAVSISEPSEQVLVEPSSIHDGVENHKTEPEFSSESVNRRKHNTELRALHSQFEMEENAYNNGPMESMVESASKNLDVLISPAADNVSNKMASTAKFLSNKERLLLRKQALNMKKRPVLAVGRSNIVTGVAKTINTHFKKHPLAIVNVKGRAKGTSVQEVVLKLQEATGAVLVSQEPSKVILYRGWGANDEPARGDKRNVKDSPVQNQPAVSPELIAAIKFECGLQCHQEEQAP >KJB35708 pep chromosome:Graimondii2_0_v6:6:37644122:37645033:-1 gene:B456_006G124700 transcript:KJB35708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CSPPSPILLLVTMAHQTENNVVGWTPVPQFGAWDQKNAGATDYSMIFNQARANRKQHKSDVRRSLGNDRDLVVSSFPKRPPDDHYPVSKKKKILTYINCCIKP >KJB35462 pep chromosome:Graimondii2_0_v6:6:36498696:36499250:-1 gene:B456_006G115900 transcript:KJB35462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTLVALTSLIALALVHPSHAQNSPQDYLVLPSQAQDSQQDYLALGGDSPQDYLNAHNAARAAVGVGPMIWDKTVAAYARNYANQHYYDCNLIHSGGPYGENLAWSSADFSGTSAVRMWVSERVNYNYNSNSCAAGKVCGHYTQVVWRNSIRLGCAKVRCNTGGTFITCNYAPAGNIIGQRPY >KJB37828 pep chromosome:Graimondii2_0_v6:6:47464782:47467345:1 gene:B456_006G222200 transcript:KJB37828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGICAKRVVVDARHHMLGRLASILAKELLNGQKVVVVRCEEICMSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGIPAPYDKTKRMVIPDALKVLRLQKGHKYCLLGKLSSEVGWNHYDTIKELERKRKERAQVAYERRKQLTKLRVKAEKVAEEKLGAQLEVIAPIKY >KJB37827 pep chromosome:Graimondii2_0_v6:6:47464870:47467074:1 gene:B456_006G222200 transcript:KJB37827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGICAKRVVVDARHHMLGRLASILAKELLNGQKVVVVRCEEICMSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGIPAPYDKTKRMVIPDALKVLRLQKGHKYCLLGKLSSEVGWNHYDTIKELERKRKERAQVAYERRKQLTKLRVKAEKVAEEKLGAQLEVIAPIKY >KJB33814 pep chromosome:Graimondii2_0_v6:6:8317680:8326452:1 gene:B456_006G032100 transcript:KJB33814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14580) UniProtKB/Swiss-Prot;Acc:Q9S7G6] MAMFSLAIRARAKANPLLTRRALHFRNFCNGRFGLSTSESDPPDRPVAGTKFLESFKEEFEIGSRVISLETGKIARFANGAVVLGMEETKVLSTVAAAKGDADRDFLPLTVDYQEKQFAQGLIPNTFMRREGAPKERELLCGRLIDRPIRPLFPAGFYHEIQVMASVLSSDGKQDPDVLAANATSAALMLSDIPWGGPIGVVRMGRICGQFIVNPTMDELSLSDLNLVYACTRDKTLMIDVQAGEITEKDLEAGLRLAHPEAVKYLEPQIRLAAKAGKQKKEYKLSMVSEQIFEKVRNLAEAPIDAVFTDPSYGKFERGEALEKIAQDVKSALEEECDEQSLKVLPKVVDTVRKKVVRKRIISEGFRLDGRHLDEVRPIYCEAGHLPILHGSALFNRGDTQVLCTVTLGAPQDAQRLDSLVGPPTKRFMLHYSFPPFCINEIGKRTGLNRREVGHGTLAEKALLAVLPPEDDFPYTVRINSEVMASDGSTSMATVCGGSMALMDAGIPLREHVAGVSVGLVTDVDPETGEITDYRILTDILGLEDHLGDMDFKIAGTRNGVTAIQLDIKPAGIPLDIICESLEPARKGRLQILDHMEQEISVPRNQDDRNSPRLVTLKFSNDAIRRFIGPLGALKRKVEEETGARISVGDGTITIAAKNQAVMEKVQDKVDFIVGREIVVGGIYKGIVMSIKEYGAFVEFNGGQQGLLHISELSHEPVSRVSDVVSVGQQISLMCIGQDVRGNIKLSRKATLPRPESETKSVVEGSAPVTKEKPNIWASPENMSKGEQLESTLEELVPSKMENTESNRSASLMPSVVIRSAAECDEEEKSAGLSKTSKSAPKPKGTLKKGSKQKKIQSSDNMPDLSLSIMLSESLSQMGGNKEFTSQDEGEANLSDQNDKETGGKTPVTPQKLKLGTKVTAKIYQIRARGLVLDLGGGIRGMYKFEPNGEREFTVGDELLVQCSSFTSKGIPVMSMVDEE >KJB33815 pep chromosome:Graimondii2_0_v6:6:8317847:8325464:1 gene:B456_006G032100 transcript:KJB33815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14580) UniProtKB/Swiss-Prot;Acc:Q9S7G6] MAMFSLAIRARAKANPLLTRRALHFRNFCNGRFGLSTSESDPPDRPVAGTKFLESFKEEFEIGSRVISLETGKIARFANGAVVLGMEETKVLSTVAAAKGDADRDFLPLTVDYQEKQFAQGLIPNTFMRREGAPKERELLCGRLIDRPIRPLFPAGFYHEIQVMASVLSSDGKQDPDVLAANATSAALMLSDIPWGGPIGVVRMGRICGQFIVNPTMDELSLSDLNLVYACTRDKTLMIDVQAGEITEKDLEAGLRLAHPEAVKYLEPQIRLAAKAGKQKKEYKLSMVSEQIFEKVRNLAEAPIDAVFTDPSYGKFERGEALEKIAQDVKSALEEECDEQSLKVLPKVVDTVRKKVVRKRIISEGFRLDGRHLDEVRPIYCEAGHLPILHGSALFNRGDTQVLCTVTLGAPQDAQRLDSLVGPPTKRFMLHYSFPPFCINEIGKRTGLNRREVGHGTLAEKALLAVLPPEDDFPYTVRINSEVMASDGSTSMATVCGGSMALMDAGIPLREHVAGVSVGLVTDVDPETGEITDYRILTDILGLEDHLGDMDFKIAGTRNGVTAIQLDIKPAGIPLDIICESLEPARKGRLQILDHMEQEISVPRNQDDRNSPRLVTLKFSNDAIRRFIGPLGALKRKVEEETGARISVGDGTITIAAKNQAVMEKVQDKVDFIVGREIVVGGIYKGIVMSIKEYGAFVEFNGGQQGLLHISELSHEPVSRVSDVVSVGQQISLMCIGQDVRGNIKLSRKATLPRPESETKSVVEGSAPVTKEKPNIWASPENMSKGEQLESTLEELVPSKMENTESNRSASLMPSVVIRSAAECDEEEKSAGLSKTSKSAPKPKGTLKKGSKQKKIQSSDNMPDLSLSIMLSESLSQMGGNKEFTSQDEGEANLSDQNDKETGGKTPVTPQKLKLGTKVTAKIYQIRARGLVLDLGGGIRGMYKFEMMMSNDRTSRIC >KJB33816 pep chromosome:Graimondii2_0_v6:6:8317847:8325949:1 gene:B456_006G032100 transcript:KJB33816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14580) UniProtKB/Swiss-Prot;Acc:Q9S7G6] MAMFSLAIRARAKANPLLTRRALHFRNFCNGRFGLSTSESDPPDRPVAGTKFLESFKEEFEIGSRVISLETGKIARFANGAVVLGMEETKVLSTVAAAKGDADRDFLPLTVDYQEKQFAQGLIPNTFMRREGAPKERELLCGRLIDRPIRPLFPAGFYHEIQVMASVLSSDGKQDPDVLAANATSAALMLSDIPWGGPIGVVRMGRICGQFIVNPTMDELSLSDLNLVYACTRDKTLMIDVQAGEITEKDLEAGLRLAHPEAVKYLEPQIRLAAKAGKQKKEYKLSMVSEQIFEKVRNLAEAPIDAVFTDPSYGKFERGEALEKIAQDVKSALEEECDEQSLKVLPKVVDTVRKKVVRKRIISEGFRLDGRHLDEVRPIYCEAGHLPILHGSALFNRGDTQVLCTVTLGAPQDAQRLDSLVGPPTKRFMLHYSFPPFCINEIGKRTGLNRREVGHGTLAEKALLAVLPPEDDFPYTVRINSEVMASDGSTSMATVCGGSMALMDAGIPLREHVAGVSVGLVTDVDPETGEITDYRILTDILGLEDHLGDMDFKIAGTRNGVTAIQLDIKPAGIPLDIICESLEPARKGRLQILDHMEQEISVPRNQDDRNSPRLVTLKFSNDAIRRFIGPLGALKRKVEEETGARISVGDGTITIAAKNQAVMEKVQDKVDFIVGREIVVGGIYKGIVMSIKEYGAFVEFNGGQQGLLHISELSHEPVSRVSDVVSVGQQISLMCIGQDVRGNIKLSRKATLPRPESETKSVVEGSAPVTKEKPNIWASPENMSKGEQLESTLEELVPSKMENTESNRSASLMPSVVIRSAAECDEEEKSAGLSKTSKSAPKPKGTLKKGSKQKKIQSSDNMPDLSLSIMLSESLSQMGGNKEFTSQDEGEANLSDQNDKETGGKTPVTPQKLKLGTKVTAKIYQIRARGLVLDLGGGIRGMYKFEVLGHWYFKKNKK >KJB34822 pep chromosome:Graimondii2_0_v6:6:32080073:32082014:-1 gene:B456_006G086200 transcript:KJB34822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWFVIRQLAEIEEQMATSKKVITREEWEKRLNDVKIRKEDMNKLVMNFLVTEGYVEAAEKFQMESGTEPDIDLATITDRMAVKKAVQSGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKIEEALEFAQEELAPRGEENQSFLEELERTVSLLAFEDVSNCPVGELLDISQRLKTASEVNAAILTSQSHEKGLSVVTRYQLF >KJB34823 pep chromosome:Graimondii2_0_v6:6:32079402:32082359:-1 gene:B456_006G086200 transcript:KJB34823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWFVIRQLAEIEEQMATSKKVITREEWEKRLNDVKIRKEDMNKLVMNFLVTEGYVEAAEKFQMESGTEPDIDLATITDRMAVKKAVQSGNVEDAIEKVNDLNPEQSFLEELERTVSLLAFEDVSNCPVGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAAYPRINDLSNATLEDPTV >KJB34821 pep chromosome:Graimondii2_0_v6:6:32079402:32082359:-1 gene:B456_006G086200 transcript:KJB34821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWFVIRQLAEIEEQMATSKKVITREEWEKRLNDVKIRKEDMNKLVMNFLVTEGYVEAAEKFQMESGTEHIDLATITDRMAVKKAVQSGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKIEEALEFAQEELAPRGEENQSFLEELERTVSLLAFEDVSNCPVGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAAYPRINDLSNATLEDPTV >KJB34824 pep chromosome:Graimondii2_0_v6:6:32079402:32082396:-1 gene:B456_006G086200 transcript:KJB34824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWFVIRQLAEIEEQMATSKKVITREEWEKRLNDVKIRKEDMNKLVMNFLVTEGYVEAAEKFQMESGTEPDIDLATITDRMAVKKAVQSGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKIEEALEFAQEELAPRGEENQSFLEELERTVSLLAFEDVSNCPVGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAAYPRINDLSNATLEDPTV >KJB38281 pep chromosome:Graimondii2_0_v6:6:49115844:49119187:1 gene:B456_006G246100 transcript:KJB38281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNELEAIEGLRWPWHSWPTTKPDASSLTIPLAVMCTPLTEFSGLPILPYDPLICSKCGAVLNPYARVEYTCRVWYCSFCFSKNSFPRTYSSSLEESNLPAELFPNYSSVEYARTVNPVTTPIGPSLSSSSLSSMASSMGGEVWGRGPAFVFVIDVCMEEEELRGVKSELLRVVEQLPESALVALVTFDAMVNVYDLGFSECSRVVVFHGDRELSSQQIQKFLGIGGKKLQQLGKSLVIQKQSFLLPISECEFSITSAIEEIRSFAQVTPGHRPQRSTGVAISTALGLLEGCLVNTGARIMVFTSGPATRGPGIVVDLDRAIAIRNHKDLINGQAPYYWKSSNFYKRLSQRLCDSSIVLDLFACSLDQVGAAELKVPVESSGGFMILGESFESDQFRKCMRHIFSRDEAGNLKMYFDATIEIVTTKDVKICGALGPCISLRKTNNLVSENEIGDGGTYIWKLGTLTSKTCIAFFFQVNYEHKPQPGAAFLVQFITRYRDGNMGIRRRVTTAARRWVAKQSPDIRAGFDQEAATSVMARLAIHRAETCQARDVIRWLDDNLIHFASKFGDYIQEDPSSFRLSSNFSLYPQFIFYLRRSQFLDVFNSTPDETAFFRLMLNREGVTDSIVMIQPTLLQYSFDGPPVPVLLDIRSISPDVILLFDSYFCVVIHYGSKIAQWRRLGYDKDPNHGNLRKLFEAPELDAGQLVAGRVPPPKLIKCDQHSSQARFLLAKLNPSVTQDSTYTDGSDIIFTDDLSLQVFIDHLQALAVQG >KJB33907 pep chromosome:Graimondii2_0_v6:6:10570531:10578705:1 gene:B456_006G037800 transcript:KJB33907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESERRKSNDSRMQGFSPSLRAVVLIIALLWVVVVTLYGLLKPISNGCVMTYMYPTYIPISTAEGVLSAKYGLYLYHEGWRNIDFKEHLKNLKGVPVLFIPGNGGSYKQVRSLAAESERAYQGGALEHSFYREAYLTSEEGGNADVNDFQLPNQYANRLDWFSVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHDAREREGAAITGSLPKSVILIGHSMGGFVARAATVHPHLRKSTVETILTLSSPHQSPPVALQPSLGHYYESINQEWRQGYDVQTTQTGEYVSSPKLSHVVIVSISGGYNDYQVRSKLESLDGIVPPTHGFMIGSTSMKNVWLSMEHQAICWCNQLVVQVSHTLLSLIDSRRGQPLSDTQKRLAILSKMLRSGIQQSFKWRSQLFWSTRVPFKDVKYTAVSQDHTFSDCPSSVHWSDDVPERDLYIQKKTVNILAMDGRRRWLDILELGSNGKSHFIFVTNLAPCSGVRVHLWPQKGNLPSDLPAGKSVLEVTSKMVQIPAGQAPRQIEPGSQTEQASPSALLHLGPEEMHGFRYLTISVAPHTTISGSPPTTSMAIGQFFNPDEGEIEFSPISMLSSRHFHEDIFFKEDHPLAFNLSFAINLGLLPVTFSLKTTSCGIKGSGLLDEGGDMDNTKLCKQRCFPPVAFAWDPTSGLHIFPNLYSETLVVDSSPALWTSTGAEKTVVLLLLDPHCSYKASLAVSVTKAASRYLLLYCPKMFAFNVAVLFFALMRQAHERPIPSILKAVETNLRIPFPFLPLAVVPILFCLFFSFITSQPFPPFCSFIIVSITCYLLSNGFIILLILVSELVFYVAAYLHVSMKRRWELRKGNFFFLFLQWFMNLSSRFFSLKVVRILRARPLFVPIFMAIILSTFVHPALGLLILLFSHALCCHSFLCNSLTASLRSNVRKKEVDDRSEGNCSSQQVTSQPGSPSKENRPSYSQAQEDIFHQRHGLLVLHLVAALMFGPSLVSWLQRIGMHKSFPRFLDSLLCICMILHGIFSSESLVNSSLPFPRILGQEMSLSFIYLIAGIYCYLSGLNMVPYKAMYAMGAVSIISFTSTVVQVWTGAPRDRGKWKPRRQ >KJB34229 pep chromosome:Graimondii2_0_v6:6:19871900:19874942:-1 gene:B456_006G054400 transcript:KJB34229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEFRRISKCAEAKEAWEILETTHEGTNTVKQFKLQMLTTKIETLRMKEIETIREFYAKIYDLTKKAFALGSEYSNSKLLRKVFKSLFERFNIKVTAIEETKDIDAMRIDELIGSLQTFETNLEEAEKSKQKPEKNITFSLAKTRTTKESTVIKEIQEYEVNSKLSIENDFFKKKNSSCLKDNGCSCHIIGNLSCVSVIFYNWKKGKICGKGTLAVSRMPNLKNVLYVNGIKANLTNIGLLYDQRMLVNFVKDKCVVTLRQNEEIMEGVRTFDNCYKVFLPSNEKPDSKIYEILNVDETTDSSDSNEPIQPSANFKKNHSMDDVIGDFQCGVRTIWKSRPNYRDIIILVYYTSQIEPKKVEEALKDVDYINVMQE >KJB33538 pep chromosome:Graimondii2_0_v6:6:3501881:3503739:-1 gene:B456_006G016100 transcript:KJB33538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFETGDENLMNTPSARHKRGCKCKRSKCLKKYCECYRAKVGCSDGCHCEDCDNSFGKKSESMFQRVEKQQNQSHEVLNTTQVMSDSTLVGITNPFFE >KJB37653 pep chromosome:Graimondii2_0_v6:6:46802653:46805446:1 gene:B456_006G214300 transcript:KJB37653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGEDNQLISVHPSDLKFIIELGKQSFCDLKVVNNTENHVAFKVKTTSPKKYFVRPNTSVLQPGDSCLIRVTLQAQREYPPDMQCKDKFLLQSTIVPPNTDVDDLPADTFNKESSKDIREFKLKVQYISPSAQGNSGDEGSSDSNSTLQQLKEDRDAAVRQTLHLQQELDLLKRRRQRRNDPGFSFKFATIVGVIGIIVGLVLNLYLSK >KJB36610 pep chromosome:Graimondii2_0_v6:6:42686798:42689095:-1 gene:B456_006G167100 transcript:KJB36610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNSCFSKCFCFPSETAIIDIRKEEPEPENDQNFTVFSYSELKVATHGFSASNKIGEGAFGSVYKGLLTNGSIVAVKMLSIELESMRGEREFVSEITTLTNLKHENLVTLVGCCVEGAKRFLVYNYMENNSLAQVLLGGEQNRIKLRWEARGAILLGVARGLAYLHEEVKPHIVHRDIKASNILLDQNLIPKVSDFGLSRILRDNASHISTRVAGTLGYLAPEYAVSGRLTRKTDVYSFGVLLLEIISGQTVVNFDFEHGERYLVQKAWELYRANRLLQLVDPVLGMNCPEADAVRYIKVGLLCVQETARFRPEMSTALKMLTNEIDIEGVQISQPGLVADLMNIKLGQKTTFQSLYSKASNMESTPSSLSSCS >KJB36611 pep chromosome:Graimondii2_0_v6:6:42686798:42689157:-1 gene:B456_006G167100 transcript:KJB36611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNSLAQVLLGGEQNRIKLRWEARGAILLGVARGLAYLHEEVKPHIVHRDIKASNILLDQNLIPKVSDFGLSRILRDNASHISTRVAGTLGYLAPEYAVSGRLTRKTDVYSFGVLLLEIISGQTVVNFDFEHGERYLVQKAWELYRANRLLQLVDPVLGMNCPEADAVRYIKVGLLCVQETARFRPEMSTALKMLTNEIDIEGVQISQPGLVADLMNIKLGQKTTFQSLYSKASNMESTPSSLSSCS >KJB36612 pep chromosome:Graimondii2_0_v6:6:42686972:42688719:-1 gene:B456_006G167100 transcript:KJB36612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFSIRNSYHRHTQRGELKVATHGFSASNKIGEGAFGSVYKGLLTNGSIVAVKMLSIELESMRGEREFVSEITTLTNLKHENLVTLVGCCVEGAKRFLVYNYMENNSLAQVLLGGEQNRIKLRWEARGAILLGVARGLAYLHEEVKPHIVHRDIKASNILLDQNLIPKVSDFGLSRILRDNASHISTRVAGTLGYLAPEYAVSGRLTRKTDVYSFGVLLLEIISGQTVVNFDFEHGERYLVQKAWELYRANRLLQLVDPVLGMNCPEADAVRYIKVGLLCVQETARFRPEMSTALKMLTNEIDIEGVQISQPGLVADLMNIKLGQKTTFQSLYSKASNMESTPSSLSSCS >KJB37606 pep chromosome:Graimondii2_0_v6:6:46749210:46751906:-1 gene:B456_006G213500 transcript:KJB37606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDLLNIDPLELKFPFELRKQISCSLQLSNKTDNHVAFKVKTTNPKKYCVRPNTGIVLPRSTCEVIVTMQAQKEAPADMQCKDKFLLQSVKAPDGVTAKDITAEMFNREAGNVVEECKLRVVYVFSHQQTSPSQEGSELVPRGSISDNSEFVMAARTFMDQLDTQDKSPEAKALITKLTDEKNKAIQQNNKLRQELELLKRESSKSGGNLSFMFVALIGLIGLIMGYIMKRS >KJB37603 pep chromosome:Graimondii2_0_v6:6:46749210:46751562:-1 gene:B456_006G213500 transcript:KJB37603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQKEAPADMQCKDKFLLQSVKAPDGVTAKDITAEMFNREAGNVVEECKLRVVYVFSHQQTSPSQEGSELVPRGSISDNSEFVMAARTFMDQLDTQDKSPEAKALITKLTDEKNKAIQQNNKLRQELELLKRESSKSGGNLSFMFVALIGLIGLIMGYIMKRS >KJB37605 pep chromosome:Graimondii2_0_v6:6:46749210:46752703:-1 gene:B456_006G213500 transcript:KJB37605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDLLNIDPLELKFPFELRKQISCSLQLSNKTDNHVAFKVKTTNPKKYCVRPNTGIVLPRSTCEVIVTMQAQKEAPADMQCKDKFLLQSVKAPDGVTAKDITAEMFNREAGNVVEECKLRVVYVFSHQQTSPSQEGSELVPRGSISDNSEFVMAARTFMDQLDTQDKSPEAKALITKLTDEKNKAIQQNNKLRQELVSGIWSFLCSPALIVSDNYS >KJB37604 pep chromosome:Graimondii2_0_v6:6:46749210:46752703:-1 gene:B456_006G213500 transcript:KJB37604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDLLNIDPLELKFPFELRKQISCSLQLSNKTDNHVAFKVKTTNPKKYCVRPNTGIVLPRSTCEVIVTMQAQKEAPADMQCKDKFLLQSVKAPDGVTAKDITAEMFNREAGNVVEECKLRVVYVFSHQQTSPSQEGSELVPRGSISDNSEFVMAARTFMDQLDTQDKSPEAKALITKLTDEKNKAIQQNNKLRQELELLKRESSKSGGNLSFMFVALIGLIGLIMGYIMKRS >KJB35707 pep chromosome:Graimondii2_0_v6:6:37640051:37643738:1 gene:B456_006G124600 transcript:KJB35707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKQEESGATAKVKGGSKDVKKEKLSVSAMLASMDQKPDNKPKKGVSSSAKPKAKGPKVSSYTDGIDLPPSDEEEEQEEYASEEEQTQSNRHQRQGLRPLETSISEKEQKKREKKEMLAAQAAEQAKLEALRDDHDAFTVVIGSRASVLDGEDEADANVKDITIDNFSVSARGKELLKNASVKISHGKRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDRTALQAVVSANEELLRLREEVTALQNSSSGNGGEDDSDLNGDDAGERLAELYDKLQILGSDAAEAQASKILAGLGFTKQMQGRPTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLFRWKKTLVVVSHDRDFLNTVCTDIIHLHDFKLQFYRGNFDDFESGYEQRRKEMNKKFEIYEKQVKAAKRSGNRVQQEKVKDRAKFAAAKEAAKSKGKGKGKVDEDETPAEAPKKWRDYSVEFHFPEPTELTPPLLQIINVSFSYPNREDFRLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLIAGDLVPTEGEVRRSQKLRIGRYSQHFVDLLIMEETPVQYLLRLHPDQEGLSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEEKSQIWVVDNGTVNTFPGSFEDYKEELQREIRAEVDD >KJB35706 pep chromosome:Graimondii2_0_v6:6:37640149:37643698:1 gene:B456_006G124600 transcript:KJB35706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKQEESGATAKVKGGSKDVKKEKLSVSAMLASMDQKPDNKPKKGVSSSAKPKAKGPKVSSYTDGIDLPPSDEEEEQEEYASEEEQTQSNRHQRQGLRPLETSISEKEQKKREKKEMLAAQAAEQAKLEALRDDHDAFTVVIGSRASVLDGEDEADANVKDITIDNFSVSARGKELLKNASVKISHGKRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDRTALQAVVSANEELLRLREEVTALQNSSSGNGGEDDSDLNGDDAGERLAELYDKLQILGSDAAEAQASKILAGLGFTKQMQGRPTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLFRWKKTLVVVSHDRDFLNTVCTDIIHLHDFKLQFYRGNFDDFESGYEQRRKEMNKKFEIYEKQVKAAKRSGNRVQQEKVKDRAKFAAAKEAAKSKGKGKGKVDEDETPAEAPKKWRDYSVEFHFPEPTELTPPLLQIINVSFSYPNREDFRLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLIAGDLVPTEGEVRRSQKLRIGRYSQHFVDLLIMEETPVQYLLRLHPDQEGLSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEEKSQIWVVDNGTVNTFPGSFEDYKEELQREIRAEVDD >KJB36020 pep chromosome:Graimondii2_0_v6:6:39374607:39375248:-1 gene:B456_006G137400 transcript:KJB36020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVNTECDPSNYDKVTKKKKCPVPGCREVLTFSNTIKCRDCTLDHCLKHRFGPDHKCPGPKKSDPGFPFMGLLSRSRKESKTNQAPATSSSKWATSFLNAASTVRASAEAGMTKLGSEISQKLQIARDGVGLSSSGGGSNGNAGQVEECPQCGAKFSSVTTLVDHVEKVHERNNQSRVFKMSIDVCPKCSKGFRDPVALVEHVERDHGGTSKA >KJB36021 pep chromosome:Graimondii2_0_v6:6:39374277:39376989:-1 gene:B456_006G137400 transcript:KJB36021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPQFPDLGKHCSVEDCKQIDFLPFTCDRCHLVYCLEHRSYIKHHCPKADKKDVTVVICPLCAKGVRLIPDEDPNITWEMHVNTECDPSNYDKVTKKKKCPVPGCREVLTFSNTIKCRDCTLDHCLKHRFGPDHKCPGPKKSDPGFPFMGLLSRSRKESKTNQAPATSSSKWATSFLNAASTVRASAEAGMTKLGSEISQKLQIARDGVGLSSSGGGSNGNAGQVEECPQCGAKFSSVTTLVDHVEKVHERNNQSRVFKMSIDVCPKCSKGFRDPVALVEHVERDHGGTSKA >KJB36808 pep chromosome:Graimondii2_0_v6:6:43483062:43483622:-1 gene:B456_006G177100 transcript:KJB36808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPRGAQGRLGCLAISISTGFFTCTTETIEFIKERFIFVRETAYNAYRRSSYVLARSFISIPALIVLSLSFCLITFWAIGLSGGFSGFLFYFLAACGTFWAGVK >KJB34786 pep chromosome:Graimondii2_0_v6:6:31684137:31684712:-1 gene:B456_006G083600 transcript:KJB34786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEFKVKRKWKFCCAVTAILIIVIAVVLVTLAFTLFKPKDPKIIPQSVTLEGFRLVVFPSITGNLSLELAVTVDNRNYGGFDYDNSTAYINYRGNLVAEAPIGKDTIRPRSKHNVSASVIIFADRLAADGNFLNDFLAGVFNFTSSTRLQGKVNVFNIFKLKASSSSSCNITVFIQNQTADSVCTSKIRL >KJB33700 pep chromosome:Graimondii2_0_v6:6:7013656:7015609:1 gene:B456_006G027200 transcript:KJB33700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIRDDIYSGSQFKRPFASSKAESYAQNQMPGGGGGTGGGEGGGGSMSQTLAMNEAFTYLMEVKEIFQDQKEKYDMFLEVMKDFVARRTDTAGVIARVKELFKGHNNLIYGFNTFLPKEYGIILDEDEAPPKKTDELDEAISFVNKIKKRFQNDEHVYKSFVGILSMYQEHKDRNEVYTELASLFEDHPDLLYDFHIGFYRRAWTSTRLLRKKRFLNLTKHSNLER >KJB33702 pep chromosome:Graimondii2_0_v6:6:7013589:7015639:1 gene:B456_006G027200 transcript:KJB33702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIRDDIYSGSQFKRPFASSKAESYAQNQMPGGGGGTGGGEGGGGSMSQTLAMNEAFTYLMEVKEIFQDQKEKYDMFLEVMKDFVARRTDTAGVIARVKELFKGHNNLIYGFNTFLPKEYGIILDEDEAPPKKTDELDEAISFVNKIKKRFQNDEHVYKSFVGILSMYQEHKDRNEVYTELASLFEDHPDLLYDFHIGFYRRAWTSTRLLRKKRFLNLTKHSNLER >KJB33701 pep chromosome:Graimondii2_0_v6:6:7013656:7015639:1 gene:B456_006G027200 transcript:KJB33701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIRDDIYSGSQFKRPFASSKAESYAQNQMPGGGGGTGGGEGGGGSMSQTLAMNEAFTYLMEVKEIFQDQKEKYDMFLEVMKDFVARRTDTAGVIARVKELFKGHNNLIYGFNTFLPKEYGIILDEDEAPPKKTDELDEAISFVNKIKKRFQNDEHVYKSFVGILSMYQEHKDRNEVYTELASLFEDHPDLLYDFHIGFYRRAWTSTRLLRKKRFLNLTKHSNLER >KJB37387 pep chromosome:Graimondii2_0_v6:6:45948235:45952879:1 gene:B456_006G203200 transcript:KJB37387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMNIEEMKEIERVERDDLEERIDEQEELKRIAPWTKHITIRGLIASLLIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVLVRSWTKLLQKVGFVSTPFTRQENTIIQTSAVACYSIAVGGGFGSYLLGLNRKTYEQAGVDAVGNNPGSIKEPGIGWMTGFLFVSCFVGLLALVPLRKIMIIDYKLAYPSGTATAVLINGFHTPKGDKNAKKQVHGFLKFFSFSFLWAFFQWFYAGGDSCGFSQFPTFGLKAWKNSFYFDFSMTYIGAGMICPHLVNISLLLGAVLSWGVMWPLIGGLKGEWFPATLPESSMKSLNGYKVFISIALILGDGLYNFLKILFFTARNIHAKVKNSNRKTSPDNQKQLPNDLHRNELFNRESIPIWVACAGYTFFSVISVIVIPQMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFVLAALSGKENGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQAIGTAIGCIVAPLTFFLFYKAFDLGNPDGEYKAPYALIYRNMAILGVQGFSALPQYCLQLCYGFFSFAIAANLLRDFTPKNIGKWIPIPMAMAVPFLVGAYFAIDMCVGSLAVFAWHKLNRKKASLMVPAVASGLICGDGLWLLPSSILALFKNVNNSYISRNC >KJB37389 pep chromosome:Graimondii2_0_v6:6:45948669:45952267:1 gene:B456_006G203200 transcript:KJB37389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMNIEEMKEIERVERDDLEERIDEQEELKRIAPWTKHITIRGLIASLLIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVLVRSWTKLLQKVGFVSTPFTRQENTIIQTSAVACYSIAVGGGFGSYLLGLNRKTYEQAGVDAVGNNPGSIKEPGIGWMTGFLFVSCFVGLLALVPLRKIMIIDYKLAYPSGTATAVLINGFHTPKGDKNAKFYFDFSMTYIGAGMICPHLVNISLLLGAVLSWGVMWPLIGGLKGEWFPATLPESSMKSLNGYKVFISIALILGDGLYNFLKILFFTARNIHAKVKNSNRKTSPDNQKQLPNDLHRNELFNRESIPIWVACAGYTFFSVISVIVIPQMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFVLAALSGKENGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQAIGTAIGCIVAPLTFFLFYKAFDLGNPDGEYKAPYALIYRNMAILGVQGFSALPQYCLQLCYGFFSFAIAANLLRDFTPKNIGKWIPIPMAMAVPFLVGAYFAIDMCVGSLAVFAWHKLNRKKASLMVPAVASGLICGDGLWLLPSSILALFKARPPICMTFLATT >KJB37388 pep chromosome:Graimondii2_0_v6:6:45949854:45951817:1 gene:B456_006G203200 transcript:KJB37388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIPPKETKMLSGDSCGFSQFPTFGLKAWKNSFYFDFSMTYIGAGMICPHLVNISLLLGAVLSWGVMWPLIGGLKGEWFPATLPESSMKSLNGYKVFISIALILGDGLYNFLKILFFTARNIHAKVKNSNRKTSPDNQKQLPNDLHRNELFNRESIPIWVACAGYTFFSVISVIVIPQMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFVLAALSGKENGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQAIGTAIGCIVAPLTFFLFYKAFDLGNPDGEYKAPYALIYRNMAILGVQGFSALPQYCLQLCYGFFSFAIAANLLRDFTPKNIGKWIPIPMAMAVPFLVGAYFAIDMCVGSLAVFAWHKLNRKKASLMVPAVASGLICGDGLWLLPSSILALFKARPPICMTFLATT >KJB37390 pep chromosome:Graimondii2_0_v6:6:45948070:45952267:1 gene:B456_006G203200 transcript:KJB37390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMNIEEMKEIERVERDDLEERIDEQEELKRIAPWTKHITIRGLIASLLIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVLVRSWTKLLQKVGFVSTPFTRQENTIIQTSAVACYSIAVGGGFGSYLLGLNRKTYEQAGVDAVGNNPGSIKEPGIGWMTGFLFVSCFVGLLALVPLRKIMIIDYKLAYPSGTATAVLINGFHTPKGDKNAKKQVHGFLKFFSFSFLWAFFQWFYAGGDSCGFSQFPTFGLKAWKNSFYFDFSMTYIGAGMICPHLVNISLLLGAVLSWGVMWPLIGGLKGEWFPATLPESSMKSLNGYKVFISIALILGDGLYNFLKILFFTARNIHAKVKNSNRKTSPDNQKQLPNDLHRNELFNRESIPIWVACAGYTFFSVISVIVIPQMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFVLAALSGKENGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQAIGTAIGCIVAPLTFFLFYKAFDLGNPDGEYKAPYALIYRNMAILGVQGFSALPQYCLQLCYGFFSFAIAANLLRDFTPKNIGKWIPIPMAMAVPFLVGAYFAIDMCVGSLAVFAWHKLNRKKASLMVPAVASGLICGDGLWLLPSSILALFKARPPICMTFLATT >KJB37386 pep chromosome:Graimondii2_0_v6:6:45948669:45952267:1 gene:B456_006G203200 transcript:KJB37386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMNIEEMKEIERVERDDLEERIDEQEELKRIAPWTKHITIRGLIASLLIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVLVRSWTKLLQKVGFVSTPFTRQENTIIQTSAVACYSIAVGGGFGSYLLGLNRKTYEQAGVDAVGNNPGSIKEPGIGWMTGFLFVSCFVGLLALVPLRKIMIIDYKLAYPSGTATAVLINGFHTPKGDKNAKKQVHGFLKFFSFSFLWAFFQWFYAGGDSCGFSQFPTFGLKAWKNSFYFDFSMTYIGAGMICPHLVNISLLLGAVLSWGVMWPLIGGLKGEWFPATLPESSMKSLNGYKVFISIALILGDGLYNFLKILFFTARNIHAKVKNSNRKTSPDNQKQLPNDLHRNELFNRESIPIWVACAGYTFFSVISVIVIPQMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFVLAALSGKENGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQAIGTAIGCIVAPLTFFLFYKAFDLGNPDGEYKAPYALIYRNMAILGVQGFSALPQYCLQLCYGFFSFAIAANLLRDFTPKNIGKWIPIPMAMAVPFLVGAYFAIDMCVGSLAVFAWHKLNRKKASLMVPAVASGLICGDGLWLLPSSILALFKARPPICMTFLATT >KJB38293 pep chromosome:Graimondii2_0_v6:6:49175955:49181197:-1 gene:B456_006G247200 transcript:KJB38293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREKKDMILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQGKGKKAKSKLLDTEEMPAPIVHVEKDMFVLVDDVLLLLERAALEPLPPKDEKGPQNRTKDGNSGDDFNKDSIERDERHLTELGRRTVEIFVLAHIFSNKIEVAYQEAVALQRQEELIREEAAWLAESEQKTKRGASEKEKKAKKKQAKQKRNNRKSKDKGREKVIVAVEEKQPESHLDHVKEVPIMVELQHVPEKPDVLGDVSDVSDSVDGATEVLQPDSEDRDASPVNWDTDTSEIHPPTEASSSGINGLSCVRNGVADRRSTFIMDDSSSTCSTDSVRSVVMNGHYKGNSFSNNQNKRSPNRGKDQRRNTSTDGSGWTVETNSRPSCPRLDAGESNDVSESSKAGESDSVATVSSLPDQTKWVELDSVKKEEVDLERPKEKTAAMPSSPSGLPRNLSPTVQFKSEYRSAGNLDSMPVRKATTNSLQQSDQPASSSTSFQMAGISKSEIQKAAAPKPTEKLMASQVPVMSRPSSAPLVPGPRPTTLVSTVQTTPFLARSVSAAGRLGPDPSPATSYVPQSYRNAIMGNHVSSSSAGFTDPNSRSSGVNSLPAYSQPPALVSAPVYIPHSPDRIEPNSVQSGFPFGMVTRDTLPSAPQWLESSRRDGSRSTHSDPSLLSEIQNLDLYKSERNATQEHVFAELPACTSGYHSQGVLADEFPHLDIINDLLDEENNVGRAVRVGTGFRSLGNCSHLFHRQFSFPSNLGMSGEMGSSNGACRFDRARSFHDDGFRQGYSTSSDNHFDTPREFIPHANPLPYANGQIDGLVPTQWLTPSSDPSLLGMRNTDVDSYPYYNQDYSNLACGINGYTVFRPSNGY >KJB38292 pep chromosome:Graimondii2_0_v6:6:49175955:49181273:-1 gene:B456_006G247200 transcript:KJB38292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREKKDMILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQGKGKKAKSKLLDTEEMPAPIVHVEKDMFVLVDDVLLLLERAALEPLPPKDEKGPQNRTKDGNSGDDFNKDSIERDERHLTELGRRTVEIFVLAHIFSNKIEVAYQEAVALQRQEELIREEAAWLAESEQKTKRGASEKEKKAKKKQAKQKRNNRKSKDKGREKVIVAVEEKQPESHLDHVKEVPIMVELQHVPEKPDVLGDVSDVSDSVDGATEVLQPDSEDRDASPVNWDTDTSEIHPPTEASSSGINGLSCVRNGVADRRSTFIMDDSSSTCSTDSVRSVVMNGHYKGNSFSNNQNKRSPNRGKDQRRNTSTDGSGWTVETNSRPSCPRLDAGESNDVSESSKAGESDSVATVSSLPDQTKWVELDSVKKEEVDLERPKEKTAAMPSSPSGLPRNLSPTVQFKSEYRSAGNLDSMPVRKATTNSLQQSDQPASSSTSFQMAGISKSEIQKAAAPKPTEKLMASQVPVMSRPSSAPLVPGPRPTTLVSTVQTTPFLARSVSAAGRLGPDPSPATSYVPQSYRNAIMGNHVSSSSAGFTDPNSRSSGVNSLPAYSQPPALVSAPVYIPHSPDRIEPNSVQSGFPFGMVTRDTLPSAPQWLESSRRDGSRSTHSDPSLLSEIQNLDLYKSERNATQEHVFAELPACTSGYHSQGVLADEFPHLDIINDLLDEENNVGRAVRVGTGFRSLGNCSHLFHRQFSFPSNLGMSGEMGSSNGACRFDRARSFHDDGFRQGYSTSSDNHFDTPREFIPHANPLPYANGQIDGLVPTQWLTPSSDPSLLGMRNTDVDSYPYYNQDYSNLACGINGYTVFRPSNGY >KJB38294 pep chromosome:Graimondii2_0_v6:6:49175955:49181684:-1 gene:B456_006G247200 transcript:KJB38294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKVYDGFIESDTLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFLDDRRSNLGKFIEDKAKWSSFCTFWSGIDQNSRRRMSREKKDMILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQGKGKKAKSKLLDTEEMPAPIVHVEKDMFVLVDDVLLLLERAALEPLPPKDEKGPQNRTKDGNSGDDFNKDSIERDERHLTELGRRTVEIFVLAHIFSNKIEVAYQEAVALQRQEELIREEAAWLAESEQKTKRGASEKEKKAKKKQAKQKRNNRKSKDKGREKVIVAVEEKQPESHLDHVKEVPIMVELQHVPEKPDVLGDVSDVSDSVDGATEVLQPDSEDRDASPVNWDTDTSEIHPPTEASSSGINGLSCVRNGVADRRSTFIMDDSSSTCSTDSVRSVVMNGHYKGNSFSNNQNKRSPNRGKDQRRNTSTDGSGWTVETNSRPSCPRLDAGESNDVSESSKAGESDSVATVSSLPDQTKWVELDSVKKEEVDLERPKEKTAAMPSSPSGLPRNLSPTVQFKSEYRSAGNLDSMPVRKATTNSLQQSDQPASSSTSFQMAGISKSEIQKAAAPKPTEKLMASQVPVMSRPSSAPLVPGPRPTTLVSTVQTTPFLARSVSAAGRLGPDPSPATSYVPQSYRNAIMGNHVSSSSAGFTDPNSRSSGVNSLPAYSQPPALVSAPVYIPHSPDRIEPNSVQSGFPFGMVTRDTLPSAPQWLESSRRDGSRSTHSDPSLLSEIQNLDLYKSERNATQEHVFAELPACTSGYHSQGVLADEFPHLDIINDLLDEENNVGRAVRVGTGFRSLGNCSHLFHRQFSFPSNLGMSGEMGSSNGACRFDRARSFHDDGFRQGYSTSSDNHFDTPREFIPHANPLPYANGQIDGLVPTQWLTPSSDPSLLGMRNTDVDSYPYYNQDYSNLACGINGYTVFRPSNGY >KJB38295 pep chromosome:Graimondii2_0_v6:6:49175955:49184134:-1 gene:B456_006G247200 transcript:KJB38295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIASEDCGVGRYAEGISSGQHCQTGEALAEWQSSEQVENGTPSTSLLYWDSDDGNDGGPKPSELYGKYTWKIEKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFIESDTLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFLDDRRSNLGKFIEDKAKWSSFCTFWSGIDQNSRRRMSREKKDMILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQGKGKKAKSKLLDTEEMPAPIVHVEKDMFVLVDDVLLLLERAALEPLPPKDEKGPQNRTKDGNSGDDFNKDSIERDERHLTELGRRTVEIFVLAHIFSNKIEVAYQEAVALQRQEELIREEAAWLAESEQKTKRGASEKEKKAKKKQAKQKRNNRKSKDKGREKVIVAVEEKQPESHLDHVKEVPIMVELQHVPEKPDVLGDVSDVSDSVDGATEVLQPDSEDRDASPVNWDTDTSEIHPPTEASSSGINGLSCVRNGVADRRSTFIMDDSSSTCSTDSVRSVVMNGHYKGNSFSNNQNKRSPNRGKDQRRNTSTDGSGWTVETNSRPSCPRLDAGESNDVSESSKAGESDSVATVSSLPDQTKWVELDSVKKEEVDLERPKEKTAAMPSSPSGLPRNLSPTVQFKSEYRSAGNLDSMPVRKATTNSLQQSDQPASSSTSFQMAGISKSEIQKAAAPKPTEKLMASQVPVMSRPSSAPLVPGPRPTTLVSTVQTTPFLARSVSAAGRLGPDPSPATSYVPQSYRNAIMGNHVSSSSAGFTDPNSRSSGVNSLPAYSQPPALVSAPVYIPHSPDRIEPNSVQSGFPFGMVTRDTLPSAPQWLESSRRDGSRSTHSDPSLLSEIQNLDLYKSERNATQEHVFAELPACTSGYHSQGVLADEFPHLDIINDLLDEENNVGRAVRVGTGFRSLGNCSHLFHRQFSFPSNLGMSGEMGSSNGACRFDRARSFHDDGFRQGYSTSSDNHFDTPREFIPHANPLPYANGQIDGLVPTQWLTPSSDPSLLGMRNTDVDSYPYYNQDYSNLACGINGYTVFRPSNGY >KJB32969 pep chromosome:Graimondii2_0_v6:6:11553486:11556365:1 gene:B456_006G0414002 transcript:KJB32969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNNNNPPKSIGASSSPFGNASQAQTQAQLSAGFQNQYQLSQAQALAHAQAQAQAQLAHAQFQAHLQAQGLSLNQAQNAGIGNLGTSSPSMSTPGSASAKRILQRPPMRPPGVPVTNTMSPLRIMDLTTAARKKKQKLPEKQLQDRVAAILPESALYTQLLEFEARVDAALTRKKVDIQEALKNPPCVQKTLRIYVFNTFANQMQTIPKKPNAEPPTWTLKIIGRILEDGVDPDQPAFVQKTNPLYPKFSSFFKRVTISLDQRLYPENHIIIWEQARSPAPHEGFEVKRKGDKEFTVNIRLEMNYAPEKFKLSSALVEVLGIEVDTRPRIIAAIWHYVKARKLQNPNDPSCFICDAQLMKVFGEEKVKFTMVSQKISQHLSSPPPIHLEHKIKLSGNNPAGSACYDVLVDVPLPIQRDLSALLANAEKSKEIEQCDEAICAAIRKIHEHRRRRAFFLGFSQSPVEFINALIESQSRDLKLASGEASRSSERERRSDFFNQPWVEDAVIRYLNRKPGAGGSDAPGST >KJB32970 pep chromosome:Graimondii2_0_v6:6:11553553:11556275:1 gene:B456_006G0414002 transcript:KJB32970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNNNNPPKSIGASSSPFGNASQAQTQAQLSAGFQNQYQLSQAQALAHAQAQAQAQLAHAQFQAHLQAQGLSLNQAQNAGIGNLGTSSPSMSTPGSASAKRILQRPPMRPPGVPVTNTMSPLRIMDLTTAARKKKQKLPEKQLQDRVAAILPESALYTQLLEFEARVDAALTRKKVDIQEALKNPPCVQKTLRIYVFNTFANQMQTIPKKPNAEPPTWTLKIIGRILEDGVDPDQPAFVQKTNPLYPKFSSFFKRVTISLDQRLYPENHIIIWEQARSPAPHEGFEVKRKGDKEFTVNIRLEMNYAPEKFKLSSALVEVLGIEVDTRPRIIAAIWHYVKARKLQNPNDPSCFICDAQLMKVFGEEKVKFTMVSQKISQHLSSPPPIHLEHKIKLSGNNPAGSACYDVLVDVPLPIQRDLSALLANAEKSKEIEQCDEAICAAIRKIHEHRRRRAFFLGFSQSPVEFINALIESQSRDLKLASGEASRSSERERRSDFFNQPWVEDAVIRYLNRKPGAGGSDAPG >KJB34811 pep chromosome:Graimondii2_0_v6:6:31969509:31972940:-1 gene:B456_006G085200 transcript:KJB34811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFLTFANIRKAAQYAISNPTTSHSEFRKYGTVVTIGLQLPQYRVHSHYGFNIGGKAPWVLQNTKEGISRGYFARNHSFFSASSAVTHHAQVAWKKFTRKCSSSCHTFPYIRMAQAVSLALSRSHLIVPGIFGLGGGRLALAQRKVVETNYYPSPNSLYSRAQDGHAFVSSILLSVIEGVILLVRAIYLTILFSPSIIMAPFADSCGLKFRKIWLEVVHRTLETAGPAFIKWGQWAATRPDLFPKDLCTKLSELHSRAPEHSFAFTKKTIERAFGRKLSEIFDSFEEEPVASGSIAQVHRASLRFRYPGKRVKPMLVAVKVRHPGVGESIRRDFMIINLVAKLSKFIPTLNWLRLDESVQQFAVFMMSQVDLAREAANLNRFIYNFRSWKDVSFPKPVYPLVHPAVLVETYEQGESVARYVDEFEGYDMVKAKLAHIGTHALLKMLLVDNFIHADMHPGNILVRVSHSKASRKRLFKSKPHIIFLDVGMTAELSKSDRINLLEFFKAVARRDGQTAAECTLRLSQRQNCPNPKAFIEEVDEAFTFWGTPEGDLVHPAECMEQLLEKVRRHKVNIDGNVCTVLVTMLVLEGWQRKLDPGYDVMETLQTLLLKADWAKSLSYTIDGLMAP >KJB34812 pep chromosome:Graimondii2_0_v6:6:31969929:31972940:-1 gene:B456_006G085200 transcript:KJB34812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFLTFANIRKAAQYAISNPTTSHSEFRKYGTVVTIGLQLPQYRVHSHYGFNIGGKAPWVLQNTKEGISRGYFARNHSFFSASSAVTHHAQVAWKKFTRKCSSSCHTFPYIRMAQAVSLALSRSHLIVPGIFGLGGGRLALAQRKVVETNYYPSPNSLYSRAQDGHAFVSSILLSVIEGVILLVRAIYLTILFSPSIIMAPFADSCGLKFRKIWLEVVHRTLETAGPAFIKWGQWAATRPDLFPKDLCTKLSELHSRAPEHSFAFTKKTIERAFGRKLSEIFDSFEEEPVASGSIAQVHRASLRFRYPGKRVKPMLVAVKVRHPGVGESIRRDFMIINLVAKLSKFIPTLNWLRLDESVQQFAVFMMSQVDLAREAANLNRFIYNFRSWKDVSFPKPVYPLVHPAVLVETYEQGESVARYVDEFEGYDMVKAKLAHIGTHALLKMLLVTALNWFTYVSVKF >KJB34810 pep chromosome:Graimondii2_0_v6:6:31969509:31972937:-1 gene:B456_006G085200 transcript:KJB34810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRESLGCRFLTFANIRKAAQYAISNPTTSHSEFRKYGTVVTIGLQLPQYRVHSHYGFNIGGKAPWVLQNTKEGISRGYFARNHSFFSASSAVTHHAQVAWKKFTRKCSSSCHTFPYIRMAQAVSLALSRSHLIVPGIFGLGGGRLALAQRKVVETNYYPSPNSLYSRAQDGHAFVSSILLSVIEGVILLVRAIYLTILFSPSIIMAPFADSCGLKFRKIWLEVVHRTLETAGPAFIKWGQWAATRPDLFPKDLCTKLSELHSRAPEHSFAFTKKTIERAFGRKLSEIFDSFEEEPVASGSIAQVHRASLRFRYPGKRVKPMLVAVKVRHPGVGESIRRDFMIINLVAKLSKFIPTLNWLRLDESVQQFAVFMMSQVDLAREAANLNRFIYNFRSWKDVSFPKPVYPLVHPAVLVETYEQGESVARYVDEFEGYDMVKAKLAHIGTHALLKMLLVDNFIHADMHPGNILVRVSHSKASRKRLFKSKPHIIFLDVGMTAELSKSDRINLLEFFKAVARRDGQTAAECTLRLSQRQNCPNPKAFIEEVDEAFTFWGTPEGDLVHPAECMEQLLEKVRRHKVNIDGNVCTVLVTMLVLEGWQRKLDPGYDVMETLQTLLLKADWAKSLSYTIDGLMAP >KJB35844 pep chromosome:Graimondii2_0_v6:6:38520272:38521494:1 gene:B456_006G131100 transcript:KJB35844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALTNKYHKKINCKYACCRRCRKKPTKKVCVRVCKICCKKCHCVPPGAYGLKSACPCYAKLKTHGHMLKCP >KJB37143 pep chromosome:Graimondii2_0_v6:6:44857456:44862679:1 gene:B456_006G191400 transcript:KJB37143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVRANMGILSKALTRLRPTGALYSLPNQSLPPPKHWVPSSSFSTAAAVASSQSLHDKEKRSKWSRWFLFLPGAITFGLGTWQIFRRLDKIEMLDHRQKRLQMEPLKLNDMPPSSETLDTLEFRRVVCKGVFYYERSIYIGPRSRSISGVTENGYYVITPLMPIPGDADSVQSPVLVNRGWVPRSWRDKSFEVSQDGEKSSSTDVVPVQQNERSWWGLFRSKKQKAVEAQAPAITYVEVIGVVRGSEKPSVFVPPNDPNSGQWFYVDVPAIAVACGLPKDTLLIEDINENVNPSNPYPVPKDINALIRSSVMPQDHLNYTLTWYSLSAAVTFMAFKRLKPKNSRR >KJB37146 pep chromosome:Graimondii2_0_v6:6:44857420:44864711:1 gene:B456_006G191400 transcript:KJB37146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVRANMGILSKALTRLRPTGALYSLPNQSLPPPKHWVPSSSFSTAAAVASSQSLHDKEKRSKWSRWFLFLPGAITFGLGTWQIFRRLDKIEMLDHRQKRLQMEPLKLNDMPPSSETLDTLEFRRVVCKGVFYYERSIYIGPRSRSISGVTENGYYVITPLMPIPGDADSVQSPVLVNRGWVPRSWRDKSFEVSQDGEKSSSTDVVPVQQNERSWWGLFRSKKQKAVEAQAPAITYVEVIGVVRGSEKPSVFVPPNDPNSGQWFYVDVPAIAVACGLPKDTLLIEDINENVNPSNPYPVPKDINALIRSSVMPQDHLNYTLTWYSLSAAVTFMAFKRLKPKNSRR >KJB37148 pep chromosome:Graimondii2_0_v6:6:44860576:44864711:1 gene:B456_006G191400 transcript:KJB37148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFGAWGCLVCFHSTNLNILFSVQSPVLVNRGWVPRSWRDKSFEVSQDGEKSSSTDVVPVQQNERSWWGLFRSKKQKAVEAQAPAITYVEVIGVVRGSEKPSVFVPPNDPNSGQWFYVDVPAIAVACGLPKDTLLIEDINENVNPSNPYPVPKDINALIRSSVMPQDHLNYTLTWYSLSAAVTFMAFKRLKPKNSRR >KJB37144 pep chromosome:Graimondii2_0_v6:6:44857456:44860961:1 gene:B456_006G191400 transcript:KJB37144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVRANMGILSKALTRLRPTGALYSLPNQSLPPPKHWVPSSSFSTAAAVASSQSLHDKEKRSKWSRWFLFLPGAITFGLGTWQIFRRLDKIEMLDHRQKRLQMEPLKLNDMPPSSETLDTLEFRRVVCKGVFYYERSIYIGPRSRSISGVTENGYYVITPLMPIPGDADSVQSPVLVNRGWVPRSWRDKSFEVSQDGEKSSSTDVVPVQQNERSWWGLFRSKKQKAVEVFSCHPLNPLLMPMTYILGEKV >KJB37145 pep chromosome:Graimondii2_0_v6:6:44857456:44861481:1 gene:B456_006G191400 transcript:KJB37145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVRANMGILSKALTRLRPTGALYSLPNQSLPPPKHWVPSSSFSTAAAVASSQSLHDKEKRSKWSRWFLFLPGAITFGLGTWQIFRRLDKIEMLDHRQKRLQMEPLKLNDMPPSSETLDTLEFRRVVCKGVFYYERSIYIGPRSRSISGVTENGYYVITPLMPIPGDADSVQSPVLVNRGWVPRSWRDKSFEVSQDGEKSSSTDVVPVQQNERSWWGLFRSKKQKAVEAQAPAITYVEVIGVVRGSEKPSVFVPPNDPNSGQWFYVDVPAIAVACGLPKDTLLIEDINENVNPSNPYPVPKDINALIRSSVMPQDHLNYTLTWYESYFVIQNILSVQIWFIC >KJB37147 pep chromosome:Graimondii2_0_v6:6:44857456:44864711:1 gene:B456_006G191400 transcript:KJB37147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMVSFPSWSYHFRPWNLADFQKIEMLDHRQKRLQMEPLKLNDMPPSSETLDTLEFRRVVCKGVFYYERSIYIGPRSRSISGVTENGYYVITPLMPIPGDADSVQSPVLVNRGWVPRSWRDKSFEVSQDGEKSSSTDVVPVQQNERSWWGLFRSKKQKAVEAQAPAITYVEVIGVVRGSEKPSVFVPPNDPNSGQWFYVDVPAIAVACGLPKDTLLIEDINENVNPSNPYPVPKDINALIRSSVMPQDHLNYTLTWYSLSAAVTFMAFKRLKPKNSRR >KJB35899 pep chromosome:Graimondii2_0_v6:6:38704690:38706404:-1 gene:B456_006G132600 transcript:KJB35899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold domain-containing protein MRL7L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31840) UniProtKB/Swiss-Prot;Acc:Q9SKB6] MALQHSIHIQNWMSPPKQNKLKPLCSNLLKMPSSNPSSIGNGVRAYGMVEKFGKKFMGQELSDSDDDDDESSTKKGRMDDSYHFDADERREWRAKIREVISKHPEIQEEIDPAEKLNKMQKLLADYPLVVDDDDPNWPEDADGWGFNLGQFFDKITIKNAKKENDDDDDYDSEKEVVWQDDNYIRPIKYIKIAQWEETVFKDISPLIILVHNRYKRPKENERAWDELEKAVHIIWNCRLPSPRCVAVDAIVEDALASALKVSVFPEIIFTKAGKILYREQAIRTADELSKMMAFFYYGAAKPPCLDFIGNGQEMIPSIVIKS >KJB35897 pep chromosome:Graimondii2_0_v6:6:38704866:38706016:-1 gene:B456_006G132600 transcript:KJB35897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold domain-containing protein MRL7L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31840) UniProtKB/Swiss-Prot;Acc:Q9SKB6] MQNPSSIGNGVRAYGMVEKFGKKFMGQELSDSDDDDDESSTKKGRMDDSYHFDADERREWRAKIREVISKHPEIQEEIDPAEKLNKMQKLLADYPLVVDDDDPNWPEDADGWGFNLGQFFDKITIKNAKKENDDDDDYDSEKEVVWQDDNYIRPIKYIKIAQWEETVFKDISPLIILVHNRYKRPKENERAWDELEKAVHIIWNCRLPSPRCVAVDAIVEDALASALKVSVFPEIIFTKAGKILYREQAIRTADELSKMMAFFYYGAAKPPCLDFIGNGQEMIPSIVIKS >KJB35898 pep chromosome:Graimondii2_0_v6:6:38704866:38705971:-1 gene:B456_006G132600 transcript:KJB35898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold domain-containing protein MRL7L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31840) UniProtKB/Swiss-Prot;Acc:Q9SKB6] MVEKFGKKFMGQELSDSDDDDDESSTKKGRMDDSYHFDADERREWRAKIREVISKHPEIQEEIDPAEKLNKMQKLLADYPLVVDDDDPNWPEDADGWGFNLGQFFDKITIKNAKKENDDDDDYDSEKEVVWQDDNYIRPIKYIKIAQWEETVFKDISPLIILVHNRYKRPKENERAWDELEKAVHIIWNCRLPSPRCVAVDAIVEDALASALKVSVFPEIIFTKAGKILYREQAIRTADELSKMMAFFYYGAAKPPCLDFIGNGQEMIPSIVIKS >KJB34109 pep chromosome:Graimondii2_0_v6:6:16268988:16270990:1 gene:B456_006G048500 transcript:KJB34109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQPNTGLFVGLNKGHVVTKKELAPRPSNRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAHHPGGGDKKK >KJB34107 pep chromosome:Graimondii2_0_v6:6:16269103:16270916:1 gene:B456_006G048500 transcript:KJB34107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQPNTGLFVGLNKGHVVTKKELAPRPSNRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAHHPGGGDKKK >KJB34108 pep chromosome:Graimondii2_0_v6:6:16269734:16270576:1 gene:B456_006G048500 transcript:KJB34108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQPNTGLFVGLNKGHVVTKKELAPRPSNRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMR >KJB34204 pep chromosome:Graimondii2_0_v6:6:19203759:19207553:-1 gene:B456_006G053400 transcript:KJB34204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g19020, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G19020) UniProtKB/Swiss-Prot;Acc:P0C8Q8] MFNSLKPKPCWLHKCLSTSGPSSKPHHYDLLLISSLKSAATHCSISHGRITHCLVIKSGFASNPFILNTLINMYAKCGFLQDAERLFSSSPNLDPVSYNIMISGYVKSGRFHEARSLFDQMPKKGCVSYTTMVMGFASKGYWREAIWIFKEMRYGGILPNEVTLASLISACSGFGGISICRMLHGLAVKVILDEFVIVSTNLLHMYCVCGSLKDGRDLFNQMLEKNVVSWNVMLNGYAKAGDVELARELFEKIPMKEKDVVSWGTMVDAYVQVDWLSEALTMFCAMLREGVEASDVMIVDLVSACARLSATLEGFQLHGRIVKAGFECYDFVQATIIHFYAACGRVDLAYLQFEVGVKDHLPSRNAVIAGYIRNGMIEQARRVFDEMAERDVSSWSSMIAGYAQSEQPNIALELFHEMIACGMQPNQITLASVFSAIASLGMLNEGRWALEYVQNNCIPLNDNLSAAIIDMYAKCGSINAALEVFCQIREKASTVSPWNAMICGLAMHGDANLSLEIYSYLERRHHIKQNSITFIGVLTACCHAGLVELGEKYFKRMKNVYNIDPGIKHYGCMVDLLGRAGRLEEAEKMIMSMPMKADVVIWGTLLAACRTHGNVDIGERAAENLAKLEPCHGGGKVLLSNLYADAGRWEDVFSVRRAMQNQRVKKSPGYSGVV >KJB34206 pep chromosome:Graimondii2_0_v6:6:19205529:19207553:-1 gene:B456_006G053400 transcript:KJB34206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g19020, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G19020) UniProtKB/Swiss-Prot;Acc:P0C8Q8] MFNSLKPKPCWLHKCLSTSGPSSKPHHYDLLLISSLKSAATHCSISHGRITHCLVIKSGFASNPFILNTLINMYAKCGFLQDAERLFSSSPNLDPVSYNIMISGYVKSGRFHEARSLFDQMPKKGCVSYTTMVMGFASKGYWREAIWIFKEMRYGGILPNEVTLASLISACSGFGGISICRMLHGLAVKVILDEFVIVSTNLLHMYCVCGSLKDGRDLFNQMLEKNVVSWNVMLNGYAKAGDVELARELFEKIPMKEKDVVSWGTMVDAYVQVDWLSEALTMFCAMLREGVEASDVMIVDLVSACARLSATLEGFQLHGRIVKAGFECYDFVQATIIHFYAACGRVDLAYLQFEVGVKDHLPSRNAVIAGYIRNGMIEQARRVFDEMAERDVSSWSSMIAGYAQSEQPNIALELFHEMIACGMQPNQITLASVFSAIASLGMLNEGRWALEYVQNNCIPLNDNLSAAIIDMYAKCGSINAALEVFCQIREKASTVSPWNAMICGLAMHGDANLSLEIYSYLERRHHIKQNSITFIGVLTACCHAGLVELGEKYFKRMKNVYNIDPGIKHYGCMVDLLGRAGRLEEAEKMIMSMPMKADVVIWGTLLAACRTHGNVDIGERAAENLAKLEPCHGGGKVLLSNLYADAGRWEDVFSVRRAMQNQRVKKSPGYSGVV >KJB34208 pep chromosome:Graimondii2_0_v6:6:19205529:19207553:-1 gene:B456_006G053400 transcript:KJB34208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g19020, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G19020) UniProtKB/Swiss-Prot;Acc:P0C8Q8] MFNSLKPKPCWLHKCLSTSGPSSKPHHYDLLLISSLKSAATHCSISHGRITHCLVIKSGFASNPFILNTLINMYAKCGFLQDAERLFSSSPNLDPVSYNIMISGYVKSGRFHEARSLFDQMPKKGCVSYTTMVMGFASKGYWREAIWIFKEMRYGGILPNEVTLASLISACSGFGGISICRMLHGLAVKVILDEFVIVSTNLLHMYCVCGSLKDGRDLFNQMLEKNVVSWNVMLNGYAKAGDVELARELFEKIPMKEKDVVSWGTMVDAYVQVDWLSEALTMFCAMLREGVEASDVMIVDLVSACARLSATLEGFQLHGRIVKAGFECYDFVQATIIHFYAACGRVDLAYLQFEVGVKDHLPSRNAVIAGYIRNGMIEQARRVFDEMAERDVSSWSSMIAGYAQSEQPNIALELFHEMIACGMQPNQITLASVFSAIASLGMLNEGRWALEYVQNNCIPLNDNLSAAIIDMYAKCGSINAALEVFCQIREKASTVSPWNAMICGLAMHGDANLSLEIYSYLERRHHIKQNSITFIGVLTACCHAGLVELGEKYFKRMKNVYNIDPGIKHYGCMVDLLGRAGRLEEAEKMIMSMPMKADVVIWGTLLAACRTHGNVDIGERAAENLAKLEPCHGGGKVLLSNLYADAGRWEDVFSVRRAMQNQRVKKSPGYSGVV >KJB34209 pep chromosome:Graimondii2_0_v6:6:19203759:19207553:-1 gene:B456_006G053400 transcript:KJB34209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g19020, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G19020) UniProtKB/Swiss-Prot;Acc:P0C8Q8] MFNSLKPKPCWLHKCLSTSGPSSKPHHYDLLLISSLKSAATHCSISHGRITHCLVIKSGFASNPFILNTLINMYAKCGFLQDAERLFSSSPNLDPVSYNIMISGYVKSGRFHEARSLFDQMPKKGCVSYTTMVMGFASKGYWREAIWIFKEMRYGGILPNEVTLASLISACSGFGGISICRMLHGLAVKVILDEFVIVSTNLLHMYCVCGSLKDGRDLFNQMLEKNVVSWNVMLNGYAKAGDVELARELFEKIPMKEKDVVSWGTMVDAYVQVDWLSEALTMFCAMLREGVEASDVMIVDLVSACARLSATLEGFQLHGRIVKAGFECYDFVQATIIHFYAACGRVDLAYLQFEVGVKDHLPSRNAVIAGYIRNGMIEQARRVFDEMAERDVSSWSSMIAGYAQSEQPNIALELFHEMIACGMQPNQITLASVFSAIASLGMLNEGRWALEYVQNNCIPLNDNLSAAIIDMYAKCGSINAALEVFCQIREKASTVSPWNAMICGLAMHGDANLSLEIYSYLERRHHIKQNSITFIGVLTACCHAGLVELGEKYFKRMKNVYNIDPGIKHYGCMVDLLGRAGRLEEAEKMIMSMPMKADVVIWGTLLAACRTHGNVDIGERAAENLAKLEPCHGGGKVLLSNLYADAGRWEDVFSVRRAMQNQRVKKSPGYSGVV >KJB34207 pep chromosome:Graimondii2_0_v6:6:19205529:19207553:-1 gene:B456_006G053400 transcript:KJB34207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g19020, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G19020) UniProtKB/Swiss-Prot;Acc:P0C8Q8] MFNSLKPKPCWLHKCLSTSGPSSKPHHYDLLLISSLKSAATHCSISHGRITHCLVIKSGFASNPFILNTLINMYAKCGFLQDAERLFSSSPNLDPVSYNIMISGYVKSGRFHEARSLFDQMPKKGCVSYTTMVMGFASKGYWREAIWIFKEMRYGGILPNEVTLASLISACSGFGGISICRMLHGLAVKVILDEFVIVSTNLLHMYCVCGSLKDGRDLFNQMLEKNVVSWNVMLNGYAKAGDVELARELFEKIPMKEKDVVSWGTMVDAYVQVDWLSEALTMFCAMLREGVEASDVMIVDLVSACARLSATLEGFQLHGRIVKAGFECYDFVQATIIHFYAACGRVDLAYLQFEVGVKDHLPSRNAVIAGYIRNGMIEQARRVFDEMAERDVSSWSSMIAGYAQSEQPNIALELFHEMIACGMQPNQITLASVFSAIASLGMLNEGRWALEYVQNNCIPLNDNLSAAIIDMYAKCGSINAALEVFCQIREKASTVSPWNAMICGLAMHGDANLSLEIYSYLERRHHIKQNSITFIGVLTACCHAGLVELGEKYFKRMKNVYNIDPGIKHYGCMVDLLGRAGRLEEAEKMIMSMPMKADVVIWGTLLAACRTHGNVDIGERAAENLAKLEPCHGGGKVLLSNLYADAGRWEDVFSVRRAMQNQRVKKSPGYSGVV >KJB34205 pep chromosome:Graimondii2_0_v6:6:19205529:19207553:-1 gene:B456_006G053400 transcript:KJB34205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g19020, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G19020) UniProtKB/Swiss-Prot;Acc:P0C8Q8] MFNSLKPKPCWLHKCLSTSGPSSKPHHYDLLLISSLKSAATHCSISHGRITHCLVIKSGFASNPFILNTLINMYAKCGFLQDAERLFSSSPNLDPVSYNIMISGYVKSGRFHEARSLFDQMPKKGCVSYTTMVMGFASKGYWREAIWIFKEMRYGGILPNEVTLASLISACSGFGGISICRMLHGLAVKVILDEFVIVSTNLLHMYCVCGSLKDGRDLFNQMLEKNVVSWNVMLNGYAKAGDVELARELFEKIPMKEKDVVSWGTMVDAYVQVDWLSEALTMFCAMLREGVEASDVMIVDLVSACARLSATLEGFQLHGRIVKAGFECYDFVQATIIHFYAACGRVDLAYLQFEVGVKDHLPSRNAVIAGYIRNGMIEQARRVFDEMAERDVSSWSSMIAGYAQSEQPNIALELFHEMIACGMQPNQITLASVFSAIASLGMLNEGRWALEYVQNNCIPLNDNLSAAIIDMYAKCGSINAALEVFCQIREKASTVSPWNAMICGLAMHGDANLSLEIYSYLERRHHIKQNSITFIGVLTACCHAGLVELGEKYFKRMKNVYNIDPGIKHYGCMVDLLGRAGRLEEAEKMIMSMPMKADVVIWGTLLAACRTHGNVDIGERAAENLAKLEPCHGGGKVLLSNLYADAGRWEDVFSVRRAMQNQRVKKSPGYSGVV >KJB38492 pep chromosome:Graimondii2_0_v6:6:49946544:49950176:-1 gene:B456_006G257900 transcript:KJB38492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTAALRRRVTFAITCSATFEDRRILVRHCVVGIVKLCVYAAMTAESEGAVTAETIQRAFRQTEEGFTALVSELWSTRPNMATVGTCCLVGVIYQQTLFIANLGDSRVVLGKKVGNTGGMAAMQLSTEHNANIEAIRHELKELHPTDPHIVVLKHGVWRVKGIIQVSRSIGDVYMKHAQYNREPINAKFRLPEPMNMPILSANPTIISHALHPNDSFLIFASDGLWEHLSNEKAVAIVHSHPRAGSAKRLVKAALQEAARKREMRYSDLRKIDKKVRRHFHDDITVIVLFFNHDLISRGAVQDPPVSIRSALEHN >KJB38495 pep chromosome:Graimondii2_0_v6:6:49948078:49950137:-1 gene:B456_006G257900 transcript:KJB38495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGLMNLLSVCWNPFGHEVESHETNGVLGGGDGGGSGGSREGKDGLLWFRDIGKYGSGEFSMAVIQANQVLEDQSQIESGQFGTFVGIYDGHGGPEAARYVCDNLFSHFRAMTAESEGAVTAETIQRAFRQTEEGFTALVSELWSTRPNMATVGTCCLVGVIYQQTLFIANLGDSRVVLGKKVGNTGGMAAMQLSTEHNANIEAIRHELKELHPTDPHIVVLKHGVWRVKGIIQVIIYTLLIF >KJB38494 pep chromosome:Graimondii2_0_v6:6:49946639:49950137:-1 gene:B456_006G257900 transcript:KJB38494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGLMNLLSVCWNPFGHEVESHETNGVLGGGDGGGSGGSREGKDGLLWFRDIGKYGSGEFSMAVIQANQVLEDQSQIESGQFGTFVGIYDGHGGPEAARYVCDNLFSHFRAMTAESEGAVTAETIQRAFRQTEEGFTALVSELWSTRPNMATVGTCCLVGVIYQQTLFIANLGDSRVVLGKKVGNTGGMAAMQLSTEHNANIEAIRHELKELHPTDPHIVVLKHGVWRVKGIIQVSRSIGDVYMKHAQYNREPINAKFRLPEPMNMPILSANPTIISHALHPNDSFLIFASDGLWEHLSNEKAVAIVHSHPRACEKASQGCPTRSSEKTRNEIFGSS >KJB38493 pep chromosome:Graimondii2_0_v6:6:49946544:49950222:-1 gene:B456_006G257900 transcript:KJB38493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGLMNLLSVCWNPFGHEVESHETNGVLGGGDGGGSGGSREGKDGLLWFRDIGKYGSGEFSMAVIQANQVLEDQSQIESGQFGTFVGIYDGHGGPEAARYVCDNLFSHFRAMTAESEGAVTAETIQRAFRQTEEGFTALVSELWSTRPNMATVGTCCLVGVIYQQTLFIANLGDSRVVLGKKVGNTGGMAAMQLSTEHNANIEAIRHELKELHPTDPHIVVLKHGVWRVKGIIQVSRSIGDVYMKHAQYNREPINAKFRLPEPMNMPILSANPTIISHALHPNDSFLIFASDGLWEHLSNEKAVAIVHSHPRAGSAKRLVKAALQEAARKREMRYSDLRKIDKKVRRHFHDDITVIVLFFNHDLISRGAVQDPPVSIRSALEHN >KJB38652 pep chromosome:Graimondii2_0_v6:6:50436288:50437399:-1 gene:B456_006G265500 transcript:KJB38652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ANLDSITNGVILQFGYQEVGHIKEIKNVVRGFSRPQLDLSASKFAKVIDQAIDSPLQPPFNPYAKNHHFKEGLLGIESGQDVVIRALLYPLRLEKGPPYDIDVDEFTRRISNLRNTLGRAGSKDESLTVPVNEGGEGRVQGNVLAGDQFSLAYNRTPEEILKIVYGSGNESIPGGSHLPNGYH >KJB34385 pep chromosome:Graimondii2_0_v6:6:23382136:23382414:-1 gene:B456_006G063400 transcript:KJB34385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSKQSFHRRLPPIQPGDQIDYRNMSLISRFISEQGKILSRRENRLTLKQQRLITIAIKQARILSSLPFFLIMRNSLKEASRPLELLLLEP >KJB37960 pep chromosome:Graimondii2_0_v6:6:47914652:47924388:-1 gene:B456_006G228200 transcript:KJB37960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRVLPVCGNLCFFCPSLRARSRQPVKRYKKLLSDIFPRNQEPVPNDRKIGKLCEYAAKNPLRIPKITSNLEQRCFKGLRNEKFGCVKVILCVYTKLLSTCKEQMALFASSLLGIIQTLLEQTRLDEMLIIGCDALAEFVNSQMDSTHMFQLEGLIPKLCQLAEEDGDDDRALRLRSAGLKVLASMVWFMGEQSHISLEFDSIISVTLENYMDTKMTPVNGSKVDENGSPFPDIIENSFDFDPTMDTSKNPSYWSKVILHNIAGLAKEATTIRRVLEPVLKNFDAENHWSQENGIVFSVLMYLQLLMEETGEKSHVLLAILVKHLEHKNVAKQPHIQVNIVNVITQLAQNAKSLPSVATIGTITDLMKHLRRCLQNSSELSSSGGDNKYNTDLQLGLEKCISQLSNKVGEVGPILDAMAVVLENISSNSIVARSAISTVHRTADIISSIPNISYHKKAFPDALFHQLLLTMVHPDHETRVGAHDIFSAVLLPSLLSSSSDQNKRTPEAVRSDLSLSASKKLRSQSFAFQDKGKDQVEFIDERLKENGNQASDMAVRNPIMRQSHRHSYSFEHFLRDGKMELNSLRLSSHQVSLLLSTIWVQANSVENTPANFEAMARSYSIAVLFTRVKTSGHMALARSFQLAFSLRSISLDQEGRLQPSRRRSVFTLASYMLIFSARAGNFPELIPVVKASLTDKTIDPYLKLVEDAGLQAVFVESDIKYGSKEDEDAALKSLLAIKLDDLHLKETVISHFMTKFKKLSEEWFFWLSEHYQLQ >KJB37958 pep chromosome:Graimondii2_0_v6:6:47911809:47924195:-1 gene:B456_006G228200 transcript:KJB37958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRVLPVCGNLCFFCPSLRARSRQPVKRYKKLLSDIFPRNQEPVPNDRKIGKLCEYAAKNPLRIPKITSNLEQRCFKGLRNEKFGCVKVILCVYTKLLSTCKEQMALFASSLLGIIQTLLEQTRLDEMLIIGCDALAEFVNSQVWFMGEQSHISLEFDSIISVTLENYMDTKMTPVNGSKVDENGSPFPDIIENSFDFDPTMDTSKNPSYWSKVILHNIAGLAKEATTIRRVLEPVLKNFDAENHWSQENGIVFSVLMYLQLLMEETGEKSHVLLAILVKHLEHKNVAKQPHIQVNIVNVITQLAQNAKSLPSVATIGTITDLMKHLRRCLQNSSELSSSGGDNKYNTDLQLGLEKCISQLSNKVGEVGPILDAMAVVLENISSNSIVARSAISTVHRTADIISSIPNISYHKKAFPDALFHQLLLTMVHPDHETRVGAHDIFSAVLLPSLLSSSSDQNKRTPEAVRSDLSLSASKKLRSQSFAFQDKGKDQVEFIDERLKENGNQASDMAVRNPIMRQSHRHSYSFEHFLRDGKMELNSLRLSSHQVSLLLSTIWVQANSVENTPANFEAMARSYSIAVLFTRVKTSGHMALARSFQLAFSLRSISLDQEGRLQPSRRRSVFTLASYMLIFSARAGNFPELIPVVKASLTDKTIDPYLKLVEDAGLQAVFVESDIKYGSKEDEDAALKSLLAIKLDDLHLKETVISHFMTKFKKLSEDELSSIKKQLLEGFSPDDAYSLGVPLSRPCSPLAQMEFQSFDEMPLAAVTDEANGSQSGRKASLSISKLDVLSANELLDSALETARQVVSFSVSPAPIPYDQMKSQCEASVMGKQQKMSILHHFKHQQEASATSEEIENEILYLPSEKAESNNVQGHISGQIALCSQEHMQHSFRLPPSSPYDKFLKAAGSSDSGVFN >KJB37959 pep chromosome:Graimondii2_0_v6:6:47911809:47924388:-1 gene:B456_006G228200 transcript:KJB37959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRVLPVCGNLCFFCPSLRARSRQPVKRYKKLLSDIFPRNQEPVPNDRKIGKLCEYAAKNPLRIPKITSNLEQRCFKGLRNEKFGCVKVILCVYTKLLSTCKEQMALFASSLLGIIQTLLEQTRLDEMLIIGCDALAEFVNSQMDSTHMFQLEGLIPKLCQLAEEDGDDDRALRLRSAGLKVLASMVWFMGEQSHISLEFDSIISVTLENYMDTKMTPVNGSKVDENGSPFPDIIENSFDFDPTMDTSKNPSYWSKVILHNIAGLAKEATTIRRVLEPVLKNFDAENHWSQENGIVFSVLMYLQLLMEETGEKSHVLLAILVKHLEHKNVAKQPHIQVNIVNVITQLAQNAKSLPSVATIGTITDLMKHLRRCLQNSSELSSSGGDNKYNTDLQLGLEKCISQLSNKVGEVGPILDAMAVVLENISSNSIVARSAISTVHRTADIISSIPNISYHKKAFPDALFHQLLLTMVHPDHETRVGAHDIFSAVLLPSLLSSSSDQNKRTPEAVRSDLSLSASKKLRSQSFAFQDKGKDQVEFIDERLKENGNQASDMAVRNPIMRQSHRHSYSFEHFLRDGKMELNSLRLSSHQVSLLLSTIWVQANSVENTPANFEAMARSYSIAVLFTRVKTSGHMALARSFQLAFSLRSISLDQEGRLQPSRRRSVFTLASYMLIFSARAGNFPELIPVVKASLTDKTIDPYLKLVEDAGLQAVFVESDIKYGSKEDEDAALKSLLAIKLDDLHLKETVISHFMTKFKKLSEDELSSIKKQLLEGFSPDDAYSLGVPLSRPCSPLAQMEFQSFDEMPLAAVTDEANGSQSGRKASLSISKLDVLSANELLDSALETARQVVSFSVSPAPIPYDQMKSQCEASVMGKQQKMSILHHFKHQQEASATSEEIENEILYLPSEKAESNNVQGHISGQIALCSQEHMQHSFRLPPSSPYDKFLKAAGSSDSGVFN >KJB38033 pep chromosome:Graimondii2_0_v6:6:48190865:48191905:-1 gene:B456_006G233000 transcript:KJB38033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAILRRRKFIFDYLNVRTFYLTHNLGSRNYRFNMFHQSECFDAKKLDVNLGAKLDFSGLGYFSYGAQGISVFGPRNAGFQLNTPLSVTLMSYSVRLASTATVKQSEPGINDERNGELSTKKRNEASAEECHQAVEGLSTAKAKAKAKRLQGSKKGWAKKIARWKHEIRSTFQHYWLGFKLLWDDMRISSRLLLKLAGGRSLSRMERQQLTRASADIFRLVPFAVFIIVPFMEFSSAFFPETFP >KJB36886 pep chromosome:Graimondii2_0_v6:6:43811581:43815154:-1 gene:B456_006G180700 transcript:KJB36886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peptide transporter [Source: Projected from Oryza sativa (Os07g0100600)] MVVTDDIYTKDGTVDINKKPANKKKTGNWKACSFILGNECCERLAYYGMGTNLVNYLQEQLNQGNVAASNNVTNWSGTCYITPLIGAFVADAYLGRYWTIAIFSIIYFFGMTLLTLSSSIPGLKPSCTGDVCHPTQEQIAVFFVALYMIALGTGGIKPCVSSFGADQFDETDEVERKRKSSFFNWFYFSINIGALVASSVLVWIQMNVGWDWGFGIPAVAMAIAVVFFFAGSRTYRLQKPGGSPLTRIAQVIVASFKKLNVKVPADKSLLYEVADNESNIQGSRKLEHTDKLKFFDKAAVETENDHDKESLNPWRLCTVTQVEELKSVIRLLPVWASGIIFATVYSQMNTMFVLQGNTMDQHMGPTFKIPSASLSLFDTLSVIFWAPVYDKIIVPCARKFTGKERGFTTLQRMGIGLVISIFAMIAAGILEVVRLQIVRKNNYYDLKSIPMSIFWQVPEYFLVGCAEVFTFVGQIEFFYDQAPDAMRSLSSALSLTTVALGNYLSTLLVTAVTKITTQNGKLGWIPDNLNRGHLDYFFWLLAILSLLNFIVYLWIAKWYTYKKVAGYPE >KJB37509 pep chromosome:Graimondii2_0_v6:6:46634285:46635207:1 gene:B456_006G212000 transcript:KJB37509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSSSSSRHVLAILLIILQITSSIAKSFSHKPYHTKRNTEYIRSSCTTTTYPRLCYRSLSIYASKINTSPRLIAHTALLVTFRASKSTSRLMRKIARTHRLKPRVAAAMADCIEVIDDSIDELQKSIGEIVRIRRSNFVLIMSDLQTWVSAALTDEDTCMDGFSGRAMNGYAKMMVRKRIVKIAHLTSNALALINNYASSQILD >KJB37508 pep chromosome:Graimondii2_0_v6:6:46633967:46635270:1 gene:B456_006G212000 transcript:KJB37508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSSSSSRHVLAILLIILQITSSIAKSFSHKPYHTKRNTEYIRSSCTTTTYPRLCYRSLSIYASKINTSPRLIAHTALLVTFRASKSTSRLMRKIARTHRLKPRVAAAMADCIEVIDDSIDELQKSIGEIVRIRRSNFVLIMSDLQTWVSAALTDEDTCMDGFSGRAMNGYAKMMVRKRIVKIAHLTSNALALINNYASSQILD >KJB35593 pep chromosome:Graimondii2_0_v6:6:37149600:37153362:1 gene:B456_006G121000 transcript:KJB35593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLKEFRIVMPMSLEEYQVAQMYMVMKMQQQSTNGDEGVEVLENKRFQDDVFGKGQFTSKVYRLQSKAPAWLTTIAPKDALVMQEEAWNAYPRCKTVIKCPYFTKFSLTIETVHRADNGTSENVHGLNEEQLAARQVEIIDIASAETDYWSYAIGSSSFDFSKFKSTKSGRGPLLDGWQDNYRPVMTAYKLVTIDAPYWGFGYRLEQALLAGERALFIESHRNCFGWIDEWFGLTMQQIRELEQQGDCLLNEKISKPALLTDAADCEQRFTHSSERQVQQVVRT >KJB35594 pep chromosome:Graimondii2_0_v6:6:37149694:37153260:1 gene:B456_006G121000 transcript:KJB35594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTRSRIVMPMSLEEYQVAQMYMVMKMQQQSTNGDEGVEVLENKRFQDDVFGKGQFTSKVYRLQSKAPAWLTTIAPKDALVMQEEAWNAYPRCKTVIKCPYFTKFSLTIETVHRADNGTSENVHGLNEEQLAARQVEIIDIASAETDYWSYAIGSSSFDFSKFKSTKSGRGPLLDGWQDNYRPVMTAYKLVTIDAPYWGFGYRLEQALLAGERALFIESHRNCFGWIDEWFGLTMQQIRELEQQGDCLLNEKISKPALLTDAADCEQRFTHSSERQVQQVVRT >KJB35120 pep chromosome:Graimondii2_0_v6:6:34142553:34143410:1 gene:B456_006G101200 transcript:KJB35120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNMGPHHLSMHGVFRLIVTLDAEYIVDCELILSYLHREMEKIAKNRTIISYLSYSNYVGVESYSFSSIMVWIIFYIFKERELVNDLFEAIIERIEGMGIIGGEEAINWGLPDPMLRASEIKLDLRNFDHYECYDKFDWEIQWQKERDSLARYLARISEMTESIKMIQQALERIPKSPYENLEIRCFDRERDPEKKFNK >KJB36331 pep chromosome:Graimondii2_0_v6:6:41171527:41176756:1 gene:B456_006G153000 transcript:KJB36331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRSLSGSSQSPRSPSSQPYLSVSVTDPVKLGNGVQAYISYRVITKTNFPEYQGPEKIVIRRYSDFIWLRDRLFEKYKGIFIPPLPEKSAVEKFRFSAEFIEMRRQALDIFVNRIASHNLLQQSEDLRTFLQADEETMERLRSHETGIFKKKPADLMQMFKDVQSKVSDVVLGKEKPVEESNPEYEKLKQYVFELENHLAEAQKHAYRLVKRHRELGQSLSDFGKAAKLLGACEGQVLGKAFSDLGAKSEVLSAKLQKEAHQLLMSFEEPLKDYVRAVQSIKATIGERANAFRQQCELAETMKLKEINLDKLMLTRSDRVGEAEHEYKELKAASEEATRRFETIVQLMNEEIVRFQEQKTQDMGIAFHEFAKGQARLANSVADAWRSLLPKLEACSSV >KJB36329 pep chromosome:Graimondii2_0_v6:6:41171822:41175642:1 gene:B456_006G153000 transcript:KJB36329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRSLSGSSQSPRSPSSQPYLSVSVTDPVKLGNGVQAYISYRVITKTNFPEYQGPEKIVIRRYSDFIWLRDRLFEKYKGIFIPPLPEKSAVEKFRFSAEFIEMRRQALDIFVNRIASHNLLQQSEDLRTFLQADEETMERLRSHETGIFKKKPADLMQMFKDVQSKVSDVVLGKEKPVEESNPEYEKLKQYVFELENHLAEAQKHAYRLVKRHRELGQSLSDFGKAAKLLGACEGQVLGKAFSDLGAKSEVLSAKLQKEAHQLLMSFEEPLKDYVRAVQSIKVSWTAVLCNI >KJB36330 pep chromosome:Graimondii2_0_v6:6:41173033:41175248:1 gene:B456_006G153000 transcript:KJB36330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRSLSGSSQSPRSPSSQPYLSVSVTDPVKLGNGVQAYISYRVITKTNFPEYQGPEKIVIRRYSDFIWLRDRLFEKYKGIFIPPLPEKSAVEKFRFSAEFIEMRRQALDIFVNRIASHNLLQQSEDLRTFLQADEETMERLRSHETGIFKKKPADLMQMFKDVQSKVSDVVLGKEKPVEESNPEYEKLKQYVFELENHLAEAQKHAYRLVKRHRELGQSLSDFGKAAKLLGACEGQVLGKAFSDLGAKSEVLSAKLQKEVSCLLMLKLVVCLPALIACVIVA >KJB36328 pep chromosome:Graimondii2_0_v6:6:41172990:41176721:1 gene:B456_006G153000 transcript:KJB36328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRSLSGSSQSPRSPSSQPYLSVSVTDPVKLGNGVQAYISYRVITKTNFPEYQGPEKIVIRRYSDFIWLRDRLFEKYKGIFIPPLPEKSAVEKFRFSAEFIEMRRQALDIFVNRIASHNLLQQSEDLRTFLQADEETMERLRSHETGIFKKKPADLMQMFKDVQSKVSDVVLGKEKPVEESNPEYEKLKQYVFELENHLAEAQKHAYRLVKRHRELGQSLSDFGKAAKLLGACEGQVLGKAFSDLGAKSEVLSAKLQKEAHQLLMSFEEPLKDYVRAVQSIKATIGERANAFRQQCELAETMKLKEINLDKLMLTRSDRVGEAEHEYKELKAASEEATRRFETIVQLMNEEIVRFQEQKTQDMGIAFHEFAKGQARLANSVADAWRSLLPKLEACSSV >KJB38772 pep chromosome:Graimondii2_0_v6:6:50832846:50834710:-1 gene:B456_006G2712001 transcript:KJB38772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFFSKAEVTSSGRPVLLRNEVECHLLSAVDLEPEDHRHFSLLKSGLLILTTHRLIWLPSSSASTPTCASAIPLAAISHIFSSKKSLKSVFHSPRIRFQVLVSSTVRVFDPGSGSGSSSGSGSRSVVVTAVIRGKGDCDGFLVKFWDSWRARAWETTETSGSGSASASGSGAATGTGGGLYSSDGSVRMVGVAGILRKEQEMWESTDKNLQDAFQDLNALMSKAKEMVTLAEKMRLKLLSGTSSQTSGTNDEDMGSKEEMQDWLLSVGIISPVTKESAGALYHQQLSRQLADFVRVPLERAGGMINLIDAYCLFNRARGT >KJB38774 pep chromosome:Graimondii2_0_v6:6:50832846:50834608:-1 gene:B456_006G2712001 transcript:KJB38774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFFSKAEVTSSGRPVLLRNEVECHLLSAVDLEPEDHRHFSLLKSGLLILTTHRLIWLPSSSASTPTCASAIPLAAISHIFSSKKSLKSVFHSPRIRFQVLVSSTVRVFDPGSGSGSSSGSGSRSVVVTAVIRGKGDCDGFLVKFWDSWRARAWETTETSGSGSASASGSGAATGTGGGLYSSDGSVRMVGVAGILRKEQEMWESTDKNLQDAFQDLNALMSKAKEMVTLAEKMRLKLLSGTSSQTSGTNDEDMGSKEEMQDWLLSVGIISPVTKESAGALYHQQLSRQLADFVRVPLERAGGMINLIDAYCLFNRARGT >KJB38773 pep chromosome:Graimondii2_0_v6:6:50832846:50834608:-1 gene:B456_006G2712001 transcript:KJB38773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFFSKAEVTSSGRPVLLRNEVECHLLSAVDLEPEDHRHFSLLKSGLLILTTHRLIWLPSSSASTPTCASAIPLAAISHIFSSKKSLKSVFHSPRIRFQVLVSSTVRVFDPGSGSGSSSGSGSRSVVVTAVIRGKGDCDGFLVKFWDSWRARAWETTETSGSGSASASGSGAATGTGGGLYSSDGSVRMVGVAGILRKEQEMWESTDKNLQDAFQDLNALMSKAKEMVTLAEKMRLKLLSGTSSQTSGTNDEDMGSKEEMQDWLLSVGIISPVTKESAGALYHQQLSRQLADFVRVPLERAGGMINLIDAYCLFNRARGT >KJB34495 pep chromosome:Graimondii2_0_v6:6:27822548:27826605:1 gene:B456_006G068800 transcript:KJB34495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGKRLRIVIACKMAMETLPLELVRARRTSPTYSEVTSNQDPANTNTNGGFEGNLLLVTSHKLNWNNYLQ >KJB35842 pep chromosome:Graimondii2_0_v6:6:38421622:38423639:1 gene:B456_006G130300 transcript:KJB35842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGGLRSVSKILNSSSQSLFSKSTANRGIHSTAIKRMGGGHAHGHDEPYYLHAKHMYNLDRIKNQKLKMSLGVFTAFSIGIIIPIYAVIFQQKKTASG >KJB35841 pep chromosome:Graimondii2_0_v6:6:38421622:38423639:1 gene:B456_006G130300 transcript:KJB35841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGGLRSVSKILNSSSQSLFSKSTNRGIHSTAIKRMGGGHAHGHDEPYYLHAKHMYNLDRIKNQKLKMSLGVFTAFSIGIIIPIYAVIFQQKKTASG >KJB33899 pep chromosome:Graimondii2_0_v6:6:10156926:10158851:1 gene:B456_006G036900 transcript:KJB33899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYEEHSPVQREPPNETQPESLSGFNSNTLLSNLYPGREKQEEIIVRDLKSVTLEENDWNGYGDNNNNSNENLQVEWQNGKNCQYPLRPYAENCSFYLKSGNCKFGSCCKFNHPVPRTIKDKENHLGLATDQKKQIECKYYRATGGCKYGNACRYRHSNEDYVLAPLEVNSLALPFQVDINEKSEKDGFAEQTGQIECKYYLSPGGCKYGKACRYSHSKEKSRYLEKSELPPPELNFLGLPIRMLEKECPYYMRNGSCAYGSSCRFNHPDPTAAEGSSTFRSDPSGSGDHSPGNYNGGFDALPSTELTAPSLSLNMMSNEHLKCLNQNSAYAHGMHANSEWSGHQVACSHFSQPMFHYCFI >KJB33898 pep chromosome:Graimondii2_0_v6:6:10156897:10159777:1 gene:B456_006G036900 transcript:KJB33898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYEEHSPVQREPPNETQPESLSGFNSNTLLSNLYPGREKQEEIIVRDLKSVTLEENDWNGYGDNNNNSNENLQVEWQNGKNCQYPLRPYAENCSFYLKSGNCKFGSCCKFNHPVPRTIKDKENHLGLATDQKKQIECKYYRATGGCKYGNACRYRHSNEDYVLAPLEVNSLALPFQVDINEKSEKDGFAEQTGQIECKYYLSPGGCKYGKACRYSHSKEKSRYLEKSELPPPELNFLGLPIRMLEKECPYYMRNGSCAYGSSCRFNHPDPTAAEGSSTFRSDPSGSGDHSPGNYNGGFDALPSTELTAPSLSLNMMSNEHLKCLNQNSAYAHGMHANSEWSGHQEKTSNPYLAPSIDKAMKTLDISELHQEQIQVNEFPERPGEPECPYFMKTGSCKYKSACKFHHPKTRIPEPILSSAGLPLRPDRRICWNYEKSGICKYGSICYFHHPENLF >KJB37183 pep chromosome:Graimondii2_0_v6:6:44998390:45007539:1 gene:B456_006G193100 transcript:KJB37183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEAEIRALQLDSAEDNNATLIPEDAKPEEVEMTDKVEEEGMTDEVDTKSQPVQAEPKVKDKEVADMDCAEVPDEMESQKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKVGKTVEVGRAQFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDHTVNWSKERYDEIESKMVPFLRSSGYNVKKDVQFLPISGLVGTNMKTRVDKNICPWWNGPCLFEALDSVEVPVRDPKGPYRMPIIDKFKDMGTVVMGKVESGSICEGDSLLVMPNKAPVKVLAVYCDEDKVRRAGPGENLRVRLSGIEEEDISSGFVLSSVVKPIPTVTEFTAQLQILELLENAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNSLICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPSRSSA >KJB37189 pep chromosome:Graimondii2_0_v6:6:44998390:45007539:1 gene:B456_006G193100 transcript:KJB37189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEAEIRALQLDSAEDNNATLIPEDAKPEEVEMTDKVEEEGMTDEVDTKSQPVQAEPKVKDKEVADMDCAEKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAQFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDHTVNWSKERYDEIESKMVPFLRSSGYNVKKDVQFLPISGLVGTNMKTRVDKNICPWWNGPCLFEALDSVEVPVRDPKGPYRMPIIDKFKDMGTVVMGKVESGSICEGDSLLVMPNKAPVKVLAVYCDEDKVRRAGPGENLRVRLSGIEEEDISSGFVLSSVVKPIPTVTEFTAQLQILELLENAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNSLICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPSRSSA >KJB37186 pep chromosome:Graimondii2_0_v6:6:44998390:45007539:1 gene:B456_006G193100 transcript:KJB37186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEAEIRALQLDSAGKDNNATLIPEDAKPEEVEMTDKVEEEGMTDEVDTKSQPVQAEPKVKDKEVADMDCAEVPDEMESQKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAQFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDHTVNWSKERYDEIESKMVPFLRSSGYNVKKDVQFLPISGLVGTNMKTRVDKNICPWWNGPCLFEALDSVEVPVRDPKGPYRMPIIDKFKDMGTVVMGKVESGSICEGDSLLVMPNKAPVKVLAVYCDEDKVRRAGPGENLRVRLSGIEEEDISSGFVLSSVVKPIPTVTEFTAQLQILELLENAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNSLICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPSRSSA >KJB37182 pep chromosome:Graimondii2_0_v6:6:44998390:45006530:1 gene:B456_006G193100 transcript:KJB37182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEAEIRALQLDSAEDNNATLIPEDAKPEEVEMTDKVEEEGMTDEVDTKSQPVQAEPKVKDKEVADMDCAEVPDEMESQKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAQFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDHTVNWSKERYDEIESKMVPFLRSSGYNVKKDVQFLPISGLVGTNMKTRVDKNICPWWNGPCLFEALDSVEVPVRDPKGPYRMPIIDKFKDMGTVVMGKVESGSICEGDSLLVMPNKAPVKVLAVYCDEDKVRRAGPGENLRVRLSGIEEEDISSGFVLSSVVKPIPTVTEFTAQLQILELLENAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNSLICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPSRSSA >KJB37188 pep chromosome:Graimondii2_0_v6:6:44998390:45007539:1 gene:B456_006G193100 transcript:KJB37188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEAEIRALQLDSAEDNNATLIPEDAKPEEVEMTDKVEEEGMTDEVDTKSQPVQAEPKVKDKEVADMDCAEVPDEMESQKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAQFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDHTVNWSKERYDEIESKMVPFLRSSGYNVKKDVQFLPISGLVGTNMKTRVDKNICPWWNGPCLFEALDSVEVPVRDPKGPYRMPIIDKFKDMGTVVMGKVESGSICEGDSLLVMPNKAPVKVLAVYCDEDKVRRAGPGENLRVRLSGIEEEDISSGFVLSSVVKPIPTVTEFTAQLQILELLENAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNSLICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPSRSSA >KJB37187 pep chromosome:Graimondii2_0_v6:6:44998390:45007539:1 gene:B456_006G193100 transcript:KJB37187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEAEIRALQLDSAEDNNATLIPEDAKPEEVEMTDKVEEEGMTDEVDTKSQPVQAEPKVKDKEVADMDCAEVPDEMESQKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAQFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDHTVNWSKERYDEIESKMVPFLRSSGYNVKKGNLGAVRQTNKVNAAYSIYSDVQFLPISGLVGTNMKTRVDKNICPWWNGPCLFEALDSVEVPVRDPKGPYRMPIIDKFKDMGTVVMGKVESGSICEGDSLLVMPNKAPVKVLAVYCDEDKVRRAGPGENLRVRLSGIEEEDISSGFVLSSVVKPIPTVTEFTAQLQILELLENAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNSLICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPSRSSA >KJB37185 pep chromosome:Graimondii2_0_v6:6:44998390:45007539:1 gene:B456_006G193100 transcript:KJB37185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEAEIRALQLDSADNNATLIPEDAKPEEVEMTDKVEEEGMTDEVDTKSQPVQAEPKVKDKEVADMDCAEVPDEMESQKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAQFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDHTVNWSKERYDEIESKMVPFLRSSGYNVKKDVQFLPISGLVGTNMKTRVDKNICPWWNGPCLFEALDSVEVPVRDPKGPYRMPIIDKFKDMGTVVMGKVESGSICEGDSLLVMPNKAPVKVLAVYCDEDKVRRAGPGENLRVRLSGIEEEDISSGFVLSSVVKPIPTVTEFTAQLQILELLENAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNSLICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPSRSSA >KJB37181 pep chromosome:Graimondii2_0_v6:6:44998340:45007562:1 gene:B456_006G193100 transcript:KJB37181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEAEIRALQLDSAEDNNATLIPEDAKPEEVEMTDKVEEEGMTDEVDTKSQPVQAEPKVKDKEVADMDCAEVPDEMESQKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAQFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDHTVNWSKERYDEIESKMVPFLRSSGYNVKKDVQFLPISGLVGTNMKTRVDKNICPWWNGPCLFEALDSVEVPVRDPKGPYRMPIIDKFKDMGTVVMGKVESGSICEGDSLLVMPNKAPVKVLAVYCDEDKVRRAGPGENLRVRLSGIEEEDISSGFVLSSVVKPIPTVTEFTAQLQILELLENAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNSLICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPSRSSA >KJB37184 pep chromosome:Graimondii2_0_v6:6:44998390:45007539:1 gene:B456_006G193100 transcript:KJB37184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMTDEVDTKSQPVQAEPKVKDKEVADMDCAEVPDEMESQKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAQFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDHTVNWSKERYDEIESKMVPFLRSSGYNVKKDVQFLPISGLVGTNMKTRVDKNICPWWNGPCLFEALDSVEVPVRDPKGPYRMPIIDKFKDMGTVVMGKVESGSICEGDSLLVMPNKAPVKVLAVYCDEDKVRRAGPGENLRVRLSGIEEEDISSGFVLSSVVKPIPTVTEFTAQLQILELLENAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNSLICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPSRSSA >KJB37261 pep chromosome:Graimondii2_0_v6:6:45411221:45414420:1 gene:B456_006G196700 transcript:KJB37261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLTTGNITGIEKLHIVGGAEDRTAITNGNAAKNKPLVVCFGELLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGVSRLGGSSAFVGKVGDDEFGYMLADILKQNNVDNSGMRFDRTARTALAFVTLKADGEREFMFFRHPSADMRLHESELNTNLIKQANIFHYGSISLIEEPCKSAHLAAMNIAKKSGSMLSYDPNLRLPLWPSPEAARKGIMSIWDQSDIIKVSEDEITFLTGGDDPYDDNVVMKKLFHPNLKLLVVTEGSEGCRYYTKAFKGRVPGIKVKPVDTTGAGDAFVSGLLNSLASDSKLFQDEKRLRDALLFANVCGALTVTERGAIPSLPTKTAVLDALNKHSASKK >KJB37262 pep chromosome:Graimondii2_0_v6:6:45411348:45414402:1 gene:B456_006G196700 transcript:KJB37262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLTTGNITGIEKLHIVGGAEDRTAITNGNAAKNKPLVVCFGELLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGVSRLGGSSAFVGKVGDDEFGYMLADILKQNNVDNSGMRFDRTARTALAFVTLKADGEREFMFFRHPSADMRLHESELNTNLIKQANIFHYGSISLIEEPCKSAHLAAMNIAKKSGSMLSYDPNLRLPLWPSPEAARKGIMSIWDQSDIIKVCEDEITFLTGGDDPYDDNVVMKKLFHPNLKLLVVTEGSEGCRYYTKAFKGRVPGIKVKPVDTTGAGDAFVSGLLNSLASDSKLFQDEKRLRDALLFANVCGALTVTERGAIPSLPTKTAVLDALNKHSASKK >KJB35820 pep chromosome:Graimondii2_0_v6:6:38320384:38322081:-1 gene:B456_006G1295002 transcript:KJB35820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRSSRMVSELSRTRLQDGYVSYAWERRMQEVLAVPNSSSFLSILLLPKASDRVASRYNDLEDTLARANAWLSASQVSGVPIVFMNIQTESLLTKISGETASATVNAGSLSDLSNLANASLYGFEDYHGVDIGVVRAVRLWYSPLVEEVAIEIKLKEDDTKLGFAISRTEEGFIYISSVIDDDENVPSTRSGLSTLYKESVSASRLLVVSRLSNQKVLPWMVSSTGAIRCFDTVSLSQKLSLHRHASIPILMHVFSWDQSLVSRGSSSARNWDPSPLVLPLPQEVRLAHQPNDNQVLPLPPGEPNESITTSEQPDRRLQRDTAGALGR >KJB35819 pep chromosome:Graimondii2_0_v6:6:38320734:38322079:-1 gene:B456_006G1295002 transcript:KJB35819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRSSRMVSELSRTRLQDGYVSYAWERRMQEVLAVPNSSSFLSILLLPKASDRVASRYNDLEDTLARANAWLSASQVSGVPIVFMNIQTESLLTKISGETASATVNAGSLSDLSNLANASLYGFEDYHGVDIGVVRAVRLWYSPLVEEVAIEIKLKEDDTKLGFAISRTEEGFIYISSVIDDDENVPSTRSGLSTLYKESVSASRLLVVSRLSNQKVLPWMVSSTGAIRCFDTVSLSQKLSLHRHASIPILMHVFSWDQSLVSRGSSSARNWDPSPLVLPLPQEVRLAHQPNDNQVLPLPPGEPNESITTSEQPDRRLQRDTAGEVSFRFHNFSLPNNWV >KJB37432 pep chromosome:Graimondii2_0_v6:6:45988861:45992558:1 gene:B456_006G203900 transcript:KJB37432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGKEQGMLVVTGLMAHQNPLEQLQAKFKEVEKGFKAWLDKQSMPVEAAVVTATGAVQGAAIGALMGTLTKDVSSSFPTPPQASLNHQAMASLKQAQALSGAPWVQARNFAVMTGVNAGLACVMKRLRGKEDVQSREILLRWEENLCLFLRAKSVLAYKCYGQTCFSRPWKCYAWWRLLVLGPCFL >KJB37429 pep chromosome:Graimondii2_0_v6:6:45988847:45992558:1 gene:B456_006G203900 transcript:KJB37429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGKEQGMLVVTGLMAHQNPLEQLQAKFKEVEKGFKAWLDKQSMPVEAAVVTATGAVQGAAIGALMGTLTKDVSSSFPTPPQASLNHQAMASLKQAQALSGAPWVQARNFAVMTGVNAGLACVMKRLRGKEDVQSSMVAAFGSGAMFSLVSGMGGPNQAANAVTSGLFFALIQGVLFQFGQKLSQPAVEDMYYSRTRSMLNSLGLQNYEKNFKKGLLTDNTLPLLTDSALRDVKIPPGPRLLILDHVQSFSSTF >KJB37431 pep chromosome:Graimondii2_0_v6:6:45988861:45991692:1 gene:B456_006G203900 transcript:KJB37431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGKEQGMLVVTGLMAHQNPLEQLQAKFKEVEKGFKAWLDKQSMPVEAAVVTATGAVQGAAIGALMGTLTKDVSSSFPTPPQASLNHQAMASLKQAQALSGAPWVQARNFAVMTGVNAGLACVMKRLRGKEDVQSSMVAAFGSGAMFSLVSGMGGPNQAANAVTSGLFFALIQGVLFQFGQKLSQPAVEDMYYSRTRSMLNSLGLQNYEKNFKKGLLTDNTLPLLTDRQVIGDFIVT >KJB37430 pep chromosome:Graimondii2_0_v6:6:45988861:45989909:1 gene:B456_006G203900 transcript:KJB37430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGKEQGMLVVTGLMAHQNPLEQLQAKFKEVEKGFKAWLDKQSMPVEAAVVTATGAVQGAAIGALMGTLTKDVSSSFPTPPQASLNHQAMASLKQAQALSGAPWVQARNFAVMTGVNAGLACVMKRLRGKEDVQSRWTLLILVFFILFVFSFCCFTASGYC >KJB35910 pep chromosome:Graimondii2_0_v6:6:38743145:38743584:1 gene:B456_006G133100 transcript:KJB35910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPLGVALEMAKMVWIALSGWVCSCLTIADEVAASLRSGDIGPFHVG >KJB36365 pep chromosome:Graimondii2_0_v6:6:41398444:41405680:-1 gene:B456_006G155300 transcript:KJB36365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATFPYFTPSDSRSMGVLNVLGGRVLMENPLGRFSCLKIYEGKSGFSSASQKSTMPSFRCSANPRSVSHYQNKDPFLNLHPEVSMLRGEGNNTVTNPRKDSTSGSVIESVGDMSGSSNYNEAKIKVIGVGGGGSNAVNRMIESTMKGVEFWIVNTDVQAMKMSPVFPEHRLQIGLELTRGLGAGGNPEIGMNAAKESKESIEEALYGSDMVFVTAGMGGGTGTGGAPVIAGVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSSSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRTIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPAANLIFGAVIDPSISGQVSITLIATGFKRQEESEGRPPQFC >KJB36367 pep chromosome:Graimondii2_0_v6:6:41398482:41405598:-1 gene:B456_006G155300 transcript:KJB36367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATFPYFTPSDSRSMGVLNVLGGRVLMENPLGRFSCLKIYEGKSGFSSASQKSTMPSFRCSANPRSVSHYQNKDPFLNLHPEVSMLRGEGNNTVTNPRKDSTSGSVIESVGDMSGSSNYNEAKIKVIGVGGGGSNAVNRMIESTMKGVEFWIVNTDVQAMKMSPVFPEHRLQIGLELTRGLGAGGNPEIGMNAAKESKESIEEALYGSDMVFVTAGMGGGTGTGGAPVIAGVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSSSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRTIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPAANLIFGAVIDPSISGQVSITLIATGFKRQEESEGRPPQVAQGDTGHGTNRWLSFGEGSSVDVPEFLKKKGRSRHPRA >KJB36364 pep chromosome:Graimondii2_0_v6:6:41398441:41405687:-1 gene:B456_006G155300 transcript:KJB36364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATFPYFTPSDSRSMGVLNVLGGRVLMENPLGRFSCLKIYEGKSGFSSASQKSTMPSFRCSANPRSVSHYQNKDPFLNLHPEVSMLRGEGNNTVTNPRKDSTSGSVIESVGDMSGSSNYNEAKIKVIGVGGGGSNAVNRMIESTMKGVEFWIVNTDVQAMKMSPVFPEHRLQIGLELTRGLGAGGNPEIGMNAAKESKESIEEALYGSDMVFVTAGMGGGTGTGGAPVIAGVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSSSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRTIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPAANLIFGAVIDPSISGQVSITLIATGFKRQEESEGRPPQVSQVAQGDTGHGTNRWLSFGEGSSVDVPEFLKKKGRSRHPRA >KJB36368 pep chromosome:Graimondii2_0_v6:6:41398482:41405598:-1 gene:B456_006G155300 transcript:KJB36368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATFPYFTPSDSRSMGVLNVLGGRVLMENPLGRFSCLKIYEGKSGFSSASQKSTMPSFRCSANPRSVSHYQNKDPFLNLHPEVSMLRGEGNNTVTNPRKDSTSGSVIESVGDMSGSSNYNEAKIKVIGVGGGGSNAVNRMIESTMKGVEFWIVNTDVQAMKMSPVFPEHRLQIGLELTRGLGAGGNPEIGMNAAKESKESIEEALYGSDMVFVTAGMGGGTGTGGAPVIAGVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSSSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRTIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPAANLIFGAVIDPSISGQVSITLIATGFKRQEESEGRPPQVSQVAQGDTGHGTNRWLSFGEGSSVDVPEFLKKKGRSRHPRA >KJB36366 pep chromosome:Graimondii2_0_v6:6:41398848:41405130:-1 gene:B456_006G155300 transcript:KJB36366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATFPYFTPSDSRSMGVLNVLGGRVLMENPLGRFSCLKIYEGKSGFSSASQKSTMPSFRCSANPRSVSHYQNKDPFLNLHPEVSMLRGEGNNTVTNPRKDSTSGSVIESVGDMSGSSNYNEAKIKVIGVGGGGSNAVNRMIESTMKGVEFWIVNTDVQAMKMSPVFPEHRLQIGLELTRGLGAGGNPEIGMNAAKESKESIEEALYGSDMVFVTAGMGGGTGTGGAPVIAGVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSSSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRTIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPAANLIFGAVIDPSISGQVSITLIATGFKRQEESEGRPPQVLLLHPLPSLSHYIA >KJB36354 pep chromosome:Graimondii2_0_v6:6:41312071:41313181:1 gene:B456_006G154400 transcript:KJB36354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSCNRYMDKKLQIKLNANRMVVGTLRGFDQFMNLVVDNTVEVNGNEKTDIGMVVIRGNSVVTVEALEPVGRMQ >KJB36353 pep chromosome:Graimondii2_0_v6:6:41311779:41313181:1 gene:B456_006G154400 transcript:KJB36353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKKLQIKLNANRMVVGTLRGFDQFMNLVVDNTVEVNGNEKTDIGMVVIRGNSVVTVEALEPVGRMQ >KJB36352 pep chromosome:Graimondii2_0_v6:6:41311779:41313181:1 gene:B456_006G154400 transcript:KJB36352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKLQIKLNANRMVVGTLRGFDQFMNLVVDNTVEVNGNEKTDIGMVVIRGNSVVTVEALEPVGRMQ >KJB38727 pep chromosome:Graimondii2_0_v6:6:50724811:50728454:1 gene:B456_006G269400 transcript:KJB38727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDTQDLLIECCVEFINLISSESNEVCNREEKRTIAPEHVLKALEVLGFGEYIEEVYAAYEQHKIETMHDSLKGGKWSNGAEMTEEEAAAEQQRMFAEARARMNGGAVVPKQPESDPSLES >KJB33888 pep chromosome:Graimondii2_0_v6:6:10039733:10043027:1 gene:B456_006G036400 transcript:KJB33888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNNNNSTRRSKRKPKSRSFSLKHSRKTRSKTRRPKYLSLLHLEENLPQMPLTNNNDNNYKEITDKQLNLFPLHPENLVEDRDTQYDNVSLLFNTTEGDSDHAVTLNGLLDSEGSDNYKNETATATTTTTATTVTSEESPLSPSFTYRGYSCEDRTRLSLVRAAMKGKKERDESEEKWVVYSEVVEKKEMEEVSSGGGGDGGYDGGAWWSKKKMKKLLALKLNYEEIMNAWSGKGPLYIEGESPQIVPHLHQPSPNLVVDGMGSASNVWKVPEMASDLKIKEEIEEKEEWRKGHREASVLRYKEKRQNRLFSKRIRYEVRKLNAEKRPRLKGRFVKRD >KJB33887 pep chromosome:Graimondii2_0_v6:6:10039733:10042987:1 gene:B456_006G036400 transcript:KJB33887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNNNNSTRRSKRKPKSRSFSLKHSRKTRSKTRRPKYLSLLHLEENLPQMPLTNNNDNNYKEITDKQLNLFPLHPENLVEDRDTQYDNVSLLFNTTEGDSDHAVTLNGLLDSEGSDNYKNETATATTTTTATTVTSEESPLSPSFTYRGYSCEDRTRLSLVRAAMKGKKERDESEEKWVVYSEVVEKKEMEEVSSGGGGDGGYDGGAWWSKKKMKKLLALKLNYEEIMNAWSGKGPLYIEGESPQIVPHLHQPSPNQLVVDGMGSASNVWKVPEMASDLKIKEEIEEKEEWRKGHREASVLRYKEKRQNRLFSKRIRYEVRKLNAEKRPRLKGRFVKRD >KJB33651 pep chromosome:Graimondii2_0_v6:6:6275002:6277205:-1 gene:B456_006G024200 transcript:KJB33651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKILLLLLLIQCIVFIGSSRQLKNNINIDNTFNVINFGAIGDGQKDDSKMFKHAWDAACDSSAPSPTFLVPRGKTYLLQPLTFNGKHCNSNNITLQIDGRIIAPTKPSAWDCETNCNHWIGFENFDGLHIQGSGTINGQGDKWWKLSCKDNEKSCQHRKPTGFMIGHSKNVDIKGLTFEDSPQMHIAFESSTLIHATELTIRAPGHSPNTDGIHIQRSTNVSIDNSTIQTGDDCISIGNESKYINISNIECGPGHGISIGSLGIMGKTEEVEFVHVRNVTFHGTTNGVRIKTWQGGHGHARNIKFEDITSHSSTRPTVIDQYYCPHKQCKNQTSAVEISNIAYENINGTSPKEIAVQLSFSESVPCKNITMKDINLIYEKHKDKTSSYCLNARGLRNGSIQVYLVYKKKIIFRLFFFINKFIVYCL >KJB36389 pep chromosome:Graimondii2_0_v6:6:41547419:41548597:1 gene:B456_006G156700 transcript:KJB36389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVAAYLLAVLGGNASPSADDLKNILASVGADAEEERLQLLLSEVKGKDITELIASGREKLASVPSGGGVAVAASAPGAAAAAAPAAAETKKEEKVEEKEESDDDMGFSLFD >KJB36388 pep chromosome:Graimondii2_0_v6:6:41547315:41548598:1 gene:B456_006G156700 transcript:KJB36388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVAAYLLAVLGGNASPSADDLKNILASVGADAEEERLQLLLSEVKGKDITELIASGREKLASVPSGGGVAVAASAPGAAAAAAPAAAETKKEEKVEEKEESDDDMGFSLFD >KJB38562 pep chromosome:Graimondii2_0_v6:6:50153536:50155586:1 gene:B456_006G260800 transcript:KJB38562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNAIIGICAVFLVALVVAVVVGVTHIKNKSDGEEISSSNKAVQALCQPTNYKETCQKSLASSNSSDVKELIRTGFQAGLVEIKNVLAHSVTVQELIKDENNKAALGVCQEVLDLAIDDFQKSFDMLGEYDMSKIGKYLLELKTWLSGAFTSQQTCIDSFAESSNESSQKMQSILKTSMEITSNALAMLNGLSTIVKELNIPNVGNIDTTGVNRKLLSAEDMPEWISQADRKLLQAKPMDLKPNVVVAKDGSGKYDTINKALAEVPVKSPDRFVIHIKAGTYKEQINVTKQMTNVVFVGDGPTKTIITNDISVAKNPPVKTYRTATVAADGAGFMAKDIGFDNSAGPEGHQAVAFRATADRVIMFNCHFTGYQDTLYAHRERQLYSNCLITGTVDFIFGDAASIFQNCMLVVRKPGPGQNCMVTAQGRNDLGTNSAIVLQNCTISGAPDYIPVKDTNKAYLGRPWKQFARSIIMQSRIDDIIQPEGYAPMTGTIGIDTSFIAEFGNRGPGADTSRRVAWKGIKKIDINEANKWTPRVFLESETWIPSSGIPYSPDMVPGV >KJB35827 pep chromosome:Graimondii2_0_v6:6:38341096:38346219:1 gene:B456_006G129700 transcript:KJB35827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRNTHWCYRCRRPVILQGHDSVCCYCGGGFVQELDEMVPISPMDFFGVPGDEDRGQRFGLMDAFSAFMRQRLADRSFNHNIRGGTDSILEHNPPFGPLLVFGGQIPFRLSGNGGFEALFNGAPGIGFTRGNAGNYFIGPGLEELFEQLSANDRRGPPPATRSSIDAMPTVKITRRHLHSDSHCPVCKDKFELGSEARQMPCNHLYHSDCIIPWLVQHNSCPVCRQELPPQGSGSSQSYNPRGQSRSSNFGSSSSGRESQSSRRNPFSYFWPFRSSNSSSSHNGAAGSSSPRHVHENNQSMGYNGWPFD >KJB35824 pep chromosome:Graimondii2_0_v6:6:38341096:38346236:1 gene:B456_006G129700 transcript:KJB35824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRNTHWCYRCRRPVILQGHDSVCCYCGGGFVQELDEMVPISPMDFFGVPGDEDRGQRFGLMDAFSAFMRQRLADRSFNHNIRGGTDSILEHNPPFGPLLVFGGQIPFRLSGNGGFEALFNGAPGIGFTRGNAGNYFIGPGLEELFEQLSANDRRGPPPATRSSIDAMPTVKITRRHLHSDSHCPVCKDKFELGSEARQMPCNHLYHSDCIIPWLVQHNSCPVCRQELPPQGSGSSQSYNPRGQSRSSNFGSSSSGRESQSSRRNPFSYFWPFRSSNSSSSHNGAAGSSSPRHVHENNQSMGYNGWPFD >KJB35825 pep chromosome:Graimondii2_0_v6:6:38344294:38346219:1 gene:B456_006G129700 transcript:KJB35825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRNTHWCYRCRRPVILQGHDSVCCYCGGGFVQELDEMVPISPMDFFGVPGDEDRGQRFGLMDAFSAFMRQRLADRSFNHNIRGGTDSILEHNPPFGPLLVFGGQIPFRLSGNGGFEALFNGAPGIGFTRGNAGNYFIGPGLEELFEQLSANDRRGPPPATRSSIDAMPTVKITRRHLHSDSHCPVCKDKFELGSEARQMPCNHLYHSDCIIPWLVQHNSCPVCRQELPPQGSGSSQSYNPRGQSRSSNFGSSSSGRESQSSRRNPFSYFWPFRSSNSSSSHNGAAGSSSPRHVHENNQSMGYNGWPFD >KJB35826 pep chromosome:Graimondii2_0_v6:6:38341404:38346236:1 gene:B456_006G129700 transcript:KJB35826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRNTHWCYRCRRPVILQGHDSVCCYCGGGFVQELDEMVPISPMDFFGVPGDEDRGQRFGLMDAFSAFMRQRLADRSFNHNIRGGTDSILEHNPPFGPLLVFGGQIPFRLSGNGGFEALFNGAPGIGFTRGNAGNYFIGPGLEELFEQLSANDRRGPPPATRSSIDAMPTVKITRRHLHSDSHCPVCKDKFELGSEARQMPCNHLYHSDCIIPWLVQHNSCPVCRQELPPQGSGSSQSYNPRGQSRSSNFGSSSSGRESQSSRRNPFSYFWPFRSSNSSSSHNGAAGSSSPRHVHENNQSMGYNGWPFD >KJB35023 pep chromosome:Graimondii2_0_v6:6:33541040:33548131:-1 gene:B456_006G096100 transcript:KJB35023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVSVKWQKELLKAVEIDTSQPPYVFKCQLYDLTGVPPERQKIMVKGGLLKDDADWSTVGLKQGQKLMMMGTADEVVKAPEKGPVFMEDLPEEEQVVSLGHSAGLFNLGNTCYMNSTVQCLHSVPELKSSLVKYSHSGRNNDVDQTSHMLTIATRDLFGELDKSVKPVAPMQFWTLLRKKYPQFGQLHNGVFMQQDAEECWTQLLYTLSQSLRSAGSSENLDTVKDLFGIDLASRIHCQESGEETSETESVYSLKCHISQEVNHLHEGLKHALKSELEKASPALGRSAIYLKESRINGLPRYLTIQFVRFFWKRESNQKAKILRKVDYPLELDVYDLCSDELRKQLEGPRQILRDEEGKKLGLKANEKSSSSKDDVKMIDGEGSSNASGESTVTTSQEGVPSDKETRLTGIYDLVAVLTHKGRSADSGHYVAWVKQESGKWIEFDDDNPIPQREEDIVKLSGGGDWHMAYICMYKARTVLM >KJB35024 pep chromosome:Graimondii2_0_v6:6:33541458:33548060:-1 gene:B456_006G096100 transcript:KJB35024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVSVKWQKELLKAVEIDTSQPPYVFKCQLYDLTGVPPERQKIMVKGGLLKDDADWSTVGLKQGQKLMMMGTADEVVKAPEKGPVFMEDLPEEEQVVSLGHSAGLFNLGNTCYMNSTVQCLHSVPELKSSLVKYSHSGRNNDVDQTSHMLTIATRDLFGELDKSVKPVAPMQFWTLLRKKYPQFGQLHNGVFMQQDAEECWTQLLYTLSQSLRSAGSSENLDTVKDLFGIDLASRIHCQESGEETSETESVYSLKCHISQEVNHLHEGLKHALKSELEKASPALGRSAIYLKESRINGLPRYLTIQFVRFFWKRESNQKAKILRKVDYPLELDVYDLCSDELRKQLEGPRQILRDEEGKKLGLKANEKSSSSKDDVKMIDGEGSSNASGESTVTTSQEGVPSDKETRLTGIYDLVAVLTHKGRSADSGHYVAWVKQESGKWIEFDDDNPIPQREEDIVKLSGGGKSQPELL >KJB38118 pep chromosome:Graimondii2_0_v6:6:48497524:48499546:1 gene:B456_006G237500 transcript:KJB38118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKRGAAEIVLAVTAVVALLQFSHAAVYKVGDSAGWTSIGNLDYKQWSAIKTFQVGDIIRFEYNAQFHNVMRVTHPMYKACNASAPLATYTTGNDTINITTKGHHYFICGAPGHCQAGQKVDINVLRTSETAPTTAPEGSTAASVPSAGSPAPSPSSGISLRASKGSLITKLCLAMAGFAVFVSGFY >KJB34892 pep chromosome:Graimondii2_0_v6:6:32581785:32583017:1 gene:B456_006G089600 transcript:KJB34892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKREMSARMETSENLCQVVGGVNGHLKNLVNGVVSETIIVQNATTFCTSDGVLESKDNGLDSSKVLADIPKTKVAEEEDLSMIDIKETGGVNSQFKECYDGESLCRICHLNSEQSLHITSTTAVAMELIQIGCGCKDELGIAHSHCAEAWFKLKGNRLCEICGQTAGNITGVKDNGFIENWHHQGSTTVSVRTSDQGRDHWRGQPYVTSS >KJB34491 pep chromosome:Graimondii2_0_v6:6:27761506:27762894:-1 gene:B456_006G068500 transcript:KJB34491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLTFRGGLDLVTGGLWLIDIAHYHLAIAILFLIAGHMYKTNWGLGHILKDILEAHKGPFIGQWHKGLYEIQTASWHAQLSLNLAMLGSLTIVVAHHMYSMPPYPYLATDYGTQLLLFTHMWIGGFLIVGATVHAAIFMVSDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFSLYIHNDTMSALGHPQDMFSDTAIQLQPIQNTHTLALGAMAPGAIASTSLTWRGGDLVVVRGKVTLLPIPLGTEYFLVHHIHAFMIHVTVLILLKGVLFARSSHLIQDKANIDFCFPYDGPRRGGTCQVFPWDHVFLGLFWMYNSISVVIFHFNWKMQSGVWGIISDQGVVTHITGGNFAQSSITINVWLRDFLWAQAS >KJB35781 pep chromosome:Graimondii2_0_v6:6:38135047:38139920:-1 gene:B456_006G128200 transcript:KJB35781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCATPGSPVEKNKKGQKKNKANPFYGDEYAVSNGSATTFKLRVLKQLTGQDISSQYDLGRELGRGEFGVTYLCTDVNTGEKYACKSISKKKLRTAVDIEDVRREVEIMKHLPKHTNIVTLKDTYEDDDAVHIVMELCEGGELFDRIVARGHYTERAAAVVMRTIVEVVQMCHKHGVMHRDLKPENFLFGNKKENAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSDNAKDLVKKMLNPDPKQRLTAQEVLEHPWLQNAKKAPNVPLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKEAFDVMDTGKRGKINLEELRMGLQKLGQQIPDADLQILVEAADVDGDGTLNYGEFVAVSVHLRKMANDEHLHKAFAFFDLNQSGFLEIEDLRDSLNDEVDTSEEVINAIMLDVDTDKDGRISYEEFVAMMKAGTDWRKASRQYSRERFNSLSLKLMRDGSLQLGN >KJB35782 pep chromosome:Graimondii2_0_v6:6:38135047:38139161:-1 gene:B456_006G128200 transcript:KJB35782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCATPGSPVEKNKKGQKKNKANPFYGDEYAVSNGSATTFKLRVLKQLTGQDISSQYDLGRELGRGEFGVTYLCTDVNTGEKYACKSISKKKLRTAVDIEDVRREVEIMKHLPKHTNIVTLKDTYEDDDAVHIVMELCEGGELFDRIVARGHYTERAAAVVMRTIVEVVQMCHKHGVMHRDLKPENFLFGNKKENAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSDNAKDLVKKMLNPDPKQRLTAQEVLEHPWLQNAKKAPNVPLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKEAFDVMDTGKRGKINLEELRMGLQKLGQQIPDADLQILVEAADVDGDGTLNYGEFVAVSVHLRKMANDEHLHKAFAFFDLNQSGFLEIEDLRDSLNDEVDTSEEVINAIMLDVDTDKDGRISYEEFVAMMKAGTDWRKASRQYSRERFNSLSLKLMRDGSLQLGN >KJB35780 pep chromosome:Graimondii2_0_v6:6:38135027:38139699:-1 gene:B456_006G128200 transcript:KJB35780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCATPGSPVEKNKKGQKKNKANPFYGDEYAVSNGSATTFKLRVLKQLTGQDISSQYDLGRELGRGEFGVTYLCTDVNTGEKYACKSISKKKLRTAVDIEDVRREVEIMKHLPKHTNIVTLKDTYEDDDAVHIVMELCEGGELFDRIVARGHYTERAAAVVMRTIVEVVQMCHKHGVMHRDLKPENFLFGNKKENAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSDNAKDLVKKMLNPDPKQRLTAQEVLEHPWLQNAKKAPNVPLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKEAFDVMDTGKRGKINLEELRMGLQKLGQQIPDADLQILVEAADVDGDGTLNYGEFVAVSVHLRKMANDEHLHKAFAFFDLNQSGFLEIEDLRDSLNDEVDTSEEVINAIMLDVDTDKDGRISYEEFVAMMKAGTDWRKASRQYSRERFNSLSLKLMRDGSLQLGN >KJB35416 pep chromosome:Graimondii2_0_v6:6:36199084:36200233:1 gene:B456_006G113900 transcript:KJB35416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRANSPKLELTLKLSPPPPRAVPIQQVGSPNTSVSSWEMSLESSCVSSEPDDSTTTMQCPSSPEETSMVLVGCPRCLMYVMLLEVDPKCPRCKSTVLLDFLNEENTKKTRN >KJB36378 pep chromosome:Graimondii2_0_v6:6:41448133:41449830:-1 gene:B456_006G156000 transcript:KJB36378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMERLNSNLYLQNCYIMKENERLRKKAQVLNQENQALLSELKQKLSKANNNSKGKNSANTIPDLNLSSTSNPNPSNSSKP >KJB36048 pep chromosome:Graimondii2_0_v6:6:39473756:39477995:1 gene:B456_006G138200 transcript:KJB36048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPYTYGPRAAWLWIIEYVSSFPQLDISIISGLIETAPILPEDLEENTSERVALRCLEELFGPQNSHGSVSPVSRAVLNPSASCDDVLDHILYHILQKVPLSDLKNAGPELLRWDGHSFIEHKRATLPKCALEQLKDVILLDDPYLDGNENGPPSRSDDSNDENGNQEGNLIPQIKKNEELLGRNPIPSKRSRDELVAGNSKGVVSVNHGSMQCDLHLNAKKSKLVANPTCTIQAVAELPIHLHGDDEQLEDESQRIMKVTEIETNNLGKVSQIGEGDQDLCVASRTPGLMVEQKHGDIICPNVVIDESSPVENGAPVKESSGDAGGNIDQDFTSSSQNSTSADGLQENVDLIGEKADMDHPCVEQICEDEDEIFNISLKKNLFLSSQRMASQDPVQNADWTEQNSCVKCNQNGQLLVCTSSGCLLAVHESCLNCPARFDDKGHFLCPFCACSVSISNYLEAKNKIILARKKLVAFMGLMGKLIQEQGRSWNHSKLNGNENLAGIQESGHLGREHEHKQEELPAKLKTSDDNPTTENTETFPINQVEVEGHDILKEVVGPQITDARQKLVNSDGEESSTSADDKYIISSHSTRSKKSETRQSFSTTRRLRRKKAPWTNDEEEMLKKGLQEIANEDGTVPWKRILEFGTNVFLMDRTATDLKNKWRSMCKGSPGCK >KJB36049 pep chromosome:Graimondii2_0_v6:6:39473785:39477245:1 gene:B456_006G138200 transcript:KJB36049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPYTYGPRAAWLWIIEYVSSFPQLDISIISGLIETAPILPEDLEENTSERVALRCLEELFGPQNSHGSVSPVSRAVLNPSASCDDVLDHILYHILQKVPLSDLKNAGPELLRWDGHSFIEHKRATLPKCALEQLKDVILLDDPYLDGNENGPPSRSDDSNDENGNQEGNLIPQIKKNEELLGRNPIPSKRSRDELVAGNSKGVVSVNHGSMQCDLHLNAKKSKLVANPTCTIQAVAELPIHLHGDDEQLEDESQRIMKVTEIETNNLGKVSQIGEGDQDLCVASRTPGLMVEQKHGDIICPNVVIDESSPVENGAPVKESSGDAGGNIDQDFTSSSQNSTSADGLQENVDLIGEKADMDHPCVEQICEDEDEIFNISLKKNLFLSSQRMASQDPVQNADWTEQNSCVKCNQNGQLLVCTSSGCLLAVHESCLNCPARFDDKGHFLCPFCACSVSISNYLEAKNKIILARKKLVAFMGLMGKLIQEQGRSWNHSKLNGNENLAGIQESGHLGREHEHKQEELPAKLKTSDDNPTTENTETFPINQVEVEGHDILKEVVGPQITDARQKLVNSDGEESSTSADDKYIISSHSTRSKKSETRQSFSTTRRLRRKKAPWTNDEEEMLKVITFFHRS >KJB36050 pep chromosome:Graimondii2_0_v6:6:39475124:39477721:1 gene:B456_006G138200 transcript:KJB36050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALSVTSIFLYQVPLSDLKNAGPELLRWDGHSFIEHKRATLPKCALEQLKDVILLDDPYLDGNENGPPSRSDDSNDENGNQEGNLIPQIKKNEELLGRNPIPSKRSRDELVAGNSKGVVSVNHGSMQCDLHLNAKKSKLVANPTCTIQAVAELPIHLHGDDEQLEDESQRIMKVTEIETNNLGKVSQIGEGDQDLCVASRTPGLMVEQKHGDIICPNVVIDESSPVENGAPVKESSGDAGGNIDQDFTSSSQNSTSADGLQENVDLIGEKADMDHPCVEQICEDEDEIFNISLKKNLFLSSQRMASQDPVQNADWTEQNSCVKCNQNGQLLVCTSSGCLLAVHESCLNCPARFDDKGHFLCPFCACSVSISNYLEAKNKIILARKKLVAFMGLMGKLIQEQGRSWNHSKLNGNENLAGIQESGHLGREHEHKQEELPAKLKTSDDNPTTENTETFPINQVEVEGHDILKEVVGPQITDARQKLVNSDGEESSTSADDKYIISSHSTRSKKSETRQSFSTTRRLRRKKAPWTNDEEEMLKKGLQEIANEDGTVPWKRILEFGTNVFLMDRTATDLKNKWRSMCKGSPGCK >KJB36938 pep chromosome:Graimondii2_0_v6:6:44180458:44182296:-1 gene:B456_006G184500 transcript:KJB36938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon responsive lysosomal thiol (GILT) reductase family protein [Source:Projected from Arabidopsis thaliana (AT5G01580) UniProtKB/TrEMBL;Acc:Q9M017] MASAGFFTCMLLALFIGIWPCHAQNVTLSVYYETLCPYCSDFIVNHLVKLFHSRLFSIVNLRLVPWGNAVLQSNGSFLCQHGPEECLLNTIEACTISVYPNEEQHLSFILCLERLASANELNEWINCFNTTGLATVPIDCYKSGYGNVLENQYAAETAQLDPPHKFVPWVLVDGQPLQEDFKNFVTYVCNAYKGEQVPEACHPLPLMNNSLKKASLLSADTPYSITWLLIYGIHFSFSFSLL >KJB36937 pep chromosome:Graimondii2_0_v6:6:44180795:44182009:-1 gene:B456_006G184500 transcript:KJB36937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon responsive lysosomal thiol (GILT) reductase family protein [Source:Projected from Arabidopsis thaliana (AT5G01580) UniProtKB/TrEMBL;Acc:Q9M017] MASAGFFTCMLLALFIGIWPCHAQNVTLSVYYETLCPYCSDFIVNHLVKLFHSRLFSIVNLRLVPWGNAVLQSNGSFLCQHGPEECLLNTIEACTISVYPNEEQHLSFILCLERLASANELNEWINCFNTTGLATVPIDCYKSGYGNVLENQYAAETAQLDPPHKFVPWVLVDGQPLQEDFKNFVTYVCNAYKGEQVPEACHPLPLMNNSLKKATPSYPVCYAN >KJB34468 pep chromosome:Graimondii2_0_v6:6:27147201:27149270:1 gene:B456_006G067400 transcript:KJB34468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGRGKVKKPTIVSSREDPGSGEDEKIPESKRRGRPQKQLKDDVDEDDAEKKEEDGEDVKGSVPIKEMKSQAATENRRKRKRKRSMQVKENLDSVKKENCITAKSSTDDSSKSVGYRQNASRRKSKPRRAAEAVVECK >KJB34467 pep chromosome:Graimondii2_0_v6:6:27147760:27149254:1 gene:B456_006G067400 transcript:KJB34467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGRGKVKKPTIVSSREDPGSGEDEKIPESKRRGRPQKQLKDDVDEDDAEKKEEDGEDVKGSVPIKEMKSQAATENRRKRKRKRSMQVKENLDSVKKENCITAKSSTDDSSKSVGYRQNASRRKSKPRRAAEAVVECK >KJB34469 pep chromosome:Graimondii2_0_v6:6:27147470:27149270:1 gene:B456_006G067400 transcript:KJB34469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGRGKVKKPTIVSSREDPGSGEDEKIPESKRRGRPQKQLKDDVDEDDAEKKEEDGEDVKGSVPIKEMKSQAATENRRKRKRKRSMQVKENLDSVKKENCITAKSSTDDSSKSVGYRQNASRRKSKPRRAAEAVVECK >KJB34466 pep chromosome:Graimondii2_0_v6:6:27147803:27149254:1 gene:B456_006G067400 transcript:KJB34466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGRGKVKKPTIVSSREDPGSGEDEKIPESKRRGRPQKQLKDDVDEDDAEKKEEDGEDVKGSVPIKEMKSQAATENRRKRKRKRSMQVKENLDSVKKENCITAKSSTDDSSKSVGYRQNASRRKSKPRRAAEAVVECK >KJB35992 pep chromosome:Graimondii2_0_v6:6:39172951:39175248:1 gene:B456_006G135900 transcript:KJB35992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTQQSSSTKKQTLFIASLIILWYSSNIGVLLLNKFLLSNYGFRFPIFLTMCHMSACAFLSYVSIVFMKLVPLQPIKSRPQFLKIATLSVVFCGSVVGGNISLRYLPVSFNQAVGATTPFFTALFAYFMTFKREAWVTYAALVPVVAGVVIASGGEPGFHWFGFIMCLSATAARAFKSVLQGILLSSEGYNSFTFISSSSALPHFFVHFSAYSFCFVPPLF >KJB35994 pep chromosome:Graimondii2_0_v6:6:39172951:39177525:1 gene:B456_006G135900 transcript:KJB35994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTQQSSSTKKQTLFIASLIILWYSSNIGVLLLNKFLLSNYGFRFPIFLTMCHMSACAFLSYVSIVFMKLVPLQPIKSRPQFLKIATLSVVFCGSVVGGNISLRYLPVSFNQAVGATTPFFTALFAYFMTFKREAWVTYAALVPVVAGVVIASGRKVELDEFATLYVPNCSSSFSACSTYNGAQCVRSHLIPWKTTQIHVAASFH >KJB35996 pep chromosome:Graimondii2_0_v6:6:39172951:39177525:1 gene:B456_006G135900 transcript:KJB35996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTQQSSSTKKQTLFIASLIILWYSSNIGVLLLNKFLLSNYGFRFPIFLTMCHMSACAFLSYVSIVFMKLVPLQPIKSRPQFLKIATLSVVFCGSVVGAWVTYAALVPVVAGVVIASGGEPGFHWFGFIMCLSATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAVLVLVPAVLIMEPNVLEVILSLGKQHRYMWLLLFINSTMAYSANLSNFLVTKHTSALTLQVLGNAKGAVAVVISILLFRNPVTVVGIGGYTMTVLGVVAYGEAKRRFR >KJB35997 pep chromosome:Graimondii2_0_v6:6:39172951:39177600:1 gene:B456_006G135900 transcript:KJB35997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTQQSSSTKKQTLFIASLIILWYSSNIGVLLLNKFLLSNYGFRFPIFLTMCHMSACAFLSYVSIVFMKLVPLQPIKSRPQFLKIATLSVVFCGSVVGGNISLRYLPVSFNQAVGATTPFFTALFAYFMTFKREAWVTYAALVPVVAGVVIASGGEPGFHWFGFIMCLSATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAVLVLVPAVLIMEPNVLEVILSLGKQHRYMWLLLFINSTMAYSANLSNFLVTKHTSALTLQVLGNAKGAVAVVISILLFRNPVTVVGIGGYTMTVLGVVAYGEAKRRFR >KJB35995 pep chromosome:Graimondii2_0_v6:6:39172951:39177525:1 gene:B456_006G135900 transcript:KJB35995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTQQSSSTKKQTLFIASLIILWYSSNIGVLLLNKFLLSNYGFRFPIFLTMCHMSACAFLSYVSIVFMKLVPLQPIKSRPQFLKIATLSVVFCGSVVGGNISLRYLPVSFNQAVGATTPFFTALFAYFMTFKREAWVTYAALVPVVAGVVIASGGEPGFHWFGFIMCLSATAARAFKSVLQGILLSSEGSSFSACSTYNGAQCVRSHLIPWKTTQIHVAASFH >KJB35993 pep chromosome:Graimondii2_0_v6:6:39172951:39176846:1 gene:B456_006G135900 transcript:KJB35993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTQQSSSTKKQTLFIASLIILWYSSNIGVLLLNKFLLSNYGFRFPIFLTMCHMSACAFLSYVSIVFMKLVPLQPIKSRPQFLKIATLSVVFCGSVVGGNISLRYLPVSFNQAVGATTPFFTALFAYFMTFKREAWVTYAALVPVVAGVVIASGGEPGFHWFGFIMCLSATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAVLVLVPAVLIMEPNVLEVILSLGKQHRYMWLLLFINSTMAYSANLSNFLVTKHTSALTLQVQYLLPSSSLIFLISISMDYSSKC >KJB37834 pep chromosome:Graimondii2_0_v6:6:47477996:47481494:1 gene:B456_006G222500 transcript:KJB37834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYKFMDIQWPSGFYDGEDYGDERNDSQSNSEKWQNGNVEMAGEASCSSWHEKKEIVGAKKESFGSIPKRPGRPRLGFRRRKRAASDGGGKTFPALYDPLVCLSSSASVGAKLGTPASITSANSEDGKGSSKGIGLLKQGSHGFETDDDPFAVAKKELAVVESKCGGDKNSIRVLEQALDEEHAGRTALYLELEKERIAASTAADEAMAMILRLQEEKAAIEMEAKQYQRMIEEKTAFDAEEMNILKEILLRREREKLFLEKEVEAYKQMFFDKDQFDIDMFDVASMQEQKTLNEEPVLMSPQSVGEKFEITSFESPNQSFDFGKECPIPRLNEGAGLSSSIEKKQAYPSRSDHESTTPWESDWHEKSGEISSHQHSIAKATEANIVSSFNNERMAKRAEDLHGSDSSIVRHILDVHVINQERGNKKDNRSITVTTNLPKTCDNPTTGGLEIEPARKRNSLERPGGLPPIGPSRVKSSPSISRRNSTSALDYEKFKIDNEVGLLRERLRIVQQGREKLNVPAGRKEREQVQMQIMENLANQLREIRQLSEPGKALQQASLPPPSSKVKSKKRFRQGAPLGAMRSI >KJB37835 pep chromosome:Graimondii2_0_v6:6:47478022:47481659:1 gene:B456_006G222500 transcript:KJB37835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACEVINSWTFNGLAGAFLDLFIAYILLCGSSIAYLASKLLGLFGLSLPCPCNGLFGYPNKNICVQAMLVNDPSFKISSVQYSAMKKLPFDSIWNSFYDGEDYGDERNDSQSNSEKWQNGNVEMAGEASCSSWHEKKEIVGAKKESFGSIPKRPGRPRLGFRRRKRAASDGGGKTFPALYDPLVCLSSSASVGAKLGTPASITSANSEDGKGSSKGIGLLKQGSHGFETDDDPFAVAKKELAVVESKCGGDKNSIRVLEQALDEEHAGRTALYLELEKERIAASTAADEAMAMILRLQEEKAAIEMEAKQYQRMIEEKTAFDAEEMNILKEILLRREREKLFLEKEVEAYKQMFFDKDQFDIDMFDVASMQEQKTLNEEPVLMSPQSVGEKFEITSFESPNQSFDFGKECPIPRLNEGAGLSSSIEKKQAYPSRSDHESTTPWESDWHEKSGEISSHQHSIAKATEANIVSSFNNERMAKRAEDLHGSDSSIVRHILDVHVINQERGNKKDNRSITVTTNLPKTCDNPTTGGLEIEPARKRNSLERPGGLPPIGPSRVKSSPSISRRNSTSALDYEKFKIDNEVGLLRERLRIVQQGREKLNVPAGRKEREQVQMQIMENLANQLREIRQLSEPGKALQQASLPPPSSKVKSKKRFRQGAPLGAMRSI >KJB36022 pep chromosome:Graimondii2_0_v6:6:39378092:39379247:1 gene:B456_006G137500 transcript:KJB36022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGSNNQYVPVVEVPPTVREPSRYSHRSIETLIVVLAAITIIGVIAGIIARLCGGRHFGGNGENDIEGWIENKCRSCIDGGVPAAVPPPKEEPKPAREEEKK >KJB33549 pep chromosome:Graimondii2_0_v6:6:3813847:3815933:1 gene:B456_006G016900 transcript:KJB33549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYHDLPLITCTVSKSFLPDPSFAFSYALLPPLLLLFPLLSVKISFKYFLVLHFLNCPPCPSVLSSPSDTAKLLASSSSANMAFLDSRCPRIVSRITTRFLLMVPPVLALLL >KJB33669 pep chromosome:Graimondii2_0_v6:6:6421205:6423332:1 gene:B456_006G025200 transcript:KJB33669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINDDNQGMQQNYQSSNVATTVKRSSRFRGVSRHRWTGKYEAHLWDKLSWNATQKKKGKQGAFDDEEVAARAYDLAALKYWGTSTSTNFPISYYEQEIEIMQTVTKEEFLASLRRKSSGFSRGVSKHYYHNGRWEARIGREFGNKYLNLGSYNTQEEAARAYDIAAIKCRGINAVTKFDLSTYAGWLRPELTNNTETESVQSTSCYSLIEQSKPSIHYPFSVGHFNSLKQEHAETKLPVINVKSYKSSSPTALSLLLRSSVFQELVEKNANNRK >KJB33040 pep chromosome:Graimondii2_0_v6:6:31223975:31224281:-1 gene:B456_006G082200 transcript:KJB33040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQSSSLPSSLPSLVLYLPYSSSSNASLLSLRLVFPFAFNATQNPIYYPHLTFRPITIKSATNYFT >KJB37034 pep chromosome:Graimondii2_0_v6:6:44428458:44437304:-1 gene:B456_006G187500 transcript:KJB37034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGGDEERKKARLMWTVPTRATEVLSGDGVASLSFSSSSTVNSFSKDGRNISIGDCALFKPALDSPPFIGIIRCLTASKENKLKLGVNWLYRPAEVKLGEGILLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLPKDVELPSGICSLVCRRVYDITNKCLWWLTDRDYINEHQEEVDNLLYKTRLEMQATVQPDGCSPNGPTSTSQLKPSLESVQNSASFPSQGKGKKRERGDQGSEPVKRECTSKIDDGDSGHGRREINLKIEIAKMAEKGGLEDYEAVEKLIHLMVPERNEKKIDLVSRSMLASVISATDKFDCLSHFVQLRGLRVFDEWLQEVHKGKTGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIDIQKKARSLVDTWKKRVEAEMDAKCGSNQAVPWSARSRLSEVSHSGNKHSGSAEVAMKNLVTQVSASKTGSVKIAQGETATKSASSSLGSMKAAISPASASANLKDVQVRNAAVVGTSDPPTTAKDEKSSSSSQSHNNSQSCSSDHAKAGGLSVKEDARSSAAGSGSVSKISGNSSRHRKSNNGFPGSSGVQRETGSGKNSSFNRNLASEKISQSGLTCEKAVDAPMAESNSHKFIVKIPNRGRSPVQIASGGSLEDHSVMNSRASSPVLLETQEQFDRNLKEKNDTYRTNVTTDVNTESWQSNDLKDMLTGFDEGDGSPAAVPDEENCRTGEGARKTNEVTKTASSSGNEHKSGKVLEPSFSSINALIDSCVKFSESNVCMPVGDDAGMNLLASVATGVDVASLIESPQRKAPFVVLSDTGNDTKLKPSSGDEVVRDQNQSVEGADDEHLKQAVGGNSWAKNADNKIGSSLEKSRELNEHLTSLSILYTADPCLENDKLKENVTTALINLPSASTAEKTTDIGDCKEHLEKKAGGVDGDSSLGTKQKGCSSVANEDKVIDPFVKVEKEVLGSSSVPSVEVDADKKKNVLEGLERSSQTHQKSPVVGHSINGTVTETSPPGFGKNTVLENVDEVKSEKDVESDTPSNAAVNAQKGEHVEENLEDHKGNEPCAGPSPCVSPTVMETEQPMWPRGSNLTGEEVDEVEGTSATRDAPVTGGTDTDAKVEFDLNEGFNADEGKFGDPNNLTASGCSAPAQFSSLPFPFSSVASSVPSTITVAAAAKGPFVPPDDLLRTKGALGWKGSAATSAFRPAEPRKILDIPLGTSNTSIADVSTRKQSRPPLDIDLNVPDERVLQDLASRSFAKGTDSALDLTSTRDLTCGMVGSPSIRSSGGLDLDLNRVDEPADFGNQCTGFSRRLDVPMQPIKSLSGILNSDVTVRRDFDLNNGPAVDEVSIDPSLFSHNARSSIALSQPSVSSLRMNSTEMGNFSSWFPTENTYSSITIQSILPDREQPFPIVATGGPQRVLGPPVGATPFNPDVYREPMLSSAPAVSFPSTPFQYPLFPFGTTFPLPSTSFSAGSSTYVDSSPGGRFCFPPVHSQLLRPVGSVPSHYPRPYVVSLPDGGHSSGAENGRHWGRQGLDLNAGPGGPDIEGRDEMVPLPSRQLSVASSRTQAEEQARIHQVPGGILKRKEPEGGWNGYKQSSWQ >KJB37037 pep chromosome:Graimondii2_0_v6:6:44428644:44437224:-1 gene:B456_006G187500 transcript:KJB37037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGGDEERKKARLMWTVPTRATEVLSGDGVASLSFSSSSTVNSFSKDGRNISIGDCALFKPALDSPPFIGIIRCLTASKENKLKLGVNWLYRPAEVKLGEGILLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLPKDVELPSGICSLVCRRVYDITNKCLWWLTDRDYINEHQEEVDNLLYKTRLEMQATVQPDGCSPNGPTSTSQLKPSLESVQNSASFPSQGKGKKRERGDQGSEPVKRECTSKIDDGDSGHGRREINLKIEIAKMAEKGGLEDYEAVEKLIHLMVPERNEKKIDLVSRSMLASVISATDKFDCLSHFVQLRGLRVFDEWLQEVHKGKTGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIDIQKKARSLVDTWKKRVEAEMDAKCGSNQAVPWSARSRLSEVSHSGNKHSGSAEVAMKNLVTQVSASKTGSVKIAQGETATKSASSSLGSMKAAISPASASANLKDVQVRNAAVVGTSDPPTTAKDEKSSSSSQSHNNSQSCSSDHAKAGGLSVKEDARSSAAGSGSVSKISGNSSRHRKSNNGFPGSSGVQRETGSGKNSSFNRNLASEKISQSGLTCEKAVDAPMAESNSHKFIVKIPNRGRSPVQIASGGSLEDHSVMNSRASSPVLLETQEQFDRNLKEKNDTYRTNVTTDVNTESWQSNDLKDMLTGFDEGDGSPAAVPDEENCRTGEGARKTNEVTKTASSSGNEHKSGKVLEPSFSSINALIDSCVKFSESNVCMPVGDDAGMNLLASVATGVDVASLIESPQRKAPFVVLSDTGNDTKLKPSSGDEVVRDQNQSVEGADDEHLKQAVGGNSWAKNADNKIGSSLEKSRELNEHLTSLSILYTADPCLENDKLKENVTTALINLPSASTAEKTTDIGDCKEHLEKKAGGVDGDSSLGTKQKGCSSVANEDKVIDPFVKVEKEVLGSSSVPSVEVDADKKKNVLEGLERSSQTHQKSPVVGHSINGTVTETSPPGFGKNTVLENVDEVKSEKDVESDTPSNAAVNAQKGEHVEENLEDHKGNEPCAGPSPCVSPTVMETEQPMWPRGSNLTGEEVDEVEGTSATRDAPVTGGTDTDAKVEFDLNEGFNADEGKFGDPNNLTASGCSAPAQFSSLPFPFSSVASSVPSTITVAAAAKGPFVPPDDLLRTKGALGWKGSAATSAFRPAEPRKILDIPLGTSNTSIADVSTRKQSRPPLDIDLNVPDERVLQDLASRSFAKGTDSALDLTSTRDLTCGMVGSPSIRSSGGLDLDLNRVDEPADFGNQCTGFSRRLDVPMQPIKSLSGILNSDVTVRRDFDLNNGPAVDEVSIDPSLFSHNARSSIALSQPSVSSLRMNSTEMGNFSSWFPTENTYSSITIQSILPDREQPFPIVATGGPQRVLGPPVGATPFNPDVYREPMLSSAPAVSFPSTPFQYPLFPFGTTFPLPSTSFSAGSSTYVDSSPGGRFCFPPVHSQLLRPVGSVPSHYPRPYVVSLPDGGHSSGAENGRHWGRQGLDLNAGPGGPDIEGRDEMVPLPSRQLSVASSRTQAEEQARIHQVPGGILKRKEPEGGWNGYKQSSWQ >KJB37035 pep chromosome:Graimondii2_0_v6:6:44428551:44437304:-1 gene:B456_006G187500 transcript:KJB37035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGGDEERKKARLMWTVPTRATEVLSGDGVASLSFSSSSTVNSFSKDGRNISIGDCALFKPALDSPPFIGIIRCLTASKENKLKLGVNWLYRPAEVKLGEGILLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLPKDVELPSGICSLVCRRVYDITNKCLWWLTDRDYINEHQEEVDNLLYKTRLEMQATVQPDGCSPNGPTSTSQLKPSLESVQNSASFPSQGKGKKRERGDQGSEPVKRECTSKIDDGDSGHGRREINLKIEIAKMAEKGGLEDYEAVEKLIHLMVPERNEKKIDLVSRSMLASVISATDKFDCLSHFVQLRGLRVFDEWLQEVHKGKTGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIDIQKKARSLVDTWKKRVEAEMDAKCGSNQAVPWSARSRLSEVSHSGNKHSGSAEVAMKNLVTQVSASKTGSVKIAQGETATKSASSSLGSMKAAISPASASANLKDVQVRNAAVVGTSDPPTTAKDEKSSSSSQSHNNSQSCSSDHAKAGGLSVKEDARSSAAGSGSVSKISGNSSRHRKSNNGFPGSSGVQRETGSGKNSSFNRNLASEKISQSGLTCEKAVDAPMAESNSHKFIVKIPNRGRSPVQIASGGSLEDHSVMNSRASSPVLLETQEQFDRNLKEKNDTYRTNVTTDVNTESWQSNDLKDMLTGFDEGDGSPAAVPDEENCRTGEGARKTNEVTKTASSSGNEHKSGKVLEPSFSSINALIDSCVKFSESNVCMPVGDDAGMNLLASVATGVDVASLIESPQRKAPFVVLSDTGNDTKLKPSSGDEVVRDQNQSVEGADDEHLKQAVGGNSWAKNADNKIGSSLEKSRELNEHLTSLSILYTADPCLENDKLKENVTTALINLPSASTAEKTTDIGDCKEHLEKKAGGVDGDSSLGTKQKGCSSVANEDKVIDPFVKVEKEVLGSSSVPSVEVDADKKKNVLEGLERSSQTHQKSPVVGHSINGTVTETSPPGFGKNTVLENVDEVKSEKDVESDTPSNAAVNAQKGEHVEENLEDHKGNEPCAGPSPCVSPTVMETEQPMWPRGSNLTGEEVDEVEGTSATRDAPVTGGTDTDAKVEFDLNEGFNADEGKFGDPNNLTASGCSAPAQFSSLPFPFSSVASSVPSTITVAAAAKGPFVPPDDLLRTKGALGWKGSAATSAFRPAEPRKILDIPLGTSNTSIADVSTRKQSRPPLDIDLNVPDERVLQDLASRSFAKGTDSALDLTSTRDLTCGMVGSPSIRSSGGLDLDLNRVDEPADFGNQCTGFSRRLDVPMQPIKSLSGILNSDVTVRRDFDLNNGPAVDEVSIDPSLFSHNARSSIALSQPSVSSLRMNSTEMGNFSSWFPTENTYSSITIQSILPDREQPFPIVATGGPQRVLGPPVGATPFNPDVYREPMLSSAPAVSFPSTPFQYPLFPFGTTFPLPSTSFSAGSSTYVDSSPGGRFCFPPVHSQLLRPVGSVPSHYPRPYVVSLPDGGHSSGAENGRHWGRQGLDLNAGPGGPDIEGRDEMVPLPSRQLSVASSRTQAEEQARIHQVPGGILKRKEPEGGWNGYKQSSWQ >KJB37039 pep chromosome:Graimondii2_0_v6:6:44430110:44436894:-1 gene:B456_006G187500 transcript:KJB37039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGGDEERKKARLMWTVPTRATEVLSGDGVASLSFSSSSTVNSFSKDGRNISIGDCALFKPALDSPPFIGIIRCLTASKENKLKLGVNWLYRPAEVKLGEGILLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLPKDVELPSGICSLVCRRVYDITNKCLWWLTDRDYINEHQEEVDNLLYKTRLEMQATVQPDGCSPNGPTSTSQLKPSLESVQNSASFPSQGKGKKRERGDQGSEPVKRECTSKIDDGDSGHGRREINLKIEIAKMAEKGGLEDYEAVEKLIHLMVPERNEKKIDLVSRSMLASVISATDKFDCLSHFVQLRGLRVFDEWLQEVHKGKTGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIDIQKKARSLVDTWKKRVEAEMDAKCGSNQAVPWSARSRLSEVSHSGNKHSGSAEVAMKNLVTQVSASKTGSVKIAQGETATKSASSSLGSMKAAISPASASANLKDVQVRNAAVVGTSDPPTTAKDEKSSSSSQSHNNSQSCSSDHAKAGGLSVKEDARSSAAGSGSVSKISGNSSRHRKSNNGFPGSSGVQRETGSGKNSSFNRNLASEKISQSGLTCEKAVDAPMAESNSHKFIVKIPNRGRSPVQIASGGSLEDHSVMNSRASSPVLLETQEQFDRNLKEKNDTYRTNVTTDVNTESWQSNDLKDMLTGFDEGDGSPAAVPDEENCRTGEGARKTNEVTKTASSSGNEHKSGKVLEPSFSSINALIDSCVKFSESNVCMPVGDDAGMNLLASVATGVDVASLIESPQRKAPFVVLSDTGNDTKLKPSSGDEVVRDQNQSVEGADDEHLKQAVGGNSWAKNADNKIGSSLEKSRELNEHLTSLSILYTADPCLENDKLKENVTTALINLPSASTAEKTTDIGDCKEHLEKKAGGVDGDSSLGTKQKGCSSVANEDKVIDPFVKVEKEVLGSSSVPSVEVDADKKKNVLEGLERSSQTHQKSPVVGHSINGTVTETSPPGFGKNTVLENVDEVKSEKDVESDTPSNAAVNAQKGEHVEENLEDHKGNEPCAGPSPCVSPTVMETEQPMWPRGSNLTGEEVDEVEGTSATRDAPVTGGTDTDAKVEFDLNEGFNADEGKFGDPNNLTASGCSAPAQFSSLPFPFSSVASSVPSTITVAAAAKGPFVPPDDLLRTKGALGWKGSAATSAFRPAEPRKILDIPLGTSNTSIADVSTRKQSRPPLDIDLNVPDERVLQDLASRSFAKGTDSALDLTSTRDLTCGMVGSPSIRSSGGLDLDLNRVDEPADFGNQCTGFSRRLDVPMQPIKSLSGILNSDVTVRRDFDLNNGPAVDEVSIDPSLFSHNARSSIALSQPSVSSLRMNSTEMGNFSSWFPTENTYSSITIQSILPDREQPFPIVATGGPQRVLGPPVGATPFNPDVYREPMLSSAPAVSFPSTPFQYPLFPFGTTFPLPSTSFSAGSSTYVDSSPGGRFCFPPVHSQLLRPVGSVPSHYPRPYVVSLPDGGHSSGAENGRHWGRQGLDLNAGPGGPDIEGRDEMVPLPSRQLSVASSRTQAEEQARIHQVPGGILKRKEPEGGWNGYKQSSWQ >KJB37036 pep chromosome:Graimondii2_0_v6:6:44428644:44437224:-1 gene:B456_006G187500 transcript:KJB37036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGGDEERKKARLMWTVPTRATEVLSGDGVASLSFSSSSTVNSFSKDGRNISIGDCALFKPALDSPPFIGIIRCLTASKENKLKLGVNWLYRPAEVKLGEGILLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLPKDVELPSGICSLVCRRVYDITNKCLWWLTDRDYINEHQEEVDNLLYKTRLEMQATVQPDGCSPNGPTSTSQLKPSLESVQNSASFPSQGKGKKRERGDQGSEPVKRECTSKIDDGDSGHGRREINLKIEIAKMAEKGGLEDYEAVEKLIHLMVPERNEKKIDLVSRSMLASVISATDKFDCLSHFVQLRGLRVFDEWLQEVHKGKTGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIDIQKKARSLVDTWKKRVEAEMDAKCGSNQAVPWSARSRLSEVSHSGNKHSGSAEVAMKNLVTQVSASKTGSVKIAQGETATKSASSSLGSMKAAISPASASANLKDVQVRNAAVVGTSDPPTTAKDEKSSSSSQSHNNSQSCSSDHAKAGGLSVKEDARSSAAGSGSVSKISGNSSRHRKSNNGFPGSSGVQRETGSGKNSSFNRNLASEKISQSGLTCEKAVDAPMAESNSHKFIVKIPNRGRSPVQIASGGSLEDHSVMNSRASSPVLLETQEQFDRNLKEKNDTYRTNVTTDVNTESWQSNDLKDMLTGFDEGDGSPAAVPDEENCRTGEGARKTNEVTKTASSSGNEHKSGKVLEPSFSSINALIDSCVKFSESNVCMPVGDDAGMNLLASVATGVDVASLIESPQRKAPFVVLSDTGNDTKLKPSSGDEVVRDQNQSVEGADDEHLKQAVGGNSWAKNADNKIGSSLEKSRELNEHLTSLSILYTADPCLENDKLKENVTTALINLPSASTAEKTTDIGDCKEHLEKKAGGVDGDSSLGTKQKGCSSVANEDKVIDPFVKVEKEVLGSSSVPSVEVDADKKKNVLEGLERSSQTHQKSPVVGHSINGTVTETSPPGFGKNTVLENVDEVKSEKDVESDTPSNAAVNAQKGEHVEENLEDHKGNEPCAGPSPCVSPTVMETEQPMWPRGSNLTGEEVDEVEGTSATRDAPVTGGTDTDAKVEFDLNEGFNADEGKFGDPNNLTASGCSAPAQFSSLPFPFSSVASSVPSTITVAAAAKGPFVPPDDLLRTKGALGWKGSAATSAFRPAEPRKILDIPLGTSNTSIADVSTRKQSRPPLDIDLNVPDERVLQDLASRSFAKGTDSALDLTSTRDLTCGMVGSPSIRSSGGLDLDLNRVDEPADFGNQCTGFSRRLDVPMQPIKSLSGILNSDVTVRRDFDLNNGPAVDEVSIDPSLFSHNARSSIALSQPSVSSLRMNSTEMGNFSSWFPTENTYSSITIQSILPDREQPFPIVATGGPQRVLGPPVGATPFNPDVYREPMLSSAPAVSFPSTPFQYPLFPFGTTFPLPSTSFSAGSSTYVDSSPGGRFCFPPVHSQLLRPVGSVPSHYPRPYVVSLPDGGHSSGAENGRHWGRQGLDLNAGPGGPDIEGRDEMVPLPSRQLSVASSRTQAEEQARIHQVPGGILKRKEPEGGWNGYKQSSWQ >KJB37038 pep chromosome:Graimondii2_0_v6:6:44430110:44436894:-1 gene:B456_006G187500 transcript:KJB37038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGGDEERKKARLMWTVPTRATEVLSGDGVASLSFSSSSTVNSFSKDGRNISIGDCALFKPALDSPPFIGIIRCLTASKENKLKLGVNWLYRPAEVKLGEGILLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLPKDVELPSGICSLVCRRVYDITNKCLWWLTDRDYINEHQEEVDNLLYKTRLEMQATVQPDGCSPNGPTSTSQLKPSLESVQNSASFPSQGKGKKRERGDQGSEPVKRECTSKIDDGDSGHGRREINLKIEIAKMAEKGGLEDYEAVEKLIHLMVPERNEKKIDLVSRSMLASVISATDKFDCLSHFVQLRGLRVFDEWLQEVHKGKTGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIDIQKKARSLVDTWKKRVEAEMDAKCGSNQAVPWSARSRLSEVSHSGNKHSGSAEVAMKNLVTQVSASKTGSVKIAQGETATKSASSSLGSMKAAISPASASANLKDVQVRNAAVVGTSDPPTTAKDEKSSSSSQSHNNSQSCSSDHAKAGGLSVKEDARSSAAGSGSVSKISGNSSRHRKSNNGFPGSSGVQRETGSGKNSSFNRNLASEKISQSGLTCEKAVDAPMAESNSHKFIVKIPNRGRSPVQIASGGSLEDHSVMNSRASSPVLLETQEQFDRNLKEKNDTYRTNVTTDVNTESWQSNDLKDMLTGFDEGDGSPAAVPDEENCRTGEGARKTNEVTKTASSSGNEHKSGKVLEPSFSSINALIDSCVKFSESNVCMPVGDDAGMNLLASVATGVDVASLIESPQRKAPFVVLSDTGNDTKLKPSSGDEVVRDQNQSVEGADDEHLKQAVGGNSWAKNADNKIGSSLEKSRELNEHLTSLSILYTADPCLENDKLKENVTTALINLPSASTAEKTTDIGDCKEHLEKKAGGVDGDSSLGTKQKGCSSVANEDKVIDPFVKVEKEVLGSSSVPSVEVDADKKKNVLEGLERSSQTHQKSPVVGHSINGTVTETSPPGFGKNTVLENVDEVKSEKDVESDTPSNAAVNAQKGEHVEENLEDHKGNEPCAGPSPCVSPTVMETEQPMWPRGSNLTGEEVDEVEGTSATRDAPVTGGTDTDAKVEFDLNEGFNADEGKFGDPNNLTASGCSAPAQFSSLPFPFSSVASSVPSTITVAAAAKGPFVPPDDLLRTKGALGWKGSAATSAFRPAEPRKILDIPLGTSNTSIADVSTRKQSRPPLDIDLNVPDERVLQDLASRSFAKGTDSALDLTSTRDLTCGMVGSPSIRSSGGLDLDLNRVDEPADFGNQCTGFSRRLDVPMQPIKSLSGILNSDVTVRRDFDLNNGPAVDEVSIDPSLFSHNARSSIALSQPSVSSLRMNSTEMGNFSSWFPTENTYSSITIQSILPDREQPFPIVATGGPQRVLGPPVGATPFNPDVYREPMLSSAPAVSFPSTPFQYPLFPFGTTFPLPSTSFSAGSSTYVDSSPGGRFCFPPVHSQLLRPVGSVPSHYPRPYVVSLPDGGHSSGAENGRHWGRQGLDLNAGPGGPDIEGRDEMVPLPSRQLSVASSRTQAEEQARIHQVPGGILKRKEPEGGWNGYKQSSWQ >KJB33411 pep chromosome:Graimondii2_0_v6:6:2180346:2182127:1 gene:B456_006G009800 transcript:KJB33411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSKNTDFPTRQDEDDDKRNETNKGPSSSSSSSQWLRLKDPRIVRVSRAFGGKDRHSKVYTIRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAAKHEIDELPPLPMPPPPANFPISDAFWRTKSKEVTRDVIDDKDDKHRRNEVHAPQVDNFNVVAAPLPSALSLCPLPPPQPGGGAPPLFPPHVVDPRQQVNHFQMLSSGAQQNLLLNSLNFPPITQSFRPFQLMPPRFPHNQPDK >KJB33410 pep chromosome:Graimondii2_0_v6:6:2180268:2182165:1 gene:B456_006G009800 transcript:KJB33410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSKNTDFPTRQDEDDDKRNETNKGPSSSSSSSQWLRLKDPRIVRVSRAFGGKDRHSKVYTIRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAAKHEIDELPPLPMPPPPANFPISDAFWRTKSKEVTRDVIDDKDDKHRRNEGDDDDDHGTQHVSSGSYYHFEPSNFPLSSHLVSHGFAVHAPQVDNFNVVAAPLPSALSLCPLPPPQPGGGAPPLFPPHVVDPRQQVNHFQMLSSGAQQNLLLNSLNFPPITQSFRPFQLMPPRFPHNQPDK >KJB35367 pep chromosome:Graimondii2_0_v6:6:35900109:35902221:1 gene:B456_006G111500 transcript:KJB35367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLLPAQLETDSSPEDPPLVSPTVKLSDGRHIAYRERGVPKAKSNCKIIIVHGFGSSKDMNFQVPQELIEELGIYFLLYDRAGYGESDPNPKRSVKSEALDIQELADQLQLGPKFYVIGVSMGSYPIWSCLQYIPERLAGVAMVVPVINYRWPSFPDSLTREDYRRPLVKLLYWVAKYTPGLLHWSVTRKWFPSPSVMEEKPVFFNKRDMEALKKTEGFPMLTKERLRERSVFNTLRNDFLVCYGDWDFDPMELTSPFLQNQNCVHIWQGYEDKIVPFELQRCISKKLPWIQYHEVADGGHLLVHYNGLREAILRAMLLGEEHHLYRPSADKTVP >KJB36668 pep chromosome:Graimondii2_0_v6:6:42985214:42988066:1 gene:B456_006G170100 transcript:KJB36668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGNVTAEDLIDALREVDWSSPPRPLSEFFSRFTIPRSYVKWNSRIKCNLYYYRSNYFILIVLILGLGFLRRPLAILAAILTALSIAFLNDSFASTFSEKVTRTVRQFSPHLAAKMRPPFTPVIRGRPSAKRAIYICGRPRWVFVFIFSSVSFILWYVSCGLLTVLWALAIALLATVLHASFRTPNLKARLNTFREEFRAVWRNYSEL >KJB36669 pep chromosome:Graimondii2_0_v6:6:42985617:42988313:1 gene:B456_006G170100 transcript:KJB36669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEIEGNLEYWPCMCFLQIVAFLLVSHLNFMLFFPGSYRSNYFILIVLILGLGFLRRPLAILAAILTALSIAFLNDSFASTFSEKVTRTVRQFSPHLAAKMRPPFTPVIRGRPSAKRAIYICGRPRWVFVFIFSSVSFILWYVSCGLLTVLWALAIALLATVLHASFRTPNLKARLNTFREEFRAVWRNYSEL >KJB36667 pep chromosome:Graimondii2_0_v6:6:42985158:42989527:1 gene:B456_006G170100 transcript:KJB36667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGNVTAEDLIDALREVDWSSPPRPLSEFFSRFTIPRSYVKWNSRIKCNLYYYRSNYFILIVLILGLGFLRRPLAILAAILTALSIAFLNDSFASTFSEKVTRTVRQFSPHLAAKMRPPFTPVIRGRPSAKRAIYICGRPRWVFVFIFSSVSFILWYVSCGLLTVLWALAIALLATVLHASFRTPNLKARLNTFREEFRAVWRNYSEL >KJB35558 pep chromosome:Graimondii2_0_v6:6:37039945:37042310:-1 gene:B456_006G120200 transcript:KJB35558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVPKLQKLAKKKVKGLSKEDHETRFDMVLALPDRIKAIPDGSTAASNQSGGWGTSSSNKKIKFDSSEERFGSDFYQQTEESDQFRQEYEMRKMKQDEGLDVISEGLDTLKNIALDMNEEIDRQVPLMDEIETKVDKTTSDIRKNNVRLKKTITQIRSSRNFCIDIILLCIILGIAFYLYNALK >KJB35556 pep chromosome:Graimondii2_0_v6:6:37039945:37042310:-1 gene:B456_006G120200 transcript:KJB35556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDILFRVDEICKKYDKYDPEKQREANAFLDDAFARLYSLVEADIEKALAKSDKVSTEKNRAAAVALSAEIRRIKARLMEEVPKLQKLAKKKVKGLSKEDHETRFDMVLALPDRIKAIPDGSTAASNQSGGWGTSSSNKKIKFDSSEERFGSDFYQQTEESDQFRQEYEMRKMKQDEGLDVISEGLDTLKNIALDMNEEIDRQVPLMDEIETKVDKTTSDIRKNNVRLKKTITQPKFLH >KJB35559 pep chromosome:Graimondii2_0_v6:6:37040015:37042200:-1 gene:B456_006G120200 transcript:KJB35559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDILFRVDEICKKYDKYDPEKQREANAFLDDAFARLYSLVEADIEKALAVRFLFSYPFLLLFSFQTLIFQINYWNKMQKSDKVSTEKNRAAAVALSAEIRRIKARLMEEVPKLQKLAKKKVKGLSKEDHETRFDMVLALPDRIKAIPDGSTAASNQSGGWGTSSSNKKIKFDSSEERFGSDFYQQTEESDQFRQEYEMRKMKQDEGLDVISEGLDTLKNIALDMNEEIDRQVPLMDEIETKVDKTTSDIRKNNVRLKKTITQIRSSRNFCIDIILLCIILGIAFYLYNALK >KJB35557 pep chromosome:Graimondii2_0_v6:6:37040176:37041903:-1 gene:B456_006G120200 transcript:KJB35557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDILFRVDEICKKYDKYDPEKQREANAFLDDAFARLYSLVEADIEKALAKSDKVSTEKNRAAAVALSAEIRRIKARLMEEVPKLQKLAKKKVKGLSKEDHETRFDMVLALPDRIKAIPDGSTAASNQSGGWGTSSSNKKIKFDSSEERFGSDFYQQTEESDQFRQEYEMRKMKQDEGLDVISEGLDTLKNIALDMNEEIDRQVPLMDEIETKVDKTTSDIRKNNVRLKKTITQIRSSRNFCIDIILLCIILGIAFYLYNALK >KJB33528 pep chromosome:Graimondii2_0_v6:6:3261963:3263964:1 gene:B456_006G015000 transcript:KJB33528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFAIQIYVVDRGGPLFVSMYLPLQTLLAALIVTVTLGEEFYLGGVVGAALIIAGLYLVILGKSEESKYLFENEPIYSVSENNDMESTFIRPSLGNKLQS >KJB33529 pep chromosome:Graimondii2_0_v6:6:3262183:3263926:1 gene:B456_006G015000 transcript:KJB33529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFAIQIYVVDRGGPLFVSMYLPLQTLLAALIVTVTLGEEFYLGGVVGAALIIAGLYLVILGKSEESKYLFENEPIYSVSENNDMESTFIRPSLGNKLQS >KJB33530 pep chromosome:Graimondii2_0_v6:6:3262183:3263964:1 gene:B456_006G015000 transcript:KJB33530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFAIQIYVVDRGGPLFVSMYLPLQTLLAALIVTVTLGEEFYLGGVVGAALIIAGLYLVILGKSEESKYLFENEPIYSVSENNDMESTFIRPSLGNKLQS >KJB33467 pep chromosome:Graimondii2_0_v6:6:2567937:2572150:1 gene:B456_006G012000 transcript:KJB33467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSRGEDYRLIKLTIIDYNNKKDHTVVVECKGHDAARFHNINHAHGWEKDVVDMVEEKEGKKKILVSFECETLKSDKAAEEHIKQFMPKLSGLDAVVNIGRMSISGMDSEAETADSKQDLSTA >KJB33465 pep chromosome:Graimondii2_0_v6:6:2567895:2572157:1 gene:B456_006G012000 transcript:KJB33465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFQGILFSFLNLSAMAFMAQNKKDHTVVVECKGHDAARFHNINHAHGWEKDVVDMVEEKEGKKKILVSFECETLKSDKAAEEHIKQFMPKLSGLDAVVNIGRMSISGMDSEAETADSKQDLSTA >KJB33466 pep chromosome:Graimondii2_0_v6:6:2567895:2572157:1 gene:B456_006G012000 transcript:KJB33466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKDEEPKDVKEGVASIALLPNGSLSGHFIQLPQSICYGLHGTELACEMECSRGEDYRLIKLTIIDYNNKKDHTVVVECKGHDAARFHNINHAHGWEKDVVDMVEEKEGKKKILVSFECETLKSDKAAEEHIKQFMPKLSGLDAVVNIGRMSISGMDSEAETADSKQDLSTA >KJB33764 pep chromosome:Graimondii2_0_v6:6:7646470:7649211:-1 gene:B456_006G030100 transcript:KJB33764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKCAACFREFNKMEHLVDHMRTSYHSLHEPTCVLCNKHCRSFDSLREHLIGPLPKQECNKLFKILGCKFCLSILESPHALKLHQHRCRFSGVNYGTMSRPANKSTTVVDNGFSSHVVALACQMVDGGGNNESMDGCARVCMVDEYENIIFHVYVKPPISVPNYRYENSGIGGEHLRDGMPLKQVQRRIEEFLCNGEAMWKIRSPKAGKARILVGHHLHPLLQSLHLQYPSFMIRDTAAYPPLMKTNKLSNSLKYLTQTYLGYDIQAGVQDPYEDCVATMRLYLRMRNQVHQREDYPQASDPRNRNNFAPSRQSELERMSPEAMLAISRSDYYCWCLDSM >KJB35408 pep chromosome:Graimondii2_0_v6:6:36926468:36930638:-1 gene:B456_006G119500 transcript:KJB35408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFQLRCHLQSDLPHDTVSKYQVLQTTPSLSSTPFSDSGGCNEPSLRVAHQGIRGAYSESAAEKAYPNCETIACQHFHSTFQAVARRVADRAVIPIENSLGGSIHGNYDLLLRHSLHIIGEIRFAVSHCLLANNGVDLHDLKTVLSHPQALAQCEKSLTKLGLEGEAVANTAVAAKKVAAEKLRDTGALASSSAALLYGLNILASNVQMFIAGR >KJB35407 pep chromosome:Graimondii2_0_v6:6:36925796:36930800:-1 gene:B456_006G119500 transcript:KJB35407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSGGYLFVLSNYRQTTSFKNPHSHLYSKHLRSLNLDFAFHQKARISNTIESGNTKNCAAPVADMNGFQLRCHLQSDLPHDTVSKYQVLQTNSGGCNEPSLRVAHQGIRGAYSESAAEKAYPNCETIACQHFHSTFQAVARRVADRAVIPIENSLGGSIHGNYDLLLRHSLHIIGEIRFAVSHCLLANNGVDLHDLKTVLSHPQALAQCEKSLTKLGLEGEAVANTAVAAKKVAAEKLRDTGALASSSAALLYGLNILASNVQDDSNNVTRFLLLAREPLTPATDTPFKTSIVFTLKDGSSALPDALSVFISNQIKLLKIESRPLKNQPLLASKNTSKSNGYFNYFFYADVEASMSDQTTQTALKHLKELSTFLRVLGSYPMGKFLQCLNFEP >KJB38317 pep chromosome:Graimondii2_0_v6:6:49308484:49311388:-1 gene:B456_006G248500 transcript:KJB38317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDYLLSFSFLASFFIILLSAVSTTASTFITFSIYKALNTIAPHQFFQSFPRSNRERRNFPIKGKIFSKEKNSDLIEEHITFWF >KJB33849 pep chromosome:Graimondii2_0_v6:6:9088558:9100490:1 gene:B456_006G034300 transcript:KJB33849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPKVYVFHLESDILPLVRSRITNQYNPKRSLLLLLQKVPPNLSTFPLSMPSLRSKARLVRSPMSDSTITHSSSFDPDPVTTSINKTSITPVNLILQSQSARISMHFHFVFLSKYLLTRFAHPPAPFSSSLATPPYLLLQNLFSMADPSNLSAVSSEDAGKFGFTRDEMYSSNLAGTVNPYDRHLFLRHKSYNDWASRVEEGGLPNLLSSALKSRKNDIPVKTLLTVIEGAESDGDVLVFPEMIKYKGLTDSDVEGFVEDVLVNGKPWASGVQETLTGSYIFACAHGNRDKRCGVCGPALIQKLNEEIELRGQKDQMFVSACSHIGGHKYAGNLIIFSIDSRERSRATGNISISITMSLRFYVLNGFLYYWSFAFNGCRYGYVTPEDVPELLDQQITKGEIIQHLWRGQMGASTEGGDKTYEQKLPNGTEVKKNEKHEETTTQKTKENVDGCCQGANGFTCCMTASLEANEKEKSEEPREVCGKTGMCKLTSWVESWEQHHVLTAAAVVGVVATIAVA >KJB33850 pep chromosome:Graimondii2_0_v6:6:9088558:9100512:1 gene:B456_006G034300 transcript:KJB33850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPKVYVFHLESDILPLVRSRITNQYNPKRSLLLLLQKVPPNLSTFPLSMPSLRSKARLVRSPMSDSTITHSSSFDPDPVTTSINKTSITPVNLILQSQSARISMHFHFVFLSKYLLTRFAHPPAPFSSSLATPPYLLLQNLFSMADPSNLSAVSSEDAGKFGFTRDEMYSSNLAGTVNPYDRHLFLRHKSYNDWASRVEEGGLPNLLSSALKSRKNDIPVKTLLTVIEGAESDGDVLVFPEMIKYKGLTDSDVEGFVEDVLVNGKPWASGVQETLTGSYIFACAHGNRDKRCGVCGPALIQKLNEEIELRGQKDQMFVSACSHIGGHKYAGNLIIFSIDSRERSRATGMAMLLQKMCLSYLISKLQKEK >KJB34244 pep chromosome:Graimondii2_0_v6:6:20282682:20283122:-1 gene:B456_006G055400 transcript:KJB34244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLKEMLLPLTLALCIMYPLAFSISEDVLLINYHIHIINDLPLEPPTNVPSLNLHCKSKDKDLGERAMFQHQDYAWDSKINLFRTTLFFCNARWRNKKQRYFEAFRATRDEDRCLDYHFSCIWSVRYDGIYFSSDNYTWTMQYPW >KJB36360 pep chromosome:Graimondii2_0_v6:6:41332785:41333957:-1 gene:B456_006G154900 transcript:KJB36360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKLVKKEMKREMVLNNGGDFAHHVVSLKSSTYGVLKLDNDELQQIEEVGVSETKRAKRSPPREEPEVINAWELMEDLDEDRLVKRTPKSRVKTPLKLLNQIGSPLKVKKSGGKENKGSVVNGDGLGNGKSDFSPNSILRVNNSMDGSSCKVVLKLSYPVKSTRSEGPEGGGGDLGFSSRRRIFSPLFDPELVALYEKELSEEEEQIKRIISPQPETRKPKKSQDSKVTLQDFEPKCRAGAENSVVIYTTTLRGIRKTFEECNRVRSIMESYRVQMFERDISMDSGFKEELRKVTGTKEVKVPLVFVKGRLIGGVEEIVKLEEEGKLEMLFEGIPMAVPGCKGCGGVRFVMCKQCNGSCKILDKQLNKIRCGECNENGLIQCPICC >KJB37076 pep chromosome:Graimondii2_0_v6:6:44510436:44512349:-1 gene:B456_006G188500 transcript:KJB37076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPIPYRWREQLSERVKDGLNIAYGGTGVFDTMVAEPNMTTQIDFLQQLLHDSVYTKRNLKTSVAFVSVAGNDYATYNAKNGSAQDFPAFMESLMNQLMVNLKRIHDMGVRKIGITSLLPLGCLPRNTAPFSFQHCDDTYNALVDLHDQLLPQVVNILNQQTNTSNSPSFILDLYNAFWTVFNRNETYQGNDPTFEKPFEPCCFGVSGGFKCGTVDENGENKYTLCSDPRSKFFWDGVHPTEQGWYVVYKTPAFQNSLKQFYGLT >KJB37077 pep chromosome:Graimondii2_0_v6:6:44510203:44512384:-1 gene:B456_006G188500 transcript:KJB37077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLNYLFSLFCFFLVCFFSGEQEVVEGRRLPWTSSPFGFRPKMLFGITFPGKPSGRFSDGFVSTDYIAGCLGMKTPIPYRWREQLSERVKDGLNIAYGGTGVFDTMVAEPNMTTQIDFLQQLLHDSVYTKRNLKTSVAFVSVAGNDYATYNAKNGSAQDFPAFMESLMNQLMVNLKRIHDMGVRKIGITSLLPLGCLPRNTAPFSFQHCDDTYNALVDLHDQLLPQVVNILNQQTNTSNSPSFILDLYNAFWTVFNRNETYQGNDPTFEKPFEPCCFGVSGGFKCGTVDENGENKYTLCSDPRSKFFWDGVHPTEQGWYVVYKTPAFQNSLKQFYGLT >KJB36852 pep chromosome:Graimondii2_0_v6:6:43663893:43665628:-1 gene:B456_006G179300 transcript:KJB36852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCQAEGDDVEGNLSAESIISDEQTLQRDLQIAIEEENYAEAAKIRDDLRVLHEDSKASVLAANSRFYDAFRRGDLATMQNLWAKGDDVCCVHPAANGISGYDFIMESWEIVWMNYEFPLEIELKNVRVHVKGDFGYVTCMEFVKTTKGNNWGAQFVTNVFERINGEWYICIHHASQADL >KJB36846 pep chromosome:Graimondii2_0_v6:6:43663870:43665058:-1 gene:B456_006G179300 transcript:KJB36846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSSTNCFKGLRCLSQPLPIPKPCITVVAKASRGNKTKFPGQCLSKLPNGRPPPFRQNPHVLLSMLCQAEGDDVEGNLSAESIISDEQTLQRDLQIAIEEENYAEAAKIRDDLRVLHEDSKASVLAANSRFYDAFRRGDLATMQNLWAKGDDVCCVHPAANGISGYDFIMESWEIVWMNYEFPLEIELKNVRVHVKGDFGYVTCMEFVKTTKGNNWGAQFVTNVFERINGEWYICIHHASQADL >KJB36854 pep chromosome:Graimondii2_0_v6:6:43663893:43665524:-1 gene:B456_006G179300 transcript:KJB36854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQGSSFCYNANVNCMPCSSTNCFKGLRCLSQPLPIPKPCITVVAKASRGNKTKFPAPFRQNPHVLLSMLCQAEGDDVEGNLSAESIISDEQTLQRDLQIAIEEENYAEAAKIRDDLRVLHEDSKASVLAANSRFYDAFRRGDLATMQNLWAKGDDVCCVHPAANGISGYDFIMESWEIVWMNYEFPLEIELKNVRVHVKGDFGYVTCMEFVKTTKGNNWGAQFVTNVFERINGEWYICIHHASQADL >KJB36847 pep chromosome:Graimondii2_0_v6:6:43663870:43665619:-1 gene:B456_006G179300 transcript:KJB36847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQGSSFCYNGLRCLSQPLPIPKPCITVVAKASRGNKTKFPGQCLSKLPNGRPPPFRQNPHVLLSMLCQAEGDDVEGNLSAESIISDEQTLQRDLQIAIEEENYAEAAKIRDDLRVLHEDSKASVLAANSRFYDAFRRGDLATMQNLWAKGDDVCCVHPAANGISGYDFIMESWEIVWMNYEFPLEIELKNVRVHVKGDFGYVTCMEFVKTTKGNNWGAQFVTNVFERINGEWYICIHHASQADL >KJB36851 pep chromosome:Graimondii2_0_v6:6:43663870:43665757:-1 gene:B456_006G179300 transcript:KJB36851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQGSSFCYNANVNCMPCSSTNCFKGLRCLSQPLPIPKPCITVVAKASRGNKTKFPGQCLSKLPNGRPPPFRQNPHVLLSMLCQAEGDDVEGNLSAESIISDEQTLQRDLQIAIEEENYAEAAKIRDDLRVLHEDSKASVLAANSRFYDAFRRGDLATMQNLWAKGDDVCCVHPAANGISGYDFIMESWEIVWMNYEFPLEIELKNVRVHVKGDFGYVTCMEFVKTTKGNNWGAQFVTNVFERINGEWYICIHHASQADL >KJB36849 pep chromosome:Graimondii2_0_v6:6:43663870:43665628:-1 gene:B456_006G179300 transcript:KJB36849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQGSSFCYNGLRCLSQPLPIPKPCITVVAKASRGNKTKFPAPFRQNPHVLLSMLCQAEGDDVEGNLSAESIISDEQTLQRDLQIAIEEENYAEAAKIRDDLRVLHEDSKASVLAANSRFYDAFRRGDLATMQNLWAKGDDVCCVHPAANGISGYDFIMESWEIVWMNYEFPLEIELKNVRVHVKGDFGYVTCMEFVKTTKGNNWGAQFVTNVFERINGEWYICIHHASQADL >KJB36848 pep chromosome:Graimondii2_0_v6:6:43663893:43665100:-1 gene:B456_006G179300 transcript:KJB36848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCQAEGDDVEGNLSAESIISDEQTLQRDLQIAIEEENYAEAAKIRDDLRVLHEDSKASVLAANSRFYDAFRRGDLATMQNLWAKGDDVCCVHPAANGISGYDFIMESWEIVWMNYEFPLEIELKNVRVHVKGDFGYVTCMEFVKTTKGNNWGAQFVTNVFERINGEWYICIHHASQADL >KJB36850 pep chromosome:Graimondii2_0_v6:6:43664027:43665477:-1 gene:B456_006G179300 transcript:KJB36850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQGSSFCYNPCITVVAKASRGNKTKFPGQCLSKLPNGRPPPFRQNPHVLLSMLCQAEGDDVEGNLSAESIISDEQTLQRDLQIAIEEENYAEAAKIRDDLRVLHEDSKASVLAANSRFYDAFRRGDLATMQNLWAKGDDVCCVHPAANGISGYDFIMESWEIVWMNYEFPLEIELKNVRVHVKGDFGYVTCMEFVKTTKGNNWGAQFVTNVFERINGEWYICIHHASQADL >KJB36855 pep chromosome:Graimondii2_0_v6:6:43664027:43664569:-1 gene:B456_006G179300 transcript:KJB36855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCQAEGDDVEGNLSAESIISDEQTLQRDLQIAIEEENYAEAAKIRDDLRVLHEDSKASVLAANSRFYDAFRRGDLATMQNLWAKGDDVCCVHPAANGISGYDFIMESWEIVWMNYEFPLEIELKNVRVHVKGDFGYVTCMEFVKTTKGNNWGAQFVTNVFERINGEWYICIHHASQADL >KJB36853 pep chromosome:Graimondii2_0_v6:6:43663870:43665628:-1 gene:B456_006G179300 transcript:KJB36853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCQAEGDDVEGNLSAESIISDEQTLQRDLQIAIEEENYAEAAKIRDDLRVLHEDSKASVLAANSRFYDAFRRGDLATMQNLWAKGDDVCCVHPAANGISGYDFIMESWEIVWMNYEFPLEIELKNVRVHVKGDFGYVTCMEFVKTTKGNNWGAQFVTNVFERINGEWYICIHHASQADL >KJB37500 pep chromosome:Graimondii2_0_v6:6:46269297:46272703:-1 gene:B456_006G207400 transcript:KJB37500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNVLVTGGAGYIGSHTVFQLLLDGYRVVVVDNLDNSSDVAIERVEELAGELAKSLSFHKVPSTVDLRDRQALEEVFAVTKFDAVIHFAGLKAVGESVHKPLLYYDNNLIGTITLLETMAAHGCKNLVFSSSATVYGWPKEVPCTEEFPLAAANPYGRTKLFIEEICQDLRRSDSEWKIILLRYFNPVGAHPSGYIGEDPRGTPNNLMPFVQQVAIGRQPALTVFGNNYSTKDGTGVRDYIHIVDLADGHIAALRKLSDPKIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVMAGRRPGDVETVYASTKKAEHELNWKAKYGIEEMCRDQWNWASKNPYGYASPNLN >KJB37499 pep chromosome:Graimondii2_0_v6:6:46268735:46272822:-1 gene:B456_006G207400 transcript:KJB37499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNVLVTGGAGYIGSHTVFQLLLDGYRVVVVDNLDNSSDVAIERVEELAGELAKSLSFHKVDLRDRQALEEVFAVTKFDAVIHFAGLKAVGESVHKPLLYYDNNLIGTITLLETMAAHGCKNLVFSSSATVYGWPKEVPCTEEFPLAAANPYGRTKLFIEEICQDLRRSDSEWKIILLRYFNPVGAHPSGYIGEDPRGTPNNLMPFVQQVAIGRQPALTVFGNNYSTKDGTGVRDYIHIVDLADGHIAALRKLSDPKIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVMAGRRPGDVETVYASTKKAEHELNWKAKYGIEEMCRDQWNWASKNPYGYASPNLN >KJB33460 pep chromosome:Graimondii2_0_v6:6:2982917:2984702:-1 gene:B456_006G013700 transcript:KJB33460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLELRNNIISAVKKSAALNRPGAENMKVRQLSDAIHDEVGNKVMGQISDSLWEIIRSEGSMRIEITETVVSHRNNNESKLASCFP >KJB34595 pep chromosome:Graimondii2_0_v6:6:29579740:29583765:1 gene:B456_006G074400 transcript:KJB34595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRKRAAVKASTSIVHVPNQEALVLPCRILQLPRRTIYEILSRLPIKTLFQCRSVCKRFLCFISDPEFAKLQLSKSQVCILIKTLPLQNASKRLQLAHVEANGSTFEVSKLNFTPKSNLPTIDISHMNACNGLLCLVGSEKDYNIYVCNPILGEFITIQPPYKDRQRGSFWGLGYSAMMNQYKVLQSYYPAMESNNRYAMAEIYTIGTGTWRSIGSAPIDTVALPFNAFLNGALHWFPCTPNGSEFIHTFDFDSEKFGTLPPPDHFRETDKKFTNYSRIGVLGGCLFMIYFTNSTRFDIWVMKDYGVKESWIKQFVIENLYPKQGSWDFYEPMVVLNNGEILMLFNNDAVVCYNQKRKNLRGTKFFRTRSQFDATAFTPSLVSLNNVAKGEQISRMQGTKDYDKLCTEEFQDCADCGALLVNPSCSFPAFGVPYGAVTYHANLYARNSENRCTACINRGVAPPKSFLTLWNM >KJB36399 pep chromosome:Graimondii2_0_v6:6:41669350:41672760:1 gene:B456_006G157500 transcript:KJB36399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGQKHLQELLEEDQEPFHLNKYIADRRCQLKKPSPQTHLQLKKPKPISQTSKFPSSFCKNACFFSFHDSPDPRKSPLLGFPSPCKSPNAIFLHIPARTAALLLEAALRIQKHSSSITKHHNGGTGFGFFGSILKRITHRNRNRKREIANDGAKVSVKDILRWDPAVAKNNLSQKKMSSSTSISEDKSGYEMGFSCSYNGRPSSAVWSESNEEKSLDTSCSCSQSEDFEEIFLSEDVFGNSSAFPSCESPFHFVLQRSPSFGHRTPIFSSPATSPGRHQKQDKESYNEVESLKKAQVVEEEEQFSPVSVLDPPFEDDDDRHVNVDDNDGNDSFDLECSYAVVQRTKQQLLHKLRRFEKLAELDPIELEKRMLEQEQDDDDDDDNEHESGSCDDGMVQEVVKTSFHNVPEGMKRLVTDLIGEEETEQSCYGDGEAVAKRVRQRLESWKEVESNTIDMMVGQDLRRSEVDGWKRSELQIRETALEVENAIFGLLMEELSEELVSSSTRV >KJB35445 pep chromosome:Graimondii2_0_v6:6:36328986:36332234:-1 gene:B456_006G115200 transcript:KJB35445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVHPRFYIGFFLCMFTVVYCATDPNDLKILNDFKKGLDNSELLMWPENGDDPCGPPSWPHVFCSGDKVTQIQVQNLGLKGPLPQNLNQLTKLFNLGLQKNHFNGKLPTFSGLSELEFAYLDNNELDTIPADFFDGLSSVRVLALDYNPFNKTTGWPIPKELANSVQLANLSLVNCNVVGPLPDFLGKLPSLVALKLSYNRLSGEIPASFGESLMQVLWLNDQDGEGMTGKIDVVANMVSLTQLWLHGNQFTGTIPENIGNLTSLKDLNLNRNQLVGLIPESLANMELDNLVLNNNQLMGPIPKFKAGNVSYASNSFCQSEPGVSCAPDVTALLDFLSGMNYPVNLASQWSGNEPCAGPWIGLSCNPNSLVSIINLPRHNLSGTLSPSVAKLESLIEIRLGGNSIYGTVPENFTELKTLRTLDLSGNNLEPPLPEFRDNVKVVIEGNPLLFANHTRGSSSSTSSPPSASSESPPSGQSGGTESPPSSRSPFPNREKNSNSSTATTNQGESQSNIFQRFQVVIVAGSAAIAISILLVVLFSIFWRKKRKRASEAPSSIVVHPKDPSDPENLVKIAVSNNTTRSLFSKTATSSGSSNSSATQSSHVIESGNLVISVQVLRKGTKDFAQENELGRGGFGTVYMGELDDGTKLAVKRMETGVISSKALDEFQSEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYMSQGALSKHLFHWKTLKLEPLSWKRRLSIALDVARGMEYLHNLARETFIHRDLKSSNILLDDDFRPKVSDFGLVKLAPDGEKSVATRLAGTFGYLAPEYAVMGKITTKVDVFSYGVVLMELVTGLTALDEERSEESRYLAEWFWRIKSSKEKLMVAIDPALEVDEETYESISTIAELAGHCTAREPYHRPDMGHIVNVLAPLVEKWKPIDDEAECYSGIDCSQPLSQMLKVWQAAETQGLSYTSLDDSKGSIPAKPSGFADSFTSADGR >KJB35444 pep chromosome:Graimondii2_0_v6:6:36328570:36332605:-1 gene:B456_006G115200 transcript:KJB35444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVHPRFYIGFFLCMFTVVYCATDPNDLKILNDFKKGLDNSELLMWPENGDDPCGPPSWPHVFCSGDKVTQIQVQNLGLKGPLPQNLNQLTKLFNLGLQKNHFNGKLPTFSGLSELEFAYLDNNELDTIPADFFDGLSSVRVLALDYNPFNKTTGWPIPKELANSVQLANLSLVNCNVVGPLPDFLGKLPSLVALKLSYNRLSGEIPASFGESLMQVLWLNDQDGEGMTGKIDVVANMVSLTQLWLHGNQFTGTIPENIGNLTSLKDLNLNRNQLVGLIPESLANMELDNLVLNNNQLMGPIPKFKAGNVSYASNSFCQSEPGVSCAPDVTALLDFLSGMNYPVNLASQWSGNEPCAGPWIGLSCNPNSLVSIINLPRHNLSGTLSPSVAKLESLIEIRLGGNSIYGTVPENFTELKTLRTLDLSGNNLEPPLPEFRDNVKVVIEGNPLLFANHTRGSSSSTSSPPSASSESPPSGQSGGTESPPSSRSPFPNREKNSNSSTATTNQGESQSNIFQRFQVVIVAGSAAIAISILLVVLFSIFWRKKRKRASEAPSSIVVHPKDPSDPENLVKIAVSNNTTRSLFSKTATSSGSSNSSATQSSHVIESGNLVISVQVLRKGTKDFAQENELGRGGFGTVYMGELDDGTKLAVKRMETGVISSKALDEFQSEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYMSQGALSKHLFHWKTLKLEPLSWKRRLSIALDVARGMEYLHNLARETFIHRDLKSSNILLDDDFRPKVSDFGLVKLAPDGEKSVATRLAGTFGYLAPEYAALDEERSEESRYLAEWFWRIKSSKEKLMVAIDPALEVDEETYESISTIAELAGHCTAREPYHRPDMGHIVNVLAPLVEKWKPIDDEAECYSGIDCSQPLSQMLKVWQAAETQGLSYTSLDDSKGSIPAKPSGFADSFTSADGR >KJB34266 pep chromosome:Graimondii2_0_v6:6:20682527:20695942:-1 gene:B456_006G056600 transcript:KJB34266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLVLQGKLVSDNVSQEAVLVNRAFDANLLKLERKALAMTAKLRSEPLAFVSSNLVQKLAVLVSDYMGGPVADPDNMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSAGIPCRLVKGQRYTGSDDVAINFVKIDDGREYIVDLMADPGTLIPSDVAGSHVEYDDSFFSSPLSRDIDSSHMASSSSGVGSSIEDNSEFGTMERRSRLKNFAAGGNQSDERGDFNAFVDLSGATTKLEQSKEPIEDLKVPYNMEKVLVRELPNRPSYPYAHARSPSWTEGISSPAVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFTEIYSEQLDRSTVEVRLSAETKDENRQSTGLRESKNQDDFGPSHCLPPLPHRKVYAKASSPHNQPEHLIHGEGLRITYPVDTREAIGPPVSSQVDAVPIQYSRNVPVAAAAAAAAAVVASSMVVAASKSCTDSNVELPVAAAATAAAAMVATSAAVSKQNELNDVVADSAGSEPRGSGEREHDALGVNSEGERISDKSAGNDSSKSDVALEDIADCEIPWEDITLGERIGLGSYGEVYRGDWHGTEVAVKKFLDQDISGELLEEFKSEVLIMKKLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLLHRPNNQLDERRRLRMALDAARGMNYLHNCTPMIVHRDLKTPNLLVDKNWVVKVCDFGLSRIKHSTYLSSRSTAGTAEWMAPEVLRNEPSNEKSDVYSFGVILWELSTLRQPWGGMNPMQVVGAVGFQHRRLDIPDDMDPTIAEIIRRCWQTDPKLRPTFAEIMAALKPLQKPIASAQVARSTASLSGGHEKVQP >KJB34269 pep chromosome:Graimondii2_0_v6:6:20687293:20698262:-1 gene:B456_006G056600 transcript:KJB34269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFLKKLHMMSNQSEDVQGSTSSKSNKSSDVSSSSDRPLQSRPHHSPDNNKPLSVLSNWLNSVANRKSPSPPSSSNVKREETMEPADLATTSALEAALDAVRRDSGSSNSRDPDVEEEYQIQLALELSAREDPEATQIEAVKQISLGSCAPENTPAEVIAYRYWNYNSLDYDDKILDGFYDLYGILTESTSERMPSLLVLQGKLVSDNVSQEAVLVNRAFDANLLKLERKALAMTAKLRSEPLAFVSSNLVQKLAVLVSDYMGGPVADPDNMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSAGIPCRLVKGQRYTGSDDVAINFVKIDDGREYIVDLMADPGTLIPSDVAGSHVEYDDSFFSSPLSRDIDSSHMASSSSGVGSSIEDNSEFGTMERRSRLKNFAAGGNQSDERGDFNAFVDLSGATTKLEQSKEPIEDLKVPYNMEKVLVRELPNRPSYPYAHARSPSWTEGISSPAVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFTEIYSEQLDRSTVEVRLSAETKDENRQSTGLRESKNQDDFGPSHCLPPLPHRKVYAKASSPHNQPEHLIHGEGLRITYPVDTREAIGPPVSSQVDAVPIQYSRNVPVAAAAAAAAAVVASSMVVAASKSCTDSNVELPVAAAATAAAAMVATSAAVSKQNELNDVVADSAGSEPRGSGEREHDALGVNSEGERISDKSAGNDSSKSDVALEDIADCEIPWEDITLGERIGLGSYGEVYRGDWHGTEVAVKKFLDQDISGELLEEFKSEVLIMKKLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLLHRPNNQLDERRRLRMALDAARGMNYLHNCTPMIVHRDLKTPNLLVDKNWVVKVCDFGLSRIKHSTYLSSRSTAGTAEWMAPEVLRNEPSNEKSDVYSFGVILWELSTLRQPWGGMNPMQVVGAVGFQHRRLDIPDDMDPTIAEIIRRCWQTGQWIDFVNAKCNQSVGSMVSPRFIC >KJB34267 pep chromosome:Graimondii2_0_v6:6:20682256:20698262:-1 gene:B456_006G056600 transcript:KJB34267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFLKKLHMMSNQSEDVQGSTSSKSNKSSDVSSSSDRPLQSRPHHSPDNNKPLSVLSNWLNSVANRKSPSPPSSSNVKREETMEPADLATTSALEAALDAVRRDSGSSNSRDPDVEEEYQIQLALELSAREDPEATQIEAVKQISLGSCAPENTPAEVIAYRYWNYNSLDYDDKILDGFYDLYGILTESTSERMPSLLVLQGKLVSDNVSQEAVLVNRAFDANLLKLERKALAMTAKLRSEPLAFVSSNLVQKLAVLVSDYMGGPVADPDNMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSAGIPCRLVKGQRYTGSDDVAINFVKIDDGREYIVDLMADPGTLIPSDVAGSHVEYDDSFFSSPLSRDIDSSHMASSSSGVGSSIEDNSEFGTMERRSRLKNFAAGGNQSDERGDFNAFVDLSGATTKLEQSKEPIEDLKVPYNMEKVLVRELPNRPSYPYAHARSPSWTEGISSPAVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFTEIYSEQLDRSTVEVRLSAETKDENRQSTGLRESKNQDDFGPSHCLPPLPHRKVYAKASSPHNQPEHLIHGEGLRITYPVDTREAIGPPVSSQVDAVPIQYSRNVPVAAAAAAAAAVVASSMVVAASKSCTDSNVELPVAAAATAAAAMVATSAAVSKQNELNDVVADSAGSEPRGSGEREHDALGVNSEGERISDKSAGNDSSKSDVALEDIADCEIPWEDITLGERIGLGSYGEVYRGDWHGTEVAVKKFLDQDISGELLEEFKSEVLIMKKLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLLHRPNNQLDERRRLRMALDAARGMNYLTAHIYHRGQLQGRLSGWLQKYYEMNLQMRSLMYIASELSYGSSLHYDNHGEG >KJB34265 pep chromosome:Graimondii2_0_v6:6:20681725:20698700:-1 gene:B456_006G056600 transcript:KJB34265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFLKKLHMMSNQSEDVQGSTSSKSNKSSDVSSSSDRPLQSRPHHSPDNNKPLSVLSNWLNSVANRKSPSPPSSSNVKREETMEPADLATTSALEAALDAVRRDSGSSNSRDPDVEEEYQIQLALELSAREDPEATQIEAVKQISLGSCAPENTPAEVIAYRYWNYNSLDYDDKILDGFYDLYGILTESTSERMPSLLVLQGKLVSDNVSQEAVLVNRAFDANLLKLERKALAMTAKLRSEPLAFVSSNLVQKLAVLVSDYMGGPVADPDNMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSAGIPCRLVKGQRYTGSDDVAINFVKIDDGREYIVDLMADPGTLIPSDVAGSHVEYDDSFFSSPLSRDIDSSHMASSSSGVGSSIEDNSEFGTMERRSRLKNFAAGGNQSDERGDFNAFVDLSGATTKLEQSKEPIEDLKVPYNMEKVLVRELPNRPSYPYAHARSPSWTEGISSPAVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFTEIYSEQLDRSTVEVRLSAETKDENRQSTGLRESKNQDDFGPSHCLPPLPHRKVYAKASSPHNQPEHLIHGEGLRITYPVDTREAIGPPVSSQVDAVPIQYSRNVPVAAAAAAAAAVVASSMVVAASKSCTDSNVELPVAAAATAAAAMVATSAAVSKQNELNDVVADSAGSEPRGSGEREHDALGVNSEGERISDKSAGNDSSKSDVALEDIADCEIPWEDITLGERIGLGSYGEVYRGDWHGTEVAVKKFLDQDISGELLEEFKSEVLIMKKLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLLHRPNNQLDERRRLRMALDAARGMNYLHNCTPMIVHRDLKTPNLLVDKNWVVKVCDFGLSRIKHSTYLSSRSTAGTAEWMAPEVLRNEPSNEKSDVYSFGVILWELSTLRQPWGGMNPMQVVGAVGFQHRRLDIPDDMDPTIAEIIRRCWQTDPKLRPTFAEIMAALKPLQKPIASAQVARSTASLSGGHEKVQP >KJB34268 pep chromosome:Graimondii2_0_v6:6:20688428:20698178:-1 gene:B456_006G056600 transcript:KJB34268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFLKKLHMMSNQSEDVQGSTSSKSNKSSDVSSSSDRPLQSRPHHSPDNNKPLSVLSNWLNSVANRKSPSPPSSSNVKREETMEPADLATTSALEAALDAVRRDSGSSNSRDPDVEEEYQIQLALELSAREDPEATQIEAVKQISLGSCAPENTPAEVIAYRYWNYNSLDYDDKILDGFYDLYGILTESTSERMPSLLVLQGKLVSDNVSQEAVLVNRAFDANLLKLERKALAMTAKLRSEPLAFVSSNLVQKLAVLVSDYMGGPVADPDNMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSAGIPCRLVKGQRYTGSDDVAINFVKIDDGREYIVDLMADPGTLIPSDVAGSHVEYDDSFFSSPLSRDIDSSHMASSSSGVGSSIEDNSEFGTMERRSRLKNFAAGGNQSDERGDFNAFVDLSGATTKLEQSKEPIEDLKVPYNMEKVLVRELPNRPSYPYAHARSPSWTEGISSPAVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFTEIYSEQLDRSTVEVRLSAETKDENRQSTGLRESKNQDDFGPSHCLPPLPHRKVYAKASSPHNQPEHLIHGEGLRITYPVDTREAIGPPVSSQVDAVPIQYSRNVPVAAAAAAAAAVVASSMVVAASKSCTDSNVELPVAAAATAAAAMVATSAAVSKQNELNDVVADSAGSEPRGSGEREHDALGVNSEGERISDKSAGNDSSKSDVALEDIADCEIPWEDITLGERIGLGSYGEVYRGDWHGTEVAVKKFLDQDISGELLEEFKSEVLIMKKLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLLHRPNNQLDERRRLRMALDAARGMNYLHNCTPMIVHRDLKTPNLLVDKNWVVKVCDFGLSRIKHSTYLSSRSTAGTAEWMAPEVLRNEPSNEKSDVYSFGVILWELSTLRQPWGGMNPMQVVGAVGFQHRRLDIPDDMDPTIAEIIRRCWQT >KJB33756 pep chromosome:Graimondii2_0_v6:6:7531627:7534427:-1 gene:B456_006G029400 transcript:KJB33756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGNALRDLNTLPASERKNDSSSKGNFTKTCNGNTIENVEELQKKNPSSVCINGGETINAAVEVANSEVEYIESENLGDLEDVNTCLELLPGLESKDWVLVVETLNNVRRLSIFHREEMHSMLGDLIPLVVKSLKNPRSAVCKTAIMTSADIFSAYNDDLIEFLDPLLVQLLLKSSQDKRFVCEAAERALEVMTTSVFPMSLLPKLQPYLKNRNPRIRAKASMCFSRSVPRLGVEGIQEYGIDKLIQVAASQLSDQLPESREAARTLLLELQTVYEKSNGLSTTIPEQPEMNSWEHFCQSKLSPLSAQAVLRVTNIAREGLVIGS >KJB33755 pep chromosome:Graimondii2_0_v6:6:7531573:7534546:-1 gene:B456_006G029400 transcript:KJB33755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGNALRDLNTLPASERKNDSSSKGNFTKTCNGNTIENVEELQKKNPSSVCINGGETINAAVEVANSEVEYIESENLGDLEDVNTCLEKLLPGLESKDWVLVVETLNNVRRLSIFHREEMHSMLGDLIPLVVKSLKNPRSAVCKTAIMTSADIFSAYNDDLIEFLDPLLVQLLLKSSQDKRFVCEAAERALEVMTTSVFPMSLLPKLQPYLKNRNPRIRAKASMCFSRSVPRLGVEGIQEYGIDKLIQVAASQLSDQLPESREAARTLLLELQTVYEKSNGLSTTIPEQPEMNSWEHFCQSKLSPLSAQAVLRVTNIAREGLVIGS >KJB33175 pep chromosome:Graimondii2_0_v6:6:34133103:34135512:1 gene:B456_006G101000 transcript:KJB33175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCLGCYKEPKFDPLMNDTSKGLEVPGQTVRKTSISEDFWTTSACDMDNSAVQSQGSISSISVSNQSLDPHGNANSPSEFVNHGLLLWNRTRQQWIGNKKSENRPQKVREPKLSWNATYESLLGNNKPFPQPIPLPEMIDFLVDIWEQEGLYD >KJB33170 pep chromosome:Graimondii2_0_v6:6:34133297:34135381:1 gene:B456_006G101000 transcript:KJB33170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTSKGLEVPGQTVRKTSISEDFWTTSACDMDNSAVQSQGSISSISVSNQSLDPHGNANSPSEFVNHGLLLWNRTRQQWIGNKKSENRPQKVREPKLSWNATYESLLGNNKPFPQPIPLPEMIDFLVDIWEQEGLYD >KJB33172 pep chromosome:Graimondii2_0_v6:6:34133160:34135381:1 gene:B456_006G101000 transcript:KJB33172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTSKGLEVPGQTVRKTSISEDFWTTSACDMDNSAVQSQGSISSISVSNQSLDPHGNANSPSEFVNHGLLLWNRTRQQWIGNKKSENRPQKVREPKLSWNATYESLLGNNKPFPQPIPLPEMIDFLVDIWEQEGLYD >KJB33174 pep chromosome:Graimondii2_0_v6:6:34133182:34135381:1 gene:B456_006G101000 transcript:KJB33174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTSKGLEVPGQTVRKTSISEDFWTTSACDMDNSAVQSQGSISSISVSNQSLDPHGNANSPSEFVNHGLLLWNRTRQQWIGNKKSENRPQKVREPKLSWNATYESLLGNNKPFPQPIPLPEMIDFLVDIWEQEGLYD >KJB33173 pep chromosome:Graimondii2_0_v6:6:34133182:34135381:1 gene:B456_006G101000 transcript:KJB33173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTSKGLEVPGQTVRKTSISEDFWTTSACDMDNSAVQSQGSISSISVSNQSLDPHGNANSPSEFVNHGLLLWNRTRQQWIGNKKSENRPQKVREPKLSIYGLSMVNPFWPCSWNATYESLLGNNKPFPQPIPLPEMIDFLVDIWEQEGLYD >KJB33171 pep chromosome:Graimondii2_0_v6:6:34133297:34135381:1 gene:B456_006G101000 transcript:KJB33171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTSKGLEVPGQTVRKTSISEDFWTTSACDMDNSAVQSQGSISSISVSNQSLDPHGNANSPSEFVNHGLLLWNRTRQQWIGNKKSENRPQKVREPKLSWNATYESLLGNNKPFPQPIPLPEMIDFLVDIWEQEGLYD >KJB33819 pep chromosome:Graimondii2_0_v6:6:8369198:8369972:-1 gene:B456_006G0324001 transcript:KJB33819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTCAILTKNDQPNEKNTIVFKLHVQCKRGSPRITVKSDALKWLPNGSELVKETRNAASDSSSKPETYTYFGCSQETIPEFVKNPIIPKYPNIIIVKLGPGQ >KJB33818 pep chromosome:Graimondii2_0_v6:6:8369198:8369972:-1 gene:B456_006G0324001 transcript:KJB33818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTCAILTKNDQPNEKNTIVFKLHVQCKRGSPRITVKSDALKWLPNGSELVKETRNAASDSSSKPETYTYFGCSQETIPEFVKNPIIPKYPNIIIVKLGPGQ >KJB33164 pep chromosome:Graimondii2_0_v6:6:10405622:10407078:1 gene:B456_006G037400 transcript:KJB33164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWQVNKTTIIVDASKYIEELKQKVERLNQGISAAQTSNDQNPLPMVTVETLEKGFLINVFSEKSCPGLLVSLLEAFEELGLNILEARVSCTDSFRLQAVGGENEEQSESIDAQVVKQAVLQAIKNWSEGTDQQE >KJB33169 pep chromosome:Graimondii2_0_v6:6:10405517:10407078:1 gene:B456_006G037400 transcript:KJB33169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSREHKRAALHEKLQLLRSITNSHAVNKTTIIVDASKYIEELKQKVERLNQGISAAQTSNDQNPLPMQVTVETLEKGFLINVFSEKSCPGLLVSLLEAFEELGLNILEARVSCTDSFRLQAVGGENEEQSESIDAQVVKQAVLQAIKNWSEGTDQQE >KJB33167 pep chromosome:Graimondii2_0_v6:6:10405517:10407089:1 gene:B456_006G037400 transcript:KJB33167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSREHKRAALHEKLQLLRSITNSHAVNKTTIIVDASKYIEELKQKVERLNQGISAAQTSNDQNPLPMVTVETLEKGFLINVFSEKSCPGLLVSLLEAFEELGLNILEARVSCTDSFRLQAVGGENEEQSESIDAQVVKQAVLQAIKNWSEGTDQQE >KJB33166 pep chromosome:Graimondii2_0_v6:6:10405517:10407078:1 gene:B456_006G037400 transcript:KJB33166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSREHKRAALHEKLQLLRSITNSHAVNKTTIIVDASKYIEELKQKVERLNQGISAAQTSNDQNPLPMQVTVETLEKGFLINVFSEKSCPGLLVSLLEAFEELGLNILEARVSCTDSFRLQAVGGENEEQSESIDAQVVKQAVLQAIKNWSEGTDQQE >KJB33165 pep chromosome:Graimondii2_0_v6:6:10406103:10406825:1 gene:B456_006G037400 transcript:KJB33165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWQVNKTTIIVDASKYIEELKQKVERLNQGISAAQTSNDQNPLPMQVTVETLEKGFLINVFSEKSCPGLLVSLLEAFEELGLNILEARVSCTDSFRLQAVGGENEEQSESIDAQVVKQAVLQAIKNWSEGTDQQE >KJB33168 pep chromosome:Graimondii2_0_v6:6:10405517:10407078:1 gene:B456_006G037400 transcript:KJB33168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWQVNKTTIIVDASKYIEELKQKVERLNQGISAAQTSNDQNPLPMVTVETLEKGFLINVFSEKSCPGLLVSLLEAFEELGLNILEARVSCTDSFRLQAVGGENEEQSESIDAQVVKQAVLQAIKNWSEGTDQQE >KJB36309 pep chromosome:Graimondii2_0_v6:6:41075425:41077102:1 gene:B456_006G151700 transcript:KJB36309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTRIINTLIFGSTFSFLVRYVENGSLANIIKPNKFGPFFESLVVVYIAQVWFIYMNRVLSIGISRVQIF >KJB35434 pep chromosome:Graimondii2_0_v6:6:36253418:36254719:-1 gene:B456_006G114500 transcript:KJB35434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDCGRHDDKQQLAKRVAVAIFGFLIVFAIVVFLVWAILHPTQPHFILQDVTIYAFNLTAPNYLTSNMQITLVSRNPNERIGIYYHKLDVLASYRNQQITLPTLIPRTYQGHQDVTVWSPLLYGNAVPVAPFLEVGLNQDMNAGMVLLNVKAFGQLKWKVGTWISGRYQININCPVYISFTGPTKAIQVGVAMKYQLVRSCSVDISLLN >KJB38003 pep chromosome:Graimondii2_0_v6:6:48127255:48129608:1 gene:B456_006G232100 transcript:KJB38003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHIAAVEQQLVSERMRRKLNEVNIAAQAQLAPVQDHINFTLQQAYFKCAYECFDRTRKQEEISNCVEHCSVPVVNAQQHFENEMAKFQERLNRSLMVCQDKFESAKLQQNKADAINELESCVNQSIEDNMKTLPHLVGRMKASFNIGH >KJB33906 pep chromosome:Graimondii2_0_v6:6:10564186:10566016:1 gene:B456_006G037700 transcript:KJB33906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREEAKQAPEDSQQPNKAETSQNVDNLFSPRFKSVAAMAGWDEEALIIASLVVEDTPDRQQSKQKKRSDSIFNTPPCTSSRRKRRAQRTPLNLDEEQEVPKEESEKKSMEQRIVVEDEKNPKANEPALHCMDKLREELSCAICLDICFEPSTTPCGHSFCKKCLRSAADKCGKRCPKCRQLIGNGRSCTVNTVLWNTIQLLFPKEVETRKAVAANALNSKEAFECQSPQSGTRSTRTTRTRTRTRAENQALAARPQREDLSRLVNTDTNMTRRRGDPNQDNNAESARLQRDNDLSRLVRTGRRRGTPSQDEDAALALRLQREEFMEAFRGSSGHEGNEQTRIPLALARANLRAMASRAINRGRAV >KJB35672 pep chromosome:Graimondii2_0_v6:6:37478706:37481607:1 gene:B456_006G123300 transcript:KJB35672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTNRCTLRNSTCLHMQNPLITYVQSISIAAVPEDIGTAGALRAISHYLTAKDILVVSGDLVSDVSPGAVAATHRRHDAVVTATLCCVPISGSSESGSSGGKDKTKKPGRYNIIGLDPRKQFLLHIATGAEIEKDTRLQKHLLHAVGQMEIRSDLMDAHMYAFKRSVLQEVLDRKDTFQSLKQDVVPYLVRSQLKSEAVLSGIRQAEEDGNEKVSFPNNQVMVSQILANASTPSFHELYSMDPDGSAQTRKTHKCCAYIASSSSYCVRLNSIQAFMDINRDVTGEADHLLSDNVIGPSPKLGTKTTVGPHCMLGEGSEMGDKCQVKRSVIGRHCRIGSHVKVVNSVVMNHVTIGDGCIIQGSVICSNVQLQERVVLKDCQVGAGFVVTAGSEYKGESLARKEK >KJB35671 pep chromosome:Graimondii2_0_v6:6:37476731:37481607:1 gene:B456_006G123300 transcript:KJB35671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVVLAGGNSKSLTPLVSKDVPKPLLPVANHPVLYYVLHQLEESNLKDLIVVVEGEDAGLLVGAWISGTFVDRLHVEIAAVPEDIGTAGALRAISHYLTAKDILVVSGDLVSDVSPGAVAATHRRHDAVVTATLCCVPISGSSESGSSGGKDKTKKPGRYNIIGLDPRKQFLLHIATGAEIEKDTRLQKHLLHAVGQMEIRSDLMDAHMYAFKRSVLQEVLDRKDTFQSLKQDVVPYLVRSQLKSEAVLSGIRQAEEDGNEKVSFPNNQVMVSQILANASTPSFHELYSMDPDGSAQTRKTHKCCAYIASSSSYCVRLNSIQAFMDINRDVTGEADHLLSDNVIGPSPKLGTKTTVGPHCMLGEGSEMGDKCQVKRSVIGRHCRIGSHVKVVNSVVMNHVTIGDGCIIQGSVICSNVQLQERVVLKDCQVGAGFVVTAGSEYKGESLARKEK >KJB35669 pep chromosome:Graimondii2_0_v6:6:37476946:37481924:1 gene:B456_006G123300 transcript:KJB35669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVVLAGGNSKSLTPLVSKDVPKPLLPVANHPVLYYVLHQLEESNLKDLIVVVEGEDAGLLVGAWISGTFVDRLHVEIAAVPEDIGTAGALRAISHYLTAKDILVVSGDLVSDVSPGAVAATHRRHDAVVTATLCCVPISGSSESGSSGGKDKTKKPGRYNIIGLDPRKQFLLHIATGAEIEKDTRLQKHLLHAVGQMEIRSDLMDAHMYAFKRSVLQEVLDRKDTFQSLKQDVVPYLVRSQLKSEAVLSGIRQAEEDGNEKVSFPNNQVMVSQILANASTPSFHELYSMDPDGSAQTRKTHKCCAYIASSSSYCVRLNSIQAFMDINRDVTGEADHLLSDNVIGPSPKLGTKTTVGPHCMLGEGSEMGDKCQVKRSVIGRHCRIGSHVKVVNSVVMNHVTIGDGCIIQGSVICSNVQLQERVVLKDCQVGAGFVVTAGSEYKGESLARKEK >KJB35670 pep chromosome:Graimondii2_0_v6:6:37476946:37481607:1 gene:B456_006G123300 transcript:KJB35670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVVLAGGNSKSLTPLVSKDVPKPLLPVANHPVLYYVLHQLEESNLKDLIVVVEGEDAGLLVGAWISGTFVDRLHVEIAAVPEDIGTAGALRAISHYLTAKDILVVSGDLVSDVSPGAVAATHRRHDAVVTATLCCVPISGSSESGSSGGKDKTKKPGRYNIIGLDPRKQFLLHIATEIEKDTRLQKHLLHAVGQMEIRSDLMDAHMYAFKRSVLQEVLDRKDTFQSLKQDVVPYLVRSQLKSEAVLSGIRQAEEDGNEKVSFPNNQVMVSQILANASTPSFHELYSMDPDGSAQTRKTHKCCAYIASSSSYCVRLNSIQAFMDINRDVTGEADHLLSDNVIGPSPKLGTKTTVGPHCMLGEGSEMGDKCQVKRSVIGRHCRIGSHVKVVNSVVMNHVTIGDGCIIQGSVICSNVQLQERVVLKDCQVGAGFVVTAGSEYKGESLARKEK >KJB34990 pep chromosome:Graimondii2_0_v6:6:33433098:33436366:1 gene:B456_006G095400 transcript:KJB34990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAPEGSQFDARQFDQKMSDLLQTDGDDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGIVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDVIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTVDDERMLFDIQKFYNVVIEELPSNVADLL >KJB34989 pep chromosome:Graimondii2_0_v6:6:33433081:33436451:1 gene:B456_006G095400 transcript:KJB34989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAPEGSQFDARQFDQKMSDLLQTDGDDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGIVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDVIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTVDDERMLFDIQKFYNVVIEELPSNVADLL >KJB37843 pep chromosome:Graimondii2_0_v6:6:47526949:47528012:1 gene:B456_006G222900 transcript:KJB37843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFNCMVTLAAREVYYYFFEGRKLHLMLDALVEISEDENVGKLVNESLHTASTFLKNLSMLSTQIFLIECLLDMVVLKF >KJB36436 pep chromosome:Graimondii2_0_v6:6:41766313:41774498:-1 gene:B456_006G158300 transcript:KJB36436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEGQEQFQFQRPLIPVTRFRPILPKPPVIHAGRQGNQIFEANCIGSERCSYGFTQEPQADRVVACSDSSICIEVNGGINNLKAASVGSNCISGYSNEQRQCSLNLGEPSNSSSADLLTLVNAASAKTTTAASLGIDRHHLRCSSTGLLPVHVNLSAQQHIWVDGNCTPRKHQNVIHSQNPYDLNLPAKTMDELSDFAPITPYKSKRAERKDVSEIDLHIENRTSEKRDEQANESAAANVDVNGLQCSKELQKLVIESSLAATPSKENQNPDDGGSHLIDLNRTPQQKQRRKKHRPKVIGEGKPRTRKSVTLKPSYSQENPTGKRNYVRKNNPSQDTSTPPGEANAENSTRKRKCVQTKGLNKDSTIPIQERENAPETLEQNKKSCIRALDFDEEGQDIGERSAFEPTCNLNSRTASFWKGDQSKYTMQLCGEPDVAAENTQTGNAYELNQSLKQKPKFFLSLPEDQAPGTPFPAKKNPPRKRSNTWHTVQDGLEKNGKKVWQSSARLPERSPNDSNCCTSLVLEGRQASELKINNSTASQQADISTLNSEGSHYNNLCRYLKIPEMQFANFSRRKRTVKRQNSAISSASSSITSVKSLMPAEACLVDNTEANPHRFSSSGVPANLEEASSFSLNKMQTFNCIMDSFPTESPKKKRTRGITRVRDLASLSGIAKCKRHAECYGSQSPVGYDVGKVGNSDQPHISIETLIAEIQAKLTKKKRTRKRYCPVNSACSSRSEVQMHNKRVLSNQNEFSAKALGATPEEIWKQMFSSDALDKQSNHSDINREGIPITHKEQKAIIPYNMRYKKQNAIVPYNLRYKEQNAVVPYNMRYKEQNALVVYTDGTIVQFGPTKKQLPRPRVELDEETNRVWKLLLENINSEGIDGTDEEKVKWWEEERTVFQGRADSFIARMHLVQGDRRFSPWKGSVVDSVIGVFLTQNVSDHLSSSAFMTLAAHFPLKKSYYQEGTSLVNGAEFYVLEPEDTLKWDAKMSIQPVIDQSSTVNGYGHSEEKVVLNSKESFGSRTAIVCSINESKCMETIGRGTDCFKGDDETNDVLSSQNSIVSSENAANFSLVQTAETFSCSESNSVRADQTKGPTFDILDGSTSFVELLEMAGSARLHEIYCPQNKSIGDKDKRSKFQNDQRENCHNAECPKSFTREDVTPSPNYHPHLAPNSEESEIEHLEIFKEETRFSEASKTKDENMKKGESPLTEESAYHTENKNDSTICVQVAPQSSNESNQPSHITQHAETIVYHCQMRLLQNPRNLVELPASPQNEEMLRLKPSKHSEGILDITESSAFDSKKSPQQKMQESSLYINNSSSNKELNQINARSLKSKGRNAKKEKNDDIDWDSLRKQAEADGRKRERTMKTRDSLDWDAVRCTDVNEIAETIKDRGMNNMLAERIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENRKPGHNHAVIIDQKALPLPQPIEQSDRNCQPEANQQLQAKSWVNNCSHNHAVIIDQQALPLLQPIEQSDRNCQSEANRQLQAKSRVNNCDPIIEEPASPEPECTQVAENDIEDMFYEDPDEIPTIKLDMEEFTQNLHNYMQNNTELQEGDMSKALVALTAEAASIRTPKLKNFSRLRTEHQV >KJB36434 pep chromosome:Graimondii2_0_v6:6:41758569:41773807:-1 gene:B456_006G158300 transcript:KJB36434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEGQEQFQFQRPLIPVTRFRPILPKPPVIHAGRQGNQIFEANCIGSERCSYGFTQEPQADRVVACSDSSICIEVNGGINNLKAASVGSNCISGYSNEQRQCSLNLGEPSNSSSADLLTLVNAASAKTTTAASLGIDRHHLRCSSTGLLPVHVNLSAQQHIWVDGNCTPRKHQNVIHSQNPYDLNLPAKTMDELSDFAPITPYKSKRAERKDVSEIDLHIENRTSEKRDEQANESAAANVDVNGLQCSKELQKLVIESSLAATPSKENQNPDDGGSHLIDLNRTPQQKQRRKKHRPKVIGEGKPRTRKSVTLKPSYSQENPTGKRNYVRKNNPSQDTSTPPGEANAENSTRKRKCVQTKGLNKDSTIPIQERENAPETLEQNKKSCIRALDFDEEGQDIGERSAFEPTCNLNSRTASFWKGDQSKYTMQLCGEPDVAAENTQTGNAYELNQSLKQKPKFFLSLPEDQAPGTPFPAKKNPPRKRSNTWHTVQDGLEKNGKKVWQSSARLPERSPNDSNCCTSLVLEGRQASELKINNSTASQQADISTLNSEGSHYNNLCRYLKIPEMQFANFSRRKRTVKRQNSAISSASSSITSVKSLMPAEACLVDNTEANPHRFSSSGVPANLEEASSFSLNKMQTFNCIMDSFPTESPKKKRTRGITRVRDLASLSGIAKCKRHAECYGSQSPVGYDVGKVGNSDQPHISIETLIAEIQAKLTKKKRTRKRYCPVNSACSSRSEVQMHNKRVLSNQNEFSAKALGATPEEIWKQMFSSDALDKQSNHSDINREGIPITHKEQKAIIPYNMRYKKQNAIVPYNLRYKEQNAVVPYNMRYKEQNALVVYTDGTIVQFGPTKKQLPRPRVELDEETNRVWKLLLENINSEGIDGTDEEKVKWWEEERTVFQGRADSFIARMHLVQGDRRFSPWKGSVVDSVIGVFLTQNVSDHLSSSAFMTLAAHFPLKKSYYQEGTSLVNGAEFYVLEPEDTLKWDAKMSIQPVIDQSSTVNGYGHSEEKVVLNSKESFGSRTAIVCSINESKCMETIGRGTDCFKGDDETNDVLSSQNSIVSSENAANFSLVQTAETFSCSESNSVRADQTKGPTFDILDGSTSFVELLEMAGSARLHEIYCPQNKSIGDKDKRSKFQNDQRENCHNAECPKSFTREDVTPSPNYHPHLAPNSEESEIEHLEIFKEETRFSEASKTKDENMKKGESPLTEESAYHTENKNDSTICVQVAPQSSNESNQPSHITQHAETIVYHCQMRLLQNPRNLVELPASPQNEEMLRLKPSKHSEGILDITESSAFDSKKSPQQKMQESSLYINNSSSNKELNQINARSLKSKGRNAKKEKNDDIDWDSLRKQAEADGRKRERTMKTRDSLDWDAVRCTDVNEIAETIKDRGMNNMLAERIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENRKPGHNHAVIIDQKALPLPQPIEQSDRNCQPEANQQLQAKSWVNNCSHNHAVIIDQQALPLLQPIEQSDRNCQSEANRQLQAKSRVNNCDPIIEEPASPEPECTQVAENDIEDMFYEDPDEIPTIKLDMEEFTQNLHNYMQNNTELQEGDMSKALVALTAEAASIRTPKLKNFSRLRTEHQVYELPDSHPLLKELDKREADDPCKYLLAIWTPGETPNSIQHPQSRCNLQEHAKLCNEKTCFSCNNIREAESQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPREWLWNLPRRMVYFGTSIPSIFKGLTTVEIQHCFWRGFVCVRGFDQKSRAPRPLMARLHFPASKLTRGKGKGAAEYE >KJB36433 pep chromosome:Graimondii2_0_v6:6:41758352:41773807:-1 gene:B456_006G158300 transcript:KJB36433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEGQEQFQFQRPLIPVTRFRPILPKPPVIHAGRQGNQIFEANCIGSERCSYGFTQEPQADRVVACSDSSICIEVNGGINNLKAASVGSNCISGYSNEQRQCSLNLGEPSNSSSADLLTLVNAASAKTTTAASLGIDRHHLRCSSTGLLPVHVNLSAQQHIWVDGNCTPRKHQNVIHSQNPYDLNLPAKTMDELSDFAPITPYKSKRAERKDVSEIDLHIENRTSEKRDEQANESAAANVDVNGLQCSKELQKLVIESSLAATPSKENQNPDDGGSHLIDLNRTPQQKQRRKKHRPKVIGEGKPRTRKSVTLKPSYSQENPTGKRNYVRKNNPSQDTSTPPGEANAENSTRKRKCVQTKGLNKDSTIPIQERENAPETLEQNKKSCIRALDFDEEGQDIGERSAFEPTCNLNSRTASFWKGDQSKYTMQLCGEPDVAAENTQTGNAYELNQSLKQKPKFFLSLPEDQAPGTPFPAKKNPPRKRSNTWHTVQDGLEKNGKKVWQSSARLPERSPNDSNCCTSLVLEGRQASELKINNSTASQQADISTLNSEGSHYNNLCRYLKIPEMQFANFSRRKRTVKRQNSAISSASSSITSVKSLMPAEACLVDNTEANPHRFSSSGVPANLEEASSFSLNKMQTFNCIMDSFPTESPKKKRTRGITRVRDLASLSGIAKCKRHAECYGSQSPVGYDVGKVGNSDQPHISIETLIAEIQAKLTKKKRTRKRYCPVNSACSSRSEVQMHNKRVLSNQNEFSAKALGATPEEIWKQMFSSDALDKQSNHSDINREGIPITHKEQKAIIPYNMRYKKQNAIVPYNLRYKEQNAVVPYNMRYKEQNALVVYTDGTIVQFGPTKKQLPRPRVELDEETNRVWKLLLENINSEGIDGTDEEKVKWWEEERTVFQGRADSFIARMHLVQGDRRFSPWKGSVVDSVIGVFLTQNVSDHLSSSAFMTLAAHFPLKKSYYQEGTSLVNGAEFYVLEPEDTLKWDAKMSIQPVIDQSSTVNGYGHSEEKVVLNSKESFGSRTAIVCSINESKCMETIGRGTDCFKGDDETNDVLSSQNSIVSSENAANFSLVQTAETFSCSESNSVRADQTKGPTFDILDGSTSFVELLEMAGSARLHEIYCPQNKSIGDKDKRSKFQNDQRENCHNAECPKSFTREDVTPSPNYHPHLAPNSEESEIEHLEIFKEETRFSEASKTKDENMKKGESPLTEESAYHTENKNDSTICVQVAPQSSNESNQPSHITQHAETIVYHCQMRLLQNPRNLVELPASPQNEEMLRLKPSKHSEGILDITESSAFDSKKSPQQKMQESSLYINNSSSNKELNQINARSLKSKGRNAKKEKNDDIDWDSLRKQAEADGRKRERTMKTRDSLDWDAVRCTDVNEIAETIKDRGMNNMLAERIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSFRGLGLKSVECVRLLTLHHLAFPNSSFIFAWQVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENRKPGHNHAVIIDQKALPLPQPIEQSDRNCQPEANQQLQAKSWVNNCSHNHAVIIDQQALPLLQPIEQSDRNCQSEANRQLQAKSRVNNCDPIIEEPASPEPECTQVAENDIEDMFYEDPDEIPTIKLDMEEFTQNLHNYMQNNTELQEGDMSKALVALTAEAASIRTPKLKNFSRLRTEHQVYELPDSHPLLKELDKREADDPCKYLLAIWTPGETPNSIQHPQSRCNLQEHAKLCNEKTCFSCNNIREAESQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPREWLWNLPRRMVYFGTSIPSIFKGLTTVEIQHCFWRGFVCVRGFDQKSRAPRPLMARLHFPASKLTRGKGKGAAEYE >KJB36435 pep chromosome:Graimondii2_0_v6:6:41758259:41774574:-1 gene:B456_006G158300 transcript:KJB36435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEGQEQFQFQRPLIPVTRFRPILPKPPVIHAGRQGNQIFEANCIGSERCSYGFTQEPQADRVVACSDSSICIEVNGGINNLKAASVGSNCISGYSNEQRQCSLNLGEPSNSSSADLLTLVNAASAKTTTAASLGIDRHHLRCSSTGLLPVHVNLSAQQHIWVDGNCTPRKHQNVIHSQNPYDLNLPAKTMDELSDFAPITPYKSKRAERKDVSEIDLHIENRTSEKRDEQANESAAANVDVNGLQCSKELQKLVIESSLAATPSKENQNPDDGGSHLIDLNRTPQQKQRRKKHRPKVIGEGKPRTRKSVTLKPSYSQENPTGKRNYVRKNNPSQDTSTPPGEANAENSTRKRKCVQTKGLNKDSTIPIQERENAPETLEQNKKSCIRALDFDEEGQDIGERSAFEPTCNLNSRTASFWKGDQSKYTMQLCGEPDVAAENTQTGNAYELNQSLKQKPKFFLSLPEDQAPGTPFPAKKNPPRKRSNTWHTVQDGLEKNGKKVWQSSARLPERSPNDSNCCTSLVLEGRQASELKINNSTASQQADISTLNSEGSHYNNLCRYLKIPEMQFANFSRRKRTVKRQNSAISSASSSITSVKSLMPAEACLVDNTEANPHRFSSSGVPANLEEASSFSLNKMQTFNCIMDSFPTESPKKKRTRGITRVRDLASLSGIAKCKRHAECYGSQSPVGYDVGKVGNSDQPHISIETLIAEIQAKLTKKKRTRKRYCPVNSACSSRSEVQMHNKRVLSNQNEFSAKALGATPEEIWKQMFSSDALDKQSNHSDINREGIPITHKEQKAIIPYNMRYKKQNAIVPYNLRYKEQNAVVPYNMRYKEQNALVVYTDGTIVQFGPTKKQLPRPRVELDEETNRVWKLLLENINSEGIDGTDEEKVKWWEEERTVFQGRADSFIARMHLVQGDRRFSPWKGSVVDSVIGVFLTQNVSDHLSSSAFMTLAAHFPLKKSYYQEGTSLVNGAEFYVLEPEDTLKWDAKMSIQPVIDQSSTVNGYGHSEEKVVLNSKESFGSRTAIVCSINESKCMETIGRGTDCFKGDDETNDVLSSQNSIVSSENAANFSLVQTAETFSCSESNSVRADQTKGPTFDILDGSTSFVELLEMAGSARLHEIYCPQNKSIGDKDKRSKFQNDQRENCHNAECPKSFTREDVTPSPNYHPHLAPNSEESEIEHLEIFKEETRFSEASKTKDENMKKGESPLTEESAYHTENKNDSTICVQVAPQSSNESNQPSHITQHAETIVYHCQMRLLQNPRNLVELPASPQNEEMLRLKPSKHSEGILDITESSAFDSKKSPQQKMQESSLYINNSSSNKELNQINARSLKSKGRNAKKEKNDDIDWDSLRKQAEADGRKRERTMKTRDSLDWDAVRCTDVNEIAETIKDRGMNNMLAERIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENRKPGHNHAVIIDQKALPLPQPIEQSDRNCQPEANQQLQAKSWVNNCSHNHAVIIDQQALPLLQPIEQSDRNCQSEANRQLQAKSRVNNCDPIIEEPASPEPECTQVAENDIEDMFYEDPDEIPTIKLDMEEFTQNLHNYMQNNTELQEGDMSKALVALTAEAASIRTPKLKNFSRLRTEHQVYELPDSHPLLKELDKREADDPCKYLLAIWTPGETPNSIQHPQSRCNLQEHAKLCNEKTCFSCNNIREAESQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPREWLWNLPRRMVYFGTSIPSIFKGLTTVEIQHCFWRGFVCVRGFDQKSRAPRPLMARLHFPASKLTRGKGKGAAEYE >KJB36432 pep chromosome:Graimondii2_0_v6:6:41758352:41774198:-1 gene:B456_006G158300 transcript:KJB36432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEGQEQFQFQRPLIPVTRFRPILPKPPVIHAGRQGNQIFEANCIGSERCSYGFTQEPQADRVVACSDSSICIEVNGGINNLKAASVGSNCISGYSNEQRQCSLNLGEPSNSSSADLLTLVNAASAKTTTAASLGIDRHHLRCSSTGLLPVHVNLSAQQHIWVDGNCTPRKHQNVIHSQNPYDLNLPAKTMDELSDFAPITPYKSKRAERKDVSEIDLHIENRTSEKRDEQANESAAANVDVNGLQCSKELQKLVIESSLAATPSKENQNPDDGGSHLIDLNRTPQQKQRRKKHRPKVIGEGKPRTRKSVTLKPSYSQENPTGKRNYVRKNNPSQDTSTPPGEANAENSTRKRKCVQTKGLNKDSTIPIQERENAPETLEQNKKSCIRALDFDEEGQDIGERSAFEPTCNLNSRTASFWKGDQSKYTMQLCGEPDVAAENTQTGNAYELNQSLKQKPKFFLSLPEDQAPGTPFPAKKNPPRKRSNTWHTVQDGLEKNGKKVWQSSARLPERSPNDSNCCTSLVLEGRQASELKINNSTASQQADISTLNSEGSHYNNLCRYLKIPEMQFANFSRRKRTVKRQNSAISSASSSITSVKSLMPAEACLVDNTEANPHRFSSSGVPANLEEASSFSLNKMQTFNCIMDSFPTESPKKKRTRGITRVRDLASLSGIAKCKRHAECYGSQSPVGYDVGKVGNSDQPHISIETLIAEIQAKLTKKKRTRKRYCPVNSACSSRSEVQMHNKRVLSNQNEFSAKALGATPEEIWKQMFSSDALDKQSNHSDINREGIPITHKEQKAIIPYNMRYKKQNAIVPYNLRYKEQNAVVPYNMRYKEQNALVVYTDGTIVQFGPTKKQLPRPRVELDEETNRVWKLLLENINSEGIDGTDEEKVKWWEEERTVFQGRADSFIARMHLVQGDRRFSPWKGSVVDSVIGVFLTQNVSDHLSSSAFMTLAAHFPLKKSYYQEGTSLVNGAEFYVLEPEDTLKWDAKMSIQPVIDQSSTVNGYGHSEEKVVLNSKESFGSRTAIVCSINESKCMETIGRGTDCFKGDDETNDVLSSQNSIVSSENAANFSLVQTAETFSCSESNSVRADQTKGPTFDILDGSTSFVELLEMAGSARLHEIYCPQNKSIGDKDKRSKFQNDQRENCHNAECPKSFTREDVTPSPNYHPHLAPNSEESEIEHLEIFKEETRFSEASKTKDENMKKGESPLTEESAYHTENKNDSTICVQVAPQSSNESNQPSHITQHAETIVYHCQMRLLQNPRNLVELPASPQNEEMLRLKPSKHSEGILDITESSAFDSKKSPQQKMQESSLYINNSSSNKELNQINARSLKSKGRNAKKEKNDDIDWDSLRKQAEADGRKRERTMKTRDSLDWDAVRCTDVNEIAETIKDRGMNNMLAERIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENRKPGHNHAVIIDQKALPLPQPIEQSDRNCQPEANQQLQAKSWVNNCSHNHAVIIDQQALPLLQPIEQSDRNCQSEANRQLQAKSRVNNCDPIIEEPASPEPECTQVAENDIEDMFYEDPDEIPTIKLDMEEFTQNLHNYMQNNTELQEGDMSKALVALTAEAASIRTPKLKNFSRLRTEHQVYELPDSHPLLKELDKREADDPCKYLLAIWTPGETPNSIQHPQSRCNLQEHAKLCNEKTCFSCNNIREAESQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPREWLWNLPRRMVYFGTSIPSIFKGLTTVEIQHCFWRGFVCVRGFDQKSRAPRPLMARLHFPASKLTRGKGKGAAEYE >KJB36431 pep chromosome:Graimondii2_0_v6:6:41758352:41774498:-1 gene:B456_006G158300 transcript:KJB36431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEGQEQFQFQRPLIPVTRFRPILPKPPVIHAGRQGNQIFEANCIGSERCSYGFTQEPQADRVVACSDSSICIEVNGGINNLKAASVGSNCISGYSNEQRQCSLNLGEPSNSSSADLLTLVNAASAKTTTAASLGIDRHHLRCSSTGLLPVHVNLSAQQHIWVDGNCTPRKHQNVIHSQNPYDLNLPAKTMDELSDFAPITPYKSKRAERKDVSEIDLHIENRTSEKRDEQANESAAANVDVNGLQCSKELQKLVIESSLAATPSKENQNPDDGGSHLIDLNRTPQQKQRRKKHRPKVIGEGKPRTRKSVTLKPSYSQENPTGKRNYVRKNNPSQDTSTPPGEANAENSTRKRKCVQTKGLNKDSTIPIQERENAPETLEQNKKSCIRALDFDEEGQDIGERSAFEPTCNLNSRTASFWKGDQSKYTMQLCGEPDVAAENTQTGNAYELNQSLKQKPKFFLSLPEDQAPGTPFPAKKNPPRKRSNTWHTVQDGLEKNGKKVWQSSARLPERSPNDSNCCTSLVLEGRQASELKINNSTASQQADISTLNSEGSHYNNLCRYLKIPEMQFANFSRRKRTVKRQNSAISSASSSITSVKSLMPAEACLVDNTEANPHRFSSSGVPANLEEASSFSLNKMQTFNCIMDSFPTESPKKKRTRGITRVRDLASLSGIAKCKRHAECYGSQSPVGYDVGKVGNSDQPHISIETLIAEIQAKLTKKKRTRKRYCPVNSACSSRSEVQMHNKRVLSNQNEFSAKALGATPEEIWKQMFSSDALDKQSNHSDINREGIPITHKEQKAIIPYNMRYKKQNAIVPYNLRYKEQNAVVPYNMRYKEQNALVVYTDGTIVQFGPTKKQLPRPRVELDEETNRVWKLLLENINSEGIDGTDEEKVKWWEEERTVFQGRADSFIARMHLVQGDRRFSPWKGSVVDSVIGVFLTQNVSDHLSSSAFMTLAAHFPLKKSYYQEGTSLVNGAEFYVLEPEDTLKWDAKMSIQPVIDQSSTVNGYGHSEEKVVLNSKESFGSRTAIVCSINESKCMETIGRGTDCFKGDDETNDVLSSQNSIVSSENAANFSLVQTAETFSCSESNSVRADQTKGPTFDILDGSTSFVELLEMAGSARLHEIYCPQNKSIGDKDKRSKFQNDQRENCHNAECPKSFTREDVTPSPNYHPHLAPNSEESEIEHLEIFKEETRFSEASKTKDENMKKGESPLTEESAYHTENKNDSTICVQVAPQSSNESNQPSHITQHAETIVYHCQMRLLQNPRNLVELPASPQNEEMLRLKPSKHSEGILDITESSAFDSKKSPQQKMQESSLYINNSSSNKELNQINARSLKSKGRNAKKEKNDDIDWDSLRKQAEADGRKRERTMKTRDSLDWDAVRCTDVNEIAETIKDRGMNNMLAERIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENRKPGHNHAVIIDQKALPLPQPIEQSDRNCQPEANQQLQAKSWVNNCSHNHAVIIDQQALPLLQPIEQSDRNCQSEANRQLQAKSRVNNCDPIIEEPASPEPECTQVAENDIEDMFYEDPDEIPTIKLDMEEFTQNLHNYMQNNTELQEGDMSKALVALTAEAASIRTPKLKNFSRLRTEHQVYELPDSHPLLKELDKREADDPCKYLLAIWTPGETPNSIQHPQSRCNLQEHAKLCNEKTCFSCNNIREAESQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPREWLWNLPRRMVYFGTSIPSIFKGLTTVEIQHCFWRGFVCVRGFDQKSRAPRPLMARLHFPASKLTRGKGKGAAEYE >KJB33261 pep chromosome:Graimondii2_0_v6:6:903333:909812:1 gene:B456_006G004600 transcript:KJB33261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRRAMSGRIMDRTAVVGARYMSSWWRSVQPAPKDPILGVTEAFLADQSADKVNVGVGAYRDDNGKPVVLECVREAERRIAGNLNMEYLPMGGSVKMVEETLKLAYGENSELIKEKRIAAVQALSGTGACRLFADFQKRFCPDSQIYIPVPTWANHHNIWRDAQVPQKTYHYYHPESKGLDFAAMIDDIKAKGHFAFFDMAYQGFASGDPEKDAKAIRIFLEDGHHIGIAQSYAKNMGLYGQRVGCLSVLCEDEKQAVAVKSQLQQLARPMYSNPPVHGALIVSTILSDPDLKKQWLKEVKVMADRIIGMRTALRGNLEKLGSPLSWQHITNQIGMFCYSGLTPEQVDRLTNEFHIYMTRNGRISMAGVTTGNVEYLANAIHEVTK >KJB33262 pep chromosome:Graimondii2_0_v6:6:904812:909812:1 gene:B456_006G004600 transcript:KJB33262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRICLQGAYRDDNGKPVVLECVREAERRIAGNLNMEYLPMGGSVKMVEETLKLAYGENSELIKEKRIAAVQALSGTGACRLFADFQKRFCPDSQIYIPVPTWANHHNIWRDAQVPQKTYHYYHPESKGLDFAAMIDDIKNAPNGSFFLLHACAHNPTGVDPSEEQWREISHHIKAKGHFAFFDMAYQGFASGDPEKDAKAIRIFLEDGHHIGIAQSYAKNMGLYGQRVGCLSVLCEDEKQAVAVKSQLQQLARPMYSNPPVHGALIVSTILSDPDLKKQWLKEVKVMADRIIGMRTALRGNLEKLGSPLSWQHITNQIGMFCYSGLTPEQVDRLTNEFHIYMTRNGRISMAGVTTGNVEYLANAIHEVTK >KJB33260 pep chromosome:Graimondii2_0_v6:6:903266:909812:1 gene:B456_006G004600 transcript:KJB33260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRRAMSGRIMDRTAVVGARYMSSWWRSVQPAPKDPILGVTEAFLADQSADKVNVGVGAYRDDNGKPVVLECVREAERRIAGNLNMEYLPMGGSVKMVEETLKLAYGENSELIKEKRIAAVQALSGTGACRLFADFQKRFCPDSQIYIPVPTWANHHNIWRDAQVPQKTYHYYHPESKGLDFAAMIDDIKNAPNGSFFLLHACAHNPTGVDPSEEQWREISHHIKAKGHFAFFDMAYQGFASGDPEKDAKAIRIFLEDGHHIGIAQSYAKNMGLYGQRVGCLSVLCEDEKQAVAVKSQLQQLARPMYSNPPVHGALIVSTILSDPDLKKQWLKEVKVMADRIIGMRTALRGNLEKLGSPLSWQHITNQIGMFCYSGLTPEQVDRLTNEFHIYMTRNGRISMAGVTTGNVEYLANAIHEVTK >KJB33345 pep chromosome:Graimondii2_0_v6:6:1539660:1545890:-1 gene:B456_006G007400 transcript:KJB33345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEMSLSGVSGAFRQVLFISAGASHSVALLSGNIICSWGRGEDGQLGHGDAEDRLSPTRLSALDGHDIISITCGADHTIAYSLSNAEVYSWGWGDFGRLGHGNSSDLFTPQPIKALHGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGTTEDSPVPQKIKAFQGISIKMVAAGAEHTAAISKEGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNEEKMNIVACGWRHTISVSVIGGLYTYGWSKYGQLGHGDFEDHLVPYKVEALADSSIKQISGGWRHTMALTSDGKLYGWGWNKVENENKLSLVYIFEIHSPILNSGEITPLNMPYHYIASVCFLIH >KJB33341 pep chromosome:Graimondii2_0_v6:6:1537530:1545890:-1 gene:B456_006G007400 transcript:KJB33341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEMSLSGVSGAFRQVLFISAGASHSVALLSGNIICSWGRGEDGQLGHGDAEDRLSPTRLSALDGHDIISITCGADHTIAYSLSNAEVYSWGWGDFGRLGHGNSSDLFTPQPIKALHGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGTTEDSPVPQKIKAFQGISIKMVAAGAEHTAAISKEGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNEEKMNIVACGWRHTISVSVIGGLYTYGWSKYGQLGHGDFEDHLVPYKVEALADSSIKQISGGWRHTMALTSDGKLYGWGWNKFGQVGVGDNTDICSPVQVKFPNDQKVVQVSCGWRHTLAITEEQNIFSWGRGTNGQLGHGESMDWNFPKIIEALSVEGSSGQQIESSKLDPL >KJB33342 pep chromosome:Graimondii2_0_v6:6:1537530:1545890:-1 gene:B456_006G007400 transcript:KJB33342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEMSLSGVSGAFRQVLFISAAGNIICSWGRGEDGQLGHGDAEDRLSPTRLSALDGHDIISITCGADHTIAYSLSNAEVYSWGWGDFGRLGHGNSSDLFTPQPIKALHGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGTTEDSPVPQKIKAFQGISIKMVAAGAEHTAAISKEGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNEEKMNIVACGWRHTISVSVIGGLYTYGWSKYGQLGHGDFEDHLVPYKVEALADSSIKQISGGWRHTMALTSDGKLYGWGWNKFGQVGVGDNTDICSPVQVKFPNDQKVVQVSCGWRHTLAITEEQNIFSWGRGTNGQLGHGESMDWNFPKIIEALSVEGSSGQQIESSKLDPLSGKSWVSPVERYAVVPGESGQTVHSEKGNGGDVDVPQNDAKRMRM >KJB33340 pep chromosome:Graimondii2_0_v6:6:1537412:1545988:-1 gene:B456_006G007400 transcript:KJB33340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEMSLSGVSGAFRQVLFISAGASHSVALLSGNIICSWGRGEDGQLGHGDAEDRLSPTRLSALDGHDIISITCGADHTIAYSLSNAEVYSWGWGDFGRLGHGNSSDLFTPQPIKALHGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGTTEDSPVPQKIKAFQGISIKMVAAGAEHTAAISKEGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNEEKMNIVACGWRHTISVSVIGGLYTYGWSKYGQLGHGDFEDHLVPYKVEALADSSIKQISGGWRHTMALTSDGKLYGWGWNKFGQVGVGDNTDICSPVQVKFPNDQKVVQVSCGWRHTLAITEEQNIFSWGRGTNGQLGHGESMDWNFPKIIEALSVEGSSGQQIESSKLDPLSGKSWVSPVERYAVVPGESGQTVHSEKGNGGDVDVPQNDAKRMRM >KJB33344 pep chromosome:Graimondii2_0_v6:6:1537530:1545890:-1 gene:B456_006G007400 transcript:KJB33344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEMSLSGVSGAFRQVLFISAGASHSVALLSGNIICSWGRGEDGQLGHGDAEDRLSPTRLSALDGHDIISITCGADHTIAYSLSNAEVYSWGWGDFGRLGHGNSSDLFTPQPIKALHGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGTTEDSPVPQKIKAFQGISIKMVAAGAEHTAAISKEGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNEEKMNIVACGWRHTISVSVIGGLYTYGWSKYGQLGHGDFEDHLVPYKVEALADSSIKQISGGWRHTMALTSDGKLYGWGWNKFGQVGVGDNTDICSPVQVKFPNDQVSCGWRHTLAITEEQNIFSWGRGTNGQLGHGESMDWNFPKIIEALSVEGSSGQQIESSKLDPLSGKSWVSPVERYAVVPGESGQTVHSEKGNGGDVDVPQNDAKRMRM >KJB33343 pep chromosome:Graimondii2_0_v6:6:1537530:1545890:-1 gene:B456_006G007400 transcript:KJB33343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEMSLSGVSGAFRQVLFISAGASHSVALLSGNIICSWGRGEDGQLGHGDAEDRLSPTRLSALDGHDIISITCGADHTIAYSLSNAEVYSWGWGDFGRLGHGNSSDLFTPQPIKALHGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGTTEDSPVPQKIKAFQGISIKMVAAGAEHTAAISKEGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNEEKMNIVACGWRHTISVSVIGGLYTYGWSKYGQLGHGDFEDHLVPYKVEALADSSIKQISGGWRHTMALTSDGKLYGWGWNKFGQVGVGDNTDICSPVQVKFPNDQKVVQVSCGWRHTLAITEEQNIFSWGRGTNGQLGHGESMDWNFPKIIEALSVEGSSGQQIESSKLDPLSVERYAVVPGESGQTVHSEKGNGGDVDVPQNDAKRMRM >KJB35375 pep chromosome:Graimondii2_0_v6:6:35932264:35937880:-1 gene:B456_006G111800 transcript:KJB35375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHRVGETGLTDSGPSSNHHHHHNIPYAVLHGMNVPPSFMHQEGSAFDFGELEEAIVLQGVKIRNDEAKPPLFTAGRPAATLEMFPSWPMRFQQTPRGSSKSGEESTDSGSGVNTISSKTENQVEPESPISKKTSSLDQQQQVEMASDISRTGTSQNQSAAAKTPQEKRRGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDLQRARSQGFFLGGCAGTVGNISSGAAIFDMEYSRWLEDDQRHMSELRTGLNAHLSDSDLRIIVDSYISHYDEIFRLKVAAAKADVFHLITGMWTTPAERCFLWMGGFRPSDLIKMLISQLDPLTEQQVMGIYSLQHSSQQAEEALTQGLEQLQQSLTDTIAGGPVIDGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLSRILTVRQAARCFLMIGEYYGRLRALSSLWASRPRESMMSEDHSCQTTTELQMVQPSQNHFSNF >KJB35378 pep chromosome:Graimondii2_0_v6:6:35933415:35937370:-1 gene:B456_006G111800 transcript:KJB35378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQFWFHRHQEGSAFDFGELEEAIVLQGVKIRNDEAKPPLFTAGRPAATLEMFPSWPMRFQQTPRGSSKSGEESTDSGSGVNTISSKTENQVEPESPISKKTSSLDQQQQVEMASDISRTGTSQNQSAAAKTPQEKRRGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDLQRARSQGFFLGGCAGTVGNISSGAAIFDMEYSRWLEDDQRHMSELRTGLNAHLSDSDLRIIVDSYISHYDEIFRLKVAAAKADVFHLITGMWTTPAERCFLWMGGFRPSDLIKMLISQLDPLTEQQVMGIYSLQHSSQQAEEALTQGLEQLQQSLTDTIAGGPVIDGMQQMAVALGKLANLEGFVRQVFKFCHHFTS >KJB35376 pep chromosome:Graimondii2_0_v6:6:35932297:35937880:-1 gene:B456_006G111800 transcript:KJB35376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHRVGETGLTDSGPSSNHHHHHNIPYAVLHGMNVPPSFMHQEGSAFDFGELEEAIVLQGVKIRNDEAKPPLFTAGRPAATLEMFPSWPMRFQQTPRGSSKSGEESTDSGSGVNTISSKTENQVEPESPISKKTSSLDQQQQVEMASDISRTGTSQNQSAAAKTPQEKRRGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDLQRARSQGFFLGGCAGTVGNISSGAAIFDMEYSRWLEDDQRHMSELRTGLNAHLSDSDLRIIVDSYISHYDEIFRLKVAAAKADVFHLITGMWTTPAERCFLWMGGFRPSDLIKMLISQLDPLTEQQVMGIYSLQHSSQQAEEALTQGLEQLQQSLTDTIAGGPVIDGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLSRILTVRQAARCFLMIGEYYGRLRALSSLWASRPRDLYDVGA >KJB35377 pep chromosome:Graimondii2_0_v6:6:35932553:35937370:-1 gene:B456_006G111800 transcript:KJB35377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQFWFHRHQEGSAFDFGELEEAIVLQGVKIRNDEAKPPLFTAGRPAATLEMFPSWPMRFQQTPRGSSKSGEESTDSGSGVNTISSKTENQVEPESPISKKTSSLDQQQQVEMASDISRTGTSQNQSAAAKTPQEKRRGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDLQRARSQGFFLGGCAGTVGNISSGAAIFDMEYSRWLEDDQRHMSELRTGLNAHLSDSDLRIIVDSYISHYDEIFRLKVAAAKADVFHLITGMWTTPAERCFLWMGGFRPSDLIKV >KJB33840 pep chromosome:Graimondii2_0_v6:6:8792915:8796830:1 gene:B456_006G033500 transcript:KJB33840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKVLWMNPADHEISYANNSFYQKEVLAKVRPIVEEAITDMLKKIGVPTCMKVVDMGCASGPNTFQAISHVIDTVHGMCQQEELPEFEVLLNDLPGNDFNSVFKCFIRGVAGSFYHRLFPSTSLHFVHSSNGLHWLSKLPVGLENNKGNICMARSSPPNIFKAYANQLQEDFTNFLSSRSKEIICQGCMVLTFVVRRNPNPSHEHHCLELLAKSLLDLVAQGIVKEADVDSFNLPVYLPYKEEVVEIVEKEGSFEIKQLQLFIMDTDPLSKDEKVRNKEFYVKMGNNIVNTFKAGLKPILYCHFGDAILDELFRKFASHVADDPNSSMHQMVTLVVSLTKKIVLSKGT >KJB38599 pep chromosome:Graimondii2_0_v6:6:50348640:50351207:-1 gene:B456_006G264400 transcript:KJB38599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVATIVGGAAGTLALMAVIMGFFWFFKSCKSFSNRASETGSSDPSALTEWNRGVGPSSSAGPSLYGPQGAREFMLEELEQATKQFAESSLIGYGSFGLVYKGLLRDVVVAIKRHPGIPRPEFVAGVMYLSEIQHRNLVTLLGYCQEGGSQMLVYEYLPNGSMCNHLYDTGREAPTRLEFKERLSIALGAARGLCHLHGLKPPLIHKNFKTGNVLVDEDFIVKVADAGVSMLLEKIEEASPSYTSSVNVFRDPEVEVLGSFTETSDVYSFGAFLLELITGQDEAMRINYMGSNESLIQWAELQ >KJB38600 pep chromosome:Graimondii2_0_v6:6:50348594:50350772:-1 gene:B456_006G264400 transcript:KJB38600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVATIVGGAAGTLALMAVIMGFFWFFKSCKSFSNRASETGSSDPSALTEWNRGVGPSSSAGPSLYGPQGAREFMLEELEQATKQFAESSLIGYGSFGLVYKGLLRDVVVAIKRHPGIPRPEFVAGVMYLSEIQHRNLVTLLGYCQEGGSQMLVYEYLPNGSMCNHLYDTGREAPTRLEFKERLSIALGAARGLCHLHGLKPPLIHKNFKTGNVLVDEDFIVKVADAGVSMLLEKIEEASPSYTSSVNVFRDPEVEVLGSFTETSDVYSFGAFLLELITGQDEAMRINYMGSNESLIQWVQSRLSCNDFVDHRLVGSFTMAGIKDMIKLALKCMSFPGERRPDMNTVSIELERIRENEMEMTTVKGEGTAKIALGSELFASK >KJB38596 pep chromosome:Graimondii2_0_v6:6:50348841:50350386:-1 gene:B456_006G264400 transcript:KJB38596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVATIVGGAAGTLALMAVIMGFFWFFKSCKSFSNRASETGSSDPSALTEWNRGVGPSSSAGPSLYGPQGAREFMLEELEQATKQFAESSLIGYGSFGLVYKGLLRDVVVAIKRHPGIPRPEFVAGVMYLSEIQHRNLVTLLGYCQEGGSQMLVYEYLPNGSMCNHLYGNGSLNKIDSLHDTMALPFRVFVSADTGREAPTRLEFKERLSIALGAARGLCHLHGLKPPLIHKNFKTGNVLVDEDFIVKVADAGVSMLLEKIEEASPSYTSSVNVFRDPEVEVLGSFTETSDVYSFGAFLLELITGQDEAMRINYMGSNESLIQWVQSRLSCNDFVDHRLVGSFTMAGIKDMIKLALKCMSFPGERRPDMNTVSIELERIRENEMEMTTVKGEGTAKIALGSELFASK >KJB38593 pep chromosome:Graimondii2_0_v6:6:50348841:50350386:-1 gene:B456_006G264400 transcript:KJB38593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVATIVGGAAGTLALMAVIMGFFWFFKSCKSFSNRASETGSSDPSALTEWNRGVGPSSSAGPSLYGPQGAREFMLEELEQATKQFAESSLIGYGSFGLVYKGLLRDVVVAIKRHPGIPRPEFVAGVMYLSEIQHRNLVTLLGYCQEGGSQMLVYEYLPNGSMCNHLYDTGREAPTRLEFKERLSIALGAARGLCHLHGLKPPLIHKNFKTGNVLVDEDFIVKVADAGVSMLLEKIEEASPSYTSSVNVFRDPEVEVLGSFTETSDVYSFGAFLLELITGQDEAMRINYMGSNESLIQWVQSRLSCNDFVDHRLVGSFTMAGIKDMIKLALKCMSFPGERRPDMNTVSIELERIRENEMEMTTVKGEGTAKIALGSELFASK >KJB38594 pep chromosome:Graimondii2_0_v6:6:50348841:50349849:-1 gene:B456_006G264400 transcript:KJB38594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSEIQHRNLVTLLGYCQEGGSQMLVYEYLPNGSMCNHLYGNGSLNKIDSLHDTMALPFRVFVSADTGREAPTRLEFKERLSIALGAARGLCHLHGLKPPLIHKNFKTGNVLVDEDFIVKVADAGVSMLLEKIEEASPSYTSSVNVFRDPEVEVLGSFTETSDVYSFGAFLLELITGQDEAMRINYMGSNESLIQWVQSRLSCNDFVDHRLVGSFTMAGIKDMIKLALKCMSFPGERRPDMNTVSIELERIRENEMEMTTVKGEGTAKIALGSELFASK >KJB38597 pep chromosome:Graimondii2_0_v6:6:50348594:50350772:-1 gene:B456_006G264400 transcript:KJB38597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVATIVGGAAGTLALMAVIMGFFWFFKSCKSFSNRASETGSSDPSALTEWNRGVGPSSSAGPSLYGPQGAREFMLEELEQATKQFAESSLIGYGSFGLVYKGLLRDVVVAIKRHPGIPRPEFVAGVMYLSEIQHRNLVTLLGYCQEGGSQMLVYEYLPNGNTGREAPTRLEFKERLSIALGAARGLCHLHGLKPPLIHKNFKTGNVLVDEDFIVKVADAGVSMLLEKIEEASPSYTSSVNVFRDPEVEVLGSFTETSDVYSFGAFLLELITGQDEAMRINYMGSNESLIQWVQSRLSCNDFVDHRLVGSFTMAGIKDMIKLALKCMSFPGERRPDMNTVSIELERIRENEMEMTTVKGEGTAKIALGSELFASK >KJB38598 pep chromosome:Graimondii2_0_v6:6:50348640:50351207:-1 gene:B456_006G264400 transcript:KJB38598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVATIVGGAAGTLALMAVIMGFFWFFKSCKSFSNRASETGSSDPSALTEWNRGVGPSSSAGPSLYGPQGAREFMLEELEQATKQFAESSLIGYGSFGLVYKGLLRDVVVAIKRHPGIPRPEFVAGVMYLSEIQHRNLVTLLGYCQEGGSQMLVYEYLPNGSMCNHLYDTGREAPTRLEFKERLSIALGAARGLCHLHGLKPPLIHKNFKTGNVLVDEDFIVKVADAGVSMLLEKIEEASPSYTSSVNVFRDPEVEVLGSFTETSDVYSFGAFLLELITGQDEAMRINYMGSNESLIQWAELQ >KJB38601 pep chromosome:Graimondii2_0_v6:6:50348841:50350386:-1 gene:B456_006G264400 transcript:KJB38601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVATIVGGAAGTLALMAVIMGFFWFFKSCKSFSNRASETGSSDPSALTEWNRGVGPSSSAGPSLYGPQGAREFMLEELEQATKQFAESSLIGYGSFGLVYKGLLRDVVVAIKRHPGIPRPEFVAGVMYLSEIQHRNLVTLLGYCQEGGSQMLVYEYLPNGSMCNHLYDTGREAPTRLEFKERLSIALGAARGLCHLHGLKPPLIHKNFKTGNVLVDEDFIVKVADAGVSMLLEKIEEASPSYTSSVNVFRDPEVEVLGSFTETSDVYSFGAFLLELITGQDEAMRINYMGSNESLIQWVQSRLSCNDFVDHRLVGSFTMAGIKDMIKLALKCMSFPGERRPDMNTVSIELERIRENEMEMTTVKGEGTAKIALGSELFASK >KJB38595 pep chromosome:Graimondii2_0_v6:6:50348571:50350868:-1 gene:B456_006G264400 transcript:KJB38595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVATIVGGAAGTLALMAVIMGFFWFFKSCKSFSNRASETGSSDPSALTEWNRGVGPSSSAGPSLYGPQGAREFMLEELEQATKQFAESSLIGYGSFGLVYKGLLRDVVVAIKRHPGIPRPEFVAGVMYLSEIQHRNLVTLLGYCQEGGSQMLVYEYLPNGSMCNHLYDTGREAPTRLEFKERLSIALGAARGLCHLHGLKPPLIHKNFKTGNVLVDEDFIVKVADAGVSMLLEKIEEASPSYTSSVNVFRDPEVEVLGSFTETSDVYSFGAFLLELITGQDEAMRINYMGSNESLIQWVQSRLSCNDFVDHRLVGSFTMAGIKDMIKLALKCMSFPGERRPDMNTVSIELERIRENEMEMTTVKGEGTAKIALGSELFASK >KJB36032 pep chromosome:Graimondii2_0_v6:6:39365822:39369517:1 gene:B456_006G137100 transcript:KJB36032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLYLSFLLVWFLSKSFLGEAQLNDQATLLAIKEELGGVAGWGDNQTDYCRWEGVRCGLNHSLVERLDLSRRMLRGKIPQELEMLAKLRDFQISGNRLNGSIPHWVGRLTNLRVFTAYENQLVGKIPDNLGLVSELVLLNLHSNQLDGSIPKSIFAMGKLEILVLTQNGLTGELPEEVGNCRGLSSVRIGNNKLVGTIPRTIGNDTSLTYFEADNNNLSGEIVSEFALCTNLTLLNLAYNGFTGIIPPEIGQLMNLQELILSGNSLSGDIPRSILGCKNLNKLDLSENQFNGTIPNKICNMTRLQYLLLDQNSFKGEIPHEIGNCVKLLHLQLGNNFFTGSIPPEIGRIRNLQIALNLSFNRLHGQLPSELGKLDKLVSLDVSSNQLTGNIPPAFKGMLSLIEVNFSNNFLTGPIPTFVPFQKSPDSSFQANKGLCGEPLSFTCANSDPADYHHTVSYRIILAVIGSGLAVFVSVSVVVVLFMMRERQEKATRSVEIEDEGTSDQPTIIAGTVFVENLRQAVDLDAVVKATLKDSNKINNGTFSTSYKAVMPSGLILSVKRLKSMDRTIVHHQNKMIRELERLSKLCHDNLVHPIGFVIYEDVALLLHHYLPNGTLAQLLHESSQQSEYQPDWPKRLSIAIGVAEGLAFLHHVAVIHLDISSSNVLLDADFKPLVGEIEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTTPGNVYSYGVVLLEILTTRLPVDEDFGEGVDLVKWVHGARARGETPEQILDARLSTVSFWWRREMLAALKVALLCTDTTPAKRPKMKKVVEMLQEVRQN >KJB36033 pep chromosome:Graimondii2_0_v6:6:39365894:39369455:1 gene:B456_006G137100 transcript:KJB36033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLYLSFLLVWFLSKSFLGEAQLNDQATLLAIKEELGGVAGWGDNQTDYCRWEGVRCGLNHSLVERLDLSRRMLRGNVTLISNLKALKELDLSYNNFNGRIPSAFGNLSELEYLDLSLNKFEGSIPVELGGLRNLRSLNLSNNFLVGKIPQELEMLAKLRDFQISGNRLNGSIPHWVGRLTNLRVFTAYENQLVGKIPDNLGLVSELVLLNLHSNQLDGSIPKSIFAMGKLEILVLTQNGLTGELPEEVGNCRGLSSVRIGNNKLVGTIPRTIGNDTSLTYFEADNNNLSGEIVSEFALCTNLTLLNLAYNGFTGIIPPEIGQLMNLQELILSGNSLSGDIPRSILGCKNLNKLDLSENQFNGTIPNKICNMTRLQYLLLDQNSFKGEIPHEIGNCVKLLHLQLGNNFFTGSIPPEIGRIRNLQIALNLSFNRLHGQLPSELGKLDKLVSLDVSSNQLTGNIPPAFKGMLSLIEVNFSNNFLTGPIPTFVPFQKSPDSSFQANKGLCGEPLSFTCANSDPADYHHTVSYRIILAVIGSGLAVFVSVSVVVVLFMMRERQEKATRSVEIEDEGTSDQPTIIAGTVFVENLRQAVDLDAVVKATLKDSNKINNGTFSTSYKAVMPSGLILSVKRLKSMDRTIVHHQNKMIRELERLSKLCHDNLVHPIGFVIYEDVALLLHHYLPNGTLAQLLHESSQQSEYQPDWPKRLSIAIGVAEGLAFLHHVAVIHLDISSSNVLLDADFKPLVGEIEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTTPGNVYSYGVVLLEILTTRLPVDEDFGEGVDLVKWVHGARARGETPEQILDARLSTVSFWWRREMLAALKVALLCTDTTPAKRPKMKKVVEMLQEVRQN >KJB35815 pep chromosome:Graimondii2_0_v6:6:38293766:38295689:1 gene:B456_006G129300 transcript:KJB35815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSLPLQTLKIGIVGFGTFGQFLAKTMIKQGHTTRATSRTDYSQLCHQLDVPFFRDVIPFLEADNDVILICTSILSLSEVLNSMPLRRLKRHTLFVDVLSVKEHPRNVLLQVLPENMDVLCTHPMFGPESGKNGWKDLPLVYEKVRVRNETRCSSFLHIFESEGCRMVEMSCEEHDKVAARSQFLSHSIGRILAEMGIESTSMNTKSFETLVKLKESATNDSFDLFSGLFIHNRFAQQELMNLEQSFEKVKQRLLKKMSEQQSLSSV >KJB38715 pep chromosome:Graimondii2_0_v6:6:50617940:50622004:1 gene:B456_006G268100 transcript:KJB38715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCRSGVPTYEGDLHVVAAAHHIVKALGATKNLSDDLRKILIDLDSHLSMITSNIDSKGGRGLVDVEERLDQAERKIMRWETDPMMIWDSGPKEASDYLEAVDETGKLVDGLRGLSVHENRKQKEILHRAMNIFQTAMSRLEEELIHILVRHGQQFEPKNMYSRSIRKDIVYDESFVSVEDEPTKETSSQNCSNDESGECIVDLVHADVIPDIKSIAKVMFTSNYGPEFCEAFISVQKEALEQYFSFLGTENLSIEDVLKMEWTCLSSKMNKWTWSMKIIVRVYLASEKQLCDQVLGGLGSVSSYCFLEISKATILCLLNFGEAVAMGPHRPEKLLRLLDMYETLADLLRDIDTLFSEEAGSFIQLQFHELLERLGESAIAAFKAFRVAISSNGSLYPFAGGGVHPLNKYVMNYIRMFPEYWNTLNLLLKNQHPGVADQVTKPDYGPDTSLLTSCPMAYHLRSITSCLESNLHKKSQLYKDEALQHIFLMNNIHYLVQKVKGSELRLFFGDEWIRKHNAMFQQHAMNYERATWSSVVSFLKDNNPGSSSMSKSTFKERCKGFSIAFEEVYKTQTSWSIPDPQLREDLRISTSLKVVLAYRTFLGRNLAYTDDKCVKHTVEHVENSLLDLFGGSSRSLRNSRRW >KJB33853 pep chromosome:Graimondii2_0_v6:6:9145380:9147535:1 gene:B456_006G034500 transcript:KJB33853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNGFFSTQDFSIDAKWLIDPRQLFVGPKIGEGAHAKVYEGKYKNQTVAIKVVRRGETPEEIARREGRFAREVAMLSRVQHKNLVKFIGACKEPIMVIVTELLLGGTLRKYLLNMRPKCLDMRVVIGFALDIARAMECLHSHGIIHRDLKPENLILTEDHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKVMIWSLVLE >KJB33854 pep chromosome:Graimondii2_0_v6:6:9145153:9148446:1 gene:B456_006G034500 transcript:KJB33854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNGFFSTQDFSIDAKWLIDPRQLFVGPKIGEGAHAKVYEGKYKNQTVAIKVVRRGETPEEIARREGRFAREVAMLSRVQHKNLVKFIGACKEPIMVIVTELLLGGTLRKYLLNMRPKCLDMRVVIGFALDIARAMECLHSHGIIHRDLKPENLILTEDHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSADDLPEDLALIVTSCWQEDPNARPNFSQIIQMLLRYLSIISPVEQVMPPKRTTSENAVLPPESPGTSSLMGARDDVGETPKATEENRARGFFCFNWCC >KJB33852 pep chromosome:Graimondii2_0_v6:6:9145565:9148275:1 gene:B456_006G034500 transcript:KJB33852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNGFFSTQDFSIDAKWLIDPRQLFVGPKIGEGAHAKVYEGKYKNQTVAIKVVRRGETPEEIARREGRFAREVAMLSRVQHKNLVKFIGACKEPIMVIVTELLLGGTLRKYLLNMRPKCLDMRVVIGFALDIARAMECLHSHGIIHRDLKPENLILTEDHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSADDLPEDLALIVTSCWQEDPNARPNFSQIIQMLLRYLSIISPVEQVMPPKRTTSENAVLPPESPGTSSLMGARDDVGETPKATEENRARGFFCFNWCC >KJB35925 pep chromosome:Graimondii2_0_v6:6:38909413:38911546:-1 gene:B456_006G133900 transcript:KJB35925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEFELVLDSEAVFLGERIINFGGMEQTILALWRPIQGINIEPVGDMGLYLIRFFHIVDLKRMGENLEEIKFLYADFWVQVHDLPSCFVSETLAKSIGNLMDQFVKYDVSSQRNFLNNFMRTRVRLDICVPHMRKKNIRAHSFGYVWIPVCYLCGIIGHSESRY >KJB35554 pep chromosome:Graimondii2_0_v6:6:37018500:37020866:-1 gene:B456_006G120000 transcript:KJB35554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHDGISNSIPSTIRGLTQQPNPNPNPTLSVNPSKKKRNLPGTPDPDAEVIALSPKSLMATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYICPEKTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESGRFTSVSTTTMNPSAFTNDLTHIGGADNYETGFRPEFAAGSELVDSGLQKPRLQLWLDQANSQLNPIGIQSNANAFLAPKSTSLPELGIAAAPMNMFGSLSQSQQWLSQYPQASFPGANLSMSSVLQRGPKQEENKGDLSESITSLYSNNTRNSLRQNHTHMSATALLQKAAQTGSTRSNPAITNSGLFGLMSSPASTIPSPINDHNKNETYYKLFKQANQSENINEVVSSLPSTQAAIIKDGSFVNNASMKANERQGGQAVASKLNTNANEVEASLTRDFLGVGSESSRPFFQQEFAKFASMGSVQPWS >KJB35553 pep chromosome:Graimondii2_0_v6:6:37018383:37021030:-1 gene:B456_006G120000 transcript:KJB35553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHDGISNSIPSTIRGLTQQPNPNPNPTLSVNPSKKKRNLPGTPDPDAEVIALSPKSLMATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYICPEKTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESGRFTSVSTTTMNPSAFTNDLTHIGGADNYETGFRPEFAAGSELVDSGLQKPRLQLWLDQANSQLNPIGIQSNANAFLAPKSTSLPELGIAAAPMNMFGSLSQSQQWLSQYPQASFPGANLSMSSVLQRGPKQEENKGDLSESITSLYSNNTRNSLRQNHTHMSATALLQKAAQTGSTRSNPAITNSGLFGLMSSPASTIPSPINDHNKNETYYKLFKQANQSENINEVVSSLPSTQAAIIKDGSFVNNASMKANERQGGQAVASKLNTNANEVEASLTRDFLGVGSESSRPFFQQEFAKFASMGSVQPWS >KJB33022 pep chromosome:Graimondii2_0_v6:6:48120149:48123858:1 gene:B456_006G2319001 transcript:KJB33022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWFSAIKKIFFPHSKEKLNNESDKRSDKEKKKGPGKLRHGETNSFLPLFRKPSSIEKILGEAEREHNLIFRPPTPPEQPKTPPFVPHRPASPQGPSPRVASPRVASPRAASPPRAASPRAASPPPPPPPRAASPRVASPRVASPRGASPKPAPSRIVRPRPEPTLKNQHASATQIQAAYRGYKARRSFRALKGLVRLQGVVRGQHVKRQTTNAMKYMQLLVRVQSQIQSRRIQKLENQERQQAESKEAESTLGKWTSQSEAGNENWDDSVLTKEEREARMQRKVEAVIKRERAMAYAYSNQLWKSTPGSGIRSGGFPWWWNWLDHPLPPVNAPGSQGTKSFQLTPPRPNSQLKPSSRPQSSSSRQRQFTYDNIMETPTPKSTRSTILHAKRRMRTPPSSSRARARGAASPFDLHVKDDDSLMSCPPFSVPNYMNPTVSTKAKTRANSNPKERHVGTPQSESNRRLSYPLTQGIGSYRSNKGSIGNMSVDSTPSTPATITGGRKPFNRFV >KJB38320 pep chromosome:Graimondii2_0_v6:6:49316052:49316620:-1 gene:B456_006G248700 transcript:KJB38320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTPNKITSTNGGDRRQPSRLQRRAPASLQISPVASWNIAIPLLSPLACSPPSIDRRTTERTEEPPPRQEQQQRQSQKTEPEKLVFKMWQHPAAPFCYEPASLVPSFVPV >KJB36788 pep chromosome:Graimondii2_0_v6:6:43493892:43497054:-1 gene:B456_006G177500 transcript:KJB36788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTTTTAAAAYSSAEENPKNTLDAASPSMDKSDPPSASTLSDAEVSVKEGEGLKTTGTAVGLAAGESSGPADDIQKKIRRAERFGVPIQLSEQEKRNSRAERFGTAPSSKGSEESKQSEELKRKARAERFGIAAPTTATDEDAKKKARLARFAPYSKPDSVEEEKRKLRAIRSVPLLKDFSLHFH >KJB36789 pep chromosome:Graimondii2_0_v6:6:43495705:43496914:-1 gene:B456_006G177500 transcript:KJB36789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTTTTAAAAYSSAEENPKNTLDAASPSMDKSDPPSASTLSDAEVSVKEGEGLKTTGTAVGLAAGESSGPADDIQKKIRRAERFGVPIQLSEQEKRNSRAERFGTAPSSKGSEESKQSEELKRKARAERFLFHTIFSLLYYRLQSVFLFPGFTGLGLLHPLQQLMRMQRRKLVLLDLHHIPNLIQLKKKKENYGLSGLFHC >KJB36787 pep chromosome:Graimondii2_0_v6:6:43493828:43497125:-1 gene:B456_006G177500 transcript:KJB36787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTTTTAAAAYSSAEENPKNTLDAASPSMDKSDPPSASTLSDAEVSVKEGEGLKTTGTAVGLAAGESSGPADDIQKKIRRAERFGVPIQLSEQEKRNSRAERFGTAPSSKGSEESKQSEELKRKARAERFGIAAPTTATDEDAKKKARLARFAPYSKPDSVEEEKRKLRAIRFSNPSSTTLS >KJB36790 pep chromosome:Graimondii2_0_v6:6:43493892:43497054:-1 gene:B456_006G177500 transcript:KJB36790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTTTTAAAAYSSAEENPKNTLDAASPSMDKSDPPSASTLSDAEVSVKEGEGLKTTGTAVGLAAGESSGPADDIQKKIRRAERFGVPIQLSEQEKRNSRAERFGTAPSSKGSEESKQSEELKRKARAERFLFHTIFSLLYYRLQSVFLFPGFTGLGLLHPLQQLMRMQRRKLVLLDLHHIPNLIQLKKKKENYGLSGFRILLLLLYLR >KJB35453 pep chromosome:Graimondii2_0_v6:6:36460088:36464716:1 gene:B456_006G115600 transcript:KJB35453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFHGSSDFQAASADGLQTLYLMNPNYVPYADTHQQPSAATNMFFLNPTSLPQAPPPNHHQRFLGLPLPTPSTSIKPLNSDDPHRPSPLQGVVPGFHSNLWGSSVDHQNSPGSSHPQVVSAAATGDNSGGSHDVASQSGFQRPVVSPRQGLSLSLSSQQVGYRSSNNVETDIQGQPQVPTMSLGEDVRISGNSPSSVSVLSNGISGAQSVVLGSKYLRAAQELLDEVVNVGKGIKTDVSEGTKEEKIKVNKESVAGEGSSAGENGAKRGAELTTAQRQELQMKKAKLVSMLDEVEQRYRQYHHQMHIVVSSFEQAAGLGAAKSYTALALKTISKQFRCLKDAISGQIKATSKSLGEEDCLGAKVDGSRLRYVDHQLRQQRALQQLGMIQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRGQVSNWFINARVRLWKPMVEEMYLEEVKEQERNGSEVKANKSVQKESESSSSAHQENVSFMMNQVKAQSQPEKSINQQQNMPPTEFSNSTMGGSFLPQTATAFNLIGSCDLDGAAQRSPKKPRSSVNELQNSPSSILSMDMEMKQGETREINMNFGDERLPKDSYSFFTGTDNTGSGFPTYSAMGDIGRFDPNQLTQRFHGNSVSLTLGLPHCENLSLSGNHQSLLSNQNIQLGRRLELGQSEVDFCGINNTQQASHSSTFEMQNRKRFAAQLLPDFVA >KJB36412 pep chromosome:Graimondii2_0_v6:6:41730986:41737357:-1 gene:B456_006G157900 transcript:KJB36412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPASASWKAPLKFRMPTAENLIPIRLDIEVDGQSYKDAFTWNPYDPDSEVVMFAKRTVKDLKLSPGFLPQIVQSIQSQLATFRSYEGQDMYVGDKIIPIKLDLQVNHTVIRDRFLWDLNNFDSDPEEFARTLCKDLGIEDPEVEPAIAFAIREQLYEIAIQNVTTARENRISKKGRRAAEHFTPRFLCCFLLIWSEIGGIFFLIRMVCKASGAALDLMKLFSFGSSVVRSGFRGGDVCKKGL >KJB36403 pep chromosome:Graimondii2_0_v6:6:41730896:41737397:-1 gene:B456_006G157900 transcript:KJB36403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPASASWKAPLKFRMPTAENLIPIRLDIEVDGQSYKDAFTWNPYDPDSEVVMFAKRTVKDLKLSPGFLPQIVQSIQSQLATFRSYEGQDMYVGDKIIPIKLDLQVNHTVIRDRFLWDLNNFDSDPEEFARTLCKDLGIEDPEVEPAIAFAIREQLYEIAIQNVTTARENRISKKGRRAAEHFTPSKASGAALDLMKLFSFGSSVVRKRKEWDYYKPVLDLLSNEDVHALEAKEERSG >KJB36409 pep chromosome:Graimondii2_0_v6:6:41731825:41736907:-1 gene:B456_006G157900 transcript:KJB36409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPASASWKAPLKFRMPTAENLIPIRLDIEVDGQSYKDAFTWNPYDPDSEVVMFAKRTVKDLKLSPGFLPQIVQSIQSQLATFRSYEGQDMYVGDKIIPIKLDLQVNHTVIRDRFLWDLNNFDSDPEEFARTLCKDLGIEDPEVEPAIAFAIREQLYEIAIQNVTTARENRISKKGRRAAEHFTPSKASGAALDLMKLFSFGSSVVRKLQKKKILMVCLLLSVASGKERSGIIINPFLTFYLMRTYMLSKPKKKGVAGRES >KJB36408 pep chromosome:Graimondii2_0_v6:6:41731825:41736907:-1 gene:B456_006G157900 transcript:KJB36408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPASASWKAPLKFRMPTAENLIPIRLDIEVDGQSYKDAFTWNPYDPDSEVVMFAKRTVKDLKLSPGFLPQIVQSIQSQLATFRSYEGQDMYVGDKIIPIKLDLQVNHTVIRDRFLWDLNNFDSDPEEFARTLCKDLGIEDPEVEPAIAFAIREQLYEIAIQNVTTARENRISKKGRRAAEHFTPRFLCCFLLIWSEIGGIFFLIRMVCKASGAALDLMKLFSFGSSVVRKLQKKKILMVCLLLSVASGKERSGIIINPFLTFYLMRTYMLSKPKKKGVAGRES >KJB36411 pep chromosome:Graimondii2_0_v6:6:41730986:41737357:-1 gene:B456_006G157900 transcript:KJB36411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPASASWKAPLKFRMPTAENLIPIRLDIEVDGQSYKDAFTWNPYDPDSEVVMFAKRTVKDLKLSPGFLPQIVQSIQSQLATFRSYEGQDMYVGDKIIPIKLDLQVNHTVIRDRFLWDLNNFDSDPEEFARTLCKDLGIEDPEVEPAIAFAIREQLYEIAIQNVTTARENRISKKGRRAAEHFTPSKASGAALDLMKLFSFGSSVVRKRKEWDYYKPVLDLLSNEDVHALEAKEERSGWEGKLVLRESI >KJB36413 pep chromosome:Graimondii2_0_v6:6:41731805:41736907:-1 gene:B456_006G157900 transcript:KJB36413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPASASWKAPLKFRMPTAENLIPIRLDIEVDGQSYKDAFTWNPYDPDSEVVMFAKRTVKDLKLSPGFLPQIVQSIQSQLATFRSYEGQDMYVGDKIIPIKLDLQVNHTVIRDRFLWDLNNFDSDPEEFARTLCKDLGIEDPEVEPAIAFAIREQLYEIAIQNVTTARENRISKKGRRAAEHFTPSKASGAALDLMKLFSFGSSVVRKRKEWDYYKPVLDLLSNEDVHALEAKEERSGWEGKLVLRESI >KJB36404 pep chromosome:Graimondii2_0_v6:6:41731539:41737397:-1 gene:B456_006G157900 transcript:KJB36404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPASASWKAPLKFRMPTAENLIPIRLDIEVDGQSYKDAFTWNPYDPDSEVVMFAKRTVKDLKLSPGFLPQIVQSIQSQLATFRSYEGQDMYVGDKIIPIKLDLQVNHTVIRDRFLWDLNNFDSDPEEFARTLCKDLGIEDPEVEPAIAFAIREQLYEIAIQNVTTARENRISKKGRRAAEHFTPSKASGAALDLMKLFSFGSSVVRKRKEWDYYKPVLDLLSNEDVHALEAKEERSGWEGKLVLRESI >KJB36410 pep chromosome:Graimondii2_0_v6:6:41731206:41736907:-1 gene:B456_006G157900 transcript:KJB36410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPASASWKAPLKFRMPTAENLIPIRLDIEVDGQSYKDAFTWNPYDPDSEVVMFAKRTVKDLKLSPGFLPQIVQSIQSQLATFRSYEGQDMYVGDKIIPIKLDLQVNHTVIRDRFLWDLNNFDSDPEEFARTLCKDLGIEDPEVEPAIAFAIREQLYEIAIQNVTTARENRISKKGRRAAEHFTPRFLCCFLLIWSEIGGIFFLIRMVCKASGAALDLMKLFSFGSSVVRKLQKKKILMVCLLLSVASGKERSGIIINPFLTFYLMRTYMLSKPKKKGVADPDSEVVMFAKKDCKRLEACQRTTILYINLLWYSLPLLGSVGFFVHFI >KJB36406 pep chromosome:Graimondii2_0_v6:6:41730986:41737357:-1 gene:B456_006G157900 transcript:KJB36406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPASASWKAPLKFRMPTAENLIPIRLDIEVDGQSYKDAFTWNPYDPDSEVVMFAKRTVKDLKLSPGFLPQIVQSIQSQLATFRSYEGQDMYVGDKIIPIKLDLQVNHTVIRDRFLWDLNNFDSDPEEFARTLCKDLGIEDPEVEPAIAFAIREQLYEIAIQNVTTARENRISKKGRRAAEHFTPSKASGAALDLMKLFSFGSSVVRKRKEWDYYKPVLDLLSNEDVHALEAKEERSGWEGKLVLRESI >KJB36407 pep chromosome:Graimondii2_0_v6:6:41730986:41737357:-1 gene:B456_006G157900 transcript:KJB36407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPASASWKAPLKFRMPTAENLIPIRLDIEVDGQSYKDAFTWNPYDPDSEVVMFAKRTVKDLKLSPGFLPQIVQSIQSQLATFRSYEGQDMYVGDKIIPIKVSFINKLDLQVNHTVIRDRFLWDLNNFDSDPEEFARTLCKDLGIEDPEVEPAIAFAIREQLYEIAIQNVTTARENRISKKGRRAAEHFTPSKASGAALDLMKLFSFGSSVVRKRKEWDYYKPVLDLLSNEDVHALEAKEERSGWEGKLVLRESI >KJB36405 pep chromosome:Graimondii2_0_v6:6:41730986:41737033:-1 gene:B456_006G157900 transcript:KJB36405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPASASWKAPLKFRMPTAENLIPIRLDIEVDGQSYKDAFTWNPYDPDSEVVMFAKRTVKDLKLSPGFLPQIVQSIQLATFRSYEGQDMYVGDKIIPIKLDLQVNHTVIRDRFLWDLNNFDSDPEEFARTLCKDLGIEDPEVEPAIAFAIREQLYEIAIQNVTTARENRISKKGRRAAEHFTPSKASGAALDLMKLFSFGSSVVRKRKEWDYYKPVLDLLSNEDVHALEAKEERSGWEGKLVLRESI >KJB38719 pep chromosome:Graimondii2_0_v6:6:50662821:50666728:-1 gene:B456_006G268700 transcript:KJB38719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEPQHLLDLQENSGFGTWLSGDNSNSSPTHRRVESSLVHSAPGDVDRVLYKDLVEMIPLVQSLIERKANSSFTRRGSMIYTKTPSRESLSRKTTDVKGRNTGLAIPVKKKKDQVDKNGDSLPIFSSRDLITEKENEELIALRGQVEDLQKKLLEKEDLLKSVEISKNHINDVQAELEQLNRHAAEKDSLIKSIQLHLSDAKIKLADKQAALEKTQWEAMTSKQTLEKLQNDIDSMQGEFSSFMLLLNGLTKKNRTAYAEDYDVAPYHSEHFPHLDDVDDKEMPKMEEARQAYVAALDAAKEKQDEESLAAAASARLHLQSFLFKSEGALEK >KJB38718 pep chromosome:Graimondii2_0_v6:6:50662562:50666734:-1 gene:B456_006G268700 transcript:KJB38718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEPQHLLDLQENSGFGTWLSGDNSNSSPTHRRVESSLVHSAPGDVDRVLYKDLVEMIPLVQSLIERKANSSFTRRGSMIYTKTPSRESLSRKTTDVKGRNTGLAIPVKKKKDQVDKNGDSLPIFSSRDLITEKENEELIALRGQVEDLQKKLLEKEDLLKSVEISKNHINDVQAELEQLNRHAAEKDSLIKSIQLHLSDAKIKLADKQAALEKTQWEAMTSKQTLEKLQNDIDSMQGEFSSFMLLLNGLTKKNRTAYAEDYDVAPYHSEHFPHLDDVDDKEMPKMEEARQAYVAALDAAKEKQDEESLAAAASARLHLQSFLFKSEGKISMEQPEFGIRFSENPC >KJB34073 pep chromosome:Graimondii2_0_v6:6:14761763:14763792:1 gene:B456_006G046500 transcript:KJB34073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQFTSSHCSCKCNSNVLYLWEGSNTYEPLILRSPAPKQEENCSLTIPLLVKEAISIAKIALPMILTGLMLYSRSLISMLFLGRLGELALAGGSLAIGFANITGYSVLSGLAVGMESICGQAFGARKYTLLGTTLQRTVLLLLISSLPISLLWMNMRRILILCGQDETIAKEAQLYLIYSVPDLLAQSLLHPLRIYLRTQSITLPLTCCAMLSILLHLPINYFLVSHLRLGTRGIALSGVWTNFNLVGSLIIYILYFGVHKKTWGGFSMQCFKEWKSLLNLAIPSCISVCLEWWWYEIMILLCGLLLNPRAAVASMGILIQTTALIYIFPSCLSFSVSTRVANELGANQPKKAKLAAVVGLYCGFMLGFSALFFAVMVGNFWATMFTDDKEIITLTSVALPIIGLCELGNCPQTTGCGVLRGTARPKQGANINLCCFYLVGMPVAVWLAFFAGFDFKGLWLGMLAAQGSCMVTMMLVLIRTDWDFEAERAKELTGAEVVVVDDSKDVEQEKPRNGKAENKEGSFSLLGDLDHHSLV >KJB36528 pep chromosome:Graimondii2_0_v6:6:42426434:42428958:-1 gene:B456_006G164200 transcript:KJB36528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWLIIFVEKNGAYEANILGVGFAEAKVGVNKPELLPREFTPVIDVAGFLSDGQEKRLIQEISDIEKNTGFKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNILNFNIGDSVDLDIPRSFWSRLAGKYGNMFYWKEKGEDASIEAAVMAISNCLREPVGPNNCSEVK >KJB36529 pep chromosome:Graimondii2_0_v6:6:42426434:42428958:-1 gene:B456_006G164200 transcript:KJB36529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIQYSSPCFRTTSFPTLSVPIRASSHLSLSTTFRKWVPAFRVKSLNWVFSGALALTLSATGVGFAEAKVGVNKPELLPREFTPVIDVAGFLSDGQTVKPQEKRLIQEISDIEKNTGFKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNILNFNIGDSVDLDIPRSFWSRLAGKYGNMFYWKEKGEDASIEAAVMAISNCLREPVGPNNCSEVK >KJB36530 pep chromosome:Graimondii2_0_v6:6:42426434:42429134:-1 gene:B456_006G164200 transcript:KJB36530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIQYSSPCFRTTSFPTLSVPIRASSHLSLSTTFRKWVPAFRVKSLNWVFSGALALTLSATGVGFAEAKVGVNKPELLPREFTPVIDVAGFLSDGQEKRLIQEISDIEKNTGFKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNILNFNIGDSVDLDIPRSFWSRLAGKYGNMFYWKEKGEDASIEAAVMAISNCLREPVGPNNCSEVK >KJB35013 pep chromosome:Graimondii2_0_v6:6:33483585:33488706:-1 gene:B456_006G095500 transcript:KJB35013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKIRAKIKRSQLYTFACGRKSTQEAVPFVEGAGCSRTVHCNQPHMHRKRPLKYRSNYISTTKYNFLSFLPKSLYEQFHRVANLYFLAAAVLSLTPLSPFSAVSMIAPLAFVVGLSMAKEGLEDWRRFMQDMNVNSRKVKFHKEGGVFEFKQWQQIEVGDVVRVEKDEFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRAMDVTLSLEEDEDFKNFTGLIKCEDPNPSLYTFVGNLDYDRQTYSLEPAQVLLRDSKLRNTGFVYGVVIFTGFDTKVMQNSTKSPSKRSRIEKKMDYIIYVLFSLLLVISLISSLGFALKTKFHMPNWWYMRPDDTEQFYNPKEPFISGLSHLVTALILYGYLIPISLYVSIEVVKVLQATFINQDLQMYDDDTGIPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVRASEVERAAAQQMANDLEQDGRQSSVSSKRSKQDIELETVVTSKDGKDNKPPIKFFSFEDDRMTEGNWRKEPNVDMIILFCRILAVCHTAIPELNEETGTYAYEAESPDEGAFLVAAREFGFEFFKRTQTSVFVRERYIGSGQTTEREYKILNLLEFTSKRKRMTVIVRDEEGQIILMCKGADSIIFDRLAKKGKTYLEDTTKHLNIYGEAGLRTLALAYRKLEESEYSAWNNEFQKAKTSIGADRDTNLEKVAEMMEKELTLVGATAVEDKLQKGVPQCIDKLAQAGLKLWVLTGDKMETAINIGFACSLLREGMKQICITTLDSESKEAIKENILTQVNNGSQMIKLEKDPYAAFALIIDGKTLAYALEEDMKFLFLGLAVDCASVICCRVSPKQKALVTRLVKEGTGKVTLGIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSVSQFRFLERLLVVHGHWCYKRIAQMVCYFFYKNIAFGLTLFYFEAFTGFSGQSVYDDWYMILFNVVLTSLPVISLGVFEQDVSSDVCLEFPTLYQQGPRNLFFDWYRILGWMGNGLYSSLVIFFLNIVIFYDQAYRAEGQTTDMSILGTIMFTCIICALNFQVSLIMTHYTWMQHVLIWFSIVAWFIFLFIYGMLPPKISHSAYQILTEALASAPVYWITTLLVTIACTLPYLAHMSLQRCFNPMDHHVIQEIKYLKKDVSDQVMWRREKTKAREKTKIGFTARVDALIRSLRGKLNKKTHSIESNKSYPPS >KJB37459 pep chromosome:Graimondii2_0_v6:6:46171691:46176045:1 gene:B456_006G206000 transcript:KJB37459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAITRGGFYQKLLNFPKTISRPLMATSNLIRVSSPAYSTGSPNNVPSKRVGTHSGSFHCDEALGCFMICLTTKFSNSEIVRTRDPKVLEGFDAVLDVGGVYDPSRDRYDHHQKGFEEVFGHGFNTKLSSAGLVYKHFGKEIIAKELQLGEDHPNVQRLFLAIYKNFMEAIDAIDNGINQFDTDKPPRYVNNTNLSSRVGRLNLDWMDPNQSPEKENEAFQQAMALAGSEFLDSVRFHAKSWLPARSIVMECIADRYDTDPSGEIMVLKRFTPWKLHIFELEEEMKVDPPIKYVLYEDDRGKRWRVQAVAISPDRFESRKPLPAQWRGLTDDELSKEAGIPGCIFVHISGFTGGNQTYEGALAMARTALKI >KJB37460 pep chromosome:Graimondii2_0_v6:6:46171791:46175306:1 gene:B456_006G206000 transcript:KJB37460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAITRGGFYQKLLNFPKTISRPLMATSNLIRVSSPAYSTGSPNNVPSKRVGTHSGSFHCDEALGCFMICLTTKFSNSEIVRTRDPKVLEGFDAVLDVGGVYDPSRDRYDHHQKGFEEVFGHGFNTKLSSAGLVYKHFGKEIIAKELQLGEDHPNVQRLFLAIYKNFMEAIDAIDNGINQFDTDKPPRYVNNTNLSSRVGRLNLDWMDPNQSPEKENEAFQQAMALAGSEFLDSVRFHAKSWLPARSIVMECIADRYDTDPSGEIMVLKRFTPWKLHIFELEEEMKVDPPIKYVLYEDDRGKRWRVQAVAISPDRFESRKPLPAQWRGLTDDELSKEAGIPGCIFVHISGFTGGNQTYEGALAMARTALKI >KJB35452 pep chromosome:Graimondii2_0_v6:6:36599963:36602359:1 gene:B456_006G116500 transcript:KJB35452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLSKSFLLSSAEEVMDSKKQGIVSILGSDAERPTKATSLRRTLSADMSSRKWLSPLKKSPSSQEFPVPSSFEEEGENEAPDQSHIWASIQHEKKQPQNPSQFDIWSSIISQKAEQESFKSSPPYIHPLVKRSTSCLSEKSLEICTESLGSETGSDGFSSETGEVEEDQHLQHQKQETLEPMMMPCFHGEKATTVKYSYDVGKKSPNPSFPPPIPSLSGKGGASRRLKTHRDNGKLVIEAVSMPSLNNFLAQRQDGRLVLTFANTTAPSEAPEEESKEVGELEEEFENFGEKESEIGIDDDDDGDDDDDEGEEESEIGLAPNLSCGAMNVHRLAVMMNKPIWLANRRNPTWPKNFDEIVNFGEEREGKVESNSPPPLAQSLPPRPPPSKAAGVGAASSFNAYEYYWRRPNQGMSKTAAVVVDPLAQQSPPGKDDSKRLILTKNLMANKDQQHLQELEGKKGDYIVPLLKGCKEPRRSLLLWEPYSIATS >KJB36614 pep chromosome:Graimondii2_0_v6:6:42699236:42702333:1 gene:B456_006G167300 transcript:KJB36614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIGSSGSHGWRRNGSRRNHPPPPPQPPTPSQPQPEITANRYVFAAATPYPPQYPNTNPPQYYQYPGYYQPQPPVPLPLPAPYDHHHRGGPHMEPANWGRYPYVPMVPPPPVPYIEHQKAVTIRNDVNLKKETLKLVPDEENAGKFLVSFTFDATVAGRMAVIFFAKEGEDCNLTPMKESILPPVTVPFELGLGQKFRQPSGTGIDFSMFEESELLKVDDASIYHLAVKAEALPVNQSEPDGNQVTGAMNSQITQAVFEKEKGEYHARVVKQILWVNGMRYELQEIYGIGNSVDNGVDANDLGKECVICLSEPRDTTVLPCRHMCMCSSCAKVLRCQTNRCPICRQPVERLLEIKVNNVPDE >KJB37282 pep chromosome:Graimondii2_0_v6:6:45451724:45452627:-1 gene:B456_006G197200 transcript:KJB37282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSPEENPPAPQIPDSTLDLVQLPNHSNLPSIPTPNPVQYRRHSSYRGIRSRSGKWVSEIREPRKTTRIWLGTYPTPEMAATAYDVAAIALKGPDTDLNFPDMILSYPKVASTSAADIRAAAASAAASRLPMPDTGSSKQDQGNLQNEGTASTFSTCMESGSGQEYIDEEELLNFPNLMVDMAGGMLVTPPRINSLASDDSPEISDIESLWTYP >KJB35876 pep chromosome:Graimondii2_0_v6:6:38605246:38608413:-1 gene:B456_006G131700 transcript:KJB35876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKERVCGELQKEVPKGASFTNFNTQHQQKWEDPSSTSDYSTRIEPPFQAFNQTSQTQSLLLCNNQIKVPMQDGSVNDLLQASKIQDWDPSAMLNNLSFLGQKIHQLQDLVYLIIGRKGQVLGGPDELLVQQQQLVTADLTSIIVQLISTAGSLLPSVKQTLSAATTSLGQCGEFGGVVFPSSAQGLNDGVQPQNAGGSKVSEPPNSVDITSNNGNEQSNHIIEEHELKDEEDAEEGENLLPGTYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGEEYKTPGALAKPTKESSSEPTIIKRYSCPYAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYICSRCNTKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHITLFQGHTPAIPSDEIKAAPAGTSDGATNKVGSVNFNLSSYVSSESEVQSSSMDIKGGIDDAVGYFSPLSFDTCNFGGFHEFPRPPFDDSESSFAFLLSRSCNYSQKSGEE >KJB35878 pep chromosome:Graimondii2_0_v6:6:38605336:38608170:-1 gene:B456_006G131700 transcript:KJB35878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKERVCGELQKEVPKGASFTNFNTQHQQKWEDPSSTSDYSTRIEPPFQAFNQTSQTQSLLLCNNQIKVPMQDGSVNDLLQASKIQDWDPSAMLNNLSFLGQKIHQLQDLVYLIIGRKGQVLGGPDELLVQQQQLVTADLTSIIVQLISTAGSLLPSVKQTLSAATTSLGQCGEFGGVVFPSSAQGLNDGVQPQNAGGSKVSEPPNSVDITSNNGNEQSNHIIEEHELKDEEDAEEGENLLPGTYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGEEYKTPGALAKPTKESSSEPTIIKRYSCPYAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYICSRCNTKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHITLFQGHTPAIPSDEIKAAPAGTSDGATNKVGSVNFNLSSYVSSESEVQSSSMDIKGGIDDAVGYFSPLSFDTCNFGGFHEFPRPPFDDSESSFAFLLSRSCNYSQKSGEE >KJB35877 pep chromosome:Graimondii2_0_v6:6:38605246:38608344:-1 gene:B456_006G131700 transcript:KJB35877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKERVCGELQKEVPKGASFTNFNTQHQQKWEDPSSTSDYSTRIEPPFQAFNQTSQTQSLLLCNNQIKVPMQDGSVNDLLQASKIQDWDPSAMLNNLSFLGQKIHQLQDLVYLIIGRKGQVLGGPDELLVQQQQLVTADLTSIIVQLISTAGSLLPSVKQTLSAATTSLGQCGEFGGVVFPSSAQGLNDGVQPQNAGGSKVSEPPNSVDITSNNGNEQSNHIIEEHELKDEEDAEEGENLLPGTYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGEEYKTPGALAKPTKESSSEPTIIKRYSCPYAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYICSRCNTKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHITLFQGHTPAIPSDEIKAAPAGTSDGATNKVGSVNFNLSSYVSSESEVQSSSMDIKGGIDDAVGYFSPLSFDTCNFGGFHEFPRPPFDDSESSFAFLLSRSCNYSQKSGEE >KJB35879 pep chromosome:Graimondii2_0_v6:6:38605352:38608154:-1 gene:B456_006G131700 transcript:KJB35879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKERVCGELQKEVPKGASFTNFNTQHQQKWEDPSSTSDYSTRIEPPFQAFNQTSQTQSLLLCNNQIKVPMQDGSVNDLLQASKIQDWDPSAMLNNLSFLGQKIHQLQDLVYLIIGRKGQVLGGPDELLVQQQQLVTADLTSIIVQLISTAGSLLPSVKQTLSAATTSLGQCGEFGGVVFPSSAQGLNDGVQPQNAGGSKVSEPPNSVDITSNNGNEQSNHIIEEHELKDEEDAEEGENLLPGTYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGEEYKTPGALAKPTKESSSEPTIIKRYSCPYAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYICSRCNTKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHITLFQGHTPAIPSDEIKAAPAGTSDGATNKVGSVNFNLSSYVSSESEVQSSSMDIKGGIDDAVGYFSPLSFDTCNFGGFHEFPRPPFDDSESSFAFLLSRSCNYSQKSGEE >KJB38444 pep chromosome:Graimondii2_0_v6:6:49767887:49768844:1 gene:B456_006G255100 transcript:KJB38444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISHFLIIALVFTVVIMVAETEACEMTLYPTNCIVQDCQNKCLEKSPTFTGQCQGFKCVCTWPCD >KJB36338 pep chromosome:Graimondii2_0_v6:6:41192727:41193387:-1 gene:B456_006G1534001 transcript:KJB36338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKRVKLAWIENSSARRASLRKRRQGLVKKVTELTTLCGVEGGIVIYTKNEEEPIVWPSREEMEQLLRKFNEIPEVERMKKSMNLETYYKGMISKSQDQLRRETRKTKEMEVGQFMLQFEQGKKLDDFSVNELDDMKWYMETMRTDMGKLMEFYEKFPPSSVGPSQGDVPLPPPPPQGSAPLVGQNIGIADAGVGDKDTFEDFPWEDPLNANTNNNYIR >KJB37764 pep chromosome:Graimondii2_0_v6:6:47167192:47179835:1 gene:B456_006G219100 transcript:KJB37764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFASSLASKARAARNTTYQIGSRLSWNRNYAAKDIKFGVEARALMLKGVEELADAVRVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIEFKDKVKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLYNELEVVEGMKLDRGYISPYFITNTKTQKCELDDPLILIHEKKVSSLNAVVKVLELALKRQRPLLIVAEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKSSLHDLAVLTGGEVITEELGMNLEKVDLDMLGTCKKVTVSKDDTVILDGNGDKKAIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVIVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAVVVELPKDEKDVPAMPGGMGGMDY >KJB37762 pep chromosome:Graimondii2_0_v6:6:47167192:47171918:1 gene:B456_006G219100 transcript:KJB37762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFASSLASKARAARNTTYQIGSRLSWNRNYAAKDIKFGVEARALMLKGVEELADAVRVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIEFKDKVKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLYNELEVVEGMKLDRGYISPYFITNTKTQKCELDDPLILIHEKKVSSLNAVVKVLELALKRQRPLLIVAEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKSSLHDLAVLTGGEVITEELGMNLEKVDLDMLGTCKKVTVSKDDTVILDGNGDKKAIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVIVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAVVVELPKDEKDVPAMPGGMGGMDY >KJB37763 pep chromosome:Graimondii2_0_v6:6:47167192:47171688:1 gene:B456_006G219100 transcript:KJB37763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFASSLASKARAARNTTYQIGSRLSWNRNYAAKDIKFGVEARALMLKGVEELADAVRVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIEFKDKVKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLYNELEVVEGMKLDRGYISPYFITNTKTQKCELDDPLILIHEKKVSSLNAVVKVLELALKRQRPLLIVAEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKSSLHDLAVLTGGEVITEELGMNLEKVDLDMLGTCKKVTVSKDDTVILDGNGDKKAIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVIVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAVVVELPKDEKDVPAMPGGMGGMDY >KJB36034 pep chromosome:Graimondii2_0_v6:6:39396303:39398736:1 gene:B456_006G137700 transcript:KJB36034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERIGYLIEICPDTRVIRQIHAHVLTRLLPISAVSFLLSKIVGFCALSRHGDINHARKVFAQTPNPNIFSWNSLIRGYYLVGSQSKVPLFLYKELVGKGYPSANTFTLAFVLKACSNILAFDEGKQVHARVFRSGFGSNQFVQTGLLNFYAKCEDIGLAEKVFDEIHERNVIAWSTMISGYAMMGLVNKAFGAFREMQTSNVVPDKVTMVSVISACAMAGALDIGRWIHAYIEKHMIETDIMLSTALVNMYAKCGCIEKATEIFKGIPVKDHKAWSSMIVGLAVHGLAEEALEAFSRMEESKVTPSHVTFIGVLSACAHGGLVSEGRRYWSSMIELGIEPSIEHYGCMVDLLCRASLVGEACSFVQTMPFYPNPVIWRTLLIGCQKNKMLHKGEVAGEQLLVLEPSNPENYILLSNFYASVAQWEKMSHVRKMMKERGMKVVPGCASIEIDGFVHEFVMGDWHHPEAKEIRQALRVIAERVSDAGYEPQVSDVLHNVGNEEKGIYLCEHSERLAIAYGILKTKAPVPIRIVKNLRVCIDCHEVTKIISKIYEREIIVRDRVRFHKFVDGTCSCKDYW >KJB35518 pep chromosome:Graimondii2_0_v6:6:36794134:36796455:-1 gene:B456_006G118200 transcript:KJB35518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQDNNHCNGDPLFIESVAAASTHWTRLEDKVFEQCLVLFPEGISDRWEKIAEQIPGKSAKEVEQHFHMLVYDVYEIDAGRVQVPQYADDSVMLSQGWDSQNQISFVSKSKHHGEGERKKGTPWTEEEHKLFLIGLQKFGKGDWRSISRNVVVTRTPTQVASHAQKYFLRQSAVKKERKRSSIHDITTVDSKTMDVPVEQNRGSEPHGMVQQAPQLQQIPHTGHFVGKYGYPM >KJB33578 pep chromosome:Graimondii2_0_v6:6:4834235:4836605:-1 gene:B456_006G0192002 transcript:KJB33578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CSTSVGTLFLLLATWSMYKVLKRKQKILLKQKYFKRNGGNVEKIKLFTSKEMEKATDYYNENRVLGQGGQGTVYKGMLIDGSIVAIKKSKMVEGKKFDEKKAEQFINEVIILSQINHRNVVKLLGCCLEAEVPLLVYEFIPNGTLYDLIHNQNEKLPLTWEMRLRIAIEIANALFYLHSAASAPIYHRDIKSSNILLDGKYRAKVSDFGTSRSVALEQTHLTTRVQGTFGYMDPEYFRSSQFTEKSDVYSFGVVLIELLTGQKPISAEQSEPVRSLVSYFLDSMQENSLFNILDPMVVKDGPEQEIIVVALLAKRCLNLNGKKRPTMKQVAMELELIKASGGNVIEDHGDEESEIDDIIHSWETNPSCSIHESLKSEA >KJB36025 pep chromosome:Graimondii2_0_v6:6:39284214:39285398:-1 gene:B456_006G1367002 transcript:KJB36025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLPKIFDRFSSADGFLFLEDDTVLNYWNLLQADKTKLWITDKVSMSWSTASTKGSSDWYSKQAELVRKVVSTMPVHFQVNYREVVRSDQSLTICSSEIFYIPQRFVADFVDLVNLVGHQDIHQKVSIPMFFLSMDSPQNFDSVLSTMVYKPEPQSANSSSTHYSAQAPAVHPWKVSSEQEFIKLIRIMGEGDPLLTELV >KJB36569 pep chromosome:Graimondii2_0_v6:6:42522796:42526695:-1 gene:B456_006G165500 transcript:KJB36569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFTFPNWYKKDEPKTPRSLSNRSLNSLCTDREIARSGSELNSQNVSGVSSESMGRSYFPSMSQRPSNLRVFTVSELKSATKNFSRSFMLGEGGFGCVYKGSLKSPEDPSEKIEVAVKQLGKRGLQGHKEWVTEVNVLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNRSVENHLSVRSETTLSWAMRLKIAQDAARGLAYLHEGMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSEGLTHISTAVVGTMGYAAPEYIQTGRLTSKIDVWSYGVFLYELITGRRPLDKNRPKNEQRLLEWVKPYLSDRKFQLILDPRLKGKYQLKSAQRLAVVANRCLVRNPKSRPKMSEVLEMVNRIVEASSAGPRTPEPPLNDVSLETARERKRRIIDFRSGEKFVWSWTPKLIRTC >KJB36567 pep chromosome:Graimondii2_0_v6:6:42522740:42525170:-1 gene:B456_006G165500 transcript:KJB36567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIHVSQFYRKQGHKEWVTEVNVLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNRSVENHLSVRSETTLSWAMRLKIAQDAARGLAYLHEGMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSEGLTHISTAVVGTMGYAAPEYIQTGRLTSKIDVWSYGVFLYELITGRRPLDKNRPKNEQRLLEWVKPYLSDRKFQLILDPRLKGKYQLKSAQRLAVVANRCLVRNPKSRPKMSEVLEMVNRIVEASSAGPRTPEPPLNDVSLETARERKRRIIDFRSGEKFVWSWTPKLIRTC >KJB36568 pep chromosome:Graimondii2_0_v6:6:42522740:42526573:-1 gene:B456_006G165500 transcript:KJB36568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFTFPNWYKKDEPKTPRSLSNRSLNSLCTDREIARSGSELNSQNVSGVSSESMGRSYFPSMSQRPSNLRVFTVSELKSATKNFSRSFMLGEGGFGCVYKGSLKSPEDPSEKIEVAVKQLGKRGLQGHKEWVTEVNVLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNRSVENHLSVRSETTLSWAMRLKIAQDAARGLAYLHEGMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSEGLTHISTAVVGTMGYAAPEYIQTGRLTSKIDVWSYGVFLYELITGRRPLDKNRPKNEQRLLEWVKPYLSDRKFQLILDPRLKGKYQLKSAQRLAVVANRCLVRNPKSRPKMSEVLEMVNRIVEASSAGPRTPEPPLNDVSLETARERKRRIIDFRSGEKFVWSWTPKLIRTC >KJB37133 pep chromosome:Graimondii2_0_v6:6:44790115:44794223:1 gene:B456_006G190800 transcript:KJB37133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLSLPPVLTSPRDDAIQLYRAFKGLGCDTAAVVNILAHRDATQRSLIQHEYRAMYSEDLLKRLVSELRGKLETAVLLWMHDPAGRDAIVIRQALLPDLTNLDAATEVICSRTPSQIQLIKQNYQAKFGVFLEQDIERHTSGDHKKLLLAYVSTSRYEGLEVDREMAMKDAKALYKAGEKRLGTDEKTFIRIFSERSRAQLAAISAAYHDMYGGSLKKAVKSETSGKFERGLLTILQCSENPAKYFAKALHKAMKGLGTDDTTLIRIIVTRTEIDMQYIKAEYLRKYKKTLNDAVHSDTSGHYRTFLLSLLGPNN >KJB37135 pep chromosome:Graimondii2_0_v6:6:44791172:44794223:1 gene:B456_006G190800 transcript:KJB37135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSEDLLKRLVSELRGKLETAVLLWMHDPAGRDAIVIRQALLPDLTNLDAATEVICSRTPSQIQLIKQNYQAKFGVFLEQDIERHTSGDHKKLLLAYVSTSRYEGLEVDREMAMKDAKALYKAGEKRLGTDEKTFIRIFSERSRAQLAAISAAYHDMYGGSLKKAVKSETSGKFERGLLTILQCSENPAKYFAKALHKAMKGLGTDDTTLIRIIVTRTEIDMQYIKAEYLRKYKKTLNDAVHSDTSGHYRTFLLSLLGPNN >KJB37134 pep chromosome:Graimondii2_0_v6:6:44790231:44794195:1 gene:B456_006G190800 transcript:KJB37134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLSLPPVLTSPRDDAIQLYRAFKGLGCDTAAVVNILAHRDATQRSLIQHEYRAMYSEDLLKRLVSELRGKLETAVLLWMHDPAGRDAIVIRQALLPDLTNLDAATEVICSRTPSQIQLIKQNYQAKFGVFLEQDIERHTSGDHKKLLLAYVSTSRYEGLEVDREMAMKDAKALYKAGEKRLGTDEKTFIRIFSERSRAQLAAISAAYHDMYGGSLKK >KJB37136 pep chromosome:Graimondii2_0_v6:6:44790975:44794205:1 gene:B456_006G190800 transcript:KJB37136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPLILLCRLLLNGSMSNKNESMVLVYQSSDFQLTSRLGCDTAAVVNILAHRDATQRSLIQHEYRAMYSEDLLKRLVSELRGKLETAVLLWMHDPAGRDAIVIRQALLPDLTNLDAATEVICSRTPSQIQLIKQNYQAKFGVFLEQDIERHTSGDHKKLLLAYVSTSRYEGLEVDREMAMKDAKALYKAGEKRLGTDEKTFIRIFSERSRAQLAAISAAYHDMYGGSLKKAVKSETSGKFERGLLTILQCSENPAKYFAKALHKAMKGLGTDDTTLIRIIVTRTEIDMQYIKAEYLRKYKKTLNDAVHSDTSGHYRTFLLSLLGPNN >KJB37689 pep chromosome:Graimondii2_0_v6:6:46879272:46880556:1 gene:B456_006G215500 transcript:KJB37689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ICTAFMEEAQTVELQTRVVGQNQDEDIPFTNQSQPKHYKWWIRVISYILFLISGQSAAILLGRLYFDKGGNSKWMATFVQSAGFPILLPFLFYFSPFAKSVPVSVTSVPRRPKISTLVFLYSAFGLLLTGDNLMYSYGLLYLPVSTYSLLCATQLAFNAVFSFFLNSQKFSPFILNSVILLTISASLLAVNSDSENASTVSKGKYVIGFLCTVGASATYSLYLSLVQLSFEKVIKRETFSAVLDMQIYPSFVATCGCVVGLFASGEWRSLSKEMKEYKEGKVSYMMTLIFTAVTWQVASIGLLGLIFEVSSLFSNVISTLTSPVVPILAVIFFHDKMDGIKAMAMLLAIWGFLSYIYQQYLDDSKSKVKKKMKANSNEASLGLTGQV >KJB34172 pep chromosome:Graimondii2_0_v6:6:18514152:18516665:-1 gene:B456_006G051800 transcript:KJB34172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKANLKEATKARELSMLLVVVSGSGENQEFEGRITVYVTVCVIIAAFGGLMFGYDVGISGGVTSMDDFLKKFFPVVYKKKQHAHENNYCKYDNQSLQLFTSSLYIAALIASFVASKVCSKAGRKPTVQIASIFFLIGVVLTAGGLNIEMIIFGRMFLGFGVGFANQAVPLFLSEIAPTNIRGALNISFQLFITIGILLSNLVNYFTGNIHPHGWRISLGIAGIPASMLCVGSILICETPTSLIERHKVEKGRKVLRKIRGVENVDDEFDSIVHACEMARQVKDPFRKLMKPVSRPPLVIAICLQIFQQFTGINAVMFYAPVLFQTVGFGNDAALLSSVITGLVNVFSTIISIYLVDKAGRRIMLLEACVQMLISQVIIGIILFKGLKATGANLSKGEAIFVVVLVCTFVMGFAWSWGPLGWLIPSEAFPLETRSVGFAFAVSTNMLFTFVIAQAFLSMLCKMQAGIFFFFAAWIIIMGVFTWFLLPETKGVPVDSMVDKVWKQHWFWRSFVAEDEFRTDVKVV >KJB37442 pep chromosome:Graimondii2_0_v6:6:46046404:46050105:1 gene:B456_006G204700 transcript:KJB37442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRPQKPPSGIPTRWVSLFCIVSFFLGVLVVNRFWDPVEVEEEASSAQKHRRNEVHPMVICDKDVSVRTGNILSRVSQTRDVINTLDKTISSLERQLAAARAAKADTEEGSPVVTKSGTKDLNESRRVFFVMGIITALRNRKRRNSIRETWMPQGEELKRLEKEKGIIIRFVIGHSSTPGGALDRAINVEEGQHKDFLHLNHIEGYHELSKKTQVYFSAVVAKWDADFYLKVDDDVHVNLGVVSSTLARHRHEPHVYIGCMKSGPVVAQKGIKYREPEYWKFGEGNKYFRHATGQIYAISKDLATYIFVNRHILHRYANEDVSLGSWFIGLDVEHIDDRSLCCGTTPADCEWKAKSGNHCGASFDWSCSGICKSSVRMKEVHQRCGEGDQAIWDTSF >KJB37443 pep chromosome:Graimondii2_0_v6:6:46046892:46049562:1 gene:B456_006G204700 transcript:KJB37443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRPQKPPSGIPTRWVSLFCIVSFFLGVLVVNRFWDPVEVEEEASSAQKHRRNEVHPMVICDKDVSVRTGNILSRVSQTRDVINTLDKTISSLERQLAAARAAKADTEEGSPVVTKSGTKDLNESRRVFFVMGIITALRNRKRRNSIRETWMPQGEELKRLEKEKGIIIRFVIGHSSTPGGALDRAINVEEGQHKDFLHLVCSHFQSGPDLEIQNLRRIECFVWQNHIEGYHELSKKTQVYFSAVVAKWDADFYLKVDDDVHVNLGVVSSTLARHRHEPHVYIGCMKSGPVVAQKGIKYREPEYWKFGEGNKYFRHATGQIYAISKDLATYIFVNRHILHRYANEDVSLGSWFIGLDVEHIDDRSLCCGTTPADCEWKAKSGNHCGASFDWSCSGICKSSVRMKEVHQRCGEGDQAIWDTSF >KJB37441 pep chromosome:Graimondii2_0_v6:6:46046206:46050105:1 gene:B456_006G204700 transcript:KJB37441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVICDKDVSVRTGNILSRVSQTRDVINTLDKTISSLERQLAAARAAKADTEEGSPVVTKSGTKDLNESRRVFFVMGIITALRNRKRRNSIRETWMPQGEELKRLEKEKGIIIRFVIGHSSTPGGALDRAINVEEGQHKDFLHLNHIEGYHELSKKTQVYFSAVVAKWDADFYLKVDDDVHVNLGVVSSTLARHRHEPHVYIGCMKSGPVVAQKGIKYREPEYWKFGEGNKYFRHATGQIYAISKDLATYIFVNRHILHRYANEDVSLGSWFIGLDVEHIDDRSLCCGTTPADCEWKAKSGNHCGASFDWSCSGICKSSVRMKEVHQRCGEGDQAIWDTSF >KJB33157 pep chromosome:Graimondii2_0_v6:6:3005575:3006907:1 gene:B456_006G013800 transcript:KJB33157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLFATATEKIGQLALRRQVLALTDAAASRIHHLLQQRQRSFLRLGAKARGCNGLSYTLNYADEKGKFDELVEDKGVKILIDPKALMHVIGTKMDFVDDKLRSEFIFINPNSKGQCGCGESFMTTVGSTAKQGGN >KJB35650 pep chromosome:Graimondii2_0_v6:6:37405649:37407706:1 gene:B456_006G122500 transcript:KJB35650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYAKEYEAQEKEPIQLKREAKLKGGFYVNPESKLLFIIRIRGINAKHPSTRKILQLLRLRQIFNGVFLKVNKAKMNVLHLVEPYVTYWYLLPFWLPRFFFHQFYIVAMAYFFLMYASRYPNPKIVRELIFKRSYGKLNKQRVALTDDAIIEQALGKFGIICVEDLIHEIMTVGPHFKAPLGGLKKKRSHYVEGRDAGNRENYINEVVRRMN >KJB35649 pep chromosome:Graimondii2_0_v6:6:37405534:37407706:1 gene:B456_006G122500 transcript:KJB35649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARLTPDQKVACSIHVGFKILIQSVGEEVKTVIPDSKLKKNERNEKWELAKKQEFEAAKRKNVENRKLIYSRTMQYAKESEGQEKEPIQLKREAKLKGGFYVNPESKLLFIIRIRGINAKHPSTRKILQLLRLRQIFNGVFLKVNKAKMNVLHLVEPYVTYWYLLPFWLPRFFFHQFYIVAMAYFFLMYASRYPNPKIVRELIFKRSYGKLNKQRVALTDDAIIEQALGKFGIICVEDLIHEIMTVGPHFKAPLGGLKKKRSHYVEGRDAGNRENYINEVVRRMN >KJB34875 pep chromosome:Graimondii2_0_v6:6:32354947:32358689:-1 gene:B456_006G088300 transcript:KJB34875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRLLSRASTIAGLPQIRPKLSRDCLSVSFASAKPIGAVGEGGNVIWGRQLRPSLLLESSLANKREILKPVLASASSAAGGGSDSAGDAEIAPVGFFQKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLISWAVGLPKRAPIDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPLTLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALLVCIPPAIIFEGPQLMKHGFTDAIAKVGMTKFISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSILAFGNKISTQTGIGTAIAIAGVAMYSFIKARMEEEKRVTNESSMRRKEARKWRKQRKGGVKLGLSS >KJB34872 pep chromosome:Graimondii2_0_v6:6:32354821:32358757:-1 gene:B456_006G088300 transcript:KJB34872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRLLSRASTIAGLPQIRPKLSRDCLSVSFASAKPIGAVGEGGNVIWGRQLRPSLLLESSLANKREILKPVLASASSAAGGGSDSAGDAEIAPVGFFQKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLISWAVGLPKRAPIDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPLTLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALLVCIPPAIIFEGPQLMKHGFTDAIAKVGMTKFISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSILAFGNKISTQTGIGTAIAIAGVAMYSFIKARMEEEKRQMKAA >KJB34874 pep chromosome:Graimondii2_0_v6:6:32354911:32358689:-1 gene:B456_006G088300 transcript:KJB34874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRLLSRASTIAGLPQIRPKLSRDCLSVSFASAKPIGAVGEGGNVIWGRQLRPSLLLESSLANKREILKPVLASASSAAGGGSDSAGDAEIAPVGFFQKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLISWAVGLPKRAPIDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPLTLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNISFTYRSIYSKKAMVTFFETLRSSFLKESNRAPFFLLLTSFIFSTLSCDKHFMIFVGFSYICRPIWTVQMSTLIFPSLRSSSVFLRPSFLRALN >KJB34873 pep chromosome:Graimondii2_0_v6:6:32354861:32358719:-1 gene:B456_006G088300 transcript:KJB34873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLISWAVGLPKRAPIDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPLTLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALLVCIPPAIIFEGPQLMKHGFTDAIAKVGMTKFISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSILAFGNKISTQTGIGTAIAIAGVAMYSFIKARMEEEKRQMKAA >KJB36888 pep chromosome:Graimondii2_0_v6:6:43871869:43873636:-1 gene:B456_006G181300 transcript:KJB36888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQGEDVRLGANKYRERQPIGTAAQTQDTKDYKEPPAAPLVEPAELTSWSFYRAGIAEFVATFLFLYITVLTVMGVAKSSTKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLIRAVFYMIMQCLGAICGAAVVKSFQKTQYERLGGGANTVSSGYSKSSGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPVTGTGINPARSLGAALIYNKDQAWDDHWIFWLGPFIGAALAALYHQIVIRAIPFKSK >KJB38273 pep chromosome:Graimondii2_0_v6:6:49077870:49085089:1 gene:B456_006G245800 transcript:KJB38273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS4 [Source:Projected from Arabidopsis thaliana (AT5G43710) UniProtKB/Swiss-Prot;Acc:Q9FG93] MKDLNLVPTFLWCLLLFPLHKAVANGVTPEETKQLRDEVREMFYHAFDGYMEHAFPLDELRPLTCEGEDTLGGYALTLIDSLDTLALLGDRERFTASVEWIGKNLRFDINKTVSIFETTIRVLGGLLSAHLIASDYATGMRIPSYDNELLDLAEDLARRLLPAFDTPTGIPFGSVNLKYGVDEHESKITSTAGGGTLTLEFGVLSRLTNDPIFEQVTKNAVRGLWARRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLFIFQEAYSAAMHYLYNDPWYVEVNMDSAAIVWPLFNSLQAFWPGLQVLAGDIDPAIRTHTAFFSVWKRYGFTPEGFNLATLSVQHGQKSYPLRPELIESTYWLYKATRNPRYLDAGRDIVASLQYGARCPCGYCHISDVEFHKREDHMESFFLAETVKYLWLLFDLAVGPDNLVENGPYKYIFSTEGHLLPATPQISLLQEHCSYFGAYCNRDSLKEEPNASDKSADSQETNGSRVSEGWVRTRFPLDSSSFKASPVSGLIKGLCPGLTHAQKYGISYLASVDTPHEDNSAKKKNIVVKSQAIVVVSDQISNQSLSGDGNDNNVKESTEREPESDPSQS >KJB38274 pep chromosome:Graimondii2_0_v6:6:49077722:49085127:1 gene:B456_006G245800 transcript:KJB38274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS4 [Source:Projected from Arabidopsis thaliana (AT5G43710) UniProtKB/Swiss-Prot;Acc:Q9FG93] MKDLNLVPTFLWCLLLFPLHKAVANGVTPEETKQLRDEVREMFYHAFDGYMEHAFPLDELRPLTCEGEDTLGGYALTLIDSLDTLALLGDRERFTASVEWIGKNLRFDINKTVSIFETTIRVLGGLLSAHLIASDYATGMRIPSYDNELLDLAEDLARRLLPAFDTPTGIPFGSVNLKYGVDEHESKITSTAGGGTLTLEFGVLSRLTNDPIFEQVTKNAVRGLWARRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLFIFQEAYSAAMHYLYNDPWYVEVNMDSAAIVWPLFNSLQAFWPGLQVLAGDIDPAIRTHTAFFSVWKRYGFTPEGFNLATLSVQHGQKSYPLRPELIESTYWLYKATRNPRYLDAGRDIVASLQYGARCPCGYCHISDVEFHKREDHMESFFLAETVKYLWLLFDLAVGPDNLVENGPYKYIFSTEGHLLPATPQISLLQEHCSYFGAYCNRDSLKEEPNASDKSADSQETNGSRVSEGWVRTRFPLDSSSFKASPVSGLIKGLCPGLTHAQKYGISYLASVDTPHEDNSAKKKNIVVKSQAIVVVSDQISNQSLSGDGNDNNVKESTEREPESDPSQS >KJB36719 pep chromosome:Graimondii2_0_v6:6:43312192:43312554:-1 gene:B456_006G174700 transcript:KJB36719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSFLTTLLHRLTLRWPVLLYAATWTVILTAMVAVASFSPEVAFVSAVSQSSSFSKACGTEGSVRVPMDVAGEKLCLPVHLFGKSKIDWIVPPVFAAVIVTGSAWVVRGIGLWEFDEAH >KJB34043 pep chromosome:Graimondii2_0_v6:6:13637265:13640329:1 gene:B456_006G044700 transcript:KJB34043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGISRRKRALLRCLFILFAAFTFITRLMLTLRSLDAPPTTTTTTSNGAMLDLPAQLELVDLKRVAFLSSAETPIQAGPKTKNCATVDEMGKVFKGRILKDSLRVRKLIQTHFSVNGAPRIRELPPEQFCRHGFVIGKASEAGFGNEMYKLLTAAALSVMLNRSLIIGQTRGKYPFGDYILYSNLTFTLREVKHLWRQNGCLKKYGRHLVMRIDDFEKPTKTNALCGNWRKWPQPIIWYQGTTDAVAAQFFLKNVHPDMRNAVSELFGKPESLQSRPNVFGELMRILISPSRDVEEAVNWVIGNGGRDPDITLHMRMLMNRSVRAAQAALNCLKRAIRNLQQGSRPRVVVISDTPSFIKGITPNISEVAEVLHFDYERFRGKVSDDIKSLPSLEFRVKDWGPAPRWVAFVDFFLASRAKHAVVSGAHRRVGTTYAQLIAALAAADSIGENSTTSRFSFLSSFQGNLLAEGLKLQVGWGHVWNRFAGPLSCRGQSNQCAFTPLLPPAWWDGQWQSPIPRDIHRLEQYGIRLSGFGTVDENQIQAFCSSRKNIVKTVSFI >KJB34045 pep chromosome:Graimondii2_0_v6:6:13637309:13640136:1 gene:B456_006G044700 transcript:KJB34045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGISRRKRALLRCLFILFAAFTFITRLMLTLRSLDAPPTTTTTTSNGAMLDLPAQLELVDLKRVAFLSSAETPIQAGPKTKNCATVDEMGKVFKGRILKDSLRVRKLIQTHFSVNGAPRIRELPPEQFCRHGFVIGKASEAGFGNEMYKLLTAAALSVMLNRSLIIGQTRGKYPFGDYILYSNLTFTLREVKHLWRQNGCLKKYGRHLVMRIDDFEKPTKTNALCGNWRKWPQPIIWYQGTTDAVAAQFFLKNVHPDMRNAVSELFGKPESLQSRPNVFGELMRILISPSRDVEEAVNWVIGNGGRDPDITLHMRMLMNRSVRAAQAALNCLKRAIRNLQQGSRPRVVVISDTPSFIKGITPNISEVAEVLHFDYERFRGKVSDDIKSLPSLEFRVKDWGPAPRWVAFVDFFLASRAKHAVVSGAHRRVGTTYAQLIAALAAADSIASRVICWQKV >KJB34046 pep chromosome:Graimondii2_0_v6:6:13637309:13640136:1 gene:B456_006G044700 transcript:KJB34046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGISRRKRALLRCLFILFAAFTFITRLMLTLRSLDAPPTTTTTTSNGAMLDLPAQLELVDLKRVAFLSSAETPIQAGPKTKNCATVDEMGKVFKGRILKDSLRVRKLIQTHFSVNGAPRIRELPPEQFCRHGFVIGKASEAGFGNEMYKLLTAAALSVMLNRSLIIGQTRGKYPFGDYILYSNLTFTLREVKHLWRQNGCLKKYGRHLVMRIDDFEKPTKTNALCGNWRKWPQPIIWYQGTTDAVAAQFFLKNVHPDMRNAVSELFGKPESLQSRPNVFGELMRILISPSRDVEEAVNWVIGNGGRDPDITLHMRMLMNRSVRAAQAALNCLKRAIRNLQQGSRPRVVVISDTPSFIKGITPNISEVAEVLHFDYERFRGKVSDDIKSLPSLEFRVKDWGPAPRWVAFVDFFLASRAKHAVVSGAHRRVGTTYAQLIAALAAADSIGNAASCVLQLICMLCYLIVGLILSGENSTTSRFSFLSSFQGNLLAEGLKLQVGWGHVWNRFAGPLSCRGQSNQCAFTPLLPPAWWDGQWQSPIPRDIHRLEQYGIRLSGFGTVDENQIQAFCSSRKNIVKTVSFI >KJB34044 pep chromosome:Graimondii2_0_v6:6:13637309:13638924:1 gene:B456_006G044700 transcript:KJB34044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGISRRKRALLRCLFILFAAFTFITRLMLTLRSLDAPPTTTTTTSNGAMLDLPAQLELVDLKRVAFLSSAETPIQAGPKTKNCATVDEMGKVFKGRILKDSLRVRKLIQTHFSVNGAPRIRELPPEQFCRHGFVIGKASEAGFGNEMYKLLTAAALSVMLNRSLIIGQTRGKYPFGDYILYSNLTFTLREVKHLWRQNGCLKKYGRHLVMRIDDFEKPTKTNALCGNWRKWPQPIIWYQGTTDAVAAQFFLKNVHPDMRNAVSELFGKPESLQSRPNVFGELMRILISPSRDVEEAVNWVIGNGGRDPDITLHMRMLMNRYSNKQFQYLSYLLADIFSCREI >KJB38841 pep chromosome:Graimondii2_0_v6:6:50100303:50101934:-1 gene:B456_006G260100 transcript:KJB38841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQNAIFYLKSFADKGGAQLYVPPGRWLTGSFNLTSHLTLFLEKGAVILGSQDPSHWDIVEPLPSYGRGIELPGRRYRSLVNGYMLRDVVITGDNGTIDGQGSVWWEWFTSHSLNYTRPHLVEFVSSKDVHISNITFLNAPAYNIHPVYCSNVHIHNVSVYAPPGSPYTVGIVPDSSDNVCIEDCNISTGHDAIALKSGWDEYGIAYGRPTTNVHIRSVHLQSSTGSSLAFGSEMSGGISNVQVEHVHLYNSFTGIQFRTTKGRGGYLREIIISDANMLNINTAFSAIGDYGSHPDDKFNPDAFPSLEKITLHDIIGKNIIMAGNFSGIQQAPFTSMCLFNISLSMSSAFSSCWSCSNVSGYSELVFPQPCADLKNSNASSCFSILMRPNGRGGTGAIL >KJB38842 pep chromosome:Graimondii2_0_v6:6:50099907:50102801:-1 gene:B456_006G260100 transcript:KJB38842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMPVALLVLLALCNAIKVNGEGNYLQCDHNPTSQPRPHSVSILEFGAVGDGKTLNTMAFQNAIFYLKSFADKGGAQLYVPPGRWLTGSFNLTSHLTLFLEKGAVILGSQDPSHWDIVEPLPSYGRGIELPGRRYRSLVNGYMLRDVVITGDNGTIDGQGSVWWEWFTSHSLNYTRPHLVEFVSSKDVHISNITFLNAPAYNIHPVYCSNVHIHNVSVYAPPGSPYTVGIVPDSSDNVCIEDCNISTGHDAIALKSGWDEYGIAYGRPTTNVHIRSVHLQSSTGSSLAFGSEMSGGISNVQVEHVHLYNSFTGIQFRTTKGRGGYLREIIISDANMLNINTAFSAIGDYGSHPDDKFNPDAFPSLEKITLHDIIGKNIIMAGNFSGIQQAPFTSMCLFNISLSMSSAFSSCWSCSNVSGYSELVFPQPCADLKNSNASSCFSILMRPNGRGGTGAIL >KJB38840 pep chromosome:Graimondii2_0_v6:6:50099907:50102128:-1 gene:B456_006G260100 transcript:KJB38840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQVALLVLLALCNAIKVNGEGNYLQCDHNPTSQPRPHSVSILEFGAVGDGKTLNTMAFQNAIFYLKSFADKGGAQLYVPPGRWLTGSFNLTSHLTLFLEKGAVILGSQDPSHWDIVEPLPSYGRGIELPGRRYRSLVNGYMLRDVVITGDNGTIDGQGSVWWEWFTSHSLNYTRPHLVEFVSSKDVHISNITFLNAPAYNIHPVYCSNVHIHNVSVYAPPGSPYTVGIVPDSSDNVCIEDCNISTGHDAIALKSGWDEYGIAYGRPTTNVHIRSVHLQSSTGSSLAFGSEMSGGISNVQVEHVHLYNSFTGIQFRTTKGRGGYLREIIISDANMLNINTAFSAIGDYGSHPDDKFNPDAFPSLEKITLHDIIGKNIIMAGNFSGIQQAPFTSMCLFNISLSMSSAFSSCWSCSNVSGYSELVFPQPCADLKNSNASSCFSILMRPNGRGGTGAIL >KJB34328 pep chromosome:Graimondii2_0_v6:6:22066444:22070381:1 gene:B456_006G060100 transcript:KJB34328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLNPNWELKNCCNHEQVVFLVTVAVCTVVILALWRTILLRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGIYWLILPAGYLGSSFWGMVLILASTNLLTARIAAGCFVAALLIVLCVAKNWTLRGLCIGFVLFLGVVWILQETTKIRILRYIILFIGIMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGVGWGVIWGLISLVFLCGAMYIGLVILS >KJB34326 pep chromosome:Graimondii2_0_v6:6:22066358:22070489:1 gene:B456_006G060100 transcript:KJB34326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLNPNWELKNCCNHEQVVFLVTVAVCTVVILALWRTILLRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGIYWLILPAGYLGSSFWGMVLILASTNLLTARIAAGCFVAALLIVLCVAKNWTLRGLCIGFVLFLGVVWILQETTKIRILRYIILFIGIMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGVGWGVIWGLISLVFLCGAMYIGLVILS >KJB34327 pep chromosome:Graimondii2_0_v6:6:22066597:22069998:1 gene:B456_006G060100 transcript:KJB34327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLNPNWELKNCCNHEQVVFLVTVAVCTVVILALWRTILLRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGIYWLILPAGYLGSSFWGMVLILASTNLLTARIAAGCFVAALLIVLCVAKNWTLRGLCIGFVLFLGVVWILQETTKIRILRYIILFIGIMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGVGWGVICNM >KJB34663 pep chromosome:Graimondii2_0_v6:6:30166246:30175459:1 gene:B456_006G077300 transcript:KJB34663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKETVHRLEQESGFFFNMKYFEEKALAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFSTFNEELYKEITLLLTMENFRQNEQLSKYGDTKSARSIMLVELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSPPNGARAPTPVTLPVAAVAKPSTYAPLGVHGGPFPPAAAAAANANALAGWMANANHSSPVQPAVIAASASSLPVPQNQVSVLKHPRTPPDTLGMTEYGSTDHEQLMKRLRSAQSVDEVTYPAPSQQASLSLDDLPRSVACTIHQGSNVTSMDFHPTHHTLLTVGCSNGEISLWEVGMRERLVTKPFKIWDLSACSVTFQASIKDSSMSVSRVAWSPDGNLIGVAFSKHLVHLLAYQGSNDLRPHLEIEAHVGSVNDLAFSHPNKKLCVVTCGEDKLIKVWDLAGSKLFNFEGHEAPVYSVCPHQKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGQWCTTMLYSADGSRLFSCGTSKDGDSFLVEWNESEGKIKRTYSGFRKNATGVVQFDTTRNRFLAVGDDSQIKFWHMDSTNMLTSTEAEGGLPSLPRLRFNKEGNLLVVTTADNGFKVLANANGLRTLRAMEARSYEASRTPLEMKVSSSAMGTSIGPVVSKNGVELMSRGIEKPRNLGHVSEKTKTWELTEIVDATQCRTVAMPDNLDTASKVARLLYTNSGVGVLALGTNGVQKLWKWSRSEQNPSGKATASIVPQLWQPNSGLLMTNDVPDNSEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMAPPPASTFLAFHPQDNNIIAIGMDDSAIHIYNVRVDEVKTKLKGHQKRISGLAFSTSLNILVSSGADAQLFFWNTDNWEKIKSVTLQLPAGKTSQGDTRVQFHSDQVRLLVCHETQLAIYDVNKMECIRQWMPQEVLSSSLSCAVYSCNSQLVYATFTDDNIGVFDADSLRLRCRIAPSAYISPVSSNSPTIHPLVVAAHPQEANQIAVGLSNGAVKVIEPSEAERKWGLHVPVDNGTENGRTATSSTTNTSEQLQR >KJB34664 pep chromosome:Graimondii2_0_v6:6:30166526:30174856:1 gene:B456_006G077300 transcript:KJB34664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKETVHRLEQESGFFFNMKYFEEKALAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFSTFNEELYKEITLLLTMENFRQNEQLSKYGDTKSARSIMLVELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSPPNGARAPTPVTLPVAAVAKPSTYAPLGVHGGPFPPAAAAAANANALAGWMANANHSSPVQPAVIAASASSLPVPQNQVSVLKHPRTPPDTLGMTEYGSTDHEQLMKRLRSAQSVDEVTYPAPSQQASLSLDDLPRSVACTIHQGSNVTSMDFHPTHHTLLTVGCSNGEISLWEVGMRERLVTKPFKIWDLSACSVTFQASIKDSSMSVSRVAWSPDGNLIGVAFSKHLVHLLAYQGSNDLRPHLEIEAHVGSVNDLAFSHPNKKLCVVTCGEDKLIKVWDLAGSKLFNFEGHEAPVYSVCPHQKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGQWCTTMLYSADGSRLFSCGTSKDGDSFLVEWNESEGKIKRTYSGFRKNATGVVQFDTTRNRFLAVGDDSQIKFWHMDSTNMLTSTEAEGGLPSLPRLRFNKEGNLLVVTTADNGFKVLANANGLRTLRAMEARSYEASRTPLEMKVSSSAMGTSIGPVVSKVERVDSPARPAPSLNGVELMSRGIEKPRNLGHVSEKTKTWELTEIVDATQCRTVAMPDNLDTASKVARLLYTNSGVGVLALGTNGVQKLWKWSRSEQNPSGKATASIVPQLWQPNSGLLMTNDVPDNSEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMAPPPASTFLAFHPQDNNIIAIGMDDSAIHIYNVRVDEVKTKLKGHQKRISGLAFSTSLNILVSSGADAQLFFWNTDNWEKIKSVTLQLPAGKTSQGDTRVQFHSDQVRLLVCHETQLAIYDVNKMECIRQWMPQEVLSSSLSCAVYSCNSQLVYATFTDDNIGVFDADSLRLRCRIAPSAYISPVSSNSYHLLEVG >KJB34661 pep chromosome:Graimondii2_0_v6:6:30166223:30175511:1 gene:B456_006G077300 transcript:KJB34661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKETVHRLEQESGFFFNMKYFEEKALAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFSTFNEELYKEITLLLTMENFRQNEQLSKYGDTKSARSIMLVELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSPPNGARAPTPVTLPVAAVAKPSTYAPLGVHGGPFPPAAAAAANANALAGWMANANHSSPVQPAVIAASASSLPVPQNQVSVLKHPRTPPDTLGMTEYGSTDHEQLMKRLRSAQSVDEVTYPAPSQQASLSLDDLPRSVACTIHQGSNVTSMDFHPTHHTLLTVGCSNGEISLWEVGMRERLVTKPFKIWDLSACSVTFQASIKDSSMSVSRVAWSPDGNLIGVAFSKHLVHLLAYQGSNDLRPHLEIEAHVGSVNDLAFSHPNKKLCVVTCGEDKLIKVWDLAGSKLFNFEGHEAPVYSVCPHQKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGQWCTTMLYSADGSRLFSCGTSKDGDSFLVEWNESEGKIKRTYSGFRKNATGVVQFDTTRNRFLAVGDDSQIKFWHMDSTNMLTSTEAEGGLPSLPRLRFNKEGNLLVVTTADNGFKVLANANGLRTLRAMEARSYEASRTPLEMKVSSSAMGTSIGPVVSKVERVDSPARPAPSLNGVELMSRGIEKPRNLGHVSEKTKTWELTEIVDATQCRTVAMPDNLDTASKVARLLYTNSGVGVLALGTNGVQKLWKWSRSEQNPSGKATASIVPQLWQPNSGLLMTNDVPDNSEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMAPPPASTFLAFHPQDNNIIAIGMDDSAIHIYNVRVDEVKTKLKGHQKRISGLAFSTSLNILVSSGADAQLFFWNTDNWEKIKSVTLQLPAGKTSQGDTRVQFHSDQVRLLVCHETQLAIYDVNKMECIRQWMPQEVLSSSLSCAVYSCNSQLVYATFTDDNIGVFDADSLRLRCRIAPSAYISPVSSNSPTIHPLVVAAHPQEANQIAVGLSNGAVKVIEPSEAERKWGLHVPVDNGTENGRTATSSTTNTSEQLQR >KJB34662 pep chromosome:Graimondii2_0_v6:6:30166246:30172460:1 gene:B456_006G077300 transcript:KJB34662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKETVHRLEQESGFFFNMKYFEEKALAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFSTFNEELYKEITLLLTMENFRQNEQLSKYGDTKSARSIMLVELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSPPNGARAPTPVTLPVAAVAKPSTYAPLGVHGGPFPPAAAAAANANALAGWMANANHSSPVQPAVIAASASSLPVPQNQVSVLKHPRTPPDTLGMTEYGSTDHEQLMKRLRSAQSVDEVTYPAPSQQASLSLDDLPRSVACTIHQGSNVTSMDFHPTHHTLLTVGCSNGEISLWEVGMRERLVTKPFKIWDLSACSVTFQASIKDSSMSVSRVAWSPDGNLIGVAFSKHLVHLLAYQGSNDLRPHLEIEAHVGSVNDLAFSHPNKKLCVVTCGEDKLIKVWDLAGSKLFNFEGHEAPVYSVCPHQKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGQWCTTMLYSADGSRLFSCGTSKDGDSFLVEWNESEGKIKRTYSGFRKNATGVVQFDTTRNRFLAVGDDSQIKFWHMDSTNMLTSTEAEGGLPSLPRLRFNKEGNLLVVTTADNGFKVLANANGLRTLRAMEARSYEASRTPLEMKVSSSAMGTSIGPVVSKVERVDSPARPAPSLNGVELMSRGIEKPRNLGHVSEKTKTWELTEIVDATQCRTVAMPDNLDTASKVARLLYTNSGVGVLALGTNGVQKLWKWSRSEQNPSGKATASIVPQLWQPNSGLLMTNDVPDNSEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVN >KJB35920 pep chromosome:Graimondii2_0_v6:6:38791927:38795328:-1 gene:B456_006G133600 transcript:KJB35920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFEESLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCSPDPPSGLDPVGSRMNPTAAAAGSRPGVILVSSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCSERACWHTHCTSAVDLALDTLSAARYFGVEQLALLTQKQLSSMVEKASIEDVMKVLIASRKQDMHQLWSTCSHLVAKSGLPPEVLAKHLPIDVVAKIEEMRLKSSLARRSLIPHHHQQHHHDLATAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKTLLELGAADVNYPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRCRGLLTLNRISLGYVLSLFNPQLWLSRVKKETQTHQLQLRFTHQ >KJB35921 pep chromosome:Graimondii2_0_v6:6:38792412:38795003:-1 gene:B456_006G133600 transcript:KJB35921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFEESLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCSPDPPSGLDPVGSRMNPTAAAAGSRPGVILVSSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCSERACWHTHCTSAVDLALDTLSAARYFGVEQLALLTQKQLSSMVEKASIEDVMKVLIASRKQDMHQLWSTCSHLVAKSGLPPEVLAKHLPIDVVAKIEEMRLKSSLARRSLIPHHHQQHHHDLATAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKTLLELGAADVNYPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVISREEGNANPPTSTAVYPPMSDEHNSSSSGSNLANLNLDSRLVYLNLGATGSTQMGSRMEGDDDSSHNSHREAMNRHDPTMYHHSHDF >KJB36968 pep chromosome:Graimondii2_0_v6:6:44220870:44222217:1 gene:B456_006G185000 transcript:KJB36968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHANPPKSSLLLHRINSDTPAHNSTTSTPDNSFMLCQKRYPLTCNMPVPDTVARHHTHPVGPNQCCSAVVQQIAAPVSTVWSVVRRFDNPQAYKHFVKSCHVIVGDGEVGTLREVSVISGLPAARSTERLEILDDERHVISFSVVGGDHRLANYRSVTTLHPSTSGNGTVVVESYVVDVPLGNTKDDTCVFVDTIVRCNLQSLAQIAENLSSRK >KJB35334 pep chromosome:Graimondii2_0_v6:6:35446140:35446676:1 gene:B456_006G1096001 transcript:KJB35334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLCRRIYFVANVSKKIYKNLNRKINLAIQLAKLYEPYTFFLGSFNDGNLVELQRVAEEQGIDLVEFNFDSESIEWEEYMMNIHIPGLLNYGIKS >KJB36143 pep chromosome:Graimondii2_0_v6:6:40149364:40151033:1 gene:B456_006G143400 transcript:KJB36143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSTTMLPSNIANYASFFLLIISIILLIVGSKAQLNSTFYDNTCPNASSIVRGVIQQALQSDVRIGASLIRLHFHDCFVNGCDGSILLDNSANIQSEKDAAPNTNSTRGFNVVDNIKTALENSCPGIVSCADILALAAEASVSLQGGPSWTVLLGRRDSLTANQAGANSSIPTPFESLTNITAKFTAVGLNTNDLVALSGAHTFGRAQCRLFSSRLYNFSGTGNPDPTLNSSYLTTLQQICPQSGSGFNVANLDPTTPDTFDNNYFTNLQNNQGLLQSDQELFSTSGAPTISIVNTFSSNQTAFFQSFAQSMINMGNISPLTGSNGEIRSDCKKVNGS >KJB36144 pep chromosome:Graimondii2_0_v6:6:40149431:40150992:1 gene:B456_006G143400 transcript:KJB36144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSTTIIVRGVIQQALQSDVRIGASLIRLHFHDCFVNGCDGSILLDNSANIQSEKDAAPNTNSTRGFNVVDNIKTALENSCPGIVSCADILALAAEASVSLQGGPSWTVLLGRRDSLTANQAGANSSIPTPFESLTNITAKFTAVGLNTNDLVALSGAHTFGRAQCRLFSSRLYNFSGTGNPDPTLNSSYLTTLQQICPQSGSGFNVANLDPTTPDTFDNNYFTNLQNNQGLLQSDQELFSTSGAPTISIVNTFSSNQTAFFQSFAQSMINMGNISPLTGSNGEIRSDCKKVNGS >KJB32986 pep chromosome:Graimondii2_0_v6:6:38758785:38761880:-1 gene:B456_006G1333002 transcript:KJB32986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGLEGDGSNGEALGKCLSGLVDDGSTESHRYYLSRRTVLEMLRDRGYAVPNTEIDLSLHEFRAIHGQSPDFDRLKLSVTHKTDSSKRQTLVVFYGPGVVKVSGIRLIAGLITSKETLTGLILIVQNHITNQALKALDLFSFKVEIFQITDLLVNITKHVLKPQHRVLTEHEKQRLLQKYSIEEKQLPRLLKKDAIARYYGFEKGQVIKVTYGGEITESHVTYRCVW >KJB32989 pep chromosome:Graimondii2_0_v6:6:38759235:38761787:-1 gene:B456_006G1333002 transcript:KJB32989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGLEGDGSNGEALGKCLSGLVDDGSTESHRYYLSRRTVLEMLRDRGYAVPNTEIDLSLHEFRAIHGQSPDFDRLKLSVTHKTDSSKRTLVVFYGPGVVKVSGIRLIAGLITSKETLTGLILIVQNHITNQALKALDLFSFKVEIFQITDLLVNITKHVLKPQHRVLTEHEKQRLLQKYSIEEKQEYDLKGSFKYMENTWKFFTILMSYMYLTFTS >KJB32990 pep chromosome:Graimondii2_0_v6:6:38759393:38761901:-1 gene:B456_006G1333002 transcript:KJB32990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGLEGDGSNGEALGKCLSGLVDDGSTESHRYYLSRRTVLEMLRDRGYAVPNTEIDLSLHEFRAIHGQSPDFDRLKLSVTHKTDSSKRTLVVFYGPGVVKVSGIRLIAGLITSKETLTGLILIVQNHITNQALKALDLFSFKVEIFQITDLLVNITKHVLKPQHRVLTEHEKQRLLQKYSIEEKQAAILTVGRALVEYYSCEHQNPY >KJB32985 pep chromosome:Graimondii2_0_v6:6:38758785:38761901:-1 gene:B456_006G1333002 transcript:KJB32985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGLEGDGSNGEALGKCLSGLVDDGSTESHRYYLSRRTVLEMLRDRGYAVPNTEIDLSLHEFRAIHGQSPDFDRLKLSVTHKTDSSKRTLVVFYGPGVVKVSGIRLIAGLITSKETLTGLILIVQNHITNQALKALDLFSFKVEIFQITDLLVNITKHVLKPQHRVLTEHEKQRLLQKYSIEEKQLPRLLKKDAIARYYGFEKGQVIKVTYGGEITESHVTYRCVW >KJB32988 pep chromosome:Graimondii2_0_v6:6:38759534:38761787:-1 gene:B456_006G1333002 transcript:KJB32988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGLEGDGSNGEALGKCLSGLVDDGSTESHRYYLSRRTVLEMLRDRGYAVPNTEIDLSLHEFRAIHGQSPDFDRLKLSVTHKTDSSKRTLVVFYGPGVVKVSGIRLIAGLITSKETLTGLILIVQNHITNQALKALDLFSFKVEIFQITDLLVNITKHVLKPQHRVLTEHEKQRLLQKYSIEEKQVSFKRLRTLVEYIYIILHIARV >KJB32987 pep chromosome:Graimondii2_0_v6:6:38758675:38761985:-1 gene:B456_006G1333002 transcript:KJB32987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGLEGDGSNGEALGKCLSGLVDDGSTESHRYYLSRRTVLEMLRDRGYAVPNTEIDLSLHEFRAIHGQSPDFDRLKLSVTHKTDSSKRTLVVFYGPGVVKVSGIRLIAGLITSKETLTGLILIVQNHITNQALKALDLFSFKVEIFQITDLLVNITKHVLKPQHRVLTEHEKQRLLQKYSIEEKQLPRLLKKDAIARYYGFEKGQVIKVTYGGEITESHVTYRCVW >KJB34135 pep chromosome:Graimondii2_0_v6:6:16843407:16847598:1 gene:B456_006G049300 transcript:KJB34135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFGRKGPSGFSSSSTAEEVTQGIDGTGLTAIVTGASSGIGTETARVLALRGVHVIMGVRNVAAGRDVKEAIVKEIPTAKVDTMELDLSSMASVRKFAADFSSSGHPLNLLINNAGIMATPFMLSKDNIELQFATNHIGHFLLTNLLLDTMKKAARTSKREGRIINVSSEAHRYTYKEGIQFDKINDQSGYSNFAAYGQSKLANVLHANELAKSLKVDGVDITANSLHPGAIVTNLFRHMGPISGLVNIFGKIALKNVQQGAATTCYVALHPQVKGRSGEYFKDSNIGQASAHGRNAELAKKLWDFSMKMVK >KJB34137 pep chromosome:Graimondii2_0_v6:6:16843514:16847589:1 gene:B456_006G049300 transcript:KJB34137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFGRKGPSGFSSSSTAEEVTQGIDGTGLTAIVTGASSGIGTETARVLALRGVHVIMGVRNVAAGRDVKEAIVKEIPTAKVDTMELDLSSMASVRKFAADFSSSGHPLNLLINNAGIMATPFMLSKDNIELQFATNHIGHFLLTNLLLDTMKKAARTSKREGRIINVSSEAHRYTYKEGIQFDKINDQSGNFAAYGQSKLANVLHANELAKSLKVDGVDITANSLHPGAIVTNLFRHMGPISGLVNIFGKIALKNVQQGAATTCYVALHPQVKGRSGEYFKDSNIGQASAHGRNAELAKKLWDFSMKMVK >KJB34139 pep chromosome:Graimondii2_0_v6:6:16843902:16847589:1 gene:B456_006G049300 transcript:KJB34139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVIIGASSGIGTETARVLALRGVHVIMGVRNVAAGRDVKEAIVKEIPTAKVDTMELDLSSMASVRKFAADFSSSGHPLNLLINNAGIMATPFMLSKDNIELQFATNHIGHFLLTNLLLDTMKKAARTSKREGRIINVSSEAHRYTYKEGIQFDKINDQSGYSNFAAYGQSKLANVLHANELAKSLKVDGVDITANSLHPGAIVTNLFRHMGPISGLVNIFGKIALKNVQQGAATTCYVALHPQVKGRSGEYFKDSNIGQASAHGRNAELAKKLWDFSMKMVK >KJB34136 pep chromosome:Graimondii2_0_v6:6:16843514:16845062:1 gene:B456_006G049300 transcript:KJB34136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFGRKGPSGFSSSSTAEEVTQGIDGTGLTAIVTGASSGIGTETARVLALRGVHVIMGVRNVAAGRDVKEAIVKEIPTAKVDTMELDLSSMASVRKFAADFSSSGHPLNLLINNAGIMATPFMLSKDNIELQFATNHIGHFLLTNLLLDTMKKAARTSKREGRIINVSSEAHRYTYKEGIQFDKINDQSG >KJB34138 pep chromosome:Graimondii2_0_v6:6:16843514:16847589:1 gene:B456_006G049300 transcript:KJB34138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFGRKGPSGFSSSSTAEEVTQGIDGTGLTAIVTGASSGIGTETARVLALRGVHVIMGVRNVAAGRDVKEAIVKEIPTAKVDTMELDLSSMASVRKFAADFSSSGHPLNLLINNAGIMATPFMLSKDNIELQFATNHIVFTLWKQPIFIVMVNLEHLGHFLLTNLLLDTMKKAARTSKREGRIINVSSEAHRYTYKEGIQFDKINDQSGYSNFAAYGQSKLANVLHANELAKSLKVDGVDITANSLHPGAIVTNLFRHMGPISGLVNIFGKIALKNVQQGAATTCYVALHPQVKGRSGEYFKDSNIGQASAHGRNAELAKKLWDFSMKMVK >KJB34590 pep chromosome:Graimondii2_0_v6:6:29533815:29536145:1 gene:B456_006G074200 transcript:KJB34590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASSFIVNFLRLNPRTVPNPNTQIRNLTIESKETSFIVKEIVKNQKPCEEQLNDSVRQSNLDIVKQVCKITRTVPRWEETLVSHFPSFNFSEPWFFRELLRQQDNVFFSLRFFHWLRSEYEFSPDFDSCNMLFDKLVEAKASKAARNFLQQTGFEPKPGSLERYLRCLCENESVEEAVDVFSTLSEIGHCPSIETWNLALSACLKVGRNDLMWKLYQDMVESGIGVNIDVGTLGCLVQAFCIDGKASKGYKILQQNLADGLVPDTVAFHKLIAAFCKMKDYGRVSQLLHTMIATDRAPNIYTYQEVINGLCKNRKWLEGFRIFNDLKDRGHSPDRVMYTTIIHGLCKIGELREARKLWFEMINKGMVPNEYTYNALLNGLYRAHNLKEAERLYKEMLEKGYGEMTVSYNTMITGLCSHGKTDEAYCLFEEMPRKGVVRDLITFNNLIRGFCVEGKVVESLNLLHELLAQGLQPSASSYTPIIKCLCQAGHIEEAESLLNDMHSQGLEPKDCTRNHLIFGLCKQGHVAEGMERFKEMLENQLKPQKKTLEKLIQSLSESDRLDDSLLVLDFMFRLGYALKTSICHSIVTKFCQRNTHLVESCLSEVLETN >KJB34592 pep chromosome:Graimondii2_0_v6:6:29534091:29539668:1 gene:B456_006G074200 transcript:KJB34592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASSFIVNFLRLNPRTVPNPNTQIRNLTIESKETSFIVKEIVKNQKPCEEQLNDSVRQSNLDIVKQVCKITRTVPRWEETLVSHFPSFNFSEPWFFRELLRQQDNVFFSLRFFHWLRSEYEFSPDFDSCNMLFDKLVEAKASKAARNFLQQTGFEPKPGSLERYLRCLCENESVEEAVDVFSTLSEIGHCPSIETWNLALSACLKVGRNDLMWKLYQDMVESGIGVNIDVGTLGCLVQAFCIDGKASKGYKILQQNLADGLVPDTVAFHKLIAAFCKMKDYGRVSQLLHTMIATDRAPNIYTYQEVINGLCKNRKWLEGFRIFNDLKDRGHSPDRVMYTTIIHGLCKIGELREARKLWFEMINKGMVPNEYTYNALLNGLYRAHNLKEAERLYKEMLEKGYGEMTVSYNTMITGLCSHGKTDEAYCLFEEMPRKGVVRDLITFNNLIRGFCVEGKVVESLNLLHELLAQGLQPSASSYTPIIKCLCQAGHIEEAESLLNDMHSQGLEPKDCTRNHLIFGLCKQGHVAEGMERFKEMLENQLKPQKKTLEKLIQSLSESDSFPTVSFYRSHFPGKHTTEREKMFAYDRVSHSSANKADEIDLESGETLYPGLSYGENQLRWGFIRKVYGILAAQLVLTTVVSAFVVLSAPVNELLRGNSGLLLFLCLIPFVLLWPLHVYHQKHPVNLVILGLFTVSLSLTVGVSCANTDG >KJB34591 pep chromosome:Graimondii2_0_v6:6:29533872:29539735:1 gene:B456_006G074200 transcript:KJB34591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASSFIVNFLRLNPRTVPNPNTQIRNLTIESKETSFIVKEIVKNQKPCEEQLNDSVRQSNLDIVKQVCKITRTVPRWEETLVSHFPSFNFSEPWFFRELLRQQDNVFFSLRFFHWLRSEYEFSPDFDSCNMLFDKLVEAKASKAARNFLQQTGFEPKPGSLERYLRCLCENESVEEAVDVFSTLSEIGHCPSIETWNLALSACLKVGRNDLMWKLYQDMVESGIGVNIDVGTLGCLVQAFCIDGKASKGYKILQQNLADGLVPDTVAFHKLIAAFCKMKDYGRVSQLLHTMIATDRAPNIYTYQEVINGLCKNRKWLEGFRIFNDLKDRGHSPDRVMYTTIIHGLCKIGELREARKLWFEMINKGMVPNEYTYNALLNGLYRAHNLKEAERLYKEMLEKGYGEMTVSYNTMITGLCSHGKTDEAYCLFEEMPRKGVVRDLITFNNLIRGFCVEGKVVESLNLLHELLAQGLQPSASSYTPIIKCLCQAGHIEEAESLLNDMHSQGLEPKDCTRNHLIFGLCKQGHVAEGMERFKEMLENQLKPQKKTLEKLIQSLSESDSFPTVSFYRSHFPGKHTTEREKMFAYDRVSHSSANKADEIDLESGETLYPGLSYGENQLRWGFIRKVYGILAAQLVLTTVVSAFVVLSAPVNELLRGNSGLLLFLCLIPFVLLWPLHVYHQKHPVNLVILGLFTVSLSLTVGVSCANTDGRIVLEALILTAAVVASLTGYTFWASKKGKDFSYLGPILFTGLIILILTGLIQMFFPLGPTSTAVYGGISALIFCGYIVYDTDNLIKRFTYDDYILASATLYLDILNLFISILRVLRSGDN >KJB33576 pep chromosome:Graimondii2_0_v6:6:4771772:4772716:-1 gene:B456_006G019100 transcript:KJB33576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQKYFKRKGGLLLQQHLSSNEGNVEKIKLFTSKEMEKVMDHYNENRILGQGGQGTVYKGMLIDGSIMAIKKSKMVEGKKFDEKKVEQFINEVIILSQINHRNVVKLLGCCLEAKVPLLVYEFIPNGTLYDLIHNQNEEFPLTWEMRLRIAIKIANALFYLHSTASAPIYHRDIKSSNILLDDKYRAKVSDFGTSRSIALEQTHLTTRVQGTFGYMDPEYFRTNQFTEKSDVYSFGVVLIELLTGQKPISANQSEQVRSLVSYFLHSMQENSLFSILNPMVVKDGPEQEIMAVALLAKRCLNLNGKKRPTINK >KJB37403 pep chromosome:Graimondii2_0_v6:6:45954757:45961252:1 gene:B456_006G203300 transcript:KJB37403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAASVAAGRSGSLPMTSPSRKEWRAVSELHVVQNLGDEVEFERSKMEQSDERTIYEIHNVSRQREELQRMEVELRAQAIARPRILDLQSSCDAKIEAHADATAKLEEQIHESEKTINELKRRMEEKDRELNAIKVEKEEAWAKEDLLREQNKELATFRRERDHSEAERARHRKQIHDLQEHVEEKERQLMELQEQYRAAQETILYKDEQLREVQTWISSVQEMDALQSSTNHSLQAELRERTEQYNQLWHGCQRQFVEMERLHLQTIHQLQFELADARERNSSYTDESHASQAKSKDLSEFGKNNGNQVDSNGSVSTNNAWVISNGACNSVQSFTSDGNSPTEHHNDHNPSIPIAPSSLLGMPTYLPPGQVTALHSFVMHQQGFPHSVASQVGQYSMPTISSTQQWQNQQISPEDFQLSAQNQVPPSQTDQSFVRSDLKYEYKMSVNEQAISPDRLNHISQGPDINSLISSSAVKAQVLDSIKSSFIVDPQPEPSLEQVSSQFHGALTLGTREQSCESQELIILNMNNHVLEDQVLSAEEASTAASPSPPDSSEHSVNFKGTMTSNGANAIMPEKSVSTGQTNILISAKTSETALLDERSLLACIVRTIPTGGRIRISSTLPNRLGKMLLPLHWHDYKKKYGKLDDFVASHPTLFVIEGDYIWLQEGAQEMIAATAAVAKVAAAAEAPSSYSFLPSVSVTPIAQRNRLKKALRSIDSYHVRENALFKEHAGITKIASNGQQLVMQNQHPNGICVDVSRGFSNVKILSQSKDPSVTRVESMASGHGRSNSNVVGKQQSRTTGAALSSRR >KJB37402 pep chromosome:Graimondii2_0_v6:6:45954727:45962213:1 gene:B456_006G203300 transcript:KJB37402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAASVAAGRSGSLPMTSPSRKEWRAVSELHVVQNLGDEVEFERSKMEQSDERTIYEQQIHNVSRQREELQRMEVELRAQAIARPRILDLQSSCDAKIEAHADATAKLEEQIHESEKTINELKRRMEEKDRELNAIKVEKEEAWAKEDLLREQNKELATFRRERDHSEAERARHRKQIHDLQEHVEEKERQLMELQEQYRAAQETILYKDEQLREVQTWISSVQEMDALQSSTNHSLQAELRERTEQYNQLWHGCQRQFVEMERLHLQTIHQLQFELADARERNSSYTDESHASQAKSKDLSEFGKNNGNQVDSNGSVSTNNAWVISNGACNSVQSFTSDGNSPTEHHNDHNPSIPIAPSSLLGMPTYLPPGQVTALHSFVMHQQGFPHSVASQVGQYSMPTISSTQQWQNQQISPEDFQLSAQNQVPPSQTDQSFVRSDLKYEYKMSVNEQAISPDRLNHISQGPDINSLISSSAVKAQVLDSIKSSFIVDPQPEPSLEQVSSQFHGALTLGTREQSCESQELIILNMNNHVLEDQVLSAEEASTAASPSPPDSSEHSVNFKGTMTSNGANAIMPEKSVSTGQTNILISAKTSETALLDERSLLACIVRTIPTGGRIRISSTLPNRLGKMLLPLHWHDYKKKYGKLDDFVASHPTLFVIEGDYIWLQEGAQEMIAATAAVAKVAAAAEAPSSYSFLPSVSVTPIAQRNRLKKALRSIDSYHVRENALFKEHAGITKIASNGQQLVMQNQHPNGICVDVSRGFSNVKILSQSKDPSVTRVESMASGHGRSNSNVVGKQQSRTTGAALSSRR >KJB37404 pep chromosome:Graimondii2_0_v6:6:45954757:45962205:1 gene:B456_006G203300 transcript:KJB37404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAASVAAGRSGSLPMTSPSRKEWRAVSELHVVQNLGDEVEFERSKMEQSDERTIYEQQIHNVSRQREELQRMEVELRAQAIARPRILDLQSSCDAKIEAHADATAKLEEQIHESEKTINELKRRMEEKDRELNAIKVEKEEAWAKEDLLREQNKELATFRRERDHSEAERARHRKQIHDLQEHVEEKERQLMELQEQYRAAQETILYKDEQLREVQTWISSVQEMDALQSSTNHSLQAELRERTEQYNQLWHGCQRQFVEMERLHLQTIHQLQFELADARERNSSYTDESHASQAKSKDLSEFGKNNGNQVDSNGSVSTNNAWVISNGACNSVQSFTSDGNSPTEGFPHSVASQVGQYSMPTISSTQQWQNQQISPEDFQLSAQNQVPPSQTDQSFVRSDLKYEYKMSVNEQAISPDRLNHISQGPDINSLISSSAVKAQVLDSIKSSFIVDPQPEPSLEQVSSQFHGALTLGTREQSCESQELIILNMNNHVLEDQVLSAEEASTAASPSPPDSSEHSVNFKGTMTSNGANAIMPEKSVSTGQTNILISAKTSETALLDERSLLACIVRTIPTGGRIRISSTLPNRLGKMLLPLHWHDYKKKYGKLDDFVASHPTLFVIEGDYIWLQEGAQEMIAATAAVAKVAAAAEAPSSYSFLPSVSVTPIAQRNRLKKALRSIDSYHVRENALFKEHAGITKIASNGQQLVMQNQHPNGICVDVSRGFSNVKILSQSKDPSVTRVESMASGHGRSNSNVVGKQQSRTTGAALSSRR >KJB35681 pep chromosome:Graimondii2_0_v6:6:37501077:37510450:-1 gene:B456_006G123800 transcript:KJB35681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLSRTGPVERDVEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNERSLDLICKDKDEAEVWFSGLKALISRSHQRKWRTESRSDGIPSEANSPRTYTRRSSPLHSPFSSNDSLQKDGRDHLGPHSPYGSPPKNGLDKAFSDVLYTVPPKGFFPPDSASGSVHSLSSAGSDSVHGHMKTMAMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGLHKVDSCGIKMDSFLPKALESAVVLDVQNIACGGRHAALVTKQGEVFSWGEESGGQLGHGVDTDVLHPKLIDALSNTSIELVACGEYHTCAVTLSGDLYTWGDGMYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRNSVSIPREVESLKGLRTVRAACGVWHTAAVVEVMAGNSSSSNCSSGKLFTWGDGDKGRLGHGDKETKFVPTCVAALVEPNFCQVACGHSLTVVLTTSGHVYTMGSSVYGQLGNPQADGKVPTRVEGKLSKSFVEEISCGAYHVAALTSRTEVYTWGKGANGRLGHGDADDRNTPTLLEALKDKQVKSIACGTNFTAAICLHKWVSGIDQSMCSGCRLQFNFKRKRHNCYNCGLAFCHACSCKKCLKASMAPNPNKPYRVCDNCFNKLRKAIETEASSQSSVSRRGSINHGTSEFVDKDDKVEFKTRAQLARFSSMESLKQGESRSKRNKKLEFNSSRVSPVPNGGSQWGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNDNLSQEVVRLRSQDILQYRRSTLVFFVLWRILHVKHNFKKLSWRKQRNS >KJB35682 pep chromosome:Graimondii2_0_v6:6:37501077:37511052:-1 gene:B456_006G123800 transcript:KJB35682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTDRMASDLSRTGPVERDVEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNERSLDLICKDKDEAEVWFSGLKALISRSHQRKWRTESRSDGIPSEANSPRTYTRRSSPLHSPFSSNDSLQKDGRDHLGPHSPYGSPPKNGLDKAFSDVLYTVPPKGFFPPDSASGSVHSLSSAGSDSVHGHMKTMAMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGLHKVDSCGIKMDSFLPKALESAVVLDVQNIACGGRHAALVTKQGEVFSWGEESGGQLGHGVDTDVLHPKLIDALSNTSIELVACGEYHTCAVTLSGDLYTWGDGMYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRNSVSIPREVESLKGLRTVRAACGVWHTAAVVEVMAGNSSSSNCSSGKLFTWGDGDKGRLGHGDKETKFVPTCVAALVEPNFCQVACGHSLTVVLTTSGHVYTMGSSVYGQLGNPQADGKVPTRVEGKLSKSFVEEISCGAYHVAALTSRTEVYTWGKGANGRLGHGDADDRNTPTLLEALKDKQVKSIACGTNFTAAICLHKWVSGIDQSMCSGCRLQFNFKRKRHNCYNCGLAFCHACSCKKCLKASMAPNPNKPYRVCDNCFNKLRKAIETEASSQSSVSRRGSINHGTSEFVDKDDKVEFKTRAQLARFSSMESLKQGESRSKRNKKLEFNSSRVSPVPNGGSQWGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNDNLSQEVVRLRSQVENLTRKAQLQEVELEKTTKQLKEAIAIADEETAKCKAAKEVIKSLTAQLKDMAERLPMGAARSIKSPSFTSFGSNPASGDVSSVSIDRLNGQLVCQEQDSNVLNSQLLSNGSSIASTRSSGHNKQSHIEPATKSGGRTKESESRNENEWVEQDEPGVYITLTSLPGGAKDLKRVRFSKKRFSEKQAEQWWAENRARVYEQYNVRMIDKSSVGVGSEDLAH >KJB36800 pep chromosome:Graimondii2_0_v6:6:43467308:43472141:-1 gene:B456_006G176800 transcript:KJB36800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGKVGSLISQGVYSVATPFHPFGGAVDVIVVQQQDGTFRSTPWYVRFGKFQGVLKGAEKVVRITVNGVEADFHMCLDNSGEAYFAREVDAGKGYDTNGELKDSESELTHDDGNRHIAQFCRLEHSLSDSRVALLRDELDAINPKRLERSESDARFYEFQDEQSFLEDSVNVSDVGPGQCDGSDGACLGDAQDLDSEVVLVSVDGHMLTAPVSASEENDEDVQLSTPRFHIGPGEEPECCNGNEELISGDDVCAAGCINKLSSAADKDSHDISVNSYSTALRHHPEVCVEGREQGCQTEVTQNLSNHQSEFNRPSDVGDASVIKRRGEVFQSCLELSELGRHGENTDPVGTNKPSKAHISHDKPSCSPPDFNEIKDGDVGDSRKEDLLSSSNNNGSPDLPVENKELESDCLGPNNASVGNGSQVNDEQFGTSALTDGINGSPQIPEPENKSSQSESVKTESTSGKETEAHANPGFEISLCGKKLHAGMGLDAAAAVFEAHRISKEEFKNNARSIIKNSNLIVRFGDRYFPWEKAASVILGMAAFGSELSLEPRDAIRVEQDESSNSRGDDSVVTSTSSSRGWNLWPIPFTKGKTLEHTDSNSSSEELFVDSESDIQNTQAGSTLDSSRKQFIRTNVPTTEQIASLNLKDGQNMITFSFSTRVFGNQEVDAHLYLWKWNDKIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVAKLFSAIKENGYQLLFLSARAIVQAYLTRNYLLNLKQDGKALPNGPVVISPDGLFPSLYREGKVFYFPPHFFHCLLK >KJB36801 pep chromosome:Graimondii2_0_v6:6:43468709:43472750:-1 gene:B456_006G176800 transcript:KJB36801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGKVGSLISQGVYSVATPFHPFGGAVDVIVVQQQDGTFRSTPWYVRFGKFQGVLKGAEKVVRITVNGVEADFHMCLDNSGEAYFAREVDAGKGYDTNGELKDSESELTHDDGNRHIAQFCRLEHSLSDSRVALLRDELDAINPKRLERSESDARFYEFQDEQSFLEDSVNVSDVGPGQCDGSDGACLGDAQDLDSEVVLVSVDGHMLTAPVSASEENDEDVQLSTPRFHIGPGEEPECCNGNEELISGDDVCAAGCINKLSSAADKDSHDISVNSYSTALRHHPEVCVEGREQGCQTEVTQNLSNHQSEFNRPSDVGDASVIKRRGEVFQSCLELSELGRHGENTDPVGTNKPSKAHISHDKPSCSPPDFNEIKDGDVGDSRKEDLLSSSNNNGSPDLPVENKELESDCLGPNNASVGNGSQVNDEQFGTSALTDGINGSPQIPEPENKSSQSESVKTESTSGKETEAHANPGFEISLCGKKLHAGMGLDAAAAVFEAHRISKEEFKNNARSIIKNSNLIVRFGDRYFPWEKAASVILGMAAFGSELSLEPRDAIRVEQDESSNSRGDDSVVTSTSSSRGWNLWPIPFTKGKTLEHTDSNSSSEELFVDSESDIQNTQAGSTLDSSRKQFIRTNVPTTEQIASLNLKDGQNMITFSFSTRVFGNQEVSVLY >KJB36799 pep chromosome:Graimondii2_0_v6:6:43467264:43472756:-1 gene:B456_006G176800 transcript:KJB36799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGKVGSLISQGVYSVATPFHPFGGAVDVIVVQQQDGTFRSTPWYVRFGKFQGVLKGAEKVVRITVNGVEADFHMCLDNSGEAYFAREVDAGKGYDTNGELKDSESELTHDDGNRHIAQFCRLEHSLSDSRVALLRDELDAINPKRLERSESDARFYEFQDEQSFLEDSVNVSDVGPGQCDGSDGACLGDAQDLDSEVVLVSVDGHMLTAPVSASEENDEDVQLSTPRFHIGPGEEPECCNGNEELISGDDVCAAGCINKLSSAADKDSHDISVNSYSTALRHHPEVCVEGREQGCQTEVTQNLSNHQSEFNRPSDVGDASVIKRRGEVFQSCLELSELGRHGENTDPVGTNKPSKAHISHDKPSCSPPDFNEIKDGDVGDSRKEDLLSSSNNNGSPDLPVENKELESDCLGPNNASVGNGSQVNDEQFGTSALTDGINGSPQIPEPENKSSQSESVKTESTSGKETEAHANPGFEISLCGKKLHAGMGLDAAAAVFEAHRISKEEFKNNARSIIKNSNLIVRFGDRYFPWEKAASVILGMAAFGSELSLEPRDAIRVEQDESSNSRGDDSVVTSTSSSRGWNLWPIPFTKGKTLEHTDSNSSSEELFVDSESDIQNTQAGSTLDSSRKQFIRTNVPTTEQIASLNLKDGQNMITFSFSTRVFGNQEVDAHLYLWKWNDKIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVAKLFSAIKENGYQLLFLSARAIVQAYLTRNYLLNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKKLFPSDHNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISQRIDVRSYTSLHTLVDDMFPPASLVEQEDYNAWNFWKVPLPDIK >KJB37242 pep chromosome:Graimondii2_0_v6:6:45246400:45248074:1 gene:B456_006G195400 transcript:KJB37242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSNHFLLSFPLFLSFLFTSTLARLSPQTTTTTLDVSSSLSQAQHILSFDPQSLKAFAQTQTQPLFFNSSTSAAVFSVPLHSRGSVHKTHHTDYKALVLSRLERDSARVDSLSTKLLLALNGVKKSQLRPLPTQIQAEALSTPIISGTSQGSGEYFSRVGVGKPAKQFYMVLDTGSDINWIQCEPCNECYQQSDPIFNPSGSSTYSPVTCESKQCSSLEVSACRSGKCLYQVSYGDGSYTVGDFVTETVSFGNSGNMNGVALGCGHDNEGLFVGAAGLLGLGGGPLSLTSQIKATSFSYCLVDRDSTGSSTLDFNSGVPADSVIAPLMKSRKVNTFYYIGLTGFSVGGQAVNISPGLFEINESGEGGVIIDCGTAITRLQTQAYNALRDAGVALFDTCYDLSSKSSVKVPTVSFHFGEGKSLDLPAKNYLIPVDSSGTFCFAFAPTSSSMSIIGNVQQQGTRVSFDLANNRVGLSSHKC >KJB36260 pep chromosome:Graimondii2_0_v6:6:40756212:40760253:-1 gene:B456_006G149100 transcript:KJB36260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFHGNPEIQAADDLQTLVLMNPAYVHYSNTPPPPPPSNNLVFVNSLSPNAPSSHSQQLVGIPLPAVTSGSNQDAISSLHGLVQRLHYNSYNPIDPSGEPRDTPRAQQGLSLTLSSQHQPGNYGSQPQAVSGGSASSGSAVTNGVSGIQSVLLSSKYLKAAQELLDEVVNVDNTGFTKTEMAKKGSGNDSNSSKATGELSAAAGDGSGGENAVGKRRTELSTAERQEIQMKKAKLISMLDEVDQRYRQYHHQIQIVISTFEQTAGIGSAKTYTALALKTISKQFRCLKDAIIGQIRAVNKSLGEEDRLGGKTEGSRLKFVDHQLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQEQNHEGSASKSTGPTPAKNQAKSLSSSKQDNSANQNASSMSISMASTSPLAGNGQNQSGFSFIGSSELEGITQGSPKKPRSTEVLLQSPIDMDIKQREAADDVSIKFGKEGYSFMGTDTNFMGGFGQYPIAEMARFDAEHFAPRFPGNGVSLTLGLPHCENLSLPATHQTFLPNQTLQMGRRLDIGEPNEYGAINPSTPHSSVAYEIENIDVQNRKRFAAQLLPDFVA >KJB34264 pep chromosome:Graimondii2_0_v6:6:20585281:20587567:1 gene:B456_006G056500 transcript:KJB34264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKQTPTRGFGQYSIASSFLSRPSHSSKEVQKDLRCKDSKKLASLSDFLNQKLPRNSGIPKTVQEKSRPFSSLLSSNEGKPIDKQNERKKEEKIDGLNEVVFEQFKQDNSEKIDSVLSSSVVGEEENSRKRRNPFEGVDEQRRTRKPFLVLGEEGDDDPQNIKKRGRKECSTSNKKPKPHYNHYANGSGWWDCDMEGVDSEEVGYGEVWEGVGSTTFGGIVDWH >KJB35466 pep chromosome:Graimondii2_0_v6:6:36537338:36538603:1 gene:B456_006G116300 transcript:KJB35466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIEIPQYFICPISLQIMKDPVTAVTGITYDRESIEQWLKTAKDTICPVTKQVLPSSSCLTPNHMLRRLIQAWSTENASGGIDRVPTPKSPLCKSRLLKLIRELEVPGLYVNALKKLQVLAKDNSKFMEEAGVPKAMVLLLIRCCNQSKTIGVEQALRILYLTWTPSGEIKAAVNENHRIIDCLTWIQGCDIANPVVVKTLAMQVLKRVIEAANTRLLEKLKPEFMEEMLRVLKLKFSQQATKSALQILIQVCLWGRNRSKIVQANAMFELVELELEKPEKNITELIFNLLAHLCSCADGRAEFLSHAGSIAMVAKRILRVSPATDDQAVHILSLISKNAATKEVLSEMLRVGAVTKLCMVIQADCSTYLKHEAREVLRPHSNLWNNSPCIAVYLLTRYQR >KJB38239 pep chromosome:Graimondii2_0_v6:6:49540030:49542255:1 gene:B456_006G252000 transcript:KJB38239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYN MDSQSKHTISSRLQAVKQKSGKSYNQIAEETGLTNVYVAQLLKRQAQLKTETAPKLRAALPELPEELLHEMMKPPLRSYDPNLIQEPTVYRLNEAVMHFGESIKEIINEEFGDGIYRLLLLC >KJB38236 pep chromosome:Graimondii2_0_v6:6:49540013:49542305:1 gene:B456_006G252000 transcript:KJB38236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYN MDSQSKHTISSRLQAVKQKSGKSYNQIAEETGLTNVYVAQLLKRQAQLKTETAPKLRAALPELPEELLHEMMKPPLRSYDPNLIQEPTVYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVKGVDGKDRVVVTFDGKYLPYSEQKSEHMVSRLRLQ >KJB38237 pep chromosome:Graimondii2_0_v6:6:49540049:49540348:1 gene:B456_006G252000 transcript:KJB38237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYN MDSQSKHTISSRLQAVKQKSGKSYNQIAEETGLTNVYVAQLLKRQAQLKTETAPKLRAALPELPEELLHEMMKPPLRSYDPNLIQEPTVYRFFFLHNSC >KJB38238 pep chromosome:Graimondii2_0_v6:6:49540030:49542255:1 gene:B456_006G252000 transcript:KJB38238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYN MDSQSKHTISSRLQAVKQKSGKSYNQIAEETGLTNVYVAQLLKRQAQLKTETAPKLRAALPELPEELLHEMMKPPLRSYDPNLIQEPTVYRLNEAVMHFGESIKEIINEEFGDGM >KJB38831 pep chromosome:Graimondii2_0_v6:6:51033067:51034495:1 gene:B456_006G274500 transcript:KJB38831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLDEIHQQSVVLSSRASVSSIGSVPAPNEVVELLPVKIYNKSHKLHNVEAGQILLMDSSMKISFNRCIRDGDLIIVNERHDTMKAVKVCENLILQNRVGVFKHSDWVGKPLGSITFSNKGGFVYLLAPAPELWTLVLSHRTQILYIADISFVIMYLEVVPGCLVLESGTSSGSNGTCVYL >KJB35442 pep chromosome:Graimondii2_0_v6:6:36320618:36322384:1 gene:B456_006G115000 transcript:KJB35442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARLGTIPFIASLLDECSSTKSLHKLKQIHAKTILLNISSHSFVRNKLLSAYASCSQMREAHILFFFTSRQPTFLYNSLIRAYSSLHQFSQSLAIFHHMIIAQGPFDIFTLPPVLKSCGHLSHVTLGRQLHGAVVVNGYSSDLANNNSLISMYGKCGELECAKKLFDEMSVRSYVSWSALMMGYEKFGMASEVLGLFEQMAGMGMAVDEVTLTTVLTTCSREGMVEKGKEVFENMEERFGVKPGLEHYTCMVDMLGKAGLVEEAEELVMGMELETDRALWNALLAACRVHGKVEVAERVERRFVGQA >KJB37453 pep chromosome:Graimondii2_0_v6:6:46129499:46132189:1 gene:B456_006G205500 transcript:KJB37453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKLNTIRLVRVLSLAGEILNNAVSFAVFCVLDFVDFILCFVYKVIDFWIESQWKPCYCSSAKQRIIEGDNILVSEECESKIVCLTSANLQVEDISDTLYSRPSIVAQLSKFIINDLNKTKKTTVTSTFTIDSTIVELLRRNVVPRWSDCDCKICNSWTSSRKDTLFVKAEGPKDKAREDVLFIHGFISSSVFWTETLFPNFSTTVKSTYRLLAVDILGFGRSPKPTDSLYTLREHVEMIEKSALEAFQVKSFHIVAHSLGCILALAIAVKHPGSIKSLTLLAPPYFPVPKGEPATQYIMRRIAPRRVWPVMAFGASVACWYEHISRTICLVVCKNHRLWEFITKFITRNRIRTYLLESFCCHTHNAAWHTLHNIICGTAGNLDSYLDTVCNQLKCEVTIFHGGDDEVIPVECSYNVQRRIPRAQVKVVENKDHITIVVGRQKAFAKELEKIWKRSKCD >KJB36924 pep chromosome:Graimondii2_0_v6:6:44069063:44071035:-1 gene:B456_006G183100 transcript:KJB36924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTKRSGSGCFSSVLRRILCSGTPQTHPSDHIVGLNTVDDIAKVEVQASETGPGIVARLMGLDSLPENNWLQKSKIPGPVTRSRSVNFMDYMLELDFKQTKHRRVRTSSSSFREVPQGFKPRKPEKRDGSGSKYGKQKDNVIEKVVCKKQIREKNKKISKLKNEPRRVSGKHSFKAGSCINGTNSKALKVVNQKEVSVVTRKTKNQRPVKKIEYSENPFVVHGVSDSRSLEVKSKKWSSKSVKHDSLTTTDTSARISIPEGLGKQENFESTNVEETEYYMELVDKLSKLTEGDIKFSNWETKKVFTFEEICAEFEEHILDYLLQQVADEFVGFHTHVNLCKHNRQAMIFQARR >KJB36927 pep chromosome:Graimondii2_0_v6:6:44069598:44070845:-1 gene:B456_006G183100 transcript:KJB36927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTKRSGSGCFSSVLRRILCSGTPQTHPSDHIVGLNTVDDIAKVEVQASETGPGIVARLMGLDSLPENNWLQKSKIPGPVTRSRSVNFMDYMLELDFKQTKHRRVRTSSSSFREVPQGPQLFQHNQNQDFLVVYLDNEYRNNEAAGFKPRKPEKRDGSGSKYGKQKDNVIEKVVCKKQIREKNKKISKLKNEPRRVSGKHSFKAGSCINGTNSKALKVVNQKEVSVVTRKTKNQRPVKKIEYSENPFVVHGVSEDSRSLEVKSKKWSSKSVKHDSLTTTDTSARISIPEGLGKQENFESTNVEETEYYMELVDKLSKLTEGDIKFSNWETKKVFTFEEICAEFEEHILDYLLQQVADEFVGFHTHVNLCKHNRQAMIFQARR >KJB36925 pep chromosome:Graimondii2_0_v6:6:44069598:44070845:-1 gene:B456_006G183100 transcript:KJB36925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTKRSGSGCFSSVLRRILCSGTPQTHPSDHIVGLNTVDDIAKVEVQASETGPGIVARLMGLDSLPENNWLQKSKIPGPVTRSRSVNFMDYMLELDFKQTKHRRVRTSSSSFREVPQGFKPRKPEKRDGSGSKYGKQKDNVIEKVVCKKQIREKNKKISKLKNEPRRVSGKHSFKAGSCINGTNSKALKVVNQKEVSVVTRKTKNQRPVKKIEYSENPFVVHGVSEDSRSLEVKSKKWSSKSVKHDSLTTTDTSARISIPEGLGKQENFESTNVEETEYYMELVDKLSKLTEGDIKFSNWETKKVFTFEEICAEFEEHILDYLLQQVADEFVGFHTHVNLCKHNRQAMIFQARR >KJB36926 pep chromosome:Graimondii2_0_v6:6:44069063:44071150:-1 gene:B456_006G183100 transcript:KJB36926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTKRSGSGCFSSVLRRILCSGTPQTHPSDHIVGLNTVDDIAKVEVQASETGPGIVARLMGLDSLPENNWLQKSKIPGPVTRSRSVNFMDYMLELDFKQTKHRRVRTSSSSFREVPQGPQLFQHNQNQDFLVVYLDNEYRNNEAAGFKPRKPEKRDGSGSKYGKQKDNVIEKVVCKKQIREKNKKISKLKNEPRRVSGKHSFKAGSCINGTNSKALKVVNQKEVSVVTRKTKNQRPVKKIEYSENPFVVHGVSDSRSLEVKSKKWSSKSVKHDSLTTTDTSARISIPEGLGKQENFESTNVEETEYYMELVDKLSKLTEGDIKFSNWETKKVFTFEEICAEFEEHILDYLLQQVADEFVGFHTHVNLCKHNRQAMIFQARR >KJB36989 pep chromosome:Graimondii2_0_v6:6:44293545:44295633:1 gene:B456_006G185800 transcript:KJB36989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPLPSIKCELILFCPFVIINLLLCGVCSSRPLSSASKHSQSQNHCFSSSLILFSYFHLIKTNPLPLLTLFCYRDSFRASLIHNSFQMEKASSPEASPKPHHKKSFVTTLMEAATLRSPSFKEDTYFISHLKSSEKKSLQELKDKLTASLGPDGQCTMWGIPLLSGDEKADVILLKFLRARDFKVSDSFHMLEKCLAWRKEFKADSVAEEELGLEELEGVVAYMHGYDREGHPVCYNAYGVFRDKDMCERMFGDEEKLSKFLRWRVQVMERGINLLHFKPGGINSIIQVTDLKDMPKRDLRVVSNQILSLFQDNYPEMVARKIFINVPWYFSVLYSMFSPFLTQRTKSKFVISRQGYAAETLYK >KJB36987 pep chromosome:Graimondii2_0_v6:6:44293628:44294605:1 gene:B456_006G185800 transcript:KJB36987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPLPSIKCELILFCPFVIINLLLCGVCSSRPLSSASKHSQSQNHCFSSSLILFSYFHLIKTNPLPLLTLFCYRDSFRASLIHNSFQMEKASSPEASPKPHHKKSFVTTLMEAATLRSPSFKEDTYFISHLKSSEKKSLQELKDKLTASLGPDGQCTMWGIPLLSGDEKADVILLKFLRARDFKVSDSFHMLEKCLAWRKEFKADSVAEEELGLEELEGVVAYMHGYDREGHPVCYNAYGVFRDKDMCERMFGDEEKLSKFLRWRVQVMERGINLLHFKPGGINSIIQVTDLKDMPKRDLRVVSNQILSLFQDNYPEMVARKVL >KJB36990 pep chromosome:Graimondii2_0_v6:6:44293545:44295633:1 gene:B456_006G185800 transcript:KJB36990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPLPSIKCELILFCPFVIINLLLCGVCSSRPLSSASKHSQSQNHCFSSSLILFSYFHLIKTNPLPLLTLFCYRDSFRASLIHNSFQMEKASSPEASPKPHHKKSFVTTLMEAATLRSPSFKEDTYFISHLKSSEKKSLQELKDKLTASLGPDGQCTMWGIPLLSGDEKADVILLKFLRARDFKVSDSFHMLEKCLAWRKEFKADSVAEEELGLEELEGVVAYMHGYDREGHPVCYNAYGVFRDKDMCERMFGDEEKLSKFLRWRVQVMERGINLLHFKPGGINSIIQVTDLKDMPKRDLRVVSNQILSLFQDNYPEMVARKIFINVPWYFSVLYSMFSPFLTQRTKSKFVISRQGYAAETLYKFIRPEDVPVQYGGLSRTNDSQNGPPKPASEFTVKGGEKVNIQIEGIEVNIVKVLI >KJB36986 pep chromosome:Graimondii2_0_v6:6:44293545:44295633:1 gene:B456_006G185800 transcript:KJB36986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPLPSIKCELILFCPFVIINLLLCGVCSSRPLSSASKHSQSQNHCFSSSLILFSYFHLIKTNPLPLLTLFCYRDSFRASLIHNSFQMEKASSPEASPKPHHKKSFVTTLMEAATLRSPSFKEDTYFISHLKSSEKKSLQELKDKLTASLGPDGQCTMWGIPLLSGDEKADVILLKFLRARDFKVSDSFHMLEKCLAWRKEFKADSVAEEELGLEELEGVVAYMHGYDREGHPVCYNAYGVFRDKDMCERMFGDEEKLSKFLRWRVQVMERGINLLHFKPGGINSIIQVTDLKDMPKRDLRVVSNQILSLFQDNYPEMVARKVL >KJB36988 pep chromosome:Graimondii2_0_v6:6:44293628:44294820:1 gene:B456_006G185800 transcript:KJB36988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPLPSIKCELILFCPFVIINLLLCGVCSSRPLSSASKHSQSQNHCFSSSLILFSYFHLIKTNPLPLLTLFCYRDSFRASLIHNSFQMEKASSPEASPKPHHKKSFVTTLMEAATLRSPSFKEDTYFISHLKSSEKKSLQELKDKLTASLGPDGQCTMWGIPLLSGDEKADVILLKFLRARDFKVSDSFHMLEKCLAWRKEFKADSVAEEELGLEELEGVVAYMHGYDREGHPVCYNAYGVFRDKDMCERMFGDEEKLSKFLRWRVQVMERGINLLHFKPGGINSIIQVTDLKDMPKRDLRVVSNQILSLFQDNYPEMVARKIFINVPWYFSVLYSMFSPFLTQRTKSKFVISRQGYAAETLYK >KJB36991 pep chromosome:Graimondii2_0_v6:6:44293545:44295633:1 gene:B456_006G185800 transcript:KJB36991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPLPSIKCELILFCPFVIINLLLCGVCSSRPLSSASKHSQSQNHCFSSSLILFSYFHLIKTNPLPLLTLFCYRDSFRASLIHNSFQMEKASSPEASPKPHHKKSFVTTLMEAATLRSPSFKEDTYFISHLKSSEKKSLQELKDKLTASLGPDGQCTMWGIPLLSGDEKADVILLKFLRARDFKVSDSFHMLEKCLAWRKEFKADSVAEEELGLEELEGVVAYMHGYDREGHPVCYNAYGVFRDKDMCERMFGDEEKLSKFLRWRVQVMERGINLLHFKPGGINSIIQVTDLKDMPKRDLRVVSNQILSLFQDNYPEMVARKIFINVPWYFSVLYSMFSPFLTQRTKSKFVISRQGYAAETLYKFIRPEDVPVQYGGLSRTNDSQNGPPKPASEFTVKGGEKVNIQIEGIEGGATITWDLVVGGWDLEYSAEFVPNAEGSYTIAVEKVRKLSPWEEAIHNSFTSREAGKMILSVDNTASRRKKVAAYRYIVRKSCLD >KJB34094 pep chromosome:Graimondii2_0_v6:6:15796002:15798010:-1 gene:B456_006G047600 transcript:KJB34094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVGMESHVPSNPKGESWTEEKHVHFLNSMEAWFVRTMLENNDRYTLRLDRHLPDTCESTLDSKHNVHKRNNYATSVDFIGPKRSKLKGRPDKRSRRPSQSYHSFQDQVVPQLQNRRQDKDEKHPPNFPPPSMP >KJB37577 pep chromosome:Graimondii2_0_v6:6:46604854:46605753:-1 gene:B456_006G211500 transcript:KJB37577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVTSNGLSLPPPFNIRDFNLHHHHHHQQLQQQDHQFHHQNSEDEQSGSSGGVKKLERDDGSGTADGKELSIHGEGETNRRPRGRPAGSKNKPKPPIIITRDSANALRTHVMEIGDGCDIVESVSTFARRRQRGVCIMSGTGNVTNVTLRQPASAGAIVSLHGRFEILSLSGSFLPPPAPPAATGLTIYLAGGQGQVVGGSVVGTLTCSGPVVIMAASFSNAAYERLPLEEEEPPQLPIPGGAIESSPDAVGGQQQRQQQQAMADSNTPLFHGLPPNLLNSIQLPSEAFWANGGRSPF >KJB33540 pep chromosome:Graimondii2_0_v6:6:3565296:3565877:-1 gene:B456_006G016300 transcript:KJB33540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSLSFSDFPSMAEAPTPTQQPRPVVYVVFQIGGVGVVSENKLGPAPASKDSIEAMPRIKVKESGNDCCVCLEEFEVEEEAREMPCKHVFHSGCIQKWLLIHGLCPVCRFLMPPETAEIGGGEGNGGRRRMEGGEINGLEIVQSVFAFASLASMMGMMGWGRAFRQPDSGQVDDDRSSNCNTDDTPSNCNTDSN >KJB33788 pep chromosome:Graimondii2_0_v6:6:7950564:7954673:-1 gene:B456_006G030700 transcript:KJB33788 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDAR4 [Source:Projected from Arabidopsis thaliana (AT3G27820) UniProtKB/TrEMBL;Acc:A0A178VES2] MERGFAYVIVGGGVAAGYAALEFTKRGVSHAQLCIISEEPVAPYERPTLSKKVLHPESPARLPSFHTCVGANEELLTSTWYEEHGIELVLGTRVESVDVGQKTLLTASGETIRYETLIIATGAKALKLEEFGVKGSEAENVCYLRDLADADRLVNVMQSCTGGNAVVIGGGYIAMECAASLVTNKINVTMVFPEAHCMPRLFTPKIARYYEDYYQSKGVKFIRGTILSSFEFDSNGKVTAVNLRDGSQIPVDMVVVGIGIRPNTSLFEGQLTMEKGGIKVNGKLQTSNDSVYAVGDVAVFPVDLCGRIRRLEHVDSARKTAKHVAAVIMEPDKTGKFEYLPLFYSKAFSFSWRFYGDNAGEVVHFGDYLGTKFGAYWINNGHLVGSFLEGGTEDEFEAIANAAQLKPAVDDLAEVERQGLNFAMTGNKKIKPQTPLIEAGTSSLVVETLIYPWHATAGVVVAASVAAFAYWYGKRRRRW >KJB38207 pep chromosome:Graimondii2_0_v6:6:48817596:48820562:1 gene:B456_006G242000 transcript:KJB38207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPVVEQWMPKPVTVPFRPREIVREPMEFLSRSWSVSALEVSRALSSSSSTQPVSSQQTCLKGSSSGNGIIKEDISGEIEDIGLVSSNPFTFASSETSQMVMERIMSQSQQEVSPRTSGRPSHSSGPLTGAQSCGSLTDSPPISPSEIDDVKQFCRAGNSVNSQFRTTSAVPATVAVPPTTSVAVGGGKTVGRWLKDRKEKKKEETRAHNAQLHAAISVAGVAAAVAAIVAGTAASSGARKDEQMAKTERAVASAATLVAAQCVEAAEAIGAEREHLASVISSAVNVRTAEDIMTLNAGAATALRGAAILKARALKEVWNIAAVIPMEKSGGNGSNGSSNGGFSGELPSEENFLGICNRELLAKGCELLKRTRKGDLHWKIVSVYINRMNQVMLKMKSRHVAGTITKKKKNVVIEVIKDMPAWPGRHLLEGGENRRYFGLKTAMRGVVEFECKNQREHDVWTLGVLRLLSIAAEKNNRYRI >KJB34398 pep chromosome:Graimondii2_0_v6:6:23929691:23940207:-1 gene:B456_006G064300 transcript:KJB34398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine protein kinase IRE [Source:Projected from Arabidopsis thaliana (AT5G62310) UniProtKB/Swiss-Prot;Acc:Q9LE81] MSSTENNQDPTPAPTKKILTSSSSTTKLRKIPGIPIQRSWREPAEKSDDSRSSGDGDGDGDDYEVEEDVDDYGLGRFAYGKSESLELNVSRDDDSIILASTLGLNRIRTRSSPLPSPLRCSSSSRNPSNIGDVGSKKGNVANKERLRAGVEACTSQLPNLNPIHQGKKSPWNQSKSLRAPSPLSTESPRFQAVLRVTSGRRKKAPDVKSFSHELNSKGVRPFPLKKSRALGHMEEVLLATRMKFDRLKEEVNSDLGVFAGDLVDKLEKTSDSHPEWKESLEDLLVVAQRCAKMPACEFWVKCEGIVQNLDDRRQELSMGVPKQLHTRLLFILTRCTRLVQFHKESGYEDDHILGLHQLSDLGVYPEQMFEFARKDTSGQLDGKEVSEKQRKKAQGQEKSKQDQVGQILSTANVIAEVETAKSVDSTSSSYRMSSWKKLPSAADKNRKGQDTPDSNSKNRVEQSQAKDETPRMEPLETPSGYPQSSRTPKTSWPFWGDHQSVTYENSMICRICEVEIPVVHVEEHSRICTIADRCDLKGLTVNERIERVAETLDKILDSWTPKSTPKSIDTPRESFEATRVYTSSIHEDTDDFSPKHSNHSRQCSDDMLDCVPDVDNALLMEHMNALHDVSCETHLNLSTLDLCTKASSGGSLTPRSPLLTPRTSQIELLLSGRRRIAELENHQQIKKLLDIARSVENANDCDYNTLEFMLERLDELKYAIQDRKADALVVETFGRRIEKLLQEKYVQLCGQIEDEKIDSSNHVADEDSSVDDDTLRSLRASPINPCAKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVESILAERNILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMGRVYIAEVVLALEYLHSLNVIHRDLKPDNLLIGQDGHIKLTDFGLSKVGLINSTDDLSGPSFGSRGLTEDDEPKEQISPKRERRQKHSVVGTPDYLAPEILLGMGHGATADWWSVGIILFELLVGLPPFNAETPPLIFDNIMNRNIPWPKVPEEMSYEAYDLIDKLLTENPVQRLGATGASEVKQHVFFKDINWSTLARQKAMFIPSTEAHDTSYFMSRYIWNAEDEQVHGSSDFDDLTDTCSSGSLSNVQDEDGDECGSLTDFSAPALAVKYSFSNFSYKNLSQLASINYDLVVKNAKESAEAPKPSGP >KJB35857 pep chromosome:Graimondii2_0_v6:6:38481056:38481619:-1 gene:B456_006G130800 transcript:KJB35857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKDCDTIIPAAKYPSSRRTPSSSSSSSLGSLYFPEDSPLSPATPLRFSGVPFSWEHLPGVPKKKLEDEKQKGSIKLLPLPPPATPPTSRRNNFEEILARKKASNAGGKDPFFAAMVECCKDDNDEKSGSNLWSGVKVTRSISDRLGFINLYSSCKRTCAVSESVVCLPRSSRTANYSILTTRRST >KJB34914 pep chromosome:Graimondii2_0_v6:6:32656297:32663732:1 gene:B456_006G090300 transcript:KJB34914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATSKTISELVSLVKSWLPWRSEPDNVSRDFWMPDHICRVCYECDSQFTLFNRRHHCRLCGRVFCAKCTTNSVPAPLDDFSILQEEREQLRVCNYCFKQWEQGINAIDDEVQELDEDISSSPSTASFMSTKSNYSSSYSLGSVPYLSHSRSIPSPRQLSMNRSMDRSSKRITMTSDDLMMDVEDPSSNYELLSDRSDYDDDDDDDEYSIYLSDSESKHFSQDSGYCSPIGFDETSNDESHAFHPDGENNDSKNLSCSPIDHSLPSPCLEGISQIVKEDEPDVGDEGEMSSALYATEPVDFENNGLLWLPPEPQNEEDEREALLFDDDDDDVDVTGDWGYMRSSGSFGSGESRIKDRSREEHKKAVKSIVDGHFRALVAQLLQVECLPVGDENDRESWLEIITALSWEAATLLKPDKSQGGGMDPGGYVKVKCIASGCRRESMVVKGVVCKKNVAHRRMNSKIEKPRLLILGGALEYQRVSNQLSSFDTLLKQEKDHLKMAVAKIHAHKPNILLVEKSVSRYAQEYLLEEDISLVLNIKRSLLERIARCTGAQIVPSVDHLSSQKLGYCEKFHVERFFEDLGSAGQRGKKLAKTLMYFEGCRKPLGFTILLRGANGDELKKVKHVIQYGIFAAYHLALETSFLADEGASLPDSSFSSPITVTLLDKPPTIARSISTFPGFTVPANETSQEPPHGSDLQRANSIPTLNLPFSVVNRALPKEAAQTCLSNGSSLPSAQSTTEKVNLDSFSKKTDTGPKESSTMGSFVNKSESATMSNNRVGLSNSSQNNSTVHIDQENCSTAVEIQSGLSEASSVHHDSQNCNNQSQEPKPIKEEFPPTPSDNQSILVSLSSRCAWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSYQCHCCDMPSEAHVHCYTHRQGTLTISVKKLTDVFLPGERVGKIWMWHRCLRCPRTNGFPPATLRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASIDVHSVYLPPPKLEFDYEIQEWIQKEKDKVVDRAEHLFSEVLKSLNQIAEKKISKGAPNSTVKSPESRNQIAELEGILQKEKLEFQESLQKALNREVRKGQPVIDILELNWLRRQLLFQSYMWDHRLVFATNLENCGPQDNYGNLISGHKEKSVTDDEKLKDVDMLEHGKGSECCDLSPVDEKLDRGSDQRELNGNADKSDVIQRELDVSGDLSLGIEEYGSLSASQSMYDQSGCEKPTATVRRVLSEGHFPVIENLSDTLDAAWTGEIQQTVVLCKKDSCDLSDSAAAGTATERLNLENHSEGKIVPKALFSFSPSLSTRGSENLEDSISWLTMPFLSFYRSLNKNFLGSASKLDTFSEYDPVYVSSFRELEMQDGARLLLPLGVNDTVIPVYNDEPTSMISYALASPEYHFQVSNNGDRHKDSGDLTTSVSADSSTLRSFLSVDESTFDPHQSFSSIDDGSGSRSLIMDPLSRTKALHVQVSFGDDGSADKVKYTVTCYYAKGFEALRRMCCPSELDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPGYFKYLSESISSRSPTCLAKILGIYQVTTKHLKGGKETKIDVLAMENLLFRRTCTRLYDLKGSSRSRYNPDTSGSNKVLLDQNFIESMPTSPIFVGNKAKRLLERAVWNDTAFLASSDVMDYSLLVGIDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPRNAAPTVISPKQYKKRFRKAMSTYFLMIPDQWSSSTMPRKPGSDTGEENGQIRTSVK >KJB34902 pep chromosome:Graimondii2_0_v6:6:32546669:32551023:1 gene:B456_006G089400 transcript:KJB34902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKEMSALVVDVNDPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVLDHPNVISLKHCFFSTTTKNELFLNLVMEYVPESMYRVLKHYSSANQRMPLIYVKLYTYQIFRGLAYLHSVVGVCHRDLKPQNVLVDPLTHQVKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVGQLVEIIKVLGTPTREEIRCMNPNYMDFRFPQMKAHPWHKVFHKRMPPEAIDLTSRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPPLFNFKQELSEASPELINKLIPDHVKRQIGLQHFMHPART >KJB34905 pep chromosome:Graimondii2_0_v6:6:32546751:32550758:1 gene:B456_006G089400 transcript:KJB34905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKEMSALVVDVNDPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVLDHPNVISLKHCFFSTTTKNELFLNLVMEYVPESMYRVLKHYSSANQRMPLIYVKLYTYQIFRGLAYLHSVVGVCHRDLKPQNVLVDPLTHQVKICDFGSAKVLVKYTTSIDIWSAGCVLAELLLGQPLFPGENAVGQLVEIIKVLGTPTREEIRCMNPNYMDFRFPQMKAHPWHKVFHKRMPPEAIDLTSRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPPLFNFKQELSEASPELINKLIPDHVKRQIGLQHFMHPART >KJB34904 pep chromosome:Graimondii2_0_v6:6:32546751:32550758:1 gene:B456_006G089400 transcript:KJB34904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKEMSALVVDVNDPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVLDHPNVISLKHCFFSTTTKNELFLNLVMEYVPESMYRVLKHYSSANQRMPLIYVKLYTYQIFRGLAYLHSVVGVCHRDLKPQNVLVDPLTHQVKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVGQLVEIIKVLGTPTREEIRCMNPNYMDFRFPQMKAHPWHKVFHKRMPPEAIDLTSRLLQYSPSLRCTAVT >KJB34903 pep chromosome:Graimondii2_0_v6:6:32546751:32549631:1 gene:B456_006G089400 transcript:KJB34903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKEMSALVVDVNDPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVLDHPNVISLKHCFFSTTTKNELFLNLVMEYVPESMYRVLKHYSSANQRMPLIYVKLYTYQIFRGLAYLHSVVGVCHRDLKPQNVLVDPLTHQVKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVGQLVEIIKVLGTPTREEIRCMNPNYMDFRFPQMKAHPWHKVNNFLQFFFKFVTLMIGVETHYFCNAFGISFS >KJB35976 pep chromosome:Graimondii2_0_v6:6:39119281:39121544:1 gene:B456_006G135300 transcript:KJB35976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLGYTNFAFVVFLVSFHSLSACSQSVSEDHEFNYDEETRRGPSRWGTLKPEWRTCSDGRKQSPIDIGTVQVNSELGELQRNYTSAQAILRNRTEDVAVVWSGDAGNITINGTTYRVVNCHWHSPSEHTINGIRFPLEIHVVHLGPQNKTAVVGILYRYGMPDCFIHSISSSILSLGMGDQNLGPINPESIGFPGSSYYRYNGSLTTPPCSENVIWTVFRQPMTVTRPQIEALQAVLPPQNRNNSRPTQPLNNRTVLFRPRRSIFT >KJB33249 pep chromosome:Graimondii2_0_v6:6:805380:823854:1 gene:B456_006G003800 transcript:KJB33249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRADWTTPKLLLPLVNLPCFIVALICFTSLGLTTADDPILHPEEVKALKAIGKKIEKKDWDFGIDPCSGKGNWMAKGDGDEGFESIVICNCSFNNNKTCHVVSISLTALNISATLPPDFSKLRHLKVLDLSRNYFTGTIPREWTTLKLETLSFMGNRLSGPFPKVLTNITSLTNLSIEGNNFSGSIPPEIGKLINLQKLTLSSNAFSGELPVELAKLINLTDMRINDNNFSGKIPDFISNWKQIQKLQIQGSSLEGPIPSSISALTSLSDLRISDLKGARSPFPLLRNMDSLKTLILRNCLIYGEIPEYIGDMKKLKTLDLSYNNLTGEIPGSFHKLTKADFLYLTGNQLTGSVPQWIVERNKNADLSYNNFTWEPASPIECPRGSVNLVESYSTSVDKLSRVPSCLRHKFPCSVSSKQYKYSLHINCGGKELNISGDTTYEADIEPRGASMFYPGHGWALSSSGNFMDNDIDADEYIVTNTSSLSNVSAIHSALYTTARISPLSLTYYGLCLMKGNYTVSLLFAEIIFKSDRSFYSLGKRIFDVYIQDELVLKDFNIEEEAGGTGKPIVKNFTVVLTTHTLKIHFYWAGRGTTGIPARGMYGPIISAISVVPNFRPPIIVGKRNYLVVVVGAISAAIVIVLMVLGILWRKGRLGGKNSVEKELRGLDLQTGIFSLRQIKAATKNFDTENKIGEGGFGCVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQLLLVYEYMENNCLSRALFGKNATCKLKLNWPTRQKICLGIARGLAYLHEESRIKIVHRDIKTSNVLLDKDLNAKISDFGLAKLNEDDKTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPSEDFVYLLDWAYVLRERGSLLELVDPDLGSEYSSEEAMVMLNVALLCTNASPTLRPTMSQVVSMLEGRTAVQDLLSDPGFSSMDSKFKALVNHFWQNPSQTLSMSTSGTVTDSASSNIEIEESRNR >KJB33038 pep chromosome:Graimondii2_0_v6:6:11347016:11348187:1 gene:B456_006G040400 transcript:KJB33038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMRNALRQITMTCWKKYAIWFGEIYQSILILSFSIDSFLLEMYGSMNFCVYIDPIHGLTKMCKSFICLCHSMSLFLFAYGIASPFGSIH >KJB38466 pep chromosome:Graimondii2_0_v6:6:49824955:49828094:1 gene:B456_006G255900 transcript:KJB38466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVHGKCCTRYPSSSDGDSGRRREKRPYKCKHILTQRSLELVPVPSHNFTLQFSVLTQHGYYPDTVERENQDSFCIKTQLQGNPNIHFFGVFDGHGQYGAECSNFVKDRLVERLANDTTLLDDPLKAYNSAFLATNSELHDSEIDDTMSGTTAITVLVVGDTLYVANVGDSRAVIAVKDGDRILAEDLSEDQTPFRKDEYERVKLCGARVLSVDQVEGLKDPNIQNWGDEYSQGGDPPRLWVPDGMYPGTAFTRSVGDSTAEKIGVIAVPEISVVRLTCNHLFFVVASDGVFEFLSSRTVVNMAAEYADPRDACAAIAGESYKLWLDNENRTDDITIIIVQIKGLSNSGASATDNEVDCGPMLMKSIKGSFDTSSVSEPEETYGSVRTDLYDTQQSCQHSVSMNRSAAIVVPSPMMHQRPSQLDVG >KJB38468 pep chromosome:Graimondii2_0_v6:6:49825103:49827997:1 gene:B456_006G255900 transcript:KJB38468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVHGKCCTRYPSSSDGDSGRRREKRPYKCKHILTQRSLELVPVPSHNFTLQFSVLTQHGYYPDTVERENQDSFCIKTQLQGNPNIHFFGVFDGHGQYGAECSNFVKDRLVERLANDTTLLDDPLKAYNSAFLATNSELHDSEIDDTMSGTTAITVLVVGDTLYVANVGDSRAVIAVKDGDRILAEDLSEDQTPFRKDEYERVKLCGARVLSVDQVEGLKDPNIQNWGDEYSQGGDPPRLWVPDGMYPGTAFTRSVGDSTAEKIGVIAVPEISVVRLTCNHLFFVVASDGVFEFLSSRTVVNMAAEYADPRDACAAIAGESYKLWLDNENRTDDITIIIVQIKGLSNVCPT >KJB38471 pep chromosome:Graimondii2_0_v6:6:49825103:49827997:1 gene:B456_006G255900 transcript:KJB38471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVHGKCCTRYPSSSDGDSGRRREKRPYKCKHILTQRSLELVPVPSHNFTLQFSVLTQHGYYPDTVERENQDSFCIKTQLQGNPNIHFFGVFDGHGQYGAECSNFVKDRLVERLANDTTLLDDPLKAYNSAFLATNSELHDSEIDDTMSGTTAITVLVVGDTLYVANVGDSRAVIAVKDGDRILAEDLSEDQTPFRKDEYERVKLCGARVLSVDQVEGLKDPNIQNWGDEYSQGGDPPRLWVPDGMYPGTAFTRSVGDSTAEKIGVIAVPEISVVRLTCNHLFFVVASDGVFEFLSSRTVVNMRNMQILGMLVLQLLENPTSFGWIMKIGPMILQSSLYRLKACLIQVLVLRIMK >KJB38470 pep chromosome:Graimondii2_0_v6:6:49825103:49827997:1 gene:B456_006G255900 transcript:KJB38470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVHGKCCTRYPSSSDGDSGRRREKRPYKCKHILTQRSLELVPVPSHNFTLQFSVLTQHGYYPDTVERENQDSFCIKTQLQAITVLVVGDTLYVANVGDSRAVIAVKDGDRILAEDLSEDQTPFRKDEYERVKLCGARVLSVDQVEGLKDPNIQNWGDEYSQGGDPPRLWVPDGMYPGTAFTRSVGDSTAEKIGVIAVPEISVVRLTCNHLFFVVASDGVFEFLSSRTVVNMAAEYADPRDACAAIAGESYKLWLDNENRTDDITIIIVQIKGLSNSGASATDNEVDCGPMLMKSIKGSFDTSSVSEPEETYGSVRTDLYDTQQSCQHSVSMNRSAAIVVPSPMMHQRPSQLDVG >KJB38467 pep chromosome:Graimondii2_0_v6:6:49825595:49826551:1 gene:B456_006G255900 transcript:KJB38467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVHGKCCTRYPSSSDGDSGRRREKRPYKCKHILTQRSLELVPVPSHNFTLQFSVLTQHGYYPDTVERENQDSFCIKTQLQGNPNIHFFGVFDGHGQYGAECSNFVKDRLVERLANDTTLLDDPLKAYNSAFLATNSELHDSEIDDTMSGTTAITVLVVGDTLYVANVGDSRAVIAVKDGDRILAEDLSEDQTPFRKDEYERVKLCGARVLSVDQVEGLKDPNIQNWGDEYSQGGDPPRLWVPDGMYPGTAFTRSVGDSTAEKIGVIAVPEISVVRLTCNHLFFVVASDGVFEFLSSRTVVNMVWFIRILGIDKSPL >KJB38469 pep chromosome:Graimondii2_0_v6:6:49825595:49826908:1 gene:B456_006G255900 transcript:KJB38469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVHGKCCTRYPSSSDGDSGRRREKRPYKCKHILTQRSLELVPVPSHNFTLQFSVLTQHGYYPDTVERENQDSFCIKTQLQGNPNIHFFGVFDGHGQYGAECSNFVKDRLVERLANDTTLLDDPLKAYNSAFLATNSELHDSEIDDTMSGTTAITVLVVGDTLYVANVGDSRAVIAVKDGDRILAEDLSEDQTPFRKDEYERVKLCGARVLSVDQVEGLKDPNIQNWGDEYSQGGDPPRLWVPDGMYPGTAFTRSVGDSTAEKIGVIAVPEISVVRLTCNHLFFVVASDGVFEFLSSRTVVNMAAEYADPRDACAAIAGESYKLWLDNENRTDDITIIIVQIKGLSNVCPT >KJB38234 pep chromosome:Graimondii2_0_v6:6:48967813:48970905:1 gene:B456_006G244400 transcript:KJB38234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLQFFTPSRAPYFTLHKLPSTSYTRFPVINFPSKKHYITITKSLSSDLDAASSQVLDSGNGQTGVSGVLSADNVVAVQSHAPSESGAAAGIEVDAVTEAELKENGFRSTRRTKLICTIGPATCGFEQLEALAVGGMNVARINMCHGTREWHQMVIERVRRLNEEKGFAVAIMMDTEGSEIHMGDLGGAASVKAEDGEIWTFSVRAFGTPRPERTINVNYDGFAEDVKVGDELLVDGGMVRFEVIEKIGPDVKCRCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAISFVKSAEVIKHLKSYIAARSRGSDIAVIAKIESIDSLKNLEEIIQASDGAMVARGDLGAQIPLEQVPSAQQKIVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPDKALAVLRSVSVRIEKWWREEKRHEAMVLPDVGTSFADSISEEICNSAAKMGKNLWFWFYNPCLIMCLHKYGCVNLYLHAYIYPASMCHLSSLSSLWSKVKRAFYILRH >KJB38235 pep chromosome:Graimondii2_0_v6:6:48967813:48972082:1 gene:B456_006G244400 transcript:KJB38235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLQFFTPSRAPYFTLHKLPSTSYTRFPVINFPSKKHYITITKSLSSDLDAASSQVLDSGNGQTGVSGVLSADNVVAVQSHAPSESGAAAGIEVDAVTEAELKENGFRSTRRTKLICTIGPATCGFEQLEALAVGGMNVARINMCHGTREWHQMVIERVRRLNEEKGFAVAIMMDTEGSEIHMGDLGGAASVKAEDGEIWTFSVRAFGTPRPERTINVNYDGFAEDVKVGDELLVDGGMVRFEVIEKIGPDVKCRCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKVDWLDIDFGIAEGVDFIAISFVKSAEVIKHLKSYIAARSRGSDIAVIAKIESIDSLKNLEEIIQASDGAMVARGDLGAQIPLEQVPSAQQKIVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPDKALAVLRSVSVRIEKWWREEKRHEAMVLPDVGTSFADSISEEICNSAAKMANNLEVDALFVYTKTGHMASLLSRCRPDCPIFAFTTTTSVRRRLNLQWGLIPFRLGFSDDMESNLNKTFSLLKARGMIKSGDLVIAVSDMLQSIQVMNVP >KJB38233 pep chromosome:Graimondii2_0_v6:6:48967768:48972082:1 gene:B456_006G244400 transcript:KJB38233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLQFFTPSRAPYFTLHKLPSTSYTRFPVINFPSKKHYITITKSLSSDLDAASSQVLDSGNGQTGVSGVLSADNVVAVQSHAPSESGAAAGIEVDAVTEAELKENGFRSTRRTKLICTIGPATCGFEQLEALAVGGMNVARINMCHGTREWHQMVIERVRRLNEEKGFAVAIMMDTEGSEIHMGDLGGAASVKAEDGEIWTFSVRAFGTPRPERTINVNYDGFAEDVKVGDELLVDGGMVRFEVIEKIGPDVKCRCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAISFVKSAEVIKHLKSYIAARSRGSDIAVIAKIESIDSLKNLEEIIQASDGAMVARGDLGAQIPLEQVPSAQQKIVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPDKALAVLRSVSVRIEKWWREEKRHEAMVLPDVGTSFADSISEEICNSAAKMANNLEVDALFVYTKTGHMASLLSRCRPDCPIFAFTTTTSVRRRLNLQWGLIPFRLGFSDDMESNLNKTFSLLKARGMIKSGDLVIAVSDMLQSIQVMNVP >KJB38486 pep chromosome:Graimondii2_0_v6:6:49844287:49848593:1 gene:B456_006G256200 transcript:KJB38486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVLKGSSSSSNQVSPLISIQEKGSRNKRKFRADPPLGDPNKIITSPQNGCPSYEFCAERFDVNPVHGQASACDLCGVNQDHSNGLKLDLGLSSTLGSSEAWPSQPREEIEADGFQDADWSDLTEAQLEELVLSNLDAIFKSAIKKIVACGYTEEMAIKAVLRSGLCYGCKDTVSNIVDNTLAYLRSGQDCIPSKDHCFEDLQQLEKYILAELVCVLREVRPFFSIGDAMWCLLICDMNVSHACAMDGDPTNGFAGDGGSNGTSFTFNQPVLKTEAKTSELNLPSPCKPVPSIPCSHSPVPDVPSIGINNTTKSKNSLVLSGIASEKDGTNSISDSADKTFTAAGTSQSSTLEEKFVGRKIHSSKREHILRQKSLHPDKNYRTYGSKGSSRAKVSGFGGLITDKKLKSASDSAALNVKNASLKIKAMGADVLQDNGSQNLSVNSGPSSSAAFCLDNDNHTSPVNIPPELPPTKNPHSPALSTADTELSLSLPTKSNSTIVPTVSHSEASSSSYPGMLYGHWAPQDKKDEMILKLVPRVQELQNQLQEWTEWANQKVMQAACRLSKDKAELKTLRQEKEEVERLKKEKLSLEENTKKKLIEMDVALSKASGQVERANATVCRLEVENAALRQEMEAAKLHAAESAASCEEVSKREKKTLMKVQSWEKQKALFQEELMTEKRKVAQMLQELQQAKALEEQFEARLKQEEKAKEEILTRASLIRKEREEIETSTKLKEDMMKSKAETSLQKYKEDIHRLEKEISQLRLKTDSSKIAALRRGIDGSHAGRFTENRHGTGQKESRTPLTVIDFHEFSGKGAVKRERECVMCLSEEMSVVFIPCAHQVVCIACNELHEKQGMKDCPSCRSPIQRRINVRYAHS >KJB38485 pep chromosome:Graimondii2_0_v6:6:49843935:49846982:1 gene:B456_006G256200 transcript:KJB38485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVLKGSSSSSNQVSPLISIQEKGSRNKRKFRADPPLGDPNKIITSPQNGCPSYEFCAERFDVNPVHGQASACDLCGVNQDHSNGLKLDLGLSSTLGSSEAWPSQPREEIEADGFQDADWSDLTEAQLEELVLSNLDAIFKSAIKKIVACGYTEEMAIKAVLRSGLCYGCKDTVSNIVDNTLAYLRSGQDCIPSKDHCFEDLQQLEKYILAELVCVLREVRPFFSIGDAMWCLLICDMNVSHACAMDGDPTNGFAGDGGSNGTSFTFNQPVLKTEAKTSELNLPSPCKPVPSIPCSHSPVPDVPSIGINNTTKSKNSLVLSGIASEKDGTNSISDSADKTFTAAGTSQSSTLEEKFVGRKIHSSKREHILRQKSLHPDKNYRTYGSKGSSRAKVSGFGGLITDKKLKSASDSAALNVKNASLKIKAMGADVLQDNGSQNLSVNSGPSSSAAFCLDNDNHTSPVNIPPELPPTKNPHSPALSTADTELSLSLPTKSNSTIVPTVSHSEASSSSYPGMLYGHWAPQDKKDEMILKLVPRVQELQNQLQEWTEWANQKVMQAACRLSKDKAELKTLRQEKEEVERLKKEKLSLEENTKKKLIEMDVALSKASGQVERANATVCRLEVENAALRQEMEAAKLHAAESAASCEEVSKREKKTLMKVQSWEKQKALFQEELMTEKRKVAQMLQELQQAKALEEQFEVCLHERLIFKHIFISTFSNVLLIETRGNVIKFSTSCFIKMRLREEIIHCLM >KJB38484 pep chromosome:Graimondii2_0_v6:6:49843911:49848624:1 gene:B456_006G256200 transcript:KJB38484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVLKGSSSSSNQVSPLISIQEKGSRNKRKFRADPPLGDPNKIITSPQNGCPSYEFCAERFDVNPVHGQASACDLCGVNQDHSNGLKLDLGLSSTLGSSEAWPSQPREEIEADGFQDADWSDLTEAQLEELVLSNLDAIFKSAIKKIVACGYTEEMAIKAVLRSGLCYGCKDTVSNIVDNTLAYLRSGQDCIPSKDHCFEDLQQLEKYILAELVCVLREVRPFFSIGDAMWCLLICDMNVSHACAMDGDPTNGFAGDGGSNGTSFTFNQPVLKTEAKTSELNLPSPCKPVPSIPCSHSPVPDVPSIGINNTTKSKNSLVLSGIASEKDGTNSISDSADKTFTAAGTSQSSTLEEKFVGRKIHSSKREHILRQKSLHPDKNYRTYGSKGSSRAKVSGFGGLITDKKLKSASDSAALNVKNASLKIKAMGADVLQDNGSQNLSVNSGPSSSAAFCLDNDNHTSPVNIPPELPPTKNPHSPALSTADTELSLSLPTKSNSTIVPTVSHSEASSSSYPGMLYGHWAPQDKKDEMILKLVPRVQELQNQLQEWTEWANQKVMQAACRLSKDKAELKTLRQEKEEVERLKKEKLSLEENTKKKLIEMDVALSKASGQVERANATVCRLEVENAALRQEMEAAKLHAAESAASCEEVSKREKKTLMKVQSWEKQKALFQEELMTEKRKVAQMLQELQQAKALEEQFEARLKQEEKAKEEILTRASLIRKEREEIETSTKLKEDMMKSKAETSLQKYKEDIHRLEKEISQLRLKTDSSKIAALRRGIDGSHAGRFTENRHGTGQKESRTPLTVIDFHEFSGKGAVKRERECVMCLSEEMSVVFIPCAHQVVCIACNELHEKQGMKDCPSCRSPIQRRINVRYAHS >KJB34779 pep chromosome:Graimondii2_0_v6:6:31635411:31638974:-1 gene:B456_006G083500 transcript:KJB34779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSYFVASKHRLSTFFSLIFRSPTSYHPLLASAVSKLIMQDPGNRLLVGKDEKSVRQLIRMLNSGNRRSVEQACSSLSNLAGNLHSELLIKCDIMQPIEHVLRSPSPEDLVSVLQVVVTLAFGSDTVAHKMLSKDIMKSLEILFGHKNPEVQRLALLAVGNLAFCRENHNILVTSENLRELLMRLTATPERRVNKAAARALAILGENESLRRAIKGRQVPKTGIRILSLDGGGMKGLATVQILQEIERGTGKRMHELFDLICGTSTGGIFASALGIKLMSSDQLEEIYRNLGKVVFSEPVQKKNEAATWKHKLDQLYKSSSQSFRVVAKGSKHNAEKLEKLLQDMCADEDGDDILIETAVKNIPKVFLVSTLASVTPAQPFIFRNYQYPVGKPEVPIATSKSSGTTMLGSPTTGTQVGCKRSAFVGSCKHLLWEAIRASCAAPYYLDDFSDGVYRWLDGALLANNPTIFSIREAQLLWPDTKIDCIVSIGSGSLPTKARKGGWRYLDAGQVLIESACSVDHAEEALRTLLPMHPEIHYFRFNPVDERCDMELDETDPTIWVELEAATKDYIDNNSETFKDACERLLAPIKNA >KJB34777 pep chromosome:Graimondii2_0_v6:6:31635411:31638974:-1 gene:B456_006G083500 transcript:KJB34777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSYFVASKHRLSTFFSLIFRSPTSYHPLLASAVSKLIMQDPGNRLLVGKDEKSVRQLIRMLNSGNRRSVEQACSSLSNLAGNLHSELLIKCDIMQPIEHVLRSPSPEDLVSVLQVVVTLAFGSDTVAHKMLSKDIMKSLEILFGHKNPEVQRLALLAVGNLAFCRENHNILVTSENLRELLMRLTATPERRVNKAAARALAILGENESLRRAIKGRQILQEIERGTGKRMHELFDLICGTSTGGIFASALGIKLMSSDQLEEIYRNLGKVVFSEPVQKKNEAATWKHKLDQLYKSSSQSFRVVAKGSKHNAEKLEKLLQDMCADEDGDDILIETAVKNIPKVFLVSTLASVTPAQPFIFRNYQYPVGKPEVPIATSKSSGTTMLGSPTTGTQVGCKRSAFVGSCKHLLWEAIRASCAAPYYLDDFSDGVYRWLDGALLANNPTIFSIREAQLLWPDTKIDCIVSIGSGSLPTKARKGGWRYLDAGQVLIESACSVDHAEEALRTLLPMHPEIHYFRFNPVDERCDMELDETDPTIWVELEAATKDYIDNNSETFKDACERLLAPIKNA >KJB34778 pep chromosome:Graimondii2_0_v6:6:31635436:31639102:-1 gene:B456_006G083500 transcript:KJB34778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSYFVASKHRLSTFFSLIFRSPTSYHPLLASAVSKLIMQDPGNRLLVGKDEKSVRQLIRMLNSGNRRSVEQACSSLSNLAGNLHSELLIKCDIMQPIEHVLRSPSPEDLVSVLQVVVTLAFGSDTVAHKMLSKDIMKSLEILFGHKNPEVQRLALLAVGNLAFCRENHNILVTSENLRELLMRLTATPERRVNKAAARALAILGENESLRRAIKGRQVPKTGIRILSLDGGGMKGLATVQILQEIERGTGKRMHELFDLICGTSTGGIFASALGIKLMSSDQLEEIYRNLGKVVFSEPVQKKNEAATWKHKLDQLYKSSSQSFRVVAKGSKHNAEKLEKLLQDMCADEDGDDILIETAVKNIPKVFLVSTLASVTPAQPFIFRNYQYPVGKPEVPIATSKSSGTTMLGSPTTGTQVGCKRSAFVGSCKHLLWEAIRASCAAPYYLDDFSDGVYRWLDGALLANNPTIFSIREAQLLWPDTKIDCIVSIGSGSLPTKARKGGWRYLDAGQVLIESACSVDHAEEALRTLLPMHPEIHYFRFNPVDERCDMELDETDPTIWVELEAATKDYIDNNSETFKDACERLLAPIKNA >KJB34776 pep chromosome:Graimondii2_0_v6:6:31635736:31638194:-1 gene:B456_006G083500 transcript:KJB34776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPIEHVLRSPSPEDLVSVLQVVVTLAFGSDTVAHKMLSKDIMKSLEILFGHKNPEVQRLALLAVGNLAFCRENHNILVTSENLRELLMRLTATPERRVNKAAARALAILGENESLRRAIKGRQVPKTGIRILSLDGGGMKGLATVQILQEIERGTGKRMHELFDLICGTSTGGIFASALGIKLMSSDQLEEIYRNLGKVVFSEPVQKKNEAATWKHKLDQLYKSSSQSFRVVAKGSKHNAEKLEKLLQDMCADEDGDDILIETAVKNIPKVFLVSTLASVTPAQPFIFRNYQYPVGKPEVPIATSKSSGTTMLGSPTTGTQVGCKRSAFVGSCKHLLWEAIRASCAAPYYLDDFSDGVYRWLDGALLANNPTIFSIREAQLLWPDTKIDCIVSIGSGSLPTKARKGGWRYLDAGQVLIESACSVDHAEEALRTLLPMHPEIHYFRFNPVDERCDMELDETDPTIWVELEAATKDYIDNNSETFKDACERLLAPIKNA >KJB37489 pep chromosome:Graimondii2_0_v6:6:46249909:46251405:1 gene:B456_006G207100 transcript:KJB37489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLMGCFCLKKLRHKPGYEAPINLASETPFTVNEVEALYDLFKKLSSSIIDDGLIHKEEFQLALFKTSNKQNLFADRIFDLFDIKHNGVIEFGEFVRSLSVFHPNAPEADKIAFLFRLYDLRQTGCIEAEEVIERDGNGSTLRKQLVTFRRCGGLDCGKDNDGGRYEKRWEDR >KJB37486 pep chromosome:Graimondii2_0_v6:6:46249038:46251744:1 gene:B456_006G207100 transcript:KJB37486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLMGCFCLKKLRHKPGYEAPINLASETPFTVNEVEALYDLFKKLSSSIIDDGLIHKEEFQLALFKTSNKQNLFADRIFDLFDIKHNGVIEFGEFVRSLSVFHPNAPEADKIAFLFRLYDLRQTGCIEAEELKEMVTALLCENNLSLSEDVVDLIVEKTMMEADTKRDGKIDDEEWKEFVKKNPSIIKIMTLSDLKELTLAFPSFVMNSGVSDLQQPVKN >KJB37488 pep chromosome:Graimondii2_0_v6:6:46249688:46251744:1 gene:B456_006G207100 transcript:KJB37488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLMGCFCLKKLRHKPGYEAPINLASETPFTVNEVEALYDLFKKLSSSIIDDGLIHKEEFQLALFKTSNKQNLFADRIFDLFDIKHNGVIEFGEFVRSLSVFHPNAPEADKIAFLFRLYDLRQTGCIEAEELKEMVTALLCENNLSLSEDVVDLIVEKTMMEADTKRDGKIDDEEWKEFVKKNPSIIKIMTLSDLKELTLAFPSFVMNSGVSDLQQPVKN >KJB37485 pep chromosome:Graimondii2_0_v6:6:46248931:46252330:1 gene:B456_006G207100 transcript:KJB37485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLMGCFCLKKLRHKPGYEAPINLASETPFTVNEVEALYDLFKKLSSSIIDDGLIHKEEFQLALFKTSNKQNLFADRIFDLFDIKHNGVIEFGEFVRSLSVFHPNAPEADKIAFLFRLYDLRQTGCIEAEELKEMVTALLCENNLSLSEDVVDLIVEKTMMEADTKRDGKIDDEEWKEFVKKNPSIIKIMTLSDLKELTLAFPSFVMNSGVSDLQQPVKN >KJB37487 pep chromosome:Graimondii2_0_v6:6:46249688:46251744:1 gene:B456_006G207100 transcript:KJB37487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLMGCFCLKKLRHKPGYEAPINLASETPFTVNEVEALYDLFKKLSSSIIDDGLIHKEEFQLALFKTSNKQNLFADRIFDLFDIKHNGVIEFGEFVRSLSVFHPNAPEADKIAFLFRLYDLRQTGCIEAEEILTFHLIFLLYDLNRKLKEMVTALLCENNLSLSEDVVDLIVEKTMMEADTKRDGKIDDEEWKEFVKKNPSIIKIMTLSDLKELTLAFPSFVMNSGVSDLQQPVKN >KJB36139 pep chromosome:Graimondii2_0_v6:6:40108565:40110741:-1 gene:B456_006G143100 transcript:KJB36139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSTSLHSLCIIPHTSQSKIPSIFNLLKSPLAKPHLKINPIFKPSSLSLFTQPSKLRPKTQNFRTPTIRSLFTGIVEEMGEIKQLGMAGHGGFDLKIHAKTVIEDVHLGDSIAVNGTCLTVTDFDTHLGEFTVGLAPETLRKTSLSELEPGSLVNLERAIPPTGRMGGHFVQGHVDGTAEIVGKQVEGDSLWIKVKTDKNLLKYVVPKGFIAIDGTSLTVVDVFEEELCFNFMLVAYTRQKVVIPLKEIGQKVNLEVDILGKYVERLLSSGFVDSIKGSRFPETHNKAFSISCQNLTTHHHICELPVLPLLLVIFLQ >KJB36137 pep chromosome:Graimondii2_0_v6:6:40107973:40110700:-1 gene:B456_006G143100 transcript:KJB36137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSTSLHSLCIIPHTSQSKIPSIFNLLKSPLAKPHLKINPIFKPSSLSLFTQPSKLRPKTQNFRTPTIRSLFTGIVEEMGEIKQLGMAGHGGFDLKIHAKTVIEDVHLGDSIAVNGTCLTVTDFDTHLGEFTVGLAPETLRKTSLSELEPGSLVNLERAIPPTGRMGGHFVQGHVDGTAEIVGKQVEGDSLWIKVKTDKNLLKYVVPKGFIAIDGTSLTVVDVFEEELCFNFMLVAYTRQKVVIPLKEIGQKVNLEVDILGKYVERLLSSGFVDSIKGSRFPETHNKQTFKQSARC >KJB36138 pep chromosome:Graimondii2_0_v6:6:40108515:40110801:-1 gene:B456_006G143100 transcript:KJB36138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSTSLHSLCIIPHTSQSKIPSIFNLLKSPLAKPHLKINPIFKPSSLSLFTQPSKLRPKTQNFRTPTIRSLFTGIVEEMGEIKQLGMAGHGGFDLKIHAKTVIEDVHLGDSIAVNGTCLTVTDFDTHLGEFTVGLAPETLRKTSLSELEPGSLVNLERAIPPTGRMGGHFVQGHVDGTAEIVGKQVEGDSLWIKVKTDKNLLKYVVPKGFIAIDGTSLTVVDVFEEELCFNFMLVAYTRQKVVIPLKEIGQKVNLEVDILGKYVERLLSSGFVDSIKGSRFPETHNKN >KJB36140 pep chromosome:Graimondii2_0_v6:6:40109383:40110549:-1 gene:B456_006G143100 transcript:KJB36140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSTSLHSLCIIPHTSQSKIPSIFNLLKSPLAKPHLKINPIFKPSSLSLFTQPSKLRPKTQNFRTPTIRSLFTGIVEEMGEIKQLGMAGHGGFDLKIHAKTVIEDVHLGDSIAVNGTCLTVTDFDTHLGEFTVGLAPETLRKTSLSELEPGSLVNLERAIPPTGRMGGHFVQGHVDGTAEIVGKQVEGDSLWIKVKTDKNLLKYVVPKGFIAIDGTSLTVVDVFEEELCFNFMLVAYTRQKVVIPLKEIGQKVNLEVDILGKYVERLLSSGFVDSIKGSRFPETHNKTGIFNQLPKSYNTSSYM >KJB33832 pep chromosome:Graimondii2_0_v6:6:9011517:9014318:1 gene:B456_006G034100 transcript:KJB33832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPTAKPTILDPPTIPMMTSLTLTPGMELVLAQFLEHFGKYGEITDSVIMKDRKTGQPRGFGFVTYAEPSVVDKVIEDTHIINGKQVEIKRTIPKGAAGSKDFKTRKIFVGGIPSTVSEDEFKDFFTQYGVVREHQIMRDHATNRSRGFGFITFETEQAVDDLLEKGNKIEFAGAQVEIKRAEPKKPNPPPPPSKRYNDSRTAYGGGFGDGYGRYGGGGFGGGYNRSSGAYGGRAGGFGAYGGGEFGSYGGYGGGGSGGIGPYRGEPSLGYSGRYGGNFNRGYDMGSGYGGPGEFYGGYGAGAAGGGYGSSYDAGLGGGYGGGAAGGSSFYGSRGGYSGAGSGRYHPYGR >KJB33831 pep chromosome:Graimondii2_0_v6:6:9011445:9014344:1 gene:B456_006G034100 transcript:KJB33831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRGGDAIPDGETNDFRSSDHPDDDKSHPHTGDGASPGKIFVGGLARETSSAQFLEHFGKYGEITDSVIMKDRKTGQPRGFGFVTYAEPSVVDKVIEDTHIINGKQVEIKRTIPKGAAGSKDFKTRKIFVGGIPSTVSEDEFKDFFTQYGVVREHQIMRDHATNRSRGFGFITFETEQAVDDLLEKGNKIEFAGAQVEIKRAEPKKPNPPPPPSKRYNDSRTAYGGGFGDGYGRYGGGGFGGGYNRSSGAYGGRAGGFGAYGGGEFGSYGGYGGGGSGGIGPYRGEPSLGYSGRYGGNFNRGYDMGSGYGGPGEFYGGYGAGAAGGGYGSSYDAGLGGGYGGGAAGGSSFYGSRGGYSGAGSGRYHPYGR >KJB35343 pep chromosome:Graimondii2_0_v6:6:35674588:35676368:-1 gene:B456_006G110000 transcript:KJB35343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEILQIFKKLKLQYLLLSHMLLFTTSTALKTAPTLRCGNLQLQTPFLAQNSTNFSPLNLMTRCKSQKLYFRTSLGLFPISSIDYTSKTLIVSHTSCSSSEHFVSPALLSAGFPSPPLPNSLLLFNCSHKTHPTAASFIHNCTRFHMCGEAASEVQLPFSCLVVKDIEKLDPGFHPKDLSCSGYRRVYRRSLSEEDYEGVDLGTRISFDIPDHVPDMCNECKKPNGNCGVGLKCICHAKDCSKRQGPFSCHITRH >KJB35342 pep chromosome:Graimondii2_0_v6:6:35674562:35676507:-1 gene:B456_006G110000 transcript:KJB35342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEILQIFKKLKLQYLLLSHMLLFTTSTALKTAPTLRCGNLQLQTPFLAQNSTNFSPLNLMTRCKSQKLYFRTSLGLFPISSIDYTSKTLIVSHTSCSSSEHFVSPALLSAGFPSPPLPNSLLLFNCSHKTHPTAASFIHNCTRFHMCGEAASEVQLPFSCLVVKDIEKLDPGFHPKDLSCSGYRRVYRRSLSEEDYEGVDLGTRISFDIPDHVPDMCNECKKPNGNCGVGLKCICHAKDCKDKVLSAATSLDTERVLCFLSSLNAHIHKASLGVLYEMILMKANLTPL >KJB35341 pep chromosome:Graimondii2_0_v6:6:35675219:35676141:-1 gene:B456_006G110000 transcript:KJB35341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEILQIFKKLKLQYLLLSHMLLFTTSTALKTAPTLRCGNLQLQTPFLAQNSTNFSPLNLMTRCKSQKLYFRTSLGLFPISSIDYTSKTLIVSHTSCSSSEHFVSPALLSAGFPSPPLPNSLLLFNCSHKTHPTAASFIHNCTRFHMCGEAASEVQLPFSCLVVKDIEKLDPGFHPKDLSCSGYRRVYRRSLSEEDYEGVDLGTRISFDIPDHVPDMCNECKKPNGNCGVGLKCICHAKDCKDKVLSAATSLDTGISFLFPLFSIIVQMNFRMI >KJB35427 pep chromosome:Graimondii2_0_v6:6:36654533:36657818:1 gene:B456_006G117100 transcript:KJB35427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSTNTRYYEILGVSNNASQDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGAGAHDPFDIFSSFFGGSPFGGGSSRGRRQRRGEDVVHPLKVSLEDLYLGTSKKLSLSRNVICSKCNGKGSKSGASMTCPGCQGSGMKVSIRQLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVIVEKGMQNGQKITFPGEADEAVCIV >KJB35426 pep chromosome:Graimondii2_0_v6:6:36654490:36657818:1 gene:B456_006G117100 transcript:KJB35426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSTNTRYYEILGVSNNASQDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGAGAHDPFDIFSSFFGGSPFGGGSSRGRRQRRGEDVVHPLKVSLEDLYLGTSKKLSLSRNVICSKCNGKGSKSGASMTCPGCQGSGMKVSIRQLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVIVEKGMQNGQKITFPGEADEAPETVTGDIVFVLQQKDHPKFKRKGEDLFLEHTLSLTEALCGFQFVITHLDGRQLLIKSNPGEVVKPDSCKAINDEGMPLYQRPFMKGKLYIQFTVEFPDSLSPDQVKALEAILPPKPTSQLSDMELDECEETTLYDVNIEEEMRRKQQQAAQEAYEEDEDMHGGAQRVQCAQQ >KJB35429 pep chromosome:Graimondii2_0_v6:6:36654533:36657818:1 gene:B456_006G117100 transcript:KJB35429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSTNTRYYEILGVSNNASQDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGAGAHDPFDIFSSFFGGSPFGGGSSRGRRQRRGEDVVHPLKVSLEDLYLGTSKKLSLSRNVICSKCNGKGSKSGASMTCPGCQGSGMKVSIRQLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVIVEKGMQNGQKITFPGEADEAPETVTGDIVFVLQQKDHPKFKRKGEDLFLEHTLSLTEALCGFQFVITHLDGRQLLIKSNPGEVVKPGKFLEVSILKGIFYQLLGFKFVKLVIPDSCKAINDEGMPLYQRPFMKGKLYIQFTVEFPDSLSPDQVKALEAILPPKPTSQLSDMELDECEETTLYDVNIEEEMRRKQQQAAQEAYEEDEDMHGGAQRVQCAQQ >KJB35428 pep chromosome:Graimondii2_0_v6:6:36654533:36657818:1 gene:B456_006G117100 transcript:KJB35428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSTNTRYYEILGVSNNASQDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGAGAHDPFDIFSSFFGGSPFGGGSSRGRRQRRGEDVVHPLKVSLEDLYLGTSKKLSLSRNVICSKCNGKGSKSGASMTCPGCQGSGMKVSIRQLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVIVEKGMQNGQKITFPGEADEAPETVTGDIVFVLQQKDHPKFKRKGEDLFLEHTLSLTEALCGFQFVITHLDGRQLLIKSNPGEVVKPGKFLQGNQ >KJB36727 pep chromosome:Graimondii2_0_v6:6:43246026:43249101:1 gene:B456_006G173400 transcript:KJB36727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSITSPVSCTIDDKDLDDAALWAVIDSAAASHSSSKHRKPLAIKYHSHQSPPTPVSDPSPPRKLPQQKVQNPNHQFYSPPSANCRRFTNSGEDCHRPYKIARSCASEVSETSPVAIVQRTPITSLPERSPEMYLSPGFGRVDVNGSEVSRGSSVRSEEKEGMRHSLSGMFPSVALFKQYQNAAMAILEKSDYTMISGNPFIKKSGWRKISFYFNLSYEIKDKNIEFDENRNVQRAEFVVRAYMQGGRFSDGWGSCERREKRFLKPNHDIPSTAETRAKNKACQDLLGIGEYRTGASQFQRQI >KJB36728 pep chromosome:Graimondii2_0_v6:6:43246271:43248869:1 gene:B456_006G173400 transcript:KJB36728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSITSPVSCTIDDKDLDDAALWAVIDSAAASHSSSKHRKPLAIKYHSHQSPPTPVSDPSPPRKLPQQKVQNPNHQFYSPPSANCRRFTNSGEDCHRPYKIARSCASEVSETSPVAIVQRTPITSLPERSPEMYLSPGFGRVDVNGSEVSRGSSVRSEEKEGMRHSLSGMFPSVALFKQYQNAAMAILEKSDYTMISGNPFIKKSGWRKISFYFNLSYEIKDKNIEFDENRNVQRAEFVVRAYMQYVPLNS >KJB38180 pep chromosome:Graimondii2_0_v6:6:48846707:48848540:1 gene:B456_006G242400 transcript:KJB38180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYERIKQEFQGTSSSSYHGDILSMATPQPIEGLHEGGPPPFLTKTYDIIDDSGTNHIISWSGGNNSFIVWDPQAFSMILLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEKFLRGQRHLLKTIRRRKTQQVQASYGGLDPCVEVGRFGVDVDIDRLKRDKQVLMAELVKLRQQQHNTKTYLQVMEERLKKTEMKQQQMMSFLAKAMQNPNFVQQLIQQKDKRKELEEAITNKRRRRIDNHNQTFVKAEPVESFGGAFEVVELDEIAMDLQEKHDEEHGSCYDKGKRIDDGGFWNDLLNDDMEEEISALQANGVEDEEGIDVLVEQLGFLGSSPK >KJB36237 pep chromosome:Graimondii2_0_v6:6:40611722:40616554:-1 gene:B456_006G147700 transcript:KJB36237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKAISQYRERLDDTLSSAELTDPETLKTLVKNQILRYAQHEKEEFSEHLLDKRAQEVSNFLDMLRSTSVDDHQVSESSETSHGEWKLKHDNEEFRVMYREGPHGTPFHTLLVEGYVDGPLDVCLCISWESPLYKKWWPQSSFPPFKVTSSTCLQKIRIGEQIALVRVKVAWPLSAREALVHYFFFEYFQDDLIVILVNTISDVNDIDKATHGFTNDGIPEAKDVVRIDVVGGFALQKVNNERSYFSLQCLQTVASVTNSDEDYSKALGDPLFCLIRGALFSNNKSGEVPEAQEIKREPDILPKENEIVPNENVIVDIQDGTHDAEPEVHANEPAGESPPKIAQDAKRKAFGEIEEEESEESTCLEESIKAANQPSTNSFADSSGVNAKQRVSIRPEVEEALGTLEKAISIVRQYRFNAQSRSSSFSDEETPNFEEGAVEESTFSAEANVCSKVEVGHEAGSKKFAEDLEMTSDNSKNSNDNTRSGGPNSMSREVHHNKVVPASPHQNASMLPMDGNQFGLNSYGNGTIKTNGFHEKGVNDVEKPNKWRKHRYCCFGFNSG >KJB36234 pep chromosome:Graimondii2_0_v6:6:40611556:40616554:-1 gene:B456_006G147700 transcript:KJB36234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKAISQYRERLDDTLSSAELTDPETLKTLVKNQILRYAQHEKEEFSEHLLDKRAQEVSNFLDMLRSTSVDDHQVSESSETSHGEWKLKHDNEEFRVMYREGPHGTPFHTLLVEGYVDGPLDVCLCISWESPLYKKWWPQSSFPPFKVTSSTCLQKIRIGEQIALVRVKVAWPLSAREALVHYFFFEYFQDDLIVILVNTISDVNDIDKATHGFTNDGIPEAKDVVRIDVVGGFALQKVNNERSYFRTIANMDMKLDFVPPSLINFIARQLIGNGFRLYQKTVASVTNSDEDYSKALGDPLFCLIRGALFSNNKSGEVPEAQEIKREPDILPKENEIVPNENVIVDIQDGTHDAEPEVHANEPAGESPPKIAQDAKRKAFGEIEEEESEESTCLEESIKAANQPSTNSFADSSGVNAKQRVSIRPEVEEALGTLEKAISIVRQYRFNAQSRSSSFSDEETPNFEEGAVEESTFSAEANVCSKVEVGHEAGSKKFAEDLEMTSDNSKNSNDNTRSGGPNSMSREVHHNKVVPASPHQNASMLPMDGNQFGLNSYGNGTIKTNGFHEKGVNDVEKPNKWRKHRYCCFGFNSG >KJB36236 pep chromosome:Graimondii2_0_v6:6:40611556:40616637:-1 gene:B456_006G147700 transcript:KJB36236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKAISQYRERLDDTLSSAELTDPETLKTLVKNQILRYAQHEKEEFSEHLLDKRAQEVSNFLDMLRSTSVDDHQVSESSETSHGEWKLKHDNEEFRVMYREGPHGTPFHTLLVEGYVDGPLDVCLCISWESPLYKKWWPQSSFPPFKVTSSTCLQKIRIGEQIALVRVKVAWPLSAREALVHYFFFEYFQDDLIVILVNTISDVNDIDKATHGFTNDGIPEAKDVVRIDVVGGFALQKVNNERSYFRTIANMDMKLDFVPPSLINFIARQLIGNGFRLYQKTVASVTNSDEDYSKALGDPLFCLIRGALFSNNKSGEVPEAQEIKREPDILPKENEIVPNENVIVDIQDGTHDAEPEVHANEPAGESPPKIAQDAKRKAFGEIEEEESEESTCLEESIKAANQPSTNSFADSSGVNAKQRVSIRPEVEEALGTLEKAISIVRQYRFNAQSRSSSFSDEETPNFEEGAVEESTFSAEANVCSKVEVGHEAGSKKFAEDLEMTSDNSKNSNDNTRSGGPNSMSREVHHNKVVPASPHQNASMLPMDGNQFGLNSYGNGTIKTNGFHEKGVNDVEKPNKWRKHRYCCFGFNSG >KJB36235 pep chromosome:Graimondii2_0_v6:6:40611551:40616578:-1 gene:B456_006G147700 transcript:KJB36235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKAISQYRERLDDTLSSAELTDPETLKTLVKNQILRYAQHEKEEFSEHLLDKRAQEVSNFLDMLRSTSVDDHQVSESSETSHGEWKLKHDNEEFRVMYREGPHGTPFHTLLVEGYVDGPLDVCLCISWESPLYKKWWPQSSFPPFKVTSSTCLQKIRIGEQIALVRVKVAWPLSAREALVHYFFFEYFQDDLIVILVNTISDVNDIDKATHGFTNDGIPEAKDVVRIDVVGGFALQKVNNERSYFRTIANMDMKLDFVPPSLINFIARQLIGNGFRLYQKTVASVTNSDEDYSKALGDPLFCLIRGALFSNNKSGEVPEAQEIKREPDILPKENEIVPNENVIVDIQDGTHDAEPEVHANEPAGESPPKIAQDAKRKAFGEIEEEESEESTCLEESIKAANQPSTNSFADSSGVNAKQRVSIRPEVEEALGTLEKAISIVRQYRFNAQSRSSSFSDEETPNFEEGAVEESTFSAEANVCSKVEVGHEAGSKKFAEDLEMTSDNSKNSNDNTRSGGPNSMSREVHHNKVVPASPHQNASMLPMDGNQFGLNSYGNGTIKTNGFHEKGVNDVEKPNKWRKHRYCCFGFNSG >KJB37384 pep chromosome:Graimondii2_0_v6:6:45899170:45904325:-1 gene:B456_006G202700 transcript:KJB37384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDMTTTTKSMVSLPELFSQTTVAILDCIQAAKAVVTQTENFEKFSNFMEKITLILKDLSKSNVDDFESLRNGLDIVNLEIKVVNQLALECGSRNKSYLLINCRKILKQLENSTKEISRALNRIPLARLDDLRIINKITRLCKDMAEAEYTPSVMEITVLEMIESGLQERNVDRCYANDLFVLIAERVGVPDENLAMKKELEELKAEVQESKLGISMAESRRMEQIVELLEMADVMTSYEEKAKRYENERDSLGTQPLQALQSFCCPITMDVMVDPVEISSGRTFERSAIERWFADGHKDCPSTSIQLDSLVLQPNRTLRQTIEEWKYRNKMITIVSIKPKLQSNDEHEVLQSLCELQDLCRERELHCEWVTFEGYIPILTGLLSAKNREVRTQALAILCILVKDSHDNKERIAKEDDALKSIVRSLARQIKESKLALELLLQLSRSSVVRDVIGTIQGCIFLVVNMLNSDDAQASRDSRELLDNLSFLDNNVIEMAKANFFKPLLHLLSSGPDDVRFLMAKTLSEIDLTDHHKLSLVKDGGALGPLLQLLSHEDLGMKTVAVKALQNLSSLPQNGLQMIKEGAVGPLFEILYCHSLSSPSLREQVAVVIMHLAKSTNSPAADDEKISLLESGEDIFKLFSLISFTGPNIQRNILEAFCAVCWSSLGSEIRAKLRQLPAVQVLVQLCEVNNQMVRVRASAVKLFYCLTIDGDDISFQDHVGQRCIDTLLKIIKSPTDEEEAAAAMGIISNLPKDLQITQWLLDSGALDIIIFAFITGRNRNALNKEHGIEDAVRALCRFTVSTNKEWQKKVAETGVVLELTKLLVSGTPLTKQYAAVSLKQFSESSTAMSQPLKKTRAFICCFAASETGCQVHRGICTVESSFCILEADAVEPLVRMLEDGHFGAAEASLDALLTLIDNERLQNGCKVLDQVNAIPPIIKLLSSTSTKLQEKALGALERIFRLPEMKQRYATLAQMPIVDITQRGSSGMKSMAAKVLAQLNVLGEQSSYF >KJB37385 pep chromosome:Graimondii2_0_v6:6:45898464:45905330:-1 gene:B456_006G202700 transcript:KJB37385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDMTTTTKSMVSLPELFSQTTVAILDCIQAAKAVVTQTENFEKFSNFMEKITLILKDLSKSNVDDFESLRNGLDIVNLEIKVVNQLALECGSRNKSYLLINCRKILKQLENSTKEISRALNRIPLARLDDLRIINKITRLCKDMAEAEYTPSVMEITVLEMIESGLQERNVDRCYANDLFVLIAERVGVPDENLAMKKELEELKAEVQESKLGISMAESRRMEQIVELLEMADVMTSYEEKAKRYENERDSLGTQPLQALQSFCCPITMDVMVDPVEISSGRTFERSAIERWFADGHKDCPSTSIQLDSLVLQPNRTLRQTIEEWKYRNKMITIVSIKPKLQSNDEHEVLQSLCELQDLCRERELHCEWVTFEGYIPILTGLLSAKNREVRTQALAILCILVKDSHDNKERIAKEDDALKSIVRSLARQIKESKLALELLLQLSRSSVVRDVIGTIQGCIFLVVNMLNSDDAQASRDSRELLDNLSFLDNNVIEMAKANFFKPLLHLLSSGPDDVRFLMAKTLSEIDLTDHHKLSLVKDGGALGPLLQLLSHEDLGMKTVAVKALQNLSSLPQNGLQMIKEGAVGPLFEILYCHSLSSPSLREQVAVVIMHLAKSTNSPAADDEKISLLESGEDIFKLFSLISFTGPNIQRNILEAFCAVCWSSLGSEIRAKLRQLPAVQVLVQLCEVNNQMVRVRASAVKLFYCLTIDGDDISFQDHVGQRCIDTLLKIIKSPTDEEEAAAAMGIISNLPKDLQITQWLLDSGALDIIIFAFITGRNRNALNKEHGIEDAVRALCRFTVSTNKEWQKKVAETGVVLELTKLLVSGTPLTKQYAAVSLKQFSESSTAMSQPLKKTRAFICCFAASETGCQVHRGICTVESSFCILEADAVEPLVRMLEDGHFGAAEASLDALLTLIDNERLQNGCKVLDQVNAIPPIIKLLSSTSTKLQEKALGALERIFRLPEMKQRYATLAQMPIVDITQRGSSGMKSMAAKVLAQLNVLGEQSSYF >KJB33122 pep chromosome:Graimondii2_0_v6:6:40051853:40053969:1 gene:B456_006G142600 transcript:KJB33122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDKNDLFLQILESYLVVDDDRFNLRCTSSIQYNGLSRLNPRVAMACAASFFFRGVYSKDKGITFKN >KJB33125 pep chromosome:Graimondii2_0_v6:6:40051853:40057543:1 gene:B456_006G142600 transcript:KJB33125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDKNDLFLQILESYLVVDDDRFNLRCTSSIQYNGLSRLNPRVAMACAASFFFRGVYSKDKGITFKN >KJB33121 pep chromosome:Graimondii2_0_v6:6:40051853:40057618:1 gene:B456_006G142600 transcript:KJB33121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDKNDLFLQILESYLVVDDDRFNLRCTSSIQYNGLSRLNPRVAMACAASFFFRGVYSKDKGITFKN >KJB33126 pep chromosome:Graimondii2_0_v6:6:40051853:40053969:1 gene:B456_006G142600 transcript:KJB33126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDKNDLFLQILESYLVVDDDRFNLRCTSSIQYNGLSRLNPRVAMACAASFFFRGVYSKDKGITFKN >KJB33119 pep chromosome:Graimondii2_0_v6:6:40051853:40053969:1 gene:B456_006G142600 transcript:KJB33119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDKNDLFLQILESYLVVDDDRFNLRCTSSIQYNGLSRLNPRVAMACAASFFFRGVYSKDKGITFKN >KJB33123 pep chromosome:Graimondii2_0_v6:6:40051853:40057696:1 gene:B456_006G142600 transcript:KJB33123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDKNDLFLQILESYLVVDDDRFNLRCTSSIQYNGLSRLNPRVAMACAASFFFRGVYSKDKGITFKN >KJB33120 pep chromosome:Graimondii2_0_v6:6:40051853:40053412:1 gene:B456_006G142600 transcript:KJB33120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDKNDLFLQILESYLVVDDDRFNLRCTSSIQYNGLSRLNPRVAMACAASFFFRGVYSKDKGITFKN >KJB33124 pep chromosome:Graimondii2_0_v6:6:40051853:40054755:1 gene:B456_006G142600 transcript:KJB33124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDKNDLFLQILESYLVVDDDRFNLRCTSSIQYNGLSRLNPRVAMACAASFFFRGVYSKDKGITFKN >KJB36097 pep chromosome:Graimondii2_0_v6:6:39817217:39820304:-1 gene:B456_006G1410002 transcript:KJB36097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLRRLAQNREAARKSRLRKKAYVQQLESSRIRLTQLEQELQRARTQGMFFGGGNIIGGDQGLPVGINNISPDAALFDMEYTRWLEEHHRLMCELRAAIQEHLPENELRIFVDNCLAHLDQVMNLKSMVAKTDVFHLVSGMWKTPAERCFMWMGGFRPSDLIKVILNQIEPLTEQQIMGICALQQSTQEAEEALTQGLEALNQSVSDIITSDSLSCPPNMNNYMGQMAVAINKLSTIEGFVRQADNLRHQAILRLHQILTIRQAARCLLAIAEYFHRLRALSSLWLARPRQDQ >KJB36098 pep chromosome:Graimondii2_0_v6:6:39816975:39820304:-1 gene:B456_006G1410002 transcript:KJB36098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLRRLAQNREAARKSRLRKKAYVQQLESSRIRLTQLEQELQRARTQGMFFGGGNIIGGDQGLPVGINNISPDAALFDMEYTRWLEEHHRLMCELRAAIQEHLPENELRIFVDNCLAHLDQVMNLKSMVAKTDVFHLVSGMWKTPAERCFMWMGGFRPSDLIKVILNQIEPLTEQQIMGICALQQSTQEAEEALTQGLEALNQSVSDIITSDSLSCPPNMNNYMGQMAVAINKLSTIEGFVRQADNLRHQAILRLHQILTIRQAARCLLAIAEYFHRLRALSSLWLARPRQDQ >KJB33902 pep chromosome:Graimondii2_0_v6:6:10177958:10182414:-1 gene:B456_006G037200 transcript:KJB33902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFLAFLMLVSFWVSFISGQNPGLEAPYRIHTLFSVECQNYFDWQTVGFMHSFKKAQQPGPVTRLLSCTEEEKKNYKGMDLAPTLEVPSMSRHPKTGDWYPAINKPAGIVHWLKHSKDAQSTDWVVILDADMILRGPIIPWELGAEKGHPVAAYYGYLIGCDNILAKMHTKHPELCDKVGGLLAMHIDDLRVLAPLWLSKTEEVREDRAHWGTNITGDIYGTGWISEMYGYSFGAAEAGLRHKINDNLMIYPGYTPQPGVEPILLHYGLPFSVGNWSFSKLEHHEDGIVYECGRLFPEPPYPREIKLMEPDPNKRRALFLNIECINTMNEGLLLQHARNGCPKPKWSKYLSFLKSKTFAKLTQPKLLTPPRMQTEVAKEVKEIDESIKPYPKIHTLFSTECTTYFDWQTVGLMHSFHLSSQPGNITRLLSCTDEDLKQYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVVHWLNHVNVDAEYIVILDADMILRGPITPWEFKAARGRPVSTPYEYLIGCDNELAKLHTRHPEACDKVGGVIIMHIDDLREFALLWLLKTEEVRADKAHYATNITGDIYESGWISEMYGYSFGAAEVMFSIYFLETRL >KJB33903 pep chromosome:Graimondii2_0_v6:6:10177958:10182414:-1 gene:B456_006G037200 transcript:KJB33903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFLAFLMLVSFWVSFISGQNPGLEAPYRIHTLFSVECQNYFDWQTVGFMHSFKKAQQPGPVTRLLSCTEEEKKNYKGMDLAPTLEVPSMSRHPKTGDWYPAINKPAGIVHWLKHSKDAQSTDWVVILDADMILRGPIIPWELGAEKGHPVAAYYGYLIGCDNILAKMHTKHPELCDKVGGLLAMHIDDLRVLAPLWLSKTEEVREDRAHWGTNITGDIYGTGWISEMYGYSFGAAEAGLRHKINDNLMIYPGYTPQPGVEPILLHYGLPFSVGNWSFSKLEHHEDGIVYECGRLFPEPPYPREIKLMEPDPNKRRALFLNIECINTMNEGLLLQHARNGCPKPKWSKYLSFLKSKTFAKLTQPKLLTPPRMQTEVAKEVKEIDESIKPYPKIHTLFSTECTTYFDWQTVGLMHSFHLSSQPGNITRLLSCTDEDLKQYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVVHWLNHVNVDAEYIVILDADMILRGPITPWEFKAARGRPVSTPYE >KJB33904 pep chromosome:Graimondii2_0_v6:6:10177958:10182675:-1 gene:B456_006G037200 transcript:KJB33904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFLAFLMLVSFWVSFISGQNPGLEAPYRIHTLFSVECQNYFDWQTVGFMHSFKKAQQPGPVTRLLSCTEEEKKNYKGMDLAPTLEVPSMSRHPKTGDWYPAINKPAGIVHWLKHSKDAQSTDWVVILDADMILRGPIIPWELGAEKGHPVAAYYGYLIGCDNILAKMHTKHPELCDKVGGLLAMHIDDLRVLAPLWLSKTEEVREDRAHWGTNITGDIYGTGWISEMYGYSFGAAEAGLRHKINDNLMIYPGYTPQPGVEPILLHYGLPFSVGNWSFSKLEHHEDGIVYECGRLFPEPPYPREIKLMEPDPNKRRALFLNIECINTMNEGLLLQHARNGCPKPKWSKYLSFLKSKTFAKLTQPKLLTPPRMQTEVAKEVKEIDESIKPYPKIHTLFSTECTTYFDWQTVGLMHSFHLSSQPGNITRLLSCTDEDLKQYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVVHWLNHVNVDAEYIVILDADMILRGPITPWEFKAARGRPVSTPYEYLIGCDNELAKLHTRHPEACDKVGGVIIMHIDDLREFALLWLLKTEEVRADKAHYATNITGDIYESGWISEMYGYSFGAAELKLRHLISNEILIYPGYVPEPDVKYRVFHYGLEFKVGNWSFDKAKWREVDMVNKCWATFPDPPDPSTLEQTDENELQRDLLSIECARTLNEALRLHHKRRNCPDPTALSNPALDTTKDIANSRKVGRFSKTDDIESNPVPRNHSQESSKPKVRDGLFGTLRFWIILLWVFSGLGFILVMLAMCSGYTSKGSSKGKSNKSRRRSYTGFLKTNARDRQGRKSDASL >KJB36306 pep chromosome:Graimondii2_0_v6:6:41050002:41054390:1 gene:B456_006G151400 transcript:KJB36306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNHIFQLKGSQPESSSHCYMVETFLNAATFSKDHEGRDKSMSFEDFKSWCTLVPSVKKFLSNLLVPPDPGRPGSKVPKLQYSENIDSSILLLRDEYAWHMGGALSHEELEEWKLLYHSSLNGLSFNTFLGNISNGDEPTVLIIKDREGYIFGGFASQPWERHGDFYGDVKTFLFQLYPKASIFRPTGANSNLQWCAVNFSSETIPNGIGFGGRINHFGMFLSASFDQGHTFSCTTFNSPCLSKTAKICPEVIECWGVVRKGLEQETTDVVKGTVLERFKEDRHMLNLVGIANASE >KJB36304 pep chromosome:Graimondii2_0_v6:6:41050002:41054390:1 gene:B456_006G151400 transcript:KJB36304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSQSPPPNPRFNSASRAFTPKELEDLKSLFNSLAAQSQTNGQYVSSSVFQVYFGLKGPLGERMFDLATQGRKDDKLTFEDLVITKGTYVKGTNDEIEEFIYRLLDVTDDEILTRSDLDSVLVNMFNHIFQLKGSQPESSSHCYMVETFLNAATFSKDHEGRDKSMSFEDFKSWCTLVPSVKKFLSNLLVPPDPGRPGSKVPKLQYSENIDSSILLLRDEYAWHMGGALSHEELEEWKLLYHSSLNGLSFNTFLGNISLRNYSIELQKR >KJB36301 pep chromosome:Graimondii2_0_v6:6:41049972:41054390:1 gene:B456_006G151400 transcript:KJB36301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSQSPPPNPRFNSASRAFTPKELEDLKSLFNSLAAQSQTNGQYVSSSVFQVYFGLKGPLGERMFDLATQGRKDDKLTFEDLVITKGTYVKGTNDEIEEFIYRLLDVTDDEILTRSDLDSVLVNMFNHIFQLKGSQPESSSHCYMVETFLNAATFSKDHEGRDKSMSFEDFKSWCTLVPSVKKFLSNLLVPPDPGRPGSKVPKLQYSENIDSSILLLRDEYAWHMGGALSHEELEEWKLLYHSSLNGLSFNTFLGNISNGDEPTVLIIKDREGYIFGGFASQPWERHGDFYGDVKTFLFQLYPKASIFRPTGANSNLQWCAVNFSSETIPNGIGFGGRINHFGMFLSASFDQGHTFSCTTFNSPCLSKTAKICPEVIECWGVVRKGLEQETTDVVKGTVLERFKEDRHMLNLVGIANASE >KJB36303 pep chromosome:Graimondii2_0_v6:6:41050028:41052314:1 gene:B456_006G151400 transcript:KJB36303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSQSPPPNPRFNSASRAFTPKELEDLKSLFNSLAAQSQTNGQYVSSSVFQVYFGLKGPLGERMFDLATQGRKDDKLTFEDLVITKGTYVKGTNDEIEEFIYRLLDVTDDEILTRSDLDSVLVNMFNHIFQLKGSQPESSSHCYMVETFLNAATFSKDHEGRDKSMSFEDFKSWCTLVPSVKKFLSNLLVPPDPGRPGSKVPKLQYSENIDSSILLLRDEYAWHMGGALSHEELEEWKLLYHSSLNGLSFNTFLGNIS >KJB36305 pep chromosome:Graimondii2_0_v6:6:41050449:41054390:1 gene:B456_006G151400 transcript:KJB36305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNHIFQLKGSQPESSSHCYMVETFLNAATFSKDHEGRDKSMSFEDFKSWCTLVPSVKKFLSNLLVPPDPGRPGSKVPKLQYSENIDSSILLLRDEYAWHMGGALSHEELEEWKLLYHSSLNGLSFNTFLGNISNGDEPTVLIIKDREGYIFGGFASQPWERHGDFYGDVKTFLFQLYPKASIFRPTGANSNLQWCAVNFSSETIPNGIGFGGRINHFGMFLSASFDQGHTFSCTTFNSPCLSKTAKICPEVIECWGVVRKGLEQETTDVVKGTVLERFKEDRHMLNLVGIANASE >KJB36302 pep chromosome:Graimondii2_0_v6:6:41050002:41053668:1 gene:B456_006G151400 transcript:KJB36302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSQSPPPNPRFNSASRAFTPKELEDLKSLFNSLAAQSQTNGQYVSSSVFQVYFGLKGPLGERMFDLATQGRKDDKLTFEDLVITKGTYVKGTNDEIEEFIYRLLDVTDDEILTRSDLDSVLVNMFNHIFQLKGSQPESSSHCYMVETFLNAATFSKDHEGRDKSMSFEDFKSWCTLVPSVKKFLSNLLVPPDPGRPGSKVPKLQYSENIDSSILLLRDEYAWHMGGALSHEELEEWKLLYHSSLNGLSFNTFLGNISNGDEPTVLIIKDREGYIFGGFASQPWERHGDFYGDVKTFLFQLYPKASIFRPTGANSNLQWVCLNLLSSHFLLGLFPLPFRVEAKERLNPLPPISLLTFWYLS >KJB38021 pep chromosome:Graimondii2_0_v6:6:48173214:48175816:1 gene:B456_006G232700 transcript:KJB38021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGEKGSTTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >KJB38022 pep chromosome:Graimondii2_0_v6:6:48173214:48175763:1 gene:B456_006G232700 transcript:KJB38022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGEKGSTTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >KJB38023 pep chromosome:Graimondii2_0_v6:6:48173154:48175823:1 gene:B456_006G232700 transcript:KJB38023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGEKGSTTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >KJB38020 pep chromosome:Graimondii2_0_v6:6:48173345:48175743:1 gene:B456_006G232700 transcript:KJB38020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGEKGSTTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >KJB38024 pep chromosome:Graimondii2_0_v6:6:48173433:48175823:1 gene:B456_006G232700 transcript:KJB38024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGEKGSTTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >KJB38670 pep chromosome:Graimondii2_0_v6:6:50598326:50599162:-1 gene:B456_006G267800 transcript:KJB38670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVEVEKNYEDVHQNWGHRIPVEEDYCHDWHNQPPVGFRFLPTPEELVKQYLIKKVLGNPLPVSDFLEVEEAEFYTTPPMSCGSWKLRKTREIFDTMGNKIAFKFCFVYYSKLGKTHWRIDEFRLPAEFYKYFKVKVR >KJB35503 pep chromosome:Graimondii2_0_v6:6:36726690:36732764:1 gene:B456_006G117800 transcript:KJB35503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDLASERVCYVHCNFCNTILAVSVPYNSLFKIVTVRCGHCANLLSVNMGTSQQIVPIQDAQKQQIINIDEDPSNKECGSSSKCNKQFSAFDSAQNEAPRMPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLEGNKQAKLDQSFADQGAQKSNNYY >KJB35504 pep chromosome:Graimondii2_0_v6:6:36727635:36732764:1 gene:B456_006G117800 transcript:KJB35504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMVSVPYNSLFKIVTVRCGHCANLLSVNMGTSQQIVPIQDAQKQQIINIDEDPSNKECGSSSKCNKQFSAFDSAQNEAPRMPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLEGNKQAKLDQSFADQGAQKSNNYY >KJB34691 pep chromosome:Graimondii2_0_v6:6:30402917:30405039:-1 gene:B456_006G078900 transcript:KJB34691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKWLLFFTMAALMAVANGQESVKPLVKIVKGKKLCDKGWECKGWSQFCCNQTISDYFQTYQFENLFAKRNTPVAHAVGFWDYHSFITAAAQYQPHGFGTTGGKLQSMKEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYNKEMSPSKLYCDDYYKYTYPCTPGVSYHGRGALPIYWNYNYGETGDALKVDLLNHPEYIENNATLAFQAALWRWMTPVKKHQPSAHDVFVGSWKPTKNDTLAKRVPGFGATMNVLYGDQVCGRGDVDTMNNIISHYLYYLDLMGVGREEAGPHEVLTCEEQKPFTVSPSSASSSSS >KJB37559 pep chromosome:Graimondii2_0_v6:6:46542848:46549137:-1 gene:B456_006G210500 transcript:KJB37559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVAADGDVSSSLSKEKQVVEAATIREGQNQEQQKQEGIKGCMHKTKTIQFLGRTTPIILQNDNGPCPLLAICNVLLLRNNLNLSPDIAEVSQEKLLSLVAERLIDSNSNVNNKDEGFVENQQQNIADAIDLLPRLATGIDVNIKFRRIDDFEFTPECAIFDLLDIPLYHGWIVDPQDYETASAIGSKSYNAIMEELVALETRNMEVSHKNNSEDCVDFAAATTATLGVPSPSLSKTRSFDESPRSASDQQILRKGDLEEEAELLRVLKLSESKSPTSVGGSGSLAERSCSKNLVSVDAQEGDRSIGNESMPLHEPYLSDDCPSLRNDSCSKACFETLRGEVSPKTDGINQNSSYVKSGEGTLSNDAVENMAVKVSSADDLLQIEGAVPISLAEDTAPIDGNNTENSQGGENIEIQSTSATDAHYIPDNINGFDPTEVSSISLQKAGSDSSSDRIHNADVLETFSSSLDGSEPIYEGEDCILDSVTTYENREPIYEGEVILAKQADNISVEVCTVRSKEEITPQQGELIANFLKNNANQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYDGELYLLATDQGYLNQPDLVWEKLNEVNGDTLFMTGNFKEFKVDSHATGTWDEQNATADYIAGIDGSAHARLDEISDLQLAIALQQEEYEQQPQRQNVQPPPIVGATRLVTGPKASQNSGRSSSSSSSRQETKSKEKCIVM >KJB38051 pep chromosome:Graimondii2_0_v6:6:48295470:48296873:1 gene:B456_006G234700 transcript:KJB38051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVGEEVVSLGKIAGPIVITTLLLHSRSVISMLFLSHLGKEELAGGSLAMGFGNITGLSVIKGLSMGMDPICGQAFGARRYSILSQTFHRTLCLLLLISIPISILWLNVEPIFLRLGQDPEATKIAKVYMAAFIPELIAQSLLHPMRTFLRAQGISTPLTIAAIVAVLLHPLVNYIFTIYFQLGVEGIALALACNTFNINLGLVIYMVISENPLKPWHGVTIISIFQGWRPLLALALPSLLSVCLEWWWYEIMLFLCGLLDNPKASVAAMGILIQTTGMLYNFPFSMSASISTRVSQALGAGQPSSAHRTAVIGLLMAFAFGLSAFVFMTALRSWWGKLFTDEPQILHLISTVLPILGLCEVGNSPQTAACGVLTGTARPKDGVYINLCSFYLIGLPVAIVTTFQLEMGFVGLWAGLLAAQMSCVCMMVYTLIRTDWKHQVKRADELTLAAGGNDDLETSLLIDTDN >KJB38130 pep chromosome:Graimondii2_0_v6:6:48533658:48534245:1 gene:B456_006G237800 transcript:KJB38130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSISSSLSKSSNFKILPTGVPISSSGPSGSTSDPPPPPSISTSSSLLKSSNFRILPTGVPIPLSGPSGSTSDPPPPPSISTSSSLLKSSNFKILPTCMPIPPSGPSGSTSDPPPPPLISTSLLKSSNFKILPTGVPIPPSGPNESTSYPPPPSLISTSLSISKSSNFGMLPKSLPSRPSGHTLDPPPPPTKM >KJB33398 pep chromosome:Graimondii2_0_v6:6:2095549:2098359:1 gene:B456_006G009400 transcript:KJB33398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVLLWVVCPKGNATALLSLIPRSGKHKRCRVHQKVKFSTGVSAISSAVANPARSSEEKVYDVVLKQAALVKEQRGKKPLDLGTRTETDGFTDWDLLNEAYDRCGEVCAEYAKTFYLGTLLMTPERRRAVWAIYVWCRRTDELVDGPNASHITPRALDRWEKRLDDLFEGRPYDMLDAALSDTVSKYPVDIQPFKDMIEGMRLDLRKSRYMNFDELYLYCYYVAGTVGLMSVPVMGIAPESKASVESVYNAALALGIANQLTNILRDVGEE >KJB33397 pep chromosome:Graimondii2_0_v6:6:2095293:2098359:1 gene:B456_006G009400 transcript:KJB33397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVLLWVVCPKGNATALLSLIPRSGKHKRCRVHQKVKFSTGVSAISSAVANPARSSEEKVYDVVLKQAALVKEQRGKKPLDLGTRTETDGFTDWDLLNEAYDRCGEVCAEYAKTFYLGTLLMTPERRRAVWAIYVWCRRTDELVDGPNASHITPRALDRWEKRLDDLFEGRPYDMLDAALSDTVSKYPVDIQPFKDMIEGMRLDLRKSRYMNFDELYLYCYYVAGTVGLMSVPVMGIAPESKASVESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQFGLSDDDIFRGQVTDKWRNFMKDQIKRARMFFDEAEKGVSELNAASRWPVWASLLLYRQILDVIEENDYNNFSKRAYVGKVKKFASLPVAYGRALMGTSKLF >KJB38739 pep chromosome:Graimondii2_0_v6:6:50755864:50760874:-1 gene:B456_006G270000 transcript:KJB38739 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MASTAFSLSLPTFPSSSSTVKAKPSIFSHHHLFIAKNVPSSSLFSSSTRITKQIRTAFKIKCSSASFSNTLPSALLFDCDGVLVDTEKDGHRVSFNDTFNEKELGVTWDVDLYGELLKIGGGKERMTAYFNKTGWPDKAPKSEEERKAFIASLHKRKTELFMALIENRLLPLRPGVAKLIDQALGEGVKVAVCSTSNEKAVSAVVSCLLGPERAEKIQIFAGDVVPRKKPDPAIYTLAANTLGVEPSSCVVVEDSAIGLAAAKAAGMTCIVTKSGYTADEDFLNADAVFDCIGDPPEERFDLAFCGSLLEKQYVS >KJB38741 pep chromosome:Graimondii2_0_v6:6:50755864:50760817:-1 gene:B456_006G270000 transcript:KJB38741 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MASTAFSLSLPTFPSSSSTVKAKPSIFSHHHLFIAKNVPSSSLFSSSTRITKQIRTAFKIKCSSASFSNTLPSALLFDCDGVLVDTEKDGHRVSFNDTFNEKELGVTWDVDLYGELLKIGGGKERMTAYFNKTGWPDKAPKSEEERKAFIASLHKRKTELFMALIENRLLPLRPGVAKLIDQALGEGVKVAVCSTSNEKAKKSRYLLEMWFLVKNRIQPSIH >KJB38743 pep chromosome:Graimondii2_0_v6:6:50756451:50760817:-1 gene:B456_006G270000 transcript:KJB38743 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MASTAFSLSLPTFPSSSSTVKAKPSIFSHHHLFIAKNVPSSSLFSSSTRITKQIRTAFKIKCSSASFSNTLPSALLFDCDGVLVDTEKDGHRVSFNDTFNEKELGVTWDVDLYGELLKIGGGKERMTAYFNKTGWPDKAPKSEEERKAFIASLHKRKTELFMALIENRLLPLRPGVAKLIDQALGEGVKVAVCSTSNEKAVSAVVSCLLGPERAEKIQIFAGDVVPRKKPDPAIYTLAANTLGVEPSRYCIITEPSPMFFNVNNNKLITKTKYLCCLDSVASVRHKCVSNTSLFNFFGESSEYHIPYLSLYICWIPMSDRGTPRKINSPNRIDMSILKDQQIEKLIYILFCLTYICLYMFEMRSDFFFFPNLFLSCVVVEDSAIGLAAAKAAGMTCIVTKSG >KJB38742 pep chromosome:Graimondii2_0_v6:6:50756961:50760734:-1 gene:B456_006G270000 transcript:KJB38742 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MASTAFSLSLPTFPSSSSTVKAKPSIFSHHHLFIAKNVPSSSLFSSSTRITKQIRTAFKIKCSSASFSNTLPSALLFDCDGVLVDTEKDGHRVSFNDTFNEKELGVTWDVDLYGELLKIGGGKERMTAYFNKTGWPDKAPKSEEERKAFIASLHKRKTELFMALIENRLLPLRPGVAKLIDQALGEGVKVAVCSTSNEKAVSAVVSCLLGPERAEKIQIFAGDVVPRKKPDPVSHLYISSKHSRC >KJB38738 pep chromosome:Graimondii2_0_v6:6:50755858:50760874:-1 gene:B456_006G270000 transcript:KJB38738 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MASTAFSLSLPTFPSSSSTVKAKPSIFSHHHLFIAKNVPSSSLFSSSTRITKQIRTAFKIKCSSASFSNTLPSALLFDCDGVLVDTEKDGHRVSFNDTFNEKELGVTWDVDLYGELLKIGGGKERMTAYFNKTGWPDKAPKSEEERKAFIASLHKRKTELFMALIENRLLPLRPGVAKLIDQALGEGVKVAVCSTSNEKAVSAVVSCLLGPERAEKIQIFAGDVVPRKKPDPAIYTLAANTLGVEPSSCVVVEDSAIGLAAAKAAGMTCIVTKSGYTADEDFLNADAVFDCIGDPPEERFDLAFCGSLLEKQYVS >KJB38740 pep chromosome:Graimondii2_0_v6:6:50755864:50760817:-1 gene:B456_006G270000 transcript:KJB38740 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MASTAFSLSLPTFPSSSSTVKAKPSIFSHHHLFIAKNVPSSSLFSSSTRITKQIRTAFKIKCSSASFSNTLPSALLFDCDGVLVDTEKDGHRVSFNDTFNEKELGVTWDVDLYGELLKIGGGKERMTAYFNKTGWPDKAPKSEEERKAFIASLHKRKTELFMALIENRLLPLRPGVAKLIDQALGEGVKVAVCSTSNEKAAIYTLAANTLGVEPSSCVVVEDSAIGLAAAKAAGMTCIVTKSGYTADEDFLNADAVFDCIGDPPEERFDLAFCGSLLEKQYVS >KJB33275 pep chromosome:Graimondii2_0_v6:6:1011773:1013757:-1 gene:B456_006G005100 transcript:KJB33275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNFELGTTENINNNEEDDEELLNSFPAGYRFKPRDDELVLFYLKPKLLNLRLPPNRIRDVELYHYNPQQLIEKYGSYGEEEWYFFTPREKKYRNGLRPNRTAGDGYWKATGADKILRSESHEIGYRKALVFYKGKPPKGEKTDWMMHEFRLKDPPAKLSQDEMRAFPPNSMNTLSSPRSKETTLRQLRV >KJB33274 pep chromosome:Graimondii2_0_v6:6:1011200:1013808:-1 gene:B456_006G005100 transcript:KJB33274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNFELGTTENINNNEEDDEELLNSFPAGYRFKPRDDELVLFYLKPKLLNLRLPPNRIRDVELYHYNPQQLIEKYGSYGEEEWYFFTPREKKYRNGLRPNRTAGDGYWKATGADKILRSESHEIGYRKALVFYKGKPPKGEKTDWMMHEFRLKDPPAKLSQDEMRLDDWILCKIYQKSDKSTKNSALISDNPQGVVSFEYGSDEFGEMDDNLYCDYLMFDHNPSLLLDDNFCDYYDPSLIPMAMVEQGRMDSPDKKTTS >KJB37767 pep chromosome:Graimondii2_0_v6:6:47180704:47185167:1 gene:B456_006G219300 transcript:KJB37767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKNVACCEERSKSLRARYIYAIIFFIINLTAWFIRDYGHSVFPPTYYKEACGTTGHDCFHTLGVLRVSLGCFIFFFLMFLTTFIARKLYEACSKWHSGWWKLKFFLLLASMVVPFFIPPGFIHIYGEVARVGAGIFLLLQLISVIEFIRWWNKYWSPDEQSNQRSCSIALFTSTVFYGVSICGIVSMYYFYAPRPACSLNIFFITWTALLLIVMMVISLHSKVNRGLLSSGIMASYVVFLCWSAIRSEPVDEKCNVQKPDNGKFDWTTVLGFLIAIGAIVMATFSTGIDSKSFQFNKNNVKLEDDIRYNYGFFHMIFSLGAMYFAMLFISWNLNDSATEWSIDVGWASAGVKIINEWVAATIYTWKLVSPVVKQYRVVNNEQTMQP >KJB37769 pep chromosome:Graimondii2_0_v6:6:47180793:47185069:1 gene:B456_006G219300 transcript:KJB37769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKNVACCEERSKSLRARYIYAIIFFIINLTAWFIRDYGHSVFPPTYYKEACGTTGHDCFHTLGVLRVSLGCFIFFFLMFLTTFIARKLYEACSKWHSGWWKLKFFLLLASMVVPFFIPPGFIHIYGEVARVGAGYSLIFLLLQLISVIEFIRWWNKYWSPDEQSNQRSCSIALFTSTVFYGVSICGIVSMYYFYAPRPACSLNIFFITWTALLLIVMMVISLHSKVNRGLLSSGIMASYVVFLCWSAIRSEPVDEKCNVQKPDNGKFDWTTVLGFLIAIGAIVMATFSTGIDSKSFQFNKNNVKLEDDIRYNYGFFHMIFSLGAMYFAMLFISWNLNDSATEWSIDVGWASAGVKIINEWVAATIYTWKLVSPVVKQYRVVNNEQTMQP >KJB37768 pep chromosome:Graimondii2_0_v6:6:47180775:47185069:1 gene:B456_006G219300 transcript:KJB37768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISGSIAAVESSAIDISSTNSPRAKASSMDPKNVACCEERSKSLRARYIYAIIFFIINLTAWFIRDYGHSVFPPTYYKEACGTTGHDCFHTLGVLRVSLGCFIFFFLMFLTTFIARKLYEACSKWHSGWWKLKFFLLLASMVVPFFIPPGFIHIYGEVARVGAGIFLLLQLISVIEFIRWWNKYWSPDEQSNQRSCSIALFTSTVFYGVSICGIVSMYYFYAPRPACSLNIFFITWTALLLIVMMVISLHSKVNRGLLSSGIMASYVVFLCWSAIRSEPVDEKCNVQKPDNGKFDWTTVLGFLIAIGAIVMATFSTGIDSKSFQFNKNNVKLEDDIRYNYGFFHMIFSLGAMYFAMLFISWNLNDSATEWSIDVGWASAGVKIINEWVAATIYTWKLVSPVVKQYRVVNNEQTMQP >KJB37770 pep chromosome:Graimondii2_0_v6:6:47180863:47185167:1 gene:B456_006G219300 transcript:KJB37770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKNVACCEERSKSLRARYIYAIIFFIINLTAWFIRDYGHSVFPPTYYKEACGTTGHDCFHTLGVLRVSLGCFIFFFLMFLTTFIARKLYEACSKWHSGWWKLKFFLLLASMVVPFFIPPGFIHIYGEVARVGAGIFLLLQLISVIEFIRWWNKYWSPDEQSNQRSCSIALFTSTVFYGVSICGIVSMYYFYAPRPACSLNIFFITWTALLLIVMMVISLHSKVNRGLLSSGIMASYVVFLCWSAIRSEPVDEKCNVQKPDNGKFDWTTVLGFLIAIGAIVMATFSTGIDSKSFQFNKNNVKLEDDIRYNYGFFHMIFSLGAMYFAMLFISWNLNDSATEWSIDVGWASAGVKIINEWVAATIYTWKLVSPVVKQYRVVNNEQTMQP >KJB33928 pep chromosome:Graimondii2_0_v6:6:10789145:10792269:1 gene:B456_006G038900 transcript:KJB33928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDSVHGQWKHHELKVKDPKTLLFGEKPVTVFGIRNPEEIPWGETGADYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLHIVSNASCTTNCLAPLAKVIHDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPSLNGKLTGMAFRVPTVDVSVVDLTVRLEKKASYEDIKGAIKAESETNLKGILGYVDEDLVSTDFVGDSRSSIFDAKAGIALNDNFVKLVAWYDNEWGYR >KJB33927 pep chromosome:Graimondii2_0_v6:6:10789145:10792269:1 gene:B456_006G038900 transcript:KJB33927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDSVHGQWKHHELKVKDPKTLLFGEKPVTVFGIRNPEEIPWGETGADYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLHIVSNASCTTNCLAPLAKVIHDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPSLNGKLTGMAFRVPTVDVSVVDLTVRLEKKASYEDIKGAIKAESETNLKGILGYVDEDLVSTDFVGDSRCQASLMPRLALH >KJB33926 pep chromosome:Graimondii2_0_v6:6:10789039:10792388:1 gene:B456_006G038900 transcript:KJB33926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDSVHGQWKHHELKVKDPKTLLFGEKPVTVFGIRNPEEIPWGETGADYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLHIVSNASCTTNCLAPLAKVIHDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPSLNGKLTGMAFRVPTVDVSVVDLTVRLEKKASYEDIKGAIKAESETNLKGILGYVDEDLVSTDFVGDSRSSIFDAKAGIALNDNFVKLVAWYDNEWGYSSRVIDLIRHMASA >KJB37955 pep chromosome:Graimondii2_0_v6:6:47902171:47909415:1 gene:B456_006G228100 transcript:KJB37955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSLVVVLQAALNPNPAERKAAEQSLNQFQYTPQHLVRLLQIIVDNNCDMAVRQVASIHFKNFIAKNWAPLDPNEQQKILQSDKDMVRDHILVFVTQVPPLLRVQLGECLKTIIHADYPEQWPRLLDWVKHNLQDQQVYGALFVLRILARKYEFKSEEERTPVHRIVEETFPHLLNIFNRLVQIDKPALEVADLIKLICKIFWSSIYLEIPKQLLDPNLFNAWMMPFLNVLERPVPLEGQPVDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLRNPENRAFAQMFQKNYAGKILECHLNLLGVIRVGGYLPDRVTNLILQYLGSSISKNSMYTLLQPQIDVLLFEIVFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVCELVRKRGKENLQKFIQFIVEIFKRYDEAPVEYKPYRQKDGALLAVGALCDKLKQTEPYKSELEHMLMQHVFPEFRSPVGHLRAKAAWVAGQYARINFSDQNNFRLALHSVVSGLCDPELPVRVDSVFALRSFVEACRDLNEIRPILPQLLDEIFKLMNEVENEDLVFTLETIVDKFGEEMAPFALGLCQNLAAAFWRCMNTSEADDEADDPGALAAVGCLRAISTILESVSRLPQLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLEMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLTCKEPDYQQSLWNMISSVMADKNLEDNDIEPAPKLIEVVFQNCRGQVDHWVEPYLRITLDRLRRTEKSRLKCLLVQVVRCQDCLSFLQDYVVLHMHIVLANITHMMFLNYAPTQASYAIFTMYQKALVEDFNFLPI >KJB37956 pep chromosome:Graimondii2_0_v6:6:47902171:47911678:1 gene:B456_006G228100 transcript:KJB37956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSLVVVLQAALNPNPAERKAAEQSLNQFQYTPQHLVRLLQIIVDNNCDMAVRQVASIHFKNFIAKNWAPLDPNEQQKILQSDKDMVRDHILVFVTQVPPLLRVQLGECLKTIIHADYPEQWPRLLDWVKHNLQDQQVYGALFVLRILARKYEFKSEEERTPVHRIVEETFPHLLNIFNRLVQIDKPALEVADLIKLICKIFWSSIYLEIPKQLLDPNLFNAWMMPFLNVLERPVPLEGQPVDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLRNPENRAFAQMFQKNYAGKILECHLNLLGVIRVGGYLPDRVTNLILQYLGSSISKNSMYTLLQPQIDVLLFEIVFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVCELVRKRGKENLQKFIQFIVEIFKRYDEAPVEYKPYRQKDGALLAVGALCDKLKQTEPYKSELEHMLMQHVFPEFRSPVGHLRAKAAWVAGQYARINFSDQNNFRLALHSVVSGLCDPELPVRVDSVFALRSFVEACRDLNEIRPILPQLLDEIFKLMNEVENEDLVFTLETIVDKFGEEMAPFALGLCQNLAAAFWRCMNTSEADDEADDPGALAAVGCLRAISTILESVSRLPQLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLEMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLTCKEPDYQQSLWNMISSVMADKNLEDNDIEPAPKLIEVVFQNCRGQVDHWVEPYLRITLDRLRRTEKSRLKCLLVQVIANSVYYNAVLTLSILNKLCVTTEVFNLWFHLLQQVKKSGLLANFKREHDKKVCCLGLTSLLALPGEQFPGEALERVFKATLDLLVAYKGQITVAANEEEVEDDDMDGFQTDDEDDANASDKEMGVDAEDEDEADNIRLQKLAAQAKAFHPTDDDDSDSDDDFSDDEELLSPIDEVDPFVFFVDTVKGKMFYLAFSVCFMFIFYFLFCMALSERATFSLLALQASDPIRFQNLTQTLDFHFQALANSVAQHAEQRRAEIEKEKMEKASATAAPS >KJB37954 pep chromosome:Graimondii2_0_v6:6:47902117:47911732:1 gene:B456_006G228100 transcript:KJB37954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSLVVVLQAALNPNPAERKAAEQSLNQFQYTPQHLVRLLQIIVDNNCDMAVRQVASIHFKNFIAKNWAPLDPNEQQKILQSDKDMVRDHILVFVTQVPPLLRVQLGECLKTIIHADYPEQWPRLLDWVKHNLQDQQVYGALFVLRILARKYEFKSEEERTPVHRIVEETFPHLLNIFNRLVQIDKPALEVADLIKLICKIFWSSIYLEIPKQLLDPNLFNAWMMPFLNVLERPVPLEGQPVDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLRNPENRAFAQMFQKNYAGKILECHLNLLGVIRVGGYLPDRVTNLILQYLGSSISKNSMYTLLQPQIDVLLFEIVFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVCELVRKRGKENLQKFIQFIVEIFKRYDEAPVEYKPYRQKDGALLAVGALCDKLKQTEPYKSELEHMLMQHVFPEFRSPVGHLRAKAAWVAGQYARINFSDQNNFRLALHSVVSGLCDPELPVRVDSVFALRSFVEACRDLNEIRPILPQLLDEIFKLMNEVENEDLVFTLETIVDKFGEEMAPFALGLCQNLAAAFWRCMNTSEADDEADDPGALAAVGCLRAISTILESVSRLPQLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLEMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLTCKEPDYQQSLWNMISSVMADKNLEDNDIEPAPKLIEVVFQNCRGQVDHWVEPYLRITLDRLRRTEKSRLKCLLVQVIANSVYYNAVLTLSILNKLCVTTEVFNLWFHLLQQVKKSGLLANFKREHDKKVCCLGLTSLLALPGEQFPGEALERVFKATLDLLVAYKGQITVAANEEEVEDDDMDGFQTDDEDDANASDKEMGVDAEDEDEADNIRLQKLAAQAKAFHPTDDDDSDSDDDFSDDEELLSPIDEVDPFVFFVDTVKALQASDPIRFQNLTQTLDFHFQALANSVAQHAEQRRAEIEKEKMEKASATAAPS >KJB37957 pep chromosome:Graimondii2_0_v6:6:47904198:47911678:1 gene:B456_006G228100 transcript:KJB37957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPFLNVLERPVPLEGQPVDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLRNPENRAFAQMFQKNYAGKILECHLNLLGVIRVGGYLPDRVTNLILQYLGSSISKNSMYTLLQPQIDVLLFEIVFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVCELVRKRGKENLQKFIQFIVEIFKRYDEAPVEYKPYRQKDGALLAVGALCDKLKQTEPYKSELEHMLMQHVFPEFRSPVGHLRAKAAWVAGQYARINFSDQNNFRLALHSVVSGLCDPELPVRVDSVFALRSFVEACRDLNEIRPILPQLLDEIFKLMNEVENEDLVFTLETIVDKFGEEMAPFALGLCQNLAAAFWRCMNTSEADDEADDPGALAAVGCLRAISTILESVSRLPQLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLEMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLTCKEPDYQQSLWNMISSVMADKNLEDNDIEPAPKLIEVVFQNCRGQVDHWVEPYLRITLDRLRRTEKSRLKCLLVQVIANSVYYNAVLTLSILNKLCVTTEVFNLWFHLLQQVKKSGLLANFKREHDKKVCCLGLTSLLALPGEQFPGEALERVFKATLDLLVAYKGQITVAANEEEVEDDDMDGFQTDDEDDANASDKEMGVDAEDEDEADNIRLQKLAAQAKAFHPTDDDDSDSDDDFSDDEELLSPIDEVDPFVFFVDTVKALQASDPIRFQNLTQTLDFHFQALANSVAQHAEQRRAEIEKEKMEKASATAAPS >KJB36315 pep chromosome:Graimondii2_0_v6:6:41114111:41116105:-1 gene:B456_006G152300 transcript:KJB36315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAASSFISAVTKRLEGKVALITGGASGIGQCTAKVFAHHGAKVVIADIQDDLGHSVCEDIGSSNCSYVHCNVTDEDQIKNAVDKAVATHGKLDIMFNNAGIVDADKARIIDYDKSDFDRVLSVNVTGVFLGIKHAARVMVPARSGSIISTSSVSSTVGAAATHAYCASKHAVLGLTRNAAVELGQFGIRVNCLSPYALATPLATGFVGVNDEELEKAMSALANLKGVYLKAEDVANAALYLASEEGRYVSGHNLFIDGGFTVVNPSFRMFQYPDDS >KJB34715 pep chromosome:Graimondii2_0_v6:6:30531284:30533273:1 gene:B456_006G079400 transcript:KJB34715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFSTSMAAMLLLGLFAANLDPTAAQIGVCYGMLGNNLPNSWEVIQLYKSNNIRRLRLYDPNQQALQALRGSNIEVILGVPNDQLQNLADPSKAQSWVQSNVVAYWPSVRFRYIAVGNEVPPSSWLAQFVLPALVNVFNAVRSIGLESQIKVSIAIDMTLIGVSYPPSTGAFRGDVRSYLDPIIGHLAWARTPLLANIYTYFSYSGNPRDISLPYALFTSPSPIIWDQGRGYQNLFDAMLDSLYSALEKAGQGGLEVVVSESGWPSAGGFGTSVDNAATYLSNLIRHVQGGTPKRPGKAIETYLFALFDENSKPGPELERHFGLFSPNKQPKYQLHFGGGRHWDIASEEYNGTFPLKSDM >KJB36485 pep chromosome:Graimondii2_0_v6:6:42138153:42140251:-1 gene:B456_006G161500 transcript:KJB36485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADHFSGGGCGWRDIKTFGLKLILGRWFMVFASLLIMSVSGATYIFGLYSNVIKTSLGYDQTTLNLLSFSKDLGGNVGILSGLINEVTPPWVILLIGAVMNFFGYFMIWLAVTGRTAKPHVWQMCVYFCLGANSQAFANTGALVTCVKNFPESRGSVLGLLKSYVGLSGAILTQLYHAFYGDNSKALILLIAWLPAAVSFVFLRTIRIIKIVRRQTNELRVFYNILFISLGLAVFLMALIIVQNRLSFNRIEYVGSASIVSILLFFPLAVVIREDFKIWTTKKQASNDVFQVKVVTENPPAPAVELATPPQTVISAGGDPKPAEKHDGCLENIFKPPDRGEDYTILQALFSIDMLIIFIATTCGVGGTLTAIDNLGQIGNSLGYPSHSITTFVSLVSIWNYLGRAVAGFASEYLLTKYKIPRPLLFTFVILLSCVGHVLIAFAVPNSLYFASIIIGFCFGAQWPLMYAIISEIFGLKYYSTLYNFGSVASPVGSYILNVKVAGHLYDQEALKQLKALGRTRNHGEDLTCNGGQCYRKAFLIIIATTLFGFLVSGILVIRTRSFYKSDIYKKFREEAQVAETDMGSSSTSKQTHSMSTTAASTTSPN >KJB38305 pep chromosome:Graimondii2_0_v6:6:49260876:49264385:1 gene:B456_006G247800 transcript:KJB38305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKALAPGLLISSLLISASTAVDTGFPRCNCDDEGSFWSIESILETQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGLTHLLNGWTYGPHPFQLMLALTVFKILTALVSCATAITLITLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQKETGAHVRMLTQEIRKSLDRHTILYTTMVELSKTLGLQNCAVWMPNEIKTKMNLTHELKGRNFSYNFTIPITDPDVVRIKGSDGVNILEPDSSLATASNGEYGEPGPVAAIRMPMLRVSNFKGGTPELVQTCYAILVCVLPSEQNRSWSNQELEIVKVVADQVAVALSHAAVLEESQLMRDQLVEQNRALQLARQNAMRASQVRNAFQKVMSDGMRRPMHSILGLLSMMQDGNLNNDQRIIVDSMMKTSNVLSTLINDVMDISTMDNGRSPLEKRSLHLHSMIKEAACLAKCLSVYRGFGFSIEVEKSLPDLVFGDERRVFQVILHMVGSLLDGNSGGGTVVLRVFSENGSQERNDQRRAAWRHSSLDGDVHIRFEIRIENSNSQPESSGSMSELQISGRKYNSNRAEERLSFSICQKLVQVTNKSTKLSACVNPRHCGTLSRIKNFCGLMNIRLHVMHPFFYR >KJB38304 pep chromosome:Graimondii2_0_v6:6:49260555:49264440:1 gene:B456_006G247800 transcript:KJB38304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKALAPGLLISSLLISASTAVDTGFPRCNCDDEGSFWSIESILETQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGLTHLLNGWTYGPHPFQLMLALTVFKILTALVSCATAITLITLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQKETGAHVRMLTQEIRKSLDRHTILYTTMVELSKTLGLQNCAVWMPNEIKTKMNLTHELKGRNFSYNFTIPITDPDVVRIKGSDGVNILEPDSSLATASNGEYGEPGPVAAIRMPMLRVSNFKGGTPELVQTCYAILVCVLPSEQNRSWSNQELEIVKVVADQVAVALSHAAVLEESQLMRDQLVEQNRALQLARQNAMRASQVRNAFQKVMSDGMRRPMHSILGLLSMMQDGNLNNDQRIIVDSMMKTSNVLSTLINDVMDISTMDNGRSPLEKRSLHLHSMIKEAACLAKCLSVYRGFGFSIEVEKSLPDLVFGDERRVFQVILHMVGSLLDGNSGGGTVVLRVFSENGSQERNDQRRAAWRHSSLDGDVHIRFEIRIENSNSQPESSGSMSELQISGRKYNSNRAEERLSFSICQKLVQLMHGNIWVVQNPQGSAQSMALVIRFQLRPSISITINELGESSDQPCSNSLFKGLQVLLADDDDLNRAVTRKLLEKLGCSVSAVTSGFECLTSIGPASSPFQIVILELQMPELDGFEVAMRIRKFRSRNWPLIVAMTASTEDDTWERCSQIGINGVIRKPVLLQGIAIELRKVLMQANKV >KJB38303 pep chromosome:Graimondii2_0_v6:6:49260606:49264388:1 gene:B456_006G247800 transcript:KJB38303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKALAPGLLISSLLISASTAVDTGFPRCNCDDEGSFWSIESILETQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGLTHLLNGWTYGPHPFQLMLALTVFKILTALVSCATAITLITLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQKETGAHVRMLTQEIRKSLDRHTILYTTMVELSKTLGLQNCAVWMPNEIKTKMNLTHELKGRNFSYNFTIPITDPDVVRIKGSDGVNILEPDSSLATASNGEYGEPGPVAAIRMPMLRVSNFKGGTPELVQTCYAILVCVLPSEQNRSWSNQELEIVKVVADQVAVALSHAAVLEESQLMRDQLVEQNRALQLARQNAMRASQVRNAFQKVMSDGMRRPMHSILGLLSMMQDGNLNNDQRIIVDSMMKTSNVLSTLINDVMDISTMDNGRSPLEKRSLHLHSMIKEAACLAKCLSVYRGFGFSIEVEKSLPDLVFGDERRVFQVILHMVGSLLDGNSGGGTVVLRVFSENGSQERNDQRRAAWRHSSLDGDVHIRFEIRIENSNSQPESSGSMSELQISGRKYNSNRAEERLSFSICQKLVQLMHGNIWVVQNPQGSAQSMALVIRFQLRPSISITINELGESSDQPCSNSLFKGLQVLLADDDDLNRAVTRKLLEKLGCSVSAVTSGFECLTSIGPASSPFQIVILELQMPELDGFEVAMRIRKFRSRNWPLIVAMTASTEDDTWERCSQIGINGVIRKPVLLQGIAIELRKVLMQANKV >KJB38306 pep chromosome:Graimondii2_0_v6:6:49260876:49264385:1 gene:B456_006G247800 transcript:KJB38306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKALAPGLLISSLLISASTAVDTGFPRCNCDDEGSFWSIESILETQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGLTHLLNGWTYGPHPFQLMLALTVFKILTALVSCATAITLITLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQKETGAHVRMLTQEIRKSLDRHTILYTTMVELSKTLGLQNCAVWMPNEIKTKMNLTHELKGRNFSYNFTIPITDPDVVRIKGSDGVNILEPDSSLATASNGEYGEPGPVAAIRMPMLRVSNFKGGTPELVQTCYAILVCVLPSEQNRSWSNQELEIVKVVADQVAVALSHAAVLEESQLMRDQLVEQNRALQLARQNAMRASQVRNAFQKVMSDGMRRPMHSILGLLSMMQDGNLNNDQRIIVDSMMKTSNVLSTLINDVMDISTMDNGRSPLEKRSLHLHSMIKEAACLAKCLSVYRGFGFSIEVEKSLPDLVFGDERRMYISGLKLGSRIAILSQRAAAQCRSYRSVAENITAIEPRNA >KJB38483 pep chromosome:Graimondii2_0_v6:6:49839334:49840652:1 gene:B456_006G256100 transcript:KJB38483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGTGEYDKVFQEGVYNCAGCGTPLYKSTTKFNSGCGWPAFYEGFPGAINRTPDPDGRRTEITCAACGGHLGHVFKGEGFSVPTDERHCVNSVSIKFVPADATSSL >KJB38482 pep chromosome:Graimondii2_0_v6:6:49838192:49840652:1 gene:B456_006G256100 transcript:KJB38482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGSVHKTEEEWRAILSPEQFRILRQKGTDNQTNNRGREGVMIIEGVTNLISAMYYGWTGDISFCAILSLHDFFWDKTVSMNRLRGTGEYDKVFQEGVYNCAGCGTPLYKSTTKFNSGCGWPAFYEGFPGAINRTPDPDGRRTEITCAACGGHLGHVFKGEGFSVPTDERHCVNSVSIKFVPADATSSL >KJB38481 pep chromosome:Graimondii2_0_v6:6:49838114:49840652:1 gene:B456_006G256100 transcript:KJB38481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGSVHKTEEEWRAILSPEQFRILRQKGTELRGTGEYDKVFQEGVYNCAGCGTPLYKSTTKFNSGCGWPAFYEGFPGAINRTPDPDGRRTEITCAACGGHLGHVFKGEGFSVPTDERHCVNSVSIKFVPADATSSL >KJB34011 pep chromosome:Graimondii2_0_v6:6:12821838:12823453:1 gene:B456_006G043700 transcript:KJB34011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAHHPLLRHQENPKPYIKYKVLKEKLDKEREMSLLSNADWGPIVVAVVLFILLSPGMMFQLPTRTRVIEFGNMCTSGIAILVHAIIYFSLFTILIMAIGIHIHVY >KJB32964 pep chromosome:Graimondii2_0_v6:6:2514151:2516269:-1 gene:B456_006G0116001 transcript:KJB32964 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G29310) UniProtKB/Swiss-Prot;Acc:Q9LIB3] MACHHHHHHQHHHTSATSCSTCSCSQCFQPAPASSPYPQQSDHLLQALASLLQPQQNHYLNQTHHLKSFQDQNFATKNHHFHQKQQEQPDFLISSLVSRINALESSLHSFSKASSCSSYPSFSLKDAAARVIQTHFRAFLVHRSRTLRQLKDLAFIKSSLNTLKLSVSNNIHFDPQVVSQKAMDLLLKLDSFQGGDPMIRDGKRSVSKDLIQFLEYVDGLVLKRHKLLYKNAKNIRVLRNGSSKPKVLRSKSGEVMEKLRDRVEKLERFSTIEEGNDVVELEGFHQGIDEAENKNKNKNGELLLKRQGIQPKVKKTVSFAENGNVYRIISNGDEVSSSGDGSLTDESVSSDERGDTTENLVKESEDLVENLEEAINLNKQARSNSVEDYQIQGDDFVFSAPLPVKMESKADLMKKRNGALKIVSS >KJB32968 pep chromosome:Graimondii2_0_v6:6:2515165:2516269:-1 gene:B456_006G0116001 transcript:KJB32968 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G29310) UniProtKB/Swiss-Prot;Acc:Q9LIB3] MACHHHHHHQHHHTSATSCSTCSCSQCFQPAPASSPYPQQSDHLLQALASLLQPQQNHYLNQTHHLKSFQDQNFATKNHHFHQKQQEQPDFLISSLVSRINALESSLHSFSKASSCSSYPSFSLKDAAARVIQTHFRAFLVHRSRTLRQLKDLAFIKSSLNTLKLSVSNNIHFDPQVVSQKAMDLLLKLDSFQGGDPMIRDGKRSVSKDLIQFLEYVDGLVLKRHKLLYKNAKNIRVLRNGSSKPKVLRSKSGEVMEKLRDRVEKLERFSTIEEGNDVVELEGFHQ >KJB32967 pep chromosome:Graimondii2_0_v6:6:2514332:2516291:-1 gene:B456_006G0116001 transcript:KJB32967 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G29310) UniProtKB/Swiss-Prot;Acc:Q9LIB3] MACHHHHHHQHHHTSATSCSTCSCSQCFQPAPASSPYPQQSDHLLQALASLLQPQQNHYLNQTHHLKSFQDQNFATKNHHFHQKQQEQPDFLISSLVSRINALESSLHSFSKASSCSSYPSFSLKDAAARVIQTHFRAFLVHRSRTLRQLKDLAFIKSSLNTLKLSVSNNIHFDPQVVSQKAMDLLLKLDSFQGGDPMIRDGKRSVSKDLIQFLEYVDGLVLKRHKLLYKNAKNIRVLRNGSSKPKVLRSKSGEVMEKLRDRVEKLERFSTIEEGNDVVELEGFHQGIDEAENKNKNKNGELLLKRQGIQPKVKKAVSFTENGNVYRIISNGDEVSSSGDEAENKNKNGELLLKRQGIQPKVKKTVSFAENGNVYRIISNGDEVSSSGDGSLTDESVSSDERGDTTENLVKESEDLVENLEEAINLNKQARSNSVEDYQIQGDDFVFSAPLPVKMESKADLMKKRNGALKIVSS >KJB32965 pep chromosome:Graimondii2_0_v6:6:2514458:2516106:-1 gene:B456_006G0116001 transcript:KJB32965 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G29310) UniProtKB/Swiss-Prot;Acc:Q9LIB3] MACHHHHHHQHHHTSATSCSTCSCSQCFQPAPASSPYPQQSDHLLQALASLLQPQQNHYLNQTHHLKSFQDQNFATKNHHFHQKQQEQPDFLISSLVSRINALESSLHSFSKASSCSSYPSFSLKDAAARVIQTHFRAFLVHRSRTLRQLKDLAFIKSSLNTLKLSVSNNIHFDPQVVSQKAMDLLLKLDSFQGGDPMIRDGKRSVSKDLIQFLEYVDGLVLKRHKLLYKNAKNIRVLRNGSSKPKVLRSKSGEVMEKLRDRVEKLERFSTIEEGNDVVELEGFHQGIDEAENKNKNKNGELLLKRQGIQPKVKKAVSFTENGNVYRIISNGDEVSSSGDEAENKNKNENKNGELLLKRQGIQPKVKKTVSFAENGNVYRIISNGDEVSSSGDGSLTDESVSSDERGDTTENLVKESEDLVENLEEAINLNKQARSNSVEDYQIQGDDFVFSAPLPVKMESKADLMKKRNGALKIVSS >KJB32963 pep chromosome:Graimondii2_0_v6:6:2514151:2516269:-1 gene:B456_006G0116001 transcript:KJB32963 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G29310) UniProtKB/Swiss-Prot;Acc:Q9LIB3] MACHHHHHHQHHHTSATSCSTCSCSQCFQPAPASSPYPQQSDHLLQALASLLQPQQNHYLNQTHHLKSFQDQNFATKNHHFHQKQQEQPDFLISSLVSRINALESSLHSFSKASSCSSYPSFSLKDAAARVIQTHFRAFLVHRSRTLRQLKDLAFIKSSLNTLKLSVSNNIHFDPQVVSQKAMDLLLKLDSFQGGDPMIRDGKRSVSKDLIQFLEYVDGLVLKRHKLLYKNAKNIRVLRNGSSKPKVLRSKSGEVMEKLRDRVEKLERFSTIEEGNDVVELEGFHQGIDEAENKNKNKNEQKW >KJB32966 pep chromosome:Graimondii2_0_v6:6:2514254:2516415:-1 gene:B456_006G0116001 transcript:KJB32966 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G29310) UniProtKB/Swiss-Prot;Acc:Q9LIB3] MACHHHHHHQHHHTSATSCSTCSCSQCFQPAPASSPYPQQSDHLLQALASLLQPQQNHYLNQTHHLKSFQDQNFATKNHHFHQKQQEQPDFLISSLVSRINALESSLHSFSKASSCSSYPSFSLKDAAARVIQTHFRAFLVHRSRTLRQLKDLAFIKSSLNTLKLSVSNNIHFDPQVVSQKAMDLLLKLDSFQGGDPMIRDGKRSVSKDLIQFLEYVDGLVLKRHKLLYKNAKNIRVLRNGSSKPKVLRSKSGEVMEKLRDRVEKLERFSTIEEGNDVVELEGFHQGIDEAENKNKNKNGELLLKRQGIQPKVKKAVSFTENGNVYRIISNGDEVSSSGDEAENKNKNGELLLKRQGIQPKVKKTVSFAENGNVYRIISNGDEVTSSGDEAENKNGELLLKRQGIQPKVKKTVSFAENGNVYRIISNGDEVSSSGDGSLTDESVSSDERGDTTENLVKESEDLVENLEEAINLNKQARSNSVEDYQIQGDDFVFSAPLPVKMESKADLMKKRNGALKIVSS >KJB38210 pep chromosome:Graimondii2_0_v6:6:48862408:48863527:-1 gene:B456_006G242600 transcript:KJB38210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSAMQMQSLLLASPMTGLGSKRQMVQFYNVKPMPRFQRKLNLQVRCLAEEGDQKEPMPAATTPEPKQSPPRISPKVSTKFSDVFAFSGPAPERINGRLAMVGFVAALAMELSNGQDVLTQISNGGIPLFVGTSIVLSLASLIPLFRGETVESRSGQFMSSDAELWNGRFAMLGLVALAFTEYVKGGTLV >KJB35652 pep chromosome:Graimondii2_0_v6:6:37409993:37412018:1 gene:B456_006G122600 transcript:KJB35652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAKAVVPESLLKKNKRNEEWELAKKQELEVAKKKKVENRKLIYSRAKQYAKEYGAQEKELIQLKREARLKGGFYVDPEAKLLFIIRIRGINAMHPRTRKILQLLRLRQIFNGVFLKVNKATMNMLHLVEPYVTYGYPNLKSVRELIYKRGFGKLNKQRIALTDNAIVEQALGKFGIICVEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >KJB35651 pep chromosome:Graimondii2_0_v6:6:37409812:37412018:1 gene:B456_006G122600 transcript:KJB35651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARLTPDQKVACSIHVGLFSSPFYSFFLYKPLITLQQPHPKMGEEAKAVVPESLLKKNKRNEEWELAKKQELEVAKKKKVENRKLIYSRAKQYAKEYGAQEKELIQLKREARLKGGFYVDPEAKLLFIIRIRGINAMHPRTRKILQLLRLRQIFNGVFLKVNKATMNMLHLVEPYVTYGYPNLKSVRELIYKRGFGKLNKQRIALTDNAIVEQALGKFGIICVEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >KJB35653 pep chromosome:Graimondii2_0_v6:6:37409993:37412018:1 gene:B456_006G122600 transcript:KJB35653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAKAVVPESLLKKNKRNEEWELAKKQELEVAKKKKVENRKLIYSRAKQYAKEYGAQEKELIQLKREARLKGGFYVDPEAKLLFIIRIRGINAMHPRTRKILQLLRLRQIFNGVFLKVNKATMNMLHLVEPYVTYGYPNLKSVRELIYKRGFGKLNKQRIALTDNAIALGKFGIICVEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >KJB37748 pep chromosome:Graimondii2_0_v6:6:47408877:47413507:-1 gene:B456_006G221300 transcript:KJB37748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIDFFLISSLLFSISRAESIGSVFFIDSPTHQFLRAPSSNDASQSEPMLLPEVGATASILLGFPPPITLSAAGSSKLNEVLISNPFDRPRAVFMLEVSGVDDPLVVGPKNALFHKALKSSVGLGSSKVDIQLPDEEQVSVISLDEPLRDYTEEEINDFASWLGGSYVPDATKPLHGILAIPLENGDDVDLQMSKKVHREFASKLFALFHNIRKAMQMHEDLSQALHRPAELIVGSFDGIKALQEQQDADGFDKLGMRLLLATLPKIFDSLQTAYEGQIVGVFVFNGASQPVSKPLINVMFTSRPSPRWLAETKTPTNTTLAAQVLVRRTLAWITGVVLLIATLLGVYFLLNMPLTRDTLLYSNVKLD >KJB37753 pep chromosome:Graimondii2_0_v6:6:47408877:47413507:-1 gene:B456_006G221300 transcript:KJB37753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIDFFLISSLLFSISRAESIGSVFFIDSPTHQFLRAPSSNDASQSEPMLLPEVGATASILLGFPPPITLSAAGSSKLNEVLISNPFDRPRAVFMLEVSGVDDPLVVGPKNALFHKALKSSVGLGSSKVDIQLPDEEQVSVISLDEPLRDYTEEEINDFASWLGGSYVPDATKPLHGILAIPLENGDDVDLQMSKKVHREFASKLFALFHNIRKAMQMHEDLSQALHRPAELIVGSFDGIKALQEQQDADGFDKLGMRLLLATLPKIFDSLQTAYEGQIVGVFVFNGASQPVSKPLINVMFTSRPSPRWLAETKTPTNTTLAAQVLVRRTLAWITGVVLLIATLLGVYFLLNMPLTRDTLLYSNVKLD >KJB37751 pep chromosome:Graimondii2_0_v6:6:47409419:47413526:-1 gene:B456_006G221300 transcript:KJB37751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIDFFLISSLLFSISRAESIGSVFFIDSPTHQFLRAPSSNDASQSEPMLLPEVGATASILLGFPPPITLSAAGSSKLNEVLISNPFDRPRAVFMLEVSGVDDPLVVGPKNALFHKALKSSVGLGSSKVDIQLPDEEQVSVISLDEPLRDYTEEEINDFASWLGGSYVPDATKPLHGILAIPLENGDDVDLQMSKKVHREFASKLFALFHNIRKAMQMHEDLSQALHRPAELIVGSFDGIKALQEQQDADGFDKLGMRLLLATLPKIFDSLQTAYEGQIVGVFVFNGASQPVSKPLINVMFTSRPSPRWLAETKTPTNTTLAAQVLVRRTLAWITGVVLLIATLLGVYFLLNMPLTRDTLLYSNVKLD >KJB37750 pep chromosome:Graimondii2_0_v6:6:47409896:47413369:-1 gene:B456_006G221300 transcript:KJB37750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIDFFLISSLLFSISRAESIGSVFFIDSPTHQFLRAPSSNDASQSEPMLLPEVGATASILLGFPPPITLSAAGSSKLNEVLISNPFDRPRAVFMLEVSGVDDPLVVGPKNALFHKALKSSVGLGSSKVDIQLPDEEQVSVISLDEPLRDYTEEEINDFASWLGGSYVPDATKPLHGILAIPLENGDDVDLQMSKKVHREFASKLFALFHNIRKAMQMHEDLSQALHRPAELIVGSFDGIKALQEQQDADGFDKLGMRLLLATLPKIFDSLQTAYEGQIVGVFVFNGASQPVSKPLINVMFTSRPSPRWLAETKTPTNTTLAAQVLVRRTLAWITGVVLLIATLLGVYFLLNMPLTRDTLLYSNVKLD >KJB37749 pep chromosome:Graimondii2_0_v6:6:47408911:47413470:-1 gene:B456_006G221300 transcript:KJB37749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIDFFLISSLLFSISRAESIGSVFFIDSPTHQFLRAPSSNDASQSEPMLLPEVGATASILLGFPPPITLSAAGSSKLNEVLISNPFDRPRAVFMLEVSGVDDPLVVGPKNALFHKALKSSVGLGSSKVDIQLPDEEQVSVISLDEPLRDYTEEEINDFASWLGGSYVPDATKPLHGILAIPLENGDDVDLQMSKKVHREFASKLFALFHNIRKAMQMHEDLSQALHRPAELIVGSFDGIKALQEQQDADGFDKLGMRLLLATLPKIFDSLQTAYEGQIVGVFVFNGASQPVSKPLINVMFTSRPSPRWLAETKTPTNTTLAAQVLVRRTLAWITGVVLLIATLLGVYFLLNMPLTRDTLLYSNVKLD >KJB37752 pep chromosome:Graimondii2_0_v6:6:47409896:47413369:-1 gene:B456_006G221300 transcript:KJB37752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIDFFLISSLLFSISRAESIGSVFFIDSPTHQFLRAPSSNDASQSEPMLLPEVGATASILLGFPPPITLSAAGSSKLNEVLISNPFDRPRAVFMLEVSGVDDPLVVGPKNALFHKALKSSVGLGSSKVDIQLPDEEQVSVISLDEPLRDYTEEEINDFASWLGGSYVPDATKPLHGILAIPLENGDDVDLQMSKKVHREFASKLFALFHNIRKAMQMHEDLSQALHRPAELIVGSFDGIKALQEQQDADGFDKLGMRLLLATLPKIFDSLQTAYEGQIVGVFVFNGASQPVSKPLINVMFTSRPSPRWLAETKTPTNTTLAAQVLVRRTLAWITGVVLLIATLLGVYFLLNMPLTRDTLLYSNVKLD >KJB37747 pep chromosome:Graimondii2_0_v6:6:47409466:47413446:-1 gene:B456_006G221300 transcript:KJB37747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIDFFLISSLLFSISRAESIGSVFFIDSPTHQFLRAPSSNDASQSEPMLLPEVGATASILLGFPPPITLSAAGSSKLNEVLISNPFDRPRAVFMLEVSGVDDPLVVGPKNALFHKALKSSVGLGSSKVDIQLPDEEQVSVISLDEPLRDYTEEEINDFASWLGGSYVPDATKPLHGILAIPLENGDDVDLQMSKKVHREFASKLFALFHNIRKAMQMHEDLSQALHRPAELIVGSFDGIKALQEQQDADGFDKLGMRLLLATLPKIFDSLQTAYEGQIVGVFVFNGASQPVSKPLINVMFTSRPSPRWLAETKTPTNTTLAAQVLVRRTLAWITGVVLLIATLLGVYFLLNMPLTRDTLLYSNVKLD >KJB34002 pep chromosome:Graimondii2_0_v6:6:12424943:12426934:1 gene:B456_006G042900 transcript:KJB34002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVGLDLEAESEGAFDEAVDKAWNVFGHLDALLNCYAYQGKMQDHLELGEEELKRIIKVNFMAVLFLQKAVAKRMRDHKTGGSIVFMTTILGAERGLHQGAAAYGSCLAAVQQLARLSALENGKHKIRVNAIARGLQLEDEYPLWVGKERAEKRVKEAAPLHRWLDAKNDLASTVIYLISDGSRFMTGTTIFVDGAQSLTRPRLRSYM >KJB33999 pep chromosome:Graimondii2_0_v6:6:12424943:12426934:1 gene:B456_006G042900 transcript:KJB33999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEWCLRNVREKLMESTDGVVPMKVVGLDLEAESEGAFDEAVDKAWNVFGHLDALLNCYAYQGKMQDHLELGEEELKRIIKVNFMAVLFLQKAVAKRMRDHKTGGSIVFMTTILGAERGLHQGAAAYGSCLAAVQQLARLSALENGKHKIRVNAIARGLQLEDEYPLWVGKERAEKRVKEAAPLHRWLDAKNDLASTVIYLISDGSRFMTGTTIFVDGAQSLTRPRLRSYM >KJB34003 pep chromosome:Graimondii2_0_v6:6:12424930:12426934:1 gene:B456_006G042900 transcript:KJB34003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEAKKVLLTSNGDEISVNIALHLAKRGCRNVREKLMESTDGVVPMKVVGLDLEAESEGAFDEAVDKAWNVFGHLDALLNCYAYQGKMQDHLELGEEELKRIIKVNFMAVLFLQKAVAKRMRDHKTGGSIVFMTTILGAERGLHQGAAAYGSCLAAVQQLARLSALENGKHKIRVNAIARGLQLEDEYPLWVGKERAEKRVKEAAPLHRWLDAKNDLASTVIYLISDGSRFMTGTTIFVDGAQSLTRPRLRSYM >KJB34000 pep chromosome:Graimondii2_0_v6:6:12424868:12426934:1 gene:B456_006G042900 transcript:KJB34000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEAKKVLLTSNGDEISVNIALHLAKRGCRLVLMGNEWCLRNVREKLMESTDGVVPMKVVGLDLEAESEGAFDEAVDKAWNVFGHLDALLNCYAYQGKMQDHLELGEEELKRIIKVNFMAVLFLQKAVAKRMRDHKTGGSIVFMTTILGAERGLHQGAAAYGSCLAAVQQLARLSALENGKHKIRVNAIARGLQLEDEYPLWVGKERAEKRVKEAAPLHRWLDAKNDLASTVIYLISDGSRFMTGTTIFVDGAQSLTRPRLRSYM >KJB34001 pep chromosome:Graimondii2_0_v6:6:12424943:12426934:1 gene:B456_006G042900 transcript:KJB34001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDHLELGEEELKRIIKVNFMAVLFLQKAVAKRMRDHKTGGSIVFMTTILGAERGLHQGAAAYGSCLAAVQQLARLSALENGKHKIRVNAIARGLQLEDEYPLWVGKERAEKRVKEAAPLHRWLDAKNDLASTVIYLISDGSRFMTGTTIFVDGAQSLTRPRLRSYM >KJB34004 pep chromosome:Graimondii2_0_v6:6:12424846:12426934:1 gene:B456_006G042900 transcript:KJB34004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEAKKVLLTSNGDEISVNIALHLAKRGCRHVNRLVLMGNEWCLRNVREKLMESTDGVVPMKVVGLDLEAESEGAFDEAVDKAWNVFGHLDALLNCYAYQGKMQDHLELGEEELKRIIKVNFMAVLFLQKAVAKRMRDHKTGGSIVFMTTILGAERGLHQGAAAYGSCLAAVQQLARLSALENGKHKIRVNAIARGLQLEDEYPLWVGKERAEKRVKEAAPLHRWLDAKNDLASTVIYLISDGSRFMTGTTIFVDGAQSLTRPRLRSYM >KJB34106 pep chromosome:Graimondii2_0_v6:6:16209242:16212881:1 gene:B456_006G048300 transcript:KJB34106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 2 [Source:Projected from Arabidopsis thaliana (AT3G48900) UniProtKB/Swiss-Prot;Acc:Q9M2Z3] MEAACQIVKSVGDNDILRKIASEGLSFTRKKKNSKKQGQVKCNDKETTLSCKVNMNGGIQNSQTDDQYLRVVEAYMSPKCHSADSDVVHRALVQRPFQHEQLQMLCSRFFEWPPEKTDEYILPKIAERDLRRFAYLRSTSSQLDVNIPMKEVPVKCPISAIIKRRKVHGRECFEVLWEELYGIKSSVVPSDLVESACPEKIKEFDDKRALEKKNQRKSRPKKSGKQCSLTEIDQKLQHLLLDIELGSESSPMASREVISDQMPTATEVNFMNRDPPIILDSYCRATMLCQETDITAPKHEVIDLLSPSPQVQSRVVSRCTQMNDQHITIIDLSESETERSPEHVRKARELRLFLASIRDDFN >KJB34105 pep chromosome:Graimondii2_0_v6:6:16208251:16212881:1 gene:B456_006G048300 transcript:KJB34105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 2 [Source:Projected from Arabidopsis thaliana (AT3G48900) UniProtKB/Swiss-Prot;Acc:Q9M2Z3] MGSEFSCMIKEAKLLGLALGIPCLDGIEEAEAQCALLNLESLCDGCFSSDSDTFLFGARTVYRDICLGEGGHVVCYEMDDIERQLGFGRNSLISLALLLGSDYTQGVRGLGLEAACQIVKSVGDNDILRKIASEGLSFTRKKKNSKKQGQVKCNDKETTLSCKVNMNGGIQNSQTDDQYLRVVEAYMSPKCHSADSDVVHRALVQRPFQHEQLQMLCSRFFEWPPEKTDEYILPKIAERDLRRFAYLRSTSSQLDVNIPMKEVPVKCPISAIIKRRKVHGRECFEVLWEELYGIKSSVVPSDLVESACPEKIKEFDDKRALEKKNQRKSRPKKSGKQCSLTEIDQKLQHLLLDIELGSESSPMASREVISDQMPTATEVNFMNRDPPIILDSYCRATMLCQETDITAPKHEVIDLLSPSPQVQSRVVSRCTQMNDQHITIIDLSESETERSPEHVRKARELRLFLASIRDDFN >KJB36123 pep chromosome:Graimondii2_0_v6:6:40015361:40020143:-1 gene:B456_006G142300 transcript:KJB36123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQKLKEEREQSLTENGKETEKSDLEREKGSEKKEENETENITRRPVNGREESERENHSVNESNSTDPKEESPGTGPDEAKVEPEPVEPDGGETGKEVQSVKPGGEASCNGSCDSVAKGSAENSERVDPRETGDSPESVAESKGEEPNRESSDVQSSASLSGKEKKNAEPDEPDNGELDQSLSIKKVSVESQPLVALLDIFRSHKLGSLFERRLEIQKTPDYSNLIRQHLDLETIGMRVEEGWYSGCKSKFFRDLLLLLTNAIIFFGKESSEYAAAIEFRQLVSKEIRTQFRNSSVLPKEQSSSRVPESQMPLKPEPQLSLSLSMKPKLSVPLIACRKRSSIAAKSSTSSSGQEKKRQLLASLMNEKPALGWKQHDKSTEESPVAKKRTRESSASGSRKASKNAKARSNTNTNKNPGTNTNAAISSKGGSSNDNSESKGGEKEKSNSKTASSKKPSAANFLNRMRSSLSGNEPLTETLKGVISSGKGKGGGDAGEHKKSSASCKGDQQKDRTPTPRTRSGGKRTSPAKRSTGRPPKRVPATQSAPPGKRGREAVENHSGGGQAKKRSRK >KJB36122 pep chromosome:Graimondii2_0_v6:6:40015216:40020240:-1 gene:B456_006G142300 transcript:KJB36122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHSFPEKQTWGTWEELLLACAVHRYGNNSWDSVAMELQKRTSTFQHLFFTPLSCQQKFQDLKRRFAENGDDGETTNNNISTSTVPWLDELRRLRVAELRREVQQYDLSIVSLQMKVQKLKEEREQSLTENGKETEKSDLEREKGSEKKEENETENITRRPVNGREESERENHSVNESNSTDPKEESPGTGPDEAKVEPEPVEPDGGETGKEVQSVKPGGEASCNGSCDSVAKGSAENSERVDPRETGDSPESVAESKGEEPNRESSDVQSSASLSGKEKKNAEPDEPDNGELDQSLSIKKVSVESQPLVALLDIFRSHKLGSLFERRLEIQKTPDYSNLIRQHLDLETIGMRVEEGWYSGCKSKFFRDLLLLLTNAIIFFGKESSEYAAAIEFRQLVSKEIRTQFRNSSVLPKEQSSSRVPESQMPLKPEPQLSLSLSMKPKLSVPLIACRKRSSIAAKSSTSSSGQEKKRQLLASLMNEKPALGWKQHDKSTEESPVAKKRTRESSASGSRKASKNAKARSNTNTNKNPGTNTNAAISSKGGSSNDNSESKGGEKEKSNSKTASSKKPSAANFLNRMRSSLSGNEPLTETLKGVISSGKGKGGGDAGEHKKSSASCKGDQQKDRTPTPRTRSGGKRTSPAKRSTGRPPKRVPATQSAPPGKRGREAVENHSGGGQAKKRSRK >KJB37369 pep chromosome:Graimondii2_0_v6:6:46181382:46182888:-1 gene:B456_006G206100 transcript:KJB37369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDLERKAAAKPQKQQALNCPRCNSMNTKFCYYNNYSLRQPRYFCKTCRRYWTEGGSLRNIPVGGCSRKTKRSSSSSSKKLPDLVNNPKIHGGQDLNLAYPVSEVYTTSMSEFVKVPNSSVENSKKEIPSSLFPRCHVSAFELVTGMSSKGSNPFIPMPVPDPNTVYTPGFPLQEYKPTLNFCVDGVEEINGRLLFPFQDLKQTTDNEDNKEQGDSSAGFWNGMLGGSSW >KJB37371 pep chromosome:Graimondii2_0_v6:6:46181382:46182914:-1 gene:B456_006G206100 transcript:KJB37371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTALWPQEIVTNACSKPADLERKAAAKPQKQQALNCPRNIPVGGCSRKTKRSSSSSSKKLPDLVNNPKIHGGQDLNLAYPVSEVYTTSMSEFVKVPNSSVENSKKEIPSSLFPRCHVSAFELVTGMSSKGSNPFIPMPVPDPNTVYTPGFPLQEYKPTLNFCVDGVEEINGRLLFPFQDLKQTTDNEDNKEQGDSSAGFWNGMLGGSSW >KJB37370 pep chromosome:Graimondii2_0_v6:6:46181773:46182721:-1 gene:B456_006G206100 transcript:KJB37370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTALWPQEIVTNACSKPADLERKAAAKPQKQQALNCPRCNSMNTKFCYYNNYSLRQPRYFCKTCRRYWTEGGSLRNIPVGGCSRKTKRSSSSSSKKLPDLVNNPKIHGGQDLNLAYPVSEVYTTSMSEFVKVPNSSVENSKKEIPSSLFPRCHVSAFELVTGMSSKGSNPFIPMPVPDPNTVYTPGFPLQEYKPTLNFCVDGVEEINGRLLFPFQDLKQTTDNEDNKEQGDSSAGFWNGMLGGSSW >KJB38203 pep chromosome:Graimondii2_0_v6:6:48805268:48807475:-1 gene:B456_006G241800 transcript:KJB38203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTAKWLKSLLTGKKDKSRNISNNQNSSVVPENPTTTVSIPLPPTTPKEKRRWSFRRSSASATPVRELNSVEQVVTPSPPPPPAPPVVTDVIRVSGSADDEKVDAGEEAAAKMIQAVFRSYLARKALKALKGIVKLQALVRGHLVRRQASVTLKCMQALVTAQARARAQRIRMVEDSRPASRRQSPHRRSTTPDQRLRHGYHEIDSGVEENIKIVEIDHGDSKASLQSRNSYSMEHRFSNHQASPVTSSYLADQISPGACSGHFEDHFFTVAQSSPHYAESETFEYSFCPNYMANTESSRAKARSQSAPKSRPDSIERQPSGRRRSSTEGRNHVPKAMKMKRSSSHVGAAEKNSQYQYQYQYPWSIKLDRSSVSLIDSECGSTSTVLTNTNYCRSHFGYDVRV >KJB38204 pep chromosome:Graimondii2_0_v6:6:48805268:48807502:-1 gene:B456_006G241800 transcript:KJB38204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTAKWLKSLLTGKKDKSRNISNNQNSSVVPENPTTTVSIPLPPTTPKEKRRWSFRRSSASATPVRELNSVEQVVTPSPPPPPAPPVVTDVIRVSGSADDEKVDAGEEAAAKMIQAVFRSYLARKALKALKGIVKLQALVRGHLVRRQASVTLKCMQALVTAQARARAQRIRMVEDSRPASRRQSPHRRSTTPDQRLRHGYHEIDSGVEENIKIVEIDHGDSKASLQSRNSYSMEHRFSNHQASPVTSSYLADQISPGACSGHFEDHFFTVAQSSPHYAESETFEYSFCPNYMANTESSRAKARSQSAPKSRPDSIERQPSGRRRSSTEGRNHVPKAMKMKRSSSHVGAAEKNSQYQYQYQYPWSIKLDRSSVSLIDSECGSTSTVLTNTNYCRSHFGYDVRV >KJB38205 pep chromosome:Graimondii2_0_v6:6:48805313:48807470:-1 gene:B456_006G241800 transcript:KJB38205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTAKWLKSLLTGKKDKSRNISNNQNSSVVPENPTTTVSIPLPPTTPKEKRRWSFRRSSASATPVRELNSVEQVVTPSPPPPPAPPVVTDVIRVSGSADDEKVDAGEEAAAKMIQAVFRSYLVCYNLLDLMAELIMYLFETFLMRSEVGAIVEQARKALKALKGIVKLQALVRGHLVRRQASVTLKCMQALVTAQARARAQRIRMVEDSRPASRRQSPHRRSTTPDQRLRHGYHEIDSGVEENIKIVEIDHGDSKASLQSRNSYSMEHRFSNHQASPVTSSYLADQISPGACSGHFEDHFFTVAQSSPHYAESETFEYSFCPNYMANTESSRAKARSQSAPKSRPDSIERQPSGRRRSSTEGRNHVPKAMKMKRSSSHVGAAEKNSQYQYQYQYPWSIKLDRSSVSLIDSECGSTSTVLTNTNYCRSHFGYDVRV >KJB38437 pep chromosome:Graimondii2_0_v6:6:49759466:49761182:-1 gene:B456_006G254900 transcript:KJB38437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPPARKVMVVADPAPHSAAALQYALSHALLEQDELILLHVENTSSWKNTLTTFLKRPTIASAANAMTPNNSSGPDWGSTDVNFLDQMKYASEIAQPKIPVRIEKIDPDGKDKATVILSKSKDLGIDLIIIGQKRSLSSAILGYKRPSGSMKGSKLIDTVDYLIENSPCTCVGVQKKGQNGGYVLNSKTQKNFWLLG >KJB33605 pep chromosome:Graimondii2_0_v6:6:5371395:5372886:1 gene:B456_006G0212001 transcript:KJB33605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EPMINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYASNNMLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGS >KJB33656 pep chromosome:Graimondii2_0_v6:6:6313178:6317697:1 gene:B456_006G024600 transcript:KJB33656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPMIMSKIINLDTNWKKKMYRYIVKKKYKKVVSFIERRKEKVAFFEKNLDGFRKMGFGHQSEREKKSSRKNKDEEEETGCWVKLRFLGSCMSSRSRVDNSMSGTTAESKSTTTREKSRDQPVVPVSSTTTSNAETASSTPKYSEELKVASQLRKFTFIDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNIEGLQGHKEWLAEVDFLGNLLHPNLVKLVGYCIEDDHRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGMSYIHFYISIMFRVEKLLLPRSLAIYVGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGDKRRFFRILDPRLEGHFSIKGAQKAAQLAAQCLNRDPKARPRMSEVVEILKPLPNLKDMASSSYYFQTMQSNRNRSNVNAKNGTRAQAGFVVRKGQPMRSLSASSNHQAPHPSPKPKAKES >KJB33655 pep chromosome:Graimondii2_0_v6:6:6313178:6317697:1 gene:B456_006G024600 transcript:KJB33655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPMIMSKIINLDTNWKKKMYRYIVKKKYKKVVSFIERRKEKVAFFEKNLDGFRKMGFGHQSEREKKSSRKNKDEEEETGCWVKLRFLGSCMSSRSRVDNSMSGTTAESKSTTTREKSRDQPVVPVSSTTTSNAETASSTPKYSEELKVASQLRKFTFIDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNIEGLQGHKEWLAEVDFLGNLLHPNLVKLVGYCIEDDHRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGDKRRFFRILDPRLEGHFSIKGAQKAAQLAAQCLNRDPKARPRMSEVVEILKPLPNLKDMASSSYYFQTMQSNRNRSNVNAKNGTRAQAGFVVRKGQPMRSLSASSNHQAPHPSPKPKAKES >KJB33658 pep chromosome:Graimondii2_0_v6:6:6313980:6317721:1 gene:B456_006G024600 transcript:KJB33658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGHQSEREKKSSRKNKDEEEETGCWVKLRFLGSCMSSRSRVDNSMSGTTAESKSTTTREKSRDQPVVPVSSTTTSNAETASSTPKYSEELKVASQLRKFTFIDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNIEGLQGHKEWLAEVDFLGNLLHPNLVKLVGYCIEDDHRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGDKRRFFRILDPRLEGHFSIKGAQKAAQLAAQCLNRDPKARPRMSEVVEILKPLPNLKDMASSSYYFQTMQSNRNRSNVNAKNGTRAQAGFVVRKGQPMRSLSASSNHQAPHPSPKPKAKES >KJB33659 pep chromosome:Graimondii2_0_v6:6:6313980:6317721:1 gene:B456_006G024600 transcript:KJB33659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGHQSEREKKSSRKNKDEEEETGCWVKLRFLGSCMSSRSRVDNSMSGTTAESKSTTTREKSRDQPVVPVSSTTTSNAETASSTPKYSEELKVASQLRKFTFIDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNIEGLQGHKEWLAEVDFLGNLLHPNLVKLVGYCIEDDHRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGDKRRFFRILDPRLEGHFSIKGAQKAAQLAAQCLNRDPKARPRMSEVVEILKPLPNLKDMASSSYYFQTMQSNRNRSNVNAKNGTRAQAGFVVRKGQPMRSLSASSNHQAPHPSPKPKAKES >KJB33657 pep chromosome:Graimondii2_0_v6:6:6313178:6317740:1 gene:B456_006G024600 transcript:KJB33657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPMIMSKIINLDTNWKKKMYRYIVKKKYKKVVSFIERRKEKVAFFEKNLDGFRKMGFGHQSEREKKSSRKNKDEEEETGCWVKLRFLGSCMSSRSRVDNSMSGTTAESKSTTTREKSRDQPVVPVSSTTTSNAETASSTPKYSEELKVASQLRKFTFIDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNIEGLQGHKEWLAEVDFLGNLLHPNLVKLVGYCIEDDHRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGDKRRFFRILDPRLEGHFSIKGAQKAAQLAAQCLNRDPKARPRMIQSQ >KJB38575 pep chromosome:Graimondii2_0_v6:6:50209963:50213735:-1 gene:B456_006G261700 transcript:KJB38575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSKKIRLSHKINVEYSGIKTAPGQPLKQVNSSVADDKCRGSAPSNALALTGPADSCDSQKEGTQNALLVGPSLQPKMQNNVGTSGKGTAMVSASAPFSERLTTSAIIERIPSKWPCPVWHRPWKNYRVISGHLGWVRSVAFDPSNNWFCTGSADRTIKIWDVASGRLKLTLTGHIEQIRGLAVSSKHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKMQIFALSGHDNTVCSVFTRPTDPQVVTGSHDTTIKFWDLRYGKTMSTLTHHKKSVRAMAPHPKEHSFASASADNIKKFSLPKGEFLHNMLSQQKTIINTMAVNEDGVMATGGDNGSLWFWDWRSGHNFQQAHTIVQPGSLDSEAGIYALSYDVTGTRLVSCEADKTIKMWKEDETATEQTHPLNFKPPKDIRRF >KJB38574 pep chromosome:Graimondii2_0_v6:6:50209746:50214088:-1 gene:B456_006G261700 transcript:KJB38574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSKKIRLSHKINVEYSGIKTAPGQPLKQVNSSVADDKCRGSAPSNALALTDSCDSQKEGTQNALLVGPSLQPKMQNNVGTSGKGTAMVSASAPFSERLTTSAIIERIPSKWPCPVWHRPWKNYRVISGHLGWVRSVAFDPSNNWFCTGSADRTIKIWDVASGRLKLTLTGHIEQIRGLAVSSKHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKMQIFALSGHDNTVCSVFTRPTDPQVVTGSHDTTIKFWDLRYGKTMSTLTHHKKSVRAMAPHPKEHSFASASADNIKKFSLPKGEFLHNMLSQQKTIINTMAVNEDGVMATGGDNGSLWFWDWRSGHNFQQAHTIVQPGSLDSEAGIYALSYDVTGTRLVSCEADKTIKMWKEDETATEQTHPLNFKPPKDIRRF >KJB38573 pep chromosome:Graimondii2_0_v6:6:50209963:50213974:-1 gene:B456_006G261700 transcript:KJB38573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPPLEMEPVEPQSLKKLSLKSLKRALDLFSPSHGQFAAPDSESKKIRLSHKINVEYSGIKTAPGQPLKQVNSSVADDKCRGSAPSNALALTGPADSCDSQKEGTQNALLVGPSLQPKMQNNVGTSGKGTAMVSASAPFSERLTTSAIIERIPSKWPCPVWHRPWKNYRVISGHLGWVRSVAFDPSNNWFCTGSADRTIKIWDVASGRLKLTLTGHIEQIRGLAVSSKHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKMQIFALSGHDNTVCSVFTRPTDPQVVTGSHDTTIKFWDLRYGKTMSTLTHHKKSVRAMAPHPKEHSFASASADNIKKFSLPKGEFLHNMLSQQKTIINTMAVNEDGVMATGGDNGSLWFWDWRSGHNFQQAHTIVQPGSLDSEAGIYALSYDVTGTRLVSCEADKTIKMWKEDETATEQTHPLNFKPPKDIRRF >KJB38576 pep chromosome:Graimondii2_0_v6:6:50209747:50213618:-1 gene:B456_006G261700 transcript:KJB38576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNNVGTSGKGTAMVSASAPFSERLTTSAIIERIPSKWPCPVWHRPWKNYRVISGHLGWVRSVAFDPSNNWFCTGSADRTIKIWDVASGRLKLTLTGHIEQIRGLAVSSKHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKMQIFALSGHDNTVCSVFTRPTDPQVVTGSHDTTIKFWDLRYGKTMSTLTHHKKSVRAMAPHPKEHSFASASADNIKKFSLPKGEFLHNMLSQQKTIINTMAVNEDGVMATGGDNGSLWFWDWRSGHNFQQAHTIVQPGSLDSEAGIYALSYDVTGTRLVSCEADKTIKMWKEDETATEQTHPLNFKPPKDIRRF >KJB38572 pep chromosome:Graimondii2_0_v6:6:50209121:50214145:-1 gene:B456_006G261700 transcript:KJB38572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPPLEMEPVEPQSLKKLSLKSLKRALDLFSPSHGQFAAPDSESKKIRLSHKINVEYSGIKTAPGQPLKQVNSSVADDKCRGSAPSNALALTDSCDSQKEGTQNALLVGPSLQPKMQNNVGTSGKGTAMVSASAPFSERLTTSAIIERIPSKWPCPVWHRPWKNYRVISGHLGWVRSVAFDPSNNWFCTGSADRTIKIWDVASGRLKLTLTGHIEQIRGLAVSSKHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKMQIFALSGHDNTVCSVFTRPTDPQVVTGSHDTTIKFWDLRYGKTMSTLTHHKKSVRAMAPHPKEHSFASASADNIKKFSLPKGEFLHNMLSQQKTIINTMAVNEDGVMATGGDNGSLWFWDWRSGHNFQQAHTIVQPGSLDSEAGIYALSYDVTGTRLVSCEADKTIKMWKEDETATEQTHPLNFKPPKDIRRF >KJB37461 pep chromosome:Graimondii2_0_v6:6:50887543:50888432:1 gene:B456_006G272300 transcript:KJB37461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTWKLDDYVRVKMNEKSYCGCLPILQQEISFWGSVYNTYYAYGHLKKSKGKIIVIASSTGWLFAPRLSFYSASKAAVISFYETLRYEFGTEMADGKFISNLILKCVTKDINKMVVWQVEISVMPLESACECGKAIVEGGLPLIRVDQTVEGVLPWHHGSVDWLPINAL >KJB38086 pep chromosome:Graimondii2_0_v6:6:48405952:48407483:1 gene:B456_006G236300 transcript:KJB38086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLVLKMKHFYKDNHLPNVSNNNESRDDHEMGSSSMSANGDILIQNPSFHCFLQGKDLNPARAIIAEMLGTFILMFCISGIIASTKLTGGDALLEYALTAGLTIVVLIFSIGSISGAHVNPAVTIAIAAFGYFPWSRVPLYILAQILGSVLATLMGEFVYGINSDVMETQPSQGSQSAFMVELLATFIVVFVVAAVTQQSQTVGWSIVRVGYWNGHSACGLDFRACFRRITESSKVLRTSNCVKKFRQHMVISNSPSIRSSIRSPDV >KJB38087 pep chromosome:Graimondii2_0_v6:6:48405908:48407790:1 gene:B456_006G236300 transcript:KJB38087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLVLKMKHFYKDNHLPNVSNNNESRDDHEMGSSSMSANGDILIQNPSFHCFLQGKDLNPARAIIAEMLGTFILMFCISGIIASTKLTGGDALLEYALTAGLTIVVLIFSIGSISGAHVNPAVTIAIAAFGYFPWSRVPLYILAQILGSVLATLMGEFVYGINSDVMETQPSQGSQSAFMVELLATFIVVFVVAAVTQQSQTVGQLSGLVIGMAIRLAVLISGPVSGGSLNPARSLGPAIVSRNFDNIWLYLTAPVLGAVLGALMYKFLRLQGQPCLATSSPDSDMLSHSLAFGRS >KJB35544 pep chromosome:Graimondii2_0_v6:6:36884305:36888614:1 gene:B456_006G119100 transcript:KJB35544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFQSHRVEIVSIAVAVAAVGAATAYYLYVSKKPKVCLDPEEFKEFKLVKRTQLSYNVAKFKFALPTPASVLGLPIGQHMSCRGKDQNGDDVVKPYTPTTLDSDLGYFELVIKMYPQGRMSHHFREMQEGDYLAVKGPKGRFKYQPGQVRAFGMLAGGTGITPMFQVARAILENPKDKTDIHLIYANVAYEDILLKEELDELTSRYPNRMSVYYVLNQPPEGWDGGVGFISKEMIQVHCPAPAEDIKILRCGPPPMNKAMAAHLQALGYISEMQFQF >KJB34999 pep chromosome:Graimondii2_0_v6:6:33813509:33815261:1 gene:B456_006G097900 transcript:KJB34999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYALFLTLARKIHSISKFWETPPKMDTPSSSQTATAADDWELCNDDGFIYKRKKRRLVLTEPAAAAVDPEEEEKRRKEWKRKSLLRVKEKYKKEIEEWETLSKALNAMRERALGFQIQQQERRKLRETEEERRTTSSLDSEKKDKDNVCSLVDELLLQAEAQEMIIRDVLNLCDIAEAMCNQQEEQFKQSYFDLPIWASPRDLMASLCDETEDSSVCVDTSM >KJB34998 pep chromosome:Graimondii2_0_v6:6:33813677:33814450:1 gene:B456_006G097900 transcript:KJB34998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYALFLTLARKIHSISKFWETPPKMDTPSSSQTATAADDWELCNDDGFIYKRKKRRLVLTEPAAAAVDPEEEEKRRKEWKRKSLLRVKEKYKKEIEEWETLSKALNAMRERALGFQIQQQERRKLRETEEERRTTSSLDSEKKDKDNVCSLVDELLLQAEAQEMIIRDVLNLCDIAEAMCNQQEEQFKQSYFDLPIWASPRDLMASLCDE >KJB38085 pep chromosome:Graimondii2_0_v6:6:48385640:48389642:-1 gene:B456_006G236200 transcript:KJB38085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMAACDLVGENGGINGRNSNDESPMRSTMRKRMASEVADYHRFPRRTQFVSDNNMACSFMAATGASNNPNPLFNYSTMNMDNVNTVVPSANFAALTSAGPAFLSTTASNFSCVDAVGTNTPQPPAVCGFSGLPLFPPTERNRNTAVDSAAVTATSVNTTVPVSLAPISNSMDDSSATAWIDGVIRDLIHTSSNVSIPQLIHNVREIIYPCNPNLAALLEYRLRSLMDPLERRRKEAAPPPLHLPEVSLARHHSQQQQQQGSSGLTLNLDSAIDSLPNYSLTEPCAMNQYLSWGITPLPISSNNQVSSSPSATTPPVPSLNQHHRAQEQPLVQENTSPVEKTTASTATVGTTTTAPTSTVETVQACNGRDRKEELRQQKRDEEGLHLLTLLLQCAEAVSANNFEDANRMLLELSQLSTPFGTSAQRVAAYFSEAISARLVSSCLGICGGLPSIPPSHTQKMVSAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPHVRLTGLGTSLEALEATGKRLSDFADKLGIPFEFCPVADKVGNLEPERLNVSKTEAVAVHWLQHSLYDVTGSDTNTLWLLQRLAPKVVTVVEQDLSHGGSFLGRFVEAIHYYSALFDSLGASYGEESEERHVVEQQLLSKEIRNVLALGGPSRSSEEVKFHNWREKLQQSGFKPISLAGNAAAQATLLLGMFPSDGYTLVEDNGALKLGWKDLCLLTASAWRPFHATTTTALHR >KJB34649 pep chromosome:Graimondii2_0_v6:6:29960642:29968706:-1 gene:B456_006G076600 transcript:KJB34649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKGRTMVEVGADGVAVITIINPPVNSLSLDVLQSLKESFDEALQRDDVKAIVVAGANGKFSGGFDISAFGGIQQGAVQQPKSGYVSVEVLTDTIEAARKPSVAAIDGLALGGGLEVAMVCHARISTPTAQLGLPELQLGLIPGFGGTQRLPRLVGLAKSLEMMLTSKPVKGEEALGLGLVDAVVSSHELVNAARQWALDILARRKPWVATLYKTDKIEPLGEARQILNFARAQTRKRAPNLKHPLVCIDVIEEGIVNGPKPALYKEVEAFQGLLKSDTSKSLIHIFFAQRGTSKVPGITDRGLVPRKVKKVAILGGGLMGSGIAMALLLSNYTVILKEVNEKFLEAGIGRVKANLGSRVKKGQMTQEKFEKTMSLLRGVLDFESFRDVDMVIEAVIENVSLKQQIFADLEKYCPPHCILASNTSTIDLNLIGKRTKSQDRIIGAHFFSPAHIMPLLEIVRTEHTSPQIIVDLLDVGKKIKKTPIIVGNCTGFAVNRMFFPYTQAGLFLVERGTDVYRIDRAITKFGMPMGPFRLADLVGFGVAIATSSQFIENFPERTYKSMLIPIMQEDKRAGESTRKGFYLYDNKRKASPDPELKMYIEKARSISGVAVDPKFVKLSEKDIVEMIFFPVVNEACRVFAEGIAVKASDIDIAAVMGMGFPPYRGGLMFWADSLGSKYIYSRLEEWSNLYGGFFKPCAFLAERAAKGAPLSAPLEMGKSRL >KJB35009 pep chromosome:Graimondii2_0_v6:6:33380894:33382050:-1 gene:B456_006G095100 transcript:KJB35009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 3 member 1 [Source:Projected from Arabidopsis thaliana (AT1G72610) UniProtKB/Swiss-Prot;Acc:P94040] KVKIDLNGCYQNTNWSSPYLSIYSYPKPLKKPPHHHQEKGKIIPSSSTTTMLHIFLLFSLIFTSTNVLAQDFCVANTASGIVTPSGYPCIMEANVTSDDFAFSGLGAPGNTSNIISAAVTPAFVGQYPAVNGLGLSAARLDLAPGGVIPMHTHPGANELLYVVHGHITCGFISSANKVYLKTLKRGDVMVFPQGLLHFQINAAGKRPSLAIVTFSSPNPGLQILDFALFANDLPSSLLEKSTFLDDAQVKKLKGVLGGTG >KJB33556 pep chromosome:Graimondii2_0_v6:6:4135807:4140601:1 gene:B456_006G017500 transcript:KJB33556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLNKLLMNTHFDMMNMDSFNVFLFQKMFTFGGFLLVSFSALFFSLFDFFSKVFSRTPICKLKPEEAMLDAIELEDEESEVFEEIESPKLVFKFQFQTQTFEEFSKKFRDIGMETDPSTSTNKYEFNSRNDFEFAMENPNDVSFCVKELYTDSNNELFPKRDFLEENIKDEEAVIIEEVTKEDGGIMEEESREAVNGKECANALDHSSDSNELETLWEHQHLIEQLKMELKKVRATGLPTILEESESPKVMEDLKPWKIDEKFQHVDRMSDLHKLYKSYRERMRKFDILNYQKMYAIGFLQSKDPLQSISSHKSSSPAITSLLHQNLRLRRRKKSNFDPTTKFIKELHSDLEMVYVGQLCLSWEILHWQYEKAMEIWETDPYSLRRFNEVACEFQQFQVLIQRFIENELFEGPRVQNYVKNRCVLRNLLQVPVIREDSVKDKRKGRRKRIGDDDNDDDDDGITSDMLVEIMEESIRIFWRFIRADKDANVVIKNSRKGTQIEPLEPADLELLTKVQTSLQKKDKKLKDILRSGNCILRKLKKNEEENSNQVLYFFSQVDLKLVARVMNMSKVTKDQLFWCHNKLSKINFVHRKINVEPSFLLFPC >KJB36807 pep chromosome:Graimondii2_0_v6:6:43481473:43481924:-1 gene:B456_006G177000 transcript:KJB36807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSICISNCLNDARDPGVPVRATYVNLYKWPESDAEFVRSRSSSGSLHGGRVVDSISCRQMYLRSYRFSRKETVPEKTVKCLGRVKEKVGQRKRRYKQKRRIRSRCLIWRKVKVALFRFFNRLLSCSASVDVVDQKTVFF >KJB37878 pep chromosome:Graimondii2_0_v6:6:47624334:47625588:1 gene:B456_006G224500 transcript:KJB37878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFSFFSFFLLFFFVNIALSYQQVDYDESMFRNYSQINITQGTGPESIAFDCKGEGPYVGVSDGRVLKWEGLKFGWKEFAVPSSFRIRKICDGSTDPNLEPICGRPLGLKFHIETCHLYIADAYHGLLVVGPYGGVAEKLATSAEGVPFKFTNGLDIDTKTGMVYFTDSSTAIQRRNVDSLLRSLDQTGRLLKYNPYTKEVSVIYKGLVFPNGVALSKNNSFLLVAESTRMRILKFNLEGAKAKNMSKVFADPEVFAVLPRVPDNIKRNKDGDFWVALNTGRLESIQSDAPDPIGIKYNEEGTVLKRLDGHNGMIFNSISEVKEYNHRLYIGSVTKPYVGILNDY >KJB36744 pep chromosome:Graimondii2_0_v6:6:43295058:43297523:-1 gene:B456_006G174500 transcript:KJB36744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMRRLSFLQSQPGNKTCVDCSQRNPTWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNERLNSFLAQHGIPKETDIVTKYNSNAAGVYRDRIQALAEGRPWQDPPLVRETLNGDGSHKKSSLSDSDDCGKGSSYRNDDGGWASWDCDDSFRSSSDLRRNQSMSDLRGQMSRCSRRVVPIRSKSTEDIYMQPQLEASVAHNDNCFARNEPKSGKLPPSQGGKFVGFGSNHKLSHKKNKSHGDVLSGVWKLSMVATSAAQTTANAVQIGKELSSKAKEGSYDTKVHQTVHVVTTKTSKFGHKTWGVMKGAVTLATQKVGRFTKDAMTQKSNNWQRNNGYYQEFKQEKGCNSTCRGESFSNGNHSSYSSSYWDNCGAKDNNRKGDTEKVTITSSNNDDWATWDNDAKDSGYDNFHNVVFHEETVGQNGK >KJB36745 pep chromosome:Graimondii2_0_v6:6:43296447:43297316:-1 gene:B456_006G174500 transcript:KJB36745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMRRLSFLQSQPGNKTCVDCSQRNPTWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNERLNSFLAQHGIPKETDIVTKYNSNAAGVYRDRIQALAEGRPWQDPPLVRETLNGDGSHKKSSLSDSDDCGKGSSYRNDDGGWASWDCDDSFRSSSDLRRNQSMSDLRGQMSRCSRRVVPIRSKSTEDIYMQPQLEASVAHNDNCFARNEPKSGKLPPSQGGKFVGFGSNHKLSHKKNKSHGDVLSVMSQVNILYYFHSFFFFFMMVIISYW >KJB36746 pep chromosome:Graimondii2_0_v6:6:43296186:43297523:-1 gene:B456_006G174500 transcript:KJB36746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMRRLSFLQSQPGNKTCVDCSQRNPTWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNERLNSFLAQHGIPKETDIVTKYNSNAAGVYRDRIQALAEGRPWQDPPLVRETLNGDGSHKKSSLSDSDDCGKGSSYRNDDGGWASWDCDDSFRSSSDLRRNQSMSDLRGQMSRCSRRVVPIRSKSTEDIYMQPQLEASVAHNDNCFARNEPKSGKLPPSQGGKFVGFGSNHKLSHKKNKSHGDVLSVMSQGVWKLSMVATSAAQTTANAVQIGKELSSKVFLGNFILFRSLLCLVSKVDFLH >KJB36743 pep chromosome:Graimondii2_0_v6:6:43295052:43297651:-1 gene:B456_006G174500 transcript:KJB36743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMRRLSFLQSQPGNKTCVDCSQRNPTWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNERLNSFLAQHGIPKETDIVTKYNSNAAGVYRDRIQALAEGRPWQDPPLVRETLNGDGSHKKSSLSDSDDCGKGSSYRNDDGGWASWDCDDSFRSSSDLRRNQSMSDLRGQMSRCSRRVVPIRSKSTEDIYMQPQLEASVAHNDNCFARNEPKSGKLPPSQGGKFVGFGSNHKLSHKKNKSHGDVLSVMSQGVWKLSMVATSAAQTTANAVQIGKELSSKAKEGSYDTKVHQTVHVVTTKTSKFGHKTWGVMKGAVTLATQKVGRFTKDAMTQKSNNWQRNNGYYQEFKQEKGCNSTCRGESFSNGNHSSYSSSYWDNCGAKDNNRKGDTEKVTITSSNNDDWATWDNDAKDSGYDNFHNVVFHEETVGQNGK >KJB34677 pep chromosome:Graimondii2_0_v6:6:30249426:30252804:1 gene:B456_006G077900 transcript:KJB34677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGGVSIARSRGGESRFYNPPPMRKQQQLQQQQQQQQMTTTTMQRREQRPPLISKGSTEKRTDHEDCATLLPSSSSSSSSANNSSKTNDDNSTNLDRFLEFTTPVVSAQYLPKTSIRGCRGQERGLECPPYFALKDLWESFKEWSAYGAGVPLLLNGSDSVMQYYVPYLSGIQLYVDPSRPSPRQRMPGEESDTESSRETSSDGSDSDYGVARRANNIVPGSWNQLDIADANIQRLNTLSLRNRPFGGSSSDESDTCNPLGQLTFEYLEHDQPFSREPLADKISVLASQFPALRTYRSCDLSPSSWISVAWYPIYRIPMGPTLQNLDACFLTYHSLSTPLPCNGTDGLPFRGFNVREFHDADMSLKLPLPTFGLAFYKFKVSVWNPDGVNESQKANSLLQAADNWLRLLQVNHPDFRFFVSHNTYWR >KJB36582 pep chromosome:Graimondii2_0_v6:6:42549166:42552031:-1 gene:B456_006G165900 transcript:KJB36582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPRSLSPSNRTAEVPIEWEEHYVGDQVDPRTQSFLTWESLESVRKNRVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNMANPTALLLSSVSMLRHLNLHDKADRIQEAILSTIAEGKYRTADLGGTSTTTDFTKAICDHL >KJB36580 pep chromosome:Graimondii2_0_v6:6:42550379:42551934:-1 gene:B456_006G165900 transcript:KJB36580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHILRRQVSRILSHASPNSNPTSYFSLARPFSSATDTIRATLFPGDGIGPEIAESVKQVFRTAEVPIEWEEHYVGDQVDPRTQSFLTWESLESVRKNRVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPR >KJB36579 pep chromosome:Graimondii2_0_v6:6:42549134:42552069:-1 gene:B456_006G165900 transcript:KJB36579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHILRRQVSRILSHASPNSNPTSYFSLARPFSSATDTIRATLFPGDGIGPEIAESVKQVFRTAEVPIEWEEHYVGDQVDPRTQSFLTWESLESVRKNRVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNMANPTALLLSSVSMLRHLNLHDKADRIQEAILSTIAEGKYRTADLGGTSTTTDFTKAICDHL >KJB36581 pep chromosome:Graimondii2_0_v6:6:42549688:42551934:-1 gene:B456_006G165900 transcript:KJB36581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHILRRQVSRILSHASPNSNPTSYFSLARPFSSATDTIRATLFPGDGIGPEIAESVKQVFRTAEVPIEWEEHYVGDQVDPRTQSFLTWESLESVRKNRVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKVIWQIQLPYY >KJB36584 pep chromosome:Graimondii2_0_v6:6:42586172:42586800:-1 gene:B456_006G166100 transcript:KJB36584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVLVISFPLLLLVLILALAFYLLGRAQGRRERPAQYFGPPVPPPGSVSASSPPTQAKPSEV >KJB38746 pep chromosome:Graimondii2_0_v6:6:50773312:50775764:-1 gene:B456_006G270300 transcript:KJB38746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSILTPIFSTFPAKPRYRKLLCRAISCNFNPIQTVRQNAAPNIFTTPQLPPTSVYIHLPFCRKRCHYCDFPVVALGSNSPAQTNDNEDDPRISNYIQLLCREINASRVSFESHSPLETVFFGGGTPSLVPPRLVSSILDLLKGKFGVTSDAEISMEMDPGTFDVKKMEEFMELGVNRVSLGVQAFQEQLLKACGRAHGVDEVNEAIEIMKSCGVENWSLDLISSLPNQAPQMWEESLKLTIEAQPNHVSVYDLQVEQGTKFGTLYTPGEFPLPTDVQSADFYRMASRMLSAAGYNHYEISSYCQDGFECKHNFTYWKNKPFYGFGLGSASYVRGMRFSRPKKMGGYINFVRSLENGMVDYTGNTNINVGDLASDIVMLSLRTAAGLNLKSFRCAFGYSVVASLCEAYKPFIESGHVLCLNEQRRVVTADEFNASLNEDGIGRGLAYIRLSDPDGFLLSNELISIAFGVIAL >KJB38829 pep chromosome:Graimondii2_0_v6:6:51070758:51070770:1 gene:B456_006G2754002 transcript:KJB38829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSN >KJB34325 pep chromosome:Graimondii2_0_v6:6:22047407:22050127:-1 gene:B456_006G060000 transcript:KJB34325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNVVEKQQHRPQISTTTTKLPNSLIQFNDIVFYFILFGLGLGLGITLSFFYLKDGSMDFQLYQLSVFRASDIRPPPPPPTLPSGGVIELPSPLSVSSPPIKETRKEKRNYIKEFFEPPATRHNMTDEELFWRASLVPKIPKYPIQRTPKIAFMFLTRGKVLLAPLWEKFFRGHEGFYSIYVHSDPSFVQTMPKSSVFYDRWIPSKIARWGEMNMVEAERRLLANALMDISNERFVLLSESCIPLFNFSTVYDYLINSTKSFVESYDLPGPVGRGRYSKMMSPLITLEQWRKGSQWFEVDRFLAIEVITDQTYYPVFWQYCKNDCYGDEHYLPTFVDMNFPTRNAYKTLTYVDWSKGGPHPNRFRREEVTEEFLKKLRTSSQCYYNERIVNVCHLFARKFSPNSLDKLLRFAPIVMNF >KJB38489 pep chromosome:Graimondii2_0_v6:6:49852594:49856048:-1 gene:B456_006G256400 transcript:KJB38489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEPNSCNKASISSDSENQYSFAQIVQWRGFLQLLKKGPGIASQTLPQFKPRFSRKKSKRLRDEMVPNLCSALDAEMSCSKSSWKNFSLSELEEATDNFSPENLIGEGGYAEVYKGKLKTGNLVAVKRLNRGPSEEMTIDFLSELGIVVHVDHPNIAKLIGYGIEGGLHLVLQLSPHGSLASLLNGSKEKLNWQIRFKIALGAAEGLCYLHEGCQRRIIHKDIKASNILLTEEFDAQICDFGLAKWLPDQWTHHTVSKVEGTFGYLPPEFFTHGIVDEKTDVYAFGVLLLELITGRQAIDNSHQSIVTWAKPLIRENKMDELVDPILVDAYDSDELHRIAATASICVHQTAANRPQMSQVVDILKGDLSSLEMLKQREKDQRTYSEEIFDAEEYNPTKYLDDLYHQMEILLEHSNDV >KJB38488 pep chromosome:Graimondii2_0_v6:6:49852594:49855778:-1 gene:B456_006G256400 transcript:KJB38488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISIFFGYCCFFVWLLWSPWFKTKKTMGGTKEPSANSCGETPIGIKLIKKASQRRRWEPFRFRNPRRQQHRNAFSDTTATRDIASLSNEVETINVPSSTTVNEDCSTPTIECIEPNQESIGSLGSMEPEPNSCNKASISSDSENQYSFAQIVQWRGFLQLLKKGPGIASQTLPQFKPRFSRKKSKRLRDEMVPNLCSALDAEMSCSKSSWKNFSLSELEEATDNFSPENLIGEGGYAEVYKGKLKTGNLVAVKRLNRGPSEEMTIDFLSELGIVVHVDHPNIAKLIGYGIEGGLHLVLQLSPHGSLASLLNGSKEKLNWQIRFKIALGAAEGLCYLHEGCQRRIIHKDIKASNILLTEEFDAQICDFGLAKWLPDQWTHHTVSKVEGTFGYLPPEFFTHGIVDEKTDVYAFGVLLLELITGRQAIDNSHQSIVTWAKPLIRENKMDELVDPILVDAYDSDELHRIAATASICVHQTAANRPQMSQVVDILKGDLSSLEMLKQREKDQRTYSEEIFDAEEYNPTKYLDDLYHQMEILLEHSNDV >KJB34969 pep chromosome:Graimondii2_0_v6:6:33086242:33088830:1 gene:B456_006G093100 transcript:KJB34969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEERKKRVVVESLGWLTESSIMPKKHRAIEGVGVSSILELKAHLYKSQEESKKSKELTGSDVDYHRAKKKISAQDTFSFKNSGVESRALKDKLELKAVNDGSVSYAALEKKAELYDKLVKGELSDEEDKEKYCVDFSRKGFEFEKLEPSKVDPDSGNLGAEDEGGGEIDDGHALFKTKFVGPGRTGGTINNDEHKRFVREVHEEANQAREMVSELKLRRQEQAMARREKLRQAYLRKQLEKLKAASKTEQT >KJB36908 pep chromosome:Graimondii2_0_v6:6:43998796:44002117:-1 gene:B456_006G182400 transcript:KJB36908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIALFSPSSLFADAEEVSVDEEVTDVHQSFVERRHQFPGMELVIREFSFHQLNANLLWPGTFAFAEWLVQHHSWIEGRRCLELGSGTGALAIFLKKSFNLDITTSDYDDQEIEENIAHNCQANGIKPVLPHVKHSWGETFPSAEPDWDLIIASDILL >KJB36910 pep chromosome:Graimondii2_0_v6:6:43998864:44002028:-1 gene:B456_006G182400 transcript:KJB36910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIALFSPSSLFADAEEVSVDEEVTDVHQSFVERRHQFPGMELVIREFSFHQLNANLLWPGTFAFAEWLVQHHSWIEGRRCLELGSGTGALAIFLKKSFNLDITTSDYDDQEIEENIAHNCQANGIKPVLPHVKHSWGETFPSAEPDWDLIIASDILLYVKQYPNLIKSLSFLLKSYKSKDDKAIPSVGNDQSSGKRSIYICREKVDLIGLIESIIGHYLIIDVYFLLAGMCMGLAVPAFLMSWRRRIGKEDESLFFTGCENAGLEVKHIGSRVYCIKPRENMVSTTGSIDD >KJB36912 pep chromosome:Graimondii2_0_v6:6:43999104:44001959:-1 gene:B456_006G182400 transcript:KJB36912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKSLLEVTDVHQSFVERRHQFPGMELVIREFSFHQLNANLLWPGTFAFAEWLVQHHSWIEGRRCLELGSGTGALAIFLKKSFNLDITTSDYDDQEIEENIAHNCQANGIKPVLPHVKHSWGETFPSAEPDWDLIIASDILLYVKQYPNLIKSLSFLLKSYKSKDDKAIPSVGNDQSSGMCMGLAVPAFLMSWRRRIGKEDESLFFTGCENAGLEVKHIGSRVYCIKPRENMVSTTGSIDD >KJB36909 pep chromosome:Graimondii2_0_v6:6:43998796:44002134:-1 gene:B456_006G182400 transcript:KJB36909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIALFSPSSLFADAEEVSVDEEVTDVHQSFVERRHQFPGMELVIREFSFHQLNANLLWPGTFAFAEWLVQHHSWIEGRRCLELGSGTGALAIFLKKSFNLDITTSDYDDQEIEENIAHNCQANGIKPVLPHVKHSWGETFPSAEPDWDLIIASDILLYVKQYPNLIKSLSFLLKSYKSKDDKAIPSVGNDQSSGMCMGLAVPAFLMSWRRRIGKEDESLFFTGCENAGLEVKHIGSRVYCIKPRENMVSTTGSIDD >KJB36911 pep chromosome:Graimondii2_0_v6:6:43998864:44002073:-1 gene:B456_006G182400 transcript:KJB36911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIALFSPSSLFADAEEVSVGSYRCPSELCGEETPVSWHGTFAFAEWLVQHHSWIEGRRCLELGSGTGALAIFLKKSFNLDITTSDYDDQEIEENIAHNCQANGIKPVLPHVKHSWGETFPSAEPDWDLIIASDILLYVKQYPNLIKSLSFLLKSYKSKDDKAIPSVGNDQSSGMCMGLAVPAFLMSWRRRIGKEDESLFFTGCENAGLEVKHIGSRVYCIKPRENMVSTTGSIDD >KJB35038 pep chromosome:Graimondii2_0_v6:6:33614371:33619419:1 gene:B456_006G096700 transcript:KJB35038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEGLCGSQAPIAAPVTQYGVTKPISMAGPTAADIQRSRDLEKFLVQAGLYESKEEASKREEVLGQIQEIVTNWVKQLTRLRGYTDQMVEDAKAVIFTFGSYRLGVHGPGSDIDALCVGPSYVSREEDFFFVLHNILAEREEVTELQPVPDAHVPVLRFKFSGIPIDLLYASISHLVVPHDLDISDISVLYNVDEPTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARICQLYPNAAPSMLVSRFFRVFTQWRWPNPVMLCAIEEDRLGFSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQYGNNVCENIELNKAKWSALFEPYLFFQSYTNYLQVDILAADADDLRSWKGWVESRLRQLTLMIERDTYGKLQCHPYAQDYVDASKPCAHCAFFMGLQRKHGEIVQEGQQFDIRGSVDEFRHSISMYMFWKPGMEIYVSHVRRKQLPAYVYPDGCKRSRNLHLTPQQPSYKISRNNGVAYQAESGEKCLKRKKDPDGENSEQSRLDKRRSPDRNQSVSPEIVSRKLGSACTGCSTSDLDEMNRLAEANTSSNSSGISSCSHEDIGNESTAGSSEGSNVGDDNPSSSQSDSSDDLKSSVEDEHADQNKVFQDGLLKDLEPKTVVGVVLKSMNGVVDSESVQNPVIRLSLASTA >KJB35040 pep chromosome:Graimondii2_0_v6:6:33614648:33619307:1 gene:B456_006G096700 transcript:KJB35040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEGLCGSQAPIAAPVTQYGVTKPISMAGPTAADIQRSRDLEKFLVQAGLYESKEEASKREEVLGQIQEIVTNWVKQLTRLRGYTDQMVEDAKAVIFTFGSYRLGVHGPGSDIDALCVGPSYVSREEDFFFVLHNILAEREEVTELQPVPDAHVPVLRFKFSGIPIDLLYASISHLVVPHDLDISDISVLYNVDEPTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARICQLYPNAAPSMLVSRFFRVFTQWRWPNPVMLCAIEEDRLGFSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQYGNNVCENIELNKAKWSALFEPYLFFQSYTNYLQVDILAADADDLRSWKGWVESRLRQLTLMIERDTYGKLQCHPYAQDYVDASKPCAHCAFFMGLQRKHGEIVQEGQQFDIRGSVDEFRHSISMYMFWKPGMEIYVSHVRRKQLPAYVYPDGCKRSRNLHLTPQQPSYKISRNNGVAYQAESGEKCLKRKKDPDGENSEQSRLDKRRSPDRNQSVSPEIVSRKLGSACTGCSTSDLDEMNRLAEANTSSNSSGISSCSHEDIGNESTAGSSEGSNVGDDNPSSSQSDSSDDLKSSVEDEHADQNKVFQDGLLKDLEVLD >KJB35039 pep chromosome:Graimondii2_0_v6:6:33614648:33619307:1 gene:B456_006G096700 transcript:KJB35039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEGLCGSQAPIAAPVTQYGVTKPISMAGPTAADIQRSRDLEKFLVQAGLYESKEEASKREEVLGQIQEIVTNWVKQLTRLRGYTDQMVEDAKAVIFTFGSYRLGVHGPGSDIDALCVGPSYVSREEDFFFVLHNILAEREEVTELQPVPDAHVPVLRFKFSGIPIDLLYASISHLVVPHDLDISDISVLYNVDEPTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARICQLYPNAAPSMLVSRFFRVFTQWRWPNPVMLCAIEEDRLGFSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQYGNNVCENIELNKAKWSALFEPYLFFQSYTNYLQVDILAADADDLRSWKGWVESRLRQLTLMIERDTYGKLQCHPYAQDYVDASKPCAHCAFFMGLQRKHGEIVQEGQQFDIRGSVDEFRHSISMYMFWKPGMEIYVSHVRRKQLPAYVYPDGCKRSRNLHLTPQQPSYKISRNNGVAYQAESGEKCLKRKKDPDGENSEQSRLDKRRSPDRNQSVSPEIVSRKLGSACTGCSTSDLDEMNRLAEANTSSNSSGISSCSHEDIGNESTAGSSEGSNVGDDNPSSSQSDSSDDLKSSVEDEHADQNKVFQDGLLKDLEVLD >KJB38349 pep chromosome:Graimondii2_0_v6:6:49466209:49468706:-1 gene:B456_006G250900 transcript:KJB38349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSENGEGGGRTRGHMGPIIITPSTTFFSLLILHLTQNPYNFLLFLVMEGGLVLLGGGANGGGSGGSSGFSTNESAMSEASSYLAETELELGLGLSIGGPTGKINKASGRILTAKDFPVPRRASMNGGTPPSVTVSGTKRAADQSVPHDGGSPTATSQVVGWPPIRSYRMNSLVNNNQAKAPRAEEEHKGINEEEEEEEDKAIKDGSKLKKPNENLGHIGFIKVNMDGIRIGRKVDLNAHSSYHSLASALENMFLRSAGAEKQQLTMPSRLLDGSSEFVLTYEDKEGDWMLVGDVPWMMFLTSVRRLRIMKTSELRDSTKGTEHKEVN >KJB38348 pep chromosome:Graimondii2_0_v6:6:49466566:49468688:-1 gene:B456_006G250900 transcript:KJB38348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSENGEGGGRTRGHMGPIIITPSTTFFSLLILHLTQNPYNFLLFLVMEGGLVLLGGGANGGGSGGSSGFSTNESAMSEASSYLAETELELGLGLSIGGPTGKINKASGRILTAKDFPVPRRASMNGGTPPSVTVSGTKRAADQSVPHDGGSPTATSQVVGWPPIRSYRMNSLVNNNQAKAPRAEEEHKGINEEEEEEEDKAIKDGSKLKKPNENLGHIGFIKVNMDGIRIGRKVDLNAHSSYHSLASALENMFLRSAGAEKQQLTMPSRLLDGSSEFVLTYEDKEGDWMLVGDVPWMMFLTSVRRLRIMKTSEVNGLAPRFHERNRTQRSKLI >KJB38347 pep chromosome:Graimondii2_0_v6:6:49466179:49468705:-1 gene:B456_006G250900 transcript:KJB38347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSENGEGGGRTRGHMGPIIITPSTTFFSLLILHLTQNPYNFLLFLVMEGGLVLLGGGANGGGSGGSSGFSTNESAMSEASSYLAETELELGLGLSIGGPTGKINKASGRILTAKDFPVPRRASMNGGTPPSVTVSGTKRAADQSVPHDGGSPTATSQVVGWPPIRSYRMNSLVNNNQAKAPRAEEEHKGINEEEEEEEDKAIKDGSKLKKPNENLGHIGFIKVNMDGIRIGRKVDLNAHSSYHSLASALENMFLRSAGAEKQQLTMPSRLLDGSSEFVLTYEDKEGDWMLVGDVPWMMFLTSVRRLRIMKTSEVNGLAPRFHERNRTQRSKLI >KJB34596 pep chromosome:Graimondii2_0_v6:6:29598646:29600561:1 gene:B456_006G074500 transcript:KJB34596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVALAEVIVGLHRLQFTMDMGFSNVILESDSRTVIKNIKAKGEDNSELRPITWDVHNMLKGFTSCHFEFVAREGNVATHAMASEGMKHSVDFF >KJB38419 pep chromosome:Graimondii2_0_v6:6:49688756:49688863:-1 gene:B456_006G2539001 transcript:KJB38419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLASSSASSTYPLFTLDNPNKPFSFTFNPIIISQ >KJB38420 pep chromosome:Graimondii2_0_v6:6:49688756:49688929:-1 gene:B456_006G2539001 transcript:KJB38420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLASSSASSTYPLFTLDNPNKPFSFTFNPIIISQ >KJB38418 pep chromosome:Graimondii2_0_v6:6:49688756:49688863:-1 gene:B456_006G2539001 transcript:KJB38418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLASSSASSTYPLFTLDNPNKPFSFTFNPIIISQ >KJB38417 pep chromosome:Graimondii2_0_v6:6:49688756:49688863:-1 gene:B456_006G2539001 transcript:KJB38417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLASSSASSTYPLFTLDNPNKPFSFTFNPIIISQ >KJB36290 pep chromosome:Graimondii2_0_v6:6:41009881:41011144:1 gene:B456_006G150900 transcript:KJB36290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNRRKLIFNTVSVSLGCSSCKKPKLTSFFNPKPKPKSRYYKIHSPYNYSSSSSSKKTTTQCSFSEYETANSFSPSTATPQYWDIDNDADQDANCSTATVQGFGRVGGESLAVEKDSDDPYLDFRHSMLQMILEKEIYSKDDLRELLNCFLQLNSPYYHGIIVRAFTEIWNGVFSVKPGASTKQHFGFRPRDL >KJB38800 pep chromosome:Graimondii2_0_v6:6:50902671:50903786:1 gene:B456_006G272700 transcript:KJB38800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKEANVRAKAPNKTDKFVLATQICLRIAATATAMAAAWVIFTANQTVEVLGMSFDARYSYSPAFKFFAYANAIAFGFSLMSLFFMFFARHALTATIYFFFFLHDLMMMSLVLSGLAAGTAIGMVARDGNGHTGWIKICDRFEKFCDKVTVSMALSYVAVVCLLVLTVMSAGKSRQI >KJB36964 pep chromosome:Graimondii2_0_v6:6:48209552:48210059:1 gene:B456_006G233400 transcript:KJB36964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRISLRRFHLPDVDGFLKWASDDKVTRYLRWNTITSREEALSYLQKVAIPQTYRRSICLDDRSIGYVSIKPGSGKQSSPWMAVANAFTEFQGLVRVEALVEVENIGSQRVLEKVGFLKEGLLRKYGFCKGEIRDMIVYSFLSTDMIK >KJB33964 pep chromosome:Graimondii2_0_v6:6:11496266:11500867:1 gene:B456_006G041100 transcript:KJB33964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEETNSIDYIMEKASGPHFSGLRSPTAASVSSSAFADANAPRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLNTEELKRVHEYNFDHPDAFDTEQLLECVEKLKSGQSVQVPIYDFKQHRRSSDSFRQVNASDVIILEGILVFHDQRVRNLMNMKIFVDTDADVRLARRIRRDTVERGRDVNSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGEHDLCKIYPNVYVIQSTFQVVEHGLGHLPFTEKQVITPTASIYTGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDDGKQLIYEKLPKDISERHVLLLDPVLGTGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVCKRFPSLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >KJB33967 pep chromosome:Graimondii2_0_v6:6:11496443:11500867:1 gene:B456_006G041100 transcript:KJB33967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIQQLHDHRVVLVNQDSFYRGLNTEELKRVHEYNFDHPDAFDTEQLLECVEKLKSGQSVQVPIYDFKQHRRSSDSFRQVNASDVIILEGILVFHDQRVRNLMNMKIFVDTDADVRLARRIRRDTVERGRDVNSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGEHDLCKIYPNVYVIQSTFQIRGMHTLIRDRDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTASIYTGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDDGKQLIYEKLPKDISERHVLLLDPVLGTGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVCKRFPSLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >KJB33965 pep chromosome:Graimondii2_0_v6:6:11496764:11500867:1 gene:B456_006G041100 transcript:KJB33965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKIFVDTDADVRLARRIRRDTVERGRDVNSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGEHDLCKIYPNVYVIQSTFQIRGMHTLIRDRDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTASIYTGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDDGKQLIYEKLPKDISERHVLLLDPVLGTGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVCKRFPSLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >KJB33963 pep chromosome:Graimondii2_0_v6:6:11496452:11500278:1 gene:B456_006G041100 transcript:KJB33963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEETNSIDYIMEKASGPHFSGLRSPTAASVSSSAFADANAPRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLNTEELKRVHEYNFDHPDAFDTEQLLECVEKLKSGQSVQVPIYDFKQHRRSSDSFRQVNASDVIILEGILVFHDQRVRNLMNMKIFVDTDADVRLARRIRRDTVERGRDVNSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGEHDLCKIYPNVYVIQSTFQIRGMHTLIRDRDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTASIYTGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDDGKQLIYEKLPKDISERHVLLLDPVLGTVNFFL >KJB33962 pep chromosome:Graimondii2_0_v6:6:11496131:11501021:1 gene:B456_006G041100 transcript:KJB33962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEETNSIDYIMEKASGPHFSGLRSPTAASVSSSAFADANAPRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLNTEELKRVHEYNFDHPDAFDTEQLLECVEKLKSGQSVQVPIYDFKQHRRSSDSFRQVNASDVIILEGILVFHDQRVRNLMNMKIFVDTDADVRLARRIRRDTVERGRDVNSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGEHDLCKIYPNVYVIQSTFQIRGMHTLIRDRDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTASIYTGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDDGKQLIYEKLPKDISERHVLLLDPVLGTGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVCKRFPSLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >KJB33966 pep chromosome:Graimondii2_0_v6:6:11496367:11500867:1 gene:B456_006G041100 transcript:KJB33966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEETNSIDYIMEKASGPHFSGLRSPTAASVSSSAFADANAPRQPFVIAGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLNTEELKRVHEYNFDHPDAFDTEQLLECVEKLKSGQSVQVPIYDFKQHRRSSDSFRQVNASDVIILEGILVFHDQRVRNLMNMKIFVDTDADVRLARRIRRDTVERGRDVNSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGEHDLCKIYPNVYVIQSTFQIRGMHTLIRDRDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTASIYTGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDDGKQLIYEKLPKDISERHVLLLDPVLGTGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVCKRFPSLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >KJB34718 pep chromosome:Graimondii2_0_v6:6:30560840:30561223:1 gene:B456_006G079700 transcript:KJB34718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSVLAMPRAILGFLRITRWHPLTTLLVKANFDAAYRWRSHSSCSGVVVRDVQGQVLGACTRIHGQVSLAFSVEALAVFLVLEFTWDLGLSRVVFEGDSLHVIRKLNSTQVGGSEIRALIKEGRL >KJB35627 pep chromosome:Graimondii2_0_v6:6:37263653:37266409:1 gene:B456_006G121800 transcript:KJB35627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLIFSKSFIENAFKAFLIFYIIDSLENLSIGVAIVYTVAADGIQQFLVILIHHLAIDRHGDFRVIILTIPCLIFGLLILWLSSLLRSQQTRNQMLYIALPVILVGLAGKEVSLEGFLRQHFVEETIVVDETKSERQQEEDYNDIVDGRASLWSTGAGFLGQFICWTFTSSLRWQQRIMVSFIEVAAAYALFICGKRFFLRIPPTRRTAVSRLKEAGGLVKLIPLWLLFIPYCLVEVAGFSIFILQSDRLDTEINPRISLHSFNQVPVSSLYVFEQFISFLVSMSTKYLIRKLWSSEEAMQRGARYWRMGVGMAVGFGSLSSAWLVERRRSDLIKKNPNNDEVIRMTILWLAPQFGLLGIANGLVSKGLTDFFYDRVPESKRYFVVLFNQSLTVIGSFLSGLAVLLGPDWLGDTIDKRQLDKYLLMLAILNVAVLPLYIFLSLRFDWNIPDAVDGRDIEIEEVDGSDVEILIEEVDGRDVEIL >KJB37205 pep chromosome:Graimondii2_0_v6:6:45103901:45105993:1 gene:B456_006G194300 transcript:KJB37205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNGQEIAVKKLAMDSRQGLKQFINEVKLLLKTQHKNLVTLFGCCAEGPEKMLVYEYLPNKSLDYFLFDKSKSPSLDWTTRFKIITGIARGLLYLHEEAPQRIIHIDIKASNILLDQQLNPKISDFGLARLFPGDDTHVNTFRISGTHGYMAPEYAMHGYLSVKTDVFSYGVVVLEIVSGRKNHDSRLGSEKADLLNYSWLLFQSGKMLDLVDPTLKKYNPDEAAMCIQLGLLCCQQTVVERPDMNSVHLMLSSDSFTLPRPGKPAIQGRVGRWTTTSTSAFTNTDTNASSTSGGMTKVLAGSSFVEDYSRNSISCSSIEEGR >KJB37203 pep chromosome:Graimondii2_0_v6:6:45102765:45105993:1 gene:B456_006G194300 transcript:KJB37203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNGQEIAVKKLAMDSRQGLKQFINEVKLLLKTQHKNLVTLFGCCAEGPEKMLVYEYLPNKSLDYFLFDKSKSPSLDWTTRFKIITGIARGLLYLHEEAPQRIIHIDIKASNILLDQQLNPKISDFGLARLFPGDDTHVNTFRISGTHGYMAPEYAMHGYLSVKTDVFSYGVVVLEIVSGRKNHDSRLGSEKADLLNYSWLLFQSGKMLDLVDPTLKKYNPDEAAMCIQLGLLCCQQTVVERPDMNSVHLMLSSDSFTLPRPGKPAIQGRVGRWTTTSTSAFTNTDTNASSTSGGMTKVLAGSSFVEDYSRNSISCSSIEEGR >KJB37204 pep chromosome:Graimondii2_0_v6:6:45102748:45105993:1 gene:B456_006G194300 transcript:KJB37204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNGQEIAVKKLAMDSRQGLKQFINEVKLLLKTQHKNLVTLFGCCAEGPEKMLVYEYLPNKSLDYFLFDKSKSPSLDWTTRFKIITGIARGLLYLHEEAPQRIIHIDIKASNILLDQQLNPKISDFGLARLFPGDDTHVNTFRISGTHGYMAPEYAMHGYLSVKTDVFSYGVVVLEIVSGRKNHDSRLGSEKADLLNYSWLLFQSGKMLDLVDPTLKKYNPDEAAMCIQLGLLCCQQTVVERPDMNSVHLMLSSDSFTLPRPGKPAIQGRVGRWTTTSTSAFTNTDTNASSTSGGMTKVLAGSSFVEDYSRNSISCSSIEEGR >KJB35955 pep chromosome:Graimondii2_0_v6:6:39026155:39038618:1 gene:B456_006G135000 transcript:KJB35955 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR15 [Source:Projected from Arabidopsis thaliana (AT2G41520) UniProtKB/TrEMBL;Acc:A0A178VZX6] MSPAAVEFRSQDAFSTNAKPSSTPQNPEPAPHNFTAFPHSNHSQKNLGNAGEFDCGFGFDSSSGFHSKSAARLRPRLLKVRKQFNGKVRTGECEVESGFNPFKQSGQGSRPVGTIGNPSSSESMNRVNDDNSNNNISDNNNSSFVFGANNGSDRESSGHAEVENRNEEPLGKFENVGFVFGADLRGGMEKLGSEKCEQFGFVFGANGSDGRVKLNPEKGESSDSSLSLDGCEGKIKLETGLQGSNNSNLDFTFGSSKSNLASNLDLEKPDFGETLKVPDFCAAGFVFGSSQSDLKPIFSSHKIEPTNVVGEPSSTFGASNLNSSSFILERRSGENLGQPICSDFGKMNMEGETRSQKMEPSAVNFNANGIETWTGNGANSFFVFGATSYKSSSNECKDGINSSSEKFGVSARNVQHKDAFESGNCFGSSSWANSVFILEHDLEKLNISSSKNIGGTNSTKDSDTEANPEATFLFGHVKDDLELNGTDAWSSLNLNSQVNTGVINAASVGTERNDENCSIGTLDQSEISSSDFRTPKWNPSSFKENLFPEVDRKLEFGVKVSLTKEKRSKKMRGKSRKSSLHKHWSQQYNVPQESSPQENQDSSQCYSPMDFSPYREIAEVDQLPKESAQDEGDQKCSKPNEENFGYDHQRTFFGDGPSREPVCESETAPTAFKSDCFSSSSAAGIAGAEGLNGTQENKQRTESCFSSGMEDERKFTFSATSTSGQGSLSLRKRQLRNKSKVKIGNASFIITPVLDVQGGSSSVQFSPCDPVECEQKDKFTHHSKEENDQFKQRSNSFTAAVHEACEMWRLRGNQAYRNENLSKAEEFYTQGINSVTTNETSGCSVKPLVLCYSNRAATRISLGRIREALADCLMAAAFDPNFLKVNVRAGNCYLLLGETDNAIRYFNKCFSSGADVCLDRRIRVDAADGLQKAQRVDELTKHSAMLLEEKSSNAASSAFDAISEALSISSRSEKLLEMKAEALYMLKRYEEAIQLCEQPLYVAQNSSSEAEIDKQITSTDGCGCYSIAMLWRWNLMSKSYFYMGKLEKALELLQKLEHVGSWKDKHGSKILEMSVSLAVTIRELLRLKTAGNEAVCSGRYTEAVEHYTLALSSNVESRPFAAICFCNRAAAHQALGQIADAIADCSLAMALNENYTKAVSRRATLHEMIRDYGQASSDLQRLISILEKQCDKTSHQSGTKDKSTGNLKELRQAQRRLSSMQEEAKREIPLNLYLILGVKPSDSTSDVKKAYRKAALRHHPDKAGQFLARSETGDEGQLWKEIAEEIHKDADRLFKMIGEAYAVLSDTEKRSEYDLEEEIRKAPNKSKSNAYERARDDYGYHYERSSSRRYWRGNWNDYRNSHSRW >KJB35957 pep chromosome:Graimondii2_0_v6:6:39026155:39038624:1 gene:B456_006G135000 transcript:KJB35957 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR15 [Source:Projected from Arabidopsis thaliana (AT2G41520) UniProtKB/TrEMBL;Acc:A0A178VZX6] MSPAAVEFRSQDAFSTNAKPSSTPQNPEPAPHNFTAFPHSNHSQKNLGNAGEFDCGFGFDSSSGFHSKSAARLRPRLLKVRKQFNGKVRTGECEVESGFNPFKQSGQGSRPVGTIGNPSSSESMNRVNDDNSNNNISDNNNSSFVFGANNGSDRESSGHAEVENRNEEPLGKFENVGFVFGADLRGGMEKLGSEKCEQFGFVFGANGSDGRVKLNPEKGESSDSSLSLDGCEGKIKLETGLQGSNNSNLDFTFGSSKSNLASNLDLEKPDFGETLKVPDFCAAGFVFGSSQSDLKPIFSSHKIEPTNVVGEPSSTFGASNLNSSSFILERRSGENLGQPICSDFGKMNMEGETRSQKMEPSAVNFNANGIETWTGNGANSFFVFGATSYKSSSNECKDGINSSSEKFGVSARNVQHKDAFESGNCFGSSSWANSVFILEHDLEKLNISSSKNIGGTNSTKDSDTEANPEATFLFGNVNGAASCNKNNVGISDSEPFTFQAGIDKTSDIGNSFQGHVKDDLELNGTDAWSSLNLNSQVNTGVINAASVGTERNDENCSIGTLDQSEISSSDFRTPKWNPSSFKENLFPEVDRKLEFGVKVSLTKEKRSKKMRGKSRKSSLHKHWSQQYNVPQESSPQENQDSSQCYSPMDFSPYREIAEVDQLPKESAQDEGDQKCSKPNEENFGYDHQRTFFGDGPSREPVCESETAPTAFKSDCFSSSSAAGIAGAEGLNGTQENKQRTESCFSSGMEDERKFTFSATSTSGQGSLSLRKRQLRNKSKVKIGNASFIITPVLDVQGGSSSVQFSPCDPVECEQKDKFTHHSKEENDQFKQRSNSFTAAVHEACEMWRLRGNQAYRNENLSKAEEFYTQGINSVTTNETSGCSVKPLVLCYSNRAATRISLGRIREALADCLMAAAFDPNFLKVNVRAGNCYLLLGETDNAIRYFNKCFSSGADVCLDRRIRVDAADGLQKAQRVDELTKHSAMLLEEKSSNAASSAFDAISEALSISSRSEKLLEMKAEALYMLKRYEEAIQLCEQPLYVAQNSSSEAEIDKQITSTDGCGCYSIAMLWRWNLMSKSYFYMGKLEKALELLQKLEHVGSWKDKHGSKILEMSVSLAVTIRELLRLKTAGNEAVCSGRYTEAVEHYTLALSSNVESRPFAAICFCNRAAAHQALGQIADAIADCSLAMALNENYTKAVSRRATLHEMIRDYGQASSDLQRLISILEKQCDKTSHQSGTKDKSTGNLKELRQAQRRLSSMQEEAKREIPLNLYLILGVKPSDSTSDVKKAYRKAALRHHPDKAGQFLARSETGDEGQLWKEIAEEIHKDADRLFKMIGEAYAVLSDTEKRSEYDLEEEIRKAPNKSKSNAYERARDDYGYHYERSSSRRYWRGNWNDYRNSHSRW >KJB35956 pep chromosome:Graimondii2_0_v6:6:39026155:39038618:1 gene:B456_006G135000 transcript:KJB35956 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR15 [Source:Projected from Arabidopsis thaliana (AT2G41520) UniProtKB/TrEMBL;Acc:A0A178VZX6] MSPAAVEFRSQDAFSTNAKPSSTPQNPEPAPHNFTAFPHSNHSQKNLGNAGEFDCGFGFDSSSGFHSKSAARLRPRLLKVRKQFNGKVRTGECEVESGFNPFKQSGQGSRPVGTIGNPSSSESMNRVNDDNSNNNISDNNNSSFVFGANNGSDRESSGHAEVENRNEEPLGKFENVGFVFGADLRGGMEKLGSEKCEQFGFVFGANGSDGRVKLNPEKGESSDSSLSLDGCEGKIKLETGLQGSNNSNLDFTFGSSKSNLASNLDLEKPDFGETLKVPDFCAAGFVFGSSQSDLKPIFSSHKIEPTNVVGEPSSTFGASNLNSSSFILERRSGENLGQPICSDFGKMNMEGETRSQKMEPSAVNFNANGIETWTGNGANSFFVFGATSYKSSSNECKDGINSSSEKFGVSARNVQHKDAFESGNCFGSSSWANSVFILEHDLEKLNISSSKNIGGTNSTKDSDTEANPEATFLFGHVKDDLELNGTDAWSSLNLNSQVNTGVINAASVGTERNDENCSIGTLDQSEISSSDFRTPKWNPSSFKENLFPEVDRKLEFGVKVSLTKEKRSKKMRGKSRKSSLHKHWSQQYNVPQESSPQENQDSSQCYSPMDFSPYREIAEVDQLPKESAQDEGDQKCSKPNEENFGYDHQRTFFGDGPSREPVCESETAPTAFKSDCFSSSSAAGIAGAEGLNGTQENKQRTESCFSSGMEDERKFTFSATSTSGQGSLSLRKRQLRNKSKVKIGNASFIITPVLDVQGGSSSVQFSPCDPVECEQKDKFTHHSKEENDQFKQRSNSFTAAVHEACEMWRLRGNQAYRNENLSKAEEFYTQGINSVTTNETSGCSVKPLVLCYSNRAATRISLGRIREALADCLMAAAFDPNFLKVNVRAGNCYLLLGETDNAIRYFNKCFSSGADVCLDRRIRVDAADGLQKAQRVDELTKHSAMLLEEKSSNAASSAFDAISEALSISSRSEKLLEMKAEALYMLKRYEEAIQLCEQPLYVAQNSSSEAEIDKQITSTDGCGCYSIAMLWRWNLMSKSYFYMGKLEKALELLQKLEHVGSWKDKHGSKILEMSVSLAVTIRELLRLKTAGNEAVCSGRYTEAVEHYTLALSSNVESRPFAAICFCNRAAAHQALGQIADAIADCSLAMALNENYTKGNWLEACLSHCSPEGRSISGNSLGAGSLRRLSWPNEAVSRRATLHEMIRDYGQASSDLQRLISILEKQCDKTSHQSGTKDKSTGNLKELRQAQRRLSSMQEEAKREIPLNLYLILGVKPSDSTSDVKKAYRKAALRHHPDKAGQFLARSETGDEGQLWKEIAEEIHKDADRLFKMIGEAYAVLSDTEKRSEYDLEEEIRKAPNKSKSNAYERARDDYGYHYERSSSRRYWRGNWNDYRNSHSRW >KJB35958 pep chromosome:Graimondii2_0_v6:6:39026371:39037352:1 gene:B456_006G135000 transcript:KJB35958 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR15 [Source:Projected from Arabidopsis thaliana (AT2G41520) UniProtKB/TrEMBL;Acc:A0A178VZX6] MSPAAVEFRSQDAFSTNAKPSSTPQNPEPAPHNFTAFPHSNHSQKNLGNAGEFDCGFGFDSSSGFHSKSAARLRPRLLKVRKQFNGKVRTGECEVESGFNPFKQSGQGSRPVGTIGNPSSSESMNRVNDDNSNNNISDNNNSSFVFGANNGSDRESSGHAEVENRNEEPLGKFENVGFVFGADLRGGMEKLGSEKCEQFGFVFGANGSDGRVKLNPEKGESSDSSLSLDGCEGKIKLETGLQGSNNSNLDFTFGSSKSNLASNLDLEKPDFGETLKVPDFCAAGFVFGSSQSDLKPIFSSHKIEPTNVVGEPSSTFGASNLNSSSFILERRSGENLGQPICSDFGKMNMEGETRSQKMEPSAVNFNANGIETWTGNGANSFFVFGATSYKSSSNECKDGINSSSEKFGVSARNVQHKDAFESGNCFGSSSWANSVFILEHDLEKLNISSSKNIGGTNSTKDSDTEANPEATFLFGNVNGAASCNKNNVGISDSEPFTFQAGIDKTSDIGNSFQGHVKDDLELNGTDAWSSLNLNSQVNTGVINAASVGTERNDENCSIGTLDQSEISSSDFRTPKWNPSSFKENLFPEVDRKLEFGVKVSLTKEKRSKKMRGKSRKSSLHKHWSQQYNVPQESSPQENQDSSQCYSPMDFSPYREIAEVDQLPKESAQDEGDQKCSKPNEENFGYDHQRTFFGDGPSREPVCESETAPTAFKSDCFSSSSAAGIAGAEGLNGTQENKQRTESCFSSGMEDERKFTFSATSTSGQGSLSLRKRQLRNKSKVKIGNASFIITPVLDVQGGSSSVQFSPCDPVECEQKDKFTHHSKEENDQFKQRSNSFTAAVHEACEMWRLRGNQAYRNENLSKAEEFYTQGINSVTTNETSGCSVKPLVLCYSNRAATRISLGRIREALADCLMAAAFDPNFLKVNVRAGNCYLLLGETDNAIRYFNKCFSSGADVCLDRRIRVDAADGLQKAQRVDELTKHSAMLLEEKSSNAASSAFDAISEALSISSRSEKLLEMKAEALYMLKRYEEAIQLCEQPLYVAQNSSSEAEIDKQITSTDGCGCYSIAMLWRWNLMSKSYFYMGKLEKALELLQKLEHVGSWKDKHGSKILEMSVSLAVTIRELLRLKTAGNEAVCSGRYTEAVEHYTLALSSNVESRPFAAICFCNRAAAHQALGQIADAIADCSLAMALNENYTKAVSRRATLHEMIRDYGQASSDLQRLISILEKQCDKTSHQSGTKDKSTGNLKELRQAQRRLSSMQEEAKREIPLNLYLILGVKPSDSTSDVKKAYRKAALRHHPDKAGQFLARSETGDEGQLWKEIAEEIHKDADRLFKMIGEAYAVLSDTEKVCYPSD >KJB33315 pep chromosome:Graimondii2_0_v6:6:45535391:45536019:-1 gene:B456_006G198000 transcript:KJB33315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ANAASGMAVDDECKLKFLELKAKRNYRFIVFKIQEQQVVVEKVGSPQETYEDFTAALPADECRYAVFDFDFTTDENCQKSKIFFIAWSPDTSRVRSKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIIKARAI >KJB37548 pep chromosome:Graimondii2_0_v6:6:46475589:46478357:1 gene:B456_006G209700 transcript:KJB37548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGPPAPNLNPISGWSNVLKSQPPKPHTQSQITATSQIFVESCKSTKGIAVAVVDANAVIEGGEKLNNITDRFVTVPEVLAEIRDPVSRHRLAFIPFSIDSVEPSPDALNKVIKFARATGDLQTLSDVDLKLIALTYTLESQIHGTNHLRDAPPPVHVVNVKRLPERDLPGWGSNVPNLEEWEALEPETGDGFNSNSRILPLKDLNMNYVSSDNHSEDVLVETKSETHSENREDIDQGFRRPRRYFPQKEEVKIEGKKMVADGIDASQGHLDDNADDWLPAVSRSTHRRYLRRKARREFYEALVEKDCQEDMKKGLEKSNSEDASGCPDRPLQQSAEEVHSGNGIYEEAERAEVDKGDGDLSSILKQMRLEEDPARTLGEAKEAETTAEEAMLNDSMNLAVEGDSEELDQLEMSSQTNETVDASFTDDVSSEQSWMLRSLSESTVACVTGDFAMQNVLLQMGLRLLAPGGMQIRQLHRWVLKCHACYTVTAEIGRIFCPKCGNGGTLRKVAVTVGENGIVLASHRPRITLRGTKFSLPLPQGGRDAITKNLILREDQLPQKFLYPKTKKKVNKQGDDDLFMAGDTFTHHTDKRAPLQPPVRKALAVFSGKRNPNDNHYSRSKQK >KJB37547 pep chromosome:Graimondii2_0_v6:6:46475539:46478411:1 gene:B456_006G209700 transcript:KJB37547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGPPAPNLNPISGWSNVLKSQPPKPHTQSQITATSQIFVESCKSTKGIAVAVVDANAVIEGGEKLNNITDRFVTVPEVLAEIRDPVSRHRLAFIPFSIDSVEPSPDALNKVIKFARATGDLQTLSDVDLKLIALTYTLESQIHGTNHLRDAPPPVHVVNVKRLPERDLPGWGSNVPNLEEWEALEPETGDGFNSNSRILPLKDLNMNYVSSDNHSEDVLVETKSETHSENREDIDQGFRRPRRYFPQKEEVKIEGKKMVADGIDASQGHLDDNADDWLPAVSRSTHRRYLRRKARREFYEALVEKDCQEDMKKGLEKSNSEDASGCPDRPLQQSAEEVHSGNGIYEEAERAEVDKGDGDLSSILKQMRLEEDPARTLGEAKEAETTAEEAMLNDSMNLAVEGDSEELDQLEMSSQTNETVDASFTDDVSSEQSWMLRSLSESTVACVTGDFAMQNVLLQMGLRLLAPGGMQIRQLHRWVLKCHACYTVTAEIGRIFCPKCGNGGTLRKVAVTVGENGIVLASHRPRITLRGTKFSLPLPQGGRDAITKNLILREDQLPQKFLYPKTKKKVNKQGDDDLFMAGDTFTHHTDKRAPLQPPVRKALAVFSGKRNPNDNHYSRSKQK >KJB36643 pep chromosome:Graimondii2_0_v6:6:42820149:42822720:-1 gene:B456_006G168400 transcript:KJB36643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWLSSLKKVFSPDSQEKKNQKSKQQLLEKQVHLGSSDSGAATLETVSLSPPPPEEVKPIEAESKQTYPVVVASAAASPQAAVEVVQRQLNRDALFAGKSEEEVAAIKIQTTFRVYLARRALHALKGLVRLKSLMEGPVVKRQAASTLRCMQTLSRLQCQVRIRRTRMTEENQALQRQLLQKHAKEIVNLQMGEDWDYSLQSKEQIEASLLSKHEAAMRRERAMAYSFTHQQTWKNASRSMNPLFMDPNNPSWGWSWLERWMAAQPQEGRGTTEKEQNDQSSIRSARSNFGGEISKAYARYQLNLDKQSPKAGQKPNQTSSLLSVSTPKTSASIPARKLKSASPRSSVVGPDDDGRSMVSIQSERNRRHSIAGSSVHDNEGLGSSPLLPSYMVPTESTRAKTQLQSPLGLEANGTPRKGPIASAKKRLSYPPSPARPRQHLLIQKLVS >KJB36645 pep chromosome:Graimondii2_0_v6:6:42819766:42823123:-1 gene:B456_006G168400 transcript:KJB36645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWLSSLKKVFSPDSQEKKNQKSKQQLLEKQVHLGSSDSGAATLETVSLSPPPPEEVKPIEAESKQTYPVVVASAAASPQAAVEVVQRQLNRDALFAGKSEEEVAAIKIQTTFRVYLARRALHALKGLVRLKSLMEGPVVKRQAASTLRCMQTLSRLQCQVRIRRTRMTEENQALQRQLLQKHAKEIVNLQMGEDWDYSLQSKEQIEASLLSKHEAAMRRERAMAYSFTHQQTWKNASRSMNPLFMDPNNPSWGWSWLERWMAAQPQEGRGTTEKEQNDQSSIRSARSNFGGEISKAYARYQLNLDKQSPKAGQKPNQTSSLLSVSTPKTSASIPARKLKSASPRSSVVGPDDDGRSMVSIQSERNRRHSIAGSSVHDNEGLGSSPLLPSYMVPTESTRAKTQLQSPLGLEANGTPRKGPIASAKKRLSYPPSPARPRQHLLIQKLVS >KJB36644 pep chromosome:Graimondii2_0_v6:6:42819626:42823868:-1 gene:B456_006G168400 transcript:KJB36644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWLSSLKKVFSPDSQEKKNQKSKQQLLEKQVHLGSSDSGAATLETVSLSPPPPEEVKPIEAESKQTYPVVVASAAASPQAAVEVVQRQLNRDALFAGKSEEEVAAIKIQTTFRVYLARRALHALKGLVRLKSLMEGPVVKRQAASTLRCMQTLSRLQCQVRIRRTRMTEENQALQRQLLQKHAKEIVNLQMGEDWDYSLQSKEQIEASLLSKHEAAMRRERAMAYSFTHQQTWKNASRSMNPLFMDPNNPSWGWSWLERWMAAQPQEGRGTTEKEQNDQSSIRSARSNFGGEISKAYARYQLNLDKQSPKAGQKPNQTSSLLSVSTPKTSASIPARKLKSASPRSSVVGPDDDGRSMVSIQSERNRRHSIAGSSVHDNEGLGSSPLLPSYMVPTESTRAKTQLQSPLGLEANGTPRKGPIASAKKRLSYPPSPARPRQHLLIQKLVS >KJB34997 pep chromosome:Graimondii2_0_v6:6:33234153:33240989:-1 gene:B456_006G094700 transcript:KJB34997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRCIPVFLDEEVVHQYYNGYCNNILWPLFHYIGLPQEDRLATTRSFQSQFDAYKKANQLFADVVNEHYEEGDVVWCHDYHLMFLPKCLKERNSKMKVGWFLHTPFPSSEIHRMLPSRLELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRIAAFPVGIDSERFIKALELPEVQDHMKVLKQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWCNKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEYVACQESKKGVLILSEFAGAAQSLGAGAILVNPWNVTEVASSIGYALNMPADEREKRHHHNFKHVISHTAQEWAATFVSELNVTIVEAQLRTRQIPPPLPIEVAVDRYSCLNNRLLILGFNATLTEPVDTLGRKDSQINEWEPKLRPDLREPLRKLCDDPKTTVLVLSGSARNVLDDNFSDYNLWLAAENGMFLRVTTGDWMTTMPENLSMEWVDSVKNVFEYFTDRTPRSQFEVRETSLIWNYKYSDVEFGRLQARDLLQHLWTGPISNASLDVVQGRRSVEVRSVAVTKGAAIDRILGEIVHNKGMKEPIDYVLCIGHFLTKDEDIYTFFEPELPSEVPASVRPQVPTQVRTSIVGSRAALLMKQNSMSAVEQNKSYAIDGDTLHSMVTDRISLQEGSSVLDLQANDYFSCSVARKRSNSRYRLQSSDEVVTLLRELADHR >KJB34991 pep chromosome:Graimondii2_0_v6:6:33233903:33241088:-1 gene:B456_006G094700 transcript:KJB34991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSQEICILPNKNNLALLQTNGMPGNLYNNCSNLPATPTRLERLLRERELRKYSKCLNDCEAELPSSTQDCFSPDLETSTCWFNDEELLETVSASRSLNDDGERLDFRTSKQRLLVVANRLPVSAVRHGEDSWQLEMSVGGLVTALLGVKEFDTRWIGWAGVNVPDEIGQKALAKALDEKRCIPVFLDEEVVHQYYNGYCNNILWPLFHYIGLPQEDRLATTRSFQSQFDAYKKANQLFADVVNEHYEEGDVVWCHDYHLMFLPKCLKERNSKMKVGWFLHTPFPSSEIHRMLPSRLELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRIAAFPVGIDSERFIKALELPEVQDHMKVLKQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWCNKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEYVACQESKKGVLILSEFAGAAQSLGAGAILVNPWNVTEVASSIGYALNMPADEREKRHHHNFKHVISHTAQEWAATFVSELNVTIVEAQLRTRQIPPPLPIEVAVDRYSCLNNRLLILGFNATLTEPVDTLGRKDSQINEWEPKLRPDLREPLRKLCDDPKTTVLVLSGSARNVLDDNFSDYNLWLAAENGMFLRVTTGDWMTTMPENLSMEWVDSVKNVFEYFTDRTPRSQFEVRETSLIWNYKYSDVEFGRLQARDLLQHLWTGPISNASLDVVQGRRSVEGAAIDRILGEIVHNKGMKEPIDYVLCIGHFLTKDEDIYTFFEPELPSEVPASVRPQVPTQVRTSIVGSRAALLMKQNSMSAVEQNKSYAIDGDTLHSMVTDRISLQEGSSVLDLQANDYFSCSVARKRSNSRYRLQSSDEVVTLLRELADHR >KJB34995 pep chromosome:Graimondii2_0_v6:6:33234153:33240989:-1 gene:B456_006G094700 transcript:KJB34995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNLYNNCSNLPATPTRLERLLRERELRKYSKCLNDCEAELPSSTQDCFSPDLETSTCWFNDEELLETVSASRSLNDDGERLDFRTSKQRLLVVANRLPVSAVRHGEDSWQLEMSVGGLVTALLGVKEFDTRWIGWAGVNVPDEIGQKALAKALDEKRCIPVFLDEEVVHQYYNGYCNNILWPLFHYIGLPQEDRLATTRSFQSQFDAYKKANQLFADVVNEHYEEGDVVWCHDYHLMFLPKCLKERNSKMKVGWFLHTPFPSSEIHRMLPSRLELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRIAAFPVGIDSERFIKALELPEVQDHMKVLKQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWCNKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEYVACQESKKGVLILSEFAGAAQSLGAGAILVNPWNVTEVASSIGYALNMPADEREKRHHHNFKHVISHTAQEWAATFVSELNVTIVEAQLRTRQIPPPLPIEVAVDRYSCLNNRLLILGFNATLTEPVDTLGRKDSQINEWEPKLRPDLREPLRKLCDDPKTTVLVLSGSARNVLDDNFSDYNLWLAAENGMFLRVTTGDWMTTMPENLSMEWVDSVKNVFEYFTDRTPRSQFEVRETSLIWNYKYSDVEFGRLQARDLLQHLWTGPISNASLDVVQGRRSVEVRSVAVTKGAAIDRILGEIVHNKGMKEPIDYVLCIGHFLTKDEDIYTFFEPELPSEVPASVRPQVPTQVRTSIVGSRAALLMKQNSMSAVEQNKSYAIDGDTLHSMVTDRISLQEGSSVLDLQANDYFSCSVARKRSNSRYRLQSSDEVVTLLRELADHR >KJB34993 pep chromosome:Graimondii2_0_v6:6:33234786:33240794:-1 gene:B456_006G094700 transcript:KJB34993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSQEICILPNKNNLALLQTNGMPGNLYNNCSNLPATPTRLERLLRERELRKYSKCLNDCEAELPSSTQDCFSPDLETSTCWFNDEELLETVSASRSLNDDGERLDFRTSKQRLLVVANRLPVSAVRHGEDSWQLEMSVGGLVTALLGVKEFDTRWIGWAGVNVPDEIGQKALAKALDEKLMQRCIPVFLDEEVVHQYYNGYCNNILWPLFHYIGLPQEDRLATTRSFQSQFDAYKKANQLFADVVNEHYEEGDVVWCHDYHLMFLPKCLKERNSKMKVGWFLHTPFPSSEIHRMLPSRLELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRIAAFPVGIDSERFIKALELPEVQDHMKVLKQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWCNKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEYVACQESKKGVLILSEFAGAAQSLGAGAILVNPWNVTEVASSIGYALNMPADEREKRHHHNFKHVISHTAQEWAATFVSELNVTIVEAQLRTRQIPPPLPIEVAVDRYSCLNNRLLILGFNATLTEPVDTLGRKDSQINEWEPKLRPDLREPLRKLCDDPKTTVLVLSGSARNVLDDNFSDYNLWLAAENGMFLRVTTGDWMTTMPENLSMEWVDSVKNVFEYFTDRTPRSQFEVRETSLIWNYKYSDVEFGRLQARDLLQHLWTGPISNASLDVVQGRRSVEVRSVAVTKVCFSGIIHSWITSYLISCFNVYIGCRNRSNPRRNSS >KJB34992 pep chromosome:Graimondii2_0_v6:6:33233993:33241011:-1 gene:B456_006G094700 transcript:KJB34992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSQEICILPNKNNLALLQTNGMPGNLYNNCSNLPATPTRLERLLRERELRKYSKCLNDCEAELPSSTQDCFSPDLETSTCWFNDEELLETVSASRSLNDDGERLDFRTSKQRLLVVANRLPVSAVRHGEDSWQLEMSVGGLVTALLGVKEFDTRWIGWAGVNVPDEIGQKALAKALDEKRCIPVFLDEEVVHQYYNGYCNNILWPLFHYIGLPQEDRLATTRSFQSQFDAYKKANQLFADVVNEHYEEGDVVWCHDYHLMFLPKCLKERNSKMKVGWFLHTPFPSSEIHRMLPSRLELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRIAAFPVGIDSERFIKALELPEVQDHMKVLKQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWCNKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEYVACQESKKGVLILSEFAGAAQSLGAGAILVNPWNVTEVASSIGYALNMPADEREKRHHHNFKHVISHTAQEWAATFVSELNVTIVEAQLRTRQIPPPLPIEVAVDRYSCLNNRLLILGFNATLTEPVDTLGRKDSQINEWEPKLRPDLREPLRKLCDDPKTTVLVLSGSARNVLDDNFSDYNLWLAAENGMFLRVTTGDWMTTMPENLSMEWVDSVKNVFEYFTDRTPRSQFEVRETSLIWNYKYSDVEFGRLQARDLLQHLWTGPISNASLDVVQGRRSVEVRSVAVTKGAAIDRILGEIVHNKGMKEPIDYVLCIGHFLTKDEDIYTFFEPELPSEVPASVRPQVPTQVRTSIVGSRAALLMKQNSMSAVEQNKSYAIDGDTLHSMVTDRISLQEGSSVLDLQANDYFSCSVARKRSNSRYRLQSSDEVVTLLRELADHR >KJB34996 pep chromosome:Graimondii2_0_v6:6:33234153:33240989:-1 gene:B456_006G094700 transcript:KJB34996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNLYNNCSNLPATPTRLERLLRERELRKYSKCLNDCEAELPSSTQDCFSPDLETSTCWFNDEELLETVSASRSLNDDGERLDFRTSKQRLLVVANRLPVSAVRHGEDSWQLEMSVGGLVTALLGVKEFDTRWIGWAGVNVPDEIGQKALAKALDEKLMQRCIPVFLDEEVVHQYYNGYCNNILWPLFHYIGLPQEDRLATTRSFQSQFDAYKKANQLFADVVNEHYEEGDVVWCHDYHLMFLPKCLKERNSKMKVGWFLHTPFPSSEIHRMLPSRLELLRSVLAADLVGHFVSACTRILGLEGTPEGVEDQGKLTRIAAFPVGIDSERFIKALELPEVQDHMKVLKQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWCNKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEYVACQESKKGVLILSEFAGAAQSLGAGAILVNPWNVTEVASSIGYALNMPADEREKRHHHNFKHVISHTAQEWAATFVSELNVTIVEAQLRTRQIPPPLPIEVAVDRYSCLNNRLLILGFNATLTEPVDTLGRKDSQINEWEPKLRPDLREPLRKLCDDPKTTVLVLSGSARNVLDDNFSDYNLWLAAENGMFLRVTTGDWMTTMPENLSMEWVDSVKNVFEYFTDRTPRSQFEVRETSLIWNYKYSDVEFGRLQARDLLQHLWTGPISNASLDVVQGRRSVEVRSVAVTKGAAIDRILGEIVHNKGMKEPIDYVLCIGHFLTKDEDIYTFFEPELPSEVPASVRPQVPTQVRTSIVGSRAALLMKQNSMSAVEQNKSYAIDGDTLHSMVTDRISLQEGSSVLDLQANDYFSCSVARKRSNSRYRLQSSDEVVTLLRELADHR >KJB34994 pep chromosome:Graimondii2_0_v6:6:33233923:33241011:-1 gene:B456_006G094700 transcript:KJB34994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSQEICILPNKNNLALLQTNGMPGNLYNNCSNLPATPTRLERLLRERELRKYSKCLNDCEAELPSSTQDCFSPDLETSTCWFNDEELLETVSASRSLNDDGERLDFRTSKQRLLVVANRLPVSAVRHGEDSWQLEMSVGGLVTALLGVKEFDTRWIGWAGVNVPDEIGQKALAKALDEKLMQRCIPVFLDEEVVHQYYNGYCNNILWPLFHYIGLPQEDRLATTRSFQSQFDAYKKANQLFADVVNEHYEEGDVVWCHDYHLMFLPKCLKERNSKMKVGWFLHTPFPSSEIHRMLPSRLELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRIAAFPVGIDSERFIKALELPEVQDHMKVLKQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWCNKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTAVPIHHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEYVACQESKKGVLILSEFAGAAQSLGAGAILVNPWNVTEVASSIGYALNMPADEREKRHHHNFKHVISHTAQEWAATFVSELNVTIVEAQLRTRQIPPPLPIEVAVDRYSCLNNRLLILGFNATLTEPVDTLGRKDSQINEWEPKLRPDLREPLRKLCDDPKTTVLVLSGSARNVLDDNFSDYNLWLAAENGMFLRVTTGDWMTTMPENLSMEWVDSVKNVFEYFTDRTPRSQFEVRETSLIWNYKYSDVEFGRLQARDLLQHLWTGPISNASLDVVQGRRSVEVRSVAVTKGAAIDRILGEIVHNKGMKEPIDYVLCIGHFLTKDEDIYTFFEPELPSEVPASVRPQVPTQVRTSIVGSRAALLMKQNSMSAVEQNKSYAIDGDTLHSMVTDRISLQEGSSVLDLQANDYFSCSVARKRSNSRYRLQSSDEVVTLLRELADHR >KJB34168 pep chromosome:Graimondii2_0_v6:6:18386921:18387679:1 gene:B456_006G051600 transcript:KJB34168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSLGSSGVRRATKKVRRRDDDPLDVGDMETDDGGSKVASFKDKLLGWVSNESVKEDYEEDEFELLDADVLTKTMNGISNIKFSERVHTLIQKTTAKTMIIKFLGRKIGFNNMVSKPHILWKVRRTIYGQYLTVKSCFSRSIYKKCLLEAVGNSISQVIKMDNQTENRMRGHFVRMPVLVEGKIHRVEYEAYRMSDLAVAFMAIRRRYALSYDERNR >KJB33082 pep chromosome:Graimondii2_0_v6:6:34420170:34420178:-1 gene:B456_006G1033001 transcript:KJB33082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDY >KJB36091 pep chromosome:Graimondii2_0_v6:6:39734329:39736758:1 gene:B456_006G140700 transcript:KJB36091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSQEYILLFLIWFITILFLRAILSNSRGKKPHLPPTPRALPVIGHMHLLGPIPHQALNKLSDRFGPLVYFYIGSKPCLLVSSQETAKEVFKNHETTFLNRPKMANLDYLTYGTADMAMAPYGPLWKYMKKLCMSELLGTRTLDQLLPVRREEMTRFVRLIQDKAETGEALDVGVELMRLTNNIISRMLLSKRCSDKEDEANEVQTIVKEMNKLGTKFNLSDLLWFCKNLDLQGFRKRLKDVRDRYDILMEKIILEHKEARKKNKSTAADTMKDVLDILIEISEDQNAEMKLTAENVKAFVMNFFGAGTDTSSVTIGWGIAELINHPNVMEKVQKEIDSVVGRNRILEESDISNLPYLQAIVKETLRLHPGGPLVVRESTEDCVISGYEIPEGTRLFVNVWALGRDPNQWENPLEFIPERFLSEEWRQGKNQFLDVRGQHFSLLPFGSGRRSCPGASLALQVVPTVLGIMIQCFDWKVRDGANGTVNMEEKAGMTLLRAHPLVCHPVTRLSPFPAL >KJB36092 pep chromosome:Graimondii2_0_v6:6:39734329:39739921:1 gene:B456_006G140700 transcript:KJB36092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSQEYILLFLIWFITILFLRAILSNSRGKKPHLPPTPRALPVIGHMHLLGPIPHQALNKLSDRFGPLVYFYIGSKPCLLVSSQETAKEVFKNHETTFLNRPKMANLDYLTYGTADMAMAPYGPLWKYMKKLCMSELLGTRTLDQLLPVRREEMTRFVRLIQDKAETGEALDVGVELMRLTNNIISRMLLSKRCSDKEDEANEVQTIVKEMNKLGTKFNLSDLLWFCKNLDLQGFRKRLKDVRDRYDILMEKIILEHKEARKKNKSTAADTMKDVLDILIEISEDQNAEMKLTAENVKAFVMNFFGAGTDTSSVTIGWGIAELINHPNVMEKVQKEIDSVVGRNRILEESDISNLPYLQAIVKETLRLHPGGPLVVRESTEDCVISGYEIPEGTRLFVNVWALGRDPNQWENPLEFIPERFLSEEWRQGKNQFLDVRGQHFSLLPFGSGRRTALELH >KJB34344 pep chromosome:Graimondii2_0_v6:6:22240841:22241325:-1 gene:B456_006G060700 transcript:KJB34344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGETALFTAAKKGHLDVLKELLKYSNKETITKKNKSRFDPLHIAASQGHHASFIVYNNTKEIMIIWEL >KJB34345 pep chromosome:Graimondii2_0_v6:6:22240291:22241841:-1 gene:B456_006G060700 transcript:KJB34345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGETALFTAAKKGHLDVLKELLKYSNKETITKKNKSRFDPLHIAASQGHHVRMMRCHPLEADAAIVMLPDKFGNTALHVTTRKKRAEV >KJB37162 pep chromosome:Graimondii2_0_v6:6:44889198:44892791:1 gene:B456_006G191800 transcript:KJB37162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIN1 MITLTDFYHVMTAMVPLYVAMILAYGSVKWWKIFSPGQCSGINRFVALFAVPLLSFHFIASNDPYAMNFRFIAADTLQKVMVLGILAVWSKVSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGAKMLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKEDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAGGRNSNFGAADVYGLSASRGPTPRPSNYEEDGTGMGKPRFHYHAQGGAGAAHYPAPNPGMFSPNGSKANTKKPNDQAQQKAEDGGRDLHMFVWSSSASPVSDVFGGGGGHEYGANEQKEVRVAVSPGKAEGHRENNEEYMEREDFSFGNRGLEREMTNNHEGDKVGDGKPKTMPPASVITRLILIMVWRKLIRNPNTYSSLIGLTWSLISFRWNVQMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVAAFAMGVRFLAGPAVMAAASIAVGLRGVLLHVAIVQAALPQGIVPFVFAKEYNLHPDILSTAVIFGMLIALPITLVYYILLGL >KJB37163 pep chromosome:Graimondii2_0_v6:6:44889472:44892740:1 gene:B456_006G191800 transcript:KJB37163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIN1 MITLTDFYHVMTAMVPLYVAMILAYGSVKWWKIFSPGQCSGINRFVALFAVPLLSFHFIASNDPYAMNFRFIAADTLQKVMVLGILAVWSKVSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGAKMLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKEDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAGGRNSNFGAADVYGLSASRGPTPRPSNYEEDGTGMGKPRFHYHAQGGAGAAHYPAPNPGMFSPNGSKANTKKPNDQAQQKAEDGGRDLHMFVWSSSASPVSDVFGGGGGHEYGANEQKEVRVAVSPGKAEGHRENNEEYMEREDFSFGNRGLEREMTNNHEGDKVGDGKPKTMPPASVITRLILIMVWRKLIRNPNTYSSLIGLTWSLISFRWNVQMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVAAFAMGVRFLAGPAVMAAASIAVGLRGVLLHVAIVQAALPQGIVPFVFAKEYNLHPDILSTA >KJB33209 pep chromosome:Graimondii2_0_v6:6:234084:239042:-1 gene:B456_006G000800 transcript:KJB33209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMASSNTPIPVDDGFNEYESSLKHQKSTTSKVWDEMTKLECENKNELKAQCNHWKTIFSAKSSSGTSHLRRHLNSSTQPSPEGVPSIKNYKFDADECRRAISTFIVCGKHSFRTVEEPGFRYMMRIASPNFKNISRHTAARDVLMYYAKERDRVKEELAKAPGLICLTSDNWNSEHTNDEYICITAHWVDKDWKLQKRIIRFRALFPPYDGLNIANELVLCLSQWGIDKKIFSITLDNASYNDVMVSCLKNRFRANRAILCDGAFFQVRCCAHILNLIVKAGLELADDVVGKIRNGIRYKKKSGIRRKRFYDVADKSFHLNVTKKLRQDVCVRWNSTFLMIESSLYYKDVLDYWGQRDKDYQMFSLSNEEWRNVAILCKFLKVFYDVTCIFSGSNYPTANLYFRGVWKVHKVLLDTVKGPYSFLNPMVKQMQEKFNKYWAEYSLILSCAAILDPRYKLNYVQYCFNTIYGIHASDFVETILCNLRLLFDEYVKKSKSTSSSLAGSSNVSDKNPVDSSLDEHNDNSADFVGYFDESDDYKRYLNESRTRSEKSQLDIYLEEPELELNSQIDVLDYWSKSSVRYNELSLLARDLLAIPISTVASESAFSMGKKVTTPLRSSLKPKTVQVIICLDDWMRAKGFSTGNYYSRIIV >KJB34758 pep chromosome:Graimondii2_0_v6:6:31306743:31309497:1 gene:B456_006G082400 transcript:KJB34758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIFQLNNFPCKTFLTTPKHLQSTSKPSILLLNSIKRTQNSSRVSHFLTRKSIRSRPGFQIFAADDDEGSPDKKEEVMEDPETESGGVIVAEAEAEAEEEKPKEEVGEIESLKKALVDSFYGTDRGWKASSETRAEIVELITLLEAKNPTPAPTDSLPLLNGKWILAYTSFTGLFPLLSRGQLRLVKVEEISQTIDAESLTVQNSVQFSGPLASSSISASAKFEVRSPRRVQIKFQEGIIGTPQLTDSIVLPENVEFMGQNIDLAPIKGLLNSVQDTASTVAKTISSRPPLKFSLSNSNSESWLLTTYLDEDLRISRDGGSVFVLIKEGSSFLTTMN >KJB33608 pep chromosome:Graimondii2_0_v6:6:5404596:5405301:1 gene:B456_006G021400 transcript:KJB33608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKEELPLTEVESGDSSTEEAELESPRSVVLQMKKKLDRVLHSQVLRIRQEDSHLGQDFIAAGDKAINKNQVVFGGDVTGGGGGVFTENTRRSLNLVLVSKPILPSSPLSGKNSVKKAL >KJB34906 pep chromosome:Graimondii2_0_v6:6:32565670:32566185:1 gene:B456_006G089500 transcript:KJB34906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQALVDAPDMVRGQMNFKRLTLTDITIDIPHVKNKWESSSWGRKLIVQKRRASLNDFARFKLMLAKIKRSGVIKQELAKLKKENAS >KJB36172 pep chromosome:Graimondii2_0_v6:6:40300737:40304893:-1 gene:B456_006G144800 transcript:KJB36172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR4 [Source:Projected from Arabidopsis thaliana (AT5G06620) UniProtKB/Swiss-Prot;Acc:Q9FG08] MSPLAFVLTLSCYRRWPSRFSTPYSHNLLVLFSSTATTITPPNGNESPSSGPAPPPIRVALTESTGRAVFATRRIGAGDTIHTAKPIVSHPSLSAITTVCYFCLKKINAVTASQSQGVYFCSENCKESSKVFYDVEKKTDWLAFDDYCRTQGLKYPLLVKRLACMVISGAAPAGILDILQPANLTQGMILKMEEGFHLLRNALVKANIGDEHMSFLTKQWYTDVLARIRINAFRIELAAGVYEDLLSLASASIEAEAAVGNAIYMLPSFYNHDCDPNTHIVWIENADAKLKALRDIDEGEELQICYIDASMSYDARESLLSQGFGFKCNCLRCMSGD >KJB36173 pep chromosome:Graimondii2_0_v6:6:40300943:40304873:-1 gene:B456_006G144800 transcript:KJB36173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR4 [Source:Projected from Arabidopsis thaliana (AT5G06620) UniProtKB/Swiss-Prot;Acc:Q9FG08] MSPLAFVLTLSCYRRWPSRFSTPYSHNLLVLFSSTATTITPPNGNESPSSGPAPPPIRVALTESTGRAVFATRRIGAGDTIHTAKPIVSHPSLSAITTVCYFCLKKINAVTASQSQGVYFCSENCKESSKVFYDVEKKTDWLAFDDYCRTQGLKYPLLVKRLACMVISGAAPAGILDILQPANLTQGMILKMEEGFHLLRNALVKANIGDEHMSFLTKQWYTDVLARIRINAFRIELAAGVYEDLLSLASASIEAEAAVGNAIYMLPSFYNHDCGKFSCFSTSDYCLPWLLIEVRTSFFHLF >KJB35773 pep chromosome:Graimondii2_0_v6:6:38032358:38034353:-1 gene:B456_006G127600 transcript:KJB35773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHLLSLNHPKFTLHTASFQLGPLRKYKLNQKSNNLSFQKSIKCSTSLSSLSPEVEEECLVLEEEDERPLSEIWKEIQGCHDWDGLLDPMNSHLRREIIRYGEFAQACYDSFDFDPHSKYCGSCKYQGARFFEKLGMADRGYQISRYLYATSDINLPNFYQKSKLSSVWSTHANWMGYVAVCTDEDEIKRLGRRDIVVSWRGTVTYLEWIYDLKDILHSPNFTNDPSIKMELGFYDLYTKKEDTCNFCSFSAREQVLAEIKRLLDYYDGEEISITITGHSLGAALAIITAYDIAELGVNIVNDGRVTHKIPITVYSFGGPRVGNLKFKERCEKLGVKVLRVINIHDKVPTVPGIFANEKLQFQKYLEETVSFPWSYAHVGVELTLDHTHSPFLKPASDLGCAHNLEAHLHLLDGYHGKGRRFCLANKRDIALVNKDSNFLKKEYGVPPHWRQDENKGMVKNNDGRWVLPERARVEAHPHDISYHLNKILKIATGSSQLKQAQ >KJB37330 pep chromosome:Graimondii2_0_v6:6:45788236:45793206:-1 gene:B456_006G200900 transcript:KJB37330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAFRAKEIAERKVREKDFAGAKKFAMKAQNLYPGLDGITQMLTILDVYISAENKASGEADWYGVLGVTAYADDEVVRKQYRKLALMLHPDKNKLVGADGAFKLVSEAWSLLSDQAKRLAYNQRINLRGTQQKFHTQSDVSSMPPGTNGSHSSSSNIKSNARTQNGNNRVGQASFAPPPNKKPETFWTVCNRCKTQYEYLRVYLNHTLLCPNCHVAFLALEKAPPQNVYQSTNWSSQQQRASGHHDANNNNPFNYGTNSSRSQISEHDRLAQEKVKREREEALKAERLPKKRKDDIYVNGYAGKLATQMPMGNGPCLGSTSESRGLFGIGNTYGYSGSYQKHISQRELSLPEIRNMLMDKALRVIRKKLKDLSSVTEAKNGDKVKGKAREKENRKQRSMANGDGCDTNKDHQGKQPVPASSPGESGRGTAPLSINVPDPDFHNFDLDRGESSFEDDQVWAAYDADDGMPRFYARVHKVISLKPFKMKISWLNSRSNSEFGELDWVGSGFSKTCGEFRSGRHEISETLNSFSHKVQWTKGLRGVIRIYPRKGDVWALYRNWSPDWNEHTPDDVIHKYDMVEVLDDYNEEGGVSLVLLTKVAGFRTVFCKQMDPKEVRRIPKEEMFRFSHQVPNYLLTGQEADNAPAGCRELDPAATPLDLLQVINEADKAPVEDNLSKTKEEMLKEP >KJB37329 pep chromosome:Graimondii2_0_v6:6:45788236:45793502:-1 gene:B456_006G200900 transcript:KJB37329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAFRAKEIAERKVREKDFAGAKKFAMKAQNLYPGLDGITQMLTILDVYISAENKASGEADWYGVLGVTAYADDEVVRKQYRKLALMLHPDKNKLVGADGAFKLVSEAWSLLSDQAKRLAYNQRINLRGTQQKFHTQSDVSSMPPGTNGSHSSSSNIKSNARTQNGNNRVGQASFAPPPNKKPETFWTVCNRCKTQYEYLRVYLNHTLLCPNCHVAFLALEKAPPQNVYQSTNWSSQQQRASGHHDANNNNPFNYGTNSSRSQISEHDRLAQEKVKREREEALKAERLPKKRKDDIYVNGYAGKLATQMPMGNGPCLGSTSESRGLFGIGNTYGYSGSYQKHISQRELSLPEIRNMLMDKALRVIRKKLKDLSSVTEAKNGDKVKGKAREKENRKQRSMANGDGCDTNKDHQGKQPVPASSPGESGRGTAPLSINVPDPDFHNFDLDRGESSFEDDQVWAAYDADDGMPRFYARVHKVISLKPFKMKISWLNSRSNSEFGELDWVGSGFSKTCGEFRSGRHEISETLNSFSHKVQWTKGLRGVIRIYPRKGDVWALYRNWSPDWNEHTPDDVIHKYDMVEVLDDYNEEGGVSLVLLTKVAGFRTVFCKQMDPKEVRRIPKEEMFRFSHQVPNYLLTGQEADNAPAGCRELDPAATPLDLLQVINEADKAPVEDNLSKTKEEMLKEP >KJB37328 pep chromosome:Graimondii2_0_v6:6:45788248:45792651:-1 gene:B456_006G200900 transcript:KJB37328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAFRAKEIAERKVREKDFAGAKKFAMKAQNLYPGLDGITQMLTILDVYISAENKASGEADWYGVLGVTAYADDEVVRKQYRKLALMLHPDKNKLVGADGAFKLVSEAWSLLSDQAKRLAYNQRINLRGTQQKFHTQSDVSSMPPGTNGSHSSSSNIKSNARTQNGNNRVGQASFAPPPNKKPETFWTVCNRCKTQYEYLRVYLNHTLLCPNCHVAFLALEKAPPQNVYQSTNWSSQQQRASGHHDANNNNPFNYGTNSSRSQISEHDRLAQEKVKREREEALKAERLPKKRKDDIYVNGYAGKLATQMPMGNGPCLGSTSESRGLFGIGNTYGYSGSYQKHISQRELSLPEIRNMLMDKALRVIRKKLKDLSSVTEAKNGDKVKGKAREKENRKQRSMANGDGCDTNKDHQGKQPVPASSPGESGRGTAPLSINVPDPDFHNFDLDRGESSFEDDQVWAAYDADDGMPRFYARVHKVISLKPFKMKISWLNSRSNSEFGELDWVGSGFSKTCGEFRSGRHEISETLNSFSHKVQWTKGLRGVIRIYPRKGDVWALYRNWSPDWNEHTPDDVIHKYDMVEVLDDYNEEGGVSLVLLTKVAGFRTVFCKQMDPKEVRRIPKEEMFRFSHQVPNYLLTGQEADNAPAGCRELDPAATPLDLLQVINEADKAPVEDNLSKTKEEMLKEP >KJB37017 pep chromosome:Graimondii2_0_v6:6:44381538:44382919:-1 gene:B456_006G186800 transcript:KJB37017 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOL3 [Source:Projected from Arabidopsis thaliana (AT2G43940) UniProtKB/TrEMBL;Acc:A0A178VV10] MLRSLFFSPRIRRVAVPSSPLGPTLAMDNNNRSRTTDSSVQTNPRIQKLQQIVKTDASAGWEESWKQGVTPWDLGCPTPVILHLHHSGSLPMGRVLVPGCGTGYDVVAMACPGRYVVGLDISEEAIKKAKQMSSSLPNADDFTFIKADFFSWRPTDLFDLIFDYTFFCAILPEMRSAWAQQIQNFLKPDGELVTLMFPFFAIVSSSDCKELSIYTSL >KJB37021 pep chromosome:Graimondii2_0_v6:6:44380095:44382952:-1 gene:B456_006G186800 transcript:KJB37021 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOL3 [Source:Projected from Arabidopsis thaliana (AT2G43940) UniProtKB/TrEMBL;Acc:A0A178VV10] MGRVLVPGCGTGYDVVAMACPGRYVVGLDISEEAIKKAKQMSSSLPNADDFTFIKADFFSWRPTDLFDLIFDYTFFCAILPEMRSAWAQQIQNFLKPDGELVTLMFPMDDHAGGPPFKVSIEDYEEVLHPIGFKAVSIVDNELAIEARKGREKLGRWKRGVGHSSL >KJB37019 pep chromosome:Graimondii2_0_v6:6:44380098:44382952:-1 gene:B456_006G186800 transcript:KJB37019 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOL3 [Source:Projected from Arabidopsis thaliana (AT2G43940) UniProtKB/TrEMBL;Acc:A0A178VV10] MLRSLFFSPRIRRVAVPSSPLGPTLAMDNNNRSRTTDSSVQTNPRIQKLQQIVKTDASAGWEESWKQGVTPWDLGCPTPVILHLHHSGSLPMGRVLVPGCGTGYDVVAMACPGRYVVGLDISEEAIKKAKQMSSSLPNADDFTFIKADFFSWRPTDLFDLIFDYTFFCAILPEMRSAWAQQIQNFLKPDGELVTLMFPL >KJB37024 pep chromosome:Graimondii2_0_v6:6:44381601:44382952:-1 gene:B456_006G186800 transcript:KJB37024 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOL3 [Source:Projected from Arabidopsis thaliana (AT2G43940) UniProtKB/TrEMBL;Acc:A0A178VV10] MLRSLFFSPRIRRVAVPSSPLGPTLAMDNNNRSRTTDSSVQTNPRIQKLQQIVKTDASAGWEESWKQGVTPWDLGCPTPVILHLHHSGSLPMGRVLVPGCGTGYDVVAMACPGRYVVGLDISEEAIKKAKQVSVPSSHFNKIHRMLSLLWC >KJB37020 pep chromosome:Graimondii2_0_v6:6:44380095:44383032:-1 gene:B456_006G186800 transcript:KJB37020 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOL3 [Source:Projected from Arabidopsis thaliana (AT2G43940) UniProtKB/TrEMBL;Acc:A0A178VV10] MLRSLFFSPRIRRVAVPSSPLGPTLAMDNNNRSRTTDSSVQTNPRIQKLQQIVKTDASAGWEESWKQGVTPWDLGCPTPVILHLHHSGSLPMGRVLVPGCGTGYDVVAMACPGRYVVGLDISEEAIKKAKQMSSSLPNADDFTFIKADFFSWRPTDLFDLIFDYTFFCAILPEMRSAWAQQIQNFLKPDGELVTLMFPMDDHAGGPPFKVSIEDYEEVLHPIGFKAVSIVDNELAIEARKGREKLGRWKRGVGHSSL >KJB37023 pep chromosome:Graimondii2_0_v6:6:44381411:44382919:-1 gene:B456_006G186800 transcript:KJB37023 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOL3 [Source:Projected from Arabidopsis thaliana (AT2G43940) UniProtKB/TrEMBL;Acc:A0A178VV10] MLRSLFFSPRIRRVAVPSSPLGPTLAMDNNNRSRTTDSSVQTNPRIQKLQQIVKTDASAGWEESWKQGVTPWDLGCPTPVILHLHHSGSLPMGRVLVPGCGTGYDVVAMACPGRYVVGLDISEEAIKKAKQMSSSLPNADDFTFIKADFFSWRPTDLFDLIFDYTFFCAILPEMRSAWAQQIQNFLKPDGELVTLMFPMDDHAGGPPFKVSIEE >KJB37022 pep chromosome:Graimondii2_0_v6:6:44380095:44382990:-1 gene:B456_006G186800 transcript:KJB37022 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOL3 [Source:Projected from Arabidopsis thaliana (AT2G43940) UniProtKB/TrEMBL;Acc:A0A178VV10] MLRSLFFSPRIRRVAVPSSPLGPTLAMDNNNRSRTTDSSVQTNPRIQKLQQIVKTDASAGWEESWKQGVTPWDLGCPTPVILHLHHSGSLPMGRVLVPGCGTGYDVVAMACPGRYVVGLDISEEAIKKAKQMSSSLPNADDFTFIKADFFSWRPTDLFDLIFDYTYEEVLHPIGFKAVSIVDNELAIEARKGREKLGRWKRGVGHSSL >KJB37018 pep chromosome:Graimondii2_0_v6:6:44380095:44382952:-1 gene:B456_006G186800 transcript:KJB37018 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOL3 [Source:Projected from Arabidopsis thaliana (AT2G43940) UniProtKB/TrEMBL;Acc:A0A178VV10] MLRSLFFSPRIRRVAVPSSPLGPTLAMDNNNRSRTTDSSVQTNPRIQKLQQIVKTDASAGWEESWKQGVTPWDLGCPTPVILHLHHSGSLPMGRVLVPGCGTGYDVVAMACPGRYVVGLDISEEAIKKAKQMSSSLPNADDFTFIKADFFSWRPTDLFDLIFDYTRNLQVFLCHSARDEISMGSANPKLFKTRWRACYIDVSTMKRFCIQLALRQYPLLIMNWPLKLEREERSLEDGKEVSATPLYEL >KJB37167 pep chromosome:Graimondii2_0_v6:6:44926680:44931622:1 gene:B456_006G192200 transcript:KJB37167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAENTNGMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTGDLLEDGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAGN >KJB37168 pep chromosome:Graimondii2_0_v6:6:44925106:44932150:1 gene:B456_006G192200 transcript:KJB37168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAENTNGMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTGDLLEDGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAGFPMIALGLVNK >KJB34773 pep chromosome:Graimondii2_0_v6:6:31467737:31468258:-1 gene:B456_006G082900 transcript:KJB34773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNSGVCSRNSINDGDFDEEEVWSYEKEDSSSPTSRKPREPSSSSSSAWRLPSAPRTICRGSNSSSAASHETEVTGQSSAPLNIPDWSKIYGKHANMEPSRNESWVNKGDDNDDGMVYEDGDMVPPHEWLARELARTRISSSSVCEGVGRTLKGRDLSKVRNAVLTKTGFLE >KJB37829 pep chromosome:Graimondii2_0_v6:6:47469180:47470816:1 gene:B456_006G222300 transcript:KJB37829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSYCFFYTLTIACLLCFQHRPAEAQCKTLFVDQKGRGNYSKIQSAINSIPSNNLYWFCITVSAGTYREKVNIPYDKPYIILKGAGKRKTMVVWNEPYLVSPTFSSSADNIVVQSISFVNSYNSPSSKNPRVPAVAAMLSGDKCVFHKCGFSSVQDTLWDAAGRHYFKDCVIEGAVDFIFGNAQSLYEGSSIRFLGELLEPGIAGFITAQGRENPNDSNGFVFKNCNVYGKGTTYLGRPWRGFSRVLFYNCQFSNIIHPSGWDSWNFVGKEQLITYAEHGNNGPGSDTSKRVKWEKKLDDGTVSKFTSMSYINSDGWLQTMPTKYF >KJB37281 pep chromosome:Graimondii2_0_v6:6:45430403:45430953:-1 gene:B456_006G197100 transcript:KJB37281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSMEIADSASWYCALALLTLILMGCIKTSSVSSDEAARGKQLLKPPCDEIYVVGEGETLHTISDKCDDPFIVERNPHIHDPDDVFPGLVIKIIPSTAGKL >KJB36639 pep chromosome:Graimondii2_0_v6:6:42815374:42819409:1 gene:B456_006G168300 transcript:KJB36639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVRGANTWREELASLVEDTGILYTGEAATFSSLPPFNPEKSTAMAAAVSETDHETEPPETLKEQVTEFLKSWCEMVLELGRGCRDILQQTVVTEDSFVVQKLGGPVARVSSRLRFLNEFLPEDRDPVHAWPVIFFVFILALSALNLNGGHDGLAPAVKKVLVHPPSASRIQLPDGRHLAYREIGVPADKARFSLMAPHSFLSSRLAGIPGVKIPLLEEFGVRLVSYDLPGFGESDPHPSRNLNSSAFDMLQLADAVGVDDKFWVLGYSGGSIHAWAALRYVPHRIAGAAVVAPMINPYEPSMTKEEMRSIWGESLPRRKLMYYLARRLPKLLSFFYRRSFLSGKHGRVEKLMSVSLGRRDEILTEGATFEDFWHRDVEESVRQGNTKPFIEEAILQVSNWGFSLADLQVQRKCYRNGIFPWLKSLYSLAECELAGFLGPIHIWQGMDDQAVPQGMIDHVSRVLPGATMHKLPNEGHFSFFFFCDECHRQIFSTLFGSPQGPLEQATNGGEILSEGDMEEQSLATDSTNK >KJB36635 pep chromosome:Graimondii2_0_v6:6:42815374:42819405:1 gene:B456_006G168300 transcript:KJB36635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVRGANTWREELASLVEDTGILYTGEAATFSSLPPFNPEKSTAMAAAVSETDHETEPPETLKEQVTEFLKSWCEMVLELGRGCRDILQQTVVTEDSFVVQKLGGPVARVSSRLRFLNEFLPEDRDPVHAWPVIFFVFILALSALNLNGGHDGLAPAVKKVLVHPPSASRIQLPDGRHLAYREIGVPADKARFSLMAPHSFLSSRLAGIPGVKIPLLEEFGVRLVSYDLPGFGESDPHPSRNLNSSAFDMLQLADAVGVDDKFWVLGYSGGSIHAWAALRYVPHRIAGAAVVAPMINPYEPSMTKEEMRSIWGESLPRRKLMYYLARRLPKLLSFFYRRSFLSGKHGRVEKLMSVSLGRRDEILTEGATFEDFWHRDVEESVRQGNTKPFIEEAILQVSNWGFSLADLQVQRKCYRNGIFPWLKSLYSLAECELAGFLGPIHIWQGMDDQAVPQGMIDHVSRVLPGATMHKLPNEGHFSFFFFCDECHRQIFSTLFGSPQGPLEQATNGGEILSEGDMEEQSLATDSTNK >KJB36634 pep chromosome:Graimondii2_0_v6:6:42815374:42819405:1 gene:B456_006G168300 transcript:KJB36634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVRGANTWREELASLVEDTGILYTGEAATFSSLPPFNPEKSTAMAAAVSETDHETEPPETLKEQVTEFLKSWCEMVLELGRGCRDILQQTVVTEDSFVVQKLGGPVARVSSRLRFLNEFLPEDRDPVHAWPVIFFVFILALSALNLNGGHDGLAPAVKKVLVHPPSASRIQLPDGRHLAYREIGVPADKARFSLMAPHSFLSSRLAGIPGVKIPLLEEFGVRLVSYDLPGFGESDPHPSRNLNSSAFDMLQLADAVGVDDKFWVLGYSGGSIHAWAALRYVPHRIAGAAVVAPMINPYEPSMTKEEMRSIWGESLPRRKLMYYLARRLPKLLSFFYRRSFLSGKHGRVEKLMSVSLGRRDEILTEGATFEDFWHRDVEESVRQGNTKPFIEEAILQVSNWGFSLADLQVQRKCYRNGIFPWLKSLYSLAECELAGFLGPIHIWQGMDDQAVPQGMIDHVSRVLPGATMHKLPNEGHFSFFFFCDECHRQIFSTLFGSPQGPLEQATNGGEILSEGDMEEQSLATDSTNK >KJB36642 pep chromosome:Graimondii2_0_v6:6:42815882:42817955:1 gene:B456_006G168300 transcript:KJB36642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVRGANTWREELASLVEDTGILYTGEAATFSSLPPFNPEKSTAMAAAVSETDHETEPPETLKEQVTEFLKSWCEMVLELGRGCRDILQQTVVTEDSFVVQKLGGPVARVSSRLRFLNEFLPEDRDPVHAWPVIFFVFILALSALNLNGGHDGLAPAVKKVLVHPPSASRIQLPDGRHLAYREIGVPADKARFSLMAPHSFLSSRLAGIPGVKIPLLEEFGVRLVSYDLPGFGESDPHPSRNLNSSAFDMLQLADAVGVDDKFWVLGYSGGSIHAWAALRYVPHRIAGAAVVAPMINPYEPSMTKEEMRSIWGESLPRRKLMYYLARRLPKLLSFFYRRSFLSGKHGRVEKLMSVSLGRRDEILTEGATFEDFWHRDVEESVRQGNTKPFIEEAILQVSNWGFSLADLQVQRKCYRNGIFPWLKSLYSLAECELAGFLGPIHIWQVCILFPFCFLS >KJB36636 pep chromosome:Graimondii2_0_v6:6:42815681:42819221:1 gene:B456_006G168300 transcript:KJB36636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVRGANTWREELASLVEDTGILYTGEAATFSSLPPFNPEKSTAMAAAVSETDHETEPPETLKEQVTEFLKSWCEMVLELGRGCRDILQQTVVTEDSFVVQKLGGPVARVSSRLRFLNEFLPEDRDPVHAWPVIFFVFILALSALNLNGGHDGLAPAVKKVLVHPPSASRIQLPDGRHLAYREIGVPADKARFSLMAPHSFLSSRLAGIPGVKIPLLEEFGVRLVSYDLPGFGESDPHPSRNLNSSAFDMLQLADAVGVDDKFWVLGYSGGSIHAWAALRYVPHRIAGAAVVAPMINPYEPSMTKEEMRSIWGESLPRRKLMYYLARRLPKLLSFFYRRSFLSGKHGRVEKLMSVSLGRRDEILTEGATFEDFWHRDVEESVRQGNTKPFIEEAILQVSNWGFSLADLQVQRKCYRNGIFPWLKSLYSLAECELAGFLGPIHIWQVCILFPFCFLS >KJB36637 pep chromosome:Graimondii2_0_v6:6:42815681:42819221:1 gene:B456_006G168300 transcript:KJB36637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVRGANTWREELASLVEDTGILYTGEAATFSSLPPFNPEKSTAMAAAVSETDHETEPPETLKEQVTEFLKSWCEMVLELGRGCRDILQQTVVTEDSFVVQKLGGPVARVSSRLRFLNEFLPEDRDPVHAWPVIFFVFILALSALNLNGGHDGLAPAVKKVLVHPPSASRIQLPDGRHLAYREIGVPADKARFSLMAPHSFLSSRLAGIPGVKIPLLEEFGVRLVSYDLPGFGESDPHPSRNLNSSAFDMLQLADAVGVDDKFWVLGYSGGSIHAWAALRYVPHRIAGAAVVAPMINPYEPSMTKEEMRSIWGESLPRRKLMYYLARRLPKLLSFFYRRSFLSGKHGRVEKLMSVSLGRRVSQLSSFCVNLKNFLCLNCHISSFLGFS >KJB36638 pep chromosome:Graimondii2_0_v6:6:42815396:42819409:1 gene:B456_006G168300 transcript:KJB36638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVRGANTWREELASLVEDTGILYTGEAATFSSLPPFNPEKSTAMAAAVSETDHETEPPETLKEQVTEFLKSWCEMVLELGRGCRDILQQTVVTEDSFVVQKLGGPVARVSSRLRFLNEFLPEDRDPVHAWPVIFFVFILALSALNLNGGHDGLAPAVKKVLVHPPSASRIQLPDGRHLAYREIGVPADKARFSLMAPHSFLSSRLAGIPGVKIPLLEEFGVRLVSYDLPGFGESDPHPSRNLNSSAFDMLQLADAVGVDDKFWVLGYSGGSIHAWAALRYVPHRIAGAAVVAPMINPYEPSMTKEEMRSIWGESLPRRKLMYYLARRLPKLLSFFYRRSFLSGKHGRVEKLMSVSLGRRDEILTEGATFEDFWHRDVEESVRQGNTKPFIEEAILQVSNWGFSLADLQVQRKCYRNGIFPWLKSLYSLAECELAGFLGPIHIWQGMDDQAVPQGMIDHVSRVLPGATMHKLPNEGHFSFFFFCDECHRQIFSTLFGSPQGPLEQATNGGEILSEGDMEEQSLATDSTNK >KJB36641 pep chromosome:Graimondii2_0_v6:6:42815882:42817468:1 gene:B456_006G168300 transcript:KJB36641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVRGANTWREELASLVEDTGILYTGEAATFSSLPPFNPEKSTAMAAAVSETDHETEPPETLKEQVTEFLKSWCEMVLELGRGCRDILQQTVVTEDSFVVQKLGGPVARVSSRLRFLNEFLPEDRDPVHAWPVIFFVFILALSALNLNGGHDGLAPAVKKVLVHPPSASRIQLPDGRHLAYREIGVPADKARFSLMAPHSFLSSRLAGIPGVKIPLLEEFGVRLVSYDLPGFGESDPHPSRNLNSSAFDMLQLADAVGVDDKFWVLGYSGGSIHAWAALRYVPHRIAGAAVVAPMINPYEPSMTKEEMRSIWGESLPRRKLMYYLARRLPKLLSFFYRRSFLSGKHGRVEKLMSVSLGRRVSQLSSFCVNLKNFLCLNCHISSFLGFS >KJB36640 pep chromosome:Graimondii2_0_v6:6:42815396:42819409:1 gene:B456_006G168300 transcript:KJB36640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVRGANTWREELASLVEDTGILYTGEAATFSSLPPFNPEKSTAMAAAVSETDHETEPPETLKEQVTEFLKSWCEMVLELGRGCRDILQQTVVTEDSFVVQKLGGPVARVSSRLRFLNEFLPEDRDPVHAWPVIFFVFILALSALNLNGGHDGLAPAVKKVLVHPPSASRIQLPDGRHLAYREIGVPADKARFSLMAPHSFLSSRLAGIPGVKIPLLEEFGVRLVSYDLPGFGESDPHPSRNLNSSAFDMLQLADAVGVDDKFWVLGYSGGSIHAWAALRYVPHRIAGAAVVAPMINPYEPSMTKEEMRSIWGESLPRRKLMYYLARRLPKLLSFFYRRSFLSGKHGRVEKLMSVSLGRRDEILTEGATFEDFWHRDVEESVRQGNTKPFIEEAILQVSNWGFSLADLQVQRKCYRNGIFPWLKSLYSLAECELAGFLGPIHIWGWMIKLFHKE >KJB34802 pep chromosome:Graimondii2_0_v6:6:31836515:31845027:1 gene:B456_006G084500 transcript:KJB34802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWGMGSSSSSTFLLFLYSFLLSLATLTLSQLHDVYFERNCSDTNGNYTPNSAYQTNLNAIISQFPTLTHFNYGFFNLSAGESPNKVYSSALCRGDLTQDRCYSCLNYTATELERLCPWNKTAIAWSELCLVRYANRDMYGLLEDDPPRTCAFNEQNASNPVQFNQTLSDLLNELSAKAAAGGPLRKYAAGNASAGNLEMVYATVQCTPDMTQENCVTCLNFAMTELRLCCLGRKGCRVLRPTCVLRFESNLFYNEIAVPLPSPPPSPTTSPPPKAAAADNEISGVDSLQFDFDSVLVATDNFSDVKKLGQGGFGAVYKGQLPNGEEIAVKRLSQGSSQGDLEFKTEVQLVAKLQHRNLVRLFGFCLQGQERLLIYEFVPNASLDHFIFDRSRRAQLDWEARFKIIQGIVRGFLYLHEDSRLRIIHRDLKASNVLLDAEMVPKIADFGMARLFGRDETQRSTSRIVGTYGYMAPEYVINGQFSVKSDVFSFGVLLLEIISGQKNNSFHHGEDEEYILSFAWKSWREGTALNMVDPTLGDGSRNEIMRCIHIALLCVQQNVAARPTMASVALMLNSFSTTLAVPSQPAFLMQSNFESEGSLSFPSTSNKSSTELPPLSRNEVTISELSPR >KJB34801 pep chromosome:Graimondii2_0_v6:6:31836515:31845027:1 gene:B456_006G084500 transcript:KJB34801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWGMGSSSSSTFLLFLYSFLLSLATLTLSQLHDVYFERNCSDTNGNYTPNSAYQTNLNAIISQFPTLTHFNYGFFNLSAGESPNKVYSSALCRGDLTQDRCYSCLNYTATELERLCPWNKTAIAWSELCLVRYANRDMYGLLEDDPPRTCAFNEQNASNPVQFNQTLSDLLNELSAKAAAGGPLRKYAAGNASAGNLEMVYATVQCTPDMTQENCVTCLNFAMTELRLCCLGRKGCRVLRPTCVLRFESNLFYNEIAVPLPSPPPSPTTSPPPKENGNNTIRTVIIVIASVVGLLILIIISVCIFMRRRRNKHIPIKVETAAADNEISGVDSLQFDFDSVLVATDNFSDVKKLGQGGFGAVYKGQLPNGEEIAVKRLSQGSSQGDLEFKTEVQLVAKLQHRNLVRLFGFCLQGQERLLIYEFVPNASLDHFIFDRSRRAQLDWEARFKIIQGIVRGFLYLHEDSRLRIIHRDLKASNVLLDAEMVPKIADFGMARLFGRDETQRSTSRIVGTYGYMAPEYVINGQFSVKSDVFSFGVLLLEIISGQKNNSFHHGEDEEYILSFAWKSWREGTALNMVDPTLGDGSRNEIMRCIHIALLCVQQNVAARPTMASVALMLNSFSTTLAVPSQPAFLMQSNFESEGSLSFPSTSNKSSTELPPLSRNEVTISELSPR >KJB38803 pep chromosome:Graimondii2_0_v6:6:50914195:50915064:-1 gene:B456_006G272900 transcript:KJB38803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIQPSDAQLNGVVAPLVVASSDTVGPKRQRRPSVRLGDIGGDQPHDSHLRRPSSSSAVTKPWKHQPHSAAAVTSKSSKTRALTNLTTDDDETEADHNNTNLDGAAIASWRVKDFNKRGSATKRVRSNWVPKLDDFSGGNVIINGNSTNTTNNNNLETDDRYSGDQDNDDFDMENSESPMKEHSPVHSLDNMANERELLYQRRPIRTRVSDRADLSDSDIRPTEEDGVRIWLNSLGLGRYAPVFEIHEVDDEVLPLLTLEDLKDMGINAVGSRRKIFCAIQKLGEGFP >KJB38802 pep chromosome:Graimondii2_0_v6:6:50913124:50915294:-1 gene:B456_006G272900 transcript:KJB38802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIQPSDAQLNGVVAPLVVASSDTVGPKRQRRPSVRLGDIGGDQPHDSHLRRPSSSSAVTKPWKHQPHSAAAVTSKSSKTRALTNLTTDDDETEADHNNTNLDGAAIASWRVKDFNKRGSATKRVRSNWVPKLDDFSGGNVIINGNSTNTTNNNNLETDDRYSGDQDNDDFDMENSESPMKEHSPVHSLDNMANERELLYQRRPIRTRVSDRADLSDSDIRPTEEDGVRIWLNSLGLGRYAPVFEIHEVDDEVLPLLTLEDLKDMGINAVGSRRKIFCAIQKLGEGFP >KJB37269 pep chromosome:Graimondii2_0_v6:6:45312516:45313872:1 gene:B456_006G196300 transcript:KJB37269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCRRAKVLISSLRTSFTSTPLLRSPFRDSSSIIFPNFGSSSISSTKFSGFSSYSSISQRLGISTRYHYNPFSNVSKRFYFVDRHQVHHFRPRGPRRWIQNPRNVLIVVLVGSGVLITVYFGNLETVPYTKRKHFVLMSKDMEKKLGETQFEQLKAQFKGKILPAIHPESVRVRLIAKDIIDSLQKGLSHDQIWSDLEYASPETSLKHDAMTTFSGREEELGINWSHQDEILDDKWVQQSRKESRDKGSKSKPTTTHLEGLNWEVLVINEPVVNAMCLPGGKIVVFTGLLKHFRTDSEIATILGHEVAHAVARHIAESITKNLWFGILQLILYQFIMPDLVNTMSTLFFRLPFSRRFVYIFYILFLEDRKDYIH >KJB37271 pep chromosome:Graimondii2_0_v6:6:45312516:45315292:1 gene:B456_006G196300 transcript:KJB37271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCRRAKVLISSLRTSFTSTPLLRSPFRDSSSIIFPNFGSSSISSTKFSGFSSYSSISQRLGISTRYHYNPFSNVSKRFYFVDRHQVHHFRPRGPRRWIQNPRNVLIVVLVGSGVLITVYFGNLETVPYTKRKHFVLMSKDMEKKLGETQFEQLKAQFKGKILPAIHPESVRVRLIAKDIIDSLQKGLSHDQIWSDLEYASPETSLKHDAMTTFSGREEELGINWSHQDEILDDKWVQQSRKESRDKGSKSKPTTTHLEGLNWEVLVINEPVVNAMCLPGGKIVVFTGLLKHFRTDSEIATILGHEVAHAVARHIAESITKNLWFGILQLILYQFIMPDLVNTMSTLFFRLPFSRRFGLYQKMVGPSPWNKKEESMY >KJB37268 pep chromosome:Graimondii2_0_v6:6:45312423:45315734:1 gene:B456_006G196300 transcript:KJB37268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCRRAKVLISSLRTSFTSTPLLRSPFRDSSSIIFPNFGSSSISSTKFSGFSSYSSISQRLGISTRYHYNPFSNVSKRFYFVDRHQVHHFRPRGPRRWIQNPRNVLIVVLVGSGVLITVYFGNLETVPYTKRKHFVLMSKDMEKKLGETQFEQLKAQFKGKILPAIHPESVRVRLIAKDIIDSLQKGLSHDQIWSDLEYASPETSLKHDAMTTFSGREEELGINWSHQDEILDDKWVQQSRKESRDKGSKSKPTTTHLEGLNWEVLVINEPVVNAMCLPGGKIVVFTGLLKHFRTDSEIATILGHEVAHAVARHIAESITKNLWFGILQLILYQFIMPDLVNTMSTLFFRLPFSRRMELEADYIGLLLLSSAGYDPRTAPKVYEKLGKVAKDSTLQDYLSTHPSGKKRAQLLAQAQVMEEALMIYREVSAGRGVEGFL >KJB37272 pep chromosome:Graimondii2_0_v6:6:45312516:45315292:1 gene:B456_006G196300 transcript:KJB37272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCRRAKVLISSLRTSFTSTPLLRSPFRDSSSIIFPNFGSSSISSTKFSGFSSYSSISQRLGISTRYHYNPFSNVSKRFYFVDRHQVHHFRPRGPRRWIQNPRNVLIVVLVGSGVLITVYFGNLETVPYTKRKHFVLMSKDMEKKLGETQFEQLKAQFKGKILPAIHPESVRVRLIAKDIIDSLQKGLSHDQIWSDLEYASPETSLKHDAMTTFSGREEELGINWSHQDEILDDKWVQQSRKESRDKGSKSKPTTTHLEGLNWEVLVINEPVVNAMCLPGGKIVVFTGLLKHFRTDSEIATILGHEDGT >KJB37270 pep chromosome:Graimondii2_0_v6:6:45312516:45315292:1 gene:B456_006G196300 transcript:KJB37270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCRRAKVLISSLRTSFTSTPLLRSPFRDSSSIIFPNFGSSSISSTKFSGFSSYSSISQRLGISTRYHYNPFSNVSKRFYFVDRHQVHHFRPRGPRRWIQNPRNVLIVVLVGSGVLITVYFGNLETVPYTKRKHFVLMSKDMEKKLGETQFEQLKAQFKGKILPAIHPESVRVRLIAKDIIDSLQKGLSHDQIWSDLEYASPETSLKHDAMTTFSGREEELGINWSHQDEILDDKWVQQSRKESRDKGSKSKPTTTHLEGLNWEVLVINEPVVNAMCLPGGKIVVFTGLLKHFRTDSEIATILGHEWLGI >KJB38245 pep chromosome:Graimondii2_0_v6:6:48935743:48939578:-1 gene:B456_006G243700 transcript:KJB38245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSRAALRASTPLPPDHHKRALIAAPKSVYQRIFRRSRTSLTVVRAVDGDNSYLGMWRKAVEREKKEAEFQKLTQNLAKTDDDSGGGNDEKEEVIEKKSKEFQKILETPKEERDQIQRMQVIDRAAAAIAAARSLIGNKDSSRKDDSNNKNRNSVRVQEDGKQGGSIFVTRPENSGNGTPGPDFWSWTPPSSNDQISDEVDGMQAARQTSEYPISSNPVLEKERSVGFLSIPFESKAYETTRNLPPFQSLLDVDKTKASEVDVEEISLKEERDLEVEFSAHAAEAADALHKAKELSSQGVNQDGTRWWIETGIEQRPDGVICRWTMIRGVSADQAVEWQEKYWEASDEFDYKELGSEKSGRDVFGNVWSEKWRESMLQDSGLVHLEKTADKWGKNANGEEWQEKWWEHYDASGKSEKWADKWCSIDPNTPLEAGHAHVWHERWGEQYDGYGGSVKYTDKWAERCEGDGWSKWGDKWDEHFDTHGRGVKQGETWWQGKHGDQWNRTWGEEHNGSGWVHKYGKSSSGEHWDTHVEQETWYERFPHYGFYHCFDNSVQLREVKKPSEMSE >KJB38246 pep chromosome:Graimondii2_0_v6:6:48935989:48939537:-1 gene:B456_006G243700 transcript:KJB38246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSRAALRASTPLPPDHHKRALIAAPKSVYQRIFRRSRTSLTVVRAVDGDNSYLGMWRKAVEREKKEAEFQKLTQNLAKTDDDSGGGNDEKEEVIEKKSKEFQKILETPKEERDQIQRMQVIDRAAAAIAAARSLIGNKDSSRKDDSNNKNRNSVRVQEDGKQGGSIFVTRPENSGNGTPGPDFWSWTPPSSNDQISDEVDGMQAARQTSEYPISSNPVLEKERSVGFLSIPFESKAYETTRNLPPFQSLLDVDKTKASEVDVEEISLKEERDLEVEFSAHAAEAADALHKAKELSSQGVNQDGTRWWIETGIEQRPDGVICRWTMIRGVSADQAVEWQEKYWEASDEFDYKELGSEKSGRDVFGNVWSEKWRESMLQDSGLVHLEKTADKWGKNANGEEWQEKWWEHYDASGKSEKWADKWCSIDPNTPLEAGHAHVWHERWGEQYDGYGGSVKYTDKWAERCEGDGWSKWGDKWDEHFDTHGRGVKQGETWWQGKHGDQWNRTWGEEHNGSGWVHKYGKSSSGEHWDTHVEQETWYERFPHYGFYHCFDNSVQLREVKKPSEMSE >KJB38244 pep chromosome:Graimondii2_0_v6:6:48935561:48939682:-1 gene:B456_006G243700 transcript:KJB38244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSRAALRASTPLPPDHHKRALIAAPKSVYQRIFRRSRTSLTVVRAVDGDNSYLGMWRKAVEREKKEAEFQKLTQNLAKTDDDSGGGNDEKEEVIEKKSKEFQKILETPKEERDQIQRMQVIDRAAAAIAAARSLIGNKDSSRKDDSNNKNRNSVRVQEDGKQGGSIFVTRPENSGNGTPGPDFWSWTPPSSNDQISDEVDGMQAARQTSEYPISSNPVLEKERSVGFLSIPFESKAYETTRNLPPFQSLLDVDKTKASEVDVEEISLKEERDLEVEFSAHAAEAADALHKAKELSSQGVNQDGTRWWIETGIEQRPDGVICRWTMIRGVSADQAVEWQEKYWEASDEFDYKELGSEKSGRDVFGNVWSEKWRESMLQDSGLVHLEKTADKWGKNANGEEWQEKWWEHYDASGKSEKWADKWCSIDPNTPLEAGHAHVWHERWGEQYDGYGGSVKYTDKWAERCEGDGWSKWGDKWDEHFDTHGRGVKQGETWWQGKHGDQWNRTWGEEHNGSGWVHKYGKSSSGEHWDTHVEQETWYERFPHYGFYHCFDNSVQLREVKKPSEMSE >KJB36748 pep chromosome:Graimondii2_0_v6:6:43313845:43316634:-1 gene:B456_006G174800 transcript:KJB36748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIESMDTRDEEAPLFVDVSGGETPKSRTRDVHILSCAFLLIFLAYGAAQNLETTINSEGNLGTVSLGILYVSFAFFSLFASLVVRVLGSKNAVVLGTTGYWLYIAANLKPTWYTMVPVSLYMGFAASIIWVGEGTYLTSIARSHAIDTGLHEGTVIGNFNGEFWAVFALHQFVGNLITLAVLPKGTEGSTGSTTLLFIVFLCSMTLGTILMCFICKTNDKEKVSEDSSVSFCSAVASRLNSVILPLLDMRMLLVIPLIAYSGLQQAFVWASYTKDIVNPTLGEAGVGGAMAVYGAFDAICSLAAGRLTSGLRSITVIVCGGAFFQALILLWLLLKYSATSGVLGVIYPLLMAAFLGIGDGVLNTQLSALLALLFKHDTVRTRNKKERLHS >KJB36750 pep chromosome:Graimondii2_0_v6:6:43313478:43317133:-1 gene:B456_006G174800 transcript:KJB36750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIESMDTRDEEAPLFVDVSGGETPKSRTRDVHILSCAFLLIFLAYGAAQNLETTINSEGNLGTVSLGILYVSFAFFSLFASLVVRVLGSKNAVVLGTTGYWLYIAANLKPTWYTMVPVSLYMGFAASIIWVGEGTYLTSIARSHAIDTGLHEGTVIGNFNGEFWAVFALHQFVGNLITLAVLPKGTEGSTGSTTLLFIVFLCSMTLGLQQAFVWASYTKDIVNPTLGEAGVGGAMAVYGAFDAICSLAAGRLTSGLRSITVIVCGGAFFQALILLWLLLKYSATSGVLGVIYPLLMAAFLGIGDGVLNTQLSALLALLFKHDTEGAFAQLKVWQSSAIAVVFFLSPVVTLQTMVEIMVGAISVSLVSFLFLTLKVEKAFSSHQVTNM >KJB36749 pep chromosome:Graimondii2_0_v6:6:43313478:43317133:-1 gene:B456_006G174800 transcript:KJB36749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIESMDTRDEEAPLFVDVSGGETPKSRTRDVHILSCAFLLIFLAYGAAQNLETTINSEGNLGTVSLGILYVSFAFFSLFASLVVRVLGSKNAVVLGTTGYWLYIAANLKPTWYTMVPVSLYMGFAASIIWVGEGTYLTSIARSHAIDTGLHEGTVIGNFNGEFWAVFALHQFVGNLITLAVLPKGTEGSTGSTTLLFIVFLCSMTLGTILMCFICKTNDKEKVSEDSSVSFCSAVASRLNSVILPLLDMRMLLVIPLIAYSGLQQAFVWASYTKDIVNPTLGEAGVGGAMAVYGAFDAICSLAAGRLTSGLRSITVIVCGGAFFQALILLWLLLKYSATSGVLGVIYPLLMAAFLGIGDGVLNTQLSALLALLFKHDTEGAFAQLKVWQSSAIAVVFFLSPVVTLQTMVEIMVGAISVSLVSFLFLTLKVEKAFSSHQVTNM >KJB36747 pep chromosome:Graimondii2_0_v6:6:43313672:43315894:-1 gene:B456_006G174800 transcript:KJB36747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGVSQGTYLTSIARSHAIDTGLHEGTVIGNFNGEFWAVFALHQFVGNLITLAVLPKGTEGSTGSTTLLFIVFLCSMTLGTILMCFICKTNDKEKVSEDSSVSFCSAVASRLNSVILPLLDMRMLLVIPLIAYSGLQQAFVWASYTKDIVNPTLGEAGVGGAMAVYGAFDAICSLAAGRLTSGLRSITVIVCGGAFFQALILLWLLLKYSATSGVLGVIYPLLMAAFLGIGDGVLNTQLSALLALLFKHDTEGAFAQLKVWQSSAIAVVFFLSPVVTLQTMVEIMVGAISVSLVSFLFLTLKVEKAFSSHQVTNM >KJB38759 pep chromosome:Graimondii2_0_v6:6:50791096:50793956:-1 gene:B456_006G270700 transcript:KJB38759 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDPK2 [Source:Projected from Arabidopsis thaliana (AT5G63310) UniProtKB/TrEMBL;Acc:A0A384KNJ7] METITLSTSLTTSSFGASVAATATAKRSSATCLSYTSHGNLNVNHLAAFHKQSHLFTKSPTRPFAFTKTRANKSTHGIFLPHLVASLEQVEQTYIMVKPDGVQRGLVGEIISRFERKGFKLTGLKLFQCHKELAEEHYKDLKTKSFYPTLIDYITSGPVVCMVWEGVGVVASARKLIGSTNPLQAEPGTIRGDLAVQTGRNVVHGSDSPENGKRETALWFKEGELCEWTPAQAPWLME >KJB38176 pep chromosome:Graimondii2_0_v6:6:48718455:48719704:1 gene:B456_006G240700 transcript:KJB38176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANIFILLLLGVAAQPLPPAPQQPGCVEELVAFSPCLPFVSDPPNNATDSVAPQCCDVFNSAFESGDGYCFCYILRQPRIFGFPLNRERVASLSSFCMAKNGVASLDSLCSSGAPALPPLPSTTDSGILKPFNSRLDNDSTSSPPESAVKSLTPPSSSVEEAVFSSATNQIYKHITWFLLGMVIFLLNLHSFLV >KJB35779 pep chromosome:Graimondii2_0_v6:6:38119986:38120423:-1 gene:B456_006G128100 transcript:KJB35779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTYKSTLDTEKLKVQFRQILAVGIVTQTGAYFFLASAVPRLGSSSPIATFATEHAAAAAAAKINSLLPLLPSCFIISKLALSPWSALVALATIAPLRAALEGSLVKLELELGRREAVMLIEAMSVCGNSKQGAQTLFRFARDR >KJB36557 pep chromosome:Graimondii2_0_v6:6:42493119:42494960:-1 gene:B456_006G165100 transcript:KJB36557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKNLVKIFEKSLPRYPSSPANKKTAEEIAEILIKSGLKPFKTNPSLISDLDSNTTDLVLSNPNLPIRSCILFFNFLRTNLSLTPQKPNLETHLSFIRRLHKAGNFEEIKQVLKFILSDDNLRYPVEEILSLFDFEFDNSYFLNKLSDLLFRVCVDNKRFEEANLVFDYMKKMGFNIDERSCILYLVALKKSDKGDSFFVFFRRMVKSGVEIGAYSMTIVIDGLCRRGEVEKGRELMNEMASKGVKANVVTYNTILNAYVKRKDFGVVSEVLMLMEREEVEYNAATYTVLIEHFGNIGKHDEVEKLFDEMRERKVEMDVHLYTSMIHWHCRRGSIKKAVSLFDELTEKGLVPNARVYGALIDGLCKTGQMEAAQLLLKDMQSQKIVVNQVIINTLLHGYCRKGMMDDALRLVAVMEKKGFKPDVFTYNIMASGMCRLKRYEEAKRWLFMMVENQLTPNAINFTTLIDIHCKEGNIVEAKRLFRQLQGKGESPNTVTYNALIDGYSKKGEMKDAYKLRDEMEAKGRIPDVYTYTSLVHGECSFGNIDEAMKLFNEMRQKGLVPNVVTYTAIISGLSKKGRSDEAFRLYKEMIGLGHVPDQRVYSSLVGSLHTT >KJB34724 pep chromosome:Graimondii2_0_v6:6:30671050:30672649:-1 gene:B456_006G080000 transcript:KJB34724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRRVGVAVDFSPSSKNALKWAVDNIMRKGDYLILVAVQPEGHYEEGEMQLWAISGGSPLIPLSEFSDPATMKKYGVKPDPETLDIANTAAKQKEVMVVMKIYWGDPREKLCEAIDSIPLSCMVVGNRGLGTLKRAIMGSVSNYVVNNGSCPVTVVKHHGHD >KJB34725 pep chromosome:Graimondii2_0_v6:6:30671128:30672569:-1 gene:B456_006G080000 transcript:KJB34725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRRVGVAVDFSPSSKNALKWAVDNIMRKGDYLILVAVQPEGHYEEGEMQLWAISGGSPLIPLSEFSDPATMKKYGVKPDPETLDIANTAAKQKEVMVVMKIYWGDPREKLCEAIDSIPLSCMVVGNRGLGTLKR >KJB34175 pep chromosome:Graimondii2_0_v6:6:18605442:18610460:-1 gene:B456_006G051900 transcript:KJB34175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIFGRIFLGFGVGFANQAVPLFLSEIAPPNLRGALNISFQLFITIGILVSNLINYFTTNVHPHGWRISLGIAGVPALMLCLGSILICETPTSLIERHKVEEGRKVLRKIRGVENVDDEFDSIIHACEMAKQVKDPFRKLMKPVSRPQLVISICLQIFQQFTGINAIMFYAPVLFQTVGFGNDAALLSSVITGLVNVFSTVVSIYVVDRAGRRILLLEACVQMFISQVIIGIILFKELKTTGDNLSKGEAIFVVISVCTFVMGFAWSWGPLGWLIPSEIFPLETRSAGFAFAVSTNMLFTFIIGQAFLSMLCQMQAGIFFFFAAWVIIMGAFTWFLVPETKGVPVDAMVDKVWKQHWFWRSFMIEDDRPDVKVV >KJB34174 pep chromosome:Graimondii2_0_v6:6:18605619:18606593:-1 gene:B456_006G051900 transcript:KJB34174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLGSILICETPTSLIERHKVEEGRKVLRKIRGVENVDDEFDSIIHACEMAKQVKDPFRKLMKPVSRPQLVISICLQIFQQFTGINAIMFYAPVLFQTVGFGNDAALLSSVITGLVNVFSTVVSIYVVDRAGRRILLLEACVQMFISQVIIGIILFKELKTTGDNLSKGEAIFVVISVCTFVMGFAWSWGPLGWLIPSEIFPLETRSAGFAFAVSTNMLFTFIIGQAFLSMLCQMQAGIFFFFAAWVIIMGAFTWFLVPETKGVPVDAMVDKVWKQHWFWRSFMIEDDRPDVKVV >KJB34173 pep chromosome:Graimondii2_0_v6:6:18605442:18607447:-1 gene:B456_006G051900 transcript:KJB34173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVVVSGSGENQEFEGRITVYVIVCVIIAAFGGLMFGYDIGISGGVTSMDDFLKKFFPAVFEKKHHALENNYCKYDNQFLQLFTSCLYLAALIASFVASKVCSKYGRKLSMQIASIFFIIGVILTAGGINIEMIIFGRIFLGFGVGFANQAVPLFLSEIAPPNLRGALNISFQLFITIGILVSNLINYFTTNVHPHGWRISLGIAGVPALMLCLGSILICETPTSLIERHKVEEGRKVLRKIRGVENVDDEFDSIIHACEMAKQVKDPFRKLMKPVSRPQLVISICLQIFQQFTGINAIMFYAPVLFQTVGFGNDAALLSSVITGLVNVFSTVVSIYVVDRAGRRILLLEACVQMFISQVIIGIILFKELKTTGDNLSKGEAIFVVISVCTFVMGFAWSWGPLGWLIPSEIFPLETRSAGFAFAVSTNMLFTFIIGQAFLSMLCQMQAGIFFFFAAWVIIMGAFTWFLVPETKGVPVDAMVDKVWKQHWFWRSFMIEDDRPDVKVV >KJB35986 pep chromosome:Graimondii2_0_v6:6:39153929:39155766:1 gene:B456_006G135600 transcript:KJB35986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYKVANASEYLVITGVGIQDIKLAKKAWILPGQSYTVFDLSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDTPSLLKYAKLISPHDKLSNHVKELVQGIIEGETRVLAASMTMEEIFKGTKEFKLEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEARMKGEIGSKLREGQTLQNAARIDAETKIISTQRQGEGKKQEIKVKTEVKVYENQREAEIAEANADLAKKKASWAKEAQVAEVESAKAVALREAELQQEVERMNALTRTEKLKAEFLSQASVEYDTKVQEANWELYQKQKQAEAILYEKEKEAAGQKAIADATFYGRQRVADVELYAKQKEAEGLMALAQAQGVYLRTLLEALGGNYAALRDYLMINGGMFQEIAKINAEAVRGLQPKISIWTNGGGEAIDGNGSNAMKEVAGVYRMLPPLFKTVHEQTGMLPPPWMGTLPDPKKATTD >KJB34560 pep chromosome:Graimondii2_0_v6:6:29058061:29060279:-1 gene:B456_006G072500 transcript:KJB34560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLLKSLKYFTHMFVDKKPEMQIGPPTDVKHVAHIGMDGPSATKPSWMNEFTSAPKFSADALNGNLEVNPSASENPNSLPPNGNEKQRKKHRKPAVETDSPKGSPKASKKSKQNRLANNTTAECPGQEVQRTKNSIRDSESSCQDATEVPKKPRKKKSRGSSGGSGTSSPTSTSKAPNLLPSVNEVEC >KJB36776 pep chromosome:Graimondii2_0_v6:6:43446936:43449512:-1 gene:B456_006G176400 transcript:KJB36776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAHPHRKHRNHHHHPTPTPPYYISPQPYVPSYMPQPHMPHSLPYLNVDSSLRALAGQAEGFGRFAIGGLHGPIYHVTTLSDDGPGSLRDGCRRKEPLWIVFEVSGTIHLSSTLSVSSYKTIDGRGQRIKLTGKGLRLKECEHVIVCNLEFEGGRGPDVDGIQIKPNSKHIWIDRCSLHDYDDGLIDITRGSTDITVSRCHFAQHDKTMLIGADPSHVGDRCIRVTIHHCFFDGTRQRHPRVRYGKVHLYNNYTRNWGIYAVCASVESQIYSQCNIYEAGQKKVAFKYLHEKAADKDEACSGCIRSEGDLFMTGTQAGLLTENVMSNMFHPSEYYPTWTVEPPSEALKHIVQQFTGWQSVPRPAEASS >KJB37086 pep chromosome:Graimondii2_0_v6:6:44561447:44611905:1 gene:B456_006G189100 transcript:KJB37086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/Threonine-kinase ATM-like protein [Source:Projected from Arabidopsis thaliana (AT3G48190) UniProtKB/TrEMBL;Acc:A0A1I9LQ79] MVTSSDVQEIVSKLSSDKAKAREDGIKLLSMWLEGERSIGFCKVIGQHTAKLKPNEIPQSETWPFLIKLLTQCVSLEISTSKRRPPKLIFAKTLRTAIQRAEDTKFPGNVYPLLSVVKTLFSHILDVINNVPSFQSEYGIILRHLLLVKEYRFHMRKNIYSGLMVFYLEKVATTLTEKNNTQYSQKEEIFRSILALHSLLENPAGDFPDHLREDIVKGFVKIFSYIRDEGKVSRKLIECINTYLLKDGPNLSCQSLEIHNAVQQFVFRCWLMTHDKGLKDALVLYARLQLNLVRGVTEGSLLVEQLLDVISKELDQSNSSIPGTSWRDGTKDDKFGTLSSSQQHLVELAALVLYRACATTSRATSNEKRAKRESTAARLKEELVKGKWLWNVTFCYLIRNYYTRISKDLFIYWFEGICASFERILNDANVEHAYDGLLWTLRSLQELSLMELPSNAQVEISLRSSLISKEFDCGWQLIWSHLMHGLPTFSNVTPVVDAALALLGSIISNDLSNTCVVPHDAWDLRLFKGMPSLCTLYFIACYFSRKGSQGDLRDILHLRKYLLRATLGSLSWNEPSLLNERLVLLLPAAVYALCAGCEPFTQCYKEIHLLQSFVDVIEVADDWIKADEYDHEKQLENFECSIEVLANIDLDSIIQVSSSHFHQSLRLPRQLRESLMQEMESCILGLLADLKFEKKPLSDIFFICALLCNFIYGLYFTRNREEVSSFLSKLGQILLELLNYAVNVIQENKNDSRSLGFLGFTSSFNQKSAIVVSFKTFVLGPLFTQRRDDDALDVVLYDAVKQSLQKLLKAFTELYDEYTESITNLRSELLASDSSGSDSSIRISNHADSNKSRIMDMELDVDEDGKDMTIQTSSGKTPSGGSFSAVKWKLGMVSLISSFFLVLHDKTWDVLSNLLGKELDFKVYEVILCNLCRRLPSLYSSKLTDLVNLIDNRIGVQVSQKLDCFNILAAIGYLLDTLLSLDVEKDKHGVVALEEREAKQSLVCLGELVGKVAEFDLLNWFGRVKLIDCICNFVLLSPEVGQTMIGKLLSMLHDPDYRVRFILSRRIGVLFQTWDGHGELFHDICSNFGIELIFYSKEKLVTAREVLAAGPQPRQKVETVIITLMQLALHSENIELEAVFMMCAVSSIDPCQRELVNAALDNLARKLKYMSRMKYLEELIGSILFCWVACGVSIAALVEIRQLFVLDAEPSYFLQYCFHWLLPALFIHEDNSNLNWISKIAGQPLTVVVKDHFVPIFSVCMTLHCSKSSGFEKGAMVLQNSILHFAEISESERDKLIKKNMVSIVSHILSLASCASDPIIPFFSRDTIARAIQTVVDGFLEMDDHCASISVVDKINIFRPDRVFMFIVEMHYKIAAAIHHRHRCHRLASIEVLITVLGHRAALSSTSNYLFNLIGQYIGCHALQDQCCCIISSLLKTFKSNPSKEIVGVLGEQLQFLVSKLVACCIPLEAEGQRSATVSSLFLSLLLELTVESDPSLYDYIRELEPFPEVDIFERIRNFHHDLCRAYSPRDHLLKVLQPSTKITFMEKPINTKSLPVHYEVIFYKVIVFKMLKVLHSIFRLGSAEISDMLLLLRCFMFLGPCSLQALHKKLLAGETFQGGKTTKEFVDDTYWHGDGEIVHAVWTLVRMCASDDSSRIRGLVSDLISRVGIGDPYSVVFHLPGDSNHMHVHGPISHNGASEIMGSSVSEELLIALLKVLKKYLMDDSVKIVDITSQTLRGILSTERGQKAILSFDSYERSLIEVHSKGINSELVEKLLMDLEKKFKAEDIFLEKSTTWVTHGKTFEMWICPVVYSLVGCCSDVILRLCQDVVLLKPEVAELLLPSVVVNLAGRKDIGVNIQSLISDQVQEHIFVKSNKLIKSIQVWLNALNELRICYVLERSSGPLRKENSKHCRPSNFSSRSHSSMKPRDSVATLSAVTMSTSSWAKVYWLSIDYLIVARSAITCGSYFTSMMYVEYWCEEHFHGLTLGNPDFSNLEMLPQHIEILISAITQINEPDSLYGIIQSHTLTSQIITFEHEGNWNKALEYYDLQVRSEATAHMDGSSRTLSLTETQSLSQPHLSTSDDEAKWKPYKGLIRSLQQIGCRHMLDLYCQGLTSGKGQFQQDLEFTELQYEAAWRAGNWDFSLLSAGPIPQSSEQHTKTHHFNENLHCCLRALQEGDSDEFQRKLKHSKEELVWSVSCASEESTEFIYSTIIKFQILYHLGIAWEMRWPTSSFDRIELQKHKQKMFSDPVIPTMDQLLWLNKDWSSMLTKSQLHMSLLEPFIAFRRVLLQILNCHDCTMQHLLQSASTLRKGSRFSQAAAALHEFKFLCVRTGEQGSSAYWLGRLEEAKLLRAQGQREMAIGLGKYILQTNRLDEEASDVYRLVGKWLAETRSSNSRTIFEKYLKPAVSLAEGDKTADKKSAERKSQTHFRLAHYADALFRSYEERLNSNEWQAAMRLRKHKTLELEALMRRLKGSTKGDKIDYSMKIQELQKQLAMDKEEAQKLQDDRDNFLNLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSYRQDVVNNLLKTIDEVQTYKFVPLVYQIASRMGNIRDGIVPNNFQFALVSLLKKMAIDHPYHTIFQLLGLANGDRIKDKQRSRNSFVVDLDKKLAAENLLEELSAYHGPVIRQMKQMVEIYIKLAELETKIEDTSRKVPLPREIRSVRQLELVPVVTASFPVDRSCRYCEGSFPYFRGLADSVMVMNGVNAPKVVECLGSDGHKYKQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLVIRTYKVVPFTPSAGVIEWVDGTLPLGEYLIGSNRNGGAHGRYGIGDWSFLKCRVHMSNEKDKRKAFQEVCENFRPVMHYFFLERFLQPADWFEKRLAYTRSVAATSMVGYIVGLGDRHTMNLLIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVAGVEGVFRKCCEETLSVMRTNKEALMTIIEVFIHDPLYKWALSPLKALQRQKETDYDLDTSIEGSQDDYEGNKDAARALLRVKQKLDGYEEGEMRSVHGQVQQLIQDAIDPERLCQMFPGWGAWM >KJB37085 pep chromosome:Graimondii2_0_v6:6:44561447:44611867:1 gene:B456_006G189100 transcript:KJB37085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/Threonine-kinase ATM-like protein [Source:Projected from Arabidopsis thaliana (AT3G48190) UniProtKB/TrEMBL;Acc:A0A1I9LQ79] MVTSSDVQEIVSKLSSDKAKAREDGIKLLSMWLEGERSIGFCKVIGQHTAKLKPNEIPQSETWPFLIKLLTQCVSLEISTSKRRPPKLIFAKTLRTAIQRAEDTKFPGNVYPLLSVVKTLFSHILDVINNVPSFQSEYGIILRHLLLVKEYRFHMRKNIYSGLMVFYLEKVATTLTEKNNTQYSQKEEIFRSILALHSLLENPAGDFPDHLREDIVKGFVKIFSYIRDEGKVSRKLIECINTYLLKDGPNLSCQSLEIHNAVQQFVFRCWLMTHDKGLKDALVLYARLQLNLVRGVTEGSLLVEQLLDVISKELDQSNSSIPGTSWRDGTKDDKFGTLSSSQQHLVELAALVLYRACATTSRATSNEKRAKRESTAARLKEELVKGKWLWNVTFCYLIRNYYTRISKDLFIYWFEGICASFERILNDANVEHAYDGLLWTLRSLQELSLMELPSNAQVEISLRSSLISKEFDCGWQLIWSHLMHGLPTFSNVTPVVDAALALLGSIISNDLSNTCVVPHDAWDLRLFKGMPSLCTLYFIACYFSRKGSQGDLRDILHLRKYLLRATLGSLSWNEPSLLNERLVLLLPAAVYALCAGCEPFTQCYKEIHLLQSFVDVIEVADDWIKADEYDHEKQLENFECSIEVLANIDLDSIIQVSSSHFHQSLRLPRQLRESLMQEMESCILGLLADLKFEKKPLSDIFFICALLCNFIYGLYFTRNREEVSSFLSKLGQILLELLNYAVNVIQENKNDSRSLGFLGFTSSFNQKSAIVVSFKTFVLGPLFTQRRDDDALDVVLYDAVKQSLQKLLKAFTELYDEYTESITNLRSELLASDSSGSDSSIRISNHADSNKSRIMDMELDVDEDGKDMTIQTSSGKTPSGGSFSAVKWKLGMVSLISSFFLVLHDKTWDVLSNLLGKELDFKVYEVILCNLCRRLPSLYSSKLTDLVNLIDNRIGVQVSQKLDCFNILAAIGYLLDTLLSLDVEKDKHGVVALEEREAKQSLVCLGELVGKVAEFDLLNWFGRVKLIDCICNFVLLSPEVGQTMIGKLLSMLHDPDYRVRFILSRRIGVLFQTWDGHGELFHDICSNFGIELIFYSKEKLVTAREVLAAGPQPRQKVETVIITLMQLALHSENIELEAVFMMCAVSSIDPCQRELVNAALDNLARKLKYMSRMKYLEELIGSILFCWVACGVSIAALVEIAGQPLTVVVKDHFVPIFSVCMTLHCSKSSGFEKGAMVLQNSILHFAEISESERDKLIKKNMVSIVSHILSLASCASDPIIPFFSRDTIARAIQTVVDGFLEMDDHCASISVVDKINIFRPDRVFMFIVEMHYKIAAAIHHRHRCHRLASIEVLITVLGHRAALSSTSNYLFNLIGQYIGCHALQDQCCCIISSLLKTFKSNPSKEIVGVLGEQLQFLVSKLVACCIPLEAEGQRSATVSSLFLSLLLELTVESDPSLYDYIRELEPFPEVDIFERIRNFHHDLCRAYSPRDHLLKIVKRSCNLPPRLLSWSLQALHKKLLAGETFQGGKTTKEFVDDTYWHGDGEIVHAVWTLVRMCASDDSSRIRGLVSDLISRVGIGDPYSVVFHLPGDSNHMHVHGPISHNGASEIMGSSVSEELLIALLKVLKKYLMDDSVKIVDITSQTLRGILSTERGQKAILSFDSYERSLIEVHSKGINSELVEKLLMDLEKKFKAEDIFLEKSTTWVTHGKTFEMWICPVVYSLVGCCSDVILRLCQDVVLLKPEVAELLLPSVVVNLAGRKDIGVNIQSLISDQVQEHIFVKSNKLIKSIQVWLNALNELRICYVLERSSGPLRKENSKHCRPSNFSSRSHSSMKPRDSVATLSAVTMSTSSWAKVYWLSIDYLIVARSAITCGSYFTSMMYVEYWCEEHFHGLTLGNPDFSNLEMLPQHIEILISAITQINEPDSLYGIIQSHTLTSQIITFEHEGNWNKALEYYDLQVRSEATAHMDGSSRTLSLTETQSLSQPHLSTSDDEAKWKPYKGLIRSLQQIGCRHMLDLYCQGLTSGKGQFQQDLEFTELQYEAAWRAGNWDFSLLSAGPIPQSSEQHTKTHHFNENLHCCLRALQEGDSDEFQRKLKHSKEELVWSVSCASEESTEFIYSTIIKFQILYHLGIAWEMRWPTSSFDRIELQKHKQKMFSDPVIPTMDQLLWLNKDWSSMLTKSQLHMSLLEPFIAFRRVLLQILNCHDCTMQHLLQSASTLRKGSRFSQAAAALHEFKFLCVRTGEQGSSAYWLGRLEEAKLLRAQGQREMAIGLGKYILQTNRLDEEASDVYRLVGKWLAETRSSNSRTIFEKYLKPAVSLAEGDKTADKKSAERKSQTHFRLAHYADALFRSYEERLNSNEWQAAMRLRKHKTLELEALMRRLKGSTKGDKIDYSMKIQELQKQLAMDKEEAQKLQDDRDNFLNLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSYRQDVVNNLLKTIDEVQTYKFVPLVYQIASRMGNIRDGIVPNNFQFALVSLLKKMAIDHPYHTIFQLLGLANGDRIKDKQRSRNSFVVDLDKKLAAENLLEELSAYHGPVIRQMKQMVEIYIKLAELETKIEDTSRKVPLPREIRSVRQLELVPVVTASFPVDRSCRYCEGSFPYFRGLADSVMVMNGVNAPKVVECLGSDGHKYKQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLVIRTYKVVPFTPSAGVIEWVDGTLPLGEYLIGSNRNGGAHGRYGIGDWSFLKCRVHMSNEKDKRKAFQEVCENFRPVMHYFFLERFLQPADWFEKRLAYTRSVAATSMVGYIVGLGDRHTMNLLIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVAGVEGVFRKCCEETLSVMRTNKEALMTIIEVFIHDPLYKWALSPLKALQRQKETDYDLDTSIEGSQDDYEGNKDAARALLRVKQKLDGYEEGEMRSVHGQVQQLIQDAIDPERLCQMFPGWGAWM >KJB37087 pep chromosome:Graimondii2_0_v6:6:44561495:44607876:1 gene:B456_006G189100 transcript:KJB37087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/Threonine-kinase ATM-like protein [Source:Projected from Arabidopsis thaliana (AT3G48190) UniProtKB/TrEMBL;Acc:A0A1I9LQ79] MVTSSDVQEIVSKLSSDKAKAREDGIKLLSMWLEGERSIGFCKVIGQHTAKLKPNEIPQSETWPFLIKLLTQCVSLEISTSKRRPPKLIFAKTLRTAIQRAEDTKFPGNVYPLLSVVKTLFSHILDVINNVPSFQSEYGIILRHLLLVKEYRFHMRKNIYSGLMVFYLEKVATTLTEKNNTQYSQKEEIFRSILALHSLLENPAGDFPDHLREDIVKGFVKIFSYIRDEGKVSRKLIECINTYLLKDGPNLSCQSLEIHNAVQQFVFRCWLMTHDKGLKDALVLYARLQLNLVRGVTEGSLLVEQLLDVISKELDQSNSSIPGTSWRDGTKDDKFGTLSSSQQHLVELAALVLYRACATTSRATSNEKRAKRESTAARLKEELVKGKWLWNVTFCYLIRNYYTRISKDLFIYWFEGICASFERILNDANVEHAYDGLLWTLRSLQELSLMELPSNAQVEISLRSSLISKEFDCGWQLIWSHLMHGLPTFSNVTPVVDAALALLGSIISNDLSNTCVVPHDAWDLRLFKGMPSLCTLYFIACYFSRKGSQGDLRDILHLRKYLLRATLGSLSWNEPSLLNERLVLLLPAAVYALCAGCEPFTQCYKEIHLLQSFVDVIEVADDWIKADEYDHEKQLENFECSIEVLANIDLDSIIQVSSSHFHQSLRLPRQLRESLMQEMESCILGLLADLKFEKKPLSDIFFICALLCNFIYGLYFTRNREEVSSFLSKLGQILLELLNYAVNVIQENKNDSRSLGFLGFTSSFNQKSAIVVSFKTFVLGPLFTQRRDDDALDVVLYDAVKQSLQKLLKAFTELYDEYTESITNLRSELLASDSSGSDSSIRISNHADSNKSRIMDMELDVDEDGKDMTIQTSSGKTPSGGSFSAVKWKLGMVSLISSFFLVLHDKTWDVLSNLLGKELDFKVYEVILCNLCRRLPSLYSSKLTDLVNLIDNRIGVQVSQKLDCFNILAAIGYLLDTLLSLDVEKDKHGVVALEEREAKQSLVCLGELVGKVAEFDLLNWFGRVKLIDCICNFVLLSPEVGQTMIGKLLSMLHDPDYRVRFILSRRIGVLFQTWDGHGELFHDICSNFGIELIFYSKEKLVTAREVLAAGPQPRQKVETVIITLMQLALHSENIELEAVFMMCAVSSIDPCQRELVNAALDNLARKLKYMSRMKYLEELIGSILFCWVACGVSIAALVEIRQLFVLDAEPSYFLQYCFHWLLPALFIHEDNSNLNWISKIAGQPLTVVVKDHFVPIFSVCMTLHCSKSSGFEKGAMVLQNSILHFAEISESERDKLIKKNMVSIVSHILSLASCASDPIIPFFSRDTIARAIQTVVDGFLEMDDHCASISVVDKINIFRPDRVFMFIVEMHYKIAAAIHHRHRCHRLASIEVLITVLGHRAALSSTSNYLFNLIGQYIGCHALQDQCCCIISSLLKTFKSNPSKEIVGVLGEQLQFLVSKLVACCIPLEAEGQRSATVSSLFLSLLLELTVESDPSLYDYIRELEPFPEVDIFERIRNFHHDLCRAYSPRDHLLKVLQPSTKITFMEKPINTKSLPVHYEVIFYKVIVFKMLKVLHSIFRLGSAEISDMLLLLRCFMFLGPCSLQALHKKLLAGETFQGGKTTKEFVDDTYWHGDGEIVHAVWTLVRMCASDDSSRIRGLVSDLISRVGIGDPYSVVFHLPGDSNHMHVHGPISHNGASEIMGSSVSEELLIALLKVLKKYLMDDSVKIVDITSQTLRGILSTERGQKAILSFDSYERSLIEVHSKGINSELVEKLLMDLEKKFKAEDIFLEKSTTWVTHGKTFEMWICPVVYSLVGCCSDVILRLCQDVVLLKPEVAELLLPSVVVNLAGRKDIGVNIQSLISDQVQEHIFVKSNKLIKSIQVWLNALNELRICYVLERSSGPLRKENSKHCRPSNFSSRSHSSMKPRDSVATLSAVTMSTSSWAKVYWLSIDYLIVARSAITCGSYFTSMMYVEYWCEEHFHGLTLGNPDFSNLEMLPQHIEILISAITQINEPDSLYGIIQSHTLTSQIITFEHEGNWNKALEYYDLQVRSEATAHMDGSSRTLSLTETQSLSQPHLSTSDDEAKWKPYKGLIRSLQQIGCRHMLDLYCQGLTSGKGQFQQDLEFTELQYEAAWRAGNWDFSLLSAGPIPQSSEQHTKTHHFNENLHCCLRALQEGDSDEFQRKLKHSKEELVWSVSCASEESTEFIYSTIIKFQILYHLGIAWEMRWPTSSFDRIELQKHKQKMFSDPVIPTMDQLLWLNKDWSSMLTKSQLHMSLLEPFIAFRRVLLQILNCHDCTMQHLLQSASTLRKGSRFSQAAAALHEFKFLCVRTGEQGSSAYWLGRLEEAKLLRAQGQREMAIGLGKYILQTNRLDEEASDVYRLVGKWLAETRSSNSRTIFEKYLKPAVSLAEGDKTADKKSAERKSQTHFRLAHYADALFRSYEERLNSNEWQAAMRLRKHKVTLELEALMRRLKGSTKGDKIDYSMKIQELQKQLAMDKEEAQKLQDDRDNFLNLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSYRQDVVNNLLKTIDEVQTYKFVPLVYQIASRMGNIRDGIVPNNFQFALVSLLKKMAIDHPYHTIFQLLGLANGDRIKDKQRSRNSFVVDLDKKLAAENLLEELSAYHGPVIRQMKQMVEIYIKLAELETKIEDTSRKVPLPREIRSVRQLELVPVVTASFPVDRSCRYCEGSFPYFRGLADSVMVMNGVNAPKVVECLGSDGHKYKQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLVIRTYKVVPFTPSAGVIEWVDGTLPLGEYLIGSNRNGGAHGRYGIGDWSFLKCRVHMSNASFFPFYCFIKNSSFLLL >KJB37088 pep chromosome:Graimondii2_0_v6:6:44561495:44611632:1 gene:B456_006G189100 transcript:KJB37088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/Threonine-kinase ATM-like protein [Source:Projected from Arabidopsis thaliana (AT3G48190) UniProtKB/TrEMBL;Acc:A0A1I9LQ79] MVTSSDVQEIVSKLSSDKAKAREDGIKLLSMWLEGERSIGFCKVIGQHTAKLKPNEIPQSETWPFLIKLLTQCVSLEISTSKRRPPKLIFAKTLRTAIQRAEDTKFPGNVYPLLSVVKTLFSHILDVINNVPSFQSEYGIILRHLLLVKEYRFHMRKNIYSGLMVFYLEKVATTLTEKNNTQYSQKEEIFRSILALHSLLENPAGDFPDHLREDIVKGFVKIFSYIRDEGKVSRKLIECINTYLLKDGPNLSCQSLEIHNAVQQFVFRCWLMTHDKGLKDALVLYARLQLNLVRGVTEGSLLVEQLLDVISKELDQSNSSIPGTSWRDGTKDDKFGTLSSSQQHLVELAALVLYRACATTSRATSNEKRAKRESTAARLKEELVKGKWLWNVTFCYLIRNYYTRISKDLFIYWFEGICASFERILNDANVEHAYDGLLWTLRSLQELSLMELPSNAQVEISLRSSLISKEFDCGWQLIWSHLMHGLPTFSNVTPVVDAALALLGSIISNDLSNTCVVPHDAWDLRLFKGMPSLCTLYFIACYFSRKGSQGDLRDILHLRKYLLRATLGSLSWNEPSLLNERLVLLLPAAVYALCAGCEPFTQCYKEIHLLQSFVDVIEVADDWIKADEYDHEKQLENFECSIEVLANIDLDSIIQVSSSHFHQSLRLPRQLRESLMQEMESCILGLLADLKFEKKPLSDIFFICALLCNFIYGLYFTRNREEVSSFLSKLGQILLELLNYAVNVIQENKNDSRSLGFLGFTSSFNQKSAIVVSFKTFVLGPLFTQRRDDDALDVVLYDAVKQSLQKLLKAFTELYDEYTESITNLRSELLASDSSGSDSSIRISNHADSNKSRIMDMELDVDEDGKDMTIQTSSGKTPSGGSFSAVKWKLGMVSLISSFFLVLHDKTWDVLSNLLGKELDFKVYEVILCNLCRRLPSLYSSKLTDLVNLIDNRIGVQVSQKLDCFNILAAIGYLLDTLLSLDVEKDKHGVVALEEREAKQSLVCLGELVGKVAEFDLLNWFGRVKLIDCICNFVLLSPEVGQTMIGKLLSMLHDPDYRVRFILSRRIGVLFQTWDGHGELFHDICSNFGIELIFYSKEKLVTAREVLAAGPQPRQKVETVIITLMQLALHSENIELEAVFMMCAVSSIDPCQRELVNAALDNLARKLKYMSRMKYLEELIGSILFCWVACGVSIAALVEIRQLFVLDAEPSYFLQYCFHWLLPALFIHEDNSNLNWISKIAGQPLTVVVKDHFVPIFSVCMTLHCSKSSGFEKGAMVLQNSILHFAEISESERDKLIKKNMVSIVSHILSLASCASDPIIPFFSRDTIARAIQTVVDGFLEMDDHCASISVVDKINIFRPDRVFMFIVEMHYKIAAAIHHRHRCHRLASIEVLITVLGHRAALSSTSNYLFNLIGQYIGCHALQDQCCCIISSLLKTFKSNPSKEIVGVLGEQLQFLVSKLVACCIPLEAEGQRSATVSSLFLSLLLELTVESDPSLYDYIRELEPFPEVDIFERIRNFHHDLCRAYSPRDHLLKVLQPSTKITFMEKPINTKSLPVHYEVIFYKVIVFKMLKVLHSIFRLGSAEISDMLLLLRCFMFLGPCSLQALHKKLLAGETFQGGKTTKEFVDDTYWHGDGEIVHAVWTLVRMCASDDSSRIRGLVSDLISRVGIGDPYSVVFHLPGDSNHMHVHGPISHNGASEIMGSSVSEELLIALLKVLKKYLMDDSVKIVDITSQTLRGILSTERGQKAILSFDSYERSLIEVHSKGINSELVEKLLMDLEKKFKAEDIFLEKSTTWVTHGKTFEMWICPVVYSLVGCCSDVILRLCQDVVLLKPEVAELLLPSVVVNLAGRKDIGVNIQSLISDQVQEHIFVKSNKLIKSIQVWLNALNELRICYVLERSSGPLRKENSKHCRPSNFSSRSHSSMKPRDSVATLSAVTMSTSSWAKVYWLSIDYLIVARSAITCGSYFTSMMYVEYWCEEHFHGLTLGNPDFSNLEMLPQHIEILISAITQINEPDSLYGIIQSHTLTSQIITFEHEGNWNKALEYYDLQVRSEATAHMDGSSRTLSLTETQSLSQPHLSTSDDEAKWKPYKGLIRSLQQIGCRHMLDLYCQGLTSGKGQFQQDLEFTELQYEAAWRAGNWDFSLLSAGPIPQSSEQHTKTHHFNENLHCCLRALQEGDSDEFQRKLKHSKEELVWSVSCASEESTEFIYSTIIKFQILYHLGIAWEMRWPTSSFDRIELQKHKQKMFSDPVIPTMDQLLWLNKDWSSMLTKSQLHMSLLEPFIAFRRVLLQILNCHDCTMQHLLQSASTLRKGSRFSQAAAALHEFKFLCVRTGEQGSSAYWLGRLEEAKLLRAQGQREMAIGLGKYILQTNRLDEEASDVYRLVGKWLAETRSSNSRTIFEKYLKPAVSLAEGDKTADKKSAERKSQTHFRLAHYADALFRSYEERLNSNEWQAAMRLRKHKVTLELEALMRRLKGSTKGDKIDYSMKIQELQKQLAMDKEEAQKLQDDRDNFLNLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSYRQDVVNNLLKTIDEVQTYKFVPLVYQIASRMGNIRDGIVPNNFQFALVSLLKKMAIDHPYHTIFQLLGLANGDRIKDKQRSRNSFVVDLDKKLAAENLLEELSAYHGPVIRQMKQMVEIYIKLAELETKIEDTSRKVPLPREIRSVRQLELVPVVTASFPVDRSCRYCEGSFPYFRGLADSVMVMNGVNAPKVVECLGSDGHKYKQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLVIRTYKVVPFTPSAGVIEWVDGTLPLGEYLIGSNRNGGAHGRYGIGDWSFLKCRVHMSNEKDKRKAFQEVCENFRPVMHYFFLERFLQPADWFEKRLAYTRSVAATSMVGYIVGLGDRHTMNLLIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVAGVEGVFRKCCEETLSVMRTNKEALMTIIEVFIHDPLYKWALSPLKALQRQKETDYDLDTSIEGSQDDYEGNKDAARALLRVKQKLDGYEEGEMRSVHGQVQQLIQDAIDPERLCQMFPGWGAWM >KJB35666 pep chromosome:Graimondii2_0_v6:6:37456784:37458891:-1 gene:B456_006G123100 transcript:KJB35666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKVESLWEEVRELTLGTTVDRLQSPPTPLQFLRDFVSQNKPCIISNAIPHWPALSLWSTPSYLSTALSSSLVSLHLTPDGQADALVPHPSQSSLCFVSAHVQPTLFPQALDLIRRPPNHLVAYLQQQNDCFRTEYSELKSDCDAHIPWASEALGCLPEAVNLWIGNHLSQTSFHKDHYENLYAVVSGEKHFLLLPPTDVHRMYIKEYPAARYSYSQENGEFRLEFEEPERYVPWSSVDPCPARASLKQESLKFPLYFNGPKPFEVTVKAGEVLYLPSMWFHHVRQSPGDGGCTIAVNYWYDMQFDIKYAYFNFLQSLPCRSIDDQTIPEGDFEDDSGPHASSNHLNSRFSGDESATNNVEIHKDRDNVQVHERTFVLDSSMFV >KJB35667 pep chromosome:Graimondii2_0_v6:6:37456591:37458916:-1 gene:B456_006G123100 transcript:KJB35667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKVESLWEEVRELTLGTTVDRLQSPPTPLQFLRDFVSQNKPCIISNAIPHWPALSLWSTPSYLSTALSSSLVSLHLTPDGQADALVPHPSQSSLCFVSAHVQPTLFPQALDLIRRPPNHLVAYLQQQNDCFRTEYSELKSDCDAHIPWASEALGCLPEAVNLWIGNHLSQTSFHKDHYENLYAVVSGEKHFLLLPPTDVHRMYIKEYPAARYSYSQENGEFRLEFEEPERYVPWSSVDPCPARASLKQESLKFPLYFNGPKPFEVTVKAGEVLYLPSMWFHHVRQSPGDGGCTIAVNYWYDMQFDIKYAYFNFLQSLPCRSIDDQTIPEGDFEDDSGPHASSNHLNSRFSGDESATNNVEIHKDRDNVQVHERTFVLDSSMFV >KJB37232 pep chromosome:Graimondii2_0_v6:6:45193579:45194807:1 gene:B456_006G194800 transcript:KJB37232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDDNRGDKKRAREEFENFESEANSVPFLKLSRVHSSEFTRVESDLDYVDVQLPETKHIQEDLLNFLDDSDPDIGPGLGIQGLDSVLKSFEEEIVVPTQATLPVTSLETGNSRPDLGFLLEASDDELGLPPSFSSVEQGVDIEGSVELGADGIEEMMGYEYPIPSYGSFDFGIGGDSDADNKNHSNSGDFVALGGLFEPIVEISELTS >KJB37644 pep chromosome:Graimondii2_0_v6:6:46780785:46783568:-1 gene:B456_006G214000 transcript:KJB37644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLNRTIANPKLWNKRNSQQSRRSPLFFPSIFLISLCFFFFFFLTYTPIPNYMIFSTSLKTVNVALTHQYPHCETRFHGEKFLWYAPHSGFSNQLSEFKKAILMASILNRTLIVSPILDHHAVALGSCPKFRVQSPKEIRISVWDHIIELLRSRRYVSMADIIDISSLLSSSLVRAIDFRVFVSSWCDLNVDLVCSNGLNVPPSLVESLKQCGSLLSGIGGNINQCLYAVDEDCRTTVWTYQNGVDGMLDSFQPDEQLMKRKKISYVRRRRDVYKTLGPGSKAESATVLAFGSLFTAPYKGSELYIDIQNAPADPRINALINKIEFLPFVPEIVNLGKQFASQTIKAPFLCAQLRLLDGQFKNHWKATFLGLRQKLDSLRQAGSQPIHIFVMTDLPQGNWTGSYLGDLAMDSANFKLYFLGENDLLVMNTAKKLALARHGLRFKSFPGSMDAVAKVEKHCSLDILPDVLLYIEETICSCASLGFVRTTGSTIAQSIEVMRKFGLCSSPIRTAFAL >KJB35860 pep chromosome:Graimondii2_0_v6:6:38490616:38495022:-1 gene:B456_006G130900 transcript:KJB35860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFGGVGLQLETPNRDSPTRDLRDHLPSLMSSSYPITLKFIDVCYKVKIQQTNTRGRSIKRMFTHGSTPSDLISTIQEKTILNNITGVVSPGEILAILGPSGSGKSTLLNALAGRHQQQSRAFSGTILANNKKSTKQIAKRTGFVTQDDVLYPHLTVRETLVFCSLLRLPKTLTKKDKISVAEMVLSELGLSKCENTIIGNSFIRGISGGERKRVSIAHEMLVNPSLLILDEPTSGLDSTAAFRLVSTLGSLAQKGKTIVTSMHQPSSRVYQMFDSVLVLSEGRSIYFGKGSEAMAYFESLGFSPSFPMNPADFLLDLANGIKREHLEGGGASISPAT >KJB35858 pep chromosome:Graimondii2_0_v6:6:38488064:38495022:-1 gene:B456_006G130900 transcript:KJB35858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFGGVGLQLETPNRDSPTRDLRDHLPSLMSSSYPITLKFIDVCYKVKIQQTNTRGRSIKRMFTHGSTPSDLISTIQEKTILNNITGVVSPGEILAILGPSGSGKSTLLNALAGRHQQQSRAFSGTILANNKKSTKQIAKRTGFVTQDDVLYPHLTVRETLVFCSLLRLPKTLTKKDKISVAEMVLSELGLSKCENTIIGNSFIRGISGGERKRVSIAHEMLVNPSLLILDEPTSGLDSTAAFRLVSTLGSLAQKGKTIVTSMHQPSSRVYQMFDSVLVLSEGRSIYFGKGSEAMAYFESLGFSPSFPMNPADFLLDLANGVCKLDGVCERETPNIKQSLIASYSTLLAPKVRDACMEITAVSERDSHLIGSHSCQQHTSSCSVDLSTWFYQFRILLQRGLKERKHESFNTLRVFQVITASILAGLMWWHSDYRDIQDRLGLLFFISIFWGVLPSFNAVFAFPQERAIFMKERASGMYTLSSYFMARIIGDLPMELILPTVFLIVTYWMAGLKPDLVAFLLTLVVLLGYVLVSQGLGLALGAVIMDAKQASTIVTVTMLAFVLTGGYYVHKVPACMAWIKYVSTTYYSYKLFVNVQYSQGNKISSLLGCSHHGSNRVSCKFIDQDIAGQISPKLSVGILLLMFVGYRLLAYLALRRIKG >KJB35859 pep chromosome:Graimondii2_0_v6:6:38488186:38494542:-1 gene:B456_006G130900 transcript:KJB35859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFIDVCYKVKIQQTNTRGRSIKRMFTHGSTPSDLISTIQEKTILNNITGVVSPGEILAILGPSGSGKSTLLNALAGRHQQQSRAFSGTILANNKKSTKQIAKRTGFVTQDDVLYPHLTVRETLVFCSLLRLPKTLTKKDKISVAEMVLSELGLSKCENTIIGNSFIRGISGGERKRVSIAHEMLVNPSLLILDEPTSGLDSTAAFRLVSTLGSLAQKGKTIVTSMHQPSSRVYQMFDSVLVLSEGRSIYFGKGSEAMAYFESLGFSPSFPMNPADFLLDLANGVCKLDGVCERETPNIKQSLIASYSTLLAPKVRDACMEITAVSERDSHLIGSHSCQQHTSSCSVDLSTWFYQFRILLQRGLKERKHESFNTLRVFQVITASILAGLMWWHSDYRDIQDRLGLLFFISIFWGVLPSFNAVFAFPQERAIFMKERASGARPCIRSSNHGCQTGFNHCYCHNASICSNRRILRA >KJB33723 pep chromosome:Graimondii2_0_v6:6:7137679:7141531:1 gene:B456_006G027600 transcript:KJB33723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGRAQGLSKACVLLIVIAGMERFAFKGVASNLVTYLTDVVKMSNSSAAKTVNSWCGFTSIVPLLVAPLADSFWDRYSTILTSSFLYVLGLGAVASTALLWTYTPPSTTSSSAFLFWSLCLISLGLGAYNPSLQAFGADQLDHDDELPCTIDDKKTSKKKGLFFQWWYFGVCSGSLLGVILMSYIQDTLGWVLGFAIPMFAMVISVVFFTCGNRIYAHKPDRTMYNKHFPNILRAIKMKASRLINGGIALPNDKSNMAELELEERPLCDQKVCSNEVSDLNLESESNTHLIENAKIVLRLLPIWTMLLVFAVIFQQPATFFTKQGMTMKRNIGKNFKIPPATLQSAITLSIILLMPLYDKVFIPITKMVTRNEKGISVMQRMGVGMFLSVIAMIIAAVVETKRLEISRETGVQESETVPLSIFWLLPQYVLLGISDIFTVVGMQEFFYNEVPVRMRTMGFALYTSVFGVGSFLSALMISVIEAFTSSGGRHSWFSDDMREDRLDKLYWLLAIASALSLLFYAICCKCYKCRIELENGNCK >KJB33724 pep chromosome:Graimondii2_0_v6:6:7138036:7141531:1 gene:B456_006G027600 transcript:KJB33724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGRAQGLSKACVLLIVIAGMERFAFKGVASNLVTYLTDVVKMSNSSAAKTVNSWCGFTSIVPLLVAPLADSFWDRYSTILTSSFLYVLGLGAVASTALLWTYTPPSTTSSSAFLFWSLCLISLGLGAYNPSLQAFGADQLDHDDELPCTIDDKKTSKKKGLFFQWWYFGVCSGSLLGVILMSYIQDTLGWVLGFAIPMFAMVISVVFFTCGNRIYAHKPDRTMYNKHFPNILRAIKMKASRLINGGIALPNDKSNMAELELEERPLCDQKVCSNEVSDLNLESESNTHLIENAKIVLRLLPIWTMLLVFAVIFQQPATFFTKQGMTMKRNIGKNFKIPPATLQSAITLSIILLMPLYDKVFIPITKMVTRNEKGISVMQRMGVGMFLSVIAMIIAAVVETKRLEISRETGVQESETVPLSIFWLLPQYVLLGISDIFTVVGMQEFFYNEVPVRMRTMGFALYTSVFGVGSFLSALMISVIEAFTSSGGRHSWFSDDMREDRLDKLYWLLAIASALSLLFYAICCKCYKCRIELENGNCK >KJB35883 pep chromosome:Graimondii2_0_v6:6:38642850:38645132:1 gene:B456_006G132000 transcript:KJB35883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKKTRGKQKIEIKIIENEDDRLISFSKRRFGIYKKISELSTLCGCEILFIIFSPKGKPYSFAHPSIEAVTKRFLNPHQPLHETTDAPIETYRKVRIKSLVQDYNEVHDQLDASKEKQKAFSLAQQSRGSESHHWWKTPIYQLNPRELHEQDKRFAEFINLVSIARDKKIASISSMHAAMDEDVPFVVPPRYGPSLHEILFIIFSPKGKPYSFAHPSIEVVTKRFLNPNQPLHETTNALVEAYHYNEVHDQLDASKEKQKAFYLAQQSRGSESYHRWKAPIYQLNLRELHERDKRFVKFINLISVARDKKIASISSMHAAMDGDVPFVVPPRYGPSLQ >KJB37839 pep chromosome:Graimondii2_0_v6:6:47491812:47497103:-1 gene:B456_006G222700 transcript:KJB37839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 13 [Source:Projected from Arabidopsis thaliana (AT2G32770) UniProtKB/Swiss-Prot;Acc:O48840] MVLAMESLNRLLHCWILLISLLFVVNGQFPTTLEGPFKPVTVPVNYSIIGQVTSLPVNDNPPLSPEIEFQPQQIFLSLSSDLSSVWVSWITGEYQIGDDIEPLDPQSVASVVQYGVYKSGRNNLAAGSSFIYSQQYPNEDPNNYTSGIIHRVLVTGLDPDTMYEYQCGDPSIPAMSDVHYFRTMPSPPKDYPSRVAVVGGLSLTYNSSTTISHMAANHPDLALLVGDLSFADLYCTNGTLCDFQNCSFPQSPLQETYQPRWDHWGRFMQILTATVPTMVIEGDHEIEKQADDLTFTAYNYRFAFPSDESGSTLYYSFNAGGIHFVMLGAYVPYDKSSDQYKWLERDLFNVNRSVTPWLVAAWHPPWYSTYKDHYREAECMRVEMEELLYKHGVDIVFNGHVNAYERSNRVYNYTLDPCGPVHITVGSGGNEMNLTLEHADEPGNCPDPSNTPDGFMGGSCGFNFTSGPAAGNFCWNEQPEYSAYRESSFGYGILEVKNQTHALWMWYRNQDRYSVGMDGIYIVRQPDRCPS >KJB37837 pep chromosome:Graimondii2_0_v6:6:47492653:47497103:-1 gene:B456_006G222700 transcript:KJB37837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 13 [Source:Projected from Arabidopsis thaliana (AT2G32770) UniProtKB/Swiss-Prot;Acc:O48840] MVLAMESLNRLLHCWILLISLLFVVNGQFPTTLEGPFKPVTVPVNYSIIGQVTSLPVNDNPPLSPEIEFQPQQIFLSLSSDLSSVWVSWITGEYQIGDDIEPLDPQSVASVVQYGVYKSGRNNLAAGSSFIYSQQYPNEDPNNYTSGIIHRVLVTGLDPDTMYEYQCGDPSIPAMSDVHYFRTMPSPPKDYPSRVAVVGGLSLTYNSSTTISHMAANHPDLALLVGDLSFADLYCTNGTLCDFQNCSFPQSPLQETYQPRWDHWGRFMQILTATVPTMVIEGDHEIEKQADDLTFTAYNYRFAFPSDESGSTLYYSFNAGGIHFVMLGAYVPYDKSSDQYKWLERDLFNVNRSVTPWLVAAWHPPWYSTYKDHYREAECMRVEMEELLYKHGVDIVFNGHVNAYERSNRVYNYTLDPCGPVHITVGSGGNEMNLTLEHADEPGNCPDPSNTPDGFMGGSCGFNFTSGPAAGNFCWNEQPEYSAYRESSFGYGILEVKNQTHALWMWYRNQDRYSVGMDGIYIVRQPDRCPS >KJB37840 pep chromosome:Graimondii2_0_v6:6:47494352:47497103:-1 gene:B456_006G222700 transcript:KJB37840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 13 [Source:Projected from Arabidopsis thaliana (AT2G32770) UniProtKB/Swiss-Prot;Acc:O48840] MVLAMESLNRLLHCWILLISLLFVVNGQFPTTLEGPFKPVTVPVNYSIIGQVTSLPVNDNPPLSPEIEFQPQQIFLSLSSDLSSVWVSWITGEYQIGDDIEPLDPQSVASVVQYGVYKSGRNNLAAGSSFIYSQQYPNEDPNNYTSGIIHRVLVTGLDPDTMYEYQCGDPSIPAMSDVHYFRTMPSPPKDYPSRVAVVGGLSLTYNSSTTISHMAANHPDLALLVGDLSFADLYCTNGTLCDFQNCSFPQSPLQETYQPRWDHWGRFMQILTATVPTMVIEGDHEIEKQADDLTFTAYNYRFAFPSDESGSTLYYSFNAGGIHFVMLGAYVPYDKSSDQYKWLERDLFNVNRSVTPWLVAAWHPPWYSTYKDHYREAECMRVEMEELLYKHGVDIVFNGHVRAV >KJB37838 pep chromosome:Graimondii2_0_v6:6:47491831:47497103:-1 gene:B456_006G222700 transcript:KJB37838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 13 [Source:Projected from Arabidopsis thaliana (AT2G32770) UniProtKB/Swiss-Prot;Acc:O48840] MVLAMESLNRLLHCWILLISLLFVVNGQFPTTLEGPFKPVTVPVNYSIIGQVTSLPVNDNPPLSPEIEFQPQQIFLSLSSDLSSVWVSWITGEYQIGDDIEPLDPQSVASVVQYGVYKSGRNNLAAGSSFIYSQQYPNEDPNNYTSGIIHRVLVTGLDPDTMYEYQCGDPSIPAMSDVHYFRTMPSPPKDYPSRVAVVGGLSLTYNSSTTISHMAANHPDLALLVGDLSFADLYCTNGTLCDFQNCSFPQSPLQETYQPRWDHWGRFMQILTATVPTMVIEGDHEIEKQADDLTFTAYNYRFAFPSDESGSTLYYSFNAADQYKWLERDLFNVNRSVTPWLVAAWHPPWYSTYKDHYREAECMRVEMEELLYKHGVDIVFNGHVNAYERSNRVYNYTLDPCGPVHITVGSGGNEMNLTLEHADEPGNCPDPSNTPDGFMGGSCGFNFTSGPAAGNFCWNEQPEYSAYRESSFGYGILEVKNQTHALWMWYRNQDRYSVGMDGIYIVRQPDRCPS >KJB35447 pep chromosome:Graimondii2_0_v6:6:36361988:36364283:-1 gene:B456_006G115400 transcript:KJB35447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFLITFCLSAMDLECASQETVKQGLLARGWPPIKGFSKHVKTKVLEFFSLLIKLGQDDPRRVVHSLKAGLALTLVSLFYYYQPLYKSFGVSAMWAVITVVVVFEFSVGATLGKGVNRGLATLLAGGLAVAAHQLANLSGRIGEPIVLGIFVFLQAAVSTFVRFCPQIKARYDYGLLIFILTFSLISISGFRDDEVLELVHKRLLTVLIGGSTCVIISVLVFPVWAGQDLHNLIASNMEKLGIFLEGFGDEYFKMPEDGESKEDRPFLQGYKSVLDSKTNEDALANFARWEPRHGRFQFRHPWKQYLKIGALTRKCAYRIESLNGHLYADIQAKREIRSKIQETCTTMSMESGKALKELSMAIKTMVKPLAADIHIENSKSSVKNLDTLLKSGLWDDETDLLEVVPVATVASLLIDVVTCTAEIAESVNELATMLKFEVVEPTVSPEKPETGQPKIGIS >KJB33084 pep chromosome:Graimondii2_0_v6:6:12519136:12519786:-1 gene:B456_006G043100 transcript:KJB33084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEQADSHKMDFNATEEEEFGFSRNYFLAKEMGSSGKKSARKLSDINVVDEQELREASANIEPKHQNDIADLINSYKSLYPKWVFNLS >KJB33087 pep chromosome:Graimondii2_0_v6:6:12517959:12521278:-1 gene:B456_006G043100 transcript:KJB33087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEQADSHKMDFNATEEEEFGFSRNYFLAKEMGSSGKKSARKLSDINVVDEQELREASANIEPKHQNDIADLINSYKSLYPKWVFNLRCGFGLLMYESEVHFYSSICS >KJB33086 pep chromosome:Graimondii2_0_v6:6:12519136:12519786:-1 gene:B456_006G043100 transcript:KJB33086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEQADSHKMDFNATEEEEFGFSRNYFLAKEMGSSGKKSARKLSDINVVDEQELREASANIEPKHQNDIADLINSYKSLYPKWVFNLS >KJB33085 pep chromosome:Graimondii2_0_v6:6:12517938:12521278:-1 gene:B456_006G043100 transcript:KJB33085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEQADSHKMDFNATEEEEFGFSRNYFLAKEMGSSGKKSARKLSDINVVDEQELREASANIEPKHQNDIADLINSYKSLYPKWVFNLS >KJB33089 pep chromosome:Graimondii2_0_v6:6:12517938:12520758:-1 gene:B456_006G043100 transcript:KJB33089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEQADSHKMDFNATEEEEFGFSRNYFLAKEMGSSGKKSARKLSDINVVDEQELREASANIEPKHQNDIADLINSYKSLYPKWVFNLS >KJB33090 pep chromosome:Graimondii2_0_v6:6:12517959:12520756:-1 gene:B456_006G043100 transcript:KJB33090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEQADSHKMDFNATEEEEFGFSRNYFLAKEMGSSGKKSARKLSDINVVDEQELREASANIEPKHQNDIADLINSYKSLYPKWVFNLRCGFGLLMYESEVHFYSSICS >KJB33088 pep chromosome:Graimondii2_0_v6:6:12519136:12519786:-1 gene:B456_006G043100 transcript:KJB33088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEQADSHKMDFNATEEEEFGFSRNYFLAKEMGSSGKKSARKLSDINVVDEQELREASANIEPKHQNDIADLINSYKSLYPKWVFNLS >KJB33083 pep chromosome:Graimondii2_0_v6:6:12519136:12519786:-1 gene:B456_006G043100 transcript:KJB33083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEQADSHKMDFNATEEEEFGFSRNYFLAKEMGSSGKKSARKLSDINVVDEQELREASANIEPKHQNDIADLINSYKSLYPKWVFNLS >KJB33091 pep chromosome:Graimondii2_0_v6:6:12518234:12520506:-1 gene:B456_006G043100 transcript:KJB33091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEQADSHKMDFNATEEEEFGFSRNYFLAKEMGSSGKKSARKLSDINVVDEQVFCLIESPSRKTLLFFYSFKFCCFKIHQNDIADLINSYKSLYPKWVFNLRCGFGLLMYESEVHFYSSICS >KJB37889 pep chromosome:Graimondii2_0_v6:6:47851737:47855535:-1 gene:B456_006G227500 transcript:KJB37889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWLCCSCLVEDTYQSHENEHLRSPNYNTEGNQKNSKAAAPPKAEVQKSAPPIEVPALSLEELKEKTDNFGSKALIGEGSYGRVYYANLDNGKAVAVKKLDSSDAESNVEFLTQVSMVSRLKHDNFVELQGYCVEGNLRVLAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVRIAVDAARGLEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKAPAPVPAPAPES >KJB38299 pep chromosome:Graimondii2_0_v6:6:49208745:49215418:1 gene:B456_006G247400 transcript:KJB38299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVPLHVYHVNKTSIIINRAHAFLHSIALLFLIHYRLSFFFQHPKNTTIPTLPWLLIFVSELLLSLAWLFTQSYRWRPVTRTVFPERLPADDKLPAIDVFICTADPNKEPSVEVMNTVVSAMALDYPPEKLHVYVSDDAGSDATLRCTKEAWNFARYWVPFCRKYDLVTACPDVYFSSSEVDSGNFEGSEFKAARTKMEEKYEVLKQEIRRIVQQHSTTGDALHNARNHPSILEVINEHSKEEDEVKIPLLVYVSREKRPSHHHNFKAGALNVLLRVSAIISNSPYILMLDCDMYCNDPTSVRQAMCYYCDPQTPSSIAFVQFPQTFRNICQDDIYDSQIRHLFKILWHGFDGVGGPTISGSNLYIKREALLGSFSKQQELMALKRSFGPSNDFIKTLVEEYKPGFINDGESSRMLLEKANVLASCSYEHQTSWGSTVGFLYFCVVEDYFTGFSLHRKGWKSVYLYPKKPQFLGTATTNFNEVSIQWTRWASGLTSVAISKFCPLIYGPLKMSWVQFMCYSELAFMPLLNCLSLWGFAFIPQLCLFNDIPLYPKVSDSSFNIFFIIFVSAILKSLYEVVTTGGQVRTWRNDWRIWMMRSITSYFYGSLDVVLNKLGMKEASFLPTNKVIDDEQVKLYEMGIFDFRTATTFLAPLVTVILVNIAAFVRALVVNVVDNDRDGYWEKMFGQMFLSFYILVSNYTVIEGMIIRRDKASIPLYVTLLSGVFSLCILLIGSAILS >KJB34936 pep chromosome:Graimondii2_0_v6:6:32830804:32833325:1 gene:B456_006G091800 transcript:KJB34936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFICRIRRKVSKLSKMIANLDKFVVFSHVVYDKSDVVQNPCSVSLNARSFRSSRLLCESVQSSSSGLAIQISRTAKTGARDVLFEYLHSTRGFSFMDAEHISKNSPHFFEKLLSKFDPEEDVVKSLSKFLRYNPVNEFEPFLESLGLSPAEITSLIPQRLMFLTDDSVMLENFRVLRDYGIPRIKMGNMYKESREIFEYDYGILASKLKAYENLGLSKAIVIKLVSCCPSLLVGGVDAEFAAVLEWFKELGMKKDEIGGFVSGKGIYDWGRMLDTLDFLDRVGYTDEQLHNLLKTNPALLFQGSGKKVYVLFGRLLKLGLKMNEVYSLFMQNPHILSVKCTKNFCKALDFLFDIVMQTEDIVHIVSTRMELMGSYSLKGPKTVCRELNVKRDELCQIIKEDPLKWFDVASKSKIQSSIRVASKDPSTFLEKTAFLSRLGYLENSDEMLKALKQFRGRGDQLQERFDCLVNAGLDSNVVKNLIKQAPMVLNQSKDLLEKKIDCLTNWLGYPLESVVAFPAYLCYDMERINQRFSMYAWLRERGAAKSMLSLSTILACSDARFVKYFVLVHPEGPAKWDSLKNSLNTA >KJB33283 pep chromosome:Graimondii2_0_v6:6:1086059:1101984:-1 gene:B456_006G005600 transcript:KJB33283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNRVHACVVILGDLGHSPRMQYHALSLARQASLEVDIVAYGGSEPHSAVIENESIHIHTTIQRQKHSHSLPKILYPLMLLLKPIIQFVILLWFLCFKVLPPDAFIVQNPPSIPTLMVVKWVSSLRKSAFIVDWHNFGYTLLGLSVGRSSPFVSIYRVLWKEISGGKQYLYPRLLFIITGKGPEKEKYEEKIKRLNLKRVAFRTMWLSTEEYPLLLGSADLGVYWHTSSSGLDLPMKVVDMFGCGLPVCAVSYSW >KJB33284 pep chromosome:Graimondii2_0_v6:6:1084678:1101984:-1 gene:B456_006G005600 transcript:KJB33284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNRVHACVVILGDLGHSPRMQYHALSLARQASLEVDIVAYGGSEPHSAVIENESIHIHTTIQRQKHSHSLPKILYPLMLLLKPIIQFVILLWFLCFKVLPPDAFIVQNPPSIPTLMVVKWVSSLRKSAFIVDWHNFGYTLLGLSVGRSSPFVSIYRVLWKEISGGKQYLYPRLLFIITGKGPEKEKYEEKIKRLNLKRVAFRTMWLSTEEYPLLLGSADLGVYWHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIDELVKVEKNGLLFSSSSELVDELLMLFRGFPNECDALKSLKNGALETGSSARWAAEWEEHAKPLISEVISRNLK >KJB33285 pep chromosome:Graimondii2_0_v6:6:1086425:1101984:-1 gene:B456_006G005600 transcript:KJB33285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNRVHACVVILGDLGHSPRMQYHALSLARQASLEVDIVAYGGSEPHSAVIENESIHIHTTIQRQKHSHSLPKILYPLMLLLKPIIQFVILLWFLCFKVLPPDAFIVQNPPSIPTLMVVKWVSSLRKSAFIVDWHNFGYTLLGLSVGRSSPFVSIYRVLWKEISGGKQYLYPRLLFIITGKGPEKEKYEEKIKRLNLKRVAFRTMWLSTEEYPLLLGSADLGVYWHTSSSGLDLPMKVVDMFGCGLPVCAVSYSW >KJB38805 pep chromosome:Graimondii2_0_v6:6:50921882:50928400:-1 gene:B456_006G273100 transcript:KJB38805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSDVGGRMAAVGGTAAITGNQSDAVDMYLKSRGIHGILSQIELSFSATNLRDRDVFSKSDPMLVVYIKERDGAVIEVFRTEVVLNSLNPTWIKKYTIAYHFEVVQTLLFHVFDVDTQFLNIEVKMLKLEEQQSLGEASCALSEIVTKPNRSLTLDLVRRVESVSSTHSQHHGKLTVHAEECFSSRTTAEMMLSCLDLESKDLFSKCDPFLVISKLVESGISIPVCKTEVLKNDHNPTWKPVFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKIHSGREGENLFLPTLVGHDCENKILKSKLFVENFSETIQHTFLDYLAGGVELNFMVAIDFTASNGNPRLPDSLHYIDPSGRQNAYQKAIYEVGEVLQFYDTDKCFPAWGFGARPIDGPVSHCFNLNGSNNYCKVEGIRGIMMAYTSALFNVSLAGPTLFGHVINKAALIASQSLANEAQKYFVLLIITDGVVTDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESSTGRVASRDIVQFVPFRDVQGGEVSIVQALLAELPTQFLTYMRSRDIKPRI >KJB38806 pep chromosome:Graimondii2_0_v6:6:50921822:50928400:-1 gene:B456_006G273100 transcript:KJB38806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSDVGGRMAAVGGTAAITGNQSDAVDMYLKSRGIHGILSQIELSFSATNLRDRDVFSKSDPMLVVYIKERDGAVIEVFRTEVVLNSLNPTWIKKYTIAYHFEVVQTLLFHVFDVDTQFLNIEVKMLKLEEQQSLGEASCALSEIVTKPNRSLTLDLVRRVESVSSTHSQHHGKLTVHAEECFSSRTTAEMMLSCLDLESKDLFSKCDPFLVISKLVESGISIPVCKTEVLKNDHNPTWKPVFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKIHSGREGENLFLPTLVGHDCENKILKSKLFVENFSETIQHTFLDYLAGGVELNFMVAIDFTASNGNPRLPDSLHYIDPSGRQNAYQKAIYEVGEVLQFYDTDKCFPAWGFGARPIDGPVSHCFNLNGSNNYCKVEGIRGIMMAYTSALFNVSLAGPTLFGHVINKAALIASQSLANEAQKYFVLLIITDGVVTDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESSTGRVASRDIVQFVPFRDVQGGEVSIVQALLAELPTQFLTYMRSRDIKPRI >KJB38807 pep chromosome:Graimondii2_0_v6:6:50922691:50928311:-1 gene:B456_006G273100 transcript:KJB38807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSDVGGRMAAVGGTAAITGNQSDAVDMYLKSRGIHGILSQIELSFSATNLRDRDVFSKSDPMLVVYIKERDGAVIEVFRTEVVLNSLNPTWIKKYTIAYHFEVVQTLLFHVFDVDTQFLNIEVKMLKLEEQQSLGEASCALSEIVTKPNRSLTLDLVRRVESVSSTHSQHHGKLTVHAEECFSSRTTAEMMLSCLDLESKDLFSKCDPFLVISKLVESGISIPVCKTEVLKNDHNPTWKPVFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKIHSGREGENLFLPTLVGHDCENKILKSKLFVENFSETIQHTFLDYLAGGVELNFMVAIDFTASNGNPRLPDSLHYIDPSGRQNAYQKAIYEVGEVLQFYDTDKCFPAWGFGARPIDGPVSHCFNLNGSNNYCKVEGIRGIMMAYTSALFNVSLAGPTLFGHVINKAALIASQSLANEAQKYFVLLIITVRCREERDFFWELV >KJB36857 pep chromosome:Graimondii2_0_v6:6:43683562:43687402:1 gene:B456_006G179400 transcript:KJB36857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYIGREASKLWKRICSETTTEINLLLDNWKYLLAGLIFQYIHGLAARGVHYLHRPGPTLQDLGFYILPELGQDKAYISETVFTFVFLSFLLWTFHPFIFKSKKIYTVLVWCRVLAFLVASQILRIITFYSTQLPGPNYHCREGSKLARLPKPESVLEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVLTYQKYGTRSFIKHFAWLVAIVQSLLIVASRKHYTVDVVVAWYTVNLVVFFIDKKLPGLNIL >KJB36858 pep chromosome:Graimondii2_0_v6:6:43683562:43687402:1 gene:B456_006G179400 transcript:KJB36858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYIGREASKLWKRICSETTTEINLLLDNWKYLLAGLIFQYIHGLAARGVHYLHRPGPTLQDLGFYILPELGQDKAYISETVFTFVFLSFLLWTFHPFIFKSKKIYTVLVWCRVLAFLVASQILRIITFYSTQLPGPNYHCREGSKLARLPKPESVLEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVLTYQKYGTRSFIKHFAWLVAIVQSLLIVASRKHYTVDVVVAWYTVNLVVFFIDKKLPELPDRSSGSLPMLLPLSTKDKDSKTKEENHKLLNGNSVDPADWV >KJB36856 pep chromosome:Graimondii2_0_v6:6:43683441:43687402:1 gene:B456_006G179400 transcript:KJB36856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYIGREASKLWKRICSETTTEINLLLDNWKYLLAGLIFQYIHGLAARGVHYLHRPGPTLQDLGFYILPELGQDKAYISETVFTFVFLSFLLWTFHPFIFKSKKIYTVLVWCRVLAFLVASQILRIITFYSTQLPGPNYHCREGSKLARLPKPESVLEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVLTYQKYGTRSFIKHFAWLVAIVQSLLIVASRKHYTVDVVVAWYTVNLVVFFIDKKLPELPDRSSGSLPMLLPLSTKDKDSKTKEENHKLLNGNSVDPADWRPRTQVNGKIQEDGNGIHDTAMNGA >KJB34537 pep chromosome:Graimondii2_0_v6:6:28717510:28719273:1 gene:B456_006G071200 transcript:KJB34537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISLERAQESIVNRRQELKAFDETKSGVKGLVDSGLSKIPTIFIDEQYKLERNNVHNQKPGSPTNNDGIPIINLTGVDDDPNLRREIVKKIGEACEKWGFFQVINHGIPLPTTDEMINGVRRFHEDDEAKKEIYSRDYSKKVYYNSNIDLYKAEATNWRDTLCCVMAPRHPLPQELPAVCRDIMIEYSSKMMKLGQTLLELMSEALGLNRSYLEDIGCGEGMFVKGHYYPSCPEPDLTLGTSSHTDTDFCTVVLQDEIGGLQILHQNQWLDINPVHGALVVNLGDMMQCRSNLAPQLLPRTTLVDPAHRAPRCRESGSTTRAFPTFVPATANINKEKEAK >KJB37668 pep chromosome:Graimondii2_0_v6:6:46842261:46844574:1 gene:B456_006G215000 transcript:KJB37668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSFTLIHMVATAGVFSAVSCWYGFMFGRESARKELGDLIENLRRDNSSSSSSSPPSHS >KJB37669 pep chromosome:Graimondii2_0_v6:6:46842335:46842798:1 gene:B456_006G215000 transcript:KJB37669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSFTLIHMVATAGVFSAVSCWYGFMFGRESARKELGDLIENLRRDNSSSSSSSPPSHS >KJB37667 pep chromosome:Graimondii2_0_v6:6:46842335:46844445:1 gene:B456_006G215000 transcript:KJB37667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSFTLIHMVATAGVFSAVSCWYGFMFGRESARKELGDLIENLRRDNSSSSSSSPPSHS >KJB38511 pep chromosome:Graimondii2_0_v6:6:49999715:50000495:-1 gene:B456_006G258700 transcript:KJB38511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCFCVLGVCFLDTGKCRNTRIKVGLIWLLVLIVRTALVALIAFMPTSPNGALGSLDYTKEERRTLAVKSRESPPKYGNPERQKLIDEIHEYMLSKTPSVPQHSPSKSSEEHPTEAKTEAEAEANQQDSVTVGAGNPGIPNPTLNERVVEEPPVAPANAYPYPVAMRLVREIPGEGLSNQPLRRPEIRVQRSVVDDRLFTWAAVGLTIAILVLLFKKYIKSSGHGAVFMDGS >KJB38509 pep chromosome:Graimondii2_0_v6:6:49999715:50000495:-1 gene:B456_006G258700 transcript:KJB38509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCFCVLGVCFLDTGKCRNTRIKVGLIWLLVLIVRTALVALIAFMPTSPNGALGSLDYTKEERRTLAVKSRESPPKYGNPERQKLIDEIHEYMLSKTPSVPQHSPSKSSEEHPTEAKTEAEAEANQQDSVTVGAGNPGIPNPTLNERVVEEPPVAPANAYPYPVAMRLVREIPGEGLSNQPLRRPEIRVQRSVVDDRLFTWAAVGLTIAILVLLFKKYIKSSGHGAVFMDGS >KJB38510 pep chromosome:Graimondii2_0_v6:6:49999373:50001688:-1 gene:B456_006G258700 transcript:KJB38510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKYNLKNPAVKRILQEVKELQSNPSDDFMSLPLEENIFEWQFAIRGPRDSEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWMRTALVALIAFMPTSPNGALGSLDYTKEERRTLAVKSRESPPKYGNPERQKLIDEIHEYMLSKTPSVPQHSPSKSSEEHPTEAKTEAEAEANQQDSVTVGAGNPGIPNPTLNERVVEEPPVAPANAYPYPVAMRLVREIPGEGLSNQPLRRPEIRVQRSVVDDRLFTWAAVGLTIAILVLLFKKYIKSSGHGAVFMDGS >KJB38512 pep chromosome:Graimondii2_0_v6:6:49999373:50001726:-1 gene:B456_006G258700 transcript:KJB38512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKYNLKNPAVKRILQEVKELQSNPSDDFMSLPLEENIFEWQFAIRGPRDSEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTSPNGALGSLDYTKEERRTLAVKSRESPPKYGNPERQKLIDEIHEYMLSKTPSVPQHSPSKSSEEHPTEAKTEAEAEANQQDSVTVGAGNPGIPNPTLNERVVEEPPVAPANAYPYPVAMRLVREIPGEGLSNQPLRRPEIRVQRSVVDDRLFTWAAVGLTIAILVLLFKKYIKSSGHGAVFMDGS >KJB35463 pep chromosome:Graimondii2_0_v6:6:36501988:36502341:1 gene:B456_006G116000 transcript:KJB35463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNAWDILETAHEGVSVESETICEFYAKLHDLSNQAFAFGEKYSNTKLVRKVLRSLLERFLIKITAIEEAKNLEQHEILDSLQTFEKIDRKFSNFHI >KJB38272 pep chromosome:Graimondii2_0_v6:6:49070362:49075006:1 gene:B456_006G245700 transcript:KJB38272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLLYLFNIQLEKNVATILVVLLVVCVSFIVVGGFLLFKFRGNTHSLEDCVWEAWACLCSSSTHLKQRTRIERVVGFVLAIWGILFYSRLLSTMTEQFRRNMQKLREGAQMQVLEADHIVICGLNGRLSFILKQLNRSHELAVRLGTATARRQRILLMSDLPRKQMDKLADSIAKDLNHIDVLTKSCSLSLTKSFQRAAANRARAIVILPTRGDQYGIDSDAFLSVLALQPIPKIENVPTIVEVSNSTTCELLKSICGLKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYKKNVFNLCRFPSLTGLTYRKIRRGFQEAVVCGLYRDGQIYFHPKDDEILQQTDKVLLIAPIHKTWKQQQALEDDGKEETKRLRGMEVFKTIVDTPDHDFELRKEQFTKIVKRPKIFGSKASDQTIGPTECILILGWRPGVIQMIEEYDNYLGPGSVLEILSDVPLEERKRASSVSGQAKLKNVQVIHKIGNSMNYDTLEETIMNVQNNVVKPNRIPFSIVVISDREWLLGDPSRADKQSAYSLLLAENICNKLGVTVQNLVAEIVDSKLGKQITRIKPSLTYIAAEEVMSLVTAQVAKHSELNEVWKDILNAEGDEIYVKDIGLYMKEGENPSFSELTERACWRQEVAIGYVKDNHKVINPTPKTEPLSLGMSDLLIVISELEGEQPIVV >KJB38271 pep chromosome:Graimondii2_0_v6:6:49068841:49075006:1 gene:B456_006G245700 transcript:KJB38271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGFSLLAHLPSLHFYFVLTFSIQKKIQTHVVHTFPYTLNHFLVITFLSISSNPHQKFCFFHFSFNISPIKERGKMVFCQLQSPQLWLLPSRISRPTQTSCSARTQGIRGSKNMILFQKSRSNFWWNSSSSNNVQNFIYNRGKRQVTIQSTTNNSECVLDNSNVEFFRMGQHNNSLVQDNQTILVSGTLSSFFLLRLLQLDFINSLIKIVHDIFRHLHQTSTATSLPFACLSSSVNKPRPLNLDVSLPTIKDLRWNMSRLLYLFNIQLEKNVATILVVLLVVCVSFIVVGGFLLFKFRGNTHSLEDCVWEAWACLCSSSTHLKQRTRIERVVGFVLAIWGILFYSRLLSTMTEQFRRNMQKLREGAQMQVLEADHIVICGLNGRLSFILKQLNRSHELAVRLGTATARRQRILLMSDLPRKQMDKLADSIAKDLNHIDVLTKSCSLSLTKSFQRAAANRARAIVILPTRGDQYGIDSDAFLSVLALQPIPKIENVPTIVEVSNSTTCELLKSICGLKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYKKNVFNLCRFPSLTGLTYRKIRRGFQEAVVCGLYRDGQIYFHPKDDEILQQTDKVLLIAPIHKTWKQQQALEDDGKEETKRLRGMEVFKTIVDTPDHDFELRKEQFTKIVKRPKIFGSKASDQTIGPTECILILGWRPGVIQMIEEYDNYLGPGSVLEILSDVPLEERKRASSVSGQAKLKNVQVIHKIGNSMNYDTLEETIMNVQNNVVKPNRIPFSIVVISDREWLLGDPSRADKQSAYSLLLAENICNKLGVTVQNLVAEIVDSKLGKQITRIKPSLTYIAAEEVMSLVTAQVAKHSELNEVWKDILNAEGDEIYVKDIGLYMKEGENPSFSELTERACWRQEVAIGYVKDNHKVINPTPKTEPLSLGMSDLLIVISELEGEQPIVV >KJB38270 pep chromosome:Graimondii2_0_v6:6:49068841:49074123:1 gene:B456_006G245700 transcript:KJB38270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGFSLLAHLPSLHFYFVLTFSIQKKIQTHVVHTFPYTLNHFLVITFLSISSNPHQKFCFFHFSFNISPIKERGKMVFCQLQSPQLWLLPSRISRPTQTSCSARTQGIRGSKNMILFQKSRSNFWWNSSSSNNVQNFIYNRGKRQVTIQSTTNNSECVLDNSNVEFFRMGQHNNSLVQDNQTILVSGTLSSFFLLRLLQLDFINSLIKIVHDIFRHLHQTSTATSLPFACLSSSVNKPRPLNLDVSLPTIKDLRWNMSRLLYLFNIQLEKNVATILVVLLVVCVSFIVVGGFLLFKFRGNTHSLEDCVWEAWACLCSSSTHLKQRTRIERVVGFVLAIWGILFYSRLLSTMTEQFRRNMQKLREGAQMQVLEADHIVICGLNGRLSFILKQLNRSHELAVRLGTATARRQRILLMSDLPRKQMDKLADSIAKDLNHIDVLTKSCSLSLTKSFQRAAANRARAIVILPTRGDQYGIDSDAFLSVLALQPIPKIENVPTIVEVSNSTTCELLKSICGLKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYKKNVFNLCRFPSLTGLTYRKIRRGFQEAVVCGLYRDGQIYFHPKDDEILQQTDKVLLIAPIHKTWKQQQALEDDGKEETKRLRGMEVFKTIVDTPDHDFELRKEQFTKIVKRPKIFGSKASDQTIGPTECILILGWRPGVIQMIEEYDNYLGPGSVLEILSDVPLEERKRASSVSGQAKLKNVQVIHKIGNSMNYDTLEETIMNVQNNVVKPNRIPFSIVVISDREWLLGGNDN >KJB34610 pep chromosome:Graimondii2_0_v6:6:31620038:31621646:1 gene:B456_006G083300 transcript:KJB34610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSRIRTSATAASFHLRRFSILSPDSSTPLSAHQKSRAALSLLKSEQNPDRILDICRAASLSPTFHLDRIAFSVAISKLSEGNHFQSIDTFLRELRSRPDFQHERFVSHSLILYGQAKMLNHALTTFDEFYNKGYCRSTKSLNALLVAAILAKDYKEAKRIFVEFPKRYGIEPDLETYNTAIKALCESGSSSSVYSILVDMKSKGVKPNATTFGTLLAGFYAEEKYEDVGKVLNLMKEHGVSVGVSTYNIRIRSLCMLKKSNEAKALLDGMLSKGIIPNSVTYHHLIYGFCREGNLEEAKRLFKSMVNKGLKPDSHCYFTLVYFLCQSGDFETALRFCKESMEKNWVPNFSTMKALVKGLASISKVEEAKELIKNVKEKFSKNADAWDEIEKDLPQ >KJB34611 pep chromosome:Graimondii2_0_v6:6:31620038:31622231:1 gene:B456_006G083300 transcript:KJB34611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSRIRTSATAASFHLRRFSILSPDSSTPLSAHQKSRAALSLLKSEQNPDRILDICRAASLSPTFHLDRIAFSVAISKLSEGNHFQSIDTFLRELRSRPDFQHERFVSHSLILYGQAKMLNHALTTFDEFYNKGYCRSTKSLNALLVAAILAKDYKEAKRIFVEFPKRYGIEPDLETYNTAIKALCESGSSSSVYSILVDMKSKGVKPNATTFGTLLAGFYAEEKYEDVGKVLNLMKEHGVSVGVSTYNIRIRSLCMLKKSNEAKALLDGMLSKGIIPNSVTYHHLIYGFCREGNLEEAKRLFKSMVNKGLKPDSHCYFTLVYFLCQSGDFETALRFCKESMEKNWVPNFSTMKALVKGLASISKVEEAKELIKNVKEKFSKNADAWDEIEKDLPQ >KJB38183 pep chromosome:Graimondii2_0_v6:6:48751136:48755656:-1 gene:B456_006G241200 transcript:KJB38183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGKAKKSKLSWSKKMVRKWFNIKSKTEDFQADDHVCGGGGEVEYRTSFSEREPCTIKKSKTEKFSRNTEQSRSRRGRMNLDHPRIIDVENYSIFASTWNVAGRSPPSNLNLEDLLHASSPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLSLIRKTLNNLPGTSGGGGCYTPSPVPQPIVEMDADFEGSSRQKNPSFFHRRSFQTTSSWRMDNDPSIPQPRLDRRFSVCDRVIFGHRPSDYDSSYRWGNRPSDYSRPSDYSRPSDYSRWGSSDDDNGITESPSTVLHSPMSYGGSASNEPGYRMPGHSRYCLVASKQMVGIFITIWVRSELRDHVKNMKVSCVGRGLMGYLGNKGSISVSMLVHQTSFCFICTHLTSGQKEGDELRRNSDVMEILKKTRFPRVHSEANEKSPETILEHDRIIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQKRGRVFKGWHEGKIYFPPTYKYSTNSDRYTGDAMHPKEKCRTPAWCDRILWYGEGIHQLSYVRGESKFSDHRPVYGIFWAEVESSRGQLKKSMSYSSSRRIEVEELLPYAHGYTELNFF >KJB36600 pep chromosome:Graimondii2_0_v6:6:42634977:42636303:-1 gene:B456_006G166500 transcript:KJB36600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRERRIFVGLAVAMFLGITVYFRLWMIDYSVSSHDTELLRRQFDLANKEAMDESAEWRLRFDEEADKASKCAKELEKIKESIVKKEDSISFNNKLALLQKKWTSGLKGCFIYATYVSN >KJB36596 pep chromosome:Graimondii2_0_v6:6:42634326:42636711:-1 gene:B456_006G166500 transcript:KJB36596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRERRIFVGLAVAMFLGITVYFRLWMIDYSVSSHDTELLRRQFDLANKEAMDESAEWRLRFDEEADKASKCAKELEKIKESIVKKEDSISFNNKLALLQKENAALLERVETLKNKLEDEKMRCRHLQ >KJB36598 pep chromosome:Graimondii2_0_v6:6:42634342:42636446:-1 gene:B456_006G166500 transcript:KJB36598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRERRIFVGLAVAMFLGITVYFRLWMIDYSVSSHDTELLRQFDLANKEAMDESAEWRLRFDEEADKASKCAKELEKIKESIVKKEDSISFNNKLALLQKENAALLERVETLKNKLEDEKMRCRHLQ >KJB36599 pep chromosome:Graimondii2_0_v6:6:42634342:42636553:-1 gene:B456_006G166500 transcript:KJB36599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRERRIFVGLAVAMFLGITVYFRLWMIDYSVSSHDTELLRRQFDLANKEAMDESAEWRLRFDEEADKASKCAKELEKIKESIVKKEDSISFNNKLALLQKKWTSGLKGCFIYATYENAALLERVETLKNKLEDEKMRCRHLQ >KJB36597 pep chromosome:Graimondii2_0_v6:6:42634342:42636446:-1 gene:B456_006G166500 transcript:KJB36597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRERRIFVGLAVAMFLGITVYFRLWMIDYSVSSHDTELLRRQFDLANKEAMDESAEWRLRFDEEADKEDSISFNNKLALLQKENAALLERVETLKNKLEDEKMRCRHLQ >KJB36362 pep chromosome:Graimondii2_0_v6:6:41349103:41351660:1 gene:B456_006G155000 transcript:KJB36362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGNLETLVSACAGASCDNKIVCETLATTQNDPDDHLHTADTSLDDEIPADFPPESFWLSKDSEFDWFDRNAFYQRKESHKGNSAPNSTNLNPNLNPDSNSQRFSVRKPRASIIGLPKPQKSCFAETNNRKNTKPATTRLFPKRSGSVKTDPPVVEPSSPKVSCMGRVKSRRDRNRSLRKNSQKSAEAETVKEKTARRSRSGCFPNFCAIFGSTGKAREPRSLPPEAPSPPRNRDVRCRLPPDDREAISMEPEITETEPVSLGGMKRFASGRRSEPLI >KJB36361 pep chromosome:Graimondii2_0_v6:6:41348501:41351513:1 gene:B456_006G155000 transcript:KJB36361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQEKFSLQNSFSVCSSNSHNKKLSFLFRGSFLSSTFLSPTFSLLLRLTLLLLLSFVGTMPQGNLETLVSACAGASCDNKIVCETLATTQNDPDDHLHTADTSLDDEIPADFPPESFWLSKDSEFDWFDRNAFYQRKESHKGNSAPNSTNLNPNLNPDSNSQRFSVRKPRASIIGLPKPQKSCFAETNNRKNTKPATTRLFPKRSGSVKTDPPVVEPSSPKVSCMGRVKSRRDRNRSLRKNSQKSAEAETVKEKTARRSRSGCFPNFCAIFGSTGKAREPRSLPPEAPSPPRNRDVRCRLPPDDREAISMEPEITETEPVSLGGMKRFASGRRSEPLI >KJB35483 pep chromosome:Graimondii2_0_v6:6:36635019:36642689:-1 gene:B456_006G116900 transcript:KJB35483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKWIKALVGLKKTDKSQSSEKKVNRGATSKFRHRRKHSIDFDTDKLQEGLDQNAASPARDANTQAIADAAGSPSGSLEVRDAALNELVMEEWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAMTLRCMQALVRVQARIRARRVRLTLESETAQQKHQQQLADEARVKEIEEGWCDSIGSVEEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQSVPAGFEPDKSSWGWNWLERWMAVRPWENRFLDINLQDGVVACEDGSAEGKNGANSQIKPAIKKPAASNLHANLSNLKIGLSYSEGSDSPPGKSANVVDAVNALSSKPKSKPIIEDLGEEAGSKPVITSRSRSNPKERSIKSDKLVTKRLSLPNSGEGTGSQTSKTGKTAAKVTPGSNKPIKDRSKSNGRGDSNPTKNMAQAVDL >KJB35481 pep chromosome:Graimondii2_0_v6:6:36635019:36641950:-1 gene:B456_006G116900 transcript:KJB35481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAMTLRCMQALVRVQARIRARRVRLTLESETAQQKHQQQLADEARVKEIEEGWCDSIGSVEEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQSVPAGFEPDKSSWGWNWLERWMAVRPWENRFLDINLQDGVVACEDGSAEGKNGANSQIKPAIKKPAASNLHANLSNLKIGLSYSEGSDSPPGKSANVVDAVNALSSKPKSKPIIEDLGEEAGSKPVITSRSRSNPKERSIKSDKLVTKRLSLPNSGEGTGSQTSKTGKTAAKVTPGSNKPIKDRSKSNGRGDSNPTKNMAQAVDL >KJB35482 pep chromosome:Graimondii2_0_v6:6:36635019:36642446:-1 gene:B456_006G116900 transcript:KJB35482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKWIKALVGLKKTDKSQSSEKKVNRGATSKFRHRRKHSIDFDTDKLQEGLDQNAASPARDANTQAIADAAGSPSGSLEVRDAALNELVMEEWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAMTLRCMQALVRVQARIRARRVRLTLESETAQQKHQQQLADEARVKEIEEGWCDSIGSVEEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQSVPAGFEPDKSSWGWNWLERWMAVRPWENRFLDINLQDGVVACEDGSAEGKNGANSQIKPAIKKPAASNLHANLSNLKIGLSYSEGSDSPPGKSANVVDAVNALSSKPKSKPIIEDLGEEAGSKPVITSRSRSNPKERSIKSDKLVTKRLSLPNSGEGTGSQTSKTGKTAAKVTPGSNKPIKDRSKSNGRGDSNPTKNMAQAVDL >KJB35484 pep chromosome:Graimondii2_0_v6:6:36635019:36641475:-1 gene:B456_006G116900 transcript:KJB35484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKWIKALVGLKKTDKSQSSEKKVNRGATSKFRHRRKHSIDFDTDKLQEGLDQNAASPARDANTQAIADAAGSPSGSLEVRDAALNELVMEEWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAMTLRCMQALVRVQARIRARRVRLTLESETAQQKHQQQLADEARVKEIEEGWCDSIGSVEEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQSVPAGFEPDKSSWGWNWLERWMAVRPWENRFLDINLQDGVVACEDGSAEGKNGANSQIKPAIKKPAASNLHANLSNLKIGLSYSEGSDSPPGKSANVVDAVNALSSKPKSKPIIEDLGEEAGSKPVITSRSRSNPKERSIKSDKLVTKRLSLPNSGEGTGSQTSKTGKTAAKVTPGSNKPIKDRSKSNGRGDSNPTKNMAQAVDL >KJB35480 pep chromosome:Graimondii2_0_v6:6:36636116:36640998:-1 gene:B456_006G116900 transcript:KJB35480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKWIKALVGLKKTDKSQSSEKKVNRGATSKFRHRRKHSIDFDTDKLQEGLDQNAASPARDANTQAIADAAGSPSGSLEVRDAALNELVMEEWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAMTLRCMQALVRVQARIRARRVRLTLESETAQQKHQQQLADEARVKEIEEGWCDSIGSVEEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQSVPAGFEPDKSSWGWNWLERWMAVRPWENRFLDINLQDGVVACEDGSAEGKNGANSQIKPAIKKPAASNLHANLSNLKIGLSYSEGSDSPPGKSANVVDAVNALSSKPKSKPIIEDLGEEAGSKPVITSRSRSNPKERSIKSDKLVTKRLSLPNSGEGTGSQTSKTGKTAAKVTPGSNKPIKDRSKSNGRGDSNPTKNMAQAVDL >KJB36168 pep chromosome:Graimondii2_0_v6:6:40269308:40276451:1 gene:B456_006G144500 transcript:KJB36168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVLRVHLPSDIPIVGCELTPYLLLRRPDKTVTTDDVPESAPLDGHFLRYKWYRIQSDRKVAVCSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHDHAASSVNENGNEEEEIFGRFNSTGSGVVNATLTGSASSASLTNGSTSLYPAAVTQRSGGETWFEVGRSKTYTPSADDIGHVLKFECVVVDMETKLPVGHPNTILTSRVIPAPSPIPRRLIPVSGTDMMGQLDSDGRISSSGTFTVLSYNILSDTYASSELYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQNDHFEEFFAPELDKHGYQALYKRKTNEVYGGNIHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEVAVQTTQKKAALNRLVKDNVALIVVLEAKFSNQGADNPGKRQLLCVANTHVNVPPELKDVKIWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHLLLAMGKVDPLHPELLVDPLAILRPHSKLTHQLPLVSAYSPFARGVGLGLEHQRRKMDITTNEPLFTNCTRDFIGTLDYIFYTADSLTVEALLELLDEDSLRKDTALPSPEWSSDHIALLAEFRFQTRPRR >KJB36169 pep chromosome:Graimondii2_0_v6:6:40269526:40276451:1 gene:B456_006G144500 transcript:KJB36169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVLRVHLPSDIPIVGCELTPYLLLRRPDKTVTTDDVPESAPLDGHFLRYKWYRIQSDRKVAVCSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHDHAASSVNENGNEEEEIFGRFNSTGSGVVNATLTGSASSASLTNGSTSLYPAAVTQRSGGETWFEVGRSKTYTPSADDIGHVLKFECVVVDMETKLPVGHPNTILTSRVIPAPSPIPRRLIPVSGTDMMGQLDSDGRISSSGTFTVLSYNILSDTYASSELYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQNDHFEEFFAPELDKHGYQALYKRKTNEVYGGNIHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEVAVQTTQKKAALNRLVKDNVALIVVLEAKFSNQGADNPGKRQLLCVANTHVNVPPELKDVKIWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHLLLAMGKVDPLHPELLVDPLAILRPHSKLTHQLPLVSAYSPFARGVGLGLEHQRRKMDITTNEPLFTNCTRDFIGTLDYIFYTADSLTVEALLELLDEDSLRKDTALPSPEWSSDHIALLAEFRFQTRPRR >KJB33266 pep chromosome:Graimondii2_0_v6:6:942402:946392:1 gene:B456_006G004800 transcript:KJB33266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVEKRSRNIADKSEMRKTPSLVDLCVRTVIDNVRYLGDVGETDSHLLERILPHCTVDQLLHVETSTKGRDLSSVTDKLWKNFYELQFGRESMQIVIERMKLKKVSFRWRQLYEAKLKDVQEAENKAIDRLKQLYKKEDARKQSRQVQLCTKVPPSSKRSFFAGSGPGYNMSNVKSNIMKKAKIDLMKSQEVKNLAAMKKKAVQSHHSGTTITKSSGFCGKNSASTSKHSKPLERRF >KJB33267 pep chromosome:Graimondii2_0_v6:6:942418:944939:1 gene:B456_006G004800 transcript:KJB33267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVEKRSRNIADKSEMRKTPSLVDLCVRTVIDNVRYLGDVGETDSHLLERILPHCTVDQLLHVETSTKGRDLSSVTDKLWKNFYELQFGRESMQIVIERMKLKKVSFRWRQLYEAKLKDVQEAENKAIDRLKQLYKKEDARKQSRQVQLCTKVPPSSKRSFFAGSGPGYNMSNVKSNIMKKAKIDLMKR >KJB37028 pep chromosome:Graimondii2_0_v6:6:44383785:44391505:-1 gene:B456_006G186900 transcript:KJB37028 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MSCAFSLLESCHLPCSRYLSTTFRFSRGWYQMQRPIKHKTLCLCRNMQTFACELVKASYVPTPQTKPKDQSLAKVETIGAFQKLPMVMPSVDIFQSASRKAKRVLPTKGIANVAKRERNRGAKQLDALMKELAVPLRGYIENFPKRIYLHPYERSLIELTFGAGNYEEVLKKVDALRKKVVSVGKEHASLCAKSLSKKEAEERLTEGLEKLQEIFKCEGQAIDDLLYIAKTLRAMPVVDLEMPTLCLVGAPNVGKSSLVRLLSTGKPEVTDTPGLLKRCDEDRNNLEKLTLAVLSHLPTAILYVHDLSGQCGMSPSDQFMIYKEISERFGNHLWLDVISKCDLLKESPVVFITEDREVDHLELVKYRKIGPEGALHVSVKNEDGIDKLKKKVHELLMAQTATAIFILNSLMCCTG >KJB37025 pep chromosome:Graimondii2_0_v6:6:44383708:44391562:-1 gene:B456_006G186900 transcript:KJB37025 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MSCAFSLLESCHLPCSRYLSTTFRFSRGWYQMQRPIKHKTLCLCRNMQTFACELVKASYVPTPQTKPKDQSLAKVETIGAFQKLPMVMPSVDIFQSASRKAKRVLPTKGIANVAKRERNRGAKQLDALMKELAVPLRGYIENFPKRIYLHPYERSLIELTFGAGNYEEVLKKVDALRKKVVSVGKEHASLCAKSLSKKEAEERLTEGLEKLQEIFKCEGQAIDDLLYIAKTLRAMPVVDLEMPTLCLVGAPNVGKSSLVRLLSTGKPEVCNYPFTTRGILMGHITLDYQHFQVTDTPGLLKRCDEDRNNLEKLTLAVLSHLPTAILYVHDLSGQCGMSPSDQFMIYKEISERFGNHLWLDVISKCDLLKESPVVFITEDREVDHLELVKYRKIGPEGALHVSVKNEDGIDKLKKKVHELLMAQTATAIFILNSLMCCTG >KJB37027 pep chromosome:Graimondii2_0_v6:6:44383785:44391505:-1 gene:B456_006G186900 transcript:KJB37027 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MSCAFSLLESCHLPCSRYLSTTFRFSRGWYQMQRPIKHKTLCLCRNMQTFACELVKASYVPTPQTKPKDQSLAKVETIGAFQKLPMVMPSVDIFQSASRKAKRVLPTKGIANVAKRERNRGAKQLDALMKELAVPLRGYIENFPKRIYLHPYERSLIELTFGAGNYEESLSKKEAEERLTEGLEKLQEIFKCEGQAIDDLLYIAKTLRAMPVVDLEMPTLCLVGAPNVGKSSLVRLLSTGKPEVCNYPFTTRGILMGHITLDYQHFQVTDTPGLLKRCDEDRNNLEKLTLAVLSHLPTAILYVHDLSGQCGMSPSDQFMIYKEISERFGNHLWLDVISKCDLLKESPVVFITEDREVDHLELVKYRKIGPEGALHVSVKNEDGIDKLKKKVHELLMAQTATAIFILNSLMCCTG >KJB37026 pep chromosome:Graimondii2_0_v6:6:44383785:44391505:-1 gene:B456_006G186900 transcript:KJB37026 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MVMPSVDIFQSASRKAKRVLPTKGIANVAKRERNRGAKQLDALMKELAVPLRGYIENFPKRIYLHPYERSLIELTFGAGNYEEVLKKVDALRKKVVSVGKEHASLCAKSLSKKEAEERLTEGLEKLQEIFKCEGQAIDDLLYIAKTLRAMPVVDLEMPTLCLVGAPNVGKSSLVRLLSTGKPEVCNYPFTTRGILMGHITLDYQHFQVTDTPGLLKRCDEDRNNLEKLTLAVLSHLPTAILYVHDLSGQCGMSPSDQFMIYKEISERFGNHLWLDVISKCDLLKESPVVFITEDREVDHLELVKYRKIGPEGALHVSVKNEDGIDKLKKKVHELLMAQTATAIFILNSLMCCTG >KJB37029 pep chromosome:Graimondii2_0_v6:6:44383785:44391505:-1 gene:B456_006G186900 transcript:KJB37029 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MSCAFSLLESCHLPCSRYLSTTFRFSRGWYQMQRPIKHKTLCLCRNMQTFACELVKASYVPTPQTKPKDQSLAKVETIGAFQKLPMVMPSVDIFQSASRKAKRVLPTKGIANVAKRERNRGAKQLDALMKELAVPLRGYIENFPKRIYLHPYERSLIELTFGAGNYEEVLKKVDALRKKVVSVGKEHASLCAKSLSKKEAEERLTEGLEKLQEIFKCEGQAIDDLLYIAKTLRAMPVVDLEMPTLCLVGAPNVGKSSLVRLLSTGKPEVCNYPFTTRGILMGHITLDYQHFQVTDTPGLLKRCDDRNNLEKLTLAVLSHLPTAILYVHDLSGQCGMSPSDQFMIYKEISERFGNHLWLDVISKCDLLKESPVVFITEDREVDHLELVKYRKIGPEGALHVSVKNEDGIDKLKKKVHELLMAQTATAIFILNSLMCCTG >KJB34154 pep chromosome:Graimondii2_0_v6:6:17299736:17300499:1 gene:B456_006G050600 transcript:KJB34154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYTNLNRLVSEVISSLTASLRFDGALNVDVNEFQINLVPYPRIHFMLSLYAPVISAEKAYHEQLSVAEITSSAFEPASMMAKCDPSHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRVVFMISNSTGVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYDEVGLESGEGDEDEGDEY >KJB36042 pep chromosome:Graimondii2_0_v6:6:39686920:39690863:1 gene:B456_006G140100 transcript:KJB36042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCSPISTCVKNVVHLRGRMSSSLRSIISCGPSSSCCYCLYSGTKVKYTGLSVSNTTSVGCQEFQAGYFCSSRRSGKFQTLTINESISNKEKLKRQLEISWAGQSMKMRLLLSKHGTFQKFKCIGGSQSWPPEAGGAKQGKEDEHDSSQAQFSHGKKVYTDYSVIGIPGDGRCMFRSVAHGACLRSGKSAPSEQVQRELADDLRAKVADEFIKRRKETEWFVEGDFDTYVSHIRKPHVWGGEPELFMASHVLQMPITVYMFDRDAGGLIAIAEYGQEYGTENPVRVLYHGFGHYDALQMPGSKPGKSKL >KJB36046 pep chromosome:Graimondii2_0_v6:6:39687539:39691066:1 gene:B456_006G140100 transcript:KJB36046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCSPISTCVKNVVHLRGRMSSSLRSIISCGPSSSCCYCLYSGTKVKYTGLSVSNTTSVGCQEFQAGYFCSSRRSGKFQTLTINESISNKEKLKRQLEISWAGQSMKMRLLLSKHGTFQKFKCIGGSQSWPPEAGGAKQGKEDEHDSSQAQFSHGKKVYTDYSVIGIPGDGRCMFRSVAHGACLRSGKSAPSEQVQRELADDLRAKVADEFIKRRKETEWFVEGDFDTYVSHIRKPHVWGGEPELFMASHVLQMPITVYMFDRDAGGLIAIAEYGQEYGTENPVRVLYHGFGHYDALQMPGSKPGKSKL >KJB36044 pep chromosome:Graimondii2_0_v6:6:39687131:39691020:1 gene:B456_006G140100 transcript:KJB36044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCSPISTCVKNVVHLRGRMSSSLRSIISCGPSSSCCYCLYSGTKVKYTGLSVSNTTSVGCQEFQAGYFCSSRRSGKFQTLTINESISNKEKLKRQLEISWAGQSMKMRLLLSKHGTFQKFKCIGGSQSWPPEAGGAKQGKEDEHDSSQAQFSHGKKVYTDYSVIGIPGDGRCMFRSVAHGACLRSGKSAPSEQVQRELADDLRAKVADEFIKRRKETEWFVEGDFDTYVSHIRKPHVWGGEPELFMASHVLQMPITVYMFDRDAGGLIAIAEYGQEYGTENPVRVLYHGFGHYDALQMPGSKPGKSKL >KJB36045 pep chromosome:Graimondii2_0_v6:6:39686934:39691066:1 gene:B456_006G140100 transcript:KJB36045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCSPISTCVKNVVHLRGRMSSSLRSIISCGPSSSCCYCLYSGTKVKYTGLSVSNTTSVGCQEFQAGYFCSSRRSGKFQTLTINESISNKEKLKRQLEISWAGQSMKMRLLLSKHGTFQKFKCIGGSQSWPPGSVSAGLAFGLLICYSSSEPVHAEAGGAKQGKEDEHDSSQAQFSHGKKVYTDYSVIGIPGDGRCMFRSVAHGACLRSGKSAPSEQVQRELADDLRAKVADEFIKRRKETEWFVEGDFDTYVSHIRKPHVWGGEPELFMASHVLQMPITVYMFDRDAGGLIAIAEYGQEYGTENPVRVLYHGFGHYDALQMPGSKPGKSKL >KJB36047 pep chromosome:Graimondii2_0_v6:6:39686778:39691066:1 gene:B456_006G140100 transcript:KJB36047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCSPISTCVKNVVHLRGRMSSSLRSIISCGPSSSCCYCLYSGTKVKYTGLSVSNTTSVGCQEFQAGYFCSSRRSGKFQTLTINESISNKEKLKRQLEISWAGQSMKMRLLLSKHGTFQKFKCIGGSQSWPPEAGGAKQGKEDEHDSSQAQFSHGKKVYTDYSVIGIPGDGRCMFRSVAHGACLRSGKSAPSEQVQRELADDLRAKVADEFIKRRKETEWFVEGDFDTYVSHIRKPHVWGGEPELFMASHVLQMPITVYMFDRDAGGLIAIAEYGQEYGTENPVRVLYHGFGHYDALQMPGSKPGKSKL >KJB36043 pep chromosome:Graimondii2_0_v6:6:39686912:39690863:1 gene:B456_006G140100 transcript:KJB36043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVCSPISTCVKNVVHLRGRMSSSLRSIISCGPSSSCCYCLYSGTKVKYTGLSVSNTTSVGCQEFQAGYFCSSRRSGKFQTLTINESISNKEKLKRQLEISWAGQSMKMRLLLSKHGTFQKFKCIGGSQSWPPEAGGAKQGKEDEHDSSQAQFSHGKKVYTDYSVIVLNRAGIPGDGRCMFRSVAHGACLRSGKSAPSEQVQRELADDLRAKVADEFIKRRKETEWFVEGDFDTYVSHIRKPHVWGGEPELFMASHVLQMPITVYMFDRDAGGLIAIAEYGQEYGTENPVRVLYHGFGHYDALQMPGSKPGKSKL >KJB36984 pep chromosome:Graimondii2_0_v6:6:44276032:44280671:-1 gene:B456_006G185700 transcript:KJB36984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFIICDHIGFTFSNSHMDHRMVAGKKQQNFIVNGTAPPIPNAANCVVATRNLRKNQLGGVIFGCKNTTYKECLFKQLFGLPAQHFSYVKNIDPGLPLFLFNYSERKLHGIFEAASHGQMNINPYGWTTDGSEKTQYPAQVQICVRMQCQPLLEEQFRPIISDNYYCRNHFWFELDHVQTNNLMSLLASLAVSPSTYMPQNMAKWRNIFLPLPSSGTKKEDEGFRLLAPEMEQTNHTSGKWEADVFFDDIKVADDGWKFSASEVEHFSQSSSKSESTDCAPFDSLETNVEPKTTGQGEKDLILIKLKELAQKRKDQDVSLMDNVEDSTVMKEAHIEDRVLLREQTDLAQRKEDGACSSSGCQSSISKLIQEVEELRTFKAEQCGKMIQMEQKLIAAEMEIQQLKDRCQKLESLSSHSVEHVNEVEIEPAEELQLDPTKSIFLVGGYNGQLWLSTLDSYFPSDDVIKSVQPMSSVRSYASAVQLNDELYVFGGGDGYSWYDTVESYNPSSDQWTPCPSLKERKGSLAGAALGGKIFAIGGGNGIQCFSDVEMLDLILGRWISTRSMLQKRFALAAVELNGAIYATGGYDGNDYLKSAERFDPREHSWTKIASMSTKRGCHSLVVLDEKLYAIGGFDGTKMVPSVEIYDPRMGSWMSGEPINQARGYAAAAVVEGSIYVIGGVRAGEDIVDLVECYEEGGGWQLKTSTAVGKRCFLSAVIFK >KJB36983 pep chromosome:Graimondii2_0_v6:6:44276024:44281751:-1 gene:B456_006G185700 transcript:KJB36983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGKKQQNFIVNGTAPPIPNAANCVVATRNLRKNQLGGVIFGCKNTTYKECLFKQLFGLPAQHFSYVKNIDPGLPLFLFNYSERKLHGIFEAASHGQMNINPYGWTTDGSEKTQYPAQVQICVRMQCQPLLEEQFRPIISDNYYCRNHFWFELDHVQTNNLMSLLASLAVSPSTYMPQNMAKWRNIFLPLPSSGTKKEDEGFRLLAPEMEQTNHTSGKWEADVFFDDIKVADDGWKFSASEVEHFSQSSSKSESTDCAPFDSLETNVEPKTTGQGEKDLILIKLKELAQKRKDQDVSLMDNVEDSTVMKEAHIEDRVLLREQTDLAQRKEDGACSSSGCQSSISKLIQEVEELRTFKAEQCGKMIQMEQKLIAAEMEIQQLKDRCQKLESLSSHSVEHVNEVEIEPAEELQLDPTKSIFLVGGYNGQLWLSTLDSYFPSDDVIKSVQPMSSVRSYASAVQLNDELYVFGGGDGYSWYDTVESYNPSSDQWTPCPSLKERKGSLAGAALGGKIFAIGGGNGIQCFSDVEMLDLILGRWISTRSMLQKRFALAAVELNGAIYATGGYDGNDYLKSAERFDPREHSWTKIASMSTKRGCHSLVVLDEKLYAIGGFDGTKMVPSVEIYDPRMGSWMSGEPINQARGYAAAAVVEGSIYVIGGVRAGEDIVDLVECYEEGGGWQLKTSTAVGKRCFLSAVIFK >KJB36985 pep chromosome:Graimondii2_0_v6:6:44276032:44281576:-1 gene:B456_006G185700 transcript:KJB36985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSERATNITTLLFSSPPSLCPYLYRWLQTKLHPLMVAGKKQQNFIVNGTAPPIPNAANCVVATRNLRKNQLGGVIFGCKNTTYKECLFKQLFGLPAQHFSYVKNIDPGLPLFLFNYSERKLHGIFEAASHGQMNINPYGWTTDGSEKTQYPAQVQICVRMQCQPLLEEQFRPIISDNYYCRNHFWFELDHVQTNNLMSLLASLAVSPSTYMPQNMAKWRNIFLPLPSSGTKKEDEGFRLLAPEMEQTNHTSGKWEADVFFDDIKVADDGWKFSASEVEHFSQSSSKSESTDCAPFDSLETNVEPKTTGQGEKDLILIKLKELAQKRKDQDVSLMDNVEDSTVMKEAHIEDRVLLREQTDLAQRKEDGACSSSGCQSSISKLIQEVEELRTFKAEQCGKMIQMEQKLIAAEMEIQQLKDRCQKLESLSSHSVEHVNEVEIEPAEELQLDPTKSIFLVGGYNGQLWLSTLDSYFPSDDVIKSVQPMSSVRSYASAVQLNDELYVFGGGDGYSWYDTVESYNPSSDQWTPCPSLKERKGSLAGAALGGKIFAIGGGNGIQCFSDVEMLDLILGRWISTRSMLQKRFALAAVELNGAIYATGGYDGNDYLKSAERFDPREHSWTKIASMSTKRGCHSLVVLDEKL >KJB33255 pep chromosome:Graimondii2_0_v6:6:1049051:1052740:-1 gene:B456_006G005500 transcript:KJB33255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSVLMDSSSPTILLHPSIEPRDETGSVLFDPSKLQKQSSLPSEFIWPCGDLVHTQEELNEPLIDLGGFIKGDEEATAHAVDLVKTACSKHGFFQVTNHGVDSNLIQAAYQEIDAVFKLPLNKKLGFQRKPGGFSGYSAAHADRFSAKLPWKETFSFGYQGLNSDPSVVHYFSSALGEDFEHTGRIFQKYCEKMRELSLVIFELLAISLGIDRLHYRKFFEDGNSIMRCNYYPPCNNSGLTLGTGPHSDPTSLTILHQDQVGGLEVFINNKWYAVRPRQDAFVINIGDTFMALCNGRYKSCLHRAVVNKERERRSLVYFVCPKEDKIVRPPQDLMCRSGGPRVYPDFTWSDLLDFTQNHYRADVATLQSFFPWLLSSNPTSNF >KJB33256 pep chromosome:Graimondii2_0_v6:6:1050578:1052740:-1 gene:B456_006G005500 transcript:KJB33256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSVLMDSSSPTILLHPSIEPRDETGSVLFDPSKLQKQSSLPSEFIWPCGDLVHTQEELNEPLIDLGGFIKGDEEATAHAVDLVKTACSKHGFFQVTNHGVDSNLIQAAYQEIDAVFKLPLNKKLGFQRKPGGFSGYSAAHADRFSAKLPWKETFSFGYQGLNSDPSVVHYFSSALGEDFEHTGRIFQKYCEKMRELSLVIFELLAISLGIDRLHYRKFFEDGNSIMRCNYYPPCNNSGLTLGTGPHSDPTSLTILHQDQVGGLEVFINNKWYAVRPRQDAFVINIGDTFMVSPFCCISISTTNLKPVKSY >KJB33622 pep chromosome:Graimondii2_0_v6:6:5924339:5927602:-1 gene:B456_006G022400 transcript:KJB33622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGWWSSYSNIGVHHCTWPGVRCNAAGSVIEIDLSGHGLNGTITPQIGALSKLKSLNLSSNNLRGELPSSLGNLTQLAVLDVSYNEIHSIPLEIDKMENLVTLNLTRNLIVHLPSAIGLLTNLTHLIMNSNPLRSIVPPHIWNLKKLRTLHLRNCQLNSSIPPYIGKLKSLVNLHLSSNMLVGPIPSSITNLTNLQSLLLQGNQLNGSIPREIGRLTNLITLNLSSNNLVGPIPSSVNNLTNLASLVLYGNQLNGPIPQEIGRLTNLITLDLSFNMLVGPIPSSVNNVTNLGSLLLQHNQLNGSIPQEIGRLTNLVTLDLSSNMLLGPLPPNLGNLSSLEYLDLYTNKINGSIPLEIKNLKRLTNLDLGANNLSGQIPSFLGLLPNMSLLWLDSNLFEGFIPIDIGKSKNLTLLFLSDNKLTGSIPSSLCYLTNLLWLFLDRNLLHGPIPSQIGNMTNLKELHLDSNHISHSIPSSLLHLPNLRYLSMASNLLEGPISHEIHSLKLKHLDLSYNKLSGPIPTQIGNLSNLTYLNLANNNLSGRIPQFGNLTHLSILDVSWNNLTGMIPEFPIYPESLNLSMNSLWGPIPDGLLHFAPDTFTGNKYLCGSIQGFRPCPSSPTVNKERNSKVVKHNLPVVILVPTLLFFVSTFVLVMFILFRRYKATALKPDPSPTENGDLFSIWSFDGKIAFEDIIKATEDFDMKYCIGTGGYGSVYRAVLPSGRVVALKKLHRLEAEQPTYDTNFRNEIKFLTEIRHKNIVKLHGFCLHNRCMFLIYEYMENGSLFYALSIDEEAVELDWTKRVNIVKGVAHALSYMHHDCNHPIVHRDISSNNVLLNLEWEAFIADFGTARLLDPDSSNRTVIVGTYGYIAPEFAYSLVVTEKCDVYSFGVLALEILMGKHPGELLSLLISSSSSVQNIMLNEILDPRLSPPRSRKLVGNIAFVAIIAFACLWTKPKARPTMKLVSQEFLHIKSPISMPLHEISLIELKNYEMFMSGECYK >KJB33483 pep chromosome:Graimondii2_0_v6:6:2783211:2788820:-1 gene:B456_006G012900 transcript:KJB33483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin conjugation factor E4 [Source:Projected from Arabidopsis thaliana (AT5G15400) UniProtKB/Swiss-Prot;Acc:Q9LF41] MATQKPQRTPEEIEDMILRKIFLVTLKENPENPSSSSSDPRVVYLEMTAAEILSEGKSLLLSRDLMERVLIDRLSGEFPNSEPPFNYLIGCYKRAHEEIKKISNMKDKTLRSGMESAAKQAKKLAVSYARIHLGNPDLFSNGNLKDSNPKAGSSLSSSSPLFPLVFAEVSSGVMLDGFGGNDFGSRVDCPPGFLEDFFKDSDFDTLDPILKGLYEDLRGSVLKVSALGNFQQPLRALLYLVKFPVGAKSLVNHPWWIPKGVYLNGRVIEMTSILGPFFHVSALPDHTIFKSQPDVGQQCFSDASTRRAADLLSSFTTIKTLMNTLYDGLAEVLLCLLKNTETRDSVLEYLAEVINKNASRAHIQVDPISCASSGMFVNLSAVMLRLSEPFLDANLTKRDKIDPTYVFYCSRLDLRGLTALHATSEEVAEWIDKDNPVKTDGSGLNNDGENSLRQLQEASSSGSTPNVKPTRSSSEKAKYPFICECFFMTARVLNLGLLKAFSDFKHLVQDISRSEDTLATLKAMQGQAPSPQLELDISRLEKEIELYSQEKFCYEAQILRDGALIQQALSFYRLMVVWLVGLVGGFKMPLPPTCPMEFASMPEHFVEDAMELLIFASRIPKALDGVHSYVLDDFMKFIIMFMASPQFIKNPYLRAKMVEVLNCWMPRRSGSSATSTLFEVHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWKQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEMSNTAEWERRPAQERQERTRLFHSQENIIRIDMKLANEDVSMLAFTSEQITAPFLLPEMVTSFPLHQCLSFLKFMPTSSTC >KJB33481 pep chromosome:Graimondii2_0_v6:6:2782253:2788820:-1 gene:B456_006G012900 transcript:KJB33481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin conjugation factor E4 [Source:Projected from Arabidopsis thaliana (AT5G15400) UniProtKB/Swiss-Prot;Acc:Q9LF41] MATQKPQRTPEEIEDMILRKIFLVTLKENPENPSSSSSDPRVVYLEMTAAEILSEGKSLLLSRDLMERVLIDRLSGEFPNSEPPFNYLIGCYKRAHEEIKKISNMKDKTLRSGMESAAKQAKKLAVSYARIHLGNPDLFSNGNLKDSNPKAGSSLSSSSPLFPLVFAEVSSGVMLDGFGGNDFGSRVDCPPGFLEDFFKDSDFDTLDPILKGLYEDLRGSVLKVSALGNFQQPLRALLYLVKFPVGAKSLVNHPWWIPKGVYLNGRVIEMTSILGPFFHVSALPDHTIFKSQPDVGQQCFSDASTRRAADLLSSFTTIKTLMNTLYDGLAEVLLCLLKNTETRDSVLEYLAEVINKNASRAHIQVDPISCASSGMFVNLSAVMLRLSEPFLDANLTKRDKIDPTYVFYCSRLDLRGLTALHATSEEVAEWIDKDNPVKTDGSGLNNDGENSLRQLQEASSSGSTPNVKPTRSSSEKAKYPFICECFFMTARVLNLGLLKAFSDFKHLVQDISRSEDTLATLKAMQGQAPSPQLELDISRLEKEIELYSQEKFCYEAQILRDGALIQQALSFYRLMVVWLVGLVGGFKMPLPPTCPMEFASMPEHFVEDAMELLIFASRIPKALDGVHSYVLDDFMKFIIMFMASPQFIKNPYLRAKMVEVLNCWMPRRSGSSATSTLFEVHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWKQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEMSNTAEWERRPAQERQERTRLFHSQENIIRIDMKLANEDVSMLAFTSEQITAPFLLPEMVERVANMLNYFLLQLVGPQRKSLTLKDPEKYEFRPKELLKQIVRIYVHLARGDAKNIFPSAISSDGRSYNEQLFSAAADVLRRIGEDGRIIQDFIELGAKAKAAASEAMDTEAALGDIPDEFLDPIQYTLMKDPVILPSSRITIDRPVIQRHLLSDSTDPFNRSHLTSEMLIPNTELKARIEEFIRSQELKKHGEGLNMQSSKGTIQPTSGEMLID >KJB33484 pep chromosome:Graimondii2_0_v6:6:2783398:2788801:-1 gene:B456_006G012900 transcript:KJB33484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin conjugation factor E4 [Source:Projected from Arabidopsis thaliana (AT5G15400) UniProtKB/Swiss-Prot;Acc:Q9LF41] MATQKPQRTPEEIEDMILRKIFLVTLKENPENPSSSSSDPRVVYLEMTAAEILSEGKSLLLSRDLMERVLIDRLSGEFPNSEPPFNYLIGCYKRAHEEIKKISNMKDKTLRSGMESAAKQAKKLAVSYARIHLGNPDLFSNGNLKDSNPKAGSSLSSSSPLFPLVFAEVSSGVMLDGFGGNDFGSRVDCPPGFLEDFFKDSDFDTLDPILKGLYEDLRGSVLKVSALGNFQQPLRALLYLVKFPVGAKSLVNHPWWIPKGVYLNGRVIEMTSILGPFFHVSALPDHTIFKSQPDVGQQCFSDASTRRAADLLSSFTTIKTLMNTLYDGLAEVLLCLLKNTETRDSVLEYLAEVINKNASRAHIQVDPISCASSGMFVNLSAVMLRLSEPFLDANLTKRDKIDPTYVFYCSRLDLRGLTALHATSEEVAEWIDKDNPVKTDGSGLNNDGENSLRQLQEASSSGSTPNVKPTRSSSEKAKYPFICECFFMTARVLNLGLLKAFSDFKHLVQDISRSEDTLATLKAMQGQAPSPQLELDISRLEKEIELYSQEKFCYEAQILRDGALIQQALSFYRLMVVWLVGLVGGFKMPLPPTCPMEFASMPEHFVEDAMELLIFASRIPKALDGVHSYVLDDFMKFIIMFMASPQFIKNPYLRAKMVEVLNCWMPRRSGSSATSTLFEVHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWKQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEMSNTAEWERRPAQERQERTRLFHSQENIIRIDMKLANEDVSMLAFTSEQITAPFLLPEMVERVANMLNYFLLQLVGPQRKSLTLKDPEKYEFRPKELLKQVGQM >KJB33480 pep chromosome:Graimondii2_0_v6:6:2782793:2788629:-1 gene:B456_006G012900 transcript:KJB33480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin conjugation factor E4 [Source:Projected from Arabidopsis thaliana (AT5G15400) UniProtKB/Swiss-Prot;Acc:Q9LF41] MATQKPQRTPEEIEDMILRKIFLVTLKENPENPSSSSSDPRVVYLEMTAAEILSEGKSLLLSRDLMERVLIDRLSGEFPNSEPPFNYLIGCYKRAHEEIKKISNMKDKTLRSGMESAAKQAKKLAVSYARIHLGNPDLFSNGNLKDSNPKAGSSLSSSSPLFPLVFAEVSSGVMLDGFGGNDFGSRVDCPPGFLEDFFKDSDFDTLDPILKGLYEDLRGSVLKVSALGNFQQPLRALLYLVKFPVGAKSLVNHPWWIPKGVYLNGRVIEMTSILGPFFHVSALPDHTIFKSQPDVGQQCFSDASTRRAADLLSSFTTIKTLMNTLYDGLAEVLLCLLKNTETRDSVLEYLAEVINKNASRAHIQVDPISCASSGMFVNLSAVMLRLSEPFLDANLTKRDKIDPTYVFYCSRLDLRGLTALHATSEEVAEWIDKDNPVKTDGSGLNNDGENSLRQLQEASSSGSTPNVKPTRSSSEKAKYPFICECFFMTARVLNLGLLKAFSDFKHLVQDISRSEDTLATLKAMQGQAPSPQLELDISRLEKEIELYSQEKFCYEAQILRDGALIQQALSFYRLMVVWLVGLVGGFKMPLPPTCPMEFASMPEHFVEDAMELLIFASRIPKALDGVHSYVLDDFMKFIIMFMASPQFIKNPYLRAKMVEVLNCWMPRRSGSSATSTLFEVHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWKQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEMSNTAEWERRPAQERQERTRLFHSQENIIRIDMKLANEDVSMLAFTSEQITAPFLLPEMVERVANMLNYFLLQLVGPQRKSLTLKDPEKYEFRPKELLKQIVRIYVHLARGDAKNIFPSAISSDGRSYNEQLFSAAADVLRRIGEDGRIIQDFIELGAKAKAAASEAMDTEAALGDIPDEFLDPIQDRKHTLFFPAVYIDEGSGDLTIFKNHNRQTCYPKTSSK >KJB33482 pep chromosome:Graimondii2_0_v6:6:2782259:2788801:-1 gene:B456_006G012900 transcript:KJB33482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin conjugation factor E4 [Source:Projected from Arabidopsis thaliana (AT5G15400) UniProtKB/Swiss-Prot;Acc:Q9LF41] MATQKPQRTPEEIEDMILRKIFLVTLKENPENPSSSSSDPRVVYLEMTAAEILSEGKSLLLSRDLMERVLIDRLSGEFPNSEPPFNYLIGCYKRAHEEIKKISNMKDKTLRSGMESAAKQAKKLAVSYARIHLGNPDLFSNGNLKDSNPKAGSSLSSSSPLFPLVFAEVSSGVMLDGFGGNDFGSRVDCPPGFLEDFFKDSDFDTLDPILKGLYEDLRGSVLKVSALGNFQQPLRALLYLVKFPVGAKSLVNHPWWIPKGVYLNGRVIEMTSILGPFFHVSALPDHTIFKSQPDVGQQCFSDASTRRAADLLSSFTTIKTLMNTLYDGLAEVLLCLLKNTETRDSVLEYLAEVINKNASRAHIQVDPISCASSGMFVNLSAVMLRLSEPFLDANLTKRDKIDPTYVFYCSRLDLRGLTALHATSEEVAEWIDKDNPVKTDGSGLNNDGENSLRQLQEASSSGSTPNVKPTRSSSEKAKYPFICECFFMTARVLNLGLLKAFSDFKHLVQDISRSEDTLATLKAMQGQAPSPQLELDISRLEKEIELYSQEKFCYEAQILRDGALIQQALSFYRLMVVWLVGLVGGFKMPLPPTCPMEFASMPEHFVEDAMELLIFASRIPKALDGVHSYDDFMKFIIMFMASPQFIKNPYLRAKMVEVLNCWMPRRSGSSATSTLFEVHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWKQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEMSNTAEWERRPAQERQERTRLFHSQENIIRIDMKLANEDVSMLAFTSEQITAPFLLPEMVERVANMLNYFLLQLVGPQRKSLTLKDPEKYEFRPKELLKQIVRIYVHLARGDAKNIFPSAISSDGRSYNEQLFSAAADVLRRIGEDGRIIQDFIELGAKAKAAASEAMDTEAALGDIPDEFLDPIQYTLMKDPVILPSSRITIDRPVIQRHLLSDSTDPFNRSHLTSEMLIPNTELKARIEEFIRSQELKKHGEGLNMQSSKGTIQPTSGEMLID >KJB35350 pep chromosome:Graimondii2_0_v6:6:35699227:35703303:1 gene:B456_006G110500 transcript:KJB35350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSFHKFGDMFFPGTGDVKDIGEREGKYYAINVPLKDGIDDNSFTRLFKIIISKVVEMYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVKIVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPENEYIKYFAPDCLLNIPNGHIENLNSKSYLKTITMQVLENLRSIQHAPGVQMQEVPPDFYIPDFDEDEQNADERMDQHTQDKQIQRDDEYYDGDNDNDHNMDM >KJB38527 pep chromosome:Graimondii2_0_v6:6:50184122:50185257:1 gene:B456_006G261200 transcript:KJB38527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKSPIFPIHEPQHFSDYGFDPQIDYFQVLEEAKKHRKETPRSIDSLHFKLQKPISKDEQFTKKAQHKLGYKKKKRYWWWKNALFFFKWKKWGGFKSTTTTTGGGLDLELDIEPDVHRARARAFMAASMSGPVYITESRSGSTTPYRTSVSCRRRPSSSGPLTPYLSLRELNMEYQQRVSSSSTSAMPIYLVT >KJB38833 pep chromosome:Graimondii2_0_v6:6:51040938:51042355:1 gene:B456_006G274700 transcript:KJB38833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLSWSYMLTKNTGIIFTLISCSYFCVICPVFRSATQTWDLCFYCLQRYIEASKARLYHSRDDSVALVAQTVILYIFENILKLITSIHGIRNWGTVAGTPQSERSSHNIFSHIFSLSKATHRVGMICTVYSFMSLQTPFRLFCIFNL >KJB36003 pep chromosome:Graimondii2_0_v6:6:39384884:39387329:-1 gene:B456_006G137600 transcript:KJB36003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASDDMSDYKEDTKHWLIFDSINNLLIEGGGTGNINGNGKIWWQNSCKINKTLPCKDAPTALTFYHSKNLKVQNLNIQDAQQIHVSFEKCNGVQASGLTVAAPESSPNTDGIHVTRTQNIWITNSVIGTGDDCISIVSGSKNVQAMDITCGPGHGISIGSLGSKSSKAYVSGVTVDGAKLSGTTNGVRIKTWQGGSGSASNILFQNIEMNNVSNPIIIDQNYCDQDKPCKEQSSAVQVKDVVYKNINGTSASEVAIKFDCSKTHPCQEILLQNVKLQEQGDRTAKAICNNVKLTEKGTVFPQC >KJB36004 pep chromosome:Graimondii2_0_v6:6:39384884:39387689:-1 gene:B456_006G137600 transcript:KJB36004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQTRQVVVLYFITIFSLFSRYNSLEKDPPPPSYNYFEDLVSAGYDPQAYPSYFTTTIDDGHFKDLISQRTQVISQLQKLGVTSAPSTKTVNVNDFGATGDATQDTQAFEKAWEEACSSKGEVVLEVPQGQRYQLKPIRFSGPCNSNLTIQIYGSMEASDDMSDYKEDTKHWLIFDSINNLLIEGGGTGNINGNGKIWWQNSCKINKTLPCKDAPTALTFYHSKNLKVQNLNIQDAQQIHVSFEKCNGVQASGLTVAAPESSPNTDGIHVTRTQNIWITNSVIGTGDDCISIVSGSKNVQAMDITCGPGHGISIGSLGSKSSKAYVSGVTVDGAKLSGTTNGVRIKTWQGGSGSASNILFQNIEMNNVSNPIIIDQNYCDQDKPCKEQSSAVQVKDVVYKNINGTSASEVAIKFDCSKTHPCQEILLQNVKLQEQGDRTAKAICNNVKLTEKGTVFPQC >KJB36006 pep chromosome:Graimondii2_0_v6:6:39385727:39387689:-1 gene:B456_006G137600 transcript:KJB36006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQTRQVVVLYFITIFSLFSRYNSLEKDPPPPSYNYFEDLVSAGYDPQAYPSYFTTTIDDGHFKDLISQRTQVISQLQKLGVTSAPSTKTVNVNDFGATGDATQDTQAFEKAWEEACSSKGEVVLEVPQGQRYQLKPIRFSGPCNSNLTIQIYGSMEASDDMSDYKEDTKHWLIFDSINNLLIEGGGTGNINGNGKIWWQNSCKINKTLPCKDAPTALTFYHSKNLKVQNLNIQDAQQIHVSFEKCNGVQASGLTVAAPESSPNTDGIHVTRTQNIWITNSVIGTGDDCISIVSGSKNVQAMDITCGPGHGISIGSLGSKSSKAYVSGVTVDGAKLSGTTNGVRIKTWQARRVRKCKQHLIPEH >KJB36005 pep chromosome:Graimondii2_0_v6:6:39385856:39387689:-1 gene:B456_006G137600 transcript:KJB36005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQTRQVVVLYFITIFSLFSRYNSLEKDPPPPSYNYFEDLVSAGYDPQAYPSYFTTTIDDGHFKDLISQRTQVISQLQKLGVTSAPSTKTVNVNDFGATGDATQDTQAFEKAWEEACSSKGEVVLEVPQGQRYQLKPIRFSGPCNSNLTIQIYGSMEASDDMSDYKEDTKHWLIFDSINNLLIEGGGTGNINGNGKIWWQNSCKINKTLPCKDAPTALTFYHSKNLKVQNLNIQDAQQIHVSFEKCNGVQASGLTVAAPESSPNTDGIHVTRTQNIWITNSVIGTGDDCISIVSGSKNVQAMDITCGPGHGISIGSLGSKSSKAYVSGVTVDGAKLSGTTNGVRIKTWQASEAF >KJB36002 pep chromosome:Graimondii2_0_v6:6:39384754:39387826:-1 gene:B456_006G137600 transcript:KJB36002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQTRQVVVLYFITIFSLFSRYNSLEKDPPPPSYNYFEDLVSAGYDPQAYPSYFTTTIDDGHFKDLISQRTQVISQLQKLGVTSAPSTKTVNVNDFGATGDATQDTQAFEKAWEEACSSKGEVVLEVPQGQRYQLKPIRFSGPCNSNLTIQIYGSMEASDDMSDYKEDTKHWLIFDSINNLLIEGGGTGNINGNGKIWWQNSCKINKTLPCKDAPTALTFYHSKNLKVQNLNIQDAQQIHVSFEKCNGVQASGLTVAAPESSPNTDGIHVTRTQNIWITNSVIGTGDDCISIVSGSKNVQAMDITCGPGHGISIGSLGSKSSKAYVSGVTVDGAKLSGTTNGVRIKTWQGGSGSASNILFQNIEMNNVSNPIIIDQNYCDQDKPCKEQSSAVQVKDVVYKNINGTSASEVAIKFDCSKTHPCQEILLQNVKLQEQGDRTAKAICNNVKLTEKGTVFPQC >KJB38187 pep chromosome:Graimondii2_0_v6:6:48767903:48771430:-1 gene:B456_006G241500 transcript:KJB38187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKTGEEDKGIKAPKKQDGLEGGGNSKMKGNGSISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRPAEEWEIELAKLDLRNVIAHGTYGTVYRATYDNQDVAVKLLDWGEDGIATTAETAALRASFRQEVAVWHKLDHPNVTKFIGASMGTSNLKIPSKVPSADNHNPLPSRACCVVVEYLPGGTLKQYLIRNRRKKLAFKIVVQLALDLARGLSYLHSRKIVHRDVKTENMLLDGHRNLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLEGKPYNRTCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVRQNLRPDIPRCCPNSLANIMKKCWDANPEKRPAMEEVVRMLEAVNTSKGGGMIPDDQTPTCLCLTSLRGP >KJB38188 pep chromosome:Graimondii2_0_v6:6:48767915:48770965:-1 gene:B456_006G241500 transcript:KJB38188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKTGEEDKGIKAPKKQDGLEGGGNSKMKGNGSISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRPAEEWEIELAKLDLRNVIAHGTYGTVYRATYDNQDVAVKLLDWGEDGIATTAETAALRASFRQEVAVWHKLDHPNVTKFIGASMGTSNLKIPSKVPSADNHNPLPSRACCVVVEYLPGGTLKQYLIRNRRKKLAFKIVVQLALDLARGLSYLHSRKIVHRDVKTENMLLDGHRNLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLEGKPYNRTCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVRQVKSTTRYPEMLPEFFSKHHEKMLGCESGETPCNGGGCENARSC >KJB38189 pep chromosome:Graimondii2_0_v6:6:48767915:48770965:-1 gene:B456_006G241500 transcript:KJB38189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKTGEEDKGIKAPKKQDGLEGGGNSKMKGNGSISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRPAEEWEIELAKLDLRNVIAHGTYGTVYRATYDNQDVAVKLLDWGEDGIATTAETAALRASFRQEVAVWHKLDHPNVTKFIGASMGTSNLKIPSKVPSADNHNPLPSRACCVVVEYLPGGTLKQYLIRNRRKKLAFKIVVQLALDLARGLSYLHSRKIVHRDVKTENMLLDGHRNLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVCLLKCIDKA >KJB34246 pep chromosome:Graimondii2_0_v6:6:20331510:20336523:-1 gene:B456_006G055600 transcript:KJB34246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMSTEESPEEEHCSLFYQLLLDFLSFSASSFSSMARYSVVTDKESMEVVEEFILEQLNLTKGTISEIKSIDSVGSEVLKAAQAVIDAVIRLCKEYYQVINWEFSGTELEKNENVMECEQACIMNHVMNITTVTVEKLFELGILAANDGGSLVTILNVSWKGLVNLLHLSKGKLPLKVKVADIVVTLISLVNGSLKCAAESWLYPKETISVIEARRIFVPIKFYLINAVKISSLYPCQAYTVYRHLALCVLMISTFKLSVSNEKLMKNVSEVMAELLEKTSLDLLSSLLNSTDVKQEQKYELVNWLFFDDCWSDAVKENQVSKCRLTSLDEIFSVSCETLPKSSVLVLGQVATFSSFLRYPSDLEDDVKLMIARKLDWFLNSIIDEEVYSSILVSQIPLLYVSGKTVELTWEPMFSALLQALKTFMIVVSSTSAWEEFGSFLVKNFLHPHFLCSEIIMEIWCFLVRHAELELVNGIIVELCALMKLVSSPESVFVPDSSLRKMAKSVCLLLSFCSTSVVECVYGSVIGDDRSQLSSVLYSALLLEGFPLNLLSKNMRSIAKEKIISDYFGFIDSFDDKLLTVSSSGFGLPVFALSASLRSLQVTISDMDMKTLKFLVTVVCGYRNSVDKLKKNVCCKLLSQTLGIISSLRHLYESAEMEEVILELHNLFVSGPAASDTLLNQCKPGLTLFLAGLSNTLMSESDTCPKSTAVWELYHMVLRERHWAFVHLSIAAFGYFAARTSCNQLWRFMPQDAALSYDLVSGTDASEDRFMSEFKAFLEKERALPAVITTSIEQQRLLLEEGLVLKQMVRKILNINSDAARCDKIETDDENQSNKRRKLPDGINKGVELLQDGLKVINDSLTQWQPNHTDSAELRERFMTHFSMLENVISHLQVLSGSG >KJB34247 pep chromosome:Graimondii2_0_v6:6:20331202:20337439:-1 gene:B456_006G055600 transcript:KJB34247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSSSSELQKLIEAIKISEVVEGRTELIAKLADLHLSKQSDVKSLAESLLTFWEDYTCLDVSQCLLNKTVVHVAAKYLDSDISGCLLQFLLLGSKASIWCGKHLKMTVMSTEESPEEEHCSLFYQLLLDFLSFSASSFSSMARYSVVTDKESMEVVEEFILEQLNLTKGTISEIKSIDSVGSEVLKAAQAVIDAVIRLCKEYYQVINWEFSGTELEKNENVMECEQACIMNHVMNITTVTVEKLFELGILAANDGGSLVTILNVSWKGLVNLLHLSKGKLPLKVKVADIVVTLISLVNGSLKCAAESWLYPKETISVIEARRIFVPIKFYLINAVKISSLYPCQAYTVYRHLALCVLMISTFKLSVSNEKLMKNVSEVMAELLEKTSLDLLSSLLNSTDVKQEQKYELVNWLFFDDCWSDAVKENQVSKCRLTSLDEIFSVSCETLPKSSVLVLGQVATFSSFLRYPSDLEDDVKLMIARKLDWFLNSIIDEEVYSSILVSQIPLLYVSGKTVELTWEPMFSALLQALKTFMIVVSSTSAWEEFGSFLVKNFLHPHFLCSEIIMEIWCFLVRHAELELVNGIIVELCALMKLVSSPESVFVPDSSLRKMAKSVCLLLSFCSTSVVECVYGSVIGDDRSQLSSVLYSALLLEGFPLNLLSKNMRSIAKEKIISDYFGFIDSFDDKLLTVSSSGFGLPVFALSASLRSLQVTISDMDMKTLKFLVTVVCGYRNSVDKLKKNVCCKLLSQTLGIISSLRHLYESAEMEEVILELHNLFVSGPAASDTLLNQCKPGLTLFLAGLSNTLMSESDTCPKSTAVWELYHMVLRERHWAFVHLSIAAFGYFAARTSCNQLWRFMPQDAALSYDLVSGTDASEDRFMSEFKAFLEKERALPAVITTSIEQQRLLLEEGLVLKQMVRKILNINSDAARCDKIETDDENQSNKRRKLPDGINKGVELLQDGLKVINDSLTQWQPNHTDSAELRERFMTHFSMLENVISHLQVLSGSG >KJB34521 pep chromosome:Graimondii2_0_v6:6:28392268:28393783:1 gene:B456_006G070100 transcript:KJB34521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGYGKRRVAEGKRVGRRSKGPRLDKKLKPKAVSLKNQIRSIERMLRKDLPPEVREAQETKLEGLKKQQEIHTRLAVERKLFLRDRKIKFFERRKIERRIRRLEKQQRTSPGQAQDMEIAEQLSKLKEDLEYVRFFPKTEKYVSLFTGGDGSDLIDRRNRLRKQIKANLVAAAASGKDLEETGSEDDGLLDLSDDDFFLTGSSSEEADADDEWTESTRYVLHL >KJB34520 pep chromosome:Graimondii2_0_v6:6:28392145:28395658:1 gene:B456_006G070100 transcript:KJB34520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGYGKRRVAEGKRVGRRSKGPRLDKKLKPKAVSLKNQIRSIERMLRKDLPPEVREAQETKLEGLKKQQEIHTRLAVERKLFLRDRKIKFFERRKIERRIRRLEKQQRTSPGQAQDMEIAEQLSKLKEDLEYVRFFPKTEKYVSLFTGGDGSDLIDRRNRLRKQIKANLVAAAASGKDLEETGSEDDGLLDLSDDDFFLTGSSSEEADADDEWTESTRERASGIAASGMSSDERNQKQVSARALMPPPRPSSNSFSNSVRAKSRFGSSSSRNSSIRRTEMSTSSNTSKSSSSSFKAGESSNSKTGNNSNLSSNSDARKPRRKRRPKKRKQQACLLKLQFNCL >KJB34522 pep chromosome:Graimondii2_0_v6:6:28392159:28395060:1 gene:B456_006G070100 transcript:KJB34522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGYGKRRVAEGKRVGRRSKGPRLDKKLKPKAVSLKNQIRSIERMLRKDLPPEVREAQETKLEGLKKQQEIHTRLAVERKLFLRDRKIKFFERRKIERRIRRLEKQQRTSPGQAQDMEIAEQLSKLKEDLEYVRFFPKTEKYVSLFTGGDGSDLIDRRNRLRKQIKANLVAAAASGKDLEETGSEDDGLLDLSDDDFFLTGSSSEEADADDEWTESTRERASGIAASGMSSDERNQVQNRIELLWF >KJB37666 pep chromosome:Graimondii2_0_v6:6:46840449:46842200:-1 gene:B456_006G214900 transcript:KJB37666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >KJB37602 pep chromosome:Graimondii2_0_v6:6:46657194:46661741:-1 gene:B456_006G212600 transcript:KJB37602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQWYDKNGVWTGSATILHDGKIVMLYTGSTTEGVQVQNLAYPADQYDPLLVHWVKYPRNPVLVPPPGIGPNDFRDPTTAWLTSEGKWRITIGSKINKTGIALVYDTKDFINYEMLDGLLHAVPGTGMWECVDFFPVSETENNGLETSINGPGVKHVVKASLDDDRHDYYAIGTYNDRNGTWIPDRPNIDVGIGLRYDYGIYYAAKTFYDQNKKRRVLWGWIGESDSEAADVKKGWASVQSIPRTILFDKKTGTHLLQWPVEEIDSLRLKGKEFNQVRIQAGSVVPLDIDSATQLDIIAEFKIDSDALEKATGSSDASFDCATSGGAAERGALGPFGLLVLADERLREQTPVYFYMTKGSDGNLKTFFCNDQSRSSKASDVDKHIYGSLVPVLRGENLSIRILVDHSIIESFGQGGRTVITSRVYPTKVIYGAAKVFLFNNATELNVTASLKIWQMNSAFIQPYPNL >KJB37600 pep chromosome:Graimondii2_0_v6:6:46657194:46661741:-1 gene:B456_006G212600 transcript:KJB37600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQWYDKNGVWTGSATILHDGKIVMLYTGSTTEGVQVQNLAYPADQYDPLLVHWVKYPRNPVLVPPPGIGPNDFRDPTTAWLTSEGKWRITIGSKINKTGIALVYDTKDFINYEMLDGLLHAVPGTGMWECVDFFPVSETENNGLETSINGPGVKHVVKASLDDDRHDYYAIGTYNDRNGTWIPDRPNIDVGIGLRYDYGIYYAAKTFYDQNKKRRVLWGWIGESDSEAADVKKGWASVQSIPRTILFDKKTGTHLLQWPVEEIDSLRLKGKEFNQVRIQAGSVVPLDIDSATQLDIIAEFKIDSDALEKATGSSDASFDCATSGGAAERGALGPFGLLVLADERLREQTPVYFYMTKGSDGNLKTFFCNDQSRSSKASDVDKHIYGSLVPVLRGENLSIRILVDHSIIESFGQGGRTVITSRVYPTKVIYGAAKVFLFNNATELNVTASLKIWQMNSAFIQPYPNL >KJB37599 pep chromosome:Graimondii2_0_v6:6:46658285:46661679:-1 gene:B456_006G212600 transcript:KJB37599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISPLLPVSSSDGSSDLTGQATCRRPIKVVVSIFFGLLTVGLFAALLIRNNGSVDYVDVHENERGSLASMAFEKAENLKPVSRGLAAGVSEKSNWVFGGDLPDYPWNNSMLSWQRTAFHFQPEKNWMNDPNGPLFYKGWYHLFYQYNPNAAVWGHIVWGHAVSKDLIHWLHLPLAMAADQWYDKNGVWTGSATILHDGKIVMLYTGSTTEGVQVQNLAYPADQYDPLLVHWVKYPRNPVLVPPPGIGPNDFRDPTTAWLTSEGKWRITIGSKINKTGIALVYDTKDFINYEMLDGLLHAVPGTGMWECVDFFPVSETENNGLETSINGPGVKHVVKASLDDDRHDYYAIGTYNDRNGTWIPDRPNIDVGIGLRYDYGIYYAAKTFYDQNKKRRVLWGWIGESDSEAADVKKGWASVQVIKRQLKPRFLHLQNMFIM >KJB37598 pep chromosome:Graimondii2_0_v6:6:46657076:46661741:-1 gene:B456_006G212600 transcript:KJB37598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISPLLPVSSSDGSSDLTGQATCRRPIKVVVSIFFGLLTVGLFAALLIRNNGSVDYVDVHENERGSLASMAFEKAENLKPVSRGLAAGVSEKSNWVFGGDLPDYPWNNSMLSWQRTAFHFQPEKNWMNDPNGPLFYKGWYHLFYQYNPNAAVWGHIVWGHAVSKDLIHWLHLPLAMAADQWYDKNGVWTGSATILHDGKIVMLYTGSTTEGVQVQNLAYPADQYDPLLVHWVKYPRNPVLVPPPGIGPNDFRDPTTAWLTSEGKWRITIGSKINKTGIALVYDTKDFINYEMLDGLLHAVPGTGMWECVDFFPVSETENNGLETSINGPGVKHVVKASLDDDRHDYYAIGTYNDRNGTWIPDRPNIDVGIGLRYDYGIYYAAKTFYDQNKKRRVLWGWIGESDSEAADVKKGWASVQSIPRTILFDKKTGTHLLQWPVEEIDSLRLKGKEFNQVRIQAGSVVPLDIDSATQLDIIAEFKIDSDALEKATGSSDASFDCATSGGAAERGALGPFGLLVLADERLREQTPVYFYMTKGSDGNLKTFFCNDQSRSSKASDVDKHIYGSLVPVLRGENLSIRILVDHSIIESFGQGGRTVITSRVYPTKVIYGAAKVFLFNNATELNVTASLKIWQMNSAFIQPYPNL >KJB37601 pep chromosome:Graimondii2_0_v6:6:46657694:46661679:-1 gene:B456_006G212600 transcript:KJB37601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISPLLPVSSSDGSSDLTGQATCRRPIKVVVSIFFGLLTVGLFAALLIRNNGSVDYVDVHENERGSLASMAFEKAENLKPVSRGLAAGVSEKSNWVFGGDLPDYPWNNSMLSWQRTAFHFQPEKNWMNDPNGPLFYKGWYHLFYQYNPNAAVWGHIVWGHAVSKDLIHWLHLPLAMAADQWYDKNGVWTGSATILHDGKIVMLYTGSTTEGVQVQNLAYPADQYDPLLVHWVKYPRNPVLVPPPGIGPNDFRDPTTAWLTSEGKWRITIGSKINKTGIALVYDTKDFINYEMLDGLLHAVPGTGMWECVDFFPVSETENNGLETSINGPGVKHVVKASLDDDRHDYYAIGTYNDRNGTWIPDRPNIDVGIGLRYDYGIYYAAKTFYDQNKKRRVLWGWIGESDSEAADVKKGWASVQSIPRTILFDKKTGTHLLQWPVEEIDSLRLKGKEFNQVRIQAGSVVPLDIDSATQLDIIAEFKIDSDALEKATGSSDASFDCATSGGAAERGALGPFGLLVLADERLREQTPVYFYMTKGSDGNLKTFFCNDQSRYVWCLSRFFINVGAKNLTMMSCCRSSKASDVDKHIYGSLVPVLRGENLSIRILVSF >KJB34435 pep chromosome:Graimondii2_0_v6:6:24674397:24676183:1 gene:B456_006G065400 transcript:KJB34435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETWLIKLKKTISNTLDTARSSNHKANVGVLSFEIAGLMSKLLQLWNCLSDKTIIRLRDESLSLEGVHKIVSNDESFLLGLACAEMAENIRVVAKSISRISKRCQDSDLQGFDGWFDEFANSGHDRHGWVLSSKDMEAKRKKMDKYVTITATLYKEMEELSTIENCLRKCKEYKPSSIKEQKIVDLQTKLFWQRQEVKYLKERCLWNKSFDMVVSILVRSIFTILARLKLVFFGFEFGYPSCLPRSLSASATVHPTQNPDTFHFVSGPLKTSSKPAVSFFESNLKLLKPPSSTLGAAALALHYANLIIIMEKMIKSPQLVGVDARDDLYSMLPSSIRSCLRGRLKGIGLSSASDPVLAGEWRTAVRSILGWLSPLAHNMIKWQSERSFEHQNLFPKTNVILLFQTLFFANKEKTEAAITELLVGLNYIWRFEREMTAKALFHCTNFNGL >KJB35141 pep chromosome:Graimondii2_0_v6:6:34234265:34236839:1 gene:B456_006G102200 transcript:KJB35141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKKFKNTARIYVVSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKASVQQKVKLIFKVYDSDGNGKVSFNDMLEVLRDLSGSFISDVQREQVLTQLLKEAGYSKDSYLTLDDFNKIFGISELKMEVEVPVD >KJB35140 pep chromosome:Graimondii2_0_v6:6:34233524:34237099:1 gene:B456_006G102200 transcript:KJB35140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSSMLTQYDIEEVQEYCQNLFSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKASVQQKVKLIFKVYDSDGNGKVSFNDMLEVLRDLSGSFISDVQREQVLTQLLKEAGYSKDSYLTLDDFNKIFGISELKMEVEVPVD >KJB35142 pep chromosome:Graimondii2_0_v6:6:34233564:34237065:1 gene:B456_006G102200 transcript:KJB35142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSSMLTQYDIEEVQEYCQNLFSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQMVDGLNFKDFVAFLSAFSAKASVQQKVKLIFKVYDSDGNGKVSFNDMLEVLRDLSGSFISDVQREQVLTQLLKEAGYSKDSYLTLDDFNKIFGISELKMEVEVPVD >KJB35143 pep chromosome:Graimondii2_0_v6:6:34233564:34237065:1 gene:B456_006G102200 transcript:KJB35143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSSMLTQYDIEEVQEYCQNLFSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKASVQQKVKLIFKVYDSDGNGKVSFNDMLEVLRDLSGSFISDVQREQVLTQLLKEAGYSKDSYLTLDDFNKIFGISELKMEVEVPVD >KJB38151 pep chromosome:Graimondii2_0_v6:6:48634284:48637970:1 gene:B456_006G239300 transcript:KJB38151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIPDPGELTELTQPSFDEFQRQTSLMTSCTLLWKELSDHINSLEQNLMKQSETLKRKIEALDSETKASLDSLKKRELSIEDSVKIALSRVEFNTKAAMRTLGDDVEDNPDGEVDDGDGLLQHLKSTCLKMEAKEFWSFVIGKKKEIDLLREKIPAALSECIDPARFVMEAISEVFPVDKRGNEGGSDLAWACVLILESLIPVVVDPVIGKSRILVTPSMKEQAKEIAETWKKSLEERGGIENVKTPDVHTFLQHLVTFGIVKKEDLEFYRKLVVASAWRKQMPKLAVSLGLGDQMPDMIEELISKGQQLDAVHFTYEVGLVDKFPPVPLLKSFLRDAKKAASSILDDPNNSGRAAQLAARKEQSALRAVIKCIEEYKLEAEFPPENLKKRLEQLEKTKTEKRKPVVVPANKRTRVNNGGPMPPAKAGRLTNAYVSSFPAPPPFVRSPSHTQYPAPVPGYPSPPPMYGSRSPPTNPYAYSPEAAPPPLAGSYPGAPMNYPAYGGYGNGLAPAYQQAYYR >KJB38152 pep chromosome:Graimondii2_0_v6:6:48634284:48637970:1 gene:B456_006G239300 transcript:KJB38152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKEFWSFVIGKKKEIDLLREKIPAALSECIDPARFVMEAISEVFPVDKRGNEGGSDLAWACVLILESLIPVVVDPVIGKSRILVTPSMKEQAKEIAETWKKSLEERGGIENVKTPDVHTFLQHLVTFGIVKKEDLEFYRKLVVASAWRKQMPKLAVSLGLGDQMPDMIEELISKGQQLDAVHFTYEVGLVDKFPPVPLLKSFLRDAKKAASSILDDPNNSGRAAQLAARKEQSALRAVIKCIEEYKLEAEFPPENLKKRLEQLEKTKTEKRKPVVVPANKRTRVNNGGPMPPAKAGRLTNAYVSSFPAPPPFVRSPSHTQYPAPVPGYPSPPPMYGSRSPPTNPYAYSPEAAPPPLAGSYPGAPMNYPAYGGYGNGLAPAYQQAYYR >KJB38150 pep chromosome:Graimondii2_0_v6:6:48634284:48636984:1 gene:B456_006G239300 transcript:KJB38150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIPDPGELTELTQPSFDEFQRQTSLMTSCTLLWKELSDHINSLEQNLMKQSETLKRKIEALDSETKASLDSLKKRELSIEDSVKIALSRVEFNTKAAMRTLGDDVEDNPDGEVDDGDGLLQHLKSTCLKMEAKEFWSFVIGKKKEIDLLREKIPAALSECIDPARFVMEAISEVFPVDKRGNEGGSDLAWACVLILESLIPVVVDPVIGKSRILVTPSMKEQAKEIAETWKKSLEERGGIENVKTPDVHTFLQHLVTFGIVKKEDLEFYRKLVVASAWRKQMPKLAVSLGLGDQMPDMIEELISKGQQLDAVHFTYEVGLVDKFPPVPLLKSFLRDAKKAASSILDDPNNSGRAAVCSYLLILIVCLVPMICFTIYSTILMILDYTLFT >KJB37347 pep chromosome:Graimondii2_0_v6:6:48836723:48839125:1 gene:B456_006G242300 transcript:KJB37347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELGVLYWHLNPKDYENDEELKKIREARGYNYMDLLDLCPEKVANYEEKLRNFYTEHIHADEEIRYCLEGSGYFDVRDKDDRWIRIWIKGGDLIILPAGIYHRFTLDTSNYVKLMRLFLGEPVWTAYNRPQEDHPARKEYIKSLTEKVGMPLAAH >KJB37346 pep chromosome:Graimondii2_0_v6:6:48836646:48839125:1 gene:B456_006G242300 transcript:KJB37346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEAWFMDESKEDQRLPHRRNPNEPVSLEHLAELGVLYWHLNPKDYENDEELKKIREARGYNYMDLLDLCPEKVANYEEKLRNFYTEHIHADEEIRYCLEGSGYFDVRDKDDRWIRIWIKGGDLIILPAGIYHRFTLDTSNYVKLMRLFLGEPVWTAYNRPQEDHPARKEYIKSLTEKVGMPLAAH >KJB34922 pep chromosome:Graimondii2_0_v6:6:32746437:32747443:-1 gene:B456_006G091200 transcript:KJB34922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREQARKLKRPNPKPSETKSKLATLSAQHTDHTREGRARSSTSTVDKGQHNHFGKAKGKHIRGKGERHI >KJB35511 pep chromosome:Graimondii2_0_v6:6:36873361:36880473:1 gene:B456_006G119000 transcript:KJB35511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLRTYAGLSLVTTLAVIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVVMCILWQLTKRLFLGSLREAEVERLNEQSWREVMEILFAITIFRQEFSVPFLAMVTALLLIKALHWLAQKRVEYIETTPSVPKLAHVRIISFLGFLLLLDSLFLYSSIKFLIQTRQASVSIFFAFEYMILATTTVSTFVKYVFYVSDMLMEGQWEKKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYLRYRKITSNMNDRFPDATPEELSADATCIICREEMTLAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGASSSGGQHGTWSDAPRQGSSTSSATQGPGVEMATDNLTPHQARLQAAAAAASIYEKSYVYPSANTLVWSPGNPVLPGSYAPLADTMKVEHSGESPSIGHQQFAIPGGQSNLPFPQFPNCVFVPFQLPGANGNSGGGGSGSNQNISDSQLEAQKKIIEQQIEVLQRQLQLLQMHEPKTEESSDIGLTTSSDSKGKGVASSSSEE >KJB35508 pep chromosome:Graimondii2_0_v6:6:36873328:36880701:1 gene:B456_006G119000 transcript:KJB35508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLRTYAGLSLVTTLAVIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVVMCILWQLTKRLFLGSLREAEVERLNEQSWREVMEILFAITIFRQEFSVPFLAMVTALLLIKALHWLAQKRVEYIETTPSVPKLAHVRIISFLGFLLLLDSLFLYSSIKFLIQTRQASVSIFFAFEYMILATTTVSTFVKYVFYVSDMLMEGQWEKKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYLRYRKITSNMNDRFPDATPEELSASDATCIICREEMTLAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGASSSGGQHGTWSDAPRQGSSTSSATQGPGVEMATDNLTPHQARLQAAAAAASIYEKSYVYPSANTLVWSPGNPVLPGSYAPLADTMKVEHSGESPSIGHQQFAIPGGQSNLPFPQFPNCVFVPFQLPGANGNSGGGGSGSNQNISDSQLEAQKKIIEQQIEVLQRQLQLLQMHEPKTEESSDIGLTTSSDSKGKGVASSSSEE >KJB35512 pep chromosome:Graimondii2_0_v6:6:36873361:36880473:1 gene:B456_006G119000 transcript:KJB35512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLRTYAGLSLVTTLAVIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVVMCILWQLTKRLFLGSLREAEVERLNEQSWREVMEILFAITIFRQEFSVPFLAMVTALLLIKALHWLAQKRVEYIETTPSVPKLAHVRIISFLGFLLLLDSLFLYSSIKFLIQTRQASVSIFFAFEYMILATTTVSTFVKYVFYVSDMLMEGQWEKKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYLRYRKITSNMNDRFPDATPEELSASDATCIICREEMTLAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGASSSGGQHGTWSDAPRQVGTGSSTSSATQGPGVEMATDNLTPHQARLQAAAAAASIYEKSYVYPSANTLVWSPGNPVLPGSYAPLADTMKVEHSGESPSIGHQQFAIPGGQSNLPFPQFPNCVFVPFQLPGANGNSGGGGSGSNQNISDSQLEAQKKIIEQQIEVLQRQLQLLQMHEPKTEESSDIGLTTSSDSKGKGVASSSSEE >KJB35513 pep chromosome:Graimondii2_0_v6:6:36873333:36880594:1 gene:B456_006G119000 transcript:KJB35513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLRTYAGLSLVTTLAVIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVVMCILWQLTKRLFLGSLREAEVERLNEQSWREVMEILFAITIFRQEFSVPFLAMVTALLLIKALHWLAQKRVEYIETTPSVPKLAHVRIISFLGFLLLLDSLFLYSSIKFLIQTRQASVSIFFAFEYMILATTTVSTFVKYVFYVSDMLMEGQWEKKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYLRYRKITSNMNDRFPDATPEELSASDATCIICREEMTLAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGASSSGGQHGTWSDAPRQGSSTSSATQGPGVEMATDNLTPHQARLQAAAAAASIYEKSYVYPSANTLVWSPGNPVLPGSYAPLADTMKVEHSGESPSIGHQQFAIPGGQSNLPFPQFPNCVFVPFQLPGANGNSGGGGSGSNQNISDSQLEAQKKIIEQQIEVLQRQLQLLQMHEPKTEESSDIGLTTSSDSKGKGVASSSSEE >KJB35510 pep chromosome:Graimondii2_0_v6:6:36873361:36878117:1 gene:B456_006G119000 transcript:KJB35510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLRTYAGLSLVTTLAVIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVVMCILWQLTKRLFLGSLREAEVERLNEQSWREVMEILFAITIFRQEFSVPFLAMVTALLLIKALHWLAQKRVEYIETTPSVPKLAHVRIISFLGFLLLLDSLFLYSSIKFLIQTRQASVSIFFAFEYMILATTTVSTFVKYVFYVSDMLMEGQWEKKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYLRYRKITSNMNDRFPDATPEELSASDATCIICREEMTLAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGASSSGGQHGTWSDAPRQGSSTSSATQGPGVEMATDNLTPHQARLQAAAAAASIYEKSYVYPSANTLVW >KJB35509 pep chromosome:Graimondii2_0_v6:6:36873361:36881932:1 gene:B456_006G119000 transcript:KJB35509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLRTYAGLSLVTTLAVIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVVMCILWQLTKRLFLGSLREAEVERLNEQSWREVMEILFAITIFRQEFSVPFLAMVTALLLIKALHWLAQKRVEYIETTPSVPKLAHVRIISFLGFLLLLDSLFLYSSIKFLIQTRQASVSIFFAFEYMILATTTVSTFVKYVFYVSDMLMEGQWEKKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYLRYRKITSNMNDRFPDATPEELSASDATCIICREEMTLAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGASSSGGQHGTWSDAPRQGSSTSSATQGPGVEMATDNLTPHQARLQAAAAAASIYEKSYVYPSANTLVWSPGNPVLPGSYAPLADTMKVEHSGESPSIGHQQFAIPGGQSNLPFPQFPNCVFVPFQLPGANGNSGGGGSGSNQNISDSQLEAQKKIIEQQIEVLQRQLQLLQMHEPKTEESSDIGLTTSSDSKGKGVASSSSEE >KJB34401 pep chromosome:Graimondii2_0_v6:6:24021550:24023196:-1 gene:B456_006G064600 transcript:KJB34401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQFPVLLSLIAVSVLLMVTPVIGYRPWPHLKPNSSDLTLGSSKKFEGSSEFVQMRYHMGPVLTANITVHIVWYGRWQKSQKKIIREFINSISAVDAKRPSVAGWWKTVQLYTDQTGANISHTVHLGEEKNDRFYSHGKKLTRLSIQSVIKSAVTASTKPLPINPRSGLFLLLTSDDVYVQDFCGQVCGFHYFTFPSIVGYTLPYAWVGNSAKLCPGVCAYPFAVPKYMTGFKPLKSPNGDVGVDGMISVIGHEIAELATNPLVNAWYAGQDPVAPVEIADLCEGIYGTGGGGSYTGQLLNDKDGATFNMNGIRRRYLVQWVWNHILNYCSGPNALDQ >KJB38700 pep chromosome:Graimondii2_0_v6:6:50580257:50586800:1 gene:B456_006G267500 transcript:KJB38700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGMILIVFVASIPTILAEDISYGTIVVDGTTSIAQTDDNFVCATIDWWPHDKCDYKQCPWHYTSAITLDLSHPILTKAIQAFNRLRIRIGGSLQDQVLYNVGNLKSPCHPFRKMKHGLFGFSKGCLQMKRWDELNHFFNATSVMLTFGLNALYGRHKIKGSLWGGEWDSSNAQDFIKYSFSKGYQIDSWEYGNELSGNGVGAHVHADRYGKDLIHLKKIIKELYRGSQFEPSLVAPGGFFNQQWFTKLLQVSGSNVLDAISHHIYNLGAGSDPKLVSKILDPNHLNKVANTFINLARTVQTHGPWSSAWVGESGGAYNSGGPHVSDTFVNSFWYLDQLGMASKYHTKVYCRQTLIGGNYGLLNATTYVPNPDYYSALLWHRLMGKVVLAVGSDASPFLRSYAHCSKGRIGVTLLVINLSDQTKFITDVQNSMNNNIRLATEQQNISRKSFSRSLKNTASGAEIKASSDEYSYREEYHLTPKNGYLQTRTMVLNGIPLELTSTGNIPRLDPVRVNVKSPISITPLSIAFLVFPDFVAPACR >KJB38701 pep chromosome:Graimondii2_0_v6:6:50580477:50586800:1 gene:B456_006G267500 transcript:KJB38701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGMILIVFVASIPTILAEDISYGTIVVDGTTSIAQTDDNFVCATIDWWPHDKCDYKQCPWHYTSAITLDLSHPILTKAIQAFNRLRIRIGGSLQDQVLYNVGNLKSPCHPFRKMKHGLFGFSKGCLQMKRWDELNHFFNATSVMLTFGLNALYGRHKIKGSLWGGEWDSSNAQDFIKYSFSKGYQIDSWEYGNELSGNGVGAHVHADRYGKDLIHLKKIIKELYRGSQFEPSLVAPGGFFNQQWFTKLLQVSGSNVLDAISHHIYNLGAGSDPKLVSKILDPNHLNKVANTFINLARTVQTHGPWSSAWVGESGGAYNSGGPHVSDTFVNSFWYLDQLGMASKYHTKVYCRQTLIGGNYGLLNATTYVPNPDYYSALLWHRLMGKVVLAVGSDASPFLRSYAHCSKGRIGVTLLVINLSDQTKFITDVQNSMNNNIRLATEQQNISRKSFSRSLKNTASGAEIKASSDEYSYREEYHLTPKNGYLQTRTMVLNGIPLELTSTGNIPRLDPVRVNVKSPISITPLSIAFLVFPDFVAPACR >KJB37979 pep chromosome:Graimondii2_0_v6:6:48003484:48009740:-1 gene:B456_006G229900 transcript:KJB37979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPPGNHQQEANHAPSSFNGVHLNNGKPIPETWCPGLKHNPGISMDWTLEEQAILENGLQKHALEPSVTRYAKIALELQNKTVRDVALRYKWMTKKENSKRRKEGLNLARKIKDKRERVYLSGNHTHFAAQPNLPPYPTPMIPVDFNDGISYTAIGGVTGELLEQNAQALNRISENIAALQLQENIGLFCQTRENILKIINVLNDMPDAMRQMPQLRERIRDKMFNFLLSPSPHPMQL >KJB37978 pep chromosome:Graimondii2_0_v6:6:48003478:48009836:-1 gene:B456_006G229900 transcript:KJB37978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPPGNHQQEANHAPSSFNGVHLNNGKPIPETWCPGLKHNPGISMDWTLEEQAILENGLQKHALEPSVTRYAKIALELQNKTVRDVALRYKWMTKKENSKRRKEGLNLARKIKDKRERVYLSGNHTHFAAQPNLPPYPTPMIPVDFNDGISYTAIGGVTGELLEQNAQALNRISENIAALQLQENIGLFCQTRENILKIINVLNDMPDAMRQMPQLRERIRDKMFNFLLSPSPHPMQL >KJB38048 pep chromosome:Graimondii2_0_v6:6:48275180:48275858:1 gene:B456_006G234400 transcript:KJB38048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKNFGCFITAMIFLYAMLISQAFSARVRLMDAEGFPKVPVSDNVVASHQNVQVSALAGGYKSPDSDKNVVKTHLRLPGKQGPEYRPLVLNILPKGTLPPSGPSKRKNSFS >KJB34371 pep chromosome:Graimondii2_0_v6:6:23213541:23215186:-1 gene:B456_006G063000 transcript:KJB34371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVSWSREEEKAFENAIAMHWIEKEECEEQWEKIASTVPTKSLEELKLHYELLVEDVTAIEAGHVPLPCYKGEEPSSSAKDYFHGPSMAPNSDRRSNSGYGNGFSGLTLDSTGHGGKQSSRSDQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVNNGDTSHQAPITGQQANTNSPGAAVMGQSVKHRAQPHLPGLGMYGAPVGRPIAAAPGHIGSAVGTPVMLPPAHHPHSPPPYIVPVAYPMAPPPMHQ >KJB37921 pep chromosome:Graimondii2_0_v6:6:47782654:47785366:1 gene:B456_006G226400 transcript:KJB37921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTASQHHLMYYFSSRRGSCKFHQAIKPRVWNSSQISFTGRGQSLRFPAPIRKTRLFVISNTDDGYACAPLLEEERNTNNVPTSESETFFSEWSPPSFLWRGLSVLVLAGQVIIRILKGKVHWGNTLEQLERVGPKSVGVCLLTSAFVGMAFTIQFIREFTRLGLNRSIGGVLALAFSRELSPVVTSIVIAGRIGSAFAAELGTMQVSEQTDTLRVLGSNPIDYLVTPRVIASSLALPFLTLMCFTIGMASSALLADSVYGISINIILDSAQRVLQSWDIISAMIKSQVFGMIMSIVSCAWGATTIGGAKGVGESTTSAVVISLVGIFMADFALSYCFFQGAGDSLKNCV >KJB37919 pep chromosome:Graimondii2_0_v6:6:47782654:47785366:1 gene:B456_006G226400 transcript:KJB37919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTASQHHLMYYFSSRRGSCKFHQAIKPRVWNSSQISFTGRGQSLRFPAPIRKTRLFVISNTDDGYACAPLLEEERNTNNVPTSESETFFSEWSPPSFLWRGLSVLVLAGQVIIRILKGKVHWGNTLEQLERVGPKSVGVCLLTSAFVGMAFTIQFIREFTRLGLNRSIGGVLALAFSRELSPVVTSIVIAGRIGSAFAAELGTMQVSEQTDTLRVLGSNPIDYLVTPRVIASSLALPFLTLMCFTIGMASSALLADSVYGISINIILDSAQRVLQSWDIISAMIKSQVFGMIMSIVSCAWGATTIGGAKGVGESTTSAVVISLVGIFMADFALSYCFFQGAGDSLKNCV >KJB37920 pep chromosome:Graimondii2_0_v6:6:47782654:47785366:1 gene:B456_006G226400 transcript:KJB37920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTASQHHLMYYFSSRRGSCKFHQAIKPRVWNSSQISFTGRGQSLRFPAPIRKTRLFVISNTDDGYACAPLLEEERNTNNVPTSESETFFSEWSPPSFLWRGLSVLVLAGQVIIRILKGKVHWGNTLEQLERVGPKSVGVCLLTSAFVGMAFTIQFIREFTRLGLNRSIGGVLALAFSRELSPVVTSIVIAGRIGSAFAAELGTMQVSEQTDTLRVLGSNPIDYLVTPRVIASSLALPFLTLMCFTIGMASSALLADSVYGISINIILDSAQRVLQSWDIISAMIKSQVFGMIMSIVSCAWGATTIGGAKGVGESTTSAVVISLVGIFMADFALSYCFFQGAGDSLKNCV >KJB37922 pep chromosome:Graimondii2_0_v6:6:47782654:47785366:1 gene:B456_006G226400 transcript:KJB37922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTASQHHLMYYFSSRRGSCKFHQAIKPRVWNSSQISFTGRGQSLRFPAPIRKTRLFVISNTDDGYACAPLLEEERNTNNVPTSESETFFSEWSPPSFLWRGLSVLVLAGQVIIRILKGKVHWGNTLEQLERVGPKSVGVCLLTSAFVGMAFTIQFIREFTRLGLNRSIGGVLALAFSRELSPVVTSIVIAGRIGSAFAAELGTMQVSEQTDTLRVLGSNPIDYLVTPRVIASSLALPFLTLMCFTIGMASSALLADSVYGISINIILDSAQRVLQSWDIISAMIKSQVFGMIMSIVSCAWGATTIGGAKGVGESTTSAVVISLVGIFMADFALSYCFFQGAGDSLKNCV >KJB35155 pep chromosome:Graimondii2_0_v6:6:34239950:34241102:1 gene:B456_006G102400 transcript:KJB35155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFNNVEKVHYLNSLSSETLNHYVLNYSTRNSFLICLTLCCLCLLPHLFSVSITHLHQWFAFYSHSFRLLTLRFCFFSSLSFFFYKDVKHLTPLRFEFLLIVDSQIRRKC >KJB38546 pep chromosome:Graimondii2_0_v6:6:50090794:50092013:-1 gene:B456_006G259900 transcript:KJB38546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTMSESGVVRNRGGGRRLPPRRHQQQPQMEWRRRQEQSPLLPGTSSENRTENEVPPTSSSSPANSGYDDSERNNNNIPTNLDRFLEFTTPVVPAQRLPQTIITRRRRRRRHSPENPLYFVLKDLWESMEEWSVYGAGVHILLEGYPVIQYYVPYLSAIQLYIDPSRPSTSQRRPGEESSTMSSSSSGSNDAIQGAQSHVEIGDAEISALNRLSLRDRPTTETRNSPAGQLVFEYFEQDEPSSRKPLTNTVSPLASIFSVHRAFSNNLSSNRFRI >KJB38548 pep chromosome:Graimondii2_0_v6:6:50090066:50092079:-1 gene:B456_006G259900 transcript:KJB38548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTMSESGVVRNRGGGRRLPPRRHQQQPQMEWRRRQEQSPLLPGTSSENRTENEVPPTSSSSPANSGYDDSERNNNNIPTNLDRFLEFTTPVVPAQRLPQTIITRRRRRRRHSPENPLYFVLKDLWESMEEWSVYGAGVHILLEGYPVIQYYVPYLSAIQLYIDPSRPSTSQRRPGEESSTMSSSSSGSNDAIQGAQSHVEIGDAEISALNRLSLRDRPTTETRNSPAGQLVFEYFEQDEPSSRKPLTNTVSDLASQFPALTTYRSCDLLPSSWISVAWYPIYRVPMGPTLKNLDACFLTYHGLSTPSNGTATDSLPFRGFNIREFDAAEMPSKLALPTFGLAFYKFQASIWNAPDIIESPKANSLLQEADNWLRHLQVDHPDFRFFVTHT >KJB38547 pep chromosome:Graimondii2_0_v6:6:50090794:50092013:-1 gene:B456_006G259900 transcript:KJB38547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTMSESGVVRNRGGGRRLPPRRHQQQPQMEWRRRQEQSPLLPGTSSENRTENEVPPTSSSSPANSGYDDSERNNNNIPTNLDRFLEFTTPVVPAQRLPQTIITRRRRRRRHSPENPLYFVLKDLWESMEEWSVYGAGVHILLEGYPVIQYYVPYLSAIQLYIDPSRPSTSQRRPGEESSTMSSSSSGSNDAIQGAQSHVEIGDAEISALNRLSLRDRPTTETRNSPAGQLVFEYFEQDEPSSRKPLTNTVSPLASIFSVHRAFSNNLSSNRFRI >KJB38058 pep chromosome:Graimondii2_0_v6:6:48332589:48336383:1 gene:B456_006G235200 transcript:KJB38058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFTALKGKKKKCEQSVFVKPTARKEQMPTTLPEPQVQTRSLQSAPPSFKTRVKPIQPNNNTSNRTRTLSAPSSLNTAEQDALVSVEFEEQEELKSYVGVAKEQRSPSPQPLPLPSPRSSALKTMGSFKAGNATGPLFASGPLPLPPSGTLRNFSYEEISAACHHFSSDRCTSEGLSSVMYKASFGDDSSSSKKFEATVTRLHPSTQGLRDFINEVNTLAALQHPNLCKLLGFHAHDSEQRMLVYERLFHGSLDRLLYGRSDGPPLDWNTRMKIALCSAQGLTFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGHILEAEEIAANSFAVANLSVETLERGWLTPKSNVWTFGIVLLELLTGRKNLDTRHPREERNLVKWSRQFLADDCRLSLIMDPQLKGRFPMKAARTVAGIAQRCLQKDPSERPTMRTIVENLRIIQDMKYSCRFPLQEPAAIAGKHMLRSPSLNGIITPATAPKFGFSPSPPSGIRLSVSPARAAALPLMLPPRACSSTLSLEELERQESRRSSSSTTLRRASVEGF >KJB37587 pep chromosome:Graimondii2_0_v6:6:46632997:46633769:1 gene:B456_006G211900 transcript:KJB37587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTENQKNAMKEAFTLFDTDSDGKIAPTELGVLMRSLGGNPTQAQLKEIVAQEKLTAPFDFSRFLGLMEKHLKTEPFEQQLRDAFKVLDKEATGFILVSDLKHILTSVGEKLESTEFDDWIKGVDVGSDGKLKYDDFIARMVAK >KJB34093 pep chromosome:Graimondii2_0_v6:6:15537793:15539785:-1 gene:B456_006G047500 transcript:KJB34093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFKSKEKFKIFNTNNLWVNLNAIKRLVEADVLKMEIIPNPKEVNGIKVLQLETVAGATIRFFDHAIGINIPRSRFLIRLVHEFPF >KJB33542 pep chromosome:Graimondii2_0_v6:6:3566616:3570803:-1 gene:B456_006G016400 transcript:KJB33542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGNSCSSPFIIERNGESKAFVFSTFRSRKMKTLGVVNGGVSLFYNKGKQLSRFKPLRVASTGDAVGLGDGDDSENSLQATIEKSKKVLAMQRDLLQQIAERRKLVSSIKGSVTDQDEDEDEDEDEDFSTGDSSLPNPDLTSRSYNRVDVVNNGSIPSSSHVNSTTKNESKILPSVEVQEKPELYFAPEKASSNNGSIKQSKTIDSKAFKSDVLPSYLSSSKAQVTDEEEESSTEADSKEAAEVDDAAVEDEKPPPLAGVNVMNIILVAAECAPWSKTGGLGDVAGSLPKALARRGHRVMVVAPRYANYADSQDTGVRKRYKVNGQELEVSYFQAYVDGVDFVFMDSPMFQSMQNNIYGGNRLDILKRMVLFCKAAVEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMSFTRSVLVIHNIAHQGRGPVEDFSYVDLPEHYMDLFKLYDPIGGDHFNIFAAGLKTADRVSMESIQRNGIHNTIFTSNPMVILTTPWKHCKPVNLNARPRYKRSSGCQFAKMSHCSALSGD >KJB33541 pep chromosome:Graimondii2_0_v6:6:3566614:3571134:-1 gene:B456_006G016400 transcript:KJB33541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGNSCSSPFIIERNGESKAFVFSTFRSRKMKTLGVVNGGVSLFYNKGKQLSRFKPLRVASTGDAVGLGDGDDSENSLQATIEKSKKVLAMQRDLLQQIAERRKLVSSIKGSVTDQDEDEDEDEDEDFSTGDSSLPNPDLTSRSYNRVDVVNNGSIPSSSHVNSTTKNESKILPSVEVQEKPELYFAPEKASSNNGSIKQSKTIDSKAFKSDVLPSYLSSSKAQVTDEEEESSTEADSKEAAEVDDAAVEDEKPPPLAGVNVMNIILVAAECAPWSKTGGLGDVAGSLPKALARRGHRVMVVAPRYANYADSQDTGVRKRYKVNGQELEVSYFQAYVDGVDFVFMDSPMFQSMQNNIYGGNRLDILKRMVLFCKAAVEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMSFTRSVLVIHNIAHQGRGPVEDFSYVDLPEHYMDLFKLYDPIGGDHFNIFAAGLKTADRVVTVSHGYSWELKTAEGGWGLHRIINENDWKLRGIVNGIDTKEWNPQYDIHLKSDGYTNYSLETLQTGKPKCKAALQKELGLPVREDVPLLGFIGRLDQQKGVDLIAEAIPWMIGQDVQLVMLGTGRPDLEQMLRQFENEHRDKVRGWVGFSVKTAHRITAGADILLMPSRFEPCGLNQLYAMNYGTIPVVHAVGGLRDTVQQFNPFEESGVGWTFDSADASKLIHALGNCLLTYRQYKTSWEGLQIRGMKQDLSWDNAAEKYEEVLVAAKYQW >KJB35647 pep chromosome:Graimondii2_0_v6:6:43370709:43371078:-1 gene:B456_006G175700 transcript:KJB35647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPLITKRGADRTKLLSIEKSSSIIGKLKAVVFLNSSEEGRPFHGEKMKALLSSPFHGLRSHLLHVCFIAPFLHAFALTFVLLMKCGSDGWKPSLS >KJB35648 pep chromosome:Graimondii2_0_v6:6:43370556:43371162:-1 gene:B456_006G175700 transcript:KJB35648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPLITKRGADRTKLLSIEKSSSIIVVFLNSSEEGRPFHGEKMKALLSSPFHGLRSHLLHVCFIAPFLHAFALTFVLLMKCGSDGWKPSLS >KJB34334 pep chromosome:Graimondii2_0_v6:6:22190921:22198780:1 gene:B456_006G060500 transcript:KJB34334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEALIREGSFKWLLSKRSSFAEEVEELQRSPSAGRNWIPELSPVANIVVRRCSKILETSSNELLESFNAEASDSVKSQHARNFLEYCCFRALALSTRVMGHLADKKFRRLTFDMMVAWEAPASASECLVNLDDDLSVGVEAFSRIAPAVPIIANVIICENLFNVLTISTDGRLHFSVYDKYLNGLERVIKRMKSQSESSLLSAVRQSRGEKILEVDGTVTTQPVLEHVGVSTWPGRLILTDHALYFEALKVVSYDKPKRYDLSNDLKQIIKPELTGPWGTRLFDKAVLYKSISISEPVVIEFPELKGHTRRDYWLAIIREILYVHKFINKYRVTGVEKDDALSKAILGILRVQAIQEISSSNPVQFESLLMFNLCEQLPGGYFILETLAKMSKSRELDRGNNLVAGGSMYSISALAMVSNLGFVFDSCSSSSNPSEAGLLVGEVVVGEMSLLEKAVKESRDDYKRVVLAQETVAGVKVDGIDTNLAVMKVFAL >KJB34337 pep chromosome:Graimondii2_0_v6:6:22191586:22198780:1 gene:B456_006G060500 transcript:KJB34337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLADKKFRRLTFDMMVAWEAPASASECLVNLDDDLSVGVEAFSRIAPAVPIIANVIICENLFNVLTISTDGRLHFSVYDKYLNGLERVIKRMKSQSESSLLSAVRQSRGEKILEVDGTVTTQPVLEHVGVSTWPGRLILTDHALYFEALKVVSYDKPKRYDLSNDLKQIIKPELTGPWGTRLFDKAVLYKSISISEPVVIEFPELKGHTRRDYWLAIIREILYVHKFINKYRVTGVEKDDALSKAILGILRVQAIQEISSSNPVQFESLLMFNLCEQLPGGYFILETLAKMSKSRELDRGNNLVAGGSMYSISALAMVSNLGFVFDSCSSSSNPSEAGLLVGEVVVGEMSLLEKAVKESRDDYKRVVLAQETVAGVKVDGIDTNLAVMKELLLPVLEVGKGLLSLVYWDDPPKSLMFCLIFTFIIFRGWVGYALALMVLFFAIFMVLTRFFNQGRPVDEIKIIAPPPMNTMEQLLAVQNAVSQFEQLIQDGNIILLKFRALLLSVFPQASEKVAASLLLTALMVALLPGKYMFLLIFLEIFTRYSPARKASTERWMRRLREWWFSIPAAPVVIEREKEDKKKK >KJB34335 pep chromosome:Graimondii2_0_v6:6:22191090:22198780:1 gene:B456_006G060500 transcript:KJB34335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLADKKFRRLTFDMMVAWEAPASASECLVNLDDDLSVGVEAFSRIAPAVPIIANVIICENLFNVLTISTDGRLHFSVYDKYLNGLERVIKRMKSQSESSLLSAVRQSRGEKILEVDGTVTTQPVLEHVGVSTWPGRLILTDHALYFEALKVVSYDKPKRYDLSNDLKQIIKPELTGPWGTRLFDKAVLYKSISISEPVVIEFPELKGHTRRDYWLAIIREILYVHKFINKYRVTGVEKDDALSKAILGILRVQAIQEISSSNPVQFESLLMFNLCEQLPGGYFILETLAKMSKSRELDRGNNLVAGGSMYSISALAMVSNLGFVFDSCSSSSNPSEAGLLVGEVVVGEMSLLEKAVKESRDDYKRVVLAQETVAGVKVDGIDTNLAVMKELLLPVLEVGKGLLSLVYWDDPPKSLMFCLIFTFIIFRGWVGYALALMVLFFAIFMVLTRFFNQGRPVDEIKIIAPPPMNTMEQLLAVQNAVSQFEQLIQDGNIILLKFRALLLSVFPQASEKVAASLLLTALMVALLPGKYMFLLIFLEIFTRYSPARKASTERWMRRLREWWFSIPAAPVVIEREKEDKKKK >KJB34333 pep chromosome:Graimondii2_0_v6:6:22190813:22198794:1 gene:B456_006G060500 transcript:KJB34333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEALIREGSFKWLLSKRSSFAEEVEELQRSPSAGRNWIPELSPVANIVVRRCSKILETSSNELLESFNAEASDSVKSQHARNFLEYCCFRALALSTRVMGHLADKKFRRLTFDMMVAWEAPASASECLVNLDDDLSVGVEAFSRIAPAVPIIANVIICENLFNVLTISTDGRLHFSVYDKYLNGLERVIKRMKSQSESSLLSAVRQSRGEKILEVDGTVTTQPVLEHVGVSTWPGRLILTDHALYFEALKVVSYDKPKRYDLSNDLKQIIKPELTGPWGTRLFDKAVLYKSISISEPVVIEFPELKGHTRRDYWLAIIREILYVHKFINKYRVTGVEKDDALSKAILGILRVQAIQEISSSNPVQFESLLMFNLCEQLPGGYFILETLAKMSKSRELDRGNNLVAGGSMYSISALAMVSNLGFVFDSCSSSSNPSEAGLLVGEVVVGEMSLLEKAVKESRDDYKRVVLAQETVAGVKVDGIDTNLAVMKELLLPVLEVGKGLLSLVYWDDPPKSLMFCLIFTFIIFRGWVGYALALMVLFFAIFMVLTRFFNQGRPVDEIKIIAPPPMNTMEQLLAVQNAVSQFEQLIQDGNIILLKFRALLLSVFPQASEKVAASLLLTALMVALLPGKYMFLLIFLEIFTRYSPARKASTERWMRRLREWWFSIPAAPVVIEREKEDKKKK >KJB34336 pep chromosome:Graimondii2_0_v6:6:22190921:22198780:1 gene:B456_006G060500 transcript:KJB34336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEALIREGSFKWLLSKRSSFAEEVEELQRSPSAGRNWIPELSPVANIVVRRCSKILETSSNELLESFNAEASDSVKSQHARNFLEYCCFRALALSTRVMGHLADKKFRRLTFDMMVAWEAPASASECLVNLDDDLSVGVEAFSRIAPAVPIIANVIICENLFNVLTISTDGRLHFSVYDKYLNGLERVIKRMKSQSESSLLSAVRQSRGEKILEVDGTVTTQPVLEHVGVSTWPGRLILTDHALYFEALKVVSYDKPKRYDLSNDLKQIIKPELTGPWGTRLFDKAVLYKSISISEPVVIEFPELKGHTRRDYWLAIIREILYVHKFINKYRVTGVEKDDALSKAILGILRVQAIQEISSSNPVQFESLLMFNLCEQLPGGYFILETLAKMSKSRELDRGNNLVAGGSMYSISALAMVSNLGFVFDSCSSSSNPSEAGLLVGEVVVGEMSLLEKAVKESRDDYKRVVLAQETVAGVKVDGIDTNLAVMKELLLPVLEVGKGLLSLVYWDDPPKSLMFCLIFTFIIFRGWVGYALALMVLFFAIFMVLTRFFNQGRPVDEIKIIAPPPMNTMEQLLAVQNAVSQFEQLIQDGNIILLKFRALLLSVFPQASEKVAASLLLTALMVALLPGKYMFLLIFLEIFTRYSPARKASTERWMRRLREWWFSIPAAPVVIEREKEDKKKK >KJB37191 pep chromosome:Graimondii2_0_v6:6:45030638:45032679:-1 gene:B456_006G193400 transcript:KJB37191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESQTNQNPKSGYKQSIPPYMKAISGSFGEGVRALWKGMTPFATHLTFKYPLRMGSNAVLQSSFKDSTTGSLSNQGRVLAGFGAGVREALLIVSPFEIHEEGIFGLWAGAAPTVMRNGTNQAAMFTAKNAFDVILWKKHEGDQKVLQPWQSMISGFLAGTAGPVCTGPFDVVKTRPMAQSRDGGELKYKGMIHAIQTIYMPRKDFKLCGKDYCLDSCGYRPVKP >KJB36603 pep chromosome:Graimondii2_0_v6:6:42640877:42642172:-1 gene:B456_006G166600 transcript:KJB36603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRIFFGSKPRYIYPTMEFDDGNLINNPSFDHHHHHHLLEFDEVDVWNNSNDQATTNLEAKKPLPSYRASSKKAFKKKEFQISDNNNHRSAQMTAASASLPVNIPDWSKILKAEYREHGKTDEDAVDGEDDGDRDGRVPPHEYLARRRGASFSVHEGIGRTLKGRDLRRVRNAVWKKTGFED >KJB36601 pep chromosome:Graimondii2_0_v6:6:42640868:42642172:-1 gene:B456_006G166600 transcript:KJB36601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRIFFGSKPRYIYPTMEFDDGNLINNPSFDHHHHHHLLEFDEVDVWNNSNDQATTNLEAKKPLPSYRASSKKAFKKKEFQISDNNNHRSAQMTAASASLPVNIPDWSKILKAEYREHGKTDEDAVDGEDDGDRDGRVPPHEYLARRRGASFSVHEGIGRTLKGRDLRRVRNAVWKKTGFED >KJB36602 pep chromosome:Graimondii2_0_v6:6:42640974:42642134:-1 gene:B456_006G166600 transcript:KJB36602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRIFFGSKPRYIYPTMEFDDGNLINNPSFDHHHHHHLLEFDEVDVWNNSNDQATTNLEAKKPLPSYRASSKKAFKKKEFQISDNNNHRSAQMTAASASLPVNIPDWSKILKAEYREHGKTDEDAVDGEDDGDRDGRVPPHEYLARRRGASFSVHEGIGRTLKGRDLRRVRNAVWKKTGFED >KJB33621 pep chromosome:Graimondii2_0_v6:6:5817813:5819531:-1 gene:B456_006G022300 transcript:KJB33621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGWWSNYRNVGVHHCTWPGVRCSATGSVIEIDLSGHGLNGSITPQIGALSKLKCLNLSSNNLRGELPSSLGNLTQLAVLDVSYNEIYSIPLEIEKMENLVSLNLTRNLIADMPSAIGLLTNLTHLIMNSNPLRSIISPHIWNLKKLMTLHLGNCQLYGSIPPNIGKLKSLVNLRLQNNMLVGPIPSSISNVTNLESLLLQNNQLNGSIPQEIGRLTNLITLYLLSNMLVGPIPSSLGYLSRLESFSLYKNKINGSIPSVITNLKKLTSLDLGGNNLSGQIPSFLGLLPSLSSLYLDSNLFEGFIPLDIGKLKNLTVLHFPNNKLTGSIPLSLLHLPNLWHLSMASNLLEGPIPHEIESLNTLRYLDLSDNKFSGPIPSQIGNLSNLTCLVLANNNLSGRIPQQIGGLSLYLLDLSHNIISGDIPSQLNSQNISNSMSSSLFHLHNLRYLFIASNLLEGPIPHEIENLKALEHLDLSENKLSGPIPTQIGNLSNLMYLILAKNNLSGRIPLQIGGLSLYQLDLRHNIISGDIPSQLNSQNIDLSHNLLHRVIPSKFGNLTHLSTLDLSWNN >KJB34230 pep chromosome:Graimondii2_0_v6:6:19994079:20006719:1 gene:B456_006G054600 transcript:KJB34230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLELARSKDPKDRATGAELLHSLLQSSTGPLSSSDVESLVSACLHLLNDPSNLNASLGALQCLASAAVLSADNLKLHFDGVLPAIVECLGDDKQPLRDAARGLLLTFMEVSSPTIIVDKVGPITWAHNSPRVREEFMRTVTSAITVFTSMEFMKAILPPILQMLNDSIQSIREGATLCIEEMYMQFGPEFLAELQRNNLPSSVLGDINIRLQKIEPKVFSFNRHVSSASSDQVDSAKGNQAESNPKTKDSFKDISVSGGEIDFTDKPIVPVTLNSEKELIREMEKIACMLVAENDWSVRIAAMQQVEAFVIGGATSYPCFHALLKQLVAPLCTQLSDRRSSIVKQACHLLCFLSKELLGDFEACSEMFIPVLFKLVVITVLIIAESADTCVKTMLRNCKVSRVLPQIVNHAKHDRNAVLRARCCEYSLLMLEYWADAPEIQKSADLYEDLIKCCIADAMSEVRSNARRCYRLFKKTWPERSQQLFLSFDSVVQRMINDEDGTTHRRHPSPSVRVRDVRKSHKAFQAPTSTTLPGPATSAVTTVDRNRAVAGGIPLSAGPFLSEVKSHRNGTERSFENMPHANKQRAPAIENALAGLNLSEKLSYQKKRSASFDLGVDPPPACGLSFPPIVAASAVTANAIFTDSTASTVKACLSSKSGKQACGFMEEHSDDRFARKSVDKHIDKQYVETSSKDANFRDFQGNIIPNFQRPLLRKNISTRVSGSSRSFLDDNQILLSETSNYVDGPASLQEALTEGLSPNSNWSARVAAFNYVRSLLQQDQKGVQEVAQNFEKVMKLFFQHLDDPHHKVAHAALSALADIISACRKPFESYLDRILHHVFSRLIDPKESVRQLSVMNLEVVSKAYGIDSLLPALLRALDEQRSPKAKLAVIEYAISSFKKNAMNSDGAANSGILKLWIAKLIPLIYDKNTKLKEAAISCIVSVHTHYDSTGVINYIMCMSAEEQNSLRRILRQQTPRIEMDLMNFLQNKRERPRPRNSHEPSDVGLSPDGEYTGALKKAYYFGRYSSGSNDIDNSKKLFSMQEPMQVLEPIAQKVSSEAQKNLPQNLEGGSNNAFTCTTTGADENMESPMSHPDGVDCENSMNNDWPSSCSDMNTMTISNHFGVSNSNVGIDTFVDVNNSHENSSNTKTFSSLKSRIIIPQILHQISNNKESATIRQQALQQLIEASMDTNLLIWTKHFNQILKVVIEVLDDPDSSTRELTLQLVADMVNKQKDAMEDSIEIVIEKLLHIAKDAVSKVSIEAEKCLSMILSEYDRFKCITVIVALLIHEDEKTLIFCIKKLTKLVHRLSQEELLAQLPSFLPVLFCAFSNQSAEVRKTVVFCLVDIYVMLGKAFRPYLQDLNGTQLRLVTLYANRISLARTGTCVEAINLPAV >KJB37477 pep chromosome:Graimondii2_0_v6:6:46385467:46387763:-1 gene:B456_006G208900 transcript:KJB37477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRGSSNRLPNSGGFGFHSRISALVLSMFATMATIYVAARMWQDAESRVYLIQQLHTTTALGNSAISVDNKLKVEACREQEHKLSALEMDLSAARQEGFVSKERPENDSTRSKKRLLAVIGIITTFGRKKNRDAIRKAWMGSGAALRKLEEKGIVVRFVIGRSANRRDSLDREIDNEHRQTDDFIVLNQVEAPEESSNKIKFFFVHAVESWDAEFYVKVNDDVYVNIDTLGATLSAHSDKPRVYMGCMKSGQVFSEPTHKWYEPDWWKFGYAKSYFRHASGEIYALSRALAQFISINR >KJB37478 pep chromosome:Graimondii2_0_v6:6:46384697:46387787:-1 gene:B456_006G208900 transcript:KJB37478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQDAESRVYLIQQLHTTTALGNSAISVDNKLKVEACREQEHKLSALEMDLSAARQEGFVSKERPENDSTRSKKRLLAVIGIITTFGRKKNRDAIRKAWMGSGAALRKLEEKGIVVRFVIGRSANRRDSLDREIDNEHRQTDDFIVLNQVEAPEESSNKIKFFFVHAVESWDAEFYVKVNDDVYVNIDTLGATLSAHSDKPRVYMGCMKSGQVFSEPTHKWYEPDWWKFGYAKSYFRHASGEIYALSRALAQFISINRSILRIYAHDDVSAGSWFIGLDVKHVDERKFCCSPSSTGSICTAI >KJB37476 pep chromosome:Graimondii2_0_v6:6:46384599:46388037:-1 gene:B456_006G208900 transcript:KJB37476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRGSSNRLPNSGGFGFHSRISALVLSMFATMATIYVAARMWQDAESRVYLIQQLHTTTALGNSAISVDNKLKVEACREQEHKLSALEMDLSAARQEGFVSKERPENDSTRSKKRLLAVIGIITTFGRKKNRDAIRKAWMGSGAALRKLEEKGIVVRFVIGRSANRRDSLDREIDNEHRQTDDFIVLNQVEAPEESSNKIKFFFVHAVESWDAEFYVKVNDDVYVNIDTLGATLSAHSDKPRVYMGCMKSGQVFSEPTHKWYEPDWWKFGYAKSYFRHASGEIYALSRALAQFISINRSILRIYAHDDVSAGSWFIGLDVKHVDERKFCCSPSSTGSICTAI >KJB36963 pep chromosome:Graimondii2_0_v6:6:44538847:44541182:1 gene:B456_006G188800 transcript:KJB36963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSEKHEETHSNRTERLAGTVNWGTATVIGVFAGMLYGVPGSLQWRARNVVLGSVLGAAICFPLGWIHLKLVEKANEGNMAAKSSGEIGEAKSGLGAAIDRLEENLNK >KJB36962 pep chromosome:Graimondii2_0_v6:6:44539809:44540830:1 gene:B456_006G188800 transcript:KJB36962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLGSTPDKREQYRLMRDAMEKRFIRVTRGSIVGGIRLGMFTAGFYGLQNLLAEKRGVHDVFNVVGAGSATAAAFGLIMPGSLQWRARNVVLGSVLGAAICFPLGWIHLKLVEKANEGNMAAKSSGEIGEAKSGLGAAIDRLEENLNK >KJB36961 pep chromosome:Graimondii2_0_v6:6:44538796:44541202:1 gene:B456_006G188800 transcript:KJB36961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSEKHEETHSNRTERLAGTVNWGTATVIGVFAGMLYGGSKEAAASVSKDAEVMLKLGSTPDKREQYRLMRDAMEKRFIRVTRGSIVGGIRLGMFTAGFYGLQNLLAEKRGVHDVFNVVGAGSATAAAFGLIMPGSLQWRARNVVLGSVLGAAICFPLGWIHLKLVEKANEGNMAAKSSGEIGEAKSGLGAAIDRLEENLNK >KJB34671 pep chromosome:Graimondii2_0_v6:6:30213101:30214018:-1 gene:B456_006G077700 transcript:KJB34671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRARNVMVASGLLVFAAAGLAFPFYMATSRRPVDSSKPLPPQATFRGPYINTGSRDVGPDHQTYSKK >KJB34673 pep chromosome:Graimondii2_0_v6:6:30213150:30213986:-1 gene:B456_006G077700 transcript:KJB34673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRARNVMVASGLLVFAAAGLAFPFYMATSRRPVDSSKPLPPQATFRGPYINTGSRDVGPDHQTYSKK >KJB34674 pep chromosome:Graimondii2_0_v6:6:30213001:30214018:-1 gene:B456_006G077700 transcript:KJB34674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRARNVMVASGLLVFAAAGLAFPFYMATSRRPVDSSKPLPPQATFRGPYINTGSRDVGPDHQTYSKK >KJB34672 pep chromosome:Graimondii2_0_v6:6:30213101:30214598:-1 gene:B456_006G077700 transcript:KJB34672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRARNVMVASGLLVFAAAGLAFPFYMATSRRPVDSSKPLPPQATFRGPYINTGSRDVGPDHQTYSKK >KJB34675 pep chromosome:Graimondii2_0_v6:6:30213150:30214018:-1 gene:B456_006G077700 transcript:KJB34675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRARNVMVASGLLVFAAAGLAFPFYMATSRRPVDSSKPLPPQATFRGPYINTGSRDVGPDHQTYSKK >KJB36462 pep chromosome:Graimondii2_0_v6:6:41911473:41913456:1 gene:B456_006G159800 transcript:KJB36462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSRAEVIKPMLLKAGIPLALSVAGFIYARIIAKRRINLQVSSMETQVSPLETDPQQFRSSEAILYPTSSTSGDDGENICTSTDFTSMITSSEIQNRIAYGEEILGLRSRVEELQKREWELERKFLRFVDLKEQESVLMELRNMLLMESLCIEFMDREISSMEAENKRVENIVMEFVRVVEQIEHWKSQNGLLERKVKRLLRKTKGLDKLIGEKDLKIQAKDAEIRRNGEELQGRSNVIKKLEDEMRDLKCLTLQLQDQKDELSKKLELAEVSHSSISKSAEEGITREEYTQLANEYEQVQKERSDELTELTYLRWCNACLRYDLKRYQLLQEYIQGSKDELEQDFEEGGESVGFRVEEELGSPKMVEPRFGVAKGGEVSSKRQKLLKKFKKLVDGKGKHERKCFGRHSVCNEMQDQEHVVHARNSCSSI >KJB38534 pep chromosome:Graimondii2_0_v6:6:50036759:50037634:-1 gene:B456_006G259400 transcript:KJB38534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKNGESANEEE >KJB38533 pep chromosome:Graimondii2_0_v6:6:50036777:50037389:-1 gene:B456_006G259400 transcript:KJB38533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKNGESANEEE >KJB37754 pep chromosome:Graimondii2_0_v6:6:47126732:47135714:-1 gene:B456_006G218800 transcript:KJB37754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEVLPSSRKQEHLEAGKRRLEEFRKKKAAERAKKAASTTQSYNTDVSLNDKHQLETEQVRAINSDGAGTSEGPNPSSLNTINNNNNKTTEVSRENQQVYSNNAFVVPSFLGSDYNSSITEMQAHEKSLENEKYGAPWNGGPFSNDSLHTERFSNDFLEPKSKEDDGSLKVSAVVTPISEDFVTKRSQQNSLQNKASEGSLLGSNHVLSSFHEDSTQSISGIRRPMISDPGERNFSSSSSDFPRVLGPSTQISGSSEFNFDARGSSSHMPVHSVTDDTISRRSRPSFLDSLNVSKASSGSLFQHDKTTNNAFAFHSSQFNSFDTKGSSLFEKPSTEIETMGTFSKLGFPEFPGAREYPGQYSVPVYNDDLLSLNVANENVSEKNHEFYSTKQNEDFAALEQHIEDLTQEKFSLQRALEASRTLAESLAAENSSLTDSYNQQRSVVDQLKSDMEKLQEEIKAQLAELESFKMEYTNARLECNAADERANILASEVIGLEEKALRLRSNELKLERQLENTEAEIFSFKKKMSSLEKERQDFLSTIEALKEEKKVLQSKLRKASGSGQSIDVIKNPASKKDMSTSTENLASMDPSSDDRGTNNSNDASGLSLLHDDGQFEASSVYIPPDQTRMIQNINSLISELTLEKEELTQALSSEVSQSSKLKELNNELSRKLEAQTQRLELLTALSMASEHIPARQPESQIMHDNTPYADEGDEVVERVLGWIMKLFPGGPSRRRTNKHIS >KJB36738 pep chromosome:Graimondii2_0_v6:6:43287154:43289437:1 gene:B456_006G174300 transcript:KJB36738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVCGKRVGFEEIFGSSPKRFRCSGYESPNSSSDPGSRPDDRVSTFSALDPELRTSVVDKNEDFKESSNALSSDLEERNKQYGFDCVRTGNCTDETATCSQISDYNVEDVNKQKFYDRNAVNGPEWTDLFVHEMTSAMNIDDAKARAARILEAFERRVVANKRAAEEIEHASLKEYLRSLLDNNQILRRTVAIQHERNIEQEGKEREVQHLKLTLNQYIEQARTLELNNYTLRLHLQRAQAQQSSSIKGQFPPDIY >KJB36739 pep chromosome:Graimondii2_0_v6:6:43287230:43289226:1 gene:B456_006G174300 transcript:KJB36739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVCGKRVGFEEIFGSSPKRFRCSGYESPNSSSDPGSRPDDRVSTFSALDPELRTSVVDKNEDFKESSNALSSDLEERNKQYGFDCVRTGNCTDETATCSQISDYNVEDVNKQKFYDRNAVNGPEWTDLFVHEMTSAMNIDDAKARAARILEAFERRVVANKRAAEEEYLRSLLDNNQILRRTVAIQHERNIEQEGKEREVQHLKLTLNQYIEQARTLELNNYTLRLHLQRAQAQQSSSIKGQFPPDIY >KJB36740 pep chromosome:Graimondii2_0_v6:6:43287230:43289226:1 gene:B456_006G174300 transcript:KJB36740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVCGKRVGFEEIFGSSPKRFRCSGYESPNSSSDPGSRPDDRVSTFSALDPELRTSVVDKNEDFKESSNALSSDLEERNKQYGFDCVRTGNCTDETATCSQISDYNVEDVNKQKFYDRNAVNGPEWTDLFVHEMTSAMNIDDAKARAARILEAFERRVVANKRAAEEQIEHASLKEYLRSLLDNNQILRRTVAIQHERNIEQEGKEREVQHLKLTLNQYIEQARTLELNNYTLRLHLQRAQAQQSSSIKGQFPPDIY >KJB33858 pep chromosome:Graimondii2_0_v6:6:9186530:9189973:-1 gene:B456_006G034700 transcript:KJB33858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGYSNFKKTDDICEGVCGKQGSRAALTISRLRCMLRGYDIRTYIFVFVIIPPAIFGLYLHGQKISYFLRPLWESPPKPFIELPHYYHDNITMETLCNLHGWKVREFPRRVFDAVLFSNEIDMLMIRWNELYPYVTQFVLLESNSTFTALLKPLLFARNKDQFKFVEPRLTYGTIGGRFKKGENPFVEEAYQRVALDQLLRLAGIEDDDLLIMSDVDEIPSGHTINLLRWCDDTPPVLHLQLRNYLYSFEYLIDNKSWRASVHRYKKGRTRYAHYRQSDLILADAGWHCSFCFRYISDFIFKMKAYSHTDRVRFAHFLNSKRIQDVICRGSNLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAYLLNNAEKYKYLLPGNCVREHG >KJB33857 pep chromosome:Graimondii2_0_v6:6:9187181:9190030:-1 gene:B456_006G034700 transcript:KJB33857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGYSNFKKTDDICEGVCGKQGSRAALTISRLRCMLRGYDIRTYIFVFVIIPPAIFGLYLHGQKISYFLRPLWESPPKPFIELPHYYHDNITMETLCNLHGWKVREFPRRVFDAVLFSNEIDMLMIRWNELYPYVTQFVLLESNSTFTALLKPLLFARNKDQFKFVEPRLTYGTIGGRFKKGENPFVEEAYQRVALDQLLRLAGIEDDDLLIMSDVDEIPSGHTINLLRWCDDTPPVLHLQLRNYLYSFEYLIDNKSWRASVHRYKKGRTRYAHYRQSDLILADAGWHCSFCFRYISDFIFKMKAYSHTDRVRFAHFLNSKRIQDVICRGSNLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAYLLNNAEKYKYLLPGNCVREHG >KJB37503 pep chromosome:Graimondii2_0_v6:6:46277070:46280740:-1 gene:B456_006G207500 transcript:KJB37503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPLTSEAIALTEKKMDMTLDDIIKMSKNTSNKSKKQPRIPNKIQRPVSNAAKDKALKGVLAQRRSNFQGNRFPFVAEAARRAAVAPFRNRAFNSRRVANLNKPRVGAPPVQRRATNGGFTAKPQRQQQQQLQQDQQENIVTKQRPKTLDSLFANMKEERLRVLSHQNNGVQPNGRGRQRMPWGRGRFGN >KJB37502 pep chromosome:Graimondii2_0_v6:6:46277070:46280740:-1 gene:B456_006G207500 transcript:KJB37502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPLTSEAIALTEKKMDMTLDDIIKMSKNTSNKSKKQPRIPNKIQRPVSNAAKDKALKVRQYMDSRASVRQGNRFPFVAEAARRAAVAPFRNRAFNSRRVANLNKPRVGAPPVQRRATNGGFTAKPQRQQQQQLQQDQQENIVTKQRPKTLDSLFANMKEERLRVLSHQNNGVQPNGRGRQRMPWGRGRFGN >KJB37501 pep chromosome:Graimondii2_0_v6:6:46277030:46280815:-1 gene:B456_006G207500 transcript:KJB37501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPLTSEAIALTEKKMDMTLDDIIKMSKNTSNKSKKQPRIPNKIQRPVSNAAKDKALKVRQYMDSRASVRQGVLAQRRSNFQGNRFPFVAEAARRAAVAPFRNRAFNSRRVANLNKPRVGAPPVQRRATNGGFTAKPQRQQQQQLQQDQQENIVTKQRPKTLDSLFANMKEERLRVLSHQNNGVQPNGRGRQRMPWGRGRFGN >KJB34254 pep chromosome:Graimondii2_0_v6:6:20376762:20376973:-1 gene:B456_006G0558001 transcript:KJB34254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVEQ >KJB33696 pep chromosome:Graimondii2_0_v6:6:6961913:6963783:1 gene:B456_006G026900 transcript:KJB33696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCKTAQCNGQNQMPGGGGMSRKVTKKDAETYLKQVKEMFKDEKDKYNMLLHVMRDFSIQRTDVIGVVERVKEIFKGHNNLIQGFNMFLPKRYKITVDEDRPSPRMIAAHSEAIYFVNKIHKRDENVYTSFLDVLNKYRTGHTDIIKVLTKVASLFEDHPDLLEEFIRFLPG >KJB36775 pep chromosome:Graimondii2_0_v6:6:43441741:43446844:1 gene:B456_006G176300 transcript:KJB36775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMETFGQRRPKISSFSSDQSLVDLLPSTAPASSRGNRQLQKQRKIPKLASDSSSCSSDTTEEDQLTFELTCRSSKQSTGTPMKKLLAKEMTKENESRRRPPSVIARLMGLDGLPPQQRGHKQQKRNENSHEKVQKGSTSYSWQSSRKSSKDEQEFKDVFEVLDALKMEGASFSSQGTVKSKLSDTEVAFIRHKFMEAKRLSTDEKLQDSEEFNDTLEVLNSNTDLLLNYFQQPNSLFTKHLHDLRVPPQSHCGRVSAMKSSHTLNNENCCFAQRTGRETQMKLHCKSPMGHQEDCLNHSYGRYTAHNPPKSPVVQLEEKNGPTIVPTRIVVLKPNLGKSQNSSRTASSPCSSHHFPSKCTRHSEILGIQNKEAEIWGKNKVLQDTGFSRYNSRDSREMAKEITRQMKNSFNNSPITISTSRFRGYAGDESSCDVSGSESESANDSDVTTVSYRDNISWNKRRHRRSSSRSSESSVSREAKKRLSERWKLTHKSQEVHMVSRGSTLGEMLATSDREVIPGNSSGPVGVERCNEIGNHFRPAVLNEPLGISSRDGWKDGCLGNLSRSRSLPASSTNFGSPGVSIRPESLRRDKNVNPKEGFMWDRNKAVKGNFNQRGAPLPSNQRSSVKKSQLLGRSCSSSKEYSDTLAGSNVTPYQVKQNIEGDKQSENNVSVSGASSSAMDSSSVLENAADVNDQNKPVLSEPSQMELSPPASLNPAIVSTSDLDNLDSQEPSEGPSKQTTPRCPVSELESQANCKEADQPSPVSVIEAPFTDDLSSGSECFESISADLHELRMQLRQLKLESEAYEDGTLLLSSDDDSNEVSVGFAEENGRPKAEENWESVYIVDVLVGSGIDGAELDTFLAAWHSPECPVNPLVFQQLEKKYCSLNYRSRAERRLMFDRINSKILEMYQEYNDQPPWMISGKKIIPTWNIRELEDSLHKSLVSQNKIRQMDVGEMVLAGECLWLDLRWDIDTIGRGIERLLVDELVAEVLAG >KJB34160 pep chromosome:Graimondii2_0_v6:6:17418046:17419500:1 gene:B456_006G051000 transcript:KJB34160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAERKEHMGIKGLTKLLADNVPKAMKEQKLESYFGCKIAINASMSIYHFIYFLLGNLIVYVNIICYIHYFIYL >KJB34159 pep chromosome:Graimondii2_0_v6:6:17418046:17419500:1 gene:B456_006G051000 transcript:KJB34159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAERKEHMGIKGLTKLLADNVPKAMKEQKLESYFGCKIAINASMSIYHFIYFLLGNLIVYVNIICYIHYFIYL >KJB36616 pep chromosome:Graimondii2_0_v6:6:42702811:42707538:-1 gene:B456_006G167400 transcript:KJB36616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTANGMMSTSSSSANAQSPGLKTYFKTPEGRYKLQYEKTHPSSLLHYAHGKTVTQVTLAHLKDKPAPSTPTASSSSFGASTGVKSAAARWLGSGNGSRALSFVGGNGGSKSVSSTSRIGSLGTSSSSTSMTNTNFDGKGTYLISNVGDAIFISDLNSQEKDPIKSIHFSNSNPVCHAFDQDAKEGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGAHHYNKDGCVNNSRCTSIAWVPGADGTFVVAHADGNMYVYEKASSNNFKAFFHLGSNPIARWHVCQGSINSIAFSFDGAYLATVGRDGYLRVFDYPKEQLVCGGKGYYGAVLCCSWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDPYWSSPNSDGTTETVMYRFGSVGQDTQLLLWDLEMDEIVVPLRRCPPGGSPTYSTGSQSSHWDNVSPLGTLQPASSIRDVPKISPVVAHRVHTEPLSGLIFTQESVLTVCQEGHIKIWMRPGITESQSSNTETLSSSAKDKLLLPSKTGGSSYKQ >KJB36615 pep chromosome:Graimondii2_0_v6:6:42702270:42707975:-1 gene:B456_006G167400 transcript:KJB36615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTANGMMSTSSSSANAQSPGLKTYFKTPEGRYKLQYEKTHPSSLLHYAHGKTVTQVTLAHLKDKPAPSTPTASSSSFGASTGVKSAAARWLGSGNGSRALSFVGGNGGSKSVSSTSRIGSLGTSSSSTSMTNTNFDGKGTYLISNVGDAIFISDLNSQEKDPIKSIHFSNSNPVCHAFDQDAKEGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGAHHYNKDGCVNNSRCTSIAWVPGADGTFVVAHADGNMYVYEKSNPIARWHVCQGSINSIAFSFDGAYLATVGRDGYLRVFDYPKEQLVCGGKGYYGAVLCCSWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDPYWSSPNSDGTTETVMYRFGSVGQDTQLLLWDLEMDEIVVPLRRCPPGGSPTYSTGSQSSHWDNVSPLGTLQPASSIRDVPKISPVVAHRVHTEPLSGLIFTQESVLTVCQEGHIKIWMRPGITESQSSNTETLSSSAKDKLLLPSKTGGSSYKQ >KJB36617 pep chromosome:Graimondii2_0_v6:6:42702270:42708001:-1 gene:B456_006G167400 transcript:KJB36617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTANGMMSTSSSSANAQSPGLKTYFKTPEGRYKLQYEKTHPSSLLHYAHGKTVTQVTLAHLKDKPAPSTPTASSSSFGASTGVKSAAARWLGSGNGSRALSFVGGNGGSKSVSSTSRIGSLGTSSSSTSMTNTNFDGKGTYLISNVGDAIFISDLNSQEKDPIKSIHFSNSNPVCHAFDQDAKEGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGAHHYNKDGCVNNSRCTSIAWVPGADGTFVVAHADGNMYVYEKASSNNFKAFFHLGNKDGAGDSSFFVIKDQTQFSVAHARYSKSNPIARWHVCQGSINSIAFSFDGAYLATVGRDGYLRVFDYPKEQLVCGGKGYYGAVLCCSWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDPYWSSPNSDGTTETVMYRFGSVGQDTQLLLWDLEMDEIVVPLRRCPPGGSPTYSTGSQSSHWDNVSPLGTLQPASSIRDVPKISPVVAHRVHTEPLSGLIFTQESVLTVCQEGHIKIWMRPGITESQSSNTETLSSSAKDKLLLPSKTGGSSYKQ >KJB36618 pep chromosome:Graimondii2_0_v6:6:42702270:42708027:-1 gene:B456_006G167400 transcript:KJB36618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTANGMMSTSSSSANAQSPGLKTYFKTPEGRYKLQYEKTHPSSLLHYAHGKTVTQVTLAHLKDKPAPSTPTASSSSFGASTGVKSAAARWLGSGNGSRALSFVGGNGGSKSVSSTSRIGSLGTSSSSTSMTNTNFDGKGTYLISNVGDAIFISDLNSQEKDPIKSIHFSNSNPVCHAFDQDAKEGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGAHHYNKDGCVNNSRCTSIAWVPGADGTFVVAHADGNMYVYEKNKDGAGDSSFFVIKDQTQFSVAHARYSKSNPIARWHVCQGSINSIAFSFDGAYLATVGRDGYLRVFDYPKEQLVCGGKGYYGAVLCCSWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDPYWSSPNSDGTTETVMYRFGSVGQDTQLLLWDLEMDEIVVPLRRCPPGGSPTYSTGSQSSHWDNVSPLGTLQPASSIRDVPKISPVVAHRVHTEPLSGLIFTQESVLTVCQEGHIKIWMRPGITESQSSNTETLSSSAKDKLLLPSKTGGSSYKQ >KJB36958 pep chromosome:Graimondii2_0_v6:6:44148992:44151201:1 gene:B456_006G184200 transcript:KJB36958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGASTPKTSSGELKKNIAKKSGLETDKQRVSIRRKEKDKSKVEETGTAKEKAEETKASKENKVEFVRETEERSKAFVAIAGVRKAVDKLSERVAALEKAVNSGTKVSDEEFDVSAELLMRELLKLDGIEAEGEAKLQRKAEVCRVQNFHETLDKLKTTNSKLS >KJB33367 pep chromosome:Graimondii2_0_v6:6:1694301:1696794:-1 gene:B456_006G008200 transcript:KJB33367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNEKNDPLYNYDPFQGFNSSTFPFFDYNNLLVYSQAAPTPPFQGLDSSYMNFTDCLHDQNSFSRPFFDLSGSSSDVVGPVHDNISNSKQTVDDSVRINIDNTENPSTPNSSVSSPSNGGTAVEDSSKTNKGSEHEKSKKVNKPKKERQQREPRFAFLTKTEIDVLEDGYRWRKYGQKAVKNSPYPRSYYRCTAQKCGVKKRVERSFQDPSVVITTYEGRHNHQIPISLRGNNITSSAGFPHEFLAHYFFPSTSNHQEENSIQHQSVALHQHQQQQQLQVSDYGLLHNLVSPSTRKQAP >KJB37701 pep chromosome:Graimondii2_0_v6:6:46911366:46912193:-1 gene:B456_006G216300 transcript:KJB37701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGTAASRYFQHLQRPDLHLHHQPEPEDHEVSNNRHGQDDDGSHQALDLVNGSHSGDLVIARRPRGRPPGSKNKPKPPVIVTRESANTLRAHLLEVGSGCDVFDCVANYARRRQRGVCILSGSGTVTNVSIRQPAAAGAVVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGELMAAGPVILIASSFTNVAYEILHLEEDDDQLQMQSGGGGGNIGGNMVTDDGAVPGGLPFFNLPPNMQPNVHLPVEGWQGNSGGT >KJB35255 pep chromosome:Graimondii2_0_v6:6:34965149:34978345:1 gene:B456_006G106900 transcript:KJB35255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKERLLPLKPQSAINLRGTTSQASASGRQPFQGLDLSGLKKRGQGLRSWIRVDTSGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTSGVGEVWQPEGPELNRRSCRNFDNVYGSPSPDYLPFEFRALEVALESACTFLDSQAAELEVEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLSEKKSRMECDQSMMGFRSNDGLSVSAPVSPVSSPPESRRLEKSLSMARSRHESTKSSESATESIEELEMLLEAYFVLIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVTGIFGMNFAIPFFDDPGAFKWVLIITGVCGIIIFCAFVWFFKYRRLMPL >KJB35251 pep chromosome:Graimondii2_0_v6:6:34965149:34978345:1 gene:B456_006G106900 transcript:KJB35251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKERLLPLKPQSAINLRGTTSQASASGRQPFQGLDLSGLKKRGQGLRSWIRVDTSGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTSGVGEVWQPEGPELNRRSCRNFDNVYGSPSPDYLPFEFRALEVALESACTFLDSQAAELEVEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLSEKKSRMECDQSMMGFRSNDGLSVSAPVSPVSSPPESRRLEKSLSMARSRHESTKSSESATESIEELEMLLEAYFVLIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVTGIFGMNFAIPFFDDPGAFKWVLIITGVCGIIIFCAFVWFFKYRRLMPL >KJB35252 pep chromosome:Graimondii2_0_v6:6:34965149:34978345:1 gene:B456_006G106900 transcript:KJB35252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKERLLPLKPQSAINLRGTTSQASASGRQPFQGLDLSGLKKRGQGLRSWIRVDTSGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTSGVGEVWQPEGPELNRRSCRNFDNVYGSPSPDYLPFEFRALEVALESACTFLDSQAAELEVEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLSEKKSRMECDQSMMGFRSNDGLSVSAPVSPVSSPPESRRLEKSLSMARSRHESTKSSESATESIEELEMLLEAYFVLIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVTGIFGMNFAIPFFDDPGAFKWVLIITGVCGIIIFCAFVWFFKYRRLMPL >KJB35254 pep chromosome:Graimondii2_0_v6:6:34965149:34978345:1 gene:B456_006G106900 transcript:KJB35254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKERLLPLKPQSAINLRGTTSQASASGRQPFQGLDLSGLKKRGQGLRSWIRVDTSGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTSGVGEVWQPEGPELNRRSCRNFDNVYGSPSPDYLPFEFRALEVALESACTFLDSQAAELEVEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLSEKKSRMECDQSMMGFRSNDGLSVSAPVSPVSSPPESRRLEKSLSMARSRHESTKSSESATESIEELEMLLEAYFVLIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVTGIFGMNFAIPFFDDPGAFKWVLIITGVCGIIIFCAFVWFFKYRRLMPL >KJB35253 pep chromosome:Graimondii2_0_v6:6:34965149:34978345:1 gene:B456_006G106900 transcript:KJB35253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKERLLPLKPQSAINLRGTTSQASASGRQPFQGLDLSGLKKRGQGLRSWIRVDTSGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTSGVGEVWQPEGPELNRRSCRNFDNVYGSPSPDYLPFEFRALEVALESACTFLDSQAAELEVEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLSEKKSRMECDQSMMGFRSNDGLSVSAPVSPVSSPPESRRLEKSLSMARSRHESTKSSESATESIEELEMLLEAYFVLIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVTGIFGMNFAIPFFDDPGAFKWVLIITGVCGIIIFCAFVWFFKYRRLMPL >KJB38571 pep chromosome:Graimondii2_0_v6:6:50205330:50208352:1 gene:B456_006G261600 transcript:KJB38571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKIIARYARAPLSLTSITFVTILLILFSHSITVSLNLSAPLVFCLHISSFIVSLFVRRKKIKDCSTMEGIINGGKVGEGEDIRDNNNIQHCINHPYGKNPGGICAFCLQEKLGKLVSSSSPLPIHGSSSSSSPSPPPLRSAAGGVGGNGGVVGSDNGHYRHTRRAASSDGGGGLKRSKSTVTPRGGRFVEGGDEFRKRSGFWSFLYVSSKTHSAKKPASIGMKLAKKGSSSLSMDDDHASSFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKSKPTHHHGHAPSSSSSSGMKERVKCGGIFSGFMIMTSSSSSSSSSSSSYWVSSATNNEDHINGRNNNKSWGWAFASPIRAFTASKPPSSGKKHSSIVKESTNNDNTTTTTTPNLSAIPSLLAVTS >KJB34010 pep chromosome:Graimondii2_0_v6:6:12747947:12749831:-1 gene:B456_006G043600 transcript:KJB34010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEDEKSQLQHSLRQLCNQPWFPESQRSKKNIERKYQLSARLKTGNFFFCIISFCSKYLVKSFLTLTCNLPYYPFILATIFLLLSCLLSVLHCFLLGKKRQGLGLWLCYYK >KJB34755 pep chromosome:Graimondii2_0_v6:6:31149940:31159126:1 gene:B456_006G081900 transcript:KJB34755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGNPNPQPQIGGGSGGGGGSGGFDLNKLFKPSSSPMLQHQHLQDMNVMATPPSPNPNTTNLTTSPSFPAQLSTPPPPPYLTPSSSYPPPTRPYPFHHQYLHYPPPPQHLPPLHPHPNPTGPIPYHPQPQLPTTPTSGNDILMAFFGTQSQSQAPAPVPSAPPLNMNVNPSAPSPSPSSPSPARLLSSKSPKGRHLFGTNLLYDIHVRLPSEVQPQLEVTPITKYASDPGLVLGRQIAVNRNYICYGLKLGNIRILNINTALRSLLRGHTQRVTDMAFFAEDVHLLASASVDGRVFVWRINEGPDDEDKPQIFGKVVIAIQIVGQEESKHPRVCWHPHKQEILMVAIGNRILKIDTMKVGKLEGFTAEEPLNCSVDKLIDGVQFVGKHDGELTELSMCQWLTTRLASASVDGTVKIWEDRKPLPLAVLRPHDGHPVYSATFLTAPHRPDHIVLITGGPLNREVKIWASSGEEGWLLPSDGESWQCTQTLELRCSAESEVDNAFFNQVVALPHAGLFLLANAKKNAIYAVHIDYGPNPAATCMDYIAEFTVTMPILSLTGTSDSLPGGDYTVQVYCVQTQAIQQYALDLSQCLPPPLENADLDKTDSNAAHVFDAMNSDVSASLELSHGYKPSPSILVSCISSSSSESAAVVSCTQNLASSDVTFISESAVSGIESKPSALLSSSSAENMHSASPPGLSRKSSGFRNPSVDHVNHSAHDSVDHNVDTVKENKAEMPYSGGHLQKGEDIAQNDISTVPGPHTVFKHPTHLVTPSEILSTMASSTENAQISQVISDGEATVEDVVKNDAESIEVEVDNLGETRHCQTNETKCPQGPHTTVTDNKEKAFYSQASDLGIQMARDFCAKSYSVEGAQQANLMGVSVQEDKLTNTGDGDDQNVIKDVPPKVSETDTAVTVSASPASAKGKKLQKKNSQVSIASSPSASPYNSTDSSNEPGCSSQALSADAFLPQLLAMQDLLEKSLSMQKEMQKQMNTIVSAPVNKEGKRLEASLGRSIEKAVKANTDALWARFQDENAKQEKLERDCMQQITNLITNCLNKDLPAMFEKSLKKEIAAVGPVVARAISPILEKSISSAITESFQKGVGERAVNHLEKSVSSKLEVTMARQIQAQFQTSGKQALQDALRSSLETSVIPAFEMSCKSMFEQIDVAFQRGLMEHTATAQQQFENSHSSLAVALKDAINSASSITQSLCGEMANAQRKLLAIAAAGGSSKAGNPLVTQLSNGPLAHLHEMQPEAQLDPTKELSRMIAEKKYDEAFTSALHRSDVSIVSWLCSQVDLQGILSMKPCSLSQGLLLALFQQLACDINKETSRKLAWMTDVAVAIIPSDPTIAVHVVPIFRQVSQIVDHLQSMSTTSASESACIRVLKFVINSVLSGK >KJB34757 pep chromosome:Graimondii2_0_v6:6:31150203:31159126:1 gene:B456_006G081900 transcript:KJB34757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGNPNPQPQIGGGSGGGGGSGGFDLNKLFKPSSSPMLQHQHLQDMNVMATPPSPNPNTTNLTTSPSFPAQLSTPPPPPYLTPSSSYPPPTRPYPFHHQYLHYPPPPQHLPPLHPHPNPTGPIPYHPQPQLPTTPTSGNDILMAFFGTQSQSQAPAPVPSAPPLNMNVNPSAPSPSPSSPSPARLLSSKSPKGRHLFGTNLLYDIHVRLPSEVQPQLEVTPITKYASDPGLVLGRQIAVNRNYICYGLKLGNIRILNINTALRSLLRGHTQRVTDMAFFAEDVHLLASASVDGRVFVWRINEGPDDEDKPQIFGKVVIAIQIVGQEESKHPRVCWHPHKQEILMVAIGNRILKIDTMKVGKLEGFTAEEPLNCSVDKLIDGVQFVGKHDGELTELSMCQWLTTRLASASVDGTVKIWEDRKPLPLAVLRPHDGHPVYSATFLTAPHRPDHIVLITGGPLNREVKIWASSGEEGWLLPSDGESWQCTQTLELRCSAESEVDNAFFNQVVALPHAGLFLLANAKKNAIYAVHIDYGPNPAATCMDYIAEFTVTMPILSLTGTSDSLPGGDYTVQVYCVQTQAIQQYALDLSQCLPPPLENADLDKTDSNAAHVFDAMNSDVSASLELSHGYKPSPSILVSCISSSSSESAAVVSCTQNLASSDVTFISESAVSGIESKPSALLSSSSAENMHSASPPGLSRKSSGFRNPSVDHVNHSAHDSVDHNVDTVKENKAEMPYSGGHLQKGEDIAQNDISTVPGPHTVFKHPTHLVTPSEILSTMASSTENAQISQVISDGEATVEDVVKNDAESIEVEVDNLGETRHCQTNETKCPQGPHTTVTDNKEKAFYSQASDLGIQMARDFCAKSYSVEGAQQANLMGVSVQEDKLTNTGDGDDQNVIKDVPPKVSETDTAVTVSASPASAKGKKLQKKNSQVSIASSPSASPYNSTDSSNEPGCSSQALSADAFLPQLLAMQDLLEKSLSMQKEMQKQMNTIVSAPVNKEGKRLEASLGRSIEKAVKANTDALWARFQDENAKQEKLERDCMQQITNLITNCLNKDLPAMFEKSLKKEIAAVGPVVARAISPILEKSISSAITESFQKGVGERAVNHLEKSVSSKLEVTMARQIQAQFQTSGKQALQDALRSSLETSVIPAFEMSCKSMFEQIDVAFQRGLMEHTATAQQQFENSHSSLAVALKDAINSASSITQSLCGEMANAQRKLLAIAAAGGSSKAGNPLVTQLSNGPLAHLHEMQPEAQLDPTKELSRMIAEKKYDEAFTSALHRSDVSIVSWLCSQVDLQGILSMKPCSLSQGLLLALFQQLACDINKETSRKLAWMTDVAVAIIPSDPTIAVHVVPIFRQVSQIVDHLQSMSTTSASESACIRVLKFVINSVLSGK >KJB34756 pep chromosome:Graimondii2_0_v6:6:31150057:31159126:1 gene:B456_006G081900 transcript:KJB34756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGNPNPQPQIGGGSGGGGGSGGFDLNKLFKPSSSPMLQHQHLQDMNVMATPPSPNPNTTNLTTSPSFPAQLSTPPPPPYLTPSSSYPPPTRPYPFHHQYLHYPPPPQHLPPLHPHPNPTGPIPYHPQPQLPTTPTSGNDILMAFFGTQSQSQAPAPVPSAPPLNMNVNPSAPSPSPSSPSPARLLSSKSPKGRHLFGTNLLYDIHVRLPSEVQPQLEVTPITKYASDPGLVLGRQIAVNRNYICYGLKLGNIRILNINTALRSLLRGHTQRVTDMAFFAEDVHLLASASVDGRVFVWRINEGPDDEDKPQIFGKVVIAIQIVGQEESKHPRVCWHPHKQEILMVAIGNRILKIDTMKVGKLEGFTAEEPLNCSVDKLIDGVQFVGKHDGELTELSMCQWLTTRLASASVDGTVKIWEDRKPLPLAVLRPHDGHPVYSATFLTAPHRPDHIVLITGGPLNREVKIWASSGEEGWLLPSDGESWQCTQTLELRCSAESEVDNAFFNQVVALPHAGLFLLANAKKNAIYAVHIDYGPNPAATCMDYIAEFTVTMPILSLTGTSDSLPGGDYTVQVYCVQTQAIQQYALDLSQCLPPPLENADLDKTDSNAAHVFDAMNSDVSASLELSHGYKPSPSILVSCISSSSSESAAVVSCTQNLASSDVTFISESAVSGIESKPSALLSSSSAENMHSASPPGLSRKSSGFRNPSVDHVNHSAHDSVDHNVDTVKENKAEMPYSGGHLQKGEDIAQNDISTVPGPHTVFKHPTHLVTPSEILSTMASSTENAQISQVISDGEATVEDVVKNDAESIEVEVDNLGETRHCQTNETKCPQGPHTTVTDNKEKAFYSQASDLGIQMARDFCAKSYSVEGAQQANLMGVSVQEDKLTNTGDGDDQNVIKDVPPKVSETDTAVTVSASPASAKGKKLQKKNSQVSIASSPSASPYNSTDSSNEPGCSSQALSADAFLPQLLAMQDLLEKSLSMQKEMQKQMNTIVSAPVNKEGKRLEASLGRSIEKAVKANTDALWARFQDENAKQEKLERDCMQQITNLITNCLNKDLPAMFEKSLKKEIAAVGPVVARAISPILEKSISSAITESFQKGVGERAVNHLEKSVSSKLEVTMARQIQAQFQTSGKQALQDALRSSLETSVIPAFEMSCKSMFEQIDVAFQRGLMEHTATAQQQFENSHSSLAVALKDAINSASSITQSLCGEMANAQRKLLAIAAAGGSSKAGNPLVTQLSNGPLAHLHEMPEAQLDPTKELSRMIAEKKYDEAFTSALHRSDVSIVSWLCSQVDLQGILSMKPCSLSQGLLLALFQQLACDINKETSRKLAWMTDVAVAIIPSDPTIAVHVVPIFRQVSQIVDHLQSMSTTSASESACIRVLKFVINSVLSGK >KJB33825 pep chromosome:Graimondii2_0_v6:6:8479478:8483968:1 gene:B456_006G0327002 transcript:KJB33825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYTSLLEKTRLPQPSLQKFAVISIFSKLQTAPVHLGPDSKPGAQAISQCLQSSSPAVVDQSVREVCRLVLNSNMNLSRALLELQSALEGSDPKLVPLFVKSLGFLVCVGYERSNGSWKPESHEDHPFVKILSGRREVERELVNQVLLFMAKNKGLGMVEVCEFLRHFLIFSILRMNVSDSSLFLFARQLITSMASFCCSIPNQALPIFRTLIHCLKHFPLKSLEETRNFCYVVECLVDSFTVVLRQLVGKGVLITEAQLHGVELIENVLSLYTSPCKQSDEVEHIVELLKHMLVAQKDLALHYMPELASVILSLSVLLIESDLEHQQLSILKFLHFLLKWKSEIEYAVNGAEYFLSEELLVIFPVISLISSPSKSVKGAANDLLVLLERLLVKLLTMPKIKLAKRVGYPSISRPELIAYRLLQHLWFEDQNSLSSSFFLSFFSVCGTDVQEMHGRPRSWAYQLKELALWIVERRKSGLPVPRSQEILLTEMPLLFGAIAAVLVMHPSLGSTAIDALASIGNMDPKLGVSLLLSILFYNNIFTRKDVVYQSMLPKLLGMLPSLASQTGMIPLVVQTILPMLHKDAKPVLYATATRLLCQTWEINDRTFSSLQ >KJB37981 pep chromosome:Graimondii2_0_v6:6:48019266:48025367:-1 gene:B456_006G230000 transcript:KJB37981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSTSSGFTALLQSLLESYKSIFSCMTQWWQKARRAMDCFQSVSRVKGSLCQQLLIFIFWLSSFQDVATLHDPSHIPSTSELANPPTTALFEPIEISPAVIPRYPSPDESVPPMYPSFPTTYEPNLTGRCPVNFSAISNIMGKTASDCSLPLAALVGNVICCPQLGSLLHIFQGYGIDSDKLVLGNAVANDCFSDVISILASRGANKTIPTVCSVKSSNLTGGSCPVKNVNTFEKTVNTSKLLEACSTVDPLKECCRPVCQPAIMEAALQISGTQMMLNDDKTVVGETNHMDAINDCKGVVYSYLSRKLLPDVANSAFRILSACKVNKVCPLEFNQPSEVIKACRNIAAPSPSCCSSLNTYIAGIQKQMLITNKQAIVCATMFGSILRKGGVMTNVYELCDVDLKDFSIQAYGQQGCLLRSLPADVIFDNSTGYSFTCDLTDNIGAPWPSSSSMSSLSLCAPEMSLPALPTSETLKNLGCHGCTLEMLRLRLRWFKKGQCRTFVWWKNLLALYVSIRPFLYTVCADEVVFMRQKVEGAKLS >KJB37984 pep chromosome:Graimondii2_0_v6:6:48019280:48025367:-1 gene:B456_006G230000 transcript:KJB37984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSTSSGFTALLQSLLESYKSIFSCMTQWWQKARRAMDCFQSVSRVKGSLCQQLLIFIFWLSSFQDVATLHDPSHIPSTSELANPPTTALFEPIEISPAVIPRYPSPDESVPPMYPSFPTTYEPNLTGRCPVNFSAISNIMGKTASDCSLPLAALVGNVICCPQLGSLLHIFQGYGIDSDKLVLGNAVANDCFSDVISILASRGANKTIPTVCSVKSSNLTGGSCPVKNVNTFEKTVNTSKLLEACSTVDPLKECCRPVCQPAIMEAALQISGTQMMLNDDKTVVGETNHMDAINDCKGVVYSYLSRKLLPDVANSAFRILSACKVNKVCPLEFNQPSEVIKACRNIAAPSPSCCSSLNTYIAGIQKQMLITNKQAIVCATMFGSILRKGGVMTNVYELCDVDLKDFSIQAYGQQGCLLRSLPADVIFDNSTGYSFTCDLTDNIGAPWPSSSSMSSLSLCAPEMSLPALPTSETLKNLGCHGCTLEIRVRRRGCLYETKSRGS >KJB37985 pep chromosome:Graimondii2_0_v6:6:48019311:48025233:-1 gene:B456_006G230000 transcript:KJB37985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSTSSGFTALLQSLLESYKSIFSCMTQWWQKARRAMDCFQSVSRVKGSLCQQLLIFIFWLSSFQDVATLHDPSHIPSTSELANPPTTALFEPIEISPAVIPRYPSPDESVPPMYPSFPTTYEPNLTGRCPVNFSAISNIMGKTASDCSLPLAALVGNVICCPQLGSLLHIFQGYGIDSDKLVLGNAVANDCFSDVISILASRGANKTIPTVCSVKSSNLTGGSCPVKNVNTFEKTVNTSKLLEACSTVDPLKECCRPVCQPAIMEAALQISGTQMMLNDDKTVVGETNHMDAINDCKGVVYSYLSRKLLPDVANSAFRILSACKVNKVCPLEFNQPSEVIKACRNIAAPSPSCCSSLNTYIAGIQKQMLITNKQAIVCATMFGSILRKGGVMTNVYELCDVDLKDFSIQAYGQQGCLLRSLPADVIFDNSTGYSFTCDLTDNIGAPWPSSSSMSSLSLCAPEMSLPALPTSETLKNLGCHGCTLEMLVPIFSFFVFSTFLY >KJB37983 pep chromosome:Graimondii2_0_v6:6:48020310:48022713:-1 gene:B456_006G230000 transcript:KJB37983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSFPTTYEPNLTGRCPVNFSAISNIMGKTASDCSLPLAALVGNVICCPQLGSLLHIFQGYGIDSDKLVLGNAVANDCFSDVISILASRGANKTIPTVCSVKSSNLTGGSCPVKNVNTFEKTVNTSKLLEACSTVDPLKECCRPVCQPAIMEAALQISGTQMMLNDDKTVVGETNHMDAINDCKGVVYSYLSRKLLPDVANSAFRILSACKVNKVCPLEFNQPSEVIKACRNIAAPSPSCCSSLNTYIAGIQKQMLITNKQAIVCATMFGSILRKGGVMTNVYELCDVDLKDFSIQAYGQQGCLLRSLPADVIFDNSTGYSFTCDLTDNIGAPWPSSSSMSSLSLCAPEMSLPALPTSETLKNLGCHGCTLEMLVPIFSFFVFSTFLY >KJB37982 pep chromosome:Graimondii2_0_v6:6:48019311:48024775:-1 gene:B456_006G230000 transcript:KJB37982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQWWQKARRAMDCFQSVSRVKGSLCQQLLIFIFWLSSFQDVATLHDPSHIPSTSELANPPTTALFEPIEISPAVIPRYPSPDESVPPMYPSFPTTYEPNLTGRCPVNFSAISNIMGKTASDCSLPLAALVGNVICCPQLGSLLHIFQGYGIDSDKLVLGNAVANDCFSDVISILASRGANKTIPTVCSVKSSNLTGGSCPVKNVNTFEKTVNTSKLLEACSTVDPLKECCRPVCQPAIMEAALQISGTQMMLNDDKTVVGETNHMDAINDCKGVVYSYLSRKLLPDVANSAFRILSACKVNKVCPLEFNQPSEVIKACRNIAAPSPSCCSSLNTYIAGIQKQMLITNKQAIVCATMFGSILRKGGVMTNVYELCDVDLKDFSIQAYGQQGCLLRSLPADVIFDNSTGYSFTCDLTDNIGAPWPSSSSMSSLSLCAPEMSLPALPTSETLKNLGCHGCTLEMLVPIFSFFVFSTFLY >KJB37980 pep chromosome:Graimondii2_0_v6:6:48019311:48025312:-1 gene:B456_006G230000 transcript:KJB37980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSTSSGFTALLQSLLESYKSIFSCMTQWWQKARRAMDCFQSVSRVKGSLCQQLLIFIFWLSSFQDVATLHDPSHIPSTSELANPPTTALFEPIEISPAVIPRYPSPDESVPPMYPSFPTTYEPNLTGRCPVNFSAISNIMGKTASDCSLPLAALVGNVICCPQLGSLLHIFQGYGIDSDKLVLGNAVANDCFSDVISILASRGANKTIPTVCSVKSSNLTGGSCPVKNVNTFEKTVNTSKLLEACSTVDPLKECCRPVCQPAIMEAALQISGTQMMLNDDKTVVGETNHMDAINDCKGVVYSYLSRKLLPDVANSAFRILSACKVNKVCPLEFNQPSEVIKACRNIAAPSPSCCSSLNTYIAGIQKQMLITNKQAIVCATMFGSILRKGGVMTNVYELCDVDLKDFSIQAYGQQGCLLRSLPADVIFDNSTGYSFTCDLTDNIGAPWPSSSSMSSLSLCAPEMSLPALPTSETLKNLGCHGCTLEMLVPIFSFFVFSTFLY >KJB34616 pep chromosome:Graimondii2_0_v6:6:29757379:29761342:1 gene:B456_006G075300 transcript:KJB34616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVCCNACKKPVKASQYAAHAELCRSLKITEEIILELDGNTGHRKPPRKERKKSLAAYANQPTLAGDQERSEIIDADDDPTASESLVDGQIGMTSSLTMHVKRNSTCIDMGYLMDGSGISPQNTDQSASLVPPSTKRFKLLAGNRLPLPDDPKTASGVKKILNSHDLYASKDSPIRTVSRSETLNVNCKLTKDLPAPLSTKMYYSQRNSRLRLALSHQYFITSTKELCSEMANRQVSKQSTMMLRDSSQGVCPLEHADNLLTKKQESSLQKPDQVLAQISEFCSSKSEVCLPSNDFSNPHPVDIIPRPQADSVGLTRSKYVPEHHAFAGNSV >KJB34612 pep chromosome:Graimondii2_0_v6:6:29755866:29760952:1 gene:B456_006G075300 transcript:KJB34612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLEAGSISPSIAEEVGNKKLAAQLQEADEANLLDEEDMHVFGLKPMTDPLNLVCCNACKKPVKASQYAAHAELCRSLKITEEIILELDGNTGHRKPPRKERKKSLAAYANQPTLAGDQERSEIIDADDDPTASESLVDGQIGMTSSLTMHVKRNSTCIDMGYLMDGSGISPQNTDQSASLVPPSTKRFKLLAGNRLPLPDDPKTASGVKKILNSHDLYASKDSPIRTVSRSETLNVNCKLTKDLPAPLSTKMYYSQRNSRLRLALSHQYFITSTKELCSEMANRQVSKQSTMMLRDSSQGVCPLEHADNLLTKKQESSLQKPDQVLAQISEFCSSKSEVCLPSNDFSNPHPVDIIPRPQADSVGLTRSKYVPEHHAFAGNSV >KJB34614 pep chromosome:Graimondii2_0_v6:6:29755866:29761342:1 gene:B456_006G075300 transcript:KJB34614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLEAGSISPSIAEEVGNKKLAAQLQEADEANLLDEEDMHVFGLKPMTDPLNLVCCNACKKPVKASQYAAHAELCRSLKITEEIILELDGNTGHRKPPRKERKKSLAAYANQPTLAGDQERSEIIDADDDPTASESLVDGQIGMTSSLTMHVKRNSTCIDMGYLMDGSGISPQNTDQSASLVPPSTKRFKLLAGNRLPLPDDPKTASGVKKILNSHDLYASKDSPIRTVSRSETLNVNCKLTKDLPAPLSTKMYYSQRNSRLRLALSHQYFITSTKELCSEMANRQVSKQSTMMLRDSSQGVCPLEHADNLLTKKQESSLQKPDQVLAQISEFCSSKSEVCLPSNDFSNPHPVDIIPRPQADSVGLTRSKYVPEHHAFAGNSGSLLT >KJB34615 pep chromosome:Graimondii2_0_v6:6:29755046:29761765:1 gene:B456_006G075300 transcript:KJB34615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLEAGSISPSIAEEVGNKKLAAQLQEADEANLLDEEDMHVFGLKPMTDPLNLVCCNACKKPVKASQYAAHAELCRSLKITEEIILELDGNTGHRKPPRKERKKSLAAYANQPTLAGDQERSEIIDADDDPTASESLVDGQIGMTSSLTMHVKRNSTCIDMGYLMDGSGISPQNTDQSASLVPPSTKRFKLLAGNRLPLPDDPKTASGVKKILNSHDLYASKDSPIRTVSRSETLNVNCKLTKDLPAPLSTKMYYSQRNSRLRLALSHQYFITSTKELCSEMANRQVSKQSTMMLRDSSQGVCPLEHADNLLTKKQESSLQKPDQVLAQISEFCSSKSEVCLPSNDFSNPHPVDIIPRPQADSVGLTRSKYVPEHHAFAGNSDKLLGPLQPPNGSVPVV >KJB34613 pep chromosome:Graimondii2_0_v6:6:29755866:29761342:1 gene:B456_006G075300 transcript:KJB34613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLEAGSISPSIAEEVGNKKLAAQLQEADEANLLDEEDMHVFGLKPMTDPLNLVCCNACKKPVKASQYAAHAELCRSLKITEEIILELDGNTGHRKPPRKERKKSLAAYANQPTLAGDQERSEIIDADDDPTASESLVDGQIGMTSSLTMHVKPGNRLPLPDDPKTASGVKKILNSHDLYASKDSPIRTVSRSETLNVNCKLTKDLPAPLSTKMYYSQRNSRLRLALSHQYFITSTKELCSEMANRQVSKQSTMMLRDSSQGVCPLEHADNLLTKKQESSLQKPDQVLAQISEFCSSKSEVCLPSNDFSNPHPVDIIPRPQADSVGLTRSKYVPEHHAFAGNSV >KJB34617 pep chromosome:Graimondii2_0_v6:6:29757644:29761342:1 gene:B456_006G075300 transcript:KJB34617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLTMHVKRNSTCIDMGYLMDGSGISPQNTDQSASLVPPSTKRFKLLAGNRLPLPDDPKTASGVKKILNSHDLYASKDSPIRTVSRSETLNVNCKLTKDLPAPLSTKMYYSQRNSRLRLALSHQYFITSTKELCSEMANRQVSKQSTMMLRDSSQGVCPLEHADNLLTKKQESSLQKPDQVLAQISEFCSSKSEVCLPSNDFSNPHPVDIIPRPQADSVGLTRSKYVPEHHAFAGNSV >KJB32971 pep chromosome:Graimondii2_0_v6:6:23029478:23031574:-1 gene:B456_006G0624001 transcript:KJB32971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKGVTQIPGRMSFIGTLGFMTKVSQQFDKSRKVGGPRALHPSQCYCLGVEDMELLLGEELHTPNSFLVMLNGLILGKHRRPQLFHQYADPSRS >KJB38159 pep chromosome:Graimondii2_0_v6:6:48663585:48666342:-1 gene:B456_006G239900 transcript:KJB38159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPFPLTNLIRVLIPFQISSLFFKKASLLLLLLIILFLAFFSFDLSSSNLCKKNQKEPKYLIPSKSTMRIHPLPKKRNITIQYEMNPRTTRSQAETLLTGGSNKKLRRLPHIFSRVLELPFRSDADVAVEESPDCFKFVAETDGRIGDVVRAHTVEIHPGVTKIVIRSNSLVDFGLLDDLELDMWRFRLPETTRPELASAVYEDGELIVTVPKGGEVENLEDGGGGDELRGGMGNEVRIYMNWLKLGKWGS >KJB35227 pep chromosome:Graimondii2_0_v6:6:34817880:34822261:-1 gene:B456_006G105500 transcript:KJB35227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRNMRQMSNVGSYPPVFRQLNGGGVHPPQQMMAQLKKNNYSMEDTSTTTQEDSVPIPMVDLECLSLHKLGDACKSWGIFRLVNHGIPSTLLTKLQYHAKMLFGLSFESKQALITNPMSYFWGTPALTPSGTALNPAASTSTMNWLEGFNVPLTQLPHFHSEHPMLHCFRLLLEEYGRHLSRIATTLFESMAKNLDLDPKQSESKLDESTALIRVYRYPPRRSLAGEAWGMIPHTDSSVLSIVNQDHVGGLEIFKDNKWHLVNPIPNTLIVHIGDMMQAISDDEYMSVKHRVRVKKQEERLSICYFVFPAEESVIHSSKYKPFTYKDFQEQVQKDTRTLGYKVGLQRFKA >KJB35228 pep chromosome:Graimondii2_0_v6:6:34818878:34822197:-1 gene:B456_006G105500 transcript:KJB35228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRNMRQMSNVGSYPPVFRQLNGGGVHPPQQMMAQLKKNNYSMEDTSTTTQEDSVPIPMVDLECLSLHKLGDACKSWGIFRLVNHGIPSTLLTKLQYHAKMLFGLSFESKQALITNPMSYFWGTPALTPSGTALNPAASTSTMNWLEGFNVPLTQLPHFHSEHPMLHCFRLLLEEYGRHLSRIATTLFESMAKNLDLDPKQSESKLDESTALIRVYRYPPRRSLAGEAWGMIPHTDSSVLSIVNQDHVGGLEIFKDNKWHLVNPIPNTLIVHIGDMMQVYTTHFIQISFIYYMVIVEVSSLIKILTY >KJB33586 pep chromosome:Graimondii2_0_v6:6:5097442:5100534:1 gene:B456_006G020100 transcript:KJB33586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLIISVILGVLWATILLSSATTVTAAYPSPLEAEAIALLESRWWSNHSSNTSQRCQWPGITCNTAESITQINLSDAPNIEVGDRFGKLNFSSFPNLVLLNLSDHQLRGKIPHQIGDLSALKYLDLSYCGLSGELPPSLGKLTQLEFLDISYNDNINGSIPPQLGNLENLVTLNLSQCGIVGPVPSALGQLINLQSLILSGNQINGSIPLEIGYLRNLTYLSLSSNRIVGPIPSALGQLTSLQSLILWGNQINGSIPLEIGHLRNLTYLDLYNNRLGLIPITLYQLTNLEILYLDYNQLQGSIPQDIENLENLKLLFLTNNSFIGHIPLALCHLTKLEYISLSQNSLSGSIPSCIGSLSKMQYLILGSNLLKGPIPQEICNLANLTSLALSQNKLSGSIPSCVGSLSKMRYLSLDSNLLKGSIPKDIGKLFDLSYLNLSFNQLSGPIPILYATDLYIVDAGNGCNKISPDPFEGNSDLSPYMCPTPVTKKANSSRIPYYIKIFLPIAILFTFSILGCLLFSRFKLKNNHVSVQPTKNGDLCSIWNYDGKIAYEDIVAATEDFDFRYCIGVGGYGSVYKAKLPCGKVVALKKLHHLEAENPTFDKSFRNEIKFLSEIRHRNIVKLHGFCLHRRSMFLIYEYMEKGSLFCNLRDEVNAVEMDWTRRVEIIKGIAHALSYLHHDCCPPIVHRDISSNNVLLNSSFEAFVADFGTARMLDLDSSNQTIIVGTCGYVAPELAYTMIVTEKCDVYSFGVVALETLMGKHPEEVLSWLSSPTSLVNMKLVDVLDNRLPLPTSQLVTQNLVHVATLAFACLNPQTKSRPTMKEVCEEFLSRHTSLGIPLRMISLLQLMNREMHIGGKTKTCDV >KJB38329 pep chromosome:Graimondii2_0_v6:6:49352211:49354066:1 gene:B456_006G249300 transcript:KJB38329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATPEPDHDRKTELMAIDQSKSGIKGLVDAGLAKLPRVFVHDHLNLNIKSGPAPDNVNIPVIDFAGVNTDSTRRAMIIDEIRNACMKWGFFQVVNHGIPVITLEEMINGIRRFHEQEPEAKEKLYSRDESKKVTFNTKIDMSQTMAAYWRDTLTCVMAPNPPATQELPGTCRDIMLDYTNNVMNLGTTLFELISEALGLNPKHLKDIGCTEGLYVMGHYSPACPEPELTMGTGVHTDSGFLTVLLQDQIGGLQVLHDNRWIDVTPIPGALIINLGDLLQVSP >KJB38330 pep chromosome:Graimondii2_0_v6:6:49352211:49355045:1 gene:B456_006G249300 transcript:KJB38330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATPEPDHDRKTELMAIDQSKSGIKGLVDAGLAKLPRVFVHDHLNLNIKSGPAPDNVNIPVIDFAGVNTDSTRRAMIIDEIRNACMKWGFFQVVNHGIPVITLEEMINGIRRFHEQEPEAKEKLYSRDESKKVTFNTKIDMSQTMAAYWRDTLTCVMAPNPPATQELPGTCRDIMLDYTNNVMNLGTTLFELISEALGLNPKHLKDIGCTEGLYVMGHYSPACPEPELTMGTGVHTDSGFLTVLLQDQIGGLQVLHDNRWIDVTPIPGALIINLGDLLQLISNDKFISVYHRVVARNIGPRISIASFFRTYIEPQNALRRYGPIKELLSENNPQIYKETNVVDYFKFKHLKGVEGTSALAHFKLF >KJB37694 pep chromosome:Graimondii2_0_v6:6:46892642:46894167:-1 gene:B456_006G215800 transcript:KJB37694 gene_biotype:protein_coding transcript_biotype:protein_coding description:tobamovirus multiplication 2B [Source:Projected from Arabidopsis thaliana (AT1G32370) TAIR;Acc:AT1G32370] MATAGRGGRAVTGTNSREGTAKSTVNEQISQAVLSTSNLLHLMQQSSPSRTQLMKLPKILLAKTPTIKNTGQMLELMPRAISSLDAHIDNGLQRLKSCASRLYHQLLSVLAWHVVFGIIQ >KJB37693 pep chromosome:Graimondii2_0_v6:6:46892247:46894151:-1 gene:B456_006G215800 transcript:KJB37693 gene_biotype:protein_coding transcript_biotype:protein_coding description:tobamovirus multiplication 2B [Source:Projected from Arabidopsis thaliana (AT1G32370) TAIR;Acc:AT1G32370] LCCEVSGLLKKMATAGRGGRAVTGTNSREGTAKSTVNEQISQAVLSTSNLLHLMQQSSPSRAGSKIVNYSNLTDSLKNLPSYDPVHILSYRSSNVANSTQLMKLPKILLAKTPTIKNTGQMLELMPRAISSLDAHIDNGLQSVPHLKTVIQLLENMESSQLSSLSQARLSRAESQQAHQHPEVGSPP >KJB33537 pep chromosome:Graimondii2_0_v6:6:3486590:3489503:1 gene:B456_006G016000 transcript:KJB33537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLATISQVGLLHRRRQMCLRPLTTVLSPAIAPSPMVNVILMACSHGPRQNGSTAVSQDINSLKHIALMEAKMKKLSDLLSKTIEQTKENVVKKLALTYEEMEQECKEIMDGFAEGKDLVVSVMSAMGEWQICALKDIGPK >KJB35276 pep chromosome:Graimondii2_0_v6:6:35089240:35089908:1 gene:B456_006G107800 transcript:KJB35276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIKKLKAFCVSVCPILLIPHSSHPPPPPPPPPPPPPSALPMVDLELHQQQPPPFANETLQADSPFHTLNHSEFQSPPPHTPRLPQQQSLPPPPSHRKIAAAQQHLQWINAVLSFCFSYPIIMLQFQYAQTNQNQSNVSLIVLSFLVLFTFNLFLLALFIKPISTRTSETLEKVGVLVAAAAFCHTIAIPFPLELKCVVFAVFILFLLLLTAFIYFSGKGG >KJB38661 pep chromosome:Graimondii2_0_v6:6:50479530:50483529:-1 gene:B456_006G266000 transcript:KJB38661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASPSPTHPEKVQFIELPIIDLSAERSKVINLVIKACEEYGFFEVINHGVSHDIITRMEEQGLGFFAKPLGDKQKAGPATPFGYGCKNIGFNGDVGAVEYLMLGTNSLSIVERSYAISNDPKMFSSAVNGYVEAVTGLACEILELMAEGLRVQDSSVFSKMIKDVDNDSIFRLNHYPPILCNDNNNNKDPSFLANKVGFGEHTDPQILTILKSNDVGGLQVKSQVDDGDHGVWVPVPPHPTAFCVNVGDILQMLSSA >KJB38660 pep chromosome:Graimondii2_0_v6:6:50478845:50483529:-1 gene:B456_006G266000 transcript:KJB38660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASPSPTHPEKVQFIELPIIDLSAERSKVINLVIKACEEYGFFEVINHGVSHDIITRMEEQGLGFFAKPLGDKQKAGPATPFGYGCKNIGFNGDVGAVEYLMLGTNSLSIVERSYAISNDPKMFSSAVNGYVEAVTGLACEILELMAEGLRVQDSSVFSKMIKDVDNDSIFRLNHYPPILCNDNNNNKDPSFLANKVGFGEHTDPQILTILKSNDVGGLQVKSQVDDGDHGVWVPVPPHPTAFCVNVGDILQAMTNGRFMSVRHRAMVTNTSKSRMSMAYFGAPPLDTCLTPPIELVTPRKPLLYRPFTWDEYKKASHSLRLGDSRLHLFKAT >KJB36795 pep chromosome:Graimondii2_0_v6:6:43457612:43460184:1 gene:B456_006G176600 transcript:KJB36795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANVDLSMTFDSYNTTAMHTAASQGHAEVVNFLLEKGSNVAVIAKSNGKTALHSAARNGHVVIVKALLSKEPGITTRTDKKGQTALHMAVKGHNIEVVDELIKSDPCLINMVDNKGNTALHIGTRKGRIQIVEKLLNQNGVHKLVINKSGETAIDIAEKHKLSDIAGILKEHGVQCAKFIKTQPTNSAKELKQTVSDIKNGVHHQFEHTRQTRKRMQGIAQRINKIHVDSLNNAINSTTVVAVLIATIAFAAIFSVPGQYADSPKDISPGVSPGQARIASKLPFLIFIVFDAIALFISLAVVVVQTSIVVIHRKAKKQMMAVINKLMWLACVLISVAFLALSYIVVGDEWWLANLVTGIGTVIMVSTLGTLCYWVIVNRIEASKLHSIWRSSMNSGSRSFSMSYVSDTEILNNEHKKLYAV >KJB36791 pep chromosome:Graimondii2_0_v6:6:43457612:43460184:1 gene:B456_006G176600 transcript:KJB36791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQIFQKKMAKQLTGKRDDTPLHSAVRAGDLHLVLEIIRGCEAGDLFEQNQSGETALYVAAECGRADLVKELIKYCDIVLAGIKARNGYDAFLIAAKQGNLEVLKILMEANVDLSMTFDSYNTTAMHTAASQGHAEVVNFLLEKGSNVAVIAKSNGKTALHSAARNGHVVIVKALLSKEPGITTRTDKKGQTALHMAVKGHNIEVVDELIKSDPCLINMVDNKGNTALHIGTRKGRIQIVEKLLNQNGVHKLVINKSGETAIDIAEKHKLSDIAGILKEHGVQCAKFIKTQPTNSAKELKQTVSDIKNGVHHQFEHTRQTRKRMQGIAQRINKIHVDSLNNAINSTTVVAVLIATIAFAAIFSVPGQYADSPKDISPGVSPGQARIASKLPFLIFIVFDAIALFISLAVVVVQTSIVVIHRKAKKQMMAVINKLMWLACVLISVAFLALSYIVVGDEWWLANLVTGIGTVIMVSTLGTLCYWVIVNRIEASKLHSIWRSSMNSGSRSFSMSYVSDTEILNNEHKKLYAV >KJB36793 pep chromosome:Graimondii2_0_v6:6:43457131:43460184:1 gene:B456_006G176600 transcript:KJB36793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQIFQKKMAKQLTGKRDDTPLHSAVRAGDLHLVLEIIRGCEAGDLFEQNQSGETALYVAAECGRADLVKELIKYCDIVLAGIKARNGYDAFLIAAKQGNLEVLKILMEANVDLSMTFDSYNTTAMHTAASQGHAEVVNFLLEKGSNVAVIAKSNGKTALHSAARNGHVVIVKALLSKEPGITTRTDKKGQTALHMAVKGHNIEVVDELIKSDPCLINMVDNKGNTALHIGTRKGRIQIVEKLLNQNGVHKLVINKSGETAIDIAEKHKLSDIAGILKEHGVQCAKFIKTQPTNSAKELKQTVSDIKNGVHHQFEHTRQTRKRMQGIAQRINKIHVDSLNNAINSTTVVAVLIATIAFAAIFSVPGQYADSPKDISPGVSPGQARIASKLPFLIFIVFDAIALFISLAVVVVQTSIVVIHRKAKKQMMAVINKLMWLACVLISVAFLALSYIVVGDEWWLANLVTGIGTVIMVSTLGTLCYWVIVNRIEASKLHSIWRSSMNSGSRSFSMSYVSDTEILNNEHKKLYAV >KJB36794 pep chromosome:Graimondii2_0_v6:6:43457612:43460184:1 gene:B456_006G176600 transcript:KJB36794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDELIKSDPCLINMVDNKGNTALHIGTRKGRIQIVEKLLNQNGVHKLVINKSGETAIDIAEKHKLSDIAGILKEHGVQCAKFIKTQPTNSAKELKQTVSDIKNGVHHQFEHTRQTRKRMQGIAQRINKIHVDSLNNAINSTTVVAVLIATIAFAAIFSVPGQYADSPKDISPGVSPGQARIASKLPFLIFIVFDAIALFISLAVVVVQTSIVVIHRKAKKQMMAVINKLMWLACVLISVAFLALSYIVVGDEWWLANLVTGIGTVIMVSTLGTLCYWVIVNRIEASKLHSIWRSSMNSGSRSFSMSYVSDTEILNNEHKKLYAV >KJB36792 pep chromosome:Graimondii2_0_v6:6:43456985:43460184:1 gene:B456_006G176600 transcript:KJB36792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQIFQKKMAKQLTGKRDDTPLHSAVRAGDLHLVLEIIRGCEAGDLFEQNQSGETALYVAAECGRADLVKELIKYCDIVLAGIKARNGYDAFLIAAKQGNLEVLKILMEANVDLSMTFDSYNTTAMHTAASQGHAEVVNFLLEKGSNVAVIAKSNGKTALHSAARNGHVVIVKALLSKEPGITTRTDKKGQTALHMAVKGHNIEVVDELIKSDPCLINMVDNKGNTALHIGTRKGRIQVIVEKLLNQNGVHKLVINKSGETAIDIAEKHKLSDIAGILKEHGVQCAKFIKTQPTNSAKELKQTVSDIKNGVHHQFEHTRQTRKRMQGIAQRINKIHVDSLNNAINSTTVVAVLIATIAFAAIFSVPGQYADSPKDISPGVSPGQARIASKLPFLIFIVFDAIALFISLAVVVVQTSIVVIHRKAKKQMMAVINKLMWLACVLISVAFLALSYIVVGDEWWLANLVTGIGTVIMVSTLGTLCYWVIVNRIEASKLHSIWRSSMNSGSRSFSMSYVSDTEILNNEHKKLYAV >KJB36796 pep chromosome:Graimondii2_0_v6:6:43456933:43460184:1 gene:B456_006G176600 transcript:KJB36796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQIFQKKMAKQLTGKRDDTPLHSAVRAGDLHLVLEIIRGCEAGDLFEQNQSGETALYVAAECGRADLVKELIKYCDIVLAGIKARNGYDAFLIAAKQGNLEVLKILMEANVDLSMTFDSYNTTAMHTAASQGHAEVVNFLLEKGSNVAVIAKSNGKTALHSAARNGHVVIVKALLSKEPGITTRTDKKGQTALHMAVKGHNIEVVDELIKSDPCLINMVDNKGNTALHIGTRKGRIQIVEKLLNQNGVHKLVINKSGETAIDIAEKHKLSDIAGILKEHGVQCAKFIKTQPTNSAKELKQTVSDIKNGVHHQFEHTRQTRKRMQGIAQRINKIHVDSLNNAINSTTVVAVLIATIAFAAIFSVPGQYADSPKDISPGVSPGQARIASKLPFLIFIVFDAIALFISLAVVVVQTSIVVIHRKAKKQMMAVINKLMWLACVLISVAFLALSYIVVGDEWWLANLVTGIGTVIMVSTLGTLCYWVIVNRIEASKLHSIWRSSMNSGSRSFSMSYVSDTEILNNEHKKLYAV >KJB35182 pep chromosome:Graimondii2_0_v6:6:34390988:34396856:1 gene:B456_006G103100 transcript:KJB35182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLIDVYEESHLGRRCPAYDGRKSLYTAGPLPFESKELVIKLTEEDWRERQFKVAIKLASKPDLHYLREFLSGRHFECPQEAIQVLDVVLRAKPSQIYTEVGRSFFHTSLGPRGELGNGIEYWCGYYQCLRPTQMGLSLIIDASARSFYEPILVSEFVVKHFRLSNLSKPLSDQDRIKVKKALMGVRVRLICMNYAKTCKIIGVSRDPISQLTFTLDDKRTNVSVVKYFREEYNVVLKYPSLPALQRGSEARPVYLPMELCSIVEGQRYTKKLNEQQVRSLLRATCQRPNIREGNITKMLPVNKFGMDVCKELAVIEARVLNPPAYHDSGRDKIVNPSCGQWNMINKKMVNGGKVDFWTCVNFSSGYWNMSKGFCAELVKMCNSKGMVCCVVFCQTPSIAMPSARADRIDQTLMDVYKESAGLNKPLQLLIIILPDQTGSYGKIKRICETELGIVSQCCKPVQAAKFSNQYFENVALKINAKVGGRIPLVTDVPTIIFGACVSHPPPGEDSSSSIAAVVASMDWPELTKYRGVVSAQTDRVEIIQDLYKQTKDPQKGLVEGGMVREMLLAFYRSTKQKPSRIIFYRVVLVKDNSAKFCFMKWMRFGRLVPRYRKDICLGLHLLWFKRGIILAYSLLIATKLIGVATYYQGTSKPTHYHVLLDENGFTADILQVLTNSLCYTYARCTKSVSIVPPAYYAHLAAFRARYYIEDEMWDSGSTGMEVRPLPSIKDNVKEVMFYV >KJB35183 pep chromosome:Graimondii2_0_v6:6:34391071:34396592:1 gene:B456_006G103100 transcript:KJB35183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGGGGRGSGRGGGRRGRGQDHGRGQPSQSSSRGGGGRARGRGSSGSTATYNPPPPQMAPAQPPPQMAVAPPVPPSGAAFSHPSSSSGVGSLSREVSEKLTMEPVAVTTAPPLPPSLSKATRPPPRPGFGTVGTKCLVRANHFLIDVANADLYHYDVTIKPEVTSKKLNRAIMKQLIDVYEESHLGRRCPAYDGRKSLYTAGPLPFESKELVIKLTEEDWRERQFKVAIKLASKPDLHYLREFLSGRHFECPQEAIQVLDVVLRAKPSQIYTEVGRSFFHTSLGPRGELGNGIEYWCGYYQCLRPTQMGLSLIIDASARSFYEPILVSEFVVKHFRLSNLSKPLSDQDRIKVKKALMGVRVRLICMNYAKTCKIIGVSRDPISQLTFTLDDKRTNVSVVKYFREEYNVVLKYPSLPALQRGSEARPVYLPMELCSIVEGQRYTKKLNEQQVRSLLRATCQRPNIREGNITKMLPVNKFGMDVCKELAVIEARVLNPPAYHDSGRDKIVNPSCGQWNMINKKMVNGGKVDFWTCVNFSSGYWNMSKGFCAELVKMCNSKGMVCCVVFCQTPSIAMPSARADRIDQTLMDVYKESAGLNKPLQLLIIILPDQTGSYGKIKRICETELGIVSQCCKPVQAAKFSNQYFENVALKINAKVGGRIPLVTDVPTIIFGACVSHPPPGEDSSSSIAAVVASMDWPELTKYRGVVSAQTDRVEIIQDLYKQTKDPQKGLVEGGMVREMLLAFYRSTKQKPSRIIFYSGVSEGQFSQVLLYEMDAIRKACSSLQEGYMPRVTFVVVQKRHHTRLFPTDRNKTDRSGNILPGTVVDTSICHPTEFDFYLNRHAGIQGTSKPTHYHVLLDENGFTADILQVLTNSLCYTYARCTKSVSIVPPAYYAHLAAFRARYYIEDEMWDSGSTGMEVRPLPSIKDNVKEVMFYV >KJB38633 pep chromosome:Graimondii2_0_v6:6:50418693:50420443:1 gene:B456_006G265200 transcript:KJB38633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSDENSTAAAESGGGDGGGDKWDSLGFMELLGIHQDFFESFIQQPALILSPPLLQLDTEQKPQAGLQLQPYSTVSEFSESLNNPPPTPNSSSISSLSNEAANINEQSTNAGDDEEQDKTKKQLKHKKKNQKKQKEPRFAFITKTEIDHLDDGYRWRKYGQKAVKNSPYPRSYYRCTSNGCGVKKRVERSFDDTTIIITTYEGKHTHPCPVQPRGSGFGNIGLTPSFMVHHQYQDQQQEEVEQPYMYASLSPLNPCFSPNGSSSASLIRDHGLLQDIVLPIKTRNEANEQV >KJB38811 pep chromosome:Graimondii2_0_v6:6:50930895:50935238:-1 gene:B456_006G273200 transcript:KJB38811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAYYNDIAMQAKQNDKRDQEQLSGFIFMCNARTKTQCYMYRVFGLPAGKLDVVEKIKPGMKLFLFDFEMKLLYGTYEATSVGTLHLEQSAFNGRFPAQVRFKIYSACLPLHESSFRRAIENNYQKGFKFKQELNKQQVGSLLSMFRPLTTPVPGSMQPMLLQPVENQLRLTFPKDSFPQILESQKFQQTRLQQGICHPMDPNVTGLQLSYVQPVSEPSNIQHHVPFPEQHYFGSTENMGHSHPTMVKQVYLTQNHQYYMADVKQPYAVGNPTQTMPDQYNSYGTMGINQQLSMGNIYQYALKREGETVQQQDSAIQYYNPNTSHVVTHATPSVMSYMPTMGVPEVANQTLPLGFLHPFPTRH >KJB38808 pep chromosome:Graimondii2_0_v6:6:50929905:50935346:-1 gene:B456_006G273200 transcript:KJB38808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAYYNDIAMQAKQNDKRDQEQLSGFIFMCNARTKTQCYMYRVFGLPAGKLDVVEKIKPGMKLFLFDFEMKLLYGTYEATSVGTLHLEQSAFNGRFPAQVRFKIYSACLPLHESSFRRAIENNYQKGFKFKQELNKQQVGSLLSMFRPLTTPVPGSMQPMLLQPVENQLRLTFPKDSFPQILESQKFQQTRLQQGICHPMDPNVTGLQLSYVQPVSEPSNIQHHVPFPEQHYFGSTENMGHSHPTMVKQVYLTQNHQYYMADVKQPYAVGNPTQTMPDQYNSYGTMGINQQLSMGNIYQYALKREGETVQQQDSAIQYYNPNTSHVVTHATPSVMSYMPTMGVPEVANQTLPLGFLHPFPTRH >KJB38809 pep chromosome:Graimondii2_0_v6:6:50931024:50934996:-1 gene:B456_006G273200 transcript:KJB38809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAYYNDIAMQAKQNDKRDQEQLSGFIFMCNARTKTQCYMYRVFGLPAGKLDVVEKIKPGMKLFLFDFEMKLLYGTYEATSVGTLHLEQSAFNGRFPAQVRFKIYSACLPLHESSFRRAIENNYQKGFKFKQELNKQQVGSLLSMFRPLTTPVPGSMQPMLLQPVENQLRLTFPKDSFPQILESQKFQQTRLQQGICHPMDPNVTGLQLSYVQPVSEPSNIQHHVPFPEQHYFGSTENMGHSHPTMVKQVYLTQNHQYYMADVKQPYAVGNPTQTMPDQYNSYGTMGINQQLSMGNIYQYALKREGETVQQQDSAIQYYNPNTSHVVTHATPSVMSYMPTMGVPEVANQTLPLGFLHPFPTRH >KJB38810 pep chromosome:Graimondii2_0_v6:6:50929978:50935238:-1 gene:B456_006G273200 transcript:KJB38810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAYYNDIAMQAKQNDKRDQEQLSGFIFMCNARTKTQCYMYRVFGLPAGKLDVVEKIKPGMKLFLFDFEMKLLYGTYEATSVGTLHLEQSAFNGRFPAQVRFKIYSACLPLHESSFRRAIENNYQKGFKFKQELNKQQVGSLLSMFRPLTTPVPGSMQPMLLQPVENQLRLTFPKDSFPQILESQKFQQTRLQQGICHPMDPNVTGLQLSYVQPVSEPSNIQHHVPFPEQHYFGSTENMGHSHPTMVKQVYLTQNHQYYMADVKQPYAVGNPTQTMPDQYNSYGTMGINQQLSMGNIYQYALKREGETVQQQDSAIQYYNPNTSHVVTHATPSVMSYMPTMGVPEVANQTLPLGFLHPFPTRH >KJB33634 pep chromosome:Graimondii2_0_v6:6:10304865:10306687:1 gene:B456_006G037300 transcript:KJB33634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTKLRLVFLFSFSTLISRLWANVAEFDDFWKQHEMEARKLVLKAYQPSPENITNQLNYNVNKTRRYLRGKHKKYSGPCLATNPIDRYNYDNDVINPKPGTLRHVVIKKKLLWIFFAHDMKIKLPQKLIVQSEKTIDGRRENVHIAYGCSITLQFVHNVIIHNIHVHHVVESLGGLIRDSEDHSSFRTVGDGDGISIFGSSNIWLDCISFTHPTISIKGNRFIAPNDPFAKDITHRIYVPESKWNNWVWRSEGDLFMNGAFFRTSGPSSSSQFTFNKKNMIEAKPGTFVGRLTHFAGALNWKKSMDC >KJB35646 pep chromosome:Graimondii2_0_v6:6:37448678:37450331:-1 gene:B456_006G123000 transcript:KJB35646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVEHRIVKVNGINMHVAVKGQGPVILFVHGFPELWYSWRHQISALSSKGYRAVAPDLRGYGGTDAPDSVACYTCFHIVGDLVELLNTIAPDQQVFVVGHDWGAIIAWYLCLFRPDKVKAVFTLSVPFIPRNPQMKPTDGWRAIYGNDYYICRFQEPGEIEAEFAEMGTETVMKALLTYRVPDPLMLPKGKPFGHSANTPITLPSWLSEEEVNYYVTKFNKSGFTGVINYYRNFDRNWELMAPWGGCEVKVAAKFVVGDVDLVYHMPGMKEYIHNGGFKKDVPMLEEVVVMEGVGHFIHMEKPEEINNLIYDFFHQFD >KJB33258 pep chromosome:Graimondii2_0_v6:6:893459:895884:-1 gene:B456_006G004400 transcript:KJB33258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKCIFSLVIIVSRISLSFTQNVNVVIQGSTSVAETDDNFDLKKKVLINAIKAFNSLRIKVGGSLQDQVVYGVGEVKNCPNFMKKEGSLFGFSQGCLPVERWDELNNFFNQTGWVTFGLNALLGRNESQSENGLWVGDWNSQNARDFMKYTISRGYKVDSYEFGNQLSGAGMGARVEAEQYGKDVIVLKNMVKELHPDPKTQPKVLGPSGFYDEKWFNSFLEVLGQEVVDGVTHHIYNLGPGDDLNLITKIQDPSCLNQVAQTYRGVFNIVNKFKPQSGAWVSESGGALQGGAKDVSPTFADGFWYFDQLGMASTYNHKVFCRQTLIGGNYALLDTTTFIPNPDYYGALLWHRLMGSIVLAVTQESNPNLRVYAHCAKKKPGISIIFINLSNDSTFDVTLSSYEHRRRNLRPTDAAKPNFEFTSHLNREEYHLTALGGNIQGQIVLLNDVPMVLTDTFDIPAMDPKLVNASTPISVAAHSIVYVTIRDFHAPVCV >KJB33326 pep chromosome:Graimondii2_0_v6:6:1329726:1333575:1 gene:B456_006G006700 transcript:KJB33326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNQQPTQFQEMDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLQYAGPASLVWGWVVVSFFTCFVGVAMAEICSSFPTTGSLYFWAAHLAGPKWGPFSSWCCAWLETIGLIAGIGTQAYAGSQTLQSIILLSTGTNKDGGYFAPKWLFLCMYVSLTLIWAVLNTFALEVIAFIDIISIWWQVIGGLVIVIMLPLVAMTRKSAAYVFTSFEMGVDSTGVSSKPYAVILSFLVSQYSLYGYDAAAHLTEETKGADKNGPISILSSIGIIAVFGWAYILALTFSIKDFSYLYDPSNETAGAFVPAQILYDAFHGRYHNSAGAIVLLFVIWGSFFFGGLSITTSAARVVYALSRDKGIPYSSIWRQVHPKRKVPSNAVWLCAAICIVMGLPILKVNVVFTAITSICTIGWVGGYAVPIFARMVMPEKNFKPGPFYLGRARRPVCLVAFVWICYTCSVFLLPTYYPITWDTFNYAPVALGVGLSLVMVWWWVDARKWFKGPVRNIEISGNGKV >KJB37454 pep chromosome:Graimondii2_0_v6:6:46133851:46135509:-1 gene:B456_006G205600 transcript:KJB37454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKILIFMLLVCCSSVFNVGAQSYQGKWKLLKRSIGVSAMHMALLPNEKIVTFDRSEFGPSNITLPQGKCIKEDSKSSDCYAHSVEFDPATRAVRPLTILSDTWCSSGAFSIDGTLVQSGGYRLGEKVVRYFKPCMDCDWEEDQNGLLSPRWYASNQVLPDGKIIVVGGRYQFTYEFIPKLSGSDRILYKLPFLKETRYSALVPNNLYPFLHLSTDGNLFVFANDRGILLDYINNKVIRSYPVMPGGISRNYPSTGSSVLLPLKLFSTTDSDNNTSTPDAEVLICGGTAPDSNEKANVGVFVPASKSCGRLIITAASPEWEMEEMPINRVMGDMIMLPTGDVLIINGAAKGAAGWGVARDPVLNPVLYRPDARNSDGSTRFEVLAPSLIPRLYHSTAHLLSDGRVLVGGSNPNINYNFSALYPTELSLEAFYPPYFTKKKPRPSIVAVNPGLKIGYKQKISLEFTLEGSVILSDLFVTMVAPSFTTHSIAMNQRLLVLAFNNEVKKTSSGNYLVEGYAPETAALAPPGYYQLFLVHEAIPSKGKWIQIKQF >KJB36293 pep chromosome:Graimondii2_0_v6:6:41023672:41025964:-1 gene:B456_006G151100 transcript:KJB36293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRNAPKLELKLNLSPPRARVNPGVESPSRSATISPTSPPSSCVSSEMNQDVDAANVRYSSSPEATSMVLVGCPRCLMYVMLSEDDPKCPKFCALFSSLTKCHLSADIGLFW >KJB36294 pep chromosome:Graimondii2_0_v6:6:41023969:41026517:-1 gene:B456_006G151100 transcript:KJB36294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRNAPKLELKLNLSPPRARVNPGVESPSRSATISPTSPPSSCVSSEMNQDVDAANVRYSSSPEATSMVLVGCPRCLMYVMLSEDDPKCPK >KJB36296 pep chromosome:Graimondii2_0_v6:6:41025384:41025734:-1 gene:B456_006G151100 transcript:KJB36296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRNAPKLELKLNLSPPRARVNPGVESPSRSATISPTSPPSSCVSSEMNQDVDAANVRYSSSPEATSMVLVGCPRCLMYVMLSEDDPKCPKCKSAVLLDFLHDTATTTTIKTRKG >KJB36292 pep chromosome:Graimondii2_0_v6:6:41024856:41025734:-1 gene:B456_006G151100 transcript:KJB36292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRNAPKLELKLNLSPPRARVNPGVESPSRSATISPTSPPSSCVSSEMNQDVDAANVRYSSSPEATSMVLVGCPRCLMYVMLSEDDPKCPK >KJB36295 pep chromosome:Graimondii2_0_v6:6:41024992:41025964:-1 gene:B456_006G151100 transcript:KJB36295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRNAPKLELKLNLSPPRARVNPGVESPSRSATISPTSPPSSCVSSEMNQDVDAANVRYSSSPEATSMVLVGCPRCLMYVMLSEDDPKCPKCKSAVLLDFLHDTATTTTIKTRKG >KJB38566 pep chromosome:Graimondii2_0_v6:6:50197301:50198263:1 gene:B456_006G261400 transcript:KJB38566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSVVLENQRINDNDMIINEKSPQVINKATMFCSSSSSPLPAFLEQCFLCKRRLLPGKDIYMYKGDKGFCSVECRCKQIFMDEEESLKKDNCSLDAIVKPSSTSSSSSAARHHRKPERNRAGGFAY >KJB33202 pep chromosome:Graimondii2_0_v6:6:173776:176575:-1 gene:B456_006G000400 transcript:KJB33202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLQISGVKTAPSHSFPKQTSFHCRSAFLSQLNFGSFRSTDSYRRLRHCCKSRVFKVSCEGGNVDVIERNEVEKSTLGEAKNELTCVMKFGGSSVASAERIREVADLILSFPNERPVVVLSAMGKTTNKLLLAGEKAVSCGVTKVDTIEELGFIKELHHRTVDELGVERWIVDGHLEELEQLLKGIAMMKELTLRTKDYIVSFGECMSTRIFAAYLDKIGVKARQYDAFEIGFITTDDFTNADILEATYTAVAKRLNDDWNSNPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYSGAQPVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITGNRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKVLHHLVSYHSYTRS >KJB33204 pep chromosome:Graimondii2_0_v6:6:173023:176921:-1 gene:B456_006G000400 transcript:KJB33204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKELTLRTKDYIVSFGECMSTRIFAAYLDKIGVKARQYDAFEIGFITTDDFTNADILEATYTAVAKRLNDDWNSNPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYSGAQPVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITGNRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFNVLRTNGVNVQMISQGASKVNISLVVNDNEAEECVRALHSSFFESELPGLDKCGSAN >KJB33203 pep chromosome:Graimondii2_0_v6:6:173555:176575:-1 gene:B456_006G000400 transcript:KJB33203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLQISGVKTAPSHSFPKQTSFHCRSAFLSQLNFGSFRSTDSYRRLRHCCKSRVFKVSCEGGNVDVIERNEVEKSTLGEAKNELTCVMKFGGSSVASAERIREVADLILSFPNERPVVVLSAMGKTTNKLLLAGEKAVSCGVTKVDTIEELGFIKELHHRTVDELGVERWIVDGHLEELEQLLKGIAMMKELTLRTKDYIVSFGECMSTRIFAAYLDKIGVKARQYDAFEIGFITTDDFTNADILEATYTAVAKRLNDDWNSNPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYSGAQPVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITGNRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFNVLRTNGVNVQMISQGASKVFSAQPNFSYSFSLPSKLPPFGVDT >KJB33201 pep chromosome:Graimondii2_0_v6:6:172924:177009:-1 gene:B456_006G000400 transcript:KJB33201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLQISGVKTAPSHSFPKQTSFHCRSAFLSQLNFGSFRSTDSYRRLRHCCKSRVFKVSCEGGNVDVIERNEVEKSTLGEAKNELTCVMKFGGSSVASAERIREVADLILSFPNERPVVVLSAMGKTTNKLLLAGEKAVSCGVTKVDTIEELGFIKELHHRTVDELGVERWIVDGHLEELEQLLKGIAMMKELTLRTKDYIVSFGECMSTRIFAAYLDKIGVKARQYDAFEIGFITTDDFTNADILEATYTAVAKRLNDDWNSNPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYSGAQPVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITGNRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFNVLRTNGVNVQMISQGASKVNISLVVNDNEAEECVRALHSSFFESELPGLDKCGSAN >KJB34913 pep chromosome:Graimondii2_0_v6:6:32648098:32650133:-1 gene:B456_006G090200 transcript:KJB34913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLQKLWDETLAGPMPESGLGKLRKYDSFSVKRSSPSPDHYHDDYDYENNNNNNGKMMITRSITILRSNSGFRTMESGSAPGSPLGSSTPATPLSPGTPGGDLKRFMRRKSSAEG >KJB33545 pep chromosome:Graimondii2_0_v6:6:3733421:3737250:1 gene:B456_006G016600 transcript:KJB33545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWLACVLMGSFIVILILRYNVMFKNPFSNNSLQQRIFVNTTDPRLKWVQQPTVSPVIQSPEAVNQVVLADELVSGLFLDRNFSSEVQSSLFTWNEMKHIVNYSKGLPNALEAVREARIAWDNLMDIVAKKQQIEVNESSVDHKGKKCPYFLSKMDTKDFGDKGYKLRIPCGLIQGSAITIIGIPNGPSGSFRIDLTGESVPEEPNPPIVLHYNVRLQGDKVTEDPVIVQNTWTTAQDWGEEERCPSRNNKKVDDLKQCSEKVGKRDNRTRSKPYFPFKLGYLSVMTLRVGEEGFHMTVDGKQITSFACREGLEPWLVNEVRIFGDINLTSVLASGLPTSADLEHIDDLDALRTVPLPLHRPLDLFIGVFSTANNFKRRMAVRRTWMQYPAVKSGTVAVRFFVGLHKNQIVNEELWSEIKTYGDIQLMPFVDYYGLITWKTIAICIFGTEAVSAKYVMKTDDDAFVRVDEVLGTISKENVKHGLLYGLINYDAQPHRNADSKWYISPEEYPEKTYPPWAHGPGYVVSNDIAKAVYTKHKEGSLRMFKLEDVAMGIWIAEMKKEGFKVYYKDEKRVYNEGCNDGFVVAHYQSPREMLCLWQKLQAEGVVKCCN >KJB33544 pep chromosome:Graimondii2_0_v6:6:3733421:3737250:1 gene:B456_006G016600 transcript:KJB33544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWLACVLMGSFIVILILRYNVMFKNPFSNNSLQQRIFVNTTDPRLKWVQQPTVSPVIQSPEAVNQVVLADELVSGLFLDRNFSSEVQSSLFTWNEMKHIVNYSKGLPNALEAVREARIAWDNLMDIVAKKQQIEVNESSVDHKGKKCPYFLSKMDTKDFGDKGYKLRIPCGLIQGSAITIIGIPNGPSGSFRIDLTGESVPEEPNPPIVLHYNVRLQGDKVTEDPVIVQNTWTTAQDWGEEERCPSRNNKKVDDLKQCSEKVGKRDNRTRSKPYFPFKLGYLSVMTLRVGEEGFHMTVDGKQITSFACREGLEPWLVNEVRIFGDINLTSVLASGLPTSADLEHIDDLDALRTVPLPLHRPLDLFIGVFSTANNFKRRMAVRRTWMQYPAVKSGTVAVRFFVGLHKNQIVNEELWSEIKTYGDIQLMPFVDYYGLITWKTIAICIFGAVSAKYVMKTDDDAFVRVDEVLGTISKENVKHGLLYGLINYDAQPHRNADSKWYISPEEYPEKTYPPWAHGPGYVVSNDIAKAVYTKHKEGSLRMFKLEDVAMGIWIAEMKKEGFKVYYKDEKRVYNEGCNDGFVVAHYQSPREMLCLWQKLQAEGVVKCCN >KJB33370 pep chromosome:Graimondii2_0_v6:6:1733688:1735457:-1 gene:B456_006G008400 transcript:KJB33370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFNSSLFLRSFVTDGRNLSSLHSSFSCSFNTIGTHIEALSKNSMSVRGNPKKYDRFNNVDDAWTLFNKMIEKYPKPSILEFTKLLAAIVRMKHYAIVVSMFSRMELLGVSHNVYSLNILINTFCQLNQIDLGFSVLGKMLKLGIEPDVVTLSTLINGFCKQSKISQAVCLFDEMVEKGYQPNLIVYNTILNGLCKTGNTYRAITFLRMMEERGFGPNIVAYSSVVDCLCKNGLLNEALELFSKVKAKGIRPDIVIYNCLIHGMCNSGQHKEATRLLNEMVDNNISLSIFTYTILVDAYCKEGTISEAEAIVDTMRKQGIEPKVVTYNALINGYCLQNKMDKTRRVFQLMIKKGCAPNILSYNIMINGHCKAKRLDKAMELFHEISQTGLIPDTVTYSTLMQGMCQLGRVSTACELLKKMVASGQVPDLVTCSILLDGFCKSGKLEEALKFFQAMRNSGVELNIVSYSILIDGLCKTGHIKVAKELFCELSINGLKPNVYAYAIMINGFCKEGLPDEAYQLFRSMEDNDCLPNSCCYNVMIQGFFRNGYTSEATQLLTEMVSKGFSANLWTATLFVDLIIRSNKSILI >KJB33373 pep chromosome:Graimondii2_0_v6:6:1733561:1735457:-1 gene:B456_006G008400 transcript:KJB33373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFNSSLFLRSFVTDGRNLSSLHSSFSCSFNTIGTHIEALSKNSMSVRGNPKKYDRFNNVDDAWTLFNKMIEKYPKPSILEFTKLLAAIVRMKHYAIVVSMFSRMELLGVSHNVYSLNILINTFCQLNQIDLGFSVLGKMLKLGIEPDVVTLSTLINGFCKQSKISQAVCLFDEMVEKGYQPNLIVYNTILNGLCKTGNTYRAITFLRMMEERGFGPNIVAYSSVVDCLCKNGLLNEALELFSKVKAKGIRPDIVIYNCLIHGMCNSGQHKEATRLLNEMVDNNISLSIFTYTILVDAYCKEGTISEAEAIVDTMRKQGIEPKVVTYNALINGYCLQNKMDKTRRVFQLMIKKGCAPNILSYNIMINGHCKAKRLDKAMELFHEISQTGLIPDTVTYSTLMQGMCQLGRVSTACELLKKMVASGQVPDLVTCSILLDGFCKSGKLEEALKFFQAMRNSGVELNIVSYSILIDGLCKTGHIKVAKELFCELSINGLKPNVYAYAIMINGFCKEGLPDEAYQLFRSMEDNDCLPNSCCYNVMIQGFFRNGYTSEATQLLTEMIFFSDI >KJB33372 pep chromosome:Graimondii2_0_v6:6:1732204:1735610:-1 gene:B456_006G008400 transcript:KJB33372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFNSSLFLRSFVTDGRNLSSLHSSFSCSFNTIGTHIEALSKNSMSVRGNPKKYDRFNNVDDAWTLFNKMIEKYPKPSILEFTKLLAAIVRMKHYAIVVSMFSRMELLGVSHNVYSLNILINTFCQLNQIDLGFSVLGKMLKLGIEPDVVTLSTLINGFCKQSKISQAVCLFDEMVEKGYQPNLIVYNTILNGLCKTGNTYRAITFLRMMEERGFGPNIVAYSSVVDCLCKNGLLNEALELFSKVKAKGIRPDIVIYNCLIHGMCNSGQHKEATRLLNEMVDNNISLSIFTYTILVDAYCKEGTISEAEAIVDTMRKQGIEPKVVTYNALINGYCLQNKMDKTRRVFQLMIKKGCAPNILSYNIMINGHCKAKRLDKAMELFHEISQTGLIPDTVTYSTLMQGMCQLGRVSTACELLKKMVASGQVPDLVTCSILLDGFCKSGKLEEALKFFQAMRNSGVELNIVSYSILIDGLCKTGHIKVAKELFCELSINGLKPNVYAYAIMINGFCKEGLPDEAYQLFRSMEDNDCLPNSCCYNVMIQGFFRNGYTSEATQLLTEMVILLSGLSSFGCQCCALLPSLCLLLTA >KJB33369 pep chromosome:Graimondii2_0_v6:6:1733688:1735457:-1 gene:B456_006G008400 transcript:KJB33369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFNSSLFLRSFVTDGRNLSSLHSSFSCSFNTIGTHIEALSKNSMSVRGNPKKYDRFNNVDDAWTLFNKMIEKYPKPSILEFTKLLAAIVRMKHYAIVVSMFSRMELLGVSHNVYSLNILINTFCQLNQIDLGFSVLGKMLKLGIEPDVVTLSTLINGFCKQSKISQAVCLFDEMVEKGYQPNLIVYNTILNGLCKTGNTYRAITFLRMMEERGFGPNIVAYSSVVDCLCKNGLLNEALELFSKVKAKGIRPDIVIYNCLIHGMCNSGQHKEATRLLNEMVDNNISLSIFTYTILVDAYCKEGTISEAEAIVDTMRKQGIEPKVVTYNALINGYCLQNKMDKTRRVFQLMIKKGCAPNILSYNIMINGHCKAKRLDKAMELFHEISQTGLIPDTVTYSTLMQGMCQLGRVSTACELLKKMVASGQVPDLVTCSILLDGFCKSGKLEEALKFFQAMRNSGVELNIVSYSILIDGLCKTGHIKVAKELFCELSINGLKPNVYAYAIMINGFCKEGLPDEAYQLFRSMEDNDCLPNSCCYNVMIQGFFRNGYTSEATQLLTEMVSKGFSANLWTATLFVDLIIRSNKSILI >KJB33371 pep chromosome:Graimondii2_0_v6:6:1733688:1735457:-1 gene:B456_006G008400 transcript:KJB33371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFNSSLFLRSFVTDGRNLSSLHSSFSCSFNTIGTHIEALSKNSMSVRGNPKKYDRFNNVDDAWTLFNKMIEKYPKPSILEFTKLLAAIVRMKHYAIVVSMFSRMELLGVSHNVYSLNILINTFCQLNQIDLGFSVLGKMLKLGIEPDVVTLSTLINGFCKQSKISQAVCLFDEMVEKGYQPNLIVYNTILNGLCKTGNTYRAITFLRMMEERGFGPNIVAYSSVVDCLCKNGLLNEALELFSKVKAKGIRPDIVIYNCLIHGMCNSGQHKEATRLLNEMVDNNISLSIFTYTILVDAYCKEGTISEAEAIVDTMRKQGIEPKVVTYNALINGYCLQNKMDKTRRVFQLMIKKGCAPNILSYNIMINGHCKAKRLDKAMELFHEISQTGLIPDTVTYSTLMQGMCQLGRVSTACELLKKMVASGQVPDLVTCSILLDGFCKSGKLEEALKFFQAMRNSGVELNIVSYSILIDGLCKTGHIKVAKELFCELSINGLKPNVYAYAIMINGFCKEGLPDEAYQLFRSMEDNDCLPNSCCYNVMIQGFFRNGYTSEATQLLTEMVSKGFSANLWTATLFVDLIIRSNKSILI >KJB34292 pep chromosome:Graimondii2_0_v6:6:21175976:21177681:1 gene:B456_006G057700 transcript:KJB34292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKITYETIFARSFSRYDQKKLGYGAFLGCLLIALSFCIVFKPYLGPLPVLNMRMSMDVGLNMLRIMDSFSSEKLRGGDISSSMTTIVTETSSSEIEMVEESDTTGTNETKSNGTTVINNKNSSQQKEMKTVCHVEESTQFCEMNGDIRVDGKSSTVFMAVDTMVANSSWVIGPYARRGDEEAFKRITKWSIKSGVDAYEEAPQCDQRHNVPAIIFSTGGYAGNNFHDYTDIVLPLYLTSRQFDGEVKFLITDKNPWWIEKFRNILQKLSRYELFDIDKEVNVHCFTSVIVGLKRYPKELKIDPSKSPYSMKEFRQFLRSAYSLKKENAINMKDNGGKKRPRLLIVSRKSTRAFTNTNAISGMATRLGYEVVVTEVDSHVARVAEMVNSCDVMMGVHGAGLTNMVFLPENAILIQVIPIGGFEWLAKTDFGEPSKDMNLRYIGYKIKTEESSLIQQYPPDHEVLNDPYAVQKRGWYEFKSIYLQKQNVNLDVDRFRATLLRALELLHQ >KJB38703 pep chromosome:Graimondii2_0_v6:6:50586642:50592298:-1 gene:B456_006G267600 transcript:KJB38703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLILATNLIERLPINIGKLQSLKVMILDGNQITSLPDELGQLVRLEKLSISGNMLMSLPETIGSLRNLSLLNVSNNKLKYLPESVGSCFSLEELQANDNLIEELPASVCNLVHLKSLCLNNNKVSQIPPNLLKDCKALQNISLHGNPISMDQFQQMEGFQEFEARRKKKFDKQIDSNVMIGSNGLDEGVDL >KJB38702 pep chromosome:Graimondii2_0_v6:6:50586642:50592298:-1 gene:B456_006G267600 transcript:KJB38702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCQSKGADSKANRIARWRSTGIVALRDAKLKTFPDEVLELDRSVRTLDLTHNKLVEIPVDISKLVNMQRLILATNLIERLPINIGKLQSLKVMILDGNQITSLPDELGQLVRLEKLSISGNMLMSLPETIGSLRNLSLLNVSNNKLKYLPESVGSCFSLEELQANDNLIEELPASVCNLVHLKSLCLNNNKVSQIPPNLLKDCKALQNISLHGNPISMDQFQQMEGFQEFEARRKKKFDKQIDSNVMIGSNGLDEGVDL >KJB38704 pep chromosome:Graimondii2_0_v6:6:50586642:50592380:-1 gene:B456_006G267600 transcript:KJB38704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCQSKGADSKANRIARWRSTGIVALRDAKLKTFPDEVLELDRSVRTLDLTHNKLVEIPVDISKLVNMQRLILATNLIERLPINIGKLQSLKVMILDGNQITSLPDELGQLVRLEKLSISGNMLMSLPETIGSLRNLSLLNVSNNKLKYLPESVGSCFSLEELQANDNLIEELPASVCNLVHLKSLCLNNNKVSQIPPNLLKDCKALQNISLHGNPISMDQFQQMEGFQEFEARRKKKFDKQIDSNVMIGSNGLDEGVDL >KJB34297 pep chromosome:Graimondii2_0_v6:6:21344206:21346957:1 gene:B456_006G058000 transcript:KJB34297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFLSCETEKSLLLSLPPSSIFSSQANKHSSISFLILSLGYQVEVRLREGRAVNIHMFCARRPGLLLSTMRALDNLGLDIQQAVINYFNGFALDVFRAEVPFFFLFIYFVDFHLKSILICTSIQQISTKICLTIFDECDFFQSLANSSAKNKAI >KJB34298 pep chromosome:Graimondii2_0_v6:6:21344206:21347125:1 gene:B456_006G058000 transcript:KJB34298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFLSCETEKSLLLSLPPSSIFSSQANKHSSISFLILSLGYQVEVRLREGRAVNIHMFCARRPGLLLSTMRALDNLGLDIQQAVINYFNGFALDVFRAEVPFFFLFIYFVDFHLKSILICTSIQQISTKICLTIFDECDFFQSLANSSAKNKAI >KJB38208 pep chromosome:Graimondii2_0_v6:6:48827764:48828706:-1 gene:B456_006G242100 transcript:KJB38208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSEFFFFCVFSVFDLGHTLSRKTRKRTFNNSTLGGDLDNLFSLRQLLLGMGVSRLRLRHHILVAFTFLLFASTLSQLESEFQVREGSKKRTGSVVLSRFLSQKQLSGPGSSPPSCRSNCGSCSPCQPVHVPIQPGLVMKPLEYYPEAWRCKCGNKIFMP >KJB33015 pep chromosome:Graimondii2_0_v6:6:44655384:44657051:1 gene:B456_006G1895001 transcript:KJB33015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDPTMYESYWQKKGDETTVVIPGWQFMSYFSDATRLCWFLEPEFAKQIVRLHNVVGNAVTENRHIVVGTGSTQLFQAALYALSPCAEAEPISVVSAAPYYSSYPLITDCLKSRLYKWAGDARSFSKNGPYIELVTSPNNPDGFARRSVVNGSEGILIHDLAYYWPQYTPISSPANYDLMLFTVSKSTGHAGMRIGWALVKDEDVARKMTKYIEINTIGVSKDSQVRAAKVLKVISDNSEGPNEGDSFFEFSYRVMAKRWKQLREAVQQSGLFSVSDFPPQLCMFLNRVFEPQPAFAWIKCEGDIEDCESFLRGKKILTRGGKHFGVSPKYVRISMLDRDKNYETFVRRLSTIRS >KJB33014 pep chromosome:Graimondii2_0_v6:6:44655471:44657051:1 gene:B456_006G1895001 transcript:KJB33014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYESYWQKKGDETTVVIPGWQFMSYFSDATRLCWFLEPEFAKQIVRLHNVVGNAVTENRHIVVGTGSTQLFQAALYALSPCAEAEPISVVSAAPYYSSYPLITDCLKSRLYKWAGDARSFSKNGPYIELVTSPNNPDGFARRSVVNGSEGILIHDLAYYWPQYTPISSPANYDLMLFTVSKSTGHAGMRIGWALVKDEDVARKMTKYIEINTIGVSKDSQVRAAKVLKVISDNSEGPNEGDSFFEFSYRVMAKRWKQLREAVQQSGLFSVSDFPPQLCMFLNRVFEPQPAFAWIKCEGDIEDCESFLRGKKILTRGGKHFGVSPKYVRISMLDRDKNYETFVRRLSTIRS >KJB35048 pep chromosome:Graimondii2_0_v6:6:33799639:33805309:-1 gene:B456_006G097400 transcript:KJB35048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDSLQTSLAWLRDILQGKIGHGLDTRVLQGLRVIHAEKGFMRFDFVVPKSVSDIDGNWNVGALASLVDLLGGVTIFSFANRVVTSVDFSVSYYSTAKIQEHVEIESKVSADKGNLIHVVVEVKRKGNGEVIAVGKLWMASNKLSVAQDVDGNWHVGALASLLDLIGIVTIYSFANRVISTVDFNASYYSTAKIQEHVEIESKVTANRGKLLHVVIEVRRKGNGEVIAVGKQWMASNKQTLAQVSNV >KJB34944 pep chromosome:Graimondii2_0_v6:6:33148775:33152162:1 gene:B456_006G093800 transcript:KJB34944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCPLIKNILLLDSEGKRIAVKYYSDDWPTNSAKEAFEKAVFAKTQKTNARTDAEITMFDNYVVVYKFVQDLHFFVTGGENENEIILAAVLQGFFDAVGLLLRGTVDKKEALENLDLILLCLDEIVDGGIILETDANVIAGKVASHSMDAGASLSEQTITQALATAREHLTRSLLK >KJB34945 pep chromosome:Graimondii2_0_v6:6:33148775:33152050:1 gene:B456_006G093800 transcript:KJB34945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCPLIKNILLLDSEGKRIAVKYYSDDWPTNSAKEAFEKAVFAKTQKTNARTDAEITMFDNYVVVYKFVQDLHFFVTGGENENEIILAAVLQGFFDAVGLLLRGTVDKKEALENLDLILLCLDEIVDGGIILETDANVIAGKVASHSMDAGASLSEQTITQALATAREHLTRSLLK >KJB34943 pep chromosome:Graimondii2_0_v6:6:33148775:33151851:1 gene:B456_006G093800 transcript:KJB34943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCPLIKNILLLDSEGKRIAVKYYSDDWPTNSAKEAFEKAVFAKTQKTNARTDAEITMFDNYVVVYKFVQDLHFFVTGGENENEIILAAVLQGFFDAVGLLLRGTVDKKEALENLDLILLCLDEIVDGGIILETDANVIAGKVASHSMDAGASLSEQTITQALATAREHLTRSLLK >KJB35283 pep chromosome:Graimondii2_0_v6:6:35148558:35152279:1 gene:B456_006G108300 transcript:KJB35283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKLSGFCKQGNDWLYNARLPSDITIIVDGVKFHLHKFPLMSKCGKIACMLEEIQSIHDRTFTTKLEEFPGGSDTFLFAAKFCYGIQVEFTARNIITVYCAADYLEMTDEYGEDNLLLKAESFFHKNVLHNWKDCVLALQSCESCMPRAEKLHILQKCLNAVSTMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGAKIRSAESDWWFEDISYFSVGLFERLIKTMKARGIRSEYLAGAVMYYARKCLPGLGRWQSRPSSKARTVASFSSTPAAVDQRDLLENIEKLLPKKKGKSFCRFLLGLLRVASILGVNQTCLDSLERRIGMQLELASLDGLLIPSFSNSDTLYDTDCVERIIHHFMSSESGLTLFSPPSLDLLSSPSFEPLRKVARLIDNYLAEVASDVNLKPGKIRSLAEVLPDSSRTLHDGLYRALDIYFKREGSPLQHHRLWETLHRCLCPCFPKQKIATQSCSPSLVLRAIAFKNGFSWLSQCLGS >KJB35284 pep chromosome:Graimondii2_0_v6:6:35148558:35152279:1 gene:B456_006G108300 transcript:KJB35284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKLSGFCKQGNDWLYNARLPSDITIIVDGVKFHLHKFPLMSKCGKIACMLEEIQSIHDRTFTTKLEEFPGGSDTFLFAAKFCYGIQVEFTARNIITVYCAADYLEMTDEYGEDNLLLKAESFFHKNVLHNWKDCVLALQSCESCMPRAEKLHILQKCLNAVSTMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGAKIRSAESDWWFEDISYFSVGLFERLIKTMKARGIRSEYLAGAVMYYARKCLPGLGRWQSRPSSKARTVASFSSTPAAVDQRDLLENIEKLLPKKKGKSFCRFLLGLLRVASILGVNQTCLDSLERRIGMQLELASLDGLLIPSFSNSDTLYDTDCVERIIHHFMSSESGLTLFSPPSLDLLSSPSFEPLRKVARLIDNYLAEVASDVNLKPGKIRSLAEVLPDSSRTLHDGLYRALDIYFKAHPWLSDREKEVLCNIIDYGKLSIDACAHASQNKRLPLRVVLQVLFFEQLHLRTALAGCLNVLEAESAPTGQGIAPTEIAGNVTATGETGQRIVRRDGWVTIVRENQVLKVDMERMRSRVGELEDEVSKIKREMKKVKKSRSSLSSPCIVAGKFGCKPLSKSSDSQTDVVGSTGPTPRPSFEHPRSSHHSRHRKSLPLFRDLTHGS >KJB35629 pep chromosome:Graimondii2_0_v6:6:37292617:37300348:1 gene:B456_006G121900 transcript:KJB35629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator of gibberellin-dependent alpha-amylase expression, Regulation of nutrient mobilization in germinatio [Source: Projected from Oryza sativa (Os01g0812000)] MRKGQSDFSLVEEAGRRGNMRESCHLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGMFTPEEERRIVELHAKLGNKWARMAAELPGRTDNEIKNFWNTRIKRLQRAGLPIYPPDVCLQVNLSQEESHNVASMPNQDSFGSNLVQSDAFGIPHVEFENLELNQQFLSYSPELLNIPPKTIGLSHGYGHVFPMACPPKHVQESVSNYMSLPNQMTDFACKENFDEPYKSSSPYDSDFSTNDQSSFGIHPGSDALLNSNFSPSEPLSGPMNLELPSYQYSDNQQDSWVNSSVPLALVESVDTLIQSPPMKRAKSECFALQNSGLLEAVLYESKKLNSSKDDSRQQSSNLMLDDVADFHLKDCELEFEAHCDPNSPSALSAASVLSEHTPVCGSSLDDESQFVESILGGNGKNETPNQMAISGLDDFLGRGQFGHDNGCVNDKPIVTDVIAALLGEDACCGY >KJB35630 pep chromosome:Graimondii2_0_v6:6:37292368:37300314:1 gene:B456_006G121900 transcript:KJB35630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator of gibberellin-dependent alpha-amylase expression, Regulation of nutrient mobilization in germinatio [Source: Projected from Oryza sativa (Os01g0812000)] MRKGQSDFSLVEEAGRRGNMRESCHLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGMFTPEEERRIVELHAKLGNKWARMAAELPGRTDNEIKNFWNTRIKRLQRAGLPIYPPDVCLQVNLSQEESHNVASMPNQDSFGSNLVQSDAFGIPHVEFENLELNQQFLSYSPELLNIPPKTIGLSHGYGHVFPMACPPKHVQESVSNYMSLPNQMTDFACKENFDEPYKSSSPYDSDFSTNDQSSFGIHPGSDALLNSNFSPSEPLSGPMNLELPSYQYSDNQQDSWVNSSVPLALVESVDTLIQSPPMKRAKSECFALQNSGLLEAVLYESKKLNSSKDDSRQQSSNLMLDDVADFHLKDCELEFEAHCDPNSPSALSAASVLSEHTPVCGSSLDDESQFVESILGGNGKNETPNQMAISGLDDFLGRGQFGHDNGCVNDKPIVTDVIAALLGEDACCGY >KJB35628 pep chromosome:Graimondii2_0_v6:6:37293663:37300109:1 gene:B456_006G121900 transcript:KJB35628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator of gibberellin-dependent alpha-amylase expression, Regulation of nutrient mobilization in germinatio [Source: Projected from Oryza sativa (Os01g0812000)] MRKGQSDFSLVEEAGRRGNMRESCHLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGMFTPEEERRIVELHAKLGNKWARMAAELPGRTDNEIKNFWNTRIKRLQRAGLPIYPPDVCLQVNLSQEESHNVASMPNQDSFGSNLVQSDAFGIPHVEFENLELNQQFLSYSPELLNIPPKTIGLSHGYGHVFPMACPPKHVQESVSNYMSLPNQMTDFACKENFDEPYKSSSPYDSDFSTNDQSSFGIHPGSDALLNSNFSPSEPLSGPMNLELPSYQYSDNQQDSWVNSSVPLALVESVDTLIQSPPMKRAKSECFALQNSGLLEAVLYESKKLNSSKDDSRQQSSNLMLDDVADFHLKDCELEFEAHCDPNSPSALSAASVLSEHTPVCGSSLDDESQFVESILGGNGKNETPNQMAISGLDDFLGRGQFGHDNGCVNDKPIVTDVIAALLGEDACCGY >KJB36246 pep chromosome:Graimondii2_0_v6:6:40685834:40686343:-1 gene:B456_006G148300 transcript:KJB36246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTSDANDGLLGSNNIGGFGYGIGVSVGILLLITTITLASYFCTRTQPPQGAPARRTRQEPNIDPDSLVVDVGLDEETIKSYPKLLYSEAKLQKKDSTASCCSICLADYKSSDMLRLLPDCNHLFHLKCVDPWLRLNPTCPVCRTSPIQTPLSTPLAEVVPLSTRQGG >KJB38313 pep chromosome:Graimondii2_0_v6:6:49290932:49291688:1 gene:B456_006G248100 transcript:KJB38313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKHLIPIFVIFFFFMSTTSASVALAPSSSNTQSFSFSKPPGDQTFFILPNDVDPKLQSICGETDYPIECLTTTIPFLDENVAIIPVSILKVEIDAIHNKTKKAIDKAYEFSINPSTSRLLPLCLKTCINNYNAILESKQRILDAISIGDANELSMELSHNMEHVFACEDEFKEAKIESPIAELNSLLVKIITNSLTIYVDMVKV >KJB36843 pep chromosome:Graimondii2_0_v6:6:43652754:43653233:-1 gene:B456_006G179100 transcript:KJB36843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIPRMYYGGHSVYEPYYQQQAVWDPFQEVPHGGSSLVAAASPESRFELTKLDLKETPEAHVFKAELPGLKKNEVKVEVEEGRVLCIRGEKSVEKEVSGGTWHRVERSSGAFVRRFRLPEDAKLDKLTACLERGVLTVTVPKKEHKHPPKRTIQIHVQ >KJB38545 pep chromosome:Graimondii2_0_v6:6:50087547:50089437:1 gene:B456_006G259800 transcript:KJB38545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNSWSFRFSHSSSSSSSSRRRQSRSDLFLGGGYEEIDGDEDFKAQFLCPFCAEDFDIVGLCCHIDEEHPVEAKNGVCPVCAKRVGMDIVSHITMQHGNFLKVQRKRRLRKGGSNLTFSMLRKELREGNLQALLGGSSFLPSPNVEADPLLSSFMFSPPTGDEPLSLQPLSIAEASVVKESGNKEFLGSLSRQKASTVPTVGQGSRGES >KJB38541 pep chromosome:Graimondii2_0_v6:6:50087262:50089939:1 gene:B456_006G259800 transcript:KJB38541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNSWSFRFSHSSSSSSSSRRRQSRSDLFLGGGYEEIDGDEDFKAQFLCPFCAEDFDIVGLCCHIDEEHPVEAKNGVCPVCAKRVGMDIVSHITMQHGNFLKVQRKRRLRKGGSNLTFSMLRKELREGNLQALLGGSSFLPSPNVEADPLLSSFMFSPPTGDEPLSLQPLSIAEASVVKESGNKEFLGRKPQQSQLSDKDHEEKARRCEFIQGLLMSTFLDNNL >KJB38543 pep chromosome:Graimondii2_0_v6:6:50087306:50089826:1 gene:B456_006G259800 transcript:KJB38543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNSWSFRFSHSSSSSSSSRRRQSRSGGGYEEIDGDEDFKAQFLCPFCAEDFDIVGLCCHIDEEHPVEAKNGVCPVCAKRVGMDIVSHITMQHGNFLKVQRKRRLRKGGSNLTFSMLRKELREGNLQALLGGSSFLPSPNVEADPLLSSFMFSPPTGDEPLSLQPLSIAEASVVKESGNKEFLGRKPQQSQLSDKDHEEKARRCEFIQGLLMSTFLDNNL >KJB38544 pep chromosome:Graimondii2_0_v6:6:50087262:50089826:1 gene:B456_006G259800 transcript:KJB38544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNSWSFRFSHSSSSSSSSRRRQSRSDLFLGGGYEEIDGDEDFKAQFLCPFCAEDFDIVGLCCHIDEEHPVEAKNGVCPVCAKRVGMDIVSHITMQHGNFLKVQRKRRLRKGGSNLTFSMLRKELREGNLQALLGGSSFLPSPNVEADPLLSSFMFSPPTGDEPLSLQPLSIAEASVVKESGNKEFLGRKPQQSQLSDKDHEEKARSGYANIILGLRESKA >KJB38542 pep chromosome:Graimondii2_0_v6:6:50087547:50088931:1 gene:B456_006G259800 transcript:KJB38542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNSWSFRFSHSSSSSSSSRRRQSRSDLFLGGGYEEIDGDEDFKAQFLCPFCAEDFDIVGLCCHIDEEHPVEAKNGVCPVCAKRVGMDIVSHITMQHGNFLKVQRKRRLRKGGSNLTFSMLRKELREGNLQALLGGSSFLPSPNVEADPLLSSFMFSPPTGDEPLSLQPLSIAEASVVKESGNKEFLGRYKCRCDLYLLY >KJB36145 pep chromosome:Graimondii2_0_v6:6:40152707:40154893:1 gene:B456_006G143500 transcript:KJB36145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEETHDTMNLDLNLGPTPETGSGSVSNEGLGWDASVNNRFNRIREAVRRRRWRWQQVQFPAATQNLSVELDQFMVNLDNASTLQAGEGSIIPEERTSDVPKSCENTNGLLEDEVSENKDDVEKGVSNDVGFFDCNICLDLAREPVVTCCGHLFCWSCLYRSLHKHSDAKECPVCKEEVTIKTLTPIYGRGKVNLEPEEDSGLKIPPRPNARRVESWRQTIQRTALNLPVEEMIRRLGSRFDLTRDLTPPREAETTEITDSVLNRILTSRGLRGEQTTVVSLDDVDLRPSSTNGTDVMSSRVHSLFRQRQSQLRRVARFTSLSSGLNSTERIVEAYLRSNAVGRNQEQPPQVDDRDSFSSIAAVINSESQMDTAVEIDSEVSLSASSSRRRNDSFRVSDVDSGDSRAHRRRRLN >KJB36146 pep chromosome:Graimondii2_0_v6:6:40152707:40154893:1 gene:B456_006G143500 transcript:KJB36146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEETHDTMNLDLNLGPTPETGSGSVSNEGLGWDASVNNRFNRIREAVRRRRWRWQQVQFPAATQNLSVELDQFMVNLDNASTLQAGEGSIIPEERTSDVPKSCENTNGLLEDEVSENKDDVEKGVSNDVGFFDCNICLDLAREPVVTCCGHLFCWSCLYRSLHKHSDAKECPVCKEEVTIKTLTPIYGRGKVNLEPEEDSGLKIPPRPNARRVESWRQTIQRTALNLPVEEMIRRLGSRFDLTRDLTPPREAETTEITDSVLNRILTSRGLRGEQTTVVSLDDVDLRPSSTNGTDVMSSRVHSLFRQRQSQLRRVARFTSLSSGLNSTERIVEAYLRSNAVGRNQEQPPQVDDRDSFSSIAAVINSESQMDTAVEIDSEVSLSASSSRRRNDSFRVSDVDSGDSRAHRRRRLN >KJB35126 pep chromosome:Graimondii2_0_v6:6:34198399:34201455:-1 gene:B456_006G101600 transcript:KJB35126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 8 [Source:Projected from Arabidopsis thaliana (AT1G80010) UniProtKB/Swiss-Prot;Acc:Q9S793] MTGDPAFSTGDHHHALSPSPPPNLLITMEEGSQNSEQLFEDDGNELEMEGNDIDIDSNGLDIEGNCLDIESNGLQDDCDGMLEIDDNHESNGDDATAVSIENGISQGKNYPPPVVGMEFESYDDAYNYYNCYAKELGFAIRVKSSWTKRNSKEKRGAVLCCNCEGFKTIKEANSRRKETRTGCLAMIRLRLVESNRWRVDEVKLEHNHLFDHERAQNCKSHKKMDAVAKRKVEPTVDVEVRTIKLYRTSTADPVGYGNLNSLEGEISETVDRSKRLKLNKGDSQIIYDFFSRIQLTSPNFVYLIDLNDEGCLRNVFWIDSRSRAAYGYFGDVVAVDTTCLSNKYEIPLVAFVGVNHHGQSILLGCGLLADDTFESYVWLFRAWLTCMSGRSPQTIITDHCRAMQSAISEVFPRAHHRLHLSHVMQSLFENLGELQESDVFQMILNRTVYETVKVDEFEMGWDDMIRRFGLANHAWLCTLYEERDNIKNFEVMHDKAGTEIRCICSCFNFNGYLCRHCLCVLHYNGVEEIPFQYILSRWRKDFKRLYIPELGSNNIDISNPVQWFDHLHRRAMQVVEEGMISQDHYTVAWQAFKESLNKVRLVADKHV >KJB35125 pep chromosome:Graimondii2_0_v6:6:34198352:34201676:-1 gene:B456_006G101600 transcript:KJB35125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 8 [Source:Projected from Arabidopsis thaliana (AT1G80010) UniProtKB/Swiss-Prot;Acc:Q9S793] MTGDPAFSTGDHHHALSPSPPPNLLITMEEGSQNSEQLFEDDGNELEMEGNDIDIDSNGLDIEGNCLDIESNGLQDDCDGMLEIDDNHESNGDDATAVSIENGISQGKNYPPPVVGMEFESYDDAYNYYNCYAKELGFAIRVKSSWTKRNSKEKRGAVLCCNCEGFKTIKEANSRRKETRTGCLAMIRLRLVESNRWRVDEVKLEHNHLFDHERAQNCKSHKKMDAVAKRKVEPTVDVEVRTIKLYRTSTADPVGYGNLNSLEGEISETVDRSKRLKLNKGDSQIIYDFFSRIQLTSPNFVYLIDLNDEGCLRNVFWIDSRSRAAYGYFGDVVAVDTTCLSNKYEIPLVAFVGVNHHGQSILLGCGLLADDTFESYVWLFRAWLTCMSGRSPQTIITDHCRAMQSAISEVFPRAHHRLHLSHVMQSLFENLGELQESDVFQMILNRTVYETVKVDEFEMGWDDMIRRFGLANHAWLCTLYEERERWAPVYQKDTFFAGMCTFENGEFRSSFFDGFVHKQTSLKEFFGKYELLVQEERKTEAINDLESRDSSPLLKTRCFYELQLSKLYTNEIFRRFQDEVVMMPCFSITQVHASGPVITYMIKEQEAEGDRSNIKNFEVMHDKAGTEIRCICSCFNFNGYLCRHCLCVLHYNGVEEIPFQYILSRWRKDFKRLYIPELGSNNIDISNPVQWFDHLHRRAMQVVEEGMISQDHYTVAWQAFKESLNKVRLVADKHV >KJB36212 pep chromosome:Graimondii2_0_v6:6:40477820:40480987:-1 gene:B456_006G146400 transcript:KJB36212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLITNLSKSLGSFCNHLQSSCDALKQSIDRRPIPLDSASSTFVQCLNRRVSTATADLNLLDSMSFGTVSFEELLGHCYQIFNNNQTHLLHLEDHLKPLGYLPQVEIENEEEEEEVLDSNDRCFSVTNSAIKSLDEDPLLLDESMSLKNFGLSDVCLATLASQANQKVDDSDLSFGENMYNGDKANNIKVTNKPATDSIEVTKAEGEKDPNQVEVKRPILQVSEDGYESLPSYMTSLASWEDLLAAVEKINSSLNKKEKTKGYNYFYQDEIEALGLGPKGRAYLLLLVRMNHLIVETIDGRISYRVL >KJB36211 pep chromosome:Graimondii2_0_v6:6:40477818:40481140:-1 gene:B456_006G146400 transcript:KJB36211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLITNLSKSLGSFCNHLQSSCDALKQSIDRRPIPLDSASSTFVQCLNRRVSTATADLNLLDSMSFGTVSFEELLGHCYQIFNNNQTHLLHLEDHLKPLGYLPQVEIENEEEEEEVLDSNDRCFSVTNSAIKSLDEDPLLLDESMSLKNFGLSDVCLATLASQANQKVDDSDLSFGENMYNGDKANNIKVTNKPATDSIEVTKEGEKDPNQVEVKRPILQVSEDGYESLPSYMTSLASWEDLLAAVEKINSSLNKKEKTKGYNYFYQDEIEALGLGPKGRAYLLLLVRMNHLIVETIDGRISYRVL >KJB36210 pep chromosome:Graimondii2_0_v6:6:40477818:40481140:-1 gene:B456_006G146400 transcript:KJB36210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLITNLSKSLGSFCNHLQSSCDALKQSIDRRPIPLDSASSTFVQCLNRRVSTATADLNLLDSMSFGTVSFEELLGHCYQIFNNNQTHLLHLEDHLKPLGYLPLEIENEEEEEEVLDSNDRCFSVTNSAIKSLDEDPLLLDESMSLKNFGLSDVCLATLASQANQKVDDSDLSFGENMYNGDKANNIKVTNKPATDSIEVTKEGEKDPNQVEVKRPILQVSEDGYESLPSYMTSLASWEDLLAAVEKINSSLNKKEKTKGYNYFYQDEIEALGLGPKGRAYLLLLVRMNHLIVETIDGRISYRVL >KJB36209 pep chromosome:Graimondii2_0_v6:6:40478106:40480945:-1 gene:B456_006G146400 transcript:KJB36209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLITNLSKSLGSFCNHLQSSCDALKQSIDRRPIPLDSASSTFVQCLNRRVSTATADLNLLDSMSFGTVSFEELLGHCYQIFNNNQTHLLHLEDHLKPLGYLPLEIENEEEEEEVLDSNDRCFSVTNSAIKSLDEDPLLLDESMSLKNFGLSDVCLATLASQANQKVDDSDLSFGENMYNGDKANNIKVTNKPATDSIEVTKAEGEKDPNQVEVKRPILQVSEDGYESLPSYMTSLASWEDLLAAVEKINSSLNKKEKTKGYNYFYQDEIEALGLGPKGRAYLLLLVRMNHLIVETIDGRISYRVL >KJB35081 pep chromosome:Graimondii2_0_v6:6:33957507:33959408:-1 gene:B456_006G099200 transcript:KJB35081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRGVSVSWVSCLVVLGVLVGQSTAQLMPFGICYASCFATCTLGGGGSPAPCSLQCLKNCLLTKSTVGGGTVKDTLSFCKLGCASALCSNFSTSDNPASNKVGNCVDGCSATCAKKNL >KJB35015 pep chromosome:Graimondii2_0_v6:6:33511821:33515948:1 gene:B456_006G095800 transcript:KJB35015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDAAVELANWDSSKIRDKLRRDINDHVASVRAAKLSELTSSYEAKLNEALSGPVEALLDGANKETWPLIRKLLQRETESILSGLSTALPGFDMDEQTQKKTLTSLENHARGVVEGKAKEEAGRVLIRMKDRFSTLFNHDSESMPRVWTGKEDVRSITKTAHSAALKLLSVMAAIRLDDNVDNIENTLCSALLDTKGIASVTKWGSTTSDPLASSTWDKVPPNKILITPVQCKILWRQYRAETEYCVTQAISAQEANKRSNNWLPPPWAIVALIILGFNEFLTLLRNPLYVGIIFVGYLMLKALWVQLDISGEFSHGVLPGIISLSTKFIPTIMSLLKKLAEEGQAAANNNPQSTNASASKSLPNTSPPLLTASSTVTSSANGTKEE >KJB35016 pep chromosome:Graimondii2_0_v6:6:33513282:33515997:1 gene:B456_006G095800 transcript:KJB35016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQTQKKTLTSLENHARGVVEGKAKEEAGRVLIRMKDRFSTLFNHDSESMPRVWTGKEDVRSITKTAHSAALKLLSVMAAIRLDDNVDNIENTLCSALLDTKGIASVTKWGSTTSDPLASSTWDKVPPNKILITPVQCKILWRQYRAETEYCVTQAISAQEANKRSNNWLPPPWAIVALIILGFNEFLTLLRNPLYVGIIFVGYLMLKALWVQLDISGEFSHGVLPGIISLSTKFIPTIMSLLKKLAEEGQAAANNNPQSTNASASKSLPNTSPPLLTASSTVTSSANGTKEE >KJB35017 pep chromosome:Graimondii2_0_v6:6:33512332:33516231:1 gene:B456_006G095800 transcript:KJB35017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQTQKKTLTSLENHARGVVEGKAKEEAGRVLIRMKDRFSTLFNHDSESMPRVWTGKEDVRSITKTAHSAALKLLSVMAAIRLDDNVDNIENTLCSALLDTKGIASVTKWGSTTSDPLASSTWDKVPPNKILITPVQCKILWRQYRAETEYCVTQAISAQEANKRSNNWLPPPWAIVALIILGFNEFLTLLRNPLYVGIIFVGYLMLKALWVQLDISGEFSHGVLPGIISLSTKFIPTIMSLLKKLAEEGQAAANNNPQSTNASASKSLPNTSPPLLTASSTVTSSANGTKEE >KJB35311 pep chromosome:Graimondii2_0_v6:6:36005622:36006956:1 gene:B456_006G112000 transcript:KJB35311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDALREAISTIVAQSKEKQRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKEIPNPCYSPGIARVQSE >KJB35309 pep chromosome:Graimondii2_0_v6:6:36005433:36007457:1 gene:B456_006G112000 transcript:KJB35309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDALREAISTIVAQSKEKQRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVAMEEKQIFQNVQMSVNFLVSLLKKNWQNVGEVPLLEEYHGPIKQDLLSYLPMC >KJB35308 pep chromosome:Graimondii2_0_v6:6:36005319:36007593:1 gene:B456_006G112000 transcript:KJB35308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDALREAISTIVAQSKEKQRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPSNRIF >KJB35312 pep chromosome:Graimondii2_0_v6:6:36005433:36007533:1 gene:B456_006G112000 transcript:KJB35312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDALREAISTIVAQSKEKQRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPSNRIF >KJB35310 pep chromosome:Graimondii2_0_v6:6:36005433:36007457:1 gene:B456_006G112000 transcript:KJB35310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDALREAISTIVAQSKEKQRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVAMEEKQIFQNVQMSVNFLVSLLKKNWQNVGAST >KJB34313 pep chromosome:Graimondii2_0_v6:6:21654309:21656130:-1 gene:B456_006G059000 transcript:KJB34313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYDSSSESDNEITPRGKLFGRQRPMHAILGGGKVADVLLWRNPKVSAALLTGVTTIWFLFEVVEYNFVTLLCHISITAMLAIFIWCISADYFGWKRPTIPQLLSDQAAFSEVVYFFHWRFNQFLQKLLHIAGGNDPVNFFLVIISLYVLSVIGSCFDFVNLLFIGLVSMETLPYLYTRYEDEVDYHAGQMTRKGSKVYKRFLNKIPRGTVKEKKHS >KJB34979 pep chromosome:Graimondii2_0_v6:6:33174378:33176767:1 gene:B456_006G094100 transcript:KJB34979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTAIIKKCQTTDFDRSVIGVLIRDIHSKNIHFQEIEFHFIPKAENTYAHIIAKEALKKGEGHYLLGGAPGYVRCALEKRRPRLPDWKKERRPSWKSGTSDEVVDCSHGKQLWELCKEKYEPLWLKGGNHCDLEQYPEYIRHLKKFISTVEKSPSQRYSSRRSTDQFEQSRKSTDAFEVSRKSTDRREKPRKSTDRPEKLKNQSNNLDKLEKLRISFDQLEKSRRSVDCHEKSRKNVDHQLERARKSVDLLDRIRTG >KJB36314 pep chromosome:Graimondii2_0_v6:6:41111065:41112276:1 gene:B456_006G152200 transcript:KJB36314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVASLISPLKRLAGKVAIITGGASGIGASTACLFHENGAKVVIADIQDNKGEALAKKLGESACYIHCDVTNEDDIRNLTDTAISKHGKLDIMHNNAGILDRTLSTILDITKSEIDQVIGVNLVGALLGAKHAARVMSPQRKGCILFTASACTAIAGLPSGNAYAVSKHGVLGLTKNLAAELGHYGIRVNCISPYGVATPMIAPNETEMRNMEQSLTAMGNLKGEILKPEGLAYAALYLASDEANYVSGVNLVLDGGFSIVNPTIMKAFNLIH >KJB38141 pep chromosome:Graimondii2_0_v6:6:48614015:48621395:1 gene:B456_006G239000 transcript:KJB38141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERMRSGEHSGIMVKNRSQSGCLIVRKKGDGLGGGGSVGTRKVYKSKKEKKRPRMIMSDSGSSDELVMPPRRRAGPKSPQFCNGLAVYEESEIGRKRNREEKIRLSEDGFIGRNGEDLSESESKRNRLDVFDFDEYDRLEEEMIMRRNRFNYGAEEIGDRRLFGSMPAVARRSIERECESGPSNNAFLEKKKKKKKKKNDLYFDKSDGMSWGDHDDIRNKFRKDRDGGRLHYPLLRERYMADSDEAIRVQGKNGVLKVIVNKKKKVGEPLKNFDRLEAEEARSGSRIGDTVRMNLHVHPSLYSELKVLEEPVSLARKEKKKMNLLKTPATGKNKVSEWDSDDNNTSLQLGSKNMEASNSKKRVSRKEEKTQIESLLPTRNKEGKIRRGCGTEKQKLRERIRGMLQEAGWTIDYRPRRNRDYLDAVYINPAGTAYWSIIKAYDALLKQLDEEDEGKPGGDSSAFTPLSDEVLNQLTRKTRKKMEREMKKKQRYDSESENAQEAVARKSSSTRHEDESMDSAIHEEKLSSFFKPGKSSKNGALSATTKGQSSLHVPDSYENPSSTSNSHLIRGRKSRKLGRCTLLVRGSNAALSSEGDDFVPYSGKRTLLSSLIDSGEVELSQKVQYMNRRRTKVLLEGWIARDGIHCGCCSKILSVSKFEIHAGSKLRQPFQNIYLGSGVSLLQCQVDAWNRQEESEQIGFHSVDVDGDDPNDDTCGICGDGGDLICCDSCPSTFHQSCLNIEFLPAGDWHCPNCTCKFCGNGSDIAQEDNLIDYAILSCSLCEKKYHESCMELTEEHHIDSNSLVLPFCGQTCRELFEHLQKYLGVKHELEAGFSWSLLQRTNADSDTIARGLPQRVECNSKLAVALNVMDECFLPIVDRRSGINLINNVVYNCGSNFNRLNYSGFYTAILERGDEIISAASIRFHGTKLAEMPFIGTRHIYRRQGMCRRLFSAIEMALCSLRVEKLVIPAIAELTHTWTSVFGFSPIEESLKQDMRSMNMLVFPGIDMLQKLLLEQGNTKTSLTAATVKKQTESGSTECITPEVANKFKPGSLFGHDTESAAADSDSQCPNVSINDTCGTSGSLDASVEPNESAMNSIPDVNPSSIHDANETEIKAGLDSPAENNTQSCMEGMDDTSVRVIETQVTTSDNGTDSLSGDKATESASENKNHATSSTDDSAVDMGNQAVLDSPIPKITPSCEEVDTDAAALASTSDVKTELMVDETICTNSESGE >KJB38142 pep chromosome:Graimondii2_0_v6:6:48614015:48621395:1 gene:B456_006G239000 transcript:KJB38142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERMRSGEHSGIMVKNRSQSGCLIVRKKGDGLGGGGSVGTRKVYKSKKEKKRPRMIMSDSGSSDELVMPPRRRAGPKSPQFCNGLAVYEESEIGRKRNREEKIRLSEDGFIGRNGEDLSESESKRNRLDVFDFDEYDRLEEEMIMRRNRFNYGAEEIGDRRLFGSMPAVARRSIERECESGPSNNAFLEKKKKKKKKKNDLYFDKSDGMSWGDHDDIRNKFRKDRDGGRLHYPLLRERYMADSDEAIRVQGKNGVLKVIVNKKKKVGEPLKNFDRLEAEEARSGSRIGDTVRMNLHVHPSLYSELKVLEEPVSLARKEKKKMNLLKTPATGKNKVSEWDSDDNNTSLQLGSKNMEASNSKKRVSRKEEKTQIESLLPTRNKEGKIRRGCGTEKQKLRERIRGMLQEAGWTIDYRPRRNRDYLDAVYINPAGTAYWSIIKAYDALLKQLDEEDEGKPGGDSSAFTPLSDEVLNQLTRKTRKKMEREMKKKQRYDSESENAQEAVARKSSSTRHEDESMDSAIHEEKLSSFFKPGKSSKNGALSATTKGQSSLHVPDSYENPSSTSNSHLIRGRKSRKLGRCTLLVRGSNAALSSEGDDFVPYSGKRTLLSSLIDSGEVELSQKVQYMNRRRTKVLLEGWIARDGIHCGCCSKILSVSKFEIHAGSKLRQPFQNIYLGSGVSLLQCQVDAWNRQEESEQIGFHSVDVDGDDPNDDTCGICGDGGDLICCDSCPSTFHQSCLNIEFLPAGDWHCPNCTCKFCGNGSDIAQEDNLIDYAILSCSLCEKKYHESCMELTEEHHIDSNSLVLPFCGQTCRELFEHLQKYLGVKHELEAGFSWSLLQRTNADSDTIARGLPQRVECNSKLAVALNVMDECFLPIVDRRSGINLINNVVYNCGSNFNRLNYSGFYTAILERGDEIISAASIRFHGTKLAEMPFIGTRHIYRRQGMCRRLFSAIEMALCSLRVEKLVIPAIAELTHTWTSVFGFSPIEESLKQDMRSMNMLVFPGIDMLQKLLLEQGNTKTSLTAATVKKQTESGSTECITPEVANKFKPGSLFGHDTESAAADSDSQCPNVSINDTCGTSGSLDASVEPNESAMNSIPDVNPSSIHDANETEIKAGLDSPAENNTQSCMEGDKATESASENKNHATSSTDDSAVDMGNQAVLDSPIPKITPSCEEVDTDAAALASTSDVKTELMVDETICTNSESGE >KJB33763 pep chromosome:Graimondii2_0_v6:6:7641117:7646646:1 gene:B456_006G030000 transcript:KJB33763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTASSIPSKMKAWVYSEHGKPVDVLKLDSNYDVPQLKEDQVLIKVVAAALNPVDFKRMLGMFIHSDSPLPSVPGYDVAGVVLKVGSQVRTLKEGDEVYGNINEKGLDRPKRSGTLAEYTVAEENLLALKPKNLGFIEAAAIPLAIGTAYEGLQKTALSAGKSILVLGGAGGVGTMVIQLAKHVFGASKVAATSSSAKLELLKSLGADLAIDYTKENFEDLPEKFDVIYDAVGQSERAVKAIKEGGKVVTIEPVGELAEPAFRFILTSSGAMLETLNPFLENGKVKPVIDPRGTFAFSQTPQAFSYLETGRVTGACFKVYMESKHQQ >KJB33762 pep chromosome:Graimondii2_0_v6:6:7641081:7646207:1 gene:B456_006G030000 transcript:KJB33762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTASSIPSKMKAWVYSEHGKPVDVLKLDSNYDVPQLKEDQVLIKVVAAALNPVDFKRMLGMFIHSDSPLPSVPGYDVAGVVLKVGSQVRTLKEGDEVYGNINEKGLDRPKRSGTLAEYTVAEENLLALKPKNLGFIEAAAIPLAIGTAYEGLQKTALSAGKSILVLGGAGGVGTMVIQLAKHVFGASKVAATSSSAKLELLKSLGADLAIDYTKENFEDLPEKFDVIYDAVGQSERAVKAIKEGGKVVTIEPVGELAEPAFRFILTSSGAMLETLNPFLENGKVKPVIDPRGTFAFSQTPQAFSYLETGRVTGKIVIHPIP >KJB34953 pep chromosome:Graimondii2_0_v6:6:32925977:32931160:1 gene:B456_006G092300 transcript:KJB34953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMITNVSEYEVIAKEKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMMTTILGFRISMPIMVAPTGMQKMAHPEGECATARAASDAGTIMTLSSYANSSVEEVASTGSGIRFFQLYVHKDRNLVAQLVRRAERAGFKAIALTADTPRLGRREADIKNRFTLPPYLTLKNFEGLDLGKIVKTDDSGLASYGANQIDQSLSWKDVKWLQTITSLPILVKGVLTAEDTKLAIEAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGKVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFALAVDGEAGVRKVLQMLHDELELTMALSGCRSLKEITRNHVVADWDHPRVLPRL >KJB34952 pep chromosome:Graimondii2_0_v6:6:32926033:32931171:1 gene:B456_006G092300 transcript:KJB34952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMITNVSEYEVIAKEKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMMTTILGFRISMPIMVAPTGMQKMAHPEGECATARAASDAGTIMTLSSYANSSVEEVASTGSGIRFFQLYVHKDRNLVAQLVRRAERAGFKAIALTADTPRLGRREADIKNRFTLPPYLTLKNFEGLDLGKIVKTDDSGLASYGANQIDQSLSWKDVKWLQTITSLPILVKGVLTAEDTKLAIEAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGKVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFALAVDGEAGVRKVLQMLHDELELTMALSGCRSLKEITRNHVVADWDHPRVLPRL >KJB34955 pep chromosome:Graimondii2_0_v6:6:32926033:32931133:1 gene:B456_006G092300 transcript:KJB34955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSSYANSSVEEVASTGSGIRFFQLYVHKDRNLVAQLVRRAERAGFKAIALTADTPRLGRREADIKNRFTLPPYLTLKNFEGLDLGKIVKTDDSGLASYGANQIDQSLSWKDVKWLQTITSLPILVKGVLTAEDTKLAIEAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGKVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFALAVDGEAGVRKVLQMLHDELELTMALSGCRSLKEITRNHVVADWDHPRVLPRL >KJB34956 pep chromosome:Graimondii2_0_v6:6:32926030:32931171:1 gene:B456_006G092300 transcript:KJB34956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMITNVSEYEVIAKEKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMMTTILGFRISMPIMVAPTGMQKMAHPEGECATARAASDAGTIMTLSSYANSSVEEVASTGSGIRFFQLYVHKDRNLVAQLVRRAERAGFKAIALTADTPRLGRREADIKNRFTLPPYLTLKNFEGLDLGKIVKTDDSGLASYGANQIDQSLSWKDVKWLQTITSLPILVKGVLTAEDTKLAIEAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGKVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFALAVDGEAGVRKVLQMLHDELELTMALSGCRSLKEITRNHVVADWDHPRVLPRL >KJB34957 pep chromosome:Graimondii2_0_v6:6:32926010:32931160:1 gene:B456_006G092300 transcript:KJB34957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMITNVSEYEVIAKEKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMMTTILGFRISMPIMVAPTGMQKMAHPEGECATARAASDAGTIMTLSSYANSSVEEVASTGSGIRFFQLYVHKDRNLVAQLVRRAERAGFKAIALTADTPRLGRREADIKNRFTLPPYLTLKNFEGLDLGKIVKTDDSGLASYGANQIDQSLSWKDVKWLQTITSLPILVKGVLTAEDTKLAIEAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGKVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFALAVDGEAGVRKVLQMLHDELELTMALSGCRSLKEITRNHVVADWDHPRVLPRL >KJB34954 pep chromosome:Graimondii2_0_v6:6:32926010:32931160:1 gene:B456_006G092300 transcript:KJB34954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMITNVSEYEVIAKEKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMMTTILGFRISMPIMVAPTGMQKMAHPEGECATARAASDAGTIMTLSSYANSSVEEVASTGSGIRFFQLYVHKDRNLVAQLVRRAERAGFKAIALTADTPRLGRREADIKNRFTLPPYLTLKNFEGLDLGKIVKTDDSGLASYGANQIDQSLSWKDVKWLQTITSLPILVKGVLTAEDTKLAIEAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGKVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFALAVDGEAGVRKVLQMLHDELELTMALSGCRSLKEITRNHVVADWDHPRVLPRL >KJB37968 pep chromosome:Graimondii2_0_v6:6:47963660:47964793:-1 gene:B456_006G229200 transcript:KJB37968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TEALQALRRSIDPNMIPPSSYISSWDFSADPCDSTGAKFLGILCSNPGDNSTNRIISIELDSAGYDGFLTHNIGNLTELTSLDLSRNQFRGPLPDTLKNLKKLTQISLSGNFFTGGIAGWINGLRNVESIDLSENLLSGPIPPRISELRRLTDISFSNNEFSGRIPDINGLWKLQTLDLGSNMFVGNLPKLPTKLRSLTLSHNQLSGHITSLGSLKELRYIDLSDNKFTGSITRSILSLPELNQLNVSFNQLASIEVNTHLGSGSPLQVLNEINLAYNGLTGRVPVAYGQRLGRPWKSLFLDDNFLSGRIPRQFNSSALRISGSLANNCLSCPVTIPICGGGQRPASACIGEIGNH >KJB35176 pep chromosome:Graimondii2_0_v6:6:34498281:34506361:-1 gene:B456_006G103500 transcript:KJB35176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFPSENGVEGDDEREEEEDEDVDDDEEEEEAEEDEEEPRLKYQRMGGSIPSLLSGEAASCISVAERMIALGTHDGTVHILDFLGNQVKEFAAHSAAVNDLSFDIEGEYIGSCSDDGSVVLNSLFTDEKLTFEYHRPMKAIALDPDYARKTSRRFVTGGLAGHLYFNTKKWLGYKDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDQRITFIERPRGTPHPEILLPHLVWQDDTLLVIGWGTSVKIAAIRTNLNKGTNGTYKRVTSNMNQVDIVASFQTSYYISGIAPFADALVVLAYIPSEDGEKEFSSAMPSRQGNAQRPEVRIVSWNNDELATDALPVHGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPIYYIVSPKDVVIAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRSELLDEAASLCPKLLRGSATAWERWVFHFAHLRQLPVLVPYMPTENPRLRDTAYEVALVALATNPSVHKDLLSTVKSWPRVIYSALPVISAIEPQLNTSSMTDALKEALAELYVINGQYEKAFSLYADLMKPDIFDFIEKHNLHDSIREKVVQLMMVDCKQAVNLLIQNRDLIAPSEVVSQLLNTRNKCDSRYFLHLYLHSLFEVNPHAGKDFHDMQVELYVEYEPKMLLPFLRSSQHYTLEKAYEICDRRDLLREQVFILGRMGNSKQALAVIINELGDIEEAVEFVTMQHDDDLWEELIHQCLHKPEMVGVLLEHTVGNLDPLYIVNMVPNGLEIPRLGSSSQNYY >KJB35178 pep chromosome:Graimondii2_0_v6:6:34498281:34506361:-1 gene:B456_006G103500 transcript:KJB35178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFPSENGVEGDDEREEEEDEDVDDDEEEEEAEEDEEEPRLKYQRMGGSIPSLLSGEAASCISVAERMIALGTHDGTVHILDFLGNQVKEFAAHSAAVNDLSFDIEGEYIGSCSDDGSVVLNSLFTDEKLTFEYHRPMKAIALDPDYARKTSRRFVTGGLAGHLYFNTKKWLGYKDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDQRITFIERPRGTPHPEILLPHLVWQDDTLLVIGWGTSVKIAAIRTNLNKGTNGTYKRVTSNMNQVDIVASFQTSYYISGIAPFADALVVLAYIPSEDGEKEFSSAMPSRQQGNAQRPEVRIVSWNNDELATDALPVHGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPIYYIVSPKDVVIAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRSELLDEAASLCPKLLRGSATAWERWVFHFAHLRQLPVLVPYMPTENPRLRDTAYEVALVALATNPSVHKDLLSTVKSWPRVIYSALPVISAIEPQLNTSSMTDALKEALAELYVINGQYEKAFSLYADLMKPDIFDFIEKHNLHDSIREKVVQLMMVDCKQAVNLLIQNRDLIAPSEVVSQLLNTRNKCDSRYFLHLYLHSLFEVNPHAGKDFHDMQVELYVEYEPKMLLPFLRSSQHYTLEKAYEICDRRDLLREQVFILGRMGNSKQALAVIINELGDIEEAVEFVTMQHDDDLWEELIHQCLHKPEMVGVLLEHTVGNLDPLYIVNMVPNGLEIPRLRDRLVKIITDYRTETSLRHGCNDILKADCVNLSVKYYNEAKRAVCLSNEEDDARSKRDASRASQVITPSVRNMEVKSKTRGGGRCCMCFDPFSIQNVSVVVFFCCHAYHTTCLMESTEINSTNKKGTGGPSEGLYEYEYEEEQEDDNGSEAGGPRMRCILCTTATS >KJB35181 pep chromosome:Graimondii2_0_v6:6:34500378:34506361:-1 gene:B456_006G103500 transcript:KJB35181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFPSENGVEGDDEREEEEDEDVDDDEEEEEAEEDEEEPRLKYQRMGGSIPSLLSGEAASCISVAERMIALGTHDGTVHILDFLGNQVKEFAAHSAAVNDLSFDIEGEYIGSCSDDGSVVLNSLFTDEKLTFEYHRPMKAIALDPDYARKTSRRFVTGGLAGHLYFNTKKWLGYKDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDQRITFIERPRGTPHPEILLPHLVWQDDTLLVIGWGTSVKIAAIRTNLNKGTNGTYKRVTSNMNQVDIVASFQTSYYISGIAPFADALVVLAYIPSEDGEKEFSSAMPSRQGNAQRPEVRIVSWNNDELATDALPVHGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPIYYIVSPKDVVIAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRSELLDEAASLCPKLLRGSATAWERWVFHFAHLRQLPVLVPYMPTENPRLRDTAYEVALVALATNPSVHKDLLSTVKSWPRVIYSALPVISAIEPQLNTSSMTDALKEALAELYVINGQYEKAFSLYADLMKPDIFDFIEKHNLHDSIREKVVQLMMVDCKQAVNLLIQNRDLIAPSEVVSQLLNTRNKCDSRYFLHLYLHSLFEVNPHAGKDFHDMQVELYVEYEPKMLLPFLRSSQHYTLEKVWIV >KJB35180 pep chromosome:Graimondii2_0_v6:6:34499954:34506361:-1 gene:B456_006G103500 transcript:KJB35180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFPSENGVEGDDEREEEEDEDVDDDEEEEEAEEDEEEPRLKYQRMGGSIPSLLSGEAASCISVAERMIALGTHDGTVHILDFLGNQVKEFAAHSAAVNDLSFDIEGEYIGSCSDDGSVVLNSLFTDEKLTFEYHRPMKAIALDPDYARKTSRRFVTGGLAGHLYFNTKKWLGYKDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDQRITFIERPRGTPHPEILLPHLVWQDDTLLVIGWGTSVKIAAIRTNLNKGTNGTYKRVTSNMNQVDIVASFQTSYYISGIAPFADALVVLAYIPSEDGEKEFSSAMPSRQGNAQRPEVRIVSWNNDELATDALPVHGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPIYYIVSPKDVVIAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRSELLDEAASLCPKLLRGSATAWERWVFHFAHLRQLPVLVPYMPTENPRLRDTAYEVALVALATNPSVHKDLLSTVKSWPRVIYSALPVISAIEPQLNTSSMTDALKEALAELYVINGQYEKAFSLYADLMKPDIFDFIEKHNLHDSIREKVVQLMMVDCKQAVNLLIQNRDLIAPSEVVSQLLNTRNKCDSRYFLHLYLHSLFEVNPHAGKDFHDMQVELYVEYEPKMLLPFLRSSQHYTLEKAYEICDRRDLLREQVFILGRMGNSKQALAVIINELGDIEEAVEFVTMQHDDDLWEELIHQCLHKPEMVGVLLEHTVGNLDPLYIVNMVPNGLEIPRLVFSFLKGSL >KJB35179 pep chromosome:Graimondii2_0_v6:6:34498281:34506361:-1 gene:B456_006G103500 transcript:KJB35179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFPSENGVEGDDEREEEEDEDVDDDEEEEEAEEDEEEPRLKYQRMGGSIPSLLSGEAASCISVAERMIALGTHDGTVHILDFLGNQVKEFAAHSAAVNDLSFDIEGEYIGSCSDDGSVVLNSLFTDEKLTFEYHRPMKAIALDPDYARKTSRRFVTGGLAGHLYFNTKKWLGYKDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDQRITFIERPRGTPHPEILLPHLVWQDDTLLVIGWGTSVKIAAIRTNLNKGTNGTYKRVTSNMNQVDIVASFQTSYYISGIAPFADALVVLAYIPSEDGEKEFSSAMPSRQGNAQRPEVRIVSWNNDELATDALPVHGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPIYYIVSPKDVVIAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRSELLDEAASLCPKLLRGSATAWERWVFHFAHLRQLPVLVPYMPTENPRLRDTAYEVALVALATNPSVHKDLLSTVKSWPRVIYSALPVISAIEPQLNTSSMTDALKEALAELYVINGQYEKAFSLYADLMKPDIFDFIEKHNLHDSIREKVVQLMMVDCKQAVNLLIQNRDLIAPSEVVSQLLNTRNKCDSRYFLHLYLHSLFEVNPHAGKDFHDMQVELYVEYEPKMLLPFLRSSQHYTLEKAYEICDRRDLLREQVFILGRMGNSKQALAVIINELGDIEEAVEFVTMQHDDDLWEELIHQCLHKPEMVGVLLEHTVGNLDPLYIVNMVPNGLEIPRLRDRLVKIITDYRTETSLRHGCNDILKADCVNLSVKYYNEAKRAVCLSNEEDDARSKRDASRASQVITPSVRNMEVKSKTRGGGRCCMCFDPFSIQNVSVVVFFCCHAYHTTCLMESTEINSTNKKGTGGPSEGLYEYEYEEEQEDDNGSEAGGPRMRCILCTTATS >KJB35177 pep chromosome:Graimondii2_0_v6:6:34500212:34506361:-1 gene:B456_006G103500 transcript:KJB35177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFPSENGVEGDDEREEEEDEDVDDDEEEEEAEEDEEEPRLKYQRMGGSIPSLLSGEAASCISVAERMIALGTHDGTVHILDFLGNQVKEFAAHSAAVNDLSFDIEGEYIGSCSDDGSVVLNSLFTDEKLTFEYHRPMKAIALDPDYARKTSRRFVTGGLAGHLYFNTKKWLGYKDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDQRITFIERPRGTPHPEILLPHLVWQDDTLLVIGWGTSVKIAAIRTNLNKGTNGTYKRVTSNMNQVDIVASFQTSYYISGIAPFADALVVLAYIPSEDGEKEFSSAMPSRQGNAQRPEVRIVSWNNDELATDALPVHGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPIYYIVSPKDVVIAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRSELLDEAASLCPKLLRGSATAWERWVFHFAHLRQLPVLVPYMPTENPRLRDTAYEVALVALATNPSVHKDLLSTVKSWPRVIYSALPVISAIEPQLNTSSMTDALKEALAELYVINGQYEKAFSLYADLMKPDIFDFIEKHNLHDSIREKVVQLMMVDCKQAVNLLIQNRDLIAPSEVVSQLLNTRNKCDSRYFLHLYLHSLFEVNPHAGKDFHDMQVELYVEYEPKMLLPFLRSSQHYTLEKAYEICDRRDLLREQVFILGRMGNSKQALAVIINELGDIEEAVEFVTMQHDDDLWEELIHQCLHKPEMVMMKNADRKYGDVV >KJB38226 pep chromosome:Graimondii2_0_v6:6:49146086:49148443:1 gene:B456_006G246700 transcript:KJB38226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDPAVMTLPFGANIDIRDTVKYKEVMKQFNLGPNGGILTSLNLFATKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVTYVVDTPRSSSPVTFMSNMLYACSILYKTRLPLVLAFNKVDVAQHQFALEWMEDFETFQAAISSDTTYTSTLTQSLSLSLDEFYKNLRSVGVSAISGAGMNEFFKAIEACAEEYMETYKADLDKRRAEKQRLEEERRKESMDKLRRDMEQTRGETVVLSTGLKDKDGRRNTMMDPEDEVEEPEDEDDYDRFTDEDEDLIREDEDEEIARFSF >KJB38224 pep chromosome:Graimondii2_0_v6:6:49145425:49148483:1 gene:B456_006G246700 transcript:KJB38224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDSESEKPSVKTPEEGGSMQMNSSEKKGGDDEKEQLAGIMDKLNIESSSSGFKKKPVIIIVVGMAGSGKTTLLHRLVCHTQASNIRGYVMNLDPAVMTLPFGANIDIRDTVKYKEVMKQFNLGPNGGILTSLNLFATKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVTYVVDTPRSSSPVTFMSNMLYACSILYKTRLPLVLAFNKVDVAQHQFALEWMEDFETFQAAISSDTTYTSTLTQSLSLSLDEFYKNLRSVGVSAISGAGMNEFFKAIEACAEEYMETYKADLDKRRAEKQRLEEERRKESMDKLRRDMEQTRGETVVLSTGLKDKDGRRNTMMDPEDEVEEPEDEDDYDRFTDEDEDLIREDEDEEIARFSF >KJB38225 pep chromosome:Graimondii2_0_v6:6:49145838:49148483:1 gene:B456_006G246700 transcript:KJB38225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLNIESSSSGFKKKPVIIIVVGMAGSGKTTLLHRLVCHTQASNIRGYVMNLDPAVMTLPFGANIDIRDTVKYKEVMKQFNLGPNGGILTSLNLFATKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVTYVVDTPRSSSPVTFMSNMLYACSILYKTRLPLVLAFNKVDVAQHQFALEWMEDFETFQAAISSDTTYTSTLTQSLSLSLDEFYKNLRSVGVSAISGAGMNEFFKAIEACAEEYMETYKADLDKRRAEKQRLEEERRKESMDKLRRDMEQTRGETVVLSTGLKDKDGRRNTMMDPEDEVEEPEDEDDYDRFTDEDEDLIREDEDEEIARFSF >KJB36054 pep chromosome:Graimondii2_0_v6:6:39499213:39500157:1 gene:B456_006G138500 transcript:KJB36054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTPLGHEPDVFYNNLLEGVSGISEIETFDCVQFPTRIAGEIKSFSTDGWVAPKLSKRMDKFMLYSLTAGKKALQDGGVNEDVMEELDKTKCGVLIGSAMGGMKVFNDAIEALRISYRKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSI >KJB35346 pep chromosome:Graimondii2_0_v6:6:35686293:35687614:-1 gene:B456_006G110200 transcript:KJB35346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVYPNASSFSGSGTISERPPKVLAAPESEAILTVWKKSLLFNCNGFTVFGSKGDLVFRVDNYMDGNKGEILLMDATGNPLLTIRRKKMSLGDSWLVYKGESTSTNPLLCVRKSMNILNNKCLAYVIPGDNTSNRSNNVVYEIEGSYSQRSCSVYDDRRRLAAEIKKKEAVNGGVAYGNDIFRLVVQPGHIRTDFAMALVILLDQMFGSSRR >KJB35223 pep chromosome:Graimondii2_0_v6:6:34764051:34768190:1 gene:B456_006G105200 transcript:KJB35223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLYQHGDCYLAHQRLAIIDPASGDQPLFNEDKSIVVTVNGEIYNHEELRKKLAHHKFRTGSDCDVIAHLYEEYGEDFVDMLDGIFSFVLLDTRDNSFVVARDAIGVTSLYIGWGLDGSVWISSELKGLNDDCEHFESFPPGHLYSSKSGGFRRWYNPPWFSEAIPSVPYDPLVLRRAFENAVTKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKAAKQWGAQLHSFCVGLEGSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPDKDEFHRETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINVAMSIDPEAKMIKKDEGRIEKWVLRRAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAARHVTDKMMFNASFIFPHNTPTTKEAYYYRMIFERFFPQVIF >KJB35222 pep chromosome:Graimondii2_0_v6:6:34764051:34768190:1 gene:B456_006G105200 transcript:KJB35222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLYQHGDCYLAHQRLAIIDPASGDQPLFNEDKSIVVTVNGEIYNHEELRKKLAHHKFRTGSDCDVIAHLYEEYGEDFVDMLDGIFSFVLLDTRDNSFVVARDAIGVTSLYIGWGLDGSVWISSELKGLNDDCEHFESFPPGHLYSSKSGGFRRWYNPPWFSEAIPSVPYDPLVLRRAFENAVTKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKAAKQWGAQLHSFCVGLEGSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPDKDEFHRETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINVAMSIDPEAKMIKKDEGRIEKWVLRRAFDDEEHPYLPKVL >KJB35224 pep chromosome:Graimondii2_0_v6:6:34764051:34768190:1 gene:B456_006G105200 transcript:KJB35224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDDSQAKRVRVLELSRRQHRGPDWSGLYQHGDCYLAHQRLAIIDPASGDQPLFNEDKSIVVTVNGEIYNHEELRKKLAHHKFRTGSDCDVIAHLYEEYGEDFVDMLDGIFSFVLLDTRDNSFVVARDAIGVTSLYIGWGLDGSVWISSELKGLNDDCEHFESFPPGHLYSSKSGGFRRWYNPPWFSEAIPSVPYDPLVLRRAFENAVTKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKAAKQWGAQLHSFCVGLEGSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPDKDEFHRETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINVAMSIDPEAKMIKKDEGRIEKWVLRRAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAARHVTDKMMFNASFIFPHNTPTTKEAYYYRMIFERFFPQNSARLTVPGGASVACSTAKAVEWDAAWKNNLDPSGRAALGVHLSAYDAETPLSNKPSKVIDSIPRMDFPGVAIQS >KJB35221 pep chromosome:Graimondii2_0_v6:6:34764035:34768256:1 gene:B456_006G105200 transcript:KJB35221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLYQHGDCYLAHQRLAIIDPASGDQPLFNEDKSIVVTVNGEIYNHEELRKKLAHHKFRTGSDCDVIAHLYEEYGEDFVDMLDGIFSFVLLDTRDNSFVVARDAIGVTSLYIGWGLDGSVWISSELKGLNDDCEHFESFPPGHLYSSKSGGFRRWYNPPWFSEAIPSVPYDPLVLRRAFENAVTKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKAAKQWGAQLHSFCVGLEGSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPDKDEFHRETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINVAMSIDPEAKMIKKDEGRIEKWVLRRAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAARHVTDKMMFNASFIFPHNTPTTKEAYYYRMIFERFFPQNSARLTVPGGASVACSTAKAVEWDAAWKNNLDPSGRAALGVHLSAYDAETPLSNKPSKVIDSIPRMDFPGVAIQS >KJB37899 pep chromosome:Graimondii2_0_v6:6:47662605:47668038:-1 gene:B456_006G225300 transcript:KJB37899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMANTDPEGIDAVRMTWNVWPRTKVEASKCVIPLAATIAPIRPHPDIPTLPYAPLRCRTCSAALNAFARVDLVAKIWICPFCYQRNHFPPHYAMISETNLPCELYPQYTTVQYTLQPDPNNPSSAPQLPPVFVFVLDTCMIEEELEFVKSAMKQAIGLLPENALVGFVSFGTQAHVHELGFSDMSKVYVFRGNKEITKEQVLEQLGLGAAGRRPTAGYPKGLQNGFTNTGVNRFLLPASDCEFTLNSLLDELQTDQWPVQAGHRASRCTGVALSVAAGLLGACLPGTGARIVALVGGPCTEGPGTIVSKDLSEPVRSHKDLDKDAAPYFKKAVKFYDSLAKQLVSQGHVLDLFASALDQVGVAEMKVAVERTGGLVVLAESFGHSVFKDSFKRVFKDGEQTLGLCFNGTLEINCSKDIKIQGVIGPCTSLEKKGPNVADTVIGEGNTTAWKMCGLDKSTCFTVLFDVSSSDKSNAPGVANPQLYLQFLTSYQDPEGKTMLRVTTVTRQWVDSAVSSEELIQGFDQETAAVVMARITSLKMEMEEGFDATRWLDRNLIRLCSKFGNYRKDDPSSFTLNPCFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENITNAAVMIQPSLISYSFNSLPQPALLDVASISADRILLLDSYFSIVIFHGMTIAQWRNMGYQNQPEHQAFAQLLQAPQDDAQMIIRERFPVPRLVVCDQHGSQVSIFH >KJB37898 pep chromosome:Graimondii2_0_v6:6:47661730:47668297:-1 gene:B456_006G225300 transcript:KJB37898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMANTDPEGIDAVRMTWNVWPRTKVEASKCVIPLAATIAPIRPHPDIPTLPYAPLRCRTCSAALNAFARVDLVAKIWICPFCYQRNHFPPHYAMISETNLPCELYPQYTTVQYTLQPDPNNPSSAPQLPPVFVFVLDTCMIEEELEFVKSAMKQAIGLLPENALVGFVSFGTQAHVHELGFSDMSKVYVFRGNKEITKEQVLEQLGLGAAGRRPTAGYPKGLQNGFTNTGVNRFLLPASDCEFTLNSLLDELQTDQWPVQAGHRASRCTGVALSVAAGLLGACLPGTGARIVALVGGPCTEGPGTIVSKDLSEPVRSHKDLDKDAAPYFKKAVKFYDSLAKQLVSQGHVLDLFASALDQVGVAEMKVAVERTGGLVVLAESFGHSVFKDSFKRVFKDGEQTLGLCFNGTLEINCSKDIKIQGVIGPCTSLEKKGPNVADTVIGEGNTTAWKMCGLDKSTCFTVLFDVSSSDKSNAPGVANPQLYLQFLTSYQDPEGKTMLRVTTVTRQWVDSAVSSEELIQGFDQETAAVVMARITSLKMEMEEGFDATRWLDRNLIRLCSKFGNYRKDDPSSFTLNPCFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENITNAAVMIQPSLISYSFNSLPQPALLDVASISADRILLLDSYFSIVIFHGMTIAQWRNMGYQNQPEHQAFAQLLQAPQDDAQMIIRERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNANEMAAGSDVIFTDDVSLQVFFEHLQRLAVQS >KJB35778 pep chromosome:Graimondii2_0_v6:6:38117230:38119729:1 gene:B456_006G128000 transcript:KJB35778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGGGERGAFRRGFGGRSDRGPRGRRRGRREEEEKWVPVTKLGRLVKTGKITSLEQIYLHSLPIKEYQIIDQLVGPSLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFECLLKTYGFLTPDFWKETRFTRSPFQEYTDLLGKPAKTLVLEDAERVDV >KJB33114 pep chromosome:Graimondii2_0_v6:6:40565171:40565222:-1 gene:B456_006G1472001 transcript:KJB33114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGLELIFHILAS >KJB35050 pep chromosome:Graimondii2_0_v6:6:33823006:33825771:1 gene:B456_006G098100 transcript:KJB35050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFNNQYKATIGADFLTKEIQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVAKSFDDLNNWREEFLIQATPSDPENFPFVVLGNKVDLDGGNSRVISEKTAMAWCVSKGNIPHFETSAKEGFNVDAAFECIAKNALKNEPEEEIYLPETIDVVDGGWPQKSTSCEC >KJB38465 pep chromosome:Graimondii2_0_v6:6:49816712:49818727:1 gene:B456_006G255800 transcript:KJB38465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGFEGFEKRLELHFFGDDSLSNINNNMGLRLLDFESIEQVLRAVQCTVVSAVGNHFFDAYVLSESSMFVYPTKIIIKTCGTTQLLKSVRPLTHFANKLNLKLCGCRYTRGSFIFPKSQPFPHTNFKEEVIYIEENLPKNLVYRKAAVMPSKNPCYSWHVFAAGDQNHRKKSNSDVTFEICMTELDRHLARKFYKKAGDCKTGDSAGKEMTVLTGIDDINPRAFICDFAFDPCGYSMNGIDGDRYSTIHVTPEDGYSYASFECVGSVYDDDVDIVETLKKAVQVFKPATVSVSTTSNSREVWTTVARAMEPLGLKCRTFAMDEFPAAGTVVFQTFTAARRK >KJB37879 pep chromosome:Graimondii2_0_v6:6:47626831:47627679:-1 gene:B456_006G224600 transcript:KJB37879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLALMLLLQELSSNYRVVADNRRDGSIADSCSGGDINEELVIESESYRRVLWGNSISYGAIRRDLPACGDSSAGSAKPYSITCLPQSLNRYTRGCSSIYRCRH >KJB33603 pep chromosome:Graimondii2_0_v6:6:5322849:5325807:-1 gene:B456_006G021000 transcript:KJB33603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPGIRCNAGSVVDIDLSGHGLNGSITPQIGALSKLKLLNLSSNSLTGELPSSFGNLNQLALMTLHLRNCRLSGTIPPNIGELERMVDLDLSNNWFIGPIPSSVSNLTNLDSLFLQSNQLDGSIPKDIGRLTNLVALNLSSNCLVGPIPSSVSNLTNLSSLFLQSNQLNGSIPEDIGGLTDLVELKLSSNGLLGHIPSSLGHLTNLVELDLSSNRLSGHIPSSLGQLTNLVELDLSSNKLSGHIPSSLGQLTNLLTNLVELDLSSNRLSGHIPSSLGQLTKLEYLYLSHNQISGVIPPNLGSLSNLWCLSMASNLLEGPIPHEIESLKALEWLDLSDNKLSGSIPTQIGNLSNLTGLILANNNLSGDIPSQLNSQNIELSHNLLQGVIPSHFGSLAYLRSLDLSWNNLTGTIPEFPFFVENLDLAFNSLRGQIPNGLLYFAPETFTGNKDLCGSIQGFRPCPSSPNVKHNLLIVILVPTLLFFVSTFVLVTFILFRRYRAKTLKSDSSPTKNGDLFTIWNFDGKIAFEDIIKATEDFDIKYCIGTGGYGSVYRAVLPSGKVIALKKLHRLEAEQPAYDTSFRNEIKFLAEIRHKNIIKLHGFCLHNRCMFLIYEYMENGSLFYALSIDDEAVELDWTKRVNIVKGVAHALSYMHHDCNLPIVHRDISSNNILLNSELEAFIADFGTARLLDPDSSNRTVIVGTYGYIAPELAYSLVVTEKCDVYSFGVLALEILMGKHPGELLSTLSSSSSRVQNFMLNEILDPRLSTPRSRKMAGEIAFIAVIAFACLRLRPKARPTMKLVSQEFLHIN >KJB37445 pep chromosome:Graimondii2_0_v6:6:46058200:46061277:1 gene:B456_006G204800 transcript:KJB37445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVSVFWEILKKPTIGDVVRELLIFIAPLWVAVIVGVLVGWAWKPKWATIGREMFMDCSGSKDSAPAESSESSFSGFGSLPSLNLIKFQLPSVISWISDDGAQKDSFFLSPTLHSNCSSSQNEKQKLGFLTDDDLEHLYKLVEEKDGGPAWIHMMDKSTPTIGYQAWQRDLEIGPTQYRSRTVFDDATPEMVRDFFWDDEFRSKLKWDEMLLSAETLESSPTDGTMVVQWVRKFPFFCSDREYLIGRRIWSCGRTYYCVTKGVPYPSIPRKTKPRRVDLYYSSWCIRAAESRKGDGQLTACEVLLFHHENMGIPWEIAKLGVKLGMWGTVRKIDPGLRAYQTERASGAPLSHSASMAQINTKVTQDYLTSLESNSNDSSELETHNSSEKPGKNNIPKLVVVGGAIAVACILDRGLLTKAVIFGVARRFAKIGRRL >KJB37444 pep chromosome:Graimondii2_0_v6:6:46058157:46061514:1 gene:B456_006G204800 transcript:KJB37444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVSVFWEILKKPTIGDVVRELLIFIAPLWVAVIVGVLVGWAWKPKWATIGREMFMDCSGSKDSAPAESSESSFSGFGSLPSLNLIKFQLPSVISWISDDGAQKDSFFLSPTLHSNCSSSQNEKQKLGFLTDDDLEHLYKLVEEKDGGPAWIHMMDKSTPTIGYQAWQRDLEIGPTQYRSRTVFDDATPEMVRDFFWDDEFRSKLKWDEMLLSAETLESSPTDGTMVVQWVRKFPFFCSDREYLIGRRIWSCGRTYYCVTKGVPYPSIPRKTKPRRVDLYYSSWCIRAAESRKGDGQLTACEVLLFHHENMGIPWEIAKLGVKLGMWGTVRKIDPGLRAYQTERASGAPLSHSASMAQINTKVTQDYLTSLESNSNDSSELETHNSSEKPGKNNIPKLVVVGGAIAVACILDRGLLTKAVIFGVARRFAKIGRRL >KJB36845 pep chromosome:Graimondii2_0_v6:6:43658792:43663547:1 gene:B456_006G179200 transcript:KJB36845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGSKSERSSNPQQSSKTGKEKVHLPHAGLRLKNNEKLNVKNGITFPYGNLPGEIVKNQIQSTLVETKPFGNCQGQHLKSKPTKEDELVRYMSNLPGYLQRVDSSDNLQEKALNVGVLDWARLEKWKYHRKHIPTITGNDVSSTSTILTSKTDTKSASFSSAVTKVASANKSKQHSSASSSPTSPQKGGIPRGAKPSTPKVRHYQDIDTASKSILDQQKKTSKRNKSFGKIHSDVILEKGKKKELDQKITPEMGNMSSNMSNHGVSPLPKETASVCNGGTKKRVEKRRETDVNIKDLDQKSTSNLEASLSKSRSYAAPLGPSKTLSAESNKTKNKEMEESKIDLTHQFSPGERKNVVLLPRSARGSFSEELRDGTLNEAKRNSFSYDLLQKDHFLELCSDVPHSCPLPSGVERNPETRIMAQGLKPSSDASSRSVLNSTGNIRSQGKCSAENKIKSQDAHVETLKILEEEMAELTTKGSRTSSPNRRFSFSLSRLSRSFSFKESSAVPQMNPGYVSVKSGPVRSDSSGFLDDMNRDKLNGHTRTRSSPLRRVLDPLLKSKGLNSFRSTDTVQTSKGGLNSSNPGTVNTNESFQAEKLGRSMIKALLEVATKNGLPLFRFVVIDGSNMLATTMRSLASSAKGGSDQIYVFSSVSEIKKSGSWISKGNKDKKCGYIYNIIGQMKISDSHISDLVKESVLFSVEQRQADQASAKFTPSTELAAVVIKIPGESNVQQVEDIMNNGSTESLATYGCTCNFIENSSSNITTAILPGGVHSLPNKGIPSPLIDRWRFGGLCDCGGWDVGCKLHILSNQNCSCCKNSRMYQACPDPNHLELYPQGEAQQDMPIFSLVPHKNGIYAIEFSSSITALQAFFISVTIISCWKSSGFPEFGDLPEGKLIKETMFNGSLGMDNKQTVALGTMPARYAPNPPHSPVGRV >KJB36844 pep chromosome:Graimondii2_0_v6:6:43658806:43663588:1 gene:B456_006G179200 transcript:KJB36844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGSKSERSSNPQQSSKTGKEKVHLPHAGLRLKNNEKLNVKNGITFPYGNLPGEIVKNQIQSTLVETKPFGNCQGQHLKSKPTKEDELVRYMSNLPGYLQRVDSSDNLQEKALNVGVLDWARLEKWKYHRKHIPTITGNDVSSTSTILTSKTDTKSASFSSAVTKVASANKSKQHSSASSSPTSPQKGGIPRGAKPSTPKVRHYQDIDTASKSILDQQKKTSKRNKSFGKIHSDVILEKGKKKELDQKITPEMGNMSSNMSNHGVSPLPKETASVCNGGTKKRVEKRRETDVNIKDLDQKSTSNLEASLSKSRSYAAPLGPSKTLSAESNKTKNKEMEESKIDLTHQFSPGERKNVVLLPRSARGSFSEELRDGTLNEAKRNSFSYDLLQKDHFLELCSDVPHSCPLPSGVERNPETRIMAQGLKPSSDASSRSVLNSTGNIRSQGKCSAENKIKSQDAHVETLKILEEEMAELTTKGSRTSSPNRRFSFSLSRLSRSFSFKESSAVPQMNPGYVSVKSGPVRSDSSGFLDDMNRDKLNGHTRTRSSPLRRVLDPLLKSKGLNSFRSTDTVQTSKGGLNSSNPGTVNTNESFQAEKLGRSMIKALLEVATKNGLPLFRFVVIDGSNMLATTMRSLASSAKGGSDQIYVFSSVSEIKKSGSWISKGNKDKKCGYIYNIIGQMKISDSHISDLVKESVLFSVEQRQADQASAKFTPSTELAAVVIKIPGESNVQQVEDIMNNGSTESLATYGCTCNFIENSSSNITTAILPGGVHSLPNKGIPSPLIDRWRFGGLCDCGGWDVGCKLHILSNQNCSCCKNSRMYQACPDPNHLELYPQGEAQQDMPIFSLVPHKNGIYAIEFSSSITALQAFFISVTIISCWKSSGFPEFGDLPEGKLIKETMFNGSLGMDNKQTVALGTMPARYAPNPPHSPVGRV >KJB37915 pep chromosome:Graimondii2_0_v6:6:47767665:47769594:-1 gene:B456_006G226200 transcript:KJB37915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVGIFSASKQDGLKKNERNNNEEAREEKKKNNNKFVDDNEAKNNNVDDENEVDEEDDDPKLKPEKELDLGPPLSLKEQLEKDKDDESLRRWKEQLLGSVDMSALGEMEAAEVKIERLSIVCRGRPDIVLPIPFVSNPKSSLFILKEGSRYRLKFSFTVSHNVVSGLNYTNTVWKSGVRVEKTKVMLGTFSPRKDPYTYELEEETTPSGLFARGSYSATTKFVDDDGKAYLDMNYHFEIKKNWPSNNS >KJB33934 pep chromosome:Graimondii2_0_v6:6:10871344:10876381:1 gene:B456_006G039200 transcript:KJB33934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQAPPENGYGRSNSLEEKSAKEKAIDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVTVLILSWIITLYTLWQMVEMHEMVPGRRFDRYHELGQYAFGEKLGLYIVVPQQLIVEVGVCIVYMVTGGKSLKKFHDTVCSTCKDIKLTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGVQQDVQYGYKAKTTAGTVFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVIVAYIVVALCYFPVALVGYWMFGNSVQDNILISLEKPAWLIAMANMFVVVHVIGSYQIYAMPVFDMMETVLVKKLNFKPSTTLRFIVRNLYVAFTMFIGITFPFFGGLLGFFGGFAFAPTTYFVSHINSFIPCFLLTRKDTFLFEESNSFSLVFL >KJB33933 pep chromosome:Graimondii2_0_v6:6:10871344:10876381:1 gene:B456_006G039200 transcript:KJB33933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQAPPENGYGRSNSLEEKSAKEKAIDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVTVLILSWIITLYTLWQMVEMHEMVPGRRFDRYHELGQYAFGEKLGLYIVVPQQLIVEVGVCIVYMVTGGKSLKKFHDTVCSTCKDIKLTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGVQQDVQYGYKAKTTAGTVFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVIVAYIVVALCYFPVALVGYWMFGNSVQDNILISLEKPAWLIAMANMFVVVHVIGSYQIYAMPVFDMMETVLVKKLNFKPSTTLRFIVRNLYVAFTMFIGITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRKFSLSWWTNWVCKLDFDSTTTSLLRCASNWFLFSFPFSVFQICIVFGLMLMILSPIGGLRQIILQAKDYEFYS >KJB33932 pep chromosome:Graimondii2_0_v6:6:10870949:10876555:1 gene:B456_006G039200 transcript:KJB33932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQAPPENGYGRSNSLEEKSAKEKAIDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVTVLILSWIITLYTLWQMVEMHEMVPGRRFDRYHELGQYAFGEKLGLYIVVPQQLIVEVGVCIVYMVTGGKSLKKFHDTVCSTCKDIKLTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGVQQDVQYGYKAKTTAGTVFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVIVAYIVVALCYFPVALVGYWMFGNSVQDNILISLEKPAWLIAMANMFVVVHVIGSYQIYAMPVFDMMETVLVKKLNFKPSTTLRFIVRNLYVAFTMFIGITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRKFSLSWWTNWICIVFGLMLMILSPIGGLRQIILQAKDYEFYS >KJB33232 pep chromosome:Graimondii2_0_v6:6:741065:743119:-1 gene:B456_006G003400 transcript:KJB33232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIIGRMHLMQEKMGWVKIHILLRRRLYRLGRMMELKGTGRAIFLRVHVNFGQKKFAFDVKEYEAQERLKQQMTIEKISLPPNISYGQR >KJB33233 pep chromosome:Graimondii2_0_v6:6:740598:743119:-1 gene:B456_006G003400 transcript:KJB33233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIIGRMHLMQEKMGWVKIHILLRRRLYRLGRMMELKGTGRAIFLRVHVNFGQKKFAFDVKEYEAQERLKQQMTIEKISLPPNISYGQR >KJB37284 pep chromosome:Graimondii2_0_v6:6:45472971:45474575:1 gene:B456_006G197400 transcript:KJB37284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLQYSVLPFLLVVVILSLSGATLVHSHGDFLHCLSLHLPNSSTISKVIYMRNNPSYSSVLNASIQNTRFSTPATPKPLAIITPLKTSHIQSTIYCSKKHGLQLRIRSGGHDFEGLSYVSEVPFVILDLLNFRAVEVDTKNKVAWVQSGATLGELYYGIAAKTKTLGFPAGVCPTVGIGGHFSGGGYGILVRKYGLAADHIIDAQLIDANGRILDRKSMGEDLFWAIRGGGGNTFGIVLAWKIKLVPVPVVVTVFTVNKNLEQNVTKILHRWQYIAHKLPDDLYVDVGITKVSSSQAGKKTVQAAFIALFLGGVDELIPLVQERFPELGLAKENCSEMSWAESVLYLGRAPRTSLDMLLDKNVIPRTIFKAKSDYVKEPIPESGIEGFLSMFLEKEADFASMLMVPFGGKMEEIPENELPYPHRAGNLFQASYIVGWRKEENAECGKYISWIRRFYSYMATYVSKSPREAYFNYRDLDIGSNNISGYTSYQQASIWGFKYFKNNFKRLVQVKTMVDPMNFFRNEQSIPPLSSP >KJB35636 pep chromosome:Graimondii2_0_v6:6:37351335:37353183:1 gene:B456_006G122100 transcript:KJB35636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLIPSFNRILVEKIIPPSKTNSGILLPEKTPKLNSGKVVAVGPGARDRDGKHIPVNLKEGDTVLLPEYGGTEVKLGEKEYHLYRDDDILGTLHD >KJB37372 pep chromosome:Graimondii2_0_v6:6:45865062:45866345:-1 gene:B456_006G202200 transcript:KJB37372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFWLIDSMADWQQLLQSIILGLIFSYLLAKLISLVVSFKDDNLSITRARSSNVQGYEKIDDSGSGSDPIGSTEHLGLHKSDSVVAELGSIRAESDGDGDGVDDDDDDWEGVECTELDDAFSAATAFVAAAAADRLSQKVPNEVQLQLYGLYKVATEGPCTAPQPSALKMTARAKWQAWHKLGAMPPEDAMQKYIDVVTELYPSWAAGSAMGKGGADNASSKDVKGPMGPVFSSFVYEEESENDL >KJB37373 pep chromosome:Graimondii2_0_v6:6:45862534:45866605:-1 gene:B456_006G202200 transcript:KJB37373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFWLIDSMADWQQLLQSIILGLIFSYLLAKLISLVVSFKDDNLSITRARSSNVQGYEKIDDSGSGSDPIGSTEHLGLHKSDSVVAELGSIRAESDGDGDGVDDDDDDWEGVECTELDDAFSAATAFVAAAAADRLSQKVPNEVQLQLYGLYKVATEGPCTAPQPSALKMTARAKWQAWHKLGAMPPEDAMQKYIDVVTELYPSWAAGSAMGKGGADNASSKDVKGPMGPVFSSFVYEEESENDLKMDAIHTFAREGELDNLLKCIESGVSVHLQDSEGRTPMHWAVDRGHLKIAEALLSRNADVNAKDNEGQTPLHYAVMCEREDIAKFLVKQNADKDTKDNDGNSPVDLCDSDWPWLQRAGKAE >KJB37374 pep chromosome:Graimondii2_0_v6:6:45862534:45866551:-1 gene:B456_006G202200 transcript:KJB37374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFWLIDSMADWQQLLQSIILGLIFSYLLAKLISLVVSFKDDNLSITRARSSNVQGYEKIDDSGSGSDPIGSTEHLGLHKSDSVVAELGSIRAESDGDGDGVDDDDDDWEGVECTELDDAFSAATAFVAAAAADRLSQKVPNEVQLQLYGLYKVATEGPCTAPQPSALKMTARAKWQAWHKLGAMPPEDAMQKYIDVVTELYPSWAAGSAMGKGGADNASSKDVKGPMGPVFSSFVYEEESENDFSSHDLRILSNIIMEPSKN >KJB38508 pep chromosome:Graimondii2_0_v6:6:49996491:49998669:1 gene:B456_006G258600 transcript:KJB38508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMSEPTDVLQHVAIEIPKGSYDSLVIPLKEKMETMTTASCIFKVDEKLREIDEKHYLPQTVSIGIFHHGRDNTKFMEEHKWRYLYSLLNRKSHLEATLDKCVKSLRELEHKARLCYKDLKQDEVPSDKFVEIMLADAGFLIELFLKYAIKGLKRRGDYVFNMSGLLYELRCDMLLLENQIPYFILQRLFEIVPIPNHCKLSLTELAFRFFRDMIPGDHRLHLAKFGQEGNHFLDLIRHCFLPTVPRIKAKQQEVRGLPYKASKLKDAGIKLKKATTEDLLDIKFAKGVLEIPPINVHQYTETLLRNLITIEQSGPGTTNHISSYVFLMKTLLPENKDVKLVKRKQILTNYDVSDKKQVETLFHRLCEEMKVMENADDEFYYNGLCEQVKEYKRSSWQPRLKTMPPPLKPGYLQRPLPRIVIVLLVLFIVLVFVGALFSIISFFRHKL >KJB38473 pep chromosome:Graimondii2_0_v6:6:49923400:49926393:-1 gene:B456_006G257400 transcript:KJB38473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQDLKTDSQIQIWPTLQESDNRTCFLRSSSSHKFQTKHKIPLSKKKKPHMNQSDYSYSTLPNDIALKIASSLEVPDLSSLGCCSRVWREICQSNCLWESLVKERWPLLYEAVYGSHFKDWRGFYVKQHEEKKGQADSVINLVEQCSQSDSLKVIDYLHAIRCLKTMQFGFRDVQMLLLKQKLNVLLNLIGLHYCLSILQVPAFCITEALWGGKIVNRRVCVKWRQPGRWFNGFRIRDGYHSRCVYLEDLVTGEDDGEVLTVLERGASREFLRVQVFVVNSP >KJB33066 pep chromosome:Graimondii2_0_v6:6:29029614:29030477:1 gene:B456_006G072200 transcript:KJB33066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGLLPIHRWISIGRTQDEKTLKRLQNSTDRNGSSTETTRISIDKTHSSKQGSFGLGLLSIPWSDPPIQSSATDTLKASIETSQLNRQSSASPAFLLIP >KJB33385 pep chromosome:Graimondii2_0_v6:6:1885421:1888498:-1 gene:B456_006G008800 transcript:KJB33385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKASICLAMNHCSSDWNFESDLPISNQKKLLDNELVELLWQNGKVVLQSQTHKKQDDETVSWIQHPFEESFEKEMFSNFFSEFPVYDPMDHQHEQQEDDKNLSRGSSNGIGTTSTGLSVGTSKDDEDDDNGGQVENEKGTSGGVTSSQKRKNRDGREDYECQCEFAEVKPVRRSGSCRRQSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQVMWMGNGMAPMMFPGIQHYMSPMAMGTAPPTMPSIQNQRFIQNPTFSEQYARFLGFHHMQTASQPINMFGYGSQTTPQSPTVLGFSNGSNPLNGGTTATNNTSLSGKIVLWRLLY >KJB37585 pep chromosome:Graimondii2_0_v6:6:46628754:46630418:1 gene:B456_006G211800 transcript:KJB37585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNVFKFCTALRALGSIMIVFVLAVIGLTYYAVVIAHYGPSLFLGSFETFFAIVVLIVFHSLLVMVMWCYSSVVVTDPGGVPPNWRPLTDEEKGDADPLVGSGYGSAQLDPKQSATVAVSQEIRFCHKCKQFKPPRAHHCSVCRRCILKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVSLLLLRVFMEFFNEGEIDETPGSLAATFITFVLNIAFTLSILGFLIMHITLVGANTSTIEVIIYVSLCCQSNQSRSRFHMFYFSGI >KJB37584 pep chromosome:Graimondii2_0_v6:6:46628552:46631607:1 gene:B456_006G211800 transcript:KJB37584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNVFKFCTALRALGSIMIVFVLAVIGLTYYAVVIAHYGPSLFLGSFETFFAIVVLIVFHSLLVMVMWCYSSVVVTDPGGVPPNWRPLTDEEKGDADPLVGSGYGSAQLDPKQSATVAVSQEIRFCHKCKQFKPPRAHHCSVCRRCILKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVSLLLLRVFMEFFNEGEIDETPGSLAATFITFVLNIAFTLSILGFLIMHITLVGANTSTIEAYEKKTSPKWRYDLGWKKNFEQVFGLDKKYWFIPAYSEDDLRRLPALHGFEYPTRPDLEPLQQH >KJB37583 pep chromosome:Graimondii2_0_v6:6:46624998:46631143:1 gene:B456_006G211800 transcript:KJB37583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFDTIEEAATLLGRNLTVAETMWFKYSAKKSDYYLYCHNILFLFLIFSVVPLPLVFVEMMRSLGFDKYKIQPKVSLSLPEMFKCYKDVMRMFVLVVGPLQLVSYPSIKIIGIRTGLPLPSLWEIVAQLTVYFMIEDYTNYWIHRFLHGKWGYEKIHRVHHEYTAPIGFAAPYAHWLEVLILGIPSFLGPAIVPGHMITFWLWIALRQIEAIETHSGYDFPWTPTRFIPFYGGADYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRYHKKVLRKLVMVMWCYSSVVVTDPGGVPPNWRPLTDEEKGDADPLVGSGYGSAQLDPKQSATVAVSQEIRFCHKCKQFKPPRAHHCSVCRRCILKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVSLLLLRVFMEFFNEGEIDETPGSLAATFITFVLNIAFTLSILGFLIMHITLVGANTSTIEAYEKKTSPKWRYDLGWKKNFEQVFGLDKKYWFIPAYSEDDLRRLPALHGFEYPTRPDLEPLQQH >KJB37586 pep chromosome:Graimondii2_0_v6:6:46628551:46631677:1 gene:B456_006G211800 transcript:KJB37586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNVFKFCTALRALGSIMIVFVLAVIGLTYYAVVIAHYGPSLFLGSFETFFAIVVLIVFHSLLVMVMWCYSSVVVTDPGGVPPNWRPLTDEEKGDADPLVGSGYGSAQLDPKQSATVAVSQEIRFCHKCKQFKPPRAHHCSVCRRCILKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVSLLLLRVFMEFFNEGEIDETPGSLAATFITFVLNIAFTLSILGFLIMHITLVGANTSTIEAYEKKTSPKWRYDLGWKKNFEQVFGLDKKYWFIPAYSEDDLRRLPALHGFEYPTRPDLEPLQQH >KJB35517 pep chromosome:Graimondii2_0_v6:6:36782194:36785281:-1 gene:B456_006G118100 transcript:KJB35517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFSIPKLGFLLLCCLAALTEATYVKYKDPKQPLGVRIKDLMRRMTLAEKIGQMTQIERTVATPDAMKNYFIGSVLSGGGSVPAQKATPETWIEMVNTMQKASLSTRLGIPMIYGIDAVHGHNNVYKATIFPHNIGLGVTRDPNLVKRIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQMMTEIITGLQGGLPVHSKKGVPFVAGKNKVAACAKHYVGDGGTTKGINENNTVISWNGLLGIHMPAYFNSIAKGVATIMTSYSSWNGKKMHANHDLVTDFLKNKLKFRGFVISDWQGLDRITSPPHANYSYSVEAGVGAGIDMVMVPYNFTEFIDDLTYQVKNNIIPMSRIDDAVKRILRVKFVMGLFENPMADNSLVNQLGSQVC >KJB35516 pep chromosome:Graimondii2_0_v6:6:36780707:36785064:-1 gene:B456_006G118100 transcript:KJB35516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFSIPKLGFLLLCCLAALTEATYVKYKDPKQPLGVRIKDLMRRMTLAEKIGQMTQIERTVATPDAMKNYFIGSVLSGGGSVPAQKATPETWIEMVNTMQKASLSTRLGIPMIYGIDAVHGHNNVYKATIFPHNIGLGVTRDPNLVKRIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQMMTEIITGLQGGLPVHSKKGVPFVAGKNKVAACAKHYVGDGGTTKGINENNTVISWNGLLGIHMPAYFNSIAKGVATIMTSYSSWNGKKMHANHDLVTDFLKNKLKFRGFVISDWQGLDRITSPPHANYSYSVEAGVGAGIDMVMVPYNFTEFIDDLTYQVKNNIIPMSRIDDAVKRILRVKFVMGLFENPMADNSLVNQLGSQAREAVRKSLVLLKNGESADKPLLPLPKKATKILVAGTHADNLGYQCGGWTITWQGLGGNDLTTGTTILQAVKNTVDSSTQVVYSENPDAGFVKSGEFSYAIVVVGEPPYAETYGDSLNLTISEPGPKTIYNVCGSVKCVVVVISGRPVVVQPFVSSVHALVAAWLPGTEGQGVADVLFGDYGFTGKLARTWFKTVDQLPMNVGDSHYDPLFPFGFGLTTKPTHQN >KJB35515 pep chromosome:Graimondii2_0_v6:6:36782060:36784692:-1 gene:B456_006G118100 transcript:KJB35515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFSIPKLGFLLLCCLAALTEATYVKYKDPKQPLGVRIKDLMRRMTLAEKIGQMTQIERTVATPDAMKNYFIGSVLSGGGSVPAQKATPETWIEMVNTMQKASLSTRLGIPMIYGIDAVHGHNNVYKATIFPHNIGLGVTRDPNLVKRIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQMMTEIITGLQGGLPVHSKKGVPFVAGKNKVAACAKHYVGDGGTTKGINENNTVISWNGLLGIHMPAYFNSIAKGVATIMTSYSSWNGKKMHANHDLVTDFLKNKLKFRGFVISDWQGLDRITSPPHANYSYSVEAGVGAGIDMVMVPYNFTEFIDDLTYQVKNNIIPMSRIDDAVKRILRVKFVMGLFENPMADNSLVNQLGSQVCKGSCEEKSCPTEEW >KJB38107 pep chromosome:Graimondii2_0_v6:6:48592595:48594298:1 gene:B456_006G238600 transcript:KJB38107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPEYTVESKCETTEEEKSSSASSEVVIGIDIGTSQCSVAVWNGSEVELLKNTRNQPLIRFDVPVDNDIPLGEVNYHLSRKHERLSGASILNMKRLIGRVDTDRIVHASKNFPFLVQTLDMGVRPFIAALVNNVWKSTTPEEVLALYLAELRIMAESKLKRPIKDVVLCIPVSFSRIQLTRIERACSIAGLHVLRLMPEPAAVALQYAQQQQHMLRDKTGRVGKRIALVFNMGAGFCDVAVADIDGGVTEIKALSGSEIGGEDLLENMMRHLLPSFDKLDIKSMGILRVAIQEAIHKLSLEESVQIDVDLGNGSRLCKEVKREEFEEVNKYIFCESEILIVECMQNGDVNVKDLTDVIVVGGCSCIPKIRNLVENLCEREIYKGMNPLTAAVYGTALEGALTSGIDDPLGDVDLLTSQVTTLGIGIRANRFEFVPVIPQNTTMPVHKEMIFTTFKDNQTEALIVVYEGEPELEDENHLLGYFKITGIPPALEGVPEINVGMDINASNELSVLARVMIPGSRQPVVPVMELKMPTVDDGHSCCNEVLDRAYGPTLDSMIVRRKNHSI >KJB35851 pep chromosome:Graimondii2_0_v6:6:38431450:38436847:-1 gene:B456_006G130500 transcript:KJB35851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTKEMGLRNVSSTCSINEMDDYDLSRLLDKPRLNIERQRSFDERSLSELSIGLTRAGLDNYETTYSPGGRSRSGFDTPASSTRNSFEPHPMVAEAWEALRRSLVYFRDQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLQLQGWEKRIDRFKLGEGAMPASFKVLHDPVRKSDTIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALSMLKHDAEGKECIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSMAIMDLIEARWDELVGEMPLKIAYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPGKTITLKSILTLALLFL >KJB35848 pep chromosome:Graimondii2_0_v6:6:38430403:38436906:-1 gene:B456_006G130500 transcript:KJB35848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTKEMGLRNVSSTCSINEMDDYDLSRLLDKPRLNIERQRSFDERSLSELSIGLTRAGLDNYETTYSPGGRSRSGFDTPASSTRNSFEPHPMVAEAWEALRRSLVYFRDQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLQLQGWEKRIDRFKLGEGAMPASFKVLHDPVRKSDTIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALSMLKHDAEGKECIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSMAIMDLIEARWDELVGEMPLKIAYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPLIARRAIDLAETRLFKDSWPEYYDGKLGRYIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPLLKRSSSWNC >KJB35849 pep chromosome:Graimondii2_0_v6:6:38430511:38436049:-1 gene:B456_006G130500 transcript:KJB35849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTKEMGLRNVSSTCSINEMDDYDLSRLLDKPRLNIERQRSFDERSLSELSIGLTRAGLDNYETTYSPGGRSRSGFDTPASSTRNSFEPHPMVAEAWEALRRSLVYFRDQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLQLQGWEKRIDRFKLGEGAMPASFKVLHDPVRKSDTIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALSMLKHDAEGKECIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSMAIMDLIEARWDELVGEMPLKIAYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPLIARRAIDLAETRLFKDSWPEYYDGKLGRYIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPLLKRSSSWNC >KJB35850 pep chromosome:Graimondii2_0_v6:6:38430403:38436353:-1 gene:B456_006G130500 transcript:KJB35850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTKEMGLRNVSSTCSINEMDDYDLSRLLDKPRLNIERQRSFDERSLSELSIGLTRAGLDNYETTYSPGGRSRSGFDTPASSTRNSFEPHPMVAEAWEALRRSLVYFRDQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLQLQGWEKRIDRFKLGEGAMPASFKVLHDPVRKSDTIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALSMLKHDAEGKECIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSMAIMDLIEARWDELVGEMPLKIAYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPLIARRAIDLAETRLFKDSWPEYYDGKLGRYIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPLLKRSSSWNC >KJB35139 pep chromosome:Graimondii2_0_v6:6:34222081:34227803:1 gene:B456_006G102100 transcript:KJB35139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAMLSELATEIVVPVCAVIGIAFSLVQWVMVSRVKLTSERHASSANSSKNGYGDYLIEEEEGINDHSVVTKCADIQNAISEGATSFLFTEYQYVGIFMIAFAILIFLFLGSVEGFSMKSQPCTYDKEKMCKPALATAIFSTVSFLLGAITSVLSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMLYPLLISSVGILVCLITTLFATDLFEIKVVKEIEPALKKQLIISTILMTVGIAIVTWIGVPSSFTIYNFGVQKVVKNWQLFLCVGVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAITTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGHAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTFFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHAMTLGPKGSDPHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >KJB36289 pep chromosome:Graimondii2_0_v6:6:40994775:40996940:-1 gene:B456_006G150800 transcript:KJB36289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEFIIRLLMLILGYAYPAFECFKTVEKNKVEMQELRFWCQYWILLAFLTVFERIGDIFISWLPMYGELKLTLLIYLWYPKTKGSDYVYDTWLRPYMARHGTEVDKKVQEVRGRAWDLALYYWQNCTELGQSKFWEMLQSPSLNMKKSKKTINRSTAESGNSGYEIPDSPSHSGIYQALLKLRRTKPHN >KJB36288 pep chromosome:Graimondii2_0_v6:6:40994775:40996980:-1 gene:B456_006G150800 transcript:KJB36288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEFIIRLLMLILGYAYPAFECFKTVEKNKVEMQELRFWCQYWILLAFLTVFERIGDIFISWLPMYGELKLTLLIYLWYPKTKGSDYVYDTWLRPYMARHGTEVDKKVQEVRGRAWDLALYYWQNCTELGQSKFWEMLQSPSLNMKKSKKTINRSTAESGNSGYEIPDSPSHSGIYQALLKLRRTKPHN >KJB33030 pep chromosome:Graimondii2_0_v6:6:51049828:51050364:1 gene:B456_006G2749004 transcript:KJB33030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHVWANFCLAHHNGKLLDDDAALHDFGVRNNSQVHFLPYVVSKGSGRHSKRRKHRFFHGLSKLS >KJB33025 pep chromosome:Graimondii2_0_v6:6:51049827:51051519:1 gene:B456_006G2749004 transcript:KJB33025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHVWANFCLAHHNGKLLDDDAALHDFGVRNNSQVHFLPYVVSKGSGRHSKRRKHRFFHGLSKLS >KJB33028 pep chromosome:Graimondii2_0_v6:6:51049827:51050523:1 gene:B456_006G2749004 transcript:KJB33028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHVWANFCLAHHNGKLLDDDAALHDFGVRNNSQVHFLPYVVSKGSGRHSKRRKHRFFHGLSKLS >KJB33024 pep chromosome:Graimondii2_0_v6:6:51049827:51051159:1 gene:B456_006G2749004 transcript:KJB33024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHVWANFCLAHHNGKLLDDDAALHDFGVRNNSQVHFLPYVVSKGSGRHSKRRKHRFFHGLSKLS >KJB33027 pep chromosome:Graimondii2_0_v6:6:51049827:51051159:1 gene:B456_006G2749004 transcript:KJB33027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHVWANFCLAHHNGKLLDDDAALHDFGVRNNSQVHFLPYVVSKGSGRHSKRRKHRFFHGLSKLS >KJB33029 pep chromosome:Graimondii2_0_v6:6:51049827:51051022:1 gene:B456_006G2749004 transcript:KJB33029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHVWANFCLAHHNGKLLDDDAALHDFGVRNNSQVHFLPYVVSKGSGRHSKRRKHRFFHGLSKLS >KJB33026 pep chromosome:Graimondii2_0_v6:6:51049828:51050364:1 gene:B456_006G2749004 transcript:KJB33026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHVWANFCLAHHNGKLLDDDAALHDFGVRNNSQVHFLPYVVSKGSGRHSKRRKHRFFHGLSKLS >KJB33023 pep chromosome:Graimondii2_0_v6:6:51049827:51050547:1 gene:B456_006G2749004 transcript:KJB33023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHVWANFCLAHHNGKLLDDDAALHDFGVRNNSQVHFLPYVVSKGSGRHSKRRKHRFFHGLSKLS >KJB35106 pep chromosome:Graimondii2_0_v6:6:34068584:34072452:1 gene:B456_006G100400 transcript:KJB35106 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KATNA1 MVGNSLAGLQDHLKLAREYALEGLYDTSIIFFDGAIAQINKHLNTLDDPLIRSKWMNVKKALSEETEVVKQLDAERRSFKEAPNGRRPSSPPIHAKSSFVFQPLDEYPTSSGAPMDDPDVWRPPSRDTSTRRPARGGQAGMRKSPQDGISGRGNTRTAATGRGAKAGASSRTNTGVRGSTTGKKGTGSGKSSKGDSANGDAEDGKLKRSQYEGPDPDLAEMLERDVLETTPGVRWDDVAGLTEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNTGTNEDGSRKIVMVLAATNFPWDIDEALR >KJB35105 pep chromosome:Graimondii2_0_v6:6:34068484:34072475:1 gene:B456_006G100400 transcript:KJB35105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KATNA1 MVGNSLAGLQDHLKLAREYALEGLYDTSIIFFDGAIAQINKHLNTLDDPLIRSKWMNVKKALSEETEVVKQLDAERRSFKEAPNGRRPSSPPIHAKSSFVFQPLDEYPTSSGAPMDDPDVWRPPSRDTSTRRPARGGQAGMRKSPQDGISGRGNTRTAATGRGAKAGASSRTNTGVRGSTTGKKGTGSGKSSKGDSANGDAEDGKLKRSQYEGPDPDLAEMLERDVLETTPGVRWDDVAGLTEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNTGTNEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIRINLKTVEVAADVDIDEVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMSKDEISKDPVAMCDFEEALAKVQRSVSQADIEKHEKWFSEFGSA >KJB35814 pep chromosome:Graimondii2_0_v6:6:38707976:38714867:-1 gene:B456_006G132700 transcript:KJB35814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCGTSGECWEWARVYLDDCICSPRDQVSFGLGLISVLSWGVAEIPQIITNYKEKSVEGLSLGFLITWIIGDLFNLFGCVLEPATLPTQYYMAVLYTMTTLILAAQAVYYGHICPRLNYNNMCNKDSKEYQPEGVDKIGESISNFRVKQLTDVDRSSSPIPLPKSSPGRELYYRSARSLSSSHTPTAGSLLAQRMTPPHSGNLVEEPLLSAYVAKQSTPPSSTKSLLCLVSAVMFISLFNFQLSAVSKVHIGNEKINLGFVIKTGRKLLQANAVSLGDNGFEGGSKVGTFLGWAMAAIYMGGRLPQICLNIERGNVEGLNPFMFIFALVGNSTYVASILVRSMDWFRIRPNLPWLVDAGGCVLLDTFVSLLRMVMVSFILTVLNQCVL >KJB35811 pep chromosome:Graimondii2_0_v6:6:38708333:38714616:-1 gene:B456_006G132700 transcript:KJB35811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCGTSGECWEWARVYLDDCICSPRDQVSFGLGLISVLSWGVAEIPQIITNYKEKSVEGLSLGFLITWIIGDLFNLFGCVLEPATLPTQYYMAVLYTMTTLILAAQAVYYGHICPRLNYNNMCNKDSKEYQPEGVDKIGESISNFRVKQLTDVDRSSSPIPLPKSSPGRELYYRSARSLSSSHTPTAGSLLAQRMTPPHSGNLVEEPLLSAYVAKQSTPPSSTKSLLCLVSAVMFISLFNFQLSAVSKVHIGNEKINLGFVIKTGRKLLQANAVSLGDNGFEGGSKVGTFLGWAMAAIYMGGRLPQICLNIERGNVEGLNPFMFIFALVGNSTYVASFSFPSYFLSL >KJB35813 pep chromosome:Graimondii2_0_v6:6:38707360:38715011:-1 gene:B456_006G132700 transcript:KJB35813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCGTSGECWEWARVYLDDCICSPRDQVSFGLGLISVLSWGVAEIPQIITNYKEKSVEGLSLGFLITWIIGDLFNLFGCVLEPATLPTQYYMAVLYTMTTLILAAQAVYYGHICPRLNYNNMCNKDSKEYQPEGVDKIGESISNFRVKQLTDVDRSSSPIPLPKSSPGRELYYRSARSLSSSHTPTAGSLLAQRMTPPHSGNLVEEPLLSAYVAKQSTPPSSTKSLLCLVSAVMFISLFNFQLSAVSKVHIGNEKINLGFVIKTGRKLLQANAVSLGDNGFEGGSKVGTFLGWAMAAIYMGGRLPQICLNIERGNVEGLNPFMFIFALVGNSTYVASILVRSMDWFRIRPNLPWLVDAGGCVLLDTFIVIQFICFYKWAPQDSEDKHETS >KJB35812 pep chromosome:Graimondii2_0_v6:6:38706617:38715011:-1 gene:B456_006G132700 transcript:KJB35812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCGTSGECWEWARVYLDDCICSPRDQVSFGLGLISVLSWGVAEIPQIITNYKEKSVEGLSLGFLITWIIGDLFNLFGCVLEPATLPTQYYMAVLYTMTTLILAAQAVYYGHICPRLNYNNMCNKDSKEYQPEGVDKIGESISNFRVKQLTDVDRSSSPIPLPKSSPGRELYYRSARSLSSSHTPTAGSLLAQRMTPPHSGNLVEEPLLSAYVAKQSTPPSSTKSLLCLVSAVMFISLFNFQLSAVSKVHIGNEKINLGFVIKTGRKLLQANAVSLGDNGFEGGSKVGTFLGWAMAAIYMGGRLPQICLNIERGNVEGLNPFMFIFALVGNSTYVASILVRSMDWFRIRPNLPWLVDAGGCVLLDTFIVIQFICFYKWAPQDSEDKHETS >KJB37260 pep chromosome:Graimondii2_0_v6:6:45298866:45304442:1 gene:B456_006G196100 transcript:KJB37260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEIGEEEFYSIEESIEGERCFDLDYEFDAPRCFDFNHLETDCEAKEAELWFESAGSYPPSPFVIKLKWRYDMNGDGEFGNDSTDCDEHNGEPCNSYCEGNPTAKTNSRSKASLSRSSTLMKPTASYLAKQNQSRMVISNKYQKRLLKSADRLDKSNSFNEDNATKRQKLEAGYLCKAAHLKHQSLFVHKKPNKVQSLDGSLHAKPKVTIPKEPELQTARRAQRHRNKGKAESDENAKSNVHLFKALPLNKKILQAPSLPLPKKSLPQPPEFQLFHLRTSERARQHASTHAMKVPSYVSTSRNENTGLRSFKSISSLKEEKHEAVNKFKACALNKKAISSKGENGVLQNMNQTTATMKLPDEAPVELFDKLTLSAEVHSGEKPRERIALSEGLKENEPGTLLLQCQIMKVAKEKLQRNGRMQYQCRRERNAVIGQQENISRRLRLDIM >KJB37259 pep chromosome:Graimondii2_0_v6:6:45298866:45304165:1 gene:B456_006G196100 transcript:KJB37259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEIGEEEFYSIEESIEGERCFDLDYEFDAPRCFDFNHLETDCEAKEAELWFESAGSYPPSPFVIKLKWRYDMNGDGEFGNDSTDCDEHNGEPCNSYCEGNPTAKTNSRSKASLSRSSTLMKPTASYLAKQNQSRMVISNKYQKRLLKSADRLDKSNSFNEDNATKRQKLEAGYLCKAAHLKHQSLFVHKKPNKVQSLDGSLHAKPKVTIPKEPELQTARRAQRHRNKGKAESDENAKSNVHLFKALPLNKKILQAPSLPLPKKSLPQPPEFQLFHLRTSERARQHASTHAMKVPSYVSTSRNENTGLRSPFFPLLCVHSFKSISSLKEEKHEAVNKFKACALNKKAISSKGENGVLQNMNQTTATMKLPDEAPVELFDKLTLSAEVHSGEKPRERIALSEGLKENEPGTLLLQCQIMKVAKEKLQRNGRMQYQCRRERNAVIGQQENISRRLRLDIM >KJB37256 pep chromosome:Graimondii2_0_v6:6:45298866:45302499:1 gene:B456_006G196100 transcript:KJB37256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEIGEEEFYSIEESIEGERCFDLDYEFDAPRCFDFNHLETDCEAKEAELWFESAGSYPPSPFVIKLKWRYDMNGDGEFGNDSTDCDEHNGEPCNSYCEGNPTAKTNSRSKASLSRSSTLMKPTASYLAKQNQSRMVISNKYQKRLLKSADRLDKSNSFNEDNATKRQKLEAGYLCKAAHLKHQSLFVHKKPNKVQSLDGSLHAKPKVTIPKEPELQTARRAQRHRNKGKAESDENAKSNVHLFKALPLNKKILQAPSLPLPKKSLPQPPEFQLFHLRTSERARQHASTHVGYLKFYLHTAPVFYLSVFSSLTLFGFHMSLLFSIVGTSVAGNEST >KJB37258 pep chromosome:Graimondii2_0_v6:6:45298866:45304148:1 gene:B456_006G196100 transcript:KJB37258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEIGEEEFYSIEESIEGERCFDLDYEFDAPRCFDFNHLETDCEAKEAELWFESAGSYPPSPFVIKLKWRYDMNGDGEFGNDSTDCDEHNGEPCNSYCEGNPTAKTNSRSKASLSRSSTLMKPTASYLAKQNQSRMVISNKYQKRLLKSADRLDKSNSFNEDNATKRQKLEAGYLCKAAHLKHQSLFVHKKPNKVQSLDGSLHAKPKVTIPKEPELQTARRAQRHRNKGKAESDENAKSNVHLFKALPLNKKILQAPSLPLPKKSLPQPPEFQLFHLRTSERARQHASTHAMKVPSYVSTSRNENTGLRSFKSISSLKEEKHEAVNKFKACALNKKAISSKGENGVLQNMNQTTATMKLPDEAPVELFDKLTLSAEVHSGEKPRERIALSEGLKENEPDHESGQGKIAKEWKDAVPV >KJB37257 pep chromosome:Graimondii2_0_v6:6:45298866:45303469:1 gene:B456_006G196100 transcript:KJB37257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEIGEEEFYSIEESIEGERCFDLDYEFDAPRCFDFNHLETDCEAKEAELWFESAGSYPPSPFVIKLKWRYDMNGDGEFGNDSTDCDEHNGEPCNSYCEGNPTAKTNSRSKASLSRSSTLMKPTASYLAKQNQSRMVISNKYQKRLLKSADRLDKSNSFNEDNATKRQKLEAGYLCKAAHLKHQSLFVHKKPNKVQSLDGSLHAKPKVTIPKEPELQTARRAQRHRNKGKAESDENAKSNVHLFKALPLNKKILQAPSLPLPKKSLPQPPEFQLFHLRTSERARQHASTHAMKVPSYVSTSRNENTGLRSFKSISSLKEEKHEAVNKFKACALNKKAISSKGENGVLQNMNQTTATMKLPDEAPVELFDKLTLSAEVHSGEKPRERIALSEGLKENEPGTLLLQCQIMKVAKEKLQRNGRMQYQCRRERNAVIGQQENISRYSLVYPVRFVMLGFPPTFISNFDLWVFFSFSAITSWFQQLFPLLYENN >KJB38457 pep chromosome:Graimondii2_0_v6:6:49781564:49784736:-1 gene:B456_006G255400 transcript:KJB38457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDSGSTHFTDFRSSDTNLNGGECMNPMVVNAFPVGIVHVINSGNVESEGDLRMEPSVGLEFNSSDEARDFYGLYAMRVGFKIRTGQLYRSRRDGSVSSRRFVCSKEGFQLNSRTGCPAFIRVQRRDSGKWVIDQIQKDHNHELGLEGENNSPVLQQKPPVAPKSLVEVLRRPKVKLLGQIENGGSSPSGIINFKRQKRGGDGRQPLPEPYTGLEFNTANEAYEYYQSYAESVGFRVRIGQLFRSKNDGSITSRRFVCSKEGFQHPSRVGCGAFMRIKKKDSGSWMVDRLNKDHNHDLGEQTVYVNKFSTASKKFIEEETNGMVSMDLGEMNTGKLIKRSRESKIGTDWYPVLLEYFQTRQAEDTGFFYSVEVDNGSCRSVFWADGRSRYSCSQFGDVVILDTSYRKSNYVVPFATFVGVNHHKQPVLLGSALIANESKECFTWLFQTWFKAMSCCRPKSIIADQDMAIQQAITEVFPGAHHQFSSWQIRAKERENLRSMPIEFRYEYEKCIYESQTTVEFNTTWNSLVNKYNLKGNAWLQQMYDKRESWVPLYLRGKFFAGIPIGETVESFFGTQVNGQTPLREFIPRYEQGLEQCREDERKEDFNSFNLQSFSQTKEAIEEQCRRLYTFTIFKIFQDELLQSYSYIGIKTYEEGTICRYLVRRSGHENDKHAVTFSAVNLSVNCSCQMFEFEGVVCRHVLKVFKLLNIRELPSQYILPRWLRNAEYRILCGAESRVSSQELKAFMIWSLRETACKYVECGTTSVEKYKLAYEIMREGGKRLY >KJB38456 pep chromosome:Graimondii2_0_v6:6:49781327:49785037:-1 gene:B456_006G255400 transcript:KJB38456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMVVNAFPVGIVHVINSGNVESEGDLRMEPSVGLEFNSSDEARDFYGLYAMRVGFKIRTGQLYRSRRDGSVSSRRFVCSKEGFQLNSRTGCPAFIRVQRRDSGKWVIDQIQKDHNHELGLEGENNSPVLQQKPPVAPKSLVEVLRRPKVKLLGQIENGGSSPSGIINFKRQKRGGDGRQPLPEPYTGLEFNTANEAYEYYQSYAESVGFRVRIGQLFRSKNDGSITSRRFVCSKEGFQHPSRVGCGAFMRIKKKDSGSWMVDRLNKDHNHDLGEQTVYVNKFSTASKKFIEEETNGMVSMDLGEMNTGKLIKRSRESKIGTDWYPVLLEYFQTRQAEDTGFFYSVEVDNGSCRSVFWADGRSRYSCSQFGDVVILDTSYRKSNYVVPFATFVGVNHHKQPVLLGSALIANESKECFTWLFQTWFKAMSCCRPKSIIADQDMAIQQAITEVFPGAHHQFSSWQIRAKERENLRSMPIEFRYEYEKCIYESQTTVEFNTTWNSLVNKYNLKGNAWLQQMYDKRESWVPLYLRGKFFAGIPIGETVESFFGTQVNGQTPLREFIPRYEQGLEQCREDERKEDFNSFNLQSFSQTKEAIEEQCRRLYTFTIFKIFQDELLQSYSYIGIKTYEEGTICRYLVRRSGHENDKHAVTFSAVNLSVNCSCQMFEFEGVVCRHVLKVFKLLNIRELPSQYILPRWLRNAEYRILCGAESRVSSQELKAFMIWSLRETACKYVECGTTSVEKYKLAYEIMREGGKRLY >KJB38463 pep chromosome:Graimondii2_0_v6:6:49793331:49797402:1 gene:B456_006G255600 transcript:KJB38463 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MRGHDWINTCLPDELILEILRRLDSKSSHDACSLVCKRWLGLERLSRSTLRIGASGSPDIFIKFLAQRFVNVKAVHIDERLSISLPVTAGKRRRRDENSLLSLKIHFAGERNEPKEEECEPFCLTDSGLTAVADGFAKLEKLSLIWCSNVTSFGVMSLAQKCSLLKSLDLQGCYVGDQGLAVVGQCCKQLEDLNLRFCESLTDSGLVTLATECGKSLKSLGVAACARITDKSLEAVGSHCKNLETLSLDSEFISNKGILAIAQGCPLLKVLKLQCINVTDRALMAVGASCLSLEMLALYSFQQFTDEGLEAIATGCTELTHLEVNGCHNIGTIGLESVGKSCPRLTELALLYCQRVGNFALTEVGRGCKYLQALHLVDCSSIGDDAICSIAKGCRNLKKLHIRRCYEVGSKGIVAVGENCHSLTDLSLRFCDRVRDEALIAVGHGCPLKYLNVSGCNQIGDAGIVAVARGCPNLTYLDVSVLQNLCDIALTELGEGCPLLKDIVLSHCHQITDIGLSHLVKNCQMLESCHMVYCPSITAAGVATVVSSCPNIKKVLVEKWKVSPRTKRRASSVLSYLCVDL >KJB38462 pep chromosome:Graimondii2_0_v6:6:49793331:49797402:1 gene:B456_006G255600 transcript:KJB38462 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MMPVLSSVNVGSVSSGLAVPPSESAPLVALIFLSSSSLNGSLMSRLFISTRGYRFLCLLPRRRRDENSLLSLKIHFAGERNEPKEEECEPFCLTDSGLTAVADGFAKLEKLSLIWCSNVTSFGVMSLAQKCSLLKSLDLQGCYVGDQGLAVVGQCCKQLEDLNLRFCESLTDSGLVTLATECGKSLKSLGVAACARITDKSLEAVGSHCKNLETLSLDSEFISNKGILAIAQGCPLLKVLKLQCINVTDRALMAVGASCLSLEMLALYSFQQFTDEGLRSIGKGCKKLKNLTLSDCNFLGDRGLEAIATGCTELTHLEVNGCHNIGTIGLESVGKSCPRLTELALLYCQRVGNFALTEVGRGCKYLQALHLVDCSSIGDDAICSIAKGCRNLKKLHIRRCYEVGSKGIVAVGENCHSLTDLSLRFCDRVRDEALIAVGHGCPLKYLNVSGCNQIGDAGIVAVARGCPNLTYLDVSVLQNLCDIALTELGEGCPLLKDIVLSHCHQITDIGLSHLVKNCQMLESCHMVYCPSITAAGVATVVSSCPNIKKVLVEKWKVSPRTKRRASSVLSYLCVDL >KJB38464 pep chromosome:Graimondii2_0_v6:6:49793331:49797489:1 gene:B456_006G255600 transcript:KJB38464 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MRGHDWINTCLPDELILEILRRLDSKSSHDACSLVCKRWLGLERLSRSTLRIGASGSPDIFIKFLAQRFVNVKAVHIDERLSISLPVTAGKRRRRDENSLLSLKIHFAGERNEPKEEECEPFCLTDSGLTAVADGFAKLEKLSLIWCSNVTSFGVMSLAQKCSLLKSLDLQGCYVGDQGLAVVGQCCKQLEDLNLRFCESLTDSGLVTLATECGKSLKSLGVAACARITDKSLEAVGSHCKNLETLSLDSEFISNKGILAIAQGCPLLKVLKLQCINVTDRALMAVGASCLSLEMLALYSFQQFTDEGLRSIGKGCKKLKNLTLSDCNFLGDRGLEAIATGCTELTHLEVNGCHNIGTIGLESVGKSCPRLTELALLYCQRVGNFALTEVGRGCKYLQALHLVDCSSIGDDAICSIAKGCRNLKKLHIRRCYEVGSKGIVAVGENCHSLTDLSLRFCDRVRDEALIAVGHGCPLKYLNVSGCNQIGDAGIVAVARGCPNLTYLDVSVLQNLCDIALTELGEGCPLLKDIVLSHCHQITDIGLSHLVKNCQMLESCHMVYCPSITAAGVATVVSSCPNIKKVLVEKWKVSPRTKRRASSVLSYLCVDL >KJB38461 pep chromosome:Graimondii2_0_v6:6:49793504:49796943:1 gene:B456_006G255600 transcript:KJB38461 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MRGHDWINTCLPDELILEILRRLDSKSSHDACSLVCKRWLGLERLSRSTLRIGASGSPDIFIKFLAQRFVNVKAVHIDERLSISLPVTAGKRRRRDENSLLSLKIHFAGERNEPKEEECEPFCLTDSGLTAVADGFAKLEKLSLIWCSNVTSFGVMSLAQKCSLLKSLDLQGCYVGDQGLAVVGQCCKQLEDLNLRFCESLTDSGLVTLATECGKSLKSLGVAACARITDKSLEAVGSHCKNLETLSLDSEFISNKGILAIAQGCPLLKVLKLQCINVTDRALMAVGASCLSLEMLALYSFQQFTDEGLRSIGKGCKKLKNLTLSDCNFLGDRGLEAIATGCTELTHLEVNGCHNIGTIGLESVGKSCPRLTELALLYCQRVGNFALTEVGRGCKYLQALHLVDCSSIGDDAICSIAKGCRNLKKLHIRRCYEVGSKGIVAVGENCHSLTDLSLRFCDRVRDEALIAVGHGCPLKYLNVSGCNQIGDAGIVAVARGCPNLTYLDVSVLQVICAI >KJB37398 pep chromosome:Graimondii2_0_v6:6:45917470:45921746:-1 gene:B456_006G202900 transcript:KJB37398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFMVFGALSAVVAALELSKNSKDRINTSPAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFGKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCITYILSCITKHSPEYRILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLIAILSGLFGNLLVDSLSLGPVAPFDAAACFLAIGMAIIFSSWTENFGDPSENKDLLTQFRGAAIAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLTHFPSPLCLVCARFSSSWHLSCKDG >KJB37395 pep chromosome:Graimondii2_0_v6:6:45917454:45921912:-1 gene:B456_006G202900 transcript:KJB37395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFMVFGALSAVVAALELSKNSKDRINTSPAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFGKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCITYILSCITKHSPEYRILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLIAILSGLFGNLLVDSLSLGPVAPFDAAACFLAIGMAIIFSSWTENFGDPSENKDLLTQFRGAAIAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSAPRVESYLQIVFVISSASLLLPIITNFLVAPSKVKGGSISFAGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVDAFPITIMFGMCSIFLFVASILQRRLMAISDKPKMESWTAMDPEAEPLND >KJB37397 pep chromosome:Graimondii2_0_v6:6:45917470:45921746:-1 gene:B456_006G202900 transcript:KJB37397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFMVFGALSAVVAALELSKNSKDRINTSPAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFGKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCITYILSCITKHSPEYRILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLIAILSGLFGNLLVDSLSLGPVAPFDAAACFLAIGMAIIFSSWTENFGDPSENKDLLTQFRGAAIAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSAPRVESYLQIVFVISSASLLLPIITNFLVAPSKVKGGSISFAGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVDAFPITIMFGMCSIFLFVASILQRRLMAISDKPSTWKVGQQWTLRLSH >KJB37396 pep chromosome:Graimondii2_0_v6:6:45917470:45921746:-1 gene:B456_006G202900 transcript:KJB37396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFMVFGALSAVVAALELSKNSKDRINTSPAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFGKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCITYILSCITKHSPEYRILMIGRVLGGIATSLLFSAFESWLVAEHNKVRGFEQQWLSLTFSKAIFLGNGLIAILSGLFGNLLVDSLSLGPVAPFDAAACFLAIGMAIIFSSWTENFGDPSENKDLLTQFRGAAIAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSAPRVESYLQIVFVISSASLLLPIITNFLVAPSKVKGGSISFAGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVDAFPITIMFGMCSIFLFVASILQRRLMAISDKPKMESWTAMDPEAEPLND >KJB36901 pep chromosome:Graimondii2_0_v6:6:43949664:43951397:-1 gene:B456_006G182000 transcript:KJB36901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSLHFSPTPLITAPSPLSKPFFLVPYYHTLHAPKSPLCSSMEHALTHQGKQEAFDRRPEDSQTLPLGLRRDAMPSHVAVIMDGNRRWARLRDLPVRSGYEAGVESLRKIVEICCKWGIKVLSVFAFSSDNWFRPKVEVEFLMSLFERGMQEDTGIFFRENIRISVIGDLTKLPKALQELMVNLEEATKNNTRFQLIVAVSYSGHYDVVQACQRLALKAKCGLIEPSDINVSLMEQELETNCTEFPHPDLLIRTSGEFRISNFMLWQLAYTELFFAQSLWPDFGEAEFLKALLAFQQRQRRYGV >KJB35417 pep chromosome:Graimondii2_0_v6:6:36208104:36209350:1 gene:B456_006G114000 transcript:KJB35417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEDPDRVSRHIKIREVWSHNLDSEFELISQAIDEYPFISMDTEFPGLVFRPKVDPTRPYGAQFRPSDHYKVLKSNVDALNLIQVGLTLTDSSGNLPTLGTDDVQFIWEFNFRDFDVDRDAHAPDSIELLRRQGIDFEKNKEKGIDSLRFAELMMSSGLVCNESVSWVTFHSAYDFGYLVKILTRRDLPGGLDEFLRVLRVFFGNRVYDVKHMMKFCKSLYGGLDRVARTLDVNRAVGKCHQAGSDSLLTWHAFQKIRDVYFVNDGPEKHAGVLYGLEIH >KJB35971 pep chromosome:Graimondii2_0_v6:6:43359563:43363283:1 gene:B456_006G175600 transcript:KJB35971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETVLQKQT >KJB35969 pep chromosome:Graimondii2_0_v6:6:43359563:43363283:1 gene:B456_006G175600 transcript:KJB35969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKAEPQAIKINQQDQGAGAAAAAQKSSCCGA >KJB35972 pep chromosome:Graimondii2_0_v6:6:43359496:43363283:1 gene:B456_006G175600 transcript:KJB35972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKAEPQAIKINQQDQGAGAAAAAQKSSCCGA >KJB35970 pep chromosome:Graimondii2_0_v6:6:43359496:43369676:1 gene:B456_006G175600 transcript:KJB35970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKAEPQAIKINQQDQGAGPAAAAQKSSCCGA >KJB35973 pep chromosome:Graimondii2_0_v6:6:43360405:43363283:1 gene:B456_006G175600 transcript:KJB35973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCCWAGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKAEPQAIKINQQDQGAGAAAAAQKSSCCGA >KJB35041 pep chromosome:Graimondii2_0_v6:6:33627077:33632411:1 gene:B456_006G096800 transcript:KJB35041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVTVCVAVVCGVAVTAAAAVVIHRKMKKSGKWVKAMEIVKEFEESCRTPISKLKQVADAMTVEMHAGLASEGGSKLKMLITYVDSLPTGDEKGLFYALDLGGTNFRVLRVLLGGKDGGILKQQFKEVSIPPNKMTGSSAALFDYIAAELAKFVAQEEDDFKPTSGRPRELGFTFSFPVMQTSIASGTLLRWTKGFSIDETVGQDVVAELTKALERQGLEMRVSALVNDTVGTLAGGKYANNDVVVSVILGTGSNAAYVERAQAIPKWHGLLPKSGEMVINMEWGNFRSSHLPLTEYDQALDAESLNPGEQIYEKVISGMYLGEIFRRVLCRMAKEAFFGDIVPPKLKEPFILGTPVMSAMHHDTSPDLKVVANNLKDILEISNTSLKMRKVIVQLCDIVATRGARLSAAGLLGILKKMGRDTIKEGEKQKTVIAMDGGLYEHYEEYRNSLENCLKELLGEEVFKTIKIEHSNDGSGIGAALLAASHSQYLKDES >KJB35042 pep chromosome:Graimondii2_0_v6:6:33627116:33631699:1 gene:B456_006G096800 transcript:KJB35042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVTVCVAVVCGVAVTAAAAVVIHRKMKKSGKWVKAMEIVKEFEESCRTPISKLKQVADAMTVEMHAGLASEGGSKLKMLITYVDSLPTGDEKGLFYALDLGGTNFRVLRVLLGGKDGGILKQQFKEVSIPPNKMTGSSAALFDYIAAELAKFVAQEEDDFKPTSGRPRELGFTFSFPVMQTSIASGTLLRWTKGFSIDETVGQDVVAELTKALERQGLEMRVSALVNDTVGTLAGGKYANNDVVVSVILGTGSNAAYVERAQAIPKWHGLLPKSGEMVINMEWGNFRSSHLPLTEYDQALDAESLNPGEQIYEKVISGMYLGEIFRRVLCRMAKEAFFGDIVPPKLKEPFILGTPVMSAMHHDTSPDLKVVANNLKDILEVYFDLVNLSSFSVIIIFLPEFLVFPSHSLRGFLSMLFGIAFKFRYGYF >KJB36124 pep chromosome:Graimondii2_0_v6:6:40032396:40037872:-1 gene:B456_006G142400 transcript:KJB36124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPHNFPEKQTWGTWEELLLACAVHRHGSNSWDSVAMELQKRTSTFQHLFFTPLSCQQKFQDLKRRFAENGDDDETTNNISTSAVPWLDELRKLRVAELRREVQQYDLSIVSLQLKVQKLKEEREQSLTENGKETEKSDLEREKGSEKKEENETENITRRPVNSREESERENRSVNESNSTDPKEEDPGTGPDEAKDEPEPVEPDGGETGKEVQSVKPEGEASCNGSCDSVAKGSAENSKRVDPRETGDSPESVAESKGEEPNRESSDVQSSASLSGKEKKNAEPGEPDNGELDQSPSIKKVSVESQPLVEFLEIFQSHKLGSLFERRLESQKTPDYSNLIRQHLDLETIGLRVEEGWYSGCKSKFFRDLLLLLTNAIIFFGKESSEYAAAIEFRQLVSKEIDAQFRNSSVLPKEQSPNRVLESEMPLKPEPQLSLSLSMKPKLSVPLIACRKRSSIAAKSSTSSSGQEKKRQLLASLMNEKPALGWKQHDKSIEESPVAKKRTRESSASGSRKASKNAKARSNTNTNKNSGTNANAAISSKGGSSNDNSESKGGEKEKSNSKTASSKKPSAANFLNRMRSSLSGNEPLTETLKGVISSDKGKGGGDAGEHKKNSTSSKGDQQKDRTPTPRTRSGGKRTSPAKRSTGRPPKRVPATQSAPPGKRGREAVENHSGGGQAKKRSRK >KJB35439 pep chromosome:Graimondii2_0_v6:6:36284269:36291220:-1 gene:B456_006G114800 transcript:KJB35439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNFVQKLCKWFAQLLLAVEYLHSNFVLHRDIKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAARRPAFKALDMAGLISKINRSSIGPLPSCYSPSLKTIIKGMLRKNPEHRPSASELLKHPYVQPYIDKYCPSFSNLSKNCCLDKHVTTSRGIRKNMAESQNSNSSCSDKDSLLSSYRNTATRVPDSNNKATASDSNPKGEEICPVISAGEVDEKGMMKPSYVAQESNVQSKQPKNIKSMVTALREGKVRESGSPMRGSRTKAVGGLTQRNNIEASPKVLKPPCPAPGSKFNANTPTVASAKLPLDSAKRMPAGSHLLKHQLPVIESSPKTKPRNEGTPPLAPWKHVAEDSLPSKHRTTPSNLARRSSITGKMKHAGTDVSNGASSRSKLGSTEINQECEAISRQPPNTYFSNASREVKQEAETEIALSQSTKGVQTDNSNSVSSSISIQAFEICDDAITQFIDMTEQTQDHGIITDIKNLELHPPCSSPALKSEMPEVLLRESYRYDHESVICSTEESDPAKAHFTSVDEKFSPSAPLGLPVLISEENFVHKDDTAASRIVSRDGAPLSRTSSRDDIPSSQISRTDDAPISSPSSRDDAPVSTSSTWDDAPISRPSSGDDAPISRLSMGENAPVSGATAGEDYPFSRPSRSVDAPISRLSIGENAPVSGPTAGEDCPVSRPSSNNDAPMSCLSIRDDAHTSRLSIVESFPVNGLTAGEDCPTIRTDETMASRCSSGYDKFTVMELLSSVAETLPCTSSISCSPKNSQPDKETNVHDSIVGTPAMTSCPPTSDEVIHVKRHSSFCVGSEKPAVKKVETGDRSVDVGKLINVARNELYMRNMTSSLTLTSSCTEASSSTSNDYSGLTEMDVRNSIPSGVKETDTRCCVSSSRGLIDDVRDELDMRTMTSPVTLVSSCSEALSSAPNVSDYSGVEETDVRNSICSAVEEMGISNSASSSPKLVNEARDELYMRNTTSPVTITSSFSEALSSTPNVSDYSGVEEMDFRNSVPSGVKDMDIGSAELIDVTRDELDMRNTTSPVTNTLSFCGALSSTPNILDYSGVEEMSITSGVQEMIIVNSISSSPEPMNVVRDELDMRNRISPVTLAASCYEALSSTSNVSYYSCVKEVDVRNSMPSTFKEMDIGNSISSSPEPTNVARDELDVRNMTSSVTLASSCSEALSSTPNVLDYSGVKEMDCRNSMPSVVKEMDTGNSFSSSPEPINVVRDELDMRNMTRPVTLASSCSEALISTPNVSDYCGGKERDIRNSTSSPEAVKPGSCVTEDEKPGKETLDVNSYRQRAEALEGLLELSAELLQQNRLQELSIVLKPFGKDKVSPRETAIWLSKSMKGMMIGDYGRNS >KJB35440 pep chromosome:Graimondii2_0_v6:6:36284269:36292538:-1 gene:B456_006G114800 transcript:KJB35440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMESRMERYEIMEQIGRGAFGAAILVHHKSEKKNYVLKKIRLARQTERCRSSAHQEMALISRIRHPYIVKFKEAWVEKGCYVCIVTGYCEGGDMAELMKRSNGIYFPEEKLCKWFAQLLLAVEYLHSNFVLHRDIKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAARRPAFKALDMAGLISKINRSSIGPLPSCYSPSLKTIIKGMLRKNPEHRPSASELLKHPYVQPYIDKYCPSFSNLSKNCCLDKHVTTSRGIRKNMAESQNSNSSCSDKDSLLSSYRNTATRVPDSNNKATASDSNPKGEEICPVISAGEVDEKGMMKPSYVAQESNVQSKQPKNIKSMVTALREGKVRESGSPMRGSRTKAVGGLTQRNNIEASPKVLKPPCPAPGSKFNANTPTVASAKLPLDSAKRMPAGSHLLKHQLPVIESSPKTKPRNEGTPPLAPWKHVAEDSLPSKHRTTPSNLARRSSITGKMKHAGTDVSNGASSRSKLGSTEINQECEAISRQPPNTYFSNASREVKQEAETEIALSQSTKGVQTDNSNSVSSSISIQAFEICDDAITQFIDMTEQTQDHGIITDIKNLELHPPCSSPALKSEMPEVLLRESYRYDHESVICSTEESDPAKAHFTSVDEKFSPSAPLGLPVLISEENFVHKDDTAASRIVSRDGAPLSRTSSRDDIPSSQISRTDDAPISSPSSRDDAPVSTSSTWDDAPISRPSSGDDAPISRLSMGENAPVSGATAGEDYPFSRPSRSVDAPISRLSIGENAPVSGPTAGEDCPVSRPSSNNDAPMSCLSIRDDAHTSRLSIVESFPVNGLTAGEDCPTIRTDETMASRCSSGYDKFTVMELLSSVAETLPCTSSISCSPKNSQPDKETNVHDSIVGTPAMTSCPPTSDEVIHVKRHSSFCVGSEKPAVKKVETGDRSVDVGKLINVARNELYMRNMTSSLTLTSSCTEASSSTSNDYSGLTEMDVRNSIPSGVKETDTRCCVSSSRGLIDDVRDELDMRTMTSPVTLVSSCSEALSSAPNVSDYSGVEETDVRNSICSAVEEMGISNSASSSPKLVNEARDELYMRNTTSPVTITSSFSEALSSTPNVSDYSGVEEMDFRNSVPSGVKDMDIGSAELIDVTRDELDMRNTTSPVTNTLSFCGALSSTPNILDYSGVEEMSITSGVQEMIIVNSISSSPEPMNVVRDELDMRNRISPVTLAASCYEALSSTSNVSYYSCVKEVDVRNSMPSTFKEMDIGNSISSSPEPTNVARDELDVRNMTSSVTLASSCSEALSSTPNVLDYSGVKEMDCRNSMPSVVKEMDTGNSFSSSPEPINVVRDELDMRNMTRPVTLASSCSEALISTPNVSDYCGGKERDIRNSTSSPEAVKPGSCVTEDEKPGKETLDVNSYRQRAEALEGLLELSAELLQQNRLQELSIVLKPFGKDKVSPRETAIWLSKSMKGMMIGDYGRNS >KJB35123 pep chromosome:Graimondii2_0_v6:6:34184210:34186582:1 gene:B456_006G101500 transcript:KJB35123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ENQEVTDHSGCGLPIFSSKRKTNTVENLGFSISISMDQELDPYICGCIIEFLVRYSPDDMHVKKVIEAFPPLKPRPQLKKAVLLRTMRTEVYAGDVSEKILDALEKIGRIDSNQGLPIPDSMKEAYCAVALECTVKYLPGDTDTCGGKYLDAVDRIWRGRIQDLERSEASDLVFDQLRNRRLQVEAAATGDEDAVRCLSAINTRGYAIVCLRRYLREASGSMKPPVLEQACLKLGRV >KJB35124 pep chromosome:Graimondii2_0_v6:6:34184210:34187625:1 gene:B456_006G101500 transcript:KJB35124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ENQEVTDHSGCGLPIFSSKRKTNTVENLGFSISISMDQELDPYICGCIIEFLVRYSPDDMHVKKVIEAFPPLKPRPQLKKAVLLRTMRTEVYAGDVSEKILDALEKIGRIDSNQGLPIPDSMKEAYCAVALECTVKYLPGDTDTCGGKYLDAVDRIWRGRIQDLERSEASDLVFDQLRNRRLQVEAAATGDEDAVRCLSAINTRGYAIVCLRRYLREASGSMKPPVLEQACLKLGRLNLGS >KJB33288 pep chromosome:Graimondii2_0_v6:6:1137850:1147182:1 gene:B456_006G005800 transcript:KJB33288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTSGTTSSNPSLNPSAPKILLAKPSAGPVPGKFGRGGGEDETAPHRARLPPVGSLNLLSDSWEFHIDRFLPVVGIIGPPGAGKSTIMNELYGFDGVSPGMLPPFAIQSEETRAMARHCTVGIEPRISAERLILLDTQSVFSPSVLSEIMRPDGSSTVSVLSGESLSAELAHEIMNIQLGVLLASICHILLVVSEGVHDNSMWHMMLTVDLLKHGIPDPSCITPLHSQSSTLGLQKEGKDKVHEVEEYIGTPVFVHTKLQDQDLSPPNIVQTKKALLQYFGSSSFMRRKCANKPTDQPAMTQINTKDTELLDLLMIPYKNKDDSPEAQCESYVSSLWKLRDQVLSMNCPSFTRNVSERDWLKSSAKIWELVKSSPIIAEYSRTLLSSGMFRR >KJB33287 pep chromosome:Graimondii2_0_v6:6:1137812:1147270:1 gene:B456_006G005800 transcript:KJB33287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTSGTTSSNPSLNPSAPKILLAKPSAGPVPGKFGRGGGEDETAPHRARLPPVGSLNLLSDSWEFHIDRFLPFLTENTDFKVVGIIGPPGAGKSTIMNELYGFDGVSPGMLPPFAIQSEETRAMARHCTVGIEPRISAERLILLDTQSVFSPSVLSEIMRPDGSSTVSVLSGESLSAELAHEIMNIQLGVLLASICHILLVVSEGVHDNSMWHMMLTVDLLKHGIPDPSCITPLHSQSSTLGLQKEGKDKVHEVEEYIGTPVFVHTKLQDQDLSPPNIVQTKKALLQYFGSSSFMRRKCANKPTDQPAMTQINTKDTELLDLLMIPYKNKDDSPEAQCESYVSSLWKLRDQVLSMNCPSFTRNVSERDWLKSSAKIWELVKSSPIIAEYSRTLLSSGMFRR >KJB34220 pep chromosome:Graimondii2_0_v6:6:19357070:19360421:1 gene:B456_006G053600 transcript:KJB34220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTWGSSLRITLLLLLIAAIVFACFTLPVEKILKDFLLWVDKDLGPWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPVGFVADSIGATLGAGAAFLLGRTIGRSFVVSKLKDYPQFRSVALAIRRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVPILEYMLASWLGMMVFASLFNYHLSLIVSKNFCLLFIRVSVFGGFVMLWV >KJB34219 pep chromosome:Graimondii2_0_v6:6:19356741:19361613:1 gene:B456_006G053600 transcript:KJB34219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTWGSSLRITLLLLLIAAIVFACFTLPVEKILKDFLLWVDKDLGPWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPVGFVADSIGATLGAGAAFLLGRTIGRSFVVSKLKDYPQFRSVALAIRRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVPILEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEFSTTRWWF >KJB34221 pep chromosome:Graimondii2_0_v6:6:19356741:19361613:1 gene:B456_006G053600 transcript:KJB34221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTWGSSLRITLLLLLIAAIVFACFTLPVEKILKDFLLWVDKDLGPWGPLVLAVAYIPLTVLAVPASVLTIGRSFVVSKLKDYPQFRSVALAIRRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVPILEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEFSTTRWAFLILGLSVSVVLMICVTRVAKSALDKALAENEDIDSIVGSPPLPIVGEAPTDFHQPLIIKIDAAAANEGHEK >KJB34218 pep chromosome:Graimondii2_0_v6:6:19356714:19361613:1 gene:B456_006G053600 transcript:KJB34218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTWGSSLRITLLLLLIAAIVFACFTLPVEKILKDFLLWVDKDLGPWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPVGFVADSIGATLGAGAAFLLGRTIGRSFVVSKLKDYPQFRSVALAIRRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVPILEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEFSTTRWAFLILGLSVSVVLMICVTRVAKSALDKALAENEDIDSIVGSPPLPIVGEAPTDFHQPLIIKIDAAAANEGHEK >KJB34248 pep chromosome:Graimondii2_0_v6:6:20369550:20373551:1 gene:B456_006G055700 transcript:KJB34248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYKNLGRSGLKVSQLSYGAWVSFGNQLDVKEAKSLLQCCKDNGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVVSTKIFWGGPGPNDKGLSRKHIVEGTKASLKRLDMDYVDVLYCHRPDTQTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQITEAWGVAERLDLVGPIVEQPEYNLLTRHKVESEYLPLYTNYGLGLTTWSPLASGVLTGKYNKGNIPSDSRFALENFKNLANRSLIDDVLRKVNGLKPIADELGVPLAQLAIAWCAANPNVSSVITGATKESQIQENMKALDVIPLLTPAVMEKIETVFQSKPKRPDSYR >KJB34253 pep chromosome:Graimondii2_0_v6:6:20369437:20373782:1 gene:B456_006G055700 transcript:KJB34253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAIRELGWKRSDIVVSTKIFWGGPGPNDKGLSRKHIVEGTKASLKRLDMDYVDVLYCHRPDTQTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQITEAWGVAERLDLVGPIVEQPEYNLLTRHKVESEYLPLYTNYGLGLTTWSPLASGVLTGKYNKGNIPSDSRFALENFKNLANRSLIDDVLRKVNGLKPIADELGVPLAQLAIAWCAANPNVSSVITGATKESQIQENMKALDVIPLLTPAVMEKIETVFQSKPKRPDSYR >KJB34251 pep chromosome:Graimondii2_0_v6:6:20369437:20373782:1 gene:B456_006G055700 transcript:KJB34251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYKNLGRSGLKVSQLSYGAWVSFGNQLDVKEAKSLLQCCKDNGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVVSTKIFWGGPGPNDKGLSRKHIVEGTKASLKRLDMDYVDVLYCHRPDTQTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQITEAWGVAERLDLVGPIVEQPEYNLLTRHKVESEYLPLYTNYGLGLTTWSPLASGVLTGKYNKGNIPSDSRFALENFKIQENMKALDVIPLLTPAVMEKIETVFQSKPKRPDSYR >KJB34252 pep chromosome:Graimondii2_0_v6:6:20369437:20373780:1 gene:B456_006G055700 transcript:KJB34252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYKNLGRSGLKVSQLSYGAWVSFGNQLDVKEAKSLLQCCKDNGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVVSTKIFWGGPGPNDKGLSRKHIVEGTKASLKRLDMDYVDVLYCHRPDTQTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQITEAWGVAERLDLVGPIVEQPEYNLLTRHKVESEYLPLYTNYGLGLTTWSPLASGVLTGKYNKGNIPSDSRFALENFKLMD >KJB34250 pep chromosome:Graimondii2_0_v6:6:20369437:20373782:1 gene:B456_006G055700 transcript:KJB34250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYKNLGRSGLKVSQLSYGAWVSFGNQLDVKEAKSLLQCCKDNGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVVSTKIFWGGPGPNDKGLSRKHIVEGTKASLKRLDMDYVDVLYCHRPDTQTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQITEAWGQPEYNLLTRHKVESEYLPLYTNYGLGLTTWSPLASGVLTGKYNKGNIPSDSRFALENFKNLANRSLIDDVLRKVNGLKPIADELGVPLAQLAIAWCAANPNVSSVITGATKESQIQENMKALDVIPLLTPAVMEKIETVFQSKPKRPDSYR >KJB34249 pep chromosome:Graimondii2_0_v6:6:20369356:20373868:1 gene:B456_006G055700 transcript:KJB34249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYKNLGRSGLKVSQLSYGAWVSFGNQLDVKEAKSLLQCCKDNGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVVSTKIFWGGPGPNDKGLSRKHIVEGTKASLKRLDMDYVDVLYCHRPDTQTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQITEAWGVAERLDLVGPIVEQPEYNLLTRHKVESEYLPLYTNYGLGLTTWSPLASGVLTGKYNKGNIPSDSRFALENFKNLANRSLIDDVLRKVNGLKPIADELGVPLAQLAIAWCAANPNVSSVITGATKESQIQENMKALDVIPLLTPAVMEKIETVFQSKPKRPDSYSTLQLLVVFGTLIIVERRLGR >KJB38745 pep chromosome:Graimondii2_0_v6:6:50771789:50772824:-1 gene:B456_006G270200 transcript:KJB38745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGRALPKFGEWDVNNPAAAEGFTVIFNKARDEKKTKGSAPNIMPSASSKKNQPPPSPPTPKGKWFCCVGV >KJB35059 pep chromosome:Graimondii2_0_v6:6:33910070:33915438:1 gene:B456_006G098800 transcript:KJB35059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMIGSSCYLQDPISNSQVRFPSSLSVSFNIRNGETKRKRRKKRNFRPPMACLTHEDPNDDVSGKRRAVLLVGISILPLLQLRSQALEISTLRRERPRKSKSLEEGTRELPTEVVTGKSTEESDVNKPEESQIAVFELNKPEESRKLEESWGDSRSNPFLSLLNGLGVLGASVLGALYALVLKEKNTNNEILESIKIELQEKEVAIIMMEKDFESKLLIEREERTKQLKEAKEEQLALRDQLNSANSTITGLGCELNNEKRLIEKLKVQIDSLQNNLSEVGEEKRSLKQELKEKLNSVDVLREKVNLLGSELNDKEVSIQKLSTLLAGKESEFKNLITTYKQTEEELGKAHLEIERLKEELQRSRSELESESSLVDELNASVSSLLVERDNSMREFLSLQEEYNDLKLSSENKAVADAKLLGERENEIQLLKEKLELALNDVSENKTIAADLNKEKESLERALEMELHGGKNLKEELLLVEETLSKSRSEVSYLSEQLKQSRIHCKELESDVSRVTTKFYEAKERLQANLDEAKQNSVVLAGELTTTKELLKKTLEERQTFSRELTSMTENRNTLQRELIDAYKRVEAISSDLKEEKTHVSSLNQERQALENQIVKDKEARKSLKTNLEEATNSLDEVNQKILKLSRDLEMANAKISSLEDEKMVLYKTLTEQKNASKEARENMEDAHSIVMTLNKERESLEKRVKKLEEELASAKGEILRLRSRINSSKAPVNDQPQQKDETETKVTVSARKSRRKKGSSQ >KJB35060 pep chromosome:Graimondii2_0_v6:6:33910086:33915438:1 gene:B456_006G098800 transcript:KJB35060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMIGSSCYLQDPISNSQVRFPSSLSVSFNIRNGETKRKRRKKRNFRPPMACLTHEDPNDDVSGKRRAVLLVGISILPLLQLRSQALEISTLRRERPRKSKSLEEGTRELPTEVVTEESDVNKPEESQIAVFELNKPEESRKLEESWGDSRSNPFLSLLNGLGVLGASVLGALYALVLKEKNTNNEILESIKIELQEKEVAIIMMEKDFESKLLIEREERTKQLKEAKEEQLALRDQLNSANSTITGLGCELNNEKRLIEKLKVQIDSLQNNLSEVGEEKRSLKQELKEKLNSVDVLREKVNLLGSELNDKEVSIQKLSTLLAGKESEFKNLITTYKQTEEELGKAHLEIERLKEELQRSRSELESESSLVDELNASVSSLLVERDNSMREFLSLQEEYNDLKLSSENKAVADAKLLGERENEIQLLKEKLELALNDVSENKTIAADLNKEKESLERALEMELHGGKNLKEELLLVEETLSKSRSEVSYLSEQLKQSRIHCKELESDVSRVTTKFYEAKERLQANLDEAKQNSVVLAGELTTTKELLKKTLEERQTFSRELTSMTENRNTLQRELIDAYKRVEAISSDLKEEKTHVSSLNQERQALENQIVKDKEARKSLKTNLEEATNSLDEVNQKILKLSRDLEMANAKISSLEDEKMVLYKTLTEQKNASKEARENMEDAHSIVMTLNKERESLEKRVKKLEEELASAKGEILRLRSRINSSKAPVNDQPQQKDETETKVTVSARKSRRKKGSSQ >KJB35061 pep chromosome:Graimondii2_0_v6:6:33912896:33915399:1 gene:B456_006G098800 transcript:KJB35061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKDFESKLLIEREERTKQLKEAKEEQLALRDQLNSANSTITGLGCELNNEKRLIEKLKVQIDSLQNNLSEVGEEKRSLKQELKEKLNSVDVLREKVNLLGSELNDKEVSIQKLSTLLAGKESEFKNLITTYKQTEEELGKAHLEIERLKEELQRSRSELESESSLVDELNASVSSLLVERDNSMREFLSLQEEYNDLKLSSENKAVADAKLLGERENEIQLLKEKLELALNDVSENKTIAADLNKEKESLERALEMELHGGKNLKEELLLVEETLSKSRSEVSYLSEQLKQSRIHCKELESDVSRVTTKFYEAKERLQANLDEAKQNSVVLAGELTTTKELLKKTLEERQTFSRELTSMTENRNTLQRELIDAYKRVEAISSDLKEEKTHVSSLNQERQALENQIVKDKEARKSLKTNLEEATNSLDEVNQKILKLSRDLEMANAKISSLEDEKMVLYKTLTEQKNASKEARENMEDAHSIVMTLNKERESLEKRVKKLEEELASAKGEILRLRSRINSSKAPVNDQPQQKDETETKVTVSARKSRRKKGSSQ >KJB37692 pep chromosome:Graimondii2_0_v6:6:46888164:46891191:1 gene:B456_006G215700 transcript:KJB37692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRKGKRSEAFWPSIVMKKWLNIKPTVYDFSEDEVETETETESEDDAYSIKDSRLNGGEDYIHSTLQNQDCSSQISDSSSTVYRLRHRRGKSETLRLQYINTKDVRVTIGTWNVAGRLPCDDLIIDDWLCTEQLADIYIIGFQEVVPLNAGNVLGAEDNRPIPKWEAIIRRTLNKSLKPESKHKCHSAPSSPVLRTCSVADALADEIAALPLEYLETANDYVWPEHSLEETPKLGTALSSSTRIGFNLTDNFTTCNPHDVGLKGSRLRRSHHSSENLGSICVQEEQKLEQVVDSFSEISDEFSEEEDDSFLEAAIEEHGNDRGRSRTKYVRIVSKQMVGIYVSVWVRKRLRKHVNNLKVSPVGVGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGHKDGAEQRRNADVYEIIRRTRFSSVIDTDQSQTIPSHDQIFWFGDLNYRLSMSDTKVRKLVALKRWDELLNNDQLHNELHSGHIFNGWKEGVIDFPPTYKYEMNSNRYVGENPKEGEKKRSPAW >KJB33453 pep chromosome:Graimondii2_0_v6:6:2905094:2909870:1 gene:B456_006G013500 transcript:KJB33453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGGRGADNVLSNYKLGKTLGIGSFGKVKIAEHSSTGYKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETATDIFVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIRQHPWFQAHLPRYLAVPPPDTMQQARKIDEEILQEVVRMGFERNHLVESLRNRIQNEGTVAYYLLLDNRFRVSSGYLGAEFQETMESGFNRIHPSEPTSPAVGHRLLGFADYQAMGLRGLERKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWLPSIPGLNEGMLNNNHYFGDESTIVENDGVTKLPNVVKFEVQLYKTREEKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >KJB33455 pep chromosome:Graimondii2_0_v6:6:2905108:2909641:1 gene:B456_006G013500 transcript:KJB33455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGGRGADNVLSNYKLGKTLGIGSFGKVKIAEHSSTGYKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETATDIFVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIRQHPWFQAHLPRYLAVPPPDTMQQARKIDEEILQEVVRMGFERNHLVESLRNRIQNEGTVAYYLLLDNRFRVSSGYLGAEFQETMVNLASTAYIQVNRHLQLLDTAF >KJB33454 pep chromosome:Graimondii2_0_v6:6:2905108:2907629:1 gene:B456_006G013500 transcript:KJB33454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGGRGADNVLSNYKLGKTLGIGSFGKVKIAEHSSTGYKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETATDIFVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIRQHPWFQAHLPRYLAVPPPDTMQQARKVVDFLFLLSSSVQI >KJB37299 pep chromosome:Graimondii2_0_v6:6:45589199:45589918:-1 gene:B456_006G198600 transcript:KJB37299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVGTTCNDISLPNEGIQGHEKSSHPNPLKPTRFLTLRQLNCLAVMIIVAASGMVSLEDFAFVVFSIFYMYLLLKVAFPRKISPQNSLVFDPRNKILGLYVTVGAIIGLYLPVAYIFHGILEGDKQGIKAAAPHVFLLASQVFMEGVAFSDRFSIPVRVYVPVFYNTRRIFSLVDWLRCEFTKLDNEYAGSGKRVYVGRVLALANMGFWCFNLFGFLLPVYLPKAFKMYYSETKVKD >KJB34745 pep chromosome:Graimondii2_0_v6:6:30917452:30922409:1 gene:B456_006G081400 transcript:KJB34745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKPLVLTYLYLLIYVLLSSGVILYNKWVLSPKYFNFPFPITLTMFHMAFSGLFAFFLIRVFKVVAPVKMTFEIYATCVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFSMGVLCGTDKPRCDVFLNMVLVSVGVVISSYGEIHFNIVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWCLLEKPGMAVSQIQFNFWIFFSNALCALALNLSTFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYAIALCGVVMYNYIKVKDGRASQLPSDGIPERFTKDRDWKLDKKSSAIINPNSNSDSNEGNFKGSEMNDEEAPLVSSRLSHFGRSFSNHTK >KJB34746 pep chromosome:Graimondii2_0_v6:6:30917544:30922409:1 gene:B456_006G081400 transcript:KJB34746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKPLVLTYLYLLIYVLLSSGVILYNKWVLSPKYFNFPFPITLTMFHMAFSGLFAFFLIRVFKVVAPVKMTFEIYATCVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFSMGVLCGTDKPRCDVFLNMVLVSVGVVISSYGEIHFNIVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWCLLEKPGMAVSQIQFNFWIFFSNALCALALNLSTFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYAIALCGVVMYNYIKVKDGRASQLPSDGIPERFTKDRDWKLDKKSSAIINPNSNSDSNEGNFKGSEMNDEEAPLVSSRLSHFGRSFSNHTK >KJB34747 pep chromosome:Graimondii2_0_v6:6:30917599:30922309:1 gene:B456_006G081400 transcript:KJB34747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKPLVLTYLYLLIYVLLSSGVILYNKWVLSPKYFNFPFPITLTMFHMAFSGLFAFFLIRVFKVVAPVKMTFEIYATCVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFSMGVLCGTDKPRCDVFLNMVLVSVGVVISSYGEIHFNIVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWCLLEKPGMAVSQIQFNFWIFFSNALCALALNLSTFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYAIALCGVVMYNYIKVKDGRASQLPSDGIPERFTKDRDWKLDKKSSAIINPNSNSDSNEGNFKGSEMNDEEAPLVSSRLSHFGRSFSNHTK >KJB35306 pep chromosome:Graimondii2_0_v6:6:35212456:35224090:-1 gene:B456_006G108800 transcript:KJB35306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGNSANSKAVHEAGEDDYDHHKHLSPTHQQLDAGSWLHCGYHLTTSIVAPTLLSLPFAFTFLGWAVGIISLVVGALVTFYSYNLLSLVLEHHAQLGRRHLRFRDMANDILGPRWGWYYVGPTQFMVCYGSVIALTLLGGQCMKAIYVLSQPNGSMKLYEFVIIFGFLMLVLDQIPSFHSLRHINLISLFLCLAYSACAAAASIHIGNSSKGPTKDYGLKGDAESQIFGIFNAIAIIATTYGNGIIPEIQATIAPPVKGKMFKGLCVCYTVLIITFFTVAITGYWAFGNHSEGLLLSNFLDDGNPLVPKWFILMTNLFTILQLSAVGVVYLQPTNEVLERTFADPKSKELSARNVIPRVVSRSLSVVIATTVAAMLPFFGDINAVIGAFGFMPLDFILPVIFFNLTFKPSKRSLVFWLNVSIAVVFSALAIIAAIAAVRKIVLDAKTYRLFANV >KJB35304 pep chromosome:Graimondii2_0_v6:6:35212077:35224174:-1 gene:B456_006G108800 transcript:KJB35304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGNSANSKAVHEAGEDDYDHHKHLSPTHQQLDAGALFVLKSKGSWLHCGYHLTTSIVAPTLLSLPFAFTFLGWAVGIISLVVGALVTFYSYNLLSLVLEHHAQLGRRHLRFRDMANDILGPRWGWYYVGPTQFMVCYGSVIALTLLGGQCMKAIYVLSQPNGSMKLYEFVIIFGFLMLVLDQIPSFHSLRHINLISLFLCLAYSACAAAASIHIGNSSKGPTKDYGLKGDAESQIFGIFNAIAIIATTYGNGIIPEIQATIAPPVKGKMFKGLCVCYTVLIITFFTVAITGYWAFGNHSEGLLLSNFLDDGNPLVPKWFILMTNLFTILQLSAVGVVYLQPTNEVLERTFADPKSKELSARNVIPRVVSRSLSVVIATTVAAMLPFFGDINAVIGAFGFMPLDFILPVIFFNLTFKPSKRSLVFWLNVSIAVVFSALAIIAAIAAVRKIVLDAKTYRLFANV >KJB35307 pep chromosome:Graimondii2_0_v6:6:35213058:35224011:-1 gene:B456_006G108800 transcript:KJB35307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGNSANSKAVHEAGEDDYDHHKHLSPTHQQLDAGALFVLKSKGSWLHCGYHLTTSIVAPTLLSLPFAFTFLGWAVGIISLVVGALVTFYSYNLLSLVLEHHAQLGRRHLRFRDMANDILGPRWGWYYVGPTQFMVCYGSVIALTLLGGQCMKAIYVLSQPNGSMKLYEFVIIFGFLMLVLDQIPSFHSLRHINLISLFLCLAYSACAAAASIHIGNSSKGPTKDYGLKGDAESQIFGIFNAIAIIATTYGNGIIPEIQATIAPPVKGKMFKGLCVCYTVLIITFFTVAITGYWAFGNHSEGLLLSNFLDDGNPLVPKWFILMTNLFTILQLSAVGVVSAYLSLLPLLSDSSFFFRCMCVGST >KJB35305 pep chromosome:Graimondii2_0_v6:6:35212456:35224075:-1 gene:B456_006G108800 transcript:KJB35305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYEFVIIFGFLMLVLDQIPSFHSLRHINLISLFLCLAYSACAAAASIHIGNSSKGPTKDYGLKGDAESQIFGIFNAIAIIATTYGNGIIPEIQATIAPPVKGKMFKGLCVCYTVLIITFFTVAITGYWAFGNHSEGLLLSNFLDDGNPLVPKWFILMTNLFTILQLSAVGVVYLQPTNEVLERTFADPKSKELSARNVIPRVVSRSLSVVIATTVAAMLPFFGDINAVIGAFGFMPLDFILPVIFFNLTFKPSKRSLVFWLNVSIAVVFSALAIIAAIAAVRKIVLDAKTYRLFANV >KJB36478 pep chromosome:Graimondii2_0_v6:6:42087826:42090543:1 gene:B456_006G161100 transcript:KJB36478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSFISASAEDLAPTIGVDFKVKLLSVGGKRLKLTIWDTAGQEKFRTLTSSYYRGSQGIILVYDVTRRDTFTNLTDVWAKEVELYSTNQDCVKILVGNKVDMDSERAVSREEGIALAKELGSIFLECSAKTRINVEQCFEELALKIMETPSLLEEGSAVGKRNILKQKPEQAPPGGACCS >KJB36477 pep chromosome:Graimondii2_0_v6:6:42087177:42090543:1 gene:B456_006G161100 transcript:KJB36477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHIVALFSPSIPSIRQSSGSCDLSFKILLIGDSSVGKSSMLLSFISASAEDLAPTIGVDFKVKLLSVGGKRLKLTIWDTAGQEKFRTLTSSYYRGSQGIILVYDVTRRDTFTNLTDVWAKEVELYSTNQDCVKILVGNKVDMDSERAVSREEGIALAKELGSIFLECSAKTRINVEQCFEELALKIMETPSLLEEGSAVGKRNILKQKPEQAPPGGACCS >KJB36479 pep chromosome:Graimondii2_0_v6:6:42087826:42090543:1 gene:B456_006G161100 transcript:KJB36479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSGQSSGSCDLSFKILLIGDSSVGKSSMLLSFISASAEDLAPTIGVDFKVKLLSVGGKRLKLTIWDTAGQEKFRTLTSSYYRGSQGIILVYDVTRRDTFTNLTDVWAKEVELYSTNQDCVKILVGNKVDMFQDSERAVSREEGIALAKELGSIFLECSAKTRINVEQCFEELALKIMETPSLLEEGSAVGKRNILKQKPEQAPPGGACCS >KJB36480 pep chromosome:Graimondii2_0_v6:6:42087826:42090562:1 gene:B456_006G161100 transcript:KJB36480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSGQSSGSCDLSFKILLIGDSSVGKSSMLLSFISASAEDLAPTIGVDFKVKLLSVGGKRLKLTIWDTAGQEKFRTLTSSYYRGSQGIILVYDVTRRDTFTNLTDVWAKEVELYSTNQDCVKILVGNKVDMDSERAVSREEGIALAKELGSIFLECSAKTRINVEQCFEELALKIMETPSLLEEGSAVGKRNILKQKPEQAPPGGACCS >KJB36071 pep chromosome:Graimondii2_0_v6:6:39622105:39624521:1 gene:B456_006G139300 transcript:KJB36071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCRYTGRYEAFLWDNTDPTQKPKTGGYDDEVSAARAYDLAALKLWGELAPLNFPISNYESDLVEMKLYTKHEYFRNIRRKSRGFSKGVSIYRGVSRNSDFKKWQARIGKGKDIKGIYLGTFDTEEEAARAYDVAAIRLKGANAITNFDINEYDLNDILQSSKLPIGKGASKLLQKSSIEDVIRKKRCVSDRNSLVYDEEDDSCTPNSNPDMNRIISSSLLFGFQNPDEFQASPTQIQCFNSFGNYMSTDGNPSFNFNVEYPFNVNSDGNFTISGAAENYFGEMQPSEFSHNLSALEKLHQISTFPFLHPYHQNPVEFPENLASNSLGNCVGTNREFIGSLQGLLTLQGQDSLKYLDQPEDVTTQNLPQNPINFQTNRVPVYPWSSSYNAEASSYGIFKEVPSTKENENDSTGGNDESGQGAAMVKKALPGKTGNGVKFFKD >KJB35086 pep chromosome:Graimondii2_0_v6:6:34014603:34015470:-1 gene:B456_006G099700 transcript:KJB35086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKVEEDEKNEKIVRNLMKLPSNRRCINCNSQGPQYVCTNFSTFVCATCSGIHREFSHRVKSVSMATFTAEDVAALRECGNEVINHQLPNRQTQLIIF >KJB36869 pep chromosome:Graimondii2_0_v6:6:43746188:43747969:-1 gene:B456_006G179900 transcript:KJB36869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta-7 chain [Source:Projected from Arabidopsis thaliana (AT2G29550) UniProtKB/Swiss-Prot;Acc:P29515] MREILHIQGGQCGNQIGAKFWEMVCAEHGIDSTGKYHGDSDLQLERINVYYNEASCGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGKLSSFLFFFFSFHVCVLRILLVCMFAGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPNGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEEEYEEEEEEYQD >KJB36870 pep chromosome:Graimondii2_0_v6:6:43746188:43748133:-1 gene:B456_006G179900 transcript:KJB36870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta-7 chain [Source:Projected from Arabidopsis thaliana (AT2G29550) UniProtKB/Swiss-Prot;Acc:P29515] MREILHIQGGQCGNQIGAKFWEMVCAEHGIDSTGKYHGDSDLQLERINVYYNEASCGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPNGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEEEYEEEEEEYQD >KJB35217 pep chromosome:Graimondii2_0_v6:6:34720308:34723313:-1 gene:B456_006G104900 transcript:KJB35217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHIFTTTPSHLALSFPSSTNPSNPPVLLSSFRGVSLKLPRQSLSLAATIPKKPFSVFAVTKKAVAVLKGPTTVNVRITGLTPGPHGFHLHEYGDTTNGCMSTGPHFNPNNMTHGAPEDEVRHAGDLGNIIANADGVAEATIVDNQIPLSGPNAVVGRAFVVHELEDDLGKGGHELSLTTGNAGGRLACGVVGLTPV >KJB35215 pep chromosome:Graimondii2_0_v6:6:34720308:34723354:-1 gene:B456_006G104900 transcript:KJB35215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTSKSSHHILGSHYPPENPHFHSLTNCKAAAIAAMAAHIFTTTPSHLALSFPSSTNPSNPPPFSVFAVTKKAVAVLKGNSEVEGVVTLTQENDGPTTVNVRITGLTPGPHGFHLHEYGDTTNGCMSTGPHFNPNNMTHGAPEDEVRHAGDLGNIIANADGVAEATIVDNQIPLSGPNAVVGRAFVVHELEDDLGKGGHELSLTTGNAGGRLACGVVGLTPV >KJB35218 pep chromosome:Graimondii2_0_v6:6:34720308:34723235:-1 gene:B456_006G104900 transcript:KJB35218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHIFTTTPSHLALSFPSSTNPSNPPVLLSSFRGVSLKLPRQSLSLAATIPKKPFSVFAVTKKAVAVLKGNSEVEGVVTLTQENDGPTTVNVRITGLTPGPHGFHLHEYGDTTNGCMSTGPHFNPNNMTHGAPEDEVRHAGDLGNIIANADGVAEATIVDNQIPLSGPNAVVGRAFVVHELEDDLGKGGHELSLTTGNAGGRLACGVVGLTPV >KJB35216 pep chromosome:Graimondii2_0_v6:6:34721622:34723235:-1 gene:B456_006G104900 transcript:KJB35216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYLTSKSSHHILGSHYPPENPHFHSLTNCKAAAIAAMAAHIFTTTPSHLALSFPSSTNPSNPPVLLSSFRGVSLKLPRQSLSLAATIPKKPFSVFAVTKKAVAVLKGNSEVEGVVTLTQENDGPTTVNVRITGLTPGPHGFHLHEYGDTTNGCMSTGPHFNPNNMTHGAPEDEVRHAGDLGNIIANADGVAEATIVDNQIPLSGPNAVVGRAFVVHELEDDLGKGNYLACNSSSCFSRKLPSKVAEGRVM >KJB34761 pep chromosome:Graimondii2_0_v6:6:31190161:31206795:-1 gene:B456_006G082000 transcript:KJB34761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQKQRLQQEMQRYLSLRQMHQDTDSPDLQKKVLFGFRIMSRCFSDPVKAEESFQILDQLKDANIWRILVNLLDPNTSFHQASSGRDDLLKILGEKHRLYDFLSTLSLKCSYLLFNKEHVKEIFLEATVQKSTGNIQYTHSCMNILVILARFSPLLLGGAEEELVKFLKDDNEIIKEGILHVLAKAGGTIREQLAMSSRSIDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKTHLPAVLQSLGCIAQIAMPVFETRESDIEEFIKSKILRCSNKADTSAKECWDDKSELCLLKVFGLKTLVKSYLPVKDAHLRLGISNLLELLRNILSFGEISKEIESSSVDKAHLRLAAAKAVLRLSRYWDHKIPLDVFQLTLRTPEMISFPQAGKLFLRKVHQYIKDRLLDAKYACAFLFNITGSKLLDEEEKQNLADIFQMYQQAKARLVSVQSDSNSSTAYPEYILPYLVYVLAHHSCPKTDECKDVKAFELIYRQLFVAISMLVNRDEDTKSEVGVNKEKQSISVIFSIFKSIKCSEDNVDATKSENSHAICDLGLSIMKRLAYKEEDLQGLMQSVSLPSLLYKPYERKEGEGSQAGERRTWLADETVLSHFESLKLESDGMVQQEISEGEALKDSEIEGNDMPLRKMIKLLKSKGAEDGKAKRKKSLLAEAKDAKNDTDILKLAREINLSSREMSSKFESSNGHNHSPTKKEKLGQEHQKGKKRKTSGAASVQVPKHSRSLSAYTAFKISKSVTKVPSRDSGDDWHEAKDASFQSTEMDMDKIHDSKDQLRTQKTFNKNNGSDYLVSCIRKKRSISSKGEGKGYDWGHSDVSDEDDDENLEKSAAGSRVKSKRSIAGLAKCTTKEDGIDIADLIGYRIKVWWPMDKKFYEGTVKSYDPIKRKHVVLYDDGDVEVLRLERERWELVNAGCKSVKKAKSPKGSKGPLKERSPGQKSKSLGGSRQNKSSVKMVQRKRTPKRNLKHTQKSTLKSNADDEEAADLSKSTAALKNDKMNSDQSEGEDTEMVDENLTDREESDKEVGSVSQERCSEDTKGSPNHSEEANEVKSDADSYLSEEADSISKNAEKEDEEEKAANELASEVSRERDPTASKATEREVKETEESDQIETKSRVSKKSSKGLMSFSVDVDSGISDDEPLCKWKRKVGKSSSKKPVQ >KJB34762 pep chromosome:Graimondii2_0_v6:6:31190161:31209250:-1 gene:B456_006G082000 transcript:KJB34762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPFLNAIVKPELLKHQDRDAKLLVATCICEITRITAPEAPYNDDVLKDIFHLIVGTFNGLSDTNGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNEMFSTFFAVVRDDHPESVLASMLTIMVVVLEESEDVRDDLLLIILSALGRKRSDVTPAARRLAMNVIEQCSGKLGAGIKQFLISLMSGDNHLVNSEFDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDTRLRAVRLVGDLFSLPGSTICEAFRPIFSEFLKRLTDRVVDVRMSVLEHVKNCLLSNPSRSEAAEIISALCDRLLDYDENVRKQVVAVISDVACHSLASVPVETIKLVAERLRDKSLLVKKFTLERLAEIFKVYCTSCTDGSITTNEYDWIPGKIFRCFYDKDFRSDTIESILCGFLFPTGFSTKDKVKCWIRVFSGFDKIEVKALERMLEQKQRLQQEMQRYLSLRQMHQDTDSPDLQKKVLFGFRIMSRCFSDPVKAEESFQILDQLKDANIWRILVNLLDPNTSFHQASSGRDDLLKILGEKHRLYDFLSTLSLKCSYLLFNKEHVKEIFLEATVQKSTGNIQYTHSCMNILVILARFSPLLLGGAEEELVKFLKDDNEIIKEGILHVLAKAGGTIREQLAMSSRSIDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKTHLPAVLQSLGCIAQIAMPVFETRESDIEEFIKSKILRCSNKADTSAKECWDDKSELCLLKVFGLKTLVKSYLPVKDAHLRLGISNLLELLRNILSFGEISKEIESSSVDKAHLRLAAAKAVLRLSRYWDHKIPLDVFQLTLRTPEMISFPQAGKLFLRKVHQYIKDRLLDAKYACAFLFNITGSKLLDEEEKQNLADIFQMYQQAKARLVSVQSDSNSSTAYPEYILPYLVYVLAHHSCPKTDECKDVKAFELIYRQLFVAISMLVNRDEDTKSEVGVNKEKQSISVIFSIFKSIKCSEDNVDATKSENSHAICDLGLSIMKRLAYKEEDLQGLMQSVSLPSLLYKPYERKEGEGSQAGERRTWLADETVLSHFESLKLESDGMVQQEISEGEALKDSEIEGNDMPLRKMIKLLKSKGAEDGKAKRKKSLLAEAKDAKNDTDILKLAREINLSSREMSSKFESSNGHNHSPTKKEKLGQEHQKGKKRKTSGAASVQVPKHSRSLSAYTAFKISKSVTKVPSRDSGDDWHEAKDASFQSTEMDMDKIHDSKDQLRTQKTFNKNNGSDYLVSCIRKKRSISSKGEGKGYDWGHSDVSDEDDDENLEKSAAGSRVKSKRSIAGLAKCTTKEDGIDIADLIGYRIKVWWPMDKKFYEGTVKSYDPIKRKHVVLYDDGDVEVLRLERERWELVNAGCKSVKKAKSPKGSKGPLKERSPGQKSKSLGGSRQNKSSVKMVQRKRTPKRNLKHTQKSTLKSNADDEEAADLSKSTAALKNDKMNSDQSEGEDTEMVDENLTDREESDKEVGSVSQERCSEDTKGSPNHSEEANEVKSDADSYLSEEADSISKNAEKEDEEEKAANELASEVSRERDPTASKATEREVKETEESDQIETKSRVSKKSSKGLMSFSVDVDSGISDDEPLCKWKRKVGKSSSKKPVQ >KJB34763 pep chromosome:Graimondii2_0_v6:6:31190390:31209167:-1 gene:B456_006G082000 transcript:KJB34763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPFLNAIVKPELLKHQDRDAKLLVATCICEITRITAPEAPYNDDVLKDVTPAARRLAMNVIEQCSGKLGAGIKQFLISLMSGDNHLVNSEFDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDTRLRAVRLVGDLFSLPGSTICEAFRPIFSEFLKRLTDRVVDVRMSVLEHVKNCLLSNPSRSEAAEIISALCDRLLDYDENVRKQVVAVISDVACHSLASVPVETIKLVAERLRDKSLLVKKFTLERLAEIFKVYCTSCTDGSITTNEYDWIPGKIFRCFYDKDFRSDTIESILCGFLFPTGFSTKDKVKCWIRVFSGFDKIEVKALERMLEQKQRLQQEMQRYLSLRQMHQDTDSPDLQKKVLFGFRIMSRCFSDPVKAEESFQILDQLKDANIWRILVNLLDPNTSFHQASSGRDDLLKILGEKHRLYDFLSTLSLKCSYLLFNKEHVKEIFLEATVQKSTGNIQYTHSCMNILVILARFSPLLLGGAEEELVKFLKDDNEIIKEGILHVLAKAGGTIREQLAMSSRSIDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKTHLPAVLQSLGCIAQIAMPVFETRESDIEEFIKSKILRCSNKADTSAKECWDDKSELCLLKVFGLKTLVKSYLPVKDAHLRLGISNLLELLRNILSFGEISKEIESSSVDKAHLRLAAAKAVLRLSRYWDHKIPLDVFQLTLRTPEMISFPQAGKLFLRKVHQYIKDRLLDAKYACAFLFNITGSKLLDEEEKQNLADIFQMYQQAKARLVSVQSDSNSSTAYPEYILPYLVYVLAHHSCPKTDECKDVKAFELIYRQLFVAISMLVNRDEDTKSEVGVNKEKQSISVIFSIFKSIKCSEDNVDATKSENSHAICDLGLSIMKRLAYKEEDLQGLMQSVSLPSLLYKPYERKEGEGSQAGERRTWLADETVLSHFESLKLESDGMVQQEISEGEALKDSEIEGNDMPLRKMIKLLKSKGAEDGKAKRKKSLLAEAKDAKNDTDILKLAREINLSSREMSSKFESSNGHNHSPTKKEKLGQEHQKGKKRKTSGAASVQVPKHSRSLSAYTAFKISKSVTKVPSRDSGDDWHEAKDASFQSTEMDMDKIHDSKDQLRTQKTFNKNNGSDYLVSCIRKKRSISSKGEGKGYDWGHSDVSDEDDDENLESAAGSRVKSKRSIAGLAKCTTKEDGIDIADLIGYRIKVWWPMDKKFYEGTVKSYDPIKRKHVVLYDDGDVEVLRLERERWELVNAGCKSVKKAKSPKGSKGPLKERSPGQKSKSLGGSRQNKSSVKMVQRKRTPKRNLKHTQKSTLKSNADDEEAADLSKSTAALKNDKMNSDQSEGEDTEMVDENLTDREESDKEVGSVSQERCSEDTKGSPNHSEEANEVKSDADSYLSEEADSISKNAEKEDEEEKAANELASEVSRERDPTASKATEREVKETEESDQIETKSRVSKKSSKGLMSFSVDVDSGISDDEPLCKWKRKVGKSSSKKPVQ >KJB34764 pep chromosome:Graimondii2_0_v6:6:31193300:31209167:-1 gene:B456_006G082000 transcript:KJB34764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPFLNAIVKPELLKHQDRDAKLLVATCICEITRITAPEAPYNDDVLKDVTPAARRLAMNVIEQCSGKLGAGIKQFLISLMSGDNHLVNSEFDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDTRLRAVRLVGDLFSLPGSTICEAFRPIFSEFLKRLTDRVVDVRMSVLEHVKNCLLSNPSRSEAAEIISALCDRLLDYDENVRKQVVAVISDVACHSLASVPVETIKLVAERLRDKSLLVKKFTLERLAEIFKVYCTSCTDGSITTNEYDWIPGKIFRCFYDKDFRSDTIESILCGFLFPTGFSTKDKVKCWIRVFSGFDKIEVKALERMLEQKQRLQQEMQRYLSLRQMHQDTDSPDLQKKVLFGFRIMSRCFSDPVKAEESFQILDQLKDANIWRILVNLLDPNTSFHQASSGRDDLLKILGEKHRLYDFLSTLSLKCSYLLFNKEHVKEIFLEATVQKSTGNIQYTHSCMNILVILARFSPLLLGGAEEELVKFLKDDNEIIKEGILHVLAKAGGTIREQLAMSSRSIDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKTHLPAVLQSLGCIAQIAMPVFETRESDIEEFIKSKILRCSNKADTSAKECWDDKSELCLLKVFGLKTLVKSYLPVKDAHLRLGISNLLELLRNILSFGEISKEIESSSVDKAHLRLAAAKAVLRLSRYWDHKIPLDVFQLTLRTPEMISFPQAGKLFLRKVHQYIKDRLLDAKYACAFLFNITGSKLLDEEEKQNLADIFQMYQQAKARLVSVQSDSNSSTAYPEYILPYLVYVLAHHSCPKTDECKDVKAFELIYRQLFVAISMLVNRDEDTKSEVGVNKEKQSISVIFSIFKSIKCSEDNVDATKSENSHAICDLGLSIMKRLAYKEEDLQGLMQSVSLPSLLYKPYERKEGEGSQAGERRTWLADETVLSHFESLKLESDGMVQQEISEGEALKDSEIEGNDMPLRKMIKLLKSKGAEDGKAKRKKSLLAEAKDAKNDTDILKLAREINLSSREMSSKFESSNGHNHSPTKKEKLGQEHQKGKKRKTSGAASVQVPKHSRSLSAYTAFKISKSVTKVPSRDSGDDWHEAKDASFQSTEMDMDKIHDSKDQLRTQKTFNKNNGSDYLVSCIRKKRSISSKGEGKGYDWGHSDVSDEDDDENLESAAGSRVKSKRSIAGLAKCTTKEDGIDIADLIGYRIKVWWPMDKK >KJB36891 pep chromosome:Graimondii2_0_v6:6:43912449:43913036:1 gene:B456_006G181500 transcript:KJB36891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSEITEEQDEQNPYFINSEAQKESIRRIIQHQKSLYWSSSSSSSITSNAAASSSSISSSRRSSSLLELMKSGSTSLKRLFEMEHTSLLTHFQDYSGSPIVKSVPLWGSDTDGEVEDPWASIKPIGSLDRFETGSLSGSVSDGSSMGKDSRFNNRKTRNSNRRLSRKKSYRKLPGFRFRLRLRRLRIMICGRLI >KJB36726 pep chromosome:Graimondii2_0_v6:6:43241591:43245131:1 gene:B456_006G173300 transcript:KJB36726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARRMDRKKTMTMNWDGLRDDDDEFFDPSDTGHESEGSDDENEDFDDCHVSFASTVPPVTTEFRTVAATATPVAPDYDMWMTSPGSIKARRQKLFQGMGLNSNKQLLSLKRAITNKVAFHPASTPAPARAPAPAPAPAPAPAPAPASAPAPTRAATPTPTPTPTTASAKPEVPVATKSSAPTKEPQKSSEESSKRELQSHLPVSFLLVRSRSEGEIESRSIEKQRKLDMLGTVSKQRLARTYSMISTPQAKAYLRPGNIKASKGNQTSKQSGPLTSIFSKRGFEAFFLIKNLDTGKEFIVNEYDQDGKWNKLSDLQTGKKLTMEEFDKCVGYSPVVKELMRRANDNKSNSYISKSLKMSMSKGAAMLKSIKGVANSMALRGEKEREVVLALEQKNNANKNGNNQWVKVRQTGKSYKELSALNLCQEIQAHEGSIWIIKFSTDARYLASAGEDTVIHVWEIQECEVLSNNEGSSVTPGSSPLHPSLAGSMDLDADKRKGKVPDYVRKPEVVFSLSDRPIYSLNGHTEDVLDLSWSKSQQLLSSSMDKTVRLWDLESQSCIKVFAHSDYVTCIHFNPSDDNHFISGSLDAKVRIWNVPERRVVDWTDLNEMVTAACYSPDGQSAFIGSHKGNCRLYSTEECKLNQLEQIFVQKSKANAKKITGFQYCPTNPSQVLVTSADSRIRILEGSEVIYRCSGFRNTSSQIAASFTQDGKYVLTASEDSQVFVWRYDEPRNTGTAKRTAVPARGYEQFPCKAVSVAIPWPGTIKGETPSMSKKNSKRTQPGESPNNEDDTQPNKKGLPPLPKKNNTEKTTSPSEEDPAQASAVDPGIPESSSSMSRSSSSSKDDSPSDSSASNLNSSSSIKAGDSSSNANTGSTKSEESGSVPSAAAAPSIWSWFDVVGGGAGNTPTETTAWGLVIVTATLDGEIKIYQNFGLPRKINLI >KJB35235 pep chromosome:Graimondii2_0_v6:6:35022330:35023778:-1 gene:B456_006G107100 transcript:KJB35235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRARSICARFSTHFKTRPFCSSIKDGKNKKKNNIDIVDGKIESNVSTFNESYRQLDNLDFLTAAKILFTHPPKKKKFGLDFHLVQLFFACMPSLAVYLVAQYARYEMRKMEAELEEKKKHEEEEEMKRKQEEEEKDKEVEQIAPDSELFEVKRRLGKLEEAVKEIAVESKKQSAVSITKIQQNASEPGEDKSKSESSSSAVVQDKAIKQKSTGQIPNADPSNARSVSPDSDASRKDQKGENQIGGPSQDAKR >KJB35238 pep chromosome:Graimondii2_0_v6:6:35021482:35024092:-1 gene:B456_006G107100 transcript:KJB35238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRARSICARFSTHFKTRPFCSSIKDGKNKKKNNIDIVDGKIESNVSTFNESYRQLDNLDFLTAAKILFTHPPKKKKFGLDFHLVQLFFACMPSLAVYLVAQYARYEMRKMEAELEEKKKHEEEEEMKRKQEEEEKDKEVEQIAPDSELFEVKRRLGKLEEAVKEIAVESKKQSAVSITKIQQNASEPGEDKSKSESSSSAVVQDKAIKQKSTGQIPNADPSNARSVSPDSDASRKDQKGENQIGGPSQDAKR >KJB35236 pep chromosome:Graimondii2_0_v6:6:35021928:35024337:-1 gene:B456_006G107100 transcript:KJB35236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRARSICARFSTHFKTRPFCSSIKDGKNKKKNNIDIVDGKIESNVSTFNESYRQLDNLDFLTAAKILFTHPPKKKKFGLDFHLVQLFFACMPSLAVYLVAQYARYEMRKMEAELEEKKKHEEEEEMKRKQEEEEKDKEVEQIAPDSELFEVKRRLGKLEEAVKEIAVESKKQSAVSITKIQQNASEPGEDKSKSESSSSAVVQDKAIKQKSTGQIPNADPSNARSVSPDSDASRKDQKGENQIGGPSQDAKR >KJB35234 pep chromosome:Graimondii2_0_v6:6:35022330:35023778:-1 gene:B456_006G107100 transcript:KJB35234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRARSICARFSTHFKTRPFCSSIKDGKNKKKNNIDIVDGKIESNVSTFNESYRQLDNLDFLTAAKILFTHPPKKKKFGLDFHLVQLFFACMPSLAVYLVAQYARYEMRKMEAELEEKKKHEEEEEMKRKQEEEEKDKEVEQIAPDSELFEVKRRLGKLEEAVKEIAVESKKQSAVSITKIQQNASEPGEDKSKSESSSSAVVQDKAIKQKSTGQIPNADPSNARSVSPDSDASRKDQKGENQIGGPSQDAKR >KJB35239 pep chromosome:Graimondii2_0_v6:6:35021482:35024446:-1 gene:B456_006G107100 transcript:KJB35239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRARSICARFSTHFKTRPFCSSIKDGKNKKKNNIDIVDGKIESNVSTFNESYRQLDNLDFLTAAKILFTHPPKKKKFGLDFHLVQLFFACMPSLAVYLVAQYARYEMRKMEAELEEKKKHEEEEEMKRKQEEEEKDKEVEQIAPDSELFEVKRRLGKLEEAVKEIAVESKKQSAVSITKIQQNASEPGEDKSKSESSSSAVVQDKAIKQKSTGQIPNADPSNARSVSPDSDASRKDQKGENQIGGPSQDAKR >KJB35237 pep chromosome:Graimondii2_0_v6:6:35021482:35024360:-1 gene:B456_006G107100 transcript:KJB35237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRARSICARFSTHFKTRPFCSSIKDGKNKKKNNIDIVDGKIESNVSTFNESYRQLDNLDFLTAAKILFTHPPKKKKFGLDFHLVQLFFACMPSLAVYLVAQYARYEMRKMEAELEEKKKHEEEEEMKRKQEEEEKDKEVEQIAPDSELFEVKRRLGKLEEAVKEIAVESKKQSAVSITKIQQNASEPGEDKSKSESSSSAVVQDKAIKQKSTGQIPNADPSNARSVSPDSDASRKDQKGENQIGGPSQDAKR >KJB36096 pep chromosome:Graimondii2_0_v6:6:39820521:39822301:-1 gene:B456_006G1410001 transcript:KJB36096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRGNYMNHSIQLQHHQQNNHHQQQQQQHHQHQIQEHNQPHLNQIPYAMMQSSSSSSIPGNFISSKDSGAYDLGELDQALFLYLDGQDTSTVQDQRNNSGMRPPTLNIFPSQPMHVEPPSSTKTNTGLVSPATSGSKRPSESSMELANARNDTLSSAPHPPVKAVKSEGNRKGPTSSSEQEGPKTPDPK >KJB36095 pep chromosome:Graimondii2_0_v6:6:39820521:39822294:-1 gene:B456_006G1410001 transcript:KJB36095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRGNYMNHSIQLQHHQQNNHHQQQQQQHHQHQIQEHNQPHLNQIPYAMMQSSSSSSIPGNFISSSKDSGAYDLGELDQALFLYLDGQDTSTVQDQRNNSGMRPPTLNIFPSQPMHVEPPSSTKTNTGLVSPATSGSKRPSESSMELANARNDTLSSAPHPPVKAVKSEGNRKGPTSSSEQEGPKTPDPK >KJB38332 pep chromosome:Graimondii2_0_v6:6:49360011:49362040:1 gene:B456_006G249500 transcript:KJB38332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETSVDYDRTKELKQFDDTKAGVKGLVDAGILNIPKIFVRPAEDLAADELNSGHKNVEVPIIDVSNVGDSIRRQEIVNEVKIASGEWGFFQVINHGIPLSVLDEMIEGIRLFNEQDLELKMELYSRDGAKKVKFLSNFDIYTSKALDWKDTLQLSLLDFDPDPSEMPPVCRKSTMEYIKHLKKLGETLFELLSEALGLQPDHLNSIGCSKGCSIVAHYYPPCPQPELTLGVRKHADAGILTVLLQNHIGGLQVLHDDQWFDVHPIRGGLVINIGDLLQVLSNDKFKSVKHRAIASHVGPRISVPCFFSGHASLLDKPFGPIKDLLSEANPPRYKEFLLKEYLAKFFSSSLDDNKLPLDYYKQ >KJB33973 pep chromosome:Graimondii2_0_v6:6:11733674:11734636:-1 gene:B456_006G042200 transcript:KJB33973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INMFKFHFLSSALMLIHCFMVSYAMIVRNLTTDQHALLAFKLQIIIDPHNILADNWNTNYPVCNWAGVSCAAKHTRVRALNLSNMNLTGTIPPQLGNLSFLVSLNLSHNNFHDHLPRELGQLNRLELIDLSSNFLNGEIPSCFGRLDEVLHLILANNNLTGVIPPSIANMSNLENLDLRNNLVHGNIPYEMSKLMKLRTLRLAKNQLSSSIPAAIYNISALRMISLPYNNLSGQIPTSIGECKNLRQLMLDTNRFNGRIPTSIGNLTALTDLYWFANDLEGKAFSFHILFSPNKF >KJB37699 pep chromosome:Graimondii2_0_v6:6:46899942:46900933:1 gene:B456_006G216100 transcript:KJB37699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWPLIGNMRSSVRAYKSQNPESFIGNLKRSIIVCSPELCRKVLTDDEQFHFGYPLSSLVLDGMPSDHISGSGHKRFHGLTTSRSVEEIVTSALEECSKMKEPILFFHEMNKIVFNTVIASMEKSYTDLFSGLFCTPINSPGFAYHKAVKARKKLVKEIQGVLGEKRERKRNDPNSKIIWTLKFIPTQKNSFLQDGMVLNPRQELFYPLEQEVVLVLELI >KJB35067 pep chromosome:Graimondii2_0_v6:6:33900834:33904143:1 gene:B456_006G098700 transcript:KJB35067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNLMADPDSESIFRSDALGLRHISSSLFNIPGFLVGFSAKGSSDSDAVRSPTSPLDLRVFTNLSNPFSVRSPQSPSQNGYRKKWDCNKIDLGIVNLLADENKPNGEKLEFPKRKNIIFRPRMKTELPCSSRYSHEFLGNSMKSNSLPRNYIISQLFQARKPETKSGDSSLVFGNEEVPLETKPDSWLSPSFIASTQSSDSSPKIFCSENRTIGINSSPQLVTKPSSLPTPLGHTSGSLSAHEIELSEDYTCIISHGPNPKTTRIFGDCILECHNDELTNFDKTAELVPRFGKNTETSSAYPSDEFLSFCYSCKKKFEKEDDIYMYRGEKAFCSTDCRSEEIFAEEEMEKTGNKTSDDSPEHSDNEDLFVIGMPVNM >KJB35065 pep chromosome:Graimondii2_0_v6:6:33900086:33904143:1 gene:B456_006G098700 transcript:KJB35065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNLMADPDSESIFRSDALGLRHISSSLFNIPGFLVGFSAKGSSDSDAVRSPTSPLDLRVFTNLSNPFSVRSPQSPSQNGYRKKWDCNKIDLGIVNLLADENKPNGEKLEFPKRKNIIFRPRMKTELPCSSRYSHEFLGNSMKSNSLPRNYIISQLFQARKPETKSGDSSLVFGNEEVPLETKPDSWLSPSFIASTQSSDSSPKIFCSENRTIGINSSPQLVTKPSSLPTPLGHTSGSLSAHEIELSEDYTCIISHGPNPKTTRIFGDCILECHNDELTNFDKTAELVPRFGKNTETSSAYPSDEFLSFCYSCKKKFEKEDDIYMGEKAFCSTDCRSEEIFAEEEMEKTGNKTSDDSPEHSDNEDLFVIGMPVNM >KJB35063 pep chromosome:Graimondii2_0_v6:6:33901899:33904143:1 gene:B456_006G098700 transcript:KJB35063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNLMADPDSESIFRSDALGLRHISSSLFNIPGFLVGFSAKGSSDSDAVRSPTSPLDLRVFTNLSNPFSVRSPQSPSQNGYRKKWDCNKIDLGIVNLLADENKPNGEKLEFPKRKNIIFRPRMKTELPCSSRYSHEFLGNSMKSNSLPRNYIISQLFQARKPETKSGDSSLVFGNEEVPLETKPDSWLSPSFIASTQSSDSSPKIFCSENRTIGINSSPQLVTKPSSLPTPLGHTSGSLSAHEIELSEDYTCIISHGPNPKTTRIFGDCILECHNDELTNFDKTAELVPRFGKNTETSSAYPSDEFLSFCYSCKKKFEKEDDIYMYRGEKAFCSTDCRSEEIFAEEEMEKTGNKTSDDSPEHSDNEDLFVIGMPVNM >KJB35066 pep chromosome:Graimondii2_0_v6:6:33901650:33904143:1 gene:B456_006G098700 transcript:KJB35066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNLMADPDSESIFRSDALGLRHISSSLFNIPGFLVGFSAKGSSDSDAVRSPTSPLDLRVFTNLSNPFSVRSPQSPSQNGYRKKWDCNKIDLGIVNLLADENKPNGEKLEFPKRKNIIFRPRMKTELPCSSRYSHEFLGNSMKSNSLPRNYIISQLFQARKPETKSGDSSLVFGNEEVPLETKPDSWLSPSFIASTQSSDSSPKIFCSENRTIGINSSPQLVTKPSSLPTPLGHTSGSLSAHEIELSEDYTCIISHGPNPKTTRIFGDCILECHNDELTNFDKTAELVPRFGKNTETSSAYPSDEFLSFCYSCKKKFEKEDDIYMYRGEKAFCSTDCRSEEIFAEEEMEKTGNKTSDDSPEHSDNEDLFVIGMPVNM >KJB35069 pep chromosome:Graimondii2_0_v6:6:33899970:33904143:1 gene:B456_006G098700 transcript:KJB35069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNLMADPDSESIFRSDALGLRHISSSLFNIPGFLVGFSAKGSSDSDAVRSPTSPLDLRVFTNLSNPFSVRSPQSPSQNGYRKKWDCNKIDLGIVNLLADENKPNGEKLEFPKRKNIIFRPRMKTELPCSSRYSHEFLGNSMKSNSLPRNYIISQLFQARKPETKSGDSSLVFGNEEVPLETKPDSWLSPSFIASTQSSDSSPKIFCSENRTIGINSSPQLVTKPSSLPTPLGHTSGSLSAHEIELSEDYTCIISHGPNPKTTRIFGDCILECHNDELTNFDKTAELVPRFGKNTETSSAYPSDEFLSFCYSCKKKFEKEDDIYMYRGEKAFCSTDCRSEEIFAEEEMEKTGNKTSDDSPEHSDNEDLFVIGMPVNM >KJB35068 pep chromosome:Graimondii2_0_v6:6:33900086:33904143:1 gene:B456_006G098700 transcript:KJB35068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNLMADPDSESIFRSDALGLRHISSSLFNIPGFLVGFSAKGSSDSDAVRSPTSPLDLRVFTNLSNPFSVRSPQSPSQNGYRKKWDCNKIDLGIVNLLADENKPNGEKLEFPKRKNIIFRPRMKTELPCSSRYSHEFLGNSMKSNSLPRNYIISQLFQARKPETKSGDSSLVFGNEEVPLETKPDSWLSPSFIASTQSSDSSPKIFCSENRTIGINSSPQLVTKPSSLPTPLGHTSGSLSAHEIELSEDYTCIISHGPNPKTTRIFGDCILECHNDELTNFDKTAELVPRFGKNTETSSAYPSDEFLSFCYSCKKKFEKEDDIYMYRGEKAFCSTDCRSEEIFAEEEMEKTGNKTSDDSPEHSDNEDLFVIGMPVNM >KJB35064 pep chromosome:Graimondii2_0_v6:6:33900086:33904143:1 gene:B456_006G098700 transcript:KJB35064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNLMADPDSESIFRSDALGLRHISSSLFNIPGFLVGFSAKGSSDSDAVRSPTSPLDLRVFTNLSNPFSVRSPQSPSQNGYRKKWDCNKIDLGIVNLLADENKPNGEKLEFPKRKNIIFRPRMKTELPCSSRYSHEFLGNSMKSNSLPRNYIISQLFQARKPETKSGDSSLVFGNEEVPLETKPDSWLSPSFIASTQSSDSSPKIFCSENRTIGINSSPQLVTKPSSLPTPLGHTSGSLSAHEIELSEDYTCIISHGPNPKTTRIFGDCILECHNDELTNFDKTAELVPRFGKNTETSSAYPSDEFLSFCYSCKKKFEKEDDIYMYRGEKAFCSTDCRSEEIFAEEEMEKTGNKTSDDSPEHSDNEDLFVIGMPVNM >KJB37925 pep chromosome:Graimondii2_0_v6:6:47791755:47793939:-1 gene:B456_006G226700 transcript:KJB37925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKVGRVKLGSQGLEVSAQGLGCMGMSAFYGPPKPESAMIALIHHAIDSGITLLDTSDVYGPHTNEILLGKALKDGVRERVELATKFGIDYTDGKRDIRGDPAYVRAACEGSLKRLGVDCIDLYYQHRIDTKVPIEVTIGELKKLVEEGKVKYIGLSEASASTIRRAHAVHPISAVQLEWSLWSRDVEADIIPTCRELGIGIVAYSPLGRGFFSSGPKIVETLSNDDFRKTLPRFQPENMEHNRRLYDRVNEIAAKKGRTPSQLALAWVHNQGDDVCPIPGTTKIENFNQNVGALSIKLTPEEMSELESIAKADSVEGDRYGGVLVTHDASETPPLSSWKR >KJB33633 pep chromosome:Graimondii2_0_v6:6:6089690:6092140:1 gene:B456_006G023300 transcript:KJB33633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHPSTTTQAWTRCLTLLKHCSKTAQIKPIHALLITQGLHQNPYIISKLITSLTSLSTSLGLHYSSLIFDQLQNPNLYIYNALIKAHSNSPHPRTSFHYFNLLLNEETLRPDCQTFSSILVSCAKTCSLISGKQIQNWVFKNGVFSSDSYVQTGVIRLYVETKLWGDARKVFDEITFVDVVKWNVVMSGLIRCGLGTQALGLFKEMLVDGLEPDEFCLTTALTACAQSGNLWEGRWIHEYVKKRKNHLGLDVFTGTALVDMYAKCGCLDLAMEVFQGMTKRNVFSWAAMIGGFAVHGHAMEAIHYFEKMQTYGVRPDGVVLLSVLTACRHAGLAEEGLFLLNNMEHQYKIMPKHEHYSCVVDLLCRVGRFDEALKLIKLMPMKPLASVWGALLNGCRIHNNVELAELAVKELLEVEDCDKDEEDAALVQLSNIYFSSQKSEDGYRIRRMIGDTGLKKAPGCSMIEVDGRTTEFVSTTEFVSGDVSHPLHFQIHAILRLLFPEDPDTL >KJB37576 pep chromosome:Graimondii2_0_v6:6:46595614:46603329:1 gene:B456_006G211400 transcript:KJB37576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGANYRNGTQRNSLKGTTTATDRQLSMNSNPSKAALKNKSLSLVGASSGLRKSSPGSLGGGTAKDDAGVPGRVRVAVRLRPRSAEESVADADFADCVELQPEFKRLKLRKNNWDTDTYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTYTLGRLGEEDTADRGIIVRAMEDILADVSLESDSVSVSYLQLYMESLQDLLDPTNDNISIVEDPKSGDVSLPGATLVEIRDQQSFLELLQLGEAHRYAANTRLNTESSRSHALLMVHVKRSVKGRELAHSSQNGNSTNIAKSLRPTLVRKGKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETTSTIMFGQRAMKVENMLKLKEEFDYKSLARKLDIQLDKLIMEHERKQKAFEEEIERITTDTQNQISEAERNYADAMEKERLKYQKDYMESIKKLEEKWMMNQHKLGERKDQTASTAQEVAELKKLLSKETSLRKAAEEEVNNLKSQLAQLKMSEASANSESLKLRKMLEDEAYRKEKLEGEISMLQTQLLQLSFEADETRRRLDRGGPGKVPGDLDSLISQVRPQVNDSVNEEKASIANLFEQVGLQKILSLLEAEDADVRIHAVKVVANLAAEESNQQKIVEAGGLTSLLLLLGSSEDEMIHRVAAETNQELIMSEGGIRLLSITAANAEDPQTLRMVAGAIANLCGNDKLQNKLRGEGGIKALLGMVKCGHPDVLAQVARGIANFAKCESRASAQGSKTGRSLLIEDGALPWIVQNANNDASPIRRHIELAVCHLAQHEVNARDMITGGALWELVRISQDCSREDIRTLAHRTLTSSPTFLAELRRLRIDY >KJB37575 pep chromosome:Graimondii2_0_v6:6:46595473:46603339:1 gene:B456_006G211400 transcript:KJB37575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGANYRNGTQRNSLKGTTTATDRQLSMNSNPSKAALKNKSLSLVGASSGLRKSSPGSLGGGTAKDDAGVPGRVRVAVRLRPRSAEESVADADFADCVELQPEFKRLKLRKNNWDTDTYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTYTLGRLGEEDTADRGIIVRAMEDILADVSLESDSVSVSYLQLYMESLQDLLDPTNDNISIVEDPKSGDVSLPGATLVEIRDQQSFLELLQLGEAHRYAANTRLNTESSRSHALLMVHVKRSVKGRELAHSSQNGNSTNIAKSLRPTLVRKGKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETTSTIMFGQRAMKVENMLKLKEEFDYKSLARKLDIQLDKLIMEHERKQKAFEEEIERITTDTQNQISEAERNYADAMEKERLKYQKDYMESIKKLEEKWMMNQHKLGERKDQTASTAQEVAELKKLLSKETSLRKAAEEEVNNLKSQLAQLKMSEASANSESLKLRKMLEDEAYRKEKLEGEISMLQTQLLQLSFEADETRRRLDRGGPGKVPGDLDSLISQVRPQVNDSVNEEKASIANLFEQVGLQKILSLLEAEDADVRIHAVKVVANLAAEESNQQKIVEAGGLTSLLLLLGSSEDEMIHRVAAGAIANLAMNETNQELIMSEGGIRLLSITAANAEDPQTLRMVAGAIANLCGNDKLQNKLRGEGGIKALLGMVKCGHPDVLAQVARGIANFAKCESRASAQGSKTGRSLLIEDGALPWIVQNANNDASPIRRHIELAVCHLAQHEVNARDMITGGALWELVRISQDCSREDIRTLAHRTLTSSPTFLAELRRLRIDY >KJB38283 pep chromosome:Graimondii2_0_v6:6:49123853:49125141:-1 gene:B456_006G246300 transcript:KJB38283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSLAIASSIQETSENESANANMFFEVELQRDVKINATNLDKPVSRRFILACLLDNLFKEKASEDHGYFLAVTRLKSIGKGNVMDESGNTVFTVVFTCRTFKPFPGEELQGVVRYISQHGVLLKCGPVSNAFLSAWKMSKYQYIPGKKPAFLNNELSKIEKGVVVCFLVLAVRWINASRDFEMLASVDADSLGPVSLPGSDELEL >KJB38284 pep chromosome:Graimondii2_0_v6:6:49123853:49125979:-1 gene:B456_006G246300 transcript:KJB38284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFEVELQRDVKINATNLDKPVSRRFILACLLDNLFKEKASEDHGYFLAVTRLKSIGKGNVMDESGNTVFTVVFTCRTFKPFPGEELQGVVRYISQHGVLLKCGPVSNAFLSAWKMSKYQYIPGKKPAFLNNELSKIEKGVVVCFLVLAVRWINASRDFEMLASVDADSLGPVSLPGSDELEL >KJB37419 pep chromosome:Graimondii2_0_v6:6:45974526:45975483:1 gene:B456_006G203500 transcript:KJB37419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGELACTYAALILHDDGISITAEKIATLVKAANLSVESYWPSLFAKLLEKVNVDDLITSVGSGGGAAPVAVAAASGTAGGGAAAAAPAVEEKKEEEKEESDDDMGFSLFD >KJB37420 pep chromosome:Graimondii2_0_v6:6:45974519:45975483:1 gene:B456_006G203500 transcript:KJB37420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGELACTYAALILHDDGISITAEKIATLVKAANLSVESYWPSLFAKLLEKVNVDDLITSVGSGGGAAPVAVAAASGTAGGGAAAAAPAVEEKKEEEKEESDDDMGFSLFD >KJB37418 pep chromosome:Graimondii2_0_v6:6:45974342:45975517:1 gene:B456_006G203500 transcript:KJB37418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGELACTYAALILHDDGISITAEKIATLVKAANLSVESYWPSLFAKLLEKVNVDDLITSVGSGGGAAPVAVAAASGTAGGGAAAAAPAVEEKKEEEKEESDDDMGFSLFD >KJB35803 pep chromosome:Graimondii2_0_v6:6:38241178:38245781:-1 gene:B456_006G129000 transcript:KJB35803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAFTSSCPLGYSRIKSLAFSSRSCPNPVFSHPFLPKSTSHNSSFIKPLSLTPIITNNGIQKRHSKLTVKAAASTPAAVTPPSQQPWQGAALKPLIASIATGVILWFLPVPSGVSRNAWQLLSIFLATIVGIITQPLPLGAVALLGLGASVLTKTLTFSAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCAACGSNVGDGTENRLGSWLMLTCFQTSVISSSMFLTAMAANPLSANLAFNTIKQQIGWMDWAKAAFVPGLVSLLVVPLILYVIYPPTVKTSPDAPKLAREKLEKMGPMSKNEIIMAGTLFLTVGLWIFGGVLNVDAVTAAILGLSVLLVTGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVKVVGGLGLSWQMSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPSYLGALVLSFLSNLMGGITHYGIGSAPVFYGAGYVPLAKWWGYGFLISVVNIIIWLGVGGIWWKAIGLW >KJB37200 pep chromosome:Graimondii2_0_v6:6:45070970:45078671:1 gene:B456_006G194000 transcript:KJB37200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQPFISTPSISISIFTLLLFIIFLLPVSYTQDEPSLRQCFNGFDCGSVKALVFPFWKEDSPEYCRQDGFQVIECEDDHQPVIRIGKTKFRLVSVDQPLYRMTIASEDLWDQICSSDPSRITNVTLGYSFLRYSDKNHNLTFFYNCKSSFPAPTASGHFRCGQELVPVYADDLVGRGSYEQFFRSCQGGPIQVQVNESAFQQLQTRGPGNVDSEDWKLGFDVFYPLADLFCEKCKMQKGLCENMASSRYPLCKHPGNVRRTKLIVGFCAGAGGVLITSLFFYCWQRHRRGKGFFKSSFITGKSSLDRSLASDYEKGDSFACVHVFTYEELEEATNNFDSNRELGDGGFGTVYYGKLRDSRTVAVKRLYENNYRRVEQFMNEVSILTGLRHPNLVSLYGCTSRHSRELLLVYEYVENGTVADHIHGECAKPGALPWHIRLDIAIETASALKYLHASDIIHRDVKTNNILLDNYFHVKVADFGLSRLFPSDVTHVSTAPQGTPGYVDPEYHQCYQLTSKSDVFSFGVVLTELISSKPAVDIMRHRHEINLWNMAINKIQNRALHELVDPSLEFETDEKVRKKISLVAEVAFQCLQNEKDMRPTMTQVFEALVGIQNEEYKKEKGEEIEMEAAEDEGGLLKNTAVPHSPDSVMIKWVSNGSSSTTTTTPSF >KJB34967 pep chromosome:Graimondii2_0_v6:6:33037960:33039448:1 gene:B456_006G092900 transcript:KJB34967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATIPAKLCKRDSTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASKPNLFM >KJB34966 pep chromosome:Graimondii2_0_v6:6:33037562:33039448:1 gene:B456_006G092900 transcript:KJB34966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFRFHQYQVVGRALPTENDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATIPAKLCKRDSTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASKPNLFM >KJB33495 pep chromosome:Graimondii2_0_v6:6:3038748:3044408:1 gene:B456_006G013900 transcript:KJB33495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLITQSQKNGFNGELVKPCKGAFACMINSEIGAVLAVMRRNVRWGVRYVSDDDQLEHSLIRSLKELRKQIFSWQHQWQNVDPVVYLLPFLDVIKSDETGAPITGVALSSIYKILTLDVLDLDTVNVEEAMHLIVDAVTSCRFEVTDPASEEVVLMKILQVLLACMKSKAAPRLSNKHVCMIANTCFRIVHQASSKGELLQRIARHTMHELIRCIFSHLPEIGSTEHEMSNGRSLYASGVVGAQNGNHVVGSTMVENGNVGISCDGPSSLSDASSPPIVKSGPETDSSNFGESDGTEDAQRADVLMSAPFGIPCMVEIFQFLCSLLNVIENIGIGPKSNPVAYDEDVPLFALGLINSAIELGGPSFGKHPKLLAIIQDDLFHNLMQFGLSMSPLILSTVCSIVLNLYHHLRWELKVQLEAFFTYVLLKLVQSKHGSSYQQQEVAMEALVDLCRQQTFVAEMYANYDCDISCSNVFEDIANMLSKSAFPVNGPLSAMHILALDGLVSTVKGMTERIGYELPIPNESSTDHEGYEAFWTSKCQNYSDPSSWIPFVRKMKHLKRKLAIGADHFNRDPKKGLEFLQGIHLLPEKLDPESVASFFRYTVGLDKNLIGDFLGNHDEFCVQVLHEFVKTFNFKDMNLDSALRVFLGTFRLPGESQKIQRVLEAFSERYYEQSPHILCNKDAALVLSYSLILLNTDQHNVQVKKKMTEEDFIRNNRLINGGKDLPREYLSELFHSICENEIQMIPDQDAGVPVMTSSRWINVVNKSKGSTPFIACDSRALLDHDMFTILSGPTIAALSVVFDQVEQEDVLQMCIDGFLAIAKLSAHYHFGDVLDDLVVSLCKFTNLSTPLSIEEAIVSFGDDSRARMATKTVFTIANSYGDCIHSGWTNILDCVLSLHKLNLLPARLASDTADETESSSDHERGKSATSSLRRLKTAPAAIPRKSSSLIGRFSQFLSFDIEEPTLYPTEEELAAQRRLREIVQECHVDNIFMESKFLQAESLLQLVEAIILAASRFSKGTGVMEDEDTAVFCLELLIVITLNNRDRILIIWQKVYKHISDIVQQKAVPCALVEKAVFGLLKICQRLLPYKENITDELLKSMQLILKLDARVADAYCEPITQEVMHLVKANATHIRSHLGWRTIISLLYITARHPEASEFGFEALAFIMSEGAHLLPSNYVLCVDAAREFAESRVAEVDRSISALDMMAGSVVCLVRWSYETKNAVEEEAAMKVSRDIGEMWLRLVQGLRAVCLDQREEVRNHAILMLQSSLGGVDGIQIPNAMWFQCFDHVIFTLMDDLLEIAEESSPKEYRKIEGTLVLATKLLSKAFLQSLQDLSQQPSFCKLWLGVLDRMERYVKVKFRGKRSEKIHELVPELLKNTLLVMKTTGILVPSDDLGGESFWQLTWLHVKNIVPSLQSEVFSEQELEQVQGKHQKKTGIPSTDGAVLVPSNETAA >KJB33494 pep chromosome:Graimondii2_0_v6:6:3038679:3044389:1 gene:B456_006G013900 transcript:KJB33494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLITQSQKNGFNGELVKPCKGAFACMINSEIGAVLAVMRRNVRWGVRYVSDDDQLEHSLIRSLKELRKQIFSWQHQWQNVDPVVYLLPFLDVIKSDETGAPITGVALSSIYKILTLDVLDLDTVNVEEAMHLIVDAVTSCRFEVTDPASEEVVLMKILQVLLACMKSKAAPRLSNKHVCMIANTCFRIVHQASSKGELLQRIARHTMHELIRCIFSHLPEIGSTEHEMSNGRSLYASGVQVGAQNGNHVVGSTMVENGNVGISCDGPSSLSDASSPPIVKSGPETDSSNFGESDGTEDAQRADVLMSAPFGIPCMVEIFQFLCSLLNVIENIGIGPKSNPVAYDEDVPLFALGLINSAIELGGPSFGKHPKLLAIIQDDLFHNLMQFGLSMSPLILSTVCSIVLNLYHHLRWELKVQLEAFFTYVLLKLVQSKHGSSYQQQEVAMEALVDLCRQQTFVAEMYANYDCDISCSNVFEDIANMLSKSAFPVNGPLSAMHILALDGLVSTVKGMTERIGYELPIPNESSTDHEGYEAFWTSKCQNYSDPSSWIPFVRKMKHLKRKLAIGADHFNRDPKKGLEFLQGIHLLPEKLDPESVASFFRYTVGLDKNLIGDFLGNHDEFCVQVLHEFVKTFNFKDMNLDSALRVFLGTFRLPGESQKIQRVLEAFSERYYEQSPHILCNKDAALVLSYSLILLNTDQHNVQVKKKMTEEDFIRNNRLINGGKDLPREYLSELFHSICENEIQMIPDQDAGVPVMTSSRWINVVNKSKGSTPFIACDSRALLDHDMFTILSGPTIAALSVVFDQVEQEDVLQMCIDGFLAIAKLSAHYHFGDVLDDLVVSLCKFTNLSTPLSIEEAIVSFGDDSRARMATKTVFTIANSYGDCIHSGWTNILDCVLSLHKLNLLPARLASDTADETESSSDHERGKSATSSLRRLKTAPAAIPRKSSSLIGRFSQFLSFDIEEPTLYPTEEELAAQRRLREIVQECHVDNIFMESKFLQAESLLQLVEAIILAASRFSKGTGVMEDEDTAVFCLELLIVITLNNRDRILIIWQKVYKHISDIVQQKAVPCALVEKAVFGLLKICQRLLPYKENITDELLKSMQLILKLDARVADAYCEPITQEVMHLVKANATHIRSHLGWRTIISLLYITARHPEASEFGFEALAFIMSEGAHLLPSNYVLCVDAAREFAESRVAEVDRSISALDMMAGSVVCLVRWSYETKNAVEEEAAMKVSRDIGEMWLRLVQGLRAVCLDQREEVRNHAILMLQSSLGGVDGIQIPNAMWFQCFDHVIFTLMDDLLEIAEESSPKEYRKIEGTLVLATKLLSKAFLQSLQDLSQQPSFCKLWLGVLDRMERYVKVKFRGKRSEKIHELVPELLKNTLLVMKTTGILVPSDDLGGESFWQLTWLHVKNIVPSLQSEVFSEQELEQVQGKHQKKTGIPSTDGAVLVPSNETAA >KJB36387 pep chromosome:Graimondii2_0_v6:6:41544301:41546309:1 gene:B456_006G156600 transcript:KJB36387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAALKTQLKNFVQSMFEEGVLDNQFSQIQALQDSTNPNFVEEVITLFCNDAERIVNEINRNLGYQNVDFSNLDSYVHQLKGSSSSIGANRLKLACANLRQASDERNKEGCLQALQLITCEYCLLRRKFQALLHLERSIVELETNQK >KJB37787 pep chromosome:Graimondii2_0_v6:6:47253383:47255191:-1 gene:B456_006G219900 transcript:KJB37787 gene_biotype:protein_coding transcript_biotype:protein_coding description:9-cis-epoxycarotenoid dioxygenase NCED6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G24220) UniProtKB/Swiss-Prot;Acc:Q9LRM7] MQPSLHFFTPKHQSLPQTQSPLFTCKFIVNPAKKTVPRNPLPLLSPPAPSRSPPHPEVVEPMVQRERSNVLVPQHPNYPVHLNRFQKLAAVALDKIETSVIMRLEKNHVLPKMVDPEVQISGNFAPVEECPVHHGLEVVGRIPACLRGVYVRNGANPMFAPSGGHHLFDGDGMIHAISLGLGNKASYSCRYTRTSRLVQEARFGRCMFPKPIGELHGHLGLARLGLYMARAGLGLVDGSHGTGVANAGLVYFNGRLLAMSEDDLPYHVKITGEGDLETIGRFNFSDQIDCPLIAHPKLDPVTGDLHTLNYNVLKKPYLKYFRFDKFGRKSGDLHVDIDQATMIHDFAITENFVVIPDHQMVFKLSEMIRGGSPVVYNKNKTSRFGVLQQNDVVGSGIQWINVPNCFCFHLWNAWEEISDAGDKIIVVIGSCMNPPDSIFNESKDTFRSELSEIRMNLRTRQSTQRVIVSGMNLEAGQVNGQYLGQKTRFVYLAIADPWPKCSGMAKVDLQTGKVTKFMYGTWRYGGEPFFVPDKKQTCNRNGNEDEGYIMGLVRDEKKEMSEMVIVKGSNMEQVAAIRLPSRVPYGFHGTFISQEELRRQVI >KJB33375 pep chromosome:Graimondii2_0_v6:6:48639581:48640777:-1 gene:B456_006G239400 transcript:KJB33375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHFTPSPCTPPLIVNKPKHPTTISTSQSKFNPKTHHGSFKRLSTLIARSYKVVLEHEGKSTELEVEEDETILSKALDLGITVPYDCQLGVCMTCPAKLLSGTVDQSEATSSLGAAENAGIID >KJB33374 pep chromosome:Graimondii2_0_v6:6:48640185:48640649:-1 gene:B456_006G239400 transcript:KJB33374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHFTPSPCTPPLIVNKPKHPTTISTSQSKFNPKTHHGSFKRLSTLIARSYKVVLEHEGKSTELEVEEDETILSKALDLGITVPYDCQLGVCMTCPAKLLSGTVDQSEGMLSDDVIDRGFALLCVAYPTSDCHIKTIPEEELLSLQLKTAND >KJB34882 pep chromosome:Graimondii2_0_v6:6:32393086:32401335:-1 gene:B456_006G088500 transcript:KJB34882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MASSVITLESTPTWAVAVVVFVLISISICIEHLLHLLAKYFNKSRRKYLIQALDKIKSELLQLGFISLLLTVGEKPIANICIPKSIGETFLPCSDPSNDSPEEAKCEEQGMVSLLSRQGMKELQYLIFVLAFFHSLSCILTFGLGMAKMRKWESWEAETRTLEYQFSNDPRRFLLIHQTSFARRHLRFWSEHRFLRWPACFLRQFYASVSKVDYFTLRHGFITMLLLVGTQLQGIITRMCLESQEKSQVVRGTFLVRPSDHFFWFGWPKLLLHLMHFILFQNSFQLAFFTWTWYKFGFRSCFHRKTEDIVIRLAMGVLVQVLCGYVTLPLYALVTQMGTSMKKVIFPEKVVEGLKRWRANARSNIALKNNYTSARPSLDNNATSINTSPSFGASPSFNLNTSHCVKFDQPSRSEYLAVEVKDEGKANSANRETKDS >KJB34883 pep chromosome:Graimondii2_0_v6:6:32393384:32401121:-1 gene:B456_006G088500 transcript:KJB34883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MASSVITLESTPTWAVAVVVFVLISISICIEHLLHLLAKYFNKSRRKYLIQALDKIKSELLQLGFISLLLTVGEKPIANICIPKSIGETFLPCSDPSNDSPEEAKCEEQGMVSLLSRQGMKELQYLIFVLAFFHSLSCILTFGLGMAKQMRKWESWEAETRTLEYQFSNDPRRFLLIHQTSFARRHLRFWSEHRFLRWPACFLRQFYASVSKVDYFTLRHGFITMLLLVGTQLQGIITRMCLESQEKSQVVRGTFLVRPSDHFFWFGWPKLLLHLMHFILFQNSFQLAFFTWTWYKFGFRSCFHRKTEDIVIRLAMGVLVQVLCGYVTLPLYALVTQMGTSMKKVIFPEKVVEGLKRWRANARSNIALKNNYTSARPSLDNNATSINTSPSFGASPSFNLNTSHCVKFDQPSRSEYLAVEVKDEGKANSANRETKDS >KJB37322 pep chromosome:Graimondii2_0_v6:6:45686925:45690821:1 gene:B456_006G199600 transcript:KJB37322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPTDPPHHQLPRNVTNFFRNTTTSVFSHFSTPQTPPCLPFADSPLESNLPNSISSKSAIKGVSSAESSSGFPSTVRISGLNSAGKSGGPAFVGRVFSMCDLSGTGLMAISTHFNIPFISKRTPEWLKKIFANITTSERNGPVFRFFIDLGDAVNYVKRLNIPSGVVGACRLDLAYDHFKENPHLFQFVPNEKQVQEFLDEVPPAIPLSVISKATEIQLLYAVDKVLLGNRWLRKAMGIQPKFPYMVDSFERRSASSFLRASEPATYLSSPETHSNMTELKFVDNANADDGQRQDFRFPLGDWFSLPWLKHERKPRKKSDASPSKECKRQHFQANPLLPKITMVGMSTGDGQMSESSLNNTMDDLIRELESTEEGNRSDSEINKLKVENRDPLFVANVGDYHSGLAKTDSARWFQVGKN >KJB37324 pep chromosome:Graimondii2_0_v6:6:45686925:45690821:1 gene:B456_006G199600 transcript:KJB37324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPTDPPHHQLPRNVTNFFRNTTTSVFSHFSTPQTPPCLPFADSPLESNLPNSISSKSAIKGVSSAESSSGFPSTVRISGLNSAGKSGGPAFVGRVFSMCDLSGTGLMAISTHFNIPFISKRTPEWLKKIFANITTSERNGPVFRFFIDLGDAVNYVKRLNIPSGVVGACRLDLAYDHFKENPHLFQFVPNEKQVKAANKILKKIPQSDGKRKVDGVPVFGAQNLDIAIVTTDGVKWYTPYFFDKNMLDDILEESVDQHFRSLIQNRHIERRKDVVDDNLSAEVIEEIEDSMWEPPEVQEFLDEVPPAIPLSVISKATEIQLLYAVDKVLLGNRWLRKAMGIQPKFPYMVDSFERRSASSFLRASEPATYLSSPETHSNMTELKFVDNANADDGQRQDFRFPLGDWFSLPWLKHERKPRKKSDARYHHIDCSYKCLFYH >KJB37323 pep chromosome:Graimondii2_0_v6:6:45686925:45690821:1 gene:B456_006G199600 transcript:KJB37323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPTDPPHHQLPRNVTNFFRNTTTSVFSHFSTPQTPPCLPFADSPLESNLPNSISSKSAIKGVSSAESSSGFPSTVRISGLNSAGKSGGPAFVGRVFSMCDLSGTGLMAISTHFNIPFISKRTPEWLKKIFANITTSERNGPVFRFFIDLGDAVNYVKRLNIPSGVVGACRLDLAYDHFKENPHLFQFVPNEKQVKAANKILKKIPQSDGKRKVDGVPVFGAQNLDIAIVTTDGVKWYTPYFFDKNMLDDILEESVDQHFRSLIQNRHIERRKDVVDDNLSAEVIEEIEDSMWEPPEVQEFLDEVPPAIPLSVISKATEIQLLYAVDKVLLGNRWLRKAMGIQPKFPYMVDSFERRSASSFLRASEPATYLSSPETHSNMTELKFVDNANADDGQRQDFRFPLGDWFSLPWLKHERKPRKKSDASPSKECKRQHFQANPLLPKITMVGMSTGDGQMSESSLNNTMDDLIRELESTEEGNRSDSEINKLKVENRDPLFVANVGDYHSGLAKTDSARWFQVGKN >KJB37321 pep chromosome:Graimondii2_0_v6:6:45687015:45688798:1 gene:B456_006G199600 transcript:KJB37321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPTDPPHHQLPRNVTNFFRNTTTSVFSHFSTPQTPPCLPFADSPLESNLPNSISSKSAIKGVSSAESSSGFPSTVRISGLNSAGKSGGPAFVGRVFSMCDLSGTGLMAISTHFNIPFISKRTPEWLKKIFANITTSERNGPVFRFFIDLGDAVNYVKRLNIPSGVVGACRLDLAYDHFKENPHLFQFVPNEKQVKAANKILKKIPQSDGKRKVDGVPVFGAQNLDIAIVTTDGVKWYTPYFFDKNMLDDILEESVDQHFRSLIQNRHIERRKDVVDDNLSAEVIEEIEDSMWEPPEVTDLLLSSFALYRKLKVLNGSD >KJB36285 pep chromosome:Graimondii2_0_v6:6:40942649:40951463:-1 gene:B456_006G150500 transcript:KJB36285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSLWRSIDRFSLQHFKSVITELRQIKVVNEQNRDTVVDLLQTIVEIVTYGDRQDPLIFECFMEYQVLAEFVRVLKISTSSTIEAPLLQYLSIMIQNMDSEHAIYYCLSNDYVNNIVAHPYNFNAGDLALYYVSFLRAVSSKISRDTLCLLVKVHGDVVVSFPMYTEALKFAQHEEKMIQTAIRALTLNIYNICDDNVYQFITTPPASIYFSDLVSSLRKQCLQLDALVNSSEDKCTHQRNKDIFLKTDKIVDDLFYFKDMLSVGESRLSRVVTQNLLSLLILPLLLPLLKLGENKGSYNISAVTSLYIVSRLLQVVGGKHLINGVAGLLLYHYMALCQRDAIATNGDTAGSTGDASPLLHSLNDINIKVSGHEAEGEKEININYLLQHLNVQTSSNSHFDGSPRDDNVCIERFGIFAYLFSDNHSISLASLFLLLTLAENKDLEHFPASLLGISQSQGLMNNCESAFLKVDGSILVRLMPQILKTLLKVLACQPPVSPPMQWHTGWFLRKLLQCQGNKLTDDNIHLFNTSYEQSRECLQKELDGCWFDHIPDTIGNEWGSCKKALELQFHVKDPLFTLELEICQQAIDGNFNSCFAWERMVDAVKVFILHLQLKALIFMGCLLEKPSLESPSDSDLGKTYSRDISSASFGSEVSLGSGIPCRIAFSYAGVRDIYLLAVARGISGKLILAEKHPFRSQRGVVIAIAPLAGLSQPRIDEDHPTWLHLRIREFEPNFVKAKGGQSKVSTPPADGRWTLGFHSAKACETARLLILEETCKQRSSVESMLAPLLREDYLVNVLDSQGD >KJB36284 pep chromosome:Graimondii2_0_v6:6:40942327:40951689:-1 gene:B456_006G150500 transcript:KJB36284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSLWRSIDRFSLQHFKSVITELRQIKVVNEQNRDTVVDLLQTIVEIVTYGDRQDPLIFECFMEYQVLAEFVRVLKISTSSTIEAPLLQYLSIMIQNMDSEHAIYYCLSNDYVNNIVAHPYNFNAGDLALYYVSFLRAVSSKISRDTLCLLVKVHGDVVVSFPMYTEALKFAQHEEKMIQTAIRALTLNIYNICDDNVYQFITTPPASIYFSDLVSSLRKQCLQLDALVNSSEDKCTHQRNKDIFLKTDKIVDDLFYFKDMLSVGESRLSRVVTQNLLSLLILPLLLPLLKLGENKGSYNISAVTSLYIVSRLLQVVGGKHLINGVAGLLLYHYMALCQRDAIATNGDTAGSTGDASPLLHSLNDINIKVSGHEAEGEKEININYLLQHLNVQTSSNSHFDGSPRDDNVCIERFGIFAYLFSDNHSISLASLFLLLTLAENKDLEHFPASLLGISQSQGLMNNCESAFLKVDGSILVRLMPQILKTLLKVLACQPPVSPPMQWHTGWFLRKLLQCQGNKLTDDNIHLFNTSYEQSRECLQKELDGCWFDHIPDTIGNEWGSCKKALELQFHVKDPLFTLELEICQQAIDGNFNSCFAWERMVDAVKVFILHLQLKALIFMGCLLEKPSLESPSDSDLGKTYSRDISSASFGSEVSLGSGIPCRIAFSYAGVRDIYLLAVARGISGKLILAEKHPFRSQRGVVIAIAPLAGLSPRIDEDHPTWLHLRIREFEPNFVKAKGGQSKVSTPPADGRWTLGFHSAKACETARLLILEETCKQRSSVESMLAPLLREDYLVNVLDSQGD >KJB37276 pep chromosome:Graimondii2_0_v6:6:45420156:45423270:1 gene:B456_006G196800 transcript:KJB37276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFSCFSPLIFILSFSSSNIVLVFITRNPLVLFLIGVPEMGRDWYWSSGGPVAKPSSTSNRTTSERHSAQTTLSGCITAVFHLFGSHRFRCHLKHHTSRSKLPSLLSRDSTIKGTDTEEEASTLTSASFTSTTKEEEFLNIPTGIQIKTRLDIRSKVGAPNNETPGTRTPTLVARLMGLDLLPESHSPSFQAKSRLSHHIQSSKSGHKSSLHGDMRGGTRSLPETPRPSSARRSDVDYHHHRLSLQINKENMSACEELVMSRLSSLKMKYEIVEQVKERLKRKVGMNITNAVRNRQHDREELVSKFKFKRISRALTKVADDSSIVNHSKHSSSIEFRFLESKGKPDKNPSTNYHNLQPPKLSFSSSPDIDIQLQPIRVLPKPKLQAVEEEQDEQHKLQQQQPRAASKCKKGPNQKFISRLKKPQEASEIIRNKKEEPFVRPSRLDIPDKKCRKTHLLNTTVPTLLPAPAAKIPQEKVLDAQRPKYSSQLSSSTQTYVKQEPRQAQVSSISTAGNEAEYEYIARILRRSGIDKDTPVSFSSWFSPSHPLDPSIFNYVERFTTCSANDNGKLSQRCNRKLLFHLVDELLSGILKPYFNMKPWVIRVGPGFSYMDGSQLIGTLWSKIRSFPQSDCRVLEDIDALIDKDLPDIKLQSVMAYEEEGEAIVAELEKGILEALLHEMAVEFGVRL >KJB37939 pep chromosome:Graimondii2_0_v6:6:47842439:47849900:-1 gene:B456_006G227400 transcript:KJB37939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEDNVIEDSASVEDDFDGDDYDYDDGGDYYDDDYGDAGDDDDDYGLVEDDDVDDPGAMVSRRPKLGYTVLQEADIKQRQEDDISKVSTVLSLSQVEATILLRHYNWNVNEVHDEWFSDEERVRKSVGLFERPVVDVSDASEFTCGICFDLLPCDNFASASCGHPFCRECWQGYICTSINDGPGCLLLRCPEPSCKAAVGPDMIDKLAPCEEKEKYSQFLLRSYIEDNREAKWCPAPGCENAVNFAVGGGDFDVTCLCSYRFCWNCTVEAHRPVDCETVTKWMLKNSVDGENVNWILHNSKTCPKCKRPIEKNQGCMHMTCTPPCSYEFCWLCLRAWSSHGLATGGFYSCNVYEAEMQKGNVDAEMRREMAKNSFEKYTHYYERWASNQSSREKALEDLNRMESENMEKLCNVQCTTMSQLKCITEAWLQIVECRRVLKWTYAYGYDLPEHEKTKTQFFEYLQGEAEAGLERLHRCAEKELDKYVTADGPLSDFDDFRTKLTGLTSVTKTYFENLVRALENGLEDVNSTACNKIVSPRSPASPRSPTGRNGKGRGSRGKESPRAGGSPKNVDDTSK >KJB37938 pep chromosome:Graimondii2_0_v6:6:47842079:47849900:-1 gene:B456_006G227400 transcript:KJB37938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVFGSQVLNSKVFGMVSEDNVIEDSASVEDDFDGDDYDYDDGGDYYDDDYGDAGDDDDDYGLVEDDDVDDPGAMVSRRPKLGYTVLQEADIKQRQEDDISKVSTVLSLSQVEATILLRHYNWNVNEVHDEWFSDEERVRKSVGLFERPVVDVSDASEFTCGICFDLLPCDNFASASCGHPFCRECWQGYICTSINDGPGCLLLRCPEPSCKAAVGPDMIDKLAPCEEKEKYSQFLLRSYIEDNREAKWCPAPGCENAVNFAVGGGDFDVTCLCSYRFCWNCTVEAHRPVDCETVTKWMLKNSVDGENVNWILHNSKTCPKCKRPIEKNQGCMHMTCTPPCSYEFCWLCLRAWSSHGLATGGFYSCNVYEAEMQKGNVDAEMRREMAKNSFEKYTHYYERWASNQSSREKALEDLNRMESENMEKLCNVQCTTMSQLKCITEAWLQIVECRRVLKWTYAYGYDLPEHEKTKTQFFEYLQGEAEAGLERLHRCAEKELDKYVTADGPLSDFDDFRTKLTGLTSVTKTYFENLVRALENGLEDVNSTACNKIVSPRSPASPRSPTGRNGKGRGSRGKESPRAGGSPKNVDDTSK >KJB33717 pep chromosome:Graimondii2_0_v6:6:7526134:7528663:-1 gene:B456_006G029200 transcript:KJB33717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKATNVWVFIFIIIVNVAFSQKTNCTLDFEFKGASNSSNENGDWGGFLNQNRCGTTFNGYLKALGVRANQTGFIFLDSSEQTRCLNSMKRFDCGMEKLTSGGGGCSNYSTADVEQKLGNELRSLSENCRFASCGSCVRSWESINGGSNLEESMICRFAVLVSLTSLKVEDEENIQRIYECLSNNTRVYGEKEELTKKSKGKRGIWILIGCIVGFVVIIVTVIIFSKTCCKSKPSFKTHAIKDVLLKKPGCPKFPIKEVYFATNSLDESNFIGEGTAGKVYKGILSNKEHVAIKHIIKDGKVETFVREVTSLSHINHPNLVRLVGYCSSKQHCFLIYELCPNGNLANWLFGMFLVFWFNFLPFVNNAELKYGL >KJB33719 pep chromosome:Graimondii2_0_v6:6:7525124:7528663:-1 gene:B456_006G029200 transcript:KJB33719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKATNVWVFIFIIIVNVAFSQKTNCTLDFEFKGASNSSNENGDWGGFLNQNRCGTTFNGYLKALGVRANQTGFIFLDSSEQTRCLNSMKRFDCGMEKLTSGGGGCSNYSTADVEQKLGNELRSLSENCRFASCGSCVRSWESINGGSNLEESMICRFAVLVSLTSLKVEDEENIQRIYECLSNNTRVYGEKEELTKKSKGKRGIWILIGCIVGFVVIIVTVIIFSKTCCKSKPSFKTHAIKDVLLKKPGCPKFPIKEVYFATNSLDESNFIGEGTAGKVYKGILSNKEHVAIKHIIKDGKVETFVREVTSLSHINHPNLVRLVGYCSSKQHCFLIYELCPNGNLANWLFGKDRVLSWIKRLEIAVGSARGLQFLHTYSEGCIVHRDIKCLNFAANKHSVGAKL >KJB33718 pep chromosome:Graimondii2_0_v6:6:7525198:7528663:-1 gene:B456_006G029200 transcript:KJB33718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKATNVWVFIFIIIVNVAFSQKTNCTLDFEFKGASNSSNENGDWGGFLNQNRCGTTFNGYLKALGVRANQTGFIFLDSSEQTRCLNSMKRFDCGMEKLTSGGGGCSNYSTADVEQKLGNELRSLSENCRFASCGSCVRSWESINGGSNLEESMICRFAVLVSLTSLKVEDEENIQRIYECLSNNTRVYGEKEELTKKSKGKRGIWILIGCIVGFVVIIVTVIIFSKTCCKSKPSFKTHAIKDVLLKKPGCPKFPIKEVYFATNSLDESNFIGEGTAGKVYKGILSNKEHVAIKHIIKDGKVETFVREVTSLSHINHPNLVRLVGYCSSKQHCFLIYELCPNGNLANWLFGKDRVLSWIKRLEIAVGSARGLQFLHTYSEGCIVHRDIKPTNILLGPNFEPKLSDFGLCKVIEIGETYVSSEVRGTFGYVDPEYQNDRRVNSSGDVFSFGVVLLQILSGKKVFNLNLEKPIPLNKMVSNPILMFTIPTEK >KJB33716 pep chromosome:Graimondii2_0_v6:6:7526134:7528663:-1 gene:B456_006G029200 transcript:KJB33716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKATNVWVFIFIIIVNVAFSQKTNCTLDFEFKGASNSSNENGDWGGFLNQNRCGTTFNGYLKALGVRANQTGFIFLDSSEQTRCLNSMKRFDCGMEKLTSGGGGCSNYSTADVEQKLGNELRSLSENCRFASCGSCVRSWESINGGSNLEESMICRFAVLVSLTSLKVEDEENIQRIYECLSNNTRVYGEKEELTKKSKGKRGIWILIGCIVGFVVIIVTVIIFSKTCCKSKPSFKTHAIKDVLLKKPGCPKFPIKEVYFATNSLDESNFIGEGTAGKVYKGILSNKEHVAIKHIIKDGKVETFVREVTSLSHINHPNLVRLVGYCSSKQHCFLIYELCPNGNLANWLFGMFLVFWFNFLPFVNNAELKYGL >KJB33072 pep chromosome:Graimondii2_0_v6:6:43073399:43074528:-1 gene:B456_006G171600 transcript:KJB33072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAGLIEEGCTTPKHRIPEAFKCPPPPRKKSQGVVKREPPKNGYFQPPDLDALFTMQPRREALWFKL >KJB37320 pep chromosome:Graimondii2_0_v6:6:45668621:45669540:-1 gene:B456_006G199500 transcript:KJB37320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAEVFVVVTLVVTREVPRQISSHPSWVLLVEDPLSVVVAEAIVQLHLVQVLLEDNMSFGLLVLKHSIQNLRILI >KJB35467 pep chromosome:Graimondii2_0_v6:6:36546454:36547896:-1 gene:B456_006G116400 transcript:KJB35467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIEIPSLFLCPISLQLMRDPVTISTGITYDRDSIEQWLFSCKNKVCPVTKQVLHDSDLIPNHTLRRLIQSWCTVNASHGVERIPTPKPPIDKTQIAKLLKDAKKFPEMQVKCLKRLRSITLEGERNRSCLEAAGAVEFLVSIIKTYNSTLLLETESNEGPEFLKASDEALSILYHIKVSESCLKSIISNDYEFVESLVQILRNGSYQSRAYATMLLKDIFEVADPIHLISLTPDFFTEIVHTLRDQISQQASKAALKLLVELCPWGRNRIKAVEGGAVFVLIELLLESSDKRASELAMVVLDQLCGCAEGRAEFLNHGAGLAMVSKKIFRVSHVVSGRAVRILSSICRFSATSRVLQEMLQVGVVAKLCFVLQLDSSYKTKEKAREMLKLHSRVWRNHSCIPSHLLSSYPSS >KJB34226 pep chromosome:Graimondii2_0_v6:6:19416086:19416750:1 gene:B456_006G054100 transcript:KJB34226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVPSMLWTLCRISLESLRAFYQFDLNLWIGRINKERMAVMMTVYRELGWRATIFGENEEGFYDRIGLGRKFLSVLGVYLIISLSVMLGLIYGRKERPHILSLLEAETYIVTL >KJB36806 pep chromosome:Graimondii2_0_v6:6:43476909:43479135:1 gene:B456_006G176900 transcript:KJB36806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLPLQILLLFLLSLISSSIAEIKTLTINSDSRPMILFEKFGFTHSGHIAISVSSVSVTSSANAPNPDASRLGFFLLSEEALLQVLLEIQQNPHFCVLDSRYIQHLFTFGDLSPPPLSRFNHTYPVSSPNEYSLFFANCAPETRVSMNVRTETYNLERDGSKDYLSAGLTHLPSLYTFFSILYLVFLGSWIYVCYTNKRSVHRIHLLMSGLLLFQALNLICAAEDKHYVKVTGTPHGWDVLFYIFQFIRVVLLFTVIILIGTGWSFLKPFLQEREKKVLMIVIPLQVLANVASIVIGETGPFIKDWVTWNQLFLLVDIVCCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALRTIAAYKYQWVSNAAEETASLAFYLVMFYMFRPVEKNEYFILDEEDEEAAEIALRDEEFEL >KJB34216 pep chromosome:Graimondii2_0_v6:6:19877212:19877989:1 gene:B456_006G054500 transcript:KJB34216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTVSATFSPDSMLDNDPIPDIETNIMKWDWSELFSPSQSTGSARSSGTGSDEAKQSLTGGSTSSLKDPNQRVPTIDERKLRRMISNRASARRSRMRKRNHLENLTNEVKQLQIQNQELNNRLKSLSDLNHCLRIDNNRVRFECSILQQEVLDMRQLLVFT >KJB33668 pep chromosome:Graimondii2_0_v6:6:6407598:6409467:1 gene:B456_006G025100 transcript:KJB33668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMIMVKDESYQRRRRMSSVYGDVQAVKCVKRRRRDRSDVNQGLQQNDQSSNAPAAAITVKRSSRFRGVSKHRWTGRYEAHLWDKLSWNVTQKKKGKQGAYDDEEAAARAYDLAALKYWGTSTSTNFPISDYEKEIEVMQTVTKEEFLASLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYNTQEEAARAYDIAAIEYRGINAVTNFDLSTYVGWLRPGMTNNYRIAANETPATVEPESVQSTSCYSPIEESKPSIHYPFATDYFNSPQKQQHVVETKLPVSYKSSSPTALSLLLRSSVFRELVEKNANNVSEDESSNSDADNEQKNQQSGRSDHSDEFGRLFYDEIGSGFSLFFSPTKDSIQLQENELPFVI >KJB34960 pep chromosome:Graimondii2_0_v6:6:32982288:32984954:1 gene:B456_006G092500 transcript:KJB34960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLLPRDDSEEHAGTKTCFYCNKVFSSHRALGGHLRIHQDGRILRALNYPGGSSSSVNNTGNPPASLPNSQLNSFASFNSLSAITSNSVNNSGNPPASLPNSQPNSSSSFNSPTQFSTSSVNNSGNSPASLPNNQLNSTAFNSLTLLPAAHLACDFSWMIYLNETNQVSRSQFIGGYSGVPLIPVILSPDFSYGYSYGATYHHNSLASRAFAPVGSNVDFPYVCSSCAAYYHNILASRAFVPVGMIAAMPSAAFALFGSVVARGFLVDSSLFLGSNGVRQFNTNEFQISQVTLSPSFGNALPNIQAQNAGPPSAGSANVGRSERRSSGKRSREADRSRNAETSNASRRPRIAPNEHVEPENCPKKELQLFVDVVVPSSSSEASSSAEEEDLVDMDLSLHL >KJB34961 pep chromosome:Graimondii2_0_v6:6:32982746:32985387:1 gene:B456_006G092500 transcript:KJB34961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLLPRDDSEEHAGTKTCFYCNKVFSSHRALGGHLRIHQDGRILRALNYPGGSSSSVNNTGNPPASLPNSQLNSFASFNSLSAITSNSVNNSGNPPASLPNSQPNSSSSFNSPTQFSTSSVNNSGNSPASLPNNQLNSTAFNSLTLLPAAHLACDFSWMIYLNETNQISQVTLSPSFGNALPNIQAQNAGPPSAGSANVGRSERRSSGKRSREADRSRNAETSNASRRPRIAPNEHVEPENCPKKELQLFVDVVVPSSSSEASSSAEEEDLVDMDLSLHL >KJB34959 pep chromosome:Graimondii2_0_v6:6:32981911:32985267:1 gene:B456_006G092500 transcript:KJB34959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLLPRDDSEEHAGTKTCFYCNKVFSSHRALGGHLRIHQDGRILRALNYPGGSSSSVNNTGNPPASLPNSQLNSFASFNSLSAITSNSVNNSGNPPASLPNSQPNSSSSFNSPTQFSTSSVNNSGNSPASLPNNQLNSTAFNSLTLLPAAHLACDFSWMIYLNETNQISQVTLSPSFGNALPNIQAQNAGPPSAGSANVGRSERRSSGKRSREADRSRNAETSNASRRPRIAPNEHVEPENCPKKELQLFVDVVVPSSSSEASSSAEEEDLVDMDLSLHL >KJB36164 pep chromosome:Graimondii2_0_v6:6:40234895:40237855:-1 gene:B456_006G144100 transcript:KJB36164 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G49080) UniProtKB/Swiss-Prot;Acc:Q8L6Z4] MKTFPLFLPKPLAFFSTMMFCRLLTRASHFRFLPLLSSKSPPCPSFSQHHPLSLVRFLSTNNNDNRKDQSFSNPWNFAEEANGKFGNPFPDESQKKSGEGDWLVGEEDKAWSFGEEDKDDGVFDFKEEAVQDVGLTIDGTGIALGVDEVEDREKLEEEEKQLTAVLKGPNRAFGDLIAASGITDAMLDSLIALKDLEGVEGLPPLSVIEDMRYEKNTRKSTRAEMERQKLEEMAKERVRQVDDKGRAYGTGRRKCSIARVWVEPGDGKFIINDKEFDVYFPMLDHRAALLRPFSETKTLGLWDIKCTVKGGGLSGQVGAIQLGISRALQNFEPELRPPLRAGKSQGRQKQGRASNGSSVDGQFFVAQIFPQRGFFSFLVFFALDEKLTFILTMLQ >KJB36162 pep chromosome:Graimondii2_0_v6:6:40234895:40237855:-1 gene:B456_006G144100 transcript:KJB36162 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G49080) UniProtKB/Swiss-Prot;Acc:Q8L6Z4] MKTFPLFLPKPLAFFSTMMFCRLLTRASHFRFLPLLSSKSPPCPSFSQHHPLSLVRFLSTNNNDNRKDQSFSNPWNFAEEANGKFGNPFPDESQKKSGEGDWLVGEEDKAWSFGEEDKDDGVFDFKEEAVQDVGLTIDGTGIALGVDEVEDREKLEEEEKQLTAVLKGPNRAFGDLIAASGITDAMLDSLIALKDLEGVEGLPPLSVIEDMRYEKNTRKSTRAEMERQKLEEMAKERVRQVDDKGRAYGTGRRKCSIARVWVEPGDGKFIINDKEFDVYFPMLDHRAALLRPFSETKTLGLWDIKCTVKGGGLSGQVGAIQLGISRALQNFEPELRPPLRAAGFLTRDSRVVERKKPGKAKARKSFQWVKR >KJB36163 pep chromosome:Graimondii2_0_v6:6:40235447:40237769:-1 gene:B456_006G144100 transcript:KJB36163 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G49080) UniProtKB/Swiss-Prot;Acc:Q8L6Z4] MKTFPLFLPKPLAFFSTMMFCRLLTRASHFRFLPLLSSKSPPCPSFSQHHPLSLVRFLSTNNNDNRKDQSFSNPWNFAEEANGKFGNPFPDESQKKSGEGDWLVGEEDKAWSFGEEDKDDGVFDFKEEAVQDVGLTIDGTGIALGVDEVEDREKLEEEEKQLTAVLKGPNRAFGDLIAASGITDAMLDSLIALKDLEGVEGLPPLSVIEDMRYEKNTRKSTRAEMERQKLEEMAKERVRQVDDKGRAYGTGRRKCSIARVWVEPGDGKFIINDKEFDVYFPMLDHRAALLRPFSETKTLGLWDIKCTVKGGGLSGQVGAIQLGISRALQNFEPELRPPLRAAGFLTRDSRVVERKKPGKAKARKSFQWVKR >KJB33760 pep chromosome:Graimondii2_0_v6:6:7609462:7613223:1 gene:B456_006G029800 transcript:KJB33760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G40280) TAIR;Acc:AT5G40280] MPHLATTYAAVNSLVTLGGDKAFSSINREKLYMFLRQMKDASGGFRMHDGGEIDVRACYTAISVASLLNILDDELVQNVGNYILSCQTYEGGISGEPGSEAHGGYTFCGLATMILINEVDRLDLSSLTDWVVFRQGVEGGFQGRTNKLVDGCYSFWQGGIFALLKRLNSTKGVKPIPLGDGEGSGEESPQTTASSDAAGEEGLNEDLSLGGSHSEIGDQNNTSSQVNVIDIDHNHSKGHANVEPLFNSLALQQYILLCSQELNGGLRDKPGKSRDHYHTCYCLSGLSVCQRSWLEDEDSPPLPRAVLGPYSNLLEPIHPLYNVVLDRYRGAHEFFTKS >KJB33759 pep chromosome:Graimondii2_0_v6:6:7607800:7613223:1 gene:B456_006G029800 transcript:KJB33759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G40280) TAIR;Acc:AT5G40280] MESFRSLTVTQRDQILVEQQVFDIFKTFYNLPPSSQSFMLELQRENHVEYLTKGLTGLGPSFCVLDANRPWICYWILHSIALLDEFVDPELEDNTIDFLSRCQGPNGGYAGGPGQMPHLATTYAAVNSLVTLGGDKAFSSINREKLYMFLRQMKDASGGFRMHDGGEIDVRACYTAISVASLLNILDDELVQNVGNYILSCQTYEGGISGEPGSEAHGGYTFCGLATMILINEVDRLDLSSLTDWVVFRQGVEGGFQGRTNKLVDGCYSFWQGGIFALLKRLNSTKGVKPIPLGDGEGSGEESPQTTASSDAAGEEGLNEDLSLGGSHSEIGDQNNTSSQVNVIDIDHNHSKGHANVEPLFNSLALQQYILLCSQELNGGLRDKPGKSRDHYHTCYCLSGLSVCQRSWLEDEDSPPLPRAVLGPYSNLLEPIHPLYNVVLDRYRGAHEFFTKS >KJB33547 pep chromosome:Graimondii2_0_v6:6:3804440:3813308:-1 gene:B456_006G016800 transcript:KJB33547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMETKDEFATREPDRAQVGSRGPRLVINEMVMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTNHQNLDSAGVSVHFQEIIDLDDGTYEAVPGSEFVISRVAFRDNSSKYYINNRASNFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTNKYVEKIDESSKELESLNEKRSGVVQMVKLAEKERDSLEDVKNEAEAYMLKELSLLKWQEKAAKLAHEDTSLKMVELRENVSNVEENLKNKREEIQESSKRLKEIESVHNKHLIRKEELDNDLRTCKEEFKEFERQDVKYREDLKHMKQKLKKLEDKLEKDSSKIEDVSKECENSKNLIPKLEENIPKLQKLLLDEEKVLEEMKENSKVETEKYRSELSKVRAELEPWEKELIVHKGKLEVAHTERNLLTQKHEAANTAFKDAQKEMDNILGKTETITAAVKDKQTDLEKNKLGALEARKLEQTCIKEQEALIPLEQAAREKFAELKSVLDSEKSQGSVLKAILQAKESKQIEGIYGRMGDLGAIDAKYDVAISTACPGLDYIVVETTAAAQACVELLRREQLGIATFMILEKQLDLLERSKEKVRTPEGVPRLYDLIKVQDERIKLAFYAALGNTIVAKDLDQATRIAYGGNKEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRAASVSRETVVAAEKELANMVDSLNNIRQRIADATRRYQASEKVVAELDMEIAKSQKEVDSLNSEYKYLEKQLDSLEAASRPKKDEIDRLEELKKIISTEEKEIDRLIQGSKKLKEKASDLQNKIENAGGEKLKTQKSKVEKIQSDIDKNSTEINRHKVQIETGEKMVKKLTKGIEESKKEKERIIEGKDKMHGMFKEIEQKAFIVQDNYKKMQKVIDEHGEVLEKSKLEYEKVKKNVDQLRASEVDADFKLQDMKKMYKELEMKGKGYKKKLNDLEISLQKHMEQIQKDLVDTEKLQATLADETLTEACDLKRALEMVTLLETQLKEMNPNLDSISEYRNKVSVYNERVDDLNTVTQQRDDIKKQYDELRKKRLDEFMAGFNAISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPNSFVVCEKAA >KJB33548 pep chromosome:Graimondii2_0_v6:6:3803322:3813447:-1 gene:B456_006G016800 transcript:KJB33548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMETKDEFATREPDRAQVGSRGPRLVINEMVMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTNHQNLDSAGVSVHFQEIIDLDDGTYEAVPGSEFVISRVAFRDNSSKYYINNRASNFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTNKYVEKIDESSKELESLNEKRSGVVQMVKLAEKERDSLEDVKNEAEAYMLKELSLLKWQEKAAKLAHEDTSLKMVELRENVSNVEENLKNKREEIQESSKRLKEIESVHNKHLIRKEELDNDLRTCKEEFKEFERQDVKYREDLKHMKQKLKKLEDKLEKDSSKIEDVSKECENSKNLIPKLEENIPKLQKLLLDEEKVLEEMKENSKVETEKYRSELSKVRAELEPWEKELIVHKGKLEVAHTERNLLTQKHEAANTAFKDAQKEMDNILGKTETITAAVKDKQTDLEKNKLGALEARKLEQTCIKEQEALIPLEQAAREKFAELKSVLDSEKSQGSVLKAILQAKESKQIEGIYGRMGDLGAIDAKYDVAISTACPGLDYIVVETTAAAQACVELLRREQLGIATFMILEKQLDLLERSKEKVRTPEGVPRLYDLIKVQDERIKLAFYAALGNTIVAKDLDQATRIAYGGNKEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRAASVSRETVVAAEKELANMVDSLNNIRQRIADATRRYQASEKVVAELDMEIAKSQKEVDSLNSEYKYLEKQLDSLEAASRPKKDEIDRLEELKKIISTEEKEIDRLIQGSKKLKEKASDLQNKIENAGGEKLKTQKSKVEKIQSDIDKNSTEINRHKVQIETGEKMVKKLTKGIEESKKEKERIIEGKDKMHGMFKEIEQKAFIVQDNYKKMQKVIDEHGEVLEKSKLEYEKVKKNVDQLRASEVDADFKLQDMKKMYKELEMKGKGYKKKLNDLEISLQKHMEQIQKDLVDTEKLQATLADETLTEACDLKRALEMVTLLETQLKEMNPNLDSISEYRNKVSVYNERVDDLNTVTQQRDDIKKQYDELRKKRLDEFMAGFNAISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPNSFVVCEKAA >KJB36185 pep chromosome:Graimondii2_0_v6:6:40366605:40367305:1 gene:B456_006G145400 transcript:KJB36185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGTTHLFFSLNASCFLLSLSRFQLFFVSLSYFTVIKIVPSWGFQFLHPLPYTSGIISPFCFATKTIIPLVYITMSIKYAVLKIVCNERKILREKTYRDKTIFKEPAAL >KJB34283 pep chromosome:Graimondii2_0_v6:6:21041259:21046267:1 gene:B456_006G057200 transcript:KJB34283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLSCRIAEDLNLSHLMERLVKGKDQPNSLSSSEKLELWDRLKILSFTRLVVSIWAVTILSLYIRVQVNILGRHLYIDTARGLGSSYLLEEADLIDRDDQQKFLASADFLANHGLPKLISSMQTAATEVLKAKQLRDFFNTAILHETIMQILDMFLSMGSPHHWVDCLMPEDPRLYKLAKTSSDETNPPEFTKFDQLMVETREVLSSAEFSNVVELSLKAVAKALVEEKGFQSGGGNLTNGMPLARLLPRIAQICPTLVEEPSKNQFIQIIQSVPEVGLFFTLLYSNMSAS >KJB34282 pep chromosome:Graimondii2_0_v6:6:21040898:21046267:1 gene:B456_006G057200 transcript:KJB34282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLRDLWRRHRRKVLVTAGVLGSGYLLYKLYDAHKRRLIDLERQLANERENDEFIKAQIQLHFENIQRIADTTTLPHAMPYLSCRIAEDLNLSHLMERLVKGKDQPNSLSSSEKLELWDRLKILSFTRLVVSIWAVTILSLYIRVQVNILGRHLYIDTARGLGSSYLLEEADLIDRDDQQKFLASADFLANHGLPKLISSMQTAATEVLKAKQLRDFFNTAILHETIMQILDMFLSMGSPHHWVDCLMPEDPRLYKLAKTSSDETNPPEFTKFDQLMVETREVLSSGESTGGGEGFSIWRRQSNKWDASS >KJB34281 pep chromosome:Graimondii2_0_v6:6:21040688:21046324:1 gene:B456_006G057200 transcript:KJB34281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLRDLWRRHRRKVLVTAGVLGSGYLLYKLYDAHKRRLIDLERQLANERENDEFIKAQIQLHFENIQRIADTTTLPHAMPYLSCRIAEDLNLSHLMERLVKGKDQPNSLSSSEKLELWDRLKILSFTRLVVSIWAVTILSLYIRVQVNILGRHLYIDTARGLGSSYLLEEADLIDRDDQQKFLASADFLANHGLPKLISSMQTAATEVLKAKQLRDFFNTAILHETIMQILDMFLSMGSPHHWVDCLMPEDPRLYKLAKTSSDETNPPEFTKFDQLMVETREVLSSAEFSNVVELSLKAVAKALVEEKGFQSGGGNLTNGMPLARLLPRIAQICPTLVEEPSKNQFIQIIQSVPEVGLFFTLLYSNMSAS >KJB36512 pep chromosome:Graimondii2_0_v6:6:42336405:42339833:-1 gene:B456_006G163100 transcript:KJB36512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSNHIQNHNHHENGEKNPTLGGASRSWGTTVSGQSVSTSGSVGSPSSRSEQAMATPASENTFLRLNHLDIHGDDAGSQGAVGKKKKRGQRAVAGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPGNSVASPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIEDLKTERLGLRNRIDKKAAYLHELEEQFVGLQNLIQRNEQLYSSGNASGGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPQTDEMAHNFSADGEGSSMSAMYKQQILAPPRTSTPGRPPTSPPLPGILKARVKHEH >KJB36515 pep chromosome:Graimondii2_0_v6:6:42335118:42340330:-1 gene:B456_006G163100 transcript:KJB36515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSNHIQNHNHHENGEKNPTLGGASRSWGTTVSGQSVSTSGSVGSPSSRSEQAMATPASENTFLRLNHLDIHGDDAGSQGAVGKKKKRGQRAVAGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPGNSVASPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIEDLKTERLGLRNRIDKKAAYLHELEEQFVGLQNLIQRNEQLYSSGNASGGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPQTDEMAHNFSADGEGSSMSAMYKQQILAPPRTSTPGRGFTHFKLGS >KJB36511 pep chromosome:Graimondii2_0_v6:6:42336405:42339833:-1 gene:B456_006G163100 transcript:KJB36511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSNHIQNHNHHENGEKNPTLGGASRSWGTTVSGQSVSTSGSVGSPSSRSEQAMATPASENTFLRLNHLDIHGDDAGSQGAVGSKKKKRGQRAVAGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPGNSVASPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIEDLKTERLGLRNRIDKKAAYLHELEEQFVGLQNLIQRNEQLYSSGNASGGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPQTDEMAHNFSADGEGSSMSAMYKQQILAPPRTSTPGRPPTSPPLPGILKARVKHEH >KJB36514 pep chromosome:Graimondii2_0_v6:6:42335118:42340320:-1 gene:B456_006G163100 transcript:KJB36514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSNHIQNHNHHENGEKNPTLGGASRSWGTTVSGQSVSTSGSVGSPSSRSEQAMATPASENTFLRLNHLDIHGDDAGSQGAVGSKKKKRGQRAVAGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPGNSVASPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIEDLKTERLGLRNRIDKKAAYLHELEEQFVGLQNLIQRNEQLYSSGNASGGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPQTDEMAHNFSADGEGSSMSAMYKQQILAPPRTSTPGRGFTHFKLGS >KJB36513 pep chromosome:Graimondii2_0_v6:6:42336405:42339833:-1 gene:B456_006G163100 transcript:KJB36513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSNHIQNHNHHENGEKNPTLGGASRSWGTTVSGQSVSTSGSVGSPSSRSEQAMATPASENTFLRLNHLDIHGDDAGSQGAVGKKKKRGQRAVAGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPGNSVASPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIEDLKTERLGLRNRIDKKAAYLHELEEQFVGLQNLIQRNEQLYSSGNASGGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPQTDEMAHNFSADGEGSSMSAMYKQQILAPPRTSTPGRPPTSPPLPGILKARVKHEH >KJB34599 pep chromosome:Graimondii2_0_v6:6:29627710:29635057:1 gene:B456_006G074700 transcript:KJB34599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPWHSDLPSRCSYHMEHLWLAGLMTILWFHPSCPDLPAQHIVVVKYPRHKYISKMSQQNINSVKEIQAKGFDPRPVTLGVRLFAPSTQKMENGQTSPYLAQLKIEAERIDRPSPISAPKDCTTNAVESKQPPTKPSTETADSKPPETTETAGSKPPATTETADSKQPATKPSSETPESKKPATKPSTETPESKPPATKPSKETSESKQSATKPSTDTAEPEPNSAKTSTSTESKLYNNFTSITQWRGFFPALKKGVVIPTHSLTPLIPKFTRRKSKRIREDTIPQSSPALDTEAFNFKSSWKNFSLSELQEATDNYSREHLIGQGGYAEVYKGKLKSGKFVAIKKLTRGSPEEMTMDFLSELGIIVHVDHPNIAKMIGYGVEGGMHLVLQLSPHGSLASILYGPKEKLDWRTRFKIAVGTAKGLSYLHEGCQRRIIHKDIKAANILLTEDFDAQISDFGLAKWLPDQWTHHTVSKVEGTFGYLPPEFFMYGIVNEKTDVFAYGVLLLELITGRQAIDSSQQSLIMWAKPLIKENKIKELVDPSLGDGYELDQLNVVLAISSICIHQSAVNRPDMSQVVSILNGDRNSLEGLKEGEHSVLKRTYSEEMDDAEEYNSTKYLSDINRQLEILLDCSKDTL >KJB34598 pep chromosome:Graimondii2_0_v6:6:29627710:29635057:1 gene:B456_006G074700 transcript:KJB34598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPWHSDLPSRCSYHMEHLWLAGLMTILWFHPSCPDLPAQHIVVVKYPRHKYISKMSQQNINSVKEIQAKGFDPRPVTLGVRLFAPSTQKMENGQTSPYLAQLKIEAERIDRPSPISAPKDCTTNAVESKQPPTKPSTETADSKPPETTETAGSKPPATTETADSKQPATKPSSETPESKKPATKPSTETPESKPPATKPSKETSESKQSATKPSTDTAEPEPNSAKTSTSTESKLYNNFTSITQWRGFFPALKKGVVIPTHSLTPLIPKFTRRKSKRIREDTIPQSSPALDTEAFNFKSSWKNFSLSELQEATDNYSREHLIGQGGYAEVYKGKLKSGKFVAIKKLTRGSPEEMTMDFLSELGIIVHVDHPNIAKMIGYGVEGGMHLVLQLSPHGSLASILYGPKEKLDWRTRFKIAVGTAKGLSYLHEGCQRRIIHKDIKAANILLTEDFDAQISDFGLAKWLPDQWTHHTVSKVEGTFGYLPPEFFMYGIVNEKTDVFAYGVLLLELITGRQAIDSSQQSLIMWVVSILNGDRNSLEGLKEGEHSVLKRTYSEEMDDAEEYNSTKYLSDINRQLEILLDCSKDTL >KJB35881 pep chromosome:Graimondii2_0_v6:6:38618612:38622419:-1 gene:B456_006G131800 transcript:KJB35881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSIYGISFFFLFCIFPLVSGQLASNQSNTMITVSHQLANSSWNVEKEQNPCLWEGVTCNAPHNDSILSLSLSGFGLSNSSFLPLLCQINSLQSLDFSSNLFNSIPVEFFNTCGEIDGLKSLNFSKNKLVGSLPTFQKFAGLESLDLSFNALNGSIDSQLNGLSALKSLNLSCNNFTGSVPSRLGKSRVLEQLELSMNRFTGEIASEIGEHGNLIKIDLSENHLNGFIPESFVNLTKLETLILSSNQLHGEIPAGLLGITTLQRFSANQNNFVGLPSNNISMSLKILDLSYNKIAGRILPQLLLGSNLQTVDLSYNMLTGPVPVNISSSLVRLRLGSNNLSGPIPSTSFVSSPNLTYLELDNNSLTGTIPPQIGSNPKLALLNLAQNKLNGTLPDELLNLTQLEVLKLQLNKLSGEIPNQIGRLIMLSVLNISWNSLNGIIPPSISYCGKLINLNLQNNGLTGLIPDAIGNLNFLLELRLGENKLHGRIPDMPQKLQISLNLSFNNFDGPIPKTLSELNDLEVLDLSNNSFSGEVPDFLGTLSSLSQLVLSNNQLYGVLPQFKRNVSVYIDGNPQLHRPQYHPPELSKKGKSVGVTIVITFAAAVLAVVVVAIVFLLISRRLSKVNEEQLQSLEVLSPPRVIQGNMLTANGVHRSNIDFTKAMEAVASPANIVLKTRFSTYYKAMMPSGASYYVKKLNWSDKIFQLGSPDKFEQEIEALGKLSNSNIMIPLAYVLTVDSAYLFYEFSPKGTLYDILHGSLKSSLDWASRYSIAVGVAQGLAFLHGCTSSPILLIDLSSRSIVLKSLKEPQVGDIELCKVIDPSKSNGSLSTVAGSVGYIPPEYAYTMRVTMAGNVYSFGVVLLELLTGKAAVSEGTELAKWVLSNSVRPNKLDHILDFSISRTSLVVRNQMLAVLKVALACVSVTPESRPKMKSVLRMILNVR >KJB35880 pep chromosome:Graimondii2_0_v6:6:38618612:38622275:-1 gene:B456_006G131800 transcript:KJB35880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSIYGISFFFLFCIFPLVSGQLASNQSNTMITVSHQLANSSWNVEKEQNPCLWEGVTCNAPHNDSILSLSLSGNLFNSIPVEFFNTCGEIDGLKSLNFSKNKLVGSLPTFQKFAGLESLDLSFNALNGSIDSQLNGLSALKSLNLSCNNFTGSVPSRLGKSRVLEQLELSMNRFTGEIASEIGEHGNLIKIDLSENHLNGFIPESFVNLTKLETLILSSNQLHGEIPAGLLGITTLQRFSANQNNFVGLPSNNISMSLKILDLSYNKIAGRILPQLLLGSNLQTVDLSYNMLTGPVPVNISSSLVRLRLGSNNLSGPIPSTSFVSSPNLTYLELDNNSLTGTIPPQIGSNPKLALLNLAQNKLNGTLPDELLNLTQLEVLKLQLNKLSGEIPNQIGRLIMLSVLNISWNSLNGIIPPSISYCGKLINLNLQNNGLTGLIPDAIGNLNFLLELRLGENKLHGRIPDMPQKLQISLNLSFNNFDGPIPKTLSELNDLEVLDLSNNSFSGEVPDFLGTLSSLSQLVLSNNQLYGVLPQFKRNVSVYIDGNPQLHRPQYHPPELSKKGKSVGVTIVITFAAAVLAVVVVAIVFLLISRRLSKVNEEQLQSLEVLSPPRVIQGNMLTANGVHRSNIDFTKAMEAVASPANIVLKTRFSTYYKAMMPSGASYYVKKLNWSDKIFQLGSPDKFEQEIEALGKLSNSNIMIPLAYVLTVDSAYLFYEFSPKGTLYDILHGSLKSSLDWASRYSIAVGVAQGLAFLHGCTSSPILLIDLSSRSIVLKSLKEPQVGDIELCKVIDPSKSNGSLSTVAGSVGYIPPEYAYTMRVTMAGNVYSFGVVLLELLTGKAAVSEGTELAKWVLSNSVRPNKLDHILDFSISRTSLVVRNQMLAVLKVALACVSVTPESRPKMKSVLRMILNVR >KJB34924 pep chromosome:Graimondii2_0_v6:6:32779107:32789539:1 gene:B456_006G091400 transcript:KJB34924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRRNRPSSSAYFLFHLFFFVVSFCCSKSNAQNATTDPVEARALNSIFQQWGTQAVDSWNISGELCSGSALSQSDSVFEDPTNNPAIRCDCSFNTNTLCHITRLRVYALDRTGVIPEELLGLPFLTFLKIDQNFFTGSLPAFIGNMSRLESLSIAHNDFTGPIPKELGNLRQLKLLDLSFNNLTGQIPSALFNMDSLTYLFLGNNSLSGPIPSRKSETLQTIDLSYNMLSGNLPSWIDSDLNLNFVANNFELNSSSSRLLPGLECLQRSFACNRNSPRYANFSIKCGGPQMMSNGIVFEAENQTLGEAGFNVTSAQNWAVSNVGLFADRQNQLYVQNTLAQVTRTSTPELYQTSRLSPGSLRYYGLGLENGLYTVNLFFAETAFSDRSTRSWRSLGRRIFDVYIQGTRQLRDFEISKEAGGVERAVVKNFTANVTDNHLEIHLFWAGKGTCCTPEQGYYGPSISAINVVPNFVPTVSGIPPGTHKGKNQTGLIVGITVPIVFVALILVFVIIYIKRRKKDDDEEVFIGISPRPNTFSYAELKTATEDFSPSKKLGEGGFGLVYKGTLSDGRVVAVKQLSVASHQGKDQFVSEIATISAVQHRNLVQLYGCCIEGNRHLLVYEYLENKSLDQTLFGHSGLHLDWPTRFNICLSVARGLAYLHEESRQRIVHRDVKASNILLDAEMCPKISDFGLAKLYDDKKMHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEILCGRPNTDTTLEIDRIYLLEWAWNLYENDQSLNLVDPTLAEFNKTEAFRVLGVALLCTQASPSMRPLMSRVVAMLAGDIEVSTVTSKPSYLTDYDFKDITGTFMSEETQTSMASSSNENTMKSNNNAATVTDPSPSPVNVSGFSDILGEGR >KJB38257 pep chromosome:Graimondii2_0_v6:6:49014521:49016778:-1 gene:B456_006G245000 transcript:KJB38257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS1 MTEASKKSMNPDPVHVQSTASSNETRSNNNLPNFLLSVRLKYVKLGYHYLISNAMYLMLVPLLAIASAHLSTLTVLDLVQLWDQLKFNLVSVTLCSGLLVFLATLYFMSRPRKVYLVDFACYKPEPERTCTREIFMERSGLTGSFTEENLAFQKKILERSGLGQKTYLPEAVLRVPPNPCMAEARKEAEAVMFGAIDELLEKTGVKAKDIGILVVNCSLFNPTPSLSAMIVNRYKLRGNILSYNLGGMGCSAGLISIDLAKQMLQVHPNSYALVVSMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRSSDRRRSKYQLIHTVRTHKGADDKCYNCVFQREDDTKRIGVSLSKDLMAVAGEALKTNITTLGPLVLPMWESRVR >KJB38258 pep chromosome:Graimondii2_0_v6:6:49014521:49016876:-1 gene:B456_006G245000 transcript:KJB38258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS1 MTEASKKSMNPDPVHVQSTASSNETRSNNNLPNFLLSVRLKYVKLGYHYLISNAMYLMLVPLLAIASAHLSTLTVLDLVQLWDQLKFNLVSVTLCSGLLVFLATLYFMSRPRKVYLVDFACYKPEPERTCTREIFMERSGLTGSFTEENLAFQKKILERSGLGQKTYLPEAVLRVPPNPCMAEARKEAEAVMFGAIDELLEKTGVKAKDIGILVVNCSLFNPTPSLSAMIVNRYKLRGNILSYNLGGMGCSAGLISIDLAKQMLQVHPNSYALVVSMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRSSDRRRSKYQLIHTVRTHKGADDKCYNCVFQREDDTKRIGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFITLVARKVFKMKIRPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELSDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWKALKTINPAKEKSPWIDEIDEYPVYVPKVATVSSSSSSQKAT >KJB36458 pep chromosome:Graimondii2_0_v6:6:41862564:41864830:-1 gene:B456_006G159400 transcript:KJB36458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGAKIYPGKGIRFVRGDSQVFLFSNSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRTAKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAAKQQKTQGKGNLPKGGAPKGPKLGGGGGKR >KJB36476 pep chromosome:Graimondii2_0_v6:6:42083030:42085664:-1 gene:B456_006G161000 transcript:KJB36476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDWGSKPGSGGAASAQTEAMDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKEAPAQPQPHKRKVSVRKTVKIGRPGYRVTKQYDPETKQRSLLFQIEYPEIEDNTKPRHRVMSSYEQRVQPFDRRYQYLLFAAEPYEIIAFKVPSTEIDKSTPKFFSHWDPDSKMFTLQVYFKTKPLEVNKPPPPPAVNGTAAPGAPPRPLPPPPHAPAPPPPPPPQGLPNPPRGPPPPAPGSLPPPPPPMGNGPRPMPPGGNPIAPPPPPGGSGTMGNFTPRPPTNPPPQGFPGHQMQGQGMHPPPPPPNMGQ >KJB33279 pep chromosome:Graimondii2_0_v6:6:14945635:14948691:1 gene:B456_006G046600 transcript:KJB33279 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MATLSCQFHLAIHRLPCNARVRPSFSCSVQPSQSNIKVVINGAAKEIGRAAVIAVTKARGMEVAGAVDSYCVGEDIGKVCDMEEPLEIPIVNDLTMVLGSISQSKETGVVVDFTKPSAVYDNVKQATAFGLRSVVYVPRITVDTISALSAFCEKASMGCLVAPTLSIGSILLQQAAISASFHYNNVEIVESRAHATDLPSPDAIQIANNLSNLGQIYNREDLSTDVSARGQVLGEDGVRVHSMVLPGLPSSTTVYFSGPGEVYTIKHDITDVQCLMPGLLLAIRKVARLKHLVYGLEKFL >KJB33282 pep chromosome:Graimondii2_0_v6:6:14945923:14948652:1 gene:B456_006G046600 transcript:KJB33282 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MATLSCQFHLAIHRLPCNARVRPSFSCSVQPSQSNIKVVINGAAKEIGRAAVIAVTKARGMEVAGAVDSYCVGEDIGKVCDMEEPLEIPIVNDLTMVLGSISQSKETGVVVDFTKPSAVYDNVKQATAFGLRSVVYVPRITVDTISALSAFCEKASMVRTGVA >KJB33280 pep chromosome:Graimondii2_0_v6:6:14945964:14946985:1 gene:B456_006G046600 transcript:KJB33280 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MATLSCQFHLAIHRLPCNARVRPSFSCSVQPSQSNIKVVINGAAKEIGRAAVIAVTKARGMEVAGAVDSYCVGEDIGKVCDMEEPLEIPIVNDLTMVLGSISQSKETGVVVDFTKPSAVYDNVKQATAFGLRSVVYVPRITVDTISALSAFCEKASMVRTGVA >KJB33281 pep chromosome:Graimondii2_0_v6:6:14945923:14948652:1 gene:B456_006G046600 transcript:KJB33281 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MATLSCQFHLAIHRLPCNARVRPSFSCSVQPSQSNIKVVINGAAKEIGRAAVIAVTKARGMEVAGAVDSYCVGEDIGKVCDMEEPLEIPIVNDLTMVLGSISQSKETGVVVDFTKPSAVYDNVKQATAFGLRSVVYVPRITVDTISALSAFCEKASMGCLVAPTLSIGSILLQQAAISASFHYNNVEIVESRAHATDLPSPDAIQIANNLSNLGQIYNREDLSTDVSVKH >KJB33331 pep chromosome:Graimondii2_0_v6:6:3290813:3293016:1 gene:B456_006G015100 transcript:KJB33331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVFVFFMCRAMAILGFFVVVLLVQVVNFVDGFPWNHHHSHRPHRGYHGPWINAHATFYGGGDASGTMGGACGYGNLYSQGYGVNTAALSSALFDNGMSCGACYELRCVNDPQWCLPGSIVVTATNFCPPGGWCDPPNHHFDLSQPIFQHIAQYKAGIVPVIYRRVRCRRSGGIRFTINGHSYFNLVLITNVGGAGDVHSVAIKGSRTRWQQMSRNWGQNWQSNAYLNGQSLSFLVTTSDGRSVLSYNIAPPGWSFGQTYTGRQFRF >KJB33329 pep chromosome:Graimondii2_0_v6:6:3290371:3293016:1 gene:B456_006G015100 transcript:KJB33329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKLSVRAMAILGFFVVVLLVQVVNFVDGFPWNHHHSHRPHRGYHGPWINAHATFYGGGDASGTMGGACGYGNLYSQGYGVNTAALSSALFDNGMSCGACYELRCVNDPQWCLPGSIVVTATNFCPPGGWCDPPNHHFDLSQPIFQHIAQYKAGIVPVIYRRVRCRRSGGIRFTINGHSYFNLVLITNVGGAGDVHSVAIKGSRTRWQQMSRNWGQNWQSNAYLNGQSLSFLVTTSDGRSVLSYNIAPPGWSFGQTYTGRQFRF >KJB33330 pep chromosome:Graimondii2_0_v6:6:3290664:3293018:1 gene:B456_006G015100 transcript:KJB33330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWVGPKSQQLKWRSNCQSGLILTALYLFPFQHHPSFHFHKQLLSRAMAILGFFVVVLLVQVVNFVDGFPWNHHHSHRPHRGYHGPWINAHATFYGGGDASGTMGGACGYGNLYSQGYGVNTAALSSALFDNGMSCGACYELRCVNDPQWCLPGSIVVTATNFCPPGGWCDPPNHHFDLSQPIFQHIAQYKAGIVPVIYRRVRCRRSGGIRFTINGHSYFNLVLITNVGGAGDVHSVAIKGSRTRWQQMSRNWGQNWQSNAYLNGQSLSFLVTTSDGRSVLSYNIAPPGWSFGQTYTGRQFRF >KJB34127 pep chromosome:Graimondii2_0_v6:6:17573882:17575967:-1 gene:B456_006G051300 transcript:KJB34127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKSARPPGRLGGPTGDRPRGPPRFEGDRPRFGDRDGYRGGPRGGDFGGDKGGAPADFQPSFRGPGGRPAFGRGGGGYSAAPSGLGSGFA >KJB34126 pep chromosome:Graimondii2_0_v6:6:17573882:17575967:-1 gene:B456_006G051300 transcript:KJB34126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPEKNRREICKYLFQEGVCYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKSARPPGRLGGPTGDRPRGPPRFEGDRPRFGDRDGYRGGPRGGDFGGDKGGAPADFQPSFRGPGGRPAFGRGGGGYSAAPSGLGSGFA >KJB34129 pep chromosome:Graimondii2_0_v6:6:17575141:17575967:-1 gene:B456_006G051300 transcript:KJB34129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YKLSTISLGFQGCFFFALFCTWNTKTNRKGLHVLLLPYLAAMIIPEKNRREICKYLFQEGVCYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKSARPPGRLGGPTGDRPR >KJB34130 pep chromosome:Graimondii2_0_v6:6:17573806:17576030:-1 gene:B456_006G051300 transcript:KJB34130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YKLSTISLGFQGCFFFALFCTWNTKTNRKGLHVLLLPYLAAMIIPEKNRREICKYLFQEGVCYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKSARPPGRLGGPTGDRPRGPPRFEGDRPRFGDRDGYRGGPRGGDFGGDKGGAPADFQPSFRGPGGRPAFGRGGGGYSAAPSGLGSGFA >KJB34128 pep chromosome:Graimondii2_0_v6:6:17574826:17575967:-1 gene:B456_006G051300 transcript:KJB34128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YKLSTISLGFQGCFFFALFCTWNTKTNRKGLHVLLLPYLAAMIIPEKNRREICKYLFQEGVCYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKSARPPGRLGGPTGDRPRGPPRFEGDRPRFGDRDGYRGGPRGGDFGGDKGGAPADFQPSFRVILNLVSEFDGLEGLNLFLCYY >KJB34352 pep chromosome:Graimondii2_0_v6:6:22449476:22451500:1 gene:B456_006G061600 transcript:KJB34352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDKRAANAMGGKTARACDGCLRKRARWYCSADDAFLCQGCDTSVHSANQLASRHTRVRLETASSRFNASVINNSATDQDAPPAWHQGFTRKARTPRQNRSILGQQKSEGKVWDLNPLVPEVGCEDGSADETEDQLLCRVPVFDPFSAELRDMVNENGNLVVDGFEDEGTCQLDDGLHGFLPSDLDLAQFAADVESLLGDGLDENNTCNHTKGTELMDCKQEDESNAFQERKIKDEEEEEEGIITACCFDSDFDVTRSSLNWSFDYESPTIGDQEEEEKVVPVAETMDGENKAEKKRNMLLRLDYESVITAWATQGSPWTSGTRPEFNPDDFMGSNPKEGIGGICSQGKRNITDGEREARVSRYREKRRTRLFSKKIRYQVRKLNAEKRPRIKGRFVKRASFVGGDCFSYYIK >KJB34353 pep chromosome:Graimondii2_0_v6:6:22449507:22451500:1 gene:B456_006G061600 transcript:KJB34353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDKRAANAMGGKTARACDGCLRKRARWYCSADDAFLCQGCDTSVHSANQLASRHTRVRLETASSRFNASVINNSATDQDAPPAWHQGFTRKARTPRQNRSILGQQKSEGKVWDLNPLVPEVGCEDGSADETEDQLLCRVPVFDPFSAELRDMVNENGNLVVDGFEDEGTCQLDDGLHGFLPSDLDLAQFAADVESLLGDGLDENNTCNHTKGTELMDCKQEDESNAFQERKIKDEEEEEEGIITACCFDSDFDVTRSSLNWSFDYESPTIGDQEEEEKVVPVAETMDGENKAEKKRNMLLRLDYESVITAWATQGSPWTSGTRPEFNPDDFM >KJB34354 pep chromosome:Graimondii2_0_v6:6:22449507:22451500:1 gene:B456_006G061600 transcript:KJB34354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKTARACDGCLRKRARWYCSADDAFLCQGCDTSVHSANQLASRHTRVRLETASSRFNASVINNSATDQDAPPAWHQGFTRKARTPRQNRSILGQQKSEGKVWDLNPLVPEVGCEDGSADETEDQLLCRVPVFDPFSAELRDMVNENGNLVVDGFEDEGTCQLDDGLHGFLPSDLDLAQFAADVESLLGDGLDENNTCNHTKGTELMDCKQEDESNAFQERKIKDEEEEEEGIITACCFDSDFDVTRSSLNWSFDYESPTIGDQEEEEKVVPVAETMDGENKAEKKRNMLLRLDYESVITAWATQGSPWTSGTRPEFNPDDFMGSNPKEGIGGICSQGKRNITDGEREARVSRYREKRRTRLFSKKIRYQVRKLNAEKRPRIKGRFVKRASFVGGDCFSYYIK >KJB33869 pep chromosome:Graimondii2_0_v6:6:9374812:9376221:-1 gene:B456_006G035200 transcript:KJB33869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRIKKLLDGLLITILPFGSAIIFSRSKATIWWWSDIGLGEKLPFARDMLTENFLWTVGFAFDPHFGNLRRTLTKVGALITSIDDVYDVYGTLDELELFTHAVERWDTNTMELLPEYMKICFLALYNSINEIAFDNLKEHGFHTIPFLKKAWAELCKSYLVEAKWYHSGYIPTFKEYIDNAWISISAPECLEYWKDDSNLIYCSSMLLRLADDLATSVDELKKGDVPKSIQCYMHETSCSEVEAREQVKMLIDATWKKMNEEYLMTHSSLSLTFKHIALNIARMAQCMYQYGDAVGVANLETKDGVLLLFVLSMEKDE >KJB33566 pep chromosome:Graimondii2_0_v6:6:4229168:4231689:-1 gene:B456_006G018100 transcript:KJB33566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKATDYYNENRILGQGGQGTVYKGMLIDGSIVAIKKSKMVEGKKFDETKVEQFINEVIILSQINHRNVVKLLGCCLEAEVPLLVYEFIPNGTLYDLIHNQNEELPLTWEMRLRIAIEIANALFYLHSAASAPIYHRDIKSSNILLDDKYRAKVSDFGTSRSVALENHSEQSEPVRSLVSYFLDSMQENSLFNILDPMVVKDGPELEIIVVALLAKRCLNLNGKKRPNMKQVAMELELSKASGGNVIEDCGDEESKIDDMIHSWETNPRTKLKFIFQTENVFRQSNAGKVGNVFPENQFFQSNRPLEEDLWGHLEKIEEKTRRTPSKSTQK >KJB37159 pep chromosome:Graimondii2_0_v6:6:44863725:44868395:-1 gene:B456_006G191500 transcript:KJB37159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLHVHPNKPISFLGISAFPLLQPSTKSTTASFIRGTAYPQILHRKEASLFLQNELNNTRSKKLVSFAPRCSGSTNSSDSKDQTKTPFGYTRKDVLLIGLGVTVAGVGLKSGLEFVGVDPLQAGNVVQLVMVLGLTVGWISTYIFRVSNKEMTYAQQLRDYEVKVMEKRLEGLTEAELEALLEQVEEEKQRQASGEQVN >KJB37160 pep chromosome:Graimondii2_0_v6:6:44863525:44868412:-1 gene:B456_006G191500 transcript:KJB37160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLHVHPNKPISFLGISAFPLLQPSTKSTTASFIRGTAYPQILHRKEASLFLQNELNNTRSKKLVSFAPRCSGSTNSSDSKDQTKTPFGYTRKDVLLIGLGVTVAGVGLKSGLEFVGVDPLQAGNVVQLVMVLGLTVGWISTYIFRVSNKEMTYAQQLRDYEVKVMEKRLEGLTEAELEALLEQVEEEKQRQASGEQVN >KJB33194 pep chromosome:Graimondii2_0_v6:6:50440:58229:-1 gene:B456_006G000100 transcript:KJB33194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLHPVPQVCTPLLLKFSSSSPSIWTLFTVNRSFLYKQRYPLLATMAVYTQGRLPVSSPNTHKLAPKREKMELETDAISILHEKIRRDHGKREATRPGMDSQEADMYIQLVKEQQQRGLQKLKGDRECKEGGVFSYKVDPYTLRSGDYVVHKKVGVGRFVGIKFDVSRTSTEPIEFVFIEYADGMAKLPVKQATRMLYRYNLPNETKKPRTLSKLSDTSAWERRKTKGKVAIQKMVVDLMELYLHRLKQKRPPYPRSPAMAEFASQFPYEPTPDQKQAFIDVEKDLTDRETPMDRLICGDVGFGKTEVALRAIFCVVSAGKQAMVLAPTIVLAKQHFDVISERFSKYPSIKVGLLSRFQGKAEKEEHLNMIKKGDLDIIVGTHSLLGNRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKTHLSAFGKEKVIAAIRYELDRGGQVFYVLPRIKGLEEVMDFLKQSFPDVDIAIAHGKQYSKQLEETMEKFAQGEIKILICTNIVESGLDIQNANTIIIQDVQQFGLAQLYQLRGRVGRADREAYAYLFYPDKSLLSDQALERLAALEECRELGQGFQLAERDMGIRGFGTIFGEQQTGDVGNVGIDLFFEMLFESLSKVEEHRVVSVPYQSVEIDININPHLPSEYINYLENPMEIINDAEKAAEKDIWSLMQFTENLRRQYGKEPYSMEILLKKLYVRRMAADLGISRIYASGKMVGMETRMSKRVFKLMTDSMISDVHRNSLIFEGGQIRAELLLELPREQLLNWIFQCLAELHASLPALIKY >KJB34055 pep chromosome:Graimondii2_0_v6:6:14173481:14174132:-1 gene:B456_006G045400 transcript:KJB34055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSWALIDVASWGIGVALLVLILIGSISANSVSEEAAVKGMSQLLNRPCDEIYVVGEGETLHTISDKCGDPFILERNPHINDPDDVFPGLVIKIIFSPARKL >KJB35944 pep chromosome:Graimondii2_0_v6:6:48074429:48075176:1 gene:B456_006G231200 transcript:KJB35944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKNIVFLIVAISLVLQATVPPTALAARNEVMSSAAVSKVANDSNGLAKVFQKVGPGCKPIGSFCLFDLTSCCRPCGCLAGFCYNLDHNCNEYT >KJB35809 pep chromosome:Graimondii2_0_v6:6:38368609:38375478:1 gene:B456_006G129900 transcript:KJB35809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCHGKPIENQQKQSRDISIPAEKDAAPNSNSSKSSNFPFYSPSPLPSLFKTSPAVPSVNSTPLRFFKRPFPPPSPAKHIKSLLARRHGSIKPNEASIPEGSECEVGLNKSFGFSKHFTSHYELGEEVGRGHFGYTCSAKAKKGSLKGNDAAVKVIPKSKMTTAIAIEDVRREVKILRALTGHKNLVQFYDAYEDDENVYIVMELCKGGELLDRILSRGGKYPEEDAKAVMVQILSVVAFCHLQGVVHRDLKPENFLFTTKDEGSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSPDSIDFVKRLLNKDYRKRLTAAQALSHPWLANFHDIKIPSDMIICRLVKAYIGSSTLRRAALGALAKTLTIPQLAYLREQFSLLGPNKSGFILMQNFKTVMLKNSTDAMKDSRVLDYVNMISSIQYRKLDFEEFCASAVSVHQLEGMETWEQHARRAYDLFDKDGNRPIMIEELASELGLSSSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRTFQKS >KJB35810 pep chromosome:Graimondii2_0_v6:6:38369260:38375429:1 gene:B456_006G129900 transcript:KJB35810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCHGKPIENQQKQSRDISIPAEKDAAPNSNSSKSSNFPFYSPSPLPSLFKTSPAVPSVNSTPLRFFKRPFPPPSPAKHIKSLLARRHGSIKPNEASIPEGSECEVGLNKSFGFSKHFTSHYELGEEVGRGHFGYTCSAKAKKGSLKGNDAAVKVIPKSKMTTAIAIEDVRREVKILRALTGHKNLVQFYDAYEDDENVYIVMELCKGGELLDRILSRGGKYPEEDAKAVMVQILSVVAFCHLQGVVHRDLKPENFLFTTKDEGSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSPDSIDFVKRLLNKDYRKRLTAAQALSHPWLANFHDIKIPSDMIICRLVKAYIGSSTLRRAALGALAKTLTIPQLAYLREQFSLLGPNKSGFILMQNFKTVMLKNSTDAMKDSRVLDYVNMFYSV >KJB37931 pep chromosome:Graimondii2_0_v6:6:47819041:47822761:-1 gene:B456_006G227100 transcript:KJB37931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSMAKSFLQVAATEEVVSPLRVVQIEGLVILKIIKHCKEFSPALVTGQLLGLDVGSVLEVTNCFPFPIREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTILGSYQTVELIETFMNYQENIRRCVCIIYDPSRSNQGVLALKALKLSDSFMELYRANSFTGEKLREKNLSWMDIFEEIPIKVSNSALISAFMTELESDTPVTQCDYDRLQLSNNPYMERNMEFLIECMDDLSVEQQKFQFYYRSLSRQQAQQQAWLQKRR >KJB37930 pep chromosome:Graimondii2_0_v6:6:47819033:47822844:-1 gene:B456_006G227100 transcript:KJB37930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSMAKSFLQVAATEEVVSPLRVVQIEGLVILKIIKHCKEFSPALVTGQLLGLDVGSVLEVTNCFPFPIREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTILGSYQTVELIETFMNYQENIRRCVCIIYDPSRSNQGVLALKALKLSDSFMELYRANSFTGEKLREKNLSWMDIFEEIPIKVSNSALISAFMTELESDTPVTQCDYDRLQLSNNPYMERNMEFLIECMDDLSVEQQKFQFYYRSLSRQQAQQQAWLQKRRADNMQRKAAGEDPLPEEDPSNPIFKPIPEPSRLESFLITNQIANYCNQINGVSGQSFNRLYLMKSLHDN >KJB36919 pep chromosome:Graimondii2_0_v6:6:44010479:44012972:1 gene:B456_006G182600 transcript:KJB36919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGVVAVYGNGAITETHKSPFSVKVGLAQMLRGGVIMDVVTPDQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQSVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTLADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRILRNMDDDEVFSFAKKIQSPYDLVVQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPARRARAIVQAVTHYSDPAVLAEVSCGLGEAMVGLNLNDKKVERFAVRSE >KJB36918 pep chromosome:Graimondii2_0_v6:6:44010674:44012972:1 gene:B456_006G182600 transcript:KJB36918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGVVAVYGNGAITETHKSPFSVKVGLAQMLRGGVIMDVVTPDQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQSVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTLADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRILRNMDDDEVFSFAKKIQSPYDLVVQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPARRARAIVQAVTHYSDPAVLAEVSCGLGEAMVGLNLNDKKVERFAVRSE >KJB36920 pep chromosome:Graimondii2_0_v6:6:44010479:44012942:1 gene:B456_006G182600 transcript:KJB36920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGVVAVYGNGAITETHKSPFSVKVGLAQMLRGGVIMDVVTPDQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQSVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTLADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRILRNMDDDEVFSFAKKIQSPYDLVVQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPARRARAIVQAVTHYSDPAVLAEVSCGLGEAMVGLNLNDKKVERFAVRSE >KJB33885 pep chromosome:Graimondii2_0_v6:6:9950101:9952837:-1 gene:B456_006G036200 transcript:KJB33885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHFPLYSSSSFSLFSDFTSISSLPLIFSSSYFSTFISMAPAFEPLSPPHQEAEPQTATPHHADTVNNFGNDGQVVSKSKVTVVGSGNWGSVAAKLIASNTLKLNSFHDEVRMWVFEETLQTGEKLTDVINKTNENVKYLPGIKLGKNVIADPDLENAVKDANMLVFVTPHQFMEGICNRLVGKVRGDVEAISLIKGMEVKMEGPCMISNLISEQLGINCSVLMGANIANEIAVEKFSEATVGYRDNREIAEQWVKLFSTPYFMVTPVQDVEGVELCGTLKNVVAIAAGFVDGLEMGNNTKAAIMRIGLREMRAFSKLLFSSVRDSTFFESCGVADVITTCCKSTYISRPYI >KJB33884 pep chromosome:Graimondii2_0_v6:6:9950055:9952964:-1 gene:B456_006G036200 transcript:KJB33884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHFPLYSSSSFSLFSDFTSISSLPLIFSSSYFSTFISMAPAFEPLSPPHQEAEPQTATPHHADTVNNFGNDGQVVSKSKVTVVGSGNWGSVAAKLIASNTLKLNSFHDEVRMWVFEETLQTGEKLTDVINKTNENVKYLPGIKLGKNVIADPDLENAVKDANMLVFVTPHQFMEGICNRLVGKVRGDVEAISLIKGMEVKMEGPCMISNLISEQLGINCSVLMGANIANEIAVEKFSEATVGYRDNREIAEQWVKLFSTPYFMVTPVQDVEGVELCGTLKNVVAIAAGFVDGLEMGNNTKAAIMRIGLREMRAFSKLLFSSVRDSTFFESCGVADVITTCLGGRNRKVAEAFARNGGKRSFDDLEAEMLQGQKLQGVSTAREVYEVLSHRGWLELFPLFATVHEICVGRLPPSAIVEYSEKKPRLSLLEDPACYQ >KJB36269 pep chromosome:Graimondii2_0_v6:6:41157674:41159270:-1 gene:B456_006G152700 transcript:KJB36269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHRFIELANLSVCIIREEGTEFACSLAVVDMAALRRLIKGAPKPSFLVPPTFLLSHRGIASKLFVGGLSFYTTEKGLLDAFSQYGQVMEAKIITDRVSDKSKGFGFVTYASEDEAEKAIAEMNGKDLNGRVIFVDYAKPKLAIGGGMPIARGPPDPVDTLHFKDTGIEN >KJB36271 pep chromosome:Graimondii2_0_v6:6:41157740:41159276:-1 gene:B456_006G152700 transcript:KJB36271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHRFIELANLSVCIIREEGTEFACSLAVVDMAALRRLIKGAPKPSFLVPPTFLLSHRGIASKLFVGGLSFYTTEKGLLDAFSQYGQVMEAKIITDRVSDKSKGFGFVTYASEDEAEKAIAEMNGFEWTCYFCGLC >KJB36270 pep chromosome:Graimondii2_0_v6:6:41157674:41159375:-1 gene:B456_006G152700 transcript:KJB36270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHRFIELANLSVCIIREEGTEFACSLAVVDMAALRRLIKGAPKPSFLVPPTFLLSHRGIASKLFVGGLSFYTTEKGLLDAFSQYGQVMEAKIITDRVSDKSKGFGFVTYASEDEAEKAIAEMNGKDLNGRVIFVDYAKPKLAIGGGMPIARGPPDPVDTLHFKDTGIEN >KJB35922 pep chromosome:Graimondii2_0_v6:6:38859001:38863512:-1 gene:B456_006G133700 transcript:KJB35922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWMDYFSLGKGFNSTDDDEEDLQQWDPGSLMSLAQNQSGISNEIILSQTNGSTVQASQSPNTSNAPVLRKSYSTLSQAERAQRKRMTDQAYRGRVKLHKELMKSNLETLTGENDSLKKENQSLKAANASMNQTLTDQAKEIDQLRSDLLQLKKDHEKQNILLETLSGLLSDPLKLENEKLKEENAILRKDANLNSRIPQLLEENAKLKIENKVLKVQNDALCGKIIADNDKKREQEP >KJB35923 pep chromosome:Graimondii2_0_v6:6:38859031:38863471:-1 gene:B456_006G133700 transcript:KJB35923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWMDYFSLGKGFNSTDDDEEDLQQWDPGSLMSLAQNQSGISNEIILSQTNGSTVQASQSPNTSNAPVLRKSYSTLSQAERAQRKRMTDQAYRGRVKLHKELMKSNLETLTGENDSLKKENQSLKAANASMNQTLTDQAKEIDQLRSDLLQLKKDHEKQNILLETLSGLLSDPLKLENEKLKEENAILRKDANLNSRIPQLLEENAKLKIENKVLKVQNDALCGKIIADNDKKREQEP >KJB35733 pep chromosome:Graimondii2_0_v6:6:37763649:37769266:-1 gene:B456_006G125800 transcript:KJB35733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTPSLKRFFFTLQQVKCSSRTTESLVSGFLKSIFPRFLDYQTGMESLYAKLYDKYDKLKKRKLSEMDDIHRDQEEKFLNYVRAAEELIQHLKSENDKLNAEVNELRSEVASIMSSKDKQCVEYQKLLIEESQKYKALSDEVTRLQNRHEEGRVKGGRRDIIPTVSPGSAQVAPQSVSGNSTRMMTRKRRRNSAAETEDSIVSPGSANRKVATLSAFTEELPEKALSSEVLAHAQLPECCKGHISANATDCGTCLFQALIECLVGMKISTVNQTEGLCILALHQSSGYSFSLTWINKTSGEEAELVYRVLSLGTFERVAPEWMRDVIMFSTNMCPIFFERLARVIKLHC >KJB35734 pep chromosome:Graimondii2_0_v6:6:37763683:37769266:-1 gene:B456_006G125800 transcript:KJB35734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTPSLKRFFFTLQQVKCSSRTTESLVSGFLKSIFPRFLDYQTGMESLYAKLYDKYDKLKKRKLSEMDDIHRDQEEKFLNYVRAAEELIQHLKSENDKLNAEVNELRSEVASIMSSKDKQCVEYQKLLIEESQKYKALSDEVTRLQNRHEEGRVKGGRRDIIPTVSPGSAQVAPQSVSGNSTRMMTRKRRRNSAAETEDSIVSPGSANRKVATLSAFTEELPEKALSSEVLAHAQLVWPQLSISLLKRCYSFSLTWINKTSGEEAELVYRVLSLGTFERVAPEWMRDVIMFSTNMCPIFFERLARVIKLHC >KJB33860 pep chromosome:Graimondii2_0_v6:6:9247123:9250751:1 gene:B456_006G034900 transcript:KJB33860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSSKDVNWRQNSSYRTSSASWDSHTGNPQYGQESPSYISQYSSASPQYYPPSQPEPQYYPPPQNRGSDKRTLDRRYSRIADNYNSLDQVTEALANAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGNSLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDDRFCNGFEEVLSRYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLVIIADGQVTRSVDTQHGQLSPQEQKTVDAIVQASKLPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMLKNTSPSRKETEFALAALMEIPSQYKATIELNILGEMFPREFHYLLLHTVQHLLTAPNHPILLVSNMVQHLSAAQNLLILPVSHQVSLLIWKRVIPSAQLPQLQVLIMITGFVPFALVTRKTWHSVVDIRPVKNVRKTFKRVRYAEVRSKPK >KJB33862 pep chromosome:Graimondii2_0_v6:6:9247134:9250751:1 gene:B456_006G034900 transcript:KJB33862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSSKDVNWRQNSSYRTSSASWDSHTGNPQYGQESPSYISQYSSASPQYYPPSQPEPQYYPPPQNRGSDKRTLDRRYSRIADNYNSLDQVTEALANAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGNSLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDDRFCNGFEEVLSRYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLVIIADGQVTRSVDTQHGQLSPQEQKTVDAIVQASKLPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMLKNTSPSRKETEFALAALMEIPSQYKATIELNILGQKGNVPERIPLPPPTYGAASFNSSKPSYSTSFQYGSASFSSSKPSHPTGFSPSVPPYLEESNPISSAPPAPSSNYDNRLCPICLSNSKDMAFGCGHQTCEECSKDLQTCPICRSPIQTKIKLYF >KJB33863 pep chromosome:Graimondii2_0_v6:6:9247134:9250751:1 gene:B456_006G034900 transcript:KJB33863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSSKDVNWRQNSSYRTSSASWDSHTGNPQYGQESPSYISQYSSASPQYYPPSQPEPQYYPPPQNRGSDKRTLDRRYSRIADNYNSLDQVTEALANAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGNSLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDDRFCNGFEEVLSRYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLVIIADGQVTRSVDTQHGQLSPQEQKTVDAIVQASKLPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMLKNTSPSRKETEFALAALMEIPSQYKATIELNILGQKGNVPERIPLPPPTYGAASFNSSKPSYSTSFQYGSASFSSSKPSHPTGFSPSVPPYLEESNPISSAPPAPSSNYDNRLCPICLSNSKDMAFGCGHQTCEECSKDLQTCPICRSPIQTKIKLYF >KJB33861 pep chromosome:Graimondii2_0_v6:6:9247129:9250853:1 gene:B456_006G034900 transcript:KJB33861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSSKDVNWRQNSSYRTSSASWDSHTGNPQYGQESPSYISQYSSASPQYYPPSQPEPQYYPPPQNRGSDKRTLDRRYSRIADNYNSLDQVTEALANAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGNSLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDDRFCNGFEEVLSRYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLVIIADGQVTRSVDTQHGQLSPQEQKTVDAIVQASKLPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMLKNTSPSRKETEFALAALMEIPSQYKATIELNILGQKGNVPERIPLPPPTYGAASFNSSKPSYSTSFQYGSASFSSSKPSHPTGFSPSVPPYLEESNPISSAPPAPSSNYDNRLCPICLSNSKDMAFGCGHQTCEECSKDLQTCPICRSPIQTKIKLYF >KJB33864 pep chromosome:Graimondii2_0_v6:6:9247390:9250853:1 gene:B456_006G034900 transcript:KJB33864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSSKDVNWRQNSSYRTSSASWDSHTGNPQYGQESPSYISQYSSASPQYYPPSQPEPQYYPPPQNRGSDKRTLDRRYSRIADNYNSLDQVTEALANAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGNSLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDDRFCNGFEEVLSRYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLVIIADGQVTRSVDTQHGQLSPQEQKTVDAIVQASKLPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMLKNTSPSRKETEFALAALMEIPSQYKATIELNILGQKGNVPERIPLPPPTYGAASFNSSKPSYSTSFQYGSASFSSSKPSHPTGFSPSVPPYLEESNPISSAPPAPSSNYDNRLCPICLSNSKDMAFGCGHQTCEECSKDLQTCPICRSPIQTKIKLYF >KJB33865 pep chromosome:Graimondii2_0_v6:6:9247123:9250751:1 gene:B456_006G034900 transcript:KJB33865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSSKDVNWRQNSSYRTSSASWDSHTGNPQYGQESPSYISQYSSASPQYYPPSQPEPQYYPPPQNRGSDKRTLDRRYSRIADNYNSLDQVTEALANAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGNSLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDDRFCNGFEEVLSRYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLVIIADGQVTRSVDTQHGQLSPQEQKTVDAIVQASKLPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMLKNTSPSRKETEFALAALMEIPSQYKATIELNILGQKGNVPERIPLPPPTYGAASFNSSKPSYSTSFQYGSASFSSSKPSHPTGFSPSVPPYLEESNPISSAPPAPSSNYDNRLCPICLSNSKDMAFGCGHQTCEECSKDLQTCPICRSPIQTKIKLYF >KJB35514 pep chromosome:Graimondii2_0_v6:6:36777449:36778963:1 gene:B456_006G118000 transcript:KJB35514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPVRCKSPSIDLNRSSSLKIGSPIIVVEAPMMIKTVALVPCLKVDFDLIKLGDVGTGHSTNKGQFP >KJB34444 pep chromosome:Graimondii2_0_v6:6:24971441:24973511:1 gene:B456_006G066000 transcript:KJB34444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRSLPPLQQPPLTHHRPLPIRLILPPIVAFTAAFSILLVLSLCLRKIRRERTVPADSKPPYRFSYSVLRRATSSFSVSQRLGQGGFGSVYRATISNNLHHDHRRSKNIQTVAVKVMDTSSLQGEREFQNELFFVSKLNSSLVVPVLGFSHDRKRRRMLLVYELMPNGNLQDALLHRKCPELMNWKQRFSVAVDIAKGVEYLHGLDPPVIHGDIKPSNILLDQYFSAKIADFGLARLKSEEVKLEIAEDHGSIAETESVATGFEDYGSIAENSEVVTVAVSPPAVATSPEIMEKGSVSVSEGNFDRASVENGKELVNGGGKQSGGSSSDWWWKQDMGAVGESGKVKDYVMEWIGSEIKKERPSNDWITSVASSSYEMKAKSGEKKNKKSKKRLEWWVSMEDDKENNVKRRPTRERWKEEYCAKLSKTNKKKKREMAMCHSDDNGGWENWWRLDDARKKKKRSKSSIGSVDWYDSFSGEIPKSGGISSTPSMRGTVCYIAPEYGGSDPSEKCDVYSFGVLLLVLIAGRRPLQVTGSPMAEFQRANLTSWAKHLAQTGKLFDLIDQSIQSLKQEQALLCITVALLCLQKSPARRPSMKEVVAMLTGDADPPQLPTEFSPSPPSRYPFKSRKKVRSSLLILLAWTCY >KJB34514 pep chromosome:Graimondii2_0_v6:6:28287065:28297917:1 gene:B456_006G070000 transcript:KJB34514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHLCQFQSPQPWLLSPTISKFTQTSSSTRTHGIRGSKNMIFFRKSVLCSFWLNNSSSNNVHKFVNGGGKWEVTCRKETYNSECSSNNSNVKFFRMDLLDGSQLQGHQAKPIRTLSAFFLLRLIQIDFANTLIKMVQDLFPHLFRNLAVRSLPLACISNSLNKPTPLNLNMSLPSVRDIRWNFSRILYLFNIQLDKNIATFLLALLVACFSFVVVGGILFFRFRGKSQSLEDCCWEAWSCLISSSSHLRLRTRTERILGFILAIWGILFYSRLLSTMTEQFRNNMQKLREGAQVQVLENDHIIICGVNSRLAFILQQLNNYHKFAVRLGTATVRRQRILLLADLPRKQIDKLADNIAKDLIHIDILSKSCSLSLTKSFERAAANKARAIIILATKGDQYGVDTDAFLSVLALQPIPKMESIPTIVEVSNSNTCELLKSISGLKVEPVENVSSKLFAQCSRQKGLIKIYRHLLNYQKNVFSLHHFPSLTGLTYRQIRQGFQEAVVCGLYRNGRIYFHPGDDEVLQEMDKVLLIAPINRTAKQQLVTNMQKASEVSRNNAETQTHALELRKERMLNIVKRTKKRGSKASDQRLGPKECILLLGWRPDVVQMIEEYDNYLGLGSIVEILSDVPLEERKKASSMSGQRKLKNVEVIHRIGNPMNYDNLEETIMDIQNSHKKGGHIPFSILVISDREWLLGDPSRADKQSAYSLLLAENICNKLGVTVQNLVAEIGDSKLGKQITRFKPTLTYIAAEEVMSLVTAQVAEHSELNEVWKDILNAEGDEIYIKVLSSEHV >KJB34512 pep chromosome:Graimondii2_0_v6:6:28286929:28298601:1 gene:B456_006G070000 transcript:KJB34512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHLCQFQSPQPWLLSPTISKFTQTSSSTRTHGIRGSKNMIFFRKSVLCSFWLNNSSSNNVHKFVNGGGKWEVTCRKETYNSECSSNNSNVKFFRMDLLDGSQLQGHQAKPIRTLSAFFLLRLIQIDFANTLIKMVQDLFPHLFRNLAVRSLPLACISNSLNKPTPLNLNMSLPSVRDIRWNFSRILYLFNIQLDKNIATFLLALLVACFSFVVVGGILFFRFRGKSQSLEDCCWEAWSCLISSSSHLRLRTRTERILGFILAIWGILFYSRLLSTMTEQFRNNMQKLREGAQVQVLENDHIIICGVNSRLAFILQQLNNYHKFAVRLGTATVRRQRILLLADLPRKQIDKLADNIAKDLIHIDILSKSCSLSLTKSFERAAANKARAIIILATKGDQYGVDTDAFLSVLALQPIPKMESIPTIVEVSNSNTCELLKSISGLKVEPVENVSSKLFAQCSRQKGLIKIYRHLLNYQKNVFSLHHFPSLTGLTYRQIRQGFQEAVVCGLYRNGRIYFHPGDDEVLQEMDKVLLIAPINRTAKQQLVTNMQKASEVSRNNAETQTHALELRKERMLNIVKRTKKRGSKASDQRLGPKECILLLGWRPDVVQMIEEYDNYLGLGSIVEILSDVPLEERKKASSMSGQRKLKNVEVIHRIGNPMNYDNLEETIMDIQNSHKKGGHIPFSILVISDREWLLGDPSRADKQSAYSLLLAENICNKLGVTVQNLVAEIGDSKLGKQITRFKPTLTYIAAEEVMSLVTAQVAEHSELNEVWKDILNAEGDEIYIKDIGLYMKEGENPSFMELSERACLRREVAIGYIKNNKKVINPNPKTEPLSFEMTDSLIVISELEGEQPIVV >KJB34513 pep chromosome:Graimondii2_0_v6:6:28288959:28298601:1 gene:B456_006G070000 transcript:KJB34513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDLFPHLFRNLAVRSLPLACISNSLNKPTPLNLNMSLPSVRDIRWNFSRILYLFNIQLDKNIATFLLALLVACFSFVVVGGILFFRFRGKSQSLEDCCWEAWSCLISSSSHLRLRTRTERILGFILAIWGILFYSRLLSTMTEQFRNNMQKLREGAQVQVLENDHIIICGVNSRLAFILQQLNNYHKFAVRLGTATVRRQRILLLADLPRKQIDKLADNIAKDLIHIDILSKSCSLSLTKSFERAAANKARAIIILATKGDQYGVDTDAFLSVLALQPIPKMESIPTIVEVSNSNTCELLKSISGLKVEPVENVSSKLFAQCSRQKGLIKIYRHLLNYQKNVFSLHHFPSLTGLTYRQIRQGFQEAVVCGLYRNGRIYFHPGDDEVLQEMDKVLLIAPINRTAKQQLVTNMQKASEVSRNNAETQTHALELRKERMLNIVKRTKKRGSKASDQRLGPKECILLLGWRPDVVQMIEEYDNYLGLGSIVEILSDVPLEERKKASSMSGQRKLKNVEVIHRIGNPMNYDNLEETIMDIQNSHKKGGHIPFSILVISDREWLLGDPSRADKQSAYSLLLAENICNKLGVTVQNLVAEIGDSKLGKQITRFKPTLTYIAAEEVMSLVTAQVAEHSELNEVWKDILNAEGDEIYIKDIGLYMKEGENPSFMELSERACLRREVAIGYIKNNKKVINPNPKTEPLSFEMTDSLIVISELEGEQPIVV >KJB34516 pep chromosome:Graimondii2_0_v6:6:28287065:28298300:1 gene:B456_006G070000 transcript:KJB34516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHLCQFQSPQPWLLSPTISKFTQTSSSTRTHGIRGSKNMIFFRKSVLCSFWLNNSSSNNVHKFVNGGGKWEVTCRKETYNSECSSNNSNVKFFRMDLLDGSQLQGHQAKPIRTLSAFFLLRLIQIDFANTLIKMVQDLFPHLFRNLAVRSLPLACISNSLNKPTPLNLNMSLPSVRDIRWNFSRILYLFNIQLDKNIATFLLALLVACFSFVVVGGILFFRFRGKSQSLEDCCWEAWSCLISSSSHLRLRTRTERILGFILAIWGILFYSRLLSTMTEQFRNNMQKLREGAQVQVLENDHIIICGVNSRLAFILQQLNNYHKFAVRLGTATVRRQRILLLADLPRKQIDKLADNIAKDLIHIDILSKSCSLSLTKSFERAAANKARAIIILATKGDQYGVDTDAFLSVLALQPIPKMESIPTIVEVSNSNTCELLKSISGLKVEPVENVSSKLFAQCSRQKGLIKIYRHLLNYQKNVFSLHHFPSLTGLTYRQIRQGFQEAVVCGLYRNGRIYFHPGDDEVLQEMDKVLLIAPINRTAKQQLVTNMQKASEVSRNNAETQTHALELRKERMLNIVKRTKKRGSKASDQRLGPKECILLLGWRPDVVQMIEEYDNYLGLGSIVIGNPMNYDNLEETIMDIQNSHKKGGHIPFSILVISDREWLLGDYKIQANLDVHRSRGSDESRNSSSGRTQRTE >KJB34515 pep chromosome:Graimondii2_0_v6:6:28287065:28298300:1 gene:B456_006G070000 transcript:KJB34515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHLCQFQSPQPWLLSPTISKFTQTSSSTRTHGIRGSKNMIFFRKSVLCSFWLNNSSSNNVHKFVNGGGKWEVTCRKETYNSECSSNNSNVKFFRMDLLDGSQLQGHQAKPIRTLSAFFLLRLIQIDFANTLIKMVQDLFPHLFRNLAVRSLPLACISNSLNKPTPLNLNMSLPSVRDIRWNFSRILYLFNIQLDKNIATFLLALLVACFSFVVVGGILFFRFRGKSQSLEDCCWEAWSCLISSSSHLRLRTRTERILGFILAIWGILFYSRLLSTMTEQFRNNMQKLREGAQVQVLENDHIIICGVNSRLAFILQQLNNYHKFAVRLGTATVRRQRILLLADLPRKQIDKLADNIAKDLIHIDILSKSCSLSLTKSFERAAANKARAIIILATKGDQYGVDTDAFLSVLALQPIPKMESIPTIVEVSNSNTCELLKSISGLKVEPVENVSSKLFAQCSRQKGLIKIYRHLLNYQKNVFSLHHFPSLTGLTYRQIRQGFQEAVVCGLYRNGRIYFHPGDDEVLQEMDKVLLIAPINRTAKQQLVTNMQKASEVSRNNAETQTHALELRKERMLNIVKRTKKRGSKASDQRLGPKECILLLGWRPDVVQMIEEYDNYLGLGSIVEILSDVPLEERKKASSMSGQRKLKNVEVIHRIGNPMNYDNLEETIMDIQNSHKKGGHIPFSILVISDREWLLGDPSRADKQSAYSLLLAENICNKLGVTVQNLVAEIGDSKLGKQITRFKPTLTYIAAEEVMSLVTAQVAEHSELNEVWKDILNAEGDEIYIKVLSSEHV >KJB34517 pep chromosome:Graimondii2_0_v6:6:28286962:28298528:1 gene:B456_006G070000 transcript:KJB34517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDLFPHLFRNLAVRSLPLACISNSLNKPTPLNLNMSLPSVRDIRWNFSRILYLFNIQLDKNIATFLLALLVACFSFVVVGGILFFRFRGKSQSLEDCCWEAWSCLISSSSHLRLRTRTERILGFILAIWGILFYSRLLSTMTEQFRNNMQKLREGAQVQVLENDHIIICGVNSRLAFILQQLNNYHKFAVRLGTATVRRQRILLLADLPRKQIDKLADNIAKDLIHIDILSKSCSLSLTKSFERAAANKARAIIILATKGDQYGVDTDAFLSVLALQPIPKMESIPTIVEVSNSNTCELLKSISGLKVEPVENVSSKLFAQCSRQKGLIKIYRHLLNYQKNVFSLHHFPSLTGLTYRQIRQGFQEAVVCGLYRNGRIYFHPGDDEVLQEMDKVLLIAPINRTAKQQLVTNMQKASEVSRNNAETQTHALELRKERMLNIVKRTKKRGSKASDQRLGPKECILLLGWRPDVVQMIEEYDNYLGLGSIVEILSDVPLEERKKASSMSGQRKLKNVEVIHRIGNPMNYDNLEETIMDIQNSHKKGGHIPFSILVISDREWLLGDPSRADKQSAYSLLLAENICNKLGVTVQNLVAEIGDSKLGKQITRFKPTLTYIAAEEVMSLVTAQVAEHSELNEVWKDILNAEGDEIYIKDIGLYMKEGENPSFMELSERACLRREVAIGYIKNNKKVINPNPKTEPLSFEMTDSLIVISELEGEQPIVV >KJB36818 pep chromosome:Graimondii2_0_v6:6:43522035:43523581:1 gene:B456_006G177900 transcript:KJB36818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLSTSLLPNTFQCNGNHNHRSTRLFPNQPLNFGLKHHRNENKLSTPRNPPVLSAVSGSTGNEDNVDLLDTVKVFDLNGNEIPISDLWKDRKAVVAFARHFGCVFCRKRADYLASKKDVMDKSGAALVLIGPGSIEQAKTFAEQTKFKGEVYADPSHSSYNALRFVSGVTTTFTPKAGLKIIQLYMEGYRQDWKLSFEEDTVKRGG >KJB36819 pep chromosome:Graimondii2_0_v6:6:43521980:43524250:1 gene:B456_006G177900 transcript:KJB36819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLSTSLLPNTFQCNGNHNHRSTRLFPNQPLNFGLKHHRNENKLSTPRNPPVLSAVSGSTGNEDNVDLLDTVKVFDLNGNEIPISDLWKDRKAVVAFARHFGCVFCRKRADYLASKKDVMDKSGAALVLIGPGSIEQAKTFAEQTKFKGEVYADPSHSSYNALRFVSGVTTTFTPKAGLKIIQLYMEGYRQDWKLSFEEDTVKRGGWQQGGILVAGPGKTNILYIHKDKEAGDDPDIEDILKACCS >KJB37100 pep chromosome:Graimondii2_0_v6:6:44635425:44645548:1 gene:B456_006G189400 transcript:KJB37100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKKRTDVPSAGGESSQSHDTGVGSGRATQRPPQQGGAGGGGYSTGRRLVPQSQQTGRGGYSAGGRGRGTSQQQFAERPPEYQGRGRGGPPQQGGRGSYGSGRGSGGSGGGPFHGGPSRPPYPELHQATQPFHGPASFEAGSSSRPPEHAPSAQSLHIPEEAGQAIQPVPSSSKSVRFPLRPGKGCTGTKCIVKANHFFAELPDKDLHQYDVTITPEVASRGVNRAVMEQLVKLYRESYLGKHLPAYDGRKSLYTAGPLPFVSKEFTITLIDDDDGSGMQRRECEFRVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTSRYCPVGRSFYSPYLGRRQSLGEGIESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDVSSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFYETYGFVIQHTQWPCLQVGNQQRANYLPMEVCKIVEGQRYSKRLNERQITALLRVTCQRPQEREYDIIETVHQNAYHEDPHAKEFGIKISEKLASVEARILPAPWLKYHDTGREKNCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVALRFCYELSQMCYISGMAFTPEPVLPPISARPEQVEKVLKTRYHDAMTKLQTQRKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKTWQDPVRGTVSGGMIKELLISFRRATGHKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRNAVDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMISGPAAGRGGMGGSAGPRSTRAPAAATAVRPLPALKENVKRVMFYC >KJB37095 pep chromosome:Graimondii2_0_v6:6:44635449:44645394:1 gene:B456_006G189400 transcript:KJB37095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKKRTDVPSAGGESSQSHDTGVGSGRATQRPPQQGGAGGGGYSTGRRLVPQSQQTGRGGYSAGGRGRGTSQQQFAERPPEYQGRGRGGPPQQGGRGSYGSGRGSGGSGGGPFHGGPSRPPYPELHQATQPFHGPASFEAGSSSRPPEHAPSAQSLHIPEEAGQAIQPVPSSSKSVRFPLRPGKGCTGTKCIVKANHFFAELPDKDLHQYDVTITPEVASRGVNRAVMEQLVKLYRESYLGKHLPAYDGRKSLYTAGPLPFVSKEFTITLIDDDDGSGMQRRECEFRVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTSRYCPVGRSFYSPYLGRRQSLGEGIESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDVSSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFYETYGFVIQHTQWPCLQVGNQQRANYLPMEVCKIVEGQRYSKRLNERQITALLRVTCQRPQEREYDIIETVHQNAYHEDPHAKEFGIKISEKLASVEARILPAPWLKYHDTGREKNCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVALRFCYELSQMCYISGMAFTPEPVLPPISARPEQVEKVLKTRYHDAMTKLQTQRKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKTWQDPVRGTVSGGMIKELLISFRRATGHKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRNAVDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMISGPAAGRGGMGGSAGPRSTRAPAAATAVRPLPALKENVKRVMFYC >KJB37099 pep chromosome:Graimondii2_0_v6:6:44635205:44645477:1 gene:B456_006G189400 transcript:KJB37099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKKRTDVPSAGGESSQSHDTGVGSGRATQRPPQQGGAGGGGYSTGRRLVPQSQQTGRGGYSAGGRGRGTSQQQFAERPPEYQGRGRGGPPQQGGRGSYGSGRGSGGSGGGPFHGGPSRPPYPELHQATQPFHGPASFEAGSSSRPPEHAPSAQSLHIPEEAGQAIQPVPSSSKSVRFPLRPGKGCTGTKCIVKANHFFAELPDKDLHQYDVTITPEVASRGVNRAVMEQLVKLYRESYLGKHLPAYDGRKSLYTAGPLPFVSKEFTITLIDDDDGSGMQRRECEFRVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTSRYCPVGRSFYSPYLGRRQSLGEGIESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDVSSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFYETYGFVIQHTQWPCLQVGNQQRANYLPMEVCKIVEGQRYSKRLNERQITALLRVTCQRPQEREYDIIETVHQNAYHEDPHAKEFGIKISEKLASVEARILPAPWLKYHDTGREKNCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVALRFCYELSQMCYISGMAFTPEPVLPPISARPEQVEKVLKTRYHDAMTKLQTQRKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKTWQDPVRGTVSGGMIKELLISFRRATGHKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRNAVDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMISGPAAGRGGMGGSAGPRSTRAPAAATAVRPLPALKENVKRVMFYC >KJB37097 pep chromosome:Graimondii2_0_v6:6:44635425:44645394:1 gene:B456_006G189400 transcript:KJB37097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKKRTDVPSAGGESSQSHDTGVGSGRATQRPPQQGGAGGGGYSTGRRLVPQSQQTGRGGYSAGGRGRGTSQQQFAERPPEYQGRGRGGPPQQGGRGSYGSGRGSGGSGGGPFHGGPSRPPYPELHQATQPFHGPASFEAGSSSRPPEHAPSAQSLHIPEEAGQAIQPVPSSSKSVRFPLRPGKGCTGTKCIVKANHFFAELPDKDLHQYDVTITPEVASRGVNRAVMEQLVKLYRESYLGKHLPAYDGRKSLYTAGPLPFVSKEFTITLIDDDDGSGMQRRECEFRVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTSRYCPVGRSFYSPYLGRRQSLGEGIESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDVSSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFYETYGFVIQHTQWPCLQVGNQQRANYLPMEVCKIVEGQRYSKRLNERQITALLRVTCQRPQEREYDIIETVHQNAYHEDPHAKEFGIKISEKLASVEARILPAPWLKYHDTGREKNCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVALRFCYELSQMCYISGMAFTPEPVLPPISARPEQVEKVLKTRYHDAMTKLQTQRKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKTWQDPVRGTVSGGMIKELLISFRRATGHKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRNAVDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMISGPAAGRGGMGGSAGPRSTRAPAAATAVRPLPALKENVKRVMFYC >KJB37096 pep chromosome:Graimondii2_0_v6:6:44635205:44645477:1 gene:B456_006G189400 transcript:KJB37096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKKRTDVPSAGGESSQSHDTGVGSGRATQRPPQQGGAGGGGYSTGRRLVPQSQQTGRGGYSAGGRGRGTSQQQFAERPPEYQGRGRGGPPQQGGRGSYGSGRGSGGSGGGPFHGGPSRPPYPELHQATQPFHGPASFEAGSSSRPPEHAPSAQSLHIPEEAGQAIQPVPSSSKSVRFPLRPGKGCTGTKCIVKANHFFAELPDKDLHQYDVTITPEVASRGVNRAVMEQLVKLYRESYLGKHLPAYDGRKSLYTAGPLPFVSKEFTITLIDDDDGSGMQRRECEFRVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTSRYCPVGRSFYSPYLGRRQSLGEGIESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDVSSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFYETYGFVIQHTQWPCLQVGNQQRANYLPMEVCKIVEGQRYSKRLNERQITALLRVTCQRPQEREYDIIETVHQNAYHEDPHAKEFGIKISEKLASVEARILPAPWLKYHDTGREKNCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVALRFCYELSQMCYISGMAFTPEPVLPPISARPEQVEKVLKTRYHDAMTKLQTQRKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKTWQDPVRGTVSGGMIKELLISFRRATGHKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRNAVDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMISGPAAGRGGMGGSAGPRSTRAPAAATAVRPLPALKENVKRVMFYC >KJB37098 pep chromosome:Graimondii2_0_v6:6:44635921:44645394:1 gene:B456_006G189400 transcript:KJB37098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDVSSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFYETYGFVIQHTQWPCLQVGNQQRANYLPMEVCKIVEGQRYSKRLNERQITALLRVTCQRPQEREYDIIETVHQNAYHEDPHAKEFGIKISEKLASVEARILPAPWLKYHDTGREKNCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVALRFCYELSQMCYISGMAFTPEPVLPPISARPEQVEKVLKTRYHDAMTKLQTQRKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKTWQDPVRGTVSGGMIKELLISFRRATGHKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRNAVDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMISGPAAGRGGMGGSAGPRSTRAPAAATAVRPLPALKENVKRVMFYC >KJB35748 pep chromosome:Graimondii2_0_v6:6:37919064:37922557:1 gene:B456_006G126800 transcript:KJB35748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQINNEESFSYALQIVTSSVLPMSMHAAVQLDIFGIMAKCGPDAELSAKEIAAQLATNNSKAASMLDRILVVLASHGIVGCSVADEEKGNPRRLYSLTPVSKFFVRNEDGVSLGPLMALIQDKVFIDSWSQLKDAIIEGGVPFDRVHGTNAFEYPGKDPRFNQIFNTAMINHTGLVLKEILHSYKGFQQLSSLVDVGGGLGITLNLITSKYPSIKGINFDLPHVIQHAPAYPGNTIFSLYYFAIGSFMIDNG >KJB35749 pep chromosome:Graimondii2_0_v6:6:37919064:37923671:1 gene:B456_006G126800 transcript:KJB35749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQINNEESFSYALQIVTSSVLPMSMHAAVQLDIFGIMAKCGPDAELSAKEIAAQLATNNSKAASMLDRILVVLASHGIVGCSVADEEKGNPRRLYSLTPVSKFFVRNEDGVSLGPLMALIQDKVFIDSWSQLKDAIIEGGVPFDRVHGTNAFEYPGKDPRFNQIFNTAMINHTGLVLKEILHSYKGFQQLSSLVDVGGGLGITLNLITSKYPSIKGINFDLPHVIQHAPAYPGVQHVGGDMFESVPKGDAIFMKWILHDWSDDHCLKLLKNCYNAIPKDGKVIVVEAVVPVVPEANAYLRSITQLDVLMMAQNPGGKERTKSEFEALATKAGFSGIRYECFACNYWIMEFFK >KJB35347 pep chromosome:Graimondii2_0_v6:6:35691243:35692942:-1 gene:B456_006G110300 transcript:KJB35347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKQIWEQQQSQMQRVKNSGIILTNETPLKDDKEEEMAKSALALFRAKEEEIERKKMEVRDKVQAYMGRVEEATRRLSDIREELDALIDPMRKDIAFLRKKIDTANRELKPLGQSCQKKEREYKEALEAFNDKNKEKTQLVSKLMELVSESERLRMKKLEELSKSIETLS >KJB35348 pep chromosome:Graimondii2_0_v6:6:35692188:35692886:-1 gene:B456_006G110300 transcript:KJB35348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKQIWEQQQSQMQRVKNSGIILTNETPLKDDKEEEMAKSALALFRAKEEEIERKKMEVRDKVQAYMGRVEEATRRLSDIREELDALIDPMRKDIAFLRKKIDTANRELKPLGQSCQKKVQTLFSLLIIKMIVKWAIEGIISNIYIYMVNN >KJB38787 pep chromosome:Graimondii2_0_v6:6:50845749:50847717:-1 gene:B456_006G271500 transcript:KJB38787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCKKGFILDGFPRTVGQAQKLDDMLGKQGVKIDKVLDFAIDDAVLEERITGRWIHPASGRTYHTKFAPPKVPGLDDVTGEPLVQRKDDTAAVLKSRLDAFHKQTQPVIDYYSEKGIVAKLHAEKPQKEVTDEVKKVLS >KJB38786 pep chromosome:Graimondii2_0_v6:6:50845741:50849444:-1 gene:B456_006G271500 transcript:KJB38786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSMNLEDIPSESLMSELLRRMKCASKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCKKGFILDGFPRTVGQAQKLDDMLGKQGVKIDKVLDFAIDDAVLEERITGRWIHPASGRTYHTKFAPPKVPGLDDVTGEPLVQRKDDTAAVLKSRLDAFHKQTQPVIDYYSEKGIVAKLHAEKPQKEVTDEVKKVLS >KJB38788 pep chromosome:Graimondii2_0_v6:6:50845749:50848604:-1 gene:B456_006G271500 transcript:KJB38788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINCNSILGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCKKGFILDGFPRTVGQAQKLDDMLGKQGVKIDKVLDFAIDDAVLEERITGRWIHPASGRTYHTKFAPPKVPGLDDVTGEPLVQRKDDTAAVLKSRLDAFHKQTQPVIDYYSEKGIVAKLHAEKPQKEVTDEVKKVLS >KJB34689 pep chromosome:Graimondii2_0_v6:6:30382161:30383382:1 gene:B456_006G078700 transcript:KJB34689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSHQPFVALIVGVTGMAGLSLAEALKSPSALGGPWKVYGSASRPIPTWFPSSLLDKYIAFDATDAGNTADTLAPISGEVTHVFWVAIQVRESEQVNVTVNATMLSNVLDVLKSGPGGNGTGSRLSHVTVQTGTQHYMGPIHNPTESGQGLEPHEPPFREDLPRLPYPNFYYALEDLLESYAPSLTYSVHRSSIIIGASSRSVYNALLTLAVYALICRYEGLPFRYPGSRYTWEQHNSEIVTKVVRKQQKNSKTTKRTIFFSKFGSG >KJB36662 pep chromosome:Graimondii2_0_v6:6:42948422:42951453:1 gene:B456_006G169600 transcript:KJB36662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEARVGVVVEGGQRALNSAHGAVVDAGARKFLQQQQQQQHEHSSKQGLNPQVGTVQQLLAGGIAGAFSKTCTAPLARLTILFQVQGMQSDVSALSKASIWREASRIINEEGFKAFWKGNLVTIAHRLPYTAVNFYAYERYKSFLQSTLCLENQRGKAGADLGVHFVGGGLAGMTAASATYPLDLVRTRLAAQRNSIYYRGIWHAFHTICREEGIFGLYKGLGATLLGVGPSIAISFSVYESLRSYWQLQRPDDSTIMVSLACGSLSGIASSTATFPIDLVRRRMQLEGAAGRARVYKTGLTGTFRHIIHSEGLRGLYRGILPEYYKVVPGVGIVFMTYETLKMLLSSIPTGY >KJB35132 pep chromosome:Graimondii2_0_v6:6:34206499:34206878:-1 gene:B456_006G101800 transcript:KJB35132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AALQPLSWGFGVSNWPNGKSFKAGDVLEFKYNRPNHDVAVVDKEGYESCYVADDAQVFETGDDLITLQQGRNYFVCGFPGHCNNGMKIAVTAT >KJB33337 pep chromosome:Graimondii2_0_v6:6:1460141:1463640:1 gene:B456_006G007100 transcript:KJB33337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSLSRSPSPSPSYRSRHSRRSRREHSRRSRSSSPRRRRSRSPSHRRRKSRSPTPRRHRRRTSLSPLPKSPSPPPPIPQNKNNRLHQEAELKLLEEETAKRLEEAIQKNVEERLNSEDVKLDIERRVVEGRKKLFDDVSTQLQKEKESALAEARLKQEQARREREELDKMLEENKRRVEEAQRREALEQQRKEEERYRELEMIQRQKEEAARRKKLEEEEEHAKQMKLGKNKSRTKVPFGIGL >KJB36318 pep chromosome:Graimondii2_0_v6:6:41154376:41156169:1 gene:B456_006G152600 transcript:KJB36318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRTTQTRYNASFVPVINKRERCYILSFVFILFISIIIVFNLGDSPLLFRFGYFFPAAHQLISNQQRRPCDYSYGRWVRDENYPNQLYNESCMFLDRGFQCRRNGREDVEFLKWRWQPHGCDLPRFNASDFLERSRNGRIVFAGDSMGRNQWESLICMLAQAVTNQSSIFEENGSPITKHKGFLSMKFGDYNLTIEHYRAPFLVIINRPPKDSAAQVQVTISVDKLHKYSERWTGAHVLVFNTGHWWNKEKTVRMGCYFQEGGKVNMTMDVMEGFRRSLHTLKLWITKNLNPERSHVFLQSYSPVHYTNGAWNDGGLCDAEIEPEKNEKKLKAEPWNNRYIADVINQMTYGNRKVRLLNITYLTEFRKDGHPSRHREPGTPADAPQDCSHWCLPGIPDTWNEILYAHLLSMEFRTK >KJB32980 pep chromosome:Graimondii2_0_v6:6:32737082:32737620:1 gene:B456_006G0910001 transcript:KJB32980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRILYAMRNVTSLILMILLSDLVYYWPQLSFFHGEHEGHVMFGSAFMVSIARLHQRACNKMEGNRQQGSWFVSLGKQRWR >KJB38112 pep chromosome:Graimondii2_0_v6:6:48699434:48701754:-1 gene:B456_006G240200 transcript:KJB38112 gene_biotype:protein_coding transcript_biotype:protein_coding description:FCS-Like Zinc finger 8 [Source:Projected from Arabidopsis thaliana (AT3G22550) UniProtKB/Swiss-Prot;Acc:Q8L471] MADCDSTLQSPTDKVQKKPTSFPKLLTGFTLKAFSDNTESIMSPKSILDSKPCSALKNPFWSESSTPKTPEPEAKHKLDSKGIGLAIVDSFKDDYFDPNLPKPVLFGSQLRIQIPSLPHVLSPAESPRTPPEFSTKTRTSQLSSFSSVLSPSSVRETLNSPRILIGNLPASEMELSEDYTCVISHGSNPRTTHIFDDCIVESCCGVVGFSSPKRNGSSYQSENFLSYCYACKKNLSPGKDIYMYRSEKAFCSKECRYQEMMLEESTNKLESDDIFGTYS >KJB38114 pep chromosome:Graimondii2_0_v6:6:48699434:48701930:-1 gene:B456_006G240200 transcript:KJB38114 gene_biotype:protein_coding transcript_biotype:protein_coding description:FCS-Like Zinc finger 8 [Source:Projected from Arabidopsis thaliana (AT3G22550) UniProtKB/Swiss-Prot;Acc:Q8L471] MADCDSTLQSPTDKVQKKPTSFPKLLTGFTLKAFSDNTESIMSPKSILDSKPCSALKNPFWSESSTPKTPEPEAKHKLDSKGIGLAIVDSFKDDYFDPNLPKPVLFGSQLRIQIPSLPHVLSPAESPRTPPEFSTKTRTSQLSSFSSVLSPSSVRETLNSPRILIGNLPASEMELSEDYTCVISHGSNPRTTHIFDDCIVESCCGVVGFSSPKRNGSSYQSENFLSYCYACKKNLSPGKDIYMYSEKAFCSKECRYQEMMLEESTNKLESDDIFGTYS >KJB38113 pep chromosome:Graimondii2_0_v6:6:48700104:48700919:-1 gene:B456_006G240200 transcript:KJB38113 gene_biotype:protein_coding transcript_biotype:protein_coding description:FCS-Like Zinc finger 8 [Source:Projected from Arabidopsis thaliana (AT3G22550) UniProtKB/Swiss-Prot;Acc:Q8L471] MADCDSTLQSPTDKVQKKPTSFPKLLTGFTLKAFSDNTESIMSPKSILDSKPCSALKNPFWSESSTPKTPEPEAKHKLDSKGIGLAIVDSFKDDYFDPNLPKPVLFGSQLRIQIPSLPHVLSPAESPRTPPEFSTKTRTSQLSSFSSVLSPSSVRETLNSPRILIGNLPASEMELSEDYTCVISHGSNPRTTHIFDDCIVESCCGVVGFSSPKRNGSSYQSENFLSYCYACKKNLSPGKDIYMYRLVSKLSFNGTTCFFLNLYLIKFWFHV >KJB38115 pep chromosome:Graimondii2_0_v6:6:48699434:48701034:-1 gene:B456_006G240200 transcript:KJB38115 gene_biotype:protein_coding transcript_biotype:protein_coding description:FCS-Like Zinc finger 8 [Source:Projected from Arabidopsis thaliana (AT3G22550) UniProtKB/Swiss-Prot;Acc:Q8L471] MADCDSTLQSPTDKVQKKPTSFPKLLTGFTLKAFSDNTESIMSPKSILDSKPCSALKNPFWSESSTPKTPEPEAKHKLDSKGIGLAIVDSFKDDYFDPNLPKPVLFGSQLRIQIPSLPHVLSPAESPRTPPEFSTKTRTSQLSSFSSVLSPSSVRETLNSPRILIGNLPASEMELSEDYTCVISHGSNPRTTHIFDDCIVESCCGVVGFSSPKRNGSSYQSENFLSYCYACKKNLSPGKDIYMYRSEKAFCSKECRYQEMMLEESTNKLESDDIFGTYS >KJB37350 pep chromosome:Graimondii2_0_v6:6:45796832:45798798:-1 gene:B456_006G201000 transcript:KJB37350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKRGTDTESSVSITKDPRNMPLDQGKFGRFTVIRARNARRRRMEIRRLKCTCETMMNITITKNGSDADSDNGLLHESKSSDGLTELSLSSSTSSSSSSSSKQSSSEENDIVSAKKTCIKNDDVQNLKCKTSTHGLLSIIGRRREMEDAVKVELGLMMKSGLEFDFYGVYDGHGGSRVAEECKERLHKVLKEEIIEDSKGGCGINWGRTMERCFEKMDEEVNRGKLGEEMVGSTAVVAVVGNGKLVVANCGDSRAVLSRSGVAVALSSDHKPERADELERIEAAGGWVIKWNGHRVLGVLATSRSIGDGCLKPFVTSTPEVRVSELNNGDEFLILASDGLWDVVSNEVACGVVRRCLNSQMTKRKSSLQFVDGNRRAEAAAAMLVELAIARGSQDNISVIVVDFRNSTYH >KJB33820 pep chromosome:Graimondii2_0_v6:6:8367572:8368747:-1 gene:B456_006G0324002 transcript:KJB33820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVLLEDIEDDLAEELKSKCLVNVFDIEDLGKGRRRATVARPRACTLCRECIRGEDWEKRVALRRVKDHFILKILEDKCERVITELS >KJB33821 pep chromosome:Graimondii2_0_v6:6:8368224:8368747:-1 gene:B456_006G0324002 transcript:KJB33821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVLLEDIEDDLAEELKSKCLVNVFDIEDLGKGRRRATVARPRACTLCRECIRGEDWEKRVALRRVKDHFICK >KJB37659 pep chromosome:Graimondii2_0_v6:6:46834401:46835660:1 gene:B456_006G214700 transcript:KJB37659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFDLFDANKKGVIDFADFVRALNVFHPNTSQEDKINFSFRLYDMDGTGFIERQEVKEMLIALLSECEMKLSEEIIEAILDKTFMDADTNQDGKIDMHEWKSFVSRNPSLLRVMTLPYLRDITTTFPSFVFNTEVDEVATLSENTRSG >KJB37658 pep chromosome:Graimondii2_0_v6:6:46832994:46835660:1 gene:B456_006G214700 transcript:KJB37658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLQCKAKRLCPKRENPVKLASETAFSVSEVEALFELFKIISSSLIDDGLISKEEFRLALFHNNKKKNIFTDRIFDLFDANKKGVIDFADFVRALNVFHPNTSQEDKINFSFRLYDMDGTGFIERQEVKEMLIALLSECEMKLSEEIIEAILDKTFMDADTNQDGKIDMHEWKSFVSRNPSLLRVMTLPYLRDITTTFPSFVFNTEVDEVATLSENTRSG >KJB37657 pep chromosome:Graimondii2_0_v6:6:46832994:46835660:1 gene:B456_006G214700 transcript:KJB37657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLQCKAKRLCPKRENPVKLASETAFSVSEVEALFELFKIISSSLIDDGLISKEEFRLALFHNNKKKNIFTDRGVIDFADFVRALNVFHPNTSQEDKINFSFRLYDMDGTGFIERQEVKEMLIALLSECEMKLSEEIIEAILDKTFMDADTNQDGKIDMHEWKSFVSRNPSLLRVMTLPYLRDITTTFPSFVFNTEVDEVATLSENTRSG >KJB37804 pep chromosome:Graimondii2_0_v6:6:47326317:47327584:1 gene:B456_006G220800 transcript:KJB37804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITSILPEECLCLIISLTSPEDACRSAMISHAFRSVANRYEVWEKFLPSDYRSIISGSSSSSLLSLGKKDVYFHLCFHPILIQNGTKSFQLEKKSGKKCYMMGARALSIIPEGTPAHWIWTSLQESRFPETAELKQVWWLNMRGEIETKILSSDTNYAVYFVFKLRKEHTTGFTQRTVGLHVHVDKIGLREVRMVSLDPLRDEPRYIRERGDGWMEIEMGAFFNNCGDDGSVEFSVWEAHTNYVKRGLIIEGIELRPKDSAS >KJB33363 pep chromosome:Graimondii2_0_v6:6:1660917:1663526:-1 gene:B456_006G008000 transcript:KJB33363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQFQSNMDQPLLPKIRQSTPPHLITNDAIIPIIPTPSTSYVNLLANLKNHKTRLTRRSHSAPSVFTDVREPFQGRKPSEKSTPFIVRQAFIWLIIYILTGILMFLTSESFKGTETFKPVDALYFIVVTLCTIGYGDIVPNSTFTKLFTCFFILIGFGFIDILLNGLVTYICDRQESVLLSTVDENQFNTMVQTYMIDKAKGRMRIRMKVSLALVVVVGCIAIGTVAVHFLENLDWVNSFYLAVTSVTTVGYGDFSFETLTGRCFAVLWLLVSTLAVARAFLYLTELRIEKRNRKIAKWVLQKKMTLGDLVAADLDNDGSVSKSEFIIYKLKEMGKIAEKDILQICDQFDALDNSNCGKITVADLMDSS >KJB33364 pep chromosome:Graimondii2_0_v6:6:1661025:1663479:-1 gene:B456_006G008000 transcript:KJB33364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQFQSNMDQPLLPKIRQSTPPHLITNDAIIPIIPTPSTSYVNLLANLKNHKTRLTRRSHSAPSVFTDVREPFQGRKPSEKSTPFIVRQAFIWLIIYILTGILMFLTSESFKGTETFKPVDALYFIVVTLCTIGYGDIVPNSTFTKLFTCFFILIGFGFIDILLNGLVTYICDRQESVLLSTVDENQFNTMVQTYMIDKAKGRMRIRMKVSLALVVVVGCIAIGTVAVHFLENLDWVNSFYLAVTSVTTVGYGDFSFETLTGRCFAVLWLLVSTLAVARAFLYLTELRIEKRNRKIAKWVLQKKMTLGDLVAADLDNDGSVSSVFVAVNLNL >KJB35668 pep chromosome:Graimondii2_0_v6:6:37458965:37461909:-1 gene:B456_006G123200 transcript:KJB35668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAIVPQHENNGEVKQKNAIADGRNRRVLQDIGNFVNERAAAQGKKPITEGAVVVAQAVKGAQRKQVDKPKPENVIVISSDEKSEKSKHVTKRQGSSRKDVKTLTSILSARSKAACGLVNKLNDSIENIDGADAGNELAVTEYVDDIYKFYKLTEDEGRVRNYMDLQPDINAKMRSILVDWLIEVHRKFELMPETLYLTMNIVDRFLWMKVVRRKELQLVGISAMLIACKYEEIWAPEVNDFVFISDNAYAREHVLVMEKTILDKLEWYLTVPTPYVFLVRFIKASVPSDDKMEDLVFYLAELGLMQYPSAVSYCPSMLAAAAVYAARCTLGESPLWSETLKHHTGYSVEQLIECAKLLVKFHSTAAGSKLKALYRKFSSPDRNAVALLAPAKCLLPIAIHDGEC >KJB33321 pep chromosome:Graimondii2_0_v6:6:1265201:1281943:-1 gene:B456_006G006400 transcript:KJB33321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDKSTGVGGETSTRVSAGSTSWLCASRQRFTIELRPGETTIVSWKRLIKDAQDTSPHLTAPNTEDSLDECCRDDKSTSKQNGLLVKFDKLECTNEIVLSVAQPSRKRSKNMAEAQGEKVGDHEPRVSNKDASISPSNSEDVDKYRRVTVHSNNLENNTNSLATNQKYVEKKPCKKLESPVRKLMIENDVEGISTKVEQREKSCGELPDLNLPVYPMQSEKSHSLQSKDVSNLRTKGIMLERAMRELEKVVAESRLSTMEVQDIDASSTTIKRRLPCEVKQKLAKVARLARSSQGKISKELINNLMNILGHSVQLRTLKRNLKEMILMGLSAKQEKASRFEQIKLEVIEMIKSQASMLGDVPTCDIQEVVGYEEKVALKKQYSMDNVIEDKICDLYDLYTQGIDEDKGPQIRKLYVEAHGIRAYLISLPLYWKALPLSSCSAEFCRQKLRVQTTHTATRNLPFPTHRRVSTILTTTFSSALSNVLPYNFNSSKTLNLLFCPF >KJB33319 pep chromosome:Graimondii2_0_v6:6:1258985:1281646:-1 gene:B456_006G006400 transcript:KJB33319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDKSTGVGGETSTRVSAGSTSWLCASRQRFTIELRPGETTIVSWKRLIKDAQDTSPHLTAPNTEDSLDECCRDDKSTSKQNGLLVKFDKLECTNEIVLSVAQPSRKRSKNMAEAQGEKVGDHEPRVSNKDASISPSNSEDVDKYRRVTVHSNNLENNTNSLATNQKYVEKKPCKKLESPVRKLMIENDVEGISTKVEQREKSCGELPDLNLPVYPMQSEKSHSLQSKDVSNLRTKGIMLERAMRELEKVVAESRLSTMEVQDIDASSTTIKRRLPCEVKQKLAKVARLARSSQGKISKELINNLMNILGHSVQLRTLKRNLKEMILMGLSAKQEKASRFEQIKLEVIEMIKSQASMLGDVPTCDIQEVVGYEEKVALKKQYSMDNVIEDKICDLYDLYTQGIDEDKGPQIRKLYVELAELWPNGIMDKHGIKSAICRAKERRRALCEHDKVREDRRKKSAQKMKTGVVEACSVSQLQAEQENQASASSGHVLALPCMTIYCKETLDQHLAAPLDSCSVPPNSSLDISEEEKNEKMTIPMLKKQMKHKNRVKKLSMKLEKGSHKSHKQAIRHPDGASHELAAPPSCGHPV >KJB33318 pep chromosome:Graimondii2_0_v6:6:1258206:1281943:-1 gene:B456_006G006400 transcript:KJB33318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDKSTGVGGETSTRVSAGSTSWLCASRQRFTIELRPGETTIVSWKRLIKDAQDTSPHLTAPNTEDSLDECCRDDKSTSKQNGLLVKFDKLECTNEIVLSVAQPSRKRSKNMAEAQGEKVGDHEPISNKDASISPSNSEDVDKYRRVTVHSNNLENNTNSLATNQKYVEKKPCKKLESPVRKLMIENDVEGISTKVEQREKSCGELPDLNLPVYPMQSEKSHSLQSKDVSNLRTKGIMLERAMRELEKVVAESRLSTMEVQDIDASSTTIKRRLPCEVKQKLAKVARLARSSQGKISKELINNLMNILGHSVQLRTLKRNLKEMILMGLSAKQEKASRFEQIKLEVIEMIKSQASMLGDVPTCDIQEVVGYEEKVALKKQYSMDNVIEDKICDLYDLYTQGIDEDKGPQIRKLYVELAELWPNGIMDKHGIKSAICRAKERRRALCEHDKVREDRRKKSAQKMKTGVVEACSVSQLQAEQENQASASSGHVLALPCMTIYCKETLDQHLAAPLDSCSVPPNSSLDISEEEKNEKMTIPMLKKQMKHKNRVKKLSMKLEKGSHKSHKQAIRHPDGASHELAAPPSCGHPV >KJB33316 pep chromosome:Graimondii2_0_v6:6:1258206:1281418:-1 gene:B456_006G006400 transcript:KJB33316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAQGEKVGDHEPRVSNKDASISPSNSEDVDKYRRVTVHSNNLENNTNSLATNQKYVEKKPCKKLESPVRKLMIENDVEGISTKVEQREKSCGELPDLNLPVYPMQSEKSHSLQSKDVSNLRTKGIMLERAMRELEKVVAESRLSTMEVQDIDASSTTIKRRLPCEVKQKLAKVARLARSSQGKISKELINNLMNILGHSVQLRTLKRNLKEMILMGLSAKQEKASRFEQIKLEVIEMIKSQASMLGDVPTCDIQEVVGYEEKVALKKQYSMDNVIEDKICDLYDLYTQGIDEDKGPQIRKLYVELAELWPNGIMDKHGIKSAICRAKERRRALCEHDKVREDRRKKSAQKMKTGVVEACSVSQLQAEQENQASASSGHVLALPCMTIYCKETLDQHLAAPLDSCSVPPNSSLDISEEEKNEKMTIPMLKKQMKHKNRVKKLSMKLEKGSHKSHKQAIRHPDGASHELAAPPSCGHPV >KJB33320 pep chromosome:Graimondii2_0_v6:6:1266518:1281646:-1 gene:B456_006G006400 transcript:KJB33320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDKSTGVGGETSTRVSAGSTSWLCASRQRFTIELRPGETTIVSWKRLIKDAQDTSPHLTAPNTEDSLDECCRDDKSTSKQNGLLVKFDKLECTNEIVLSVAQPSRKRSKNMAEAQGEKVGDHEPRVSNKDASISPSNSEDVDKYRRVTVHSNNLENNTNSLATNQKYVEKKPCKKLESPVRKLMIENDVEGISTKVEQREKSCGELPDLNLPVYPMQSEKSHSLQSKDVSNLRTKGIMLERAMRELEKVVAESRLSTMEVQDIDASSTTIKRRLPCEVKQKLAKVARLARSSQGKISKELINNLMNILGHSVQLRTLKRNLKEMILMGLSAKQEKASRFEQIKLEVIEMIKSQVSSKITLSNMQISSRHLC >KJB33317 pep chromosome:Graimondii2_0_v6:6:1258206:1281943:-1 gene:B456_006G006400 transcript:KJB33317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDKSTGVGGETSTRVSAGSTSWLCASRQRFTIELRPGETTIVSWKRLIKDAQDTSPHLTAPNTEDSLDECCRDDKSTSKQNGLLVKFDKLECTNEIVLSVAQPSRKRSKNMAEAQGEKVGDHEPRVSNKDASISPSNSEDVDKYRRVTVHSNNLENNTNSLATNQKYVEKKPCKKLESPVRKLMIENDVEGISTKVEQREKSCGELPDLNLPVYPMQSEKSHSLQSKDVSNLRTKGIMLERAMRELEKVVAESRLSTMEVQDIDASSTTIKRRLPCEVKQKLAKVARLARSSQGKISKELINNLMNILGHSVQLRTLKRNLKEMILMGLSAKQEKASRFEQIKLEVIEMIKSQASMLGDVPTCDIQEVVGYEEKVALKKQYSMDNVIEDKICDLYDLYTQGIDEDKGPQIRKLYVELAELWPNGIMDKHGIKSAICRAKERRRALCEHDKRGQEKEVGTENEDRCCRSLFSFSIAG >KJB37967 pep chromosome:Graimondii2_0_v6:6:47959882:47962742:1 gene:B456_006G229100 transcript:KJB37967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGVVSDKSLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVARLGGKASFVGKLGDDEFGHMLADILKQNSVSGDGILFDQGARTALAFVTLRADGEREFMFYRNPSADMLLKPEELNVDLIRSSKVFHYGSISLIVEPCRSAHLKAMEVAKGAGALLSYDPNLRLPLWPSADEARKQILSIWDKADIVKVSDVELEFLTGSNKVDDETAMKLWRPNFTLLLVTLGEKGSRYYTKNFRGAVDAFHVNTVDTTGAGDSFVGALLCKIVENPTILEVNHIYTNVYICNFD >KJB37965 pep chromosome:Graimondii2_0_v6:6:47959862:47962763:1 gene:B456_006G229100 transcript:KJB37965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGVVSDKSLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVARLGGKASFVGKLGDDEFGHMLADILKQNSVSGDGILFDQGARTALAFVTLRADGEREFMFYRNPSADMLLKPEELNVDLIRSSKVFHYGSISLIVEPCRSAHLKAMEVAKGAGALLSYDPNLRLPLWPSADEARKQILSIWDKADIVKVSDVELEFLTGSNKVDDETAMKLWRPNFTLLLVTLGEKGSRYYTKNFRGAVDAFHVNTVDTTGAGDSFVGALLCKIVENPTILEDEAKLREVLKFANACGAITTTKKGAIPALPTEADALALINGA >KJB37966 pep chromosome:Graimondii2_0_v6:6:47959882:47962742:1 gene:B456_006G229100 transcript:KJB37966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGVVSDKSLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVARLGGKASFVGKLGDDEFGHMLADILKQNSVSGDGILFDQGARTALAFVTLRADGEREFMFYRNPSADMLLKPEELNVDLIRSSKVFHYGSISLIVEPCRSAHLKAMEVAKGAGALLSYDPNLRLPLWPSADEARKQILSIWDKADIVKVSDVELEFLTGSNKQSMLSMSIPWTQLAPVTPLLVHCYARLLKTQPFLRMKQN >KJB38733 pep chromosome:Graimondii2_0_v6:6:50745461:50750059:1 gene:B456_006G269800 transcript:KJB38733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMAAKFAFFPPSPPSYKLIKDEETGILVLDPFPHRENVDVLRLPTRRGNEIVAVYVRHPMATSTLLYSHGNAADIGQMYDYSGYGQSSGKPSEQNTYADIEAAYKCLEENYGAKQENIILYGQSVGSGPTVDLATRLPRLRAVVLHSPILSGLRVMYPVKRSYWFDIYKNIDKISLVKCPVLIIHGTNDDVVDCSHGKQLWELCQEKYEPLWVKGGNHCDLELYPDYIRHLKKFISTVEKTPSRRNASRKSTDGIEQSRQSTEYFEAPRRSIDRREKPRKSTDTRDKPRKSTDTREKPRKSIDRPEKLKVHEHNKFNNIDKLEKLRTSLDQMERMERSRRSVEYHEKSRRSVDLQLEKGRKSVDWIDRIPAV >KJB38732 pep chromosome:Graimondii2_0_v6:6:50745461:50750059:1 gene:B456_006G269800 transcript:KJB38732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMAAKFAFFPPSPPSYKLIKDEETGILVLDPFPHRENVDVLRLPTRRGNEIVAVYVRHPMATSTLLYSHGNAADIGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEENYGAKQENIILYGQSVGSGPTVDLATRLPRLRAVVLHSPILSGLRVMYPVKRSYWFDIYKNIDKISLVKCPVLIIHQLWELCQEKYEPLWVKGGNHCDLELYPDYIRHLKKFISTVEKTPSRRNASRKSTDGIEQSRQSTEYFEAPRRSIDRREKPRKSTDTRDKPRKSTDTREKPRKSIDRPEKLKVHEHNKFNNIDKLEKLRTSLDQMERMERSRRSVEYHEKSRRSVDLQLEKGRKSVDWIDRIPAV >KJB38731 pep chromosome:Graimondii2_0_v6:6:50745415:50750116:1 gene:B456_006G269800 transcript:KJB38731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMAAKFAFFPPSPPSYKLIKDEETGILVLDPFPHRENVDVLRLPTRRGNEIVAVYVRHPMATSTLLYSHGNAADIGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEENYGAKQENIILYGQSVGSGPTVDLATRLPRLRAVVLHSPILSGLRVMYPVKRSYWFDIYKNIDKISLVKCPVLIIHGTNDDVVDCSHGKQLWELCQEKYEPLWVKGGNHCDLELYPDYIRHLKKFISTVEKTPSRRNASRKSTDGIEQSRQSTEYFEAPRRSIDRREKPRKSTDTRDKPRKSTDTREKPRKSIDRPEKLKVHEHNKFNNIDKLEKLRTSLDQMERMERSRRSVEYHEKSRRSVDLQLEKGRKSVDWIDRIPAV >KJB33843 pep chromosome:Graimondii2_0_v6:6:8837448:8841246:1 gene:B456_006G033600 transcript:KJB33843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEFNACANNVAQETLSLMRTVRAYGTEGEEVERYKRWLDELAFVSIRESAAYGLWSTSFLTLYRFTQVLAVLLGGICIMNSQLSPEQLTKYILYCEWLIYATWRVVDNSSSLLQSIGACEKVFHLMDLLPSDQFLSKGVKLQRLMGNIQFVNVSFHYPSRISVPILDRLNLAIQADEVVAIVGLSGSGKSTIVNLLLRLYQPVSGQIYMDGLPLDELDIRWLREKIGFVGQEPDLFNMDIKSNIKYGCPREVKDEDIEWAAKQAHAHEFISSLPHGYQTIVDNDLLSGGQKQQIAIARAILRDPAILVLDEATSALDAESEHYLKGVFHGLRNESRSKRTIILIAHRLSTIKTADRIVVMDKGRIIEMGDHTELLQKGGLYSQLIGAQAESMA >KJB33841 pep chromosome:Graimondii2_0_v6:6:8835983:8840967:1 gene:B456_006G033600 transcript:KJB33841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRMKRHRLWSPTPTRNYNADFQEILPGKYSGHLGAVQARGSWWNLPGVQALESSTAKPVTVSMALKRIWGLIGDDNWIVFLALSALFIAAVSEISMPRILAASVFSANSGESAAFFRSSRMLILLLIISGICSGLRSGCFAMANTILVKRLRRSLYASLVFQDISFFDMETVGSLTNRLGSDCQRLSHVIGNDIHLIIRNAIQGTGALINLLTLSWPLTLPTLIICSVLAIIFSIYGRYQKRAAKLMQEFNACANNVAQETLSLMRTVRAYGTEGEERWLDELAFVSIRESAAYGLWSTSFLTLYRFTQVLAVLLGGICIMNSQLSPEQLTKYILYCEWLIYATWRVVDNSSSLLQSIGACEKVFHLMDLLPSDQFLSKGNFHHMTCLKLQRLMGNIQFVNVSFHYPSRISVPILDRLNLAIQADEVVAIVGLSGSGKSTIVNLLLRLYQPVSGQIYMDGLPLDELDIRWLREKIGFVGQEPDLFNMDIKSNIKYGCPREVKDEDIEWAAKQAHAHEFISSLPHGYQTIVDNDLLSGGQKQQIAIARAILRDPAILVLDEATSALDAESEHYLKGVFHGLRNESRSKRTIILIAHRLSTIKTADRIVMGDHTELLQKGGLYSQLIGAQAESMA >KJB33842 pep chromosome:Graimondii2_0_v6:6:8837291:8841278:1 gene:B456_006G033600 transcript:KJB33842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVGSLTNRLGSDCQRLSHVIGNDIHLIIRNAIQGTGALINLLTLSWPLTLPTLIICSVLAIIFSIYGRYQKRAAKLMQEFNACANNVAQETLSLMRTVRAYGTEGEEVERYKRWLDELAFVSIRESAAYGLWSTSFLTLYRFTQVLAVLLGGICIMNSQLSPEQLTKYILYCEWLIYATWRVVDNSSSLLQSIGACEKVFHLMDLLPSDQFLSKGVKLQRLMGNIQFVNVSFHYPSRISVPILDRLNLAIQADEVVAIVGLSGSGKSTIVNLLLRLYQPVSGQIYMDGLPLDELDIRWLREKIGFVGQEPDLFNMDIKSNIKYGCPREVKDEDIEWAAKQAHAHEFISSLPHGYQTIVDNDLLSGGQKQQIAIARAILRDPAILVLDEATSALDAESEHYLKGVFHGLRNESRSKRTIILIAHRLSTIKTADRIVVMDKGRIIEMGDHTELLQKGGLYSQLIGAQAESMA >KJB33875 pep chromosome:Graimondii2_0_v6:6:9610249:9611108:-1 gene:B456_006G035600 transcript:KJB33875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKKTKKTHESINNRSALVMKSGKYTLGYKTVLKSLRSSKGKLIIISNNCPPLRKSEIEYYAMLCKVGVHHYNGSTFLNLSL >KJB33874 pep chromosome:Graimondii2_0_v6:6:9609685:9611203:-1 gene:B456_006G035600 transcript:KJB33874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKKTKKTHESINNRSALVMKSGKYTLGYKTVLKSLRSSKGKLIIISNNCPPLRKSEIEYYAMLCKVGVHHYNGKLQKQLEAAGTFPPSFLHSFLCRLGLGVICFSKSLKSYIP >KJB38050 pep chromosome:Graimondii2_0_v6:6:48278813:48280183:1 gene:B456_006G234600 transcript:KJB38050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYKFRLSDMIPNVWFYKLKDMANSNRTRNHSSNSNNNNRKKQNPVSPSSKTKQPHHFYPRKSYYFTRELIPADNRFYASPTKTKSIDPHIFPDPPRKSSKQQRSKKLNTKLVVASSLPPSSDDSTLKHEFRADCILTTESFDNMVSWSHNKLPPIITKAAKEPTKYRTSSVSVKVVKQDKKNIRVNSPGVRLRVNSPRIASRRIQAAQGRKSVSSSSSSSLSGSCAVVKSSCDPQRDFRESMVEMIMENNIRASKDLEDLLACYLSLNSDEYHDVIIKVFKQIWFDLSNVRMN >KJB34507 pep chromosome:Graimondii2_0_v6:6:28109329:28112694:-1 gene:B456_006G069600 transcript:KJB34507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFTSRNVGKTLVTRTQGTKIASEGLKHRVFEVSLADLQGGDEDHAFRKIRLRAEDVQGKNVLTNFWGMNFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMTAQATSCDLKELVQKFIPEMIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKIERPADETMAEAAPEVIGA >KJB36471 pep chromosome:Graimondii2_0_v6:6:42017370:42018851:-1 gene:B456_006G160700 transcript:KJB36471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSTKPHFVLIPFMCQGHLLPLIDIGRLLADRNVIVTIITTPQNAARFSAGVNRDIESKLSISVLELRFPAAEAGLPDGCETVDNLPSMDLMSNFHAAMSMLQVPLEKLLRELKPCPNCLIHDKHFTWMGEIASKLQIPRILFDGKSCFTHLCSHNISTSKVHECVSEGEPFAVPGLPDQIEFTIGQLPGNLNPRSNMKELSKKIIAAEEGGYGSIVNSFEELEAEYAKSYQKIKEHKVWCVGPASLCNRDNIDKAKRGNEALTDENQCLKWLDSWFASSVIYVCFGSLNRLTPPQLMELGFALEASNKPFIWVIRGGYKREEIEKWLAEDGFEERVKGRGLLIRGWAPQLLILSHPSVGGFLTHCGWNSTLEGISCGVPMITWPLFAEQFFNEKHLVQVLKVGVRVGVEFVVHMGEEDKYGVLVKSEDIKKAVESLMDEGKEGEERRERARKLAEMAKKAVEEGGSSYLNIALLIEDIKQQETIAGPDVA >KJB35537 pep chromosome:Graimondii2_0_v6:6:36851680:36853055:-1 gene:B456_006G118700 transcript:KJB35537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCFNVVQKQKRAQIAERKRLIHGDPATKKLKNKSQSLSVSGKRKRKLLKKWRREQKEVIEKGLVTMEDVEMVAAEGTTEDGGTSQDATIKVPTKFPMKKNLKLKRINRKGNPILSHAPFSLVMLPGLEACVGHVCGT >KJB35536 pep chromosome:Graimondii2_0_v6:6:36851576:36853094:-1 gene:B456_006G118700 transcript:KJB35536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCFNVVQKQKRAQIAERKRLIHGDPATKKLKNKSQSLSVSGKRKRKLLKKWRREQKEVIEKGLVTMEDVEMVAAEGTTEDGGTSQDATIKVPTKFPMKKNLKLKRINRKGKKKGGSSKAGIEAASVDSMVE >KJB34856 pep chromosome:Graimondii2_0_v6:6:32143080:32151416:1 gene:B456_006G087200 transcript:KJB34856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTREILYSLPMATRLPTKSSIYNHFNRHLHQHNRLPRSNYLPYDSGKRLLVQPRKVVVVAATPIKAAAETAVQCQVKAVVTVKCGSMEYVKDMVFRWLDSDAHRAQTGVVLQLVSTQVDPNSENPKLSKEAKIYWSINPKTKGGNKISLEVEFVVDANFGVPGAIIVTNKYKKEFYLESIVAIEGLVHFACNSWVQPHGFHAHNRIFFSNKVYLPNDTPVGLKRLREKELQQLRGNSDDSRTTSDRIYDYDVYNDLGNPDKGDEFVRPILGNQSQPYPRRCRSKRPPTSSDVNVESPVSKYMPKYVPRDEAFGDLKAKAITEGKWKAMLRSLVPTLKQKAAINGEAIKSFSDITELYKESLPPFEEGGDECWEKGGLPKLLNKMIKECGQDVFKFDSPKLISRDIPSCCLRDDELGRLTLAGMNPLSIERLKVFPPVSNLDPSIYGPQDSALKEEQIICHLNGMSVQQAIEENKLFILDYHDVYLPFLDRINAHPTKKAYATRTIFVLTDMGSLKPIAIELSLPEKNRNGPPKCVVTPPVDATTCWLWQLGKAHVCSNDAGAHQLIHHWLRTHACLEPFIIAAHRQLSVMHPIYKLLHCHMRYTMDVNAQGRQLLLNAGGIIESHFFTAACSMEVSASVYQNWWRFDMESLPADLIRR >KJB34858 pep chromosome:Graimondii2_0_v6:6:32143080:32152310:1 gene:B456_006G087200 transcript:KJB34858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTREILYSLPMATRLPTKSSIYNHFNRHLHQHNRLPRSNYLPYDSGKRLLVQPRKVVVVAATPIKAAAETAVQCQVKAVVTVKCGSMEYVKDMVFRWLDSDAHRAQTGVVLQLVSTQVDPNSENPKLSKEAKIYWSINPKTKGGNKISLEVEFVVDANFGVPGAIIVTNKYKKEFYLESIVAIEGLVHFACNSWVQPHGFHAHNRIFFSNKVYLPNDTPVGLKRLREKELQQLRGNSDDSRTTSDRIYDYDVYNDLGNPDKGDEFVRPILGNQSQPYPRRCRSKRPPTSSDVNVESPVSKYMPKYVPRDEAFGDLKAKAITEGKWKAMLRSLVPTLKQKAAINGEAIKSFSDITELYKESLPPFEEGGDECWEKGGLPKLLNKMIKECGQDVFKFDSPKLISRDIPSCCLRDDELGRLTLAGMNPLSIERLKVFPPVSNLDPSIYGPQDSALKEEQIICHLNGMSVQQAIEENKLFILDYHDVYLPFLDRINAHPTKKAYATRTIFVLTDMGSLKPIAIELSLPEKNRNGPPKCVVTPPVDATTCWLWQLGKAHVCSNDAGAHQLIHHWLRTHACLEPFIIAAHRQLSVMHPIYKLLHCHMRYTMDVNAQGRQLLLNAGGIIESHFFTAACSMEVSASVYQNWWRFDMESLPADLIRRGMAVPDATEPHGLKLLIEDYPYATDGLLIWSAIEQLVQAYVHYYYPEANIIESDSELNAWYHESINIGHADIRHASWWLKLSTPNNLISILTTIIWLASAHHAAVNFGMYPYGGYFPVRPPFMRRLVPNERDPDYTTFLADPEGYFLASLPCLDQMLHYISVLDILSTHSADEEYLGDRKDLSAWAGDPEIVEALYKFSMEMKKIGKEIEKRNGDPNLRNRCGAGISPYELLLPSSGPGVTCRGVPNSVSI >KJB34857 pep chromosome:Graimondii2_0_v6:6:32143080:32151416:1 gene:B456_006G087200 transcript:KJB34857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTREILYSLPMATRLPTKSSIYNHFNRHLHQHNRLPRSNYLPYDSGKRLLVQPRKVVVVAATPIKAAAETAVQCQVKAVVTVKCGSMEYVKDMVFRWLDSDAHRAQTGVVLQLVSTQVDPNSENPKLSKEAKIYWSINPKTKGGNKISLEVEFVVDANFGVPGAIIVTNKYKKEFYLESIVAIEGLVHFACNSWVQPHGFHAHNRIFFSNKVYLPNDTPVGLKRLREKELQQLRGNSDDSRTTSDRIYDYDVYNDLGNPDKGDEFVRPILGNQSQPYPRRCRSKRPPTSSDVNVESPVSKYMPKYVPRDEAFGDLKAKAITEGKWKAMLRSLVPTLKQKAAINGEAIKSFSDITELYKESLPPFEEGGDECWEKGGLPKLLNKMIKECGQDVFKFDSPKLISRDIPSCCLRDDELGRLTLAGMNPLSIERLKVFPPVSNLDPSIYGPQDSALKEEQIICHLNGMSVQQLAGEEPEWTTEVCRHSSC >KJB34859 pep chromosome:Graimondii2_0_v6:6:32143193:32152180:1 gene:B456_006G087200 transcript:KJB34859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLPTKSSIYNHFNRHLHQHNRLPRSNYLPYDSGKRLLVQPRKVVVVAATPIKAAAETAVQCQVKAVVTVKCGSMEYVKDMVFRWLDSDAHRAQTGVVLQLVSTQVDPNVNVESPVSKYMPKYVPRDEAFGDLKAKAITEGKWKAMLRSLVPTLKQKAAINGEAIKSFSDITELYKESLPPFEEGGDECWEKGGLPKLLNKMIKECGQDVFKFDSPKLISRDIPSCCLRDDELGRLTLAGMNPLSIERLKVFPPVSNLDPSIYGPQDSALKEEQIICHLNGMSVQQAIEENKLFILDYHDVYLPFLDRINAHPTKKAYATRTIFVLTDMGSLKPIAIELSLPEKNRNGPPKCVVTPPVDATTCWLWQLGKAHVCSNDAGAHQLIHHWLRTHACLEPFIIAAHRQLSVMHPIYKLLHCHMRYTMDVNAQGRQLLLNAGGIIESHFFTAACSMEVSASVYQNWWRFDMESLPADLIRRGMAVPDATEPHGLKLLIEDYPYATDGLLIWSAIEQLVQAYVHYYYPEANIIESDSELNAWYHESINIGHADIRHASWWLKLSTPNNLISILTTIIWLASAHHAAVNFGMYPYGGYFPVRPPFMRRLVPNERDPDYTTFLADPEGYFLASLPCLDQMLHYISVLDILSTHSADEEYLGDRKDLSAWAGDPEIVEALYKFSMEMKKIGKEIEKRNGDPNLRNRCGAGISPYELLLPSSGPGVTCRGVPNSVSI >KJB37434 pep chromosome:Graimondii2_0_v6:6:46013688:46014782:1 gene:B456_006G204100 transcript:KJB37434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPTSLCNNQILKNFGTETGIRIRSRGPQQRPSPDNAVNQGTAPRRRRLQTELSTGPMKVSAGSADDDKVRSGSLGEEEVQSALTEVTEAEATGETSSSDESDNENEPFKFDGSGGIAEESSTKPRQRVKQDGEEGSGQMGPSVHHHHRSSTLLFLAVIIMTIVIVLVMGNMEITYILSYSG >KJB36055 pep chromosome:Graimondii2_0_v6:6:39514377:39519873:1 gene:B456_006G138600 transcript:KJB36055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAAVIVPLGLLFFISGLAVNLIQAVCFVLIRPLSKNTYRKINRVLAELLWLQLVWLVDWWAGVKIEVFADHESFNLMGKEHALVICNHRSDIDWLVGWVLAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDENTLKAGIQRLKDFPRPFWLALFVEGTRFTQAKLLAAQEYATSQGLPVPRNVLIPRTKGFVSAVSHMRSFVPAIYDMTVAIPKSSPSPTMLRLFKGQSSVVHVHVKRRLMKELPETDEAVAQWCKDLFVEKDKLLDKHIAEDTFSDQPLQDIGRPIKSLLVVASWACLVAYGAYNFLQWSSLLSSWKGIALSAVGLAIVTILMQIMILFSQSERSTPAKVAPGKPKNNSESSEARRDKQQ >KJB36056 pep chromosome:Graimondii2_0_v6:6:39514464:39519861:1 gene:B456_006G138600 transcript:KJB36056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAAVIVPLGLLFFISGLAVNLIQAVCFVLIRPLSKNTYRKINRVLAELLWLQLVWLVDWWAGVKIEVFADHESFNLMGKEHALVICNHRSDIDWLVGWVLAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDENTLKAGIQRLKDFPRPFWLALFVEGTRFTQAKLLAAQEYATSQGLPVPRNVLIPRTKGFVSAVSHMRSFVPAIYDMTVAIPKSSPSPTMLRLFKGQSSVVHVHVKRRLMKELPETDEAVAQWCKDLFVEKDKLLDKHIAEDTFSDQPLQDIGRPIKSLLVRLLMQN >KJB38760 pep chromosome:Graimondii2_0_v6:6:50796965:50798536:-1 gene:B456_006G270800 transcript:KJB38760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGFLPNEFQKSDKIDEVIGLDLTLSAMGMTFCSHRFMPLIVDNDDNNWSRRFFEEETRDNKRLKRTINGGNSDGGMSRNGSNGSLSSLSSLHFRDHILTYSKRYLAAEAVEEAAAAAAAMAAGYEENGIEEDETAYGMRLVQLLIACAEAVACRDKSHASALLSELRANALVFGSSFQRVASCFVQGLADRLASVQPPGTAVGRVQLPPVMNIMDIMSDSDKKQEAFRLVYEICPHIKFGHFVANLAILEAFEGESLVHVVDLGMTLGLPQGHQWRHLIQSLAAIRGGAGKPHCTRLRITAVGLCVDRFDVIGKDLKTYAKSMGINLEFNIVESNLENLKPENIKVSDDEVLVVNSILQLHCVVKESRGALNSVLQMIHELSPKLLVLVEQDSSHNGPFFLGRFMEALHYYSAIFDSLDAMLPKYDTRRAKMEQFYFAEEIKNIVSCEGADRVERHERVDQWRRRMSRAGFQATPLRLMSQAKQWLGNNKVCEGYTVVEDKGCLVLGWNAKPIVAVSCWKC >KJB37927 pep chromosome:Graimondii2_0_v6:6:47803011:47806225:1 gene:B456_006G226900 transcript:KJB37927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQQVEGFDYMAEDFFDDMDEDSCGSAADGDVDADEYDMLTKVTDTSAAQARKGKDIQGIPWERLNITRESYRLTRLEQYKNYENIPSSGETVDKEYKQIEKGGSYYEFFHNTRSVKPTILHFQLRNLVWTTSKHDVYLMSNYSVMHWSSLSCNLSEVLNFAGHVAPTEKHPGSLLEGFTQTQISTLAVKDNFMVAGGFQGELAFKHLDRKGITFCTRTTYDDNAITNAIEIYDSLSGGINFMASNNDCSMREYDTERFQLLNHFQFPWPVNHTSISPDRRLITVVGDHLDALLVDSQNGKTVATAVGHRDYSFASAWHPDGRVFATGNQDKTCRVWDIRNLAMPVATLKGNLGAVRSIRFSSDGQFMIVAEPADFVHVYNAKSNYTERQEMDFFGEISGVSVSPDDECLYIGIWDRTYASLLQYNKRHSYGYLDSYL >KJB37928 pep chromosome:Graimondii2_0_v6:6:47802948:47806225:1 gene:B456_006G226900 transcript:KJB37928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQQVEGFDYMAEDFFDDMDEDSCGSAADGDVDADEYDMLTKVTDTSAAQARKGKDIQGIPWERLNITRESYRLTRLEQYKNYENIPSSGETVDKEYKQIEKGGSYYEFFHNTRSVKPTILHFQLRNLVWTTSKHDVYLMSNYSVMHWSSLSCNLSEVLNFAGHVAPTEKHPGSLLEGFTQTQISTLAVKDNFMVAGGFQGELAFKHLDRKGITFCTRTTYDDNAITNAIEIYDSLSGGINFMASNNDCSMREYDTERFQLLNHFQFPWPVNHTSISPDRRLITVVGDHLDALLVDSQNGKTVATAVGHRDYSFASAWHPDGRVFATGNQDKTCRVWDIRNLAMPVATLKGNLGAVRSIRFSSDGQFMIVAEPADFVHVYNAKSNYTERQEMDFFGEISGVSVSPDDECLYIGIWDRTYASLLQYNKRHSYGYLDSYL >KJB37523 pep chromosome:Graimondii2_0_v6:6:46421948:46424362:1 gene:B456_006G209100 transcript:KJB37523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLQHELCSSRILSPFRDEIVGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDFDNSSSGSDIASSIEFQRPAKPRVRHLKPWVPSASMKATNRSGYRDVQSIIRAPQLVNLARLDNDSLRRYCRHFKLGNINAYSPRELMLNTVQQHFVSQPPLNDIQVISEFITSAKRLKTDDSQSEQL >KJB37525 pep chromosome:Graimondii2_0_v6:6:46421174:46424387:1 gene:B456_006G209100 transcript:KJB37525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLQHELCSSRILSPFRDEIVGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDFDNSSSGSDIASSIEFQRPAKPRVRHLKPWVPSASMKATNRSGYRDVQSIIRAPQLVNLARLDNDSLRRYCRHFKLGNINAYSPRELMLNTVQQHFVSQPPLNDIQVISEFITSAKRLKTDDSQSEQL >KJB37521 pep chromosome:Graimondii2_0_v6:6:46421317:46424379:1 gene:B456_006G209100 transcript:KJB37521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLQHELCSSRILSPFRDEIVGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDFDNSSSGSDIASSIEFQRPAKPRVRHLKPWVPSASMKATNRSGYRDVQSIIRAPQLVNLARLDNDSLRRYCRHFKLGNINAYSPRELMLNTVQQHFVSQPPLNDIQVISEFITSAKRLKTDDSQSEQL >KJB37522 pep chromosome:Graimondii2_0_v6:6:46421684:46424362:1 gene:B456_006G209100 transcript:KJB37522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLQHELCSSRILSPFRDEIVGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDFDNSSSGSDIASSIEFQRPAKPRVRHLKPWVPSASMKATNRSGYRDVQSIIRAPQLVNLARLDNDSLRRYCRHFKLGNINAYSPRELMLNTVQQHFVSQPPLNDIQVISEFITSAKRLKTDDSQSEQL >KJB37526 pep chromosome:Graimondii2_0_v6:6:46421184:46424387:1 gene:B456_006G209100 transcript:KJB37526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLQHELCSSRILSPFRDEIVGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDFDNSSSGSDIASSIEFQRPAKPRVRHLKPWVPSASMKATNRSGYRDVQSIIRAPQLVNLARLDNDSLRRYCRHFKLGNINAYSPRELMLNTVQQHFVSQPPLNDIQVISEFITSAKRLKTDDSQSEQL >KJB37524 pep chromosome:Graimondii2_0_v6:6:46421865:46424362:1 gene:B456_006G209100 transcript:KJB37524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLQHELCSSRILSPFRDEIVGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDFDNSSSGSDIASSIEFQRPAKPRVRHLKPWVPSASMKATNRSGYRDVQSIIRAPQLVNLARLDNDSLRRYCRHFKLGNINAYSPRELMLNTVQQHFVSQPPLNDIQVISEFITSAKRLKTDDSQSEQL >KJB37589 pep chromosome:Graimondii2_0_v6:6:46650228:46650437:-1 gene:B456_006G212400 transcript:KJB37589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVGGVPKGHVIYFNHPLFEELLKESEQIYGFNRSGGITLACRISEFEKVKMRIADWDHCRRRKHRGC >KJB36429 pep chromosome:Graimondii2_0_v6:6:41746368:41753632:-1 gene:B456_006G158200 transcript:KJB36429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPGRRSYYSPLTQYPDDQYSVSISGAPPPYYDSLSSEVSSNKNSKVKPGIIDWDQNQSQNQQQTSRIGGGGGGGVGNTYASSIVTQRQSSGSSFGESSLSGDYYAPTISTTAVNEISAFMYGYDECFSHVDMRAKVGGSSSAKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPVPDDSAIRAGSSSSAETISHRFWVNGCLSYFDKVPDGFYLIHGVHPYVWKVCTDLHEHGRIPLIESLRSIDPTVDLPLEVISVDRRSDPGLKDLQNRVHNISCICITTKEVVDQLAMLVCSRMGGSSTTGEDDFFFYWRKCSDNLKDCLGSVVVPIGSLSLGLCRHRALLFKVLADMIDLPCRIAKGCKHCKRDDASSCLVRFGLDREYFVDLIENPGYLCEPGSLLNGPSSISIFSPLRFPHPKPAAPAIDFRSLAKQYFLDCESLNLVFDDAPAGTTRDDENPGFSLNPKKLGKIGTERNNLVQISSNMDDISQLPLPPNIAQPTAYNRGSQYSPSIIHSMNINNDPSKHISPIRPRDDPVLVFSDVLRDAAKDSRFAKGSQLVPHKPRKKVALEVGDLDIPWSDLILKERIGAGSFGTVHHAEWNGSDVAVKILMEQDLNVERFKEFLREVAIMKCLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKPGVREMLDERRRLSMAYDVANGMNYLHRRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSAKSAAGTPEWMAPEVLRDEPSNEKSDIYSFGVILWELATLQQPWCNLNPPQVVAAVGFKGQRLEIPHDLNPQVAAIIEDCWANEPWKRPSFSNIMDRLKSLIKPSTP >KJB36426 pep chromosome:Graimondii2_0_v6:6:41746018:41753294:-1 gene:B456_006G158200 transcript:KJB36426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPGRRSYYSPLTQYPDDQYSVSISGAPPPYYDSLSSEVSSNKNSKVKPGIIDWDQNQSQNQQQTSRIGGGGGGGVGNTYASSIVTQRQSSGSSFGESSLSGDYYAPTISTTAVNEISAFMYGYDECFSHVDMRAKVGGSSSAKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPVPDDSAIRAGSSSSAETISHRFWVNGCLSYFDKVPDGFYLIHGVHPYVWKVCTDLHEHGRIPLIESLRSIDPTVDLPLEVISVDRRSDPGLKDLQNRVHNISCICITTKEVVDQLAMLVCSRMGGSSTTGEDDFFFYWRKCSDNLKDCLGSVVVPIGSLSLGLCRHRALLFKVLADMIDLPCRIAKGCKHCKRDDASSCLVRFGLDREYFVDLIENPGYLCEPGSLLNGPSSISIFSPLRFPHPKPAAPAIDFRSLAKQYFLDCESLNLVFDDAPAGTTRDDENPGFSLNPKKLGKIGTERNNLVQISSNMDDISQLPLPPNIAQPTAYNRGSQYSPSIIHSMNINNDPSKHISPIRPRDDPVLVFSDVLRDAAKDSRFAKGSQLVPHKPRKKVALEVGDLDIPWSDLILKERIGAGSFGTVHHAEWNGSDVAVKILMEQDLNVERFKEFLREVAIMKCLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKPGVREMLDERRRLSMAYDVANGMNYLHRRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSAKSAAGTPEWMAPEVLRDEPSNEKSDIYSFGVILWELATLQQPWCNLNPPQVVAAVGFKGQRLEIPHDLNPQVAAIIEDCWANEPWKRPSFSNIMDRLKSLIKPSTP >KJB36430 pep chromosome:Graimondii2_0_v6:6:41749761:41753632:-1 gene:B456_006G158200 transcript:KJB36430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPGRRSYYSPLTQYPDDQYSVSISGAPPPYYDSLSSEVSSNKNSKVKPGIIDWDQNQSQNQQQTSRIGGGGGGGVGNTYASSIVTQRQSSGSSFGESSLSGDYYAPTISTTAVNEISAFMYGYDECFSHVDMRAKVGGSSSAKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPVPDDSAIRAGSSSSAETISHRFWVNGCLSYFDKVPDGFYLIHGVHPYVWKVCTDLHEHGRIPLIESLRSIDPTVDLPLEVISVDRRSDPGLKDLQNRVHNISCICITTKEVVDQLAMLVCSRMGGSSTTGEDDFFFYWRKCSDNLKDCLGSVVVPIGSLSLGLCRHRALLFKVLADMIDLPCRIAKGCKHCKRDDASSCLVRFGLDREYFVDLIENPGYLCEPGSLLNGPSSISIFSPLRFPHPKPAAPAIDFRSLAKQYFLDCESLNLVFDDAPAGTTRDDENPGFSLNPKKLGKIGTERNNLVQISSNMDDISQLPLPPNIAQPTAYNRGSQYSPSIIHSMNINNDPSKHISPIRPRDDPVLVFSDVLRDAAKDSRFAKGSQLVPHKPRKKVALEVGDLDIPWSDLILKERIGAGSFGTVHHAEWNGSG >KJB36428 pep chromosome:Graimondii2_0_v6:6:41745813:41753632:-1 gene:B456_006G158200 transcript:KJB36428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPGRRSYYSPLTQYPDDQYSVSISGAPPPYYDSLSSEVSSNKNSKVKPGIIDWDQNQSQNQQQTSRIGGGGGGGVGNTYASSIVTQRQSSGSSFGESSLSGDYYAPTISTTAVNEISAFMYGYDECFSHVDMRAKVGGSSSAKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPVPDDSAIRAGSSSSAETISHRFWVNGCLSYFDKVPDGFYLIHGVHPYVWKVCTDLHEHGRIPLIESLRSIDPTVDLPLEVISVDRRSDPGLKDLQNRVHNISCICITTKEVVDQLAMLVCSRMGGSSTTGEDDFFFYWRKCSDNLKDCLGSVVVPIGSLSLGLCRHRALLFKVLADMIDLPCRIAKGCKHCKRDDASSCLVRFGLDREYFVDLIENPGYLCEPGSLLNGPSSISIFSPLRFPHPKPAAPAIDFRSLAKQYFLDCESLNLVFDDAPAGTTRDDENPGFSLNPKKLGKIGTERNNLVQISSNMDDISQLPLPPNIAQPTAYNRGSQYSPSIIHSMNINNDPSKHISPIRPRDDPVLVFSDVLRDAAKDSRFAKGSQLVPHKPRKKVALEVGDLDIPWSDLILKERIGAGSFGTVHHAEWNGSDVAVKILMEQDLNVERFKEFLREVAIMKCLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKPGVREMLDERRRLSMAYDVANGMNYLHRRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSAKSAAGTPEWMAPEVLRDEPSNEKSDIYSFGVILWELATLQQPWCNLNPPQVVAAVGFKGQRLEIPHDLNPQVAAIIEDCWANEPWKRPSFSNIMDRLKSLIKPSTP >KJB36427 pep chromosome:Graimondii2_0_v6:6:41746945:41753294:-1 gene:B456_006G158200 transcript:KJB36427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPGRRSYYSPLTQYPDDQYSVSISGAPPPYYDSLSSEVSSNKNSKVKPGIIDWDQNQSQNQQQTSRIGGGGGGGVGNTYASSIVTQRQSSGSSFGESSLSGDYYAPTISTTAVNEISAFMYGYDECFSHVDMRAKVGGSSSAKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPVPDDSAIRAGSSSSAETISHRFWVNGCLSYFDKVPDGFYLIHGVHPYVWKVCTDLHEHGRIPLIESLRSIDPTVDLPLEVISVDRRSDPGLKDLQNRVHNISCICITTKEVVDQLAMLVCSRMGGSSTTGEDDFFFYWRKCSDNLKDCLGSVVVPIGSLSLGLCRHRALLFKVLADMIDLPCRIAKGCKHCKRDDASSCLVRFGLDREYFVDLIENPGYLCEPGSLLNGPSSISIFSPLRFPHPKPAAPAIDFRSLAKQYFLDCESLNLVFDDAPAGTTRDDENPGFSLNPKKLGKIGTERNNLVQISSNMDDISQLPLPPNIAQPTAYNRGSQYSPSIIHSMNINNDPSKHISPIRPRDDPVLVFSDVLRDAAKDSRFAKGSQLVPHKPRKKVALEVGDLDIPWSDLILKERIGAGSFGTVHHAEWNGSDVAVKILMEQDLNVERFKEFLREVAIMKCLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKPGVREMLDERRRLSMAYDVANGMNYLHRRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSAKSAAGTPEWMAPEVLRDEPSNEKSDIYSFGVILWELATLQQPWCNLNPPQVVAAVGFKGQRLEIPHDLNPQVAAIIEDCWAK >KJB35356 pep chromosome:Graimondii2_0_v6:6:35725096:35730478:1 gene:B456_006G110900 transcript:KJB35356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPVVLPVLLISIGYVDPGKWVATVEGGARFGFDLVVPMLLFNCAAILCQYLSARIGVVTGRGLAQICSNEYDKSTRLFLGVQAELSVVALDLTMVLGVAHGINLLFGMDLSTGVFLAALDAVLFPVFAFTLDHCRASFLCIYAAGFILLSYVFGVLLSQPEISISMLGMPTKLNGESAFALTSLLGASIMPYNFYLHSSIVQVSAIGLVYACMYMSLVYGPLYFSFNGLETPVLSVNLFCTLYNCFLICCLA >KJB35358 pep chromosome:Graimondii2_0_v6:6:35725979:35730478:1 gene:B456_006G110900 transcript:KJB35358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPVVLPVLLISIGYVDPGKWVATVEGGARFGFDLVVPMLLFNCAAILCQYLSARIGVVTGRGLAQICSNEYDKSTRLFLGVQAELSVVALDLTMVLGVAHGINLLFGMDLSTGVFLAALDAVLFPVFAFTLDHCRASFLCIYAAGFILLSYVFGVLLSQPEISISMLGMPTKLNGESAFALTSLLGASIMPYNFYLHSSIVQVSAIGLVYACMYMSLVYGPLYFSFNGLETPVLSVNLFCTLYNCFLICCLA >KJB35357 pep chromosome:Graimondii2_0_v6:6:35725319:35730478:1 gene:B456_006G110900 transcript:KJB35357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPVVLPVLLISIGYVDPGKWVATVEGGARFGFDLVVPMLLFNCAAILCQYLSARIGVVTGRGLAQICSNEYDKSTRLFLGVQAELSVVALDLTMVLGVAHGINLLFGMDLSTGVFLAALDAVLFPVFAFTLDHCRASFLCIYAAGFILLSYVFGVLLSQPEISISMLGMPTKLNGESAFALTSLLGASIMPYNFYLHSSIVQVSAIGLVYACMYMSLVYGPLYFSFNGLETPVLSVNLFCTLYNCFLICCLA >KJB33000 pep chromosome:Graimondii2_0_v6:6:39780936:39782126:-1 gene:B456_006G1408001 transcript:KJB33000 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGIP2 [Source:Projected from Arabidopsis thaliana (AT5G06870) UniProtKB/TrEMBL;Acc:A0A178UDM1] MKIYPAFLSFLFITIFISPSVSDHCNAQDKKVLLKIKKALGNPYLLASWDPKTDCCDWYCLECHPNTHRVVSLTLFSDDRLTGQIPPEVGDLPYLETLLFRHLPNLNGTIQPAIAKLKNLKMLRLSWTNLSGPVPNFLSQLKNLTYLDLSFNNLSGSIPSSLSTLPNLEDLHLDRNKLTGTIPESFGMFPSKKLYLFILSHNKLSGTIPASLANMDFNTIDLSRNLLEGDPSVLFGPNKTTFEIDLSRNVFQFDLSKVQFPKSLARLDLNHNKITGSIPAGLTDLELQFMNVSYNRLCGQIPVGGRLQSFDYSTYFHNRCLCGAPLDTCK >KJB32999 pep chromosome:Graimondii2_0_v6:6:39780936:39782072:-1 gene:B456_006G1408001 transcript:KJB32999 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGIP2 [Source:Projected from Arabidopsis thaliana (AT5G06870) UniProtKB/TrEMBL;Acc:A0A178UDM1] MKIYPAFLSFLFITIFISPSVSDHCNAQDKKVLLKIKKALGNPYLLASWDPKTDCCDWYCLECHPNTHRVVSLTLFSDDRLTGQIPPEVGDLPYLETLLFRHLPNLNGTIQPAIAKLKNLKMLRLSWTNLSGPVPNFLSQLKNLTYLDLSFNNLSGSIPSSLSTLPNLEDLHLDRNKLTGTIPESFGDPSVLFGPNKTTFEIDLSRNVFQFDLSKVQFPKSLARLDLNHNKITGSIPAGLTDLELQFMNVSYNRLCGQIPVGGRLQSFDYSTYFHNRCLCGAPLDTCK >KJB33002 pep chromosome:Graimondii2_0_v6:6:39781010:39782002:-1 gene:B456_006G1408001 transcript:KJB33002 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGIP2 [Source:Projected from Arabidopsis thaliana (AT5G06870) UniProtKB/TrEMBL;Acc:A0A178UDM1] MKIYPAFLSFLFITIFISPSVSDHCNAQDKKVLLKIKKALGNPYLLASWDPKTDCCDWYCLECHPNTHRVVSLTLFSDDRLTGQIPPEVGDLPYLETLLFRHLPNLNGTIQPAIAKLKNLKMLRLSWTNLSGPVPNFLSQLKNLTYLDLSFNNLSGSIPSSLSTLPNLEDLHLDRNKLTGTIPESFGMFPSKKLYLFILSHNKLSGTIPASLANMDFNTIDLSRNLLEGDPSVLFGPNKTTFEIDLSRNVFQFDLSKVQFPKSLARLDLNHNKITGSIPAGLTDLELQFMNVSYNRLCGQIPVGGRLQSFDYSTYFHNRCLCGAPLDTCK >KJB33001 pep chromosome:Graimondii2_0_v6:6:39780938:39782072:-1 gene:B456_006G1408001 transcript:KJB33001 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGIP2 [Source:Projected from Arabidopsis thaliana (AT5G06870) UniProtKB/TrEMBL;Acc:A0A178UDM1] MKIYPAFLSFLFITIFISPSVSDHCNAQDKKVLLKIKKALGNPYLLASWDPKTDCCDWYCLECHPNTHRVVSLTLFSDDRLTGQIPPEVGDLPYLETLLFRHLPNLNGTIQPAIAKLKNLKMLRLSWTNLSGPVPNFLSQLKNLTYLDLSFNNLSGSIPSSLSTLPNLEDLHLDRNKLTANMDFNTIDLSRNLLEGDPSVLFGPNKTTFEIDLSRNVFQFDLSKVQFPKSLARLDLNHNKITGSIPAGLTDLELQFMNVSYNRLCGQIPVGGRLQSFDYSTYFHNRCLCGAPLDTCK >KJB35538 pep chromosome:Graimondii2_0_v6:6:36854165:36857520:-1 gene:B456_006G118800 transcript:KJB35538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVVKSALQAIRERGLRSFLRELKDDGFTKCFFDGNLLQTKIHNIGATVVGVDKFGNKYYEKLGDTQAGRHRWVEYAKKDRYDASQVPPEWHGWLHFITDHTGDELLMLKPKRYGVEHKENLSGKGNEFIYHSKGHALNPGQRDWTRYQSWQPTKAE >KJB35539 pep chromosome:Graimondii2_0_v6:6:36854202:36857466:-1 gene:B456_006G118800 transcript:KJB35539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVVKSALQAIRERGLRSFLRELKDDGFTKCFFDGNLLQTKIHNIGATVVGVDKFGNKYYEKLGDTQAGRHRWVEYAKKDRYDASQVPPEWHGWLHFITDHTGDEATFDAKTQEVRGGAQGELIW >KJB36570 pep chromosome:Graimondii2_0_v6:6:42539210:42539581:1 gene:B456_006G165600 transcript:KJB36570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKFLKACFTKWRKMGSRVIPCSSGECCYQWAKWTNSMHEGSSIPRDVPKGHLVVYVGESYRRFVIKITLLKHPLFKALLDQAQDEYDFNTDSKLCIPCDESLFLNVVRCASSRPDRNPLCL >KJB38143 pep chromosome:Graimondii2_0_v6:6:48622076:48624587:1 gene:B456_006G239100 transcript:KJB38143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSISSRLLNTSSAAAATALLKSKPRVHNRHTSIPLLPLKHPFSPLTLKQMSNNSQSTLSCSSLPQNNTPPAPSSPSTASGRIGEVKRVTKETNVWVKINLDGTGVANSSTSIPFLDHMLDQLASHGLFDVHVKATGDIHIDDHHTNEDVALALGTALLQSLGDRKGINRFGDFSAPLDEALIHVSLDLSGRPHLSYDLNIPTARVGTYDTQLVEHFFQSLVNTSGMTLHISLLEKIRII >KJB38146 pep chromosome:Graimondii2_0_v6:6:48622083:48624054:1 gene:B456_006G239100 transcript:KJB38146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSISSRLLNTSSAAAATALLKSKPRVHNRHTSIPLLPLKHPFSPLTLKQMSNNSQSTLSCSSLPQNNTPPAPSSPSTASGRIGEVKRVTKETNVWVKINLDGTGVANSSTSIPFLDHMLDQLASHGLFDVHVKATGDIHIDDHHTNEDVALALGTALLQSLGDRKGINRFGDFSAPLDEALIHVSLDLSGRPHLSYDLNIPTARVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDPRRLGTIPRFGSLLF >KJB38145 pep chromosome:Graimondii2_0_v6:6:48622083:48623837:1 gene:B456_006G239100 transcript:KJB38145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSISSRLLNTSSAAAATALLKSKPRVHNRHTSIPLLPLKHPFSPLTLKQMSNNSQSTLSCSSLPQNNTPPAPSSPSTASGRIGEVKRVTKETNVWVKINLDGTGVANSSTSIPFLDHMLDQLASHGLFDVHVKATGDIHIDDHHTNEDVALALGTALLQSLGDRKGINRFGDFSAPLDEALIHVSLDLSGRPHLSYDLNIPTARVGTYDTQLVEHFFQSLVNTSGMTLHIRQVVIRSSLKELLFLLNSCLYKFFFRALIALL >KJB38147 pep chromosome:Graimondii2_0_v6:6:48622083:48624528:1 gene:B456_006G239100 transcript:KJB38147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSISSRLLNTSSAAAATALLKSKPRVHNRHTSIPLLPLKHPFSPLTLKQMSNNSQSTLSCSSLPQNNTPPAPSSPSTASGRIGEVKRVTKETNVWVKINLDGTGVANSSTSIPFLDHMLDQLASHGLFDVHVKATGDIHIDDHHTNEDVALALGTALLQSLGDRKGINRFGDFSAPLDEALIHVSLDLSGRPHLSYDLNIPTARVGTYDTQLVEHFFQSLVNTSGMTLHIRQPYRSFHIYSLLEKIRII >KJB38148 pep chromosome:Graimondii2_0_v6:6:48622450:48624598:1 gene:B456_006G239100 transcript:KJB38148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDQLASHGLFDVHVKATGDIHIDDHHTNEDVALALGTALLQSLGDRKGINRFGDFSAPLDEALIHVSLDLSGRPHLSYDLNIPTARVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDPRRLGTIPSSKGVLSRS >KJB38144 pep chromosome:Graimondii2_0_v6:6:48622081:48624598:1 gene:B456_006G239100 transcript:KJB38144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSISSRLLNTSSAAAATALLKSKPRVHNRHTSIPLLPLKHPFSPLTLKQMSNNSQSTLSCSSLPQNNTPPAPSSPSTASGRIGEVKRVTKETNVWVKINLDGTGVANSSTSIPFLDHMLDQLASHGLFDVHVKATGDIHIDDHHTNEDVALALGTALLQSLGDRKGINRFGDFSAPLDEALIHVSLDLSGRPHLSYDLNIPTARVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDPRRLGTIPSSKGVLSRS >KJB38394 pep chromosome:Graimondii2_0_v6:6:51063386:51064195:-1 gene:B456_006G275200 transcript:KJB38394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIILSLTKIRVLSPHGYINNHTKLPIQLIYRDTMGLPKTSNQNPFANHTQHNTNNPKYFKENIPNTY >KJB37655 pep chromosome:Graimondii2_0_v6:6:46819670:46822993:-1 gene:B456_006G214500 transcript:KJB37655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAIPGSANLTASKPSLGLGGTTTRLSLSRPIPNLHVTKKPNSFIVASVSASSSPSTGQTNWSLDSWKSKPAQQLPEYPDADELDSVLKTIGSFPPIVFAGEARRLEDKLASAAVGKAFLLQGGDCAESFKEFNGTNIRDTFRVLLQMGIVLTFGAQMPVITVGRMAGQFAKPRSDPFEIKDGVKLPSYRGDNINGDAFDEKSRVPDPQRLIRAYLQSVGTLNLLRAFATGGYAAMQRVSQWNLDFVRNSEQGDRYMELAQRVDEALGFMAAAGITIGDPQMNTVDFWTSHECLHLPYEQALTREDSTTGLYYDCSAHLLWVGERTRQLDGAHVEFLRGISNPLGIKVSDKMDPKDLVKLCEILNPRNKPGRLTIITRMGADNMRIKLPHLIRAVRQAGLIVTWVSDPMHGNTIKAPCGLKTRPFDAIRSELRAFFDVHEQEGSYPGGVHLEMTGQNVTECIGGSNTVTFDDLNSRYHTHCDPRLNASQSLELAFAISERLRKRRLKSAKELCNDN >KJB37645 pep chromosome:Graimondii2_0_v6:6:46792649:46794191:1 gene:B456_006G214100 transcript:KJB37645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMYSSANDSDLAMLDSIRQYLLSDSSDLRLTASTDFTSAPPMFCRSSSFSRLNPCFTETWGDLPLKEDDSEDMLVYGLLRDALTVGWAPSDNSTTNLTPIKPEPQEFLPQTSLEKVDMVAERTVPAVVPAKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDRAAYRMRGSRALLNFPLRVNSGEPDPVRVTSKRSSPEPSSSSSSGSENASPKRRRKVAGSAPAVVHAGLDMGAGGEVNYKVSTCTHGEQVLVS >KJB34506 pep chromosome:Graimondii2_0_v6:6:28104135:28105057:-1 gene:B456_006G069500 transcript:KJB34506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMFKPSPSFNFLLALLIFTSVKNMVSKVEGGCELAVKGGGCPEVKQCVETCRPCYRGIGQITAFCRSAGGGIPFDECMCYFSHGAPCKLPAPPQCPGHPVVNNTTLD >KJB35382 pep chromosome:Graimondii2_0_v6:6:36026054:36031647:-1 gene:B456_006G112100 transcript:KJB35382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNLYDEFGNYIGPEIESDQESEGEEEEDEDLLDRHAQEEREESDGEAPPGASIWITAANDVDMDNQIVLAEDKKYYPTAEEVYGEDVETLVMDEDEQPLEQPIIKPVKNIKFEVGVKDSSTYVSTQFLIGLMSNPGLVRNVALVGHLQHGKTVFMDMLVEQTHHMKTFDENSEKHMRYTDTRIDEQERRISIKAIPMSLVLEDSNAKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAAEGVMVNTERAIRHAIQESLPIVVVINKVDRLITELKLPPKDAYHKLRHTLEVINNHISAASTTAGNVPVIDPAAGNVCFADASAGWSFTLQSFAKLYVKLHGIPFDAEKFASRLWGDIYYHPDTRAFKRKPPAGGGERSFVEFVLEPLYKIYSQVIGEHRKSVESTLAELGVTLSNAAYKLNVRPLLRLACSTVFGSASGFTDMLVQHIPSPKDVAAKKVDHVYTGPKHSIIYKAMVDCDPSGPLMVNVTKLYPKSDCSVFDAFGRVYSGRIQTGQTLRVLGEGYSPDDEEDMTVKEVTKLWIYQARYRIPISSAPPGSWVLIEGVDASIMKTATLCNVDLDEDVYILRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENSAVSIDWSRKQLGDFFKTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKSLLGSVRDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIASESLHRGSGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKTIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMVVELAQQAADLHQQMI >KJB35381 pep chromosome:Graimondii2_0_v6:6:36026044:36031005:-1 gene:B456_006G112100 transcript:KJB35381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNLYDEFGNYIGPEIESDQESEGEEEEDEDLLDRHAQEEREESDGEAPPGASIWITAANDVDMDNQIVLAEDKKYYPTAEEVYGEDVETLVMDEDEQPLEQPIIKPVKNIKFEVGVKDSSTYVSTQFLIGLMSNPGLVRNVALVGHLQHGKTVFMDMLVEQTHHMKTFDENSEKHMRYTDTRIDEQERRISIKAIPMSLVLEDSNAKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAAEGVMVNTERAIRHAIQESLPIVVVINKVDRLITELKLPPKDAYHKLRHTLEVINNHISAASTTAGNVPVIDPAAGNVCFADASAGWSFTLQSFAKLYVKLHGIPFDAEKFASRLWGDIYYHPDTRAFKRKPPAGGGERSFVEFVLEPLYKIYSQVIGEHRKSVESTLAELGVTLSNAAYKLNVRPLLRLACSTVFGSASGFTDMLVQHIPSPKDVAAKKVDHVYTGPKHSIIYKAMVDCDPSGPLMVNVTKLYPKSDCSVFDAFGRVYSGRIQTGQTLRVLGEGYSPDDEEDMTVKEVTKLWIYQARYRIPISSAPPGSWVLIEGVDASIMKTATLCNVDLDEDVYILRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENSAVSIDWSRKQLGDFFKTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKSLLGSVRDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIASESLHRGSGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKTIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMVVELAQQAADLHQQMI >KJB38804 pep chromosome:Graimondii2_0_v6:6:50916674:50917209:-1 gene:B456_006G273000 transcript:KJB38804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDALDEEDSLEEGGQATEKKRRLSMHQVKALEKNFDVGNKLEPERKVKLAEELGLQPRQVAIWFQNRRARWKTKVLEKDYAMLKANREKEPPRNCSDCLHSPSDNRQLSGDDCPLVPPKSSPEMTVNGS >KJB34539 pep chromosome:Graimondii2_0_v6:6:28784679:28787445:1 gene:B456_006G071400 transcript:KJB34539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRYPMSSNNSLAIVVYTGPLKVTPPTQKVADDAGVALKIEQSKDRVLGLGLYGSNEPTLNFETLANQSYPVALEIIFYIGFLNSFAVKSPIIALHTWLPDTHGEAHYSTCMLLAIILLKMGAYGLVRINMELLPHEHSIFSPWLIIVGTMQIIYAASTSLAGTSYDRMRLVYLDEMGGMAVSIPKIFTMFSILSMASLALSGMSGFVVELIVLFGIITSQKYFLMPKILITFVMAIGMILTPIYSLSMSGQMFYGYKLFNAPSSYFFYSGPRKLFVSISIFLPVIGIGIYPNFILSLSGEKVETILYNYFYR >KJB35914 pep chromosome:Graimondii2_0_v6:6:38772243:38773075:-1 gene:B456_006G133500 transcript:KJB35914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSDFAQENQPPAGYPTAAPPRPAAQNKCFPRSKKKGDRGFIEGCLFALCCCWLCETCF >KJB38505 pep chromosome:Graimondii2_0_v6:6:50180192:50181632:-1 gene:B456_006G261100 transcript:KJB38505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNNDGLTHINIPPGDGSSYALSGKIMLSAIVVLFFVVVLMFGLHLYARWYLLRARRRHSRNRRRHRRRSQLIFYVDPDVNGPPAVASRGLDAQVLKSLPVFTFSSKTHPESALECAVCLSEFEENESGRVLPKCKHSFHSECIDMWFHSHSTCPLCRTSVEGSVPVSDNAGDLVITINEPSGGESGSNQEPDSCQHGDGRAGLSVGRKLSIEVPIRNIEGFVGESSECESGPSQSYKSPMSRMLSFKRMLSRDWRGSGTSSCPSPINAAVSESDLERGVDDSRQTQS >KJB37130 pep chromosome:Graimondii2_0_v6:6:44764949:44767802:1 gene:B456_006G190500 transcript:KJB37130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDNSCSSLPSLEPFTCIDNGNNNNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCQKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACHMSRSRPQSQAMQPVCLSQTASSSNPSNDTNFSTVPWPSLELANTTTDTMLLNPTKDNSPKNAHYHDLELQLLTTSNPTEVSVPPKKHDHHSIQLQLSIGSFQSTCTEKEATKKSTEIHEKPVFVASRLKEEAVAQLRLAMAEKAYAEEARKQARRQVELAEHEFAKAKRIRQQAQAESAKAQALKNHAVKQINSTILQVTCHACKHRFQATTPPEENSLVVSYISSAITEGEVENDSLTNLTKPTNI >KJB38297 pep chromosome:Graimondii2_0_v6:6:49419560:49419958:1 gene:B456_006G250100 transcript:KJB38297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNYNSKKGSGVEMTRYRGVRSRPWGTFGAEIRDPNQQGARVWLGTFNTAEEAARAYDRAAYAMRGQFAILNFPHEYPMGANAYHRSTSTGSSSSSSSSTMERGKQVFEIEYLDDNLLEELLEIEERSKKM >KJB33867 pep chromosome:Graimondii2_0_v6:6:9314639:9317863:-1 gene:B456_006G035100 transcript:KJB33867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVTIDGRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMTIMLIGNKCDLSHRRAVSKEEGEQFAKENGLLFLEASARTAQNVEEAFVKTAAKILQNIQEGVFDASNESSGIKIGYGRAQGPSGARDGAVAQSGGCCG >KJB33868 pep chromosome:Graimondii2_0_v6:6:9314588:9317863:-1 gene:B456_006G035100 transcript:KJB33868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVTIDGRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMTIMLIGNKCDLSHRRAVSKEEGEQFAKENGLLFLEASARTAQNVEEAFVKTAAKILQNIQEGVFDASNESSGIKIGYGRAQGPSGARDGAVAQSGGCCG >KJB36375 pep chromosome:Graimondii2_0_v6:6:41419906:41421430:1 gene:B456_006G155700 transcript:KJB36375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKSWVLIVLVVFIMHGDESLASDPDPIQDFCIPNPKFGAIKTAHLSILPCKNSSEATTDDFVFSGLKSSGNFTDTGLATFPVNPTIFPGINTLGISFVRADLKVGGINPPHFHPRATEIAYVVQGSVYSGFVDSNNRVFSRVIEQGEVMVFPKGLLHFQMNVGEKPATIFACLNSQNPGLQKIPSAIFGSGINEELLEKAFGLNHKQIGTMRRRFDPKTVN >KJB34146 pep chromosome:Graimondii2_0_v6:6:17008824:17010690:-1 gene:B456_006G049700 transcript:KJB34146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDAGRKEEEISKVKIRSKTHVKPGKIIGRKECQLVTFDLPYLAFYYNQKLLFYKGGEFEEQVEKLKDGLRVILEEFYQLGGKLGKDEEGVFRVEYDDDMEGVEVLEAIADGLAVDQLAADESTSSFKDLIPYNGVLNLTGLHRPLLSVQLTKLKDGLAMGCAFNHAILDGTSTWHFMSSWAQICRGSNSIAAPPFLERTKARTTRVKLELSFPPNPVASSNGHTDQAPQLREKFFRFSEAAIDKIKSKVNSNQPSAASKPFSTFQSLAVHIWQHVTQARCLKPEDYTVFTVFADCRKRVDPPMPDSYFGNLIQAIFTVTAAGLLLANPSHFGASVIQKAIEAHNAKAIEERNKEWEAAPKIFEFKDAGVNCVAVGSSPRFKVYDVDFGWGKPEGVRSGSNNRFDGMVYLYQGKSGGRSIDVEITLEAGTMKLLEKDKEFLMQV >KJB36158 pep chromosome:Graimondii2_0_v6:6:40285697:40290171:-1 gene:B456_006G144600 transcript:KJB36158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVVWQGLALSGIFSWIVITCYFDVTKKIRSLLQPWVSHHVVSGTSIILKIQKHQHKFLDALFSGLSCIVSVPFYTAFLPLLFWSGHGKLARQMTLLMALCDYLGNCIKDVVSAPRPNCPPVRRITATKDEEENALEYGLPSSHTLNTVCLSGFLVCYVLSYTQSEDAFVKLGAVTLACLLVGFIGFGRIYLGMHSLIDIIGGLVFGFMILAIWLSVHEYVDAFIVSGQNVTSFWAALSFLLLFAYPTPEFPTPSFEYHTAFDGVVFGIVTGIQQTYHQFHHEAVPRIFTSQLTIPTFLGRMLVGIPTILIVKFCSKALAKWILPVVSNTLGIPIKSTSYVPMLNGSATDKKSSEIKQSSYIHKMLFFSQQDLFDVDTGIRFLQYAGLAWSVVDLVPSLFSHLSL >KJB36159 pep chromosome:Graimondii2_0_v6:6:40287662:40290094:-1 gene:B456_006G144600 transcript:KJB36159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVVWQGLALSGIFSWIVITCYFDVTKKIRSLLQPWVSHHVVSGTSIILKIQKHQHKFLDALFSGLSCIVSVPFYTAFLPLLFWSGHGKLARQMTLLMALCDYLGNCIKDVVSAPRPNCPPVRRITATKDEEENALEYGLPSSHTLNTVCLSGFLVCYVLSYTQSEDAFVKLGAVTLACLLVGFIGFGRIYLGMHSLIDIIGGLVFGFMILAIWLSVHEYVDAFIVSGQNVTSFWAALSFLLLFAYPTPEFPTPSFEYHTAFDGVVFGIVSN >KJB36157 pep chromosome:Graimondii2_0_v6:6:40285697:40290094:-1 gene:B456_006G144600 transcript:KJB36157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVVWQGLALSGIFSWIVITCYFDVTKKIRSLLQPWVSHHVVSGTSIILKIQKHQHKFLDALFSGLSCIVSVPFYTAFLPLLFWSGHGKLARQMTLLMALCDYLGNCIKDVVSAPRPNCPPVRRITATKDEEENALEYGLPSSHTLNTVCLSGIYLGMHSLIDIIGGLVFGFMILAIWLSVHEYVDAFIVSGQNVTSFWAALSFLLLFAYPTPEFPTPSFEYHTAFDGVVFGIVTGIQQTYHQFHHEAVPRIFTSQLTIPTFLGRMLVGIPTILIVKFCSKALAKWILPVVSNTLGIPIKSTSYVPMLNGSATDKKSSEIKQSSYIHKMLFFSQQDLFDVDTGIRFLQYAGLAWSVVDLVPSLFSHLSL >KJB36156 pep chromosome:Graimondii2_0_v6:6:40286169:40289847:-1 gene:B456_006G144600 transcript:KJB36156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVVWQGLALSGIFSWIVITCYFDVTKKIRSLLQPWVSHHVVSGTSIILKIQKHQHKFLDALFSGLSCIVSVPFYTAFLPLLFWSGHGKLARQMTLLMALCDYLGNCIKDVVSAPRPNCPPVRRITATKDEEENALEYGLPSSHTLNTVCLSGFLVCYVLSYTQSEDAFVKLGAVTLACLLVGFIGFGRIYLGMHSLIDIIGGLVFGFMILAIWLSVHEYVDAFIVSGQNVTSFWAALSFLLLFAYPTPEFPTPSFEYHTAFDGVVFGIVTGIQQTYHQFHHEAVPRIFTSQLTIPTFLGRMLVGIPTILIVKFCSKALAKWILPVVSNTLGIPIKSTSYVPMLNGSATDKKSSEIKQSSYIHKMLFFSQQDLFDVDTGIRFLQYAGLAWSVVDLVPSLFSHLSL >KJB38133 pep chromosome:Graimondii2_0_v6:6:48552504:48555524:1 gene:B456_006G238100 transcript:KJB38133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEEENAAELKIGEEFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDDAIERMLNDLSLIKKFE >KJB38134 pep chromosome:Graimondii2_0_v6:6:48552579:48555470:1 gene:B456_006G238100 transcript:KJB38134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEEENAAELKIGEEFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQLCVLGNLCPETVEEAIAMVPSIKTKGRAHDDDAIERMLNDLSLIKKFE >KJB33416 pep chromosome:Graimondii2_0_v6:6:2277585:2280188:1 gene:B456_006G010000 transcript:KJB33416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ninja-family protein AFP3 [Source:Projected from Arabidopsis thaliana (AT3G29575) UniProtKB/Swiss-Prot;Acc:Q94F39] MGKAEEIGRKETQQSISMQVMNLPRDLLQRFMSSSNHFSQNGETEEEEQEEEIELSLGLSLNGRFGVDPKAKKLTRSSSIPDFINNTNESSSSPSSLFPMACGSLVRTCSLPVETEEEWRKRKEIQSLRRLAAKRKRSEKQKNLKALKDKNREGLGEENCEEDKKEEGWVNGGRGPLMAASQGSIGSQGSGSSGISELDSQPPQGTYKCQRSRSPTSVQSTTQTEQKPNIINPGKISTQKSEKLAGITAKNQHNQPGVDEKRLKASVKNIMEDMPCVSTTGDGPNGKRIEGFLYRYRKGEEVRIVCVCHGSFLSPAEFVKHAGGGDVEHPLKHIVVSPSFIF >KJB36268 pep chromosome:Graimondii2_0_v6:6:40833862:40836564:1 gene:B456_006G149500 transcript:KJB36268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLASVLLLSLVTVVFSTTDSGDLDVLMQFRDELDNPELLKWPEKGGDPCGPPSWNHIYCENSRVTQIQAQGMGLKGTLPQNLNKLSMLKNIGLQRNQLSGKLPSFSGLSNLRYAYLDYNNFDSIPSDFLDGLDNLEVLALDANNFNASTGWSFPKALENSAQLTNLSCMNCNLIGPLPDFLGSMPSLTNLMLSGNRLSGEIQGTFNGSALQMLWLNNQLHGGMTGPIDVVATMESLTVLWLHGNHFTGTIPESIGKLTLLKDLNLNSNKLVGLIPISLANMRLQNLDLNNNHLMGPIPMFKASKVTFASNKFCQATQGLLCSPEVMALIEFLGVVNYPSKLVSSWSGNEPCNWLGIRCNSGKVSVINLPHYNLSGCLSPSVAKLDSLSQIRLQSNNLSGPIPNNWTSLKSLETLDLSGNNISGPLPKFSRTVKLVVTGNPLLNGDKTDHTKGANIPPESSDSPPNIPTTSSQDSDPGSPATNSSLKSTKTKGFKRNTFVLIMAPVASFALVAFLVIPLSIYFYKKRKDSNLSSTSQVIPPRDPSDPDNMVKVVVAANNTNGNTSTLTGSGSASRNSSSIGESHVIEAGNLVISVQVLRNVTKNFAGQKELGRGGFGVVYKGELDDGTQIAVKRMEAGVITNKALDEFQAEIAVLSKVRHRHLVSLLGYSIEGNERILVYEYMSQGALSKHLFHWKSLKLEPLSWKRRLNIALDVARGMEYLHTLGHQSFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPNGEKSVVTRLAGTFGYLAPEYAVYFSYRKNHHQSRCF >KJB36266 pep chromosome:Graimondii2_0_v6:6:40833422:40837333:1 gene:B456_006G149500 transcript:KJB36266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLASVLLLSLVTVVFSTTDSGDLDVLMQFRDELDNPELLKWPEKGGDPCGPPSWNHIYCENSRVTQIQAQGMGLKGTLPQNLNKLSMLKNIGLQRNQLSGKLPSFSGLSNLRLSGEIQGTFNGSALQMLWLNNQLHGGMTGPIDVVATMESLTVLWLHGNHFTGTIPESIGKLTLLKDLNLNSNKLVGLIPISLANMRLQNLDLNNNHLMGPIPMFKASKVTFASNKFCQATQGLLCSPEVMALIEFLGVVNYPSKLVSSWSGNEPCNWLGIRCNSGKVSVINLPHYNLSGCLSPSVAKLDSLSQIRLQSNNLSGPIPNNWTSLKSLETLDLSGNNISGPLPKFSRTVKLVVTGNPLLNGDKTDHTKGANIPPESSDSPPNIPTTSSQDSDPGSPATNSSLKSTKTKGFKRNTFVLIMAPVASFALVAFLVIPLSIYFYKKRKDSNLSSTSQVIPPRDPSDPDNMVKVVVAANNTNGNTSTLTGSGSASRNSSSIGESHVIEAGNLVISVQVLRNVTKNFAGQKELGRGGFGVVYKGELDDGTQIAVKRMEAGVITNKALDEFQAEIAVLSKVRHRHLVSLLGYSIEGNERILVYEYMSQGALSKHLFHWKSLKLEPLSWKRRLNIALDVARGMEYLHTLGHQSFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPNGEKSVVTRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELLTGLTALDEDRPEETQYLAAWFWHIKPDKEKLRAAIDPSLDVKDETFESVSIIAELAGHCTAREPSQRPDMGHAVNVLAPLVEKWKPLDDDNDDYCAIDYSLPLNQMVKDWQEAEGKDFSYLDLEDSKGSIPARPTGFAESFNSADGR >KJB36267 pep chromosome:Graimondii2_0_v6:6:40833509:40837232:1 gene:B456_006G149500 transcript:KJB36267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLASVLLLSLVTVVFSTTDSGDLDVLMQFRDELDNPELLKWPEKGGDPCGPPSWNHIYCENSRVTQIQAQDNLEVLALDANNFNASTGWSFPKALENSAQLTNLSCMNCNLIGPLPDFLGSMPSLTNLMLSGNRLSGEIQGTFNGSALQMLWLNNQLHGGMTGPIDVVATMESLTVLWLHGNHFTGTIPESIGKLTLLKDLNLNSNKLVGLIPISLANMRLQNLDLNNNHLMGPIPMFKASKVTFASNKFCQATQGLLCSPEVMALIEFLGVVNYPSKLVSSWSGNEPCNWLGIRCNSGKVSVINLPHYNLSGCLSPSVAKLDSLSQIRLQSNNLSGPIPNNWTSLKSLETLDLSGNNISGPLPKFSRTVKLVVTGNPLLNGDKTDHTKGANIPPESSDSPPNIPTTSSQDSDPGSPATNSSLKSTKTKGFKRNTFVLIMAPVASFALVAFLVIPLSIYFYKKRKDSNLSSTSQVIPPRDPSDPDNMVKVVVAANNTNGNTSTLTGSGSASRNSSSIGESHVIEAGNLVISVQVLRNVTKNFAGQKELGRGGFGVVYKGELDDGTQIAVKRMEAGVITNKALDEFQAEIAVLSKVRHRHLVSLLGYSIEGNERILVYEYMSQGALSKHLFHWKSLKLEPLSWKRRLNIALDVARGMEYLHTLGHQSFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPNGEKSVVTRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELLTGLTALDEDRPEETQYLAAWFWHIKPDKEKLRAAIDPSLDVKDETFESVSIIAELAGHCTAREPSQRPDMGHAVNVLAPLVEKWKPLDDDNDDYCAIDYSLPLNQMVKDWQEAEGKDFSYLDLEDSKGSIPARPTGFAESFNSADGR >KJB36812 pep chromosome:Graimondii2_0_v6:6:43488154:43489888:1 gene:B456_006G177300 transcript:KJB36812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFASIFFSFFLQAASEKMERDEGLRTLECLRGRLLAERQASKTAKEDAELMGNKVIELEKKLKEETKVMNKAEKRLKLLRKKLESLKLVPSLEESEHPAAVSCVSSTSSSGTKNPERTASKSQNAVPGISKNMEENASDTTTSIPSFKISFSRENSFSSLENSSFKSSSVHEDPKVDDTRTRNQGGPSDKGSEQKYR >KJB36814 pep chromosome:Graimondii2_0_v6:6:43488512:43489888:1 gene:B456_006G177300 transcript:KJB36814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDEGLRTLECLRGRLLAERQASKTAKEDAELMGNKVIELEKKLKEETKVMNKAEKRLKLLRKKLESLKLVPSLEESEHPAAVSCVSSTSSSGTKNPERTASKSQNAVPGISKNMEENASDTTTSIPSFKISFSRENSFSSLENSSFKSSSVHEDPKVDDTRTSSSSLKASTMEIDMNGRNEKYEDDDYVDNSLALVPLILPETKVAPQIKVVSRSIGEVLDTLRQAKGRIQSSMERRQMIRVGPS >KJB36810 pep chromosome:Graimondii2_0_v6:6:43488085:43489920:1 gene:B456_006G177300 transcript:KJB36810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFASIFFSFFLQAASEKMERDEGLRTLECLRGRLLAERQASKTAKEDAELMGNKVIELEKKLKEETKVMNKAEKRLKLLRKKLESLKLVPSLEESEHPAAVSCVSSTSSSGTKNPERTASKSQNAVPGISKNMEENASDTTTSIPSFKISFSRENSFSSLENSSFKSSSVHEDPKVDDTRTSSSSLKASTMEIDMNGRNEKYEDDDYVDNSLALVPLILPETKVAPQIKVVSRSIGEVLDTLRQAKGRIQSSMERRQMIRVGPS >KJB36813 pep chromosome:Graimondii2_0_v6:6:43488154:43489888:1 gene:B456_006G177300 transcript:KJB36813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFASIFFSFFLQAASEKMERDEGLRTLECLRGRLLAERQASKTAKEDAELMGNKVIELEKKLKEETKVMNKAEKRLKLLRKKLESLKLVPSLEESEHPAAVSCVSSTSSSEKILFLHLKTPVLNQVQSMKIQRLMTQGQALQA >KJB36811 pep chromosome:Graimondii2_0_v6:6:43488451:43489220:1 gene:B456_006G177300 transcript:KJB36811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFASIFFSFFLQAASEKMERDEGLRTLECLRGRLLAERQASKTAKEDAELMGNKVIELEKKLKEETKVMNKAEKRLKLLRKKLESLKLVPSLEESEHPAAVSCVSSTSSSGTKNPERTASKSQNAVPGISKNMEENASDTTTSIPSFKISFSRENSFSSLENSSFKSSSVHEDPKVDDTRTRYFSTFYPTDFA >KJB36281 pep chromosome:Graimondii2_0_v6:6:40877206:40878315:1 gene:B456_006G150000 transcript:KJB36281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDHPGKPNEQTTDKNTTTSQQQQQQGPPIQWPPQSHPPQVCYPPAIVPPNAQFHDYNALPPGGGYGPYPYPYPPPGPYYGQGYIQSEHRHPCSGACRCFLAFLILVIVILLLTKLIIWATLLPRFPVFHVANMHVTNFNTDANPFTARWETEIRIENPNTKLYLYVDGMEVFMNYNDKYDVGITWINPMFMESKNKTSMRVVINTGESAHHAVPIWIAQDMGKDQKNGGVNFVLKIKVWVTLKSGMWLWFRRSLMLNVECDDLKVNFGNSSREGTLEYIKDREDCYVSA >KJB33052 pep chromosome:Graimondii2_0_v6:6:41744340:41744816:1 gene:B456_006G158100 transcript:KJB33052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLENIPISHIKGFVFGEQIRRRPFMLLRKQIPKLQSNSSKILKMGVGHHSAGTKQKQKFDNECRPSAT >KJB33234 pep chromosome:Graimondii2_0_v6:6:747587:751915:1 gene:B456_006G003500 transcript:KJB33234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQLRIGGGVFLSQWSPQLVLDSNNRNGRRLVIGGESWERSRFIGLVHCNSRSSNNGSSDAKKGVPNSNYVVPLNKSFSASNSSYITRPLVEILRDLNKRIPDNIVKPPSDSSSTFLPWYHANRMLSFYAPGWCGEVRDVIFSENGTITVVYRLTIRGSDGEAHRESTGTVSLSDIDIEDPVGAAEEIAFCRACARFGLGLYLYHEKQ >KJB38769 pep chromosome:Graimondii2_0_v6:6:50815265:50819119:1 gene:B456_006G271000 transcript:KJB38769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESANHCQSIDPATGFCSQTRTFHSLRPEVPLPPPYQPLSLPQYTLSLLRSSTVTTGCGDTTFIINATKGDSLSYSEFIAQFHSLAHCLRKNYFLSGNDVALILSPPSLHVPLLYFALMSLGIVITPANPLSSDSEIAHQVQLSKPVIAFATSTTSSKLPSLKHGTILLDSPEFISFLSERNVDPDVISRVQVSQHDTAAVLYSSGTTGRVKGVMLSHRNLIALIAGFYHIRHPQKGPDPPHPVSFFTVPLFHVFGFFMLARAFSMGETAVFTERFEFEGMLRAIEKYKVTYMPVSPPLVLALTKSDLTIKYDISSLLMLGSGGAPLGKEVAERFKEKFPAVELVQGYGLTETGGGAARVIGPEEAARYGTVGRLSENTEAKIVDPVTGEALPPGQRGELWLRGPTVMKGYIGDEKATAETMDSEGWLKTGDICYFDSQGFLYIVDRLKELIKYKAYQVPPAELEHLLHSHPEISDAAVIPYPGRYLWPI >KJB38767 pep chromosome:Graimondii2_0_v6:6:50815265:50819119:1 gene:B456_006G271000 transcript:KJB38767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESANHCQSIDPATGFCSQTRTFHSLRPEVPLPPPYQPLSLPQYTLSLLRSSTVTTGCGDTTFIINATKGDSLSYSEFIAQFHSLAHCLRKNYFLSGNDVALILSPPSLHVPLLYFALMSLGIVITPANPLSSDSEIAHQVQLSKPVIAFATSTTSSKLPSLKHGTILLDSPEFISFLSERNVDPDVISRVQVSQHDTAAVLYSSGTTGRVKGVMLSHRNLIALIAGFYHIRHPQKGPDPPHPVSFFTVPLFHVFGFFMLARAFSMGETAVFTERFEFEGMLRAIEKYKVTYMPVSPPLVLALTKSDLTIKYDISSLLMLGSGGAPLGKEVAERFKEKFPAVELVQGYGLTETGGGAARVIGPEEAARYGTVGRLSENTEAKIVDPVTGEALPPGQRGELWLRGPTVMKGYIGDEKATAETMDSEGWLKTGDICYFDSQGFLYIVDRLKELIKYKAYQVNHINDVCSIIL >KJB38768 pep chromosome:Graimondii2_0_v6:6:50815265:50819119:1 gene:B456_006G271000 transcript:KJB38768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESANHCQSIDPATGFCSQTRTFHSLRPEVPLPPPYQPLSLPQYTLSLLRSSTVTTGCGDTTFIINATKGDSLSYSEFIAQFHSLAHCLRKNYFLSGNDVALILSPPSLHVPLLYFALMSLGIVITPANPLSSDSEIAHQVQLSKPVIAFATSTTSSKLPSLKHGTILLDSPEFISFLSERNVDPDVISRVQVSQHDTAAVLYSSGTTGRVKGVMLSHRNLIALIAGFYHIRHPQKGPDPPHPVSFFTVPLFHVFGFFMLARAFSMGETAVFTERFEFEGMLRAIEKYKVTYMPVSPPLVLALTKSDLTIKYDISSLLMLGSGGAPLGKEVAERFKEKFPAVELVQGYGLTETGGGAARVIGPEEAARYGTVGRLSENTEAKIVDPVTGEALPPGQRGELWLRGPTVMKGYIGDEKATAETMDSEGWLKTGDICYFDSQGFLYIVDRLKELIKYKAYQVPPAELEHLLHSHPEISDAAVIPCCL >KJB38765 pep chromosome:Graimondii2_0_v6:6:50815141:50819119:1 gene:B456_006G271000 transcript:KJB38765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESANHCQSIDPATGFCSQTRTFHSLRPEVPLPPPYQPLSLPQYTLSLLRSSTVTTGCGDTTFIINATKGDSLSYSEFIAQFHSLAHCLRKNYFLSGNDVALILSPPSLHVPLLYFALMSLGIVITPANPLSSDSEIAHQVQLSKPVIAFATSTTSSKLPSLKHGTILLDSPEFISFLSERNVDPDVISRVQVSQHDTAAVLYSSGTTGRVKGVMLSHRNLIALIAGFYHIRHPQKGPDPPHPVSFFTVPLFHVFGFFMLARAFSMGETAVFTERFEFEGMLRAIEKYKVTYMPVSPPLVLALTKSDLTIKYDISSLLMLGSGGAPLGKEVAERFKEKFPAVELVQGYGLTETGGGAARVIGPEEAARYGTVGRLSENTEAKIVDPVTGEALPPGQRGELWLRGPTVMKGYIGDEKATAETMDSEGWLKTGDICYFDSQGFLYIVDRLKELIKYKAYQVPPAELEHLLHSHPEISDAAVIPYVSYLCCSDFYLAYHL >KJB38770 pep chromosome:Graimondii2_0_v6:6:50815265:50819119:1 gene:B456_006G271000 transcript:KJB38770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESANHCQSIDPATGFCSQTRTFHSLRPEVPLPPPYQPLSLPQYTLSLLRSSTVTTGCGDTTFIINATKGDSLSYSEFIAQFHSLAHCLRKNYFLSGNDVALILSPPSLHVPLLYFALMSLGIVITPANPLSSDSEIAHQVQLSKPVIAFATSTTSSKLPSLKHGTILLDSPEFISFLSERNVDPDVISRVQVSQHDTAAVLYSSGTTGRVKGVMLSHRNLIALIAGFYHIRHPQKGPDPPHPVSFFTVPLFHVFGFFMLARAFSMGETAVFTERFEFEGMLRAIEKYKVTYMPVSPPLVLALTKSDLTIKYDISSLLMLGSGGAPLGKEVAERFKEKFPAVELVQGYGLTETGGGAARVIGPEEAARYGTVGRLSENTEAKIVDPVTGEALPPGQRGELWLRGPTVMKGYIGDEKATAETMDSEGWLKTGDICYFDSQGFLYIVDRLKELIKYKAYQVPPAELEHLLHSHPEISDAAVIPYPDDEAGQIPMAYIVRQPGSSISEAQIMYYIAKQEMVLFSRRLHRTRRSDELILSIQSQNLQRERS >KJB38766 pep chromosome:Graimondii2_0_v6:6:50815141:50819150:1 gene:B456_006G271000 transcript:KJB38766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESANHCQSIDPATGFCSQTRTFHSLRPEVPLPPPYQPLSLPQYTLSLLRSSTVTTGCGDTTFIINATKGDSLSYSEFIAQFHSLAHCLRKNYFLSGNDVALILSPPSLHVPLLYFALMSLGIVITPANPLSSDSEIAHQVQLSKPVIAFATSTTSSKLPSLKHGTILLDSPEFISFLSERNVDPDVISRVQVSQHDTAAVLYSSGTTGRVKGVMLSHRNLIALIAGFYHIRHPQKGPDPPHPVSFFTVPLFHVFGFFMLARAFSMGETAVFTERFEFEGMLRAIEKYKVTYMPVSPPLVLALTKSDLTIKYDISSLLMLGSGGAPLGKEVAERFKEKFPAVELVQGYGLTETGGGAARVIGPEEAARYGTVGRLSENTEAKIVDPVTGEALPPGQRGELWLRGPTVMKGYIGDEKATAETMDSEGWLKTGDICYFDSQGFLYIVDRLKELIKYKAYQVPPAELEHLLHSHPEISDAAVIPYPDDEAGQIPMAYIVRQPGSSISEAQIMYYIAKQVAPYKKIRRVNFIDSIPKSPAGKILRRELVHHSLSAGLSKL >KJB33947 pep chromosome:Graimondii2_0_v6:6:11206893:11209061:1 gene:B456_006G039800 transcript:KJB33947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNLPHHHQHQSKSNNSYTKFIFALFFCLLGLACIVDLFWASSSSFSSSYLRFASNWVSQKPRIFVSQQQQQQQHNVDDKIGKKKRFLSATFADLPAPDLAWEQMPSAPVPRLDGSAIQINNLFYVLSGYGTLDHVHSHVDVFNFTDNTWRAKFDTPKDMANSHLGVASDGRYIYVVSGQYGPQCRAPTSRTYVLDTQTREWQSLPPLPAPRYAPATQLWKGRLHVMGGSKENRHTPGLEHWSIAVKDGKALEKEWRTEIPIPRGGPHRASVAINDRLFVIGGQEGDFMAKPGSPIFKCSRRHEVVYGDVYMLDAEMKKWEVLPPLPKPNSHIECSWVVVNNSIIITGGTTEKHPETKRMILVGEVFQFHLDSLTWSVIGKLPYRVKTTLAGFWDGYLYFTSGQRDRGPDNPQPKKVIGEMWRTKLNI >KJB35002 pep chromosome:Graimondii2_0_v6:6:33554536:33558009:1 gene:B456_006G096200 transcript:KJB35002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATNSSKKAVKYAQRKYAILHALEIKSEHLVEDHCSSARESLTGSYSGKENEYLTDEMSESDNDSDSALELSSPFISFEEPNHINGTKMHSMLVKRTNTPNDSKDDGTERNKRMRGLEDLGLGVESKRKAEAPGLLELVQQDNASLYGSNNGHCLSNGDHSSLIKRKRSQLANVHKFSKRKYHWRPLTKVLASTAMVSVPVVCGEVPSSSGLSPMGLSDKKFPGMDFNESGESVYEVINNNNNNNTNNNSDNTGVSCENCVSNAFEHVADVSQISNTTKDDEIFGIPGILGQLFDVPFVEDKPSADFSPILVSCPSAIPEVGDLGRRAKIEGHNESGFTRLVAIDTNSTSQRMEEGATEWQLKGKRKVRQISKIHKRGLRKYVDMDDESKHSYGFSRGSDQKVEEQLDGFRDCKSMSQEPRVKGPMVEAKILPGRSMTPRRSLLTDHCHYTVNSRYLMTEFHGITDSTDSSLYDVRIEVKANYRPQHVQLVSLVSKVNGKALIGHPLTVEVSSDDCYYGDLTHEVAIECTEVGHLVKQNPGGLISTRNMKMESRFPPRKSAKVKKTGLSSKKTRKLSSLTGPKPGVDDWKLVTEKFKGHEIACVAVKLAFSRINEALNNSNTTNTPRH >KJB35000 pep chromosome:Graimondii2_0_v6:6:33553396:33558009:1 gene:B456_006G096200 transcript:KJB35000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCDIEKPKRVKAFHSGDYDECIEKAMAAATNSSKKAVKYAQRKYAILHALEIKSEHLVEDHCSSARESLTGSYSGKENEYLTDEMSESDNDSDSALELSSPFISFEEPNHINGTKMHSMLVKRTNTPNDSKDDGTERNKRMRGLEDLGLGVESKRKAEAPGLLELVQQDNASLYGSNNGHCLSNGDHSSLIKRKRSQLANVHKFSKRKYHWRPLTKVLASTAMVSVPVVCGEVPSSSGLSPMGLSDKKFPGMDFNESGESVYEVINNNNNNNTNNNSDNTGVSCENCVSNAFEHVADVSQISNTTKDDEIFGIPGILGQLFDVPFVEDKPSADFSPILVSCPSAIPEVGDLGRRAKIEGHNESGFTRLVAIDTNSTSQRMEEGATEWQLKGKRKVRQISKIHKRGLRKYVDMDDESKHSYGFSRGSDQKVEEQLDGFRDCKSMSQEPRVKGPMVEAKILPGRSMTPRRSLLTDHCHYTVNSRYLMTEFHGITDSTDSSLYDVRIEVKANYRPQHVQLVSLVSKVNGKALIGHPLTVEVSSDDCYYGDLTHEVAIECTEVGHLVKQNPGGLISTRNMKMESRFPPRKSAKVKKTGLSSKKTRKLSSLTGPKPGVDDWKLVTEKFKGHEIACVAVKLAFSRINEALNNSNTTNTPRH >KJB35001 pep chromosome:Graimondii2_0_v6:6:33554892:33557869:1 gene:B456_006G096200 transcript:KJB35001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATNSSKKAVKYAQRKYAILHALEIKSEHLVEDHCSSARESLTGSYSGKENEYLTDEMSESDNDSDSALELSSPFISFEEPNHINGTKMHSMLVKRTNTPNDSKDDGTERNKRMRGLEDLGLGVESKRKAEAPGLLELVQQDNASLYGSNNGHCLSNGDHSSLIKRKRSQLANVHKFSKRKYHWRPLTKVLASTAMVSVPVVCGEVPSSSGLSPMGLSDKKFPGMDFNESGESVYEVINNNNNNNTNNNSDNTGVSCENCVSNAFEHVADVSQISNTTKDDEIFGIPGILGQLFDVPFVEDKPSADFSPILVSCPSAIPEVGDLGRRAKIEGHNESGFTRLVAIDTNSTSQRMEEGATEWQLKGKRKVRQISKIHKRGLRKYVDMDDESKHSYGFSRGSDQKVEEQLDGFRDCKSMSQEPRVKGPMVEAKILPGRSMTPRRSLLTDHCHYTVNSRYLMTEFHGITDSTDSSLYDVRIEVKANYRPQHVQLVSLVSKVNGKALIGHPLTVEVSSDDCYYGDLTHEVAIECTEVGHLVKQNPGGLISTRNMKMESRFPPRKSAKVKKTGLSSKKTRKLSSLTGPKPGVDDWKLVTEKFKGHEIACVAVKLAFSRINEALNNSNTTNTPRH >KJB37732 pep chromosome:Graimondii2_0_v6:6:47072464:47076712:1 gene:B456_006G218300 transcript:KJB37732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MNRTHSPIPTVKSPFHFVLSPNFKSLIHPTATRILNFPPKHPNRLPLLSYCSKSPNFRFISKKRNFEVKASTGSNNGEAGNWVRWLPTGGLAADKVLRLISTATSSPICQFISSPTTFLHSVDPRIKLVWLLALVVLPARAQIAVRFGLVAYIALLSMLFLPKNVWMIFQSASLCLATTTPEQLAFALRWFMLPLRYIGVPVAEIVLTLMLSLRFINLVFDEVRNVALGIVSRRINWQHLTMKETIDIFASYIQRIFKNIFKHAEQISQAMIVRGFRGDSNAHKLYFLSDSSIGMADLIAVVCLIGVTSVALLSEYLLV >KJB37733 pep chromosome:Graimondii2_0_v6:6:47072464:47076712:1 gene:B456_006G218300 transcript:KJB37733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MNRTHSPIPTVKSPFHFVLSPNFKSLIHPTATRILNFPPKHPNRLPLLSYCSKSPNFRFISKKRNFEVKASTGSNNGEAGNWVRWLPTGGLAADKVLRLISTATSSPICQFISSPTTFLHSVDPRIKLVWLLALVVLPARAQIAVRFGLVAYIALLSMLFLPKNVWMDQLGRVSLLCGILFVLSGLGTDGVPQLLQSRTPPSSLTGLPDLPKSLSGYSYLIMKLGPLQFTRKGLSVASTASCLTFIIFQSASLCLATTTPEQLAFALRWFMLPLRYIGVPVAEIVLTLMLSLRFINLVFDEVRNVALGIVSRRINWQHLTMKETIDIFASYIQRIFKNIFKHAEQISQAMIVRGFRGDSNAHKLYFLSDSSIGMADLIAVVCLIGVTSVALLSEYLLV >KJB37731 pep chromosome:Graimondii2_0_v6:6:47072464:47076683:1 gene:B456_006G218300 transcript:KJB37731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MNRTHSPIPTVKSPFHFVLSPNFKSLIHPTATRILNFPPKHPNRLPLLSYCSKSPNFRFISKKRNFEVKASTGSNNGEAGNWVRWLPTGGLAADKVLRLISTATSSPICQFISSPTTFLHSVDPRIKLVWLLALVVLPARAQIAVRFGLVAYIALLSMLFLPKNVWMDQLGRVSLLCGILFVLSGLGTDGVPQLLQSRTPPSSLTGLPDLPKSLSGYSYLIMKLGPLQFTRKGLSVASTASCLTFIIFQSASLCLATTTPEQLAFALRWFMLPLRYIGVPVAEIVLTLMLSLRFINLVFDEVRNVALGIVSRRINWQHLTMKETIDIFASYIQRIFKNIFKHAEQISQAMIVRGFRGDSNAHKLYFLSDSSIGMADLIAVVCLIGVTSVALLSEYLLV >KJB38824 pep chromosome:Graimondii2_0_v6:6:51065558:51066734:1 gene:B456_006G2753002 transcript:KJB38824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSMKISFNRCIRDGDLIIVYERHDTMKAVKVCENSVLQNRFCVFKHSDWIGKPFGSIIFSNRGGFIYLLALTPEFWILVLSHMTQILYIADIIFVIMYLE >KJB34355 pep chromosome:Graimondii2_0_v6:6:22514800:22514931:1 gene:B456_006G061700 transcript:KJB34355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METATLVAISIFVLLVSFTGYALYTAFKLPSQQLRDPFEEHED >KJB35402 pep chromosome:Graimondii2_0_v6:6:36139394:36144832:1 gene:B456_006G113400 transcript:KJB35402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSTGSSSSNPSRSSSLPGPSSSRAEPQVSSLQVPPASRDDEVSEEVQEQIASDEILECYSNENKATESDDPSPENLRNDRNITSSDALAEAEQVNTLDASGPCDVMNDLGGLRISKTVVAETEGSSNDPLPFGNGSSYPPPPPVPPPKPSAANSNSRRFASGSSNPVRAGSSRRAVAWPTVSIRTSPSGSRPSSPRSHGESEGYNSADEQNPCFVSSYDDAERERQFEIDIRRAKGLEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSIEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTVGAGLGFSCLRGANVDKDQVKAAIKSQQDQQIDNALLAEGRFYSDLELTEKEIERTVMEASRAEYLAMKPNWRAQKSMVCRIVFSAVACNYYCQWDSVICRQMKLIAYLGMT >KJB35397 pep chromosome:Graimondii2_0_v6:6:36140238:36144024:1 gene:B456_006G113400 transcript:KJB35397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSTGSSSSNPSRSSSLPGPSSSRAEPQVSSLQVPPASRDDEVSEEVQEQIASDEILECYSNENKATESDDPSPENLRNDRNITSSDALAEAEQVNTLDASGPCDVMNDLGGLRISKTVVAETEGSSNDPLPFGNGSSYPPPPPVPPPKPSAANSNSRRFASGSSNPVRAGSSRRAVAWPTVSIRTSPSGSRPSSPRSHGESEGYNSADEQNPCFVSSYDDAERERQFEIDIRRAKGLEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSIEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTVGAGLGFSCLRGANVDKDQVKAAIKSQQDQQIDNASSFR >KJB35403 pep chromosome:Graimondii2_0_v6:6:36139111:36144874:1 gene:B456_006G113400 transcript:KJB35403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSTGSSSSNPSRSSSLPGPSSSRAEPQVSSLQVPPASRDDEVSEEVQEQIASDEILECYSNENKATESDDPSPENLRNDRNITSSDALAEAEQVNTLDASGPCDVMNDLGGLRISKTVVAETEGSSNDPLPFGNGSSYPPPPPVPPPKPSAANSNSRRFASGSSNPVRAGSSRRAVAWPTVSIRTSPSGSRPSSPRSHGESEGYNSADEQNPCFVSSYDDAERERQFEIDIRRAKGLEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSIEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTVGAGLGFSCLRGANVDKDQVKAAIKSQQDQQIDNALLAEGRFYSDLELTEKEIERTVMEASRAEYLASDKFKPQVGHKESSTSTAEPSSSGARTGTGPAGSETKLEGSKEHGLQDSVLSSGMQLLLSMGFSYLQANEAYSIFGDDVDSMVCYLIETGSSSRSKGKATDLIID >KJB35400 pep chromosome:Graimondii2_0_v6:6:36139394:36144832:1 gene:B456_006G113400 transcript:KJB35400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSTGSSSSNPSRSSSLPGPSSSRAEPQVSSLQVPPASRDDEVSEEVQEQIASDEILECYSNENKATESDDPSPENLRNDRNITSSDALAEAEQVNTLDASGPCDVMNDLGGLRISKTVVAETEGSSNDPLPFGNGSSYPPPPPVPPPKPSAANSNSRRFASGSSNPVRAGSSRRAVAWPTVSIRTSPSGSRPSSPRSHGESEGYNSADEQNPCFVSSYDDAERERQFEIDIRRAKGLEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSIEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTVGAGLGFSCLRGANVDKDQVKAAIKSQQDQQIDNALLAEGRFYSDLELTEKEIERTVMEASRAEYLASDKFKPQVGHKESSTSTAEPSSSGARTGPAGSETKLEGSKEHGLQDSVLSSGMQLLLSMGFSYLQANEAYSIFGDDVDSMVCYLIETGSSSRSKGKATDLIID >KJB35398 pep chromosome:Graimondii2_0_v6:6:36139394:36144832:1 gene:B456_006G113400 transcript:KJB35398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSTGSSSSNPSRSSSLPGPSSSRAEPQVSSLQVPPASRDDEVSEEVQEQIASDEILECYSNENKATESDDPSPENLRNDRNITSSDALAEAEQVNTLDASGPCDVMNDLGGLRISKTVVAETEGSSNDPLPFGNGSSYPPPPPVPPPKPSAANSNSRRFASGSSNPVRAGSSRRAVAWPTVSIRTSPSGSRPSSPRSHGESEGYNSADEQNPCFVSSYDDAERERQFEIDIRRAKGLEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSIEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTVGAGLGFSCLRGANVDKDQVKAAIKSQQDQQIDNALLAEGRFYSDLELTEKEIERTVMEASRAEYLASDKFKPQVGHKESSTSTAEPSSSGASEYSRDFKTSCWCSLIVCHEYDHTLF >KJB35396 pep chromosome:Graimondii2_0_v6:6:36139394:36144832:1 gene:B456_006G113400 transcript:KJB35396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSTGSSSSNPSRSSSLPGPSSSRAEPQVSSLQVPPASRDDEVSEEVQEQIASDEILECYSNENKATESDDPSPENLRNDRNITSSDALAEAEQVNTLDASGPCDVMNDLGGLRISKTVVAETEGSSNDPLPFGNGSSYPPPPPVPPPKPSAANSNSRRFASGSSNPVRAGSSRRAVAWPTVSIRTSPSGSRPSSPRSHGESEGYNSADEQNPCFVSSYDDAERERQFEIDIRRAKGLEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSIEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTVGAGLGFSCLRGANVDKDQVKAAIKSQQDQQIDNALLAEGRFYSDLELTEKEIERTVMEASRAEYLASDKFKPQVGHKESSTSTAEPSSSGARTGTGPAGSETKLEGSKEHGLQDSVLSSGMQLLLSMGFSYLQANEAYSIFGDDVDSMVCYLIETGSSSRSKGKATDLIID >KJB35399 pep chromosome:Graimondii2_0_v6:6:36140238:36144117:1 gene:B456_006G113400 transcript:KJB35399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSTGSSSSNPSRSSSLPGPSSSRAEPQVSSLQVPPASRDDEVSEEVQEQIASDEILECYSNENKATESDDPSPENLRNDRNITSSDALAEAEQVNTLDASGPCDVMNDLGGLRISKTVVAETEGSSNDPLPFGNGSSYPPPPPVPPPKPSAANSNSRRFASGSSNPVRAGSSRRAVAWPTVSIRTSPSGSRPSSPRSHGESEGYNSADEQNPCFVSSYDDAERERQFEIDIRRAKGLEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSIEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTVGAGLGFSCLRGANVDKDQVKAAIKSQQDQQIDNASTPG >KJB35401 pep chromosome:Graimondii2_0_v6:6:36140238:36143978:1 gene:B456_006G113400 transcript:KJB35401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSTGSSSSNPSRSSSLPGPSSSRAEPQVSSLQVPPASRDDEVSEEVQEQIASDEILECYSNENKATESDDPSPENLRNDRNITSSDALAEAEQVNTLDASGPCDVMNDLGGLRISKTVVAETEGSSNDPLPFGNGSSYPPPPPVPPPKPSAANSNSRRFASGSSNPVRAGSSRRAVAWPTVSIRTSPSGSRPSSPRSHGESEGYNSADEQNPCFVSSYDDAERERQFEIDIRRAKGLEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSIEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTVGAGLGFSCLRGVRKCGQGSSQGRY >KJB38431 pep chromosome:Graimondii2_0_v6:6:49698023:49700474:1 gene:B456_006G254100 transcript:KJB38431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYPVISTKTPIFLTNPKTPRIHESCTKLRSISRSSQHQNPIQNDIKPKTQFINPVLMTNTKSHYGFQAMLSLCGLGYWVQGFRCFPWLALNFHMAHNLNFNPSTLQLVQNSANFPMVAKPLYGILSDAFYIAGPHRIPYICIGVLLQILSWGQLALIPVTGQTLPSLMACVLLSNFGASIEEVSKDALVTEHGQKHRIKGLQSYSFMALAVGGILGNFLGGYFSHKLQPRTMFLIFSALLSFQLAISSSECLGLSHRQTPTGKSITDNIRKHLSGLMIAISEDTISKPLTWILTSIAMVPILSGSTFSYQIQCLNLDLSVIGMSKVIGQLMLLSLTLIYDNHWKQIPTRKLVGGIQFVYAFSLLLDLILVRQINAGIHISNEVFTLCFSGLAETLAQFKILPFMVLLATLCPQGCEGSFTSFVASTVCLSSLVGGFWGVGLAALLGIKGGDYTSLPVGLLIQFVAALLPLGWIHQLPMSCPAEKEMKKCIDETQGFERVVGSVRI >KJB34988 pep chromosome:Graimondii2_0_v6:6:33224175:33232965:-1 gene:B456_006G094600 transcript:KJB34988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSTVKISRAFIQKPKQSNTLFQYLNLPSESFTTISCNANSHSPKYKFLLSDHLKNRRLDEAREVLNKIPYPGVHLYTMMIDGYALNHRLDDAIQLFEKMPVRDTVSWNSMIKGCLNGGDLITAKKLFDEMPGRNVVSWTTMVNGYLQFGKIQVAERLFFEMPVKDVAAWNSMIYGYLKSGRVDEAVNLFRKMPSRNVISWTSMIDGLDYNGRNDEALIFYKKMVGFGVKLSSNTVSCVLRACANVMALHLGVQTHCQVVKLGFSFDEFICTSLLTFYANCKQMENACKVFNEKLHGNVVIWTALLTGYGLNHKHESALMVFGDMIRMAVLPNQSSFTSALNSCSGLEALDKGKEIHAVSVKLGLGSDVFVGNSLIVLYTVCGSINDAVAAFSNIGEKNVVSWNSIIVGSAKHGQGKLVLTLFSRMIRDEVDPDEISFTGLLSACSHSGMLQKARCFFEYISRYKSTAIKLQHYVCMVDVLSRCGKLEEAEELIKNMKVKPNSIVWLALLSACRMHSNLGVAERAAKSILDLEPNCSAAYVLLSNIYASAGKWSDVSRMRVRMKQAGIVKQLGCSWVTLRGVRHEFVCADRSHPLSEKIYQKLDWLGGKLKEFGYVPDESFALHDVEDEQKKEMLLYHSERLAVAFGLVTTAEGSTITVMKNLRACGDCHSAIKLIAKIVGREIIVRDSTRFHHFRNGMCSCGDYW >KJB35873 pep chromosome:Graimondii2_0_v6:6:38596423:38597367:-1 gene:B456_006G131500 transcript:KJB35873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMAMAKVRICRCLFSSKHSSSLSFFIRKAYFFSLRTFLNNSNPHISSSFYLPTATHSRFTVLSSPFSSSPRTPNHTKINTKVNFSPPDSDSEDEQEQKNDSKQEFDKSKFPPPYDPFNKKPVIEEVQDPKNLQQVFHNMRGDGLINNAVKMFDALSKDGLTHEALELFSEFKDKGQMPDVVAHTAVIEAYANAGQSKEALKSYMRMLASGVAPNAYTYTVLIKALAAADAKHLVDAKKYLMEMIGKGMRPNAPTYTAVFEAFARVEKMEEAKEFLGQMKEKGFVADEKDVRAVLSNKRGPVFRSVMSVLFDK >KJB35888 pep chromosome:Graimondii2_0_v6:6:38655645:38660775:1 gene:B456_006G132200 transcript:KJB35888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNIFNPASLCLLKSNVRQTQRPIRSFEGNQKGLIMATFSSSPSTVSVEHVNQKLSGDSFIRPHLRKLSPYQPILPFEVLSAKLGRKPEDIIKLDANENPYGPPPEVLEALGSLKFPYIYPDPESRQLRAALAEDSGIESDYILVGCGCDELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAGVNGAQVIKVPRKPDFSLNIEAIAEAVEHEKPKCIFLTSPNNPDGSIISDEVLLKILDMPILVVLDEAYIEFSGNESRMQWVKKHDNLIVLRTFSKRAGLAGLRVGYGSFPLSIIEYLWRAKQPYNVSVAAEVAACAALQNPNW >KJB35887 pep chromosome:Graimondii2_0_v6:6:38655645:38660775:1 gene:B456_006G132200 transcript:KJB35887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNIFNPASLCLLKSNVRQTQRPIRSFEGNQKGLIMATFSSSPSTVSVEHVNQKLSGDSFIRPHLRKLSPYQPILPFEVLSAKLGRKPEDIIKLDANENPYGPPPEVLEALGSLKFPYIYPDPESRQLRAALAEDSGIESDYILVGCGCDELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAGVNGAQVIKVPRKPDFSLNIEAIAEAVEHEKPKCIFLTSPNNPDGSIISDEVLLKILDMPILVVLDEAYIEFSGNESRMQWVKKHDNLIVLRTFSKRAGLAGLRVGYGSFPLSIIEYLWRAKQPYNVSVAAEVAACAALQNPKYLELVKDALIQERDRLFKLLKEVPFLNPYPSYSNFILCEVTSGMDAKKLKVTFLNPYPSYILISPAPICHCFALFVILFLAIVFMHDCHPSLSI >KJB35885 pep chromosome:Graimondii2_0_v6:6:38655645:38659465:1 gene:B456_006G132200 transcript:KJB35885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNIFNPASLCLLKSNVRQTQRPIRSFEGNQKGLIMATFSSSPSTVSVEHVNQKLSGDSFIRPHLRKLSPYQPILPFEVLSAKLGRKPEDIIKLDANENPYGPPPEVLEALGSLKFPYIYPDPESRQLRAALAEDSGIESDYILVGCGCDELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAGVNGAQVIKVPRKPDFSLNIEAIAEAVEHEKPKCIFLTSPNNPDGSIISDEVLLKILDMPILVVLDEAYIEFSGNESRMQWVKKHDNLIVLRTFSKRAGFLNLGS >KJB35889 pep chromosome:Graimondii2_0_v6:6:38655645:38661574:1 gene:B456_006G132200 transcript:KJB35889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNIFNPASLCLLKSNVRQTQRPIRSFEGNQKGLIMATFSSSPSTVSVEHVNQKLSGDSFIRPHLRKLSPYQPILPFEVLSAKLGRKPEDIIKLDANENPYGPPPEVLEALGSLKFPYIYPDPESRQLRAALAEDSGIESDYILVGCGCDELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAGVNGAQVIKVPRKPDFSLNIEAIAEAVEHEKPKCIFLTSPNNPDGSIISDEVLLKILDMPILVVLDEAYIEFSGNESRMQWVKKHDNLIVLRTFSKRAGLAGLRVGYGSFPLSIIEYLWRAKQPYNVSVAAEVAACAALQNPKYLELVKDALIQERDRLFKLLKEVPFLNPYPSYSNFILCEVTSGMDAKKLKDDLSKMGVMVRHYNKKELKGYIRVTAGKPEQTDALMECLRRLS >KJB35886 pep chromosome:Graimondii2_0_v6:6:38655645:38659465:1 gene:B456_006G132200 transcript:KJB35886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNIFNPASLCLLKSNVRQTQRPIRSFEGNQKGLIMATFSSSPSTVSVEHVNQKLSGDSFIRPHLRKLSPYQPILPFEVLSAKLGRKPEDIIKLDANENPYGPPPEVLEALGSLKFPYIYPDPESRQLRAALAEDSGIESDYILVGCGCDELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAGVNGAQVIKVPRKPDFSLNIEAIAEAVEHEKPKCIFLTSPNNPDGSIISDEVLLKILDMPILVVLDEAYIEFSGNESRMQWVKKHDNLIVLRTFSKRAGMLVILFFNS >KJB36693 pep chromosome:Graimondii2_0_v6:6:43052640:43053587:1 gene:B456_006G171200 transcript:KJB36693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQVLHATNLKQSPLQGFLPLSSRYAVAAPLKSTVLSRTKTKGQKNQKRSLTVVAAVGDVSADGTNYLIAGAAVVALVGTAFPIFFSRKDTCPECDGAGFVRKAGATLRANAARKDQAQIVCARCNGLGKLNQIDK >KJB38015 pep chromosome:Graimondii2_0_v6:6:48147426:48154077:-1 gene:B456_006G232500 transcript:KJB38015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVCSAAAGCSSHSQISFHGGFRPFTPYEKDFQFRCSSQDKSALGMSNGNHLHRMYFKPQATKSFYSDFVESTEQRVSMDLINSYSSPDELVDVKCKISNAWSSSVEAIMSPTDVELKYVENSSISSAEEKLVDLSNQSVENVNNYTGMEGPETISTIDTIPETPTAASSSVNFDNDSLSSVKTGLDDFLAGVNKSVNSSLDKGENAVKNLLEKITSSITSVKTSTSEAVDNAQVLVNNKLSNLSNDMKEASSKANIFAVDLLRRTIVVVEDSLSNGASTFVYYYASAKERLPPEIKDTLTLYEERTGKALKPIGDALQQVYIGIEGLERSLGFDPNDPVVPFFLLTGTSATLWVFYRVWAYGGYSGDLSPQLTLELLSGKENVLLIDVRPEVLRERDGIPDLRRAARSRYASVSLPEVNGSIRKLLKSGRDLDDTLIATVIRNLKIVEDRSKVIIMDADGSRSKGIARSLRKLGVKKPYLVQGGFQSWVNQGLRIKELKPETALTILNEEAEAILEEISPSPVQVLGYGVGLVAAIYALLEWEKSLQLIGILGLVLTIYGRVSSYESSEDLKKDIRLLLAPVTFGAQAFSWVSGKLETNGIGLPTSPSSSDVQSRVLQAAAKHESKPSDSEDPSADAMAPRNEKADLSEA >KJB38014 pep chromosome:Graimondii2_0_v6:6:48146332:48154805:-1 gene:B456_006G232500 transcript:KJB38014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVCSAAAGCSSHSQISFHGGFRPFTPYEKDFQFRCSSQDKSALGMSNGNHLHRMYFKPQATKSFYSDFVESTEQRVSMDLINSYSSPDELVDVKCKISNAWSSSVEAIMSPTDVELKYVENSSISSAEEKLVDLSNQSVENVNNYTGMEGPETISTIDTIPETPTAASSSVNFDNDSLSSVKTGLDDFLAGVNKSVNSSLDKGENAVKNLLEKITSSITSVKTSTSEAVDNAQVLVNNKLSNLSNDMKEASSKANIFAVDLLRRTIVVVEDSLSNGASTFVYYYASAKERLPPEIKDTLTLYEERTGKALKPIGDALQQVYIGIEGLERSLGFDPNDPVVPFFLLTGTSATLWVFYRVWAYGGYSGDLSPQLTLELLSGKENVLLIDVRPEVNGSIRKLLKSGRDLDDTLIATVIRNLKIVEDRSKVIIMDADGSRSKGIARSLRKLGVKKPYLVQGGFQSWVNQGLRIKELKPETALTILNEEAEAILEEISPSPVQVLGYGVGLVAAIYALLEWEKSLQLIGILGLVLTIYGRVSSYESSEDLKKDIRLLLAPVTFGAQAFSWVSGKLETNGIGLPTSPSSSDVQSRVLQAAAKHESKPSDSEDPSADAMAPRNEKADLSEA >KJB38013 pep chromosome:Graimondii2_0_v6:6:48146332:48154751:-1 gene:B456_006G232500 transcript:KJB38013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVCSAAAGCSSHSQISFHGGFRPFTPYEKDFQFRCSSQDKSALGMSNGNHLHRMYFKPQATKSFYSDFVESTEQRVSMDLINSYSSPDELVDVKCKISNAWSSSVEAIMSPTDVELKYVENSSISSAEEKLVDLSNQSVENVNNYTGMEGPETISTIDTIPETPTAASSSVNFDNDSLSSVKTGLDDFLAGVNKSVNSSLDKGENAVKNLLEKITSSITSVKTSTSEAVDNAQVLVNNKLSNLSNDMKEASSKANIFAVDLLRRTIVVVEDSLSNGASTFVYYYASAKERLPPEIKDTLTLYEERTGKALKPIGDALQQVYIGIEGLERSLGFDPNDPVVPFFLLTGTSATLWVFYRVWAYGGYSGDLSPQLTLELLSGKENVLLIDVRPEVLRERDGIPDLRRAARSRYASVSLPEVNGSIRKLLKSGRDLDDTLIATVIRNLKIVEDRSKVIIMDADGSRSKGIARSLRKLGVKKPYLVQGGFQSWVNQGLRIKELKPETALTILNEEAEAILEEISPSPVQVLGYGVGLVAAIYALLEWEKSLQLIGILGLVLTIYGRVSSYESSEDLKKDIRLLLAPVTFGAQAFSWVSGKLETNGIGLPTSPSSSDVQSRVLQAAAKHESKPSDSEDPSADAMAPRNEKADLSEA >KJB34920 pep chromosome:Graimondii2_0_v6:6:32740664:32746035:1 gene:B456_006G091100 transcript:KJB34920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTVKTLKGSHFEIRVQPNDTVMAVKKNIEDIQGKDNYPCGQQLLIHNGKVLKDETTLADNKVSEDGFLVVMLSKSKSLGSAGTSSAQPASSAPSTTAPVSNPTPAPEVPAQAPDSKSGTSASDAATANPDTDTYSQAASNLVAGSNLEQTIQQLMDMGGGSWDKETVTRALRAAYNNPERAVDYLYSGIPELAEVAVPVPHFPSSQTTESGAAPVAPVSGAPNSSPLNMFPQETLSGAAAGGLGSLDFLRNNQQFQALRSMVQSNPQILQPMLQELGKQNPQLLRLIQEHHAEFLQLINEPLQGSEGDIFDQAEQEMPHAINVTPAEQEAIERLEAMGFDRALVIEAFLACDRNEELAANYLLENSGDFED >KJB34921 pep chromosome:Graimondii2_0_v6:6:32740675:32745770:1 gene:B456_006G091100 transcript:KJB34921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLLSCSARANLWVRLGPRLLSLLHQLRLQLHLFLILPLPQKFLHKHRTQRAVHLLQMLQHPDTDTYSQAASNLVAGSNLEQTIQQLMDMGGGSWDKETVTRALRAAYNNPERAVDYLYSGIPELAEVAVPVPHFPSSQTTESGAAPVAPVSGAPNSSPLNMFPQETLSGAAAGGLGSLDFLRNNQQFQALRSMVQSNPQILQPMLQELGKQNPQLLRLIQEHHAEFLQLINEPLQGSEGDIFDQAEQEMPHAINVTPAEQEAIERLEAMGFDRALVIEAFLACDRNEELAANYLLENSGDFED >KJB36785 pep chromosome:Graimondii2_0_v6:6:43452320:43455600:1 gene:B456_006G176500 transcript:KJB36785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYCKQEEWEKDLEKGLSPRNVPAAVAQPTSPSALRRALVLSSSSKALSASNSSKTLLVSNSSKSLLGSNSGKRFDKKYVKQVTGRHNDTELHLAAQRDNVGYIRQILAEIDSQMMGTVGGAEFDAEVAEIRLAIVNEVNELGETALFIGAAKGYLDVVKELLKHTTEEGLKLKSKSGFDPLHIAANQGHEAIVQLLLDHNPELSKTVGQANATPLVSAATKGHTAVVNVLLRKDPSLLDIPKANGKIPLHFAARQGHVDIVKAFLDTDPQLARKTDKKGQTALHMAVKGVNSEVVRLLLKADSDIAMLPDKFGNTALHVATRKKRIEIVNELLELPNIDVNALSRDHKTAIDIAEGLPLSGEIAEIKENLTNCGAVRANELNRTHDELRKTVKEIKKDVHTQLEQARKTNRNVNGIAVELRKLHRMGVINATNTVTVVAVLFATVAFAAIFTVPGGDYDSGIAVAVKSTSFRMFYFFNAISLFTSLSVVVVQMTVVRGQLKSERRVIEVINKMLWVAAVCTTISFMASSYIVVGKYNEWAAVLVTVIGGIIMAGVLIAMTYYVVKSKRIIKVKEKEKSSRNKTMSNNISDSEPEVNTIYAI >KJB36786 pep chromosome:Graimondii2_0_v6:6:43452658:43455539:1 gene:B456_006G176500 transcript:KJB36786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKEWEKDLEKGLSPRNVPAAVAQPTSPSALRRALVLSSSSKALSASNSSKTLLVSNSSKSLLGSNSGKRFDKKYVKQVTGRHNDTELHLAAQRDNVGYIRQILAEIDSQMMGTVGGAEFDAEVAEIRLAIVNEVNELGETALFIGAAKGYLDVVKELLKHTTEEGLKLKSKSGFDPLHIAANQGHEAIVQLLLDHNPELSKTVGQANATPLVSAATKGHTAVVNVLLRKDPSLLDIPKANGKIPLHFAARQGHVDIVKAFLDTDPQLARKTDKKGQTALHMAVKGVNSEVVRLLLKADSDIAMLPDKFGNTALHVATRKKRIEIVNELLELPNIDVNALSRDHKTAIDIAEGLPLSGEIAEIKENLTNCGAVRANELNRTHDELRKTVKEIKKDVHTQLEQARKTNRNVNGIAVELRKLHRMGVINATNTVTVVAVLFATVAFAAIFTVPGGDYDSGIAVAVKSTSFRMFYFFNAISLFTSLSVVVVQMTVVRGQLKSERRVIEVINKMLWVAAVCTTISFMASSYIVVGKYNEWAAVLVTVIGGIIMAGVLIAMTYYVVKSKRIIKVKEKEKSSRNKTMSNNISDSEPEVNTIYAI >KJB33409 pep chromosome:Graimondii2_0_v6:6:2140001:2141890:-1 gene:B456_006G009700 transcript:KJB33409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGCLENRKKEHDNSQKRPKSYKFGSKSDDFALAIAKVAVAQLCESVGFQGFHLSALETLSDISVRYIYSIGKTANLSANLAGRVEGNVFDIIHGLEDLGSNLGFSGASDVDRCVVSSGVVKDITHFVGVSDFIPFAYDVSGFPVVKESTKTGSFWEKEEVPPGEHIPSWLPAFPDPETYATRLSVENETMGVSNGKKTESELERVERTMDRSLLNLQLRFAHNENKGGSSHGSGYVATESNPYLAAPLHVGEKEVSPVVLPTKLSNEVALRNPVHVDVGNHVSVLETFAPAIEAMKSGLCDSDNGKKKLLYDQRPTVRFKIGIGKKSLGTISDFGSQNEEDLLKIASWFGNDNEKDDKKRRVEKILKESVESSKE >KJB34618 pep chromosome:Graimondii2_0_v6:6:29765762:29768708:1 gene:B456_006G075400 transcript:KJB34618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQCIARLRSRRVVQDQLEGSDTASAKVATGGGGSVCCWANLPHELLRDVLLRIEESETRWPQRRNVVACAGVCRSWRFAIKEIVQVPEISGKLTFPISVKQPGPKECLLQCFIKRNQSTRTYHLYLSLTNALTDDGKFLLAACKCRRPTCTDYIISLRAEDISKGSSTYVGKLRSNFLGTKFSVFDGQSSHAGAKMTKSRSSRLAYSKQVTPRVPFRNYPTAHISYELNMLGSRGPRRMQCIMDTIPTTSIRPGGVAPTPAEFSVNNVNVFPSIPSFHSKSASMKNFLSGPLTHQKDGGALVLRNKSPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGPAGPEHEKIILQFGKVGKDLFTMDYGYPISAFQAFAICLSSFDTKIACE >KJB37327 pep chromosome:Graimondii2_0_v6:6:45694326:45696519:-1 gene:B456_006G199900 transcript:KJB37327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVTPHCRHFSTAKQLKDFASSSSTPKPQPILNEDFCGKILDQYPDIRTLRKLHSKIFNDQHLRFNPSIAIKLMRGYAACGEPKVTRHIFDEITEKNIVFFNVMMRSYVNNRCYHDALLIFRELSTHGFSPDHYTYPCALKACSGSDNLRVGLQIHSSVVKVGLDLNLFIGNALVAMYSKCKCLVQARRAFNQMPIRDVVSWNSMVSGYAQNECFDKALDVCREMELLRIQPDAGTMSSLLPAVTNTSSDNILYVKEMFWKLAKKSVVSWNVMISVFVNNSLSSEAADLYSRMEAYGNEPDSFTIASMLPACGDLSAIFLGRRIHEYIDRKKLLPNLALENALIDMYAKCGCLKEAKAVFDQMNFRDIVSWTSMISAYGMSGQGYNAVALFSKMQNLGLTPDSIAFVSVLSACSHAGLLDQGWYFFNLMTDQHKIVPRVEHFSCMVDLLGRSGQVEEAYNFIRKMPIEPTERIWGTLLGACWMHSNMNIGLLAADHLFRLAPEPSGYYVLLSNIYAKAGRWEDVTTVRSIMKSKGIKKMAGASNTEINNQVYTFLAGDQSHPQSKDIYEKLDFLVGKMKEAGYVPETQSALHDVEEEDKEGHLAVHSEKLAIVFAILNTKSGTPIRITKNLRICRDCHIAAKLISQITEREIIVRDTYRFHHFQKGVCSCGDYW >KJB36922 pep chromosome:Graimondii2_0_v6:6:44019993:44021563:-1 gene:B456_006G182900 transcript:KJB36922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLMSWPEPVVRVQSLSENGIGTIPDRYIKPISDRPALKSEIHDHLEVPVIDLQNLFGKDPALHQETSRRISSACRDWGFFQVVNHGVSHELMKRTRAVWRDFFELPLEVKQQYANSPSTYEGYGSRLGIEKGAILDWSDYFFLNYLPVTLRNQSKWPAQPVSCRELVAKYGAQVTKLCGRLLKAMSINLELKEDYLQNAFGGDEMGACLRANFYPKCPQPELTLGLSSHSDPGAITILLPDPDVAGLQVRKGGKWITVKPFPNAFIVNVGDQIQVLSNANYKSVEHRVIVNSEKDRVSLAFFYNPKSDLLIEPAKELVNEDRPALYKPMTYDEYRLYIRMNGPCGKTQIESMKSNR >KJB35157 pep chromosome:Graimondii2_0_v6:6:34298452:34299117:-1 gene:B456_006G102600 transcript:KJB35157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FTFTNTLNMDLLNKLKAFFVSMCPILLIPHSSHPPPPPPPTPPSPALPMVDLELHQQQPPPSANETLHPDSIFHTLNHSDFQSLPPHTPPLPQQQSLPPIPSHQKLATAQQHLQWRSAVLSFCFSYPMTVLQFQYVQTDQNQPNVSLVVLSFLILLTFKLFLLALFIKPVSTQTSETLEKVGVLVAATAICYAIAIPFPLELKCVVFAVFILFLLLTAFIYF >KJB33653 pep chromosome:Graimondii2_0_v6:6:6292096:6294261:1 gene:B456_006G024400 transcript:KJB33653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKIFFFVLLIQCTVFTGSSRQLKKSNINIDSYGDNTFNVISFGAIGDGKKDDSKGFKRAWDAACDSSTPSPTFLVPQGKTFLLQLLTFNGKHCNSNNITFQIDGRIIAPTKPSTWECNTNCHHWIGFQNFDGLHIQGSGIINGQGDNWWKLSCKDNQKGFMIGHSKNVDMKGLTFEDSPKMHIAFEDSTSIHATQLTIKAPGNSPNTDGIHIQRSTNVSIHNTTIQTGDDCISVGDGSKYISITNIECGPGHGISIGSLGIMGKTEEVEFVHVRNVSFHGTTNGVRIKTWQGGHGHARNIRFEDITSHASTRPIVIDQYYCPHKQCKNQTTAVEISNIAYENINGTSHKETAVQLSCSESSPCRNITMKNINLRNEKQKGKTSSYCLNAHGLRNGRVHPN >KJB37811 pep chromosome:Graimondii2_0_v6:6:47414606:47416610:1 gene:B456_006G221400 transcript:KJB37811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEYIILLQKILVVLFSVTLFWKIIKHMCGLLIIEKEPVTVLVTGAAGQIGYALLPMIARGVMLGPDQPVIIHMLDIEPAAEALNGVKMELIDAAFPLLKDVVATTDVVEACQGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEQHAAPDCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISEKLNVHVSDVRNAIIWGNHSSTQYPDINHATVKTTNGEESVRDAIANDNWLNTEFITTVQQRGATIIKARKLSSALSAASAACDHIRDWVIGTPKGTWVSMGVYSDGSYGIQPGIIYSFPVTCEKGQWSIVNGLKIDELSREKMDATAKELMEEKTLAYSCLIDD >KJB38300 pep chromosome:Graimondii2_0_v6:6:49219789:49224147:1 gene:B456_006G247500 transcript:KJB38300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSFPLHECHVNKVTIIINRTHAILHSIAILLLIHYRLSFFFQHPQNITIPTLPWLLIFVSELILSFAWFLQQACRWRQVYRTVFPERLPADDKLPAIDVFICTADPNKEPSVEVMNTVISAMALDYPPEKLHVYISDDAGSDATLRCTKEAWNFAKYWVPFRRKYGLGTACPDVYFSSSENDNGDYKGSEFKAERKKMEEKYEVLKQRLRKIVEGQFPTNVAINNTRDHPSVIEVINKEEDEVKIPQLIYVSREKRPSHNHNFKAGALNVLLRVSAMISNSPYILALDCDMYCNDPTSARKAMCFYCDSQTPSSLAFVQFPQTFRNISQDDIYDNQVRFAFKVHWYGFDGVGGPIITGSNFCIKREALLGSFNKEQDYMALKSLFGPSNDFIKTLVEDYKPCFIKDGESSRMSLENANILASCSYENQTVWGSKVGFLYFSVSEDYFTGLNLHRKGWKSVYLNPERLQFLGTSTTNFNDSLIQWTRWTSGPVTVALSRFCPLIYGPLKMSLVQLLCYSELAFMPLLNCLSLWGFAVIPQLCLFNGIPLYPKVSDPNFNIFSIILVSSISKSLYEVVTTGEQIKVWRNEWRIWMMRSVTSYTYGCLDVILNKLGMKEATFLPTNKVTDDEQVKLYEMGVFDFRTATMFLAPLVTVILINIAAFVGAVVKALVVDDDGDQYWEKMFGQMFLSFFILISNFAVIEGMIIRRDKAKIPLSSTLWSVVFSMLILLIGSVILC >KJB33959 pep chromosome:Graimondii2_0_v6:6:11376680:11379317:-1 gene:B456_006G040500 transcript:KJB33959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALVSFILGQLATITFETAWQELKLVIGVEEEVRKLQSNFEVIQEVVEDAEVKQVMDKSVKRWIDKLKDVAYDMEDVLDEWTTALSKLKPDEAESASVPKKKVRLSRLPFNLGGQVVRGYDIGDKIRRINVELDEIAREKDRNHFARSEITQPRRLERTTAYVDVSEIKGRNDVRDNIVSKLTSDEGSIQTVSIIGMCGIGKTALAQLVVNHVKGQNSFDDVVWVCVSDFFDQIKIAREILEGLGCGSSRDLISLQGLLDNIAEKVRDRKVFIVFDDVWTEREADWEALRAALQHCKHGIRILVTTRKESVVKVIRSSHVFRLELLSDEICWEILKSKAFFGRGESERRDLEDVGMRIAKKCKGLALAAKELGSLLRQKRRRVEWERVLNSGFWELEIAEEYIFRPLLLSYYDLPSTIRRCLLYCAIFPKDYVMGKDELIVHWKMQGFLNSVDDDSEMELKGEEYFEYLADRSLFQDLERDDYGNIFVGKMHDLVHDCLLFMTKDEIVTKEVDSKETWNLDLVSKRARHSSIKISQPNSFPICINGVEKLRTLITIGESYDVTSEGLKKLFSEAKCLRLLDFQLPRIRKVSEEIQVPEEIGNLIHLRYLTFLSYQSLELPESVCDLRNLEYLNIVNCSELPKEMEKLINLKYLYTRDCNRLSHYPKGIGRLTSLQRLDRIIARVDCNHTKDFSVGDFQNLDLLRGDLWLELEGNWINIEEVERAKLHKKIHLMELRVVFRSNNAGHEMKDNFIKALNPRPNFHIHFFGI >KJB37918 pep chromosome:Graimondii2_0_v6:6:47772009:47778988:-1 gene:B456_006G226300 transcript:KJB37918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIYLRSLHSQFLFRKQLTFRPNTAIFYPRISFSFPFSPGINRRFNPYKPLVFAVSAAPTIEEEKTRMKLSAPGKVCLNICLDHQVKYGEHVVFLGSAKELGSWKKQVPMSWSERGWVCDFEFDGGQSAEFKFVIVGNDKTLVWETGNNRILKLPEGGSYVIVCHWNSTGEPVKLLPLGLEDYRDRVEGVGHKPTVDASEVGTSPFVGQWQGRAASFIQSNEHHDREVERKWDTTGLKGFALKLVEEDKNARNWWRKLEVVRELIHESLEIGELLEALICSAVYLKWVNTGQIPCFEDGGHHRPNKHAEISRDIFCELEKITCRKDTSPQELLVIRKIHPCLPSFKAEFTAPVPLTRIRDIAHRNDISHDLKQEIKHTIQNKLHRNAGPEDLVSTEAMLARITKNPGEYSEAFIEQFKIFHQELKDFFNAGSLTEQLESLRESLDERGVSALAAFLECKKSLDAAAESNSVLDLIKTMLSLSALRQVIVKGLESGLKNDASDAAIAMRQKWRLCEIGLEDYTFFLLSRLHNTHEAMGGTNWLADNLDTKKASSWNNPLRSLILGVHQLGLSGWKPGECAAIGSELTAWQEKGLLDKEGSEDGKRIWALRLKATLDRTRRLTEEYSDALLQIFPKKVQMLGKALGIPENSIRTYAEAEIRAGVIFQVSKLCTFLLKAVRTALGSQGWDVLVPGSVSGTLVQVETIVPGSLPSSLEGPVILMVNKAEGDEEVTTAGSNITGVILLQELPHLSHLGVRARQEKVVFVTCEDEEQVSDIQKHAGKYMRLEASSSGVNLSPSSNDRDAVSFKKNLSNNGSSAAKGVAVKVPAFNKGNSSLRLIPLAEADVLTSGAKAAACSRLASLAAASNTGIGI >KJB37917 pep chromosome:Graimondii2_0_v6:6:47770490:47778988:-1 gene:B456_006G226300 transcript:KJB37917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIYLRSLHSQFLFRKQLTFRPNTAIFYPRISFSFPFSPGINRRFNPYKPLVFAVSAAPTIEEEKTRMKLSAPGKVCLNICLDHQVKYGEHVVFLGSAKELGSWKKQVPMSWSERGWVCDFEFDGGQSAEFKFVIVGNDKTLVWETGNNRILKLPEGGSYVIVCHWNSTGEPVKLLPLGLEDYRDRVEGVGHKPTVDASEVGTSPFVGQWQGRAASFIQSNEHHDREVERKWDTTGLKGFALKLVEEDKNARNWWRKLEVVRELIHESLEIGELLEALICSAVYLKWVNTGQIPCFEDGGHHRPNKHAEISRDIFCELEKITCRKDTSPQELLVIRKIHPCLPSFKAEFTAPVPLTRIRDIAHRNDISHDLKQEIKHTIQNKLHRNAGPEDLVSTEAMLARITKNPGEYSEAFIEQFKIFHQELKDFFNAGSLTEQLESLRESLDERGVSALAAFLECKKSLDAAAESNSVLDLIKTMLSLSALRQVIVKGLESGLKNDASDAAIAMRQKWRLCEIGLEDYTFFLLSRLHNTHEAMGGTNWLADNLDTKKASSWNNPLRSLILGVHQLGLSGWKPGECAAIGSELTAWQEKGLLDKEGSEDGKRIWALRLKATLDRTRRLTEEYSDALLQIFPKKVQMLGKALGIPENSIRTYAEAEIRAGVIFQVSKLCTFLLKAVRTALGSQGWDVLVPGSVSGTLVQVETIVPGSLPSSLEGPVILMVNKAEGDEEVTTAGSNITGVILLQELPHLSHLGVRARQEKVVFVTCEDEEQVSDIQKHAGKYMRLEASSSGVNLSPSSNDRDAVSFKKNLSNNGSSAAKGVAVKVPAFNKGNSSLRLIPLAEADVLTSGAKAAACSRLASLAAASNTASFRVPAGVVIPFGSMDLALEENKSTKKFMTLLQKIETEGVENDALDKLCKQLQLLVSSLHLSDDIFDSIMSMFPDEARLIVRSSANVEDLAGMSAAGLYESIPNVSLSNPTVFSDAVSQVWASLFTRRAVLSRRAAGISQKDATMAVLVQEMLLPDLSFILHTLSPTEQDHNIVEAEIAPGLGETLASGTRGTPWRVLSGKFDRTVRTLAFANFSEEMAVSGADPADGEVHHLTVDYSKKPLTVDPKFRQQFSQHLGAIGLFLEQKFGCPQDVEGCLLGEDIYVVQTRPQPH >KJB34234 pep chromosome:Graimondii2_0_v6:6:20145856:20149376:1 gene:B456_006G054800 transcript:KJB34234 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 1 [Source:Projected from Arabidopsis thaliana (AT3G24050) UniProtKB/Swiss-Prot;Acc:Q8LAU9] MEAFDMAACFEDNLLDFASDVGEEDEDKEHNKKSSTSSSSLNPNNSCFSEFAEEELEWLSNKDAFPAVETSFVDVLGTATKHQSSLTLTNGNVVMYCFGNVKIPVKARSKRLRKCRDLRDHEKNWWVHENVKTSNATAKGNRWRTMGRKCQHCGAEKTPQWRAGPLGPKTLCNACGVRYKSGRLVPEYRPASSPTFSSKLHSNSHRKILEMRRHKQLGFPSMKPMDKRL >KJB34235 pep chromosome:Graimondii2_0_v6:6:20145979:20147952:1 gene:B456_006G054800 transcript:KJB34235 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 1 [Source:Projected from Arabidopsis thaliana (AT3G24050) UniProtKB/Swiss-Prot;Acc:Q8LAU9] MEAFDMAACFEDNLLDFASDVGEEDEDKEHNKKSSTSSSSLNPNNSCFSEFAEEELEWLSNKDAFPAVETSFVDVLGTATKHQSSLTLTNGNVVMYCFGNVKIPVKARSKRLRKCRDLRDHEKNWWVHENVKTSNATAKGNRWRTMGRKCQHCGAEKTPQWRAGPLGPKTLCNACGVRYKSGRLVPEYRPASSPTFSSKLHSNSHRKILEMRRHKQLGFPSMKPMDKR >KJB35952 pep chromosome:Graimondii2_0_v6:6:38985942:38987487:-1 gene:B456_006G134700 transcript:KJB35952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSYLPANFGFMASRMLLFGLFMVSLYTTSAQIGVCYGQLGNNLPSRPEVISLFNQRNIRRMRLYAPDQPSLQALRGTNIELMLGVPNSDLQRIAASQANANIWVQNNVRNFGNVKFRYIAVGNEVQPSDPAAQFLVPAMQNIRNAIVAAGLGNQIIVSTAIDTGALGESYPPSKGSFRPQYRPLLDPIIRFLVNNRAPLLANVYPYFSYDANSVISLDYAVFRSQSPVVSDPPLLYRNLFDAILDAIYAALEKAGGGSLEVVVSESGWPSAGGGARGATNIDNARTYNQNLIQHVKGGTPKRPGRPIETYIFAMFDENTKQGKEIERHWGLFFPNKQPKYPINFN >KJB33625 pep chromosome:Graimondii2_0_v6:6:5981603:5981889:-1 gene:B456_006G022700 transcript:KJB33625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFKVVQNVLQGSKYCEMNLNGALHFNAMLYHCTSDSDKEVLPHEWYEKAFSKLTRLAHLLKDVDSVDGRLVNANDNSIIISDRIEHRMNAFKS >KJB36470 pep chromosome:Graimondii2_0_v6:6:42013755:42015358:-1 gene:B456_006G160600 transcript:KJB36470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTAKQLHFVLIPLMAQGHMIPMVDMARLLADRGVIVSLITTPRNASRFDTVIERASESGLRVRLVKIPFPCQEVGLPIGCENLDTLCSRDLLKKFYNALDLWREPLEQFLEQQKPQPSCIISDKCLSWTSETAQKFNIPRIVFHGMGCFSLLSSHNVKLHKAHLFVASDSERFRVPGLPQKVEITRAQLPGTFVSLPDLDDTRNKMQEAEMNAYGVVINSFNELEHGCVNEYQKAIKKKVWAIGPVSLCNRINLDKFERGNKASINDNKCLKWLDSMKTRSVIYACLGSLCRLVSAQLIELGLGLEASQQPFVWVVKTGNQRANDELEKWFSEHNFEEKIKGRGLIIKGWAPQVLILSHPAIRGFLTHCGWNSTLEAVCSGVPMITWPQFSEQFFNEKLIVEILKIGVGVGVEIPVRWGEEEKLGVLVRKEQIVTAIDMLMNGGEEGEKRRMRARELAEMATKAVENGGSSHLNLSHLVQDILEQVNQL >KJB34299 pep chromosome:Graimondii2_0_v6:6:21519674:21520300:-1 gene:B456_006G058100 transcript:KJB34299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSFLRPLIVFLIFIISVLAIQITPVSAELKVSTQPQGDKRMPNPPPTPARNMLNRIHLLLIITIPNGHLLLYVWHLSLQLEYGLFHYLVQRGMMIRLSFTC >KJB34301 pep chromosome:Graimondii2_0_v6:6:21580835:21585308:1 gene:B456_006G058300 transcript:KJB34301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLPFQSILHFDSLNPSRSDPVPEFPYSFRRRRTRSPALTKAAFKRNVDYKNDKEKKPNICTADELHYVHVDKSEWKVALWRYLPSPQAPTRNHPLMLLSGVGTNAIAYDLSPESSFARFMCGQGYDTWILELRGAGLSTQGMDFGQYKDPLDSISQRKEFYGKGTDYKSFSETEKRYAFSSQITDLIEKLVNIIEEAERSSPVRSFDMQNSISTALKDMQKQLDLISKYDWDFDNYLEEDVPAAMEYIKDKSEPKDGKLLAIGHSMGGILLYAMLSRCGYEGKDSGLAAVTTLASSLDYMSSRSSLKLLLPLANPAQVLNVPVIPIGTLLAAAHPFAANPPYLLSWLSPQISAPDMLQPKLFEKLVTENFETVPAKLLLQLATAFEEGGLRDRSGTFFYKNHLSKSNVPVLAIAGDQDLICPPDAVYVCNDINRAETVKLIREPLVTYKVFGGPGGPHFAHYDIVGAQRAVDLVYPCIIEFLNHHDAA >KJB34302 pep chromosome:Graimondii2_0_v6:6:21580835:21585317:1 gene:B456_006G058300 transcript:KJB34302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLPFQSILHFDSLNPSRSDPVPEFPYSFRRRRTRSPALTKAAFKRNVDYKNDKEKKPNICTADELHYVHVDKSEWKVALWRYLPSPQAPTRNHPLMLLSGVGTNAIAYDLSPESSFARFMCGQGYDTWILELRGAGLSTQGMDFGQYKDPLDSISQRKEFYGKGTDYKSFSETEKRYAFSSQITDLIEKLVNIIEEAERSSPVRSFDMQNSISTALKDMQKQLDLISKYDWDFDNYLEEDVPAAMEYIKDKSEPKDGKLLAIGHSMGGILLYAMLSRCGYEGKDSGLAAVTTLASSLDYMSSRSSLKLLLPLANPAQVLNVPVIPIGTLLAAAHPFAANPPYLLSWLSPQISAPDMLQPKLFEKLVTENFETVPAKLLLQLATAFEEGGLRDRSGTFFYKNHLSKSNVPVLAIAGDQDLICPPDAVYETVKLIREPLVTYKVFGGPGGPHFAHYDIVGAQRAVDLVYPCIIEFLNHHDAA >KJB34303 pep chromosome:Graimondii2_0_v6:6:21580835:21585317:1 gene:B456_006G058300 transcript:KJB34303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLPFQSILHFDSLNPSRSDPVPEFPYSFRRRRTRSPALTKAAFKRNVDYKNDKEKKPNICTADELHYVHVDKSEWKVALWRYLPSPQAPTRNHPLMLLSGVGTNAIAYDLSPESSFARFMCGQGYDTWILELRGAGLSTQGMDFGQYKDPLDSISQRKEFYGKGTDYKSFSETEKRYAFSSQITDLIEKLVNIIEEAERSSPVRSFDMQNSISTALKDMQKQLDLISKYDWDFDNYLEEDVPAAMEYIKDKSEPKDGKLLAIGHSMGGILLYAMLSRCGYEGKDSGLAAVTTLASSLDYMSSRSSLKLLLPLANPAQVLNVPVIPIGTLLAAAHPFAANPPYLLSWLSPQISAPDMLQPKLFEKLVTENFGRRSSVSLYN >KJB37353 pep chromosome:Graimondii2_0_v6:6:45813944:45814726:1 gene:B456_006G201300 transcript:KJB37353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYRGPRLKKVRCLGALLGLTSKRPRAGSDLRNQSCPGKKSQYRIRLEEKQKLRFHYDLTERQLLKYIRIARKAKGSTGQVLLQLLEMRLDNILFRLGMASTIPQACQLVNHRHILVNGRTVDIPSYRCKPRDIISARDEQKSRTLIQNYLVSSTNEELPKHLPFHTLQYKGLVNQIIDRK >KJB34625 pep chromosome:Graimondii2_0_v6:6:29827107:29834683:-1 gene:B456_006G075900 transcript:KJB34625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNERKTIDLEQGWEFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRELVYQELNAKVRDAVISLIDQEREGEQIDRALLKNVLYIFVEIGMGQMDYYENDFEATMLKDTAAYYSRKAANWIVDDSCPDYMLKSEECLKCEKDRVSHYLHSSSEPKLLEKVQHELLSVWETRLLDKEHSGCHALLRDDKVDDLSRMFRLFSKIPRGLDPISSIFKQHVTAEGTALVKQAEDAASTKKADKKDVVGMQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEVFCNKGVVGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQASFEEYLSNNPNANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFRDFYQTKTKHRKLTWIYSLGTCNLIGKFEPKMMELIVTTYQASALLLFNSSDRLSYSEIMTQLNLTDDDVIRLLHSLSCAKYKILNKEPSTKTISPTDHFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDNDNPNTFRYLA >KJB34626 pep chromosome:Graimondii2_0_v6:6:29827755:29834556:-1 gene:B456_006G075900 transcript:KJB34626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNERKTIDLEQGWEFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRELVYQELNAKVRDAVISLIDQEREGEQIDRALLKNVLYIFVEIGMGQMDYYENDFEATMLKDTAAYYSRKAANWIVDDSCPDYMLKSEECLKCEKDRVSHYLHSSSEPKLLEKVQHELLSVWETRLLDKEHSGCHALLRDDKVDDLSRMFRLFSKIPRGLDPISSIFKQHVTAEGTALVKQAEDAASTKKADKKDVVGMQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEVFCNKGVVGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQASFEEYLSNNPNANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFRDFYQTKTKHRKLTWIYSLGTCNLIGKFEPKMMELIVTTYQASALLLFNSSDRLSYSEIMTQLNLTDDDVIRLLHSLSCAKYKILNKEPSTKTISPTDHFEFNSKFTDKMRRIKVTF >KJB34800 pep chromosome:Graimondii2_0_v6:6:31818973:31819905:1 gene:B456_006G084400 transcript:KJB34800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSTTFLLFLLSLATLTLSQLNDVYFQHDCSDTSGNYTANRPYETNLNTIISRFATLTDFNYGFFNLSAGQSPDKVYSIALCRGDTNQDACNTCLNYTATELKQFCSRNKAATAWSQFCLVRYANRDLYGQLENDPRTCAFNPMNASNPDQFNQTLNELLNELSAEAAAGGPLRKYAAGNATVGSSQTVYATMQCTPDMDEQNCSTCLNFAINEYQSCCSTRLGCRVLRPNCVLRFETNQFYNQTAVPLPSPPPSPTTSPSPTASFSPTSPPTSMASLEFPAFGFVFALFLTLSSMLLLN >KJB36245 pep chromosome:Graimondii2_0_v6:6:40667752:40670026:-1 gene:B456_006G148200 transcript:KJB36245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTQHHPTVFIASGSQNKHNAEYDVKVHGVIQSLGEACDLIICNNRCSNIWGTLVIEFSYFGWHIYDETHHLPDETSYLVSRGGFIQVRILTMKMFDANKHEFTCIRFDFNFGLASSVADS >KJB35131 pep chromosome:Graimondii2_0_v6:6:34202736:34204877:1 gene:B456_006G101700 transcript:KJB35131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNLLLTPQPLAFSKFSVSTKKVAINSQRATRFVAKASGGEEKNDEKGFTPFGFVTDNPSSRSAIQLPESPAEDGNVGQMLYRIEDKGKDYGSYIKSGKLRWFVRETGSPESRRGTVVFLHGAPTQSYSYRVVMSQMSDAGFHCFAPDWIGFGFSDKPQPGYGFDYTEKEFHEALDKLLDVLEVKSPFFLVVQGFVVGSYGLTWALKNPSKISKLAILNTPLTVSSPVPGLFQQLRIPLFGEFTSQNAVIAERFIEAGSPYVLKLEKADVYRLPYLASSGPGFVWCFSIT >KJB35128 pep chromosome:Graimondii2_0_v6:6:34202638:34205636:1 gene:B456_006G101700 transcript:KJB35128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNLLLTPQPLAFSKFSVSTKKVAINSQRATRFVAKASGGEEKNDEKGFTPFGFVTDNPSSRSAIQLPESPAEDGNVGQMLYRIEDKGKDYGSYIKSGKLRWFVRETGSPESRRGTVVFLHGAPTQSYSYRVVMSQMSDAGFHCFAPDWIGFGFSDKPQPGYGFDYTEKEFHEALDKLLDVLEVKSPFFLVVQLRIPLFGEFTSQNAVIAERFIEAGSPYVLKLEKADVYRLPYLASSGPGFALLEAARKINFRDISSQIADGFASGRWDKPVLVAWGLADKYLPQTIAEEFRKQNLSSVELKLIEGAGHMPQEDWPEKVVDALRMFF >KJB35130 pep chromosome:Graimondii2_0_v6:6:34202638:34205636:1 gene:B456_006G101700 transcript:KJB35130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAGFHCFAPDWIGFGFSDKPQPGYGFDYTEKEFHEALDKLLDVLEVKSPFFLVVQGFVVGSYGLTWALKNPSKISKLAILNTPLTVSSPVPGLFQQLRIPLFGEFTSQNAVIAERFIEAGSPYVLKLEKADVYRLPYLASSGPGFALLEAARKINFRDISSQIADGFASGRWDKPVLVAWGLADKYLPQTIAEEFRKQNLSSVELKLIEGAGHMPQEDWPEKVVDALRMFF >KJB35129 pep chromosome:Graimondii2_0_v6:6:34202638:34205636:1 gene:B456_006G101700 transcript:KJB35129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNLLLTPQPLAFSKFSVSTKKVAINSQRATRFVAKASGGEEKNDEKGFTPFGFVTDNPSSRSAIQLPESPAEDGNVGQMLYRIEDKGKDYGSYIKSGKLRWFVRETGSPESRRGTVVFLHGAPTQSYSYRVVMSQMSDAGFHCFAPDWIGFGFSDKPQPGYGFDYTEKEFHEALDKLLDVLEVKSPFFLVVQGFVVGSYGLTWALKNPSKISKLAILNTPLTVSSPVPGLFQQLRIPLFGEFTSQNAVIAERFIEAGSPYVLKLEKADVYRLPYLASSGPGFALLEAARKINFRDISSQIADGFASGRWDKPVLVAWGLADKYLPQTIAEEFRKQNLSSVELKLIEGAGHMPQEDWPEKVVDALRMFF >KJB35127 pep chromosome:Graimondii2_0_v6:6:34202548:34206296:1 gene:B456_006G101700 transcript:KJB35127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNLLLTPQPLAFSKFSVSTKKVAINSQRATRFVAKASGGEEKNDEKGFTPFGFVTDNPSSRSAIQLPESPAEDGNVGQMLYRIEDKGKDYGSYIKSGKLRWFVRETGSPESRRGTVVFLHGAPTQSYSYRVVMSQMSDAGFHCFAPDWIGFGFSDKPQPGYGFDYTEKEFHEALDKLLDVLEVKSPFFLVVQGFVVGSYGLTWALKNPSKISKLAILNTPLTVSSPVPGLFQQLRIPLFGEFTSQNAVIAERFIEAGSPYVLKLEKADVYRLPYLASSGPGFALLEAARKINFRDISSQIADGFASGRWDKPVLVAWGLADKYLPQTIAEEFRKQNLSSVELKLIEGAGHMPQEDWPEKVVDALRMFF >KJB33365 pep chromosome:Graimondii2_0_v6:6:1672655:1676747:-1 gene:B456_006G008100 transcript:KJB33365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKKIEGQRLVMKERIVGDNESDAIGGKEIRNLDHALSQFVYEGDHGEEKVLKEPEIVRKRNGKRKKGYVQVRKVSPYFQGNCERQLKSITQVVYKGCSNEKLLKEGENFSKQNRKQRRMDAEVVKVSPYFQSSEEKQKKTSENQKIKPRVLKQSPYFQKNNESLRKPRKTDEVKPLLSASQKRDEAYQRKTVDNTWIPPRSDAPLLQEDHTHDPWRVLVICMLLNRTTGNQTRKVLSDFFTVCPDAKTATEVATEEIEKAIKTLGLQRKRAEMIQRMSQEYLWKEWTHVTELHGVGKYAADAYAIFCTGKGDRVTPTDHMLNHYWNFLYGPKNTSI >KJB33366 pep chromosome:Graimondii2_0_v6:6:1675148:1676608:-1 gene:B456_006G008100 transcript:KJB33366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKKIEGQRLVMKERIVGDNESDAIGGKEIRNLDHALSQFVYEGDHGEEKVLKEPEIVRKRNGKRKKGYVQVRKVSPYFQGNCERQLKSITQVVYKGCSNEKLLKEGENFSKQNRKQRRMDAEVVKVSPYFQSSEEKQKKTSENQKIKPRVLKQSPYFQKNNESLRKPRKTDEVKPLLSASQKRDEAYQRKTVDNTWIPPRSDAPLLQEDHTHDPWRVLVICMLLNRTTGNQVSFFQSNILFKYCVSLLNNACCGTFSYAVALLSFLSGLWFPFICLFI >KJB32958 pep chromosome:Graimondii2_0_v6:6:957619:957877:-1 gene:B456_006G0049001 transcript:KJB32958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILLDGAESLDILQHWVVELFSEIRQGSQGKPEFKVEGPVWKAGKLYRLEAVKDVHILELRWALPCLLQAYLQKPEDYLAHLLGH >KJB32959 pep chromosome:Graimondii2_0_v6:6:957619:957877:-1 gene:B456_006G0049001 transcript:KJB32959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILLDGAESLDILQHWVVELFSEIRQGSQGKPEFKVEGPVWKAGKLYRLEAVKDVHILELRWALPCLLQAYLQKPEDYLAHLLGH >KJB32960 pep chromosome:Graimondii2_0_v6:6:957619:957877:-1 gene:B456_006G0049001 transcript:KJB32960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILLDGAESLDILQHWVVELFSEIRQGSQGKPEFKVEGPVWKAGKLYRLEAVKDVHILELRWALPCLLQAYLQKPEDYLAHLLGH >KJB32962 pep chromosome:Graimondii2_0_v6:6:957619:957877:-1 gene:B456_006G0049001 transcript:KJB32962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILLDGAESLDILQHWVVELFSEIRQGSQGKPEFKVEGPVWKAGKLYRLEAVKDVHILELRWALPCLLQAYLQKPEDYLAHLLGH >KJB32961 pep chromosome:Graimondii2_0_v6:6:957619:958033:-1 gene:B456_006G0049001 transcript:KJB32961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILLDGAESLDILQHWVVELFSEIRQGSQGKPEFKVEGPVWKAGKLYRLEAVKDVHILELRWALPCLLQAYLQKPEDYLAHLLGH >KJB37911 pep chromosome:Graimondii2_0_v6:6:47742848:47744249:-1 gene:B456_006G225900 transcript:KJB37911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPQVPGEPTQTTTSLVETATGAIQSFRPIKQIHQHLCAFHFYGYDMTRQVQAHHFCAHQNEEMRQCLIYDNPEADAKLIGLEYIISENLFLTLPDEEKPLWHSHLYEVKSGVLFMPRVPGPIERQDLEKVCKTYGKTIHFWQIDKGDNLPLGLPQLMMTLTRDGQLDDELARAVEKRFGVSFEKERAKRADMAGPTHGIHPLANGGGKGLITKLRELHCNRTDPSFASSQL >KJB35718 pep chromosome:Graimondii2_0_v6:6:37694258:37694772:-1 gene:B456_006G1253001 transcript:KJB35718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPGKKVVDVAFKASKNIDWEGMAKLLVSDEARKEFATLRRTFDEVNSTLQTKFSQSCYLKQMYSPMRDSWNLFFFIFKEILVLFKVSVLS >KJB37278 pep chromosome:Graimondii2_0_v6:6:45424125:45426819:1 gene:B456_006G196900 transcript:KJB37278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERRAADKKKAPPKAKWPVLQPKLNLQITRLKDKDLFTVQNFFTPAESKAFIKAAESAGFEHQGSLGPAKGEAYRDNDRIAVNDPALADMVWQSGLSKLLSDIKIRGKAAVGLNPNIRFYRYKVGQHFGQHIDESVDLGEGKHTVYTLLVYLSGAAKTKDKSDSNNMKDSASEPIVGGETVFYGSRNRVVAEATPAEGMALLHIHGDKCMLHEARNVSKGIKYVFRSDVVFA >KJB37277 pep chromosome:Graimondii2_0_v6:6:45424125:45426819:1 gene:B456_006G196900 transcript:KJB37277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERRAADKKKAPPKAKWPVLQPKLNLQITRLKDKDLFTVQNFFTPAESKAFIKAAESAGFEHQGSLGPAKGEAYRDNDRIAVNDPALADMVWQSGLSKLLSDIKIRGKAAVGLNPNIRFYRYKVGQHFGQHIDESVDLGEGKHTVYTLLVYLSGAAKTKDKSDSNNMKDSASEPIVGGETVFYGSRNRVVAEVAPAEGMALLHIHGDKCMLHEARNVSKGIKYVFRSDVVFA >KJB37279 pep chromosome:Graimondii2_0_v6:6:45424134:45426725:1 gene:B456_006G196900 transcript:KJB37279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERRAADKKKAPPKAKWPVLQPKLNLQITRLKDKDLFTVQNFFTPAESKAFIKAAESAGFEHQGSLGPAKGEAYRDNDRIASGLSKLLSDIKIRGKAAVGLNPNIRFYRYKVGQHFGQHIDESVDLGEGKHTVYTLLVYLSGAAKTKDKSDSNNMKDSASEPIVGGETVFYGSRNRVVAEVAPAEGMALLHIHGDKCMLHEARNVSKGIKYVFRSDVVFA >KJB35711 pep chromosome:Graimondii2_0_v6:6:37662569:37666264:-1 gene:B456_006G124900 transcript:KJB35711 gene_biotype:protein_coding transcript_biotype:protein_coding description:DELTAVPE [Source:Projected from Arabidopsis thaliana (AT3G20210) UniProtKB/TrEMBL;Acc:A0A178VB13] MMCYYRLFVLLALSSFVVESRHLKGNSYELSSFHRSRINATIEPKIWAVLVAGSNGFVNYRHQADICHAYQILKNGGLEDENIIVFMYDDIAFDVENSRPGVIINKPDGDNVYKGVPKDYTGEDVNVDNLLAAILGNKTGVRGGSGKVVESGPNDHIFIYYADHGGAGILGMPSGVDLTAKDLMNTLKKKHAAKSYKSMVIYVEACESGSIFEGLLPKNMNIYAITAANANESSWGTYCPGEFPSPPSDLDTCLGDLFSISWMEDSDIHDLRKETLDQQYQRRTAVDDMVGASHVMQYGNKTIAKQFVFNYMGANPKNDYYSPSSDDDSSLTTTPSIVSQHDATPLHFWHKFRNAPEGSPKKTEAHKQLMDELSLRKHIDESVNQIISVVFGQEKVPEMLNTVQSAGNPLVHDWDCFKMLVNSFKKQCGSTSRYEMKYSRAFANMCNAGVHINHATQAITQACSTNSPPP >KJB35710 pep chromosome:Graimondii2_0_v6:6:37662506:37666266:-1 gene:B456_006G124900 transcript:KJB35710 gene_biotype:protein_coding transcript_biotype:protein_coding description:DELTAVPE [Source:Projected from Arabidopsis thaliana (AT3G20210) UniProtKB/TrEMBL;Acc:A0A178VB13] MMCYYRLFVLLALSSFVVESRHLKGNSYELSSFHRSRINATIEPKIWAVLVAGSNGFVNYRHQADICHAYQILKNGGLEDENIIVFMYDDIAFDVENSRPGVIINKPDGDNVYKGVPKDYTGEDVNVDNLLAAILGNKTGVRGGSGKVVESGPNDHIFIYYADHGGAGILGMPSGVDLTAKDLMNTLKKKHAAKSYKSMVIYVEACESGSIFEGLLPKNMNIYAITAANANESSWGTYCPGEFPSPPSDLDTCLGDLFSISWMEDSDIHDLRKETLDQQYQLVRRRTAVDDMVGASHVMQYGNKTIAKQFVFNYMGANPKNDYYSPSSDDDSSLTTTPSIVSQHDATPLHFWHKFRNAPEGSPKKTEAHKQLMDELSLRKHIDESVNQIISVVFGQEKVPEMLNTVQSAGNPLVHDWDCFKMLVNSFKKQCGSTSRYEMKYSRAFANMCNAGVHINHATQAITQACSTNSPPP >KJB33725 pep chromosome:Graimondii2_0_v6:6:7187923:7190098:1 gene:B456_006G027800 transcript:KJB33725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRNEWKELVIPCIAMVAVECSNVTGGILFKAASFKGMSYFVFTAYSYLLSTLVFLFIAALFKRKTLFPPLKFPLFSRIFLLGLLGFSGQLCLFKGIQLSSPTLASALGNLTPALTFMLAVFFRIEKVAIRSSSCQAKIIGTFTSIFGALVITFYKGPKLFSLSSSVSLQRPLVFMSYESNWIIGGLLEAIAYLILSLAYIIQSQVMKIYPEEITVSLFSGLFGTIISLPICILAEPNLSSWKLSSNVAVVAVLYTVYTYGAYA >KJB33726 pep chromosome:Graimondii2_0_v6:6:7187923:7190098:1 gene:B456_006G027800 transcript:KJB33726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRNEWKELVIPCIAMVAVECSNVTGGILFKAASFKGMSYFVFTAYSYLLSTLVFLFIAALFKRKTLFPPLKFPLFSRIFLLGLLGFSGQLCLFKGIQLSSPTLASALGNLTPALTFMLAVFFRIEKVAIRSSSCQAKIIGTFTSIFGALVITFYKGPKLFSLSSSVSLQRPLVFMSYESNWIIGGLLEAIAYLILSLAYIIQSQVMKIYPEEITVSLFSGLFGTIISLPICILAEPNLSSWKLSSNVAVVAVLYTGLFGLCFALGVHVWGIRLMGPVFVASSKPTSVVIAVVMSAIFLGEAVFLGSVFGTLILSTGLYSVLWGKAREEEEELIDDSNTINGRVPLLQSQQS >KJB37249 pep chromosome:Graimondii2_0_v6:6:45281195:45283119:1 gene:B456_006G195800 transcript:KJB37249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >KJB37248 pep chromosome:Graimondii2_0_v6:6:45281681:45283085:1 gene:B456_006G195800 transcript:KJB37248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >KJB37247 pep chromosome:Graimondii2_0_v6:6:45281264:45283085:1 gene:B456_006G195800 transcript:KJB37247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >KJB33795 pep chromosome:Graimondii2_0_v6:6:8129011:8134006:1 gene:B456_006G031200 transcript:KJB33795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATASSADPTFAPPDPTLPKPWKGLVDGKTGYLYFWNPMTNVTQYERPTIVDSVPKFSPMRSSIQIQQYSEVRYGYNPDKENDRYGRGNNDASKSEPVSRFNQNASGGPILSHNTPNGTRGSVVGGSSAGRHGLVAEGSNLSGDAYRHQHEITVTGDEVPSPFSSFEATGFSSEILREVHSAGFSAPTPIQAQSWPIALQGRDIVAIAKTGSGKTLGYLIPGFMHLKQCRKDPRMGPTVLVLSPTRELATQILDEALKFGKSSRISCTCLYGGAPKGPQLREIERGVDIVVATPGRLNDILEMSRISLRQVSYLVLDEADRMLDMGFEPQIRKIVKEVPSCRQTLMYTATWPKEVRKIAADLLGNPVQVNIGNIDELVANKSITQYVEVLSPMEKHRRLEQILRSQEPGSKIIVFCSTKKMCDQLARNLSRQFGAAAIHGDKSQADRDYVLNQFRTGRSPVLVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGLAYTFFADQDSKHASDLIKVLEGANQLVPAELRDMASRGGLMGRPRRWAPSSGGFGRGRGGRTDLGYGGWDSGRGGRGISTSSTWPEKSGGGRGNDHESRDRYNRGFHGSNDRRRSRSRSPAGWGDRHKNTGHDRSRSRSADRYDKGRRLHDEMIKRDRSPPLQQHMPPNSNGISREPRNFID >KJB33796 pep chromosome:Graimondii2_0_v6:6:8129897:8132612:1 gene:B456_006G031200 transcript:KJB33796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATASSADPTFAPPDPTLPKPWKGLVDGKTGYLYFWNPMTNVTQYERPTIVDSVPKFSPMRSSIQIQQYSEVRYGYNPDKENDRYGRGNNDASKSEPVSRFNQNASGGPILSHNTPNGTRGSVVGGSSAGRHGLVAEGSNLSGDAYRHQHEITVTGDEVPSPFSSFEATGFSSEILREVHSAGFSAPTPIQAQSWPIALQGRDIVAIAKTGSGKTLGYLIPGFMHLKQCRKDPRMGPTVLVLSPTRELATQILDEALKFGKSSRISCTCLYGGAPKGPQLREIERGVDIVVATPGRLNDILEMSRISLRQVSYLVLDEADRMLDMGFEPQIRKIVKEVPSCRQTLMYTATWPKEVRKIAADLLGNPVQVNIGNIDELVANKSITQYVEVLSPMEKHRRLEQILRSQEPGSKIIVFCSTKKMCDQLARNLSRQFGAAAIHGDKSQADRDYVLNQFRTGRSPVLVATDVAARGLDIKDIR >KJB33798 pep chromosome:Graimondii2_0_v6:6:8129051:8134006:1 gene:B456_006G031200 transcript:KJB33798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVTQYERPTIVDSVPKFSPMRSSIQIQQYSEVRYGYNPDKENDRYGRGNNDASKSEPVSRFNQNASGGPILSHNTPNGTRGSVVGGSSAGRHGLVAEGSNLSGDAYRHQHEITVTGDEVPSPFSSFEATGFSSEILREVHSAGFSAPTPIQAQSWPIALQGRDIVAIAKTGSGKTLGYLIPGFMHLKQCRKDPRMGPTVLVLSPTRELATQILDEALKFGKSSRISCTCLYGGAPKGPQLREIERGVDIVVATPGRLNDILEMSRISLRQVSYLVLDEADRMLDMGFEPQIRKIVKEVPSCRQTLMYTATWPKEVRKIAADLLGNPVQVNIGNIDELVANKSITQYVEVLSPMEKHRRLEQILRSQEPGSKIIVFCSTKKMCDQLARNLSRQFGAAAIHGDKSQADRDYVLNQFRTGRSPVLVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGLAYTFFADQDSKHASDLIKVLEGANQLVPAELRDMASRGGLMGRPRRWAPSSGGFGRGRGGRTDLGYGGWDSGRGGRGISTSSTWPEKSGGGRGNDHESRDRYNRGFHGSNDRRRSRSRSPAGWGDRHKNTGHDRSRSRSADRYDKGRRLHDEMIKRDRSPPLQQHMPPNSNGISREPRNFID >KJB33797 pep chromosome:Graimondii2_0_v6:6:8129051:8134006:1 gene:B456_006G031200 transcript:KJB33797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATASSADPTFAPPDPTLPKPWKGLVDGKTGYLYFWNPMTNVTQYERPTIVDSVPKFSPMRSSIQIQQYSEVRYGYNPDKENDRYGRGNNDASKSEPVSRFNQNASGGPILSHNTPNGTRGSVVGGSSAGRHGLVAEGSNLSGDAYRHQHEITVTGDEVPSPFSSFEATGFSSEILREVHSAGFSAPTPIQAQSWPIALQGRDIVAIAKTGSGKTLGYLIPGFMHLKQCRKDPRMGPTVLVLSPTRELATQILDEALKFGKSSRISCTCLYGGAPKGPQLREIERGVDIVVATPGRLNDILEMSRISLRQVSYLVLDEADRMLDMGFEPQIRKIVKEVPSCRQTLMYTATWPKEVRKIAADLLGNPVQVNIGNIDELVANKSITQYVEVLSPMEKHRRLEQILRSQEPGSKIIVFCSTKKMCDQLARNLSRQFGAAAIHGDKSQADRDYVLNQFRTGRSPVLVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGLAYTFFADQDSKHASDLIKVLEGANQLVPAELRDMASRGGLMGRPRRWAPSSGGFGRGRGGRTDLGYGGWDSGRGGRGNDHESRDRYNRGFHGSNDRRRSRSRSPAGWGDRHKNTGHDRSRSRSADRYDKGRRLHDEMIKRDRSPPLQQHMPPNSNGISREPRNFID >KJB34978 pep chromosome:Graimondii2_0_v6:6:33156508:33158760:1 gene:B456_006G093900 transcript:KJB34978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAPSISTTILDGVPIVNPTTPGTTPNPTSPSTEPITNPTTPTSPDTNPTPTLPDTNPTPTLPDTNPAPTGPTTTPPTGPTTTPPTGPTMTPPTGTTTTPPTGTTTTPPTGTTTSPGTSGGSWCVANQGASPTALQVALDYACGYGGADCSAIQTGGSCYEPNTIQNHASYAFNDYYQKHPDPTSCVFGGAAQLSNTDPSTGNCHFSASSSTGGSPPSNTTPPTTMSPPSTTTMAPPFGMTPPTFTGTGGDTGFEPTGLPNSAISVSFRFWPIVATISLVWSFITANKL >KJB34977 pep chromosome:Graimondii2_0_v6:6:33155771:33158760:1 gene:B456_006G093900 transcript:KJB34977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDMGCRLLQFLSIFLFHIFLCSGSSVSGRPPPQEINQKPKQSIRMLFAPSISTTILDGVPIVNPTTPGTTPNPTSPSTEPITNPTTPTSPDTNPTPTLPDTNPTPTLPDTNPAPTGPTTTPPTGPTTTPPTGPTMTPPTGTTTTPPTGTTTTPPTGTTTSPGTSGGSWCVANQGASPTALQVALDYACGYGGADCSAIQTGGSCYEPNTIQNHASYAFNDYYQKHPDPTSCVFGGAAQLSNTDPSTGNCHFSASSSTGGSPPSNTTPPTTMSPPSTTTMAPPFGMTPPTFTGTGGDTGFEPTGLPNSAISVSFRFWPIVATISLVWSFITANKL >KJB36946 pep chromosome:Graimondii2_0_v6:6:44108057:44111573:1 gene:B456_006G183700 transcript:KJB36946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEREEKELDLTSPEVVTKYKSAAEIVNKALQLVVKECKPKTKIVDICEKGDAFIREQTGNMYKNAKRKIERGVAFPTCLSVNNTVCHFSPLASDTSELQEGDMVKIDMGCHIDGFIAVVAHTHVVQEGLVNDRKADVIAAANTAAEVALRLVRPGKKNKDVTDAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNPDTRVDDAEFEENEVYAVDIVASTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKTSRFIFSEINQKFPILPFTARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDYVAHIKFTVLLMPNGSDRITLHPLQKLQPTKTIDDPEIKGWLALGTKTKKKGGGKKKKGML >KJB36948 pep chromosome:Graimondii2_0_v6:6:44107913:44111603:1 gene:B456_006G183700 transcript:KJB36948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEREEKELDLTSPEVVTKYKSAAEIVNKALQLVVKECKPKTKIVDICEKGDAFIREQTGNMYKNAKRKIERGVAFPTCLSVNNTVCHFSPLASDTSELQEGDMVKIDMGCHIDGFIAVVAHTHVVQEGLVNDRKADVIAAANTAAEVALRLVRPGKKNKDVTDAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNPDTRVDDAEFEENEVYAVDIVASTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKTSRFIFSEINQKFPILPFTARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDYVAHIKFTVLLMPNGSDRITLHPLQKLQPTKTIDDPEIKGWLALGTKTKKKGGGKKKKGKKNEDDKADAEPMDASKTDAAAS >KJB36945 pep chromosome:Graimondii2_0_v6:6:44108498:44111313:1 gene:B456_006G183700 transcript:KJB36945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSVWKYAEALQLVVKECKPKTKIVDICEKGDAFIREQTGNMYKNAKRKIERGVAFPTCLSVNNTVCHFSPLASDTSELQEGDMVKIDMGCHIDGFIAVVAHTHVVQEGLVNDRKADVIAAANTAAEVALRLVRPGKKNKDVTDAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNPDTRVDDAEFEENEVYAVDIVASTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKTSRFIFSEINQKFPILPFTARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDYVAHIKFTVLLMPNGSDRITLHPLQKLQPTKTIDDPEIKGWLALGTKTKKKGGGKKKKGKKNEDDKADAEPMDASKTDAAAS >KJB36949 pep chromosome:Graimondii2_0_v6:6:44108504:44111573:1 gene:B456_006G183700 transcript:KJB36949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPPLCSDMGCHIDGFIAVVAHTHVVQEGLVNDRKADVIAAANTAAEVALRLVRPGKKNKDVTDAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNPDTRVDDAEFEENEVYAVDIVASTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKTSRFIFSEINQKFPILPFTARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDYVAHIKFTVLLMPNGSDRITLHPLQKLQPTKTIDDPEIKGWLALGTKTKKKGGGKKKKGKKNEDDKADAEPMDASKTDAAAS >KJB36947 pep chromosome:Graimondii2_0_v6:6:44108057:44111573:1 gene:B456_006G183700 transcript:KJB36947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEREEKELDLTSPEVVTKYKSAAEIVNKALQLVVKECKPKTKIVDICEKGDAFIREQTGNMYKNAKRKIERGVAFPTCLSVNNTVCHFSPLASDTSELQEGDMVKIDMGCHIDGFIAVVAHTHVVQEGLVNDRKADVIAAANTAAEVALRLVRPGKKNKDVTDAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNPDTRVDDAEFEENEVYAVDIVASTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKTSRFIFSEINQKFPILPFTARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDYVAHIKFTVLLMPNGSDRITLHPLQKLQPTKTIDDPEIKGWLALGTKTKKKGGGKKKKGKKNEDDKADAEPMDASKTDAAAS >KJB36944 pep chromosome:Graimondii2_0_v6:6:44108057:44111573:1 gene:B456_006G183700 transcript:KJB36944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEREEKELDLTSPEVVTKYKSAAEIVNKALQLVVKECKPKTKIVDICEKGDAFIREQTGNMYKNAKRKIERGVAFPTCLSVNNTVCHFSPLASDTSELQEGDMVKIDMGCHIDGFIAVVAHTHVVQEGLVNDRKADVIAAANTAAEVALRLVRPGKKNKDVTDAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNPDTRVDDAEFEENEVYAVDIVASTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKTSRFIFSEINQKFPILPFTARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDYVAHIKFTVLLMPNGSDRITLHPLQKLQPTKTIDDPEIKGWLALGTKTKKKGGGKKKKGKKNEDDKADAEPMDASKTDAAAS >KJB34623 pep chromosome:Graimondii2_0_v6:6:29813415:29819245:-1 gene:B456_006G075700 transcript:KJB34623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBL27 [Source:Projected from Arabidopsis thaliana (AT5G18610) UniProtKB/Swiss-Prot;Acc:Q1PDV6] MGGCFPCLGSSNKESNNGGTTVKELQNKDSTKDGSVGQFHHVSRVNSDKSKYQSGSDSKKEPAVPKDGPMANIAAQTFTFRELATATKNFRPECLLGEGGFGHVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPGKETLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSANILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNARSHGEHNLVAWARPLFKDRRKFPQMVDPLLQGHYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNAASNQSNRVGPSTPRRKNDRRGMLDGLDSPDEHGQRGSPSSHRNSPDYRMRNHARKLSTGAELGRNETGGGSGRKWGFEDSERHESHRGSPLNTTRTTETSRNRDFDRERAVAEAKVWGENWREKKRANAIGSFDSTNG >KJB37934 pep chromosome:Graimondii2_0_v6:6:47824333:47829715:-1 gene:B456_006G227200 transcript:KJB37934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSVCPTEDAVQAFLEHLVDPLLPSKYAVRETPSLATQELVAKQVHAVVLLYNYFLRKRHPDLRYLDFENFCKWALVLKPNLKSHMKLMVRTDDTELSEVESQFSLLEKAIQDACVISRSLDALSAKGLPVSKVSILLTDLSKENCILLDGSITRGVHSLIEKDVNESCYSPDCSIESKYMSKRKKVPNKRARDELDANECCFQQIAFSAVKEATKNVISQSDLNIIESHLVRSLSKDKTATRFYIMQCVRAAKARWIPIKDVFDSLRGPLLQKVSSRWMHSPVVEYFHLLPYAPIISQWFSRDVFPISFEEQEYVQEVVNVNGFEMTEEPSEPEVQNNRNKNLFDGGRVEASRNSSDAESEKQNKKNDHFTNDFMDAINGPWNMDMDNPSVVHNEKMSTSKNVAERVQHDSLLKKITSRAEHDLNGMTDVAKFEVANSAVRNLNQHKNQNVITRKAASNNTPGQAGILMGNHASVICESNSKCSAKLHNAIASKDHVLSKTALRVLLNKRDKLVLQLRKIGDEIAQCDKKMQTILNGGEDDLELKLDLVIEGCNDACPESTGEERTSKDYEDPCWAQCKKRSRSSEEASSKHNPCQELDGICKDKNWVLPTYQVFPSDGGYQAKVTVKGTNFESLSLGDACPKPHEARSSAATTMLAKLLDHV >KJB37932 pep chromosome:Graimondii2_0_v6:6:47824322:47830510:-1 gene:B456_006G227200 transcript:KJB37932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSVCPTEDAVQAFLEHLVDPLLPSKYAVRETPSLATQELVAKQVHAVVLLYNYFLRKRHPDLRYLDFENFCKWALVLKPNLKSHMKLMVRTDDTELSEVESQFSLLEKAIQDACVISRSLDALSAKGLPVSKVSILLTDLSKENCILLDGSITRGVHSLIEKDVNESCYSPDCSIESKYMSKRKKVPNKRARDELDANECCFQQIAFSAVKEATKNVISQSDLNIIESHLVRSLSKDKTATRFYIMQCVRAAKARWIPIKDVFDSLRGPLLQKVSSRWMHSPVVEYFHLLPYAPIISQWFSRDVFPISFEEQEYVQEVVNVNGFEMTEEPSEPEVQNNRNKNLFDGGRVEASRNSSDAESEKQNKKNDHFTNDFMDAINGPWNMDMDNPSVVHNEKMSTSKNVAERVQHDSLLKKITSRAEHDLNGMTDVAKFEVANSAVRNLNQHKNQNVITRKAASNNTPGQAGILMGNHASVICESNSKCSAKLHNAIASKDHVLSKTALRVLLNKRDKLVLQLRKIGDEIAQCDKKMQTILNGGEDDLELKLDLVIEGCNDACPESTGEERTSKDYEDPCWAQCKKRSRSSEEASSKHNPCQELDGICKDKNWVLPTYQVFPSDGGYQAKVTVKGTNFESLSLGDACPKPHEARSSAATTMLAKLLDHV >KJB37936 pep chromosome:Graimondii2_0_v6:6:47824609:47829466:-1 gene:B456_006G227200 transcript:KJB37936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSVCPTEDAVQAFLEHLVDPLLPSKYAVRETPSLATQELVAKQVHAVVLLYNYFLRKRHPDLRYLDFENFCKWALVLKPNLKSHMKLMVRTDDTELSEVESQFSLLEKAIQDACVISRSLDALSAKGLPVSKVSILLTDLSKENCILLDGSITRGVHSLIEKDVNESCYSPDCSIESKYMSKRKKVPNKRARDELDANECCFQQIAFSAVKEATKNVISQSDLNIIESHLVRSLSKDKTATRFYIMQCVRAAKARWIPIKDVFDSLRGPLLQKVSSRWMHSPVVEYFHLLPYAPIISQWFSRDVFPISFEEQEYVQEVVNVNGFEMTEEPSEPEVQNNRNKNLFDGGRVEASRNSSDAESEKQNKKNDHFTNDFMDAINGPWNMDMDNPSVVHNEKMSTSKNVAERVQHDSLLKKITSRAEHDLNGMTDVAKFEVANSAVRNLNQHKNQNVITRKAASNNTPGQAGILMGNHASVICESNSKCSAKLHNAIASKDHVLSKTALRVLLNKRDKLVLQLRKIGDEIAQCDKKMQTILNGGEDDLELKLDLVIEGCNDACPESTGEERTSKDYEDPCWAQCKKRSRSSEEASSKHNPCQELDGICKDKNWVLPTYQVFPSDGGYQAKVTVKGTNFESLSLGDACPKPHEARSSAATTMLAKLLDHV >KJB37935 pep chromosome:Graimondii2_0_v6:6:47824333:47830507:-1 gene:B456_006G227200 transcript:KJB37935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSVCPTEDAVQAFLEHLVDPLLPSKYAVRETPSLATQELVAKQVHAVVLLYNYFLRKRHPDLRYLDFENFCKWALVLKPNLKSHMKLMVRTDDTELSEVESQFSLLEKAIQDACVISRSLDALSAKGLPVSKVSILLTDLSKENCILLDGSITRGVHSLIEKDVNESCYSPDCSIESKYMSKRKKVPNKRARDELDANECCFQQIAFSAVKEATKNVISQSDLNIIESHLVRSLSKDKTATRFYIMQCVRAAKARWIPIKDVFDSLRGPLLQKVSSRWMHSPVVEYFHLLPYAPIISQWFSRDVFPISFEEQEYVQEVVNVNGFEMTEEPSEPEVQNNRNKNLFDGGRVEASRNSSDAESEKQNKKNDHFTNDFMDAINGPWNMDMDNPSVVHNEKMSTSKNVAERVQHDSLLKKITSRAEHDLNGMTDVAKFEVANSAVRNLNQHKNQNVITRKAASNNTPGQAGILMGNHASVICESNSKCSAKLHNAIASKDHVLSKTALRVLLNKRDKLVLQLRKIGDEIAQCDKKMQTILNGGEDDLELKLDLVIEGCNDACPESTGEERTSKDYEDPCWAQCKKRSRSSEEASSKHNPCQELDGICKDKNWVLPTYQVFPSDGGYQAKVTVKGTNFESLSLGDACPKPHEARSSAATTMLAKLLDHV >KJB37933 pep chromosome:Graimondii2_0_v6:6:47824333:47830506:-1 gene:B456_006G227200 transcript:KJB37933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSVCPTEDAVQAFLEHLVDPLLPSKYAVRETPSLATQELVAKQVHAVVLLYNYFLRKRHPDLRYLDFENFCKWALVLKPNLKSHMKLMVRTDDTELSEVESQFSLLEKAIQDACVISRSLDALSAKGLPVSKVSILLTDLSKENCILLDGSITRGVHSLIEKDVNESCYSPDCSIESKYMSKRKKVPNKRARDELDANECCFQQIAFSAVKEATKNVISQSDLNIIESHLVRSLSKDKTATRFYIMQCVRAAKARWIPIKDVFDSLRGPLLQKVSSRWMHSPVVEYFHLLPYAPIISQWFSRDVFPISFEEQEYVQEVVNVNGFEMTEEPSEPEVQNNRNKNLFDGGRVEASRNSSDAESEKQNKKNDHFTNDFMDAINGPWNMDMDNPSVVHNEKMSTSKNVAERVQHDSLLKKITSRAEHDLNGMTDVAKFEVANSAVRNLNQHKNQNVITRKAASNNTPGQAGILMGNHASVICESNSKCSAKLHNAIASKDHVLSKTALRVLLNKRDKLVLQLRKIGDEIAQCDKKMQTILNGGEDDLELKLDLVIEGCNDACPESTGEERTSKDYEDPCWAQCKKRSRSSEEASSKHNPCQELDGICKDKNWVLPTYQVFPSDGGYQAKVTVKGTNFESLSLGDACPKPHEARSSAATTMLAKLLDHV >KJB38127 pep chromosome:Graimondii2_0_v6:6:48583915:48586788:1 gene:B456_006G238400 transcript:KJB38127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLDFGLFFFLFSLNVEEFEEMAEPAYTVASDSETTGEEKSSSAFPEIAIGIDIGTSQCSVAVWNGSQVELLKNTRNQKLMRSYVTFKDDIPSGGVSYQLSHEHEMLSGAVIFNMKRLIGRVDTDPVVHSSKSLPFLVQTLDIGVRPFIAALVNNVWRSTTPEEVLAIFLVELRVMAESQLKRPIRNVVLSIPVSFSRFQLTRIERACAMAGLHVLRLMPEPTAVALLYAQQQQQMIHDNMGSGSEKIALIFNMGAGYCDAAVTATAGGVSQIKALAGIATGGEDFLQNMMRHLLPNFDNLFSSRGINEIKSMGLLRVATQDAIHKLSFEESVQIDVDLGNGLRICKQVSREEFEEVNQKIFEKCESLIIQCLHDAKVEADDLTDVIVVGGCSHIPKIKNLVKSVCKRELYKGMNPLEAAVCGTALEGAVASGISDPFGNLDLLTIQATPLGIGIRANGNSFVPIIPRNTTMPARKELVFTTVNDNQTEVLIIVHEGETEKADENHLLGYFKISGIPPAPKGVPEINVCMDINASNVLRVLAGVIMPGSQQPVVPVMEVRMPTVDDGHGWCAEALHRAYGSTLDLMTVQKK >KJB38126 pep chromosome:Graimondii2_0_v6:6:48583606:48586788:1 gene:B456_006G238400 transcript:KJB38126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAYTVASDSETTGEEKSSSAFPEIAIGIDIGTSQCSVAVWNGSQVELLKNTRNQKLMRSYVTFKDDIPSGGVSYQLSHEHEMLSGAVIFNMKRLIGRVDTDPVVHSSKSLPFLVQTLDIGVRPFIAALVNNVWRSTTPEEVLAIFLVELRVMAESQLKRPIRNVVLSIPVSFSRFQLTRIERACAMAGLHVLRLMPEPTAVALLYAQQQQQMIHDNMGSGSEKIALIFNMGAGYCDAAVTATAGGVSQIKALAGIATGGEDFLQNMMRHLLPNFDNLFSSRGINEIKSMGLLRVATQDAIHKLSFEESVQIDVDLGNGLRICKQVSREEFEEVNQKIFEKCESLIIQCLHDAKVEADDLTDVIVVGGCSHIPKIKNLVKSVCKRELYKGMNPLEAAVCGTALEGAVASGISDPFGNLDLLTIQATPLGIGIRANGNSFVPIIPRNTTMPARKELVFTTVNDNQTEVLIIVHEGETEKADENHLLGYFKISGIPPAPKGVPEINVCMDINASNVLRVLAGVIMPGSQQPVVPVMEVRMPTVDDGHGWCAEALHRAYGSTLDLMTVQKK >KJB36348 pep chromosome:Graimondii2_0_v6:6:41292662:41294911:1 gene:B456_006G154100 transcript:KJB36348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPALNSTMLHPNSRVVGGTENSWCRAVLGGTGIAVLALLISKNPDLSRLRSALHELQNSHPILRSRLHYNPASNTFSFVTSPSPFIQINSFNHSATSNILENLYGQETQNVTLLHLILEHELNQNSWLASHNPNSPSFSTKNDVLLASVYALPGAKWVVVLRLHAAACDRTTAVSLLRELLTLIGREEEETTPQREEKETMMNKGEVSLAIEDLIPKGKTKKNVLARGIDMLGYSVNSFSFTNLKFKDAKSSRSTQVVKLLINPDDTERILTGCKARGIKLCGALGAAGLIAAHNSKCCSDHQKKKYGVVTLTDCRSILQPPLSNLNFGFYHSAVLNTHAIKGGEKLWDLAKKTYTSFANYKKCNKHLSDMADLNFLMCRAMANPGLTSSSSLRTSLISVFEDTVIDESNDQQKLVGVEDYMGCASGHGIAPSIAIFDTIRDGWLDCICVYPSPLHSREQMKELVDAMKCILVDAGNNNGTDEIIKP >KJB37391 pep chromosome:Graimondii2_0_v6:6:46379027:46382359:1 gene:B456_006G208700 transcript:KJB37391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPEKNRREISKYLFQEGVCYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKQAKPAGRPMGPPGDRPRGPPRFGDGERRFGDRDGYRGGPRGGGDFGDKGGAPADYQPSFRGPGTRPAFGRGGGGYGGAGPAGLP >KJB37392 pep chromosome:Graimondii2_0_v6:6:46379112:46382359:1 gene:B456_006G208700 transcript:KJB37392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKQAKPAGRPMGPPGDRPRGPPRFGDGERRFGDRDGYRGGPRGGGDFGDKGGAPADYQPSFRGPGTRPAFGRGGGGYGGAGPAGLP >KJB34683 pep chromosome:Graimondii2_0_v6:6:30333625:30338194:1 gene:B456_006G078400 transcript:KJB34683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKEGSTNGGGYVGGFFQLFDWTAKSRKKLFSSKSDFPERSKQGKRSDGNIPMTRIHLMDEDEIGAGASFKGSSDYSCASSVTDDDMYGAKAPNVVARLMGLDSLPTSSEPYSTPFFDTQSLRDAHLRRRNLNYHHNEQIVYPDDRFNKMEGGPARIIAELKPQKIVSKPIEKFRTESLPPKAAKTIPITHHKLLSPIKSPGFFPTMDAAHIMEAAARIIEPGPQVITRSKMPQVGSSSVPVKVRDFKEKMEAAQRKPPARSSSVPVKVRDLKEKSETAHKTSRLTEITRKPVESNAVKYLKGQSMNKSWNGSIDTPTLRTSDTEEISSVLKSEGKSISLAIQAKFNVQKREGLNSSNRRSLLGTKDESEVKSNQHLKSQLSSRKSLHKKSSLHNVPGALRPNNQKQNCITDKGKLPSKPIGSNLHSRKVLPTDSASGRHKMSGKTVGNSKTGSRKFNIGATDSEQGPYSSTKNLRKKRSMDRDSSFQKNQVVDRMLVEKPQKEDHDVTERSLNWVEDSKKKGMDVVSFTFTAPLTRSMETSMQIAEENNSSRMANRGKRLLLDTESMKLSSLGYNVIGGDALSVLLEQKLRELSNAVESSCKKSLNSVSTSISTSFSQHPGHTPKHKFQGFDEMEECSSSHLDARQPSPVSILETSFSTESCNSSDSMDSYSIDGSKRCSSVQTLEVLRLSPLKKLRTLEADTELSDSASSISYAARSNHNIVVMSDPMLSSNWELEYVKLIVSNVELMFKDFAVGRARKIINPHLFDQLESRRGVEEESRLERKVLFDSVSECLDSRCRQYASGGCRIWSKGMAIMRRNERLAEEVYKEISRWRAMGDCMVDELVDDDMSSRYGKWLDFEVDGFELGVDIEGQIMNDLVDEMVAEMLLL >KJB34684 pep chromosome:Graimondii2_0_v6:6:30334810:30338086:1 gene:B456_006G078400 transcript:KJB34684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKEGSTNGGGYVGGFFQLFDWTAKSRKKLFSSKSDFPERSKQGKRSDGNIPMTRIHLMDEDEIGAGASFKGSSDYSCASSVTDDDMYGAKAPNVVARLMGLDSLPTSSEPYSTPFFDTQSLRDAHLRRRNLNYHHNEQIVYPDDRFNKMEGGPARIIAELKPQKIVSKPIEKFRTESLPPKAAKTIPITHHKLLSPIKSPGFFPTMDAAHIMEAAARIIEPGPQVITRSKMPQVGSSSVPVKVRDFKEKMEAAQRKPPARSSSVPVKVRDLKEKSETAHKTSRLTEITRKPVESNAVKYLKGQSMNKSWNGSIDTPTLRTSDTEEISSVLKSEGKSISLAIQAKFNVQKREGLNSSNRRSLLGTKDESEVKSNQHLKSQLSSRKSLHKKSSLHNVPGALRPNNQKQNCITDKGKLPSKPIGSNLHSRKVLPTDSASGRHKMSGKTVGNSKTGSRKFNIGATDSEQGPYSSTKNLRKKRSMDRDSSFQKNQVVDRMLVEKPQKEDHDVTERSLNWVEDSKKKGMDVVSFTFTAPLTRSMETSMQIAEENNSSRMANRGKRLLLDTESMKLSSLGYNVIGGDALSVLLEQKLRELSNAVESSCKKSLNSVSTSISTSFSQHPGHTPKHKFQNIQGFDEMEECSSSHLDARQPSPVSILETSFSTESCNSSDSMDSYSIDGSKRCSSVQTLEVLRLSPLKKLRTLEADTELSDSASSISYAARSNHNIVVMSDPMLSSNWELEYVKLIVSNVELMFKDFAVGRARKIINPHLFDQLESRRGVEEESRLERKVLFDSVSECLDSRCRQYASGGCRIWSKGMAIMRRNERLAEEVYKEISRWRAMGDCMVDELVDDDMSSRYGKWLDFEVDGFELGVDIEGQIMNDLVDEMVAEMLLL >KJB34682 pep chromosome:Graimondii2_0_v6:6:30333625:30338194:1 gene:B456_006G078400 transcript:KJB34682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKEGSTNGGGYVGGFFQLFDWTAKSRKKLFSSKSDFPERSKQGKRSDGNIPMTRIHLMDEDEIGAGASFKGSSDYSCASSVTDDDMYGAKAPNVVARLMGLDSLPTSSEPYSTPFFDTQSLRDAHLRRRNLNYHHNEQIVYPDDRFNKMEGGPARIIAELKPQKIVSKPIEKFRTESLPPKAAKTIPITHHKLLSPIKSPGFFPTMDAAHIMEAAARIIEPGPQVITRSKMPQVGSSSVPVKVRDFKEKMEAAQRKPPARSSSVPVKVRDLKEKSETAHKTSRLTEITRKPVESNAVKYLKGQSMNKSWNGSIDTPTLRTSDTEEISSVLKSEGKSISLAIQAKFNVQKREGLNSSNRRSLLGTKDESEVKSNQHLKSQLSSRKSLHKKSSLHNVPGALRPNNQKQNCITDKGKLPSKPIGSNLHSRKVLPTDSASGRHKMSGKTVGNSKTGSRKFNIGATDSEQGPYSSTKNLRKKRSMDRDSSFQKNQVVDRMLVEKPQKEDHDVTERSLNWVEDSKKKGMDVVSFTFTAPLTRSMETSMQIAEENNSSRMANRGKRLLLDTESMKLSSLGYNVIGGDALSVLLEQKLRELSNAVESSCKKSLNSVSTSISTSFSQHPGHTPKHKFQGFDEMEECSSSHLDARQPSPVSILETSFSTESCNSSDSMDSYSIDGSKRCSSVQTLEVLRLSPLKKLRTLEADTELSDSASSISYAARSNHNIVVMSDPMLSSNWELEYVKLIVSNVELMFKDFAVGRARKIINPHLFDQLESRRGVEEESRLERKVLFDSVSECLDSRCRQYASGGCRIWSKGMAIMRRNERLAEEVYKEISRWRAMGDCMVDELVDDDMSSRYGKWLDFEVDGFELGVDIEGQIMNDLVDEMVAEMLLL >KJB37909 pep chromosome:Graimondii2_0_v6:6:47704809:47710594:-1 gene:B456_006G225700 transcript:KJB37909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSAISNAETVLPGYEHNMVIRKLIGRLGNDYNYSSFTSSQPLIKSNNANTPLNIIPKLNLPFSSDPGFSERAPRFSSFNGELGFNRNEFQANIPDSKRGSLLVSENIPRQNNVNSRKRKSIPSGKAEETPSMAAADSKIVAGAKRSKKDRADEEHHGNGEKHNKVKSKAAMAPMEYVHVRARRGQATDGHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKFATLNPRMDINMESIVPMDMFRCCGSLPSTMFSIDSSASAFGFGYEHQQRVPMHNGEYDNAETRFFENSPNSGLRKTRDPIDDLTDANSRVGLFWDDDDLHSIVQMGFGQNQPQSYQGRLWEVKRRWKMWRRTKQCKL >KJB36981 pep chromosome:Graimondii2_0_v6:6:44269431:44270614:1 gene:B456_006G185600 transcript:KJB36981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILDQLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYWTVSYRFAPDINRWQAEAVVAIQEVW >KJB38436 pep chromosome:Graimondii2_0_v6:6:49748716:49758745:1 gene:B456_006G254800 transcript:KJB38436 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24320) UniProtKB/Swiss-Prot;Acc:Q84LK0] MYWLATRNVVISIPRWRSLALLLRSPLNKHASYNPSPLILGGQFGRIHCFKDQKTLRGNTRKYKAADKSLDDKDHSHIVWWKERLELCRKPSTLNLVKRLVYSNLLGVDANLKNGSLKVGTLNAEILQFKSKFPREVLLCRVGDFYEALGIDACILVEYAGLNPFGGLRSDSIPRAGCPVVNLRQTLDDLTRNGFSVCIVEEVQGPTQARSRKGRFISGHAHPGSPYVFGLVGVDHELDFPEPMPVVGISRSARGYCITFVLETMKTYSSEDGLTEEALVTKLRTCRYHHLFLHSSLRDNASGTSRWGEFGAGGLLWGECTARQFEWFGGGPVTELLYKVKELYGLDNEVTFRNVTVPSENRPRSLSLGTATQIGAIPTEGIPCLLKVLLPPHCTGLPALYIRDLLLNPPSHEIASAIQATCKLMSSIKCSIPEFTCVSSAKLVKLLELREANHIEFCRIKNVVDEILHMHRSINLREILKLLMDPAWVATGLKIDFETLVNECEWLSDRIGQMIFLDGESDQKISSYANIPGEFFEDMESSWKGRVKKIHIEEEVAEVERAAEALSSVITEDFLPIVSRIKATSAPLGGPKGEILYAREHEAVWFKGKRFAPAVWAGTPGEEQIKQLKPALDSKGRKVGEEWFTTMKVEDALTRYHDAGAKAKARVLELLRGLSTELQTKINVLVFASMLLVIAKALFAHVSEGRRRKWVFPTLTGFSSSKSGESCDETKGMKIIGLTPYWFDVSEGSAVLNTVDMQSLFLLTGPNGGGKSSLLRSICAAALLGICGFMVPAESAFIPQFDSIMLHMKSYDSPADGKSSFQVEMSEMRSIVNGATSRSLVLIDEICRGTETAKGTCIAGSIVETLDEIGCLGIISTHLHGVFSLPLSTKNTVHKAMSTEYIDGQIIPTWKLVDGICRESLAFETAKVEGLAEAIIQRAEELYSSVYTKEASSGLFNAQLTQFGSKGAQTRSLSHKPKPTNKMEVFKDVETVVTLICQKKLMELYKLKNTSDVPVFNCVAIAAREQPPPSIIGASCVYVMFRPDKKLYIGETDDLDGRIRSHRSKDGMENASFLYFTVPGKSIARQLETLLINQLLSQGFPIANLADGKHQNFGTSSLSFDGITVA >KJB33569 pep chromosome:Graimondii2_0_v6:6:5480579:5481370:-1 gene:B456_006G021500 transcript:KJB33569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRSSGRSNERSSGRSNERSSGSRSNENEDFSLRLRELLSTNKKKKRNAAKLLDETCNHIKKLNGEVDDLSNRISELLNSSETESSNINANILRQFLQQ >KJB36868 pep chromosome:Graimondii2_0_v6:6:43743769:43745541:1 gene:B456_006G179800 transcript:KJB36868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTIPMYFFTALILFHLKFVAAVQSSSFSFKTFGEDPNFESKIALYGDAHVANHGSVIQLTNSVKWSAGRVMYKKPIKLVEGKVRNFASFSTYFSFSMSHEKGDGLAFVMVPSIFNVNVLGNSSFGISFGLKEKSKKGIFDVEFDTSKDGKYGDLNENHVGIDVGSLVSVKVRNLSSVNLVLNNGEKLHSWIDYEATSKRVEIRLSESSSTRPNEPLLSHSIDLATLWKDEEVFVGLSSSSGNSSQTCFIHLWSFKLRQVPNWMHSQPLDPEAISKNPKPSTTAHKSSNCLWKVLAVFIFGCACGVLTASCLLYLWTTLGARRPVAPEECSVHPVEFEYKKVKIVVDEVVKDDKK >KJB35720 pep chromosome:Graimondii2_0_v6:6:37696391:37698404:-1 gene:B456_006G125400 transcript:KJB35720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYKTQEEDDDLAYFDCLPDALLLLIFNKLHDLKSLTNCLSVSKRFRSLVPFTDTIFISIPPLPKPSNTAASYINNTLRQVFHNLFLKPVRCFHRLMASPKSTTMSFDISCCHSNGVLRIFKEMKSLHLELPLHGAGELGSSNGATFLQWKADFGSNLRTCIIVGATSFQKCNTFSSSAIFSQRKEERVFMEETVLNDDELKLRVIWTISCLIAASTRQYLLKQILADNPNIPMLERVVISDANKQGMFSMGKEELVEMRNSIDTKQEASSSSMERSPIPEVNMKLWYLPMLDLPDSGYVMKGATLVLIRPVVDGMIENNSDDPLVGDEKPFSEAVREMIKVKKSYLMTMSSF >KJB33609 pep chromosome:Graimondii2_0_v6:6:5497978:5504204:1 gene:B456_006G021600 transcript:KJB33609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPTETKAVPEAEKKKEQSLPFYQLFTFADKYDYLLMITGSLGAIIHGSSMPVFFLLFGEMVNGFGKNQSDLPKMTHEVAKYALYFVYLGLIVCLSSYAEIACWMYTGERQVSTLRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGESKALNSYSDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQSDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIINQKPSIIQDHLDGKVLEEVNGNIEFKEVTFSYPSRPDVIIFSNFSIFFPAGKTIAVVGGSGSGKSTVVSLIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPEATMDEVEAAACAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDSIAVIQQGQVVETGTHEELIAKAGAYASLIRFQEMVGNRDFANPSTRRSRSTRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMISNAETERKNPAPDGYFCRLLKLNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYYTNPTSMERKTKEYVFIYIGAGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLGAILRNEVGWFDEEEHNSSLLAAKLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILGTFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQNKILSLFCYELRVPQMQSLRRSQTSGLLFGLSQLALYASEALILWYGAHLVSEGVSTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGEAVGSVFSILDRSTRIDPDDPEAEPVETIRGEIELRHVDFAYPSRPDVSVFKDLNLRIRAGQSQALVGASGSGKSSVIALIERFYDPTAGKVMINGKDIRRLNLKSLRLKIGLVQQEPALFAASIFDNIAYGKEGATEAEVIEAARAANVHGFVSALPDGYKTPVGERGVQLSGGQKQRIAIARAVLKDPTILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRNVDSIGVVQDGRIVEQGSHSELISRPEGAYSRLLQLQHHHI >KJB33610 pep chromosome:Graimondii2_0_v6:6:5498771:5504204:1 gene:B456_006G021600 transcript:KJB33610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIACWMYTGERQVSTLRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGESKALNSYSDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQSDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIINQKPSIIQDHLDGKVLEEVNGNIEFKEVTFSYPSRPDVIIFSNFSIFFPAGKTIAVVGGSGSGKSTVVSLIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPEATMDEVEAAACAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDSIAVIQQGQVVETGTHEELIAKAGAYASLIRFQEMVGNRDFANPSTRRSRSTRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMISNAETERKNPAPDGYFCRLLKLNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYYTNPTSMERKTKEYVFIYIGAGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLGAILRNEVGWFDEEEHNSSLLAAKLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILGTFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQNKILSLFCYELRVPQMQSLRRSQTSGLLFGLSQLALYASEALILWYGAHLVSEGVSTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGEAVGSVFSILDRSTRIDPDDPEAEPVETIRGEIELRHVDFAYPSRPDVSVFKDLNLRIRAGQSQALVGASGSGKSSVIALIERFYDPTAGKVMINGKDIRRLNLKSLRLKIGLVQQEPALFAASIFDNIAYGKEGATEAEVIEAARAANVHGFVSALPDGYKTPVGERGVQLSGGQKQRIAIARAVLKDPTILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRNVDSIGVVQDGRIVEQGSHSELISRPEGAYSRLLQLQHHHI >KJB37121 pep chromosome:Graimondii2_0_v6:6:44707391:44711171:1 gene:B456_006G190000 transcript:KJB37121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPVKERPTHHETRTLPSSSWTFLGVISKKDIFLHLPLLPKRKIPIFAVLKFSKLLYMWCASASAPFSHVASSLSSSFHFRVSLFRRPYNDQRERTERPRNAKVLKLKGFLRRQNVLCATLKDQSPYTEIKPVDSELLSDNPDPEDITPVGLSSVHFDGSDGRPGFISFYNRQYKRDDEVISNVQRNQNSLLWFIGPAVLVASFILPSLYLRRILSMIFEDSLLTDFLILFFTEALFYCGVAIFLLLIDRLRRPTGLDSTAETLASHLGQRISSVAILVLSLITPMVTMGLVWPWTGPAASATLAPYLVGIVVQFAFEQYARYQKSPSWPVIPIIFQVYRLHQLNRAAQLVTALSFTVKGAEMTTYNMAINSSLGTLLNVLQCLGVICIWSLSSFLMRFYPSTTRIT >KJB37123 pep chromosome:Graimondii2_0_v6:6:44707467:44710747:1 gene:B456_006G190000 transcript:KJB37123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRCASASAPFSHVASSLSSSFHFRVSLFRRPYNDQRERTERPRNAKVLKLKGFLRRQNVLCATLKDQSPYTEIKPVDSELLSDNPDPEDITPVGLSSVHFDGSDGRPGFISFYNRQYKRDDEVISNVQRNQNSLLWFIGPAVLVASFILPSLYLRRILSMIFEDSLLTDFLILFFTEALFYCGVAIFLLLIDRLRRPTGLDSTAETLASHLGQRISSVAILVLSLITPMVTMGLVWPWTGPAASATLAPYLVGIVVQFAFEQYARYQKSPSWPVIPIIFQVYRLHQLNRAAQLVTALSFTVKGAEMTTYNMAINSSLGTLLNVLQCLGVICIWSLSSFLMRFYPSTTRIT >KJB37122 pep chromosome:Graimondii2_0_v6:6:44707467:44711007:1 gene:B456_006G190000 transcript:KJB37122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRCASASAPFSHVASSLSSSFHFRVSLFRRPYNDQRERTERPRNAKVLKLKGFLRRQNVLCATLKDQSPYTEIKPVDSELLSDNPDPEDITPVGLSSVHFDGSDGRPGFISFYNRQYKRDDEVISNVQRNQNSLLWFIGPAVLVASFILPSLYLRRILSMIFEDSLLTDFLILFFTEALFYCGVAIFLLLIDRLRRPTGLDSTAETLASHLGQRISSVAILVLSLITPMVTMGLVWPWTGPAASATLAPYLVGIVVQFAFEQYARYQKSPSWPVIPIIFQVYRLHQLNRAAQLVTALSFTVKGAEMTTYNMAINSSLGTLLNVLQCLGVICIWSLSSFLMRFYPSTTRIT >KJB37124 pep chromosome:Graimondii2_0_v6:6:44707467:44711050:1 gene:B456_006G190000 transcript:KJB37124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLCFTHSCASASAPFSHVASSLSSSFHFRVSLFRRPYNDQRERTERPRNAKVLKLKGFLRRQNVLCATLKDQSPYTEIKPVDSELLSDNPDPEDITPVGLSSVHFDGSDGRPGFISFYNRQYKRDDEVISNVQRNQNSLLWFIGPAVLVASFILPSLYLRRILSMIFEDSLLTDFLILFFTEALFYCGVAIFLLLIDRLRRPTGLDSTAETLASHLGQRISSVAILVLSLITPMVTMGLVWPWTGPAASATLAPYLVGIVVQFAFEQYARYQKSPSWPVIPIIFQVYRLHQLNRAAQLVTALSFTVKGAEMTTYNMAINSSLGTLLNVLQCLGVICIWSLSSFLMRFYPSTTRIT >KJB33226 pep chromosome:Graimondii2_0_v6:6:576725:579110:-1 gene:B456_006G002200 transcript:KJB33226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLHLCVFIILIYLLEFGLSDVIEVPPLNINQKDLMKHLSLIDIKIALPAIYVFGDSFVDNGNNKAILGNKDAIGGGYLPFGIDFDGKPTGRVTNGRIGVDFIATVAGLPYPPPIMGMSKIDRKRIQTGVNYASGSSGLLPQNGHFLHKNVINFFQQVDLFENTTMEDLKGKFDSYKGFTQYLSKSLFFIHHAGNDLGLTFEAEMAKKYSIDKYAKLLIKEFSKQLKRLYTLGARKFFVSNVSPLGCSPFSINTKNHSGPCVEEINNRVSIYNELLPSLLKNLQSTLSGSKFVLGDIYKVFKDVFEMPESYGFKDVNTSCCIDNNGTKIQVCAPNIAPCKDRKTRVFFDPFHPSETMHFLWARRFLKDSSVCSPINLIQLMQA >KJB33543 pep chromosome:Graimondii2_0_v6:6:3584504:3585904:-1 gene:B456_006G016500 transcript:KJB33543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQIVFFSIFFFVSFTIAQGVRTLNVRNYGAIADGRTDNKKAFLRAWNDACYQNGGSIVYIPKGVYMVGSVEFAGPCRGPIMFLISGDLKAPIGPYSNVEKWIGFQHVNNLIVKGGGTLDGQGPSAWPYNKCQKTNNCDPLPISIKFDFVTNSRIESIRSVNSKNVHLAIYGCNNVNVSKVDLLAPADSPNTDGIKISRSADIRITNSRIRTGDDCIAIISGSSNIDVSYVYCGPGHGISIGSLGKYKNEENVNGVTVRKCTLNGTDNGVRIKSWESPYAITASKFLFQDIFMENVRNPIIVDQTYCPHSPCNQETASHVQIQDVTYRNIWGTSSSQVAVSFECSKKFPCKNIVMTDVNLAIVGGEGPLKSSCSYVKGRSFGRQNPPPCF >KJB35374 pep chromosome:Graimondii2_0_v6:6:38018745:38019065:-1 gene:B456_006G127500 transcript:KJB35374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLKLTSVFNISLLFILFFISSTAAIRPTQWQGEQLFRKIVPDHFETLQRGPVPPSGGSPCTNIPGGSGTCLVNEINAAGHLLRSPPVFPAVHVIKAAGTTSMGE >KJB34192 pep chromosome:Graimondii2_0_v6:6:18901448:18902208:1 gene:B456_006G052400 transcript:KJB34192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTADLIWKCPHFFNALKERELDLRGNKIAVIENLGPIRYHRYLSDNEIVKLDNFPYLKRLGTLLINNNRVTRINPNIGEFLPSLHTLVLTDNRLVNLVKIDPLSSLPKLLYVIHKLKSLRVLDFKKVKAK >KJB38280 pep chromosome:Graimondii2_0_v6:6:49111592:49113710:1 gene:B456_006G246000 transcript:KJB38280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA17 [Source:Projected from Arabidopsis thaliana (AT1G04250) UniProtKB/Swiss-Prot;Acc:P93830] MEVGRKMANMLGTDHDLNFKETELCLGLPGGIAVVAAGNETESSSSPKTNGKRGFSETVDLKLNLQSKESTMDLNKNLDDNGSKEKSGSAKDPAKPPAKAQVVGWPPVRSYRKNIMANQKNSSEESGNSGAALVKVSMDGAPYLRKVDLKMYKSYQELSDALAKMFSSFTMGNYGPQGMIDFMNESKLMDLLNSSDYVPSYEDKDGDWMLVGDVPWQMFVDSCKRLRIMKGSEAIGLAPRAMEKCKSRA >KJB38278 pep chromosome:Graimondii2_0_v6:6:49110996:49113237:1 gene:B456_006G246000 transcript:KJB38278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA17 [Source:Projected from Arabidopsis thaliana (AT1G04250) UniProtKB/Swiss-Prot;Acc:P93830] MMSLQKKLKHCVNEIKEQGETEAKAIQIGSKDRSKKRVEASCFVSMEVGRKMANMLGTDHDLNFKETELCLGLPGGIAVVAAGNETESSSSPKTNGKRGFSETVDLKLNLQSKESTMDLNKNLDDNGSKEKSGSAKDPAKPPAKAQVVGWPPVRSYRKNIMANQKNSSEESGNSGAALVKVSMDGAPYLRKVDLKMYKSYQELSDALAKMFSSFTMGNYGPQGMIDFMNESKLMDLLNSSDYVPSYEDKDGDWMLVGDVPWQMFVDSCKRLRIMKGSEAIGLAPRAMEKCKSRA >KJB38279 pep chromosome:Graimondii2_0_v6:6:49111754:49112581:1 gene:B456_006G246000 transcript:KJB38279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA17 [Source:Projected from Arabidopsis thaliana (AT1G04250) UniProtKB/Swiss-Prot;Acc:P93830] MEVGRKMANMLGTDHDLNFKETELCLGLPGGIAVVAAGNETESSSSPKTNGKRGFSETVDLKLNLQSKESTMDLNKNLDDNGSKEKSGSAKDPAKPPAKAQVVGWPPVRSYRKNIMANQKNSSEESGNSGAALVKVSMDGAPYLRKVDLKMYKSYQELSDALAKMFSSFTMGNYGPQGMIDFMNESKLMDLLNSSDYVPSYEDKDGDWMLVGDVPWQ >KJB35057 pep chromosome:Graimondii2_0_v6:6:33875944:33881394:1 gene:B456_006G098500 transcript:KJB35057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFIGTAGAASSMMNPKNSISQIKRLIGRQFSDPELQRDIKSLPFNVTEGPDGYPLIHARYLGEMRTFTPTQVLGMVFSNLKSIAEQNLKAAVVDCCIGIPVYFTELQRRAVLDAATIAGLHPLRLIHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGFKKGQLKILAHSFDRSLGGRDFDEVLFHHFSAKFKEEYKIDVSQNARACLRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKREEFEQISAPILQRVKAPLEKALADAGLAVGDVHMVEVVGSASRIPSILKILTEFFGKEPRRTMNASECVGRGCALQCAILSPTFKVREFQVNESFPFPISLSWKGSVPDAQNGEAEQEQLVFPKGNPIPSVKAVTFHKTSTFSVDVQYSDVSDLQAPAKISTYMIGPFPTLRSEKPKLKVKVRLNLHGIVSVESATLLEEEEVEVPVSKEPAKEDAKMETDEKPNDTSAPGANETDVNMQDAKGTAEAAGVENGVPQSGDKPVQMETDTKVEAPKKKVKKTNVPVAEFCRPIMMKPKPAKPATPEAPETPPHPGKPMETDKPETTSAA >KJB35056 pep chromosome:Graimondii2_0_v6:6:33877044:33881394:1 gene:B456_006G098500 transcript:KJB35056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFIGTAGAASSMMNPKNSISQIKRLIGRQFSDPELQRDIKSLPFNVTEGPDGYPLIHARYLGEMRTFTPTQVLGMVFSNLKSIAEQNLKAAVVDCCIGIPVYFTELQRRAVLDAATIAGLHPLRLIHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGFKKGQLKILAHSFDRSLGGRDFDEVLFHHFSAKFKEEYKIDVSQNARACLRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKREEFEQISAPILQRVKAPLEKALADAGLAVGDVHMVEVVGSASRIPSILKILTEFFGKEPRRTMNASECVGRGCALQCAILSPTFKVREFQVNESFPFPISLSWKGSVPDAQNGEAEQEQLVFPKGNPIPSVKAVTFHKTSTFSVDVQYSDVSDLQAPAKISTYMIGPFPTLRSEKPKLKVKVRLNLHGIVSVESATLLEEEEVEVPVSKEPAKEDAKMETDEKPNDTSAPGANETDVNMQDAKGTAEAAGVENGVPQSGDKPVQMETDTKVEAPKKKVKKTNVPVAELVYGAMVSGDLQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLCDKYHDFVTASDKEELMAKLQETEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEYSERGTIIDQLAYCINSYREAAMSNDTKFDHIELAEKQKVLNECVEAEAWLREKKQQQDQLPKYATPVLLSADVRKKAEALDRFCRPIMMKPKPAKPATPEAPETPPHPGSEAQPQGANSPKASPNRNGDPSENVPAGSGEAPPASAEPMETDKPETTSAA >KJB35058 pep chromosome:Graimondii2_0_v6:6:33875898:33881394:1 gene:B456_006G098500 transcript:KJB35058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFIGTAGAASSMMNPKNSISQIKRLIGRQFSDPELQRDIKSLPFNVTEGPDGYPLIHARYLGEMRTFTPTQVLGMVFSNLKSIAEQNLKAAVVDCCIGIPVYFTELQRRAVLDAATIAGLHPLRLIHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGFKKGQLKILAHSFDRSLGGRDFDEVLFHHFSAKFKEEYKIDVSQNARACLRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKREEFEQISAPILQRVKAPLEKALADAGLAVGDVHMVEVVGSASRIPSILKILTEFFGKEPRRTMNASECVGRGCALQCAILSPTFKVREFQVNESFPFPISLSWKGSVPDAQNGEAEQEQLVFPKGNPIPSVKAVTFHKTSTFSVDVQYSDVSDLQAPAKISTYMIGPFPTLRSEKPKLKVKVRLNLHGIVSVESATLLEEEEVEVPVSKEPAKEDAKMETDEKPNDTSAPGANETDVNMQDAKGTAEAAGVENGVPQSGDKPVQMETDTKVEAPKKKVKKTNVPVAELVYGAMVSGDLQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLCDKYHDFVTASDKEELMAKLQETEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEYSERGTIIDQLAYCINSYREAAMSNDTKFDHIELAEKQKVLNECVEAEAWLREKKQQQDQLPKYATPVLLSADVRKKAEALDRFCRPIMMKPKPAKPATPEAPETPPHPGSEAQPQGANSPKASPNRNGDPSENVPAGSGEAPPASAEPMETDKPETTSAA >KJB37907 pep chromosome:Graimondii2_0_v6:6:47693470:47693481:-1 gene:B456_006G2255002 transcript:KJB37907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSL >KJB36759 pep chromosome:Graimondii2_0_v6:6:43342736:43343973:-1 gene:B456_006G175400 transcript:KJB36759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFSNTTNFDNLLLQTLLGRLQIRPTTTTSFLTQSLEDILLDAANFSDSDSDDTNKTQLAKEESKLEKEIIRIILSGKIDPLKPNSGQAVTINDHHICITSHKEKGSDYRVWEWHGHIMLFDEENGFTPEYIYGNYFERLQGKPLVSRAEEEKEEEEKVVNLGLRELIDGDAEPDTTGGRILHRNISASSPRI >KJB38487 pep chromosome:Graimondii2_0_v6:6:49849835:49850666:-1 gene:B456_006G256300 transcript:KJB38487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFKNANPSSSSTSISDKTFTSLGNLIKLLPTGTVFIFQFLNPVLTNYGHCSPVNKVLTSTLIGLCGFSCAFSCFTDSYKGGDGLVHYGIVTVNGLWPSSGSDSDLSKYKLRIGDFVHAFFSVIVFAVLSLLDSNTVQCFYPSFEATEKVLLMVLPPVIGAVSGLAFMVFPNTRHGIGYPSSTDSSD >KJB38404 pep chromosome:Graimondii2_0_v6:6:49658046:49662812:-1 gene:B456_006G253400 transcript:KJB38404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVRHCNSERDIEQALIALKKGTQLIKYSRKGKPKFRAFRLSPDETALIWLSHGEEKTLKLSLVSRIVLGQRTAVFKRYLRPEKDYLSFSLLYNNGERSLDLICKDKVEAEVWLAGLKALIGQNRNRRTESVLSDLQEGYFVRNGPRFGTALESNNFCKVSIDFGSSDVVSERENMQMRNNGGDGFRLSVSSTHSCASGGSAGPDDIESLGDLYVWGEVWSDGLNPDGSVSLVPAKIDVLTPKPLESNVVLDVHQIACGARHVALVTKQGEVFTWGEELGGRLGHGIEKDFSRPQLVEVLALTTVEFVASGEYQTCAVSTAGDLFTWGDGTHNAGILGHGTDVSHWIPKRVSGALEGIQVLSIACGAWHSALATSDGKLFTFGDGTFGVLGHGDRESVKYPKLVQMLSGLKTIKVACGVWHTAAIVEVIGQAGVNVSSRKLFTWGDGDKHRLGHGSKETYLLPNCVSSLIDYNFHQLACGHTITVALTTSGHVFTMGGTAHGQLGNPNSDGKLPCLVQEKLVGEFVEEISCGAYHVAVLTSRSEVFTWGRGANGRLGHGDVEDRKTPTLVESLKDKHVKNISCGSNFTSSICIHKWVSGTDQSVCSGCRQAFGFTRKRHNCYNCGMVHCHACSSKKALKAALAPTPGKPHRVCDTCYAKLKAAEAGNASNPTRKVSGLNSSIDSRERSDKFEIRPSRLLLYPTAEPAKYFEIRSGKHEARSNSPSLVRGSQVPSLLQLKDVAFPSSFTAIQNVLKPVPSPSPPSPPPPPGSYSPINSRSSSPYSRRPSPPRSATPAFSKGVMESLRKSNELLNQEVSKLQKQIKSLKQKYDSQDMEILKLQKSAQQSTSYATKESSKSNEAKKLVKSITDQLMEITEKLPPEVSDDETFKAIQTRAKTFLNRLETSETYLPASLESSPMEGNTDASKWRPSESSAIRDGSEKEITEQFEPGVYITYVYHNNGGKIFKRVRFSKRKFDEQHAGEWWSNNKDRVLMRYSPNAAKLASAVSSPTPLPADEETSEAAPPS >KJB38405 pep chromosome:Graimondii2_0_v6:6:49658046:49663390:-1 gene:B456_006G253400 transcript:KJB38405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPRKMKRGNMKALIALKKGTQLIKYSRKGKPKFRAFRLSPDETALIWLSHGEEKTLKLSLVSRIVLGQRTAVFKRYLRPEKDYLSFSLLYNNGERSLDLICKDKVEAEVWLAGLKALIGQNRNRRTESVLSDLQEGYFVRNGPRFGTALESNNFCKVSIDFGSSDVVSERENMQMRNNGGDGFRLSVSSTHSCASGGSAGPDDIESLGDLYVWGEVWSDGLNPDGSVSLVPAKIDVLTPKPLESNVVLDVHQIACGARHVALVTKQGEVFTWGEELGGRLGHGIEKDFSRPQLVEVLALTTVEFVASGEYQTCAVSTAGDLFTWGDGTHNAGILGHGTDVSHWIPKRVSGALEGIQVLSIACGAWHSALATSDGKLFTFGDGTFGVLGHGDRESVKYPKLVQMLSGLKTIKVACGVWHTAAIVEVIGQAGVNVSSRKLFTWGDGDKHRLGHGSKETYLLPNCVSSLIDYNFHQLACGHTITVALTTSGHVFTMGGTAHGQLGNPNSDGKLPCLVQEKLVGEFVEEISCGAYHVAVLTSRSEVFTWGRGANGRLGHGDVEDRKTPTLVESLKDKHVKNISCGSNFTSSICIHKWVSGTDQSVCSGCRQAFGFTRKRHNCYNCGMVHCHACSSKKALKAALAPTPGKPHRVCDTCYAKLKAAEAGNASNPTRKVSGLNSSIDSRERSDKFEIRPSRLLLYPTAEPAKYFEIRSGKHEARSNSPSLVRGSQVPSLLQLKDVAFPSSFTAIQNVLKPVPSPSPPSPPPPPGSYSPINSRSSSPYSRRPSPPRSATPAFSKGVMESLRKSNELLNQEVSKLQKQIKSLKQKYDSQDMEILKLQKSAQQSTSYATKESSKSNEAKKLVKSITDQLMEITEKLPPEVSDDETFKAIQTRAKTFLNRLETSETYLPASLESSPMEGNTDASKWRPSESSAIRDGSEKEITEQFEPGVYITYVYHNNGGKIFKRVRFSKRKFDEQHAGEWWSNNKDRVLMRYSPNAAKLASAVSSPTPLPADEETSEAAPPS >KJB33574 pep chromosome:Graimondii2_0_v6:6:4676995:4681411:-1 gene:B456_006G018900 transcript:KJB33574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KYSFRSAYPLPTGINTGTTHVPAVLNWNSTYCGDGECGRPGLGRIIVNTYKVWSCGNVTFHYPFRMKDQDYETGNWFEVICNKTANGGKVPFLNINDTNLQILDFDFLDGTIKVNHPITYFNCQKNHHNGMSLNLTGTRFSYSTSDNSFWSSGCGNLVTIFGNETDNLLGRCLQPSCKINNKTSCVARCLTFFPQGLSSFYINMSSRVDSSDYSKKKSCGFASLISDDYDLEDSDISSRTHVPTQLQWGTPLFGECYLNDGWDTSCTFDGEYCWSWLSSNHLCACDSDTRIYLTLCEDFEKKFDEKKVEQFIKEVVILSQINHRNVVKLLGCCLEAEVPLLVYEFIPNGTLYDLIHNQNEELPLTWEMRLRITIEIANALFYLHSAASAPIYHQDIKSSNILLDDKYGAKVSDFGTSRSVALEQTHLTTQVQGTFGYMDPEYFQTNQFTEKSDVYSFGVVLIELLTGQKPISANQSEQVKSLVSYFLHSMQENSLFSILNPMVVKDGPEQEIMVVALLAKRCLNLNGKKRPTMKQVVMELELIKASGGTVIEDCGDEESEIDDMIHSWDTNPSSSMSRTIPTDSVTFPLNSSFYFPTLLKQFILVDSLWLLYLSHWWSLVVAQEFI >KJB33179 pep chromosome:Graimondii2_0_v6:6:42404212:42405041:1 gene:B456_006G163600 transcript:KJB33179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKRGLMMFRPFFLKLRKRFSSSAYSTSPELNHDKFDEDMSVGKAVPGDVKEGFFAVSAANGKETQRFVIELDHLTNPEFLSLLDEAREVYGSHQTGVLSLPCQPHELEEILEHSKENNVCVESQDMVMLP >KJB34728 pep chromosome:Graimondii2_0_v6:6:30771928:30776916:-1 gene:B456_006G080400 transcript:KJB34728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRPSNTRKDVEVRKKGYKTLVLDGEEARRRREDNLVVIRKSKREDSLLKKRKDGFFVINHSLYQQHSNLECVPLMVKGVSSDNPALQLEATTLFRKLLSVEPCPPIEQVIEAGIVPRLVEFLDNHDQPQLQFEAAWALTNIASGTSEHTHVVIQNGAVPKFVQLLCSSIADVREQAVWALGNIAGDSPKSRDIVLNHGGLIPLLAQLNQHSKLSLLRNATWALLNFCRGKPPAPFHQVKPALQVLHRLIESSDEEILTDACWALSYISNGMSEKIQAVIEAGICPRLVELLHHPSEAVVVPALRTIGNIVTGDDSQTQVVIDNEGLPCLYKFVSQNYKKIIKKEACWTISNITAGNRSQIQAVIEANIISPLVHLLQHAELEIKKEAAWAIFNAISIGSHEQIQYLVKQGCMKPLCDLLACSDPRIVTLCLEGLDNILKIGEADKTSSNNGSGVNIYTEMIEECDGLEKIENLQSHENNEIYNKAVHMLERYWLEGKEEEEEDRFGDSQFSYGINTASIWRFKF >KJB37851 pep chromosome:Graimondii2_0_v6:6:47608358:47610856:-1 gene:B456_006G224000 transcript:KJB37851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLPSASAAGSGGGGGCGVAPFLLKTYDMVDDSATDDIVSWSSNNNSFVVWNPPEFARLLLPTYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFVKGQKHLLKNIHRKKPIHSHSNPQGSFVDPERAGFEEEIERLSREKTALEANVLRFREERSAAKHQIEELTLRADQMEQRQETLFNFLDKAVQDPAFVEHLVRKIESMESLDVAAYNKKRRLPQIDRIEPVGEICLLDNNNSSSRAEFGNIFHQDFSDKLRLELSPAVSDIHVVSQSTQSSDEDGVSPRIRISEGEPKDAYMRSEGLLFAPERLDLSESSRSFTLQQRLNSNDEPDNHISCLLNLTLASSSSQVNRSPSLTMMSQLGQEIGKDPKSRSNANSKDSDARAYGNSRNMINGEATLSSPKEDLNTNQKPATPPVRVNDVFWERFLTERPDSSDDEESNSDHQANPYKEEDKSSTYGLARNAKNMEQLSL >KJB38403 pep chromosome:Graimondii2_0_v6:6:49644687:49646438:-1 gene:B456_006G253300 transcript:KJB38403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLQGFETTGNPSVALTPIFPLSMTTVSAPSAAMTTTNGNPLSVSSLSSSSSSSTSPTRTLSRYENQKRRDWNTFGQYLCNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEEHGGKPEANPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPPHNPPSLPPPNQSSFVSVLQWCIYTYIYNFLPFLHGCNNGDLYKNRKEQ >KJB38402 pep chromosome:Graimondii2_0_v6:6:49645412:49646231:-1 gene:B456_006G253300 transcript:KJB38402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLQGFETTGNPSVALTPIFPLSMTTVSAPSAAMTTTNGNPLSVSSLSSSSSSSTSPTRTLSRYENQKRRDWNTFGQYLCNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEEHGGKPEANPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPPHNPPSLPPPNQYFSLFFH >KJB36865 pep chromosome:Graimondii2_0_v6:6:43695118:43697985:-1 gene:B456_006G179600 transcript:KJB36865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEMSHIDLEQGTHRRNESEVSAGEASVCFSDADEGSCYSQFYSTTGGSYDEYSFGCEIGGDSDSRRVSSASDCSVEVRAPSGANELKLHLAKVEKDCRICHLGLESNSHESGAPIELGCSCKDDLAAAHKHCAEAWFKIRGNKTCEICHSIARNVVGVNEVESTEQPNETNSSTATTAVLGAASYTESRSFWHGHRFLNFLLACMSSNKIQRRKKKIKEQNYCKGAWGNLLL >KJB36864 pep chromosome:Graimondii2_0_v6:6:43695535:43697523:-1 gene:B456_006G179600 transcript:KJB36864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEMSHIDLEQGTHRRNESEVSAGEASVCFSDADEGSCYSQFYSTTGGSYDEYSFGCEIGGDSDSRRVSSASDCSVEVRAPSGANELKLHLAKVEKDCRICHLGLESNSHESGAPIELGCSCKDDLAAAHKHCAEAWFKIRGNKTCEICHSIARNVVGVNEVESTEQPNETNSSTATTAVLGAASYTESRSFWHGHRFLNFLLACMVFAFVISWLFHFNVPSS >KJB36866 pep chromosome:Graimondii2_0_v6:6:43695967:43697985:-1 gene:B456_006G179600 transcript:KJB36866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEMSHIDLEQGTHRRNESEVSAGEASVCFSDADEGSCYSQFYSTTGGSYDEYSFGCEIGGDSDSRRVSSASDCSVEVRAPSGANELKLHLAKVEKDCRICHLGLESNSHESGAPIELGCSCKDDLAAAHKHCAEAWFKIRGNKCQTIGARNSLILKF >KJB33307 pep chromosome:Graimondii2_0_v6:6:6435536:6438619:1 gene:B456_006G025300 transcript:KJB33307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFEAMTFNIHGGYLEAIVRGHRAGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEELAVCEDIDQVRGAMEKYPPYQSIFSKLSYGESQMLDKAFYEEEVKRLCLAFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >KJB33305 pep chromosome:Graimondii2_0_v6:6:6435536:6438619:1 gene:B456_006G025300 transcript:KJB33305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFEAMTFNIHGGYLEAIVRGHRAGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYLSLWSRGTCCLRRY >KJB33308 pep chromosome:Graimondii2_0_v6:6:6436538:6438619:1 gene:B456_006G025300 transcript:KJB33308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLLQYVSWDVSRTLFRCCGDAVLLTGPFGSDNQRKINILTASFRYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDIDQVRGAMEKYPPYQSIFSKLSYGESQMLDKAFYEEEVKRLCLAFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >KJB33304 pep chromosome:Graimondii2_0_v6:6:6435465:6438648:1 gene:B456_006G025300 transcript:KJB33304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFEAMTFNIHGGYLEAIVRGHRAGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDIDQVRGAMEKYPPYQSIFSKLSYGESQMLDKAFYEEEVKRLCLAFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >KJB33309 pep chromosome:Graimondii2_0_v6:6:6437082:6438619:1 gene:B456_006G025300 transcript:KJB33309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDIDQVRGAMEKYPPYQSIFSKLSYGESQMLDKAFYEEEVKRLCLAFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >KJB33306 pep chromosome:Graimondii2_0_v6:6:6436730:6438328:1 gene:B456_006G025300 transcript:KJB33306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDIDQVRGAMEKYPPYQSIFSKLSYGESQMLDKAFYEEEVKRLCLAFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >KJB37741 pep chromosome:Graimondii2_0_v6:6:47089006:47091399:-1 gene:B456_006G218600 transcript:KJB37741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASNSKKKRKPCHGRRNVKVLMTDKMRLLQEGRVGRYYEKEVMVYACKEAEWVKERKKLKEEVKVLRKLVDEKEEKIKKMEEGGSSMVMVQKCDKGWPLLGTSYLLEQMKEERARRDEVVEKWKQLYLAIKTELDDLIQRTHGDAINWKTEEEEMIEDMKKELKSKEETIQSLKARLASMEKAGYEMKREMDILKQSFKILGSNYATISKKSSSTKLGHYL >KJB37740 pep chromosome:Graimondii2_0_v6:6:47089006:47091215:-1 gene:B456_006G218600 transcript:KJB37740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASNSKKKRKPCHGRRNVKVLMTDKMRLLQEGRVGRYYEKEVMVYACKEAEWVKERKKLKEEVKVLRKLVDEKEEKIKKMEEGGSSMVMVQKCDKGWPLLGTSYLLEQMKEERARRDEVVEKWKQLYLAIKTELDDLIQRTHEEEEMIEDMKKELKSKEETIQSLKARLASMEKAGYEMKREMDILKQSFKILGSNYATISKKSSSTKLGHYL >KJB38000 pep chromosome:Graimondii2_0_v6:6:48108311:48110775:-1 gene:B456_006G231800 transcript:KJB38000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKLGGAFAPKPSSGPHKSRECLPLVLILRNRLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNEDFRLLYDTKGRFRLHAITGDESKFKLCKVRSVQFGRKGIPYLNTYDGRTIRYPDPLIKANDTIKFDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDAAGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLAAQSAA >KJB38001 pep chromosome:Graimondii2_0_v6:6:48108738:48109759:-1 gene:B456_006G231800 transcript:KJB38001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLELIRLIQLVSWMLCQFQRRMRTSVSSTIQKVDSAFMPSLVTSRRFFKLCKVRSVQFGRKGIPYLNTYDGRTIRYPDPLIKANDTIKFDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDAAGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLAAQSAA >KJB37999 pep chromosome:Graimondii2_0_v6:6:48108291:48110838:-1 gene:B456_006G231800 transcript:KJB37999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPRHWMLDKLGGAFAPKPSSGPHKSRECLPLVLILRNRLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNEDFRLLYDTKGRFRLHAITGDESKFKLCKVRSVQFGRKGIPYLNTYDGRTIRYPDPLIKANDTIKFDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDAAGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLAAQSAA >KJB33631 pep chromosome:Graimondii2_0_v6:6:6073502:6076245:-1 gene:B456_006G023100 transcript:KJB33631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPFTSKTPLSFCQYNGSVCCNATEDLKLRNQFKSMNVSVSACASVLKSILCSRCDQFSAELYRIDSAQRTVPVLCNSSISTRSSQSQQAKVDYCAEVWDKCHNVSIINSPFALQAKGGIQINSTSKLTELWQSKGAFCDEFGGASDDGATCFNGGPVLLNSSENISPPSGICLEKIGNGSYLNMVAHPDGSNRVFLSNQAGKLWLAMVPEQGSGETLGIDESNPFLDLTDEVHADAALGLLGIAFHPNFQQNGRFFASFNCDKVQWPGCSGRCSCNSDIGCDPSELSSDNGARPCQYHSVITEFTTNSTTLNLSLVSQIRPVEVRRILTMGLPFTSQHGGQILFGPKDGYLYFMMGDGGGSGDPYNFSQNKRSLLGKIMRLDIDTIPSAKDISEFDLWGNYSIPKDNPFYEDHELLPEIWAMGFRNPWRCSFDSERPSYFLCADVGQDQYEEVDIVTKGGNYGWRVYEGPLLYNLSNYSEANNSSNPINAIFPVMGYNHSSLNKAEGSASISGGYFYRSMTDPCLYGRYLYADLYADVIWAGFENPKGSGNFTTDQLAVKCAQDSPIQCNAEPELTSPALGFIFSFGLDNKKDIFILTSNGVYRIVRSSRCNYTCSRENVTDFTAPPGSDVDPPSSSPSSGSKFSSPLTLQILVFTCVSFIGLLSFLL >KJB36467 pep chromosome:Graimondii2_0_v6:6:41986187:41991080:1 gene:B456_006G160300 transcript:KJB36467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLHKTRPTPPPSNKSGEKIDFTFSNFKLVQVPKGWDRLIMSIISMENGKTMAKTSKTVVRNGSCQWTETLSESIWVSKTEMEDCFFKLVVAMGSARSSILGEATVNMTGYINSTITVPVSLPLKKCNHGTVLQMKIQCLTPRRKPMDNDSKQTNSHEGNSTEHSPDLSLNWDGPQSLIANSDGSSPSSAPRQEELVSREASFSASDSHCSYGSAASNLNGDTRSLMGIQDSPISHNGNSHIDDHLSHSNHSSFVSQSSFSDNKQEFCAASTLRATDPSKNLLEAAEKTIEELHAESKMWERNADKLMLDLDMLRKEYSDQSKNHAILAMELSAANVERNGLRKEVEQLKTLLEKSMAKQPTYEDSTFEDAGATLLHKELENEIKFLKESNDSLALQLKRSQDANVELVSVLQELEQTIEKQKVQMGTITALQSQVSELENCMQLNSEENSNLMIQLQQSRESEKNLQAEVQYLEQMKNKESDALLDIEEEYKTKLAAKEREIIGLKVKLSESRKEGNFANPESRNAHDTPLIREIEALKVKLEELETDCNELTDENLELLLKIKETNNNVYGGVASNDFSSDEISAKLAMSEQKSEMLFLEEKLRKKILREIQSDYNSYILELETQTTELEAKGTEVVKELAQKRTEMQTLEATLLSKEEENMELRMNQSKLQDMHHQLLAAEDKCEYLRRENTKLQATNETLIEECNSLNKSAEELRKEKSELEEHCAQLEAKLKECSKKVEVLDQNLTLVIEDFASKEKKLTLELNAIHDKSKKLEAKLKLEESSWNQMYLEKTNEVENLVIEVENLGKQLSAAHHEKEKTACEISGLQADKVRLELALEEAQSNLKLTQSEFKKIESEAKTQVEDLLGELTAARENHEILMAEHEKSLKLLESYKSSEGKLKTVVNELELKLTVSEYERQQVSEQSNNMKVQLLKIENLQDDISALTDERNAIKADKENLEASLHLLSRECHDLKAEKNYSMQQISTLQKMVSEIEDYKHDKIVLEEKLVRTEGDLVAKQALLTQDEEIKNELNKIKQTNRQFQQQIKQLQEEKDELLIKAQTLEENLKLKVEEKQKQRHSNSHRNKRELSLQDRHYDSNEGSHGNEFARVMEANNKYRVRVNSSRLSEGRRSQSNTPRKSSIEGEVVAKEKYERTKSTLEAELSDIRERYLQMSLKYAEVEAQREELVMKLKGVKSIRRFFLNPSN >KJB36466 pep chromosome:Graimondii2_0_v6:6:41986063:41991359:1 gene:B456_006G160300 transcript:KJB36466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLHKTRPTPPPSNKSGEKIDFTFSNFKLVQVPKGWDRLIMSIISMENGKTMAKTSKTVVRNGSCQWTETLSESIWVSKTEMEDCFFKLVVAMGSARSSILGEATVNMTGYINSTITVPVSLPLKKCNHGTVLQMKIQCLTPRRKPMDNDSKQTNSHEGNSTEHSPDLSLNWDGPQSLIANSDGSSPSSAPRQEELVSREASFSASDSHCSYGSAASNLNGDTRSLMGIQDSPISHNGNSHIDDHLSHSNHSSFVSQSSFSDNKQEFCAASTLRATDPSKNLLEAAEKTIEELHAESKMWERNADKLMLDLDMLRKEYSDQSKNHAILAMELSAANVERNGLRKEVEQLKTLLEKSMAKQPTYEDSTFEDAGATLLHKELENEIKFLKESNDSLALQLKRSQDANVELVSVLQELEQTIEKQKVQMGTITALQSQVSELENCMQLNSEENSNLMIQLQQSRESEKNLQAEVQYLEQMKNKESDALLDIEEEYKTKLAAKEREIIGLKVKLSESRKEGNFANPESRNAHDTPLIREIEALKVKLEELETDCNELTDENLELLLKIKETNNNVYGGVASNDFSSDEISAKLAMSEQKSEMLFLEEKLRKKILREIQSDYNSYILELETQTTELEAKGTEVVKELAQKRTEMQTLEATLLSKEEENMELRMNQSKLQDMHHQLLAAEDKCEYLRRENTKLQATNETLIEECNSLNKSAEELRKEKSELEEHCAQLEAKLKECSKKVEVLDQNLTLVIEDFASKEKKLTLELNAIHDKSKKLEAKLKLEESSWNQMYLEKTNEVENLVIEVENLGKQLSAAHHEKEKTACEISGLQADKVRLELALEEAQSNLKLTQSEFKKIESEAKTQVEDLLGELTAARENHEILMAEHEKSLKLLESYKSSEGKLKTVVNELELKLTVSEYERQQVSEQSNNMKVQLLKIENLQDDISALTDERNAIKADKENLEASLHLLSRECHDLKAEKNYSMQQISTLQKMVSEIEDYKHDKIVLEEKLVRTEGDLVAKQALLTQDEEIKNELNKIKQTNRQFQQQIKQLQEEKDELLIKAQTLEENLKLKVEEKQKQRHSNSHRNKREDRHYDSNEGSHGNEFARVMEANNKYRVRVNSSRLSEGRRSQSNTPRKSSIEGEVVAKEKYERTKSTLEAELSDIRERYLQMSLKYAEVEAQREELVMKLKGVKSIRRFFLNPSN >KJB38838 pep chromosome:Graimondii2_0_v6:6:4837050:4837151:-1 gene:B456_006G0192003 transcript:KJB38838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKCENYNYCSMLCLNTPTNYCWSDSCPPHYEYN >KJB36764 pep chromosome:Graimondii2_0_v6:6:43568123:43570498:1 gene:B456_006G178100 transcript:KJB36764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKNVESSSSSITSKLFGSKDSPPSSSAGIFGSIFAPPSKAQIFGRESLRPAGAKTQHSPNEPWNTKPGASGVVVEGETGNTGNQDKSDKYQEQRIYPCHLSSSIYYGGQDVYLYPQGSQSSELDSVFKKDDGEDDSGSATRGNWWQGSLYY >KJB36765 pep chromosome:Graimondii2_0_v6:6:43568123:43570498:1 gene:B456_006G178100 transcript:KJB36765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKNVESSSSSITSKLFGSKDSPPSSSAGIFGSIFAPPSKAQIFGRESLRPAGAKTQHSPNEPWNTKPGASAQGVVVEGETGNTGNQDKSDKYQEQRIYPCHLSSSIYYGGQDVYLYPQGSQSSELDSVFKKDDGEDDSGSATRGNWWQGSLYY >KJB36763 pep chromosome:Graimondii2_0_v6:6:43567929:43570499:1 gene:B456_006G178100 transcript:KJB36763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKNVESSSSSITSKLFGSKDSPPSSSAGIFGSIFAPPSKAQIFGRESLRPAGAKTQHSPNEPWNTKPGASVVEGETGNTGNQDKSDKYQEQRIYPCHLSSSIYYGGQDVYLYPQGSQSSELDSVFKKDDGEDDSGSATRGNWWQGSLYY >KJB36766 pep chromosome:Graimondii2_0_v6:6:43568123:43570498:1 gene:B456_006G178100 transcript:KJB36766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKNVESSSSSITSKLFGSKDSPPSSSAGIFGSIFAPPSKAQIFGRESLRPAGAKTQHSPNEPWNTKPGASGETGNTGNQDKSDKYQEQRIYPCHLSSSIYYGGQDVYLYPQGSQSSELDSVFKKDDGEDDSGSATRGNWWQGSLYY >KJB37546 pep chromosome:Graimondii2_0_v6:6:46473216:46473855:1 gene:B456_006G209600 transcript:KJB37546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLELKAKRNYRFIVFKIEETSYQVVVEKLGQPNEKYDDLVACLPPNECRYAVFDLDFTTNENCQKSKIVFIAWAPDSSRVRSKMVYASSKDRFRKELDGVQVELQATDLSEMSYDIVKERAIS >KJB38202 pep chromosome:Graimondii2_0_v6:6:48801594:48804004:-1 gene:B456_006G241700 transcript:KJB38202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFTTRNVGKTLVSRTQGTKIASEGLKHRVFEVFLADLQGGDEDHAYRKIRLRAEDVQGKNVLTNFWGMNFTTDRLRSLVRKWQTLIEAHVDVKTTDNYTLRLFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMTAQATSCDLKELVQKFIPEVIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKLERPADETMAEAPAEVVGA >KJB38201 pep chromosome:Graimondii2_0_v6:6:48801594:48803927:-1 gene:B456_006G241700 transcript:KJB38201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFTTRNVGKTLVSRTQGTKIASEGLKHRVFEVFLADLQGGDEDHAYRKIRLRAEDVQGKNVLTNFWGMNFTTDRLRSLVRKWQTLIEAHVDVKTTDNYTLRLFCIGFTKRRPNQVKRTCYAQSSQIRQMREIMTAQATSCDLKELVQKFIPEVIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKLERPADETMAEAPAEVVGA >KJB36205 pep chromosome:Graimondii2_0_v6:6:40455077:40459497:1 gene:B456_006G146200 transcript:KJB36205 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) UniProtKB/TrEMBL;Acc:F4J5V3] MPNIVSEAGLQTRAGQWWDSIPFLTSAVVIVCGVIYLICLLVGYDSFYEVCFLPEAIVSHFQVYRIYTSIIFHGSLLHVLFNMLALVPLGSELERIMGSIRMLYTIILLATSNAIFHLIIALVVAHNPFHPFEHLMNECAIGFSGILFSMIVIETSLSGVQSRSVFGLFNVPAKWYAFILLVVFQLLMTNVSLLGHLCGILSGFAYTFGLFNFLIPGPSFYTAIESSSWLASCVRRPKFILCSGGNPSAYIPTYTAQNSSSGALFSGNIWRNLSSWMPQRGTTAQVLIQSYLDDCLCFSFSWPRTLCSILYFHVVAA >KJB36207 pep chromosome:Graimondii2_0_v6:6:40457836:40461208:1 gene:B456_006G146200 transcript:KJB36207 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) UniProtKB/TrEMBL;Acc:F4J5V3] MLALVPLGSELERIMGSIRMLYTIILLATSNAIFHLIIALVVAHNPFHPFEHLMNECAIGFSGILFSMIVIETSLSGVQSRSVFGLFNVPAKWYAFILLVVFQLLMTNVSLLGHLCGILSGFAYTFGLFNFLIPGPSFYTAIESSSWLASCVRRPKFILCSGGNPSAYIPTYTAQNSSSGALFSGNIWRNLSSWMPQRGTTAQPAQDDPRFPGRGRTLGSVQNTAVNSDSNLQARLLDNSRPTSPSDIAAAVAGERLSNERQLQVNDAVAVGAGGYPLPQMPQVASEEQIQKLLSMGFERTQVEVAIAAADGDLNVAVEILMSQQG >KJB36206 pep chromosome:Graimondii2_0_v6:6:40455075:40461451:1 gene:B456_006G146200 transcript:KJB36206 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) UniProtKB/TrEMBL;Acc:F4J5V3] MPNIVSEAGLQTRAGQWWDSIPFLTSAVVIVCGVIYLICLLVGYDSFYEVCFLPEAIVSHFQVYRIYTSIIFHGSLLHVLFNMLALVPLGSELERIMGSIRMLYTIILLATSNAIFHLIIALVVAHNPFHPFEHLMNECAIGFSGILFSMIVIETSLSGVQSRSVFGLFNVPAKWYAFILLVVFQLLMTNVSLLGHLCGILSGFAYTFGLFNFLIPGPSFYTAIESSSWLASCVRRPKFILCSGGNPSAYIPTYTAQNSSSGALFSGNIWRNLSSWMPQRGTTAQPAQDDPRFPGRGRTLGSVQNTAVNSDSNLQARLLDNSRPTSPSDIAAAVAGERLSNERQLQVNDAVAVGAGGYPLPQVASEEQIQKLLSMGFERTQVEVAIAAADGDLNVAVEILMSQQG >KJB36203 pep chromosome:Graimondii2_0_v6:6:40455027:40461467:1 gene:B456_006G146200 transcript:KJB36203 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) UniProtKB/TrEMBL;Acc:F4J5V3] MPNIVSEAGLQTRAGQWWDSIPFLTSAVVIVCGVIYLICLLVGYDSFYEVCFLPEAIVSHFQVYRIYTSIIFHGSLLHVLFNMLALVPLGSELERIMGSIRMLYTIILLATSNAIFHLIIALVVAHNPFHPFEHLMNECAIGFSGILFSMIVIETSLSGVQSRSVFGLFNVPAKWYAFILLVVFQLLMTNVSLLGHLCGILSGFAYTFGLFNFLIPGPSFYTAIESSSWLASCVRRPKFILCSGGNPSAYIPTYTAQNSSSGALFSGNIWRNLSSWMPQRGTTAQPAQDDPRFPGRGRTLGSVQNTAVNSDSNLQARLLDNSRPTSPSDIAAAVAGERLSNERQLQVNDAVAVGAGGYPLPQMPQVASEEQIQKLLSMGFERTQVEVAIAAADGDLNVAVEILMSQQG >KJB36204 pep chromosome:Graimondii2_0_v6:6:40457321:40461451:1 gene:B456_006G146200 transcript:KJB36204 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) UniProtKB/TrEMBL;Acc:F4J5V3] MLALVPLGSELERIMGSIRMLYTIILLATSNAIFHLIIALVVAHNPFHPFEHLMNECAIGFSGILFSMIVIETSLSGVQSRSVFGLFNVPAKWYAFILLVVFQLLMTNVSLLGHLCGILSGFAYTFGLFNFLIPGPSFYTAIESSSWLASCVRRPKFILCSGGNPSAYIPTYTAQNSSSGALFSGNIWRNLSSWMPQRGTTAQPAQDDPRFPGRGRTLGSVQNTAVNSDSNLQARLLDNSRPTSPSDIAAAVAGERLSNERQLQVNDAVAVGAGGYPLPQMPQVASEEQIQKLLSMGFERTQVEVAIAAADGDLNVAVEILMSQQG >KJB37813 pep chromosome:Graimondii2_0_v6:6:47421277:47426219:1 gene:B456_006G221600 transcript:KJB37813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEKINVNIDETLTETENEEHVEDEKERLDANLPPEEFPNEFQCCVCLELLYKPVVLACGHMSCFWCVYNAMNHVYQSNCPICRCPFNHFPSVCQLLHFLLLKLYPIAYKRRERQVQEEENEAGRFSLQFDKNLVEPMPCENSDIFGNNQNQPHLEMDIHSESCSKDQESSSSRDSLKMTMQDENGIANKPTTSSKDPEVSRNEPNEGKSWIQNEFEHKDQKVSIADLLCAACKRLLLRPVVLNCGHVYCESCFLIPKDENLRCQVCKSLQPNGFPSVCLILEQFLEEHFPEEYTERQRTLLKEHSCSIQAQRDAKRSAASMSMDFYSSWFLGNGPKVHIGVGCDYCGMTPIIGERYRCKDCVEKIGFDLCESCYKAPAKIPGRFNQQHKPEHQLEIEQPLSLSEFISRLTSEQSDDDISDAPGHTDDASHMPMLSASVQQDEGDGSQDPEDVSPSLILSVDVSLDQEDDSDDPNDNISSDTINQGFNPVNSCNYS >KJB34456 pep chromosome:Graimondii2_0_v6:6:26451057:26452587:1 gene:B456_006G066800 transcript:KJB34456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLKFREEKRPVLRAKIPLSIFGLPFQSGIIAGETKELTLNLSTFFESGPSIKVAYRPNDTWNPFSLIVKTGTGPFGSPISSSMLMTAEFNLLGHGNPSFMLHFKPQFGDFSIKKSQSSLFDKAVKPRNGVVLEDDSSIEVADMPAVNGDSIRFFAEKRKLADLNSRDIAGILSGMEVAARTTVPVKGKALVKFRWGMRIPSDMKSGVGGIVDPKTGVSLTKIPFLVMDKIGIEHVDGTDSKQANSTVSKSGPGEAPNSDVAEACYTLKRQLEALQSENGLLKRAVEDLRREISGGKFGDLNSGKYREMEWDGISKSKKERRNNENKSMEGDLNEELKKALKGAAGA >KJB34457 pep chromosome:Graimondii2_0_v6:6:26451024:26453108:1 gene:B456_006G066800 transcript:KJB34457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLKFREEKRPVLRAKIPLSIFGLPFQSGIIAGETKELTLNLSTFFESGPSIKVAYRPNDTWNPFSLIVKTGTGPFGSPISSSMLMTAEFNLLGHGNPSFMLHFKPQFGDFSIKKSQSSLFDKAVKPRNGVVLEDDSSIEVADMPAVNGDSIRFFAEKRKLADLNSRDIAGILSGMEVAARTTVPVKGKALVKFRWGMRIPSDMKSGVGGIVDPKTGVSLTKIPFLVMDKIGIEHVDGTDSKQANSTVSKSGPGEAPNSDVAEACYTLKRQLEALQSENGLLKRAVEDLRREISGGKFGDLNSGKYREMEWDGISKSKKERRNNENKSMEGDLNEELKKALKGAAGA >KJB37089 pep chromosome:Graimondii2_0_v6:6:44613738:44619078:-1 gene:B456_006G189200 transcript:KJB37089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKPEIIPGESGVIEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVDKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRLPILYTMSWPERIYCSEITWPRLDLCKLGSLTFKAPDNVKYPSMSLAYAAGRAGGTMTGVLSAANEKAVELFIDEKISYLDIFKVVELTCEKHQEEFVATPSLEEIIHYDLWARDYAASLQQSSGLSPVLA >KJB37093 pep chromosome:Graimondii2_0_v6:6:44615953:44619078:-1 gene:B456_006G189200 transcript:KJB37093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLVSPPEIHSISFLGSTKSSSFSKLFPGGFVLKKDCGTTFGRKVQCSAQAPQPPPAWPGRAFPDPGHKTWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLVDQVKTFKPQLVAVRNESLVNELKEALADMEQKPEIIPGESGVIEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVDKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMVETQVLLLT >KJB37091 pep chromosome:Graimondii2_0_v6:6:44613738:44619078:-1 gene:B456_006G189200 transcript:KJB37091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLVSPPEIHSISFLGSTKSSSFSKLFPGGFVLKKDCGTTFGRKVQCSAQAPQPPPAWPGRAFPDPGHKTWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLVDQVKTFKPQLVAVRNESLVNELKEALADMEQKPEIIPGESGVIEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVDKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRLPILYTMSWPERIYCSEITWPRLDLCKLGSLTFKAPDNVKYPSMSLAYAAGRAGGTMTGVLSAANEKAVELFIDEK >KJB37090 pep chromosome:Graimondii2_0_v6:6:44614391:44618903:-1 gene:B456_006G189200 transcript:KJB37090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLVSPPEIHSISFLGSTKSSSFSKLFPGGFVLKKDCGTTFGRKVQCSAQAPQPPPAWPGRAFPDPGHKTWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLVDQVKTFKPQLVAVRNESLVNELKEALADMEQKPEIIPGESGVIEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVDKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRLPILYTMSWPERIYCSEITWPRLDLCKLGSLTFKAPDNVKYPSMSLAYAAGRAGGTMTGVLSAANEKAVELFIDEKSAHPPDDACISHLNNHFLSLSRLLYDKDQLFGYF >KJB37092 pep chromosome:Graimondii2_0_v6:6:44613738:44619155:-1 gene:B456_006G189200 transcript:KJB37092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLVSPPEIHSISFLGSTKSSSFSKLFPGGFVLKKDCGTTFGRKVQCSAQAPQPPPAWPGRAFPDPGHKTWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLVDQVKTFKPQLVAVRNESLVNELKEALADMEQKPEIIPGESGVIEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVDKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRLPILYTMSWPERIYCSEITWPRLDLCKLGSLTFKAPDNVKYPSMSLAYAAGRAGGTMTGVLSAANEKAVELFIDEKISYLDIFKVVELTCEKHQEEFVATPSLEEIIHYDLWARDYAASLQQSSGLSPVLA >KJB36059 pep chromosome:Graimondii2_0_v6:6:39580455:39580655:-1 gene:B456_006G138900 transcript:KJB36059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIGTSAAHIVLAIFAVAMFVVSGTMAQDIAPSPAMATGAGSALPVSAVFLCSSMLVSLIALLVH >KJB36797 pep chromosome:Graimondii2_0_v6:6:43462639:43463691:-1 gene:B456_006G176700 transcript:KJB36797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISQASSNHADEDYIDMEVSSSSNYLCYSISSPPQSREFEFQMCSVSPDGDITSTYPADELFYKGKLLPLHLPPRLQMVQKLRQSSNNAALDSKTQPPIEPNPAIPSTNTSFPLEYCTSISASESCRVSSELNADDYFFECSTEMNGFIGNGSVSKTSWCRKLMQIKQSSISQKLKTSRAYLKSLFSKSGCSDESCAKAACNVEAGNVSKNKDCVNKYMKMAKKNPFGKIDNERYKISSIIMKSIDKEVVEDAANSHRRSFSGVIQRHSTTKSSSTSSSDSSSSSSSSFSFSSSGFYDLQLLKRSNSANSEMENSIEGAIAHCKQSQQLSSSRKISVNGDQERPGFCSI >KJB36798 pep chromosome:Graimondii2_0_v6:6:43462639:43463898:-1 gene:B456_006G176700 transcript:KJB36798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKLRQSSNNAALDSKTQPPIEPNPAIPSTNTSFPLEYCTSISASESCRVSSELNADDYFFECSTEMNGFIGNGSVSKTSWCRKLMQIKQSSISQKLKTSRAYLKSLFSKSGCSDESCAKAACNVEAGNVSKNKDCVNKYMKMAKKNPFGKIDNERYKISSIIMKSIDKEVVEDAANSHRRSFSGVIQRHSTTKSSSTSSSDSSSSSSSSFSFSSSGFYDLQLLKRSNSANSEMENSIEGAIAHCKQSQQLSSSRKISVNGDQERPGFCSI >KJB34893 pep chromosome:Graimondii2_0_v6:6:32446291:32449869:-1 gene:B456_006G088800 transcript:KJB34893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSFKTLLSLFPLVWVMLMQETSGSRHYYNVSNNIKGRKQAKNGCNLFQGNWVLDLSSYPFYNSSQCPFIDSEFDCLKYGRPDKQFLHYSWKPDSCNLPRFDGVNFLGKWKGKKIMFVGDSLSLNMWESLACMVQASVPNSKTTYVRKDPLSFVIFEDYGVTLYMYRTPYLVDIVRETVGAVLNLGSINGGNAWKGMDLLIFNTWHWWTHKGQSQAYDSYHLIFHLIIMIMIVKICFYVELYEDMDRLVAFNKGLTTWANWVDNNVDPNITKVFFQGISPTHYEGREWNQPNKNCNGELEPLSGPTYPAGAPPAVAVVNKVLGTMKKPVYLLDITTLSQLRKDAHPSTYGGLSGNDCSHWCLPGLPDTWNHLLYAALFM >KJB33799 pep chromosome:Graimondii2_0_v6:6:8172759:8173278:1 gene:B456_006G031300 transcript:KJB33799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCHSLFRTILKLPIVVEAFKPHVGSSSTSIEQQLPVDVVVACSQQLEPKAANKCFICRMKVGLTGFKCRCENTFCGEYWYPKKHECSFDFKGVGCDAIAKANPIAKADKVERF >KJB33758 pep chromosome:Graimondii2_0_v6:6:7598412:7601285:1 gene:B456_006G029700 transcript:KJB33758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIISCNSYSPLAASKWINPTLPTKASTFLTTPKYNSHKHVQCQLQNGQKGRPFSLKECAISIILAAELITGIPSLDAYAANPPLPDLSVLISGPPIKDPGALLRYALPINNKAVREVQKPLEDISESLKIAGVKALDSVERNVRQASRALKQGKTLIISGLAEAKKDRGAELLDKLEVGMEELQRIVEDRNRDAVAPKQKELLQYIGDVEEDMVDGFPYEVPEEYRNMPLLKGRAAVDMKVKVKDNPNLEECVFRIVLDGYNAPVTAGNFVDLVQRHFYDGMEIQRADGFVVQTGDPDGPAEGFVDPSTEKTRTIPLEIMVDGEKAPVYEATLEELGLYKAQTKLPFNAFGTMAMAREEFENNSASSQIFWLLKESELTPSNANILDGRYAVFGYVTENEDFLADVKVGDVIESIKLVSGLDNLVNPSYKIAG >KJB34704 pep chromosome:Graimondii2_0_v6:6:30468924:30470548:-1 gene:B456_006G079200 transcript:KJB34704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKEEKTQAAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVRLGERKDKMTMTSTMGASQCQKCFQVGHWTYECKNERVYMSRPSRTQQLKNPKLRMKLSVSYDLDNPDVKDDEKDDNSRSNKSKRKHRSDSGSSSDSEASVFETDSGGASSVTGSGSSSAVSSTDYSSSSESEEERKRRRKKNRKKKQKKVRRRRYSSSSESSDSESTSGSNSDDKSSRRESRKHNRRR >KJB34702 pep chromosome:Graimondii2_0_v6:6:30469229:30470023:-1 gene:B456_006G079200 transcript:KJB34702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKEEKTQAAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVRLGERKDKMTMTSTMGASQCQKCFQVGHWTYECKNERVYMSRPSRTQQLKNPKLRMKLSVSYDLDNPDVKDDEKDDNSRSNKSKRKHRSDSGSSSDSEASVFETDSGGASSVTGSGSSSAVSSTDYSSSSESEEERKRRRKKNRKKKQKKVRRRRYSSSSESSDSESTSGSNSDDKSSRRESRKHNRRR >KJB34703 pep chromosome:Graimondii2_0_v6:6:30468954:30470546:-1 gene:B456_006G079200 transcript:KJB34703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKEEKTQAAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVRLGERKDKMTMTSTMGASQCQKCFQVGHWTYECKNERVYMSRPSRTQQLKNPKLRMKLSVSYDLDNPDVKDDEKDDNSRSNKSKRKHRSDSGSSSDSEASVFETDSGGASSVTGSGSSSAVSSTDYSSSSESEEERKRRRKKNRKKKQKKVRRRRYSSSSESSDSESTSGSNSDDKSSRRESRKHNRRR >KJB34958 pep chromosome:Graimondii2_0_v6:6:32947665:32949260:-1 gene:B456_006G092400 transcript:KJB34958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKCNLELELFPSRIFPGHRQNMVEESKRIPQNQQQQLTIFYNGRVCVCDVTELQARAILMRANREADERIKTPTGSEPDSPTSSSSTSPSRLCSPNAGLSMKKSLQRFLQKRKNRIQATSPYH >KJB37875 pep chromosome:Graimondii2_0_v6:6:47617079:47619057:-1 gene:B456_006G224300 transcript:KJB37875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAGTLLAVAVALVFFFQALTWTRMAKSKRLPPGPKGLPIIGNLLMIGKNPHHDFQRLAQKYGPIMYLRFGLMPVIVVSSPEAAELFLKTHDLVFASRPPHDCSKHISYNQQNLVFSPYGPYWRNMRKMCTLELLSNHKINSFRSMRMEELHGCVQYIREVAATAGVVNLSSMVSSFSTEISCRMIIGKKYDRGDFSEKGFEVMIREAMQIAATFNLADYIPQIRALDLQGLTKRMKIIAKDFDDFFEKIIDEHVRSKDENRVKDFVDVMLGFMGSEETNEYRVERDTIKAIILDMLAGSMDTSAVAIDWTLTELIRHPQVMKKVQKELENVVGMERMVKESDLEKLEYLDMVVKESFRLHPVGPLLTPHAAREDCTVDGFHIPKNSRIFINAWAIGRDERVWTNAERFYPERFIGSDIDLRGCNFELIPFGAGRRGCPGMQLGLSVVRLVVAQLVHCFNWKLPNDMLGSELDMSEDFGLVCPRANHLLAIPTWRLKD >KJB38364 pep chromosome:Graimondii2_0_v6:6:49515679:49520681:-1 gene:B456_006G251700 transcript:KJB38364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2B [Source:Projected from Arabidopsis thaliana (AT2G33385) UniProtKB/Swiss-Prot;Acc:F4IVU1] MVRQISTDVLHIVEPANDGYQLTLRLDFSKLPHNKESLKIITEVSSVQAVILSSQLKEMLQNVNSWDSSQGIYKPIKLIYHPKEPFYVIRQPQKIVAVFPMRFKDNSDVIIATSFFQLMDVVSLKKWAKVPPCNWSPIPPLELRGESFEDLSTNGGFVSFDISSHHVEGKRLDKTVWSLLNFYAYVKHHVKCTRGFIQRRMRRRLDNLVEVLAKSRVEDGQIKKVQGWRKMRKMVGSKSKMIIRRWGDLGKKIKRIRFRIKIHGFARFRRRWLIVPSFSSSTAYTKLQTNGNNN >KJB38362 pep chromosome:Graimondii2_0_v6:6:49515912:49520479:-1 gene:B456_006G251700 transcript:KJB38362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2B [Source:Projected from Arabidopsis thaliana (AT2G33385) UniProtKB/Swiss-Prot;Acc:F4IVU1] MASFERASPALKEILLRIYNAEKPIEVDYHLYEFGSVEYHIKSSVSEPQVTYLSISTPFLSQGVFLSYGLSPFTLKMVRQISTDVLHIVEPANDGYQLTLRLDFSKLPHNKESLKIITEVSSVQAVILSSQLKEMLQNVNSWDSSQGIYKPIKLIYHPKEPFYVIRQPQKIVAVFPMRFKDNSDVIIATSFFQLMDVVSLKKWAKVPPCNWSPIPPLELRGESFEDLSTNGGFVSFDISSHHVEGKRLDKTVWSLLNFYAYVKHHVKCTRGFIQRRMRRRLDNLVEVLAKSRVEDGQIKKVQGWRKMRKMVGSKSKMIIRRWGDLGKKIKRIRFRIKIHGFARFRRRWLIVPSFSSSTAYTKLQTNGNNN >KJB38365 pep chromosome:Graimondii2_0_v6:6:49515722:49520681:-1 gene:B456_006G251700 transcript:KJB38365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2B [Source:Projected from Arabidopsis thaliana (AT2G33385) UniProtKB/Swiss-Prot;Acc:F4IVU1] MVRQISTDVLHIVEPANDGYQLTLRLDFSKLPHNKESLKIITEVSSVQAVILSSQLKEMLQNVNSWDSSQGIYKPIKLIYHPKEPFYVIRQPQKIVAVFPMRFKDNSDVIIATSFFQELMDVVSLKKWAKVPPCNWSPIPPLELRGESFEDLSTNGGFVSFDISSHHVEGKRLDKTVWSLLNFYAYVKHHVKCTRGFIQRRMRRRLDNLVEVLAKSRVEDGQIKKVQGWRKMRKMVGSKSKMIIRRWGDLGKKIKRIRFRIKIHGFARFRRRWLIVPSFSSSTAYTKLQTNGNNN >KJB38363 pep chromosome:Graimondii2_0_v6:6:49515912:49520479:-1 gene:B456_006G251700 transcript:KJB38363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2B [Source:Projected from Arabidopsis thaliana (AT2G33385) UniProtKB/Swiss-Prot;Acc:F4IVU1] MASFERASPALKEILLRIYNAEKPIEVDYHLYEFGSVEYHIKSSVSEPQVTYLSISTPFLSQGVFLSYGLSPFTLKMVRQISTDVLHIVEPANDGYQLTLRLDFSKLPHNKESLKIITEVSSVQAVILSSQLKEMLQNVNSWDSSQGIYKPIKLIYHPKEPFYVIRQPQKIVAVFPMRFKDNSDVIIATSFFQELMDVVSLKKWAKVPPCNWSPIPPLELRGESFEDLSTNGGFVSFDISSHHVEGKRLDKTVWSLLNFYAYVKHHVKCTRGFIQRRMRRRLDNLVEVLAKSRVEDGQIKKVQGWRKMRKMVGSKSKMIIRRWGDLGKKIKRIRFRIKIHGFARFRRRWLIVPSFSSSTAYTKLQTNGNNN >KJB36825 pep chromosome:Graimondii2_0_v6:6:43571227:43572020:-1 gene:B456_006G178200 transcript:KJB36825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMKLISVMGLIVLISLGKVVDAAGECGKSSPDNEAMKLAPCAEAAQDENAPVSTSCCAQVRKIGQSPKCLCAVMLSNTAKASGIKPEIAVTIPKRCNIANRPVGYKCGAYTLP >KJB33421 pep chromosome:Graimondii2_0_v6:6:2304928:2306882:1 gene:B456_006G010300 transcript:KJB33421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDSCTGVGVVGACGSLGALRFLCQVHGFMVVYGLDLNLIVYNALIDAYGKCGEVDSAHRIFNRMSERDVVSWTSMVVAYAKVSRLEDAIRIFKEMPVKNTVSWTSLITGFAENSCGNEALNLFRQMLEEGVQPNAYTFVSVLSVCADLALIEKGKQIHAHIIRVGSIHDLLNQFIFNALIDMYCKCGDMNSAKLLFERIHEKDVVSWNSLITGLAQNGHGEDSLNVFRMMIKANIRPNHVTFLGALSACSHAGLVTEGMRILNLMEKGFGVTPRSDHYAILIDLLGRKNNLEEAMNMIKRTPNGPNRVGMWGALLGACRVHGNLAIARQAAEALFELEPNNAARYVMLSNVYAAARKWEDSRAVRRLMEERGLRKEAAYSWIDVRNVRHEFVAKGEGHAQIEKIAEVTIILVDHIKDVGCIPLRDGTLFPGEDDGFTAC >KJB34329 pep chromosome:Graimondii2_0_v6:6:22071499:22074282:-1 gene:B456_006G060200 transcript:KJB34329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNVRTITAQIHTYYKGPYKSDNVNPGPNPSTETLGFLIHGGELKQSLLFHFGVSHLFHLWLLFQISKMYTSRKKIHKDKDAEPTEFEESVAQAFFDLENTNQDLKSDLKDLYINSAVQIDVSGSRKAVVIHVPYRLRKAFRKVHVKLVRELEKKFSGKDVILIATRRILRPPKKGSAVQRPRSRTLTAVHEAMLEDVVLPAEIVGKRTRYRIDGSKIMKVYLDPKERNNTEYKLETFSAVYRKLAGKDVVFEFPVTEA >KJB37103 pep chromosome:Graimondii2_0_v6:6:44681221:44683472:-1 gene:B456_006G189600 transcript:KJB37103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVGLPPGFRFHPTDEELVNYYLKRKINGQEIELDIIPEVDLYKCEPWELAEKSILPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVASQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKESEDYCGIQDSYALCRVFKKNGICSETEDQGRCNMLVMECSQSVINDSETMSPDLPLASSSSFIEEEDKDDSWMQFITDDPWCSSNTTALAGDDVSNVALTN >KJB37104 pep chromosome:Graimondii2_0_v6:6:44681534:44683414:-1 gene:B456_006G189600 transcript:KJB37104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVGLPPGFRFHPTDEELVNYYLKRKINGQEIELDIIPEVDLYKCEPWELAEKSILPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVASQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKESEDYCGIQVDSYALCRVFKKNGICSETEDQGRCNMLVMECSQSVINDSETMSPDLPLASSSSFIEEEDKDDSWMQFITDDPWCSSNTTALAGDDVSNVALTN >KJB35465 pep chromosome:Graimondii2_0_v6:6:36509959:36512870:-1 gene:B456_006G116200 transcript:KJB35465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKITYKRLKGSQNMRQRLLLATLKSTPVLIEDIHADDTLPGLRPHEISLLRLFEKLTDDCLIEINETGTKLKYKPGIIVGGSHLVHDCGVSRAIGYFLEPLILLGLFARKTLVIILKGITNDSKDPSVDTFRSTTFPLLKRFGVPSEGLKLEIKSRGVSPHGGGEVHLTIPTVQHLTATMWTDEGMVKRIRGEAFTTRVSVQLGNEMIYAARGIFNRLLPDVYIASDYRKRSEAGNSSGYGISLVAETTSGCCISADTTVSYPRGDEEEDIEGEEKKELIPAADVGEKLASVLLEEIEKGGVVDSTHQGLLFLLCALCVNDVSKVRVGKLSPYGIETLREIRDFLDVQFVIKPDPSTGTVILKCWGSGMKNLSRKTT >KJB35438 pep chromosome:Graimondii2_0_v6:6:36263730:36265539:1 gene:B456_006G114700 transcript:KJB35438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNKRERNQNSQPFLADGDSVASSKKRSKAPKHHQKQDKCRCFRRG >KJB37326 pep chromosome:Graimondii2_0_v6:6:45693270:45693881:1 gene:B456_006G199800 transcript:KJB37326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSYEQRKTGTESAAEASKELKRKKRMKLVVYAAAFAIFQTIVILVFSLTVMRIKNPKFRLTSVTVEDLTAAPTPVSFNMKLSAQVAVKNTNFGHFKFDNTTIWFDYGGVGVGEAIVARGRSKARSTKKMNVTVELNSNNIPNNSSLENDIKAGFVALTCHSKLSGKVQLMKLIKKKKSAEMSCAMLLNMETRVVQDINCQ >KJB33929 pep chromosome:Graimondii2_0_v6:6:10799649:10800547:-1 gene:B456_006G039000 transcript:KJB33929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNQAAVSFLTNIARAAFGLGAAATLLNASMYTVDGGERAVIFDRLRGVLDKTAEEGTHFLVPWLQKPFIYDIRMKPHTFSSISGTKDLQMVNLTLRVLSKPKVDKLPEIYQRLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPQVSALVRNSLTQR >KJB37845 pep chromosome:Graimondii2_0_v6:6:47532309:47536475:-1 gene:B456_006G223000 transcript:KJB37845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEQRDQDKTLICDPTQINATQENEKIIEWEDFGHELARLWSLTSALKEANEKKLNLQEKLQYFIQVKTESLTRLNELEEMRERLVARKVMMGNMSTRCKVATEDAKKQEKMLSAELRSWLVAGTSLSVARKRLQESNRTLNEKRGYIKLKNMQRKLRARQQYMISQVSLLYPVKSLVGPAQEEELESYPSSSRLGNSSVSKPINYGTLTILGLHLTMRPFTKMSFFTDKKEVQKSATALGYVAHAVSLIASYLQVPLRYPLRLGGSCSYVNDNVPPAGPTTLDLSWKTPLSATVKPVEFPLFLKGQDTTRAAYAIFLLSKVSFHVLPFLLVNCFPFACFLCMLFGYNYSYYSIRCCSSTAWKKCAYLSFSLYNSISCLW >KJB37846 pep chromosome:Graimondii2_0_v6:6:47532430:47536558:-1 gene:B456_006G223000 transcript:KJB37846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEQRDQDKTLICDPTQINATQENEKIIEWEDFGHELARLWSLTSALKEANEKKLNLQEKLQYFIQVKTESLTRLNELEEMRERLVARKVMMGNMSTRCKVATEDAKKQEKMLSAELRSWLVAGTSLSVARKRLQESNRTLNEKRGYIKLKNMQRKLRARQQYMISQVSLLYPVKSLVGPAQEEELESYPSSSRLGNSSVSKPINYGTLTILGLHLTMRPFTKMSFFTDKKEVQKSATALGYVAHAVSLIASYLQVPLRYPLRLGGSCSYVNDNVPPAGPTTLDLSWKTPLSATVKPVEFPLFLKGQDTTRAAYAIFLLSKLLFYKMLFIHCLEKVCLFVL >KJB37844 pep chromosome:Graimondii2_0_v6:6:47530140:47536558:-1 gene:B456_006G223000 transcript:KJB37844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEQRDQDKTLICDPTQINATQENEKIIEWEDFGHELARLWSLTSALKEANEKKLNLQEKLQYFIQVKTESLTRLNELEEMRERLVARKVMMGNMSTRCKVATEDAKKQEKMLSAELRSWLVAGTSLSVARKRLQESNRTLNEKRGYIKLKNMQRKLRARQQYMISQVSLLYPVKSLVGPAQEEELESYPSSSRLGNSSVSKPINYGTLTILGLHLTMRPFTKMSFFTDKKEVQKSATALGYVAHAVSLIASYLQVPLRYPLRLGGSCSYVNDNVPPAGPTTLDLSWKTPLSATVKPVEFPLFLKGQDTTRAAYAIFLLSKDIEQLLNFIGEDSLGPRHLVANLKELLRCVQSSEFIDTS >KJB38698 pep chromosome:Graimondii2_0_v6:6:50576366:50577739:-1 gene:B456_006G267400 transcript:KJB38698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDSGDTKDDLRLPTDENLLKQVN >KJB38699 pep chromosome:Graimondii2_0_v6:6:50575357:50577996:-1 gene:B456_006G267400 transcript:KJB38699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDSGDTKDDLRLPTDENLLKQICALKDIGPK >KJB38697 pep chromosome:Graimondii2_0_v6:6:50575352:50577996:-1 gene:B456_006G267400 transcript:KJB38697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDSGDTKDDLRLPTDENLLKQIKEGFADGKDLVVSVMSAMGEEQICALKDIGPK >KJB38687 pep chromosome:Graimondii2_0_v6:6:50545619:50557930:-1 gene:B456_006G267200 transcript:KJB38687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFKRIFYRKPQERLLEISERIYVFDCCFSTDVLDEDGYKVYMSRIVGQLNDHFPESSFMVFNFKEGERPSQISDILSQYEMTVMDYPRQYEGCPLLPLEMIHYFLRSSESWLSLQGQQNVLLMHCERGGWPMLAFMLASLLLYRKQYSDEQKTLDMVYKQAPKALLQLLSPLNPQPSQLRYLQYISRRNLGSKWPPSETPLFMDCLIFRVLPTFEGGKGCRPVIRVYGQDPKARNNRSSKLLFSNAKTKAQIPYYRKEECALVKIDIHCHIQGDVVLECIHLNEDLVREEMLFRVMFHTALVQGNILMFYSNEVDKLWDVKYQFPKDFRLEVLFMDPNAVIPPTTGVFATGDENEIESVSKEEYFEVQELFSNAVDAPEAMVDDESPLAHDKPEQKDMLWEDANGLTNKGWVSDDGKLKQDVNVDSSMDMVKGLFVDDVNYSIDKKMDYGTNAVKEIVGDNGDNQVDPSVFTVDMLKQRETKGMTDVLGKPEVIQDKGHREDTIQLKNLESEVLQQTLEPGVSKPNFEEVLPALEKKAGTGSESTSDPVMVKPNSNQLVSQGFLARQAKPSVVSQLIPSNKGSSTALPTLSSGLALEESNSGANLKGSNAAVVSNDVSFEQGSRKADHLTGPLDSQTEVSTTPIVPTFPPGLQQSVSIPSSTLSPPSPCTPTAPLPPPPPPRSSSLVSRPVQKKAKSKLPLARPFMAAIGNAITRISRAVPPPPPLPHNRAKNVQNVGMVSQTTSASPDISNNQTNALVVPPPPPLPPPPWKAQSSTARTIRHIPPSLLSATLGSSSKGISKLSQLCSLSRSTSTRRNFSHPLTTTSEPCNFRPPPPTTPIQIAMALAFIHGMQSASPPSRSPFSEASSLPLPPSSLPTGHGTSPPPLPPTKDGASPPPSSPLPPQNGAFPPASPSMPPLGSSPPPPPPPPSTFGALPPSEFDVSPPVHGMTAPPTASCGAPPPPPPTLGAPTPPPGASPSPPPPPPPPLPSLSSTSPPPPPPPPMSSAPPPPPPPFGAPPPPPPIPGAPSPPMAGAPPPPPPPGGRAPGPPPPPGAPGVGPPPPPPLGGKAADMKGRGRGRGAGAAPKRSSLKPLHWSKVTRAIQGSLWDELQRLGEIQISAEIDVSELETLFSAVVPKPAAKSAGKSKAAGSKPDVVHLVDLKRANNTEIMLTKVKMPLSDMMAAVLALDDSVLDADQVENLIKFCPNKDEMELVKGYTGDKETLGKCEQFFLELMKVPRVEAKLRVFSFKIQFNTQISEFKKSLNIVNSACEEVRNSLKLKEIMKKILCLGNTLNQGTARGSAVGFKLDSLLKLSETRASNSRMTLMHYLCKVLATRAPELLEFHLDLVSLEAASKVQLKYLAEEMQAIIKGLEKVKQELAASEDDGPVSEGFRKGRNADALALYFGEDPARCPFEQVTVTILKFVKLFQKALEENVKQDELEKKKALKDAEMEKAAK >KJB38690 pep chromosome:Graimondii2_0_v6:6:50551673:50557930:-1 gene:B456_006G267200 transcript:KJB38690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFKRIFYRKPQERLLEISERIYVFDCCFSTDVLDEDGYKVYMSRIVGQLNDHFPESSFMVFNFKEGERPSQISDILSQYEMTVMDYPRQYEGCPLLPLEMIHYFLRSSESWLSLQGQQNVLLMHCERGGWPMLAFMLASLLLYRKQYSDEQKTLDMVYKQAPKALLQLLSPLNPQPSQLRYLQYISRRNLGSKWPPSETPLFMDCLIFRVLPTFEGGKGCRPVIRVYGQDPKARNNRSSKLLFSNAKTKAQIPYYRKEECALVKIDIHCHIQGDVVLECIHLNEDLVREEMLFRVMFHTALVQGNILMFYSNEVDKLWDVKYQFPKDFRLEVLFMDPNAVIPPTTGVFATGDENEIESVSKEEYFEVQELFSNAVDAPEAMVDDESPLAHDKPEQKDMLWEDANGLTNKGWVSDDGKLKQDVNVDSSMDMVKGLFVDDVNYSIDKKMDYGTNAVKEIVGDNGDNQVDPSVFTVDMLKQRETKGMTDVLGKPEVIQDKGHREDTIQLKNLESEVLQQTLEPGVSKPNFEEVLPALEKKAGTGSESTSDPVMVKPNSNQLVSQGFLARQAKPSVVSQLIPSNKGSSTALPTLSSGLALEESNSGANLKGSNAAVVSNDVSFEQGSRKADHLTGPLDSQTEVSTTPIVPTFPPGLQQSVSIPSSTLSPPSPCTPTAPLPPPPPPRSSSLVSRPVQKKAKSKLPLARPFMAAIGNAITRISRAVPPPPPLPHNRAKNVQNVGMVSQTTSASPDISNNQTNALVVPPPPPLPPPPWKAQSSTARTIRHIPPSLLSATLGSSSKGISKLSQLCSLSRSTSTRRNFSHPLTTTSEPCNFRPPPPTTPIQIAMALAFIHGMQSASPPSRSPFSEASSLPLPPSSLPTGHGTSPPPLPPTKDGASPPPSSPLPPQNGAFPPASPSMPPLGSSPPPPPPPPSTFGALPPSEFDVSPPVHGMTAPPTASCGAPPPPPPTLGAPTPPPGASPSPPPPPPPPLPSLSSTSPPPPPPPPMSSAPPPPPPPFGAPPPPPPIPGAPSPPMAGAPPPPPPPGGRAPGPPPPPGAPGVGPPPPPPLGGKAADMKGRGRGRGAGAAPKRSSLKPLHWSKVTRAIQGSLWDELQRLGEIQISAEIDVSELETLFSAVVPKPAAKSAGKSKAAGSKPDVVHLVDLKRANNTEIMLTKVKMPLSDMMAAVLALDDSVLDADQVENLIKFCPNKDEMELVKVKP >KJB38689 pep chromosome:Graimondii2_0_v6:6:50548272:50557930:-1 gene:B456_006G267200 transcript:KJB38689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFKRIFYRKPQERLLEISERIYVFDCCFSTDVLDEDGYKVYMSRIVGQLNDHFPESSFMVFNFKEGERPSQISDILSQYEMTVMDYPRQYEGCPLLPLEMIHYFLRSSESWLSLQGQQNVLLMHCERGGWPMLAFMLASLLLYRKQYSDEQKTLDMVYKQAPKALLQLLSPLNPQPSQLRYLQYISRRNLGSKWPPSETPLFMDCLIFRVLPTFEGGKGCRPVIRVYGQDPKARNNRSSKLLFSNAKTKAQIPYYRKEECALVKIDIHCHIQGDVVLECIHLNEDLVREEMLFRVMFHTALVQGNILMFYSNEVDKLWDVKYQFPKDFRLEVLFMDPNAVIPPTTGVFATGDENEIESVSKEEYFEVQELFSNAVDAPEAMVDDESPLAHDKPEQKDMLWEDANGLTNKGWVSDDGKLKQDVNVDSSMDMVKGLFVDDVNYSIDKKMDYGTNAVKEIVGDNGDNQVDPSVFTVDMLKQRETKGMTDVLGKPEVIQDKGHREDTIQLKNLESEVLQQTLEPGVSKPNFEEVLPALEKKAGTGSESTSDPVMVKPNSNQLVSQGFLARQAKPSVVSQLIPSNKGSSTALPTLSSGLALEESNSGANLKGSNAAVVSNDVSFEQGSRKADHLTGPLDSQTEVSTTPIVPTFPPGLQQSVSIPSSTLSPPSPCTPTAPLPPPPPPRSSSLVSRPVQKKAKSKLPLARPFMAAIGNAITRISRAVPPPPPLPHNRAKNVQNVGMVSQTTSASPDISNNQTNALVVPPPPPLPPPPWKAQSSTARTIRHIPPSLLSATLGSSSKGISKLSQLCSLSRSTSTRRNFSHPLTTTSEPCNFRPPPPTTPIQIAMALAFIHGMQSASPPSRSPFSEASSLPLPPSSLPTGHGTSPPPLPPTKDGASPPPSSPLPPQNGAFPPASPSMPPLGSSPPPPPPPPSTFGALPPSEFDVSPPVHGMTAPPTASCGAPPPPPPTLGAPTPPPGASPSPPPPPPPPLPSLSSTSPPPPPPPPMSSAPPPPPPPFGAPPPPPPIPGAPSPPMAGAPPPPPPPGGRAPGPPPPPGAPGVGPPPPPPLGGKAADMKGRGRGRGAGAAPKRSSLKPLHWSKVTRAIQGSLWDELQRLGEIQISAEIDVSELETLFSAVVPKPAAKSAGKSKAAGSKPDVVHLVDLKRANNTEIMLTKVKMPLSDMMAAVLALDDSVLDADQVENLIKFCPNKDEMELVKGYTGDKETLGKCEQFFLELMKVPRVEAKLRVFSFKIQFNTQISEFKKSLNIVNSACEEVRNSLKLKEIMKKILCLGNTLNQGTARGSAVGFKLDSLLKLSETRASNSRMTLMHYLCKVLATRAPELLEFHLDLVSLEAASKVQLKYLAEEMQAIIKGLEKVKQELAASEDDGPVSEGFRKVHCCFTALYSSTFILNICCILQCV >KJB38688 pep chromosome:Graimondii2_0_v6:6:50545619:50558187:-1 gene:B456_006G267200 transcript:KJB38688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFKRIFYRKPQERLLEISERIYVFDCCFSTDVLDEDGYKVYMSRIVGQLNDHFPESSFMVFNFKEGERPSQISDILSQYEMTVMDYPRQYEGCPLLPLEMIHYFLRSSESWLSLQGQQNVLLMHCERGGWPMLAFMLASLLLYRKQYSDEQKTLDMVYKQAPKALLQLLSPLNPQPSQLRYLQYISRRNLGSKWPPSETPLFMDCLIFRVLPTFEGGKGCRPVIRVYGQDPKARNNRSSKLLFSNAKTKAQIPYYRKEECALVKIDIHCHIQGDVVLECIHLNEDLVREEMLFRVMFHTALVQGNILMFYSNEVDKLWDVKYQFPKDFRLEVLFMDPNAVIPPTTGVFATGDENEIESVSKEEYFEVQELFSNAVDAPEAMVDDESPLAHDKPEQKDMLWEDANGLTNKGWVSDDGKLKQDVNVDSSMDMVKGLFVDDVNYSIDKKMDYGTNAVKEIVGDNGDNQVDPSVFTVDMLKQRETKGMTDVLGKPEVIQDKGHREDTIQLKNLESEVLQQTLEPGVSKPNFEEVLPALEKKAGTGSESTSDPVMVKPNSNQLVSQGFLARQAKPSVVSQLIPSNKGSSTALPTLSSGLALEESNSGANLKGSNAAVVSNDVSFEQGSRKADHLTGPLDSQTEVSTTPIVPTFPPGLQQSVSIPSSTLSPPSPCTPTAPLPPPPPPRSSSLVSRPVQKKAKSKLPLARPFMAAIGNAITRISRAVPPPPPLPHNRAKNVQNVGMVSQTTSASPDISNNQTNALVVPPPPPLPPPPWKAQSSTARTIRHIPPSLLSATLGSSSKGISKLSQLCSLSRSTSTRRNFSHPLTTTSEPCNFRPPPPTTPIQIAMALAFIHGMQSASPPSRSPFSEASSLPLPPSSLPTGHGTSPPPLPPTKDGASPPPSSPLPPQNGAFPPASPSMPPLGSSPPPPPPPPSTFGALPPSEFDVSPPVHGMTAPPTASCGAPPPPPPTLGAPTPPPGASPSPPPPPPPPLPSLSSTSPPPPPPPPMSSAPPPPPPPFGAPPPPPPIPGAPSPPMAGAPPPPPPPGGRAPGPPPPPGAPGVGPPPPPPLGGKAADMKGRGRGRGAGAAPKRSSLKPLHWSKVTRAIQGSLWDELQRLGEIQISAEIDVSELETLFSAVVPKPAAKSAGKSKAAGSKPDVVHLVDLKRANNTEIMLTKVKMPLSDMMAAVLALDDSVLDADQVENLIKFCPNKDEMELVKGYTGDKETLGKCEQFFLELMKVPRVEAKLRVFSFKIQFNTQISEFKKSLNIVNSACEEVRNSLKLKEIMKKILCLGNTLNQGTARGSAVGFKLDSLLKLSETRASNSRMTLMHYLCKVLATRAPELLEFHLDLVSLEAASKVQLKYLAEEMQAIIKGLEKVKQELAASEDDGPVSEGFRKTLKDFTTVAETEAGSLQNLYTVVGRNADALALYFGEDPARCPFEQVTVTILKFVKLFQKALEENVKQDELEKKKALKDAEMEKAAK >KJB37356 pep chromosome:Graimondii2_0_v6:6:45821804:45826786:-1 gene:B456_006G201500 transcript:KJB37356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAALRSKPPVEIASLTVSQFRHFLSNFMHYGRVASSSHCTTNRTKWDNHSVNTPYYFTSFKPVSLSGELVDKGSQLLDIRRNSRGTNKDFDKELRDKLSYNNCTVLSSYGDPPEVWQPPGDGVAIRVSGVNLGRGGGGGGGGPSPGSGGDFGAGSKDDCWGGSSLGHNFPTPKEICKGLDKFVIGQERAKKVLSVAVYNHYMRIYHESMQKRPAGDSGCNIADVLDDDIVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATSLTQAGYVGEDVESILYKLLVVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGENIQIDTKNILFICGGAFIDIEKTISERRQDSSIGFGAPVRANIRAGGVTNAVVASSLMETVESSDLIAYGLIPEFVGRFPVLVSLLALTEEQLVQVDALPFFMSVQFIFSSISLLMG >KJB37355 pep chromosome:Graimondii2_0_v6:6:45819987:45827000:-1 gene:B456_006G201500 transcript:KJB37355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAALRSKPPVEIASLTVSQFRHFLSNFMHYGRVASSSHCTTNRTKWDNHSVNTPYYFTSFKPVSLSGELVDKGSQLLDIRRNSRGTNKDFDKELRDKLSYNNCTVLSSYGDPPEVWQPPGDGVAIRVSGVNLGRGGGGGGGGPSPGSGGDFGAGSKDDCWGGSSLGHNFPTPKEICKGLDKFVIGQERAKKVLSVAVYNHYMRIYHESMQKRPAGDSGCNIADVLDDDIVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATSLTQAGYVGEDVESILYKLLVVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGENIQIDTKNILFICGGAFIDIEKTISERRQDSSIGFGAPVRANIRAGGVTNAVVASSLMETVESSDLIAYGLIPEFVGRFPVLVSLLALTEEQLVQVLTEPKNALGKQYKKMFQINGVKLHITENALKLIARKAINKNTGARGLRAILENILMDAMYEIPDVRTGDDVIDAVVVDEEAIGSEDHRQGAKILYGKGALDRYLSRQKLRDSETTVDGLDGELEVEAELRPVVASM >KJB34437 pep chromosome:Graimondii2_0_v6:6:24799191:24800928:1 gene:B456_006G065600 transcript:KJB34437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSLPKLKQHNSLSNIIAIFLSTMAMLSKNLHSTSTPLQLQLVLLSLQRLFLFQQWNWNPIFHLLLLFCFFLVYVLRVGALAFKPPSVYLVDFSCFKPPSTCQLPLSRFIQHASSIISFDSQSVEFMAKIVASSGLSEQTYLPPALLSSPPKTHQREYIKEAEMILLPLMDDLLTKAKLSPRDIDILVIHCSAFCPSPSLSSIIVNKYSMRSDVKSFNLSGMGCSSGAIGIDLAQNLLKTNNNCYAIVISTEILSAGWYSGHERSKLLINCLFRMGSAALLLTNRKEASKTSKYRFIRTLRTQTAFDDKAYLTAIREEDDDGKLGVTINKGLLPAAGELLRSHMTILGLKILPLTEKLKHVVSVIRKKFKDKEGEIYMPSFKTAIQHFCLPTSGRALIGEIAKGLNLDGRDVEASLMTLHRFGNQSSSSMWYELAYMEARERVKKGDKVLMLGMGTGPKCGSCVWECVRPIAGDSNKNNPWRDCIHLYPIEAVSSISQT >KJB37106 pep chromosome:Graimondii2_0_v6:6:44691639:44694187:1 gene:B456_006G189700 transcript:KJB37106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIYMLVVAIVLPCCFEILSLNCGTLMKETLRYLAGIVGPSGYGSKSTAEQVAHSCSYLVDSQRLTAIITGATSGIGAETARAVAKRGVRVVIPARDIKKAAELKERIRKDNPNAEVILCEIDLSSLASVKRFCNEFLALGLPLNILINNAGIFSQKLEFSEDKFEMTFATNYLGHYLLTEMLIEKMIETAEQTGIQGRIINLSSVIHSWVGRDCFHFNQMLHPINYNGTRAYAQSKLATILHAKEIAKQLKAKNANVTINAVHPGIVKTGIFRAHKGFITGKNLHILVIQVFVFSFSI >KJB37105 pep chromosome:Graimondii2_0_v6:6:44691503:44694224:1 gene:B456_006G189700 transcript:KJB37105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIYMLVVAIVLPCCFEILSLNCGTLMKETLRYLAGIVGPSGYGSKSTAEQVAHSCSYLVDSQRLTAIITGATSGIGAETARAVAKRGVRVVIPARDIKKAAELKERIRKDNPNAEVILCEIDLSSLASVKRFCNEFLALGLPLNILINNAGIFSQKLEFSEDKFEMTFATNYLGHYLLTEMLIEKMIETAEQTGIQGRIINLSSVIHSWVGRDCFHFNQMLHPINYNGTRAYAQSKLATILHAKEIAKQLKAKNANVTINAVHPGIVKTGIFRAHKGFITDSIFFIASKLLKSTSQGASTSCYVALSPQVEGMSGKYFVDCNESNCSVLANDESEARRLWRQTRALIRRRLYQTPC >KJB38037 pep chromosome:Graimondii2_0_v6:6:48211614:48215405:-1 gene:B456_006G233600 transcript:KJB38037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGFISSWRRVFSETKCSLRRGQFGRRRCFSLVAHKAELDKNNVDSVPKLPPFDYSPPPYTGPSAVDILSKRKEYLSPSMFYFYQKPLNVVDGRMQYLFDENGRRYLDAFGGIATLSCGHCHPDVVDAIVNQTKRLQHSTILYLNNAIADFAEALANKLPGNLKVVFFTNSGTEANELAMMIARLYTGCHDIISLRNAYHGNAAGTMGATAQSNWKFNVIQSGVHHAINPDPYRGVFGSEGEKYAKDVQDLIQFGTSGNIAGFISEAIQGVGGIIELAPGYMPAVYSTIKKAGGLCIADEVQAGFARTGSHFWGFENHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAEVLTRRSYFNTFGGNPVCTAAGLAVLKVIEKEKLQENAHVVGSHLKERLTALKDKYDLIGDVRGRGLMLGVELVTDHKLKTPAKLETLHIMEQLKEIGVLVGKGGFYGNVFRMTPPLCFTKEDADFLVDAMDYTMGKM >KJB34925 pep chromosome:Graimondii2_0_v6:6:32792839:32793487:1 gene:B456_006G091500 transcript:KJB34925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVIIISLPLIFFCLLLGLGCYLLGRYKGRQEIRTNPEIYGVPAPPSRPAASFPSPPPPPPAAAPHNKPDSLAYA >KJB34498 pep chromosome:Graimondii2_0_v6:6:28041504:28046548:1 gene:B456_006G069100 transcript:KJB34498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPWYLFDFQDVHETAAGALWNLAFYRDNALRIIQDDGVQPLVHLCSSSNSKMARFMAALALVYMFDGRIDSMVPVGPSHSSQGSSKSLNIDGVGRMALKHVEEFVSSFYEPQTFNATAATLVPTALAQIAEAIRIPEAGHLRCRSMVNRGKVKVAE >KJB34499 pep chromosome:Graimondii2_0_v6:6:28042916:28043854:1 gene:B456_006G069100 transcript:KJB34499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPWYLFDFQDVHETAAGALWNLAFYRDNALRIIQDDGVQPLVHLCSSSNSKMARFMAALALVYMFDGRIDSMVPVGPSHSSQGSSKSLNIDGVGRMALKHVEEFVSSFYEPQTFNATAATLVPTALAQIAEAIRIPEAGHLRCRFGFLTLVFYTVKMKLMISYLFLVVRFKK >KJB33646 pep chromosome:Graimondii2_0_v6:6:6249744:6250130:-1 gene:B456_006G023800 transcript:KJB33646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNPQIPINVTAIADYYLTFSCISTMYQAYTHGDFPMVGFIVMVYFGYLGLMYCINQLRALPPTHTSPKKDFLKSIIWVLATVLFFGFARQFSTFVHPLVAVFMFGAAVSTSYFLFFLYLVVNCDPH >KJB35314 pep chromosome:Graimondii2_0_v6:6:35236082:35238658:1 gene:B456_006G108900 transcript:KJB35314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEKESSVSQRRLSCTKCFDALWFCYSPVHQLQQYYREGVLDNCYGKWSALWDCLYLKTKPSSQLQEILEAREKAESHIWTFRTPEEAQAYWKQEFGHLNGRESK >KJB35313 pep chromosome:Graimondii2_0_v6:6:35236208:35238658:1 gene:B456_006G108900 transcript:KJB35313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEKESSVSQRRLSCTKCFDALWFCYSPVHQLQQYYREGVLDNCYGKWSALWDCLYLKTKPSSQLQEILEAREKAESHIWTFRTPEEAQAYWKQEFGHLNGRESK >KJB36283 pep chromosome:Graimondii2_0_v6:6:40934216:40937669:-1 gene:B456_006G150400 transcript:KJB36283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SMAX1-LIKE 3 [Source:Projected from Arabidopsis thaliana (AT3G52490) UniProtKB/Swiss-Prot;Acc:Q9SVD0] MRAGGCTVQQALTNEAANVVKQAVNLARRRGHAQVTPLHVASTMLSAATGLLRTACIQSHSHPLQCRALELCFNVALNRLPASSSSPMLGAHSQYPSISNALVAAFKRAQAHQRRGSIENQQQPLLAVKIELEQLIISILDDPSVSRVMREAGFSSTQVKSNVEQAVSLEICSQNAPSTNSKSKESSNGNLVLSQSPTASQVGNSKVEKQRGSDPIIRNEDVMFVIENLMKEKRRSFVVVGECISSVQGVVRAVIDKVDKGDAPQSLRDTKFVNLPFSCFGHLNKVEVEQKLEELKSQVRSCLGTGIVLNLGDLKWAVEYRTSSSEQSRGYYCPVEHMIMELGNLLGESGRRLRLMGVSTFQTYMRYKSGNPSLETVWGLHPLILPADSLRLTLITDCDAQSQVTSKKVENGSSWRISLDNGGDENKQQLTCCGDCSTKFENEVGSLQSSSACNSESTTTSSLPPWFKPYREDSRGVGANDKDSALVRQLCKKWNSFCNSVHKLQPYPISETTHAFSSVSPPSSTCFFSNDKHHDWPALEPRQSWRDHPLWISETLEKTAEPTSASLRLYIPEHNYKDPKQLLSPNPNSTPNSASSSDVMEMEYVHKFKELNAENSTTLCTALEKKVPWQKDIVPEIVSTILKCRSGMLRRKGKLRDGECKEETWLFFQGVDVQAKEKIAKELARLVFGSQNNFVAIELSSFSSTRADSTEDSRSKRSRDEQSCSYMERFAEAVSSNPHRVFFIEDVEQSDYCSQMGFKRAIERGRINKANGEEAVLSDAIIILSCESFSSRSRACSPPAKLKSDEEKVGALALEDTTSPCGSLDLNMSIDDDSLQEESIHDIGLLESVDRKIVFKIQEL >KJB37094 pep chromosome:Graimondii2_0_v6:6:44626651:44628465:-1 gene:B456_006G189300 transcript:KJB37094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKASMKNNQTLDDIMKQSSSSNSDSLSHLDSPHSPLRSDHGDPDPPDPQNTSSPHVPPAVSPPVDNCKALVAFDKSTQFNANSSPFASPPLPPTTPPQQPLQLSVNRSVREEGQGMTTKTKVSGGGGRGGGARAVAAVLRRSKVKETVKMAALGFRLSEIVLCLISFSVMAADKTSGWSGDSFDRYKEYRYCLSVNVIGFVYAGFQAYALAYHLIMGKHVIRHYLRQHFDFFMDQILAYLLLSASSAAATRIDDWQSNWGKDEFTEMTSASVAMAFLAFTAFALSSLLSGYELCTHESA >KJB36533 pep chromosome:Graimondii2_0_v6:6:42433993:42438127:-1 gene:B456_006G164500 transcript:KJB36533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIRVCNEATKEQFPLQFYVANQKWYKQYKVLRIQDPLEKGNFSVVLENGDRLLKSTWNCLLPSSGSLTSTTNRQPSQWVDMSFRGRGRGRGRGFGGRGFGGYVPPEPFVLFPDIELPDVKAVPEEKILVVWNSRLLNYWKASPYYLEEHVSKKSQSMDIERFSDWGKPKNTSKRDSLNQVLQLQSHNFPKELIGDSKRAQRSAKRMRWNLDSGLEKLDVFEKFEKDGDKEGKEKGDGEEENSDEEQGAQSDESYSDDGDYNENEHFDDDEDDYNIEDDHDDEPIY >KJB37929 pep chromosome:Graimondii2_0_v6:6:47814390:47817874:1 gene:B456_006G227000 transcript:KJB37929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMGMLTKGSLHFLICLLLCMDFYCMGDDESSSVQLNDDVLGLIVFKSDIKDPSSYLESWNEDDNSPCSWRFIKCNPNNGRVSEVSLDGLGLSGKIGKGLQKLEYLKVLSLSRNNFSGIIGRAFGVLNSLERLDLSYNNLSGSIPSTFVNMNSIRFLDLSRNSLSGSIPEELFQTCSSLRFLSLSENSFDGQLPSTLSKCSYLNYLNVSKNHFSGNIDFVSGIWSMYRLRALDLSYNSFSGSVPEGVLALHYLKQLHLQFNGFSGPMPADIGLCPHLNSLDFSHNLFSGPLPDSLQRLNSLVFFSLSYNMFTSEFPSWISTLSSLEHLDFSSNSLTGSLPSSTGNLKTLKYLRLSNNKLVGSIPAGLFDLGLEDMDLSDNRLNGSIPRGSSSLFESLRNLDLSRNNLEGTIPAEMGLFANLRYLNLSWNKLESSIPPELGLFPNLTMLDLRNNALSGDVAGDICESGSLVILQLDGNSLTGPIPDEIGNCSSLYSLTLSHNNLTGSIPKSISKLTELKILKLEFNELSGEIPKELGLLENLLAVNVSYNQLIGRLPVGGIFPSLDQSALQGNLGICSPLLKGPCKLNVPKPLVLDPDAYKGRMGDHRRRNESANPIRFHHRNSLSVSAIIAISAAVLIVCGVIIISLLNISVQRRLEFVETALESMCSSSTRSGSPTAGKLILFDSKLSTNGIGNPEILLNKAAEIGEGVFGTVYKVPLNPQGRFVAIKKLVISNMIQYPEDFDREVRVLRKARHPNLISLEGYYWTPQSQLLITEYATNGDLQTKLHERPATAPPLSWSNRFKIILGTAKGLAHLHHSFRPPVIHYNIKPSNILLDENYNPKISDFGLARLLTKLEKHVISNRFQSALGYVAPELACQNLRVNEKCDVFGFGMLILELVTGRRPVEYGEDNVVILSDHVRVSVEQGNVLDCVDLGMGDYPEDEVFPVLKLALVCTSQIPSSRPSMAEVVQILQVIKTPVPQRMEPL >KJB36320 pep chromosome:Graimondii2_0_v6:6:41222810:41224326:1 gene:B456_006G153600 transcript:KJB36320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKGFSIEY >KJB36319 pep chromosome:Graimondii2_0_v6:6:41222796:41224386:1 gene:B456_006G153600 transcript:KJB36319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >KJB38607 pep chromosome:Graimondii2_0_v6:6:50278906:50280555:1 gene:B456_006G263100 transcript:KJB38607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSFIFSTFLLLQLLFASLPTRRTLTSAVDGSWQILQKSIGISAMHMQLLRNDRVIMFDRTDFGPSNLSLPNGKCRNDPTDTALQVDCTAHSVEYDVFANKFRPLTVQTDVWCSSGAIMPDGNLFQTGGFNDGERWVRVFSPCRTCDWNEIPNGLTAKRWYASNHILPDERQIIIGGRGQFNYEFIPKNIVANKINLPFLSETNDKGIENNLYPFVFLNVDGNLFIFANNHAILFDYVNNIVVKKYPMIPDGEPRSYPSTGSAVLLPLKNLKDAAIEAEVLVCGGAPKGSYLQSLKGTFMAALNTCARIKITDPNPEWVMETMPLARVMGDMILLPNGNVLLINGADSGTAGWEQGRDPVLSPILYQPDNNIGSRFEVQNPTTIPRMYHSTAALLRDGRVLVGGSNPQAFYNFTGVLFPTELSLEAFSPTYLDAKFDKLRPTIIAPKSMSVIRYRKKYTIQVVINSDKVDESSVLVTMFAPAFNTHSFSMNQRLLVLGNEKVTVVGNSTYNVEATTPRSGNVAPSGFYLLFVVHQGIPSQGIWVRLH >KJB35138 pep chromosome:Graimondii2_0_v6:6:34210923:34211478:-1 gene:B456_006G102000 transcript:KJB35138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRERGSAMIMLTLMLCFQSSFAATFMVGDASGWGFDVSNWPKGKTFKAGDVLEFTYNRANHDVAVVDKEAYESCKIPQEAPVFETGDDLIPLKKGDNYFVCGFPGHCEGGMKIAITAT >KJB36317 pep chromosome:Graimondii2_0_v6:6:41147310:41150160:-1 gene:B456_006G152500 transcript:KJB36317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRRKLTWHERFLVLKEASRLYAASWVRDIGPDLRPNDYKKDDGTEDKSNGDKSRSTETEPSALEDIAVAARGGMETLRPALQRLYMTRASAYRDALKSFIEGYEEGIQQVMEKKEDSSKAQQEGNTDKNSA >KJB38139 pep chromosome:Graimondii2_0_v6:6:48572884:48576871:-1 gene:B456_006G238300 transcript:KJB38139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primary amine oxidase 1 [Source:Projected from Arabidopsis thaliana (AT4G14940) UniProtKB/Swiss-Prot;Acc:O23349] MSFIPLFFLAFLLQFCSSLSLFHHHPLDPLTPTELNQIKLIVDKSSTGSLPNLTYHFVDLEEPEKIDVLSWLSSKKTNKNAQFRRAKVVVRAGGETRELVVDLASGSIESNRVYTGHGYPPFTFNEFVKASRLPFQYPKFMKSIVNRGLNMSEVSCVPLTVGWYGEKVTKRTLRITCYYRGGSVNVYARPIEGISLFIDVDSMRITNYIDRFRVPVPKAEGTDFRSNKKIDPVTCNNATEKGFEIEGNNVKWGNWKFHVGFNARAGTVISTASIFDARMKKYRQVLYRGHVSETFVPYMDPESEWYFRTFMDIGEFGFGRSASTLQPLIDCPANAEYMDGYVAGADGQAMKMEKVICIFQRDAGDIAWRHAEINNPGTVIRSGQPEKTLVVRMIATVGNYDYVLDWEFKQFGTIKIGVDLTGILLLKGSPYTNKKQITADVYGTLVADNTVAVNHDHYLTYYLDIDVDGNSNSFVRAKPKTTRVTDVNASPRKSYWRVVTETAKTEADARLRLGLEPAELLIANPNKKTKVGNQVAYRLIPGQPITSLLADDDYPEIRTAYTKYQVWVTAYNKSERWAGGFYADRSRGDDGLAVWSQRNRKIENKDIVLWYTLGVHHIPHQEDFPVMPTVHSEFQLRPADFFESNPLLL >KJB36012 pep chromosome:Graimondii2_0_v6:6:39230149:39231743:-1 gene:B456_006G136300 transcript:KJB36012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPEHPTASKSPPSSPPQRRQICSHCDRPLPVCLCYALPATPLPTKTKILIIRHPHESRHKLNTTPLISKTLLNATTVSSRRLLPHHLPNQSPPAIYLFPPSPTAPAVTLSQLKSSNLLNYETTPLLLIVFDATWKHAKEMVSASEGVLKGLALRVCLDGVDENVTGGSIYDNELVLRKEPFGGCVSTLEAVARCLGVIEPNGDEVQGVLIGVLREMVRLQAGFLKPLKPRPKMLKKSKHKEEDSDQLG >KJB36013 pep chromosome:Graimondii2_0_v6:6:39229489:39231904:-1 gene:B456_006G136300 transcript:KJB36013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPEHPTASKSPPSSPPQRRQICSHCDRPLPVCLCYALPATPLPTKTKILIIRHPHESRHKLNTTPLISKTLLNATTVSSRRLLPHHLPNQSPPAIYLFPPSPTAPAVTLSQLKSSNLLNYETTPLLLIVFDATWKHAKEMVSASEGVLKGLALRVCLDGVDENVTGGSIYDNELVLRKEPFGGCVSTLEAVARCLGVIEPNGDEVQGVLIGVLREMVRLQAGFLKPLKPRPKMLKKSKHKEEDSDQLG >KJB36011 pep chromosome:Graimondii2_0_v6:6:39229557:39231821:-1 gene:B456_006G136300 transcript:KJB36011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPEHPTASKSPPSSPPQRRQICSHCDRPLPVCLCYALPATPLPTKTKILIIRHPHESRHKLNTTPLISKTLLNATTVSSRRLLPHHLPNQSPPAIYLFPPSPTAPAVTLSQLKSSNLLNYETTPLLLIVFDATWKHAKEMVSASEGVLKGLALRVCLDGVDENVTGGSIYDNELVLRKEPFGGCVSTLEAVARCLGVIEPNGDEVQGVLIGVLREMVRLQAGFLKPLKPRPKMLKKSKHKEEDSDQLG >KJB36150 pep chromosome:Graimondii2_0_v6:6:40181864:40182929:1 gene:B456_006G143800 transcript:KJB36150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPQFLSSFQYSDSLTVVAISFCTAIVCEAISWLLIYRTNSYKSLKSSIDKAAKKLETMKTDQNPSKLSTKKSKTKKIDRVETSLKESSRDLSLFKFKSGAVVALVLIVVFGFLNSLFEGKVVAKLPFKPIGIVMKMSHRGLKGDDSTDCSMVFLYFLCSISIRTNLQKFLGFSPPRGAAGAGLFPMPDPKTN >KJB35302 pep chromosome:Graimondii2_0_v6:6:35205333:35211287:1 gene:B456_006G108700 transcript:KJB35302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEKESSISQHRLSCTKCFDALWFCYSPVHQLQQYYREGVLDNCYGKWRALWDCLYLKTKPSSQLQLKADWRNLSKMNYQQTNVMSLSI >KJB35303 pep chromosome:Graimondii2_0_v6:6:35205333:35212626:1 gene:B456_006G108700 transcript:KJB35303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEKESSISQHRLSCTKCFDALWFCYSPVHQLQQYYREGVLDNCYGKWRALWDCLYLKTKPSSQLQEILEAREKAESHIWTFRTPEEAQAFWKQEFGHLNGRESK >KJB37994 pep chromosome:Graimondii2_0_v6:6:48056943:48057518:1 gene:B456_006G230800 transcript:KJB37994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKNIVFLIVAISLVLQATVPPTALAARNEVMSSAAVSKVANGSNGLAVVFEKVGSGCKPKGSFCLFDLTSCCRPCGCLAGWCYNYDHECNEYT >KJB37993 pep chromosome:Graimondii2_0_v6:6:48056943:48057518:1 gene:B456_006G230800 transcript:KJB37993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKNIVFLIVAISLVLQATVPPTALAARNEVMSSAAVSKVANGSNGLAVVFEKGGAITMIMNAMSTHNGRY >KJB35945 pep chromosome:Graimondii2_0_v6:6:48076950:48077736:1 gene:B456_006G231300 transcript:KJB35945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWCKKFAFLMFAMALLLQAMVPPTALAARNNVMEYNPLASIVSREGPGCIAKGGFCLFDLTSCCRPCGCLAGWCYNIDHDCNEYA >KJB35709 pep chromosome:Graimondii2_0_v6:6:37646865:37649247:-1 gene:B456_006G124800 transcript:KJB35709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSCGSSAEDANEKGDTITAEEAKSPNGSKQDSPSRNNTASPADSSKPSKSSPIGPVLGRQMEDIKATYNIGKELGRGQFGVTHLCTCKQTGEQFACKTIAKRKLANKDDIEDVRREVQIMHHLTGQANIVELKGAYEDNHSIYLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLNQDENSPLKATDFGLSVFYKPGEEFRDIVGSAYYIAPEVLKRRYGPEADIWSIGVMLYILLSGVPPFWAESENGIFNAVLRGHVDFSTAPWPSISAQAKDLVKKMLTVDPKQRLTAVQVLKHPWIKVDGEAPDTPLDNAVLSRLKQFKAMNQFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDNSGTITLEELRQGLAKQGTKLSEYEVKQLMEAADADGNGTIDYDEFITATMHMNRMDREEHLYHAFQHFDKDNSGFITTEELEQALREYGMHDGRDLKEIISEVDIDNDGKINYDEFVAMMRKGNPKRRRDVDYIL >KJB36287 pep chromosome:Graimondii2_0_v6:6:40981295:40984887:1 gene:B456_006G150700 transcript:KJB36287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKSRFKRICVFCGSSSGKKASYQEAAVELGKELVERRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPRTLMPTVIIGETVGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYNSLLSFIDKAVDEGFISPTARRIILSAPTAKQLVRQLEEYVPECDEIASKLVWDEVDKLNFVPGSRVAT >KJB38677 pep chromosome:Graimondii2_0_v6:6:50513897:50517936:-1 gene:B456_006G266500 transcript:KJB38677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLNKTLSKTYIGLQLWVLIVICLGIVFLVILGISLWLSFRKKTGRHKDILPSRQAPYVSEEIKEIGVDEVSANNGSPNTLNYKFSDRDSDKVSYHVGNGDDSGLSGSFNHLGKDVKGSQPAEEKGVGVVSVFRPSSHPLTAPSPLSGLPEFSHLGWGHWFTLRDLQLATNRFSKDNIIGDGGYGVVYRGNLVNGTPVAVKKLLNNPGQADKDFRVEVEAIGHMRHKNLVRLLGYCIEGTHRMLVYEYVNNGNLEQWLRGVMSHKGYLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDNYDSKISDFGLAKLLGDGKSYIATRVMGTFGYVAPEYANSGLLNEKSDVYSYGVVLLEAITGRYPVDYGRPQPEVNMVEWLKMMVQLRRSEEVVDPNIEARPSTSALKRALLTALRCVDPDADKRPKMSQVVRMLESEEYPIPREDRRRRRNQTVNSEAETGPRNSDSDRSDDTDSRSDSRIYHCPS >KJB38676 pep chromosome:Graimondii2_0_v6:6:50513902:50517861:-1 gene:B456_006G266500 transcript:KJB38676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLNKTLSKTYIGLQLWVLIVICLGIVFLVILGISLWLSFRKKTGRHKDILPSRQAPYVSEEIKEIGVDEVSANNGSPNTLNYKFSDRDSDKVSYHVGNGDDSGLSGSFNHLGKDVKGSQPAEEKGVGVVSVFRPSSHPLTAPSPLSGLPEFSHLGWGHWFTLRDLQLATNRFSKDNIIGDGGYGVVYRGNLVNGTPVAVKKLLNNPGQADKDFRVEVEAIGHMRHKNLVRLLGYCIEGTHRMLVYEYVNNGNLEQWLRGVMSHKGYLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDNYDSKISDFGLAKLLGDGKSYIATRVMGTFGYVAPEYANSGLLNEKSDVYSYGVVLLEAITGRYPVDYGRPQPEVNMVEWLKMMVQLRRSEEVVDPNIEARPSTSALKRALLTALRCVDPDADKRPKMSQVVRMLESEEYPIPREDRRRRRNQTVNSEAETGPRNSDSDRSDDTDSRSDSRIYHCPS >KJB36772 pep chromosome:Graimondii2_0_v6:6:43400676:43401418:1 gene:B456_006G176000 transcript:KJB36772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRERESVEIQGFDIAKCLMLLSQGLQTKPKEHSVSEVFECKTCHRCFPSFQALGGHRASHKRPKLMGDKPNETTQFLSLSTKPKTHECSICGQEFSMGQALGGHMRRHRAAMNESFSPFPLVPTVPVLKRSNSSRRVVCLDLNLTPLENDLQVLFGNKAPKVDLCI >KJB34687 pep chromosome:Graimondii2_0_v6:6:30338430:30343671:-1 gene:B456_006G078500 transcript:KJB34687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDVNAMARRVEVDNRIPLHYYYRIADNLLKQASIYRDEKNIVDLYIILLRYSSLVSETIPFHRDYHVSLQKEKAMYRKRLLAVLDELESLKPKVHRRIEELNKARAGAKLLEPDGYKTSSYGSEKTSPLEWPHVNKGSSMNLAIKQPANMAVQSSWKYNNDCNQFDKQLQKLSLNIPLPNKETLSRHSFLGPNGLRGQWLGPSAEIKVQYPSNMDLVPAENSGPNQAGQYGPLAVKDGDPGQVGSAMESVLSLDDGQWLQPAEGSCHPLITEAKEDPFQFVSIKQPLPPPVLAQVQQDFTPIPPSRVADPRPGPAKPSEDGMPNTNSYQHLHVPVRMMEDFLRLARANTEKNLETCGVLAGSLKNRVFHITTLIIPKQESTSDSCSTLNEEEIFEVQDKLSLFPLGWIHTHPTQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDTSSPHGIFHLSDPGGVSIIRNCQQRGFHPHEEPLDGAPIYEHCSHVFMNPKIKFDVVDLR >KJB33672 pep chromosome:Graimondii2_0_v6:6:6486780:6490022:1 gene:B456_006G025600 transcript:KJB33672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGREFLINLCKACNDGKSTAKLHSQILKTGFSHDSFLATKLTSLYSNFSSIEQVQKLFDEMPQRTVYLWNSILRAYSKHKQWKKTWVLFKNMISDEKGEENVPDNYTLSTVLKACAELQLLKHGEILHGFLWKHEKIRLDLFVGSALIEFYSKCGEMSDASKVFNEFEKPDVVLWTSMVSGYEQNGYFEKAIAFFSRMVVEKGVDPDRVTLVSLVSACAKLMNLKLGRSVHGFVVRRGFESELSLVNALLNMYAKTGIVKVAENLFRMIEVKDVVTWSSMIGCYSRNGAAVESLNLFNEMINKGCRPNAVTVVSALQACAVACNLDEGRRIHELATKRDVVAWVALLSGYALNGMANKSIGIFKDMLCSGIQPDAVSMVKILASSSELGILHQAECLHGYVTSSGFDNNAFIGASLIELYSKCGSLDYAIKVFEGIIDKDVVLWSAMIAGFGIHGRGEEALKLFHRMILIMQVIIFCYPICTVLMENG >KJB37165 pep chromosome:Graimondii2_0_v6:6:44900712:44902781:1 gene:B456_006G192000 transcript:KJB37165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNYPMRTLDTPTKPNGGVSLKDQLKRKPQDNNDYQGKLFSPISNMPSNNNDSKSYNSVNITSREKEISRTRASSKPNTKLTDSDWTELLSTPSQGTSSSTNGVFGIRSSKKDGGTKGHLGSHLSLLEEKRKQKRNVNGSKPVRRSDIVLGNKLNGKRSNGEESSASVRPSSVDKQNDGKNSEELELDHKDTPASFMVKMKDSRYEENGRKLDSKKLLTNVEGFSLSANKNQSTRKVLDLGEVDGVPDALIGIADAHGQFRTTVSGKSKSIGSSRSSVFDGVKGAFQPTSDASSDLDPDSGSTSDSESEHEREERRKRKERIMAERAAVKAVEAIK >KJB33347 pep chromosome:Graimondii2_0_v6:6:1574943:1582709:1 gene:B456_006G007500 transcript:KJB33347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVFEGFSIREYASKMRSIDVVKCWPFSGAASSSSSSSSDDDDDDDDDGNRKISKQTMESFLPPITVTKFRWWSEELDRLKSTELANIQSSSNQSDFLQVNLHVGEKSDERPDMLECPVCGAFAASTVNALNAHVDSCLAQASRKERRQMRMSIKGTKSRTPKKRSITEIFAVAPQIHKVDALDDENLLDEDENGSLEELNCKIEKPKKKMKKKKKKKKKKTKKKVEIVNKFMNKRRKLKTHKNNKQDELIANKENGTKLKPQTPVNFNRKLNNTLCNRGSNAISILGEKPSLKCMSAKKKSKVVQASKLIVEREKPSSSVRGILKNPGNSSSGQNSARCNLRATTQASTCGIQHSVRHVSFSGKDDILGPHKKHVASLEKNICHVDLDSFELSEKGHQNDTDKGFPARQINTIDDEDVSFSTGNGIAVQAMKGKQLLPDIHYNVDIPKFLGPCILSQEKANQFSDQSLPPGEVVIDSGNLHMSNQGNQTTFCSPPFTVAPRLFSAVKEIQNPFVNSEVCGGVSTTLNSSSQFVDYFGDHNPEVAISSKANPRVSLHPSSSAFALSKNVSETAPFTSQFASGNVSGHALSHQPLYCLAPNELRGRLCPFLDCKQKNVAIREKCRDEDFFGLPLNSLGELVQTNSNAKGGFDQLKKPGPGPGSSNNVNNLVFPRSTDDHSIMKGKHYIGSALPNNQLSLFPAQNHMKENATLHSSARLGASELHGHRKYGYCTKSDRRCNCSDCLMDSDINLINISFTGCGQYDQFWNRKEKDISHAMENAEKMLLNSPTPTMRLMGKDVTICQSSNERQGLADAPKSIGLQNSCVDKHFQQEWLLDPAPGKCKETSVRQFEIARNQAFPRNVLIKPHESNFFQPGINWQANPEFQNSSSITIARDPNPSSCHFAHPHTSHAIYDNGGDFQEPFISRTETLRVSSLLPAVSASHRNSQNINGNSVELESNSNLLNAGKSSFNFPFLHPDNVEHVQPSWCRDSSKSLIPWLLQATQQVQAPSTPSQLFPDVGGICHPHTARTSFLINRMVPHFPIVSYDHNPMIPYSHMESSVGQPSLAHSPLIPSLPVIKPTSITLSQRNGIKFKDRMKSKFVSTRDPDVCQNSRKRPAVKEDYLMKPIKLPNLGIRDNSRAETQLTRENFNDIQCNMGTLELEPERNEESVGGWILNESQYDGLGLSAGIDSSKVDGYGVTRLGPIKLSPGVKHILKPSQKVDQDNSRLIHSTIPFASVTECGKMLETLKKSTKIYRF >KJB33348 pep chromosome:Graimondii2_0_v6:6:1576476:1582775:1 gene:B456_006G007500 transcript:KJB33348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKKKSKVVQASKLIVEREKPSSSVRGILKNPGNSSSGQNSARCNLRATTQASTCGIQHSVRHVSFSEKGHQNDTDKGFPARQINTIDDEDVSFSTGNGIAVQAMKGKQLLPDIHYNVDIPKFLGPCILSQEKANQFSDQSLPPGEVVIDSGNLHMSNQGNQTTFCSPPFTVAPRLFSAVKEIQNPFVNSEVCGGVSTTLNSSSQFVDYFGDHNPEVAISSKANPRVSLHPSSSAFALSKNVSETAPFTSQFASGNVSGHALSHQPLYCLAPNELRGRLCPFLDCKQKNVAIREKCRDEDFFGLPLNSLGELVQTNSNAKGGFDQLKKPGPGPGSSNNVNNLVFPRSTDDHSIMKGKHYIGSALPNNQLSLFPAQNHMKENATLHSSARLGASELHGHRKYGYCTKSDRRCNCSDCLMDSDINLINISFTGCGQYDQFWNRKEKDISHAMENAEKMLLNSPTPTMRLMGKDVTICQSSNERQGLADAPKSIGLQNSCVDKHFQQEWLLDPAPGKCKETSVRQFEIARNQAFPRNVLIKPHESNFFQPGINWQANPEFQNSSSITIARDPNPSSCHFAHPHTSHAIYDNGGDFQEPFISRTETLRVSSLLPAVSASHRNSQNINGNSVELESNSNLLNAGKSSFNFPFLHPDNVEHVQPSWCRDSSKSLIPWLLQATQQVQAPSTPSQLFPDVGGICHPHTARTSFLINRMVPHFPIVSYDHNPMIPYSHMESSVGQPSLAHSPLIPSLPVIKPTSITLSQRNGIKFKDRMKSKFVSTRDPDVCQNSRKRPAVKEDYLMKPIKLPNLGIRDNSRAETQLTRENFNDIQCNMGTLELEPERNEESVGGWILNESQYDGLGLSAGIDSSKVDGYGVTRLGPIKLSPGVKHILKPSQKVDQDNSRLIHSTIPFASVTECGKMLETLKKSTKIYRF >KJB33346 pep chromosome:Graimondii2_0_v6:6:1574754:1582775:1 gene:B456_006G007500 transcript:KJB33346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVFEGFSIREYASKMRSIDVVKCWPFSGAASSSSSSSSDDDDDDDDDGNRKISKQTMESFLPPITVTKFRWWSEELDRLKSTELANIQSSSNQSDFLQVNLHVGEKSDERPDMLECPVCGAFAASTVNALNAHVDSCLAQASRKERRQMRMSIKGTKSRTPKKRSITEIFAVAPQIHKVDALDDENLLDEDENGSLEELNCKIEKPKKKMKKKKKKKKKKTKKKVEIVNKFMNKRRKLKTHKNNKQDELIANKENGTKLKPQTPVNFNRKLNNTLCNRGSNAISILGEKPSLKCMSAKKKSKVVQASKLIVEREKPSSSVRGILKNPGNSSSGQNSARCNLRATTQASTCGIQHSVRHVSFSEKGHQNDTDKGFPARQINTIDDEDVSFSTGNGIAVQAMKGKQLLPDIHYNVDIPKFLGPCILSQEKANQFSDQSLPPGEVVIDSGNLHMSNQGNQTTFCSPPFTVAPRLFSAVKEIQNPFVNSEVCGGVSTTLNSSSQFVDYFGDHNPEVAISSKANPRVSLHPSSSAFALSKNVSETAPFTSQFASGNVSGHALSHQPLYCLAPNELRGRLCPFLDCKQKNVAIREKCRDEDFFGLPLNSLGELVQTNSNAKGGFDQLKKPGPGPGSSNNVNNLVFPRSTDDHSIMKGKHYIGSALPNNQLSLFPAQNHMKENATLHSSARLGASELHGHRKYGYCTKSDRRCNCSDCLMDSDINLINISFTGCGQYDQFWNRKEKDISHAMENAEKMLLNSPTPTMRLMGKDVTICQSSNERQGLADAPKSIGLQNSCVDKHFQQEWLLDPAPGKCKETSVRQFEIARNQAFPRNVLIKPHESNFFQPGINWQANPEFQNSSSITIARDPNPSSCHFAHPHTSHAIYDNGGDFQEPFISRTETLRVSSLLPAVSASHRNSQNINGNSVELESNSNLLNAGKSSFNFPFLHPDNVEHVQPSWCRDSSKSLIPWLLQATQQVQAPSTPSQLFPDVGGICHPHTARTSFLINRMVPHFPIVSYDHNPMIPYSHMESSVGQPSLAHSPLIPSLPVIKPTSITLSQRNGIKFKDRMKSKFVSTRDPDVCQNSRKRPAVKEDYLMKPIKLPNLGIRDNSRAETQLTRENFNDIQCNMGTLELEPERNEESVGGWILNESQYDGLGLSAGIDSSKVDGYGVTRLGPIKLSPGVKHILKPSQKVDQDNSRLIHSTIPFASVTECGKMLETLKKSTKIYRF >KJB38680 pep chromosome:Graimondii2_0_v6:6:50601454:50603061:-1 gene:B456_006G267900 transcript:KJB38680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELVTEYLINKIFHNPLPFWDFSEVEEAALYSTHPKSLVDFANGEKKWYFYIHKDKSFSNNTIRKVEDNLGSWSLKWYEEIHDTKGSKIAFNNHFVFFSKRRKKTHWKMDELQLRPEWYKDFNLEEEWALGILKRGTEYH >KJB38679 pep chromosome:Graimondii2_0_v6:6:50601342:50603061:-1 gene:B456_006G267900 transcript:KJB38679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELVTEYLINKIFHNPLPFWDFSEVEEAALYSTHPKSLDFANGEKKWYFYIHKDKSFSNNTIRKVEDNLGSWSLKWYEEIHDTKGSKIAFNNHFVFFSKRRKKTHWKMDELQLRPEWYKDFNLEEEWALGILKRGTEYH >KJB36064 pep chromosome:Graimondii2_0_v6:6:39589096:39594256:-1 gene:B456_006G139000 transcript:KJB36064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDNGDGKSKMDYEVIEQIGRGAFGAAYLVLHKLEKKKYVLKKIRLAKQTEKFKRTAHQEMELIAKLNNPYIVEYKDAWVDKGNSICIVTSYCEGGDMAELIKKARGMHFPEEKICKWMTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHHPAFRAPDMAGLINKINRSSISPLPILYSSSLKQIIKSMVRKNPEHRPNAAELLRHPHLQPHLLRCRNLSSVYLPIKPTNSPKEKTPKKSLSRKHGIGKDREVKDVGVSNGQENTHTFRRMADVLLSSSSCEQPASTASMEDNLVTKRVDPTSCAVEMLNSISDSKEMSTDSEVSVSNVDKQAEFNSIPQKDAHVVSTSEMAFDSHRDDQEEPTSEPTRNLPEADMMTVSKKDAETLCDMQVLEAAKDVLDLPVLGRSEDSSKLTISSISYDDKNGFLDDGSSSTVYETDVEQRCSSNKTSSPNAKTEGADTSYLSSESNAAPPCKDEVGATSETNTCSILTEKDGGRPVQLTASDVSLLSRLTALSSDEIKSAWENPSQKRADALESLLELCARLLKQDKIDELAGILRPFGDEVASSRETAIWLTKSLMSAQKLNGGT >KJB36065 pep chromosome:Graimondii2_0_v6:6:39589096:39594223:-1 gene:B456_006G139000 transcript:KJB36065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDNGDGKSKMDYEVIEQIGRGAFGAAYLVLHKLEKKKYVLKKIRLAKQTEKFKRTAHQEMELIAKLNNPYIVEYKDAWVDKGNSICIVTSYCEGGDMAELIKKARGMHFPEEKICKWMTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHHPAFRAPDMAGLINKINRSSISPLPILYSSSLKQIIKSMVRKNPEHRPNAAELLRHPHLQPHLLRCRNLSSVYLPIKPTNSPKEKTPKKSLSRKHGIGKDREVKDVGVSNGQENTHTFRRMADVLLSSSSCEQPASTASMEDNLVTKRVDPTSCAVEMLNSISDSKEMSTDSEVSVSNVDKQAEFNSIPQKDAHVVSTSEMAFDSHRDDQEEPTSEPTRNLPEADMMTVSKKDAETLCDMQVLEAAKDVLDLPVLGRSEDSSKLTISSISYDDKNGFLDDGSSSTVYETDVEQRCSSNKTSSPNAKTEGADTSYLSSESNAAPPCKDEVGATSETNTCSILTEKDGGRPVQLTASDVSLLSRLTALSSDEIKSAWENPSQKRADALESLLELCARLLKQDKIDELAGILRPFGDEVASSRETAIWLTKSLMSAQKLNGGT >KJB36060 pep chromosome:Graimondii2_0_v6:6:39588581:39591222:-1 gene:B456_006G139000 transcript:KJB36060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPELLADIPYGYKSDIWSLGCCMFEIAAHHPAFRAPDMAGLINKINRSSISPLPILYSSSLKQIIKSMVRKNPEHRPNAAELLRHPHLQPHLLRCRNLSSVYLPIKPTNSPKEKTPKKSLSRKHGIGKDREVKDVGVSNGQENTHTFRRMADVLLSSSSCEQPASTASMEDNLVTKRVDPTSCAVEMLNSISDSKEMSTDSEVSVSNVDKQAEFNSIPQKDAHVVSTSEMAFDSHRDDQEEPTSEPTRNLPEADMMTVSKKDAETLCDMQVLEAAKDVLDLPVLGRSEDSSKLTISSISYDDKNGFLDDGSSSTVYETDVEQRCSSNKTSSPNAKTEGADTSYLSSESNAAPPCKDEVGATSETNTCSILTEKDGGRPVQLTASDVSLLSRLTALSSDEIKSAWENPSQKRADALESLLELCARLLKQDKIDELAGILRPFGDEVASSRETAIWLTKSLMSAQKLNGGT >KJB36066 pep chromosome:Graimondii2_0_v6:6:39589131:39594155:-1 gene:B456_006G139000 transcript:KJB36066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVFKVSMESDNGDGKSKMDYEVIEQIGRGAFGAAYLVLHKLEKKKYVLKKIRLAKQTEKFKRTAHQEMELIAKLNNPYIVEYKDAWVDKGNSICIVTSYCEGGDMAELIKKARGMHFPEEKICKWMTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHHPAFRAPDMAGLINKINRSSISPLPILYSSSLKQIIKSMVRKNPEHRPNAAELLRHPHLQPHLLRCRNLSSVYLPIKPTNSPKEKTPKKSLSRKHGIGKDREVKDVGVSNGQENTHTFRRMADVLLSSSSCEQPASTASMEDNLVTKRVDPTSCAVEMLNSISDSKEMSTDSEVSVSNVDKQAEFNSIPQKDAHVVSTSEMAFDSHRDDQEEPTSEPTRNLPEADMMTVSKKDAETLCDMQVLEAAKDVLDLPVLGRSEDSSKLTISSISYDDKNGFLDDGSSSTVYETDVEQRCSSNKTSSPNAKTEGADTSYLSSESNAAPPCKDEVGATSETNTCSILTEKDGGRPVQLTASDVSLLSRLTALSSDEIKSAWENPSQKRADALESLLELCARLLKQDKIDELAGILRPFGDEVASSRETAIWLTKSLMSAQKLNGGT >KJB36063 pep chromosome:Graimondii2_0_v6:6:39589323:39593930:-1 gene:B456_006G139000 transcript:KJB36063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVFKVSMESDNGDGKSKMDYEVIEQIGRGAFGAAYLVLHKLEKKKYVLKKIRLAKQTEKFKRTAHQEMELIAKLNNPYIVEYKDAWVDKGNSICIVTSYCEGGDMAELIKKARGMHFPEEKICKWMTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHHPAFRAPDMAGLINKINRSSISPLPILYSSSLKQIIKSMVRKNPEHRPNAAELLRHPHLQPHLLRCRNLSSVYLPIKPTNSPKEKTPKKSLSRKHGIGKDREVKDVGVSNGQENTHTFRRMADVLLSSSSCEQPASTASMEDNLVTKRVDPTSCAVEMLNSISDSKEMSTDSEVSVSNVDKQAEFNSIPQKDAHVVSTSEMAFDSHRDDQEEPTSEPTRNLPEADMMTVSKKDAETLCDMQVLEAAKDVLDLPVLGRSEDSSKLTISSISYDDKNGFLDDGSSSTVYETDVEQRCSSNKTSSPNAKTEGADTSYLSSESNAAPPCKDEVGATSETNTCSILTEKDGGRPVQLTASDVSLLSRLTALSSDEIKSAWENPSQKRADALESLLELCARLLKQDKIDELAGILRPFGDEVASSRETAIWLTKSLMSAQKLNGGT >KJB36062 pep chromosome:Graimondii2_0_v6:6:39588806:39594646:-1 gene:B456_006G139000 transcript:KJB36062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVFKVSMESDNGDGKSKMDYEVIEQIGRGAFGAAYLVLHKLEKKKYVLKKIRLAKQTEKFKRTAHQEMELIAKLNNPYIVEYKDAWVDKGNSICIVTSYCEGGDMAELIKKARGMHFPEEKICKWMTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHHPAFRAPDMAGLINKINRSSISPLPILYSSSLKQIIKSMVRKNPEHRPNAAELLRHPHLQPHLLRCRNLSSVYLPIKPTNSPKEKTPKKSLSRKHGIGKDREVKDVGVSNGQENTHTFRRMADVLLSSSSCEQPASTASMEDNLVTKRVDPTSCAVEMLNSISDSKEMSTDSEVSVSNVDKQAEFNSIPQKDAHVVSTSEMAFDSHRDDQEEPTSEPTRNLPEADMMTVSKKDAETLCDMQVLEAAKDVLDLPVLGRSEDSSKLTISSISYDDKNGFLDDGSSSTVYETDVEQRCSSNKTSSPNAKTEGADTSYLSSESNAAPPCKDEVGATSETNTCSILTEKDGGRPVQLTASDVSLLSRLTALSSDEIKSAWENPSQKRADALESLLELCARLLKQDKIDELAGILRPFGDEVASSRETAIWLTKSLMSAQKLNGGT >KJB36061 pep chromosome:Graimondii2_0_v6:6:39588581:39594646:-1 gene:B456_006G139000 transcript:KJB36061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDNGDGKSKMDYEVIEQIGRGAFGAAYLVLHKLEKKKYVLKKIRLAKQTEKFKRTAHQEMELIAKLNNPYIVEYKDAWVDKGNSICIVTSYCEGGDMAELIKKARGMHFPEEKICKWMTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHHPAFRAPDMAGLINKINRSSISPLPILYSSSLKQIIKSMVRKNPEHRPNAAELLRHPHLQPHLLRCRNLSSVYLPIKPTNSPKEKTPKKSLSRKHGIGKDREVKDVGVSNGQENTHTFRRMADVLLSSSSCEQPASTASMEDNLVTKRVDPTSCAVEMLNSISDSKEMSTDSEVSVSNVDKQAEFNSIPQKDAHVVSTSEMAFDSHRDDQEEPTSEPTRNLPEADMMTVSKKDAETLCDMQVLEAAKDVLDLPVLGRSEDSSKLTISSISYDDKNGFLDDGSSSTVYETDVEQRCSSNKTSSPNAKTEGADTSYLSSESNAAPPCKDEVGATSETNTCSILTEKDGGRPVQLTASDVSLLSRLTALSSDEIKSAWENPSQKRADALESLLELCARLLKQDKIDELAGILRPFGDEVASSRETAIWLTKSLMSAQKLNGGT >KJB36363 pep chromosome:Graimondii2_0_v6:6:41378425:41379314:1 gene:B456_006G155100 transcript:KJB36363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLVVEEKVIRIMEPDGKILEYQAPIKVEQVLSNFSGHALSDSFSGFHHLQPDAKLISGQLYYLIPLPSPSKKGKKKKVRFSNPEVNDDQVSSPNVVRIKLIISKQELQELLQNGGASAQDIASHNIQSKQTTNGIITPDVDDDSCRESKPVLQTIAEVN >KJB38549 pep chromosome:Graimondii2_0_v6:6:50095382:50099248:1 gene:B456_006G260000 transcript:KJB38549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWEDDIPPLVSKEQPKSKWDDEDVDDADIKESWEDEDEEPPLQPVAKATEEKAPKKPLSKATEKKGKTIEIAKEEPLDPVAEKLRQQRLVEEADFRSTTELFAKKGDIKTVDNFIPKSESDFEEYAELISHKLRLYDKSYHYIALLKAVMRLSTISLKAADAKDIASSIIAIANEKLKAEKEATSKKKTGGKKKQLHVDKPDDDLVVNAYVDVDDYDFM >KJB38551 pep chromosome:Graimondii2_0_v6:6:50095750:50099241:1 gene:B456_006G260000 transcript:KJB38551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFRLLFQRSNLKANGMMKMLMMLISRNHGRMKMKNLLWYDDFRYNLQPVAKATEEKAPKKPLSKATEKKGKTIEIAKEEPLDPVAEKLRQQRLVEEADFRSTTELFAKKGDIKTVDNFIPKSESDFEEYAELISHKLRLYDKSYHYIALLKAVMRLSTISLKAADAKDIASSIIAIANEKLKAEKEATSKKKTGGKKKQLHVDKPDDDLVVNAYVDVDDYDFM >KJB38550 pep chromosome:Graimondii2_0_v6:6:50095502:50099246:1 gene:B456_006G260000 transcript:KJB38550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFRLLFQRSNLKANGMMKMLMMLISRNHGRMKMKNLLWLVEEADFRSTTELFAKKGDIKTVDNFIPKSESDFEEYAELISHKLRLYDKSYHYIALLKAVMRLSTISLKAADAKDIASSIIAIANEKLKAEKEATSKKKTGGKKKQLHVDKPDDDLVVNAYVDVDDYDFM >KJB34020 pep chromosome:Graimondii2_0_v6:6:13155061:13157835:1 gene:B456_006G044000 transcript:KJB34020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFLPLNFGANWDDGQVGGSIKLGKSNWTLSVGLSSGASNGLISGIIRSCRIGPLGMDFDEEISTVGVHTPIMHIKGLKHSQIQSKELGECSFLVSMDANSSLLTGLD >KJB34417 pep chromosome:Graimondii2_0_v6:6:24411466:24415536:-1 gene:B456_006G065100 transcript:KJB34417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPKIDPLLQVETTCGTLLYELQIIWDEVGETDVDRDKMLLELERECLEVYRRKVDQANRCRAQLRQTIADSEAELAAICSAMGERPVHIRQSDQNAGSLKEELSKILPQLEEMKKRKIERRNQFVEVLEQIQKITNEIYGSTESFSSKTIVDETDLSLRKLEELHRQLHELQTEKSDRLKQVQDYLSMLNELCSVMGMDFKQTVDEIHPTLSDPKGFRSISSNTIEQLATLIKKLREVKIQRMQRLQDLATTMLELWNLMDTPIEEQQMFQNVTCNVAASEHEITEPNTLSVDFIKYVEAEVSRLEELKSSKMKELVLKKRLELEEICRKTHLVPDSECAIEDAIVAIESGLVDAATILEQIELQIAKVKEEAFSRREILEKVEKWLTACDEECWLEEYNRDENRYNAGKGAHLTLKRAEKARALVNKLPGMVEALASKTVAWEKERGVEFLYDGIRLLSMLEEYTILRQEKEQERRRLRDQKKLQGQLIAEQEALYGSKPSPSKPQSVKKGPRYSTGGASNRRVSLGGAMVPAHKPDSLHSAKATPKTRPSKKSDHLNHRQDDGIPAFSAVRRGLDIAETPVRKHSSTPSAVNGKEPESPLLVRKPFSPISSAVSSKANMTNMLEVEDNGDTSHKMLHRSNDLSHTTTPLKTIPLVDEENRTPKAMPIIPSTPSTLSVPMQTAMTPAPTLAPIPFAITKPVEEIERSFEERRLASLLSKIQMTSS >KJB34418 pep chromosome:Graimondii2_0_v6:6:24411453:24416188:-1 gene:B456_006G065100 transcript:KJB34418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPKIDPLLQVETTCGTLLYELQIIWDEVGETDVDRDKMLLELERECLEVYRRKVDQANRCRAQLRQTIADSEAELAAICSAMGERPVHIRQSDQNAGSLKEELSKILPQLEEMKKRKIERRNQFVEVLEQIQKITNEIYGSTESFSSKTIVDETDLSLRKLEELHRQLHELQTEKSDRLKQVQDYLSMLNELCSVMGMDFKQTVDEIHPTLSDPKGFRSISSNTIEQLATLIKKLREVKIQRMQRLQDLATTMLELWNLMDTPIEEQQMFQNVTCNVAASEHEITEPNTLSVDFIKYVEAEVSRLEELKSSKMKELVLKKRLELEEICRKTHLVPDSECAIEDAIVAIESGLVDAATILEQIELQIAKVKEEAFSRREILEKVEKWLTACDEECWLEEYNRDENRYNAGKGAHLTLKRAEKARALVNKLPGMVEALASKTVAWEKERGVEFLYDGIRLLSMLEEYTILRQEKEQERRRLRDQKKLQGQLIAEQEALYGSKPSPSKPQSVKKGPRYSTGGASNRRVSLGGAMVPAHKPDSLHSAKATPKTRPSKKSDHLNHRQDDGIPAFSAVRRGLDIAETPVRKHSSTPSAVNGKEPESPLLVRKPFSPISSAVSSKANMTNMLEVEDNGDTSHKMLHRSNDLSHTTTPLKTIPLVDEENRTPKAMPIIPSTPSTLSVPMQTAMTPAPTLAPIPFAITKPVEEIERSFEERRLASLLSKIQMTSS >KJB38140 pep chromosome:Graimondii2_0_v6:6:48611052:48612608:-1 gene:B456_006G238900 transcript:KJB38140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WAGLPNELVDLILQRLASVSDYFRFGATCTAWHDVATENINYFIKDNKLLPLPFQVPSVDRFVGSSNGWLVTVDDDLVVRLLNPLCLVPGKQIGCDPNTYITLPPLDPPCSNFDYIRKVVLSANPTSSPDDYMVMAIFRADHHVAYIKPSKEKKWTYVNHNWGVLHDLIYYRDNFCAVDYKGEVIYFNEVDGSFSKYLPINHTVELENFETKYIVESPTGELLLVEVIKRWDWHGGYNYTRGVGVCNLKLDSEEWEWREMKSIEDCALFVGDSSSLSVVASDFCGCQPNCIYLIDDYEAFLYASMAPNGSYALKSTKNVGVYNMETRSFTPYDTAKDDLNVKFNSKKWNKS >KJB33601 pep chromosome:Graimondii2_0_v6:6:5217456:5224386:-1 gene:B456_006G020800 transcript:KJB33601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIRSKLKDRTTLNAHTTVEDQKRNYSRLPKTLRSNGVLLTHFAPPFVPMLRSLRRWQPSGDFDKRGTAGGVAGKAWRGGITRATNDDSQWLAAEAKALRETGWWSNYRNRVGDHCKWPGIRCKAGSVVEIDLSGHGLNGSITPQIGALSKLRYLNLSLNSLTGELPSSIGNLTQLAVLDVYDNYIHSIPLAIQKMENLVSLNLSLNSLTGKLPSSIGNLTQLAGLDVSINDIHSIPPNIGKLENMVDLHLSNNGLVGPIPSSISNLTNLASLFLESNKINGFIPQEIGRLRNLVALDLSNNRLVGPIPSSISNLTNLVSLFLESNKLNGSIPQEIGRLGNLVALDLSYNRLVGPIPSSISSLTNLASLFLQSNKLNGSIPQEIGRLRNLSEFDLSSNSLVGPIPSSVSDLTNLSSLFLQSNKLNGSIPQEIGRLRNLSEFDLSSNSLVGPIPSSVSNLTSLASLFLQSNKLNGSIPQEIGRLTNLFALDLSSNSLVGPIPSSVSNLTSLDSLFLQSNKLNGSIPQEIGRLTNLSALDLSSNSLVGPIPSSVSSLTSLASLFLQSNKLNGSIPQEIGRLTNLFALDLSSNRLVGPIPFSVRCLTNLASLFLQSNQLNGSIPPGIGSLLNLVALDLSFNRLSGDIPSSLGQLKSLVSLNHNKDLCGSIQGFLPCGSSPTVNRERNSKVKHNLPVTTILVPTLLFSVSTFALVIFILFRRYRAKALKSDPSPTKNGDLFSIWNFDGKIAFEDIIKATEDFDIKYCIGTGGYGSVYRAVLPSGKVVALKKLHRLEAEQPAYDTSFRNEIKFLTEIRHKNIVKLHGFCLHNRCMFLIYEYMEKGSLFYALSIDEEAVELDWTKRVNIVKGVAHALSYMHHDCNPPIVHRDISSNNILLDSELEAFIADFGTARFLDPDSSNRTVIVGTYGYIAPELAYSMVVTKKCDVYGFGVLALEILMGKHPGELLSTLSSSSSRVQNFMLNEILDPRLSTPRSRKMAGDIAFIAVIAFACLRARPKARPTMKLVSQEFLHIKSPIAIPLHEISLIELRNHEMFMGDENH >KJB36008 pep chromosome:Graimondii2_0_v6:6:39204225:39209402:-1 gene:B456_006G136100 transcript:KJB36008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVYCSDCKRQTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLSTVIAKPNGASGEFLSSSLGRWQNRGSNPDRGLIVAFKTIATMSDRLGLVATIKDRANEIYKKVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGTIHAGDFMRRFCSNLGMNNQAVKAAQESVQKSEEFDIRRSPISIAAAVIYIITQLSDDKKPLRDISLATGVAEGTIRNSYKDLYPHLAKIIPSWYAKEEDLKNLCSP >KJB36009 pep chromosome:Graimondii2_0_v6:6:39205849:39209389:-1 gene:B456_006G136100 transcript:KJB36009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVYCSDCKRQTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLSTVIAKPNGASGEFLSSSLGRWQNRGSNPDRGLIVAFKTIATMSDRLGLVATIKDRANEIYKKVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGTIHAGDFMRRFCSNLGMNNQAVKAAQESVQKSEEFDIR >KJB37250 pep chromosome:Graimondii2_0_v6:6:45285107:45287084:-1 gene:B456_006G195900 transcript:KJB37250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVRSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >KJB37252 pep chromosome:Graimondii2_0_v6:6:45286154:45286901:-1 gene:B456_006G195900 transcript:KJB37252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVRSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWK >KJB37251 pep chromosome:Graimondii2_0_v6:6:45285842:45287004:-1 gene:B456_006G195900 transcript:KJB37251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVRSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWK >KJB38339 pep chromosome:Graimondii2_0_v6:6:49429257:49432344:1 gene:B456_006G250300 transcript:KJB38339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARVLDGIINRLLEVRGKPGKLVQLSESEIRQLCLVSKDIFSRQPVLLELEAPIKICGDIHGQYSDLLRLFEIGGFPPRVNYLFLGDYVDRGKQSLETICLLLAYKIKYPEKVFLLRGNHECASVNRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALVEEKIFCMHGGLSPELRSMDQIRKIKRPTDVPDSGLLCDLLWSDPSKDILGWGPNDRGVSYVFGSDRVIDCLKRLDLDIICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAAAMMSVDESLTCSFQIIKAADKKPKFGFGTLASTKSSITPTIIKEQVPEQEEEEGCHLM >KJB35393 pep chromosome:Graimondii2_0_v6:6:36131935:36133900:1 gene:B456_006G113300 transcript:KJB35393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLVGPVLSALTTSKYRPLFPSPTASLPSSKPHLTTSRKIHHHEINTSRFGNFLHLKPESKPGCLDSDLPWFNPSDRPCFDVIIIGTGPGGLRLAEQVSQYGIKVCCVDPSPLSFWPNNYGVWVDEFESLGLVECLDKIWPMTCVYIDDHKTKYLDRPYGRVSRKILKTKLLENCVSNSVKFHKAKVWQVKHEEFESTIQCDDGGELKASLIVDASGFSSSFVEYDKPRNYGYQIAHGILAEVDSHPFDLDKMVLMDWRDSHLGNEPYLRVNNSKLATFLYAMPFDSNLIFLEETSLVSRPVLSYSEIKRRMEARLRHLGIRVRRVIEDEKCLIPMGGPLPRIPQNVMAIGGISGVVHPSTGYMVARTMALAPLVAEAIAECLGSTRMIRGRPLYHKVWNGLWPIERRLSREFCCFGMETLLKLDLVGTRNFFEAFFDLDPYYWHGFLSSRLSLHELASFSLSLFGHASNSSRLDIMSKCPVPLVRMLGNLALQVI >KJB35395 pep chromosome:Graimondii2_0_v6:6:36132236:36133902:1 gene:B456_006G113300 transcript:KJB35395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLVGPVLSALTTSKYRPLFPSPTASLPSSKPHLTTSRKIHHHEINTSRFGNFLHLKPESKPGCLDSDLPWFNPSDRPCFDVIIIGTGPGGLRLAEQVSQYGIKVLKHEEFESTIQCDDGGELKASLIVDASGFSSSFVEYDKPRNYGYQIAHGILAEVDSHPFDLDKMVLMDWRDSHLGNEPYLRVNNSKLATFLYAMPFDSNLIFLEETSLVSRPVLSYSEIKRRMEARLRHLGIRVRRVIEDEKCLIPMGGPLPRIPQNVMAIGGISGVVHPSTGYMVARTMALAPLVAEAIAECLGSTRMIRGRPLYHKVWNGLWPIERRLSREFCCFGMETLLKLDLVGTRNFFEAFFDLDPYYWHGFLSSRLSLHELASFSLSLFGHASNSSRLDIMSKCPVPLVRMLGNLALQVI >KJB35394 pep chromosome:Graimondii2_0_v6:6:36132183:36133902:1 gene:B456_006G113300 transcript:KJB35394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPFGSAVLRCHHYRHWTRWSPARRASIPIRNQVKHEEFESTIQCDDGGELKASLIVDASGFSSSFVEYDKPRNYGYQIAHGILAEVDSHPFDLDKMVLMDWRDSHLGNEPYLRVNNSKLATFLYAMPFDSNLIFLEETSLVSRPVLSYSEIKRRMEARLRHLGIRVRRVIEDEKCLIPMGGPLPRIPQNVMAIGGISGVVHPSTGYMVARTMALAPLVAEAIAECLGSTRMIRGRPLYHKVWNGLWPIERRLSREFCCFGMETLLKLDLVGTRNFFEAFFDLDPYYWHGFLSSRLSLHELASFSLSLFGHASNSSRLDIMSKCPVPLVRMLGNLALQVI >KJB36222 pep chromosome:Graimondii2_0_v6:6:40512653:40515789:1 gene:B456_006G146900 transcript:KJB36222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEIFSPPRDLVKCCDCACPTCSLIGKPSSTWFRSVKRKYDEFETGDRFYVPGFDLYSNPKVQIENECAALRETVCSQQEKIQDLHAELEKERNASSSAATEAMSMILKLEKQKAEIQMEASQFKRFAEEKMAHDQEEIHVLEDLLYKKDQSVQSLTCEALAYKHRMMSYGLTEAEAEGDKDGEIRNLGMAEDFDAQVDLPEYDYPQLKCNMNENPGDDAEDVEKYAFGETPHARDQLRNLEQRIFQVERSSGGSQLDGGCSGTKHVFEKVIVGHSPRRTRHSRRFSIDSYNSFLAKETASEFTIDSPRFHIGSPRFNASHKKMEFISRMDEISSSKRMDNASEVEDDTSDRVYTIDPVHNGAVYNETLDSKPGVGITDEYASTPREQINLPDACDPDIKKLYTRLQALEADRESMRQALLSMRTDKAQLVLLKEIAQHLSKEMPSNRQDVVAKPSILGSLPFMTVFKWILSLIVWRRKGRRSKCLYGLSPNNVGLLMLLDKGPRLRQWRCISSTQV >KJB36223 pep chromosome:Graimondii2_0_v6:6:40512690:40515789:1 gene:B456_006G146900 transcript:KJB36223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEIFSPPRDLVKCCDCACPTCSLIGKPSSTWFRSVKRKYDEFETGDRFYVPGFDLYSNPKVQIENECAALRETVCSQQEKIQDLHAELEKERNASSSAATEAMSMILKLEKQKAEIQMEASQFKRFAEEKMAHDQEEIHVLEDLLYKKDQSVQSLTCEALAYKHRMMSYGLTEAEAEGDKDGEIRNLGMAEDFDAQVDLPEYDYPQLKCNMNENPGDDAEDVEKYAFGETPHARDQLRNLEQRIFQVERSSGGSQLDGGCSGTKHVFEKVIVGHSPRRTRHSRRFSIDSYNSFLAKETASEFTIDSPRFHIGSPRFNASHKKMEFISRMDEISSSKRMDNASEVEDDTSDRVYTIDPVHNGAVYNETLDSKPGVGITDEYASTPREQINLPDACDPDIKKLYTRLQALEADRESMRQALLSMRTDKAQLVLLKEIAQHLSKEMPSNRQDVVAKPSILGSLPFMTVFKVPVWIITKQCWLANAIRQGPSTEAVAMHFKHAGVKSVQMKTKIVTVNAQ >KJB35975 pep chromosome:Graimondii2_0_v6:6:39117620:39117997:1 gene:B456_006G135200 transcript:KJB35975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CYMGHEWELSFLLGMRPWIIVAYSTPVAVATVVLLIYPIGQGSFSYCMPLGISGTFNFMILFQTEHNILMHLFYILSIVSVFGGSLFNAMHGSLVTSSLIRETTENESTNEGYRFGREEYQLIIS >KJB37872 pep chromosome:Graimondii2_0_v6:6:47603388:47605838:1 gene:B456_006G223900 transcript:KJB37872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVEKTAAGREYKVKDMSQADFGRLEIELAEVEMPGLMACRTEFGPAQPFKGAKITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPTGGPDLIVDDGGDATLLIHEGVKAEEVYEKTGQLPDPASTDNAEFQIVLTIIRDGLKADPKKYRRMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLENYPGVKRITIKPQTDSSSYGRRRQLENMRRRFTYCQSTSTRKLLHFTSASSELSSLSSLKIKLITLVCLLKVLTSLLITGTEGDS >KJB37873 pep chromosome:Graimondii2_0_v6:6:47603388:47605838:1 gene:B456_006G223900 transcript:KJB37873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVEKTAAGREYKVKDMSQADFGRLEIELAEVEMPGLMACRTEFGPAQPFKGAKITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPTGGPDLIVDDGGDATLLIHEGVKAEEVYEKTGQLPDPASTDNAEFQIVLTIIRDGLKADPKKYRRMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLENYPGVKRITIKPQTDRWVFPETKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKATGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTKDQADYISVPVEGPYKPPHYRY >KJB35664 pep chromosome:Graimondii2_0_v6:6:37415478:37418930:1 gene:B456_006G1227002 transcript:KJB35664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVSRNFSDTVEKEALPAVSADVIFASSRFPNYKIGANNHIVNVKGDPKVLSMKEIVARETALLLEQQNRLSVRDLANKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKTEVKKLATFLKQASEDAKKLVDEERAFARAEIENARAAVQRVEEALQEKEQMSRASGKQDLEELMKEVQEARRIKMLHQPSK >KJB35665 pep chromosome:Graimondii2_0_v6:6:37414835:37418930:1 gene:B456_006G1227002 transcript:KJB35665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVSRNFSDTVEKEALPAVSADVIFASSRFPNYKIGANNHIVNVKGDPKVLSMKEIVARETALLLEQQNRLSVRDLANKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKTEVKKLATFLKQASEDAKKLVDEERAFARAEIENARAAVQRVEEALQEKEQMSRASGKQDLEELMKEVQEARRIKMLHQPSK >KJB35661 pep chromosome:Graimondii2_0_v6:6:37415478:37418930:1 gene:B456_006G1227002 transcript:KJB35661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVSRNFSDTVEKEALPAVSADVIFASSRFPNYKIGANNHIVNVKGDPKVLSMKEIVARETALLLEQQNRLSVRDLANKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKTEVKKLATFLKQASEDAKKLVDEERAFARAEIENARAAVQRVEEALQEKEQMSRASGKQDLEELMKEVQEARRIKMLHQPSK >KJB35662 pep chromosome:Graimondii2_0_v6:6:37415478:37418930:1 gene:B456_006G1227002 transcript:KJB35662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVSRNFSDTVEKEALPAVSADVIFASSRFPNYKIGANNHIVNVKGDPKVLSMKEIVARETALLLEQQNRLSVRDLANKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKTEVKKLATFLKQASEDAKKLVDEERAFARAEIENARAAVQRVEEALQEKEQMSRASGKQDLEELMKEVQEARRIKMLHQPSK >KJB35663 pep chromosome:Graimondii2_0_v6:6:37414755:37418930:1 gene:B456_006G1227002 transcript:KJB35663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVSRNFSDTVEKEALPAVSADVIFASSRFPNYKIGANNHIVNVKGDPKVLSMKEIVARETALLLEQQNRLSVRDLANKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKTEVKKLATFLKQASEDAKKLVDEERAFARAEIENARAAVQRVEEALQEKEQMSRASGKQDLEELMKEVQEARRIKMLHQPSK >KJB35660 pep chromosome:Graimondii2_0_v6:6:37415478:37418930:1 gene:B456_006G1227002 transcript:KJB35660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVSRNFSDTVEKEALPAVSADVIFASSRFPNYKIGANNHIVNVKGDPKVLSMKEIVARETALLLEQQNRLSVRDLANKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKTEVKKLATFLKQASEDAKKLVDEERAFARAEIENARAAVQRVEEALQEKEQMSRASGKQDLEELMKEVQEARRIKMLHQPSK >KJB35692 pep chromosome:Graimondii2_0_v6:6:37602282:37605931:1 gene:B456_006G124300 transcript:KJB35692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSPLFLVLLVLGFFFATYNLLTMIIHYKTSTSEQWDLSNPFIQMPGNLMEGEGSNSKYHVALTATDAPYSQWQCRIMYYWYKKVKEMPGSDMGKFTRILHSGKPDNLMEEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKAMIDEEYILMAEPDHVFVNPLPNLARGKHPAGFPFFYIKPSDHKHVIRKYYPEEKGPVTNIDPIGNSPVIIKKSVLEKIAPTWMNISLRMKDDPVTDKAFGWVLEMYAYAVASALHDVHHILHKDFMLQPPWDTEVGKKFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLSGPPPRNLSLPPPGVPESVVRLVKMVNEATEDIPNWDTLNRG >KJB35689 pep chromosome:Graimondii2_0_v6:6:37602357:37605931:1 gene:B456_006G124300 transcript:KJB35689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSPLFLVLLVLGFFFATYNLLTMIIHYKTSTSEQWDLSNPFIQMPGNLMEGEGSNSKYHVALTATDAPYSQWQCRIMYYWYKKVKEMPGSDMGKFTRILHSGKPDNLMEEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKAMIDEEYILMAEPDHVFVNPLPNLARGKHPAGFPFFYIKPSDHKHVIRKYYPEEKGPVTNIDPIGNSPVIIKKSVLEKIAPTWMNISLRMKDDPVTDKAFGWVLEMYAYAVASALHDVHHILHKDFMLQPPWDTEVGKKFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLSGPPPRNLSLPPPGVPESVVRLVKMVNEATEDIPNWDTLNRG >KJB35691 pep chromosome:Graimondii2_0_v6:6:37602357:37605931:1 gene:B456_006G124300 transcript:KJB35691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSPLFLVLLVLGFFFATYNLLTMIIHYKTSTSEQWDLSNPFIQMPGNLMEGEGSNSKYHVALTATDAPYSQWQCRIMYYWYKKVKEMPGSDMGKFTRILHSGKPDNLMEEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKAMIDEEYILMAEPDHVFVNPLPNLARGKHPAGFPFFYIKPSDHKHVIRKYYPEEKGPVTNIDPIGNSPVIIKKSVLEKIAPTWMNISLRMKDDPVTDKAFGWVLEMYAYAVASALHDVHHILHKDFMLQPPWDTEVGKKFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLSGPPPRNLSLPPPGVPESVVRLVKMVNEATEDIPNWDTLNRG >KJB35690 pep chromosome:Graimondii2_0_v6:6:37602645:37605931:1 gene:B456_006G124300 transcript:KJB35690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSPLFLVLLVLGFFFATYNLLTMIIHYKTSTSEQWDLSNPFIQMPGNLMEGEGSNSKYHVALTATDAPYSQWQCRIMYYWYKKVKEMPGSDMGKFTRILHSGKPDNLMEEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKAMIDEEYILMAEPDHVFVNPLPNLARGKHPAGFPFFYIKPSDHKHVIRKYYPEEKGPVTNIDPIGNSPVIIKKSVLEKIAPTWMNISLRMKDDPVTDKAFGWVLEMYAYAVASALHDVHHILHKDFMLQPPWDTEVGKKFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLSGPPPRNLSLPPPGVPESVVRLVKMVNEATEDIPNWDTLNRG >KJB35693 pep chromosome:Graimondii2_0_v6:6:37602004:37605931:1 gene:B456_006G124300 transcript:KJB35693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSPLFLVLLVLGFFFATYNLLTMIIHYKTSTSEQWDLSNPFIQMPGNLMEGEGSNSKYHVALTATDAPYSQWQCRIMYYWYKKVKEMPGSDMGKFTRILHSGKPDNLMEEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKAMIDEEYILMAEPDHVFVNPLPNLARGKHPAGFPFFYIKPSDHKHVIRKYYPEEKGPVTNIDPIGNSPVIIKKSVLEKIAPTWMNISLRMKDDPVTDKAFGWVLEMYAYAVASALHDVHHILHKDFMLQPPWDTEVGKKFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLSGPPPRNLSLPPPGVPESVVRLVKMVNEATEDIPNWDTLNRG >KJB35688 pep chromosome:Graimondii2_0_v6:6:37602202:37605931:1 gene:B456_006G124300 transcript:KJB35688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSPLFLVLLVLGFFFATYNLLTMIIHYKTSTSEQWDLSNPFIQMPGNLMEGEGSNSKYHVALTATDAPYSQWQCRIMYYWYKKVKEMPGSDMGKFTRILHSGKPDNLMEEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKAMIDEEYILMAEPDHVFVNPLPNLARGKHPAGFPFFYIKPSDHKHVIRKYYPEEKGPVTNIDPIGNSPVIIKKSVLEKIAPTWMNISLRMKDDPVTDKAFGWVLEMYAYAVASALHDVHHILHKDFMLQPPWDTEVGKKFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLSGPPPRNLSLPPPGVPESVVRLVKMVNEATEDIPNWDTLNRG >KJB38491 pep chromosome:Graimondii2_0_v6:6:49864294:49866716:1 gene:B456_006G256600 transcript:KJB38491 gene_biotype:protein_coding transcript_biotype:protein_coding description:AHP1 [Source:Projected from Arabidopsis thaliana (AT3G21510) UniProtKB/TrEMBL;Acc:A0A178V856] MELVQIQRSLVDYTKSLFMEGFLDAQFLQLQQLQDDSNPDFVFEVVSLFFEDSEKLLNELIIALDQPNVDFKKIDSHVHQLKGSSSSIGAQRVKNACIAFRNYCDVQNIEGCLTCLQQVKQECYLVKNKFETLFRLEQQIMASGGSVPTIELGF >KJB37711 pep chromosome:Graimondii2_0_v6:6:46996533:46998455:1 gene:B456_006G217100 transcript:KJB37711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGPDEEDNRWPPWLKPLLREHFFVQCKLHVDSHKSECNMYCLDCMNGALCSFCLACHKDHRYIQIRRSSYHDVIRVSEIQKYLDISGVQTYVINSAKVVFINERPQPRPGKGVINTCEVCERSLVDNFRFCSLGCKVVGTSKDFQKKKRQMGMASDSEDSYSSSSSHRNYLKNSNNNNKVQSFSPSTPPPTSVNYRTAKRRKGIPHRAPMAGLIIEY >KJB37712 pep chromosome:Graimondii2_0_v6:6:46996646:46998365:1 gene:B456_006G217100 transcript:KJB37712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGPDEEDNRWPPWLKPLLREHFFVQCKLHVDSHKSECNMYCLDCMNGALCSFCLACHKDHRYIQIRRSSYHDVIRVSEIQKYLDISGVQTYVINSAKVVFINERPQPRPGKGVINTCEVCERSLVDNFRFCSLGCKVVGTSKDFQKKKRQMGMASDSEDSYSSSSSHRNYLKNSNNNNKVQSFSPSTPPPTSVNYRTAKRRKGIPHRAPMAGLIIEY >KJB37713 pep chromosome:Graimondii2_0_v6:6:46996882:46998365:1 gene:B456_006G217100 transcript:KJB37713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFGQGAGGPDEEDNRWPPWLKPLLREHFFVQCKLHVDSHKSECNMYCLDCMNGALCSFCLACHKDHRYIQIRRSSYHDVIRVSEIQKYLDISGVQTYVINSAKVVFINERPQPRPGKGVINTCEVCERSLVDNFRFCSLGCKVVGTSKDFQKKKRQMGMASDSEDSYSSSSSHRNYLKNSNNNNKVQSFSPSTPPPTSVNYRTAKRRKGIPHRAPMAGLIIEY >KJB38799 pep chromosome:Graimondii2_0_v6:6:50895320:50899698:-1 gene:B456_006G272600 transcript:KJB38799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYWPPCICLDIMGCIIRKQSKSLPSDRPTSTPRRLRTRRRQRSNEPSTVVSVTDAVDGVVDVKQKGRRRQHQQKENHTGDFPVILPPPERRRTPQDANQQEWPSWLIDVIGEAINDWTPRHASTFEKLDKIGQGTYSNVYKARDLLTGKIVALKKVRFDNLDPQSVKFMAREILVLRKLNHPNVIKLEGLVTSRMSSSLYLVFDYMEHDLAGLTASPGVKFTEPQVKCFMKQLLSGLEHCHKQGVLHRDIKCSNLLINNEGILKIADFGLATFYEQKQPLTNRVITLWYRPPELLLGTTYYGVGVDLWSAGCILAELFYGKPIMPARTEVEQLHKIFKLCGSPSKEYWKKSKLPNATLFKLQHPYKRCIAETFKDFPSSSLPLIETLLSIDPEERSTAIAALKSEFFTTEPYACEPSSLPKFPPCKDMDVKLRAEEARRLRGLDSKVNAVDGTRRIRVGERASRAIPAPEANTEIQAKLDKRRVMTQTNGKSRSEKFPPPHQDGAVGHSLDADASLKGSITSFGVNNTPFSSSVLNTSSGFATGPPIQRKTNKDPRRATSREFVHSYKPTSIGLSLDLGCKPEVLGCQN >KJB38797 pep chromosome:Graimondii2_0_v6:6:50895320:50898186:-1 gene:B456_006G272600 transcript:KJB38797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFKIESGFISILQKYILKFPCKARYGFWNYALEIFSFRVVKCFMKQLLSGLEHCHKQGVLHRDIKCSNLLINNEGILKIADFGLATFYEQKQPLTNRVITLWYRPPELLLGTTYYGVGVDLWSAGCILAELFYGKPIMPARTEVEQLHKIFKLCGSPSKEYWKKSKLPNATLFKLQHPYKRCIAETFKDFPSSSLPLIETLLSIDPEERSTAIAALKSEFFTTEPYACEPSSLPKFPPCKDMDVKLRAEEARRLRGLDSKVNAVDGTRRIRVGERASRAIPAPEANTEIQAKLDKRRVMTQTNGKSRSEKFPPPHQDGAVGHSLDADASLKGSITSFGVNNTPFSSSVLNTSSGFATGPPIQRKTNKDPRRATSREFVHSYKPTSIGLSLDLGCKPEVLGCQN >KJB38798 pep chromosome:Graimondii2_0_v6:6:50895320:50898393:-1 gene:B456_006G272600 transcript:KJB38798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLLSGLEHCHKQGVLHRDIKCSNLLINNEGILKIADFGLATFYEQKQPLTNRVITLWYRPPELLLGTTYYGVGVDLWSAGCILAELFYGKPIMPARTEVEQLHKIFKLCGSPSKEYWKKSKLPNATLFKLQHPYKRCIAETFKDFPSSSLPLIETLLSIDPEERSTAIAALKSEFFTTEPYACEPSSLPKFPPCKDMDVKLRAEEARRLRGLDSKVNAVDGTRRIRVGERASRAIPAPEANTEIQAKLDKRRVMTQTNGKSRSEKFPPPHQDGAVGHSLDADASLKGSITSFGVNNTPFSSSVLNTSSGFATGPPIQRKTNKDPRRATSREFVHSYKPTSIGLSLDLGCKPEVLGCQN >KJB34436 pep chromosome:Graimondii2_0_v6:6:24726338:24728782:1 gene:B456_006G065500 transcript:KJB34436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHAYARRLKENEKKLLVDLTSKNVTPRDILSTLKEQDESNNVGKTPIQLLMLLFNDKQFFTEFSVNNISNELENIFFIHPRSLDIWRAFQHILIVDTTYKMNKYDLPFVQIVGMASTNKTFSIAFVFIINEKEENYNCALTCLKLTLEECMYPRVIVTDRELALMNACQQVFPDTTRLLCRWHITENIKKHCRQSIKSQHKWDSFLAMWTVLIESPTWILYSENYKKLQSMLSEYPGVLKYLDQVWLSKYKEMFVSVWIDRHLNFGERTMNRVESHHAKLKKYLCAKNSSLDKFVGCIDQIVKSQLTSIYESFENSRIFLKHRHNLPCFRLLRKLDLSPSTSVENEDICCDGELEMFKEKFTNQSKAGKKSLLRKLKDIFQPKIPDMFHPYITHVQDVRGDGNCGFRAISVCLGYGEDQWLYVRHQLVDELLTSYDVYARVFTDGIDELCNSLCFSQLLAPAEHWMVMPMTGVLIANRFGVILNYLTKRCDKTFFPLWRGPKHFQYHHAITIAHVYDTHNVMVQLEGDYPMPTISAYWIRHRAPSTAEWQTMYMSRLEFYRQLKPCNLKTPVITIEDYC >KJB38358 pep chromosome:Graimondii2_0_v6:6:49504965:49508326:1 gene:B456_006G251400 transcript:KJB38358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMLVTDRKDEQGNDDSLQNGDLSVGENGMNNSELKLKKLARKVNPWHALMLNVKRLQGRKNLIKDLLQRSIFDISHKIPRHVVTLDERYLRRCLELIHINAAKAARCNISVNLSSLNMGVLSDGLNSTKIRDEDTCDFDRFVFDCPLAVETGSVVLGPADPWVVGSIMGSNSMANILKSPLLQKLGVSNVDSSLMDIKGSKISSDFTNSLGGFMNYSSNKLGSETPVLDTRNGSETVQKRLVSVSSSNSSCSDQSFSSNSTTISQGMLQCTWKGGILYFVFSLDNQRVVYVANLLKDGSARNRGLGYTYLFRSSKGGHKEHGINDNDLHLVGKMKVSTSFTIGPLDSKVMETEFVLFDGNQTFNLEPQTSSHNHGKNKGISKKVAEVFKNTHLSKQRTISRYRRSISLIEDSSSDPINNSDALDRLNLLDEQLPPNFESLAIITRDHFPENPHSEVGGWGLKFLKKTGVKQKIDPSDCYTGDCSTSMDIIVPAGIHGGPRIQNGGPSSLIERWRSGGHCDCGGWDLGCPVKVLQARSSKKDGIPPTEIFEACKLFDFFIQGSEHGSPTLSISNVHDGLYFIHFQPSLSALQSFSIAVAYIHTRSPTLRPKNVQRSK >KJB38361 pep chromosome:Graimondii2_0_v6:6:49511571:49513000:-1 gene:B456_006G251600 transcript:KJB38361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTSVSVAGFKGSLGKSSFNGGEDYAMLAAKSAPNVVRIGKPVRAQPMMKNVNEGKGVFAPLVVVTRQIVGKKRFNQLRGKAIALHSQVINEFCKAIGADGKQRQGLIRLAKKNGEKLGFLA >KJB37688 pep chromosome:Graimondii2_0_v6:6:46876833:46877740:1 gene:B456_006G215400 transcript:KJB37688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHWHVSFLSFCFFFLFLFLFLWTPYDEISATRTFSVDLINKTCKTCSDKSTVFNYTFCSASLQEIPVSRTTNLQGLAIIAMELALQNATHTLSVIKELRRNETWGHPFASACLRDCDVLYSDGVITLVDAVAAFLEGKYGSAGAWLTAVMDGTTTCEEGFGDMEEASPLTEQNYSVFQLCDVALCIVNLLVSHA >KJB37084 pep chromosome:Graimondii2_0_v6:6:44549793:44550873:-1 gene:B456_006G189000 transcript:KJB37084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYNTRIFSLVDWLRCEFTKVDNEYAGSGKRVYVGRVLALANMGFWCFNLFGFLLPVYLPKAFKMYYSKTKVKVVE >KJB36633 pep chromosome:Graimondii2_0_v6:6:42792745:42794517:-1 gene:B456_006G168200 transcript:KJB36633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSSKPISSPCRGEKYPPQLMRFLRSNVGSRSRGRSRSSPMFVRRKHTPIETQEPSSPKVTCMGQVRVRRSKQTAPPGAPICRSSRCKWITNALFCHNFPGKVKAKPFVKPSWEKWGTFFLMGSCRKPQTREDSSKYGNKMEGSEEEEEAKIFASSSCSSPPKNALILTRCRSAPYRSSSLACRFWGSPLANHETNEEAEVAKRGFEDEEEKESICRNSETEEKPGFCSKIEEKEIEETERSQESKTEQQGNVGPVVLTRCKSEPARNVARLDPEMRLWKKRTLGFT >KJB34448 pep chromosome:Graimondii2_0_v6:6:25760066:25762834:1 gene:B456_006G066300 transcript:KJB34448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIRCKAAVAWEAGKPLSVEEIEVAPPQKNEVRVKIHFTSLCHTDVYFWEAKGQNPLFPRILGHEAGGVVESVGEGVMDVQPGDHVLPIFTGECKECPHCLSEASNMCDILRINTERGGMLHDGHTRFSKDGKPIYHFLGTSTFSEYTVVHVGQVAKINPEAPLDKVCVLSCGMSTGFGATVNVAKPKKGGSVAVFGLGAVGLAAAEGARVCEASRIIGIDLNPNRFEEAKKFGCTEFVNPKDHNKPVQEVIAEMTGGGVDCSIECTGSTQAMVSAFECVHDGWGVAVLVGVPSRDDSFKTHPIHFLNERTLRGTFFGNYKPRSDIPGVVEKYMKKELELDKFITHSVPFLDINKAFEYMLRGEGLRCMIRMDA >KJB34605 pep chromosome:Graimondii2_0_v6:6:29709078:29713652:-1 gene:B456_006G075100 transcript:KJB34605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06350) UniProtKB/Swiss-Prot;Acc:Q9SQT8] MDTVNPLLVSSASGLKMDVNGGEMRKNPTLICAPLMADSIDKMVTLMAKAKATTADLVEIRLDSLKNFNPFEDLNVLIKQSPLPTLFTYRPVWEGGQYDGDEKKRLDVLRLAMELGADYIDVELKVAHEFIKSIGGKKPEKIKVIVSSHNYQSTPSVVELGNLVVKIQSTGADIVKIATTAIDITDVARIFQITVHSQVPIIGLVMGERGLISRILCTKFGGYLTFGTLEGGVVSAPGQPTINDLLNLYNFRQLGPDTKVYGIIGKPVGHSKSPMLYNEAFKSAGFNGVFVHLLVDDLEMFLRTYSSTDFAGFSCTIPHKETAVKCCDEVDPVAKSIGAVNCIIRRQSDGKLFGCNTDYIGAISAIEDGLQAGYNMSSAAGSPLAGKLFVVIGAGGAGKALAYGAKQKGARVVIANRTYERARELADVIGGDALSLDDLARFHPEDGMILANTTSIGMQPNIDQTPMPKDALKYYSLVFDAVYTPKITRLLREAKETGATIVSGLEMFIGQAYEQFERFTGLPAPKEQFRRTMSKY >KJB34604 pep chromosome:Graimondii2_0_v6:6:29709069:29713473:-1 gene:B456_006G075100 transcript:KJB34604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06350) UniProtKB/Swiss-Prot;Acc:Q9SQT8] MDVNGGEMRKNPTLICAPLMADSIDKMVTLMAKAKATTADLVEIRLDSLKNFNPFEDLNVLIKQSPLPTLFTYRPVWEGGQYDGDEKKRLDVLRLAMELGADYIDVELKVAHEFIKSIGGKKPEKIKVIVSSHNYQSTPSVVELGNLVVKIQSTGADIVKIATTAIDITDVARIFQITVHSQVPIIGLVMGERGLISRILCTKFGGYLTFGTLEGGVVSAPGQPTINDLLNLYNFRQLGPDTKVYGIIGKPVGHSKSPMLYNEAFKSAGFNGVFVHLLVDDLEMFLRTYSSTDFAGFSCTIPHKETAVKCCDEVDPVAKSIGAVNCIIRRQSDGKLFGCNTDYIGAISAIEDGLQAGYNMSSAAGSPLAGKLFVVIGAGGAGKALAYGAKQKGARVVIANRTYERARELADVIGGDALSLDDLARFHPEDGMILANTTSIGMQPNIDQTPMPKDALKYYSLVFDAVYTPKITRLLREAKETGATIVSGLEMFIGQAYEQFERFTGLPAPKEQFRRTMSKY >KJB34606 pep chromosome:Graimondii2_0_v6:6:29709079:29713652:-1 gene:B456_006G075100 transcript:KJB34606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06350) UniProtKB/Swiss-Prot;Acc:Q9SQT8] MDTVNPLLVSSASGLKMDVNGGEMRKNPTLICAPLMADSIDKMVTLMAKAKATTADLVEIRLDSLKNFNPFEDLNVLIKQSPLPTLFTYRPVWEGGQYDGDEKKRLDVLRLAMELGADYIDVELKVAHEFIKSIGGKKPEKIKVIVSSHNYQSTPSVVELGNLVVKIQSTGADIVKIATTAIDITDVARIFQITVHSQVPIIGLVMGERGLISRILCTKFGGYLTFGTLEGGVVSAPGQPTINDLLNLYNFRQLGPDTKVYGIIGKPVGHSKSPMLYNEAFKSAGFNGVFVHLLVDDLEMFLRTYSSTDFAGFSCTIPHKETAVKCCDEVDPVAKSIGAVNCIIRRQSDGKLFGCNTDYIGAISAIEDGLQAGYNMSSAAGSPLAGKLFVVIGAGGAGKALAYGAKQKGARVVIANRTYGTMHLFFLL >KJB38445 pep chromosome:Graimondii2_0_v6:6:49769428:49772127:-1 gene:B456_006G255200 transcript:KJB38445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAATTVQPCPSSFIFFTQRQKTRLHNIIHFPFLLLPPSHLRRRFSSSAARDINSRKRRRKWDSNAETIRAKDFTFNTQNNEDEDDDEEEDYDGETASSGILEEAIDSLWILKAFKSFGWGLPPILLSLLFANGPKAFLMALALTIGQSAIAFAFEKVLGKSQSKQKRNARARKTKKYTSRRTVRNIKKEKQVHEGPKNKKGMKGYQSWVVDDQGTTSFGGWDELDGTEPTRTPSTMENGSKRTTKPKDRLSISETESNEPLLLRLLIAVFPFLGSWTKLFW >KJB33368 pep chromosome:Graimondii2_0_v6:6:1726373:1726652:1 gene:B456_006G008300 transcript:KJB33368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YQGKLILASKDTPLLINKWKYYFVDLWKYYFYLWPQSERWYGVKNSFLIDNATKTLDTRIPIISLIG >KJB35589 pep chromosome:Graimondii2_0_v6:6:48206507:48207798:1 gene:B456_006G233300 transcript:KJB35589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFEHPISIVMGFMLRKSLSCLQELSCLFMDLASILMSAVRFSSLQLSRHRQPSANHHHHYRRYHHPMSPMAVPT >KJB35590 pep chromosome:Graimondii2_0_v6:6:48206507:48207146:1 gene:B456_006G233300 transcript:KJB35590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFEHPISIVMGFMLRKSLSCLQELSCLFMDLASILMSAVRFSSLQLSRHRQPSANHHHHYRRYHHPMSPMAVPT >KJB35592 pep chromosome:Graimondii2_0_v6:6:37136837:37138404:-1 gene:B456_006G120900 transcript:KJB35592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKMEYSESTPTTTTATTSSNSPSSSSPPPPPPPPAVVISPCAACKILRRRCADKCVLAPYFPPTDPAKFTIAHRVFGASNIIKFLQELPETQRADAVSSMVYEASARIRDPVYGCAGAICQLQKQINELQAQLAKAKAQAEVANMQLQQANLAAFLCMEMAETTSAQPNSHQFVDNPSFMEDNNFGSFWEPLWT >KJB38209 pep chromosome:Graimondii2_0_v6:6:48832452:48832871:1 gene:B456_006G242200 transcript:KJB38209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLVVWLFLISLCFSSWVQLASAQPLQVGSPSPPPSTGGSTGGMEVDLGLGLEVAALTRMHLALTCFHLSVHNYARFYESVK >KJB35197 pep chromosome:Graimondii2_0_v6:6:34634665:34635264:-1 gene:B456_006G104100 transcript:KJB35197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNTAPATQQPVKHFNLVRCVAVCLLTLIVLVGLAVLITWLVIRPKRLVYTLENGSLQHFNLNNKHINATFDFVLMAYNPNTKTSVYYDSMESVVSYKDQTLALDTIDPFHQPHRDTARVETKLVAQNLALSPSTYKDLRGEKSSGEIEVDVHYKSRVRFKVGMWKSKHRTLKIVCPSVKLHFSWSRHFENVPCEVEL >KJB36208 pep chromosome:Graimondii2_0_v6:6:40475086:40476830:-1 gene:B456_006G146300 transcript:KJB36208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIVGIDESDESLYALQWTVNNLFNGPINSAAGGERNLLTLVHVHQPSKHYGVGPSAFPAGPGVAAYPSTALVDSVRKSQEQISARILSRALEMCDNKMKAETLILEGNPKDKLCEISEEMKVDLLIVGNRGLGRIQRAFLGSVSDYCAHYAKCPVLIVKPPKEMAGQ >KJB34443 pep chromosome:Graimondii2_0_v6:6:26559871:26560732:-1 gene:B456_006G067100 transcript:KJB34443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPSYIKTLLPFQSFSMKSPSSSALSSMKIKTLTHTLIYAQVSRLIRAFSKAKSLVIHIYKENKPIKYLISNSKTTKKKHKHKKLFFGSFRLHYNWCSSHVAPVPAPVLQTHLYYDSTWNSVISTEQCDDDRVEAELSGYLQWLEEKKVNGAETDMNEIDKLAEMFIADCYEKFRLEKQESYRRFQEMMARSM >KJB34158 pep chromosome:Graimondii2_0_v6:6:17416361:17417061:1 gene:B456_006G050900 transcript:KJB34158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSMKRRTLLKVIVLGDTTIGADFVTKELQIDDKLVTLQIWDTLGQERFQSLGSAFYRGADCCVIMFDVNILRLLCSCLIDCCVIVFDVNILKSFKTDHNMFAVMSQLQL >KJB33900 pep chromosome:Graimondii2_0_v6:6:10161343:10162329:1 gene:B456_006G037000 transcript:KJB33900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREGRQHDTVRTYRILPSPWNPNPESRFVQQFDSPPTAGLFTKVPLKPTNHSKFTGRCGRPRCLGCHMHPTCKSKDKSKGSHKLRSREMVTSYRLITWRVVDGRHGLKDSEFSASRILDHLSNHYDDGDDDDDDDGFKVNSQEPLGEMEHYKGETEENIIVDHNKDDDDDVKKDGVYVDDDDDDVRFVLDQDLEEEGWCLVGED >KJB37514 pep chromosome:Graimondii2_0_v6:6:46329088:46330770:-1 gene:B456_006G208200 transcript:KJB37514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSPVHSIKYTEHRYQTKLLSPLLDVSLVKQPEMKRRIVRISVTDADATDSSGDEDDDYEEHASQLRCRNRVKKYVNEITIESTLCPVQNGRVSRSKTSLSKSSRKRPAMKVKATMKAPADKKFRGVRQRPWGKWAAEIRDPLRRVRLWLGTYDTAEEAAVVYDNAAIKLRGPDALTNFSNPKLQDKNSQKPLSSSDYHSGEESLNTNLCSPTSVLRCLSLSADEGDSQSVKESRELRSEPGAVVDDSCFTSGEKFSEFSDQSSLFPSDIFSSVPELFDDNTSFHDCFLKDDFSNGFLSSGGDFEFGFGGFSRWQRVDDHFQDIGDLFGSDPLVAI >KJB37178 pep chromosome:Graimondii2_0_v6:6:44979025:44980110:-1 gene:B456_006G192800 transcript:KJB37178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYSTVMEAVTEATGLSPTAFFTILAMMVVVYKIVCGMFLGPEDFRQKPQKEPIQVGDITESELRAYDGSDPKKPLLIAIKGQIYDVSSSKVFYGCGGAYSMFTGRDASRALAMLSFKPEDLTGNLEGLSAEELSVLEDWECKFLDKYPIVGRVVQPSNTSQNGDGLHIHHNDTNGHQQQQTKDD >KJB35159 pep chromosome:Graimondii2_0_v6:6:34314003:34319945:1 gene:B456_006G102800 transcript:KJB35159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPTPPPSSYPNTLQFSVKSPSPFVHYLLFRSRWRNASTISLQKSGVPPLPPLVRPSFKKFKCFARSSSTEEDRLRESETLAGDDDGDDDGGREDPKVQQRQSDSFLLGIREPVYEVVEVKSSGVSSKRKISRRQLLKSSGVRPRDIRSVDPSLFLTKTAPSLLVREHAILLNLGSLRAMAMKDRVLIFNYNSKGGKAFTDTLLPRLNNMNGAQCMPFELEVVEAALLSWIQRWERKLMNLEPRVQALLKMFPNKLTGDILEQLRISKQTLVELGSKAGALRQMLLDLLEDQDEIRRICIMGRRSTLKRENDDVESSLPSGKLIAEEQVEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSMAVNLSSRRLEVGRVELLLQVGAFCIGVGALVSGIFGMNLRSYLEERVFAFWITTAGIIFGATVIFFLMYSYLRRRKIL >KJB35160 pep chromosome:Graimondii2_0_v6:6:34314003:34319945:1 gene:B456_006G102800 transcript:KJB35160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPTPPPSSYPNTLQFSVKSPSPFVHYLLFRSRWRNASTISLQKSGVPPLPPLVRPSFKKFKCFARSSSTEEDRLRESETLAGDDDGDDDGGREDPKVQQRQSDSFLLGIREPVYEVVEVKSSGVSSKRKISRRQLLKSSGVRPRDIRSVDPSLFLTKTAPSLLVREHAILLNLGSLRAMAMKDRVLIFNYNSKGGKAFTDTLLPRLNNMNGAQCMPFELEVVEAALLSWIQRWERKLMNLEPRVQALLKMFPNKLTGDILEQLRISKQTLVELGSKAGALRQMLLDLLEDQDEIRRICIMGRRSTLKRENDDVESSLPSGKLIAEEQVEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSMAVNLSSRRLEVGRVELLLQVGAFCIGVGALVSGIFGMNLRSYLEERVFAFWITTAGIIFGATVIFFLMYSYLRRRKIL >KJB36217 pep chromosome:Graimondii2_0_v6:6:40481350:40483861:-1 gene:B456_006G146500 transcript:KJB36217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGKTRPIPDRISHIHLPLWRIRGILVPIEIALSWIGSLSAFAPFSIFADVSNLWAMAFVVKEDLQQAIGGKFSFRDRKAFTDNLGGLPFAGGMAVYCFEGFGMTLALEQSMRERRKFPKVLAMSFTWIALVYILFGIFGYMAYGDETKDIITLNLPKDWTAIAVQIGLCLGLAFTFPIMVHPVSEIVEGKLKKNIWFEKLRNNDAEDSITRLEKLGIYMGRAVLVIVLAVLASFVPGFGVFVSLVGSSVCALISFVLPVSFHLTLLGSSLSLWQKALDVFVFLCGLLFAAYGTYNTIIGF >KJB36216 pep chromosome:Graimondii2_0_v6:6:40481702:40483469:-1 gene:B456_006G146500 transcript:KJB36216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKCDTIPLINPSPSSSTQGTASKLQTIGNIIVSIVGTGVLGLPFAFRVAGWLAGSIGVVITGLATFYCMLLLIQCREKLASEEELKETTTYGDLGCRCMGKPGRYLTEFLIFISHCGGSVAYLVFIGQNLASLFKLHGLTIASYIFLLVPIEIALSWIGSLSAFAPFSIFADVSNLWAMAFVVKEDLQQAIGGKFSFRDRKAFTDNLGGLPFAGGMAVYCFEGFGMTLALEQSMRERRKFPKVLAMSFTWIALVYILFGIFGYMAYGDETKDIITLNLPKDWTAIAVQNLWCFGTDWLVPGVSIYIPNHGPSS >KJB36218 pep chromosome:Graimondii2_0_v6:6:40482076:40483861:-1 gene:B456_006G146500 transcript:KJB36218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKCDTIPLINPSPSSSTQGTASKLQTIGNIIVSIVGTGVLGLPFAFRVAGWLAGSIGVVITGLATFYCMLLLIQCREKLASEEELKETTTYGDLGCRCMGKPGRYLTEFLIFISHCGGSVAYLVFIGQNLASLFKLHGLTIASYIFLLVPIEIALSWIGSLSAFAPFSIFADVSNLWAMAFVVKEDLQQAIGGKFSFRDRKAFTDNLGGLPFAGGMAVYCFEGFGMTLALEQSMRERRKFPKVLAMSFTWIALVYILFGIFGYMAYGDETKDIITLNLPKDWTAIAVQVHLKISLTIHLVFRHKV >KJB36214 pep chromosome:Graimondii2_0_v6:6:40481297:40483538:-1 gene:B456_006G146500 transcript:KJB36214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGKTRPIPDRISHIHLPLWRIRGILALSWIGSLSAFAPFSIFADVSNLWAMAFVVKEDLQQAIGGKFSFRDRKAFTDNLGGLPFAGGMAVYCFEGFGMTLALEQSMRERRKFPKVLAMSFTWIALVYILFGIFGYMAYGDETKDIITLNLPKDWTAIAVQIGLCLGLAFTFPIMVHPVSEIVEGKLKKNIWFEKLRNNDAEDSITRLEKLGIYMGRAVLVIVLAVLASFVPGFGVFVSLVGSSVCALISFVLPVSFHLTLLGSSLSLWQKALDVFVFLCGLLFAAYGTYNTIIGF >KJB36215 pep chromosome:Graimondii2_0_v6:6:40481298:40483880:-1 gene:B456_006G146500 transcript:KJB36215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKCDTIPLINPSPSSSTQGTASKLQTIGNIIVSIVGTGVLGLPFAFRVAGWLAGSIGVVITGLATFYCMLLLIQCREKLASEEELKETTTYGDLGCRCMGKPGRYLTEFLIFISHCGGSVAYLVFIGQNLASLFKLHGLTIASYIFLLVPIEIALSWIGSLSAFAPFSIFADVSNLWAMAFVVKEDLQQAIGGKFSFRDRKAFTDNLGGLPFAGGMAVYCFEGFGMTLALEQSMRERRKFPKVLAMSFTWIALVYILFGIFGYMAYGDETKDIITLNLPKDWTAIAVQIGLCLGLAFTFPIMVHPVSEIVEGKLKKNIWFEKLRNNDAEDSITRLEKLGIYMGRAVLVIVLAVLASFVPGFGVFVSLVGSSVCALISFVLPVSFHLTLLGSSLSLWQKALDVFVFLCGLLFAAYGTYNTIIGF >KJB34320 pep chromosome:Graimondii2_0_v6:6:22358301:22364041:1 gene:B456_006G061400 transcript:KJB34320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WAGKGYKRSPENKNISGNPISNPKSPLKQTEGKASKSRVRETEKEMGRKKGVAEFEETAPDDFDPANPYKDPVAMLEMREHIVREKWIDIEKAKILRDKVRWCYRIEGVNHLQKCRHLVRQYLDATRGIGWGKDGRHPSLHG >KJB34319 pep chromosome:Graimondii2_0_v6:6:22358514:22359197:1 gene:B456_006G061400 transcript:KJB34319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKGVAEFEETAPDDFDPANPYKDPVAMLEMREHIVREKWIDIEKAKILRDKVRWCYRIEGVNHLQKCRHLVRQYLDATRGIGWGKDGRHPSLHGPKVEEIDSE >KJB35280 pep chromosome:Graimondii2_0_v6:6:35131121:35134781:1 gene:B456_006G108100 transcript:KJB35280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTDLDGRPIKPMTICMIGAGGFIGSHLCEKLMSETPHKVLALDVYNDKIKHLLEPDSLPWAGRIQFHRLNIKHDSRLEGLIKMADLVINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDSPLRQDPAYYVLKEDTSPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFIYIKDAIEAVLLMIENPDRANGHIFNVGNPNNEVTVRQLAEMMTKVYAKVSGETVLESPTVDVSSKEFYGEGYDDSDKRIPDMTIINKQLGRLEPQDIPLGLARIYPNLPTQDLCRGY >KJB35281 pep chromosome:Graimondii2_0_v6:6:35131121:35134781:1 gene:B456_006G108100 transcript:KJB35281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFIGSHLCEKLMSETPHKVLALDVYNDKIKHLLEPDSLPWAGRIQFHRLNIKHDSRLEGLIKMADLVINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDSPLRQDPAYYVLKEDTSPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFIYIKDAIEAVLLMIENPDRANGHIFNVGNPNNEVTVRQLAEMMTKVYAKVSGETVLESPTVDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAIKKSIAKPSAS >KJB35279 pep chromosome:Graimondii2_0_v6:6:35131040:35134789:1 gene:B456_006G108100 transcript:KJB35279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTDLDGRPIKPMTICMIGAGGFIGSHLCEKLMSETPHKVLALDVYNDKIKHLLEPDSLPWAGRIQFHRLNIKHDSRLEGLIKMADLVINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDSPLRQDPAYYVLKEDTSPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFIYIKDAIEAVLLMIENPDRANGHIFNVGNPNNEVTVRQLAEMMTKVYAKVSGETVLESPTVDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAIKKSIAKPSAS >KJB34485 pep chromosome:Graimondii2_0_v6:6:27706969:27715999:1 gene:B456_006G068300 transcript:KJB34485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGPNPPKQPSSSSNNHRKSRWESSSSTANKNPSSTTADHKSYTKPSPSTRTGPPPSSAAQNKSQSDPNQAHPPFPFPDPAALGPPPPPAYGFHMLERRTIVLYDGSVRSYFALPPDYQDFPTRPILGPRDFGSPSMGFRDNRDYWNSPSDGPGPLKRKYGDEGKDLREEKKEKIYTLGPGGSDRLTGMSSPLGNEETRVAKYMRVGGGFQNNNAGFNHKHLEVDQSALKKAFLHFVKAVFENTGQKKNYLEDGKQGRLQCLACGKFNAKFRSSKDFSDMHGLIMHTYYSDNADLRVDHLGLHRALCVLMGWNYSKAPDNSKAYRFLPADEAVSNQEDLIMWPPVVIVHNTITGKGKDGRMEGLGNKAMDSKLRDLGFGSGKCKSMYGREGHLGITVVKFASDQSGLIDAVRLAEYFEKENRGRKAWSHLQPLTLGKDDDDKNPNLVKVDERNGEKKRIFYGYLGTVADLDKVDFDMRNKVVIESRREHKGPR >KJB34487 pep chromosome:Graimondii2_0_v6:6:27707028:27715921:1 gene:B456_006G068300 transcript:KJB34487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGPNPPKQPSSSSNNHRKSRWESSSSTANKNPSSTTADHKSYTKPSPSTRTGPPPSSAAQNKSQSDPNQAHPPFPFPDPAALGPPPPPAYGFHMLERRTIVLYDGSVRSYFALPPDYQDFPTRPILGPRDFGSPSMGFRDNRDYWNSPSDGPGPLKRKYGDEGKDLREEKKEKIYTLGPGGSDRLTGMSSPLGNEETRVAKYMRVGGGFQNNNAGFNHKHLEVDQSALKKAFLHFVKAVFENTGQKKNYLEDGKQGRLQCLACGKFNAKFRLTQGSMCANGMELLKGS >KJB34486 pep chromosome:Graimondii2_0_v6:6:27707028:27711116:1 gene:B456_006G068300 transcript:KJB34486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGPNPPKQPSSSSNNHRKSRWESSSSTANKNPSSTTADHKSYTKPSPSTRTGPPPSSAAQNKSQSDPNQAHPPFPFPDPAALGPPPPPAYGFHMLERRTIVLYDGSVRSYFALPPDYQDFPTRPILGPRDFGSPSMGFRDNRDYWNSPSDGPGPLKRKYGDEGKDLREEKKEKIYTLGPGGSDRLTGMSSPLGNEETRVAKYMRVGGGFQNNNAGFNHKHLEVDQSALKKAFLHFVKAVFENTGQKKNYLEDGKQGRLQCLACGKFNAKFRSSKDFSDMHGLIMHTYYSDNADLRVDHLGLHRALCVLMGWNYSKAPDNSKAYRFLPADEAVSNQEDLIMWPPVVIVHNTITGKGKDGRMEGLGNKAMDSKLRGIMCPIILHYYQCLAWWLVICLGDF >KJB34484 pep chromosome:Graimondii2_0_v6:6:27706969:27715999:1 gene:B456_006G068300 transcript:KJB34484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGPNPPKQPSSSSNNHRKSRWESSSSTANKNPSSTTADHKSYTKPSPSTRTGPPPSSAAQNKSQSDPNQAHPPFPFPDPAALGPPPPPAYGFHMLERRTIVLYDGSVRSYFALPPDYQDFPTRPILGPRDFGSPSMGFRDNRDYWNSPSDGPGPLKRKYGDEGKDLREEKKEKIYTLGPGGSDRLTGMSSPLGNEETRVAKYMRVGGGFQNNNAGFNHKHLEVDQSALKKAFLHFVKAVFENTGQKKNYLEDGKQGRLQCLACGKFNAKFRPWVWKWQVQVHVW >KJB36696 pep chromosome:Graimondii2_0_v6:6:43067237:43069940:1 gene:B456_006G171500 transcript:KJB36696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLVLTSSDIFRALFLALSVVWLVSDLAFAKHAGITRHYKFDIKMQSVTRLCQTKNIVTVNGQFPGPRIIAREGDRLLIKVVNHVKYNVTIHWHGIRQIRSGWADGPAYVTQCPIQTGQSYVYNFTLTGQRGTLFWHAHISWLRATLYGPIVILPKKHASYPFPHPYKEVPIVFGEWWKADTETIINQAMATGGAPNISDAFTINGLPGPSYNCSAKDTFKLKVKAGKTYLLRLVNAALNDELFFRVANHTLTVVEADAVYVKPFKTDIVLITPGQTTNVLLRAKSKTPSAKFAMSARPYATGPATFDNTTTIGILEYEKSASASKSNHKNLPLLKAKLPQFNDSSYAMKFQRKFRSLATAKFPAKVPKNVDRRFFFTVGLGILPCSKNQTCQGPNNTRPAAAVNNVSFVQPNIALLQAHFFNKSKGVYTTNFPTNPPFKFNYTGTPPKNIMLSSGTKLVALPFNISVELVMQDTSILGAESHPLHLHGFNFFVLGQGVGNFDPKKDPAKFNLVDPAERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWVVNDGKGRKQKLPPPPADLPKC >KJB34194 pep chromosome:Graimondii2_0_v6:6:18932587:18934461:1 gene:B456_006G052500 transcript:KJB34194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPPPPSPPPPPPLPPKQRWLWEKPLPSASIIKQISKPPPLVPPSMPFMTHNPISISQVELPTRSESEAVEEKDAEEASKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFVAKTPKQATPRSVLPSPNQDNRVLDPKKAQNIAILLRAINVTVEEVCNADTLGTELLESLLKMAPTKEEERKLKDYKDDSPVKLGPAEKFLKAVLDIPFAFKRNACEELRTSRMFFKLLEAVLKTGNRMNVGDKPW >KJB34193 pep chromosome:Graimondii2_0_v6:6:18932398:18934685:1 gene:B456_006G052500 transcript:KJB34193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPPPPSPPPPPPLPPKQRWLWEKPLPSASIIKQISKPPPLVPPSMPFMTHNPISISQVELPTRSESEAVEEKDAEEASKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFVAKTPKQATPRSVLPSPNQDNRVLDPKKAQNIAILLRAINVTVEEVMLIHSALNFLKVY >KJB36531 pep chromosome:Graimondii2_0_v6:6:42429829:42432224:-1 gene:B456_006G164300 transcript:KJB36531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYFGNAYRGDPGVPHAGPDRFVNIWIGSAAFSVLTWFNPYMWQLTNQFNWHDKAMIFEHYHWKKAKAKNQPYKFKWNEYMDKDHRDSYYFNWPVYFP >KJB34681 pep chromosome:Graimondii2_0_v6:6:51055834:51055905:-1 gene:B456_006G2750001 transcript:KJB34681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FCTGFNEAGVVLVFRDKVYLHPEK >KJB33931 pep chromosome:Graimondii2_0_v6:6:10800973:10802182:1 gene:B456_006G039100 transcript:KJB33931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAAQSVVKAVGEYQYPWREKLAKYKVELSKGEKEMRSKMKGHKCDRIAAERRENTANLMQKMPEMLLAYKKRRWEKKIKAEEKAKDK >KJB33930 pep chromosome:Graimondii2_0_v6:6:10801765:10801974:1 gene:B456_006G039100 transcript:KJB33930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGQDWSYDPEEKEMRSKMKGHKCDRIAAERRENTANLMQKMPEMLLAYKKRRWEKKIKAEEKAKDK >KJB38409 pep chromosome:Graimondii2_0_v6:6:49934859:49938768:-1 gene:B456_006G257600 transcript:KJB38409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLSNARNLARVLGSRTIIFNSNQRYLSSLIQSPCSSLQCQIHSDASSGINGSCSYPMPLHSIYPMISRTFFSTEAGTVNSNPTEAVKELYDDMLQSVNVKRTMPPNASLWSMIGNCKNREDIKLLFDVLQNLRRFRLSNLRIHSNFNCNLCQQVAKTCARVGAIDFGKKALWLHNVYGLTPSVASAHHLLAHNDAKLMVEVMRLLKRNNLPLQAGTADIVFSICYNTNDWELISKYSKRFMKAGVKLRQTTFDTWMEFAIRRGDTESLWNIEKLRSESMKQLSLTTGFACAKALLLERKPEDAAALIQSLNETLSDRRKQSITVEVEKLVNEWPSEVLKHQKEEDKKALAASLKSDIPTMVASLLNIGLARDVKLEDFTKNEILS >KJB38408 pep chromosome:Graimondii2_0_v6:6:49934846:49938827:-1 gene:B456_006G257600 transcript:KJB38408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLSNARNLARVLGSRTIIFNSNQRYLSSLIQSPCSSLQCQIHSDASSGINGSCSYPMPLHSIYPMISRTFFSTEAGTVNSNPTEAVKELYDDMLQSVNVKRTMPPNASLWSMIGNCKNREDIKLLFDVLQNLRRFRLSNLRIHSNFNCNLCQQVAKTCARVGAIDFGKKALWLHNVYGLTPSVASAHHLLSYAKAHNDAKLMVEVMRLLKRNNLPLQAGTADIVFSICYNTNDWELISKYSKRFMKAGVKLRQTTFDTWMEFAIRRGDTESLWNIEKLRSESMKQLSLTTGFACAKALLLERKPEDAAALIQSLNETLSDRRKQSITVEVEKLVNEWPSEVLKHQKEEDKKALAASLKSDIPTMVASLLNIGLARDVKLEDFTKNEILS >KJB37781 pep chromosome:Graimondii2_0_v6:6:47209212:47213045:1 gene:B456_006G219600 transcript:KJB37781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASRAVLVSRPVTFVTGNAKKLEEVKSILGQSIPFQSLKIDLPELQGEPEEISKEKARLAAVQVNGPVLVEDTCLCFNALKGLPGPYIKWFLQKIGHEGLNNLLMAYEDKSAYALCAFSFALGPDVEPVTFLGKTPGKIVPARGPNDFGWDPIFQPDGYDQTYAEMPKEEKNKISHRSRALDMVKSHFAEAGYNFSTSN >KJB37780 pep chromosome:Graimondii2_0_v6:6:47208907:47213023:1 gene:B456_006G219600 transcript:KJB37780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASRAVLVSRPVTFVTGNAKKLEEVKSILGQSIPFQSLKIDLPELQGEPEEISKEKARLAAVQVNGPVLVEDTCLCFNALKGLPGPYIKWFLQKIGHEGLNNLLMAYEDKSAYALCAFSFALGPDVEPVTFLGKTPGKIVPARGPNDFGWDPIFQPDGYDQTYAEMPKEEKNKISHRSRALDMVKSHFAEAGYNFSTSN >KJB37782 pep chromosome:Graimondii2_0_v6:6:47209357:47213023:1 gene:B456_006G219600 transcript:KJB37782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASRAVLVSRPVTFVTGNAKKLEEVKSILGQSIPFQSLKIDLPELQGEPEEISKEKARLAAVQVNGPVLVEDTCLCFNALKGLPGPYIKWFLQKIGHEGLNNLLMAYEDKSAYALCAFSFALGPDVEPVTFLGKTPGKIVPARGPNDFGWDPIFQPDGYDQTYAEMPKEEKNKISHRSRALDMVKSHFAEAGYNFSTSN >KJB37779 pep chromosome:Graimondii2_0_v6:6:47209269:47213113:1 gene:B456_006G219600 transcript:KJB37779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASRAVLVSRPVTFVTGNAKKLEEVKSILGQSIPFQSLKIDLPELQGEPEEISKEKARLAAVQVNGPVLVEDTCLCFNALKGLPGPYIKWFLQKIGHEGLNNLLMAYEDKSAYALCAFSFALGPDVEPVTFLGKTPGKIVPARGPNDFGWDPIFQPDGYDQTYAEMPKEEKNKISHRSRALDMVKSHFAEAGYNFSTSN >KJB37903 pep chromosome:Graimondii2_0_v6:6:47689593:47693256:1 gene:B456_006G225400 transcript:KJB37903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDFEFPTASNMEEDEMDIPEDDPVSPILKVGEEKEIGKNGLKKKLVKEGEGWENPSSGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDEGIKTMKKGENAIFTIPAELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILVEGEKWENPKDLDEVFVKYEACLEDGTLISKSDGVEFTVGDGYFCPALAKAVKTMKKGEKVLLTVKPQYGFGEDGRPATGGECAVPPNATLHITLELVSWKSVSDITKDKKVLKKIVKEGEGYERPNDGTVVQVKLIGKLPDGTIFIKKGYDEEPFEFKIDEEQVIDGLDKAVKTMKKGENALITIQPEYAFGSSESHQELAVVPANSTVYYEVEMVSFVKEKESWEMDTPQKIEAAGKKKEEGNALFKADIKKALEIDPDNRDVKLEYRVLKEKIKEYNKRDAQFYSNIFAKMNKLAGKQEAAPMAIDSKA >KJB37902 pep chromosome:Graimondii2_0_v6:6:47689820:47692446:1 gene:B456_006G225400 transcript:KJB37902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDFEFPTASNMEEDEMDIPEDDPVSPILKVGEEKEIGKNGLKKKLVKEGEGWENPSSGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDEGIKTMKKGENAIFTIPAELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILVEGEKWENPKDLDEVFVKYEACLEDGTLISKSDGVEFTVGDGYFCPALAKAVKTMKKGEKVLLTVKPQYGFGEDGRPATGGECAVPPNATLHITLELVSWKSVSDITKDKKVLKKIVKEGEGYERPNDGTVVQVKLIGKLPDGTIFIKKGYDEEPFEFKIDEEQVIDGLDKAVKTMKKGENALITIQPEYAFGSSESHQELAVVPANSTVYYEVEMVSFVKEKESWEMDTPQKIEAAGKKKEEGNALFKAGKFERASKRYEKVSLWTLQIIVHSIREAVFTRTNYLT >KJB37904 pep chromosome:Graimondii2_0_v6:6:47689593:47693256:1 gene:B456_006G225400 transcript:KJB37904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDFEFPTASNMEEDEMDIPEDDPVSPILKVGEEKEIGKNGLKKKLVKEGEGWENPSSGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDEGIKTMKKGENAIFTIPAELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILVEGEKWENPKDLDEVFVKYEACLEDGTLISKSDGVEFTVGDGYFCPALAKAVKTMKKGEKVLLTVKPQYGFGEDGRPATGGECAVPPNATLHITLELVSWKSVSDITKDKKVLKKIVKEGEGYERPNDGTVVQVKLIGKLPDGTIFIKKGYDEEPFEFKIDEEQVIDGLDKAVKTMKKGENALITIQPEYAFGSSESHQELAVVPANSTVYYEEKESWEMDTPQKIEAAGKKKEEGNALFKAGKFERASKRYEKAVSFIEYDSSFNDEEKKQAKLLKVNCNLNNAASKLKLKDYKQAAKLCTKVLELDSGNVKALYRRAQAYIQLVDLDLAEADIKKALEIDPDNRDVKLEYRVLKEKIKEYNKRDAQFYSNIFAKMNKLAGKQEAAPMAIDSKA >KJB37905 pep chromosome:Graimondii2_0_v6:6:47689593:47693256:1 gene:B456_006G225400 transcript:KJB37905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDFEFPTASNMEEDEMDIPEDDPVSPILKVGEEKEIGKNGLKKKLVKEGEGWENPSSGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDEGIKTMKKGENAIFTIPAELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILVEGEKWENPKDLDEVFVKYEACLEDGTLISKSDGVEFTVGDGYFCPALAKAVKTMKKGEKVLLTVKPQYGFGEDGRPATGGECAVPPNATLHITLELVSWKSVSDITKDKKVLKKIVKEGEGYERPNDGTVVQVKLIGKLPDGTIFIKKGYDEEPFEFKIDEEQVIDGLDKAVKTMKKGENALITIQPEYAFGSSESHQELAVVPANSTVYYEVEMVSFVKEKESWEMDTPQKIEAAGKKKEEGNALFKAGKFERASKRYEKAVSFIEYDSSFNDEEKKQAKLLKVNCNLNNAASKLKLKDYKQAAKLCTKVVLELDSGNVKALYRRAQAYIQLVDLDLAEADIKKALEIDPDNRDVKLEYRVLKEKIKEYNKRDAQFYSNIFAKMNKLAGKQEAAPMAIDSKA >KJB37901 pep chromosome:Graimondii2_0_v6:6:47689538:47693256:1 gene:B456_006G225400 transcript:KJB37901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDFEFPTASNMEEDEMDIPEDDPVSPILKVGEEKEIGKNGLKKKLVKEGEGWENPSSGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDEGIKTMKKGENAIFTIPAELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILVEGEKWENPKDLDEVFVKYEACLEDGTLISKSDGVEFTVGDGYFCPALAKAVKTMKKGEKVLLTVKPQYGFGEDGRPATGGECAVPPNATLHITLELVSWKSVSDITKDKKVLKKIVKEGEGYERPNDGTVVQVKLIGKLPDGTIFIKKGYDEEPFEFKIDEEQVIDGLDKAVKTMKKGENALITIQPEYAFGSSESHQELAVVPANSTVYYEVEMVSFVKEKESWEMDTPQKIEAAGKKKEEGNALFKAGKFERASKRYEKAVSFIEYDSSFNDEEKKQAKLLKVNCNLNNAASKLKLKDYKQAAKLCTKVLELDSGNVKALYRRAQAYIQLVDLDLAEADIKKALEIDPDNRDVKLEYRVLKEKIKEYNKRDAQFYSNIFAKMNKLAGKQEAAPMAIDSKA >KJB38497 pep chromosome:Graimondii2_0_v6:6:49953772:49957999:-1 gene:B456_006G258000 transcript:KJB38497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGHEQVGVNKFKQFDCVVDYSDHYYYHQSKPNQKSNSHGTMMINNRNTMKRIMKEWEILEKNLPASIFVRVYEGRIDLLRAAIIGASKTPYHNGLFFFDLQFPSDYPNNPPKVYYRSFGLRLNPNLYNSGYVCLSLLNTWGGKGTERWCPDESTILQVLLSLQGLVLNEKPYYNEPGIKPAWSWESYNADVFALSCKTMLYLMKKPARNFEYFIAAHFRDHASVILKACVAYRNGRVRIGLFDGDAPVGSKKKKVNVSKKFKDSMNELYPELYKAFNRIGASLKNLPEKLEDDGDGKPANGIIGKLKRFWEAMTA >KJB38496 pep chromosome:Graimondii2_0_v6:6:49956465:49957935:-1 gene:B456_006G258000 transcript:KJB38496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGHEQVGVNKFKQFDCVVDYSDHYYYHQSKPNQKSNSHGTMMINNRNTMKRIMKEWEILEKNLPASIFVRVYEGRIDLLRAAIIGASKTPYHNGLFFFDLQFPSDYPNNPPKVYYRSFGLRLNPNLYNSGYVCLSLLNTWGGKGTERWCPDESTILQVLLSLQGLVLNEKPYYNEPGIKPAWSWESYNADVFALSCKTMLYLMKKPARNFEYFIAAHFRDHASVILKACVAYRNGRVRIGLFDGDAPVGSKKKKVNVSKKFKDSMNELYPELYKAFNRIGASLKNLPEKLEDDGDGKPANGIIGKLKRFWEAMTA >KJB38053 pep chromosome:Graimondii2_0_v6:6:48309483:48312618:1 gene:B456_006G234800 transcript:KJB38053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSEGETRNVIVSNGSITAAEELTINHNLLIDPKLLFIGSKIGEGAHGKVYKGRHGDRIVAIKVLHRGSTVEERAALESRFAREVNMMSRVKHENLVKFFGACKDPLMAIVTELLPGMSLRKYLVSIRPKVLDLHVALNFALDIARAMDCLHANGIIHRDLKPDNLLLTANQRSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRLGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKVQSDLAFQRIYHLIWRLSYNLVGLKTLT >KJB38054 pep chromosome:Graimondii2_0_v6:6:48309483:48312926:1 gene:B456_006G234800 transcript:KJB38054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSEGETRNVIVSNGSITAAEELTINHNLLIDPKLLFIGSKIGEGAHGKVYKGRHGDRIVAIKVLHRGSTVEERAALESRFAREVNMMSRVKHENLVKFFGACKDPLMAIVTELLPGMSLRKYLVSIRPKVLDLHVALNFALDIARAMDCLHANGIIHRDLKPDNLLLTANQRSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRLGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSLPEDISPDLAFIIQSCWVEDPNMRPSFSQIMRMLNAFLFKLTPPSPSIPESDTSETAETSNAAMTELSVRTKGKFGFLRQLFTAKKTRNSQ >KJB33469 pep chromosome:Graimondii2_0_v6:6:2572833:2575060:-1 gene:B456_006G012100 transcript:KJB33469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCVHSSSCQCTKGHLSEDVIFLVFRQLNWNPKLIAALSCVCKWFDDLAKRVLWKEFCKTRALKMMLDLQSCGSHSVDGNWRALGKLLIYCSGCSGGRLFNSIQIPGHFVYRTRFSRTSGKSFLLPHCRTDVLYVSDPCEHLDQGDDGDVGFFRGVFKSFMVSKVRRMLINRGAQLHPTAVCPYCKAKMWNMLQANMVPLTASCKLGAYEDSIEYYVCLNGHMLGICTLLPLSDSEEASESE >KJB33468 pep chromosome:Graimondii2_0_v6:6:2572306:2575051:-1 gene:B456_006G012100 transcript:KJB33468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCVHSSSCQCTKGHLSEDVIFLVFRQLNWNPKLIAALSCVCKWFDDLAKRVLWKEFCKTRALKMMLDLQSCGSHSVDGNWRALGKLLIYCSGCSGGRLFNSIQIPGHFVYRTRFSRTSGKSFLLPHCRTDVLYVSDPCEHLDQGDDGDVGFFRGVFKSFMVSKVRRMLINRGAQLHPTAVCPYCKAKMWNMLQANMVPLTASY >KJB36180 pep chromosome:Graimondii2_0_v6:6:40356463:40357587:-1 gene:B456_006G145200 transcript:KJB36180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVCEKGGIATALNAKIYGNGSETLVLAHGYGEDQSAWQLLLPLLACYFKVVVFDMVFSPNLNPKLYDPQRYQTDFKGYINDGRYYGGFERSEVNEIYKNIEQNFTGWVQNFAPKAAGQNNTATGAKFEKTLGRMKPYIALSAAKTVFSSDFRHKLPQVMVPCTIIQSKKDVIVPQSVAFYIKNNVGGDATVKILNTEGHFPHLSAHNLLFRVLKETIQIKN >KJB36181 pep chromosome:Graimondii2_0_v6:6:40356463:40357587:-1 gene:B456_006G145200 transcript:KJB36181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVCEKGGIATALNAKIYGNGSETLVLAHGYGEDQSAWQLLLPLLACYFKVVVFDMVFSPNLNPKLYDPQRYQTDFKGYTDDLVCFLDHLHLHNTIYLGHSMAAMIGCLASIRRPNLFTHLILLSGSPRYINDGRYYGGFERSEVNEIYKNIEQNFTGWVQNFAPKAAGQNNTATGAKFEKTLGRMKPYIALSAAKTVFSSDFRHKLPQVMVPCTIIQSKKDVIVPQSVAFYIKNNVGGDATVKILNTEGHFPHLSAHNLLFRVLKETIQIKN >KJB36179 pep chromosome:Graimondii2_0_v6:6:40356394:40357835:-1 gene:B456_006G145200 transcript:KJB36179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVCEKGGIATALNAKIYGNGSETLVLAHGYGEDQSAWQLLLPLLACYFKVVVFDMVFSPNLNPKLYDPQRYINDGRYYGGFERSEVNEIYKNIEQNFTGWVQNFAPKAAGQNNTATGAKFEKTLGRMKPYIALSAAKTVFSSDFRHKLPQVMVPCTIIQSKKDVIVPQSVAFYIKNNVGGDATVKILNTEGHFPHLSAHNLLFRVLKETIQIKN >KJB33870 pep chromosome:Graimondii2_0_v6:6:9464228:9464452:-1 gene:B456_006G0353001 transcript:KJB33870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSYRFIVYCPCPVSLPEG >KJB34798 pep chromosome:Graimondii2_0_v6:6:31770489:31773653:1 gene:B456_006G084300 transcript:KJB34798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSWQFFSSSLVTAFLLTLTLAQQQPPYLYHYCSETDGNFTRNSTYETNLNRLLSSFSRRTAHENGFYNFSSGQGSNIANAIALCRGDVSSSDCFNCVNNANTELRDRCPNQIEASIWYDYCMFRYTNHSILGRAETDPAFFIWYGDKVTDVDAFNQALSSLLESLRNKASSGTSLGKFATGSTRVSPFRTIYALAQCTPDLTQNGCSSCLSRVIVYIPQCCGRKQGVRVGMLSCNIRFDIERFYNLTAADTTTTGNNSNSSETTIIISISATAFALFLISGCIFIILRLRNPKLKPREHEATEAVDEIITEESLQYDFNIITAATDHFSDANKLGQGGFGAVYKGTLAGGKLIAVKRLSSDSTQGDLEFKNEVQLMSNLQHRNLVRLQGFSLEGKERLLIYEFVPNGSLDKFLFDPVKKAYLDWERRYKIIEGVARGLLYLHQDSRLRIIHRDLKAGNILLDAEMTPKIADFGTARLCAIDQTHGATSRIMGT >KJB34799 pep chromosome:Graimondii2_0_v6:6:31770489:31773653:1 gene:B456_006G084300 transcript:KJB34799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSWQFFSSSLVTAFLLTLTLAQQQPPYLYHYCSETDGNFTRNSTYETNLNRLLSSFSRRTAHENGFYNFSSGQGSNIANAIALCRGDVSSSDCFNCVNNANTELRDRCPNQIEASIWYDYCMFRYTNHSILGRAETDPAFFIWYGDKVTDVDAFNQALSSLLESLRNKASSGTSLGKFATGSTRVSPFRTIYALAQCTPDLTQNGCSSCLSRVIVYIPQCCGRKQGVRVGMLSCNIRFDIERFYNLTAADTTTTGNNSNSSETTIIISISATAFALFLISGCIFIILRLRNPKLKPREHEATEAVDEIITEESLQYDFNIITAATDHFSDANKLGQGGFGAVYKGTLAGGKLIAVKRLSSDSTQGDLEFKNEVQLMSNLQHRNLVRLQGFSLEGKERLLIYEFVPNGSLDKFLFDPVKKAYLDWERRYKIIEGVARGLLYLHQDSRLRIIHRDLKAGNILLDAEMTPKIADFGTARLCAIDQTHGATSRIMGTYGYMAPEYVMHGQFSVKSDVFSFGVLILEILSGQKNKILNGGDIEHLLNFAWRNWETGTAFDLVGPNLRDGSRSELMRCIHIGLLCVQENVAQRPNMSAVVLMLTSYSTTLPLPSEPAFLMHSKTQSGIKLSGATALGRFRDEIAVASQNQVSC >KJB37011 pep chromosome:Graimondii2_0_v6:6:44363049:44364677:-1 gene:B456_006G186600 transcript:KJB37011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAAKSIVFLAFVLLFFASSGVADPLTYNVLNYGAKPDDNFDSTQALVAAWTLACGSINPATIYVPPGRFLVRNVVFRGQCKNNGIVFRIDGTLVAPSDYKVIGSTGNWLLFEHVNGVSIYGGIIDGQGTGLWTCKRSGKGCPSGATSLGFSNSRNIVIRGLTSLNSQMYHIVINGCNNVKVEGVRVSASGNSPNTDGIHVQSSSGVTILNTRIGTGDDCVSVGPGTNNLWIEKVACGPGHGISIGSLGKEVEEAGVQNVTVKTVAFTGTENGVRIKSWGRQSSGFARNILFQHAVMTDVQNPIVIDQHYCPDEKNCPGQVSGVKISDVTYQDIHGTSATEVAVKFDCSSEYPCSNIKLEDVKLTYRNKAAEASCSNADGTASGFVQPSSCL >KJB36828 pep chromosome:Graimondii2_0_v6:6:48085300:48085776:1 gene:B456_006G231500 transcript:KJB36828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKVVVELESAGRATASLRAAVAIGGMVAVRSTAIVTKTTLNFFQDSIFNFVCKLMETLYKWRCFI >KJB35373 pep chromosome:Graimondii2_0_v6:6:35918054:35923378:1 gene:B456_006G111700 transcript:KJB35373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAKVSRAPRTGSIMVLDSSSKVNLSSGALQGWGEQPNLNKVQALGVASNKKRPMSTGSSHAISQWGGQRPHKISRTRRANLVSPVSNAEPQISSQGISTPDFSARVSIGTGGSLLGSSVDNVTPKVKREPENVSSPFGLSESEESEAGDNKSKEKGIDSCEVSLPASQKAGPFLLPTRKNKNTNEIGDGVRRQGRNGSSAPPLTRPGVHPMREKLNNLTTTKLIQNARSASDKNRSKTGRPPSKKLKDRKASRVGLMLNNVSSDFTGESDEDDRDELFAAATSAWNSSSLACSGPFWKKMGSIFSSVSSEDTSYLRQQLSLAEELDESLSQFFGDGFNVLGIVQKDAPNSVEEMAKTNAACERFDIKKFDKVTPLYQRVLSALIEEDDSDELYHRIEAKNMSLHYASDDSHCGSCNLMDVEFRDRDKMESEVESNADFQCQRNSLLDRLSGDVSVASNTFRNGSMSNSLHSSERWLGDDDFAHLDTGPVSEICSTDLGKLQHKEINVSDSPLDSQYQLMGVDDKLLLELNSIGLYTDSLPDLAEGEEAINQNVFELNDCLYQQIQKKKKKLGNIDKAIDNGRDVERRNIEHVAMDQLIEIAYRRRLACRRSNSSKSAVRKVSKQVALAFVKRTLDKCKKFEQTGTSCFSEPALQDVMFSVPPCSNEAKSVDCIGSGTASNICNETSNHQAEARGSGAVSSTFERFDTSDAHPGIHNKGRKREVLIDEVVGSASSRVTSTILDGKVGGANGKRSESRDERKIKAKAKQRNNNLSSSRNGRASSPGKNHGSSTKEVEEPMDFGNLQLNELDTMEELGVPNELGPPDLSSWLNFDEDGLQDHDSIGLEIPMDDLSDLKFAF >KJB35371 pep chromosome:Graimondii2_0_v6:6:35915546:35923395:1 gene:B456_006G111700 transcript:KJB35371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGNNLGRGSAGLPSDIPPLPQCLPLEPITLGSQKYTRAGELSRVLGIPLRSSTSEDHSFGVSHSKLSPPVATEELKNFKESVQDASRKARERVKKLRESISKLERYKEALGSKKLQRSDISSERTSGASIAKMGSQIHRNTHEIVTQRLEDRPKVVGLNKRVRTSFSDLWADNRTAVNPRQQGTIEQDGDLLPAVNGGSAQIEEKIRRLPGDGWETKMKRKRSVAAVGNRVANAGRDIKRAMQLKLSSESKLRSCDTLGFRSKSSPGVSGINRSDGSFEASGSNANTVLRNELESTSIPRDRAAMLEQRVVIKTNNKVSPQEENQSSGSSTMLKAKVSRAPRTGSIMVLDSSSKVNLSSGALQGWGEQPNLNKVQALGVASNKKRPMSTGSSHAISQWGGQRPHKISRTRRANLVSPVSNAEPQISSQGISTPDFSARVSIGTGGSLLGSSVDNVTPKVKREPENVSSPFGLSESEESEAGDNKSKEKGIDSCEVSLPASQKAGPFLLPTRKNKNTNEIGDGVRRQGRNGSSAPPLTRPGVHPMREKLNNLTTTKLIQNARSASDKNRSKTGRPPSKKLKDRKASRVGLMLNNVSSDFTGESDEDDRDELFAAATSAWNSSSLACSGPFWKKMGSIFSSVSSEDTSYLRQQLSLAEELDESLSQFFGDGFNVLGIVQKDAPNSVEEMAKTNAACERFDIKKFDKVTPLYQRVLSALIEEDDSDELYHRIEAKNMSLHYASDDSHCGSCNLMDVEFRDRDKMESEVESNADFQCQRNSLLDRLSGDVSVASNTFRNGSMSNSLHSSERWLGDDDFAHLDTGPVSEICSTDLGKLQHKEINVSDSPLDSQYQLMGVDDKLLLELNSIGLYTDSLPDLAEGEEAINQNVFELNDCLYQQIQKKKKKLGNIDKAIDNGRDVERRNIEHVAMDQLIEIAYRRRLACRRSNSSKSAVRKVSKQVALAFVKRTLDKCKKFEQTGTSCFSEPALQDVMFSVPPCSNEAKSVDCIGSGTASNICNETSNHQAEARGSGAVSSTFERFDTSDAHPGIHNKGRKREVLIDEVVGSASSRVTSTILDGKVGGANGKRSESRDERKIKAKAKQRNNNLSSSRNGRASSPGKNHGSSTKEVEEPMDFGNLQLNELDTMEELGVPNELGPPDLSSWLNFDEDGLQDHDSIGLEIPMDDLSDLKFAF >KJB35372 pep chromosome:Graimondii2_0_v6:6:35917258:35923378:1 gene:B456_006G111700 transcript:KJB35372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRSVAAVGNRVANAGRDIKRAMQLKLSSESKLRSCDTLGFRSKSSPGVSGINRSDGSFEASGSNANTVLRNELESTSIPRDRAAMLEQRVVIKTNNKVSPQEENQSSGSSTMLKAKVSRAPRTGSIMVLDSSSKVNLSSGALQGWGEQPNLNKVQALGVASNKKRPMSTGSSHAISQWGGQRPHKISRTRRANLVSPVSNAEPQISSQGISTPDFSARVSIGTGGSLLGSSVDNVTPKVKREPENVSSPFGLSESEESEAGDNKSKEKGIDSCEVSLPASQKAGPFLLPTRKNKNTNEIGDGVRRQGRNGSSAPPLTRPGVHPMREKLNNLTTTKLIQNARSASDKNRSKTGRPPSKKLKDRKASRVGLMLNNVSSDFTGESDEDDRDELFAAATSAWNSSSLACSGPFWKKMGSIFSSVSSEDTSYLRQQLSLAEELDESLSQFFGDGFNVLGIVQKDAPNSVEEMAKTNAACERFDIKKFDKVTPLYQRVLSALIEEDDSDELYHRIEAKNMSLHYASDDSHCGSCNLMDVEFRDRDKMESEVESNADFQCQRNSLLDRLSGDVSVASNTFRNGSMSNSLHSSERWLGDDDFAHLDTGPVSEICSTDLGKLQHKEINVSDSPLDSQYQLMGVDDKLLLELNSIGLYTDSLPDLAEGEEAINQNVFELNDCLYQQIQKKKKKLGNIDKAIDNGRDVERRNIEHVAMDQLIEIAYRRRLACRRSNSSKSAVRKVSKQVALAFVKRTLDKCKKFEQTGTSCFSEPALQDVMFSVPPCSNEAKSVDCIGSGTASNICNETSNHQAEARGSGAVSSTFERFDTSDAHPGIHNKGRKREVLIDEVVGSASSRVTSTILDGKVGGANGKRSESRDERKIKAKAKQRNNNLSSSRNGRASSPGKNHGSSTKEVEEPMDFGNLQLNELDTMEELGVPNELGPPDLSSWLNFDEDGLQDHDSIGLEIPMDDLSDLKFAF >KJB35369 pep chromosome:Graimondii2_0_v6:6:35915511:35923420:1 gene:B456_006G111700 transcript:KJB35369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGNNLGRGSAGLPSDIPPLPQCLPLEPITLGSQKYTRAGELSRVLGIPLRSSTSEDHSFGVSHSKLSPPVATEELKNFKESVQDASRKARERVKKLRESISKLERYKEALGSKKLQRSDISSERTSGASIAKMGSQIHRNTHEIVTQRLEDRPKVVGLNKRVRTSFSDLWADNRTAVNPRQQGTIEQDGDLLPAVNGGSAQIEEKIRRLPGDGWETKMKRKRSVAAVGNRVANAGRDIKRAMQLKLSSESKLRSCDTLGFRSKSSPGVSGINRSDGSFEASGSNANTVLRNELESTSIPRDRAAMLEQRVVIKTNNKVSPQEENQSSGSSTMLKAKVSRAPRTGSIMVLDSSSKVNLSSGALQGWGEQPNLNKVQALGVASNKKRPMSTGSSHAISQWGGQRPHKISRTRRANLVSPVSNAEPQISSQGISTPDFSARVSIGTGGSLLGSSVDNVTPKVKREPENVSSPFGLSESEESEAGDNKSKEKGIDSCEVSLPASQKAGPFLLPTRKNKNTNEIGDGVRRQGRNGSSAPPLTRPGVHPMREKLNNLTTTKLIQNARSASDKNRSKTGRPPSKKLKDRKASRVGLMLNNVSSDFTGESDEDDRDELFAAATSAWNSSSLACSGPFWKKMGSIFSSVSSEDTSYLRQQLSLAEELDESLSQFFGDGFNVLGIVQKDAPNSVEEMAKTNAACERFDIKKFDKVTPLYQRVLSALIEEDDSDELYHRIEAKNMSLHYASDDSHCGSCNLMDVEFRDRDKMESEVESNADFQCQRNSLLDRLSGDVSVASNTFRNGSMSNSLHSSERWLGDDDFAHLDTGPVSEICSTDLGKLQHKEINVSDSPLDSQYQLMGVDDKLLLELNSIGLYTDSLPDLAEGEEAINQNVFELNDCLYQQIQKKKKKLGNIDKAIDNGRDVERRNIEHVAMDQLIEIAYRRRLACRRSNSSKSAVRKVSKQVALAFVKRTLDKCKKFEQTGTSCFSEPALQDVMFSVPPCSNEAKSVDCIGSGTASNICNETSNHQAEARGSGAVSSTFERFDTSDAHPGIHNKGRKREVLIDEVVGSASSRVTSTILDGKVGGANGKRSESRDERKIKAKAKQRNNNLSSSRNGRASSPGKNHGSSTKEVEEPMDFGNLQLNELDTMEELGVPNELGPPDLSSWLNFDEDGLQDHDSIGLEIPMDDLSDLKFAF >KJB35370 pep chromosome:Graimondii2_0_v6:6:35915546:35923378:1 gene:B456_006G111700 transcript:KJB35370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGNNLGRGSAGLPSDIPPLPQCLPLEPITLGSQKYTRAGELSRVLGIPLRSSTSEDHSFGVSHSKLSPPVATEELKNFKESVQDASRKARERVKKLRESISKLERYKEALGSKKLQRSDISSERTSGASIAKMGSQIHRNTHEIVTQRLEDRPKVVGLNKRVRTSFSDLWADNRTAVNPRQQGTIEQDGDLLPAVNGGSAQIEEKIRRLPGDGWETKMKRKRSVAAVGNRVANAGRDIKRAMQLKLSSESKLRSCDTLGFRSKSSPGVSGINRSDGSFEASGSNANTVLRNELESTSIPRDRAAMLEQRVVIKTNNKVSPQEENQSSGSSTMLKAKVSRAPRTGSIMVLDSSSKVNLSSGALQGWGEQPNLNKVQALGVASNKKRPMSTGSSHAISQWGGQRPHKISRTRRANLVSPVSNAEPQISSQGISTPDFSARVSIGTGGSLLGSSVDNVTPKVKREPENVSSPFGLSESEESEAGDNKSKEKGIDSCEVSLPASQKAGPFLLPTRKNKNTNEIGDGVRRQGRNGSSAPPLTRPGVHPMREKLNNLTTTKLIQNARSASDKNRSKTGRPPSKKLKDRKASRVGLMLNNVSSDFTGESDEDDRDELFAAATSAWNSSSLACSGPFWKKMGSIFSSVSSEDTSYLRQQLSLAEELDESLSQFFGDGFNVLGIVQKDAPNSVEEMAKTNAACERFDIKKFDKVTPLYQRVLSALIEEDDSDELYHRIEAKNMSLHYASDDSHCGSCNLMDVEFRDRDKMESEVESNADFQCQRNSLLDRLSGDVSVASNTFRNGSMSNSLHSSERWLGDDDFAHLDTGPVSEICSTDLGKLQHKEINVSDSPLDSQYQLMGVDDKLLLELNSIGLYTDSLPDLAEGEEAINQNVFELNDCLYQQIQKKKKKLGNIDKAIDNGRDVERRNIEHVAMDQLIEIAYRRRLVLL >KJB37197 pep chromosome:Graimondii2_0_v6:6:45050916:45051332:-1 gene:B456_006G193700 transcript:KJB37197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILLCITALKQSFVQPFTLSPGFVCAPGFIITKGRKFLFSGTMVHFLTENHKNLSQSYRELPPKNKQQGSSQSKRIIMGISSNLMKARIHRATRKIEISK >KJB36220 pep chromosome:Graimondii2_0_v6:6:40492512:40496269:-1 gene:B456_006G146700 transcript:KJB36220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFPHIVEDCLGVLQVLSDGTVFRSKTIQFNMPVIHHQNSVDFKDAMFDKTHNLHLRIYKPASASNFPPNGRPHKLPIVVFIHGGGFCLGSRTWPTCHNSCLRFASGLNAVVIAPDYRLAPEHRLPAAMDDAASAMRWLQSQALRENGVSDAWLNSGEVDFDQVLVLGDSSGGNIAHHLAVRLGAGSTELAPVRVRGYVLLAPFFGGVVRTRSESGPSEALLNLDVLDRFWRLSMPIGETRDHPLVNPFGPWSPSLEAVKLDPILVIVGGSELLKDRAEDYATRLKDMGKKIEYVEFHGKEHGYFNYYPYSDAAIQTLQLINAFMAANSV >KJB36657 pep chromosome:Graimondii2_0_v6:6:42889794:42890822:-1 gene:B456_006G169100 transcript:KJB36657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFQGFVLLFLLVISVSSSQVPMVSAARPFSILPDQQRYSKIFATLGVVCKCCDGSGGECSTTWTQPCSKLKCLPWKLH >KJB38247 pep chromosome:Graimondii2_0_v6:6:48941677:48942264:-1 gene:B456_006G243800 transcript:KJB38247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLISILVLFLFFTTSSIALTPSSYNVRQGDQTLPAVIDPKLQRICDKTDNPVECLITTIPFLRKKVAINPVSILNIEVEAIDIKTKEALDKASKLLLSSSNSKPITNCFNICINNYKSILESKHRILDAISIGDDKQLRVELSSNVDKIYHCEDAFEEANVKSPITEVNSLLGKMITNSLTITIDMVHFHNKN >KJB35107 pep chromosome:Graimondii2_0_v6:6:34073794:34076690:-1 gene:B456_006G100500 transcript:KJB35107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETPTQLPVIDFSNQNLKPGSLEWDSVKTQVRQALEEYGCFEASYDKASSELRKAVFESLKELFELPLETKMKNVSENPSHAYISPHPSAPLYESIGIEDPDIGENVESLANSFWPHGNTSFRFIGLMVEQLSELDGVVRRMILESFGIEKYMEEHMNSTKYLLRAMKYKPPNTSEKKLGSRDHTDKNIVTVLCQGIQGLEIQLKNGEWITAKPHSLTVFIGDSLFAWLNGRLHTPYHRVMMKGNEPRYSFGLFSNPKKGYIIKAPEELVDEEHPLMFKPFDFHDFLRFFHSDAARKSQSAFHTFCALHSNT >KJB36148 pep chromosome:Graimondii2_0_v6:6:40170548:40172602:1 gene:B456_006G143600 transcript:KJB36148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGSSDGRGRGLDVNRLAVVALGEEAEEGAALSSPNSAVSSFQVDFGFRNGSSRGKREVEMETERGSSRASDDDDNGSTRKKLRLSKEQSAFLEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKASQPFYMQLPATTLTMCPSCERVATTSTTADGKNGLLPLTKTSG >KJB36147 pep chromosome:Graimondii2_0_v6:6:40170483:40172684:1 gene:B456_006G143600 transcript:KJB36147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELALSLGDPSKSFSFLDKTPKLSSKDLGFCMGLRLQEKVDAFEGEAATGDGDYKRVSSDPPHQLDLLPFSPVPRVQPSSQLRFPWLTDNVMETGSSDGRGRGLDVNRLAVVALGEEAEEGAALSSPNSAVSSFQVDFGFRNGSSRGKREVEMETERGSSRASDDDDNGSTRKKLRLSKEQSAFLEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKASQPFYMQLPATTLTMCPSCERVATTSTTADGKNGLLPLTKTSG >KJB33009 pep chromosome:Graimondii2_0_v6:6:42845301:42848221:1 gene:B456_006G1686001 transcript:KJB33009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKGSRTSSAEVPQRKSPATPRTARQLKIPGSDSEAVSSPNPASKTPKDRSPKVTERKVLRSPVAEKKRPSRVTELESQLTHLQDDLKKTKDQLTASESWKRRAMQEAEEAKKQLSAMSAKLEESEQQLMEISASEDDRVQELRKLSQDRDRAWQSELEAVQKQHSMDSAALASAMNEIQKLKVQLEKAHESEALQTKHAESTHTEIQNLRIELTETLSLVQKLKSELTDCRESESQALEAVHKTQTQLEAANKTIEMLGSDATKETKAYKTLTLELEQSKARVKSLEGLVSKLQAELIGNSSKTVEHLKDNKLPQQDGENEGIQQLNVELNFAKLEVNQLRSALDAAEVRYQEEYIRSTLQIRGAYEQVERIKAQSCQREAELEEELKKTKADADELRANLMDKETEKQSISKEIEELNLKIEKIGSNERESELAIELKKLEADLTELKANLTAKETELQSIREQNEMLKTEIKNMETGSNKVGEESVALLEAARAAEREALMKVGYLTEEADKSSRRAARATEQLDAAQEANTEMEAELRRLKVQLDQWRKAAEAATAMLSSGNKGKHSDKTKSVDNNYNPGNGSPNSEDMDDDSPKKKNGNMLKKIGVLWKKGQK >KJB33012 pep chromosome:Graimondii2_0_v6:6:42845643:42848248:1 gene:B456_006G1686001 transcript:KJB33012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAEEAKKQLSAMSAKLEESEQQLMEISASEDDRVQELRKLSQDRDRAWQSELEAVQKQHSMDSAALASAMNEIQKLKVQLEKAHESEALQTKHAESTHTEIQNLRIELTETLSLVQKLKSELTDCRESESQALEAVHKTQTQLEAANKTIEMLGSDATKETKAYKTLTLELEQSKARVKSLEGLVSKLQAELIGNSSKTVEHLKDNKLPQQDGENEGIQQLNVELNFAKLEVNQLRSALDAAEVRYQEEYIRSTLQIRGAYEQVERIKAQSCQREAELEEELKKTKADADELRANLMDKETEKQSISKEIEELNLKIEKIGSNERESELAIELKKLEADLTELKANLTAKETELQSIREQNEMLKTEIKNMETGSNKVGEESVALLEAARAAEREALMKVGYLTEEADKSSRRAARATEQLDAAQEANTEMEAELRRLKVQLDQWRKAAEAATAMLSSGNKGKHSDKTKSVDNNYNPGNGSPNSEDMDDDSPKKKNGNMLKKIGVLWKKGQK >KJB33004 pep chromosome:Graimondii2_0_v6:6:42845274:42848221:1 gene:B456_006G1686001 transcript:KJB33004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKGSRTSSAEVPQRKSPATPRTARQLKIPGSDSEAVSSPNPASKTPKDRSPKVTERKVLRSPVAEKKRPSRVTELESQLTHLQDDLKKTKDQLTASESWKRRAMQEAEEAKKQLSAMSAKLEESEQQLMEISASEDDRVQELRKLSQDRDRAWQSELEAVQKQHSMDSAALASAMNEIQKLKVQLEKAHESEALQTKHAESTHTEIQNLRIELTETLSLVQKLKSELTDCRESESQALEAVHKTQTQLEAANKTIEMLGSDATKETKAYKTLTLELEQSKARVKSLEGLVSKLQAELIGNSSKTVEHLKDNKLPQQDGENEGIQQLNVELNFAKLEVNQLRSALDAAEVRYQEEYIRSTLQIRGAYEQVERIKAQSCQREAELEEELKKTKADADEVESKFDSKGNGIAEHKRAKRNAQNRN >KJB33003 pep chromosome:Graimondii2_0_v6:6:42845274:42848248:1 gene:B456_006G1686001 transcript:KJB33003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKGSRTSSAEVPQRKSPATPRTARQLKIPGSDSEAVSSPNPASKTPKDRSPKVTERKVLRSPVAEKKRPSRVTELESQLTHLQDDLKKTKDQLTASESWKRRAMQEAEEAKKQLSAMSAKLEESEQQLMEISASEDDRVQELRKLSQDRDRAWQSELEAVQKQHSMDSAALASAMNEIQKLKVQLEKAHESEALQTKHAESTHTEIQNLRIELTETLSLVQKLKSELTDCRESESQALEAVHKTQTQLEAANKTIEMLGSDATKETKAYKTLTLELEQSKARVKSLEGLVSKLQAELIGNSSKTVEHLKDNKLPQQDGENEGIQQLNVELNFAKLEVNQLRSALDAAEVRYQEEYIRSTLQIRGAYEQVERIKAQSCQREAELEEELKKTKADADELRANLMDKETEKQSISKEIEELNLKIEKIGSNERESELAIELKKLEADLTELKANLTAKETELQSIREQNEMLKTEIKNMETGSNKVGEESVALLEAARAAEREALMKVGYLTEEADKSSRRAARATEQLDAAQEANTEMEAELRRLKVQLDQWRKAAEAATAMLSSGNKGKHSDKTKSVDNNYNPGNGSPNSEDMDDDSPKKKNGNMLKKIGVLWKKGQK >KJB33011 pep chromosome:Graimondii2_0_v6:6:42845274:42848221:1 gene:B456_006G1686001 transcript:KJB33011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKGRTSSAEVPQRKSPATPRTARQLKIPGSDSEAVSSPNPASKTPKDRSPKVTERKVLRSPVAEKKRPSRVTELESQLTHLQDDLKKTKDQLTASESWKRRAMQEAEEAKKQLSAMSAKLEESEQQLMEISASEDDRVQELRKLSQDRDRAWQSELEAVQKQHSMDSAALASAMNEIQKLKVQLEKAHESEALQTKHAESTHTEIQNLRIELTETLSLVQKLKSELTDCRESESQALEAVHKTQTQLEAANKTIEMLGSDATKETKAYKTLTLELEQSKARVKSLEGLVSKLQAELIGNSSKTVEHLKDNKLPQQDGENEGIQQLNVELNFAKLEVNQLRSALDAAEVRYQEEYIRSTLQIRGAYEQVERIKAQSCQREAELEEELKKTKADADELRANLMDKETEKQSISKEIEELNLKIEKIGSNERESELAIELKKLEADLTELKANLTAKETELQSIREQNEMLKTEIKNMETGSNKVGEESVALLEAARAAEREALMKVGYLTEEADKSSRRAARATEQLDAAQEANTEMEAELRRLKVQLDQWRKAAEAATAMLSSGNKGKHSDKTKSVDNNYNPGNGSPNSEDMDDDSPKKKNGNMLKKIGVLWKKGQK >KJB33006 pep chromosome:Graimondii2_0_v6:6:42845274:42848221:1 gene:B456_006G1686001 transcript:KJB33006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKGSRTSSAEVPQRKSPATPRTARQLKIPGSDSEAVSSPNPASKTPKDRSPKVTERKVLRSPVAEKRPSRVTELESQLTHLQDDLKKTKDQLTASESWKRRAMQEAEEAKKQLSAMSAKLEESEQQLMEISASEDDRVQELRKLSQDRDRAWQSELEAVQKQHSMDSAALASAMNEIQKLKVQLEKAHESEALQTKHAESTHTEIQNLRIELTETLSLVQKLKSELTDCRESESQALEAVHKTQTQLEAANKTIEMLGSDATKETKAYKTLTLELEQSKARVKSLEGLVSKLQAELIGNSSKTVEHLKDNKLPQQDGENEGIQQLNVELNFAKLEVNQLRSALDAAEVRYQEEYIRSTLQIRGAYEQVERIKAQSCQREAELEEELKKTKADADELRANLMDKETEKQSISKEIEELNLKIEKIGSNERESELAIELKKLEADLTELKANLTAKETELQSIREQNEMLKTEIKNMETGSNKVGEESVALLEAARAAEREALMKVGYLTEEADKSSRRAARATEQLDAAQEANTEMEAELRRLKVQLDQWRKAAEAATAMLSSGNKGKHSDKTKSVDNNYNPGNGSPNSEDMDDDSPKKKNGNMLKKIGVLWKKGQK >KJB33007 pep chromosome:Graimondii2_0_v6:6:42845301:42848221:1 gene:B456_006G1686001 transcript:KJB33007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKGRTSSAEVPQRKSPATPRTARQLKIPGSDSEAVSSPNPASKTPKDRSPKVTERKVLRSPVAEKKRPSRVTELESQLTHLQDDLKKTKDQLTASESWKRRAMQEAEEAKKQLSAMSAKLEESEQQLMEISASEDDRVQELRKLSQDRDRAWQSELEAVQKQHSMDSAALASAMNEIQKLKVQLEKAHESEALQTKHAESTHTEIQNLRIELTETLSLVQKLKSELTDCRESESQALEAVHKTQTQLEAANKTIEMLGSDATKETKAYKTLTLELEQSKARVKSLEGLVSKLQAELIGNSSKTVEHLKDNKLPQQDGENEGIQQLNVELNFAKLEVNQLRSALDAAEVRYQEEYIRSTLQIRGAYEQVERIKAQSCQREAELEEELKKTKADADELRANLMDKETEKQSISKEIEELNLKIEKIGSNERESELAIELKKLEADLTELKANLTAKETELQSIREQNEMLKTEIKNMETGSNKVGEESVALLEAARAAEREALMKVGYLTEEADKSSRRAARATEQLDAAQEANTEMEAELRRLKVQLDQWRKAAEAATAMLSSGNKGKHSDKTKSVDNNYNPGNGSPNSEDMDDDSPKKKNGNMLKKIGVLWKKGQK >KJB33008 pep chromosome:Graimondii2_0_v6:6:42845435:42847998:1 gene:B456_006G1686001 transcript:KJB33008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKGSRTSSAEVPQRKSPATPRTARQLKIPGSDSEAVSSPNPASKTPKDRSPKVTERKVLRSPVAEKKRPSRVTELESQLTHLQDDLKKTKDQLTASESWKRRAMQEAEEAKKQLSAMSAKLEESEQQLMEISASEDDRVQELRKLSQDRDRAWQSELEAVQKQHSMDSAALASAMNEIQKLKVQLEKAHESEALQTKHAESTHTEIQNLRIELTETLSLVQKLKSELTDCRESESQALEAVHKTQTQLEAANKTIEMLGSDATKETKAYKTLTLELEQSKARVKSLEGLVSKLQAELIGNSSKTVEHLKDNKLPQQDGENEGIQQLNVELNFAKLEVNQLRSALDAAEVRYQEEYIRSTLQIRGAYEQVERIKAQSCQREAELEEELKKTKADADELRANLMDKETEKQSISKEIEELNLKIEKIGSNERESELAIELKKLEADLTELKANLTAKETELQSIREQNEMLKTEIKNMETGSNKVGEESVALLEAARAAEREALMKVGYLTEEADKSSRRAARATEQLDAAQEANTEMEAELRRLKVQLDQWRKAAEAATAMLSSGNKGKHSDKTKSVDNNYNPGNGSPNSEDMDDDSPKKKNGNMLKKIGVLWKKGQK >KJB33010 pep chromosome:Graimondii2_0_v6:6:42845241:42848248:1 gene:B456_006G1686001 transcript:KJB33010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKGSRTSSAEVPQRKSPATPRTARQLKIPGSDSEAVSSPNPASKTPKDRSPKVTERKVLRSPVAEKKRPSRVTELESQLTHLQDDLKKTKDQLTASESWKRRAMQEAEEAKKQLSAMSAKLEESEQQLMEISASEDDRVQELRKLSQDRDRAWQSELEAVQKQHSMDSAALASAMNEIQKLKVQLEKAHESEALQTKHAESTHTEIQNLRIELTETLSLVQKLKSELTDCRESESQALEAVHKTQTQLEAANKTIEMLGSDATKETKAYKTLTLELEQSKARVKSLEGLVSKLQAELIGNSSKTVEHLKDNKLPQQDGENEGIQQLNVELNFAKLEVNQLRSALDAAEVRYQEEYIRSTLQIRGAYEQVERIKAQSCQREAELEEELKKTKADADELRANLMDKETEKQSISKEIEELNLKIEKIGSNERESELAIELKKLEADLTELKANLTAKETELQSIREQNEMLKTEIKNMETGSNKVGEESVALLEAARAAEREALMKVGYLTEEADKSSRRAARATEQLDAAQEANTEMEAELRRLKVQLDQWRKAAEAATAMLSSGNKGKHSDKTKSVDNNYNPGNGSPNSEDMDDDSPKKKNGNMLKKIGVLWKKGQK >KJB33013 pep chromosome:Graimondii2_0_v6:6:42845587:42848221:1 gene:B456_006G1686001 transcript:KJB33013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAEEAKKQLSAMSAKLEESEQQLMEISASEDDRVQELRKLSQDRDRAWQSELEAVQKQHSMDSAALASAMNEIQKLKVQLEKAHESEALQTKHAESTHTEIQNLRIELTETLSLVQKLKSELTDCRESESQALEAVHKTQTQLEAANKTIEMLGSDATKETKAYKTLTLELEQSKARVKSLEGLVSKLQAELIGNSSKTVEHLKDNKLPQQDGENEGIQQLNVELNFAKLEVNQLRSALDAAEVRYQEEYIRSTLQIRGAYEQVERIKAQSCQREAELEEELKKTKADADELRANLMDKETEKQSISKEIEELNLKIEKIGSNERESELAIELKKLEADLTELKANLTAKETELQSIREQNEMLKTEIKNMETGSNKVGEESVALLEAARAAEREALMKVGYLTEEADKSSRRAARATEQLDAAQEANTEMEAELRRLKVQLDQWRKAAEAATAMLSSGNKGKHSDKTKSVDNNYNPGNGSPNSEDMDDDSPKKKNGNMLKKIGVLWKKGQK >KJB33005 pep chromosome:Graimondii2_0_v6:6:42845274:42848221:1 gene:B456_006G1686001 transcript:KJB33005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKGSRTSSAEVPQRKSPATPRTARQLKIPGSDSEAVSSPNPASKTPKDRSPKVTERKVLRSPVAELQKKRPSRVTELESQLTHLQDDLKKTKDQLTASESWKRRAMQEAEEAKKQLSAMSAKLEESEQQLMEISASEDDRVQELRKLSQDRDRAWQSELEAVQKQHSMDSAALASAMNEIQKLKVQLEKAHESEALQTKHAESTHTEIQNLRIELTETLSLVQKLKSELTDCRESESQALEAVHKTQTQLEAANKTIEMLGSDATKETKAYKTLTLELEQSKARVKSLEGLVSKLQAELIGNSSKTVEHLKDNKLPQQDGENEGIQQLNVELNFAKLEVNQLRSALDAAEVRYQEEYIRSTLQIRGAYEQVERIKAQSCQREAELEEELKKTKADADELRANLMDKETEKQSISKEIEELNLKIEKIGSNERESELAIELKKLEADLTELKANLTAKETELQSIREQNEMLKTEIKNMETGSNKVGEESVALLEAARAAEREALMKVGYLTEEADKSSRRAARATEQLDAAQEANTEMEAELRRLKVQLDQWRKAAEAATAMLSSGNKGKHSDKTKSVDNNYNPGNGSPNSEDMDDDSPKKKNGNMLKKIGVLWKKGQK >KJB37504 pep chromosome:Graimondii2_0_v6:6:46282714:46286286:-1 gene:B456_006G207600 transcript:KJB37504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHIYKSASRVVRSLLSASKTSRFFSEGRAVAAATAVSLNSKVPLLASAYGNGGSANASRGWLSGMFALPVAAYMVQDQEAHAAQMERTFIAIKPDGVQRGLIAEIISRFERKGFKLVAIKILVPSKEFAQKHYHDLKDRPFFNGLCAFLSSGPVVAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEINLWFKPQELVSYKSNAEKWIYEGN >KJB38240 pep chromosome:Graimondii2_0_v6:6:48922069:48925712:-1 gene:B456_006G243500 transcript:KJB38240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTILQSNLLCFEYTIQVPSATFPKSRKKWHSLFATIYCSRKFSSLITKTATANDEARVVHRSPSHVSLAVMQENSPFRIDQPTLIELVKEKKIEKLRKHGGVDGVASGLGTDTQVGVSGSAEDIERRREAFGSNTYKKPPTKGFFHFVVEAFKDLTIMILLGCAALSLGFGIKEHGLKDGWYDGGSIFVAVFLVIAVSAVSNYRQDRQFDKLSKVSNNIQVDVVRGGRRQQISIFDIVVGDIVCLKIGDQVPADGLFIDGHSLQIDESSMTGESDHVEVNGNQNPFLLSGTKVADGYARMLVTSVGMNTTWGQMMCQISRDTNDETPLQARLNKLTSSIGKVGLAVAFLVLVVLLVRYFTGHTTDENGNREFNGSKTKSDDIINAVVGIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATTICTDKTGTLTLNRMKVTKFWLGQESMEEGASSISPFVVDLIHQGVALNTTGSVYRASPGTEYEFSGSPTEKAILSWAVVELKMDMEKTKKCYAVLQVEAFNSQKKRSGVLIGRNDDDTVHVHWKGAAEMILALCSSYYDASGVEKDLDDDERTKFEQIIQGMAASSLRCIAFAHKQVPEEEYQNLKEQKKLKEDNLTLLGLVGIKDPCRPGVKKAVEDCQYAGVNIKMITGDNVFTARAIATECGILKPGQDLSSGAVVEGEEFRSYTPHERMEKVEKIQVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLRWGRCVYTNIQKFIQFQLTVNVAALCINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATERPTKELMEKPPVGRTEPLITNIMWRNLLAQALYQIAVLLTLQFSGESIFGVTEKVNDTLIFNIFVFCQVFNEFNARKLEKKNVFEGIHKNKLFIGIIGVTILLQVVMVEFLKRFADTERLTWGQWGACIAVAAVSWPLGWVVKCLPVPQKPIFSYLKWRK >KJB33984 pep chromosome:Graimondii2_0_v6:6:11683838:11685628:1 gene:B456_006G041900 transcript:KJB33984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSELDRLLFFEQARKISEATYASNPLDADNLTRWAGALLELSQFQSVPDSQKMIQDAISKLEEALSINPKKHDALWCLGNAQTSFAFLTSKEDEARPYFEKAAQYFQQAVDEDPSNEIYLKSLEISAKAPELHQEIIKQGLGQQMLGAGPSSSTSTSSSAKCCLIYH >KJB33986 pep chromosome:Graimondii2_0_v6:6:11683838:11687219:1 gene:B456_006G041900 transcript:KJB33986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQDAISKLEEALSINPKKHDALWCLGNAQTSFAFLTSKEDEARPYFEKAAQYFQQAVDEDPSNEIYLKSLEISAKAPELHQEIIKQGLGQQMLGAGPSSSTSTSSSAKTATKNKKSSDLKYDIFGWVILAVGIVAWVGFAKSQMPPSQLPPPPR >KJB33982 pep chromosome:Graimondii2_0_v6:6:11683826:11687224:1 gene:B456_006G041900 transcript:KJB33982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSELDRLLFFEQARKISEATYASNPLDADNLTRWAGALLELSQFQSVPDSQKMIQDAISKLEEALSINPKKHDALWCLGNAQTSFAFLTSKEDEARPYFEKAAQYFQQAVDEDPSNEIYLKSLEISAKAPELHQEIIKQGLGQQMLGAGPSSSTSTSSSAKENLSKVVIN >KJB33983 pep chromosome:Graimondii2_0_v6:6:11683826:11687236:1 gene:B456_006G041900 transcript:KJB33983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSELDRLLFFEQARKISEATYASNPLDADNLTRWAGALLELSQFQSVPDSQKMIQDAISKLEEALSINPKKHDALWCLGNAQTSFAFLTSKEDEARPYFEKAAQYFQQAVDEDPSNEIYLKSLEISAKAPELHQEIIKQGLGQQMLGAGPSSSTSTSSSAKTATKNKKSSDLKYDIFGWVILAVGIVAWVGFAKSQMPPSQLPPPPR >KJB33985 pep chromosome:Graimondii2_0_v6:6:11683838:11687219:1 gene:B456_006G041900 transcript:KJB33985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQDAISKLEEALSINPKKHDALWCLGNAQTSFAFLTSKEDEARPYFEKAAQYFQQAVDEDPSNEIYLKSLEISAKAPELHQEIIKQGLGQQMLGAGPSSSTSTSSSAKTATKNKKSSDLKYDIFGWVILAVGIVAWVGFAKSQMPPSQLPPPPR >KJB35436 pep chromosome:Graimondii2_0_v6:6:36256687:36257616:-1 gene:B456_006G114600 transcript:KJB35436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEDISMNFNVTSSQIHKIFTLVLPKVHFFQFSTSLNSRNLYIRMQDSSRPVIGYPVHNVNGCAPPPPATSATACPYVHPNPYPYYQPPPPQNQRPTFFRLFIIAMAILLFIFGITLLIFWLVLRPHLPDFSIQSLSLSNFNASNQRVTATWNAQFQVSNPNKKLSITYADVVSSVFHKDYFLTETRIEPFSQGTGDVRTLDASFSLADSFVEGKVVDAMNGERTRGEVKFNVGMVADVAFRYSGWRGRRRVLRVWCGDVTLAVSSGRMTGGPKSCLVG >KJB35435 pep chromosome:Graimondii2_0_v6:6:36255559:36257449:-1 gene:B456_006G114600 transcript:KJB35435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSSRPVIGYPVHNVNGCAPPPPATSATACPYVHPNPYPYYQPPPPQNQRPTFFRLFIIAMAILLFIFGITLLIFWLVLRPHLPDFSIQSLSLSNFNASNQRVTATWNAQFQVSNPNKKLSITYADVVSSVFHKDYFLTETRIEPFSQGTGDVRTLDASFSLADSFVEGKVVDAMNGERTRGEVKFNVGMVADVAFRYSGWRGRRRVLRVWCGDVTLAVSSGRMTGGPKSCLVG >KJB35437 pep chromosome:Graimondii2_0_v6:6:36255559:36257632:-1 gene:B456_006G114600 transcript:KJB35437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEDISMNFNVTSSQIHKIFTLVLPKVHFFQFSTSLNSRNLYIRPVIGYPVHNVNGCAPPPPATSATACPYVHPNPYPYYQPPPPQNQRPTFFRLFIIAMAILLFIFGITLLIFWLVLRPHLPDFSIQSLSLSNFNASNQRVTATWNAQFQVSNPNKKLSITYADVVSSVFHKDYFLTETRIEPFSQGTGDVRTLDASFSLADSFVEGKVVDAMNGERTRGEVKFNVGMVADVAFRYSGWRGRRRVLRVWCGDVTLAVSSGRMTGGPKSCLVG >KJB37857 pep chromosome:Graimondii2_0_v6:6:47561797:47567405:-1 gene:B456_006G223400 transcript:KJB37857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPNQMAPKLPVAGKRNVLITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTTTETKAVEENCTPQEICDKYHALHKEVYDWFDISFDKFGRTSSPEQTEVCQAIFKKLWENNWLTEKPMQQLFCDTCQRFLADRLVEGTCPDGGCNYNSARGDQCEKCGKLLNPTELKEPRCKACQNTPRIRDTRHLFLELPLLKDKLVEYINKMSVDGSWSQNAINTTQAWLTEGLKARCITRDLKWGVPVPHENFKDKVFYVWFDAPIGYVSITKCYTSDWEKWWKNPENVELFQFMGKDNVPFHTVMFPSTLLGTRENWTLMKSISVTEYLNYEAGKFSKSKGIGVFGNDVKDTKIPVEVWRYYLLTNRPEVSDTLFTWDDLQAKLNNELLSNLGNFINRVLSFIAKPPGQGYGSIIPDSPTAESHPLTKALSEKVGKHVEQYIEAMEKVKLKQGLRTAMSLSGEGNAYLQESQFWKLYKEDQPSCSIVMRTAVGLVHILACLLEPFIPSFSVEVFKQLNLPPQAQISLCDEKGDIDKASRPWEIIPAGHKIGDPKPLFEELKTERVEELRQQYAGSQADRRARAEADAAKTAEQLKKTKISDSKKEKAKKSSANSKEKPTAEPEISITRLDIRVGKIIKAQKHPDADSLYVEEIDVGEAQPRTVVSGLVKYIPLEEMQDRMVCVLCNLKPATMRGIKSHAMVLAASNNDHTKVSK >KJB37856 pep chromosome:Graimondii2_0_v6:6:47561030:47567615:-1 gene:B456_006G223400 transcript:KJB37856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPNQMAPKLPVAGKRNVLITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTTTETKAVEENCTPQEICDKYHALHKEVYDWFDISFDKFGRTSSPEQTEVCQAIFKKLWENNWLTEKPMQQLFCDTCQRFLADRLVEGTCPDGGCNYNSARGDQCEKCGKLLNPTELKEPRCKACQNTPRIRDTRHLFLELPLLKDKLVEYINKMSVDGSWSQNAINTTQAWLTEGLKARCITRDLKWGVPVPHENFKDKVFYVWFDAPIGYVSITKCYTSDWEKWWKNPENVELFQFMGKDNVPFHTVMFPSTLLGTRENWTLMKSISVTEYLNYEAGKFSKSKGIGVFGNDVKDTKIPVEVWRYYLLTNRPEVSDTLFTWDDLQAKLNNELLSNLGNFINRVLSFIAKPPGQGYGSIIPDSPTAESHPLTKALSEKVGKHVEQYIEAMEKVKLKQGLRTAMSLSGEGNAYLQESQFWKLYKEDQPSCSIVMRTAVGLVHILACLLEPFIPSFSVEVFKQLNLPPQAQISLCDEKGDIDKASRPWEIIPAGHKIGDPKPLFEELKTERVEELRQQYAGSQADRRARAEADAAKTAEQLKKTKISDSKKEKAKKSSANSKEKPTAEPEISITRLDIRVGKIIKAQKHPDADSLYVEEIDVGEAQPRTVVSGLVKYIPLEEMQDRMVCVLCNLKPATMRGIKSHAMVLAASNNDHTKVELVEPPKSAKVGERVTFPGFTGEPDDVLNPKKKVWETLQVDLHSDANLVACYKDIPLTTSAGICKVSSITNGSIR >KJB37858 pep chromosome:Graimondii2_0_v6:6:47561124:47567569:-1 gene:B456_006G223400 transcript:KJB37858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPNQMAPKLPVAGKRNVLITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTTTETKAVEENCTPQEICDKYHALHKEVYDWFDISFDKFGRTSSPEQTEVCQAIFKKLWENNWLTEKPMQQLFCDTCQRFLADRLVEGTCPDGGCNYNSARGDQCEKCGKLLNPTELKEPRCKACQNTPRIRDTRHLFLELPLLKDKLVEYINKMSVDGSWSQNAINTTQAWLTEGLKARCITRDLKWGVPVPHENFKDKVFYVWFDAPIGYVSITKCYTSDWEKWWKNPENVELFQFMGKDNVPFHTVMFPSTLLGTRENWTLMKSISVTEYLNYEAGKFSKSKGIGVFGNDVKDTKIPVEVWRYYLLTNRPEVSDTLFTWDDLQAKLNNELLSNLGNFINRVLSFIAKPPGQGYGSIIPDSPTAESHPLTKALSEKVGKHVEQYIEAMEKVKLKQGLRTAMSLSGEGNAYLQESQFWKLYKEDQPSCSIVMRTAVGLVHILACLLEPFIPSFSVEVFKQLNLPPQAQISLCDEKGDIDKASRPWEIIPAGHKIGDPKPLFEELKTERVEELRQQYAGSQADRRARAEADAAKTAEQLKKTKISDSKKEKAKKSSANSKEKPTAEPEISITRLDIRVGKIIKAQKHPDADSLYVEEIDVGEAQPRTVVSGLVKYIPLEEMQQDRMVCVLCNLKPATMRGIKSHAMVLAASNNDHTKVELVEPPKSAKVGERVTFPGFTGEPDDVLNPKKKVWETLQVDLHSDANLVACYKDIPLTTSAGICKVSSITNGSIR >KJB37859 pep chromosome:Graimondii2_0_v6:6:47561124:47567569:-1 gene:B456_006G223400 transcript:KJB37859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPNQMAPKLPVAGKRNVLITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTTTETKAVEENCTPQEICDKYHALHKEVYDWFDISFDKFGRTSSPEQTEVCQAIFKKLWENNWLTEKPMQQLFCDTCQRFLADRLVEGTCPDGGCNYNSARGDQCEKCGKLLNPTELKEPRCKACQNTPRIRDTRHLFLELPLLKDKLVEYINKMSVDGSWSQNAINTTQAWLTEGLKARCITRDLKWGVPVPHENFKDKVFYVWFDAPIGYVSITKCYTSDWEKWWKNPENVELFQFMGKDNVPFHTVMFPSTLLGTRENWTLMKSISVTEYLNYEAGKFSKSKGIGVFGNDVKDTKIPVEVWRYYLLTNRPEVSDTLFTWDDLQAKLNNELLSNLGNFINRVLSFIAKPPGQGYGSIIPDSPTAESHPLTKALSEKVGKHVEQYIEAMEKVKLKQGLRTAMSLSGEGNAYLQESQFWKLYKEDQPSCSIVMRTAVGLVHILACLLEPFIPSFSVEKTERVEELRQQYAGSQADRRARAEADAAKTAEQLKKTKISDSKKEKAKKSSANSKEKPTAEPEISITRLDIRVGKIIKAQKHPDADSLYVEEIDVGEAQPRTVVSGLVKYIPLEEMQDRMVCVLCNLKPATMRGIKSHAMVLAASNNDHTKVELVEPPKSAKVGERVTFPGFTGEPDDVLNPKKKVWETLQVDLHSDANLVACYKDIPLTTSAGICKVSSITNGSIR >KJB33446 pep chromosome:Graimondii2_0_v6:6:2483500:2492984:-1 gene:B456_006G011500 transcript:KJB33446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] MATWRYSTMPGGAEAVSSRNAVGRFVDFSHGGGGGRRVIKSDQLMLIRKWQLRPVKRSFSVRNVSSEQQQKVKDLVTQQQGSYNPFPPDASSIASSIKYHSEFTPLFSPEKFDPPKAFFATAQSIRDALIINWNATYDYYERLNVKQAYYLSMEFLQGRALLNAIGNLGLTGAYAEALSKLGHNLENIASQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYRYGLFKQRITKDGQEEVAENWLEMSNPWEIVRNDVAYPIKFYGKVLTDSDGKKHWIGGEDIQAVAYDVPIPGYETKTTINLRLWSTKAPSGDFDLSVFNSGKHTQAAEALYNAEKICYVLYPGDESLEGKILRLKQQYTLCSASLQDIIARFERRSGAKVKWDEFPDKVAVQMNDTHPTLCIPELMRILIDVKGLSWNEAWNITQRTVAYTNHTVLPEALEKWSLELMEKLLPRHMEIIEMVDEELIRTIVSEHGKADSNLLEKKLKQMRILENVELPAAFSDLLVKPKKSPVAVPSDELGESEEEEEAEAEAEAEEEKEEEKLKPAGGKIKSVKEGTQGKKKKIPEPVPEPPKLVRMANLCVVGGHAVNGVAAIHSEIVKDEVFNDFFQLWPEKFQNKTNGVTPRRWIRFCNPELSKIITRWTGSEDWVLNTEKLSELRKFADNEDLQIQWRAAKRSNKLKVASLIKERTGYIVSPDSMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMSASERKKKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPDIGDLLKVIFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAKAHEIAGLRKERAEGKFVPDPRFEEVKKFIKSGVFGSSNYNELLGSLEGNEGFGRADYFLVGKDFPSYIECQEKVDETYKDQKVWTRMSIMNTGGSYNFSSDRTIHEYAREIWNIKPVELP >KJB33447 pep chromosome:Graimondii2_0_v6:6:2483755:2492887:-1 gene:B456_006G011500 transcript:KJB33447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] MATWRYSTMPGGAEAVSSRNAVGRFVDFSHGGGGGRRVIKSDQLMLIRKWQLRPVKRSFSVRNVSSEQQQKVKDLVTQQQESPGSYNPFPPDASSIASSIKYHSEFTPLFSPEKFDPPKAFFATAQSIRDALIINWNATYDYYERLNVKQAYYLSMEFLQGRALLNAIGNLGLTGAYAEALSKLGHNLENIASQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYRYGLFKQRITKDGQEEVAENWLEMSNPWEIVRNDVAYPIKFYGKVLTDSDGKKHWIGGEDIQAVAYDVPIPGYETKTTINLRLWSTKAPSGDFDLSVFNSGKHTQAAEALYNAEKICYVLYPGDESLEGKILRLKQQYTLCSASLQDIIARFERRSGAKVKWDEFPDKVAVQMNDTHPTLCIPELMRILIDVKGLSWNEAWNITQRTVAYTNHTVLPEALEKWSLELMEKLLPRHMEIIEMVDEELIRTIVSEHGKADSNLLEKKLKQMRILENVELPAAFSDLLVKPKKSPVAVPSDELGESEEEEEAEAEAEAEEEKEEEKLKPAGGKIKSVKEGTQGKKKKIPEPVPEPPKLVRMANLCVVGGHAVNGVAAIHSEIVKDEVFNDFFQLWPEKFQNKTNGVTPRRWIRFCNPELSKIITRWTGSEDWVLNTEKLSELRKFADNEDLQIQWRAAKRSNKLKVASLIKERTGYIVSPDSMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMSASERKKKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPDIGDLLKVIFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAKAHEIAGLRKERAEGKFVPDPRFEEVKKFIKSGVFGSSNYNELLGSLEGNEGFGRADYFLVGKDFPSYIECQEKVDETYKDQKVWTRMSIMNTGGSYNFSSDRTIHEYAREIWNIKPVELP >KJB33449 pep chromosome:Graimondii2_0_v6:6:2483587:2492915:-1 gene:B456_006G011500 transcript:KJB33449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] MATWRYSTMPGGAEAVSSRNAVDASSIASSIKYHSEFTPLFSPEKFDPPKAFFATAQSIRDALIINWNATYDYYERLNVKQAYYLSMEFLQGRALLNAIGNLGLTGAYAEALSKLGHNLENIASQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYRYGLFKQRITKDGQEEVAENWLEMSNPWEIVRNDVAYPIKFYGKVLTDSDGKKHWIGGEDIQAVAYDVPIPGYETKTTINLRLWSTKAPSGDFDLSVFNSGKHTQAAEALYNAEKICYVLYPGDESLEGKILRLKQQYTLCSASLQDIIARFERRSGAKVKWDEFPDKVAVQMNDTHPTLCIPELMRILIDVKGLSWNEAWNITQRTVAYTNHTVLPEALEKWSLELMEKLLPRHMEIIEMVDEELIRTIVSEHGKADSNLLEKKLKQMRILENVELPAAFSDLLVKPKKSPVAVPSDELGESEEEEEAEAEAEAEEEKEEEKLKPAGGKIKSVKEGTQGKKKKIPEPVPEPPKLVRMANLCVVGGHAVNGVAAIHSEIVKDEVFNDFFQLWPEKFQNKTNGVTPRRWIRFCNPELSKIITRWTGSEDWVLNTEKLSELRKFADNEDLQIQWRAAKRSNKLKVASLIKERTGYIVSPDSMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMSASERKKKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPDIGDLLKVIFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAKAHEIAGLRKERAEGKFVPDPRFEEVKKFIKSGVFGSSNYNELLGSLEGNEGFGRADYFLVGKDFPSYIECQEKVDETYKDQKVWTRMSIMNTGGSYNFSSDRTIHEYAREIWNIKPVELP >KJB33450 pep chromosome:Graimondii2_0_v6:6:2483587:2492927:-1 gene:B456_006G011500 transcript:KJB33450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] MATWRYSTMPGGAEAVSSRNAVGRFVDFSHGGGGGRRVIKSDQLMLIRKWQLRPVKRSFSVRNVSSEQQQKVKDLVTQQQESPGSYNPFPPDASSIASSIKYHSEFTPLFSPEKFDPPKAFFATAQSIRDALIINWNATYDYYERLNVKQAYYLSMEFLQGRALLNAIGNLGLTGAYAEALSKLGHNLENIASQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYRYGLFKQRITKDGQEEVAENWLEMSNPWEIVRNDVAYPIKFYGKVLTDSDGKKHWIGGEDIQAVAYDVPIPGYETKTTINLRLWSTKAPSGDFDLSVFNSGKHTQAAEALYNAEKICYVLYPGDESLEGKILRLKQQYTLCSASLQDIIARFERRSGAKVKWDEFPDKVAVQMNDTHPTLCIPELMRILIDVKGLSWNEAWNITQRTVAYTNHTVLPEALEKWSLELMEKLLPRHMEIIEMVDEELIRTIVSEHGKADSNLLEKKLKQMRILENVELPAAFSDLLVKPKKSPVAVPSDELGESEEEEEAEAEAEAEEEKEEEKLKPAGGKIKSVKEGTQGKKKKIPEPVPEPPKLVRMANLCVVGGHAVNGVAAIHSEIVKDEVFNDFFQLKFWQLWPEKFQNKTNGVTPRRWIRFCNPELSKIITRWTGSEDWVLNTEKLSELRKFADNEDLQIQWRAAKRSNKLKVASLIKERTGYIVSPDSMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMSASERKKKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPDIGDLLKVIFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAKAHEIAGLRKERAEGKFVPDPRFEEVKKFIKSGVFGSSNYNELLGSLEGNEGFGRADYFLVGKDFPSYIECQEKVDETYKDQKVWTRMSIMNTGGSYNFSSDRTIHEYAREIWNIKPVELP >KJB33451 pep chromosome:Graimondii2_0_v6:6:2485806:2492984:-1 gene:B456_006G011500 transcript:KJB33451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] MATWRYSTMPGGAEAVSSRNAVGRFVDFSHGGGGGRRVIKSDQLMLIRKWQLRPVKRSFSVRNVSSEQQQKVKDLVTQQQGSYNPFPPDASSIASSIKYHSEFTPLFSPEKFDPPKAFFATAQSIRDALIINWNATYDYYERLNVKQAYYLSMEFLQGRALLNAIGNLGLTGAYAEALSKLGHNLENIASQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYRYGLFKQRITKDGQEEVAENWLEMSNPWEIVRNDVAYPIKFYGKVLTDSDGKKHWIGGEDIQAVAYDVPIPGYETKTTINLRLWSTKAPSGDFDLSVFNSGKHTQAAEALYNAEKICYVLYPGDESLEGKILRLKQQYTLCSASLQDIIARFERRSGAKVKWDEFPDKVAVQMNDTHPTLCIPELMRILIDVKGLSWNEAWNITQRTVAYTNHTVLPEALEKWSLELMEKLLPRHMEIIEMVDEELIRTIVSEHGKADSNLLEKKLKQMRILENVELPAAFSDLLVKPKKSPVAVPSDELGESEEEEEAEAEAEAEEEKEEEKLKPAGGKIKSVKEGTQGKKKKIPEPVPEPPKLVRMANLCVVGGHAVNGVAAIHSEIVKDEVFNDFFQLWPEKFQNKTNGVTPRRWIRFCNPELSKIITRWTGSEDWVLNTEKLSELRKFADNEDLQIQWRAAKRSNKLKVASLIKERTGYIVSPDSMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMSASERKKKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPDIGDLLKVIFVPDYNVSVAELLIPASELSQHIR >KJB33448 pep chromosome:Graimondii2_0_v6:6:2483587:2490331:-1 gene:B456_006G011500 transcript:KJB33448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] MSNPWEIVRNDVAYPIKFYGKVLTDSDGKKHWIGGEDIQAVAYDVPIPGYETKTTINLRLWSTKAPSGDFDLSVFNSGKHTQAAEALYNAEKICYVLYPGDESLEGKILRLKQQYTLCSASLQDIIARFERRSGAKVKWDEFPDKVAVQMNDTHPTLCIPELMRILIDVKGLSWNEAWNITQRTVAYTNHTVLPEALEKWSLELMEKLLPRHMEIIEMVDEELIRTIVSEHGKADSNLLEKKLKQMRILENVELPAAFSDLLVKPKKSPVAVPSDELGESEEEEEAEAEAEAEEEKEEEKLKPAGGKIKSVKEGTQGKKKKIPEPVPEPPKLVRMANLCVVGGHAVNGVAAIHSEIVKDEVFNDFFQLWPEKFQNKTNGVTPRRWIRFCNPELSKIITRWTGSEDWVLNTEKLSELRKFADNEDLQIQWRAAKRSNKLKVASLIKERTGYIVSPDSMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMSASERKKKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPDIGDLLKVIFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAKAHEIAGLRKERAEGKFVPDPRFEEVKKFIKSGVFGSSNYNELLGSLEGNEGFGRADYFLVGKDFPSYIECQEKVDETYKDQKVWTRMSIMNTGGSYNFSSDRTIHEYAREIWNIKPVELP >KJB33452 pep chromosome:Graimondii2_0_v6:6:2485981:2492887:-1 gene:B456_006G011500 transcript:KJB33452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] MATWRYSTMPGGAEAVSSRNAVGRFVDFSHGGGGGRRVIKSDQLMLIRKWQLRPVKRSFSVRNVSSEQQQKVKDLVTQQQESPGSYNPFPPDASSIASSIKYHSEFTPLFSPEKFDPPKAFFATAQSIRDALIINWNATYDYYERLNVKQAYYLSMEFLQGRALLNAIGNLGLTGAYAEALSKLGHNLENIASQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYRYGLFKQRITKDGQEEVAENWLEMSNPWEIVRNDVAYPIKFYGKVLTDSDGKKHWIGGEDIQAVAYDVPIPGYETKTTINLRLWSTKAPSGDFDLSVFNSGKHTQAAEALYNAEKICYVLYPGDESLEGKILRLKQQYTLCSASLQDIIARFERRSGAKVKWDEFPDKVAVQMNDTHPTLCIPELMRILIDVKGLSWNEAWNITQRTVAYTNHTVLPEALEKWSLELMEKLLPRHMEIIEMVDEELIRTIVSEHGKADSNLLEKKLKQMRILENVELPAAFSDLLVKPKKSPVAVPSDELGESEEEEEAEAEAEAEEEKEEEKLKPAGGKIKSVKEGTQGKKKKIPEPVPEPPKLVRMANLCVVGGHAVNGVAAIHSEIVKDEVFNDFFQLWPEKFQNKTNGVTPRRWIRFCNPELSKIITRWTGSEDWVLNTEKLSELRKFADNEDLQIQWRAAKRSNKLKVASLIKERTGYIVSPDSMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMSASERKKKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPDIGDLLKVIFVPDYNVSVAELLIPASELSQHIR >KJB37714 pep chromosome:Graimondii2_0_v6:6:47016538:47018504:-1 gene:B456_006G217200 transcript:KJB37714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETLSSNELLNFIIYDTIFATPCSSHHHESLVTDFSMENSFSSLEQASNSGNALSCLPMVTPKCRSKGMERSNLAVQGRKKRRRKPRVCKNKAEAETQRMTHIAVERNRRKQMNEHLTVLRSLMPESYVQRGDQASIVGGAIEFVKELEHLLQTLEAQKLRVETNMSLLSSSSSPPFAQFFTHPQYTWSQIPNKHTPKTKASIAEIEVTLIQTHANLRILTRKVHKQLPKLVAALHSLYLSILHLNVTSMDTLVLYSISVKVEEGCQLNSVDDIAGAVHNMLRIIEEEATTLC >KJB36604 pep chromosome:Graimondii2_0_v6:6:42643700:42645422:1 gene:B456_006G166700 transcript:KJB36604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKEWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMRPRSMAKDLRGTVKEILGTCVSVGCTVDGKDPKDLQEEISEGEVDVPLE >KJB37588 pep chromosome:Graimondii2_0_v6:6:46646368:46649690:1 gene:B456_006G212300 transcript:KJB37588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAKAKGNAAFSSGDFNTAVKHFTEAINLSPTNHVLYSNRSAAYASLHQYDAALSDAKKTVDLKPDWSKGYSRLGAAHLGLHQYEDAVSAYKKGIEIDPNNEALKSGLADAQSAATASASRARAAPPPNLFGDAFQGPEMWAKLTADPTTRVFLQQPDFVKAMQEIQRNPSKLNEHLQDQRVMQALGVLLNVKFKAGGGDDMEVPEADSPPAPSQPAKQESKKPDPVPEPEPEPMEITEEKEKNEKKEKALKEKETGNAAYKKKDFETAIQHYTKAMEIDDEDISYITNRAAVYLEMGKYEDCIKDCEKAVERGRELRADYKMIAKALTRKGTALVKMAKCSKDYEPAIETFQKALTEHRNPDTLKKLNDAEKAKKELEQQEYFDPKIADEEREKGNESFKQQKYPEAVKHYTESLRRNPKDPKAYSNRAACYTKLGALPEGLKDAEKCIELDPTFSKGYTRKGAVQFFMKEYDKALETYQEGLKHDPNNQELLDGVRRCVEQINRASRGDFSPEELKERQAKAMQDPEIQNILSDPVMRQVLVDFQENPKAAQEHTKNPMVMNKIQKLVTAGIVQLR >KJB35931 pep chromosome:Graimondii2_0_v6:6:39681655:39684472:-1 gene:B456_006G140000 transcript:KJB35931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWDLIFWVVCFFINIALLASSFFQLLSLSDLEADHLNPFEASTRINSIVLPEFLLQGFLCISFLLTWHWFMFLFTLPIAAYHLMLYLNRKHLLDVTEIFRDLNTEKKYRFIKLAVYLLLFTVVLFRGGSLDKFSKF >KJB35933 pep chromosome:Graimondii2_0_v6:6:39683207:39684266:-1 gene:B456_006G140000 transcript:KJB35933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWDLIFWVVCFFINIALLASSFFQLLSLSDLEADHLNPFEASTRINSIVLPEFLLQGFLCISFLLTWHWFMFLFTLPIAAYHLMLYLNRKHLLDVTEIFRDLNTEKKYRFIKLAVYLLLFTVVLFRLFRNLSNILFLIHSYI >KJB35932 pep chromosome:Graimondii2_0_v6:6:39682218:39684416:-1 gene:B456_006G140000 transcript:KJB35932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWDLIFWVVCFFINIALLASSFFQLLSLSDLEADHLNPFEASTRINSIVLPEFLLQGFLCISFLLTWHWFMFLFTLPIAAYHLMLYLNRKHLLDVTEIFRDLNTEKKYRFIKLAVYLLLFTVVLFS >KJB35929 pep chromosome:Graimondii2_0_v6:6:39682094:39684266:-1 gene:B456_006G140000 transcript:KJB35929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWDLIFWVVCFFINIALLASSFFQLLSLSDLEADHLNPFEASTRINSIVLPEFLLQGFLCISFLLTWHWFMFLFTLPIAAYHLMLYLNRKHLLDVTEIFRDLNTEKKYRFIKLAVYLLLFTVVLFRLVISAFNSLHDEVDVHAF >KJB35930 pep chromosome:Graimondii2_0_v6:6:39682914:39684513:-1 gene:B456_006G140000 transcript:KJB35930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWDLIFWVVCFFINIALLASSFFQLLSLSDLEADHLNPFEASTRINSIVLPEFLLQGFLCISFLLTWHWFMFLFTLPIAAYHLMLYLNRKHLLDVTEIFRDLNTEKKYRFIKLAVYLLLFTVVLFRLLSASIFFFFAWKFEELDIRTSLFY >KJB35934 pep chromosome:Graimondii2_0_v6:6:39682729:39684416:-1 gene:B456_006G140000 transcript:KJB35934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWDLIFWVVCFFINIALLASSFFQLLSLSDLEADHLNPFEASTRINSIVLPEFLLQGFLCISFLLTWHWFMFLFTLPIAAYHLMLYLNRKHLLDVTEIFRDLNTEKKYRFIKLAVYLLLFTVVLFRLLSASIFFFFAWKFEELDIRTSLFY >KJB38427 pep chromosome:Graimondii2_0_v6:6:49940958:49941486:1 gene:B456_006G257700 transcript:KJB38427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLIEEDGKGVVQDSVVDDSEIIVDGNGVIDSLESKEPIEETSEPDENMSSSTKEEGEDSIADNSEAIVDNENGITENAEQNEGNEKMTFGEETKGDDTLEDKQLDEDDAREGSANEEPDTVKGEKLAKEAKTTEEVEV >KJB37199 pep chromosome:Graimondii2_0_v6:6:45063457:45064558:1 gene:B456_006G193900 transcript:KJB37199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKFPIRDKVQVKSSGCKRNHTNPSPSPSSFIEFGCSACFLCIVGPLSMVWCIAKFPFKIGWRAAKYAINSSTCCYCRSDKRISAETYSSFSDIDFG >KJB33761 pep chromosome:Graimondii2_0_v6:6:7628712:7631823:-1 gene:B456_006G029900 transcript:KJB33761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAGEEKKKFSIWDLPVPMGQLPPHLELQRSRVSCNKDAPIHTESIQYSGAYASMGIDNSSRLDRFSNNFRVEVVRLNEDDMEFDMIACYHMLAMLACSTLSLPTMAIEKVLIANNTSIIQDEVLAHRLGLVPIRVDPRLFDYLSENDQRNEKNTIGIETPCSEIELEAHAAKGIGKTHAKWSPVAIAWYRMLPEVVLLEDIEYDLAEELKSKCPVNVFDIEDLGKGRRRATVARPRACTLCRECIRGDDWEKRVALRRVKDHFICK >KJB37302 pep chromosome:Graimondii2_0_v6:6:45636248:45637586:1 gene:B456_006G198900 transcript:KJB37302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFSLIVLCVVTLVVVLFSGAETTPAPKCDNPLEYSSCLNAYKKPPPSAGCCQKMKEQAPCYYFQLVNYRHIFINGRTIDILSYRCKPRDVILTRDE >KJB34511 pep chromosome:Graimondii2_0_v6:6:28282159:28284638:-1 gene:B456_006G069900 transcript:KJB34511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSISDSGLVMATKFGAHTIDHKFDMMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVDLESGEGDEDEGDEY >KJB33265 pep chromosome:Graimondii2_0_v6:6:928986:932095:1 gene:B456_006G004700 transcript:KJB33265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESETARRRISNIASHFSPCDDTLSVSHLLPMNCSGRCDNRMYFARQGSAFQACFMRQVSRPERTAPQCSAPPKSVSTPLESSNAIEAPLFSRPTMMDSNLSNLAKIEPLGLDWRLSMPDPPKFARPNKNTSDKMPLQSKKNTCWSISNGTKWSPKMDVAESGHNYIMMVEVPGVSIGDIRVEVDDQNLTVRGKRSSHCWKLAADCSDGSISAYHKREISQGPYQVVWPLPANVNRDSVSAEFL >KJB33264 pep chromosome:Graimondii2_0_v6:6:928963:932095:1 gene:B456_006G004700 transcript:KJB33264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESETARRRISNIASHFSPCDDTLSVSHLLPMNCSGRCDNRMYFARQGSAFQACFMRQVSRPERTAPQCSAPPKSVSTPLESSNAIEAPLFSRPTMMDSNLSNLAKIEPLGLDWRLSMPDPPKFARPNKNTSDKMPLQSKKNTCWSISNGTKWSPKMDVAESGHNYIMMVEVPGVSIGDIRVEVDDQK >KJB33263 pep chromosome:Graimondii2_0_v6:6:928802:932095:1 gene:B456_006G004700 transcript:KJB33263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESETARRRISNIASHFSPCDDTLSVSHLLPMNCSGRCDNRMYFARQGSAFQACFMRQVSRPERTAPQCSAPPKSVSTPLESSNAIEAPLFSRPTMMDSNLSNLAKIEPLGLDWRLSMPDPPKFARPNKNTSDKMPLQSKKNTCWSISNGTKWSPKMDVAESGHNYIMMVEVPGVSIGDIRVEVDDQNLTVRGKRSSHCWKLAADCSDGSISAYHKREISQGPYQVVWPLPANVNRDSVSAEFLDGFLRIVIPKL >KJB36979 pep chromosome:Graimondii2_0_v6:6:44247721:44249031:-1 gene:B456_006G185400 transcript:KJB36979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSSKLHFVFIPLMCPGHLIPMVDMGRLLAQHGVTVTIVTTPLNASRIKTIIDRDIASGIQLQLLQLRFPCIEAGLPKGCENIDALPSRQLSKNFMDAVGMLQQPLERFLEETEPKPSCIISDRHHPWTFGVAQKFKIPRLAFDGTSCFTVTCSHFINLSKIHEKVSDDLEPFVVPGLPDRIELTKGLLPNDLNPGSVVLKVKEEDMRVADMASYGLVVNYFEELEPRFGTIIELALGLEASNRPFIWIVREGYKSDEFNKWLSEEGFEERNKGRGLLIHGWAPQLSILSHTVIGGVLTHCGICAGLPMITWPLLADQFMNEKLVVQVLGIGERVGADIAMKWGEEEPYGVMVKREEIIPKP >KJB33445 pep chromosome:Graimondii2_0_v6:6:2480805:2483070:1 gene:B456_006G011400 transcript:KJB33445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLYSSLFLRSIVNVRSNLSPFSSSLNTIVTHIQALRKKSTSSRGNVDDALTKFNMMIVKYPKPSIVEFTKLLASIVRSKRYAIVVPMCSQMELLGVSHNVYSLSILINSFCQLGQVELGLSVLGKMLKLNVEPDVVTFSTLINGLCKQMKISQAVSLFDEMTEKCYKPDLVSYNTILKGLCKIRNSNKAVRLLRMMVERGFEPNIVAYSTVIDCLCKNKLLKEALDLFSEMKVKGIRPDIVIYSCLIHGMCNLGQLKEATRLLNEIVDDNISLDVITYNILINALCKEMMISEAEGIVGTMIKRNIGPDVVTYNILVDAYCKAGIVSKAVDTVDTMRNQGIEPNVATYSILVDTYGKEGTIFQAVNTVDTMRKLGIEPDVVMYSALINGYCLRNKMDKARRVFQLMIKKGCVPDIHSYNIMINGYCKARMLDEAMELFCEISRTGPIPNTVTYSTLMQGMCQLGKVSTACELLRTMLASGQVPDLVTCSILLDGFCKNGKPKEALNFFQAMRSNRLKLDVVSYNILIDGLYKVGHIEVAKELFHEILVNGLKPSVYTYAIMINGSCKKGLLDEAYRLFRSMGDNGCLPDSCCYNVMVQGFLRNGYTSKATQLLTEMVGKGFSADLCTATIFVDLILQSSKPILI >KJB34540 pep chromosome:Graimondii2_0_v6:6:28827585:28829148:1 gene:B456_006G071500 transcript:KJB34540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIKSEPAEQSIYNRINELKAFDETKSGVKGLVDSGLSKIPTIFINEEYKLERNNNIHNQKSRGCTNNGGIPIIDLTGVDDDPNLRREIVKKVVEACDKWGFFQIINHGIPVTTLDEMMDGIRRFHEQDKEAKKEFYSRDITRKVDIFIEYSNKMVKLGHTLLELFSETLGLNRSYLEDIGCGEGLFVMGHYYPPCPEPDLTLGTSSHTDCGFFTILLQDQIGGLQVRHQNQWLDVNSIHGALIVNLADMMQLISNDKFISVHHRVLANTRGPRVSVASFFKTHLLPENASRLYGPIKELISQKNPPLYRETTTKDFVSNYYSKGLDCKTLQYLKL >KJB37274 pep chromosome:Graimondii2_0_v6:6:45532684:45533542:-1 gene:B456_006G197900 transcript:KJB37274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSYEQRKTGTESAAEASEELKRKKRMKLVVYAAAFAIFQTIVILVFSLTVMRIRNPKFRLTSVTVEDLTAAPSPVSFNMKLSAQVSVKNSNFGHFKFDNTTIWFDYGGVGVGEAFVAKGRSKARSTKNMNVTVELNSNNIPNNSSLESEIKAGFMALTGHSKLSGKVQLMKLIKKKKSAEMNCAMLVNLVTRAVQDINCR >KJB36624 pep chromosome:Graimondii2_0_v6:6:42708652:42712560:-1 gene:B456_006G167500 transcript:KJB36624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTLSLSWAFNSMAWRGLLYTVFILHFVLVCQLLLLQPLVSALDGKPGNAAELFESVSQNIKVKRYSEALNDLNAAIETDPALSEAYFHRASILRQLCRYEESEKSYKKFLELKPGNSIGEKELSQLRQAQSALETAFSLFDSRDHTKGLEYLDKVVLVFSPACSKAKILKAKLLLAAKYYSSVISETGFILKEDENNLEALLLRGQAYYYLADHDVAQRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNVNKGKLRLAVEDYKGALALDPDHLAYNVHLHLGLCKVLVKLGRGKDASISCSEALNIDGELLEALVQRGEAKLLTEDWEGAVDDLKSAAQKSPQDMNIREALMRAEKALKMSKRKDWYKILGVSKTSSVAEIKRAYKKLALQWHPDKNVDNREEAEAQFREIAAAYEVLGDDEKRAKYDRGEDIEDMGMGGGGFNPFGGGFGFNF >KJB36623 pep chromosome:Graimondii2_0_v6:6:42708630:42712679:-1 gene:B456_006G167500 transcript:KJB36623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTLSLSWAFNSMAWRGLLYTVFILHFVLVCQLLLLQPLVSALDGKPGNAAELFESVSQNIKVKRYSEALNDLNAAIETDPALSEAYFHRASILRQLCRYEESEKSYKKFLELKPGNSIGEKELSQLRQAQSALETAFSLFDSRDHTKGLEYLDKVVLVFSPACSKAKILKAKLLLAAKYYSSVISETGFILKEDENNLEALLLRGQAYYYLADHDVAQRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNVNKGKLRLAVEDYKGALALDPDHLAYNVHLHLGLCKVLVKLGRGKDASISCSEALNIDGELLEALVQRGEAKLLTEDWEGAVDDLKSAAQKSPQDMNIREALMRAEKALKMSKRKDWYKILGVSKTSSVAEIKRAYKKLALQWHPDKNVDNREEAEAQFREIAAAYEVLGDDEKRAKYDRGEDIEDMGMGGGGFNPFGGGGGQQFTFTFDGGFPGGFGGGGFGFNF >KJB37435 pep chromosome:Graimondii2_0_v6:6:46016582:46017199:1 gene:B456_006G204200 transcript:KJB37435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQVAVVAGLMEEYTVLLARLLEQMLHEAPFPRRMRFLILRSLPFVYSTPLLIPSPT >KJB37436 pep chromosome:Graimondii2_0_v6:6:46016406:46017477:1 gene:B456_006G204200 transcript:KJB37436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQVAVVAGLMEEYTVLLARLLEQMLHEAPFPRRMRFLILRSLPFVYSTPLLIPSPT >KJB36527 pep chromosome:Graimondii2_0_v6:6:42425630:42426271:1 gene:B456_006G164100 transcript:KJB36527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNWEQRHTGLVIIRLLTKKLKSFLSELPSRGRDGNNIEFDEDVEETKIPNDVKEGHFAVIAVKGGKSKRFILELSYLRNPAFLRLLEQAKEEYGFQQMGPLTVPCQPEELQKILEDKIKKTTSL >KJB38795 pep chromosome:Graimondii2_0_v6:6:50863563:50864613:-1 gene:B456_006G271900 transcript:KJB38795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSQLGSNLAAADEMSNSTEQTLDGEPRPSDRSPKNQLREDQQQAQEWETLARAWVGAFPEAKAVTESQVEVWIDSNFGSLPADLQSMPRSELIERLLSIQNYLRFPSQTQEREPGQHDLPPARFQRTDQWIPVYSWLESLDTDEVVKSKDILDWLNDNPQVKDQLCSRHSRYHLMHYIKKCHLKILKRREKKVMILRYLVFQILVF >KJB38792 pep chromosome:Graimondii2_0_v6:6:50861964:50864709:-1 gene:B456_006G271900 transcript:KJB38792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSQLGSNLAAADEMSNSTEQTLDGEPRPSDRSPKNQLREDQQQAQEWETLARAWVGAFPEAKAVTESQVEVWIDSNFGSLPADLQSMPRSELIERLLSIQNYLRFPSQTQEREPGQHDLPPARFQRTDQWIPVYSWLESLDTDEVVKSKDILDWLNDNPQVKDQLCSRHSRYHLMHYIKKCHLKILKRREKKVGSQPLNKESVLKVRKDVVAKKPAPVPNNPLNNIPKDSDLYIAKRNEALRKYEIIRY >KJB38794 pep chromosome:Graimondii2_0_v6:6:50863037:50864613:-1 gene:B456_006G271900 transcript:KJB38794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSQLGSNLAAADEMSNSTEQTLDGEPRPSDRSPKNQLREDQQQAQEWETLARAWVGAFPEAKAVTESQVEVWIDSNFGSLPADLQSMPRSELIERLLSIQNYLRFPSQTQEREPGQHDLPPARFQRTDQWIPVYSWLESLDTDEVVKSKDILDWLNDNPQVKDQLCSRHSRYHLMHYIKKCHLKILKRREKKVGSQPLNKESVLKVRKDVVAKKPAPVPSMSQISVNFI >KJB38793 pep chromosome:Graimondii2_0_v6:6:50862409:50864607:-1 gene:B456_006G271900 transcript:KJB38793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSQLGSNLAAADEMSNSTEQTLDGEPRPSDRSPKNQLREDQQQAQEWETLARAWVGAFPEAKAVTESQVEVWIDSNFGSLPADLQSMPRSELIERLLSIQNYLRFPSQTQEREPGQHDLPPARFQRTDQWIPVYSWLESLDTDEVVKSKDILDWLNDNPQVKDQLCSRHSRYHLMHYIKKCHLKILKRREKKVGSQPLNKESVLKVRKDVVAKKPAPVPNNPLNNIPKDSDLYIAKRNEALRKYEILLELEKKLSPVFSRKK >KJB33401 pep chromosome:Graimondii2_0_v6:6:10506877:10508283:-1 gene:B456_006G037500 transcript:KJB33401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKRGPWTIEEDHKLMNFILNNGIHCWRTVPKLAGLLRCGKSCRLRWINYLRPDLKRGAFTESEEDQIIQLHGRLGNRWSKIASHFPGRTDNEIKNHWNTRIKKKLKLLGLDPVTHKPIEKVEKNDGDSSNKSNGDDQQQGIELDDDEKVEGIELSLDETNDLFNSYQMLCESFDLDSWLNQDAANNTCSSSYSMEESSNKSSTGETNSTIGEDSLKQWVDSVDSFLSWDSFI >KJB33925 pep chromosome:Graimondii2_0_v6:6:10782494:10785317:1 gene:B456_006G038800 transcript:KJB33925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 FHQKYLPMENGEIPENANEHCPGPQSESAGKSDACQGCPNQEVCATAPKGPDPERMATVKHKILVLSGKGGVGKSTFSAQLSFALAAKDFQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLVVDAPPGTSDEHISIVQFLQATGIDGAIIVTTPQQVSLIDVRKEVSFCKKVGVPVLGVVENMSGLCQPLKDFRFLRMTENGEHTDLTEKVIEYMKEKAPEMLDLIAASEIFDSSGGGAAKMCTEMGVPFLGKVPLDPQLCKAAEEGKSCFSEQKCSISAPALKSIVEKLMAKYWCKEMSVEQ >KJB33924 pep chromosome:Graimondii2_0_v6:6:10782785:10784942:1 gene:B456_006G038800 transcript:KJB33924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 MENGEIPENANEHCPGPQSESAGKSDACQGCPNQEVCATAPKGPDPDLVAIAERMATVKHKILVLSGKGGVGKSTFSAQLSFALAAKDFQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLVVDAPPGTSDEHISIVQFLQATGIDGAIIVTTPQQVSLIDVRKEVSFCKKVGVPVLGVVENMSGLCQPLKDFRFLRMTENGEHTDLTEKVIEYMKEKAPEMLDLIAASEIFDSSGGGAAKMCTEMGVPFLGKVPLDPQLCKAAEEGKSCFSEQKCSISAPALKSIVEKLMAKYWCKEMSVEQ >KJB37695 pep chromosome:Graimondii2_0_v6:6:46894599:46895009:1 gene:B456_006G215900 transcript:KJB37695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFKQQQQQQQTLLLILALAFAIAITPALSVVGWDERHMPDPRVCWAQINKADGCEHEIYAPLVKKKIKLSYACCEAVQGMSSKCKNWMFNHGRLSPEFGDQIKGYCATLGVTLPPSYRIYYPDKTPPGHIGYGG >KJB36646 pep chromosome:Graimondii2_0_v6:6:42826132:42828707:-1 gene:B456_006G168500 transcript:KJB36646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G37090) UniProtKB/TrEMBL;Acc:W8QNT8] MGSAERTKKKVQLWKKAIVHFSLCFVMGFFTGFAPTGKDSIFSSPAVATHNKSHIAQPPVNQSVTPAVHSSNVNQSLRAETPVPVPVPAKSNELESPKQVDGTVVHEVKLPSRRLVIVVTPTSTKDQFQGVFLRRLANTIRLVPQPLLWIVVEGQSDSNELSEILRKTGIMYRHLVFKENFTDPEAELNHQRNVALKHIEQHKLSGIVHFAGLSNVYDLDFFKELRQIEVFGTWPMALLSANERRVVIEGPVCDSSQVIGWHLRKMNNQTDAETDADTKPPIHISSFAFNSSILWDPERWGRLTSVQGTSQNSLKFVKQIVMEDEGKLKGIPPEECSKIMLWRLHFPIGVVPRNLVKTSSLFDVITRL >KJB36647 pep chromosome:Graimondii2_0_v6:6:42826443:42828707:-1 gene:B456_006G168500 transcript:KJB36647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G37090) UniProtKB/TrEMBL;Acc:W8QNT8] MGSAERTKKKVQLWKKAIVHFSLCFVMGFFTGFAPTGKDSIFSSPAVATHNKSHIAQPPVNQSVTPAVHSSNVNQSLRAETPVPVPVPAKSNELESPKQVDGTVVHEVKLPSRRLVIVVTPTSTKDQFQGVFLRRLANTIRLVPQPLLWIVVEGQSDSNELSEILRKTGIMYRHLVFKENFTDPEAELNHQRNVALKHIEQHKLSGIVHFAGLSNVYDLDFFKELRQIEYASFLSPNSFSYYISYDNKFRTTCIIMIL >KJB36449 pep chromosome:Graimondii2_0_v6:6:42172032:42174100:-1 gene:B456_006G162200 transcript:KJB36449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase Cdc25 [Source:Projected from Arabidopsis thaliana (AT5G03455) UniProtKB/Swiss-Prot;Acc:Q8GY31] MAQTISYITGSQLLPLKRLPNIAIIDVRDDERSYDGHIAGSLHYASGTFTDKISNLIQDVKGKDTLVFHCALSQVRGPTCARRLAYHLEELKEDTGIKNILVLERGFNGWEASGRPVCRCTDIPCKGESA >KJB36900 pep chromosome:Graimondii2_0_v6:6:43946146:43948311:1 gene:B456_006G181900 transcript:KJB36900 gene_biotype:protein_coding transcript_biotype:protein_coding description:high mobility group B1 [Source:Projected from Arabidopsis thaliana (AT3G51880) TAIR;Acc:AT3G51880] MTGARGKGAVKPAEDRKVGKRKAPVDRSSIRKAKREKKAKKDPNKPKRPPSAFFVFLEEFRTTFKKENPNVKAVSAVGKAAGEMWKSMSEEEKGPYEVKAQKRKVEYENQMKDYNKKQEISANGRDAEEVNDEENEGSGEEEEDEDED >KJB36899 pep chromosome:Graimondii2_0_v6:6:43946848:43948056:1 gene:B456_006G181900 transcript:KJB36899 gene_biotype:protein_coding transcript_biotype:protein_coding description:high mobility group B1 [Source:Projected from Arabidopsis thaliana (AT3G51880) TAIR;Acc:AT3G51880] MTGARGKGAVKPAEDRKVGKRKAPVDRSSIRKAKREKKAKKDPNKPKRPPSAFFVFLEEFRTTFKKENPNVKAVSAVGKAAGEMWKSMSEEEKGPYEVKAQKRKVEYENQMKDYNKKQEISANGRDAEEVNDEENEGSGEVI >KJB33910 pep chromosome:Graimondii2_0_v6:6:10626803:10627917:1 gene:B456_006G038100 transcript:KJB33910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGLKECDRIPTGVRDKLEDELSYSLALKDKSNLLQKECLEFGTSTKKSMMECLYVGDFDEVDGAEGMFSTDVTSSQVTVANCQTEVRGGSTKVLADMEATNFAHNSRDFGGHRIPPIKDEIWGQ >KJB36682 pep chromosome:Graimondii2_0_v6:6:43023467:43028547:1 gene:B456_006G170800 transcript:KJB36682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTALRQLLKSFCTNSLWKYAVLWRLRHRSPMVLTWEDGYCVYPIPRKSVESFSTDVYSNSENIPSHFEMSIRDGRYGEGYPIGLLVDHMPHLKYAWGEGFVGKVAYTGKHCWVSYDDIFAGKANSKLVPEYPEEWLLQFASGIKTIVLVPVLPHGVLQLGSLEMVAEDLSILSCIKDRFACKNIHTQLTSSEISSPFEKLVASSSALMGPLNSKDSNAVNSVEPNKLLALDHIVPLLSIQNEFKVPGILLPEILESESENRISVPPVSLSELASPLSQSVSVDQLAIGESELFGFYCLKEELQAYPECNAYRVGECGEILDEVMNPYPAGYFLEPLDIDDADFLNFPNECELQKELGAAFERQSCEYLWESSFLSEDLFRDHVDGIEPSLSVRGGDAEYLLQAVVGHVYDGSIDIPNRYTHLMASTGQLPVSSRPQSVKSDSTPSSRLTSPSVGGAKCNASSKILTSFKSTVSMLTDAENLRKDGYYTQSRKGKKQSSVSKKRARPGDNPRPRPRPRDRQMIQDRLKELRELVPNGAKYSIDALLDQTVKHMLYLRSVTNQAEKLRQWVHREVSDLKNTRSSQTKDDYQTGTSWAFEIGDERKVCPIVVEDLPYPGHLLIEMLCNEYGMFLEIAQVIRSFNLTILKGVTESCSNNTWAHFIVETSSGFHRLDIFWPLMNLLQRQRNPVSSKSER >KJB36684 pep chromosome:Graimondii2_0_v6:6:43023853:43028547:1 gene:B456_006G170800 transcript:KJB36684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTALRQLLKSFCTNSLWKYAVLWRLRHRSPMVLTWEDGYCVYPIPRKSVESFSTDVYSNSENIPSHFEMSIRDGRYGEGYPIGLLVDHMPHLKYAWGEGFVGKVAYTGKHCWVSYDDIFAGKANSKLVPEYPEEWLLQFASGIKTIVLVPVLPHGVLQLGSLEMVAEDLSILSCIKDRFACKNIHTQLTSSEISSPFEKLVASSSALMGPLNSKDSNAVNSVEPNKLLALDHIVPLLSIQNEFKKELGAAFERQSCEYLWESSFLSEDLFRDHVDGIEPSLSVRGGDAEYLLQAVVGHVYDGSIDIPNRYTHLMASTGQLPVSSRPQSVKSDSTPSSRLTSPSVGGAKCNASSKILTSFKSTVSMLTDAENLRKDGYYTQSRKGKKQSSVSKKRARPGDNPRPRPRPRDRQMIQDRLKELRELVPNGAKYSIDALLDQTVKHMLYLRSVTNQAEKLRQWVHREVSDLKNTRSSQTKDDYQTGTSWAFEIGDERKVCPIVVEDLPYPGHLLIEMLCNEYGMFLEIAQVIRSFNLTILKGVTESCSNNTWAHFIVETSSGFHRLDIFWPLMNLLQRQRNPVSSKSER >KJB36683 pep chromosome:Graimondii2_0_v6:6:43023853:43028547:1 gene:B456_006G170800 transcript:KJB36683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTALRQLLKSFCTNSLWKYAVLWRLRHRSPMFVGKVAYTGKHCWVSYDDIFAGKANSKLVPEYPEEWLLQFASGIKTIVLVPVLPHGVLQLGSLEMVAEDLSILSCIKDRFACKNIHTQLTSSEISSPFEKLVASSSALMGPLNSKDSNAVNSVEPNKLLALDHIVPLLSIQNEFKVPGILLPEILESESENRISVPPVSLSELASPLSQSVSVDQLAIGESELFGFYCLKEELQAYPECNAYRVGECGEILDEVMNPYPAGYFLEPLDIDDADFLNFPNECELQKELGAAFERQSCEYLWESSFLSEDLFRDHVDGIEPSLSVRGGDAEYLLQAVVGHVYDGSIDIPNRYTHLMASTGQLPVSSRPQSVKSDSTPSSRLTSPSVGGAKCNASSKILTSFKSTVSMLTDAENLRKDGYYTQSRKGKKQSSVSKKRARPGDNPRPRPRPRDRQMIQDRLKELRELVPNGAKH >KJB34742 pep chromosome:Graimondii2_0_v6:6:31264001:31270421:-1 gene:B456_006G082300 transcript:KJB34742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter ADA2b [Source:Projected from Arabidopsis thaliana (AT4G16420) UniProtKB/Swiss-Prot;Acc:Q9ATB4] MGRSRGNFHSADEDPTQRSRRKKNASAGENLESSSSGQGTSEGKRALYHCNYCNKDITGKIRIKCAICPDFDLCIECFSVGAEVSPHKSCHLYRVMDNLSFPLICPDWNADDEILLLEGIEMYGLGNWAEVAEHVGTKSKEKCIDHYTNVYMKSPFFPLPDMSHVVGKNRKELLAMAKGHTEDKKGTMLGELSVKEESPFSPSRVKVEGSNCGRIMSGLNADVESGARSSSNSTASATVVKVSNMNQVKDGNVKMEDHQIDRNYGGKKPNSSGNEGPSLVELSGYNAKRQEFDPEYDNDAEQLLAEMEFKDTDTEEERELKLRVLRIYSKRLDERKRRKDFILERNLLYPNPIEKDLSPEERALCRRYDVFMRFHSKEEHDELLQTVIAEHRTLKRIEELKEARAAGCRTSAEADRYLEQKRKREAEESSHRAKDGVQGGPGGQAAPNSFMASESVGKDSNARPTAQASSSYAKDLDIMGFAETQLLSETEKRLCSEIRLPPPLYLRMLQIISEEIFNGNVSKKADAHRLFKIEPSKIDRVYDMLVKKGIAAP >KJB34744 pep chromosome:Graimondii2_0_v6:6:31264652:31270410:-1 gene:B456_006G082300 transcript:KJB34744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter ADA2b [Source:Projected from Arabidopsis thaliana (AT4G16420) UniProtKB/Swiss-Prot;Acc:Q9ATB4] MGRSRGNFHSADEDPTQRSRRKKNASAGENLESSSSGQGTSEGKRALYHCNYCNKDITGKIRIKCAICPDFDLCIECFSVGAEVSPHKSCHLYRVMDNLSFPLICPDWNADDEILLLEGIEMYGLGNWAEVAEHVGTKSKEKCIDHYTNVYMKSPFFPLPDMSHVVGKNRKELLAMAKGHTEDKKGTMLGELSVKEESPFSPSRVKVEGSNCGRIMSGLNADVESGARSSSNSTASATVVKVSNMNQVKDGNVKMEDHQIDRNYGGKKPNSSGNEGPSLVELSGYNAKRQEFDPEYDNDAEQLLAEMEFKDTDTEEERELKLRVLRIYSKRLDERKRRKDFILERNLLYPNPIEKDLSPEERALCRRYDVFMRFHSKEEHDELLQTVIAEHRTLKRIEELKEARAAGCRTSAEADRYLEQKRKREAEESSHRAKDGVQGGPGGQAAPNSFMASESVGKDSNARPTAQASSSYAKDLDIMGFAETQLLSETVRMLYP >KJB34743 pep chromosome:Graimondii2_0_v6:6:31264022:31270410:-1 gene:B456_006G082300 transcript:KJB34743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter ADA2b [Source:Projected from Arabidopsis thaliana (AT4G16420) UniProtKB/Swiss-Prot;Acc:Q9ATB4] MGRSRGNFHSADEDPTQRSRRKKNASAGENLESSSSGQGTSEGKRALYHCNYCNKDITGKIRIKCAICPDFDLCIECFSVGAEVSPHKSCHLYRVMDNLSFPLICPDWNADDEILLLEGIEMYGLGNWAEVAEHVGTKSKEKCIDHYTNVYMKSPFFPLPDMSHVVGKNRKELLAMAKGHTEDKKGTMLGELSVKEESPFSPSRVKVEGSNCGRIMSGLNADVESGARSSSNSTASATVVKVSNMNQVKDGNVKMEDHQIDRNYGGKKPNSSGNEGPSLVELSGYNAKRQEFDPEYDNDAEQLLAEMEFKDTDTEEERELKLRVLRIYSKRLDERKRRKDFILERNLLYPNPIEKDLSPEERALCRRYDVFMRFHSKEEHDELLQTVIAEHRTLKRIEELKEARAAGCRTSAEADRYLEQKRKREAEESSHRAKDGVQGGPGGQAAPNSFMASESVGKDSNARPTAQASSSYAKDLDIMGFAETQLLSETKRLCSEIRLPPPLYLRMLQIISEEIFNGNVSKKADAHRLFKIEPSKIDRVYDMLVKKGIAAP >KJB36710 pep chromosome:Graimondii2_0_v6:6:43157803:43159788:1 gene:B456_006G172400 transcript:KJB36710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVAPSFSLSVLCFASLLSFLAVVNARIPGVYTGGAWESAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGGCFEIKCANDPRWCHSGSPSIIITATNFCPPNYALPNDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKQGGIRFTINGFRYFNLVLVTNVAGAGDIVKVKVKGTNTNWLSMSRNWGQNWQSNAVLVGQALSFRVTGSDRRTSTSLNVAPANWQFGQTFTGKNFRV >KJB35774 pep chromosome:Graimondii2_0_v6:6:38066641:38067848:1 gene:B456_006G127700 transcript:KJB35774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEPKRELCDTNQTPEKIPPLSLHHASSSDALGIVEAPENEEPIVNGTNNKDKVDDDGYLDSFPPGYRFCPLDEELVLYYLKKKVLNLPLPQNRIVEVNLYQYNPERLAELYKQYGEKEWYFFTPRDKKYRNGTRPNRAAVGGYWKATGADREVRFKDRIVGYRKALVFYKGRPPKGDKTSWIMHEYRVNDPPPLCSKKEGYTDMRLDDYVLCRIYKKVDKSGRTQTKTEDGLALTQKQERDEMMGSEDTMNYLSTMGMGMGMGGNFMNQAFPEFQYIFNDQFPSSFPTKYLNDRLEQEDVWSFDFPPQLDVSVEALINVDNVFSPDTANKNSTNSEMNTRD >KJB37629 pep chromosome:Graimondii2_0_v6:6:46744966:46747430:1 gene:B456_006G213400 transcript:KJB37629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPLIAQNVRRKRIGAALTIWLEICRIAIWFLFRMGASLSLHTYRPMIRSYTLDFYAKRDYVKRLVYASDETSIEQVRMNITAFFKLCEMLESLGGLKPSRNMLVDEQVAMFLHIISHHLKNRVIKHHFRRSGETVSRAFHSVLNAVIRLQDVLFKKAEPITADSSDTRWKWFKNCLGALDGTHIKIRVPTVDKPRYRTRKGDIATNMIGVCTHDMQFVYVLPGLEGSVADGRVLRDAISRTHGLKVPHGVPQSNASSQASRGTKRKWVPEEDVALVSCMMDLHNVGTFNADTGLLKREWSIVYDMLNGQKNSGFGWDEYRQLVVAEDAVWDSYLKSHREAAQFRHRTFHYYDQLTAIYARDRATGKDAQTAADVLEEIYAEDVPTTDMNEERNTFYDCEANVSLDDMDVSGPEPRGDRDQGGSSSSNKRKKKSDARANMYSSFDEAATLLAENLKAVGDQISRSIASEVVV >KJB36487 pep chromosome:Graimondii2_0_v6:6:42162184:42162876:1 gene:B456_006G161900 transcript:KJB36487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEAPSDDGEDSLEETLATKVAHISLTQALIVKVFGNYQFLNQKLVQLWNPLGIMKMIDLGCDFFVINFNTEEDYDNVFWGGPWLIGGKFITVRIWTPKFRASEAKLDKVAIWVQLIELQIEYYDSGILHKIGTSIGKLVKVDNYILVMERGKFARICVEINLDKPLVKEIFMEARKQRLCYEGVEKLCFSCGRIGHGREYCPEAQTATTKEERKKR >KJB33993 pep chromosome:Graimondii2_0_v6:6:11935206:11936670:1 gene:B456_006G042400 transcript:KJB33993 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40950) UniProtKB/Swiss-Prot;Acc:Q9FLN4] MATATMSFNLVGAFRGLSLASSSTSSFMKGEVGSIHKTAVVSFPRKSPFPLTIESAHKKGAGSTKNGRDSKGQRLGVKIYGDQVAKPGAIIVRQRGTKFHPGKNVGLGKDHTIFSLIDGLVKFEKFGPDKKKISVYPRVLQPENPNSYRARKREYFRMRREQRKAKKEGILVQPELVLASAADATDDNPVC >KJB33991 pep chromosome:Graimondii2_0_v6:6:11935322:11936641:1 gene:B456_006G042400 transcript:KJB33991 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40950) UniProtKB/Swiss-Prot;Acc:Q9FLN4] MATATMSFNLVGAFRGLSLASSSTSSFMKGEVGSIHKTAVVSFPRKSPFPLTIESAHKKGAGSTKNGRDSKGQRLGVKIYGDQVAKPGAIIVRQRGTKFHPGKNVGLGKDHTIFSLIDGLVKFEKFGPDKKKISVYPRVLQPENPNSYRARKREYFRMRREQRKAKKEGILVQPELVLASAADATDDNPVC >KJB33992 pep chromosome:Graimondii2_0_v6:6:11935215:11936309:1 gene:B456_006G042400 transcript:KJB33992 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40950) UniProtKB/Swiss-Prot;Acc:Q9FLN4] MATATMSFNLVGAFRGLSLASSSTSSFMKGEVGSIHKTAVVSFPRKSPFPLTIESAHKKGAGSTKNGRDSKGQRLGVKIYGDQVAKPGAIIVRQRGTKFHPGKNVGLGKDHTIFSLIDGLVKFEKFGPDKKKVSVILNRTLLRLSNS >KJB35004 pep chromosome:Graimondii2_0_v6:6:33279178:33291613:-1 gene:B456_006G094800 transcript:KJB35004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP107 [Source:Projected from Arabidopsis thaliana (AT3G14120) UniProtKB/Swiss-Prot;Acc:Q8L748] MDVDMETSPSYFDPQDHSAREKFRRYGKRYSNSSISPRQESGISKFNEAKLLYEGQIIHSPTNAALLLENIKQEAESFDTDYFEETPSRERSASKRRPSSDGHRTAEIDNVVDSIRRLGSHALKACKIEDDLLADNGDATFASFASLLDSAFHEYAGMFQSQLGVSCTNYIYKLHRYESNIRHRVVEDKLRRQKAQLLLDEAATWSLLCACIIFGDILFFLVFFVLLALNFILELRMFDEMTDEPPEELILSPSTSHIEACQFVANDHTAQLCLRIVQWLEELASKALDLENKVRGSHVGTYLPNSGIWYHTQRFLKKGASAANTIHHLDFDAPTREHAHQLPDDKKQDESLLEDVWTLLRAGRLEEACELCRSAGQPWRSATICPFGGLDLFPSIEALMKNGKNRSLQAIELESGIGHQWRLWKWASYCASERIFEQNGGKYEIAVYAAQCSNLKRMLPICMDWETACWAMAKSWLEIQVDLELARSQPGRMEQLKSYGDGIDGTSQPSPGPESWPLQVLNQQPRDLSALLQKLHSGEMVHEAVTRGCKEQQRQIEMNLMLGNIPLLLELIWSWIAPSEDDQNISRPRDPQMIRFGAHVVLVLRYLLAEEIKDTFREKLMTVGDRILHMYSLFLFSKHHEELVGIYASQLASHRCIDLFVHMMELRLNSSVHVKYKIFLSAMEYLPFSQGDDSKGSFEEIIERLLSRSRETKAGKFDETSDVVEQHRLQSLQKALVVQWLCFTPPSTITDVKDISAKLLMRALIHSNILFREFALISMWRVPAMPIGAHELLSFLAEPLKQLSETPDTFEDYVSENLKEFQDWSEYYSCDATYRNWLKIELANAEVSPDELSVEEKQRAIMAAKETLDLSFLLLLRERNPWLISRVEHISESMEPLFLELHATAMLRLPSGESMCPDATVCAALMSALYSSATEEVVSERQLAVNVAISSKDSYSIEVILRCLAVEGDGIGPHILNDGGLLSAVMAAGFKGELARFQAGVTLEISRLDAWFSSKDGSLEGPATYIVQGLCRRCCIPEVILRCMQVNFLME >KJB35003 pep chromosome:Graimondii2_0_v6:6:33278335:33291638:-1 gene:B456_006G094800 transcript:KJB35003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP107 [Source:Projected from Arabidopsis thaliana (AT3G14120) UniProtKB/Swiss-Prot;Acc:Q8L748] MDVDMETSPSYFDPQDHSAREKFRRYGKRYSNSSISPRQESGISKFNEAKLLYEGQIIHSPTNAALLLENIKQEAESFDTDYFEETPSRERSASKRRPSSDGHRTAEIDNVVDSIRRLGSHALKACKIEDDLLADNGDATFASFASLLDSAFHGVMPIPDLILQFERICRNVSESIRYESNIRHRVVEDKLRRQKAQLLLDEAATWSLLCACIIFGDILFFLVFFVLLALNFILELRMFDEMTDEPPEELILSPSTSHIEACQFVANDHTAQLCLRIVQWLEELASKALDLENKVRGSHVGTYLPNSGIWYHTQRFLKKGASAANTIHHLDFDAPTREHAHQLPDDKKQDESLLEDVWTLLRAGRLEEACELCRSAGQPWRSATICPFGGLDLFPSIEALMKNGKNRSLQAIELESGIGHQWRLWKWASYCASERIFEQNGGKYEIAVYAAQCSNLKRMLPICMDWETACWAMAKSWLEIQVDLELARSQPGRMEQLKSYGDGIDGTSQPSPGPESWPLQVLNQQPRDLSALLQKLHSGEMVHEAVTRGCKEQQRQIEMNLMLGNIPLLLELIWSWIAPSEDDQNISRPRDPQMIRFGAHVVLVLRYLLAEEIKDTFREKLMTVGDRILHMYSLFLFSKHHEELVGIYASQLASHRCIDLFVHMMELRLNSSVHVKYKIFLSAMEYLPFSQGDDSKGSFEEIIERLLSRSRETKAGKFDETSDVVEQHRLQSLQKALVVQWLCFTPPSTITDVKDISAKLLMRALIHSNILFREFALISMWRVPAMPIGAHELLSFLAEPLKQLSETPDTFEDYVSENLKEFQDWSEYYSCDATYRNWLKIELANAEVSPDELSVEEKQRAIMAAKETLDLSFLLLLRERNPWLISRVEHISESMEPLFLELHATAMLRLPSGESMCPDATVCAALMSALYSSATEEVVSERQLAVNVAISSKDSYSIEVILRCLAVEGDGIGPHILNDGGLLSAVMAAGFKGELARFQAGVTLEISRLDAWFSSKDGSLEGPATYIVQGLCRRCCIPEVILRCMQVSVSLMESGNPFESHDQLIELVSSSETGLINLFSQQQLQEFLLFEREYSICKMELQEEPSS >KJB35830 pep chromosome:Graimondii2_0_v6:6:38395629:38403148:-1 gene:B456_006G130100 transcript:KJB35830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSITNNGQSNNGKSSRLDGINRDLGLYFLELARLRSSAAPTEMEEDEEEAPKELNTINSSGGFLVVAPDKLSVKYTTVNLHGHDVGVVQANKPAPVKCLLYYFEIYVKDAGVKGQIAIGFTNEGFKMRRQPGWEVNSCGYHGDDGLLYRGQGKGDAFGPTYTTGDTVGGGINYASQEFFFTKNGVLVGTVCKEKEMKGRLFPTIAVHSQNEEVHVNFGQKKFAFDLKEYEAQERLKRQMTIEKISLPPNISYGLVRSYLLHYGYEDTLSSFDLASKSTIPPVYIAQENGFDEQDIGYALNQRKILRQLIRNGEIDAAISKLRDWYPQIVQDDKSATCFLLHCQKFIELVRVGALEEAVKYGRIELANFFRLAGFDDLVQDCAALLAYEHPQESCVGYLLEESQRDLVADTVNAMILSTNPNTQDMEGCLQSYLERLLRQLTACCLERRSANGEQGEAFLLRRVLNS >KJB38359 pep chromosome:Graimondii2_0_v6:6:49509094:49511426:1 gene:B456_006G251500 transcript:KJB38359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KJB38360 pep chromosome:Graimondii2_0_v6:6:49509209:49511426:1 gene:B456_006G251500 transcript:KJB38360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KJB36482 pep chromosome:Graimondii2_0_v6:6:42116556:42117373:1 gene:B456_006G161300 transcript:KJB36482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLWKTRKKFEIRLVGQNLFLIIFEIVEDLELILEGRPWLFRKNLILFDRLHQAVESDQIKLTSSPFWIKIESCFPEFDKKDLLHAISSTFGGVLRSEISEDFCRLRVNLDVQRPLRRGIFVSNVYVSKVWIPFKYENLPIFCFECGRMGHGMSNCTQLLPARKNKISENPPYSVALKAESRLIGKKKCEV >KJB37061 pep chromosome:Graimondii2_0_v6:6:44492904:44495724:-1 gene:B456_006G188200 transcript:KJB37061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDVMKLMMSDYNVETINDGLNEFNVKFHGPKESLYEGGVWKIRVELPDAYPFKSPSIGFVNKIFHPNVDELSGSVCLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPSDPLNGDAASLMMKDRKQYDQKVKEYCERYAKKEDITKSAADKETDDEDDITDEDTGSSDDEIAGHADP >KJB37064 pep chromosome:Graimondii2_0_v6:6:44492904:44497667:-1 gene:B456_006G188200 transcript:KJB37064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDVMKLMMSDYNVETINDGLNEFNVKFHGPKESLYEGGVWKIRVELPDAYPFKSPSIGFVNKIFHPNVDELSGSVCLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPSDPLNGDAASLMMKDRKQYDQKVKEYCERYAKKEDITKSAADKETDDEDDITDEDTGSSDDEIAGHADP >KJB37063 pep chromosome:Graimondii2_0_v6:6:44492904:44497459:-1 gene:B456_006G188200 transcript:KJB37063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFVRARAFLMLYFSISRMPSICFQCFKEENGDPYESQFFFSLFRLGYILRVVWSLQYWLKMSSPSKRREMDVMKLMMSDYNVETINDGLNEFNVKFHGPKESLYEGGVWKIRVELPDAYPFKSPSIGFVNKIFHPNVDELSGSVCLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPSDPLNGDAASLMMKDRKQYDQKVKEYCERYAKKEDITKSAADKETDDEDDITDEDTGSSDDEIAGHADP >KJB37062 pep chromosome:Graimondii2_0_v6:6:44492877:44497769:-1 gene:B456_006G188200 transcript:KJB37062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDVMKLMMSDYNVETINDGLNEFNVKFHGPKESLYEGGVWKIRVELPDAYPFKSPSIGFVNKIFHPNVDELSGSVCLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPSDPLNGDAASLMMKDRKQYDQKVKEYCERYAKKEDITKSAADKETDDEDDITDEDTGSSDDEIAGHADP >KJB35340 pep chromosome:Graimondii2_0_v6:6:35668240:35669649:1 gene:B456_006G109900 transcript:KJB35340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDIVSCFSENAVNVSHSSCSSYSSNACIAPSLAPSVQNAVTGVYRLILSTQKQLLIRVTWCKNQTGQGLSINIGDDPSTSFKLNTNLRFFRKKKGNKVIESDHSKIEVFWDLSTAKYDTGPEPVDGFYVLVMADSEIGLVLGDMAEETVTKKFKNATPGAKVSLISSGEHEGLKHPVLSVCIDKKTVIRVKRLQWNFRGNQTIFVDGLLVDLMWDAYDWFFNPVTGSAVFLFRTRSGLDSRLWLEEKLVQKDQDRVEFSLLIHACKNT >KJB36243 pep chromosome:Graimondii2_0_v6:6:40660002:40663740:1 gene:B456_006G148000 transcript:KJB36243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKMMRWRPWPPLVSKKYEVKLIVRRLEGWDLVGEGWEKPEKLKVEIRWKGPKASLSSLRRTVKRNFTKEVDGVDENGVVVWDEEFQTLCNLSAYKDNAFHPWEIAFSALNGLKQGPKSKVPVVGVASLNLAEHVSAAEQKELELNIPLILSTGAGELCPRLCISLSLLELRIAQEINEPIERALVPFASPLQSGETVTMEKDEISAIKAGLRKVKFFRERVSTRGAKKACREDEGSEGRCSARSDDGEYPLDTDSLDDSEEGESDEVKDDSVVRKSFSYGTLADANYAGGSVYSSMRISEGDEDWVYYSNRKSDVGCSNVEDSAKLVSEPSLLQSSKRSILSWRKRKLSFRSPKGKGEPLLKKGYGEEGGDDIDFDRRQLSSDESHAPGWRKTDDDSSANRTSISEFGDDSFAIGNWEQKEVVSRDGRMKLKSEVFFASIDQRSERAAGESACTALVAVIADWLQNNCDLMPIKSQFDSLIREGSLEWRKLCENESYRERFPDKHFDLETVLQAKLRPLSVVPRKSFIGFFHPEGVDEGSFDFLLGAMSFDNIWDEISRAGSECQNSDLPEVYIVSWNDHFFVLKVDPEAYYIIDTLGERLYEGCNQAYILKFDRNTVIHKLPNVAQSSGDKSTGDQQVNGKEGCVAGAVVTKPEKSIKSEDSEEVVCQGKKSCKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIEFHYTEFSQPLRETSASPMTTFVPLSVEVPLTEIAA >KJB33153 pep chromosome:Graimondii2_0_v6:6:599518:599860:1 gene:B456_006G002400 transcript:KJB33153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEELDISDNQIRVLPESFRLLSKLRVFRADETPLEVPPREVIKLGAQAVVEFMVDLIANRDTKAAPPKKEKDFWFRICSICW >KJB35828 pep chromosome:Graimondii2_0_v6:6:38349579:38352001:1 gene:B456_006G129800 transcript:KJB35828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEVISPRISFSYYLNAEAIEEHHHHHHHQSSDFVFNFGDSFVQELSSADELFSNGKILPMEIKKKPVVAKPVPVPSPPKKRLKEFLSMSIDDADDKPAFKSLWQFKRSISLNSGTKTLIRSLHFLSRSNSTGSASNPKPTMLSKETEKQHLQKQPSLSTKSSHSHSSGAFYAYTNSTTHKSPLKSSNCGSYGNGVGVNSVSISNVSVVSFFGFGSLFCNPKGKKKKK >KJB34765 pep chromosome:Graimondii2_0_v6:6:31215052:31217309:-1 gene:B456_006G082100 transcript:KJB34765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPKPIRQIMTDREKHKLSSELESLLGELPENIVDFLKEQSSSDGQMGEDEIEIDIDALSHETLYKLHKLLDDYLLEKQKNQAKAESCEMELLNESGLSNSSMQPYKASTKGAVIFSRADHSVTEQMYEYGKNLGLSFQVVDDILDFTQSAEQLGKLASSDLAKGNLTAPVIFALEKEPKLRDIIESEFCETGSLNEAIELVKQCGGIERAQKLAKEKADIAIKSLQCLPQSDFRLGLEDMVMFNLERID >KJB34766 pep chromosome:Graimondii2_0_v6:6:31214762:31217563:-1 gene:B456_006G082100 transcript:KJB34766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPKPIRQIMTDREKHKLSSELESLLGELPENIVDFLKEQSSSDGQMGEDEIEIDIDALSHETLYKLHKLLDDYLLEKQKNQAKAESCEMELLNESGLSNSSMQPYKASTKGAVIFSRADHSVTEQMYEYGKNLGLSFQVVDDILDFTQSAEQLGKLASSDLAKGNLTAPVIFALEKEPKLRDIIESEFCETGSLNEAIELVKQCGGIERAQKLAKEKADIAIKSLQCLPQSDFRLGLEDMVMFNLERID >KJB37451 pep chromosome:Graimondii2_0_v6:6:46108464:46110556:1 gene:B456_006G205300 transcript:KJB37451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSADMNLSINGQSQVPPGFRFHPTEEELLHYYLGKKVASQKIDLDVIPEVDLNKLEPWDIQEKCKVGSSSSPQNGWYFFSHKDKKYPTGTRTNRATASGFWKATGRDKIIYCGFRRIGLRKTLVFYKGRAPHGKKSDWIMHEYRLDNDSNVQSFKTIGDSMAEDGWVVCRVFRKKNYQKTLEDPKSSSSYTSPDPEAPMVFSGNEEVLDQVLQCMGKTCKMENDLFTSTNNPRSFEENKHEMFMHMPRLESPTLPPLPIHSPLLDQETSFKPCYQSIDDTLITVTQPSSINQGDSGAYDHHQTDSVIDDNNGSKNIWVNDWVTLDRFVASQLNGQVETSKQQSYLSDPSVAFSLCHHDDIQLSNIRLQRSNQNSQVYGDEIDLWSLTKSSSPSSSSDPFYQCRYN >KJB34871 pep chromosome:Graimondii2_0_v6:6:32337361:32340927:-1 gene:B456_006G088200 transcript:KJB34871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATENHIEENSNGWPLGLQIMGMRLRLQERLQHQVSAPPVQPYYLHIPSSSFSSLSSSNLDTESSASFFQDNSVSLGKLIGFRQQERGSKHFRNAINGGENIRMPIKATCRDVSRRRQQSADMSQGICIPLILAALVKINKSKSKSKPLDV >KJB37164 pep chromosome:Graimondii2_0_v6:6:44896510:44898024:-1 gene:B456_006G191900 transcript:KJB37164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGSKFWEVVCGEHGIDPIGKYIGNSELRLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICLRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRGLTVPELTQQMWDAKNMMCAADPRHGRYLTASALFRGKMSTKEVDEQILNVQNKNSSYFVEWIPNNVKSSVCDIPPEGVSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDASADEDVEYEDDDGGAEN >KJB38729 pep chromosome:Graimondii2_0_v6:6:50736437:50737255:1 gene:B456_006G269600 transcript:KJB38729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCNHAGEVPEKILDILEKIGHIDSNQELPIPNSMKKAYCGVALDCTAKYLAGDPNTYAKFLEAVDRIWRGGFKIWKNPRRPIWFASN >KJB37507 pep chromosome:Graimondii2_0_v6:6:46380531:46384158:-1 gene:B456_006G208800 transcript:KJB37507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTITTTTSISAKTSIPTALHKVQAQPIKPKRRNCKETTISSSAAAATTNPCSDSVVSPDSSWCCPASKPLPAPPPPPPSPPPLPPQASGVHDQGLNDSLVGFKIRYYPGSVSPVMDFTGETALSNGHSPWSFTKFNSALTAGLLNPMSPPPPTDKTRSSPTLFEMMANEPDNNPRNRTQNQAQIQVPISSPRQNHPPPVIDKQALTMQRISDLLSTRSPGNQFNDPNSSDMKLTLSSKDGISVTMNVHRQILVAHSRFFAVKLSDRSQETNGPTGPYSVEIADCDDVEVYIEVLRLMYCKDLRKMLLREDVSKVLGILKVSAAIGFDAGVLSCLEYLEAAPWTEDEEEKVASLLAELRLENVGAGEVLKRVSIEASNGIVGGDNEEVLLKLLHVVLQGKDEKARREMKELVLKMLRENPSQNDLRKESLYSACDGCLELLQRHFLRAASSDLQDVNQIARQADNLHWILDILIDRQIAEDFLKSWASQSELSATHSKVPAIHRFEVSRVTARLFVGIGKGQLLASKEARFLLLQTWLVPFYNDFGWMMRRASKGLDRHLIEDGLSNTILTLPLAWQQDILLAWFDRFLNSGEDCPNIQRGFEVWWRRAFWR >KJB34624 pep chromosome:Graimondii2_0_v6:6:29823452:29825080:-1 gene:B456_006G075800 transcript:KJB34624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g15720 [Source:Projected from Arabidopsis thaliana (AT4G15720) UniProtKB/Swiss-Prot;Acc:Q8VYH0] MKKRLSHNLVSAVNSLHLSGSSKPLSFHKKTRFIQTVQTCNNLVLPTLTHSKILKSGLLNDTIITNHLINGYIKSQQISQAHQVFDEMPEPNVVSYTSLMSGYISASTPQLCLWMFREMHNSPVLPNDFTFATAVNACSILADLQKGKQVHGLVEVFGFRFNLVVCSSLVDMYGKCNEVDFAQLVFDSMADKNVVSWTSMITAYAQNGRGNEALEVFREFNLLDWEIPNKFMLASVINACASSGKLVSGKTTHGAVIRHGHDSNDVVASTLVDMYAKCGCIVYAARVFRRVRNPCVIPYTSMIVAAGKHGLGKVSIELFEEMIDKRIKPNDVTFVAVLHACSHSGLVDQGLEYFNSMSWKHGVVPEAKHYTCVVDMLSRIGRFDEAYRLAKSIKVNPNEGASLWGTLLSASRLHGRLDIAVEASKRLIESDQQVDGAYVTLSNAYVLAGEWENANDLRKKMKQTGVCKEPGCSWIDIKDSTYVFYAGNISFKRGTEVLRMLKELEREMKEKGRSKGLVFVDVEEEAMEETVDHWLKRLNREP >KJB34679 pep chromosome:Graimondii2_0_v6:6:30275588:30275917:1 gene:B456_006G078100 transcript:KJB34679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFKGEMPGLKAANQPSHVRSSSASVLPATNQPSHVRSSSTPMTIDINVEKYTRKYYNYNKSNMKLGVGDAERKRQRRMMKYNSYAVESRLMSGFRWMKNKCSELVHG >KJB36725 pep chromosome:Graimondii2_0_v6:6:43227311:43228623:1 gene:B456_006G173200 transcript:KJB36725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCGCLPVSLVGISSSETAVVKGGEEGMEFDILKRPPSVKCQTTTSSSSSSPGKQQQEEGEINVRAAGEENEEKKEMNSKEMDDDDNDGFKTPTSTDSKIPAEPKQCPPAPRKPKPNKRKASSPTNGLTAVGINPLLLDLSEELESLSHKVEKKTRTQEQQ >KJB34602 pep chromosome:Graimondii2_0_v6:6:29700116:29703396:-1 gene:B456_006G074900 transcript:KJB34602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCDGSVLLDTVNNGSKAEKDAIPNRSLKGFDVIDDIKNAIEKVCPNVVSCADILALAARDAVSAPFKRRLWNVALGRRDGRISLASETNGNIPSPFADFTSLLQTFNNKTLDVNDLVVLSGAHTIGVAHCGTFSRRLYNFTGKGDADPTLDPTCADFLRKQCPNPANPKTIVEMDPGSSRSFDKHYYHILRQNKGLFISDAALLTNKESESIVIRLQRSRGLFFAAFARSMRKMAAIDVLTGKDGEIRRNCRVVNP >KJB35405 pep chromosome:Graimondii2_0_v6:6:36161984:36167761:-1 gene:B456_006G113500 transcript:KJB35405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKKPGGLREMLESMYSVIALLFILVACVELCDAAAAVDVYRLIQYDMSGSPFGSRFAALNHHAASLHFPPGVDLSRTVLIIPLRELNITFVREYINQKNPLGGLLVLLPEVLSFKTGGNKQVHEKEKMKNLLAELERLLVHSNIPYPVYFAFENDEIDTVLADIKKNDLMGQPATATTGGYKFVIPTTEPKKVASPTMTNIQGWLSGLKTDGDANQLPTIAIVASYDTFGAAPALSVGSNSNGSGIVALLEIARLFSLLYSNPKTRGRYNLLFGLTSGGPYNYNGTQKWLRSFDQRLRESIDYAICLNSIGSWDNELWIHVSKPPENAYIKQIFEGLSNVAEELDLKVGLKHKKINISNPRVAWEHEQFSRLRVTAATLSELSVTPELLESTGGLFDTRSYFQI >KJB35404 pep chromosome:Graimondii2_0_v6:6:36160189:36167835:-1 gene:B456_006G113500 transcript:KJB35404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKKPGGLREMLESMYSVIALLFILVACVELCDAAAAVDVYRLIQYDMSGSPFGSRFAALNHHAASLHFPPGVDLSRTVLIIPLRELNITFVREYINQKNPLGGLLVLLPEVLSFKTGGNKQVHEKEKMKNLLAELERLLVHSNIPYPVYFAFENDEIDTVLADIKKNDLMGQPATATTGGYKFVIPTTEPKKVASPTMTNIQGWLSGLKTDGDANQLPTIAIVASYDTFGAAPALSVGSNSNGSGIVALLEIARLFSLLYSNPKTRGRYNLLFGLTSGGPYNYNGTQKWLRSFDQRLRESIDYAICLNSIGSWDNELWIHVSKPPENAYIKQIFEGLSNVAEELDLKVGLKHKKINISNPRVAWEHEQFSRLRVTAATLSELSVTPELLESTGGLFDTRQYVNETAIVRGVKLVAESLARHIYGHQGKNIQIFADESSLAVNPAYIRSWLDVLSQTPRVAPFLSKDDPFVMALKKELAGHVDEVNVQHETLEGIFTFYDSTSARLNIYQVASVTFDLLLLLVLGSYLIVLFSFLVITTRGLDDLISLFRRPPSRKLKTA >KJB35406 pep chromosome:Graimondii2_0_v6:6:36161984:36167761:-1 gene:B456_006G113500 transcript:KJB35406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKKPGGLREMLESMYSVIALLFILVACVELCDAAAAVDVYRLIQYDMSGSPFGSRFAALNHHAASLHFPPGVDLSRTVLIIPLRELNITFVREYINQKNPLGGLLVLLPEVLSFKTGGNKQVHEKEKMKNLLAELERLLVHSNIPYPVYFAFENDEIDTVLADIKKNDLMGQPATATTGGYKFVIPTTEPKKVASPTMTNIQGWLSGLKTDGDANQLPTIAIVASYDTFGAAPALSVGSNSNGSGIVALLEIARLFSLLYSNPKTRGRYNLLFGLTSGGPYNYNGTQKWLRSFDQRLRESIDYAICLNSIGSWDNELWIHVSKPPENAYIKQIFEVSW >KJB34287 pep chromosome:Graimondii2_0_v6:6:21087223:21092358:1 gene:B456_006G057400 transcript:KJB34287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETEPSKKVADRYLKREVLGEGTYGVVYKAIDTKTGQIVAIKKIRLGKQKEGVNFTALREIKLLKELKDPNIIELTDAFPLKGNLHLVFEFMETDLEAVIRDRNIFLSPADIKSYIQMTLKGLSFCHRKWVLHRDMKPNNLLIGPNGQLKLADFGLARIFGSPDRKFTHQVFARWYRAPELLFGSKQYGSGVDVWAAACIFAELLLRRPFLQGTSDIDQLGKIFAAFGTPTSSQWPDMLYLPDYVEYQYVPAPPLRSLFPMASDDALDLLLKMFTYDPKARISVKQALEHRYFSSAPPPTNPAKLPRPAPKTQASDFNPQEGPTVLSPPWKSRRVMPDRERFEGNSNQKEKIDERVGEVRQAVGEIAGKVEQC >KJB34285 pep chromosome:Graimondii2_0_v6:6:21087092:21092990:1 gene:B456_006G057400 transcript:KJB34285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETEPSKKVADRYLKREVLGEGTYGVVYKAIDTKTGQIVAIKKIRLGKQKEGVNFTALREIKLLKELKDPNIIELTDAFPLKGNLHLVFEFMETDLEAVIRDRNIFLSPADIKSYIQMTLKGLSFCHRKWVLHRDMKPNNLLIGPNGQLKLADFGLARIFGSPDRKFTHQVFARWYRAPELLFGSKQYGSGVDVWAAACIFAELLLRRPFLQGTSDIDQLGKIFAAFGTPTSSQWPDMLYLPDYVEYQYVPAPPLRSLFPMASDDALDLLLKMFTYDPKARISVKQALEHRYFSSAPPPTNPAKLPRPAPKTQASDFNPQEGPTVLSPPWKSRRVMPDRERFEGNSNQKEKIDERVGEVRQAVGEIAGKVEQVPMSVDFSIFGSKPMSRPTINSADRSHLKRKLDLDFQHNE >KJB34286 pep chromosome:Graimondii2_0_v6:6:21087223:21090296:1 gene:B456_006G057400 transcript:KJB34286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETEPSKKVADRYLKREVLGEGTYGVVYKAIDTKTGQIVAIKKIRLGKQKEGVNFTALREIKLLKELKDPNIIELTDAFPLKGNLHLVFEFMETDLEAVIRDRNIFLSPADIKSYIQMTLKGLSFCHRKWVLHRDMKPNNLLIGPNGQLKLADFGLARIFGSPDRKFTHQVFARWYRAPELLFGSKQYGSGVDVWAAACIFAELLLRRPFLQGTSDIDQLGKIFAAFGTPTSSQWPDMLYLPDYVEYQYVPAPPLRSLFPMASDDALDLLLKMFTYDPKARISVKQALEHRYFSSAPPPTNPAKLPRPAPKTQASDFNPQEGPTVLSPPWKSRRVMPDRERFEGNSNQKEKIDERVGEVRQAVGEIAGKVEQVPMSVDFSIFGSKPMSRPTINR >KJB38691 pep chromosome:Graimondii2_0_v6:6:50645262:50649698:1 gene:B456_006G268400 transcript:KJB38691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIVFSNRGKLYEFCSSPSMTKTLEKYQKCSYSTLDNSRSISETQNSYQEYLKLKARVEVLQRSQRNLLGEDLGPLDSKELDQLEHQLEASLKQIRSTKVQAMLDQLHDLHNREKLLVDANKSLRRKLEELSTQVPQGLAWDNIGGPSIPPYNRLTAAQSEAFFHPPGANCSSQIGYSNDVVSDEMNGAVHSQNVNGYFPGWML >KJB34532 pep chromosome:Graimondii2_0_v6:6:28491397:28492274:1 gene:B456_006G070700 transcript:KJB34532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETGLEPTEAFHYNRKNELKAFDETKSRVKGLVDSGLSKIPTIFINQQYKLERNNLTGFDDDPTLRHEIVKKVGEACERWGFFHVINHGIPLTTLDEMIDGIRRFHEQDKEAKKEFYSRDNSRKVIYNSNFDLYVAEAANWRDTLRDIMIEYSNRVMKLGQTLLELLSEALGLNRSYLEDIGCGEGLLMVGHYYPPCPESDLTLGTGSHTDSGFFTVLLQDQIGGLQVLQQNQWLDVKSIHGALVVNLGDMMQA >KJB36450 pep chromosome:Graimondii2_0_v6:6:42272402:42274804:1 gene:B456_006G162900 transcript:KJB36450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICSFNPKSQTYTSPRPPLKLPTSPDLSLTSFIFQSTSSIPHHTALIDATSNETLTFLQLKAHVSSLAYALRHQFHVAKHDVVLIFAPNSIRFPISFLAIVSLGAIATTANPSFTFTEISKQVKDSNPKLIITIPQLYSKVSQFNVPLVFLQSSSSPNLSSAIPKVSFYSDIIKNYADHFLNSNNDVQQNDVAALMYSSGTTGTSKGVMLTHKNFIASTLNFTADQDRYMEGRSVCLCFLPMFHGFGSVLTLAQLRRGNVLVSMAKFGLDKVLGAIEKYKVTHMFVVPPVIVSLAKQWQMMNNKYDLSSLKQIICSAAPLSRDLIETCANILPHVEIFQGYGMTEACGKISMENPKEGLGFSGSTGSLMPLIQAKIVSVSTMNPLPPNQIGEIWIRGPTITPGDNQVWDPIMAL >KJB36451 pep chromosome:Graimondii2_0_v6:6:42272402:42276878:1 gene:B456_006G162900 transcript:KJB36451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICSFNPKSQTYTSPRPPLKLPTSPDLSLTSFIFQSTSSIPHHTALIDATSNETLTFLQLKAHVSSLAYALRHQFHVAKHDVVLIFAPNSIRFPISFLAIVSLGAIATTANPSFTFTEISKQVKDSNPKLIITIPQLYSKVSQFNVPLVFLQSSSSPNLSSAIPKVSFYSDIIKNYADHFLNSNNDVQQNDVAALMYSSGTTGTSKGVMLTHKNFIASTLNFTADQDRYMEGRSVCLCFLPMFHGFGSVLTLAQLRRGNVLVSMAKFGLDKVLGAIEKYKVTHMFVVPPVIVSLAKQWQMMNNKYDLSSLKQIICSAAPLSRDLIETCANILPHVEIFQGYGMTEACGKISMENPKEGLGFSGSTGSLMPLIQAKIVSVSTMNPLPPNQIGEIWIRGPTITPGYFNNPEATKLGIDEQGWLRTGDLGYFDEQGQLFVVDRIKELIKCYGFQVAPAELEGLLLSHPDITDAVVIPFPDVKAGEVPIAYVVRDPNSSLTEEDFKQFVANKVAHYKKLRRITFLESVPKSASGKILRRELIEKVRSKI >KJB33358 pep chromosome:Graimondii2_0_v6:6:20184847:20187455:-1 gene:B456_006G054900 transcript:KJB33358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIDQFQADLEALPNILQKKYALMRDLDKSLQEIVRQNEQRCEQEIEDIKRGLRAENIRFSDEALDEQKHGIRIADEKVALAIQTYDLVDSHIQQLDQYLKMSDEELRRERENAATASPVPSPNSTTKSGRSNESGRGGRKNYSNMKRF >KJB33359 pep chromosome:Graimondii2_0_v6:6:20185485:20187455:-1 gene:B456_006G054900 transcript:KJB33359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIDQFQADLEALPNILQKKYALMRDLDKSLQEIVRQNEQRCEQEIEDIKRGLRAENIRFSDEALDEQKHGIRIADEKVALAIQTYDLVDSHIQQLDQYLKMSDEELRRERENAATASPVPSPNSTTKSGRSNESGRGGRKKYVPILYKLIYGFLVGYLMVIRLAFYSNFLAFGKLVIAI >KJB33357 pep chromosome:Graimondii2_0_v6:6:20184775:20187517:-1 gene:B456_006G054900 transcript:KJB33357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIDQFQADLEALPNILQKKYALMRDLDKSLQEIVRQNEQRCEQEIEDIKRGLRAENIRFSDEALDEQKHGIRIADEKVALAIQTYDLVDSHIQQLDQYLKMSDEELRRERENAATASPVPSPNSTTKSGRSNESGRGGRKKTRLATAAAAAAAATEVAAAAAENPTGMELDLPVDPNEPTYCLCNQVSYGEMVACDNPNCKIEWFHFGCVGLKEQPRGKWYCPDCAALKNRRKGRSR >KJB38638 pep chromosome:Graimondii2_0_v6:6:50369337:50369939:1 gene:B456_006G264700 transcript:KJB38638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVAKLASQKAVVIFSKSSCCMCHAIKRLFYEQGVSPAIYELDEDARGKEMEWALMRLGCNPSVPAVFIGGRFIGSANTIMTLHLNGSLKNLLKNAGAIWL >KJB36928 pep chromosome:Graimondii2_0_v6:6:44074132:44077758:1 gene:B456_006G183200 transcript:KJB36928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFVSEPRPASYETLSNNVLKRERRARERQVREEEKKKVTTNKIPENQRQQVANDDTMDPTQFLSNRIKSLALLKESGVNPYPHKFDISMSITEFIDKYRSLYVGEHVENTEISLAGRILNKRSSSSKLYFYDLHGNGAKIQVMADARHSDMDENEFCKYHSGVKRGDIVGICGFPGKSQRGELSIFPRSLVVLTPCLHMLPRHAITSNSDEAQSKKTRNHSWTPGITRNPETYILRDQETRYRQRYLDLMLNSEVQKIFRTRAKIISYSRDFLDNLGFIEVETPAMTMTAGGAAARPFITHHNELDMKLYMRISPELYLKKLVVGGLDRVYEIGKVFRNEGMNLTHLPEFTMFEFYMAYADYNDLMDIIEKLLSGMVKELTGSHKIKYHGNGFDSEPVEIDFTPPFRRIDLIEELESRANLSIPKDLSSETANKFLLEACEKFDVKCPAPHTTTRLLDKLVGHFLEETCINPTFIINHPEIMSPLAKWHRSKPGLTERFELFVNKRELCNAYTELNDPQVQRQRFAEQLKDRQLGDDEAMVLDESFCSCLEYGLPPTAGLGMGIDRLAMLLTDSPNVKEVILFPAMKPQD >KJB36605 pep chromosome:Graimondii2_0_v6:6:42653156:42654804:1 gene:B456_006G166800 transcript:KJB36605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDVEVGGEFQAKDYHDPPPAPLVDGEELTKWSFYRAVIAEFIATLLFLYITVLTVIGYKSQVDPDKGSDECGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAIFYMAAQCLGAICGCGLVKAFQKSYYNKYGGGANSLADGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNQDKPWDDHWIFWVGPFIGAAIAAIYHQFILRAAAVKALGSFRSSSAM >KJB36607 pep chromosome:Graimondii2_0_v6:6:42653156:42654795:1 gene:B456_006G166800 transcript:KJB36607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDVEVGGEFQAKDYHDPPPAPLVDGEELTKWSFYRAVIAEFIATLLFLYITVLTVIGYKSQVDPDKGSDECGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAIFYMAAQCLGAICGCGLVKAFQKSYYNKYGGGANSLADGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNQDKPWDDHWIFWVGPFIGAAIAAIYHQFILRAAAVKALGSFRSSSAM >KJB36606 pep chromosome:Graimondii2_0_v6:6:42653258:42654030:1 gene:B456_006G166800 transcript:KJB36606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDVEVGGEFQAKDYHDPPPAPLVDGEELTKWSFYRAVIAEFIATLLFLYITVLTVIGYKSQVDPDKGSDECGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAIFYMAAQCLGAICGCGLVKAFQKSYYNKYGGGANSLADGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHIPVCVQ >KJB36839 pep chromosome:Graimondii2_0_v6:6:43644355:43649705:1 gene:B456_006G178900 transcript:KJB36839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEDTEQSYVPQKKKSESEDDKRRKKIVPGSLMKALMRPGGGESNPSDGDQIIYHCTVRTLDGVVVESTRSEHGGKGIPIRNVLGKSKMILGLLEGIPTMLKGEVAMFKMMPQMHYGEEDCPVPAPSSFPKEDDLHFEIELIDFSKAKVVSDDLGVLKKVIDEGQGWESPREPYEVKAWISAKTGDGKLIMSHTEGEPYFFTFGKCEVPKGLEMGIGTMTRKEKAVVYVTNQYLTPSPLLPMIEGYEEVHFEVELVHFIQVRDMLGDGRLIKRRLHDGKGDFPMDCPLHDSLLRVHYKGMLLNEEKTVFYDTRVDNQGEPLEFSSGEGMVPEGFEMCVRLMLPGEIALVTCPPDYAYDRFSRPPSVPEGAHVQWEIELLGFEMPKDWTGLNFQEIMDEAEKIRVTGNRLFKSGKFELAKAKYEKVLREFNHVNPQTDEEGKVFLDTRNSLHLNVAACFLKMGECRKSIDACNKVLDATPVHAKALYRRGMAYMAAGDFEEARSDFQMMIKVDKSSEPDATAALAKLKKQEQVK >KJB36841 pep chromosome:Graimondii2_0_v6:6:43643921:43650194:1 gene:B456_006G178900 transcript:KJB36841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEDTEQSYVPQKKKSESEDDKRRKKIVPGSLMKALMRPGGGESNPSDGDQIIYHCTVRTLDGVVVESTRSEHGGKGIPIRNVLGKSKMILGLLEGIPTMLKGEVAMFKMMPQMHYGEEDCPVPAPSSFPKEDDLHFEIELIDFSKAKVVSDDLGVLKKVIDEGQGWESPREPYEVKAWISAKTGDGKLIMSHTEGEPYFFTFGKCEVPKGLEMGIGTMTRKEKAVVYVTNQYLTPSPLLPMIEGYEEVHFEVELVHFIQVRDMLGDGRLIKRRLHDGKGDFPMDCPLHDSLLRVHYKGMLLNEEKTVFYDTRVDNQGEPLEFSSGEGMVPEGFEMCVRLMLPGEIALVTCPPDYAYDRFSRPPSVPEGAHVQWEIELLGFEMPKDWTGLNFQEIMDEAEKIRVTGNRLFKSGKFELAKAKYEKVLREFNHVNPQTDEEGKVFLDTRNSLHLNVAACFLKMGECRKSIDACNKVLDATPVHAKALYRRGMAYMAAGDFEEARSDFQMMIKVDKSSEPDATAALAKLKKQEQEVERKARKQFKGLFDKKPGEIAEVQVEDRAGREITGENQKNDLSAPLLERDDSQHFNEADGGQYGMGWFYSLWPPGRRTFSALGLDRCTIL >KJB36838 pep chromosome:Graimondii2_0_v6:6:43643982:43650194:1 gene:B456_006G178900 transcript:KJB36838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEDTEQSYVPQKKKSESEDDKRRKKIVPGSLMKALMRPGGGESNPSDGDQIIYHCTVRTLDGVVVESTRSEHGGKGIPIRNVLGKSKMILGLLEGIPTMLKGEVAMFKMMPQMHYGEEDCPVPAPSSFPKEDDLHFEIELIDFSKAKVVSDDLGVLKKVIDEGQGWESPREPYEVKAWISAKTGDGKLIMSHTEGEPYFFTFGKCEVPKGLEMGIGTMTRKEKAVVYVTNQYLTPSPLLPMIEGYEEVHFEVELVHFIQVRDMLGDGRLIKRRLHDGKGDFPMDCPLHDSLLRVHYKGMLLNEEKTVFYDTRVDNQGEPLEFSSGEGMVPEGFEMCVRLMLPGEIALVTCPPDYAYDRFSRPPSVPEGAHVQWEIELLGFEMPKDWTGLNFQEIMDEAEKIRVTGNRLFKSGKFELAKAKYEKVLREFNHVNPQTDEEGKVFLDTRNSLHLNVAACFLKMGECRKSIDACNKVLDATPVHAKALYRRGMAYMAAGDFEEARSDFQMMIKVDKSSEPDATAALAKLKKQEQEVERKARKQFKGLFDKKPGEIAEVQVEDRAGREITGENQKNDLSAPLLERDDSQHFNEADGGQYGMGWFYSLWPPGRRTFSALGLDRCTIL >KJB36840 pep chromosome:Graimondii2_0_v6:6:43643982:43650194:1 gene:B456_006G178900 transcript:KJB36840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEDTEQSYVPQKKKSESEDDKRRKKIVPGSLMKALMRPGGGESNPSDGDQIIYHCTVRTLDGVVVESTRSEHGGKGIPIRNVLGKSKMILGLLEGIPTMLKGEVAMFKMMPQMHYGEEDCPVPAPSSFPKEDDLHFEIELIDFSKAKVVSDDLGVLKKVIDEGQGWESPREPYEVKAWISAKTGDGKLIMSHTEGEPYFFTFGKCEVPKGLEMGIGTMTRKEKAVVYVTNQYLTPSPLLPMIEGYEEVHFEVELVHFIQVRDMLGDGRLIKRRLHDGKGDFPMDCPLHDSLLRVHYKGMLLNEEKTVFYDTRVDNQGEPLEFSSGEGMVPEGFEMCVRLMLPGEIALVTCPPDYAYDRFSRPPSVPEGAHVQWEIELLGFEMPKDWTGLNFQEIMDEAEKIRVTGNRLFKSGKFELAKAKYEKVVLREFNHVNPQTDEEGKVFLDTRNSLHLNVAACFLKMGECRKSIDACNKVLDATPVHAKALYRRGMAYMAAGDFEEARSDFQMMIKVDKSSEPDATAALAKLKKQEQEVERKARKQFKGLFDKKPGEIAEVQVEDRAGREITGENQKNDLSAPLLERDDSQHFNEADGGQYGMGWFYSLWPPGRRTFSALGLDRCTIL >KJB35008 pep chromosome:Graimondii2_0_v6:6:33371335:33371765:-1 gene:B456_006G095000 transcript:KJB35008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKSVGIKEISKKDSRWSYKLFDDLEQQEGENEENVTIEHEIRSRKSKRVANADTYTDTKDANDSNQTDEVALIHYSQQLDFQHDKIKGFMRAQRRKTISWELFQANVHSPFFFRQSRQTTLFSFDIFGPLKQISRN >KJB33785 pep chromosome:Graimondii2_0_v6:6:8281545:8286879:-1 gene:B456_006G032000 transcript:KJB33785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSFSSSSTILTLRNKNPNFLKSLRNGALNNRVVFTAQFPRAVSLRCYASSSGFDRVQVRNPVVEMDGDEMTRIIWNMIKDKLIFPYLDLDIKYFDLGILSRDATDDKVTVEAAEAALKYNVAIKCATITPDETRVKEFGLKSMWRSPNGTIRNILNGTVFREPILCKNIPRIVPGWKKPICIGRHAFGDQYRATDTIITGPGKLKMVFVPEGGDKPVELDVYNYKGPGIALSMYNVDESIRVFAESSMSLAFSKKWPLYLSTKNTILKKYDGRFKDIFQDVYEKNWKDKFEAHSIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLYQKGQETSTNSIASIFAWTRGLEHRAKLDKNERLLDFVHKLEAACIETVEAGKMTKDLAILIHGPKVSKEFYLTTGDFVDAVAINLERKLQQPTMC >KJB33784 pep chromosome:Graimondii2_0_v6:6:8280477:8286879:-1 gene:B456_006G032000 transcript:KJB33784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSFSSSSTILTLRNKNPNFLKSLRNGALNNRVVFTAQFPRAVSLRCYASSSGFDRVQVRNPVVEMDGDEMTRIIWNMIKDKLIFPYLDLDIKYFDLGILSRDATDDKVTVEAAEAALKYNVAIKCATITPDETRVKEFGLKSMWRSPNGTIRNILNGTVFREPILCKNIPRIVPGWKKPICIGRHAFGDQYRATDTIITGPGKLKMVFVPEGGDKPVELDVYNYKGPGIALSMYNVDESIRVFAESSMSLAFSKKWPLYLSTKNTILKKYDGRFKDIFQDVYEKNWKDKFEAHSIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLYQKGQETSTNSIASIFAWTRGLEHRAKLDKNERLLDFVHKLEAACIETVEAGKMTKDLAILIHGPKVSKEFYLTTGDFVDAVAINLERKLQQPTMC >KJB33783 pep chromosome:Graimondii2_0_v6:6:8280477:8286912:-1 gene:B456_006G032000 transcript:KJB33783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSFSSSSTILTLRNKNPNFLKSLRNGALNNRVVFTAQFPRAVSLRCYASSSGFDRVQVRNPVVEMDGDEMTRIIWNMIKDKLIFPYLDLDIKYFDLGILSRDATDDKVTVEAAEAALKYNVAIKCATITPDETRVKEFGLKSMWRSPNGTIRNILNGTVFREPILCKNIPRIVPGWKKPICIGRHAFGDQYRATDTIITGPGKLKMVFVPEGGDKPVELDVYNYKGPGIALSMYNVDESIRVFAESSMSLAFSKKWPLYLSTKNTILKKYDGRFKDIFQDVYEKNWKDKFEAHSIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLYQKGQETSTNSIASIFAWTRGLEHRAKLDKNERLLDFVHKLEAACIETVEAGKMTKDLAILIHGPKVSKEFYLTTGDFVDAVAINLERKLQQPTMC >KJB37333 pep chromosome:Graimondii2_0_v6:6:45709570:45711880:-1 gene:B456_006G200200 transcript:KJB37333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQYRAQQQAPVDEPEANPQGEDHVPDDEQQDENDKDSQPSEPKGDYLNVASRPFLMKILQKQGDSKVLFADKVLKFTASGKMKRRNLIITDFAVYIVDPETDGLKRRIALAAVDKMCLSDLNDNFLSIIIPTEYDLLMASTRKTEIATCLFEAIKTSAQYELEVSFSSSFEYNATADLVKEVQFEEVEGGVRTRILMKK >KJB33497 pep chromosome:Graimondii2_0_v6:6:3048046:3051195:-1 gene:B456_006G014000 transcript:KJB33497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARVITVSQDGNGDYRTVQEAIDTVPLCNTCRTIIRLSPGVYKQPVYVPKTKNLITLAGLRPELTVLTWNNTATKIQHHQGSRLIGTGTFGCGTVIVEGEDFIAENVTFENSSPEGSGQAVAIRVTADRCAFYNCKFLGWQDTLYLHHGRQYLKDCYIEGSVDFIFGNSTALLEHCHIHCKSAGFITAQSRKTSQESTGYVFLRSVRHYWQWRVFLFISRATMGTLWKGGLCLHIHGPMYQTCRLA >KJB33496 pep chromosome:Graimondii2_0_v6:6:3047997:3051195:-1 gene:B456_006G014000 transcript:KJB33496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARVITVSQDGNGDYRTVQEAIDTVPLCNTCRTIIRLSPGVYKQPVYVPKTKNLITLAGLRPELTVLTWNNTATKIQHHQGSRLIGTGTFGCGTVIVEGEDFIAENVTFENSSPEGSGQAVAIRVTADRCAFYNCKFLGWQDTLYLHHGRQYLKDCYIEGSVDFIFGNSTALLEHCHIHCKSAGFITAQSRKTSQESTGYVFLRCVITGNGGSSYSYLGRPWGPFGRVVFAYTYMDQCIKHVGWHNWGKAENERSACFYEYRCFGPGCCQSKRVTWCRELLEEEAEEFLMHGFIDPDPNRPWLAQRMALKIPYSA >KJB37998 pep chromosome:Graimondii2_0_v6:6:48102246:48107240:1 gene:B456_006G231700 transcript:KJB37998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAVQAGGQAVGSLITPAVEGGKGIFNCLRRKYAYVKNIRENFAKLEKEELYLSNEDADVKTKLERNKLTEEKTNRCETWLNEVENMKQEIKKLKVDYKNTRPYFCGLCPFPSLLKLGKRIVKKTTEVVELRNQRGQITIMHEKPPAPPVPVIEKHARKKSDVPSFDSHVETLAEWLEDENLKRICIWGPPGVGKTKIMGMLHDRVGKSGKFDIIFWVNVTAKGRIRDIQDEIWKRLDMKENGNYVAQQRANMISEKLKDKSYVLFLDLDDIVSEIDLREVGIHDENEHGKVVFACRYKNNNICGGTDEEMNVQGLSDKDAESFFWKMVGSDLNSNPDIKPVAKLIIKECGGMPLLIKLIGNRLANVDDPAIWRDLLSQLRSPTMEPQEPLEEVYKAFKLVYEKLSEDMKPCLLYWAVFPAGYEIFRDHIIDCWRAEQFFSSHRKFPRTRDRGHAILDEFVRKSLLEKGRKWGHFKMYEYFQRVALRIANLEKNLNFFVTEDENIIDEEWERARRVSLIRVRLSTLPKRPQCCGILTLLLQESSLTEFPREFFGYMDGLQVLNLHEIRITSLPPSICSLRNLKGLFINNCSQLVQLPSQIGDLQHLEILDIRQTSLYSLPVEIGELLNLKCLRVSFTEDVGNYNPVEAAKPMIPSKVIGRLSNLEELSIDVSHSSSRYQNAAEIISEISELAKLTTLCFFFPEMASFNSFIQNSKSWKGCNTLSAGSSFRSFNIVVGCRRNNRASEFRVFECSAEKHLRFYAGNEFPNAILEVLKQAKALELIGHQTATSLSGFRADNLQGVEACIVEECNQMENIIDRDQTSIAFQENDSIGVEFERLKSLYICNLPKLKRIWRGSIESKSLCGLTTLSLKGCNNIGKLFSEGMIIQLSQLEKLEVKDCRLLTEIIEDGSMVESHSFPKLKYLQLHDLPELCSISHISLECPSLETVLIKPCMKLRNLPHTIKNASKLKTIHCTEEWWNQQDWPNDTNKDDFKNFLSFI >KJB36700 pep chromosome:Graimondii2_0_v6:6:43110973:43112659:1 gene:B456_006G171900 transcript:KJB36700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTRVPLVFSSNRVAPAPAASLNHKCNCVASSSPLTRKLPHCSTPSSLSFNPFSSSFPSFSTRQNKGFRRTSVVVASMADLSTVLVTGAAGRTGQIVYKKLKERSDQFVVRGFVRTPESKETIGGADDVFVGDIRDTTSLVPAMQGIDALIILTSAVPRMKPGFDPTKGGRPEFYFEDGAYPEQVNHFHLLILRLLLLFSLVLH >KJB36701 pep chromosome:Graimondii2_0_v6:6:43110973:43113085:1 gene:B456_006G171900 transcript:KJB36701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTRVPLVFSSNRVAPAPAASLNHKCNCVASSSPLTRKLPHCSTPSSLSFNPFSSSFPSFSTRQNKGFRRTSVVVASMADLSTVLVTGAAGRTGQIVYKKLKERSDQFVVRGFVRTPESKETIGGADDVFVGDIRDTTSLVPAMQGIDALIILTSAVPRMKPGFDPTKGGRPEFYFEDGAYPEQVDWIGQKNQIDVAEEAGVKQIVLVGSMGGTNPNHPLNSLGNGNILVWKRKAEQYLADSGVPYTIIRAGGLQDRDGGIRELLVGKDDELLQTETKTIARPDVAEVCIQVSPFTYL >KJB36699 pep chromosome:Graimondii2_0_v6:6:43110971:43113732:1 gene:B456_006G171900 transcript:KJB36699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTRVPLVFSSNRVAPAPAASLNHKCNCVASSSPLTRKLPHCSTPSSLSFNPFSSSFPSFSTRQNKGFRRTSVVVASMADLSTVLVTGAAGRTGQIVYKKLKERSDQFVVRGFVRTPESKETIGGADDVFVGDIRDTTSLVPAMQGIDALIILTSAVPRMKPGFDPTKGGRPEFYFEDGAYPEQVDWIGQKNQIDVAEEAGVKQIVLVGSMGGTNPNHPLNSLGNGNILVWKRKAEQYLADSGVPYTIIRAGGLQDRDGGIRELLVGKDDELLQTETKTIARPDVAEVCIQALKFEEAKFKAFDLASKPEGVGNPTKDFKALFSQITTRF >KJB36703 pep chromosome:Graimondii2_0_v6:6:43110973:43113647:1 gene:B456_006G171900 transcript:KJB36703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTRVPLVFSSNRVAPAPAASLNHKCNCVASSSPLTRKLPHCSTPSSLSFNPFSSSFPSFSTRQNKGFRRTSVVVASMADLSTVLVTGAAGRTGQIVYKKLKERSDQFVVRGFVRTPESKETIGGADDVFVGDIRDTTSLVPAMQGIDALIILTSAVPRMKPGFDPTKGGRPEFYFEDGAYPEQVWKRKAEQYLADSGVPYTIIRAGGLQDRDGGIRELLVGKDDELLQTETKTIARPDVAEVCIQALKFEEAKFKAFDLASKPEGVGNPTKDFKALFSQITTRF >KJB36702 pep chromosome:Graimondii2_0_v6:6:43111159:43112923:1 gene:B456_006G171900 transcript:KJB36702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTRVPLVFSSNRVAPAPAASLNHKCNCVASSSPLTRKLPHCSTPSSLSFNPFSSSFPSFSTRQNKGFRRTSVVVASMADLSTVLVTGAAGRTGQIVYKKLKERSDQFVVRGFVRTPESKETIGGADDVFVGDIRDTTSLVPAMQGIDALIILTSAVPRMKPGFDPTKGGRPEFYFEDGAYPEQVDWIGQKNQIDVAEEAGVKQIVLVGSMGGTNPNHPLNSLGNGNILVWKRKAEQYLADSGVPYTIIS >KJB38538 pep chromosome:Graimondii2_0_v6:6:50069585:50073121:-1 gene:B456_006G259700 transcript:KJB38538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSGKSYMSTASSSVLWKAGGDVVADQFPAGLRVLLVDDDPTCLMILEKMLKACQYNVTKCNRAETALSMLRENRNGFDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKNVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKNEWKDFEQSGSVEEGDRQPKQSEDADYSSSANEGNWKSSKRRKDDEDENDERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIEKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHPSNLNNSFMNPQDATFGPLDLQALAATGQLPAQSLATLQAAGLGRSTAKSGIPMPLIDQRNIFSFENPKLRFGEAQQQHVNNNKQTNLLHGIPTTMEPKQLAGLHHTAQSMGNMNMPVLSHGSQSSQNNPLLMQMAQPQARGQMLNDSTVGLAPRLLSPRGQSMLSNGMATNVSTRNGVPENIRAPSYNPVSQTSSMFNFPMNHTSELPGNSFPLVSTPGISSLAPKVAFQEDVNSEIKGSVGFMPSYDIFNDLNQHKPQNWELQNVGMMFNSSRPSNSLQGNLDHTQSILVQQGFLSGQNRNSTVISKAMFSAGDSTGHVNAQNSNQHLNSLLDNTVRVKFERVADAAPANLYPDHFGQEDLMSALLKQQDIIAPAENNDFDFDGYSIDNIPV >KJB38539 pep chromosome:Graimondii2_0_v6:6:50068264:50073057:-1 gene:B456_006G259700 transcript:KJB38539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSGKSYMSTASSSVLWKAGGDVVADQFPAGLRVLLVDDDPTCLMILEKMLKACQYNVTKCNRAETALSMLRENRNGFDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKNVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKNEWKDFEQSGSVEEGDRQPKQSEDADYSSSANEGNWKSSKRRKDDEDENDERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIEKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHPSNLNNSFMNPQDATFGPLDLQALAATGQLPAQSLATLQAAGLGRSTAKSGIPMPLIDQRNIFSFENPKLRFGEAQQQHVNNNKQTNLLHGIPTTMEPKQLAGLHHTAQSMGNMNMPVLSHGSQSSQNNPLLMQMAQPQARGQMLNDSTVGLAPRLLSPRGQSMLSNGMATNVSTRNGVPENIRAPSYNPVSQTSSMFNFPMNHTSELPGNSFPLVSTPGISSLAPKVAFQEDVNSEIKGSVGFMPSYDIFNDLNQHKPQNWELQNVGMMFNSSRPSNSLQGNLDHTQSILVQQGFLSGQNRNSTVISKAMFSAGDSTGHVNAQNSNQHLNSLLDNTVRVKFERVADAAPANLYPDHFGQEDLMSALLKQQDIIAPAENNDFDFDGYSIDNIPV >KJB38540 pep chromosome:Graimondii2_0_v6:6:50069592:50073121:-1 gene:B456_006G259700 transcript:KJB38540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSGKSYMSTASSSVLWKAGGDVVADQFPAGLRVLLVDDDPTCLMILEKMLKACQYNVTKCNRAETALSMLRENRNGFDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKNVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKNEWKDFEQSGSVEEGDRQPKQSEDADYSSSANEGNWKSSKRRKDDEDENDERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIEKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHPSNLNNSFMNPQDATFGPLDLQALAATGQLPAQSLATLQAAGLGRSTAKSGIPMPLIDQRNIFSFENPKLRFGEAQQQHVNNNKQTNLLHGIPTTMEPKQLAGLHHTAQSMGNMNMPVLSHGSQSSQNNPLLMQMAQPQARGQMLNDSTVGLAPRLLSPRGQSMLSNGMATNVSTRNGVPENIRAPSYNPVSQTSSMFNFPMNHTSELPGNSFPLVSTPGISSLAPKVAFQEDVNSEIKGSVGFMPSYDIFNDLNQHKPQNWELQNVGMMFNSSRPSNSLQGNLDHTQSILVQQGFLSGQNRNSTVISKAMFSAGDSTGHVNAQNSNQHLNSLLDNTVRVKFERVADAAPANLYPDHFGQEDLMSALLKQQDIIAPAENNDFDFDGYSIDNIPV >KJB36175 pep chromosome:Graimondii2_0_v6:6:40306757:40310080:-1 gene:B456_006G144900 transcript:KJB36175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLAPLSEEPISEDDSANCSKKGLSWKNWLKTHLSLVFNKKSDLKILLSVLGCPLFPVSALSEPPINEVSSSAQYIIQHFTAATGCRKSEGTVKNIFVTGKVTMAMVDELGATVGSVAGAAGVAQKGCFVMWQMVPNKWLIELVVGGHKVIAGSDGNVAWRRTPWLGAHAAKGGVRPLRRALQGLDPMAISAVFSSAQYMGEKRISSTDCFVLKLSADQVDLADRSDSTAEMIKHVIFGYFSQRSGLLVFIEDSYLTRIQSPGTYPTYWETTMATKIEDYRLVEGVMVAHSGQSNVIITRFGDNLKAGLSVTRMEETWTIDDVAFNVPGLSLDCFIPPKEVQKDFPDENLDWRSPLHR >KJB36176 pep chromosome:Graimondii2_0_v6:6:40307112:40309004:-1 gene:B456_006G144900 transcript:KJB36176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVDELGATVGSVAGAAGVAQKGCFVMWQMVPNKWLIELVVGGHKVIAGSDGNVAWRRTPWLGAHAAKGGVRPLRRALQGLDPMAISAVFSSAQYMGEKRISSTDCFVLKLSADQVDLADRSDSTAEMIKHVIFGYFSQRSGLLVFIEDSYLTRIQSPGTYPTYWETTMATKIEDYRLVEGVMVAHSGQSNVIITRFGDNLKAGLSVTRMEETWTIDDVAFNVPGLSLDCFIPPKEVQKDFPDENLDWRSPLHR >KJB36174 pep chromosome:Graimondii2_0_v6:6:40307371:40309433:-1 gene:B456_006G144900 transcript:KJB36174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASKCPLASHHESIQKEKKVSSSAQYIIQHFTAATGCRKSEGTVKNIFVTGKVTMAMVDELGATVGSVAGAAGVAQKGCFVMWQMVPNKWLIELVVGGHKVIAGSDGNVAWRRTPWLGAHAAKGGVRPLRRALQGLDPMAISAVFSSAQYMGEKRISSTDCFVLKLSADQVDLADRSDSTAEMIKHVIFGYFSQRSGLLVFIEDSYLTRIQSPGTYPTYWETTMATKIEDYRLVEGVMVAHSGQSNVIITRFGDNLKAGLSVTRMEETWTIDDVAFNVPGLSLDCFIPPKEVQKDFPDENLDWRSPLHR >KJB34454 pep chromosome:Graimondii2_0_v6:6:29032030:29034257:-1 gene:B456_006G072300 transcript:KJB34454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAEHSKSTYLELQRKKVFRYVIFKIDEKKKEVIVEKTGGPTESYDDFAASLPESDCRYAVYDFDFVTSENCQKSKIFFIAWSPSGSRIRSKMLYATSKDRFRRELEGIHYEIQATDPTEMDLEVIRERAH >KJB34452 pep chromosome:Graimondii2_0_v6:6:29023781:29034263:-1 gene:B456_006G072300 transcript:KJB34452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGGNASSGMGVAEHSKSTYLELQRKKVFRYVIFKIDEKKKEVIVEKTGGPTESYDDFAASLPESDCRYAVYDFDFVTSENCQKSKIFFIAWSPSASRIRFKMLCATSNDRFRRELKAIHYEIQAIDPTEMDLEVIRERAH >KJB34455 pep chromosome:Graimondii2_0_v6:6:29032030:29033302:-1 gene:B456_006G072300 transcript:KJB34455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAEHSKSTYLELQRKKVFRYVIFKIDEKKKEVIVEKTGGPTESYDDFAASLPESDCRYAVYDFDFVTSENCQKSKIFFIAWSPSGSRIRSKMLYATSKDRFRRELEGIHYEIQATDPTEMDLEVIRERAH >KJB34453 pep chromosome:Graimondii2_0_v6:6:29031992:29034266:-1 gene:B456_006G072300 transcript:KJB34453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGGNASSGMGVAEHSKSTYLELQRKKVFRYVIFKIDEKKKEVIVEKTGGPTESYDDFAASLPESDCRYAVYDFDFVTSENCQKSKIFFIAWSPSGSRIRSKMLYATSKDRFRRELEGIHYEIQATDPTEMDLEVIRERAH >KJB36524 pep chromosome:Graimondii2_0_v6:6:42412170:42412587:1 gene:B456_006G163800 transcript:KJB36524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESRRGLMMFRPFFQKLRKGFSSSAYSASPALNHSKFDEDISVGKVVPADREETQRFLIELDHLTNPEFLSLLDQAREEYGFHQKGVLTLPCRPQKLQEILRHSKGSNACTKVGDICKANILESN >KJB37777 pep chromosome:Graimondii2_0_v6:6:47258911:47266640:-1 gene:B456_006G220000 transcript:KJB37777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMDIDSLVDIPDTPDRLSSRRMHGGHRVGNLYAADHVGGSNSASLDRLRGRDRVVAENGQNRKRYRHPQKLSGGVDETEHRKNTIVLSPLEKARENAPLSRKTTMERSRNSIREQYMDKGKAPFSKLPSKSSGFGKDHAMVNLTGRNMHKPEMEFPQGGSENCSAGGKNEGNVPRNGGSYIYNSSSNSATSRNNCKGKEKINDIGFEGVGSVTDHAKGVDLSCGSPLRLDKQLPGYQNVVSPRATVKRRLVRNGCISPQNIAIRAKQFNEKSQNSFKSEQNSGNAVSNNPSVDISEIIAGDNNYGKGKGVAQPLVSMDQDINCISLSGSAVNNGEASGTNGDSIRDECFEEKGGWRSAHNRSKNAEHAASHRFSRFKNVGRHVSQQNENKVVKRNNASRGSNRIPSGCLENCDATETAPVIFSKFNQKSEPSHAENLLSKRQTKHVLSSGNSGESSRVIPNDTDIMFLGSSWGSSSLGSSRINTGHHLNVLDLDELSEMRGTNVDANHGDCVNDEESEARARQVEADEVLARELQEQLYHEVSIYGNNEIDENIARALQQEEDTFPSTSNCSIHEPQQRGPTRQSRTQSSVRTSQNSSNRRGVWRGSTRQSRTRSPLRTSQNSSNRRGVRARFSSSARVPRLRNQVLNQRRAVPSRTRNFHFPLDMDLDMRLDILEAMEAAIGDDDDDIAGHIFRVHNDFNDGDYERFLTLDNNNHQHTGAFLHQINSLPLSKVQIDNFEEVCAICLETPANGETIRHLPCLHKFHKDCIDPWLSRKTSCPVCKSSIN >KJB37774 pep chromosome:Graimondii2_0_v6:6:47258956:47265390:-1 gene:B456_006G220000 transcript:KJB37774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMDIDSLVDIPDTPDRLSSRRMHGGHRVGNLYAADHVGGSNSASLDRLRGRDRVVAENGQNRKRYRHPQKLSGGVDETEHRKNTIVLSPLEKARENAPLSRKTTMERSRNSIREQYMDKGKAPFSKLPSKSSGFGKDHAMVNLTGRNMHKPEMEFPQGGSENCSAGGKNEGNVPRNGGSYIYNSSSNSATSRNNCKGKEKINDIGFEGVGSVTDHAKGVDLSCGSPLRLDKQLPGYQNVVSPRATVKRRLVRNGCISPQNIAIRAKQFNEKSQNSFKSEQNSGNAVSNNPSVDISEIIAGDNNYGKGKGVAQPLVSMDQDINCISLSGSAVNNGEASGTNGDSIRDECFEEKGGWRSAHNRSKNAEHAASHRFSRFKNVGRHVSQQNENKVVKRNNASRGSNRIPSGCLENCDATETAPVIFSKFNQKSEPSHAENLLSKRQTKHVLSSGNSGESSRVIPNDTDIMFLGSSWGSSSLGSSRINTGHHLNVLDLDELSEMRGTNVDANHGDCVNDEESEARARQVEADEVLARELQEQLYHEVSIYGNNEIDENIARALQQEEDTFPSTSNCSIHEPQQRGPTRQSRTQSSVRTSQNSSNRRGVWRGSTRQSRTRSPLRTSQNSSNRRGVRARFSSSARVPRLRNQVLNQRRAVPSRTRNFHFPLDMDLDMRLDILEAMEAAIGDDDDDIAGHIFRVHNDFNDGDYERFLTLDNNNHQHTGAFLHQINSLPLSKVQIDNFEEVCAICLETPANGETIRHLPCLHKFHKDCIDPWLSRKTSCPVCKSSIN >KJB37773 pep chromosome:Graimondii2_0_v6:6:47257925:47266743:-1 gene:B456_006G220000 transcript:KJB37773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMDIDSLVDIPDTPDRLSSRRMHGGHRVGNLYAADHVGGSNSASLDRLRGRDRVVAENGQNRKRYRHPQKLSGGVDETEHRKNTIVLSPLEKARENAPLSRKTTMERSRNSIREQYMDKGKAPFSKLPSKSSGFGKDHAMVNLTGRNMHKPEMEFPQGGSENCSAGGKNEGNVPRNGGSYIYNSSSNSATSRNNCKGKEKINDIGFEGVGSVTDHAKGVDLSCGSPLRLDKQLPGYQNVVSPRATVKRRLVRNGCISPQNIAIRAKQFNEKSQNSFKSEQNSGNAVSNNPSVDISEIIAGDNNYGKGKGVAQPLVSMDQDINCISLSGSAVNNGEASGTNGDSIRDECFEEKGGWRSAHNRSKNAEHAASHRFSRFKNVGRHVSQQNENKVVKRNNASRGSNRIPSGCLENCDATETAPVIFSKFNQKSEPSHAENLLSKRQTKHVLSSGNSGESSRVIPNDTDIMFLGSSWGSSSLGSSRINTGHHLNVLDLDELSEMRGTNVDANHGDCVNDEESEARARQVEADEVLARELQEQLYHEVSIYGNNEIDENIARALQQEEDTFPSTSNCSIHEPQQRGPTRQSRTQSSVRTSQNSSNRRGRGSTRQSRTRSPLRTSQNSSNRRGVRARFSSSARVPRLRNQVLNQRRAVPSRTRNFHFPLDMDLDMRLDILEAMEAAIGDDDDDIAGHIFRVHNDFNDGDYERFLTLDNNNHQHTGAFLHQINSLPLSKVQIDNFEEVCAICLETPANGETIRHLPCLHKFHKDCIDPWLSRKTSCPVCKSSIN >KJB37776 pep chromosome:Graimondii2_0_v6:6:47258911:47265490:-1 gene:B456_006G220000 transcript:KJB37776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFSQKMEDMDIDSLVDIPDTPDRLSSRRMHGGHRVGNLYAADHVGGSNSASLDRLRGRDRVVAENGQNRKRYRHPQKLSGGVDETEHRKNTIVLSPLEKARENAPLSRKTTMERSRNSIREQYMDKGKAPFSKLPSKSSGFGKDHAMVNLTGRNMHKPEMEFPQGGSENCSAGGKNEGNVPRNGGSYIYNSSSNSATSRNNCKGKEKINDIGFEGVGSVTDHAKGVDLSCGSPLRLDKQLPGYQNVVSPRATVKRRLVRNGCISPQNIAIRAKQFNEKSQNSFKSEQNSGNAVSNNPSVDISEIIAGDNNYGKGKGVAQPLVSMDQDINCISLSGSAVNNGEASGTNGDSIRDECFEEKGGWRSAHNRSKNAEHAASHRFSRFKNVGRHVSQQNENKVVKRNNASRGSNRIPSGCLENCDATETAPVIFSKFNQKSEPSHAENLLSKRQTKHVLSSGNSGESSRVIPNDTDIMFLGSSWGSSSLGSSRINTGHHLNVLDLDELSEMRGTNVDANHGDCVNDEESEARARQVEADEVLARELQEQLYHEVSIYGNNEIDENIARALQQEEDTFPSTSNCSIHEPQQRGPTRQSRTQSSVRTSQNSSNRRGRGSTRQSRTRSPLRTSQNSSNRRGVRARFSSSARVPRLRNQVLNQRRAVPSRTRNFHFPLDMDLDMRLDILEAMEAAIGDDDDDIAGHIFRVHNDFNDGDYERFLTLDNNNHQHTGAFLHQINSLPLSKVQIDNFEEVCAICLETPANGETIRHLPCLHKFHKDCIDPWLSRKTSCPVCKSSIN >KJB37775 pep chromosome:Graimondii2_0_v6:6:47258584:47266743:-1 gene:B456_006G220000 transcript:KJB37775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMDIDSLVDIPDTPDRLSSRRMHGGHRVGNLYAADHVGGSNSASLDRLRGRDRVVAENGQNRKRYRHPQKLSGGVDETEHRKNTIVLSPLEKARENAPLSRKTTMERSRNSIREQYMDKGKAPFSKLPSKSSGFGKDHAMVNLTGRNMHKPEMEFPQGGSENCSAGGKNEGNVPRNGGSYIYNSSSNSATSRNNCKGKEKINDIGFEGVGSVTDHAKGVDLSCGSPLRLDKQLPGYQNVVSPRATVKRRLVRNGCISPQNIAIRAKQFNEKSQNSFKSEQNSGNAVSNNPSVDISEIIAGDNNYGKGKGVAQPLVSMDQDINCISLSGSAVNNGEASGTNGDSIRDECFEEKGGWRSAHNRSKNAEHAASHRFSRFKNVGRHVSQQNENKVVKRNNASRGSNRIPSGCLENCDATETAPVIFSKFNQKSEPSHAENLLSKRQTKHVLSSGNSGESSRVIPNDTDIMFLGSSWGSSSLGSSRINTGHHLNVLDLDELSEMRGTNVDANHGDCVNDEESEARARQVEADEVLARELQEQLYHEVSIYGNNEIDENIARALQQEEDTFPSTSNCSIHEPQQRGPTRQSRTQSSVRTSQNSSNRRGRGSTRQSRTRSPLRTSQNSSNRRGVRARFSSSARVPRLRNQVLNQRRAVPSRTRNFHFPLDMDLDMRLDILEAMEAAIGDDDDDIAGHIFRVHNDFNDGDYERFLTLDNNNHQHTGAFLHQINSLPLSKVQIDNFEEVCAICLETPANGETIRHLPCLHKFHKDCIDPWLSRKTSCPVCKSSIN >KJB34735 pep chromosome:Graimondii2_0_v6:6:30868550:30872170:1 gene:B456_006G081100 transcript:KJB34735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETESVTRDKLSLADTEIDWARLDKTRFHVIGAVLFTVQQALIHPTAVVKTRMQVADSRLAHMPGMVVFKDILRNDGIPGVFRGFGTSAIGSLPGRVLALTSLEMSKDMMLKYTQGLNMPETTRIGVANGVAGMFSSLISSLYFVPLEVICQRLIVQGLPGATFYIDPFDVARKVIKAEGFCGLYRGFGLTALTNSPAVALWWGVYGAAQRIIWRSLGYRDDSEKKPSHMEMMAVQATAGMVAGACSSVITTPIDTVKTRLQVIDDYGVARPSVLKTTKILLKEDGWWGFYRGFGPRFLNMSLYGTTMIVTYELIKRLSIKHI >KJB35600 pep chromosome:Graimondii2_0_v6:6:37243842:37249916:-1 gene:B456_006G121700 transcript:KJB35600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLVGAFKPPCNIFISFTDGRSRKQVPLKKENGKTVMVALFQSQENIVGEVVIEPIHGKKVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGELYERKTYPFEFSTVEMPYESYNGVNVRLRYILKVTISRNYVSNIVEYQDFVVRNYTPPPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKLKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRNLEAS >KJB35602 pep chromosome:Graimondii2_0_v6:6:37243842:37249943:-1 gene:B456_006G121700 transcript:KJB35602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLVGAFKPPCNIFISFTDGRSRKQVPLKKENGKTVMVALFQSQENIVGEVVVIEPIHGKKVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGELYERKTYPFEFSTVEMPYESYNGVNVRLRYILKVTISRNYVSNIVEYQDFVVRNYTPPPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKLKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRNLEAS >KJB35599 pep chromosome:Graimondii2_0_v6:6:37243842:37249854:-1 gene:B456_006G121700 transcript:KJB35599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLVGAFKPPCNIFISFTDGRSRKQVPLKKENGKTVMVALFQSQENIVGEVVIEPIHGKKVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGELYERKTYPFEFSTVEMPYESYNGVNVRLRYILKVTISRNYVSNIVEYQDFVVRNYTPPPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKLKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRNLEAS >KJB35603 pep chromosome:Graimondii2_0_v6:6:37244405:37249552:-1 gene:B456_006G121700 transcript:KJB35603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLVGAFKPPCNIFISFTDGRSRKQVPLKKENGKTVMVALFQSQENIVGEVVIEPIHGKKVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGELYERKTYPFEFSTVEMPYESYNGVNVRLRYILKVTISRNYVSNIVEYQDFVVRNYTPPPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKLKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRNLEAS >KJB35601 pep chromosome:Graimondii2_0_v6:6:37243842:37250078:-1 gene:B456_006G121700 transcript:KJB35601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLVGAFKPPCNIFISFTDGRSRKQVPLKKENGKTVMVALFQSQENIVGEVVIEPIHGKKVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGELYERKTYPFEFSTVEMPYESYNGVNVRLRYILKVTISRNYVSNIVEYQDFVVRNYTPPPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKLKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRNLEAS >KJB33580 pep chromosome:Graimondii2_0_v6:6:4896417:4897094:-1 gene:B456_006G019400 transcript:KJB33580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFVPGLKRKYPFHEYISTLLIVVGLILFTLADAQTSPTFSIIGVIMISDALVMDAFLDNFQEVIFTMNLETTQMEMLFCSTIVGIPFLLVPMVLTVELFKAWSSCSQHPYVYGVLVFEAVATFIGQVSVLSLIAIFGAATTAMVTTARKAVTLLLSYMIFTKPLTECHGLGLLLISMGIILRMLPDTKPSPRVQVSNVNVKKSKAFSKELESVVDEQDEEKKP >KJB36057 pep chromosome:Graimondii2_0_v6:6:39537121:39540093:1 gene:B456_006G138700 transcript:KJB36057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHPYSSFFSGWYKFNPLQNHPSPNPPPQHNPNFFTQNLYNTTTIFMRNDPTNTSSIFHYQTSCSSSPPSPPVRKELPLLSLTPTPTRCEKEEEEHDDGVEDQDQDLYICNGMSKGKEGGGLVLSSGAAEDEDEDETATVALHIGLPSPTASELASVLSSSSSFSEMRDKDGDEYPVNTLSKGQYWIPTPSQILIGPTQFSCPVCCKTFNRYNNMQMHMWGHGSEYRKGPESLKGSQPTGMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKTFAVRGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIKAFGNGHAAYDITISGLEEDDDPASEVEQDNDSMQ >KJB37202 pep chromosome:Graimondii2_0_v6:6:45078902:45085159:-1 gene:B456_006G194100 transcript:KJB37202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQPFISTPSISISIFTLLLFIIFLLRVSYTQDEPSLSQCFNGFDCGSVKALTFPFWKEDSPEYCRQEGFQVIECEADHQPVIRIGETKFRLVSVDQPLYRMTIASEDLWDQICSSDPSRITNVTLGYSFLRYSDKNHNLTFFYNCKSSFPAPTASGHFRCGQELVPVYADDLVGRGSYEQFFRSCQGGPIQVQVNESAFQQLQIRGPGNVDSEDWKLGFDVFYPLADLFCEKCKLQKGLCENKASSRYPLCKHPGLGSAATALLITAGILFFRTKISTTFHWKFRNRDQDVEAFLKNNGGLVPKRYSYSDIKKMTSSFKEKLGKGGYGSVYKGKLPDGRLVAVKLLNTSKGNGQEFINEVASISRTSHVNIVTLLGLCLEGGKRALIYEFMPNGSLEKFIYGQNISEDNRQHLTVQDLYQIAIGIARGLEYLHLGCNTRILHFDIKPHNILLDDQFCPKISDFGLAKLCGRTDSMVSMLEARGTIGYIAPEVFCRNIGFVSHKSDVYSYGMMVLEMGGGRKNLDVGVHHTSEIYFPQWIYEHIEQGNIEPELLGLVSKEETEIARKMIVVGLWCIQTNPKDRPSISKVKEMLESTQPLQIPPKP >KJB37201 pep chromosome:Graimondii2_0_v6:6:45078785:45085329:-1 gene:B456_006G194100 transcript:KJB37201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQPFISTPSISISIFTLLLFIIFLLRVSYTQDEPSLSQCFNGFDCGSVKALTFPFWKEDSPEYCRQEGFQVIECEADHQPVIRIGETKFRLVSVDQPLYRMTIASEDLWDQICSSDPSRITNVTLGYSFLRYSDKNHNLTFFYNCKSSFPAPTASGHFRCGQELVPVYADDLVGRGSYEQFFRSCQGGPIQVQVNESAFQQLQIRGPGNVDSEDWKLGFDVFYPLADLFCEKCKLQKGLCENKASSRYPLCKHPGSNLLIKLAIGLGSAATALLITAGILFFRTKISTTFHWKFRNRDQDVEAFLKNNGGLVPKRYSYSDIKKMTSSFKEKLGKGGYGSVYKGKLPDGRLVAVKLLNTSKGNGQEFINEVASISRTSHVNIVTLLGLCLEGGKRALIYEFMPNGSLEKFIYGQNISEDNRQHLTVQDLYQIAIGIARGLEYLHLGCNTRILHFDIKPHNILLDDQFCPKISDFGLAKLCGRTDSMVSMLEARGTIGYIAPEVFCRNIGFVSHKSDVYSYGMMVLEMGGGRKNLDVGVHHTSEIYFPQWIYEHIEQGNIEPELLGLVSKEETEIARKMIVVGLWCIQTNPKDRPSISKVKEMLESTQPLQIPPKP >KJB38692 pep chromosome:Graimondii2_0_v6:6:50653440:50656271:1 gene:B456_006G268500 transcript:KJB38692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTPSIFTVLVSWSVREFASCFLARRFPEEKPAEMNPSPSLKHPMGHIVAETKDNTGDRKISDKNRKRRNHSRTISKSIVSVDNESENSSWICLPDEEYIVFCFKEDGAFDVMIESNRSERSSWRVNRKIDSTEDAERDELSSDEGRSNEDASEGEANATKELGDEKRGSICWEVELVSASLKRSEESRDSCQSDGSTGSFTFPVLRWESMGSPVRMPMPASEGINKRKNKTHCVPMPTLEGISARKLTIRI >KJB35229 pep chromosome:Graimondii2_0_v6:6:34823611:34825083:1 gene:B456_006G105600 transcript:KJB35229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >KJB37783 pep chromosome:Graimondii2_0_v6:6:47213911:47216424:-1 gene:B456_006G219800 transcript:KJB37783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRLKQELIMNSFKTIDGRGVNVHIANGACITIQYVTNVIIHGLHIHDCKPTGNAMVRSSPSHFGWRTMADGDAISIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITISNNHMTHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYAAPTNPFAKEVTKRVDTAEGQWKSWNWRSEGDLLLNGAYFTPSGAGASASYARASSLGAKSSSMVGAMTSNAGALPCRRGKQC >KJB37786 pep chromosome:Graimondii2_0_v6:6:47214802:47218712:-1 gene:B456_006G219800 transcript:KJB37786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSNNWAFASLALLFLLLVAAMATVRTGNVSSNVETEKVQSSKNSTMAARSEEVEPLNEHAVPDPEAVAAEVESIIDMNIRNVTERRKLGFFTCGTGNPIDDCWRCDPNWQKNRKRLADCGIGFGRNAIGGRDGRFYVVTDPNDDDPVNPKPGTLRHAVIQDEPLWIVFKRDMVIRLKQELIMNSFKTIDGRGVNVHIANGACITIQYVTNVIIHGLHIHDCKPTGNAMVRSSPSHFGWRTMADGDAISIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITISNNHMTHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYAAPTNPFAKEVTKRVDTAEGQWKSWNWRSEGDLLLNGAYFTPSGAGASASYARASSLGAKSSSMVGAMTSNAGALPCRRGKQC >KJB37784 pep chromosome:Graimondii2_0_v6:6:47215255:47218712:-1 gene:B456_006G219800 transcript:KJB37784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSNNWAFASLALLFLLLVAAMATVRTGNVSSNVETEKVQSSKNSTMAARSEEVEPLNEHAVPDPEAVAAEVESIIDMNIRNVTERRKLGFFTCGTGNPIDDCWRCDPNWQKNRKRLADCGIGFGRNAIGGRDGRFYVVTDPNDDDPVNPKPGTLRHAVIQDEPLWIVFKRDMVIRLKQELIMNSFKTIDGRGVNVHIANGACITIQYVTNVIIHGLHIHDCKPTGNAMVRSSPSHFGWRTMADGDAISIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITISNNHMTHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPR >KJB37785 pep chromosome:Graimondii2_0_v6:6:47213911:47218973:-1 gene:B456_006G219800 transcript:KJB37785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSNNWAFASLALLFLLLVAAMATVRTGNVSSNVETEKVQSSKNSTMAARSEEVEPLNEHAVPDPEAVAAEVESIIDMNIRNVTERRKLGFFTCGTGNPIDDCWRCDPNWQKNRKRLADCGIGFGRNAIGGRDGRFYVVTDPNDDDPVNPKPGTLRHAVIQDEPLWIVFKRDMVIRLKQELIMNSFKTIDGRGVNVHIANGACITIQYVTNVIIHGLHIHDCKPTGNAMVRSSPSHFGWRTMADGDAISIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITISNNHMTHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYAAPTNPFAKETCY >KJB37513 pep chromosome:Graimondii2_0_v6:6:46320934:46323194:-1 gene:B456_006G208100 transcript:KJB37513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFRQIGEVLGSLRTLMVLQGEFQVNRRQCCLLFDIFCLAFNVIAEEIRLNLKIEEKNIKWSPIDNPLRELQKIFKEGELYVKQCMDKKDWWVKAINLHQNKDSVELHIHNLLCHFPAFIEAIEMAGEIAGLDQYEIQRRRVTLTRKYDAEWNDPKLFQFRFGNQYLIPREICSRFESAWREDRWNLVEALREKKASESVTKNQQRLASLLIRKIIGSEASNGELFPSSILYCGDYQVRRRLWGQYKEIQWLGDNFVLRNFFGDVEPLYSEISKLLSLTHPNILQYLCGFYDEEKKEVLLVLELMNKDLGSYMKENYGSRRRILFPLHVVVDLMLQIARGMEYLHSKKIYHGELSASNIFLKARNNVEGCFHLKISGYGLSDVKPRSSPNSSPRMCEPKPFIWYAPEVMIEQEQSSNGSSILKYSEKADVYSFGMLCFELLAGKQPFEGHVEKMSRNILAGERPLFPYTVPKYLVNLTKKCWHTDPNQRPSFSSICRILRYIKKFLVMNPDHDYDQPEVQCPIEDYCEIETWFARKFTANETFNPLSVAQIPFQMFAYRLVEKDRTIMNTKDKNGELTIEGASTCRDDIVSIIEDPLTATSDTKSVGSDVKSRGSDTKSVYSDVRSVYSEVPERRTIRFRSPPKRLVSTKTPEKRVVMTKKNINVKAKKSSGAINGQSTRSSTLNRVHSTGVIRENRSSFSTGSFNRGRQQTSGHTSD >KJB34754 pep chromosome:Graimondii2_0_v6:6:31044712:31046253:-1 gene:B456_006G081800 transcript:KJB34754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLAENFLTILAIFCLFYILFYISKHGKGKGKALLGPRPLPIIGNLHMLSMLPHESLYHLAKKHGAIMSIRLGSVPAVVVSSPEVAEIFLKTHDVVFASRPKFQVLQSIYNGKMGIAFTEYGPYWRSLTKFCNQQLFTASKIESFAPSRKEVLTHFIESLKEAAAAKEVVNISKKVGNLNAKMILNMILGPVKKYEEFNLKEIIEELLYMVGVFNLADFVPFLGAFDLQDDFISTMLTELNKQINPNGDIMDRNCIKAITIDMIVASLDTSTAPLEWALLELIKHPRVMLKLQKVLERIIKKRMLDMVLKETLRLYLVTPMLLPRESRIIVNVWAIGRDPNVWSNNVEEFFPERFVNRNIELHGHDFKLIPFGSGCRVCPGRKLALITVKLILAQLVHCFDWELPGGMSPNELDMTEKFSSTLPRKNNLCAKPIYCM >KJB37519 pep chromosome:Graimondii2_0_v6:6:46368357:46369273:-1 gene:B456_006G208600 transcript:KJB37519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKDKKLTVIGDVDPINIVNKLRKQWHTEILTVGPAKEEKKDGGAKKDEGGGGGGGGGGGGGGGGKKEDDKKKESDQIAELVKAYKAYNPHMTTYYRVVSAEENPNSCIIS >KJB37518 pep chromosome:Graimondii2_0_v6:6:46368540:46369188:-1 gene:B456_006G208600 transcript:KJB37518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVLKLDLHEGKEKQKAMKAVSGLSGVDSISMDMKDKKLTVIGDVDPINIVNKLRKQWHTEILTVGPAKEEKKDGGAKKDEGGGGGGGGGGGGGGGGKKEDDKKKESDQIAELVKAYKAYNPHMTTYYRVVSAEENPNSCIIS >KJB35784 pep chromosome:Graimondii2_0_v6:6:38165552:38166884:-1 gene:B456_006G128400 transcript:KJB35784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSRRGKTTRKASKPRRGHYKRKLVKPTIQKASVLEELPSNSSTITSDNLSKMEGSTTTASPCSTPKAQRFRIPEMDTCPPAPKKQRVLSNCSLQRTPVAFFSPPDLDLFFFFALRDISV >KJB38813 pep chromosome:Graimondii2_0_v6:6:50951593:50953160:1 gene:B456_006G273400 transcript:KJB38813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSANNTLRHVKSSLLPDPLSSSSFYFTLNGKPLSDSTLLPNPQISHLSTLSHLPRISGGGGDGGATGAESRDCYLKMYAVKKPDKVDPKEKRLGKWLNCAFSYEPLREPCVIEKLGNIFNKGALVEALLGKKLPKEFRHIKGLKDMINIKLLMIPGKQSDAADGAMFQCPLTGLEFNGKYKFFALRNCGHVVSAKALKEVKSSACLVCHKEFVESDKMVINGSEEEVAALRERMEEEKSKTVKEKKRGTDVVDGKKDCGKLEGNEKLENGKKSNNGGVKKFRAVDVALANATKEVYASIFTSSKKSDLKETFTCRSLPLGLN >KJB36525 pep chromosome:Graimondii2_0_v6:6:42419577:42420926:1 gene:B456_006G163900 transcript:KJB36525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTPFFRKLRKGLWVSASRGPALNQEDFDEEQEVASPTVPDDVKEGYFTVFAVKGKEAQRYVIELDNLTNPGFLSLLELAREEYGFQQKGVLCLPCRPQELQDILQLWKLA >KJB38321 pep chromosome:Graimondii2_0_v6:6:49317977:49323365:-1 gene:B456_006G248800 transcript:KJB38321 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5B [Source:Projected from Arabidopsis thaliana (AT5G43530) UniProtKB/Swiss-Prot;Acc:Q9FIY7] MKAMDIDTVEDKKIQEIQSSMDNNVVSVVKLLPNDPDSNLHANTVSRMVTATGFRVSTLTGSGDQFGESEPVQAIVKEEPLLRLKEEPGLGFDSDASMKEDKVTKVKEEPCLGFGNEVRVKGEMGFNQTEEAVAQKEDSWPMDTFEEFLLLQNSKVQSLNESRKTQIKKKASETAELKSNNQNPPCVKKEPDFEWSQKRVDVKKEVSQERKVNGVLVEDEDFPEDPDWYLVGRTIVNAVSTTKGKNKLLDNEIVYFTFPSPVASYKLQSIVRFSTKRCGEIGRLPMDWAKWVNPLVYSNKVKVLGRCIAAPTTLSIMQEVMLFVSFYIHSSVFTMGDKSSSMFDAPWNMESMLSPLLNLFRYLKIKPYQKADFTPEELNSGKRVLHIQEDGYSEVTAALPAAKRRRGCQEQNKDEQDISEASLNKIVGAADTYDLEEMEPPHTLMCKLRPYQKQALYWMSGWEKGIDAEKAAQTLHPCWSAYRICDERASSIYVNVFSGEATVQFPSARQMARGGILADAMGLGKTVMTIALILSRLGRGNPDNEKPDSRKADGSITTNKKRDTYGSGPRRAKGGTLIICPMALLSQWKDELETHSRPETISIFVHYGGDRTNDPRVISEHDVVLTTYGVLTAAYKSDAENSIYHRVDWYRVVLDEAHTIKSSKTLGARACFALSAHCRWCLTGTPIQNNLEDLYSLLCFLHVEPWCNWAWWKTKIQGPYENGNPTGLKWIKAILRLLMLRRTKETKDKEGRPILVLPPTDIQVIECEQSEAERDFYDALFKRSKVQFDQFVAQGRVLHNYASILELLLRLRQCCNHPFLVMSRADSQQYSDLNKLAKRFLEAHPGLVTLNQNAPTKAYIEEVVDGIRRGENTECPICMESADDPVLTPCAHRMCRECLLSSWRTPTLGSCPICRTLLKKTDLITCPTENKFRVDIDKNWKESSKVSKLLDCLERSCQSGSGEKSIVFSQWTSFLDLLEIPLKRKGIGFLRFDGKLAQKQRERVLKEFNDTREKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRTVTVRRFIVKETVEERMQQVQARKEKMIAGALTDEEVRSARIEELKMLFR >KJB36370 pep chromosome:Graimondii2_0_v6:6:41409069:41410266:1 gene:B456_006G155500 transcript:KJB36370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLFATAAEKIEPAALRRQALSLTQAAAPRIRHLLQQLNRLFLDWKGKFDEFVEDKGVKIPIDPKALMHVIGTKIVFDDDKLRSEFVFINPNSKGKRGYGKSFMTTAGVSDSRELLKFPLTDCTSIGST >KJB34140 pep chromosome:Graimondii2_0_v6:6:16848528:16852401:1 gene:B456_006G049400 transcript:KJB34140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFYLNLLDWGSSNVLAIALGNTVYLWDASYSSTSELVTIDDDNGPVTSVSWASDGRHIAIGLNNSEVQLWDSASNRQLRTLRGCHRSRVGSMAWNSHILTTGGMDGMIVNNDVRIRSHVVKTYRGHWQEVCGLKWSASGQQLASGGNDNLVHIWDMSKASSNSPTQRIHRLEDHTSAVKALAWVPFQSNLLASGGGGGDRTIKFWNTHRGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLILWKYPSMVKMAEIMGHTSRVLHIKARMVHSGFSCRG >KJB34142 pep chromosome:Graimondii2_0_v6:6:16848944:16850919:1 gene:B456_006G049400 transcript:KJB34142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGPLNPFSKLRGRSRCPLQEQILQRINSKENLDRFIPNRSAMDYDYAHYMLTEGRKIKENQTLRTRILAFKNKLPTPVELFPSDHTTSVHPAKPLKPRRHIPQTLMHCDHHLVLQSSERTLDAPDLMDDFYLNLLDWGSSNVLAIALGNTVYLWDASYSSTSELVTIDDDNGPVTSVSWASDGRHIAIGLNNSEVQLWDSASNRQLRTLRGCHRSRVGSMAWNSHILTTGGMDGMIVNNDVRIRSHVVKTYRGHWQEVCGLKWSASGQQLASGGNDNLVHIWDMSKASSNSPTQRIHRLEDHTSAVKALAWVPFQSNLLASGGGGGDRTIKFWNTHRGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLILWKYPSMVKMAEIMGHTSRVLHIKARMVHSGFS >KJB34141 pep chromosome:Graimondii2_0_v6:6:16848529:16851476:1 gene:B456_006G049400 transcript:KJB34141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFYLNLLDWGSSNVLAIALGNTVYLWDASYSSTSELVTIDDDNGPVTSVSWASDGRHIAIGLNNSEVQLWDSASNRQLRTLRGCHRSRVGSMAWNSHILTTGGMDGMIVNNDVRIRSHVVKTYRGHWQEVCGLKWSASGQQLASGGNDNLVHIWDMSKASSNSPTQRIHRLEDHTSAVKALAWVPFQSNLLASGGGGGDRTIKFWNTHRGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLILWKYPSMVKMAEIMGHTSRVLHIKARMVHSGFSCRG >KJB33572 pep chromosome:Graimondii2_0_v6:6:4601409:4605697:-1 gene:B456_006G018700 transcript:KJB33572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGKCDNYKYCNILCLNTPSNYCWSKSCPPRYEYNSCSTTSVGTLFLLLATWSISCYTKPWFRVTCNPTLNGVKPFINLNGIDLEVLGKAFYSNAILINNPVTCINCDSINEVSGRVNLLGTPFFFSSKRNYFGSVGCGNLATILSNEAVSLGGCIQPRCDDGASESGCFTQITANLTSYTVNMTAMYPDSKRCASAFIFRKYSFRSAYPLPTGINNGTTRFINLIRLTRNLNFFDIFKSNRALLTPNWMYIYLVDFTIYSFFAKYAVCGRPGLGPININTYKVWSCRNVTFHYPFRMKDQDYETDDWFEVICNKTANRGKGPFLNINDMNLQILDFDFLDGTIKVNHPITYFNCRKNHHNGMSLNLTGTRFSYSTSDNSFYCSGCDNLVTIFGNETDKLLGRCLQPSCRINSKTSYVASCLAFFPQGPSSFYINMSNKVDSSDYRKKKSCEFASLISDDYDSEDSDISSRTHVPTQLQWGTPIFGECYLNDSSDTSCTFDGKYC >KJB38287 pep chromosome:Graimondii2_0_v6:6:49136705:49141334:-1 gene:B456_006G246600 transcript:KJB38287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHDYGPPKIIIVQFLAASIIGAAAIAAASYFRPKRNPVKDRHRSLLIPLQQRTGSGRFGNRERFSHYVARQLGFEDANECPKLCKLAENYLKKPKDCEVKIFEYFAEEPEADILYGKLVEEFERCILSYFAFHWSQAPNMISQVLGVESEKKTKLKDFVMAATRQTRFERVIKDLKVARVFSTLVEEMKAMGRVDGEAKCTDVMVPVAHSRRSPVLLLMGGGMGAGKSTVLKDIILKESFWAEAAANAVVVEADAFKETDVIFRALSSRGHHHDILPTSELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMARNVHKHRYRMGVGYKVDEDGKITENYWEQIEEEEENDDHQTHRKPYRIELVGVVCDAYLAVVRGIRRAIMVKRAVRINSQLKSHKSFASAFPRYCQFVDNARLYCTNAVKGPPKLIAWKDGENKLLIDPDDIKWLSNVSKLNPGADCVNELYNQDPSPVDEPGSVWKDIVLDPSRPTIQFELKASIQRIETTTLTTTSIVT >KJB38286 pep chromosome:Graimondii2_0_v6:6:49136371:49141609:-1 gene:B456_006G246600 transcript:KJB38286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHDYGPPKIIIVQFLAASIIGAAAIAAASYFRPKRNPVKDRHRSLLIPLQQRTGSGRFGNRERFSHYVARQLGFEDANECPKLCKLAENYLKKPKDCEVKIFEYFAEEPEADILYGKLVEEFERCILSYFAFHWSQAPNMISQVLGVESEKKTKLKDFVMAATRQTRFERVIKDLKVARVFSTLVEEMKAMGRVDGEAKCTDVMVPVAHSRRSPVLLLMGGGMGAGKSTVLKDIILKESFWAEAAANAVVVEADAFKETDVIFRALSSRGHHHDILPTSELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMARNVHKHRYRMGVGYKVDEDGKITENYWEQIEEEEENDDHQTHRKPYRIELVGVVCDAYLAVVRGEL >KJB37422 pep chromosome:Graimondii2_0_v6:6:45980156:45980527:1 gene:B456_006G203700 transcript:KJB37422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTVYAAIPAVAIAAAGIYSFARHSEAKEFGGAGTRWSIGSTPAKRNQKVKDTPPPPKVAPQFDGLNCFETFVG >KJB33787 pep chromosome:Graimondii2_0_v6:6:7945838:7949465:1 gene:B456_006G030600 transcript:KJB33787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENSSSPPVPRKGRRVVGPTRKNKEAIDEAGDLIECSGKYCRSCTAGVIADCVALCCCPCALLNLLTLALVKVPWKMGRRCLGFGKKKRNRVEMERKRSRVNDDRNNSRGKMRVEEEEMWGFSRVFGEKENFYDNDEEGEMGNFSARFEAEKVWLELYQVGHLGFGRVSFTGI >KJB33205 pep chromosome:Graimondii2_0_v6:6:190894:192248:-1 gene:B456_006G000500 transcript:KJB33205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPILSRSLVTSSLVSLPLINPNKAFNIRNTFVPLNTLNKALSCSRLREKFEYQVELDLIVHSLYSHKKVFLRELVSNASDALDKLRFLSVAEPSLLEDSSELEIHIKPDSDNGTITIMIGITKEKLIDCLGTIAQSGISKFLKALKGNSFENKDLRAYNGLIGQFGVGFYYAFLVAEKVVVSTKSPKSNKQYVWEAIAHSSSYVIR >KJB34416 pep chromosome:Graimondii2_0_v6:6:24382011:24384810:1 gene:B456_006G065000 transcript:KJB34416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP53 [Source:Projected from Arabidopsis thaliana (AT4G25340) UniProtKB/Swiss-Prot;Acc:Q93ZG9] MDDEKPTKGNEESKRSKRKNKLTGSEERKNSQGQLIVKRGAGVTDLETEDEDGFPVSASQKSEDAIEQPQPETEELRENLTKEDKKTRKDISEKKRKVKNTDEEGGKKKKKKKQKGKDTDDINGLPGDEVQLVKEESQDSDKVMPVGKEQDHLHSDRALGSEPDIVPGENLSEKKKKKTTQGNQVSANASVSQSGDKDTSTLKSEEKQTAGKSSQVRTFPNGLVVQELAMGKPDGKRASRGKQVSVHYIGKLQKNGKIFDSNVGRAPFKFRLGVGEVIKGWDVGVEGMRVGDKRKLVIPPAMGYGSKGAGGRIPPNAWLEFDVELVGVR >KJB34414 pep chromosome:Graimondii2_0_v6:6:24380124:24384901:1 gene:B456_006G065000 transcript:KJB34414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP53 [Source:Projected from Arabidopsis thaliana (AT4G25340) UniProtKB/Swiss-Prot;Acc:Q93ZG9] MGFWGIEVKPGKPHPYHSDNVRGKLHITQATLGLGSFKERSVLQCSVGHKSPIILCSLLPNQNETCALDLKFDEDDDLVAFSVIGPQSIHLSGYFVADDGDHLRDEYESDSYGEDIAETETESEDESSDYDSDEEYGDDFIDDDDLEFFRPPVPNSGVVIEEIMDDEKPTKGNEESKRSKRKNKLTGSEERKNSQGQLIVKRGAGVTDLETEDEDGFPVSASQKSEDAIEQPQPETEELRENLTKEDKKTRKDISEKKRKVKNTDEEGGKKKKKKKQKGKDTDDINGLPGDEVQLVKEESQDSDKVMPVGKEQDHLHSDRALGSEPDIVPGENLSEKKKKKTTQGNQVSANASVSQSGDKDTSTLKSEEKQTAGKSSQVRTFPNGLVVQELAMGKPDGKRASRGKQVSVHYIGKLQKNGKIFDSNVGRAPFKFRLGVGEVIKGWDVGVEGMRVGDKRKLVIPPAMGYGSKGAGGRIPPNAWLEFDVELVGVR >KJB34415 pep chromosome:Graimondii2_0_v6:6:24380211:24384810:1 gene:B456_006G065000 transcript:KJB34415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP53 [Source:Projected from Arabidopsis thaliana (AT4G25340) UniProtKB/Swiss-Prot;Acc:Q93ZG9] MGFWGIEVKPGKPHPYHSDNVRGKLHITQATLGLGSFKERSVLQCSVGHKSPIILCSLLPNQNETCALDLKFDEDDDLVAFSVIGPQSIHLSGYFVADDGDHLRDEYESDSYGEDIAETETESEDESSDYDSDEEYGDDFIDDDDLEFFRPPVPNSGVVIEEIMDDEKPTKGNEESKRSKRKNKLTGSEERKNSQGQLIVKRGAGVTDLETEDEDGFPVSASQKSEDAIEQPQPETEELRENLTKEDKKTRKDISEKKRKVKNTDEEGGKKKKKKKQKGKDTDDINGLPGDEVQLVKEESQDSDKVMPVGKEQDHLHSDRALGSEPDIVPGENLSEKKKKKTTQGNQVSANASVSQSGDKDTSTLKSEEKQTAGKSSQVRTFPNGLVVQELAMGKPDGKRASRGKQVSVHYIGKLQKNGKIFDSNVGRAPFKFRLGTMTFYGL >KJB35411 pep chromosome:Graimondii2_0_v6:6:36176181:36178009:1 gene:B456_006G113700 transcript:KJB35411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGEGVSRETQMSIQASSMFPGFRFSPTDVELISYYLKKKLDGYDKCVEVIPEIEICRYEPWDLPVKSIIKSDNEWFFFCARGRKYPNGSQSRRATEQGYWKATGKERNVKSGSNVIGTKRTLVFHTGRAPKGERTEWIMHEYCMKGKSQDSLVVCRLRKNSEFRLNNNSNRVARNHRELSIVHDSNHATSDGGTDLTGISEGDKAIEFYSKKATSSNDSHSIEQIDSASESEQKLSNEVAPTESSTLKKDSDDEEDFFAEILKDDIIRLDEASLSASTPEVLPMIATTTHRVSLLQGGANRRIRLTRTKADIIQHGIGGISTKKWKSEEPPKCLLSVFSPRTAILVILITLLALLLPCSNFKSSKVIQI >KJB35532 pep chromosome:Graimondii2_0_v6:6:36827583:36830275:1 gene:B456_006G118400 transcript:KJB35532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KJB34274 pep chromosome:Graimondii2_0_v6:6:21008234:21012915:1 gene:B456_006G057000 transcript:KJB34274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQMHWWVALIICIHGAAATEQPETVKFDTGGLSREAFPKGFLFGTAASAFQVEGMTHGDGRGPSIWDVFIKTPGIVANNGTADVTVDQYHRYKEDVDLMSKLNFDAYRFSISWTRIFPDGTGKVNWKGVEYYNNLINYLLKRGIIPYVNLNHYDFPEALEKKYMGWLSYQSVKDFADFADFCFKTYGDRVKQWTTFNEPRVVAALGYDNGFFAPGRCSKPYGYCTAGNSGTEPYIVTHHLILAHAAAAQIYREKYQQKQKGRIGILLDFVWYEPLTRSKADRYAAQRAIDFHVGWFIHPLVYGEYPRTMQVIAGNRLPKFTKEEVKMVKGSFDFVGINQYTTYYIYDPHQSKPKVPGYQQDWNAGFAYAKRGVAIGPRAYSPWLYNVPWGLYKCLMYIKEKYGNPTVILSENGMDDPGNVTLAQGLHDTTRMNYYKAYLTQLKKAVDNGANVIGYFAWSLLDNFEWRLGYTSRFGIVYVDYSTLQRYPKMSAYWFKQLLTRKKH >KJB34273 pep chromosome:Graimondii2_0_v6:6:21008126:21012915:1 gene:B456_006G057000 transcript:KJB34273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQMHWWVALIICIHGAAATEQPETVKFDTGGLSREAFPKGFLFGTAASAFQVEGMTHGDGRGPSIWDVFIKTPGIVANNGTADVTVDQYHRYKEDVDLMSKLNFDAYRFSISWTRIFPDGTGKVNWKGVEYYNNLINYLLKRGIIPYVNLNHYDFPEALEKKYMGWLSYQSVKDFADFADFCFKTYGDRVKQWTTFNEPRVVAALGYDNGFFAPGRCSKPYGYCTAGNSGTEPYIVTHHLILAHAAAAQIYREKYQQKQKGRIGILLDFVWYEPLTRSKADRYAAQRAIDFHVGWFIHPLVYGEYPRTMQVIAGNRLPKFTKEEVKMVKGSFDFVGINQYTTYYIYDPHQSKPKVPGYQQDWNAGFAYAKRGVAIGPRAYSPWLYNVPWGLYKCLMYIKEKYGNPTVILSENGMDDPGNVTLAQGLHDTTRMNYYKAYLTQLKKAVDNGANVIGYFAWSLLDNFEWRLGYTSRFGIVYVDYSTLQRYPKMSAYWFKQLLTRKKH >KJB33471 pep chromosome:Graimondii2_0_v6:6:2597408:2600444:-1 gene:B456_006G012300 transcript:KJB33471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSFASKCIAGTVGLKNGSLRQTRAASECSDDESSSAVSRDEGLDCPICCESFNIVENVPYVLWCGHTLCKNCILGLQWAVMKFPTLPIQLPLFISCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGDRLMSHSSFSEDRQPDLSSNNSLPIGNQVTRCNHRRAYHHHSESSGSTQNHTHLTDYFNLERIHTVLRKSLVFFVHLTAKFPLIIIFLLIILYAVPASAAILALYILITILFAFPSFLILYFAYPSLDWLVKEIIT >KJB33418 pep chromosome:Graimondii2_0_v6:6:3354995:3356597:1 gene:B456_006G015200 transcript:KJB33418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTLQQFHNSSCFHHQENNGFSKYYTIYQPPSNSSMEVLKPIEGFSYCLEDHFSKGSEMGAVSMSVVRGRSEFFLNGKDVGVSKICSRGHWKPAEDSKLKELVTLYGPQNWNLIAEKFQGRTGKSCRLRWFNHLDPRINKKAFTEEEEERLMAAHRAYGNKWAMIARLFPGRTDNAVKNHWHVIMSRKYREQASAYRRRKLSQAAIGDFTDSTQNPYLASFVGPNGSYNKGSTSRKEDEINGGDLFLGSKSKSFKACENSTPLDFFSGLFLLVPS >KJB33417 pep chromosome:Graimondii2_0_v6:6:3354943:3356681:1 gene:B456_006G015200 transcript:KJB33417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTLQQFHNSSCFHHQENNGFSKYYTIYQPPSNSSMEVLKPIEGFSYCLEDHFSKGSEMGAVSMSVVRGRSEFFLNGKDVGVSKICSRGHWKPAEDSKLKELVTLYGPQNWNLIAEKFQGRTGKSCRLRWFNHLDPRINKKAFTEEEEERLMAAHRAYGNKWAMIARLFPGRTDNAVKNHWHVIMSRKYREQASAYRRRKLSQAAIGDFTDSTQNPYLASFVGPNGSYNKGSTSRKEDEINGGDLFLGSKSKSFKACENSTPLDFFSDYRSQEGLISRNSRQWKMVQEETMLMQESCNHYQPSGFSDSMASASQVTVTEPSSSSVSHLETTITAPSAAAAAAAASPPFIDFLGVGA >KJB34476 pep chromosome:Graimondii2_0_v6:6:27325336:27326221:1 gene:B456_006G067800 transcript:KJB34476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIHTFAREGELDNLLKCIESGVSVHLQDSEGKTLMHWVVDRGHLKIAEALLSRNADVNAKDNEGQTPLHYVVMCEREDIAKFLVKQNAEKDTKDNDGNSPVDLCDSDWPWLQHAGKAE >KJB34257 pep chromosome:Graimondii2_0_v6:6:20429249:20430530:1 gene:B456_006G056200 transcript:KJB34257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAKSRIKIYANDLVLWGSLFKSRKVFDNPKCVTEHLTLATRPIFNDLGHSRNTCAVVYTPTPHLWQIVLDPSRL >KJB36078 pep chromosome:Graimondii2_0_v6:6:39667850:39670651:-1 gene:B456_006G139600 transcript:KJB36078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLRKLNCLFHYYPKSAPFSSLVSSFTTHQLTLTTFPPNPQIVLTTLCSNGQLKEALIEMAARGLEMKFESYDMLLNACIKKRAFREGQRVHAHMIKTRYLPPVYLRNRLIILYSKCDRLREARNVLDEMLEKDVVSWTAMISAYSQRGFATEALDLFLEMLRSVTEPNEFTFTAVLSSCTGTFGFELGKQIHSLIIKRTLDTHIFVGSSLLDMYFKAGRIHEAREVFESPPERDVVSCTAIISGYAQLGLDAEALELFRRLNLEGMSSNYVTYASVLTALSGLAALDLGKQVHNHVLRCKLPFYVVLQNSLIDIKCGNLIYSRRIFDNMPERTVISWTAMLVGYSKHGMGREGVLSGCSHGGMENVGLEIFEEMVNGKNGVEPDIEHYGCVVDLLVRAGRVEEAFQFIKKMPFEPTAALWGSLLGACKVHSNIDVGEFVGHRLLEIDPESAGNYVILSNLYASMGRWEDVRMVRDLMLKKAVKKEPGRSWIELDQTLHSFHASDPIPSHPRREEVFAKMKELSIKFKEAGYVPDLSCVLHDVDEEQKEKIFLGHSEKLALTFGLISTSDRAPLRVIKNLRTCVDCHNFAKFVSKIYMREVYLKDKNRFHHISMGVCSCGDYW >KJB38132 pep chromosome:Graimondii2_0_v6:6:48537684:48542800:-1 gene:B456_006G238000 transcript:KJB38132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGKGATTSQQMGSLTEDLRERHQQELENLTLTTQPFKTLRLFLLAIFQYYKRLALYLLAKGGWLALSSTLIAALGILLVTIEGPHEKHVDELSQYIRFGLWWIALGVASSIGLASESGREFDAMEELDGSSSEDTGVIAALLEKIKRWLLSHSQHLNFFTILILASVPNPLFDLAGIMCGQFGIPFWEFFLSTLIGKAFIKTYIQMVFIISICNNQLLDWIENELIWVLGFVPGFDTFLPTLTAKLHAAKDKYLSTSQPLPSNIKGKWDLSFALIWNTVVWLMLMNFFVKIVNATAQRYYKKQMDEQVGDNVSASTHSD >KJB38131 pep chromosome:Graimondii2_0_v6:6:48537602:48542829:-1 gene:B456_006G238000 transcript:KJB38131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGKGATTSQQMGSLTEDLRERHQQELENLTLTTQPFKTLRLFLLAIFQYYKRLALYLLAKGGWLALSSTLIAALGILLVTIEGPHEKHVDELSQYIRFGLWWIALGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDLKSAPYDTIQLKRGPSWLDKSCEEFGPPLFSSTHGSRVPLSSILQQVQLEAILWGIGTALGELPPYFISRAASESGREFDAMEELDGSSSEDTGVIAALLEKIKRWLLSHSQHLNFFTILILASVPNPLFDLAGIMCGQFGIPFWEFFLSTLIGKAFIKTYIQMVFIISICNNQLLDWIENELIWVLGFVPGFDTFLPTLTAKLHAAKDKYLSTSQPLPSNIKGKWDLSFALIWNTVVWLMLMNFFVKIVNATAQRYYKKQMDEQVGDNVSASTHSD >KJB38830 pep chromosome:Graimondii2_0_v6:6:51024200:51026560:1 gene:B456_006G274400 transcript:KJB38830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51300) UniProtKB/Swiss-Prot;Acc:Q9LU44] MDSVQTNLNDPQSFSAQTLNSYNQNPPPPPSQTFDSDPVLEHNNANNGALSNPDAHSNTINNTYSFKPDIHKPLLSENGLTNTHSGTTDKDYSGGEEETTSRRRRRSRWDPPSNSNNHQTGNDESASGTKKRKSRWADDEPKPVIQLPDFMKDFTGGIQFDPEIQALNSRLLEISRMLQSGLPLDDRPEGARSPSPEPIYDNLGIRINTREYRARERLTKERQEIISQILKKNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDLKPDPAENEDLHVLVEAETQESLDAAAAMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPSRTSTFKSDVLCKICGDGGHPTIDCPMKGTTGKKMDDEYQNFLAELGGTVPDSANKQNSSSVSSGSNPPWASSTGGAGSAHPGLGSNAVKPPKEYDDTNLYIGYLPPSLDDDGLISLFSAYGDIVMAKVIKDRVTGLSKGYGFVKYASVDMANNAIKGMNGSLLEGRTIAVRVAGKPPQPAVPPGPPTLSMPTYPVSSQPVGAYPSRQFTTGGPIPNAASASYAGNPVPWGPPVPPPPPYAPYAPPPPPPPGSTIYPPVHGQPMPPYGLQYPPQMQTVPPGVPPPPQPVTSSETAQSFPPGVQSENSTSAPAMPANIYGNSMTAMPPNSQSAYPTSSLGYSSYYNAVPPPPPPPLPTSSTDNSQSLSNVPWAPNPLLTPAASSGEKTTYGADTEYEKFMAEMK >KJB38288 pep chromosome:Graimondii2_0_v6:6:49148529:49152240:-1 gene:B456_006G246800 transcript:KJB38288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQTKSNMENEKNVEPVTDLRLALGYSGRRIERRSSNDLGAGAGANATSRIGMTFVATDPLSEIVWSPHKGLCLKCTECSFLENKRCLVQDTAGPSNAVRSLQEIDTSARSIDEKPIDEQNIHTSSLAFPILNSKVSDIDNLGNDKTELCHELQTDNGNSPIGTAALANLAEKNDPRDVGDRTQLSGSQEKNVASPAENDMQGVGDNECVAMSKVSSSESAFEVEKISQLNKEISASKHSPTNSGICRYRRKGKEKALSDGDVKGMISKDDSHESVESSNSIGEKRWGFEQQLIVGSKRLKKHDGSFMNWISNMMKGFPKPKDETPSLLLTTTAANTNHSHKSLIENLDAGDKIQNPGHGNAGFQSIFHSIYSPKTKVQGTTPQSENDQAGLELTNKICNVDATPIACHRENFNFLNVFNERFKEPICGSRVGPPTRPKIASITSSLSNRSSEANIAVGVEKDRGSTSSSLGKRKMKTENIDSDPSSEAKTFCNIGYKSNLQGSLWITRFTPKASSSLFNRDTDGAVECLSDCMKPDLCPRNDVNVSNKPEEEASTGLRSLRSEDSQMIASHFVRRLDVLKQIMPSSITENRISSTVTCFFCGREGHHLQNCPEITDTEIEHLLRNMKSCNRYERLPCVCFRCFELGHWAIACPSASRVQHHSACRASSLARLEENTELLDKNGDAIAGNATQIDTGKGPSTLYEIIADKMRSNTDVNKEYVSLSYKEIKHWGNFTNQQVSDTPKAIFKAVRMLRLSRTDVLKWKIMEPSILHLKGFFLRLRLRKWEEGLGGTGYYVACITEANSQGAQQSSNRSIVVNVGGMKCSVDSQYISNHDFLEDELTAWLLATTRTGGKIPSEEELTTKVKERRMLGL >KJB38289 pep chromosome:Graimondii2_0_v6:6:49148712:49151678:-1 gene:B456_006G246800 transcript:KJB38289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQTKSNMENEKNVEPVTDLRLALGYSGRRIERRSSNDLGAGAGANATSRIGMTFVATDPLSEIVWSPHKGLCLKCTECSFLENKRCLVQDTAGPSNAVRSLQEIDTSARSIDEKPIDEQNIHTSSLAFPILNSKVSDIDNLGNDKTELCHELQTDNGNSPIGTAALANLAEKNDPRDVGDRTQLSGSQEKNVASPAENDMQGVGDNECVAMSKVSSSESAFEVEKISQLNKEISASKHSPTNSGICRYRRKGKEKALSDGDVKGMISKDDSHESVESSNSIGEKRWGFEQQLIVGSKRLKKHDGSFMNWISNMMKGFPKPKDETPSLLLTTTAANTNHSHKSLIENLDAGDKIQNPGHGNAGFQSIFHSIYSPKTKVQGTTPQSENDQAGLELTNKICNVDATPIACHRENFNFLNVFNERFKEPICGSRVGPPTRPKIASITSSLSNRSSEANIAVGVEKDRGSTSSSLGKRKMKTENIDSDPSSEAKTFCNIGYKSNLQGSLWITRFTPKASSSLFNRDTDGAVECLSDCMKPDLCPRNDVNVSNKPEEEASTGLRSLRSEDSQMIASHFVRRLDVLKQIMPSSITENRISSTVTCFFCGREGHHLQNCPEITDTEIEHLLRNMKSCNRYERLPCVCFRCFELGHWAIACPSASRVQHHSACRASSLARLEENTELLDKNGDAIAGNATQIDTGKGPSTLYEIIADKMRSNTDVNKEYVSLSYKEIKHWGNFTNQQVSDTPKAIFKAVRMLRLSRTDVLKWKIMEPSILHLKGFFLRLRLRKWEEGLGGTGYYVACITEANSQGAQQSSNRSIVVNVGGMKCSVDSQYISNHDFLEDELTAWLLATTRTGGKIPSEEELTTKVKERRMLGL >KJB36695 pep chromosome:Graimondii2_0_v6:6:43063422:43065411:1 gene:B456_006G171400 transcript:KJB36695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase PCS1 [Source:Projected from Arabidopsis thaliana (AT5G02190) UniProtKB/Swiss-Prot;Acc:Q9LZL3] MKSYIISNSKSNPFLKTLYLRIFLFFLLIQIRSCFSSSPAPFIFPLKTQILRSPSKLQFQHNVSLIVSLTVGTPPQNVSMVLDTGSELSWVHCNQTTRNNQPDPTIFNPNQSTSYKPIPCFSPTCVNKTQDFPIPPSCDSDNLCHATLSYADASSSDGNLASDTFHLGSSGNISGLVFGCMDSIFSSNADEDSKTTGLMGMNRGSLSFVSQMGFPKFSYCISGSDLSGLLLLGDSNVTWLTPLNYTPLVQISRPLPYFDRVAYTVQLQGIKVSGKLLPIPKSVLVPDHTGAGQTMVDSGTQFTFLLGPVYNILRREFLNQTAGVLRVLEDPNFVFQGAMDLCYRVPLGKTRLPNLPSVSLVFTGAEMVVSGDRVLYRVPGETRGNDSVWCLSFGNSDLLGVEAYVIGHHQQQNVWMEFDLEKSRIGLAQVRCDLARQRFGVGL >KJB36035 pep chromosome:Graimondii2_0_v6:6:39398716:39402517:-1 gene:B456_006G137800 transcript:KJB36035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCVTSAAASHENNKKKNKKKGNKKQNPFDNSNGSPKLIVLEEPTGREIEQRYELGRELGRGEFGITYLCTDKDTGENFACKSISKKKLRTAVDIEDVRREIKIMKHLPEHPNIVTLKDTFEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVAKTIVEVVQMCHKHGVMHRDLKPENFLFENKKETAALKAIDFGLSVFFKPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAILRSVVEFKRDPWPKVSASAKDLVKKMLNPDPKRRLTAQEVLAHPWLQNAKTAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLTVEETADIKERFQEMDTGNRGKINIDELRDGLHKLGQIISDADLQVLMDAGDVNRDGYLDYGEFIAISIHLRKMGNDNHLKKAFEFFDKDQSGYIEIEELRDELADELETNGEEVITAIMHDVDTDKDGRISYDEFVAMMKAGTDWRKASRQYSRQRFNNLSLKLMKDGSLLSPDNPM >KJB33922 pep chromosome:Graimondii2_0_v6:6:10762806:10766409:1 gene:B456_006G038700 transcript:KJB33922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEWCLQKRDSFKSETLVGNETVPEIGCLSIIVLGASGDLAKKKTFPALFHLYCQGFLPPNEVHIFGYARTKISDDELRNRISGYLVSERSASPSKDVSKFLQLIKYVSGSYDAAEGFQTLDKEISKHETSKNSLEGSSRRLFYLALPPSVYPSVCRMIRRYCMNKSNLGGWTRIVVEKPFGRDLGSAEELSSQIGKLFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDEEVVLGQYEGYRDDPTVPDHSNTPTFATVILRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCKKQGRNEFVIRLQPSEAMYMKLMVSFIILLCRSESYYKLQKHCIYVPLLNLHID >KJB33923 pep chromosome:Graimondii2_0_v6:6:10762804:10767150:1 gene:B456_006G038700 transcript:KJB33923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEWCLQKRDSFKSETLVGNETVPEIGCLSIIVLGASGDLAKKKTFPALFHLYCQGFLPPNEVHIFGYARTKISDDELRNRISGYLVSERSASPSKDVSKFLQLIKYVSGSYDAAEGFQTLDKEISKHETSKNSLEGSSRRLFYLALPPSVYPSVCRMIRRYCMNKSNLGGWTRIVVEKPFGRDLGSAEELSSQIGKLFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDEEVVLGQYEGYRDDPTVPDHSNTPTFATVILRIHNERWEVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCKKQGRNEFVIRLQPSEAMYMKLMVKQPGLEMSTVQSELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDNGEMNPIPYQAGSRGPAEADELLEKAGYVQTHGYIWIPPTL >KJB33921 pep chromosome:Graimondii2_0_v6:6:10763522:10766484:1 gene:B456_006G038700 transcript:KJB33921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEWCLQKRDSFKSETLVGNETVPEIGCLSIIVLGASGDLAKKKTFPALFHLYCQGFLPPNEVHIFGYARTKISDDELRNRISGYLVSERSASPSKDVSKFLQLIKYVSGSYDAAEGFQTLDKEISKHETSKNSLEGSSRRLFYLALPPSVYPSVCRMIRRYCMNKSNLGGWTRIVVEKPFGRDLGSAEELSSQIGKLFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDEEVVLGQYEGYRDDPTVPDHSNTPTFATVILRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCKKQGRNEFVIRLQPSEAMYMKLMVKQPGLEMSTVQSELDLSYRQRYQGVTIPEAYERLILDTYDPCPFLSLKYYCLQCLPLQLDKR >KJB33920 pep chromosome:Graimondii2_0_v6:6:10762764:10767210:1 gene:B456_006G038700 transcript:KJB33920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEWCLQKRDSFKSETLVGNETVPEIGCLSIIVLGASGDLAKKKTFPALFHLYCQGFLPPNEVHIFGYARTKISDDELRNRISGYLVSERSASPSKDVSKFLQLIKYVSGSYDAAEGFQTLDKEISKHETSKNSLEGSSRRLFYLALPPSVYPSVCRMIRRYCMNKSNLGGWTRIVVEKPFGRDLGSAEELSSQIGKLFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDEEVVLGQYEGYRDDPTVPDHSNTPTFATVILRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCKKQGRNEFVIRLQPSEAMYMKLMVKQPGLEMSTVQSELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDNGEMNPIPYQAGSRGPAEADELLEKAGYVQTHGYIWIPPTL >KJB34816 pep chromosome:Graimondii2_0_v6:6:32033877:32037874:1 gene:B456_006G085700 transcript:KJB34816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSELGTNQKNKTFFEQKSSLLKHCRIQEMGWIVRLFVLMQLVHVVFCWGKHGHYAVCKIAEGYLSKDAMATVKELLPDYANGELASVCSWPDDIKWYYRWYWTSPLHYVDTPSFKCNYKYGRDCYDSAGRRNNCVTGAIFNYTKQLFSAYQGYNPNLKYNLTEALIFLAHYMADVHQPLHVGFTGDLGGTILKVRWYRKKTNLHHVWDTLIIDTAVKTFYGSDLSTMVRAIQRNITDAWSSDIASWKSCRHHDRVCPNLYAAESVRLACNFAYKNATPGSILEDDYFLSRLPVVEKRLAQGGIRLAAVLNQLLNFEVKIGQSL >KJB34597 pep chromosome:Graimondii2_0_v6:6:29605888:29608072:-1 gene:B456_006G074600 transcript:KJB34597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEILRPQDCLIEQIRVSSTACSRRRYGNGSFNPTYNYNNSNSNNSNGNVRFTRKPVQRKRVGSEQRVSKRSGSVDDLNTVANNFKMEKVTILRRGESLDSKIKSNNGGGKEDGLVATGTDRLGPDPEMVSKQIRFVDIKSPVTGKSDVYAGSAFAVSPEPSSLPLPSFSKKKHVPIDDSATRDLRRILRLDI >KJB36257 pep chromosome:Graimondii2_0_v6:6:40724976:40725809:1 gene:B456_006G148800 transcript:KJB36257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYVEISPNCPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSLLRLPTDGAPCNKSLSSDGAPCYDNKVNALSDSNTSSSMSDGSHIDLALLFANFLNNQQPENKSSFEVPELPTEFDPSREFPSLSNTNMESSLQLPEENGVTGCLTHSDFSTEYHLSNNDQIYHPGLDYLDRVQQCTGDETSNYALPPLPGDDLSSQEILWPNSHSSITHALHLQATQEPLLGPETQDPNLLFGNWSPFDLSSDDTFSTRT >KJB35390 pep chromosome:Graimondii2_0_v6:6:36109810:36111507:1 gene:B456_006G113000 transcript:KJB35390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAIGFRFFPTEEELVSFYLRNQLQGMRQDMHLVIPVLNIFYDLEPWDLPKLAGELCKGDVEQWFYFTPRQEREARGGRASRTTASGYWKATGSPGFVYSSDDRVIGMKKTMVFYKGKAPNGRKTKWKMNEYRAIEALSDPYVSAPPKLRHEFSLCRVYVASSSSRAFDRRPLEAHNNAATTSGGASGTSHAGGDHYNPAEASEDEFWELVNNLEEHAIGWGQINEI >KJB34009 pep chromosome:Graimondii2_0_v6:6:12721759:12722601:1 gene:B456_006G043500 transcript:KJB34009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNRKHRGFIKSKLTPFYRAAKPALSAMPYTTKVKPNQASSTTASLSFHDYKISQPKQVSFFVPAADKKRENLSQIDTFFGFAGDEAVDIKASTYISSVQERFKLERNSEQVMLQETH >KJB35011 pep chromosome:Graimondii2_0_v6:6:33423536:33429485:1 gene:B456_006G095300 transcript:KJB35011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRRRKPINESSDSKHNEEEEDEDHDDVDADGDDDVNGKNKKTPSKIKKKRGEKPPKQPKWSCMDTCCWFIGCICITWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLKKEGLEAKHPVVFVPGIVTGGLELWEGRECAEGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGLDPCGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEDKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELLVATNGGRKVVVIPHSMGVLYFLHFMKWVEAPAPMGGGGGPDWCSKHIKAVVNIGGPFLGVPKAIAGLFSAEAKDIAVARALAPGFLDNDIFQFQTLQHVMRMSRTWDSTMSMIPRGGNTIWGGLDWSPEEGNSCAKKGEKKNETQIADQAGSENAVCKAKSANYGRIISFGKDVAEAPSSDIERIDFRGAIKGHSAANTTCRDVWTEYHDMGFAGIKAVAEYKTYTADSLVDLLHFVAPKMMARGTAHFSYGVADNLDDPQYKHYKYWSNPLETRLPNAPDMEIYSLYGVGLPTERAYVYKLSPHAECSIPFKIDTSADDEDTCLRDGVYSVDGDETVPVLSAGFMCAKGWRGKTRFNPSGIRTYIREYNHLPPANLLEGRGTLSGAHVDIMGNFALIEDVIRIAAGASGEELGGDQVYSKIFNWSEKINLRLDEEIPVHSSR >KJB35012 pep chromosome:Graimondii2_0_v6:6:33426076:33429485:1 gene:B456_006G095300 transcript:KJB35012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDNETGLDPCGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEDKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELLVATNGGRKVVVIPHSMGVLYFLHFMKWVEAPAPMGGGGGPDWCSKHIKAVVNIGGPFLGVPKAIAGLFSAEAKDIAVARALAPGFLDNDIFQFQTLQHVMRMSRTWDSTMSMIPRGGNTIWGGLDWSPEEGNSCAKKGEKKNETQIADQAGSENAVCKAKSANYGRIISFGKDVAEAPSSDIERIDFRGAIKGHSAANTTCRDVWTEYHDMGFAGIKAVAEYKTYTADSLVDLLHFVAPKMMARGTAHFSYGVADNLDDPQYKHYKYWSNPLETRLPNAPDMEIYSLYGVGLPTERAYVYKLSPHAECSIPFKIDTSADDEDTCLRDGVYSVDGDETVPVLSAGFMCAKGWRGKTRFNPSGIRTYIREYNHLPPANLLEGRGTLSGAHVDIMGNFALIEDVIRIAAGASGEELGGDQVYSKIFNWSEKINLRLDEEIPVHSSR >KJB38627 pep chromosome:Graimondii2_0_v6:6:50308169:50309035:-1 gene:B456_006G263800 transcript:KJB38627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRTLDINVISAKGLKNVNLIDKMDVYAVVSLKGDSSKQKLKTPVHKDCGKTPNWNFPVKFTVDESLAKNNKLSIKFKIMCERILGDKELGVVNVPVKELLDSPGDGGSMKFVSFQVRKPSGKPEGTLDFSYKFGDKVSEPVGKNKGDDHPHHQPVTAYPAAHVAAGSSSAVPYGGPGAYPPPPQGAGYGYPPPPPHAVAGYGGYPPQGPPPPGYGYPPPPPGYAYPPPPPGAYGYPPVQQPPKKNSKFGLGLGAGLVGGAIGGMLIGDMVSDAADYDGGFDGGFDF >KJB35014 pep chromosome:Graimondii2_0_v6:6:33501454:33506138:1 gene:B456_006G095700 transcript:KJB35014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESEECCSTQVINGDGSFNDEGIDHFVKEIKLAECGLSYAVVSIMGPQSSGKSTLLNHLFHTNFREMDAFMGRSQTTKGIWIAKCAGLEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLEHLEPVLREDIQKIWDSVPKPEAHMETSLSEFFNVEVVALSSYEGKEELFKEQVANLRQRFFHSIAPGGIAGDRRGAVPASGFSFSAQHIWKVIKENKDLDLPAHKVMVATVRCEEIASEKYTSFTSNENWHSLEEAVKSGPVAGFGKKLNSILYTSLSEYDAEATYFDEGVRSAKRKHLEEKLLQLVQPAYQSMLGHLRIETLEKFKEAFDKALKGDEGFSVAACKCIKTYMASFDAGYA >KJB35598 pep chromosome:Graimondii2_0_v6:6:37199053:37204345:1 gene:B456_006G121200 transcript:KJB35598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKSRNGSSEGPQRVSHRAVRQLKPITLDTEAASTPSSTSRTSKEKSPKVVERRSPRSLASEKKRPSRISELEVQNSQLQEELKKAKDQLSSSESCRKQVEQDAEESKKQLLALSAKFEESQKQLLELSGCKETHVVELHKISEERDQAWQSELKAIQRQQSLDSAALVSAVNEIQRLKDQLEKVTESEAAQTRLAESAHLELQCLKGNLVETQSLVENMKKQLKDSQESEAQAQAVANETLLQLEAAKKTVEALRSEGKKAVEAYNSIAFDLDQSRKRVYSLEGLVHKLKAEMTDSGGSLSIESDGNCIAVEHQAGESEKPEQSHRLEAEIFSLKSEVACLRSALETAEIKCHEEETLNTQQIKSANELVEQIKSEASLKEADLLAELQKANFNIADLKANLMDKETELQCISEENEELHMKLEKNLSRQRELELEKELKLLNEAIVDLKANMMDKETELQNISEENEMLRLEISKRDMDKGKTNDKVAAELDEARVAEREAIMKLGLAMEEADKSNRRAARVTEQLEAAQTANSEMEAELRRLKVQSDQWRKAAEAAASMLSAGNNGKFMERTGSLDSNYNPVKGKVSPPYAEDSDEDLLKKKNGNMLKKIGVLWKKPQK >KJB35597 pep chromosome:Graimondii2_0_v6:6:37198645:37204360:1 gene:B456_006G121200 transcript:KJB35597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKSRNGSSEGPQRVSHRAVRQLKPITLDTEAASTPSSTSRTSKEKSPKVVERRSPRSLASEKKRPSRISELEVQNSQLQEELKKAKDQLSSSESCRKQVEQDAEESKKQLLALSAKFEESQKQLLELSGCKETHVVELHKISEERDQAWQSELKAIQRQQSLDSAALVSAVNEIQRLKDQLEKVTESEAAQTRLAESAHLELQCLKGNLVETQSLVENMKKQLKDSQESEAQAQAVANETLLQLEAAKKTVEALRSEGKKAVEAYNSIAFDLDQSRKRVYSLEGLVHKLKAEMTDSGGSLSIESDGNCIAVEHQAGESEKPEQSHRLEAEIFSLKSEVACLRSALETAEIKCHEEETLNTQQIKSANELVEQIKSEASLKEADLLAELQKANFNIADLKANLMDKETELQCISEENEELHMKLEKNLSRQRELELEKELKLLNEAIVDLKANMMDKETELQNISEENEMLRLEISKRDMDKGKTNDKVAAELDEARVAEREAIMKLGLAMEEADKSNRRAARVTEQLEAAQTANSEMEAELRRLKVQSDQWRKAAEAAASMLSAGNNGKFMERTGSLDSNYNPVKGKVSPPYAEDSDEDLLKKKNGNMLKKIGVLWKKPQK >KJB35596 pep chromosome:Graimondii2_0_v6:6:37199176:37204360:1 gene:B456_006G121200 transcript:KJB35596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKSRNGSSEGPQRVSHRAVRQLKPITLDTEAASTPSSTSRTSKEKSPKVVERRSPRSLASEKKRPSRISELEVQNSQLQEELKKAKDQLSSSESCRKQVEQDAEESKKQLLALSAKFEESQKQLLELSGCKETHVVELHKISEERDQAWQSELKAIQRQQSLDSAALVSAVNEIQRLKDQLEKVTESEAAQTRLAESAHLELQCLKGNLVETQSLVENMKKQLKDSQESEAQAQAVANETLLQLEAAKKTVEALRSEGKKAVEAYNSIAFDLDQSRKRVYSLEGLVHKLKAEMTDSGGSLSIESDGNCIAVEHQAGESEKPEQSHRLEAEIFSLKSEVACLRSALETAEIKCHEEETLNTQQIKSANELVEQIKSEASLKEADLLAELQKANFNIADLKANLMDKETELQCISEENEELHMKLEKNLSRQRELELEKELKLLNEAIVDLKANMMDKETELQNISEENEMLRLEISKRDMDKGKTNDKVAAELDEARVAEREAIMKLGLAMEEADKSNRRAARVTEQLEAAQTANSEMEAELRRLKVQSDQWRKAAEAAASMLSAGNNGKFMERTGSLDSNYNPVKGKVSPPYAEDSDEDLLKKKNGNMLKKIGVLWKKPQK >KJB37573 pep chromosome:Graimondii2_0_v6:6:46582316:46585608:-1 gene:B456_006G211200 transcript:KJB37573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLTSSLLCPLLIWLLLQAFNSIINGSKSSQQKLPPACQHHEVGLTWIPVSPLWRTLRKVCNTHVFASMKLDATQYLRRNKIQELVANVGESCHKGEAIKIGQAVFDTTINLLSNTIFSVDLADPNLSSAQEFRKIKIDPKGVRRWMTVHFNKLLNLFGNMFDERRQSRQSQDYSVSNDVLDTLFDIIEGGIEKLNKIIVTKLFLVLLKAKKKLDEAIDKENPVEESDINRLPYLQAIIKETFRMHPAVPLLLPRQQALMLTFAAIGKDRSIWNNLNSFMPERFLGSEIDVKGKDFGLIPFGAGRRICPRLLLANRMLHLILGYLNWSLINYFDRKLEGGILRNEMNMEEKYRLAVQRAEPLRAIPILV >KJB33720 pep chromosome:Graimondii2_0_v6:6:7061625:7065250:-1 gene:B456_006G027400 transcript:KJB33720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFASQESESNSRTLYCRKEKSLGLLCSNFLALYNHDSVQTIGLDDAASKLGVERRRIYDVVNILESIGVVARKGKNQYLWKGFGEIPKALEKLKEEALKQNFCFSDCSKSLRVLDENESADSSYVKNEGQDNLSESSKRTDTKREKSLWLITQNFVKLFLCSDAELITLDSAAIALLGDVHNSTAVRTKVRRLYDIANVFSSMNLIEKTHHPESRKPAFRWLGWGAKLHNGSTTALELNESKKRTFGTEITNQNLKRTKANSSIHRKLNQKENTGMHIKLDSVEHDHKMQQHSKQCSKGFAFGPFTPAAISSGNRNVRPIRDWESLASIYKPQYLNQALSDLFAHYMEAWNSWYAEVARKEEIH >KJB33721 pep chromosome:Graimondii2_0_v6:6:7061807:7065238:-1 gene:B456_006G027400 transcript:KJB33721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFASQESESNSRTLYCRKEKSLGLLCSNFLALYNHDSVQTIGLDDAASKLGVERRRIYDVVNILESIGVVARKGKNQYLWKGFGEIPKALEKLKEEALKQNFCFSDCSKSLRVLDENESADSSYVKNEGQDNLSESSKRTAIALLGDVHNSTAVRTKVRRLYDIANVFSSMNLIEKTHHPESRKPAFRWLGWGAKLHNGSTTALELNESKKRTFGTEITNQNLKRTKANSSIHRKLNQKENTGMHIKLDSVEHDHKMQQHSKQCSKGFAFGPFTPAAISSGNRNVRPIRDWESLASIYKPQYLNQALSDLFAHYMEAWNSWYAEVARKEEIH >KJB34289 pep chromosome:Graimondii2_0_v6:6:21100070:21102372:1 gene:B456_006G057500 transcript:KJB34289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRTGIPAGEISWTVKPYVRKENAAAMDLVKSWAVTSMEFLHCDLIQTVPAILFSLGGFSGNHFHDFSDLVIPLYITSRQFNGEVQFVVTDNRHWWISKFRGILAKLSRYNIVDIDQERKTHCYPSMIVGLKYHHELGIDQSKSQLSMKDFRQFLRRTYSLKRAKAIKIGDDARKMPRLLIITRRKSRSFTNIDKITRVASSLGYNVVTMEPNISTSLGSVAETVNSCDVLMGIHGAGLTNMVFLPDNAIVIQIVPLGSIDELAKQDFEQPAMDMELRYLEYKIKAKESSLISKYKADHLIIKDPLSVHKQGWDAVRSVYLDKQNVKLDVKRFRPTLLKALQLLHQ >KJB34290 pep chromosome:Graimondii2_0_v6:6:21100070:21102372:1 gene:B456_006G057500 transcript:KJB34290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRTGIPAGEISWTVKPYVRKENAAAMDLVKSWAVTSMEFLHCDLIQTVPAILFSLGGFSGNHFHDFSDLVIPLYITSRQFNGEVQFVVTDNRHWWISKFRGILAKLSRYNIVDIDQERKTHCYPSMIVGLKYHHELGIDQSKSQLSMKDFRQFLRRTYSLKRAKAIKIGDDARKMPRLLIITRRKSRSFTNIDKITRVASSLGYNVVTMEPNISTSLGSVAETVNSCDVLMGIHGAGLTNMVFLPDNAIVIQIVPLGSIDELAKQDFEQPAMDMELRYLEYKIKAKESSLISKYKADHLIIKDPLSVHKQGWDAVRSVYLDKQNVKLDVKRFRPTLLKALQLLHQ >KJB34288 pep chromosome:Graimondii2_0_v6:6:21099916:21102441:1 gene:B456_006G057500 transcript:KJB34288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKCSPFCSSFKEKRLTHGVLVGSLLAAFTISIVFKSYFCTQLSALHIQSQSSMDAAENQFMMFKDAGLLQELVEESTSAKSIFNACDCEPISNYCEINGDVAVQGNSSTISMVSLRTGIPAGEISWTVKPYVRKENAAAMDLVKSWAVTSMEFLHCDLIQTVPAILFSLGGFSGNHFHDFSDLVIPLYITSRQFNGEVQFVVTDNRHWWISKFRGILAKLSRYNIVDIDQERKTHCYPSMIVGLKYHHELGIDQSKSQLSMKDFRQFLRRTYSLKRAKAIKIGDDARKMPRLLIITRRKSRSFTNIDKITRVASSLGYNVVTMEPNISTSLGSVAETVNSCDVLMGIHGAGLTNMVFLPDNAIVIQIVPLGSIDELAKQDFEQPAMDMELRYLEYKIKAKESSLISKYKADHLIIKDPLSVHKQGWDAVRSVYLDKQNVKLDVKRFRPTLLKALQLLHQ >KJB34322 pep chromosome:Graimondii2_0_v6:6:21939848:21940452:-1 gene:B456_006G059800 transcript:KJB34322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALSSFSILFLLTIFQLSYAQDDFCFTSCTPFDCGKIFDISYPLWTDQHNRPSYYDFPNEGYTLKCRQNQPPVMMLNSEEFYMLHLNWSHGLLTIKRGGKETSLMFFKEDKNECIGNSEQVEILIGKKAFDDLISEINSVNESLVEPFDMRFIAYGDYC >KJB36650 pep chromosome:Graimondii2_0_v6:6:42854996:42858572:-1 gene:B456_006G168800 transcript:KJB36650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTDFDIEGLSLIDVSSEDDCLLSQSSGFLEEAGELEQPPGSLEQDELRKNGKYNLRKSLTWDNAFFASAGFLEPEELSSMLGGSEKGEISIFPGIQEDVNRSFDSLTMLDTEILTLENSDADLFEDIRASIQKFNKISNTANSIARKELETTDSESVSSSKKVAFITQDKMKQKAAPKRPNIGVKDTGKTMKQPLSKSGESTSSLHNLPMGLSQFAPISTTSTRRLSLDAKIVKMEKNPKSVAGRGTTVLKTRGLGGSRHIVPQSTLSTKSSSCFPASSKAELINYFIRSSSGYAISNPSKIVAKGKNQAGNSKLSTFLKSSTKLSSSISPASSISVQSSESLSSTSAANQRPNIVRDRLGIGYHKGLTTNCAVHQDLDSPNHPTGQCLLEAGAEVTGALDERVNKVSEETSGLLHPASVKPSSLRLPSPKIGFFDGVRSSGRSGSSNSAMIGKFQPVRILTAVKGPKVDVKQTSSLVRSRSSSSIQKPSNAATKVPSASRNLKSSPGTSPKLQNKSSPRTGRESYSKAQGIGSVETVVSQIVGVGGKDCARIKDAKIVPLDGVPQTADNLTSESDVQHIVTLKEAAEKETYSQPYFKTNTLSLYNTTKEDAPLEDQITGFVANGGPVEVDINSGTHKEAISDYHLAHG >KJB33822 pep chromosome:Graimondii2_0_v6:6:8378955:8380464:-1 gene:B456_006G032500 transcript:KJB33822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQSFFQVFFLALISFLFLYCLMRNKNGCPKSSPAIVELLLNVHRIHDWCTETLESCKGTFVLEGLWFAKMNWVVTCDPANAHYVMSSNFDNFPKGPEFKQMFDIFGDGIFNSDMDLWKNQRIAAQGFMRHHLFHQFLLRTIRDKVEMGLMPIIDHAAKHGLVINLEDIFRRFTFDSAFLFSKAVDDFEQAILFRHVRPRSFTKLQKWLNIGQEGKYKKAWKVLDDVLAEYICQKRKEANKLNQKLVSVDCVDLLTSYITEKESTGLKCDDKLLRDTALNMITAAMDTTSTALTWFTWLVSKHPIVENKIIEELESKIPIGETKRRRLFNVDEVKNLVYLHGALCEALRLNPPIPFNHKEPVKPDMLPSGHPVHPKTKVLFSVYSMGRMKSIWGEDCYEFKPERWINERGEIKHERSYKFLSFGAGPRICLGKETSFIQMKAVASALIYNYRIHVMEETPIVPTISIVLHTKNGLMTRISKRWE >KJB35363 pep chromosome:Graimondii2_0_v6:6:35797579:35801131:-1 gene:B456_006G111200 transcript:KJB35363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHSHPNSESVISANHEMLASEAALHHYSKSFRGFSAMLTPEQAKRVAESDGVVSVFESRTSKIHTTRTWNFLGIDSIQQYKQLQMDSSSNVIVGVIDSGIWPESESFSDQGFGPVPDKFKGECVTGEQFALSNCNRKIIGARYYFKGFEAEYGSLESQGGTFFRSARDSDGHGTHTASTIAGSVVANVSLLGMAGGTARGGAPSARLAIYKACWFGLCSDADVLLAMDDAISDGADILSLSLGPDPPQSIYFEDAISIGSFHAFQKGILVSCSAGNSFFPGTASNVAPWILTVAASSVDRIFNSNIYLGNSRILKGFSLNPLKMETSYCLIAGSAAAAKGVPPSNASFCKNNTLDATLIKGKIVVCTIETLTDNRREKSIFIRQGGGVGMILIDPLAKDIGFQFVVPGTVIGQEEAVLLQKYMETEKNPVAKIYPTITVLNTKPAPAVAGFSSMGPNIVTPEIIKPDITGPGLNILAAWSPVAIEATAERSVNYNIVSGTSMSCPHISAVAAIIKSIKPSWSPAAIKSAIMTTATALDNTKHLIGRQPFGNETTPFDYGSGHINPTAALEPGLIYDLDSTDIINFLCSIGASPAQLKNLTGQLTYCQNPPIPSYNLNYPSIGVSNMNGSLSVYRTVTYYGKDPTVYYAYVDHPVGVKVKVTPSKLCFTKTGEKMSFKVDFIPYMNSSGSFVFGALTWSNGIHKVRSPIGLNVLSV >KJB34865 pep chromosome:Graimondii2_0_v6:6:32317927:32318700:-1 gene:B456_006G088000 transcript:KJB34865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPREKSTAAVKGNGNGGGGISKEVHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDAAAREFRGAKAKTNFPLPCENINNGVNVINCDNHINNNGKINININNNQSSNQSSTVESSSLEPTTIMIDSSPLDLNLGRRSITGYGSSTVRFPFHQVSPVTGFFHAAGVPTIASPKVFYFDAFVRSGGMVKGQQYQRMTFDHHDFHTAFNGSVQSDSDSSSVVDLNHDEIKPRPLLNIDLNQPALPEIA >KJB38381 pep chromosome:Graimondii2_0_v6:6:49552337:49553528:1 gene:B456_006G252200 transcript:KJB38381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHPHSILTPGISRKRKEREPFYSFKPSMPVPSPVYTGPKPLPSSNCSNQLLAGYMAHEFLTRGTLLGQKFDPARSEAVPVIGSLAGPRKPVVKLETEPRNLKKESQSYADVARILKDDGTHIPGIVNPTQLSRWIKM >KJB35736 pep chromosome:Graimondii2_0_v6:6:37799330:37804366:1 gene:B456_006G125900 transcript:KJB35736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMKLGSKTDAFQRQGQAWFCTTGLPSDVVVEVGEMSFHLHKFPLLSRSGVMERLIAEASDEEEKCSICLPDIPGGAKTFELVAKFCYGVKLELTASNVVYLRCAAEHLEMTEEYGEGNLIVQTETFLNQVVLRNWKDSLRALQTCDDIISYADELNITKRCIESLAMKASTDPNLFGWPMMEHGGPMQSPGGSVLWNGISTGAKPKNTSSDWWYEDASNLSLPLYKRLISVMESRGIRQEIIAGSLTFYAKRYLPGLYRRQGANDSNSSTCLAPVASGAPLSEEDQKILLEEIDRLLPIQKGLVPTKFLFGLLRTAMILRASPSCISNFEKRIGLQLDKATLEDLLMPNFSYSMETLYNVDCVQRILEHFLAMDQITGGASPCSADDGQIIGSPSLTPITMVAKLIDGYLAEVAPDVNLKLPKFQALAASVPDYARPLDDGLYRAIDIYLKSHPWLSESDREQLCRLMDCQKLSLEACTHAAQNERLPLRIVVQVLFFEQLQLRTSIAGCFLVSDNLDGSRQLRSGFTGSTEGGWASAVRENQVLKVGMDNMRMRVSELEKECSNMRQEIEKLGRVKGSSTWGNVSKKFGFKLKSQMCSAQEDSVSNQKNGSGKIEKLKGKAQEKHKS >KJB35735 pep chromosome:Graimondii2_0_v6:6:37799469:37804362:1 gene:B456_006G125900 transcript:KJB35735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMKLGSKTDAFQRQGQAWFCTTGLPSDVVVEVGEMSFHLHKFPLLSRSGVMERLIAEASDEEEKCSICLPDIPGGAKTFELVAKFCYGVKLELTASNVVYLRCAAEHLEMTEEYGEGNLIVQTETFLNQVVLRNWKDSLRALQTCDDIISYADELNITKRCIESLAMKASTDPNLFGWPMMEHGGPMQSPGGSVLWNGISTGAKPKNTSSDWWYEDASNLSLPLYKRLISVMESRGIRQEIIAGSLTFYAKRYLPGLYRRQGANDSNSSTCLAPVASGAPLSEEDQKILLEEIDRLLPIQKGLVPTKFLFGLLRTAMILRASPSCISNFEKRIGLQLDKATLEDLLMPNFSYSMETLYNVDCVQRILEHFLAMDQITGGASPCSADDGQIIGSPSLTPITMVAKLIDGYLAEVAPDVNLKLPKFQALAASVPDYARPLDDGLYRAIDIYLKSHPWLSESDREQLCRLMDCQKLSLEACTHAAQNERLPLRIVVQVLFFEQLQLRTSIAGCFLVSDNLDGSRQLRSGFTGSTEGGWASAVRENQVLKVGMDNMRMRVSELEKECSNMRQEIEKLGRVKGSSTWGNVSKKFGFKLKSQMCSAQEDSVSNQKNGSGKIEKLKGKAQEKHKS >KJB33647 pep chromosome:Graimondii2_0_v6:6:6253436:6255702:1 gene:B456_006G023900 transcript:KJB33647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKILLLLLLIQCIVFIGSSRQLKNTNINIDNTFNVINFGAIGDGQKDDSKMFKHAWDAACDSSAPSPTFLVPRGKTYLLQPLTFNGKHCNSNNITLQVDGRIIAPTKPSAWECETNCNHWIGFENFDGLHIQGSGTINGQGDKWWKLSCKDNEKSCQHRKPTGFMIGHSKNVDIKGLTFEDSPQMHIAFESSTLIHATELTIRAPGHSPNTDGIHIQRSTNVSIDNSTIQTGDDCISIGNESKYINISNIECGPGHGISIGSLGIMGKTEEVEFVHVRNVTFHGTTNGVRIKTWQGGHGHARNIKFEDITSHSSTRPTVIDQYYCPHKQCKNQTSAVEISNIAYENINGTSPKEIAVQLSCSESVPCKNITMKDINLIYEKHKDKTSSYCLNARGLRNGRVHPSVSCLQEEDNF >KJB34104 pep chromosome:Graimondii2_0_v6:6:16169305:16172636:-1 gene:B456_006G048200 transcript:KJB34104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPTHENWWQIVCDFGAIWSTTRACVQDSWSTRPCATVTLEYFHYHIATTSYTASHTSMFLSLGIFVTDHTTSESYTATYLNITQFQPFHTSWPRDRVTTVLQFCLDFCEMFQFIPCIIPNLFWSFRRLN >KJB34583 pep chromosome:Graimondii2_0_v6:6:29452997:29454226:-1 gene:B456_006G073900 transcript:KJB34583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKERRIQQQSPVEAEIPLLPQEILVEILSRLPVKSLCQFRCVSKQWLSLMSDPLLAKSHLKKTVSNDCFYSQRKRVIISSHNLYSLEYESIGRNGSFDENLVALELDYPLKDKPNGLAELLDSARDGFLYCERSEEGNDEFPVMVKLNLPFCVNQRNWVDILGSCNGLVCIAPDEDTLFLFNPSTRESKRIPDPPSGFVPNGWSFYGFGYDFVNDDYKVVKVGCGTVCVYSLRTDSWRIVCSFPYVDNVSESGVLLNGTIHWMVSFEDGVDCRCVVAAFSLEEEVFSDMPAPDIVNTSFEFVVGVLNGCLCVLHSRNQMHNDFWVMTEYGVGKSWTKLTLSLSYICMKPLCLAQNREALLDVDGKLLLYNLEDDSYKYLVVDGIPAGDGFEADTYLETLVSPNGFCRT >KJB34884 pep chromosome:Graimondii2_0_v6:6:32408355:32411120:1 gene:B456_006G088600 transcript:KJB34884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-61 [Source:Projected from Arabidopsis thaliana (AT1G28490) UniProtKB/Swiss-Prot;Acc:Q946Y7] MSKAQDPFYIVKEEIQDSIDKLQSSFHQWERVPGGTGEQVHLTKELLANCESIAWQVDELDKAISVAARDPSWYGINEVELENRRRWTSNARLQVADVKRTVGAGKENDNSASAIRRELMRLPNSQQPDISDHYSAKSNDDFVASESDRQMLLLNRMRSWMS >KJB34885 pep chromosome:Graimondii2_0_v6:6:32408355:32411137:1 gene:B456_006G088600 transcript:KJB34885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-61 [Source:Projected from Arabidopsis thaliana (AT1G28490) UniProtKB/Swiss-Prot;Acc:Q946Y7] MSKAQDPFYIVKEEIQDSIDKLQSSFHQWERVPGGTGEQVHLTKELLANCESIAWQVDELDKAISVAARDPSWYGINEVELENRRRWTSNARLQVADVKRTVGAGKENDNSASAIRRELMRLPNSQQPDISDHYSAKSNDDFVASESDRQMLLLKQQDEELDELSTSVKRIGGVGLTIHEELLAQEKILDELGTEMDSTKNRLDFVQKKMAMVMKKAGAKGQIMIIIFLLVLFIILFILVFFT >KJB34886 pep chromosome:Graimondii2_0_v6:6:32408355:32411173:1 gene:B456_006G088600 transcript:KJB34886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-61 [Source:Projected from Arabidopsis thaliana (AT1G28490) UniProtKB/Swiss-Prot;Acc:Q946Y7] MSKAQDPFYIVKEEIQDSIDKLQSSFHQWERVPGGTGEQVHLTKELLANCESIAWQVDELDKAISVAARDPSWYGINEVELENRRRWTSNARLQVADVKRTVGAGKENDNSASAIRRELMRLPNSQQPDISDHYSAKSNDDFVASESDRQMLLLKQQDEELDELSTSVKRIGGVGLTIHEELLAQEKILDELGTEMDSTKNRLDFVQKKMAMVMKKAGAKGQIMIIIFLLVLFIILFILVFFT >KJB35804 pep chromosome:Graimondii2_0_v6:6:38252629:38256479:-1 gene:B456_006G129100 transcript:KJB35804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKPPSMKGNNSNGTNKHKKGLWSPEEDDKLVTYMLTNGRGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEQELIVHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKHSSSTASHNASDSSSEPNKDAMAAGFMTMLEQEVPPIYLDLSSAWSNSFLQSMVLNHSGNSLPMLQHGRNVVGAVGYFDPAGSCVTQAEVNGDSSLGESEIFGSVDNGIERELYVPPLESIGKDLKTENSVDGNINNGFNIINTSGVRSNNNNNMSKNMDSDDVGSFWIGEELKVGEWDMENLMKDVSSFPFLDFQS >KJB37974 pep chromosome:Graimondii2_0_v6:6:47976105:47980291:-1 gene:B456_006G229500 transcript:KJB37974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKSPCLPPMSGRVPGFSSSGNAASTSNAISETGNFGQTSDSNSFSHDISKMPDNPPKNLGHRRAHSEIITLPDDINFDGDLGVVGAADGPSYSDETEDDMLSMYLDIDKFNSSSVTSMFQVGKSLTTTAPNSGPSAAVSMGAGTATSGEENASVGVGSSEKPRIRHQHSQSMDGSTSIKPEMLLSGSDEVTPADSKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKIQTLQTEATSLAAQLTLLQRDSSGMSAENSELKLRLQTMEQQVYLQDSLNDALKEEIQHLKVLTAQPMPNGGPMMNFASFGASQQYIPNNHAMQAVLTAQQFQQLQIQLQKHQHQFPPHQLHQLQQQLQLQQELQQQAGEMRVRGSMPSPNRRDGPSSSDVSSTASND >KJB37973 pep chromosome:Graimondii2_0_v6:6:47976642:47979816:-1 gene:B456_006G229500 transcript:KJB37973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKSPCLPPMSGRVPGFSSSGNAASTSNAISETGNFGQTSDSNSFSHDISKMPDNPPKNLGHRRAHSEIITLPDDINFDGDLGVVGAADGPSYSDETEDDMLSMYLDIDKFNSSSVTSMFQVGKSLTTTAPNSGPSAAVSMGAGTATSGEENASVGVGSSEKPRIRHQHSQSMDGSTSIKPEMLLSGSDEVTPADSKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKIQTLQTEATSLAAQLTLLQRDSSGMSAENSELKLRLQTMEQQVYLQDSLNDALKEEIQHLKVLTAQPMPNGGPMMNFASFGASQQYIPNNHAMQAVLTAQQFQQLQIQLQKHQHQFPPHQLHQLQQQLQLQQELQQQAGEMRVRGSMPSPNRRDGPSSSDVSSTASND >KJB37972 pep chromosome:Graimondii2_0_v6:6:47976642:47979816:-1 gene:B456_006G229500 transcript:KJB37972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKSPCLPPMSGRVPGFSSSGNAASTSNAISETGNFGQTSDSNSFSHDISKMPDNPPKNLGHRRAHSEIITLPDDINFDGDLGVVGAADGPSYSDETEDDMLSMYLDIDKFNSSSVTSMFQVGKSLTTTAPNSGPSAAVSMGAGTATSGEENASVGVGSSEKPRIRHQHSQSMDGSTSIKPEMLLSGSDEVTPADSKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKIQTLQTEATSLAAQLTLLQRDSSGMSAENSELKLRLQTMEQQVYLQDSLNDALKEEIQHLKVLTAQPMPNGGPMMNFASFGASQQYIPNNHAMQAVLTAQQFQQLQIQLQKHQHQFPPHQLHQLQQQLQLQQELQQQAGEMRVRGSMPSPNRRDGPSSSDVSSTASND >KJB37975 pep chromosome:Graimondii2_0_v6:6:47976112:47980201:-1 gene:B456_006G229500 transcript:KJB37975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKSPCLPPMSGRVPGFSSSGNAASTSNAISETGNFGQTSDSNSFSHDISKMPDNPPKNLGHRRAHSEIITLPDDINFDGDLGVVGAADGPSYSDETEDDMLSMYLDIDKFNSSSVTSMFQVGKSLTTTAPNSGPSAAVSMGAGTATSGEENASVGVGSSEKPRIRHQHSQSMDGSTSIKPEMLLSGSDEVTPADSKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKIQTLQTEATSLAAQLTLLQRDSSGMSAENSELKLRLQTMEQQVYLQDSLNDALKEEIHQQYIPNNHAMQAVLTAQQFQQLQIQLQKHQHQFPPHQLHQLQQQLQLQQELQQQAGEMRVRGSMPSPNRRDGPSSSDVSSTASND >KJB34519 pep chromosome:Graimondii2_0_v6:6:28480661:28482971:1 gene:B456_006G070600 transcript:KJB34519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSFIGRVLFASVFILSAWQEFNEFGVDGGPAAKELRPKFNVFSKTVSSHTGLQVPEFDIKYVVAAAVAFKGVGSILFTFGSTIGAYLLVLHQLILTPIVYDFYNYDTEKKEFGLLFTKFSQVGIYIFSRNEG >KJB34518 pep chromosome:Graimondii2_0_v6:6:28480380:28483903:1 gene:B456_006G070600 transcript:KJB34518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSFIGRVLFASVFILSAWQEFNEFGVDGGPAAKELRPKFNVFSKTVSSHTGLQVPEFDIKYVVAAAVAFKGVGSILFTFGSTIGAYLLVLHQLILTPIVYDFYNYDTEKKEFGLLFTKFSQNLTLLGALLFFIGMKNSIPTRQLKKKAPKTKTV >KJB37470 pep chromosome:Graimondii2_0_v6:6:46219942:46223168:-1 gene:B456_006G206600 transcript:KJB37470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRLRGGFTTTDSPPLHTSEPLRRTAFNRLFAAVYFSAILALLYRHVQNLFLLSTTSLLSFSTILSLFISDLVLAFMWASLQALRMSPIRRKEFPQDLKQIIKDEDFVGLDVFICTADPYKEPPMNVVNTALSLMAYDYPTDKISIYVSDDGGSDLTLFAFMEAAEFARHWLPFCREHNVMERSPQVYFESNYHSSSPHFEKIKIMYEDMKMKVEHVMDKGKIADEYISDEQRRQVFNKWIKGFTRMNHPTVIQIMNYEQVILDKKHDKDNSGRLMPNLIYVSREKNNTSPHHFKAGALNVLLRVSAVMTNAPIILTQDCDMYSNDPQTLLRILCYLSDPAIKSNLAFIQFPQRFHGLNKQDIYACEYKHHFQVNPMGFDGLKGPSYIGSGCFFHRRALFGGPSTPVPPEIPELSPDHVVSKPIQSQEILSLAHHVAGCNYENQTNWGSKSGFRYGSLVEDYNTSYRLHCEGWKSLFCNPKRAAFLGDVPITLFDVLSQNKRWCIGLFEVAFSKYNPLIFGSQYMGVLMSLAYSHYAFWPIWCIPVIFYSFLPQLALLNQFSIFPKLSEPWFFLYVFLFLGAYGQDFVEFFLAGGTVRRWWNCQRMWMIRGLSCYLFGSVEYLLKSIGISTHGFSLTSKALDDEHSKRYGRGIFEFRVPSPLFVPLTMAAIINLFSFLLGLTAFMRGNNMEGLGLQMILIGFIVLNCLPVYGAIALRNDAGKIPTQITIISTFVSVAFYYASSLCF >KJB37962 pep chromosome:Graimondii2_0_v6:6:47929937:47930512:1 gene:B456_006G228400 transcript:KJB37962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKDEEEDMKEAFNVFDQNGDGYISVDELRFVLVSLGQQERTVDNCKRMITKVDVDVDGRVNFMEFMQMLKRGGGFSALVYRHSSTNQY >KJB34698 pep chromosome:Graimondii2_0_v6:6:30425022:30430880:-1 gene:B456_006G079100 transcript:KJB34698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTLSFSQATTVPTTNYAFHSQSPINLRFCGLRREAFGISILTRSSSGRVRLSTRGRSKRTSASTGNNGTPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGFGTIVGPQKVKYGKVGFTDNVVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIAIVGSGYIGLEFSDVYTALGSEITPARDGKPVIVELTDAKTKEHKDTLEVDAALIATGRAPFTYGLGLENINVVTQRGFVPVDERMRVIDANGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSVPAACFTHPEISMVGLTEPQAREKAEKEGFEISVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAVALGTRIQDIRFAVHAHPTLSEVLDELFKSAKVNAPESTSSPISEPVAA >KJB34699 pep chromosome:Graimondii2_0_v6:6:30424551:30431071:-1 gene:B456_006G079100 transcript:KJB34699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTLSFSQATTVPTTNYAFHSQSPINLRFCGLRREAFGISILTRSSSGRVRLSTRGRSKRTSASTGNNGTPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGFGTIVGPQKVKYGKVGFTDNVVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIAIVGSGYIGLEFSDVYTALGSEITPARDGKPVIVELTDAKTKEHKDTLEVDAALIATGRAPFTYGLGLENINVVTQRGFVPVDERMRVIDANGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSVPAACFTHPEISMVGLTEPQAREKAEKEGFEISVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAVALGTRIQDIRFAVHAHPTLSEVLDELFKSAKVNAPESTSSPISEPVAA >KJB34696 pep chromosome:Graimondii2_0_v6:6:30424551:30431071:-1 gene:B456_006G079100 transcript:KJB34696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTLSFSQATTVPTTNYAFHSQSPINLRFCGLRREAFGISILTRSSSGRVRLSTRGRSKRTSASTGNNGTPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGFGTIVGPQKVKYGKVGFTDNVVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEISKLAQRVLINPRKIDYHTGVFATKITPARDGKPVIVELTDAKTKEHKDTLEVYAALIATGRAPFTYGLGLENINVVTQRGFVPVDERMRVIDANGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSVPAACFTHPEISMVGLTEPQAREKAEKEGFEISVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAVALGTRIQDIRFAVHAHPTLSEVLDELFKSAKVNAPESTSSPISEPVAA >KJB34693 pep chromosome:Graimondii2_0_v6:6:30424536:30431071:-1 gene:B456_006G079100 transcript:KJB34693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTLSFSQATTVPTTNYAFHSQSPINLRFCGLRREAFGISILTRSSSGRVRLSTRGRSKRTSASTGNNGTPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGFGTIVGPQKVKYGKVGFTDNVVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEISKLAQRVLINPRKIDYHTGVFATKITPARDGKPVIVELTDAKTKEHKDTLEVDAALIATGRAPFTYGLGLENINVVTQRGFVPVDERMRVIDANGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSVPAACFTHPEISMVGLTEPQAREKAEKEGFEISVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAVALGTRIQDIRFAVHAHPTLSEVLDELFKSAKVEREALEALKVG >KJB34695 pep chromosome:Graimondii2_0_v6:6:30425303:30430880:-1 gene:B456_006G079100 transcript:KJB34695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTLSFSQATTVPTTNYAFHSQSPINLRFCGLRREAFGISILTRSSSGRVRLSTRGRSKRTSASTGNNGTPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGFGTIVGPQKVKYGKVGFTDNVVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEISKLAQRVLINPRKIDYHTGVFATKITPARDGKPVIVELTDAKTKEHKDTLEVDAALIATGRAPFTYGLGLENINVVTQRGFVPVDERMRVIDANGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSVPAACFTHPEISMVGLTEPQAREKAEKEGFEISVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAVALGTRIQDIRFAVHAHPTLSEVLDELFKSAKVSFGSFLHCSQELLNFYLLRFCDYVLIRHKLLPGLES >KJB34694 pep chromosome:Graimondii2_0_v6:6:30424551:30431071:-1 gene:B456_006G079100 transcript:KJB34694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTLSFSQATTVPTTNYAFHSQSPINLRFCGLRREAFGISILTRSSSGRVRLSTRGRSKRTSASTGNNGTPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGFGTIVGPQKVKYGKVGFTDNVVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEISKLAQRVLINPRKIDYHTGVFATKITPARDGKPVIVELTDAKTKEHKDTLEVDAALIATGRAPFTYGLGLENINVVTQRGFVPVDERMRVIDANGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSVPAACFTHPEISMVGLTEPQAREKAEKEGFEISVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAVALGTRIQDIRFAVHAHPTLSEVLDELFKSAKVNAPESTSSPISEPVAA >KJB34697 pep chromosome:Graimondii2_0_v6:6:30425022:30430880:-1 gene:B456_006G079100 transcript:KJB34697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTLSFSQATTVPTTNYAFHSQSPINLRFCGLRREAFGISILTRSSSGRVRLSTRGRSKRTSASTGNNGTPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGFGTIVGPQKVKYGKVGFTDNVVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEISKLAQRVLINPRKIDYHTGVFATKITPARDGKPVIVELTDAKTKEHKDTLEVDAALIATGRAPFTYGLGLENINVVTQRGFVPVDERMRVIDANGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSVPAACFTHPEISMVGLTEPQAREKAEKEGFEISVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAVALGTRIQDIRFAVHAHPTLSEVLDELFKSAKVNAPESTSSPISEPVAA >KJB34701 pep chromosome:Graimondii2_0_v6:6:30426086:30430880:-1 gene:B456_006G079100 transcript:KJB34701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTLSFSQATTVPTTNYAFHSQSPINLRFCGLRREAFGISILTRSSSGRVRLSTRGRSKRTSASTGNNGTPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGFGTIVGPQKVKYGKVGFTDNVVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEISKLAQRVLINPRKIDYHTGVFATKITPARDGKPVIVELTDAKTKEHKDTLEVDAALIATGRAPFTYGLGLENINVVTQRGFVPVDERMRVIDANGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSVPAACFTHPEISMVGLTEPQAREKAEKEGFEISVAKTSFKANTKALAENEGEGLAKVIS >KJB34700 pep chromosome:Graimondii2_0_v6:6:30425165:30430880:-1 gene:B456_006G079100 transcript:KJB34700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTLSFSQATTVPTTNYAFHSQSPINLRFCGLRREAFGISILTRSSSGRVRLSTRGRSKRTSASTGNNGTPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGFGTIVGPQKVKYGKVGFTDNVVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEISKLAQRVLINPRKIDYHTGVFATKITPARDGKPVIVELTDAKTKEHKDTLEVDAALIATGRAPFTYGLGLENINVVTQRGFVPVDERMRVIDANGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSVPAACFTHPEISMVGLTEPQAREKAEKEGFEISVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAVALGTRIQDIRFAVHAHPTLSEVLDELFKSAKVLDMKTLRKMKS >KJB38472 pep chromosome:Graimondii2_0_v6:6:49922208:49922775:1 gene:B456_006G257300 transcript:KJB38472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSSYDIFKQINKEKEFLCRRAYFTMGKVCCVEESDDAGLSLTGFLIVLILAILLMSICSPQPRRPAYAVYRYR >KJB34242 pep chromosome:Graimondii2_0_v6:6:20260610:20261145:-1 gene:B456_006G055200 transcript:KJB34242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLKQFAFPMLAFFVMCPLAIAVSEDLLFINYHIHVTNDLPSDLPPGVPSLHLHCKSKDEDLGEKVMFKHEDYTWDAKINLFRTTLFFCSAWWEGKQQYFEAFKATRDEHRCRIYHNSCLWSVREDGIYFSKDSLTWYNEYPW >KJB38047 pep chromosome:Graimondii2_0_v6:6:48259558:48261150:-1 gene:B456_006G234300 transcript:KJB38047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTGDTASSSRTGDLPELSSEATLFGKYELGKLLGCGAFAKVYHARDVDSGQSVAIKAVSKKKVLKGGFMAHVKREIAIMRRLRHPNIVKLIEVLATKTKVYFVMEFAKGGELFTRISRGRFSEDLSRRYFQQLISAVRFCHSRGVFHRDLKPENLLLDENWNLKITDFGLSAVTDQIRPDGLLHTLCGTPAYVAPEILAKKGYDGAKVDVWSCGIVLYVLHAGYLPFNDPNLMVMYRRIYKGEFRFPKWTSPDLRRFLSRLLDTNPETRITVDEIITDPWFKKGYKETKFNAEEFELKGDIQSTKCLNAFHIISFSTGFDLSGLFNDADFSARREQFVSGEKPERIILRIEEEFRKIENVKAKKRKERGIYLEGQDSNLILTVDIHQLTEILVVAEIRWREINVEPNSDVWKHKLRPRLSDIIYETEAVHVSE >KJB33695 pep chromosome:Graimondii2_0_v6:6:6899849:6904242:1 gene:B456_006G026800 transcript:KJB33695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADERKSIEEVESSPLKKKNSCIRSIFMHADNVDRFLMAAGFIGGVVDGTVAPLMIYLNGRLFNNLDASSKDAAADMVTRNVHHVALLLILTACGGWVGCFTEGFCWTRTSERQATRMRSRYLKAILRQDVGYFDLNVTSTAEVVTSVSNDSLIIQEVISEKIPHLIARGTSFIGAYIIAFLVLWKLALLIFPFVLLLVIPSLIYGKILLSLARKTQMEYKLASTIAEQAISSIRTVYAFVGENKTSIKFSEALQGSVKLGLKQGWAKGLALGSSGITHLIWAFVTYYGSRLIMYHGAKGGTIYIVGTCITFGGHYLVASLSTLMPLFEACSAAERINEVIKRIPKIDLGNMEGEILDNVMGEIEFKQVEFAYPSRLENIIFKDFSLKIPAGKAVALVGSSGSGKSTVISLLQRFYDPIGGDIALDGVPINKLQLKWFRSQMGLVSQEPTLFATTIKENILFGKEDAEMEEIIEAAKASNAHNFISELPQGYDTQVGERGIQLSGGQKQRIAIARAIIKAPKILLLDEATSALDSESECIVQEALDKASVGNTTIIIAHRLSTIRHADLIAVVQDGQVTETGSHDELMGNEMGLYPMLVQLQHTEQEQVKEKGDKDLSTNAIDINDASSHRLSLASRTSSANSVVANHSSLCEEMNVEDKKLPAPSFKRLLAMNLPEWKEAILGCLGAVLYGAVQPLSAFTMGLMISMFFLSDHGEIKKKAKVYALSFLGIGLFSLIINVIQHYNFAYMGEHLTKRIREGMLSKILTFEVGWFDQDENSSGTVCSRLAKDASVVRSLVGDRMSLLMQTISGVTLACVMGLFLSWRLALVMIVLQPFIIFSLYTRMVLLKSTSKKAIKAQQDSSKLAADAVSNHRTITAFSSQDRILKMMEKAYEGPRKESVRQSWFTGLGFGSAQFLTACIVAFNFWYGGKLISQGYISSEALIQTFLILVSTGLVIAEAASMTSDLVKSSEVVASLFAILDHCTRIGPDDSNGYIAEEITGHVEIHDVDFAYPARPNVIILKNFSFTVEAGRSTALVGQSGSGKSTIISLIERFYDPLKGVVKIDGRDIKSYNLRTLRKHIALVSQEPTLFVGTIRENILYGASDETDESEVIEAAKAANAHDFIAGLGNGYETWYGDRGVQLSGGQKQRIAIARAVLKKPTILLLDEATSALDSKSEKVVQEALERLMVGRTSVVVAHRLSTIQNCNLIAVLEQGKVVEKGNHSSLLAKGSTGAYYSLVNLQRKTHNSTQSFK >KJB36276 pep chromosome:Graimondii2_0_v6:6:40853278:40855453:1 gene:B456_006G149800 transcript:KJB36276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAAAVGVVVAGGGVPFPVVFFDGECETGIGNVVIHPAMDFKAFQSILSRKIGISPHQFSVYIADGNNPRNRFPLTGKINFSVLSYEKDCFVLVVLKRSRRSRTRKGKNAESSPPMAEIKKEPPANAMLLRRGGVGGSELVEARVCTGLDEFERRVRDLQMEKERYLVNLGSANLRIARESKSLVCEECEKAKVVGTDIDFHWCVYDAVTFGFRSHAGPIARPAKGSGY >KJB34985 pep chromosome:Graimondii2_0_v6:6:33184190:33184573:1 gene:B456_006G094400 transcript:KJB34985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKEVIETFPPLEPRPQLKKGRAPPYQDRVHRQQPRAPDSIKDAYCAVALDCIAKYLAGYPDAYGAQYLDAVDRIWRGRIQDVERSKASDLVSEQLRNRRLLVEAAV >KJB33021 pep chromosome:Graimondii2_0_v6:6:51060500:51060618:1 gene:B456_006G2751003 transcript:KJB33021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIAMQTKNERFNQSEFRVWGASKSPALRLLKCGKSASI >KJB33020 pep chromosome:Graimondii2_0_v6:6:51060500:51060618:1 gene:B456_006G2751003 transcript:KJB33020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIAMQTKNERFNQSEFRVWGASKSPALRLLKCGKSASI >KJB36890 pep chromosome:Graimondii2_0_v6:6:43903340:43906816:1 gene:B456_006G181400 transcript:KJB36890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQKQAEEAIVSNFSETGHETREEENEDEQQQSMFSVTNLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGLMGSWTAYLISVLYIEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFIGLGMTTYTAWYMAIAAVLHGQAEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKCIYLLATLYVFTLTIPSASAVYWAFGDQLLNHSNAFSLLPKTGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTRSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRTASARQNAAEKPPFFLPSWTAMYAINAVVVMWVLVVGFGFGGWASMTNFVRQIDTFGLFAKCYQCKPPTPAAAQHH >KJB36889 pep chromosome:Graimondii2_0_v6:6:43902773:43906836:1 gene:B456_006G181400 transcript:KJB36889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQKQAEEAIVSNFSETGHETREEENEDEQQQSMFSVTNLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGLMGSWTAYLISVLYIEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFIGLGMTTYTAWYMAIAAVLHGQAEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKCIYLLATLYVFTLTIPSASAVYWAFGDQLLNHSNAFSLLPKTGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTRSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRTASARQNAAEKPPFFLPSWTAMYAINAVVVMWVLVVGFGFGGWASMTNFVRQIDTFGLFAKCYQCKPPTPAAAQHH >KJB33362 pep chromosome:Graimondii2_0_v6:6:1636147:1641907:1 gene:B456_006G007900 transcript:KJB33362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIGNRYSASMCNEMKKRDYPKNLQSRNDAVGGDLWTDGLICAFEYVKGQGKRGQPKSGAKVLSAQYSLKEASRRRIYANEPENVNSNNLAKSPPLKDSAVTVKDASYVTQDLNPGEEFPRAYWEPIGWDRVYQLVQMLQADDGWGSQPIELTENEDEVTVTDLAAPYWERPVGPTWWCHVAADHPSINAWLSTAQWLHPAISVALRDESTLISERMKHLFYEVPVIVAGGLLFELLGQSAGDPLAEEDDIPIVLRSWHAQNFLITALHIKGSASNINVLGIAEVQEMLIAGATNIPRTIHEVIAHLTCRLARWDDRLFRKSIFGEADEVELKFMNRRNHEDLHLFTIILNQEIRRLSTQVIRVKWSLHAREEIVFELLQHLRGNAARSLLHGVRKSTREMISEQEAVRGRLFTIQDVMQSTVRAWLQE >KJB33361 pep chromosome:Graimondii2_0_v6:6:1635170:1642121:1 gene:B456_006G007900 transcript:KJB33361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIGNRYSASMCNEMKKRDYPKNLQSRNDAVGGDLWTDGLICAFEYVKGQGKRGQPKSGAKVLSAQYSLKEASRRRIYANEPENVNSNNLAKSPPLKDSAVTVKDASYVTQDLNPGEEFPRAYWEPIGWDRVYQLVQMLQADDGWGSQPIELTENEDEVTVTDLAAPYWERPVGPTWWCHVAADHPSINAWLSTAQWLHPAISVALRDESTLISERMKHLFYEVPVIVAGGLLFELLGQSAGDPLAEEDDIPIVLRSWHAQNFLITALHIKGSASNINVLGIAEVQEMLIAGATNIPRTIHEVIAHLTCRLARWDDRLFRKSIFGEADEVELKFMNRRNHEDLHLFTIILNQEIRRLSTQVIRVKWSLHAREEIVFELLQHLRGNAARSLLHGVRKSTREMISEQEAVRGRLFTIQDVMQSTVRAWLQDRSLTVTHNLGIFGGCGLILSIITGLFGINVDGIPGNDDSSPYAFLLFSCILVFLGVVLISGGLLYLGLKKPIIDEDVEVRKLELDELVRMFQKEAESHAQVRKSVARAIKPPTTASILPSGEGYVLIG >KJB38623 pep chromosome:Graimondii2_0_v6:6:50287622:50289846:-1 gene:B456_006G263400 transcript:KJB38623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYSTPAVPISAPLAPKKENNTPVGSKIAELNESRAELLSRIQGLKLDLQNWRSKLDTQVKIYRDELTDLKKTLNVEVDQLRTEFQDLRNTLHQQHEDVTASLRNLGLQDVSEGVEDGEEQPKVEAKDEEMQTPAIKENGKEMEN >KJB33859 pep chromosome:Graimondii2_0_v6:6:9240429:9243593:1 gene:B456_006G034800 transcript:KJB33859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNMNSTKEGLISGSGAIQRKAYARVGLLGNPSDVYFGKTISFSLANFWASVTLEPSPHLIINPHPIHDLVQFDSLDHLVNRLQSEGYYGGVRLLMSICKLFHKHCKDNNINLSPGNFTLSYDTNIPRQAGLSGSSAIVCAALSCLLDFYKVRHLIKVEVRPNLILSAEKELGIVAGLQDRVAQVYGGLVHMDFSKENMDKLGHGIYTPMDISLLPPLHLIYAENPSDSGKVHSTIRQRWLNGDELVISSMKEVADIAAEGKSAILKKNYQKLAELMNRNFDLRRCMFGDECLGALNIEMVEVARRVGAASKFTGSGGAVVAFCPEGPPQVKRLEDECRKAGFSIQAIQVVPSCLNDVDLKTLSK >KJB34150 pep chromosome:Graimondii2_0_v6:6:17188742:17191459:1 gene:B456_006G050200 transcript:KJB34150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGFSGGGTEFYGRIAGGSVSNSGSMSNNQPTAPYGTHGIFMDPTSQIVNRAAPSFVGKRTRADFNNHGLNNLYPRPRYGVPVLQQLRPQQLPLGISSGATIQDVNPGFSSAQYLNLVQARVVQAQDPEKKMMNQLQELEKQLLDDDNEEEGDAVSVITNSNSEWSETIQTLISSSSPNKPIATSPTSSTTSSSSSTSSVASPVSLCSKQTIMEVASAISEGKTDVLNEILARLAQLANAKGNSEQRLTECMLLALKSRLNSVESSPPVTELFSKEHAAATQLLYDLSPCFKLGFMAANQAILDATLDQPSCNKLHVIDFDIGQGGQYTTLLHALSERGRGNPAMIKITCIADNGGDERLKTVGSKLSLVAERLGVRLKFTVVPSLKLSDLSRESLGCELDEPLAVNFAFKLYRMPDESVSVENPRDELLRRVKRLAPCVVTLVEQEINTNTAPFASRVGEAVGYYGALFESIESTFGRENSERVKVEEGLLRKIANSVACEGRDRVERCEVFGKWRARMSMAGFELKPLSESVAESIRARLNCGNPGFTVKEENGGISFGWMGRTLTVASAWR >KJB35431 pep chromosome:Graimondii2_0_v6:6:36623829:36626145:-1 gene:B456_006G116700 transcript:KJB35431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDARDPLFYRCPDLEEYAKEIDKHKRTLLLVNKADLLPVSMRKKWAEYFRLHKVLFVFWSAKAATAELEGKLLDHWKMQNNMRKSDDPETKIHGRDELLARLQYEAEEIVKMRKSASDTSTSSNSHSPRNNAEGTSAPKSVMVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLIISDELTLCDCPGLVFPSFSSSRYEMIASGVLPIDRMTEHREAVQVVANRVQRHVIEDVYKIKLPKPKPYESQSRPPQASELLRAYCASRGYVASSGLPDETRAARQILKDFIDGKLPHYEMPPGMSAEDGVEDDGNPSLSEVLNSDASDVEDSLENGTETTPVSEHVLDDLSSFDLANGLVSKKATVKKSNASHKHHKKPQRKKDRSWRVGNDDEDGMPVTRVFQKPVNSGPLNG >KJB35430 pep chromosome:Graimondii2_0_v6:6:36623780:36628823:-1 gene:B456_006G116700 transcript:KJB35430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNEKSGVGRALVKHHNAMIQQSKEKGRFYKSQHKKVLESVTEVSNIDAVIEQAEEADQLFSIHHPTPNPLINLDVSSSISDMTPEERREQQKKEEALHASSLRVPRRPPWTAAMSVEELDANEKQAFLVWRRSLARLEENEKLVLTPFEKNLDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEEYAKEIDKHKRTLLLVNKADLLPVSMRKKWAEYFRLHKVLFVFWSAKAATAELEGKLLDHWKMQNNMRKSDDPETKIHGRDELLARLQYEAEEIVKMRKSASDTSTSSNSHSPRNNAEGTSAPKSVMVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLIISDELTLCDCPGLVFPSFSSSRYEMIASGVLPIDRMTEHREAVQVVANRVQRHVIEDVYKIKLPKPKPYESQSRPPQASELLRAYCASRGYVASSGLPDETRAARQILKDFIDGKLPHYEMPPGMSAEDGVEDDGNPSLSEVLNSDASDVEDSLENGTETTPVSEHVLDDLSSFDLANGLVSKKATVKKSNASHKHHKKPQRKKDRSWRVGNDDEDGMPVTRVFQKPVNSGPLNG >KJB35432 pep chromosome:Graimondii2_0_v6:6:36623829:36628750:-1 gene:B456_006G116700 transcript:KJB35432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVSEFRAGMPPWTAAMSVEELDANEKQAFLVWRRSLARLEENEKLVLTPFEKNLDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEEYAKEIDKHKRTLLLVNKADLLPVSMRKKWAEYFRLHKVLFVFWSAKAATAELEGKLLDHWKMQNNMRKSDDPETKIHGRDELLARLQYEAEEIVKMRKSASDTSTSSNSHSPRNNAEGTSAPKSVMVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLIISDELTLCDCPGLVFPSFSSSRYEMIASGVLPIDRMTEHREAVQVVANRVQRHVIEDVYKIKLPKPKPYESQSRPPQASELLRAYCASRGYVASSGLPDETRAARQILKDFIDGKLPHYEMPPGMSAEDGVEDDGNPSLSEVLNSDASDVEDSLENGTETTPVSEHVLDDLSSFDLANGLVSKKATVKKSNASHKHHKKPQRKKDRSWRVGNDDEDGMPVTRVFQKPVNSGPLNG >KJB37482 pep chromosome:Graimondii2_0_v6:6:46243995:46245834:-1 gene:B456_006G207000 transcript:KJB37482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAYSLSIAFKSLQPFIIATIITFLSLLVAVRSFFKRPRKSNASGPSASSGKDETKGATPSSSCNCSLSRNGNSDSEAFVRGVAGAPVEGSMVTADVSNVVAERQNGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNVWKALYHKDFTLEQDTVTPFNGWKAYYAATRAVMNVNTEFFNIIRDRSLPGMIRFWLNADYVKCVHASGELFSGKRGKMQFDS >KJB37483 pep chromosome:Graimondii2_0_v6:6:46243063:46246122:-1 gene:B456_006G207000 transcript:KJB37483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAYSLSIAFKSLQPFIIATIITFLSLLVAVRSFFKRPRKSNASGPSASSGKDETKGATPSSSCNCSLSRNGNSDSEAFVRGVAGAPVEGSMVTADVSNVVAERQNGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNVWKALYHKDFTLEQDTVTPFNGWKAYYAATRAVMNVNTEFFNIIRDRSLPGMIRFWLNADYVKCVHASGELFSGYNAVIFSWHLAFNLQQGVDFHVTDVRARVLTDMAWVTMKTYVDMDNSAFNMTNVFEFHHGRWYLVHHHSSVLLTDGDMEQHNVNG >KJB37484 pep chromosome:Graimondii2_0_v6:6:46243995:46245834:-1 gene:B456_006G207000 transcript:KJB37484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAYSLSIAFKSLQPFIIATIITFLSLLVAVRSFFKRPRKSNASGPSASSGKDETKGATPSSSCNCSLSRNGNSDSEAFVRGVAGAPVEGSMVTADVSNVVAERQNGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNVWKALYHKDFTLEQDTVTPFNGWKAYYAATRAVMNVNTEFFNIIRDRSLPGMIRFWLNADYVKCVHASGELFSGKRGKMQFDS >KJB38694 pep chromosome:Graimondii2_0_v6:6:50675727:50677200:1 gene:B456_006G268900 transcript:KJB38694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMCVEDRISKFSDHILCHILSFLPIKEAVRTSIISTKWRNLFASISIIEFDGDLLRRLTDRNIDSFKNFVDRLLKFPDQVSLDCFRLHDGISSWNDGISSWIDGDHDFDVSGWICAALCRGVKEIDLKLYNLGDVPPDVPPVLFTCHSLVNLTLVALGFKIEVPSDVCLGNLKTFYLESVFVCDSINRLISNCHVLEDLTFMYCSVANASELNIQSPSLKELTLYFDPPDIDHSVHSVVINAPNLVYFRYGGDIVRVYPLGNMKSLEKAQIYIWFGSETNAAHLYQGICNIRSLTLQISKEIFPTSRLPIFHNLIELEFHGDICFVEILHCMPNLKKLILKYLNYAGTQWKALYIEIPSCLTFHLKEIEIETSRIDTHMIEMISYLLNNAMVLERLIIISTNRLTTTTEKRKVRNQLLQLLKSSKKCLIIIL >KJB34895 pep chromosome:Graimondii2_0_v6:6:32480935:32481951:1 gene:B456_006G089000 transcript:KJB34895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQISHQKPLSPPLPSISPSHLSNTFFIFSERIPLLSLQQLLQTRDKVLIFLHLLCFCGDCKEKSSFQKLIINMYMLRVL >KJB35053 pep chromosome:Graimondii2_0_v6:6:33828981:33833433:1 gene:B456_006G098300 transcript:KJB35053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNKLRVETIKKKRNSMEKYMKNDIAMLLNNGLLYDAYCRADGLLIEQNRTECYNFIMQFTGCISKHVSIMQKKRECPEECKEAIPSLIYAAARFADLPELRELRALFTKKYGNSLEPYLNQEFVVKLKAEPPTKEMKLLLMYDIAQEFSIEWDPKALEQKLFKPPQMEQNDAQHKSLNDNVDDGYKLLDTKHDTFQNTKNFGADENDTNDNIKKPTRPIGNNTNSTNKSKPRLTRMKRKESLGGVASMSPTKENGEKESEKNMHFGNTKPLAYETNEGSRSPPCGTPIIPTDTMGRRHNRVPSFQLGILSKHVHPKLPDYEDLIIQLASLRRD >KJB36536 pep chromosome:Graimondii2_0_v6:6:42441703:42447999:1 gene:B456_006G164700 transcript:KJB36536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVLKTVQTTLSLNKLSSRNYPRKEKKLLQHGSCSFPINNVQLSFQGGLEVVINVNSSHKEKTCLYDDGELEALPHVQTLREFPQEELVAKVVMVRFDSTIFLGEKLDLSSLSASKALYTIKYLHECGAKMILVSEWSRKINPKLLDAQAVADILSSVLQLKVIALRCISCKSPLRREDLQKADIFLLENLSEYKEEVANRSKFAELLSSEVDILVNDSFCQSHKVLASTVGVARFCYASMAGFSFEENLHQLKKIAKTKKKPYLAVIGGGNLKSKAAALQFLASRCDALVFVGQMSFQIMRALGHSIPTNLVERDAHKAALDIVQFAHDKNVLMSYPKDFWCTNQHLPTELEVFPAHGVSASWLPVDLGPRSLEEIYSLVTNSKAYPFEIDWSVAYHDASQPLVVDIGSGNGLFIMGMAQNRKDLNFLGLEINGKLVRRCLDSVHQSGIRNAYFIGSNATTTFRSIVSSYPGELVLVSVQCPNPDFNKPENRWSMLQRSLIEAVADLLASKGKVFLQSDVEAVAMRMKEQFLQYGKGKLHLSHDQYNIRINGNAWLEENPFGIRSDWEQHVIDRGAPMYRLMLSKSTGS >KJB36538 pep chromosome:Graimondii2_0_v6:6:42441703:42447999:1 gene:B456_006G164700 transcript:KJB36538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVLKTVQTTLSLNKLSSRNYPRKEKKLLQHGSCSFPINNVQLSFQGGLEVVINVNSSHKEKTCLYDDGELEALPHVQTLREFPQEELVAKVVMVRFDSTIFLGEKLDLSSLSASKALYTIKYLHECGAKMILVSEWSRKINPKLLDAQAVADILSSVLQLKVIALRCISCKSPLRREDLQKADIFLLENLSEYKEEVANRSKFAELLSSEVDILVNDSFCQSHKVLASTVGVARFCYASMAGFSFEENLHQLKKIAKTKKKPYLAVIGGGNLKSKAAALQFLASRCDALVFVGQMSFQIMRALGHSIPTNLVERDAHKAALDIVQFAHDKNVLMSYPKDFWCTNQHLPTELEVFPAHGVSASWLPVDLGPRSLEEIYSLVTNSKKIIWIGPVKFSSSKPCTVGTSKLAQMLCKQSQCECEITIVGSTACEVIKNESNSLSSFNVLENASVVWEFLKEQKLPGVMSLNRAYPFEIDWSVAYHDASQPLVVDIGSGNGLFIMGMAQNRKDLNFLGLEINGKLVRRCLDSVHQSGIRNAYFIGSNATTTFRSIVSSYPGELVLVSVQCPNPDFNKPENRWSMLQRSLIEAVADLLASKGKVFLQSDVEAVAMRMKEQFLQYGKGKLHLSHDQYNIRINGNAWLEENPFGIRSDWEQHVIDRGAPMYRLMLSKSTGS >KJB36539 pep chromosome:Graimondii2_0_v6:6:42441703:42447999:1 gene:B456_006G164700 transcript:KJB36539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVLKTVQTTLSLNKLSSRNYPRKEKKLLQHGSCSFPINNVQLSFQGGLEVVINVNSSHKEKTCLYDDGELEALPHVQTLREFPQEELVAKVVMVRFDSTIFLGEKLDLSSLSASKALYTIKYLHECGAKMILVSEWSRKINPKLLDAQAVADILSSVLQLKVIALRCISCKSPLRREDLQKADIFLLENLSEYKEEVANRSKFAELLSSEVDILVNDSFCQSHKVLASTVGVARFCYASMAGFSFEENLHQLKKIAKTKKKPYLAVIGGGNLKSKAAALQFLASRCDALVFVGQMSFQIMRALGHSIPTNLVERDAHKAALDIVQFAHDKNVLMSYPKDFWCTNQHLPTELEVFPAHGVSASWLPVDLGPRSLEEIYSLVTNSKKIIWIGPVKFSSSKPCTVGTSKLAQMLCKQSQCECEITIVGSTACEVIKNESNSLSSFNVLENASVVWEFLKEQKLPGVMSLNRAYPFEIDWSVAYHDASQPLVVDIGSGNGLFIMGMAQNRKDLNFLGLEINGKLVRRCLDSVHQSGIRNAYFIGSNATTTFRSIVSSYPGELVLVSVQCPNPDFNKPENRWSMLQRSLIEAVADLLASKGKVFLQSDVEAVAMRMKEQFLQYGKGKLHLSHDQYNIRINGNAWLEENPFGIRSDWEQHVIDRGAPMYRLMLSKSTGS >KJB36537 pep chromosome:Graimondii2_0_v6:6:42441703:42447999:1 gene:B456_006G164700 transcript:KJB36537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVLKTVQTTLSLNKLSSRNYPRKEKKLLQHGSCSFPINNVQLSFQGGLEVVINVNSSHKEKTCLYDDGELEALPHVQTLREFPQEELVAKVVMVRFDSTIFLGEKLDLSSLSASKALYTIKYLHECGAKMILVSEWSRKINPKLLDAQAVADILSSVLQLKVIALRCISCKSPLRREDLQKADIFLLENLSEYKEEVANRSKFAELLSSEVDILVNDSFCQSHKVLASTVGVARFCYASMAGFSFEENLHQLKKIAKTKKKPYLAVIGGGNLKSKAAALQFLASRCDALVFVGQMSFQIMRALGHSIPTNLVERDAHKAALDIVQFAHDKNVLMSYPKDFWCTNQHLPTELEVFPAHGVSASWLPVDLGPRSLEEIYSLVTNSKAYPFEIDWSVAYHDASQPLVVDIGSGNGLFIMGMAQNRKDLNFLGLEINGKLVRRCLDSVHQSGIRNAYFIGSNATTTFRSIVSSYPGELVLVSVQCPNPDFNKPENRWSMLQRSLIEAVADLLASKGKVFLQSDVEAVAMRMKEQFLQYGKGKLHLSHDQYNIRINGNAWLEENPFGIRSDWEQHVIDRGAPMYRLMLSKSTGS >KJB34573 pep chromosome:Graimondii2_0_v6:6:29320811:29327167:-1 gene:B456_006G073300 transcript:KJB34573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVGFAHPTSSLIINKKDKSLSVVPSTSQLSIYKCKKPISNNISMAMATRQSERKPATTGSMKSPMTMTEKILAKGAEKAEVKAGDNVWVNVDVFMTNDISGPGSIGIFQKEFGENAKANPDYKGVCHIALAQEGHCRPGEILLGTDSHTCTAGAFGQFATGIGNTEAGFVLGTGALLLKVPQTLRFVMNGEMPDYLLAKDLILQIIGEISVAGATYKSMEFVGTTVESLNMEERMTLCNMVIEAGGKNGVVAADSTTFKYLEDKTSVPYEPVYSDGLASFHSEFRFDISKLEPLVAKPHSPDNRALARECKHIKIDRVYIGSCTGGKTQDFLAAAKLFLASGKKVKVPTFLVPATQKVWVDIYTLIVPGSGGKTCSQIFEEAGCDTPASPSCAACMGGPKDTYARMNEAQVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYITDPREFLH >KJB34571 pep chromosome:Graimondii2_0_v6:6:29320730:29327514:-1 gene:B456_006G073300 transcript:KJB34571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVGFAHPTSSLIINKKDKSLSVVPSTSQLSIYKCKKPISNNISMAMATRQSERKPATTGSMKSPMTMTEKILAKGAEKAEVKAGDNVWVNVDVFMTNDISGPGSIGIFQKEFGENAKVWDREKVVVIPDHYIFTSDERANRNVDILREFSLDQNIKYFYDITDLSNFKANPDYKGVCHIALAQEGHCRPGEILLGTDSHTCTAGAFGQFATGIGNTEAGFVLGTGALLLKVPQTLRFVMNGEMPDYLLAKDLILQIIGEISVAGATYKSMEFVGTTVESLNMEERMTLCNMVIEAGGKNGVVAADSTTFKYLEDKTSVPYEPVYSDGLASFHSEFRFDISKLEPLVAKPHSPDNRALARECKHIKIDRVYIGSCTGGKTQDFLAAAKLFLASGKKVKVPTFLVPATQKVWVDIYTLIVPGSGGKTCSQIFEEAGCDTPASPSCAACMGGPKDTYARMNEAQVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYITDPREFLH >KJB34572 pep chromosome:Graimondii2_0_v6:6:29320811:29326936:-1 gene:B456_006G073300 transcript:KJB34572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPMTMTEKILAKGAEKAEVKAGDNVWVNVDVFMTNDISGPGSIGIFQKEFGENAKVWDREKVVVIPDHYIFTSDERANRNVDILREFSLDQNIKYFYDITDLSNFKANPDYKGVCHIALAQEGHCRPGEILLGTDSHTCTAGAFGQFATGIGNTEAGFVLGTGALLLKVPQTLRFVMNGEMPDYLLAKDLILQIIGEISVAGATYKSMEFVGTTVESLNMEERMTLCNMVIEAGGKNGVVAADSTTFKYLEDKTSVPYEPVYSDGLASFHSEFRFDISKLEPLVAKPHSPDNRALARECKHIKIDRVYIGSCTGGKTQDFLAAAKLFLASGKKVKVPTFLVPATQKVWVDIYTLIVPGSGGKTCSQIFEEAGCDTPASPSCAACMGGPKDTYARMNEAQVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYITDPREFLH >KJB35079 pep chromosome:Graimondii2_0_v6:6:34046877:34052932:-1 gene:B456_006G100200 transcript:KJB35079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKENGVSANVGALNGRITRARAATLRASGQLLPLNAPKQPDQKRVSRANTKRSALDENHNAGLQHKKRAVLQDVTNVCCNNSYKSCINATKIQAKSNKQARKGAANSSKVAPDVAAQVQPTRANLQKEDTQELAKIEPKLEVTCSVNLKEDATLPLNSINEGVFYRWLSNRSSAMPSQSQSRPRRNGKFSFSGTTITPSDPDFVDIDSDKKDPQLCSLYSPEIYNNLRVAELARRQYPNFMETIQRDITQSMRGILVDWLVEVSEEYKLVTDTLYLTVHLIDWFLSKNYIERQRLQLLGITCMLIASKYEEICAPRVEEFCFITDNTYTKEEVLKMETKVLKYFGFQIFAPTAKTFLRRFLRAAQASYKSPSIEMEYLANYLAELTLIDYEFLNFVPSIVAASAVFLARWTLDQSSHPWNSTLEHYTAYNQSDLKTTVIALQDLQLNTKGCPLSAIRMKYRQQKFKSVAALTSPKLLETLF >KJB35078 pep chromosome:Graimondii2_0_v6:6:34047133:34051900:-1 gene:B456_006G100200 transcript:KJB35078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKENGVSANVGALNGRITRARAATLRASGQLLPLNAPKQPDQKRVSRANTKRSALDENHNAGLQHKKRAVLQDVTNVCCNNSYKSCINATKIQAKSNKQARKGAANSSKVAPDVAAQVQPTRANLQKEDTQELAKIEPKLEVTCSVNLKEDATLPLNSINEGVFYRWLSNRSSAMPSQSQSRPRRNGKFSFSGTTITPSDPDFVDIDSDKKDPQLCSLYSPEIYNNLRVAELARRQYPNFMETIQRDITQSMRGILVDWLVEVSEEYKLVTDTLYLTVHLIDWFLSKNYIERQRLQLLGITCMLIASKYEEICAPRVEEFCFITDNTYTKEEVLKMETKVLKYFGFQIFAPTAKTFLRRFLRAAQASYKSPSIEMEYLANYLAELTLIDYEFLNFVPSIVAASAVFLARWTLDQSSHPWNSTLEHYTAYNQSDLKTTVIALQDLQLNTKGCPLSAIRMKYRQQKFKSVAALTSPKLLETLF >KJB35285 pep chromosome:Graimondii2_0_v6:6:35152462:35156168:1 gene:B456_006G108400 transcript:KJB35285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSAPSFNLSTFSNGGANYTTTNKVIGTRAFACLKYINSIESRLKLTSPVSVHTHFLTFTNRDFSVRCVRPDSTGNTISGSLEGEKGNFDDESRVVEIGSGDRQIDDFGGGGGDNGGGGSFGGGDGGEGDSEDEREFGPILKFEDVMKEVEARGVELPPDMMEAAKRNGIRKLFLLRYLDLQESVWPLGFLIKYCSMLRNRMLADPSFLFKVGTEIVIDSGCATYAEMKKRGKDFWAEFELYFADLLVGIVVDIALVGMLAPYARFGQPSASRGLFGSFQRAASVLPSSVFEAERPGCKFSVKQRIATYFYKGVLYGSVGFGCGIVGQGIANLVMTAKRSIRKSDDDIPVPPLLKSAALWGVFLAVSSNTRYQIINGLERLVEASPVAKQVPPVAMAFTVGVRFANNIYGGMQFVDWAKWSGVQ >KJB35286 pep chromosome:Graimondii2_0_v6:6:35152629:35156125:1 gene:B456_006G108400 transcript:KJB35286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSAPSFNLSTFSNGGANYTTTNKIDDFGGGGGDNGGGGSFGGGDGGEGDSEDEREFGPILKFEDVMKEVEARGVELPPDMMEAAKRNGIRKLFLLRYLDLQESVWPLGFLIKYCSMLRNRMLADPSFLFKVGTEIVIDSGCATYAEMKKRGKDFWAEFELYFADLLVGIVVDIALVGMLAPYARFGQPSASRGLFGSFQRAASVLPSSVFEAERPGCKFSVKQRIATYFYKGVLYGSVGFGCGIVGQGIANLVMTAKRSIRKSDDDIPVPPLLKSAALWGVFLAVSSNTRYQIINGLERLVEASPVAKQVPPVAMAFTVGVRFANNIYGGMQFVDWAKWSGVQ >KJB36960 pep chromosome:Graimondii2_0_v6:6:44178805:44180388:1 gene:B456_006G184400 transcript:KJB36960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSDNFPPSSWPFESFLNSSIDQLEVQPYGLNMSENFDGCEFSSSFNTREDSPEISHFSTMVSGEIFPCNDHLQEFLVPLEGLESMLTDGIEDLYDCLDEGEDSFGSMMPLSSQSQDVCSPSASIRSSEASADMTSTQSSLTLPGDSMEIDNQLSLFHLLKAYGEATEKNQSELAEVILRCVGEKASPVGETWERIAFNLSQDIQHQSDYLMQESSKNFEAAFRVFYQVFPYWRFAHFAANSAILEAMPNDADTLHIVDFNIGEGLQWPPVIEALAWKHKSMRLTSIRWEEEDSAHSPWRFKGTMRQLCNHAKSFGLKLEVEEMGIHDLVNELKMKKRGGAGEWLAFNCMVGMGKGKRRKIVNEFLNVAKEVLASSGNYFARDRGVITFGDGDACEKLKDCSGFGTFFNGQLMHYQAVLESMESNFAKHLVQARMAMECLFVGPNICGQAWLQKWKEINEICDFDAGTALEGLRVSSERLMEAKEIVRERDTLFEVSIGGVSGNELALEWRGNTLVRVSSWRNTQL >KJB34442 pep chromosome:Graimondii2_0_v6:6:24969322:24970576:1 gene:B456_006G065900 transcript:KJB34442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDRRSTGVGRESGCDKPVTGRPTETRIGLGKGSFEYWVTVTKPNNNEAQELMCIGVIGASNRRYAHIGDVIVVMIKEAVPDTPLEKSEVIRAIIVCTRKELKRDNRMII >KJB37452 pep chromosome:Graimondii2_0_v6:6:46117499:46118287:-1 gene:B456_006G205400 transcript:KJB37452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRSTGNLRNGISWKLYENPFYYSNHQQLQSQSSKHLHHQLIPVMDSDLGIARAQIIDLKAEVEYERKARKKVESLNKKLGKEVAEERRGKEALERVCEKLAREITSKKSEMDRMKREFEEERKMLKIAEVLREERVQMKLAEAKILFHEKFKELEEIKRKQSDIENNSNAVFDSRESTRFASSEQPSSCCHNINSTATVSSSMAVQQKESQEAENPHIKRGIKGFVEFPKVVRAIGSKSRRWGSKLECQKAQLRILSPSK >KJB33648 pep chromosome:Graimondii2_0_v6:6:6262471:6263297:1 gene:B456_006G024000 transcript:KJB33648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMATIDLMPLTSDLSEIRRLLTSDLSDAINPIVYMSKTMTVSSLRALKPPPCMSLHANAILFISIDEANQAVRQWEMKPPPIRAHFEILLHTNTHHPFLFATTIQFCFPDIRKALHPDPII >KJB33649 pep chromosome:Graimondii2_0_v6:6:6262471:6263297:1 gene:B456_006G024000 transcript:KJB33649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMATIDLMPLTSDLSEIRRLLTSDLSDAINPIVYMSKTMTVSSLRALKPPPCMSLHANAILFISIDEANQAVRQWEMKPPPIRAHFEILLHTNTHHPFLFATTIQFCFPDIRKALHPDPII >KJB38380 pep chromosome:Graimondii2_0_v6:6:49544583:49545841:-1 gene:B456_006G252100 transcript:KJB38380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAQRVVLFSLLCFNVFVVNVVAKNVVNTDEKYFLTKGGGFGGGGGFGGGGGLGGGFGGGVGGGAGGGGGLGGGGGAGGGLGGGGGAGGGLGGGGGAGGGLGGGIGKGGGLGGGIGKGGGLGGGIGKGGGLGGGIGKGGGLGGGHGGGIGKGGGIGKGGGLGGGIGKGGGLGGGTGKGGGIGGGSGFGKGGGFGGGVGGGAGGGFGGGAGGGGGFGGGAGGGAGGGFGGGGGAGGGGGFGGGAGGGFGGGAGGGGGIGHH >KJB34973 pep chromosome:Graimondii2_0_v6:6:33101635:33104924:-1 gene:B456_006G093400 transcript:KJB34973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALQAPTLRASPLNPLKKPNPNPHFRYVFTLPHRPTKRFTSITASSTTVSAPKREKDPKKRVVVTGMGLVSVFGNDVDVYYDKLLSGESGIGLIDRFDASKFPTRFAGQIRGFTSEGYIDGKNDRRLDDCLRYCIVAGKKALEDADLGGDKLSKIDKERTGVLVGTGMGGLTVFSDGVQNLIEKGHRKITPFFIPYAITNMGSALLAIELGFMGPNYSISTACATSNYCFYAAANHIRRGEADLMIAGGTEAAIIPIGLGGFVACRALSQRNEDPQTASRPWDKERDGFVMGEGAGVLVMESLEHAMKRGAPIIAEYLGGAVNCDAYHMTDPRADGLGVSSCIERSLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKNTSEIKINATKSMIGHCLGAAGGLEAIATVKAITTGWVHPTINQFNPEPSVEFDTVANEKQQHEVNVGKTLDLCYSDIGVCDSGTGIFNFIIIFKVLRRSYHIHI >KJB38735 pep chromosome:Graimondii2_0_v6:6:50893569:50894703:-1 gene:B456_006G272500 transcript:KJB38735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDPFAWILEECRYSSPSDITTVEYGPYFGLSDSDSDSDCDINSDAAFQNPVNSTDVVILPALDISEDEEVFHTPPESRSTNASSDNCNNNDDPVIVDCEAVANSGRKSKRVTEEEENGVSKRLKAKAIEATAMSDTDTEELLEWFKNSDPSPEGTPEIEIQNLQNHGSNFEVGEGSVRVRVSSHEGRNLGKRVLPSWANPRVKGDEEAEIKETNLPPSVKGSVGDGERVEQEPVHPSTASGPSRENHDGVNDVDVLLQVGKDGDDEEEEKLICVSILEVAEKKWGPF >KJB36718 pep chromosome:Graimondii2_0_v6:6:43198705:43202398:-1 gene:B456_006G172800 transcript:KJB36718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELKIEERCLENKQLTTASSSSVSEGGGSAIVKSPATSSPAPTSPNHRRTTGPIRRAKGGWTPEEDETLRNAVATFKGKSWKKIAEFFPDRSEVQCLHRWQKVLNPDLVKGPWTQEEDDKIVELVSKYGPTKWSVIAKSLPGRIGKQCRERWHNHLNPDIKKDAWTLEEELALMNAHRIYGNKWAEIAKVLPGRTDNAIKNHWNSSMKKKLDFYLATGKLPPVVKIVLKNEIKDIETPAATENLLVCSKKESDSTAQTSSGTFDICKPEEDGKDQLESSTPVQDMAATSSIIPSESVETESAEFKPQSFDANPCSCNSVSMVKFESRRTSSAIVEDKVVETQLRSDTPTYGPLCYEPPRLQGGTSLDSDNLNKKGMQHEWTITPITSPLSFFTPPCVKGSGFGTQSPESILRIAAKTFPSTPSIFRKRKTSPNKIGKLNEETTKNRVQLSGEQERTENSREQAQFRDGSACESPAFQGNSSIGPNCTAFNASPPYRLRSKRTAVFKSVERQLEFAFDKERHEDSMKSSNLLVNGSSSIEDCLHATKMEVT >KJB36717 pep chromosome:Graimondii2_0_v6:6:43198798:43201813:-1 gene:B456_006G172800 transcript:KJB36717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELKIEERCLENKQLTTASSSSVSEGGGSAIVKSPATSSPAPTSPNHRRTTGPIRRAKGGWTPEEDETLRNAVATFKGKSWKKIAEFFPDRSEVQCLHRWQKVLNPDLVKGPWTQEEDDKIVELVSKYGPTKWSVIAKSLPGRIGKQCRERWHNHLNPDIKKDAWTLEEELALMNAHRIYGNKWAEIAKVLPGRTDNAIKNHWNSSMKKKLDFYLATGKLPPVVKIVLKNEIKDIETPAATENLLVCSKKESDSTAQTSSGTFDICKPEEDGKDQLESSTPVQDMAATSSIIPSESVETESAEFKPQSFDANPCSCNSVSMVKFESRRTSSAIVEDKVVETQLRSDTPTYGPLCYEPPRLQGGTSLDSDNLNKKGMQHEWTITPITSPLSFFTPPCVKGSGFGTQSPESILRIAAKTFPSTPSIFRKRKTSPNKIGKLNEETTKNRVQLSGEQERTENSREQAQFRDGSACESPAFQGNSSIGPNCTAFNASPPYRLRSKRTAVFKSVERQLEFAFDKERHEDSMKSSNLLVNGSSSIEDCLHATKMEVT >KJB38311 pep chromosome:Graimondii2_0_v6:6:49287257:49290100:-1 gene:B456_006G248000 transcript:KJB38311 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g14455 [Source:Projected from Arabidopsis thaliana (AT4G14455) UniProtKB/TrEMBL;Acc:Q147J7] MSYRRDHRSSKSALFDGLDNLEEGGIRASSSFSHDVKDHDNGKAIESLHDRVAFLKRLTGDIHDEVESHNRMLDRMGNGMDATRGIMSGTMGRFKKAAAL >KJB38312 pep chromosome:Graimondii2_0_v6:6:49287257:49290151:-1 gene:B456_006G248000 transcript:KJB38312 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g14455 [Source:Projected from Arabidopsis thaliana (AT4G14455) UniProtKB/TrEMBL;Acc:Q147J7] MSYRRDHRSSKSALFDGLDNLEEGGIRASSSFSHDVKDHDNGKAIESLHDRVAFLKRLTGDIHDEVESHNRMLDRMGNGMDATRGIMSGTMGRFKKVFEKKSNRKMCTLVMAFVVSFLIIYYLFRMLRYVRG >KJB36351 pep chromosome:Graimondii2_0_v6:6:41307131:41307514:-1 gene:B456_006G154300 transcript:KJB36351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKKMGSLKKLAKKVKSMRGGDGEESKYEWLLREFEEMTSPTTASTPPGSFAIYVGENEERFVVPTNFLSHPLFKMLLEKSYNEYGFQQRDKLVVPCSVSTFLEVVNAVECCNGKFDFGKLVEEFL >KJB36350 pep chromosome:Graimondii2_0_v6:6:41306397:41307777:-1 gene:B456_006G154300 transcript:KJB36350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKKMGSLKKLAKKVKSMRGGDGEESKYEWLLREFEEMTSPTTASTPPGSFAIYVGENEERFVVPTNFLSHPLFKMLLEKSYNEYGFQQRDKLVVPCFFLCLSSVWTKSETGSLSKDKNHIKKLLT >KJB33632 pep chromosome:Graimondii2_0_v6:6:6084891:6086259:1 gene:B456_006G023200 transcript:KJB33632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFEEASIVRFRSIHDKYLLAEDDEETVSQERGGTVRNARWTVEFVQFNSTHIRLKSCYGKYLTASNMPFLLGMTGKKVLQTLPKRLDSSVEWEPIQEGSRVRLKTRYGQYLRANGGIPPWRNHITHDTPYRTSHQDWILWQVDILRFRHQDKLPAPPPPFQVQADGFDNSDTGSPPTISLRGSRMSKNEEDDSGNGSPKAFEGRIIKYEVVDDNGDVDQNIGERKFIFKGNGVDDLKKALKEENVVKEEFSLCSRNPLNGNLYPLRLQLPPNNTAMHVVLVPLSSKVADDLWL >KJB35948 pep chromosome:Graimondii2_0_v6:6:38953009:38956974:1 gene:B456_006G134400 transcript:KJB35948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 16 [Source:Projected from Arabidopsis thaliana (AT3G10150) UniProtKB/TrEMBL;Acc:A0A1I9LQV7] MPTSSYFTILSNSCLVLLFQAFFISIAASSDRHTPENHIRTRAGAPFKVALFADLHFGENAWTDWGPKQDVNSIKVMSSVLDTETPDFVVYLGDVVTANNIPIANASLYWDQAISPTRSRGIPWASVFGNHDDAPFEWPMEWFAASGIPQLVCPVLNSVSLSGEECSFRGTSRLELMKNEMDNNVLSLSKSGPQDLWPGISNYVLQVLSKEKPHTPLVYLYFLDSGGGTYPEVISTAQADWFKRISEEINPDSRVPELIFWHIPSKAYKKVAPKFRIHKPCVGSINKEKVAAQEAEMGIMKILVKRPSVKAVFVGHNHGLDWCCPYGQLWLCFARHTGYGGYGNWARGSRILEINERPFSISSWIRMEDGSVHSEVILS >KJB35946 pep chromosome:Graimondii2_0_v6:6:38952797:38956974:1 gene:B456_006G134400 transcript:KJB35946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 16 [Source:Projected from Arabidopsis thaliana (AT3G10150) UniProtKB/TrEMBL;Acc:A0A1I9LQV7] MPTSSYFTILSNSCLVLLFQAFFISIAASSDRHTPENHIRTRAGAPFKVALFADLHFGENAWTDWGPKQDVNSIKVMSSVLDTETPDFVVYLGDVVTANNIPIANASLYWDQAISPTRSRGIPWASVFGNHDDAPFEWPMEWFAASGIPQLVCPVLNSGEECSFRGTSRLELMKNEMDNNVLSLSKSGPQDLWPGISNYVLQVLSKEKPHTPLVYLYFLDSGGGTYPEVISTAQADWFKRISEEINPDSRVPELIFWHIPSKAYKKVAPKFRIHKPCVGSINKEKVAAQEAEMGIMKILVKRPSVKAVFVGHNHGLDWCCPYGQLWLCFARHTGYGGYGNWARGSRILEINERPFSISSWIRMEDGSVHSEVILS >KJB35947 pep chromosome:Graimondii2_0_v6:6:38953009:38956974:1 gene:B456_006G134400 transcript:KJB35947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 16 [Source:Projected from Arabidopsis thaliana (AT3G10150) UniProtKB/TrEMBL;Acc:A0A1I9LQV7] MPTSSYFTILSNSCLVLLFQAFFISIAASSDRHTPENHIRTRAGAPFKVALFADLHFGENAWTDWGPKQDVNSIKVMSSVLDTETPDFVVYLGDVVTANNIPIANASLYWDQAISPTRSRGIPWASVFGNHDDAPFEWPMEWFAASGIPQLVCPVLNSVSLSGEECSFRGTSRLELMKNEMDNNVLSLSKSGPQDLWPGISNYVLQVLSKEKPHTPLVYLYFLDSGGGTYPEVISTAQADWFKRISEEINPDSRVPELIFWHIPSKAYKKVAPKFRIHKPCVGSINKEKVAAQEAEMGIMKILVKRPSVKCLLGITMDWTGVAHTGSFGFALLDIPVMVDMEIGLEDLESLKSMRDPSL >KJB34145 pep chromosome:Graimondii2_0_v6:6:16961614:16965030:1 gene:B456_006G049600 transcript:KJB34145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent protein translocase protein TATB, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52440) UniProtKB/Swiss-Prot;Acc:Q9XH75] MTTIMAVSTSAFLFTPSVSSKSTTFSFSPLVSHRKSFPFRFTTWFPQLGPSFFSQWSGLKHLGISMSPKSLKLEKKGRCKGKVVHASLFGVGAPEALVIGVVALLVFGPKGLAEPLKWHIKVQVARNLGKTLRAFQPTIRELQEVSREFKSTLEREIGLDEMPSSTQNTLNRNSPYLSNAPLTPSPVTSAEETGSDADPDGAQSIKDAYTSEEYLKITEEQLKASSKQQNQTASPSETELGSQNQHEATPKETTTAAAAAMPPSQKPESETENP >KJB34144 pep chromosome:Graimondii2_0_v6:6:16961580:16965131:1 gene:B456_006G049600 transcript:KJB34144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent protein translocase protein TATB, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52440) UniProtKB/Swiss-Prot;Acc:Q9XH75] MTTIMAVSTSAFLFTPSVSSKSTTFSFSPLVSHRKSFPFRFTTWFPQLGPSFFSQWSGLKHLGISMSPKSLKLEKKGRCKGKVVHASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQEVSREFKSTLEREIGLDEMPSSTQNTLNRNSPYLSNAPLTPSPVTSAEETGSDADPDGAQSIKDAYTSEEYLKITEEQLKASSKQQNQTASPSETELGSQNQHEATPKETTTAAAAAMPPSQKPESETENP >KJB38119 pep chromosome:Graimondii2_0_v6:6:48504195:48506003:1 gene:B456_006G237600 transcript:KJB38119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKREKIGSRSQTLPSSPSHSFSSSSSSDFEFTISLSPRAATSSSLCPADDLFYKGQLLPLHLSPRLSMVRTLLLASSSTSSSSAATASRDSTASSSNDSHSSFSSDLVLLSADCGSSRPSSVSDDDGLKRVLHNTGNQQQQIKKNKYFSLSRFSSVFNKENNKKLDQVNMAATPSSVKKISTTAKRVISKYLKKVKPLYEKLSHKQDEKMGGVESVSTLSTTTSFPASFSIKPERSVKENNNGGGFSHSFSGNLRYPKRRSCISSCPSSMRSSPSHSGVLSRNGFPSTNTGRVGGVGGMMHYPDRSSMEELQSAIQGAIAHCKNSLVQNKTTVISNEI >KJB38290 pep chromosome:Graimondii2_0_v6:6:49162889:49164337:-1 gene:B456_006G247000 transcript:KJB38290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEGRIKALMDFLVNVMGFKASFVAKQPYLLGLSLEKRIVPRGLFVKDLISKGILAKVSGLTTLFASSEKVFLQRFVYCYEEKASELLKLYNEKLNLAAGEKLKTPKL >KJB36468 pep chromosome:Graimondii2_0_v6:6:41993876:41994748:1 gene:B456_006G160400 transcript:KJB36468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIDVTTHFYLKSPHPFIALMTKEDLNEYLYQPIYIASVSALLCSAPSSPGHPCRWLEMLKSGRQGWPRLSFSLMMARFQPGSVGVNEELNCSKN >KJB36094 pep chromosome:Graimondii2_0_v6:6:39809796:39813098:1 gene:B456_006G140900 transcript:KJB36094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPAAAANQKEEFKLKDTKPQLGERWPHGGTRGGGGWISSERATSTYDLVEQMFYLYVRVVKAKDLPTNPVTGTIDPYVEVKLGNYKGKTQHFEKKPNPEWHQVFAFSKEKIQSSILEVFVRDREMVGRDDYIGRVIFDMNEVPTRVPPDSPLAPQWYRLEDRRGESKVRGEVMLAVWMGTQADEAFPDAWHTDASSVQGEGVFNIRSKVYVSPKLWYLRVNVIEAQDVEPHDKSQLPQAFVKAQVGNQILKTKVCPQKTLNPMWNEDLIFVAAEPFEEQLYLTVENKVTSAKDEVMGRIILPLHIFERRLDHRAVHSKWFNLEKFGFGALEGDKRHELKFSSRIHLRVCLEGAYHVLDESTMYISDQRPTARQLWKNPIGILEVGILSAQGLQPMKNKEGKGSTDAYCVAKYGQKWVRTRTIIESLNPKWNEQYTWEVYDPCTVITLGVFDNNHLGGSGGKNDSRIGKVRIRLSTLETDKIYTHSYPLLVLQPSGLKKMGELQLAVRFTCLSLANMIYLYSHPLLPKMHYLHPFTVNQLDSLRYQAMNIVAVRLGRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIVSLFSGVIAMSKWLGEVCQWKNPITTILVHVLFFILICYPELILPTMFLYMFLIGVWNYRYRPRHPPHMDTKLSWAEVAHPDELDEEFDTFPTSKAQDVIRMRYDRLRSVAGRIQTVVGDMATQGERFLALLSWRDPRATSLFVLFCLVAAVALYVTPFKIMALVAGLYWLRHPRFRSKLPSVPSNFFRRLPSRADSML >KJB36093 pep chromosome:Graimondii2_0_v6:6:39809796:39813098:1 gene:B456_006G140900 transcript:KJB36093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPAAAANQKEEFKLKDTKPQLGERWPHGGTRGGGGWISSERATSTYDLVEQMFYLYVRVVKAKDLPTNPVTGTIDPYVEVKLGNYKGKTQHFEKKPNPEWHQVFAFSKEKIQSSILEVFVRDREMVGRDDYIGRVIFDMNEVPTRVPPDSPLAPQWYRLEDRRGESKVRGEVMLAVWMGTQADEAFPDAWHTDASSVQGEGVFNIRSKVYVSPKLWYLRVNVIEAQDVEPHDKSQLPQAFVKAQVGNQILKTKVCPQKTLNPMWNEDLIFVAAEPFEEQLYLTVENKVTSAKDEVMGRIILPLHIFERRLDHRAVHSKWFNLEKFGFGALEGDKRHELKFSSRIHLRVCLEGAYHVLDESTMYISDQRPTARQLWKNPIGILEVGILSAQGLQPMKNKEGKGSTDAYCVAKYGQKWVRTRTIIESLNPKWNEQYTWEVYDPCTVITLGVFDNNHLGGSGGKNDSRIGKVRIRLSTLETDKIYTHSYPLLVLQPSGLKKMGELQLAVRFTCLSLANMIYLYSHPLLPKMHYLHPFTVNQLDSLRYQAMNIVAVRLGRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIVSLFSGVIAMSKWLGEVCQWKNPITTILVHVLFFILICYPELILPTMFLYMFLIGVWNYRYRPRHPPHMDTKLSWAEVAHPDELDEEFDTFPTSKAQDVIRMRYDRLRSVAGRIQTVVGDMATQGERFLALLSWRDPRATSLFVLFCLVAAVALYVTPFKIMALVAGLYWLRHPRFRSKLPSVPSNFFRRLPSRADSML >KJB37798 pep chromosome:Graimondii2_0_v6:6:47296815:47299862:-1 gene:B456_006G220500 transcript:KJB37798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYSFVARGTVILAEYTEFTGNFTGIAAQCLQKLPASNNKFTYTCDGHTFNYLVEDGFTYCVVAVESAGRQVPIAFLERVKEDFNKRYGGGKAATATAKSLNREFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTNMRRKMWLQNMKIKLIVLGILIALILIIVLSVCHGFNC >KJB37799 pep chromosome:Graimondii2_0_v6:6:47296819:47298302:-1 gene:B456_006G220500 transcript:KJB37799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSAYCVVAVESAGRQVPIAFLERVKEDFNKRYGGGKAATATAKSLNREFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTNMRRKMWLQNMKIKLIVLGILIALILIIVLSVCHGFNC >KJB37670 pep chromosome:Graimondii2_0_v6:6:46844915:46846283:-1 gene:B456_006G215100 transcript:KJB37670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17090) UniProtKB/Swiss-Prot;Acc:O23553] MVEKHGLKLQVVMSFHQCGGNVVDSCRNQQNPDLIYTDRSGQRNPEYISLGCDSLPVLRGRTPIQVYTDYMRSFRERFRDYLGRVIVEIQVGLGPCGELRYPESNGTWKFPGIREFQCYDKYMRASLEAASEAIGKHDWERSLQAISRRNRFLRRDGAWNTKYGQFFLEWYSGKLPEHGDRILTAAKVTFRGTETKLSGKVAGIHWHYRTRSHAAELTAGYYNIRYQDVFNVACMEMKDGEQPEYANCSPEGLVRQVKMATKTAQGELTVENALERYDAGGYAQVLE >KJB34716 pep chromosome:Graimondii2_0_v6:6:30538395:30538765:-1 gene:B456_006G079500 transcript:KJB34716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LINPNKIVISSIQWGEMNLDILMIQKDLTLRELMKKGILVIDPVRLSVKNDGQFILYQTISILLTSKMTENKDKNHYDLFVPENILSPNHRREL >KJB33485 pep chromosome:Graimondii2_0_v6:6:2791347:2792896:-1 gene:B456_006G013000 transcript:KJB33485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACFFSSNSFIKTIEFEPSIKPKTLPFPNTNSNLFYSTKVASPSSITCCLSNDEKKPTLDSDWRSFRAKLVATEKVSGPSSWAGPDTVVDQPPQPVTIGEKWAHTIREPEKGCLLIATKKLDGVHIFERTVILLLKAGSIGPLGIILNQSSLKSIKEMRSTTIRDVSEVFSHVPLFFGGPLEDGFFLVSPTKDDDDGGVEKSGVFEEVMKGLYYGTKESVGYAAEMVKRNVVKARDFRFFDGYCLWDKEQLDEEIKAGFWIVVACSPSVIGLASVGNVGLWEEILGLIGPRKWEN >KJB34734 pep chromosome:Graimondii2_0_v6:6:30866774:30868188:1 gene:B456_006G081000 transcript:KJB34734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNSRKRKGFLNPLRNPISNSGEWRARKMQESPLMKPISNGDQWRELIREDDLPSLDQDTDHDLLLLLLKYKVHILLEMVKLFTNGSPK >KJB36023 pep chromosome:Graimondii2_0_v6:6:39262397:39267195:-1 gene:B456_006G136600 transcript:KJB36023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQDRAVPKSPKPPQIRKLPTVQPNRLSEPKNLDFSAWVPDNCYKIVTILVLILTIAAVFFIYSSTNTAFLLCLQSETQSAVDSISLPQINWNSIKPIPDRTSPYANFRSEQWVVVSVSNYPSDALKKVVKIKGWQVLAIGNSRTPSDWSLKGAIFLSLDMQANLGFRVVDHLPYDSYVRKSVGYLFAIQHGAKKIFDADDRGEVIDNDLGKHFDVELVGEGARQEVILQYSHDNPNRTVVNPYVHFGQRSVWPRGLPLENVGDFGHEEFYTEVFGGKQFMQQGISNGLPDVDSVFYFTRKSGFEAFDIRFDEHAPKVAFPQGMMVPLNSFNTLYHSSAFWALMLPVSVSTMASDVLRGYWGQRLLWEIGGNVAVYPPTVHRYDRIEAYPFSEEKDLHVNVGRLIKFLVSWRSNKHRLFEKILELSYAMAEEGFWTEQDLKFTAAWLQDLVAVGYQQPRLMTLELDRPRANIGHGDRKEYIPQKLPSVHLAVEETGMVSSEIGNLIRWRKNFGNIVLIMFCNGPVERTALEWRLLYGRIFKTVVIFSVQKNSDLAIEEGQLDQIYKHLPKIFDRFSSADGFLFLEDDTVLNYWNLLQADKTKLWITDKVSMSWSTVSTKGSSDWYSKQAELVRKVVSTMPVHFQVNYREVVRSDQSLTICSSEIFYIPRQFVADFVDLVNLVGHLDIHQKVSIPMFFLSMDSPQNFDSVLSTMVYKPEPQSANSSSTHYSAHAPAVHPWKVSSEQEFIKLIRIMGEGDPLLTELV >KJB33259 pep chromosome:Graimondii2_0_v6:6:898274:900700:-1 gene:B456_006G004500 transcript:KJB33259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKYIFSLVIIVSRISLSFTQNVNVVIQGSTSVAETDDNFAGLLNLDLKKKVLINAIKAFNSLRIKVGGSLQDQVVYGVGEVKNCPNFMKKEGSLFGFSQGCLPVERWDELNNFFNQTGVKVTFGLNALLGRNESQSEKGLWVGDWNSQNARDLMKYTISRGYKVDSYEFGNQLSGAGMGARVEAEQYGKDVIVLKNMVKELHPDPKTQPKFNSFLEVSGQEVVDGVTHHIYNLRPDPSYLNQVAQTYRGVFNIVNKFKPQSGAWVSESGGALQGGAKDVSPTFADGFWYFDQLGMASTYNHKVFCRQTLIGGNYALLDTTTFIPNPDYYGALLWHRLMGSIVLAVTQESNPNLCVYAHCAKKKPGISIIFINLSNDSTFDVTLSSYEHRRRNLRPTDAAKPNFEFTSHLNREEYHLTALGGNIQGQIVLLNDVPMVLTDTFDIPAMDPKLVNASTPISVAAHSIVYVTIRDFHAPVCV >KJB34654 pep chromosome:Graimondii2_0_v6:6:30092881:30097492:-1 gene:B456_006G077000 transcript:KJB34654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSKKPFPVFLDFAGYSEEDVLLHKPKAGLMKPAFTILREKDSKCFLLLIRGTHSIKDTLTAATGAVVPFHHSVLHDGGISNLVLGYAHCGMVAAARWIAKLAASCLLKALSEYPDYQVKIVGHSLGGGTAALLTYILREQKEFAFSTCFTFAPAACMTWDLAESGKHFITTIINGSDLVPTFSAASVDDLRTEVTASSWLNDLRDQVEHTRVLNVVYRSATALGSRLPSIASAKARVAGAGALLRPVTSSTKVVMKGAQNVAQAVVRSRSSLSSWSCMGPRRRNVGPALNAKGDDMTEASVVSERSSEAFVTEVVTIDPVQTSVECNSGGGCGGSGCDDTDEDESLITMDRVITTSTVDEITGGELWYELEKELKRRASEVDLQARAEEAAVAKEITEEETVIADTSSESKKTVSSSDALENHQFYPPGRIMHIVSIPSLDASGKLDDDDGDGDGDGSNDERVGIYETPRELYSKIRLSRTMINDHYMPMYKKMMELLISELQKDEASNY >KJB34655 pep chromosome:Graimondii2_0_v6:6:30092881:30097590:-1 gene:B456_006G077000 transcript:KJB34655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTMATAAGAAVILYYVWLKKSVAKDGVDGGEEGEDLLKSSRSLKKRIARRPAQAPATWLEAIATISETLRFTYSETLGKWPIGDLAFGINYLMRRQGNLQVASVYAGNNCVELKGQEIKEELNKLLRLLTLCMFFSKKPFPVFLDFAGYSEEDVLLHKPKAGLMKPAFTILREKDSKCFLLLIRGTHSIKDTLTAATGAVVPFHHSVLHDGGISNLVLGYAHCGMVAAARWIAKLAASCLLKALSEYPDYQVKIVGHSLGGGTAALLTYILREQKEFAFSTCFTFAPAACMTWDLAESGKHFITTIINGSDLVPTFSAASVDDLRTEVTASSWLNDLRDQVEHTRVLNVVYRSATALGSRLPSIASAKARVAGAGALLRPVTSSTKVVMKGAQNVAQAVVRSRSSLSSWSCMGPRRRNVGPALNAKGDDMTEASVVSERSSEAFVTEVVTIDPVQTSVECNSGGGCGGSGCDDTDEDESLITMDRVITTSTVDEITGGELWYELEKELKRRASEVDLQARAEEAAVAKEITEEETVIADTSSESKKTVSSSDALENHQFYPPGRIMHIVSIPSLDASGKLDDDDGDGDGDGSNDERVGIYETPRELYSKIRLSRTMINDHYMPMYKKMMELLISELQKDEASNY >KJB36613 pep chromosome:Graimondii2_0_v6:6:42692800:42693513:1 gene:B456_006G167200 transcript:KJB36613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLENDFFLVRFQDENDYNKALIGGLWGFYSDCLLRVIDKTIGLVVKLDVHTDCARMVRFARLAVWVNLRKLLMSKVRINNHLQRVEYEALPNIYFKCGLYGHGADLGSGVKTTSPTADFDCASPVMEKSGLERRMVVEQRKERSRVTRKESNDSYGDTFGGSWFATLRDLRGENLSDVDGKIDDEMEDRN >KJB34039 pep chromosome:Graimondii2_0_v6:6:13640375:13644033:1 gene:B456_006G044800 transcript:KJB34039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMGFCPCFGWSKGKKLKGDDKKELGRKCKPQLCAGVGSVGSKSIAGLRKESSATTDEPNDDKRAHTFNHQQLVNATQNFRKESLIGQGGFGAVYKGQLESTGQVVAVKQLDKTGLQGEKEFLVEVLMLSLLRHPNLVNLIGYCAEGDQRLLVYEYMQLGSLEDHLHYLRPDQKPLDWNTRMTIAAGAAKGLEYLHTEANPPVIYRDLKSSNILLGEGFNPKLSDFGLAKFGPSGDKSHVSTRIMGTHGYCAPEYLTSGKLTMKSDIFSFGVVLLELITGRKALDDSRAREERFLVDWARPMLKDGMNILNLADPLLRGHFSKSTMKKALEVAFLCIQENANCRPSIGDIVLALDYLTSHPYSAHEVKRVSVKRPENNESPRETTRMLDRDFNRERAVAEAKMWGESWREKRLQSAENGSDGSNR >KJB34041 pep chromosome:Graimondii2_0_v6:6:13641788:13643983:1 gene:B456_006G044800 transcript:KJB34041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCPCFGWSKGKKLKGDDKKELGRKCKPQLCAGVGSVGSKSIAGLRKESSATTDEPNDDKRAHTFNHQQLVNATQNFRKESLIGQGGFGAVYKGQLESTGQVVAVKQLDKTGLQGEKEFLVEVLMLSLLRHPNLVNLIGYCAEGDQRLLVYEYMQLGSLEDHLHYLRPDQKPLDWNTRMTIAAGAAKGLEYLHTEANPPVIYRDLKSSNILLGEGFNPKLSDFGLAKFGPSGDKSHVSTRIMGTHGYCAPEYLTSGKLTMKSDIFSFGVVLLELITGRKALDDSRAREERFLVDWVIFCLRFG >KJB34040 pep chromosome:Graimondii2_0_v6:6:13641755:13643996:1 gene:B456_006G044800 transcript:KJB34040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCPCFGWSKGKKLKGDDKKELGRKCKPQLCAGVGSVGSKSIAGLRKESSATTDEPNDDKRAHTFNHQQLVNATQNFRKESLIGQGGFGAVYKGQLESTGQVVAVKQLDKTGLQGEKEFLVEVLMLSLLRHPNLVNLIGYCAEGDQRLLVYEYMQLGSLEDHLHYLRPDQKPLDWNTRMTIAAGAAKGLEYLHTEANPPVIYRDLKSSNILLGEGFNPKLSDFGLAKFGPSGDKSHVSTRIMGTHGYCAPEYLTSGKLTMKSDIFSFGVVLLELITGRKALDDSRAREERFLVDWARPMLKDGMNILNLADPLLRGHFSKSTMKKALEVAFLCIQENANCRPSIGDIVLALDYLTSHPYSAHEVKRVSVKRPENNESPRETTRMLDRDFNRERAVAEAKMWGESWREKRLQSAENGSDGSNR >KJB34898 pep chromosome:Graimondii2_0_v6:6:32522814:32525967:1 gene:B456_006G089200 transcript:KJB34898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGRVLMEKFELGRLLGQGTFAKVYYARNTTTGLSCAIKIIDKEKIMKGGLIDQIKREISVMRLVKHPNVVRLYEVMASKSKIYFVMEYVKGGELFGKVAKGKLKENDARQYFQQLIAAVDYCHSRGVYHRDLKPENLLVDENGNLKVSDFGLSALRESRRQDGLLHTTCGTPAYVAPEVINMKGYDGAKVDIWSCGVILYVLLAGFLPFHDENLMELYKKITKGEFRCPQWLPPEVRKLLSKILDPNPSQRIMVAKIMENSWFKKGYKHFDTPPLTPTQVQDKNLIIDDIHAAFDEWSSSPLENNDDTEVEKQQCILRPSFFNAFDLISLSEGFDLSGLFKEDLNERDCSRFTTQKPATDIVSKFEQIARTECFRVTNKGGKVRLRGVKEGRKGLLAIDAEIFEVTPSFYVVEMTKTSGDTLEYKKFCNQELRPSLNDIVWAWQGSWQQQPNDELYGLALPGLSVRIKLDSRISGTGLPTGSINTKH >KJB37910 pep chromosome:Graimondii2_0_v6:6:47714151:47717580:-1 gene:B456_006G225800 transcript:KJB37910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKPARHHRLLPVRPLTFSPFARCVARVATPYLVKHHMHDAMQQSAGDEFKEKLKNVDRQSESSEDEEFDGVVQADFAFFDPKPDDFHGVKTLLQSYLDNKQWDLSGFVDLILGQATVGTVVKLEDDEDNGVFSIITALNLGRYKDHKCITELKEFLLNICHEKDKIGNLRSLLGDEAQKVGLLVSQCVVNLPPELLPPLYDALFDEISWATEDEPTEELRNCFRFKFYVVVSKFYKHKNANQKRSSSTDKDEAFVYIKPEDEILHKLSMWSFLFPLQTQQVATHELKNYQLTGIVMAVRAEDISSFRQQLHALINES >KJB37002 pep chromosome:Graimondii2_0_v6:6:44317902:44326165:-1 gene:B456_006G186100 transcript:KJB37002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDAALKSIYHFTVQDIRGNDVSLSDYSGKVVLIVNVASKCGLTQSNYKELNVLYEKHKNQGFEILAFPCNQFAGQEPGTNEQIQEVACTMFKAEFPIFDKVEVNGKNAAPLYKFLKSEKGGYFGDAIKWNFTKFLVNKEGKVVERYAPTTSPLKIEVTLMFYFFF >KJB37003 pep chromosome:Graimondii2_0_v6:6:44317902:44326238:-1 gene:B456_006G186100 transcript:KJB37003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDAALKSIYHFTVQDIRGNDVSLSDYSGKVVLIVNVASKCGLTQSNYKELNVLYEKHKNQGFEILAFPCNQFAGQEPGTNEQIQEVACTMFKAEFPIFDKVEVNGKNAAPLYKFLKSEKGGYFGDAIKWNFTKFLVNKEGKVVERYAPTTSPLKIEKDIQNLLGSS >KJB34917 pep chromosome:Graimondii2_0_v6:6:32708967:32710149:-1 gene:B456_006G090700 transcript:KJB34917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARSLALTLLTLLLSMLLSTGVHKSQAAARSVPEPHPSTPPSPSFQVLGVSKSGKLNHKKQVDSSFRRIPPSTSNPIQNKSNPPLQGERSRRQQLPRSLKH >KJB33139 pep chromosome:Graimondii2_0_v6:6:49875114:49877741:-1 gene:B456_006G256800 transcript:KJB33139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVDAAGEPIPTSSVLMAAAKHIEINCMSENVEFLKCKKKDPNPEKCLDKGRQATRCALGLLKELYQRCKDPMEGYVGCLYYHTNEFDLCRKQQQAFEKACPLE >KJB33141 pep chromosome:Graimondii2_0_v6:6:49875816:49877645:-1 gene:B456_006G256800 transcript:KJB33141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVDAAGEPIPTSSVLMAAAKHIEINCMSENVEFLKCKKKDPNPEKCLDKGRQATRCALGLTEYSLILVPLHWSKQVK >KJB33140 pep chromosome:Graimondii2_0_v6:6:49875166:49877491:-1 gene:B456_006G256800 transcript:KJB33140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVDAAGEPIPTSSVLMAAAKHIEINCMSENVEFLKCKKKDPNPEKCLDKGRQATRCALGLLKELYQRCKDPMEGYVGCLYYHTNEFDLCRKQQQAFEKACPLE >KJB38017 pep chromosome:Graimondii2_0_v6:6:48157290:48171783:1 gene:B456_006G232600 transcript:KJB38017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPGGGSRSTSVVVVTLDTGEVYIIVTLSTRSDTQVIYVDPTTGVLRYHGKFGLDVFRSENEALDNITNGSPWQCRSKIHARAILGYAALGSYGLLLVATKLVASIPYLPGGGCVFTVAESQWIKIPLHNPQPQDKGEIKNVQELTELDIDGKHYFCETRDISRPFPSRMSLLSPDDEFVWNGWLSMPFKNIGLTQHCVILLQGFAESRSFGSSGQVEGIVALTARRSRLHPGTRYLARGINSCFSTGNEVECEQLVWVPKRAGQSVPFNTYIWRRGTIPIWWGAELKMTAAEAEIYVSDREPYRGSSQYYQRLSKRYDSRNLDVGVGQNQKPKAFVPIVCVNLLRNGEGKSECILVHHFEESLNHIKSTGKLPYTRIHLINYDWHARIKLQGEQQTIEELWKLLKAPTLAIGISEGDYLPSRQRLKDCRGEIIYNDGFEGAFCLRSHQNGVLRFNCADSLDRTNAASFFGALQVFVEQCRRLGISLDSDSTYGYHPVNNYGGYTAPLPPGWEKRTDEVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMTFDEFKRSTILSPVSQLADVFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSAAQNLKITLERRYKNAIVDSSRQKQLEVFLGLRLFKHLPSVPLQPLHVLSRPSGFLLKPVGSMFPTSNGGASLLSFKKKDLIWVCPQAADVVELFIYLGEPCHVCQLLLTVSHGADDSTYPSTVDVRTGRNLDGLKLVVEGASIPRCGNGTNLLIPLSGAISAEDMAVTGAGARLHDQVTSSLSLLYDFEELEGELDFLTRVVALTFYPAESGSPMTLGEVEILGVSLPWNGVFANEGTGARLTELAKKSQKDSNSFLSSSDTNPFSGSSLSSQAVSISAKQGSANDLVDLLTGGDMLSEPVPQPVTENVTYGGSDLLDFLDQSLVDYHGPETDHKPSTSQDGRPQDSATQKYINCVKFFAGQSMERKLNFLEAMKLEIERLHLNISAAERDRALLCIGIDPATVNPNFLLDESYIGRLCRIASTLALLGQAALEDKINGAIGLGNIEDNVIDYWNISRIGDYCAGGKCEVRAETKATRSDSSALPSTEGSKSIFLCSQCRSKACRVCSAGRGALLLPNYSRDTMNYNGLSNQVGLTTNRSEALDSVICRKCCHEIILDALVLDYVRVLISSRRKVRADSAAHKALDEVTGSSFLGSLSERSQSSGNQGAAKVLKQLLNREESLAEFPFASFLHSVETATDSAPLLSLLTPLYSGSPHSYWKAPPNTTSVEFVTVLGTLSDVSGVVLLVSPCGYSEADAPLVQIWASNKIDREERSCVGKWDVQSLISSSPEFYGPEKSTREDKLPRHIKFTFKNPIRCRIVWITLRLQRPGSSSVNFGNDFSLLSLDENPFAQETRRASFGGATESSPCLHAKRIVIAGIPVKKEMEFTSSESSDQRNWLDRAPQLNRFKVPIEAERLMDYDLVLEQYLPPSSPLLAGFRLDAFNAIKPRITHSPTSDTDIWDTSETFLEDRHISPAVLYIQVSALQEGIGSNMVTIAEYRFPEAKPGTVMYFDFPRQLQTRRISFKLLGDVAAFTDKPAEQDDSSFRAPAVAAGLSLSNRIKLYYRADPYDLGKWASLSAV >KJB38016 pep chromosome:Graimondii2_0_v6:6:48157290:48171783:1 gene:B456_006G232600 transcript:KJB38016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPGGGSRSTSVVVVTLDTGEVYIIVTLSTRSDTQVIYVDPTTGVLRYHGKFGLDVFRSENEALDNITNGSPWQCRSKIHARAILGYAALGSYGLLLVATKLVASIPYLPGGGCVFTVAESQWIKIPLHNPQPQDKGEIKNVQELTELDIDGKHYFCETRDISRPFPSRMSLLSPDDEFVWNGWLSMPFKNIGLTQHCVILLQGFAESRSFGSSGQVEGIVALTARRSRLHPGTRYLARGINSCFSTGNEVECEQLVWVPKRAGQSVPFNTYIWRRGTIPIWWGAELKMTAAEAEIYVSDREPYRGSSQYYQRLSKRYDSRNLDVGVGQNQKPKAFVPIVCVNLLRNGEGKSECILVHHFEESLNHIKSTGKLPYTRIHLINYDWHARIKLQGEQQTIEELWKLLKAPTLAIGISEGDYLPSRQRLKDCRGEIIYNDGFEGAFCLRSHQNGVLRFNCADSLDRTNAASFFGALQVFVEQCRRLGISLDSDSTYGYHPVNNYGGYTAPLPPGWEKRTDEVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMTFDEFKRSTILSPVSQLADVFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSAAQNLKITLERRYKNAIVDSSRQKQLEVFLGLRLFKHLPSVPLQPLHVLSRPSGFLLKPVGSMFPTSNGGASLLSFKKKDLIWVCPQAADVVELFIYLGEPCHVCQLLLTVSHGADDSTYPSTVDVRTGRNLDGLKLVVEGASIPRCGNGTNLLIPLSGAISAEDMAVTGAGARLHDQVTSSLSLLYDFEELEGELDFLTRVVALTFYPAESGSPMTLGEVEILGVSLPWNGVFANEGTGARLTELAKKSQKDSNSFLSSSDTNPFSGSSLSSQAVSISAKQGSANDLVDLLTGGDMLSEPVPQPVTENVTYGGSDLLDFLDQSLVDYHGPETDHKPSTSQDGRPQDSATQKYINCVKFFAGQSMERKLNFLEAMKLEIERLHLNISAAERDRALLCIGIDPATVNPNFLLDESYIGRLCRIASTLALLGQAALEDKINGAIGLGNIEDNVIDYWNISRIGDYCAGGKCEVRAETKATRSDSSALPSTEGSKSIFLCSQCRSKACRVCSAGRGALLLPNYSRDTMNYNGLSNQVGLTTNRSEALDSVICRKCCHEIILDALVLDYVRVLISSRRKVRADSAAHKALDEVTGSSFLGSLSERSQSSGNQGAAKVLKQLLNREESLAEFPFASFLHSVETATDSAPLLSLLTPLYSGSPHSYWKAPPNTTSVEFVTVLGTLSDVSGVVLLVSPCGYSEADAPLVQIWASNKIDREERSCVGKWDVQSLISSSPEFYGPEKSTREDKLPRHIKFTFKNPIRCRIVWITLRLQRPGSSSVNFGNDFSLLSLDENPFAQETRRASFGGATESSPCLHAKRIVIAGIPVKKEMEFTSSESSDQRNWLDRAPQLNRFKVPIEAERLMDYDLVLEQYLPPSSPLLAGFRLDAFNAIKPRITHSPTSDTDIWDTSETFLEDRHISPAVLYIQVSALQNTDSRRPNQGQ >KJB38018 pep chromosome:Graimondii2_0_v6:6:48157901:48170622:1 gene:B456_006G232600 transcript:KJB38018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPGGGSRSTSVVVVTLDTGEVYIIVTLSTRSDTQVIYVDPTTGVLRYHGKFGLDVFRSENEALDNITNGSPWQCRSKIHARAILGYAALGSYGLLLVATKLVASIPYLPGGGCVFTVAESQWIKIPLHNPQPQDKGEIKNVQELTELDIDGKHYFCETRDISRPFPSRMSLLSPDDEFVWNGWLSMPFKNIGLTQHCVILLQGFAESRSFGSSGQVEGIVALTARRSRLHPGTRYLARGINSCFSTGNEVECEQLVWVPKRAGQSVPFNTYIWRRGTIPIWWGAELKMTAAEAEIYVSDREPYRGSSQYYQRLSKRYDSRNLDVGVGQNQKPKAFVPIVCVNLLRNGEGKSECILVHHFEESLNHIKSTGKLPYTRIHLINYDWHARIKLQGEQQTIEELWKLLKAPTLAIGISEGDYLPSRQRLKDCRGEIIYNDGFEGAFCLRSHQNGVLRFNCADSLDRTNAASFFGALQVFVEQCRRLGISLDSDSTYGYHPVNNYGGYTAPLPPGWEKRTDEVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMTFDEFKRSTILSPVSQLADVFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSAAQNLKITLERRYKNAIVDSSRQKQLEVFLGLRLFKHLPSVPLQPLHVLSRPSGFLLKPVGSMFPTSNGGASLLSFKKKDLIWVCPQAADVVELFIYLGEPCHVCQLLLTVSHGADDSTYPSTVDVRTGRNLDGLKLVVEGASIPRCGNGTNLLIPLSGAISAEDMAVTGAGARLHDQVTSSLSLLYDFEELEGELDFLTRVVALTFYPAESGSPMTLGEVEILGVSLPWNGVFANEGTGARLTELAKKSQKDSNSFLSSSDTNPFSGSSLSSQAVSISAKQGSANDLVDLLTGGDMLSEPVPQPVTENVTYGGSDLLDFLDQSLVDYHGPETDHKPSTSQDGRPQDSATQKYINCVKFFAGQSMERKLNFLEAMKLEIERLHLNISAAERDRALLCIGIDPATVNPNFLLDESYIGRLCRIASTLALLGQAALEDKINGAIGLGNIEDNVIDYWNISRIGDYCAGGKCEVRAETKATRSDSSALPSTEGSKSIFLCSQCRSKACRVCSAGRGALLLPNYSRDTMNYNGLSNQVGLTTNRSEALDSVICRKCCHEIILDALVLDYVRVLISSRRKVRADSAAHKALDEVTGSSFLGSLSERSQSSGNQGAAKVLKQLLNREESLAEFPFASFLHSVETATDSAPLLSLLTPLYSGSPHSYWKAPPNTTSVEFVTVLGTLSDVSGVVLLVSPCGYSEADAPLVQIWASNKIDREERSCVGKWDVQSLISSSPEFYGPEKSTREDKLPRHIKFTFKNPIRCRIVWITLRLQRPGSSSVNFGNDFSLLSLDENPFAQETRRASFGGATESSPCLHAKRIVIAGIPVKKEMEFTSSESSDQRNWLDRAPQLNRFKVPIEAERLMDYDLVLEQYLPPSSPLLAGFRLDAFNAIKPRITHSPTSDTDIWDTSETFLEDRHISPAVLYIQVSALQE >KJB38019 pep chromosome:Graimondii2_0_v6:6:48157290:48171812:1 gene:B456_006G232600 transcript:KJB38019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPGGGSRSTSVVVVTLDTGEVYIIVTLSTRSDTQVIYVDPTTGVLRYHGKFGLDVFRSENEALDNITNGSPWQCRSKIHARAILGYAALGSYGLLLVATKLVASIPYLPGGGCVFTVAESQWIKIPLHNPQPQDKGEIKNVQELTELDIDGKHYFCETRDISRPFPSRMSLLSPDDEFVWNGWLSMPFKNIGLTQHCVILLQGFAESRSFGSSGQVEGIVALTARRSRLHPGTRYLARGINSCFSTGNEVECEQLVWVPKRAGQSVPFNTYIWRRGTIPIWWGAELKMTAAEAEIYVSDREPYRGSSQYYQRLSKRYDSRNLDVGVGQNQKPKAFVPIVCVNLLRNGEGKSECILVHHFEESLNHIKSTGKLPYTRIHLINYDWHARIKLQGEQQTIEELWKLLKAPTLAIGISEGDYLPSRQRLKDCRGEIIYNDGFEGAFCLRSHQNGVLRFNCADSLDRTNAASFFGALQVFVEQCRRLGISLDSDSTYGYHPVNNYGGYTAPLPPGWEKRTDEVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMTFDEFKRSTILSPVSQLADVFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSAAQNLKITLERRYKNAIVDSSRQKQLEVFLGLRLFKHLPSVPLQPLHVLSRPSGFLLKPVGSMFPTSNGGASLLSFKKKDLIWVCPQAADVVELFIYLGEPCHVCQLLLTVSHGADDSTYPSTVDVRTGRNLDGLKLVVEGASIPRCGNGTNLLIPLSGAISAEDMAVTGAGARLHDQVTSSLSLLYDFEELEGELDFLTRVVALTFYPAESGSPMTLGEVEILGVSLPWNGVFANEGTGARLTELAKKSQKDSNSFLSSSDTNPFSGSSLSSQAVSISAKQGSANDLVDLLTGGDMLSEPVPQPVTENVTYGGSDLLDFLDQSLVDYHGPETDHKPSTSQDGRPQDSATQKYINCVKFFAGQSMERKLNFLEAMKLEIERLHLNISAAERDRALLCIGIDPATVNPNFLLDESYIGRLCRIASTLALLGQAALEDKINGAIGLGNIEDNVIDYWNISRIGDYCAGGKCEVRAETKATRSDSSALPSTEGSKSIFLCSQCRSKACRVCSAGRGALLLPNYSRDTMNYNGLSNQVGLTTNRSEALDSVICRKCCHEIILDALVLDYVRVLISSRRKVRADSAAHKALDEVTGSSFLGSLSERSQSSGNQGAAKVLKQLLNREESLAEFPFASFLHSVETATDSAPLLSLLTPLYSGSPHSYWKAPPNTTSVEFVTVLGTLSDVSGVVLLVSPCGYSEADAPLVQIWASNKIDREERSCVGKWDVQSLISSSPEFYGPEKSTREDKLPRHIKFTFKNPIRCRIVWITLRLQRPGSSSVNFGNDFSLLSLDENPFAQETRRASFGGATESSPCLHAKRIVIAGIPVKKEMEFTSSESSDQRNWLDRAPQLNRFKVPIEAERLMDYDLVLEQYLPPSSPLLAGFRLDAFNAIKPRITHSPTSDTDIWDTSETFLEDRHISPAVLYIQVSALQEGIGSNMVTIAEYRFPEAKPGTVMYFDFPRQLQTRRISFKLLGDVAAFTDKPAEQDDSSFRAPAVAAGLSLSNRIKLYYRADPYDLGKWASLSAV >KJB37611 pep chromosome:Graimondii2_0_v6:6:46676070:46680702:-1 gene:B456_006G212800 transcript:KJB37611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANASGFFTPSVPRLRSKVQPSIGVSRSGFCFSGGISRRVVCSSAIEGAEKHVSPSESRMPKLVSKGCKLVGCGSAVPSLSVSNDDLSKIVDTSDEWISVRTGIRNRRVMSGKESLKKLAVEAARKALEMADFDPNDLDLILMCTSTPEDIFGSAPQIQRELGCRNSPLAYDITAACSGFVLGLISAASHIRGGGFRNVLVIGADGLSRFVDWTDRGTCILFGDAAGAVLVQACDAEEDGLLSFDLHSDGEGGRHLGAPINDNETDELLGSNGSALDFPPKKSSYSCIQMNGKEVFRFAVRCVPQSIESALEKAGLVSGNVDWLLLHQANQRIIDAVATRLDFPQEKVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTIAAAGFGAGLTWGSAIIRWG >KJB37613 pep chromosome:Graimondii2_0_v6:6:46676804:46680190:-1 gene:B456_006G212800 transcript:KJB37613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANASGFFTPSVPRLRSKVQPSIGVSRSGFCFSGGISRRVVCSSAIEGAEKHVSPSESRMPKLVSKGCKLVGCGSAVPSLSVSNDDLSKIVDTSDEWISVRTGIRNRRVMSGKESLKKLAVEAARKALEMADFDPNDLDLILMCTSTPEDIFGSAPQIQRELGCRNSPLAYDITAACSGFVLGLISAASHIRGGGFRNVLVIGADGLSRFVDWTDRGTCILFGDAAGAVLVQACDAEEDGLLSFDLHSDGEGGRHLGAPINDNETDELLGSNGSALDFPPKKSSYSCIQMNGKEVFRFAVRCVPQSIESALEKAGLVSGNVDWLLLHQVYDLGYN >KJB37612 pep chromosome:Graimondii2_0_v6:6:46676116:46680702:-1 gene:B456_006G212800 transcript:KJB37612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKESLKKLAVEAARKALEMADFDPNDLDLILMCTSTPEDIFGSAPQIQRELGCRNSPLAYDITAACSGFVLGLISAASHIRGGGFRNVLVIGADGLSRFVDWTDRGTCILFGDAAGAVLVQACDAEEDGLLSFDLHSDGEGGRHLGAPINDNETDELLGSNGSALDFPPKKSSYSCIQMNGKEVFRFAVRCVPQSIESALEKAGLVSGNVDWLLLHQANQRIIDAVATRLDFPQEKVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTIAAAGFGAGLTWGSAIIRWG >KJB38248 pep chromosome:Graimondii2_0_v6:6:48945577:48946059:-1 gene:B456_006G243900 transcript:KJB38248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DQTLPVVINPKLQRICDQTDNPVECLITTIPFLREKAAINPMSILKIKVEAVDIKIKEALDKASKLLLYSSNSKPATFCFNVCINNYKSILESKHRILDAILLGDDKQLRVELSSNVDKIYHCEDAFEEANIKSPITEVNSLLGKMISNSLTITIDMVHF >KJB33068 pep chromosome:Graimondii2_0_v6:6:33011336:33011513:-1 gene:B456_006G092700 transcript:KJB33068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQVFLFGIIFGLIPITLVGLFVTAYLQYRRGDQLDL >KJB38517 pep chromosome:Graimondii2_0_v6:6:50007748:50010061:1 gene:B456_006G259000 transcript:KJB38517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTADSGSVLRLVEIEGRGRALVASQPLKAGQIVLQDSPIVVYSAFPLVKPQSSASYCDNCFRILSSSANVVPCPLCSHHLFCGPNCLTAATASSHSPWVCQALSRLRDCPSLFSQPLEQQVQARFLIAAYNLALVSPSDFQVLLSLQGQYSPSDAPAAEFLHSLILSVCPPPSLPISIELTAALLAKDKLNAFGLMEPISLQQDGERSVRAYGIYPKASFFNHDCLPNACRFDYLDSAPAQNTDMIVRMIHDVPVGREICLSYFPVNLNYSARQKRLTEDYGFTCNCDRCKVEANWSDNEADVIDDNGTVEENEDEEIMEEDNDEQMVASEGDEVGEADFPHAYFFVRYMCNRENCWGTLAPLPPSNDVQSKVLECNVCGNHKSEEDMC >KJB38383 pep chromosome:Graimondii2_0_v6:6:49566470:49568583:-1 gene:B456_006G252400 transcript:KJB38383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFHRPQPPKWSASLCGCGGDIGTCCITCCLPCVTFGQIAEMVDQGQSTCVQQGCIYCVLMLFSCQCLLSCVYREKLRAKFGLPAEPCHDCCVHFCCESCALCQEHAELKARGFDPSRGWKGPATAPPSMPPTMKR >KJB37842 pep chromosome:Graimondii2_0_v6:6:47515370:47531211:1 gene:B456_006G222800 transcript:KJB37842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRKKGGNKAKVKNLSLGDLVLAKVKGFPAWPAKISRPEDWEREPDPKKYFVQFFGTEEIAFVAPVDIQAFTSETKSKLSAKSQVVKTRYFVQAVKEICVAFDELHKEKSSELRDETDKSTPGFEASSADGVEDGGAEADLKNGKSAVAPGEETTSVGKGENNSEHIKPLISGHADDSSSPHMSSEGNDKISNGEQAKKEVFSPASLDEPSPIKEEFSDDKIAIANCTKKTLRDDQMSKKMAPGSKKRNVQGQKSSSSAATTLRDNKSSGCLDLPDSEEQLKDRVKGKVCSGSVRKFSSDTLKSDSNYTGGKKAKELLKSKSNLKATDNVLDAAANPKGETTGKKKRGEPGLGKLNFGADEVLHPAKKSKVVDMKNDASKGSIVKKTKGNSPSSNNVNSKAAKHSESKKSTSHVLALRAPVSMISDVSGDEAVLPVSKRHRRALEAMFDSGSSNSDNKIGKNPVELKNYNSSSSHVKIPGTQLSRRRRAVCLFDDDDEEDPKTPLHGGSIRDVKVTSVVSDASKSSDVNHSSAANAQRSVEESNQHENNGPKEASSKLMNDVVSPTRPRTVTHASITPERSESEQLSSKEAKPDLISLRKSPHLVSATKQVEQHRTTKAAAKVSGNGTQKKAPSVSVKGLGVTSEGLKSSQQIQVLSQRNRQASSVERLKSTPKAISRGNDTTFVTETSMEFDIFREDRSGSLIDSKNSDSAMSMKHLIAAAQAKRRLAHSQQYCLGNPSSAFLSMSEAQGASLSPAVQPFPSVTNNEVQGDGQGFAHRTSITSPSTLGHLSGSQNQQDTEETEERRASSGHMAAGGSLSGGTEASVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQTALPRLLGAAAPPGASARENRRQCLKVLRLWLERKILPESILRRYMDDIGVSNDDALSGFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGLLSSNAFDDDEEELLDSPCGEAADASPLETAQALVELEACTVTPSDRRHCILEDVDGELEMEDVSAHQKDDRPSFTNDSLEKDMQQQGNDRIMEPASSSPNGFPPLPEGSPPLPPDSPPPPPPLPPSPPPPPPPSSPSPPPPPPPLPTLPPPLPAPPACPPPAFVPQPPLPTQPMLPPQSSMQSSPQLAYQAPVPHDYRGTPNGNQMVQISGSAPHGGHIDAAVKNELFLQQSPCFPTGARNSREASGYNSSRQLEYGHNEMYLNAPSSQPSQQFQPGNTAFVQRPLPPSLPQTSSSHFSFTKPSMPLHPQHSYPPQYSLPSQHDGRRPFVSDEQWRMPAGEYIAGRNPPSAGPLFVQEAYFRPPGERTPSNNLAFPIASTNTLPAGAPNSGHGVSPMLPCRPDVSTINCWRPARE >KJB37841 pep chromosome:Graimondii2_0_v6:6:47515370:47530985:1 gene:B456_006G222800 transcript:KJB37841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEGNDKISNGEQAKKEVFSPASLDEPSPIKEEFSDDKIAIANCTKKTLRDDQMSKKMAPGSKKRNVQGQKSSSSAATTLRDNKSSGCLDLPDSEEQLKDRVKGKVCSGSVRKFSSDTLKSDSNYTGGKKAKELLKSKSNLKATDNVLDAAANPKGETTGKKKRGEPGLGKLNFGADEVLHPAKKSKVVDMKNDASKGSIVKKTKGNSPSSNNVNSKAAKHSESKKSTSHVLALRAPVSMISDVSGDEAVLPVSKRHRRALEAMFDSGSSNSDNKIGKNPVELKNYNSSSSHVKIPGTQLSRRRRAVCLFDDDDEEDPKTPLHGGSIRDVKVTSVVSDASKSSDVNHSSAANAQRSVEESNQHENNGPKEASSKLMNDVVSPTRPRTVTHASITPERSESEQLSSKEAKPDLISLRKSPHLVSATKQVEQHRTTKAAAKVSGNGTQKKAPSVSVKGLGVTSEGLKSSQQIQVLSQRNRQASSVERLKSTPKAISRGNDTTFVTETSMEFDIFREDRSGSLIDSKNSDSAMSMKHLIAAAQAKRRLAHSQQYCLGNPSSAFLSMSEAQGASLSPAVQPFPSVTNNEVQGDGQGFAHRTSITSPSTLGHLSGSQNQQDTEETEERRASSGHMAAGGSLSGGTEASVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQTALPRLLGAAAPPGASARENRRQCLKVLRLWLERKILPESILRRYMDDIGVSNDDALSGFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGLLSSNAFDDDEEELLDSPCGEAADASPLETAQALVELEACTVTPSDRRHCILEDVDGELEMEDVSAHQKDDRPSFTNDSLEKDMQQQGNDRIMEPASSSPNGFPPLPEGSPPLPPDSPPPPPPLPPSPPPPPPPSSPSPPPPPPPLPTLPPPLPAPPACPPPAFVPQPPLPTQPMLPPQSSMQSSPQLAYQAPVPHDYRGTPNGNQMVQISGSAPHGGHIDAAVKNELFLQQSPCFPTGARNSREASGYNSSRQLEYGHNEMYLNAPSSQPSQQFQPGNTAFVQRPLPPSLPQTSSSHFSFTKPSMPLHPQHSYPPQYSLPSQHDGRRPFVSDEQWRMPAGEYIAGRNPPSAGPLFVQEAYFRPPGERTPSNNLAFPIASTNTLPAGAPNSGHGVSPMLPCRPDVSTINCWRPARE >KJB34359 pep chromosome:Graimondii2_0_v6:6:22823572:22825321:-1 gene:B456_006G062100 transcript:KJB34359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSVETLLTVLVIFCSFYILFYISKQGKGKGKALPGPRPLPIIGNLHMLGRLPHRSLYHLAKKHGPIMSIRLGSVPTVVVSSPPVAEMFLKTHDVVFASRPKVQVLQSIYNGKKGIAFTELGPYWRSVRKFCNQQLFNASKIESFAPSRKEVLAHFIESLKEAAVAKEVVNISKKVGDLNEKMTLKMILGPVKKYEEFNLKDLIGELTYLAGAFNLADFVPFLGAFDLQGLKARTRTLGEKLDKALEMIINDHQQQKQDDFVGMMLTELNKQMNPDGDIMDRNSIKAITIDMIVASLDTSAATLEWALSELIRHPSVMRKFQQELESVIGKRMVEESDLPKLEYLDMVVRETLRLHPIAPLLVPRESMEDIVIDGCYIPKKSRVLVNVWTIGRDPNVWSSNVEEFFPERFINSNIELHGHDFELIPFGSGRRVCPGKKLGLITVKLILAQLVHCFDWELPSGMSPNELDMTENFGTSVPRKTNLCAKPIYRM >KJB37796 pep chromosome:Graimondii2_0_v6:6:47284460:47290995:-1 gene:B456_006G220400 transcript:KJB37796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAPPRLVYCGIDPVRFSSPRSNRVSIRTRTRPVLAVATDPKPTRKTPSQSSPSNNNVNGSSKSSSSKKSVNGVSTRMGDVSQEIKRVRAQMEENEDLAILMRGLRGQNLRDSQFADDNIQLRLVEVDESSEFLPLAYDPASISAYWGKRPRAVATRIIQLLSVAGGFLSRLAMDVVNKKVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPVAMMELQKLCDKVPSFPDDIAMALIEEELGQPWQEIYSELSSSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTVDLFIIRNLGLVLRKFPQISIDVVGLVDEWAARFFEELDYVNEGENGQLFSEMMRKDLPQVVIPRTYQKYTSRKVLTTEWIEGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNLIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYPEIVKDFVKLDFIPEGVNLEPILPVLAKVFDQALEGGGAKNINFQDLASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRNALRYTIYGKSGVFDAERFIDVMQAFENFITAAKSGGGENLNGDMAELGLLQRQADISFPRFLPSESQSKQPVQTRAALGFLLSEKGNFFREFLLDEIVKGIDALSREQLVQIMSVLGVRNAAPVFSLVPTVGPFKPAGLLPSITEEDRVILNNVQKILEFLTAGSSISTTSSQVLQSLQF >KJB37795 pep chromosome:Graimondii2_0_v6:6:47284418:47291093:-1 gene:B456_006G220400 transcript:KJB37795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAPPRLVYCGIDPVRFSSPRSNRVSIRTRTRPVLAVATDPKPTRKTPSQSSPSNNNVNGSSKSSSSKKSVNGVSTRMGDVSQEIKRVRAQMEENEDLAILMRGLRGQNLRDSQFADDNIQLRLVEVDESSEFLPLAYDPASISAYWGKRPRAVATRIIQLLSVAGGFLSRLAMDVVNKKVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPVAMMELQKLCDKVPSFPDDIAMALIEEELGQPWQEIYSELSSSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTVDLFIIRNLGLVLRKFPQISIDVVGLVDEWAARFFEELDYVNEGENGQLFSEMMRKDLPQVVIPRTYQKYTSRKVLTTEWIEGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNLIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYPEIVKDFVKLDFIPEGVNLEPILPVLAKVFDQALEGGGAKNINFQDLASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRNALRYTIYGKSGVFDAERFIDVMQAFENFITAAKSGGGENLNGDMAELGLLQRQADISFPRFLPSESQSKQPVQTRAALGFLLSEKGNFFREFLLDEIVKGIDALSREQLVQIMSVLGVRNAAPVFSLVPTVGPFKPAGLLPSITEEDRVILNNVQKILEFLTAGSSISTTSSQGVNVAQVIQELLPVLPGISARVLPELISRLSSRVLARLIRDTFL >KJB37797 pep chromosome:Graimondii2_0_v6:6:47285979:47290995:-1 gene:B456_006G220400 transcript:KJB37797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAPPRLVYCGIDPVRFSSPRSNRVSIRTRTRPVLAVATDPKPTRKTPSQSSPSNNNVNGSSKSSSSKKSVNGVSTRMGDVSQEIKRVRAQMEENEDLAILMRGLRGQNLRDSQFADDNIQLRLVEVDESSEFLPLAYDPASISAYWGKRPRAVATRIIQLLSVAGGFLSRLAMDVVNKKVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPVAMMELQKLCDKVPSFPDDIAMALIEEELGQPWQEIYSELSSSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTVDLFIIRNLGLVLRKFPQISIDVVGLVDEWAARFFEELDYVNEGENGQLFSEMMRKDLPQVVIPRTYQKYTSRKVLTTEWIEGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNLIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYPEIVKDFVKLDFIPEGVNLEPILPVLAKVFDQALEGGGAKNINFQDLASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQV >KJB38232 pep chromosome:Graimondii2_0_v6:6:49133638:49135612:-1 gene:B456_006G246500 transcript:KJB38232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVETEVAAAGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMISHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KJB38231 pep chromosome:Graimondii2_0_v6:6:49133638:49135389:-1 gene:B456_006G246500 transcript:KJB38231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDELVKLFPARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMISHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KJB34808 pep chromosome:Graimondii2_0_v6:6:31901652:31904668:1 gene:B456_006G085000 transcript:KJB34808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELHAGICEGTWWKSSKTMLSGFPSSSPTEIADLGISFGWATNMADDIEARSSNNKEKHQQAADSHNNGSSVFIDSSLKMMDFDLSSTPDCNQSFQQEPVAMDSALLQGLLFEPDAQAQQHSLNFNNRSINHMPAGATYGANANGILSPPWPKLAPFVRPSLPKQLPSSLPLPQSQVLLQTFENKLNCPRPTIETNTKQVRNLASVMKKGSGEPPLKRPRIETPLPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVNVLSTPYVKQAAVSIPQGIDHRLKDTEGSKQDLRSRGLCLVPISTTFTVANETTADFWTPAFVGTLR >KJB34807 pep chromosome:Graimondii2_0_v6:6:31901512:31907300:1 gene:B456_006G085000 transcript:KJB34807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELHAGICEGTWWKSSKTMLSGFPSSSPTEIADLGISFGWATNMADDIEARSSNNKEKHQQAADSHNNGSSVFIDSSLKMMDFDLSSTPDCNQSFQLSCRQEPVAMDSALLQGLLFEPDAQAQQHSLNFNNRSINHMPAGATYGANANGILSPPWPKLAPFVRPSLPKQLPSSLPLPQSQVLLQTFENKLNCPRPTIETNTKQVRNLASVMKKGSGEPPLKRPRIETPLPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVNVLSTPYVKQAAVSIPQGIDHRLKDTEGSKQDLRSRGLCLVPISTTFTVANETTADFWTPAFVGTLSFYT >KJB33442 pep chromosome:Graimondii2_0_v6:6:2424162:2429991:-1 gene:B456_006G011200 transcript:KJB33442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQLLSLARRSRKPSYSFTAARSSSSAASPLAAAESKEISPPPPTAMIYDRLALAVKSKLQKLENPDPRFLKYGSPHPTVTSHTHILSSPETKITTLPNGLRVATESTLSSHTATVGVWIDAGSRFETEETNGTAHFLEHMIFKGTEKRSARELEEEIENMGGHLNAYTSREQTTYYAKVMDKDVFKALDILADILQNSKFEEHRISRERDVILREMEEYTPLGRTILGPADNIRTITKEHLQSYIQTHYTAPRMVIAASGAVKHEEIVDQVKKLFTKLSSDPTTGSQLVMNEPATFTGSEVRMINDDVPLAQFAVAFEGASWTDPDSIALMVMQAMLGSWSKNAGGGKHMGSELAQRVGINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYAIMYETTKLAYRVSEADVIRARNQLKSSLMLHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDPSTVKRVANRFIYDRDVAIAAMGPIQGLRDYNWFRRRTYWNRY >KJB33443 pep chromosome:Graimondii2_0_v6:6:2424162:2430019:-1 gene:B456_006G011200 transcript:KJB33443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQLLSLARRSRKPSYSFTAARSSSSAASPLAAAESKEISPPPPTAMIYDRLALAVKSKLQKLENPDPRFLKYGSPHPTVTSHTHILSSPETKITTLPNGLRVATESTLSSHTATVGVWIDAGSRFETEETNGTAHFLEHMIFKGTEKRSARELEEEIENMGGHLNAYTSREQTTYYAKVMDKDVFKALDILADILQNSKFEEHRISRERDVILREMEEVEGQTEEVIFDHLHSTAFQYTPLGRTILGPADNIRTITKEHLQSYIQTHYTAPRMVIAASGAVKHEEIVDQVKKLFTKLSSDPTTGSQLVMNEPATFTGSEVRMINDDVPLAQFAVAFEGASWTDPDSIALMVMQAMLGSWSKNAGGGKHMGSELAQRVGINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYAIMYETTKLAYRVSEADVIRARNQLKSSLMLHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDPSTVKRVANRFIYDRDVAIAAMGPIQGLRDYNWFRRRTYWNRY >KJB34310 pep chromosome:Graimondii2_0_v6:6:21646944:21650499:1 gene:B456_006G058800 transcript:KJB34310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKVAATLGLLSLAAFSFFEAVNGARRLTSVGCNPSSCGNLTNIRSPFRLKADPPQCGSPSYELICQNNRTFLSNNLGFYVADIFYDGSGTIRLVDQSLDAKQCSILPKSPVCREVTDFIYFVSCRAPMASSLYVDAAPCANASSPYPYFYALFSADSLNATGFDESCTVEIQVPRPVRLQSSNISALRRLSIFDIHRELLMGYDVPWACAYTPRSSNNITLAKILWRLKFILYNYFNSFRIFFAHAFPSQDTYIYYYTSRTQVIFLILTGVAIAIRTLIGVSSLIIVVVFKFRRRHLCVDDTIEEFLRMQNKLMPIRYSYSDIKRMTESFKDKLGQGGFGSVFKGKLRSGHLVAIKLLELSKSQGQDFINEVATIGRIHHVNVVRLVGFCVEGSKQALVYDFMPNSSLDKVIFSKEKDISLSWEKVSEIAIGVAQGIEYLHQGCDMQILHFDIKPHNILLDENFTPKVSDFGLAKLHAIDNSIVSLTAARGTLGYIAPELFYKNIGNITHKADVYSFGMLLMEMAGRRRNLNAQARQSSEIYFPSWIYDRFDRGEDLEVEDATESQKKTVRKMIIVAFWCIQIKPTDRPSMSEVLEMLGGDVELLEIPPKPFLLSMERSSEDFEDELTTSADDATTTMSMEGAEYNIHSSND >KJB34311 pep chromosome:Graimondii2_0_v6:6:21647089:21650499:1 gene:B456_006G058800 transcript:KJB34311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKVAATLGLLSLAAFSFFEAVNGARRLTSVGCNPSSCGNLTNIRSPFRLKADPPQCGSPSYELICQNNRTFLSNNLGFYVADIFYDGSGTIRLVDQSLDAKQCSILPKSPVCREVTDFIYFVSCRAPMASSLYVDAAPCANASSPYPYFYALFSADSLNATGFDESCTVEIQVPRPVRLQSSNISALRRLSIFDIHRELLMGYDVPWACAYTPRSSNNITLAKILWRLKFILYNYFNSFRIFFAHAFPSQDTYIYYYTSRTQVIFLILTGVAIAIRTLIGVSSLIIVVVFKFRRRHLCVDDTIEEFLRMQNKLMPIRYSYSDIKRMTESFKDKLGQGGFGSVFKGKLRSGHLVAIKLLELSKSQGQDFINEVATIGRIHHVNVVRLVGFCVEGSKQALVYDFMPNSSLDKVIFSKEKDISLSWEKVSEIAIGVAQGIEYLHQGCDMQILHFDIKPHNILLDENFTPKVSDFGLAKLHAIDNSIVSLTAARGRRRNLNAQARQSSEIYFPSWIYDRFDRGEDLEVEDATESQKKTVRKMIIVAFWCIQIKPTDRPSMSEVLEMLGGDVELLEIPPKPFLLSMERSSEDFEDELTTSADDATTTMSMEGAEYNIHSSND >KJB36463 pep chromosome:Graimondii2_0_v6:6:41929870:41932010:1 gene:B456_006G160000 transcript:KJB36463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRCISFHLHPHPHPHLSLYSALPQNPKLSRHAPTSLFSAVKTATIKTSHYHIYQSFPKRCATLTPMASSGQSSVGAKFDGFEPDQTFTNDDLKPTTPSQRNFHWWEMASLWIGLVVGIPSYYMAGSLVDLGMAWWQGIATVVVANMILLVPLVLTGHPGTKYGISFPVLARSCFGIRGAHVPTLLRALVGCGWYGIESWIGGEAIFLLLPNSIKQSSFSQLLPWLGTSPLEFACFIAFWSVQLAVVWKGMEGIRDLEKYSAPILIILTSILLIWAYVKANGLGHMLSLSSRLSSPEFWSLFFPSLTANISFWATIALNIPDFTRFAKTQRDQIIGQAGLPIFMGAFTFVGLAVTSSTTVIFGQVISNPIQLLGRIGGFTTTILAILGISLATITTNIAANVVAPANALVNLSPSLFTFRRGALLTALLGIAFQPWRLLKSSESFVYTWLVGYSALLGPIGGIVLADYYLIHQTDLSIKDLYSSSPGGAYYYCGGFNLAAMAALVFGILPVIPGFLQKVGILSSVSDVFVVIYNNAWFFSFFSAGLLYWILASLRGKQNKSLPSDPLLPTKS >KJB37481 pep chromosome:Graimondii2_0_v6:6:46241523:46242746:1 gene:B456_006G206900 transcript:KJB37481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLISKMGNCALKGAVEECSHGIRVLTDAGEIIDFKGPKLARDIVNGFPGYGICRRGQALAPLAEDECLVNGGFYYLLPMDKFQKNGDSGVIPKDKEEGVKKDEVDRVDQIEPPKMSSADFVENLSSGSSSALEVLSSEKNGVWKVKLVISSQQLGDILSQQVNTEALIEKMRMAASSAVVTPRRTKRFCVVASWKKPAVSSVFKVATDNTKSP >KJB37031 pep chromosome:Graimondii2_0_v6:6:44417813:44421360:1 gene:B456_006G187300 transcript:KJB37031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSISHTSSPSASNCKDISRKKRRSAKLKQCKLDARREQWLSQSAVKNKVCCKEGTNDGDSKQTQRGERDRSLENFEMRETAEANGEGENVSVQHESDSESFPPNSHTSSSLLGGMDSGTSFTNSSSSSTSSGGCCSGSITEEEGGDGCLDDWEAVADALAADDDKQELDPNENNKENLCLGSTPGLEPNPQLGSNGEGSDLKNSEPECPRMVQRATGSCRAWRADDTFRPQTLPNLSKQRSFPATDRRFGQGGVSWMRSSAFSVPSSCPICYEDLDFTDSSFLPCLCGFRLCLFCHKRILEEDGRCPGCRKPYENDPVEAEASVQGGSLTTRLLARSCSMIARS >KJB37032 pep chromosome:Graimondii2_0_v6:6:44417731:44419581:1 gene:B456_006G187300 transcript:KJB37032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSISHTSSPSASNCKDISRKKRRSAKLKQCKLDARREQWLSQSAVKNKVCCKEGTNDGDSKQTQRGERDRSLENFEMRETAEANGEGENVSVQHESDSESFPPNSHTSSSLLGGMDSGTSFTNSSSSSTSSGGCCSGSITEEEGGDGCLDDWEAVADALAADDDKQELDPNENNKENLCLGSTPGLEPNPQLGSNGEGSDLKNSEPECPRMVQRATGSCRAWRADDTFRPQTLPNLSKQRSFPATDRRFGQGGVSWMRSSAFSVPSSCPICYEDLDFTDSSFLPCLCGFRLCLFCHKRILEEDGRCPGCRKPYENDPVEAEASVQGGSLTTRLLARSCSMIARS >KJB38621 pep chromosome:Graimondii2_0_v6:6:50407667:50408504:1 gene:B456_006G265100 transcript:KJB38621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFWAELYSFLVALAASKLSSKQKYYGGRRRRLCLRHHPQRRRRGRKISTSSSPRKDLAVYKLIEMKLFCSATRSSGKHLLFEIDMQVEVKRSNSGFDHFTILQFRIKLYHIKLQ >KJB38622 pep chromosome:Graimondii2_0_v6:6:50407667:50410700:1 gene:B456_006G265100 transcript:KJB38622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFWAELYSFLVALAASKLSSKQKYYGGRRRRLCLRHHPQRRRRGRKISTSSSPRKDLAVYKLIEMKLFCSATRSSEKNVKCHLFEAIRFRPFNMFDIYYDRGRLRRT >KJB35673 pep chromosome:Graimondii2_0_v6:6:37482047:37483942:-1 gene:B456_006G123400 transcript:KJB35673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRNPNSEKILDFVVNKGNGIKGLVDTGIETVPDLYILPIEERLLPNNILPYDSIPVIDVSNWDDPKVKESICDAAGKWGFFQIINHGVPLHVLDAVKEAAYRFFGLPYEERNKYWAGNSPTDTVTLKTSFVPQAEAVLEWKDYLSFRCSPRDLESFPLWPPVCRDEVVEYMESAKSVIRKLLEVLLEGLKVKQIDKAREYTLMGSPIVNLMYYPHCPNPDFTAGVQPHSDISTLTVLLQDDNGGLYVRATENDSWIHVLPINGALVINIGDILQIMSNDRYKSIEHRVVANRSKDRVSVPIFVNPGPDAVFGPLPEVLESGEQPLYKEVVFSDYFKYFFSKKHDGKKSMDFARI >KJB37977 pep chromosome:Graimondii2_0_v6:6:47996871:48000717:1 gene:B456_006G229700 transcript:KJB37977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWTVLSSDVLIFFPAVLYFVLVYRSMRFGMGQNSDVAWHIAMILLNPCLILIDHGHFQYNCISLGLTIAAIAAALSQKDLVASVLYCLALNHKQMSAYFAPAFFGYLLGKCLRKKNPLLEVTKLGLMVIGTFAVVWWPYLQSKDAALAVLSRLAPFERGIYEDYVANFWCTSSVLVKWKKLYSTQSLRIFSLAATLITCLPSMVQQILAPSSKGFLYALLNTSFSFYLFSFQVHEKSILLPLLPLTLLALEESRSILWLTHFAMFSMFPLLHRDKLVLAYMALYALFILVYFAPCGPSGRCHGTKTLDNTQKTKRSVDFITSITFHPLLMGFLGLCSLILHVIYLTMHPPNKYPFLFEAVIMLICFSQFVLFTFYFNSKQWMLSKHLTSKDKQKKLI >KJB37976 pep chromosome:Graimondii2_0_v6:6:47996727:48000765:1 gene:B456_006G229700 transcript:KJB37976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKGEMKKVKKVKDKVNDGQYDDPWWWLVQEGIRPSFLCISLFALLVRVAVGLHPYSGARTPPKFGDYEAQRHWMEITLNLPPKDWYRNSSVNDLSYWGLDYPPLTAYQSYVHGVFLKTFDPDSVALFTSRGYESYLGKLLMRWTVLSSDVLIFFPAVLYFVLVYRSMRFGMGQNSDVAWHIAMILLNPCLILIDHGHFQYNCISLGLTIAAIAAALSQKDLVASVLYCLALNHKQMSAYFAPAFFGYLLGKCLRKKNPLLEVTKLGLMVIGTFAVVWWPYLQSKDAALAVLSRLAPFERGIYEDYVANFWCTSSVLVKWKKLYSTQSLRIFSLAATLITCLPSMVQQILAPSSKGFLYALLNTSFSFYLFSFQVHEKSILLPLLPLTLLALEESRSILWLTHFAMFSMFPLLHRDKLVLAYMALYALFILVYFAPCGPSGRCHGTKTLDNTQKTKRSVDFITSITFHPLLMGFLGLCSLILHVIYLTMHPPNKYPFLFEAVIMLICFSQFVLFTFYFNSKQWMLSKHLTSKDKQKKLI >KJB37986 pep chromosome:Graimondii2_0_v6:6:48026041:48027180:-1 gene:B456_006G230100 transcript:KJB37986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAACFIIASRNDIPIYEAEVGSAAKREDAAQLPQFILQAALDIVQDLAWTTSAMILKTIDKFNDLVVSVYVTDDHTRFMLLHDSRSDDGIKSFFQEVHELYIKSLLNPLYLPGSRITSSHFDTKVGALARKYL >KJB37291 pep chromosome:Graimondii2_0_v6:6:45537277:45538004:-1 gene:B456_006G198100 transcript:KJB37291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFSFKALPVLALALEITCEQLDEDTCTYPVSSAGKRCVLEKHVKRSGEDEFTCRTSEIEDDKINNWIEIDKCVKACRLGRKSFGILSDSLLKSRFTEMLCSPQCYNSCPNVADLYFNLAAGESVFLPKLCEAQGANTQRGLAEIRSSGIVAPGPASGVKFLGVAPAMPPL >KJB36830 pep chromosome:Graimondii2_0_v6:6:43593537:43594515:1 gene:B456_006G178500 transcript:KJB36830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLVTKNLSSRNLPKLCSTCLLHSHATSFGFKQVREEEKSQMIGKVFSNVASNYDLTNDFMSGGLHRLWKDRLVSKLSPFLGIKHLDIAGGTGRLFLLNKVYVHFL >KJB33680 pep chromosome:Graimondii2_0_v6:6:6701716:6703275:-1 gene:B456_006G026000 transcript:KJB33680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEMWSHLGSTMGTLMLVYTLFKQFFPHHLQDSFEKYFNRIVRFAYPYVEITFDEFTGERMKRSEAYSRIKSYLSDKSTASAKRLKADVVKDSQSAVLSMDYNEEITDEFQGVKVWWSANRILPTTQRISWYPNDDEKKYYRLTVHKRHRELITQSYISHVMMEGKEIATKKRQRKLYSNNPSQNWYGYRRSKWSNVLFEHPATFDTLAMDAKLKEEIKNDLIKFSKGKDYYARIGKAWKRGYLLYGPPGTGKSSMIAAMANLLDYDVYDLELTAVKENTELRRLLLDTSSKSIIVIEDIDCSIDLTGQREKKTEKDGNEDEPSDPVTKRVKEEEKMESKVTLSGLLNCIDGLWSSCGGERIIVFTTNYVEKLDPALIRRGRMDKHIELSYCCFDAFKVFAKNYLEIDSHSLFGEIESLLGETNMTPADVAENLMPKSDFDDVETCLKRLVEALKDAKEEAKKKAEDEARLKAEKEEEKQKQKSVKEEKEQSGKDDKEVKENGVALEAAEVKENGVAH >KJB34803 pep chromosome:Graimondii2_0_v6:6:31862660:31865926:1 gene:B456_006G084600 transcript:KJB34803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWSVPLFSYSLVLSFLPTLIVAQLNPVYFEHDCNQGSGNYTPNNTYEANLNSIISRFATLSYFNYGFFNLSAGESPNKFCPRNKVAIAWSELCLVRYANRDLYGLLENDPRTCKYNPMNASNPAQFNRALSELLNNLSSEAAASGPLRKYAAGNALTGILQMVYATVQCTPDMDQQNCTACLNYGRSELGGCCYGRMGCRILRPNCVLRFESNPFYNETAVPLPSPPTTSSPTPSPGNGNNTTRTVIIVIASVVGLLILIIIAICIFKRPKRNKDISIKDADNEMSGADSLQFGFDSVLVATDNFSDANKLGQGGFGAVYKGQLPNGEEIAVKRLSKGSGQGDLEFKTEVQLVAKLQHRNLVRLLDRIRRAELDWETRFKIIHGIVRGLLYLHEDSRLRIIHRDLKASNILLDAEMVPKIADFGMARLFGQDETQGSTSRIVGTHGYMAPEYVFHGQFSVKSDVFSFGVLLLEIISGQRNNSFRYDEQYEYILGFAWRSWREGTALNLVDPTLGDSSRNEIMRCIHIALLCVQEKVAARPTMASVVLMLNSFSTTLALPAQPAFVMRSNFNFDMSTSSASASNQSNTELPPLPRNEVSISELSPR >KJB34804 pep chromosome:Graimondii2_0_v6:6:31863128:31865926:1 gene:B456_006G084600 transcript:KJB34804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASNPAQFNRALSELLNNLSSEAAASGPLRKYAAGNALTGILQMVYATVQCTPDMDQQNCTACLNYGRSELGGCCYGRMGCRILRPNCVLRFESNPFYNETAVPLPSPPTTSSPTPSPGNGNNTTRTVIIVIASVVGLLILIIIAICIFKRPKRNKDISIKDADNEMSGADSLQFGFDSVLVATDNFSDANKLGQGGFGAVYKGQLPNGEEIAVKRLSKGSGQGDLEFKTEVQLVAKLQHRNLVRLLDRIRRAELDWETRFKIIHGIVRGLLYLHEDSRLRIIHRDLKASNILLDAEMVPKIADFGMARLFGQDETQGSTSRIVGTHGYMAPEYVFHGQFSVKSDVFSFGVLLLEIISGQRNNSFRYDEQYEYILGFAWRSWREGTALNLVDPTLGDSSRNEIMRCIHIALLCVQEKVAARPTMASVVLMLNSFSTTLALPAQPAFVMRSNFNFDMSTSSASASNQSNTELPPLPRNEVSISELSPR >KJB37101 pep chromosome:Graimondii2_0_v6:6:45333141:45336266:1 gene:B456_006G196500 transcript:KJB37101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMFFHFSSGSDPQNTIPHDEILDYVPMGGSSSRGRQRKLLSTEKRENSNADDKKKSMHRDIERQRRQEMSTLYASLRTLLPLEYIKGKRAISDHMNGAVSYVKDLQKRIDGLNAKRDELKKASDNWSGFEQGMTSNEAFPSSAVVRQSLDGVEVVISTSVGPKALTLSRVLQLLLEEGLDVVNCITTRIDGGLIHTIQTEVSDLRGVHVPYLEQKLNKVISSLSQTS >KJB37102 pep chromosome:Graimondii2_0_v6:6:45333141:45334557:1 gene:B456_006G196500 transcript:KJB37102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMFFHFSSGSDPQNTIPHDEILDYVPMGGSSSRGRQRKLLSTEKRENSNADDKKKSMHRDIERQRRQEMSTLYASLRTLLPLEYIKGKRAISDHMNGAVSYVKDLQKRIDGLNAKRDELKKASDNWSGFEQGMTSNEAFPSSAVVRQSLDGVEVVISTSVGPKALTLSRVLQLLLEEGLDVVNCITTRIDGGLIHTIQTEVSDLRGVHVPYLEQKLNKVISSLSQTS >KJB37791 pep chromosome:Graimondii2_0_v6:6:47276263:47276718:-1 gene:B456_006G220200 transcript:KJB37791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAGNNNNNDELQLSIDKISNGSGTNGSHIEPNPTLKSNLKKTTTAAADDNDGEGELMKTERRKVSWPDAHGKDIAHVREFEPSVSDDGELEGVRRSCVCVIQ >KJB37792 pep chromosome:Graimondii2_0_v6:6:47275811:47277227:-1 gene:B456_006G220200 transcript:KJB37792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAGNNNNNDELQLSIDKISNGSGTNGSHIEPNPTLKSNLKKTTTAAADDNDGEGELMKTERRKVSWPDAHGKDIAHVREFEPR >KJB38717 pep chromosome:Graimondii2_0_v6:6:50660142:50662401:1 gene:B456_006G268600 transcript:KJB38717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPSILSRLVRWSVRAFASCFLACTFAKEKQAEMNPSPSAKHSTGHVVSETKNNTGDEKISDKNRRQRDHSRTMSKSIVSVDKESENSSWVCLPDEEYVVFCIKEDGAYDVTIESNKSEESNQSERSLWLVNRKIDSTEDAERDELSSDEGRSNEDASEGEANATKEQGNGERAMTCCEVVFASSSLKRLEESRDSYQFDGSTGLASGSLRRLAESRDSYESDGSTGSFTFPVLELDWIGSPMRMPMPEGITPSKNKAHCVPMPRKKGIGTRKNKNHFVYFQCFRF >KJB37455 pep chromosome:Graimondii2_0_v6:6:46136542:46137858:-1 gene:B456_006G205700 transcript:KJB37455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLCSSGGHVVASATLYGGTHALLTHFLPRTCNITTTFVDITDHEEVKNAIVEIRTKVLFFESISNPTLTTTLSPMVLSPARLSADVVVHSMSKFISGGADVVAGAVCGPASLLNSMMDLHQGSLMLLGPTMNAKIAFELSERIPHLGLRMKEHCLRAMEYATRMKKMGLRVVYPGLEDHPQHHLLKSMAKKGYGFGGLLCVDKESEEKANRLMHHWKNSSQFGLIAVSLGYYETLHRRPSPGLVRMSIGYTGTLEQKWSQFERAISRTMDSIL >KJB38301 pep chromosome:Graimondii2_0_v6:6:49231217:49232981:1 gene:B456_006G247600 transcript:KJB38301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BLUS1 [Source:Projected from Arabidopsis thaliana (AT4G14480) UniProtKB/Swiss-Prot;Acc:O23304] MKPLMAYEQEGHHHHPKVQFPLDSDSYKIVDEIGIGVSAIVYKAECIPLNSTTVAIKSIDLDQFKVDFDNIRRETKIMTLFSHPNILNAHCSFTVGRRLWVVMPFMSGGSLQSIISSSFPDGLSEQCIAIVLKETLNALSYLHNQGHLHRDIKAGNILMDSNGSVKLADFGVSASVYESSSGYGSGSSTSSSPMMLTDMTGTPYWMAPEVIHSHTGYSFKADIWSFGITALELAHGRPPLSHLPPSKSLIMKITKRFRFSDYEDSINKGKSKKFSKAFKDMVASCLDQDPSKRPSTDKLLRHPFFKSSKGSDFLVKHVLHGLPSVEERFRVSKILRKNDVDDDDEDDVEGESGSELVKQRRISGWNFNEDGFELDPVFPTESRDDSVVKQVRFGGETIILEKGTSESSLNLNSPEAESSLVSSTTTPPCEEQQTENTFNAETMVGGLMALKKSLDDQRQKVSELINLFGGEVMNKEDQMVQVIEKLRMELETERQKNFELEMELEFLKFQISGASNTDEND >KJB34392 pep chromosome:Graimondii2_0_v6:6:23495355:23495984:1 gene:B456_006G063800 transcript:KJB34392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEAVQIKGLWGSGPLQLRNQGLKVMGSFLMCQPKMITTRFNPTLVLNVVCFENFSALSAVGANASGLEPSMQANEFDGIKAHFNATFEGPVGVAVQLSDGVLDPDKHTAVIFKENSHANSHELAEGRKERVV >KJB36225 pep chromosome:Graimondii2_0_v6:6:40530746:40536980:1 gene:B456_006G147000 transcript:KJB36225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSMNSTTLARTKSEQLVIETQAAATQTSKSPTMQNEDAPITSESMGTLSRKSSQRMVVGASPGRSSGGNKNNSHIRKARSAQVKLDVEELSNGAALSRASSASLGLSFSFTGFTVPPDEITDSKPFSDDDIPEDIEAGTCKPKFQTEPTLPIYLKFSDVTYKVITKGMTTSEERDILNGITGAVSPGEVLALMGPSGSGKTTLLNLLGGRLIQSTVGGSVTYNDQPYSKFLKSKIGFVTQDDVLFPHLTVKETLTYTARLRLSKALSRQQKEKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRTVQTLQNIAEAGKTVITTIHQPSSRLFHKFDKLVLLGKGSSLYFGRASEAMAYFSSIGCSPLIAMNPAEFLLDLANGNINDISVPSELEDKVQMGNSETETRNEKPPPAVVHEYLVEAYESRVAENEKKKLMTPLPLDDEIKLKVFSSKREWGASWWQQYCILFCRGIKERRHDYFSWLRITQVLSTAIILGLLWWQSDSKSTRGRQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSAGRFFLSMLTVFLCIIAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFVKKVPVFISWIRYMSFNYHIYKLLLKVQYQDITPPVNGIKTDNGLWEVGALVAMIFGYRVLAYLSLRRMQLHRGA >KJB36224 pep chromosome:Graimondii2_0_v6:6:40533065:40536346:1 gene:B456_006G147000 transcript:KJB36224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQMHPYPKFKYQRRFSDVTYKVITKGMTTSEERDILNGITGAVSPGEVLALMGPSGSGKTTLLNLLGGRLIQSTVGGSVTYNDQPYSKFLKSKIGFVTQDDVLFPHLTVKETLTYTARLRLSKALSRQQKEKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRTVQTLQNIAEAGKTVITTIHQPSSRLFHKFDKLVLLGKGSSLYFGRASEAMAYFSSIGCSPLIAMNPAEFLLDLANGNINDISVPSELEDKVQMGNSETETRNEKPPPAVVHEYLVEAYESRVAENEKKKLMTPLPLDDEIKLKVFSSKREWGASWWQQYCILFCRGIKERRHDYFSWLRITQVLSTAIILGLLWWQSDSKSTRGRQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSAGRFFLSMLTVFLCIIAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFVKKVPVFISWIRYMSFNYHIYKLLLKVQYQDITPPVNGIKTDNGLWEVGALVAMIFGYRVLAYLSLRRMQLHRGA >KJB35526 pep chromosome:Graimondii2_0_v6:6:38733071:38738145:1 gene:B456_006G132900 transcript:KJB35526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAAPRSGDAIFASVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCVDFKETAEMIAKVGFKMFLGVTASVMNWDADGTCCSIVLEDNPLVDFVELPDTCQGLYYCNILSGVIRGALEMVAMKTEVTWIRDMLRGDDAYELQVKLLKQVPEEYPYKDDE >KJB35527 pep chromosome:Graimondii2_0_v6:6:38733071:38738145:1 gene:B456_006G132900 transcript:KJB35527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAAPRSGDAIFASVERNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCVDFKETAEMIAKVGFKMFLGVTASVMNWDADGTCCSIVLEDNPLVDFVELPDTCQGLYYCNILSGVIRGALEMVAMKTEVTWIRDMLRGDDAYELQVKLLKQVPEEYPYKDDE >KJB35531 pep chromosome:Graimondii2_0_v6:6:38733034:38738145:1 gene:B456_006G132900 transcript:KJB35531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAAPRSGDAIFASVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCVDFKETAEMIAKVGFKMFLGVTASVMNWDADGTCCSIVLEDNPLVDFVELPDTCQGLYYCNILSGVIRGALEMVAMKTEVTWIRDMLRGDDAYELQVKLLKQVPEEYPYKDDE >KJB35528 pep chromosome:Graimondii2_0_v6:6:38733071:38738145:1 gene:B456_006G132900 transcript:KJB35528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAAPRSGDAIFASVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCVDFKETAEMIAKVGFKMFLGVTASVMNWDADGTCCSIVLEDNPLVDFVELPDTCQGLYYCNILSGVIRGALEMVAMKTEVTWIRDMLRGDDAYELQVKLLKQVPEEYPYKDDE >KJB35530 pep chromosome:Graimondii2_0_v6:6:38733071:38738145:1 gene:B456_006G132900 transcript:KJB35530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAAPRSGDAIFASVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCVDFKETAEMIAKVGFKMFLGVTASVMNWDADGTCCSIVLEDNPLVDFVELPDTCQGLYYCNILSGVIRGALEMVAMKTEVTWIRDMLRGDDAYELQVKLLKQVPEEYPYKDDE >KJB35529 pep chromosome:Graimondii2_0_v6:6:38733531:38737693:1 gene:B456_006G132900 transcript:KJB35529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAAPRSGDAIFASVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCVDFKETAEMIAKVGFKMFLGVTASVMNWDADGTCCSIVLEDNPLVDFVELPDTCQGLYYCNILSGVIRGALEMVSGNEDGSHLDS >KJB35288 pep chromosome:Graimondii2_0_v6:6:35169907:35179249:1 gene:B456_006G108500 transcript:KJB35288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHGMRGLSVFISDIRNCQNKEQERQRIDKELGNVRNRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMAQLLDERDLGVLTSSMSLLVALVSNNHEAYWSCLPKCVKTLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPYTRRTLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDAQEIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKDIVEELLQYLSSADFTMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFISDDIWFRVVQFVTNNDDLQPYAAAKVKEYLEKPAVHETMVKVSAYILGEYSHLLARRPGCSPKEIFGILHEKLPTVSTTTIPILLSAYAKILMHTQPPDQELQSQIWAIFNKYESCIDAEIQQRAVEYFALCQKGAALMDILAEMPKFPERKSSLIKRAEYSEADTAEQSAIKLRAQQQPSNALVVTDQPPANGATSPVPVGPLSLAMVPSMITTEDHTSTDPALSQQNGSLTKVDPQHPSADLLGDLLGPLAIEGPPGATVQSEYNAVSRLEGGPDAVDGSAIVPVEEQRNTVQVPHCLIMFTHTPSS >KJB35290 pep chromosome:Graimondii2_0_v6:6:35169907:35182007:1 gene:B456_006G108500 transcript:KJB35290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHGMRGLSVFISDIRNCQNKEQERQRIDKELGNVRNRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMAQLLDERDLGVLTSSMSLLVALVSNNHEAYWSCLPKCVKTLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPYTRRTLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDAQEIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKDIVEELLQYLSSADFTMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFISDDIWFRVVQFVTNNDDLQPYAAAKVKEYLEKPAVHETMVKVSAYILGEYSHLLARRPGCSPKEIFGILHEKLPTVSTTTIPILLSAYAKILMHTQPPDQELQSQIWAIFNKYESCIDAEIQQRAVEYFALCQKGAALMDILAEMPKFPERKSSLIKRAEYSEADTAEQSAIKLRAQQQPSNALVVTDQPPANGATSPVPVGPLSLAMVPSMITTEDHTSTDPALSQQNGSLTKVDPQHPSADLLGDLLGPLAIEGPPGATVQSEYNAVSRLEGGPDAVDGSAIVPVEEQRNTVQPIGNIAERFHALCLKDSGVLYEDPYIQIGIKAEWRAHHGRLVLFLGNKNTAPLVSVQALMLPPAHLKMELSLVPDTIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFGTNMVNVKLRLPAVLNKFLQPIPVPAEEFFPQWRSLSGPPLKLQEVVRGVRPMPLPEMANLLNSFRLMICPGLDPNPNNLVASTTFYSESTHAMLCLIRIETDPADRTQLRMTLASGDPTLTFEYVPKTSHEHVKFKTLVLTDFSHIPSAG >KJB35291 pep chromosome:Graimondii2_0_v6:6:35170174:35180805:1 gene:B456_006G108500 transcript:KJB35291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHGMRGLSVFISDIRNCQNKEQERQRIDKELGNVRNRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMAQLLDERDLGVLTSSMSLLVALVSNNHEAYWSCLPKCVKTLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPYTRRTLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDAQEIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKDIVEELLQYLSSADFTMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFISDDIWFRVVQFVTNNDDLQPYAAAKVKEYLEKPAVHETMVKVSAYILGEYSHLLARRPGCSPKEIFGILHEKLPTVSTTTIPILLSAYAKILMHTQPPDQELQSQIWAIFNKYESCIDAEIQQRAVEYFALCQKGAALMDILAEMPKFPERKSSLIKRAEYSEADTAEQSAIKLRAQQQPSNALVVTDQPPANGATSPVPVGPLSLAMVPSMITTEDHTSTDPALSQQNGSLTKVDPQHPSADLLGDLLGPLAIEGPPGATVQSEYNAVSRLEGGPDAVDGSAIVPVEEQRNTVQPIGNIAERFHALCLKDSGVLYEDPYIQIGIKAEWRAHHGRLVLFLGNKNTAPLVSVQALMLPPAHLKMELSLVPDTIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFGTNMVNVKLRLPAVLNKFLQPIPVPAEEFFPQWRSLSGPPLKLQEVVRGVRPMPLPEMANLLNSFRLMICPGLVSPFI >KJB35287 pep chromosome:Graimondii2_0_v6:6:35169840:35182025:1 gene:B456_006G108500 transcript:KJB35287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHGMRGLSVFISDIRNCQNKEQERQRIDKELGNVRNRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMAQLLDERDLGVLTSSMSLLVALVSNNHEAYWSCLPKCVKTLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPYTRRTLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDAQEIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKDIVEELLQYLSSADFTMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFISDDIWFRVVQFVTNNDDLQPYAAAKVKEYLEKPAVHETMVKVSAYILGEYSHLLARRPGCSPKEIFGILHEKLPTVSTTTIPILLSAYAKILMHTQPPDQELQSQIWAIFNKYESCIDAEIQQRAVEYFALCQKGAALMDILAEMPKFPERKSSLIKRAEYSEADTAEQSAIKLRAQQQPSNALVVTDQPPANGATSPVPVGPLSLAMVPSMITTEDHTSTDPALSQQNGSLTKVDPQHPSADLLGDLLGPLAIEGPPGATVQSEYNAVSRLEGGPDAVDGSAIVPVEEQRNTVQPIGNIAERFHALCLKDSGVLYEDPYIQIGIKAEWRAHHGRLVLFLGNKNTAPLVSVQALMLPPAHLKMELSLVPDTIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFGTNMVNVKLRLPAVLNKFLQPIPVPAEEFFPQWRSLSGPPLKLQEVVRGVRPMPLPEMANLLNSFRLMICPGLDPNPNNLVASTTFYSESTHAMLCLIRIETDPADRTQLRMTLASGDPTLTFELKEFIKEQLISIPTAPPQSPAPAPPPAAQATPQIPPNDPAALLAGLLS >KJB35289 pep chromosome:Graimondii2_0_v6:6:35169907:35182007:1 gene:B456_006G108500 transcript:KJB35289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHGMRGLSVFISDIRNCQNKEQERQRIDKELGNVRNRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMAQLLDERDLGVLTSSMSLLVALVSNNHEAYWSCLPKCVKTLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPYTRRTLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDAQEIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKDIVEELLQYLSSADFTMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFISDDIWFRVVQFVTNNDDLQPYAAAKVKEYLEKPAVHETMVKVSAYILGEYSHLLARRPGCSPKEIFGILHEKLPTVSTTTIPILLSAYAKILMHTQPPDQELQSQIWAIFNKYESCIDAEIQQRAVEYFALCQKGAALMDILAEMPKFPERKSSLIKRAEYSEADTAEQSAIKLRAQQQPSNALVVTDQPPANGATSPVPVGPLSLAMVPSMITTEDHTSTDPALSQQNGSLTKVDPQHPSADLLGDLLGPLAIEGPPGATVQSEYNAVSRLEGGPDAVDGSAIVPVEEQRNTVQPIGNIAERFHALCLKDSGVLYEDPYIQIGIKAEWRAHHGRLVLFLGNKNTAPLVSVQALMLPPAHLKMELSLVPDTIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFGTNMVNVKLRLPAVLNKFLQPIPVPAEEFFPQWRSLSGPPLKLQEVVRGVRPMPLPEMANLLNSFRLMICPGLDPNPNNLVASTTFYSESTHAMLCLIRIETDPADRTQLRMTLASGDPTLTFELKEFIKEQLISIPTAPPQSPAPAPPPAAQATPQIPPNDPAALLAGLLS >KJB37288 pep chromosome:Graimondii2_0_v6:6:45515778:45519469:1 gene:B456_006G197700 transcript:KJB37288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLTSKLGANSPALVPDWQIGESVAIWWRPNFETMMYPYCPPHITKPKECKKLFLVHLSEKEYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMISI >KJB37290 pep chromosome:Graimondii2_0_v6:6:45515778:45519469:1 gene:B456_006G197700 transcript:KJB37290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSPVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLTSKLGANSPALVPDWQECKKLFLVHLSEKEYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMISI >KJB37289 pep chromosome:Graimondii2_0_v6:6:45515778:45519469:1 gene:B456_006G197700 transcript:KJB37289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSPVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKVKYYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLTSKLGANSPALVPDWQIGESVAIWWRPNFETMMYPYCPPHITKPKECKKLFLVHLSEKEYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMISI >KJB37287 pep chromosome:Graimondii2_0_v6:6:45515741:45519487:1 gene:B456_006G197700 transcript:KJB37287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSPVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLTSKLGANSPALVPDWQIGESVAIWWRPNFETMMYPYCPPHITKPKECKKLFLVHLSEKEYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMISI >KJB33568 pep chromosome:Graimondii2_0_v6:6:4289287:4293829:-1 gene:B456_006G018300 transcript:KJB33568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQLALYFILLLLFLFLLCPILQAAESQEPACGKEVCGNITIPSPFGIHSSCDTHSWFRVTCNSTRNGEKPFINVYGINLEVLDSINSDAILISNPVTYINCDRISEASVSVNLSGTPFFFSSVQNIFGSVGCGNLATVLSNEADSLGGCVQPRCDDGASESGSLTVITGNFTSYTVNMTVMYPDGNRCASAFIFSFRSGYLLPTGINIGTTHVPAALSWNSTYCGCGRPGLGPINVNTYKVESCGNVTFHNPFSMKAQDDSNNWFKVICNKTADGKEVPSLNINDTNLQILDFKFLDGTVEVNHPITYFNCRKNHHNGMSLNLTGTRFYYSDSSNIFWSLGCGNLVTIFGNETDNLIGGCLQPSCRINNKTSSNVGCLATIPTGLSSFFANMSDMVDPSDYRRKRSCGFASLISYDFNFTDDFDLSNRTHAPTQLQWGTLIYGECYLNDSSDTSCTVDGEYCWSRLSSNHLCSCKRDFGDISYSRSCKGGRCDNYKYCNILCLNTPNNYCWPNSCPPHYEYNSCSTSVGTLLLLLATWSMYKALKRKQKILLKQKYFERNGGLLLQQHLSSNEEMEKATNHYNENRILGQGGQGTVYKGMLIDGSIVAIKKSKMVEGNKFDEKKVEQFINEVIILSQINHKNVVKLLGCCLEAEVPLLVYEFIPNGTLYDLIRNQNEELPLTWEMRLRIAIEIANALFYLHSAASAPIYHRDIKSSNILLDDKYRAKVSDFGTSRSVALEQTHLTTRVQGTFGYMDPEYFRSSQFMEKSDVYSFGVVLIELLTGQKTSSAEQSEPVRSLVSYFLHSMQENSLFNILDPMVVKVGPEQEIIVVAVLAKRCLNLNGKKRPTMKQVAMELELIKASGGNVIEDHGDEEYEIDDIIHSWETNPSCSMSRTITTNSETFPLNSSF >KJB36532 pep chromosome:Graimondii2_0_v6:6:42432225:42433880:1 gene:B456_006G164400 transcript:KJB36532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGDVKSTRDTIKSENQQRLSLGRLKADEFGRAVSKIAVAQICESVGFQGFKESALEALTDILVCYLCDLGRNASFHANLAGRTECNLFDITRALEELEASHGFPSASDIGHCLVGSGTVREIIRFVDSEEEIPFAQPVPKFPIVRNRKLIPSFEHMNEKPPGKHIPTWLPAFPDPHTYIHTPMWNERASDPCADKIEQARQRRKAEKALLQLQQRLVCNGSSGTSTSLATDAEKEKVQGGESNPFLAAPLQPGEKDVSQVVSPAKPTDEASKGDHVSMLEAFAPAIEAMKGGPSDGEKLHLPEKRAAVHFKFRAGKKILGEPLDLSLQKKGDRGTTAFLRIEERDDKKRRAEFILRQTTEFPMELNQS >KJB34052 pep chromosome:Graimondii2_0_v6:6:14075927:14077825:1 gene:B456_006G045200 transcript:KJB34052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDQRSMKEESQKMQSPCLEEVSQGCLHGCCPSPFLNQHEPQTKASKPRTSSAACRRDFASKTTSSIFPNTQFTNHESLPSIQDSFAEFTKAYPQYSDTYRVDQIRSREYYHLSFSDHTCLDYLGIGLFSYSQLQKQECPKYRIASSSFPVPPESPPPVLDIPFFGVSYKPGNLKTQLLHGGVASEFESVIRKRIMSFLNVSENDYSMVFTANKTSAFKQVAESYPFQSNRKLLTVYDYESEAIEAMSNSSEKNGAKVMSAEFSWPRLRLQSSKLRNMLVSKEKKKKKKRRGLFVFPLHSRMTGARYPYLWMSIAEENGWHVLIDSCALGPKDMDSFGLSLFRPDFLICSFYKVFGENPSGFACLFAKKSTIPVLETSSTSGIIGLIPSQKLFRAVTESPGTDTEVEYLASQSGRIEKGKTTYEIQHAEIVEKLKGIEMSEVSESDKGIEMECRGLDEVDSLGLVTISNRARCLINWLVSALLKLQHPNTNGTPLVRIYGPKVKFDRGPAIAFNVFDWKGEKIEPVLVQKLADRSNISLSYGFLHHIWFADKYQEEKEKVIERRNNEADGNKGKDKSDMGIAVVTAALGFLANFQDIYKLWAFIAQFLDADFVEKERWRYTSLNQKTIEVL >KJB34270 pep chromosome:Graimondii2_0_v6:6:20774777:20776910:1 gene:B456_006G056700 transcript:KJB34270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLNPAGQPNLFSLLQAPSPRTKLFLNSQFSVELGKSTILLSISLPAHQYPEARMSIEPTSIEGSVTPPTSIDSENSGVGASSQTKGTTRKRKATPQRLKVWSHFTKIINSEGASKVKCNYCQKGFCCDMKKMVHGH >KJB33942 pep chromosome:Graimondii2_0_v6:6:11047686:11048588:1 gene:B456_006G039600 transcript:KJB33942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEEKRSKVSYRGGAPDKTVINPIMLRFRPIAPKPVSGEPGSDGVRFDNKNMLLCNPRAKRKYVRVRKKNMRRKKRSSSDQLHHDEASNNPQTIVTLQLLPEKTEGNGSINNQNGRVLGENQDPLYLCNLNFNNCWVDRLGVVEEPNRTVLMSQTRKATVVESWVTVESVTDSCMEVRQLGSTDVEMIKNLEIDTCPGFISDGLNRVQWVNGAYKRMLMVVGEANDGRPPPEIMVWLVFKQELPRFCTAFSCKVRLQYTWQKEKFSRMLPCDVWKMDGSGGVGCLAWRLDVEAALSLGR >KJB36113 pep chromosome:Graimondii2_0_v6:6:39914552:39917480:-1 gene:B456_006G141500 transcript:KJB36113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSELRYYEDDDTPTMKTIKGATTGFVAGTIWGTVVATWYDVPRVERSVALPGLVRTLKMMGNYGMTFAAIGGVYIGVEQLLQNYRMKRSFVNGAVGGFVAGASILGFKGRSISTAISAGTALAVTSAVIDAGGQTTRIDTGKEYYPYTTKKRPADS >KJB36119 pep chromosome:Graimondii2_0_v6:6:39965868:39968221:-1 gene:B456_006G142000 transcript:KJB36119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKRTDCQELARQSLGFNRNCNLQPAMEGVPQQLNHGSSSSNPIMSGFQTPAYAFNATERRIQLDTSSFCPQHNIYSSIESIAQDEPNYDFRNTLQSLVKSQICFDQYQKSFERSYKFPGSNAKTHFSFPSRVNQDQRAYCNKSNKTRIRWTQDLHEKFVECVKRLGGCEKATPKTILKLMDTQGLTIFHVKSHLQKYRTARYMPEFTQEGRTSTTDLTQIDVKTGLHLTEALQLQLDVQRRLNEQLEFQRNLQLRIEEQGRRLKMMIDEQQQKANESLLKNQGVDIKPYEHDPSFSDLDSSIAETSENVLTSGPC >KJB36386 pep chromosome:Graimondii2_0_v6:6:41526885:41530120:1 gene:B456_006G156500 transcript:KJB36386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSFASCLLCLATANFVQGSIENERKPYIVYMGEVPEYGARISVMDEHDMLLSKAVGDENIARESKIHSYGKSINAFAARLLPDEAKRLSDEDGVVSVFESSKRKLLTTRSWDFLGMPESSNRNAIVEGKIIVGLLDTGIWSGSPSFNDTGYGPPPAKWKGKCDKGANFTGCNNKVIGARYYHIDNYGSNPDEPTPVDTDGHGTHTASTAAGIAVKNSSLYGIAKGTARGGVPSARIAMYKVCWSDGCSDMDVLAAFDDAIVDGVDVISLSLGGASRDFFNDSVAIGAFHAMRKGILTSCAGGNDGPMLTTVENVAPWILTVAASSIDRQFTSLIKLGNGMMTSGNGINTFSMKTKMYPFTNGAHATNVSGNYYGNVSACDAGILGENKVKGKIVYCLGNSGQDYTIRMLHGAGTIMAVDEPTDYYFLTLIPATIVLRNKTGDRLDRYINSTKDPQAVIYKTRTVRMKAPFVASFSSRGPQLTNLNILKPDITAPGLNILAAYTKLNTVTDETIDQRYSAFNFMSGTSMACPHASAAAAYVKSFHPDWSPAAIKSALMTTATPMKIKDKYGELSSGSGQINPARAVHAGLIYDISESSYISFLCKEGFNSTTIDLLIRGKQKYNCSSFKPALGFDGLNYPSMHIQLNSTESIISAVFYRIVTYVGLRNTKFTAKVTSPKELSITVIPETLKFTRKQQKKSFRVSVKGGSMKNGTDILSATLDWRSNKGHSVKSPIVVFKQQP >KJB34078 pep chromosome:Graimondii2_0_v6:6:15063121:15075983:1 gene:B456_006G046800 transcript:KJB34078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPYENSSDSIPGTGCHNLGPVSGDMNCTVLNSVANSGPSVGASSLVTDANSVLSGGPHLQRSSSFNTDSYMCLPASPMSFSSNNMSGSSVIDGSSGGHQEPSVQHMQQSQQLQGASSATSLPTSQTGQVSLPTGPWVQGSFMQDSCNLSQVRKKPRVDVKQEDFFQQQMLQQLLQRQDSMQLLGRNPRLQDLYQQQRLGQRQQMLQSMPPLQRAHLQQQQIQLRQQFPQQGMQQVAGMKRPFDSGVCARRLMQYLYHQRQRPHDNTIAYWRKFVAEYYSTRAKKRWCLSMYNNVGSHALGVFPQAAMDAWYCDICGSKSGRGFEATFEVLPRLNEIKFSSGAIDELLFLDFPRECRFPSGMMMLEYGKAVQESVYEQLRVVREGQLRIIFTQDLKILSLEFCARRHEELYPRRLVAPQVNQLLQVAQKCHSSISEGGSDSVSQQDLQTNSNMFLMAGRQLVKSLELQSLNDFGFSKRYVRCLQIAEAVISMKDLIDFCREQKVGPIDGLKNYPRHATAAKIQMQKMLEMEQLANVQGLPTEMNTLNKLMALHPGINNQTGNNHNIVGRGTLSSSAQTALALTNYQNLLVRQNSMNSKPSPLHQEASSSFNNFNRSPSSNFQGRAALLPSSMHTLPVSGLSSPHVLAQQPQLQRHTLTATNLIQQNHLLPSQSNQALQQKMIRQLLHEIPNNNTGVQQQSWSGQSENASMGRNGMGFGSNTTAAATSNMSGSVARAAPSQSNSYRAASNSDSTPAGGNNVSTQRAPDLPQNLHLQDDIMSDIAHELTENGFFNCDVDDHTNYGWKA >KJB34076 pep chromosome:Graimondii2_0_v6:6:15063071:15076272:1 gene:B456_006G046800 transcript:KJB34076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPYENSSDSIPGTGCHNLGPVSGDMNCTVLNSVANSGPSVGASSLVTDANSVLSGGPHLQRSSSFNTDSYMCLPASPMSFSSNNMSGSSVIDGSSGGHQEPSVQHMQQSQQLQGASSATSLPTSQTGQVSLPTGPWVQGSFMQDSCNLSQVRKKPRVDVKQEDFFQQQMLQQLLQRQDSMQLLGRNPRLQDLYQQQRLGQRQQMLQSMPPLQRAHLQQQQIQLRQQFPQQGMQQVAGMKRPFDSGVCARRLMQYLYHQRQRPHDNTIAYWRKFVAEYYSTRAKKRWCLSMYNNVGSHALGVFPQAAMDAWYCDICGSKSGRGFEATFEVLPRLNEIKFSSGAIDELLFLDFPRECRFPSGMMMLEYGKAVQESVYEQLRVVREGQLRIIFTQDLKILSLEFCARRHEELYPRRLVAPQVNQLLQVAQKCHSSISEGGSDSVSQQDLQTNSNMFLMAGRQLVKSLELQSLNDFGFSKRYVRCLQIAEAVISMKDLIDFCREQKVGPIDGLKNYPRHATAAKIQMQKMLEMEQLANVQGLPTEMNTLNKLMALHPGINNQTGNNHNIVGRGTLSSSAQTALALTNYQNLLVRQNSMNSKPSPLHQEASSSFNNFNRSPSSNFQGRAALLPSSMHTLPVSGLSSPHVLAQQPQLQRHTLTATNLIQQNHLLPSQSNQALQQKMIRQLLHEIPNNNTGVQQQSWSGQSENASMGRNGMGFGSNTTAAATSNMSGSVARAAPSQSNSYRAASNSDSTPAGGNNVSTQRAPDLPQNLHLQDDIMSDIAHELTENGFFNCDVDDHTNYGWKA >KJB34077 pep chromosome:Graimondii2_0_v6:6:15062878:15076272:1 gene:B456_006G046800 transcript:KJB34077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPYENSSDSIPGTGCHNLGPVSGDMNCTVLNSVANSGPSVGASSLVTDANSVLSGGPHLQRSSSFNTDSYMCLPASPMSFSSNNMSGSSVIDGSSGGHQEPSVQHMQQSQQLQGASSATSLPTSQTGQVSLPTGPWVQGSFMQDSCNLSQVRKKPRVDVKQEDFFQQQMLQQLLQRQDSMQLLGRNPRLQDLYQQQRLGQRQQMLQSMPPLQRAHLQQQQIQLRQQFPQQGMQQVAGMKRPFDSGVCARRLMQYLYHQRQRPHDNTIAYWRKFVAEYYSTRAKKRWCLSMYNNVGSHALGVFPQAAMDAWYCDICGSKSGRGFEATFEVLPRLNEIKFSSGAIDELLFLDFPRECRFPSGMMMLEYGKAVQESVYEQLRVVREGQLRIIFTQDLKILSLEFCARRHEELYPRRLVAPQVNQLLQVAQKCHSSISEGGSDSVSQQDLQTNSNMFLMAGRQLVKSLELQSLNDFGFSKRYVRCLQIAEAVISMKDLIDFCREQKVGPIDGLKNYPRHATAAKIQMQKMLEMEQLANVQGLPTEMNTLNKLMALHPGINNQTGNNHNIVGRGTLSSSAQTALALTNYQNLLVRQNSMNSKPSPLHQEASSSFNNFNRSPSSNFQGRAALLPSSMHTLPVSGLSSPHVLAQQPQLQRHTLTATNLIQQNHLLPSQSNQALQQKMIRQLLHEIPNNNTGVQQQSWSGQSENASMGRNGMGFGSNTTAAATSNMSGSVARAAPSQSNSYRAASNSDSTPAGGNNVSTQRAPDLPQNLHLQDDIMSDIAHELTENGFFNCDVDDHTNYGWKA >KJB37556 pep chromosome:Graimondii2_0_v6:6:46533539:46536426:-1 gene:B456_006G210300 transcript:KJB37556 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEPKR1 [Source:Projected from Arabidopsis thaliana (AT1G12580) UniProtKB/TrEMBL;Acc:A0A178WE53] MEIATVENIHLPAVGFRNCYKVASLTKTILDTIQISNLRDRYVLGGQLGWGQFGVIRVCSDKLTGEVLACKSIAKDRLVTLDDFCSIKLEIEIMTKLSGHPNVVDLKAVYEDEDFVHLVMELCAGGELFHRLEKYGRFSESEARVLFKHLMQVVKYCHNNGIVHRDLKPENILLATKSSSSPIKLADFGLATYIKQGENLHGTVGSPFYIAPEVLTGGYDEAADVWSAGVILYILLSGMPPFGGKTKSKIFDAVRAAELRFPIDPWDHISLSARDLVTGMLCVDPSKRLTSAQVLEHPWVEDCRQVGQEADQQNNPACRDLEVGGSSFSTLFIKRNQDYSFSDGSLVSFNGQPGTPAFTCKSSLSSFLVENGSPCSESEGFSFTSCCESTAAEFSSAIPSMPSFTFFSPISAVEPLNVSVANKAETLEMSAESRESNLVKLSMLPDSLVPVSVRGGPNGSRLGIHSKRNHTISLGEINHFDLVVNESVIRWASCTHIPTAPSLRLSLVC >KJB37557 pep chromosome:Graimondii2_0_v6:6:46533539:46536812:-1 gene:B456_006G210300 transcript:KJB37557 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEPKR1 [Source:Projected from Arabidopsis thaliana (AT1G12580) UniProtKB/TrEMBL;Acc:A0A178WE53] MEIATVENIHLPAVGFRNCYKVASLTKTILDTIQISNLRDRYVLGGQLGWGQFGVIRVCSDKLTGEVLACKSIAKDRLVTLDDFCSIKLEIEIMTKLSGHPNVVDLKAVYEDEDFVHLVMELCAGGELFHRLEKYGRFSESEARVLFKHLMQVVKYCHNNGIVHRDLKPENILLATKSSSSPIKLADFGLATYIKQGENLHGTVGSPFYIAPEVLTGGYDEAADVWSAGVILYILLSGMPPFGGKTKSKIFDAVRAAELRFPIDPWDHISLSARDLVTGMLCVDPSKRLTSAQVLEHPWVEDCRQVGQEADQQNNPACRDLEVGGSSFSTLFIKRNQDYSFSDGSLVSFNGQPGTPAFTCKSSLSSFLVENGSPCSESEGFSFTSCCESTAAEFSSAIPSMPSFTFFSPISAVEPLNVSVANKAETLEMSAESRESNLVKLSMLPDSLVPVSVRGGPNGSRLGIHSKRNHTISLGEINHFDLVVNESVIRWASCTHIPTAPSLRLSLVC >KJB35928 pep chromosome:Graimondii2_0_v6:6:38924591:38926786:-1 gene:B456_006G134100 transcript:KJB35928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVELSRSEKKKHKKKTAADADAKESDQDFMIKPQTTTPSIDTSQWPILLKNYDRLNVRTGHYTPLPSGFSPLKRPLAEYIRYGILNLDKPSNPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSKVPDVAKVARALESLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDVDRHLVVFWISCEAGTYVRTMCVHLGLILGVGGHMQELRRVRSGILGEKDNMVTMHDVMDAQWVYDNYRDETYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPTASMKKKLIAEGKLDKHGKPNDSTPKEWLRNVALPTGGDSMVASLAASAEPAAVEKEKEDGEGRKRKLDDSSDSPAPVVGKKAKVEEGEAEVEKKEKKKKKHKKSEEDDDAETEEKVEKEKKKKNKDKVEASSPDTEKSEKKKKKKKSKESEETPVAVNGAGDDKSEKKKRKKKSKDAVEE >KJB33210 pep chromosome:Graimondii2_0_v6:6:276433:277563:-1 gene:B456_006G000900 transcript:KJB33210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEVGRDKSPFMLQPKSLPSPVSKSSKTSSFKAQIMLRVFAAAFTLAAICVMTTSSQSFPLLGFTIKAHYSDSSAMRFLLVTDAIVCAFSVLSVLFVYRLSRSGSDMKYCFYLFLHDMVIMGLATSGCSAATAVGYIGRYGEEKMGWIAVCNHVRKFCNQMTISMVLSYLAFCSYFALSVMSGNKVMYQYESP >KJB35389 pep chromosome:Graimondii2_0_v6:6:36100015:36101205:1 gene:B456_006G112800 transcript:KJB35389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSQKALQQQILIDDSNIPDWLLTEILQRLPVKHIFKFKCVSKRWFILISDPFFARLYSTRINTSLSTSPPWNLLFRYLYEVRIVPSSMIDTRIALQQSQDFSSPGFSLNFLPYSKHDCPIKILASSNGLLLCCETFYWQKNYYICNPLIQQWIALPKPPKAIKSVAVGFICKDGHYEVIRFPTANYGPSNTLRLETFSSETGKWHCSIVNCPVLDYYIKTDSPVVHYNGSLHWLEFRHSKIITYDPHNGTNTGLHLMNLPDDKQSEHLSLLGVSRGRFRYFEVTDTCFGPRDLRVWVLSDYDAQRWCLEYRIKFSGSWIDEVNEFIPKDNFYIFPLALHPHEFDIVYLGYGGCLVSYNLGTRKLDVVHRNFYSHQLLAFVFSPWPTTIPQPFW >KJB33599 pep chromosome:Graimondii2_0_v6:6:5200812:5204488:1 gene:B456_006G020600 transcript:KJB33599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYQDVYDVKLKPRILEYLMNDQIPNENDPSPQQCDLQRVVNAINNLGLLSESLPEGTKNSKIAEDWAIAVDSWVHRVLSLVSSPRSRKCWTGICLLGVTCRECSSNRLLAWYPVWFDKLLANIQPASDYISVKVATFASLSDLLKRLGKYSEIKKDVTLQAGKLIQPVLDLLLDSEFSEVLMEGALAVLCTIIDSFSASFLPHYEKAEAIIVSKIIAGQCSSSMMKSYAYFLASLPKSRGDKDSWVVMMQDLLTSIDIHLNDVFQCMEQAPERAENPEARKSKQLLTCIIPTLMLCCSTMLINSYPVQVIVPVQQLLTNVKRVLMVNDSFSQASLKSMAIMQEGFVCTELPVLQSYALDLLSAIIKGTSRQLLPHAGYIIRLLKDCFHKCALPMLRTKLYFIIRTLLISMGIGAALQIAEELTSYAFIDLDAYWYLNRQQVSNQDFKSTIVEAPVLQPADKKRKYTATGSLQENEDMDYLGPQLSNKHLTSPISLKIAALKALQTLLTMGSVLRPDLRSSINDLLMNVAVNAYDGKWRNDEENEVMSTYTDFLLAALHAVLASLLSQPSVNQHHLVKGLELFRKGKQEAGTKIADFCGIALLATEMLMNPIKVSICDIPSTSHGSVNEEAQHEMLQDLYLVPNENQMTPKSFASGMTNQNQDDTLFNYLLDSYNESEATEEQHSKIDSHKTVGDYQVDHMLKFPGKEDQQQEPFLVEIEGNSNEIMHDKPFRGPLSVAEAKPFVGIEKGPEMEFSNVGLDTIGCDFLEIAATAVLEKAERSCSGQLRDH >KJB38032 pep chromosome:Graimondii2_0_v6:6:48182210:48184030:-1 gene:B456_006G232900 transcript:KJB38032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPGKKVVDVAFKASKNIDWEGMAKLLVSDQARKEFATLRRTFDEVNSTLQTKFSQEPEPINWEYYRKGISSRLVDMYKEAYESVEIPKFVDTVTPQYKPKFDALLVELKEAEEKSLKESERLEKEIAEVQELKQKISTMTADEYFKKHPELKKKFDDEIRNDYWGY >KJB33499 pep chromosome:Graimondii2_0_v6:6:3056831:3058584:-1 gene:B456_006G014200 transcript:KJB33499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLNLGLVLIILGFMLETKMGESMRFELDSGQTKCISEDIRANAMSVGKYAIVNPHQGSLPHSHKLTVKVSSPYGHSYHIGEDVDSGNFAFTATENGGYTSCFWAINHQPPVKITIDFVWRTGVAAKDWSQVAKKGQVDTMEFELKKLYDTVTYIHEEMYYLREREEEMQHLNKETNSTMAALSFFSIALCLSVAGLQVWHLKTFFQRKKLL >KJB33961 pep chromosome:Graimondii2_0_v6:6:11465208:11470156:1 gene:B456_006G041000 transcript:KJB33961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVMAFSPTGLLSLVVFMVLVVEPHPAYGSSRGGWFGRNQGAATPLVNGICAASVTIHGYKCEEHQVKTQDGYILSMQRIPEGRIGGNSSGNKKQPVLIQHGVLVDGMTWLLNSPQQNLPMILADNGFDVWLANTRGTRFCRHHVSLHPSQPEFWDWSWDELVSYDLPAVFDFVFNHTAQKIHYIGHSLGTLIGLASFSEGHQEDQLKSAAFLSPIAYLTHMKTALGVVAARAFVGEITTLFGVAEFNPKGQQASIFLKALCNYPGVDCYDLVTAITGKNCCLNASTVDLFLQNEPQSTATKNMVHLAQTVRDGVIAKYNYGRPDYNLMHYGEARPPIYNISNIPKDLPIFISYGGQDALSDVEDVGQLLDNLKYHDVNKLSIQYIKDYAHADFIMGVNAKDIVYNQVVSFFKNQQ >KJB34536 pep chromosome:Graimondii2_0_v6:6:28693287:28696992:-1 gene:B456_006G071100 transcript:KJB34536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYLQSARFFNISNAYYLSLSIKSEPMHNGKRCLGNCTFLTKVAKMICTRQLSSTNKTVELVDSKTNGDLSRSKSESMNADENLLCLTRDA >KJB33221 pep chromosome:Graimondii2_0_v6:6:493586:497146:-1 gene:B456_006G001700 transcript:KJB33221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRQSFDSQTPRHRHSLSFASTDHIEMNKPDFKQLDLLASPVSPLLPTHNGSTAALTTTSGNSSTSSAGSVSSKSSTHFSGEFSDKTSSIPGSVSTNRNLRPGHRRSVSAGPPLIYSGSSFSGATSSSSSSSSSPVCSIPTTTNILPSGNICPSGKILKTMGLRTSNKTDTLGAGKGNYGHGSIIRGGGGAKLGTTADPEEMKKAGNEMYKRGNFLEALALYDKAISISPENAAYRSNRSAALAAVGRLGEAVKECEEAVRLDPGYSRAHQRLSSLYLRLGLPDNVRRHLCFPGQQSDPAELLKLQLLENHINRCADARKIGDWKTVIREIDAAIAVGADSSPQLIACKAEAFLKLHQIDDSDSCLSNISNLEKYTPSSQIRYFGMVAEAYALFVQAQVEMALGRFENAVSAAEKARRIDYNNVEIAVVLNNVKMVARARSQGNDFFNAGRYAEACSAYGEGLKYDSSSSVLYCNRAVCWSKLGLWEKSVEDCNQALRIQPNYLKALLRRAASNAKLGRWTDAVRDYELLRKELPGDNEVAESLHNAQVALKKSRGMGVLNMKISGEVEEISSLERFKTAISTPGVSVVHFKVATNEQCEEISPFVNLLCVRYPSIHFYKVDVEESIAVGKAESIRMVPTFKIYKNGNKVKEMISPTHQFLEDSLRNYIL >KJB36402 pep chromosome:Graimondii2_0_v6:6:41716850:41718830:-1 gene:B456_006G157800 transcript:KJB36402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAFLPNFQSLSLSLPFSFKMERSFNLVTRPISAQLLFLLITSFLIWPCTEAAAASAAEELLKGFEATPNPSIPFFQPLLNDSSSKFSFGFLRVNSTQLALAVLHVPSSEPLWLANPTTLSRWSDRTKVFFNGSLVISDPRTRMFWSTETQGDKLVLLNNSNLQIQKGLDNSNNVNVPTVLWQSFDFPTNTLVETQNFTSTMTLLSSNGLYSMRLGNDFIGLYAKFDSGSDQIYWKHKALQAKAQIIEGNGPIHVQVDPDGWLGMYQNGTTPVDIESFNSFQRSLDGLLMVRLEPDGNLKAYYWSGSSWVLDYVAIRETCDLPSPCGSFGLCTPGSGCSCLDNSTELSSGECSSSGPYSNDMCSDPKTQKNDIKVLRRGGVEVPFKEWMRYETTPSLEECENACGNNCSCYGAVYNNASGFCYILDYPIQTLLGTRDDSKVGYFKIKEGANKKKINSGLGVGVGLLGGAVLCLIGAVGFGSYKIWRNKKRVSRMLEEETGGVMSGPYKDLGSASFRSIEMCSSGHR >KJB33536 pep chromosome:Graimondii2_0_v6:6:3485612:3486004:-1 gene:B456_006G015900 transcript:KJB33536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIKVEESGKDCCICLEEFEVEEEAREMPCKHVFHSGCIEKWLLIHGLCPVCRFMMPPETAEIEGGEGDGGRRRMEGGEINGLEFLQSVFAFASLASMMGMMGSGRASRQPNSGRVDDDTPSHQNTDCN >KJB36831 pep chromosome:Graimondii2_0_v6:6:43599284:43600758:1 gene:B456_006G178600 transcript:KJB36831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKVFGYWASPYSYRAELALKLKGVSYEYINEDIFGNKSDLLLKYNPVHKKVPVLLHNGKPIVESLVILEYIEETWKHNPYLPQDPYDKATARFWIKFIDEKCFPTLWLAAWSAENEREKVTNEACEYLKTLESALNGKKFFGGETIGMVDIVASSVGYFIRVTQEIMGQNLLSADKFPQLFQWSEDFANCSIVKESLPPRDKLLPFVKGLIAKYQQDNAKA >KJB36155 pep chromosome:Graimondii2_0_v6:6:40191637:40204318:-1 gene:B456_006G143900 transcript:KJB36155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRESKLKKSGLSNSKAVNSPSSSTTSSSKQFPELYIDGQSSPASSSARSKPQYIYSDNLHADADRSKENVTVTVRFRPLSPRELRQGEEIAWYADGETIVRNEHNQTTAYAYDRVFAPTTTTRQVYDVSAQHVVSGAMEGINGTIFAYGMTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPYGENSEGEAVNLSQLNLIDLAGSESSKVETTGIRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNSEETHNTLKFAHRAKHIEIQAEQNKIIDEKSLIKKYQNEIRALKEELEQLKRGILTIPQLHDIGEDDIILLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTNASQSSRLPQRPGPRRRHSFGEEELAYLPHRRRDMTLDGENVELYVSLEGNAETGCDTLKEEKKARKNGLLNWLKHRKRDSGMESMMSASDKSSGNKSNSTPSTPKSGSKKFNLESRLSQSLFTESSPCMDLLSDARRHREVPENDYLGQETPLTSLKAIDQIDLLREQQKILSGEVALHSSTLKRLSEEAARNPLNEQIHDKMKRISDEIRGKNERIALLEKQIADSIMVSSNMEKSEISQFNVAELVAQLNEKSFELEVKAADNRIIQEQLNRKICECEGLQETVASLKQQLSDALESPNVRSKASYSQQFAKMNGLQMDKEVAAPKEISRDLLIKTQVTEIEELKHKVVGLTESKDQLELQNHKLAEESSYAKGLASAAAVELKELSEEVAKLMNHNERLMAELAAAKNPPTQRRTSTLRNGRRESLTKQHDQVGSSSYLKRQLAMSKERELSYEAALLEKDQREAELQRKVEESKQREAYLENELANMWVLVAKLKKSNGADT >KJB36152 pep chromosome:Graimondii2_0_v6:6:40191331:40204529:-1 gene:B456_006G143900 transcript:KJB36152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRESKLKKSGLSNSKAVNSPSSSTTSSSKQFPELYIDGQSSPASSSARSKPQYIYSDNLHADADRSKENVTVTVRFRPLSPRELRQGEEIAWYADGETIVRNEHNQTTAYAYDRVFAPTTTTRQVYDVSAQHVVSGAMEGINGTIFAYGMTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPYGENSEGEAVNLSQLNLIDLAGSESSKVETTGIRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNSEETHNTLKFAHRAKHIEIQAEQNKIIDEKSLIKKYQNEIRALKEELEQLKRGILTIPQLHDIGEDDIILLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTNASQSSRLPQRPGPRRRHSFGEEELAYLPHRRRDMTLDGENVELYVSLEGNAETGCDTLKEEKKARKNGLLNWLKHRKRDSGMESMMSASDKSSGNKSNSTPSTPKSGSKKFNLESRLSQSLFTESSPCMDLLSDARRHREVPENDYLGQETPLTSLKAIDQIDLLREQQKILSGEVALHSSTLKRLSEEAARNPLNEQIHDKMKRISDEIRGKNERIALLEKQIADSIMVSSNMEKSEISQFNVAELVAQLNEKSFELEVKAADNRIIQEQLNRKICECEGLQETVASLKQQLSDALESPNVRSKASYSQQFAKMNGLQMDKEVAAPKEISRDLLIKTQVTEIEELKHKVVGLTESKDQLELQNHKLAEESSYAKGLASAAAVELKELSEEVAKLMNHNERLMAELAAAKNPPTQRRTSTLRNGRRESLTKQHDQVGSSSYLKRQLAMSKERELSYEAALLEKDQREAELQRKVEESKQREAYLENELANMWVLVAKLKKSNGADT >KJB36151 pep chromosome:Graimondii2_0_v6:6:40191331:40204981:-1 gene:B456_006G143900 transcript:KJB36151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRESKLKKSGLSNSKAVNSPSSSTTSSSKQFPELYIDGQSSPASSSARSKPQYIYSDNLHADADRSKENVTVTVRFRPLSPRELRQGEEIAWYADGETIVRNEHNQTTAYAYDRVFAPTTTTRQVYDVSAQHVVSGAMEGINGTIFAYGMTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPYGENSEGEAVNLSQLNLIDLAGSESSKVETTGIRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNSEETHNTLKFAHRAKHIEIQAEQNKIIDEKSLIKKYQNEIRALKEELEQLKRGILTIPQLHDIGEDDIILLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTNASQSSRLPQRPGPRRRHSFGEEELAYLPHRRRDMTLDGENVELYVSLEGNAETGCDTLKEEKKARKNGLLNWLKHRKRDSGMESMMSASDKSSGNKSNSTPSTPKSGSKKFNLESRLSQSLFTESSPCMDLLSDARRHREVPENDYLGQETPLTSLKAIDQIDLLREQQKILSGEVALHSSTLKRLSEEAARNPLNEQIHDKMKRISDEIRGKNERIALLEKQIADSIMVSSNMEKSEISQFNVAELVAQLNEKSFELEVKAADNRIIQEQLNRKICECEGLQETVASLKQQLSDALESPNVRSKASYSQQFAKMNGLQMDKEVAAPKEISRDLLIKTQVTEIEELKHKVVGLTESKDQLELQNHKLAEESSYAKGLASAAAVELKELSEEVAKLMNHNERLMAELAAAKNPPTQRRTSTLRNGRRESLTKQHDQVGSSSYLKRQLAMSKERELSYEAALLEKDQREAELQRKVEESKQREAYLENELANMWVLVAKLKKSNGADT >KJB36153 pep chromosome:Graimondii2_0_v6:6:40193014:40204318:-1 gene:B456_006G143900 transcript:KJB36153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRESKLKKSGLSNSKAVNSPSSSTTSSSKQFPELYIDGQSSPASSSARSKPQYIYSDNLHADADRSKENVTVTVRFRPLSPRELRQGEEIAWYADGETIVRNEHNQTTAYAYDRVFAPTTTTRQVYDVSAQHVVSGAMEGINGTIFAYGMTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPYGENSEGEAVNLSQLNLIDLAGSESSKVETTGIRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNSEETHNTLKFAHRAKHIEIQAEQNKIIDEKSLIKKYQNEIRALKEELEQLKRGILTIPQLHDIGEDDIILLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTNASQSSRLPQRPGPRRRHSFGEEELAYLPHRRRDMTLDGENVELYVSLEGNAETGCDTLKEEKKARKNGLLNWLKHRKRDSGMESMMSASDKSSGNKSNSTPSTPKSGSKKFNLESRLSQSLFTESSPCMDLLSDARRHREVPENDYLGQETPLTSLKAIDQIDLLREQQKILSGEVALHSSTLKRLSEEAARNPLNEQIHDKMKRISDEIRGKNERIALLEKQIADSIMVSSNMEKSEISQFNVAELVAQLNEKSFELEVMYASIYVSNALHESLHCIVRAVELITLNLVIQCSSNLTVDSAHKFLHFIV >KJB36154 pep chromosome:Graimondii2_0_v6:6:40191180:40204928:-1 gene:B456_006G143900 transcript:KJB36154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRESKLKKSGLSNSKAVNSPSSSTTSSSKQFPELYIDGQSSPASSSARSKPQYIYSDNLHADADRSKENVTVTVRFRPLSPRELRQGEEIAWYADGETIVRNEHNQTTAYAYDRVFAPTTTTRQVYDVSAQHVVSGAMEGINGTIFAYGMTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPYGENSEGEAVNLSQLNLIDLAGSESSKVETTGIRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNSEETHNTLKFAHRAKHIEIQAEQNKIIDEKSLIKKYQNEIRALKEELEQLKRGILTIPQLHDIGEDDIILLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTNASQSSRLPQRPGPRRRHSFGEEELAYLPHRRRDMTLDGENVELYVSLEGNAETGCDTLKEEKKARKNGLLNWLKHRKRDSGMESMMSASDKSSGNKSNSTPSTPKSGSKKFNLESRLSQSLFTESSPCMDLLSDARRHREVPENDYLGQETPLTSLKAIDQIDLLREQQKILSGEVALHSSTLKRLSEEAARNPLNEQIHDKMKRISDEIRGKNERIALLEKQIADSIMVSSNMEKSEISQFNVAELVAQLNEKSFELEVKAADNRIIQEQLNRKICECEGLQETVASLKQQLSDALESPNVRSKASYSQQFAKMNGLQMDKEVAAPKEISRDLLIKTQVTEIEELKHKVVGLTESKDQLELQNHKLAEESSYAKGLASAAAVELKELSEEVAKLMNHNERLMAELAAAKNPPTQRRTSTLRNGRRESLTKQHDQVGSSSYLKRQLAMSKERELSYEAALLEKDQREAELQRKVEESKQREAYLENELANMWVLVAKLKKSNGADT >KJB37709 pep chromosome:Graimondii2_0_v6:6:46980263:46981689:-1 gene:B456_006G216900 transcript:KJB37709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYVDEENVWKCAKHPSKRRHSGICPVCLQDKLASLCPDCAYTLPCACTATSSSSSYSPFSCFSTAADVSGVGAVGRVSNLVESEPSFRRSRSLSIPFLHLKPESLNEKKDLSASNKSRTPSFWTMFSRASNKSKRFDSEDHGREVEKESAAAVEDERKRRTMMRKSRSVAMTSNSGIGDLKSSTSTKGKGWHFTSPMKAWRQTRVSKLVFQQRSPLYRG >KJB37788 pep chromosome:Graimondii2_0_v6:6:48001068:48002650:-1 gene:B456_006G229800 transcript:KJB37788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKKSKDVVKFKVRCSKYLYTLCVSDAEKADKLKQSLPPGLSVQDL >KJB37789 pep chromosome:Graimondii2_0_v6:6:48001439:48002572:-1 gene:B456_006G229800 transcript:KJB37789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKKSKDVVKFKVRCSKYLYTLCVSDAEKADKLKQSLPPGR >KJB37345 pep chromosome:Graimondii2_0_v6:6:45772980:45774535:-1 gene:B456_006G200700 transcript:KJB37345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSSSAAATEYIKRHHRHEAGENQCSSALVKLIKAPLPLVWSLVRRFDEPQKYKPFVSRCVAPGNLEIGSLREVDVKSGLPATTSTERLELLDDNEHILSIRIIGGDHRLQVFLYHFGSSYMN >KJB37343 pep chromosome:Graimondii2_0_v6:6:45772363:45774535:-1 gene:B456_006G200700 transcript:KJB37343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSSSAAATEYIKRHHRHEAGENQCSSALVKLIKAPLPLVWSLVRRFDEPQKYKPFVSRCVAPGNLEIGSLREVDVKSGLPATTSTERLELLDDNEHILSIRIIGGDHRLQNYSSIISVHPEIIDGRPGTLVIESFVVDVPEDVSEGLAMQDQTERIDIRKLGAER >KJB37344 pep chromosome:Graimondii2_0_v6:6:45772363:45774610:-1 gene:B456_006G200700 transcript:KJB37344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSSSAAATEYIKRHHRHEAGENQCSSALVKLIKAPLPLVWSLVRRFDEPQKYKPFVSRCVAPGNLEIGSLREVDVKSGLPATTSTERLELLDDNEHILSIRIIGGDHRLQNYSSIISVHPEIIDGRPGTLVIESFVVDVPEGNTKDETCFFVEALIKCNLKSLADVSEGLAMQDQTERIDIRKLGAER >KJB35985 pep chromosome:Graimondii2_0_v6:6:39369792:39372015:-1 gene:B456_006G137200 transcript:KJB35985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKYIIGSVAASFAVAYVADKLVADEKIFGGTTPNTVSNKEWWEELTRNFRHGHALPVHLW >KJB35984 pep chromosome:Graimondii2_0_v6:6:39369792:39370758:-1 gene:B456_006G137200 transcript:KJB35984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKYIIGSVAASFAVAYVADKLVADEKIFGGTTPNTVSNKEWWEELTRNFRHGHALPVHLW >KJB36099 pep chromosome:Graimondii2_0_v6:6:39823680:39823952:1 gene:B456_006G141100 transcript:KJB36099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIAQLMFVEIESAKEYLMFVEKHFYSSNKSFIGTLMAQLTTTKFDGTREIQEHIIEMTNIVTSLKPYGMVLDDSFLVQFILNSLPLNFE >KJB34731 pep chromosome:Graimondii2_0_v6:6:30807610:30813058:-1 gene:B456_006G080700 transcript:KJB34731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAALFISATKLAGIIMTVSIAANAFSFSRYRKKHLRRFDSPIVESSDTLADFNVNGEEEDGFFFGLATAPAHVEDKLNDAWLQFAEENPCHKSEKGDDDMMEADAVIGAADGGSHQAPLARKESSKKKKPLKVAMEAMIRGLQKFVEDESEEETASKEECNHNVAAWHNVPHPEERLKFWSDPDIELNLAKDTGISIFRMGIDWSQIMPQEPVNGIKDAVNFAALERYKWIISRVRSNGMKVMLTLFHHSLPPWAGDYGGWKLEKTVDYFMDFTKLVVNSVSDMVDYWITFNEPHVFCMLTYSAGAWPGGHPDMIEAATSALPTGVFRQALHWMAIAHSKAYDYIHEQSTLSKKVVGVAHNVSFTRPYGLFDVAAVTLANSLTLFPYVDSICDKLDFIGINYYGQEVISGAGLKLVETDEYSESGRGVYPGGLFRLLIDFHERYKHLKVPFIITENGIADETDVIRRPYLLEHLLAVYAAMLKGVPVLGYLFWTISDNWEWADGYGPKFGLVAVDRANGLVRIPRPSYYLFTKVVTTGKITREDREKAWNELQKAAKEKQTRPFYREVNKQGLMYAGGLDEPTQRPFIERDWRFGHYEMEGLQDPLSRLSRYLLRPFSLRKKRKHKKVNSELVLEPLELSA >KJB34730 pep chromosome:Graimondii2_0_v6:6:30808323:30812957:-1 gene:B456_006G080700 transcript:KJB34730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAALFISATKLAGIIMTVSIAANAFSFSRYRKKHLRRFDSPIVESSDTLADFNVNGEEEDGFFFGLATAPAHVEDKLNDAWLQFAEENPCHKSEKGDDDMMEADAVIGAADGGSHQAPLARKESSKKKKPLKVAMEAMIRGLQKFVEDESEEETASKEECNHNVAAWHNVPHPEERLKFWSDPDIELNLAKDTGISIFRMGIDWSQIMPQEPVNGIKDAVNFAALERYKWIISRVRSNGMKVMLTLFHHSLPPWAGDYGGWKLEKTVDYFMDFTKLVVNSVSDMVDYWITFNEPHVFCMLTYSAGAWPGGHPDMIEAATSALPTGVFRQALHWMAIAHSKAYDYIHEQSSTLSKKVVGVAHNVSFTRPYGLFDVAAVTLANSLTLFPYVDSICDKLDFIGINYYGQEVISGAGLKLVETDEYSESGRGVYPGGLFRLLIDFHERYKHLKVPFIITENGIADETDVIRRPYLLEHLLAVYAAMLKGVPVLGYLFWTISDNWEWADGYGPKFGLVAVDRANGLVRIPRPSYYLFTKVVTTGKITREDREKAWNELQKAAKEKQTRPFYREVNKQGLMYAGGLDEPTQRPFIERDWRFGHYEMEGLQDPLSRLSRYLLRPFSLRKKRKHKKVNSELVLEPLELSA >KJB37990 pep chromosome:Graimondii2_0_v6:6:48033611:48035639:-1 gene:B456_006G230300 transcript:KJB37990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRLLTILLAAIISAGATAVKAQNCGCAEGLCCSRWGYCGTGDDYCGTGCQQGPCNPPPALNNVSVADIVTPEFFNGILDVAEDSCEGKNFYTRSAFLEALGPYPQFGRIGTVDDSNREIAAFFAHVTHETGHFCYIEEINGASRDYCDETNTQYPCNPNKGYYGRGPIQLSWNFNYGPAGESIGFDGLNSPETVATDPVISFKTAVWYWVNSVQPVISQGFGATIRAINGALECDGGNPATVERRVEYYIDYCNQLGVDPGPNLRC >KJB34195 pep chromosome:Graimondii2_0_v6:6:19011907:19012677:1 gene:B456_006G052700 transcript:KJB34195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFIFILPLKLEVVKYHNLLSVKISSLQDVFTRIIQTGNKYSTQIHSNALVSHGNKTRGSTSHDKSGKNHEIWEVVCYYYHESDHTKCYCQKLQEKARRTEMTHVAVTSTKTISYENSTPITTIFESGNSYKCLISSFFNWVIDSGATDYMTGNPNLFLNFKAYTHTPNIIFTNGSTSRVIRSGTVNLTSFISFNFMLSLLKFSFNLLCFSKLTRALNYCVSSFFLLIVFFKILRQRKLLVEVMSMEDIMYLMHKC >KJB37916 pep chromosome:Graimondii2_0_v6:6:48042484:48043285:-1 gene:B456_006G230500 transcript:KJB37916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTFSKLSSSTFFFHFTLLFFSATSSGSFSNILSPKKFGLKREKLTHLHFYFHDIVSSRNPTAIPVASAASTNKSHTGFGVVMMADDPLTAAPDPNSKLVGKAQGIYASAAQDEVGLLMVMNLAFTEGKYNGSSLSLLGRNTVFSRVREMPLVGGSGLFRFARGYAQAKTHTLDLKTGNAVVEYNVYVFHY >KJB33554 pep chromosome:Graimondii2_0_v6:6:4053269:4054198:-1 gene:B456_006G017300 transcript:KJB33554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYGLAITTLSNQKALPLSFYMIKGLAIMRRRKVVVRKEEPPRKTTTNSSLTITTVRYGECQRNHAASIGGYVVDGCREFMASGEEGTSGALACAACGCHRNFHRREVETEVVSECSSPNNSSSRA >KJB37527 pep chromosome:Graimondii2_0_v6:6:46424790:46425377:-1 gene:B456_006G209200 transcript:KJB37527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCSSDNVPLELSLSLSFGVGECSYHHNLNSLNKTINGELPLTLFNKRQTIENPEFPTGRNKRNRVEIEIERRHHAPDDPWCIKKQLYNSDLGNLSRLILPSELVESHVLPHWNADQLAQIQQGLPVFVWDCDTNTQHYMKFKRWGKGANVLIKNWTTDFVKRRNLKLGDEIGLYWDIHNSRFNFSVLNRAPSE >KJB36829 pep chromosome:Graimondii2_0_v6:6:43579129:43580293:1 gene:B456_006G178400 transcript:KJB36829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKVFGFWASPYSHRVELALSLKGVPYEYIEEDILKNKSDLLLKYNPIHKKVPVFLHKGKPIVESIVILEYIEETWKANPILPQNLYDKAIDRFWIKFIDDKCLPAIRKATFSPENERETAVEEACECLRTLESALNGKRFFGGDAIGMVDIAANFLTIWLRTIQEATGLEVLSVEKFPDLFKWTDDFISCSVVKESLPPTDKLLSFVKASLSQ >KJB36724 pep chromosome:Graimondii2_0_v6:6:43216065:43217901:-1 gene:B456_006G173100 transcript:KJB36724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDIKEKLAYIALDFEQELETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFAGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KJB37987 pep chromosome:Graimondii2_0_v6:6:48030149:48031655:1 gene:B456_006G230200 transcript:KJB37987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSWSRGVGNLRSFIGNSMGGLRGGANLASWVVAGTLAYFLWVKPSQDLKKQQQERAALAASDPYRYIEKRKPIPDPQENGLIYGNKKKTDSKTEE >KJB37988 pep chromosome:Graimondii2_0_v6:6:48030375:48031655:1 gene:B456_006G230200 transcript:KJB37988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSWSRGVGNLRSFIGNSMGGLRGGANLASWVVAGTLAYFLWVKPSQDLKKQQQVSSPHQFTQIQLNFPVLFPRFKQRIYC >KJB37989 pep chromosome:Graimondii2_0_v6:6:48030375:48031655:1 gene:B456_006G230200 transcript:KJB37989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSWSRGVGNLRSFIGNSMGGLRGGANLASWVVAGTLAYFLWVKPSQDLKKQQQERAALAASDPYRYIEKRKPIPDPQVKMD >KJB38253 pep chromosome:Graimondii2_0_v6:6:48973148:48974140:1 gene:B456_006G244500 transcript:KJB38253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLATFFSDKTGTPTGSPMKVIKFWVGQQEVASSISPVVVYLTHEGVALNTTDSFGFSDCPKEKAILSWAEMDLKIDMEKTKKSCVLIRGAEVLDFEQKRSGVLIGRNNGDTLHVHWKGPAEIILAMCSSYYDASGVVKDLNDGERAKFEQIIQGMAASNLSCIAFAHKQVQEKEYDDLKEKKQVDGKSLTLLGVVGIKDPCKPGVKKQWKIANIFGSKHQNDH >KJB35233 pep chromosome:Graimondii2_0_v6:6:34857031:34861558:1 gene:B456_006G106000 transcript:KJB35233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPTSTISAAVESIHRSLPELTSSSSDSFDNPSRFTAFASRLQFILNHHHFLNSNSLPPALQTALKGIASDLSKAVQTVSAYRKRSKIFVLINCKSLSSSLQQHSSAIASWLALIESSLSDNFPDLRKKISDLSLDLRQSHFTVTENEDRVHRTLQKEGEGTQTSKAVQAAIIMDLARCLGIDSDNYSELLNQVKLLKEDLANSTSVSARRILVSLETILDNWSVDPGLSTLSVDREFEEEAHILPFKNFLCPLTKEVMKEPVVLESSQTYERTAIEYWFERCLDDGRDPTCPVTGQVLKSLELKLNIGLAGAIEEWVNRNIEILVKGAVEQLSKENVEVEGVERVLDVVYKISEEYPSNRFRARNGGVVVMIVQLLKNRSNGIGTVLRGKALMTLLSMAKDDESKKIMLDEGMTRLAIHSLTGSSEKEREYAVKLLLEFSSDEAYCTRIASEKGALVLLSSMAGNLEHPAVANLAEELLTQMERTDDSVQHLAAAGRFEPLLSRLCEGPDDIKIQMASIIGRMTLTNNNKEQIARQCAQTLVELLSKPEGRTSSLQALNNLSGLDDNATILVDCAVLPALVAILLQDEGSSPDWKELAASVIANIVSNPGHWELASIDRKGNSMQSESVVFSLVRLLFVASSQCQASILRILYGMASSPQAAESVAMHIQNSGDGIKTIILFLEYPEVEHRTYAFKLTRVLAERFGHDLAQELKLSDKLSLFKEKLLDDKSTESEKSNAACILANLPLSEDEVKTIMEAGFVKWTIMTLKKQKGISNGRTSRPISSMAEGLLGLLLHFTRSLDQETISVVKEHQIMTIFCEQLSFLAKPRVRQLAAVGLKNLSEAGRSLAAADSEPPPPQGFCAPLVFVCGRAPPKPSTCPIHNAPCENNNQLCLLKSNCIRPLVDHLRDEDTFVQIAAIEALSTLMLDMGNGYKRSVDELEKQDVIMAVIELFTEIRPGVLQEKALWMIEKALRVDGPAHKYSLNQSLVRALVEAFKHGNANAKRHAQDALTNLKQISGVSGKASSHSRARR >KJB38319 pep chromosome:Graimondii2_0_v6:6:49312513:49314620:1 gene:B456_006G248600 transcript:KJB38319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVTNTTTYEALINHLFCDDLFHTDFPSATSSIFMPEEPIGAQCLNDENGTNHKSTKKLQPRKIQQERRPWLNQKPSNDQSNSVICFSGNEEAKQKHKLQSPAMKKKKHANGDRTLYQDVMEEVANKNRQAFLEHYGDDSVHLQFLQLWKLKMKNKKVKLGCLAGKLPPASIEAPLIDRKLPYEKNPQLASLQTTTSIPLQPLQFHCLPGYQDGNGRMSKSAAYRKLRKLGSSKEQQPSPWLGNAGIIPKKEKLRNPAKKQGLHQPWLKQKPLDYQNNAGLVELRQTFRLQAHEMVCVISCCPGPSSFI >KJB38318 pep chromosome:Graimondii2_0_v6:6:49312513:49314620:1 gene:B456_006G248600 transcript:KJB38318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVTNTTTYEALINHLFCDDLFHTDFPSATSSIFMPEEPIGAQCLNDENGTNHKSTKKLQPRKIQQERRPWLNQKPSNDQSNSVICFSGNEEAKQKHKLQSPAMKKKKHANGDRTLYQDVMEEVANKNRQAFLEHYGDDSVHLQFLQLWKLKMKNKKVKLGCLAGKLPPASIEAPLIDRKLPYEKNPQLASLQTTTSIPLQPLQFHCLPGYQDGNGRMSKSAAYRKLRKLGSSKEQQPSPWLGNAEARTASTLVETKAIGLSEQCWFGRTKTNI >KJB34636 pep chromosome:Graimondii2_0_v6:6:29923016:29924953:1 gene:B456_006G076400 transcript:KJB34636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFVSPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILRVCISLECSFQSLFGDEWYG >KJB34637 pep chromosome:Graimondii2_0_v6:6:29922148:29926249:1 gene:B456_006G076400 transcript:KJB34637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFVSPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQYAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVYVELEVNFFLLRRLLGVRTKGSERQGKVSKLAKGEILMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGTTIEVPPCPV >KJB34635 pep chromosome:Graimondii2_0_v6:6:29922158:29926249:1 gene:B456_006G076400 transcript:KJB34635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFVSPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQYAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVYVELEVNFFLLRRLLGVRTKGSERQGKVSKLAKGEILMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGTTIEVPPCPV >KJB35032 pep chromosome:Graimondii2_0_v6:6:33609223:33612220:-1 gene:B456_006G096600 transcript:KJB35032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLVLSLAPKFTKLLTLVLRQENPQLEDTAVETIAKFCHDLQDLDLSKSFKLGDRSLYALSHGCRNLTKLNISGCTSFSDEGLEYLTKFCRKLKILNLCGCIKATTDCALQAIGQNCNMLHSLNLGWCDNVGDLGVTSLAYGCPDLRCLDLCGCVRITDDSVIALASGCLHLRSLGLYYCRNITNREMYSLAHSRVKNKASIWQPMKGRYDEEGLRSLNISQYTALTPSVVQALCDTFPALHTCSGRHSLVMSGYLNLTSVHCACAVQSHRTLNSILHTAH >KJB36879 pep chromosome:Graimondii2_0_v6:6:43771061:43773624:1 gene:B456_006G180200 transcript:KJB36879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIRLSKGSSSDAGGSVKPLPVETVTVACPDHLVLADLPVAKSIGAATSSTHVKTVGRRSRRQLGERVHFCVRCDFPIAIYGRLSPCEHAFCLDCARSDSICYLCDDRIQKIQTIKIMEGIYICAAPHCLKSFLKKTDFESHIHASHTDLLQPNSEKEDGKESEVQSGNQPTGSDSTVRGPPRPAISPGSNPQLHDTDDKARWQQPREQLPPRPMMPKGPPVDNNQPPGFDRAGPHNHFQQGFDRQGTPQPESSQFSDKPQGLLSENQFPEYPPMHSMQPPNFAMPMNSNPMLTPYGIPPFPTDGAQQFYGAPYEMARQSSAPGVGSEQGSFMGYPPGPMGGVNYPAAYPQPWNGGQPGVRFEATPGSHMMADSFANYQGDYGRNPGGLPMIPSQQSANKGMEAVQGSNAMDPRDGAGILAPQPMQVQPPPPPHPHHMPQHKRGKFHSGDMGRDAQGFGWQQENRDGFAGSQD >KJB36213 pep chromosome:Graimondii2_0_v6:6:40539724:40540682:1 gene:B456_006G147100 transcript:KJB36213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGLVAVLAVSGSVVFIAHQLHKRLLSDFMRKVEFELCGSGKYEQKKRVGFADDVMREPSSNNKEPKLQGNKAAGEDDHQAQAQAQQLLEMSNSMPLNRQVLYKGILQYKTRHV >KJB37079 pep chromosome:Graimondii2_0_v6:6:44535703:44538134:1 gene:B456_006G188700 transcript:KJB37079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAHCYLEGNADAVEFCLHDGYQHVLAASTYTLQEGEQPIRAGSISLFDVNAEKGNLELFHRVDTAGIFDIKWSTVGSNVGPLLAQADADGYLRIYSLEGCSNEEKPRGGFLNEVCGEKISSSMCLFLDWNPAATSISVGLSDGSVSIITLAESKIEKLLEWKAHDFELWTTCFDIHQPQLVYTGSDDCKFSCWDMRDNPSGMVFQNSKVHKMGVCCIAKSPTDPNTILTGSYDEYLRVWDLRCISRPVNETSVCLGGGVWRIKHHPFVSGLVLAACMHNGFAIVKIGDEKPEVVEAYSQHGSLAYGADWQRAKLLPRGSTIVATCSFYDRLLRVWRPKSDFCCI >KJB37080 pep chromosome:Graimondii2_0_v6:6:44535374:44538134:1 gene:B456_006G188700 transcript:KJB37080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAHCYLEGNADAVEFCLHDGYQHVLAASTYTLQEVGSNVGPLLAQADADGYLRIYSLEGCSNEEKPRGGFLNEVCGEKISSSMCLFLDWNPAATSISVGLSDGSVSIITLAESKIEKLLEWKAHDFELWTTCFDIHQPQLVYTGSDDCKFSCWDMRDNPSGMVFQNSKVHKMGVCCIAKSPTDPNTILTGSYDEYLRVWDLRCISRPVNETSVCLGGGVWRIKHHPFVSGLVLAACMHNGFAIVKIGDEKPEVVEAYSQHGSLAYGADWQRAKLLPRGSTIVATCSFYDRLLRVWRPKSDFCCI >KJB37081 pep chromosome:Graimondii2_0_v6:6:44535153:44538145:1 gene:B456_006G188700 transcript:KJB37081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAHCYLEGNADAVEFCLHDGYQHVLAASTYTLQEGEQPIRAGSISLFDVNAEKGNLELFHRVDTAGIFDIKWSTVGSNVGPLLAQADADGYLRIYSLEGCSNEEKPRGGFLNEVCGEKISSSMCLFLDWNPAATSISVGLSDGSVSIITLAESKIEKLLEWKAHDFELWTTCFDIHQPQLVYTGSDDCKFSCWDMRDNPSGMVFQNSKVHKMGVCCIAKSPTDPNTILTGSYDEYLRVWDLRCISRPVNETSVCLGGGVWRIKHHPFVSGLVLAACMHNGFAIVKIGDEKPEVVEAYSQHGSLAYGADWQRAKLLPRGSTIVATCSFYDRLLRVWRPKSDFCCI >KJB37082 pep chromosome:Graimondii2_0_v6:6:44535381:44538145:1 gene:B456_006G188700 transcript:KJB37082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAHCYLEGNADAVEFCLHDGYQHVLAASTYTLQEGEQPIRAGSISLFDVNAEKGNLELFHRVDTAGIFDIKWSTVGSNVGPLLAQADADGYLRIYSLEGCSNEEKPRGGFLNEVCGEKISSSMCLFLDWNPAATSISVGLSDGSVSIITLAESKIEKLLEWKAHDFELWTTCFDIHQPQLVYTGSDDCKFSCWDMRDNPSGMVFQNSKVHKMGVCCIAKSPTDPNTILTGSYDEYLRVWDLRCISRPVNETSVCLGGGVWRIKHHPFVSGLVLAACMHNGFAIVKIGDEKPEVVEAYSQHGSLAYGADWQRAKLLPRGSTIVATCSFYDRLLRVWRPKSDFCCI >KJB36385 pep chromosome:Graimondii2_0_v6:6:41520622:41522202:1 gene:B456_006G156400 transcript:KJB36385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCFVLIVSNDSSPMEVELETSWFLQCLHAYFCFFLIFTLITILPLLSLLFSLLPRPKLWCTCEICHTYLNMSWSKQFDNLCDWYTHLLKNSPGNTIHIHVLGNTITANPDNVEYMLKTRFENFPKGKPFSLILGDFLGRGIFNVDGDSWRFQKKMASLELGKLSIKSFAFEIINCEIKDRLIPLLSSFAASQKEQHRVLDLQDVFRRFSFDTICRFSFGLDPKCLELSLPMSKFAMAFDLASKLSAERAMTAFPLVWKLKRALNLGSEKQLKKAIKIIHILAKEVIRQRRKMGFLAHNDLLSRFMCTINDETYLRDVVISFLLAGRDTVASGLTSLFWLLAKHPNVESEIRREADRVLGKNQELTSFGEMKEFHYLQAAVYESMRLYPPIQFDSKFCVDDDILPDGSLLRRGTRVTYHPYAMGRIEEIWGPDCLEFKPERWLKDDGVFSPENPFKYPVFQAGFRVCLGKEIALLELKSVTLSLLRRFQIQLATRPHPTLRFSPGLTATLSGGLPVLIREREVAPA >KJB37033 pep chromosome:Graimondii2_0_v6:6:44424526:44426847:-1 gene:B456_006G187400 transcript:KJB37033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGEVLASESQLHVLAVDDSHVDRKVIEKLLKISSCKVTTVESGARALQFLGLDEEKGSLGFNGLKVNLIMTDYSMPGMTGYELLKKIKRSSAFREIPVVIMSSENILTRIDSCLEEGAEEFLVKPVKLSDVKRVMSDCIMRGETEGNNRRRVDKRKFEDDNHASSSSSSSSSIPSSPSSPLPSSPSTAATSSPLHSLKRPKLRDHN >KJB35918 pep chromosome:Graimondii2_0_v6:6:38945897:38951633:1 gene:B456_006G134300 transcript:KJB35918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKRASAADTKPSPPPQTQETTTLIDPPIAPPKKALIFKFCLFFSIPYFYLLYQYYTIEQELRRSILINASLCVTGFFLTQRMIPVASRYVLKRGLFGFDINKKGTPQGTVKVPESLGIVVGIVFLVLAILFQYFNFTADSNWLVEYNAALASICFMILLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIIIPKPLISYVGQEVLDLGWVYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVVIASAILIHNLMQIGASSDPEYKQAHAFSIYLVQPLLATSLALLSYNCETLLIFFLPQVLNFLLSLPQLSGYVKCPRHRLPRFNPETGLLTGTRDGTLINFYLRMVGPKSEKTLCIHLLLVQALGCCFCFLLRYLLAGWYK >KJB35915 pep chromosome:Graimondii2_0_v6:6:38945897:38950148:1 gene:B456_006G134300 transcript:KJB35915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKRASAADTKPSPPPQTQETTTLIDPPIAPPKKALIFKFCLFFSIPYFYLLYQYYTIEQELRRSILINASLCVTGFFLTQRMIPVASRYVLKRGLFGFDINKKGTPQGTVKVPESLGIVVGIVFLVLAILFQYFNFTADSNWLVEYNAALASICFMILLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIIIPKPLISYVGQEVLDLGWVYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVVIASAILIHNLMQIGASSDPEYKQAHAFSIYLVQPLLATSLALLSYNWYPSSVFVGDTYTYFAGMTMAVVGILGHYRCVFLAIGFICLVFVYIHVRMNVCIVSACPFTQMS >KJB35916 pep chromosome:Graimondii2_0_v6:6:38946034:38949628:1 gene:B456_006G134300 transcript:KJB35916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKRASAADTKPSPPPQTQETTTLIDPPIAPPKKALIFKFCLFFSIPYFYLLYQYYTIEQELRRSILINASLCVTGFFLTQRMIPVASRYVLKRGLFGFDINKKGTPQGTVKVPESLGIVVGIVFLVLAILFQYFNFTADSNWLVEYNAALASICFMILLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIIIPKPLISYVGQEVLDLGWVYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVVIASAVSINTNDIVNLAL >KJB35919 pep chromosome:Graimondii2_0_v6:6:38945897:38951635:1 gene:B456_006G134300 transcript:KJB35919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKRASAADTKPSPPPQTQETTTLIDPPIAPPKKALIFKFCLFFSIPYFYLLYQYYTIEQELRRSILINASLCVTGFFLTQRMIPVASRYVLKRGLFGFDINKKGTPQGTVKVPESLGIVVGIVFLVLAILFQYFNFTADSNWLVEYNAALASICFMILLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIIIPKPLISYVGQEVLDLGWVYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVVIASAILIHNLMQIGASSDPEYKQAHAFSIYLVQPLLATSLALLSYNWYPSSVFVGDTYTYFAGMTMAVVGILGHYSETLLIFFLPQVLNFLLSLPQLSGYVKCPRHRLPRFNPETGLLTGTRDGTLINFYLRMVGPKSEKTLCIHLLLVQALGCCFCFLLRYLLAGWYK >KJB35917 pep chromosome:Graimondii2_0_v6:6:38945897:38951633:1 gene:B456_006G134300 transcript:KJB35917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIIIPKPLISYVGQEVLDLGWVYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVVIASAILIHNLMQIGASSDPEYKQAHAFSIYLVQPLLATSLALLSYNWYPSSVFVGDTYTYFAGMTMAVVGILGHYSETLLIFFLPQVLNFLLSLPQLSGYVKCPRHRLPRFNPETGLLTGTRDGTLINFYLRMVGPKSEKTLCIHLLLVQALGCCFCFLLRYLLAGWYK >KJB33583 pep chromosome:Graimondii2_0_v6:6:4987539:4990060:1 gene:B456_006G019800 transcript:KJB33583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESGWWSNYSNNASERCQWPGISCNTAGSITKINISDVPNIEVGDRFGKLNFTSFPNLVLLDLSDRPLGGKIPHQIGNLSALKQLDLSFCGLSGELPPSLGNLTQLEYLDISYNYNINGSIPAQLGNLVNLVSLNLSWTSLSGNIPPFLGLLTNLRHLLLDRNQFDDGNNTIPQNLWNLRGLETLSLSGCGIVGPIPSALGQLLNLKSLILWANKINGSIPSAVGFLSNLTYFDVYDNRLFGSIPFSLYQLTNLETLYLGNNQLEGSIPQNIEKLMNIKVLSITNTSITGHIPLALCRLTKLEYINLHENQISGSIPSCIGKLFNLRILYLDSNLFEGLIPEEIGNLANLTSLSLSQNKLSGSIPAEIGRLFHLSNLNLSFNQLSGSVPILFATKLRIIDAGNNCNKISPDPFEGNSRLSPYICPPPVTNKTNCSRTPYYIKIFLPIAIFSTFSVLGCFLFSRFKLKNNCVDVQPTKNGDLCSIWNYDGKIAYEDIVAATEDFDFRYCIGVGGYGSVYRAQLPCGKVVALKKLHRLEAENPAFDKSFRNEIKFLTEIRHRSIVKLHGYCLHRRSMFLIYEYMEKGSLFCNLRDKAEAVEMNWTKRVEIIKGIAHALSYLHYDCSPPIVHRDISSNNVLLNSSFEAFVADFGTAKMMDLESSHQTIIVGTCGYVAPELAYTMVVTEKCDVYSFGVVALETLMGKHPEELLPWLSSSTSLVNTRLIDVLDNRLPLPTSQVVAQNLVRIATLAFACLNPQPRSRPTMKEVCEEFLCGQTSLGVPLRMISLLQLVNCEKHIGGKTGTCNV >KJB33689 pep chromosome:Graimondii2_0_v6:6:6834598:6837676:1 gene:B456_006G026700 transcript:KJB33689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDKNPKSSSGKFRKWLKRKHKKKQPDPYYLQDELSDGDDFMEDIYVSSLEIDPCTSTNELRIFVGTWNVAGRAPVGSLAVDLEEWLKPQDAVDIYVLGFQEIVPLKTRTVIGAEDPRKATNWNLLIGKALNESSGCPWLTPMLNPISSDSYHYVRNPGLEKRASFSAICDNTRMRGKPITQHRHHQQAVIGGSKYKLMASKKMVGVFISVWMKEELVTKYSVSNVKVSSVACGIMGYLGNKGSVSVSMSIERTSFCFIAAHLASGEKQGDEGRRNHQVSEIFKRTSFPRSAKDEHNLHPLTILGHDRIFWFGDLNYRLYNLEDNLARHLIQKKDWKALQEFDQLRREQEDGGVFQGWREGNIEFAPTYKYSSSNCNRYSGGVPSRSGEKQRTPAWCDRILWYGKGVKLLSYLRSEIKFSDHRPVSALFSTQIEVNKSSNPRIFSKDTIVPNIMPPEQIGTSGNYEEGKATLLSLIVKDTEASSIHTQKL >KJB33690 pep chromosome:Graimondii2_0_v6:6:6834721:6837619:1 gene:B456_006G026700 transcript:KJB33690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPISSDSYHYVRNPGLEKRASFSAICDNTRMRGKPITQHRHHQQAVIGGSKYKLMASKKMVGVFISVWMKEELVTKYSVSNVKVSSVACGIMGYLGNKGSVSVSMSIERTSFCFIAAHLASGEKQGDEGRRNHQVSEIFKRTSFPRSAKDEHNLHPLTILGHDRIFWFGDLNYRLYNLEDNLARHLIQKKDWKALQEFDQLRREQEDGGVFQGWREGNIEFAPTYKYSSSNCNRYSGGVPSRSGEKQRTPAWCDRILWYGKGVKLLSYLRSEIKFSDHRPVSALFSTQIEVNKSSNPRIFSKDTIVPNIMPPEQIGTSGNYEEGKATLLSLIVKDTEASSIHTQKL >KJB33691 pep chromosome:Graimondii2_0_v6:6:6834721:6837619:1 gene:B456_006G026700 transcript:KJB33691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDKNPKSSSGKFRKWLKRKHKKKQPDPYYLQDELSDGDDFMEDIYVSSLEIDPCTSTNELRIFVGTWNVAGRAPVGSLAVDLEEWLKPQDAVDIYVLGFQEIVPLKTRTVIGAEDPRKATNWNLLIGKALNESSGCPWLTPMLNPISSDSYHYVRNPGLEKRASFSAICDNTRMRGKPITQHRHHQQAVIGGSKYKLMASKKMVGVFISVWMKEELVTKYSVSNVKVSSVACGIMGYLGNKGSVSVSMSIERTSFCFIAAHLASGEKQGDEGRRNHQVSEIFKRTSFPRSAKDEHNLHPLTILGHDRIFWFGDLNYRLYNLEDNLARHLIQKKDWKALQEFDQLRREQEDGGVFQGWREGNIEFAPTYKYSSSNCNRYSGGVPSRSGEKQRTPAWYIVQPTNFHRIKLLKHRSDSMSMMHVSCHRCDRILWYGKGVKLLSYLRSEIKFSDHRPVSALFSTQIEVNKSSNPRIFSKDTIVPNIMPPEQIGTSGNYEEGKATLLSLIVKDTEASSIHTQKL >KJB38254 pep chromosome:Graimondii2_0_v6:6:48979509:48982550:1 gene:B456_006G244700 transcript:KJB38254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIFEPNLICSEHTIQDPPTPSTSEKAWHSIFASKKFSSLLTMSPTPKEEAKVVHRSPSHVSVNVMQENPPYQIDQTTLIELVKEKKLERLQEFGGVNGVASTFGTDTQVGISGGADDVARLRNTFGSNTYNKPPTKGFFHFVIEALKEPAVMILLGCAALSLGFKIKAHGHKDSWHEVGSNFAAVFLPIVVSAISNYMQNRQFEELSRANNNILVDVVRGGQRQQLPMFDVVVGDIVCLKMGDQVPADGLFLDGHSLQVDESSMTGATEHTEVNSSQNPFLLSWTKVAHGDARMLVTSVGMNTTWGQISRETNEQTPLQARLNKLSSSIAKVGLAVAFPVLVVLLVRYFTGHTKDEKGNREFNRSKTKTSDIINAIVGIATTAITTAAEGLPLVVTLTLAYSKKKMVADQAMVRKLSACETMASTTTICTAKTGTLTLNRMKVTKFWLEYELSGSPTEKAILSWAVVELKMDVEKTKKRCAALQVEAFIPQKRRSGVLIERNDDDTVHVHWKGAAEMILAMSSSYYDASGVVKDLDDGERMKFEEIIQGMAASSLRCIAFAHKQVPEEEYQNLKEQKKLKEDSLTLLGLVGIKDPCRPGVKKAVEDCQYAGVNIKMITGDNVFTAIAIATECGILKPVVEGEEFRNYTSQERMEKVEKIQVMAGFSPFDKLLMVQCLKQKGHVVAVTGDGTDDAPALKEADIGLSTGIQGTEAARESSDIVILDDSFASVATVLRWGRCVYTNIQKFIQFLLTANVATLCINFIAAVSTGEVPLTTVQLFWVNLYMDTLGALALATERPTKELLEKPPVGRTEPLITNIMWRNLLAQALYQIAVLLTLHFNGESIFGVTKKVNHTLIFNTFMLCQVFNKFNARKLEKKNVFNDMHKNKMFIGITGVTIVLQVVMVEFLKRFTDTERLNWGQWGACIAIAAASCPLGWAVKCLPVPKKPIFSYLKWNVAGLDQNS >KJB36010 pep chromosome:Graimondii2_0_v6:6:39223810:39226250:-1 gene:B456_006G136200 transcript:KJB36010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHHHRYNLLKKGGDEEAGTLLFLYYRRRRPNLLSVLFLSLLSCTFILAPHLFSASSSLSHLCSFGVQNEGFVGNIDVNAPLCSSIPNGRICCDRSHFRSDICFMKGDVRTHSPSSSVFLYSSKSNNGFISYVSSMIDDGEQEEHDELQHERIKPYTRKWEPSIMDTIDELDLISKRANLGVHHPCDVIHNVPAVIFSTGGYTGNLYHEFNDGIMPLYITSQHFNKKVVFFILDYRNWWVIKYGDIISHLSDHPVIDFIGDNRTHCFTEAIIGLRIHDELTVDTSLMRSNESIVDFRNLLDRAYWPRIRGLIQEEEQETVDKKMSTSPTSGSVFDYQARKPKLVILSRNGSRAIMNENSLVKTSEEMGFQVQVLRPERTTELAKIYRSLNSSDVMIGVHGAAMTHFLFMKPGSVLIQVIPLGTEWAAETYYGEPARKLGLKYIGYKIKGRESSLFDEYDKDDPVLRNPSSFTKKGWEYTKKIYLEDQTVRLELRRFGKRLARAYYCITGIHPQSSLE >KJB35856 pep chromosome:Graimondii2_0_v6:6:38458619:38468147:-1 gene:B456_006G130700 transcript:KJB35856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMNRVVVLLLLSWFLCFSSVFWMTSASVVLIGNNVTLSFDDIEANFAPAIKGSGECGVLYLANPLDACSDLSIKVDELSNGSSPFALVIRGGCSFEEKVRRVQKAGFEAAIVYDNDDDGVLVAMAGNAAGIKIHAVFVSKASGEILKKFAGSTDMELWLIPSFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRELPQSSRVREFHGMSRRLVKAMPSLIFTAVLEDNCTSITCAICLEDYTVGEKLRILPCRHKFHAFCVDSWLTTWRTFCPVCKRDARTSTGDPPASESTPLLSSTLSTMSSSALSSVRSSLASSSAIQIAPAVSRSPSVAHTHSLASNPYIQQSLRSYRQSPMSFSRSSVDLRNASSQRSRASHLVSPASLNYPSISPLNARYISPYFPSPSNASPSIVSSSGHPLHPLHYSESAASFSPFASAQSLPDC >KJB34714 pep chromosome:Graimondii2_0_v6:6:30769966:30770220:-1 gene:B456_006G080300 transcript:KJB34714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMWSTPVFRWPNLGFPRPSPTPVFQWPEFNLSYLTSGWSLQSLRWVVFSIVDDVLWTLVTAFECLALVTTLCFFFLFCGCTF >KJB33070 pep chromosome:Graimondii2_0_v6:6:41819627:41821233:1 gene:B456_006G158800 transcript:KJB33070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSKRAKFTLPSSPRSCAAAASSSMYRIMSSMHFLRRRATLLVCRFIRSSVEQMRRRMRQCLPYLPNAIVP >KJB33071 pep chromosome:Graimondii2_0_v6:6:41819627:41823205:1 gene:B456_006G158800 transcript:KJB33071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSKRAKFTLPSSPRSCAAAASSSMYRIMSSMHFLRRRATLLVCRFIRSSVEQMRRRMRQCLPYLPNAIVP >KJB36863 pep chromosome:Graimondii2_0_v6:6:43691338:43695056:1 gene:B456_006G179500 transcript:KJB36863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSKFSICWFHSHLKTSVLESSDLENGGKGEKNAWPSFGEFSLEQLKVATSGFSSDNIVSEHGEKAPNVVYKGKLDNDRWVAVKRFNKFAWPDSRQFLEEAKAVGSLRSERLANLIGCCCEGDERLLVAEFMPNETLAKHLFHWENQPMKWAMRLRVALYLAQALEYCSNKGRALYHDLNAYRILFDNDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLMSGKHIPPSHALDLIRGKNFLMLMDSALEGHFSNEDGMELVRLASRCLQYEARERPNAKSLVISLMSVQKEAEVPSYVLMGIPHGTASSKQPLSLTPFGEACMRIDLTAIHEILEKMGYKDDEGIANELSFQMWTSQMQETLNSKKHGDTAFRAKDFATAIDCYTRFIDGGTIVSPTVYARRCLSYLMNDRPQEALGDAMQAQVVSPQWPTALYLQATCLFSLGMEGDAQETLKDGTNLEAKRSKN >KJB38438 pep chromosome:Graimondii2_0_v6:6:49762621:49766152:-1 gene:B456_006G255000 transcript:KJB38438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATB MASTLFRTIQIHPFLLYPTALFSRRNGVLYCTMKSSQTQTATQEKQQPPKVKVPQHALKKTVDKITRDYEAIIGIETHVQLSTLTKAFCSCPYNYGSQPNTSICPICMGLPGALPVLNSKVIKFAVKLGLALNCKLSLNSKFDRKQYFYPDLPKGYQISQFDIPIATGGYVDLDLPLEFGGGHRKFGITRVHMEEDAGKLLHSGNGDYSQEITSLTSIYSYFSKHTVDLNRAGVPLLEIVSEPDMRTGIEAAEYAAELQRVVRYLGISNGNMQEGSLRCDVNVSIRPVGQSEFGTKVEIKNLNSFSSINRAIDFEISRQALLHSQGQSDQIVQETRLWEEGAQKTVTMRKKEGLSDYRYFPEPDLPEVILTQEYVDSISNSLPELPEAKRRRYEKMGLSMQDVLFLANDMNVAEYFDATLSSGADIKLAANWIMGDIAAYMKNEKLSINDIKLTPQELAELIASIKSGTISGKIGKEILSELLAKGGTVKGLIEEKDLIQIADPVEIGKMIDKVISENPKQLEQYRGGKTKLQGFFAGQVMKLSKGKANPGLLNKILLEKLNGQS >KJB38440 pep chromosome:Graimondii2_0_v6:6:49761635:49766152:-1 gene:B456_006G255000 transcript:KJB38440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATB MASTLFRTIQIHPFLLYPTALFSRRNGVLYCTMKSSQTQTATQEKQQPPKVKVPQHALKKTVDKITRDYEAIIGIETHVQLSTLTKAFCSCPYNYGSQPNTSICPICMGLPGALPVLNSKVIKFAVKLGLALNCKLSLNSKFDRKQYFYPDLPKGYQISQFDIPIATGGYVDLDLPLEFGGGHRKFGITRVHMEEDAGKLLHSGNGDYSQEITSLTSIYSYFSKHTVDLNRAGVPLLEIVSEPDMRTGIEAAEYAAELQRVVRYLGISNGNMQEGSLRCDVNVSIRPVGQSEFGTKVEIKNLNSFSSINRAIDFEISRQALLHSQGQSDQIVQETRLWEEGAQKTVTMRKKEGLSDYRYFPEPDLPEVILTQEYVDSISNSLPELPEAKRRRYEKMGLSMQDVLFLANDMNVAEYFDATLSSGADIKLAANWIMGDIAAYMKNEKLSINDIKLTPQELAELIASIKSGTISGKIGKEILSELLAKGGTVKGLIEEKDLIQIADPVEIGKMIDKVISENPKQLEQYRGGKTKLQGFFAGQVMKLSKGKANPGLLNKILLEKLNGQS >KJB38441 pep chromosome:Graimondii2_0_v6:6:49762621:49766152:-1 gene:B456_006G255000 transcript:KJB38441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATB MASTLFRTIQIHPFLLYPTALFSRRNGVLYCTMKSSQTQTATQEKQQPPKVKVPQHALKKTVDKITRDYEAIIGIETHVQLSTLTKAFCSCPYNYGSQPNTSICPICMGLPGALPVLNSKVIKFAVKLGLALNCKLSLNSKFDRKQYFYPDLPKGYQISQFDIPIATGGYVDLDLPLEFGGGHRKFGITRVHMEEDAGKLLHSGNGDYSQEITSLTSIYSYFSKHTVDLNRAGVPLLEIVSEPDMRTGIEAAEYAAELQRVVRYLGISNGNMQEGSLRCDVNVSIRPVGQSEFGTKVEIKNLNSFSSINRAIDFEISRQALLHSQGQSDQIVQETRLWEEGAQKTVTMRKKEGLSDYRYFPEPDLPEVILTQEYVDSISNSLPELPEAKRRRYEKMGLSMQDVLFLANDMNVAEYFDATLSSGADIKLAANWIMGDIAAYMKNEKLSINDIKLTPQELAELIASIKSGTISGKIGKEILSELLAKGGTVKGLIEEKDLIQIADPVEIGKMIDKVISENPKQLEQYRGGKTKLQGFFAGQVMKLSKGKANPGLLNKILLEKLNGQS >KJB38443 pep chromosome:Graimondii2_0_v6:6:49762621:49766152:-1 gene:B456_006G255000 transcript:KJB38443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATB MASTLFRTIQIHPFLLYPTALFSRRNGVLYCTMKSSQTQTATQEKQQPPKVKVPQHALKKTVDKITRDYEAIIGIETHVQLSTLTKAFCSCPYNYGSQPNTSICPICMGLPGALPVLNSKVIKFAVKLGLALNCKLSLNSKFDRKQYFYPDLPKGYQISQFDIPIATGGYVDLDLPLEFGGGHRKFGITRVHMEEDAGKLLHSGNGDYSQEITSLTSIYSYFSKHTVDLNRAGVPLLEIVSEPDMRTGIEAAEYAAELQRVVRYLGISNGNMQEGSLRCDVNVSIRPVGQSEFGTKVEIKNLNSFSSINRAIDFEISRQALLHSQGQSDQIVQETRLWEEGAQKTVTMRKKEGLSDYRYFPEPDLPEVILTQEYVDSISNSLPELPEAKRRRYEKMGLSMQDVLFLANDMNVAEYFDATLSSGADIKLAANWIMGDIAAYMKNEKLSINDIKLTPQELAELIASIKSGTISGKIGKEILSELLAKGGTVKGLIEEKDLIQIADPVEIGKMIDKVISENPKQLEQYRGGKTKLQGFFAGQVMKLSKGKANPGLLNKILLEKLNGQS >KJB38439 pep chromosome:Graimondii2_0_v6:6:49763265:49766152:-1 gene:B456_006G255000 transcript:KJB38439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATB MASTLFRTIQIHPFLLYPTALFSRRNGVLYCTMKSSQTQTATQEKQQPPKVKVPQHALKKTVDKITRDYEAIIGIETHVQLSTLTKAFCSCPYNYGSQPNTSICPICMGLPGALPVLNSKVIKFAVKLGLALNCKLSLNSKFDRKQYFYPDLPKGYQISQFDIPIATGGYVDLDLPLEFGGGHRKFGITRVHMEEDAGKLLHSGNGDYSQEITSLTSIYSYFSKHTVDLNRAGVPLLEIVSEPDMRTGIEAAEYAAELQRVVRYLGISNGNMQEGSLRCDVNVSIRPVGQSEFGTKVEIKNLNSFSSINRAIDFEISRQALLHSQGQSDQIVQETRLWEEGAQKTVTMRKKEGLSDYRYFPEPDLPEVILTQEYVDSISNSLPELPEAKRRRYEKMGLSMQDVLFLANDMNVAEYFDATLSSGADIKLAANWIMGDIAAYMKNEKLSINDIKLTPQELAELIASIKSGTISGKIGKEILSELLAKGGTVKGLIEEKDLIQAS >KJB38442 pep chromosome:Graimondii2_0_v6:6:49761679:49766152:-1 gene:B456_006G255000 transcript:KJB38442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATB MASTLFRTIQIHPFLLYPTALFSRRNGVLYCTMKSSQTQTATQEKQQPPKVKVPQHALKKTVDKITRDYEAIIGIETHVQLSTLTKAFCSCPYNYGSQPNTSICPICMGLPGALPVLNSKVIKFAVKLGLALNCKLSLNSKFDRKQYFYPDLPKGYQISQFDIPIATGGYVDLDLPLEFGGGHRKFGITRVHMEEDAGKLLHSGNGDYSQEITSLTSIYSYFSKHTVDLNRAGVPLLEIVSEPDMRTGIEAAEYAAELQRVVRYLGISNGNMQEGSLRCDVNVSIRPVGQSEFGTKVEIKNLNSFSSINRAIDFEISRQALLHSQGQSDQIVQETRLWEEGAQKTVTMRKKEGLSDYRYFPEPDLPEVILTQEYVDSISNSLPELPEAKRRRYEKMGLSMQDVLFLANDMNVAEYFDATLSSGADIKLAANWIMGDIAAYMKNEKLSINDIKLTPQELAELIASIKSGTISGKIGKEILSELLAKGGTVKGLIEEKDLIQIADPVEIGKMIDKVISENPKQLEQYRGGKTKLQGFFAGQVMKLSKGKANPGLLNKILLEKLNGQS >KJB38416 pep chromosome:Graimondii2_0_v6:6:49681939:49683892:-1 gene:B456_006G253800 transcript:KJB38416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLDSSLLLHSIVNGGRNLSSFHYSFARYFNTVSNHMETLSENSMSFRRNPKTYHRFDNVDDALTLFNEMIEQHPKRSIVEFTKLLVALVRMRHYATVVSLCSQMELLGVSHNDCSFNILINCFCQLGGIDSGFSVLVKMLKLGVKPDVVTFSTLIKGLCNRSKISQAVSLFDEMIEKGYQPDLIVYTTILNGLFLRMMEERGFEPDIVAYSTVLNCLCKKCLLKEAHDLFFKMRKQGIKPNVITYTTLIDALCKKGVVSKAKDILGTMKKQGIEPNVVTYSILIDPLCKKGMVSKAEDIVGTMTKQGIKLDVVTCSIFIDALCKKGMVSKAEGIVGRMTKQGIEPNVVTYNTLIDVLCKDRMVSKAEVIVDTMRKQGIEPDVVTKQGIEFDVVTYNTLMHGTCQLGRVSTACELWRKMLASGKVPDLVTYSILLDGLCKSDELEVALKVFQEMRNSGLQLDIISYSILIDGLWKAMHIEVAKELFLLLSVSDLKPNFYTYCTMINGLCKEGLPDEAYQLFKKMGDDDCLPNSCCYNVMIQGFLRNNYTSKATELLTEMVGKGFSAGLCTATLFLDLVLYGKSILS >KJB36341 pep chromosome:Graimondii2_0_v6:6:41242687:41244641:-1 gene:B456_006G153700 transcript:KJB36341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSSTDPNAPMYYNGIYHFFYQYNPKGAVWGNIVWAHSVSKDMINWKALEPAIYPSHRFDRKGAWSGSATILPGNKPIILYTGVDPSDRQVQNYAVPANLSDPYLRKWIKPAGLNPVVDPEAGVNASAFRDPTTAWWLSGHWRMVVGSKRNHRGMAYLYRSRDFIHWIKAKHPLHSAPHTGMWECPDFYPVLLSGKQGLDTSVVGKQVKHVLKVSLDVTRYDYYTVGTYLTNEDRYVPDNTSVDGWAGIRYDYGNFYASKTFFDPAKKRRILWGWVNESDTTSDDVRKGWAGIQAIPRIVWLDPSQRQLMQWPIQELETLRGTNVKMNHQMLKTGDHIEVKGISAAQADVDVTFYIPSLDKVEAFNLGWSLTDAQLLCTKKGSKVQGGIGPFGLLALASENLEEYTPVFFRIFKSSQKHIVLLCSDARSSSLKDGLYKPSFAGFVDVDLTDKKLSLRSLIDHSVVESFGAGGKTCITSRVYPTLAVLENAHLYAFNNGTETIIIENLNAWSMKKPLRMN >KJB36342 pep chromosome:Graimondii2_0_v6:6:41242421:41244672:-1 gene:B456_006G153700 transcript:KJB36342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSSTDPNAPMYYNGIYHFFYQYNPKGAVWGNIVWAHSVSKDMINWKALEPAIYPSHRFDRKGAWSGSATILPGNKPIILYTGVDPSDRQVQNYAVPANLSDPYLRKWIKPAGLNPVVDPEAGVNASAFRDPTTAWWLSGHWRMVVGSKRNHRGMAYLYRSRDFIHWIKAKHPLHSAPHTGMWECPDFYPVLLSGKQGLDTSVVGKQVKHVLKVSLDVTRYDYYTVGTYLTNEDRYVPDNTSVDGWAGIRYDYGNFYASKTFFDPAKKRRILWGWVNESDTTSDDVRKGWAGIQAIPRIVWLDPSQRQLMQWPIQELETLRGTNVKMNHQMLKTGDHIEVKGISAAQADVDVTFYIPSLDKVEAFNLGWSLTDAQLLCTKKGSKVQGGIGPFGLLALASENLEEYTPVFFRIFKSSQKHIVLLCSDARRFD >KJB36343 pep chromosome:Graimondii2_0_v6:6:41242421:41247616:-1 gene:B456_006G153700 transcript:KJB36343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSKLLSLTLFITFLCAVNNGRVEATHEIYPQFQSLSAVSVHQLHRTAFHFQPPKNWINDPNAPMYYNGIYHFFYQYNPKGAVWGNIVWAHSVSKDMINWKALEPAIYPSHRFDRKGAWSGSATILPGNKPIILYTGVDPSDRQVQNYAVPANLSDPYLRKWIKPAGLNPVVDPEAGVNASAFRDPTTAWWLSGHWRMVVGSKRNHRGMAYLYRSRDFIHWIKAKHPLHSAPHTGMWECPDFYPVLLSGKQGLDTSVVGKQVKHVLKVSLDVTRYDYYTVGTYLTNEDRYVPDNTSVDGWAGIRYDYGNFYASKTFFDPAKKRRILWGWVNESDTTSDDVRKGWAGIQAIPRIVWLDPSQRQLMQWPIQELETLRGTNVKMNHQMLKTGDHIEVKGISAAQADVDVTFYIPSLDKVEAFNLGWSLTDAQLLCTKKGSKVQGGIGPFGLLALASENLEEYTPVFFRIFKSSQKHIVLLCSDARSSSLKDGLYKPSFAGFVDVDLTDKKLSLRSLIDHSVVESFGAGGKTCITSRVYPTLAVLENAHLYAFNNGTETIIIENLNAWSMKKPLRMN >KJB36660 pep chromosome:Graimondii2_0_v6:6:42926000:42929864:1 gene:B456_006G169400 transcript:KJB36660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTKGNEMRNINVTDDHDDDEKWVYDSSVDHKGRLPLRASTGAWKASLFIIAIEFSERLSYFGIATSLIIYLTKVIHQDLKTAARSVNYWAGVTTLMPLFGGFIADAYLGRFFTVLCSTIVYLLGLILLTMSWFVPSLKACEGDVCAEPRKAHEVAFFLAIYLISIGTGGHKPSLESFGADQFDDDHPQERKNKMSFFNWWNSGLCSGLLLGVTVIVYVQDHVNWGIADIILTVVMASSLLIFIIGRPYYRYRMPSGSPLTPMLQVLVAAISKRKLPHPSNPADLYEVPNSQKAQGRLLCHTKKLKFLDRAAIVEEKENSFEKQDPWRLVTVTKVEEMKLVLNMIPIWLATLPFGICVAQAATFFIKQGVVMNRNIGGFLIPPASIYSLAAIGMITSVIIYEKILVPILRKATGNERGIKILQRIGIGMLFSIATMIVAALVEKKRLGAVKKDPVNGSLSMSVFWLAPQFLIIGAGDGFALVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFLSSLLITLADHVTEKGGKSWFGKDLNSSRLDNFYWLLAIMTMANLLLFVFVAKRYSYKNVQSLAVANCNEDDHDAAGSMA >KJB34911 pep chromosome:Graimondii2_0_v6:6:32626030:32628165:-1 gene:B456_006G090000 transcript:KJB34911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTLSQLPILPFTEMASSISQIHQAHAHLLKTGVFPNNTFVSNKLISFAVSNPDPITLSYAHSVFTHITDPNSFSYNSLIRAYANSRTPENALFLFRQMLKGGPVLPDKYSFTFALKACAGFCGVEEGMQIHGLALKLGIGFDIFVANTLIHVYGKSGHFGFARSLLDRMADRDVVSWNALLSAYIETGFIRLARGLFDEMDERNVESWNFMISGYLSSGLLEEAKSVFDSMPLKDVVSWNAIITGYAHASRFDEVLELFEDMQREEVRPDTCTLVNVLSACAHLGALGQGEWIHGYIDKNGIDTNGFIATALVDMHSKCGNIDKAVNVFRNASKKDISTWNSIIVGLGMHGYGETALETFSEMLMEGFEPNEVTFIAVLTACSRSRFLNEGCKMFKLMVDDYGIEPAIEHYGCMVDLLGQVGLLEEALELVETRQLKEAHVLWESLLSACKNHGNVKMAEYVARKLLELNPQDSSGYVQLSNTYAALKRWDDVLNVRKKMKALKVNKEPGCSMIEVNGVVHEFLAGEGMILE >KJB35220 pep chromosome:Graimondii2_0_v6:6:34735345:34739570:1 gene:B456_006G105100 transcript:KJB35220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMINSNVKAIGFNVEAVQYNNIKFQVWDLAQLPGTTQDYLQIFNIEMKAKMKSHQMPEQVVFWKWISPKMLGLVTQTSVYHWSIEAEVVAGSTAWLG >KJB38658 pep chromosome:Graimondii2_0_v6:6:50472152:50474420:1 gene:B456_006G265800 transcript:KJB38658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKAKGNVRREEEDHQIELRRGPWTVEEDLKLVNYIAAHGEGRWNSLALFAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSHWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLVERIQAGIASSSSTTATSTGQIVIPQVALSYNTHDNSSTAISSETQVSPTSDFSDCYGNMVPINENPNPYYFQASQIGSSNDYNHGMDFQPWLRGVDNITSDHFLDCDDFLLQQQFNFNM >KJB38098 pep chromosome:Graimondii2_0_v6:6:48442376:48444109:-1 gene:B456_006G236800 transcript:KJB38098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCPMHKGKTKEDALCLANKMKKITVADTISGIKDFMPDSQPTASNDIDENAKAELVRLSMMVVNGDDTDDEERGEDLGLDVQKQKQQTTYEMDYEDDSGGEQLERRKTPDNDMVNEPHVLLAQIAENAAKKVYAQSFGKIDRCRVTDCSENQVFYYGEDPKKRKTQSDKEKIPALHTAGVDLGAFREMEDQLDVRYLYSNGSHAMLNRYGVEAARETIIREISHVFTSYGIAVDIRHLTLL >KJB33423 pep chromosome:Graimondii2_0_v6:6:2315056:2317480:1 gene:B456_006G010500 transcript:KJB33423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIDDEGVEGLKSGIEEHLSVVEEKIMSWETDESMIWDSGPDEAFEYLSAVDEARKLIQGLENQCLNGEDEKELLRRAHDVLQLAMQRLEEEFKYLLVQHRQPFEPEHMSFRSSEDDGVDVGSMVSFGDESFEDSARRDSVSRTSEECIIDLVHPDVIHDLKCIANSMFMSNYDHECRQAYVIVRKDALDECLFDLEIEKFSIEDVLKMEWGTLNSKIKRWVRAMKVFVRRYLASEKWLCDQIFSESGLANFVCFVEAAKAPMLQLLNFAEAISIGPHQPEKLVRFLDMYEVLADLLLDIDALFPDEAGSSVKVEYHEVLKRLADSVRATLVEFKNAVATNTSTNPFAGGGIHHLTRYVMNYLRLLVDYKDTLNLLLKNDRSVPVLLSPDSSPSTEDESTSNDFSGGVSAMTLHLQSLTSILEANLHEKSKLYRDASLQHFFLMNNIHYMAQKVKNSELRVIFGDKWIRKHNWKFQQHAMDYERATWSTILSFLKDESNSSNSSISRTLLKERLRSFYVAFEEVYRTQTAWLIPDVQLREDLRISTSLKVIQAYRTFVGRQMYHIGEKHIRYNAEEMQDYLLDLFEGSQKSLHNPHRR >KJB33378 pep chromosome:Graimondii2_0_v6:6:1800720:1805532:-1 gene:B456_006G008600 transcript:KJB33378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT3G59030) UniProtKB/TrEMBL;Acc:A0A178VKA2] MGSEEQRPLLRISELSSDAIEEVFESGDRGGGVGWWVRLVAWESRILWLLSGASIVVSVFNYMLTFVTLMFTGHLNALELAGASIASVGIQGLAYGIMLGMASAVQTVCGQAYGAKKYAAMGIICQRAIVLHIGASVILTFLYWYSDTVLQAIGQSASIAEQGQVFARGLIPQLYAFAISCPMQRFLQAQNIVNPLAYISVGVFLLHILLTWLAVDVLGYGLLGASLTLSLSWWILTILNGLYIVLSPSCKETWTGLSTKALKGIWPYFKITAASAVMLCLEIWYNQGLVLISGLLPNAAIALDSISICMNYWNWDINFVLGFSAAASVRVSNELGAGHPKLTKFSVIVVNATSIFISTVFTAIVIICRSLLIKAFSTDAEVIQAGSSLIPLLAISIFLNGIQPILSGVAIGSGWQHIVAYVNLTTYYIIGLPIGCVLGFKIGLGVEGIWWGMVVGVLLQTITLIILTARTNWDLEVEKAADRLRKSANEETLHLITD >KJB33381 pep chromosome:Graimondii2_0_v6:6:1802789:1805528:-1 gene:B456_006G008600 transcript:KJB33381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT3G59030) UniProtKB/TrEMBL;Acc:A0A178VKA2] MGSEEQRPLLRISELSSDAIEEVFESGDRGGGVGWWVRLVAWESRILWLLSGASIVVSVFNYMLTFVTLMFTGHLNALELAGASIASVGIQGLAYGIMLGMASAVQTVCGQAYGAKKYAAMGIICQRAIVLHIGASVILTFLYWYSDTVLQAIGQSASIAEQGQVFARGLIPQLYAFAISCPMQRFLQAQNIVNPLAYISVGVFLLHILLTWLAVDVLGYGLLGASLTLSLSWWILTILNGLYIVLSPSCKETWTGLSTKALKGIWPYFKITAASAVMLCLEIWYNQGLVLISGLLPNAAIALDSISICMNYWNWDINFVLGFSAAARSVHTPTFLIKLNKILGKLH >KJB33379 pep chromosome:Graimondii2_0_v6:6:1800745:1805528:-1 gene:B456_006G008600 transcript:KJB33379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT3G59030) UniProtKB/TrEMBL;Acc:A0A178VKA2] MGSEEQRPLLRISELSSDAIEEVFESGDRGGGVGWWVRLVAWESRILWLLSGASIVVSVFNYMLTFVTLMFTGHLNALELAGASIASVGIQGLAYGIMLGMASAVQTVCGQAYGAKKYAAMGIICQRAIVLHIGASVILTFLYWYSDTVLQAIGQSASIAEQGQVFARGLIPQLYAFAISCPMQRFLQAQNIVNPLAYISVGVFLLHILLTWLAVDVLGYGLLGASLTLSLSWWILTILNGLYIVLSPSCKETWTGLSTKALKGIWPYFKITAASAVMLCLEIWYNQGLVLISGLLPNAAIALDSISICMNYWNWDINFVLGFSAAASVRVSNELGAGHPKLTKFSVIVVNATSIFISTVFTAIVIICRSLLIKAFSTDAEVIQAGSSLIPLLAISIFLNGIQPILSGYMVGDGSWGSSTNNNSNHSHCQNKLGLGG >KJB33382 pep chromosome:Graimondii2_0_v6:6:1804101:1805528:-1 gene:B456_006G008600 transcript:KJB33382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT3G59030) UniProtKB/TrEMBL;Acc:A0A178VKA2] MGSEEQRPLLRISELSSDAIEEVFESGDRGGGVGWWVRLVAWESRILWLLSGASIVVSVFNYMLTFVTLMFTGHLNALELAGASIASVGIQGLAYGIMLGMASAVQTVCGQAYGAKKYAAMGIICQRAIVLHIGASVILTFLYWYSDTVLQAIGQSASIAEQGQVFARGLIPQLYAFAISCPMQRFLQAQNIVNPLAYISVGVFLLHILLTWLAVDVLGYGLLGASLTLSLSWWILTILNGLYIVLSPSCKETWTGLSTKALKGIWPYFKITAASAVMLWYVVSAPPNHKITYDVCTRS >KJB33380 pep chromosome:Graimondii2_0_v6:6:1802137:1805528:-1 gene:B456_006G008600 transcript:KJB33380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT3G59030) UniProtKB/TrEMBL;Acc:A0A178VKA2] MGSEEQRPLLRISELSSDAIEEVFESGDRGGGVGWWVRLVAWESRILWLLSGASIVVSVFNYMLTFVTLMFTGHLNALELAGASIASVGIQGLAYGIMLGMASAVQTVCGQAYGAKKYAAMGIICQRAIVLHIGASVILTFLYWYSDTVLQAIGQSASIAEQGQVFARGLIPQLYAFAISCPMQRFLQAQNIVNPLAYISVGVFLLHILLTWLAVDVLGYGLLGASLTLSLSWWILTILNGLYIVLSPSCKETWTGLSTKALKGIWPYFKITAASAVMLCLEIWYNQGLVLISGLLPNAAIALDSISICMNYWNWDINFVLGFSAAASVRVSNELGAGHPKLTKFSVIVVNATSIFISTVFTAIVIICRSLLIKAFSTDAEVIQAGSSLIPLLAISIFLNGIQPILSGAHHMFSFTILHFFQ >KJB37243 pep chromosome:Graimondii2_0_v6:6:45520304:45523051:-1 gene:B456_006G197800 transcript:KJB37243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEPKKVETVTPSEQPPPEAPKDVAEEKSVIPPPTSEEKPAESAALQKAVEPTEEKSTESTVDRDAVLARVATEKRISLIKAWEESERSKAENKAHKKLSSIEAWENSKKAALEAELKKIEEKLEKQKAEYVEKMKNKIALIHKEAEEKKAMVEAKRGEDLLKAEEIAAKYRATGTTPNKVLGCF >KJB38553 pep chromosome:Graimondii2_0_v6:6:50107293:50109961:-1 gene:B456_006G260200 transcript:KJB38553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLAASVLKCGRGKVWLDPNEINEISMANSRQNVRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGQHSGYGKRKGTREARLPTKILWMRRMRVLRRLLCKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKMARREERLAQGPVEKTAPAAANQQAEMVKKVKAKK >KJB36195 pep chromosome:Graimondii2_0_v6:6:40442652:40444496:1 gene:B456_006G146000 transcript:KJB36195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVAAPATTAPKDPTQPHLEVKLFNRWSFEEVQVADISLSDYIGVQASKHATYXXXXXXXXXXGRNNGKKLMAVRIVKHAMEIIYLLTDQNPIQVIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KJB36196 pep chromosome:Graimondii2_0_v6:6:40442645:40444525:1 gene:B456_006G146000 transcript:KJB36196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVAAPATTAPKDPTQPHLEVKLFNRWSFEEVQVADISLSDYIGVQASKHATYXXXXXXXXXXGRNNGKKLMAVRIVKHAMEIIYLLTDQNPIQVIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KJB33607 pep chromosome:Graimondii2_0_v6:6:5389054:5392318:1 gene:B456_006G021300 transcript:KJB33607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGCSGINPPCIINLCPICPINDLVLHSLSIFICPYDTLNLSYNFIEGKIPHQFDNDVNINILDLSYNNLTGKIPKSLRPLKEVNLSYNSLVGPIPEYLSYWFSSDLFWGNKYLFLCFLAFLTLGVLLFLRSRAKDNIPEPNVKKNGDLFSILNFDGRIAFEDIIEATNDFDIRYCIGTGGYGCVYRAQLPSGKIVALKKLHRRESEVLAFDKSFKNEAKMLSEIRHKNIVKLHGFCLHNRCMFLIYEYMAGGSLFCVFLDNTEALELDWIKRVKTVKDTACALSYLHHDWHPAIVYRDISSYNILLNSNLEACISDFGTARLLDPDSSNQTMLVRTNGYIAPAIFQMLVVKDEQHVTENCDVYSFGVLALETLMGKHSSELLVSLSASSSKNIILSDILDPRLSLPSDRRVAKDIVFAATMAFACLSLNPKFRPTMNEKISS >KJB36767 pep chromosome:Graimondii2_0_v6:6:43375528:43377843:1 gene:B456_006G175800 transcript:KJB36767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQVDGSLGGRYKPFFAAVFLQVGYAGMDILSKTALNLGMSNYVLVVYRHAIATLVMAPFAALLDKKVRPKMTMRIFIQIMVLGLLEPVIDQNLYFLGMKYTTATFATAMYNILPAITFVMAWIFRLEKVKLRSIRSHGKIIGTLATVAGAMVMTLMKGPVLELFWTKGRNNHEAASKNGTDLHDCIKGGVLITIGCFSYACFVILQAITLETYPADLSLTVWICLLGTLEGTIAALIMEKGNAAIWAIKWDTKLLTAAYTGIVCSGLAYYIQGMIMKHRGPVFVTAFSPLCMVIVAIISSFILAEQMFLGRVIGATVIIVGLYLVLWGKNKDYMKSPPPPSPLMDGQIIEAAKIDMGITVDDGTKGTSIRDEKLQEKRHSIIV >KJB34656 pep chromosome:Graimondii2_0_v6:6:30116244:30119201:1 gene:B456_006G077100 transcript:KJB34656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIGSGSTISEGEKSQLVLEICSLSTIPIVCSHKRHFNNTVKSHFIDWYRLLGVAENSGFELIKRRYHKLALQLHPDKNKHPKAEVAFKLVSEAYACLSDNMKRRAFNIERWKHFCNECNRIPYSTDKSLLNSQTSSNPKNSGKFLQSLKRIRDRFKEEIRVIENCLRVNSMSRKESPTFKPSANSVGGHESRIKHKIERESPVFEPPEYGIQGYPHLRDQIWNKSERFWELQRRSVEEGGKYHSPVFQNSSSQTGGMFRGMIKSRSVCIHS >KJB34657 pep chromosome:Graimondii2_0_v6:6:30117574:30119201:1 gene:B456_006G077100 transcript:KJB34657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYIKEFLIEKQAYACLSDNMKRRAFNIERWKHFCNECNRIPYSTDKSLLNSQTSSNPKNSGKFLQSLKRIRDRFKEEIRVIENCLRVNSMSRKESPTFKPSANSVGGHESRIKHKIERESPVFEPPEYGIQGYPHLRDQIWNKSERFWELQRRSVEEGGKYHSPVFQNSSSQTGGMFRGMIKSRSVCIHS >KJB38335 pep chromosome:Graimondii2_0_v6:6:49375778:49377013:-1 gene:B456_006G249700 transcript:KJB38335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSPATEQRKGLDESVIRKIPIFQFKNEGESCECAVCLNEFNQDEKLRIIPNCSHVFHIDCIDAWLQNNANCPLCRTSICISNDRFQFDPIIALSSTSRDPNPYNETVISGDEDFVVIEITNNNNQTGDGSIPVPVSASPWKIELPKKATRKYRKGSSMGDECIDIRDKDEQFVIQPIRRSISMDSSADRQLYLAVQEAIRLNGGRQVSEVSPIEAGCSSNRVRRSFFSIGHCRRSKSSALLPVYLEP >KJB38334 pep chromosome:Graimondii2_0_v6:6:49375642:49376995:-1 gene:B456_006G249700 transcript:KJB38334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVTKIQSSQPLSPITSPGKSILSSHSHSSDTSFPIIAIAVLGILATAFLLLSYYIFVIKCCLNWQRIDLLRRFSLSQRRPEDTLMVYSPATEQRKGLDESVIRKIPIFQFKNEGESCECAVCLNEFNQDEKLRIIPNCSHVFHIDCIDAWLQNNANCPLCRTSICISNDRFQFDPIIALSSTSRDPNPYNETVISGDEDFVVIEITNNNNQTGDGSIPVPVSASPWKIELPKKATRKYRKGSSMGDECIDIRDKDEQFVIQPIRRSISMDSSADRQLYLAVQEAIRLNGGRQVSEVSPIEAGCSSNRVRRSFFSIGHCRRSKSSALLPVYLEP >KJB34143 pep chromosome:Graimondii2_0_v6:6:16851514:16858275:-1 gene:B456_006G049500 transcript:KJB34143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWWGKSSSKEVKKKTNKESFIDTLHRKFKIPSEGKPNSRSGVSRRHGNDTISEKGSQSRPESRSPSPSKQVSRCQSFVQRPHAQPLPLPDLHPAIVGRTDSGIGISSKSRPEKGSKSSLFLPLPRPACIRHRLNPNDTDGDCVTASVFSESSAESDDPTDAHHRSLQATDYDNGTRIAANSPSSLLLKDQSSAVSQSNSREAKKQTNISFGYSISPKTPKRRPLSNHVPNLQIPHNGAFSSAPDSSMSSPSRSPLRAFGSEQIMNSPFWAGKTCADVTLLGSGHCSSPGSGQNSGHNSMGGDMSGQLFWQPSRGSPEYSPIPSPRMTSAGPSSRIHSGAVTPIHPRSAGTATETHTSWHDDGKQQSHRLPLPRVTTSISSPFSHSNSAATSPSVPRSPGGAENPVNPGSRWKKGKLLGRGTFGHVYVGFNSESGEMCAMKEVTLFSDDAKSNESTKQLMQEIALLSRLWHPNIVQYYGSEKVDDRLYIYLEYVSGGSIYKILQEYGQLGELAIRSYTQQILSGLAYLHSKSTVHRDIKGANILVDPNGRVKLADFGMAKHIAGQSCPLSFKGSPYWLAPEIIRNPSGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPPIPDYLSDEGKDFVRQCLQRNPQHRPTAVQLLGHPFLKYAAPMERPVPDAEPSDPTPGVTSGVKTLGIGQARNYSSLESEQLAVHSSRVPKLHASDINIPRNVSCPVSPIGSPLLHSRSPQHLNGRMSPSPISSPRTTSGSSTPLTGGSGAIPYGYLKQSPYFQEGLGSMPKPSNGLYVSGSSCHDNNPDIFRGLQAGSHIFSELVPSENDILGTGRSVHGESYDGQSVLADRVSRQLLKDHAAMSPSLDLSPSSPLPYRSVII >KJB38752 pep chromosome:Graimondii2_0_v6:6:51067364:51069125:1 gene:B456_006G2753001 transcript:KJB38752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLCLTRNSEEPSHIVSMFDYLWSKTIATILWPLHVLPTKFVFKKIRSAIGIQKTAKSEGGGLLTHIEKFYETIGLEVQNGYGLIETSPCVAGRQPYYNVYNSLFRSVFEIYRDGSVCFFLVAFSETDFRFRHHCFDPCFKSIDLDLFVLFVCFLCTFARYKS >KJB33722 pep chromosome:Graimondii2_0_v6:6:7089944:7095394:1 gene:B456_006G027500 transcript:KJB33722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSSYLGSNRRGGGWTQALLPTSSSVTVKSPPKAHQPRKPRKRTALLNFLFTNFFTIALSVSLLFFLLTLFLFGIPKPISSHFKPRSLSRRLSSRRPVTRKKPGSNPYQNGAAVDITTKQLYDKIEFLNKPGGAWTQGWKVSYKGDEWDSEKLKVVVVPHSHNDPGWKFTVEEYYEKQTRHILDTIVETLSKDSRRKFIWEEMSYLERWWRDASDDKRESFTNLVRNGQLEIVGGGWVMNDEANSHYFAIIEQITEGNMWLNDTIGFIPKNSWAIDPFGYSPTMAYLLRRMGFENMLIQRTHYELKKELAWNKNLEYIWRQSWDADETTDIFVHMMPFYSYDIPHTCGPEPAVCCQFDFARVHGFFYGRCPWEYHPIEIDQENVKERALTLLDQYRKKSTLYRTNTLLVPLGDDFRYVSIDEAEVQFRNYQMIFDYINSNPSLNAEAKFGTLDDYFQTLKDEADRINYSLPREIGSGQVVGFPSLSGDFFTYADREQDYWSGYYVSRPFFKAVDRVLEQTLHASEMLMAFLFGYCQRIQCEKLPTGYAYKLTAARRNLALFQHHDGVTGTAENHVVLDYGTRMHTSLQDLQIFMSKAIEVLLGLRQEKSDQNPAQFDPVQVRSKYDALPVHRAISAREGTVQSVVLFNPLERAREEVVMLVVNRPEVTILDSNWTCVESQVSPELQHDKNKIFTGRHRVHWKASVPAMGLQTYYIANGFVGCEKAKPAKLKLFSNLSSIQCPTPYDCSEVEGDVVEIENQHQTLTFGVKHGLLQKVIQKKGLQNTVAEEISLYSSTGGAYLFVPHGEAVPISQSGGHLVISEGPLMQEVYSYPKTAWENTPISHSTRIYNGGNTIQEFLIEKEYHVELLGNSFIDKELIVRYKTDIDNKRIFYTDLNGFQMSRRETYHKIPLQGNYYPMPSLAFMQGSNGHRFSVHSRQSLGAASCKQGWLEIMLDRRLAKDDGRGLGQGVVDNRVMNVVFHILIESNISSTSNPVSDPQPLNPSLLSHCVGAHLNHPLHTFIAKKPQDINVQTHWGPFSPLTTPLPCDLHIVSFKVPRPAKYSQQQVGDPRFVLMLHRRNWDPSYCRKARSECTSVADEPVNLFNMFKGLAVLNARPTSLNLLHEDMEMLGYTEQIGEVSQEGRVIIPPMEIQTYKLELRANQ >KJB34169 pep chromosome:Graimondii2_0_v6:6:18499694:18500408:1 gene:B456_006G051700 transcript:KJB34169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNFIPGVRNKGSISSTSVSEFFLFRIIFGLDKKIIEINQFIRKNKSQLPQGWASCDNKGLPIVDRINRGSTRDYRGMLLLLAMVHKEGPTVTMVRRRVVGRMVPGSAWCEGTRLVCCGAIGCARAFFYGIARARRVRAVA >KJB36316 pep chromosome:Graimondii2_0_v6:6:41141225:41144116:1 gene:B456_006G152400 transcript:KJB36316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSSNHPSLSFNFNQHEDHSESEINPNQIHPDHGMEPMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLAADSVDKGLLLSFEDESGKFWRFRYSYWNSSQSYVLTKGWSKYVKEKQLDAGDIILFLRHRSDGDRFFIGCRRRGAPSAPADAGNTLMGNSTSCGGGGDTRGLYHGHPYLGHIQGYAANLPYRPGCLHAGSIVENEGIAAGNPRRLVRLFGVNLECQLDGSEPSTPENSSSVSVSSQQGPTTHQFYNSQSYTSNYMDITFSRDMNQMRNHQE >KJB33214 pep chromosome:Graimondii2_0_v6:6:393392:393855:-1 gene:B456_006G001100 transcript:KJB33214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLIEGNDKKKESGDDKFDKPISETRVSREECGSNGRNLNKKRSYAQFHLELGQFDFLLHACQSTKRSGTFQPIEVFVVASVGF >KJB33215 pep chromosome:Graimondii2_0_v6:6:392680:394032:-1 gene:B456_006G001100 transcript:KJB33215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLIEGNDKKKESGDDKFDKPISETRVSREECGSNGRNLNKKRSYAQFHLELGQFDFLLHACQSTKRSGTFQPIEVFVVASVGF >KJB36661 pep chromosome:Graimondii2_0_v6:6:42930085:42931855:-1 gene:B456_006G169500 transcript:KJB36661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYASSSRWLLQSLIFTILWTVRAQLPGTWELLVPNAGVASMHTAVTRFNTVVLLDRTNIGPSRKMLPKGHCRIDSNDVVLKKDCFAHSVVLDLQTNQIRPLMILTDTWCSSGQFLPDGTLVQTGGDLDGFKKIRKFEPCEPGEFCDWVELKDVELANGRWYATNQILPDGTVIIVGGRGTNTVEYYPPRKNKKGAIELKFLADVEDNQMDNLYPYVHLLPNGHLFIFANNKAVMYDHEGNRVIRDYPALSGGPRNYPSAGSSVMLALEGDFSTAVIVICGGAQYGAFIERSTDTPAHGSCGRIVATDPKPGWEMEDMPYGRIMGDMVMLPTGDTLIINGAQAGTQGFEMASNPCLYPVLYRPDQPVGLRFMTLNPGTVPRMYHSTANLLPDGRVLIAGSNPHYFYKFGAEFPTELRLETFSPEYLSPDRANVRPVIEGIPETVRYGEAFDVFVSVSLPVVGIIEVNFGNAPFATHSFSQGQRLVKINVTPSVPDGGRYRIKCTAPPNGAVAPPGYYMVFAVNQGVPSVARWVHLVA >KJB36502 pep chromosome:Graimondii2_0_v6:6:42203281:42204163:1 gene:B456_006G162600 transcript:KJB36502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTQSVWCKVSVTKESVCSQILPAFCKLSNQFPKLSFVYADIDECPETRKHIRYTSTFHFYRDGERVDEMFGAGEERLHDRLWLHS >KJB35551 pep chromosome:Graimondii2_0_v6:6:37005701:37007225:1 gene:B456_006G119800 transcript:KJB35551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRYSFFLVALALVFISMEASNAQGSNSKKAPYDAASTHYTVLAPTSTGQERVYCLARGACNGKVLTCPSQCAQRKPKKNKKVKGCFFDCSSKCEVTCKWRKPNCNGYGSLCYDPRFVGGDGVMFYFHGAKDGNFAIVSDENLQINAHFIGTRPQGRTRDYTWVQALAVMFDTHTLVLAAKRVSQWDNSFDALIVRWDGDVVNIPTDGEAEWRTNSEEREVIVERTEETNGVKVTVAGLVELNVKVRAIGEHENKVHNYQLPADDAFAHLETQFKFTGLTNLVEGVLGQTYRPDYVSPVKRGVAMPMMGGEDKYQTPSLYSPLCNHCRFKGKAGLSSI >KJB34461 pep chromosome:Graimondii2_0_v6:6:27686232:27687191:1 gene:B456_006G0682001 transcript:KJB34461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LETLDSGCLNSATVCGVDWTTLPDDTVIQLFSYLNYRDRASLASTCRTFRLLGSLLCLWGSLDLRSYKFDTVAAVSLSLRCKNLQRLKFPAAGSADAIVSLQARELREISVISGSA >KJB34459 pep chromosome:Graimondii2_0_v6:6:27686232:27687174:1 gene:B456_006G0682001 transcript:KJB34459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LETLDSGCLNSATVCGVDWTTLPDDTVIQLFSYLNYRDRASLASTCRTFRLLGSLLCLWGSLDLRSYKFDTVAAVSLSLRCKNLQRLKFPAAGSADAIVSLQARELREISGDFCRDITDAALSVFVISSLSTPLSNTGSAVMNWILRIDKEREGEQIDRALLKNVLGIFFEIGMGQMDRYEDDFEEAMLQDTLLPRFP >KJB34460 pep chromosome:Graimondii2_0_v6:6:27686233:27686706:1 gene:B456_006G0682001 transcript:KJB34460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LETLDSGCLNSATVCGVDWTTLPDDTVIQLFSYLNYRDRASLASTCRTFRLLGSLLCLWGSLDLRSYKFDTVAAVSLSLRCKNLQRLKFPAAGSADAIVSLQARELREISGDFCRDITDAALSVFVISSLSTPLSNTGSAVMNWILRVSCLLFPIYF >KJB33360 pep chromosome:Graimondii2_0_v6:6:1630378:1631148:-1 gene:B456_006G007800 transcript:KJB33360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSTDLRVPMDQIQNQEQDKVNLHKQGIDDAILMATPKGENIRRPRGRPAGSKNKPKPPIIVTRDSANALRAHAMEVTSGCDVHESLASFARRKQRGICVLSGSGFVTNVTLKQPASSGAIVTLHGRYEILSLLGSILPPPAPPGITGLTIYLAGAQGQVVGGGVVGALIASGPVLIMAASFMNATFDRLPLDDADEVATTAAAAMQNQYHHQNGRHHHLDMADLYGMMPQNLITNGTNPPEIYSWAPGRTMSKT >KJB36756 pep chromosome:Graimondii2_0_v6:6:43330631:43335047:1 gene:B456_006G175100 transcript:KJB36756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSCAPHFTSLKFSEMFYPKIISSICSPKADFKVFASASNVNEAPNYIPAAPILLPDGDGVWKQIPGGVTAAKGFKAAGLYGGLRAKGEKPDLALVTCDVDANVAGAFTTNVVAAAPVVYCQNVLNNTKTARAVLINAGQANAATGDAGYQDVIECANALATILQIKPEEVLVESTGVIGQRIKKEALLSSLPRLVNSLSPSIQGADSAAVAITTTDLVSKSVAIEFEVGGTSIRIGGMAKGSGMIHPNLATMLGVITTDALVESDVWRKMVQVAVGRSFNQITVDGDTSTNDTIIAFASGLAGSNWITSMNSYDAALLQTGLDAVMQGLAKSIAWDGEGATCLIEVTVSGAKGEAEAGNIARSVAASSLVKAAVYGRDPNWGRIAAAAGYAGISFNLNNLQILLGDIMLMDGGQPLEFDRTAASNYLIKAGETHGTVEIKIAVGDGPGFGKAWGCDLSYDYVKINAEYTT >KJB33935 pep chromosome:Graimondii2_0_v6:6:11424623:11425336:1 gene:B456_006G040700 transcript:KJB33935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTPQLSAVNEDKEHLSKTIDKCYNKFEDYQVQTGLDLLSQAHYLLYDEVYKLWTDGRRILAFPNGNIQEERNEESVKLLKKIVEMEIEEFPSHITEKIKYTWEMWNSPPRLSSDSLHLDEIEEMNLNNMQEG >KJB36975 pep chromosome:Graimondii2_0_v6:6:44239934:44242038:-1 gene:B456_006G185200 transcript:KJB36975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLVLQKIAISGPTLASMIQRLSSSPADLDGLLFGHVTCVAPSALSDDSVQSTPDSQLVATISSFLSFPSLLSFYDSLGRVDSSRLTLTNLNQKPLIGWFSFRRKTPLRPSMRESSVTRSLPSNPQLYLPIQNASSESLFAPSIFLLFTTPLQDQFIQTCQYRAFQYQSSNLCFNPLPIDIVNIGPAFRGHYGAFSPTSALPFLNCELRSSSAINECRNEENLIGMKRIAKDQSELDMCAEGMQIGRLNQLIGPEAVNYTAGLEDLYKKMLCKIESLARLVEASSAKVVEQENHNRKLRYKVARSAGLE >KJB36977 pep chromosome:Graimondii2_0_v6:6:44236668:44242038:-1 gene:B456_006G185200 transcript:KJB36977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLVLQKIAISGPTLASMIQRLSSSPADLDGLLFGHVTCVAPSALSDDSVQSTPDSQLVATISSFLSFPSLLSFYDSLGRVDSSRLTLTNLNQKPLIGWFSFRRKTPLRPSMRESSVTRSLPSNPQLYLPIQNASSESLFAPSIFLLFTTPLQDQFIQTCQYRAFQYQSSNLCFNPLPIDIVNIGPAFRGHYGAFSPTSALPFLNCELRSSSAINECRNEENLIGMKRIAKDQSELDMCAEGMQIGRLNQLIGPEAVNYTAGLEDLYKKMLCKIESLARLVEASSAKVVEQENHNRKLRYKVARSAGLE >KJB36976 pep chromosome:Graimondii2_0_v6:6:44239993:44242038:-1 gene:B456_006G185200 transcript:KJB36976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLVLQKIAISGPTLASMIQRLSSSPADLDGLLFGHVTCVAPSALSDDSVQSTPDSQLVATISSFLSFPSLLSFYDSLGRVDSSRLTLTNLNQKPLIGWFSFRRKTPLRPSMRESSVTRSLPSNPQLYLPIQNASSESLFAPSIFLLFTTPLQDQFIQTCQYRAFQYQSSNLCFNPLPIDIVNIGPAFRGHYGAFSPTSALPFLNCELRSSSAINECRNEENLIGMKRIAKDQSELDMCAEGMQIGRLNQLIGPEAVNYTAGLEDLYKKMLCKIESLARLVEASSAKVVEQENHNRKLRYKVARSAGLE >KJB37368 pep chromosome:Graimondii2_0_v6:6:45854807:45859173:-1 gene:B456_006G202100 transcript:KJB37368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTQVLLNAQSIDGTVRKNAEESLKQFQEQNLPGFLLSLSGELANEEKPVETRKLAGLILKNALDAKEEHRKFELVQRWLSLDGNAKSQIKASLLTTLSSIVSDARSTASQAIAKVAGIELPQKQWPELIGSLLSNVNQQPAHAKQATLETLGYLCEEVPPNVIDQDQVNKILTAVVQGMSALEVNTDVRLAATRALYNAIGFAQANFINDMERDYLMRVVCEATLSPESRIRQAAFECLVSISSTYYEKLAAYIQDIFNITVKAVREDEEPVALQAIEFWSSICDEEIDILEEFGVDFTGDSDITCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVSFVVPFIEENIQKPDWRQREAATYAFGSILEGPSPEKLIPLVNVALNFMLSALTKDPSSHVKDTTAWALGRIFEFLHGSAVGSTVITQENCQQLVTVLLQSMNDTPNVAEKACGALYFLAQGYEEVGPSSPLTPFFQEIVQSLLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAPLVLQLVPVIMMELHNTLEGQNLSSDGREKQSELQGLLCGCLQVIIQKLGSSEPTKYVLMQFADQVMGLFLRVFACRSATVHEEAMLAIGALAYATGADFAKYMPEFYRYLEMGLQNFEEYQVCAVTVGVVGDICRALEEKIVPYCDEIMTQLLKNLSSNQLNRSVKPPIFSCFGDIALAVGEYFEKYLMWAMSALQRAAELSTHVAGDDELTEYTNSLRNGILEAYSGIFQGFKSSAKTQLLIPYAPHILQFLDGIYMEKDMDDVVMKTAIGVLGDLADTLGSHAGSLIQQSLSSKDFLNECLSSEDLMIKESAEWAKLAISRAISV >KJB36860 pep chromosome:Graimondii2_0_v6:6:43851243:43854696:-1 gene:B456_006G181100 transcript:KJB36860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVKRVVDLCAAPGSWSQVLSRKLYLPSKQSPVSKDGELPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAAENSLQKYFVEKIQVFCIAS >KJB36861 pep chromosome:Graimondii2_0_v6:6:43851988:43854696:-1 gene:B456_006G181100 transcript:KJB36861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVKRVVDLCAAPGSWSQVLSRKLYLPSKQSPVSKDGELPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHILRPGGKFIAKIFRGKDTSLLYCQLKLFFPVVTFAKPKSSRNSSIGRNNMCRSYMYFSLAAASVCFFVSSLFRTY >KJB36859 pep chromosome:Graimondii2_0_v6:6:43851222:43854869:-1 gene:B456_006G181100 transcript:KJB36859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVKRVVDLCAAPGSWSQVLSRKLYLPSKQSPVSKDGELPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHILRPGGKFIAKIFRGKDTSLLYCQLKLFFPVVTFAKPKSSRNSSIEAFAVCENYSPPEGFNPKDLHRLLEMVGSPSGGDDLDCSSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPKVADGTYQSLDPVQPPIAPPYKRALEMKKTSSHGIRDLEKLSLDA >KJB38681 pep chromosome:Graimondii2_0_v6:6:50522060:50527039:-1 gene:B456_006G266700 transcript:KJB38681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKIIAICQSGGDFVTDKDGSLSYSGGEAFAIDINEQTSLSDFKSEIADMFSISSDNMSIKYFLPGNKKTLITISKDKDLQRMLSFLGDSLTVDVFVASDEAAARNVSNMRSRSSRTTVSEAVGVPIVAPANAALGMTDAIDDVDMYMPNETPIDCMPINIIEHHKAAQVWENTITGVDQRFNSFNEFREALHKYSIAHGFAYRYKKNDSHRVTVKCKAQGCSWRIYASRLSTTQLICIKKMNTMHTCGGAAVKAGYRATRGWVGSIIKEKLKISPNYRPKDIADDIKREYGIELNYSQAWRAKEIAREQLQGSFKEAYNLLPFFCEKIKETNPGSIVTFNTKDDSSFHRLFVSFHASISGFLQGCRPLIFLDSVSLNSKYQGNLFAATAADAEDGIFPVAFAVVDAEDEDNWTWFLRELKSAVPTSSQLTFVADFQNGLKQALADVFDKCYHSYCLQHLADKLNRELKGHLSHDARRFMISDFYSAARSPRLEGFQRDTELIRAISPEAYDWIIQSEPEHWANAFFGGARYNHLISTFGQQFYSWVSEAHEFPITQMIDELRGKMMEAIYKRRVDSSQWMTKLTPCNEEKLQKETAMARSFQVLLTQGSTFEVRGENPEVLAVVNIDHWDCSCKGWQLTGLPCSHAIAVIECIGRSPYDYCSQYFTTESFRLTYAESIHPVPNVDRPIEDELPEVTAVAVTVTPPPSKRPPGRPKKKQPESIDMMRRQLQCSKCKGLGHNKKTCKES >KJB38682 pep chromosome:Graimondii2_0_v6:6:50523683:50526857:-1 gene:B456_006G266700 transcript:KJB38682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKIIAICQSGGDFVTDKDGSLSYSGGEAFAIDINEQTSLSDFKSEIADMFSISSDNMSIKYFLPGNKKTLITISKDKDLQRMLSFLGDSLTVDVFVASDEAAARNVSNMRSRSSRTTVSEAVGVPIVAPANAALGMTDAIDDVDMYMPNETPIDCMPINIIEHHKAAQVWENTITGVDQRFNSFNEFREALHKYSIAHGFAYRYKKNDSHRVTVKCKAQGCSWRIYASRLSTTQLICIKKMNTMHTCGGAAVKAGYRATRGWVGSIIKEKLKISPNYRPKDIADDIKREYGIELNYSQAWRAKEIAREQLQGSFKEAYNLLPFFCEKIKETNPGSIVTFNTKDDSSFHRLFVSFHASISGFLQGCRPLIFLDSVSLNSKYQGNLFAATAADAEDGIFPVAFAVVDAEDEDNWTWFLRELKSAVPTSSQLTFVADFQNGLKQALADVFDKCYHSYCLQHLADKLNRELKGHLSHDARRFMISDFYSAARSPRLEGFQRDTELIRAISPEAYDWIIQSEPEHWANAFFGGARYNHLISTFGQQFYSWVSEAHEFPITQMIDELRGKMMEAIYKRRVDSSQWMTKLTPCNEEKLQKETAMARSFQVLLTQGSTFEVRGENPEVLAVVNIDHWDCSCKGWQLTGLPCSHAIAVIECIGRSPYDYCSQYFTTESFRLTYAESIHPVPNVDRPIEDELPEVTAVAVTVTPPPSKRPPGRPKKKQPESIDMMRRQLQCSKCKGLGHNKKTCKES >KJB37177 pep chromosome:Graimondii2_0_v6:6:44974745:44979024:1 gene:B456_006G192700 transcript:KJB37177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDSIQLPCGGDGACMRCKVTPPTEETLTCSICAAPWHVGCLASKPETLASTLQWHCPDCSGDPLLPPSVATDGSSSELFVAIKAIEADESLTEKEKARKRQELVSGRVEEDGGNGKGESSVLDVLDESLNCSFCMQLPDRPVTTPCGHNFCLKCFQKWIGQGKRTCAKCRSTVPPKMASQPRINSTLVSVIRMAKLSKSNAAAGPPKVFHFIHNQDRPDKAFTTERAQKAGKANAASGKIFVTVPPDHFGPITAENDPDRNQGVLVGECWEDRLECRQWGAHLPHVAGIAGQSNYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQKFEKMNEALRVSCKKGYPVRVVRSHKEKRSSYAPEKGVRYDGVYRIEKCWRKVGIQGFKVCRYLFVRCDNEPAPWTSDEHGDRPRPLPAISELKKATDIFERKESPLWDFDEEGSRWKWKKAPPPSKKPANAADLEEGKRTRKAIRHSRKLSTRERLLKEFGCLICQQVMSLPVTTPCAHNFCKSCFEAAFTGKTAIRERNKGGRTLRSQKNILNCPSCPTDISDFLQDLQVNRELMDVIESLKQKSEENEEPAEEEPMNGLEESTDLGSSDEKTGKKTENVDPKDDLENALPNCEEERTSKRRKVDTAQVGDDDL >KJB33224 pep chromosome:Graimondii2_0_v6:6:536207:541145:-1 gene:B456_006G002000 transcript:KJB33224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVLLTPKLRFSHLPTHFHFTKLRFCSSSSSSSSQFSPWSGLQSWRESPLNEDRFWGTSGPQPLSNTEVPNTPAALEASGSSLAELGSLVLSTSDPLTKSKLSHLAFSRWRNEGLPIGLCQPPSRPARPTNPQLVSPKEIPDPKNSGLPLNAYLLHNLAHVELNAIDLAWDTVVRFSPFYHILGDKFFADFAHVADDESRHFAWCSQRLAEIGFNYGDIPAHNLLWRECEKSSDNVAARMAAIPLVQEARGLDAGPRLVKKMVGFGDHRTSTIVARIAEEEIAHVAVGVYWFMFICHKMNRAPCSTFKELLQEYNLELKGPFNYSARDEAGIPREWYDSLSTNKQEREGNWKSSQQLSEVYDRLACIISMESENSSLNRPPE >KJB33611 pep chromosome:Graimondii2_0_v6:6:5567844:5570261:-1 gene:B456_006G021700 transcript:KJB33611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKCVFSLAILVSQISLLLTQNVNIVIQGATSIAETDDNFVCATWIGSLLKNATTINGCLPMERWDELNKFFNQTGWQSQNARDFMKYTISKGYKVDSYEFGHQLSRAGMGASIEAEQYGKDIVVLKNLVKELHLDPKTQPKVLGPSGYYDEKWFNSFLEVSRQEVVDGVTHHIYNLGPGDDPNMITKIQDPSYLNQVAQTYKGVLDIVNKFKPQSRAWVFKSGRALHGGAKDVSQTFANGFWYFDQLGMASTYNHKVFCRQTLIESNQNLRVYAHCAKKKPGISIIFINLSKDSSFNVTLSNYEHQSRNLRTTDVVKPNYEFRGYQNREEYHLAALAGNIQGQIVLLNDIQMVPTKTFDIPAIEPKLVNASTPISVAAYSIVYVTIRDFQAPLCA >KJB33661 pep chromosome:Graimondii2_0_v6:6:6318742:6321487:-1 gene:B456_006G024700 transcript:KJB33661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYTEIGKKARDLLYKDYQTDHKFTLTTSSPTGVAITSAGTKKGELFLADVNTQLKNKNVTTDIKVDTYSNLYTTITVDQPAPGLKAIFSFRVPDQRSGKVELQYLHEYAGISSSIGLTANPIVNLSGVIGTNVLALGTDLSFDTKTGNFTKCNAGLSFSNVDLIASLTLNEKGDSLNASYYHIVNPMTNTAVGAEVTHSFSTNENTITIGTQHALDPLTMVKARVNNAGNASALIQHEWRPRSLFTISGEVDTKSIDKSAKVGLALALKP >KJB38352 pep chromosome:Graimondii2_0_v6:6:49484090:49488413:1 gene:B456_006G251200 transcript:KJB38352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTLKDKVAEGLSRLFSDSSNHSTPSDLSQASLYSKGSSSLYSIFSYITPSVSSGGSRSKDHGSHLKPIESLPIRWKYRKLELQDEPLDSYEDLIIPFTNEKLVRVHEVKKKISEDSDNKQTISPRGEDKDRTSERSDSDEFQDTREQRSSIKPPLNLSDESVFITCDLYEFLVSSLPNIVKGCQWMLLYSTLKHGISLRTLIRKSAELPGPCLLITGDRKGAVFGAMLECPLKPTLKRKYQGTNQTFVFTTVYGEPRLFRPTGANRYYYICLNDLLAVGGGGNFALCLDGELLTGSSGPSETFGNLCLAHNRDFDLNNVELWGFTHASPYASIESN >KJB38353 pep chromosome:Graimondii2_0_v6:6:49484407:49487001:1 gene:B456_006G251200 transcript:KJB38353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTLKDKVAEGLSRLFSDSSNHSTPSDLSQASLYSKGSSSLYSIFSYITPSVSSGGSRSKDHGSHLKPIESLPIRWKYRKLELQDEPLDSYEDLIIPFTNEKLVRVHEVKKKISEDSDNKQTISPRGEDKDRTSERSDSDEFQDTREQRSSIKPPLNLSDESVFITCDLYEFLVSSLPNIVKGCQWMLLYSTLKHGISLRTLIRKSAELPGPCLLITGDRKGAVFGAMLECPLKPTLKRKYQGTNQTFVFTTVYGEPRLFRPTGANRYYYICLNDLLAVGGGGNFALCLDGEL >KJB38354 pep chromosome:Graimondii2_0_v6:6:49484258:49487262:1 gene:B456_006G251200 transcript:KJB38354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTLKDKVAEGLSRLFSDSSNHSTPSDLSQASLYSKGSSSLYSIFSYITPSVSSGGSRSKDHGSHLKPIESLPIRWKYRKLELQDEPLDSYEDLIIPFTNEKLVRVHEVKKKISEDSDNKQTISPRGEDKDRTSERSDSDEFQDTREQRSSIKPPLNLSDESVFITCDLYEFLVSSLPNIVKGCQWMLLYSTLKHGISLRTLIRKSAELPGPCLLITGDRKGAVFGAMLECPLKPTLKRKYQGTNQTFVFTTVYGEPRLFRPTGANRYYYICLNDLLAVGGGGNFALCLDGELLTGSSGPSETFGNLCLAHNRDFDLNNVEVNLCSFDPFFNNILLVI >KJB38826 pep chromosome:Graimondii2_0_v6:6:50966954:50970970:1 gene:B456_006G273700 transcript:KJB38826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLSKNQNSSAQLLADSAAAERRLREAEERLREAIEELQRRQRTAASGDQPPCDHADDSCVAHAIGNLCQSFLLSYGVRVGVGILLRAFKLARGQSYSSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCSLRKLRKKETPVNAILAGSIAGLSVLAIDDSNRRRTLALYLLARVAQCAYNSAKSKNKFHLWGSHWRHGDSLLFALACAQVMYAFVMRPESLPNSYQDFIHKTGPVAAPVYKAVRENCRGGPVDVASISAYLYSLGKSDNLKLEKFPSIIPCSVIHPDTNSCLAHNAKASSTTFRKTFPLYFSLTFVPFVVLHLQQFMKTPSRTCWLAVKDAVQSTSFLAAFVGIFQGVICMHRKIASKDHKLVYWVAGALSALSVLLVKKSRRSELALYVLPRATESLWYISVNRHLLPDVKNSEVALFCACMGGIMYYLEYEPDSIAPFLKGLIRRFLSSRISNPGSSFDRTASYTYLQTLDAMKKPKPEDNPELDTSAPKQYSLESIQGL >KJB35637 pep chromosome:Graimondii2_0_v6:6:37363723:37366140:-1 gene:B456_006G122300 transcript:KJB35637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKKQEPGNQMDATNDHRFNIKNIMKDIQFLGSSHMTWQEKKEMENRKVVSLGGKPPKRQRLPLSVAKAVMKNQKKREEKMLQENMILGRFRGKLGGGSGTKGSTDKRRPEDRVLKSSEGHFKNGVLDVKHLFHKSPAKDNDFDGHPVNKGKKKKGSGKKNGGKKKGGGRGGKKRH >KJB35638 pep chromosome:Graimondii2_0_v6:6:37363736:37366097:-1 gene:B456_006G122300 transcript:KJB35638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKKQEPGNQMDATNDHRFNIKNIMKDIQFLGSSHMTWQEKKEMENRKVVSLGGKQPPKRQRLPLSVAKAVMKNQKKREEKMLQENMILGRFRGKLGGGSGTKGSTDKRRPEDRVLKSSEGHFKNGVLDVKHLFHKSPAKDNDFDGHPVNKGKKKKGSGKKNGGKKKGGGRGGKKRH >KJB38346 pep chromosome:Graimondii2_0_v6:6:49459387:49464021:1 gene:B456_006G250800 transcript:KJB38346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLASPSPTSSFIRNKKDLGVSAFPSTSQIAIQKCKKQASKKIVSVMAPQQSERKPATTGSVKTGMTMTEKILAKASEKPRLSPGDNVWVNVDILMTHDVCGPGSIGIFKKEFGQNAKVWDREKIVIIPDHYIFTSDERANRNVDILRDFCTEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKLLLKVPPTLRFVMDGEMPPYLLAKDLILQIIGEISVAGATYKAMEFVGTTVESLNMEERMTLCNMVVEAGGKNGVVPADSTTFKYLEGKTSLPYEPVYSDAQASYLSEYRFDISKLEPLVAKPHSPDNRALARECKDVKVDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWLDLYALPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARMNEPKVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPREFLQ >KJB36440 pep chromosome:Graimondii2_0_v6:6:41805431:41807947:-1 gene:B456_006G158700 transcript:KJB36440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKLSTMSMSLGNSDTNSPHLPLGSLVLSQVMNMDLERNLDNAATGEGATISTTATAVPGPSGGGVDSLGKKRRGRPRKYDADGNLRLPYKLVTTSPTDFSSSKRGRGRPPASSNCHLLASIVELFASTAGGDFTPHVVTVNPGEDVAGKILSFSQKGPRGICVLSANGAVSTVCIRQPGSSGGILTYEGRFEILSLTGSFTDSDRGGGKSKTGGLSVSLAGPDGRVIGGGLAGSLVAASPIQVVVGSFMPNGYKVHKKKYQAEHTVGTAPSATATAARPISQAEPDGDLKFCMTPTSPFRKESNGEPNHTTMDKHTNVGSSHAVSWNGLELTSIHRPYPDINVSLTSE >KJB36678 pep chromosome:Graimondii2_0_v6:6:43007395:43011812:1 gene:B456_006G170500 transcript:KJB36678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFIGSPGTVSGLMLRIYQCAFAAASIAVMVSASDFSSYTAFCYLIASMGLQLLWSFGLACLDVYALRRKRDLQNPVLVSLFVVGDWVTAMLSLAAACSSAGVIVLYARDLDFCRVQTRLPCNQFEISIILAFITWVLVAMSSHVMFWILASF >KJB36679 pep chromosome:Graimondii2_0_v6:6:43007046:43010836:1 gene:B456_006G170500 transcript:KJB36679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFIGSPGTVSGLMLRIYQCAFAAASIAVMVSASDFSSYTAFCYLIASMGLQLLWSFGLACLDVYALRRKRDLQNPVLVSLFVVGDWVTAMLSLAAACSSAGVIVLYARDLDFCRVQTRLPCNQFEISIILAFITWVLVAMSSHVMFWILASF >KJB37511 pep chromosome:Graimondii2_0_v6:6:46305006:46311226:-1 gene:B456_006G207900 transcript:KJB37511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHRFRESIKSFFGHHVDPEKDEELKGSKIEIDEKVATILKLINDEEVEENGVPIANSKKEPLVQLIEDFHKHYQNLYAHYDHLTGELRKKAHGKGEKDASSSSSSDSDSDGYSKDGGSKNGHLERELQAIAEGIKQELETANLEIADLKQKLTDTIEEKDALNSDYLASLSKVREAEKIITNLMLDSERSESEKSKLVAENEELRHKLDAAAKMEAELNQRSEELYRENNNLILEKETAVKRIEDGEKFTEDLRREVSLLKEENISLKQELDTVRGEVSDMQQKLESSEQRVSELSRSLNATVEENNSLNLKLSEVSNEIQLAQGTIQQLMVEMSQSKEELGEKERELLTLQELHEVHRNQSSAQLKELEAQVTSLELELEQLRATNREHVLQIENKASEVKRLGEVNIGLQSQISELEMMSKKREEELLTLAKKFEDNEKESLSRVENLIVQINNLLADMESLRTQKAQLEEHIAVKDDEASTQVKSLMDQINNLQQELESLQSQKAELEVQLESKTRAISDHVIKIENAKEEIASKTEDQQRVLQEKEGLLAQMKELEFDVISLKNQKGELEEDLRTKIKENGQLREEIVSLQGQTIELEKTLAERGLEFNALQEKHASLENETSSQLTALVAQVNDLQQQLDSIQTQRNELELQLERVKTEFEHEKSELESQISNQQRMLTEQGEAYKKLGEEYKEVEGLYQECKASLEIAERKTEEMSEEFCTNLESKSQIAADLKQMVEHLQRDLEAKVDEKNDLVNQITDHQRMLKEQEDAFNKLSNEYKQLETSFQECKAIIEITEWKMQEMAGEHNTNIQSKDEIVAGLEETIEDLKRDIEIKGDELSTLVENVRTIEVKLRLSNQKLRVTEQLLTKNEESYRNAEAKYLEEQRLLEERISALSGIIAANNEAYCKMITDISENVNITLTGFEAVIQKFEEKCRSYKECIEETSKELRIAKHWAEETKSEKKRLRNEVTNLIEQLNYQKEQESTQREQVEKLRIKANKEEVEKENLLKAMNQLEKKMEVLETAMKEKDQGILGLGEEKREVIRQLCLWIDYHRSRCDDLKEIISKSVRVQRAA >KJB37510 pep chromosome:Graimondii2_0_v6:6:46304995:46311752:-1 gene:B456_006G207900 transcript:KJB37510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHRFRESIKSFFGHHVDPEKDEELKGSKIEIDEKVATILKLINDEEVEENGVPIANSKKEPLVQLIEDFHKHYQNLYAHYDHLTGELRKKAHGKGEKDASSSSSSDSDSDGYSKDGGSKNGHLERELQAIAEGIKQELETANLEIADLKQKLTDTIEEKDALNSDYLASLSKVREAEKIITNLMLDSERSESEKSKLVAENEELRHKLDAAAKMEAELNQRSEELYRENNNLILEKETAVKRIEDGEKFTEDLRREVSLLKEENISLKQELDTVRGEVSDMQQKLESSEQRVSELSRSLNATVEENNSLNLKLSEVSNEIQLAQGTIQQLMVEMSQSKEELGEKERELLTLQELHEVHRNQSSAQLKELEAQVTSLELELEQLRATNREHVLQIENKASEVKRLGEVNIGLQSQISELEMMSKKREEELLTLAKKFEDNEKESLSRVENLIVQINNLLADMESLRTQKAQLEEHIAVKDDEASTQVKSLMDQINNLQQELESLQSQKAELEVQLESKTRAISDHVIKIENAKEEIASKTEDQQRVLQEKEGLLAQMKELEFDVNSLKNQKGELEEDLRTKIKENGQLREESLGLRSQISELEMISKQRQEELLALTKKFEDNEKESLSRVENLTVQINNLLADMESLRTQKAQLEEHIVVKGDEASTQVRGLMDQINTLQQELESLHSQKAELEVQLERKTQAISNHVIEIEKAKEEIVSRTEDQQRVLQEKEGLLAQMKELELEFISLKNQKGELEEDLITKIEENGQLREENMGLQYQISELERVLKTRQEEFFTLTKKFEDNETESLSRVENLTVQINNLLGDMESLRTEKAQLEEHIVVKGDEASNQVKSLMDEINTLQQKLESMHSQKAEVEVQLERKTQAISDHMIEIEKAKEEIVSKTEDQQRVLQEKEGLLAQMKELELEVISLKNQKGELEEDLRTKIKENGQLREEIVSLQGQTIELEKTLAERGLEFNALQEKHASLENETSSQLTALVAQVNDLQQQLDSIQTQRNELELQLERVKTEFEHEKSELESQISNQQRMLTEQGEAYKKLGEEYKEVEGLYQECKASLEIAERKTEEMSEEFCTNLESKSQIAADLKQMVEHLQRDLEAKVDEKNDLVNQITDHQRMLKEQEDAFNKLSNEYKQLETSFQECKAIIEITEWKMQEMAGEHNTNIQSKDEIVAGLEETIEDLKRDIEIKGDELSTLVENVRTIEVKLRLSNQKLRVTEQLLTKNEESYRNAEAKYLEEQRLLEERISALSGIIAANNEAYCKMITDISENVNITLTGFEAVIQKFEEKCRSYKECIEETSKELRIAKHWAEETKSEKKRLRNEVTNLIEQLNYQKEQESTQREQVEKLRIKANKEEVEKENLLKAMNQLEKKMEVLETAMKEKDQGILGLGEEKREVIRQLCLWIDYHRSRCDDLKEIISKSVRVQRAA >KJB34581 pep chromosome:Graimondii2_0_v6:6:29421416:29421996:-1 gene:B456_006G073700 transcript:KJB34581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICFWYILSLSLSYVCMKPLCLAQNGEALLNVDGKTYLVVDVIQTGDGFVADTDLETLVLPNGFCQT >KJB34894 pep chromosome:Graimondii2_0_v6:6:32470306:32471721:1 gene:B456_006G088900 transcript:KJB34894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVEMRVHMDCKGCVAKIKKALGKLKGVDSIEIDMENQKVSVMGWAASDHMKILKTVRKTGRRAELWPYPFNPQNQFYYEYCQTDSKGPPWPSSYNYYRHGYDGHNHGYYQPPPYETLISERASSIFSDENPNACSIM >KJB38341 pep chromosome:Graimondii2_0_v6:6:49450169:49453363:-1 gene:B456_006G250500 transcript:KJB38341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPYSLGPPPNRRLDGCNRITSLFPSVSITDIGCNRHSEFEAENRDPPSIPNFIFRFLPSSSPDVSQFFPFQISTSSSGEEPCYAGVPMSSIVEQGLGVGDVISLLWFKRSLPRYCTKFIEICIMLCADHGPCASGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDVYDGGLTPYEFVEGMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTNFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYHHPWEDVLYTK >KJB36052 pep chromosome:Graimondii2_0_v6:6:39488656:39495968:-1 gene:B456_006G138400 transcript:KJB36052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVQKWKCSWSMVATVASIIVLVSVVHLFLFPVVPSLDYFSARQVQYKCVPINSSLEQSTDHVWEKKPQGLNLDLRFPSDLHNGVVYRNAPWKAEIGRWLSGCDAIATEVNIVETLGGRRCKSDCSGQGVCNHELGQCRCFHGFSGEACSERLNLSCNYPGTPELPYGRWVVSICSAHCDTTRAMCFCGEGTKYPNRPVAEACGFQMNLPSEPEGAKLTDWAKADLDNIFTTNGSKPGWCNVDPAAAYDSKVLFKEECDCKYDGLWGRFCEVPVESVCINQCSGNGHCRGGFCQCYNGWYGTDCSIPSVVSPIGEWPKWLKPAHLDIPSNEVTGRLVNLNAAVEKKRPLIYVYDLPPEFNSLLLEGRHFKFECVNRIYDERNATLWTEQLYGSQMAMYESILASPHRTLNGEEADFFFVPVLDACIITRADDAPHLSLENHTGLRSSLTLEFYEKAYEHIIEKYPYWNRSAGKDHIWSFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDKIPSDRRGNHSCFDPAKDLVLPAWKRPDVTSLSAKLWSRPRENRKTLFYFNGNLGPAYTSGRPEATYSMGIRQKLADEFGSTPNKEGKLGKQYAEDVVVTPLRSENYHEDIANSTFCAVLPGDGWSGRMEDSILQGCIPVVIQDGIFLPYENMLNYESFAVRIREDEIPNLIKILRNINETEIEFKLTNVQKIWQRFLYRDSILLEAERQQTGFGRVEDWAVEFLQQSDDDVFTTFLQVLHYKLHNDPWRLELAHLKKDYGLPPECLIRIK >KJB36053 pep chromosome:Graimondii2_0_v6:6:39490221:39495968:-1 gene:B456_006G138400 transcript:KJB36053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVQKWKCSWSMVATVASIIVLVSVVHLFLFPVVPSLDYFSARQVQYKCVPINSSLEQSTDHVWEKKPQGLNLDLRFPSDLHNGVVYRNAPWKAEIGRWLSGCDAIATEVNIVETLGGRRCKSDCSGQGVCNHELGQCRCFHGFSGEACSERLNLSCNYPGTPELPYGRWVVSICSAHCDTTRAMCFCGEGTKYPNRPVAEACGFQMNLPSEPEGAKLTDWAKADLDNIFTTNGSKPGWCNVDPAAAYDSKVLFKEECDCKYDGLWGRFCEVPVESVCINQCSGNGHCRGGFCQCYNGWYGTDCSIPSVVSPIGEWPKWLKPAHLDIPSNEVTGRLVNLNAAVEKKRPLIYVYDLPPEFNSLLLEGRHFKFECVNRIYDERNATLWTEQLYGSQMAMYESILASPHRTLNGEEADFFFVPVLDACIITRADDAPHLSLENHTGLRSSLTLEFYEKAYEHIIEKYPYWNRSAGKDHIWSFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDKIPSDRRGNHSCFDPAKDLVLPAWKRPDVTSLSAKLWSRPRENRKTLFYFNGNLGPAYTSGRPEATYSMGIRQKLADEFGSTPNKEGKLGKQYAEDVVVTPLRSENYHEDIANSTFCAVLPGDGWSGRMEDSILQGCIPVVIQVQY >KJB37172 pep chromosome:Graimondii2_0_v6:6:44954631:44956589:-1 gene:B456_006G192500 transcript:KJB37172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRDGSVIYGNNEEGMRRVRAFKEGKLRIGGDGLLEHDEKWIPISGDVRNCWAGFSLLQALFIREHNAVCDMLKEHYPDLDDEKLYRYARLVTSAVIAKIHTIDWTVELWDEGQLRFKDLFGHICGPVLSGLVGLRKPNDHGVPYSLTEEFSSVYKMHSLLPDKVILRDITNSANPNYECPPVSQEVPMTEMTGKEGERRMSKIGMEQMLVSMGHQASGAVTLWNYPSWMRNLIPHDINGEEVPDPVDMAALEIYRGRERGVARYNEFRRNLLMIPISKWEDLTDDCEVIEALIEVYGEDVEKLDLLVGLQAEKKIKRFAISETAFFIFLLIASRRLEADRFFTTNFNPKTYTEKGLEWVNKTEPLKDVIDRHFPDMTAKWMRCSSAFSVWSAPPDKTNYIPLYLRPAT >KJB37174 pep chromosome:Graimondii2_0_v6:6:44954631:44959032:-1 gene:B456_006G192500 transcript:KJB37174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGFLNTRTPWWDGSVIYGNNEEGMRRVRAFKEGKLRIGGDGLLEHDEKWIPISGDVRNCWAGFSLLQALFIREHNAVCDMLKEHYPDLDDEKLYRYARLVTSAVIAKIHTIDWTVELWDEGQLRFKDLFGHICGPVLSGLVGLRKPNDHGVPYSLTEEFSSVYKMHSLLPDKVILRDITNSANPNYECPPVSQEVPMTEMTGKEGERRMSKIGMEQMLVSMGHQASGAVTLWNYPSWMRNLIPHDINGEEVPDPVDMAALEIYRGRERGVARYNEFRRNLLMIPISKWEDLTDDCEVIEALIEVYGEDVEKLDLLVGLQAEKKIKRFAISETAFFIFLLIASRRLEADRFFTTNFNPKTYTEKGLEWVNKTEPLKDVIDRHFPDMTAKWMRCSSAFSVWSAPPDKTNYIPLYLRPAT >KJB37173 pep chromosome:Graimondii2_0_v6:6:44954631:44959097:-1 gene:B456_006G192500 transcript:KJB37173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFDTFLFYVIHFVDKFGAWHRLPVLIGLWYMGIRRHLHQRYNLMEVGSGSKSGSATGRTYETKVFCYRTADGKCNHPSNHVIGSRGTFFGRNMPPSSSNYGLMDPHPSVVATKLLARKKFIDTGEQFNMIATSWIQFMIHDWIDHLAPHEIASACPLQSFKFFKTKRISTGEPDMKFGFLNTRTPWWDGSVIYGNNEEGMRRVRAFKEGKLRIGGDGLLEHDEKWIPISGDVRNCWAGFSLLQALFIREHNAVCDMLKEHYPDLDDEKLYRYARLVTSAVIAKIHTIDWTVELWDEGQLRFKDLFGHICGPVLSGLVGLRKPNDHGVPYSLTEEFSSVYKMHSLLPDKVILRDITNSANPNYECPPVSQEVPMTEMTGKEGERRMSKIGMEQMLVSMGHQASGAVTLWNYPSWMRNLIPHDINGEEVPDPVDMAALEIYRGRERGVARYNEFRRNLLMIPISKWEDLTDDCEVIEALIEVYGEDVEKLDLLVGLQAEKKIKRFAISETAFFIFLLIASRRLEADRFFTTNFNPKTYTEKGLEWVNKTEPLKDVIDRHFPDMTAKWMRCSSAFSVWSAPPDKTNYIPLYLRPAT >KJB33914 pep chromosome:Graimondii2_0_v6:6:10732796:10734073:-1 gene:B456_006G038500 transcript:KJB33914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILNRLPRKPSKSSDNREGGGTSTSSSNASTSSRSSDLVSNRHANSSSSSFSSSSSPNSGLNQGNKFSQVVNTKLNGNMVTTSFEALPSFRDVPNSEKQNLLIRKLNLCCIVFDFTDPTKNLKEKDLKRQTLLELVDYVSSANGKFSEIVMQEIVKMVSVNLFRALTSPPRENKVLEAFDLEEEEPSMDPAWPHLQVVYEFLLRFVASPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPAEFQRCMVPLCRQIGRCLSSSHFQVGISSFLYLQLLLLFRMNVP >KJB33915 pep chromosome:Graimondii2_0_v6:6:10731901:10734986:-1 gene:B456_006G038500 transcript:KJB33915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILNRLPRKPSKSSDNREGGGTSTSSSNASTSSRSSDLVSNRHANSSSSSFSSSSSPNSGLNQGNKFSQVVNTKLNGNMVTTSFEALPSFRDVPNSEKQNLLIRKLNLCCIVFDFTDPTKNLKEKDLKRQTLLELVDYVSSANGKFSEIVMQEIVKMVSVNLFRALTSPPRENKVLEAFDLEEEEPSMDPAWPHLQVVYEFLLRFVASPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPAEFQRCMVPLCRQIGRCLSSSHFQVAERALFLWNNDHIESLIRQNRKVILPIIFPSLEKNARNHWNQAVQSLTLNVRKIFSATDPELFEECLHKFKEDEAQENEVKSKREATWKRLEEIAAMKAASNEPVLISPKATTRKPSG >KJB33916 pep chromosome:Graimondii2_0_v6:6:10731901:10735444:-1 gene:B456_006G038500 transcript:KJB33916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILNRLPRKPSKSSDNREGGGTSTSSSNASTSSRSSDLVSNRHANSSSSSFSSSSSPNSGLNQGNKFSQVVNTKLNGNMVTTSFEALPSFRDVPNSEKQNLLIRKLNLCCIVFDFTDPTKNLKEKDLKRQTLLELVDYVSSANGKFSEIVMQEIVKMVSVNLFRALTSPPRENKVLEAFDLEEEEPSMDPAWPHLQVVYEFLLRFVASPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPAEFQRCMVPLCRQIGRCLSSSHFQVAERALFLWNNDHIESLIRQNRKVILPIIFPSLEKNARNHWNQAVQSLTLNVRKIFSATDPELFEECLHKFKEDEAQENEVKSKREATWKRLEEIAAMKAASNEPVLISPKATTRKPSG >KJB34390 pep chromosome:Graimondii2_0_v6:6:23493106:23493938:1 gene:B456_006G063600 transcript:KJB34390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELRPIHQRSSVTSFLRKIKAHTPDSAQLLGLLALFIFASILIILTGLTVTATILGFIFFMPLILVSSPVWFPVGTVLFVTIAGFLSACSFGAVAVAGLSWTYRYFNGMLPAGSDKVANVRRRIYNTNTYVKHYAMEYGVKMIA >KJB34493 pep chromosome:Graimondii2_0_v6:6:27798718:27799475:1 gene:B456_006G0686002 transcript:KJB34493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPYSLGSPPNRRLVGCSPITPLFPSVAITDIGCNSHSKFEAGNRDSPSIPNFIFLFLPHPLLTFPNFFPSRFLLLLLNSPSGKLVQIEHALTAVGSGQTSLRIKAANGVVIATEKKLPSILVNETS >KJB35719 pep chromosome:Graimondii2_0_v6:6:37691809:37692465:-1 gene:B456_006G1253002 transcript:KJB35719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEIPKFVDTVTPQYKPKFDALLVELKEAEEKSLKESERLEKEIVEVQELKVINKTMPKCVLLCSKSQLLNFLPFFMYSKRSAP >KJB35723 pep chromosome:Graimondii2_0_v6:6:37913714:37917614:1 gene:B456_006G126700 transcript:KJB35723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRLANSWRLTVNDKNFIKTALLSEIRIDGRKPFEYRKISIKFGREDGSSEVQLGQTRVMGMVTAQLVQPYRDRPKEGILSIFTEFSPMADPSFEPGRPGELAVELGRIVDRGLRNLVDAANIAALAALLTFRRPECSLGGEDGQEVIVHPPEIREPLPLTVHHLPIAISFGFFSNESILVIDPTHNEEAVMGGRMTTTVNANGDICAIQKSGGEGVPRRVIMQCLQLATSKAASITKQIKDAVEVFNTERALRKIKRHPTSTGDDVREKQN >KJB35721 pep chromosome:Graimondii2_0_v6:6:37913714:37917614:1 gene:B456_006G126700 transcript:KJB35721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRLANSWRLTVNDKNFIKTALLSEIRIDGRKPFEYRKISIKFGREDGSSEVQLGQTRVMGMVTAQLVQPYRDRPKEGILSIFTEFSPMADPSFEPGRPGELAVELGRIVDRGLRESRAVDTESLCILAGKFVWAIRIDLHILDNGGNLVDAANIAALAALLTFRRPECSLGGEDGQEVIVHPPEIREPLPLTVHHLPIAISFGFFSNESILVIDPTHNEEAVMGGRMTTTVNANGDICAIQKSGGEGVPRRVIMQCLQLATSKAASITKQIKDAVEVFNTERALRKIKRHPTSTGDDVREKQN >KJB35724 pep chromosome:Graimondii2_0_v6:6:37913646:37917654:1 gene:B456_006G126700 transcript:KJB35724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRLANSWRLTVNDKNFIKTALLSEIRIDGRKPFEYRKISIKFGREDGSSEVQLGQTRVMGMVTAQLVQPYRDRPKEGILSIFTEFSPMADPSFEPGRPGELAVELGRIVDRGLRESRAVDTESLCILAGKFVWAIRIDLHILDNGGNLVDAANIAALAALLTFRRPECSLGGEDGQEVIVHPPEIREPLPLTVHHLPIAISFGFFSNESILVIDPTHNEEAVMGGRMTTTVNANGDICAIQKSGGEGVPRRVIMQCLQLATSKAASITKQIKDAVEVFNTERALRKIKRHPTSTGDDVREKQN >KJB35722 pep chromosome:Graimondii2_0_v6:6:37913714:37917614:1 gene:B456_006G126700 transcript:KJB35722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVTAQLVQPYRDRPKEGILSIFTEFSPMADPSFEPGRPGELAVELGRIVDRGLRESRAVDTESLCILAGKFVWAIRIDLHILDNGGNLVDAANIAALAALLTFRRPECSLGGEDGQEVIVHPPEIREPLPLTVHHLPIAISFGFFSNESILVIDPTHNEEAVMGGRMTTTVNANGDICAIQKSGGEGVPRRVIMQCLQLATSKAASITKQIKDAVEVFNTERALRKIKRHPTSTGDDVREKQN >KJB36881 pep chromosome:Graimondii2_0_v6:6:43774831:43780120:1 gene:B456_006G180300 transcript:KJB36881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLEIRVFWILFLLISRSGFSLGEDSNNKFRQRKATDDELGYPDMDEDASLNTQCPRNLELRWQTEVSSSIYATPLIADINSDGKLDIVVPSFLHYLEVLEGSDGDKMPGWPAFHQSTVHSSPLLYDIDKDGVREIALATYNGEVLFFRVSGYLMTDKLEVPRRKVRKDWHVGLHPDPVDRSHPDVDDDLLIQEAAKMNAVNQTNKTIPESNLAEPTLIGNHSSKVNLSEAVNEKKTNGSQIEDTIKLPTSVDNPSGNTGSVGSNETHTKTSSGRRLLEDDTSKGSQEGSSDSKENAKEATVENDQGLEADADSSFDLFRDSDELADEYNYDYDDYVDESMWGDEEWVEGQHEKLEDYVNIDSHILSTPVIADIDNDGVSEMIVAVSYFFDHEYYDNPEHMKELGDIDIGKYVAGGIVVFNLDTKQVKWTKDLDLSTDTADFHAHIYSSPNVVDLDGDGNLDILVGTSFGLFYVLDHHGNVREKFPLEMAEIQSAVIAADINDDGKIELVTTDTHGNAAAWTAQGKEIWQVHVKSLIPQGPAVGDVDGDGHTDVVIPTLSGNIYVLSGKDGSVVRPYPYRTHGRVMNQVLLVDLSKRGEKSKGLTIVTTSFDGYLYLIDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPAPHHPLKAWRSNNQGRNNVANRYNREGVYVTHSSRAFRDEEGKSFWVEIEIVDKHRYPSGFQAPYNVTVSRHFTHKSLKVIQTTLLVPGNYQGERRIKQSQIFERPGKYRIKLPTVGVRTTGTVVVEMVDKNGLYFSDDFSLTFHMYYYKLLKWLLVIPMLGMFAVLVIFRPQEAMPLPSFSRNTDL >KJB36880 pep chromosome:Graimondii2_0_v6:6:43774796:43780246:1 gene:B456_006G180300 transcript:KJB36880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLEIRVFWILFLLISRSGFSLGEDSNNKFRQRKATDDELGYPDMDEDASLNTQCPRNLELRWQTEVSSSIYATPLIADINSDGKLDIVVPSFLHYLEVLEGSDGDKMPGWPAFHQSTVHSSPLLYDIDKDGVREIALATYNGEVLFFRVSGYLMTDKLEVPRRKVRKDWHVGLHPDPVDRSHPDVDDDLLIQEAAKMNAVNQTNKTIPESNLAEPTLIGNHSSKVNLSEAVNEKKTNGSQIEDTIKLPTSVDNPSGNTGSVGSNETHTKTSSGRRLLEDDTSKGSQEGSSDSKENAKEATVENDQGLEADADSSFDLFRDSDELADEYNYDYDDYVDESMWGDEEWVEGQHEKLEDYVNIDSHILSTPVIADIDNDGVSEMIVAVSYFFDHEYYDNPEHMKELGDIDIGKYVAGGIVVFNLDTKQVKWTKDLDLSTDTADFHAHIYSSPNVVDLDGDGNLDILVGTSFGLFYVLDHHGNVREKFPLEMAEIQSAVIAADINDDGKIELVTTDTHGNAAAWTAQGKEIWQVHVKSLIPQGPAVGDVDGDGHTDVVIPTLSGNIYVLSGKDGSVVRPYPYRTHGRVMNQVLLVDLSKRGEKSKGLTIVTTSFDGYLYLIDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPAPHHPLKAWRSNNQGRNNVANRYNREGVYVTHSSRAFRDEEGKSFWVEIEIVDKHRYPSGFQAPYNVTTTLLVPGNYQGERRIKQSQIFERPGKYRIKLPTVGVRTTGTVVVEMVDKNGLYFSDDFSLTFHMYYYKLLKWLLVIPMLGMFAVLVIFRPQEAMPLPSFSRNTDL >KJB33998 pep chromosome:Graimondii2_0_v6:6:12258576:12259520:-1 gene:B456_006G042800 transcript:KJB33998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGSERKLVMLLATGLSPSRVRHFTASPSSTTLLLLSHNPVFTMHYHIALFRLSSRVGDKRTRTVDIRHKDSCGSGGSCYRKTRNGGELSPSDSLVLRMLVLRMSDCPSLTLIAQPESGQLMHSTY >KJB38515 pep chromosome:Graimondii2_0_v6:6:50005310:50007333:1 gene:B456_006G258900 transcript:KJB38515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKITVTETWLRKHRHLYDGATRHPFIRSIRDGNIDISAFKTWLDYIFVRAFVPFVASVLTKAYKGSDDGNGDVEVILGGVAALHDEISWFKKEAFKWGVQLSSIAPQKANQEYCRFLESLISPEVEYTVTAVAFWAIETIYQESFAHCLEDDSKTPPELKETCQRWGNESFGEYCNSLRNIVDRQLEKASDDVITKAEATLLRVLEHEVDFWNMSHRRT >KJB38514 pep chromosome:Graimondii2_0_v6:6:50005273:50007333:1 gene:B456_006G258900 transcript:KJB38514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKITVTETWLRKHRHLYDGATRHPFIRSIRDGNIDISAFKTWLGQDYIFVRAFVPFVASVLTKAYKGSDDGNGDVEVILGGVAALHDEISWFKKEAFKWGVQLSSIAPQKANQEYCRFLESLISPEVEYTVTAVAFWAIETIYQESFAHCLEDDSKTPPELKETCQRWGNESFGEYCNSLRNIVDRQLEKASDDVITKAEATLLRVLEHEVDFWNMSHRRT >KJB38675 pep chromosome:Graimondii2_0_v6:6:50509947:50511816:1 gene:B456_006G266400 transcript:KJB38675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPSSSSGKALDFEAASKSAVASVESLKFKPQDDIAGGVQVTSFSEIVDEVTLHFQIIRLAKQIYVWIGSTSANLGNLYAAAPTRPNNTVSVTSILGGVSDNTGSGIARRLGLSSILPTF >KJB38673 pep chromosome:Graimondii2_0_v6:6:50509826:50511848:1 gene:B456_006G266400 transcript:KJB38673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPSSSSGKALDFEAASKSAVASVESLKFKPQDDIAGGVQVTSFSEIVDEVTLHFQIIRLAKQIYVWIGSTSANLGNLYAAAPTRPNNTVSVTSILGGVSDNTGSGIARRLVLKTGLNIIVACNIPKNNPMLEANAEKKLIEKLIALGHSRPKSQSSGPGLSSS >KJB38674 pep chromosome:Graimondii2_0_v6:6:50509933:50511824:1 gene:B456_006G266400 transcript:KJB38674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPSSSSGKALDFEAASKSAVASVESLKFKPQDDIAGGVQVTSFSEIVDEVTLHFQIIRLAKQNNTVSVTSILGGVSDNTGSGIARRLVLKTGLNIIVACNIPKNNPMLEANAEKKLIEKLIALGHSRPKSQSSGPGLSSS >KJB36720 pep chromosome:Graimondii2_0_v6:6:43208810:43211660:1 gene:B456_006G172900 transcript:KJB36720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSVRKLSHCCSCNGGSLEMMMTSHVMPHPSEDFVGHSFCYVRPDPTPLSSSENHSSSSYSSTTTTTTTFHSISGASVSANLTTVPLAAASSTLPDNRSSTFKSSELVAGLTLQPVPRGNPHCWSGPMDPGFLSGPIINFNKTLSNGGFKSKKKGWLFTKSFKRTISDKMLKFFVSDKQCSSALETKTNNNDPSSRGTWSVDDDDDGDGYRFSMKSQNLQWAQGKAGEDRTHLVVSGEEGWIFVGIYDGFSGPDAPDHLLSNLFDAVLEELKRLLWNDDKAESDEDMGLGSFEGKQICGKSSERFEHSMNSPMETFQHSGVLKALSEGLNKTEETYLKMANLKPELHAMGSCVLVMLMNGEDVYLMNVGDSRAILALKTGSSNLIPLQLTTDHNVDVEEEVERIRQEHPDDDEAVVNERVKGYLKVTRAFGAGFLKQPRWNDALYDIFRINYVGTSPYVSSSPSLYHYKLSPDDKFLVLSSDGLYQYFTNQQVVSEIEWFIASFPDGDPAQHLVEQVLIRAAEKAGLEFHELVEIPSGERRMYHDDVSVIIISLEGRIWRSFSK >KJB33129 pep chromosome:Graimondii2_0_v6:6:24019387:24020344:1 gene:B456_006G064500 transcript:KJB33129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEAWMMPFAFCTREKRWCEFAEPVNGESTQFLQEFALKYNMVIISSILERDINHGETLWNTAVIIGNHGNIIDSLEYYSFLFKLGTSMDPVAFVDCNC >KJB34464 pep chromosome:Graimondii2_0_v6:6:26680841:26685501:1 gene:B456_006G067200 transcript:KJB34464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGEIEEGAVIYDKATGKSRGYGFITYKHMESAHSALRAPSKLIDGRMAVCNLACEGLTGASTTPDLAQRKLYIGGLSPDVTSEMLLNYFGRHGEIEEGSVAYDKDTNESRGFGFVTYKTTEAAKKAIDDPQKILGGRTIIVKLADTHKGKPVQTQVPAAAVVPLAMPMAPGYPQLGKAHPSAAPAGYAYPQPVAPYQASSYSSPAAAPTPYPSQSTIAYASIAAKKDPQGIPPTTPMGMSGYPYYIGKQ >KJB34462 pep chromosome:Graimondii2_0_v6:6:26682832:26685386:1 gene:B456_006G067200 transcript:KJB34462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGEIEEGAVIYDKATGKSRGYGFITYKHMESAHSALRAPSKLIDGRMAVCNLACEGLTGASTTPDLAQRKLYIGGLSPDVTSEMLLNYFGRHGEIEEGSVAYDKDTNESRGFGFVTYKTTEAAKKAIDDPQKILGGRTIIVKLADTHKGKPVQTQVPAAAVVPLAMPMAPGYPQLGKAHPSAAPAGYAYPQPVAPYQASSYSSPAAAPTPYPSQSTIAYASIAAKKDPQGIPPTTPMGMSGYPYYIGKQ >KJB34463 pep chromosome:Graimondii2_0_v6:6:26680841:26685501:1 gene:B456_006G067200 transcript:KJB34463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKKRKMEEMGNNDQLSTQEELRSLLDPLAKSQLVDLLSRLGSQYPSIAEEIKSIASSDPVHRKLFVRGLAWNTTSETLCAAFRMHGEIEEGAVIYDKATGKSRGYGFITYKHMESAHSALRAPSKLIDGRMAVCNLACEGLTGASTTPDLAQRKLYIGGLSPDVTSEMLLNYFGRHGEIEEGSVAYDKDTNESRGFGFVTYKTTEAAKKAIDDPQKILGGRTIIVKLADTHKGKPVQTQVPAAAVVPLAMPMAPGYPQLGKAHPSAAPAGYAYPQPVAPYQASSYSSPAAAPTPYPSQSTIAYASIAAKKDPQGIPPTTPMGMSGYPYYIGKQ >KJB34321 pep chromosome:Graimondii2_0_v6:6:21896979:21901877:-1 gene:B456_006G059700 transcript:KJB34321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFFLSPSSFSILFLLTIFQLSYARDDFHFTSCAPFDCGNLVNISYPFWTHQYNRPSYCGYGDEGYKLKCRQNQPPVMTLSSQEFYVLHLNRSHSLLTIRRVELNNTCPQPILMNNAFNYTETAENIKLVYDCRSRGGPNHRFSCRKGGKETSLMFFKEDENECIGNSEQVEIPIGKKAFDDLIGGISTVNESLVQPFDIRYFAYDPYCKHCKDSGGRCGHNQTETSAFVCNCRDKPHLLECNQGRSGSNSGRKLAIAFGGGSISLLVTCIIAFYFRRRIPFIIARNSFWKFTKSDTNIEAFIRNNGTLSPKRYSYSDVKKITKSFKEKLGKGGYGTVYKGKLLDGHLVAVKLLNTTKGNGEEFINEVASISRTSHVNIVSLLGFCSEGRKRALIYEFMPNGSLELFIHKKDIMNDRPHLSSEELFKIAIGIARGLEYLHRGCNTRILHFDIKPHNILLDENFLPKIADFGLSKLCTTKDSIVSMLEARGTIGYIAPEVFCRNVGGVSHKSDVYSYGMMILEMVGGRKNIDVGVSRNSEVYFPHWIYGYVVQDNIEPQLLDLRTRDETKIARKMILVGLWCIQMNPLDRPSMSKVIEMLEGSLEVLQIPPKPYMSSPSRSPVDSTFLTLS >KJB36069 pep chromosome:Graimondii2_0_v6:6:39597962:39600074:1 gene:B456_006G139100 transcript:KJB36069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHDETGCQAPEGPILCVNNCGFFGSAATMNMCSKCHKAMILKQEQVQLAAVSIGSIVSGCSSGSSKEPAVAAALDVQPVNIESKIVSAEPSIDPSRTTVSELETKKGPNRCSTCHKRVGLTGFNCRCENLFCAAHRYSDKHECPFDYQAAARNAISKANPVVRAEKLDKI >KJB36067 pep chromosome:Graimondii2_0_v6:6:39598314:39600000:1 gene:B456_006G139100 transcript:KJB36067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHDETGCQAPEGPILCVNNCGFFGSAATMNMCSKCHKAMILKQEQVQLAAVSIGSIVSGCSSGSSKEPAVAAALDVQPVNIESKIVSAEPSIDPSRTTVSELETKKGPNRCSTCHKRVGLTGFNCRCENLFCAAHRYSDKHECPFDYQAAARNAISKANPVVRAEKLDKI >KJB36068 pep chromosome:Graimondii2_0_v6:6:39598004:39600000:1 gene:B456_006G139100 transcript:KJB36068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHDETGCQAPEGPILCVNNCGFFGSAATMNMCSKCHKAMILKQEQVQLAAVSIGSIVSGCSSGSSKEPAVAAALDVQPVNIESKIVSAEPSIDPSRTTVSELETKKGPNRCSTCHKRVGLTGFNCRCENLFCAAHRYSDKHECPFDYQAAARNAISKANPVVRAEKLDKI >KJB33990 pep chromosome:Graimondii2_0_v6:6:11728920:11732613:-1 gene:B456_006G042100 transcript:KJB33990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLDGSIPASIFNLSSLKAIVLGDNNLSGELPYISSVPNLEEIFLWGNHLSGNIPDSISNITKLKILELQRNSFSGLIPNALGNLQFLERLTLRSNHLTTKTSSNGWSFLDSLTKCRYLIHLDLSLNPLGGVLPPSISNLSISLLQFEATACKIRGNIPMEIGSLNNALALDLSVNELSGSIPTTIGRLKNIQLLDFSGNKLQGSIPHQLCGLKGLYKLSLATNELDGPLPVGLGDLIALRKLNFSSNKLHSSIPLSFWRLKDILEVDLSSNYFSGSLSLDIGNLKVIIHLDLSRNFLSSDIPSTFGSLHYLQVLLLSHNRLQGPIPESLGDMMSLAKLDLSNNNLSGVIPKSLERLLYLNSFDVSFNRLEGEIPKGGCLVNFTA >KJB33911 pep chromosome:Graimondii2_0_v6:6:10646694:10647707:-1 gene:B456_006G038200 transcript:KJB33911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVILGKSDRERVSEVCLAEFLSYGPQREEEKERKCLLRKTDDGKIVKWDVETNDSLCTLEEAFQKVELSLGFNIELKFDDNVVYRQRHLVHVLQLILQVQCKLYEMYLMFFVLCLGNQQVFFLTNGGTEIYNDTRRNSLEQAINVCLEGGFQGIVSGIKGIFKNPGAVPKIKDSNLSLLTYGTLNNVWEAVY >KJB37030 pep chromosome:Graimondii2_0_v6:6:44406154:44410966:1 gene:B456_006G187200 transcript:KJB37030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAHEDEEQGEVFFDESDIIDEIDVDEEDLPDAEDDIVEDSDDSIHIFTGHTGELYAVACSPTDPVLVATGGGDDKGFLWKIGHADWASELQGHTDSVSSLAFSHDGQLLASGGFDGLVKVWDTSGNLKSTLEGPGGGIEWIRWHPKGHLILAGSEDCTVWMWNADNGSCLNVFSGHGASVTCGDFTPDGKTICTGSEDATLRIWNPRSGESIHVVRGYPYHTEGLTCLSISSDSTFAVTGSKDGSVHVVNITTGKVASSWASQTSSTEGDPESIECVSFAPKFPWAVSGGMDRNLTIWDLQNSSPRFICNHEEGVTCLAWLGASKFLATGCCDGRICIWNCLSGECVITFKGHEHAIQSLSVSSNLEFLVSVSMDSTARVFEIRNFH >KJB34748 pep chromosome:Graimondii2_0_v6:6:30928239:30930194:1 gene:B456_006G081500 transcript:KJB34748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDLLYKDYQTDQKFTLTTISLTGVAITSARTKKGDLFLVNVNTQLKFRNVTIDIKVDTSSNLFTTITVDEPAPVLNAIFGFGVPDQRSDKIELQYLHEYVGISSSIGLTVNPIVNFSAVLGTNNEKGDVVNASYYHIVNSSTNTAVGAEVTHSFSTNVNIITVGTQHALDLLTTIKVRVNNVDNANALIQHEWHSKSLFIESIDKSPKVGLALALKP >KJB34171 pep chromosome:Graimondii2_0_v6:6:19377996:19386782:1 gene:B456_006G053800 transcript:KJB34171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISWSNTSNSNRRRNQAYLHGPPPPPPFYYPQEPTSLPPPPPPPPSQPSTSHPYPAHPPPPPPPIPNNYYCSPPYNPCCYSNPVMGRYPIQYPPYFANQASAWPPIRAHAVAAPPPQPPPPYVEHYNAKKVRNDVNVHKDTLKLEVDEQNPDHHLVSFVFDALFDGSITIFYFAKEELNCRFVPVFPEAFEPVRVPFQKGLGQRFQQPPGTGIDLGFFELDDLSKPSPGEDVFPLVISAETCMLPHSSDEHVDDPTQSTSAHMQITQAILEKNGNSFQAKVIRQILWVDGVRYELREIYGIGSSAAAEGFDDSDPGKECVICMTEPKDTAVLPCRHMCMCSECAKTLRLQSNKCPICRQPIEELIEIKINSTH >KJB34170 pep chromosome:Graimondii2_0_v6:6:19377882:19386431:1 gene:B456_006G053800 transcript:KJB34170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISWSNTSNSNRRRNQAYLHGPPPPPPFYYPQEPTSLPPPPPPPPSQPSTSHPYPAHPPPPPPPIPNNYYCSPPYNPCCYSNPVMGRYPIQYPPYFANQASAWPPIRAHAVAAPPPQPPPPYVEHYNAKKVRNDVNVHKDTLKLEVDEQNPDHHLVSFVFDALFDGSITIFYFAKEELNCRFVPVFPEAFEPVRVPFQKGLGQRFQQPPGTGIDLGFFELDDLSKPSPGEDVFPLVISAETCMLPHSSDEHVDDPTQSTSAHMQITQAILEKNGNSFQAKVIRQILWVDGVRYELREIYGIGSSAAAEGFDDSDPGKECVICMTEPKDTAVLPCRHMCMCSECAKTLRLQSNKCPICRQPIEELIEIKINSTH >KJB34784 pep chromosome:Graimondii2_0_v6:6:31867843:31871045:1 gene:B456_006G084700 transcript:KJB34784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKAFSGNTMTLKDFHGGSIPTDLPLPSAPGVIVRPSDRSGYDRGTSWGNPMGRPDHRARPNSSPATRHFDNKTPFLTNSVHIGRNFDEDERTPLDGVSAPRRTISDESFRAPARHLELKPESAYAGRVSGRHGSAPVSPLSSGRGHSHSGRVSEAARGGLSSQTLGWNHGQAASGPYPNAWTSRKEMSFSVAEPVQSAWSEQSAVSKLAHASALEKVSSGRWQSKQSLQYQKDIDVSKHSEVENGLHSQGYDDKMYSRMSLAGGREYSDVSLARHVEKGLNIEDGIHGGRKELPEYERNRNLNYLEVKENKSMLHSEAVRSTHSDGKFGGYELQSSPSALAEASERPKLKLFPRSKRLDGPKSPVVDPKQGHQQPSELMLTHTEIGNHSHEHLHTSKPGLAGSESRNQTVDRPKLNLKPRSQPIEQLEGNIEKERFNE >KJB34782 pep chromosome:Graimondii2_0_v6:6:31868074:31869415:1 gene:B456_006G084700 transcript:KJB34782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKAFSGNTMTLKDFHGGSIPTDLPLPSAPGVIVRPSDRSGYDRGTSWGNPMGRPDHRARPNSSPATRHFDNKTPFLTNSVHIGRNFDEDERTPLDGVSAPRRTISDESFRAPARHLELKPESAYAGRVSGRHGSAPVSPLSSGRGHSHSGRVSEAARGGLSSQTLGWNHGQAASGPYPNAWTSRKEMSFSVAEPVQSAWSEQSAVSKLAHASALEKVSSGRWQSKQSLQYQKDIDVSKHSEVENGLHSQGYDDKMYSRMSLAGGREYSDVSLARHVEKGLNIEDGIHGGRKELPEYERNRNLNYLEVKENKSMLHSEAVRSTHSDGKFGGYELQSSPSALAEASERPKLKLFPRSKRLDGPKSPVVDPKQVFF >KJB34785 pep chromosome:Graimondii2_0_v6:6:31867843:31871045:1 gene:B456_006G084700 transcript:KJB34785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKAFSGNTMTLKDFHGGSIPTDLPLPSAPGVIVRPSDRSGYDRGTSWGNPMGRPDHRARPNSSPATRHFDNKTPFLTNSVHIGRNFDEDERTPLDGVSAPRRTISDESFRAPARHLELKPESAYAGRVSGRHGSAPVSPLSSGRGHSHSGRVSEAARGGLSSQTLGWNHGQAASGPYPNAWTSRKEMSFSVAEPVQSAWSEQSAVSKLAHASALEKVSSGRWQSKQSLQYQKDIDVSKHSEVENGLHSQGYDDKMYSRMSLAGGREYSDVSLARHVEKGLNIEDGIHGGRKELPEYERNRNLNYLEVKENKSMLHSEAVRSTHSDGKFGGYELQSSPSALAEASERPKLKLFPRSKRLDGPKSPVVDPKQGHQQPSELMLTHTEIGNHSHEHLHTSKPGLAGSESRNQTVDRPKLNLKPRSQPIEQLEGNIEKERNSLFGGARPRELDCRSASQVLKERGIDDRNHEPVQHVDRVKHNVTRTEKAADQASPRHGERVENPPVDQRGGRKSERNHRVDNGRVDMQRSNWRNENRRNGWETERPQQAPPVKERQPSPETWRKPVVKTNPVSAQVAGVRYGKVTSALELAQAFSKSFSDQKKDDQYGGQRGTPGRTQMPFSRLMGPTPRPQINGY >KJB34781 pep chromosome:Graimondii2_0_v6:6:31867843:31869759:1 gene:B456_006G084700 transcript:KJB34781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKAFSGNTMTLKDFHGGSIPTDLPLPSAPGVIVRPSDRSGYDRGTSWGNPMGRPDHRARPNSSPATRHFDNKTPFLTNSVHIGRNFDEDERTPLDGVSAPRRTISDESFRAPARHLELKPESAYAGRVSGRHGSAPVSPLSSGRGHSHSGRVSEAARGGLSSQTLGWNHGQAASGPYPNAWTSRKEMSFSVAEPVQSAWSEQSAVSKLAHASALEKVSSGRWQSKQSLQYQKDIDVSKHSEVENGLHSQGYDDKMYSRMSLAGGREYSDVSLARHVEKGLNIEDGIHGGRKELPEYERNRNLNYLEVKENKSMLHSEAVRSTHSDGKFGGYELQSSPSALAEASERPKLKLFPRSKRLDGPKSPVVDPKQGHQQPSELMLTHTEIGNHSHEHLHTSKPGLAGSESRNQTVDRPKLNLKPRSQPIEQLEGNIEKER >KJB34783 pep chromosome:Graimondii2_0_v6:6:31868074:31870076:1 gene:B456_006G084700 transcript:KJB34783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKAFSGNTMTLKDFHGGSIPTDLPLPSAPGVIVRPSDRSGYDRGTSWGNPMGRPDHRARPNSSPATRHFDNKTPFLTNSVHIGRNFDEDERTPLDGVSAPRRTISDESFRAPARHLELKPESAYAGRVSGRHGSAPVSPLSSGRGHSHSGRVSEAARGGLSSQTLGWNHGQAASGPYPNAWTSRKEMSFSVAEPVQSAWSEQSAVSKLAHASALEKVSSGRWQSKQSLQYQKDIDVSKHSEVENGLHSQGYDDKMYSRMSLAGGREYSDVSLARHVEKGLNIEDGIHGGRKELPEYERNRNLNYLEVKENKSMLHSEAVRSTHSDGKFGGYELQSSPSALAEASERPKLKLFPRSKRLDGPKSPVVDPKQGHQQPSELMLTHTEIGNHSHEHLHTSKPGLAGSESRNQTVDRPKLNLKPRSQPIEQLEGNIEKERNSLFGGARPRELVSESLMSSYWNV >KJB34780 pep chromosome:Graimondii2_0_v6:6:31867713:31871178:1 gene:B456_006G084700 transcript:KJB34780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKAFSGNTMTLKDFHGGSIPTDLPLPSAPGVIVRPSDRSGYDRGTSWGNPMGRPDHRARPNSSPATRHFDNKTPFLTNSVHIGRNFDEDERTPLDGVSAPRRTISDESFRAPARHLELKPESAYAGRVSGRHGSAPVSPLSSGRGHSHSGRVSEAARGGLSSQTLGWNHGQAASGPYPNAWTSRKEMSFSVAEPVQSAWSEQSAVSKLAHASALEKVSSGRWQSKQSLQYQKDIDVSKHSEVENGLHSQGYDDKMYSRMSLAGGREYSDVSLARHVEKGLNIEDGIHGGRKELPEYERNRNLNYLEVKENKSMLHSEAVRSTHSDGKFGGYELQSSPSALAEASERPKLKLFPRSKRLDGPKSPVVDPKQGHQQPSELMLTHTEIGNHSHEHLHTSKPGLAGSESRNQTVDRPKLNLKPRSQPIEQLEGNIEKERNSLFGGARPRELVLKERGIDDRNHEPVQHVDRVKHNVTRTEKAADQASPRHGERVENPPVDQRGGRKSERNHRVDNGRVDMQRSNWRNENRRNGWETERPQQAPPVKERQPSPETWRKPVVKTNPVSAQVAGVRYGKVTSALELAQAFSKSFSDQKKDDQYGGQRGTPGRTQMPFSRLMGPTPRPQINGY >KJB33067 pep chromosome:Graimondii2_0_v6:6:30078998:30080144:-1 gene:B456_006G076900 transcript:KJB33067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSEYGLVTEPNGVQYWKWNTIGDKLILRSLKFHGFHGVKLEEKKLGQKFLVDVDAWMDLWKVDKSDNLSDIGIYRRVTEMILH >KJB34255 pep chromosome:Graimondii2_0_v6:6:20374590:20375945:-1 gene:B456_006G0558002 transcript:KJB34255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKVKEDPVAISVNEMLKSSSLASPKPCICKVPNYLRQVNEKAYEPQLISIGPYHRGKHHLKAMEERKIGFLQQLVEETMVMNASKYVMKMRELETQARKCYEQPLCLDSDEFVKMLLLDGCFIVQLIRLCLKKDLVNYYTNGYFLALIQDILLVENQLPFFVIWELFSVIETGVDQGMFIEAVFDMFFHRVPGKGRPKHDLISVTSEIKHLLDFTYHHCCHPSSSEMEALNETRNFDMNFIRCALELQESGIKFETIEGNSMFDIRFENKTLLIPKLNIDDYTESFLRNLIAFEQLFVADRDVKHASDYMMLMDSLIDSPKDVEILCQHGIINNMLGDDKAVAAMINSLGIYVCHSHNFYYSVVFEDVNKHCSKRWNIWMANLKHNYFNSPWSLISLMAAILLLLLTVLQTVLSVLSYYQ >KJB36626 pep chromosome:Graimondii2_0_v6:6:42735772:42743353:1 gene:B456_006G167700 transcript:KJB36626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRHLFGTSQMFESEPEQGRNHLHVEQPYANIARSSSTEHVSFFYPVENMPVDAVQFSSHWNSMPRSSGFATSSHNVEAPRYQLDTSGPSHDLFLHSSAPRTFGSAPENYMHHASSSNYDRQTFQGIEGGLVDLPMSSGRGTHKRKSPGVPSVCERGGSSRYAGAGSSSDIPLSSDFWQEKPNVDPQHMYWDHISMPPSCRGNGLSIRGECSMRNVRSRPALDLESNLVRTHLSSNTSYLTSHPVECSSSVDITGQSSNAMSRDWSHLRMSPSHGRIPAADSNVFTHETNRFLGGSNATNASVEVGGLQHNFISGRNPVLPQGFHGNSPQSVRGARTNYFQRSSPNFRASSSSVHMGHAASHEEGMQVVSESYSTRHPRPLSAVTWRNNERSGRSRISNDRYQSLADDAAFHGRFSSEGFMIVDRSAFYGSRNMFDQHRDMRLDIDNMTYEELLALGERIGSVNTGLSEDSISKCLAETIYSSSDQFQDESSCVICLEEYKDMDEVGALKTCGHKYHVPCIKKWLSMKNTCPICKASAVADDIK >KJB37375 pep chromosome:Graimondii2_0_v6:6:45868576:45872148:-1 gene:B456_006G202300 transcript:KJB37375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVAVPNPIHKVKHLKLLFFSHGGLVPPLHQRSPSSLTHQKLAMAKQFIPLSSSILVAVISLFLVSTFVPGVGSIGVNYGTVANNLPPPPQVAHFLLESTVINRVKLYDANPDILKAFAHTGIAVTVTVPNDQIPRLTKLNFARQWVEDNVQPYTPATNIVRILVGNEVITTADKMLIGNLVPAMQTLHTALVAASLDRRIQVSTPHSLGVLSNSSPPSSGKFRLGYDIHVLKPLLSFLRATKSPFMINPYPFFGCSPDTLDYALFRPNAGVLDENTGLLYTNMLDAQLDAVFSAMKVLGFDDLEIVIAETGWPSMGDSTQVGVDANVASEYNGNLKRHVSSGAGTPLMPNRTFETYIFALFNEDLKPGPTSERNFGLFQPDMTPVYDIGILRPTARSSIPNNPTPVPRAAPSNPTPRSSKGKQWCLPKTGADDNALQRNIDYVCGLGLDCGPIQQHGACFLPNTVRAHAAFAMNLYYQSTGNNDSDCGFDQTGAITNVDPSYGKCQY >KJB38206 pep chromosome:Graimondii2_0_v6:6:48812810:48814983:1 gene:B456_006G241900 transcript:KJB38206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNSLPFIAIFLVLHLSIAKSDFLSPLFPPIFDDVCKEVHCGKGKCKPSSNGTLPYTCECDIGWKQTAADHDDHPKFLPCIFPNCTLDTSCAAAPSPVQEKEAKANRSIFDICRWTNCGGGSCNKTSPFTYDCKCSEGYFNLLNVSVFPCYRECAIGLDCANLGITTSNKSTSATPSSSQNNANRAGSKLLENCQWVIMLVLLLVMVV >KJB38243 pep chromosome:Graimondii2_0_v6:6:48930648:48931520:-1 gene:B456_006G243600 transcript:KJB38243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDILTQEQIVEFKEAFNFFDKDGDGCITVEELATVIKSLDQNPSEEELQDMINEVDADGNGTIEFSEFLNLMAKKMQETDAEEELKEAFRVFDKDLNGYISASEVIFFNYELIMNINFPLSLHCF >KJB38242 pep chromosome:Graimondii2_0_v6:6:48929839:48931576:-1 gene:B456_006G243600 transcript:KJB38242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDILTQEQIVEFKEAFNFFDKDGDGCITVEELATVIKSLDQNPSEEELQDMINEVDADGNGTIEFSEFLNLMAKKMQETDAEEELKEAFRVFDKDLNGYISASELRNVMMNLGEKLSDEEVEQMIKEADLDGDGQVNYEEFVKMMTTVG >KJB38241 pep chromosome:Graimondii2_0_v6:6:48929839:48931520:-1 gene:B456_006G243600 transcript:KJB38241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDILTQEQIVEFKEAFNFFDKDGDVEELATVIKSLDQNPSEEELQDMINEVDADGNGTIEFSEFLNLMAKKMQETDAEEELKEAFRVFDKDLNGYISASELRNVMMNLGEKLSDEEVEQMIKEADLDGDGQVNYEEFVKMMTTVG >KJB34729 pep chromosome:Graimondii2_0_v6:6:30799593:30800744:1 gene:B456_006G080500 transcript:KJB34729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHDKSPFSGKSILHLNRHQTEEWKGWMQVLFLMYHYFAAKEIYNAIQVFIAAYVWMTGFRNFSYYYVGKDFSLARFAQYKEKGSVIALKHIAYFLVVILVWEVPGVFEVPWNPFTFSLGYTDPAKPNFPHLHEWHFRSGLERYIWIIGMIYAYYHPTVSSDAIIFPLLVVFSGYEIMQFT >KJB37512 pep chromosome:Graimondii2_0_v6:6:46313358:46315879:-1 gene:B456_006G208000 transcript:KJB37512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERMLKLAKRRNRGKKEPVLVGLVEDFHKQYQFLYSQYENLKQQSDKKSNPSVAFNNDRNHQEELERALKKIGDLNHQLASKTEENELLALDHLAALSKIQEIEIINKDLRKEADEKEKRLYDSETVHEGRITELEEQLIGLKNEVESLQFQKRDLEAQLDSKTAETNLQGETIKAFYGKVSEVRDEATKFMKQVEDNDNNLTSKLEESMVQITGLKAEMDYLRAQKTSQYLIQVKTLKEELARKSGIEQVMAEEKEGLQMQVMELESEVDEDYESKLSNKTAQTMTLTAEVELLQQKVEFMMMEKSELELRIADQKRIMKGRQKNTNKSMESNSKLARRLLTGTTFNINVHYENKDIYKTIKEQLEQENATLEAKLATCVVEMRKLRDSMEANKKAFTESNLRLEQDNRNLGEKLATCEAEVRKSRDTMEACKNARTESISRLEHENGHLKEKLATCEVELRKSRDSMEAGKNSLTESISRLEHENGKLSKEEEEEKVKPLKAIADLEGRIGEMEKITKEKDEALLGREEEKRKAIRELCLLID >KJB33508 pep chromosome:Graimondii2_0_v6:6:3377011:3377729:-1 gene:B456_006G015300 transcript:KJB33508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLDLTLLFHTLTCYNSNKSFLSRCWSFPEHENDSSKAPDCERTLCFDRSSNGVSSLSAKKEDGVRIHRKHVEKLMGNLGIFCDQESQQLNESFGFNEVSRLFEEEEPSLDELKQAFDVFDVNKDGFIDAKELQRVLGVLGLKQGLNLENCNKMIKSFDEDDDGRIDFKEFVKFMEIGFC >KJB33813 pep chromosome:Graimondii2_0_v6:6:8269712:8271202:-1 gene:B456_006G031900 transcript:KJB33813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYLRRCPNLTILCGTDVVFLQRFLHNGPDTVEELLDRHVVKEKSLEDEEESLNRQRLTSTRREALSLYRDILRATRFFMWPDSRGVLWRDILRENARKEFEEARFEKDPEVVTRLLIGGRDAVESALEKLAEKQRHQIQKERGDGR >KJB34331 pep chromosome:Graimondii2_0_v6:6:22144283:22146187:-1 gene:B456_006G060400 transcript:KJB34331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFTSDFQSFKQSFSFLDMESLNHFTELNPRLLDNSVMNYQSFLPSSIDNFFSNQAQAIPGHEGGNLQSTASVFQPLLSAKTELIHESMKRKALDVSKSSSFGNSLSSPQVSEAEVKRRNDSGRGKRAKRNEKEEEKPKDVVHVRARRGQATDSHSLAERVRRGKINERLRCLQDIVPGCHRTMGMAVMLDEIINYVQSLQNQIEFLSMKLTAASTYYDFNSEPDALERMQAQEAKELERLMREGYVGGLACFHSSSSSTWSSLT >KJB34332 pep chromosome:Graimondii2_0_v6:6:22144501:22146122:-1 gene:B456_006G060400 transcript:KJB34332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFTSDFQSFKQSFSFLDMESLNHFTELNPRLLDNSVMNYQSFLPSSIDNFFSNQAQAIPGHEGGNLQSTASVFQPLLSAKTELIHESMKRKALDVSKSSSFGNSLSSPQVSEAEVKRRNDSGRGKRAKRNEKEEEKPKDVVHVRARRGQATDSHSLAERVRRGKINERLRCLQDIVPGCHRTMGMAVMLDEIINYVQSLQNQIEFLSMKLTAASTYYDFNSEPDALERMQREKAQEAKELERLMREGYVGGLACFHSSSSSTWSSLT >KJB36509 pep chromosome:Graimondii2_0_v6:6:42321770:42328307:1 gene:B456_006G163000 transcript:KJB36509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSAASSSSETKKEGSNNGSGEKPGDVPSVGFGELFRFADGLDYVLMGIGSLGALVHGCSLPIFLRFFADLVNSFGSNANNMDKMMQEVLKYAFYFLVVGAAIWASSWAEISCWMWTGERQTTKMRIKYLEAALDQDIKYFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFAVGFTAVWQLALVTLAVVPLIAVIGAIHTTTLAKLSAKNQEALSQGGNIVEQTVVQIRVVLAFVGESRALQAYSSALKVAQKIGYKTGFAKGMGLGATYFVVFCCYALLLWYGGYLVRHHYTNGGLAIATMFAVMIGGLGLGQSAPSMSAFVKAKVAAAKIFRIIDNKPGIDRNSESGLDLESVTGLVELKNVDFAYPSRPDVRILNNFFLTVPAGKTIALVGSSGSGKSTVVSLIERFYDPSLGEVLLDGHDIKTLKLRWLRQQIGLVSQEPALFATTIKENILLGRPDANQIEIEEAARVANAHSFIVKLPDGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGSVSEIGTHDELIAKGENGAYAKLIRMQEMAHETALNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTFDFSLSLEASHPNYRMEKLAFKVQASSFWRLAKVNSPEWVYAVVGSIGSVVCGSLSAFFAYVLSAVLSVYYNPDHAYMRREIGKYCYLLIGLSSAALLFNTLQHSFWDIVGENLTKRVREKMLTAVLKNEMAWFDQEENESARISARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLIAVFPVVVAATVLQKMFMKGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSENKIVGLFSSSLQTPLRRCFWKGQIAGSGFGVAQFSLYASYALGLWYASWLVKHGISDFSKTIQVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFDLLDRKTEIEPDDPDATQVPDCLQGEVELKHIDFSYPSRPDVPIFRDLNLRARAGKTLALVGPSGCGKSSVIALIQRFYEPSSGRVMIDGKDIRKYNLKSLRKHIAIVPQEPCLFASTIYENIAYGHESATEAEIIEAATLANAHKFISSLPEGYKTFVGERGVQLSGGQKQRIAIARALVRKAELMLLDEATSALDAESERSVQEALDRACSGKTTIVVAHRLSTIRNAHVIAVIDDGKVAEQGSHSYLLKNYPDGCYARMIQLQRFTHSQVVGMTSGSSSSAKPKDDNEREA >KJB36510 pep chromosome:Graimondii2_0_v6:6:42321770:42328309:1 gene:B456_006G163000 transcript:KJB36510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSAASSSSETKKEGSNNGSGEKPGDVPSVGFGELFRFADGLDYVLMGIGSLGALVHGCSLPIFLRFFADLVNSFGSNANNMDKMMQEVLKYAFYFLVVGAAIWASSWAEISCWMWTGERQTTKMRIKYLEAALDQDIKYFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFAVGFTAVWQLALVTLAVVPLIAVIGAIHTTTLAKLSAKNQEALSQGGNIVEQTVVQIRVVLAFVGESRALQAYSSALKVAQKIGYKTGFAKGMGLGATYFVVFCCYALLLWYGGYLVRHHYTNGGLAIATMFAVMIGGLGLGQSAPSMSAFVKAKVAAAKIFRIIDNKPGIDRNSESGLDLESVTGLVELKNVDFAYPSRPDVRILNNFFLTVPAGKTIALVGSSGSGKSTVVSLIERFYDPSLGEVLLDGHDIKTLKLRWLRQQIGLVSQEPALFATTIKENILLGRPDANQIEIEEAARVANAHSFIVKLPDGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGSVSEIGTHDELIAKGENGAYAKLIRMQEMAHETALNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTFDFSLSLEASHPNYRMEKLAFKVQASSFWRLAKVNSPEWVYAVVGSIGSVVCGSLSAFFAYVLSAVLSVYYNPDHAYMRREIGKYCYLLIGLSSAALLFNTLQHSFWDIVGENLTKRVREKMLTAVLKNEMAWFDQEENESARISARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLIAVFPVVVAATVLQKMFMKGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSENKIVGLFSSSLQTPLRRCFWKGQIAGSGFGVAQFSLYASYALGLC >KJB37004 pep chromosome:Graimondii2_0_v6:6:44328414:44336908:1 gene:B456_006G186200 transcript:KJB37004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWISKDGVEVIVMDSVEKLEKLSGAKVFDLHRQNIDHITVPSTRGPEFGVLRRIDDVFDCWFASGSMPYAYIHYPFENVELFEKKIPGHFVAEGLDQTRGWFYTLMVLSIALLGTPAFRNLICSGLVLAEDGKKMSKRLKSYPSPMKSLMTTGLSKMSFSHGIMHIGSLFRMQKDLSVKVVPYLLKFLDNLTNIYM >KJB38588 pep chromosome:Graimondii2_0_v6:6:50228291:50229331:1 gene:B456_006G261900 transcript:KJB38588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQERHGGGPPHGVILAVVVFIVVLAPFLYGDQGEAITEAISELLTPLGLLLLPIFLLLAIQFLSSDRGSFVSGVFSTGEPDTIYGLSGSPVGVALFLLLVLFLLYNRVSIFGGGDDSDD >KJB33620 pep chromosome:Graimondii2_0_v6:6:5677899:5681635:-1 gene:B456_006G022200 transcript:KJB33620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSSEITRVTNNDSESLVAEEKALMETGWWSNYSNIGVHHCTWPGVRCSSAGSVIEIDLSGLNGSITPQIGALSKLKCLNLSSNNRRGELPSSFGNLNWQCLMNLIVHMPSAIGLLTNLTHLIMTSNPLQSIIPPHIWNLKKLMTLHLGNCQLYGSIPPNIGKLKSLVNLHLSSNMLVGPIPSSITNLTNLQSLDLSSNMLVGPIPSSVNKLTNIASLVLDDNQLNGSIPQQIGRLTNLVTLDLSSNMLVGPIPQNLGKLSRLESFSLYQNKINGSMPLEIRNLGKLSYLDLSYNNLSGQVPSFLGFIPSDIGKLKKLAELGLSNNKITGSIPSSLCYLTNLQRLYLDTNLLHGSIPYEIGNMTNLMVLTLYFNHVSNFILPSLLHLPNLIFLHMASNLWEGPIPHEIGSLNTLIYLDLSYNKLSGPIPTQIASIEPSSSRNCLFHSSLFLVPTDLCGSIQGFRPCPSSPNVNRERNSKVVKHNLPIVILVPTLLFFVSTFVLVIFILFRRYRTKALKSDPSPTKNGDLFSIWNFDGKIAFEDIIKATEDFDMKYCIGTGGYGSVYRSILPSGKVVALKKLHRLEAEQPAYDTSFRNEIKFLTEIRQKNIVKPHGFCLHNSCLFLIYEYMENGSLVNIVKGVAHALSYMHHDCNPPIVHRDISSNNILLNSELEAFIADFGSARLLDPDSSNRTVIVGTYGYIAPELTYSLVVTEKYDVYSFGVLALKILMEKHLGELLSTLSSSSSSSSSPSNIQNVMLDEILDPQLSPPRSRKTTVDIAFVAMIAFACLQAKLKARPTMKSVSQELLHIKSPISKPLHEISLIELKNHEMFMNCKSYK >KJB36311 pep chromosome:Graimondii2_0_v6:6:41089079:41090431:-1 gene:B456_006G151900 transcript:KJB36311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRSVAKRLEGKVALITGGASGIGESTTRVFVEHGAKVLVADIQDELGQSLCKQLGSPESVSYTHCDVTSESYVQNAVDTAVSRYGKLDIMFNNAGIAGEAEPRLIASSLHDFKRVFDVNVLGGFLGAKHAARVMIPAKKGCILFTASIVSKISMGLSHAYTTSKHAVVGLTENLAVELGEYGIRVNCISPYAIVTPLFQKTSGVSKKEKVEEILSAAGVLEGAILEPSDIAQAALFLASDDAKYLNGVNLPVDGGYSLNNQTWKAGFP >KJB33177 pep chromosome:Graimondii2_0_v6:6:42370463:42371381:1 gene:B456_006G163400 transcript:KJB33177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKRGLMMFRPFFQKLRKRFSSSAYSTSPELNHDKFDEDMSVGKAVPGDVKEGFFAVSAANGKETQRFVIELDHLTNPEFLSLLDEAREVYGSHQTGVLSLPCQPHELEEILEHSKENNVCIESQDMVMLP >KJB34974 pep chromosome:Graimondii2_0_v6:6:33124477:33126814:1 gene:B456_006G093500 transcript:KJB34974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNSSFCFANLSSNLPITCLITFITIFLHRVLNVIYRTGKPLGKRVSPQRPLSTLIVLGSGGHTAEMINLLLVLRKERFTPRFYIAAATDNMSLQKARVLENSLADSSETKGLSAEFMEIYRSREVGQSYVTSVWTTLVAMAHALWLMIKIRPQVVLCNGPGTCIPLCVIAFFFKVVGIRWSSIFYVESIARVKRLSLSGLLLYKLRIADQFFVQWPQLQRKYPRTHYVGCLM >KJB37140 pep chromosome:Graimondii2_0_v6:6:44812945:44813677:1 gene:B456_006G191000 transcript:KJB37140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKKRSELKGKEERGRVAVHSQVRKIKQESDSEQIMDWAFGIGQPEVRPVRHQLSRSPLGLTAPPLSSLGFDPLYM >KJB34196 pep chromosome:Graimondii2_0_v6:6:19036087:19038721:1 gene:B456_006G052800 transcript:KJB34196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSKLSLFFSLLLLSFLSLNSLVSCDTDEEDNLLKGINDYRASLNLTILKKNDNAECLADELADQFKNQPCTNSTGANTVPGTEPQFANYPNLLAKCHLNVSNTRDGAVMPACVPNLVPNLVLTNFTQSQYNDNLNDTKYTGVGIGSDGDWIVVVLTTGTPEGSYSPATGAAIVASKIGIIYHVLFLVMAAFYLL >KJB33866 pep chromosome:Graimondii2_0_v6:6:9308059:9309172:1 gene:B456_006G035000 transcript:KJB33866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATKFSYHRLKHEEEEGHVLEVDEIAERLMMMGRPRPCVRLKRVSVKKRFRVKVPSLRRLFRKKVKLVRISFGKMVKRFKESQAHLGDLFAGNYMFIQVNPTTMKYCFEKSYGGLALNGLPSSRCSLSRIA >KJB35337 pep chromosome:Graimondii2_0_v6:6:35555883:35556357:-1 gene:B456_006G109700 transcript:KJB35337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSFISGVLLLFFLFLISSSHLSARSIANKQGKEEVELTQTADVEDNELMDQLLGVEACDAGDDECLKRRIISEAHLDYIYTQHHKP >KJB35336 pep chromosome:Graimondii2_0_v6:6:35554733:35556584:-1 gene:B456_006G109700 transcript:KJB35336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSFISGVLLLFFLFLISSSHLSARSIANKQGKEEVELTQTADVEDNELMDLLGVEACDAGDDECLKRRIISEAHLDYIYTQHHKP >KJB35219 pep chromosome:Graimondii2_0_v6:6:34728460:34730452:-1 gene:B456_006G105000 transcript:KJB35219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASRNSPLELPDHFEPYDFVEDPDFDQLVNLIQGETEDVVSAFDYDLINGCFDDKQTGGAPGDAFEFDATSTMVSDFNYVFNALPSFDGEIMKDREEDSGKEDESSGTTTTTSSMATKKSKADRSRTLILERRRRGQTKEKLYALRSLVPNITKMDKKSIIGDAVLYVQDLQMQAKKLKAEIAGLEALMAGYQEESINNPVKIRVARNNHPICKKILKLDMFQVEEREFYVRLVCNKSEGVALSLYKALESLSNFKVQNSSLATVSDTFALTFTLNVRDREQSMNLGNMKLWVSGALLNRGFELINCL >KJB33587 pep chromosome:Graimondii2_0_v6:6:5130539:5133301:1 gene:B456_006G020200 transcript:KJB33587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPILPSQILTSKSLERQPFLASFDSDIPPLHPRWPGTRENRTTSRLGKGLSRPLLAQRVRGRYAETVQYNNIKFQVWGLGRGAERSSSSYFS >KJB37809 pep chromosome:Graimondii2_0_v6:6:47398623:47405839:1 gene:B456_006G221200 transcript:KJB37809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKHLLTLSPVKVASHLHLKEFHWEEWGIYVVQLNGLVCTAGRFYASDCGNLCCRSGSISRGFRGEFRQWQIVPGTCDSSPVMANQFSIFVSRDSGNKKYASVLAPGRHEGLGKARDEGISSWGWNLSGQHSTYHALFPRAWTIYDGEPDPELKVSCRQISPFIPHNYKDTSLPTAVFVYTLVNTGRERAKVSLLFTWANSIGGVSHLSGDHVNEPFIGEDGVSGVLLHHKTAKGNPPVTFAIAACETQNVNVTVLPCFGLTEGRSVTARQMWDKMMQDGQFDRQNFNSGPSMPSSPGETLCAAVSASAWVEPHGKCTIAFSLAWSSPKIKFLKGSSYHRRYTKFYGTSERAALNLAHDALTNYKRWEEEIEKWQSPILNDQRLPEWYKFTLFNELYFLVAGGTVWIDSSLPSTNVKNDQDSPEDAQRVDVKVTEAEVNRRHTTISEYSTTSGCNGSTGDVLKNNSDPAVTQNKRNSNNLSEHFKWQDQLDDYDDVGRFLYLEGVEYIMWNTYDVHFYASFALLDLFPKIELNIQRDFAKAVLSEDGRRVKFLAEGNYGIRKVRGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMQFGVDVWPAVRTAMEYMEQFDRDDDGLIENDGFPDQTYDAWTVHGVSAYCGCLWLAALQAAAAMAEQIGDRFFAETCKTKFCTAKSAFEKKLWNGSYFKYDSGSSSNSKSIQADQLAGQWYTASSGLAPLFDEFKIRSALQKIYDFNVMKVKGGRMGAVNGMHLNGKVDETCMQSREIWTGVTYAVAANMILAGMEKEAFATAEGIFIAGWSEEGFGYWFQTPEAWTMDGHFRSLIYMRPLAIWGMQWALSIPKAILDAPKVNMMDKILISPATFSLSLTETGVRKIANKAKCFGNSVLHCAC >KJB37808 pep chromosome:Graimondii2_0_v6:6:47396782:47406361:1 gene:B456_006G221200 transcript:KJB37808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGNIFHCRKNSWPPEEYVSRHTLHLFDFDSAGPPKHAWRRRLNSHANILKEFSITFMEAIKMVRLGIRLWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIVPGTCDSSPVMANQFSIFVSRDSGNKKYASVLAPGRHEGLGKARDEGISSWGWNLSGQHSTYHALFPRAWTIYDGEPDPELKVSCRQISPFIPHNYKDTSLPTAVFVYTLVNTGRERAKVSLLFTWANSIGGVSHLSGDHVNEPFIGEDGVSGVLLHHKTAKGNPPVTFAIAACETQNVNVTVLPCFGLTEGRSVTARQMWDKMMQDGQFDRQNFNSGPSMPSSPGETLCAAVSASAWVEPHGKCTIAFSLAWSSPKIKFLKGSSYHRRYTKFYGTSERAALNLAHDALTNYKRWEEEIEKWQSPILNDQRLPEWYKFTLFNELYFLVAGGTVWIDSSLPSTNVKNDQDSPEDAQRVDVKVTEAEVNRRHTTISEYSTTSGCNGSTGDVLKNNSDPAVTQNKRNSNNLSEHFKWQDQLDDYDDVGRFLYLEGVEYIMWNTYDVHFYASFALLDLFPKIELNIQRDFAKAVLSEDGRRVKFLAEGNYGIRKVRGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMQFGVDVWPAVRTAMEYMEQFDRDDDGLIENDGFPDQTYDAWTVHGVSAYCGCLWLAALQAAAAMAEQIGDRFFAETCKTKFCTAKSAFEKKLWNGSYFKYDSGSSSNSKSIQADQLAGQWYTASSGLAPLFDEFKIRSALQKIYDFNVMKVKGGRMGAVNGMHLNGKVDETCMQSREIWTGVTYAVAANMILAGMEKEAFATAEGIFIAGWSEEGFGYWFQTPEAWTMDGHFRSLIYMRPLAIWGMQWALSIPKAILDAPKVNMMDKILISPATFSLSLTETGVRKIANKAKCFGNSVLHCAC >KJB37810 pep chromosome:Graimondii2_0_v6:6:47396986:47406251:1 gene:B456_006G221200 transcript:KJB37810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIKMVRLGIRLWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIVPGTCDSSPVMANQFSIFVSRDSGNKKYASVLAPGRHEGLGKARDEGISSWGWNLSGQHSTYHALFPRAWTIYDGEPDPELKVSCRQISPFIPHNYKDTSLPTAVFVYTLVNTGRERAKVSLLFTWANSIGGVSHLSGDHVNEPFIGEDGVSGVLLHHKTAKGNPPVTFAIAACETQNVNVTVLPCFGLTEGRSVTARQMWDKMMQDGQFDRQNFNSGPSMPSSPGETLCAAVSASAWVEPHGKCTIAFSLAWSSPKIKFLKGSSYHRRYTKFYGTSERAALNLAHDALTNYKRWEEEIEKWQSPILNDQRLPEWYKFTLFNELYFLVAGGTVWIDSSLPSTNVKNDQDSPEDAQRVDVKVTEAEVNRRHTTISEYSTTSGCNGSTGDVLKNNSDPAVTQNKRNSNNLSEHFKWQDQLDDYDDVGRFLYLEGVEYIMWNTYDVHFYASFALLDLFPKIELNIQRDFAKAVLSEDGRRVKFLAEGNYGIRKVRGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMQFGVDVWPAVRTAMEYMEQFDRDDDGLIENDGFPDQTYDAWTVHGVSAYCGCLWLAALQAAAAMAEQIGDRFFAETCKTKFCTAKSAFEKKLWNGSYFKYDSGSSSNSKSIQADQLAGQWYTASSGLAPLFDEFKIRSALQKIYDFNVMKVKGGRMGAVNGMHLNGKVDETCMQSREIWTGVTYAVAANMILAGMEKEAFATAEGIFIAGWSEEGFGYWFQTPEAWTMDGHFRSLIYMRPLAIWGMQWALSIPKAILDAPKVNMMDKILISPATFSLSLTETGVRKIANKAKCFGNSVLHCAC >KJB36967 pep chromosome:Graimondii2_0_v6:6:44207754:44209825:-1 gene:B456_006G184900 transcript:KJB36967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPLPELLREYNLPIGIFPRDATNYEFNEETGKLTVFIPAICEVGYKDSSVLRFFTTVTGYLEKGKLADIEGMKTKVMIWVKVTSITCEGSKIYVTAGMKKSRNREAYEVSRDGVSVDKF >KJB36966 pep chromosome:Graimondii2_0_v6:6:44207751:44209888:-1 gene:B456_006G184900 transcript:KJB36966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQILNKVGSYWLGQKANKEIDSVGDDLNSLSTSIEGGAKWLVNKIKGKMQKPLPELLREYNLPIGIFPRDATNYEFNEETGKLTVFIPAICEVGYKDSSVLRFFTTVTGYLEKGKLADIEGMKTKVMIWVKVTSITCEGSKIYVTAGMKKSRNREAYEVSRDGVSVDKF >KJB37906 pep chromosome:Graimondii2_0_v6:6:47693958:47694281:-1 gene:B456_006G2255001 transcript:KJB37906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNKLKKLALSFLAITLLLIIFTPVNGYGTIVGGKTPVEDVEQDKAMQALGRFAVEEHNKNKKNNGNISNQIEFSKVVRAEKQIVSGIKYILTIEGMENGEKRTFNS >KJB35716 pep chromosome:Graimondii2_0_v6:6:37687016:37690663:1 gene:B456_006G125200 transcript:KJB35716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANADVEAVDFEPEEDDLMDEDAAGDASPQAPMPKLKSAITGGASASLSAPKKTKGRGFREEDADRHSRLASRDFESLGTDGGPGPQRSIEGWIILVSGVHEEAQEDDLHNAFGEFGEIKNLHLNLDRRTGFVKGYALIEYEKFEEARNAITTMDGAELLTQTINVDWAFSNGPSVAAFKRKNMRSGRTHRSRSPRRRY >KJB35717 pep chromosome:Graimondii2_0_v6:6:37687016:37690663:1 gene:B456_006G125200 transcript:KJB35717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANADVEAVDFEPEEDDLMDEDAAGDASPQAPMPKLKSAITGGASASLSAPKKTKGRGFREEDADRHSRLASRDFESLGTDGGPGPQRSIEGWIILVSGVHEEAQEDDLHNAFGEFGEIKNLHLNLDRRTGFVKGYALIEYEKFEEARNAITTMDGAELLTQTINVDWAFSNGPSVAAFKRKNMSPLLLELKQSKIVWQRPEHNPAFK >KJB34372 pep chromosome:Graimondii2_0_v6:6:23306710:23308538:1 gene:B456_006G063100 transcript:KJB34372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSASITHIAIIRPKAESLHFCINFFHTLHSLIIHCPSLSQGHPTLAADERQQNCKNTNEFAIET >KJB38101 pep chromosome:Graimondii2_0_v6:6:48453049:48454362:-1 gene:B456_006G237000 transcript:KJB38101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNVKELATEVLPYIRVYKDGSVDRLVDSPIVPPCNEDPETGVSSKDIVVSKSPPISARIYLPKHVEQSPKVPLLVYFHGGGYCFESAFSFVETKYMNRLAKQANVVVISIEYRLAPEHPLPIGYEDCWSGLQWVASHSIDGGLKDEPWLSTYVDFDRLYVGGDSAGANLAHVILLRAGSEGLNGVKIKGAFLTHPYFWGSKPIGSEIKNNEEREKLAVCMIWHLVYPEAPGGIDNPMLNPVVEGGPSLAGLGCSRLLISVAKEDLLRDRGVSYYHAVKKSGWKGELMELVDVEGADHAFHILAHESETAMKLIQKLASFIV >KJB38263 pep chromosome:Graimondii2_0_v6:6:49046830:49047610:-1 gene:B456_006G245400 transcript:KJB38263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDDVEIEDMQCYEELQAYTYPCPCGDLFQITKEELKIGEKIARCPSCSLYITVIYNAEDFADKKSNNNLEPPKRQAVDVA >KJB38683 pep chromosome:Graimondii2_0_v6:6:50532616:50533002:1 gene:B456_006G266800 transcript:KJB38683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGYTRHRKSSSFSFFSLFSACCSNGGDDVSEDGIYVRRMWPSDEDGRRWTAEPGIDRKASAFIDRFRTRVSDPERQALAV >KJB33848 pep chromosome:Graimondii2_0_v6:6:9036111:9039499:1 gene:B456_006G034200 transcript:KJB33848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVGNSSSKNKNPGSSTSSHVADLNNGVRIIRHPNGSIGYQKHPSKAAIDNMQKHEELPSMAKRYYDSSKGKNNELLIANQMKNLTMESKSSSKHPIDDTVSQSESSFCQSPSNNGKPSFTNTELSESGSCSGEVSRKTSIYRGSTGSDFSDESSSSCLSSAIYKPHKANDIRWEAIQAVRSRKGDLDFRHFRVLKRLGCGDIGSVYLSELTGTRTYFAMKVMDKALLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKLSCLVMEFCPGGDLHALRQRQPGKYFSEQAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSNSTLESKNSAYCAQPACIEPTCVMQPDCIQPACFGPRFFSSKQKKEKKSKVKNETNHQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGAGNRATLFNVVGQPLRFPEYPNVSFAARDLIRGLLVKEPQHRLAYRRGATEVKQHPFFQSVNWALIRCANPPEVPKPAMMDFSATQTDIGKVPTNNKMPGLDVKPSGNYLEIDFF >KJB36459 pep chromosome:Graimondii2_0_v6:6:41865860:41868266:-1 gene:B456_006G159500 transcript:KJB36459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRQEKERRAEAAARQAADDLRDINSGREYEERVVYKEELDRSSQQQQQRLPEESFAYKEEWGRETSPQQQQQRPGVIGTVLRAVQGTYEHAKEAVVGKSHEREGVYGGGGKTWGTTDEGDNAKEFAERAKDTTMEKAGEYKDYASEKAYQSADKAKQMKDTTKEKAAEYTDYAAQKAKETKNSAAQRTKETKDTVTGKASEYTDYAAQKAKDAKDTTAKKASEYTDYAAQQTKGAKDATTGKATEYKDYTAEKAKEARDTTAQKASEYTDYAAQKAKDAKDTTAQKASEYTGYAAQKTKDAKDATMGKATEYKDYTAHKAKEARDTTSQKASEYTDYAAQKAKDAKDAAIGKATEYKDYAAEKAKGAKDTAAQKASELTDYAAQKAKEAKDTTMEKATEYKDYAAEKAKEAKDVTAEKTKQGTEYATEKAKEGKDTTVSKLGELKDSAADAAKRAMDFLTGKTEETKQKASETAQNTRETLSETTESARQKMEEMKLRGDEGRRKTYDDDVKRQGREGHSETIVIKVEESPAGAVDATVRSSDETFNDVGRVGEEGVIRDRSSKTKM >KJB34847 pep chromosome:Graimondii2_0_v6:6:32137078:32140385:-1 gene:B456_006G087100 transcript:KJB34847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELEDPNYQNNKSKSLVTKGTAPFLLKTYALLEEAEEGGGGEYKKIVSWNDEGNGFIVWSPTDFSDLTLPRYFKHNNFSSFIRQLNTYGFKKTSSKRWEFKHEKFKRGYKHMLVEITRKKTEATTAFLKSNCGDEDNMMMKNGNQQTLMEENENLRKEKVELETQIAQFKALEIKLLDCIAQHMGPK >KJB37721 pep chromosome:Graimondii2_0_v6:6:47046557:47049126:-1 gene:B456_006G217800 transcript:KJB37721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIHYSIENEMQERCRVDIYRKFQGNGALCQIEEGLFLGSLGDASNKGALKSSNVTHILTVANLSLPLYPNEFAHKIIEVMDREDTNLMQYFDECFSFIDEAKRLGGGVLVHCFMGISRSVTVVIAYLMKKHGMRLSQALEHVKRRRPQASPNSGFILQLQQFEKTLRGKEYEKTRLNRSALVCRSIFSFFNKKQ >KJB37722 pep chromosome:Graimondii2_0_v6:6:47046994:47048062:-1 gene:B456_006G217800 transcript:KJB37722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIHYSIENEMQERCRVDIYRKFQGNGALCQIEEGLFLGSLGDASNKGALKSSNVTHILTVANLSLPLYPNEFAHKIIEVMDREDTNLMQYFDECFSFIDEAKRLGGGVLVHCFMGISRSVTVVIAYLMKKHGMRLSQALEHVKRRRPQASPNSGFILQLQQFEKTLRG >KJB37723 pep chromosome:Graimondii2_0_v6:6:47046566:47049046:-1 gene:B456_006G217800 transcript:KJB37723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIHYSIENEMQERCRVDIYRKFQGNGALCQIEEGLFLGSLGDASNKGALKSSNVTHILTVANLSLPLYPNEFAHKIIEVMDREDTNLMQYFDECFSFIDEAKRLGGGVLVHCFMGISRSVTVVIAYLMKKHGMRLSQALEHVKRRRPQASPNSGFILQLQQFEKTLRG >KJB33419 pep chromosome:Graimondii2_0_v6:6:2281407:2285507:-1 gene:B456_006G010100 transcript:KJB33419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSAPVIKWQPFFLLFCALNCVVWSDDSSDKAVLLEFKESVSDLSGLLSTWSENSHHCSWSGVTCDKDFKVLSLNITGFGKGPKGNNSLNKTAVSASFSCSNYSLYPFYGFGIRRNCGVSNGSLSGKLLPSIGKLSELKILSLPFHRFHGEIPSEIWGLEKLEVLDLENCLLSGKLPVTVSGLKNLRVLNLGFNNIRGEIPSWLSSLERMEMLNLAGNLVNGTVPAFVGRFRDVYLSFTWLGGSLPADIGEDCRLEHLDLSGNYFVGEIPASLGKCSHLRSLLLYTNMFEEGIPRELGQLQNLEVLDVSRNSLSGPVPVELGNCSALTVLVLSSMFNPYDNLAMVKGDANYVSDDFNFYQGAIPSEITKLSKLRVLWAPRATLEGNLPKDWGSCDNLEMVNLAQNFFAGEIPVGLTLCKKLRYLDLSSNKRLTGELKKELAVPCMSVFDVSENSLSGNIPRFDNSGCPDVLTSDSYSFEPFNPTSAYLSFLASKVQVGTSINFLDRDGGPAVFHKFAGNNFSGSVLSMPVSPQRLEKHISYAFYAGENFLSGPFPGNLFENCNGLDALFVNVSYNRMSGPIPEDISKVCKSLKFLDVSMNQITGLIPPSMADLDSLVSLNLSWNLLQGQIPSSFGQMKDLRFLSLAGNNLTGSIPSTFGLLRSLEKLELLSNSLSGEIPESLVNLKNLTVLLLNNNKLSGQIPSGLANVTMLSSFNVSFNNLSGPLPSSNNLMKCSSLLGNPLLQPCRSYTLMPSSDQARAGDSQNYAASPPGPATQKNRNSGFRSIEIASITSASAIVSVLLALVILFLFTRKWNSRSKISTTKKEVTIFTEIPVPLTFDIVVQATGNFNASNCIGNGGFGSTYKAEISPGVLVAIKRLAIGRLQGFQQFDAEIKILGRLRHPNLVTLIGYHASETETFLIYNYLPGGNLEKFIQERSTRAVEWRVLHKIALDIARALAYLHDQCVPRILHRDVKPSNILLDDDHNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSPYGNGFNIVQWSCMLLRQGQAKEFFTAGLWDAGPQNDLVEVLHLAVVCTVDSLSTRPTMKQVVRRLKQLEPPSF >KJB36178 pep chromosome:Graimondii2_0_v6:6:40412741:40417142:-1 gene:B456_006G145700 transcript:KJB36178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFWTQGGSDTEEEETDIEDEIENGGAGETTAAAAGSRYLQTNASDSDDSDGQKRVVRSAKDKRFEEMASTVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVTESDRVPNLYIKCLVMLEDFLAEALANKEAKKKMSSSNHKALNAMKQKLKKNNKQYEELINKYRENPESEEEKFEDEDEDEDEDESGSELEDPSQIAAESSDEDDEGEDVDDDDANGAWEKKLSKKEKLMDREFKKDPSEITWDTVNKKFKEVVAARGRKGTGKFEQVEQLTFLTKVAKTPAQKLEIFFSVISAQFDVNPGLSGHMPINVWKKCVQNMLVILDILVQYPNIVVDDMVEPDENETQKGADYDGTIRVWGNLVAFLERIDNEFFKSLQCIDPHTREYVERLRDEPLFLVLAQNVQEYLERIGDLKSAAKVALRRVELVYYKPQEVYDAMRQLAVLSEDGEKDGDETKVEETRGTSAFVVTPELVSRKLTFPENSRALMDILVTLIYKSGDERTKARAMLCDIYHHALFDEFSIARDLLLMSHLQDTIQHMDVSTQILFNRAMAQVGLCAFRVGLIAEGHGCLSELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLEAVHLICAMLLEVPNMAANSLDAKRKVISKTFRRLLEVSERQPFTGPPENVRDHVMAATRALCKGDFQKAFDVINSLDVWKLLRNRESVLEMLKAKIKEEALRTYLFTYCSSYDTLGLDQLTKMFDLSDAQIHSIVSKMLVNEELHASWDQPTRCIIFHDVEYSRLQALAFQLTEKLSILAESNERAVEARFGGGGLDLPLRRRDNQEYAAGTAGGGGGRWPDLSYNQGRQGSSGRAAYTGGGRPLALGQASRDRSSQSRGTGGYSGRAGSGMRGYQMDASARMVSLNRGVRG >KJB36058 pep chromosome:Graimondii2_0_v6:6:39552848:39553051:-1 gene:B456_006G138800 transcript:KJB36058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQACISNPIIASFVALVLSIAATVSAQSGAMAPAPSMDTGAAFSLPASGMVVAFSVIISLLVFNKH >KJB35046 pep chromosome:Graimondii2_0_v6:6:33719296:33720510:-1 gene:B456_006G097200 transcript:KJB35046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMENDSVQKSLVWLHAVLQSKIGHGLEATVLQGLQITHAEKGLMRFDFVIPNVVSDVDGNWHVGALATMLDLIGPVTTFSFVNRVISTVDFNVSYYSTAKIQENVEIESKVIANRGNLIHVVVEVRRKGNGEVIAVGKLWMASDKRTVAEVSNARQL >KJB35829 pep chromosome:Graimondii2_0_v6:6:38392119:38395555:-1 gene:B456_006G130000 transcript:KJB35829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLIRRTPSAPPLLSTSSLKPSLPSLSLSLSLPLPFSTPASSTISFSMPTATLPGCGSSLAAAAALTNKTSSLSRRFYTTASYLYQPRLNTRTSLRAWPGRVGIRPAWCHSSGIEEQLSPIETSKDLNEIEEEDKPIRLNRRQKGSGVLVGSPDLLTIPGVGPRNLRKLVENGIQGVAELKQLYKDKFFGKASQKMVEYLQSSVGIIHRNHAESITTFIKESVDEELKDSNSDTKPASKKRLTFCVEGNISVGKTTFLQRIANETLELRDLVEIVPEPIDKWQNVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQERESSGGLKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSCLPGLIPDGFIYLRASPDTCHKRMMLRKRAEEGGVSLDYLRDLHEKHESWLLPFESGNHGVLSVSKLPIHVDSSLHPDIRDRVFFLEGNHMHSSIQKVPALVLDCEPNIDFSRDIEAKRQYARQVAEFFEFVKKKKEISTTKAGEEGQGGSQPQIILPHAGGLWVPDGKHFPDAALKSLEFRRAMSYMSGSG >KJB37656 pep chromosome:Graimondii2_0_v6:6:46828316:46831504:-1 gene:B456_006G214600 transcript:KJB37656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRLNDPEHSRFASLILLSVALLSCVLVYAVVSTFLNPNVGSQDSSFESLEMVASGDFGEKKDECCRGIENLELWGPAVKWGSEFKFNSSAECCQACKTMCSGNDGPCLCDTWVFCGNKEACGSRFGECWLKKQKDPLSPDRQDAGKSVIWTSGLIFGKGEGIVGMETEYGTLHIKLFPDCAPYSVAYILELLTMRHCVGCQFYRAESRGQSWDSEGNHIKDAPYGPPFALIQGILEAQGTPFNKMPTEACPTIRRGSVAWIGSGPEFFISLANHGEWKNSYTVFGSVLPEDMEVAEKIVQLPTKSDVWNNINVSVLEKPVPLIVRRMKKSLGDLNSNVKPE >KJB38065 pep chromosome:Graimondii2_0_v6:6:48358602:48362165:1 gene:B456_006G235700 transcript:KJB38065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSVATQSFPKLVSLFASLLLLFHLVLAADYVPTEKILLNCGEKSDLSDNDNRKWTPDVGSKFLTGTEKSVTSPASSQDPAVPEVPYMTARVFHSNFTYSFPVVSGRKFVRLYFYANSYDGQNATNALFSVTSGSYTLLKNFSAAQTSEALNYAFVIKEYSINVDGDHLNLTFSPSSTPSNAYAFVNGIEVLSMPDLYSNSDGVPIVGQQVPLTIDNATALENVYRLNVGGSDISPSGDTGLFRSWYDDQPYLFGAAFGVSAAADPNVTIDYGTMATYTAPVNVYTSARSMGPNAPINDNYNLTWLFSIDSGFSYLVRLHFCEFTDNITKINQRVFNIFINNQTAELGFDVIAAAEQVDVPVYRDYVVVVPGSNSQQDLWLALHPNETDKPQYYDAILNGVEIFKINDLNNNLAGPNPIPGPKQDIVDPSLALPSHSGRTKNQTAIIAGGVSGGVILLLVIGFCVVGAARRRRRGKDSSTSDGPSGWLPLSLYGNSHSAGSAKTNTTGSYASSLPSNLCRHFSFAEIKAATKNFDEALVLGVGGFGKVYKGEIDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAYGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLPKEQVSLAEWAAHCHKKGILDQIMDPYLKGKIAPECFKKFAETAMKCVADQGIERPSMGDVLWNLEFALQLQESAEESGKGIDAIDIEEGTYDITCKGKKDVSPGFDGTVTDSRSSGMSTSMSMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >KJB35413 pep chromosome:Graimondii2_0_v6:6:36183890:36186445:1 gene:B456_006G113800 transcript:KJB35413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMLLVFLFIILIITSQFEWRQPLVVDVDTTPSVSQKPQQISRREEAVKEKIILSQEKKIQRLNELVRSLEQQLLQCKGDNKTTNGTVSYLTERILELERQQILED >KJB35412 pep chromosome:Graimondii2_0_v6:6:36183812:36186510:1 gene:B456_006G113800 transcript:KJB35412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMLLVFLFIILIITSQFEWRQPLVVDVDTTPSVSQKPQQISRREEAVKEKIILSQEKKIQRLNELVRSLEQQLLQCKGDNKTTNGTVSYLTERILELERQQILED >KJB35415 pep chromosome:Graimondii2_0_v6:6:36183890:36185983:1 gene:B456_006G113800 transcript:KJB35415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMLLVFLFIILIITSQFEWRQPLVVDVDTTPSVSQKPQQISRREEAVKEKIILSQEKKIQRLNELVRSLEQQLLQCKGDNKTTNGTVSYLTERILELERQQILED >KJB35414 pep chromosome:Graimondii2_0_v6:6:36183890:36186478:1 gene:B456_006G113800 transcript:KJB35414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMLLVFLFIILIITSQFEWRQPLVVDVDTTPSVSQKPQQISRREEAVKEKIILSQEKKIQRLNELVRSLEQQLLQCKGDNKTTNGTVSYLTERILELERQQILED >KJB33470 pep chromosome:Graimondii2_0_v6:6:2575923:2578070:-1 gene:B456_006G012200 transcript:KJB33470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESVGSSMTPEIPGINGGDSRRSSLSCAANNKERTRSLYRRASTGCCHDICKPEKKHESEEKAPKLPFPKRITKKAFDEPNLFENLELPPKKKIVKPETSTNSPSGSSSGVKKVVPMKEKASMEKVKAKYPPNSRRHSIDITDVVNFEDKKTSMTKLKSSPKLKPDVFDAGKVVKQDRSLPSKKVPSKANERSCYKRSITCLKPKNQAERKSGIDDMKTGKRNVIKELAASQKASTAGATSLTTRKYRNLKVVPKQKDRNKVENGETEQLLDEHDTLQEKTLYVIKLETENMMLESDKNENCAAELSPPIVDESGYAVTKVDHDSVPEYGEDKTVNTEAADNSSNNGPLRLKSGQGRETDGDTNDIVSRHKSVQGKIDGKSLFNNVIKETVNKLVETRKNKVMTIVGAFETAISLQDSKPLSNVASW >KJB33748 pep chromosome:Graimondii2_0_v6:6:7419502:7422686:-1 gene:B456_006G028800 transcript:KJB33748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREMASRNGWKELVLPCIAMVAVECSTVIATILIKAASVKGMSYLVFTAYCYILGTLVFLLLVSLFKRKSVLPQLKFPLFSRIFLIGLFGFSGQLCMYKGLQLTSPTLASTISNLTPAFTFILAVFFRIEKVALRSSSSRCKIMGTFTSICGALVIIFYKGPKVFSLSSSAIHQRPLGLMSSESNWIIGGLLLAVAFVLVLLGYIIQSQIMKIYPEEVTVNFFYNLFGTIIFLPICFLAEPNLSSWRLRAPLVCHCIPVRCSWGGEWQQPHWSALWFNMPVR >KJB34092 pep chromosome:Graimondii2_0_v6:6:15406291:15407206:1 gene:B456_006G047400 transcript:KJB34092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSFTDNSAATFSLTDEDHTIANTVRFTLNQDPRVTFCGYSIPHPSEARVNIRVQTTGDPAREVLKDACQNLMLMCRHVRCTFDKAVEDFKASNAVKAMKIDSQDSSGDDSEESE >KJB33950 pep chromosome:Graimondii2_0_v6:6:11255330:11259717:-1 gene:B456_006G040000 transcript:KJB33950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVEGLVNQIQGLSTTASDVLSLQNLLKQADDSLHAESTRLLPFLDQLDPSKHSLGYLYFLEACTAGPVTKEQAGSYVLMIARFITSCIAEQIRLAPDKFITVCKRFKDQVLLLEEPLRGVAPMLTAIRKLQSSSEHLTALHPEFLLLCLLSRCYKAGLSILEEDVFEVDQPRDLFLFCYYGGMISIGKKWFRKALELLHNVVTAPMSTVNAIAVEAFKKYILVSLIHHGQSPTNLPKYASSVAQRNLKNLCQPYIELANSYSNGKIADIETYVEANKDKFESDNNLGLVKQAVSSMYKRNIQRLTQTYLTLSLQDIANRVQLNSPKEAEMHVLQMIKDGEIYATINQKDGMVRFLEDPEQYKTCEMIEHIDSSIQRLMTLSKKLNGVDELMSCDPLYLLKAGRERQRFDFDDFDNVPQRFNI >KJB33954 pep chromosome:Graimondii2_0_v6:6:11255853:11259554:-1 gene:B456_006G040000 transcript:KJB33954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVEGLVNQIQGLSTTASDVLSLQNLLKQADDSLHAESTRLLPFLDQLDPSKHSLGYLYFLEACTAGPVTKEQAGSYVLMIARFITSCIAEQIRLAPDKFITVCKRFKDQVLLLEEPLRGVAPMLTAIRKLQSSSEHLTALHPEFLLLCLLSRCYKAGLSILEEDVFEVDQPRDLFLFCYYGGMISIGKKWFRKALELLHNVVTAPMSTVNAIAVEAFKKYILVSLIHHGQSPTNLPKYASSVAQRNLKNLCQPYIELANSYSNGKIADIETYVEANKDKFESDNNLGLVKQAVSSMYKRNIQRLTQTYLTLSLQDIANRVQLNSPKEAEMHVLQMIKDGEIYATINQKDGMVRFLEDPEQYKTCEMIEHIDSSIQRLMTLSKKLNGVDELMSCDPLYLLKVNLLFHIKASLVVT >KJB33952 pep chromosome:Graimondii2_0_v6:6:11255403:11259554:-1 gene:B456_006G040000 transcript:KJB33952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVEGLVNQIQGLSTTASDVLSLQNLLKQADDSLHAESTRLLPFLDQLDPSKHSLGYLYFLEACTAGPVTKEQAGSYVLMIARFITSCIAEQIRLAPDKFITVCKRFKDQVLLLEEPLRGVAPMLTAIRKLQSSSEHLTALHPEFLLLCLLSRCYKAGLSILEEDVFEVDQPRDLFLFCYYGGMISIGKKWFRKALELLHNVVTAPMSTVNAIAVEAFKKYILVSLIHHGQSPTNLPKYASSVAQRNLKNLCQPYIELANSYSNGKIADIETYVEANKDKFESRLTQTYLTLSLQDIANRVQLNSPKEAEMHVLQMIKDGEIYATINQKDGMVRFLEDPEQYKTCEMIEHIDSSIQRLMTLSKKLNGVDELMSCDPLYLLKAGRERQRFDFDDFDNVPQRFNI >KJB33953 pep chromosome:Graimondii2_0_v6:6:11255403:11259554:-1 gene:B456_006G040000 transcript:KJB33953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARFITSCIAEQIRLAPDKFITVCKRFKDQVLLLEEPLRGVAPMLTAIRKLQSSSEHLTALHPEFLLLCLLSRCYKAGLSILEEDVFEVDQPRDLFLFCYYGGMISIGKKWFRKALELLHNVVTAPMSTVNAIAVEAFKKYILVSLIHHGQSPTNLPKYASSVAQRNLKNLCQPYIELANSYSNGKIADIETYVEANKDKFESDNNLGLVKQAVSSMYKRNIQRLTQTYLTLSLQDIANRVQLNSPKEAEMHVLQMIKDGEIYATINQKDGMVRFLEDPEQYKTCEMIEHIDSSIQRCTL >KJB33951 pep chromosome:Graimondii2_0_v6:6:11255403:11259554:-1 gene:B456_006G040000 transcript:KJB33951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVEGLVNQIQGLSTTASDVLSLQNLLKQADDSLHAESTRLLPFLDQLDPSKHSLGYLYFLEACTAGPVTKEQAGSYVLMIARFITSCIAEQIRLAPDKFITVCKRFKDQVLLLEEPLRGVAPMLTAIRKLQSSSEHLTALHPEFLLLCLLSRCYKAGLSILEEDVFEVDQPRDLFLFCYYGGMISIGKKWFRKALELLHNVVTAPMSTVNAIAVEAFKKYILVSLIHHGQRNLKNLCQPYIELANSYSNGKIADIETYVEANKDKFESDNNLGLVKQAVSSMYKRNIQRLTQTYLTLSLQDIANRVQLNSPKEAEMHVLQMIKDGEIYATINQKDGMVRFLEDPEQYKTCEMIEHIDSSIQRLMTLSKKLNGVDELMSCDPLYLLKAGRERQRFDFDDFDNVPQRFNI >KJB38351 pep chromosome:Graimondii2_0_v6:6:49480197:49481780:-1 gene:B456_006G251100 transcript:KJB38351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFSLRPFFLSLSFIVLFTNLELSSMVESGSDITTLVYKGCAKQAFTDPGGVYSQALSALFQTLLSQSMKVKFYKTTTGTAQTTINGLFQCRGDLSNSDCYNCVSKLPTLSDKLCGSNTIASRIQLVGCYMLYEVSGFPQISGMEMLYKTCGGGNGGGGVGFEEKRDTAFSVLENGVVNNHGFFTTNYQSVYVSGQCEGDIGDSDCGECIKNAVQKAQVECGDSNSGQIYLHKCFISYNYYIHGVPKRSSSSSSHPLAAASTETGQDTGKTVAIILGGAAGIGFIVILMMFARNSMKKHEDS >KJB34005 pep chromosome:Graimondii2_0_v6:6:12458613:12462021:-1 gene:B456_006G043000 transcript:KJB34005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENYRRLKEINKKLRREIRGYVGIHSSGFRDFLLKPELLRSIVDSGFEHPSGVQHECIPQAILGMDVLFQAKSRMGKSTVFVLSTLQQIEPVAGQVAAVVLCHTRELAYQFSHL >KJB34330 pep chromosome:Graimondii2_0_v6:6:22101723:22102879:-1 gene:B456_006G060300 transcript:KJB34330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFTKASSSTSCSEEDTEQLRKGPWTLEEDSLLTRYVARHGEGHWNLLAKLAGLRRTGKSCRLRWLNYLKPDVKRGNLTPEEQFLILELHCKWGNRWSKIAQELPGRTDNEIKNYWRTRVQKQARHLKIDANSAAFRNVIRCFWMPRLLQMMKGSSTLPQQVAGLGQQNSDSEQCASSCVSSSESMNNNMSKISEFAEYQTSSFGITDNNEYNTVAKDCYYVDNSSCYGMETINLPSTSAVGDFMTADCHMVDNNWVNDGFADGIWSMGELWELPLKGNL >KJB37223 pep chromosome:Graimondii2_0_v6:6:45109055:45111751:-1 gene:B456_006G194400 transcript:KJB37223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSMKKIHKDKDVEPTEFEESVAQAFFDLENTNQDLKSDLKDLYINSAVQIDVSGSRKAVVIHVPYRLRKAFRKVHVKLVRELEKKFSGKDVILIATRRILRPPKKGSAVQRPRSRTLTAVHEAMLEDIVLPAEIVGKRTRYAIDGSKLMKVFLDPKERNNTEYKLETFSAVYRKLAGKDVVFEFPVTEA >KJB37224 pep chromosome:Graimondii2_0_v6:6:45109151:45111695:-1 gene:B456_006G194400 transcript:KJB37224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDFLRCTNSKVIYVSGYHMIGVICLRFACSQIDVSGSRKAVVIHVPYRLRKAFRKVHVKLVRELEKKFSGKDVILIATRRILRPPKKGSAVQRPRSRTLTAVHEAMLEDIVLPAEIVGKRTRYAIDGSKLMKVFLDPKERNNTEYKLETFSAVYRKLAGKDVVFEFPVTEA >KJB36469 pep chromosome:Graimondii2_0_v6:6:41998159:42001096:1 gene:B456_006G160500 transcript:KJB36469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKTNSIWLFAFLFALFSILISLYTLPPSSQATISMKFEASFVKKVVSQSGEFISLLTRMVSRHHHHHRHRRRHHHHHHRRGREHKCDHIKWRSSLSTSSLIYQYKVSLVLTVDLEGCGNFSSAQKAIDAVPDSSPSKTLIIIYSGTYREKVVVHASKSNLIIQGEGFLNTVIEWNDTATSTGGTVYSSSVAIFASNFTAYNISFKNTAPEPIPGETGKQAVALRIAGDQAAFYNCGFYGAQDTLLDDRGRHYFKGCFIQGSIDIIFGNARSLYQGCVIHSIAKEGTSGVSGSVTAHARQSLNEQTGFSFVNCIVRGTGSVWLGRAWGAYATVVFSRSYMSDVVAPVGWNDWRDPSRDQTVFFGEYECLGPGANYTFRASYGKQLMEYEAAHYMNISYIDGDQWLQD >KJB34678 pep chromosome:Graimondii2_0_v6:6:30273977:30275252:1 gene:B456_006G078000 transcript:KJB34678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSADNYGDDEPGCGKPSSSTMKLFGFQVGADEECPPSVRRNVSEYRRFECQFCHRGFANSQALGGHQNAHKRERRAKQGSFFTLHHQQQQQLKQRFLTTGPVISPHSARTRRLAYGRGSTSMAPRGGLSAAAPSLPMLTPRGPCPFHVGGGGQGQGQGPFQVQNHEVGVNLTANEVIEEDNIDLHLRLAPFIDRANGP >KJB37166 pep chromosome:Graimondii2_0_v6:6:44921580:44922848:1 gene:B456_006G192100 transcript:KJB37166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARQLEASIELTGKAVEDPTEVEVELKRRLGQLTDHLIQKQAQVEALSSEKSTLSFRIKAVSRMLEEGKSTNMNNATSSDLELGTWGLSSSKLNPAQDKIRSGKLQLGSMIKQLDAIFLAGAIFLRRNATAKVWSLVYLICLHFWVIYILMSHSPPSDEERSGAVMSLGKYQ >KJB34580 pep chromosome:Graimondii2_0_v6:6:29417549:29420971:1 gene:B456_006G073600 transcript:KJB34580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNLGHQIGTLSGKQIPTEFAAGEQPSSTTASPSAVWKSPAGNLRSNSPQENASPPMLTPPLTPNSAGEWSYLINLPMLRPDLSVACQAFATSSPLEMTEEEVAGTAEWTDGGRKDEKKGVSVFVMMPLDSVTNGNTVNRKKAMNASLHALKSAGVEGIMIDVWWGLVEREAPGAYNWGGYTELLDMAKKHGLKVQAVMSFHQCGGNVGDSCTIPLPKWVREEMEKDSDLAYTDQWGRRNYEYVSLGCDSLLVLKGRSPVQCYADFMRAFKDNFKHLLGDTIVEIQVGMGPAGELRYPSYPEENGIWKFPGIGAFQCYDKYMLSSLKAAAEAAGKPEWGSTGPTDAGHYNSWPEDTPFFKKDGGGWNSQYGEFFLSWYSQILIEHGERILSSASSVFEATGVKISVKVAGIHWHYGSRSHAAELTAGYYNTRFRDGYLPVAQMVARYGAVFNFTCIEMRDHEQPQDALCAPENLVRQVGLATKEAQVPLAGENALPRYDESAHEQILKASSLNIDGSSNDREMCAFTYLRMNPSLFQPDNWRRFVAFVKKMNEGKDSRRCLEQVEREAEHFVNVTEPFVQEAALALMP >KJB38182 pep chromosome:Graimondii2_0_v6:6:48735303:48737886:-1 gene:B456_006G241100 transcript:KJB38182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNKSACVVLLFSLFLSFGLLCSAKDFPGRRGDDDPSKRYEDCRRRCEWDTRGQKEQQQCEESCKSQYGEKDQQQRHRPEDPQRRYEECKQECRQQEERQQPQCQQRCLKRFEQEQQQSQRQFQECQQHCHQQEQRPEKKQQCVRECREKYQENPWRGEREEEAEEEETEEGEQEQSHNPFHFHRRSFQSRFREEHGNFRVLQRFASRHPILRGINEFRLSILEANPNTFVLPHHCDAEKIYLVTNGRGTLTFLTHENKESYNIVPGVVVSVPAGSTVYLANQDNKEKLIIAVLHRPVNNPGQFEEFFPAGSQRPQSYLRAFSREILEPAFNTRSEQLDELFGGRQSRRRQQGQGMFRKASQEQIRALSQEATSPREKSGERFAFNLLSQTPRYSNQNGRFFEACPREFRQLRDINVTVSALQLNQGSIFVPHYNSKATFVILVTEGNGYAEMVSPHLPRQSSYEEEEEQEEEQEQEQEEERRSGQYRKIRSRLSRGDIFVVPANFPVTFVASQNQNLRMTGFGLYNQNINPDHNQRIFVAGKINHVRQWDSQAKELAFGVSSRLVDEIFNNNPQESYFVSRQRQRASE >KJB34447 pep chromosome:Graimondii2_0_v6:6:25349096:25351082:1 gene:B456_006G066200 transcript:KJB34447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRRLCCCIYQEKEDGNKENNKSRDYPWEIYTLKEILHATNNFHNDNKIGEGGFGSVYWGRTSKGVEIAVKRLKAMSAKAEMEFAVEVEILGRVRHKNLLGLRGFYASGDERLIVYDYMPNHCLITHLHGQLAADCLLDWPRRMSIAIGAAEGLAYLHHEANPHIIHRDIKASNVLLDANFQAKVADFGFAKLIPDGVTHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIISAKKPLEKLPGGVKRDIVQWITPYVQKGAFDHIADPRLKGKFDRAELKSAVMVALKCTDSNPEIRPSILEVVEWLKGSVGRRRKEVTNIEHMLEEDGDNETDTDLEGFRMAKSEVRRKWAR >KJB34446 pep chromosome:Graimondii2_0_v6:6:25349096:25351068:1 gene:B456_006G066200 transcript:KJB34446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQIAVKRLKAMSAKAEMEFAVEVEILGRVRHKNLLGLRGFYASGDERLIVYDYMPNHCLITHLHGQLAADCLLDWPRRMSIAIGAAEGLAYLHHEANPHIIHRDIKASNVLLDANFQAKVADFGFAKLIPDGVTHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIISAKKPLEKLPGGVKRDIVQWITPYVQKGAFDHIADPRLKGKFDRAELKSAVMVALKCTDSNPEIRPSILEVVEWLKGSVGRRRKEVTNIEHMLEEDGDNETDTDLEGFRMAKSEVRRKWAR >KJB36264 pep chromosome:Graimondii2_0_v6:6:40827203:40829903:-1 gene:B456_006G149400 transcript:KJB36264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDYIELHRKRHGYRHDFFEKKRKKEARQVHERSAKAQKALGIKGKMIAKKNYAEKALMKKTLAMHEESSTRRKVDDEVQDGAIPAYLMDRENTTRAKVLSNTIKQKRKEKAGKWEVPIPKVRPVAEDEMFKVIRSGKRKTKQWKRMVTKCTFVGPGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFNLDIIGVKKNPNGPMYTSLGVMTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >KJB36265 pep chromosome:Graimondii2_0_v6:6:40827363:40829903:-1 gene:B456_006G149400 transcript:KJB36265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDYIELHRKRHGYRHDFFEKKRKKEARQVHERSAKAQKALGIKGKMIAKKNYAEKALMKKTLAMHEESSTRRKVDDEVQDGAIPAYLMDRENTTRAKVLSNTIKQKRKEKAGKWEVPIPKVRPVAEDEMFKVIRSGKRKTKQWKRMVTKCTFVGPGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFNLDIIGVKKNPNGPMYTSLGVMTKGTIIEVNVSELGLVTPAGKVVWGKDPLTIQA >KJB34794 pep chromosome:Graimondii2_0_v6:6:31738160:31742842:1 gene:B456_006G084100 transcript:KJB34794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDLPWLFLFSSLTIAFFLTVTVAQQEPLYHFCLDLNGNFTRNSTYQANLDRLLSSFTLSTSNENGFYNFSSGQGSNIANALALCRGDVNSSDCFTCINNANDELRNRCPYQREAIIWYDYCMFRYTNRTILGVAETSPSFYMWNLNNVTNVDAFNQAVSSLMDNLTNIASTGTSLGKFATGSARIPSLTIYALVQCTPDLEDTVCQSCMSQAIGEIPNYCDRKQGCRVYRPSCNFRFEIERFYNLTASDTAATPSSPPPSTASPPSSPTTTENDSNSSGTTIIISISAAAFALLLISICIFVILRLRKPKLKPQKHEATEVVDEISTVESLQYDFNTIRAATDHFYDGNKLGRGGFGAVYKGTLAGGKLIAVKRLSSDSRQGDLEFKNEVHLMANLQHRNLVRLQGFCLEGDERLLIYEFVPNGSLDKFLFDPVKKAYLNWERRYKIIGGVARGLLYLHQDSRLRIIHRDLKASNILLDAEMTAKIADFGMARLCAVDQTQGATSRIVGTYGYMAPEYAMHGQFSVKSDTFSFGVLVLEILSGQKNSAFHNGSNIEDLLSFAWRNWEAGTAFDLVDPSLRDGPRREVMRCIHIGLLCVQENVAQRPNMGAVVLMLTSDSTTLPLPLEPAFFMHSKTQSAVQLSEDLNSEETTSSRSGNEIAVVSENKLSITDPYPR >KJB33606 pep chromosome:Graimondii2_0_v6:6:5369009:5369767:1 gene:B456_006G0212002 transcript:KJB33606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTLKGKASDQAPALANIQTAQKRPVSQPVSQPKPQASLNLRYFFTFGLGSNIWIENLSESILSFFFVRLLKF >KJB36186 pep chromosome:Graimondii2_0_v6:6:40387975:40391668:1 gene:B456_006G145500 transcript:KJB36186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEYLTQRSETFVAESVVLDAGLEVQASDHPYDIIAFLIDEFATTKRNLFSRVSEWLLSDKREDKIDDFSQEMEINGFWSIDKREAIVQTLLKNVDLKNEFHCDMKFYSADELAQHVPTCKYRSMTCQNEGCYAKYSISQMENHDSVCPYKMIPCEQKCLASLMRRDMDRHCITVCPMKLVNCPFYSVGCKSAIPQCKIEEHRSLDFHSHLLYILQGIHKEASVEVLRKRVEQLLQKYSSKLANIRDLRSLTYKVKDLDAKLGPLKVCATNEVDVATKTAGKLQILEASDTNKVTEKGAETRVVGFEAKPQSLEVTPTNKDSIEAVKAEIKDSEAKLQSLGVKSLNKVSKEGLKTKATDVEAKPQSLENTITNKDSGVAPGTTVNHHEARPPSLEVTPKKVSEATIENSVKDVEGKVRLSKVSTGENW >KJB33159 pep chromosome:Graimondii2_0_v6:6:8118054:8119731:-1 gene:B456_006G031100 transcript:KJB33159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLNGIFAITMANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLFPALSPFATTLPVFLAVGNSSSMMVLAIIVLLFRYT >KJB33160 pep chromosome:Graimondii2_0_v6:6:8118054:8119731:-1 gene:B456_006G031100 transcript:KJB33160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLNGIFAITMANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLFPALSPFATTLPVFLAVGNSSSMMVLAIIVLLFRYT >KJB33158 pep chromosome:Graimondii2_0_v6:6:8118195:8118930:-1 gene:B456_006G031100 transcript:KJB33158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLNGISAFGAVLHVISTSLLGIVAITMANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLFPALSPFATTLPVFLAVGNSSSMMVLAIIVLLFRYT >KJB33161 pep chromosome:Graimondii2_0_v6:6:8118195:8118930:-1 gene:B456_006G031100 transcript:KJB33161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLNGISAFGAVLHVISTSLLGIVAITMANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLFPALSPFATTLPVFLAVGNSSSMMVLAIIVLLFRYT >KJB33162 pep chromosome:Graimondii2_0_v6:6:8118007:8119731:-1 gene:B456_006G031100 transcript:KJB33162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLNGISAFGAVLHVISTSLLGIVAITMANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLFPALSPFATTLPVFLAVGNSSSMMVLAIIVLLFRYT >KJB37001 pep chromosome:Graimondii2_0_v6:6:44299606:44307820:-1 gene:B456_006G186000 transcript:KJB37001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRYQIRNEFSLADPELYRAADKDDPEALLEGVAMSGLVGVLRQLGDLAEFAAEIFHNLHEEVMVTAARGHALMGRVKQLEAEFPSIEKAFLSQTNHSFFFTNAGVDWHPNLRTEHNLITRGDLPRCVLDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFFKAEPDFPGIAPLEVQREKKARKVKKKGSRWRNGETPDFAQASHAKLHELFLEERIENAYNDPARLVKLKRRQLNESPLDSKSGKSYMEKFLETPPAQTKAVYEISGTPPLRLTLDNSSDSGPETLDISTVSPVKVSSQGKETTSSLSTVHEIVLKPSIEELNGEVIDREIMKGPEPTVNFKVRIPPSLLEVTTEEEIMLNGEGIEECNIYGDHSDDMTSEADKYIDALTTMESERDTDNDYRPENDIGFMDIGTYQTGSHANEEKLGVQGHSSDSQSDRISFVSDDGNSSMKKGRSSSTYSDTIDSLAKDMLSDGELAVEVLSSDRNCVAEIVEAPRIQLPTCSEMQCPSSDQVLLPKETFSGELCLPGLGEASYSSCLEDLNSTHILLDEVSSVANPLLEPQPEEVPSDIKTNSNLADNDGRKCLDDSSEVIFTDSSEKQVSLITLSTESQLVDELDRVDTTVSSDALPHLLNLLQVNPEERSGNDHLDEVLKTDFAGEICAENSVYQTIGSPTEEQHLCSTLADGERSSNSILSPEYLLVMKPINSASEVSDATVEADLKLEQITPMVDTSHICAINEQKFSDILHDDPKLEADLMEIGASYSEQQQNVDELFDATGGEETREFICSANVVEEDAIPCDLLYDYTDPLNLKDHVDFDDLATEHVHAESIAVPAAADGSADFGDGDTCQEGLESNEVVSQECLTELEAQEETNQVVGTPSDIDSTSCKSVSYGNFNLEDDIHYSSLAQPSKDSLNFVDLTTTPASSEFSDKESEPKYLSNPMESREDMVSSHTHHQLEKQSSSEPSLEPSSHIHTYQHDVERLHMVEDSSKRMRSLDHIDQEQCLQTSFEPSKDVYSSEPLSDFSEQSGKQDEQESSQYDLVHPAFGLQPEATKAIMEEMPPLPPLPPMQWRIGRVQHVSTAPQRELVEHGQRSFPMMPQYGTGEKTQIDLPTLEQGFEQSRNPFLPLVDGEERSVNVSDQLAADYMQPSPFSMHPATMDSNSNSQYSGICSDRAHSNPFLTLPTTSNENIEYGSLVIEGERVESSFSLPMPPTDATCGHIPVSLPEKEANCPSQFVLDTSSEGRTFQDPKQNFDQEHGNPPDVSVPIPTKKEEQVPTKVAEDLPTKVEEQFPTKVDEHPQHGLEASEGEKVQISNAIVQHGLAATDGETSQLTNTTLEHDLPTSEGEATCPSNTLGLIQVSEEGNSNGNPPVKLPRPRNPLIDAVAAHDKSKMRKATERIRPTTIPKVDERDSLLEQIRTKSFNLKPAAMTRPSVQGPKTNIRVAAILEKANAIRQALAGSDDDDDDEDGWSDS >KJB37132 pep chromosome:Graimondii2_0_v6:6:44779835:44786573:-1 gene:B456_006G190700 transcript:KJB37132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCSSTRTIVIPRETKFLYFNKIYGHSQFHVKPNYWKPKCLSKDSNPLISSSSDSERMAAVEPLTSGASGRINALFSLRALRSLLLLLNAVVLFLLLPFRGQRRASAICSGEKVGKDEKQDCRRKGSSSSSAVMRVPAAMVLRRSAAVILDQEAAARRSLAIQRVVEDDDNDTVREFSLFTSARGETLFTQSWTPVSVVVRGVVVLLHGLNEHSGRYSDFAKQLNANGFKVYGMDWIGHGGSDGLHAYVHSLDHAVTDMKIFLEKVLAENPGLPCFCFGHSTGGAIVLKAVLDPKIEAQVSGIILTSPAVGVQPSHPIFVVLAPVVSFLLPRYQVSVTNKKNMPVCRDPEALVAKYSDPLVYTGPLRVRTGYEILRTTSYLQQNMNRLRVPLLVLHGTDDTVTDPQASQKLYEAAASTDKTIKLFEGLLHDLLFELERETIMDDIIQWLNCRV >KJB33624 pep chromosome:Graimondii2_0_v6:6:5978314:5980111:-1 gene:B456_006G022600 transcript:KJB33624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLLEGPIPLDIESLNALQYLDLSDNKLRVKPSQFESLTQLNILNLSRNNLTGMIPEFLVYGPNPDGLLHFAPEEFKGNKDLCGSIQGFLPCPSSPSVNQERNSKVKHNLLVFILVPTLLFFVTTFALIAFEDIIKATEDFDIKYCIGTDTSFRNEIKFLTEIRHKNIVKLHGFCLHNRCMLVYEYMEKGNFIDDEVVEMDWIKRVNIVKGVAHALSYMHHDYNPPIVHRDISSNNILLNSELEAFIVDFGTARFLNPDSSNQTVIVGTYGYIAPGDIIFFAVIAFACLQARPKARPTIKLVSQEFLHVKYPIAMPLHKISLIKLKDHEIFMRDESHNK >KJB34080 pep chromosome:Graimondii2_0_v6:6:15310890:15314706:1 gene:B456_006G047000 transcript:KJB34080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDDDDLGGPHEEDLDNRAQTSDQLDLNVEQECRSPKVNHVDSTHSNLPSKVETDADGVLRVGIEFESDEHAYRFYNKYARLSGFSVRKDWVNRSKIHGQVVSRKFTCSKEGYRRTDQRDVIVKKHRKETRTGCLAHMIITRKPNGKYRLSHFEANHNHDNINPYNGHALQLQKDLCFVHVPETEQPNNLETQNPAFDLTSKILVRESLECLPMDYFNHLRSERVRDMKEGEAGCLLHYFQRQHFENPSFFYAILLDINDKVSNIFWADDNMVVDYNYFGDVVLLDMRFRTNKDYKPFVQFIGVNHHNQALIFSAALLYDDTVESLKWLFHTFLEAMSGKKPKVILTDQDATVVEAVGSILPETGHHICVYQMHQNTLKHLSHIVKDADAFSNDFRSCIYDHNDEDDFIHAWEAMLDKYNLKQNEWLRWMYREKEKWAVVYGKNRFFIDMKCSHLGESLSNKLRSYLNDGQDVLQFFKHFERVMDEQRHKEIKATHKMSHCKPELMGNVILLKHASEIYTPKAFEVFQHEYEECLNVVANQCSQNGYLSEYKVNTFGKSQEYIVTFDSSDDLVICSCMKFEYVGFLCSHALRVLDHRNIKVVPSRYILKRWTKDARIGCAQDDSDFIIQENPKLVAASRYRDMCRSILNLSARAAESYDAFHFASGQLNETIEGVEKILAQKAEDAQVIASSSSAATAPDSENVEIFLDGNAIDDQEKSCRTQSKKENEATEPHRHKQKSILQRGLKNRRIQNEGSNSPNTITCISSSSPTNVSPQASVSAPVMQRLFNFEANQVVQCVDQQSTLGIEQTPHAEVYQNPNFYTDQHGSPNQTQLLQAMELDIQPSHSSSFLLYDDGDRTY >KJB34081 pep chromosome:Graimondii2_0_v6:6:15311527:15314244:1 gene:B456_006G047000 transcript:KJB34081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDDDDLGGPHEEDLDNRAQTSDQLDLNVEQECRSPKVNHVDSTHSNLPSKVETDADGVLRVGIEFESDEHAYRFYNKYARLSGFSVRKDWVNRSKIHGQVVSRKFTCSKEGYRRTDQRDVIVKKHRKETRTGCLAHMIITRKPNGKYRLSHFEANHNHDNINPYNGHALQLQKDLCFVHVPETEQPNNLETQNPAFDLTSKILVRESLECLPMDYFNHLRSERVRDMKEGEAGCLLHYFQRQHFENPSFFYAILLDINDKVSNIFWADDNMVVDYNYFGDVVLLDMRFRTNKDYKPFVQFIGVNHHNQALIFSAALLYDDTVESLKWLFHTFLEAMSGKKPKVILTDQDATVVEAVGSILPETGHHICVYQMHQNTLKHLSHIVKDADAFSNDFRSCIYDHNDEDDFIHAWEAMLDKYNLKQNEWLRWMYREKEKWAVVYGKNRFFIDMKCSHLGESLSNKLRSYLNDGQDVLQFFKHFERVMDEQRHKEIKATHKMSHCKPELMGNVILLKHASEIYTPKAFEVFQHEYEECLNVVANQCSQNGYLSEYKVNTFGKSQEYIVTFDSSDDLVICSCMKFEYVGFLCSHALRVLDHRNIKVVPSRYILKRWTKDARIGCAQDDSDFIIQENPKLVAASRYRDMCRSILNLSARAAESYDAFHFASGQLNETIEGVEKILAQKAEDAQVIASSSSAATAPDSENVEIFLDGNAIDDQEKSCRTQSKKENEATEPHRHKQKSILQRGLKNRRIQNEGSNSPNTITCISSSSPTNVSPQASVSAPVMQRLFNFEANQVVQCVDQQSTLGIEQTPHAEVYQNPNFYTDQHGSPNQTQLLQDKPLIHGT >KJB37896 pep chromosome:Graimondii2_0_v6:6:47657403:47658005:1 gene:B456_006G225100 transcript:KJB37896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVESNLALGLLPNQNLEILLDRNYRVSFLVATPSFKTKSDYVKKPIPEIGFQGIWSQLFEPEARGATLNFVAYGGKMDEIPESAVAFPHQKGNLYKISCKIRWREEDNVNSERYIAWMRILHSYMKSFVSKSPREAYVNYRDLDIGKNNEDGYTTYAQMSTWGRKYFKNNFDRLVKIKTKIDPENFFRHEQSISPYFG >KJB33846 pep chromosome:Graimondii2_0_v6:6:11402343:11405452:1 gene:B456_006G040600 transcript:KJB33846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSVHKSSQEPASAMKVGLSFGSKTDNNLIIPPSPVKEKPVANGDFAFISQSPYTFKDFGSKEETFFDSRAYLDSDCEDDFFSVNGDFTPSRGNTPVHHSFSVGTTPRVSKVTVEGSPMSVLETSPMGKKKKLLELFRESVREDRNLTSKSANVTPYHVSGATSLCSSERTANGDHDNPMFKEKPLKSMQCCLPSFVTCSSFNERKKKMSPAIAVNDRPQYPSIH >KJB33847 pep chromosome:Graimondii2_0_v6:6:11402395:11405452:1 gene:B456_006G040600 transcript:KJB33847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSVHKSSQEPASAMKVGLSFGSKTDNNLIIPPSPVKEKPVANGDFAFISQSPYTFKDFGSKEETFFDSRAYLDSDCEDDFFSVNGDFTPSRGNTPVHHSFSVGTTPRVSKVTVEGSPMSVLETSPMGKKKKLLELFRESVREDRNLTSKSANVTPYHVSGATSLCSSERTANGDHDNPMFKEKPLKSMQCCLPSFVTCSSFNERKKKMSPAIAVNDRPQYPSIH >KJB33422 pep chromosome:Graimondii2_0_v6:6:2307997:2309627:-1 gene:B456_006G010400 transcript:KJB33422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPNFNCSIQSENAELKKIDEKVLQESGNDLPKTCTPRRLNLPNAFNFPERYRSPTDSVVSPVPRGLLARNRKAGGSLLPPSINHTKIHELRVEEIGLFSELKK >KJB34910 pep chromosome:Graimondii2_0_v6:6:32622977:32625780:1 gene:B456_006G089900 transcript:KJB34910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAIFSSMRRRRSPSVEAFLAPVDLSEVALVQTLVAISSELVSCVSDKVFFFQRRNSRCLIRKIEVLVVLLEYVKDSESGSMSTLPSTAILCFKELYLLLYRSKILLDYCAQSSKLWLLLQNPSISSHFHDLNQEISTLLDVFPLNDLELSDDVREQVELLQKQARNPKLYVDESDEGLRVKLFSFLDDFGNGRIPNHGDLRLFFVERLWIRDSISFRREIEFLEEQIVNHEGDIEPTACVLNGFVAIVRYCRFLLFGFEEDEVLFLSRGQKKPRKGLIAREIADTFLTVPKDFCCPISLELMRDPVIVSTGQTYDRSSITRWIEEGHCTCPKTGQMLDHTRLVPNRALRNLIVQWCTAHGVPYDPPETRDASAESFAAACPIKAAVEANRATATLLIKQLADGSQGAQTIASREIRLLTKTGKENRAFIAGAGAIPHLRKLLSSSSPIAQENSVTAMLNLSIHDKNKRLIIDEDGCLTSIVEVLKLGLTTEARENAAATLFSLSAVHDFKKRIADQEGAIEALAGLLRVGTSRGKKDAVMALFNLSTHTDNCARMIKARAVAELVGALQNEGVAEEAAGALALIVRQPIGAEAVGKEEMAVSRLITMMRCGTPRGKENAVAALLELCRSGGAAATERVLKTPALAGLLQTLLFTGTKRARRKAASLARVFHRCENTSLHPSGFRNGNSTTNISTFGGDVSVPMSLSVL >KJB33667 pep chromosome:Graimondii2_0_v6:6:6377672:6378829:1 gene:B456_006G025000 transcript:KJB33667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLKKRRLGLVKKVMELTTLCGTEACLVMYSPDKQPPVVWPSHNEVQRLIEKFYQAPEKNMELEAGQFMLQIHQVPCFSCSSSQVILDELEAAQFMLQIHQGKMLDDFNVNELDCLIWYVETMWTDIRKRREYYQRFPSSSAAPAQGYVPLPPPSQSPATTDQIGDAKAMVRGGASSSISSVRVAPQNVVVDHLRLPRPPSGGSSSASPFMIFEFPNTDIDLPEGDNLGLFGGQDVGPGHYPLGPLGSSCPASEFGRNYFEVFSGESSSSSGATSGSETEETS >KJB38386 pep chromosome:Graimondii2_0_v6:6:49591667:49593080:1 gene:B456_006G252600 transcript:KJB38386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSFLLESPASIFSSSSIKAPLYLPKPYPFIVSVKRRRPERKRNPVLKSAVGDVSVVDTPPPPPPPPQDAKSELFSSLKLKLLGIVSGLNRGLAANQDDLGKADDAAKELETVAGPVDLLTDLDKLQGRWKLIYSSAFSSRTLGGSRPGLPTGRLLPVTLGQVFQRIDVISKDFDNIAEIELGAPWPLPPLEVTATLAHKFEIIG >KJB38385 pep chromosome:Graimondii2_0_v6:6:49591585:49593600:1 gene:B456_006G252600 transcript:KJB38385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSFLLESPASIFSSSSIKAPLYLPKPYPFIVSVKRRRPERKRNPVLKSAVGDVSVVDTPPPPPPPPQDAKSELFSSLKLKLLGIVSGLNRGLAANQDDLGKADDAAKELETVAGPVDLLTDLDKLQGRWKLIYSSAFSSRTLGGSRPGLPTGRLLPVTLGQVFQRIDVISKDFDNIAEIELGAPWPLPPLEVTATLAHKFEIIGSSKIKITFEKTSVKTRGTFSQLPSLDVPRIPDALRPPSNPGSGDFDVTFIDADTRITRGDRGELRVFVIS >KJB37176 pep chromosome:Graimondii2_0_v6:6:45020096:45028617:1 gene:B456_006G193300 transcript:KJB37176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKKVADRYLKREILGEGTYGVVYKAIDTKTGQTVAIKKIRLGKQKEGVNFTALREIKLLKELKDPNIIALIDAFPHKGNLHLVFEFMETDLEAVIRDRNIFLSPADIKSYTQMTLKGLAFCHKKWVLHRDMKPNNLLIGSNGQLKLADFGLARIFGSPDRKFTHQVFARWYRAPELLFGTKQYGAGVDVWAAACIFAELLLRRPFLQGTSDIDQLGKIFAAFGTPTPSQWPDMVYLPDYVEYQYVPAPPLRSLFPMASDDALDLLSKMFTYDPKARITVQQALEHRYFSSAPLPTDPAKLPRPTPKSRPSDFNPQEGPTVLSPPRKSRRVMPDRDRFEGNSNLLEKIDDHVGEARLAVGDHAGKSDQVPMSVDFSIFGSKPLSRPTINSADRSHLKRKLDLEFQHND >KJB34395 pep chromosome:Graimondii2_0_v6:6:23648210:23651593:-1 gene:B456_006G064100 transcript:KJB34395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIETCVLKLTTAKYDNQLLTLFKSSLYFASLVSTFTFGASYVTRNKGRRASILVGAVSFFLGGASNAGAMNITMLIIGCILLGAGI >KJB35950 pep chromosome:Graimondii2_0_v6:6:38971307:38973799:1 gene:B456_006G134500 transcript:KJB35950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRCWFSWVKKIFASDAKPKPEKKSRRWKWIFRRLKLKQYHPALPPLQKSLCEAREEQRNHALNVAIATAAAAEAAIAAAQAAAEVVRLTAASNPSHHFTTIDRNLAALNIQTAFRAHLARKALRALKGVVKLQAIVRGRAVRRQALINRKCLQSGTNMYPKVKEKSTSPTRVICQDSRRKQSLMNKDELQDKDIKGQYACNSERSWNDSVLSKQDIEVKFLRSQEAMAKRERMKKYSYSHRERLNTRMLDELVHVKEAKAEANERDRVMISKLDVPSNLSTWEINGPPHVRHRKLKKQQDTLNGMNSPFSFPRRSFSRTQQSAAGDEGSIPNSPVFPSYMGATECAKAKARSRSTPRQRVGFWDSCFDNSGAYKGGLSLWSTFEGEPFSIEENCCLPMNPHIGYMTSTFVK >KJB35949 pep chromosome:Graimondii2_0_v6:6:38971586:38973441:1 gene:B456_006G134500 transcript:KJB35949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRCWFSWVKKIFASDAKPKPEKKSRRWKWIFRRLKLKQYHPALPPLQKSLCEAREEQRNHALNVAIATAAAAEAAIAAAQAAAEVVRLTAASNPSHHFTTIDRNLAALNIQTAFRAHLARKALRALKGVVKLQAIVRGRAVRRQALINRKCLQSGTNMYPKVKEKSTSPTRVICQDSRRKQSLMNKDELQDKDIKGQYACNSERSWNDSVLSKQDIEVKFLRSQEAMAKRERMKKYSYSHRERLNTRMLDELVHVKEAKAEANERDRVMISKLDVPSNLSTWEINGPPHVRHRKLKKQQDTLNGMNSPFSFPRRSFSRTQQSAAGDEGSIPNSPVFPSYMGATECAKAKARSRSTPRQRVGFWDSCFDNSGAYKGGLSLWSTFEGEPFSIEENCCLPMNPHIGYMTSTFVK >KJB37715 pep chromosome:Graimondii2_0_v6:6:47019322:47020483:-1 gene:B456_006G217300 transcript:KJB37715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYSSNVAHPAYNPPVPVIITVIFLLSFLFGFFTVHFLKCLLEYIASNRNIEANTAADVVVAAAPEDTANKSNGLDPELVQAFPTFYYSTVKEFRREKYGLECAICLGEFVDDDMLRLLTICCHVFHKECVDLWLESNKTCPVCRGELDVPRKSLEKSPVLLQSNSMHQIGANQSPLQNAVCIEIKEDNKEKADEAQSSSTTKEHHKKREGVERFFRSNSTGHSIFRTREEDDKYTLRLLDHVKIKILRGHKAAISCISFRDFTSPLNSKNAASGETSESLQGDLDKV >KJB34607 pep chromosome:Graimondii2_0_v6:6:29722050:29728306:-1 gene:B456_006G075200 transcript:KJB34607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGIISFALANSETTFASDHAPIVSINLFVALLCGCIVIGHLLEENRWMNESITALAIGVCTGVIILLTTGGKSSRLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFCVISIGAIHFFKKMNIGDLTLGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQTFDLSHINSTMALQYIGNFLYLFILSTLLGVLAGLLSAYIIRKLYFGRHSTDREVALMMLMAYLSYMLAELFSFSAILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVSEIFIFLYVGMDALDIEKWRVISDSPGTLVGVSGILLGLILVGRAAFVFPLSFISNLTRKAPHEKVDFKQQVTVWWAGLMRGAVSMALAYNQFTSLGHTQLRGNAMMITSTISVVLFSTVVFGLMTKPLVRLLLPSPKHLTRTLSSEPSTPKSFIVPLIGNEGEPEADQNNRNARRPTSLRMLLTTPSNTVHYYWRKFDDAFMRPVFGGRGFVPFVPGSPTEQNGHQWQ >KJB34608 pep chromosome:Graimondii2_0_v6:6:29721500:29728710:-1 gene:B456_006G075200 transcript:KJB34608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGIISFALANSETTFASDHAPIVSINLFVALLCGCIVIGHLLEENRWMNESITALAIGVCTGVIILLTTGGKSSRLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFCVISIGAIHFFKKMNIGDLTLGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQTFDLSHINSTMALQYIGNFLYLFILSTLLGVLAGLLSAYIIRKLYFGRHSTDREVALMMLMAYLSYMLAELFSFSAILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVSEIFIFLYVGMDALDIEKWRVISDSPGTLVGVSGILLGLILVGRAAFVFPLSFISNLTRKAPHEKVDFKQQVTVWWAGLMRGAVSMALAYNQFTSLGHTQLRGNAMMITSTISVVLFSTVVFGLMTKPLVRLLLPSPKHLTRTLSSEPSTPKSFIVPLIGNEGEPEADQNNRNARRPTSLRMLLTTPSNTVHYYWRKFDDAFMRPVFGGRGFVPFVPGSPTEQNGHQWQ >KJB34609 pep chromosome:Graimondii2_0_v6:6:29721840:29728812:-1 gene:B456_006G075200 transcript:KJB34609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGIISFALANSETTFASDHAPIVSINLFVALLCGCIVIGHLLEENRWMNESITALAIGVCTGVIILLTTGGKSSRLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFCVISIGAIHFFKKMNIGDLTLGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQTFDLSHINSTMALQYIGNFLYLFILSTLLGVLAGLLSAYIIRKLYFGRHSTDREVALMMLMAYLSYMLAELFSFSAILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVSEIFIFLYVGMDALDIEKWRVISDSPGTLVGVSGILLGLILVGRAAFVFPLSFISNLTRKAPHEKVDFKQQVTVWWAGLMRGAVSMALAYNQFTSLGHTQLRGNAMMITSTISVVLFSTVVFGLMTKPLVRLLLPSPKHLTRTLSSEPSTPKSFIVPLIGNEGEPEADQNNRNARRPTSLRMLLTTPSNTVHYYWRKFDDAFMRPVFGGRGFVPFVPGSPTEQNGHQWQ >KJB37180 pep chromosome:Graimondii2_0_v6:6:44983163:44984024:1 gene:B456_006G193000 transcript:KJB37180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASLSPPTWDRTVGSIAKQLNKPSKNLYWTSRSSIPNPPPSYFGLHLNTWADFLLFLLPNSHIYSLKPDDFKQTLTLTLDNLP >KJB35818 pep chromosome:Graimondii2_0_v6:6:38324367:38325070:-1 gene:B456_006G1295001 transcript:KJB35818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMDRTLQSESMRSPTVLSIECLKGSSKADEWTGDMLQTGDIVEEIRIGSGSGLAHKAPFKGGRSGVQRVLHSSYKNKETSILVRVRRGNDEFAQLQACIVPNESGGKKQYMLRSIADPNYAVGFLDRTESECFELQ >KJB35817 pep chromosome:Graimondii2_0_v6:6:38324367:38324778:-1 gene:B456_006G1295001 transcript:KJB35817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMDRTLQSESMRSPTVLSIECLKGSSKADEWTGDMLQTGDIVEEIRIGSGSGLAHKAPFKGGRSGVQRVLHSSYKNKETSILVRVRRGNDEFAQLQACIVPNESGGKKQYMLRSIADPNYAVGFLDRTESECFELQ >KJB34008 pep chromosome:Graimondii2_0_v6:6:12703694:12704559:-1 gene:B456_006G043400 transcript:KJB34008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLMHALQYSSYGGGAAALEHVEVSIPTPNKGEILLKLDCRLVCRLFYNLTNKIIDWFVDLLIKNG >KJB38435 pep chromosome:Graimondii2_0_v6:6:49739100:49742394:1 gene:B456_006G254500 transcript:KJB38435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLVPFQDLNLCPEPPLPATVNISTSATPSATINRTTTFNFLTPKIEPKQEPFDEPTPTQTIHQQENFLFSVSNSTPDFIPNPETTPPANASSSADDQNALYSEYFRISELFRSAFAKRIQKYGDVEVLDPDSRAIVPVPEQSQLTPETSPTDGSNLERALSVVVSRKKAGRSNELVRVTNLGIDDQRHFRDLVRRTRMMYDSLRVLVISEEEKRTGPGNGRRARGDLRAAAVMRDRELWLNRDKRIVGAIPGIEIGDVFFFRMELCVVGLHGQIQAGIDTLPASQSSSGEPIATSIIISGGYEDDEDSGDSIIYTGQGGQDKFGKQCMHQKLEGGNLALERSMHYGIEVRVIRGFKYENRVSGKVYVYDGLYKILDCWFDVGKSGFGVYKFRLSRIEGQPEMGSSIMKFAESLRTKPLSTRPMGYLTLDISMKKERVPIFLYNDIDNDHDPMYYDYLVNTVFPLNVFGQGSNSTGCDCVSGCTEGCFCAMKNGGDFAYDYGGILLRGKPVIFECGNFCQCPPSCRNRVAQHGLRNRLEIFRSRETGWGVRSLDLIQAGAFICEYAGVVLTRDQAEVFKMNGDTLIYPNRFSERWAEWGDLSRIFPEYVCPSYPSIPPLDFAMDVSRMRNAACYISHSSSPNVLVQCVLYDHNNLMFPHLMLFAMENIPPMRELSIDYGVADEWTGKLSICN >KJB36171 pep chromosome:Graimondii2_0_v6:6:40295279:40298116:-1 gene:B456_006G144700 transcript:KJB36171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALFLNCFSVSPTAFNKGGSWRKKRSNCSSFVHCQGSSGKAIKTAGVSSVVKERSKMVNGGDRSPALMDAGSLIVSPNQADIAVKDLVPYGGSTTSLVELQDGIGIVKFLRGKEFFITGSTGFLAKVLIEKILRTVPDVGKIFVLVKAKSKEAAMERLKTEIINAELFNCLQQTYGNSYQSFMLSKLVPVVGNVCESDLGLDDELADLISKEVDIIVNSAANTTFDERYDVAIDINTKGASHLMGFAKKCKKLKLFLQVSTAYVNGQRQGRVMEKPFDIGDCIARENLIAETTPRSIPELDIEEEFVLARDTKEGCHESELAQKMKELGLQRARKYGWQDTYVFTKAMGEMMINNMRGEIPVVIIRPSVIESTCKEPFPGWMEGNRMMDPIVLCYGKGQLTGFLVDPNGVLDVPADMVVNATLAAIARHGMTPKPDINIYHIASSVVNPLVFQDLARMLHEHYNSRPFLDSKGTPIHVPSMKLFSSMEDFSAHLWRDAMHRTGLPALASWSGKLSQKLEAVCRKSVEQAKYLANIYEPYTFYGGRFDNSNTKRLLETMSEEEKVSFGFDVETIDWKDYIKNVHIPGLRRHVMKGRGMCSSPIS >KJB36170 pep chromosome:Graimondii2_0_v6:6:40295278:40298121:-1 gene:B456_006G144700 transcript:KJB36170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALFLNCFSVSPTAFNKGGSWRKKRSNCSSFVHCQGSSGKAIKTAGVSSVVKERSKMVNGGDRSPALMDAGSLIVSPNQADIAVKDLVPYGGSTTSLVELQDGIGIVKFLRGKEFFITGSTGFLAKVLIEKILRTVPDVGKIFVLVKAKSKEAAMERLKTEIINAELFNCLQQTYGNSYQSFMLSKLVPVVGNVCESDLGLDDELADLISKEVDIIVNSAANTTFDERYDVAIDINTKGASHLMGFAKKCKKLKLFLQVSTAYVNGQRQGRVMEKPFDIGDCIARENLIAETTPRSIPELDIEEEFVLARDTKEGCHESELAQKMKELGLQRARKYGWQDTYVFTKAMGEMMINNMRGEIPVVIIRPSVIESTCKEPFPGWMEGNRMMDPIVLCYGKGQLTGFLVDPNGVLDVVPADMVVNATLAAIARHGMTPKPDINIYHIASSVVNPLVFQDLARMLHEHYNSRPFLDSKGTPIHVPSMKLFSSMEDFSAHLWRDAMHRTGLPALASWSGKLSQKLEAVCRKSVEQAKYLANIYEPYTFYGGRFDNSNTKRLLETMSEEEKVSFGFDVETIDWKDYIKNVHIPGLRRHVMKGRGMCSSPIS >KJB35987 pep chromosome:Graimondii2_0_v6:6:39373356:39374135:1 gene:B456_006G137300 transcript:KJB35987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDLLSKLPWLKPLLRTKFYSSCECNSAKPCSFFCRDCMGSAFCEDCLYHPHKHVGHQSLRVYKSSSRVGLRVKSIRKLMDVSDIQPYTCNSSKLVYINRKGRNDHVNRMNGRKVDKCEVCGHELQCYSSKFCSIECKVQSEIEVEETEKEEVGISISTTRLLQVDPNSFRKRMRKGTPLRSPFF >KJB33614 pep chromosome:Graimondii2_0_v6:6:5598823:5602914:1 gene:B456_006G021900 transcript:KJB33614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPGGYTAEITSLSPKATEKDLCDFFAYCGAIEHIEIIRCGEYACTAYVTFKDAYSLETAVLLSGATIGDQSVCISKWGSYGDDSYPWDSSTWKTEEDTSSSVTHMHPFVSSPGEAVTVVKTMLAKGYVLGKDALIKAKDLDESYRVSATAAAKVAELSNRIGLTDKINTSMETVKSVDEKYHVSDITKSVASVTGTAVVTAASFTGRTAVAATNAVVNSSYFAKGALWVSGMLNRAAEAAADLGKHGNKQTHT >KJB33617 pep chromosome:Graimondii2_0_v6:6:5598621:5602914:1 gene:B456_006G021900 transcript:KJB33617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPGGYTAEITSLSPKATEKDLCDFFAYCGAIEHIEIIRCGEYACTAYVTFKDAYSLETAVLLSGATIGDQSVCISKWGSYGDDSYPWDSSTWKTEEDTSSSVTHMHPFVSSPGEAVTVVKTMLAKGYVLGKDALIKAKDLDESYRVSATAAAKVAELSNRIGLTDKINTSMETVKSVDEKYHVSDITKSVASVTGTAVVTAASFTGRTAVAATNAVVNSSYFAKGALWVSGMLNRAAEAAADLGKHGNKQTHT >KJB33616 pep chromosome:Graimondii2_0_v6:6:5598700:5602965:1 gene:B456_006G021900 transcript:KJB33616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPGGYTAEITSLSPKATEKDLCDFFAYCGAIEHIEIIRCGEYACTAYVTFKDAYSLETAVLLSGATIGDQSVCISKWGSYGDDSYPWDSSTWKTEEDTSSSVTHMHPFVSSPGEAVTVVKTMLAKGYVLGKDALIKAKDLDESYRVSATAAAKVAELSNRIGLTDKINTSMETVKSVDEKYHVSDITKSVASVTGTAVVTAASFTGRTAVAATNAVVNSSYFAKGALWVSGMLNRAAEAAADLGKHGNKQTHT >KJB33615 pep chromosome:Graimondii2_0_v6:6:5598552:5602965:1 gene:B456_006G021900 transcript:KJB33615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPGGYTAEITSLSPKATEKDLCDFFAYCGAIEHIEIIRCGEYACTAYVTFKDAYSLETAVLLSGATIGDQSVCISKWGSYGDDSYPWDSSTWKTEEDTSSSVTHMHPFVSSPGEAVTVVKTMLAKGYVLGKDALIKAKDLDESYRVSATAAAKVAELSNRIGLTDKINTSMETVKSVDEKYHVSDITKSVASVTGTAVVTAASFTGRTAVAATNAVVNSSYFAKGALWVSGMLNRAAEAAADLGKHGNKQTHT >KJB34304 pep chromosome:Graimondii2_0_v6:6:21784556:21785606:-1 gene:B456_006G059600 transcript:KJB34304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPENSPPSLIQLPTFIFFFCTVLPRTHSRDHSLPYGDCRSSFECGIMKELSYPFWRNQQSHCGRQGFELQCQPHEYPVINMENQTFIVLEIEQNSQWMKLARSDVWNGTCPLLETQLNHPPFSFSATGIRNLSIFHGCQVGSTISEQAYNFSCTTKNGSIGYDYVLWKAFTGPAKLCYLQFTVPVLITAYDQLVHRSLTLKEALNEGFIAVYHFEEEEFCRKCRTSRGTCVRSMNATQVLCFCDNGGLQKTPCLKHGKSLPFCLSAVRS >KJB37348 pep chromosome:Graimondii2_0_v6:6:45780176:45782343:-1 gene:B456_006G200800 transcript:KJB37348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFLSRDINLMGFQISHSNKQKLKPFYDDPDSMLPDVEAYASWLLKHPSALNSFDCIMKEAKGKKVVVFLDYDGTLSPIVEDPDKAFMSTEMRAALREVAKQFPTSIISGRSRDKVKEFVQLNNVCYAGSHGLDIMQPPKSRDKKGNEGAFQPAKLFLPAIQEISMELEHKIRETQGSKIEDNMFCISVHYRQVPPQDQGNLKEKVKSVVENRPEFRLTEGKMVIEVRPSIEWNKGDALNYLLHTFGFSNADDVLSLYIGDDQTDEDAFKVIAGKREGFPIVVSSIPKETKAWYSLRDPSEVLAFLLRLAKWNKSQASFLQLDYI >KJB35410 pep chromosome:Graimondii2_0_v6:6:36169901:36174886:1 gene:B456_006G113600 transcript:KJB35410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMKIEEVQSTAKKQRIATHTHIKGLGLDPTGNAVPLAAGFVGQAEAREAAGLVVDMIRQKKMAGRALLLAGPPGTGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEETESVTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICNVRGTDMNSPHGIPVDLLDRLVIIRTQIYGPSEMIQILAIRAQVEELVVDEESLAFLGEIGQSTSLRHAVQLLSPASIVAKMNGRDNICKADLEEVSKLYIDAKSSAKILQEQQEKFIS >KJB35409 pep chromosome:Graimondii2_0_v6:6:36171053:36174759:1 gene:B456_006G113600 transcript:KJB35409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKFALLCASSFGGIGNFFLYVFLLHYFQVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEETESVTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICNVRGTDMNSPHGIPVDLLDRLVIIRTQIYGPSEMIQILAIRAQVEELVVDEESLAFLGEIGQSTSLRHAVQLLSPASIVAKMNGRDNICKADLEEVSKLYIDAKSSAKILQEQQEKFIS >KJB35383 pep chromosome:Graimondii2_0_v6:6:36031985:36036022:-1 gene:B456_006G112200 transcript:KJB35383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVGEQNSDIPFDVLSRLPTKYLPRLKCVCKGWNRLISDPIFMKVQSRKKEPVSGFFCQQRFRLGNEDITTITHIPVGKQEAKLFQTVFDFLPEHVVLLASCNGLVCGRSCFPRIDPCLYICNPLNHEWMRLTWEEPNREDTFALAFDPCRDLLGTSTKFKLIRVKQIEIESKALCFSFDIYSSDTRAWKKSEEICKCNSNLYKNKGFYVEGVLHWLTDGDEMLTFHVENELSWLVSVPLPAIEFRSIPEACIGHSDDRLHYVLVSQYGLQIWFLEDYFESKWSLKLSKTLEEMEEQHMKFLYNLRERVTQRLAVDMEPWVDLLAFKDGYLLMRVSRNIMLYNIETNRMQLLCSLSKFGTHSTFCTKKLFVCFSCLVSNKCYHLSINKQMHRLAVFQSTRPLFYSTIANSYTHFSTLEENFCTKFITSCAQTSNLLHGKVIHAKFIKGLFPNSLYLQNHMLNMYSKCGDLISGHKLFDEMPQRNVVSWSAMLSGFTQHGFFNQALSLFVYMLRDGTSKPNEFTFVSVLQACSLHENLDLAYQVYAMVLRLGFESNVFLVNAFLTALMRHGKKEEALEVFDECSNKDIVTWNVMLSGYLESSCLDLPKFWVQMNHEGLKPDCFTFASVLTGLASVGHLNMGLQVHGQLVKSGHGTEICVQNSVVDMYKKNQRLFDGLKAFNEMGEKDVCSWTQIAAGWLEYGEPTKALEAIAEMRMMGIIPNKFTLATAFNACANLSFLEEGKKAHGLRIKLGVDIDVCVDNALIDMYAKCGSMDGAWGVFKVMDDRSIVSWTTMIMGCAQNGQAREALKIFDEMIMKGIKPNYITFVCALYACSQGMFTDEAWKYFSSMTIDHGISPGEDHYIYMVHLLGRAGHIKEAEELILSMPFQPSASVWQTLLNACQVHGDIETGKRAAEHAINLDRKDPASYVLLSNMFAGFNNWDDVGKLRELMETRDVKKVPGTSWIKLEKDCSVPSGPKFSSMGSKIS >KJB36734 pep chromosome:Graimondii2_0_v6:6:43274425:43277516:-1 gene:B456_006G174000 transcript:KJB36734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPLNTVFDAKRLIGRRFSDAAVQSDIKLWPFKVIPGSGDKPMIVVSYKGEEKQFAAEEISSMVLIKMREIAEAYLGFTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVDAKNALENYAYNMRNTVKDEKIGAKLAAADKKKVEDAIDEAIQWLDNNQLAESDEFEDKMKELESICNPIIAKMYQGAGADMGGMDEDAPASGTGAGPKIEEVD >KJB36400 pep chromosome:Graimondii2_0_v6:6:41705748:41706521:1 gene:B456_006G157600 transcript:KJB36400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKLVLIIKEVSENISMRNHQSSSVLVSATNNCSQVGLIRTNKGGISIGQKGSKGGSDPIILRLGIQGEVEGSTPKLQRFKIRTVETGQVVVKDEETSKS >KJB33905 pep chromosome:Graimondii2_0_v6:6:10559938:10562297:1 gene:B456_006G037600 transcript:KJB33905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFLVVLNWFPKGRKSMRFRSRNYINSWGRFFWFAAFIVSTFVLFNGFSYSNFRFLFGERFEPVLKFARKTPTANAIVSGEHPVTQILSVRETVLLPDQVILFLKYPRSARLFTKEELDCVYLSVHNTSPVPRLKQSPARVDTEQLGEQIVRCPSGPRGLLITVGSKSNGVFPAGPTHYWDSLAYEALVDNDNTTVVFVKGLNLRPERVSNASRFECVYGEDFSRLKLVLRSEVLSIAQEIVRCRTPLIVLNSQKKVNSSVKVSVRIKGGGTLPSIARLGFLSDSGRDPLPTRKPHETCICTMARNQARFLKEWVIYHALIGVQRWYIYDNNSDDDTDQVIESLFNAGYNISRHIWPWIKTQEGGFSHCALRAKGSCEWIGFIDVDEFLHLPTGLFLHDVISNLTSTITSFGNISIGEIRVSCYSFGPSGLKRIPKQGVMVGYTCRLAVPERHKSIVKPEALNSTLINIVHHFHLRDDFRFIDVDRRMMVVNHYKYQVWEVFKQKFYRRVATYVADWKDEQNVRSKDRAPGLGTRAVEPVDWSSRFCEVTDTGLKDRVLRNFANPKNSLLPWQTTTNKKAGTWFVEEENTNKVQEKE >KJB38790 pep chromosome:Graimondii2_0_v6:6:50858861:50861132:1 gene:B456_006G271800 transcript:KJB38790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSREPDNPTKSCKARGSDLRVHFKNTRETAFAIRKLPLTKAKRYLEDVIAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDSLIVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEAVKKEPETQLAPRKSKGASA >KJB38791 pep chromosome:Graimondii2_0_v6:6:50859337:50861046:1 gene:B456_006G271800 transcript:KJB38791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDCWFILLTSVSACKARGSDLRVHFKNTRETAFAIRKLPLTKAKRYLEDVIAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDSLIVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEAVKKEPETQLAPRKSKGASA >KJB35187 pep chromosome:Graimondii2_0_v6:6:34434927:34437978:-1 gene:B456_006G103400 transcript:KJB35187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSPKFPVKWVKFMVMILSIASTGIAAGRTDIPRLSPTRGIIMEDPDLLSSAAVSDDFQTFYYPQTLDHFNYQPQSNATFQQRYVMNFKYWGGANNSAPILAYLGAESHLNVSLTSIGFLNDNAVSFNALLVYIEHRYYGISIPFGSREEAFKNASTLGYFNSAQAIADYAEIIMHIKNELRAFYSPVIVVGGSYGGMLASWLRLKYPHVALGALASSAPILYFDKITPSGAYYSVVTKDFREASESCYQTIRNSWSVIDKIASQPNGLSNLSTIFKTCKPLNKSSELKIALKNMYASAAQYDRPPRYPVTVICGGIDGANEKQDILSKIFAGVVAYRGNCSCYINPSTNDSSCPPTNDSEIVGWRWQTCSEMVIPIGIGNGTMFQPAPFNLTSFVQHCKTIFGVTSRPHWVTSYYGGHDIKLILQRFGSNIIFSNGLRDPYSPGGVLENISESILAVKTVNGSHCLDLYAQNASDPDWLVKQRQTEVEIIRGWIAQYYADLKAILFKQ >KJB34934 pep chromosome:Graimondii2_0_v6:6:32824552:32827777:1 gene:B456_006G091700 transcript:KJB34934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTANKTINAKIVLLGDVGTGKSSLVLRFVRGQFVEFQESTIGAAFFSQTLAVDDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNLASFERAKRWIEELQAQGNSDMVMALAGNKADLLDAKNVETEEAKTYAQENGLFFVETSAKTSSNVNNIFYEIGDSFLIYVT >KJB34935 pep chromosome:Graimondii2_0_v6:6:32825078:32828340:1 gene:B456_006G091700 transcript:KJB34935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGVWYGCCHMDNWVLLGDVGTGKSSLVLRFVRGQFVEFQESTIGAAFFSQTLAVDDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNLASFERAKRWIEELQAQGNSDMVMALAGNKADLLDAKNVETEEAKTYAQENGLFFVETSAKTSSNVNNIFYEIAKRIPRVQPSQNPAGMVLMDRSAEQTARASCCSY >KJB34933 pep chromosome:Graimondii2_0_v6:6:32824228:32828340:1 gene:B456_006G091700 transcript:KJB34933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTANKTINAKIVLLGDVGTGKSSLVLRFVRGQFVEFQESTIGAAFFSQTLAVDDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNLASFERAKRWIEELQAQGNSDMVMALAGNKADLLDAKNVETEEAKTYAQENGLFFVETSAKTSSNVNNIFYEIAKRIPRVQPSQNPAGMVLMDRSAEQTARASCCSY >KJB38173 pep chromosome:Graimondii2_0_v6:6:48710008:48711060:-1 gene:B456_006G240400 transcript:KJB38173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMKIATCFIMVLMVMFSAGAKAQSGCTTVLVSMSPCLNFIAGNSSTPSQQCCTQLASVVRSSPQCLCEVLNGGGSSLGINVNRTQALALPGACNVQTPPVSACNGASPAPADSPVGSPESGSTIPTGGSKTVPSSEEDGSPSSSSNGSTMTKLTLSLLFFLIVAISH >KJB38172 pep chromosome:Graimondii2_0_v6:6:48710003:48711104:-1 gene:B456_006G240400 transcript:KJB38172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMKIATCFIMVLMVMFSAGAKAQSGCTTVLVSMSPCLNFIAGNSSTPSQQCCTQLASVVRSSPQCLCEVLNGGGSSLGINVNRTQALALPGACNVQTPPVSACNGASPAPADSPVGSPESGSTIPTEGGSKTVPSSEEDGSPSSSSNGSTMTKLTLSLLFFLIVAISH >KJB34772 pep chromosome:Graimondii2_0_v6:6:31439871:31443579:-1 gene:B456_006G082800 transcript:KJB34772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKLEHPLERRQAESGRIREKYPDRVPVIVEKAERSDIPDIDKKKYLVPSDLTVGQFVYVVRKRIKLSAEKAIFVFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGSP >KJB37971 pep chromosome:Graimondii2_0_v6:6:47974052:47976082:1 gene:B456_006G229400 transcript:KJB37971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKGKHKEETFLQHPVLFIVVLVFGFVLMDPFEIGPVGGIEFRPVKHDIAPYKEVMASWPRDNKSRLGNGKLEFVDEVFGPESLEFDGFGRGPYTGLADGRIVRWMGEDIGWETFAIVTSNWSEKLCARGVDSTTSKQWKHEKWCGRPLGLRFNKDGGDLYIADAYYGLLVVGPGGGLATPLATHVEGKQILFANDLDIHKNGSIFFTDTSRKYNRVYHFFILLEGETTGRILRYDPPTKTTHVVLDGLAFPNGIQLSKDQSFLLFTETSNCRLMKYWVEGPRAGTTELVAHLPGFPDNVRINDRGQFWVAIDCCRTQAQEILVHNPWMRSLYFRLPIKMKILARIMGMKMYTVISLFDENGEIVEVLEDRKGVVMKLVSEVREVEGKLWIGTVAHNHIATLSYP >KJB34394 pep chromosome:Graimondii2_0_v6:6:23639843:23641669:-1 gene:B456_006G064000 transcript:KJB34394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKLGVKKGPWTAEEDRKLVNFIVTHGQCCWRSLPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLNQDEEQLVIDLHARLGNRWSKIAARFPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLQKPDTQHEPSYSHGANDYHPNLDKNQLELPKICANVSSTAADVLRTSSETGSDEDPLMNLIWSDAFLNDLSWNLTGLDSWEECSEVGLFSSELLENGNAIDGYHSFGFGGFTEIDFNSLDMGAKF >KJB37826 pep chromosome:Graimondii2_0_v6:6:47611598:47612835:1 gene:B456_006G224100 transcript:KJB37826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFSFFSFFLLFFSVNIALSYQQVNYNESMFRNYNQINITQGIGPESIAFDCKGEGPYVGVSDGRILKWEGPKFGWKEFAVPSSFRIRKLCDGSTDPNLEPICGRPLGLKFHIETCHLYIADAYHGLLEVGPYGGVAEKLATSAEGVPFKFTNGLDIDTKTGMVYFTNSSTVIQRRNVDSLLRSLDQTGRLLKYKPYTKEVSVIYKGLVFPNGVALSKNNSFLLVAESTRMRILKFNLESGAKAKNMSKAFADPEVFAVLPRVPDNIKRNKDGDFWVALNTGRSESIQSDAPDPIGIKYNEEGRVLKRLDGHNGMIFNSISEVKEYNHRLYIGSVTKPYVSILNDY >KJB34737 pep chromosome:Graimondii2_0_v6:6:30894578:30905893:1 gene:B456_006G081200 transcript:KJB34737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phragmoplast orienting kinesin 1 [Source:Projected from Arabidopsis thaliana (AT3G17360) UniProtKB/TrEMBL;Acc:A0A1I9LN37] MAATNMNSESSRSHSVFTCIIESHWEKDSMTHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTMIANVSPSICAANETLSTLKFAQRAKLIQNNAKVNEDASGDVNALQQQIEQLKGQLSSLLKHHNFPNSPNSCVPSYEELKIGDYSRKNEYTSEKVADFKIQNINSNKMRRMEATLAGSLRREKMAETANQKLEAEIEQMNRLVCQKEEDVQRTKMMLRFREEKIKNLESLATGLVSTEEYLMEENQALKAEIQLLRTKIDRNPELTRFALENIRLIEQLQIFQNFYEQGERETLLGEISELRDQLQEVLEGNNRFSSRYENQDGNTVKELEDCRNMNSKLMREVEELQMELSKYLNCSHGAFDSVGGFPSKDHKECRQTDKCSLVETISVHSDSGDEVASCSRENDVALENQNEQSVSVASVMQHSATQKELIEARLLLKEMEAEHIHLFNELQHLQEKNRRYMEMISNEGKLESESVHKLKIHCLEQDHLASKKEGQIMESELINVKGLHDKLDILTKDLENAKLLNCQYQQVQASQLSCQHEADLVREQVEMEAARTILHLQEEVAALQLELNEKLASITQENIRLRDTITAKEEEMKSICADWERATLELTSFLLDGSKSLKDASRLVENISCSFPQVNVWVGENVERAARVCIEKEERILLLQRSLEDAQRMIVEMEMKLSSLKGATIAFNEFQDSGTDVETDEAACLSILFNDETDLQKVLANELKVKEDQLIMAEKRANAAFLIAKWLVDCDKVAYGDHAEMDIPVATSEGMQSDVMAGMMAHMKFLTTDNLKAQVELAKLVILESENVINTSYEDAEAHLSTLKTDISETCSVFKESLQDLQREILDIKENCKGFQTSRTELQSVAAAKSLKCHLLHQIKCEIAEANQSLKSIKDCIKTKASMPVHLPNDEDAIENASWSSLSLTSSSDYSIESIASGNNLIGSHCSVNMTEVVDDTKLEEVSPQSDSGFSESSGTFGLRNELWMQLDVFHKLYVWLTTILNESDIGEHSHTEELPSLGLTMEIYDAASQNNIEALPDDASPAKSFFKKFEEAHTTMKEADYALKALLKANENAQALNNIWRQASEELMVEKSNLIDELEKLRYSISLKERENELLQDQIHYTLVETADSISLLEGCFKQMQRQIEDKFKVLYSDALSMSQEMLFTICNSRSSLEDICSEVIKKELSLFVLYHCHFGDFVLKTLNLSSELYSHPLQRPELHSVINTLVKSPSISQGENVDHPKKSTEGEDGCKQLKHLEDQDQDLSQNDLIYENFSLKKELKRKEDLLEGLLFDLHLLQESASNSQEIKDESEKLMLGLKEVCHELEIKTNQVDDLLVQHSKLENCLSDAENALEQAKQTIDSLLDENAEMRMLLEDLYHKKSEAEEGLEEQKEVVKELEKEILHLNYSLEKDLLSSIKGIEEDLRKVTSDRDELREEIFSLNDQLEMVRALADENEAIAVEARQESEASKIYAEQKEEEVKILEHSVEELESTVNVLEKKVYELDEEVERHRFIRISLEHELQFLRDRLSKVDSFVDVVHSVNSNAEQTEDLFPRQMHDKLLQLHEAHDQIRILEREKEELSIEIKQCKEYISEIVLHSEAQASQYQLKYKTLEAMIRELKTDLPTSTSTVPISDKNEKTSTRSRGSSSPFRCISSLVQQMNSEKDQELSNARLRIEELEALSASRQKEIYMLNTRLAAAESMTHDVIRDLLGVKLDMTNYANLIDQHQVQKLLKEANQQAEEFLAKEQEILNLRKQVTDLVEEKESCLHEINKKDADILTAQLTLEQLQQRDQLLSAQNEMLKMDKSNLIKKVAELDELITTSSKEKQINQTLQIKENGSLNLGSVNLNNKRLPHSERLVSLMNIEMGQFRKTNGRLQHHDKTCGSSQGFDAKYW >KJB34736 pep chromosome:Graimondii2_0_v6:6:30892800:30905893:1 gene:B456_006G081200 transcript:KJB34736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phragmoplast orienting kinesin 1 [Source:Projected from Arabidopsis thaliana (AT3G17360) UniProtKB/TrEMBL;Acc:A0A1I9LN37] MSRDVSSFGFISKNANENDFETSSSSAHFPPPRTPLNSIPDPSQYQKESRNQDEQDVVDSKDKSESLRALHKTPRVTNRHGKLHSESNSAQSTPSRTAPRFSLGGGAGPCFISKVTQGFGGRCGLSSASSSRVPRRVSMIDGTNFSIEAPHFDLVEDPSFWRDRNVQVLIRIRPLSTMERVSQGYGRCLKQETAQTLLWLGHAETRFTFDHVACETISQEKLFRVVGVPMVENCMSGYNSCMFAYGQTGSGKTYTMMGDIYEVESQLSEDCGLTPRIFEYLFSRIRMEEESRKDEKLRFSCKCSFLEIYNEQITDLLDPSSTNLQLREDLKKGVYVENLMEYNVRNVDDVLKLLLQGASNRRMAATNMNSESSRSHSVFTCIIESHWEKDSMTHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTMIANVSPSICAANETLSTLKFAQRAKLIQNNAKVNEDASGDVNALQQQIEQLKGQLSSLLKHHNFPNSPNSCVPSYEELKIGDYSRKNEYTSEKVADFKIQNINSNKMRRMEATLAGSLRREKMAETANQKLEAEIEQMNRLVCQKEEDVQRTKMMLRFREEKIKNLESLATGLVSTEEYLMEENQALKAEIQLLRTKIDRNPELTRFALENIRLIEQLQIFQNFYEQGERETLLGEISELRDQLQEVLEGNNRFSSRYENQDGNTVKELEDCRNMNSKLMREVEELQMELSKYLNCSHGAFDSVGGFPSKDHKECRQTDKCSLVETISVHSDSGDEVASCSRENDVALENQNEQSVSVASVMQHSATQKELIEARLLLKEMEAEHIHLFNELQHLQEKNRRYMEMISNEGKLESESVHKLKIHCLEQDHLASKKEGQIMESELINVKGLHDKLDILTKDLENAKLLNCQYQQVQASQLSCQHEADLVREQVEMEAARTILHLQEEVAALQLELNEKLASITQENIRLRDTITAKEEEMKSICADWERATLELTSFLLDGSKSLKDASRLVENISCSFPQVNVWVGENVERAARVCIEKEERILLLQRSLEDAQRMIVEMEMKLSSLKGATIAFNEFQDSGTDVETDEAACLSILFNDETDLQKVLANELKVKEDQLIMAEKRANAAFLIAKWLVDCDKVAYGDHAEMDIPVATSEGMQSDVMAGMMAHMKFLTTDNLKAQVELAKLVILESENVINTSYEDAEAHLSTLKTDISETCSVFKESLQDLQREILDIKENCKGFQTSRTELQSVAAAKSLKCHLLHQIKCEIAEANQSLKSIKDCIKTKASMPVHLPNDEDAIENASWSSLSLTSSSDYSIESIASGNNLIGSHCSVNMTEVVDDTKLEEVSPQSDSGFSESSGTFGLRNELWMQLDVFHKLYVWLTTILNESDIGEHSHTEELPSLGLTMEIYDAASQNNIEALPDDASPAKSFFKKFEEAHTTMKEADYALKALLKANENAQALNNIWRQASEELMVEKSNLIDELEKLRYSISLKERENELLQDQIHYTLVETADSISLLEGCFKQMQRQIEDKFKVLYSDALSMSQEMLFTICNSRSSLEDICSEVIKKELSLFVLYHCHFGDFVLKTLNLSSELYSHPLQRPELHSVINTLVKSPSISQGENVDHPKKSTEGEDGCKQLKHLEDQDQDLSQNDLIYENFSLKKELKRKEDLLEGLLFDLHLLQESASNSQEIKDESEKLMLGLKEVCHELEIKTNQVDDLLVQHSKLENCLSDAENALEQAKQTIDSLLDENAEMRMLLEDLYHKKSEAEEGLEEQKEVVKELEKEILHLNYSLEKDLLSSIKGIEEDLRKVTSDRDELREEIFSLNDQLEMVRALADENEAIAVEARQESEASKIYAEQKEEEVKILEHSVEELESTVNVLEKKVYELDEEVERHRFIRISLEHELQFLRDRLSKVDSFVDVVHSVNSNAEQTEDLFPRQMHDKLLQLHEAHDQIRILEREKEELSIEIKQCKEYISEIVLHSEAQASQYQLKYKTLEAMIRELKTDLPTSTSTVPISDKNEKTSTRSRGSSSPFRCISSLVQQMNSEKDQELSNARLRIEELEALSASRQKEIYMLNTRLAAAESMTHDVIRDLLGVKLDMTNYANLIDQHQVQKLLKEANQQAEEFLAKEQEILNLRKQVTDLVEEKESCLHEINKKDADILTAQLTLEQLQQRDQLLSAQNEMLKMDKSNLIKKVAELDELITTSSKEKQINQTLQIKENGSLNLGSVNLNNKRLPHSERLVSLMNIEMGQFRKTNGRLQHHDKTCGSSQGFDAKYW >KJB34284 pep chromosome:Graimondii2_0_v6:6:21054585:21056797:-1 gene:B456_006G057300 transcript:KJB34284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLPCVQPVRVPFKACSFFPAGVCGGFNNKKRINAVVFSSLRKISNDVNIESKVDTLLDSIKWDDKGLAVAIAQNVDTGAILMQGFVNRDALATTITSRKATFFSRSGATLWTKGETSNNFINIYDIFIIYLGKPDVPTCHTGLETCYFTSIGDLVKEQEVEETNLALTTLYSLESTISKRELELAGKHGKPSWTKRLLLDENLLCAKIRAEADELCRPLEEKEDSSCTASEMADVIYHAMVLLRHKDVKIENVLEVLRRRFSQSGIEEKQSRASTKS >KJB34820 pep chromosome:Graimondii2_0_v6:6:32078502:32079368:1 gene:B456_006G086100 transcript:KJB34820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYVDFIGTLDWVNSYGKKQCKSLFWRAKAALKKTVKNHKRKQQCIFQYDPSSYALNFDDGCCRSDTGLEANMIGLNQFRDCSQCKNIMCVYHVPRVKS >KJB34688 pep chromosome:Graimondii2_0_v6:6:30347339:30349378:-1 gene:B456_006G078600 transcript:KJB34688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLSSLASRSKPSLQNLTKPPKNTPVSPQIPLKFTNPASPSPSRGNSPINYHCISQFLSRNDWFLLLNHELKAKRLILNPQFVISFFQNQENPLFPLRFYIWVSNIDPLFANNPSVKAALANALYQKGPVLLSVELVNDIRNSGLSFTEDLICVLIGSWGRLGLAKYCAEIFGQISFLGINPSTRLYNAVIDALIKSNSLDLAYLKFQQMSADNCKPDRFTYNILIHGVCKAGVIDEAVRLVKQMESFGYSPNVYTYTILIDGYCNARKVDDAFRLIEMMKKRNVFPNEATVRTLIHGVFRCVAPRKAFELLIMFLEKEPMMQRLACDTLLFCLSNHHMAMEAALFMNKLLGRGYMPDNSTFNLTMTCLIKGFNLDETCQILDSYIERGLKPGFNTYLALMQALYSVGKCAEGDRYFDQMTKGGLLSTVISYNMVIDCFCKASMTGKARATFNEMCFRGIAPTLVTFNTLIGGHCKIGQVHDARKFLVLLLESGFDPDIFTFSSLIDGLCRAHMIDDAFDCFTEMYRWNVTPNDVTYNILIRSLCVVGDIARAMKLVRKMQAAGISGDVFSFNALIQSFCRMKKIEKAKKLLVTMLTLGLDPDKYTYGTFIKAFCESERFDEAIGMFHLMEAKGCFPDPYTCSLVLESLVWKGRLEDARNIVKRCNQRGTKLNLIPGL >KJB38625 pep chromosome:Graimondii2_0_v6:6:50295909:50296476:-1 gene:B456_006G263600 transcript:KJB38625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVVRLVENPGAEPLDGNRQGSSSARRKVLVHVPSNEVITCYEDLESKLLSLGWERYYDDPDLLQFHKRSTVHLISLPRDFNKFKSIHMYDIVVKNRNMFEVRDM >KJB36707 pep chromosome:Graimondii2_0_v6:6:43113869:43118408:-1 gene:B456_006G172000 transcript:KJB36707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQTRLEYGFIGYQVPVFPRASRSARVRVPNRKKSGNNEKHAFEILVSVAGDFLQEGETFVPPNCKKDQQNACKNLVLKEQEDESQSSKCNLSDKETYNEKPLSGYHQIRTVNKFSHAPDRFNLKPYSSLKGFDKLANVSGRNTNHSSELGISSLISGEFSEGQFEDAGAELSIIQTVKSETLQPTGSLDALEMDCKPPHYFCSGSKTSLSKDWTTLGPSRHSDTIETVSRDDNGNYVADQRIKNPSSSRRWRVSPNLNGGASFRNDGKRRKIFHDGRTSYSRQRSKRISPLKRRDFFNQCPISGSDRGFQLDDRFNSAADKRGDGNNYCAAIRVASSVTSPHPHPGSRDRNVKLRIKSFKVPELLVEIPTTATVGSLKRSVMEAVTTVLGDGLHVGILLQGKKVRDDSKTLLQTGISRDGKHCNLGFMLEPRHAQIKLPRCLGEQGITSHATSFTLDPRTSSISLDPPVAGCINGARRDHHLVPSLPNISASTTPLPNSQSVVSVPAISVEALAVVPIHHRAGRREFVQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKLYAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAVHAYWSQQQAKQQQLKPGV >KJB36705 pep chromosome:Graimondii2_0_v6:6:43114145:43117295:-1 gene:B456_006G172000 transcript:KJB36705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQTRLEYGFIGYQVPVFPRASRSARVRVPNRKKSGNNEKHAFEILVSVAGDFLQEGETFVPPNCKKDQQNACKNLVLKEQEDESQSSKCNLSDKETYNEKPLSGYHQIRTVNKFSHAPDRFNLKPYSSLKGFDKLANVSGRNTNHSSELGISSLISGEFSEGQFEDAGAELSIIQTVKSETLQPTGSLDALEMDCKPPHYFCSGSKTSLSKDWTTLGPSRHSDTIETVSRDDNGNYVADQRIKNPSSSRRWRVSPNLNGGASFRNDGKRRKIFHDGRTSYSRQRSKRISPLKRRDFFNQCPISGSDRGFQLDDRFNSAADKRGDGNNYCAAIRVASSVTSPHPHPGSRDRNVKLRIKSFKVPELLVEIPTTATVGSLKRSVMEAVTTVLGDGLHVGILLQGKKVRDDSKTLLQTGISRDGKHCNLGFMLEPRHAQIKLPRCLGEQGITSHATSFTLDPRTSSISLDPPVAGCINGARRDHHLVPSLPNISASTTPLPNSQSVVSVPAISVEALAVVPIHHRAGRREFVQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKLYAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAVHAYWSQQQAKQQQLKPGV >KJB36708 pep chromosome:Graimondii2_0_v6:6:43114296:43117295:-1 gene:B456_006G172000 transcript:KJB36708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQTRLEYGFIGYQVPVFPRASRSARVRVPNRKKSGNNEKHAFEILVSVAGDFLQEGETFVPPNCKKDQQNACKNLVLKEQEDESQSSKCNLSDKETYNEKPLSGYHQIRTVNKFSHAPDRFNLKPYSSLKGFDKLANVSGRNTNHSSELGISSLISGEFSEGQFEDAGAELSIIQTVKSETLQPTGSLDALEMDCKPPHYFCSGSKTSLSKDWTTLGPSRHSDTIETVSRDDNGNYVADQRIKNPSSSRRWRVSPNLNGGASFRNDGKRRKIFHDGRTSYSRQRSKRISPLKRRDFFNQCPISGSDRGFQLDDRFNSAADKRGDGNNYCAAIRVASSVTSPHPHPGSRDRNVKLRIKSFKVPELLVEIPTTATVGSLKRSVMEAVTTVLGDGLHVGILLQGKKVRDDSKTLLQTGISRDGKHCNLGFMLEPRHAQIKLPRCLGEQGITSHATSFTLDPRTSSISLDPPVAGCINGARRDHHLVPSLPNISASTTPLPNSQSVVSVPAISVEALAVVPIHHRAGRREFVQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKLYAFDNAKHRTYVDLKVNGPFLFLLQIGG >KJB36706 pep chromosome:Graimondii2_0_v6:6:43113869:43118067:-1 gene:B456_006G172000 transcript:KJB36706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQTRLEYGFIGYQVPVFPRASRSARVRVPNRKKSGNNEKHAFEILVSVAGDFLQEGETFVPPNCKKDQQNACKNLVLKEQEDESQSSKCNLSDKETYNEKPLSGYHQIRTVNKFSHAPDRFNLKPYSSLKGFDKLANVSGRNTNHSSELGISSLISGEFSEGQFEDAGAELSIIQTVKSETLQPTGSLDALEMDCKPPHYFCSGSKTSLSKDWTTLGPSRHSDTIETVSRDDNGNYVADQRIKNPSSSRRWRVSPNLNGGASFRNDGKRRKIFHDGRTSYSRQRSKRISPLKRRDFFNQCPISGSDRGFQLDDRFNSAADKRGDAIRVASSVTSPHPHPGSRDRNVKLRIKSFKVPELLVEIPTTATVGSLKRSVMEAVTTVLGDGLHVGILLQGKKVRDDSKTLLQTGISRDGKHCNLGFMLEPRHAQIKLPRCLGEQGITSHATSFTLDPRTSSISLDPPVAGCINGARRDHHLVPSLPNISASTTPLPNSQSVVSVPAISVEALAVVPIHHRAGRREFVQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKLYAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAVHAYWSQQQAKQQQLKPGV >KJB36704 pep chromosome:Graimondii2_0_v6:6:43113869:43117756:-1 gene:B456_006G172000 transcript:KJB36704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCKPPHYFCSGSKTSLSKDWTTLGPSRHSDTIETVSRDDNGNYVADQRIKNPSSSRRWRVSPNLNGGASFRNDGKRRKIFHDGRTSYSRQRSKRISPLKRRDFFNQCPISGSDRGFQLDDRFNSAADKRGDGNNYCAAIRVASSVTSPHPHPGSRDRNVKLRIKSFKVPELLVEIPTTATVGSLKRSVMEAVTTVLGDGLHVGILLQGKKVRDDSKTLLQTGISRDGKHCNLGFMLEPRHAQIKLPRCLGEQGITSHATSFTLDPRTSSISLDPPVAGCINGARRDHHLVPSLPNISASTTPLPNSQSVVSVPAISVEALAVVPIHHRAGRREFVQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKLYAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAVHAYWSQQQAKQQQLKPGV >KJB38646 pep chromosome:Graimondii2_0_v6:6:50424236:50429810:1 gene:B456_006G265300 transcript:KJB38646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHEDLPVPVYSSLELVYGEGSQLEEAQLRFDQLKSKFVEVFGHSPDVFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKHKEGEAEKLLKIANVNDKYATCTYPADPNQEIDLKNHKWGHYFICGYKGFYEYAKSKGVNVGKPVGLDVLVDGTVPTGSGLSSSAALVCSATIAIMAAFGVNFSKKEIAQVTCICEQHIGTQSGGMDQAISVMAKNGFAELIDFNPVRATDVQLPAGGTFVIAHSLAESQKAVTAATNYNNRVVECRLAAIVLGIKQGMKPQEAISKVKTLSDVEGLCVKFAGGQGSNDPVLAVKEFLKEEPYTAQEVEKITQKDLPSILCDNPTSLDVLNAAKHFKLHQRAAHVYSEAKRVYAFKDTVASKLSEEEKLKKLGNLMNESHHSCSVLYECSCPELEEIVKVCRDNGAMGARLTGAGWGGCAVALVKESIVPQFILNLKEQFYKSRIDKGVINQNDLGLYVFASKPSSGAAILKF >KJB38647 pep chromosome:Graimondii2_0_v6:6:50424245:50429794:1 gene:B456_006G265300 transcript:KJB38647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHEDLPVPVYSSLELVYGEGSQLEEAQLRFDQLKSKFVEVFGHSPDVFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKHKEGEAEKLLKIANVNDKYATCTYPADPNQEIDLKNHKWGHYFICGYKGFYEYAKSKGVNVGKPVGLDVLVDGTVPTGSGLSSSAALVCSATIAIMAAFGVNFSKKEIAQVTCICEQHIGTQSGGMDQAISVMAKNGFAELIDFNPVRATDVQLPAGGTFVIAHSLAESQKAVTAATNYNNRVVECRLAAIVLGIKQGMKPQEAISKVKTLSDVEGLCVKFAGGQGSNDPVLAVKEFLKEEPYTAQEVEKITQKDLPSILCDNPTSLDVLNAAKHFKLHQRAAHVYSEAKRVYAFKDTVASNEEEKLKKLGNLMNESHHSCSVLYECSCPELEEIVKVCRDNGAMGARLTGAGWGGCAVALVKESIVPQFILNLKEQFYKSRIDKGVINQNDLGLYVFASKPSSGAAILKF >KJB38645 pep chromosome:Graimondii2_0_v6:6:50424236:50429093:1 gene:B456_006G265300 transcript:KJB38645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHEDLPVPVYSSLELVYGEGSQLEEAQLRFDQLKSKFVEVFGHSPDVFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKHKEGEAEKLLKIANVNDKYATCTYPADPNQEIDLKNHKWGHYFICGYKGFYEYAKSKGVNVGKPVGLDVLVDGTVPTGSGLSSSAALVCSATIAIMAAFGVNFSKKEIAQVTCICEQHIGTQSGGMDQAISVMAKNGFAELIDFNPVRATDVQLPAGGTFVIAHSLAESQKAVTAATNYNNRVVECRLAAIVLGIKQGMKPQEAISKVKTLSDVEGLCVKFAGGQGSNDPVLAVKEFLKEEPYTAQEVEKITQKDLPSILCDNPTSLDVLNAAKHFKLHQRAAHVYSEAKRVYAFKDTVASKLSEEEKLKKLGNLMNESHHSCSVLYECSCPELEEIVKVCRDNGAMGARLTGAGWGGCAVALVKESIVPQFILNLKV >KJB36190 pep chromosome:Graimondii2_0_v6:6:40420234:40426194:-1 gene:B456_006G145800 transcript:KJB36190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate prenyltransferase [Source:Projected from Arabidopsis thaliana (AT3G11945) UniProtKB/TrEMBL;Acc:F4J8K0] MELSLSHCSFLGISAVNPHCKASSCHPKAIPSKLNAKHFDLSLKCSKYGVSVGLYDYSSCSKHVPSRKFKRNSIRACSQVGAAGSDPMLNKISSFRDACWRFLRPHTIRGTALGSAALVGRALIENSNLIKWSLVLKAFSGLIALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWFLVIFFAVTGLLIVGYNFGPFITSLYSLGLFLGTIYSVPPFRMKRFPVAAFLIIATVRGFLLNFGVYYATRAALGLPFQWSAPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLVNYVAAVLAAIYMPQAFRRSLMIPAHIFLAVCLIFQAWVLEQANYKKEAISGFYRFIWNLFYAEYTIFPFV >KJB36189 pep chromosome:Graimondii2_0_v6:6:40420234:40426184:-1 gene:B456_006G145800 transcript:KJB36189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate prenyltransferase [Source:Projected from Arabidopsis thaliana (AT3G11945) UniProtKB/TrEMBL;Acc:F4J8K0] MELSLSHCSFLGISAVNPHCKASSCHPKAIPSKLNAKHFDLSLKCSKYGVSVGLYDYSSCSKHVPSRKFKRNSIRACSQVGAAGSDPMLNKISSFRDACWRFLRPHTIRGTALGSAALVGRALIENSNLIKWSLVLKAFSGLIALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWFLVIFFAVTGLLIVGYNFGPFITSLYSLGLFLGTIYSVPPFRMKRFPVAAFLIIATVRGFLLNFGVYYATRAALGLPFQWSAPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLVNYVAAVLAAIYMPQVNE >KJB33143 pep chromosome:Graimondii2_0_v6:6:49878374:49880014:1 gene:B456_006G256900 transcript:KJB33143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKKKKTFMFIRLVSAAGTGFFYVKRKSAKKVAEKLEFRKYDPRVNRHVLFTEQKMK >KJB33142 pep chromosome:Graimondii2_0_v6:6:49878215:49880059:1 gene:B456_006G256900 transcript:KJB33142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKKKKTFMFIRLVSAAGTGFFYVKRKSAKKVAEKLEFRKYDPRVNRHVLFTEQKMK >KJB33113 pep chromosome:Graimondii2_0_v6:6:29272848:29273258:1 gene:B456_006G073100 transcript:KJB33113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVLRFLTKRKEVWTHQTGIEIPETLSQALMTLREKLWMKFVRLRIWPVADLSDISPIQAILVHTILQRKQICIGTWIYRNIIECVRNQAKGTFFPHLITELCKRARIPIERLDKKMNPPKKLVGDDLYKQFVIL >KJB37433 pep chromosome:Graimondii2_0_v6:6:46010632:46012000:1 gene:B456_006G204000 transcript:KJB37433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQPQATGERLVRLSPQLSSGEPEMSSTSTSTCSPHTCRWRSYPKSNDLEANVATVLIILFCGLICSLALNAAIRCFLRGSEGRRPRHFRNDIAEADEEVEQRKPVGEAGAALMVGAPTLVYTSGMKLAGAEAECAICLSEFVEGEGIQVLAKCNHGFHVQCIQRWLSSRSSCPTCRCSCLSPPPLTEETTNNSSQSTMPEPEP >KJB35244 pep chromosome:Graimondii2_0_v6:6:34881495:34884916:-1 gene:B456_006G106400 transcript:KJB35244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQTFGTMLFCPDSECLKELPTPEKLKYRIIISTKPPKEYLEAESNKRKMNNSHNVKESDDDVWGKEPAELTVDQEDEKTDSDASENNQDNEETDACEPEVRLSRAPAYKHLIAIHAGKPKGGLKEGLKVEPDKVRRLSLSEQALEKATMSHGTDVVRFTQKNILRIYPKGTRFNSSNYKPLIGWMHGAQMVAFNMQGYGRYLWLMHGMFRSNGGCGYVKKPDFLMNVNPNGSVFNPKADLPVKKTLKVKVYMGDGWHLDFKQTHFDLYSPPDFYTRVGIAGVPADEIMKKTKKKEDDWTPVWDEEFVFPLRVPELALLRVEVHEYDMSERDDFAGQTCLPVSELKPGIRAVPLFNRKGEKFNSVRLLMRFEFI >KJB35245 pep chromosome:Graimondii2_0_v6:6:34882197:34884693:-1 gene:B456_006G106400 transcript:KJB35245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYRMCVCFTRKFKVTEAAPPTDVKDAFNRYAEGGPHMTAEQLHRFLVDVQGQGFATKGDAEGIVQQLLQKRHHMAKFRRHALTLDDFHHYLFSADLNPPIGDQVHHDMTAPLSDYFIYTGHNSYLTGNQLSSDCSDVPIIKALKRGVRVVELDIWPNSTKDDVHVLHGRTLTTPVELIKCLKSIKEHAFRASPYPVVITLEDHLTPDLQAKVAQMVTQTFGTMLFCPDSECLKELPTPEKLKYRIIISTKPPKEYLEAESNKRKMNNSHNVKESDDDVWGKEPAELTVDQEDEKTDSDASENNQDNEETDACEPEVRLSRAPAYKHLIAIHAGKPKGGLKEGLKVEPDKVRRLSLSEQALEKATMSHGTDVVRFTQKNILRIYPKGTRFNSSNYKPLIGWMHGAQMVAFNMQGYGRYLWLMHGMFRSNGGCGYVKKPDFLMNVNPNGSVFNPKADLPVKKTLK >KJB35246 pep chromosome:Graimondii2_0_v6:6:34882259:34884693:-1 gene:B456_006G106400 transcript:KJB35246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYRMCVCFTRKFKVTEAAPPTDVKDAFNRYAEGGPHMTAEQLHRFLVDVQGQGFATKGDAEGIVQQLLQKRHHMAKFRRHALTLDDFHHYLFSADLNPPIGDQVHHDMTAPLSDYFIYTGHNSYLTGNQLSSDCSDVPIIKALKRGVRVVELDIWPNSTKDDVHVLHGRTLTTPVELIKCLKSIKEHAFRASPYPVVITLEDHLTPDLQAKVAQMVTQTFGTMLFCPDSECLKELPTPEKLKYRIIISTKPPKEYLEAESNKRKMNNSHNVKESDDDVWGKEPAELTVDQEDEKTDSDASENNQDNEETDACEPEVRLSRAPAYKHLIAIHAGKPKGGLKEGLKVEPDKVRRLSLSEQALEKATMSHGTDVVRFTQKNILRIYPKGTRFNSSNYKPLIGWMHGAQMVAFNMQGYGRYLWLMHGMFRSNGGCGYVKKPDFLMNVNPNGSVFNPKADLPVKKTLKVCILHY >KJB35242 pep chromosome:Graimondii2_0_v6:6:34881474:34884998:-1 gene:B456_006G106400 transcript:KJB35242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYRMCVCFTRKFKVTEAAPPTDVKDAFNRYAEGGPHMTAEQLHRFLVDVQGQGFATKGDAEGIVQQLLQKRHHMAKFRRHALTLDDFHHYLFSADLNPPIGDQVHHDMTAPLSDYFIYTGHNSYLTGNQLSSDCSDVPIIKALKRGVRVVELDIWPNSTKDDVHVLHGRTLTTPVELIKCLKSIKEHAFRASPYPVVITLEDHLTPDLQAKVAQMVTQTFGTMLFCPDSECLKELPTPEKLKYRIIISTKPPKEYLEAESNKRKMNNSHNVKESDDDVWGKEPAELTVDQEDEKTDSDASENNQDNEETDACEPEVRLSRAPAYKHLIAIHAGKPKGGLKEGLKVEPDKVRRLSLSEQALEKATMSHGTDVVRFTQKNILRIYPKGTRFNSSNYKPLIGWMHGAQMVAFNMQGYGRYLWLMHGMFRSNGGCGYVKKPDFLMNVNPNGSVFNPKADLPVKKTLKVKVYMGDGWHLDFKQTHFDLYSPPDFYTRVGIAGVPADEIMKKTKKKEDDWTPVWDEEFVFPLRVPELALLRVEVHEYDMSERDDFAGQTCLPVSELKPGIRAVPLFNRKGEKFNSVRLLMRFEFI >KJB35243 pep chromosome:Graimondii2_0_v6:6:34881495:34884916:-1 gene:B456_006G106400 transcript:KJB35243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPLSDYFIYTGHNSYLTGNQLSSDCSDVPIIKALKRGVRVVELDIWPNSTKDDVHVLHGRTLTTPVELIKCLKSIKEHAFRASPYPVVITLEDHLTPDLQAKVAQMVTQTFGTMLFCPDSECLKELPTPEKLKYRIIISTKPPKEYLEAESNKRKMNNSHNVKESDDDVWGKEPAELTVDQEDEKTDSDASENNQDNEETDACEPEVRLSRAPAYKHLIAIHAGKPKGGLKEGLKVEPDKVRRLSLSEQALEKATMSHGTDVVRFTQKNILRIYPKGTRFNSSNYKPLIGWMHGAQMVAFNMQGYGRYLWLMHGMFRSNGGCGYVKKPDFLMNVNPNGSVFNPKADLPVKKTLKVKVYMGDGWHLDFKQTHFDLYSPPDFYTRVGIAGVPADEIMKKTKKKEDDWTPVWDEEFVFPLRVPELALLRVEVHEYDMSERDDFAGQTCLPVSELKPGIRAVPLFNRKGEKFNSVRLLMRFEFI >KJB38298 pep chromosome:Graimondii2_0_v6:6:49198598:49202929:1 gene:B456_006G247300 transcript:KJB38298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYVHTHECHGHKISIIVNRTHAILHSIAILFLIHYRLSFFFQHPPNITIPTLPWLLIFVSELLLYLAWLFAQSHRWRPVYRTVFPERLPADDKLPAIDIFICTADPNKEPSVEVMNTVISAMALDYPPEKLHVYVSDDAGSDATLRCMKEAWNFARYWVPFCRKYGLVTACPDVYFSSSEDGFKGSSEFKAERKKMEEKYEILKQRIRRIVQEYHRDVTVNNKLDHSSIIEVINEYHKEKDEVKIPVLVYVSREKRPSRRHNFKAGALNVLLRVSATISNSPYILVLDCDMHCNDPTSARQAMCYYCDPQTSQSIAFVQFPQTFRNISEDDIYDSQLRFVFKIQWHGFDGAGGPTISGTNFYIKREALLGSFSKQQDLMALKRSFGPSNDFIKTLVEDYKPCFIEDGESSRMLLEHANVLASCSYEDQTTWGTKVGFLYFCVLEDYFTGFTLHRKGWKSVYLYPKRLQFLGTATTNFNEASIQWTRWVSGLTSVAISRFCPLICGPLKMSLVHLMCYLEVACMPLLYCLSIWGFALIPQLCLFNGIPLYPKISDSNFNIFSIIFISAISKSLYEVVTTGDQFRVWKNEWRIWMVRCVTCYTYGSLDAILDKLGIKEASFLPTNKVTDDEQVKLYEMGIFDFRAATMFLAPLVTVILVNFAAFVGAVFKALVVDDNGDRYWEKMFGQMFLSFYILVSNYAIIEGMIIRKDKASIPLSATLWSVVFSVFILLIGSVILC >KJB34308 pep chromosome:Graimondii2_0_v6:6:21638062:21642873:1 gene:B456_006G058600 transcript:KJB34308 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X-like 1 [Source:Projected from Arabidopsis thaliana (AT1G10170) TAIR;Acc:AT1G10170] MSFQGRNRSRNPSQSTRQEWVPRGSSSTTTTVESSSTAASNSTPNVDQTSTRNDNKYRQTGRPTNHRRDREKGRSETQMAVRKEVDPNLPQLVQEIQDKLIKSTVECMICYDMVPRSGAIWSCSSCYSIFHLNCIKKWARAPTSVDLVAEKNQGFNWRCPGCQSVQFTSSKEIRYVCFCGKRTDPPSDLYLTPHSCGEPCGKPLEKELGLGSRVMKDELCPHVCVLQCHPGPCPPCKAFAPPRLCPCGKKVITTRCSDRKSVLTCGQWCGKLLECGRHQCERICHVGACDPCKVLINAPCFCKKKVEVVICGDMVVKGKVKAEDGIFSCSSTCGKKLRCGNHYCAENCHPGPCGDCELMPSKIRSCYCGKTSLQEQRQSCLDPIPTCSETCAKFLPCQVHRCDQVCHAGNCPPCLVLVTQKCLCGSTSRRVECYKTTLENERFTCDKPCGRKKSCGRHRCSERCCPLSNSNSLRSGGWDPHFCQMACGKKLRCGQHSCESLCHSGHCPPCLETIFTDLTCACGRTSIPPPLPCGTPPPSCQLPCSVPQPCGHSSSHSCHFGDCPPCSVPVAKECIGGHVVLRNIPCGSKDIRCNKLCGKTRQCGLHACGRTCHPAPCDASSGAEPGVRTSCGQTCGAPRRDCRHTCTAPCHPSAPCPDVRCDFRVTITCSCGRLSATVPCDAGGCTGGFNADTVFEASIIQKLPVPLQPVESTGKKIPLGQRKLMCDDECAKLERKRVLADAFDITPPNLDALHFGENSVTSEVLFDLYRRDPKWVLAVEERCKFLVLGKNRGTTSGLKVHVFCPMLKDKRDAIRIIAERWKLTISAAGWEPKRFIVVHVTAKSKPPARIIGAKGSTSTGAVHPPVFDPLVDMDPRLVVSFLDLPRESDISALVLRFGGECELVWLNDKNALAVFSDPARAATAMRRLDHGSIYTGAIAFVQSGGASSANNAWGGTGPSSAVKANPWKKAVVQELGWKEDSWGGDESLGVTSDPGSAWKGKDAPIAASVNRWSVLDSETGVSSSSGAVRSEDPSKLAGVQSLSKMDSNAANSSSAGLLGGGLNETEPLEVVDDWEKAYE >KJB35882 pep chromosome:Graimondii2_0_v6:6:38638076:38639904:1 gene:B456_006G131900 transcript:KJB35882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEEFSFPTFTDLYPCSIDSPPLWHLSPAASPDVFLHSKGKQEEEDCFPVSQRADEDDHQERKSKSHEDHNDWKQSDKGVAEDEEEDEEEKMDMLWEDFNEEELPRSGSSSRCSEDMVEMGCGGGHSLKLSKTNVGMFSPSPRRAGMLVFMRVLRKFLLHNSHRSSNSHLPN >KJB33115 pep chromosome:Graimondii2_0_v6:6:34067478:34067823:-1 gene:B456_006G100300 transcript:KJB33115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNEIGDSEHSIPSAYRTITTALNVLRFNLMYVFGEVKELMELVEKQARKARIENDPNNEQAMRRALWVLD >KJB37190 pep chromosome:Graimondii2_0_v6:6:45008517:45013102:1 gene:B456_006G193200 transcript:KJB37190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFTGWKIYSVEDNRTSASESFISRQVTVHTIISIFRGTYPLKVFQKRKLSVIIICFTISFKRIIYFHSRSIFIAVILECIGLLKRC >KJB33823 pep chromosome:Graimondii2_0_v6:6:8421127:8422639:-1 gene:B456_006G032600 transcript:KJB33823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQSFLQVFFLALISFLFLYCLMKNKNGCPKSSPGIVELLLNVHRIHDWCTERLESCKGTFVLEGPWFAKMNWVMTCDPANAHYVMSSNFDNFPKGPEFKQMFDGLGDGIFNSDMDLWKNQRIAAQGFMRHHLFHQFLLRTIRDKVEMGLMPIIDHAAKHGLVINLEDIFQRFTFDSACIFVTGYDPSCLSLELPQVLLSKAVQDGEQAIFYRHVRPRSFTKLQKWLNIGQEGKYKKAWKVVDDVLAEYICQKRKEVNKLNQELVSVDGGDLLTSYITEKESTGLKCDDKFLRDTALNMIIAATDTTSTALTWRRLFHVDEVKNLVYLHGALCEALRLYPPAPFNHKEAVKPDILPSGHPVHPKTKILFSVYSMGRMKSIWGEDCYEFKPERWINKRGEIKHESSYKFLSFGAGPRICLGKETSFIQMKAVASALIYNYRIHVMEETPVVPALSVVLHTENGLMTRISKRWE >KJB33981 pep chromosome:Graimondii2_0_v6:6:11681939:11682517:1 gene:B456_006G041800 transcript:KJB33981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHERKITSGRDLSHKIQSYLLELEGVREKKLTFTSARTQGQGGESMREIIQFDAAFDIINSRSTSGLVVRDQKGVIKASKSILHSNVSSPFVADACACLEATKLGIEMGLRSITIMGDLKTVTNKCQMTVRDKSIIGKIIKDI >KJB38128 pep chromosome:Graimondii2_0_v6:6:48596735:48597498:1 gene:B456_006G238700 transcript:KJB38128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLQSLFSPLKKLRFRLHSAQRKRRGIYILYEDVKSCPCEDVHVLWSILVESNAPAKTLN >KJB33971 pep chromosome:Graimondii2_0_v6:6:11580511:11585022:1 gene:B456_006G041500 transcript:KJB33971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESKSKEKICWRKEVDQNLKRLQSLLFGAELCLEKREFPEAQLLLLRLLGFLDSFSHSEVDRTFTRPIRDDVVSKLDSARRALVQESDRRAFEQAGKAPGCVFGMKGGIDIDKIKQSKYFCTQLQQSKGKVLNELGGQQDKFINKPSKAMTQAKLTSLYGNKITRANNCSYKSSLDSKNSSSKDCFIVENGHSYHNFPRGHSVSNFAKVEEEERVHGNSFGTKRAYKEICSPRNNTARSPPSNEEFNADISGNAFVTARAKLEMDVRQKRGLAGSPSASVSPQNDTNFSSRGYGTKSYGVSRRGVRGNFVPPIRSNGGSIGNVTSRIGGKSDDALDDSTRTCLELLCGPDGELPEKLRNLEPLLIEHVSNEIMDRDPNVRWEDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDSGSEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIVRSLLEKDGLFKLSEEDISAICRLTKGYSGSDMKNLVKDASMGPLREALRQGIEITQLKREDMRPVTLQDFENALQEVRPSVSMNELGTYEEWNKQFGSLSL >KJB33972 pep chromosome:Graimondii2_0_v6:6:11580681:11585022:1 gene:B456_006G041500 transcript:KJB33972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESKSKEKICWRKEVDQNLKRLQSLLFGAELCLEKREFPEAQLLLLRLLGFLDSFSHSEVDRTFTRPIRDDVVSKLDSARRALVQESDRRAFEQAGKAPGCVFGMKGGIDIDKIKQSKYFCTQLQQSKGKVLNELGGQQDKFINKPSKAMTQAKLTSLYGNKITRANNCSYKSSLDSKNSSSKDCFIVENGHSYHNFPRGHSVSNFAKVEEEERVHGNSFGTKRAYKEICSPRNNTARSPPSNEEFNADISGNAFVTARAKLEMDVRQKRGLAGSPSASVSPQNDTNFSSRGYGTKSYGVSRRGVRGNFVPPIRSNGGSIGNVTSRIGGKSDDALDDSTRTCLELLCGPDGELPEKLRNLEPLLIEHVSNEIMDRDPNVRWEDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDSGSEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSGATYISYLILLHPFMAKNSFLNQFNR >KJB38067 pep chromosome:Graimondii2_0_v6:6:48363364:48366117:-1 gene:B456_006G235800 transcript:KJB38067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCFSKGNRADSDQHVEFVGGNVQPVTSKEDWDQKLSEAKRDGKIVIANFSAAWCGPCRMLAPFYCELSEKHPSLMFLLVDVDELTVRIQQLVGYTSDPNVLLS >KJB38068 pep chromosome:Graimondii2_0_v6:6:48363853:48365408:-1 gene:B456_006G235800 transcript:KJB38068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCFSKGNRADSDQHVEFVGGNVQPVTSKEDWDQKLSEAKRDGKIVIANFSAAWCGPCRMLAPFYCELSEKHPSLMFLLVDVDELTVSTDTYSVTFIYICVLLFNLFRATSEHIEKESRHI >KJB38066 pep chromosome:Graimondii2_0_v6:6:48363299:48366210:-1 gene:B456_006G235800 transcript:KJB38066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCFSKGNRADSDQHVEFVGGNVQPVTSKEDWDQKLSEAKRDGKIVIANFSAAWCGPCRMLAPFYCELSEKHPSLMFLLVDVDELTEFSSSWDIQATPTFFFLKDGQQIDKLVGANKPELQKKITAVLDSVK >KJB38796 pep chromosome:Graimondii2_0_v6:6:50865024:50865841:1 gene:B456_006G272000 transcript:KJB38796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQDEKELQLLPTSLTIASPSPTLSHSSSLTYRSTTMMSDQFVGPSSSLDLQLSISLKPVHQHPSNRNDFDRVESLKQRTAEQIRLAAIEKAYAERVRELTKREMEMARSDFARAKHVWKRAREDVEKAEKMKERATLQIDSTCMEITCQSCRQRFKP >KJB37013 pep chromosome:Graimondii2_0_v6:6:44397567:44400915:-1 gene:B456_006G187100 transcript:KJB37013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cyclic nucleotide-gated ion channel 16 [Source:Projected from Arabidopsis thaliana (AT3G48010) UniProtKB/Swiss-Prot;Acc:Q9SU64] MNHLHRYASTRFGRLPKTFSELSDLRREVPWWNQVLDPGSPTLTKWNYIILCTCLVALFLDPLYFFLPVIGAPGCMQIDLGLGIFVTFFRTVADLFFFIHITIKFRTAFVAPSSRVFGRGELVMDRKAIAIRYLKSSFIIDLAAALPLPQIVIWFIIPASKSATAAHANHTLSFIVLLQYIPRFLIMFPINRRIIKSTGVVAKTAWSGAAYNLVLYTLASHVLGASWYVLSIQRQYECWRIECSKEMNSTHSPSCNPYFLDCNTVGKSARNAWLKKTNVIINCDALNDDDKDFQFGMFSDAFTNNVASSDFPDKYFYCLWWGLKNLCSYGQSIMTSTLSSETLFCIIICICGLVFFSHLIGNMQGYLQSTTARLEEWRIRRRDTEEWMRHRQLPPDLQDRVRRFVNYQWTATRGVDEEAILKSLPLDLRRQIQRHLCLALVRRVPFFAQMDDQLLDAICERLVSSLNTNDMFLVREGDPVQEMLFIIRGKVESSTTNGGRTGFYNSITLGPGDFCGEELLTWALIPHSDSIINLPLSTRTVKSITEVEAFALRAWDLRYVAKQFKRLHSKKLQHAFRYYSHQWRTWGACFIQAAWRRYKRKRLAIELAKQEQLYDDMYDMDDGAGAEYEDGDASSSDQANHAQHLGITILASKFAKNTRKGAKKTVSRINQDDSILKMPKMFKPVEPDFSAL >KJB37012 pep chromosome:Graimondii2_0_v6:6:44397567:44400847:-1 gene:B456_006G187100 transcript:KJB37012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cyclic nucleotide-gated ion channel 16 [Source:Projected from Arabidopsis thaliana (AT3G48010) UniProtKB/Swiss-Prot;Acc:Q9SU64] MNHLHRYASTRFGRLPKTFSELSDLRREVPWWNQVLDPGSPTLTKWNYIILCTCLVALFLDPLYFFLPVIGAPGCMQIDLGLGIFVTFFRTVADLFFFIHITIKFRTAFVAPSSRVFGRGELVMDRKAIAIRYLKSSFIIDLAAALPLPQIVIWFIIPASKSATAAHANHTLSFIVLLQYIPRFLIMFPINRRIIKSTGVVAKTAWSGAAYNLVLYTLASHVLGASWYVLSIQRQYECWRIECSKEMNSTHSPSCNPYFLDCNTVGKSARNAWLKKTNVIINCDALNDDDKDFQFGMFSDAFTNNVASSDFPDKYFYCLCSYGQSIMTSTLSSETLFCIIICICGLVFFSHLIGNMQGYLQSTTARLEEWRIRRRDTEEWMRHRQLPPDLQDRVRRFVNYQWTATRGVDEEAILKSLPLDLRRQIQRHLCLALVRRVPFFAQMDDQLLDAICERLVSSLNTNDMFLVREGDPVQEMLFIIRGKVESSTTNGGRTGFYNSITLGPGDFCGEELLTWALIPHSDSIINLPLSTRTVKSITEVEAFALRAWDLRYVAKQFKRLHSKKLQHAFRYYSHQWRTWGACFIQAAWRRYKRKRLAIELAKQEQLYDDMYDMDDGAGAEYEDGDASSSDQANHAQHLGITILASKFAKNTRKGAKKTVSRINQDDSILKMPKMFKPVEPDFSAL >KJB36337 pep chromosome:Graimondii2_0_v6:6:41189593:41191120:1 gene:B456_006G153300 transcript:KJB36337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRNLINPKIDFFE >KJB36336 pep chromosome:Graimondii2_0_v6:6:41189556:41191126:1 gene:B456_006G153300 transcript:KJB36336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >KJB36885 pep chromosome:Graimondii2_0_v6:6:43800396:43806952:1 gene:B456_006G180600 transcript:KJB36885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDPSLMCAAKGCSGGVSFATCSADGTVRLWDLVLQPDLLRDNADNNYLITEAEGIINIVSAGSFEIATEDTAFGNLGLRSMAISSDGKYMAVGDCEGNLHIYDLHNSDYTCIKDSHDAEILSLSFSVSSTKDIDSGGNDNHYLLASGGRDRIIHLYDVKRNFEVIGSIDDHSAAVTSVKLVCNGCKILSCSADRSLVFRDVCLTDNRCKVSRRHHQMASNGTVYDMSIDPEMEAVVTAGQDKKINIFDMASGKLIRSFKQNKDFGDPIKVTMDPSGSYFVCSFSNKSMCVYDFTTGEMIAQAVGHGEVVTGVIFLPDCKHIVSVGGDGCIFVWKLPSRLASRMLQKVKEKSLSLSPRTICMPAAFNQTINDGEGNKSCRIDLKDSLLAERSSQLKQRANYHGWDSQETYAFKLSISRLPKWAQDKVTRSDFVQRNLEFTSPQQMQEEPKISSRLISSGGDHGSLCHEHQNPSGPWSGGNNLCLSSLHSSSNVTKSESSASPDEIVSGSAVEDHWFTVYNVRLDLLNSPEVQNLKDIQMPVSSPKLVQGLAEMPSESEKSLGHRVHFIDAEPSAMDVATFRIKSEGSDLFKEHFGNLSAILKVEKRQSSTRRRYSSQYFVRRDYLVGCKRLFNPSSQKNESATNVSLEEVAGSIDQGINSTKCSLTQSYALSYDEKDEEDSSTIDEESEVGEKIRACREALLSLDIAAENVFQLFTKLGTEYPMEEGSSGCRAQLYDEATELLPKIAEKINAVAKGLQKNTTGNFGSSASNIEGDSTFGPMLGTLAESLSQRVVEIVKQNLSSV >KJB36884 pep chromosome:Graimondii2_0_v6:6:43799737:43806952:1 gene:B456_006G180600 transcript:KJB36884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGTVSLSIHAKPINLGPQKGSSFISVSSAIWTDGSVVNCDQADELFPIYALTGADSGLSLRNSVDLKVEKGFALSASSKLIACACSNGQVQLFNGVDLGYVGSLLYSKAKSCHGEIDLFCPKAGENNFQLAPTLPDAVACQFISEKLVVIYGDHSLHIWNFHQENEATRSFALISHSACIWDIKNLCCEKMHDPSLMCAAKGCSGGVSFATCSADGTVRLWDLVLQPDLLRDNADNNYLITEAEGIINIVSAGSFEIATEDTAFGNLGLRSMAISSDGKYMAVGDCEGNLHIYDLHNSDYTCIKDSHDAEILSLSFSVSSTKDIDSGGNDNHYLLASGGRDRIIHLYDVKRNFEVIGSIDDHSAAVTSVKLVCNGCKILSCSADRSLVFRDVCLTDNRCKVSRRHHQMASNGTVYDMSIDPEMEAVVTAGQDKKINIFDMASGKLIRSFKQNKDFGDPIKVTMDPSGSYFVCSFSNKSMCVYDFTTGEMIAQAVGHGEVVTGVIFLPDCKHIVSVGGDGCIFVWKLPSRLASRMLQKVKEKSLSLSPRTICMPAAFNQTINDGEGNKSCRIDLKDSLLAERSSQLKQRANYHGWDSQETYAFKLSISRLPKWAQDKVTRSDFVQRNLEFTSPQQMQEEPKISSRLISSGGDHGSLCHEHQNPSGPWSGGNNLCLSSLHSSSNVTKSESSASPDEIVSGSAVEDHWFTVYNVRLDLLNSPEVQNLKDIQMPVSSPKLVQGLAEMPSESEKSLGHRVHFIDAEPSAMDVATFRIKSEGSDLFKEHFGNLSAILKVEKRQSSTRRRYSSQYFVRRDYLVGCKRLFNPSSQKNESATNVSLEEVAGSIDQGINSTKCSLTQSYALSYDEKDEEDSSTIDEESEVGEKIRACREALLSLDIAAENVFQLFTKLGTEYPMEEGSSGCRAQLYDEATELLPKIAEKINAVAKGLQKNTTGNFGSSASNIEGDSTFGPMLGTLAESLSQRVVEIVKQNLSSV >KJB37142 pep chromosome:Graimondii2_0_v6:6:44828401:44833377:-1 gene:B456_006G191200 transcript:KJB37142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKFSHSNGNPFAVTRHVTPRSTRPTRIGFKIFASDNHSEPDLSVCVNGLQMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVINVTPRYARLRAGANGSAKGQIIGWENIELISDRPLETMLKEFKQLKKEYPDRILIASIMEEYNKAGWEELIDRVEQTGIDAIEVNFSCPHGMPERKMGAAVGQDCALLEEVCGWINAKATVPVWAKMTPNITDITMPARVALSSGCEGVAAINTIMSVMGISLDTLRPEPCVEGYSTPGGYSCKAVHPIALAKVMSIAKMMKSEFNDKDYSLSGIGGVETGGDAAEFILLGANTVQVCTGVMMHGYGLVKKLCEELKDFMKKHNFKSIEDFRGVSLQYFTTHTDLVRRQQEAIRERKAIKKGLQSDKEWTGDGFVKETESMVSN >KJB33191 pep chromosome:Graimondii2_0_v6:6:599903:600806:1 gene:B456_006G002500 transcript:KJB33191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSYAPVISAEKAYHEQLSVAEITSSAFEPASMMAKCDPRHGKYMDCCLMYRGDVVRKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVSEGMEEGEFSEAREDLAALEKDYEEVGLESGEGDEDEGDEY >KJB35122 pep chromosome:Graimondii2_0_v6:6:34152070:34152945:-1 gene:B456_006G101400 transcript:KJB35122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAMATGTPKLRFSASNHGRLNPASKLKGSVKFLIDANNCPSSATSFQRSFPIRAFDSQTDEETPAFDEPNAAFIPQEDLSYVLKLGGGSMVGAAIIKYGSILFPEITRPNIVQALIMISAPVVIAVLLLIKQSRVKQ >KJB35282 pep chromosome:Graimondii2_0_v6:6:35138075:35138958:-1 gene:B456_006G108200 transcript:KJB35282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVALKLACGVVLCLVVGAPLAEGAISCGQVISALAPCIPYVRNNGAGGVPAPCCNGIRSLNAAAQSTPERQSACNCVKALAASISGINYDLTNKLPGMCGVHSPFKISPSTNCKSVK >KJB36632 pep chromosome:Graimondii2_0_v6:6:42781754:42784037:1 gene:B456_006G168100 transcript:KJB36632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESDFADKVPPSFYEMGNKIIYETEAKGLNPGLIVLLVVLGLLLIFLVGNYVLYSYAQKTLPPRKKKPVSKKKMKRERLKQGVSAPGE >KJB35272 pep chromosome:Graimondii2_0_v6:6:35069772:35071328:-1 gene:B456_006G107500 transcript:KJB35272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILPDAKSEREGERLKVRMIPLITSFLIYFHLIHNPVPLLVIRQKGLSYPPFYTEFSDSGNGIGTYPETQPVLDGIAAVVGQHVLFGTKTNPTTSNSQGDGDRASTEETSSFVSASHRFGSNKRNVNAAAASMQKSYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAEEAARAYDTAARRLRGSKAKTNFEIPSTLRLSSPCSTSSSSTEAKKKVKSKGNKTQRKCEVVTSVAHLFSSGGNEGKGSEELELKLGMGLNNKPAMPSNDVGSVNHY >KJB38337 pep chromosome:Graimondii2_0_v6:6:49408950:49409357:1 gene:B456_006G249900 transcript:KJB38337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNHKAKDDKEGSGGGMTRYRGVRGRPWGTFGAEIRDPNQQGARVWLGTFNTAEEAARAYDRAAYAMRGQFAILNFPHEYPMGSGGGAAANATTSAGSSSSAMERGKQVLEVEYLDDKLLEELLEIEEKKKKKM >KJB33957 pep chromosome:Graimondii2_0_v6:6:11285522:11291012:-1 gene:B456_006G040200 transcript:KJB33957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFSGSRQVVPVDYEAEVSQRLLEASLTGDLRSALECIADPFVDVNFVGAVCLKTRKAEVVLREESASEVRVEYEEFKTDVTALFLAVHVGNVSLVKKLLSVGADVNQKLFKGFATTVAVREGYLEILKILLKAGASQPACEEALLEASCHGQARLAELLMGSDLIRPHVAIHALVTACCRGFVEVVDALMKCGVDATASHRELLRSSKPSLYTNVDCTALVAAVVSRQVSVVRLLLQSGGPTDIKVSLGAWSWDTTTGEEFRVGAGLAEPYAISWCAVEYFEGSGAILRMLLQHHPLETPHYGRTLLHHAVLCGSTGAVKVLLSCGANVECPVKTMKTEFRPIHMATRLGLSATLQSLIDSGCDLNSKTDSGDTALMICAKYKHEECLRVLTGAGADFGLVNISGQSASSIAGSNQWSRGFQQAVLDAIKVGRIPKSSNVSVFSPLMIVAETGDVEALKAVIGSGQFNLDHQNENGFSAVMVAALKGHVEAFRLLVYAGADVKLLNKSGETAITLSELNQNRDLFEKVMLELALEKGNRNAGGFYALHCAARYGDLDAVTLLTRRGYDVNVPDGDGYTPLMLAAREGHGPMCELLISHGANCDFKNAKGETALSLARKTVILKDGAEHVILNELARNLVVRGARILKHTKGGKGNPHSKDMKMVQSSGLLHWGKSSRRNVICREAELGPSAAFEKNRQSKGDANKPGVFRVVTTKNKEVHFMCEGGSEMAELWVRGIKLITREAIFGSQKEK >KJB33956 pep chromosome:Graimondii2_0_v6:6:11285522:11288688:-1 gene:B456_006G040200 transcript:KJB33956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSPFFGRLMRMMSVGADVNQKLFKGFATTVAVREGYLEILKILLKAGASQPACEEALLEASCHGQARLAELLMGSDLIRPHVAIHALVTACCRGFVEVVDALMKCGVDATASHRELLRSSKPSLYTNVDCTALVAAVVSRQVSVVRLLLQSGGPTDIKVSLGAWSWDTTTGEEFRVGAGLAEPYAISWCAVEYFEGSGAILRMLLQHHPLETPHYGRTLLHHAVLCGSTGAVKVLLSCGANVECPVKTMKTEFRPIHMATRLGLSATLQSLIDSGCDLNSKTDSGDTALMICAKYKHEECLRVLTGAGADFGLVNISGQSASSIAGSNQWSRGFQQAVLDAIKVGRIPKSSNVSVFSPLMIVAETGDVEALKAVIGSGQFNLDHQNENGFSAVMVAALKGHVEAFRLLVYAGADVKLLNKSGETAITLSELNQNRDLFEKVMLELALEKGNRNAGGFYALHCAARYGDLDAVTLLTRRGYDVNVPDGDGYTPLMLAAREGHGPMCELLISHGANCDFKNAKGETALSLARKTVILKDGAEHVILNELARNLVVRGARILKHTKGGKGNPHSKDMKMVQSSGLLHWGKSSRRNVICREAELGPSAAFEKNRQSKGDANKPGVFRVVTTKNKEVHFMCEGGSEMAELWVRGIKLITREAIFGSQKEK >KJB35379 pep chromosome:Graimondii2_0_v6:6:35975458:35978609:-1 gene:B456_006G111900 transcript:KJB35379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAGEFTASTVIAWFMYTRETLRRLFYNVPTSPNVNILAICGRQKLEILAVAHNSITGVEPNFQRFPCLKSLSLSHVCISALDLSLLLTACPKLESLELVDPEIAISDAQVTVELSSPTLKSIYVEAISLDKFILETDSIERLHLRDCALEVFELIGKGTLKYFKIDDVSVIHLDIAETVDNLEVVDVSSFTIIWTRFYQMISRSSKLRKLRLWDVTFDDYDEGIDLETIAVCFPQLKHLSLCYELRDGLMHYGLQGSSNLENVTVLELGWTVINDFFSHWIEELLKRCPNLKKLIIFGVISEAKSHEECQILAKFTSSIVRLMRKYMHVEVQFEYE >KJB35380 pep chromosome:Graimondii2_0_v6:6:35975705:35976964:-1 gene:B456_006G111900 transcript:KJB35380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPVEVIGNILSHLEGARDVVIASATCRKWREAYRKHLHTLAFNSNDWPAYCDISPILLEILITRTIFQTTGLQGLSILMDDAGEFTASTVIAWFMYTRETLRRLFYNVPTSPNVNILAICGRQKLEILAVAHNSITGVEPNFQRFPCLKSLSLSHVCISALDLSLLLTACPKLESLELVDPEIAISDAQVTVELSSPTLKSIYVEAISLDKFILETDSIERLHLRDCALEVFELIGKGTLKYFKIDDVSVIHLDIAETVDNLEVVDVSSFTIIWTRFYQMISRSSKLRKLRLWDVTFDDYDEGIDLETIAVCFPQLKHLSLCYELRDGLMHYGLQGSSNLENVTVLELGWTVINDFFSHWIEELLKRCPNLKKLIIFGVISEAKSHEECQILAKFTSSIVRLMRKYMHVEVQFEYE >KJB36448 pep chromosome:Graimondii2_0_v6:6:42168429:42170959:-1 gene:B456_006G162100 transcript:KJB36448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIACLLPLFLVPIVNILPLLFYFIMGKIYWLLGWEYRKPERAPAACPYRPPAKTENSSKVRPETEPTVPESSSKPMGVTDNKQD >KJB33180 pep chromosome:Graimondii2_0_v6:6:43850029:43850989:1 gene:B456_006G181000 transcript:KJB33180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRTIKRVSFSPDVSERPKLFVKHGGSATTRGGNRRRVVVGIFTFRLVERSSFSPASFLRHVGAKVAGALRFVSIRGNSSRKVSSSNLPRSRSLAESIDSHRAEAIEDCIEFLNSSSSLSRSNSVTTCSC >KJB36089 pep chromosome:Graimondii2_0_v6:6:39709869:39716575:1 gene:B456_006G140500 transcript:KJB36089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLVLTDFLLLIISTLLLVLLVKSLRNSKSKGKYPPSPPALPIIGHIHLLKSGLPTSFATLPRKYGPLMQIRLGAAKFVVVSDAKSAQEILRTFDTNFASKFQPGPTNYHIYEDSSFVNAPYGAYWRFMKKLFMTKLLAGSQLQRFTNIGEQETIKLIKSLLNRSKAGEPCDLTAELTEVTHSTIYKMAMGRRYSNNPSQAAEIRKIITYNCENDDEKDVMDILLETYKDADAEVKLTRDQIKNFFMAMEELINHPNIFKILREEIDSVVGNNRLIKESDVPKLPYLQAVAKEILRLHPLSPFLRRLSNKDSKINGFDIHKGTRVFINVYMLMRDPNCYKEPEKFMSERFLGNCTEMKDRDFHYLPFGNGRRGCPGTSLATSLLHATIGALVQCFDWKVKDGEKADTEATGTGYSGAFASPLPCYPITRFDPFQE >KJB37399 pep chromosome:Graimondii2_0_v6:6:45922368:45927486:-1 gene:B456_006G203000 transcript:KJB37399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLIEAFKPACSISIKFSDGKTRKRVPIKRENGQTLLAPLFRNQENIAGKITIEPHQGKRIEHNGVKVELLGQIEMYLDRGNFYDFTSLVRELDVPGDIYERTTYPFEFSMVEMPYETYNGVNVRLRYVLKVTVSRNYGGSIIEYLNFLVHNYSPPPSINTSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIFFFLVKIKIKNMDIEIRRRESTVTGATNHVETETLAKFELMDGAPVRGESIPVRLFLSPYALTPTHRNINNKFSVKYYLNLVLVDEEGLRYFKQQQITIYRLPLQDT >KJB36842 pep chromosome:Graimondii2_0_v6:6:43650415:43650975:-1 gene:B456_006G179000 transcript:KJB36842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLPPQLFFLLTLVVIIHPSYGLKHLKGIALVDEVCRHTSHNEYCVDTIIPKPPLSGERIASTTLGWAQIKALEAGTIIASLLNDSSSILPVAKNPLQAKELLQICWDSNMNTMEDLWIASSLLYNKIINPMIARLYHAANTTRVCQDGVKGTGFTALAAKIEDIIKLCEICVVSTNFFVNGAH >KJB34732 pep chromosome:Graimondii2_0_v6:6:30828162:30830523:-1 gene:B456_006G080800 transcript:KJB34732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKARSSSGPNMVSTEEEDLKRGPWTIEEDFKLINYISIHGKGRWNSLAHSAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILQLHSRWGNRWSKIAQFLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKEFKDTMRYLWMPRLVERIQAATTTTNTASTSAAMTSNASIEQMMLPNLSYYTPDNASTTASSDSIGTQVSPISDSIDYYNGVSTNYNPNHDYLQANHESLISPIGSYCNNGDGMDFGLMELNHHWASDGDGDGSHNLLNAEDFFFLQHQFNFNM >KJB35684 pep chromosome:Graimondii2_0_v6:6:37563454:37565441:1 gene:B456_006G123900 transcript:KJB35684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVGLRDFVVVAPASFNHHHHHHHHHHTQDPIMSNDQISGPSASTALGVGVGVFPLLTAAPCLAPLNVEDPDLFNNNGRTKLSGMQLWQDQNSSPHNYLKKPSSFPDSNNSSSMNLIQSSGGGGMGDRESGGSGSSSATTCQDCGNQAKKDCSHRRCRTCCKSRGFDCPTHVKSTWVPAARRRERQLMAAAVTTAGAGSSGSTSGAKKPRLITSQNTTTSHTSTSNTTPRSFDTSSNAGFKETLPGQVRAPAVFKCVRVTAVEDGDDEFAYQAIVKIGGHVFKGFLYDQGVEGRDGFPNISELHLGGGPGSGGNGGGGRNGGSSSSPVLDPSEVYAATGGCLLGSSYGNPIN >KJB35683 pep chromosome:Graimondii2_0_v6:6:37563421:37565661:1 gene:B456_006G123900 transcript:KJB35683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVGLRDFVVVAPASFNHHHHHHHHHHTQDPIMSNDQISGPSASTALGVGVGVFPLLTAAPCLAPLNVEDPDLFNNNGRTKLSGMQLWQDQNSSPHNYLKKPSSFPDSNNSSSMNLIQSSGGGGMGDRESGGSGSSSATTCQDCGNQAKKDCSHRRCRTCCKSRGFDCPTHVKSTWVPAARRRERQLMAAAVTTAGAGSSGSTSGAKKPRLITSQNTTTSHTSTSNTTPRSFDTSSSNQDAGFKETLPGQVRAPAVFKCVRVTAVEDGDDEFAYQAIVKIGGHVFKGFLYDQGVEGRDGFPNISELHLGGGPGSGGNGGGGRNGGSSSSPVLDPSEVYAATGGCLLGSSYGNPIN >KJB38848 pep chromosome:Graimondii2_0_v6:6:46036611:46037842:-1 gene:B456_006G2045002 transcript:KJB38848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CRASDFPREYDGECLQMRMSYSPTAHLFLFLVQWTDFHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYGMMKMILLPR >KJB36691 pep chromosome:Graimondii2_0_v6:6:43039236:43041706:-1 gene:B456_006G171000 transcript:KJB36691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFRFSNLLTLLLISFAAAEDVGFIYNGFRSANLNLDGIAELTSNGLLKLTNKTVQETGHAFYPHLVYFKNSTNGSVFSFSSTFVFAILPEYPTLSGHGIAFVIAPTKGLPGSLPSQYLGLFNGSNNGNDTNHVVAVELDTIRSTEFDDINDNHVGIDINGLKSATSSPAGYYEDDSHDFKNLTLISGKRMQVWVEYHGLEKRMDVTLAPFKVPKPDTPLLSLSRDLSSIVNREMYVGFSSSTGSVLTSHYVLGWSFKVNGQAEELTLSQLPKLPRLGPKKKPKVLTIGLPLILVSLALAGVSGAAYLVRRKRKFADVVEDWELEYGPHRFKFKDLYIATKGFKDKELLGAGGFGRVYRGVLPSNKLEVAVKRVSHESRQGMREFVAEIVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLHDQPKVTLNWRQRFRVIKGVASGLFYLHGEWDQVVVHRDVKASNVLLDGELNGRLGDFGLARLYDHGTDPQTTHVVGTLGYLAPEHTRTGKATPSTDVFAFGAFLLEVACGRRPIEAKSPTEDVILVDWVYSCWSNGDIMEAKDPNLGSGYEAEEVELVLKLGLLCSHSEPEARPTMRQVLQFLEGDLPFPEMSSLSLTSSGLTFGHRQGFDDYVMSYSSSVCKGFSHCSVADSLLSGGR >KJB36007 pep chromosome:Graimondii2_0_v6:6:39177992:39186381:-1 gene:B456_006G136000 transcript:KJB36007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELLKGFEVPPKNSSEAALRRWRKLVTIVRNPRRRFRMIANLEKRSEAEQQKLKIKEKIRVALIVQKAALQFIDAAGPLDYKITDEVRQANFGIEPDELASIVHGHDIKRLKSHGGVDGIAEKVTVSLDEGVLSENVSTRQRIYGFNQYTEKPPRTFWMFVWDALQDLTLIILMICAVVSIGVGLATEGWPKGMYDGAGILLSIILVVLVTAISDYRQSLQFRDLDREKKKISVQVTRDGRRQQVSIYDLVVGDVVHLGIGDQVPADGLFISGYSMQIDESSLSGEADPVDIYEQKPFLLSGTKVRDGSAKMLVTAVGMRTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGLTFAVLTFLVLTVRFLIEKALHNEFTKWSSTDALTLLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKQLMDERALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKIWICEKISNIGGNENKNIDELEIHESVFSIFLRSIFLNSSAEVVKDENGKNSILGTPTETALLEFGLLLSADHDAYRRQFKILKVEPFNSDRKKMSVLVALPEGRIQAFCKGAPEIVLRMCEKVVDSSGEVVLLSEERVRDITEAINGFASDALRTLCVAVKDVGETFNENGIPDSGYTLIAVFGIKDPVRPGVKEAVQTCLAAGITVRMVTGDNINTAKAIAKECGILTAEENAIEGPEFSSKSPDEMKDIIPNIQVMARSKPSDKLNFVTNLRNMFGEVVAVTGDGTNDAPALRQSDIGLAMGIAGTEVAKENADVIVMDDNFATIVNVAKWGRAVYINIQKFVQFQLTVNVVALIINFVSACISGSAPLTAVQLLWVNMIMDTLGALALATEPPNDALMKRPPVPRGASFITKPMWRNIIGQSIYQLIVLGVLNFDGKQLLRLTGSDATTVLNTVIFNSFVFCQVFNEINSREIEKINILRGMFSSWVFLGVMASTVAFQVVIVEFLGTFASTVPLSWQLWLLCILIGSVSLIVGVIVKCIPVERAAVKPKHHDGYDALPSGLA >KJB38678 pep chromosome:Graimondii2_0_v6:6:50519028:50521090:-1 gene:B456_006G266600 transcript:KJB38678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQTLLAPSLSISFSSSNSKPRYSPLESLETCSSLAQLKQNHAHLTKLGLSSDNDAMGRLIKFCALSNDGDLGYALHLFDTLPHPDAFIYNTLIRGYLLRRQAADCIVLYLRMLQDSVLPNKFTFPCLIRACSVDGAVEKGAQIHAHVFKFGFANDGFCLNNLIHMYVDFKALENARKVFNKMPRRDVVSWTTLISGYAQLGLVDAAFEVFERMPERNSVSWNAMIAAYVQSNRFHEAFALFNRMRAENLALDKFVAASMLSACTGLGALEQGEWIHKYIRNKGIELDPKLATTIIDMYCKCGCLEKAYETFKGLTYKSISSWNCMIGGFAMHGKGEAAISIFKDMEKQGIVPDNITFVNILSACSHSGLVEEGRYYFQYMTRVHRIEPMMEHYGCMVDLLGRAGLLDEAKKLIDQMPMTPDVGVLGALFGACRIHGNFELGEQVGKRVIELEPNNSGRYVLLANLYVKAGRYEDMANVRRMMNERGVKKVPGFSVIELEGEVNEFIAGGRDHPESKEIYAKLDEMLDRIRAIGYVPCGAEGVVEELDEEEEKENPLNYHSEKLAIAFGLLKTKAGDTFRITKNLRVCRDCHHASKLISKVFDREIVVRDRNRFHHFKDGECSCKDYW >KJB37926 pep chromosome:Graimondii2_0_v6:6:47799300:47801680:1 gene:B456_006G226800 transcript:KJB37926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILCTFQPRLSSLQLLGQYFKLGSFLFRFSLCYIQSILTVCFLTYGSFVSFFLCSKMKMHCDDDDDYVNGETKPGSRPFSLRQFVVDARRKDIFQCWPFPKKYLQVCMKYGITNVLPPFELCINPSTRAMNKNIGQTCSDQQCKDHVSFENKAVLQEKLIKDECNCYYDQMLLSNTPCNESNLNFDHTSVVTVPVTRESSSVQGSNPHVHWRIDTVSPKKTRHKQKKRKGRQKKRLMSDILARAKPCTLEDYSIRCNSITLSNDDEEAIDDKLSSKDVRVLTIKFGGCISKTWNIN >KJB34095 pep chromosome:Graimondii2_0_v6:6:15910298:15911293:-1 gene:B456_006G047700 transcript:KJB34095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAMEKNLILMAKEVEKLRAEVLSAEKKMHGTIAYAGGYMNPDPSYAPPFQGGTTYSDGYSRPIMQTGLGPVEGLIPFGNSTNVPAAIAATGSQTVPSSVWGAPYDPSLAQR >KJB34451 pep chromosome:Graimondii2_0_v6:6:26385604:26386138:-1 gene:B456_006G066600 transcript:KJB34451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNDLIAIAARGGMETLRPALQRLYMTRASAYRDALKSFIEGYQEGIQQIMEKKEDSSKAQQEGNTDKN >KJB34797 pep chromosome:Graimondii2_0_v6:6:32043402:32044648:-1 gene:B456_006G085800 transcript:KJB34797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNITLFEMQYLKRKERELEAKEAGIRKREEEVKRKEEALARAGVFLDIKNWPPFLPIIHLDIANEIPDYLHRMQYVAFATFLGVILCLLWNVISVSAASLKWRGIEIWFLAMIYLVVGVPGAYFLWYRPLYRACRKDSAFRFGWFFIFYILHRCFCIFAAVAPPIFYQGLSLP >KJB33535 pep chromosome:Graimondii2_0_v6:6:3479292:3479958:-1 gene:B456_006G015800 transcript:KJB33535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPTQTQQPRPVVYVVFQIGSVGAVSDNKPGPAPASKASIEAMQRIKVEESGKDCCICLEEFEVEEEAREMPCKHVFHSGCIEKWLLTHGLCPVCRFMMPPETTETGGGDGDGDRRRMEGGEINGMEFLQSAFAFASLASMMSMVGSGWAFRQPDSDRVDDDRSSNQSTDCN >KJB36390 pep chromosome:Graimondii2_0_v6:6:41564504:41568888:1 gene:B456_006G156900 transcript:KJB36390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSGTCEIVEASEESEPVQQSRWQYQPNSGLGARDKDRKPNALKMGYKDSLEDDINQLFEAINLKGPKGLSVSYQGGASSSSLKKNAMKKPIAVGMPRSPRIGGSDSLSLKQALRDLCISKASEMAAMKRLSMSTSSPVASEAGRIKNWFNSVVVEPAGGSGLHRDENKRDMVEVSLVPEESKSTSRMTAVSHEAPQNKSLSQRANSSPRFAGARPEISTDTSVSTPTDVSASLEVESQAPKAELHQKEKHSSAFFPSCSYADDDMLEPENTLPGSNKLPERASTPKSVRKGRSQIVSSSSSISGKRVSRMTRNAPRVAKIVVRNKSSSGKKKIKQGSSSAANTFSEVTSSLDSTAGQLVCQRCHCSLKSSNNESNQESVKLQSAGISTEVSSSHVNSDVRKPTLMVNNSNSSVAAVPRAKKSPKSREKGHFSQSSSSLGDSTSTSISDDSNLSRYSCSNKPHMSKDVRWAAIRHIKMQEGVLGLRHFNLLKKLGCGDIGTVYLAELIGTNCLFAIKVMDNEFLARRKKLPRAQTEKEILRMLDHPFLPTLYTQFTSDNLSCLVMEYCPGGDLHVLRQRQPGRYFPEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCTVSPTLLKTSSNLDPARMSGPGTGSSCIEPFCIEPSCQVTCFSPRFLPAAAKTKRKAKSDLVAQVRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGIFLYELLYGRTPFKGAGNEETLTNVVLEGLKFPDSPLVSFQARDLIRGLLVKEPENRLGTEKGAAEIKQHPFFEGLNWALIRCAVPPELPELYEFGIPSMKSPEAESNYLECKATGDHLEFELF >KJB36391 pep chromosome:Graimondii2_0_v6:6:41564504:41568877:1 gene:B456_006G156900 transcript:KJB36391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSGTCEIVEASEESEPVQQSRWQYQPNSGLGARDKDRKPNALKMGYKDSLEDDINQLFEAINLKGPKGLSVSYQGGASSSSLKKNAMKKPIAVGMPRSPRIGGSDSLSLKQALRDLCISKASEMAAMKRLSMSTSSPVASEAGRIKNWFNSVVVEPAGGSGLHRDENKRDMVEVSLVPEESKSTSRMTAVSHEAPQNKSLSQRANSSPRFAGARPEISTDTSVSTPTDVSASLEVESQAPKAELHQKEKHSSAFFPSCSYADDDMLEPENTLPGSNKLPERASTPKSVRKGRSQIVSSSSSISGKRVSRMTRNAPRVAKIVVRNKSSSGKKKIKQGSSSAANTFSEVTSSLDSTAGQLVCQRCHCSLKSSNNESNQESVKLQSAGISTEVSSSHVNSDVRKPTLMVNNSNSSVAAVPRAKKSPKSREKGHFSQSSSSLGDSTSTSISDDSNLSRYSCSNKPHMSKDVRWAAIRHIKMQEGVLGLRHFNLLKKLGCGDIGTVYLAELIGTNCLFAIKVMDNEFLARRKKLPRAQTEKEILRMLDHPFLPTLYTQFTSDNLSCLVMEYCPGGDLHVLRQRQPGRYFPEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCTVSPTLLKTSSNLDPARMSGPGTGSSCIEPFCIEPSCQVTCFSPRFLPAAAKTKRKAKSDLVAQVRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGIFLYELLYGRTPFKGAGNEETLTNVVLEGLKFPDSPLVSFQARDLIRGLLVKEPENRLGTEKGAAEIKQHPFFEGLNWALIRCAVPPELPELYEFGIPSMKSPEAESNYLECKATGDHLEFELF >KJB33671 pep chromosome:Graimondii2_0_v6:6:6461270:6463306:1 gene:B456_006G025500 transcript:KJB33671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMPTAVFLLLLLLSTTTNLCSSAAPIPGLDSFLTQQSRIDPKSTNDPFQSLPSSLKKSLSSSSAAPLHIASLISSLLSLSVPIPLHIRLVGLNFSSSSLSLLTSFLQSSVTSSHFHLISSSSSHHSLSIGHSLHLDVSLSPSSLSSTLSTALSSALSSTPSSLRSPLLSIPYSTVDSIISRHFDSEKTDNSVYIYILNLGVTPKQPYAYSYSHSESSAGYTNCLGTLWTGNKRYLWIDLGAGPVDYGPALSGDGVLPRGEFHPLAAAHGRPKSEKTLLADLASLIYSAYQVLVVPPLRIPVHFENTLTVELIHIHASENVDSSGLDWNEIEKSFRNEANDGELLFGNQSLEFKRYSVNYEECSICSFAVSRSINSFTSRFLFDNYTLIVSEYLDSKRLHQILSDSAEEFRRVAGLPEEEFGSRVLPVYVFDLDYHTILLLDRYHQSIAFRDMVIAVRTRTAQTVSDYSCNGRHVFTRTRELQRPLVGSILQSMWGVSPTHLLWSPTHNSTLVDYTWSVGQTPFGPFSEVMSLSFVQKDAARRNFLLTSLNYSLTSAIDVLESIDAHGGDRNLLKQKQHVEFIQRWHLFRYKLDKAVSALSHFEFEMAFYYIKSSDHDLYAIHDLVYTASQEIEASLVCFKDPPFPWAALSFSAVGFLALSYVYAKRDKIFRNKRKQF >KJB36393 pep chromosome:Graimondii2_0_v6:6:41606396:41611291:1 gene:B456_006G157100 transcript:KJB36393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALAGDDLLARSMSSGRSYRSWTSASFKEVWQAPPEAFGRSGRQDEEEEELRWAAIERLPTYDRLRKGMLTQILDNGKVVHHEVDVAKLGMQAKKQLMASMLKVVEEDNEKFLRRLRDRTDRVGIEIPTIEVRFQHLEVEGDVYVGSRALPTLLNVTLNTIESILGLLRLAPSKKRKNQILKDVSGIVKPSRMTLLLGPPGAGKTTLLMALAGKLDRDLRSSGKVTYCGHDLNEFVPQRTCAYISQHDLHYGEMTVRETLDFSGRCLGVGTRYEMLSELSRREKEVGIKPDPEIDAFMKATAVAGQETSLVTDYILKILGLDICADIMVGDDMRRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQICKFMRQMVHTMDVTMIISLLQPAPETFDLFDDVIVLSEGQIVYQGPRESVLDFFEFMGFKCPERKGIADFLQEVTSKKDQQQYWFQKSLPYGYVSVSDFVNGFSCFCIGQQLSMDLKVPYDKTSTHPAALACFAREWLLMKRNSFVYIFKTVQITIMSLIALTVFLRTEMPVGSLENGQKFFGALFFSLINVMFNGTAELAMTIFRLPVFYKQRDLLFYPAWGFGLPIWVLRIPLSLMESGIWIALTYYTIGFAPAASRFFRQFLAFFGVHQMALSLFRFIAAIGRTEVVANTLGTFTLLLVFVLGGFIIAKKLLLADDIEPWMIWGYYVSPMMYGQNAIVMNEFLDERWSANNTDPRIDAPTVGKVLLKTRGFFTEEYWFWICVGALFAFSLVFNILFIGALTFLNPLGGSKAVVVNEDEKKTKNPYSGGRIPEGIHMKARNSSNNPRKGMVLPFQPLSLAFNHVNYYVDMPAEMKTQGIEVDRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTRGYIEGHISISGYTKNQATFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLASDTDTSTRKMFVEEVMELVELKPLRNALVGLPGIEGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRHSHKLIEYFEVKLIIR >KJB36394 pep chromosome:Graimondii2_0_v6:6:41606713:41612778:1 gene:B456_006G157100 transcript:KJB36394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALAGDDLLARSMSSGRSYRSWTSASFKEVWQAPPEAFGRSGRQDEEEEELRWAAIERLPTYDRLRKGMLTQILDNGKVVHHEVDVAKLGMQAKKQLMASMLKVVEEDNEKFLRRLRDRTDRVGIEIPTIEVRFQHLEVEGDVYVGSRALPTLLNVTLNTIESILGLLRLAPSKKRKNQILKDVSGIVKPSRMTLLLGPPGAGKTTLLMALAGKLDRDLRSSGKVTYCGHDLNEFVPQRTCAYISQHDLHYGEMTVRETLDFSGRCLGVGTRYEMLSELSRREKEVGIKPDPEIDAFMKATAVAGQETSLVTDYILKILGLDICADIMVGDDMRRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQICKFMRQMVHTMDVTMIISLLQPAPETFDLFDDVIVLSEGQIVYQGPRESVLDFFEFMGFKCPERKGIADFLQEVTSKKDQQQYWFQKSLPYGYVSVSDFVNGFSCFCIGQQLSMDLKVPYDKTSTHPAALACFAREWLLMKRNSFVYIFKTVQITIMSLIALTVFLRTEMPVGSLENGQKFFGALFFSLINVMFNGTAELAMTIFRLPVFYKQRDLLFYPAWGFGLPIWVLRIPLSLMESGIWIALTYYTIGFAPAASRFFRQFLAFFGVHQMALSLFRFIAAIGRTEVVANTLGTFTLLLVFVLGGFIIAKKLLLADDIEPWMIWGYYVSPMMYGQNAIVMNEFLDERWSANNTDPRIDAPTVGKVLLKTRGFFTEEYWFWICVGALFAFSLVFNILFIGALTFLNPLGGSKAVVVNEDEKKTKNPYSGGRIPEGIHMKARNSSNNPRKGMVLPFQPLSLAFNHVNYYVDMPAEMKTQGIEVDRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTRGYIEGHISISGYTKNQATFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLASDTDTSTRKMFVEEVMELVELKPLRNALVGLPGIEGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRHSHKLIEYFEAVQGVPKIRDGYNPATWMLEVSAPSVEAQLDVDFADIYANSSLYRMNQELIKELSAPAPGTKDLFFPTQYSQPFLTQCKACFWKQHWSYWRNPQYNAIRFFMTTVIGILFGIIFWNKGQRISRQQDLMNLLGAMYSAVLFLGATNAAAVQSVVAIERTVFYRERAAGMYSELPYAFAQVAIEIIYTAIQTLIYTLLIYSMIGFEWTPVKFLWFYYYILTCFIYFTLYGMMVVALTPGHQIAAIVMSFFLSFWNLFSGFLIPRTQIPIWWRWYYWASPVAWTLYGLVTSQVGDKDGPLEVPGRQNMTVKGFLKEDLGFEYSFLPAVAVAHVGWCLLFFFVFAYGIRFLNYQRR >KJB33996 pep chromosome:Graimondii2_0_v6:6:12043360:12045412:-1 gene:B456_006G042600 transcript:KJB33996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEEGFQAFLNNRWLVFVPAMWLQSCSGPGYIFGSISSVIKTSLNYNQKQISKLGVAKDLGGSIGLIAGTLSEILPLWGVSLVDALQNLMGYGSVWLIVTGKVPVFPLWAMCVLIFVGNNGETYFNTAALVSGLQNFPESTGPVVGILKGFTALSGAILAQIYTLINFRDQSSLIFMVAVGPRMVVIALMFIIRPVGGHKQVHPSDGSSFTLVYCVCLLLATYLMAIMILEGLVSMSHNLVTVFTLILFVLLLIPVGLSFREEPRDPAEEILLPRPEQHEVVSSEFKNEKPEEVDSLAVPEGQNRNALLQAELFRAAAEGAVRVKKRKGPRRGEDFTLTQALIRADFWLIMFSLLLGGGSGLTVIDNLGQMSQSLGYANPHIFVSMICIWNFLGRVAGGYVSEDHAHPRPIVIVMAQLVMAVGHVFFAMGWPGAMYIGTLLVGLCYGAHWAIMPATVSELFSLKKFGAFYNFLSLANPAGTLIFSSVIASSIYDYEAEKQARQHRIKSHISGSILSGMFAQDESRKCEGSICFFLSSMILSGSCIIAAVLSMVLVILNYHKLKNRNI >KJB37736 pep chromosome:Graimondii2_0_v6:6:47077147:47079461:-1 gene:B456_006G218400 transcript:KJB37736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHYSVTVIRTNKFREMENQEPTPTQPNQRLGSPSQELPSTSSNEQTQKRNRPESPQRKSDDSAQSPKRSRNGSLPPQSFPQEIIFEILLNLPVQSLLRFRCVSKPWKSLIADNFFIKKHLKRAQNDPEFSKKRVLINTSSIQTGSSIKSCSLKSIFEDPNVNTTEIEYPSKKASRYDWIVGSCNGLICIAIREDTVLLLNPTLRVSKRLPDLGFKKRRGCYTVYGFGFDASGDDYKVVRVFCYQSKGFEDGYESIVRVYSMRTNCWRRIQDFPFGVPFSEAGKHVDGTLNWAVLSRQYRDFSCTIVSLDLAQETYKEVTQPCYGNGAGERILGVLDGCLCVLCSYGRLYAEVWVMKEYGKRESWTKLVTIPYTPIPGYEMFLTPLSVSKSGEILLRFEVNMLLYNPEKNMFRIPMFPYDAFSYIDQQEVYEESLVSPTVVNQHR >KJB37735 pep chromosome:Graimondii2_0_v6:6:47078073:47079410:-1 gene:B456_006G218400 transcript:KJB37735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHYSVTVIRTNKFREMENQEPTPTQPNQRLGSPSQELPSTSSNEQTQKRNRPESPQRKSDDSAQSPKRSRNGSLPPQSFPQEIIFEILLNLPVQSLLRFRCVSKPWKSLIADNFFIKKHLKRAQNDPEFSKKRVLINTSSIQTGSSIKSCSLKSIFEDPNVNTTEIEYPSKKASRYDWIVGSCNGLICIAIREDTVLLLNPTLRVSKRLPDLGFKKRRGCYTVYGFGFDASGDDYKVVRVFCYQSKGFEDGYESIVRVYSMRTNCWRRIQDFPFGVPFSEAGKHVDGTLNWAVLSRQYRDFSCTIVSLDLAQETYKEVTQPCYGNGAGERILGVLDGCLCVLCSYGRLYAEVWVMKEYGKRESWTKLVTIPYTPIPGYEMFLTPLSVSKSGEILLRFEVNMLLYNPEKNMFRIPMFPYDAFSYIDQQEVYEESLVSPTVVNQHR >KJB34134 pep chromosome:Graimondii2_0_v6:6:16691320:16692294:1 gene:B456_006G049200 transcript:KJB34134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKVVLKVLTMTDEKTKQKAIEAAADIYGVDSIAADLKDQKLTVIGQMDAVAVVKKLKKVGKVDLVSVGPSRKSKNNGN >KJB34133 pep chromosome:Graimondii2_0_v6:6:16691018:16692383:1 gene:B456_006G049200 transcript:KJB34133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKVVLKVLTMTDEKTKQKAIEAAADIYGVDSIAADLKDQKLTVIGQMDAVAVVKKLKKVGKVDLVSVGPAKEEKKEEKKEEKKEEKNEEKKEEVKEEQK >KJB35226 pep chromosome:Graimondii2_0_v6:6:34810490:34814154:1 gene:B456_006G105400 transcript:KJB35226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLYQHGDCYLAHQRLAIIDPASGDQPLFNEDKSVVVTVNGEIYNHEELRKKLANHKFRTGSDCDVIAHLYEEYGEDFVDMLDGIFSFVLLDTRDNSFIVARDAIGVTSLYIGWGLDGSVWISSELKGLNDDCEHFESFPPGHLYSSKSGGFRRWYNPPWFSEAIPSVPYDPLVLRRAFENAVTKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKAAKHWGAQLHSFCVGLEGSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKDEFHRETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINVAMSIDPEAKMIKNDEGRIEKWVLRRAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHTARHVTDKMMSNASFIFPHNTPTTKEAYYYRMIFERFFPQNSARLTVPGGASVACSTAKAVEWDTAWKYNLDPSGRAALGVHLSAYDAETPLSNKPSKVIDGIPRMMAFPGVAIQS >KJB33995 pep chromosome:Graimondii2_0_v6:6:11937509:11939826:-1 gene:B456_006G042500 transcript:KJB33995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMCVLIFVGHNGETYFNTAALVSCVRNFPKSRGPVVGILKGFAALSGAILTQIYTMINFPDQASLIFMVAFGPTMVVFALMFIIRPVGGHKEVRPSDGLSFTVVYSVCLLLAAYLMAIMLLEDLVRHRDPAEEVLLPKPYQHDAGNPEQDTREHEVVSSEFKDENPEEVDSLVVPEGQKRIAQLQAKLFQATDEGAVRLKNRKGPHRGEDFTLMQALIKADFWLIMFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMICIWNFIGRVGGGFISEIIDHAYPRPIVIVVAQLVMAVGHVFFAMGWPGAMYIGTLLIGLCHGAHWAIMPATVSELFGLKKFGALNNFLNLANPAGTLVFSGVIASRIYDREAEKQARQHHIQSHISGSIFSGMLTADESLNCEGSICFFLSSMIMSGFCIIAVILSMILVYRTKTVYAHLYGKSCIRYQ >KJB33994 pep chromosome:Graimondii2_0_v6:6:11937509:11939973:-1 gene:B456_006G042500 transcript:KJB33994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLKERFQAFVNNRWLVFVAAMWMQSCTGPGYIFGSISPVIKSSLNYNQRQLSKLGVAKDLGGSVGFIAGGLCEIFPIWGVLLIGALQNLIGYSSLWLIVTGKVPVFPLWAQFSRKSLITNLSMQMCVLIFVGHNGETYFNTAALVSCVRNFPKSRGPVVGILKGFAALSGAILTQIYTMINFPDQASLIFMVAFGPTMVVFALMFIIRPVGGHKEVRPSDGLSFTVVYSVCLLLAAYLMAIMLLEDLVRHRDPAEEVLLPKPYQHDAGNPEQDTREHEVVSSEFKDENPEEVDSLVVPEGQKRIAQLQAKLFQATDEGAVRLKNRKGPHRGEDFTLMQALIKADFWLIMFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMICIWNFIGRVGGGFISEIIDHAYPRPIVIVVAQLVMAVGHVFFAMGWPGAMYIGTLLIGLCHGAHWAIMPATVSELFGLKKFGALNNFLNLANPAGTLVFSGVIASRIYDREAEKQARQHHIQSHISGSIFSGMLTADESLNCEGSICFFLSSMIMSGFCIIAVILSMILVYRTKTVYAHLYGKSCIRYQ >KJB37339 pep chromosome:Graimondii2_0_v6:6:45737057:45738844:1 gene:B456_006G200400 transcript:KJB37339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHFLCPITYTQHKTVTKMFRKPPSKPTKIVRVSVTDPDATDSSSDEEDYFFVPRRRVKRYVNEISIEPAPCKSTASTTVPNNNSNRKRTATDVADSNRRPLKLSSSGRKFRGVRQRPWGKWAAEIRDPARRVRLWLGTYDTAEEAAMVYDNAAIKLRGPDALTNFITPPAKESIGKPDNINVPSVSGYQSGDDSPRNLSSPTSVFNFETQSTEDTAVEPERSVHELQEGESKPPPAQPEPSNDWGDYLPLDFPFLDDFFNNQVPVLSLFDETSTIFSDSKVINQHFDDILINTSRDFECYLPSSLSSSASICQVDDQVNDYFEEIGDLFLLDPLVSNV >KJB38499 pep chromosome:Graimondii2_0_v6:6:49965970:49966758:-1 gene:B456_006G258200 transcript:KJB38499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKMISKRSRVLALMFMFVLGIVECRKFEEDLDGGARRELTFGGFSGGGGAKGGFGGGGGFGVGISGGGGARGGAGGGAGGGLGGGGGGGLGGGGGASGGAGGGAGGGLGGGGGGGLGGGGGASGGASGGAGAGAGASASASAGGGASGGAGAGASGGGGAKGGAGAGVQGGVKGGAGGGARGGVGGGAGGGAGGRASGGGKFGGSVSGGFSVGGGGGGRIGGGF >KJB34314 pep chromosome:Graimondii2_0_v6:6:21674529:21675665:1 gene:B456_006G059100 transcript:KJB34314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASYIAWCAVMVILLAEAVEVSTAVTCSPTQLSSCVSAITSSSPPSQLCCSKIKEQKPCLCQYLKNPNLKKFINTGNARKVASTCGTPFPKC >KJB33223 pep chromosome:Graimondii2_0_v6:6:532935:534970:1 gene:B456_006G001900 transcript:KJB33223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPIKALDCRDTEIHYTIKALNLSYWLSRRVDDFKWFLWKEATASKCILKNVNCEARPGEILAIAGPSGAGKTTLLEVLAGIIPLSRLSGNVLVNNEAMDPGHFRRVSGYVTQDQLLFPLLTVEETLMYSARLRMHDGQQHNKAAVRVKELLKELGLEEVANVRIGGESNRGISGGQKRRVSIGVDLVHDPTVLLIDEPTSGLDSASAFHVVSLLKSMATKQGKTIVLTVHQPGFRILELFDRILLLSNGTVIHHGTLHLLEQRLQFAGHSIPCHVNVLEFAIEVTQDLVVSTEESEDCEDIRRNLQLSNVKENNICYANPLFMEVLILCQRFSNNIYRTKQLFIARTIQALAAGIVLGTIFMNAGNDSMRSKLQTQIGFFAFSLTFLLSSTTEGLPIYLQERRILMKETSRGAYRVSSYVISNTMVFMPFLLFVALLYTFPVYWLVGLRREINAFLYFSLVVWLVVLMSNSFVACFSALVPNFIMGTSLIAGLVGSFFLFSGYFISKEDIPKYWIFMHYLSLFKYPFECFMLNEYGGEKGQKRCLKIVEGQCYLNGEGFLKQQGLNESQKWSNIVVMLSFIVGYRFLSILLLSYRSCRTKC >KJB37538 pep chromosome:Graimondii2_0_v6:6:47026512:47028416:1 gene:B456_006G217500 transcript:KJB37538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKRRFTIGYALLPKKENSFIRESLVNLAKSRGVDLVKIDRERSLVDQGPFDCVLHKLYGEDWKIQLADFRSRNPNAVIVDSPDAIERLHNRISMLQVVSELKIENQSETFGIPKQIVIYDQETLFDNQAWELLKFPVIAKPLVADGSAKSHKMTLVFNRDGLNKLKPPIVLQEFVNHGGVIFKVYVAGEYVQCVKRKSLPDVSEEKLKSLEGSLRFSQVSNLPTHEKSDDTYYKMMNLEDTELPPQIFMTDIAKGLRKAMKLNLFNFDVIRDTRFGNRYLIIDINYFPGYAKMPGYETVLTDFFCDIMILKEREKGLLEECSQKKMAGTDGRQLLNCEEEVRKTVTNSGCSDGEEKENSIQV >KJB37539 pep chromosome:Graimondii2_0_v6:6:47026672:47028403:1 gene:B456_006G217500 transcript:KJB37539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKRRFTIGYALLPKKENSFIRESLVNLAKSRGVDLVKIDRERSLVDQGPFDCVLHKLYGEDWKIQLADFRSRNPNAVIVDSPDAIERLHNRISMLQVVSELKIENQSETFGIPKQIVIYDQETLFDNQAWELLKFPVIAKPLVADGSAKSHKMTLVFNRDGLNKLKPPIVLQEFVNHGGVIFKVYVAGEYVQCVKRKSLPDVSEEKLKSLEGSLRFSQVSNLPTHEKSDDTYYKMMNLEDTELPPQIFMTDIAKGLRKAMKLNLFNFDVIRDTRFGNRYLIIDINYFPGYAKMPGYETVLTDFFCDIMILKEREKGLLEECSQKKMAGTDGRQLLNCEEEVRKTVTNSGCI >KJB34912 pep chromosome:Graimondii2_0_v6:6:32634730:32646920:1 gene:B456_006G090100 transcript:KJB34912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFSPYTTKKNQISPNWLSTTLLELPLIFLLLKKKRIIFHRSVSAMAAASSLSSLLVSSMALTSPIFQSNCLNNTTCKRGTTMAVSLQTTNKPVIHHEKPANKRRRVVVTGIGLVTALGEDPDVFYNNVLQGISGITEIEKFDTSQLPSKIGAELKSFSPEGFVSSKLAMRADNFMLYLITAGKKALADGGISDEVNGQLDKTRCGIIVGSAMGGLRIFYEWFENMLILSRRPNPFSVPMAGNNMGCAALAIELGWMGPNYIINAACATSNHCILSAAGHITDGITDMMLCGGSDAGVHPIGLAGFASCKALSKRDCDPTKASCPWDTNRDGIVLGDGAGVLLLEELEHAKRRGARIYAEFLGGSSSADAYHLTRPHPDGIVGCIEKALAKAGVAREDVNYINAHAPSTQVGDLIEFAALVRCFGNNMELRINSTKSMTGHLAGATGAVDAIATIKAIQTGWVHPNINLDNPDKAVDMNLLVGSKKERLNIKVALSNSFTLGGQNSSILFAPLHSE >KJB38500 pep chromosome:Graimondii2_0_v6:6:49971251:49974240:-1 gene:B456_006G258300 transcript:KJB38500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKVSSKRLGVFALMFVFAIGIAECRKFEKENLGGGFGGGAGGGLGGGLGGGAGGGAGGGLGGGGGGGLGGGAGGGLGGGAGLGGGAGGGAGGGLGGGLGGGAGGGAGGGLGGGAGLGGGAGGGAGAGGGLGGGLGGGGGAGGGVGGGIGGGAGGGVGGGGGFGGGAAGGGAGGGLGGGLGGGAGGGAGGGLGGGAGLGGGAGGGAGAGGGAGAGGGVGGGLGGGGGAGGGVGGGIGGGAGGGGGGGFGGGGGFGGGGGGGFGGGGGGGFGGGAGGGIGRGF >KJB34066 pep chromosome:Graimondii2_0_v6:6:17087923:17088351:-1 gene:B456_006G049900 transcript:KJB34066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLGVEPSVVTLSTLINGLCRQSKISQAVKLFDEMVEKGYQLNLIVYSTILNGLCKTGSGNIDRVVRFLRMMEERGFERNIVAYNTIIDCLCKKGSLNEALDLFSHVTVKGIRPNTVTYNCLIHAMCNSGQQREATRFLNN >KJB35494 pep chromosome:Graimondii2_0_v6:6:36686470:36687511:1 gene:B456_006G117400 transcript:KJB35494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQVLSRSPPVRHKRPCSPDGLKTERKGRNYGGMVGGSAAECTAVCCCFPLTIMELLVLGLYKVPTGLCKKACRRKKGKHKSHGFHPTSSSEDQDTELHQMVGNADDGSRVTVDSEDNKSDRFFGTGFWRDPSEKETHDVMCERN >KJB36372 pep chromosome:Graimondii2_0_v6:6:41549149:41552469:-1 gene:B456_006G156800 transcript:KJB36372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSFKYVILGGGVAAGYAAREFAKQGVQPGELAIISKEAVAPYERPALSKAYLFPEGAARLPGFHVCVGSGGERLLPEWYKEKGIELILSTEIVKADLPANTLVSAAGETFKYQILIIATGSTVIKLTDFGVQGADAKNIFYLREIDDADKLVESIKAKKNGKAVIVGGGYIGLELGAALKINNLDVSMVYPEPWCMPRLFTSGIAAFYEGYYANKGIKIIKGTVAVGFTSDSNGEVKEVQLKDGRVLEADIVVVGVGGRPLTALFKGQVEEETGGIKTDAFFKTSVPTVYAVGDVATFPLKLYNELRRVEHVDHARKSAEQAVKVPSRGLRRGNQSTNMTTYRTSIPVPLT >KJB36373 pep chromosome:Graimondii2_0_v6:6:41548612:41552801:-1 gene:B456_006G156800 transcript:KJB36373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSFKYVILGGGVAAGYAAREFAKQGVQPGELAIISKEAVAPYERPALSKAYLFPEGAARLPGFHVCVGSGGERLLPEWYKEKGIELILSTEIVKADLPANTLVSAAGETFKYQILIIATGSTVIKLTDFGVQGADAKNIFYLREIDDADKLVESIKAKKNGKAVIVGGGYIGLELGAALKINNLDVSMVYPEPWCMPRLFTSGIAAFYEGYYANKGIKIIKGTVAVGFTSDSNGEVKEVQLKDGRVLEADIVVVGVGGRPLTALFKGQVEEETGGIKTDAFFKTSVPTVYAVGDVATFPLKLYNELRRVEHVDHARKSAEQAVKAIKGLEKGQSVDEYDYLPYFYSRSFDLAWQFYGDNVGDTVLFGDNNPQSPKPKFGSYWIKDGKVVGAFLEGGSAEENQAIAKVAKLQPKAENLDALAKEGLAFACKI >KJB36371 pep chromosome:Graimondii2_0_v6:6:41548612:41552651:-1 gene:B456_006G156800 transcript:KJB36371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSFKYVILGGGVAAGYAAREFAKQGVQPGELAIISKEAVAPYERPALSKAYLFPEGAARLPGFHVCVGSGGERLLPEWYKEKGIELILSTEIVKADLPANTLVSAAGETFKYQILIIATGSTVIKLTDFGVQGADAKNIFYLREIDDADKLVESIKAKKNGKAVIVGGGYIGLELGAALKINNLDVSMVYPEPWCMPRLFTSGIAAFYEGYYANKGIKIIKGTVAVGFTSDSNGEVKEVQLKDGRVLEADIVVVGVGGRPLTALFKGQVEEETGGIKCSHSICCG >KJB37793 pep chromosome:Graimondii2_0_v6:6:47280044:47283955:1 gene:B456_006G220300 transcript:KJB37793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ6 MNRIFATKAGSNVYRLRIPWRPLSNDAAPLLSSQNAGNPEKESEAKQYDIAIVGGGMVGLALACSLASRPLTRHLNVAIIDSNPALGRKHFIKKEDLPDPRVSTITPATISFFKDIGAWQFVEQHRHAYFDKMQVWDYTGLSYAKYNARDADKEVLGCVVENKVLLSSLLSRVQETDLPKKIYPSRLNSMSILPNSSSSEVDSTLSMTALFTHGRLAKLELEDGNSLYAKLVVGADGGKSRVRELAGFRTTGWNYSQNAIICTVEHDVENHCAWQRFLPSGPLALLPIGDKFSNIVWTMSPKESSEFKSITEDNFLKAVNRALDYGYGPHPTPSILGSSDLFSWLKGGISKSANDCFEIPPKVIKLASERMAFPLSLRHANDYASKRVALIGDAAHTVHPLAGQGVNLGFGDASTLSSVISEGIAVGADIGEVSLLKKYEADRKPANVMMMAVLDGFQKAYSVDFGPLNILRAAAFHGAHHISPLKKSIISYASGETRLPLFA >KJB37794 pep chromosome:Graimondii2_0_v6:6:47280127:47283832:1 gene:B456_006G220300 transcript:KJB37794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ6 MNRIICCNNRIFATKAGSNVYRLRIPWRPLSNDAAPLLSSQNAGNPEKESEAKQYDIAIVGGGMVGLALACSLASRPLTRHLNVAIIDSNPALGRKHFIKKEDLPDPRVSTITPATISFFKDIGAWQFVEQHRHAYFDKMQVWDYTGLSYAKYNARDADKEVLGCVVENKVLLSSLLSRVQETDLPKKIYPSRLNSMSILPNSSSSEVDSTLSMTALFTHGRLAKLELEDGNSLYAKLVVGADGGKSRVRELAGFRTTGWNYSQNAIICTVEHDVENHCAWQRFLPSGPLALLPIGDKFSNIVWTMSPKESSEFKSITEDNFLKAVNRALDYGYGPHPTPSILGSSDLFSWLKGGISKSANDCFEIPPKVIKLASERMAFPLSLRHANDYASKRVALIGDAAHTVHPLAGQGVNLGFGDASTLSSVISEGIAVGADIGEVSLLKKYEADRKPANVMMMAVLDGFQKAYSVDFGPLNILRAAAFHGAHHISPLKKSIISYASGETRLPLFA >KJB33231 pep chromosome:Graimondii2_0_v6:6:729554:731634:1 gene:B456_006G003300 transcript:KJB33231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRISKKDERDVTEFQEMHSSARENGLGRIFRSPSFFEDAVKSILLCNCSWKRSLDMARDLCLLQPKIALDGNARSKRKRSKCSDDIGNFPSWKELVAWSWVDEKYLIKQCNVGYRAARILQLATMFAQGDLREDHIAKLEQSSDPTSFETLYQKLLKIKGFGPFVCSNIMMCVGFYQRIPSDSETIRHLKQVHGKQNCSKQTIGKDVEEIYGKYNPFQCLAYWVELIEEYENKFGKLSKLEAGNYHLITAPRYLGTRE >KJB34881 pep chromosome:Graimondii2_0_v6:6:32378571:32381167:-1 gene:B456_006G088400 transcript:KJB34881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGMNSGSDILPPGFRFHPTDEELIIYYLNQKLSPSSNPLINIIADVNIYKFDPWELPGKALFGENEWFFFSPRDRKYPNGTRPNRATGCGYWKATGTDKPIITSVGSQCLGMKKTLVFYKGRPPKASRTDWVMTEYRLLYDHFLPQKPKGSMRLDDWVLCRVHHKIKVPQQITSGRNYDRSSSCSPPFQRGCLQGQEMILNNYTIQQGNEYHQFPSHNYHMTIPLENEQLDNQMECDGTITIDFNVRDMLEYIDHRVFHGGDIALGQELPLVSEKRLNASVSMHDDDNAYVPQICSQAPSSSSSFREVFLEF >KJB35022 pep chromosome:Graimondii2_0_v6:6:33536759:33540031:-1 gene:B456_006G096000 transcript:KJB35022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSEKEKHFHHSGLSNSSLNWSRHLLMQRSQVTSLFGADALWRKGYTGAKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGEDAECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIAINMDVLNLSIGGPDYLDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKQLSGTSVASPVFAGVVCLLVSIIPENRRKEILNPASMKPTISGVETIFLKTKI >KJB33077 pep chromosome:Graimondii2_0_v6:6:46064180:46065583:1 gene:B456_006G204900 transcript:KJB33077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSTHLVATLLAASTVALSSSSSSGIHNVSFPPTSSNQGTRNGASRNLTATERENFTPRFDGLRFIETLITAHR >KJB36681 pep chromosome:Graimondii2_0_v6:6:43011048:43013566:-1 gene:B456_006G170700 transcript:KJB36681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase-like 20 [Source:Projected from Arabidopsis thaliana (AT5G02070) UniProtKB/Swiss-Prot;Acc:Q9LZM4] MEKYVFSMLIFMIVLLSVAGCATALVRCGNCGRIPVPYPLSTGPNCGDQAYKIRCTAGALWFDALKGSYRIISINPMTQRMVLQPPSLLGNSCISSDISTQGIQLDDNLPFNITSSNTILLLNCTDAMFHLQAPINCTSTSICHYYIKDNAAACMRSPICCVFKTGGSQTAYVVRIHDRGCLAYQSFVNFDTVNPPKKWPQPGLEIEWALPLEPACKAPMDCKNLFHSKCLADPANVGTTRCLCNKGFTWDPVNGLCRSTKCRPGKRCKKQKKQIVLIGGITAVLGGLSLAIMIGIIVYKQHRRIKKETQKNLIKEREEMLNARNNGKSARVFTGKEIKKATNNFSVSNLVGSGGFGEVFRGILDDGTVTAIKRAKVGNTKGTDQVLNEVRILCQVNHRCLVRLLGCCVELELPLMVYEFIPNGTLFDHLHCHISGKYAPLTWRHRLRIAHQTAEGLAYLHSAAVPPIYHRDVKSSNILLDEKLDAKISDFGLSRLVERTEGGDSHIYTSAQGTVGYLDPEYYRSFQLTDKSDVYSFGVVLLELLTSKKAIDFNREDENVSLVVYMKNIMNEEKLMDVVDPVIKEGAKKLELETIKALGLLAASCLDDKRQHRPSMKEVADEIEYIISLVAGEVSEK >KJB33217 pep chromosome:Graimondii2_0_v6:6:432453:438490:1 gene:B456_006G001300 transcript:KJB33217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKVEKMASIDAQLRLLAPGKVSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELSAEYEGKHDPKILEELGKVLTSLDPGDSIVVTKSFSHMLNLGNLAEEVQIAYRRRIKLKKGDFADESSATTESDIEETFKRLVGQLNKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRNPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRIRADELHRSSKKDAKHYIEFWKQIPPNEPYRVILGDVRDKLYNTRERARSLLANGFSDIPEEAAFTNVEQFLEPLELCYRSLCACGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKHLDIGSYREWPEERRQEWLLSELGGKRPLFGPDLSKTEEVADVLDTFHVISELPSDSFGAYIISMATAPSDVLAVELLQRECRVKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYRDRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQVELVKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPNPEWRALMDEMAVVATKEYRSVVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIQKDIKNLHMLQEMYNQWPFFRVTMDLIEMVFAKGDPGIAALYDKLLVSKELWPFGENLRANYEDTRRLVLQVAGHRDLLEGDPYLKQRLQLRDAYITTLNVCQAYTLKRIRDPDYHVKVRPHLSREYMESSKAAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >KJB36445 pep chromosome:Graimondii2_0_v6:6:41839736:41841999:-1 gene:B456_006G159000 transcript:KJB36445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGVSGGVFSGIGSGMLGLEMPLHPQHHQHQQPPRNPQTAPNLHHLQQNPQMVGFPHQETDHSLHQQSVKQGGYPFGSKAKQTSPLSDEDEHGFNPDDASADAKRKGSPWQRMKWTDSMVRLLIMAVYYIGDEGGSEGNGSDPTAKKKAGGLLQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGRGTACKVVENQSLLDSMDLSPKMKEEVKKLLNSKHLFFREMCAYHNSCGHVATAGAHQSSVVATEISQTQHQQAQCLHSSENAQIAGRIETEASKLAKVGSEEEDDDEDEDDEDEDDDEDDDDEAVDGHSRGQNGHGKEDDEDNNEKPSHKRPRKGAFSMSPSPLMQQLSCEVVNVIQDGSKSAWEKKHWMKMRLVQLEEQQVSYQYQAFQLEKQRLKWVKFSGKKEREMERAKLENERRRLENERMVLLVKQKELELVDVQQQHLSQQHSCSKRRDPSNISG >KJB36446 pep chromosome:Graimondii2_0_v6:6:41839949:41841397:-1 gene:B456_006G159000 transcript:KJB36446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGVSGGVFSGIGSGMLGLEMPLHPQHHQHQQPPRNPQTAPNLHHLQQNPQMVGFPHQETDHSLHQQSVKQGGYPFGSKAKQTSPLSDEDEHGFNPDDASADAKRKGSPWQRMKWTDSMVRLLIMAVYYIGDEGGSEGNGSDPTAKKKAGGLLQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGRGTACKVVENQSLLDSMDLSPKMKEEVKKLLNSKHLFFREMCAYHNSCGHVATAGAHQSSVVATEISQTQHQQAQCLHSSENAQIAGRIETEASKLAKVGSEEEDDDEDEDDEDEDDDEDDDDEAVDGHSRGQNGHGKEDDEDNNEKPSHKRPRKGAFSMSPSPLMQQLSCEVVNVIQDGSKSAWEKKHWMKMRLVQLEEQQVSYQYQAFQLEKQRLKWVKFSGKKEREMERAKLENERRRLENERMVLLVKQKELELVDVQQQHLSQQHSCSKRRDPSNISG >KJB36447 pep chromosome:Graimondii2_0_v6:6:41839740:41841899:-1 gene:B456_006G159000 transcript:KJB36447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLEMPLHPQHHQHQQPPRNPQTAPNLHHLQQNPQMVGFPHQETDHSLHQQSVKQGGYPFGSKAKQTSPLSDEDEHGFNPDDASADAKRKGSPWQRMKWTDSMVRLLIMAVYYIGDEGGSEGNGSDPTAKKKAGGLLQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGRGTACKVVENQSLLDSMDLSPKMKEEVKKLLNSKHLFFREMCAYHNSCGHVATAGAHQSSVVATEISQTQHQQAQCLHSSENAQIAGRIETEASKLAKVGSEEEDDDEDEDDEDEDDDEDDDDEAVDGHSRGQNGHGKEDDEDNNEKPSHKRPRKGAFSMSPSPLMQQLSCEVVNVIQDGSKSAWEKKHWMKMRLVQLEEQQVSYQYQAFQLEKQRLKWVKFSGKKEREMERAKLENERRRLENERMVLLVKQKELELVDVQQQHLSQQHSCSKRRDPSNISG >KJB33571 pep chromosome:Graimondii2_0_v6:6:4597252:4598798:-1 gene:B456_006G018600 transcript:KJB33571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLNTPSNYCSSESCPPNPYEYNNTGFRCCSTSIGTLFLLLATWSVYKVLKRKQKIMLNQKCFKRNEGLLLQQHLSSNESNVEKIKLFTSKEMKKATDSYNENQILGQGGQGTVYKGMLIDGSIVAIKKSKMVEGKKFDEKKVEQFINEVIILSQINHRNVVKLLGCCSEAEVPLLVYEFIPNELPLTWEIRLRIAIEIANALFYLHSAASAPIYHRDIKSSNILLDDKYRAKVSDFGTSRSVALEQIHLTTRVQGTFGYMDPEYFRTNQFTEKSNIYSFGVVLIELLTGQKPISANQSEQVRSLVSYFLHSMQENSLFSILNPMVVKDGPEQEIMVVALLAKRCLNLNGKKRPTMKQVVMELELIKASGGTVIEDCGDEESETDDMIHSWDTNPSSSMSRRIPTDSVTFPLNSSS >KJB35241 pep chromosome:Graimondii2_0_v6:6:34878147:34880177:-1 gene:B456_006G106300 transcript:KJB35241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVSHKVDKVPLKFSFLLELSASNDLIGFRNAIERERRDIDERSLWYGRRMGSRKMGLEQRTPLLIASLFGSKDVVKYILKSGRVDVNRACGSDGATALHCVVAGGSLNSSEVIKTLLDASANINALDANGNRPVDLIAMACNSAFNSRKKILESLLKGSGSDDEIERLPVHLEACDSMPWVLKDETEKKEYPIDVTLPDIKNEMYGTDEFRMYNFKVTPCSRAYSHDWTECPFVHPGENARRRDPRKHQYSCVPCPEFRKGSCKQGDNCEYAHGIFESWLHPAQYRTRLCKDESNCNRKVCFFAHKPEELRPVYASTGSAVPSPRSYSATGPALDMGSMSPHALGSPSILMPPTSTPPLTPTSTASPMAGVMWPNQSNIVPTLQLHRSRLETARCAQNLDLDMEQLGLESNCRRQKQQLIDEIPGLSSPTRWNNPLSTTSVFSAFANRTGELNRFGAIKPTNLEDIFGSLNPTILPQLQGTSLDAPAHQLQSPTGVRMRQNNNEQLHANYPTNLPSPPLKASQSFGSDPSRPTTTAVLSSRPAAFANRSQSFIEHTSINHHSGFSSSTSSASAMPCNFSDWGSPDGKLDWGIQGDNKQRKSSLVFRSNGSNFGTPVESMSPTSDEPDVSWIQSLVKDTPSKQISLEDEQQQEQCHLNIGGSVEKLYLEHEKMVT >KJB36104 pep chromosome:Graimondii2_0_v6:6:39892841:39894919:1 gene:B456_006G141300 transcript:KJB36104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEPTSIEGSVTPPTLIDSKNSRVGASSQTKETTGKRKVTPQRSEVWSHFTKIINSEGASKAKWSLKYHIGSCKKNPSNVVDTSQGQLVLPRKGVEWGEGNLSTWRFDQEAYRKGLAQMIVIDELPFKFAESEGFKKFMFVACPRVNYLCITAYFIDNDWKSNKKILNFCPISSHKGESIGMVIEKCLLNWGIDKLFTVTIDNVSSNDVAIGYLRKKFNPRGGLVQNGKYLYMRCMAHIVNLIVVEGLKKMNKSVERVRGAVRYVRQSPATLQKFKECVVVEKTECKKMLCLDICTRWNSTYLMLDTTQNFERGWPSVDDWDNVRNLRDFSEHFYEVTLRISDTSYVTSNNFFDELSEIDILLRDAQLNSSVDFNAMTIKMKEKYDKYWGDIDKMNLLMFVVCVLDPRQKLKYIEFALSEMSSSEKACEMMQKLKESLYELFDEYKPPLHSTCSQSSEPTHVSLGEPQQKMKRRMQTLYKKHELEIYGDDKTSELDKYLAETKKEFVENFDILLWWKVNSPRFPTLSKMTRDVLAIPVSTVASESAFSTGGRVLDQYRSSLTPKIVKALVCNQDWIRKSSSQEDIKKIEEQIQELDKIENGIFIVLF >KJB38006 pep chromosome:Graimondii2_0_v6:6:48242614:48243765:-1 gene:B456_006G234100 transcript:KJB38006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRFNFLLHAFLCLALATTSFSLSPKFYDNLCPQALPAIKRIVEAAGCDGSLLLDSTSAFETEKNARGNFNSVRGFEVVDQIKAEVDRVCGRPVVSCADILAVAARDSVLALGGPTWKVRLGRRDSTTASRALADSVLPSASMDLPALISNFKNQGLNTRDLVALSGGHTVGLSQCVIFRNRIYNATNIDPTFAKERRVTCPRTGGNTNLAPFDPTPARFDTAYFKNLVKERGLLTSDQALFSGGSTDKLVETYSKNSDAFWVDFGKSMIKMGNIKPLTGNQGQIRVNCRKVNMYSCQEFSLFCC >KJB36542 pep chromosome:Graimondii2_0_v6:6:42500178:42502339:-1 gene:B456_006G165200 transcript:KJB36542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCLIFFLSFRLSVLELGENPSSSLNMQSVAADTEETMNVEPSTILALAKNALSASKQALALAEDLKLDLDDSLSNSLGSANSSTLPVEEEEAPTVRSTRRLERQSKRRRMPSKFMISDTYSLRKTNVRKKVSGSYDPNDPLRLFLRGPETTQLLTAKEESELIVEVQDLMRLEKLKSNLQSQFGREPTLVEWAEAIGLSCSALQAELHNGNRSREKLVYANFRMVFHVAKQYQGRGMNLQDLLQEGSMGLIKSVEKFKPQVGCRFATYSYWWIRQTITKSIVQHSRTIRLPENAYSLLGKVVKAKRSYIQEGNHCPSKEELATRAGITVQKLDKLLFTTRTPLSMQQPVWTDQDTTFQEVTPDTGIEIPDVSVAKQLMRQHVRNLLRVLSPKERKIIKLRFGIEESKENSLSEIGNIFGLSKERVRQLESRALYKLKQCLVKQGLGAYADLLV >KJB36543 pep chromosome:Graimondii2_0_v6:6:42500623:42503347:-1 gene:B456_006G165200 transcript:KJB36543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARNFLSLPPSFSTRTQLKNSVSSLPSSSVSMFHEQAAAAAATSIPIHSVARHFPTSVLSQEQRDDCRPLPILQYFKEDKAYPGPTDKQQVENGTTLHEGKASNNFEQQMLQWPDLRQLLSVLELGENPSSSLNMQSVAADTEETMNVEPSTILALAKNALSASKQALALAEDLKLDLDDSLSNSLGSANSSTLPVEEEEAPTVRSTRRLERQSKRRRMPSKFMISDTYSLRKTNVRKKVSGSYDPNDPLRLFLRGPETTQLLTAKEESELIVEVQDLMRLEKLKSNLQSQFGREPTLVEWAEAIGLSCSALQAELHNGNRSREKLVYANFRMVFHVAKQYQGRGMNLQDLLQEGSMGLIKSVEKFKPQVGCRFATYSYWWIRQTITKSIVQHSRTIRLPVITISCVYA >KJB36540 pep chromosome:Graimondii2_0_v6:6:42500097:42503417:-1 gene:B456_006G165200 transcript:KJB36540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARNFLSLPPSFSTRTQLKNSVSSLPSSSDKQQVENGTTLHEGKASNNFEQQMLQWPDLRQLLSVLELGENPSSSLNMQSVAADTEETMNVEPSTILALAKNALSASKQALALAEDLKLDLDDSLSNSLGSANSSTLPVEEEEAPTVRSTRRLERQSKRRRMPSKFMISDTYSLRKTNVRKKVSGSYDPNDPLRLFLRGPETTQLLTAKEESELIVEVQDLMRLEKLKSNLQSQFGREPTLVEWAEAIGLSCSALQAELHNGNRSREKLVYANFRMVFHVAKQYQGRGMNLQDLLQEGSMGLIKSVEKFKPQVGCRFATYSYWWIRQTITKSIVQHSRTIRLPENAYSLLGKVVKAKRSYIQEGNHCPSKEELATRAGITVQKLDKLLFTTRTPLSMQQPVWTDQDTTFQEVTPDTGIEIPDVSVAKQLMRQHVRNLLRVLSPKERKIIKLRFGIEESKENSLSEIGNIFGLSKERVRQLESRALYKLKQCLVKQGLGAYADLLV >KJB36541 pep chromosome:Graimondii2_0_v6:6:42500329:42503276:-1 gene:B456_006G165200 transcript:KJB36541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARNFLSLPPSFSTRTQLKNSVSSLPSSSVSMFHEQAAAAAATSIPIHSVARHFPTSVLSQEQRDDCRPLPILQYFKEDKAYPGPTDKQQVENGTTLHEGKASNNFEQQMLQWPDLRQLLSVLELGENPSSSLNMQSVAADTEETMNVEPSTILALAKNALSASKQALALAEDLKLDLDDSLSNSLGSANSSTLPVEEEEAPTVRSTRRLERQSKRRRMPSKFMISDTYSLRKTNVRKKVSGSYDPNDPLRLFLRGPETTQLLTAKEESELIVEVQDLMRLEKLKSNLQSQFGREPTLVEWAEAIGLSCSALQAELHNGNRSREKLVYANFRMVFHVAKQYQGRGMNLQDLLQEGSMGLIKSVEKFKPQVGCRFATYSYWWIRQTITKSIVQHSRTIRLPENAYSLLGKVVKAKRSYIQEGNHCPSKEELATRAGITVQKLDKLLFTTRTPLSMQQPVWTDQDTTFQEVTPDTGIEIPDVSVAKQLMRQHVRNLLRVLSPKERKIIKLRFGIEESKENSLSEIGNIFGLSKERVRQLESRALYKLKQCLVKQGLGAYADLLV >KJB37360 pep chromosome:Graimondii2_0_v6:6:45839550:45841507:1 gene:B456_006G201700 transcript:KJB37360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPMFRETSSSDEVLMQQSLLFSESLKGLKNLRTQLYSAAEYFEVSYTNDDQKQMVVETLKDYAIKALVNTVDHLGSMTYKVNDLLDENLEQVSGTELRVSCIEQRLQTCRDLIDREGLSQQSLVINMPKYHKRYILPAGETINGANRTKLKFVGCGLDDEDDWHQLRNAVRATIQETPTSSVSKTPMLFRDHCRKGHSPSLSPQPLQQSAIFSFMDTMRGWDTIYFSSFTSIVMNSLMMFKCMTREANSITASVSAFTVGIHQQAYNPK >KJB37359 pep chromosome:Graimondii2_0_v6:6:45839550:45841507:1 gene:B456_006G201700 transcript:KJB37359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPMFRETSSSDEVLMQQSLLFSESLKGLKNLRTQLYSAAEYFEVSYTNDDQKQMVVETLKDYAIKALVNTVDHLGSMTYKVNDLLDENLEQVSGTELRVSCIEQRLQTCRDLIDREGLSQQSLVINMPKYHKRYILPAGETINGANRTKLKFVGCGLDDEDDWHQLRNGTVRATIQETPTSSVSKTPMLFRKGHSPSLSPQPLQQSAIFSFMDTMREKQTVSPHRFPLSRSESISRPTTPNKSQPTTPNSASVMQRYPSEPRKSASMRLQSEKGCPKDIDQYPNKSKRFLKALLSRPKSKKDEMLYTYLNEY >KJB37361 pep chromosome:Graimondii2_0_v6:6:45839581:45840757:1 gene:B456_006G201700 transcript:KJB37361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPMFRETSSSDEVLMQQSLLFSESLKGLKNLRTQLYSAAEYFEVSYTNDDQKQMVVETLKDYAIKALVNTVDHLGSMTYKVNDLLDENLEQVSGTELRVSCIEQRLQTCRDLIDREGLSQQSLVINMPKYHKRYILPAGETINGANRTKLKFVGCGLDDEDDWHQLRNGSRSLNPFISVLIYRIQTRF >KJB37358 pep chromosome:Graimondii2_0_v6:6:45839029:45841925:1 gene:B456_006G201700 transcript:KJB37358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPMFRETSSSDEVLMQQSLLFSESLKGLKNLRTQLYSAAEYFEVSYTNDDQKQMVVETLKDYAIKALVNTVDHLGSMTYKVNDLLDENLEQVSGTELRVSCIEQRLQTCRDLIDREGLSQQSLVINMPKYHKRYILPAGETINGANRTKLKFVGCGLDDEDDWHQLRNAVRATIQETPTSSVSKTPMLFRKGHSPSLSPQPLQQSAIFSFMDTMREKQTVSPHRFPLSRSESISRPTTPNKSQPTTPNSASVMQRYPSEPRKSASMRLQSEKGCPKDIDQYPNKSKRFLKALLSRPKSKKDEMLYTYLNEY >KJB36741 pep chromosome:Graimondii2_0_v6:6:43289479:43292818:1 gene:B456_006G174400 transcript:KJB36741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFLFLKEKLGKIYHQQPTVSTAMAPKTRDKNDGNAIQNDSNDGSSSYFQKTVCLHDWWLIKAEKEFEGKRLSVAGSTSIESKAFRLFTSAPIVKRHDALTLQTADGICVCIRGFINKQLTIENGFSSEVFTHFFIGFPPYWEKYAKECLGETIMADVGLEVVPNSSNAARDSGPSLISTPCNNAFNLPTMVEERTNLDSSQQKGEASTIKVQDEQNLNRKTLSCLTSKLNHVKESSLEKETRKKLDFEEVASSVSRERKGNKSIISPESLNFKRSRSGRVLLPRMEFWRNQIPVYDQDRRITGIKEEVDDVNSSGSRSNPKYQKR >KJB36742 pep chromosome:Graimondii2_0_v6:6:43289744:43292673:1 gene:B456_006G174400 transcript:KJB36742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFLFLKEKLGKIYHQQPTVSTAMAPKTRDKNDGNAIQNDSNDGSSSYFQKTVCLHDWWLIKAEKEFEGKRLSVAGSTSIEGFINKQLTIENGFSSEVFTHFFIGFPPYWEKYAKECLGETIMADVGLEVVPNSSNAARDSGPSLISTPCNNAFNLPTMVEERTNLDSSQQKGEASTIKVQDEQNLNRKTLSCLTSKLNHVKESSLEKETRKKLDFEEVASSVSRERKGNKSIISPESLNFKRSRSGRVLLPRMEFWRNQIPVYDQDRRITGIKEEVDDVNSSVDRIRSIRKGETYCHEFLDLKTLELLSYF >KJB37505 pep chromosome:Graimondii2_0_v6:6:46286630:46288457:-1 gene:B456_006G207700 transcript:KJB37505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNYVGLVGIFLLLVVVGCSGNDTEGNVNGKSGFDQNVGGKVDPVIEVHSKSTSDSIGVGEVIDNGGNQNDGSEKGVDSGASKSGLNQQSGSNEGGNLDKSGKASSEEAKGKMNDVGEVDGSEENVDSGTSKSDLNQQSGTNEGDNLDEGGKASSAKAKGKTDGGEVDGGNEIDGSRGDVDGGATSKIDLNQQSGLNEGENLEKGGKASNAEAKGKTDGGKEGDYTHKGQEQSDVEDEGMTDGEQKETPGDSVDPKKVNEENGIIQDSVEPPPPPPSLTSNDGFQVEECNSSNMCTDTNKRFAACLRVPGNDSPNLLLVIQNKGKGPLTLKISAPAFVHLDEMDVELQENQDTKVKITIKNSGTGNLIFLKDGTGECSLDFKDFTAHGSGKSYVNFMSQTPTTALIFVAAILILASRWMCKSFRWRQLPRSGSKYQRLNRELPVSGRARREPDVNEGWDDRWGDNWDDEEAPASPSVPITPGRSSKRLASRRSNK >KJB38260 pep chromosome:Graimondii2_0_v6:6:49022028:49026837:-1 gene:B456_006G245100 transcript:KJB38260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMELIPIGTILALVTNQVMKTAQAAKDIVIEKESFKVLSKHLFDIEPLLKELQLRQLNDSPAARLALEALEADVKKANTLVEKYKNRGRFYLLVKCRHIVHEVQEVTRDIGRSLAAFSIANTEVLSGISDQVNRLQSEMQKVEFKTSHSQLQIVDKLNQGLRDQKRDQCFANDMLEEIARAVGVPVEPSEISKELASFRREIEEAGNRKERAEVLFLEQVIELLSQADTARDFEEMKKQYFQRAQVIQRYDTQKEYIPPLKPFKCRISGEVMVDPVSLCTGTTCERAAIEAWFDCGKITDPDTGDVLEDTSLRSNLPLRQSIEEWRELNYCLKIRACQAKLSSGVDLKVEEALNQMQELIRENSINKDWISIGGLTDKIISILGSSHNREVRKKILITLKDMVEGHARNKEKVIEHQGFDYIVPCLGRDRSISMAAVELLYELLQDRSKWNESFCCQLSQQSSAILFLVTLLKGPVRESADHAEKILNKLFDVDEENISRAAKSGWYKPLIDHIVQGPESSRMSMMKALVTMELVDSNLKVLGEEGIIPPLLSMVASGNIELKELSLSVLVKLSSCHANKELIATGGGVPLVLKLMFSPHVCTILIVRCSEIVEKLSSEGNGVKFFVDEKGVQLELEPIIRELLALQQNSKSSNNFRRPALRALLGMCRSEAKLVKTAVLTANGVSLVLPLLDDPDSEIREIAVNLLFLFSQHESQGVVEYLLMPKRLEGLVGFLENDYNSDVKMAAAGLLANLPKSETSLTKKLINLDGLPAIINLLKSGTMEAKEHALSALFRFTDPANVKSQQIVVEHGAYPLFVNFLKVNSVTAKARAAALIGNLSMSSPKLTVASEKTGCWCFRTSRVPVCPAHGGICNVNTSFCLLEAKALPYIVKLLHDEVEATAYEAIQTLSTLVQDGCTQRGAIVLHEAGAINPVLDILTWGTDSLKGEAVGLLEKIFISKEMVDSYGTKARYLLVGLTGRNVHDDGLLGRKVAKVLSLVERYSKSSTSIIPGLF >KJB38259 pep chromosome:Graimondii2_0_v6:6:49022066:49026705:-1 gene:B456_006G245100 transcript:KJB38259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMELIPIGTILALVTNQVMKTAQAAKDIVIEKESFKVLSKHLFDIEPLLKELQLRQLNDSPAARLALEALEADVKKANTLVEKYKNRGRFYLLVKCRHIVHEVQEVTRDIGRSLAAFSIANTEVLSGISDQVNRLQSEMQKVEFKTSHSQLQIVDKLNQGLRDQKRDQCFANDMLEEIARAVGVPVEPSEISKELASFRREIEEAGNRKERAEVLFLEQVIELLSQADTARDFEEMKKQYFQRAQVIQRYDTQKEYIPPLKPFKCRISGEVMVDPVSLCTGTTCERAAIEAWFDCGKITDPDTGDVLEDTSLRSNLPLRQSIEEWRELNYCLKIRACQAKLSSGVDLKVEEALNQMQELIRENSINKDWISIGGLTDKIISILGSSHNREVRKKILITLKDMVEGHARNKEKVIEHQGFDYIVPCLGRDRSISMAAVELLYELLQDRSKWNESFCCQLSQQSSAILFLVTLLKGPVRESADHAEKILNKLFDVDEENISRAAKSGWYKPLIDHIVQGPESSRMSMMKALVTMELVDSNLKVLGEEGIIPPLLSMVASGNIELKELSLSVLVKLSSCHANKELIATGGGVPLVLKLMFSPHVCTILIVRCSEIVEKLSSEGNGVKFFVDEKGVQLELEPIIRELLALQQNSKSSNNFRRPALRALLGMCRSEAKLVKTAVLTANGVSLVLPLLDDPDSEIREIAVNLLFLFSQHESQGVVEYLLMPKRLEGLVGFLENDYNSDVKMAAAGLLANLPKSETSLTKKLINLDGLPAIINLLKSGTMEAKEHALSALFRFTDPANVKSQQIVVEHGAYPLFVNFLKVNSVTAKARAAALIGNLSMSSPKLTVASEKTGCWCFRTSRVPVCPAHGGICNVNTSFCLLEAKALPYIVKLLHDEVEATAYEAIQTLSTLVQDGCTQRGAIVLHEAGAINPVLDILTWGTDSLKGEAVGLLEKIFISKEMVDSYGTKARYLLVGLTGRNVHDDGLLGRKVAKVLSLVERYSKSSTSIIPGLF >KJB36666 pep chromosome:Graimondii2_0_v6:6:42969405:42971906:-1 gene:B456_006G170000 transcript:KJB36666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQTLTSSSSTIFLVFVIYLCFCPSSSGNLKNFLQTGSSLSVEDDKDMLTSSDNTFTCGFYGVGENAYYFSIWFTNSKDKTVAWMANRDKPVNGKGSKLSLHRDGAFVLTDVDGSITWEANTRTTYVERAELLDNGNLVLKNSSGKVVWQSFDFPTDTLLPHQRFTKSKKLISILGRGNYGTGYFNFFFDTDNVLRLVYDGPDISSVYWPNVDFDAFGNGRTNFNSTRIAMLDDMGWFLSSDWLNFNGSDSGFGIRRRLTMDYDGNLRLYSLNIASGLWTIRWEAVRQLCSVHGLCGRNGICVYTPEPKCSCPPGYEMADPSNWNKGCKPRFSRICSSKSPQQVKFVKMRYVDFYGFDSSYSPGITLESCKMKCLEDCQCNAFSYRLTGDGNCFTKVELFNGYESPNFPGALYLKLPLSIETSEVIVLNGTNHTCKLGETTVTIGLGSSSTLETRARRVTWVYLYSFASVIGAIEMLFFVSGWWFLFRKSGIPAMVEEGYRVLSNHFRKFSYMELKKATKNFQEELGRGASGVVFKGVLADERVVAVKKLGDAHLTEEVFWAEVSIIGKINHMNLVRMWGFCLEPKHRLLVYEYVENRSLDKHLFSGNVLGWNERFKVALGTAKGLAYLHHECLEWVIHCDVKPENILLDGEFEPKISDFGLAKLSERDRNNSEMSRIRGTKGYMAPDWALNLPITAKVDVYSYGVVILELVKGIRLSHWVVEDGDEQETELTRFIKVVKRKMQVEEMAWVEDIVDKRLNGHFSRIQAEKMVEFGISCVDENRSKRPTMDSIVQTLLECEDAVKIHNQIREW >KJB33558 pep chromosome:Graimondii2_0_v6:6:4149440:4150797:-1 gene:B456_006G017700 transcript:KJB33558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKNDVDKVDDVMLPGFRFHPTDEELVGFYLKKKIQQRPLPIELIKQLDIYKYEPWDLPKLAASGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSDGTKCIGLKKSLVFYRGRAAKGMKTDWMMHEFRLPSMSDSIPPSKKLFDKTLPANDAWAICRIFKKTSTMAQRALSHTWISSLNHGAACSQLSSENISCTTEIGSTIPLCSNGELQQDCSAGFSALDILSYKSFHQTVYRPSPFHVSNGDLHNNMMFSQLDNMSGSSPKCTIDTSSMLLSPPLISNASASTDFRGQQHQYGNFSISSPQDMQGNGGGAESEEGTRKTSDSIPDYNHLSMGFPFRLSPNMPDSWKPNLPWDSPQCPSEMSTTYSTDKCYT >KJB35248 pep chromosome:Graimondii2_0_v6:6:34926143:34927341:-1 gene:B456_006G106700 transcript:KJB35248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTIPQSPNTPIQSVLIVGNYCHDVLLQGGAVVAETLGGAASFISNVLTGLSVSFHLTSKVGVDFKYPVNHDPIVIPTSKTTVFHAYFDQGYSENAHQDRVLKRVEVCDPINPSDLPDRKFDFGMAVGVGGEILPETLERMVEICDAVFVDIQALIRAFDENGTVKLVRLKESGFYHLLPRLKFLKASSDEALFMEVEEVRLWCCVVVTHGKDGCEVYWKDGEMKIAPFGANQIDPTGAGDSFLGGFVGGLVHGLVVPDAALVGNFFGSLTVAHIGLPKFDLRLLQHKCMLSNILQGSELCGSLCWKLGVNGKGRPYNAVMLLLFCQ >KJB35249 pep chromosome:Graimondii2_0_v6:6:34924831:34927600:-1 gene:B456_006G106700 transcript:KJB35249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTIPQSPNTPIQSVLIVGNYCHDVLLQGGAVVAETLGGAASFISNVLTGLSVSFHLTSKVGVDFKYPVNHDPIVIPTSKTTVFHAYFDQGYSENAHQDRVLKRVEVCDPINPSDLPDRKFDFGMAVGVGGEILPETLERMVEICDAVFVDIQALIRAFDENGTVKLVRLKESGFYHLLPRLKFLKASSDEALFMEVEEVRLWCCVVVTHGKDGCEVYWKDGEMKIAPFGANQIDPTGAGDSFLGGFVGGLVHGLVVPDAALVGNFFGSLTVAHIGLPKFDLRLLQRIKEEVQTRKVQGTCWLERRDDEVSFSKPAGHDEFHASLSSSKLVSAECRRDLSRSPGSVEQVNRPQYKGQQKFLLNSVYDKSN >KJB33997 pep chromosome:Graimondii2_0_v6:6:12046979:12047666:1 gene:B456_006G042700 transcript:KJB33997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILGPSLWDVWNPSGQTMSAEMVACIAVESLSLLEKMHSKGYVHGDVKPENFLLGQPSTPQEKKLFLVDRGLATKWKDSSSGLHVDYDQRPDMFRGTVRYASVHAHLGSTVSRRDDPESLAYTLIFLHQGRLPW >KJB37125 pep chromosome:Graimondii2_0_v6:6:44713329:44715815:1 gene:B456_006G190100 transcript:KJB37125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIRFAYLFSALKTPNFFVCNCKHNPSIYGNLLTVARMPIHPPFTVPSFCSNATALDSRLTDVAKVYATVMDNSNAYDNMEKSLDQLGVPLTTPLVLELLQRLSLEEKLAFRFFTWAASQQDYAHQPQAYNQMIDILSSTKYKVKQFRIVCDMLDYMKRSNRNAVPVEVLLHILRQYAEKHLTHLQKFAKKKKIRVKTQPEINAFNLLLDALCKCSLVEDAEVLFRRMKGRVKPDANSYNILFFGWCRVRNPKRGMSVLEEMIQLGHTPDNFTYNTAIDAFCKAGMVTEAAELFEFMRTKGSTMSSPTAKTYSIMIVALMHNNRMEECFELIGHMMNSGCLPDVSTFKELIEGMSSAGKVEEAYKFLEEMGNKGYPPDIVTYNCFLKVLCDNKKCDEALRLYQRMIDVGCMPSVQTYNMLISMFFQIGDLDGVFETWQEMDRRGCAQDIDTYCIMIDGLFSCNKVEDACFVLEDVINKGLKLPYPKFDSFLMQLSAIGNLQAIHKLSEHMRKFYNPAMARRFALNQKRKSVSLRGK >KJB36921 pep chromosome:Graimondii2_0_v6:6:44013657:44014597:-1 gene:B456_006G182700 transcript:KJB36921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQLNHFQSSLLKQEKQPKPLFFTSSKTKNFGVNTAASSSGQQLIQSGEVKPILPKDAATALNSEGFKLLDIRPQWEREKAYVKGSLHVPLFVKDMDNSPITLLKKWVHFGYIGLWTGQNFTMINPNFVQEVEATLPDKDAKLLVACGEGLRSMMATSKLYEGGYKNLGWLAGGFNRAADSDFPEIEGPEKLQYATIGGVSYYFLQLLLLLQAVGKE >KJB33912 pep chromosome:Graimondii2_0_v6:6:10676675:10679110:-1 gene:B456_006G038300 transcript:KJB33912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLDISINFCVFMLLIYLLEIGYGDVIEVPPLNINQQDLLKHLSLRDIKIDFPALYVFGDSFVDNGNNKVILGNEDAIGGGYFPFGIDFDGKSTGRVTNGRIGVDFIATVGGLPYPPPIMGMSKIDRKTISTGVNYASGSSGLLPQNGHVLHKNVINFFQQVDLFENSTMKDLKGTFDNPKRLKKYLSKSLFFIHHASNDLGVTFEVEMKKKYSIDTYAKLLIKELSKQLQRLYTLGARKFFVSNVSPLGCSPYIINTIIHSGPCVEEINKRVSLYNDLLPDLLEKLQSSLSGSKFVHGDIYKVFQDVFESPESYGFKDVNSSCCIDKNGTRIQVCAPNIDPCEDRKTRVFFDPFHPSEAMHFLWARRFLKDSSICSPINLIQLMQA >KJB34176 pep chromosome:Graimondii2_0_v6:6:18609440:18610644:1 gene:B456_006G052000 transcript:KJB34176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPTAATIGHLWQSSRTFGLYRSGHHQTLAVVISHHRALSITIASNQMPSTIIEPCRTVSAIRRW >KJB35257 pep chromosome:Graimondii2_0_v6:6:35000812:35002598:-1 gene:B456_006G107000 transcript:KJB35257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDDDKDPCHSTRVGSILSLLAGAAKEAADQLGFFPSAGASARDALADLLGVLVAAMALSLWNRLHRSRPDSGHTRRVLPV >KJB35258 pep chromosome:Graimondii2_0_v6:6:35002121:35002468:-1 gene:B456_006G107000 transcript:KJB35258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDDDKDPWLAPDKLYHFLFCFFLTLFFSALASLSRYHFLRSHSTRVGSILSLLAGAAKEAADQLGFFPSAGASARDALADLLGVLVAAMALSLWNRLHRSRPDSGHTRRVLPV >KJB35256 pep chromosome:Graimondii2_0_v6:6:35002121:35002468:-1 gene:B456_006G107000 transcript:KJB35256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDDDKDPCHSTRVGSILSLLAGAAKEAADQLGFFPSAGASARDALADLLGVLVAAMALSLWNRLHRSRPDSGHTRRVLPV >KJB34291 pep chromosome:Graimondii2_0_v6:6:21128944:21130668:1 gene:B456_006G057600 transcript:KJB34291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYDTIFARSFSRYDQKKLGYGAFLGCLLIALSFCIVFKPYLGSLPVLNMRMPVDVGLKMLRITNSYSFEKLRGDDIRSSMATIVNETSSSEIEMVESDTTGTKETISNGNAIRSSTTTVINNKNITQKKEMKTLCHVEERTEFCEMYGDIRVDGKTSTVFMAADTMLESGSWVIRPYSRRGDEQAFKRVTKWFIKSVVDAYETPTQCDQRHNVPAIIFSTAGYAGNNFHDYTDIVLPLYLTSRQFDGEVKFLITDKKPWWIKKFRNILQKLSRYELVDIDKEVNVHCFTSVTVGLKRYPQELKIDSSKSPYSMKDFRKFLRSAYSLKKENAINMRDNGGKERPRLFILSRKNTRAFTNTNAIVGMATRLGFEVVVTEVDSHVAKVAEMVNSCDVMMGVHGAGLTNMIFLPENAILIQVIPIGGFEWLAKTDFGEPSKDMNLRYIGYQIKTEESTLIQQYPPDHEVLNDPYAIQRRGWHEFKSIYLQKQNVNLDVDRFRITLLRALDLLHQ >KJB37194 pep chromosome:Graimondii2_0_v6:6:45044188:45051999:1 gene:B456_006G193600 transcript:KJB37194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPGLGTGNSGQRLGITEPISLGGPTEYDVIKTRELEKYLQNVGLYESQEEAVSREEVLGRLDQIVKNWVKAISRAKGLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREEDFFGELHKMLSEMPEVSELHPVPDAHVPIMKFKFKGVSIDLLYAKLSLWVIPEDLDISQDSILQNTDDQTVRSLNGCRVTDQILRLVPNIQVVATTLYSVHYICFFPPEILDFDYVLLLDLQNFRTTLRCMRFWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIKEGSLGLQVWDPRKNPKDRYHLMPIITPAYPSMNSSYNVSSSTLRIMTDEFQRGSEICEAMEANKADWDALFEAYAFFEAYKNYLQIDISAENDDDLRNWKGWVESRLRQLTLKIERHTYNMLQCHPHPGDFQDNSRPFHCSYFMGLQRKLGVPVNEGEQFDIRLTVEEFKHSVNTYTLWKPGMEIRVSHVKRRSIPSFVFPGGVRPSRPSKATWDSRRASDAKVSGHAGSDKPGEVKGAADGQVDGKKRKRADDSADTQLKNSKYITAVPSSSAEVQAGSPGGTVSPCSLKGDNVDATGLVEPTRGKDESNMTNGSKTSSTDELSSLNSEVDGSLRCIPPHTGLHVTADASSSKEAEKLAIEQIMSGPYVSHQAFPEEPEELEDDLEFRNRVVSVGNTNNGPLQAPVSDAAGAAPIISSNGAGPSISLHASGSIEELEPAELTAMTSIPVAPVVQKKPLIRLNFTSLGKASEKSG >KJB37195 pep chromosome:Graimondii2_0_v6:6:45044970:45051565:1 gene:B456_006G193600 transcript:KJB37195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPGLGTGNSGQRLGITEPISLGGPTEYDVIKTRELEKYLQNVGLYESQEEAVSREEVLGRLDQIVKNWVKAISRAKGLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREEDFFGELHKMLSEMPEVSELHPVPDAHVPIMKFKFKGVSIDLLYAKLSLWVIPEDLDISQDSILQNTDDQTVRSLNGCRVTDQILRLVPNIQNFRTTLRCMRFWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIKEGSLGLQVWDPRKNPKDRYHLMPIITPAYPSMNSSYNVSSSTLRIMTDEFQRGSEICEAMEANKADWDALFEAYAFFEAYKNYLQIDISAENDDDLRNWKGWVESRLRQLTLKIERHTYNMLQCHPHPGDFQDNSRPFHCSYFMGLQRKLGVPVNEGEQFDIRLTVEEFKHSVNTYTLWKPGMEIRVSHVKRRSIPSFVFPGGVRPSRPSKATWDSRRASDAKVSGHAGSDKPGEVKGAADGQVDGKKRKRADDSADTQLKNSKYITAVPSSSAEVQAGSPGGTVSPCSLKGDNVDATGLVEPTRGKDESNMTNGSKTSSTDELSSLNSEVDGSLRCIPPHTGLHVTADASSSKEAEKLAIEQIMSGPYVSHQAFPEEPEELEDDLEFRNRVVSVGNTNNGPLQAPVSDAAGAAPIISSNGAGPSISLHASGSIEELEPAELTAMTSIPVAPVVQKKPLIR >KJB37193 pep chromosome:Graimondii2_0_v6:6:45044204:45051999:1 gene:B456_006G193600 transcript:KJB37193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPGLGTGNSGQRLGITEPISLGGPTEYDVIKTRELEKYLQNVGLYESQEEAVSREEVLGRLDQIVKNWVKAISRAKGLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREEDFFGELHKMLSEMPEVSELHPVPDAHVPIMKFKFKGVSIDLLYAKLSLWVIPEDLDISQDSILQNTDDQTVRSLNGCRVTDQILRLVPNIQNFRTTLRCMRFWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIKEGSLGLQVWDPRKNPKDRYHLMPIITPAYPSMNSSYNVSSSTLRIMTDEFQRGSEICEAMEANKADWDALFEAYAFFEAYKNYLQIDISAENDDDLRNWKGWVESRLRQLTLKIERHTYNMLQCHPHPGDFQDNSRPFHCSYFMGLQRKLGVPVNEGEQFDIRLTVEEFKHSVNTYTLWKPGMEIRVSHVKRRSIPSFVFPGGVRPSRPSKATWDSRRASDAKVSGHAGSDKPGEVKGAADGQVDGKKRKRADDSADTQLKNSKYITAVPSSSAEVQAGSPGGTVSPCSLKGDNVDATGLVEPTRGKDESNMTNGSKTSSTDELSSLNSEVDGSLRCIPPHTGLHVTADASSSKEAEKLAIEQIMSGPYVSHQAFPEEPEELEDDLEFRNRVVSVGNTNNGPLQAPVSDAAGAAPIISSNGAGPSISLHASGSIEELEPAELTAMTSIPVAPVVQKKPLIRLNFTSLGKASEKSG >KJB37196 pep chromosome:Graimondii2_0_v6:6:45044112:45052210:1 gene:B456_006G193600 transcript:KJB37196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPGLGTGNSGQRLGITEPISLGGPTEYDVIKTRELEKYLQNVGLYESQEEAVSREEVLGRLDQIVKNWVKAISRAKGLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREEDFFGELHKMLSEMPEVSELHPVPDAHVPIMKFKFKGVSIDLLYAKLSLWVIPEDLDISQDSILQNTDDQTVRSLNGCRVTDQILRLVPNIQNFRTTLRCMRFWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIKEGSLGLQVWDPRKNPKDRYHLMPIITPAYPSMNSSYNVSSSTLRIMTDEFQRGSEICEAMEANKADWDALFEAYAFFEAYKNYLQIDISAENDDDLRNWKGWVESRLRQLTLKIERHTYNMLQCHPHPGDFQDNSRPFHCSYFMGLQRKLGVPVNEGEQFDIRLTVEEFKHSVNTYTLWKPGMEIRVSHVKRRSIPSFVFPGGVRPSRPSKATWDSRRASDAKVSGHAGSDKPGEVKGAADGQVDGKKRKRADDSADTQLKNSKYITAVPSSSAEVQAGSPGGTVSPCSLKGDNVDATGLVEPTRGKDESNMTNGSKTSSTDELSSLNSEVDGSLRCIPPHTGLHVTADASSSKEAEKLAIEQIMSGPYVSHQAFPEEPEELEDDLEFRNRVVSVGNTNNGPLQAPVSDAAGAAPIISSNGAGPSISLHASGSIEELEPAELTAMTSIPVAPVVQKKPLIRLNFTSLGKASEKSG >KJB38592 pep chromosome:Graimondii2_0_v6:6:50248853:50251566:-1 gene:B456_006G262500 transcript:KJB38592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTVSLTLSSVPKYSLKPLLFSRLSTPFPSKHTKRKNYLRPKILKTLTKRFPSSTPINPITPIESQPETKPLDVVVFEPPSDETDKVEEFRVSETPGVLNGENSGDFGKFSPYSVMKFGFYFVGIFLFQTLIAVWVMGNWDSEGKDRNLRKNRSKNGEFLNNGKVGLNSRTMVYCDESELEEKVEEIRAMAREARKIEKKEPKNGDEEDETLNSRARIGIEKEIGTRLSKLEKKLNSKKDTFPGSYSSFLDELNDGDDEKEVNKRLFVKKKFKFRGPEKSLRSGVKGFSGLKDGCKLSNKNGVAANASRFEEVDDGTAVVSQDLVSLPSNREKIEEGELGSLHDNTSAGPESSEERLSNEAIKSMNSRDLDTLKSKISTNENPKAKTKSDKVALLRTSKRIDVSNKPPVDKVMGNQLGIKTDPWWLNLPYVLVILMQRGDDLEGLEGLFTIQLSSEGQEQSKTSCVVAFEDRSDASNFCYLLDCFFEDLGDFSADVIPMSVKELNEAVKSHAKEVIVVQKGQLKLYAGQPFAEVEMALQSLIENNQNASIANSE >KJB33738 pep chromosome:Graimondii2_0_v6:6:7240892:7242046:-1 gene:B456_006G028200 transcript:KJB33738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKALLFPLAALLLVSTKVSSYEQEEKLEIPVKAPPAPVQTPAPAPSAEVLSPPYEPPAPPPVKVPSPPYEPPVMAPSLPYEPTAPTPPTQPPTPPYIPQNPTPAPPATTPSPYKPPSRTFPPVITKKDCIPLCGPRCKLRSRTKLCLRACIRCCYKCKCVPPGTYGNREMCGKCYTELKTRYNKPKCP >KJB34738 pep chromosome:Graimondii2_0_v6:6:30908038:30915982:1 gene:B456_006G081300 transcript:KJB34738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MEEKETCFECLERRIKSDWSDQLQFCYGISDSPLPFGSSAIVQFSLSNSSPSPTSQFILSYLPTHSHHCFSKYIDQYTLQNGEETEVSSGTGTGGTYLSDTLMGESQFLSNGMGYECCACNHCGKFSCLRTITALAPLAYVGISSYSGFQEHASSSLSGTLEDHILSSINLLIQEKASGRDGVNYMRLLGVPSFDENSIPGCLRHPNIAPVLGLLKTPGYINLLLPRTPYTLENILHYSPNALESDWHIRFLMYQLLSALTYLHGLGVHHGNLCPSNVMLTDSCWAWLRIWDYHRLGFNLCFEHGKSNSSHIPSRVGCSVEDCSSQGLYADLKLSPPLDWNSQFNRWWRGELSNFEYLLFLNKLVGRRWGDHTFHPVMPWVIDFSTKPNEDSDSGWRDLSKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPLSVLRMAVRSVYEPNEYPSTMQRLYQWTPDECIPEFYCDPRIFYSQHSGMTDLAVPSWAGSPEEFIKLHREALESNRVSCQIHHWIDINFGYKMSGPAAVAAKNVMLSSSEPAKPRSIGRRQLFTKPHPSRQVSMQGIPDRMKESAVGQHQAHEVETEKSFLYKSTCLQELEQASSFSEHAQHLSPVYCHAQQNLLKQNPSFKESQTENLERSASNPHDISNYCRFSPDVDLNYLLEHIDMQDDDSTGYQELLLWRKKSCLSRTSSKEDAEDIFSVGCLLAELYLRRPLFCSTSLGMYLESGVFPGLMQELPSHIKILVEACIEKDSMRRPSAKSLLESPFFPSTVKSVYLFTAPLQLMATNGSRLQYAANFAKQGALKAMGTLAAEMCAPYCLSLASAPLSDAEAEWAYLLLKEFIKCLTPKAVKASVLPVIQKILQTTGYSHLKVSLLQDSFVREIWNQIGKQSYLETIHPLVISNLYISPHKISAAAASVLLICSSEELGVPITVHQTILPLIHCFGKGLCPDGIDVLVRIGGLLGETFILKQMLPLLKHVAHSCIGVSSMNKPEPMHSWCSSALVDCLMTLDGLVAFLPREAIVKDLIEDQSCLHVLALTQTNLEITVLQVAATTLMAICQRIGPELTALHVLPQLKVLFDELAFSQEISNGSGSLGKSLKVSKSKVNGEFQLESRMDLVLLLYPSFCSLLGIEKLRQCCTTWLLLEQFLLRFHNWKWEYTGESPRSGVENVIAKVPLLSKGSTSDYSPAKLLLNGVGWSIPQSQGIRSSKNLMPQRRLANAHQSSVQTYESASKHFKTEPWFWFPSPAASWDGSDHLGRFVSPKDEFPWKIRASILSSVRAHQGALRSLAVCQDENTVFTAGIGPGFKGTVQKWDLTRINCVSGYYGHEEVVNDICILSLSGRIASCDGMIHVWDSQTGKLISVFSEPSTDSLHLVSPLSSSTKIGADHVDMLNSNTSSSGVLSSPFDESLYTCMHYLEEVEKLVVGTGNGSLRFLDVSQGRKLHLWKGEFSESAFPSLVSAICSCGSNKEQGNGASASPSWIAAGLSSGHCRLFDVRSGCVTACWRAHDGYVTKLAAPEDHLLVSSSLDRTLKIWDLRRNIPTAVTFKGHTDGVSSFSVWGQDVISISRNKIGLSSLANSADEDGQHRIIPQKLYSSDHGSRNVSVLSSISIIPFSRLFLVGTEDGYLRICC >KJB34740 pep chromosome:Graimondii2_0_v6:6:30908214:30915878:1 gene:B456_006G081300 transcript:KJB34740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MEEKETCFECLERRIKSDWSDQLQFCYGISDSPLPFGSSAIVQFSLSNSSPSPTSQFILSYLPTHSHHCFSKYIDQYTLQNGEETEVSSGTGTGGTYLSDTLMGESQFLSNGMGYECCACNHCGKFSCLRTITALAPLAYVGISSYSGFQEHASSSLSGTLEDHILSSINLLIQEKASGRDGVNYMRLLGVPSFDENSIPGCLRHPNIAPVLGLLKTPGYINLLLPRTPYTLENILHYSPNALESDWHIRFLMYQLLSALTYLHGLGVHHGNLCPSNVMLTDSCWAWLRIWDYHRLGFNLCFEHGKSNSSHIPSRVGCSVEDCSSQGLYADLKLSPPLDWNSQFNRWWRGELSNFEYLLFLNKLVGRRWGDHTFHPVMPWVIDFSTKPNEDSDSGWRDLSKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPLSVLRMAVRSVYEPNEYPSTMQRLYQWTPDECIPEFYCDPRIFYSQHSGMTDLAVPSWAGSPEEFIKLHREALESNRVSCQIHHWIDINFGYKMSGPAAVAAKNVMLSSSEPAKPRSIGRRQLFTKPHPSRQVSMQGIPDRMKESAVGQHQAHEVETEKSFLYKSTCLQELEQASSFSEHAQHLSPVYCHAQQNLLKQNPSFKESQTENLERSASNPHDISNYCRFSPDVDLNYLLEHIDMQDDDSTGYQELLLWRKKSCLSRTSSKEDAEDIFSVGCLLAELYLRRPLFCSTSLGMYLESGVFPGLMQELPSHIKILVEACIEKDSMRRPSAKSLLESPFFPSTVKSVYLFTAPLQLMATNGSRLQYAANFAKQGALKAMGTLAAEMCAPYCLSLASAPLSDAEAEWAYLLLKEFIKCLTPKAVKASVLPVIQKILQTTGYSHLKVSLLQDSFVREIWNQIGKQSYLETIHPLVISNLYISPHKISAAAASVLLICSSEELGVPITVHQTILPLIHCFGKGLCPDGIDVLVRIGGLLGETFILKQMLPLLKHVAHSCIGVSSMNKPEPMHSWCSSALVDCLMTLDGLVAFLPREAIVKDLIEDQSCLHVLALTQTNLEITVLQVAATTLMAICQRIGPELTALHVLPQLKVLFDELAFSQEISNGSGSLGKSLKVSKSKVNGEFQLESRMDLVLLLYPSFCSLLGIEKLRQCCTTWLLLEQFLLRFHNWKWEYTGESPRSGVENVIAKVPLLSKGSTSDYSPAKLLLNGVGWSIPQSQGIRSSKNLMPQRRLANAHQSSVQTYESASKHFKTEPWFWFPSPAASWDGSDHLGRFVSPKDEFPWKIRASILSSVRAHQGALRSLAVCQDENTVFTAGIGPGFKGTVQKWDLTRINCVSGYYGHEEVVNDICILSLSGRIASCDGMIHVWDSQTGKLISVFSEPSTDSLHLVSPLSSSTKIGADHVDMLNSNTSSSGVLSSPFDESLYTCMHYLEEVEKLVVGTGNGSLRFLDVSQGRKLHLWKGEFSESAFPSLVSAICSCGSNKEQGNGASASPSWIAAGLSSGHCRLFDVRSGCVTACWRAHDGYVTKVYVQFTKLEGQNNLICTYITLRLHTCSWLPQKIIC >KJB34739 pep chromosome:Graimondii2_0_v6:6:30908214:30912904:1 gene:B456_006G081300 transcript:KJB34739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MEEKETCFECLERRIKSDWSDQLQFCYGISDSPLPFGSSAIVQFSLSNSSPSPTSQFILSYLPTHSHHCFSKYIDQYTLQNGEETEVSSGTGTGGTYLSDTLMGESQFLSNGMGYECCACNHCGKFSCLRTITALAPLAYVGISSYSGFQEHASSSLSGTLEDHILSSINLLIQEKASGRDGVNYMRLLGVPSFDENSIPGCLRHPNIAPVLGLLKTPGYINLLLPRTPYTLENILHYSPNALESDWHIRFLMYQLLSALTYLHGLGVHHGNLCPSNVMLTDSCWAWLRIWDYHRLGFNLCFEHGKSNSSHIPSRVGCSVEDCSSQGLYADLKLSPPLDWNSQFNRWWRGELSNFEYLLFLNKLVGRRWGDHTFHPVMPWVIDFSTKPNEDSDSGWRDLSKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPLSVLRMAVRSVYEPNEYPSTMQRLYQWTPDECIPEFYCDPRIFYSQHSGMTDLAVPSWAGSPEEFIKLHREALESNRVSCQIHHWIDINFGYKMSGPAAVAAKNVMLSSSEPAKPRSIGRRQLFTKPHPSRQVSMQGIPDRMKESAVGQHQAHEVETEKSFLYKSTCLQELEQASSFSEHAQHLSPVYCHAQQNLLKQNPSFKESQTENLERSASNPHDISNYCRFSPDVDLNYLLEHIDMQDDDSTGYQELLLWRKKSCLSRTSSKEDAEDIFSVGCLLAELYLRRPLFCSTSLGMYLESGVFPGLMQELPSHIKILVEACIEKDSMRRPSAKSLLESPFFPSTVKSVYLFTAPLQLMATNGSRLQYAANFAKQGALKAMGTLAAEMCAPYCLSLASAPLSDAEAEWAYLLLKEFIKCLTPKAVKASVLPVIQKILQTTGYSHLKVSLLQDSFVREIWNQIGKQSYLETIHPLVISNLYISPHKISAAAASVLLICSSEELGVPITVHQTILPLIHCFGKGLCPDGIDVLVRIGGLLGETFILKQMLPLLKHVAHSCIGVSSMNKPEPMHSWCSSALVDCLMTLDGLVAFLPREAIVKDLIEDQSCLHVLALTQTNLEITVLQVAATTLMAICQRIGPELTALHVLPQLKVLFDELAFSQEISNGSGSLGKSLKVSKSKVNGEFQLESRMDLVWVIICFL >KJB36026 pep chromosome:Graimondii2_0_v6:6:39297910:39298299:1 gene:B456_006G136800 transcript:KJB36026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYTELLDAGVRIAARFHSHCPQTARLYYHPPPNSQAYPNPDANHSTPMAKVAMSLDSTHFIIYSVL >KJB37847 pep chromosome:Graimondii2_0_v6:6:47540891:47542601:1 gene:B456_006G223100 transcript:KJB37847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSHHVFLIILALFVSMSHCCTFTISNNCPYTIWPGTLAGSGSPPLQTTGFRLDAGQSVRIPSVPAGWSGRIWGRTGCKFDANGVGLCQTGDCGGRLQCDGNGATPPASLFEITLGSGNEQDFYDVSLVDGYNLPIFAAPRGVHGSCNATGCSSDLNLRCPKELQVVGGAGGGGAGRVVACKSACEAFGLDQYCCSGEFGNPTTCRPSFYSTIFKKACPRAYSYAYDDGTSTFTCKAFDYLILFCPNSHSPNRSYNGYTPPPYEDGTKGKVMHTASSSNIPFPFPFFIFLLALIMFF >KJB34450 pep chromosome:Graimondii2_0_v6:6:25994634:26000677:1 gene:B456_006G066500 transcript:KJB34450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRKLEQRLCKLAAVEKNNAVLTTSHPRTKEVSSSYEAPTPPTSSVPRRFPSPNLTRTSPTPFQVVQKRAVSAERKHPSTPPSHQNPSTPVHDSSTSMPISSRRLSADRIPESLWPSTMRSHSVSFQSDDISIPVSQMEKEKSGSIVSLDRTLKPPSNVAHKQQSETFTLSRKPSPERKRNLLEGKNVADQSENAKPIDDLPSRLIDHHRWPSRIGGKLSSNSLNKSVDFGDKIVKNLSTPIPGTVSSLKRMLMSNNLGKPLQKTSSDAARLLPHEEIGRVGSEAILINDKPLRTTVPIGILCASSLEKLTVANSGSRSQSPSTTSVSRSISPYPAGPSSPPPRGVGPTPRGVINPTQMRTSTSSSQSHSSTLDINFIIDFQKVRKSASFIEDAHQLHLLYNRYLQWRYANAHSEAVLYIQKVTAEETLYNVWNATLVLWDALIKKRINLQRLKLELKLNSILNDQMGYLKDWVLLERDHIRCLAGAIEDLKATTLHLPITDGGRADSESLKAAICSVVDVMQAMGSSICSLVPKVEGINNWVSELAAISTQEQNMLDQCEALLASTADLQDDDIINLWLPCCDCS >KJB38379 pep chromosome:Graimondii2_0_v6:6:49534516:49538902:1 gene:B456_006G251900 transcript:KJB38379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRSQRELCTGPLHGATNTNTGTSLPTTTTTPTPVLEEYEIDLEWPFEYLDGLDCDAIREAAYEIFFTACRSSPGFGGRNTSMSSSHDHHGNVVDGGGNESHGSGSINGRVNGVVMTPTSKVKKALGLKMLKKSPSRRMSFIPTGYNGGGGMSGTSSFVSQGHCSINTGSGIGMGFSSAQNPWPRRPLTSAELMRQQMRVTEQSDHRLRKTLTRTLAGQTGKRSETIILPLELLRHLKPSEFNDPHEYHAWQKRQLKILETGLILYPSIPIDKANSFMIRLRDIIRAGELKAIDTSKNSDMMRTLCNSIVSLCWRSSNGAPTTVCHWADGYPLNVHIYTALLRSIFSTRDETLVLDEVDELLELMKKTWSTLGFNKPMHNVCFAWVLFEQYVVTNQVEPDLLRAAFTMLSEVENDVKKSNRDETYMKILLSMLVVMQNWAEKKLLNYHEYFNRGTIGGIDNLLPLGILSTKILAECRRIETEEGKKGDVKVSDSSGNRIDHYIRSSMKNAFARINDNVKNATMGGRGEAYENLLQLAKETEDLAAQERELFSPILKKWHTTAGSIAAVTLHQCYGEVLKQHLAGTKMLNSEIVGVLQRAAKLEKVLVQMVVEDFDECGNGGKVIIREMMPYEVDTVTIRLLGQWIDERLKKGKKLLLSAKKTETWNPKSKTELYAHSAVELMALIKETVNDFFEIPIGVTEDLILDLAEGLEKIIEEYIIFVASCGSKQNYLPALPPLTRCNQDSMFSRFWKRASPCGVRVEDMHQLMTTKYQHPSPSTSIGTQCLYVRLNTLHYLITHIHSLDKTLAVSPRVLSKSRLSNRKQNVTSFSYFEQANQSIQSACEHVSEVAAYRLIFLDSSLVFYESLYAGSVTSSRLRPTLRILKENLTVLTTILTDQAQALAMKEVMKASFEAFLMVLLAGVPSRIFNRSDYEMIEEDFDSLKRVFCAIPEDVIQKEAEKAEGVISLMGQSTEQLIEDFSIVTCETSGIGFIGIGQKLPMPPTTGRWNRSDPNTILRVLCHRNDRTANLFLKKSFQLAKRNL >KJB37951 pep chromosome:Graimondii2_0_v6:6:47890563:47891861:1 gene:B456_006G228000 transcript:KJB37951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKVFRPRLNLNHVTFQGNNNHAQSHSFGQVFLHKKTGVSSSRRSVVAAEAAAMAEETIAKTGNSALSHFWREVQGSNNWENLVEPLHPLLRKEIIRYGEFVAACYKAFDLDPTSKRYLHCKYGKSRMLNEVGMEDCGYTVTKYIYATPDINIPMQNEASRGHWIGYVAVSSDESFVKRLGRRDLLIAFRGTVTNHEWVTNLMSSLTPARLDPNNPDPDVKVESGFLSLYTSDETKSKFGLASCREQLLSEVSRLLNKHKVEDLSITLAGHSMGSALALLLAYDIAELGLNKTDHETVPITAFSFGGPRVGNPSFKQRCEELGVKVMRIVNVNDPITKLPGMFLNENFRVLGGRYELPWISCSCYAHVGVELVMDFFNVQNPSCVHDLETYISLLKCPTKTLHTRKEGFDNLLNRARELIFSARSLKSICL >KJB38434 pep chromosome:Graimondii2_0_v6:6:49723882:49725179:-1 gene:B456_006G254400 transcript:KJB38434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVGRNAAAPLLFLNLIMYFIVLGFASWCINRFINGQTAHPSMGGNGATGFFLTFSILAAVVGIVSKFAGGHHIRSWRSDSLAAAGSSALIAWALTALAFGFACKHINIGGWRGWRLRILEAFIIILTFTQLLYVLLIHAGVFSSRYGPGYRDSDYGMHAPGDEPGHKTSTAVTGSRV >KJB33289 pep chromosome:Graimondii2_0_v6:6:1175150:1175614:-1 gene:B456_006G005900 transcript:KJB33289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSDPGRTYIWTISGILFLCVTIGGGCLLVYMTSPESQSSKSLPIVGLILVCMPWIFWILTVLYRITSRAFGFRMVTGNLYGDLDIGGGAADNGSNDIDVNAKDAPIAPSNDEAKNGQERNTKRDGRPSSTSSNDVSITSHESEIPLSLSKAL >KJB38218 pep chromosome:Graimondii2_0_v6:6:48890249:48892936:1 gene:B456_006G243100 transcript:KJB38218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSWWFFFFLLKLKGEFTLFSYGAPSSDEAASMILISLCFIEEKSDHVAVSPVAACTPKKNKP >KJB38217 pep chromosome:Graimondii2_0_v6:6:48890251:48892738:1 gene:B456_006G243100 transcript:KJB38217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSWWFFFFLLKLKGEFTLFSYGAPSSDEAASMILISLCFIEEKSDHVAVSPVAACTPKKNKP >KJB36355 pep chromosome:Graimondii2_0_v6:6:41313509:41313935:1 gene:B456_006G154500 transcript:KJB36355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTFNKHHSAKFMALGLTITSKKAKRAEALLHFHFMFSLCKGIPLAKCSLPFSTIHCFINYVSQIRLLVSIGCMFKLGLHIYPNIQKK >KJB34400 pep chromosome:Graimondii2_0_v6:6:24017793:24019315:1 gene:B456_006G064400 transcript:KJB34400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCRYALPLVTKRLESVKINHRPRNTVVCAAKGPRPRHPRVWKSRNRIGTVSKLAKLVSCVKQLSNVKEEVYGALDSFIAWELEFPLITVKKALKILKNEQEWKRIIQVIKWMLSKGQGRSLKLFSSAVVNFIGQEIMRALCFYFLVWLLHYMLLEP >KJB34399 pep chromosome:Graimondii2_0_v6:6:24017332:24019315:1 gene:B456_006G064400 transcript:KJB34399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDGIGKENGSIEEKQSFKDGSICGYNSLHHLLSANLKPQLYQVCAAKGPRPRHPRVWKSRNRIGTVSKLAKLVSCVKQLSNVKEEVYGALDSFIAWELEFPLITVKKALKILKNEQEWKRIIQVIKWMLSKGQGRSLKLFSSAVVNFIGQEIMRALCFYFLVWLLHYMLLEP >KJB38589 pep chromosome:Graimondii2_0_v6:6:50229956:50231926:-1 gene:B456_006G262000 transcript:KJB38589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKQIMVIAVDDSAPSMYALEWTLDHFFTPFGSLQPFQLIAVHARPVPISVIQLAGPATAEIIPMMEIDLKKAAGRITEKVKELCKKKSVNEVNVEVMEGDPRDVLCEAVDKHHATILVLGSHGYGIVKRAVLGSVSDYCAHHAHCSVMIVKKPKNKE >KJB35738 pep chromosome:Graimondii2_0_v6:6:37817001:37817705:1 gene:B456_006G126100 transcript:KJB35738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNITSDIATSLPEHMLSEILKHAASNSIADFINVKLCCKAFERASNYENVSLDKVSLVPWRKCEKGFQKRCKAANNAEALYRKGMINCFSRRKSESGLRYLKKATEKGHVEAVYTYGIILICMDGELRKQGLRVLSSLHLPRPNEGSSRMIASCRSKTEKFLRCMWVHVALTGPKGICCNCDCDIEEKPNHSTSTECQTWDASNDVGHCCDYCFWDREANVFSSLLRKYLVN >KJB38155 pep chromosome:Graimondii2_0_v6:6:48654512:48655141:-1 gene:B456_006G239700 transcript:KJB38155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMFLKMFHLCFTNLKSMDESSILLPSSSIKQDPSSFPKNFNSLYDLSTSSASTSLLTPSVRTTDSDSDADATPDFATVYASHRFFFSSPGRSNSIIESPDTRPEAEPNTETTTETPPQPPPMDGGVAVKKYSPDPYRDFRFSMQEMIEARNLTDVNKDWDFLHELLICYLTLNPKNTHKFIVSAFADIIVCLLSSSPESDTPENHRR >KJB35951 pep chromosome:Graimondii2_0_v6:6:38976277:38977746:-1 gene:B456_006G134600 transcript:KJB35951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSFLASNFGFMASAMMLFGLLLAFFHPTSAQVGVCYGMMGNDLPSKPDVVSLFKQRNIRRMRLYDPNQPALQALKGSDIEVMLGLPNDDLPRIAGSQAEANTWVQNNVKNYGDVKFRYIAVGNEVQPSDPKARSLFPAMQNIHNAIVAAGLSNQIKVSTAIDTITLAESSPPSKGSFKPESREVLDPIIGFLANNQAPLLVNLYPYFSYDDNSVIDLPYALFTAPNPVVEDPPLRYSNLFDAILDAVYSALEKAGGGSLEIVISESGWPTAGGRKRAATSIENARTYNQNLINHVKKGTPKKPGKPIETYIFAMFDENTKTGEEIEKHWGLFLPNRQPKYQINFN >KJB33035 pep chromosome:Graimondii2_0_v6:6:955567:955934:-1 gene:B456_006G0049003 transcript:KJB33035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NITVAR >KJB33036 pep chromosome:Graimondii2_0_v6:6:955731:955934:-1 gene:B456_006G0049003 transcript:KJB33036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NITVAR >KJB38184 pep chromosome:Graimondii2_0_v6:6:48756483:48756955:1 gene:B456_006G241300 transcript:KJB38184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRFRFCRNIAYEDRKQVSLFAPREALIHAKKSGWVVRMIGKNRKLLNQTIDTKAERVNWRYRPLVEDIKRLGNVCYEEEGLEMTTQINEENSRCSTFASNSHTNVVLNNNFIMNNSL >KJB36732 pep chromosome:Graimondii2_0_v6:6:43269772:43270992:-1 gene:B456_006G173800 transcript:KJB36732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSPTVIRPPPPSPQPPPPFFSSSSPPPLSQPDNTTSSTIIPSPPPLPFSLSPQIDPIGQNGNISIVSINPPPPFPDSPRSVDLSPLEFILALMAVITIPAIVYAFFFAVRCPPWSSDERQDRSRDNHGSAVEVTERREPVSGLKYRKETHSKDMGSDCPVCLSVFADGEEVKQLSGCKHSFHAICIDLWLNNHNNCPICRANVAVKRPNNNRRPPSGSTSAIQSDHHQGIQGTAIKGKKMCYLVTRFTGPIQGAGKSSHREKRE >KJB38336 pep chromosome:Graimondii2_0_v6:6:49406201:49406605:1 gene:B456_006G249800 transcript:KJB38336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSTGKEGTRSEPARYRGVRRRPWGKFAAEIRDSNRQGTRVWLGTFNTAEEAARAYDRAAYAMRGHLAILNFPHEYPMGCGDANAYCRSSSPGSSSSSSSMERGKQVFEIEYLDGNLLEELLEIEEKKKKKM >KJB34430 pep chromosome:Graimondii2_0_v6:6:26459065:26464859:-1 gene:B456_006G067000 transcript:KJB34430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCCLVILVFIMTTGTDDDLPPSHPTRVPIRGNIAGNGRSIVGSASYSRMHSDMEAQIHLLEQEAYCAVLRAFKAQSDAITWEKEGLITELRKELRVSDDEHRELLTKVNADDIIRRIRDWRQSGGNQPARLGASHSVHSVLPSPTVSASRKKQKTSQSGQSLPGLSSAKPMHYPAAVSAGNRQFNNRGTIASLSANERAEGATFDPLIGRKVWTRWPEDNHFYEAVITDYNRSEGRHALVYDINTANETWEWVDLKEISPDDIRWDGEDPGISYRGGHGFHGAKKSFSHGAPAPGMGRGRGSVKGLYRKESLPPQNGIAKKLSDDIELLNTEALVKEVEKVFEASHPDPHELEKAKKTLKEHEQALIDAIARLADASDGESDGEQPYSHGQPMEQL >KJB34433 pep chromosome:Graimondii2_0_v6:6:26460544:26465348:-1 gene:B456_006G067000 transcript:KJB34433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYEVCDSSGTDDDLPPSHPTRVPIRGNIAGNGRSIVGSASYSRMHSDMEAQIHLLEQEAYCAVLRAFKAQSDAITWEKEGLITELRKELRVSDDEHRELLTKVNADDIIRRIRDWRQSGGNQPARLGASHSVHSVLPSPTVSASRKKQKTSQSGQSLPGLSSAKPMHYPAAVSAGNRQFNNRGTIASLSANERAEGATFDPLIGRKVWTRWPEDNHFYEAVITDYNRSEGRHALVYDINTANETWEWVDLKEISPDDIRWDGEDPGISYRGGHGFHGAKKSFSHGAPAPGMGRGRGSVKGLYRKESLPPQNGIAKKLSDDIELLNTEALVKEVEKVFEASHPDPHELEKAKKTLKEHEQALIDAIARLADASDGESDGEQPYSHGQPMEQL >KJB34431 pep chromosome:Graimondii2_0_v6:6:26459065:26465732:-1 gene:B456_006G067000 transcript:KJB34431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSDMEAQIHLLEQEAYCAVLRAFKAQSDAITWEKEGLITELRKELRVSDDEHRELLTKVNADDIIRRIRDWRQSGGNQPARLGASHSVHSVLPSPTVSASRKKQKTSQSGQSLPGLSSAKPMHYPAAVSAGNRQFNNRGTIASLSANERAEGATFDPLIGRKVWTRWPEDNHFYEAVITDYNRSEGRHALVYDINTANETWEWVDLKEISPDDIRWDGEDPGISYRGGHGFHGAKKSFSHGAPAPGMGRGRGSVKGLYRKESLPPQNGIAKKLSDDIELLNTEALVKEVEKVFEASHPDPHELEKAKKTLKEHEQALIDAIARLADASDGESGNQYMPT >KJB34432 pep chromosome:Graimondii2_0_v6:6:26459065:26465780:-1 gene:B456_006G067000 transcript:KJB34432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSDMEAQIHLLEQEAYCAVLRAFKAQSDAITWEKEGLITELRKELRVSDDEHRELLTKVNADDIIRRIRDWRQSGGNQPARLGASHSVHSVLPSPTVSASRKKQKTSQSGQSLPGLSSAKPMHYPAAVSAGNRQFNNRGTIASLSANERAEGATFDPLIGRKVWTRWPEDNHFYEAVITDYNRSEGRHALVYDINTANETWEWVDLKEISPDDIRWDGEDPGISYRGGHGFHGAKKSFSHGAPAPGMGRGRGSVKGLYRKESLPPQNGIAKKLSDDIELLNTEALVKEVEKVFEASHPDPHELEKAKKTLKEHEQALIDAIARLADASDGESDGEQPYSHGQPMEQL >KJB36694 pep chromosome:Graimondii2_0_v6:6:43054475:43057355:1 gene:B456_006G171300 transcript:KJB36694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGYDVEGDDDAFQTNDERVCVNVDNGEDDESTESETGSPSPNSSPNCLQLNHSPSWPQSYRESMDMFKGLSPLSNSFPKGNNTTRLTGTNPFGGYQSSDDETSLGKPFISERTLDEEVPATTLPESQQIISVNRSMPSPKQCSFTQALVNGINVLTGIGLLTTPYAVKEGGWLSPTLLLIFGVIACYTGILLKRCLESSPGLQTYPDIGQAAFGITGRLVIAVTLYAELYAACVEYVIIMSENLSTIFPNTSIQFGGIYLNAHQIFAIIGILIVLPTVWLRDLIGGVGASILVVICLLWVGTVDQVGFPRAGTTLNLANIPISIGIYSFCYSGHSVFPNIYTSMKEPSRFPLVLIASITFCWFMSTGAAISGFLIFGDSVESQFTLNMPTKFAASKIAVWTVVIITISKYALTLTPIALSLEELVPTTWLTSYGGSILIRAALVISTLVVALKVPFFAFLMALAGSLLTMLITIIFPCACYLSIRGGGLTNLQRAVCICTAVLGLLIACDGTYSAVIRIAGQN >KJB38045 pep chromosome:Graimondii2_0_v6:6:48251095:48252069:-1 gene:B456_006G2342001 transcript:KJB38045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRFNFLLHAFLCLALATTSFSLSPKFYDNLCPQALPAIKRIVEAAVHREPRMGASLLRLHFHDCFVNVTSILHTTILCMPYNDLDELIFFVFQGCDGSLLLDSTSAFETEKNARGNFNSVRGFEVVDQIKAEVDRVCGRPVVSCADILAVAARDSVLALGGPTWKVRLGRRDSTTASRTLADSLLPSASMDLPALINNFKNQGLNKRDLVALSGGHTIGLSQCVIFRNRIYNATNIDPAFAKERRATCPRTGGNTNLAPFDPTPARFDTAYFKNLVKERGLLTSDQALFS >KJB37730 pep chromosome:Graimondii2_0_v6:6:47070225:47071528:1 gene:B456_006G218200 transcript:KJB37730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSKKIKTMGSGGSKSTALMLLFLNLGLYIIVTIIAGWAVNHAIERTHETASVLSIPARIFPIYFPMGNMATGLFVIFSLIAGVVGVATSVTGVTNVLQWDAPNLGAAAASSLLTWTLTMLAMGFACKEIYIGWTDANLRTLEVITIVASATQLICTGAIHAGAEEFTPTTLAAGTV >KJB34478 pep chromosome:Graimondii2_0_v6:6:27335884:27337529:1 gene:B456_006G067900 transcript:KJB34478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKGASLLEDLIEKAGGCAVMDGGFATQLESHGASVNFKVWSALCLIRDPHLIKQVHLEYSEAGADILVTSSYQATIPGFLSRGLSLEEGESLLKKSVKLAVEARDKFWDGVGCIPGNSYNRTLVAASIGSYGAYLADGSEYSGCYGPGVNLDKLKDFH >KJB34477 pep chromosome:Graimondii2_0_v6:6:27335713:27337557:1 gene:B456_006G067900 transcript:KJB34477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKGASLLEDLIEKAGGCAVMDGGFATQLESHGASVNFKVWSALCLIRDPHLIKQVHLEYSEAGADILVTSSYQATIPGFLSRGLSLEEGESLLKKSVKLAVEARDKFWDGVGCIPGNSYNRTLVAASIGSYGAYLADGSEYSGCYGPGVNLDKLKDFH >KJB36465 pep chromosome:Graimondii2_0_v6:6:41962073:41963541:1 gene:B456_006G160200 transcript:KJB36465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTNDDAKEANEFQKGVRHLLENGVRKLPSKYVLPLSDRPSVDKEQPTVAKSSLKLPIIDFAELQGPNRSQVLNSLSSACEEYGFFQVVNHGIPIEVIRSMIDVSARFFNLPYEERSKYMSSDMAAPVRYGTSMNQNKDAVFCWRDFLKLVCHPLSDVVSHWPSSPMDFRESVATYAKETKYLFLRIMEAILESLGLWGATKDDRTPENDEILKQLGGGSQLMVVNCFPPCPEPDLTLGMPPHSDYGFLTLLLQDDVEGLQIQYKGEWITVEPMHNSFVVNIGDHLEIFSNGRYKSVLHRVFVNPAKLRLSVALLHSLPFGYMVRPSPKLIDDANPRRYKDTDFATFLHYISTCEPKKKNFLESRKFT >KJB36952 pep chromosome:Graimondii2_0_v6:6:44121852:44124462:1 gene:B456_006G183900 transcript:KJB36952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKIGSLDNCKPASNDVCSPVNGTVSTIQGSVYPAVVNFSEATLGRHLARRLVQIGVNDVFSVPGDFNLTLLDHLIAEPGLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSESLPLICIVGGPNSNDYGTNRILHHTVGLPDFSQELRCFQTVTCYQAVVNNLEDAHDMIDTAISTALKESKPVYISIGCNLAAIPHPTFAREPVPFALSPKLSNKMGLEAAVEAAAEFLNKAVKPVLVGGPKLRVAKACEAFVELVDAGGYAVAVMPSGKGLVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIYNDYSSVGYSLLLKKEKAIIVQPDRVVIGNGPAFGCVLMKDFLRELAKRLKHNNTAYENYHRIFVPEGQPLKAAPKDPLRVNVLFQHIQKMLSSDSAVIAETGDSWFNCQKLKLPAGCGYEFQMQYGSIGWSVGATLGYAQARRRTAYASLK >KJB36953 pep chromosome:Graimondii2_0_v6:6:44121852:44124576:1 gene:B456_006G183900 transcript:KJB36953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKIGSLDNCKPASNDVCSPVNGTVSTIQGSVYPAVVNFSEATLGRHLARRLVQIGVNDVFSVPGDFNLTLLDHLIAEPGLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSESLPLICIVGGPNSNDYGTNRILHHTVGLPDFSQELRCFQTVTCYQAVVNNLEDAHDMIDTAISTALKESKPVYISIGCNLAAIPHPTFAREPVPFALSPKLSNKMGLEAAVEAAAEFLNKAVKPVLVGGPKLRVAKACEAFVELVDAGGYAVAVMPSGKGLVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIYNDYSSVGYSLLLKKEKAIIVQPDRVVIGNGPAFGCVLMKDFLRELAKRLKHNNTAYENYHRIFVPEGQPLKAAPKDPLRVNVLFQHIQKMLSSDSAVIAETGDSWFNCQKLKLPAGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAIHNGEGKCWTTKVFCEKELIEAIETATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >KJB36951 pep chromosome:Graimondii2_0_v6:6:44121730:44124492:1 gene:B456_006G183900 transcript:KJB36951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKIGSLDNCKPASNDVCSPVNGTVSTIQGSVYPAVVNFSEATLGRHLARRLVQIGVNDVFSVPGDFNLTLLDHLIAEPGLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSESLPLICIVGGPNSNDYGTNRILHHTVGLPDFSQELRCFQTVTCYQAVVNNLEDAHDMIDTAISTALKESKPVYISIGCNLAAIPHPTFAREPVPFALSPKLSNKMGLEAAVEAAAEFLNKAVKPVLVGGPKLRVAKACEAFVELVDAGGYAVAVMPSGKGLVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIYNDYSSVGYSLLLKKEKAIIVQPDRVVIGNGPAFGCVLMKDFLRELAKRLKHNNTAYENYHRIFVPEGQPLKAAPKDPLRVNVLFQHIQKMLSSDSAVIAETGDSWFNCQKLKLPAGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAIHNGEGKCWTTKVFCEKELIEAIETATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >KJB34939 pep chromosome:Graimondii2_0_v6:6:32871948:32873713:-1 gene:B456_006G092000 transcript:KJB34939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIESQHMNQNLPPQLIINRYFMKTNEGMYKDNLMDSCVPLQQASFAELFDPILASNSIADMGMRRRPKDSFINNAFGSSMYHIQLPQLDGFIAQHVMRFEVEEQRENQWRTLITLIQERVLKQLKAKDEEIQRMGKINWVLQQRVKCLCVESQRWRDLARTNEAAANSLRTDLERVLAHAGEERLRGMSAAADDGGESSCCGSREEGWREVVVPPSGAAVMRKCKKCGEGEASVVLLPCRHLCVCRMCGSAMVGTCPVCHFITNASVHVNLS >KJB38725 pep chromosome:Graimondii2_0_v6:6:50711577:50721272:-1 gene:B456_006G269300 transcript:KJB38725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease SPPA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73990) UniProtKB/Swiss-Prot;Acc:Q9C9C0] MSKILFFQTVHSTPRIHPRIVTSILSKPLIIPSVSKCYSPISPFHSISLPALKHYFHSPSFSFPSSFPTRAFDDSSSSIETQNEKEEKVGEQSEIEEYPSGEMEYEKISGWKSFVVKLKMLIAFPWQRVRKGSVLTMKLRGQISDQLKSRFSSGLSLPQICENFVKAAYDPRISGVYLHIEPLNCGWGKVEEIRRHILNFKKSGKFIIAYIPACGEKEFYLACACEEIYAPPSAYFSLYGLTVQASFLGGVLEKIGIEPQVQRIGKYKSAGDQLTRKSMSEENCEMLTSLLDNIYGNWLDVVSSSKGMKREDVEHFINEGVYEVEKLKEEGFITNIHYDDEVISMLKERLGVQKDKKLSMVDYKKYSKVRKWTLGLTGGKDLIAVIRATGSISRVRSPLSTPSSGIVAEQIIEKIRSVRESKRYKAVIIRIDSPGGDALASDLMWREIKLLAASKPVIASMGDVAASGGYYMAMAAQTIVAENLTLTGSIGVVTGKFNLGKLYEKIGFNKEVISRGRYAELLAAEQRPFRPDEAKLFEKSAQNAYKQFRDKAAFSRSMPVEKMEDVAQGRVWAGKDAASHGLVDAIGGLSRAIAIAKHKIKIPQDRPVTLVELSRPSPTLPEVLSGIGSSIVGVDRTVKELLQDLTFSDGVQARMDGIMFQRLEGFPNATPLISLIKDYLSSL >KJB38726 pep chromosome:Graimondii2_0_v6:6:50712192:50721044:-1 gene:B456_006G269300 transcript:KJB38726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease SPPA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73990) UniProtKB/Swiss-Prot;Acc:Q9C9C0] MSKILFFQTVHSTPRIHPRIVTSILSKPLIIPSVSKCYSPISPFHSISLPALKHYFHSPSFSFPSSFPTRAFDDSSSSIETQNEKEEKVGEQSEIEEYPSGEMEYEKISGWKSFVVKLKMLIAFPWQRVRKGSVLTMKLRGQISDQLKSRFSSGLSLPQICENFVKAAYDPRISGVYLHIEPLNCGWGKVEEIRRHILNFKKSGKFIIAYIPACGEKEFYLACACEEIYAPPSAYFSLYGLTVQASFLGGVLEKIGIEPQVQRIGKYKSAGDQLTRKSMSEENCEMLTSLLDNIYGNWLDVVSSSKGMKREDVEHFINEGVYEVEKLKEEGFITNIHYDDEVISMLKERLGVQKDKKLSMVDYKKYSKVRKWTLGLTGGKDLIAVIRATGSISRVRSPLSTPSSGIVAEQIIEKIRSVRESKRYKAVIIRIDSPGGDALASDLMWREIKLLAASKPVIASMGDVAASGGYYMAMAAQTIVAENLTLTGSIGVVTGKFNLGKLYEKIGFNKEVISRGRYAELLAAEQRPFRPDEAKLFEKSAQNAYKQFRDKAAFSRSMPVEKMEDVAQGRVWAGKDAASHGLVDAIGGLSRAIAIAKHKIKIPQDRPTTYFHLSE >KJB34492 pep chromosome:Graimondii2_0_v6:6:27799927:27800802:1 gene:B456_006G0686001 transcript:KJB34492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQKIQCLTPNIGVVYSGMGPDF >KJB35783 pep chromosome:Graimondii2_0_v6:6:38145816:38148463:-1 gene:B456_006G128300 transcript:KJB35783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENILIASFLLQHELSDNAIAGCIENEEDVLSFGDEDMVSVDQENEEFQDCEVRAEGHEGTPHEALILALGYLGVQDLFLIENVCTSLRSVVQNDPLLWRDIHINPPLNEKITDDVLLQIIGRGQGSLQCLSLVDCQRITDEGLNRAVENNPKLIKPCFQ >KJB37991 pep chromosome:Graimondii2_0_v6:6:48037549:48039265:-1 gene:B456_006G230400 transcript:KJB37991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLPTILLAAFIAAGATAVKAQNCGCGEGLCCSRWGYCGTGDDYCGTGCQQGPCNAPPALNNVSVADIVTPEFFNGILDVAEESCEGKNFYTRSAFLEAVGPYPQFGRIGTVEDTNREIAAFFAHVTHETGHFCYIEEINGASRDYCDQNNDRYPCNPNKGYYGRGPIQLSWNFNYGPAGENIGFDGLNSPETVATDPVISFKTAIWYWVNFVQPVISQGFGATIRAINGALECDGANPATVERRVEYYIDYCNQLGVDPGPNLRC >KJB36182 pep chromosome:Graimondii2_0_v6:6:40363007:40376645:-1 gene:B456_006G145300 transcript:KJB36182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEEECSTAKASSSSPASSSATVSSQGVNYLAKCVLRGSAILQVAYGHLRSPSSLDVVFGKETSIELVIIGEDGIVTSVCEQTVFGTIKDLAILPWNEKVYGQNTQMPGKDLLVVISDSGKLSFLTFCNEMHRFFPVDHIQLSDPGNARDQIGRMLAVDSTGRFIATSAYEDRLAFFSLSMSGGDIIDKKIFYPPENEGSGSSTRNAQRISVRGTIWSMCFVSKDPNQTNKEHNPVLAIVLNRKGNTLNELVLLGWNLSEHAVYILSQYLEAGPLAHSIVEVPHSCGYALLFRVGDALLMDLRDARNPHCVYRTTLDFSVHTPEEHICVEELCPAHEFDDDGLFNVAACALLQLSDYDPMCIDGESGSGKTTCKHVCSFSWELKSNRSPRIIFCLDTGEFYMIDVSFDSDGPKVNISDCLYRSQPCKSLLWVDGGFLVAIVEMGDGLVLKVENEKLIYKSPVQNIAPILDMSIVNYYGEKHDKMFACCGVAPEGSLRIIRSGISVEKLLRTAPIYQGISGTWTVQMKFTNSYHSFLVLSFVEETRVLSVGLSFTDVTDSVGFQPDVCTLACGLVADGQLVQIHQNAVRLCLPTKAAHSEGIIMSSPVCTTWSPDNMSISLGAVGQSLIVVSTSNPYFLFILGVRSLSAYNYEIYELQHVRLQYELSCISIPQKHLEMRHLSSNVNLVDVGGAVPPVGVGMGITFVIGTHKPSVEILSFAPEGLRVLGAGTISLTTIMETAISGCIPQDVRLVLVDQFYVLAGLRNGMLLRFEWPSAFTPSSELCQRSSIPFPGKVENFLLNTKLNSFGSETCSVNMGEKDGLPVTLQLIATRRIGITPVFLVPLSDSLDADIIALSDRPWLLHTARHSLSYTSISFQPSTHATPVCSVECPKGILFVAENSLHLVEMVHSKRLNVQKFHLEGTPRKVLYHSESKLLIVMRTEPNSDACSEICGVDPLSGSVMASFKLGPGETGKCMELVRAGNEQVLVVGTSLSSGPAIMPSGEAESTKGRLIVLCIEHVQHSDSGSMTFSSMAGSSSQRNSPFREIVGHATEQLSSSSICSSPDDTSCDGVKLEETEAWQFRPAYTTTWPGMVLAICPYLGRYFLASAGNAFYVCAFPNDNPQRVRRFAIARTRFMITSLTAYFTRIAVGDCRDGILFYSYNEDTKKLDQTYCDPSQRLVADCVLTDADTAIVSDRKGSIAVLSCSDRLEDNASPERNLTQICAYYMGEIAMSIKKGSFIYKLPADDMLNSCEALNASLDPSHSAIMASTLLGSIMIFIPISREEHELLEAVQARLILHPLTAPVLGNDHNEYRSRENPAGVPKVLDGDMLSQFLELTSMQQEAVLSFPIISPVTQKLSPKPPPSPIPVSKVVQLLERVHYALN >KJB36183 pep chromosome:Graimondii2_0_v6:6:40366855:40376578:-1 gene:B456_006G145300 transcript:KJB36183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEEECSTAKASSSSPASSSATVSSQGVNYLAKCVLRGSAILQVAYGHLRSPSSLDVVFGKETSIELVIIGEDGIVTSVCEQTVFGTIKDLAILPWNEKVYGQNTQMPGKDLLVVISDSGKLSFLTFCNEMHRFFPVDHIQLSDPGNARDQIGRMLAVDSTGRFIATSAYEDRLAFFSLSMSGGDIIDKKIFYPPENEGSGSSTRNAQRISVRGTIWSMCFVSKDPNQTNKEHNPVLAIVLNRKGNTLNELVLLGWNLSEHAVYILSQYLEAGPLAHSIVEVPHSCGYALLFRVGDALLMDLRDARNPHCVYRTTLDFSVHTPEEHICVEELCPAHEFDDDGLFNVAACALLQLSDYDPMCIDGESGSGKTTCKHVCSFSWELKSNRSPRIIFCLDTGEFYMIDVSFDSDGPKVNISDCLYRSQPCKSLLWVDGGFLVAIVEMGDGLVLKVENEKLIYKSPVQNIAPILDMSIVNYYGEKHDKMFACCGVAPEGSLRIIRSGISVEKLLRTAPIYQGISGTWTVQMKFTNSYHSFLVLSFVEETRVLSVGLSFTDVTDSVGFQPDVCTLACGLVADGQLVQIHQNAVRLCLPTKAAHSEGIIMSSPVCTTWSPDNMSISLGAVGQSLIVVSTSNPYFLFILGVRSLSAYNYEIYELQHVRLQYELSCISIPQKHLEMRHLSSNVNLVDVGGAVPPVGVGMGITFVIGTHKPSVEILSFAPEGLRVLGAGTISLTTIMETAISGCIPQDVRLVLVDQFYVLAGLRNGMLLRFEWPSAFTPSSELCQRSSIPFPGKVENFLLNTKLNSFGSETCSVNMGEKDGLPVTLQLIATRRIGITPVFLVPLSDSLDADIIALSDRPWLLHTARHSLSYTSISFQPSTHATPVCSVECPKGILFVAENSLHLVEMVHSKRLNVQKFHLEGTPRKVLYHSESKLLIVMRTEPNSDACSEICGVDPLSGSVMASFKLGPGETGKCMELVRAGNEQVLVVGTSLSSGPAIMPSGEAESTKGRLIVLCIEHVQHSDSGSMTFSSMAGSSSQRNSPFREIVGHATEQLSSSSICSSPDDTSCDGVKLEETEAWQFRPAYTTTWPGMVLAICPYLGRYFLASAGNAFYVCAFPNDNPQRVRRFAIARTRFMITSLTAYFTRIAVGDCRDGILFYSYNEDTKKLDQTYCDPSQRLVADCVLTDADTAIVSDRKGSIAVLSCSDRLEGKYMKP >KJB36184 pep chromosome:Graimondii2_0_v6:6:40368669:40376578:-1 gene:B456_006G145300 transcript:KJB36184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEEECSTAKASSSSPASSSATVSSQGVNYLAKCVLRGSAILQVAYGHLRSPSSLDVVFGKETSIELVIIGEDGIVTSVCEQTVFGTIKDLAILPWNEKVYGQNTQMPGKDLLVVISDSGKLSFLTFCNEMHRFFPVDHIQLSDPGNARDQIGRMLAVDSTGRFIATSAYEDRLAFFSLSMSGGDIIDKKIFYPPENEGSGSSTRNAQRISVRGTIWSMCFVSKDPNQTNKEHNPVLAIVLNRKGNTLNELVLLGWNLSEHAVYILSQYLEAGPLAHSIVEVPHSCGYALLFRVGDALLMDLRDARNPHCVYRTTLDFSVHTPEEHICVEELCPAHEFDDDGLFNVAACALLQLSDYDPMCIDGESGSGKTTCKHVCSFSWELKSNRSPRIIFCLDTGEFYMIDVSFDSDGPKVNISDCLYRSQPCKSLLWVDGGFLVAIVEMGDGLVLKVENEKLIYKSPVQNIAPILDMSIVNYYGEKHDKMFACCGVAPEGSLRIIRSGISVEKLLRTAPIYQGISGTWTVQMKFTNSYHSFLVLSFVEETRVLSVGLSFTDVTDSVGFQPDVCTLACGLVADGQLVQIHQNAVRLCLPTKAAHSEGIIMSSPVCTTWSPDNMSISLGAVGQSLIVVSTSNPYFLFILGVRSLSAYNYEIYELQHVRLQYELSCISIPQKHLEMRHLSSNVNLVDVGGAVPPVGVGMGITFVIGTHKPSVEILSFAPEGLRVLGAGTISLTTIMETAISGCIPQDVRLVLVDQFYVLAGLRNGMLLRFEWPSAFTPSSELCQRSSIPFPGKVENFLLNTKLNSFGSETCSVNMGEKDGLPVTLQLIATRRIGITPVFLVPLSDSLDADIIALSDRPWLLHTARHSLSYTSISFQPSTHATPVCSVECPKGILFVAENSLHLVEMVHSKRLNVQKFHLEGTPRKVLYHSESKLLIVMRTEPNSDACSEICGVDPLSGSVMASFKLGPGETGKCMELVRAGNEQVLVVGTSLSSGPAIMPSGEAESTKGRLIVLCIEHVQHSDSGSMTFSSMAGSSSQRNSPFREIVGHATEQLSSSSICSSPDDTSCDGVKLEETEAWQFRPAYTTTWPGMVLAICPYLGRYFLASAGNAFYVCAFPNDNPQRVRRFAIARTRFMITSLTAYFTRIAVGDCRDGILFYSYNEVRKFC >KJB36757 pep chromosome:Graimondii2_0_v6:6:43335216:43336466:-1 gene:B456_006G175200 transcript:KJB36757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILGSGEVENLSDINHATVRTCFNDVNESNDTNTSKVEELLEQRQKSKPPSKCLAKSASFPSAVSTPDDDDDDDEIVTAMQRMFSEDSVQSLSTYSRSISLPTPLKLVSALKGSREKQGLPPKKLTVKWAPDVYDPPPTSVLHTVRSKKQQKSKKKNDKKKNGKKGQKGNNSGRGSGGGKDNKQIRRGGGSSVRCYKPPPEVHQDRLANSSVSLEGFNVGSPDPYCGSSFLKKSPTRMHYSVAEAL >KJB33253 pep chromosome:Graimondii2_0_v6:6:883846:886252:-1 gene:B456_006G004200 transcript:KJB33253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKCIFSLVIIVSRISLSFTQNVNVVIQGSTSVAETDDNFDLKKKVLINAIKAFNSLRIKVGGSLQDQVVYGVGEVKNCPNFMKKEGSLFGFSQGCLPVERWDELNNFFNQTGVNVTFGLNALLGRNESQNEKGLWVGDWNSQNARDLMKYTISRGYKVDSYEFGNQLSGAGMGARVEAEQYGKDVIVLKNMVKELHPYPKTQPKVLGPSGFYDEKWFNSFLEVSGQEVVDGVTHHIYNLGPGDDLNLITKIQDPSYLNQVAQTYRGVFNIVHKFKPQSGAWVSKSGGALQGGAKDVSPTFADGFWFSAGKLSFGGNYALLKTTTFISNPDYYGSLLWHRLMENIVLAVTQESQESNPKKKPGNSIIFVNLLKDSSFDVTLSSYEHHRCNLRSTDAAKPNFEFKSYLHREEYHLTALGGNIQDTFDIPAMDPKLVNASTAISVAAHSIVYETIRDFHAPVCV >KJB34243 pep chromosome:Graimondii2_0_v6:6:20279774:20280691:-1 gene:B456_006G055300 transcript:KJB34243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSQPTMEETILVGDDLMMGPPSPVIPQEIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDELALYRQCAEKRVRFLMVIPVA >KJB35062 pep chromosome:Graimondii2_0_v6:6:33896937:33899187:-1 gene:B456_006G098600 transcript:KJB35062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIEDVRYHSNPYGDNQQQGYRSSSSGKLPVRDVSCSRLNGNRYVDGNEDEEEDDEDLSEEDENHCKINGDQYVRNYDDGYDNKLYDDDEGSNQKNDDVDSRRHPKKRKLKDLVSSYEFVRRVAAPEVASTSVPKPSCGGRNPLTDWTEGETFVLLDAWGDRFLQRKRKSLRAEEWQEVAEKVSEVSKIERTDTQCRNRLDTLKKKYKKEKAMVAETGTSTSNSKWVYFKKMDMLMSSSPQQGVIHKAISDEMRDSPTISESTDSEENVSEGLPPKKRRLERQRDDDSWFKLLADSIRKFSNVYEKIENSKRVQMLELENMRMEFHRELEMQKRQILKKLQAEIVKMQEEDNASSE >KJB34600 pep chromosome:Graimondii2_0_v6:6:29656576:29657572:-1 gene:B456_006G074800 transcript:KJB34600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSKLAFRIVLAVLLLLALFYVGRPLYWKISATVHDIRHNKQTVQEGISQIMLEAQRSVGWFNDESDSGIHENRGKQASRRLKFRVL >KJB34601 pep chromosome:Graimondii2_0_v6:6:29656593:29657679:-1 gene:B456_006G074800 transcript:KJB34601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSKLAFRIVLAVLLLLALFYVGRPLYWKISATVHDIRHNKQTVQEGISQIMLEAQRSVGWFNDESDSGIHENRGKQASRRLKFRVL >KJB38255 pep chromosome:Graimondii2_0_v6:6:48996301:48998357:1 gene:B456_006G244800 transcript:KJB38255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQPIDTDSRAGKETAPARAEPVKPVLKSLIKRLFDRQFPSVLRVSAAEKSSIGETQFSNKDGTTEFEPSSICLDKMVRNFIEDSHNDKQPPPQPPPAKYGRNRCNCFNGNSNDSSDDEFDEFSESSNGSPPDTCETVKSLVQCATVVERNLLADTAMIVEKNKNCKRKDDLRKIVTDGLLSLGYNSSICKSKWDKSPSFPAGDYEYIDVVMEGERMLIDVDFRSEFEMARSTGTYKAIVQSLPFIFVGKPDRLDRIVTIISEAAKQSLKNKGMHLPPWRKTEYMRAKWLSQFTRTSSQTDDVSAQTDVEETIYSGCGELDLIFGEEKTASSEENSGEIKLPESPVTTWQPPAVKPKSVERGTKIVTGLASLFKEKP >KJB33560 pep chromosome:Graimondii2_0_v6:6:4918303:4923935:-1 gene:B456_006G019500 transcript:KJB33560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHLPLYFLFLFLFLLCPILQAAESQEPTCSGKEVCGNITIPSPFGIHIGCYTHPWFRVTCNKTRNAKMPFINVNGIDLEVLDFKYSDAILINNPVTYINCTPFFFPSDMNYFGSVGFGNLATILSNEGDSLGGCIHLRSDDGASESGCITLITANFTSYTVNMTAMYPDSKSCASAFIFSVYSSSTGYSLPSGINNRTSHVPAVLSWNSTYCGEGDFVIYFLFAKPAGCKRPGLELMKFSTYKVENCGNVKFHYPFSMEDKDDSNDWFKVNCTGNVKFHYPFSMEDKDDSNDWFKVNCTKTANGVNLTGTHFYYSDSKNNFWSSGCGNLITILGNETNNLIGGFLQPSCRINDKTSSIVSCPLTIPKGLSSFLANMSNVVDSSDYKRKRSCEFVSLASYDSFSTDDFDLSNRTHVPMQLQWGTLIYRECYLNDSSDTSCILEGDYCWSRLSSTHLCVCSKDTSDISYSRSCKGGKCDNYLYCSILCLNTPNNYCSSKSCPPHYEYNKPSKENKKSYFKRNGGLLLQQHLSSNEGNIEKIKLFTSKEMKKATDSYNENRILGHGGQGTVYKGMLIDGSIVAIKKSKMVEGKKFDEKKAEQFINEVIILSQINHRNVVKLLGCCLEAEVPLLVYEFIPNGTLYDLIHNQNEELPLTWEMRLRIAIQIANALFYLHSAASAPIYHRDIKSNNILLDDKYRAKVSDFGTSKSIALEQTHLTTRVQGTFGYMDPEYFRSSQFTEKSDVYSFGVVLIELLTGQKPISAEQSEPVRSLVCYFLHSMQENSLFNILDPMLETNGPEQEIIVVALLAKRCLNLNGKRRPTMKQVAMELELINASGGNVLRGSV >KJB33662 pep chromosome:Graimondii2_0_v6:6:6326014:6327997:1 gene:B456_006G024800 transcript:KJB33662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTKLRLVFFFSFAFIITRLLANIIEIDDFWKQREQEAWNITLAAYESSPENIINHLNYNVDKVIRKISANQTIEFEDDLTNNTRRYLRGKHKKYTGPCMATNPIDSCWRCKKNWAKNRKILAKCVLGFGHKTSGGSNGDYYLVTDNSDDDVLNPKPGTLRHAVIQKRPLWIIFARTMHIKLSQELIVQSNKTIDGRGADVHIAYGCGITLQFVHNVIIHNIHIHHIFPSSGGLIRDSEDHFGFRTVGDGDGISIFGSSNIWLDHISMSEGQDGLIDAIQGSTAITISNCHFTHHNDVMLFGASDAYSKDQFMQVTIAFNHFGKELIQRMPRCRWGFFHVVNNDYTHWKMYAIGGSMHPTIISQGNRFIAPHDLNAKEVTHRIYASESEWKNWVWRSEEDLLMNGAIFRTSGPLSPPDLKFNQKDIIKAKPGTYVRTLTRFAGTLKCKKRIKC >KJB37554 pep chromosome:Graimondii2_0_v6:6:46523244:46525985:1 gene:B456_006G210100 transcript:KJB37554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYFSVLFINQGGLSSVSSSSPSLGDLPESCVALIIGYLDPPEICKLGKLNRAFRGASWADFVWESKLPSNYQVLVDKILTFAPENLGKREIYSLLCTTNTLDGGTKKVWLDKSSGGLCMSISSKGLHITGIDDRRYWNHIPTDESRFHSIAYLQQTWWFEVDGEVEFPFPAGSYSVFFRLQLGRSSKRFGRRICNSEHIHGWDKKPVRFQLWTSDGQHARSQCTLNEPGKWFHYHIGDFNVKNSNSSTKIKLSMTQIDCTHTKGGLCLDSVVIYPSKYREKLKQKGFLRC >KJB34042 pep chromosome:Graimondii2_0_v6:6:13581881:13583794:-1 gene:B456_006G044600 transcript:KJB34042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENHKPATSSSFKKGDDKEESSEVEKPEKETKSEQPQSQDIDDKEKEERAGIKHTLQKSYDDIETFLSKLENDAEAPDIPDFVHKYVDLVEKKLSKHEPLFHDDGSFLKVVDQISRLYKHLSDSDKAPLINRIGQIQQQAMCYLEDEFRSLLEEPDLKQEVVADQHGEDQSTLDQSKEESNFSGYSYQVIPKLNKIAKEMIFGGYESECCEAYMVTRRNIIDETLNKLGYQKISIDEAQKMQWEALEKNIPPWIKAFKQCTKVYFSNECRLVETVFSGYPSLSTNLFSNIVRGVFLQLLNFVEAVATIKRSTEKLFKFLDIYDTLRDNLSSLDGVLPKWAEELRNEMTTTRCRIGEMAIFIFCDLENAIKSDTEKTPVPGGAVHPLTRYTMNYLKYACDEYKETIEKVFKDHSKIERANSTNKPRSYEGDSERNKYDDENQSPFVGQVLRILDLLDSALEAKSKLYKDIALSCIFMMNNGRYMLQKIKSSAEIHQAMKDTWCRKTSSDLRNYHQTYKRETWMKLLGCLNVEGLNVNGKVVKPVLKERFKSFNAMFDDIHRTQSLWVVNDKQLQSELRVSISAIVIPAYRSFLARFSGYLDPGRQTEKYIKFQPEDIETFIDDLFDGNSGSMSRKKP >KJB37354 pep chromosome:Graimondii2_0_v6:6:45815273:45818093:-1 gene:B456_006G201400 transcript:KJB37354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDNIECVSSIDGLNEDEIHHHNLHHPSPHHGHHPFSSSKPHNATNNANNSNMVNNIVGATGITPATSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKARVHNQCPTCRSELGDIRCLALEKVAESLELPCKYYNLGCPEIFPYYSKLKHEAACNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHSFGQYFCLHFEAFQLGMAPVYMAFLRFMGDEAEARNYSYSLEVGANGRKLTWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRVWKEQQNPDAGPCIQNLCS >KJB34360 pep chromosome:Graimondii2_0_v6:6:22968861:22970716:-1 gene:B456_006G062200 transcript:KJB34360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTLALVFALLGTLCSFIYIFRPTKSRSNHNKNGQKLPPGPRALPIIGNLHMLGKLPHQNLHHLAKRYGPIMSLRLGCVPTIVVSSPEAAELFLKTHDLVFASRPGIQAGEYLSYGSTGMAFTPYGSYWRTVRKWCTLHLLSASKVEYFAPVRKTELGSLVESVKESAAARETVNLSEKVGELIEKMMCKIIFGRSNDDRFNLRSLIDQTMHLSGAFNISDFVPYLAPLDLQGLGRRLKRASELVDTVLEKIIDEHMQGTDAEDRKPHRDFVDVMISMLNQPMNPHDKDETYIIKRKNIKAILLDMIAASFETSAVAIVWTFSEILRHPRVMVALQHELETVVGRNRLVEESDLSKLTYLDMVVKEGLRLHPVAPFLVPHESLEDIVINGYFIPKKSRILVNIWSMGRDRNIWSENAEEFFPERFINNNIDLRGHDFRLIPFGSGRRGCPGMHLALINVSLILAQLVHCFNWELPDGMLPDELNMTEIFGLSLPRATHLLAKPTYRLLGLAT >KJB36090 pep chromosome:Graimondii2_0_v6:6:39723903:39725752:1 gene:B456_006G140600 transcript:KJB36090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLSICLTDYFHHLLILIISTLFLLFLVKSFRNFKSKSKYPPTPPALPIIGHIHLLKSGLPTSFQSLARIYGPLMQIRVGAANFVVASDAKSAQQILRTFDADFASKFQPGPTNYHIYEDSSFTNAPYGAYWRYMKKLCMTKLFTGSQLDRFNGIREQETSKLLKSLLNKSKAGEPCDLAAEVTELTNNMIYRMAMGRRCSNYPNQAAEIRRFITDSMKYAAKFHFGEVFGPLKKFDLFGNGKRLKLTLKGYDQLIEQIMKDYQDNDLETSENDDEKDVMDILLESYKDTNAEVKLTRDQIKNFFMELFMAGVDTTAAAIRWAMAELINHPNIFKILREELDSVVGNNRLIKESDVPKLPYLQAVVKEILRLHPPGPLLRRVSNKDSKINGFDLKKGTRVFINVYMIMRDPNCYKESEKFMPERFLGYSTEMKGQDFHYLPFGSGRRACPGASHAMFVMHATIGALTQCFDWKVKEAEKVDTEAAGTGYSGAFAVPLLCYPNTRFDPFQE >KJB33400 pep chromosome:Graimondii2_0_v6:6:2123450:2130808:-1 gene:B456_006G009500 transcript:KJB33400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVVPTSEDDPALAVVRFTSELAWADAGPEVAEPQVTRLCMEAQECIVMGRWLDLASLMLTSADLVFSKVSDKDLECIFTVICNLASKLENLDEFEVAKLVSTKITQQPNDKPALRLKILFNLYNLLGAPDSRFFVYMQALNLALNGKVVDHIIPSFKKIDCFLKEWNIGVKDQRNLFLTIANVLKESKSSGKYSFKFLTKYLATFSGEDSYIISEAKEEAVRSVIEFVKAPDMFQCDLLDIPAVAQLEKDSKYALVCQLLKIFLTQKLDAYLEFQAANSTLMKSYGLVHEDCITKMRLISLVDLGSNESGQIPYALIKDTLRINDDELELWVVKAITAKLMDCKMDQMNQVVIVSERIFGLHQWLSLRSKLATWRGNVASLISTIKANKVVEEGLPAGQGTTIR >KJB33399 pep chromosome:Graimondii2_0_v6:6:2123429:2131181:-1 gene:B456_006G009500 transcript:KJB33399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVVPTSEDDPALAVVRFTSELAWADAGPEVAEPQVTRLCMEAQECIVMGRWLDLASLMLTSADLVFSKVSDKDLECIFTVICNLASKLENLDEFEVAKLVSTKITQQPNDKPALRLKILFNLYNLLGAPDSRFFVYMQALNLALNGKVVDHIIPSFKKIDCFLKEWNIGVKDQRNLFLTIANVLKESKSSGKYSFKFLTKYLATFSGEDSYIISEAKEEAVRSVIEFVKAPDMFQCDLLDIPAVAQLEKDSKYALVCQLLKIFLTQKLDAYLEFQAANSTLMKSYGLVHEDCITKMRLISLVDLGSNESGQIPYALIKDTLRINDDELELWVVKAITAKLMDCKMDQMNQVVIVSRCSERIFGLHQWLSLRSKLATWRGNVASLISTIKANKVVEEGLPAGQGTTIR >KJB33464 pep chromosome:Graimondii2_0_v6:6:2564231:2567303:-1 gene:B456_006G011900 transcript:KJB33464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVPVYEYVRFSPFPMNMPRMNLQFKSTKMGNRVKALNMTASFESELDSSRNLPSTSSNGKDLVYDSKVHFLEERNEEELSRRILMLSRSNKVRSALELYKSMEFSGLKPDVHAYNSLLSCMLRNGLLDDALRTFEFMKNNKVITGHTYSIILKAIADTQGNDAALDMFAELERDSKLKKDFDMVVYNTVISICGRSNNWVETEGLWRRIQENGYIGTQVTYSLLISIFVRCNQCELAIDAYTEMIRNRVEPRDDTMHAMINACVKEEKRDLALSIFQKMLNDGLKPNLVACNALINSLGKGGEIKLAFKIYDIMISLGHKPDDFTWNSLLGALYRANQHADALHLFERIRQQNELISLHLYNTALMSCQKLGSWDKALQLLWQMEGLGLSVSTTSYNLVIGACETARKPKVALQVYDHMIHQKCVPDTFTHLSLIRSCIWGSLWAEVEEILDGLPPNVSLYNAAIQGMSLRGKVESAKKLYFKMQKNGLKPDGKTRALMLQNLRKHRFKVKKLT >KJB34256 pep chromosome:Graimondii2_0_v6:6:20407100:20419472:1 gene:B456_006G056100 transcript:KJB34256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDMDIPLPEELELLEANSHFYEEPYIDSPPSDPSPPQSPPLKNLEIDGSKRPRNSDAIESQPEENKRTKRIDIEEEEEEEEEDWLRYAPPEETNVEVAVEKDEEVYLSRFMSAINGDCMPVTAPSGGERVYAKISGAQRDEGLEKLNCKERSKGLLPEPVNVLLQRVEQQAFAKALEASSEHQNDITIPETTMVHEQLWVEKYAPSSFTELLSDEQTNREVLLWLKQWDSCVFGSEIRSTSDEVLSALRRLSSTQHKKSFDSDFSRKNRGHRWINGNNNHIDNVDHGTNNLKGMQDFWNKNSRLTGPPEQKILLLCGSPGLGKTTLAHVAAKHCGYRVVEVNASDDRSTSTIEAKILDVVQMNSVMADARPKCLVIDEIDGALGDGKGAVEVILKMVSAERKHDFGKENNAKKKGSKTASLTRPVICICNDLYAPALRPLRQIAKVHIFVQPTVSRVVSRLKYICNREGMKTSSIALTALAEFTECDIRSCLNTLQFLHKKNETLNVMDISSQVVGRKDVSKSAFDIWREIFHKRTKRDRKSNTSSGSSYGEFDFLHALISNRGDYDVILDGIHENILQLRYHDPVMHKTVKCLNSLGISDVMQQYVMRTQQMSLQVYQPSVAITLHRIVAQIQKPTLEWPKSFQRYRTMLMEKTDILRAWHQTIPPYMSRHLSTKSCIEDLISPLLHILSPPNFRPVALHLLSETEKNNLTQLVNVMVSYSITYKKMKSDSLSSNMGHEAVSDASALSFEPPIGEFIAYKDYRSDPHVLPLAMKQVLLHEVEKQKILQVSTGKSAQRTDGCCNGDQGVVRKGASVAKSAKTIGENAGAGTKTFENEKNISNTRQGNLSTTTVSSSLVSSRSATVEAKQKSTSDVRKARSGFDFFERFRKPSSRGSLDSEIAVVKEVTLERDLRPLLFKFNEGFTNAVKRPVRMRDFLL >KJB38429 pep chromosome:Graimondii2_0_v6:6:49690746:49695648:-1 gene:B456_006G254000 transcript:KJB38429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSPPQRQQEEKQSVSLSKKKNQNRKRTKHDPELERLDSLPWNSSLPNEEEDDTFSLFIGSGDLDGGFLSLEEIDESDYGLDVPGAKKKISNKNSKLKKQKLEEVTEGSREDAEDEPEPAEGMAEEKNVKAKKKKKKSKKKKAKAVQQEDESATVIDSKDDEKEQMLYEDEAEAYAEFYAWNELRLHPLLMKSISRLGFKEPTPIQRTCIPAAAHQGKDVIGAAETGSGKTLAFGLPIFQRLLEEREKAANMLEEKGEEAGKYAPKGVLRALIITPTRELTLQVTDHLKEFAKDINVRVVPIVGGMSAEKQERLLKTRPEVIVGTPGRLWELMSGGEKHLVELHSLSFFVLDEADRMVEAGHFRELQSIIEMLPMTSGTTDGQSQNTQNCVTVSSLSRKKRQTFVFSATLALSADFRKKLKRGSLKSKQSTDGLNSIEILSERAGMKPNAAIVDLTNASILAKNLEESFIECREEDKDAYLYYILSIHGEGRTIVFCTSIAALRHISSILRILGVNVSTLHAQMQQRARLKAIDRFRANEHGILVATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARASSDGCSIALISPNDSAKFASLCKSFAKESIKHFPLESSYMPEVMKRLSLARQIDKISRKDSQERANKSWLERSAESLELVVENYDSEEERVKKFKQKKASSNHLKKLQQELNGLLSRPLRPKTFSHRYPTAAGVTHLIQHQFEELAKQNGDDNLVLGENKRRKMVVIGQDCVEPLQALRSAGHEVVNLMF >KJB38428 pep chromosome:Graimondii2_0_v6:6:49690002:49695784:-1 gene:B456_006G254000 transcript:KJB38428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSPPQRQQEEKQSVSLSKKKNQNRKRTKHDPELERLDSLPWNSSLPNEEEDDTFSLFIGSGDLDGGFLSLEEIDESDYGLDVPGAKKKISNKNSKLKKQKLEEVTEGSREDAEDEPEPAEGMAEEKNVKAKKKKKKSKKKKAKAVQQEDESATVIDSKDDEKEQMLYEDEAEAYAEFYAWNELRLHPLLMKSISRLGFKEPTPIQRTCIPAAAHQGKDVIGAAETGSGKTLAFGLPIFQRLLEEREKAANMLEEKGEEAGKYAPKGVLRALIITPTRELTLQVTDHLKEFAKDINVRVVPIVGGMSAEKQERLLKTRPEVIVGTPGRLWELMSGGEKHLVELHSLSFFVLDEADRMVEAGHFRELQSIIEMLPMTSGTTDGQSQNTQNCVTVSSLSRKKRQTFVFSATLALSADFRKKLKRGSLKSKQSTDGLNSIEILSERAGMKPNAAIVDLTNASILAKNLEESFIECREEDKDAYLYYILSIHGEGRTIVFCTSIAALRHISSILRILGVNVSTLHAQMQQRARLKAIDRFRANEHGILVATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARASSDGCSIALISPNDSAKFASLCKSFAKESIKHFPLESSYMPEVMKRLSLARQIDKISRKDSQERANKSWLERSAESLELVVENYDSEEERVKKFKQKKASSNHLKKLQQELNGLLSRPLRPKTFSHRYPTAAGVTHLIQHQFEELAKQNGDDNLVLGENKRRKMVVIGQDCVEPLQALRSAGHEVHMDVKERVEKRRNAESLRRKRKEEKKRLRDQRRKQKKQSQGRE >KJB38430 pep chromosome:Graimondii2_0_v6:6:49690038:49695683:-1 gene:B456_006G254000 transcript:KJB38430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSPPQRQQEEKQSVSLSKKKNQNRKRTKHDPELERLDSLPWNSSLPNEEEDDTFSLFIGSGDLDGGFLSLEEIDESDYGLDVPGAKKKISNKNSKLKKQKLEEVTEGSREDAEDEPEPAEGMAEEKNVKAKAVQQEDESATVIDSKDDEKEQMLYEDEAEAYAEFYAWNELRLHPLLMKSISRLGFKEPTPIQRTCIPAAAHQGKDVIGAAETGSGKTLAFGLPIFQRLLEEREKAANMLEEKGEEAGKYAPKGVLRALIITPTRELTLQVTDHLKEFAKDINVRVVPIVGGMSAEKQERLLKTRPEVIVGTPGRLWELMSGGEKHLVELHSLSFFVLDEADRMVEAGHFRELQSIIEMLPMTSGTTDGQSQNTQNCVTVSSLSRKKRQTFVFSATLALSADFRKKLKRGSLKSKQSTDGLNSIEILSERAGMKPNAAIVDLTNASILAKNLEESFIECREEDKDAYLYYILSIHGEGRTIVFCTSIAALRHISSILRILGVNVSTLHAQMQQRARLKAIDRFRANEHGILVATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARASSDGCSIALISPNDSAKFASLCKSFAKESIKHFPLESSYMPEVMKRLSLARQIDKISRKDSQERANKSWLERSAESLELVVENYDSEEERVKKFKQKKASSNHLKKLQQELNGLLSRPLRPKTFSHRYPTAAGVTHLIQHQFEELAKQNGDDNLVLGENKRRKMVVIGQDCVEPLQALRSAGHEVHMDVKERVEKRRNAESLRRKRKEEKKRLRDQRRKQKKQSQGRE >KJB35737 pep chromosome:Graimondii2_0_v6:6:37804474:37809063:-1 gene:B456_006G126000 transcript:KJB35737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATENKAKPGTVTNKGKKRKQYLPHNKAVKKKGAYPLRPGVQGFFITCDGGRERQAAHEALDVIDSFFEELVNGKDLGEESAVLPNKPLNKKITFSYSDDEGDDDDDKGDGEGEEEGQEEVIKSNASKEIDASQESLTNKDLDLPNSDDVCHGKVTEENSDNQKNGANIENQADNLEEPPAKKQCLETDTSKSATNEKEKSIDKLIEAELNELGDKSKRLFFNLDSGCNGVVFVQMRKRDGVPSPKDIVQHMMTSAASTRKHMSRFILRVLPVEVACYSSEEEITRAMKPLIEQYFPVETQNPRKFAVLYEARANSGIDRMKIINSVAKSVPAPHKVDLSNPDMTIVVEIVKTICLIGVVEKYKELAKYNLRQLTSPKQ >KJB34419 pep chromosome:Graimondii2_0_v6:6:26387700:26391326:-1 gene:B456_006G066700 transcript:KJB34419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGSSSLTESGGSSTNSSAESLNGLKFGKKIYFEDTAAVAATAGGDGKSAGDGANIGTPSKSGPGSSSLSGSGRKARVDGMAQGVLPPRCQVEGCKVDLSDAKAYYSRHKVCCMHSKSSKVIVAGLEQRFCQQCSRFHQLSEFDKGKRSCRRRLAGHNERRRKPPPGSLFSSPYENGSRGGSFIVDFSAYPRLPGRDAWPAARSLECITGNRNTATGSSFSHPWQNNSNKPPHDHFLQGSPCGTSFSSTGISPGECFTGSGDSSCALSLLSNQPWGSRNQALNFSVNGVISTEGSSAAQPTAPHGAVVNPYSNASLDFNGSETVRSSHKMLPHLDLGQIPDPVNCQFSSDLELSQQSWRSYMEHEQSRAAYDDSMQHIHWTL >KJB34420 pep chromosome:Graimondii2_0_v6:6:26387810:26391203:-1 gene:B456_006G066700 transcript:KJB34420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGSSSLTESGGSSTNSSAESLNGLKFGKKIYFEDTAAVAATAGGDGKSAGDGANIGTPSKSGPGSSSLSGSGRKARVDGMAQGVLPPRCQVEGCKVDLSDAKAYYSRHKVCCMHSKSSKVIVAGHNERRRKPPPGSLFSSPYGWLSSSIIENGSRGGSFIVDFSAYPRLPGRDAWPAARSLECITGNRNTATGSSFSHPWQNNSNKPPHDHFLQGSPCGTSFSSTGISPGECFTGSGDSSCALSLLSNQPWGSRNQALNFSVNGVISTEGSSAAQPTAPHGAVVNPYSNASLDFNGSETVRSSHKMLPHLDLGQIPDPVNCQFSSDLELSQQSWRSYMEHEQSRAAYDDSMQHIHWTL >KJB34421 pep chromosome:Graimondii2_0_v6:6:26387810:26391210:-1 gene:B456_006G066700 transcript:KJB34421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGSSSLTESGGSSTNSSAESLNGLKFGKKIYFEDTAAVAATAGGDGKSAGDGANIGTPSKSGPGSSSLSGSGRKARVDGMAQGVLPPRCQVEGCKVDLSDAKAYYSRHKVCCMHSKSSKVIVAGLEQRFCQQCSRFHQLSEFDKGKRSCRRRLAGHNERRRKPPPGSLFSSPYGWLSSSIIENGSRGGSFIVDFSAYPRLPGRDAWPAARSLECITGNRNTATGSSFSHPWQNNSNKPPHDHFLQGSPCGTSFSSTGISPGECFTGSGDSSCALSLLSNQPWGSRNQALNFSVNGVISTEGSSAAQPTAPHGAVVNPYSNASLDFNGSETVRSSHKMLPHLDLGQIPDPVNCQFSSDLELSQQSWRSYMEHEQSRAAYDDSMQHIHWTL >KJB37818 pep chromosome:Graimondii2_0_v6:6:47447363:47451724:1 gene:B456_006G221900 transcript:KJB37818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYIRKARTAGEVAVMELSQASLGVRTRAKTLALQRLQQSSTSSPPTVVSAPATGDGSFLQLRSRRLEKPPLVVHHHVSKRHKQQQQGSKKDSCVQNPNPNSYSRVRPCGGSNSEKKKGEDIVQEDNGNDNIINYSNLNNNHNESNDFGGVEASFGENILDMEARERGTRESTPCSLIRDSESIRTPGSATRPPNSADTNQRVQNSTWRHTPTSHEMDEFFSLTEEDQQRQFIEKYNFDPVKDKPLPGRYQWEKMDP >KJB34771 pep chromosome:Graimondii2_0_v6:6:31436696:31439326:-1 gene:B456_006G082700 transcript:KJB34771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDLSWQFLFFSLVTAFHVTVTVAQEQPLRHFCINSTGNFTSNSTYETNLNQLLSSFSLNTPNDRGFYNFSSGQGSTIANAVAFCRGDLNSSDCFNCINDAYRELRNRCPYRIEAIIWYDYCTFRYTHRSIFGVRDVDPYFFMRNSNNVSNVDAFNQALGSLMDKLRDVVQVGTSLGKFATGSIQVTPFQTIYTRVQCSNDLTQYGCRSCLSQAIVYIPRCCDSKQGGRVSLPSCNFRFEIVRFYNRTPADIDTNDTTTTGYKSNSSRTTIIISILAVAFAALLISNVICFILRFRKRKLKPQKHEATEEGDEIATADSLQYDFNTIRAATNRFSDADKLGQGGFGAVYKGILAGGKLIAVKRLSTDSGQGDLEFKNEVQLMANLQHRNLVRLQGFCLEGNERLLIYEFVHNGSLDKFLFDPAKRPYLNWEIRYKIIKGVARGLLYLHQDSQLRIIHRDLKASNILLDAEMTPKIADFGMARLCPVDQTQGATSRIVGTYGYMAPEYIMHGQFSVKSDVFSFGVLVLEILNGQRNNAFQNGSYMENLLSFAWRNWKAGTAMDLVDPSLRDGSTSEVMRCIHIGLLCAQENVAQRPNMGSVALMLTNYSTTLPLPSEPAFLMHSRTQSVMQQSEDLDSEATASSGSKNDIGVVSENELSISETYPR >KJB36344 pep chromosome:Graimondii2_0_v6:6:41252648:41257833:1 gene:B456_006G153800 transcript:KJB36344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSKSLRLCLIVWNVIIAILSFNNGVEASHGIYPEYESLAASTVRQLHRTGYHFQPPQHWLNGTIKKIRVPLIHIELNQNHEKKKNWVRQFGGDTNVLSHYNFGFCCQSCIILKVGGYQLQWYLCNLVNWEALEPAIFPSEPFDIKGTWSGSATVFPESNPVIYYTGVDAKGQQVQNYAIPADRTDKNLRKWVKPKEGNPMVVADKEINGSAFRDPTTAWQANGYWNILVGSRKGSTGVAYLFKSKDKKTWTKTARPLHEVPNTGMWECPDFFPVSTSHEKGLDFSELGKDVKHVLKVSLDVTRFEYYTIGTYFLDQDKYVPDKGQEGCMGWANESDSKEEDVKKGWAGIMPIPRTLRLDPSGKQLLVWPIDDIETLKGNKVQMSNQQLETGKPVKISGITGNQVCSTVMTNVYKPMFGGFVDVDLSDRKLSLRSLIDNSVVESFAAGGKTCITSRVYPTLAVFQDAQVFAFNNGTEPITLEHASAWSLESPRKMNN >KJB38043 pep chromosome:Graimondii2_0_v6:6:48239119:48240279:1 gene:B456_006G233900 transcript:KJB38043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPTNFLLHAFLWLALAATAFSLSPNFYHNVCPQALPAIKRVVEAAVHKERRMGASLLRLHFHDCFVNGCDGSLLLDSTSSFETEKNARGNLNSVRGFEVVDQIKAEVDRVCRRPVVSCADILAVAARDSVVALGGPTWKVRLGRRDSTTASRTLADSVLPSASMDLPALINNFKNQGLNKRDLVALSGGHTIGLSQCVIFRNRIYNATNIDPAFAKERRATCPRTGGNTNLAPFDPTPARFDTAYFKNLVKERGLLTSDQALFSGGSTDKLVETYSKNPDAFWVDFGNSMIRMGNIKPLTGKQGQIRVNCRKVN >KJB36652 pep chromosome:Graimondii2_0_v6:6:42859698:42861950:-1 gene:B456_006G168900 transcript:KJB36652 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCF152 [Source:Projected from Arabidopsis thaliana (AT3G09650) UniProtKB/TrEMBL;Acc:A0A178V9F2] MNIASPSPCSSSSSFPSALRWLSLLPLQPSPSTSTTSSKPARPFSLRAQPTATDLSLPSDKKTNDDQTLLSLLRQRKTEQAWTLYSQSPHLPTPTCLSRLVAQLSYQATPQSLARARTIITRLRNERQLHRLDANSLGLLASASAKSGHTLYASSLIKSMLRSGYLPHVKAWSAVVSRLSTESPSESISLFDSVTRRVRRFADPSIVADSKPDTAAYNAVLNACANLGDKSKFLKLFDEMHEWGCEPDVLTYNVMIKLCARADRKDLLVFVLERIIERGIRFCMTTLHSLVAAYVGFDDLETAEKIVQAMREGRNDLCKILRDANLEDLKQAKEDDDYDDYDLEDEKEEDMEDLSKRKIDDVVFEKLLPNLIEPSSEPLLLPKVYAPNSRIYTTLMKGYMKAGRVTDTVRTLEAMRHQDDKASHPDHVTYTTVVSAFVKAGLMDRAREVLAEMTRIRVPANRITYNILLKGYCQQLQIDKAKELLREMADDAGIKPDVVSYNILIDGCILIDDSAGALVFFNKMREQGITPTKISYTTLMKAFALSGQPKLANKVFDEMLRDPRVKVDLVAWNMLVEGYCRLGLVEEAKKVIQRMKENGFYPNVATYGSLVNGIAVARKPGEALLLWKEIKERCEMKEEGKSSYSDSPPPLKPDEGLLDSLADICVRAAFFKKALEIVACMEENGIPPNKTKYKKIYVEMHSRMFTSKHASQARQDRRIEKKRAAEAFKFWLGLPNSYYGSEWEYEPPQR >KJB37746 pep chromosome:Graimondii2_0_v6:6:47158839:47163577:-1 gene:B456_006G219000 transcript:KJB37746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKCRIWWPKQLSSTQPSCCKFLFGWFVTCSSDSLDIVVAFASNRESSSNLQSCLQEILHSINGNMHVSLQDKSNFSLLGQYGACINYGQNGVEEDDLRKTCTHGVDRVCKCYGQWSCGCLKFDGFLGQCRQVSMESNYWIELAYDSLRLQARGIHWVPKLHHLHWKKEIVSQCDVHVILYETPTYGAHHFSLRYWNSSEHGKASPKKPQWVDELQQKQPLNDMDTVVLAINSASAAQKYFERHDSFKQSSANIPIISMFCTFMWHILAMSLASLSTLFYIFIQFFHSFLNFESQSWVYSASAKAFSNTWINFRIRSCQILYWPIFLQDNDLRSQTSVECAEKVALHKHSLWSSLVVDILLGDLIGLALLFHAESVCSWVSNIASNLTNELLRSGSVWLMGVPAGFKLNIELAEVLGMISLNTIQIWSTLWIFVGSLFIYFIKGLAILAILFGVTIPAALVIDMIVIVTLHVSTLHWLISILYSQQLHALAALWRIFRGRKWNPLRQRLDSFDYTVKQHVVGSLLFTPLLLLLPTTSVFYIFFSIMNTAISLSCMFIEVIISVIHATPYIKIALRLIKPRRFPLGIWFEIIACHNNSSHSPWSAYIDRNSLPVDEAPRKEDIDRTVSSVLISILHSNYLSIGQMVLPHYRKAFSGVSRSYIATSVFGLLSGNKVASTLGATLPSTMPWLCIPYNEYWCLCRNSILACMVDCNYYRCHDSRPPSFGLT >KJB37745 pep chromosome:Graimondii2_0_v6:6:47160954:47163373:-1 gene:B456_006G219000 transcript:KJB37745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKCRIWWPKQLSSTQPSCCKFLFGWFVTCSSDSLDIVVAFASNRESSSNLQSCLQEILHSINGNMHVSLQDKSNFSLLGQYGACINYGQNGVEEDDLRKTCTHGVDRVCKCYGQWSCGCLKFDGFLGQCRQVSMESNYWIELAYDSLRLQARGIHWVPKLHHLHWKKEIVSQCDVHVILYETPTYGAHHFSLRYWNSSEHGKASPKKPQWVDELQQKQPLNDMDTVVLAINSASAAQKYFERHDSFKQSSANIPIISMFCTFMWHILAMSLASLSTLFYIFIQFFHSFLNFESQSWVYSASAKAFSNTWINFRIRSCQILYWPIFLQDNDLRSQTSVECAEKVALHKHSLWSSLVVDILLGDLIGLALLFHAESVCSWVSNIASNLTNELLRSGSVWLMGVPAGFKLNIELAEVLGMISLNTIQIWSTLWIFVGSLFIYFIKGLAILAILFGVTIPAALVIDMIVIVTLHVSTLHWLISILYSQQLHALAALWRIFRSNKN >KJB33200 pep chromosome:Graimondii2_0_v6:6:644780:645016:-1 gene:B456_006G002700 transcript:KJB33200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSFLAAFFVVLLMSSSQAQSLVQKKISSRLLLRELGYDRNKLEHYRQVFALKADSDRVSPGGPDHEHHAHPPRMP >KJB37625 pep chromosome:Graimondii2_0_v6:6:46715172:46716765:-1 gene:B456_006G213100 transcript:KJB37625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYEEKKDEDGFLYVTYSGENTFGDQISQ >KJB37624 pep chromosome:Graimondii2_0_v6:6:46715172:46716901:-1 gene:B456_006G213100 transcript:KJB37624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYEEKKDEDGFLYVTYSGENTFGDQISQ >KJB37627 pep chromosome:Graimondii2_0_v6:6:46730188:46733880:1 gene:B456_006G213300 transcript:KJB37627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRSNFYKNPSLSYKKDLSLSSALQNLKAYNIATGNAPPLVEEKSQVDDKSACRKRSREREPLSQLPHRSREIEDNDGPMSHHDYILKRRREVSSSQGYDELSADILQASNSSVNLVDYESDGSASSNDKETQDPPDSGDANEVDRVKSRSEQRFPLPGEPVCVVCGRYGEYICDKTDDDICSMECKSALLQSLQITEKSMSNRNPSHSSSDLTSISHLPELAEDTWDYNNHRWSQRGSSLCSYKCWKCKRPGHLADDCLVTTPEQAVSKQSKPVARDLLELYRRCHRIGENLSHASCNACRGSIGLATCLDCSTVVCDNEGHLNEHIHTHPSHKQYYSHKLKRLVKCCKSTCEVTDINDLLICHYCFDKAFDKFYDMYTATWKGAGLSMIGGSICCEDHFAWHRMNCLNADIEDRAYIIGRNTGKGKHVQLSDFIF >KJB37628 pep chromosome:Graimondii2_0_v6:6:46730292:46733238:1 gene:B456_006G213300 transcript:KJB37628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRSNFYKNPSLSYKKDLSLSSALQNLKAYNIATGNAPPLVEEKSQVDDKSACRKRSREREPLSQLPHRSREIEDNDGPMSHHDYILKRRREVSSSQGYDELSADILQASNSSVNLVDYESDGSASSNDKETQDPPDSGDANEVDRVKSRSEQRFPLPGEPVCVVCGRYGEYICDKTDDDICSMECKSALLQSLQITEKSMSNRNPSHSSSDLTSISHLPELAEDTWDYNNHRWSQRGSSLCSYKCWKCKRPGHLADDCLVTTPEQAVSKQSKPVARDLLELYRRCHRIGENLSHASCNACRGSIGLATCLDCSTVVCDK >KJB35195 pep chromosome:Graimondii2_0_v6:6:34616743:34617783:1 gene:B456_006G103900 transcript:KJB35195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRRAKVVVRSTKKIVKETVQVAVIDKTEGDNNGDQQQLDTVPLEDIEEAGERVITEIPIQGSTEDKAEKEPRKVEAPGQKNRVQGEEKTEPVHEEEEPRKEEKKGKRKRGKKKELVGHEGYKTYVFRVLKQVHPGMAISSKAMSVINSLMNDMFEKITNEATKLSQYTDRKTLSSREIQGAVRLVLPGELGKHAVAEGSKAVTNYASYDIKRSKLV >KJB37807 pep chromosome:Graimondii2_0_v6:6:47355006:47357209:1 gene:B456_006G221100 transcript:KJB37807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKPRPASCFPFTPLGFLERAATVYGDCTSIIYNNTSFTWSQTHRRCLQLASSLSSIGIGSGHVVSVLSYNIPAMYEIQFGVPMSGAILNCINTRLDARTVSVLLRHCEPKLLFVDTLSQSLALEAISLFPPNKSPPLLVLIQDDHDDAGGSNSSLSTVDSRFCCTYESLVEKGDPNFKWIRPKSEWSPIVLNYTSGTTSSPKGVVHSHRGIFTMTVDSLIDWEVPKQPVYLWTLPMFHANGWSYTWGMAAVGGTNVCVRKFDASTVYSLIKKHGVTHMCGAPVVLNMLSNSPEIKPLPNPVQILTAGAPPPAAVLSRTESLGFVVSHGYGLTETGGLVVSCAWKREWNKLPATERARLKARQGVRTIGMTEADVVDPESGLSVKRDGSTLGEIVLRGASVMLGYLKDPNATNKCMKENGWFYTGDVGVMHSDGYMEIKDRSKDVIISGGENLSSVEVESVLYNHPAINEAAVVARPDEYWGETPCAFVSLKNELTQKPSEQDIIQYCRAKLPHYMVPKTVVFKEELPKTSTGKVQKFALRDMAKALGPSSSSSRLSRM >KJB38227 pep chromosome:Graimondii2_0_v6:6:48912656:48914793:1 gene:B456_006G243300 transcript:KJB38227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSPIFNHITLNYLSKCQTLSSLKQVHAQMVTTGLTHHTYPLSKLLYLSSTLALPHALTIFNQIPNPTIFLYNTLISSVLSSTNRKCETQLAFSLYERVLWDKTIIPNSHTYPSLFKACGSHLHGLALHAHVLKFLGLAYDDFVQTSLLNFYAKYGKLGVARCLFDQIRSPDLATWNSMLSAYGSGVTSEEEDTSVSMEALCLFTVMQKNPLVKPNEVTLVALVSACANLGALCQGIWAHVYVLKYNIKLNYYVGTALIDMYSKCGCLDLAYQVFDELPERDILCYNAMIGGFGIHGYGHKALQLFEKMKFQRLVPDDVTFVVTMCACSHVGLVDEGCKVFESINEDYGKEPKLEHYGCLIDILGRAGRVQEAEEKLKGMPMKPNAILWRSLLGAARVHGNLQVGEIALKHLLELEPETSGNYVLLSNMYASINRWEDVKRVRKLMKDHGINKMPGSSLVEVNGAMHQFLIGDKTHLWSKRIYSKLEEVGKRLQQYGHKPRTKEVLFDIDEEEKEDALTYHSERDYW >KJB38211 pep chromosome:Graimondii2_0_v6:6:48865380:48866468:-1 gene:B456_006G242700 transcript:KJB38211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASLVAVQLFVESPVRPGLTNRSRIFQFFGVKYVPKRPNVCICCLAEDDEDEGHFESLKLSDALFSGAVAERINGRLAMIGFVAATAVELSRGQDLLTEITSDGGIRWMVRSSMVIAMASWIPLLKGMRIECSSVGIYTSDAELWNGRFAMLGLVFMAFHEYLKGGALF >KJB33479 pep chromosome:Graimondii2_0_v6:6:2765239:2769348:1 gene:B456_006G012800 transcript:KJB33479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSVFSVIRKIEDLLMEEPEVLKGIREDFDRMGSALRSMKSFLIGIGLRENKFTIRFWVAEIKDVVYDAEDVIHTFLRKTTVCFLEERWIIRKTKSKISEIIDKITYLTRKLEEIGVEESIGGESQRQQLSHYYHPIVGMDDQIADLVSTLLQDYRDFRVISICGMVGSGKTTLAKAVYNHKQVRYNFVGHAWVYVSYPWKRNKIWEDICYGLGIFGVKDSKYSDEELAKKLYKFLKDNTCIVVLDDIRTVEVWDSIKPAFPVNCETQSLSKILITSSNWELSAHAARVGYLYDMKMLTYKQSINILRNIAFSETDSGEQYSAKKKLAVEILGYCCRLPSAIVVMGGILATKRSTEEWEMLLNHVKSNLSSISLPAILALAYDDLPYHLKPCFLYLNQFPEGYMISIGKLIQLWVAEGILSNDKGESIEDIAESYIIELAKRCMVQVGEGEVNMKFKTCYLHDVYRDLCLSKASQEEFALIPENPFSLPYMMVSRRVRRVAMHEYIPIQSLRNLKLTSLLFFNKLYPEEPSISISLQETAEIYLREDKRWISTLWILFKLMWRFRRILNYLFNNFKLLRILDLEGAEIYMEGEFLRDIGKLIRLRYLSLKGTTCFSKLSRSIGNLKRLQTLDLRMNEEFPVQIPNVICKMEMLMYLYLPMVCDSKTKLRLNTLRNLRTLENFNSKNCYVEDLGCMTNLMELGITRFFCRDDCEVNSGSNPPVIIASEHLRSLSVSRPENIDFNLLAYLISTCVHVSELSLTCSIGEFLPACQFPYQITFMSLSETELRTDPMPTLGKLPNLKILELQKAAFIGEEFHCSTEGFPELYSLKLSSISTVKHWIVDNSSMPKLRHLDIIDCKSLEMLPVGLMFITTLEELKIELMPKAFKDRLCEGDQFNKIKHVVIFQNCY >KJB37882 pep chromosome:Graimondii2_0_v6:6:47642786:47646917:1 gene:B456_006G224900 transcript:KJB37882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIISVLPATRSPLFLSHTHSFWFRVLTMADAKEISKKRKRLSFPVTRSLIGSFISSKSQIQSGSQSQHGRNHHDQQPIVKKLKNSLPDEDSSMGCGLSAVSIKDLRLRRVFSPSSTDGVIPDSFDDTENLGKPQIARIHLGVTQEPLENGSFKKLDMSNENFVQSTPPDAEIFGAEPVVERNGGEFSDQFLEKKPCEKGFHQQRDAMNNSMKSVLKPCSRARLFRTPGSFSYRRLLPYLMDIEKDHSGSQTMGHPQKPEKGFEDEHLLASNGPKSLPDKTTSCSLVVHDTDSGEPLIAASVESFTSRDDEASSMPVVNGEIEKLELQDSFEGQNLNCLKNCSSSTIEDSHFNEEDLVGVVSSKKTSTDNIEVAKISVEHPCNAQSLEVLDQNLSTLNNTCESCDYEVTESSEDDTKRSEIQGMPKATICNSFECQHLNSVDPALSETGGNGKCSLQQRVDIDGEVVECVEDLSGECMLMTPPDVNMSSKYETDGSRGNTVDNVSQGVGQVTEKSTNETLHRNNGQGHAKSPDSSPKNKMAPNAHLHLKLSKIPGSFSYSRLLPYLIDITSQNSSASGNNQSLKVEKSSKEKPLSLFITPAKDTSMVTSNDKRCPVERHKGDDIKLDVVAASVTSTSDHKPTESPTKQVAESSMIMNLQEPGPPVKPSALDTSQKLETRLKDVVESPAMSSSSPREEGAKLVANQLPLEMDVNCIKSTEKCANHEKQIEASFVEASMPPRIPSASLQKGILKRNPRGCRGICTCLNCSSFRLHAERSFEFSRNQMQDAEEVALDLIKELSYLRNMLEKSAFVAKDQTNICIDQVKEAWKKASDAEELAKTRLSEMNYHLNIHCRIPCEQRPSVRFADYVEEHVIHPIADSSNK >KJB34570 pep chromosome:Graimondii2_0_v6:6:29312772:29318257:-1 gene:B456_006G073200 transcript:KJB34570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFKDKTAGSLSHLFSDSPSPSSPPQLQLYQGRWYSKGLNSLSSLFSYIIPSASIDDDDKPKSNDHGNVDIKPIGSLPDRWRNQKLQLEDESLDSCKEYTITYVSEDLKKVCEDKKSIWTEFENKQQMISPRGGGGSSSDSDEFHEAGEQLSPVKGSLNLSDESVFINRELFEFLGSSLPNIVKGCQWVLLYSTWKHGISLRTLIRKSTELPAGPSLLITGDREGAIFGAMLECPLMPTPRRKYQGTNQTFVFTSTYGVPRLFRPTGANRYYYMCLNDLLALGGGANFALCLDGDLLNGTSGPCETFGNKCLAHNEEFELKNVELWGFKHAYRNTLN >KJB34300 pep chromosome:Graimondii2_0_v6:6:21538091:21550597:1 gene:B456_006G058200 transcript:KJB34300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNFIILFVYLFLIIILIIFQKRELVFENPKQKEEIEVILATASAPPPAMKFNIANLTTGCQKKLEIDDDQKLSL >KJB33553 pep chromosome:Graimondii2_0_v6:6:3982629:3984440:1 gene:B456_006G017200 transcript:KJB33553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAPTSTPPLSTTTPKSPDPETETPVRIQPAKPKSFTNGVLKRHQPHHHYLHHAPPIVVTYKECLKNHAATLGGHALDGCGEFMPSPSATPSDPTSLKCAACGCHRNFHRRESDDPPPTTTATATIEYQPHHRHHPPPPASQAPHRSPNSASPPPISSSYYPSAPHMLLALSGGLAGALDNNHHQNNSHLQGLGTLTPNTTNLGSHSKKRFRTKFTQFQKDKMLEFAEKVGWKIQKRDEEAIQEFCSEVGVDRGVLKVWMHNNKNTFGKKDQAINGGETGNNNNIENELSHEDHHHHNNNNGQNLNHHFETDSVVHVGTNGSSSS >KJB33833 pep chromosome:Graimondii2_0_v6:6:8508771:8511521:-1 gene:B456_006G032900 transcript:KJB33833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLSKVSVSGLGDGHNQSLLSLEGSNFFANGHVFLSDVPQNIIVTPSPYGSSTDKKIPSSVGSFVGFEAVKSNSRHVVPIGKLNNIKFMSIFRFKVWWTTHWVGSNGKDLENETQMVILEKSASGRPYILLLPLLEGPFRASLQPGTNDNIDICVESGSTKVTSARFQSVLYIHVGEDPFNLVKEAMEVMRVHLGTFKLLDEKTPPGIYDKFGWCTWDAFYLTVHPQGVWEGVKGLADGGCPPGMVLIDDGWQSISRDEDPITKEGMNCTVAGEQMPCRLLKFQENYKFRNYESPKTLATGEPNKGMGAFIKDLKDEFNTVEFVYVWHALCGYWGGLRPNAPGLPESEVIKPELSPGLKNTMEDLAVDKIVNTGIGLVPPEKADQLYEGIHSHLKNVGIDGVKVDVIHLLEMLCENYGGRVDLAKAYYRALTDSIRKHFNGNGVIASMEHCNDFMFLGTEAICLGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAEFHAASRAISGGPIYISDTVGNHNFPLLKRLVLPDGSILRCEYYALPTKDCLFEDPLHDGKTMLKIWNLNKFNGVIGAFNCQGGGWCPETRRNQCASQFSHMVTAKTNPKDIEWNNGKNPFCIEHVQVFALYLSQSKKLVLKKPDENIEISLQPFDFELVIVSPVTVVAGKSVHFAPIGLVNMLNAGGAILTLTYDELKRSAKMEVKGSGEMRVFASEEPKACNINGNDVGFLYEEQMVSVQVPWVGPSGLSTIEYLF >KJB33322 pep chromosome:Graimondii2_0_v6:6:1311424:1313022:1 gene:B456_006G006500 transcript:KJB33322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNMGVAVAAALSELNVEKAVNALLKWRDSQSQAQKPQLLEQDEFLYLIVSLKKIPQKARVNPIKVPLPHPIIDDPAELCLFIDDRSKSGITKDAASKKIKSENIPINKVIKLSKLKTDYKPFEAKRKLCDSYDMFFADKRIIPLLPRLLGKQFFKKKKIPVPVDLKHNNWKEQIHNACSSAMLFLGSGTCCVVKVAKLSLEKKEIVENVIAAINGIAERVPSKWGNIRSFHLKLLDSLALPLFQAMPDLRLKILADADHHTSSKEEKQEVEEEEDDTSHQKTKKKKNKGRIHDVQYMDDREHINDSNSEADGKHEINANISTKKKKTALKKLCSIDIKVKDKKKKKSLAA >KJB33323 pep chromosome:Graimondii2_0_v6:6:1311613:1313018:1 gene:B456_006G006500 transcript:KJB33323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNMGVAVAAALSELNVEKAVNALLKWRDSQSQAQKPQLLEQDEFLYLIVSLKKIPQKARVNPIKVPLPHPIIDDPAELCLFIDDRSKSGITKDAASKKIKSENIPINKVIKLSKLKTDYKPFEAKRKLCDSYDMFFADKRIIPLLPRLLGKQFFKKKKIPVPVDLKHNNWKEQIHNACSSAMLFLGSGTCCVVKVAKLSLEKKEIVENVIAAINGIAERVPSKWGNIRKLKKRKMILPIRRRRRRKTRGGYTMFSTWMIENILMTLTLRLMENMKSMRISQPRKRRLH >KJB35977 pep chromosome:Graimondii2_0_v6:6:39126195:39126647:-1 gene:B456_006G135400 transcript:KJB35977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLLLFVDSLDRQKQRITRGKEKYGPKSCCMDKQNILRNWTGVRFGILPRGTSLWVGAHQLVPFSNLISTPLLMNLDQNQSQGWWLAMFRERSSHSKQ >KJB35978 pep chromosome:Graimondii2_0_v6:6:39126022:39126647:-1 gene:B456_006G135400 transcript:KJB35978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLLLFVDSLDRQKQRITRGKEKYGPKSCCMDKQNILRNWTGVRFGILPRGTSLWVGAHQLVPFSNLISTPLLMNLDQNQSQGWWLAMFRERSSHSKQ >KJB33837 pep chromosome:Graimondii2_0_v6:6:8701649:8702669:1 gene:B456_006G033200 transcript:KJB33837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKIIEEVAIIPSKRLRNKIAGFSTHLMKRIQRGPVRGISLKLQEEERERRMDFVPDESAIKVDQIEVDKETLDMLSVLGMSDIPGIVKVDPVAVVPQIGFGRGGGPGRRF >KJB38296 pep chromosome:Graimondii2_0_v6:6:49411161:49411559:1 gene:B456_006G250000 transcript:KJB38296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNYKGKEGSGGGTTRYRGVRSRPWGTFGAEIRDPNQQGARVWLGTFNTAEEAARAYDRAAYSMRGEFAILNFPDEYPMGANAYRRSSSTGSSSSSSSARERGKQVFEIEYVDDNLLEELLGIDEKKKKKT >KJB33753 pep chromosome:Graimondii2_0_v6:6:7468673:7476998:-1 gene:B456_006G029100 transcript:KJB33753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGPNKRESWKNVLTLAYQSLGVVYGDLSTSPLYVYKSAFAEDIHHSETNEEIYGVLSFVFWTLTLMPLLKYVFIVLKADDNGEGGTFALYSLLCRHARLCSLPNCQLADEELSEYQKDGISSISKSFLGSSLKSTLEKHKLLQRLLLVLALIGTCMVIGDGVLTPAISVFSAVSGLELSMSKEQHRYVEVPAACAILVFLFALQHYGTNRVGFLFAPVVITWLLCISAIGIYNICEWNPHVYQALSPYYMYKFLKKTQKKGWMSLGGILLCITGSEAMFADLGHFSQLSIKVAFTFVVYPSLILAYMGQAAYLSKHHINETDYRIGFYVSVPEKIRWPVLVIAILAAVVGSQSIITGTFSIIKQCSALGCFPKIKIIHTSSKIHGQIYIPQINWTLMLLCLAVTIGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWHKSVLLAVFFLFFFGSIEALYFSASLMKFLEGAWVPIALALIFSVIMYVWHYGTLKKYEFDVQNKVSINWLLALGPTLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLLAFHQVVVFLCIKSVPVPHVSPGERFLVGRVGPKGYRLYRCIARYGYRDIHKDDIEFEKDLTCSIAEFIRSERPEHITRMESDEKMTVIGTSSSNSQGVSICADGGDDHEDSSEIVSAKSPEKPRKRVRFVVPESPQIDSEAREELRELMEARESGMAFILGHSYVRAKKGSNLMKRIVINYGYDFLRRNSREPTYALSISHASTLEVGMVYLV >KJB33041 pep chromosome:Graimondii2_0_v6:6:33492218:33493405:-1 gene:B456_006G095600 transcript:KJB33041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYWIKGHHQNRSVVEEPAVGKSGSQSPTLSPFCLETTPIPSLRSSLWGFCFSICFGPMEIHRTKEPTLLFPRRPCQLSSHQMQAESWIFCWYVSV >KJB35121 pep chromosome:Graimondii2_0_v6:6:34143629:34146015:-1 gene:B456_006G101300 transcript:KJB35121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLHDDRWKPRLLECEIPASNPKSLQISKPKKVNLRPHFLLLKFAFLPGFKYPVAKTGLPLWMLGLDADRLRADLNRLLAFLFHQGILDEQYLQLQQLQDESSPNFVSEVVNIYFHESEKLLRNLRSLLMDREFSDYDKMGIHLNQFIGSSSSIGAKRVRNVCVAFRAASDQNNRAGCLRALELLEHEYCILKNKLHELFQIEQQRLLAAGVRYPMLN >KJB34589 pep chromosome:Graimondii2_0_v6:6:29511992:29512876:-1 gene:B456_006G074100 transcript:KJB34589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMNKRFSRVSLVAVLLVLIAVQVNGFFPFDYESEFAQNSLDFQNFPSNSDSDENSLFSESHVYEPQSQYFHQVNPEETSLLSQSELPHDSFQTQNFESTNLPFETQQFPFEINANHRIKSPSPSPAPSPVQVSSPAPSPVEVPSPAPSPVEAPHPPKPNPDNICKLKCSTKCLKQEIPILHNLCCRVCKLRCLYHYADLIYTCTNRCAESMPNTFKSDEKKEAAYVKYCYKKCTKNF >KJB35739 pep chromosome:Graimondii2_0_v6:6:37820417:37821190:1 gene:B456_006G126200 transcript:KJB35739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNFTSDIVTSLPEHMLSEILKHAASNSIADFINVMLCCKAFDRASNYENVSMEKVSLVPWHRCEKGFQKRCKAANNAEALYRKGMINCFSRRKSESGLRYLKKATEKGHVEAVYTYGIILICLDGELRKQGLRVLLSLHLPKPNQGNSRMIASCRSKTEKFLRCMWVHVALTGPKGICCNCDCDIEEKPNHSTPSECQAWDASNDVSHCCDYCFWDREANLFCSLLRKYLIN >KJB36809 pep chromosome:Graimondii2_0_v6:6:43484127:43486999:1 gene:B456_006G177200 transcript:KJB36809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTANSLLNKLQWPPTKLQESLRTLNPSNSNLNYGAYGHLIQHFTNHHLPRQAKQLHARIVLSSVTPDNFLASKLISFYSKTNGLPQAHHLFDQIPQQNTFSFNALLIAYCQHNKFADTLRLFSSFRDVIRPDNFTITCLLKALAGSFCEEGVAKEVHCFVLRGGYNEDIFVCNGLITYYSKCMEFGLARKVFDKMGERDIVSWNSIISGYSQGGFYDECKALYREMVNTLEFKPNAVTLLSVLQACGQSNDLLLGMEIHRFIIENSIETDVLICNALIALYAKCGSLDYASELFEGMSDKDEVTYGSLIYGCMFHGFIDKAMELFRQLKHPGLSTWNSVISGLFQNKQYDGVLDLVWEMQACGLRPNAVTLSSILPTFSYFSNLKGGKEIHAYAVRNNYESNIYVVTALIDTYAKVGFLRGAQRVFDQSKCRSLIIWTAIIAAYASHGDVDAALGYFHEMLYNGIQPDPVTFTALLSAFAHSGMVDLAQRIFDAMLVDYGIPPSVEHYACMVGVLSRAGRLSEATEFISKMPIEPSAKVWGALLHGASVCGDVELGKLICDHLFEIEPENTGNYIIMANLYSQAGRWKEADMIRDKMMNVGLKKISGTSWIETSVGLQSFIAKDRSSERTEEIYSLLEGLLGLMKEEGYTLHDKFDEESVYDH >KJB38314 pep chromosome:Graimondii2_0_v6:6:49294638:49295210:1 gene:B456_006G248200 transcript:KJB38314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSASVALAPSSFDTQSFSFSKPQEDQIFSILPIDVNPKLQKICGKTDYPIECLTSIIPFLDENVDMIPMSILKAEIDAIHNKTKEVLDKTYELSMNPPTSRLLPLCLKTCINNYNAILESKQRILDAISMGDANELSMELSHNMEHVFACEEEFKEAKIESPMAELNSLLVKIITNSLTIDVDMTSF >KJB35909 pep chromosome:Graimondii2_0_v6:6:38739020:38739506:1 gene:B456_006G133000 transcript:KJB35909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPLVPPLTPPAKETNSRQDNLYSMQLNHHHPRRERQLRLDPIKQSGRLPPLHQICFSEENNMGNRHHLLLPAEKITIIPDAPKKCKQTISFMPRFTPPSFCSTKSRTVAG >KJB35443 pep chromosome:Graimondii2_0_v6:6:36322612:36324126:-1 gene:B456_006G115100 transcript:KJB35443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g05750, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05750) UniProtKB/Swiss-Prot;Acc:Q9MA50] MSLLPAITPASVTCPNPLISPPNPKTQPLSSNPNPRISLKPLESVVLWTSSISRHCRAGQLSQAAQEFTRMRLSGVEPNHITFITLLSGCAEFPSKGRDLGSLIHGYVCKLGLDKEDVMVGTSLVEMYSKGEQVEVAKLVFDAMMVKNSVSWNTMIDGYMRNREYEKAVEVFDEMPQRDVISWTALINGFAKRGFYEEALDWFREMMIFGVEPDYVTIIAVLTACANLGTLSVGLWVHRLVLKQSFRNNVRVNNSLIDMYSRCGCIEFAREVFDKMQKRSLVSWNSIIVGFAVNGFAEEALKYFDAMQKEGFKPDGVSFTGALTACSHAGLVDEGLRYFDIMKRVHKISPRIEHFGCIVDLYSRAGKLKEAWDLVRNMPMKPNEVVLGSLLAACRNYGDISLAERIVKNLVALDPGSDSNYVLLSNIYAAVGRWVGASKVRRRMKALGIQKKPGFSSIEISGCVHEFVAGDKSHRDKDSIYAMLELLSFDLMSSGYAPEIVVRE >KJB33310 pep chromosome:Graimondii2_0_v6:6:1247244:1249404:1 gene:B456_006G006200 transcript:KJB33310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVMSSSFIAFPSNFSPSNFYDIKLQQPALSIPFCSSVGHCKFPWSVTLNNHQIFRNNLKPVRDSINPSQNQSFNEEDSTISFDWVDEEEDFENVESPWEGAVMYKRNPSVTHMEYCTTLERLGLGKLSSVVSKSRASLKGLRVTKDVKDFPDGTPVQISIDVTRKKQKMRLDGIVKTVITLGCNRCGEPVAECIFSNFSLLLTENPIEEPEIIDIGATFEQGFKSSYASNRAEDDDASIDWDDRLYFPPKRKEIDISKHIRDLVHLEITINAVCDPSCKGMCLECGTNLNTCSCSCSDNVKDNGFGPLGILRKQMKKKLS >KJB34025 pep chromosome:Graimondii2_0_v6:6:13510288:13513153:1 gene:B456_006G044300 transcript:KJB34025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMINSLCGSMGSIKSENSCESLVEPKKIAPPSCDMEQNSLTPPSLNFPAVKFELDGDVEVQSPDSSIWETFFSDHFDADFMVSSPVRNLPSPQVSSYNFNNVMSMQGQSILGCSPPPFSPQLGSYSSSSGNKGKGQSPLHRVFNSPNDQFMQVESLSLPAIEEFLDDGYEDQYQTTKISGIGTSNNMFEMPTTGPSMLDCISMPNSSTFCGSASETTSSQLDQEQHYQLNCVSRAPLSQQLQQEKQQEKQISAAAAEQQQHQNLGHTLMVPIPIGPEQEQDSGLQLVHLLLACAEAVAKEDYLSARKYIHHLNRVVTPLGDSMQRVASCFTEALSARLAATMTTSPSTSSSPKPYSPFPSNSLEVLKIYQIVYQACPYIKFAHFTANQAIFEAFETEERVHVIDLDILQGYQWPAFMQALAARPSGAPFLRITGVGPSMEAIKETGRSLTELAHSLHIPFEFHPIGEKLEDIKPHMFNRRVGEALAVNAVNTLHRVPGNFLGNLLAMIRNQAPNIVTLVEQEASHNGPYFLGRFLEALHYYSAIFDSLDATFPPDSAQRAKVEQYIFAPEIRNIVACEGSERIERHERLEKWRKLMEGKGFKGVPLSANAVTQSKILLGLYSCDGYRLTEDKGCLLLGWQDRAILAASAWRC >KJB33968 pep chromosome:Graimondii2_0_v6:6:11504254:11507958:-1 gene:B456_006G041200 transcript:KJB33968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWLSLSKFLSSFLPSIPPPPPPPPPKAPLLNNLSLSSQKPKSTLLSLSAQEDQSPSSSTELSSVICPSLAYSNTLFFKSAYNVQVIVDDNEPEEKLLNRFRREVMKAGVIQECKRRRFHENKQDEKKRKSREAAKRNRRRRPQARYSQLSKQEESSKKDEDDEDNWDMPDADFPY >KJB33472 pep chromosome:Graimondii2_0_v6:6:2626586:2628068:-1 gene:B456_006G012400 transcript:KJB33472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPMIDLSYFVLWHFLITVLESPKILHALEPMEIPNLIASNPARASSANGYATSTWSTDLDAKISPSTSRTINPEADLKYCWSKAASKLDVFFVKPV >KJB36674 pep chromosome:Graimondii2_0_v6:6:42997981:42999886:-1 gene:B456_006G170300 transcript:KJB36674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGTGHGPFYFELNTGAKMPSVGLGTWKASPGVVGEAVVTAVKAGYRHIDCAHVYDNEKEIGLALKGLFSSGVVKRKELFITSKLWCCDHAPEDVPKAMIRTLEDLQLDYVDLYLIHWPFRKKPGMRGWDPEMMAPLCLPETWAAMESLYKSGKARAIGVSNFSTKKLQDLLKYAKVPPAVNQVECHPVWQQPGLHNLCMSTGVHLSAYSPLGSPGSWIKGEVLKEPILVEIAEKLNKSPAQVALRWGIQSGHSVLPKSVNESRIEQNLSLYDWSIPPEIFAKFSSIHQQRLLRGEFALHPTCSPYKSLEELWDGEI >KJB38099 pep chromosome:Graimondii2_0_v6:6:48447347:48451573:1 gene:B456_006G236900 transcript:KJB38099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKLSSVAKNFTPSPIQELSQLAQRCNAINLAEGFPDFPAPPCIKEAAVSAINNDFNQYRHVQGICDQLALKMKKTHGLDVNPLTDIAICCGQSEAFAAAIFSIINQGDEVLLFDPCYETYEGCITLAGGIPVYVALEPPQWTLDPEKLMRSFTSRTKAIVLNSPHNPTGKVFSRDELEIIAEGCRRWDCVAITDEVYEYITYDNQKHETIAKLPGMQERTIITSSLSKTFSVTGWRIGWAIAPASAASAIQNIHIRITDSAPAPFQEAALTALRSPIEYFETLRGEYELKRDFCMKLLGSVGFRIQFKPQGSLFLFAELPKDCLLSDVEYVEELIQQAGVVVVPGRGFFHGNESCKYQKRYIRVAFCKSGATLNAAAQKFGELINAKACPKILYQRK >KJB38100 pep chromosome:Graimondii2_0_v6:6:48448046:48451412:1 gene:B456_006G236900 transcript:KJB38100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKLSSVAKNFTPSPIQELSQLAQRCNAINLAEGFPDFPAPPCIKEAAVSAINNDFNQYRHVQGICDQLALKMKKTHGLDVNPLTDIAICCGQSEAFAAAIFSIINQGDEVLLFDPCYETYEGCITLAGGIPVYVALEPPQWTLDPEKLMRSFTSRTKAIVLNSPHNPTGKVFSRDELEIIAEGCRRWDCVAITDEVYEYITYDNQKHETIAKLPGMQERTIITSSLSKTFSVTGWRIGWAIAPASAASAIQNIHIRITDSAPAPFQEAALTALRSPIEYFETLRGVG >KJB36730 pep chromosome:Graimondii2_0_v6:6:43256434:43256931:1 gene:B456_006G173600 transcript:KJB36730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRVSKPRTPPMTVKRSTLLQRENDPPHLNSKGETSTHCKRVITVGKQAITRKLDHQRLTTIHVPQPKGGVQSLPTVHPQPLNNVEAGATPLNITEDEKKKEKMGRSRGTRTDA >KJB38316 pep chromosome:Graimondii2_0_v6:6:49300352:49302748:-1 gene:B456_006G248400 transcript:KJB38316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAETWILKIGNQVSSNLKHALLLEPSSKKKKNTQHNQTSKKPQTVGILSFEVANVMSKTVHFHKSLSEPEISKLKSEILKSQGISNLVSADESYLLSLALAEKLDELTKVANVVSRLGKKCNEPALQGFEHVFGDILNGVIDVRELGLLVKDMEGMVRKLERYVNLTAKLYNEMEVLNELEHGTKKFQANPHEESKRAFEQKLIWQRQDVRHLKDVSLWNQTFDKVVELLARTVCTIFARILVVFGESALGKDGVSGRVNGRFSDRVEVVSRQLKGVLSKSSSVGSSQLGNVERSVAEKRGVSLKHRGIDSRKGEIRLFRMEDSGFPCGTSPGRLLSNCLSLGSSASRYDDGDDRIVDHDDRSSQISGCCSVANDGVKRERPNRSPPFCLPRLNDRLNGDHRQSSCGVLSNAQFGPKSRLALYASPSTVGGSALALHYANVIIVIEKLLHYPHLVGEEARDDLYQMLPTSLRLSLRNNLKSYVKNLAIYDAPLAHDWKETLDGILSWLAPLAHNMIRWQSERNFEQQQIVARTNVLLLQTLYFADREKTEAAICELLVGLNYICRYEHQQNALLDCASSFDFEDCVEWQLQCENSYLN >KJB34149 pep chromosome:Graimondii2_0_v6:6:17112065:17117253:-1 gene:B456_006G050100 transcript:KJB34149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATPLLITAPTLLENGDYAPARSFQEVKSVFWIETVKMWKIAAPIAFQIICQYGTNSFTNIFVGHIGNVELSAVTLSLTVIGTFSFGFMLGMGSALETLCGQAFGAGQLHLLGVYMQRSWIILLASCFIILPFYIFATPILKFLGQEEDIAELAGKFTILTIPQLFSLAINFPTGKFLQAQSKVNVLAWIGFLALLLHIGLLWLFIDVFGWGTTGAAIAFDITNWEITLAQVAYVIFWCDEVWHGFSWLAFKEIWAFVRLSIASAVMLCLEIWYMMSMILLVGHLDNAIIAVGSLSICMNLNGWEAMLFIGINAAMSVRVSNELGWGHPRAAKYSVYVTVLQSLFIGLFCMVLLVITRDHFAVIFTSSEELQRAVAHLAYLLGVTMVLNSVQPVISGVAVGGGWQSLVAYINLGCYYAFGLPLGYLLGYIADLGVMGLWGGMIAGTALQTLLLLIVLSRINWNKEVEQTTERMRKWGGQDTNTDGMNSSV >KJB34197 pep chromosome:Graimondii2_0_v6:6:19043153:19047930:1 gene:B456_006G052900 transcript:KJB34197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELVGSVRRRSFVFRSSTSSDDAGGGLGGFVEKIGASIRRSRIGLFAKPPAPPALPSVKKRDAPTIRWRKGELIGCGAFGRVYMGMNLDSGELLAVKQVLIAANASKEKTQAHIRELEEEVKLLQNLSHPNIVRYLGTAREDDSLNILLEFVPGGSISSLLGKFGSFPESVIRMYTKQLLLGLEYLHENRIVHRDIKGANILVDNKGCIKLADFGASKKVVELATINGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPEHLSPEAKDLLLKCLQKEPGLRPSASDLLQHPFVTGDYQEPQAVLRRSIMEPENLEMASGVNLRSSINSEIRSTCTGLKDVCEMGSVSCSTAFLGKFSEPGAYWRGSNCDNSMCEIDDKDDLEFNASVKFSSVLSSADLNKSFNPMCEPTEDWPPKLDQSSELSRSGVNLSLDETMEAASTPGMSGKEENGFTFLCGPTTGDDDEEVTESKIRAFLDEKALELKKLQSPLYEQFYNTLNGSLPPSVGTANGENILSLPPKSRSPKRLPSRRLSAVADAANMVSSKSRMNHLSNTAVVRDRTLQEIQPPSVEEWKGQDIISPSMSFSERQRRWKEELDQELERKREMLRMISSPKDKFLFGQREQIRSPFPGK >KJB37545 pep chromosome:Graimondii2_0_v6:6:46460274:46466749:-1 gene:B456_006G209500 transcript:KJB37545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGCSKLSACCWTLDQNASIPEAANVENEGKGEVDGLPAFREYSIDTLKMATSGFSVENIVSEHGEKAPNVVYKGKLENQRWIAVKRFNRFAWPDARQFLEEARAVGQLRNHRLANLLGCCCEGDERLLVAEFMTNDTLAKHLFHWEAQPMKWAMRLRVALHLAEALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPKSLVSAIIPLQRDAEVPSHVLMGIRAGADAVPLSPLGESCVRMDLTAIHEVLENLGYKDDEGAATELSFQMWTNQMQETLTSKKKGDVAFRHKDFTAAIECYSQFIDVGTMVSPTVYARRSLSYLMSDMPQEALNDAMQAQIVSPVWHIASYLQAAALFALGKNDEAQTSLREGTELENKKNATS >KJB36274 pep chromosome:Graimondii2_0_v6:6:40849783:40853065:1 gene:B456_006G149700 transcript:KJB36274 gene_biotype:protein_coding transcript_biotype:protein_coding description:ECO1 [Source:Projected from Arabidopsis thaliana (AT4G31400) UniProtKB/TrEMBL;Acc:A0A178UZG9] MQSKINSFFKPPSSLSVERSNSPPVFSDDENDELATWEKSQHTIVNTYTRRPSNFNGNDKKKESGDDKFDKPISETRVSREECGSNGRNLNKKRSYAQFHLELGQSDFLLHACLICGVKYSPGDEADERNHSIFHKNFTLGVQFKGWRNERVVHVPNVERSRVILVLDSDPLAQRNKVQEVVKMMETELGGGWIFHKLCKVYLFISSQRIAGCLVAEPIKEAFEVLSYPVGERQDGAIAKRRRSNPSKLQFGEIVLEREVIKRAPSEVLHENHTGVILCKKEAVHAVCGIRAIWVTPSNRRKGIATQLLEAVRKSFSKGYVTEKSQLAFSQPSSDGQALASNYIGTRSFLVYKTGNLCR >KJB36275 pep chromosome:Graimondii2_0_v6:6:40850037:40853065:1 gene:B456_006G149700 transcript:KJB36275 gene_biotype:protein_coding transcript_biotype:protein_coding description:ECO1 [Source:Projected from Arabidopsis thaliana (AT4G31400) UniProtKB/TrEMBL;Acc:A0A178UZG9] MQSKINSFFKPPSSLSVERSNSPPVFSDDENDELATWEKSQHTIVNTYTRRPSNFNGNDKKKESGDDKFDKPISETRVSREECGSNGRNLNKKRSYAQFHLELGQSDFLLHACLICGVKYSPGDEADERNHSIFHKNFTLGVQFKGWRNERVVHVPNVERSRVILVLDSDPLAQRNKVQEVVKMMETELGGGWIFHKLCKVYLFISSQRIAGCLVAEPIKEAFEVLSYPVGERQDGAIAKRRRSNPSKLQFGEIVLEREVIKRAPSEVLHENHTGVILCKKEAVHAVCGIRAIWVTPSNRRKGIATQLLEAVRKSFSKGYVTEKSQLAFSQPSSDGQALASNYIGTRSFLVYKTGNLCR >KJB34627 pep chromosome:Graimondii2_0_v6:6:29882695:29891324:1 gene:B456_006G076000 transcript:KJB34627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSTGHKHKHLELTLAAVSELSSSSSVSSSSSTPVIARFSADDGVAALRFHQGSEFIDGLNVDLGTSQLFKLGPLQSLCVSESADTNKEKSYSRGVSIQFRNEEESRDFHSAFEQWQMESKGTHLPNGDISVSKSKFDNKIESSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRVDFTGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMADYARKLITGNPTLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYIIARDRFLVPNGKMFPSTGRIHMAPFSDEYLFVEIANKALFWQQQDYYGVDLTPLYGSAFQGYFSQPVVDAFDPRLLVCPPMCHVLSFNEIKEEDLYEIDIPLKFTASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQIRCVLSQPIYVMAGQEITGRLHMIAHNAQSYTIYLALSAKMWGPGAEQGGIIQTSSCKLDLKEPYYRMSQPQPYTTAQDQQPHQLLQAQDMPPIHTEDLDLEELELLQQPSDL >KJB34628 pep chromosome:Graimondii2_0_v6:6:29882878:29890478:1 gene:B456_006G076000 transcript:KJB34628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSTGHKHKHLELTLAAVSELSSSSSVSSSSSTPVIARFSADDGVAALRFHQGSEFIDGLNVDLGTSQLFKLGPLQSLCVSESADTNKEKSYSRGVSIQFRNEEESRDFHSAFEQWQMESKGTHLPNGDISVSKSKFDNKIESSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRVDFTGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMADYARKLITGNPTLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYIIARDRFLVPNGKMFPSTGRIHMAPFSDEYLFVEIANKALFWQQQDYYGVDLTPLYGSAFQGYFSQPVVDAFDPRLLVCPPMCHVLSFNEIKEEDLYEIDIPLKFTASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQIRCVLSQPIYVMAGQEITGRLHMIAHNAQSYTIYLALSAKMWGPGAEQGGIIQTSSCKLDLKEPYYRMSQPQPYTTAQDQQPHQLLQAQVPA >KJB35333 pep chromosome:Graimondii2_0_v6:6:35379349:35379820:-1 gene:B456_006G109500 transcript:KJB35333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSPNPPQPPPSQSSTSSTPRMAPTRNLTPLPSLVRAGLKKNTTSSSKLLNYNFFFFFFLYIYIY >KJB34861 pep chromosome:Graimondii2_0_v6:6:32153042:32157339:-1 gene:B456_006G087300 transcript:KJB34861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSLGIRSGSYGSLDKQGLNGVLPIQAASATRTKAYSKMLKEKETLIHWICKFAGRKKVGMLLLCVISAAVFIWVVYFGEDQENDNGSKVNDNLALNNPLINVVQSIRIDNWTSLAVSVKETKVGVMHPPPPSYFLGYTLPPGHPCNTFTLPPPPADKKRTGPRPCPVCYLPVEEAVALMPKVPSFSPVIKNLTYIFEENLNRETEFGGSDFGGYPTLKQRNDSYDIRESMNMHCGFVKGCKPGRGTGFDIYDSDLLEMEQCNGVVVASAIFGAFDVIQQPRNISEYSKQTVCFYMFVDEETEADLKGKYENNKIGVWRIVLVRDLPYTDGRRNGKIPKLLLHRLFPNARYSLWIDGKLELVADPYQILERFLWRKNATLAISRHYKRFDVFVEAEANKAAGKYDNASIDHQVEFYKREGLTPYSEAKHPITSDVPEGCVIIREHIPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIAAKTNWTVNMFLDCERRNFVIQKYHKDVLALLAQRAPPVIYPSQRKVPPRRRRDRKSGARHHRKVSKEIV >KJB34860 pep chromosome:Graimondii2_0_v6:6:32153042:32157077:-1 gene:B456_006G087300 transcript:KJB34860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSLGIRSGSYGSLDKQGLNGVLPIQAASATRTKAYSKMLKEKETLIHWICKFAGRKKVGMLLLCVISAAVFIWVVYFGEDQENDNGSKVNDNLALNNPLINVVQSIRIDNWTSLAVSVKETKVGVMHPPPPSYFLGYTLPPGHPCNTFTLPPPPADKKRTGPRPCPVCYLPVEEAVALMPKVPSFSPVIKNLTYIFEENLNRETEFGGSDFGGYPTLKQRNDSYDIRESMNMHCGFVKGCKPGRGTGFDIYDSDLLEMEQCNGVVVASAIFGAFDVIQQPRNISEYSKQTVCFYMFVDEETEADLKGKYENNKIGVWRIVLVRDLPYTDGRRNGKIPKLLLHRLFPNARYSLWIDGKLELVADPYQILERFLWRKNATLAISRHYKRFDVFVEAEANKAAGKYDNASIDHQVEFYKREGLTPYSEAKHPITSDVPEGCVIIREHIPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIAAKTNWTVNMFLDCERRNFVIQVFYFSVLLHSSLRNMLNEGISFFG >KJB34538 pep chromosome:Graimondii2_0_v6:6:28736652:28736976:1 gene:B456_006G071300 transcript:KJB34538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFVSIEHRVLATKKGPRISVASFFRTQLPPENTSRLYGPIKELASQENPPLYKETTMKDFVSNYCSKAIHCKSLQYLRL >KJB35274 pep chromosome:Graimondii2_0_v6:6:35079328:35081282:-1 gene:B456_006G107600 transcript:KJB35274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHKLYPSFSLSVSPATNLRRPLNNGRVNASLNMDVEAPNPLKLKSNGSNEVIEKDAKFIVATYARAPVVLSSGKGCKLYDPEGREFLDCAAGIAVNALGHGDPDWLRAVTEQASILTHAELAERLVGNSFADRVFFTNSGTEANEAAIKFSRKFQRFIHPDNKQPATEFISFTNSFHGRTMGALALTSKEHYRSPFEPVMPGVTFLEYGNIQAAIDLIRNGMIAAVFVEPVQGEGGIYSATKEFLQALRSACDDAGSLLVFDEVQCGLGRTGYLWAHEAYGVFPDMMTLAKPLAGGLPIGATLVTERVASAIAHGDHGSTFAGSPFVCSAAISVFNKIANPSFLSSVSKKGDYMKELLNQKLGGNPHVKEIRGWGLMIGIELDVTASPLVDACRNSGLLVLTAGKGNVVRLVPPLIISEEELKHAAEILHECLPALDNSN >KJB35273 pep chromosome:Graimondii2_0_v6:6:35079052:35081404:-1 gene:B456_006G107600 transcript:KJB35273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHKLYPSFSLSVSPATNLRRPLNNGRVNASLNMDVEAPNPLKLKSNGSNEVIEKDAKFIVATYARAPVVLSSGKGCKLYDPEGREFLDCAAGIAVNALGHGDPDWLRAVTEQASILTHVSNAYYSSPQAELAERLVGNSFADRVFFTNSGTEANEAAIKFSRKFQRFIHPDNKQPATEFISFTNSFHGRTMGALALTSKEHYRSPFEPVMPGVTFLEYGNIQAAIDLIRNGMIAAVFVEPVQGEGGIYSATKEFLQALRSACDDAGSLLVFDEVQCGLGRTGYLWAHEAYGVFPDMMTLAKPLAGGLPIGATLVTERVASAIAHGDHGSTFAGSPFVCSAAISVFNKIANPSFLSSVSKKGDYMKELLNQKLGGNPHVKEIRGWGLMIGIELDVTASPLVDACRNSGLLVLTAGKGNVVRLVPPLIISEEELKHAAEILHECLPALDNSN >KJB34543 pep chromosome:Graimondii2_0_v6:6:28919156:28919809:1 gene:B456_006G071800 transcript:KJB34543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLITNDKFVSIEHRVLANKKDPRISVASFFRTQLPPENTSRLYGPIKELTSQENPPLYKETTMKDFVSNYYSKGIHCKSLQYLRL >KJB38628 pep chromosome:Graimondii2_0_v6:6:50318345:50318850:1 gene:B456_006G263900 transcript:KJB38628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENAGTSGTTSNNGNSVGFKEQDQLLPIANVGRIMKQILPPNAKISKEAKETMQECASEFISFVTGETSEKCKKERRKTVNGDDICWALATLGLDDYAVPLKRYLLRYRELEGEHKPAANHDKVAIVDNCNVEDGDNMGPLI >KJB34350 pep chromosome:Graimondii2_0_v6:6:22297540:22298727:1 gene:B456_006G061200 transcript:KJB34350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCTESCTIRPCLQWIPNAQSQANATLFLAKFYGRAGLINLIGAGPQNLRPGVFKSLLYEACGRIVDPAHGSVGLMCSGNWHLCEAAVDAVLNGSPIKQVPSELSASKCPQLKSSFDIRHVSKDKKSDDGLRKVKSQGRFKRSASKPKPKLEEVVFEPVSKGGDSWEGECLSVETVEGSLAKGDELGNGSDLELELTLGLEPRTKKRGNNVKGGGDATCGGRVEGLC >KJB33552 pep chromosome:Graimondii2_0_v6:6:3932619:3932921:1 gene:B456_006G017100 transcript:KJB33552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLKVLLMQLRYFSKYIQPTPIILPINILEDFTNPLSVSFQLFGNVLVDELIVVFPVSLVPSVVPILIMFLGLFTSGIQALIFATLVAVYVGEFMEGHH >KJB35212 pep chromosome:Graimondii2_0_v6:6:34705624:34706524:-1 gene:B456_006G104600 transcript:KJB35212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKADKAPAEKKPRAEKKLPKEAGDKKKKRSKKSIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KJB37227 pep chromosome:Graimondii2_0_v6:6:45132073:45135081:1 gene:B456_006G194600 transcript:KJB37227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQALVILFYNLSSSSLLLLLFLYYSSILLAKFFYFIGSYSLLQRNRNGYDYSMFSEEEEEEEEEEEEDYCVERYNYKANCMENDHLVADIIRGGESLIFLPDSSFQRPRNDVHDDQLITSEDQEYASCYTEQSPSSDSEHHHDDDDTDLFDHEEIPTKDGDSLDNFDDGPTPIVSPMDKSDVDNDEDGDENFCNQKIKPRVQTDFSSDKFFVIGPTVESKKLVGEEQDDNEPIYGDSYTIGSTSKSSSEWRSSINCRDSGTEDPFSSSSRRSCPKWESYTVFQKYDEEMMFLDRISAQKLQETESLRSIQACPRSISERIVHKFATMNKKPSDIRQNPYHELESAYVAQICLTWEALNWNYKNFEHKRASRKDFDCPAIIAQQFQQFQVLLQRYIENEPYEQGRRPEVYARMRLLAPKLLLVPEYRDYEEDERDEGFGPRISAETFVVIMEDGIQTFMNFLKADKEKPCQLIKKFFGMKRRGSVDPTLLQLMKKVNAKKRMKLKDLKRAKKCIRRRKVKVEKEMDILMGLIDLKVVSRVLRMTDLTEQQLHWCEEKMSKVRISEGQLHRDSSTLFFPAH >KJB33441 pep chromosome:Graimondii2_0_v6:6:2923683:2924789:-1 gene:B456_006G013600 transcript:KJB33441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRKVVTDMATEDETMGWDEMVKQAAAATAGLSGIRRARKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPCSSSSMNSTPALSSKISNRLLQRIQAKNNSCFPLPTPSPPPVSVSVNACVSQQPLLQEVEDDNNHGFLDVLEDFSMSNDELFNATSVESCLTDNEDCSKRELDWSSPSNDDDLGDEGTLDFQFVDEIGFGPSYSCSPFQLAEELEAPTMMAEILADEHSMISYERKFSASLYAFNGIPELLKRKLGSEAAMAERRSEQLSALRNACKNNNKREKQDDLGTKQEESPQSSFDSSSSMDMVEPSSSGNHDGESLWSSLDLPPIIALLTS >KJB33817 pep chromosome:Graimondii2_0_v6:6:8328124:8330597:-1 gene:B456_006G032200 transcript:KJB33817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTALKNLFLTNPSKSFNSYIQKCVSLLKIFSDKGLVAGGTVFHCHLLKNGVLSERCIAIKLLIMYLEFRKLSEANQIVKDFNGFDLVVQNCLIKANIQWGNLSEARKMFDEMPERNDITWTLLISGLMKYGRLEESMWYFERNPFKSVVSWTAAITGFVKNGSSFHGLKLFVRLLESGVKPNQVTFSSIVSACIEIGDFDLGMSVLGLIIKTGFENNVSVSNSLITLCLRMHEFDQARRVFDRMETRDVVSWTAILDMYVEMGELEEARRIFDEMPQRNEVSWSAIIARYSQYGDHIEALKLFRNMVREGFKPTISCFSSILCALASLENLQAGKNLHAHVMKIGIEGDLFIACSLVDLYCKCGETKDGRLVFDSIKNKNVVLWNSMIGGYSTNGQMDEAKYLFDNMPTRNNISWGAFIRGYVEYKQFDMVFEVFNEMLLSGETPTKPTFSSVLCACASLTSLEKGRDIHGKILKLGFQYDVYLGTALTDMYAKCGDIESSKQVFDRMSERNEFSWTVMIQGLAESGFAKESLALFEEMKRNSSVAPNELMLLSVLFACSHCGFVDKGLKFFGAMKLEYGIRPKGRHYTCVVDMLSRSGRLSEAEELINSMPFQPEANALAALISGCKTYRNEEIAERTARKLVELAEKNSAGFILLSNIYASAGRWIDVSNMRKLMREKGVKKSVGFSWIEVRSHLHSFYSEDGTHLKSAEIHDILELLRLEMTDPKHFPTF >KJB36254 pep chromosome:Graimondii2_0_v6:6:40916268:40917177:-1 gene:B456_006G150300 transcript:KJB36254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPSKLGIALIVIFATCLLALFLELVYVLWSKRRFRQRIIVSGGARSLDSEFSDSPFYSAAPSKELLYFFCWKNQPARVEPSSGVVSPSPTEAATAPDSEAAGTDDDDELAKWQALYGQSRVLYTITEEEREGNDSVENSADQSEAKTQKRACFSGGTESAGDVETPFSTPCASPPYFTPSPSPDRDFGVLIFSPGIDEVSSPENDVLADGKLGFVSLRIEG >KJB34317 pep chromosome:Graimondii2_0_v6:6:21700582:21705562:1 gene:B456_006G059400 transcript:KJB34317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFFLSPSSFSILFLLTIFQLSYARDDFHFTSCAPFDCGNLVNISYPFWTHQYNRPSYCGYGNEGYKLKCRQNQPPVMTLSSQEFYVLHLNRSHGLLTIKRVELNNTCPQPILMNNAFNYTETAENITLFYDCRSRGGPNHRFSCRKGGKETSLMFFKEDENECIGNSEQVEIPIGKKAFDDLIGGISTVNESLVQPFDIRYFAYDPYCKHCKDSGGRCGYNQTETSAFVCYCRDKPHLLKCNQGRHGSNLAKKLAIGFGAAAGGIIISAIAFYFWLRHRRGKEFFKSSYAWSKSFSDRSFMMDPEKVKSLAGVHLFTYKELGEATNNFDSNKELGDGGFGTVYYGKLRDGRAVAIKRLYENNYKRVEQFMNEVWILTKLHHRNLVSLYGCTSRHSRELLLVYEYVSNGTVADHLHGQRAKPGALSWSIRLDIAIETADALSYLHASKTIHRDVKTNNILLDNNFTVKVADFGLSRLFPSNVTHVSTAPQGTPGYVDPEYHQCYQLTEKSDVFSFGVVLVELISSKPAVDITRHRHEINLSNMAINKIQNRALHELVDPSLGFESDYKVRKMITGVAEVAFQCLQNEKEMRPTMARVLEALKGIQNEDYNKAKAEEMDISADDVGLLKSGPLPHSPDSVMTKWHSSSTIQSNSTS >KJB34358 pep chromosome:Graimondii2_0_v6:6:22676746:22678505:-1 gene:B456_006G062000 transcript:KJB34358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSAKTLLIVLAIFCPFYILFYISKHGKGKGKTFPGPRPLPIIGNLHMLGMLPHQSLYHLAKKHGPIMPIMLGSVRTIVVSSPQAAEMFLKTHDDVFASRPKLQVRKSIYNGKKGIAFTEYGQYWRSVRKPCSQQLFTVSKIESFAPSRKDVLTHFIESLKKAATRKEVVNISKMVGNLIEKITLKMILGPVERYEEFNLQELIEELTNLVGVFNLADFVPFFGAFDLQGLKARTRTLGEKLDKALEMIINDHQQQKQDDFIGTMLTELNKQMNPNGDIMDRNSIKAITIDMFVASLDTSSTTLEWALSALIRHPRVMLKLQSELESIIGKRMVEENDLPKLEYLDMVVRETLRLYPVAPMLIPRESMKDIIIDGCYISKKSRVIVNVFAIGRDPNVWSNNVEEFFPERFINSNIERHGHDFELIPFGLGRRVCPGKKLGLIIVKLILAQLVHCFDWELPGGMSPNDLDMTEKFSASLPRKTNLCAKPIYRM >KJB37880 pep chromosome:Graimondii2_0_v6:6:47629341:47631194:-1 gene:B456_006G224700 transcript:KJB37880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPLLFSSLLVVSLAEMSGKIGVNYGREGDNLPSPYESIQIMKSIKVGQIKLNDSNPEILTLLSGTKIHVAVTVPNDDIIQIGSNDSMAEQWVRNNILPHYPDTMIRFVLVGDRVVNSNMDVNGTMEQSLVPAMRGIKSALTAHGVKNVKVSTTLGMDVVQTRFPPSNSTFQSDISDSVMPKLLKFVNGTKSVVFVDVYPYFAWSANPTNISLDFALFGGNITHTDPGSGLVYTNLLDQMLDSVTFAIEKLGFRNIRLAISRTGWPTAGDIDQVGANIYNAATYNRNLIRKMTSKQPLGSPKSPGLIIPTFISSLYDENRKIGPETERHWGMLHTNGTPVYEIDLTGNRKISEYKPLPPAVNNVPYKGKLWCEVAPWVNEMSLPAALSNVCSIDNETCAALAPGKDCYEPVSVVWHASYAFSSYWAKFRSQGAICSFNGLARETTVDPSRGRCNFPSVTV >KJB37437 pep chromosome:Graimondii2_0_v6:6:46021517:46024714:1 gene:B456_006G204300 transcript:KJB37437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLIERAFGTIIAAIAHSFFGYRDNKKGVSNTSYYHKKPNKGQPLSLQTVDLKVRMCCIGCERVVKRAIYKLRGVNSVEVDLKMGKVTVIGHIDRHKVLKQVRRSGKRAEFWPYPNPPLYFISSGDYFRDTTNEFKESYNYYKHGYNLGHRHGNIPVTHRGDDKISNMFNDDNVNAACCLM >KJB38395 pep chromosome:Graimondii2_0_v6:6:49620973:49625509:1 gene:B456_006G253100 transcript:KJB38395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEVVGDVASQDPDLLQLSERSPLALNSNPFLAEELFSFGFPSLKPVKNLLNDVKTGSFSNATANSAVSTATSLPSTFPSSSTPPLSPRSTSGSPRIVKQRSSPSLLGSPLKLVSEPVREVIPQFYFKNGCPPPNELKERCLFAIDQYFYGHLGLQIHEFKAVTKDICKLPSFFSTALFRKIDINDSGIVTRDQFVNYWIGGNLLTMDLATRVYTVLKKLHCRYLTQGDFEPILHKLLACHPGLEFLRSTPEFQERYAETVVYRIFYYINRSGTGHLTLRELKCGNLISAMQHVDEEEDINKVLRYFSYEHFYVIYCKFWELDTEHYFFIDKENLIRYGNHALTYRIVDRIFSQVHTCAKVKGKMGYEDFVYFILSEEDKSLEPGLEYSPYEISLIMTKLPDPPHCLFRFKCIDLDGNGVLTPNELQFFYEEQLHRMECMAQEIVLFEDVLCQIVDMIRPEKEDYISLRDMKSCKLSGHVFNILFNNNKFIAFETRDPFLIRQERENPTLTEWDRLAHREYIRLSMEEDIEDASNGSGDIWDESFEAPF >KJB38397 pep chromosome:Graimondii2_0_v6:6:49620973:49625781:1 gene:B456_006G253100 transcript:KJB38397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEVVGDVASQDPDLLQLSERSPLALNSNPFLAEELFSFGFPSLKPVKNLLNDVKTGSFSNATANSAVSTATSLPSTFPSSSTPPLSPRSTSGSPRIVKQRSSPSLLGSPLKLVSEPVREVIPQFYFKNGCPPPNELKERCLFAIDQYFYGHLGLQIHEFKAVTKDICKLPSFFSTALFRKIDINDSGIVTRDQFVNYWIGGNLLTMDLATRVYTVLKKLHCRYLTQGDFEPILHKLLACHPGLEFLRSTPEFQERYAETVVYRIFYYINRSGTGHLTLRELKCGNLISAMQHVDEEEDINKVLRYFSYEHFYVIYCKFWELDTEHYFFIDKENLIRYGNHALTYRIVDRIFSQVPRLRGKWVMKILFTLYCQRKINHWNLVWNTV >KJB38396 pep chromosome:Graimondii2_0_v6:6:49620973:49625701:1 gene:B456_006G253100 transcript:KJB38396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEVVGDVASQDPDLLQLSERSPLALNSNPFLAEELFSFGFPSLKPVKNLLNDVKTGSFSNATANSAVSTATSLPSTFPSSSTPPLSPRSTSGSPRIVKQRSSPSLLGSPLKLVSEPVREVIPQFYFKNGCPPPNELKERCLFAIDQYFYGHLGLQIHEFKAVTKDICKLPSFFSTALFRKIDINDSGIVTRDQFVNYWIGGNLLTMDLATRVYTVLKKLHCRYLTQGDFEPILHKLLACHPGLEFLRSTPEFQERYAETVVYRIFYYINRSGTGHLTLRELKCGNLISAMQHVDEEEDINKVLRYFSYEHFYVIYCKFWELDTEHYFFIDKENLIRYGNHALTYRIVDRIFSQVPRLRGKWVMKILFTLYCQRKINHWNLVWNTV >KJB38057 pep chromosome:Graimondii2_0_v6:6:48327169:48328132:1 gene:B456_006G235100 transcript:KJB38057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGVLKLVSMILIVCMAVMGAPKAAKAAITCSDVVSHLIPCLSYVQNGGTPAAACCSGVKTLYGQAQTSPDRQDVCKCIKSAVNGMPYTGYNLNLAAGLPAKCGLHLPYNISPSTDCNKVQ >KJB33581 pep chromosome:Graimondii2_0_v6:6:4927530:4933607:-1 gene:B456_006G019600 transcript:KJB33581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFLLCPILQAAEFQEPTCGKEVCGNITITSPFGIHSRCYTHPSFSVTCNQTLNGTKPFINVNGIDLEVLGKALYSNAILINYPVTYINCNRINEASVRVNLSGTPFFFSSDMNYFGSVGCGNLATILRSEADSLGGCSQPRCDDGASESGCGCLQPSCRINNETSSTNGCLVKIPQGLNSFYVNMSSSDYRRKRSCGFASMISIDYDLKFGISNKAYVPTRLQWGTPILGECHLNDSSNTYCCSTSVGTLFLLLATWSMYKVLKRKQKIMLKQKYFKRNGGLLLQQHLSSNEGNVEKIKLFTSKEMEKATNHYNENRILGQGGQGTVYKGMLIDGSIVAIKKSKMVEGKKFDEKKVEQFINEVIILSQINHRNVVKLLGCCLEAEVPLLVYEFIPNGTLYDLIHNQNEELPLTWEMRLRIAIEIANALFYLHSAASAPIYHRDIKSSNILLDDKYRAKLLTGQKPISAEQSEPVRSLVSYFLDSMQENSLFNILDPMVVKDGPEQEIIVVALLAKRCLNLNGKKRPTMKQVAMELELIKASGGNIIEDHGDEEYEIDDIIHSWESNPSSSMPRTITSNSETFPLNSSF >KJB33886 pep chromosome:Graimondii2_0_v6:6:9953843:9955534:-1 gene:B456_006G036300 transcript:KJB33886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTFSYIFHILFISLLVPNLASSSPVQDPEFVVDEVHKSINASRRNLGYLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKHAMGGKNGRIYVITDAGDDDPVNPRPGTLRHAVIQDEPLWIIFKRDMVITLKQELLMNSFKTIDGRGASVHISGGPCITIHYVSNIIIHGIHIHDCKPGGSTIIRDSPHHAGHWTPSDGDGVSIFGSKNIWVDHCSLSNCHDGLIDVIHGSTAVTISNNYMTHHDKVMLLGHSDSYMQDKNMQVTVAFNHFGEGLIQRMPRCRLGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFLAPNDRSKKEVTKHENAPESEWKRWNWRSEGDLMLNGAFFRQTGASGASSTFARASSLSARPSSLVGTMTMTAGALKCRRGSHC >KJB38507 pep chromosome:Graimondii2_0_v6:6:49989001:49995300:1 gene:B456_006G258500 transcript:KJB38507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSDGASLMETDNHKKLEGEGEDEEEDPFLAFIEYAWSVISPEEDEDPSGNEEGYNGAGWSWIASRILKTCISYSSGVTAAILLSDLSQAWSEQRRVGGSKKRPEIIDQMKRKHRRAKLPNTVTIDSIYEKNFLSLSSVLEAVVVDAHVLPGTNIYMLTLGDYWSSNTIDLYLHRRYYDLVDPPNGILKKAREIFLTGCYLRTAKEGCGSPRLLPTEYLVILLDEDLDDDAILIGAQFCSDSFSSISHAGVKNGVSYSLYARIESITSLEILEQCGGLQRKQITLVDNDGVKLRFLLWNEQVILANLFSVGSMLALDRPYIAIAAESALETSDEFCLEYGTATQLYLVPFVQHEEQVCLSSTQNRTQGSKLHAAADPTQGPKVSQVSLPCDSQGSIDFSNYPFQLFVADLHGKMTGISLYGVVRDVFRERETAGVIFLLKLEDSTGSIWAKLHFSQSWSLGRVSVGHTAYISGLTCSKTKQDRFELSWCETDDGASFINLSCLPALVNSSCLHKLSRLSDLSSRRNSMHICRVWIDQVDHCHVTTRFSHAPCGHFVKEMPSGAVECSFCHCDCDSEVVMRAFYLKLTLADKNTKIFAWCTGQTAMELLQISPDEFYELSEDEQVMYPSSLENERFIVALVNCKRQAVHGSRDSQTPEADAVSWEITRALKCE >KJB38506 pep chromosome:Graimondii2_0_v6:6:49989240:49994662:1 gene:B456_006G258500 transcript:KJB38506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSDGASLMETDNHKKLEGEGEDEEEDPFLAFIEYAWSVISPEEDEDPSGNEEGYNGAGWSWIASRILKTCISYSSGVTAAILLSDLSQAWSEQRRVGGSKKRPEIIDQMKRKHRRAKLPNTVTIDSIYEKNFLSLSSVLEAVVVDAHVLPGTNIYMLTLGDYWSSNTIDLYLHRRYYDLVDPPNGILKKAREIFLTGCYLRTAKEGCGSPRLLPTEYLVILLDEDLDDDAILIGAQFCSDSFSSISHAGVKNGVSYSLYARIESITSLEILEQCGGLQRKQITLVDNDGVKLRFLLWNEQVILANLFSVGSMLALDRPYIAIAAESALETSDEFCLEYGTATQLYLVPFVQHEEQVCLSSTQNRTQGSKLHAAADPTQGPKVSQVSLPCDSQGSIDFSNYPFQLFVADLHGKMTGISLYGVVRDVFRERETAGVIFLLKLEDSTGSIWAKLHFSQSWSLGRVSVGHTAYISGLTCSKTKQDRFELSWCETDDGASFINLSCLPALVNSSCLHKLSRLSDLSSRRNSMHICRVWIDQVDHCHVTTRFSHAPCGHFVKEMPSGAVECSFCHCDCDSEVVMRAFYLKLTLADKNTKIFAWCTGQTAMELLQISPDEFYELSEDEQVMYPSSLENERFIVALVNCKRQAVHGSRDSQTPEADAVSWEITRALKCE >KJB38849 pep chromosome:Graimondii2_0_v6:6:25053378:25053410:1 gene:B456_006G0661002 transcript:KJB38849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLFLHYNCK >KJB37312 pep chromosome:Graimondii2_0_v6:6:45651706:45651864:-1 gene:B456_006G199300 transcript:KJB37312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCKGCGKLGRMMPRDGPVNAFHSVLLCSPVVSVWDCIIRKMRYLYRPEWV >KJB36286 pep chromosome:Graimondii2_0_v6:6:40967199:40969527:-1 gene:B456_006G150600 transcript:KJB36286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPFIFFLSFFIISTTLTSAGAAAATIKLSLSPFPHPSSSHPYQILNNLVTSSVARAHHLKHPKAKADNTTSSLLRASLFPHSYGGYTISLKFGTPPQTLPFIMDTGSSLSWFPCTSRYLCSQCAFPNVDPAKIPTFAPKRSSSKKLVGCRNPKCSWLFGPDVASRCQDCEPTSENCTQTCPPYLIQYGLGSTAGLLLVENLVFPQKTFQDFLVGCSILSNRQPAGIAGFGRSAESLPSQLGLKKFSYCLVSRRFDDTGVSSNMLLETGSGSGDAKTPGLSYTPFYRNQVASNPNFKEFYYVTLRKILVGDKHVKVPYSYLVPGSDGNGGTIVDSGSTFTFMDRPVFEVVSKEFEKQMGNYRRAREIENISGLAPCFNISGYTSIKFPELSFQFKGGAKMALPLVNYFSFVGDDKVACLMIVSDNVVGQGSHGGPAIILGSFQQQNYYIEFDMANNRFGWAERRCA >KJB38603 pep chromosome:Graimondii2_0_v6:6:50257568:50261852:-1 gene:B456_006G262700 transcript:KJB38603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLAGQKHKHLEFTLVAVSELSSSSVPPSSTPVIARFSVDSGVAELRFRQDSGFIDGFNVNLGTAQLFKLGPLKSLCISGSSDSNKEKSYARGVTILFRNEEESRDFHSAFEQWQNEDVTQGTHLPNGAISDVKSKFDNKIESSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFTGKVVVDVGAGSGILALFAAQAGAKHVYAVEASEMAEYARKLIAGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYIIARDRFLVPKGKMFPSVGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTALHGSAFQGYFSQPVVDAFDPRLLVSPPMSHVIDFNEAKEEDLYEIDIPLKFLASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQIRCVLSQPIYVMAGQEITGRLHMVAHNAQSYTIYVTLSAKMWGPGAEQGGIIQSSSCKLDLKEPYYRMSQPQPYTTAQDQQPHQLLQPQDIPIHTDDLEEPKLLQQPLENSGAQLQ >KJB33844 pep chromosome:Graimondii2_0_v6:6:8895739:8899922:1 gene:B456_006G033800 transcript:KJB33844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMIRFIFWLFSTVSLTAVIGTASHSSSGPHIADVNILLPPKMTNPVEYRLQGSDGCFKWSWDHHEILSVLPEYNVTNHCSTSARLRSIAPYSGRKETAVYARDVHSGTVIRCKVFIDNFDRIQIFHNSIKLDLDGLATLRVRAFDTEDNVFSSLVGLQFMWKLMPKTSGLSHHLAHVPLKDSPLSDCGGLCGDLDIQIKLEEKGVFSDLFVVKGIHIGHENVSVQLLEPPLKGMGDKIVLTVAEAMSLYPPSPVFVLIKATLHYSLKVIRENVPQEVSLPSPHHRWSVTNSSVAEMDSTMGVIHALTLGETTVIVEDTRVDGHSQMSSLKVVLPDTLSMYISLFSASGDPIEGMEPIPPMARWYVVSGKQYLIQLKVFSRGPYAQEIYITENDDIEFYDNQSGYWKIVPVLEPIASRYGWRSARILEATSEGLGKLTASLVYYNGHHDTKEVLKVMQEVIVCDQVEFSLEKVTGESQIILLPWAPAVHQEMELKAAGGCAKESSDYKWFSSDITVISVTAYGVVQAKKPGKATVKVVSSFDSFNYDEWKAGSESFIVTNATGEAPVLEKEDNLELHVPVDGPPCSWTYIYASASGQAMLHATFSKEYHHIDPSLSGPIVLRATSRIASYMPLTLHQAGDGNRFGGYWVNTARIEATNQLEDRDKVYLVPGTQVDVILHGGPERWDKGVEFVQKVEIFDEEHAHDNGVDVHLISSSHGSLYRLLCQTLGTYVSFCAVSWMAFYEFQLKSILLLFVSYKYGLSIKSHDMLLSMVPNCSETCF >KJB34131 pep chromosome:Graimondii2_0_v6:6:16607575:16609974:-1 gene:B456_006G049000 transcript:KJB34131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:Projected from Arabidopsis thaliana (AT5G23860) UniProtKB/TrEMBL;Acc:A0A178UKM5] MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGDNDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPIGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEYDYEEEEDAEEDLQE >KJB37897 pep chromosome:Graimondii2_0_v6:6:47659129:47661612:1 gene:B456_006G225200 transcript:KJB37897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAVTLNLDCKITLRWQSSINSDNKKSILIQTHFPKSTRHCCCLSSLSSSPSTPRRPPDGCSSKTHTTLLVETYHHHRRLRALIEKLEKEGSCPMQILGDDGDWTKNDFWAAVKFLRHAFRSNEILQVFRMWKNIEKSRINELNYEKIIGLFCEERMVEEAVEALQEMEGYGLRPSLEIYNSIIHAYAKNGKFNDASFFLNEMKEIGLEPETDTYDGLIEAYGKYKRYDDIGACLKTMELDGCSPDHFTYNLLIREFSRGGLLQKMEQVYRVMISKKMNLQPSSLVAMLESYANFGILDKMEKVYRKVVNSSSLKEDTVRKLANVYIKNYMFSRLDDLGIDLSSRTGRNDLVWCLRLLSHACLLSRKGIDSVIQEMDEAKALWNVTIVNIILLAYLKMKDFTHLRSLLSQLPSRQVRPDLTTVGILFDAIEIGFDGAKTLETWRKMVLYRAVELNTDPLVLTAFGKGHFLRDCEEAYTSLEPKARDKKTRTYHQLIDLVIKHKAKQS >KJB37992 pep chromosome:Graimondii2_0_v6:6:48047775:48049341:-1 gene:B456_006G230700 transcript:KJB37992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTMKTSFIAIILAGMVAGMVKAQCGNTCSATECCSRFGFCGTGDDFCGVNCRGGPCVNNGVSIADIVTQEFFDGILNQAASTCVGRSFYSRGVFLDALNSFTQFARIGSVEDSRREIAAFFAHATHETGSFCHIEEDGGASKDYCDETRTDYPCNPSKGYYGRGPLQLTWNYNYGPAGSDIGFNGLDAPETVANDPLISFKAAIWFWMNNVAGVMNQGFGATIRAINGDLECNGVDPTKVQSRINYYTQYCSQLGVAPGDNLSC >KJB33970 pep chromosome:Graimondii2_0_v6:6:11556508:11556558:1 gene:B456_006G0414001 transcript:KJB33970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALCRHNYHKK >KJB35366 pep chromosome:Graimondii2_0_v6:6:35834140:35837259:-1 gene:B456_006G111400 transcript:KJB35366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGFRSYHVDEFQALNFIEWLKPSSSPTPSSSLSLSLSSSSSSSSSSSSNDQQVQIINPMAILKLPVSFQQQQQQQDGGQLGQEPIQCLPLLSRLTENKSLKGDDVGNIVKEENIEKVTVSLHIGLPNSSTGDDGDNNNNYSVAENKVFKEEEEPMKKSCFHGCSFNTESRFWIPTPAQILVGPMQFACSICSKTFNRYNNMQMHMWGHGSEYRKGPDSLKGTQPTAMLRLPCYCCAHGCKNNINHPRAKPLKDFRTLQTHYKRKHGAKPFMCRKCGKPFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGNGHSPHPSLEGFEDLDKDCITGSEDELTH >KJB36507 pep chromosome:Graimondii2_0_v6:6:42365008:42368237:1 gene:B456_006G163300 transcript:KJB36507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSVQTVAPSLTFISHQTSIKFLISRESNNLHFLIPKRWTQYSSSCFVLRFPFRSYSNFPSPQTSFPLCPRFSRSHPPLPSLFPLSFHSLPSLLTSMATSQADNYSSQPSQTQTVRAVIKGRVQGVFYRNWTIENATQLGLKGWVRNRKDGSVEALFSGSPDSVQEMEQRCRRGPPAAMVTGLEVFPSNDDPGTGFEKKPTI >KJB36508 pep chromosome:Graimondii2_0_v6:6:42363547:42368208:1 gene:B456_006G163300 transcript:KJB36508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSVQTVAPSLTFISHQTSIKFLISRESNNLHFLIPKRWTQYSSSCFVLRFPFRSYSNFPSPQTSFPLCPRFSRSHPPLPSLFPLSFHSLPSLLTSMATSQADNYSSQPSQTQTVRAVIKGRVQGVFYRNWTIENATQLGLKGWVRNRKDGSVEALFSGSPDSVQEMEQRCRRGPPAAMVTGLEVFPSNDDPGTGFEKKPTI >KJB33509 pep chromosome:Graimondii2_0_v6:6:3098760:3100185:-1 gene:B456_006G014400 transcript:KJB33509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCREGLMSPQIETKVSVGFKLVLKITPQPGVPPEEAMVTVAVEFSTGTWTTMWIDGLTSLDRYKGRCYHFEPVPGEKDQYICYVSYPLDLFEEGSVSNMFTSIVGNVFGFKALHAICLEDLRIPTAYIITFQGPPHGIQVERDRLNKYGRPLLGCTIKPKLGLSTKNYGRAVYECLCGRLDFTKDDKNVNPQPFMCWRDHFLFSKALRMSGGDRIHAATVISKLEGERNINLGFVDLLRDDFIEKDRSCDDSVLQFGGRTLGHHWGNTPGAVAN >KJB33685 pep chromosome:Graimondii2_0_v6:6:6762495:6764967:1 gene:B456_006G026300 transcript:KJB33685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFEMPMLLWRNLLGEELGLLNSKELEHQLEPSLKHVRSTKTSQAMASALNVVQTSHSYGDLMLLMKAAELQQVPWSYMVEMARIESGS >KJB34805 pep chromosome:Graimondii2_0_v6:6:31871725:31872282:-1 gene:B456_006G084800 transcript:KJB34805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFDGRVHLMLFLWLQIRGIILNDPSSGLILFDIGVANKQLSISLIEDPPVCKPQQDVIGEHKVLNIISVDL >KJB33673 pep chromosome:Graimondii2_0_v6:6:6506690:6509020:1 gene:B456_006G025700 transcript:KJB33673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGVIKVFIIFTKTITVEVLLMNMADDHAVKQEENITQEGCSPEPSKTDIGRKVHKTVDLKSSSSSSSHDELETAKEENERLKMMLEQIQKNYKSLQSRFLEIIKQGSAIEEGSEEPELVSLSLGRSSPTGSKKDDKKTTICSKTEEDDHNKSGLTLGLDSKFQLSTEIVSNPSHENSSEEASKVQKRPSSGVDHEEGVEQKSQVKRARVSVRTRCDAPTMYDGCQWRKYGQKISKGNPCPRAYYRCTVAPDCPVRKQVQRSFEDMSILVTTYEGSHNHPIPVSATTMASTTAAAASMLLSGSSTSQPALSTEINGLNFSSSLHDNSRLPSYPTITLDLTGSPSSSSSFNYFNRFPTNCPATPRFPSTNLNFSSPLVSNESFTQENYFYQQSLTDTLTKAITSDPSFRSLISAAISSLVGHSSAKHGDGTDQKGDSFSQNLMQAAINSQSLNDSSLRGSSYFKGFESSSSRIGSSNQSSSLSFSSFNAASTLAFDNKQGKN >KJB37561 pep chromosome:Graimondii2_0_v6:6:46556521:46557447:-1 gene:B456_006G210700 transcript:KJB37561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPFFLILIILSLASLPSTKADGIRSARLLDLLIRDYTVKSFDRHFKTGTLHTVHLPANLSGIEVDTARFRCGSLRRYGAKVKEFHLGIGVTVQPCAERVIVVRQTLGYNWSSMYYANYDLSGYQLVSPVLGLLAYNAGSDVSFGSPFQLGILAREKPIKIDFSNITMASNMTRSSMRPLCAGFEGDGKVTLKNQVSPNVCFATRDGHFGLVVESPPLMPVRKKISRWKLAVGSSIGAALGAMLLGLLLVAMFIKVKKKARMEEMERRAYEEEALQVSMVGHFRAPIASVTRTTPTIEYQYIPHHPS >KJB33573 pep chromosome:Graimondii2_0_v6:6:4606974:4613186:-1 gene:B456_006G018800 transcript:KJB33573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPLYFILLLHFLFLFLLCPILQAAESQEPPCGKEVCGNITITSPFGIHRNCFTHPWFRVTCNGRKPFINVNGIDLEVLGKALLVVRVNLSGTPFFFSSDMNYFGSVGCGNLATILRSEADSLGGCIQPSCDDVASESGCFTEITANLTSYTVKMRAMYPDSKGCASAFIFSKYSFRDAYPLPSGINNGTTHVPAVLNWNSSYCGEGGCYFDTYKVESCGNVTFHYPFRVKGQDDPKQWFKVICDKTPNGKKGPFLNISGTNLKILDFDFLYGSVTINHPITYFNCRKNHHNGMSLNLTGTGFYYSDYYNKFWSSGCGNLVTIFNKTYNLIGGCLQPSCRINNETSSTDGCLVNIPQGLSSFYVNMSSSDYRRKGSCGFASMISDNYDLKFDISNRAYVPTQLQWGTPIFGECHLNDSSDTYCTSDGTYCWSRLSSKHLCVCYSNDFGYSSSCQDGKCENYKYCHMLCLNTPGNYCSSKSCPPRYEFNSCSTGIGTLFLLLATWSMYKVLKRKQKIMLKQKYFKRNGGLLLQQHLSGNEGNVEKIKLFTSKEMEKATNHYNENRILGQGGQGTVYKGMLIDGSIVAIKKSKMVEGKKFDEKKVEQFINEVIILSQINHRNVVKLLGCCLEAEVPLLVYEFIPNGTLYDLIHNQNEELPLTWEIRLRIAIEIANALFYLHSAASAPIYHRDIKSSNILLDDKYRAKVSDFGTSRSVALEQTHLTTRVQGTFGYMDPEYFRSSQFTEKSDVYSFGVVLIELLTGQKPISAEQSEPVRSLVSYFLHSMQENSLFNILDPMVVKVGPEQEIIVVALLAKRCLNLNGKKRPTMKQVAMELELIKASGGNVIEDHGDEEYEIDDIIHSWETNPSSSMSMTMTTNGETFPLNSSF >KJB35045 pep chromosome:Graimondii2_0_v6:6:33705503:33706234:-1 gene:B456_006G097000 transcript:KJB35045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESNHCSSSETESSITNNNSSSSSLRKPNNPSAVEVAATSMIIDQGKKRPRPRDSSTKHPIYKGVRMRSWGKWVSEIRQPRKKSRIWLGTFRTAEMAARAHDVASLTIKGDSAALNFPDLAELLPRPVSLLPRDIQAAAVKAASMVNLNRPSSSSSSSSLSESSEEPEELSEIVELPNIEGNFDSFFDSGNEFVLIDSVDVWVYDPSQDFNGGFYDQTWDVTENFKLNSGSFETFSSENNLP >KJB36120 pep chromosome:Graimondii2_0_v6:6:39985654:39986542:1 gene:B456_006G142100 transcript:KJB36120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPSLSFQALSMKSPSSCFSSISSMKMKALIQTLIYTQASRLIRAFSKAKSIVIQIYKQNNPIQYLISISKTAEKKYKHNKLFFGSFRLHYNWCSSHVTPVPAPVLEGCTTTHFYYDSTWNSAISTHDQKKEDGLESELSGYLQWLEENKVNREANKAETEVDDIDRLAEMFIASCHEKFMLEKQESYRRFQEMMARSM >KJB38046 pep chromosome:Graimondii2_0_v6:6:48245753:48246431:-1 gene:B456_006G2342002 transcript:KJB38046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPAIKRIVEAAVHREPRMGASLLRLHFHDCFVNVTSILHTTILCMPYNDLDELIFFVFQGCDGSLLLDSTSAFETEKNARGNFNSVRGFEVVDQIKAEVDRVCGRPVVSCADILAVAARDSVLALGGPTWKVRLGRRDSTTASRTLADSLLPSASMDLPALINNFKNQGLNKRDLCSSLRWPHHRIVTMRYL >KJB35974 pep chromosome:Graimondii2_0_v6:6:39089410:39092918:1 gene:B456_006G135100 transcript:KJB35974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCVYSYEEPITFSILFISFLCYLLPIAIASFAEVGNESPFTYSEGSGKGPKEWGHLNPDWKLCETGKLQSPIDLLTGKLQVQPNLGKLKRDYKPAPALVKNRGHDITVRWKGEAGKININGTDYELLQCHWHSPSEHTFNGTRYELELHLVHISAHRGIAVIAIVYKYGRPDPFLTRLFHHIKTINGKEEKHLGMVNPGDIKFGSRKYFRYMGSLTVPPCTEGVVWTVINKVRTVSRDQVKALRDAVHDGFEANARPTQPLDGRPVLFYTPRMNSGSF >KJB36233 pep chromosome:Graimondii2_0_v6:6:40605660:40609219:-1 gene:B456_006G147600 transcript:KJB36233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNCFKTISNSICGPSEEEKEKEKEEVPNVTAESESKEKGASDVQNQPPEEIKIVKEGTDQREQEGKSKEETQMQQSKCELQRLDSKPAQVSTQVTPQTAIKNEEEHKVEPKKPPRRPHNVKRQSCAGLKIDSVLQTKTGHLKEYYSLGTKVGNGQFGTTFVCVEKGTGNKFACKSIAKRKLATLDDVEDVRREIQIMHHMSWHPNVVTIKGAYEDPMAVHVVMDLCAGGELFDRIVKRGHYSERKAAELARVIVGFVEACHSMGVMHRDLKPENFLFVNDEEDSPLKAIDFGLSIFFKPGDTFSVVVGSPYYVAPEVLNKCYGPEADVWSAGVIIYILLCGVPPFWGETEEEIFDEVLNGEPDFTSDPWPSISESAKDLLAKMLVRNPRKRITAHEVLRHSWVQADGVAPDSPLDSLVLGRMKQFSSMNKLKKMALRVIAQRLSQEEIAGLKEMFKMIDTDNSGQITFEELKAGLQSFGASLPESEFQALMQAADVNNSGSIDYQEFIAATLHLNMIQNEDNVMAAFSYFDRDGSGYITLDELQKACQEFGIKDIRMDEMMREVDQDNDGRIDYNEFVAMMQKGNPEVGKRGREGKGLSIGFREALPGS >KJB38644 pep chromosome:Graimondii2_0_v6:6:50398410:50399861:1 gene:B456_006G265000 transcript:KJB38644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTVTNPLPNSNSDRVRRKKKKKTSAVAATATLKTNQQRQNQNQSNNHTRWKSEAQQQIYSSKLIQALSQVNLNGNHSSPSAPRRGRAVREAADRALAVAAKGRTRWSRAILTSRVKLKFRKEKGQRSGSVSAATVIGVTGRNRSRKQRFSVLKLKAKSVQRKVKVIGRLVPGCRKQPLAVILEEATDYIAALEMQVRAMSALADLLSGSGSATSSSPPTSQ >KJB34082 pep chromosome:Graimondii2_0_v6:6:15384649:15388109:1 gene:B456_006G047100 transcript:KJB34082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALAPTLSTWIPEDDLLLKNAIEAGASLESLAKGTKNSMGLSTIALNCTEATNLFPHLICFKFFMIELECFMVAISLEKAALSDEYFIKRKLYPNVDFYSRLIYRRNSESEGGGKKMKAKRKLGDSVDGKRDLKRQRVVDSPSSPPEESLCLIMTMKMMKGEH >KJB37285 pep chromosome:Graimondii2_0_v6:6:45493567:45495040:-1 gene:B456_006G197500 transcript:KJB37285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSIRRVRWNEEKLKEIEANKPVRQKITEPKTPYHPMIDDDDVSLSPVRHVFNDCLDDMDAKKLCPALKDVASPSTKTTGCSAGWTSSGDDANAVAHEEDSETDQSGRNFKEQRKSHYDEFFKIKELRRKGSFLEDEHDRVEDDLSSSLSSGVKDIGVEEGTATLP >KJB33110 pep chromosome:Graimondii2_0_v6:6:33810600:33811345:-1 gene:B456_006G097700 transcript:KJB33110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKALVEDTDMPLKMQNQAMSSASQALDLYDVFDCKSIAGFIKKEFDGKYGNGWQCVVGSNFGCFFTHSKGTFIYFTLERLKFLIFKGASSP >KJB35230 pep chromosome:Graimondii2_0_v6:6:34840004:34841684:1 gene:B456_006G105700 transcript:KJB35230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGVKLCIFILFNLIIISSSRNTISLSGDEIVLAIEGRSLMASVEDYEEPTANRGHDPPSRARGSGSNGGGRGG >KJB37126 pep chromosome:Graimondii2_0_v6:6:44719558:44721677:1 gene:B456_006G190200 transcript:KJB37126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase 5 [Source:Projected from Arabidopsis thaliana (AT3G17760) UniProtKB/Swiss-Prot;Acc:Q9LSH2] MLAATNATEEHVHSTFASRYVRAPVPRFKMPEKSIPKDAAYQVIHDELMLDGNPRLNLASFVTTWMEPECDKLMMAAINKNYVDMDEYPVTTELQNRCVNMIANLFHAPVGEEETAVGVGTVGSSEAIMLAGLAFKRRWQHKRKTEGKPTDNPNIVTGANVQVCWEKFARYFEVELKEVKLKEGYYVMDPEQAVELVDENTICVAAILGSTLTGEFEDVKTLNDLLMKKNEETGWGTPIHVDAASGGFIAPFLYPDLEWDFRLPLVKSINVSGHKYGLVYAGVGWVVWRNKEDLPDDLVFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFLRLGFEGYKNIIENCMENMKVLKQGIQNTGRFNILSKDIGVPLVAFSLKDSSKHTVFEIAENLRRFGWILPAYTMPANAQHVAVLRAVIREDFSHGLAKRLVEHIEQVLKEMDGLPSRLQHKKTERETQEEVFRYWKRLVDRKRAGVC >KJB33532 pep chromosome:Graimondii2_0_v6:6:3388443:3391074:-1 gene:B456_006G015500 transcript:KJB33532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPNDLTIKLFGKTIPLPVKSPKAVVAATISNIYATCDDACNHNNNYKKDIVTDKTIDAEHELGDRKEDRSKQDGTAPLVASEEFTNLEVTYGPSDKRDALSIENESTGLETCKTDEDRNETSNPEEKTLKKLNKVLPCPRCNSMDTKFCYYNNYNVSQPRHFCKNCQRYWTAGGTMRNVPVGAGRRRSKNSSSHYRHVIVSEPLRNACDDETMCNTTQNRFHKPEELNIHVSYRGGKNGVEHLNGSSIATSNDEVYKAGSQDQMMQNCRSFPPHMPCFPGFFLPYSWNSARWSSPLPPPTFCPPSFSMPFYPTAPYWGCALPTSWNVPWLPQPSSEMQTAPSSGSNSPSLGKHSRDEDMGKPCSIGEDEPVNENNAERCLWISKTLRIDDPGEASRSSIWVTSSSKNDKLDSIGDERLFKGFQSKGDKRNQVLETSPILQASPAASSRSINFQESS >KJB38070 pep chromosome:Graimondii2_0_v6:6:48367406:48369587:-1 gene:B456_006G235900 transcript:KJB38070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >KJB38069 pep chromosome:Graimondii2_0_v6:6:48367087:48369931:-1 gene:B456_006G235900 transcript:KJB38069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >KJB34686 pep chromosome:Graimondii2_0_v6:6:32053148:32055821:-1 gene:B456_006G085900 transcript:KJB34686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHHDKNPFHNEEDDQVNPFSEVKKKEEALARAGVFLDIKNWPPFFPIIHHDIANEIPDYLHRVQYVAFATLLGMILCLVWNVISVSAASLKGRGIVIWFLAVIYLIVGVPGAYFLWYRPLYRACRKDSAFRFGWFFIFYMVHICFCIFAAVAPPIFRQGFSLPGILSALDAMSQSAILGILYFIGFGLFSAEALLSIWVFQRVYRFFRGTGKAAEAKSQAARGRAMAAAS >KJB34685 pep chromosome:Graimondii2_0_v6:6:32053148:32055802:-1 gene:B456_006G085900 transcript:KJB34685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHHDKNPFHNEEDDQVNPFSNPSQYSHSRLSALPHEPANFDYGHRHPAIDVALDKEMNLQHKERELKTKEAEIRKREEEVKKKEEALARAGVFLDIKNWPPFFPIIHHDIANEIPDYLHRVQYVAFATLLGMILCLVWNVISVSAASLKGRGIVIWFLAVIYLIVGVPGAYFLWYRPLYRACRKDSAFRFGWFFIFYMVHICFCIFAAVAPPIFRQGFSLPGILSALDAMSQSAILGILYFIGFGLFSAEALLSIWVFQRVYRFFRGTGKAAEAKSQAARGRAMAAAS >KJB36464 pep chromosome:Graimondii2_0_v6:6:41936107:41938228:1 gene:B456_006G160100 transcript:KJB36464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKCIVSHLHLYPHLSLHSAIPHQHPNFSRLPPTNVSLPVKFKASFPKRCIILVPRASSSSSGQSSVGKKFYEFDPHPTLTNDDLKPTRPSQRNYHWKQMARHWVGLVVGVPAYYMAGSLVELGMAWWQGIATVVAANMILLVPLVLTGHPGTKYGISFPVLARSSFGIRGAHVPTSLSALVCCGWYGIESWIGGEAIYLFLPNSIKQSSFSQPLPWLGTSPLEFACFIAFWLVQLVAVLKGMEGVKAFQKYAAPTLIMLTSFLLIWAYVKAAGLGHLLNMSSRLSSSEFWSLFFPSLTANISFWTTIALNIPDFTRFAKTQRDQIIGQAGLPIFMGLFTFVGLAVTSSTQVIFGHVISNPIHLLGQIGGLTTMILAILGISLATMATNIAANVVAPANALVNLYPSKLTYRTGALLSALFCVAFQPWRLLKSSESFVYTWLVGYSALLGPIGGIVLADYYLIRQTKLSLKDLYSLSPEGAYYYCGGFNLAALAALVIGILPVIPGFLQKVGIVSSVSDAFVVIYNNAWFFSFFSAGLLYWILASLSFERETK >KJB37703 pep chromosome:Graimondii2_0_v6:6:46958938:46961376:-1 gene:B456_006G216700 transcript:KJB37703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDYGLAPTMNLWTDDNAPVMEAFMSSDLSSLWPPPLSSASTSTPAASAAGGGGGGHDLSVSFLAQPQPSVSLLNQETLQQRLQALIEGARDCWTYAIFWQSSYDYSGATVLGWGDGYYKGEEDKGKGESKACSSSVAEQEHRKKVLRELNSLISGSTATADDAVDEEVTDTEWFFLVSMTQSFVTGSGLPGQALFNSSPVWVAGSDRLASSMCERARQGQLFGLQTIVCIPSVNGVVELGSTELITQSSDLMNKVRILFNFNNGIEAGSWSVSNNTADQGENDPSSLWISEPNNGVEPKDNNNNGNHNPRIQDPSTSSLTENPSSIHGGNQQQQPQGQSFRLNFSDYGFDGNSSVKNVKFSAHLLKPESGEILNFGESKKSGNGNLFSANSQLVVEENKKKRSPTSRGSNEDGMISFTSGAVLPCSGVAKPGGCARDSDNSDIEASVVKEADSSRVVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQKFYALRAVVPNVSKMDKASLLGDAISYINELRTKVQDADSEKEELQKQLDEMKKQLASKESCWTAPPPPDEDRNMSNKLIELDIDVKIIGLDAMIRIQCSKKNHPAARLMTALKELDLDVHHASVSVVNDLMIQQASVKMGSRFYTQEQLRIALASKVGDAR >KJB36121 pep chromosome:Graimondii2_0_v6:6:39994210:39994983:-1 gene:B456_006G142200 transcript:KJB36121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWWFLISFSIVMKISCINLSALWALILLHILISCSSFCVSHGDVSSGERNHIISSRKLLSSLASFPTHGKGAGMKEPKKAVEPGLRKMPPSVPNPTQN >KJB34199 pep chromosome:Graimondii2_0_v6:6:19092470:19099579:1 gene:B456_006G053000 transcript:KJB34199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLESIVVFTLLLLMLILIIILILFAFKPWRFFSSLFSFPSRSRSIKVEDVERPLVSDDVNHSQDQSNDLTRNYDLEGACYQSEALLRSPRNKGLVHKQRLPSASPHQGDSLVLEISDPSEDLLVGQTLKHPLATEHLIEALKLGRPENQNENSIQEFVPKVISDQRSCLSLEVVSGPSRGIRCSVQSTNPSRLPLTLGRVSPSDLLLKDAEVSGKHAMITWNSNKLKWELVDMGSLNGTLLNSQPINHPDSGSRQWGHPTELASGDTITLGTTSNIQVRILSQNECMVPFGVGMISDPMSLRRGGKKLPMEDVCYYQWPLPGIDQFGVFGICDGHGGVEAAKSASKILPEMLATILSDSVIRERVLSQHDASDVLKDAFLRAEASMNNYYEGCTATVLLVWANADENFYAQCANVGDSACLMNVGGKQIKMTEDHKISSYSERLRMEGMGEPLRDGETRLCGLNLARMLGDKFVKQQDSRFSSEPFISESVHINQTSGAFALLASDGFWDVVTAKKAIQLVAQREKELMAESEKENLAEKIANVLLNEARTQRTKDNTSIIFLDFDSTSRRISCKVDDP >KJB34198 pep chromosome:Graimondii2_0_v6:6:19092413:19099579:1 gene:B456_006G053000 transcript:KJB34198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLESIVVFTLLLLMLILIIILILFAFKPWRFFSSLFSFPSRSRSIKVEDVERPLVSDDVNHSQDQSNDLTRNYDLEGACYQSEALLRSPRNKGLVHKQRLPSASPHQGDSLVLEISDPSEDLLVGQTLKHPLATEHLIEALKLGRPENQNENSIQEFVPKVISDQRSCLSLEVVSGPSRGIRCSVQSTNPSRLPLTLGRVSPSDLLLKDAEVSGKHAMITWNSNKLKWELVDMGSLNGTLLNSQPINHPDSGSRQWGHPTELASGDTITLGTTSNIQVRILSQNECMVPFGVGMISDPMSLRRGGKKLPMEDVCYYQWPLPGIDQFGVFGICDGHGGVEAAKSASKILPEMLATILSDSVIRERVLSQHDASDVLKDAFLRAEASMNNYYEGCTATVLLVWANADENFYAQCANVGDSACLMNVGGKQIKMTEDHKISSYSERLRMEGMGEPLRDGETRLCGLNLARMLGDKFVKQQDSRFSSEPFISESVHINQTSGAFALLASDGFWDVVTAKKAIQLVAQEREKELMAESEKENLAEKIANVLLNEARTQRTKDNTSIIFLDFDSTSRRISCKVDDP >KJB36697 pep chromosome:Graimondii2_0_v6:6:43103488:43105647:1 gene:B456_006G171700 transcript:KJB36697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEQKDDRFGCEDELVRELLDDESPLFVLQQGTVQAKSKTSGEDSTKRLICAGPRIEDIENALSVKTWNGTQYQVQQQNRINSIQQERGLTKIENKYTLKIKNYGNCMADDGYKWRKYGQKWIKNTPNPRSYYKCRNPRCSAKKQVERSKDEPDTLIITYEGFHLHFAYPYLPLDNHHQPSPDDTISPTKKAKQGISEAESQVPETIANYTNIEPLPSSIEGCPPEMSLEAGFSQQGLLEDVVPWVIRNPSHNNNISSISSSSSSSNYSRSPPASPYSLSTSPFYDPCLDILSVNSSIR >KJB36737 pep chromosome:Graimondii2_0_v6:6:43283042:43283155:-1 gene:B456_006G174200 transcript:KJB36737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDDQDLGFFANFLGIFIFVLVIAYHYVMADPKFEGN >KJB36736 pep chromosome:Graimondii2_0_v6:6:43281906:43283867:-1 gene:B456_006G174200 transcript:KJB36736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDDQDLGFFANFLGIFIFVLVIAYHYVMADPKFEGN >KJB33839 pep chromosome:Graimondii2_0_v6:6:8786958:8788947:1 gene:B456_006G033400 transcript:KJB33839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKVLWMNPADHEISYANNSFYQKEVLVKVRPIVEEAITDMLKKIGVPTCMKVVDMGCASGPNTFQAISHVIDTVHGMCQQEELKLPEFEVLLNDLPGNDFNSVFKSIPDFYKQKGDLVQERCFIRGVAGSFYHRLFPSTSLHFVHSSNGLHWLSKLPVGLENNKGNICMARSSPPNIFKAYANRFQEDFTNFLSSRSREIVRQGCMVLTFMVRRNPNPSHEHHCLELLAKSLLDLVAQGIVKEADVDSFNLPIYPPCKEEVVDIVEKEGSFETKQLQVFVMDIDPLSRDEKVRNKEFYMKMGNNVANTFRAGLEPILCGHFGDAILDELFRKFASHVAMIQIVQCIRSLTSWFH >KJB36261 pep chromosome:Graimondii2_0_v6:6:40803540:40805793:1 gene:B456_006G149200 transcript:KJB36261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDSTAQDKARFFAGAWGRVKALPGKLKAKVTSTAKSIKRLGQDDPRRLTHSLKVGLALTLVSLLYYARPIYDGFGVSGMWAVLTVVVVFEFTVGGTLSKSLNRGFATFLAGALGLGADYFASLFGKNGEPIVLGILVFLLAAAATFSRFFPRIKARYDYGVLIFILTFSLVAVSGYRVNELVVLAHQRLSTIIMGGATCMLISIFVCPVWAGEDLHKAIASNLEKLATYLEGFGGVYFQSSESDGMGTGKSFPQGYKSILNSKSNEESLANFARWEPGHGRFRLRHPWKHYLKIGALARQCAYQIEAINGCIGSDHIQGSEEFKGKIEGSCTRMSAECGKTLKALARSMKTMTDPYSSANTHVENSKAAMKDLKFALKAASLENADLAAILPAATVGSILVEIVKCVEKISEAVHELSQLAHFEKETVEPTVSPEKRPQLLHRGIIQPVLDGDSTANDNVVITIHEIISTDSPENEKACDQHQVPKPGKKIEAL >KJB36165 pep chromosome:Graimondii2_0_v6:6:40247069:40248634:1 gene:B456_006G144200 transcript:KJB36165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGHGLRSRTRDLFSRPFRKKGYIPLSTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNITKRAIGVEVNKQVGNRIIRKRIHVRVEHVQPSRCTEEFKLRKIKNDQLKAEAKAKGEVISTKRQPEGPKPGFMVEGAMLETVTPIPYDVVNDLKGGY >KJB33039 pep chromosome:Graimondii2_0_v6:6:19818363:19818602:1 gene:B456_006G054200 transcript:KJB33039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLGRGLIRNVKLTKKKAKCRELNIQLPASYNKVCGKHGNLFKAEVTNCVQQITSPQVNRWKEISKDDIKTMWIFLKV >KJB33539 pep chromosome:Graimondii2_0_v6:6:3506622:3513465:1 gene:B456_006G016200 transcript:KJB33539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRMILRKKEEEGLGDWKNGDKKSIRGKAKEWKSVPRSNHQPWLRTKMNGQLLQFYMMPLQWAIPFLPLLFYLLEETIIINPNALICSLWLLACALGPFAY >KJB35388 pep chromosome:Graimondii2_0_v6:6:36094999:36096289:1 gene:B456_006G112700 transcript:KJB35388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINGPSRFRNPKSQSTDRFLGVYPHAPPSNHLSHSSAVIEELNEDDIFSSGDFSDNSNGSSSAGGFSNSHHQKTSPSSTPFNHKSFPHSENFGILAAIRDTSRPQSHFYQKPSISTSASSSSSVASASSSARSIPSIPKPPQERIPVISSSSSSLGRFHQSAPVNVPMLAKPMRKKQDFDDYYDMELEEEGEMLPPHEIVAKSLAQSPMLACSVLEGVGRTLKGRDLRQVRNAVWRQTGFLD >KJB37490 pep chromosome:Graimondii2_0_v6:6:46251581:46254042:-1 gene:B456_006G207200 transcript:KJB37490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTHLLAIFLVSAIVAHSKALRFPQELYNLSSNQIFIVDNLSRPPSSSASPTPLLSPAVSSPLVPALFIIGDSTVDCGNNNYLGTFARADRPPYGRDFDTHLPTGRFCNGRIPVDYLALHLGLPFVPNYLGQTGELEDMLHGVNYASAAAGIIFSSGSELGQHISLTHQIQQFSDTYQQFVLSLGEDVAIDLISSSVLYVSIGINDYIHYYLRNVSNVQNLYLPWGFNQFLASTMRQEIKNLYNTNVRRFVVMGLPPIGCAPYYLQRYKSNNGECVEEINDMIMEFNFFMRYMTDELLHELPDAGIIFCDVFQGSMDIIRNHKSYGFESTANACCGLGKYNGWMMCMSPQMACRNASDHIWWDQFHPTDAVNAILADNVWSSRHTEMCYPMNLEKMVFSQSLNNLV >KJB37480 pep chromosome:Graimondii2_0_v6:6:46232070:46237099:-1 gene:B456_006G206800 transcript:KJB37480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGLRAGFTADAPPLLHMSEPLRRKAFNRLFAVVYFSAILALLYRHVQNLFLHPTTSFLSFSITLFLFISDLVLAFMWASAQAFRMSPIRRKEFPQNLKQIIKDEDFVGLDVFICTADPYKEPPMNVVNTALSLMAYDYPTEKISIYVSDDGGSVLTLFAFMEAAKFARYWLPFCRQHNIMERSPHVYFESNSHHPSIPQFEKIKMMYEDMKMKVEHVIDKGEVIEEYISDDQQHQAFNKWTKSFCRMDHPTVIQVILDKSKDTDISGQLMPNLIYVSREKSKTSPHHFKAGALNVLLRVSAVMTNAPIILTQDCDMYSNDPQTPLRILCYFSDPALKSNLAFIQFPQRFHGLNRDDIYASEYKRLFKINSMGFDGLKGPNYVGSGCFFRRRALLGGPSTPVPPEIPELGPDHVVRKPIISQEILSLAHRVAGCNYENQTGWGSQSGFRYGSLVEDFYTGYRLQCEGWKSLFCNPERAAFLGDVPITLFDVLSQCKRWCIGLFEVAFSKYNTLIFGSQSMGVLMSLAYSHYALWPIWCIPVTFYSFLPQLALLNQVGIFPKITEPWFFLYVFLFLGAYGQDFLDFVLDGGTVRKWWNAQRMWMIRGLSCYLFSSIEYLLKSVGISTHGFSLTSKVVDDEQSKRYGQGIFEFGVPSPLFVPLTVAAIINLFSFLLVLTQSFSGKNTEGLCLQMILTGSIVLNCLPVYGAIGLRNDAGKMPTQITIISTFVSVALCYASSLCL >KJB37449 pep chromosome:Graimondii2_0_v6:6:46075437:46082063:-1 gene:B456_006G205100 transcript:KJB37449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQDSGFMMEDGVSCLPCTPEEQKKIVIDLRNESERNLKEGNLYFVISSRWFRKWERYVGIDADANLIGNQSSDSRHMNVASSLVAEMPGAIDNSDIVQNGSDCNCKENAIQLRRNLVEGQDYVLVPQRVWEKLHEWYKGGPALPRKMILQGVYHRTFDVEVYPLRLKLIDSRDESQSVIWLSRKASLSELFQRVCALRGIEQNKARIWDYFNKRKHTQLSASNRSLEESNLQMDQDILLEEVDGHHSSRFGLDSTGNELALVSMEPSRSSFTIAGGPTLSNGHSSGFKSNQYPGSSLSSGLKDTDDGFDAYNTVRKGEKRGLAGLQNLGNTCFMNSALQCLVHTPPLVEYFLKDYSDEINTKNPLGMHGELALAFGELLRKLWSSGQTAIAPRVFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKEKPYIELKDADGRPDEVVAAESWKNHKARNDSVIVDVCQGQYKSTLVCPVCNKISITFDPFMYLSLPLPSTITRTMTVTVFYGDGSGLPMPYTVSVLKNGFCKDLLLALRTACCLKTDENLLLAEVYENKIYRYLEMPLEPLASIKDDEHIVAFRIQKKGMEKTKLVIFHRWQEKSTTDYLKSGKELFGTPLITYLGEDQPSGADIETAVSKVLSPFKRMHSKAHIGKGSDFLSDGLDELCSSSDAQSIENAEFEGALSTDLSLRLLLTDDRVMNFKAFKKDTLFEPGKILSVVMDWTDKEQGLYDASYLKDIPEVHKAGFAAKKTRQEAISLSSCLDAFLVEEPLGPDDMWYCPRCKEHRQAVKKLDLWMLPEIIVFHLKRFTYGRYLKNKIDTFVNFPIHNLDLSKYVKNKDGQSYVYELYAISNHYGGLGGGHYTAYAKLIDENRWYHFDDGHVSPVNESDIKTSAAYLLFYKRVNESKMEIGESSYSHSTS >KJB37349 pep chromosome:Graimondii2_0_v6:6:45842113:45843782:-1 gene:B456_006G201800 transcript:KJB37349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVADLSDTLNQWEFSCDLEVDFESEENASIVYAALGVDKELQPDKVKRQMWISDGKLSVHFEAVEARFLRASFSAFVDVLTLASKTIEELGPGMVL >KJB35451 pep chromosome:Graimondii2_0_v6:6:36383198:36387841:-1 gene:B456_006G115500 transcript:KJB35451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IIVGLMGRGCRRMIAGNLDPERKRSGGLRTKQAGRGSCRGRKKRRRAMGGLLKEALKSLCGVSQWSYAVFWKIGCQNTRLLIWEEYFYQPAPTSVSPSIVGIRNPELSFGEREGCWGSETSSQLGSQTWDDKVHLLINKMMINNRINIVGQGLVGRAAFTGNHQWILANNYVTDAHPPEVMNEVQLQVSAGMQTVAVIPVLPHGVLQLGSSMTIMENMGFLNDVKSLILGLGCIPGALLSNSYGTNERIEKAGIPISFGKPISMDSAGTCRPTTSMTSVFEGCNQQSSSSQASRIVGQSSSQENLQGATSTSRLPGLIQTLARFNADQCESDICPEMKPNRNFKSLMDCGVVGAEVTHSNSALWLNPQVSFCNSQSGFNCQPMIGRSIASHCSIKSTGQQVLSDGILQNHAVNSPKSIPGTVPNLQNLEDCTPLAGSRVWEVGLSTVEVPLSMLTNQLTSTCMISGVPNQGEDSEDFKYTPADLVPKKESMDNDLFEALNIPLLHADRGMPFAEQLPNAFQDCLMHGGESSSTRSLNVKYEDSYVQPPSGDDLFDVLGADLKSKLLNGKWNNMLAEGQDLKMQNLGMDTTILRDMQNVFSSNLSANEQISDRGIYSGVGKDHLLDAVVSSARSAAKQISDDNVSCRTTMTKFSNSSVPSSSVISNQVQGELLGGLPSSLLKGGTLPSSSYRSSCSKDDAGACSQTTMYGSQISSWVELAHNSRRDSSVATANSKRNNEMVKPNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLEKTIKHMLFLQSVTKHADKLKQTGESKVWILFYYTCNANPVFYLSNLLKS >KJB35449 pep chromosome:Graimondii2_0_v6:6:36381530:36388167:-1 gene:B456_006G115500 transcript:KJB35449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IIVGLMGRGCRRMIAGNLDPERKRSGGLRTKQAGRGSCRGRKKRRRAMGGLLKEALKSLCGVSQWSYAVFWKIGCQNTRLLIWEEYFYQPAPTSVSPSIVGIRNPELSFGEREGCWGSETSSQLGSQTWDDKVHLLINKMMINNRINIVGQGLVGRAAFTGNHQWILANNYVTDAHPPEVMNEVQLQVSAGMQTVAVIPVLPHGVLQLGSSMTIMENMGFLNDVKSLILGLGCIPGALLSNSYGTNERIEKAGIPISFGKPISMDSAGTCRPTTSMTSVFEGCNQQSSSSQASRIVGQSSSQENLQGATSTSRLPGLIQTLARFNADQCESDICPEMKPNRNFKSLMDCGVVGAEVTHSNSALWLNPQVSFCNSQSGFNCQPMIGRSIASHCSIKSTGQQVLSDGILQNHAVNSPKSIPGTVPNLQNLEDCTPLAGSRVWEVGLSTVEVPLSMLTNQLTSTCMISGVPNQGEDSEDFKYTPADLVPKKESMDNDLFEALNIPLLHADRGMPFAEQLPNAFQDCLMHGGESSSTRSLNVKYEDSYVQPPSGDDLFDVLGADLKSKLLNGKWNNMLAEGQDLKMQNLGMDTTILRDMQNVFSSNLSANEQISDRGIYSGVGKDHLLDAVVSSARSAAKQISDDNVSCRTTMTKFSNSSVPSSSVISNQVQGELLGGLPSSLLKGGTLPSSSYRSSCSKDDAGACSQTTMYGSQISSWVELAHNSRRDSSVATANSKRNNEMVKPNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLEKTIKHMLFLQSVTKHADKLKQTGESKIKENFEGGATWAFEVGSQSMLCPIVVEDLNRPRQMLVEMLCEERGFFLEIADLIRGLGLTILKGVMETRNDKIWARFTVEANRDVTRVEVFMSLVRLLEQTAKSSSTSSANAFDRNNMVVQHTFPQAASIPATGTAGSLQ >KJB35448 pep chromosome:Graimondii2_0_v6:6:36381041:36387841:-1 gene:B456_006G115500 transcript:KJB35448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IIVGLMGRGCRRMIAGNLDPERKRSGGLRTKQAGRGSCRGRKKRRRAMGGLLKEALKSLCGVSQWSYAVFWKIGCQNTRLLIWEEYFYQPAPTSVSPSIVGIRNPELSFGEREGCWGSETSSQLGSQTWDDKVHLLINKMMINNRINIVGQGLVGRAAFTGNHQWILANNYVTDAHPPEVMNEVQLQVSAGMQTVAVIPVLPHGVLQLGSSMTIMENMGFLNDVKSLILGLGCIPGALLSNSYGTNERIEKAGIPISFGKPISMDSAGTCRPTTSMTSVFEGCNQQSSSSQASRIVGQSSSQENLQGATSTSRLPGLIQTLARFNADQCESDICPEMKPNRNFKSLMDCGVVGAEVTHSNSALWLNPQVSFCNSQSGFNCQPMIGRSIASHCSIKSTGQQVLSDGILQNHAVNSPKSIPGTVPNLQNLEDCTPLAGSRVWEVGLSTVEVPLSMLTNQLTSTCMISGVPNQGEDSEDFKYTPADLVPKKESMDNDLFEALNIPLLHADRGMPFAEQLPNAFQDCLMHGGESSSTRSLNVKYEDSYVQPPSGDDLFDVLGADLKSKLLNGKWNNMLAEGQDLKMQNLGMDTTILRDMQNVFSSNLSANEQISDRGIYSGVGKDHLLDAVVSSARSAAKQISDDNVSCRTTMTKFSNSSVPSSSVISNQVQGELLGGLPSSLLKGGTLPSSSYRSSCSKDDAGACSQTTMYGSQISSWVELAHNSRRDSSVATANSKRNNEMVKPNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLEKTIKHMLFLQSVTKHADKLKQTGESKIKENFEGGATWAFEVGSQSMLCPIVVEDLNRPRQMLVEMLCEERGFFLEIADLIRGLGLTILKGVMETRNDKIWARFTVEANRDVTRVEVFMSLVRLLEQTAKSSSTSSANAFDRNNMVVQHTFPQAASIPATGGLPPKKELNFVFLFL >KJB35450 pep chromosome:Graimondii2_0_v6:6:36382186:36387570:-1 gene:B456_006G115500 transcript:KJB35450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLLKEALKSLCGVSQWSYAVFWKIGCQNTRLLIWEEYFYQPAPTSVSPSIVGIRNPELSFGEREGCWGSETSSQLGSQTWDDKVHLLINKMMINNRINIVGQGLVGRAAFTGNHQWILANNYVTDAHPPEVMNEVQLQVSAGMQTVAVIPVLPHGVLQLGSSMTIMENMGFLNDVKSLILGLGCIPGALLSNSYGTNERIEKAGIPISFGKPISMDSAGTCRPTTSMTSVFEGCNQQSSSSQASRIVGQSSSQENLQGATSTSRLPGLIQTLARFNADQCESDICPEMKPNRNFKSLMDCGVVGAEVTHSNSALWLNPQVSFCNSQSGFNCQPMIGRSIASHCSIKSTGQQVLSDGILQNHAVNSPKSIPGTVPNLQNLEDCTPLAGSRVWEVGLSTVEVPLSMLTNQLTSTCMISGVPNQGEDSEDFKYTPADLVPKKESMDNDLFEALNIPLLHADRGMPFAEQLPNAFQDCLMHGGESSSTRSLNVKYEDSYVQPPSGDDLFDVLGADLKSKLLNGKWNNMLAEGQDLKMQNLGMDTTILRDMQNVFSSNLSANEQISDRGIYSGVGKDHLLDAVVSSARSAAKQISDDNVSCRTTMTKFSNSSVPSSSVISNQVQGELLGGLPSSLLKGGTLPSSSYRSSCSKDDAGACSQTTMYGSQISSWVELAHNSRRDSSVATANSKRNNEMVKPNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLEKTIKHMLFLQSVTKHADKLKQTGESKIKENFEGGATWAFEVGSQSMLCPIVVEDLNRPRQMLVEMLCEERGFFLEIADLIRGLGLTILKGVMETRNDKIWARFTVEANRDVTRVEVFMSLVRLLEQTAKSSSTSSANAFDRNNMVVQHTFPQAASIPATGTAGSLQ >KJB37041 pep chromosome:Graimondii2_0_v6:6:44485381:44489046:-1 gene:B456_006G188000 transcript:KJB37041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNCVITGVSYSSPTLASALANLIPAFTFLLAVIFGMEKLELKSPKSQIKVFGTLVSISGALIMTLYKGPPVLSPPIQPHLHPSPSTMLTTSNNWLIGGLFIATASLSLSANIVGQAAVLKGYPSEIMLVSFYCLFGTIQSALVTLLFERDPNAWMLSSDIELISVVYSALFGNVVTFGVQAWCIRRKGPVFVASFKPLSIAIAAFLGFIFLGETLYIGSIVGAAIIVTGFYGVIWAQSDKEEVGKTTTTPLLDGHDVDA >KJB37040 pep chromosome:Graimondii2_0_v6:6:44485379:44489121:-1 gene:B456_006G188000 transcript:KJB37040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKSWLVASAPFAAMVAVECLDVGLTTLSKAAMSKGMSHFVLVVYSNALASLILLPAAFFFTRKKRPPITLSFLCKIFCLSIAGITLMQNCVITGVSYSSPTLASALANLIPAFTFLLAVIFGMEKLELKSPKSQIKVFGTLVSISGALIMTLYKGPPVLSPPIQPHLHPSPSTMLTTSNNWLIGGLFIATASLSLSANIVGQAAVLKGYPSEIMLVSFYCLFGTIQSALVTLLFERDPNAWMLSSDIELISVVYSALFGNVVTFGVQAWCIRRKGPVFVASFKPLSIAIAAFLGFIFLGETLYIGSIVGAAIIVTGFYGVIWAQSDKEEVGKTTTTPLLDGHDVDA >KJB37042 pep chromosome:Graimondii2_0_v6:6:44486429:44489046:-1 gene:B456_006G188000 transcript:KJB37042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKSWLVASAPFAAMVAVECLDVGLTTLSKAAMSKGMSHFVLVVYSNALASLILLPAAFFFTRKKRPPITLSFLCKIFCLSIAGITLMQNCVITGVSYSSPTLASALANLIPAFTFLLAVIFGMEKLELKSPKSQIKVFGTLVSISGALIMTLYKGPPVLSPPIQPHLHPSPSTMLTTSNNWLIGGLFIATASLSLSANIVGQAAVLKGYPSEIMLVSFYCLFGTIQSALVTLLFERDPNAWMLSSDIELISVVYSALFGNVVTFGVQAWCIRRKGPVFVASFKPLSIAIAAFLGFIFLGETLYIGSSTLPICFKLTKSPKRKDQNRGSNNC >KJB37043 pep chromosome:Graimondii2_0_v6:6:44487448:44489046:-1 gene:B456_006G188000 transcript:KJB37043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKSWLVASAPFAAMVAVECLDVGLTTLSKAAMSKGMSHFVLVVYSNALASLILLPAAFFFTRKKRPPITLSFLCKIFCLSIAGITLMQNCVITGVSYSSPTLASALANLIPAFTFLLAVIFGMEKLELKSPKSQIKVFGTLVSISGALIMTLYKGPPVLSPPIQPHLHPSPSTMLTTSNNWLIGGLFIATASLSLSANIVGQAAVLKGYPSEIMLVSFYCLFGTIQSALVTLLFERDPNAWMLSSDIELISVVYSALFGNVVTFGVQAWCIRRKGPVFVASFKPLSIAIAAFLGFIFLGETLYIGRYEFPYYHF >KJB38631 pep chromosome:Graimondii2_0_v6:6:50333948:50336474:1 gene:B456_006G264200 transcript:KJB38631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTTDVEKTLLPRIEFFRSKGISNPDLAQILSSNPTILSSSLENQLIPSFDLLSNVLKSNEKIISALKRHPRLLNYNPNDVLLVNINILVDNGVNECHIASTLCSKPSTLTVSPIKFKSVVQEAKEMGFDPCKGMLMVAIYALGSMAKPTLKRKFEAFKKFTWSDEEISEPFRRYPSFIRLSVDNLMVTMDFLVNKMGCSPSFIAKRPRLLLMSMEKKIVSRFLFAWDLLSKGVIKNINLHALLETSEHLFIEKFVNCYKPEEASRMLKLYHEKLDLSKNLRMDGYKLQHL >KJB37171 pep chromosome:Graimondii2_0_v6:6:44945490:44946782:-1 gene:B456_006G192400 transcript:KJB37171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVTNPTAGSRKSSHGSWGQTQTLVYIYILLVSLFISPPLPLLWSVAFACLNSLPLGLQMRKPCCEKKDTSKGAWSKLEDQKLTDYILKHGEGCWRSLPQAAGLLRCGKSCRLRWVNYLRPDLKRGNFGEDEEDLIIKLHALLGNRWSLIAGRLPGRTENEVKNHWNIHLRKKLLMMGIDPNNRRSLLSPTLTKSNASNDSSSACQPFNPATASETISSTCLPDLNLDLTIAPPLSHQNLALN >KJB38827 pep chromosome:Graimondii2_0_v6:6:50971163:50984898:1 gene:B456_006G273800 transcript:KJB38827 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase SRS2-like protein At4g25120 [Source:Projected from Arabidopsis thaliana (AT4G25120) UniProtKB/Swiss-Prot;Acc:D1KF50] MSKENVNVTPLSHSTSQTWQLKTPRISLNFRAAKPFLDRKRTSDDTYSQRHYPHEYNDGIERELPASNTGIKRIALMEMPTNTPRFSTTGYKSINRQHGDFSSARSVAGAIDIGCMSGPFMTPIKQPAFSNLSDPFLTPSLLDEDFDESIFEEIDAICEHQSAAKAEEEEEDLNVKVNMERQQNDNINRNDRTATLLFTMNENVRAESAVDTRNYFGLKEEDLCTLGDKQSGNMPDEYSRYLQSLNDKQREAACSDISIPLMIVAGPGSGKTSTMVGRVLMLLNEGVSPSNILAMTFTTAAASEMRERICAVAGKARAKELTISTFHSFSLQLCRSHAEKIERTAEFLIYGHGQQRRAIIEAVRLLENEKSGQQHNSCKSSGIENSNGVRHFKDRSKKWQKFVIQAKASGRTPEDCRKMGDQIGAEVLGNYNDILRSCNALDYHDLIICSVKLLTEYDAVYKECQDSWKAIIVDEFQDTSATQYRLLRILASHNHITVVGDDDQSIFSFNGADISGFDSFRKDFTSYKEIRLVRNYRSTRCIVEAASCLIQNNTKRCPSKNFLSENAYGSKITIKECYNEDAQCAFVVDKILEIASNSTAGSSSYGNIAILYRRQVTGRVFQTTLRNRKIPFNLHGVAFYRKKVVRAIIAMLKTTLPTCDDSPYRKVFKALLPLEKEEKKRVIEHVEKITECRKCSFISAACDIFNAKISGTFKRSQLTQGRKVLQTLEMISRLVRREQSISAVITSVSNMIPQKYLLEQRAVIDVDGGKLLNEDNDVRSVLEYLLDDVSDFLSTQSTDRKEKIETGEEKGSVSLLNSFIDYITERERENFRSRRHDNENSVTLTTIHQSKGLEWDTVFIVKANETEIPLLHEFNGAATENGTSLEEERRLLYVAMSRARQKLFILYVTVDSNWQMLQPSRFLKEIPDHLREIQAEVTRNDLKTTHQVIAKGTERFSMDLPSKKQSIEADMVQNNFPDAQDGASKETIESVEACNGSNFLKRFGVEERSIISHLFHQWAKKQAFQEPRRLLDKVRFVIDERLRVKNYKHKEVLRELKPCLSSEEAFQYAEYIVKWEQIPADKRAHLMREKQERFQKLRMESSMGSSSATPKQIAYLQSLGCTVIPTSRLHASRLIEQYKSL >KJB35614 pep chromosome:Graimondii2_0_v6:6:37229462:37234381:1 gene:B456_006G121500 transcript:KJB35614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVETDPEGALAGFAEVVSMEPEKAEWGFKALKQTVKLYYRLGRYKEMMEAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFGLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQKEDGTDDQKKGSQLLEVYAIEIQMYTETKNNKKLKQLYQKALAVKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEIIEFEKILKSNRRTIMDDPFIRNYIEDLLKNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIDGHIDQVNRLLERGNRSKGMKKYTAIDKWNTQLRSLYQTVNNRVY >KJB35613 pep chromosome:Graimondii2_0_v6:6:37229407:37234417:1 gene:B456_006G121500 transcript:KJB35613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVETDPEGALAGFAEVVSMEPEKAEWGFKALKQTVKLYYRLGRYKEMMEAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFGLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQKEDGTDDQKKGSQLLEVYAIEIQMYTETKNNKKLKQLYQKALAVKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEIIEFEKILKSNRRTIMDDPFIRNYIEDLLKNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIDGHIDQVNRLLERGNRSKGMKKYTAIDKWNTQLRSLYQTVNNRVY >KJB33533 pep chromosome:Graimondii2_0_v6:6:3409331:3410490:1 gene:B456_006G015600 transcript:KJB33533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFLSSISVCNSVDQASIMANSVNSNDFLMNSKSSSSRKQKVSTNSPSCLKIPSCERSRSAAIDVFILIAVIAACGFLLFPTIKCMSLKLIELIEAAFYLFKEEIVRTPMIYASIGLGFSCAAIATWILLLCTNRKCRNPNCRGLRKAAEFDIQLETEECVKNSNTLVKDGAKRGLFELPPDHHKELETELKKMAPVNGRAVLVFQARCGCSVGRLEVPGTKKQRKVKK >KJB36149 pep chromosome:Graimondii2_0_v6:6:40174230:40181353:1 gene:B456_006G143700 transcript:KJB36149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKIARARERERELLYPDACGGGGRGWISQGIASYGRGHGAREACALHTSRLSCDTFMDFWSALGEETQQSLLRMKEEDFIERLTYRFDGKRFCRDCRRNVIREFKELKELKRMRREPQCTSWFCAADTAFLYEVSDDRVQADWHQTFADTYGTYHHFEWAVGTGVGKSDIMEFENVGMKGSVQVNGLDLDCLNSCYITLRAWKLDGRCSELSVKAHALKGQQCVHCRLVVGNGYVRITTGGSIRRFFEHAEEAEEEEDDGSIHKDGNELDGECSRPQKHAKSPELARQFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEGRVHVACKKIITLEKQHRRKERLKGKEREKEKYCSVSSITSVALDVSNEEYSRSIEVEENVAINCKDSVGDTGDIIVSRPDSTNVEEQFENGHSPLSLQNQIFDSPDGDVMEVKDGNGPFTERSKFSWERLKFRKDGQFDSSLKRCPRRQVAVVSESASVHRSQPRYQGENFEAPSRSINGLNRQLKISSERSSGQLCGSGSCSQHNEYRAKMVPHVSATRVGREPKSVSKSESALDMSKQVPQGNKYNMQDYIREDCGKLKNKIMVGTHHSARDSLHCKKVWGAVGAGPDDNFIKSSGETWSSESSVNLGEIDHEHNKVVKSRNSSLATNEDFHVEKQDECLNPTLNGSSLSMISWKSSSDNFSCYDLSNTSSSNHGNLGSSSTSDSEDASQQSAGRDTSLYTQNGFSECQVKGKDKKQDVSGGVAPESQALFGHSPDGRGNKVSGNLLTKAAENFEDGKATALTSSQHQSMSTSMQNQHLQFPFQAPSAMGYYHQNPVSWPATPANGLMPFPPNPYLYTAPLGCGSNGNSPLCMPYGTLLHLPTPLFNLGPVPIYHPISNVNGLYAEQIQFPEPGTEKEALPEVNSKGVPGRLQVTEQARKGEGRQNVVYAKLHTDDTSFSLFQFGGPVALSTGCKSNPVPLKDEIVVGEHSSQCLRVMLKTIVLAIKKESTIKEYKLFAASNGLRFSLF >KJB34809 pep chromosome:Graimondii2_0_v6:6:31913239:31916518:-1 gene:B456_006G085100 transcript:KJB34809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNIENPIFGLLVSSIFLLCSLVRICDGVDIIRHGQTVRDGNTLVSEAEIFELGFFSPENSILRFLGIWFSSNNTATILQNSGNFVLSSNESIGDTNEVHWQSFNHPTDTFLLGMRVPVNSAMGEYSAFTAWKSTNNPSSGSYTVGVDPHGGPQIIIWDHMKRRWRSGQWNSVIFTGVSNMSNIASFLYRFKLSQPNENRTQYFTYNPLNPSDLLRFRVGWDGMEHQSCLGNCSCNAYAFVSGIGCMMWTEDLVDISHLDQSGSLEFFYRLHHYKLGLPAISSIPCCKDNDIAVLVQSKSKSKELSTDLSVSSIILIDGNQVNRPELPIFNLGSLAAATNNFSKGNRLGLRGFGAIYKVVIFVYAYQQGELPGGQEIVVKKLSTKSCQGLEEFKNEIILIAKLHYRNLVRLLGCSIQGDEKMLIYEYMPNKSLDYFLFNEARKEKLDWRTCLRIIEGIARGLFYLHRDSRLRIIHRDLKASNILLDGEMNPKVSDFRMARIFRGNQHEANTVRVVETYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIIYGRRNTSFRSIEHTSLITYAWDLWNEEKAMDLIDPSIRDSCSLKEMLKCIHIGMLCVQDSVMHRPTMAAVMLLLESETPTLPMPRQPSYASMRSSINANFISDGQEIVSSNNLTVTMVVGR >KJB38825 pep chromosome:Graimondii2_0_v6:6:50960848:50966248:1 gene:B456_006G273600 transcript:KJB38825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVLSSSSSSSLPINFRSRCLFSHPSSPSRFPSHFHPNDNNSVSSSTSSCFGLSISRSNSFVHVKRFNSRRRSNRPLRISAVFERFTERAIKAVILSQREAKSLGNDMVFTQHLLLGLIGEDRDPDGFLGSGLNIVEAREAVRSIWQTSNHDSGKQEGSIVSSTEVPFSASTKRVFEAAVEYSRSMGYNFISPEHIAIGLFTVDDGNADQVLKRLKANVNHLATAAVARLQGELAKDGREPPVSSKKMPEKSLSRNAAGTRSPDKTKGESPLDQFCVDLTARASEGLIDPVIGRENEVQRIIQILCRKSKNNPILLGESGVGKTAIAEGLAIRIAQAQIPAFLLNKRIMSLDIGLLMAGAKERGELEARVTALLSEAIKSGEVILFIDEVHTLIGSGTVGRGNKGAALDIANLLKPALGRGELQCMASTTIGEYRTQFDKDKALARRFQPVWINEPSQEDAVGILLGLREKYESHHRCKYTLEAINAAVYLSARYIPDRYLPDKAIDLIDEAGSRARIEAFRRKREQETDILSKAPDDYWEEIRTVLAMHEVVLASRLKHCNGASNVDDSSEDLFKSQLPSASDDDEPIMVGPEEIATVASAWSGIPAQQITADERMLLVGLEEQLKKKVVGQDEAVAAISQAVKRSRVGLKDPDRPIAAMIFCGPTGVGKTELTKALAACYFGSEDAMLRLDMSEYMERHTVSKLIGSPPGYVGYEEGGMLTEAIRRRPFTLLLLDEIEKAHPDIFNILLQLFEDGHLTDSQGRRVSFKNALVVMTSNVGSSAIAKGRRASIGFLLNDDESASYTGMKALVMEELKTYFRPELLNRIDEVVVFRSLEKSQMLEIVDLMLQEVKTRLMSLGIGLEVSESIKDLICEQGYDRTFGARPLRRAVTTIIEDPLSEALLAGEYKPGEIVFIDLDASGNTVFSSPSDKVITVSDTASTY >KJB38005 pep chromosome:Graimondii2_0_v6:6:48138908:48139802:-1 gene:B456_006G232300 transcript:KJB38005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSVENSVTQSHTRTHKIFLISNYLLLGAASSCVFLTLSLRLFPSLCGFFLILLHVITIAAAVLGCSVAISGSNKRYLAYMVSMVLTSIFEGSVSVLIMTRTSDFLGYLRSYVREDDGVLILKLAGGLCVAVFFLQWLVLGLAFVLRYYVLVEGHGVGNGGSLKQGNGKVADEDLKNWPWPFQV >KJB36902 pep chromosome:Graimondii2_0_v6:6:43955945:43956923:1 gene:B456_006G182100 transcript:KJB36902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADGSNPLVSVQPNALKFVCKFYLISVFTPMHACNAYIHDSIPRLCDQLVHGTGDDCSFDKFMELDYEDSSD >KJB37700 pep chromosome:Graimondii2_0_v6:6:46904990:46909249:1 gene:B456_006G216200 transcript:KJB37700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLWRIAIIVATFAVSFGFLKKAYERLGQQQLKSLPPGDMGWPLIGNTWSFIRAYKSQNPESFINNLQKRYGKTGIYKTHLFGNASIIVCSAELCRKVLTDDNNFQWGYPVSNLILGDHVPFDYISSHKRLHRLLTTALNQHQPPSSHLGTIEKIVINTLEEWSKLKEPILFVPEVNKFVFKLIIDILLGSGTDDTQIASMEEYYRKVYYGLHTTPINIPGFAYHRAVQARKVLANKIRGVLGERRERRCNDPNPNTGIIDFIEKADFEDGRAMNHELLVGLLIGLFIAARETTSRTAIWATIHLHNHPRLLHKAKEEQEEIVKRRPSSQKGLTFTEIKQMKYLSKVIDETLRIGANVFSIFREAKNETYLNGYLIPQGWKVLVWTSAVHMDPEIYPNPNQFLPSRWDDFIPKAGAFLPFSTGSSTCPGADLAKLEITIFLHYFLLNYKLEQLNPGGPVNHFPSPDPADNCPAKIIKIR >KJB33493 pep chromosome:Graimondii2_0_v6:6:2871669:2874174:-1 gene:B456_006G013400 transcript:KJB33493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCASLPAKRIVAQRRHRRRSRKSHRKVSSALTDGPMKRNSNARVTDIAVSGYMHKDFEKGATLTRRISEVSNSTYHVTQMQWHRSQFDAKSNGCCQDDAWFDSCSMLEDDSDDDFISIHGDGIPSSGKVIQYESSSCFVDGKRKYEEYRESFLKFDHPNGGSFKGSKEDRRSDLEEKSVRYEKKLSVYRLSVKQGSCVAEDSFDEHSPKRLLYRPKAGSIIPCNKDDRVNQGYWSEIPPSTFKLRGETYFKDKQKCPAPIFSPYAPIGVDLFICPRKIHHIAQHIELPNGKPNEKIPPLLIVNIQLPTYPAAMFLGDADGEGMSLVLYFKASENVETVISEQYQESIKKFVDDEMEKIKGFTKETTVPFRERLKIMAGLVNPDDLNLNATEKKLVNAYNEKPVLSRPQHDFYQGPDYFEIDLDIHRFSYISRKGLESFRDRLKNGILDLGLTIQAQKQEELPEQVLCCLRLNKIDFSDNGQIPTLTTRADN >KJB37457 pep chromosome:Graimondii2_0_v6:6:46165896:46170910:1 gene:B456_006G205900 transcript:KJB37457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETSQESEAKPELKKTGMDPKAVKDKASSIRLSEAAKPASISHIARPEVLKEEGNRTSTIRRLSDLKSDDDDAGSPNSQESTQQQSYQNNAAIELINSVTGADEEGRSRQRVLVYAARRYASALERNPEDYDALYNWALVLQESADNVSPDSTSPSKDSLLEEACNKYDEATRLCPTFHDAFYNWAIAISDRAKMRGRTKEAEELWEQATKNYEKAVKLNWNSPQALNNWGLALQELSAIVPAREKQKIVRAAINKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTTGTGGSTNPKEVSSNELYNQSAIYIAAAHALKPNYSVYSSALKLVRSMLPLPHLKEGNMTAPPVGNAIAPHRDWKRTEFFLNHEALQQVIKAEQKQVSRSLSGTIAEVTNEENSAIRVEIPDIVSVSSCADLTLPPGAGLCIDTTHGPIFLVADSWESLDGWLDAIRLVYTIYARVRIHSIIPIISLEATAASVRSAFFVF >KJB37458 pep chromosome:Graimondii2_0_v6:6:46165998:46170655:1 gene:B456_006G205900 transcript:KJB37458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETSQESEAKPELKKTGMDPKAVKDKASSIRLSEAAKPASISHIARPEVLKEEGNRTSTIRRLSDLKSDDDDAGSPNSQESTQQQSYQNNAAIELINSVTGADEEGRSRQRVLVYAARRYASALERNPEDYDALYNWALVLQESADNVSPDSTSPSKDSLLEEACNKYDEATRLCPTFHDAFYNWAIAISDRAKMRGRTKEAEELWEQATKNYEKAVKLNWNSPQALNNWGLALQELSAIVPAREKQKIVRAAINKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTTGTGGSTNPKEVSSNELYNQSAIYIAAAHALKPNYSVYSSALKLVRSMLPLPHLKEGNMTAPPVGNAIAPHRDWKRTEFFLNHEALQQVIKAEQKQVSRSLSGTIAEVTNEENSAIRVEIPDIVSVSSCADLTLPPGAGLCIDTTHGPIFLVADSWESLDGWLDAIRLVYTIYARGKTDVLASIITG >KJB36571 pep chromosome:Graimondii2_0_v6:6:42541947:42543893:-1 gene:B456_006G165700 transcript:KJB36571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHHQRFGQLRSTSEILKKSAVHFTAHPFTFLFLSFLLLSFRSLVESGSLLLNSFIDRDPSFKSLLSRLDLHHSHPHARLHPARRQTRRPFLHLTRVGTLDDGFFSSDDDHRDRSLFGSFPKRPLNGTPVILSNFGTKLGFSHFVADNGILLPEIVRYGVKFKTTTFDYENNEGEQQEERIVDFQFVYKGLELGRRDAAALFFLVSFLSAAYGWVILGFTTIYSLVSGVLFVTTVNDLIGRFVSFFGAFWDGSKIGLKRLTGFVLIKWAVRDAVTQLLGFWYFGEIEDHYSFFKLFVRLKLMPFSVTSPWIRGYEKEISGFLFTWFLVDTLVSFAFSLAAWIAIVDSRRTGREIITEGCYLMSTLLNQAIQIKCYEAISGGSLARWVLTHIGGEFFAMVIQAALEVYFMVAWLIFYFVVRCREANAEGRRYGRRELEALIDGLR >KJB36627 pep chromosome:Graimondii2_0_v6:6:42744769:42746081:1 gene:B456_006G167800 transcript:KJB36627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGH >KJB33420 pep chromosome:Graimondii2_0_v6:6:2304204:2307670:1 gene:B456_006G010200 transcript:KJB33420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHLORORESPIRATORY REDUCTION 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39210) UniProtKB/Swiss-Prot;Acc:Q9FL87] MLSFAGNGFSTERKLFNSGFHQLYNCNFLSSYTLTPFVQASPVCRSENFSTVHVKHLKIGSQHRNAVKVCATRRRRAAYSRTETYVLLEPGEDEKFVSEEELKAKLKGWLENWPGKNLPLDLARFEAIDDAVSYLVRSVCELEIDGDVGSIQWYEVRLE >KJB34846 pep chromosome:Graimondii2_0_v6:6:32279294:32280661:1 gene:B456_006G087800 transcript:KJB34846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAADHSNSSSLDYSLSFTSLVCIDPPNHQSNKDQSPHDSNKSSRPDSGGGHLLPLEFLLKSRPPSLGGDGERKLNGEVRNQGKRETMGSKPWFGRKLLRSFVSPCRECRVVKPSTVKAPAKHHGRIKLH >KJB34318 pep chromosome:Graimondii2_0_v6:6:21782571:21783623:-1 gene:B456_006G059500 transcript:KJB34318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YGFASGVVFACLTIVVYKTTRMMKSKTMKDPNVETYIKKYGSLAPKRYQCSDIKKITNSFREKLGEGGFCSVYKGKLDDGRPVAVKVLKTDIRKGNGEEFINEVISISRTSHVNIVSLLGYCYTTNKKALMFEFMPKGSLEKFIHNKNIATEGRLTWGKLHEIAVGIARGLEYLHRGCNTRILHLDVKPHNVLLDENFCPKIFDFGLAKLCPKESIISVLDARGTIGYIAPELFSRNFGGVLHKVDVYSFGMMVLEMVGGRKNIDESTKDSSKLYYPHWVYDRLQKDNNLGVHGVMSSQDNEIAKKMIIIGFWCIQTYPVQRPSMNEVLVMLEGSLDTLKIPPKPFIYTP >KJB37943 pep chromosome:Graimondii2_0_v6:6:47866390:47869466:-1 gene:B456_006G227800 transcript:KJB37943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPWGDPARGQFWSQMLVALAVVVVAVASNVGVASADSYVYSSPPPPPYEYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYEYKSPPPPPKHEVNPPYHYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSLPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPLLLHHPHLTIIIKVVGKVYCYRCYDWSYPAKSHNKKHLKDAVVEVTCKVGEKEITAYGKTKINGKFSITVEGFNYAKYGAEACKAKLHAPPKGSSCNIATGLHGGNKGAMLTVKSKDKYEVVLKAEPFAYAPKTPYKECEKPKPKPTPSPYYYTSPPPPSPTYAYKSPPPPPYYYKSPPPPSPTYVYKSPPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVKAPAPTYHYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSSSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPAYSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPAYIYASPPPPTHY >KJB38653 pep chromosome:Graimondii2_0_v6:6:50440902:50443313:-1 gene:B456_006G265600 transcript:KJB38653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNVVVSGNNPNRAYVTFLAGNGDYVKGVVGLAKGLRKAKSLYPLVVAVLPDVPCDHREILRSQGCIVREIESVYPPENQTQFAMAYYVINYSKLRIWEFVEYEKMIYLDGDIQVMDNIDHLFELEDGYFYAVMDCFCEKTWSNSPQYKIGYCQQCPEKTKWPVGMGSAPPRYFNAGMFVYQPCLFTYCRLLETLKVTPPTSFAEQDFLNMFFRDIYKPIPPTYNLVLALLWRHPENIDLDQVKVVHYCAAGSKPWRYTGKEENMDREDIKLLVKKWWDIYEDESLDDKNNNLITTAAIDEPEKLGSLVSTTLSEDDDGVVNPQWTAPSAA >KJB34818 pep chromosome:Graimondii2_0_v6:6:32057946:32062205:-1 gene:B456_006G086000 transcript:KJB34818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGYKLQEIVAHTANVNCLSIGKKTCKLLITGGDDHKVYVWAIGKTTSLMSLCGHSSPVESLVFDSEEVSVLAGASTGAIKLWDLEETKMVRGFTGHRSNCTAMDFHPFGEFFASGSMDRSLKIWDIRKKGCIHTYKSHTRGISSIRFSPDGRWVVSGGFDNVVKVWDLTAGKLLNEFKFHEGHIRSINFHPLEFLLATGSADRTVKFWDLETFELIGSSKPEAKGARSVTFHPDGKTLFCGSDDGFKVYSWEPIVCHNSADMGWSTLGDLCINKGKLLGCSYYRNSVGVWIADIAHIVPYGQKDHMEKAGNFMRSTLGSRPLSPDYETKEIKNIYVDTAGGYPVVMQKDVSLKSPKPVLPLDANEKNQAAENQSPGAGLNIKSNGQSGDKSVTKPSVVLQDVDKDYTNTGEESITFSGTKSGMLLKPGHVRRLSFNNFEVEKLSAAVEPGTHSDMKTGLDSAIDLDSETRTSPNQDILAVVPGTTRSLVERFERQEKLNSGRDLASDNGNCAGNRSSRMESTCSSKGSITGDQISSHASSGIVTAISIKESTSASDGIILRNQFSQREATSATMTGNHIPLRGLNSSNDENVSIIESQIPKGEPSSATDGSITDNLMQTHDIFVSTLRSRLTKLQVVRLFWVKNDIKGAIDALRKLPDHSVQVDSISVFMQKMEILTLDLFSGLLPVLTGLLDSKIERHVIVSLEMLLKLVAVFGPVIRLTALAPRSVSVDLHGEQRRECCNQCSMQLEKIHKLLPLLQRRGGTIARCAHELNLVLQE >KJB34819 pep chromosome:Graimondii2_0_v6:6:32057946:32062205:-1 gene:B456_006G086000 transcript:KJB34819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGYKLQEIVAHTANVNCLSIGKKTCKLLITGGDDHKVYVWAIGKTTSLMSLCGHSSPVESLVFDSEEVSVLAGASTGAIKLWDLEETKMVRGFTGHRSNCTAMDFHPFGEFFASGSMDRSLKIWDIRKKGCIHTYKSHTRGISSIRFSPDGRWVVSGGFDNVVKVWDLTAGKLLNEFKFHEGHIRSINFHPLEFLLATGSADRTVKFWDLETFELIGSSKPEAKGARSVTFHPDGKTLFCGSDDGFKVYSWEPIVCHNSADMGWSTLGDLCINKGKLLGCSYYRNSVGVWIADIAHIVPYGQKDHMEKAGNFMRSTLGSRPLSPDYETKEIKNIYVDTAGGYPVVMQKDVSLKSPKPVLPLDANEKNQAAENQSPGAGLNIKSNGQSGDKSVTKPSVVLQDVDKDYTNTGEESITFSGTKSGMLLKPGHVRRLSFNNFEVEKLSAAVEPGTHSDMKTGLDSAIDLDSETRTSPNQDILAVVPGTTRSLVERFERQEKLNSGRDLASDNGNCAGNRSSRMESTCSSKGSITGDQISSHASSGIVTAISIKESTSASDGIILRNQFSQREATSATMTGNHIPLRGLNSSNDENVSIIESQIPKGEPSSATDGSITDNLMQTHDIFVSTLRSRLTKLQVVRLFWVKNDIKGAIDALRKLPDHSVQVDSISVFMQKMEILTLDLFSGLLPVLTGLLDSKIERHVIVSLEMLLKLVAVFGPVIRLTALAPRSVSVDLHGEQRRECCNQCSMQLEKIHKLLPLLQRRGGTIARCAHELNLVLQE >KJB34817 pep chromosome:Graimondii2_0_v6:6:32057417:32062445:-1 gene:B456_006G086000 transcript:KJB34817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGYKLQEIVAHTANVNCLSIGKKTCKLLITGGDDHKVYVWAIGKTTSLMSLCGHSSPVESLVFDSEEVSVLAGASTGAIKLWDLEETKMVRGFTGHRSNCTAMDFHPFGEFFASGSMDRSLKIWDIRKKGCIHTYKSHTRGISSIRFSPDGRWVVSGGFDNVVKVWDLTAGKLLNEFKFHEGHIRSINFHPLEFLLATGSADRTVKFWDLETFELIGSSKPEAKGARSVTFHPDGKTLFCGSDDGFKVYSWEPIVCHNSADMGWSTLGDLCINKGKLLGCSYYRNSVGVWIADIAHIVPYGQKDHMEKAGNFMRSTLGSRPLSPDYETKEIKNIYVDTAGGYPVVMQKDVSLKSPKPVLPLDANEKNQAAENQSPGAGLNIKSNGQSGDKSVTKPSVVLQDVDKDYTNTGEESITFSGTKSGMLLKPGHVRRLSFNNFEVEKLSAAVEPGTHSDMKTGLDSAIDLDSETRTSPNQDILAVVPGTTRSLVERFERQEKLNSGRDLASDNGNCAGNRSSRMESTCSSKGSITGDQISSHASSGIVTAISIKESTSASDGIILRNQFSQREATSATMTGNHIPLRGLNSSNDENVSIIESQIPKGEPSSATDGSITDNLMQTHDIFVSTLRSRLTKLQVVRLFWVKNDIKGAIDALRKLPDHSVQVDSISVFMQKMEILTLDLFSGLLPVLTGLLDSKIERHVIVSLEMLLKLVAVFGPVIRLTALAPRSVSVDLHGEQRRECCNQCSMQLEKIHKLLPLLQRGGTIARCAHELNLVLQE >KJB34690 pep chromosome:Graimondii2_0_v6:6:30391317:30394995:-1 gene:B456_006G078800 transcript:KJB34690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRDIDNLPKNAANYTALTPLWFLERAATVHPARKAVVHGSRTFTWLQTYQRCRRLASALSNLSVGFGSTVAVIAPNVPAAYEAHFGIPMSGAVINPVNIRLNASTVAFLLGHSQSAVVIVDQEFFTLAEDSLKIMKEKSQGNFKPPLLVVVADESCDPKTLRYALGQGAIEYEKFLESGDPEFSWKPPQDEWQSIALNYTSGTTASPKGVVLHHRGAYLMSLSNPLIWGMNEGVIYLWTLPMFHCNGWCFTWALAALCGTNLCLRQVTAKGVYSAIAKYGVTHFCAAPVVLNSIVNAPSEDTILPLPHVVHVMTAGAAPPSAVLFAMSQKGFRVTHTYGLSETYGPSTVCAWKPEWDSLPPETQARLNARQGVRYIGLEGLDVISSQTGEPVATDGKTIGEIVMRGNLVMKGYLKNPKANEETFANGWFHSGDLGVKHPDGYIEIKDRSKDIIISGGENISSVEVENSLYLHPAVLEASVVARADERWGESPCAFVTLKPGVADKSKEQELSEGIMQFCRSKMPAYWVPKSVVFGPLPKTATGKIQKHILRGKAKELGPVKLSKL >KJB34494 pep chromosome:Graimondii2_0_v6:6:27812566:27813093:-1 gene:B456_006G068700 transcript:KJB34494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIFLKKIHICFTKQKSDPQSPIRPPSPTKQHIAVSPTFIDTGNYSESDADWQPDLAAAFASQRFFFSSPGRSDSIIESLKTTPLPPPALLDGTVAIKKYSRDPYKDFTFSMREMIKARNISDVKKDWEFLHELLICYLSLNPKNTHDIIIRVFADIIVRLLSSSLCNSRI >KJB35028 pep chromosome:Graimondii2_0_v6:6:33558984:33574649:1 gene:B456_006G096300 transcript:KJB35028 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) UniProtKB/TrEMBL;Acc:F4K4Q2] MSFFKSVAANSSVFTPREAKMAMRQPSTYRVLSQRAGSSLRKTTSVNLLYFRGSSSVRVFSFLNIARYSSCSREEFYSSSKRRSRGPVMAAKKASQGQKEEEGRYKHTVDLPKTTFGMRANALVREPEIQKLWDDHQVFKRVVDKNDGGNFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNYKVHFVPGWDCHGLPIELKVLQSLDQDARKDLTPLKLRAKAAKFAKATVKAQMSSFQRFGVWADWNNPYLTLDPKYEAAQIEVFGEMALKGHIYRGRKPVHWSPSSSTALAEAELEYPEGHVSRSIYALFRMVSTPSSKDSLLEEFFPDICLAIWTTTPWTVPANAAVAVNAKLQYAVVEAKSLSEDVHPSAGNKKKRLGNIGTDQHRGWFQSSLLTSIAAKGKAPYSSVITHGFVLDEKGLKMSKSLGNVVDPHTIIEGGKNQKEAPGYGADIMRLWVSSVDYTGDVMIGPQILRQMSDVYRKLRGTLRYLLGNLHDWKVENAVSYQELPMIDRHALFQLENVVKNIREGYENYQFFKIFQIVQRFVNVDLSNFYFDIAKDRLYVGGTTSFTRRSCQTVLAAHLLSLSRVIAPILPHLAEDAWQNLPFQYTIKDGSIAGFVFESKWPSVNEKWLAFPAEEVDFWGKVLELRTEVNKVLEIARTGKLIGSSLEAKVYLHVSDASLASRLLEMCSVNNDADALHRIFLTSQVEVVPSLDHELVQNISHTGEYVVEDDRVWIGVSRADGSKCERCWNYSPQVGSFTEHPTLCGRCFNVVGIQPTPAMAAAIS >KJB35027 pep chromosome:Graimondii2_0_v6:6:33558984:33574649:1 gene:B456_006G096300 transcript:KJB35027 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) UniProtKB/TrEMBL;Acc:F4K4Q2] MSFFKSVAANSSVFTPREAKMAMRQPSTYRVLSQRAGSSLRKTTSVNLLYFRGSSSVRVFSFLNIARYSSCSREEFYSSSKRRSRGPVMAAKKASQGQKEEEGRYKHTVDLPKTTFGMRANALVREPEIQKLWDDHQVFKRVVDKNDGGNFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNYKVHFVPGWDCHGLPIELKVLQSLDQDARKDLTPLKLRAKAAKFAKATVKAQMSSFQRFGVWADWNNPYLTLDPKYEAAQIEVFGEMALKGHIYRGRKPVHWSPSSSTALAEAELEYPEGHVSRSIYALFRMVSTPSSKDSLLEEFFPDICLAIWTTTPWTVPANAAVAVNAKLQYAVVEAKSLSEDVHPSAGNKKKRLGNIVTEPKMPFFIVASDLVPTLEAKWGIKLIVKKTLSGSDLENCRYVHPINNMECPVVIGGDYITTESGTGLVHTAPGHGQEDYVTGLKYGLPIYSPVDDDGKFTEEAGQFNGLDVLGDGNAAVVKYLDENLSIIMEESYEHKYPYDWRTKKPTIFRATEQWFASVEGFRQAAMDAIGHVKWIPAQAQNRISSMTSSRSDWCISRQRTWGLPIPVFYHVTSREPLMNKETIDHIKSIIAQKGSDAWWYMTVEDLLPEEYRDTASEYEKGTDTMDVWFDSGSSWAAVLGERDGLNFPADLYLEGTDQHRGWFQSSLLTSIAAKGKAPYSSVITHGFVLDEKGLKMSKSLGNVVDPHTIIEGGKNQKEAPGYGADIMRLWVSSVDYTGDVMIGPQILRQMSDVYRKLRGTLRYLLGNLHDWKVENAVSYQELPMIDRHALFQLENVVKNIREGYENYQFFKIFQIVQRFVNVDLSNFYFDIAKDRLYVGVIAPILPHLAEDAWQNLPFQYTIKDGSIAGFVFESKWPSVNEKWLAFPAEEVDFWGKVLELRTEVNKVLEIARTGKLIGSSLEAKVYLHVSDASLASRLLEMCSVNNDADALHRIFLTSQVEVVPSLDHELVQNISHTGEYVVEDDRVWIGVSRADGSKCERCWNYSPQVGSFTEHPTLCGRCFNVVGIQPTPAMAAAIS >KJB35026 pep chromosome:Graimondii2_0_v6:6:33558955:33574701:1 gene:B456_006G096300 transcript:KJB35026 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) UniProtKB/TrEMBL;Acc:F4K4Q2] MSFFKSVAANSSVFTPREAKMAMRQPSTYRVLSQRAGSSLRKTTSVNLLYFRGSSSVRVFSFLNIARYSSCSREEFYSSSKRRSRGPVMAAKKASQGQKEEEGRYKHTVDLPKTTFGMRANALVREPEIQKLWDDHQVFKRVVDKNDGGNFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNYKVHFVPGWDCHGLPIELKVLQSLDQDARKDLTPLKLRAKAAKFAKATVKAQMSSFQRFGVWADWNNPYLTLDPKYEAAQIEVFGEMALKGHIYRGRKPVHWSPSSSTALAEAELEYPEGHVSRSIYALFRMVSTPSSKDSLLEEFFPDICLAIWTTTPWTVPANAAVAVNAKLQYAVVEAKSLSEDVHPSAGNKKKRLGNIVTEPKMPFFIVASDLVPTLEAKWGIKLIVKKTLSGSDLENCRYVHPINNMECPVVIGGDYITTESGTGLVHTAPGHGQEDYVTGLKYGLPIYSPVDDDGKFTEEAGQFNGLDVLGDGNAAVVKYLDENLSIIMEESYEHKYPYDWRTKKPTIFRATEQWFASVEGFRQAAMDAIGHVKWIPAQAQNRISSMTSSRSDWCISRQRTWGLPIPVFYHVTSREPLMNKETIDHIKSIIAQKGSDAWWYMTVEDLLPEEYRDTASEYEKGTDTMDVWFDSGSSWAAVLGERDGLNFPADLYLEGTDQHRGWFQSSLLTSIAAKGKAPYSSVITHGFVLDEKGLKMSKSLGNVVDPHTIIEGGKNQKEAPGYGADIMRLWVSSVDYTGDVMIGPQILRQMSDVYRKLRGTLRYLLGNLHDWKVENAVSYQELPMIDRHALFQLENVVKNIREGYENYQFFKIFQIVQRFVNVDLSNFYFDIAKDRLYVGGTTSFTRRSCQTVLAAHLLSLSRVIAPILPHLAEDAWQNLPFQYTIKDGSIAGFVFESKWPSVNEKWLAFPAEEVDFWGKVLELRTEVNKVLEIARTGKLIGSSLEAKVYLHVSDASLASRLLEMCSVNNDADALHRIFLTSQVEVVPSLDHELVQNISHTGEYVVEDDRVWIGVSRADGSKCERCWNYSPQVGSFTEHPTLCGRCFNVVGIQPTPAMAAAIS >KJB34916 pep chromosome:Graimondii2_0_v6:6:32694870:32698707:1 gene:B456_006G090600 transcript:KJB34916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFFFFFLSFLIFFHSSSAEPFIGVNYGQEADNLPPPLATVELLKSTSIEKVRLYGADPVIIKALANTEIGIVIGAANGDIPCLASDPNSAVQWVNSNVLPFYPDSKIILVTVGNEVLTTNDPNLIDQLLPAMQNMQNAITGALLGGKIKVSTVHSMAVLGQSDPPSSGCFSPSYEPALRGLLRFHSDNGSPFAVNPYPFFAYQSDPRPETLAFCLFQPNEGRVDRVSGIKYMNMFDAQVDAVHSALSDMGFKDVEIMVAETGWPYRGDNNEVGPSIENAKAYNGNLIAHLKSMVGTPLMPGKSVDTYLFALYDEDLKVGPGSKRAFGLYKPDLSMTYDVGISNCYPTPSTLKPTATIWCVPKAGISDAQLQSSLDYACGQGIDCSPIQPGGACFEPNIVASHAAYAMNLYYQNSAKNPWNCDFSQTATLTSQNPSYNNCIYPGGST >KJB38722 pep chromosome:Graimondii2_0_v6:6:50683302:50684783:1 gene:B456_006G269100 transcript:KJB38722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMCVEDRISKFSDHILCHILSFLPIKEAVRTSIISTKWRNLFASISIIEFDGDLLRRLTDRNIDSFKNFVDRLLKCPDQVSLDCFRLRDGISSWNDGDHDFDVSGWICAALCRGVKEIDLRLYNFGNVLPVFFTCHSLVNLTLDAVDSKIEVPSDVCLGNLKTFYLIESVVVCDSINRLISNCHVLEDLVFLDCSFGNASELNIQSPLLKELTLSYFNPNIDHVVVINAPNLVYFQYEGEIVKVHPLSNMKSLEKAFCNLFGSETSASYLIQGICNVRSLTLKISKEIFPTSRLPIFYNLIELEFHGDICFVEFLHCVPNLKKLILKYQKDAGARWKALCIKIPSCLSFHLKEIEIEISCIDTYMIEMVSYLLDNAMVLERLIIISTDRLTTTAEKRKVRNQLLQLSKSPKKCLI >KJB33178 pep chromosome:Graimondii2_0_v6:6:42377888:42378232:1 gene:B456_006G163500 transcript:KJB33178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVGVDFLIRFRRTKYGPKKEGHFTVVTVKGGEKKRFILELKYLRKPEFLRLLEQAKEEYEFQQEGVLILPSQPKSCRIF >KJB34056 pep chromosome:Graimondii2_0_v6:6:14206717:14208973:1 gene:B456_006G045500 transcript:KJB34056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKNKPLFSLLRDDDDATTVSTPYTAAVLEYRVVFYDPANAPVKDKNWNNANGHTVYDAQHPCRSNVAVRKELHTPASDRSCIHLEFDITGTGLSYETGDHVGVYCENLDEVVEEALRLLGLCPDTYFSVHTDKEDGTSLCCNIASKFDV >KJB35301 pep chromosome:Graimondii2_0_v6:6:35183373:35192440:-1 gene:B456_006G108600 transcript:KJB35301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIVKRPRGRPRKRRRPEEENESAVADTKSNNSKTKKRVLETRSMAFVGRYVLKEFGGSVFLGKIVSYDTGLYRVDYEDGDFEDLESGELRELVLEDSYFDDDLSRRKVRLDELVLNRIVKESKLEEEKKKAEVLKNEADGVESLAVSEMMIENDGEQEDDADSSSDSCEHAHDRGLSLESEIPLIPPPLLPPGSGTIGVPEECVSNLFSVYGFLRSFSIILFLSPFGLDDFVGSLNCCEPNPLIDAVHVALMRALSCHLETISSEGSELASKCLRCLDWSLLDTLTWPAYLVQYFVIMGYARGPEWKGFYEDVAEREYYSLSVTRKLMILQILCDDVLDYAEVRAEIDMREATEVGVDLDTVVTDSLEKGPRKFHPIHSKTSACKGKEAMEINAESHGVKSSSRTCSLGLRGTGGTAVDTDVDGNSDECRLCGMDGTLLCCDGCPSAYHTRCIGVVKMHIPEGPWYCPECVIDKMGPAIALNTSLRGAELFGVDLYGQVFLGTCNHLLVLKAPRDTESYVRYYNLNDIPRVLQVLSSSVEHRTLYFDICKAIIRYWNVPENIFSPLEMGGNVANVKEDAKFSTGSPLPFGKESHKALGSVDVENASSFSGSNVGVSCQDASMLAMNQTDLTCSLSNGGAMGGKDHPPMNKKPSEQIYIESAMSAPSVSQQTASDVTHQSLVDRSNAIDHASCASGNSSNSYGGAANSVHFQANMFCQNQSKVGNHVGFGRDARNYAVDYQYMGISFKPHAYVNHYNHGHFAATAAAKLAVLSSEESQVSEVNRSGSARKVTSASSTLLQVKAFSLAASRFFWPNAEKKLLDIPRERCGWCHSCKVPGLSKRGCMLNSAVSTATKSANKILGGLPSLKNGEGSLPSIVTYILYMEETLRGLVAGSFLNPDYRKQWRRKVEDASTCRAIKVLLLDLEENISLNALSLDWVKLMDDWLVDSYVIQSTSFTVGFPLKRGPGGRRRRKQSVASEVTADDCDGKSIDWWRGGKLSTHVFQKAILPASMVRKAAQQGGVRKISGINYVDDFEIPKRSRQLIWRAAVKRSKNAAQLALQVRYLDLHVRWNDLVRPEHNISDGKGSETEAYVFRNAIICDKKTVESKIQYGVAFGNQKHLPSRVMKNVVDIEKIDDEKEKYWFHVTYIPLYLIKEYEERTSVSAFPPVKKPLSELSELQRRQLKASRRNIFAYLISKRDKSEKCSCASCQMDVLLRNAVKCGTCQGYCHQDCTLSSTVMNGKVECLIICKECYNARVLARNEINTKSPTTLLPLQGQDCRSAPAVSKGMPVKSSTQPIKLSSIRSKENSVKIQERSSDTKQSASHSRLASKRSKLCNWGVIWRKRNSDETGIDFRLANILTRGVSDNHFLKPQCELCGQPYNSDLMYIHCETCRKWYHADAVELEESRISDVVGFKCCKCRRIRGPECPFMAPELREQKRKKRFGKLQKQGQGSIALDSDLGTISDIKVCSPVTPIISTEDELVYVNDPDVFSLSKVEQITENIPEVDFELNTASAPGPQKLPVRRHIKREGELDGFAGGDVEHVELSTYPEPNDFAVPKGDSAIPFAEWDVPGNGGPEGELIFDYENLNYEDMEFEPQTYFSFTELLASDDGTDGTAKDVDNQVEADVTALHCHVCLLNDPAPELYCDVCGFLMHSHCSPWEESSSSENNWRCGRCREWR >KJB38558 pep chromosome:Graimondii2_0_v6:6:50119901:50121296:-1 gene:B456_006G260500 transcript:KJB38558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVTKARISLILLLCLVDRNGCERTGPFNPAEALVKAKNAAAGKLGPDVKTFNVLDYGAKADGKTDSSINFIRTFKAACNFRGNAMMVIPKGDFLIGPIIFQGPCFNPSSLIIQANGIVKAQADLSYFTGGADDTDWITFQSIKGLILSGTGTFHGQGAKAWKYNDCAHKSHCVRLAANFKFNKVNDAIINGINSIDPKGFHIMVTVCQNFRLLNLKLQAPGDSPNTDGIHISKSTVVKIAHCVIGTGDDCVSMIHGSIDISVNKVICGPGHGFSVGSLGHYDDEADVNKIVVTNSSLTDTTNGVRIKTYKTDSPSKASSIFFTDLIMNRVKNPIIIDQEYGNRRSTQVT >KJB38559 pep chromosome:Graimondii2_0_v6:6:50119355:50121445:-1 gene:B456_006G260500 transcript:KJB38559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVTKARISLILLLCLVDRNGCERTGPFNPAEALVKAKNAAAGKLGPDVKTFNVLDYGAKADGKTDSSINFIRTFKAACNFRGNAMMVIPKGDFLIGPIIFQGPCFNPSSLIIQANGIVKAQADLSYFTGGADDTDWITFQSIKGLILSGTGTFHGQGAKAWKYNDCAHKSHCVRLAANFKFNKVNDAIINGINSIDPKGFHIMVTVCQNFRLLNLKLQAPGDSPNTDGIHISKSTVVKIAHCVIGTGDDCVSMIHGSIDISVNKVICGPGHGFSVGSLGHYDDEADVNKIVVTNSSLTDTTNGVRIKTYKTDSPSKASSIFFTDLIMNRVKNPIIIDQEYGNRRSTQTSKVSISDVRFVNIKGTSISKVAVQFLCSKSNPCEGIQMNNIDLQYVGPPRDQQPFESNCTNAKVSYIGLQNPPPCR >KJB36876 pep chromosome:Graimondii2_0_v6:6:43830314:43833823:-1 gene:B456_006G180800 transcript:KJB36876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKRHQFKAYSNHKYNGGKSKTYNNSTIRKRSTEEFSCIPYIVALSNCLLYTWYGLPDVSYKWENFPVFTINGLGTMLELSFIFIYIWFAPTRGKIKAGTITTMVMVIFTVTAIISAFVFHDHHHRKVFVGTIGLVASVAMKAAPLVVVSPNLVGLPLGILQLGLANIGKEE >KJB35232 pep chromosome:Graimondii2_0_v6:6:34847445:34848922:-1 gene:B456_006G105900 transcript:KJB35232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNSLFIYFPKHCFLFLDLLFSSTLEDFLKFPSLFGFRISSMDRAQLLLVGLPLFLFATDLFSLFTPPPPKPPPHHHHHHHPHLPKPPFNPETLDISLQKPSAIGGIGYGSTVNINFCASCSYRGTAVTMKKMLEAQFPGIDVILDNYPPSLPKRLLSKVVPVFQFGVIGIMMAGEQIFPMIGITTPPPWYYSLRANRFGSIATAWLLGNVMQSFLQSSGAFEVYCNDELVFSKLKEGRFPGEIELKDIIAKTLANSRVTSNLGVVLS >KJB35119 pep chromosome:Graimondii2_0_v6:6:34138643:34141725:1 gene:B456_006G101100 transcript:KJB35119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKEEVISVELPAPASWKKMFFPKKVGSPRKTEVMFIAPTGEEINNRKQLEQYLKSHRGNPPITEFDWGTGETPRRSARISGKAKATPTPEKEPLKKRGRKSSSAKKEDEEAEAAPEKSEGEKESEKEDTQATEKETTEGEKGKDVSVDNQVENGSEMLSADQTATTDAKIEEAKQEEAVVVEETSTQMEVQEKPVAASCIDAPIEKPETMSLEANGDVEKENLNQTIPVPEGEVKEKSVQEAAGKCNVEVEDVGVNENGKLEPSVQTDAPQQPGPASVSC >KJB38056 pep chromosome:Graimondii2_0_v6:6:48321089:48321948:1 gene:B456_006G235000 transcript:KJB38056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLKLICGLVLLCMLVVEPMATTALTCGQVASETAGCIRYLQRGGNPPAACCNGVRNLNRQARTTRDRQTACRCLQTAARTISGINAKLAAGLPAKCGVKIPYKISPSTNCNRVR >KJB38154 pep chromosome:Graimondii2_0_v6:6:48644245:48644769:-1 gene:B456_006G239600 transcript:KJB38154 gene_biotype:protein_coding transcript_biotype:protein_coding description:SECE1 [Source:Projected from Arabidopsis thaliana (AT4G14870) UniProtKB/TrEMBL;Acc:A0A178UZ24] MAAPLLFPVASSTKTAAPPTYSPRKPVPSGHRFFSFPSKTKPLLKITGNSNKHRSFTAKAIEESKESTEDTLTAKEVETELESSEESELSAIGAEIKKAMKEREANNSDNSLAGGVAEEIREIEWPAFGKVLGTTSVVLGVIAGSSVVLLTVNAVLAELSDRVFAGKGVQDFFS >KJB34787 pep chromosome:Graimondii2_0_v6:6:31685568:31689229:-1 gene:B456_006G083700 transcript:KJB34787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISYILLFILSFISISRFLVISKAGAESELVADLLTLQSQSESGVIHLNDRTVSKFITSTKTPRPYSLLIFFDAIPLHDKSDLRLPVLVHDFALVASSFIANHNGSNTKLFFCDLEFRESQSSFKLFDVNSLPNIYLVGPDAESLKDDTDQMEQSGFTRLTESLVEFIESRTQLTVGPIHHSPIISKKQMVLIIGFLLIWSPFAARKIFAGETMFHNPRIWLSGAIFVYFFSVSGAMHIIIRKMPMFLMDREDPNKLIFFYQGTGVQLGLEGFMVGFLYTIVGLLLAVVTHVLVYVKDLKTKRIGMLFSVFVSFWAVKQVIFLDNWKTRYAIHGFWPSRWN >KJB36142 pep chromosome:Graimondii2_0_v6:6:40123795:40136345:-1 gene:B456_006G143300 transcript:KJB36142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLGLMTYVLGMEVNGCTRKIGKSVIQAVDSAGLHIVPVSFEKASLLVFQEYPNLIVVDYTVPATVNDNAELYGKVGVPFGTTVGDRDRLYRTVEESKVVAFLAAMEIMAEQFPGAFSGYNLQVMESHLAGKLDTSGIAKAIISCFQKLGGRGGGGIQMIRDPKQQIEMMGVVEEHLSGHACHLYHLTSPDQT >KJB33251 pep chromosome:Graimondii2_0_v6:6:863925:865365:-1 gene:B456_006G004000 transcript:KJB33251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVEWVIEVDEKLKTMLTSPKVVSLGPYHHGQPHLNPMEDHKQRALLHFLKRSGKPLRSFVESLAKDLQQLTDCYELLDPRWQDDDHKFMQLMILDGCFMLEISCLDTHTMEDYAETDLIFSNHGQIHIIPFIKRDMLMLENQLPMQVLHSLVDVDSNGTKVQRGKLEPNKLGLNLLEDLQY >KJB38846 pep chromosome:Graimondii2_0_v6:6:8332498:8335059:1 gene:B456_006G032300 transcript:KJB38846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASGVPIYELSVPKSCNFYEKFSSSKSNPRLGLKWSEVRCCRNQKIGGKSKSKSNKNYYELLGIPFDSNMLQIKEAYRKLQKKYHPDIAGQEGHEYTLMLNEAYKVLIKDDQRKEYDASIGSMKAKFGSNVSGFSSWKGPLRPQALFVDANNCIGCRECVHHASNTFEMDEALGCARVKVQYGDDDRKIDVSVDSCPVNCIHWVDSEELAVLEFLIQPQLKEGYGVFGGGWERPSNVFMAANAFTKQLKQQAEAAQGQHKNVEEEETPAQAEARVNATMKLNMERFNKIWGKCKHFFII >KJB38847 pep chromosome:Graimondii2_0_v6:6:8332498:8335059:1 gene:B456_006G032300 transcript:KJB38847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASGVPIYELSVPKSCNFYEKFSSSKSNPRLGLKWSEVRCCRNQKIGGKSKSKSNKNYYELLGIPFDSNMLQIKEAYRKLQKKYHPDIAGQEGHEYTLMLNEAYKVLIKDDQRKEYDASIGSMKAKFGSNVSGFSSWKGPLRPQALFVDANNCIGCRECVHHASNTFEMDEALGCARVKVQYGDDDRKIDVSVDSCPVNCIHWVDSEELAVLEFLIQPQLKEGYGVFGGGWERPSNVFMAANAFTKQLKQQAEAAQGQHKNGRVRSVEEEETPAQAEARVNATMKLNMERFNKIWGKCKHFFII >KJB34067 pep chromosome:Graimondii2_0_v6:6:14475666:14476854:-1 gene:B456_006G046200 transcript:KJB34067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFALDCDMLDSGSVSSPLSDSGSGTSRPANFSDEDVMLASSCPKKRAGRKKFRETRHPVYRGVRRKNSGKWVCEVREPNKKSRIWLGTFLTAEMAARAHDVAAIALRGRSACLNFADSAWRLPVPASADPKDIQKAAAEAAEAFRQPAEQWEGNSEANAKGDENKGSLCENGFYLDEEAVFGTQSYLENMAAGMMMSPPRCGYNGDELEYDAADDIIPLWSYCQ >KJB37456 pep chromosome:Graimondii2_0_v6:6:46156952:46159040:-1 gene:B456_006G205800 transcript:KJB37456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETRSCEFGISGMKRAAAADSFEVDDEIFVAKKSMLPTLAVAPAAWENDPAASLAGLRHEFGEHGGINMSIEASATFTVMEPETMRRMFTGELGPDRDFFIYSRHFNPTVLNLGRQVAALEGTEAAYCTASGMAAISSVMLQLCSSGGHVVASATLYGGTHALLTHFLPRTCNITTTFVDITDHEAVKNAIVEGRTKVLFFESISNPTLTVANIPELSKLAHDKGTMVVVDNTFSPMVLSPARLGADVVVHSISKFISGGADVIAGAVCGPASLLNSMMDLHQGALMLLGPTMNAKVAFELSERIPHLGLRMKEHCRRALEYATRMKKLGIRVVYPGLEDHPQHHLLKSMANKGYGFGGLLCVDMESEEKANRLMHHLQNSSQFGLIAVSLGYYETLMSCSGSSTSSELNSEEKALAGISPGLVRMSIGYTGTLEQKWSQFERAISRMEVDSTLFNKN >KJB34984 pep chromosome:Graimondii2_0_v6:6:33181808:33182214:1 gene:B456_006G094300 transcript:KJB34984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLILQWLNILITNQISHLNHNLTILTKRSRFRAPIICLSRKNIGIHILERIMHHFSITQSSNKPTLPLYLASSLPNSTLPIPTLTPYIPFPNLTFLYPTL >KJB37311 pep chromosome:Graimondii2_0_v6:6:45650892:45651527:-1 gene:B456_006G199200 transcript:KJB37311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKACNKVQPVGRKGKKKQVKDELDRIKQAEKKKRRLEKALATSAAIRSELEQKKQKKKEEQQRLDEEGAAIAEAVALHVLVGEDSDDSCTVMLNKEERFNPWDYANNLNLFMGGGRGCFLQQGQANWGGQVSDAYKDGWEWAMLENSNWSLPYGAYGGDLQASCSYLEDGSWGNTGVSADLIAAQAVSALQITKDAAVDTIVLNGMLGG >KJB33623 pep chromosome:Graimondii2_0_v6:6:5951865:5952821:-1 gene:B456_006G022500 transcript:KJB33623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGWWSNYSNIGFHHCTWPGVRCSAAGSVIEIDLSGHGLNGGLTPQIGDLSKLKYLNLSWNGLRGELPSSLGNLSQLAVLDVSYNEIVSIPLEIEKMENLVSLNLTRNLIVHMPSAIGLLTNLTHLIMTSNPLQSIIPPHIWNLKKLMTLHLGNCQLNGSIPPNIGKLKSLVNLHLSSNMLVGPIPSSITNLTNLQLLDLSSSMLVGPIPSSVNNLTNLVSLVLDDNQLNGSIPQEIGRLTNLVTLDLSSNIPLGPIPPSLGQLKNLSSLDLSLNKIFGPLPPNLDNLSRLESFSLYQNKINDSSPSAITKLKRLSN >KJB38382 pep chromosome:Graimondii2_0_v6:6:49554355:49556821:-1 gene:B456_006G252300 transcript:KJB38382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIAQEAWRKYLIQLQVHPLRTKAITAGCLAGCSDLIAQKISGVKRIQLRRLLLIMLYGFAYSGPFGHFLHKVMDIIFRGKKGSKTVAKKVLLEQMTSSPWNNFFFMMYYGLVVEGRPWGLVRSKVRKDYPSVQLTAWRFWPIVGWINYQYMPLQFRVLFHSFVASCWAIFLNLKARSSVAIKAA >KJB36802 pep chromosome:Graimondii2_0_v6:6:43499453:43502034:1 gene:B456_006G177600 transcript:KJB36802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSVEYLPPPLDATAQQPAIFDGTIRLYLAYPCPYAQRVWIARNCKGLQDKIKLVPLNLQNRPAWYKEKVYPENKVPSLEHNGKVIGESLDLIKYVDSNFEGPSLLPDDADKKEYFETLLSHMDEFLGLVYATFKGDSTKDADAAFDHLETALAKYDGPFLLGNEFTLADIAFIPFVERFQIYLSEVFNYDLTAGRPKVAAWIEAADKIDAYKQTKKSDPKEIVEIYKRIFSAQK >KJB36803 pep chromosome:Graimondii2_0_v6:6:43499594:43502021:1 gene:B456_006G177600 transcript:KJB36803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSVEYLPPPLDATAQQPAIFDGTIRLYLAYPCPYAQRVWIARNCKGLQDKIKLVPLNLQNRPAWYKEKVYPENKDADKKEYFETLLSHMDEFLGLVYATFKGDSTKDADAAFDHLETALAKYDGPFLLGNEFTLADIAFIPFVERFQIYLSEVFNYDLTAGRPKVAAWIEAADKIDAYKQTKKSDPKEIVEIYKRIFSAQK >KJB36804 pep chromosome:Graimondii2_0_v6:6:43499594:43502021:1 gene:B456_006G177600 transcript:KJB36804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVEYLPPPLDATAQQPAIFDGTIRLYLAYPCPYAQRVWIARNCKGLQDKIKLVPLNLQNRPAWYKEKVYPENKVPSLEHNGKVIGESLDLIKYVDSNFEGPSLLPDDADKKEYFETLLSHMDEFLGLVYATFKGDSTKDADAAFDHLETALAKYDGPFLLGNEFTLADIAFIPFVERFQIYLSEVFNYDLTAGRPKVAAWIEAADKIDAYKQTKKSDPKEIVEIYKRIFSAQK >KJB36339 pep chromosome:Graimondii2_0_v6:6:41192058:41192556:-1 gene:B456_006G1534002 transcript:KJB36339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSLGGAGSSSLHQPRPSHDGNSSIAPEPGLTGFSYGGNTSAAIEPRPPMPPFGYDSSSSVGTANPELPPFEPLSDDLLKGDSLGPFDNDMGPGHFPLGPLESSSPASDFGGNPLRFFGGESSSHGAEGNRETGPFDDKN >KJB35250 pep chromosome:Graimondii2_0_v6:6:34948877:34950689:1 gene:B456_006G106800 transcript:KJB35250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRFAIPTANTLTPPKTKPKPGLVVQTAWNQTDLFPSLPKRYDYKLQAATTDLSVAGDITAEEVVELPAELRRELMPKHVAVIMDGNGRWARQKGLATSAGHEAGVKALRELIELCCQWGIQVLTVFAFSYENWIRPKVEVDFLMSLFERALKSEVESFSRGGIRISVIGDSTKLPTSLQKLINEVEESTKHNSRLQLIVAVSYSGKYDVVQACRSIAEKAKDGQIQLDDINESLIEQELETNCTEHPYPDLLIRTSGELRVSNFLLWQLAYTELFFAQELWPDFRKDEFVDALSSYQQRQRRYGGRH >KJB37908 pep chromosome:Graimondii2_0_v6:6:47698567:47699003:-1 gene:B456_006G225600 transcript:KJB37908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNKLKMLALSFLEITLLLIIFTPINGYGMVVGGKTPVEDVEKDKAMQALGRFAVEEHNKNKKNDGDTSNPIKFSQVVRAEKQIVSGIKYFLTIEGMENGKKMTFHSIVLIKPWSKSKDKKLLSFSPIQ >KJB37379 pep chromosome:Graimondii2_0_v6:6:45883346:45883905:1 gene:B456_006G202500 transcript:KJB37379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNQGGFLSWLGTSVAQAFFVSLERFACINLSTSDDAEDSEAHDRPLMFSSFNSVSSSVISRAVNNHAPANDVANLPV >KJB33510 pep chromosome:Graimondii2_0_v6:6:3100399:3100680:-1 gene:B456_006G014500 transcript:KJB33510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITFLSQEVDSEISNQLIGLMVYLSIENDTKELYLFINSPGGWVIPRVAIYDTMQFVQPNVHTICVGLVASMGSFLLDGREITKRLAFPHARCQ >KJB38634 pep chromosome:Graimondii2_0_v6:6:50355947:50357426:1 gene:B456_006G264500 transcript:KJB38634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGSSDGDGHKAATQRASSMLWAPELSSVHWNRCRTWIRSSNEDSHRKWIEGGDYGLCIEDVRDEIWDMVKPVDLH >KJB36774 pep chromosome:Graimondii2_0_v6:6:43413865:43414732:1 gene:B456_006G176200 transcript:KJB36774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSSSSSSSSSSYIHMVHRLIEECLVFKMSKEECMEALSKHANIKPVITSTVWIELEKENKEFFEAYTRGSHERATEIEKRQRIQRSLHAYIRDNNGHDQLHH >KJB36475 pep chromosome:Graimondii2_0_v6:6:42078710:42079868:-1 gene:B456_006G160900 transcript:KJB36475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLNLLFGLTLAILISGAQMATFTVKNNCQYPIWPATLVGDPNFPQLPKTGFQLDPQAQDSLNVPSPWKGRLWARTQCSTSGGRFTCATADCGSDQIACNGKGGAPPATLAEFTIAANGGQDYYDVSLVDGFNLPLSITPQGGSGPNCTSTSCAANVNSACPPNFVVKGSDGNTIGCKSACAALNEPQYCCTGQYGSPETCKPTDYSKKFKDQCPEAYSYAYDDATSTFSCTGGPSYLITFCP >KJB37234 pep chromosome:Graimondii2_0_v6:6:45201096:45202029:1 gene:B456_006G195000 transcript:KJB37234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFFLCNSNPSFFGILRELLLQSAGFMTERICCMVMRINIDCNGCYRKVRRALLDMKELETHLIEKKQCRVSVCGRFVPRDVAIKIRKKTNRRVEILEIQEFSINDEQTHEEKPLMITSNTWNLESNQTHIETCVACI >KJB33486 pep chromosome:Graimondii2_0_v6:6:2794299:2795660:-1 gene:B456_006G013100 transcript:KJB33486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRDVVSWNSMIGGLTKVGELREARKLFDEMPEKSIITWNTVLNGYVKAGEMEEAFQLFESMPQRNIVSWSTLVMGYSKAGDMDMARVLFDEMPVKTLVPWTIIISGYVEKGFTKEAIELYDRMERNGFKLDDGTVISILAACAESGSLAMGLKVHDSIERLKFRCSVAVCNTLVNMYAKCGSLDKALSVFNGMSKRDVVSWNVMLQGFAMHGHGREALCVFERMKKEGFKPDRVTFIGVLCACSHAGFIDEGIQCFYAMEKDYGIVPEIEHYGCMIDLLGRGERLKEAFMLVNTMPFEPNAVIWGTLLGACRLHGATELAEKVLDHFKLDRTDPGNYSMLANIFAAAGDWDGVATVRLQMKSVGVQKPSGASSVEIDNEVHEFTVFDRSHPNFDSIYGMVHRLAQDLKRVSHPKDATLPVEVGELVI >KJB33949 pep chromosome:Graimondii2_0_v6:6:11247462:11253287:1 gene:B456_006G039900 transcript:KJB33949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TPLATE [Source:Projected from Arabidopsis thaliana (AT3G01780) UniProtKB/Swiss-Prot;Acc:F4J8D3] MDILFAQIQADLRSNDALRQSGALLSALQQSAAGRDISIIAKSAVEEIVAAPASAVCKKLAFDLIRSTRLTADLWDSVSTGIRNDFHFPDPDVVAAAVSILAAIPSYSLAKLITDSNAEISACFDSPSDNLRFSITETLGCVLARDDLVTLCESNVNLLDKVSAWWARIGQNMLDKSDTVSKVAFESVGRLFQELDSKRMSRLAGDKLVDSENSLAIRSNWVSSMVDFVWKKRSTLMARSLILPVESFRATVFPLVFAVKAVASGGLEVIRKISKGSKVNGTVVDSNAEKLVGVSDLVTHLAPFLASSLEPALIFDVGINMLYLADVPGGKPEWASQSIIAILTLWDRQEFASARESIVRAVVTNLHLLDLHMQVSLFKRLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLAGTDIASLFEDPSVKDDLHNVTSKSLFREELVATLVESCFQLSLPLPEQKNSGMESRVIGALAYGTGYGALNWTETALEVVEVCRPCVKWDCDGRTYAVDCYLKLLVRLCHIYDTRGGVKRVKDGASQDQILNETRLQNLQRDLVKDLREVSTSRICARLLWAISEHINLEGLDPLLADDPEDPLNIIVSNIHKVLFNADASTDTTNRPQDVQAALLCAQRLGSRHARAGQLLTKELEEFRTCTLADSVNKHQCRMILQRIKYISNRPESRWVGASEARGDYPFSHHKLTVQFYDASAAQDRKLEGLVHKAILELWRPDPSELTLLLTKGIDSASLKVPPTARTLTGSSDPCYIEAYHLADAGDGRITLHLKVLNLTELELNRVDVRVGLSGSLYFMDGSPLAVRQLRNLVSQDPVLCSVTVGVSQFERCGFWVQVLYYPFFGSGTVGDYDGDYSEEDPQIMRLKRSLRPELGEPVILRCQPYKIPLTELLLPHKISPVEFFRLWPSLPAIVEYTGTYIYEGSGFKATAAQQYGSSPFLSGLKSLYSKPFHRVCSHIIRTVAGFQLCYAAKTWHGGFLGLMIFGASEVSRNVDLGDETTTMMCKFVVRASDASITKQIESDPQGWLDDLTDGGVEYMPEDEVKVAATERLRISMERIALLKAAQPKKTLKTEDKAEDEESDEDEDDEDKEKKKKKEGEEDDKAKGPSTLSKLTAEEAEHRALQAAVLQEWHMLCKDRSMKVG >KJB37083 pep chromosome:Graimondii2_0_v6:6:44543337:44544885:-1 gene:B456_006G188900 transcript:KJB37083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNSLQVCMDSSDWLQGMTQEEPGMDSSSPCGDILTCSRPLIERRLRPPQEQAVKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVATTKRSNDQSANNSAGSSMPHNPTDLHLSLPEMHLSHLNNILGIHGSNTNFIESKYNPLLENPGPIDFLSRNYDVMGNGELGMIGGLGDCSPYGMSLDGNVNTITFMDTYQRMTFPYAANEDQHATDVKPNTKLLSLDCWQDQGCSDAGKDTYGFFNNLGSWNDE >KJB36437 pep chromosome:Graimondii2_0_v6:6:41775268:41776456:1 gene:B456_006G158400 transcript:KJB36437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPFGVSFFSKSCSFLAKPQDPTNNGGRLQRKRARKKKSKDMVFQWQTIRPRQIRKNKTFFFTVSFSSASPSSASS >KJB35418 pep chromosome:Graimondii2_0_v6:6:36213341:36214311:-1 gene:B456_006G114100 transcript:KJB35418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNKKNLFKSLLTVNAGCGCSRPKLSDAYEPKPKPKPKSSSAPRNPIIPTIENDDLTSTSFSFNNNDTVSSSPTTTDLYSESEPDLPTAKLSRPCPKIIDSVAVVKDSDDPYKDFGHSMLQMIVEKRIYSKHDLEELLQCFLELNSPCHHDVIIKAFMEIRKRVLPDEIVTALQDQEPCFVHGGEKPGS >KJB33619 pep chromosome:Graimondii2_0_v6:6:5670839:5672935:1 gene:B456_006G022100 transcript:KJB33619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPPHAFMFQPHEDHHNDHLPSPTSLNFLPSCPPQLFHGGGAPFMMKRSVSFSGVDKSEEVHGDDELSDDGSHLGEKKKRLNLEQVKALEKSFELGNKLEPERKVQLAKALGLQPRQIAIWFQNRRARWKTKQLEKDYDALKKQFEALKADNDALQAQNKKLNAELLALKTKDSNETSCIKKENDCSWSYGSENNSCDVNLDVSRTPLMSSSKHLFPPSVRPTSMTQLLQGSSRPDLQCVKLDQVVQEESFCNMFNGVDEQQGFWPWSEQQSFH >KJB33877 pep chromosome:Graimondii2_0_v6:6:9730276:9733030:-1 gene:B456_006G035800 transcript:KJB33877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFFRSFPISSFPVHSKSKRFSYDGSSFHRLIISFASQCEATNKISIEDDIVIRRSANYDPSIWDYDYIQSLRNDFVQDESYKERASKLKEEVRMMLENEVDPLEKLELIDTIQRLGLSYDFGDEIKKTLKNISIDRSTTVARDKDNLYATALEFRLLRQHGYKVNQDVFACFMDDVGNIKASLNQDYKGLLNLYEASYHLLEGETMLQNARELAAKLLKQCLKENNDNQYLWMLVEHALELPLHWRIPRFEAGWFIDVYEKNKEKNPILLELAILDYNIVQSMHQDDLRYVSAWWKELGLDKRMSFARDRLMENFLWSVGMIISPQNGKGRRIQTKVNSLITIIDDVYDVYGTLDELELFTDVVERWDINAIQKLPNYMKICYHALYNSINEMAFDTLKEQGIDVLPFLIKLWTNLCKSYLVEAKWYYTGYTPTLQEYMNNAWISVSGCVILGYSYLATGRITEEGLHHFQECHPNIIYWSCIIVRLADDLGTSSYELKRGDVPKSIQCYMNESGTSEEEARGHIRKLIDATWKKMNEEQTTKSPFSQTFIEIVMDFTRVALLLYQNGDGFGIEGNETKDRVLSLFINPISLPK >KJB34542 pep chromosome:Graimondii2_0_v6:6:28906180:28907456:1 gene:B456_006G071700 transcript:KJB34542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISLERAQESIVNRQQELKAFDETKSGVKGLVDSGLSKIPAIFIDEQYKLERNNVHNQKPGSPTNNDGIPIINLTGVDDDPNLRREIVKKVGEACEKWGFFQVINHGIPLATTDEMINGVRRFHEQDDKAKKEIYSRDYSKKVYYNSNIDLYKAEATNWRDTLSCVMAPRHPLPQELPAVCRDIMIEYSSKMMQLGQTLLELMSEALGLNWSYLEDIGCGEGLFVKGHYYPPCPEPDLTLGTSSHTDNSFCTVVLQDEIGGLQILHQNQWLDINPVRGALVVNLGDMMQASSP >KJB35552 pep chromosome:Graimondii2_0_v6:6:37013485:37014996:1 gene:B456_006G119900 transcript:KJB35552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQYVFTTLTLLILFSLSCSTTLAQAPALAPAPSGPTNVTKILEKAGQFTLFIRLLKSTQVANQLLGQLNNSNNGMTVFAPTDNAFSSLKSGTLNSLTDEQKVQLVQFHIVPTYLTSSQFQTISNPLRTQAGDSGDGKFPLNVTTSGNSVNITTGLTNTSVSGTIYTDGQLAVYQIDKVLQPLQIFAPRPPAPAPAPAKSKNKKATTVADSPDVTPADNSKAATLQNVGLFGVAALVIALSL >KJB35156 pep chromosome:Graimondii2_0_v6:6:34294036:34295385:-1 gene:B456_006G102500 transcript:KJB35156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKMSNFEAKNFYKVYLTTHSLRSMLIPATAVACPLTPATATLRNCNGQCWQVDLVKCDNKMYFRKGWRRFIDENSVVDGNFLIFNYVGGCVFDVKIYEFDACEKSIPYVVSEESESESESEDDGSDSDDAMNDEGADSMEVEDEEIHPEDYVQHLNPYFVAKTTKSSQRMNELYIPTGIAKDFGVSLEDGEKITLVGLYNRKSIGKVVKWKDQRTCIKGWRSVLNRNKVNLERDTCICEFLLENGQQAEMGVQTKSIQVHVISRRKPSESGIKASKKQKQK >KJB35047 pep chromosome:Graimondii2_0_v6:6:33754818:33755977:-1 gene:B456_006G097300 transcript:KJB35047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGNLVDIATKMHYEGSKRKLKESQNMEGGDSLKKSLALLHGVINGKTGHGLEAIVLQGLCVIHAEKGFMRFDFVVPNIVSHHCSTLLESLLFIPYSFANRITSTVDFNVSYYSTIKIEEHVEIKSKVSANRGKLMHVVVEVRREGNGEVIAVGKLWTASNKLTFAQMSKL >KJB33584 pep chromosome:Graimondii2_0_v6:6:4997459:4999941:-1 gene:B456_006G019900 transcript:KJB33584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAIEVSDEKVKAMWDKRLTDIFCDICIKEILKGNRPGTHFTKDGWLKIMTNFEKETGKGFSQRQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQVVPEAKKFKTSGIDPEFEGKLDQMFMGIVATGDKAWAPSFGTLRSDFFEDVNNEIPEESEEENMRNDVHISNDVQIDGNSQKRKNPETSSSHFKTGRKKSSKQIGGAARLSSQIEKLCNATDNMSQATSSLTPVMDPYGIPQAVKMLDSMSEEVPEANPLYFFALRLLLNKDKRIMFLSINPKIRALWLKTEMEDS >KJB36871 pep chromosome:Graimondii2_0_v6:6:43752489:43754635:1 gene:B456_006G180000 transcript:KJB36871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLQLPHSFSPFLNSQNPRIQFLSSAKVEALPRASVETNGAVETPKPTRRGRKKKPTSSSPETPTAKRTGRKRKTQTENGSVESESEGEEEELSDFDDGMDFPYDEPPLICCFGAAQKEFVPTVRVNEEQMHPDKYSTWKMLQWDPPEFGRAPGGPPSNVAISHVRLGGRAAFMGKVGEDEFGDELVLMMNKERVQTRGVKFDENVKTGCTYMKVKFDENGKLKMETVKESAEDSLLSSELNLAVLKEARIFHFNSEVLTSPSMRSTLFKAITWSKKFGGLIFFDLNLPLPLWRSRDKTREVIKKAWNKADIIEVSRQELEFLLDEEYYERKRNYRPQYYAESFDQTKKRRDYYHYTREEISPLWHDGLKFLFVTDGTLRIHYYTPLFDGVVVGTEDVLITPFTCDRTGSGDAVVAGIMRKLTTYPEMFENQDVLERQLRFAVAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >KJB36872 pep chromosome:Graimondii2_0_v6:6:43752575:43754802:1 gene:B456_006G180000 transcript:KJB36872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLQLPHSFSPFLNSQNPRIQFLSSAKVEALPRASVETNGAVETPKPTRRGRKKKPTSSSPETPTAKRTGRKRKTQTENGSVESESEGEEEELSDFDDGMDFPYDEPPLICCFGAAQKEFVPTVRVNEEQMHPDKYSTWKMLQWDPPEFGRAPGGPPSNVAISHVRLGGRAAFMGKVGEDEFGDELVLMMNKERVQTRGVKFDENVKTGCTYMKVKFDENGKLKMETVKESAEDSLLSSELNLAVLKEARIFHFNSEVLTSPSMRSTLFKAITWSKKFGGLIFFDLNLPLPLWRSRDKTREVIKKAWNKADIIEVSRQELEFLLDEEYYERKRNYRPQYYAESFDQTKKRRDYYHYTREEISPLWHDGLKFLFVTDGTLRIHYYTPLFDGVVVGTEDVLITPFTCDRTGSGDAVVAGIMRKLTTYPEMFENQDVLERQLRFAVAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >KJB38758 pep chromosome:Graimondii2_0_v6:6:50789444:50790425:1 gene:B456_006G270600 transcript:KJB38758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRVRASPSPSSVDCFEIPPSKIIKDDSLSIYETTLMKLKLGSQRHLISPPPTTAADLTDCASGTVPSNTNSDDESMVIDTDCSPVSVSYTSSDFESTSNFNSQQGSNGTSIRYLFSKFRDHQRVSTISDQTMMIESIGSTSFLGSIEFQSLSSGKRSRENHESSTSTSNGSTII >KJB38285 pep chromosome:Graimondii2_0_v6:6:49130286:49132743:1 gene:B456_006G246400 transcript:KJB38285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELIKQLLQGEIFWYETKPTFVPYSNTPRQVEPGRNDGGNSENMNKKMIGFLMKSWPATVETKDGEKERCFRHMMNERIRREKQKRSYSALHSMLPLGTKNDKNSIVQTATKRVQELEWLKKDLERRNYELKSNHNNKIGLKVENPTSGIDSMLETLKCLKELDSNPTIIQSNFTPQQFLAVLAFPTQGEAAKVEKALDRTLQETGRKLQGPRNGPTPF >KJB35464 pep chromosome:Graimondii2_0_v6:6:36505960:36506966:1 gene:B456_006G116100 transcript:KJB35464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKVVDSTVSSFGGMFEKFRSDAPNYKANFILFLADKDPSTSLSWCPDCVRAEPVIYKKLEASPEDVTLLRAYVGDRPTWRNPQHPWRLDSTFKLTGVPTLLLWDSNNQAIKARLEDHEAHLEHKIDALLSCK >KJB33582 pep chromosome:Graimondii2_0_v6:6:4964525:4965762:1 gene:B456_006G019700 transcript:KJB33582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLESSNRTMIVGTCGYVAPELAYTLVVTEKCDVYSFGVVALETLMGEHPEEELSWLSSPTSLINTRLIDVLDNHLPLPTSQLVAQNLVRIATLAFACLNPQPKSQPTMKEVCEEFLCGQTSLKIPLRMISLLQLGNAYWRQN >KJB33286 pep chromosome:Graimondii2_0_v6:6:1117756:1130839:1 gene:B456_006G005700 transcript:KJB33286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENFIRDIPQNMNALINILKKKIGQAKRGKEQIKYLLVLDDVWSVEKWDELKNHLMGINKNGGNGVIVTTPLMASLMSHELELIAKEIAKQCRGVPLVANIIGETMSNIKMNPRAWLEIQKSDVQKNTCRNILTFKIHDSVHDLSLSVSKFDTLLESLQKILTAFTPKLYSLFSEIDVSKKLSKSFTRLKFLKFVCATNISLPKSTAKIYNLQTLRLLGLLSLTFLDKLENLISLKHLYFDRKELQPVNIGNLTCLQVLPIFFVGSKRGRSIKELGSLKELRGELKICDLGGLIIDFEGSNSGSSGYNSEEVMEGLKTHTNLQSLAVSNYQGESFPSWMLKPVGDSNTGLFLLNILIELKDIHVSLLEELDICDCPFLKNVPLTGQCSSLKKPYISKCKTLSKIRDGLSTSIYLKELDLKGCPNLSWIPDLEEFSSLQNLSIDSYKELEVLPITGGCSSLEKLSILGCESLSKIEDKLFSSTCLKELYLCHCSNLSSVPDLEECFSLKILSINSCKELKVLPVIGRYGLFTPSLQNLSIDSCNELEVLSLPGSKIGDGLSTCACLKELGLYNCPNLSSIPNLEGIFSLQSLSINSEGWSCLLPNMLRSNTPLFSLIIVNLLDLIWILDDGLGRLNFLGELAIGARNKELQEFPCLSSFQYLSASLRVLELTGWEKLKISRNKSLSRVVGKPLFFKSLIYQLQLPTALEDLNIWGFHEIEALLESFKNLSSLCCLRIWSCNKLMYLPSVDVMGSFSKLETIDNFMCHQLETRCEKESGPEWSKISHIPHISSYTVKTNGGSGRSFKSTRGLRQGDPLSHFLF >KJB35049 pep chromosome:Graimondii2_0_v6:6:33816621:33818409:-1 gene:B456_006G098000 transcript:KJB35049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial arginine transporter BAC2 [Source:Projected from Arabidopsis thaliana (AT1G79900) UniProtKB/Swiss-Prot;Acc:Q9CA93] MDFWQDLLASNWGREFVAGGFGGIAGTIAGHPLDTLRIRQQSSNTGSAFSILRQVVIKEGPAALYKGMGAPLASVTFQNALVFQIYAILSRAFDSSIFANTDSVPSYKGVAMAGVGTGAIQSLIVSPVELIKIRLQLSKKTSPTGPIHVAKTILKTEGIRGLFRGFNITILRDAPAHGIYFWTYEYMREQLHPGCRKTGDESLKTMWISGGLAGVSSWIGCYPLDVVKTRLQAQSWTSSRKGYDGVIDCVEKSVKQEGHGVLWRGLRTTLARAFVVNGAIFAAYETAMRCLINQQRKQHSDRSS >KJB38828 pep chromosome:Graimondii2_0_v6:6:51072026:51073468:1 gene:B456_006G2754001 transcript:KJB38828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LWVCGFLVRFCVLLSLPLGPCAEGRSQSAWASRPLFWVEIPILELGCLEIGQIGTDRNISNFRYESLNPLLHWRVISGMNL >KJB37623 pep chromosome:Graimondii2_0_v6:6:46714030:46714641:-1 gene:B456_006G213000 transcript:KJB37623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKFTAMVFVLMVFMLIALPPIDASVPCRPPHSPPYHLPTHPKIPRLNPPTPKHPPYHGGGSPMVEPPSKKPPMPPVIVPPIPTPPVISPPITNPPVTIPPPSGGGSSPAPPTSQPTCPVNALKLGLCVDVLGGLGHIGLGNPVENACCPVLGGLLELEAAVCLCTAIRLKLLNINIFIPLALQALITCGKNPPTGFICPLL >KJB38721 pep chromosome:Graimondii2_0_v6:6:50678133:50682094:-1 gene:B456_006G269000 transcript:KJB38721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHIALLSSLCFAEDPYVLYDFKLSYITVFPLGVPQQVTFQFNLPYVSFFNGELPAQVVNATTNYNVAIDVLNQSDENLLMTWPGIQMQRNSWQNGVLGANCHIQPKKNFAYQFQVKDQIGSFSHFPSLNFQRASGGFGAIIINNRNVIAIPFALPDGHIVILIGDWYTQNPTALRTILGSGGVLINGKGPYRYNTTLVPAGIEYESINVDPGIHFFRCDGKNQNLPISCASTGLNFRIQGHKLLLVQTEGHYTTQQNFTSFDIHVGQSYSFLVTMDQNATTDYYIVASARFLNESAWERVTGVAISHIPIQKDQQLALCLFHQVIFTTQWSAMNQPRAIRQNTTPSGDRPNPQGSFHYGSIEVTDTYVLRSLPPLHAILNGISFVNPDTPIRLAEMHNVKGAYKLDLIMLCIK >KJB38340 pep chromosome:Graimondii2_0_v6:6:49432829:49434607:-1 gene:B456_006G250400 transcript:KJB38340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGESSSAKGKKLSSMAETGESSSAKGKEPSSMAETGESSSAKGKEPSSMAETGESSSAKGKEPSSMAETGESSSTKGKESSSMAETGEPSAAAREVRELKKELQWVVTMILEDEDDNGIDILSESIRILSRLREMKLNRSAIIGMDTKIKSVLNELINNSTLSSPPLIMGDPFVSVSTEALDWMKSNEAELKSQEMMEKSRDLVNMLLHKLYCSFSDAKEAAKELKMVTKSQPACRAVFAEIPDSIKRLLGPISVTVNRSKFQLQEDLIKTVLNISTDDINKQHMGEHPIVIHVLTRALYYGTTETKRSAAMALVSLSSLESNKFIIGKSMAPTALLQLVRVGDPLAKMDAASAILSLCTVYQNIAEFNKLGAVQIVLRKIGRGILVDRLLRILAVLSSRQDTVFRDEEVDAFRRLIEHRRNTSSRHAEDICSAAMWFFTRR >KJB34241 pep chromosome:Graimondii2_0_v6:6:20245322:20247388:1 gene:B456_006G055100 transcript:KJB34241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPMLRPKPHSHLPFKSLITPAVARNRPGLQMPSTFTFQTDKGGGDHEIYFHPCTTLLFKARRLSSSDWRVLVLWLNLYVNEKKEENRGSVEFQIVSFTNKIRRLTLHLELHKKYYLSQRGLRKILGKRQRLLSYLSKTNKICYKELIGELDIRESKNR >KJB35801 pep chromosome:Graimondii2_0_v6:6:38525430:38526833:1 gene:B456_006G131200 transcript:KJB35801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICSYSDTSPICGCPSENFRLLDVNDLRQGCKRKRNIEDCPGSAAMLELDHAESLTYPPELSSQTFFVGISACRLTCLVTASCVASTSLSDGTGLCYLKTTEFVSGYQVCGPVLPNPSPFADNDGMGEVGGQFKEKLGARGFGAVYKGVLSSRAVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCAEGHHRLLVYEFMKNGSLDNFLFTTEEHSGKMLSWEYRHNVALGTTGGIMYLHEELSDFGLAKVINPKDNRYRILTSVREARSYLVPEWLANLPITSKSDRAQIGKSFIWAHEEFEKGNIEGIVDKKLVNQDVDMEQITRAIQPSQRSMMGKVIQMLKGITNIKRPPVLEAAIEGSISKASINVSSNISIFSTFVVFSPSLSSSSSLQTVG >KJB38606 pep chromosome:Graimondii2_0_v6:6:50274990:50276639:1 gene:B456_006G263000 transcript:KJB38606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSFIFSILLLLQLLFASLPHHRTLTSAADGSWQLLQKSIGISAMHMQLLRNDRVIMFDRTDFGPSNLPLPTGKCRNDPTDTALQVDCTAHSVEYDVFANKFRALTVQTDVWCSSGAIMPDGNLFQTGGFNDGERRVRVFSPCRTCDWNEIPNGLTAKRWYASNHILPDGRQIIVGGRGQFNYEFIPKNIVTTKINLPFLSETNDKGIENNLYPFVFLNVDGNLFIFANNRAILFDYVNNIVVKKYPMIPGGEPRSYPSTGSAVLLPLKNLKAAAIEAEVLVCGGAPKGSYLQSLKGTFMAALNTCARIKITDPNPEWVMETMPLARVMGDMILLPNGNILLINGAGSGTAGWEQGRDPVLSPVLYQPDNNIGSRFEVQNPTTIPRMYHSTAALLRDGRVLVGGSNPHAFYNFTGVLFPTELSLEAFSPAYLDAKFDKLRPTIIASKSMSGIRYRKKYTIQVVINSNKVDESSVSVTMFAPAFNTHSFSMNQRLLVLGNEKVTAVGNSMYNVEATTPKSGNLAPSGFYLLFVVHQGIPSQGIWVRLH >KJB33559 pep chromosome:Graimondii2_0_v6:6:4172378:4178096:1 gene:B456_006G017800 transcript:KJB33559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39830) UniProtKB/Swiss-Prot;Acc:Q9LU10] MQVSVCSNSCSLNLNSNNVKSHLLMGRRELLSTLCSTGSHKEVVSHKPISILRMISERMADFGEVIIGKSIPFATRRVVLAGLFACWTFHPSRYLSAQALGDPSVAVEDVTPPVFPSGALFPSEERTVQLFEKNTYSVVNIFDVTLRPQLNVTGAVEIPEGNGSGVVWDEQGHIVTNYHVIGNSLSRNPSPGQVVARVNILASDGVQKNFEGKLIGADRSKDLAVLKVEASEDLLRPIKVGQSSYLRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTQTGTSAGVGFAIPSSTVLKIVPQLIQFGKVVRAGLNIEIAPDLIANQLNVRKGALILQVPSNGLAAKAGLLPTTRGFAGNLILGDIILAVDNKLVKNKAELNKALDEYNVGDKVMFKIRRGSENMELPVILEEVSS >KJB34827 pep chromosome:Graimondii2_0_v6:6:32094886:32095658:1 gene:B456_006G086500 transcript:KJB34827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKFTRLGQTLAGLCQARRIYDSSTICLIDTNSDLGLADISIPANDDAIASIQLILNKLVVAICEGRSSYIQNP >KJB34813 pep chromosome:Graimondii2_0_v6:6:31987109:31987750:-1 gene:B456_006G085300 transcript:KJB34813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIKNCKWNPWECLKSIEIVTIWKTKSDPSFLSCIITCYILILLYFPPSFSLNLQFSLLLLLSASLLLSLLRLCCAIQRIQTETIAKTSLVEAIKTGSSPKEMSFEERFVEWDVRAPLEVIYEDYEGEEALDRGIERYTSLSRRYSEPEPDSLFSEMGFPVIREWVSSEKRCWRWEEEEEEEEDREGLIEIALDFYGEEDSLIEIDISSIKV >KJB37642 pep chromosome:Graimondii2_0_v6:6:46771780:46778442:-1 gene:B456_006G213900 transcript:KJB37642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLDYHAEERSKASFDVEEMKIVWAGSRHAFEISDRAARLVASDPVFRKDNRTMLSRKELFKDTLRKTAHAVKRTIELNLSEEETHTLWFYVDQPAYANLHWGMFIPAIEGQGTEEQKQKWLPMAHNMQIIGCYAQTELGHGSNVQGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKVSTHAVVYARLRTDGQDYGVHGFIVQLRSLDDHSPLPGITVGDIGMKFGSGAYNSMDNGLLRFDHVRIPRNQMLMRLSQVTREGKYVQSDVPRQLVYGTMVYVRQIIVSEASCALSRAVCIATRYSAVRRQFGSENGGPETQVINYKTQQSRLFPLLASAYAFRFVGEWMKWLYTDVSKRLQVNDFSTLPEVHACAAGLKSITTSATADAIEECRKLCGGHGYLSCSGLPELFAVYIPNCTYEGDNIVMLLQVARFLMKTISRLVSGKMPVGTAAYLGRVEHLMQCHCEVQRAEDWLKHSIILEAFEARSARMSVACAESLSRFSTPEEGFGEISADLVEAAVAHCQLIIVSKFIEKLQQDIPGKGVKTQLENLCNVYALSLLHKHLGDFVSTGCITPKQGALANEQLRSLYSQVRPNAIALVDAFNHTDHYLGSVLGCYDGNVYQKLYEEAWKSPLNDTVVPDGYDEYIRPLLKQNFRTARL >KJB35816 pep chromosome:Graimondii2_0_v6:6:38302260:38304831:1 gene:B456_006G129400 transcript:KJB35816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNNWLSFPLSPSNSSLHSSQPHDHHQFNVGLVNANMENPFQTQEWNLINTHDNTSEVPKVADFLGVSKSQTPSLDLVALNAIHQSNHPADYSFQHNNIVQVQNSTTVIASNNNYELLENATNLQSLALSMGSGKGSTSAENSANIVEAPTRRTCDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKEIEEMKHMTRQEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSAEEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKAILERNTLPIGGGAAKRLKEAQALESSRKREEMIALGSSFQYGSSSTSRLPQAYPLMQFDHQPQQQPLLTLQNHDINSQYTQEDTSSSTFHRNYIQTQLQLHQQFYNNTYIQNNPDLLHGLMNLSCSSPSVVDNNGGSGSSGSYSGGYVGNSTEEVGLVKVDYDMQSGGYGGWSVDSVQGSNPGVYPMWNE >KJB35043 pep chromosome:Graimondii2_0_v6:6:33632864:33638918:-1 gene:B456_006G096900 transcript:KJB35043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRPFPPPQSFPPPPQNQNPLQPQQQQQQQYGQNYNHMNPNSNYGYPPRPPQQPPPPPPPQQQYSYPPPPPPPDSSYPPPPPPPLPSMPQNTINSQPPMYYPPSQYSSQYGSQAMQPPPPPPPPASPGSSIPPPPPPPSSPPPPPPPKENAGKTGLNERNKGGNRDYSGSGRREHGHSNHGGGGREQKPMVPPVKKPNGPPGRVETEEERRLRKKREFEKQRQEEKQRQQMKESQKTQMMTSGKAHGSIVGSRMGDKRATLFLSGERIENRLKKPTTFLCKLKFRNELPDPSAQPKLMSLKKDKDRFTKYTITSLEKMYKPKLFVEPDLGIPLDLLDLSVYNPPSIRLPLAPEDEELLRDDAAITPVKRDGIRRKERPTDKGVSWLVKTQYISPLSMESTKQSLTEKQAKELRELKGGRNLLVNLNNRERQIKEIEASFEASKLRPVHATNKNLEPIEVMPLLPDFERYDDQFVMVAFDNAPTADSEIFSKLHGSVRDEHESRAIMKSYQASSSDPANPEKFLAYMVPSLGELSKDMYDEHEDITYSWVREYHWDVRGDDANDPTTYLVSFDEGEARYVPLPTKLNLRKKRAREGRSGDEIEHFPVPARITVRRRPTVAAIELHEPEVYSNSRGGISSSKMARLDAEDGLGRPHKLSRHQDIDQYSGAEDDLSD >KJB35044 pep chromosome:Graimondii2_0_v6:6:33631735:33638833:-1 gene:B456_006G096900 transcript:KJB35044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRPFPPPQSFPPPPQNQNPLQPQQQQQQQYGQNYNHMNPNSNYGYPPRPPQQPPPPPPPQQQYSYPPPPPPPDSSYPPPPPPPLPSMPQNTINSQPPMYYPPSQYSSQYGSQAMQPPPPPPPPASPGSSIPPPPPPPSSPPPPPPPKENAGKTGLNERNKGGNRDYSGSGRREHGHSNHGGGGREQKPMVPPVKKPNGPPGRVETEEERRLRKKREFEKQRQEEKQRQQMKESQKTQMMTSGKAHGSIVGSRMGDKRATLFLSGERIENRLKKPTTFLCKLKFRNELPDPSAQPKLMSLKKDKDRFTKYTITSLEKMYKPKLFVEPDLGIPLDLLDLSVYNPPSIRLPLAPEDEELLRDDAAITPVKRDGIRRKERPTDKGVSWLVKTQYISPLSMESTKQSLTEKQAKELRELKGGRNLLVNLNNRERQIKEIEASFEASKLRPVHATNKNLEPIEVMPLLPDFERYDDQFVMVAFDNAPTADSEIFSKLHGSVRDEHESRAIMKSYQASSSDPANPEKFLAYMVPSLGELSKDMYDEHEDITYSWVREYHWDVRGDDANDPTTYLVSFDEGEARYVPLPTKLNLRKKRAREGRSGDEIEHFPVPARITVRRRPTVAAIELHEPEVYSNSRGGISSSKMARLDAEDGLGRPHKLSRHQDIDQYSGAEDDLSD >KJB34907 pep chromosome:Graimondii2_0_v6:6:32586910:32589063:1 gene:B456_006G089700 transcript:KJB34907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVGRRRRCKSRVGIQHRVTKEDSMKWFQVKYEGVILNKSQNIGA >KJB34908 pep chromosome:Graimondii2_0_v6:6:32586952:32589032:1 gene:B456_006G089700 transcript:KJB34908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVGRRRRCKSRVGIQHRVTKEDSMKWFQVKYEGVILNKSQNIGA >KJB37551 pep chromosome:Graimondii2_0_v6:6:46494946:46495170:-1 gene:B456_006G209900 transcript:KJB37551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFIPEEYVIRRRMEKKAAALAGKRTPNMVAETEASKKMENKSKLPPAFRFDGNELLVGGGISETVVFSCLSA >KJB36862 pep chromosome:Graimondii2_0_v6:6:48089410:48089952:1 gene:B456_006G231600 transcript:KJB36862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSKFLRHFLSGLSLLTSKILAKVVVELKSAGRVTSSLRAALAVGGMVAARTTAIIAKTKVNFFQNSILNNFECKLIETLYKWRCII >KJB37560 pep chromosome:Graimondii2_0_v6:6:46549773:46554276:-1 gene:B456_006G210600 transcript:KJB37560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPTLSNQRNQSVPSTPSLNVPTTMSDSSFHLENLPYRTMHINRMINSSYNRSPSRTIYSDRFIPSRSGSNFALFDISNSPTSTEGKEDGSGTYNSLLRAALFGPDTPDKKDSLDAPACRNIFRYKTETKRSLHSLSPFGLDDSVPGISHSPVKAPRKVPRSPYKVLDAPALQDDFYLNLVDWSSNNVLAVGLGNCVYLWNACSSKVTKLCDLGIDDSVCSVSWAQRGTHLAVGTSDGEVEIWDASRCQRVRTMEGHRLRVGALAWSSSLLSSGSRDKSILQRDIRAPDDFASKLSGHKSEVCGLKWSYDNRELASGGNDNKLFVWNQHSTQPVLKYCEHNAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNTDSEIGASSFGRTTIR >KJB34633 pep chromosome:Graimondii2_0_v6:6:29903986:29905214:-1 gene:B456_006G076200 transcript:KJB34633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDIRNLPNQLLYWCGLVILKPKSPLPHLKQSNLKQILLVADRKLHQRRLTHSFFFLQFSDHHFLPS >KJB33339 pep chromosome:Graimondii2_0_v6:6:1533955:1537208:1 gene:B456_006G007300 transcript:KJB33339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENHRVFIDNPSLNDSQCFDDDGRLKRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAVMLLFSIITFYTSCLLTDCYRTGDPVSGKRNYTYTQAVGSLLGGYKVKVCGFIQYVNLFGVSIGYTIAASISMMAIKRSNCFHNSGGKNPCHMSSSPYMMMFGVAEILLSQIPDFDQIWWLSILAAVMSFTYSGIGLALGIAKVIGIGTFRGSLTGISLGTVTPAQKIWRSFRALGDIAFAYSFSMILIEIQDTVKSPPAEAKTMKKATKLSIAITTAFYMLCGCMGYASFGDFAPDNLLTGFGFYNPFWLLDIANAAIVIHLVGAYQVFCQPIFTFVEKWASQRWPESKTITKELKIPMPIRGFRPYKLNLFRLVWRTAFVMLTTVISMLLPFFGDVVGILGAFGFWPLTVYFPVEMYIEQKKISKWSSRWICLKMLSMGCLMISILAGTGSIAGVILDLKVYKPFKTTY >KJB36555 pep chromosome:Graimondii2_0_v6:6:42486257:42486502:-1 gene:B456_006G164900 transcript:KJB36555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPINNNATSSQARNKVKKSLVVHMAGKENETRSPRNKDASCEPCRSFGQKCSHLVKKQRAKFYILRRCIAMLVCWRDRGEP >KJB35083 pep chromosome:Graimondii2_0_v6:6:33975764:33977163:1 gene:B456_006G099400 transcript:KJB35083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERLRTAARAGNVSDVYTLIQRDGNALRCFDEVEFIETPLHVAAEEGCIGFAMEMMNLKPSFARKLNQQGLSPIHIAVKKGHKEMVLRFLEIDKDLVRVKGKKGKTPLHLISKVGNPDGLVDRLLEVCPQSIKNVTTRNRTALHIAAEKNRLDSFQVLIRTLKKKDYCQEVVNRKDEDGNTALHIAAINNQPQMLKLLLECKADKHETNEAGLTALEAAHQLNNIESISILRDCFSPRISNFKYKLKKQIGKYVAKASSLIFDDVDNISSEDRSTLLIILGLLLTASFQVTLSPPGGVLQGENFSKSKGAYDADAAGKSVLGEVNYLRFFVPTYFVFMTAFFLTLALLKPFPIGFRTALQILLAVFALCFHLSISFIAPTLLAVIIISIVSMLFGLLLVLMGITNRVSKLSISILGF >KJB34558 pep chromosome:Graimondii2_0_v6:6:28991773:28995901:1 gene:B456_006G072100 transcript:KJB34558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREQLAVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAPKPGSLPPNVAAAVNGVALVGTLVGQLFFGWLGDKMGRKKVYGLTLILMVVSSLASGLSFGSSAKGVIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILGGGIVGLIVSAAFDHAYKAPAYSVDAVASTVPQADYVWRIILMFGAIPAALTYYWRMKMPETARFTALVAKKAKQAAADMSKVLNMDLEAEEDKVIKIATDRTNSFGLFSREFLKRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPKAASMNAIHEVYKIARAQTLIALCSTVPGYWFTVALIDIMGRFAIQLMGFFFMTIFMFALAIPYHHWTLEPNRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSTDPAKTDAGYPPGIGVRYSLIMLGVINFFGMLFTLLVPESKGKSLEELTGENGDENDEKQIQMDPSRTVPI >KJB34118 pep chromosome:Graimondii2_0_v6:6:16378145:16379642:-1 gene:B456_006G048700 transcript:KJB34118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPYIEQVEYLDVLTKTGKKIDVSKPRGDVHRDGDYHKTVHVWIFAESTQELLLQKRADCKDSWPGLWDISSAGHISAGDSSLITAQRELQEELGVILPKDAFEFIFVFLEEC >KJB38564 pep chromosome:Graimondii2_0_v6:6:50174518:50175061:1 gene:B456_006G261000 transcript:KJB38564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSYTCFLAFFFILLVSVSCNNIIQEACNKAVKSDSQPKVTFNFCVGSLQKHPKGETARSYDDLAPITLHIMKSAAKHVSFIISKMMKTKNRINGYLKAKDYFSAKTGASGLVTSSVTCEDVFNEGKKTVSPIAKENNDFCRLAIMLLTFIPDAKSE >KJB35884 pep chromosome:Graimondii2_0_v6:6:38647140:38647742:1 gene:B456_006G132100 transcript:KJB35884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKKTRGKQKIEIKIIENEDDRLISFSKRRFGIYKKISELSTLCGCDILFIIFSPKGKPYSFAHPSIEAVTKRFLNPNQPLYETTDALVEAYRKVRIKSLVQDYNEVHDQLDASKEKQKAFFLAQQSRGSESHHWWKTPIYQLNPRELHERDKHFAEFISLISVARDKKIASISSMHAAMDEDLPFVVPSRYGLSLQ >KJB36160 pep chromosome:Graimondii2_0_v6:6:40567178:40568875:1 gene:B456_006G147300 transcript:KJB36160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQIIFRTPTSVQRRQFLLQSGSSSNSFCSSSTDDGGSSSSSTRSSSRNSAKFGEFCGGTTAECAVICCCCPCTIANLLVLAFYKVPAGLCRRALRLKRRRKLKEKGLLQPKNHRPHCGIEDNSELQIHTVVVEDFFPDVEASEEAEKAVMELEKEMWQRFYGTGFWRSPSQREGEPPRIKQL >KJB33588 pep chromosome:Graimondii2_0_v6:6:5145588:5146765:1 gene:B456_006G020300 transcript:KJB33588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESGWWSNYSNNASECCQWPDRFGKFNFSSFPNLVLLDLSDRQLGGKIPHQIGNLSALKHLDLSNCGLFGELPPSLRNLTQLESLDISYNYNIKGSIPPQLGNLVNLVSLNLSWTSLSGNIPLFLGLLTNLRHLLLDRYQFDDGNNTIPQNLVVELLVKSLLLWGNKINGSIPSGVGFLSNLTYFDVYDNRLVGSIPSASSQLLNLKYLILVGNKINGSIPSKVGFLSNLTYFDVYDNKLVGSIPFSLYQLTNMEILSLGNNQLEGSITRNIENLKNIKILSIINNSFTSHIPLVLCRLTNLEYIELGNNQISGSIPSCIGNLSNLDTLKLDSNLLKSLIPKEI >KJB37578 pep chromosome:Graimondii2_0_v6:6:46615594:46618122:1 gene:B456_006G211600 transcript:KJB37578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWHACLDEYEKLVIRMTTPRVVIDNAVCPTATLVKVDSARRDGILLDAVQVLTDLNLSIKKAYISSDGQWFMDVFHVTDLNGNKLTDESVISYIEQTSFPDRSHEFDGSTALELTGTDRVGLLSEVFAVLADLQCDVVDAKVWTHNGRIASLISVKDCNSGSPINDSQQIDRIESRLRNILRGDNDIHSAKTSVSLAITHTERRLHQMMFADRDYGRKPVLQCREDSPVVTVQNWAERGYSVMNVQCKDRAKLLFDVVCTLTDMQYVVFHATINTAEDKAYMEFYVRHTDGTPISSEAERQRVVQCLRAAVERRASHGVRLELCTSDRQGLLANVTRTFRENGLNVTRAEISTTNDIARNVFYVTDAIGNVADPKIIETVREKIGLGELKVKELPLIYHEREEKAVGVGGAVYSLGSLVRKNLYNLGLLIKSYS >KJB37766 pep chromosome:Graimondii2_0_v6:6:47174549:47179066:1 gene:B456_006G219200 transcript:KJB37766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFASSLASKARAARNTTYQIGSRLSWNRNYAAKDIKFGVEARALMLKGVEELSDAVRVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIEFKDKVKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAIEKVGKEGVITIEDGKTLYNELEVVEGMKLDRGYISPYFITNTKTQKCELDDPLILIHEKKVSSLNAVVKVLELALKRQRPLLIVAEDVESEALAALILNKLRAGIKVCAIKAPGFGENRKSSLHDLAVLTGGEVITEELGMNLEKVDLDMLGTCKKVTVSKDDTVILDGNGDKKAIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVIVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAVVVELPKDEKDVPAMPGGMGGMDY >KJB37765 pep chromosome:Graimondii2_0_v6:6:47174549:47179815:1 gene:B456_006G219200 transcript:KJB37765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFASSLASKARAARNTTYQIGSRLSWNRNYAAKDIKFGVEARALMLKGVEELSDAVRVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIEFKDKVKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAIEKVGKEGVITIEDGKTLYNELEVVEGMKLDRGYISPYFITNTKTQKCELDDPLILIHEKKVSSLNAVVKVLELALKRQRPLLIVAEDVESEALAALILNKLRAGIKVCAIKAPGFGENRKSSLHDLAVLTGGEVITEELGMNLEKVDLDMLGTCKKVTVSKDDTVILDGNGDKKAIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVIVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAVVVELPKDEKDVPAMPGGMGGMDY >KJB35391 pep chromosome:Graimondii2_0_v6:6:36115378:36118865:1 gene:B456_006G113100 transcript:KJB35391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTNDDASSAWSWHQDKLFERALVMFSNDESSDRWEKIAAQVPGKSAADVRRHYEDLEHDVLEIESGRIELPSYEGELESASWVNESGRSQVWVGSKGKERERESERRKGVPWTEEEHRLFLIGLQKYGKGDWRSISRNAVVSRTPTQVASHAQKYFLRLNSVNEKNKKRSSIHDLKMGDDNSMDPQSKFFNEQGSSFVNNHGYEFPLL >KJB38407 pep chromosome:Graimondii2_0_v6:6:49674753:49677535:1 gene:B456_006G253600 transcript:KJB38407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRGTNASSGMGVADHSKSTYLELQRKKVFRYVIFKIDEKKKEVVVEKTGGPSENYDDFTASLPENDCRYAVYDFDFVTSENCQKSKIFFIAWSPSVSRIRAKMLYATSKERFIRELEGIHYEIQATDPTEMDLEVIRERAS >KJB38823 pep chromosome:Graimondii2_0_v6:6:51004550:51005244:-1 gene:B456_006G274300 transcript:KJB38823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEAGRKCSHCGHNGHNSRTCYVHNSRCCNGKGGCVKLFGVKIGAMDQKRENVMKKSFSMGNLQSHAENNNDDDGYFSDGQIQSKKHKASHERKRGKPWTEEEHRTFLAGLRKLGKGDWRGISKSFVPTRTPTQVASHAQKYFLRQAGTDKKKRRPSLFDMEFQESGSVRYSLD >KJB37340 pep chromosome:Graimondii2_0_v6:6:45751762:45753214:1 gene:B456_006G200500 transcript:KJB37340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLASARESRTYGPRLAQSRAEYMNAGLYLFSTIVLICGFAAEFSREPRSGLVLMLIALGLIILVNIHDLLAHLAGIDYRFTLMGFDPQLALVEFSVPLVQILGSLLLFLGILFLFIQAEKGYGFFKLERHALGMLIAGPVLWVVGSIHNSCQIYERADAHVQILQQSVHVPFLIGSVLFMVGAILNGREQTGVMRHGLQLLGKRWVWLGICGSVMFMIGGLTNVVKVFKMQQMNGIRLEKLRGGAQDGLVEGREGQVPLIIDDLRRKMEVDEVKAATAATPTPYKDVLLART >KJB36369 pep chromosome:Graimondii2_0_v6:6:41409030:41410207:1 gene:B456_006G155400 transcript:KJB36369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELKRLKIPLPRICVGIIFLTILTAWLILSVIVSFVSNNSFLQRLLTIAILPTLHPPPLCILLAVAQSAFMDIGSCGKKIISVSRSLN >KJB34559 pep chromosome:Graimondii2_0_v6:6:29037999:29040626:-1 gene:B456_006G072400 transcript:KJB34559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSDEEYYIILHVGGHFVKDPYVRYVGGKVIRLEEDLDTISYFELCKIVKIGLRFNIVMLIYFHESGTVGLQNNLRVIYDDTSTIAMLDFRSSEMFLLTVGEGDVKRVEIDGEGDDKWVESDGEGELERVESVGEDDVRGVQAGGEGVSVTGIEVDEYGGVESGGQISLGSTVGEDNDSEVAADEYASDFATSDGVDNVVDRYVGDFAISDGVDNVTAASIREEEDGNETEVWDSDEHGSLVGFDEDEEHKDSERRRNKFPLYSDKLKFSLGMLFNDDKQFKSAIRKYSKKCRRQLKFIKNKPKRVVMFQDEHHCSISFKNKMMTVAMIAQHFEVTIKDYPKMKLREIQRRCAFEMHINKIMKEKMIRNHNVEFGLLWDYAHELRSNMPGSTIKVVVQRVTTDFLPHFKMYYVCFDALKRGWKAGCRPLIGLDGCFLKGPFKSEFLTAVRKDANNQMFPIAWAVVEVECTDS >KJB37558 pep chromosome:Graimondii2_0_v6:6:46539509:46542316:1 gene:B456_006G210400 transcript:KJB37558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLECRMYEAKYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRTEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETLDIDLEELYVKIGWPLYRKYGHAFEAFKIIVTDPDSVLNTLTCEVKKPGPDGQEVTEVVPAVTEEVKDALVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKEAMRKAEAAGNDDCPVKIKLVAPPLYVLTTQTLDKEQGITILSKAIAACTEAIEHHKGKLVVKEQPRAVSERDDKLLAEHMAKLRNDNEEVSGDEDSEEEEDTGMGEVDVENAGHGIME >KJB34153 pep chromosome:Graimondii2_0_v6:6:17299354:17299732:1 gene:B456_006G050500 transcript:KJB34153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDELDISDNQIRVLPESFRLLSKLRVFRADETPLEVPPREVIKLGAQAVVEFMADLIAKRDTKAARPKKEKGFWFRICSICWSFRTANTDDNM >KJB33754 pep chromosome:Graimondii2_0_v6:6:7530115:7530528:-1 gene:B456_006G029300 transcript:KJB33754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIIAVILLFLGIGVLVLVHLCIVGRSFIIRRFRNPSRFDTNNNSNTNPTMSEDDVQKLSCYDYSAKEKENSTCFQVLDCAICLEDFKMGEKCRLLPLCKHSFHAECVDSWLLRNPICPVCRTGAGSGESESDLGC >KJB36166 pep chromosome:Graimondii2_0_v6:6:40250422:40252010:1 gene:B456_006G144300 transcript:KJB36166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGHGLRSRTRDLFSRPFRKKGYIPLSTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVGNRIIRKRIHVRVEHVQPSRCTEEFKLRKLKNDQLKAEAKARGEVISTKRQPEGPKPGFMVEGAMLETVTPIPYDVVNDLKGGY >KJB35861 pep chromosome:Graimondii2_0_v6:6:38505317:38507598:1 gene:B456_006G131000 transcript:KJB35861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTWKTSAQISRLVIILNEIPLKRMRYDVVAKGYCCIICFHAESITLQRSAMIFDFIFGIIVTYLAWD >KJB35862 pep chromosome:Graimondii2_0_v6:6:38506638:38507598:1 gene:B456_006G131000 transcript:KJB35862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKYITYMFNRQLNHFSYPKSKRLVIILNEIPLKRMRYDVVAKGYCCIICFHAESITLQRSAMIFDFIFGIIVTYLAWD >KJB36024 pep chromosome:Graimondii2_0_v6:6:39287281:39288659:-1 gene:B456_006G1367001 transcript:KJB36024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQDRAVPKSPKPSQIRKLPTVQPNRLSEPKNLDFNAWVPDNCYRIVTILVLILTIAAVFFIYSSTNTAFLLCLQSETQSAVDSISLPQINWNSIKPIPDRTSPYANFRSEQWVVVSVSNYPSDALKKMVKIKGWQVLAIGNSRTPSDWSLKDMQANLGFRVVDHLPYDSYVRKSVGYLFAIQHGAKKIFDADDRGEVIDNDLGKHFDVELVGEGARQEVILQYSHDNPNRTVVNPYIHFGQRSVWPRGLPLENVGDFGHEEFYTEVFGGKQFIQQGISNGLPDVDSVFYFTRKSGFEAFDIRFDEHAPKVAFPQGMMVPLNSFNTLYHSSAFWALMLPVSVSTMASDVLRGYWGQRLLWEIGGNVAVYPPTVHRYDRIEAYPFSEEKDLHVNVGRLIKFLVSWRSNKHRLFEKILELSYAMAEEGFWTEQDLKFTAAWLQDLVAVGYQQP >KJB36414 pep chromosome:Graimondii2_0_v6:6:41739702:41743607:-1 gene:B456_006G158000 transcript:KJB36414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDEEKRSPNSLPNLPQTSTFPSSLMELETFSSGVPQPLEILHGNPVPPFLSKTFDLVEDTSLDPIISWGPTGQSFVVWDPVEFSKFILPRNFKHNNFSSFVRQLNTYGFRKIDTDKWEFANEAFQRGKRHLLKNIQRRKSPQSQQVGNYFGSSTEAGRSGVEGEIERLRKEKSMLMQEVVELQQEQQGTARHVEVVNQRLQSAEQRQKQMVSFLAKLFQNPAFLARLRQKKEQGEIGSSRMRRKFVKHQLVELIDSETPAEGQIVKYSKLDWRDIAISPSAPDIIPTSVEKSPDYLPKGVAEIDLGSEGFPFPVDDEVVVSDELATAHGFLGTPELVGEGTSGMGIQDLKGKNVIGSEQVVNPGYFISSPEDLVKQKSTPYFSSPISESVSKQDAWSMDFDATVGMPSSRNELWGNLETYDIPELGVTGELSDVWDLGFLQAAEDSGADKWPTEGYPFDNPHTENQGGQSEANRSKKMDP >KJB36608 pep chromosome:Graimondii2_0_v6:6:42655421:42656673:1 gene:B456_006G166900 transcript:KJB36608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQTSQVCGALFIVLSLLTNYSISTKPSEQHAPSPDSPTFFAVPPSANIPDVETKSNETISQENVSTLSNADEPTDLQTKGEETLSDQQPASSQSINVDPTLETNGDNNIPTSLSPPIVENSVLDTRDMDSVSQENTSVPLLKADPPAAETKESGIIEDLFQENTSLPPSPNVDVPNVETNEEKNSLVPSSPYIDTPAFETSDDGSFTQENLSPPPSNTVSEYPEDVPQLNDYSSLPNNIVPAPSEALSYPESDTFSSAPTSNQHTILPFNYRAEDEPVEPYEEEEEDSWNGVNGAVAGVLVGVFVIGVGGFVYKKRKNDNVRAQYHSLAKKEGV >KJB37562 pep chromosome:Graimondii2_0_v6:6:46558522:46559525:-1 gene:B456_006G210800 transcript:KJB37562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTSWSFKFLFMTLATFAIISKPSLAVAVAVPVFQSSPWAAAHATFYGDESASQTMGGACGYGDLFSNGYGTDTAALSTTLFNDGFACGTCYQIKCVDSPWCYSGVPSTTVTATNICPPNWAQESNNGGWCNPPRAHFDMSKPAFMKIATWKAGIVPVMYRRVPCERPGGVRFSFQGNGYWLLVYVMNVGGGGDIANMWVKGSKTGWINMSHNWGASFQAFATLGGQSLSFKLTSYSTKETIIAWNVAPENWNVGSTYKTDVNFH >KJB33789 pep chromosome:Graimondii2_0_v6:6:7977274:7979550:-1 gene:B456_006G030800 transcript:KJB33789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKPCKSQDVEVRKGPWTMEEDLILINYIATHGEGVWNNLAKAAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNRWSKIAKHLPGRTDNEIKNYWRTRIQKHINKQAETFSFHISTPELLDNQASTSQLPCNGSTSFMETYSPMSSFNHCNLEPFPGQQQEVQQQQQQQPQQQQIVDQPNNESYWSMEDFWSMQLLNGD >KJB36709 pep chromosome:Graimondii2_0_v6:6:43135370:43140880:1 gene:B456_006G172300 transcript:KJB36709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVRAVNGGSLFRSAASPPLLTFGCRFRQFSSLPFRRNSELGLRFPIFCCGNQFLGYGGGLSRSVHSIVDCVMEELTAWRSRRRIRATVKLTTSGELLEDKLVNQEIEKGLLLEFKKDSDRVLLGVAQRPDGKKNWMVYDQNGVTSSIKPQQITYIVPGVENFDHAEISKFLQKAQENLDPTLLEIAWVELLEKNASVTAEELAEMIFGSSEPLESYCAHLLLSKDEVYFTVLATKGSRTIYGPRPTGQVEELLHKKLAKDASEKELQDFVQLLVSAKAKATLAKPSKSSWIMDEKIQYKIESLEAYAIDACKSDEQRKTAGMILKAMGLTKTASSALNLLINIGYFPVHVNLDLLKLNILTDHSDEIIAAAESLLSDSSDSDEMNREDLTHLKVYAIDVDEADELDDALSATKLQDGRMKIWIHVADPTRYVEPGSMVDREALRRGTSVFLPTGTYPMFPEKLAMEGMSLKQGELCNAVSVSVILHSDGSIAEYSVQNSIIKPTYMLTYESATELLHLNLEEEAELKILSEAAALRLKWRRQQGAIDTSTLEARIKVVNPEDLEPSINLYVENQADPAMRLVSEMMILCGEVVATFGSRNNIPLPYRGQPQSNIDVSAYSHLPEGPVRSSAIVRIMRAAEIDFRKPIRHGVLGLPGYVQFTSPIRRYLDLLAHYQVKAFLRGESPPFSAGQLEGMASIVNMQVRVVRKLSASSLRYWIIEFLRRQPREKKFRALILRFIKDRIAALLLVEVGLQASAWVSIGSQVGDEVEVQVEEAHPRDDVLYLKEVLRN >KJB35674 pep chromosome:Graimondii2_0_v6:6:37491566:37494151:1 gene:B456_006G123500 transcript:KJB35674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:Projected from Arabidopsis thaliana (AT5G12140) UniProtKB/TrEMBL;Acc:A0A178UCE6] MATLGGISQVDGSANSLEIENLARFAVDEHNKKGNTMLQFKKVTNVKQQVVSGTMYYITLEAMDGDKTKVYEAKVWDKPWMNFKELQDFKVIGDAPAGCTSTA >KJB35675 pep chromosome:Graimondii2_0_v6:6:37491611:37494067:1 gene:B456_006G123500 transcript:KJB35675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:Projected from Arabidopsis thaliana (AT5G12140) UniProtKB/TrEMBL;Acc:A0A178UCE6] MATLGGISQVDGSANSLEIENLARFAVDEHNKKGFKKVTNVKQQVVSGTMYYITLEAMDGDKTKVYEAKVWDKPWMNFKELQDFKVIGDAPAGCTSTA >KJB38716 pep chromosome:Graimondii2_0_v6:6:50622968:50626449:1 gene:B456_006G268200 transcript:KJB38716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKASSSISQTLKRYIKKPWEVTGPCTDPEYKIALPKATEYRIRCPATTLQKPIVPTSDPETVFDIKYYARDQRRNRSPIRRTVLKKADVEKMMKENTFDVNDFPKVYLTAKFEEDENAVSGGYQK >KJB38042 pep chromosome:Graimondii2_0_v6:6:48235483:48236639:-1 gene:B456_006G233800 transcript:KJB38042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPTNFLLQTFLWLALAATAFSLSPNFYHNVCPQALPAIKRVVEAAVHKERRMGASLLRLHFHDCFVNGCDGSLLLDSTSSFETEKNARGNLNSVRGFEVVDQIKAEVDRVCGRPVVSCADILAVAARDSVVALGGPTWKVRLGRRDSTTASRKLADNVLPSASMDLPALINNFKNQGLNKRDLVALSGGHTIGLSQCVIFRNRIYNATNSDTAFAKERRATCPRTGGNTNLAPFDPTPASFDTAYFKNLVKERGLLTSDQALFSGGSTNKLVETYSRNPDAFWVDFGKSMIRMGNIKPLTGKQGQIRVNCRKMN >KJB34057 pep chromosome:Graimondii2_0_v6:6:14247055:14248146:1 gene:B456_006G045600 transcript:KJB34057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSAVEVSEIFYDIYIKEILKGNRPGTHFTKDRWLYIMTNFEKETCKAYSQRQLKNRWDVLKKEWKAWKKLKDGDTGLGWDPIKRTVDASDDWLLIISFIKLLFHLSVVPEAKKFRTLGIDLEFKGKLDQMFIGVVVIENKEENVRNDVHILNDVHISNDVHIDGNSQKRKTPEISTSCFKTGRKKSAKQIGEPARLSSQIEKLCNAADNMSQATSSLIPVMDLYGNPQAVKVLDSMSEEVPEASPLYFFSLRLLLKKDKRIIFLSINRKMRVLWLKIEMEDS >KJB34965 pep chromosome:Graimondii2_0_v6:6:33013328:33017599:-1 gene:B456_006G092800 transcript:KJB34965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSKPAPLHDHYASIKTCKPRISVFKGIPSINLRDPKAKMLIIQACQEFGFFKLLNHGVPMETIARLEAEALSFFNLPRSVKDKAGPPNPFGYGTKGIGPNGDVGWIEYLLINTDQNPAISRSAVKDYVMEVKAVAYEVAELMAEGLGIERRDVWSKMLREEESDWCLRLNHYPISQDLQALSGRKMIGFGEHTDPQIISLLKSNNTSGLQICLKDGTWVSVPPDHASFFVTVGDALQVMTNGRLKSVRHRVMTNSLKPRISMIYFGGPPLSETIRPLPSLMAKGEESLYNEFTWREYKASAYKSRLGDYRLGLFEKASTTGH >KJB33838 pep chromosome:Graimondii2_0_v6:6:8704291:8705406:1 gene:B456_006G033300 transcript:KJB33838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKKPQLDGVLDNEGKKWVITGIAIRTSLKPINTKPRAKENEEAEEEEACSTTPTSKEAKIPDKLACPLAPRKPRPPLRCHYNGVREFFTPPDLESVFKLHVEKAN >KJB37300 pep chromosome:Graimondii2_0_v6:6:45593247:45594353:1 gene:B456_006G198700 transcript:KJB37300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFSLIVLCVVTLVVVLFSGSEAVPAPKCDNPLEYSSCLNAYKKPPPSASCCQKMKEQASCYCEYMKIEDVKRVFDRVEIAKMAKLCGVSYSSTC >KJB36116 pep chromosome:Graimondii2_0_v6:6:39941813:39947044:-1 gene:B456_006G141800 transcript:KJB36116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRUBBELIG-RECEPTOR FAMILY 2 [Source:Projected from Arabidopsis thaliana (AT5G06820) UniProtKB/Swiss-Prot;Acc:Q9FG24] MYKELLCFYFIVLVSLATLFSESNATTDKLDVIALNGLFKALNNASQLKGWKLDGGDPCGDVWTGVACSGSSVTHLWFYSVRDLSSNYIGGEIPYGLPPNVTHILIKNIPHSLSFLTNLTHLNLSHNFLSGPIGDVFTSLQSLKTMDLSNNKFTGDLPKSFGSLTNLTELFLENNNFTGSVIYLAELPLNYLNLEGNHFSGRIPRQFQSIPNLWFWGNRFDTAAMYPPWNFPLDNVPHEQNISSPPSSQQSAMVDYPSSEASETKKKKLSPLRISYVVVGVALVAVCVAVVFAIHIKRSNAKRLRSLGSSNSTLHSHPISPSIDLSTAAQTASPPFLEISSPFNLVPRRNPPVLLTNTEKTSRRKSFAGENKFAMNSKVYTVAELQLATNSFSEENHLGEGSLGPVYKAEFPNGQFFAVKVINMVSLSFDEEEKFMDVIQMASQLRHPNIVRLIGYCVEHGQHLVVYEYVRNLSLDDALHSEVFKPLSWGLRLRIALGIAQALDYLHSTFSPPASHSNIKAANILLDDELMPHVCDCGLSILRPLTSNSVKTKASEIAIRNTGYIAPEHGQPGSDNTKSDVYAFGVLLLELLTARRPCDSSRPRDEQSLVEWASIRLHDNESLQQMVDPGIRRTFPPRFLSGYADIVSLCIQPEKEFRPPMSEIVESLTRLSQKMGMTKSNASIDGAEVEPLFDRSFRSTQTCFGSSPAASLSIDGT >KJB36115 pep chromosome:Graimondii2_0_v6:6:39941620:39945997:-1 gene:B456_006G141800 transcript:KJB36115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRUBBELIG-RECEPTOR FAMILY 2 [Source:Projected from Arabidopsis thaliana (AT5G06820) UniProtKB/Swiss-Prot;Acc:Q9FG24] MDLSNNKFTGDLPKSFGSLTNLTELFLENNNFTGSVIYLAELPLNYLNLEGNHFSGRIPRQFQSIPNLWFWGNRFDTAAMYPPWNFPLDNVPHEQNISSPPSSQQSAMVDYPSSEASETKKKKLSPLRISYVVVGVALVAVCVAVVFAIHIKRSNAKRLRSLGSSNSTLHSHPISPSIDLSTAAQTASPPFLEISSPFNLVPRRNPPVLLTNTEKTSRRKSFAGENKFAMNSKVYTVAELQLATNSFSEENHLGEGSLGPVYKAEFPNGQFFAVKVINMVSLSFDEEEKFMDVIQMASQLRHPNIVRLIGYCVEHGQHLVVYEYVRNLSLDDALHSEVFKPLSWGLRLRIALGIAQALDYLHSTFSPPASHSNIKAANILLDDELMPHVCDCGLSILRPLTSNSVKTKASEIAIRNTGYIAPEHGQPGSDNTKSDVYAFGVLLLELLTARRPCDSSRPRDEQSLVEWASIRLHDNESLQQMVDPGIRRTFPPRFLSGYADIVSLCIQPEKEFRPPMSEIVESLTRLSQKMGMTKSNASIDGAEVEPLFDRSFRSTQTCFGSSPAASLSIDGT >KJB38097 pep chromosome:Graimondii2_0_v6:6:48432215:48432991:-1 gene:B456_006G236700 transcript:KJB38097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALRLTLSSARPRELISKSCSVNPEPGGWSLLQALFNSPQSSKGEQGNENTYVHPLVKRSLSSLSEKSLELCTENLGSETGSDEVLEDDVFALSSHSSQGGNPPTWKSGQPLGSTKKAIAGDFPPPLTTISGSESLRVRSHRENGRLVIQAVKAPSNNPIFQAERSNGRLRLHLLKYSTSSIDHEEEKTADVENDNNTNNNENDDEEEQEEEYENDDNYIAEEEEPEKEEDGETATLQRSWDGRGRYYCKEGETEKRGM >KJB34523 pep chromosome:Graimondii2_0_v6:6:28396447:28398585:1 gene:B456_006G070200 transcript:KJB34523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSLSRLLNKAQSLKSLKFVHARLLVHGSFALSHLVLNKLLRLYARFGSIQYAHKLFDQIPQPNAFIWTALIHGYVENRNYLKALSLFSKMREDSVLPLKFTLVSVLKSLARLVRVKDGEAVYGLGLKCGFCFDLIVQNAVIDLFMRCGEVDLATRVFDEMEEKDLVSWNSMISGYGNNGRVNLARKLFDEMLERNVISWTSMIQGYFKAGEKEEATLLFDRMATKDLASWNVMVSGYMDVGDVHSAQSVFEAMPVRDAGTWNLMISGFCKAGKMEVAKEFFDKMPSKNIASWTMMIDGYVKAGDVSNGRCLFDQMPEKNLISWSTMIGGYARNAQPCNALELYKQYKNQGIEPDETFVLGIISACSQLGILDAAESIINDFSEPPLFSSLQIVTSLIDMYAKCGNIAKASQVFKMAYHKDLLCCSVMITAFANHGMAQDAISLFEEMRSTNIKPDGVTFLGVLTACNHGGLVIEGRKYFKQMQEEHGIQPSEKHYACMVDLLGRAGCLEEAYNLIRNMPISPSAVIWGALLAACRVHCNVKLAEIATGELFKIEPDNSGNYILLSNIYADSKRWDGVSRIREIIRKKEVRKNRASSWIELGSVVHEFVTADALHFDSERIYFTLYLISEEMKLLGSVRDLEKEGLIV >KJB37914 pep chromosome:Graimondii2_0_v6:6:47761738:47765586:1 gene:B456_006G226100 transcript:KJB37914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSINLFDAVLMLYLLSAAKILLLLQMSELLNQKSSIQGKVPSGYLNSIFGLRGDWLHDAEDTKNLAFDGYFISLYHLHLTASPLVLHDRVKKSVPPHWDPAALSRFIRTYGTHIIVGMAIGGQDLICVRQNYSSTIPPSELRGYLEDLGDVMFSDGKSPSLLQRKMGDGKQKVPEVFNRILQSNTLQLASIAETSSKDGLTIICSKRGGNVFLHGHSNWLQTVPAKPEGILFKFVPITSLLTGIPGSGYLSHAINLYLRYKPAPEDLRFFLEFQVPLQWAPMFCELPLRHHRKKASCPSLQFAFLGPKIQVSSTQVSSDLKPAVGLRLYLEGKKCNRLAIHVQHLSSLPNIMTVTSGQSCRWRGSDDYKSSDQFLEPVRWKRYSNVCTSVVEHDPNWLQEVSNGVFIVTGAQLISKGKWPKTVLHLRLLYTHIPNCTIRKTEWAAPPETSRKASFLTNLSTTFSFTQRAVTGQQKPAPTTLNSGVFPEGPPAPIRAKKLLKYVDVSEVVRGPHDAPGHWLVTAAKLVNEGGKISLHVKFALLDYP >KJB37913 pep chromosome:Graimondii2_0_v6:6:47760628:47765648:1 gene:B456_006G226100 transcript:KJB37913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGMEETEKPIEVRAVEALGKGFDISGDFRLKYAKGTRLVVLDETNKRDIVFPGAFTVQDVSQDIRLDKGDRIRFKSDVLEFNQMSELLNQKSSIQGKVPSGYLNSIFGLRGDWLHDAEDTKNLAFDGYFISLYHLHLTASPLVLHDRVKKSVPPHWDPAALSRFIRTYGTHIIVGMAIGGQDLICVRQNYSSTIPPSELRGYLEDLGDVMFSDGKSPSLLQRKMGDGKQKVPEVFNRILQSNTLQLASIAETSSKDGLTIICSKRGGNVFLHGHSNWLQTVPAKPEGILFKFVPITSLLTGIPGSGYLSHAINLYLRYKPAPEDLRFFLEFQVPLQWAPMFCELPLRHHRKKASCPSLQFAFLGPKIQVSSTQVSSDLKPAVGLRLYLEGKKCNRLAIHVQHLSSLPNIMTVTSGQSCRWRGSDDYKSSDQFLEPVRWKRYSNVCTSVVEHDPNWLQEVSNGVFIVTGAQLISKGKWPKTVLHLRLLYTHIPNCTIRKTEWAAPPETSRKASFLTNLSTTFSFTQRAVTGQQKPAPTTLNSGVFPEGPPAPIRAKKLLKYVDVSEVVRGPHDAPGHWLVTAAKLVNEGGKISLHVKFALLDYP >KJB33065 pep chromosome:Graimondii2_0_v6:6:24839730:24841913:1 gene:B456_006G065700 transcript:KJB33065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSMVITEDGALFYWVSSDPHLRCQQLYSLCEKTIVSISAGKYWAATATAIGDVYMWDGKKSMDKPPVVTRLHRVKGKKIP >KJB33064 pep chromosome:Graimondii2_0_v6:6:24841445:24842049:1 gene:B456_006G065700 transcript:KJB33064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSMVITEDGALFYWVSSDPHLRCQQLYSLCEKTIVSISAGKYWAATATAIGDVYMWDGKKSMDKPPVVTRLHRVKGKKIP >KJB34152 pep chromosome:Graimondii2_0_v6:6:17246694:17250232:-1 gene:B456_006G050400 transcript:KJB34152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSAIKLFLLLLFLGYMMLWFMMPTKLYFEHWLPKIRANSKSTYFGQQGSTMLIYTFPILFIATLGCIYLHLGKKHGDHNVEGRVPTSKLKQPVMVKGPLGIVSWMELSFLTMFVVLLAWSTTSYLNGMFTHIDQMASRSGLLVWEAKLEMSGLTLGLVGNICLAFLFFPVTRGSSVLRLIGLSSEASIKYHIWVGHIAMTIFTAHGLCYLVFWAKTEQLSQVLKWGKIEVSNMAGEIGLFCGLGMWATSLPQIRRKMFELFYYTHHLYILFIVFFVFHVGFSYACIMLPGFYLFLIDRYLRFLQSQQRVSLVAARILPCQTVELNFCKSPEVNHSPRSIIFVNVPAISKLQWHPFTITSNSNMDPDNLSMVVKSEGRWSSDLYQKLSSPLPMDGFQVSVEGPYGPPASTHLLRHDILVLLSGGSGITPFISIIRELLFKASSGSSTRIPHILLICAFKKSIDLAMLELILPVSGTTVDISCLQLQIEAYVTREKGADEDNHKPLQTVWFKPNAIDAPVSAILGPNSWLCLGLIISSSFVMFLLLIAILSRYHNSNMVYASSAIAGFYMLFMCLAIAMTANATFLWNKKQNRKRLRQIRNMDTPPVWFNNGDRELESLPYQSLLQATNVHYGERPDLKKILFERKEISVGVIASGPREMRQEVAAICSSGLADNLQFESISFTW >KJB34937 pep chromosome:Graimondii2_0_v6:6:32835819:32839366:-1 gene:B456_006G091900 transcript:KJB34937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSEPSSSLSFSSSSHLSNGSITHETSAAAATLEVISLTKLSSSLEHLVSDNSPPFSDADVVVEGVSVGVHRCILAVRSKFFKELFDKKNNNNGCVEKEGKPSYNMNEFLPYGKIGLEAFQVFLSYLYTGKLKASPMEVSTCVDTHCAHDACRPAIDFAVELMYASSIFQIPEMVSLFQRRLLNFVDKALVEDIIPILVVAFHCQCSQLVSQCVDRVTRSDLDSISIEKELPYEVAESIRLLRCKPPTEAEDGEAVVVPLREKQIRRIQKALDSDDVELVKLLLTESDVTLDDATALHYAAAYCDPKVVSEILALRLADVNRRNSRGYTVLHIAAMRKEPSVIMSLLAKGASASELTLDGRSAFNICQRLTRPKDYHAKTEQGKETNKDRICIDVLEREMRRNPMAGDVSVTSHTMADDMHMRLLYLENRVAFARLLFPSEAKLAMDIAHAERTSEFSGLSSKGSNGNLSQVDLNETPVMQKKRLLARMEALMKTVEMGRRYFPHCSEVLDKFMEDDLPDLFYLERGSLEEQKLKRSRFRELKDDVQKAFNKDKAEFNRNGLTSSSSSSLKDGGRYKLQKL >KJB34938 pep chromosome:Graimondii2_0_v6:6:32835916:32839366:-1 gene:B456_006G091900 transcript:KJB34938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSEPSSSLSFSSSSHLSNGSITHETSAAAATLEVISLTKLSSSLEHLVSDNSPPFSDADVVVEGVSVGVHRCILAVRSKFFKELFDKKNNNNGCVEKEGKPSYNMNEFLPYGKIGLEAFQVFLSYLYTGKLKASPMEVSTCVDTHCAHDACRPAIDFAVELMYASSIFQIPEMVSLFQRRLLNFVDKALVEDIIPILVVAFHCQCSQLVSQCVDRVTRSDLDSISIEKELPYEVAESIRLLRCKPPTEAEDGEAVVVPLREKQIRRIQKALDSDDVELVKLLLTESDVTLDDATALHYAAAYCDPKVVSEILALRLADVNRRNSRGYTVLHIAAMRKEPSVIMSLLAKGASASELTLDGRSAFNICQRLTRPKDYHAKTEQGKETNKDRICIDVLEREMRRNPMAGDVSVTSHTMADDMHMRLLYLENRGITLRRDLPRLFISAIVHAPLINWSKI >KJB36867 pep chromosome:Graimondii2_0_v6:6:43727765:43730347:-1 gene:B456_006G179700 transcript:KJB36867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB1 [Source:Projected from Arabidopsis thaliana (AT3G09230) UniProtKB/Swiss-Prot;Acc:Q42575] METEVEAVDNGNGTTVAEINVPSPPPLDGGEGGDCGSRDDSAAGGRTRKQRVKGPWSPEEDAILSELVSKFGARNWSLIARGIAGRSGKSCRLRWCNQLDPAVKRKPFTDEEDRMIISAHAVHGNKWAVIARLLPGRTDNAIKNHWNSTLRRRYMDLGRINTESANMMEDAGCLDKTKASSEETLSCAEDSSFRSLEWKDASSSEKLDNQSKGKALAGTQSNHELIEPPTLFRPVARISAFNVYNNMDAPEFVSPCSRPVPVLGPSIQTSKPDVGTCKLLEGVYTDRLVPLHCGHGCCGTQNGQNKSLLGPEFVEFSEPPSFPNYELAAIATDISNLAWLKSGLENNSVRAMDDATAGTSHRSQVHMGHFEESQMSDRLRIEERNSKFMGTMTNLLST >KJB35349 pep chromosome:Graimondii2_0_v6:6:35698253:35700274:1 gene:B456_006G110400 transcript:KJB35349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKDKISYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLAYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRISPDTQHLFSNELARYNLGEDCPVFENLFEFCQIYAGGTIGKF >KJB33688 pep chromosome:Graimondii2_0_v6:6:6826404:6827306:1 gene:B456_006G026600 transcript:KJB33688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKENVNTALFTAGIAVLLVCLKRALLLFLVQQWRAWVFLVLNLVLLAIFFTSMTPNSSQISQQEMNKNEEEMKKKRGNFNEAEACTEDHQVSNSKQISKSEEVEDLVEKEVVVEEEEEEEEEEEEENIEALKLSKEELNERVEAFIAMFRQQLALDARKGRKPADCSKKKHTNLSSDRVNRFVLKVEG >KJB37127 pep chromosome:Graimondii2_0_v6:6:44736413:44738222:-1 gene:B456_006G190300 transcript:KJB37127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFEFSTELLPSLPEELGLECLSRLPYTAYRLASRVCHRWRELLQSLDFYYHRKRLGYTQKLACLLLVFNSGIQNGPKNPGVSVSSSYGIAVFDSVSQSWDRLAPVPRYLNGLPLFCQLASCEGKLVVMGGWDPVMYDPVTDVFIYDFVTQQWRQGKDMPSKRSFFAIGACGGRVFVAGGHDENKNASRTAWVYDLRTDEWAELGELSQERDECEGVVIGEEEFWVVSGYRTESQGQFDGSADVYGFKSEQWRRVEGVWEPGRCPRSCVGIGEDGKLLNWAEINPGVRVRACGGRVLVTGSEYGCGALGFYTVETKEGQNSKMENISVPNEFSEFVHAACYAEI >KJB34915 pep chromosome:Graimondii2_0_v6:6:32664215:32665859:-1 gene:B456_006G090400 transcript:KJB34915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVITCSFPVNLPFRTSKPHVNKIVMLQNNQVPCKKSPEIQISGFSNNNKVFEDKALGVICYRDDNGEIVCEGYDEGPRFISPTLFHHRDAEILDLLRERWIQIVNSGGFKNPKDGVSNFKGNGFNKFL >KJB36731 pep chromosome:Graimondii2_0_v6:6:43266169:43267899:-1 gene:B456_006G173700 transcript:KJB36731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTSLPAYLDPANWQQHPNHPAGDGSGANAHQLPPPPPPPPLPAPQPHGGGGAGSIRPGSMADRARLANIPMPEAALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGYRRNKRSKGSSSKSSASGDRQTASGSSSTISSNSTGNTDILGLGHQVRPQRFMTPLHHLTEFGGSDHIGLNYGTMSAPLGGMNDLSFQMGSALASGSGGATPGTSLLTMAGLDQWRLQQPPQFPFLSGLESSPGMYQFESGGGGIEASGYGGEAGHHQVRPKISSSIATQMASVKMEDNSNNNSNQQELMNLSRQFLGLQGYDHYWGGTA >KJB38686 pep chromosome:Graimondii2_0_v6:6:50541343:50544406:1 gene:B456_006G267100 transcript:KJB38686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNVRWSCLLCLVLIGIAIEGVQCKVVQFIFGDSLSDVGNNMYLSKSLAQANLPFYGIDFGNGLPNGRFTNGRTVADIIGDSTGLPRPPAFLDPSLTEDVILESGVNYASGGGGILNETGGYFIQKFSLWKQIELFRGTKELITNKLGKQATDKFMGEANFVVALGSNDFINNYLMPVYGDSWKYNDQTFVRYLMETLQNQLLVLHNLGARKLMVFGLGPMGCIPLQRVLSTTGQCQERANKLAISFNKAASQLLAGLDSRLPNASFMFGDAYDVVDNVIRNPKNYGFDNADSPCCSFGRIRPALTCLPASTLCSDRSKYVFWDEYHPSDGANQLIANELIKKFGFLGGGNSSAPVPAPESAIAPSPDEE >KJB36883 pep chromosome:Graimondii2_0_v6:6:43783924:43787502:-1 gene:B456_006G180500 transcript:KJB36883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESMLLHSSYSSTKPRCYLSNPEPCWTESRTTNLRFERKVVLGKQVKWESLGLTSRREFSKKERGGVVRCTAEGIERRMLIPGEATVRVPERFKVVALMACVMCLCNADRVVMSVAIVPLADKLGWSSSFLGIVQSSFLWGYIFSSVIGGALVDKYGGKRVMAWGVALWSLATLLTPWAANHSTFALLAIRAFFGLAEGVALPSMSTILSRWFPGHERASAVGFSMAGFHLGNVVGLILTPIMLSTIGIAAPFILFSSLGLLWLSSWVYKVTSDPRESPFITKSELTSIQAGKTDPPTTTAEFPPIGLLLSKLPTWAIIFANVTNNWGYFVLLSWMPVYFKTVFNVNLKQAAWFSAVPWGTMAVSGYIAGAISDSLVKAGYSITSVRKIMQSIGFIGPGVSLLCLNFAKSPEMAAVCITAALSLSSFSQAGFLLNMQDIAPQYAGFLHGIANSAGTLAAIISTIGTGYFVQWLGSFQAFLSLTACLYFITTIFWNLFATGERVF >KJB33195 pep chromosome:Graimondii2_0_v6:6:139855:141401:-1 gene:B456_006G000200 transcript:KJB33195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATVEEIRKAQRAQGPATVLAIGTATPPNCVFQADYPDYYFRITNSDHMTDLKHKFKRDKSMIKKRHMYLTEEILKENPNMCAYMASSLDARQDIVVVEVPKLGKEAATKAIKEWGHPKSKITHLVFCTTSGVDMPGADYQLTKPLGLRPSVKRIMMYQQGCFAGGTVLRLAKDLAENNKDARVLVVCSEITAVTFRGPSDTHLDSLVGQALFADGAGAVIIGADPDSKTERPLYQFVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLVEAFSPIGIWDWNSIFWIAHPGGPAILDQIEAKLGLKEDKLRATRHVLSEFGNMSSACVLFIMDEMRKKSLDQGMPTTGEGYEWGVLFGFGPGLTVETVVLHSIPTRAN >KJB33196 pep chromosome:Graimondii2_0_v6:6:139855:141412:-1 gene:B456_006G000200 transcript:KJB33196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATVEEIRKAQRAQGPATVLAIGTATPPNCVFQADYPDYYFRITNSDHMTDLKHKFKRMCDKSMIKKRHMYLTEEILKENPNMCAYMASSLDARQDIVVVEVPKLGKEAATKAIKEWGHPKSKITHLVFCTTSGVDMPGADYQLTKPLGLRPSVKRIMMYQQGCFAGGTVLRLAKDLAENNKDARVLVVCSEITAVTFRGPSDTHLDSLVGQALFADGAGAVIIGADPDSKTERPLYQFVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLVEAFSPIGIWDWNSIFWIAHPGGPAILDQIEAKLGLKEDKLRATRHVLSEFGNMSSACVLFIMDEMRKKSLDQGMPTTGEGYEWGVLFGFGPGLTVETVVLHSIPTRAN >KJB33618 pep chromosome:Graimondii2_0_v6:6:5622873:5624006:-1 gene:B456_006G022000 transcript:KJB33618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCASSRQKRCWHCRAPYSPVPRSYTMHVHHPAQHRGDSYHVVALTSTTLGNLKIESSHQSNGNNTFNVAAFNGNLENNGNVKEKKEQQSKGLSMIEAEVWSKMIEDKIPKVIPKTPIATPPGEPETINTWELMAGLEDLSPRPPSHIRSFSNGTVSQKPLWVQFEEEEEDQKSDSVITDFDPEIISSFRKTLEQLPSANPFHLRLKKDKLVLYFTSLRGVRKTYEDCCHIRLILNTLGVRIDERDVSMHSGFKDELKELMGDQGCGGLPKVFIGKKYIGGADEIRQMHEEGTLMKAIEGCEMMDDDEIGPCEACGDIRFVPCETCSGSCKVYYEDDDENEDGVELEHEDGGEGDYGFQRCPDCNENGLIRCPICCY >KJB33389 pep chromosome:Graimondii2_0_v6:6:1991134:1996175:1 gene:B456_006G009000 transcript:KJB33389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGSEISSSLTFPSSSYLSNGSGFGSGSHLMGASSDPEETDLENLSLNKLSGNLENLVINEEYENYNDAEIVVEGNVVVSVNRCILAARSPYFHQLFRQDPKDDRKPKYLMSEILPFGKVGYEAFKVFLQYLYTGKIKASPRDVSTCVDESCSHDACGPLINYALELMYASATFHTKELVLLVQRHLLNIVEKALIEDVIPVLVAAFHYQLNQLLSQCFERVAKSDLDNVCLEKELPPEVYAKIRSLRLVPELVESDLVHEKKIKRIHKALDSDDIELLELLLRESNVTLDEAYALHYAVAYCDPKIVSEVLCLGLANVYLKDHRGFTALHVAARRKEPSVLVALLNKGASVTETTLDGRTAVDICRRLTRVKDYNENKKQGAPSNKDRLCIDVLEAKMRCSESENLGVPTQVIAYDLHMKLDYYENRVSFARLLYPAEAKVAMEIAEADSNISEADLNESPTSHTRRLHLRLQTLFRTVETGRRYFPHCAEVLDKFLVDDMSDPSFFEDGSSEEQRVKKRRFTELKEELLEAFYKDKADQKKHNHRPVLSPSSSSSSSTTKLGSSTPYKPRRK >KJB37170 pep chromosome:Graimondii2_0_v6:6:44938078:44938823:1 gene:B456_006G192300 transcript:KJB37170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOTHER of FT and TFL1 [Source:Projected from Arabidopsis thaliana (AT1G18100) UniProtKB/Swiss-Prot;Acc:Q9XFK7] MAASVDPLVVGRVIGDVVDMFVPTVTMSVYYGSKHVSNGCDIKPSMAINPPKVAIDGLPDQFYTLVMTDPDAPSPSEPTMREWVHWIVSDIPGGTNPTRGINNSLCIYIVLLYPLQNIAIFLTLLFRLILL >KJB37169 pep chromosome:Graimondii2_0_v6:6:44938019:44940331:1 gene:B456_006G192300 transcript:KJB37169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOTHER of FT and TFL1 [Source:Projected from Arabidopsis thaliana (AT1G18100) UniProtKB/Swiss-Prot;Acc:Q9XFK7] MAASVDPLVVGRVIGDVVDMFVPTVTMSVYYGSKHVSNGCDIKPSMAINPPKVAIDGLPDQFYTLVMTDPDAPSPSEPTMREWVHWIVSDIPGGTNPTRGKEILAYMGPRPPVGIHRYILVLFQQKGPLGAVQQPATRANFSTRFFADHLNLGLPVATVYFNAQKEPVSRRR >KJB34215 pep chromosome:Graimondii2_0_v6:6:19404808:19405428:-1 gene:B456_006G053900 transcript:KJB34215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGNRTDKIELPIREFPYVSWKYNKKSLELSTEEKQSSLSSTDVSEETKTWVTKSLLKPRKRVKKRKGIPHRAPVS >KJB38116 pep chromosome:Graimondii2_0_v6:6:48471556:48472726:-1 gene:B456_006G237300 transcript:KJB38116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEAVQASSRNSMETNSSPRISFSADLLDETNFISINPHSQTDDADKDKDKTATARVAVADFEFLSSNVSSHAMLTADELFFEGKLLPFWQMHHSEKLKQINLRKESGGDGEGDGDDDEREVVENKEESSRVSWFVDDDPSPRPPKCTVLWKELLRLKKQRATSSLSPSSSSSSSSSSSLADVAEEGKQGSGNRDNKHVKRIKKGLERTRSASIRIRPMINVPICTQVKSSALPPLFPLKKGRILER >KJB33444 pep chromosome:Graimondii2_0_v6:6:2438540:2442486:-1 gene:B456_006G011300 transcript:KJB33444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAKISKQRTPVKDPESSSRSKTQKVSKLSENSDPNISNSSSPLTKSSKSQKCSSKNPVVLYSPRNKLRERKFVVAKKKKERFDSNPTAVIDCKCKDNNFGGNSKKCLCVAYENLRASQEEFIKKKTETEAETQEEVDLIENLREGYGSDDNQEIDDEIENSSQTGSSTIKRRRDKLMEEARNSVPYSGKVMHLVKAFEKLLSIPKKEEPEKKEDEEEEPKEDQKKKPMKWGLPGLEPPTVTTETRDSFLPSEFVLTAENLGLDRRFSVSSSWDSQRSVSSRDSNGGRRNRRNSSESTGRIGGRRWKKQLKPTSQKPFKLRTEQRGKMKEEEFMKKIQEMMVEEEKQRIPIAQGLPWTTDEPEVPIKPPVKENTIPVDLRLHSDVRAVERAEFDHQVAEKMSLIEQYKMERMRQQKMEEEEEIKKLRKELIPKAQPMPYFDRPFVPKRSTKNPTIPKEPKFHISQHKKIKCCISWSDMSSYTFQGNEVRDI >KJB33037 pep chromosome:Graimondii2_0_v6:6:8860815:8861090:-1 gene:B456_006G033700 transcript:KJB33037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNFEAPFCLVWIGWALFEELSIPCKLVLNVVVMEETEALIVLKYVLYVLRFDSFSMFNLLKVVLVLPISKECLEGILGSLLNINSTVPSFC >KJB35355 pep chromosome:Graimondii2_0_v6:6:35718062:35721716:1 gene:B456_006G110800 transcript:KJB35355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWLDDLADDLQSVSFTSCSSATVNRSTSSGSASNSGSSSLAPSAHGSFSSKSLRSCTLSLADLRFSLRLGSGDIGSVYLAELKSHAPPSSSNAADTNKNNSNSKSSSKSEVLFAAKVMDKKELASRSKEGRARTEVEILKLLDHPFLPSLYAAIDSPKWLCLLTEFCPGGDLHVLRQHQPLKRFPESAVRFYASEMVVALEYLHMLGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDESTSTPQIISNKKPLLPAPQNVYPIHQLPFISSSCIIPNCIVPAVSCFHPKRKRKKKSSHRGGPEFVAEPMDVRSMSFVGTHEYLAPEIVSGEGHGSPVDWWTLGIFMYELFYGVTPFKGVDHELTLANIVARALEFPKEPTIPTAAKDLIHQLLVKDPARRLGSTMGASAIKHHPFFHGVNWALLRCTTPPYVPPPFRRQVVADGSCPETPVEYY >KJB33890 pep chromosome:Graimondii2_0_v6:6:10077027:10077640:1 gene:B456_006G036600 transcript:KJB33890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISQLFGDEIYDPFLSMVNKCPVLNTPTDWKETPEAHVFISDLPGLNKKEVNVEIDDERVLKIYGERKEEKDDKNVKWHRVERCRGEFRRSFRLPENVKTDGVKASMEDGVLVVTVPKQEVKKPEKKMIEIEEIKG >KJB37280 pep chromosome:Graimondii2_0_v6:6:45427588:45429051:-1 gene:B456_006G197000 transcript:KJB37280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP19 [Source:Projected from Arabidopsis thaliana (AT5G51910) UniProtKB/Swiss-Prot;Acc:Q9LT89] MECNRNQTIEEIDESINNNTAVIINEERHQSNNTTPTFSASDATVMDPNQPMKEEALTDTEPQELANPTLVQVVPAARTQRSLAPKRPSKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLEHAEPAIIEATGTGTVPAIAVSVNGTLKIPTSSGKQEGELPKKRRRRPSNSEFIDVNEHQSSVSSGLAPIAPMTCNSFNLNSQGLVPIWPMGTFSVLPAAPGSNQAQLWAIPATATPFFNVTGRPISSFVSAMQPEVQDSSVGSMGSSVLPTSSSGATSVSNGNNSSNHSTTQMLREFSLEILDKRELQFLGRPANQQAPCSKP >KJB36460 pep chromosome:Graimondii2_0_v6:6:41872371:41876668:-1 gene:B456_006G159600 transcript:KJB36460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDGNKSCFACCFSTPTTSSSSSSSSSAIKLLFFIVPLILVSFIVFVLVPRNSTWALIYSLPPSTSAVAAAANSSEKLGAAVYAESSQQVLDLPSRVVKTVDVGGSSGEATLSDSDEVAVNRSASPQLSAVEAVTKFQELNETKEYSSLNTSITDSDNLNITINGTPNNATTSSNETIALPLKHNRQVRTKLDKVEAGLQRARSAIREAKNGSQLRDPDYVPVGPMYWDAKAFHRSYLEMEKQFKVFVYKEGEPPVFHDGPCKSIYSMEGNFIYKLDVDTKFQTKDPQKAHVFYLPFSVAKMVRFVYSRDSRDFSPIRRTVVDYINLVAQKYPYWNRSHGTDHFMLACHDWGPEASFSLPYLEKNSIRALCNANTSERFNPVKDVSIPEINLITDKLTGLMGGPSASRRQILAFFAGGVHGPIRPVLLEHWEDKDEDIKVHKYLPRGVNYYDMMRNSKYCICPSGYEVASPRIVEALYNGCVPVLISKSYVAPFSDVLRWKSFSVTVSVDDIPKLKEILMNISTRQYIRMQRRVLQVRRHFEFNSPPKRYDVFHMILHSVWLRRLNVRITDDHGVVAN >KJB33208 pep chromosome:Graimondii2_0_v6:6:227728:228063:-1 gene:B456_006G000700 transcript:KJB33208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIGNPLIKAFFIIFLVSAATATATATGDAPFIIAHKKASLNRLKSGAERVSVSVDIYNQGFTFYPDLPLIFQPKRKFYILNNL >KJB34210 pep chromosome:Graimondii2_0_v6:6:19161713:19163757:-1 gene:B456_006G053200 transcript:KJB34210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCATPGHTMGCVTYVTGDGPDQPQPRMAFTGDALLIRGCGRTDFPGGSSQQLYKSVHSQIFTLPKETLLYPAHDYKGFSVTTVGEQMLYNPRLIKDEETFKNIMENLNLAYPKMIDVAVPTNMVCGFQDLEPKAN >KJB38513 pep chromosome:Graimondii2_0_v6:6:50002752:50004721:1 gene:B456_006G258800 transcript:KJB38513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDVLLSFVGGFPDKRSVCNNVCENFYGAAIDGCYGLLNNYGNEFERLHTGRKTEEVDDVTVLKNGVEGNLSTEDVMRVAGKMFIHSSRRSIDLSFSGLTLKETQDVELALLLLAAAEKVGYREFECTSMLVKECEFMSSRIGNLVQQKIEIDTGKGSGRIQAIVEHVSKAKKVHIIDLGIKTGVQWTVLMQALVSRYECPIEILKVTTVATNGKRLIEGTGKWLLSFAQSLGLPFSFKVVVVSDMLDLKENLFEVDVGETVAVYAATTLRSMLANQNSPMFANRFVEALFFFSVYFDCLVTCMKRNCVNREIVESVYFAEGIRNMVAAEGEERRVRHVKFDVWRAFFVRYGMEEVELSMSSLYQACLVLKTFACGRFCTLDMNGKCLLVRWKGTPVHSISLQVLSKLQDQASTRFHPCMESFDDYLSELNESFNGRCYNLSGDKIKDVSS >KJB36907 pep chromosome:Graimondii2_0_v6:6:43962253:43964967:-1 gene:B456_006G182300 transcript:KJB36907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHEPWLVENGLKGSSKEIRHGRTAHNMSSSSLRKKSDLTLVSKVRYAMLRQFLANLQEVILGTKLSVLFPAIPLAIVAECYGFGRPWVFALSLLGLTPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIAIFALSQHKIDVVKYSLLGSVLSNLLLVLGTSLFCGGIANIAREQKYDRRQADVNSLLLLLGLLCHSLPLLFRMSGASAALTADPTLQLSRASSIVMLIAYVSYIVFQLFTHRQLFEAPEEAEGDDDGISEEEPVIGFWSGFIWLVGMTVVISLLSEYVVETIEDASDTWGISVSFISIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQISMFVVPLCVLVSWIMGINMDLNFNLLETGSLALSIIAVAFTLQDGTSHYMKGLVLLLLYIVIGACFFVSKTPLSKDIRTSLALNYITYLHYYFL >KJB36906 pep chromosome:Graimondii2_0_v6:6:43961374:43965120:-1 gene:B456_006G182300 transcript:KJB36906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHEPWLVENGLKGSSKEIRHGRTAHNMSSSSLRKKSDLTLVSKVRYAMLRQFLANLQEVILGTKLSVLFPAIPLAIVAECYGFGRPWVFALSLLGLTPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIAIFALSQHKIDVVKYSLLGSVLSNLLLVLGTSLFCGGIANIAREQKYDRRQADVNSLLLLLGLLCHSLPLLFRMSGASAALTADPTLQLSRASSIVMLIAYVSYIVFQLFTHRQLFEAPEEAEGDDDGISEEEPVIGFWSGFIWLVGMTVVISLLSEYVVETIEDASDTWGISVSFISIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQISMFVVPLCVLVSWIMGINMDLNFNLLETGSLALSIIAVAFTLQDGTSHYMKGLVLLLLYIVIGACFFVSKTPLNQVNITNSGAELATILRA >KJB38181 pep chromosome:Graimondii2_0_v6:6:48730488:48733001:-1 gene:B456_006G241000 transcript:KJB38181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNKSVFVVLLFSLFLSFGLLCSAKDFPGRRSEDDPQQRYEDCRKRCQLETRGQTEQDKCEDRCETQFKEEQQRDGEDPQRRYQDCRQHCQQEERRLRPHCEQSCREQYEKQQQQQPDKRFKECQQRCQWQEQRPERKQQCVKECREQYQEDPWKGERENKWREEEEEESDEGEQQQRNNPYYFHRRSFQERFREEHGNFRVLQRFADKHHLLRGINEFRIAILEANPNTFVLPHHCDAEKIYVVTNGRGTITFVTHENKESYNVVPGVVVRIPAGSTVYLANQDNREKLTIAVLHRPVNNPGQFQKFFPAGQENPQSYLRIFSREILEAVFNTRSELLDELFGGRQSHRRQQGQGMFRKASQEQIRALSQGATSPRGKGSEGYAFNLLSQTPRYSNQNGRFYEACPRNFQQQLREVDSSVVAFEINKGSIFVPHYNSKATFVVLVTEGNGHVEMVCPHLSRQSSHWSSREEEEQEEQEDERRSGQYKRVRAQLSTGDLFVVPAGHPVTFVASQNEDLGLLGFGLYNGQDNKRIFVAGKTNNVRQWDRQAKELAFGVESRLVDEVFNNNPQESYFVSGQSRRGFDERRGSNNPLSPFLDFARLF >KJB37332 pep chromosome:Graimondii2_0_v6:6:45701553:45703852:-1 gene:B456_006G200100 transcript:KJB37332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRQQLTSTSSSSSRPMYRPSIYPNVGQPGHPVVPPAPRTSSSPSSSAGLGIRVVLKPDYRITPLPQFSPQVGDIRRSNFQFDFEFERKILAQPDAEFMNLSQLDLENHPSEPTQSTPSSGANSDSVLNKYIASGLSQEAVIIAVANYGDSPTKVREFVNGYNLLREMGFSANNVADALLVCDNDTDKALAHFLNSSS >KJB38250 pep chromosome:Graimondii2_0_v6:6:48951395:48953412:-1 gene:B456_006G244100 transcript:KJB38250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTRNTYTCRFHLCSVPQIYIFNFLKFSHKSIMFTAPSFLKILPLFSFKSTTVKLPILPTTTKLSPPSSRRLRLLAESSFGVPREIVSGPKYRPLSVSPSPPEIPKTPEVDPSDAPLEFTTGDPPPLGRPGPDPGPDFPKPPLGPPPVDPEVVPLPPPGQKPPPEVDPPPSTPPGNVPPPSIPPEIPTPSIPPDIPPPKSPDIPSPKGLQLWQSMQILKESYASSKLNRVVLSPAKNAFLSYESFHFSSNVKIPTNMKYQ >KJB37357 pep chromosome:Graimondii2_0_v6:6:45832362:45837731:1 gene:B456_006G201600 transcript:KJB37357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLQVTRLMSCKLSANISHKACSFRPSRQLGFSSLSRRSFQNGKLTVARSDITANGGGFGGLDDSSNSNSIEEEDDDEEEEEENGLMLGLDRDDCGSVIALHLIPPSGEVTIDSHQDEAADTKGEDEGIEEEKGGEEEIRSRVTYNIVFVTAEAAPYSKTGGLGDVCGSLPGVLAGCGHRVMVVSPRYLNGTSADKNFAGAYDVDRHIKVPCFGGEQEVAFFHEYKKGVDWVFVDHPSFHRPGNLYGDSHGAFGDNQFRFTLLCHAACEAPLVLPLGGYTYGEKCLFLVNDWHAGLVPVLLASKYRPFGIYKDARSILVIHNLAHQGVEPAASFKNLGLPWEWYGALEWVFPTWARTHALDTGEAVNILKGAIVTADRILTVSKGYAWEITTVEGGHGLNELLSSRRIVLNGIVNGIDVTEWDSSSDEHISFHYSADDLSGKARCKTALQQELGLPIRPECPLIGFIGRLDYQKGIDLIRWAIPELMEENVQFVMLGSGDPLYEDWMRAAEKTYRDKFRGWVGFNVPISHRITAGCDILLMPSRFEPCGLNQLFAMRYGTVPVVHATGGLRDTVENFNPYAGDRSGEGTGWTFSPLTKESMLEALRTAIMTYRDHKSTWEALMRRGMQRDFTWETAAIHYEQVFEWAYIDPPYIT >KJB34393 pep chromosome:Graimondii2_0_v6:6:23570178:23573203:-1 gene:B456_006G063900 transcript:KJB34393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIALPAALALATDPIASLVATAFVGHLGSVELATVGVSVSIFNLESRLFNVPLLNITTSFVAEEQALISKSKDDGEFEHQGKKFLPSVSTSLALAAGLGIAEAVVLSVGSGFLMNIMGIPMDSSMRGPAEQFLTWRAFGAPPVIIALAAQGTFRGFKDTKTPLYAIGAGTLLNAILDVILIFPFGFGVGGAAVATVISEYLIAIILLWELNGKICVQIWLAVSLLTNALALSGQALLATNYSQVNYQQAPKVIYSVLKIGLETGFPLAVFLFIGFEALSGLFTTDAEVLQISWSGTLFVAGSQTVNAVAFVLDGLYYGVSDYEYVAVSMVVVGLISSAFLLVAAPLFSVGGVWNGLFLFMTLRVVAGFWRLGTKSGTKTETTNIAMQYLAVLGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSLFVYGLWYMQQFTMCIF >KJB37953 pep chromosome:Graimondii2_0_v6:6:47935765:47937385:-1 gene:B456_006G228600 transcript:KJB37953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVKYSGTGAGGGHICIIPFPACGHILPLMDLTHQLLLRGLTVTIMVTPKNLSYLNPLLALHSPNVKPLVFPFPSHPSLPHGVEQMQDLPISFLPHIVNALGKLYHPLVQWFRAQQSPPVAILSDIVLSSWTVNLASHLNIPNICFVPFNVAAVYFWISTPSFLLPFYREAFTMSMQSEGLVFNSFKELESTKLGLIKEKFAKHCRVWGVGPLPPVKVGDEGLNERGGATSIPPDQVISWLDSCKVDKSVVYIGFGTQITLTKHQMKAVASALEESGVRFIWAVKEPMKRAEDGDDDQSLIPHGFEDRVKGQGLVIKGWVPQLTILKHRAVGSYFTHCGWNSALEAIVAGVLLLAWPMQADHFQITRLLADEVGAAIRVCKGLRSVPDATKLARIFVESTTVGQPQRVRAMELQKTALNAIKEGGSSYQALDKVAEELTSFGCNRTEMMKTIRSSL >KJB34347 pep chromosome:Graimondii2_0_v6:6:22251519:22253348:-1 gene:B456_006G060900 transcript:KJB34347 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 58 [Source:Projected from Arabidopsis thaliana (AT3G18400) UniProtKB/TrEMBL;Acc:Q9LS50] MENLPPGFRFHPTDEELITYYLSHKVSDITFTSKAIVDVDLNKCEPWDLPAKASMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKTTGKDKEIFRAGGVLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLENKHPFKASKEEWVVCRVFQKSAAVKKPQPSSSSQPSLGSPCDANSIVNEFGDVELPNLNINANPSCGFNDIATPSYSNTVNHGHMNAMNLNLDFGAASLPSSLSWPSSILSPNLSMNSFLFKALQLRNYQPRDVSGMDYSILSSTQGINIPPFGTDLASNFQGAVASSSKVVVPMPQQQQQQQEQPFNMDSLW >KJB36816 pep chromosome:Graimondii2_0_v6:6:43510492:43517222:-1 gene:B456_006G177800 transcript:KJB36816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDGDGDKGLRELNHRLYDGSKRTTNGVVAEEHVMLEDVKVNKIAQNVKDGHVVAVQAPAMRQITQQQPQNAMCYWQRFLHLTTVTVLLVENDDSTRHVVTALLRNCCYDVVEAANVLQAWKILEDLTNHIDLILAEVGMPSLSGLVLLSKIMSHKTRKNVPVIMMSSQDSMNLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVRSKSVEKSDNNSGSNDEENNGSIGLNIGDGSDDGSGTQNSWTKQAVEVDSPRLVSPSDQVAECPDSTCAQVVHSNAELSGNKWVPVAAAKGCQEQDEQLDNVAVGKDLDIGMPRNLDLQLECPVEVPIRTVGAKQINLLDMSSSKFSEQIEKRQLDLNSESPSNKQKSEAANQTGTTSKTTDLKKEIAENEVSNRLSKIPDVNDKTINDSKEPPSVELGLKRLRGVKDAVTVVRDERNVLRRSDSSAFSRYNTASNANKVPVVNIGSSSALDSNLELTRQGSVCNNESHLVNELPNQSSNVGSNNIDRGSTTNNAFAKAAVDKNKSAASSTVRSLHPSSIFQPMKNDLLRATQKVVFDKADDVSTTAGLAQARGIHHELQMQHPSNHYDQHHHLTHGMQQQQPPEHDDLSLKKLAADAPHCGSSNVLGGLVEGNAANYSVNGSASGSNHGSNGPNGSSNAVNTVGTNMESDNGIAGKSGSGDVSGSGSGSGSGGKADQSKSAHREAALTKFRQKRKERCFQKKVRYQSRKRLAEQRPRIRGQFVRQTVNNDPASNSCDE >KJB36817 pep chromosome:Graimondii2_0_v6:6:43510851:43516021:-1 gene:B456_006G177800 transcript:KJB36817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDGDGDKGLRELNHRLYDGSKRTTNGVVAEEHVMLEDVKVNKIAQNVKDGHVVAVQAPAMRQITQQQPQNAMCYWQRFLHLTTVTVLLVENDDSTRHVVTALLRNCCYDVVEAANVLQAWKILEDLTNHIDLILAEVGMPSLSGLVLLSKIMSHKTRKNVPVIMMSSQDSMNLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVRSKSVEKSDNNSGSNDEENNGSIGLNIGDGSDDGSGTQNSWTKQAVEVDSPRLVSPSDQVAECPDSTCAQVVHSNAELSGNKWVPVAAAKGCQEQDEQLDNVAVGKDLDIGMPRNLDLQLECPVEVPIRTVGAKQINLLDMSSSKFSEQIEKRQLDLNSESPSNKQKSEAANQTGTTSKTTDLKKEIAENEVSNRLSKIPDVNDKTINDSKEPPSVELGLKRLRGVKDAVTVVRDERNVLRRSDSSAFSRYNTASNANKVPVVNIGSSSALDSNLELTRQGSVCNNESHLVNELPNQSSNVGSNNIDRGSTTNNAFAKAAVDKNKSAASSTVRSLHPSSIFQPMKNDLLRATQKVVFDKADDVSTTAGLAQARGIHHELQMQHPSNHYDQHHHLTHGMQQQQPPEHDDLSLKKLAADAPHCGSSNVLGGLVEGNAANYSVNGSASGSNHGSNGPNGSSNAVNTVGTNMESDNGIAGKSGSGDVSGSGSGSGSGGKADQSKSAHREAALTKFRQKRKERCFQKKVRYQSRKRLAEQRPRIRGQFVRQTVNNDPASNSCDE >KJB34445 pep chromosome:Graimondii2_0_v6:6:25058531:25059586:1 gene:B456_006G0661001 transcript:KJB34445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHFLFVTLCASLLFPGAVAKFVQFPLHVWLPDAMEGPTSILALIHVATMVAAGIFLVARLFLLFIVIPYIVNLISLIGIITILLGATLALAQKDIKRDFTYSTMSQLGCMMLALGMGSYRAALFHLITHAYSKALLFLASRSIIHSMEAIVGYSLDKSQNMFFMGGLRKHVPITKIAFLVGTLSLCGIPLLACFCSKDEILSDSWLYSPIFAIIAWSTARLTAFYMFQIYLLTFGGHLNVHFQKYSGKKVALSIQ >KJB38685 pep chromosome:Graimondii2_0_v6:6:50537304:50539340:1 gene:B456_006G267000 transcript:KJB38685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g47530 [Source:Projected from Arabidopsis thaliana (AT3G47530) UniProtKB/Swiss-Prot;Acc:Q9SN85] MTQISACINKTNITIIVFSLKLSIPSFTSTMIPIHRHFSLESFQETCPNNDQHPKPMLKSTSRHQQQQTMVSVVKSCTHKPHLLQIQAHLTRSSLLQNPKFSLLFLSSLCSSRDLCYARLFFSQIKNPSSSQYNTLIRAYSSSNSPKEAFFLYREMRQKGLKPDPISFSFVIKSCVKFRSVFCGLQVHGRIIRDGFLSDCLLLTTLMEFYSSFASREDACKVFDEMSQKDTVAWNVLISCYLRNGRTRDVLMLFDNMKNEGVCEPDDVTCLLVTQACANLGALEFGEKVHRYIKERGYGTARNLSNSLISMYSRCGCLDKAYDVFKGIGEKNVISWSAMISGLAMNGFGRDAILAFEEMRKTVIPDEQTFTGLLSACSHCGLVDEGMEFLNQMSKEFGIMPNVHHYGCVVDLLGRAGLLEQAYRVIISMKVKPDAAIWRTLLGACRIHGHFTLGERVIEHLIELKAQEAGDYVLLLNIYSSTGSWEKVSELRKFLKDKGIQTTPGCSSIELKGVVHEFIVDDVSHPQKHEIYNKLDEINKQLKIAGYVAETTSELHDLGAEEKANALSYHSEKLALAFGVLVTRPGTTLRVTKNLRICIDCHNFAKFLSGVYNRQLIIRDRTRFHHFRDGHCSCNDYW >KJB36931 pep chromosome:Graimondii2_0_v6:6:44083465:44085869:-1 gene:B456_006G183400 transcript:KJB36931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAINVSPLFTSSSSLRTFSSYAPQNTPTLSLYSRFAPSLSFPSFSLRPPISTKTRSLIIASAVKNLSEVEPIPVPATPEEFAAKFPSDAGVYAVFDQNDELQFIGISRNIASSLFSHKTSVPELCCSVKVGVVNEADRTALTQAWKSWMEEHIEATGKVPPGNESGNTTWVRQPPKKKADLRLTPGRHVQLTVPLEELIDKLVKQNKVVAFIKGSRSAPMCGFSQRVIAILENQGVDYESVDVLDEEYNCGLRETLKQYSNWPTFPQVFVNGELVGGCDILTSMYEKGELAGLLKN >KJB34053 pep chromosome:Graimondii2_0_v6:6:14154800:14155989:-1 gene:B456_006G045300 transcript:KJB34053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAEWRAAKSPAYYKRGDYAPGLKLDGMDALAVKQACMFSKEHALKNGPIQLNRSNFADVVHAISMKSVFSIYYNPRYTGVVTGISEMDPVRWSGSKWRCLLVWLQTLIVHFQNMFNI >KJB34054 pep chromosome:Graimondii2_0_v6:6:14154522:14156353:-1 gene:B456_006G045300 transcript:KJB34054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAEWRAAKSPAYYKRGDYAPGLKLDGMDALAVKQACMFSKEHALKNGPILNRSNFADVVHAISMKSVFSIYYNPRYTGVVTGISEMDPVRWSGSKWRCLLVWLQTLIVHFQNMFNI >KJB36897 pep chromosome:Graimondii2_0_v6:6:43926400:43928668:-1 gene:B456_006G181800 transcript:KJB36897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFVITLEGSVYSCNHCHTHLALLDDIISKSFHCRHGKAYLFDKVVNITVGEKEERMMMTGLHTVVDIFCVGCGSIVGWKYESAHEKTQKYKEGKFILERFKVLGPDGSNYLVNHDAMVSGSDADNA >KJB36898 pep chromosome:Graimondii2_0_v6:6:43926400:43928674:-1 gene:B456_006G181800 transcript:KJB36898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFVITLEGSVYSCNHCHTHLALLDDIISKSFHCRHGKAYLFDKVVNITVGEKEERMMMTGLHTVVDIFCVGCGSIVGWKYESAHEKTQKYKEGKFILERFKVLGPDGSNYLVNHDAMVSGSDADNA >KJB37660 pep chromosome:Graimondii2_0_v6:6:46958180:46958569:1 gene:B456_006G216600 transcript:KJB37660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNCWTCPVLQRTNSIDDIDFGKETGISKSCCVGLNRSWSGDMSPQGYEQMGKEPSSNGSTSGIPSKKIKKGPIRFNTIATTYGTMGFEANVGAQPRLVRSSGMRRDWSFEDLRGQKVQQTGKEMSVH >KJB38171 pep chromosome:Graimondii2_0_v6:6:48705703:48707311:1 gene:B456_006G240300 transcript:KJB38171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKRIFTFLLRFIAFCTTLSAVIIMISSRQRATVLVFSFEAKYSDTPAFKYFVIVNAIVSIYGFLVLFLPSKSLLWRLVVALDAVFTILLTSSISAALAIAYVGEKGNPIAGWLPICDQVTKYCNQVKGALIVGFISVVLYMLLFLYSLHTVLNPLLLGKS >KJB35433 pep chromosome:Graimondii2_0_v6:6:36228199:36228765:1 gene:B456_006G114400 transcript:KJB35433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIDCGVEESYLDNPTGIWFKPDKEFISTGENHETLPEYQSENEQYGKRYKTLRSFPNGAKNCYTLTLNHAHNNSFHIRASFGYGNYDRKNQPPQFDLYLGVNYWATVNSRSNLCYEIIHVFPADTEYMCLVNTDSGTPFFFSSMEIRSDEQNSIRLEKIKKNSNFKLNESSYSSQLNFFFSNFEFESS >KJB36219 pep chromosome:Graimondii2_0_v6:6:40486280:40487204:1 gene:B456_006G146600 transcript:KJB36219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKDTIANLPKDDGWNAGYPLYQYQGFWCSPNFIEDIILSQEGFKAEPTDIFVCSAPKSGTTWLKALTFAIVTRTRYDTSTSPLLSKVSHDCIPTLSNSGKKLDICEPGLPLISTHTPYHALPKSVLNSDCKPSELPTKNHLSLEKAFDLFCEGKSFYGPYLDHVLGFWKASQEKPHKVLFLKYEEMMKDTEPYVKKLAEFMGYRPISREEGEAGAVQEIVRLCSFENPSNLDVNKTGVKQQRKAKVENNFYFRKGKVGDWKNYLTTEMEERLDKLMDQTFAGTGN >KJB38117 pep chromosome:Graimondii2_0_v6:6:48492049:48496649:1 gene:B456_006G237400 transcript:KJB38117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGGFSSVTPAGVEFEAKITPIVIITCIMAATGGLMFGYDVGVSGGVTSMPDFLEKFFPVVYRKTRNPGIDGNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRSLGRRLTMLIAGFFFIVGVVLNAAAQDLAMLIIGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTAKITGGWGWRLSLGLAGIPALLLTVGALLVVDSPNSLIERGRLDEGKAVLRKIRGTDKIEPEFLELVEASRIAKEVKHPFRNLLKRRNRPQLVIAVALQIFQQFTGINAIMFYAPVLFNTLGFGNDASLYSAVITGAVNVLSTVVSIYSVDKVGRRVLLLEAGVQMFISQVIIAIILAFKVKDHSDDLHKGFAILVVVLICTFVSAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHFKYGIFLFFSGWVVIMSIFTLFLIPETKNIPIEEMTERVWKQHWFWKRFMDDDEVAAVTNGDIAKKNGHANGFDPTSQL >KJB36019 pep chromosome:Graimondii2_0_v6:6:39249031:39254496:1 gene:B456_006G136500 transcript:KJB36019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVSSPFTLPASKADQLSSLSQKHFFLHSFLPKKINNLPNSKSSLKVKCAAVGNGLFTQTTPEVRRIVPENKNNLPTVKIVYVVLEAQYQSSLSSAVQSLNQNSNFASFEVVGYLVEELRDENTYKTFCKDLEDANIFIGSLIFVEELALKVKTAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKQGAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMISSSYVPALKGTKVDYSDPVLFLDSGIWHPLAPCMYDDVKEYLNWYGTRRDVNEKLRGPDAPVIGLVLQRSHIVTGDESHYVAVIMELEAKGAKVIPIFAGGLDFSGPVERFLIDPVTKKPMVNSVVSLTGFALVGGPARQDHPRAVEALMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIKWAELKRKSKTEKKLAITVFSFPPDKGNVGTAAYLNVFASIYSVLKDLQKDGYNVEGLPETAEALIEDVIHDKEAQFNSPNLNVAYKMSVREYQNLTPYAPALEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFEADAVLHFGTHGSLEFMPGKQVGMSDVCYPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDSGRGQQIVSSIISTARQCNLDKDVELPEEGEEISAKERDLVVGKVYSKIMEIESRLLPCGLHVIGEPPSAMEAVATLVNIAALDRPEDGISSLPSILAETVGRNIEDVYRGSDKGILKDVELLRQITEASRGAISAFVQKTTNKNGQVVDVADKLSSILGFGINEPWIQYLSNTKFYRADREKLRVLFEFLGECLKLVVADNELGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAAMQSAKVVVDRLVERQKVDNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPWITGCTKRLIRLSFKMRTC >KJB36018 pep chromosome:Graimondii2_0_v6:6:39248942:39254504:1 gene:B456_006G136500 transcript:KJB36018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVSSPFTLPASKADQLSSLSQKHFFLHSFLPKKINNLPNSKSSLKVKCAAVGNGLFTQTTPEVRRIVPENKNNLPTVKIVYVVLEAQYQSSLSSAVQSLNQNSNFASFEVVGYLVEELRDENTYKTFCKDLEDANIFIGSLIFVEELALKVKTAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKQGAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMISSSYVPALKGTKVDYSDPVLFLDSGIWHPLAPCMYDDVKEYLNWYGTRRDVNEKLRGPDAPVIGLVLQRSHIVTGDESHYVAVIMELEAKGAKVIPIFAGGLDFSGPVERFLIDPVTKKPMVNSVVSLTGFALVGGPARQDHPRAVEALMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIKWAELKRKSKTEKKLAITVFSFPPDKGNVGTAAYLNVFASIYSVLKDLQKDGYNVEGLPETAEALIEDVIHDKEAQFNSPNLNVAYKMSVREYQNLTPYAPALEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFEADAVLHFGTHGSLEFMPGKQVGMSDVCYPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDSGRGQQIVSSIISTARQCNLDKDVELPEEGEEISAKERDLVVGKVYSKIMEIESRLLPCGLHVIGEPPSAMEAVATLVNIAALDRPEDGISSLPSILAETVGRNIEDVYRGSDKGILKDVELLRQITEASRGAISAFVQKTTNKNGQVVDVADKLSSILGFGINEPWIQYLSNTKFYRADREKLRVLFEFLGECLKLVVADNELGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAAMQSAKVVVDRLVERQKVDNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPVEQNYVRKHALEQAKALGIEVREAATRVFSNASGSYSSNVNLAVENSSWNDEKQLQDMYLSRKSFAFDCDAPGAGMTEKRKVFEMALSTADATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDGKKPSAYIADTTTANAQVRTLAETVRLDARTKLLNPKWYEGMMSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANSTFIQDENMLNRLMNTNPNSFRKLIQTFLEANGRGYWETSEENIEKLRQLYSEVEDKIEGIDR >KJB34578 pep chromosome:Graimondii2_0_v6:6:30299091:30300053:1 gene:B456_006G078300 transcript:KJB34578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEFSEKPPHILAKELFNGWHFKPSDSQKPQQYYKNILVQTGSVLFKHYTDPKYPNFITHSTAQILKNLRPRDWRENPNSPKKFPAKFTTKIDHYPYFTYWDYQMAWYNAFLMNNQHMRHSWLIYFKYGTQFKFPNWFQEWWNWYGPSSFEILPEKIQNLWPKFFEKFHPEPDQKHIYRTIHFFSKLCSSWIVSWNYSYEQDQHTGIPLLVHNYRTKWWDKFNDEKYDSKYLDNFFNKNPRLSKSTASTLLAQAKTKKEYKKLMVEMLGSLDSESEDESPASSIKTVDLADDTTLVTITRSKKK >KJB34480 pep chromosome:Graimondii2_0_v6:6:27524367:27524852:-1 gene:B456_006G068100 transcript:KJB34480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFFPREDNVYTVKLTEQAERYEEMVKFMETVVSTVPSSDELSVEERNLLSIMIVSSIEQKEEGRGNANHVSVIREYKAKIEAELSEICAEILKLLDGELVLETKTRDSNDFYLKMKGDYPRYLAKFKTSDDRKVTVENTLTAYKSA >KJB35271 pep chromosome:Graimondii2_0_v6:6:35049501:35050788:-1 gene:B456_006G1074002 transcript:KJB35271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPKKASAKSKLHDICAANNWKHPLYECCKEEGASHMKLFTFKVVVEMREETSTTILECFSEPRPKKKMAADHAAEGALWYLRHIGCLLSKPS >KJB34047 pep chromosome:Graimondii2_0_v6:6:13644991:13648068:1 gene:B456_006G044900 transcript:KJB34047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVPPPQRSDPGSIGGGLGDLRVYQAWKGSNIFLFQGRFIFGPDVRSLGLTILLIVAPVSIFCVFVARKLMDDFSHHLGNSIMVVAVVFTVYDLLLLLLTSGRDPGIIPRNAHPPEPESFDGSVDVGAGQTPQLRLPRIKEVEVNGITVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFAFCWVYIRRIMASEETTIWRAMIKTPASIVLVVYTFIAMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGVVDNFKEIFCSSIPSSKNNFREKVPREPVLPTRPGGFMSPNMGKAVDDIEMGRKTVWGDIGAGTDHCEGQLISDRVNVKEGELGDLSPDIRSTVDDTGDRSGIHPRRSSWGRKSGRWEMSPEVLALAARVGEPNRIGGGSSSNNLITESRPT >KJB38249 pep chromosome:Graimondii2_0_v6:6:48949012:48949626:-1 gene:B456_006G244000 transcript:KJB38249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLILFLIFISFSRSTASSSISLAPSSLDAQFLSFPKPEEDQSFSMLPVDVDPRLQQLCEGTEYPIKCLTTTISFLDDKAVVDPVSIVKEEVDVFYGKVKEALHKASKRLSNRSTSRFVTNRLKSCIDDYKTILKNKQKIIDAISMGDTNKLIKDLNSNVDNIYACEDEFKEANIKSPIIEMDSLLGRMIINSLSIGVDTTPF >KJB36980 pep chromosome:Graimondii2_0_v6:6:44261125:44262789:-1 gene:B456_006G185500 transcript:KJB36980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLYISTNLNLDEVDTDPLFSQATKAVASIIGRPEHFVMVILKGSLAIRFNGNKEPAAYAEIVSMGGINREVKRRLIATLGTILENTLSIPTTRFVLKVYDINANRSKL >KJB38552 pep chromosome:Graimondii2_0_v6:6:50233097:50234060:1 gene:B456_006G262100 transcript:KJB38552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSSAIGKQRSSRAKSMMMTPGSGEALLRDEVKTLLQKVEEEAELSNVSMQSHPFLLHPFLLVTQQRSVCQLRLCKC >KJB34397 pep chromosome:Graimondii2_0_v6:6:23851066:23866568:1 gene:B456_006G064200 transcript:KJB34397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERSKSSSVSNLPLILNIDDFKGDFSFDALFGNLVNELLPSFQEDSADSADGHGIGGTDVLPNGHTRTSSDATKFAQGLSAPLFPEVDALLLLFKNSCKELVDLRKQIDGKLSNLKKEVSTQDDKHRKTLTELEKGVDGLFNSFARLDSRISSVGQTAAKVGDHLQSADAQRETASQAIELIKYLMEFNRNPGSLMELSPLFSDDSRVAEAASIAQKLRSFAEEDISKAMPSVVGGATASRGLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDNKLVLGDQSSQASPNYVARGLSKLYKEITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTSLLDKLLEKPSIAHPRPVGEGGILLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSAHKDEYPEYEQASLRQLYQAKLEELRAESQKVSEPSGTIGRSKGASVASSPLEISVAAVTEFVRWNEEAITRCTLFSSLPATLAANVRSVFTCLLHQVSQYITDGLERARDSLTEAASLRERFVIGSSVSRRVTSQAEAAAAAGESSFKSFMVAVQRAGSSVAIVQQYFANSISRLLLPVDGSHAATCEEMATAMSSAEGAAFKGLHQCIETVMAEVDRLLSTEQKAADYHSPDDGMVHDHRPTNACTRVVAYLSRVLETAFTTLEGLNKQAFLTELGNYLYKGLVNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPTVDEKFELLGILANVFIVAPESLSSLFEGTPSIRKDAQRFIQLRDDYKSAKLASRLSSLWSS >KJB34396 pep chromosome:Graimondii2_0_v6:6:23851066:23861195:1 gene:B456_006G064200 transcript:KJB34396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERSKSSSVSNLPLILNIDDFKGDFSFDALFGNLVNELLPSFQEDSADSADGHGIGGTDVLPNGHTRTSSDATKFAQGLSAPLFPEVDALLLLFKNSCKELVDLRKQIDGKLSNLKKEVSTQDDKHRKTLTELEKGVDGLFNSFARLDSRISSVGQTAAKVGDHLQSADAQRETASQAIELIKYLMEFNRNPGSLMELSPLFSDDSRVAEAASIAQKLRSFAEEDISKAMPSVVGGATASRGLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDNKLVLGDQSSQASPNYVARGLSKLYKEITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTSLLDKLLEKPSIAHPRPVGEGGILLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSAHKDEYPEYEQASLRQLYQAKLEELRAESQKVSEPSGTIGRSKGASVASSPLEISVAAVTEFVRWNEEAITRCTLFSSLPATLAANVRSVFTCLLHQVSQYITDGLERARDSLTEAASLRERFVIGSSVSRRVTSQAEAAAAAGESSFKSFMVAVQRAGSSVAIVQQYFANSISRLLLPVDGSHAATCEEMATAMSSAEGAAFKGLHQCIETVMAEVSYFQSPNLMVLDNSMGSNCWIRTCLT >KJB33127 pep chromosome:Graimondii2_0_v6:6:40562461:40562735:-1 gene:B456_006G1472002 transcript:KJB33127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NRILGFKYYLGVCFVFDTKFWGILDGLILLQKHGMDRVLIQTNSSEIVQAIQGRNP >KJB36950 pep chromosome:Graimondii2_0_v6:6:44114246:44118166:1 gene:B456_006G183800 transcript:KJB36950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRSPLSFALFSTLLVLYGEAKTIDPYKVLGVDKKASQREIQKAFHKLSLQYHPDKNKSKGAQEKFSEINNAYDILSDEQKRKNYDMYGDAKGAPGFDAGHPGDHGGYTFFTGGGPGQSGFTSGPGGWQHMGGQGGSQSFSFSFGGPGGSRSSGSHGGPSSFGFDIDDIFSGFFGGGMKHQGQFGDFSSFRSSSRSQSQSRSSSRSIRAINSEAFRKEITDQGMTWLLLSYTPSLQGKQYYESIMAEVASLLSEAIKVGSINCETELSLCKDLAVRPGRSPRLFVYSYKGSEKGSLEEYNGDLTAKNVKTFCQDHLPRFSKRISLNHFDLSSSNVERYPRVMLLSTKKDTPVIWRVLSGLYHKRFTFYDAEVHDVSDPAVKKLGVDALPAIVGWLSNGEKHILKSSISVKDLKSAIKDLSVLLDSFEKKNRKVASSWTSEEQTASTEGQLPLLTASNFDGLCGDKTPVCIIGGFRSPKSRDKLESLLSKVSKKSLSRRQNVASGSRDALSYALLDATKQQSFLGAFEKSGFKSIDNILVAYKPRKGKYVAFTGDMTIEEVEKFISSVLNGDVQFTRTRQKPVLK >KJB35776 pep chromosome:Graimondii2_0_v6:6:38081412:38083157:-1 gene:B456_006G127900 transcript:KJB35776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRLGIGLQGRKNICEGKDVNLVLLEEQINDLVEKIEKLQKRTGVKELEVKKCSNFDKHVCLLQRQLQKFGFGGLSDEEICVKEIREMAEASLSIKISNEGSGSFASTSNHHNRNVESLRRKMDGLLESMEEEYGSLLSTSDLSSSSSLQQSNKEEKMGHETRVCSGHCKAIVGRVVEQIRGETEQWSQMQDMLGLVRDEMEELHASRDFWEDRALDSDCQIQSLQSAVKEWRQRAVSSEAKAKELEAQIYVLRQEMERLRQGRDRKSVRPQIASPINQLEAQNETEKRVLVCRLKEDDPNPKDGRRKPQTCRRWPLTDIGNISTLKKQQQSGEATLPLFSLHKEEMKRSL >KJB35777 pep chromosome:Graimondii2_0_v6:6:38081412:38084042:-1 gene:B456_006G127900 transcript:KJB35777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTSMGTSITRRAKWQYPPAQPTPRILHLPRRPRRKAPKPSPSKQPTWQKDRKGVLERLFDQERSFASGAVPVMLLTPRESEEERRRGRVEEEEKRANTHNSVVFVEEEKWRFQAEMLRAECNLLRMERKIAIKKMERRRVHMERTLKSALEILLSGRKNICEGKDVNLVLLEEQINDLVEKIEKLQKRTGVKELEVKKCSNFDKHVCLLQRQLQKFGFGGLSDEEICVKEIREMAEASLSIKISNEGSGSFASTSNHHNRNVESLRRKMDGLLESMEEEYGSLLSTSDLSSSSSLQQSNKEEKMGHETRVCSGHCKAIVGRVVEQIRGETEQWSQMQDMLGLVRDEMEELHASRDFWEDRALDSDCQIQSLQSAVKEWRQRAVSSEAKAKELEAQIYVLRQEMERLRQGRDRKSVRPQIASPINQLEAQNETEKRVLVCRLKEDDPNPKDGRRKPQTCRRWPLTDIGNISTLKKQQQSGEATLPLFSLHKEEMKRSL >KJB36307 pep chromosome:Graimondii2_0_v6:6:41054391:41055641:-1 gene:B456_006G151500 transcript:KJB36307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAETSGTKRLDGKVALITGGASGLGEITARLFVKHGAKVVIGDIQDKLGHSLCQELGTANISYVHCDVTCESDVENAVKLAVSKHGKLDIMFNNAGIMGENEVKVSETSLKDFKTVLDVNVLGAFLGAKHAARVMVPAKKGCILFTASLASKICYGNSHSYKSSKVAVVGLAKSLSVELGEHGIRVNCISPHAIFTPMFQKTLRLQDKKKGEEMVSASAVLKGTLLEPEDFANAALFLASDEAKFVSGVNLTIDGGYSLTNQSWKTGLSVLSQ >KJB35595 pep chromosome:Graimondii2_0_v6:6:37168756:37171554:-1 gene:B456_006G121100 transcript:KJB35595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSNHLIGLLNFLTFLLSIVILGGGIWLSSRANSTDCLKFLQWPLIVIGASIMVVSLAGFAGACYRNTFLMWLYLFVMFFIIAALIGFIIFAYAVTDKGSGRPVLNKGYLEYYLQDYSGWLKDRVVDESYWAKISSCIRDSKVCSKTGRTFNGVPETYDMFSMRKLSPIESGCCKPPTECGYVYVNETLWNSGSGLAATNLDCSRWSNDQQMLCYQCDSCKAGVLGSLKKSWRKVSVINIVVLILLVIFYVIGCAAFRNNKKIDNNEPYGEARMTKTQPSRIHL >KJB38728 pep chromosome:Graimondii2_0_v6:6:50730410:50734815:-1 gene:B456_006G269500 transcript:KJB38728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDTMSDQEQDDPDAEFVEIDPTGRYGRYKEVLGRGAFKKVYRAFDELEGIEVAWNQVKITDLLRNSEDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKNEHINFITEIFTSGTLRQYRKKHKHVDLRALKKWSRQILEGLLYLHGHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRQARAAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTFEYPYIECANAAQIFKKVTSGIKPASLAKVTDPGVKLFIEKCIAKASERLSAKELLRDPFLQPVEENDSVGRSLRLNPKTSDSTTEDLQSETSLDVRVQGQTKDLNTIFLKLRIADSTGQVRNIHFPFDIEADTAIAVASEMVEELDLTDQDVLTIATMIESKIQSHIPDWISRESPSNSIGEIAYSDISESKGDGSLMAHEPTSSPGRLSLERLPSGRRYWSDSPIAAGGFSLSRFGFSNVRSPDNWAEHYEQSHDCHEGGADINRGTSLDRVANEVTHHSGRGDDDGLGKDENRPADMQSSVSGKNNLHDNNGTHSSKENCKQLKDTESEVKVITENLESLLVKQQLELDELKNKHQLTISDLLNELPPEILEKVLATCKLKIPKYDIQDATNH >KJB33585 pep chromosome:Graimondii2_0_v6:6:5047612:5050442:1 gene:B456_006G020000 transcript:KJB33585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESGWWSKYSNNASERCQWPGISCNTAGSVIQINLSYAFIIEVGDRFGKLNFSSFQNLVLLDLSHRQLGGKIPHQIGNLSALKHLDLSRCGLSGNLVNLVSLNLSGTSLSGNIPPFLGLLTNLRHLLLARNQFDDGNNTIPQNLWNLRGLETLSLSGRGIVGPIPSALGQLLNLKYLSLSGNKINGSIPFEVGFLSNLIYLYLYNNKLVGSIPFSLYQLTNLETLYLDNNQLEGSIPQNIEKLKNINFLTITNNSFTGHIPLALCRLTKLESIYLDKNQISGSIPSCLGKLFNLRILDLDSNLLEGLIPEEIGNLANLTSLSLSQNKLSGSIPSCIGNLSNLDTLGLDSNLLKGPIPEEIGRLFHLSNLNLSFNQLSGSVPILSATKLRIIDAGNNCNKISPDPFEGNNRLSPYMCPSPVTNKANSSTVLYYIKIFLPIAIFFTFSILGYFLFSRFKLKNNRVGVQQTKNGDLCSIWNYDGKIAYEDIVAATEDFDFRYCIGVGGYGSVYRAQLPCGKVVALKKLHRLEAENPAFDKSFRNEIKFLTEIRHRSIVKLHGFCLHRRSMFLIYEYMEKGSLFCNLRDEVEAVELDWTKRVEIIKGIAHALSYLHYDCCPPIVHRDISSNNVLLNSSFEAFVADFGTAKMLDLESSNQTMIVGTCGYVAPELAYTLVVNEKCDAYSFGVVALETLMGKHPEELLSWLSSPTSLVNMRLIDVLDNRLPLPTSQLVAQNLVRIATLAFACLNPQPKSRPTMKEVCEEFLCVQTSLGIPLRMISLLQLVNRKMHIGDRTETCNVHALVLD >KJB33834 pep chromosome:Graimondii2_0_v6:6:8560099:8561374:-1 gene:B456_006G033000 transcript:KJB33834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRDRSYRFDQVVRGDRTNYQSGPSTTQVLTVLTLLPIGGTLLALAGLTLTGTVIGLCVATPLFVIFSPVLLPAAIAVFMAVAGFLSSGAFGLTGLSSLSYVFNRFRQATGTEQLDADRAKRGMQDMVGYVGQKTKEAGQTIENKAHEGGRT >KJB36651 pep chromosome:Graimondii2_0_v6:6:43121637:43125928:-1 gene:B456_006G172100 transcript:KJB36651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNDPKQSGGFFASIASSISNFGSAMTKSVNGLMGYEGLEVVNPEGGTEDAEEEARRGRWKQEDRDSYWKMMQKYIGSDVTSMVTLPVLIFEPMSMLQKMAELMEYSYLLDLADECEDPYMRLVYTTSWAISVYYAYQRTWKPFNPILGETYEMVNHGGITFIAEQVSHHPPMSAGHAENEHFIYDISSKVKTKFLGNSIDIYPLGRTRVTLKRDGTVFDLVPPPTKVNNLIFGRTWVDSPGEMIMTNLTTGDKAVLYFQPCGWFGAGRYEIDGYVYNSAEEPKILMTGKWNESMSYQPCDSEGEPLPGTELKEAWKLADAPKNDKFQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALELGDLSKAGFEKSSLEERQRAEKKNREEKGHKFTPKWFDFANEVAATPWGDLEVYQYNGKYTEHRVAIDGSSSSEEIDIKSTEFNPWQYEDLAAN >KJB37729 pep chromosome:Graimondii2_0_v6:6:47063052:47067005:1 gene:B456_006G218100 transcript:KJB37729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILVPSTQWQMRVPKCSTFGSPIVTKMWSSLLLKQNMKGAAKSAGKFRVLALHSENSTVNRTEKLLNMDITPYTDKIIAEYIWIGGSGIDMRSKSRTISKPVEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDAYTPAGEPIPTNKRHKAAEIFSNKKVVDEVPWFGIEQEYTLLQQNVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWCSRYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKSMREEGGFEVIKKAILNLSLRHKEHISAYGEGNERRLTGKHETASIDSFSWGVANRGCSIRVGRDTEKNGKGYLEDRRPASNMDPYVVTGLLAETTILYEPTLEAEALAAQKVALKV >KJB34147 pep chromosome:Graimondii2_0_v6:6:17056322:17057568:-1 gene:B456_006G049800 transcript:KJB34147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPREIEVTLSSAKDLKNVNWRHSPIRPYVVLWVDPKNKCSTKVDEEGDTCPIWDETLVIPLPAGPVDDDTILFIDVVHAGSEEHTKPLIGSGKIKLRKVLDKAGFEVSHEKTLKLKRPSGRPQGNVDVRVLIREPRYHDPDPYRAPPYGVPAQDSRAYPGAPPTYGFPYPYAQPPPPQSPYYAAPPSGYPYSAYNYNARPAYGEGSGGYYGQVEKKKSKFGGMGTGLAVGAVAGALGGLALAEGVDALEDHFEDEVAEKVEDDLGYDDGGDDF >KJB33546 pep chromosome:Graimondii2_0_v6:6:3759085:3761274:-1 gene:B456_006G016700 transcript:KJB33546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEHKNGGSSSLDFNVPLLLDQNQSKLKDLEASDNDDVNFIGTTSFSKTCFNGLNALTGIGILSTPYALASGGWISLILLFTIATATFYSGLLIQRCMDWDPNIKTYPDIGYRAFGRKGKVVVSVLMHMELYLVATGFLILEGDNLQNLLPNVECFEFGGLTIGGKQGFIIIVALIILPTVWLDNLSLLSYISATGVLASLFIIGSVLWIGVFDGIGFQQRGEFINWDGIPTAVSLFAFCYCAHPVFPTLYSSMHKRHQFSNVLIVCFVLGTLCYASMAILGYLMFGSEVQSQITLNLPTNKLSSRIAIYTTLVNPISKYALMVTPIINATKAWFPYHSNKRLFRHMVGTGVVMSTLLVALAVPFFGSLMSLVGAFLSINASVTLPCLCYLKISGSYQKFNGEMVGIGLVIVMGIFVVIFGTYASLVDIIHMSKF >KJB37555 pep chromosome:Graimondii2_0_v6:6:46636794:46637435:1 gene:B456_006G212100 transcript:KJB37555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKVSIFALIFLIIVLQLCSYFNPCSASNGYNHRKSKEFIKKSCRATSYPKLCLKSLARPASKIKGNPELLASAALSTTFFAAQTTSRLLKDTSRIHSLKPNEVAAMVDCIADLSDSVQKLQMSTKVMDEGTKNNDVVRVDGSDDVVRVQINDIQMWVNMALEEEETCMIALANMNVKGRVKKGIRKRIVKVAHLTSNALDLVKNFALAHNK >KJB33220 pep chromosome:Graimondii2_0_v6:6:467715:468470:1 gene:B456_006G001600 transcript:KJB33220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKKEGGIVKIGQVEGMKMAVSILMEFELPEGLLPLANVVEVGYVKETGYMWIVQEKKVEHEFKMISKLVSYDTDINGIVEKKRIKKLKGVKAKELMLWAPVSEITIDDKQPEKIHFKSLAGITKTFPVEAFAAGQ >KJB33218 pep chromosome:Graimondii2_0_v6:6:438664:439275:-1 gene:B456_006G001400 transcript:KJB33218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWTKRKMPKHHTYFPLRPCVKHYSHNHPLRPIDQIQEDEELVCSGCGLRVIGSTLMCTKSDCDFLLHKSCFKLNPLLLHQSHPNHFLQLLPTPPHNANFFICDACNDYGTGFDYHCSLCKFNLHVGCSRLPKTVNHMDHQHLLTLYYSFSCIDHNITSFVCDACGQHIENKLWVYYCDKCDYGIHSRCTIPQCTDHIYDSV >KJB36335 pep chromosome:Graimondii2_0_v6:6:41187059:41188917:1 gene:B456_006G153200 transcript:KJB36335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVREPKEENTNLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >KJB37286 pep chromosome:Graimondii2_0_v6:6:45498571:45498810:-1 gene:B456_006G197600 transcript:KJB37286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPSPFLPLLILAVMLATVHLSSCRQLTWASYQQTKFSFAHHFTSSYYASVSGNNNKYTAAHDVSHKVVPGGPNPLHN >KJB37060 pep chromosome:Graimondii2_0_v6:6:44490543:44492493:-1 gene:B456_006G188100 transcript:KJB37060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVSIRVLPLLIFLLFNVSNSDDDEVKQSLVRFMDKLAGVTAKTDQSWGWNMTSDPCTHKWKGVSCDTQLRSVKKVVLDGLNLTGVLDIGSVCKASSLLVFSLVKNNVAGLISEEMGNCKRLTHLYLSGNRLSGHLPDSLKELSNLKRFDISNNNFSGKVPDLSRISKLITFYAQNNQLSGEIPKLDFSNLMQFNVSNNNFNGPLPDVKGRFSAESWSGNPELGGEFISKEFPPSAAAAPLSPRKSKDSSRRLLLIYFGYAVLGLIILLVVAFILISKKKLKEAEADVAKKGVNAYTSSTYDVSKITEHKSEYSASYEESRAAMSPLVVLRSPRAQGLRFEDLLRAPAELLGKGKHGSIYKVMLDNGSTTLAVKRVKDWSVTSQAFRRRMQRLHQTRHPNILRSLAFYSSKQEKLLVYEYQPNGSLSKLLHGSRNGQAFDWGSRINVAATVAEALAYMHEELSEDGIAHGNLKSANILFNNNMDPCVSEYGLMISQSENPSSNSHSNSFSLDPARIRTSFKADIYGFGVILLELVTGKPVQGDELELIQWVNSVVREEWTVEVFDKGLLLEGASEERLLILLQIALKCINPNPYERPNMDQVAVMINTLKDEEDKSSPYV >KJB36439 pep chromosome:Graimondii2_0_v6:6:41792160:41792829:-1 gene:B456_006G158600 transcript:KJB36439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKSSAVIRAVSHDEEGRKKVERTKVPSRKIDTIKYIERKLEDKGVQRLERHPADGIGIDQPPPKSGRGGKYTWEGPDGLAENELMAAPPAIDEKDPNYVDEEEEEKIVRDENSDVAELVVGEVEVAKAAEAQKGVARVELDPHINLN >KJB35351 pep chromosome:Graimondii2_0_v6:6:35703545:35704408:1 gene:B456_006G110600 transcript:KJB35351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPSYKFQDSKQGIQISVNTINTDLGNLHDSFLHQGHEDKDEELIRVVSRQNPLGRLSSPACSDGKVIEKTFSMRADLEDERQRSPSSSSSSGIGKAMKRAFSKRASSASAANDVVYCRIDHQYDTVPVADDENTIMVAHSTKESDNMGNKIIEACRRFFGF >KJB36051 pep chromosome:Graimondii2_0_v6:6:39480750:39488129:-1 gene:B456_006G138300 transcript:KJB36051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGEKKKKASPISLQQFISNITPLIDLEKEAEISASISSGTTRNLDTAQKRGSTILNLKCVDAQTGLMGKTLLEFQSTKGDVLPAHKFGTHDVVVLKPNKADLGSPALGQGVVYRLKDSSITVAFDDVPEDGLNNPLRLEKVANEVTYRRMKDALIQLSKGVLKGPAADLVPVLFGERQPTVSKKGTVTFTPFNKNLDHSQRDAILKALSSKDVFLLHGPPGTGKTTTVVEIVLQEVKRGSKILACAASNIAVDNIVERLATHRVKMVRLGHPARLLPQVLDSALDAKVLRGDNSSLANDIRKEMKALNGKLLKTKDRNTRREIQKELRTLSKEERKRQQLAVTDVIKDADVILTTLTGAFSRKLDNTTFDLIIIDEAAQALEIACWMALLKGSRCILAGDHLQLPPTIQSVEAERKGLGRTLFERLADLYGDEVMSMLTVQYRMHELIMNWSSKELYSSKIKAHSSVATHMLFDLENVKKSTSTEPTLLLIDTTGCDMEEKKDEEESTLNEGEAEVAISHAKRLIHAGVHASDIGIITPYAAQVVLLKMLKSNEDKLKNVEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDRRRMNVAVTRARRQCCLVCDTETVSSDGFLKRLVEYFEEHGEYLSASEYCNE >KJB37342 pep chromosome:Graimondii2_0_v6:6:45765250:45771595:1 gene:B456_006G200600 transcript:KJB37342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSLSLFCPHHPCLSSPLPIPRFHPPPPSLSFNPTPFCPPPLFLFSRLHPHPFSIPCSLHPDNVNSDSKLDSHLEPSTPLVSDVDGFENAAEGIEANNIDEEPENAVDNNGQSDELVGDKGPKTKIPVMVFFMGIWAMIKNGMDKLLALDWFSWWLFWRQEKRLDRLIAEADVNPKDAAKQSALLAELNKHSPESVIKRFEQRDHAVDSRGVAEYLRALVVTNAIAEYLPDEQAGKPSSLPTLLQELKQRASGNVDEPFLSPGISQKHPLHVVMVDPKVSNRSRFAQELISTILFTVAVGLVWLMGAAALQKYVGSLGGIGTSGVGSSSSYAPKELNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAIGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDLRGRQEILELYLHDKPLSDDVDVKAIARGTPGFNGADLANLVNIAAIKAAVEGANKVTASQLEHAKDRILMGTERKTMFLSEESKKLTAYHESGHAIVAFNTEGAHPIHKATIMPRGSALGMVTQLPSDDETSISKKQLLARLDVCMGGRVAEELIFGQDHVTTGARSDLQTATELAKYMVSNCGMSDAIGPVNIKERPSSEMQSRIDAEVVKLLREAYDRVTTLLKKHEKALHALANALLEYETLSAEEIKRILLPHREGGFPEQQEQQEEGELVLA >KJB37341 pep chromosome:Graimondii2_0_v6:6:45765075:45771527:1 gene:B456_006G200600 transcript:KJB37341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSLSLFCPHHPCLSSPLPIPRFHPPPPSLSFNPTPFCPPPLFLFSRLHPHPFSIPCSLHPDNVNSDSKLDSHLEPSTPLVSDVDGFENAAEGIEANNIDEEPENAVDNNGQSDELVGDKGPKTKIPVMVFFMGIWAMIKNGMDKLLALDWFSWWLFWRQEKRLDRLIAEADVNPKDAAKQSALLAELNKHSPESVIKRFEQRDHAVDSRGVAEYLRALVVTNAIAEYLPDEQAGKPSSLPTLLQELKQRASGNVDEPFLSPGISQKHPLHVVMVDPKVSNRSRFAQELISTILFTVAVGLVWLMGAAALQKYVGSLGGIGTSGVGSSSSYAPKELNKEVMPEKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAIGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDLRGRQEILELYLHDKPLSDDVDVKAIARGTPGFNGADLANLVNIAAIKAAVEGANKVTASQLEHAKDRILMGTERKTMFLSEESKKLTAYHESGHAIVAFNTEGAHPIHKATIMPRGSALGMVTQLPSDDETSISKKQLLARLDVCMGGRVAEELIFGQDHVTTGARSDLQTATELAKYMVSNCGMSDAIGPVNIKERPSSEMQSRIDAEVVKLLREAYDRVTTLLKKHEKALHALANALLEYETLSAEEIKRILLPHREGGFPEQQEQQEEGELVLA >KJB36930 pep chromosome:Graimondii2_0_v6:6:44079360:44083134:1 gene:B456_006G183300 transcript:KJB36930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVHSQIQDETGRSVKPSYFSSPPLDVSVAFPQATPASTFPPLASDYYQFNDLLSPEEQALRKKVRECMEKEVAPIMAEYWEKAEFPFQIVPKLGALHISGGTIKGYGCPGLSLTGSAIAMAEVARVDASCSTFILVHSSLAMLTIATKIPNKIGLRIVQNGDILLKEVFVLDEDRLPGVNSFQDTSKVLAVSRVMVAWQPIGISMGVYDMCARYLKERKQFGAPLAAFQINQQKLARMLGNIQAMTLVGWRLCKLYEEGKMTPGHASLGKSWITSMARETAALGRELLGGNGILADFLVAKAFCDLEPIYTYEGTYDINSLVTGREITGFASFKPALVSQRSRL >KJB36929 pep chromosome:Graimondii2_0_v6:6:44079290:44083184:1 gene:B456_006G183300 transcript:KJB36929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVHSQIQDETGRSVKPSYFSSPPLDVSVAFPQATPASTFPPLASDYYQFNDLLSPEEQALRKKVRECMEKEVAPIMAEYWEKAEFPFQIVPKLGALHISGGTIKGYGCPGLSLTGSAIAMAEVARVDASCSTFILVHSSLAMLTIALCGSEEQKQKYLPSLAQLKTVACWALTEPDYGSDASSLKTTATKVEGGWILEGQKRWIGNSTFADVLVIFARNTTTNQISGYLVKKDSPGLTATKIPNKIGLRIVQNGDILLKEVFVLDEDRLPGVNSFQDTSKVLAVSRVMVAWQPIGISMGVYDMCARYLKERKQFGAPLAAFQINQQKLARMLGNIQAMTLVGWRLCKLYEEGKMTPGHASLGKSWITSMARETAALGRELLGGNGILADFLVAKAFCDLEPIYTYEGTYDINSLVTGREITGFASFKPALVSQRSRL >KJB34346 pep chromosome:Graimondii2_0_v6:6:22245041:22245894:-1 gene:B456_006G060800 transcript:KJB34346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFMAWQFTSMWSHCDKLWRLVFFAIVWSLWNHRNEMIYKDKIWDRKQIEKMQQLVNLVRSWEPSLSGHVNFIVDGDRVILGPNKSSLGGVLHDENEQSMKRTYYYRAVSNQGSPPFQDIWFSMTPTSSSTLGFER >KJB35085 pep chromosome:Graimondii2_0_v6:6:34010085:34014482:-1 gene:B456_006G099600 transcript:KJB35085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HAKEVYFRQWDSQHQSLPDNRNVEGLRKFIKHVYVDRRYCGEKGNGYGERRNIGNVKKSQKHSDRSGLHKMLSSDIYEQRSTERSGFSGASDERDSGNNYGERRNNGNGKESQKHVDRKRISTYFEVVDDRFRDDISETTETYNMQRKLSNQQIALDSSRPREVHSLGDILDRIPTLRLREALKEDGGRPSDSPSSMQRTESTTKPRHTDEHSTKPRNLDSMGNEKHTLGSADSISSDPEPASKQSPKPSSQSISKPASSSSSKNPSTICCPTPRNQSKAASNAKNLDSVVPAAKPMDVQSKEPTTGKLPRTPSSSGVSPATNLSNTSTSSPTSTFLSPRASTVSALSSLTISKGGTSSGTNQTKQDKDIPHPQCSVFPDTALQSTSSMPSSSLTVQSSQFVPQHIPQASSGVATESLPSAHQLGGKKELQADQGTGPSLMPDSGPLEQKSSGRKEIPQHLFVATHSSAPAQSPGWQALHAHVTGPGLQYSLAPSPSVASWHGSRPIDSPSVQWLPQQSSPYGTVLFQSLSSPSGMSSSSYIGQQLPNNPQPAR >KJB37244 pep chromosome:Graimondii2_0_v6:6:45266609:45266875:1 gene:B456_006G195600 transcript:KJB37244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIATNIKTSLPQIDLALEFLKYKEEGFKNANKSLVDTLMAKLTSTKYDSQKGIQQHIMEKNENDAKFKILGMNVNKSFSVHFIFNSLP >KJB35231 pep chromosome:Graimondii2_0_v6:6:34843950:34847444:1 gene:B456_006G105800 transcript:KJB35231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWCRNFIKISTATKAKSPITTNLILTSLSHFSTANQPPVAAQASGLGPTKADEKPRVVVLGSGWAGSRLMKGLDPKLYDIVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPISRIQPSISSAPGSYFFLANCTAVNTESHEVHCETVTEGDQTSEAWKFKISYDKLVIASGAQASTFGIHGVKEHAVFLREVHHAQAIRRKLLLNLMLSDVPGISEEEKRRLLHCVVVGGGPTGVEFSGELSDFIRSDVHQRYTHVKDYIHVTLIEANDILSSFDDRLRHYAIKQLTKSGVRLVRGTVKDVRPENVTLSDGSEVPYGLLVWSTGVGPSPFVNSLRLPKSPGGRIGIDEWLRVPTAQDVFSIGDCSGFLESTGKPVLPALAQVAERQGKYLADLLNKIGKEGGGHANRAKALDFGDPFEYKHLGSMATVGRFKALVDLRQSKEAKGVSLAGFFSWFIWRSAYLTRVVSWRNRFYVVINWLTTSVFGRDISRL >KJB34505 pep chromosome:Graimondii2_0_v6:6:28089792:28090577:1 gene:B456_006G069400 transcript:KJB34505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQSFPFDAFSLKDRVGTVLQLQVLSLALLFLLYSALGLLNNKKGSYFWPDPVLELVLLLAFDTSGIENRYFDLLCVPIAICVVSTMLELRSNRSIYSKSVRGIGLILHGTWFVQMGFSFYTNLMVHGCSLHEKSRGNYTIKCRSHPDYHRARSIATLQFNCHLALLVVLVVGMLSLIGKRNGVAVGASGDGLRYKPLGAEIQLMDSNGGNFTLDFDDDLDSGIKEEDDLVKEKSAVVELGGNGHASHV >KJB38175 pep chromosome:Graimondii2_0_v6:6:48716505:48717685:1 gene:B456_006G240600 transcript:KJB38175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKSCSSHHVPVMVVLALMSLMMLLLPVNGQTINNPCTPAMLTTFTPCFNFLTNSSANATSPSAACCNALKNLTSSSMGCTCLIVTGSVPFRLPINRTLAVSLPRACNMPNVPLQCNAPRGAPIPAPGPVSLAPKLSPGASPSLSPQSPIVPEPTPSAEVPDSGTTPALTPPSSTAGSGAPTAATGSRPVLTPSAANPAYSFSPFLLVFTLGFVIFKY >KJB37734 pep chromosome:Graimondii2_0_v6:6:51054439:51054930:-1 gene:B456_006G2750002 transcript:KJB37734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVDLVRKAVPLALAPENDPIKDELKRLLEQKEEIDVQAHKEVRRILWTGLGLAVGQVGLFFRLTFWEFSWDVMEPITYFSTSIIIVICYAYFLFTSRDPTYQDLMKRLFLSRQRKLFLNHNFDLGNLKALQNKCKTPLDASACV >KJB36484 pep chromosome:Graimondii2_0_v6:6:42128374:42130659:-1 gene:B456_006G161400 transcript:KJB36484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKIIKGSRKHSKSDSTDASSRPPRSGNTGLDLNPNLVVNHASRPGQGAPTSTNSSSPVVPPPMSAVEPLPLLRDTPVSERQNLFMRKLQICCFLFDFTDVLKSAREKEIKRQTLLELVDYIQSGSGKITEICQEEMIKMVGINIFRSLPPASHENTGQGASDPEEEEPYLDPTWPHLQIVYELLLRYVVSSDTDTKVAKKYIDHSFILKLLDLFDSEDPREREFLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHSGTGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKPIAMYHQQLSYCIIQFVEKDYKLADTVIRGLLKYWPLTNCQKEVLFLGELEEVLEATQSAEFQRCMVPLFRQIARCLNSLHFQETAAICGIHLFSHF >KJB36483 pep chromosome:Graimondii2_0_v6:6:42125457:42130773:-1 gene:B456_006G161400 transcript:KJB36483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKIIKGSRKHSKSDSTDASSRPPRSGNTGLDLNPNLVVNHASRPGQGAPTSTNSSSPVVPPPMSAVEPLPLLRDTPVSERQNLFMRKLQICCFLFDFTDVLKSAREKEIKRQTLLELVDYIQSGSGKITEICQEEMIKMVGINIFRSLPPASHENTGQGASDPEEEEPYLDPTWPHLQIVYELLLRYVVSSDTDTKVAKKYIDHSFILKLLDLFDSEDPREREFLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHSGTGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKPIAMYHQQLSYCIIQFVEKDYKLADTVIRGLLKYWPLTNCQKEVLFLGELEEVLEATQSAEFQRCMVPLFRQIARCLNSLHFQVAERALFLWNNEHIVNLIAMNRQVILPIIFEALERNIHGHWNQAVHGLTMNVRKMFMEMDADLFDECQREFAEKEARAQEVEEQREMTWKKLADLAAERGDNMVTV >KJB36978 pep chromosome:Graimondii2_0_v6:6:44242176:44244034:-1 gene:B456_006G185300 transcript:KJB36978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTGPPVLPISTVQSTESQPPISVSAFRAFLSRLNDSFRNGISQRRPWPELTDSSAFSKPESFSEATLRIRKNYSYFRVNYLMVIGFTLAFSLLSHPFSLLLLLGLLFSWVFMYLFRPADQPLVIFGRTFSDRETLGILIILSVFVIFLTSVGSLLISAIMVGFGLVCAHGAFRAPEDLFLDEQEPANTGFLSFLGGAASNAAAAAVPAVSATRI >KJB38049 pep chromosome:Graimondii2_0_v6:6:48278296:48278535:-1 gene:B456_006G234500 transcript:KJB38049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITNHVWFFLKCVEVQQLTRHLGVKHCFGVGCESGTKSRQTLNTRYDLKITGVKVGQGKQPKSSAKALK >KJB37881 pep chromosome:Graimondii2_0_v6:6:47633445:47636584:-1 gene:B456_006G224800 transcript:KJB37881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPNRHRHRHRHRHHLLLHLLIISAVISSAGDDSAAILKIAAAFKPTPKGWSTSTANNYCSWQGISCDKSNRITYISLASKSVSGTLSPEISTLSELRSVSLQRNSISGNIPSFGELSNLQKLFLDFNAFTSITPDAFASLSSIQTLSLSENPKLSPWSFPNISKLTTLVELGLGNTNIYGTLPDIFGSLSSLQSLRASYNNLNGTLPSSLGGSMIQNLWINNQNTGFGFTGTLDVLSNMTELAQAWVHVNMFTGPIPDLSKCKNLFDLQIRDNQLTGPVPKSLFNLSSLKNVSLNNNKLQGPFPKFPSSVSRVAINGSNNFCNSNGAPCDPQVSTMIEIAGGFGYPILLSDSWEGNDACKMSFVTCDVQKNVITVNLAKKGLFGRISPSFGGLKELKNLNLNDNKLSGPIPDSLTKLTYLQLFDVSNNNLSGDIPQFSSSVKFVHTGNSLLGKPVVYSPPGGSSGSPSVSSGGNSSDGSSGNSSGIVKGKTLKGMIVGFAIGAAVLLAIISFVSYKYVMKKKNEKRGKMKKNNDTEKGIFKDVAVSVGKDNSENQSQTSNVQSNQQAFDGGNIVVSIEVLREVTDNFSEANILGKGGFGIVYKGVLHDGTQIAVKRMECVGKGTKGMAEFQAEIAVLSKVRHRHLVALLGYCINGNERLLVYEYMPRGTLSQHLFRRIGGSPLTWKQRLTIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRNAPDGKHSVETRLAGTFGYLAPEYATTGRVTTKVDVYAFGVVLMELITGRKALDETLPEAHLVTWFRRILINKDEIPKNLDETIKCNADNDEDRETLASIFKVAELAGHCTLREPSQRPDMSHAVNVLSPFVQQWKPTNQEEDETVGIDLDMSLSQALQRWQTTEGSSTTFGDTTSRYGTQSSISPRTSEPQDTSSSNDTR >KJB38323 pep chromosome:Graimondii2_0_v6:6:49327624:49329941:1 gene:B456_006G248900 transcript:KJB38323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPYGGSCYCDFSYKRCDFFVYHCSCGLDFHIKCALFTLNISEQKLQELNHIATKDPSVFLEDGNEELENAVCFGCWLPLGESRYFSVACGFNLHIKCAELPHEINHPFHKEHPLVLQFNIKRFSCKICGETRHRGFLYCCSACTFALHIKCAEQPTLACQETQHEKFVYCCSTCNFSLHTECAFLPPIVKDKIHQHPFTLFQGQPSFVCNACGLEGNYIAYICSTCNLMIHTKCISIPPIIRVRRHYHPIYHNYFISENESKIRDCSFCDVEVNIDYGSYYCLRCNFIAHVKCATKYRDRYYIIEAKDIAGKHDDDLGVNPITCVIEQNEGGEMTRIKHFSHAHDLILSDNVMENDITCDGCMLLILDSFYCCSLQCNFFLHKACAKSPRKKHLWFHGCQELHILVVGYLFKCSICEYDCGGFSYKCNKFNVHICLQCSVIDYRTKHPGHQHPILSNRNQHGRCNGCGETWRSQFSCKHCHFNLDFDCSRLPLSTRHKRHEHPPALTYHEGNDYPKYHYCDLCEKERDPNLWFYHCAICKFSAHPNCVLAIYLFIKPGSMYKGKDHPHPLTFVWKPYRYIVCGKCSEPCEALVLECAEPTCNYVVHWKDVRPPSFGFTSPVELYKAEADAITHDG >KJB34349 pep chromosome:Graimondii2_0_v6:6:22278366:22278964:1 gene:B456_006G061100 transcript:KJB34349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSTGTKFPHIKFMLSANPEKVCITDEASVSVHIERTTLDISVNFSSSKDCWETSLRMLIHTVNSFHNPKLLSQHME >KJB37141 pep chromosome:Graimondii2_0_v6:6:44825761:44826836:-1 gene:B456_006G191100 transcript:KJB37141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNESGIEVIKKAIKNLKLRHKDRIAAYGEGNDHRLTGRHETTDINTFSWRVANRGASVKVGRDTAKEGKWYFEDKRPASNMDPYVVTIVETTIPWKP >KJB34968 pep chromosome:Graimondii2_0_v6:6:33046661:33047289:-1 gene:B456_006G093000 transcript:KJB34968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLAVEFSGEKVKAMWDKRLTEIFCDIFIKEILKGNRLGTHFTKDGWLKIMTNFEKETSKALSQRQLKNRWDALKKEWKAWKKLKGKDTSLGWNPIKRTVDASDDWWESRLKVVPEAQKFRTSGVDPEFEGKLDQMFMGIVATGDKAWAPSSGTLRSDFFEDVNNEIP >KJB35502 pep chromosome:Graimondii2_0_v6:6:36698270:36698786:1 gene:B456_006G117700 transcript:KJB35502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGERKWECRPRWRWEKLRSIFLRRQRNKNRADTQTDKSMGIDDTWMLVRRKTTWIKIGPMDKKTNKQTRGM >KJB38327 pep chromosome:Graimondii2_0_v6:6:49336892:49338273:1 gene:B456_006G249100 transcript:KJB38327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSLITKSKCTDEEDPTTRKPEYDRLSKLKSFDETRAGVKGLVDSGIKHVPRMFHHQFENNSVSCGIQVSIPIIDLEKVKQNRTTREEIVGKVRNASKTWGFFQVLNHGIPMNVMEEMKDGARRFFEQDVESKSRFFSRDYTKRVVYNSNFDLYSAPAAKWRDTVVCSMAPDPPKPEELPAVFRDIMLEYSKQVMNLGYLLFELLSEALGLNPDYLKDIDCAKGLVMLSHYYPICPQPELTLGSSKHADNGFLTILLQDNVGGLQVLHQNQWINVPPTPGALVINIGDLLQLISNDRFTSVDHRVVTNSASPRVSVASFFTTALVPDTRLYGPIKELLSQNNPPKYKETTVKDFITYFNSKGLSGTSPLPHFKLSKPSHG >KJB37724 pep chromosome:Graimondii2_0_v6:6:47050412:47054063:-1 gene:B456_006G217900 transcript:KJB37724 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT3G23610) TAIR;Acc:AT3G23610] MSRIDDSMRNQIAALLRVINFTRTFREDTVPCEIEEGLFLGSIAAANNIDALKSLNITHILTVASSLKPVHTNDFVYKVIPVLDKEDTNLSQYFDECFNFIDEAKREGGGVLVHCFVGKSRSVTIVVAYLMKKHGMSLSQAFEHVRSKRPLASPNPGFIQQLKEFEKSLQEPTKNK >KJB34449 pep chromosome:Graimondii2_0_v6:6:25794590:25796385:-1 gene:B456_006G066400 transcript:KJB34449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GQNPLFPRILGHEARGVVESVGEGVMDVQPGDHVLPIFTGECKECPHCLSEASNMCDILRINTERGGMLHDGHTRFSKDGKPIYHFLGTSTFSEYTVVHVGQVAKINPEAPLDKVCVLSCGMSTGFGATVNVAKPKKGGSVAVFGLGAVGLAAAEGARVCEASRIIGIDLNPNRFEEAKKFGCTEFVNPKDHNKPVQEVIAEMTGGGVDCSIECTGSTQAMVSAFECVHDGWGVAVLVGVPSRDDSFKTHPIHFLNERTLRGTFFGNYKPRSDIPGVVEKYMKKELELDKFITHSVPFLDINKAFEYMLRGEGLRCMIRMDA >KJB36892 pep chromosome:Graimondii2_0_v6:6:43913179:43914369:-1 gene:B456_006G181600 transcript:KJB36892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRVVSHEIFGHRLVSRSKSPVISIVLFSLLIFFMFAYSIISNMSLQSAAFTVLPISFTISTQRNMIVEGDSENDQFHRGIRRKLPENEIFRSDELTEKFHGRVQEFFNHKCEVHFFMTWISTAESFGTREILAVESVFKAHPHGCLMILSRTLDSAQGHMILKPLLDRGFKVQAVTPDLPFLLKNTPAEAWFNDIKSGEKDPGGIPLAQNLSNLMRLAALYKYGGVYLDTDFIVLKSFKGLKNTIGAQSINSAKNWTRLNNAVLVFDMNHPLLYKFIEEFALTFDGNKWGHNGPYMVSRVVHRVEGRPGYNFTILPPVAFYPVDWIKIVRLFKVPSQQADPKWFEAKLQELNEKSYGLHLWNKQSSKLMVEEGSAMGKLLSEHCVLCNQIYSS >KJB37421 pep chromosome:Graimondii2_0_v6:6:45976591:45977545:1 gene:B456_006G203600 transcript:KJB37421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSHLPFPPFKFPLPFLPHIAFSSIKSLQIHTPKQLIDCQIAASSFPPTSIPLLFTMMLSMFSSFEALLFDSHGQKKYTATSTPIEKAKPNEVSCSEGNKKETTEFNNLSTPSSSSSSSSVVKTPQKQRLRPRFAPELDGVHCFETIIPY >KJB34806 pep chromosome:Graimondii2_0_v6:6:31874888:31876138:1 gene:B456_006G084900 transcript:KJB34806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFQTVAAPNPKCSKSQHLHSSSSTLKAHRLLRHQTHNHRRPLLYPLHVTSLKKPLLGKQITWRNPISCVNRIA >KJB38350 pep chromosome:Graimondii2_0_v6:6:49473214:49475173:-1 gene:B456_006G251000 transcript:KJB38350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNNVAPFQLLELNVISAQDLEPVGRRKMRTYAVAWVHSERKLTTRIDGQGHNNPTWNDKFIFRVDEEFLYGDTSAVMIEIYAVHWFRDIHVGTVRAIIGNLIPHISLPLNRRQEFSLGTTFVALQVWRPSGRPQGILNIGVALLDSSKRSMPLYLHMGSSAVGYKHLMGEEEMPVSSAKSNSNDNDQQVVVHPLVKPKLRRTKSDSSSVFPLDLGPKTKGSSIINGGSVVNGGGSIVFGFEPENHNGKTNSRGSSMVNYTVGKLNTNKGKSSSVVNGLEDPRKWVKKGKSSSVVNGFEDPGKMAKKGKSSSIVGFEDTGKNGINGQRIGLKTPPGKSPNVLYGGQKKMGGTKVWTDSEIGPSPSEVAAAVAQNMHHNRFDDCESSILGWSLDDQSIEGLRSKLERWRTELPPVYDRGGETSSSVSTAVPASKRSRHARRHTDNGSTFSCFGNICGCEISITCGGGGAAPGRKKGNLHRGPPSSIPDDMSYL >KJB35209 pep chromosome:Graimondii2_0_v6:6:34671168:34673392:1 gene:B456_006G104300 transcript:KJB35209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLKFREEKQPVLRAKIPLSILGLPFQSGIVAGEPKELTLNLSTFFESGPSIKIAYRPTDTWNPFSLIVKTGTGPFGSPISTSLLMSAEFNLLGRGNPSFMLHLKPQFGDFSIKKSQSSVFDKVVKMTNDAVADVDSSASVDFAGFFAEKGKLGTLNSGDIARILSGMEVAARTAVPVKGKAMLKFRWGMRIPSEMKSGVGGAGIPFLVMDKIGIEQVEGADSMQALTTASKASPGVRTNVDIAESIFMVKRQLEALHSENALLKRAVDDLRREISSGNFGDLNSVKYREIERNGKTERRMSEKNSMEEELKKALKGA >KJB36038 pep chromosome:Graimondii2_0_v6:6:39437611:39440865:-1 gene:B456_006G138000 transcript:KJB36038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEAVPSTVPFTATTVGKKLFLCFETKPLVVTLLILTFVMLLWNFPPYYQNLSTTRPCSAAPLASVVAAASTSLFSTNASLPYTATPAGEKKYYSDPKVKPRDPNKRVFEAYGNAAALFVQMGAYRGGPTTFAVVGLASKPIHVFGRPWYKCEWISNNGSSFRAKAYKMLPDWGYGRVYTVVVVNCTFPFNPNQDNLGGKLMINAYYGESPRKYERFTALEETPGSYNDAKYRPPFQYEYLYCGSSLYGNLSADRIREWMAYHAWFFGASSHFVFHDAGGVSPEVRAALEPWVRAGRATIQDIRDQAQFDGYYYNQFLVVNDCLHRYRHAANWTFYFDVDEYIYLPDGNTLESVLNEFSAYTQFTIEQNPMSSLLCLNDFSQQYSRQWGFEKLLFRESRTGIRRDRKYAIQAKNAFATGVHMSENVIGKTLHKTETKIRYYHYHNTITVHQELCREYLPPSAKNNVTWFNKLPYVYDDNMKKLANTIKEFERKTIGDLGSL >KJB36395 pep chromosome:Graimondii2_0_v6:6:41622746:41625716:1 gene:B456_006G157200 transcript:KJB36395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALAGDDLLARSMSSGRSYRSWTSASFKEVWQAPPEAFGRSGRQDEEEEELRWAAIERLPTYDRLRKGMLTQILDNGKVVHHEVDVAKLGMQAKKQLMASMLKVVEEDNEKFLRRLRDRTDRVGIEIPTIEVRFQHLEVEGDVYVGSRALPTLLNVTLNTIESILGLLRLAPSKKRKNQILKDVSGIVKPSRMTLLLGPPGAGKTTLLMALAGKLDRDLRSSGKVTYCGHDLNEFVPQRTCAYISQHDLHYGEMTVRETLDFSGRCLGVGTRYEMLSELSRREKEVGIKPDPEIDAFMKATAVAGQETSLVTDYILKILGLDICADIMVGDDMRRGISGGQKKRVTTGEMLGPAKALFMDEISTGLDSSTTFQICKFMRQMVHTMDVTMIISLLQPEPKTFDLFDDVIVLSECQIVYQGPRESVLDFFEFMGFKCPERKGIADFLQEVTSKKDQQQYWFQKSLPYGYVSVSDFVNGFSCFRIGQQLSMDLKVPYDKTSTHPAALVTKKYGISNWELVKACFAREWLLMKRNSFGYNPATWMLEVSAPSVEAQLDVDFADIYANSSLYRYVQMQCQRSGNFSPLRLAHHWAQFLNFTNFHDEPRTYQRAQCTSTWHQGSLLPNTIFSAIPHPMQGMLLETTLVLLEEPSIQCHQVFHDNSYRHLIWYYLLEQRTTDIKTTRSDESSRSNVFSRAFPRSNQCCCCSICCCN >KJB33461 pep chromosome:Graimondii2_0_v6:6:2557154:2562675:1 gene:B456_006G011800 transcript:KJB33461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSTTSMASVNGFSFCATRSVSNINNLLLTHPRLSLSFPSKPNSLKSFKPLQLRKNGVFERFSRTSSRPFVVRCEASTGKITQQDFTEMAWQAIVSSPDVAKENKHQIVETEHLMKALLEQKNGLARRIFLKVGVDNTRLLEATDKFIQRQPKVLGESAGSMLGRDLEALMQRAREYKKEYGDSFVSVEHLVLGFTQDRRFGKQLFKDFQISNQALKSAIESIRGRQSVTDQDPEGKYEALEKYGKDLTAMAREGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLREVTDSEGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLTNDTDKASRDRLSRLEAELALLKERQSELTEQWEHEKSVMTNIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNSLQRQLADAEKELDEYMKSGKSMLREEVTGNDIAEVVSKWTGIPISKLQQSEREKLLYLEEVLHKRVVGQDPAVRSVAEAIQRSRAGLSDPRRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNSDEDTPKDLAYETIKQRVMEAARAIFRPEFMNRVDEYIVFQPLDREQISSIVRLQLERVQNRIADRKIKLQVTDSAIQLLGNLGYDPNYGARPVKRVIQQNVENELAKGILRGEFKDEDTILVDTELTAFANGQLPQQKLVFKKLNNDSDTQATGSQEALSQTV >KJB33462 pep chromosome:Graimondii2_0_v6:6:2557163:2562660:1 gene:B456_006G011800 transcript:KJB33462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSTTSMASVNGFSFCATRSVSNINNLLLTHPRLSLSFPSKPNSLKSFKPLQLRKNGVFERFSRTSSRPFVVRCEASTGKITQQDFTEMAWQAIVSSPDVAKENKHQIVETEHLMKALLEQKNGLARRIFLKVGVDNTRLLEATDKFIQRQPKVLGESAGSMLGRDLEALMQRAREYKKEYGDSFVSVEHLVLGFTQDRRFGKQLFKDFQISNQALKSAIESIRGRQSVTDQDPEGKYEALEKYGKDLTAMAREGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLREVTDSEGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLTNDTDKASRDRLSRLEAELALLKERQSELTEQWEHEKSVMTNIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNSLQRQLADAEKELDEYMKSGKSMLREEVTGNDIAEVVSKWTGIPISKLQQSEREKLLYLEEVLHKRVVGQDPAVRSVAEAIQRSRAGLSDPRRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNSDEDTPKDLAYETIKQRVMEAARAIFRPEFMNRVDEYIVFQPLDREQISSIVRLQVSLLVPFYTVEPMMSFFCAYPL >KJB33463 pep chromosome:Graimondii2_0_v6:6:2559196:2562660:1 gene:B456_006G011800 transcript:KJB33463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLREVTDSEGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLTNDTDKASRDRLSRLEAELALLKERQSELTEQWEHEKSVMTNIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNSLQRQLADAEKELDEYMKSGKSMLREEVTGNDIAEVVSKWTGIPISKLQQSEREKLLYLEEVLHKRVVGQDPAVRSVAEAIQRSRAGLSDPRRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNSDEDTPKDLAYETIKQRVMEAARAIFRPEFMNRVDEYIVFQPLDREQISSIVRLQLERVQNRIADRKIKLQVTDSAIQLLGNLGYDPNYGARPVKRVIQQNVENELAKGILRGEFKDEDTILVDTELTAFANGQLPQQKLVFKKLNNDSDTQATGSQEALSQTV >KJB34815 pep chromosome:Graimondii2_0_v6:6:32012533:32013538:1 gene:B456_006G085500 transcript:KJB34815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRAGWLPQGDNYPNASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKNRSKAALIEHGKGEEWTEEEIESTADAVGYGAVKKQFARSIKSQRFPHLFLLVINFDFLDAVMPFIHFCEILKL >KJB36081 pep chromosome:Graimondii2_0_v6:6:39676134:39679581:-1 gene:B456_006G139900 transcript:KJB36081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKAGTTETRSNITASDGDQVAALESLQFTDEFHRLILAPPSDNATSLTALLELPASRALELLHSPASAKLIAASASSFEALKGNFLFPSNTTLIDQAARSSVFAGGVNNTNNKSNAPETTSNNATLNLEKAVKSEPAETESCQPLVSDPAVEKRNFKRKDREKKARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQNQVEFLSMRLAAVNPRTDFNLNSIFTTEFSAFFRFGGN >KJB37961 pep chromosome:Graimondii2_0_v6:6:47928283:47929296:-1 gene:B456_006G228300 transcript:KJB37961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTHSLASLHHQYSFLKRLSNSESIHLPPSSLFLHPSPSSSSSSSSTTTTTSSTTTTFSLSPTTSSSTPTTTNPISFDNLKHHLSTQNFFQADEETRRLLIVLAGEAAQKRRYVFFSEVQFISEADLKAIDELWKQYSNNKFGYSVQKRLWQKANKDFTKFFIKVGWMKKLDTEIEQYNYRAFPNEFTWELNDETPEGHLPLTNALRGTQLLNSILSHPAFEGEEDEKEAEVKGSLRDNGPKPLTSLFPKPDYSF >KJB33079 pep chromosome:Graimondii2_0_v6:6:49161654:49161854:-1 gene:B456_006G246900 transcript:KJB33079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPIDMSSSMEVEVTNICMNKESDCVIIYSNGVSQDSNNEAFFSYHNAMQSCEWSCGASRNQRRN >KJB33078 pep chromosome:Graimondii2_0_v6:6:49160841:49162145:-1 gene:B456_006G246900 transcript:KJB33078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPIDMSSSMEVEVTNICMNKESDCVIIYSNGVSQDSNNEAFFSYHNAMQSCEWSCGASRNQRRN >KJB33080 pep chromosome:Graimondii2_0_v6:6:49160740:49162145:-1 gene:B456_006G246900 transcript:KJB33080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPIDMSSSMEVEVTNICMNKESDCVIIYSNGVSQDSNNEAFFSYHNAMQSCEWSCGASRNQRRN >KJB33498 pep chromosome:Graimondii2_0_v6:6:3054975:3056014:1 gene:B456_006G014100 transcript:KJB33498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYTSPAEDEPDEFDEHNPTPYRGGFDLFVTYGHPLEPSEETCYPSSTQSDGNFDYERPHFSSGSYPYGHDRHGQSKPYSSAHDDDGYGDQHGSHHHGRKPEHDSGYGRKLGHGEHGSEYGRKSSGHGDSESEYGSGYGKKQSHGSGRKSDDEDEGYKKSSYRKSSYDRSDEREEGYGGGRKSDDEDEGYKKSSYRRNDDNDSDGERRGHGRKKHGKKSEDEDDDDDEGKKHRRHRRHRDYDDD >KJB36308 pep chromosome:Graimondii2_0_v6:6:41060543:41069955:-1 gene:B456_006G151600 transcript:KJB36308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLLKKETDFILLISAFLVVVRSQAAFEAEKSLQEIMAATSSFISLLTKRLEGKVALITGGASGIGKCTAKVFAQHGAKVVIADIQDDLGHRVCEDIGPSNCSYVHCNVTDEDQIKNAVDKAVATHGKLDIMFNNAGIVDPNKTRIMDNYKSDFERVLSVNVTGVFLGIKHAARVMVPSRSGSIISTSSVSSLIGAVASHAYCASKHAVLGLTRNAAVELGQFGIRVNCLSPYGLATPLATGFLGGNDEELEKAMSKSGNLKGVYLKAEDVANAALYLASEEGRYVSGHNLFIDGGFTVVNPSLQMFQYPDGS >KJB33654 pep chromosome:Graimondii2_0_v6:6:6301408:6304180:1 gene:B456_006G024500 transcript:KJB33654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDMFVVPQTRGTVLCCKCGISMAPNPANMCVTCLRSEVDITEGLRKHVTIMHCPDCDSYLQPPRTWIKAQLESKELLTFCVKRLETDLKKVRLVNAEFIWTEPHSKRIKVKLKVQKEVLNGAILEQSYVVEYVQQDNMCDSCTRFQANPDQWVASVQLRQRVSHRRTFFYLEQLILKHDAAAHAIKIKQMDQGIDFFFANRSHAVKFVEFLGKVAPTKSRHDKQLVSHDPKSNNYNYKYTFSVEISPICREDLICLPPKVATSLGNLGPLVICTKVTNNITLLDPFTLRQCFLDTDQYWRHSFKSLLGSRQLVEYDVFNIEVVSPEYNLGGSKYVMADAEVARVSDYGKLFYIRTHLGHILKPGDRALGYDLYGANSNDIELDKYRGLVLPEAILIKKSYEEKRQKKRGKPRPWKLKSLDMELDESKGRANEQKLDTEYEEFLRDLEENPELRFNLSLYRNKDYQPSEMVSVSDGDDLPSVPLEELLADLELSEQEDGEDSMRE >KJB35687 pep chromosome:Graimondii2_0_v6:6:37586845:37589159:1 gene:B456_006G124200 transcript:KJB35687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACFHPKPTINGEDDSVILFSTSSSPQGSTSPSSSSYHSPPPSLSSHHHSRTSHKLTVRNLSYTIHLKGSIPDSFLHLAQKPKPVEVLKSVSFVARSSEIVAILGPSGTGKSSLLRIISGRVNDKDFDPCSISIDDHLMMRSPDQLRKICGFVAQEDSLLPLLTVRETLIFSAKFRLKEMSSKEKEERVESLMQELGLLHVADSFVGDEENRGISGGERKRVSIGVDMIHDPPILLLDEPTSGLDSSSALQVIELLASMAKARGRTVLLSIHQPSYRILRYISKFLLLSHGSVVHNGSLELLEENIQRLGFQIPTQLNALEFAMEIMPALEASTPKLTVVEDKDSYESYPRVWSDEECNRIQQRDYDDDDKRMGNFWFFSNLLEIMFLCSRFWKIIYRTKQLFLARTMQAIVGGLGLASVYVKVRKDEEGVAERLGLFAFSLSFLLSSTVEALPIYLQERRVLMKEASRGAYKISSYMIANTIVFMPFLFAVSILFAVPVYWIVGLNPSISAFAFFTFVVWLIILMASSLVLFLSAISPDFISGNSLICTVLGSFFLFSGYFIPKDNIPKYWLFMYYVSLYRYPLDTLLTNEYWSLRNECFSWRPGNMCILSGNDVLKSRGLEKDTRWINVGIMFGFFLFYRVLCWIILARKASTTTI >KJB38328 pep chromosome:Graimondii2_0_v6:6:49338282:49340167:1 gene:B456_006G249200 transcript:KJB38328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKPEYDRISELKAFDETKAGVKGLVDSGIKHVPRMFHYRPDKLDKNSSVSNGIHVSIPVIDLEGVKEDPGTLRNIVDKVRNASKSWGFFQVVNHGIPLSVLQDMKDGVVQFFEQDLEAKKKFFTRDYSTKKVAYNSNFDLYSSPAANWRDTLFCLMAPNPPMPHELPEVSRDIMMEYSKQVMQLGCLLLELLSEALGLQPDHLKDMGCTQGLGMLSHYYPACPQPELTLGTTKHSDNDFLTVLLQDHIGGLQILHQNQWVDVPPTPGALVVNIGDLLQLISNDGFRSVEHRVVANCVGPRVSVACFFSTFFLPDLRTYGPIKELISEENPPKYREVTMREYAGYYNAKGLDGTSALLHFKL >KJB33824 pep chromosome:Graimondii2_0_v6:6:8486588:8494554:1 gene:B456_006G0327001 transcript:KJB33824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVLAPKGFTEFMRERNICISMAVSIRDVCRKNPDRGVDLILSVSACIETPDPTIQALGFQSLAHLCEADVIDFYTAWDVIAKYMQGYNEDPVLAYSVCFLLRWGAMDADAYPDASREVMKIIWDVGCSLHMGHELQWAKARASAFEALNQYEIPSIVKNISNFKQMIMDLLLSETNPDVLKALERLQVKIIGHEHSNRRRFVKEKKVPASKIEKLLDVFPQVIFSSGKRSNSGELPGAALLCGSFISSTSKNQGTTKGLQDSHSGYEDIMVQIAASLQLSRNIFVALLSLESWKAFVRRWMRANILSIDAKASVVVSDKTSKAANSILKSMMRIAEESIPRSAENLALAIGALCAVLPPSAHTIKSTASKFLLGWLFQYEHEHRQWSAAISLGLISSSLHVTDHEQKFQSITGLLEVLCCSRSSIVKGACGIGLGFSCQDLLSRVETPDDSNANGESHKMLEERLLGRIVRTFSMILHPVAASSANNLETLCAHFPASTDDIDTSITSDLLDDDCDYLEDDIWGIAGLVIGLGSSIGAIYRAGVYDAVLTVKDLIISWIPPMSSSVQSYGSSSERSEMLLSVGSCLALPLVVAFCQRVEMMDGNELDHLVNGYMELISDLLSVHKTGAFHKSLLMASTAGAGSLLSCILSEGLHLIEVERVKCLLELFRKCYSSPYPPIVHLGGMLGIVNAFGAGAGNLVDFHPFNSSVQTGYDQKEPSHISGPILVNSVCEDHSTSLMQEIFLVAQNPDDNQLQQYAAWAISFLRQRLWSREISNSAGGTQTESAGSKSISQGVPEDSAVMKLGLWLKSFNHSRTGTNTHVCTVTAILRCLSLAPRLPTMDWGAIVRRCMRYAQVTQLPAPNITLKGGTLREECLQFALVHAKQFDGLLTFLDELSDLSRFRTLEISLQSCLLNHLADLIKLFSGSRLEKLLDDVSNYFSSLTTDQVLKSEERSSLRSSCWKGLNQCLDEASLDSLEYIKNIERCMEVLFSLLPLPQAPVIVQVNQLNSVEWSEAVRCLAKARPGWLLDFLHVSHLDSVTRDFQFVEVLKKIQAKAKLVRIGSIPPTELGKSKSYLLNSEPLGTWGVLLEVVATLQHVEGSVKRQWLVDAIEISCVSSYPSTALQFIGLLSGSCCKYMPLLIADQSYVLNDIPVTLTSLLSDPNWEVVAESCTSYLLSSTERIYSWATKLSSESGDNRSPSSEGIDESEKGTAQILLPVMHHACVCLKHYLPLEKQLRLANMVVHYVR >KJB36959 pep chromosome:Graimondii2_0_v6:6:44174448:44176621:-1 gene:B456_006G184300 transcript:KJB36959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLFWFILFPVIAQPQPTNFIFHGFNRSEPKLTLDGASISSPSGALELTNDSRDAIGHAFYSEPLQMLDDKSSPSPKASSFSTTFVLAIVTPSSGRGGHGLAFTLSPSKQFPRALPEHYMGIFNSETDGSSSNHIVAVEFDTVNGYNDGLDSKGNHVGININTMYSTVTEPAAYYVKNTELKEDMILESGDPIQAWIEYDGNFVNETMYVGFSASTGQKSSSHYILGWSFSTNGTAAQLNTSRLPMAPSKQNDGSSFDSRVIGLIVALSTLTVLLFGILIYFTLYKRNAKYEDLEDWELDCPHRFRYKDLYAATRGFQLSEIIGVGGFAAVYKGVLPTTGTEVAVKKITQSSIQGLREFVAEIESLGRLRHKNLVYLQGWCKRKHDLLLVYDYIPNGSLYSLLFNQEQGFVLSWEQRFNIIKGIAAGLLYLHEEWELVVIHRDVKSSNVLIDADMNARLGDFGLARLYDHGTDSHTTNIVGTIGYIAPELARNGKASTSSDVFAYGVLLLEIVCGRKPVDSRNFFLVDWVIECHQMGHILDATDPKLNSSYVTEEVKLVLLLGLLCSHPKPEVRPSMSKIVRYLNGDERIPSIDNWEAFDSSDETYLKYLKTISSDSITKSYRLSSIAGFSSSSMSSGR >KJB35686 pep chromosome:Graimondii2_0_v6:6:37581860:37582543:-1 gene:B456_006G124100 transcript:KJB35686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYKEYIPSSQPHTSDHSSDRNPPLSVPTCGGSSEVLQGNTTKRPRGRPPGSKNKPKTPTVITTDNDSVMKPMVLQISAGSNVIENIINFARRNHVSVSIISATGSVSDVILRYPSPQGAPYRFAGTFGILSLSGSFFVDHNTTPTPCSSFSIILSGGQAQILGGIVAGEVMAATPVTVVVVTLANPLFHKLPYEGDDEDHHHQTMPNNIDGASQCYTPFIPWACL >KJB36167 pep chromosome:Graimondii2_0_v6:6:40255180:40256047:1 gene:B456_006G144400 transcript:KJB36167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHLGLIQTMSKLSFRLFFAILLISSVCLMMHEVHGQEMCHGRIPGDGSCDAGTCSSQCGQSFPGSQGSCVQTFINRFTCQCTWPCS >KJB34475 pep chromosome:Graimondii2_0_v6:6:27250786:27253634:1 gene:B456_006G067700 transcript:KJB34475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAERKEHMGIKGLTKLLADNAPKGMKEQKLESYFGRNITIDASMSIYQFLIVVDRMGTEMLTNEAGEVTRFLIYFSLHSLIFFYLTKLSLADSYFIFLPFQLHFHYLVHKMFTWVLLA >KJB36118 pep chromosome:Graimondii2_0_v6:6:39947660:39950923:1 gene:B456_006G141900 transcript:KJB36118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEESTRIQPSNGEGEEDYMGDLSQFLPPEPSNTSKFSLKKIPNSNPLSSQPSKKKSKTLDWQEHRKIERERKQLEEDQQTLAKIDAPIPQSNIGFKLLKQMGYTPGSALGKEGSGRVEPVGLDIRRSRAGIGREDPLKEMRKREEIEFERKKKNEEALMAEFGSWQKSQWRSRRVVVNYKKAKAALDQLENKEVVVPKKNEEEEEGGQDEEEEEEVTEEDLQDIVMKLRDEYQYCPFCGFQYESMEALLSSCPGTNEDDH >KJB36117 pep chromosome:Graimondii2_0_v6:6:39947459:39951128:1 gene:B456_006G141900 transcript:KJB36117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEESTRIQPSNGEGEEDYMGDLSQFLPPEPSNTSKFSLKKIPNSNPLSSQPSKKKSKTLDWQEHRKIERERKQLEEDQQTLAKIDAPIPQSNIGFKLLKQMGYTPGSALGKEGSGRVEPVGLDIRRSRAGIGREDPLKEMRKREEIEFERKKKNEEALMAEFGSWQKSQWRSRRVVVNYKKAKAALDQLENKEVVVPKKNEEEEEGGQDEEEEEEVTEEDLQDIVMKLRDEYQYCPFCGFQYESMEALLSSCPGTNEDDH >KJB34293 pep chromosome:Graimondii2_0_v6:6:21198622:21200375:1 gene:B456_006G057800 transcript:KJB34293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKITYDTFFARSFSRSDQKKLGYGAFIGCLLIALSFCLVFMPYSSPQSIRLGTSVPKRMNIVCKSEKRSDYCEIKGEDVRIDAKSSTVFIVASSQQSIRDLEDNTTSLLIGPYSRKEDQIAMKSVRKWLVKPVMESNVTPPCSQTHSVPAILFSLGGYSGNNYHDFTDIIIPLYSTARIFNGEVKFVVTNSNPWWIKKFRNLVQKLSNYEVIDIDNEENIHCFTSVVVGLKRAPQELSIDPSKSSYSMKDFRQFLRSAYSLNKVRAIKIEDEDDDDDDGKTRPRLLIVARNRTRAFRNTDEIVGMARNLGYEVRIAEADGNIQRFAEIVNSCDVMMGVHGAGLTNMVFLPENAILIQVIPFGGVEWPARAAFGEPSKDMNIRYLDYKIKAEESSLIEQYPADHEVFKNPLSISKQGWLTFKAVYLDKQDVNLDTNRFKITLLKALELLHQ >KJB38008 pep chromosome:Graimondii2_0_v6:6:48142442:48146245:1 gene:B456_006G232400 transcript:KJB38008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAQIHVLGGMGFDSSRKPNQHSSRTVFFGQRLGKTSPLNATFLRLAKTKNSNGKGYNVGPMRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEEAKQVSYKVIRDENGNVKLECPAISKQFAAEEISAQVLRKLVDDASKFLSESVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAENFKRDEGIDLLKDKQALQRLTEAAEKAKMELSTLTQTNISLPFITATADGPKHIETTLTRVKFEELCSDLLDRLKKPVENALGDAKLSFKDIDEVILVGGSTRIPAVQELVRKMTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPGDEVCISDISVVAQMNCS >KJB38009 pep chromosome:Graimondii2_0_v6:6:48142442:48146245:1 gene:B456_006G232400 transcript:KJB38009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAQIHVLGGMGFDSSRKPNQHSSRTVFFGQRLGKTSPLNATFLRLAKTKNSNGKGYNVGPMRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEEAKQVSYKVIRDENGNVKLECPAISKQFAAEEISAQVLRKLVDDASKFLSESVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAENFKRDEGIDLLKDKQALQRLTEAAEKAKMELSTLTQTNISLPFITATADGPKHIETTLTRVKFEELCSDLLDRLKKPVENALGDAKLSFKDIDEVILVGGSTRIPAVQELVRKMTGKEPNVTVNPDEVVALGAAVQLEMSVILCFWM >KJB38007 pep chromosome:Graimondii2_0_v6:6:48142298:48147007:1 gene:B456_006G232400 transcript:KJB38007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAQIHVLGGMGFDSSRKPNQHSSRTVFFGQRLGKTSPLNATFLRLAKTKNSNGKGYNVGPMRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEEAKQVSYKVIRDENGNVKLECPAISKQFAAEEISAQVLRKLVDDASKFLSESVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAENFKRDEGIDLLKDKQALQRLTEAAEKAKMELSTLTQTNISLPFITATADGPKHIETTLTRVKFEELCSDLLDRLKKPVENALGDAKLSFKDIDEVILVGGSTRIPAVQELVRKMTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPGDEVDRMVKEAERFAQEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPGPVKEKVDAKLQELKDAISGGSTQGMKDAMAALNQEVMQLGQSLYNQPSAGSAAGPAPGGETGRSDSSNKGSDEDVIDADFTDSK >KJB38291 pep chromosome:Graimondii2_0_v6:6:49164931:49167256:-1 gene:B456_006G247100 transcript:KJB38291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIERLPKTPNVSLLTQNASQISSPNAKKIPLLVHNDFEFHIRHQCKSGKINLNDALGYFDKLIKVKPFPSTDTFNHVLGSVLKLSRDSNVISLYRKLNYEGIKPNLCTLNILLSSYYHLRRMDFGFCVLGDIFRRGFEPDYATLGRLLKGLCVEGKGFEAVQVFAKMRERGFQGDVFTYGILIYGLCSIGESCPALNLFRKMAKRNCEGYLRIYGTTIGSLYKDKLVDEGLNLFFEMVSKGITPDVVVYSSLVSGLCSLGRLKEAVKFFDEMIGRGIAADLVMYNLLIHGFSREGMWKEAIRIFDRMVGEGISPDEITFTTLISCLCKKGMVVKAHEMFAFMRQKEMKPTLFTYNSLMDGLCRAGQLDEAAKLFRSIPDQGYEVNVISYNIMINGYCKSQKIDEGFQLFRDMQFQGCKPNARTYNTLIQALCRVGRVRTAKKMFDEMYVCGSQSPTSFTYTIMLDGLCKNGHVDEAIALFRSLEGTKYESSIELYSILIYGMCRTGRMEEARNMFNEMSRKGFVPDVVTYNILIDGLCKKGMILEANKLIVEMEEKGCLPNSISFNIIIQGFLRENNIPEAMNLLKEMRRRNFAPNEAVTSLLLNLAVEDSQCRATLESLPVPDALKPENFLGKSL >KJB38693 pep chromosome:Graimondii2_0_v6:6:50999280:51000798:-1 gene:B456_006G274100 transcript:KJB38693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTKLILLCFLSIFCFALTSHAATYVVGDTSGWDISSDIDSWASSKTFNVGDVLLFQYSSSHSVNEVRKESFETCSTTNILRKFSNVKYDSYIVK >KJB34603 pep chromosome:Graimondii2_0_v6:6:29705337:29708733:-1 gene:B456_006G075000 transcript:KJB34603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNLHQLTTKIVSLSKSGFITTARKLFDEMPHKDSITWNAMLSSYSQLGLHTDALSLFHLMRYTNTKPDHFTFTSTLNACSGLGSFRNGTKVHALIIAFGYQCYLPVNNSVIDMYGKCLDPCSARKAFEEMGGSIRNEVTWCSLLFAYANSGQFSVAFETFYEMPKRVLVAWNIMITGFARYGEVEMCIQLLKEMRESLCCFPDEWTFSSLMNACTESLDYAYGCTVHGFIIKIGWSSAMEVKNSILSFYAKLGCMDVAIKEFESVGLLSQVSWNAMIDGYIKMGNTIDAFHVFQRAPMKNVISWTSMIAGYARNGEGEQALNLFVQMVRSCICLDEFTFGAILHACSSLAVLGFGKMVHGCVIRYGFQAYVYVGNGLVNMYAKCGDIKGSSYAFNEILEKDLVSWNAMLFGFGMHGLSTQALQIYDEMVAHGMKPDKVSFIGLLMTCSHAGLINKGRLFFDIMSSLYGLTYEIDHVACMVDMLGRGGYLVEAKELSSKYSKKDTVEAKSSSYEALLGACSIHGDVRMGVSVRDDLQHSVDSQKDMGYVLLSNLYCASGQWKEAEIVRKAMVDQGVKKMPGYSWIEVKNKVTVFVAGNISHPYREELYMILNSLDPEMRNPCFSSFEI >KJB33681 pep chromosome:Graimondii2_0_v6:6:6724479:6726665:1 gene:B456_006G026100 transcript:KJB33681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVGEMWSHLGSTMATLMLVYTVFKQFFPHQLQVSFEKYFNRIVRYAYPYVEITFDEFTGERMKRSEAYSRIKSYLSDKSTASAKRLKADVVKGSQSVILSMDYNEEITEEFQGVKLWWSANRIPSKTPRIAIYPVDDEKKSYKLTVHKRHIELITQSYISHVMKEGKEIETRNRKRKLYSNNPSQNWDGYRSNKWSNVLFEHPATFDTLAMDAKLKEEIKNDLNKFSKGKDYYARIGKAWKRGYLLYGPPGTGKSSMIAAMANLLDYDVYDLELTAVKENTELRRLLLDTSSKSIIVIEDIDCSIDLTGQRGKKTEKDGNEDEPSDPVTERVKKEEKKKSKVTLSGLLNCIDGLWSSCGSERIIVFTTNYVEKLDPALIRRGRMDKHIELSYCCFDAFKVFAKNYLEVDSHSLFGEIESLLGETNMTPADVAENLMPKSDYDDVETCLKRLVEALKDTKEEAKKKVEDEARLMAEKEEEEKQRQKPEKEEKEESGKDVKEIKEKGVAKVDEDPR >KJB33604 pep chromosome:Graimondii2_0_v6:6:5343075:5345921:-1 gene:B456_006G021100 transcript:KJB33604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYFSTSIPTFCLLSIMAITSGITRATKSDSEWLAAEAKALMETGWWSNYSRAENHCTWPGIRCNAGSVVEIDLGGHGLNGSITPQIGALSELQILNLSWNSLTALGLLTNLTHLKKLMTLNLRYCDLSGPIPPHIGKLESMVDLDLSQNGLVGPIPSSVSSLTNLSSLFLQSNQLNGSIPEDIGGLTNLVELDLSSNRLSGHIPSSLGQLSGQIPSSLGQLTKLESLYLHHNQINSFIPHEIERLKDLKYLDLSNNWFIGPIPSSTFTGNKDLCGSIQGFHPCPSSPNVNRERNSKVVKHNLLIVILVPTLLFFVSTFVLVTFILFRRYRAKTLKSDSSPTKNGDLFTIWNFDGKIAFEDIIKATEDFDIKYCIGTGGYGSVYRAVLPSGKVIALKKLHRLEAEQPAYDTSFRNEIKFLAEIRHKNIIKLHGFCLHNRCMFLIYEYMENGSLFYALSIDDEAVELDWTKRVNIVKGVAHALSYMHHDCNLPIVHRDISSNNILLNSELEAFIADFGTARLLDPDSSNRTVIVGTYGYIAPGSAPSLSSYLFYLVLSILSFCCLFVSNYILE >KJB33227 pep chromosome:Graimondii2_0_v6:6:671045:671584:-1 gene:B456_006G002900 transcript:KJB33227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNKTSVFLKQVISSMVTSSIAKAKSIAVKSKMNAAKARLIMLTLMKSKKAVLLGSISNKIHGLLGDDKEENDQNQDEQSKAIVPYSYDDDGDGDEDEDKYPDLTHCLFDEEKELEAETQGGSIIEMVRNSKEEGEDFRLEDEIDHVADLFITRFYKQMRLQKLLSFKRYQEMMERSV >KJB35392 pep chromosome:Graimondii2_0_v6:6:36121349:36125132:1 gene:B456_006G113200 transcript:KJB35392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYAVVARGTVVLAEFSAVTGNAGAVARRVLEKLPEEADSRLCFSQDRYIFHILRSDGLCFLCMANDNFGRRIPFSYLEDIHMRFMKNYGKVAHYAPAYAMNDEFSRVLHQQMEFFSNNPGADTLNRVRGEVGEIRTIMVENIEKILERGDRIELLVDKTATMKDGAFHFKKQSKRLRQALWMKNAKLLALLTCLIVLLLYIIIAACCGGITLPSCRS >KJB38302 pep chromosome:Graimondii2_0_v6:6:49236926:49237504:1 gene:B456_006G247700 transcript:KJB38302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g23130 (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G23130) UniProtKB/TrEMBL;Acc:C0SVC6] MEKKSLRVDHSIIIGNNKLKGSSWREFGEEDYVCGGISWPPRSYTCSFCKREFRSAQALGGHMNVHRRERARLLRQSSTPPGDYKDQPSFLNLNPNPNPNFRSSSSLIAPSFCSTLPHHLSSSSSGFSNEMKKWRRKGAKSVFQVKEFKDYECNNNNLLKKKKKINESVRLELEVGVVRDSNEDLDLELRLG >KJB37438 pep chromosome:Graimondii2_0_v6:6:46035001:46035572:1 gene:B456_006G204400 transcript:KJB37438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKGGKKPFSCIPMSIIKTYIPAMFFLNLVNYVKSLFKYAFTRLGLFKQPPPEEDDHTGDSYVLLTDSRIGSIVLVPVQVVTAMIMTNLPIIVYGDFVKRFGDEVMKKDRVCSVCLEAMEKRDEMRELCKCSHVFHKECIDRWVKEGRLTCPLCRSALYPGPMDFGRPDPRNSFLDCDHYTKTGF >KJB36664 pep chromosome:Graimondii2_0_v6:6:42959098:42959826:-1 gene:B456_006G169800 transcript:KJB36664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIDALRRLLPCLSPTTATSKATATPIKKRLSTSLRNDVVDPLHPVLTNTNQDKDKDSQDSTTTTDLEPPVSAFSRPSRSMVIGTIFGQRKGHVWFCFQHHRLSTKPSLLLELSIPTHQLVQEMSSGTVRIALECDHSELSSCPLHSVPIWTMHCNGRKIGFATKRKATRHNRLMLKTMQSITVGAGMIPTGVGSSGSEEIMYMRANYEHVIGNSDYESFHLVNPDECAGQELSVYLMRSR >KJB34409 pep chromosome:Graimondii2_0_v6:6:24297829:24300548:1 gene:B456_006G064800 transcript:KJB34409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRLPLIFFLILFTTQCCFSANTELKVVMEIKAGLDPENKYLSSWTFNGDPCDGSFEGLCCNEKGQIANISLQGKGLSGKLSPAIAELKHLTGLYLHYNSLYGEIPKEIANLTLLTDLYLNMNNLSGQIPPQIGELGSLKVLQLCYNQLTGSIPTQLGSLKKLNVLALQSNQLTGAIPASLGDLGTLIRLDLSFNSFFGSIPLKLADAPLLQVLDIRNNSLSGNVPLALKRLNDGFLFQNNPGLCGSGFASLKVCNDSDHINPSKPEVYGSGAAGVTREIPETANLQLPCEQKPCSKPSKSQRGPILVALIVVIVALSVIGLVTFMQYRRQKQKLSSLSEVPENRLSTNQTKGFSRKNGSPLISLEYANGWDPLADGRNYNGFAESFRFNLEEVETATQYFSELNLLGKSNFSATYRGFLRDGSAIAIKSIGKTSCKSDDAEFLRGLNVLASLKHENLVGLRGFCCSKARGECFLIYDFVPNGNLLSYLDVKDGNGQVLEWSTRVSTVKGIAKGIAYLHGNEVNKPALVHQNISAQKVVIDDQFNPLLSDSGLHNLLTNDIVFAALKGSAAMGYLAPEYTSTGRFTEKSDVYAFGVVVFQVLCGKRNVTSLVRVGGECCRIQDFIDSNLHGRYLENEAAKLARIAWHCTHECPVERPSMEAVVQDLGV >KJB33230 pep chromosome:Graimondii2_0_v6:6:717749:719725:-1 gene:B456_006G003200 transcript:KJB33230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPSSIHVHLFLPMYKDEPLSFNKPKFQYRAIVNKLDLAHKVVDEIPQPKAYAWNQLIQTHLSNNHPHNALSVYHGMMLRGIRPDHHTLPRVLTASRLCSNLAFGEQVHAHAFKFGFSSDLYVITALIELYGRLHAVETAKWVLDNAPTSNSVARTILAKLYLVDNKPHLAIEIFNQMLRLKADIDPVALVTAAGTCSLLKSLHQARKVHQIARECGLEFHLLVSNSLMKMYIDCDSLEEARSLFDAMPSRDLISWTEMIQGHVKNGGYNEALKLFRLMTKARIKPDSLTVTSILPACARVPAHKQGKELHAYLFRNGIDMNPTVQNAFMDMYVKSGFIELASNVFTSMVERDIISWTIMILGYSLHGQGAQGLGLFLEMEQDPSLEIDEFTYAAVLHACVTACRVDVGMSYFDRIKAPTVTHCVLMIALLARAGLFNDTRTFIKEHQIENKVEVLRALLDGCRIHQQVTMGKRIVEQLCELEPLNAENYVLLSNWYAENAKWDMVDKLKTTIKDMGLKPKRAYSWIEFRNKVHVFGTGDVSHPRSEMIHWKLQHLMKKMEEGGGDRPSSIFSFHDVDEERECILIGHCEMLAISFGLISTRERTTVRVTKNLRVCGSCHDFTKAISKIVQREIIIKDPNCFHHFKNGHCSCGDLW >KJB34918 pep chromosome:Graimondii2_0_v6:6:32715908:32719985:1 gene:B456_006G090800 transcript:KJB34918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDSPNEDDDHENLIHQNKDKSASFRIEELQSPIRRRFSKGHYLFAAIVTVISLVATIYLFFSSKICGTTSDRIKESQLRALYLLNKQRSSLFDLWNHTFGTSNNITAVRFDQIKASLLDQITLNRHIKDTLLSTENGTVSVPIVNGSEICLKIDPKSSKRRTIEWKPDPNKFLFAICLSGQMSNHLICLEKHMFFAAVLNRVLVIPGSKFDYQYNLVLDIEHINECIGRKTVISFKNFMELKKNHARIDKFICYFSIPLPCYTDEDHLKQLKSLGISMGKVETAWKSEDIENPSPKTVKDVEEKFGTKEDVIAIGDVFFANVEKDWVLQPRGPIAHKCKILIEPSKLILLTAQRFIQTFLGSGFVALHFRRHGFLKFCNAKKPSCFYPIPQAADCIRQMVEKANTSVIYLSTDAAESETSLLQSMLVMNGKTIPLVKRPPRDSAEKWDSLLYRHGLDGDDQVEAMLDKTICAMASVFIGAPGSTFTEDILRLRKGWETASSCDEYLCHGEEPNFIASDK >KJB33692 pep chromosome:Graimondii2_0_v6:6:7271184:7273968:-1 gene:B456_006G028400 transcript:KJB33692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G28180) UniProtKB/TrEMBL;Acc:W8Q6R3] MAPSSVVVTIEKPNKFSLVEINGSDSTLLLEKQKAVSPKQFTWFLFLKANRVFACLSWLPMALKTMFLSVKKRIALSDVSEEEAKSRRLYRFIKVFLFISIFALVLEIFAHLKKWNLNMIQPWEVQGLFQWCYMTWLSFRVDYVAPLVLTMSKFCIVLFLIQSLDRLILCLGCFWIKYKNLKPRINGDAYDIEDGSSFPMVLVQIPMCNEREVFAQSIAAASQLDWPKDRILIQVLDDSDDGNLQLLIKDEVSLWREKGVNIIYRHRLIRTGYKAGNLKSAMACDYVKDYEFVAIFDADFQPNPDFLKQTVPHFKGDPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAIVTSKISVSKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPLWVICYIPIFMSFLNILPALKSFPFLVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKTGRSSESDLVALAERESKSLNEEKITRRHSESGLETLNKLKKQEEITPLKKRNRLYRKELVLAFLLLTAAARSLLSAHGVHFYFLLFQGLTFLVVGLDLIGEQIS >KJB33694 pep chromosome:Graimondii2_0_v6:6:7271388:7272712:-1 gene:B456_006G028400 transcript:KJB33694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G28180) UniProtKB/TrEMBL;Acc:W8Q6R3] MACDYVKDYEFVAIFDADFQPNPDFLKQTVPHFKGDPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAIVTSKISVSKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPLWVICYIPIFMSFLNILPALKSFPFLVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKTGRSSESDLVALAERESKSLNEEKITRRHSESGLETLNKLKKQEEITPLKKRNRLYRKELVLAFLLLTAAARSLLSAHGVHFYFLLFQGLTFLVVGLDLIGEQIS >KJB33693 pep chromosome:Graimondii2_0_v6:6:7271186:7273940:-1 gene:B456_006G028400 transcript:KJB33693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G28180) UniProtKB/TrEMBL;Acc:W8Q6R3] MAPSSVVVTIEKPNKFSLVEINGSDSTLLLEKQKAVFAQSIAAASQLDWPKDRILIQVLDDSDDGNLQLLIKDEVSLWREKGVNIIYRHRLIRTGYKAGNLKSAMACDYVKDYEFVAIFDADFQPNPDFLKQTVPHFKGDPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAIVTSKISVSKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPLWVICYIPIFMSFLNILPALKSFPFLVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKTGRSSESDLVALAERESKSLNEEKITRRHSESGLETLNKLKKQEEITPLKKRNRLYRKELVLAFLLLTAAARSLLSAHGVHFYFLLFQGLTFLVVGLDLIGEQIS >KJB37235 pep chromosome:Graimondii2_0_v6:6:45205339:45209105:1 gene:B456_006G195100 transcript:KJB37235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLGSFRHSLLEKKGRTKGYPVLGLYEEEEEEQGKICFSYRLVSDKVTGFSKKVQDVARKACQMGISDPRKIVFSAKVGLALMLISLLIFLKEPIKELSEHSVWAILTVVVVFEFSIGATLSKGFNRGLGTLSAGGLALGMAELSQLAGEWEEVVIVLSIFIIGFFSTYAKLYPAMKPYEYGFRVFTLTYCFITVSGYRTGEFVHTAVTRFLLIALGASVSLVVNIGIYPIWAGEDLHNSVAKNFMSVANSLEGCVKGYLNCVEYKRVPSKILTYQASDDPVYSGYRSAVESSSQEEALLGFAIWEPPHGPYRSLGYPWKNYVKVSGALRHCAFMVMALHGCILSEIQAPPERRQVFSQELQRVGTEGARVLRELGNKVKRMEKLGHTDILCEVSEAAEELQKKVDRKSYLLVNAESWEIGNRPQILLEPQDFLSSDGEEHKFLGYKSFSEAVLDLRSVSMPNNWDYLNTNGDINPIVSPGTPSEDLLKQQISWSACPTFNPDAKKLPEESKTYENASALSLATFTSLLIEFVVRLQNVVDAFEELSEKATFKEPDELPAAAAARESIGFWSKLFRSLKF >KJB38632 pep chromosome:Graimondii2_0_v6:6:50337725:50338549:-1 gene:B456_006G264300 transcript:KJB38632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKTYEAIETQAGFALLQRNTTPPSHERRGRRKQAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGTQARTNFVYSNNNNNNNNGDNNFHSVLSPQFDVSHHHQFQVQPNNVPNSDIGGSTTYGSVDDGYLACIVPRDCLKPPSNPTSSSSFINQNFNTYTSPQNQGFGEPNQGFIWGDNMQQAWDMNNDEQLAIINNVPTPLMVEDGCMGGFYGTNYVDDNTSSYENSMVIPQTTSMATFSTDVVDFGY >KJB38590 pep chromosome:Graimondii2_0_v6:6:50242593:50246037:1 gene:B456_006G262300 transcript:KJB38590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTFRNPGISLCIRTTCCQIFNTCKSLSSLSDGSSSELYKKSAIFEGKINSIEGVRKEVEDVCCILESGPWGSAIENALSSLNEKPQPGLIIGVLRKLKDVNLAINYFRWTEKKTDQAHCPEAYNSLLILMARNKKFDCLEQVLEEMSMVGFGPSSNACIELVVSCVKSQKLREAFDIIQMMRKFKFRPAFSAYTTLIGALSAVFESDLMLTLFHQMQELGYEVSVHLFTTLIRVFAKEGRVDAALNLLDEMRSNCFEADVVLYNVCIDCFGKVGKVDMAWKFFHEMKAQGLVPDDVTFTSMIGVLCKSNRLQEAIELFELMEQDRKVPCAYAYNTMIMGYGSAGKFDEAYGLLERQKAKGSIPSVISYNCILTCLGKKGKVQEALRIFEDMKKDAVPNLPTYNILIDMLCKDGNLEDALRIQGAMKEAGLYPNVITVNIMIDRLCKAQKLDEAVSIFEGMDHKVCCPNEVTFCSLIDGLGKHGRVNDAYRLYEKMLDSDKIPNAVVYTSLIRNFFKCGRKEDGHKIYKEMLRRGCAPDLMLLNTYMDCVFKAGEIEKGRALFEEIKAQGFIPDVQSYSILIHCLVKAGCAHETYQLFHAMKEQGCILDTRAYNTVIDGFCKSGKVNKAYQLLEEMKSKGHQPTVVTYGSVIDGLSKIDRLDEAYMLLEEAKSQGIELNLVIYSSLIDGFGKVGRIDEAYLILEELMQKGLTPNTYTWNCLLDALVKAEEVDEALVCFKSMKDMNCPPNHITYSILINGLCKIRKFNKAFVLWQEMEKQWLKPNTITYTTMISGLAKAGNVAEAHGLFERFKANGGIPDSACYNAIIEGLSNANRATDAYKLFEETRRKGFNIHTKTCVVLLDALHKAECLEQAAIVGAVLKETAKSQHASKYW >KJB34752 pep chromosome:Graimondii2_0_v6:6:30943142:30951204:-1 gene:B456_006G081700 transcript:KJB34752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPNPQPQSGGGGSGGFDLNKLFKPSSSPMLQHQHLQNVNVTATSPSPTPSPNTSNLTTSPSFPAQSSTPPPPPYLTPSSSYPPPTGPYPFHHYLPYPPPPQPQHPLHPHPNPTGPIPYHPQPQLPTPPTSGNDILMAFFGTQAQSLAPAPVPSAPPLNMTVTPSAPSPSPSPARLLSSKVPKGRHLSGTNLLYDIHVRLPSEVQPQLEVTPITKYASDPGLVLGRQIAVNRNYICYGLKLGNIRILNINTALRSLLRGHTQRVTDMAFFAEDVHLLASASVDGRVFVWRINEGPDDEDKPQIFGKVVIALQIVGQESSKHPRVCWHPHKQEILMVAIGNRILKIDTIKVGKLDGFSAEEPLNFSVDKLIDGVQFVGKHDGEITELSMCQWLTTRLASASVDGTVKIWEDRKPIPLAVLRPHDGHPVYSATFLTAPHRPDHIVLITGGPLNREVKIWASSGEEGWLLPSDGESWQCMQTLELRCSAESEVDNAFFNQVLALPHAGLFLLANAKKNAIYAVHIEYGHNPAATCMDYIAEFTVTMPILSLTGTSDSLPGGEYTVQVYCVQTQAIQQYALDLSQCLPPPLENTDLDKTDSNVAHVSDAMNSDGSASQELSHGYKPTEKTINYSSSESAAMASCSQNVASSEVTFISESAVSGMEIKPSALLSGSSAENVHIATPPLPLSPRLSRKSSGFGNPSSVDHVNRSAPDHSVDHKVDTVKENNVVVPYAGENLQKGEDIAVFKHPTHLVTPSEILSTVSSSTENAQISQVINEGEATIKDVVKNDAESIEVEVDVLGETKYSQGSHTTVGDNKEKAFYSQASDLGIQMARDFCVESYGIEGAQQASHLGVLVQADRLTNAGDGGDQNVIKNAPPKVGETDTAITGSPSPASAKGKKQKKKNSQVSVPSSPSASPYNSTDSSNEPGCSSGALSADANFPQLLAMRDMLEQQSLSVQKEMQKQMNAIVSAPVNKEGKRLEASLGRSIEKAVKANTDALWARIQDENAKQEKLERDRMQQITNLITNCLNKDLPAMFEKSLKKEIAAVGPVVARAISPTLEKSISSAITESFQKGIGERAVNHLEKSVSSKLEATVARQIQAQFQTTGKQALQDALRSSLETSVIPAFEMSCKSMFEQIDVTFHKGLIEHTATAQQQFENSHSSLAAALQEAIKSATSITQTLSGELANAQRNLLAIAAAGGNSNAGNPLVSQLSNGPLTHLHEMPEAHLDPTKELARLIAEKKYEEAFTSALHRSDVSIVSWLCSQVNLQGILSMKPCPLSQGVLLALFQQLACDINKETSRKLVWMTDVAVAIIPSDPTIAMHVVLIFRQVSQIVDHLQSMSTTSASETASIRVLKFVINSVLNCK >KJB34751 pep chromosome:Graimondii2_0_v6:6:30943117:30951269:-1 gene:B456_006G081700 transcript:KJB34751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPNPQPQSGGGGSGGFDLNKLFKPSSSPMLQHQHLQNVNVTATSPSPTPSPNTSNLTTSPSFPAQSSTPPPPPYLTPSSSYPPPTGPYPFHHYLPYPPPPQPQHPLHPHPNPTGPIPYHPQPQLPTPPTSGNDILMAFFGTQAQSLAPAPVPSAPPLNMTVTPSAPSPSPSPARLLSSKVPKGRHLSGTNLLYDIHVRLPSEVQPQLEVTPITKYASDPGLVLGRQIAVNRNYICYGLKLGNIRILNINTALRSLLRGHTQRVTDMAFFAEDVHLLASASVDGRVFVWRINEGPDDEDKPQIFGKVVIALQIVGQESSKHPRVCWHPHKQEILMVAIGNRILKIDTIKVGKLDGFSAEEPLNFSVDKLIDGVQFVGKHDGEITELSMCQWLTTRLASASVDGTVKIWEDRKPIPLAVLRPHDGHPVYSATFLTAPHRPDHIVLITGGPLNREVKIWASSGEEGWLLPSDGESWQCMQTLELRCSAESEVDNAFFNQVLALPHAGLFLLANAKKNAIYAVHIEYGHNPAATCMDYIAEFTVTMPILSLTGTSDSLPGGEYTVQVYCVQTQAIQQYALDLSQCLPPPLENTDLDKTDSNVAHVSDAMNSDGSASQELSHGYKPTEKTINYSSSESAAMASCSQNVASSEVTFISESAVSGMEIKPSALLSGSSAENVHIATPPLPLSPRLSRKSSGFGNPSSVDHVNRSAPDHSVDHKVDTVKENNVVVPYAGENLQKGEDIAVFKHPTHLVTPSEILSTVSSSTENAQISQVINEGEATIKDVVKNDAESIEVEVDVLGETKYSQGSHTTVGDNKEKAFYSQASDLGIQMARDFCVESYGIEGAQQASHLGVLVQADRLTNAGDGGDQNVIKNAPPKVGETDTAITGSPSPASAKGKKQKKKNSQVSVPSSPSASPYNSTDSSNEPGCSSGALSADANFPQLLAMRDMLEQSLSVQKEMQKQMNAIVSAPVNKEGKRLEASLGRSIEKAVKANTDALWARIQDENAKQEKLERDRMQQITNLITNCLNKDLPAMFEKSLKKEIAAVGPVVARAISPTLEKSISSAITESFQKGIGERAVNHLEKSVSSKLEATVARQIQAQFQTTGKQALQDALRSSLETSVIPAFEMSCKSMFEQIDVTFHKGLIEHTATAQQQFENSHSSLAAALQEAIKSATSITQTLSGELANAQRNLLAIAAAGGNSNAGNPLVSQLSNGPLTHLHEMPEAHLDPTKELARLIAEKKYEEAFTSALHRSDVSIVSWLCSQVNLQGILSMKPCPLSQGVLLALFQQLACDINKETSRKLVWMTDVAVAIIPSDPTIAMHVVLIFRQVSQIVDHLQSMSTTSASETASIRVLKFVINSVLNCK >KJB34753 pep chromosome:Graimondii2_0_v6:6:30943142:30951204:-1 gene:B456_006G081700 transcript:KJB34753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPNPQPQSGGGGSGGFDLNKLFKPSSSPMLQHQHLQNVNVTATSPSPTPSPNTSNLTTSPSFPAQSSTPPPPPYLTPSSSYPPPTGPYPFHHYLPYPPPPQPQHPLHPHPNPTGPIPYHPQPQLPTPPTSGNDILMAFFGTQAQSLAPAPVPSAPPLNMTVTPSAPSPSPSPARLLSSKVPKGRHLSGTNLLYDIHVRLPSEVQPQLEVTPITKYASDPGLVLGRQIAVNRNYICYGLKLGNIRILNINTALRSLLRGHTQRVTDMAFFAEDVHLLASASVDGRVFVWRINEGPDDEDKPQIFGKVVIALQIVGQESSKHPRVCWHPHKQEILMVAIGNRILKIDTIKVGKLDGFSAEEPLNFSVDKLIDGVQFVGKHDGEITELSMCQWLTTRLASASVDGTVKIWEDRKPIPLAVLRPHDGHPVYSATFLTAPHRPDHIVLITGGPLNREVKIWASSGEEGWLLPSDGESWQCMQTLELRCSAESEVDNAFFNQVLALPHAGLFLLANAKKNAIYAVHIEYGHNPAATCMDYIAEFTVTMPILSLTGTSDSLPGGEYTVQVYCVQTQAIQQYALDLSQCLPPPLENTDLDKTDSNVAHVSDAMNSDGSASQELSHGYKPTEKTINYSSSESAAMASCSQNVASSEVTFISESAVSGMEIKPSALLSGSSAENVHIATPPLPLSPRLSRKSSGFGNPSSVDHVNRSAPDHSVDHKVDTVKENNVVVPYAGENLQKGEDIAVFKHPTHLVTPSEILSTVSSSTENAQISQVINEGEATIKDVVKNDAESIEVEVDVLGETKYSQGSHTTVGDNKEKAFYSQASDLGIQMARDFCVESYGIEGAQQASHLGVLVQADRLTNAGDGGDQNVIKNAPPKVGETDTAITGSPSPASAKGKKQKKKNSQVSVPSSPSASPYNSTDSSNEPGCSSGALSADANFPQLLAMRDMLEQSLSVQKEMQKQMNAIVSAPVNKEGKRLEASLGRSIEKAVKANTDALWARIQDENAKQEKLERDRMQQITNLITNCLNKDLPAMFEKSLKKEIAAVGPVVARAISPTLEKSISSAITESFQKGIGERAVNHLEKSVSSKLEATVARQIQAQFQTTGKQALQDALRSSLETSVIPAFEMSCKSMFEQIDVTFHKGLIEHTATAQQQFENSHSSLAAALQVCY >KJB33176 pep chromosome:Graimondii2_0_v6:6:40062726:40063143:1 gene:B456_006G142700 transcript:KJB33176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLMMKKQTRMSPSTPLSIHKDSQIISKAKPKIRIIHLLAPQIIKTDVANFRQLVQTLTGKPPQEKGSKDQKMELRTGLLAGLEAIERVKEEDEDLDGFIQELTEFPLDPCHLMHGFHEPQLA >KJB34561 pep chromosome:Graimondii2_0_v6:6:29080349:29082945:1 gene:B456_006G072600 transcript:KJB34561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRVALVNYGKDYGKLVVIVDVVDQNRALVDAPDMVRGQMNFKRLQLTDFKIDIPRVPKKKTLIEAMEKADVKNKWENSSWGKKLIVQKKRASLNDFDRFKIMLAKIKKAGVVRQELAKLKKENAS >KJB34562 pep chromosome:Graimondii2_0_v6:6:29080433:29082945:1 gene:B456_006G072600 transcript:KJB34562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRVALVNYGKDYGKLVVIVDVVDQNRALVDAPDMVRGQMNFKRLQLTDFKIDIPRVPKKKTLIEAMEKADVKNKWENSSWGKKLIVQKKRASLNDFDRFKIMLAKIKLEL >KJB35270 pep chromosome:Graimondii2_0_v6:6:35060050:35060575:-1 gene:B456_006G1074001 transcript:KJB35270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTKTSIKPKNFQISLKNLPPLDPSIVSPLYQDKYENPSSRNQPIVANPSSEFHGKTDSADGNSRPGLEVEECFCLASTNLKHPNNTFNKEIQIRHRHANLVVP >KJB36349 pep chromosome:Graimondii2_0_v6:6:41303480:41305983:1 gene:B456_006G154200 transcript:KJB36349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESEQTQTQNPDPKTPEPKVRAVGVTEYSWCRAVPGGTGITVLSLLLSNVPDISFLEALLCRLQVSHPILRSRVRFDASCNTFYFVTPSNPHVQIQSFDLQSTSHILQSSLGDSHIDSHHVLLEHELNRNSWNRTDGAGDGDQADWDVFFVSIYTISDTRWFLVFRLHTSACDRAAAVGLLRELLEMVGGGRAKAEEEIVQEVGIEDLIPSGKANKPLWARGVDLLGYSLNSFRLANLNFIDANSARHSQVVRLKMNPDDTDRLVAGCKSRGIKLCGALAAAGMIAARSTKPFPDHQKEKYAVVTLIDCRSILEPVLSSNHFGFYHSAILNTHDVTALDEVWELANRCYTSFSNAKNNNKHFSDMNDLNFLMCKAIDNPGLTPSSSMRTAFMSVFEDPVIDESNKLHKEIGLVDYVGCSSVHGIGPTIAIFDTIRDGCLDCTCVYPAPLHSREQMQQLIDSMKRILVDGSINLQTNS >KJB33640 pep chromosome:Graimondii2_0_v6:6:6138324:6141523:1 gene:B456_006G023500 transcript:KJB33640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNPCDQIKHGEEEKHLTTKLGGLKTMAFVISTETFEKVASFGLHANMILYLINEYHMSNAKGANVLFLWSAISNFMPILGAFLSDSFLGRFRVIALGTFVSLLGMSLLWLTAALPQATPPQCNGLATESCASPKAAQLALLFSSLALMSIGAGGIRPCALAFGADQLYNPSNPENKRVLQSFFNWYYASVGLSLMVSITVIVYIQDAAGWVIGFGVPAGLMFLSTVMFLLGSPLYIKLMPDKSLFTSFAQVMAAAWQNKHLALPPMESDPGIWYYHKGSKLIAPTQKLRFLNKACMIGNPEKDIDMDGRATNPWNLCTVKQVEELKALIKVLPIWSSGIIIAVSISQHSFPVLQARVMDRHLIPGGLKIPAGSFGVFAMITLTIWVATYDQIIVPLLSKFTKRSRGFSLKERMGMGLAISCVATAVAAMVESKRRATAIRQGLGDEPHGMVHMSAMWLVPQYSLIGLAEGLNAIGQIEFYYSQFPKSMASIGVALFALGMAVGNLVSSLIVGTVDKLTSKGGKQSWVSNNLNMGHYDYYYWILTILSVINVFYYLICCWDFGSSDNKNKIVWDEEEGTEDELELELGDHAKGVASH >KJB34272 pep chromosome:Graimondii2_0_v6:6:20819132:20820143:1 gene:B456_006G056900 transcript:KJB34272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGFSQRQLKNRWDDLKKEWKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQVVPEAKKFRTSGIDPEFEGKLDQMFMGIVATGDKAWAPSSGTLPSDFFEDVNNEIPEENEEENMRNDVHILNDVHISNDVQIDGNSQKRKNPEMSSSHFKTGRKKSSKQIGGAARLSSQIEKLCNATDNMSQATYSLNLVMDPYGVPQAVKMLDSMSEEVPEASPLYFFALRLLLNKDKRIMFLSISLKIRALWLKTEMEDS >KJB36461 pep chromosome:Graimondii2_0_v6:6:41894183:41898975:-1 gene:B456_006G159700 transcript:KJB36461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFRLSPPQPLLLRHKERVPQTPFVGPPITKKCNLPLIRVAASKPTAEISSPTVTYRETDVVSSRFTAVPSVPRKEVPANSLQYPSGYLGASPERSVDEGNHDVINAMGYLTNILSSKVYDVAVESPLQLATKLSERLGNQIWLKREDLQPVFSFKLRGAYNMMAKLPKEQLERGVICSSAGNHAQGVALSARRLGCNAVIAMPVTTPEIKWQSVERLGATVVLIGDSYDEAQAYAKKRAKEEGRTFIPPFDHPDVIIGQGTVGMEIVRQMQSPLHAIFVPVGGGGLIAGIAAYVKRVSPEVKIIGVEPFDANAMALSLHHGERVMLEKVGGFADGVAVKEVGEETFSICRELVDGVVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGIKGKNVAAITSGANMNFDKLRVVTELANVGRQQEAVLATVLPEEPGSFKQFCEMMGPMNITEFKYRCTADKAVVLYSVGVHSVSELEAMKQRMESSQLRTYNLTASDLVKDHLRYLMGGRSDVENEVLCRFIFPERPGALMKFLDTFSPRWNISLFHYRGQGETGANVLVGIQVSKSEEDEFIHRADSLGYEYVLVNDDENFQLLMH >KJB33577 pep chromosome:Graimondii2_0_v6:6:4838097:4840047:-1 gene:B456_006G0192001 transcript:KJB33577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQLALYFILLLLFLFLLCPISQAAESREPACGKEVCGNITIPSPFGIHSSCYTHSWFRVTCKSTRNGEKPFINVYGINLEVLDSIFSDAIIISNPVTYFNCDRISEACVSVNLSGTPFFFSSDRNYFGSVGCGNLATVLSNEADSLGGCVQPRCDDGASESGCFTLITGNFTSYTVNMTAMYPDSNKCASAFFFTDYSFRSAYPLPTGINIGTKHVPAMLSWNSTYCGDGGCGRPGLGPINFNTSKVESCGNVTFHYPFSMKAQDDSNNWFQVICSKTANGKEVPSLNINGTNLQILDFSFLRGTVEVNHPVTYFNCQKNHHNGMSLNLTGTRFYYSDLDNLFWSSGCGNLVTIFGNEIDNLIGGCLQPSCRINKKTSSIVGCPLTIPKGLSSFFANMSDMVDSSDYRRKRSCGFASLISYNSYFTDDFDLSNRTYVPMQLQWGTLISGECYLNDSLDTSCTFDGEYCWSRLSSNYLCSCKRDN >KJB33338 pep chromosome:Graimondii2_0_v6:6:1498825:1499363:1 gene:B456_006G007200 transcript:KJB33338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEDKKTTKVKKGWLAVRVGLEEEDGGLKRFAIPISHLYHPLFKQLLDKAYEVYGYHMTGPLRLPCSTDDFLNLKWRIERESNHHHHHHHHHHHHHHPLTLSFNSC >KJB33511 pep chromosome:Graimondii2_0_v6:6:3101949:3102325:1 gene:B456_006G014600 transcript:KJB33511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPWYRVHTIGLNDPGRLLSVPIIHTAPVAGWVGSMALYELAIFDPSDPVLGPMWRQCMFVIPFMTRLEITNSWVCWSIT >KJB33987 pep chromosome:Graimondii2_0_v6:6:11693995:11698231:1 gene:B456_006G042000 transcript:KJB33987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKLFPTQSTHSLSFRHFFALAPPLAVSYPTRVRLDCAKLSRLRVLAMAAKKSPKRLKYSTPRVPKIDDLVYVEVDPSGTENWKLEPVIELLNQGAVGVIPTDTVYAIVCHLKSHSAIERLRRIKNIEPSKPLSILCRSLRDIDNYTTGFPRGDGQGHANIFRAVKHCLPGPYTFILTASKELPKQCVRYGTTTAKYAARKNVGVRMPYDAICQAILEKMDAPLISTSVKWPKENEWMIDPVVIADIYGAEGLDFVVDGGIRVADPSTVVDMTRASPKIIRLGKGPKLHWMASEDDNESAVYADELIPSAT >KJB38002 pep chromosome:Graimondii2_0_v6:6:48125096:48127130:-1 gene:B456_006G232000 transcript:KJB38002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shewanella-like protein phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G18480) UniProtKB/Swiss-Prot;Acc:Q944L7] MERQNQSSSLTCENLPSFLSSFVDTFVDFSVSGLFLPQQQQIPQQPLQTHYPSPNRLVAIGDLHGDLEKSKQAFRLAGLIDGSDRWCGGSTTVVQIGDVLDRGGEELKILYFLEKLKREAVKSGGQLITLNGNHEIMNIEGDFRYVTKKALEEFDVWAYWYDIGNKMKSLCHGLEIPKNPFHGIPPSFHRVPEEFSHSIRARIAALRPDGPISRRFLANNLTVLVVGDSVFVHGGLLEKHVDYGLQRINDEVRDWINGLMGQRAPEYCRGRNAVVWLRKFSDEFAKNCDCSLLEHVLATIPGAKRMIMGHTIQEIGINGACDNRAIRIDVGMSKGCINGLPEVLEIDRNSGLRVLTSNPMYQNKNQYAQTKEGLGLLIPEHGPKQVEVKA >KJB38322 pep chromosome:Graimondii2_0_v6:6:50984064:50986250:-1 gene:B456_006G273900 transcript:KJB38322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFHGLTRLTTSITTYLHRIFKYENCSPCCFDVAYVYLDRFMQMHPSLPLDSFNVHRLLITSVLVSAKFMDDICYNNAYYAKVGGISTEEMNILEVDFLFGLGFPLNVKPDTFRTYFFLQREMRLQPPPPFTQRFGRTLPKH >KJB35560 pep chromosome:Graimondii2_0_v6:6:37044702:37045662:1 gene:B456_006G120300 transcript:KJB35560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALAPFPTPNWPLLNPIGLQLNYIYENNETLDSVPQASFDPCVVKKLNHNASERHRRKTVNSLFSSLRSLLPLADQMKKVSIPATVSEAVKYIPELQEQVERLVHKKEELLLRISQQGGIKRCSDGRCSSSATVSINRLTSDSEVVIQITLRKDRVQKTQLSEILHILEEQQGLILLNATSFESFGGMIFYNIHLQVAPKTTYQLRESDIEALRGKLLALCN >KJB38059 pep chromosome:Graimondii2_0_v6:6:48336777:48338607:1 gene:B456_006G235300 transcript:KJB38059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMATRRKKYWKRNTYYFRYRRRLILEMYRKYSQRYKDYFRYQLRKTLHLGTRNDFIRFHIPSYGRFPNLKVLNLKLHLTLPNCVFLNRLFRSCPLLEDLYMDSTCVDNFDQTFRFCIPTLRLLQLKLNADEFHSCRHKEFIFNTPNLVSLSITGPSLSCFRIHDIPPNLIQANLSIGKSSYVHDFQVSKDEASRVMEALKGVRNAVDLTLPFGTTSALSYCFDDGDDFPTFPYLRDLQLGIDYYFGWKLLPYFLENSPLLRWISLNRESESKYTTAMAEGKHKEADDTYGWKPPKQVPECLMDKLKEIEVRNLWRRKAEGEVVKYLLENGKTLAKMKISFEEDGISDEIDEATIENFPRASEKISGSIYCKSET >KJB38771 pep chromosome:Graimondii2_0_v6:6:50820426:50824675:-1 gene:B456_006G271100 transcript:KJB38771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEGIGNSGQIDTEMTASEGSCAPKRKCIGLNADSYNNFGVPMQALLLSKMSQSERKNLELRLKMELEQVRLLQKKVASLGLRLDFLSASTGVAKRPLGGHNGVHSKKSTSGRFEPKLAVAVSNSNAYLMKQCEALLNHLMQDNFGWVFNSPVDVVKLNIPDYFTVIKHPMDFGTVKKKITSEQYASPLDFAADVRLTLSNAMTYNPPGNDVHIMAETLSKYFEARWKAIEKKLPITMNVVDAMRSIAAEHPIEKKITPKESILKSEPVRQMMTDQEKPQLSSELESLLGELPENIIDFLKEHSSTEGQMGEEEIEIDIDALSDETLFQLRKLLDGYLLGKQKSQAKGEPCEMELPNESGFSNSSMQPCKGNLLHNYPPVEIEKELNHTNSKCSSSSSSSSESGSYSSDSDSGSESDAAKASVSVRSTKENMDSGKNLDLNNSSVAVPNGANQSLNEFGQVEFSDDKPSAVEESAPSERQVSPEKLYRAALLRNRFADTVLKAREKALEKGEKGDPVKLRMEREELERQQREEKARLQAEAKAAEEARRKAEAEAAVEAKRKRELEREAARQALLKMEKTVDINENSQFMEDLEMLTTTNDETLPNFMEETSPSHSQNELGSFKLRGVSNPLEQLGLYMKPDDNDEDEPPQSAPEPVNDVEEGEID >KJB35214 pep chromosome:Graimondii2_0_v6:6:34716993:34718720:-1 gene:B456_006G104800 transcript:KJB35214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQAVFVGSDHHHHQALIMKGKRTKRQRSPSLFGVAVTSSSSNGGDGVVEEYNSISSPTTSDEIYGSTEEEEEMANCLIMLAQSDGPKRSTVEAKFKIATKTNKGELYVHECKTCNRSFPSFQALGGHMASHKKPKGAIAEQKRPLVLAVNDAVIALRVSNKKGNKIHECSICGSEFTSGQALGGHMRRHRTASAAAASNQDAMNGDDDIKPRNITPLDLNLPAPEDDVRDSMFEFGTPQQAIVFSTPALVDCHY >KJB33534 pep chromosome:Graimondii2_0_v6:6:3441934:3442281:-1 gene:B456_006G015700 transcript:KJB33534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIKVEETGKDCCICLEEFEVDEEGREMPCKHVFHSSCIEKWLEIHGTCPICRFLMPAETAENEGDDGDSGRQRLEGGEINGLEYLHSALAFASLANMIGMAGLGWGSNQPGSG >KJB38153 pep chromosome:Graimondii2_0_v6:6:48641615:48644149:1 gene:B456_006G239500 transcript:KJB38153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDSVGIAKDVTELIGKTPLVYLNKVVDGCVARIAAKLEIMEPCSSVKDRIGYSMILDAEKKDLITPGKSVLIEPTSGNTGIGLAFVAAAKQYRLIITMPASMSLERRIILRAFGAELVLTDPAKGMKGAVQKAEEILAKTPNAYMLQQFENPANPKIHYETTGPEIWKGTGGKIDALVSGIGTGGTITGAGKYLKEQNPNIKLYGVEPVESPVLSGGKPGPHKIQGIGAGFVPGVLNVSIVNEVVQVSSDEAIETAKLLALKEGLLVGISSGAATAAAIKIAKRPENAGKLIVAIFPSFGERYLSSVLFESVRKEAENMTFEP >KJB34370 pep chromosome:Graimondii2_0_v6:6:23138880:23140354:-1 gene:B456_006G062800 transcript:KJB34370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNYFTGQLPSSLSSLRGIEEVDLSHNNFSGMIPKYFSTFPFLVQLNLSFNDFQGDVPVGRVFSNVTALSLVGNDKLCGGIPELQLPSCLLKKPGRKNMSLPLKLTISLIFGLVGLFLILFAVLFYCFKMQRKCSSTKSSLVDPFMKISYGDLLKATDGFSSGNLIGIGSFGSVYKGVLEDQIYVAVKVLNLQRIGASKSFFSECKALRNIRHRNLVKIFTACSSVDYRGNEFKALVYEFMPNKSLEAWLHSPLDEEQEQPRSLSLCQRLNIITDVAAAVDYLHNHCELPIIHCDIKPSNVLLDDSMTAHLGDFGLARITADSNSEVISDQTSSVGLKGTIGYAAPGKRPTDDMFRDGLNLHKLVQMCLDENVEEIVDPTLVLGEAAAEPLPHLKGINECLISLLRIGEACSRELPRDRMEIHDALNHLLKLKTMF >KJB37812 pep chromosome:Graimondii2_0_v6:6:47417903:47418642:1 gene:B456_006G221500 transcript:KJB37812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASNKTFTPQSNSTNTHFTLLFILLLLLVSPVFASRPPPACPSSCYDQLFSSSSSSSSSSSSSSSSSSSPTSAQKPASNSHPFQAPTIAAAEGSPRDRRFKGAAHEVPSGPNPESN >KJB36659 pep chromosome:Graimondii2_0_v6:6:42906769:42908298:1 gene:B456_006G169300 transcript:KJB36659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion transmembrane transporter [Source:Projected from Arabidopsis thaliana (AT2G37920) UniProtKB/TrEMBL;Acc:Q8LG21] MSSILKMPLSIPVPSQALSFSKSLVSPIPLFLYTKLSTLSLHNSAPPPNTIIRMGGGPRTYPGGVSKWQWKRMQAKKAKQLLKARLARERHIYEMRKRAELKAAVSELERPWEVVEKAPNLFSVSADEQVKVLADRFQKPGGFDMWSDRDGPQLFDAVDELPSARFFPKGVVHSVKPYLRSRESGENTKLLTENKGEESESEVASVLDTNGKKNGVKWRRKGFRRRFGAGAGAAGEANSDAKISNLKSGVHNKRRTFKSGIYDKRSDFKSEVFDMSLQGDGSYGINK >KJB38406 pep chromosome:Graimondii2_0_v6:6:49667641:49668851:1 gene:B456_006G253500 transcript:KJB38406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLNLAKKLKPAKKAWKSFTIKVRSKLESFDVSNSIKTVTRRVVEFCSVHLFAPFKKRFLRHSSGRGRRRQFNHEYDHLYHYQHYRNQVKNNRKVIYIDQLYGEQRMEQQPEAVAETSRRNEEVAEESGVYSIEDAWKAVVARSPHLRGVDERADEFIYKFREERKLEKERSDLDFQEMLARSA >KJB35031 pep chromosome:Graimondii2_0_v6:6:33591891:33593672:1 gene:B456_006G096500 transcript:KJB35031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEADMNNGMFASTPDFVEKKDQWMNMKDHDDHIIIYDDDDDDGVTSSSSSSFIGDEYSRTCSSSSSLDMADDASSSSSTIDGPLFHLSDLMAQLPIKRGLSKYFQGKSQTFTSLSNVNTIEELAKKETPFYRKKLKACKSHKLCTPPRATIGKKLWRNHGLSSSCPGRKLRSSFLGGRPPLIPIPKKF >KJB38626 pep chromosome:Graimondii2_0_v6:6:50306215:50307074:-1 gene:B456_006G263700 transcript:KJB38626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVSLRTAVPHDCIPFLDFHEYSTAVTGSEIPLFASHLPYTCLPKSVIHSDCKIVYICRDPKDTFVSMWFFPHEGFELFCQGLTCLPRGPYWEHILGYWEASIRRPEKILFLKYEDLVEDTQLWVKKLAEFFGCPFSAGGEREGVVKECSFESLRDLEVNKSGKHEKRGRVIENNAYFRKGVVGDWRNYLSDEMGKKIDVIMEEKLMGPGFTF >KJB35454 pep chromosome:Graimondii2_0_v6:6:36477594:36478289:-1 gene:B456_006G115700 transcript:KJB35454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSPTRKLSNILIQLSMKLSLSINSRSSLKKLSRIKLIVQFSLHTHAKLYSYKMDRLKMSTKNPHQHVAYFMETCNDIKTDGDLMVKQLVWSLKGNGFNWYTYLDPRTIDSSEQLECEFFNKFYSTHRIVRMIELTGERKWKDELAINYIHHWRDLGIKSKTFKELATRADDMELSMTIARNLEPFI >KJB35732 pep chromosome:Graimondii2_0_v6:6:37760289:37763097:1 gene:B456_006G125700 transcript:KJB35732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 3 [Source:Projected from Arabidopsis thaliana (AT1G50420) UniProtKB/Swiss-Prot;Acc:Q9LPR8] MFQDESSSVTSSSSPLQVFSMMSLSPNLGSPYPWLRELKSEERGLYLIHLLLTCANHVANGSLENANIALEQISQLASPDGDTMQRMAAYFTEALADRILKAWPGLHKALNSTRITLLSEEILVRKLFFELFPFLKVAFVLTNQAIIEAMEGEKMVHIIDLNAAEPAQWVALIQALSARPEGPPHLRITGIHQQKEVLDQMAHRLIEEAEKLDIPFQFNPVVCKLENLDVDKLRVKTGEALAISSVLQLHSFLTSDDELLRKKSPLGSKNLNGIHLQRALQMNQSTLGELLEKDMVNGYSPSPDSASSSPLSSTGSVKVDSFLNALWGLSPKLMVVTEQDSNHNGSTLMERLLESLHSYAALFDCSESTVSRTSLERLKVEKMLFGEEIKNIIACEGAERKERHEKLEKWIQRLDLAGFGTVPLSYYGMLHARRLLQGYNCDGYKMKEENGCIVICWQDRPLFSVSAWRCRK >KJB35193 pep chromosome:Graimondii2_0_v6:6:34601092:34606623:1 gene:B456_006G103800 transcript:KJB35193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYPFLFFFHKAILNLGAFSIFPLDSTKGTAVPLLPSVNLDLKFHYARQEILQSGVALPPLHFQQEQRPRKKTWQKGQTRDMLERADLNSQIFLIIKTTLSFI >KJB36374 pep chromosome:Graimondii2_0_v6:6:41409964:41414062:-1 gene:B456_006G155600 transcript:KJB36374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSESEIGEVESPLQGANQQQKNHPFSELGRQSSIYSLTLDEFQHTLCDGGKNFGSMNMDEFLTSIWNAEENQAINSNNNNTNHQNNSGNKQVSDQVHLSFNETSSSKGIAKQPSLPRQGSLTLPAPLCRKTVDEVWSEIQRGQQGQGQSNNSNVQTADENASTRRQPTFGEMTLEDFLIKAGVVREQCMPPQPPPVLPSPHHQPPQYGLYQTGNNPAVSPGFVSRPVGFSGTAYQTMPPGLNDGKTGGAYQPAAPPLTTVIYNGKVTGGGGYGLGKTIGGVAPVSPVSSERLCTNQVDNAASQFGMEMVAVRGRQRIIDGPIEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKQENSHLKRALAELERKRKQQCFEEWKTKTQTKARKAREKLRIMRSLSCPL >KJB37671 pep chromosome:Graimondii2_0_v6:6:46847751:46849569:-1 gene:B456_006G215200 transcript:KJB37671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGRSRRPCFIEEDNGLASLAVMESGYAGIHCQTHKQNGFFSRPLCYSRRSSLRNLPSSSSSSFYCSSASSPRSGRFCDARFEDHQPHFLDACFLCKKPIGGNRDIFMYRGDTPFCSEECRQEQIEIDEAKEKNHNLSSSIKAMRKKDQKKSTSPTKAESYPFRPGTVAAA >KJB34976 pep chromosome:Graimondii2_0_v6:6:33146764:33147851:1 gene:B456_006G093700 transcript:KJB34976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRIVIPKSYNQFNLIDVAGLESITFDCKGERPYVGVFDDRILRHEPNFGWKEFAIPSLTRESRLCDGSTNPMLEPVCGSAFGLKFNDATCDLYIADVYFGLLMVGPKGGVAQVLVNSFEGILFRFINGLDIDINTGVVYFTDINIILQRRYFFSLRSSTDRTGRLFRYDPRTKKASVMYKGLMFPNGVALSQNRSFLLVAETIRMRILKFNLRDKGVKYDGEGSILQELDGNGGAIFNSISEVNEVNQTLYIRSIVKPYVGILKF >KJB34496 pep chromosome:Graimondii2_0_v6:6:27881212:27881971:1 gene:B456_006G068900 transcript:KJB34496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDGILECGINNHSSLPSTHKRPDSSAASRDRFLEGITVLLSRWHGLQMAVQNQWGGLDSFQKSQQLATDVFSWFIQSKAHRVEDLENLLHESMLLSLNTDIEDGSIEEVAEQLMIMHEEYLHGNH >KJB37506 pep chromosome:Graimondii2_0_v6:6:46302790:46304339:1 gene:B456_006G207800 transcript:KJB37506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEIYHPFGFNNGVDIGSSLSQIILSGGSNTLDSVFSHCASLPTAIATNSVYEPLGSSVYLRQRDLLQKFCHENKGNPSFSGKSVKGLLQNYPNPPSTTNYLSPPQKKKLYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDTAETAAYAYDRAAYKLRGEYARLNFPNTKDPSELGFADCAKLNALKNTVDAKIQAICQKVKRGKARKKAKRSPEAEKQAKVPEPCSSSSLLSPAVFSENLSNEWPSPSVSEDGFWRCGNSPSSVLNDYPSMITEEPMFEDCSLARMPSFDADLLWEILAN >KJB33626 pep chromosome:Graimondii2_0_v6:6:5985398:5988138:-1 gene:B456_006G022800 transcript:KJB33626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKALLHLGWWSWHGNDTRGHCRWKGMACNKAGSITRIDCSWVAVSGSISPEIGALSSLKSLDLSHKGLTGELPQSLGKLTLLEKLDLSNNFINGSIPLDWGNMKSLKQLDLSRNQIRGPIPPTLGKLTNLEQLDLSFNQITGAIPREIGNLKNLSELYLSKNELNGWIPLSIFNLSRLSLFYLDSNLLEGPLPQEMENLKALTFLDLSNNKLIGPIPSQIGNCSVLEGLYLSNNRITGSIPFEVFTCPYHTLDLSHNFIEGEIPHQFDNGLYLQVLNLSYNNLTGMIPKCFWFLETLNLSYNSLEGPIPEDFSHRFSSDSFWGNKYLCGNLSDFSPCPSKSSNKLKIVEIILPVLCFLAFLGLGVLLFLRFRAKDNIPEPNVTKNGDLFSILNFDGRIAFEDIIEATNDFDIRYCIGTGGYGSVYRAQLPSGKIVALKKLHRREAEVLAFHKSFKNEAKILSEIRHKNIVKLHGFCLHNRCMFLIYEYMARGSLFCVLAYDNEAMEMDWIKRVKIIKDTACALSYLHHDCHPPIVHRDISSNNILLNSNLEACVSDFGTARLIDPDSSNQTMLVGTYGYIAPELAYTMVVTEKCDVYSFGVLALETLMGKHPGELLVSLSASFSKNIMLSDILDPRLSLPSDRRVAKDIVFAATVAFACLRSNPKFRPTMKCASQEFLSRKRPVANRLQAISLLQLKEHDLYMDDEAKFQSQKSGEIVGLHASSST >KJB36079 pep chromosome:Graimondii2_0_v6:6:39671087:39673837:-1 gene:B456_006G139700 transcript:KJB36079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAAAAPIPRLTAITFLFLLPIVIQSHTPTISVRDFGATGDGKHYDTAAIQSAIDSCRTKPCYVTFPTGTYLTATIFLKSNVILDIPKGSTILGGTKLEDYPKEFDRWYVILAENARDVGITGGGVVDGQGLEFVVKFDERKNVMVSWNQTGACSGDECRPRLVGFLDSTNVRVWNVTFRQPAYWCLHIVRCENTSIHDVSIYGDFNTPNNDGIDIEDSNNTVITRCHIDTGDDAICPKTYTSPLYNLTATNSWIRTKSSAIKLGSASWFELNNLVFDNITIVDSHRGLALQIRDGGNVSDITFSNINISTRYYDPSWWGRAEPIYVTTCPRHSDSSEGSISNLNFVNISAVSENGIFLSGSKGGLLRNLRFININLTYKRWTKYMGGLVDYRPGCQGLVNHSTAGIIMEHIDGLDVENVNMRWFDGRTVQWDNPLDFRPSTVNNISLLNFHSGVYKQGRGSSEQIPLFGSN >KJB37120 pep chromosome:Graimondii2_0_v6:6:44882268:44883654:1 gene:B456_006G191700 transcript:KJB37120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMDELMGLLRIHVKRGINLAVRDVRTSDPYVVVRMGTQRLKTKVINKDINPVWNEDLTLPITDPGEPIKLTVFDYDTFSMDDEMGDAEFDIVAFVQALRMDYADFPDGTILAKVQPCRKNCLAEESVVVLREGKVLQDLCLRLRNVECGEVELQLEWIEVPGCKGVSATPTPTA >KJB37283 pep chromosome:Graimondii2_0_v6:6:45460707:45462326:1 gene:B456_006G197300 transcript:KJB37283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPQFSMLPFLMVVVMLSLSGTTLSHPHWDFLHCLSLRLANYSTISKVIYVQNNPSYSSVLNASIHNTRFSTPATPKPFAIITPLKTSHVRSTLYCSKKHGFQLRIRSGGHDVEGLSYVSEVPFVILDLLNFRAIKVDTKNEVAWVQSGATLGELYYAIAAKTNTLAFPAGMCHTVGAGGHFSGGGYGTLFRKYGLAADNIIDARMIDVNGRILDRKSMGEDVFWAIRGGGGNTFGIVLAWKIKLVPVPAVVTVFTVNKNLEQNATKIFHRWQYIAHKLPDDLFMNVWITKVNSSQVGMKTVQATFRGMLLGGVDELITLIQDKFPELGLAEENCNEMSWIESVLYFGGLPLQSVDILLDKNALPTLSLKAKSDYVKEPIPESGIEGLMSMFLEEEADNAVAMIPAFGGKMDDIPENELPYPHRAGNLFEATYIVQWTKEENAESGKYISWSRRLYSYMTPYVSKSPREAYVNYRDLDLGINNNDYTSYEQASIWGFKYFKNNFKRLVQVKTKVDPMNFFRNEQSIPPLLSPWKKKGN >KJB35082 pep chromosome:Graimondii2_0_v6:6:33968713:33969473:-1 gene:B456_006G099300 transcript:KJB35082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVVAVATDFQPETSTKKCAKKCGSRCIVELLPGRIALCFVLCMIKCKLVPTQVVYNCTSDCANSFLHNNPNADRKEVRLHVDQCYERCRNKI >KJB34351 pep chromosome:Graimondii2_0_v6:6:22319937:22322427:1 gene:B456_006G061300 transcript:KJB34351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQPEQPVVEDDDDNDDEDDDDDDKDKDDAEASSQASSVFVLNLIDFDLCLDSFLSFVIDIGATAMNQNPGTFKSRVLDGFRYLFIFVLCYMNWSVDVGNSICMTCLHDVDGTGRSKQSRSEKKSQKAMLKLGMKSIPGVSRVTIKKGKNILFVISNPDVFKSPASNTYIFKAPDLNHVISQPEPSTMAQDDEEVDETRVEPKDIELVMTQAAMSRSKAVKALKAADGDIVSAIMELTTQIRMKLTKSVSRA >KJB34923 pep chromosome:Graimondii2_0_v6:6:32751456:32751734:1 gene:B456_006G091300 transcript:KJB34923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVESMVSTPYRRSVPMRKQPHEFGSFSTLVWRHRFLLTTFGFLAFLCTIYLYFAVTLVASDTCSGLKGTQRATCQLRHATSMMYDGRLKFL >KJB35084 pep chromosome:Graimondii2_0_v6:6:33992982:33995636:1 gene:B456_006G099500 transcript:KJB35084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESLRTAARTGNVSDLYSLIQRNGNVLRSFDEVEFTETPLHVAAEEGCIGKLNQQGLSPIHIAVKKGHKEMVLRFLEIDKDLVRVKGKKGKTPLHLISKVGNPDGLVDRFLEVCPQSIKNVTTRNRTALHIAAEKKRLDAFQVLIRTLKKKDYCHEVVNRKDEDGNTALHIAAINNQPQMLKLLLECKADKHETNEAGLTALEAAHQQNNIESISILRDSFSPRVSNFKYKLKKQIGKYVAKASSLIFDDVDNISSEDRSTLLIILGLLLTASFQVTLSPPGGVLQGENFSKSKGSYDANAPGKSVLGEVYFLRFFVPTYVVFMTAFFLTVAPLKPFPVGLRTTLQILLAFLAICFNQSVSIIAPTDLARYVIYIFSIFFVFLLVLMSIVYRASKFITSILGFWLFPWLLIFLFNEFSQGTILIVGYCLYFIILFLGGWLFISLYRVPFRNGLVHPCPSRVPFTRSSHTLMCLAVWTQNVP >KJB35240 pep chromosome:Graimondii2_0_v6:6:34868246:34870270:-1 gene:B456_006G106200 transcript:KJB35240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSSHKVDRVPQKFSYLLELSASNDLIGFRNAIEREGRDIDERSFWYGRRMGSRKMGFEERTPILIASLFGSKDVVNYIIGSGSVDVNRASGSDGATSLHCAVVGGSYNSTEVVRTLLNASADTNALDANGNRPSDLIAPAYNLSFSSRKKILESLLEGSGNVSEIYGLPAKIEACNSTRRVLKDGVEKKEYLIDVTLPNIKNGMYETDEFRMYNFKVTLCSRAYSHDWTECPFVHPGENARRRDPRKYQYSCVPCPEFRKGSCKQRDNCEYAHGIFECWLHPAQYRTRLCKDETNCNRRVCFFAHKPEELRPVYASTGSAVPSPRSYSAAGSVLDMGSISTHALGSPSVMIPPTSTPPLTPTGTSSSTTEMTWPNQSNIIPTLQLHGSKLKAARCARNLELNIEQLGRESHLRRQKQQLMDEIPGLSSPTNWNNPLSTTSAFSASTDQTSELNRFRRMKPLDLTSLSQLQGISLDASPHQLQSPTGVQMPQNFNEQLHASYPTSLASPPMSASQSFRVDPSRPTATTVLSPRPIAFANWSQGFMEHTTVNHHLGFSSPSSSASAMPSKFSNSSLLDGKLEWGVQGDELNKLKKPSLAFQSSDTNFGNPSQSMSPTSNEPNVSWVQSLVKDTSSEQLSFEDEQQQQYQVNTRDLAMFPTWVEQSYMEQEQMMA >KJB35194 pep chromosome:Graimondii2_0_v6:6:34554740:34559537:1 gene:B456_006G103600 transcript:KJB35194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYSPKFPVQWLPFMVMVLSILLTGISAGRTDIPRLSPTRGVIIEHPDFLSSATVSDLRTFYYPQTLDHFNYQPQSYATFQQRYVMNFKYWGGAKKSAPVLAYLGAEGPLDGDLTVIGFLNDNAVRFNALLVYIEHRYYGKSIPFGSREEAFKNASTLGYFNSAQAIADYAEIIMHIKNKLRAFYSPVIVVGGSYGGMLASWLRLKYPHVALGALASSAPILYFDKITPRGAYFSVVTKDFREASETCYQTIRNSWSVIDRIASQPNGLSTLSMIFKTCKPLKSSSELKNELENMYAVAAQYDRPPRYPVTVVCGGIDGANEKQDILDKIFAGVVAYKGNRSCYINPPTNKSETDVGWRWQTCSEMVIPIGIGKRTMFQPEPFNLNYFLQECKSLYGVPPRPHWVTSYYGGHNIELVLHRFGSNIIFSNGLRDPYSRGGVLENISESILAVHTVNGSHCLDILPEMESDPEWLVKQRETEVKIIKGWMAQYYADLKAIQIKP >KJB34584 pep chromosome:Graimondii2_0_v6:6:29509466:29510298:-1 gene:B456_006G074000 transcript:KJB34584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMNKRFSGESLVTIVLVLIAVQVDGFFPFDYESEFAQNFDENSLLSESQVYEPQSHYFHEVNPEETSLLSQSDLPHDSFETQNFESTNLPFETQQFPFEINPNHRIKSPSPSPLQVSSPAPSPVEVPSPAPSPIEAPHPPKPNPENTCKLKCSTKCLKQDIPILHNLCNKVCKLRCLYHYADLIYTCTHRCAESMPNNFKSDKKKGAAYVKYCYKKCIKNF >KJB33250 pep chromosome:Graimondii2_0_v6:6:836927:839260:-1 gene:B456_006G003900 transcript:KJB33250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTRILYTLKKGIFHPFSFHILFVPLISFEAKMGRAEWVIEVDEDLKTMVASETEMKHWMKRSIYKVPPYITDLNNKAYIPQVVSFGPYHHGQPHLNAMEDHKQRALLHFLKRSGKPLELFVESLDKDLQQLRDCYELLDPMWQDDDHKFMQLMILDGCFMLEILCFDTHTMEDYAETDPIFSNHGKIHIIPFIKRDMLMLENQLPMQLLHSLVAVDSNGTKDEEFMNKLILKFYSSNTAVSCVGSCLHVLEVYRKSLLPDIPSCRQQRKRHWHRRPHYEDGDDIIRSAMELNEAGIRFKKSKTISLKDITFRGGILKLPVIIIDDATESMFLNLVAFERLHVGLGNEISSYILFMDNIIDNEKDVALLHSKGIIQNALESDKAVANMFNSLSKDITLDPNNNLYEVRKMVNGYCKKPWNEWRANLIHTYFTNPWAILSLIGVIFLFALTIAQTVYSILSVYNN >KJB33909 pep chromosome:Graimondii2_0_v6:6:10582799:10583161:-1 gene:B456_006G038000 transcript:KJB33909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSVSKCEEGRGSSACYQFRIVHRKNGCRAVVDNILSLSSNSKPLPEGGDGETHAKERNKNVKKMNSEGKKGPEKEKEGFDKGKDDGDGHDSFELPRSPSFKIFCSASLREKKNSPVPF >KJB37515 pep chromosome:Graimondii2_0_v6:6:46355267:46358646:1 gene:B456_006G208300 transcript:KJB37515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRFSNVGFPANYSLNAFKILGNSMQVGGTGGAYNMDTVLRLDSAGSSVPYMSASKGIKRKWSSMDRSIRGQTGSLLALGLGRSSSSSDSKGSSTTACTTTSSAKEADEESSMDIELDFILHLGNEKVINPKKSASSSPKGLDLQRNVDLELSLSSGLSESDITSVHLSSSPSQSVMKMPTAVEGSPNEDERSTSCHWKPGIGLPSFQSLPAKEASAFCKEVPRGIDLSPIVPELSSSVITTPKSSVTCTSGMTRQQQPQHRSSSSKTCQIEGCVRGARGASGRCISHGGGRRCQKPGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCGHDGCTRAARGKSGLCIRHGGGKRCQMENCTRSAEGLSGLCISHGGGRRCQFIGCTKGAQGSTMFCKAHGGGKRCTYPRCTKGAEGSTPFCKGHGGGKRCAFQGGGVCTKSVHGGTNFCVAHGGGKRCVMPECTKSARGRTDYCVRHGGGKRCKFEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSEYGNQPSGPCNYFARGKTGLCVLHSSLVQDKRVHGGATLGPIVEDQKLSKSGEMKEIVNAMDANVDTRNIWSDVEASASTSCSSLNQYGVPNACNSVSKGGFSVSAPEGRVHGGSLLAMLAGGSCVGSANSAGFTGNPAGPNKSIKPPQNWM >KJB36625 pep chromosome:Graimondii2_0_v6:6:42716073:42719350:-1 gene:B456_006G167600 transcript:KJB36625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEAFSLPAASIGGFIQDPSNANPNPKPNANPAKKKRNLPGTPDPDAEVIALSPKTLMATNRFICEICKKGFQRDQNLQLHRRGHNLPWKLRQRTNKEVRKKVYICPEKTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARLTSVAATSLNFRNDTVNLPHGFAGRGVQDVAGIPQFGSGFPQDFSGMPAPGLPEMVQMAPANVFGSSSHFPGFENGGATSSNSNNLSLSQLPQGLKEEGRNEGNLMESLSSLYSDNQNKQSKANASPMSATALLQKAAQMGSTRSNSSFFGNSFGVMSSSSSHTAPTFMASSSASMSATSNKLDKLMLQTGGKPSEPTLLSMHHPGSNSFAQSLTRDFLGMSNDQSPRPFLPQELAKYAAIGSTMGLSQFTSSNH >KJB36882 pep chromosome:Graimondii2_0_v6:6:43780810:43782214:-1 gene:B456_006G180400 transcript:KJB36882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRSLEDFWAFYVNQHSKPSTRRWHFAGTLMSIVLFIYSMLFNWWFLVFVPICGYGFAWYSHFFVEGNVPATFGHPFWSLLCDFRMFGLMLTGKMDREIKRLGKRPILQGF >KJB36982 pep chromosome:Graimondii2_0_v6:6:48536533:48537058:1 gene:B456_006G237900 transcript:KJB36982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHRFWLSIIFMVALGLGHLTDATLRFGMLPKGVPIPPSASSTSLPFPPMILTSLSLSKSLKFGMLPKGVPIPPSGPSRHTSDSPPPPPPLTSTSLSISKSLNSGMFA >KJB33555 pep chromosome:Graimondii2_0_v6:6:4075329:4076944:-1 gene:B456_006G017400 transcript:KJB33555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKAGLKKGPWTPEEDIILVSYIQQHGPGNWRAVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTDHEEKLIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKINGSESYSREGFSSEKHQISRGQWERKLQTDIQMAKQALSDALSPDKSSGLASKPRGYASSTENIAKLLKKWMRNPPKPASSDNMGGIGTPWKENKSSNSIEMSEVFESLDVFESFDSSNSDFSQSLSPDQARLFQDESKPDVNELGQLTLLEKWLFDDGANQGKDDQLSDIKLDGNAIFF >KJB38565 pep chromosome:Graimondii2_0_v6:6:50188924:50191780:-1 gene:B456_006G261300 transcript:KJB38565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICRRILLKRVWNNGRRWLVPSSSLSPVPTTADYKLHFSTHIMAAFSFPQNNQFTLKIPYMENICKFSSNAVADLHTNGIVDVPLAQTGEGIAECELLKWFIQEGDEVEEFQPLCEVQSDKATIEITSRYKGRVAQVLHVPGSIVKVGETLLKMAVEDTQVPLVTLSNLEKEKQPDTKSNKDFTGGVLSTPAVRNLAKEHGININDVQGSGKDGRVLKEDVLKYATQEGIIKDTLVTATADLGQLLHREKSSLLESAQVSGHYEDTIVPLRGFQRTMVKTMSMAAKVPHFHYVEEIDCDALRELKASFQTNNLEPGIKFTFLPVLIKSLSMALSKYPMVNSCFNEESVEVILRGSHNIGIAMATPNGLVVPNIKNVQSLSILEITKELSRLQQLSLDNKLSPADISGGTITLSNIGAIGGKFGAPIVNLPEVAIIAMGRIQKLPRVADDGDVYSASIMTVNIGADHRVLDGATVARFCNEWKRFIEKPELLMLHMK >KJB38055 pep chromosome:Graimondii2_0_v6:6:48314405:48318634:1 gene:B456_006G234900 transcript:KJB38055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETENGYSPATHTAMNAVQAIGKGFDVNFDTRLLYCKGVTGSKIVEIDEGHGRDIYLYDQTVLPNVSRDIKNSQEPIGRLSSGVFNFNEMVEYFNKNANVSGSFPLGSFNSAFSFTGSTNINAATTKTLSMDGFYIPLAKFHLIKSPLVLQENVKRAVPTSWDPSSLSSFIENFGTHVITSVTIGGKDVIYVKQHPSSPLSTMEVKTYVQDIGNHRFSDKDSLTSSGQIKLKDKGLDTGIFISQGIYPQPTSLPSLNGKEDVTVIFRRRGGDDLEQNHTQWAKTVQSSPDVIEMTFYPITALLDGVAGKEHLIRAISLYLEYKPPMEELRYFLEFQTPRIWAPIQGTIPGHQRKEPICPSLRFSMMGQKLYVSQEQISVGRKPVTGLRLCLEGIKQNRLSIHLQYLVSLPKILLPHWDTHVAIGAPKWLGPEEQDSRWFVLVKWKSFSHVSTAPIENPESSIGDLSGVYIVTGAQLGVWDFGSRNVLYMKLLYSRIPGCTVRRSFWDHTPDDKPKKVVATAGSSGSEGNIVNKLAKFVDMSEMSKGPQDPPGHWLVTGGKLGVEKGKIVLRVKYSLLNY >KJB35550 pep chromosome:Graimondii2_0_v6:6:37002795:37004039:1 gene:B456_006G119700 transcript:KJB35550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYSILVVLVIVLLSTEAAIVHGQGKGNNGNGNNNGNGYGNSNSNGKGKGNSNGKGKGDSDDGKGKNKGNGDKKKNKKDDDEVNYDMSSSGTGQERAYCKGKSACYQKTLVCPSECPQRKPTKNKKQKACHINCGSKCEATCKWRKPKCDGYGSLCYDPRFVGGDGVMFYFHGAKGGNFAIVSDDQLQINAHFIGTRPQGRTRDFTWVQALAVMFDTHTLVIAANRVSHWDDNVDALSVRWDDETVTIPYDGEGEWRKGNGDERQVLVERTDDKNSLHVKISGLVEMDIRVRPIGKEENKVHNYQLPDDDAFAHLETQFKFTNLSDEVEGVLGKTYQPDYVSPVKRGVAMPMMGGEDKYQTPSLFSPFCKACRFQRPSGSVATI >KJB35196 pep chromosome:Graimondii2_0_v6:6:34617802:34626119:-1 gene:B456_006G104000 transcript:KJB35196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGLHNVSVLENSFPRESQSQPSRSRRRENGSTRASSILQMWRELEDEHVVSHAQERGSKRMLQKRTDDLSMTDSDSQNGEHIVVSEDMSTSVNEFGQWSQDRFRSRSGNADSSNFNCEHSSDLGEVERERVRQIFREWMNSGGRERTSNVSRRNNSSRAQLLDETEQERIRPIRECVQMNSQQRGACIQSREEQAADAGAGIEHVLDGLEINQNEDRTEHVHRAIRKLCGRQALLDMLKKAAGERQTEVQGLLELRAVSNFAHRKRIQSLLRGRFLRNDKRVAGDKSTSIAASELGLLRQKQTVSGLREGFFSRLDNSGCGPASSKYCDTPSNSDTKGNRTEQNHVDDSNEVIDILNGQSERENKETDNQSVLDGITDLVADVVEDVSWQDQSGDVTGQVLDGDWKETNASESSLEQNEAREHCNIKEVGEASHEHFPQDGESGTFGLINVVENLEQNLVQYIDGQEPASQVEQLQEDDQENEDAMWQEASVEYNVSMDGHNEEASGMHHEDGGNDDGSLLETTRNWLQWSYDQEPGGRADAFYFPEDNVESMELRELLDRRSVSTLLHSGFREILDRLMQSFRERRNHLYIDLELNETSATPASVEQDVEQQSRAQSEGQGDAEVPPLALPSPRMPYTPASVEQYIEWHTRDRNEGQGDVEVPPLALPSPRMTYMQPLWDQDSYHYNWVPHDMHQQFGIEWDIINDLRLDMARLQQRMNGMQRMLGACMEMQLDLQRSIRQEVSAALNRSAGSRGMIDDSSSKDAHNWDNVRKGLCCICSKGNIDSLLYRCGHMCACFKCGNELVQSGAKCPMCRAPVIEVVRAYSIQ >KJB34132 pep chromosome:Graimondii2_0_v6:6:16664476:16666907:1 gene:B456_006G049100 transcript:KJB34132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVDDECKLKFLELKAKRNYRFIIFKIHNQQVVVDKLGTPAETYEDFTAALPKDECRYAVFDFDFTTAENCQKSKIFFIAWAPDSSRVRSKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIIKARAI >KJB38160 pep chromosome:Graimondii2_0_v6:6:48682301:48683196:-1 gene:B456_006G240000 transcript:KJB38160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDSHLSSTFKQRGEMAMEIESVRCECCGLKEDCTQDYINEVKSKFEGKWLCGLCSEAVRDEVSRNKKQQFGVEEAVEAHMSFCGKFKSNPAVRVADGMRQMLRRSGDFSNNRNSPSSSSSSSSSKKFTRSATTKLY >KJB34975 pep chromosome:Graimondii2_0_v6:6:33128618:33129631:-1 gene:B456_006G093600 transcript:KJB34975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAFFVIFGQSASTLLGRLYYDKGGKSVWVATLAQLAGFPIVILCYCLAPPKSCTKNEQIRQPSAVILALVYFSFGICLVAYSLMYSIGLLHLPVSTFSLICASQLAFNALFSFFLHSQKFTPFIINSLVLLTISSSLLIFQTNPASHVEVPKGKYAIGFICTIGASAGYGLMLSLTQLTFRKLLKGETHAKVFEMVIYQSLVATSAAILGLFISGEWKGLSIEVEEFELGKFSYAMTLIETAIASQLFVIGAMGLIFKVSSLFSNAISVLGLPVIPILAVIFFHDKMDAVKAIAMVLAIWGFVSYVYQCYLDNQSSKNEYRNGSEVSKSPLLEEAS >KJB33327 pep chromosome:Graimondii2_0_v6:6:1368554:1377289:1 gene:B456_006G006800 transcript:KJB33327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCVCKASSFTPATLSSLSFPLNNNPPCFSNKYRISFSTVKTQAKTCLLTTSLVKRGGDFGGKDDENENEYRNKRVHCEVEVISWRERRIKAEILVSADIDSVWNALTDYERLADFIPNLICSGRIPCPHPGRIWLEQRGLQRALYWHIEARVVLDLQEIPNSSNGRELLFSMVDGDFKKFEGKWSVKSGTRSGTTILSYEVNVIPRFNFPAIFLERIIRSDLPVNLRALACQAEKNFHGNQKMLIAKDLIRKSLPVLSSPGIDSNGALLGKDKPPSVTGPLPSSSELNSSWGVFGKVCRIDRPCVVDEVHLRRFDGLLENGGVHRCVVASITVKAPVREVWNVLTAYESLPEIVPNLAISKVLSRENNKVRILQEGCKGLLYMVLHARVVLDLHEQLEKEISFEQVEGDFDSFQGRWLLDQLGSHHTLLKYSVESKMHRDSLLSEALMEEVIYEDLPSNLCAIRDYVEKREAKISLETQENRQLYGQQSSSSNGNETAYSDTGEEDEGSNSANSLRQRPRVPGLQRDVEVLKTELLKFISEHGQEGFMPMRKQLRLHGRVDIEKAITRMGGFRRIASLMNLSLAYKQRKPKGYWDNLENLQEEINRFQGSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLSLKVRQKHPSRQGQTSAKEKQVDNIAASRGIESEEKTPISKPYVSQNTQKWLTKLKGLDINWVE >KJB36383 pep chromosome:Graimondii2_0_v6:6:41510811:41514348:1 gene:B456_006G156300 transcript:KJB36383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILMAFQNPEVDILGLTTVFGNVRTEDATRNALLLCEIAGCPSVPVVEGSHEPLKGGRPRVADFVHGSDGMGNIFLRPPKTEKSDKSASEFLVEKVSQYPGEVSILALGPLTNVALAIKRDSSFPSKVKKIVVLGGSFFALGNVNPAAEANIYGDPEAADVVFTSGANIVVVGINITTQVQMTDDDLLKLKQSNGKHAQLLSDMCKFYRDWHVRSDGVSGIFLHDPVSFVALVRPDLFTYKKGVVRVETQGICVGHTLLDQGLKKWNGSNPWTSYSPVSVAWTVDVDEVLNYVKRMLMKP >KJB36384 pep chromosome:Graimondii2_0_v6:6:41510811:41514348:1 gene:B456_006G156300 transcript:KJB36384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEMEKNSHDGVVVNGGNDGGLGLHAKRKKLIIDTDPGIDDSMAILMAFQNPEVDILGLTTVFGNVRTEDATRNALLLCEIAGCPSVPVVEGSHEPLKGGRPRVADFVHGSDGMGNIFLRPPKTEKSDKSASEFLVEKVSQYPGEVSILALGPLTNVALAIKRDSSFPSKVKKIVVLGGSFFALGNVNPAAEANIYGDPEAADVVFTSGANIVVVGINITTQVQMTDDDLLKLKQSNGKHAQLLSDMCKFYRDWHVRSDGVSGIFLHDPVSFVALVRPDLFTYKKGVVRVETQGICVGHTLLDQGLKKWNGSNPWTSYSPVSVAWTVDVDEVLNYVKRMLMKP >KJB36716 pep chromosome:Graimondii2_0_v6:6:43164736:43167062:-1 gene:B456_006G172600 transcript:KJB36716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCWSHRIKSVSPSTGVNSRNASRNGNNLSSSSSRESSASIPQTPRSEGEILQSSNLKTFTFSELKAATRNFRPDSVLGEGGFGSVFKGWIDEHSFKATKPGTGIIIAVKRLNQEGFQGHKEWLAEINYLGQLHHPNLVKLIGYCLEDEHRLLAYEFMVRGSMENHLFRRGSHFPPLSWGIRMKVALGAAKGLAFLHNAKTQVIYRDFKTSNILLDSNYNAKLSDFGLARDGPTGDRSHVSTRVMGTYGYAAPEYLATGIGSRLKTWSMFGCYMYLLDSHCN >KJB36715 pep chromosome:Graimondii2_0_v6:6:43164730:43167434:-1 gene:B456_006G172600 transcript:KJB36715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCWSHRIKSVSPSTGVNSRNASRNGNNLSSSSSRESSASIPQTPRSEGEILQSSNLKTFTFSELKAATRNFRPDSVLGEGGFGSVFKGWIDEHSFKATKPGTGIIIAVKRLNQEGFQGHKEWLAEINYLGQLHHPNLVKLIGYCLEDEHRLLAYEFMVRGSMENHLFRRGSHFPPLSWGIRMKVALGAAKGLAFLHNAKTQVIYRDFKTSNILLDSNYNAKLSDFGLARDGPTGDRSHVSTRVMGTYGYAAPEYLATGHLTAKSDIYSFGVVLLEMLSGRRAIDNNRPSGEHNLVDWAKPYLTNKRRIFRVLDTRLQGQYSLTRAQEAANLAHQCLAVEPKLRPSMDEVVTALEKLQETGDMPKRNQKERRGNAHNRSNGKPTTYPKPSASPLHV >KJB35210 pep chromosome:Graimondii2_0_v6:6:34673662:34674342:-1 gene:B456_006G104400 transcript:KJB35210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKAEKAPAEKKPRAEKKLPKEAGDKKKKRSKKSIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KJB34059 pep chromosome:Graimondii2_0_v6:6:14346312:14348605:1 gene:B456_006G045800 transcript:KJB34059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTPNILLLEPPLFMKLARPISRRFTSFSSRLKPDRVTKNPLSITVAKELHAYLIKTHRHDDSSSISSVIRAYCLAPFHLHKAYLVFNQIKLPTLLNFNLMIRGFSQSTQPIEAIKIYYKMYCFHGFSGDNMTFIFVFKACARVLDIKTGQKFHVHAVKLGFDSYLFVANALIHMYSSCGDLDFARKVFDTMGKRDLVSWNSLICGYSQCKKFKELLGLFNAMQEDNVKADAVTMVKVILACCYTDNMGLADSMVKYIEENCIHVDVYLGNTLIDMYGKRGLVNLAREVFDRMPERNLVSWNALIKGYIKAGQVVEARKVFDNMKQRDVISWTSMITGYSQVNQFKDALKLFQDMMAAKVTPDEITVATVLSTCANLGVLDVGQAVHDYICKHGIRADVYVGNSLIDMYFKCGVVKRALEVFHDMKEKDSVSWTSVISGLAVNGFADSALEYFSQMLKDGVGPTHGCFVGILLACTHAGWVDKGLEFFESMEKVHGLTPEMKHYGCIVDLLSRSGYLERAYEFIKKMPVHPDVVLWRILLSACKLHGNVVLAEIATNNLIERDPSNSGNYVLLSNTYAISDRWDAATKMRELMDGNNVQKPSGWSSIEVDR >KJB38563 pep chromosome:Graimondii2_0_v6:6:50170073:50172119:1 gene:B456_006G260900 transcript:KJB38563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNAIIGICAVFLVALVVAVVVGVTHIKNKNDGEEISSSNKAVQALCQPTNYKETCQKSLASSNSSDVKELIRTGFQAGLVEIKNVLAHSVTVQELIKDENNKAALGVCQEVLDLAIDDFQKSFDMLGEYDMSKIGKYLLELKTWLSGAFTSQQTCIDSFAESSNESSQKMQSILKTSMEITSNALAMLNGLSTIVKELNIPNIGNIDTTGVNRKLLSAEDMPEWISQADRKLLQAKPMDLKPNVVVAKDGSGKYDTINKALAEVPVKSPDRFVIHIKAGTYKEQINLTKKMTNVVFIGDGPTKTIITNDISVVKNPPVRTYRTATVAADGAGFMAKDIGFDNSAGPEGHQAVAFRATADRVIMFNCHFTGCQDTLYAHRERQLYSNCLITGTVDFIFGDAASIFQNCMLVVRKPGPGQNCMVTAQGRNDLGTNSAIVLQNCTISGAPDYIPVKDTNKAYLGRPWKQFARSIIMQSRIDDIIQPEGYAPMTGTIGIDTSFIAEFGNRGPGADTSRRVAWKGIKKIDINEANKWTPRVFLESETWIPSSGVPYSPDMVPGV >KJB34726 pep chromosome:Graimondii2_0_v6:6:31489021:31490164:-1 gene:B456_006G083000 transcript:KJB34726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWFKHPFFSKYFDQFHKKERKNMGSISKSFLLLALLAATVLLISSEVAARDLAETTTEKNNGEVATETTEAEAEVEEAKYGYGGYGSRGGYGGYGGRGGYGGYGGRGGYGGGYGGRGRGRGGYGGGCAYGCCHSDYYGRGCRRCCAYVGEAVNVETHADPLY >KJB35387 pep chromosome:Graimondii2_0_v6:6:36086807:36089240:-1 gene:B456_006G112600 transcript:KJB35387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRMSVRYVSRRFSSGSGKILSEEEKAAENIYIQLYCCRKLSERNWRNLHARDPSQRRNRMLVQGVLRPMVALPLHREHLLRKYQPISTETTGFSLVLQPLVLLWDGISTLKTRSKRPEMGVNAIGFSRWNMA >KJB37448 pep chromosome:Graimondii2_0_v6:6:46201205:46202187:1 gene:B456_006G206200 transcript:KJB37448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCAKIMILLFLLVCLHSFTSGNKGSDYAANSATNEGTVQVQMRKLILNVDIQRDYGPVTSNPKHEPGPPRGKSGTTSTAGGGR >KJB33225 pep chromosome:Graimondii2_0_v6:6:548228:548476:-1 gene:B456_006G002100 transcript:KJB33225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSSTTLPSTSIAFLILFFMLHMSCDARILKIPETHMHSKQFLLHKLGFDLHQLKRYENNSTHVPISDRVSPGGPDPQHHF >KJB37964 pep chromosome:Graimondii2_0_v6:6:47953866:47957276:1 gene:B456_006G229000 transcript:KJB37964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALFRSLRRASRLSDSILRHSFSLSSQATIFSPSKLLVLPNPTTLPWVSRHLSHGTVNLVISQGKPKFETHQFDPPKKEKWKTKKRFKLQKKREKEKRKAANRRDPRRLGLTRKPKKKFANAEERIKYKLDKAKVKEGLLLERLKRYEVSKVQGPVVKPHELTGEERFYMKKMAQKRSNYVPIGRRGIFGGVILNMHMHWKKHETVKVICKPCKPGQVHDYADEIARLSGGIPVQIIGDDTIVFYRGKNYVQPEVMSPVDTLSKKRALEKSKYEQSLDSVRRFIAIAEKELELYYRHVALYGDPNNRNPISILDSPTREDKETETLEKDSHDLTPTRFSSGISAKKVDPIDEELSETEDDLKGEDLPMRGSDSEKEVSCFDEESEGITSGLHESDEEEEEDWSLSDSCCDEDGEGSSYTELGSFNVSQRYDSKQLNS >KJB37401 pep chromosome:Graimondii2_0_v6:6:45929055:45932813:-1 gene:B456_006G203100 transcript:KJB37401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMGSSSSETKLLQELILYAASAALSCLVLFAGLRHLDPNREASKKALEHKKEIAKRLGRPLINTNPYEDVIACDVINPDHIDVEFESIGGLEAIKQALYELVILPLRRPELFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRNTDHEAMTNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGLPDRRERVEILKVILKGENIEESINFDYIASLCEGYTGSDILELCKKAAYFPIRDLLDEEKKGKSSGVSSFSYVSLLRSCVVFQKVLLSKLCYLNLGVNV >KJB37400 pep chromosome:Graimondii2_0_v6:6:45929021:45932871:-1 gene:B456_006G203100 transcript:KJB37400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMGSSSSETKLLQELILYAASAALSCLVLFAGLRHLDPNREASKKALEHKKEIAKRLGRPLINTNPYEDVIACDVINPDHIDVEFESIGGLEAIKQALYELVILPLRRPELFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRNTDHEAMTNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGLPDRRERVEILKVILKGENIEESINFDYIASLCEGYTGSDILELCKKAAYFPIRDLLDEEKKGKSSGDPRPLSQADLERVLATSKKTGVAANEYTRLNSQLPGWSRQNHSESDDYQVQAAINELSKLVVSQIVNLQSDSQDT >KJB34315 pep chromosome:Graimondii2_0_v6:6:21691282:21692114:1 gene:B456_006G059200 transcript:KJB34315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFFLSPSSFSILFLLTILQLSYARDGFHCTSCAPFDCGNLVNISYPFWTHPYKQPSYCGYGNEGYKLKCRQNQPPVMTLSSREFYVLHLSQSHGLLTIKRVESNNTCPQPVLINNAFNYTETAENITLFYDCRNRGGPNHRFSCRKGGKETSLMFFKEDENECIGYSEQVEIPIRKKAFDDLIGGTAP >KJB36526 pep chromosome:Graimondii2_0_v6:6:42423009:42423594:1 gene:B456_006G164000 transcript:KJB36526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRPFFKKLRKGFSSSEYSASPALNHSKFNEDMSVGKAVPGDVKEGFFTVFTVKGKETHRFVIELHHLTNTEFLSLMDQAREEYGFQQKGALSLPCRPHELQEILQHSKGSNNACTESWGYM >KJB38338 pep chromosome:Graimondii2_0_v6:6:49421821:49423448:1 gene:B456_006G250200 transcript:KJB38338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPMPASNLSGGLSPSSLGGFSPANGLSSSTSCSNRSSRMSSMSFSNLSGGLSPSSLGGFSPANGLSSSTSACSNRFSPITSMSFSNLSGGLSPSSLGGFLPANGLFSSTSCSFRFSPMTLMSFSNLSGGLSPSSLGGFSPANGLSSSTSCSFRFSPMTSMSFSNLSGGLSPSSLGGFSPANGLSSSTSACSFRFRFSPMTSISFSNLSGGLSPSSLGGFSPANGLSSSTFSSNNLPGSPPSSLGGFSPANGLSSSTSSPNNRFPPVNGFLPSPSSLTTKIRRREGSRCWNSGFCS >KJB35953 pep chromosome:Graimondii2_0_v6:6:39012572:39015637:1 gene:B456_006G134800 transcript:KJB35953 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytokinin oxidase/dehydrogenase 1 [Source:Projected from Arabidopsis thaliana (AT2G41510) TAIR;Acc:AT2G41510] MGSPVCAFLKQNNIIFLRFFAILVLSCIPDGTNLCSNPSFDTPTIPPHSSSSSIPSSLKTLTLDGYFSFENLKHAAKDFGNICHYLPIAVLHPKSVSDISSTIKHILYMSSVTKLTVAARGRGHSLQGQAQAYQGVVINMESLDRPSMYIENGEVPYVDVSGSELWINILHETLKYGLSPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQIDNVYQLEVVTGRGEVVTCSDKENADLFYGVLGGLGQFGIITRARISLGPAEKMVKWIRVLYSEFSTFSNDQEHLISSNNSFDYIEGFVMINRTGLLNNWRSSFNPKDPIQASQFSSDGKILYCLEMVKYFNPEKIDVLNQDIEKLLSELNYIPSTLFLSEVSYVEFLDRVHLSEIKLRSKGLWEVPHPWLNLLIPKSRILDFAEGVFGNIVKDNNNGPILIYPVNKAKWNNRTSMVTPEEDIIYLVAFLSSALPGTDGLEHIMTQNQHILDFCAKAQLGAKQYLPHYHTQDEWQAHFGTQWETFVQRKSAYDPLAILAPGQRIFQKAISIT >KJB38736 pep chromosome:Graimondii2_0_v6:6:50751913:50755468:1 gene:B456_006G269900 transcript:KJB38736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREVLAREAINHALKALKKRHLIEEGAHAPAYIALSRPIISQGSEWKEKAENLEMELQQCYKAQSRLSEQLVVEVAESRALKASLQEKETAIAELEKELNQTRDECSQLKTDLEEKIRALELLMIEHQQLKAQLEQMAIKAKNAEAENKMLVDRWMLQKMQDAERLNEANALYEDMIERLKASGLEKLAREQVDGIVRRSEEGAEFFAESTVPSVCSHRINAHEGGCASILFEYNSSKLISGGQDRSVKMWDTSTGSLTHNLSGCLGSVLDLAITHDNRFVIAASSSNNLFVWDVSSGRIRHTLTGHTDKVCAVDVSKVSSRHVVSAAYDRTIKVWDLQKGYCTNTIIFHSNCNALCFSTDGLTICSGHVDGNLRLWDSRTGKLLSEVAAHSLPITSISLSRNGNVVLTSGRDNVHNLFDGRSLEACGTFRATGNRVASNWSRSCISPDDNYIAAGSADGSICIWSISKADIVSTLKEHTAPVLSCTWSGLGKPLASADKNGIVCTWT >KJB38737 pep chromosome:Graimondii2_0_v6:6:50752078:50755408:1 gene:B456_006G269900 transcript:KJB38737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREVLAREAINHALKALKKRHLIEEGAHAPAYIALSRPIISQGSEWKEKAENLEMELQQCYKAQSRLSEQLVVEVAESRALKASLQEKETAIAELEKELNQTRDECSQLKTDLEEKIRALELLMIEHQQLKAQLEQMAIKAKNAEAENKMLVDRWMLQKMQDAERLNEANALYEDMIERLKASGLEKLAREQVDGIVRRSEEGAEFFAESTVPSVCSHRINAHEGGCASILFEYNSSKLISGGQDRSVKMWDTTSSSNNLFVWDVSSGRIRHTLTGHTDKVCAVDVSKVSSRHVVSAAYDRTIKVWDLQKGYCTNTIIFHSNCNALCFSTDGLTICSGHVDGNLRLWDSRTGKLLSEVAAHSLPITSISLSRNGNVVLTSGRDNVHNLFDGRSLEACGTFRATGNRVASNWSRSCISPDDNYIAAGSADGSICIWSISKADIVSTLKEHTAPVLSCTWSGLGKPLASADKNGIVCTWT >KJB34079 pep chromosome:Graimondii2_0_v6:6:15209324:15210259:1 gene:B456_006G046900 transcript:KJB34079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIKSVASLSSQAAAILVLLTVAAVQTQTAKAQSCTPELTNLNVCAPFVVPGATQTNPSPDCCAALQSVQHDCLCSTLSIASRLPSQCNLPPLTSGNR >KJB38480 pep chromosome:Graimondii2_0_v6:6:49866092:49870156:-1 gene:B456_006G256700 transcript:KJB38480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSRQLRNSWMVIVLIFGTSLYSVEAEPHRILLDTDADTDDFFALLYLLKLNRSEFRLEGITISTNAWTDAGHAVNQIYDILYMMDRDDIPVGIGGEGGIMENGTIQPNVGGYLPIIEQGMTTYGGCRYRQAIPVGLGGRLDIDTNYGLRKELLPWGSRRYVPLQQLTAQRVMIDTISAGPTNVILTGAHTNFAIFLMNNPHLKRNVENIYVMGGGVRSKNPTGCCPENGTSSCQPRQCGDRGNLFTDYNSNPYAEFNIFGDPFAAYQVLHSGIPVTLVPLDATNTIQITEEFFKAFEERQGTYEAEYCFRSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSIMRNSHKNNGENEFAEMEYMNITVVTSNEPYGISDGSNPFFDGRKIPKFNLTKGGVHSGHVQTDLRDPFCFVEDGKGKCKDGYTMEVTGPDAVHVLVATKAKPNKDVSSKLDREFYISFLDVLNNLEHTGRFNLMTEFPYYREVYYKPDFRNKKGKPVVFDMDMSAGDFLALFYLLKAPVEVLDLKAILVTPTGWANAATIDIVYDLLHMMGRDDIPVGLGDVFAMNQSDIVFPPVGDCKYAKAIPHGSGGFLDSDTLYGLARELPRSPRRYTAENSVKFGAPRDTDNPELRQPLALEIWNSTLKTLDHGSKITILTNGPLTSLAKIITQTRTASFIENVYVVGGHINRSHLDKGNVFTIASNEYAEFNMFLDPFAAKTVFESGLNITLVPLSIQRKVGQFLKTLERLKLTRKTPEVRFVKHLLSRLQALQRTHKRYHHMGTFLGEILGAILMAEKHHNLKPKTEEMAIKVIAEGVESRDGQILIDKKRGNTVKILKNVDHKAYYELFANRLGDEKQSAVLGSYDEQKKMWRTPSNRT >KJB33686 pep chromosome:Graimondii2_0_v6:6:6776042:6781051:-1 gene:B456_006G026400 transcript:KJB33686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSCPSSLKIFRFVLLTRMLFAGHVSSTVTEDDIKCLKGIKNSLNDPDGKLSNWSFNNNSAGFLCNLVGVECWTEREDRLLSLQLRDMKLSGQLPQSLQYCQSLQTLDLSANRLSGTVPAQICSWLPYLVTLDLSSNDLSGSIPSELSNCAYLNNLVLSNNRLSGSIPEQLSGLLRLKRFSVANNDLKGAIPLSFENNSKVDFAGNSGLCGRPLGKCGNLSRKSLEIIIAAGVCGAVFSILSGFGSLSPTYKDAQIYLGHKYNVLEKLKTKWAEIYEINPHLEIEIVAEKAALQDPIIKHKEQKLLLGKQLQTEQVRNIELIKRMEDLQKVAKNEEDMISYAGATAEQPTTKKDKIIEKLSEIQQDLSTVINGLTNLMKGLLKNGINGGSIWHAELIL >KJB35108 pep chromosome:Graimondii2_0_v6:6:34086638:34092423:-1 gene:B456_006G100600 transcript:KJB35108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGAKGLTTAGKSTKDKDKDKKKPVSRSSRAGLQFPVGRVHRLLKTRVSANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >KJB35109 pep chromosome:Graimondii2_0_v6:6:34089240:34092423:-1 gene:B456_006G100600 transcript:KJB35109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGAKGLTTAGKSTKDKDKDKKKPVSRSSRAGLQFPVGRVHRLLKTRVSANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >KJB36758 pep chromosome:Graimondii2_0_v6:6:43336827:43336955:-1 gene:B456_006G175300 transcript:KJB36758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLPLRSILLPLIPSLTPFHAGLSSHRLKHLEKSDRRGNLS >KJB33958 pep chromosome:Graimondii2_0_v6:6:11333524:11335102:1 gene:B456_006G040300 transcript:KJB33958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQSGRSNENVVISVGALCSLKVELRDACLSMPQSYCIFKTPTILFRHKETSFLPNCFSIGPMHHGKRNLLATEKIKVKYLKALLSRVIGSRYETMSEQSKEIEEQNVLSDWIDSVEMIKKEASSCYAGRDFAAELGDEFVKTMLLDGVFVIELFRKDAEVVKPEPDDPIFSMSCMLQYLHHDLILLENQIPWLVLDLLYEKSRLPCETKSLIELALMFFANTFTSHPPPINTSRFKDENIKHILDLLRSSLVLPFQETVKKNESSWQPIRSITRLKEAGVKFVKAVPEPDSVLDIRFRDGCLTIPSLLIQETTETIFRNLIAYEQCLPKCQPKFTCYAKLLDNLIDTTNDMEILCKREIFDNWLSPADATQFFNNLYNDAFVKEFYYSELCNKLDRHCKRMWPQWRAYYVQNYFSKPWAIAAQIYAVVMLVLTVWQTYIK >KJB36087 pep chromosome:Graimondii2_0_v6:6:39698809:39702717:1 gene:B456_006G140300 transcript:KJB36087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLYGDYNQRIDYVFKVVLIGDSAVGKSQLLARFARNEFSVDSKATIGVEFQTKTLVIDNKTVKSQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDNMARWLEELRGHADQNLVIMLVGNKCDLGSLRAVPTEDAQEFAQRENLFFMETSALESTNVETAFFTVLTEIYRIISKKTLTANEELDPNGNAALLKGTRIIVPNQEMQKDSRGGCCA >KJB36773 pep chromosome:Graimondii2_0_v6:6:43407024:43407649:-1 gene:B456_006G176100 transcript:KJB36773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRERESVEIEGFDIAKCLMLLSQGLETKPKEHSVSEVFECKTCHRCFPSFQALGGHRASHKRPKLMGDKPNETTQFLCLSTKPRTHECSICGQEFSTGQALGGHMRRHRAAMNETFSPFPLVPTVPVLKRSNSSRRVVCLDLNLTPLENDLQVLFGNKAPKVDLCI >KJB38333 pep chromosome:Graimondii2_0_v6:6:49366195:49369020:1 gene:B456_006G249600 transcript:KJB38333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITSVSYDRTKELKQFDDTKAGVKGLVDTGILNIPKFFVRPAEDLAADELNSAHKNIEVPIIDLSNIGDSIRRQEIVNEIRIASEEWGFFQVINHGIPLSVLDEMIEATRLFNEQDLELKMELYSRDGAKKVVFNSNSDLYTSQSADWRDSLRLTSFESNPDSSDLPPVYREPTMEYNKHINILVETLFELLSEALGLQPDHLSSMGCNKGCSIVSHYYPPCPEPELTLGLRKHADAGIMALLLQNHVTGLQVLHDDQWFDVHPARGGLVVNIGDLLQILSNNKFKSVKHRVTANRVGPRISVVCFFSGHADMLEEPFGTIKELISETNPPRYKEFVLKEYIDKVLSSPIDSKPAIDYYKL >KJB34535 pep chromosome:Graimondii2_0_v6:6:28664286:28665963:1 gene:B456_006G071000 transcript:KJB34535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIKSEPAEQSIYNRINELKAFDETKSGVKGLVDSGLSKIPTIFINEEYKLERNNNIHNQKSKVGEACEKWGFFQIINHGIPVTTLDEMMDGIGRFHEQDKEAKKEFYSRDITRKVYYNSNFDLYLAEATNWRDTLSCVMAPRGPLPQQLPAVCRDIFIEYSNKVMKLGHTLLELFSEALGLNQSYLEDIGCGEGLFVMGHYYPPCPEPDLTLGTSSHTDSGFFTILLQDQIGGLQVRHQNQWLDVNSIDGASYCKFGRYDAGNVHHRVLANTRGPRVSVASFFRTHLLPENASILYGPIKELISQENPPLYRETTTKDFVPNYYFKGLDWLVAPSLA >KJB38537 pep chromosome:Graimondii2_0_v6:6:50055004:50055477:-1 gene:B456_006G259600 transcript:KJB38537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTITTSNTTSKYQIECTMCSSCENPCQQTPSPPPPSPPPPKPSSPPPTVPKPNYCPPPPSPPTSSGGGNYYYSPPPPSQSSGGNNYYAPPPPVGVIGGMYYPPPTYKNYPTPPPPNPIVPYFPFYYHTPPPPSEARSLSSTTHWLFISMLGFFLSFF >KJB38004 pep chromosome:Graimondii2_0_v6:6:48129778:48134823:-1 gene:B456_006G232200 transcript:KJB38004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSTILFNPLTSQLCNSKKKPCSDPSASTLKLGLFGHATNTAAASLRFKKPKYGKGYSSGFSVVCKAVSVKPDTEIEGLNIADDVTQLIGKTPMVYLNNIVKGSVANIAAKLEIMEPCCSVKDRIGYSMISDAEQRGLITPGKSILVEPTSGNTGIGLAFIAASKGYRLILTMPASMSLERRVLLKAFGAELVLTDSAKGMKGAVQKAEEIVKSTPNAYMLQQFDNPANPKIHYETTGPEIWEDTRGKVDIFVGGIGTGGTISGVGRFLKEKNPNIKVIGVEPTESNILSGGKPGPHKIQGIGAGFIPGNLDQDVVDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAMKVGRRPENAGKLIAVVFPSFGERYLSSVLFQSIRDECEKMQPEQ >KJB35954 pep chromosome:Graimondii2_0_v6:6:39015638:39019691:-1 gene:B456_006G134900 transcript:KJB35954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHESIKVAHEKDEDRTRDEPIESSNSKVSGEDASKVVPKSPTNDVHDNVIAWKGEGRDGETSEYMETSGEANMEVSLTTDDVIRAGGFGARDDIGSFLPVASDSTDFEASIRDARDYEEPQGDIHRPGLGWREASEREKL >KJB36177 pep chromosome:Graimondii2_0_v6:6:40332658:40334114:1 gene:B456_006G145000 transcript:KJB36177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTANKKKKKKKKKKREKMVSIELSPELIPGLPEEIGLDCLIRFHYSTYRVAARVCRRWQQLLQSRELHYLRKQAGYTRKAACLVQLLNNTSDSAGSKPVGPPTYGLTVFDPVSGIWDRIDPVPKYPYGLPLFCQIASSEGKLVVMGGWDPSSYDPVRDVFIYDFTTQRWGQGKQMPETRSFFAPGGFEGRIIVAGGHDENKNALSTAWEYDVNRDEWTELARMSQERDECQGMVIGSEFWVVSGYRTDNQGGFEGSAELMDLRTGEWRRIEEAWKASQCPRSCVGVVKEKKLFYWGDCDSAIRVGACAVPLGEWTLVSGSAYQGGPQGFFLVEGHGERFKRIDVPPQFSGFVQSGCSLDI >KJB34434 pep chromosome:Graimondii2_0_v6:6:24466546:24481327:1 gene:B456_006G065300 transcript:KJB34434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G51660) UniProtKB/Swiss-Prot;Acc:Q9FGR0] MSYAAYKMMHWPTGIENCASGFVTNCRADFTPQIPLNHTEDLESDWSSRRGIGPVPNLIVTAANVLELYVVRVQEEGTREARNSTEVKRGGIMDGVSAVSLELVCSYRLHGNVESMAVLSIGGGDVSRRRDSIILTFQDAKIAVLEFDDSTHSLQTSSMHCFEGPEWLHLKRGRESFARGPLVKADPQGRCSGVLVYGLQMIILKAAQAGSGFVGEDDAFGSGATVSARVESSYIINLRDLDMKHIKDFIFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAANLPHDAYKLLAVPSPIGGVLVISANMIHYHSQSATCALALNNYAASVDNSQELPRSSFNVELDAANATWLLNDVALLSAKTGELLLLTLVYDGRVVQRLDLSKSKASVLTSDITTIGNSLVFLGSRLGDSLLVQFSSGSGASTLPSGLKEEVGDIEGDVPLAKRLRRSSSDALQDAVGSEELSLYGSTPNNSESAQKAFLFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGALCVLRQSIRPEMITEVELTGCKGIWTVYHKSTRGHNADSSKLADDDDEYHAYLIISLEARTMVLETADLLTEVTESVDYYVQGRTIAAGNLFGRRRVIQVFERGARILDGSFMTQELSIPLPNSETSSGSDNSTVMSVSIADPYVLLRMTDGSILLLVGDPATCTVSINSPAAFEGSKKRVSACSLYHDKGPEPWLRKASSDAWLSTGIGESIDSADGGPHDQGDIYCVICYENGALEIFDVPNFNCVFSVEKFASGRAHLVDAYSQESSEGSEKPINKSSEELAGQSRKENVHNLKVVELAMQRWSGNHSRPFIFGILTDGTILCYHAYLFEGPDNASKVEGSASAQNSVGLSNVNASRLRNLRFIRVSLDAYTREETSNGTLSQRITIFKNISGYQGFFLSGLRPAWFMVFRQRLRIHPQICDGSIVAFTVLHNVNCNHGFIYVTSQGILKICQMPSTSNYDNYWPVQKIPLRGTPHQVTYFAERNLYPLIVSVPVHKPVNQVLSSLVDQEAGHQMDNLNLSSDELHRTYTVEEFEVRILEPEKSGGPWETKATIPMQSSENALTVRVVTLFNTTTKENETLLAIGTAYVQGEDVAARGRVLLFSIGRSTDNNQNLVSEVYSKELKGAISALASLQGHLLIASGPKIILHIWTGSELNGIAFYDAPPLYVVSLNIVKNFILLGDVHKSIYFLSWKEQGAQLSLLAKDFGSLDCFATEFLIDGSTLSLMVSDDQKNIQVFYYAPKMSESWRGQKLLSRAEFHVGARVTKFLRLQMLSTSGRTSATAGPDKTNRFALLFGTLDGSIGCIAPLDELTFRRLQSLQKKLVDAVPHVAGLNPRSFRHFRSNGKAHRPGPDSIVDCELLCHYEMLPLEEQLEIAHQIGTTRSQILSNLNDLTLGTSFL >KJB33257 pep chromosome:Graimondii2_0_v6:6:888644:891069:-1 gene:B456_006G004300 transcript:KJB33257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKCIFGLVIIVSRISLSFTQNVNVVIQGSKSVAEIDDNFVCATLDWWPTEKCNYNQCPWGKAGLLNLDLKKKVLINAIKAFNSLRIKVGGSLQDQVVYGVGEVKNCPNFMKKEGSLFGFSQGCLPVERWDELNNYFNQTGVKVTFGLNALLGRNESQSEKGLWVGDWNSQNARNLMKYTISRGYKVDSYEFGNQLSGAGMGARVEAEQYGKDVIVLKNMVKELHPDPKTQPKVLGPSGFYDEKWFNSLLKVSVQEVVDRVTHHIYNLGPGDDLNLITKIQDPSGVFNIVNKFKPQSGAWVSESGGALQGGAKDVSPTFADGFWYFDQLGIASTYNHKVFCRQTLIGGNYALLDTTTFIPNPDYYGALLWHRLMGSIVLAVTQESNPNLRVYAHCAKKKLGISIIFINLSNDSTFDVTLSSYEHRRRNLRPTDAAKPKFEFRSHLNREEYHLTALGGNIQGQIVLLNDVPMVLTDTFDIPAMDPKLVNASTPISVAAHSIVYVTIRDFHAPVCV >KJB35775 pep chromosome:Graimondii2_0_v6:6:38078211:38078864:-1 gene:B456_006G127800 transcript:KJB35775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKNAIQGRQKITMKKIAKKNNLQVTFSKRRAGLFKKASELCTLCGVDIAIIVFSPAGKVFSFGHPNVDSAVHRFLTRNTSSVHYDIVEAHPNANIPELNAQITNLLEMLEGEKRKGQALDEVREAGRRQCWWEAPIHELGLSELQQLRNALEELKRNVGKQANLMQAAVECSNGWPFLKPNGVGISNFGTQGNETNASSSFTQMHKFGPDLYFDF >KJB37351 pep chromosome:Graimondii2_0_v6:6:45800572:45807246:1 gene:B456_006G201100 transcript:KJB37351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor SF3a60 homolog [Source:Projected from Arabidopsis thaliana (AT5G06160) UniProtKB/Swiss-Prot;Acc:Q9FG01] MSSTLLEVTRAAHEDVERLERLIVKDLQNDPPTTKDGLYQSHRVRNNIDTIISTTEKLVEIYEDKDNARKDEIAALGGQTATGVNVFSAFYDRLKEIREYHRKHPAARVVDANEEYEDLLKEEPVIEFSGEEAFGRYLDLHELFNQYINSKFGAKIEYSAYLDVFSQPHNIPWKLKSTRQYREYMENLLEYLIYFFQRTEPLQDLDRIFSKLEAEFEEQWANGQVQGWEKQGQENEDDPAQLTMIDLDYYSTVEELMEVGPEKLKEALAALGLKTGGTVQQRAERLLLTKHTPLEKLDKKHFAKGSHGPRQNGSTAVSQDINSLKQIALVEAKMKKLCDLLSKTIEQTKENVVKKQALTYEEMEQEREEEETQVDTESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITNIQEAQELWEKIRERQGVNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >KJB37626 pep chromosome:Graimondii2_0_v6:6:46718294:46719165:1 gene:B456_006G213200 transcript:KJB37626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLQPRTNHHQFSNLQQPLHALPHFLLAQRIEINKKKNSSSNSLHPRGHPFYHGSRFRMFQSQCATPIFHTKTPNEANKQLRTTCVEDSQSCRQCEHLLSVINLLKCKL >KJB34676 pep chromosome:Graimondii2_0_v6:6:30214797:30215649:1 gene:B456_006G077800 transcript:KJB34676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNYLQFSCSIRMSSAMIILLLQEAFNPRTLPIRQASSASLLRTLFLFKRSESIKLSFAILNESNSAIEIF >KJB36256 pep chromosome:Graimondii2_0_v6:6:40720166:40723391:-1 gene:B456_006G148700 transcript:KJB36256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSVLSYVFDLMKTQPQWVKIGFSACTVSFDITRFHTTTNNIAYEGDAHPSLGNLELNIVEYVCRVGWATYTEPIRIWDSSTLPLADFTTHFSFTINTRNWVKIGFSTGTGQLTEYNTIKSWKFTSNLETKQPKPSKKKSTRTYVVVLVPVCAVALLLGLLTVWFLLQKGGIKGCLRQKNGAHLDGGGIPIRFGYQKLYEATNGFAQDKRLGRGGSAHVYNGKLDDYSIVAVKRIFAESECFFINELNVISCLKHENLVRLIGWCHEQSQLLLVYEYMPNGSLESHLHGEKPTLPWHVRYKIAIGLASALQYLHEGEEQCVLHRDIKSDNVLLDLDFTTKLCDFGVLKLVDRGERTQTTMVVGTPGYLAPEYMQEQIARKETDMYSFGIVALEICCGRKPRNGALVRVVWQLYLGGIVVEAADARLENFDANKMRCLLTVGLWCTNPNHSERSTAEQVLNILQNESPLPDLPPDMYPPPLPPLEIDTVGSEILDGSR >KJB37995 pep chromosome:Graimondii2_0_v6:6:48058116:48058922:1 gene:B456_006G230900 transcript:KJB37995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWCKKFAFLMVAMVLLQSMVPPTALAARNNVMEYNPLASVFSREGPGCIAKGGFCLFDLTSCCRPCGCLAGWCYNIDHDCKEYT >KJB35359 pep chromosome:Graimondii2_0_v6:6:35743110:35744916:1 gene:B456_006G111000 transcript:KJB35359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQLSLWFCSMSTAFCFVFFSFTLLFSLFSLLIFVLRLKLWCTCKICQAYLTSSWTKDFDNLCDWYTHLLKNSATGTIHIHVLGNIITAKPANVEHMLKTRFENYPKGKPFSTLLGDLLGRGIFNVDGDSWKFQRKMASLELGGVSVRTHAFDIVGSEIQSRLLPLLSSVAGKEQVLDLQDIFRRFSFDNICKFSFGLDPGCLELSLPVSDLAEAFDLASKFSAQRALASSSLIWKVKRLLNLGTEKQLKEAIKVVDEFAEEMIKQRREEGFSDRHDLLSRFMGTINDDKYLRDIVVSFLLAGRDTVASGLTSFFWLLSQHPEVESAIREEVERVMGSSEQFASFNQMREMHYLHAALYESLRLFPPVQFDSKFAQEDDILPDDTFVRKGTRVTYHPYAMGRMERIWGPDCLHYKPERWLQNGRYVPENLYKFPVFQAGKRVCLGKEIALVEMKCVVLAVVRRFNIRVPHSNQAPRFAAGLTATLRGGLPILVQEREA >KJB37008 pep chromosome:Graimondii2_0_v6:6:44340234:44342580:-1 gene:B456_006G186400 transcript:KJB37008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPLSRDVVLSRSAYLTREELLKRRLQHLRKLSRCYRDHYWALMENLKIQYRNYYWKFGISPFKQNDNQQLADAQVVANPIVDNIESPPADNVHVDNNFSSNFKNNQHCLFVGCKFKAMALTRFCHLHILSDSKQKLYKACTYVIKSAHAGPITCGKPILRSAIPSLCTVHFQKTQKHVNRALKKASLNVSSSSKLAPMFHVIVAEYVHQIQAKRRAASRGISSKATIKEECAT >KJB37007 pep chromosome:Graimondii2_0_v6:6:44340234:44342595:-1 gene:B456_006G186400 transcript:KJB37007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPLSRDVVLSRSAYLTREELLKRRLQHLRKLSRCYRDHYWALMENLKIQYRNYYWKFGISPFKQNDNQQLADAQVVANPIVDNIESPPADNVHVDNNFSSNFKNNQHCLFVGCKFKAMALTRFCHLHILSDSKQKLYKACTYVIKSAHAGPITCGKPILRSAIPSLCTVHFQKTQKHVNRALKKASLNVSSSSKLAPMFHVIVAEYVHQIQAKRRAASRGISSKATIKEECAT >KJB36379 pep chromosome:Graimondii2_0_v6:6:41469284:41473163:-1 gene:B456_006G156100 transcript:KJB36379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKGQVLVFVLAIAFIGCVVSYDRPPVRKNISILQPKQFSSTSPQQVHISAVGPDKMRISWITQSSAPSVVEYGTSAGAYTESATGTSSSYRYLVYKSGQIHHVVIGPLDPNTAYYYRCSSDSTRQFSLKTPPAHLPIKFTVVGDLGQTGWTNSTLQHIAQSNYDMLLLPGDLAYADFVQPLWDSFGRLVEPLASQRPWMVTQGNHEVEKIPIIHSTPFTAYNARWRMPFEESGSTSNLYYSFDVAGVHIIMLGSYTDFDPDSDQFKWLQGDLGNIDRGKTPWIVALIHAPWYNTNTDHQGEPESDLMKQHMEVLLYKARVDIVFAGHVHAYERFSRVYNGQADNCGPIHITIGDGGNREGLAKRYMDPIAGISVFREASFGHGQLEVVNATDAVWTWHRNDDDVSVVSDAVWLRSLSSSPACKS >KJB38516 pep chromosome:Graimondii2_0_v6:6:50988602:50998768:-1 gene:B456_006G274000 transcript:KJB38516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILKNLGFGANNKPTASMDNCAIAQGPEDDVPPPGQQFAQFGAGCFWGVELAFQRVSGITKTEVGYSQGFMHNPSYEDVCSGTTNHSEVVRVQYDPNECSYDTLLHVFWARHDPTTLNRQGNDVGTQYRSGIYFYSPEQEKAAVESMEKQQKLLNRRIVTEILPARKFYRAEEYHQQYLAKGGRFGCKQSAEKGCNDPIRCYG >KJB34148 pep chromosome:Graimondii2_0_v6:6:17090075:17093096:1 gene:B456_006G050000 transcript:KJB34148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPRGRGGGGGFRGRGDGGRGRGGGGRGGDRGGSATKSRGGGRGGRGGGRGGGGRGGMKGGSKVIVEPHRHEGVFVAKGKEDALVTKNMVPGEAVYNEKRIAVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIKPGAKVLYLGAASGTTVSHVSDVVGPNGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKAGGHFVISIKANCIDSTVPAEAVFQSEVKKLQQEQFKPFEQVTLEPFERDHACVVGGYRMPKKQKAAA >KJB34021 pep chromosome:Graimondii2_0_v6:6:13197205:13198858:-1 gene:B456_006G044100 transcript:KJB34021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIPIKMDMTASIEGIVREIERGFGISNMEDDVPSLVKVRDDGINCTDEISGIQVDGVIAKLGFPNSFRIEANGFAAGVWVVWNENILIDILELHPQMIYMRFKVVIWKFDS >KJB38384 pep chromosome:Graimondii2_0_v6:6:49570217:49575224:-1 gene:B456_006G252500 transcript:KJB38384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKLGKGFMKGGKIEEIEGKKYDHGFSSAEVNTLAAIAEALFPSLPPSSCCFERRENQTISKAVRSFMETSASQSPTPDEAAEMLWKRLFIECVVVVRVVLLLLSTKVGTLLLCGSHCWGKEWPYINGFTSMPLAKREQVLQAWFKHRFFTPFRVAFLYFKVAILFTIFSRVDENGENPAWEAIGYNIDKVENVPNERPLQQGIVETMHVIDSIDLHKLLTEKGLQVTQDPHKNAIKIKCDAVIVGSGCGGGVAAATLASSGLKVVVLEKGCYFGPADYSPFEGPSMEKLYELGGSLPSIDGQFAILAGSTVGGGSAVNWSACIKTPESVLKEWTDECKIPVFGSNEYVSAMDIVCERIGVTEDCEEEGFQNQVLCKGCKNLGFDIEKVPRNSSSRHYCGSCGFGCRRGDKKGTDKTWLVDAVNNGTVILTACKAEKFMLEQNTTMISTRKMKCTGVIATTLNKNITKKLQIEAKVTISACGALHTPLLMYSSGLKNPNIGRNLRLHPVLMSWGYFPDSDSDIEGKAVDGGIITSVHKVLGPNNNVQAIIETPSLGPGQYTALCPWVSGLDFKQRMLKFSRTAHLITIVKDRGSGEVHMGGRVTYKFDATDRENLRAGLRRSLRVLVAAGAVEVGTHRSDGQKLKCKGVSKEELEEFLDTVFSVGGPLFWGDKWMVHTTAHQMGSCKMGRNEKDGGVDENGESWEAEGLYVCDASVLPTAIGVNPMITVMSTAYCLSKRIAAKIQNL >KJB37273 pep chromosome:Graimondii2_0_v6:6:45317836:45321293:1 gene:B456_006G196400 transcript:KJB37273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPKCPHLKNTVFRLIEEFKSLKELKQIHAHIITSPKLPKPHQEYLITRLLFASALSESGSLCYATSVFKFIKNPTLSVYNIMIRAYASKISGADNTHNSKSFILFKQMLFNGISPDCITFPFVIKECAIRLDSRVGCSIHGEAIKFGLFSDVYVQNSLVNFYSECGFLNSARKLFDEMLKRDIVSWNSMIIGYLRGGNLEMALELFRSMETRNIITWNSMITGFVQGGKGKEALQLFHEMQNSSNDKVKPDKITIASVLSACAYLGAIDHGKWIYGYLRRSGIECDVVIGTALVDMYGKCGSVERAYEVFKEMPKRDTLAWTAIISTFALHGYSKEAFDTFKEMEAVLVKPNHVTFLGLLSACAHSGMVEKARWCFDMMKRVYSVEPQLHHYASMVDVLSRAGLFEEVGELVRSMPMEPDVFVWGALLGGCKIHGNLVLGERVAQYLIGLEPRNHASYINLCEIYAKAGRFDDAKRIRALMKERGIRKEVAGCSLIEVDGLVLEFSVEGWPVPVTDEIVLVLNLFNNEIKGEGTMHYCNGILLDSEK >KJB33747 pep chromosome:Graimondii2_0_v6:6:7362693:7363620:-1 gene:B456_006G028700 transcript:KJB33747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREPHQKANYDYMEEQENDSGYIFKPRAFNIVWGNDKRCWRMAKPIGSSTSSKNEEECAELVQVSWLEVTGVTPRLHASTTYQITFQLSLEKGASGWTGAPVFLMAKVGKKGKYKWKKLEVEKLTRDPTDFPSVRDPFGVEIADEQLDKRLYFGLYEVWSGRWKKGLKVYKATVKQIKK >KJB38630 pep chromosome:Graimondii2_0_v6:6:50328164:50332099:1 gene:B456_006G264100 transcript:KJB38630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASDMNPARDAGRVTTAGAVLFPIQFTWPHGGRSVFLSGSFNRWTELVPMSQVEGCPNVFQAVYAVPLGCHEYKFFVDGEWRHDERQPHKTVEYGIVNTFDAIPIPAEVPLVHPRSEILNHMEVDNGLMHRVSAVTLNQAMPRISEEDVTASRHRIAVYLQAHTAYELLPESGKVVALDVALPVKQAFHILSEQGIPLAPLWDFRKGKFVGVITASDFILILRELVNNRSNLTEEELETHSIAAWKEGKAYLKGQVDGHGRPIPKELIYASPSENLKDVALKILQSGVAIVPIIHLEDGLFPQLLHLASLAGILKCICRYVKHCPSSLPILQLPIYAIRLGTWVPRIGESSSRPFAMLRLTASLSSALDMLVEAHVSSIPIVDDNDSLVDVYCRSDIMALAKGRAYTHNLNEMTVHQALQLGLDSNPPYGTRSQRCQMCLRTDTLLKVMEQLANPGVRRLVIVEAGSNRVEGITSLTDIFRFLLG >KJB38789 pep chromosome:Graimondii2_0_v6:6:50850110:50852008:-1 gene:B456_006G271600 transcript:KJB38789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSREPDNHTKSCKARGSDLRVHFKNTRETAFAIRKLPLTKAKRYLEDVIAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDSLIISHVQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLAPRKSKGASS >KJB37241 pep chromosome:Graimondii2_0_v6:6:45241523:45243131:-1 gene:B456_006G195300 transcript:KJB37241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 6 [Source:Projected from Arabidopsis thaliana (AT1G49030) UniProtKB/Swiss-Prot;Acc:Q9M9A5] MAQIEMDTHNLEFHGAEAEYVPNRVQANHPYGLPTPSAPPTIEAAWLPTPQAPHSNEPNAIYHHHHQGAIYQQPPPPAPSYPQDIGQRPFASTYASHSQHFQQAAYQVPPGYIVMAPYVHSTYQQHVEGWKTGLFDCMDDPINALITVCFPCVTFGQVAEIVDEGRTSCASSGLLYGVIALLIGIPCILSCGYRTKLRSKFQLSESPAPDWVVHCFCDCCALCQEYRELHQRGWDPSAGWHGNLARRQNMQQQQQYVMMAPINQTMMG >KJB36751 pep chromosome:Graimondii2_0_v6:6:43322092:43322866:1 gene:B456_006G174900 transcript:KJB36751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASWIGGTHSSLAYCNECLFSIALEAYIQVFRTHLDSYFFLWISSFECSSFSELWKLIATNGGRSSFYGNLNRGDYGECK >KJB38035 pep chromosome:Graimondii2_0_v6:6:48195380:48200114:-1 gene:B456_006G233100 transcript:KJB38035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFSLSALDLSKPCISLFLISLPDFGITFFLFYHKKPTMENLTKREVSRQFSNRALKRGFSRQFSRQSSMDPRKNNQWFNFGRQSSVDPVQRSSVQEELTVPENLDSTMRLLFMACRGDTKGVEDLLNEGMDVNGIDLDGRTALHVAACEGHVEVVKLLLSRNANIDARDRWGSTAAADAKYYGNLDVYNILKARGASVPKTRKTPMTVSDPEEVPEYELNPAELQVRRSDGITKGSYQVAKWNGTKVSVKILDKDSYSDPETIAAFKHELTILEKVRHPNVVQFVGAVTQNIPMMIVSEYHSKGDLGCYLHKKGRLSPHKALNFALDIARGMNYLHEYKSDPIIHCDLKPKCQKCFDG >KJB38034 pep chromosome:Graimondii2_0_v6:6:48193586:48200132:-1 gene:B456_006G233100 transcript:KJB38034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFSLSALDLSKPCISLFLISLPDFGITFFLFYHKKPTMENLTKREVSRQFSNRALKRGFSRQFSRQSSMDPRKNNQWFNFGRQSSVDPVQRSSVQEELTVPENLDSTMRLLFMACRGDTKGVEDLLNEGMDVNGIDLDGRTALHVAACEGHVEVVKLLLSRNANIDARDRWGSTAAADAKYYGNLDVYNILKARGASVPKTRKTPMTVSDPEEVPEYELNPAELQVRRSDGITKGSYQVAKWNGTKVSVKILDKDSYSDPETIAAFKHELTILEKVRHPNVVQFVGAVTQNIPMMIVSEYHSKGDLGCYLHKKGRLSPHKALNFALDIARGMNYLHEYKSDPIIHCDLKPKNVLMDSGGQLKVAGFGLLRLSNISYDKAKLSNPGAHVDPSNIYMAPEVYKNLIVDRSVDSYSFGVMLYEMIGGVTPFHHMPAEEAVKLMCLEGKRPPFKAKSKSYPPDLRELIEECWDPNPVVRPIFSEIIVRLDKVFANCSKHGKWKDTFKLPWK >KJB38812 pep chromosome:Graimondii2_0_v6:6:51001949:51002757:1 gene:B456_006G274200 transcript:KJB38812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSSSWSRVTDGYYSSPKAGSITGLRMSSSVDDSNELPVYDPSVEMANKKEKSRARFAENAVHIIPLVLLVCALILWFFSNPDVEVGTKVETVAARIDGLTIDGDIDNDSDGTQTGFLPIAEVGDVDSTKHPKPNKPSRKLHFSYPQFFDSLLI >KJB34357 pep chromosome:Graimondii2_0_v6:6:22665068:22673567:1 gene:B456_006G061900 transcript:KJB34357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGFMVGVLGVLFLFHAAYSTIQCKISGAVEILEEKFSGPPMNLLLGFVFCISAALTVPGYFLSIHPDSEENRIVSLSANLDFMIFNHRAKALPLETDMKLKH >KJB33579 pep chromosome:Graimondii2_0_v6:6:4863082:4868994:-1 gene:B456_006G019300 transcript:KJB33579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLPLYLILLLLFMLCPILQAAESQEPACGKEACGNITIPSPFGIHSTCYTEPWFRVTCNPTLNGVKPFINVNGIDLEVLGKALYSNAILINNPVTYINCDSINKVSGRVNLLGTPFFFSSKRNYFGSVGCGNSATILSNEAVSLGGCIQPRCDDDASESGCFTQITANLTSYTVNMTAMYPDSKRCASAFIFSKYSFRSAYPLPTGINNGTTQVPAVLNWNSTYCDDGVCGRPGLGPINVNTDKVWYCGNVTFHYPFRMKDQDYETDDWFEVICNKTANGGKVPFLNINDMILQILDFDFLDGTIKVNHPITYFNCRKNHYNGMSLNLTGTRFSYSTSDNSFCSSGCGNLITIFGNETDKLLGGCLQPSCRINNKISHVASCLAFFPQGLSSFYINMSNRVDSSDYRKKKSCGFASLISDDYDLEDSDISSRTHVPTQLQLGTPLIGECYLNGSLNISCTSDSEYCWSRLSSTHLCACDRDIRIYSTLCKDGNCGDYQYCSMLCLNTPTNYCSSESCPPNHYEYNSTGFRCCSTSIGTLFLLLATWSVYKVLKRKQKIMLKQKYFKRNGGLLLQQHLSSNEGNVEKIKLFTSKEMEKATDHYNENRILGQGGQGTVYKGMLIDGSIVAIKKSKMVEGKKFDEKKVEQFINEVIILSQINHRNVVKLLGCCLEAEVPLLVYEFIPNGTLYDLIHNQNEELPLTWEMRLRIAIEIANALFYLHSAASAPIYHRDIKSNNILLDDKYRAKVSDFGTSRSVALEQTHLTTRVQGTFGYMDPEYFRTNQFTEKSDVYSFGVVLIELLTGQKPISANQSEQVRSLVSYFLHSMQENSLFSILNPMVVKDGPEQEIMVVALLAKRCLNLNGKKRPTMKQVVMELELIKASGGTVIEDCGDEESETDDMIHSWDTNPSSSMSRRIPTDSVTFLLNSSS >KJB36221 pep chromosome:Graimondii2_0_v6:6:40501514:40510616:-1 gene:B456_006G146800 transcript:KJB36221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSFWLARLRSCSNKSAFSNAFRDSFYHYKSQLNTCQKNLPSTIAEKTNSHAFSWSSCLLPLAFAVSAGSLTFQSHNNHPSLCEPSNLDSRKVTIGGKASTEFVVKGTHKEVPQELIDELKAICQDNMTLDYDERFYHGKPQNSFHKAVNIPDVVVFPRSEEEVSQIVKSCNKHKVPIVPYGGATSIEGHTLSPNGGVCIDMTLMKRVKALHIRDMDVVVEPGIGWMELNEYLEPYGLFSPLIQVRPGATIGGMCATRCSGSLAVRYGTMRDNVISLKVVLANGDIVKTASRARKSAAGYDLTRLMIGSEGTLGVVTEVTLRLQKIPEHSVVAMCNFPTIKAAADVAIDTMLSGIQVSRVELLDEVQVRAINIANGKNLPEVPTLMFEFIGTKAYSHEQTQIVQRIVSEHNGSDFVFAEDPEAKKELWKIRKEALWACFAMEPNFEAMISDVCVPLSNLAELISRSKQELDASSLVCTVIAHAGDGNFHTVILFDPNEEEHRREAERLNQFMVYTALSMEGTCTGEHGVGTGKMKYLEKELGIEALQTMKRIKTALDPNNIMNPGKLIPPHVCF >KJB37574 pep chromosome:Graimondii2_0_v6:6:46588694:46590007:1 gene:B456_006G211300 transcript:KJB37574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEQNQGTSFPPSEPKLCANGCGFFGTAANMNLCSKCYRDLRAGEEQAAKAKAAMEKSLSVKPKEDVVVETFKPVEKLPHAGSSSAAVEQPAVALSGDEQPEPKLSSRCFICRKKVGLTGFKCRCGSTFCGEHRYPEKHECSFDFKGTGRDAIASANPVIKADKLERF >KJB37447 pep chromosome:Graimondii2_0_v6:6:46071121:46075250:1 gene:B456_006G205000 transcript:KJB37447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTASHFVSRISHGAETKANLSQTGLRNQSMTHNGLRFLNKVDRLQMRTNAKAVARNAVKDEHPNDKLSGKIICGTGMNIIFVGAEVGPWSKTGGLGDVLGGLPPAMAAKGHRVMTVSPRYDQYKDAWDTCVTVDLKVGEETLTVRFFHCYKRGVDRIFVDHPVFLEKVWGKTGSKIYGPRAGLDYKDNQLRFSLLCLAALEAPRVLNLNSSKYFSGPYGENVVFVANDWHTALLPCYLKSMYQSRGIYMSAKVAFCIHNIAYQGRFAFEDYSLLNLPDQFKSSFDFMDGYDKPVKGRKINWMKAGILESHRVLTVSPYYAQELVSGEDKGVELDHIIRKTGITGIVNGMDVQEWNPTTDKYISVKYDATTVTCAKRLLKVALQAELGLPCDENVPLIGFIGRLEEQKGSDILTAAIPKFIGQNCQIVVLGTGKTAMEKQIQQLETLYPGKAIGVAKFNVPLAHMIFAGADYLLVPSRFEPCGLIQLQAMRYGTIPIVASTGGLVDTVKEGFTGFQMGAFNVECDTVDPRDVDKVAKGVIRALATYGTGAMREMIQNCMAQDLSWKGPSTLWEKILLSLEVAGSEPGIEGEEIAPLAKENIATP >KJB37446 pep chromosome:Graimondii2_0_v6:6:46071431:46075250:1 gene:B456_006G205000 transcript:KJB37446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTASHFVSRISHGAETKANLSQTGLRNQSMTHNGLRFLNKVDRLQMRTNAKAVARNAVKDEHPNDKLSGKIICGTGMNIIFVGAEVGPWSKTGGLGDVLGGLPPAMAAKGHRVMTVSPRYDQYKDAWDTCVTVDLKVGEETLTVRFFHCYKRGVDRIFVDHPVFLEKVWGKTGSKIYGPRAGLDYKDNQLRFSLLCLAALEAPRVLNLNSSKYFSGPYGENVVFVANDWHTALLPCYLKSMYQSRGIYMSAKVAFCIHNIAYQGRFAFEDYSLLNLPDQFKSSFDFMDGYDKPVKGRKINWMKAGILESHRVLTVSPYYAQELVSGEDKGVELDHIIRKTGITGIVNGMDVQEWNPTTDKYISVKYDATTVTCAKRLLKVALQAELGLPCDENVPLIGFIGRLEEQKGSDILTAAIPKFIGQNCQIVVLGTGKTAMEKQIQQLETLYPGKAIGVAKFNVPLAHMIFAGADYLLVPSRFEPCGLIQLQAMRYGTIPIVASTGGLVDTVKEGFTGFQMGAFNVECDTVDPRDVDKVAKGVIRALATYGTGAMREMIQNCMAQDLSWKGPSTLWEKILLSLEVAGSEPGIEGEEIAPLAKENIATP >KJB37771 pep chromosome:Graimondii2_0_v6:6:47198152:47203791:1 gene:B456_006G219400 transcript:KJB37771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITKMSRNFASRRHFGEGSWRILLVLALLITIAQGLNSEGQLLLELKKSLHDEYNHLWNWKSTDETPCGWIGVNCSLDYELVVSSVDLSSMNLSGTLSPSIGGLTHLTFLDLSYNKFSGDIPKEIGNCSLLVVLYLNNNHFSGPIPVELGKLSYLQSLNICNNKISGSLPKELGNLSSLVEFVAYTNNLTGPLPRSIGNLRKLRTFRAGQNTFSGNIPAEISGCQSLEMLGLAQNHIGGELPKEVGMLQSLTDLILWENQLSGFIPKELGNCTSLETLALYANQLVGPIPVEIVNLKFLNKLYLYRNQLNGSIPREIGNLSLATEIDLSENHLTGEIPTEFSKIKGLQLLYLFENRLTGVIPNEISSLKNLTKLDLSINHLTGPIPYGFQYFTKMIQLQLFENFLSGTIPQQLGLYSPLWVVDFSNNHLTGKIPPYLCWHSNLILLNLGGNMLSGNISTGIKNCETLVQLRLVRNMLTGSFPSQLCKLVNLSAIELDQNNFTGPIPSEIGNCRKLQRLHIAGNHFSLELPKEVGNLSQLVILNVSSNLLSGRIPHEIIDCKKLQRLDLSHNSFVDTLPNELGTLTQLEILRLSENKFSGYIPEAMGNLSRLTELQMGGNLFSGEIPRQLGSLSSLQIAMNLSNNRLTGNIPLELGFLNMLEYLLLNNNNLSGEIPSTFESLSSLMVCNLSYNNLTGPLPVIPLFQNMPASSFIENKGLCGTPLRSCTVDSSSPSMLPAKKDTRGRIITTVSCAVGGVSLILIVILIYQMRRPRKSVPSSNEKETPSPAQDIYFHPKEGFTFQDLIEATNNFHESFIVGRGACGTVYKAVIHSGQTVAVKRLESNAEGNNIENSFRAEILTLGKIRHRNIVKLYGFCYHRGFNVLLYEYLENGSLGEVLHGASCSLDWSTRFMIALGAAEGLAYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPQSKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQALDQGGDLVTRVRHYVRNHSLTTGILDDRLNLDDRRIVDHMITVLKIALICTSLSPFDRPSMREVVTMLIESKRQDQEDDFGKSPTCSPPKRSAS >KJB37772 pep chromosome:Graimondii2_0_v6:6:47199223:47203791:1 gene:B456_006G219400 transcript:KJB37772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITKMSRNFASRRHFGEGSWRILLVLALLITIAQGLNSEGQLLLELKKSLHDEYNHLWNWKSTDETPCGWIGVNCSLDYELVVSSVDLSSMNLSGTLSPSIGGLTHLTFLDLSYNKFSGDIPKEIGNCSLLVVLYLNNNHFSGPIPVELGKLSYLQSLNICNNKISGSLPKELGNLSSLVEFVAYTNNLTGPLPRSIGNLRKLRTFRAGQNTFSGNIPAEISGCQSLEMLGLAQNHIGGELPKEVGMLQSLTDLILWENQLSGFIPKELGNCTSLETLALYANQLVGPIPVEIVNLKFLNKLYLYRNQLNGSIPREIGNLSLATEIDLSENHLTGEIPTEFSKIKGLQLLYLFENRLTGVIPNEISSLKNLTKLDLSINHLTGPIPYGFQYFTKMIQLQLFENFLSGTIPQQLGLYSPLWVVDFSNNHLTGKIPPYLCWHSNLILLNLGGNMLSGNISTGIKNCETLVQLRLVRNMLTGSFPSQLCKLVNLSAIELDQNNFTGPIPSEIGNCRKLQRLHIAGNHFSLELPKEVGNLSQLVILNVSSNLLSGRIPHEIIDCKKLQRLDLSHNSFVDTLPNELGTLTQLEILRLSENKFSGYIPEAMGNLSRLTELQMGGNLFSGEIPRQLGSLSSLQIAMNLSNNRLTGNIPLELGFLNMLEYLLLNNNNLSGEIPSTFESLSSLMVCNLSYNNLTGPLPVIPLFQNMPASSFIENKGLCGTPLRSCTVDSSSPSMLPAKKDTRGRIITTVSCAVGGVSLILIVILIYQMRRPRKSVPSSNEKETPSPAQDIYFHPKEGFTFQDLIEATNNFHESFIVGRGACGTVYKAVIHSGQTVAVKRLESNAEGNNIENSFRAEILTLGKIRHRNIVKLYGFCYHRGFNVLLYEYLENGSLGEVLHGASCSLDWSTRFMIALGAAEGLAYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPQSKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQALDQGGDLVTRVRHYVRNHSLTTGILDDRLNLDDRRIVDHMITVLKIALICTSLSPFDRPSMREVVTMLIESKRQDQEDDFGKSPTCSPPKRSAS >KJB34826 pep chromosome:Graimondii2_0_v6:6:32091285:32093143:-1 gene:B456_006G086400 transcript:KJB34826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLPPSVAISGGSGTATSFLPKTSQLSIPGKRKPNFISKPVSCKATNGDDQNKFDRRDILLGLGGLYGVSSLNDSLAFAKPVTGPDFTHCGRPDLPTGAANINCCPPPSTKIVDFVLPPSNAPLQTRPAAHLVNDDYLAKFSKAIELMKALPASDPRSFMQQANVHCAYCDGAYHQLGFPDLDLQVHNSWLFFPFHRFYLYFFEKILGKLIDDPTFAMPFWNWDSPAGMQMPAIYANPNSPLYDKLRNARHKPPTLLDLDYNGVDETLSSKDQISSNLNVMYRQMVSNGKTAKLFLGNAYRAGEEPDPGAGSLENIPHGPVHIWCGDNTQQNFENMGNFYSAGRDPIFYAHHSNVDRMWSVWKTLGGKRTDFTDSDWLDSAFLFYDENANLVRVKVRDCLDTKKLRYEYQNVEIPWLKTKPTPKRVISKVKRALGVANAAETKKKVVRNVKFPLVLDDIVSLEVARPKKSRSRKEKEEEEEVLVIENIEFDRHQVVKFDVYINDEDDTVIGPDNTEFAGSFVNVPHKHKHGKKMATFLRLGLTDLLEELDAEDDDGVVVTLVPKFGKGLAKIGGIKIEFARD >KJB37292 pep chromosome:Graimondii2_0_v6:6:45547510:45549561:1 gene:B456_006G198200 transcript:KJB37292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILKLSQAFMSMAMVAFLVYLLLRLLFSCWILPIRAYRKIKKNGFEGPTPSFPLGNITDMKNTKNYVNDSSLRSSAAISHDLHSTVFPYFARWQKTHGKVFIYWLGTEPFLYIAEPEFLKKMSSGVLGKNWGKPSVFRRDRKAMFGRSGLVMVEGEDWVRHRHVITPAFSPANLKAMASLMVEPATNMLNRWTTLISCGKAEIDVEREITTTAGEIIARTSFGLSHQNGNKVFEKLRAAQTTLFNSNRYVGVPFSKWICPKKNLEATKLGHEIDQLLLSIIHARKQSWDGSPQKDLLGLLMEGSHLDGRSGKSLTARELVDECKTFFFGGHETTALALTWTLLLLSLHPQWQHQLREEIKQVIGDEEIDFTRFAHLKKMEWVMKEVLRLYSPAPNAQRQAREDIKVDELVIPNGTNIWIDVVALHHDPTIWGGDVNEFRPERFKDDSLYGGCKHKMGFLPFGFGGRMCVGRNLTMMEYKVVLTLMLRRFSFSISPNYRHSPSIMLSLRPKFGLPLIVKPL >KJB36377 pep chromosome:Graimondii2_0_v6:6:41441711:41442640:1 gene:B456_006G155900 transcript:KJB36377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTALFEEKRLVFDSFSSLYVIAYWNISGFLGLQLDYTAVFGSYSSFLFVRTGNLVAPLIAHAFCNYMGLPVLLIPRKGLVSVAFVAGMLSFAWLLLPLTRPDL >KJB36558 pep chromosome:Graimondii2_0_v6:6:42514390:42515568:1 gene:B456_006G165300 transcript:KJB36558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFMFSHDTFLVFLKKVTGNATKKTYFARLSFTPCGVASIPRRSSTLSFLYAFLIKNLNLFLSFAMSSEMAFHKFSATSNRTNSNLNSSLAGESTPKTQLRKPIPLTSKDRHTKVNGRGRRVRMPALCAARIFQLTRELGHRSDGETIEWLLRQAEPSIIAATGSGTTPAAHEISCASGLTTTSSPTESCQLHSVGIGSGVVAGMYTMTTPPPSCRLDLCQPMGLQYSTVGRNGYQHMPFTALLLQPTAAAEEEEQQAGKEEEEEKRHQE >KJB36903 pep chromosome:Graimondii2_0_v6:6:43957640:43958173:1 gene:B456_006G182200 transcript:KJB36903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLISLEIFLVEQVKGMLDKIQQNLFDVAKQKRDACIEVVKTWDEFIKALGQKKLILAPWCDEEEVEKDVKARTRGEMGAAKSLCTPFEQPELPEGT >KJB37131 pep chromosome:Graimondii2_0_v6:6:44777157:44778430:1 gene:B456_006G190600 transcript:KJB37131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEYPSCDLYRECLRNHAASLGSYATDGCGEFTLDHTSLSTLQCAACGCHRNFHRKVSYCNSNSRSRGDPVERVDYGDDGGRPPVLLEYSTEAGARSGKKRFRTKFTAEQKEKMLEFAEKLGWRLQRRDEEDQVDKFCRGIGVSRQVFKVWMHNHKNTSTASSVSTCNASSLTTP >KJB37192 pep chromosome:Graimondii2_0_v6:6:45034674:45036805:-1 gene:B456_006G193500 transcript:KJB37192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTAAQPRSSKTESYVDNKRKEDIRHANINAARAVADAVRTSLGPKGMDKMISTANGEVIITNDGATILNKMEVLQPAAKMLVDLSKSQDSAAGDGTTTVVVIAGALLKQCLTLLSNGIHPTVISDSLHKASIKAVDVLTAMAVPLELSDRDSLIKSASTSLNSKVVSQYSTLLAPLAVDSVLSVVDPAKPDMVDLRDIKIVKKLGGTVDDTQLVKGLVFDKKASHAAGGPTRMENAKIAVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERNYILGMIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKIMVVKDVEREDIEFITKTLNCLPIANIEHFRVEKLGHADLVEEVSLGDGKIVKVTGIKDMGRTTTVLVRGSNQLVLDEAERSLHDALCVVRCLVSKRFLIAGGGAPEIELSRQLGAWSKVLHGMEGYCVRSFAEALEVVPYTLAENAGLNPIAIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVNVR >KJB33163 pep chromosome:Graimondii2_0_v6:6:8918482:8919532:1 gene:B456_006G034000 transcript:KJB33163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRSYDRIDQKKSRKAEKRKADRSRSREFRRKQRKQRSRIAGRRKKKGEGRRNRITSSNIQYVFPRIHNTSYVAEKKTSNSLISYYSCHYPI >KJB38624 pep chromosome:Graimondii2_0_v6:6:50291462:50293971:1 gene:B456_006G263500 transcript:KJB38624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEAFIYSFVARGTTILAEYTEFTGNFPAIAAQCLQKLTSSNNKFTYNCDHHTFNFLVEDGYVYCVVAKDSVGKQISISFLERMKVDFKKRYGGGKADTAMAKSLNKEFGPVLKEHKKYIIEHAEEIEKLLKVKAQVSEVKSIMLENIDKAIDRGESLTTLADKTENLHDQAQAYKNQGTKIRRKMWYQNMKIKMVVLGILLLLVLVIWVSVCHGFDCSD >KJB37952 pep chromosome:Graimondii2_0_v6:6:47931452:47933181:-1 gene:B456_006G228500 transcript:KJB37952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFSNGVHVLMIPFPASGHIFPLMDLAHQILLRGLTVTIMVTPKNLHYLNSLLSLHSSSNLQTLVLPFPSHSSIPHGVENMQDVDISFVPDFAAALTSRLGVPNVCFVVTNAHAVSSWWVNDLDSMPDCYRKLHLGCIQSWALVFNSFNEIDNQKLKLIKEELTEHDRLWAVGPLLPIKGRLSSIGEEQYQVMSWLDSCKKVDKSVVYVAFGTQITLTKQQMEAVASALEESMVRFIWVVKEPMKGLGIVDDDDRNVVPPGFEDRVAERGVVIKGWAPQLAILGHPAVGSYLTHCGWNSALEGIFAGVLLLAWPMQADHFHNTALLVDELGVAVRVCEGLETVPDASKLARTLSGSLTMNMPERIRTKKLRKTAVDSIREGGSSYKALDGLVEQLSSLSNREEK >KJB36347 pep chromosome:Graimondii2_0_v6:6:41271908:41272919:-1 gene:B456_006G154000 transcript:KJB36347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDKRLTEIFCDICIKEILKGNRPGTHFTKDGWLKMMINFEKETDKGFSQRQLKNRWDALKKEWKAWKKLKGEDTAKKFRTSGIDIEFEGKLDQMFIAIVATGDKAWPPSSGTLRSDFFEDVNNEIPEENEEENMRNDVHILNDVHISNDVHISNDVQIDGNSQKRKNPKMSSSHFKTGRKKSSKQIGGAARLSSQIEKLCNAAENMSQATSTVKMLDSMSEEVPEASLLYFFALRLLLNKDKRIMFLSINPNIRALWLKTEMEDS >KJB38432 pep chromosome:Graimondii2_0_v6:6:49702966:49704317:-1 gene:B456_006G254200 transcript:KJB38432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWALMARHFGWSIMEESWRKGPWTAEEDKLLIEYVKLHGEGRWNSVAKLAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEESIILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKPDNSIKAKARILKRQQFQQQQILQQQQQQYQQQQNQLDMKRIMSLLDETEHKVPYVPQMSRQETMGTTNSYLNHNSTTDQEQGFVYPMIIDGNVSSSDTSNEEFLWDGLWNLDDDFNGNFSAACATSKASLHNLATPFC >KJB34191 pep chromosome:Graimondii2_0_v6:6:18835902:18837296:1 gene:B456_006G052300 transcript:KJB34191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTFILVLCISGITASTNLRGVRAVLLEYATTAGLTIVVLIYSIGSISGAHVNPALTIAFAAFGYFPWSRVPLYILAQILGSIMAALMGEFIYGIKSDVMTTRPGQGCQAAFLAELIADFIVVFVIAAVTQQARSVGPLSGLVIGMSIALAVLITGPISGGSLNPARSLGPAIVSRNFDRIWIYLTAPVIGALLGALMYRVLRLRPIS >KJB37571 pep chromosome:Graimondii2_0_v6:6:46643116:46644693:1 gene:B456_006G212200 transcript:KJB37571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPSIKKRRRKFSIYVLAFLVIVLQLFSYFNPCSASNVYNHLKSKQFIKKPCRATSYPDLCFKSLARHASKIQAQATSRIHRLRPNEVAAMVDCIAGLTDLVQKLQMSTKVMDEGGKDNGVVRVDDSNDVIQVEMNDVQMWVNMGLEEEETCKNGLANMDVKGKVKKGIRKGIVNVAHLTSNALAFVKSFAFARN >KJB34348 pep chromosome:Graimondii2_0_v6:6:22277900:22284482:-1 gene:B456_006G061000 transcript:KJB34348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQSYAKQREIVIQWLNTVLPDLRLPTEASDEELRACLIDGTVLCRILNRLSPSSPNEESSENSSVSQSENVKRFLATMDELGIPRFEVSDLEKGSMKTVVYCLLSLKLHFISSGEELSTLSTITKAESIDDDGSSPGSLQQFFGEEMRNAFPGLKLQCAMHNQVISEPSPAVLKHVGHKFHEVFQLKQACYADLPVTKILEMMESNSLESAPTQALLHVVYGILDETAETMNSEIPHHVACLLRKAVQEMGRRLSTQADHLRTQNNLYRAREEKHRSKIKELEALVSVSRKETKHVTVQPQQIRSRRKKNISWFSASTLLKCFRPTSQTANSKLEEEQKVEKQEMIKLVKENEKNILELSAMRQELDIVGMVHKFCSLEMEAEAKAAIAILQLKIKELECLLADSNNKVKELEASFESKCQSWTMKEKIYQRFIDFQFEAMQKLRSSSEAIKQEVLETQKRYSFEFNHLESKLKAVTDAAGNYHEVLKENHKLFSELQDLKGNIRVYCRIRPFLPGQTEKLSTIENISENGQLVIANPSKPGKDGQRSFKFNKVFGPDATQGEVFADIQPFVKSVVDGYNVCIFAYGQTGSGKTYTMTGPTGATEEEWGVNYLALNHLFKVSQDRKSNMVYEVEVQMVEIYNEQVRDLLSSDVGITTISQPNGLAVPEACMHPVISTSDVLDLMDIGFKNRAVSATALNARSSRSHSIVTVHVRGKNVKTGTVLYGNLHLIDLAGSERVDRSEVTGHRLREAQHINKSLSSLGDVIFALAKKSPHVPYRNSKLTQVLQSSLGGQAKTIMLVQLNPDATSFSESMSTLKFAERVSGVELGAAQSSKEEKDVRELLEQMASLKDKIAEKDEEIRRLKSLRDLKPRIPQQHQL >KJB33216 pep chromosome:Graimondii2_0_v6:6:396652:397155:-1 gene:B456_006G001200 transcript:KJB33216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYNILSLLQQMTMISYVYKTQNHNGLISDHAIANLLVVGFTGQLKGWWDHALTKTQQEEILKAIKKDDQDIIILDEQGREIQDVVATLIFSISKHFIGDPSHLKDRNLELLSNLKCKKLTDFKWYKYVFMTRVMQRSDNQQPFWKEKILVGLPTLLGEKVRNQIR >KJB37710 pep chromosome:Graimondii2_0_v6:6:46985837:46987505:-1 gene:B456_006G217000 transcript:KJB37710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CVSFLIVRTLISIRNRFKLPPGPTALPVIGHLHLLCPFMHQTFQKLSSIHGPLMYLRLGSVDCVVAPNPELAKELLKHHELTFSTRHHTAAIEHLTYNSSFAFAPYGPYWKFIKKLTTFELLGNRTLGQTLPVRTKELHHFIGILLGKSKSGETVNLTQELLKLTNNVISQMMLSIRCSGTVDQADGFRTLVREVNEIFGEFNVSDITWFFKNIDVQGFRKRFLDIHNRYDSLLEKIMRDREELRKNKKPNDDDLTRNLIKALILDFLTAATDTTALIAVEWALAELINNPEVLRKAQQELDQVVGKSRLVQESDTPCLPYLQAIIKESFRLHPPIPMLSRKALLPFGTGRRGCPGISLAMQELAVTLAALVQCFDWNAGGGVDMSERRPGLTAPRAYELNCVPLARSTPTLFAT >KJB33567 pep chromosome:Graimondii2_0_v6:6:4254838:4259383:-1 gene:B456_006G018200 transcript:KJB33567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQLALYFILLLLFLFLLCPILQAAESQEPACGKEVCGNITIPSPFGIDSSCYTHSWFRVTCKSTCNGKKPFINVNGINLEVLDSIYPDAIIISNPVTYINCDRISEASVSVNLSGTPFFFSSDKNYFGSVGCRNLATVLSNEADSLGGCVQPRCDDGASESGCFTVITENFTSYTVNMTAMYPDSNRCASAFIFSAYSFSNAYPLPTGINIGTTHVPAVLSWNSTYCGDGAGCGRPGLGPVNVNTYKVESCGNVTFHYPFSMRAQDDSNNWFKVICNKTANGKEVPSLNINGTNLQILDFNFLDGTVEVNHPITYFNCRKNHHNGMSLNLTGTRFYYSDFDNFFFSSGCGNLITIFGNETDNLIGGCLQPICRINKKTSSIIGCSLAIPKGLSSFFVNMSDMVDSSDYRRKRSCGFASLISSYSDLTDDFDLSNRTYVPTQLQWGTLISGECYLNDSSDTSCTFDGEYCWSRLSSNHLCSCKRDNGYISYSRACKDSCPPHYEYNSTGFRCERKIKTQNTHNLKSIIVGCSTSVGTLFLLLATWSMYKALKRKQKIMLKQKYFKRNGGLLLQQHLSSNEGNVEKIKLFTSKEMEKATDYYNENRVLGQGGQGTVYKGMLIDGSIVAIKKSKMVEGKKFDEKKVEQFINEVIILSQINHRNVVKLLGCCLEAEVPLLVYEFIPNGTLYDLIHNQNEELPLTWEMRLRIAIEIANALFYLHSAASAPIYHRDIKSSNILLDGKYRAKVSDFGTSRSVALEQTHLTTRVQGTFGYMDPEYFRSSQFTEKSDVYSFGVVLIELLTGQKPISAEQSEPVRSLVSYFLDSMQENSLFNILDPMVVKDGPEREIIVVALLAKRCLNLNGKKRPTMKQVAMELELIKASGGNVIEDHGDEESEIDDIIHSWETNRSCSLSRTVTTNSETFPLNSSF >KJB34012 pep chromosome:Graimondii2_0_v6:6:13026618:13028750:1 gene:B456_006G043800 transcript:KJB34012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKGSKQPPAEVSNFLSLPPQPQQQPHQSMGENKAGESKGFRIVASKEEGKKQQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGSGTIPASALAAAGGSVSHQGASLSAGLHQKMEDLEGSSIGSGSSRTSWATVGANNLGRPHHVATGLWPSVSGYGFQTSSGPSTTNLGTESSIYLQKIGIPGFDLPATNIGQMSFTSILGAANQQLPGLELGLSQDGHIGVLNHQALSQIYQQMGQARVLQQQQNQQNPPAKDDSQGSGH >KJB34497 pep chromosome:Graimondii2_0_v6:6:28034021:28034646:1 gene:B456_006G069000 transcript:KJB34497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTFTAMSSVGSFVSPNGLVMDKKLSSSSNRLSSFASISSSSFLSRRNVVLRRSRLPKISATKELHFNKDGSAIKKLQTGVNKLADLVGVTIGPKGRNVVLESKYYC >KJB38093 pep chromosome:Graimondii2_0_v6:6:48415676:48419780:-1 gene:B456_006G236500 transcript:KJB38093 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RHF1A [Source:Projected from Arabidopsis thaliana (AT4G14220) UniProtKB/Swiss-Prot;Acc:Q4TU14] MADFNSSPSPSAAAAAAACSSFEKPIALTAASCSSPASSIGGVLDDGVEDGCCICLEPFTVQDPTTVTSCRHEYHLQCILEWSQRSKECPICWQSFVLKDPASQELLDAVRIERHCRSRNPSAVATDLHHFHDSIGVEEDTFHSDDSDFDERILQHLALAASRARYIRRRERQRSSGLGPSRVLFSTSPENMPGTLHIYPNSPDECQNLSHGLPQCDSLASGIPSVNISPLSPVTPSVNMVSSSTASGDKAVKPSQPQLGTPHRTSSSETVSFSESIKSKWFTASARYKETISKGTKGLKEKLLARNNSVKELSKGVQREMTAGVAKMIERLDISSKRSGASVPASGGTGSTSNILFKGKGVQDNVFAQNLTNNNVEFVRGLNSEAPSYPSRIMPDKLEASHPQRDH >KJB34582 pep chromosome:Graimondii2_0_v6:6:29427748:29428171:-1 gene:B456_006G073800 transcript:KJB34582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPNPRVVQAFHTLKEIGISEEKVELVLKKLLKLYDKNWELIESKNYRVLADIIFEEEDNKMPEPKKSNAHDEDIDEKGFTPDEFFRPLKRARLKNRKGLATNRSCNTKFVEKNRG >KJB34814 pep chromosome:Graimondii2_0_v6:6:32005140:32006609:-1 gene:B456_006G085400 transcript:KJB34814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMALGKIRRSSSLKFNHGVVNDGEESGLRRFVRCNSGLGRKRIEISNEIEEFSPIDSPTKVPLLKRHCSERIKKMMMIDDCDEKSVLESLPQDVLVKIICGVDHEDLKQLFKVSKSIREITIIAKKMHFAYSTPRKVKAFRTSIDLEERSELIDGIEEAPNAPRQWRSYRSINRKKLAGISVALFASHEN >KJB34083 pep chromosome:Graimondii2_0_v6:6:15385407:15386276:1 gene:B456_006G047200 transcript:KJB34083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKRSSILEDPLPVNGFKESVSIFGRMNLEVRMKILEDKVDNETINWMLENFKLSVKQLIEAVLTKDELQHLAFLCKSEVDSIGRLTAGILRLLKLEKSLGKEAMNKLSNLGIEGFEKIFSSDKLSQGSKLQGITTLALLEEAVSDSQAKCAALITESSNSESS >KJB33790 pep chromosome:Graimondii2_0_v6:6:8002514:8003230:-1 gene:B456_006G030900 transcript:KJB33790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAEDNLPPLTNPEVLSTNINLDFSSKQINVHLDDMNYLLCQHQVLFTIHGHAREYFLDGSAPIPPKVVVSATGETTLNDAYSQIFKQDCALVSWLLSTVSPNFLPQISTLLQLFSTSSTTKVMHLHCKLRSMRKGSSSMHVYLTKIKEIYDTLTTCGISIQEIEHIATILNGLPHEYDPFVAVITSSQESYALDCVVSVLMDAESQLYNPLRLLPSINITQVSYFP >KJB33056 pep chromosome:Graimondii2_0_v6:6:47949980:47950941:-1 gene:B456_006G228900 transcript:KJB33056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRSLGFFFLLLIVLASQWGVQPTEARVCQSQSHKFHGACLSSHNCAMVCRNEGFSGGRCRGFRRRCFCTKLC >KJB37016 pep chromosome:Graimondii2_0_v6:6:44371368:44376444:-1 gene:B456_006G186700 transcript:KJB37016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHNIQDDDKDKEALAGLSSVPPPQRKMHSYSQQLRANSAHKRHHQVRKHSLDDIPKPSDHYYNNEDSSDDDIFARNSNNASGEDYIITHSQRLDQNLSLDSGCPPDDSRNFQPLPEFIGAGGTNGIFKVPMRATVHPRRPPCLELRPHPLRETQVGKFLRNIACTDKQLWAGQECGVRFWRFQDAYQPGLGTKVRRGDEDAAPFQESGNTAPTICLLVDSANRLVWSGHKDGKIRSWKMDHAADEPSPFKEGLSWQAHRGSVLSIVMSSYGDLWSGGEGGVIKIWPWESIEKSLSLRPEEKHMAALLVERSFIDLRSQVTVNGNCSISSSDIKCLVSDRVRAKVWCAQPLSFSLWDARTKELLKVFNIEGQTENRVDMPSSDQGGAVEDEMKVKFVSSSKKEKSGGFLQRSRNAIMGAADAVRRVATRGAGAFAEDNKRTEALVLAADGTIWSGCTNGLLVQWDGSGVRLQDVSHHPCAVQCFCAFGARIYVGYVSGMVQVMDLEGNLVAGWMAHNGPVIKLAAGDGYIFSLATHGGIRGWSISSPGPIDSLLRTDLAEKEPIYSRQDNVRMLVGTWNVGQGRASQEALMSWLGSVVSDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSSIGHWWLDTIGKALDENTTFERMGSRQLAGLLVSLWARKSIRTHVGDIDAAAVPCGFGRAIGNKGGVGLRIRVYDRLICFVNCHLAAHLEAVNRRNADFDHIFRNMSFSRSSNLLNNAAAGASAAVQTTRVTNAAGVNTEETKLDLAEADMVVFLGDFNYRLFGISYDEARDFVSQRCFDWLREKDQLRAEMKAGKVFQGMREAIIRFPPTYKFERHRPGLAGYDSGEKKRIPAWCDRVIYRDNRSGPVDECSLECPIVSSILLYEACMDVTESDHKPVRCKFHSTIAHVDRSVRRQAFGEVLQVNKKVKAILDELRYVPETVVSTNNIVLQNQDTSILRITNKCEKDKAIFRIVCEGQSTVKDEEDTAEYHPRGSFGFPRWLEVTPAAGIIKPEQSVEVAVHHEEFHTLEDLVDGIPQNWWCEDTRDKEVVLTVSINGSCSTETKSHQIRVRHCFSAKTVRIDSKSSTSKKSQGGSRKISSSSDAIDDSRN >KJB33746 pep chromosome:Graimondii2_0_v6:6:7304316:7305103:1 gene:B456_006G028600 transcript:KJB33746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGFFGKVGMRYFHKLRNKFYCPIVNIDKLWSLVPQEVQTKANKDAAPMIDVTEFGYFKVLGKGVLPENQPIVVKAKLVSKTAEKKIKEAGGAVVLTA >KJB38044 pep chromosome:Graimondii2_0_v6:6:48240496:48242330:-1 gene:B456_006G234000 transcript:KJB38044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLADAKSNIIVQVYVVIRNSNSAEIAAEENGCDHKI >KJB36027 pep chromosome:Graimondii2_0_v6:6:39326299:39326836:1 gene:B456_006G136900 transcript:KJB36027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYSELLGAGVRIAARFHSHCPQTARLYYHPPPNTDACHHCDPSSDGSFGSCSTNLSRKSKTPDPNSTPVTSFGAKATVVLDSTHFLFYSIS >KJB33252 pep chromosome:Graimondii2_0_v6:6:871786:876406:-1 gene:B456_006G004100 transcript:KJB33252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFGFAVFFIVIEFWQAVNGSRCNVYKGKWAYDASYPLYNFTDCPFILEQFNCQHNGRPDHLYQKFRWQPTACILPRFNGRDFLQRFRGKRIMFVGDSLSSNQWLSLVCLLHKSTPHAKYTSQRIGGLSTFSFPAYRVSIMLSRNAFLVDIVNGKHGRVLKLNSIGGGPLWKGVDVLIFDTWHWWLHTGRKQPWDYVQDNNVTHKDMNRKVAYEKALRTWARWVNLNVDPAKTKVFFQGVSPDHMNSKDWVDRTANTCVGEIRPLLSGEYLAGPHPAQVILNKVLRSVSKPVHLLDITGLSQLRKDGHPSVFGYRGRHGIDCTHWCLPGVPDTWNELLFAALIQT >KJB36359 pep chromosome:Graimondii2_0_v6:6:41321238:41324179:-1 gene:B456_006G154800 transcript:KJB36359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWIPWNTQLRELAKQCQYLEALTLYRQMLRCGSSPNAFSFPFALKSSASLPLPLSGQQLHCQVIKSGCSQEPFVLTSLISMYCKFSSLGNARKVFDENPISNQLTVCYNALISGYALNSRVFDVIALFCRMREMGVSVNSVTMLGLVPVFSEPGYISVGMCFHCCCVKLGLNLDFSVANCLVTMYVKCGAIEFGRNLFDEMPKKGLITWNAMISGYAQNGLAADVLELYRKMETAGVCPDAVTFVGVLSSCANLGAVSVGHEVEQRIESSRLGLNPFLNNALINMYARCGNLVKARAIFDGMPVKSVVSWTAIIGGYGMHGYGEIAVELFDEMIKSGIRPDGAAFVSVLCACSHAGLTEKGLECFSEMKMKHRLQPGPEHYSCVVDLLGRAGRLNEALELIKSMQVKPDGAVWGALLGACKIHRNVEMAELAFEGVIEFEPTNIGYYVLLSNIYSEAENLEGVLKVRVMMRERKLKKDPGFSYVEYKGRVHLFLAGDRSHPQKKEIYRMVDELEALVKKLAGCKDNEERRNIEALLGMGVHSEKLAIVFGLLNSEPGTEIVVIKNLRMCEDCHLFFKGVSKIVTRQLVVRDATRFHHFRDGHCSCKDYCTKIDFILKLRNLLEACGVPVQLGGCKCNNMCSNAVWIPENETGSRERADDQPAID >KJB36376 pep chromosome:Graimondii2_0_v6:6:41423217:41424462:-1 gene:B456_006G155800 transcript:KJB36376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREVEPLMVGRVIGDVMDSFIPSIKMLVTFNNKQVFNGHEFYPSTVVTKPRVEVAGGDMRTFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDATFGREVVSYENPKPNIGIHRFVFVLFKQKRRQIIKSPCSRDNFNTRRFASENDLGLPVAAVYFNAQRETAARRR >KJB36943 pep chromosome:Graimondii2_0_v6:6:44102115:44102980:-1 gene:B456_006G183600 transcript:KJB36943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQERQELDARARQGETVIPGGTGGKSLEAQEHLAEGRSRGGQTRKEQLGREGYQELGSKGGQTRKEQIGSEGYQEMGRKGGLSTMDKSGGERAAEEGIDIDESKYRT >KJB35769 pep chromosome:Graimondii2_0_v6:6:38006855:38009233:-1 gene:B456_006G127200 transcript:KJB35769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHRFRSRSFFALAKLLAIFCPLLLIFYTFLYNPPCNEPSSDMLSPMVVKLSNSTETVNYPTNISHIKFVLVGCTKTWLTRRPYTEAWWRPNQTRGNIFMDSPPPKEFLPWPKTSPPFQLNTDATKLPVYPKLANPIEARIFRSVLDSFSLGDNQGVRWFVMADDDTVFFIDNLVQVLAKYDHTKQYYVGMHSESVISNFLFAFDMAYGGAGYALSYSLVEQLAPLMNDCLERYPFMHTSDHLTSSCLFDLGVALTLERGIHQIDLLGDISGMISAHPQVPIVTLHHFDNIDPIFPNRNRTEAVKHLMKAAEIDQSRLAQQTICYDKPMNWSVSVSWGYSVHIYESIIPRSILRKPLETFKPWKDGPPPLYMFNTRLLTNDPCHTPHVFFMEYIRKLKGNSVVLTTYRRKAPRRQQPCFVPGNYSADNILRVRVFSNATVRKEAGKIDCCNVLHMAGMNVMNIKLRNCRKGEVIA >KJB36805 pep chromosome:Graimondii2_0_v6:6:48083118:48083721:1 gene:B456_006G231400 transcript:KJB36805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPKHVQLPQHSFSANKQNSYLGMQFASGFPLTSKMLAKAVVELESTRKATASLRAAVAIGGGVVARTSAIVTKTTPNFFHDSIFNSMCKLIETGLLYIEMPKIF >KJB34733 pep chromosome:Graimondii2_0_v6:6:30860051:30864883:-1 gene:B456_006G080900 transcript:KJB34733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFGWLKLENLIFRKVKKIIFFQFGESRTECSNAVVQNYENSNVQRKKSSDCERRVTTSSMVELSMTMEDIVQLIEQESDAELRTQVEN >KJB36628 pep chromosome:Graimondii2_0_v6:6:42759461:42760761:1 gene:B456_006G167900 transcript:KJB36628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNLSLSLIGAMDRLWFHQIILFPEPISLFSFKPLKPQQPYSESTATPTSPSATSLAEDNISTPVCPLFDHQPLAPADDSKDEEEEEEMKKRARRGSVSSRSRSHSSSPSTEKRLRNHRHSTSRSLGGKLKKSTSCKSLEDLELEEVKGFMDLGFIFKKEHLDSRMIDVVPGLLRLGFLKTQPNLPADEHEVSKDDDDDDDDIEQEDQESGVIRPYLSEAWLIKRPDSPLLNLKVPRVSAAADMKKHLKCWARTVASVVQQEC >KJB33891 pep chromosome:Graimondii2_0_v6:6:10089621:10090732:-1 gene:B456_006G036700 transcript:KJB33891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLKSKRFGRGTFKFGNGGNSVKGGEKRCGNNDNTIISEIKWELRPGGMLVQKRETSGSSVGEGMITVRVSTVSECHDVSIESTSTFGELKMILSLITSLEPREQRLLFKGKEREDDEYLHMVGVKDKDKVLLLEDPAIKEMKKLHRLAGTQQIGTPYCTISV >KJB33054 pep chromosome:Graimondii2_0_v6:6:46757741:46758662:-1 gene:B456_006G213700 transcript:KJB33054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPLVYRRLKKAKTRRQYECLSSGAAKSYDISEFYVQSPSQYMKPNNTSMAEKNIGGQGHRRRMSTGDISGMDGMETGESTTPAVAKLTRFRSHRMFSCVTGC >KJB35760 pep chromosome:Graimondii2_0_v6:6:37951683:37955386:-1 gene:B456_006G127000 transcript:KJB35760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRAUCO [Source:Projected from Arabidopsis thaliana (AT1G51450) UniProtKB/Swiss-Prot;Acc:Q9C8J7] MDSLQPTYKHECHDQPPPSTIAAAPPPNDTLLHDDAVPIKDIETPNGISTGKPQTTQLPAASSDSLSEEDPTTTTTTASDDTQNPLKAPRNYDEFEEDDEEPLPKKQKQLSSLTTPPQCPNSVSTINDDNPSAAAVENNNNNGDVPSNPSPATKAKTTKKSKKKNNNVWVSKTSRKGKKKSKVNNQNGGNGEDTVLITPVPRFPDKGDDTPDMKICLSKVYKAEKVELTEDRMSAGSTKGYRMVRATRGVVEGAWYFEIKVVKLGETGHTRLGWSTDKGDLQAPVGYDGNSFGYRDIDGSKVHKALREKYGEEGYKEGDVIGFYINLPEGGSYAPKPPHLVWYKGQRYVRAPDAKEEPPKVVPGSEISFFKNGVCQGVAFKDLFGGRYYPAASMYTLPNQPNCVVKFNFGPDFECFPEELGGRSLPRPMVEVPYHGFDNQVENGVASEKKQ >KJB35247 pep chromosome:Graimondii2_0_v6:6:34911957:34913510:1 gene:B456_006G106500 transcript:KJB35247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSAPIALLIILLFIPIQARNHPPPCSTSCGDIHNIGYPFRLKGDPPHCGDPDYELYCDNHNRTILNFHAGLYYVNNISYAQRLIRLVDINLAAGNCGLPYRSLGLEEVVGDGRYYRQYFSPHATFVRCSKEINSMGSSMVPCLSGNTSRVYLNYTNYMLYDSHITSACDIIAMVLSDHKVDQFPSSYEEIQRTLQLGFDLRWAVECRDCRADGGYCQFPTQESSRFHCSKEDDYATQLRNAILFLAYVFVIGLILFCRYILAPLVIFAFLLHKCFSSRNRIPR >KJB37869 pep chromosome:Graimondii2_0_v6:6:47587680:47589357:1 gene:B456_006G223700 transcript:KJB37869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGLVEIADYYRRFFSLQCYLGPRFAAIDVIFSLTAVEVFSGLLILIIMEAKFFRFLKIVGVGYKARAEAEGRLLFLKLGYSHEVELPVPPAVRVFCFKNNVVCCTGLDKQRVHQFAATVRSCKPPEVYKGKGIMYIDEVIKKKQGKKSK >KJB35685 pep chromosome:Graimondii2_0_v6:6:37577485:37581436:1 gene:B456_006G124000 transcript:KJB35685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSATNIVGEQLATAFPNLSLQEQKEMKNKGAVGEEGCESHSGICAICLDKIVLQETALIKGCEHAYCVTCILRWATYGKSPKCPQCKHPFESLNVHRSLDGRINDYMFEESVCLLLRASWFKPLNVEECEVYDDLEGYYHYYPYPCEDDDDEEEDEVYYRSSPSLRIGNRRWGDNGYVRSGRREARPVQQSSSSSQNSDASSSSEPKKKEVSKSIMGRRAKRALKREAADKAAAAKHQEHLVRLGRK >KJB34309 pep chromosome:Graimondii2_0_v6:6:21643522:21647924:-1 gene:B456_006G058700 transcript:KJB34309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLLLVAFMLMVDEGGVAALDSEKCKVTNCKRYGPPVRFPFWLKDQRCGYPDPGFQLSCSQNKQTMLHLPRSVKMAVQYIDYEKQTIDVSGPDGETCLYQQLPSLNLSASPFIFFEQLQNITLFNCSEKSDKDSYGVDACPIIALPSDTRNNFNLVNCTKFVDISGVPRYFEFGIRLQWSKPLCIECEAQGRSCRLKNVSTPPQTECYDTPKPSTQTGLPTKVKLIITGAILASFLILLTVIGLYYVYHQDKKKKESQSKIEKFLEDYKAFKPSRYSFADIKRITNEFKDKLGQGGYGTVFKGRLSNDVLVAVKILNDSNGNGEEFINEVGSMSRIHHVNVTRLVGFCADGYKRALVYEYLPNESLEKFIFAAKGENHVLGWNKLQDIALGIAKGIEYLHQGCEQRILHFDIKPHNILLDQNFNPKISDFGLAKLCSKDQSAVSMTAARGTMGYIAPEVLSRNFGNVSYKSDVYSFGMLLLEMVGGRKNIGVTVENTSQVYFPEWAYNHLDNGEETGIRIENESHSEIERKLTIVGLWCIQWYPVDRPSMKVVIQMMEGEADSLTKPPNPFASADHMKMSAFKYKKPVNIELAVIAE >KJB37923 pep chromosome:Graimondii2_0_v6:6:47788143:47789912:1 gene:B456_006G226500 transcript:KJB37923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPIVNGSHCHNQLWFIIRASFVFCLVLLCLDYSVLSGVKNGVFPLVSQSFSGSQKTHNATQFAAIDEISVPNPISSDAHRDFPFRENNYNITLANVTDPCSGKYIYVHRLPTRFNNGVLRDCRNLIKWFNMCPSLMNSGFGPKVVKPQGVLSRKNWFETNQFMLELIFHQRIKQYKCLTNDSSMASAVYVPFYAGLDVGRYLWGYNTSVRDSAAYGVADWLRKQHEWNRMFGQDHFFVAGRIAWDFRRQTNNESEWGSKLMNLPEFMNITMLSIESSSWSNEFAIPYPTYFHPLRNINVVHWQKRTRTRKRRYLFCFAGAPRPTMEGSIRGEIINQCLSAPNRTCKFLDCKAEGNKCDAPVEVIKVFRDCNFCLQPPGDSYTRRSTFDSIISGCIPVFFHPYSAYAQYIWYFPKNYTKYSVYIPQNDIKNGNVSINRVLSRFSEHRISEMRKEVVKLIPKVIYANPKSRFERFEDAIDIALKKVTERVNKVGEVMKEGKDPSVDFAAGNQWKLKLAGIVGDQALETLF >KJB36401 pep chromosome:Graimondii2_0_v6:6:41713091:41713984:-1 gene:B456_006G157700 transcript:KJB36401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEKRIEIDENERLSSYQYVGRTGSVIPTASLPGTDVSIEEIRSATSFSTPYPPSIHAPLISSPEPLPNEQAIPHQSPYATDYGTYSNDFQRQLLDEVEIRELLIDHIGYQCCWGSCPARTWKIHAVEDCNV >KJB36877 pep chromosome:Graimondii2_0_v6:6:43844160:43845798:1 gene:B456_006G180900 transcript:KJB36877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRGFEILSPPSYSHASNWLFQESKDIKWTHQENKRFENALALYDQQTPDRWFNVAAMIPGKTVADVIKQYRELEEDVSDIEAGLIPIPGYLTDSFTFNWVNNHTPGGKTGTGTPPCDQERNKGVPWTEDEHRQFLMGLRKYGKGDWRSISRNFVTTRTPTQVASHAQKYFIRQLTGGKDKRRPSIHDVTTLNIADTPSSSPDHGKPSSPKNASAVAGVTNEGAAIVFDQRSSGNALLSPFCKVPSYGPKLDEQNLASGTLPTSQFGSYRGM >KJB33757 pep chromosome:Graimondii2_0_v6:6:7553017:7553490:1 gene:B456_006G029500 transcript:KJB33757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDALGVPIGGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIELIIEDRQTGKTTIAIDTILNQKQMNSRATFESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPTPLQFLALITITGFNTKLTFYPF >KJB37009 pep chromosome:Graimondii2_0_v6:6:44342959:44346080:-1 gene:B456_006G186500 transcript:KJB37009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSPLRDNFLAYDNDYSKEVEAGSKIQGLVSRLVPQKGTLVPVPKFMRFCITNDVQSQMVKLLSSHIDLLESGSVSEVGSNEIQVTGSYEAPSFAQSIYPLLLDLHDIFVDVPSIGRALAVSQKLLLDVNRGEAVDIRIMSEVYTFRIAVEALRIALNNAGRLPIDKLRNGRTFEIAFSELPFEDKSHAILAQALQSQAKKFKTVVAIVDASSLAGLRTNWNTPVPPEVKDLVSHLVVDDAGDGDTSDHTDNKRSLSNKPVVAVGVGATTVLGASSMSKVIPASNFMKVVTLYVPASVKLVMTQTQKVVGIALGKTLGPSKLVAPGLASSGGNSSLFKAAVSAKKIRTVVHGVIASAEKTSLSAMRTAFYEIMRRRRVQPTGVLPWATFGCSIATCASLLAYGDGIECAAESLPAAPSIASLGRGIQSLQQASQAVRQTDDNRIQKSIERLMYRLKKAKIQ >KJB37010 pep chromosome:Graimondii2_0_v6:6:44343826:44345703:-1 gene:B456_006G186500 transcript:KJB37010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAFLSTLQNIWPFSVFKFDDLRASRDLVHKLSIPDHTKKFVFAVRPPHSHSVIYILSAQNLSERSAADAECLIRAIRPDAVVAQIGYSALSDIQSEDTLVDSTVPTSSFEVLRRCFVDKINKDQFDNVAGKLVLREIFGVGFHGHILAAKRAAREIGSAFMVLDSPLRDNFLAYDNDYSKEVEAGSKIQGLVSRLVPQKGTLVPVPKFMRFCITNDVQSQMVKLLSSHIDLLESGSVSEVGSNEIQVTGSYEAPSFAQSIYPLLLDLHDIFVDVPSIGRALAVSQKLLLDVNRGEAVDIRIMSEVYTFRIAVEALRIALNNAGRLPIDKLRNGRTFEIAFSELPFEDKSHAILAQALQSQAKKFKTVVAIVDASSLAGLRTNWNTPVPPEVKDLVSHLVVDDAGDGDTSDHTDNKRSLSNKPVVAVGVGATTVLGASSMSKVIPASNFMKVVTLYVPASVKLVMTQTQKVVGIALGKTLGPSKLVAPGLASSGGNSSLFKAAVSAKKIRTVVHGVIASAEKTSLSAMRTAFYEIMRRRRVQPTGVLPWATFGCSIATCASLLAYGDGIECAAESLPAAPSIASLGRGIQSLQQASQAVRQTDDNRIQKSIERLMYRLKKAKIQ >KJB34862 pep chromosome:Graimondii2_0_v6:6:32198499:32200269:-1 gene:B456_006G087400 transcript:KJB34862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGITARISWNHRNLRYGYQEFKFPDQPDSSSSDMEFEFLEDGDDGFFFESCNGSVDEVRGNEMAGLGFGDDDEDEGKGNDAVGVKNDGNYWESQHQLLEATLRRTSSLESRIRNAAKEALNDVRRPGNVCGCGKSMAESCRVCVMREVSCRLQNAGFNTAVCRSKWPSSAHIPSGEHTFLDVIEESRKGEVRVIVELNLRAEFEIARASDDYNRLVQRLPQIYVGKVERLNNVIKILCLAAKKCMKEKKMHMGPWRKHSYMQAKWLRFCERNTSTETLPAPVGCSVRSSKPRASLLTVDLLGTTLSNVRCAAV >KJB33109 pep chromosome:Graimondii2_0_v6:6:33808049:33808547:-1 gene:B456_006G097600 transcript:KJB33109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEILVANKEILVPPCVNFGLFSKIIPRFIIFPTSLYDHCWLSAFSAKGNELDTTFLSDIFRSLRHDQSRTDKIQVPSSNQTSY >KJB37876 pep chromosome:Graimondii2_0_v6:6:47621606:47623612:-1 gene:B456_006G224400 transcript:KJB37876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEELHGCVQYIREAATAGCVVDLSSTISSFSTDISCRMIIGKKYDHDDFSEKGFKATLREGMQIGATINLADYIPQIRALDLQGLTKRMKIIAKDFDDFFEKIIDEHVRSKDENRVKDFVDVMLGFMGSEETDEYRVERDTIKAIILDMLTASMDTSAAAIDWTLAELIRHPQVMKKVQKELKNVIGMKRMVEESDLEKLKYLDMVVKESFRLHPVAPLLAPHASREDFTVNGFDIPKGARIFVNAWAISRDERVWTDAERFYPERFIGSDIDLRGRNFELIPFGAGRRGCPGMQLGLTVVRLVVAQMVHCFDWELPNGMLGSELDMREEFGLVCPRANHLLAIPTWRLKD >KJB37877 pep chromosome:Graimondii2_0_v6:6:47621790:47623540:-1 gene:B456_006G224400 transcript:KJB37877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMASTLLALAVTLVFFFQALTWTRRGKNKRLPPGPKGLPIIGNLLMIGNNPHHDFQRLAQKYGPIMHLRLGLMPVIVVSSAEAAELFLKTHDLVFASRPPHEGSKLICYNQQNLVFSPYGSYWRNMRKMCTLELLSNHKINTFRSMRMEELHGCVQYIREAATAGCVVDLSSTISSFSTDISCRMIIGKKYDHDDFSEKGFKATLREGMQIGATINLADYIPQIRALDLQGLTKRMKIIAKDFDDFFEKIIDEHVRSKDENRVKDFVDVMLGFMGSEETDEYRVERDTIKAIILDMLTASMDTSAAAIDWTLAELIRHPQVMKKVQKELKNVIGMKRMVEESDLEKLKYLDMVVKESFRLHPVAPLLAPHASREDFTVNGFDIPKGARIFVNAWAISRDERVWTDAERFYPERFIGSDIDLRGRNFELIPFGAGRRGCPGMQLGLTVVRLVVAQMVHCFDWELPNGMLGSELDMREEFGLVCPRANHLLAIPTWRLKD >KJB36070 pep chromosome:Graimondii2_0_v6:6:39616186:39619613:1 gene:B456_006G139200 transcript:KJB36070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLCKYPFLLSLCFTFFMFSSASTQEADALLNFKAFIDDPNHSLSSWSNNSGVHHCNWTGITCIPTPSLYVSSIDLQSLNLSGEIPSSICELPYLSHLNLADNLFNQPIPLHLSECSSLETLNLSKNLIWGTIPDQISFDALKVLDLSKNHIEGKIPESIGSLVHLQVLNLGSNLLSGTVPFVFGNFTELAVLDLSQNAYLASAVPTDIGKLEKLEQLFLQRSGFLGEIPESFVGLSNLITLDLSQNNLTGKLPQTLGSSLKNLVSFDVSENKLLGSFPSGICDGKGLKFLSLHTNFFNGSIPESISKCLNLEIFQVQNNGFSGDFPNGLWSLPKVMLVRAENNRFSGELLDSISKAAQLEQVQIDNNSFTGKIPQGLGLVKSLYRFSASLNGFSGEIPPNFCDSPVMSIINLSHNTLSGQIPELRKCRKLVSLSLADNSLTGEIPPSLADLPVLTYLDLSHNQLSGSIAPGLQNLKLALFNVSFNQLSGRVPSSLISGLPASFLEGNPGLCGPGLPNPCSDEHPKHHSSGLTTLTCALISIAFAMAMLIVAAGVFVFHRFSKRKSQPGVWRSVFFYPLRLTEHDLVMGMDEKSALGNGGPFGRVYTISLPSGELVAIKKLVNFGTQPSKALKAQVKVLAKMRHKNIVKILGFCHSDESIFLIYEFLQKGSLGDLICRPDFELQWSARLRIATGVAQGLSYLHKGYVPHLLHRNLKSTNILLDADYEPKLTDFAIDRIVGEAPFQSTITSEFAHSCYNAPECRYSKKATEQMDVYSFGVVLLELITGRQAEDIESLDSLDIVKWVRRKVNITNGALQILDPKISSSSSQKEMLRALETALHCTAVMPEKRPSMIEVVKTLESLNSGSCVPSTLDSEEQMQSVGV >KJB38518 pep chromosome:Graimondii2_0_v6:6:50012510:50014878:-1 gene:B456_006G259100 transcript:KJB38518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLETRSLLDELRSFDKGGFFDLGHPLLNRIAESFVKAAGIGAIKGVAREGCYLALEGTKPRSSSTDISAKNNKKNPFPYLRGETNRKSLEAMVKSTGKESLQWGLAAGLYSGITYGLQEARGAHDWKNSAVAGALTGMTVALTCEKPCQEHVLQCAITGAALSTAANLLTGVF >KJB33051 pep chromosome:Graimondii2_0_v6:6:41382795:41385012:-1 gene:B456_006G155200 transcript:KJB33051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATRRRSQGGLFEGLYRVIMRRNSVYVTFVIAGAFLGERAVDYGVHRLWEYNNVGKRYEDIPVLGQRQSEE >KJB33050 pep chromosome:Graimondii2_0_v6:6:41382795:41385144:-1 gene:B456_006G155200 transcript:KJB33050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATRRRSQGGLFEGLYRVIMRRNSVYVTFVIAGAFLGERAVDYGVHRLWEYNNVGKRYEDIPVLGQRQSEE >KJB36340 pep chromosome:Graimondii2_0_v6:6:41208692:41209864:-1 gene:B456_006G153500 transcript:KJB36340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAWIENSSARRASLRKRRQGLVKKVTELTTLCGVEGGIVIYSRNEQEPIVWPSREEVERLLRKFNEVPEVESMKRSMNLETYYKEMISKSQDQLRKQNRKNKEMKVGQLMLQIEQGKMPDDFNVNELDDLIWYGETMRTDIRKLMEFYEQFPSSSVGLTQGDAPLPPPPTQGRAPAVGQTIGIANAGVGDKDTSEGFPWEDRLNSNTNTNYIRGEASSSIRREMGLTYHNLFAAATGDHVKLPGSSLGGVGSSSLQQPRPSHGGSSRIAPESGLTGFSYGGSSSAAIEPRPSMPPFGYDSSSSVRTANPELPPFEPLRDDLLKGDNIGPFDNDMGPGHYPLGPLESSSPASDIGGNPLRFFGGESSSHGAAGSRETGPFDDKN >KJB35225 pep chromosome:Graimondii2_0_v6:6:34768301:34771650:-1 gene:B456_006G105300 transcript:KJB35225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLSSDLFPSCHVFKSCLPFLFVALLFQFQTGFSALIMSLKNHPGHPQHRRPMFQTNQSTCALFVGTWVRDDSYPLYQYSNCPIIDAEFNCQLYGRPDSDYLKYRWQPLNCVLPRFDGLVFLSKMRGKNVMFVGDSLGRNQWESLICMISASTPKMQTQIIRGDPLSTFRFLEYGLSISFYRAPYLVDIDVVQGTRILKLEGIDENGNAWRMADVLVFNTGHWWTHKGSLQGWDLIESGGKYYKDMDRLVAMEKGLRTWSNWVDTYVDRTRTRVFFQSISPTHYDPSEWGTGATATVTKNCYGETAPMTGTTYPGTYPDQMRVVDEVIREMHVPTYLLDITMLSELRKDGHPSIYSGDLSPTQRANPDRTADCSHWCLPGLPDTWNQLFYTVLFY >KJB34222 pep chromosome:Graimondii2_0_v6:6:19370884:19371931:-1 gene:B456_006G053700 transcript:KJB34222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVPPTGASSQASRGSKRKWVPEEDATLVSCMVDLHNVGTFNADTGFKAGYLNELEKMLEKALPRAMLKAKPNIESRIRCLKREWSVVYDMLNGQNNSGFGWDEHRQLVVAEDAVWESYVKSHKEASQFRHRSFPYYNQLIAIYARDRATGKDAQTAADVLEEIHAEDERTTDMNEERNTFYDCEADVSLDDMDVSGTDPRGDRDQGGCHFVGEKIQTVGDQISRTMASEVVVQQKSEEKMEEKASNLYSALWSIEGLTDDQWYDALSKIPDHSTQMIVFFSLPSIARLEWVKRFLSHH >KJB33871 pep chromosome:Graimondii2_0_v6:6:9462513:9462925:-1 gene:B456_006G0353002 transcript:KJB33871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPAPSPPTATKNLRRMWAFPNILLLSIQINGSSIYQYVWSWTINNDFSFEFGYFIDSLTSIMSILITTVGIFVLIYSDNYMSHDEGYLRFFAYMSLFNTSMLGLVTSSDLIQIYIFWELVGMCFR >KJB33630 pep chromosome:Graimondii2_0_v6:6:6049931:6051980:-1 gene:B456_006G023000 transcript:KJB33630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLSFLLFLLLVVSFAFVNGEKSKDDKSKDDKSKDEKSKDSKSKHEVGIYELKNGNMSVKFTNWGASVISIILPDKDGKPGDIVLGYDDVKEYMSDANYFGSVLGRVANRISGAKLPLNGAQFTLPANDGKNTLNGGPKGFSDVIWEVKKIKKDAEDPTIVFGYRSKDGDEGFPGEIKVTVRYLLRSDNRLRVQLKAKTLDKPTPVNLATHIYWNLGNHDSGDILSHKLRIYGPQYTPVNEELIPTGALQPVQGTAYDFLELRPIGSGIKELQKGYNINYVIDGPNDHIKMKKTAVVKDEKSGRVMKLHTNQPGVQFNSGSQINNVKGKGGVIYKPHAGLCLQTQGFPDAVNHPNFPSQIITPEKPYKHKIHYKFTIASSDKKSDKA >KJB33908 pep chromosome:Graimondii2_0_v6:6:10579321:10582235:-1 gene:B456_006G037900 transcript:KJB33908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVAPPTQRSDPSSIGGGPGDLRVYQSWKGSNIFLFQGRFIFGPDVRSLGLTILLILAPVSIFCVFVARKLMDDFSHHLGISIMVVAVVFTLYDLVLLLLTSGRDPGIIPRNAHPPEPESFDGNADVGAGQTPPLRLPRIKEVEVNGISVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFAFSWVYISRIMASEDTTIWKAMIKTPASIVLIVYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGVVDNFKEIFCSSIPSSKNNFRAKVPREPVLPTRASGFMSPNMGKATDDIEMGRKTVWVDNGATTDDCEGQFTSERVNVKEGELGELSPDFRSTVDGTGEHASIHPRRSSWGRRSGQWEMSPEVLALAARVGEPNRVIGSSSGRSNLTTENRQT >KJB35555 pep chromosome:Graimondii2_0_v6:6:37038585:37039268:-1 gene:B456_006G120100 transcript:KJB35555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYREYIPLARPHTSDHSSDPNPPPSAQTCGGSSEVLQGNTARKPRGRPPGSKNKPKTPTAITRDNDSAMKTAVLQISAGFDVIENIINFARRNHVGVSIISATGSVSDVILQYSSSQGAPYRFAGAFGILSFSGSFFIDHSTTPTPCSSFSIILSGGQTQLFGGIVAGKVMAATPVTVVLLTFASPSFHKLPYEGDDEDHHHQTMPCNIDGAIQCYIPFIPWASS >KJB35184 pep chromosome:Graimondii2_0_v6:6:34398913:34402633:-1 gene:B456_006G103200 transcript:KJB35184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVFPTWILIAALLFEAAVVMGSFPATLKLERKIPLASHELELSQLRERDRLRHGRLLQSSSGGVVDFPVMGTYDPFLVGLYYTKVQLGSPPREFYVQVDTGSDVLWIGCNSCNGCPQSSGLQIQLNLYDPGSSSTASLVSCSDQRCSAGLQSSDSGCSAQSNQCSYTFQYGDGSGTSGYYVADLLHFNSILEGSVITNSTAPIMFGCSVLQTGDLTKSDRAVDGIFGFGQQSLSVISQLSSQGITPKVFSHCLKGSNSGGGILVFGEILEPNMVYTPLVRSQPHYNLDLRSISVGGQVLSINPSVFSTSNNQGTIVDSGTTLAYLADEAYDAFMSAITNAVSQTVRPILSKGNQCYLITSSVNDIFPQVSLNFAGGASMILNPQDYLVQQNSIGGSASWCIGFQKIQGQRITILGDLVLKDKIFVYDLVNQRIGWTNYDCSMSVNVSTNINTGRTEFVNAGQMSNDGSSRDQVRGMLALLLPIIMLTGLLFL >KJB35185 pep chromosome:Graimondii2_0_v6:6:34398946:34402387:-1 gene:B456_006G103200 transcript:KJB35185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYVMFFFFLQIQLNLYDPGSSSTASLVSCSDQRCSAGLQSSDSGCSAQSNQCSYTFQYGDGSGTSGYYVADLLHFNSILEGSVITNSTAPIMFGCSVLQTGDLTKSDRAVDGIFGFGQQSLSVISQLSSQGITPKVFSHCLKGSNSGGGILVFGEILEPNMVYTPLVRSQPHYNLDLRSISVGGQVLSINPSVFSTSNNQGTIVDSGTTLAYLADEAYDAFMSAITNAVSQTVRPILSKGNQCYLITSSVNDIFPQVSLNFAGGASMILNPQDYLVQQNSIGGSASWCIGFQKIQGQRITILGDLVLKDKIFVYDLVNQRIGWTNYDCSMSVNVSTNINTGRTEFVNAGQMSNDGSSRDQVRGMLALLLPIIMLTGLLFL >KJB37874 pep chromosome:Graimondii2_0_v6:6:47613078:47614693:-1 gene:B456_006G224200 transcript:KJB37874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHVLISEFCAGNPTKNIKWKNQPKRKYCSNHFCFVLFFPVVLFFMLLCFNHLFFENIKGFVYFHGKSTGSVTYFPGKLNETEDSCLGRYIYIHDLPERFNEDVIKDCQLITRSTDKYSMCKSLENSGLGPGIEALNASDLWKNSWFSTNQFMLEVIFHNRMKKYDCLTDDSALASAVFVPYYSGLDLRRYLWGFNTSMRDLSGIDLVAWLARKSEWKRNSGMDHFLISGRIARDFRRKSNRKSDWGSNFRLLPESENITMLTIETGYSKNDIAVPYPTYFHPANDFQVHQWQEFLRKQNRPYLFSFAGARRSRQKGSIRAEIIDQCQASNKLCNFMDCSLFNQCDDPLNLMSLFRSSVFCLQPPGDSLTRRSTFDSILSGCIPVFFHPGSAYTQYVWHLPKDHNRYSVFISSKDLRVGKVTINQTLLQVSKDEQLAMREEVIKLIPGIVYGDPRSRLETIDDAFDLAINGILKRIKLLTKN >KJB33219 pep chromosome:Graimondii2_0_v6:6:442207:443113:-1 gene:B456_006G001500 transcript:KJB33219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKLSVEDYIQFLSSHKQRPLTVNFLNQIISIHGFKKLTKHKKELSDAVETLDLMDPSRSTLKSSISPNAWLTEKEVIGDLNCLEWQECCVTSIEALNSPLPDQQSIPKPQAKRKRGDTEGADSFSSALLSLQSS >KJB38744 pep chromosome:Graimondii2_0_v6:6:50763190:50771352:1 gene:B456_006G270100 transcript:KJB38744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLSPEPSQEVFPWLKSLPFAPEYRPTLVEFQDPIAYIFKIEKEASQYGICKIIPPVPPASKKAAIGNLNRSLLERAVANASSDSKPAPTFTTRQQQIGFCPRKQRPVLKSVWQSGEYYTFQEFEAKAKIFERNYLKKYSKKASLSALEIETLFWKATVDQPFDIEYANDMPGSAFAALGSKKSSGGGRETGEGVTVAETPWNMRAVSRAKGSLLRFMKEEIPGVTSPMVYIAMLFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPRDAAVAFEEVVRVDGYAGEFNPLVTFSTLGEKTTVMSPEVFVHAGIPCCRLVQNAGEFVVTFPRAYHSGFSHGFNLGEAANIATPEWLRVARDASIRRASINYPPMVSHFQLLYDLALDLCSRVPTNIGAKPKSSRLKDKKKCEGETLVKELFVQDLIQNNDLLHILGKGSSVVLLPKSSTDISLSSESRIASRLRINPKMSLGLCRYKEAMKPSQVLAFDEIMQCKNEEIKGIKGFYSVKGKTVSTYEVDRDSSFTGADYLCKVPSQTLNANIERESSVQGDTLSDQRLFTCVTCGILCFACIAVLQPTEQAARYLMSADCSFFNDWTFGSGVTRDRFTTTHGDGITSEQNPSTRGMNKTAPNALYDVPVQSVECKFQTKNQSKEVPEDTKERRNTSALGLLASTYGNSSDSEDDNVEPKATASGDETNSTNTIPGRKLQHNDFKEEAPVHVIDCDPEPGSKRSPPTINQELVSNGLGDKCSDPAIESYGAEKMRFSKAFARMENADSPFAPNSDEDSSRMHVFCLEHAIEVEQQLRQIGGVHIFLLCHPEYPRIEAEAKLVAEELGIDYLWNNILFGDATKDDEVRIRSALDSEDAIPGNGDWAVKLGINLFYSSNLSHSTLYSKQMPFNFVIYSAFGRNSPASSPTKLNSYGRRSRKQKKVVAGKWCGKVWMSNQVHPFLTQRDPKEQEQEKSFHAWATSDENLESKPENIRKAETSKVAKRFTRKSKTRAGATPSKKAKCIEPESVVSDDSLDGNSLRQQQRFFRGKKPKLIEKEKEISYDSLEDDSLLHHRDLSRRKGAKFIEREASESEDVEEDSDDQQFLKNLGGKQGKYIVENDVVSGDSLDKISAKQYRRIPRSSRDKFMKREGSVSADEQEEISYQFHKRIPRGRQIKLFERRLAVSDDLRADNSLKQYRRKPKGKRAKFFEREEAMSDDASDNDGSQTQHRRIPSGKQMKCTEREDEFSDDSLEGNPQQQHGRIAQRKVSKFSDQEDIVSFDSLKGNSHRQHRRIPRSQLTQFIEREDAGSSDSPDDSSLQQLRRILRSKHAKILEKEDAVSDDSDDTSPQQLRKTPRSRQGKSIESEDVVSYDSSDENYGQPNSSLRSRKKKAPTPRQTKQEAPKNVKQGKRRTTKQVISQQSKQDTPRNRNTKIEQSARQDNSYDEDEIEGGPSTRLRKRARKPSKELETKPKVKKQALKNKAKSASNAKVRDEEAEYQCDMEGCTMSFGLKQELVLHKKNICPVKGCGKKFFSHKYLVQHRRVHMDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGARPYVCAEEGCGQTFRFVSDFSRHKRKTGHSVKKGKIKHESRKL >KJB33697 pep chromosome:Graimondii2_0_v6:6:6970703:6972639:-1 gene:B456_006G027000 transcript:KJB33697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLFFSSYLNNYFLFSIFIFFRFDFDSLSRTKFPLWPHQKKKKEENNKHKGSEKEGRSGLVSSHFIRMKAESGGQDEMAGGVAAEGGGGGGEGSTSSEATINAAERYMKEVMETFGDQEEKLVMFREIMNDFRTERTDIAGVVGRVKELFKGHNNLIEGFNFFLPKGYEITVDKHQPPPDTLEFIRLVKERDESVYRRFMDVIFRYQREHMDLIKLCREVGALFSEDYPDLFVKFIRFLPPT >KJB33600 pep chromosome:Graimondii2_0_v6:6:5214046:5215968:1 gene:B456_006G020700 transcript:KJB33600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELRLVGEYGLRCKRELWRVQYALSRIRNAARDLLTLDEKNPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQRAAAKKAAGGDGDEEEDE >KJB37805 pep chromosome:Graimondii2_0_v6:6:47330921:47332242:1 gene:B456_006G220900 transcript:KJB37805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITRILPEDCLCLIISLTSPADACRSAMVSHAFRSVADCDAVWEKFLPSDYRSIISSSLLSLGKKDVYFHMCFHPILIQNGTMSFQLEKESGKKCYMLGSKALSMIWLLRDTFMPFPWISTSLPGLSDRFPEVANINLVWWIEVKGKIETRNLSPNTNYVIYLVFKLRDRHTIELKHRTVGLHVNDDRVASWELRRVLIDPYRCEALYIRDRGDGWMEVEMGQFLNECGDDRTLEFSLRETDTSNFHNRGIIIEGIELRPKDISSLVDVRQ >KJB34386 pep chromosome:Graimondii2_0_v6:6:34112829:34113579:-1 gene:B456_006G100900 transcript:KJB34386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DTCFIRNVPKFPINRNHTCNSKLPNKQLHNSKRKEKKMASHEQSYKAGRAEGRAHEKGEQMKESMKEKAEAAKQKTMETAEAAKQKTRGAAETTNDKTKQTAGAARGKAEETKETSGGILQQAGEKVRNAAQGATDAVKHTFGMADADEDEHNYPATVTRKD >KJB34058 pep chromosome:Graimondii2_0_v6:6:14262865:14263203:1 gene:B456_006G045700 transcript:KJB34058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDINEDYELECSWIGESMSIKEASTYVETSQFLSCLIDRVEVGFCQNGESVSSGGFVHGIDVPVVGTKGL >KJB35863 pep chromosome:Graimondii2_0_v6:6:38579915:38582825:-1 gene:B456_006G131300 transcript:KJB35863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDTDFSSHKFPSASEVVEEVKELWGMALPITAMNWLVFVRAVVSVLFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGSKNWELLSLSLQRMIIILFLATIPISLLWVNLDNIMVFMGQDKDITAMAATYCMYSLPDLLTNTLLQPLRVYLRSQRVTKPMMWCSLVAVMFHLPLNYVLVMIMGLGVPGVAMASVVTNMNMVVLMVGYVRVSGRCEMRWTAGIGGVCGGVVPLLRLAVPSCLGICLEWWWYEIVTLMAGYLSNPTLAVAATGILIQTTSMMYTVPMALAGCVSARVGNELGAGKPYKAKLAAMVALGCAFVIGIINVAWTVILREKWAGLFTKDDLVKPLVATVLPIIGLCELGNCPQTTGCGILRGTARPAVGARINLGSFYFVGTPVAVGLAFLLHVGFSGLWFGLLSAQVACVVSILYAVLYTTDWEGEALKAKKLTTIEISPAFKKGYEKEEEDEDEDESKGFLGKGNDKIEDIL >KJB38561 pep chromosome:Graimondii2_0_v6:6:50135505:50137593:1 gene:B456_006G260700 transcript:KJB38561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNVAVIGIFSVFLVAAVVAAVVGVTHLKNNGDSKSGEISSSTKAVQTVCQPTQFKEACEKSLESSNSTDPKELIRTSFQAAIEEIRKVLANSATIQDLNKDDNNKAALKVCKEVLDLSIADLQLSFDKLGGYEMSKIGDYLLNLRVWLSGALTTLQTCVDSYAEVNKEQAEKMNSILKTSMELTQNSLTMVTKLSTILNSLNIPGLNIDTTGFERKLLSNDGPEWMGHAERRLLQAKPTDLKPNVVVAKDGSGKYDTITKALAEVPPKSPNRFIIHIKAGTYKEQVLLPKEMTNVMFIGDGPTKTIITNDLNCIRDHPLKTFGTATVGVDGAGFMARDIGFENTAGPPGHQAVAFRATCDMVIMFNCHFNGYQDTLYAHKQKQFYRDCLISGTVDFIFGDAASVFQNCLIIARKPGENQNNMITAHGRKFPYTHSAIVLQNCTISGAPDYLPVKDKSKTYLGRPWKALARTIIMQSNIDDIITPEGYSPMEGTVGLDTGYFVEFQNRGPGAKTEGRVKWPAIKTIDINEAKKWTPGVFLETETDKWISQTGTPCYPDMVPGV >KJB38474 pep chromosome:Graimondii2_0_v6:6:49927197:49929369:-1 gene:B456_006G257500 transcript:KJB38474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDKPAAVAATTTTPPSTTVAASKCPFNYSAVDVGLRVVLFAAAVIAVVVMATSKQTEMVPVPGLPTSVRVPYSAEFTDSPAFVYFMAALSTTGLYSIITALASTLLGRKPSYTNTILLAFALMDVVFVGIVASATGAAGAVAYIGLRGNSHVRWDKICNVYDEFCRHVGTAIAFSLFAAILLVFLTMFSTFALYKKIR >KJB33765 pep chromosome:Graimondii2_0_v6:6:7679721:7682717:1 gene:B456_006G030200 transcript:KJB33765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLAMDARNGESGVVELNNGVSTAYKDSFVEERSPRSALSPKSQHGLAIDGEMEASIEQLYHNVYEMQSSEQSPSMSSYGSYIDESRIDSELRHLVRDFGVVETTEEVVAEKNETEGVCDLASKKQQSESSEKMMKKKKKKKDQARGVKHRYRLQSDTEASAKSSPKSKSSQEKNPFEKERNARKVVNAASPLGKQRNSLVDGSENPDLGPVLLKQTRDMMSSGENPKKALELALRATKSFEKCTDGKPSLDLVMSLHVLAALYSNLGQYNEAIPVLERSIEIPVIEDGQTHALAKFAGCMQLGDTYAMQGQIENSILCYTAGLEIQRQVLGETDPRVGETCRYVAEAHVQALQFDEAEKLCQIALDIHGENGAPASIEEAADRKLMGLICDSKGDYESALEHYVLASMAMAANGNEVDVASIDCSIGDAYLSLARYDEAILAYQKALTVYKSAKGENHPRVASVYVRLADLHNKIGKVRDSRTYCEDALRIYGKPNPGIPSEEIASGLIDIAAIYQSMNDLDQALKLLKKALTIFGEAPGQQSTIAGIEAQMGVMYYMMGSYTDSYNTFKSSISKFRASGEKKSALFGIALNQMGLACIQRYSINEATDLFEEARNILEKEYGPYHPDTLGVYSNLAGTYDAMGRLNDAIEILDYVVDIREEKLGTANPDVIDEKRRLGELLKEAGRVRSRKPRSLVTLLTPALR >KJB37331 pep chromosome:Graimondii2_0_v6:6:45696593:45700369:-1 gene:B456_006G200000 transcript:KJB37331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAIDPFPNYSKTQRIVLLIDLNPLLHLQDPNPYLKTLISSSKTLLSFPPLSSSLFSFKPFFSSLSPLLSSSKLPFPSSSLSFNHSDSTLHSLTHFLTSLPTIINKASFPLYPSRALNLAASLRQLVHEYAWDPLIPDPVVVHRDLNWVREFFDVKVDHECLNDLGSFVKQFSGVFGSVNDALVSRDIHCCWVDLKFQPWENGDLENLGFKCLGNGIRSLGWGFCSSDSIVLGSAIVPFGLIYPNIAVSSNTSPTFEFNDDSGRKRNAHLSLEILDATGKPLECKCCELEFVNFKMCTSNQQTRADNQKNSSFLDQYLGGVTKVRVRALRRYDDCEKFEGSFLNPIIVCKSLGKSGEDKKDDSGEFYADRVLQILARDMGEPLARKPVGIWLIFLSFLHREGYWALVSLSDGDSDLHTGILKPFTVFSAMICITGDGFCPNKFFDSSKVDSAAAKRDDENLRLHVDSKHALVFSDSQSQSSPSHKFAGKRKNKIKSPLPHDLTWSAFCQAAVEHLEIDLEACYFSRACKLKKLKFLKCWMKQVQKRGHVSFKIQESAKPDQDAKEEINHRPIELPQDIEQLASYSASAGEGCLRVLDDAGNDFCSRTLENFLDSLPNKIKQGLESGEVELGAFAERLMRSSIYWLCQKHEIEGISESQTSLVQTSDACASKAAVQLIELLLREPKDLAAVHKRRDQSSQASDSRSTESASANVVREYELQILFRMEILQSEVGAIIEEPMKQKFVKQICSLLESIQCHLDGGFFGDWRLDKYVEKIIKSRYYLSLGDVVDRIYRKMDLLLFDDEDELLNHLLNSEDSNRSWKEKPEEDVHSRNHEPVSIEEESPQLQKYDNKRILQVMRTEEHELKLIQAQEMRERARRFSSFTSWMPDLQRVWAPKQPKAMKSKSDNLRKLSKRKSRSKASYDMVCETPMTEKKRSSTCRTTTDDKDDCGDCRAHPHGPVSKALFQDDM >KJB33063 pep chromosome:Graimondii2_0_v6:6:7581166:7594957:1 gene:B456_006G029600 transcript:KJB33063 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBD9 [Source:Projected from Arabidopsis thaliana (AT3G01460) UniProtKB/TrEMBL;Acc:A0A178VJY9] MELSDSNDSKPETRSTLGIDLNEIPSSSFAETLPDSDSAEPDSFSIVRAIHENPDPALGEAAGVPVANDEEQCGVCGLSAAIPGGGGGGRVVVCDGCERGFHLACSGVSEKLLSGAEWVCGACVGSGVRSKRWPLGFKAKKRILDINASPPSDGDGDGEEVQELLRQHTTGDNSFVGSRLGAPLTCMNSLYAGNGFGSQKASRIVTHAVKVGFEDLFHRMRTRDRSFDEVDLGSPLGSLRNSSNTAIRFPSRNPSDVFLQRLREFVSERHGVLEEGWRVELKHSMSCDLYAVYCSPDGKTFDSLLDVASYLGLNLNHSPMDAEIKREGASLQERLHLSRKRKSTRFSIASGFPENKESLISGYCKDFACDGQILEKYASKSCIMKATEAVQDEKGSSGSERINDGLPVQFEDFYILSLGLIDMRPSYHDASLIFPIGYRSCWHDKITGSLFVCEVLDGGDSGPIFKIRRCSCSALPLPIGSAVLFCPKIEQTASHNKEGEFDDDGCIQRILTDPCPPTENDILTCLRSNLKETYLVQNIDESQLEAGFMCEKYGDMLRDEIGEISVEEHSSSAAWRIISQKFIDACSEISKGKGALKFFCKHAGKEIRSSSWDMMDGKNKETHTPLAKFCGFPVSLSFPFVYQHNELETWSEELTKWLGQDRFGLDAEFVQEIIEELPGIEACSRYESLRKRSSYSGSLTIRNGFLKIKTPDGLECEGEKGLDVLFGKSKKPRLVDDRGPPPGKRLCPRLPPELLGDFHQVWELLWRFGEVMGLQEAFLANELEEELINPWSNHSNFLHKFDSERPGVDVQSLSRIDGMGEKNVSPSSDSCMADSTENPHPFIQMETGEMMEADQARLASLSYRRCFGVTLTKVHSSVLGVLISELQSKVAALVDPNFDSGESRSKRGRKKDLDSTAPAKKVKLSLLPINELTWPELARRYILSFLSMDGNLDSAEITARESAKVFRCLQGDGGVLSGSLTGVAGMEADALLLAEATKRIFGSLNRQSDVLTVEDEGPGQNVACEKNFVNDGDIPEWAKLLEPVRKLPTNVGTRIRRCVYDALEKDPPEWAREKLKHSISKEVYKGNASGPTKKAVLSVLADVQNECSTQKPEKEISKKKIVLSVSDIIMKKCRIILRHAAAADDSKIFCNLLGRKLMNSSDNDDEGLLGSPAMVSRPLDFRTIDLRLAVGAYGGSHEAFLEDVRELWSNVRTAFADQPDLVELAESLSENFESLYEKEVLILVQKLVEYAKLECFDAETKKEINDILASTSDIPKAPWDEGVCKVCGIDKDDDSVLLCDTCDAEYHTYCLNPPLARIPEGNWYCPACVSKRMVQDASEPSHVIIRRRGKKYQGEVTRGYLEALAHLAAVMEEKEYWQFSVDERAFLLKFLCDELLNSTLIRQHLERCAETTFELHQKLRSAYIEWKSLKSKEDFVAARAAKFHTSMINAVGDGVKDGTDQIPSDGEKEAAVLNGSDKHASSTHTEKSFTSNGQCFNSMDNEAQLKGEQANVDVSKVLPEKSDKSFVTSELPVTNPLPQEIDDSRKETNLHGKLEESKGMDVASPSSPSDCNGQCQSSDATSLHAAKQVPSVAEIESQSHHLELSTIKSDIQHLQDLINSLESQLLKLSIRKEFLGSDSSGRLYWISAMPGGYPQVIVDGSLVVHKKRNFLGGEVWGHCTSVNWNFSSATRDSVFKAQGSKASCPFVYNAKGAISAGSPWVTYQSAADIEGLINWLNDNDPKEKELKEAICQKLKFQNYQKMKKQVQDECQTAFSSCDGCDKASFPSFLVTKAAMLLEKKYGPFFESEITESLKKQGKKAKVIIEDKMYRCKCLEPVWPSKNHCISCHKTFMSDVEFEDHNDSRCTPDPPANEKGKSVGDSLKRKGNMRSDGNRVGYTVDMEIDENYKTGHSELSSRLIKFQNDGAVCPYDFEEISTKFFTRDSNEELVREIGLISSDGVPSFVSSASHFVSDPTLRLVPSHQEIGGLGAELKATKRPGLSQGNWSVANGINEGFSDDSFRTVANEIKVQKNKRPALRCSEQRDRISSADKYSPELGSGHCCVVPQSSLRPLVGKVSQVLQQLKINLLDMDAALSEEALRPSKACMERRWAWRSFVKSAETIYEMVQAIIALEEMIKTEYLRNEWWYWSSLSAAAKISTVSSLALRVYSLDAAIVYEKSCDFNSIDNLKPSSMPGPKLPSNLDLPEKCKVSRKTIKKRKEPEG >KJB34006 pep chromosome:Graimondii2_0_v6:6:12551443:12553732:1 gene:B456_006G043200 transcript:KJB34006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNSCQAFNSFHGDSSNGLLLDWKSSSSINAENCFIQKPEVEETDNQMMKPTSVGKQKAKKIRKQRYAFETRSQVDVLDDGYHWRKYGQKAVKDNKFPRSYYRCTHQGCNVKKQVQRLTKDESVVVTTYEGMHTHPIHKPTDNFEHILNQMHIYKPF >KJB37175 pep chromosome:Graimondii2_0_v6:6:44971338:44973672:-1 gene:B456_006G192600 transcript:KJB37175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSEGLVLRGTMRAHTDMVTAIATPIDNSDMIVTSSRDKSIILWHLTKDEKTYGVARRRLTGHSHFVQDVVLSSDSQFALSGSWDGELRLWDLSAGTSARRFVGHTKDVLSVAFSIDNRQIVSASRDRTIKLWNTLGECKYTIQEGDAHTDWVSCVRFSPNTLQPTIVSASWDKTVKVWNLTNCKIRNTLAGHTGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLEAGAVIHSLCFSPNRYWLCAATEQSIKIWDLESKSIVEDLKVDLKAEAETSAATDNANKKKVIYCTSLNWSADGSTLFSGYTDGVIRVWGIGRY >KJB37294 pep chromosome:Graimondii2_0_v6:6:45577359:45579643:1 gene:B456_006G198400 transcript:KJB37294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRIRARITKFLLPCTASSGNSTIIPNSIDVREEYANAFRTESYNEFWARVLSVSHLDFATCISPMDSTTAARLPSYRLFAEHLLDPDQPSVTRILTLTQNRPKTRTLLSDYFSQTANASLLCGLLLKDIDRTRVKYCSFRAAFQALEIGNEISGILTCLLEFSNSTNPFQPTAPSSSKVSMIQAGCCELLKRLESSRDKVRSKLQIMSSLQHGSGLFLVALTTSLTIIVASHALALLVAAPSLVAISLKLASMRRLARESAQLDAAAKGTYILNRDLDTISRLVARLNDELEDMCAMVKFWLAGGEDRLQASGEVARQLKKNDANFTQQLDELEEHLYLCFMTIHRARNLVVKEILNPDPLTT >KJB36125 pep chromosome:Graimondii2_0_v6:6:40046071:40047008:-1 gene:B456_006G142500 transcript:KJB36125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMKDAAASAKAGMEKAKASMQEKVDQMKTRDPNEKEMARERKEERQEDAELRKQEARHHNATAGHAGGGGIGGTGYTTAGYNRGDTGGYGGTGGHDNRGYPTAGSGYDTGRQDDLSSVGFGGDTGGAYGTTGNQDFPSAAPNNAGTRRSTRGGTQDDPYYRSY >KJB36486 pep chromosome:Graimondii2_0_v6:6:42147060:42148829:-1 gene:B456_006G161600 transcript:KJB36486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTGKTGGGMKDFVFDVLSSRLFMVFATLIIMSVNGSGYMFGLYSNDIKSTLGYDQTTLNLLSFFKDLGGNLGILSGLIYEVVPPWVVLCMGSLMNFFGYFMIWVSVTGRIAKPHAWQMCLYMWIAANSQSFPNTGALVTCVKNFPENRGSVLGILKGFIGLSGAIMTQYYHAFYMDDTKALILLLAWLPTIVPLIFLRTIRIMKVVRMARELEIFYSFLYIALGLAGFIMVLIVLQNKVRFTRTEYVASAFVVVVLLLLPLVIVIKEEFSVWTGKKQASNNHSQVNVVTENPPAVPLRPAEATIWPLACIKTIFNPPERGEDYGILQAIFSIDMLILFIATACGTGGALTVIDNLGQLGKSLGYPKHSISTFISLVSIWNFLGRVLAGYLSEIALTNYNFPRPLMLTLVILFSCIGHLLIAFAVPNSLYFASVMTGFCLGAQLPLLCAIISELFGLKHYSTMYNVGSVSSPVGSYIFNVRVAGHLYDKEALKQMEALGLERKPGQDLTCNGATCYRLAFLIISVATLLGSIVSLILVCRTRTFYKGDIYKKFKEGVAPTGNGDLPLREMEDKATTAVPETKIAVSAG >KJB33660 pep chromosome:Graimondii2_0_v6:6:49897453:49899358:-1 gene:B456_006G257100 transcript:KJB33660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNRIFTQFLPSFKFLKHNQVPLHGISNVKLLSLPSLRIRPGPMRLRVSCAAKPETVDKVCSIVRKQLALPEDSSVTGESKFAALGADSLDTVEIVMGLEEEFGISVEEESAQSIVTVQDAADLIEKLVEKKDA >KJB36438 pep chromosome:Graimondii2_0_v6:6:42163210:42168166:1 gene:B456_006G162000 transcript:KJB36438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRSPMLIRHHKTVCQIGGGSHRLDAAWEGNPISTKADSSIRKKLTLEPTLENGSEGGPKEVATGKFLEKSLRLGDQVETCKRDDDRMDGREQSNPGPTILHHEGNAVERDKEDSKLGTSKATAISVLSRAISSTEKFKRKHQTKEVTSSGREALSADPHLVATYLAISSMALRSIGTYEPEILEQRKGGTMDYGDSTVPSRGGTFGVSPYGPKIHCVRVESEPTNPAVPNLSTAYKVQGQIELHEQSGDESLVQSQLDKGL >KJB37078 pep chromosome:Graimondii2_0_v6:6:44517503:44520093:-1 gene:B456_006G188600 transcript:KJB37078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAPTTEIEFDAIINPISLEHKNNMDVFGHLICGTNNQTSDNFGHKVNEAMESLWELVSSKSWGTVFKKDPKYNIFGLAQCYQDVNADDCELCFKTSQEKLQQCIPARSGRVYLDQCFHRFDHYNFYNEGVDPKYDHVTCGSPTGDSNDTYMSQDFQSKLDHVILNVEEAALRKNGYGTTEVKGGVVTVYALAQCWKTIDKQACDKCLTDAGMRLRECGPAAEGRALFTGCYMRYSTNRFFDHGFTDWVTLGVALSGATFLLLAVVGAFVSYKKLSRTKEEVTGLDALPATGLKTSLNFKYEMLEKATNNFNESMKLGQGGAGSVFKGVLPNAEAVAVKRLFFNTRQWVDQFFNEVNLISGIQHKNLVRLLGCSIEGPESLLVYEYVPNRSLDQILFSKDKRYILSWSQRFNIICGTAEGLAYLHGGSGVKILHRDIKASNILLDEHLSPKIADFGLARCVASDKSHVSTAIAGTLGYMAPEYLVRGQLTEKADVYGFGVLVLEIATGRKNSVFSEGSSSILYSVWKQYKADHITQSIDPGLEGKFEEREASNVLLVGLLCTQPSVAIRPSMHDVLQMLTNAHCEIPCPKQPPFLNASVLSPAHTPDDSFAMDSSALDQPKTGSESSTQKAIGH >KJB35275 pep chromosome:Graimondii2_0_v6:6:35082938:35083400:-1 gene:B456_006G107700 transcript:KJB35275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDGLSLHTYFSLLSVFEQEFTNAGVTLQIDFVSIQHTSPPLYACLLVLPFHNLPNAFPVVWLNKCNFLPVF >KJB33589 pep chromosome:Graimondii2_0_v6:6:5186328:5189582:1 gene:B456_006G020400 transcript:KJB33589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIASAYKYISSTSSLSLHGSSSSSLLHFSFGEMGNLCNGSIPLEIGYLRNLTYLSLSNNRIVGPIPSALGQLTSLQSLILWGNQINGSIPFEIGYLRNLTYLSLSKNRIVGRIPSALGQLTSLQSLILSGNQINGSIPLEIGYLRNLTDLSLSSNGIVGPIPSALGQLTSLQYLLLSGNQINGSIPLEIGYLRNLTYLSLYNNRLVDSIPITLYQLTNLEILDVDNNQLQGSIPQEICNLANLTSLYLSQNKLTGSIPSCVGSLSKMLYLSLGSNLLKGPIPQEICNLANLTSLYLSQNKLTGSIPSCIGSLSKMLDLSLGSNLLKGSIPKEIGKLFDLSYLNLSFNQLSGPIPILSATKLRIIDAGNGCNKISPDPFEGNSDLSPYMCPTPLTKIANSSRIPYYIKTFLPIAILFTFSILGCLLFSRFKLKNNHVSVQPTKNGDLCSIWNYDGKIAYEDIVAATEDFDFRYCIGVGGYGSVYKAKLPCGKVVALKKLHHLEAENPTFDKSFRNEIKFLSEIRHRNIVKLHGFCLHRRSMFLIYEYMEKGSLFCNLRDEVNAVDMDWTKRVEIIKGIAHALSYLHHDCCPPIVHRDISSNNVLLNSSFEAFVADFGTARMLDLDSSNKTIIVGTCGYVAPELAYTMIVTEKCDVDSFGVIALETLMGKHPEEVLSWLSSPTSLVNMKLVDVLDKRLPLPTSQLVTQNLVHVATLAFACLNPQTKSRPTMKEVSEGFLSRHTSLGIPLWMISLLQLMNREMHIEGNTKTCDV >KJB33808 pep chromosome:Graimondii2_0_v6:6:8233361:8235073:1 gene:B456_006G031700 transcript:KJB33808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHKHQFPCLHCHPHDYIRMVQHMIESCLVFQMSKDKCVEALAKHANIEPVITLTVWEELLKENKAFFQEYFQALSPRQSSVD >KJB35640 pep chromosome:Graimondii2_0_v6:6:37444813:37446998:-1 gene:B456_006G122900 transcript:KJB35640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHSSRHSFRACLVGCNRITPLFPSVSITDIGGNSHSEFEAAIRDSPPIPNFIFPFLPSSSPDVSQFFPFQISTSSPGTVISIPLLSCFPHIAILSGVVLHLVINCAAILRNTLSVSLVTGLFILLNNAVPQSQRGAANAISITAMSVFKAFGPAGRGAL >KJB38498 pep chromosome:Graimondii2_0_v6:6:49960318:49964436:-1 gene:B456_006G258100 transcript:KJB38498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVPRVMFLIFFLFNIMSAEKIPTIFIFGDSIVDVGNNNYINTLAKAMFPNGIDFCKNCLSGRFTNGRTVIDILEQRLGAKDFRPPYLAPNTTGDVVLRGVNYASSGSGILNDTGLIWGGRISLDEQISNFKETKARIVSIIGRRETKRLLKHQSLFIVVTGSNDFFLGKEMISDGSFLSSKFESQLRKLYYLEARKIIVTNIPRFGCTPFERDKNPNAKGCIASFNEDISSYNKRLKRLLMDLTANLTASTFVYADIHAMLKDILQNHRSYGFENSDDACCHKVGVHGGLLPCFSLSKICSNRTKYVFWDAFHLTETSNVIVASHMMDGGLKFMSPMNIRQLVYSSTVHPDD >KJB38591 pep chromosome:Graimondii2_0_v6:6:50247078:50248724:1 gene:B456_006G262400 transcript:KJB38591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPIDNALPTTPERPKKQAKLSIPIQTQKKPSDFGVNEEKKASPLPPPPPPADASVDYVSSEKLKPFEDPESKIQGLVEGLESKDWVKVCESLNDVRRFSLHHSTVLLPILEKVMLILVKAMNNPRSALCKTSIMAASDIFNAFGEKLLDFTDSGAFDRLLLQLLLKASQDKKFVCEEADKSLKSMVNTIAPLPLLNKLSGYVNHGNLRVRAKAAVSISNSVSKTGVDEIKEFGLVKLLQMATGSLNDRLPEAREAARSIVFSVYKAFTENEDENPGEAWQSFCQSSLSPIQAQSIIKAVGSQ >KJB38720 pep chromosome:Graimondii2_0_v6:6:50672510:50673403:-1 gene:B456_006G268800 transcript:KJB38720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDTHSIGGGRILSGGGGVGYGRLRPQHHQALQCPRCDSLNTKFCYYNNYNLSQLRYFCKSCRRYWTKGGVLRNVPVGGGCRKARHSKIKPSATITVAAAAVQPQLLQKQHCNQLKENTHSSSESSSLTATNFNVPATNNNNNSGGSTAEAAPAVTSHSSLINLSDSKLYENPNDLGFTEMESFTSLITWSNNETVPFGFGNVFSEQGQWQQLQQQPKMVSTGVEELTMGLLDPTVEIELANLDNRSKGGDCGGFRPLDWEGGGDQGTTLLDLSNGDQTYWNQNQWTDQDPPTLYLP >KJB33192 pep chromosome:Graimondii2_0_v6:6:693149:702026:1 gene:B456_006G003000 transcript:KJB33192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIWLRVGTISNLFRTKHKVCLCYLVILLQLNTTINGPRGSGIVGDRGSREGSSVAEWPHGEEVPYWLGQQALETESVPRDKHWSSQLSPNLDSKHLCRTSSYPEQQQNQHFSTEPILVFYFDFLFFSCV >KJB38345 pep chromosome:Graimondii2_0_v6:6:49456044:49458804:-1 gene:B456_006G250700 transcript:KJB38345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol N-acetylglucosaminyltransferase subunit C [Source:Projected from Arabidopsis thaliana (AT2G34980) UniProtKB/Swiss-Prot;Acc:O64761] MDMNFTADSSLAPSRWRKVAYGGMQPGYDDNHTDETFLEDMVMNANVVKRNMLKVMQDSVSISQYLCIVFLVVLVWIYTLRSTLNENSLLLLDISLLGSGFIILVLTEEMLSLKRLWNYILNVSFFTTGLFVLAPIYQTLTRSISSDSIWAVTISLLLLHLFLHDYSGSTIRPSGALKNPNLTSCISLNASIVASVFIASRLPSRVHVFATMLFSLQIFLFAPLVTYCIKKYSFRLHLLFSLGLMAVTLTFVYSLHCLLFVLLVGILIFVNVVCPYWLIRIQEYKFEINGPWDEAKLCFDITD >KJB33652 pep chromosome:Graimondii2_0_v6:6:6278879:6279232:1 gene:B456_006G024300 transcript:KJB33652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCINQLRALPPTHTSPKKDFLKSIIWVLATVLFFGFARQFSTFVHPLVAVFMFGAAVSTSYFLFFLYLVHNCDPHQFANSCCTLRIKVVGVSAPSSKVIINGSKLIRDVVPGPENV >KJB34305 pep chromosome:Graimondii2_0_v6:6:21588685:21590147:1 gene:B456_006G058400 transcript:KJB34305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNFLELVTLLASYNDKVSKVILDNTPRNAKYTSHMIQKKILHIIANKVRHKIREDIGDSKFCIIIDEARDESKREKMTIALRYVDEKKIIKYNFFDLVHVQDTAAITLKQEICIVLSRYCLDVQNIRGQGYNAIEIENMLAIDELETGKGLNQIGTVKRVGETHWSSHFSSVCSLIRMFDTTCFVLENIIESSSNYYIRGGAVATYKKITSFDFVFILHLVKEIMGITDILCQHLQQKSQDIVNVMYLVSSTKTLIQKLRKDGWDNLLEVVKEFCEKHNIEVLDMDSPYVIKHGSHQHIDFNKEHHYWVEIFNVAIYSQYFKLNSRFNERTIDLFTISSVLDPKDAYKSFNIDDTCCILQHYKQNVPNHPKLQNLSIIYDLCQRLAKRHMSK >KJB35712 pep chromosome:Graimondii2_0_v6:6:37671093:37673314:1 gene:B456_006G125000 transcript:KJB35712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEELVFSVEPQNPVPAPFLTKTYQLVDDPLTDHIVSWGENETSFVVWRITDFAKNLLPNYFKHNNFSSFVRQLNTYGFKKVVADRWEFANENFRKGAKHLLSEIHRRKTHRNHHDQQQPQQLFLKPEDSHGWMESPSPSPRGSSTDILTALTQDNQRLRRKNYMLVSELTHMKTLYNDIIYFIQNHVKPVPYQQKANTVGSNIIQLGPSIHVSGTETAETSVLGNSSSLTVPNNSNVKLFGVPLIDNERFAPRKH >KJB34863 pep chromosome:Graimondii2_0_v6:6:32207604:32209446:1 gene:B456_006G087500 transcript:KJB34863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGITARISWSHRNLTYGYQEFKFPDQPDSSSSDIEFGFLEDGDDGFFFGSCNGSEDGVRGNEMADLGFSNDDGDDDDDDDDEGKEKDGIGVENAGDYWESQHQLLEGTLRRTSSLESRIRNAAKEALNDVRRLGIVCGCGKSMTENCRVCVMREVCCRLQNAGFNTAVCRSKWRSSANIPSGEHTFLDVIEESRKGEVRVIVELNLRAEFEIARASDDYNRLVQRLPQIYVGKVERLNNVIKILCLAAKKCMKEKKMHMGPWRKHSYMQAKWLRFCERNTSTETPSEPVGCSVRSSKPRASLLTVDLLGTTLSNVRCAAI >KJB34258 pep chromosome:Graimondii2_0_v6:6:20515068:20521162:-1 gene:B456_006G056300 transcript:KJB34258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLALTLLVSLLICLFQFTRCVSSVQPLCRSDERLALLLFKESLAVDKEASANPFAYPKADGWKFQGVDCCYWDGIECDHNTGHVTALDLSSSCLYGSINSTSSLFHLLHLRKLNLADNDFNSSQLPSRLGNLSMLTYLNLSTSSFSGQIPLEISWLSRLTSLDLSNTMGLEFGMFSHGGLKLERPDFKSLIQNLTSLKHLHLRHVVISSPVPNILVNLSSLSSLDLSYCGLLGKLPTSIFHLPNIQFLDVSNNLHLSGTLPATLSCRRLKFLSIRFTSLSGVLPASIGNLHSLELLDVTACKFRGPLPSSLGNLTNLTELALLNNSFSGDIPSSFSIPSWFANLNQLHELHLPFCGITGPIPSFFANLTQLAVLDLKRNQLTGRFPVGITNLTQLESLSLGSNMMDGALPDSIFGLENLQILEIYSNRLSGIVEMDRFVRLKYLSVLYLSSNNLTLLSLTSPNTTTSLPMFSELGLGSCNLRRFPNFLTHQNQLAYLDLSQNDIHGEMPKWIWEMSFDTLFLLDLSFNSLTGFHQSPTLLPWSNILFLDLSSNLFQGSPPIPSSSIMVYLASNNSFTGEIPQLFCSLGSVRVLDLSRNNLGGIIPQCLSKASKSLSVLNLNVNNFHGPVPQAWMNGSKLKMINLGKNKLTGKLPRSMARCRMLEFLDIGNNQIRDTFPFWLESLPKLKILILRSNRFHGEIKSREFNSVFPKLRVIDISNNGFIGSLPSSYLESWIAMKRFHVEHLSYMQSIFYDHMFMAGLTIPDEYNYSMTLTNKGIKMEYTKILEVFMAVDLSCNKFSGEIPESIGNLKGLELLNLSNNILVGQIPTVIGTLTNLEALDLSHNQLFGRIPWQLRQLNFLEVFDVSYNHLSGPIPQGRQFGTFPNSSFDGNLGLCGNPLSKKCEDLEASPPPSSSTFEQNHGVGLEWRGVLLGFGTGFLVGTGLGWVHHNYFYFIIFKRTRCS >KJB33727 pep chromosome:Graimondii2_0_v6:6:7217047:7217817:-1 gene:B456_006G027900 transcript:KJB33727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNVYKTQNQNGLTSDHAIANLLVAGFIGREIQDAVTTLIFSISKHFIGDPSHLKDRYSELLSNLKCKKLTDFKWYKDIFMNRVMQRFDNQQPFWKEKFLVRLPTLLGEKVRNQIRENYRDLKLQKQLKKERYQCRKELGSFCHQFDIRNEPSSLKTCCPVKPKIGKRIFQNIIKNPNIENTEKERNNKKNRK >KJB34632 pep chromosome:Graimondii2_0_v6:6:29892131:29899322:-1 gene:B456_006G076100 transcript:KJB34632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQMQQSNAAAAALYDHAGGGGGGSLHNAGPAGGDAGDAVMARWLQSAGLQHLASPLASSGIDQRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNFSGEPGSEPYTPTAQSLGGLGTSDGFYSPEFRGDFGAGLLDLHAMDDTELLSEHVISEPFEPSPFMPSLNKEFEDELNVTTNRQLKEISDADASASLISANEKEMSARENNVAKIKVVVRKRPLNKKEISRKEDDIVTVSENALTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAKDLVRYLHQPVYRNQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLVIKKHPEIKESKRKNDGDESKPGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPKKEQAVNSFQPSNKDASSASSLLPTAVVEDIYERQPEVKVVDTGRRVAEKDVYTTDIDKQPSTFSSGYPFNGREESGKASGPTDRERFEVNSNYGGSSSQRVYSSNSQNSADTEEKVPKVSPPRRKATREEKLEKSRNWAKKDGGGSDSSASNSRQVNAVNNNETNTIGHRQYDPEEKQEKTRNWAKKDGGSDFSASNLRQVNAVNNNETNTVGYRQYDPEEKPEKTRNWAKKDGGSDLSASNSRQVNAVNNNETNTIGYRQYDPEPPTDENINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSHIDNYVTQLSFVLSRKAASLVSLQARLARFQHRLKEQEILSRKRVPR >KJB35368 pep chromosome:Graimondii2_0_v6:6:35904902:35908285:1 gene:B456_006G111600 transcript:KJB35368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFESESVAYGGIKAASPSQAPRSPQQQQQRQELTQDQSVSSLNKQGSIFSLTLDEIQLKSGKAFGSMNMDEFLANLWNVEENQAPPQLDQNEARDDKDKGIGGQPTLARQGSFSIPTPLCKKTVDEVWFEIQKELPQQRKANNIADHEPPQRQQTFGEMTLEDFLIKAGVVQEPSSASSQQRKVASSRPNGTSLEATYGMGHGAGSSQQKMLTSIQNSSASLDANFGMGHVMGLGFPGHQIVPSNLAAPGNGYAAAYPIFTQSKTMMGESSNVAENGNGTNCLLEPVVIQNKKRIIDGPPEVVVERRQRRMIKNRESAARSRARKQAYTVELELELNQLKQENAKLKQLVEENEQKRKQEVLKRKQSKLPAQRKVVDKMRTLRRTVSLGW >KJB34101 pep chromosome:Graimondii2_0_v6:6:16066283:16068265:-1 gene:B456_006G048000 transcript:KJB34101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane steroid-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48890) UniProtKB/Swiss-Prot;Acc:Q9M2Z4] MGLQLWETLKEEITAYTGLSPATFFTVVALLWAIYYVVTGLFGSSDDHHQRSRAFEEQMEPLPPPVHVGEISEKELKQYDGSDPKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEQDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTIKKTVAVTGGDNGNGEALGTTEGHAKPAEDGSSQNAVAGTDAEE >KJB33053 pep chromosome:Graimondii2_0_v6:6:44652329:44653176:1 gene:B456_006G1895002 transcript:KJB33053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYILSVFAVRNLLVLSLALNVSLILRVLLLHDSQDGSFNGFFFAEQKEEALATKITRLSSSVAPSSSLTMAQGDEDRVINLD >KJB33328 pep chromosome:Graimondii2_0_v6:6:1406097:1406912:-1 gene:B456_006G006900 transcript:KJB33328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLPSSGSATYMDSGNQNPDTFNNFFERWLVEQNQHLRELVAASRQQSSVDEQSLRCLVQRVLDHYEHYYKAKAKWGKQDVLAMLSPSWISKFEDAFLWIGGWRPSMAFHLLYSKSGMQLEDQLDELIRGMGRGDLGDLSPTQLSRINELQTKTIREEKEISEKMAKHQESVADSSMVELSHLVSEMMRKGDEDGVDVEKDKVESAMESKEEGLKEILLRADDLRFRTLKTVIHILNPIQSVHFFIAAAELHLRIHEWGKRIDHKHHQPS >KJB38629 pep chromosome:Graimondii2_0_v6:6:50323651:50325147:-1 gene:B456_006G264000 transcript:KJB38629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVPSFLVEVGHIADVQELSKSEPTVISGRFVLDKAERPKLAAIVSSPNDIPIIDLSKLMSSDEHVLGIMKLKVACQGWGFFQLIKLNPKFRHCGGIKTTIGPKFYHVLLIWTVQGYGQAFVFSENQKLDWCNMFALGVEPPCIRNPNLWPLKPARLRKELRLKRDVFEEMLGTAVQAARMNYYPQLSITLLNFS >KJB34707 pep chromosome:Graimondii2_0_v6:6:30761217:30762186:1 gene:B456_006G080200 transcript:KJB34707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATREKNTELDLEKGLTISEEEDQIKNPFSSLKNKAQMLLSKFSFSDNVSSDERVSLSGDASDSGSTEAVNKEGQDNKGVIGKESNNDNKINNNNKVGKEKRNKKAPKPPRPPKAPTLDAADRRLIKELAELARLKRARIERMKAMEKMKATKGISSNTTILAMVLIIILLIVIIYHGMESRGTSTKSGGSEMGVGGVLSLELFGDPSSSISNTGSPLYVLLVIAISICCSCFLKWVVL >KJB34474 pep chromosome:Graimondii2_0_v6:6:27224520:27224822:-1 gene:B456_006G0676002 transcript:KJB34474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRFWVVCLSIYP >KJB34534 pep chromosome:Graimondii2_0_v6:6:28565531:28566591:1 gene:B456_006G070900 transcript:KJB34534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISLERAQESIVDRQQELKALDETKSGVKGLVDSGLHRRTKVGEACEKWGINHGIPLATTDEMINGLRRFHEQDDEAKKEIYSRDYSKKVYYNSNIDLYKAEATSWRDTLCCVMAPRHPLPQELPAVCRDIVIEYSSKMMKLGQTLLELISEALGLNRSYLEDIGCGEGMLVKCHYYPPCPEPDLTLGSSSHTDTGFCTVVLQDEIGGLQILYQNQWLDVNPVRGALVVNLADMMQASPP >KJB37900 pep chromosome:Graimondii2_0_v6:6:47990952:47995741:-1 gene:B456_006G229600 transcript:KJB37900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMLCKASSAVASFPCSRVRSGQCLWPGMRQLCLRKGLVYGFMRFLSTPFKTLRGASRSLRVAEFCSVSNMSSSLQIELVPCLRDNYAYLLHDADTGTVGVVDPSEAVPIIDALSRKNWNLTYILNTHHHHDHTGGNAVLKARYGAKVIGSGIDKDRIPGIDIVLKDGDKWMFAGHEVRVIETPGHTRGHISFYFTGSGAIFTGDTLFSLSCGKLFEGTPEQMHSSLQRIMSLPDGTNVYCGHEYTLSNSKFALSIEPKNEALRSYAAHVAQLRNKGLPTIPTTLKTEKACNPFLRTSSTEIRQALDIPATMNEAEALGVIRRAKDNF >KJB38734 pep chromosome:Graimondii2_0_v6:6:50869209:50870042:-1 gene:B456_006G272100 transcript:KJB38734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPCKKLLPLLIFILSCLSVLRLLKLAITSSDPSSQAAALSSSLQRECSSPSECSKIQSNAANATLLSPKEFMLLSDLVTRKTPCNLLVFGFQPQYLDLSSINAGGITLFLEDNRYKISNIEASSNQTQIYKVKYGVPAKKAYKLLKHARGNPTCSPSINLLQQSTCKLALRDLPQQVYELKWDVIVVDGPIGDSPEAPGRMSTIYTASMLARATARNMTDVMVHDVHRTIEKWFSWEFLCEENLVSAKGKLWNFRISSDQSNSTRFCSSETVHIQ >KJB34155 pep chromosome:Graimondii2_0_v6:6:17340142:17345813:-1 gene:B456_006G050700 transcript:KJB34155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKGMVRAIFLVVFAVWLMIWVMLPTKLYKNTWTPNLETTLNSTYFSGQGTNLLLFSFPLMFIASFGCAYLHFQNKSTDSSNHSKRLGLDSATALLKRPVVVMAPLGIVSALELTFAAMFVALLIWSLANYLYVSFGNLHMHKEGEKIWEAKLRSVSLRLGYIGNICWAFLFFPVTRGSSVLPLIGLTSESSIKYHIWLGHLSNILFAAHTIGFIIYWAITNQMAEMVEWSKTWVSNVAGVIAIVIAMPMWVASLPQFRRMKFELFFYTHHLYLLYIFFYVLHVGDAYFCMILPGIFLFLIDRYLRFLQSRQRTALLSARILPCGLLELNFSKTPGLYYNPTSILFVNVPRISKLQWHPFTVSSNCNMETDELSVVIKCQGSWSDKLYKELGSSLDRLQVSTEGPYGPTSSHFLRHECLVLVSGGSGITPFISIIREIIFQIQKPNFKVPRVIMICAFKNSADLAMLDLLLPISGAPPQISQIQLQIEAYITREEEQPMTETHKPLQTIWFKPSPLDSPISATLGPNNWLWLGAIISSSFLLFLLLLGIVTRYYIYPIDHNTEQIYHFSYRALWDMFLVCVCIFIVSSVVFLWCKKQNAREGNRIQIREIATPVTSQGVSPWFSGAELESLPHQSLVQATKVHFGSRPDFKKTLLDLKESDVGVLACGPRKMRHDVAKICASGLAQNLHFESVSFTL >KJB37912 pep chromosome:Graimondii2_0_v6:6:47745234:47746978:-1 gene:B456_006G226000 transcript:KJB37912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCVGCHVYCIPLPRVALHAKASSLSWQLKTLHGALISLNQLLSNHKSMSNHAQVPGEPTETTTSLVETATGAIQGFRPIKQIHEHLCAFHFYGYDMTRQVEAHHFCAHQNEEMRQCLIYDTPEADAKLIGLEYMISENLFLTLPDEEKPLWHSHLYEVKSGVLFMPRVPGPIERHGLDKVCKTYGKTIHFWQVDKGDNLPLGLPQLMMALTRDGQLDEELGRDVEKRFGVSFEKERAKRAELTGPTHGIHPLANGGGKGLIPKLREVDCKPADSVPRVFV >KJB34167 pep chromosome:Graimondii2_0_v6:6:18381842:18385147:-1 gene:B456_006G051500 transcript:KJB34167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLKITLFFSIFTIFFLSPITSNPHPLDSLTPSEFLLVRTIVSQSYPSPNHKLTFRYVGLEEPEKPVVKSWLTTPPTKPPPRQALVVIRLNQQTRELIVDLSKRSIVSDKLYDGFGYPLLTREEQEAAADLALKHEPFLASIKRRELNISEVVCSTQTIGWYGEVKTERELKVICFYLNGTVNMYLRPIEGITIVVDIEQMKISEYVDRAVSPLAKAEGTEYRASKIRPPFGPRFKAAPPTPPGQVGFTLDGNVVSWANWKFHLGFDARVGPVISLASIYDVDKKKYRQVVYRSFISELFVPYQDPTEEWYHISFFDCGEFGFGIYAVSLEPLNDCTSNAVFVNGYYAGQDGKPVKVPDVMCIFERHSGDVMWRHTEAELRDQKIREARPDISLVVRMVATVGNYDYVLDWEFKPSGSIKFRVALTGVLEVKAVPYTHVDEITEEVYGTLVADNTIGVSHDHFLTYHLDLDIDGDTNSFIKTHLITKQVKDKNIPRKSYWNVEHEIAKTESDAKLQIGLNPPELLVVNSYKRSKTGNKVGYRLAPGSAAGPLLAADYYPQIRAAFTNYNVWVTPYNKSEKWAGGRYVDQSRGDDTLAVWTKNNRSIENKDIVLWYTMGFHHVPCQEDFPIMPTLSGGFEIKPTNFFEYSPVLKSFPPQHTSWPNCTA >KJB34356 pep chromosome:Graimondii2_0_v6:6:22596254:22598138:-1 gene:B456_006G061800 transcript:KJB34356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAATLLTALAVLCSFFYILFYISSSKNGKGKGKGKAIPGPRPLPIIGNLHMLGMLPHQSLYHLAKKYGPMMSIRLGVVPTVVVSSPQAAEIFLKTHDAVFASRPRLQVLELIYNGKRGIAFTELGSYWRSVRKICNMTIFTASKIESFASTRKEVLAHFIESLKEAASAKEVVNISKKIGALNEEMTLRMVLGNVKKYQGFNLKELIDELTHIAGAFNLADVVPFLGAFDLQGLKARTTKLGEKLDKALETIIDDHEQHKQDDFVGTLLKELNQPMNNDGDIMDRNSIKAITIDMMVGALDTSAATLEWALSELLRHPRVLLKLQQELESIVGNKRMVEENDLPKLEYLDMVVKEIFRLHPVAPLLVPRESVEDIIIDGCYIPKQSRVLVNIWAMGRDPNTWSNNAEEFFPERFIDSNIDLHGHDFELIPFGAGRRLCPGKKLGLITVKLILAQLLHCFNWELPSGMSPNELDMTENFGVSLPRKINLCVKPTYRM >KJB38331 pep chromosome:Graimondii2_0_v6:6:49356909:49359039:1 gene:B456_006G249400 transcript:KJB38331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEASVNYDRTKELKQFDDTKTGVKGLVDSGILNVPKIFIRPAEDLAADELNSSQKTIEVPVIDLSNIGDSIRRKEIVNEVKIASGEWGFFQVINHGIPLSVLDEMIEGLRLFNEQDLELKKEMYSRDSAKKVKFHSNFDLYTSKTADWRDTLQLTFLDSDPDPSQMPPVCRKSTMEYFKHIKRLGDALFELLSEALGLQPDHLNSIGCSKGCSIVTHYYPPCPQPELTLGVRKHADAGILTVLLQNHMGGLQVLHDSQWFDVHPTRGGLVINVGDLLQVLSNNKFKSVKHRAISSHVGPRISVACFFSGHASLLDKPFGPIKKLISEANPPRYEEFLLKEYFAKFFASSLDSKPPIDYYKL >KJB38256 pep chromosome:Graimondii2_0_v6:6:49007238:49010113:1 gene:B456_006G244900 transcript:KJB38256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDEAILETLQPSRFLSFAIPNPNPTLNPSLASPLIRIAVLDSPIQPSSPLIPSVAAMIVPKHRESDWIFSTESGHLQLLLSSPNIQRLILIGQEQQPIINGSSSSSIYRRWIDPDSLNNLEISLKPLVIALSPKSYFHNENLEVPFLCYEDNIVCSLVLEKCIGNFVGEMLVEDVEIEGSDQSREFRRRLRFKRMPNLVQTEIRIVPNKVSCLDSVDIGSSSIEFSPDLGVLVHAYLVPMVASLALIGSCIEKHVESGLRPKALCLGVGGGALVGFLQTQLDFEVVGVEVDEEVLRVARKYFGLEDGDLVRVQVRDGMEFMDRLAHGDVVGNIVPQFDVIMVDLDSDDPRNGVSAPPIEFFRRDVLLAARSVLRESGIFVINVIPQSRSFYEKLIHEFREVFPELYEINVGNVENFVLIAAKALPCSSSSSDSENKFLTKLRLAISGAYMDSINRIGDASN >KJB34563 pep chromosome:Graimondii2_0_v6:6:29167287:29169392:1 gene:B456_006G072700 transcript:KJB34563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDAMATEAPLAPVTSGRRVRNDLEDKLPKPYMARALVAPDVDHPNGTPGHYHNGMSVLQQHAAYFDQDDNGIIYPWETYAGCRALGFNPIASLFFTILINGSMSYPTLPGWLPSPFFPIYVYNIHKAKHGSDSGIYDNEGRFTPANIESMFSKYARTVPDKFTLGELWKMTEGNRDAFDLFGWLAGKFEWGVLYVLARDEDGMLSKEAVRRCFDGSLFEYCARMNMGRHGKVA >KJB33128 pep chromosome:Graimondii2_0_v6:6:22433517:22434450:-1 gene:B456_006G061500 transcript:KJB33128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTERFCCMVMRINIDCNGCYRKVRRALLETQELDTHLIEMKQSKVSVCGKFKPQEIAIKIRKKTNRRVEILEIQEFSINNGQSHEEKPLMISSSWNLESNQNPFATCT >KJB35158 pep chromosome:Graimondii2_0_v6:6:34305008:34305688:-1 gene:B456_006G102700 transcript:KJB35158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQLHQHSKHGLAKQIEGIFVSMSPILLIPHSSQPPPPPPPPSTALPMVDLELHQQQPPPSANETLQPDSPFNTLNHSEFQSPPPHTPPLPQQQSLPPIPSHRKLAAAQQHLQWINAVLSFCFSYPITVLQFQYAQTDQNQSNVSLIVLSFLVLLTFNLFLLALFIKPISTQTSETLEKVGVLVAAAAFCHTIAIPFPLELKCVVFAVFILFLLLLTAFIYFNGKGA >KJB38310 pep chromosome:Graimondii2_0_v6:6:49283142:49284149:-1 gene:B456_006G247900 transcript:KJB38310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLPGMDPAGNSPALNKSDLEISMNDASKSRTNGRGDDDDEDRDTGDEPKEGAVEVGNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVASGTDVAESIAQFARRRQRGVCVLSGSGSVANVTLRQPAAPGAVVALHGRFEILSLTGAFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLIAAGPVMVIAATFSNATYERLPLEDEEEVVSAGHGGPMQGGANDSPPAIGSSGGGGSHTGLPDPSSLPIYNLPPNLLSNGGQLGHEPYGWTHGRPPY >KJB33222 pep chromosome:Graimondii2_0_v6:6:522399:523811:-1 gene:B456_006G001800 transcript:KJB33222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKRSKATEDAAAASHNNSKDESHYLREEEEEDRTEIPQVTHGYDLVKGKMDHGMEDYVVVESRRINGHDIRLYAIFDGHSGRDVAKYLQGHLFDKMLSQSDFWRNPEGAIKRAYKEMDDEILEDVAGSRGGSTAVTAILIDRKKLMVANVGDSRAILCGGDGVKQVTIDHEPNKEKQVVESRGGFVSKKPGNVPRVDGQLAMTRAFGDGKLKEHITSEPDVRIEIIEPNTVEFIILASDGLWKVMSNEEAFDEIREYDDAQEASQVLIKEALARGSRDDISCIVVAFG >KJB33531 pep chromosome:Graimondii2_0_v6:6:3386421:3388442:1 gene:B456_006G015400 transcript:KJB33531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDKKRIAIIGAGISGLMACKYTLEKGFNPIVFEARSGIGGVWSQTIESTKLQTPKDFYQFSDFSWPREVKETYPDHTQVLEYLHAYALHFNILPRIKFNSKVTCIDYVTSSTADLHSWDLWGGSGEPFSPSGKWRLTIRNGQNPSASAPTDQVYQVDFVILCMGRYSDLPNIPDFPLSKGPEVFNGKVLHSMDYAAMDDDVAADLIKEKRVTVIGFQKSAVDIAAEVANKNGATHPCTLLFKTAHWIVPEYLLPLVFQGLNRFTELMIHKPGEGFFIWLLAMLLSPLLWIFSMSIECYLKYMYPLKKYNMVPTHRFLKQISSCMFTVLPANFYDRIKDGSLFLKKSQSFSFCRNGLIVQGESKPLLSDIVILATGYKSEEKLKNMFSSTLFQKCIAESSAPFYRECIHPQIPQLAILGYADSPAILYSTEMRSKWLAHFLAGNFRLPTISKMEEDVMEWEKCKRRDEKESYRRSCVSTLLQIYCNDQLCKDVGCNSRRKNWFLAELFAAYGPKDYKDLS >KJB36313 pep chromosome:Graimondii2_0_v6:6:41108960:41109979:-1 gene:B456_006G152100 transcript:KJB36313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNITSLISPLKRLAGKVAIITGGASGIGASTACLFHENGAKVVIADIQDNKGEALARKLGGSACYIHCDVTNEDDIRNLTDTTISKYGKLDVMHNNAGILDRTFSTILDITKSEIDQVIGVNLVGALLGAKHAARLMAPQRKGCILFTASACTAIAGLLSGNAYAVSKYGVLGLAKNLAAELGHYGIRVNCISPYGVATPMIAPNETEVRNMEQSLTAMGNLKGEILKPEGLAYAALYLASDEANYVSGLNLVLDGGFSIVNPTFMKAFNLIH >KJB33081 pep chromosome:Graimondii2_0_v6:6:49742509:49743135:1 gene:B456_006G254600 transcript:KJB33081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYVFSSIRSVPIDGYKIWKSMKETFGRLWLLLQYVADNGFTIFLFLDVFQHAFLRPENSRLPCAFVTTKIVISLVLL >KJB38149 pep chromosome:Graimondii2_0_v6:6:48625728:48626259:-1 gene:B456_006G239200 transcript:KJB38149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTFLTMGFLLKTFSIPDSILQARLIIGRFDHYNTQMTFSTRVDISHRKHLLDSAVDKSSNCVFYSQHKTPQKVFAQTMAADKMLKHSHGASQNMYGLTYLGFTGWHQIVTQWHAHIQPTTYIFQSRT >KJB36247 pep chromosome:Graimondii2_0_v6:6:40692426:40695049:1 gene:B456_006G148400 transcript:KJB36247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCGHFGDGQKPQAAISVQSAPSEHCARFQLGFGQPLACAKYPYMDQCYGVFSTYGPQVSPYVHYSRHLHAMRRRFLNKKSLNSDKEVMEMKKAAGGQVCHPTGSQSFEESNGGGSILSRSEVISMNSRGDLEHLSINHLGLSVHSFPLMMDDRRGTVMPSKWVATIDNCCNLKV >KJB33557 pep chromosome:Graimondii2_0_v6:6:4146870:4148828:1 gene:B456_006G017600 transcript:KJB33557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRTRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKTKEKQSSKS >KJB36077 pep chromosome:Graimondii2_0_v6:6:39653792:39656833:-1 gene:B456_006G139500 transcript:KJB36077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLRKLNCLFHYYPKSAPFSSLVSSFTTHQLTLTTFPPDPQIVLTTLCSNGQLKEALIEMAARGLEMKFESYDMLLNACIKKRAFREGQRVHAHMIKTRYLPPVYLRNRLIILYSKCDRLREARNVLDEMPEKNVVSWTAMISAYSQRGFATEALDLFLEMLRSVTEPNEFTFATVLSSCTGTFGFELGRQIHSLIIKRTLDTHIFVGSSLLDMYAKAGRIHEAREVFESLPERDVVSCTAIISGYAQLGLDAEALELFRRLNLEGMSSNYVTYASVLTALSGLAALDLGKQVHNHVLRCKLPFYVVLQNSLIDMYSKCGNLIYSRRIFDNMPERTVISWNAMLVGYSKHGMGREVVELYKLMRNENKIKPDSVTLLGVLSGCSHGGMENVGLEIFEEMVNGKDGVKPDIEHYGCVVDLLGRAGRVEEAFQFIKKMPFEPTAALWGSLLGACKVHSNIDIGEFVGHRLLEIEPENAGNYVILSNLYASTGRWEDVRMVRDLMLKKAVKKQPGRSWIELDQTLHSFHASDRSHPRREEVFAKMKELSIKFKEAGYVPDLSCVLHDVDEEQKEKILLGHSEKLALTFGLISTSDRAPLRVIKNLRICIDCHNFAKFVSKIYMREVYLRDKNRFHHISIGVCSCGDYW >KJB46370 pep chromosome:Graimondii2_0_v6:7:59478355:59481738:1 gene:B456_007G363300 transcript:KJB46370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKMMRWRPWPPLSSKKYEVKLIVRRLEGWDPVRESTDKPEKLTVEIRWKGPKASLSSLRRTVKRNFTKEADAGDQNGVVLWDEEFQTLCSLSAYKENVFHPWEITFSVLNGLNQGAKNKFPLIIGTASMNLGEYASSSEQKGFELNFPLTVSAGATKPGLQLCISLSLLELRAAQETVEPVQRALVPIVASPLPVSMEKDEVSAIKAGLRKVKIFTEYVSTRTAKKACRDDEGSDGRCSARSDDGEYPLDTDSLDEFDEGESDEGKDDSVVRKSFSYGTLAYANFAGGSFYSSLKIEEGEDWVYYSNCKSDVGCSNVEDSATSVLEPSLLQSSKRSILPWRKRKLSFRSPKAKGEPLLKKSYGEEGGDDIDFDRRQLSSDESLALGWHKDEDSSANRSSVSEFGDDNFAVGTWEQKEVVSRDGHMKLRAQVFFASIDQRNERAAGESACTALVAVIADWFQKNRDLMPIKSQLDSLIRDGSLEWRNLCENETYRERFPDKHFDLETVLQAKIRPLTVVPRKSFIGFFHPEGMDEEKFDFLHGAMSFDSIWDEINRAGEECLKSGESHVYIVSWNDHFFILKVEPEAYYIIDTLGERLYEGCNQAYILKFDNNTVIHKLPNATQSSDEKPTGDQQVAPATTEPQISQAQQVNSKEDGSVSGSVLTKPAEPEEVVCQGKESCKEYIKSFLAAIPIREMQADIKKGLMASTPLHHRLQIEFQYTEFWQPKPETSATPMTTTKPLLVEVPLTEVAA >KJB41855 pep chromosome:Graimondii2_0_v6:7:9940492:9947710:1 gene:B456_007G124600 transcript:KJB41855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKENNITTGKIYQSVLDKERKGDFLGKTVQVVPHITDAIKERIESVAHVPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLFFSVGHDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAQPLLDNTKQKLSQFCHVPAANILNIHDVPNIWHIPLLLRNQNAHHSILKQLDLLSIATPPDLEAWTRMAETFDNLTDSVRIAMVGKYVGLTDSYLSVVKALLHACIACSLKPSIDWIAASDLEDDSVKSAPEAHAAAWKTLRNAECVLVPGGFGDRGVSGMILAAKYARENNVPYLGICLGMQISVIEYARSVLGFERANSAEFDKETHNPVVIFMPEGSRTHMGSTMRLGSRRTLFQTTDCVTSKLYCNAQYVDERHRHRYEVNPDMIEVLEEAGLKFVGKDETGKRMEVLELPGHPFYVGVQFHPEFKSRPGKPSAPFLGLILSAKGQLEAYLNRHQNGS >KJB41853 pep chromosome:Graimondii2_0_v6:7:9940653:9947678:1 gene:B456_007G124600 transcript:KJB41853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKENNITTGKIYQSVLDKERKGDFLGKTVQVVPHITDAIKERIESVAHVPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLFFSVGHDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAQPLLDNTKQKLSQFCHVPAANILNIHDVPNIWHIPLLLRNQNAHHSILKQLDLLSIATPPDLEAWTRMAETFDNLTDSVRIAMVGKYVGLTDSYLSVVKALLHACIACSLKPSIDWIAASDLEDDSVKSAPEAHAAAWKTLRNAECVLVPGGFGDRGVSGMILAAKYARENNVPYLGICLGMQISVIEYARSVLGFERANSAEFDKETHNPVVIFMPEGSRTHMGSTMRLGSRRTLFQTTDCVTSKLYCNAQYVDERHRHRYEVNPDMIEVLEEAGLKFVGKDETGKRMEVLELPGHPFYVGVQFHPEFKSRPGKPSAPFLGLILSAKGQLEAYLNRHQNGS >KJB41852 pep chromosome:Graimondii2_0_v6:7:9940492:9947710:1 gene:B456_007G124600 transcript:KJB41852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKENNITTGKIYQSVLDKERKGDFLGKTVQVVPHITDAIKERIESVAHVPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLFFSVGHDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAQPLLDNTKQKLSQFCHVPAANILNIHDVPNIWHIPLLLRNQNAHHSILKQLDLLSIATPPDLEAWTRMAETFDNLTDSVRIAMVGKYVGLTDSYLSVVKALLHACIACSLKPSIDWIAASDLEDDSVKSAPEAHAAAWKTLRNAECVLVPGGFGDRGVSGMILAAKYARENNVPYLGICLGMQISVIEYARSVLGFERANSAEFDKETHNPVVIFMPEGSRTHMGSTMRLGSRRTLFQTTDCVTSKLYCNAQYVDERHRHRYEVNPDMIEVLEEAGLKFVGKDETGKRMEVLELPGHPFYVGVQFHPEFKSRPGKPSAPFLAPNLKVGRS >KJB41854 pep chromosome:Graimondii2_0_v6:7:9940653:9947189:1 gene:B456_007G124600 transcript:KJB41854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKENNITTGKIYQSVLDKERKGDFLGKTVQVVPHITDAIKERIESVAHVPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLFFSVGHDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAQPLLDNTKQKLSQFCHVPAANILNIHDVPNIWHIPLLLRNQNAHHSILKQLDLLSIATPPDLEAWTRMAETFDNLTDSVRIAMVGKYVGLTDSYLSVVKALLHACIACSLKPSIDWIAASDLEDDSVKSAPEAHAAAWKTLRNAECVLVPGGFGDRGVSGMILAAKYARENNVPYLGICLGMQISVIEYARSVLGFERANSAEFDKETHNPVVIFMPEVHSSYCLFCFG >KJB42217 pep chromosome:Graimondii2_0_v6:7:11874603:11880480:1 gene:B456_007G142500 transcript:KJB42217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQVVKVRRETIAACMTCPLCNKLLRDATTISECLHTFCRKCIYVKIEDEELECCPICNIDLGCVPLEKLRPDHNLQDVRSKIFPFRRRKVKAPEVLPLVTMPTRRKERSLSSLVVNAPKVSTQAALTGRRTKAVARKANALRGSSFSVERPLKREDDSMEEHQESASSPETLNKFTQNKRQCISSAEPSQHLNKDAKNGDESWDGKLDLWKPLNCLVEVANRTKSFKSSSQGSDPKLEPTHVPNIEAQMCKSKNKEDKCKTKIEDEKNITGPATSETVTPKKLRRIRRKRASGFGDSVLSPQAVLDAYDPKHGRRIGPVWFSLVASEDQEGGAPLPQIPANYLRIKLKSNAWDNLCFPHCSCII >KJB42216 pep chromosome:Graimondii2_0_v6:7:11874517:11880555:1 gene:B456_007G142500 transcript:KJB42216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQVVKVRRETIAACMTCPLCNKLLRDATTISECLHTFCRKCIYVKIEDEELECCPICNIDLGCVPLEKLRPDHNLQDVRSKIFPFRRRKVKAPEVLPLVTMPTRRKERSLSSLVVNAPKVSTQAALTGRRTKAVARKANALRGSSFSVERPLKREDDSMEEHQESASSPETLNKFTQNKRQCISSAEPSQHLNKDAKNGDESWDGKLDLWKPLNCLVEVANRTKSFKSSSQGSDPKLEPTHVPNIEAQMCKSKNKEDKCKTKIEDEKNITGPATSETVTPKKLRRIRRKRASGFGDSVLSPQAVLDAYDPKHGRRIGPVWFSLVASEDQEGGAPLPQIPANYLRIKDGNMPVSFIQKYLMKKLDLTDEAEVEIKCMGQPVLPTLQLYNLVDLWLQTASTSQRVAASVGSCAKDFVMVLAYARKVSGQQ >KJB42218 pep chromosome:Graimondii2_0_v6:7:11875438:11880555:1 gene:B456_007G142500 transcript:KJB42218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRRKERSLSSLVVNAPKVSTQAALTGRRTKAVARKANALRGSSFSVERPLKREDDSMEEHQESASSPETLNKFTQNKRQCISSAEPSQHLNKDAKNGDESWDGKLDLWKPLNCLVEVANRTKSFKSSSQGSDPKLEPTHVPNIEAQMCKSKNKEDKCKTKIEDEKNITGPATSETVTPKKLRRIRRKRASGFGDSVLSPQAVLDAYDPKHGRRIGPVWFSLVASEDQEGGAPLPQIPANYLRIKDGNMPVSFIQKYLMKKLDLTDEAEVEIKCMGQPVLPTLQLYNLVDLWLQTASTSQRVAASVGSCAKDFVMVLAYARKVSGQQ >KJB44191 pep chromosome:Graimondii2_0_v6:7:32632339:32635027:1 gene:B456_007G239000 transcript:KJB44191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIACTRSSHELFNVRKAYHDLYKKSLEEDVAHHTKGDYRKLLVPLVSAFRYQGEEVNMTLARSEAKILREKISDKQYSDEEVIRIVTTRSKAQLNATLNHYNTAFGNAINKDLKADPEDEFLKLLRAAIKCLTVPEKYFEKVLRQAINKLGTDEWALTRVVATRAEVDMVRIKEEYQRRNSVTLEKAIAGDTSGDYEKMLLALIGAGDV >KJB44188 pep chromosome:Graimondii2_0_v6:7:32632511:32634153:1 gene:B456_007G239000 transcript:KJB44188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKVPAHVPAPSEDAEQLRKAFEGWGTNEQLIIDILAHRNAAQRNLIRKTYREAYGEDLLKSLDEELSSDFERAVVLFTLDPAERDAFLAHEATKRFTSSHWVLMEIACTRSSHELFNVRKAYHDLYKKSLEEDVAHHTKGDYRKLLVPLVSAFRYQGEEVNMTLARSEAKILREKISDKQYSDEEVIRIVTTRSKAQLNATLNHYNTAFGNAINKVYVLVFF >KJB44192 pep chromosome:Graimondii2_0_v6:7:32632607:32635027:1 gene:B456_007G239000 transcript:KJB44192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGTNEQLIIDILAHRNAAQRNLIRKTYREAYGEDLLKSLDEELSSDFERAVVLFTLDPAERDAFLAHEATKRFTSSHWVLMEIACTRSSHELFNVRKAYHDLYKKSLEEDVAHHTKGDYRKLLVPLVSAFRYQGEEVNMTLARSEAKILREKISDKQYSDEEVIRIVTTRSKAQLNATLNHYNTAFGNAINKDLKADPEDEFLKLLRAAIKCLTVPEKYFEKVLRQAINKLGTDEWALTRVVATRAEVDMVRIKEEYQRRNSVTLEKAIAGDTSGDYEKMLLALIGAGDV >KJB44189 pep chromosome:Graimondii2_0_v6:7:32632339:32635027:1 gene:B456_007G239000 transcript:KJB44189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKVPAHVPAPSEDAEQLRKAFEGWGTNEQLIIDILAHRNAAQRNLIRKTYREAYGEDLLKSLDEELSSDFERAVVLFTLDPAERDAFLAHEATKRFTSSHWVLMEIACTRSSHELFNVRKAYHDLYKKSLEEDVAHHTKGDYRKLLVPLVSAFRYQGEEVNMTLARSEAKILREKISDKQYSDEEVIRIVTTRSKAQLNATLNHYNTAFGNAINKADPEDEFLKLLRAAIKCLTVPEKYFEKVLRQAINKLGTDEWALTRVVATRAEVDMVRIKEEYQRRNSVTLEKAIAGDTSGDYEKMLLALIGAGDV >KJB44193 pep chromosome:Graimondii2_0_v6:7:32632339:32635027:1 gene:B456_007G239000 transcript:KJB44193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIACTRSSHELFNVRKAYHDLYKKSLEEDVAHHTKGDYRKLLVPLVSAFRYQGEEVNMTLARSEAKILREKISDKQYSDEEVIRIVTTRSKAQLNATLNHYNTAFGNAINKDLKADPEDEFLKLLRAAIKCLTVPEKYFEKVLRQAINKLGTDEWALTRVVATRAEVDMVRIKEEYQRRNSVTLEKAIAGDTSGDYEKMLLALIGAGDV >KJB44190 pep chromosome:Graimondii2_0_v6:7:32632339:32635027:1 gene:B456_007G239000 transcript:KJB44190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKVPAHVPAPSEDAEQLRKAFEGWGTNEQLIIDILAHRNAAQRNLIRKTYREAYGEDLLKSLDEELSSDFERAVVLFTLDPAERDAFLAHEATKRFTSSHWVLMEIACTRSSHELFNVRKAYHDLYKKSLEEDVAHHTKGDYRKLLVPLVSAFRYQGEEVNMTLARSEAKILREKISDKQYSDEEVIRIVTTRSKAQLNATLNHYNTAFGNAINKDLKADPEDEFLKLLRAAIKCLTVPEKYFEKVLRQAINKLGTDEWALTRVVATRAEVDMVRIKEEYQRRNSVTLEKAIAGDTSGDYEKMLLALIGAGDV >KJB45300 pep chromosome:Graimondii2_0_v6:7:51098602:51101461:-1 gene:B456_007G299400 transcript:KJB45300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGFQILHMIFIILILQSSYILIADALMEYTAEGFRVDGVQQLYFMEGSGGNENKIEKVSGQDDNEVKIASIVLKFRALLGLKSSTKTRTPLKGVNGCCYSPSPSPLPNIEAEVSVPVPAPAPAVPKVSHFHSPPPWSSSKPQPYKVHEQNGDEGRVKRILVPVLVSAGAVSLACVLGVICFCGKIRKYKRKSMKTGRIRGKSKCLSSQNSSNKVSLDPSIDLFYLNSLGVSLEQQQACLKTSPNHSKNCSTREITSVHEDVESGRYDSDRGKSSVSDKIIPMECHSSDDESFHSFVDSHSPNARLSNASAGSLNDTSDIPMDVSKKSPSPQHSTPPPPRPPPPPPLPPVARVAPLSFASSATTRATMRTSSSSSSTLLNETPSRNSDSSLGLNQNEANLADTSFSPRIPSTVSPSPPSAIPPPPCPPPFLKSPPPPPPQLPQYSPIGKDGVPLPKLKPLHWDKVRAAPDRSMVWDKLRSSSFELDEEMMESLFGYNIQNPMKNDETKSKTPSPSKHVLEPKRLQNITILLKALNVTAEQACNALMKAGNGLFLQQLEALVKMVPTKEEETKLYGYKGDVNELGSAEKFVKVLLSVPFAFLRAEAMLYRETFDDEVIHLKSSFSMLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGARAFKLDALLKLADVKGTDGKTTLLHFVVQEIVRSEGIRVSDSIMGKINQRNKTRTAEEKEEDYRRMGLDLVSGLSTELYHVKKTATLDLDVLASSVSNLSDGKAKLQHLVREELSTDEKSGNFVRSMNSFLDYAEKNLKELEEDEHKVLLHVREITEYFHGDVSKVDEANPLRIFVIVRDFLGMLDHVCKELRNLKVPSSPSPLAPFR >KJB45299 pep chromosome:Graimondii2_0_v6:7:51098490:51101884:-1 gene:B456_007G299400 transcript:KJB45299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGFQILHMIFIILILQSSYILIADALMEYTAEGFRVDGVQQLYFMEGSGGNENKIEKVSGQDDNEVKIASIVLKFRALLGLKSSTKTRTPLKGVNGCCYSPSPSPLPNIEAEVSVPVPAPAPAVPKVSHFHSPPPWSSSKPQPYKVHEQNGDEGRVKRILVPVLVSAGAVSLACVLGVICFCGKIRKYKRKSMKTGRIRGKSKCLSSQNSSNKVSLDPSIDLFYLNSLGVSLEQQQACLKTSPNHSKNCSTREITSVHEDVESGRYDSDRGKSSVSDKIIPMECHSSDDESFHSFVDSHSPNARLSNASAGSLNDTSDIPMDVSKKSPSPQHSTPPPPRPPPPPPLPPVARVAPLSFASSATTRATMRTSSSSSSTLLNETPSRNSDSSLGLNQNEANLADTSFSPRIPSTVSPSPPSAIPPPPCPPPFLKSPPPPPPQLPQYSPIGKDGVPLPKLKPLHWDKVRAAPDRSMVWDKLRSSSFELDEEMMESLFGYNIQNPMKNDETKSKTPSPSKHVLEPKRLQNITILLKALNVTAEQACNALMKGNGLFLQQLEALVKMVPTKEEETKLYGYKGDVNELGSAEKFVKVLLSVPFAFLRAEAMLYRETFDDEVIHLKSSFSMLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGARAFKLDALLKLADVKGTDGKTTLLHFVVQEIVRSEGIRVSDSIMGKINQRNKTRTAEEKEEDYRRMGLDLVSGLSTELYHVKKTATLDLDVLASSVSNLSDGKAKLQHLVREELSTDEKSGNFVRSMNSFLDYAEKNLKELEEDEHKVLLHVREITEYFHGDVSKVDEANPLRIFVIVRDFLGMLDHVCKELRNLKVPSSPSPLAPFR >KJB45078 pep chromosome:Graimondii2_0_v6:7:49453646:49455952:-1 gene:B456_007G288000 transcript:KJB45078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKVL >KJB45071 pep chromosome:Graimondii2_0_v6:7:49452059:49456506:-1 gene:B456_007G288000 transcript:KJB45071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSVDIWEI >KJB45074 pep chromosome:Graimondii2_0_v6:7:49453282:49455952:-1 gene:B456_007G288000 transcript:KJB45074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSVSGSIVHWVVSLCIRTLICMFCRWTFGRYECKTSCAFLSVYVHL >KJB45075 pep chromosome:Graimondii2_0_v6:7:49453282:49455952:-1 gene:B456_007G288000 transcript:KJB45075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSVSGSIVHWVVSLCIRTLICMFCRWTFGRYECKTSCAFLSVYVHL >KJB45070 pep chromosome:Graimondii2_0_v6:7:49452132:49456494:-1 gene:B456_007G288000 transcript:KJB45070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSVSGSIVHWVVSLCIRTLICMFCRWTFGRYECKTSCAFLSVMNQNSFQV >KJB45077 pep chromosome:Graimondii2_0_v6:7:49452059:49456506:-1 gene:B456_007G288000 transcript:KJB45077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKNQQ >KJB45076 pep chromosome:Graimondii2_0_v6:7:49452132:49456494:-1 gene:B456_007G288000 transcript:KJB45076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSVSGSIVHWVVSLCIRTLICMFCRWTFGRYECKTSCAFLSVMNQNSFQV >KJB45069 pep chromosome:Graimondii2_0_v6:7:49451994:49456506:-1 gene:B456_007G288000 transcript:KJB45069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKNQQ >KJB45073 pep chromosome:Graimondii2_0_v6:7:49452132:49456494:-1 gene:B456_007G288000 transcript:KJB45073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKNQQ >KJB45072 pep chromosome:Graimondii2_0_v6:7:49453328:49455952:-1 gene:B456_007G288000 transcript:KJB45072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSVDIWEI >KJB41922 pep chromosome:Graimondii2_0_v6:7:10226156:10230079:-1 gene:B456_007G128200 transcript:KJB41922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSELIYRGHETQLASDSYSPKPVKPWASVTRAVRYMLREQRLLFVLVGIAIATLVFTVFPASRAAHGPHLHSTTPLLDSIPYFPIETQNKFSYAHRLGFGSGNPTGKIPLGLKRKGLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENVMHHFGNPNFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAEVVQETIDPNAKIEFRPNTEDDPHKRKPDISRAKELLGWQPKVSLRKGLPLMVSDFRQRIFGDHKVGSTTTTTNDSSS >KJB40726 pep chromosome:Graimondii2_0_v6:7:5305493:5309611:-1 gene:B456_007G075200 transcript:KJB40726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPDIASILENSRELDRLRKEQEEVLVEINKLHKKLQATPEVVEKPGDSSLSRLKSLYIQARDLSEREVTISNLLLNQLDAFLPSGPPGQQRRKMDGNDQKRKRMKSDSDISRLSPSMRSHIEACVSLKDEQVAARVTSDAEKDEWFVVKVINFDEKTKEFEVLDEEPGDEEEGSGQKKYKLPASCIIPFPKRNDPASTPEFPAGRQVLAVYPGTTALYKATVISTPRKRKSDEYLLEFDDDEEDGALPQRTVPFHKVVQLPEGHRQ >KJB45821 pep chromosome:Graimondii2_0_v6:7:55382176:55387014:1 gene:B456_007G331000 transcript:KJB45821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGEAALSVFLELLGAKLLNSALNFVADHKHLRQKLEQWQSVLPDIQAVLTDAEEKQIKNEGVKKWLDDLQDLAYDVDDILDEFAYEELRLKLQKTQSQASTSKVRKLIPTCCTNSNFTPTSFLFKNSMIPKVKDITARLNNLTTRRSSLGLSDILSQVPTSKGKQPRLQPTSVVDGSVEYVGRLEEKTEMIELLKGDNFNGVSVLSIVGLGGMGKTTLAQLVYNDATINECFDLKAWVCVSDYFDAIAITKAILQSITSESCDYSNLDLLQVKLKEKLLGKRFLLVLDDIWNENYNDWTILRSPFGAGTNIIVTTRLQIVSSIVYPLKAFHLDKLSDDDCLSIFTQHALKARNFDAHLQFKEIGEKFVTRCKGLPLAAKAIGSLLRTVKYHREWKRIYESEIWNLPEEHCGIIPALRLSYHHLPSYLKRCFAYCSILPKDYEFKEEEIILLWRAEGLLQQKAMPQNNDLGNQYFQDLLSRSFFQKSSKDESRFVMHDLINDLAQVVAGDICSKLEGNKQQKFSNRTRHSSYVISRFDTMKKFEAFNQVKSLRTFLPLGLSGAHLTNVVLVDLLPRLGYLRVLSLSGYRITELPDIFENLKHLRYLNLSGTLIKCLPDSLCTLYHLETLLLKMCFKLQKLPSKMGNLVKLYYLDIRGANLIEKMPFGIDQLTNLQRLSDFIVGKGDGHHIKELKYLSNLKGDFRLSGLENVNGQDAGEAKLNEKQGIDRLVLHWSKKFEKDSRNKEDEEWVLDSLCPPKELEQLVIENYGGAKFSTWIADSSFENMLSLELRNCKNCKSLPSIARLSLLKDLLIGGLDEIHKIGVEFFGAYQSNAFTSLETLCFESMPNWEEWDPCEGDEKASKFPSLRELSIKECPHLLGRLPTHLQSLQKLVIYECRRLVVSISSFSSLCELSIEGCEELVDEGPSSAEAVTFLKSVSLQNISKFDISAEKALLRFANSENFNISHWKELKSLSQNGLSLVGHRFITIADCPQLVSLETEERLQLDKIPGVESLEIVACERLNRLPEVLHAFTFLTRIKLLRCQGLVCFAESDFPPALKELSIWSCKNLQYLVRGKENNHKSMSSNTSLLEHLEILFCPSLIWLSSRGDICNRLQHLHIDGCSKLSSLFLNAKLPVMLKYLNIWCCPVLECIAQHFYECNNLETIHIGYVQNIKSLPRGLDKLSHLQKIEFEACPSLVSFEENVLPTTNLRVLLIAFCKNFRALPKCINNFTSLRELKVSNCSVGISFPEEGFPTNLTSLQISKAPRIYTSLVEWGLNRLTSLQELEISGEGCSSVKTFPEEGIGMTLPPSLTSICIQDFENLEFMCSKGFQHLTSLQKLLICNCPKLTSLPEKDTLLSLEHLCISGCPLLDEGCSRGKGREWSKIAHIPYVRLIKKVMPRELD >KJB42287 pep chromosome:Graimondii2_0_v6:7:12446240:12447382:-1 gene:B456_007G147300 transcript:KJB42287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIGDLIKLTEAAKLNANEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRMVLDPTTEEVVGMRKTLVFYRNRAPNGVKTGWIMHEFRLHTPHLPPKEEWVLCRVFHKSKEENSAKLSSPMMLETSSTHHAHETAYQHISSLSTTTPTYQSHAQCLLNLLQYNSHQENNSNEVSSKVDDGYEFLWDMEENSLECSWGGFQLGGHEI >KJB42286 pep chromosome:Graimondii2_0_v6:7:12445980:12451272:-1 gene:B456_007G147300 transcript:KJB42286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRDIGATLPPGFRFYPSDEELVCHYLYKKIANEQVLKGTLVEIDLHTCEPWQLPEAAKLNANEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRMVLDPTTEEVVGMRKTLVFYRNRAPNGVKTGWIMHEFRLHTPHLPPKEEWVLCRVFHKSKEENSAKLSSPMMLETSSTHHAHETAYQHISSLSTTTPTYQSHAQCLLNLLQYNSHQENNSNEVSSKVDDGYEFLWDMEENSLECSWGGFQLGGHEI >KJB42288 pep chromosome:Graimondii2_0_v6:7:12446240:12451085:-1 gene:B456_007G147300 transcript:KJB42288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAMAASCFRDRKYATGFRTNRATTSGYWKATGKDRMVLDPTTEEVVGMRKTLVFYRNRAPNGVKTGWIMHEFRLHTPHLPPKEEWVLCRVFHKSKEENSAKLSSPMMLETSSTHHAHETAYQHISSLSTTTPTYQSHAQCLLNLLQYNSHQENNSNEVSSKVDDGYEFLWDMEENSLECSWGGFQLGGHEI >KJB44877 pep chromosome:Graimondii2_0_v6:7:47515462:47518682:-1 gene:B456_007G277300 transcript:KJB44877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKTTKKPANDPTNHTMDRVFSVEEVPDHFWSSSAADGGDDDKNKSPSSEMNRSASEWAFQQFLREEVDKKGEDNEEEEAKENGCFENNKHDNSPADVEDYQAFLKKKLNLACAAVAMSMASFAKPQDSAARADSGPQASNTPQLGSKTVSRGAGDKDDNAVAEVPSFPSGQKKLRAQVRPSTSTGSSKEQSDEDEVEGENETMENMDPADVKRVRRMLSNRESARRSRRRKQAHLTELESQVSQLRVENANLLKSLTDISQRYNEAAVNNRILKADVETLKAKVKMAVEAVKRTTGLNPVFHALPEIPSMSSFDGCPSDTSTDAAVYEEDGPKHTLYQAAANDSISTHELRINNALADISSVENIQPVSEGSAVTGNKIGRTASLQRVASLEHLQKRIHGGVSLNGKQ >KJB44878 pep chromosome:Graimondii2_0_v6:7:47515463:47518589:-1 gene:B456_007G277300 transcript:KJB44878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVFSVEEVPDHFWSSSAADGGDDDKNKSPSSEMNRSASEWAFQQFLREEKLNLACAAVAMSMASFAKPQDSAARADSGPQASNTPQLGSKTVSRGAGDKDDNAVAEVPSFPSGQKKLRAQVRPSTSTGSSKEQSDEDEVEGENETMENMDPADVKRVRRMLSNRESARRSRRRKQAHLTELESQVSQLRVENANLLKSLTDISQRYNEAAVNNRILKADVETLKAKVKMAVEAVKRTTGLNPVFHALPEIPSMSSFDGCPSDTSTDAAVYEEDGPKHTLYQAAANDSISTHELRINNALADISSVENIQPVSEGSAVTGNKIGRTASLQRVASLEHLQKRIHGGVSLNGKQ >KJB41356 pep chromosome:Graimondii2_0_v6:7:7428175:7430081:-1 gene:B456_007G100400 transcript:KJB41356 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) TAIR;Acc:AT4G29910] MATEESPQVTRRATRSYSASNTAIESTNPPESYKPTINDLGFRQQPLRLEDLFSSFPGRRSQILELLRLLGPLNSPMFPILVYGGPSTGKTSVTLQVFRHLNRPFIYSSCITCYSPRILFESILNQLLFHRKDSGHSYSSVKRCERPSDFVNYLREALENVITNLKGNSGKVSSKMSGRPDGTMVYLVFDNLERVRDWDKSSTILPFLFNLNDILKMPEVGLIFISNTSPDTYYLNIGYAEPIPLYFPDYTEVDLRHIFMANQTNSRLYASFLDVVLRPFCRVTRQVDELAAAFSSLFKKYSEPLSDKEVAPNEEMKRRLFSHIRPHIASALNETFQVRSQPLLKAETNQETKKTNSSRMPGVREDFDKIDFHMSTSAKYLLISAFLASRNPATLDASLFDSTGVSDSHKRKRNCAYWKNFKYSPRACHNLTLEYMGI >KJB41355 pep chromosome:Graimondii2_0_v6:7:7427250:7430608:-1 gene:B456_007G100400 transcript:KJB41355 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) TAIR;Acc:AT4G29910] MATEESPQVTRRATRSYSASNTAIESTNPPESYKPTINDLGFRQQPLRLEDLFSSFPGRRSQILELLRLLGPLNSPMFPILVYGGPSTGKTSVTLQVFRHLNRPFIYSSCITCYSPRILFESILNQLLFHRKDSGHSYSSVKRCERPSDFVNYLREALENVITNLKGNSGKVSSKMSGRPDGTMVYLVFDNLERVRDWDKSSTILPFLFNLNDILKMPEVGLIFISNTSPDTYYLNIGYAEPIPLYFPDYTEVDLRHIFMANQTNSRLYASFLDVVLRPFCRVTRQVDELAAAFSSLFKKYSEPLSDKEVAPNEEMKRRLFSHIRPHIASALNETFQVRSQPLLKAETNQETKKTNSSRMPGVREDFDKIDFHMSTSAKYLLISAFLASRNPATLDASLFDSTGVSDSHKRKRKASEKSMDQKEMAEQELLMKGPGTFPLERLLAIFQCITSLAEDSLDEEESHELTVEGGSRGLMSDVLLQLSSLCNANFIIKGGSCPLEGSTRYRTAVSEDLALKVARSLKFPLSKYLYRR >KJB39841 pep chromosome:Graimondii2_0_v6:7:2265494:2271675:1 gene:B456_007G033300 transcript:KJB39841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVNSSSNIQKDDASTSTGGAQTASQPQPKPKPVVVQSANAPPPFLSKTYDMVDDPATDAVVSWSSANNSFIVWNPPEFARDVLPKYFKHNNFSSFVRQLNTYGFRKVDSDRWEFANEGFLRGQKDLLLNISRRKPAQGHGHQQAQQAHGQSSAGGACVEVGEFGLEEEVERLKRDKNVLMQELVRLRQQQQSTDNQMQTMAQRLHGMEQWQLQMMSFLAKAVQSPGFLAQFMQQKNESNRHITEANKKRRLKQDGIVDNEHSAASDGQIVKYQPLTNDAKAMLRQIVKGDTSTRLDSINNYHDNFLVGDGLSSSTGLDGGKSSSHASGVTLQEVPPTSGISVDRPSSAISEIQSSPCTTSSEKITTTQSTNSSALVGGEKVPSISIPQTNTIMPELSQIPEMVPESVVDIPTEDCMESETGNGGFIDPICLVSLELDDITPDPDIDALLDSSSFWDDLIVQGPVPEDIESISMDDKS >KJB43572 pep chromosome:Graimondii2_0_v6:7:21525992:21528332:1 gene:B456_007G206800 transcript:KJB43572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRKTLAEKLFNISKISSQAVTNCRISSPTVQKRIARKTGKAATGMTVDPGDAKGNGNGMFRRFLHKGCMASPPMRKLPMGENLMERLREIDVSRDRIRLDGLSSHLEAKSAVSELPALSVQEAKKLLKVAQLEMVKTKLRETGKIWISYSDFLRICGESCSGHEQGLQFAKLLDESGTVIVLGNIVVLRSEQVAKALGGLIPLSRSNPNDPRRKELAALEKEKAIIDSKADSLVRRELWLGLAFMVVQTAGFMRLTFWELTWDVMEPICFYVTSMYFMAGYAFFLRTSKEPSFEGFYKSRFSTKQRQLIKAYNFDIQRYNELKAMFPSTVEEELQVSSAASFDYSEKMQIGALDH >KJB44126 pep chromosome:Graimondii2_0_v6:7:31471079:31471513:-1 gene:B456_007G235900 transcript:KJB44126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATMFKVLMMLSLLATACMAQAPTAPPTSPPPPAATPTPTPSPTPTPTPTPTPTPTPSPTPTPTPSASPAPTASPTTSPSPSPEASTPSPSNASPPAPTPSGGAAPASEPTADQGPPPSAASTNGVFIGATALAATFFAAFLA >KJB45845 pep chromosome:Graimondii2_0_v6:7:55500603:55508322:1 gene:B456_007G332400 transcript:KJB45845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENNQIIGEDDYILLKDFKVETEVDEEKGFILCFWVYIFNSNAFPSTILKQVYAETNSSPPLLVLDEKTLMIFPLTCLLNEALGSGNTDLPSEVPKVSAEIEHPQNNWIHVGYEVSSDFVRLYINGEIAGELHLSSLSNDNSMPNGSRKRTLIGITGDSNLQGFIHDAKVLLSTLSIKEQYVKDPPLRLSIDESSISDIEEDNGFWNIVGGKASCRRIFSLDVLLLNTFGQPVNKELEVVASLLYAHNWLPVEKTNDEEPPLLASCDGIEFASCDRPCKLSKGRASFKLKISKLSSKCDNRQFCIKFGVSKLEGYRFLEDFSPSIRCISRNRTPRTSTIVWKKISAVHPVNGSQPFGLDDSSCEPKHNIVHEAKLSPTSKRVRLGEAKISAVDQHSEECNSLASKQNQVENGFGSRLEARPENFEEMNTSLSDSESTGARDSALKSVSSRGHSVPDVTIFRYCLGGLTDRSLLLKEIATTVSDEEISGFAEQVSLYSGCSHHRHQIKMAKRLIDEGTVAWNLISQNNIQVQWESAVFEIEEQFMKIASCSSRSLTQQDFELLRKIAGCQEYMAQENFEKMWCWLYPVAYTLSSDWVNEMWNSTSPKWIEGFITKEEAELSLQGSRGLQEPGTFILRFPTSRSWPHPDAGSLVVTYVGTDYCLHHKLLSIDNVYRFLEYGKRMSK >KJB45844 pep chromosome:Graimondii2_0_v6:7:55500603:55505058:1 gene:B456_007G332400 transcript:KJB45844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENNQIIGEDDYILLKDFKVETEVDEEKGFILCFWVYIFNSNAFPSTILKQVYAETNSSPPLLVLDEKTLMIFPLTCLLNEALGSGNTDLPSEVPKVSAEIEHPQNNWIHVGYEVSSDFVRLYINGEIAGELHLSSLSNDNSMPNGSRKRTLIGITGDSNLQGFIHDAKVLLSTLSIKEQYVKDPPLRLSIDESSISDIEEDNGFWNIVGGKASCRRIFSLDVLLLNTFGQPVNKELEVVASLLYAHNWLPVEKTNDEEPPLLASCDGIEFASCDRPCKLSKGRASFKLKISKLSSKCDNRQFCIKFGVSKLEGYRFLEDFSPSIRCISRNRTPRTSTIVWKKISAVHPVNGSQPFGLDDSSCEPKHNIVHEAKLSPTSKRVRLGEAKISAVDQHSEECNSLASKQNQVENGFGSRLEARPENFEEMNTSLSDSESTGARDSALKSVSSRGHSVPDVTIFRYCLGGLTDRSLLLKEIATTVSDEEISGFAEQVSLYSGCSHHR >KJB45846 pep chromosome:Graimondii2_0_v6:7:55500603:55508322:1 gene:B456_007G332400 transcript:KJB45846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENNQIIGEDDYILLKDFKVETEVDEEKGFILCFWVYIFNSNAFPSTILKQVYAETNSSPPLLVLDEKTLMIFPLTCLLNEALGSGNTDLPSEVPKVSAEIEHPQNNWIHVGYEVSSDFVRLYINGEIAGELHLSSLSNDNSMPNGSRKRTLIGITGDSNLQGFIHDAKVLLSTLSIKEQYVKDPPLRLSIDESSISDIEEDNGFWNIVGGKLSSKCDNRQFCIKFGVSKLEGYRFLEDFSPSIRCISRNRTPRTSTIVWKKISAVHPVNGSQPFGLDDSSCEPKHNIVHEAKLSPTSKRVRLGEAKISAVDQHSEECNSLASKQNQVENGFGSRLEARPENFEEMNTSLSDSESTGARDSALKSVSSRGHSVPDVTIFRYCLGGLTDRSLLLKEIATTVSDEEISGFAEQVSLYSGCSHHRHQIKMAKRLIDEGTVAWNLISQNNIQVQWESAVFEIEEQFMKIASCSSRSLTQQDFELLRKIAGCQEYMAQENFEKMWCWLYPVAYTLSSDWVNEMWNSTSPKWIEGFITKEEAELSLQGSRGLQEPGTFILRFPTSRSWPHPDAGSLVVTYVGTDYCLHHKLLSIDNVYSSGVREKNVKVKPLQDMLLEEPELSRLGRIMRRH >KJB45843 pep chromosome:Graimondii2_0_v6:7:55500463:55508322:1 gene:B456_007G332400 transcript:KJB45843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENNQIIGEDDYILLKDFKVETEVDEEKGFILCFWVYIFNSNAFPSTILKQVYAETNSSPPLLVLDEKTLMIFPLTCLLNEALGSGNTDLPSEVPKVSAEIEHPQNNWIHVGYEVSSDFVRLYINGEIAGELHLSSLSNDNSMPNGSRKRTLIGITGDSNLQGFIHDAKVLLSTLSIKEQYVKDPPLRLSIDESSISDIEEDNGFWNIVGGKASCRRIFSLDVLLLNTFGQPVNKELEVVASLLYAHNWLPVEKTNDEEPPLLASCDGIEFASCDRPCKLSKGRASFKLKISKLSSKCDNRQFCIKFGVSKLEGYRFLEDFSPSIRCISRNRTPRTSTIVWKKISAVHPVNGSQPFGLDDSSCEPKHNIVHEAKLSPTSKRVRLGEAKISAVDQHSEECNSLASKQNQVENGFGSRLEARPENFEEMNTSLSDSESTGARDSALKSVSSRGHSVPDVTIFRYCLGGLTDRSLLLKEIATTVSDEEISGFAEQVSLYSGCSHHRHQIKMAKRLIDEGTVAWNLISQNNIQVQWESAVFEIEEQFMKIASCSSRSLTQQDFELLRKIAGCQEYMAQENFEKMWCWLYPVAYTLSSDWVNEMWNSTSPKWIEGFITKEEAELSLQGSRGLQEPGTFILRFPTSRSWPHPDAGSLVVTYVGTDYCLHHKLLSIDNVYSSGVREKNVKVKPLQDMLLEEPELSRLGRIMRRH >KJB43053 pep chromosome:Graimondii2_0_v6:7:17283410:17285451:-1 gene:B456_007G181500 transcript:KJB43053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCSFQENASVSSCDHQRGGPVVCPKPRRIGVLANNPNRSLRLHLSHQAEVSDLRAGAELLDIILKKEDFGIEQSATQVASSPPFFCGSPPSRVSNPLVQDAQFRDERLAAFSTFQIPTPSSPSSSSSRKGGCVRMKFGLKPATVRVEGFDCLNRDRRNSSIPATA >KJB43050 pep chromosome:Graimondii2_0_v6:7:17283410:17285816:-1 gene:B456_007G181500 transcript:KJB43050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCSFQENASVSSCDHQRGGPVVCPKPRRIGVLANNPNRSLRLHLSHQAEVSDLRAGAELLDIILKKEDFGIEQSATQVASSPPFFCGSPPSRVSNPLVQDAQFRDERLAAFSTFQIPTPSSPSSSSSRKGGCVRMKFGLKPATVRVEGFDCLNRDRRNSSIPATA >KJB43052 pep chromosome:Graimondii2_0_v6:7:17283990:17284995:-1 gene:B456_007G181500 transcript:KJB43052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCSFQENASVSSCDHQRGGPVVCPKPRRIGVLANNPNRSLRLHLSHQAEVSDLRAGAELLDIILKKQEDFGIEQSATQVASSPPFFCGSPPSRVSNPLVQDAQFRDERLAAFSTFQIPTPSSPSSSSSRKGGCVRMKFGLKPATVRVEGFDCLNRDRRNSSIPATA >KJB43054 pep chromosome:Graimondii2_0_v6:7:17283410:17285317:-1 gene:B456_007G181500 transcript:KJB43054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCSFQENASVSSCDHQRGGPVVCPKPRRIGVLANNPNRSLRLHLSHQAEVSDLRAGAELLDIILKKEDFGIEQSATQVASSPPFFCGSPPSRVSNPLVQDAQFRDERLAAFSTFQIPTPSSPSSSSSRKGGCVRMKFGLKPATVRVEGFDCLNRDRRNSSIPATA >KJB43055 pep chromosome:Graimondii2_0_v6:7:17283990:17284995:-1 gene:B456_007G181500 transcript:KJB43055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCSFQENASVSSCDHQRGGPVVCPKPRRIGVLANNPNRSLRLHLSHQAEVSDLRAGAELLDIILKKQEDFGIEQSATQVASSPPFFCGSPPSRVSNPLVQDAQFRDERLAAFSTFQIPTPSSPSSSSSRKGGCVRMKFGLKPATVRVEGFDCLNRDRRNSSIPATA >KJB43049 pep chromosome:Graimondii2_0_v6:7:17283990:17284995:-1 gene:B456_007G181500 transcript:KJB43049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCSFQENASVSSCDHQRGGPVVCPKPRRIGVLANNPNRSLRLHLSHQAEVSDLRAGAELLDIILKKQEDFGIEQSATQVASSPPFFCGSPPSRVSNPLVQDAQFRDERLAAFSTFQIPTPSSPSSSSSRKGGCVRMKFGLKPATVRVEGFDCLNRDRRNSSIPATA >KJB43051 pep chromosome:Graimondii2_0_v6:7:17283403:17285383:-1 gene:B456_007G181500 transcript:KJB43051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCSFQENASVSSCDHQRGGPVVCPKPRRIGVLANNPNRSLRLHLSHQAEVSDLRAGAELLDIILKKEDFGIEQSATQVASSPPFFCGSPPSRVSNPLVQDAQFRDERLAAFSTFQIPTPSSPSSSSSRKGGCVRMKFGLKPATVRVEGFDCLNRDRRNSSIPATA >KJB43974 pep chromosome:Graimondii2_0_v6:7:27379664:27383924:1 gene:B456_007G227300 transcript:KJB43974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRQANPMRRFVDSGSFSLAGALHSKPRSSPILPIGLVLVGAILLIGYVYSGSGKFRGEAVSRIGGDYSCSVEVQRVIPFLKKAYGDSMHKVLHVGPDTCSVVNKLLKEEETEAWGVEPYDIEDAEATCKSLVHKGIVRVADIKFPLPYRPKSFSLVIVSDALDYLSPRYLNKTLPELTRVASDGLVVFTGYPGNQRAKVAELSKFGRPAKMRGSSWWVRFFLQNSLEENEGAIKKFEQAASKGSYHPACQVFHLKPYQ >KJB43976 pep chromosome:Graimondii2_0_v6:7:27379926:27383901:1 gene:B456_007G227300 transcript:KJB43976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRQANPMRRFVDSGSFSLAGALHSKPRSSPILPIGLVLVGAILLIGYVYSGSGKFRGEAVSRIGGDYSCSVEVQRVIPFLKKAYGDSMHKVLHVGPDTCSVVNKLLKEEETEAWGVEPYDIEDAEATCKSLVHKGIVRVADIKFPLPYRPKSFSLVIVSDALDYLSPRYLNKTLPELTRVASDGLVVFTGYPGNQRAKVAELSKFGRPAKMRGSSWWVRFFLQNSLEENEGAIKKFEQAASKGSYHPACQVFHLKPYQ >KJB43975 pep chromosome:Graimondii2_0_v6:7:27379712:27383901:1 gene:B456_007G227300 transcript:KJB43975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRQANPMRRFVDSGSFSLAGALHSKPRSSPILPIGLVLVGAILLIGYVYSGSGDYSCSVEVQRVIPFLKKAYGDSMHKVLHVGPDTCSVVNKLLKEEETEAWGVEPYDIEDAEATCKSLVHKGIVRVADIKFPLPYRPKSFSLVIVSDALDYLSPRYLNKTLPELTRVASDGLVVFTGYPGNQRAKVAELSKFGRPAKMRGSSWWVRFFLQNSLEENEGAIKKFEQAASKGSYHPACQVFHLKPYQ >KJB46431 pep chromosome:Graimondii2_0_v6:7:60051340:60053861:1 gene:B456_007G368300 transcript:KJB46431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSPAAFVNGGLLRMHVGRKVRTVIQVTRSDAGSVMGKSTDGCQLVVRGSPPAPLTSYVEVIGVAENENSIRAELWTNFGDSFDASNYDQLCQLANGELKHLFL >KJB46430 pep chromosome:Graimondii2_0_v6:7:60051340:60053861:1 gene:B456_007G368300 transcript:KJB46430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSPAAFVNGGLLRMHVGRKVRTVIQVTRSDAGSVMGKSTDGCQLVVRGSPPAPLTSYVEVIGVAENENSIRAELWTNFGDSFDASNYDQLCQLANGELKHLFL >KJB39171 pep chromosome:Graimondii2_0_v6:7:342795:345147:-1 gene:B456_007G004200 transcript:KJB39171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDTDKEFLSLTATVTAGYQLFFFLIAAVFQFDKLTDFAGSTNFVIIALLTLVKKGSWHLRQVVLTAMIVIWSTRLALYLFFRILRWGEDKRYDRMRHNVGNLAVFFIFQGLWVWTVTLPVTVVNASDKDPSIQAEDIIGWIMWFLGTIVEILADKDKFSFKNSPESKGKWCEIGLWKYSRHPNYFGDVSIIIFQCTSKQLRNSEKVL >KJB39169 pep chromosome:Graimondii2_0_v6:7:340760:345147:-1 gene:B456_007G004200 transcript:KJB39169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDTDKEFLSLTATVTAGYQLFFFLIAAVFQFDKLTDFAGSTNFVIIALLTLVKKGSWHLRQVVLTAMIVIWSTRLALYLFFRILRWGEDKRYDRMRHNVGNLAVFFIFQGLWVWTVTLPVTVVNASDKDPSIQAEDIIGWIMWFLGTIVEILADKDKFSFKNSPESKGKWCEIGLWKYSRHPNYFGDILLTWGYFVASLPIIEGAEWLVLIGPVFLTLLLLFVSGLTILEKSGDKKFGNVEAYRVYKKRTSTKLG >KJB39168 pep chromosome:Graimondii2_0_v6:7:340759:343604:-1 gene:B456_007G004200 transcript:KJB39168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLTTKCSISSLYLTDCGSCSICPYSVQLMLFVTVPLMCNIFSKLCFCDTMVIRILRWGEDKRYDRMRHNVGNLAVFFIFQGLWVWTVTLPVTVVNASDKDPSIQAEDIIGWIMWFLGTIVEILADKDKFSFKNSPESKGKWCEIGLWKYSRHPNYFGDILLTWGYFVASLPIIEGAEWLVLIGPVFLTLLLLFVSGLTILEKSGDKKFGNVEAYRVYKKRTSALIPLPRSIYGNLPYWFKAVFLFEFPIYNRYLNRNRTKLG >KJB39170 pep chromosome:Graimondii2_0_v6:7:340760:345147:-1 gene:B456_007G004200 transcript:KJB39170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDTDKEFLSLTATVTAGYQLFFFLIAAVFQFDKLTDFAGSTNFVIIALLTLVKKGSWHLRQVVLTAMIVIWSTRLALYLFFRILRWGEDKRYDRMRHNVGNLAVFFIFQGLWVWTVTLPVTVVNASDKDPSIQAEDIIGWIMWFLGTIVEILADKDKFSFKNSPESKGKWCEIGLWKYSRHPNYFGDILLTWGYFVASLPIIEGAEWLVLIGPVFLTLLLLFKSGDKKFGNVEAYRVYKKRTSALIPLPRSIYGNLPYWFKAVFLFEFPIYNRYLNRNRTKLG >KJB39167 pep chromosome:Graimondii2_0_v6:7:340741:345205:-1 gene:B456_007G004200 transcript:KJB39167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDTDKEFLSLTATVTAGYQLFFFLIAAVFQFDKLTDFAGSTNFVIIALLTLVKKGSWHLRQVVLTAMIVIWSTRLALYLFFRILRWGEDKRYDRMRHNVGNLAVFFIFQGLWVWTVTLPVTVVNASDKDPSIQAEDIIGWIMWFLGTIVEILADKDKFSFKNSPESKGKWCEIGLWKYSRHPNYFGDILLTWGYFVASLPIIEGAEWLVLIGPVFLTLLLLFVSGLTILEKSGDKKFGNVEAYRVYKKRTSALIPLPRSIYGNLPYWFKAVFLFEFPIYNRYLNRNRTKLG >KJB45373 pep chromosome:Graimondii2_0_v6:7:51666715:51669414:-1 gene:B456_007G303000 transcript:KJB45373 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MASNAIKSGALVTLPELQPSSEFFKEGASLRVTGKLQEYSVETAIAVIADQGATLKVDTQHLRELSFRIGSIFQFIGELNIQPNNEAILQARTGRNVDGIDLDLYYQSLQQLRQFQAKHMKDATT >KJB45370 pep chromosome:Graimondii2_0_v6:7:51666191:51669700:-1 gene:B456_007G303000 transcript:KJB45370 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MASNAIKSGALVTLPELQPSSEFFKEGASLRVTGKLQEYSVETAIAVIADQGATLKVDTQHLRELSFRIGSIFQFIGELNIQPNNEAILQARTGRNVDGIDLDLYYQSLQQLRQFQAKHMKDATT >KJB45372 pep chromosome:Graimondii2_0_v6:7:51665964:51669700:-1 gene:B456_007G303000 transcript:KJB45372 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MASNAIKSGALVTLPELQPSSEFFKEGASLRVTGKLQEYSVETAIAVIADQGATLKVDTQHLRELSFRIGSIFQFIGELNIQPNNEAILQARTGRNVDGIDLDLYYQSLQQLRQFQAKHMKDATT >KJB45369 pep chromosome:Graimondii2_0_v6:7:51665671:51669700:-1 gene:B456_007G303000 transcript:KJB45369 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MASNAIKSGALVTLPELQPSSEFFKEGASLRVTGKLQEYSVETAIAVIADQGATLKVDTQHLRELSFRIGSIFQFIGELNIQPNNEAILQARTGRNVDGIDLDLYYQSLQQLRQFQAKHMKDATT >KJB45371 pep chromosome:Graimondii2_0_v6:7:51665631:51669888:-1 gene:B456_007G303000 transcript:KJB45371 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MASNAIKSGALVTLPELQPSSEFFKEGASLRVTGKLQEYSVETAIAVIADQGATLKVDTQHLRELSFRIGSIFQFIGELNIQPNNEAILQARTGRNVDGIDLDLYYQSLQQLRQFQAKHMKDATT >KJB44104 pep chromosome:Graimondii2_0_v6:7:30606106:30608276:-1 gene:B456_007G234700 transcript:KJB44104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRDRIAEKKKRVAKAKADAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSIAA >KJB44106 pep chromosome:Graimondii2_0_v6:7:30606379:30607934:-1 gene:B456_007G234700 transcript:KJB44106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNSEPSLTRGSPKKSVVMPLVRYEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRDRIAEKKKRVAKAKADAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSIAA >KJB44105 pep chromosome:Graimondii2_0_v6:7:30606203:30608179:-1 gene:B456_007G234700 transcript:KJB44105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKVAKAKADAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSIAA >KJB44107 pep chromosome:Graimondii2_0_v6:7:30606203:30608179:-1 gene:B456_007G234700 transcript:KJB44107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNSEPSLTRGSPKKSVVMPLVRYFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRDRIAEKKKRVAKAKADAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSIAA >KJB43482 pep chromosome:Graimondii2_0_v6:7:20693524:20697298:1 gene:B456_007G202700 transcript:KJB43482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDNDESRDLKKPFLHTGSWYRMSSRQSSIMSSSAQVLRDGSISVVLCVLIVALGPIQFGFTCGYSSPTQAEIISDLKLSISEFSIFGSLSNVGAMVGAITSGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGSLGSVNQLSVTIGIMLAYLLGLFTNWRVLAVLGVLPCTILIPGLFFIPESPRWLAKMGMMEDFEASLQVLRGFDTDISVEVNEIKRAVASSSRRTTIRFADLKRKRYWYPLTIGIGLLVLQQLSGINGVLFYSSNIFASAGVASSDVATFGVGAIQVIATGVTTWLVDKTGRRLLLMVSSAGMTLSLLIVAVAFYVEGIVAKDSDLYGIMGILSLVGLVAFVIAFSLGIGAIPWVIMSEVFRFSCISTLT >KJB43480 pep chromosome:Graimondii2_0_v6:7:20693411:20698303:1 gene:B456_007G202700 transcript:KJB43480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDNDESRDLKKPFLHTGSWYRMSSRQSSIMSSSAQVLRDGSISVVLCVLIVALGPIQFGFTCGYSSPTQAEIISDLKLSISEFSIFGSLSNVGAMVGAITSGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGSLGSVNQLSVTIGIMLAYLLGLFTNWRVLAVLGVLPCTILIPGLFFIPESPRWLAKMGMMEDFEASLQVLRGFDTDISVEVNEIKRAVASSSRRTTIRFADLKRKRYWYPLTIGIGLLVLQQLSGINGVLFYSSNIFASAGVASSDVATFGVGAIQVIATGVTTWLVDKTGRRLLLMVSSAGMTLSLLIVAVAFYVEGIVAKDSDLYGIMGILSLVGLVAFVIAFSLGIGAIPWVIMSEILPVNIKGLAGSVATLANWLTSWVITMTANLLLTWSGGGTFTIYAVVTAFTVVFVALWVPETKGRSLEEIQSSFR >KJB43486 pep chromosome:Graimondii2_0_v6:7:20693411:20698303:1 gene:B456_007G202700 transcript:KJB43486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDNDESRDLKKPFLHTGSWYRMSSRQSSIMSSSAQVLRDGSISVVLCVLIVALGPIQFGFTCGYSSPTQAEIISDLKLSISEFSIFGSLSNVGAMVGAITSGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGSLGSVNQLSVTIGIMLAYLLGLFTNWRVLAVLGVLPCTILIPGLFFIPESPRWLAKMGMMEDFEASLQVLRGFDTDISVEVNEIKRAVASSSRRTTIRFADLKRKRYWYPLTIGIGLLVLQQLSGINGVLFYSSNIFASAGVASSDVATFGVGAIQVIATGVTTWLVDKTGRRLLLMVSSAGMTLSLLIVAVAFYVEGIVAKDSDLYGIMGILSLVGLVAFVIAFSLGIGAIPWVIMSEEHLLFTQL >KJB43481 pep chromosome:Graimondii2_0_v6:7:20693174:20698436:1 gene:B456_007G202700 transcript:KJB43481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSWVVAQNDHPQLNERISPRPTNYVIPRAVFLPQNSELAMSFRDDNDESRDLKKPFLHTGSWYRMSSRQSSIMSSSAQVLRDGSISVVLCVLIVALGPIQFGFTCGYSSPTQAEIISDLKLSISEFSIFGSLSNVGAMVGAITSGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGSLGSVNQLSVTIGIMLAYLLGLFTNWRVLAVLGVLPCTILIPGLFFIPESPRWLAKMGMMEDFEASLQVLRGFDTDISVEVNEIKRAVASSSRRTTIRFADLKRKRYWYPLTIGIGLLVLQQLSGINGVLFYSSNIFASAGVASSDVATFGVGAIQVIATGVTTWLVDKTGRRLLLMVSSAGMTLSLLIVAVAFYVEGIVAKDSDLYGIMGILSLVGLVAFVIAFSLGIGAIPWVIMSEILPVNIKGLAGSVATLANWLTSWVITMTANLLLTWSGGVVTAFTVVFVALWVPETKGRSLEEIQSSFR >KJB43483 pep chromosome:Graimondii2_0_v6:7:20693524:20698015:1 gene:B456_007G202700 transcript:KJB43483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDNDESRDLKKPFLHTGSWYRMSSRQSSIMSSSAQVLRDGSISVVLCVLIVALGPIQFGFTCGYSSPTQAEIISDLKLSISEFSIFGSLSNVGAMVGAITSGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGSLGSVNQLSVTIGIMLAYLLGLFTNWRVLAVLGVLPCTILIPGLFFIPESPRWLAKMGMMEDFEASLQVLRGFDTDISVEVNEIKRAVASSSRRTTIRFADLKRKRYWYPLTIGIGLLVLQQLSGINGVLFYSSNIFASAGVASSDVATFGVGAIQVIATGVTTWLVDKTGRRLLLMVSSAGMTLSLLIVAVAFYVEGIVAKDSDLYGIMGILSLVGLVAFVIAFSLGIGAIPWVIMSEILPVNIKGLAGSVATLANWLTSWVITMTANLLLTWSGGGLCLSLYLCLVAMYNLRRIHIN >KJB43485 pep chromosome:Graimondii2_0_v6:7:20693411:20697991:1 gene:B456_007G202700 transcript:KJB43485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDNDESRDLKKPFLHTGSWYRMSSRQSSIMSSSAQVLRDGSISVVLCVLIVALGPIQFGFTCGYSSPTQAEIISDLKLSISEFSIFGSLSNVGAMVGAITSGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGSLGSVNQLSVTIGIMLAYLLGLFTNWRVLAVLGVLPCTILIPGLFFIPESPRWLAKMGMMEDFEASLQVLRGFDTDISVEVNEIKRAVASSSRRTTIRFADLKRKRYWYPLTIGIGLLVLQQLSGINGVLFYSSNIFASAGVASSDVATFGVGAIQVIATGVTTWLVDKTGRRLLLMVSSAGMTLSLLIVAVAFYVEGIVAKDSDLYGIMGILSLVGLVAFVIAFSLGIGAIPWVIMSEVFRFSCISTLT >KJB43484 pep chromosome:Graimondii2_0_v6:7:20693411:20698303:1 gene:B456_007G202700 transcript:KJB43484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDNDESRDLKKPFLHTGSWYRMSSRQSSIMSSSAQVLRDGSISVVLCVLIVALGPIQFGFTCGYSSPTQAEIISDLKLSISEFSIFGSLSNVGAMVGAITSGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGSLGSVNQLSVTIGIMLAYLLGLFTNWRVLAVLGVLPCTILIPGLFFIPESPRWLAKMGMMEDFEASLQVLRGFDTDISVEVNEIKRAVASSSRRTTIRFADLKRKRYWYPLTIGIGLLVLQQLSGINGVLFYSSNIFASAGVASSDVATFGVGAIQVIATGVTTWLVDKTGRRLLLMVSSAGMTLSLLIVAVAFYVEGIVAKDSDLYGIMGILSLVGLVAFVIAFSLGIGAIPWVIMSEILPVNIKGLAGSVATLANWLTSWVITMTANLLLTWSGGAGTFTIYAVVTAFTVVFVALWVPETKGRSLEEIQSSFR >KJB43692 pep chromosome:Graimondii2_0_v6:7:23192985:23194497:1 gene:B456_007G212000 transcript:KJB43692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDERNQKQVSAIALMPPPRPSSNSFSNSVHAKSRFGSSSSRNSSIRRTEMSTSSNTSKSSSSSFKAGESSNSKTGNNSNLSSNSDARKPRRKILQLLISKSHSFIVIQMVFMVKAGSIAVFLTIVTFTTPCLVELC >KJB43691 pep chromosome:Graimondii2_0_v6:7:23192754:23194497:1 gene:B456_007G212000 transcript:KJB43691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDERNQKQVSAIALMPPPRPSSNSFSNSVHAKSRFGSSSSRNSSIRRTEMSTSSNTSKSSSSSFKAGESSNSKTGNNSNLSSNSDARKPRRKILQLLISKSHSFIVIQMVFMVKAGSIAVFLTIVTFTTPCLVELC >KJB43690 pep chromosome:Graimondii2_0_v6:7:23192378:23194497:1 gene:B456_007G212000 transcript:KJB43690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDERNQKQVSAIALMPPPRPSSNSFSNSVHAKSRFGSSSSRNSSIRRTEMSTSSNTSKSSSSSFKAGESSNSKTGNNSNLSSNSDARKPRRKILQLLISKSHSFIVIQMVFMVKAGSIAVFLTIVTFTTPCLVELC >KJB44806 pep chromosome:Graimondii2_0_v6:7:46704151:46719356:1 gene:B456_007G273900 transcript:KJB44806 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHR20 [Source:Projected from Arabidopsis thaliana (AT1G08600) UniProtKB/TrEMBL;Acc:A0A178VZQ9] MEEKHDEVEDVDRASSGSFIVDSDDDDEPSTSGQDDGLHFEEPLTEKEIEELVSEFLEVESKAAEAQETLEKESLANVESEVREELAQTLKGDDLETAIADEMTTFIEQWEAVLDELETESAQLLEQLDGAGIELPSLYKWIESQAPNGCCTEAWKRRAHWVGSQVTSETAESLADAEKHLQTQRPVRRKHGRLLEEGASGFLQKKLSDDMSQEAPTGKSDIEWSSFMKICSNGLPEDETGFGSKNWASVYLASTPQQATLMGLNFPGVNEVEEIEDVDGSSDNPLVADAIENERELILSEEQRKYFRKVKEEDDLNIDKKFQLHLKKRRHRRRSKQVTESKLDQSQPLEDNSNSISNKASREDRECVPNNENGVACQILKDDVLESFESCKLTRTQSSPNGMSELDMSGSGISVGSKRSNEDMEANENNKKARTVITASDDEANITVKDDLISSKLDDQFTIPEKSDADVGVESISSECLTDKFICTACHKLAVEVQQHPLLKVIICRDCKCFLEEKMHMKDSECSECYCGWCGQSNDLLSCESCKTLFCTKCVRKNIGEKYLLEVQASGWQCCCCSPTILQKLTSDLERAMGSSDTTVSSSDSESENSDADISTSVSSKRKQKKKIRRILDDAELGEETKRKIAIEKERQERLKSMQFSAKYNMNSSSCSRNLLDEASVEVLGDANTGFIVNVRREDGEEAVRVPPSISAKLKVHQIAGIRFMWENIIQSITKVKSGDKGLGCILAHTMGLGKTFQVIAFLYTAMRSVDLGLKTALIVTPVNVLHNWRQEFMKWRPSELKPLRVYMLEDVPRERRAELLAKWRRKGGIFLIGYTAFRNLSLGKHVKDRNMARDICYALQDGPDILVCDEAHTIKNTRADTTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTHEDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVIAVKLSPLQRKLYKRFLDVHGFANDRPSNEKIRKSFFAGYQALAQIWNHPGILQLNKEDRNYISREDAAENFLADESSSDENIDYNLGVGDKTRSMNDSLHEKNDYGFIQKGWWRDLLHQNNYKELDYSGKMVLLLDIITMCSNVGDKALIFSQSIPTLDLIELYLSRLPRRGKKGKFWKKGKDWYRLDGRTESSERQKLVEKFNEPMNKRAKCTLISTRAGSLGINLYAANRVIIVDGSWNPTYDLQAIYRAWRYGQTKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISKEEMLHLFEFGEEENFDTLMELSEENGNQNMASEVGKSLNPKIPLSHGSCSSDKVMESLLSKHHPRWIANFHEHETLLQENEDEKLSKEEQDMAWEVFRKTLEWEEVQRVSLDESAAERRPVVPDVAPPPKPEPVMNHLTKPQGIFRSRIVQRKCTNLAHLLTLRSQGTKFGCSTVCGECAQEISWEDLNRDSKIAR >KJB44805 pep chromosome:Graimondii2_0_v6:7:46703371:46719556:1 gene:B456_007G273900 transcript:KJB44805 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHR20 [Source:Projected from Arabidopsis thaliana (AT1G08600) UniProtKB/TrEMBL;Acc:A0A178VZQ9] MEEKHDEVEDVDRASSGSFIVDSDDDDEPSTSGQDDGLHFEEPLTEKEIEELVSEFLEVESKAAEAQETLEKESLANVESEVREELAQTLKGDDLETAIADEMTTFIEQWEAVLDELETESAQLLEQLDGAGIELPSLYKWIESQAPNGCCTEAWKRRAHWVGSQVTSETAESLADAEKHLQTQRPVRRKHGRLLEEGASGFLQKKLSDDMSQEAPTGKSDIEWSSFMKICSNGLPEDETGFGSKNWASVYLASTPQQATLMGLNFPGVNEVEEIEDVDGSSDNPLVADAIENERELILSEEQRKYFRKVKEEDDLNIDKKFQLHLKKRRHRRRSKQVTESKLDQSQPLEDNSNSISNKASREDRECVPNNENGVACQILKDDVLESFESCKLTRTQSSPNGMSELDMSGSGISVGSKRSNEDMEANENNKKARTVITASDDEANITVKDDLISSKLDDQFTIPEKSDADVGVESISSECLTDKFICTACHKLAVEVQQHPLLKVIICRDCKCFLEEKMHMKDSECSECYCGWCGQSNDLLSCESCKTLFCTKCVRKNIGEKYLLEVQASGWQCCCCSPTILQKLTSDLERAMGSSDTTVSSSDSESENSDADISTSVSSKRKQKKKIRRILDDAELGEETKRKIAIEKERQERLKSMQFSAKYNMNSSSCSRNLLDEASVEVLGDANTGFIVNVRREDGEEAVRVPPSISAKLKVHQIAGIRFMWENIIQSITKVKSGDKGLGCILAHTMGLGKTFQVIAFLYTAMRSVDLGLKTALIVTPVNVLHNWRQEFMKWRPSELKPLRVYMLEDVPRERRAELLAKWRRKGGIFLIGYTAFRNLSLGKHVKDRNMARDICYALQDGPDILVCDEAHTIKNTRADTTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTHEDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVIAVKLSPLQRKLYKRFLDVHGFANDRPSNEKIRKSFFAGYQALAQIWNHPGILQLNKEDRNYISREDAAENFLADESSSDENIDYNLGVGDKTRSMNDSLHEKNDYGFIQKGWWRDLLHQNNYKELDYSGKMVLLLDIITMCSNVGDKALIFSQSIPTLDLIELYLSRLPRRGKKGKFWKKGKDWYRLDGRTESSERQKLVEKFNEPMNKRAKCTLISTRAGSLGINLYAANRVIIVDGSWNPTYDLQAIYRAWRYGQTKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISKEEMLHLFEFGEEENFDTLMELSEENGNQNMASEVGKSLNPKIPLSHGSCSSDKVMESLLSKHHPRWIANFHEHETLLQENEDEKLSKEEQDMAWEVFRKTLEWEEVQRVSLDESAAERRPVVPDVAPPPKPEPVMNHLTKPQGIFRSRIVQRKCTNLAHLLTLRSQGTKFGCSTVCGECAQEISWEDLNRDSKIAR >KJB40376 pep chromosome:Graimondii2_0_v6:7:4301258:4303595:1 gene:B456_007G060900 transcript:KJB40376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKVPVHVPSPSEDAEQLRKAFEGWGTNEQLIIDILAHRNAAQRNSIRKVYGEAYGEDLLKCLEKELTSDFERAVLLFTLDPAERDAHLANEATKKFTSSNWILMEIACSRSSHELLNVKKAYHARYKKSLEEDVAHHTTGEYRKLLVPLVSAFRYEGEEVNMTLAKSEAKILHDKISDKHYTDEEVIRIVSTRSKAQLNATLNHYNTSFGNAINKDLKADPSDEFLKLLRAVIKCFTTPEQYFEKVLRQAINKLGSDEWALTRVVTTRAEVDMVRIKEAYQRRNSIPLEQAIAKDTSGDYEKFLLALIGAGDA >KJB40377 pep chromosome:Graimondii2_0_v6:7:4301623:4303549:1 gene:B456_007G060900 transcript:KJB40377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNCLRYSLAGWGTNEQLIIDILAHRNAAQRNSIRKVYGEAYGEDLLKCLEKELTSDFERAVLLFTLDPAERDAHLANEATKKFTSSNWILMEIACSRSSHELLNVKKAYHARYKKSLEEDVAHHTTGEYRKLLVPLVSAFRYEGEEVNMTLAKSEAKILHDKISDKHYTDEEVIRIVSTRSKAQLNATLNHYNTSFGNAINKDLKADPSDEFLKLLRAVIKCFTTPEQYFEKVLRQAINKLGSDEWALTRVVTTRAEVDMVRIKEAYQRRNSIPLEQAIAKDTSGDYEKFLLALIGAGDA >KJB40924 pep chromosome:Graimondii2_0_v6:7:5901803:5908744:-1 gene:B456_007G082800 transcript:KJB40924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDGGARVSSDDPTVSNDASQTRQRKKRKWDQPAEAFVSAGIAVPFSNMGTLAGMPLPIVAPVPGTFLSNILAASGAAVTPVFQQHAAPVASQQNQTKIQDELVIAREIVINDAESSIRYKLTKRQTQEEIQRCTGAVVITRGKYHPPNAPADGEKPLYLHISAAAHLKETAERILAVDRAAAMVEELLKHGQSSQAGSSPFVEAVMNGVKAFSTCVYLGFDADPSLNVAARIRGPNDQYINHIMNETGATVMLRGCGSGNLESLQGEEAQPPLHLFLSSNNPKSLDDAKRLAENLLDTISVEFGASRISSSKVYGAVPPPQQLLTGVQCSTTGRNVNASSAAGLASMPVATPAPPVAVPVATTGYSQAMAVGMPNSGPPQANSVGYPQPLVTRGTSYIGYGGIYPQATPLQQVALALKQSSPISSTVVPATSVASAVVPTTSATSTVTKSSVSSTLHSEKERRPPQKRKFQELPAGSKGSATVNQVSVNFPASFSSTEAVRYTNLYILSVIMAKDEVQLHSGILVFNRVQVLVHGSLVYA >KJB40922 pep chromosome:Graimondii2_0_v6:7:5901799:5908741:-1 gene:B456_007G082800 transcript:KJB40922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDGGARVSSDDPTVSNDASQTRQRKKRKWDQPAEAFVSAGIAVPFSNMGTLAGMPLPIVAPVPGTFLSNILAASGAAVTPVFQQHAAPVASQQNQTKIQDELVIAREIVINDAESSIRYKLTKRQTQEEIQRCTGAVVITRGKYHPPNAPADGEKPLYLHISAAAHLKETAERILAVDRAAAMVEELLKHGQSSQAGSSPFVEAVMNGVKAFSTCVYLGFDADPSLNVAARIRGPNDQYINHIMNETGATVMLRGCGSGNLESLQGEEAQPPLHLFLSSNNPKSLDDAKRLAENLLDTISVEFGASRISSSKVYGAVPPPQQLLTGVQCSTTGRNVNASSAAGLASMPVATPAPPVAVPVATTGYSQAMAVGMPNSGPPQANSVGYPQPLVTRGTSYIGYGGIYPQATPLQQVALALKQSSPISSTVVPATSVASAVVPTTSATSTVTKSSVSSTLHSEKERRPPQKRKFQELPAGSKGSATVNQESESLNPNKPLGDVGVKNVSNMPAPKKLIHPSSNGMPPPPPPKSLPPPPPKTMQTPPAPPSKTMLPPPPPPKFTSSTSPRKLHDKIKVTSKAKLDAVPDTLMQLMSYGDEDDDSEESSDESLNNKNSADAVRKPFWAL >KJB40923 pep chromosome:Graimondii2_0_v6:7:5901827:5908549:-1 gene:B456_007G082800 transcript:KJB40923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDGGARVSSDDPTVSNDASQTRQRKKRKWDQPAEAFVSAGIAVPFSNMGTLAGMPLPIVAPVPGTFLSNILAASGAAVTPVFQQHAAPVASQQNQTKIQDELVIAREIVINDAESSIRYKLTKRQTQEEIQRCTGAVVITRGKYHPPNAPADGEKPLYLHISAAAHLKETAERILAVDRAAAMVEELLKHGQSSQAGSSPFVEAVMNGVKAFSTCVYLGFDADPSLNVAARIRGPNDQYINHIMNETGATVMLRGCGSGNLESLQGEEAQPPLHLFLSSNNPKSLDDAKRLAENLLDTISVEFGASRISSSKVYGAVPPPQQLLTGVQCSTTGRNVNASSAAGLASMPVATPAPPVAVPVATTGYSQAMAVGMPNSGPPQANSVGYPQPLVTRGTSYIGYGGIYPQATPLQQVALALKQSSPISSTVVPATSVASAVVPTTSATSTVTKSSVSSTLHSEKERRPPQKRKFQELPAGSKGSATVNQVSVNFPASFSSTEAVRYTNLYILSVIMAKDEVQLHSGILVFNRVQVLVHGSLVYA >KJB39836 pep chromosome:Graimondii2_0_v6:7:2253121:2254991:1 gene:B456_007G033100 transcript:KJB39836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGRRFEIGYALLPKKENSFIRESLVKQARSHGIDLVKIDMGRPLADQGPFDCLLHKLYGEDWRSQLEDFRSQNPNAVIIDSPAAIERLHNRISMLQVVSELKIENQSETFGIPKQIVIYDRETLFDNQAWELLKFPVIAKPLVADGSAKSHKMALVFNHEGLNKLKPPIVLQEFINHGGVIFKVYVVGEYVKCVKRKSLPDVSEEKLNSLQGSLSFSQVSNLATHQKSDDKYYKMMHLEDTELPPQSFMTDIAKGLRRAMNLNLFNFDVIRDTRFGNRYLIIDINYFPGYAKMPGYETVLTDFFCDMVYRKERQVVVEKDSVEEYSQEAVVGTDGRLVLSCEKEIRKIVPNSCCSDGEEMENSIQV >KJB39835 pep chromosome:Graimondii2_0_v6:7:2253229:2257186:1 gene:B456_007G033100 transcript:KJB39835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGRRFEIGYALLPKKENSFIRESLVKQARSHGIDLVKIDMGRPLADQGPFDCLLHKLYGEDWRSQLEDFRSQNPNAVIIDSPAAIERLHNRISMLQVVSELKIENQSETFGIPKQIVIYDRETLFDNQAWELLKFPVIAKPLVADGSAKSHKMALVFNHEGLNKLKPPIVLQEFINHGGVIFKVYVVGEYVKCVKRKSLPDVSEEKLNSLQGSLSFSQVSNLATHQKSDDKYYKMMHLEDTELPPQSFMTDIAKGLRRAMNLNLFNFDVIRDTRFGNRYLIIDINYFPGYAKMPGYETVLTDFFCDMVYRKERQVVVEKDSVEEYSQEAVVGTDGRLVLSCEKEIRKIVPNSCCSDGEEMENSIQV >KJB39722 pep chromosome:Graimondii2_0_v6:7:1882721:1883715:-1 gene:B456_007G027400 transcript:KJB39722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRSASMALFLALNILFFSLVSATCRSCSSSGSNPTPTPTPSARGRCPRDALKLGVCANVLSLVNVTVGSPPVMPCCSLLNGLVDLEAAACLCTAIRANVLGLNLNIPVSLSLLLNVCSRNVPTGFQC >KJB41031 pep chromosome:Graimondii2_0_v6:7:6300933:6304401:-1 gene:B456_007G087700 transcript:KJB41031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLKRLVTSRHRDSLIRLKRNLSSLPINSSAGDLQNQVLVEGKASSRVAILNRPSSLNALNTNIGARLHELYASWEDDPNIGFVVMKGSGRAFCAGGDIVALYHLINEGKIEKCKGFFRTLYSFIYHLGTYLKPHVSILNGITMGGGAGISIPGTFRLATDRTVFATPETQIGFHPDAGASFHLSRLPGHLGEYLGLTGAKLSGAEMVSCGLATHYSNTDKLPLIEEELGNLVTDDPSVIESCLEKYSDAVYPEKISALHRIEVLNKCFGHDTVGEIIDAVESEASATNDAWCNSTLKKLKEASPLSLKVSLRS >KJB41032 pep chromosome:Graimondii2_0_v6:7:6300933:6304690:-1 gene:B456_007G087700 transcript:KJB41032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLKRLVTSRHRDSLIRLKRNLSSLPINSSAGDLQNQVLVEGKASSRVAILNRPSSLNALNTNIGARLHELYASWEDDPNIGFVVMKGSGRAFCAGGDIVALYHLINEGKIEKCKGFFRTLYSFIYHLGTYLKPHVSILNGITMGGGAGISIPGTFRLATDRTVFATPETQIGFHPDAGASFHLSRLPGHLGEYLGLTGAKLSGAEMVSCGLATHYSNTDKLPLIEEELGNLVTDDPSVIESCLEKYSDAVYPEKISALHRIEVLNKCFGHDTVGEIIDAVESEASATNDAWCNSTLKKLKEASPLSLKVSLRSVREGRFQTFDQCLVREYRMSLQGISKRISNDFCEGVRARVVHKDSAPKWEPPSLEKVSDDMVDQYFAPLSESEPNLELPTKQREAFN >KJB41029 pep chromosome:Graimondii2_0_v6:7:6301407:6304306:-1 gene:B456_007G087700 transcript:KJB41029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLKRLVTSRHRDSLIRLKRNLSSLPINSSAGDLQNQVLVEGKASSRVAILNRPSSLNALNTNIGARLHELYASWEDDPNIGFVVMKGSGRAFCAGGDIVALYHLINEGKIEKCKGFFRTLYSFIYHLGTYLKPHVSILNGITMGGGAGISIPGTFRLATDRTVFATPETQIGFHPDAGASFHLSRLPGHLGEYLGLTGAKLSGAEMVSCGLATHYSNTDKLPLIEEELGNLVTDDPSVIESCLEKYSDAVYPEKISALHRIEVLNKCFGHDTVGEIIDAVESEASATNDAWCNSTLKKLKEASPLSLKVSLRSVREGRFQTFDQCLVREYRMSLQGISKRISNDFCEGVRARVVHKDSAPKVSPFLHFERLMIFWILLPNSYLFLF >KJB41028 pep chromosome:Graimondii2_0_v6:7:6300933:6304401:-1 gene:B456_007G087700 transcript:KJB41028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLKRLVTSRHRDSLIRLKRNLSSLPINSSAGDLQNQVLVEGKASSRVAILNRPSSLNALNTNIGARLHELYASWEDDPNIGFVVMKGSGRAFCAGGDIVALYHLINEGKIEKCKGFFRTLYSFIYHLGTYLKPHVFATPETQIGFHPDAGASFHLSRLPGHLGEYLGLTGAKLSGAEMVSCGLATHYSNTDKLPLIEEELGNLVTDDPSVIESCLEKYSDAVYPEKISALHRIEVLNKCFGHDTVGEIIDAVESEASATNDAWCNSTLKKLKEASPLSLKVSLRSVREGRFQTFDQCLVREYRMSLQGISKRISNDFCEGVRARVVHKDSAPKWEPPSLEKVSDDMVDQYFAPLSESEPNLELPTKQREAFN >KJB41030 pep chromosome:Graimondii2_0_v6:7:6301372:6304306:-1 gene:B456_007G087700 transcript:KJB41030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLKRLVTSRHRDSLIRLKRNLSSLPINSSAGDLQNQVLVEGKASSRVAILNRPSSLNALNTNIGARLHELYASWEDDPNIGFVVMKGSGRAFCAGGDIVALYHLINEGKIEKCKGFFRTLYSFIYHLGTYLKPHVSILNGITMGGGAGISIPGTFRLATDRTVFATPETQIGFHPDAGASFHLSRLPGHLGEYLGLTGAKLSGAEMVSCGLATHYSNTDKLPLIEEELGNLVTDDPSVIESCLEKYSDAVYPEKISALHRIEVLNKCFGHDTVGEIIDAVESEASATNDAWCNSTLKKLKEASPLSLKVSLRSVREGRFQTFDQCLVREYRMSLQGISKRISNDFCEGVRARVVHKDSAPKVGNLQA >KJB41033 pep chromosome:Graimondii2_0_v6:7:6301754:6304401:-1 gene:B456_007G087700 transcript:KJB41033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLKRLVTSRHRDSLIRLKRNLSSLPINSSAGDLQNQVLVEGKASSRVAILNRPSSLNALNTNIGARLHELYASWEDDPNIGFVVMKGSGRAFCAGGDIVALYHLINEGKIEKCKGFFRTLYSFIYHLGTYLKPHVSILNGITMGGGAGISIPGTFRLATDRTVFATPETQIGFHPDAGASFHLSRLPGHLGEYLGLTGAKLSGAEMVSCGLATHYSNTDKLPLIEEELGNLVTDDPSVIESCLEKYSDAVYPEKISALHRLVFSYRRPYFCYWL >KJB41027 pep chromosome:Graimondii2_0_v6:7:6301720:6304306:-1 gene:B456_007G087700 transcript:KJB41027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLKRLVTSRHRDSLIRLKRNLSSLPINSSAGDLQNQVLVEGKASSRVAILNRPSSLNALNTNIGARLHELYASWEDDPNIGFVVMKGSGRAFCAGGDIVALYHLINEGKIEKCKGFFRTLYSFIYHLGTYLKPHVSILNGITMGGGAGISIPGTFRLATDRTVFATPETQIGFHPDAGASFHLSRLPGHLGEYLGLTGAKLSGAEMVSCGLATHYSNTDKLPLIEEELGNLVTDDPSVIESCLEKYSDAVYPEKISALHRIEVLNKCFGHDTVGEIIDAVESEASATNDAWCNSTLKKLKEASPLSLKVSLRSVSFFNCHPPSSPLNGNQALFMVPL >KJB45479 pep chromosome:Graimondii2_0_v6:7:52192786:52196322:-1 gene:B456_007G308500 transcript:KJB45479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGMVPTSGLRESSTAGVEKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLVYVKLYTYQIFRALSYIHRSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAEMLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHRRMPPEAVDLVSRLLQYSPNLRCSALDALIHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGVPEEITAKLIPEHARKQCPSLGL >KJB45481 pep chromosome:Graimondii2_0_v6:7:52192786:52197103:-1 gene:B456_007G308500 transcript:KJB45481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGMVPTSGLRESSTAGVEKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLVYVKLYTYQIFRALSYIHRSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAEMLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHRRMPPEAVDLVSRLLQYSPNLRCSALDALIHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGVPEEITAKLIPEHARKQCPSLGL >KJB45480 pep chromosome:Graimondii2_0_v6:7:52193779:52195963:-1 gene:B456_007G308500 transcript:KJB45480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGMVPTSGLRESSTAGVEKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLVYVKLYTYQIFRALSYIHRSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAEMLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVLRIKERVCKWSFV >KJB45482 pep chromosome:Graimondii2_0_v6:7:52194118:52197103:-1 gene:B456_007G308500 transcript:KJB45482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGMVPTSGLRESSTAGVEKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLVYVKLYTYQIFRALSYIHRSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAEMLLGQVGSLSI >KJB42224 pep chromosome:Graimondii2_0_v6:7:11942234:11944863:1 gene:B456_007G143100 transcript:KJB42224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASRMVRVASAANGAALSCSSSSYAESTPIGNLEKAKSLFLEMLDQGVHPNVITFNVLIDALCKMEKLEEANQLLNLMIQRGVNPDIITYNTLMDGYCLAGKLDFAGDLLASLQNKDNGPDVVSFNIMINAYCKNWKVNEAMRLYWEMIAKRIKPTVITYNTLLTGLFQAGKAVEARELFGKMQFGNIAPDSCTYNIYVDGLCKNDCVSEALELFHNLESCKFEFSIKVFNSLIYGLCKTRKLETAWELFYGLPNKGLEPTVVTYSIMINGMCKEGRLEKANDLLIEMEEKGCAPNVVTFNTLMHGFAQKNDTQKVVKLLHKMVEKKLSPDASTVAAVVDLLSKDEAYLETLKLLPTFPVQVLPK >KJB45631 pep chromosome:Graimondii2_0_v6:7:53233041:53235002:-1 gene:B456_007G317200 transcript:KJB45631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGVMRAVGKVAGVGIVNSSLRGGVQGTPLSAEQSVMRVAAASRSASSVVSVSSEGVSSVADTTASVSHKSSWEMVDDWEFAGDFEQEAALEGSIAASGRPEPIARVLFSGAPSLEEAKEATNDLKDALDKVYLSSPQYSEMVQVTGVSSLSNTEETKDCVAYNIEATSVPKPAIQAFKLLNESPASVVASIASDPHVWDAVLNNSAYMDFIKSQQTNDKFEFPGSPRSSVSSVKLEENEDGGESFSAFIQKIKTSVVEMVSKTTDFLHSLFSLPSVDKAKENAGSNNMDKTIGASLMGLAVMVIMVVLVKRV >KJB45630 pep chromosome:Graimondii2_0_v6:7:53232783:53235042:-1 gene:B456_007G317200 transcript:KJB45630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGVMRAVGKVAGVGIVNSSLRGGVQGTPLSAEQSVMRVAAASRSASSVVSVSSEGVSSVADTTASVSHKSSWEMVDDWEFAGDFEQEAALEGSIAASGRPEPIARVLFSGAPSLEEAKEATNDLKDALDKVYLSSPQYSEMVQVTGVSSLSNTEETKDCVAYNIEATSVPKPAIQAFKLLNESPAVQSVVASIASDPHVWDAVLNNSAYMDFIKSQQTNDKFEFPGSPRSSVSSVKLEENEDGGESFSAFIQKIKTSVVEMVSKTTDFLHSLFSLPSVDKAKENAGSNNMDKTIGASLMGLAVMVIMVVLVKRV >KJB40446 pep chromosome:Graimondii2_0_v6:7:4460907:4461884:-1 gene:B456_007G063700 transcript:KJB40446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KJB43062 pep chromosome:Graimondii2_0_v6:7:17332180:17335611:-1 gene:B456_007G181800 transcript:KJB43062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVNGEKLKRLRAWVQNYEWGRSGAEAEVARLLALNTGAEIEPNKPYAEFWMGTHESGPNVLVEEEGEEEEEEEEKEQQDVGLKEWIEKNPKVLGHKVLEKWGSDLPFLFKVLSVGKALSIQAHPDKELAKELHKMKPNLYKDANHKPEMALAITEFRALCGFITLQELKEVLEDVPEIVELVGTASAKQVMDIDERGGDTADKAKTALRSVFTQLMSASKEMTTKAISKLKSRLLLQSQLEKQYPGDIGVLSAFFFNYVKLKPGEALYLAANEPHAYLSGDCVECMATSDNVVRAGLTPKHRDIQTLCSMLTYNQGYPEILSGLALSPYITRYLPPFDEFEVDRCVLPKGSSVVFPAVPGPSIFLAFVGEGTMKTGCWEGIVTEGDVVFEPANTELVITAATSELQLYRAGINSRFFHGL >KJB43060 pep chromosome:Graimondii2_0_v6:7:17332372:17335227:-1 gene:B456_007G181800 transcript:KJB43060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVNGEKLKRLRAWVQNYEWGRSGAEAEVARLLALNTGAEIEPNKPYAEFWMGTHESGPNVLVEEEGEEEEEEEEKEQQDVGLKEWIEKNPKVLGHKVLEKWGSDLPFLFKVLSVGKALSIQAHPDKELAKELHKMKPNLYKDANHKPEMALAITEFRALCGFITLQELKEVLEDVPEIVELVGTASAKQVMDIDERGGDTADKAKTALRSVFTQLMSASKEMTTKAISKLKSRLLLQSQLRSLTEKEELVLQLEKQYPGDIGVLSAFFFNYVKLKPGEALYLAANEPHAYLSGDCVECMATSDNVVRAGLTPKHRDIQTLCSMLTYNQNIISFMLQGYPEILSGLALSPYITRYLPPFDEFEVDRCVLPKGSSVVFPAVPGPSIFLAFVGEGTMKTGCWEGIVTEGDVVFEPANTELVITAATSELQLYRAGINSRFFHGL >KJB43061 pep chromosome:Graimondii2_0_v6:7:17332130:17335731:-1 gene:B456_007G181800 transcript:KJB43061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVNGEKLKRLRAWVQNYEWGRSGAEAEVARLLALNTGAEIEPNKPYAEFWMGTHESGPNVLVEEEGEEEEEEEEKEQQDVGLKEWIEKNPKVLGHKVLEKWGSDLPFLFKVLSVGKALSIQAHPDKELAKELHKMKPNLYKDANHKPEMALAITEFRALCGFITLQELKEVLEDVPEIVELVGTASAKQVMDIDERGGDTADKAKTALRSVFTQLMSASKEMTTKAISKLKSRLLLQSQLRSLTEKEELVLQLEKQYPGDIGVLSAFFFNYVKLKPGEALYLAANEPHAYLSGDCVECMATSDNVVRAGLTPKHRDIQTLCSMLTYNQGYPEILSGLALSPYITRYLPPFDEFEVDRCVLPKGSSVVFPAVPGPSIFLAFVGEGTMKTGCWEGIVTEGDVVFEPANTELVITAATSELQLYRAGINSRFFHGL >KJB45130 pep chromosome:Graimondii2_0_v6:7:49948952:49954537:1 gene:B456_007G291600 transcript:KJB45130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEFVWNNGVHRFLSKSQRVILAVAMIGSLGALIILVDPAGVRQPHRILVDTDVDTDDVFALFYLLKQDTTRFDLQAITVNANGWSEAGHAINHIYDILFMMGRDDIPVGVGGEGGILPNGTILPNVGGYQPIIDQGMSTAGECRYRQAIPVAHRGRLDVNSNYGIRKAFLPQGGRRYTPLKQPTAQQVMIDTISSGPTTVFLMGAHTNFAIFLMTNPHLKKNVKHIYAMGGSVRSNCLKKDGSGNSIECADIGNLYPQDSNPYAEFNIFSDPFAAYKVLHSGIPFTLIPLDATNSIPVSKSFFMEFERRQDTYEAKYCFQALKIIRYTWLGGIFYEQYCMWDSFLVGVALSTMRNSHNHNGENKFAEMQYMNITVVTSNKPYGALDGSNPLITGYSIPKFNVHKNGVHSGHVQMGMQDPFCLQKGKGKCQDGYTKEDTGEDAVRVLVAVKAKASHDKGSSLGREFYRSFLNVINSPERSGRFDIRSQYPNHKEALYKPDFGKKMRGNPIVFDMDMSAGDFLALLYLLKLPVELINLKGILISSTGWATPATIDVVYDILHMMGRDDIPVGLGDAFAVGQANPSFTAIGDCKFSKAIPHGSGGYLDSDTLYGLARDLPRSPRRYTAANFVKYGAPRDIENPELRQPSAQDVWKSVVENLDPGSKITILTNGPLTNLAQILGSENASSVIQGVYIVGGHIGNVYDNSKGNLFTVPLNKYAELNMFLDPLAAKEVFTSSLGITLVPLQMQRRVSSFSTILSRMNATTQTPELVFARRLLSRLWQLQQQHYRYHHMDIFLGEILGAVTLTGNPHLNQTFTSKPLKVLADGDIAVIGEITIDEEQGKQVKVLENINSQAYYDHFTRVLGDHRQSAVLASFHEQERLWTSRPESINIGHNQKL >KJB46604 pep chromosome:Graimondii2_0_v6:7:60810832:60812834:1 gene:B456_007G377100 transcript:KJB46604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNKIKFFELNTGAKIPSVGLGTYGAKHGVLQNTVISAIKVGYRHIDCASMYNNEKEVGFALKKVFSESMVKRQDVWVTSKLWCTDHLPEDVPKALHKTLQDLQIDYVDLYLIHWPVSAKRGAIAVKGESLTQPDIPATWKAMEALYDSGKAKAIGVSNFSAKKLGDLLEVARIPPAVNQVELHPVWQQPKLHEFCKSKGIHLSGYSPLGSQSGENVRKKVLDNPFVKMVAREVGKSPAQVSLRWGLQMGHSVLPKSISEARLKENLDVFNWFIPQELLPTFSHIDQEKLIRGEALVDETYGAYKTLEELWDGEI >KJB46605 pep chromosome:Graimondii2_0_v6:7:60811087:60812725:1 gene:B456_007G377100 transcript:KJB46605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNKIKFFELNTGAKIPSVGLGTYGAKHGVLQNTVISAIKVGYRHIDCASMYNNEKEVGFALKKVFSESMVKRQDVWVTSKLWCTDHLPEDVPKALHKTLQDLQIDYVDLYLIHWPVSAKRGAIAVKGESLTQPDIPATWKAMEALYDSGKAKAIGVSNFSAKKLGDLLEVARIPPAVNQVELHPVWQQPKLHEFCKSKGIHLSVRKQAASGSYHFCLLFC >KJB42828 pep chromosome:Graimondii2_0_v6:7:21849218:21850007:-1 gene:B456_007G207800 transcript:KJB42828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQNKVESSGVLPSLEAKLFSLCETHASKYTSSCLNCTGEGCLESKEHHPSHQTLHVSSSPQTPTVFLIIIV >KJB44955 pep chromosome:Graimondii2_0_v6:7:48329593:48330063:1 gene:B456_007G282000 transcript:KJB44955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTEKLAQKHGCTPAQLALAWVLHQGDDVAPIPDNNLDSNIDAVRLKLTEEDLKEITAVVPLNEIAGSRTLDKLSHLTWKFANTPTKEKKTGS >KJB40644 pep chromosome:Graimondii2_0_v6:7:5131823:5133909:1 gene:B456_007G072500 transcript:KJB40644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLREIVDGIHTLVAKIEDDLKVRVAEYNNVRSQLNAINRKQSGSLAVRDLSNMVKPEDIITSEHLVTLLAVVPKYSQKDWLSSYETLTTYVVPRSSKKLYEDNEYALYTVTLFGRVADNFRTSARERGFQIRDFEYSPEAQESRKQELEKLVQDQDSLRSSLLQWCYTSYGEVFSSWMHFCAVRIFAESILRYGLPPSFLACVLSPSTKGEKKVRSILEGLCDSTNSTYWKTEDEGGAMAGLGGDADTYPYVSFTINIA >KJB40648 pep chromosome:Graimondii2_0_v6:7:5130802:5134215:1 gene:B456_007G072500 transcript:KJB40648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRYWVVSLPVQKSASTLWNSLQDQISKHSFDTPLYRFNIPNLRVGTLDSLLALSDDLFKSNTFIEGVSQKIRRQIEELERVSGLESNALTVDGVPIDSYLTRFVWDEAKYPTMSPLREIVDGIHTLVAKIEDDLKVRVAEYNNVRSQLNAINRKQSGSLAVRDLSNMVKPEDIITSEHLVTLLAVVPKYSQKDWLSSYETLTTYVVPRSSKKLYEDNEYALYTVTLFGRVADNFRTSARERGFQIRDFEYSPEAQESRKQELEKLVQDQDSLRSSLLQWCYTSYGEVFSSWMHFCAVRIFAESILRYGLPPSFLACVLSPSTKGEKKVRSILEGLCDSTNSTYWKTEDEGGAMAGLGGDADTYPYVSFTINIA >KJB40646 pep chromosome:Graimondii2_0_v6:7:5130843:5134242:1 gene:B456_007G072500 transcript:KJB40646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRYWVVSLPVQKSASTLWNSLQDQISKHSFDTPLYRFNIPNLRVGTLDSLLALSDDLFKSNTFIEGVSQKIRRQIEELERVSGLESNALTVDGVPIDSYLTRFVWDEAKYPTMSPLREIVDGIHTLVAKIEDDLKVRVAEYNNVRSQLNAINRKQSGSLAVRDLSNMVKPEDIITSEHLVTLLAVVPKYSQKDWLSSYETLTTYVIRDFEYSPEAQESRKQELEKLVQDQDSLRSSLLQWCYTSYGEVFSSWMHFCAVRIFAESILRYGLPPSFLACVLSPSTKGEKKVRSILEGLCDSTNSTYWKTEDEGGAMAGLGGDADTYPYVSFTINIA >KJB40645 pep chromosome:Graimondii2_0_v6:7:5130843:5134215:1 gene:B456_007G072500 transcript:KJB40645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRYWVVSLPVQKSASTLWNSLQDQISKHSFDTPLYRFNIPNLRVGTLDSLLALSDDLFKSNTFIEGVSQKIRRQIEELERVSGLESNALTVDGVPIDSYLTRFVWDEAKYPTMSPLREIVDGIHTLVAKIEDDLKVRVAEYNNVRSQLNAINRKQSGSLAVRDLSNMVKPEDIITSEHLVTLLAVVPKYSQKDWLSSYETLTTYVVPRSSKKLYEDNEYALYTVTLFGRVADNFRTSARERGFQVSAFDGCICIFYMSKISLGYSYAWSWCIENVLLSWRISYSRCCRISFGVIQIRDFEYSPEAQESRKQELEKLVQDQDSLRSSLLQWCYTSYGEVSYISFPFAVKSLKFYHILNKFLNKLLACRFSAPGCIFVLYVSLQRAF >KJB40647 pep chromosome:Graimondii2_0_v6:7:5130843:5134215:1 gene:B456_007G072500 transcript:KJB40647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRYWVVSLPVQKSASTLWNSLQDQISKHSFDTPLYRFNIPNLRVGTLDSLLALSDDLFKSNTFIEGVSQKIRRQIEELERVSGLESNALTVDGVPIDSYLTRFVWDEAKYPTMSPLREIVDGIHTLVAKIEDDLKVRVAEYNNVRSQLNAINRKQSGSLAVRDLSNMVKPEDIITSEHLVTLLAVVPKYSQKDWLSSYETLTTYVVPRSSKKLYEDNEYALYTVTLFGRVADNFRTSARERGFQIRDFEYSPEAQESRKQELEKLVQDQDSLRSSLLQWCYTSYGELLDAFLCCTYLCREHSKIWSAAVFPGLRFVPVYKG >KJB44688 pep chromosome:Graimondii2_0_v6:7:44563794:44564039:-1 gene:B456_007G266800 transcript:KJB44688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIPFSAFWLRSSVVSVLISLISDTWAIGLYDIKFIFRGAKFTIVACYWSFHASPKCCTIALAWRTPTTTSFEPLFSPPGFG >KJB40400 pep chromosome:Graimondii2_0_v6:7:4332767:4333797:1 gene:B456_007G061600 transcript:KJB40400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLSTNKLVSTPTKLGFRRKSQVISCRVDNFAATERGKTNFYKVLSLDSENVGLAEIKKAYRRMVLEYHPDVCPPSAREESTQRFLELQMAYETLSDPVSRQTYDYELGLVNPDPISHQMYDYEMELVNPIGFGFGNYMTDERRPNFQRDVKKRSESSMQRMKNRCR >KJB40401 pep chromosome:Graimondii2_0_v6:7:4332767:4333760:1 gene:B456_007G061600 transcript:KJB40401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLSTNKLVSTPTKLGFRRKSQVISCRVDNFAATERGKTNFYKVLSLDSENVGLAEIKKAYRRMVLEYHPDVCPPSAREESTQRFLELQMAYETLSDPVSRQTYDYELGLVNPDPISHQMYDYEMELVNPIGFGFGNYMTDERRPNFQRDVKKRSESSMQRMKNRCR >KJB40197 pep chromosome:Graimondii2_0_v6:7:3545945:3549332:1 gene:B456_007G050300 transcript:KJB40197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSIMRELKEMKEGIGSISKRGGESKVWRSRTRSHVAPDRAPTESESVEQSPWANLPPELLLDIIQRVEESETAWPARAVVVFCAAVCRSWREITKEIVQTPEQCGRLTFPISLKQPGPHESPIQCYIRRDRATSTYLLFYGLVPSEGESDKLLLAARKVRRATCTDFVISLVADDFSRASYTYVGKLRSNFFGTKFTIHDSQPPCDSIIPSSTRLSRRFHSKQVFPKLSSSNYNIGTITYELNVLRTRGPRRMHCVLHSIPMSSIQEGGTAPTPLAFRQSFDEQLSPLISSKGKEPVTNPSSPTLPATPLHPLGSGEPLTLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSHNVSPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >KJB40195 pep chromosome:Graimondii2_0_v6:7:3545945:3549332:1 gene:B456_007G050300 transcript:KJB40195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSIMRELKEMKEGIGSISKRGGESKVWRSRTRSHVAPDRAPTESESVEQSPWANLPPELLLDIIQRVEESETAWPARAVVVFCAAVCRSWREITKEIVQTPEQCGRLTFPISLKQPGPHESPIQCYIRRDRATSTYLLFYGLVPSEGESDKLLLAARKVRRATCTDFVISLVADDFSRASYTYVGKLRSNFFGTKFTIHDSQPPCDSIIPSSTRLSRRFHSKQVFPKLSSSNYNIGTITYELNVLRTRGPRRMHCVLHSIPMSSIQEGGTAPTPLAFRQSFDEQLSPLISSKGKEPVTNPSSPTLPATPLHPLGSGEPLTLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSHNVSPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >KJB40192 pep chromosome:Graimondii2_0_v6:7:3545945:3549332:1 gene:B456_007G050300 transcript:KJB40192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSIMRELKEMKEGIGSISKRGGESKVWRSRTRSHVAPDRAPTESESVEQSPWANLPPELLLDIIQRVEESETAWPARAVVVFCAAVCRSWREITKEIVQTPEQCGRLTFPISLKQPGPHESPIQCYIRRDRATSTYLLFYGLVPSEGESDKLLLAARKVRRATCTDFVISLVADDFSRASYTYVGKLRSNFFGTKFTIHDSQPPCDSIIPSSTRLSRRFHSKQVFPKLSSSNYNIGTITYELNVLRTRGPRRMHCVLHSIPMSSIQEGGTAPTPLAFRQSFDEQLSPLISSKGKEPVTNPSSPTLPATPLHPLGSGEPLTLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSHNVSPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >KJB40193 pep chromosome:Graimondii2_0_v6:7:3545878:3549332:1 gene:B456_007G050300 transcript:KJB40193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSIMRELKEMKEGIGSISKRGGESKVWRSRTRSHVAPDRAPTESESVEQSPWANLPPELLLDIIQRVEESETAWPARAVVVFCAAVCRSWREITKEIVQTPEQCGRLTFPISLKQPGPHESPIQCYIRRDRATSTYLLFYGLVPSEGESDKLLLAARKVRRATCTDFVISLVADDFSRASYTYVGKLRSNFFGTKFTIHDSQPPCDSIIPSSTRLSRRFHSKQVFPKLSSSNYNIGTITYELNVLRTRGPRRMHCVLHSIPMSSIQEGGTAPTPLAFRQSFDEQLSPLISSKGKEPVTNPSSPTLPATPLHPLGSGEPLTLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSHNVSPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >KJB40196 pep chromosome:Graimondii2_0_v6:7:3545878:3549332:1 gene:B456_007G050300 transcript:KJB40196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSIMRELKEMKEGIGSISKRGGESKVWRSRTRSHVAPDRAPTESESVEQSPWANLPPELLLDIIQRVEESETAWPARAVVVFCAAVCRSWREITKEIVQTPEQCGRLTFPISLKQPGPHESPIQCYIRRDRATSTYLLFYGLVPSEGESDKLLLAARKVRRATCTDFVISLVADDFSRASYTYVGKLRSNFFGTKFTIHDSQPPCDSIIPSSTRLSRRFHSKQVFPKLSSSNYNIGTITYELNVLRTRGPRRMHCVLHSIPMSSIQEGGTAPTPLAFRQSFDEQLSPLISSKGKEPVTNPSSPTLPATPLHPLGSGEPLTLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSHNVSPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >KJB40194 pep chromosome:Graimondii2_0_v6:7:3547308:3548725:1 gene:B456_007G050300 transcript:KJB40194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTVFYLTLPFKRLFIYSVYVCEQPGPHESPIQCYIRRDRATSTYLLFYGLVPSEGESDKLLLAARKVRRATCTDFVISLVADDFSRASYTYVGKLRSNFFGTKFTIHDSQPPCDSIIPSSTRLSRRFHSKQVFPKLSSSNYNIGTITYELNVLRTRGPRRMHCVLHSIPMSSIQEGGTAPTPLAFRQSFDEQLSPLISSKGKEPVTNPSSPTLPATPLHPLGSGEPLTLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSHNVSPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >KJB41172 pep chromosome:Graimondii2_0_v6:7:31261537:31264676:1 gene:B456_007G235500 transcript:KJB41172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLQKNLYNNEPGEVLYETMFVWNEFLTRGISNPLKNTLWTVTLVYGFFKQASFSVSGRSFKLLLIARRSRHYEPAKRLIAFHYGV >KJB41175 pep chromosome:Graimondii2_0_v6:7:31261089:31264676:1 gene:B456_007G235500 transcript:KJB41175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLQKNLYNNEPGEVLYETMFVWNEFLTRGISNPLKNTLWTVTLVYGFFKQASFSVSGRSFKLLLIARRSRHYEPAKRLIAFHYGV >KJB41176 pep chromosome:Graimondii2_0_v6:7:31262128:31263418:1 gene:B456_007G235500 transcript:KJB41176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCNLIFVVSFLFRYKKLLSSVDLTQDFFFCYSYNVMCSLQKNLYNNEPGEVLYETMFVWNEFLTRGISNPLKNTLWTVTLVYGFFKQASFSVSGRSFKLLLIARRSRHYEPAKRLIAFHYGV >KJB41171 pep chromosome:Graimondii2_0_v6:7:31261445:31264676:1 gene:B456_007G235500 transcript:KJB41171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLQKNLYNNEPGEVLYETMFVWNEFLTRGISNPLKNTLWTVTLVYGFFKQASFSVSGRSFKLLLIARRSRHYEPAKRLIAFHYGV >KJB41173 pep chromosome:Graimondii2_0_v6:7:31261347:31264676:1 gene:B456_007G235500 transcript:KJB41173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLQKNLYNNEPGEVLYETMFVWNEFLTRGISNPLKNTLWTVTLVYGFFKQASFSVSGRSFKLLLIARRSRHYEPAKRLIAFHYGV >KJB41174 pep chromosome:Graimondii2_0_v6:7:31261089:31264676:1 gene:B456_007G235500 transcript:KJB41174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLQKNLYNNEPGEVLYETMFVWNEFLTRGISNPLKNTLWTVTLVYGFFKQASFSVSGRSFKLLLIARRSRHYEPAKRLIAFHYGV >KJB45387 pep chromosome:Graimondii2_0_v6:7:51735403:51739851:1 gene:B456_007G303700 transcript:KJB45387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRGGKVAQRSNFKKRVRPKDDDSDGSDEDYVVSEEGNEESEDDVEKYCFSLDECASEEGFGSFLDEEEEEEVVRKAVRSKAKRMSTTRKRTIVERKPRRRKIVLDEEEEDEDYEVEEEEEDDDDDDEEEEEDDDDDEFTLDEEDCLDEEEELTMKMKKNNMKVRKPGLRKRGPSKPRKNRKKSAVSNKPSRKGGRKKRRLNRKKRVEEDDDDDCDFVDIIPVVRKKSRLNGGRRNKAYVVPSDSDFVLSGSSDYEYTISEEQREQVKEANRLCGSLKTSLRSSSSSKRIQEVEELGKHKKPPGRKGKEKVEEKKAEVIKPVCGICLSEEDKRRFRGTLNCCSHYFCFTCIMEWSKVESRCPLCKQRFETITKPARSTAGVDLRDVVIQVPKRDQVYQPSEEELRSYLDPYENVFCSECHQGGDDELMLLCDICDSSAHTYCVGLGREVPEDNWYCDGCRPVALGSSSSQVQDSLPDQRTVNNLYNRFSPVVNVGESLESIGVPSPRVPLTPSFVGLSSPRFPVVDVTAGSPVSGVGAPTLTGRRWLHRQIQNLRSINRMNLMVGRTEGISAANMGIDLVNSHIDQSREPMVQQARTQDAGTQPQTLFAERLQDNPSSSLQGRDFLSSRLSHLRRQAVQDSTTTSFGTSVNLTLWPELAGISSNEQLRHCSNGSNIRPDGCDLPFSVRDDDNILMAKEQLQAMVGSHLKAFSNGIDLDNGTFKDIATSSMHTLLAACGLEHRRSEVHIVPPSSNCVHIERVAAGQASLMKGCCLTCFDSFVKDVVKRIMDTRSRQWLSLGL >KJB45386 pep chromosome:Graimondii2_0_v6:7:51735403:51739289:1 gene:B456_007G303700 transcript:KJB45386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRGGKVAQRSNFKKRVRPKDDDSDGSDEDYVVSEEGNEESEDDVEKYCFSLDECASEEGFGSFLDEEEEEEVVRKAVRSKAKRMSTTRKRTIVERKPRRRKIVLDEEEEDEDYEVEEEEEDDDDDDEEEEEDDDDDEFTLDEEDCLDEEEELTMKMKKNNMKVRKPGLRKRGPSKPRKNRKKSAVSNKPSRKGGRKKRRLNRKKRVEEDDDDDCDFVDIIPVVRKKSRLNGGRRNKAYVVPSDSDFVLSGSSDYEYTISEEQREQVKEANRLCGSLKTSLRSSSSSKRIQEVEELGKHKKPPGRKGKEKVEEKKAEVIKPVCGICLSEEDKRRFRGTLNCCSHYFCFTCIMEWSKVESRCPLCKQRFETITKPARSTAGVDLRDVVIQVPKRDQVYQPSEEELRSYLDPYENVFCSECHQGGDDELMLLCDICDSSAHTYCVGLGREVPEDNWYCDGCRPVALGSSSSQVQDSLPDQRTVNNLYNRFSPVVNVGESLESIGVPSPRVPLTPSFVGLSSPRFPVVDVTAGSPVSGVGAPTLTGRRWLHRQIQNLRSINRMNLMVGRTEGISAANMGIDLVNSHIDQSREPMVQQARTQDAGTQPQTLFAERLQDNPSSSLQGRDFLSSRLSHLRRQAVQDSTTTSFGTSVNLTLWPELAGISSNEQLRHCSNGSNIRPDGCDLPFSVRDDDNILMAKEQLQAMVGSHLKAFSNGIDLDFSKPSICICRQRYFQGHSN >KJB45388 pep chromosome:Graimondii2_0_v6:7:51735403:51739289:1 gene:B456_007G303700 transcript:KJB45388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGGKVAQRSNFKKRVRPKDDDSDGSDEDYVVSEEGNEESEDDVEKYCFSLDECASEEGFGSFLDEEEEEEVVRKAVRSKAKRMSTTRKRTIVERKPRRRKIVLDEEEEDEDYEVEEEEEDDDDDDEEEEEDDDDDEFTLDEEDCLDEEEELTMKMKKNNMKVRKPGLRKRGPSKPRKNRKKSAVSNKPSRKGGRKKRRLNRKKRVEEDDDDDCDFVDIIPVVRKKSRLNGGRRNKAYVVPSDSDFVLSGSSDYEYTISEEQREQVKEANRLCGSLKTSLRSSSSSKRIQEVEELGKHKKPPGRKGKEKVEEKKAEVIKPVCGICLSEEDKRRFRGTLNCCSHYFCFTCIMEWSKVESRCPLCKQRFETITKPARSTAGVDLRDVVIQVPKRDQVYQPSEEELRSYLDPYENVFCSECHQGGDDELMLLCDICDSSAHTYCVGLGREVPEDNWYCDGCRPVALGSSSSQVQDSLPDQRTVNNLYNRFSPVVNVGESLESIGVPSPRVPLTPSFVGLSSPRFPVVDVTAGSPVSGVGAPTLTGRRWLHRQIQNLRSINRMNLMVGRTEGISAANMGIDLVNSHIDQSREPMVQQARTQDAGTQPQTLFAERLQDNPSSSLQGRDFLSSRLSHLRRQAVQDSTTTSFGTSVNLTLWPELAGISSNEQLRHCSNGSNIRPDGCDLPFSVRDDDNILMAKEQLQAMVGSHLKAFSNGIDLDNGTFKDIATSSMHTLLAACGLEHRRSEVHIVPPSSNCVHIERVAAGQASLMKGCCLTCFDSFVKDVVKRIMDTRSRQWLSLGL >KJB45385 pep chromosome:Graimondii2_0_v6:7:51735403:51739289:1 gene:B456_007G303700 transcript:KJB45385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRGGKVAQRSNFKKRVRPKDDDSDGSDEDYVVSEEGNEESEDDVEKYCFSLDECASEEGFGSFLDEEEEEEVVRKAVRSKAKRMSTTRKRTIVERKPRRRKIVLDEEEEDEDYEVEEEEEDDDDDDEEEEEDDDDDEFTLDEEDCLDEEEELTMKMKKNNMKVRKPGLRKRGPSKPRKNRKKSAVSNKPSRKGGRKKRRLNRKKRVEEDDDDDCDFVDIIPVVRKKSRLNGGRRNKAYVVPSDSDFVLSGSSDYEYTISEEQREQVKEANRLCGSLKTSLRSSSSSKRIQEVEELGKHKKPPGRKGKEKVEEKKAEVIKPVCGICLSEEDKRRFRGTLNCCSHYFCFTCIMEWSKVESRCPLCKQRFETITKPARSTAGVDLRDVVIQVPKRDQVYQPSEEELRSYLDPYENVFCSECHQGGDDELMLLCDICDSSAHTYCVGLGREVPEDNWYCDGCRPVALGSSSSQVQDSLPDQRTVNNLYNRFSPVVNVGESLESIGVPSPRVPLTPSFVGLSSPRFPVVDVTAGSPVSGVGAPTLTGRRWLHRQIQNLRSINRMNLMVGRTEGISAANMGIDLVNSHIDQSREPMVQQARTQDAGTQPQTLFAERLQDNPSSSLQGRDFLSSRLSHLRRQAVQDSTTTSFGTSVNLTLWPELAGISSNEQLRHCSNGSNIRPDGCDLPFSVRDDDNILMAKEQLQAMVGSHLKAFSNGIDLAFYMHMQTTVLSRT >KJB46454 pep chromosome:Graimondii2_0_v6:7:60244976:60247769:1 gene:B456_007G369700 transcript:KJB46454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAAFTWFVQKNLLLMSLLPLIKIEKICLLQCKVKRLFLIINFVEGTMKLRSTCNLSPSESRIRFREISKVLGA >KJB42145 pep chromosome:Graimondii2_0_v6:7:11450349:11458001:1 gene:B456_007G139300 transcript:KJB42145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVKRADSKGMYSWWWNSHISRKNSKWLQENLTDMDTKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVLRQAHQTMAEVFPNQVPMVFADDSPGGFAPEVDPCTPEMTPLVRAYLEPDELQKDSVGISSHAIKRNVAFSEESESPMSRKGLKHFNYVLGSEESTNHVKFAEGRARKILNFHDVEKKERSLQDDDGSDLRVRVPSESERVSKAEMEILTLKNALARLEAEKEAGLLEYQQSLDRLTNLEREVSRAQEDSKGLNERASQAEAEVQTLKDALTKLQAEREANHVQYQQCLEKINNLENSISHAQKDAGELNERASKAETEAQALKQDLSRVEAEKEDALARYKQCSETISDLEEKLSNAQESARTMTERAEKAESEVETLKQVVVELTKDKEAAALQYQQCLETISILENRLRCAQEEAQRLKSEIDDGAAKLKGAEERCSLLERTNQSLHTEVESLVQKMGDQSQELTEKHKELGRLWASIQEERLRFMEAETAFQTLQHLHSQSQEELRSLAMELQNRAQILQDIETRNQNLEDELQRVKEENTGLNKLNLSSAMSIQNLQDEILSLRETIAKLEAEVELRVDQRNALQQEIYCLKEELNDLNKRHQDMTGQLQSVCLNPENFASTVKELWDENTELKDVCERERDEKLALLEKLKIMEKLIEKNALLENSLSDLNVELEGVRGRVKTIEESCQSLLREKSTLAAEKDTLISQLQTATENMEKLSEKNNFLENTLFDANAELEVLRQKIRSLENSFLLLGDEKSGLITQREGLISQLDVNQKRLEDLEKRYMGLEEKHVGLEKERESTLREVEELQKSLEAEMQQHASFVQLSRTRETAMESQIHVLQGESLLRKKEYEEELDKAMNAHVDIFILQKCAQDLEDKNMSLLLECRKLLEASKLSEKLISELELGNCEKQVEIKALFDQITILRMGLYQMSRTLEIDDIHGCDDKIKQDQLVLDCVFGRLQEMQNSLLKSLDENQRFIIENSVLIALLGQLKLEAETLAAEKNSVRQELKVQSVQFSELLIRAEKLADMNEVLRSKVVEVNQREDALQTELISVRGQLLALQRQYQTSLEDNCKVLDEKRSLMKEVLDLGKQKHNLEDENHAVICEAISQSNISLILKDIIANNFEEIKYLNDNLGKLKCLNNDLEGKLKMMERKFEDLQMENSNLKDSMKKLENELVSVRSVGDQLNDEVARGKDLLCQREIALLEVEKMLSASQKETAQLHKVLEDLQTKFEEVKLIGEDQKRQILKLSGDYDHQIKETESISQANQKLEVELLKLNEELEESKHREESLSFELQKERIKVEIWETQAAAWFDELQTSAIREVIFEEKAHELGKECKFLESTRNSTAMEVEELERSVRSLECENGGLKAQLAAYVPAIVSLLDSVTSLESRTLLHPELPTDYNEEDPNLGTEFHAENCQPTSEGQIGLVPNGFSDLQGIHMRIKAIEKAVLEMEKLAMLENLNLNSKLETATRQIEELRYGSSSSGESVRAKRHVSARQEEEELGNGLSNNVNMQRPTPEISEENEMMTKDIILDQVSECSSYGLSRRGTAAEVDTETLELWETADHDANADLKVSKAQKMATAPTDYQQIGTVKAGKGRTPSTESLVKELGVDKESSKRFAEPNNNGSKRKILERLDSDVQKLANLQITVQDLKKKVEIIETGKKGKGIEYGTVKEQLEEAEEAITKLFDVNRKLMTHVEDGSWSFDGKSALEPDENGSVRRRRVSEQARRGSEKIGRLQLEVQKIQFFLLNLDDKKESKAQTRITERRRRVLLRDYLYGGVKSSQKKKKSPFCACVHPPTNGD >KJB42146 pep chromosome:Graimondii2_0_v6:7:11450296:11458075:1 gene:B456_007G139300 transcript:KJB42146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVKRADSKGMYSWWWNSHISRKNSKWLQENLTDMDTKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVLRQAHQTMAEVFPNQVPMVFADDSPGGFAPEVDPCTPEMTPLVRAYLEPDELQKDSVGISSHAIKRNVAFSEESESPMSRKGLKHFNYVLGSEESTNHVKFAEGRARKILNFHDVEKKERSLQDDDGSDLRVRVPSESERVSKAEMEILTLKNALARLEAEKEAGLLEYQQSLDRLTNLEREVSRAQEDSKGLNERASQAEAEVQTLKDALTKLQAEREANHVQYQQCLEKINNLENSISHAQKDAGELNERASKAETEAQALKQDLSRVEAEKEDALARYKQCSETISDLEEKLSNAQESARTMTERAEKAESEVETLKQVVVELTKDKEAAALQYQQCLETISILENRLRCAQEEAQRLKSEIDDGAAKLKGAEERCSLLERTNQSLHTEVESLVQKMGDQSQELTEKHKELGRLWASIQEERLRFMEAETAFQTLQHLHSQSQEELRSLAMELQNRAQILQDIETRNQNLEDELQRVKEENTGLNKLNLSSAMSIQNLQDEILSLRETIAKLEAEVELRVDQRNALQQEIYCLKEELNDLNKRHQDMTGQLQSVCLNPENFASTVKELWDENTELKDVCERERDEKLALLEKLKIMEKLIEKNALLENSLSDLNVELEGVRGRVKTIEESCQSLLREKSTLAAEKDTLISQLQTATENMEKLSEKNNFLENTLFDANAELEVLRQKIRSLENSFLLLGDEKSGLITQREGLISQLDVNQKRLEDLEKRYMGLEEKHVGLEKERESTLREVEELQKSLEAEMQQHASFVQLSRTRETAMESQIHVLQGESLLRKKEYEEELDKAMNAHVDIFILQKCAQDLEDKNMSLLLECRKLLEASKLSEKLISELELGNCEKQVEIKALFDQITILRMGLYQMSRTLEIDDIHGCDDKIKQDQLVLDCVFGRLQEMQNSLLKSLDENQRFIIENSVLIALLGQLKLEAETLAAEKNSVRQELKVQSVQFSELLIRAEKLADMNEVLRSKVVEVNQREDALQTELISVRGQLLALQRQYQTSLEDNCKVLDEKRSLMKEVLDLGKQKHNLEDENHAVICEAISQSNISLILKDIIANNFEEIKYLNDNLGKLKCLNNDLEGKLKMMERKFEDLQMENSNLKDSMKKLENELVSVRSVGDQLNDEVARGKDLLCQREIALLEVEKMLSASQKETAQLHKVLEDLQTKFEEVKLIGEDQKRQILKLSGDYDHQIKETESISQANQKLEVELLKLNEELEESKHREESLSFELQKERIKVEIWETQAAAWFDELQTSAIREVIFEEKAHELGKECKFLESTRNSTAMEVEELERSVRSLECENGGLKAQLAAYVPAIVSLLDSVTSLESRTLLHPELPTDYNEEDPNLGTEFHAENCQPTSEGQIGLVPNGFSDLQGIHMRIKAIEKAVLEMEKLAMLENLNLNSKLETATRQIEELRYGSSSSGESVRAKRHVSARQEEEELGNGLSNNVNMQRPTPEISEENEMMTKDIILDQVSECSSYGLSRRGTAAEVDTETLELWETADHDANADLKVSKAQKMATAPTDYQQIGTVKAGKGRTPSTESLVKELGVDKESSKRFAEPNNNGSKRKILERLDSDVQKLANLQITVQDLKKKVEIIETGKKGKGIEYGTVKEQLEEAEEAITKLFDVNRKLMTHVEDGSWSFDGKSALEPDENGSVRRRRVSEQARRGSEKIGRLQLEVQKIQFFLLNLDDKKESKAQTRITERRRRVLLRDYLYGGVKSSQKKKKSPFCACVHPPTNGD >KJB42148 pep chromosome:Graimondii2_0_v6:7:11450787:11458001:1 gene:B456_007G139300 transcript:KJB42148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVLRQAHQTMAEVFPNQVPMVFADDSPGGFAPEVDPCTPEMTPLVRAYLEPDELQKDSVGISSHAIKRNVAFSEESESPMSRKGLKHFNYVLGSEESTNHVKFAEGRARKILNFHDVEKKERSLQDDDGSDLRVRVPSESERVSKAEMEILTLKNALARLEAEKEAGLLEYQQSLDRLTNLEREVSRAQEDSKGLNERASQAEAEVQTLKDALTKLQAEREANHVQYQQCLEKINNLENSISHAQKDAGELNERASKAETEAQALKQDLSRVEAEKEDALARYKQCSETISDLEEKLSNAQESARTMTERAEKAESEVETLKQVVVELTKDKEAAALQYQQCLETISILENRLRCAQEEAQRLKSEIDDGAAKLKGAEERCSLLERTNQSLHTEVESLVQKMGDQSQELTEKHKELGRLWASIQEERLRFMEAETAFQTLQHLHSQSQEELRSLAMELQNRAQILQDIETRNQNLEDELQRVKEENTGLNKLNLSSAMSIQNLQDEILSLRETIAKLEAEVELRVDQRNALQQEIYCLKEELNDLNKRHQDMTGQLQSVCLNPENFASTVKELWDENTELKDVCERERDEKLALLEKLKIMEKLIEKNALLENSLSDLNVELEGVRGRVKTIEESCQSLLREKSTLAAEKDTLISQLQTATENMEKLSEKNNFLENTLFDANAELEVLRQKIRSLENSFLLLGDEKSGLITQREGLISQLDVNQKRLEDLEKRYMGLEEKHVGLEKERESTLREVEELQKSLEAEMQQHASFVQLSRTRETAMESQIHVLQGESLLRKKEYEEELDKAMNAHVDIFILQKCAQDLEDKNMSLLLECRKLLEASKLSEKLISELELGNCEKQVEIKALFDQITILRMGLYQMSRTLEIDDIHGCDDKIKQDQLVLDCVFGRLQEMQNSLLKSLDENQRFIIENSVLIALLGQLKLEAETLAAEKNSVRQELKVQSVQFSELLIRAEKLADMNEVLRSKVVEVNQREDALQTELISVRGQLLALQRQYQTSLEDNCKVLDEKRSLMKEVLDLGKQKHNLEDENHAVICEAISQSNISLILKDIIANNFEEIKYLNDNLGKLKCLNNDLEGKLKMMERKFEDLQMENSNLKDSMKKLENELVSVRSVGDQLNDEVARGKDLLCQREIALLEVEKMLSASQKETAQLHKVLEDLQTKFEEVKLIGEDQKRQILKLSGDYDHQIKETESISQANQKLEVELLKLNEELEESKHREESLSFELQKERIKVEIWETQAAAWFDELQTSAIREVIFEEKAHELGKECKFLESTRNSTAMEVEELERSVRSLECENGGLKAQLAAYVPAIVSLLDSVTSLESRTLLHPELPTDYNEEDPNLGTEFHAENCQPTSEGQIGLVPNGFSDLQGIHMRIKAIEKAVLEMEKLAMLENLNLNSKLETATRQIEELRYGSSSSGESVRAKRHVSARQEEEELGNGLSNNVNMQRPTPEISEENEMMTKDIILDQVSECSSYGLSRRGTAAEVDTETLELWETADHDANADLKVSKAQKMATAPTDYQQIGTVKAGKGRTPSTESLVKELGVDKESSKRFAEPNNNGSKRKILERLDSDVQKLANLQITVQDLKKKVEIIETGKKGKGIEYGTVKEQLEEAEEAITKLFDVNRKLMTHVEDGSWSFDGKSALEPDENGSVRRRRVSEQARRGSEKIGRLQLEVQKIQFFLLNLDDKKESKAQTRITERRRRVLLRDYLYGGVKSSQKKKKSPFCACVHPPTNGD >KJB42149 pep chromosome:Graimondii2_0_v6:7:11450273:11458075:1 gene:B456_007G139300 transcript:KJB42149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVKRADSKGMYSWWWNSHISRKNSKWLQENLTDMDTKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVLRQAHQTMAEVFPNQVPMVFADDSPGGFAPEVDPCTPEMTPLVRAYLEPDELQKDSVGISSHAIKRNVAFSEESESPMSRKGLKHFNYVLGSEESTNHVKFAEGRARKILNFHDVEKKERSLQDDDGSDLRVRVPSESERVSKAEMEILTLKNALARLEAEKEAGLLEYQQSLDRLTNLEREVSRAQEDSKGLNERASQAEAEVQTLKDALTKLQAEREANHVQYQQCLEKINNLENSISHAQKDAGELNERASKAETEAQALKQDLSRVEAEKEDALARYKQCSETISDLEEKLSNAQESARTMTERAEKAESEVETLKQVVVELTKDKEAAALQYQQCLETISILENRLRCAQEEAQRLKSEIDDGAAKLKGAEERCSLLERTNQSLHTEVESLVQKMGDQSQELTEKHKELGRLWASIQEERLRFMEAETAFQTLQHLHSQSQEELRSLAMELQNRAQILQDIETRNQNLEDELQRVKEENTGLNKLNLSSAMSIQNLQDEILSLRETIAKLEAEVELRVDQRNALQQEIYCLKEELNDLNKRHQDMTGQLQSVCLNPENFASTVKELWDENTELKDVCERERDEKLALLEKLKIMEKLIEKNALLENSLSDLNVELEGVRGRVKTIEESCQSLLREKSTLAAEKDTLISQLQTATENMEKLSEKNNFLENTLFDANAELEVLRQKIRSLENSFLLLGDEKSGLITQREGLISQLDVNQKRLEDLEKRYMGLEEKHVGLEKERESTLREVEELQKSLEAEMQQHASFVQLSRTRETAMESQIHVLQGESLLRKKEYEEELDKAMNAHVDIFILQKCAQDLEDKNMSLLLECRKLLEASKLSEKLISELELGNCEKQVEIKALFDQITILRMGLYQMSRTLEIDDIHGCDDKIKQDQLVLDCVFGRLQEMQNSLLKSLDENQRFIIENSVLIALLGQLKLEAETLAAEKNSVRQELKVQSVQFSELLIRAEKLADMNEVLRSKVVEVNQREDALQTELISVRGQLLALQRQYQTSLEDNCKVLDEKRSLMKEVLDLGKQKHNLEDENHAVICEAISQSNISLILKDIIANNFEEIKYLNDNLGKLKCLNNDLEGKLKMMERKFEDLQMENSNLKDSMKKLENELVSVRSVGDQLNDEVARGKDLLCQREIALLEVEKMLSASQKETAQLHKVLEDLQTKFEEVKLIGEDQKRQILKLSGDYDHQIKETESISQANQKLEVELLKLNEELEESKHREESLSFELQKERIKVEIWETQAAAWFDELQTSAIREVIFEEKAHELGKECKFLESTRNSTAMEVEELERSVRSLECENGGLKAQLAAYVPAIVSLLDSVTSLESRTLLHPELPTDYNEEDPNLGTEFHAENCQPTSEGQIGLVPNGFSDLQGIHMRIKAIEKAVLEMEKLAMLENLNLNSKLETATRQIEELRYGSSSSGESVRAKRHVSARQEEEELGNGLSNNVNMQRPTPEISEENEMMTKDIILDQVSECSSYGLSRRGTAAEVDTETLELWETADHDANADLKVSKAQKMATAPTDYQQIGTVKAGKGRTPSTESLVKELGVDKESSKRFAEPNNNGSKRKILERLDSDVQKLANLQITVQDLKKKVEIIETGKKGKGIEYGTVKEQLEEAEEAITKLFDVNRKLMTHVEDGSWSFDGKSALEPDENGSVRRRRVSEQARRGSEKIGRLQLEVQKIQFFLLNLDDKKESKAQTRITERRRRVLLRDYLYGGVKSSQKKKKSPFCACVHPPTNGD >KJB42147 pep chromosome:Graimondii2_0_v6:7:11450349:11456341:1 gene:B456_007G139300 transcript:KJB42147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVKRADSKGMYSWWWNSHISRKNSKWLQENLTDMDTKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVLRQAHQTMAEVFPNQVPMVFADDSPGGFAPEVDPCTPEMTPLVRAYLEPDELQKDSVGISSHAIKRNVAFSEESESPMSRKGLKHFNYVLGSEESTNHVKFAEGRARKILNFHDVEKKERSLQDDDGSDLRVRVPSESERVSKAEMEILTLKNALARLEAEKEAGLLEYQQSLDRLTNLEREVSRAQEDSKGLNERASQAEAEVQTLKDALTKLQAEREANHVQYQQCLEKINNLENSISHAQKDAGELNERASKAETEAQALKQDLSRVEAEKEDALARYKQCSETISDLEEKLSNAQESARTMTERAEKAESEVETLKQVVVELTKDKEAAALQYQQCLETISILENRLRCAQEEAQRLKSEIDDGAAKLKGAEERCSLLERTNQSLHTEVESLVQKMGDQSQELTEKHKELGRLWASIQEERLRFMEAETAFQTLQHLHSQSQEELRSLAMELQNRAQILQDIETRNQNLEDELQRVKEENTGLNKLNLSSAMSIQNLQDEILSLRETIAKLEAEVELRVDQRNALQQEIYCLKEELNDLNKRHQDMTGQLQSVCLNPENFASTVKELWDENTELKDVCERERDEKLALLEKLKIMEKLIEKNALLENSLSDLNVELEGVRGRVKTIEESCQSLLREKSTLAAEKDTLISQLQTATENMEKLSEKNNFLENTLFDANAELEVLRQKIRSLENSFLLLGDEKSGLITQREGLISQLDVNQKRLEDLEKRYMGLEEKHVGLEKERESTLREVEELQKSLEAEMQQHASFVQLSRTRETAMESQIHVLQGESLLRKKEYEEELDKAMNAHVDIFILQKCAQDLEDKNMSLLLECRKLLEASKLSEKLISELELGNCEKQVEIKALFDQITILRMGLYQMSRTLEIDDIHGCDDKIKQDQLVLDCVFGRLQEMQNSLLKSLDENQRFIIENSVLIALLGQLKLEAETLAAEKNSVRQELKVQSVQFSELLIRAEKLADMNEVLRSKVVEVNQREDALQTELISVRGQLLALQRQYQTSLEDNCKVLDEKRSLMKEVLDLGKQKHNLEDENHAVICEAISQSNISLILKDIIANNFEEIKYLNDNLGKLKCLNNDLEGKLKMMERKFEDLQMENSNLKDSMKKLENELVSVRSVGDQLNDEVARGKDLLCQREIALLEVEKMLSASQKETAQLHKVLEDLQTKFEEVKLIGEDQKRQILKLSGDYDHQIKETESISQANQKLEVELLKLNEELEESKHREESLSFELQKERIKVEIWETQAAAWFDELQTSAIREVIFEEKAHELGKECKFLESTRNSTAMEVEELERSVRSLECENGGLKAQLAAYVPAIVSLLDSVTSLESRTLLHPELPTDYNEEVISVRLHFKF >KJB40501 pep chromosome:Graimondii2_0_v6:7:4791468:4792516:-1 gene:B456_007G067800 transcript:KJB40501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ANGVVIATEKKLPSILVDETSVQKIQCLTPNIGVVYRFLFILQLSGKLGYFVLLVGDILDLISMHIALNCLKIKSAIISVFRQCLEQVPVHVHL >KJB44368 pep chromosome:Graimondii2_0_v6:7:38733068:38737805:-1 gene:B456_007G248500 transcript:KJB44368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVYISQPIPNQQRTYKNRTMVSQGSNSASSYPIKTIVILVQENRSFDHMLGWFKSLNPEIDGVTGSESNPISTSDPNSPMVFFKDNSEYVDPDPAHSIQAIYEQVFGHPWSSDLPNPPHEPTMNGFAQNAERTEKGMAEAVMKGFKPDAVPVYKELASKFGICDRWFASVPASTQPNRMFVHSATSYGQTSNDAIKLIKGFPQKTIFESLDESGFSFGIYYQYPPSTLFFRNLRQMKYLKNFHQFDLHFKKHCEEGKLPNYVVVEQRYFDLLSVPANDDHPSHDVSEGQKFVKEVYEALRSSPQWKEMLLVITYDEHGGFYDHVPTPTTGVPSPDDIVGPEPYHFKFDRLGVRVPTFFVSPWIEPGTGPYPTSEFEHSSIPATVKKIFNLKEFLTKRDAWAATFEGVINRKNPRVDCPVTLPEPVKMRPTEAKETAKLSDFQKELVQMAAVLNGDHKSDMYPHKLVEKMTVAEAAKYVNGAFNKFCDECQRGGIHESEIVELGKQVERPKGRSFIYKFFKCLVCHD >KJB44369 pep chromosome:Graimondii2_0_v6:7:38733148:38737803:-1 gene:B456_007G248500 transcript:KJB44369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVYISQPIPNQQRTYKNRTMVSQGSNSASSYPIKTIVILVQENRSFDHMLGWFKSLNPEIDGVTGSESNPISTSDPNSPMVFFKDNSEYVDPDPAHSIQAIYEQVFGHPWSSDLPNPPHEPTMNGFAQNAERTEKGMAEAVMKGFKPDAVPVYKELASKFGICDRWFASVPASTQPNRMFVHSATSYGQTSNDAIKLIKGFPQKTIFESLDESGFSFGIYYQYPPSTLFFRNLRQMKYLKNFHQFDLHFKKHCEEGKLPNYVVVEQRYFDLLSVPANDDHPSHDVSEGQKFVKEVYEALRSSPQWKEMLLVITYDEHGGFYDHVPTPTTGVPSPDDIVGPEPYHFKFDRLGVRVPTFFVSPWIEPGTVIHRPLGPYPTSEFEHSSIPATVKKIFNLKEFLTKRDAWAATFEGVINRKNPRVDCPVTLPEPVKMRPTEAKETAKLSDFQKELVQMAAVLNGDHKSDMYPHKLVEKMTVAEAAKYVNGAFNKFCDECQRGGIHESEIVELGKQVERPKGRSFIYKFFKCLVCHD >KJB43353 pep chromosome:Graimondii2_0_v6:7:19393585:19396286:1 gene:B456_007G195700 transcript:KJB43353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKQAETSNPMDRGSSIWCLLVLVIGALVVTAAALTILKYLHFSKNNEAHPINKKYADALELALQFFDVQKSGKLVDNRIPWRGDSGLQDGSEENLDLSKGMYDAGDAIKFGFPMAFTATVLSWSILEYGDQMNAVKQLGYAYNSLRWITDYLINSHPSENVLYFQVGDPGLDHKCWERPETMTERRPVTQVNTSFPGTEVVAETAAALASASLVFKENDPDYSSSLLTHARQLFTFADTYRASYSISIPQVQGFYNSTGYGDELLWAASWLYHATRDDSYLRYVTNGQRFANMEITTWFSWDDKLAGTQVLLSRIIFFRAKDMPTVENLDLQMYRKTAELVMCGLLPDSPTATSRRTDGGLIWITEWNPLQHAVASAFLAVLYSDYMLTSQTETLYCSGNSYKPDDLRNFAISQADYVLGENPMKMSYLVGYGSRYPLYVHHRGSSIPVNADTSCQDGFKWLYSDDPNPNIAVGALVGGPSLNDSYSDTRDNVKQSEPSTYNSALLVGLLSGLISTSSV >KJB41562 pep chromosome:Graimondii2_0_v6:7:8911845:8914550:1 gene:B456_007G114300 transcript:KJB41562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRCVQCGFRIKTLFVQYSPGNIRLMKCVNCKAVADEYIECELMIVLIDLILHKPKAYRHVLFNVLNHERTHFQGLLWKSLVGFLVLDAYRSLLVKKPEEEWGTSMSISSIFWIYRKMLMDVFLGNYMFLCSFLFAIRSLLKTSAQFSRFGSFHLQ >KJB41566 pep chromosome:Graimondii2_0_v6:7:8911854:8914147:1 gene:B456_007G114300 transcript:KJB41566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRCVQCGFRIKTLFVQYSPGNIRLMKCVNCKAVADEYIECELMIVLIDLILHKPKAYRHVLFNVLNHERTHFQGLLWKSLVGFLVLDAYRSLLVKKPEEEWGTSMSISSIFWIYRKMLMDVFLGNYMFLCSFLFAIRSLLKTSAQFSRFRDLLLAVLISSYFKILLTAMMVCFLMPLGLLTRKHHTGFIFFLVSKDHPFYSSFSFCRFGSFHLQ >KJB41561 pep chromosome:Graimondii2_0_v6:7:8911919:8912989:1 gene:B456_007G114300 transcript:KJB41561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRCVQCGFRIKTLFVQYSPGNIRLMKCVNCKAVADEYIECELMIVLIDLILHKPKAYRHVLFNVLNHERTHFQGLLWKSLVGFLVLDAYRSLLVKKPEEEWGTSMSISSIFWIYRKMLMDVFLGNYMFLCSFLFAIRSLLKTSAQFSRYVFAFLSWLSLACEL >KJB41560 pep chromosome:Graimondii2_0_v6:7:8911835:8914585:1 gene:B456_007G114300 transcript:KJB41560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRCVQCGFRIKTLFVQYSPGNIRLMKCVNCKAVADEYIECELMIVLIDLILHKPKAYRHVLFNVLNHERTHFQGLLWKSLVGFLVLDAYRSLLVKKPEEEWGTSMSISSIFWIYRKMLMDVFLGNYMFLCSFLFAIRSLLKTSAQFSRFRDLLLAVLISSYFKILLTAMMVWEFPPAVIYIIDLFVLSSNTVALKVITKSTMNRCIGACFIAHAIKFCITQAFA >KJB41567 pep chromosome:Graimondii2_0_v6:7:8911854:8914147:1 gene:B456_007G114300 transcript:KJB41567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRCVQCGFRIKTLFVQYSPGNIRLMKCVNCKAVADEYIECELMGLLWKSLVGFLVLDAYRSLLVKKPEEEWGTSMSISSIFWIYRKMLMDVFLGNYMFLCSFLFAIRSLLKTSAQFSRFRDLLLAVLISSYFKILLTAMMVWEFPPAVIYIIDLFVLSSNTVALKVITKSTMNRCIGACFIAHAIKFCITQAFA >KJB41564 pep chromosome:Graimondii2_0_v6:7:8911845:8914550:1 gene:B456_007G114300 transcript:KJB41564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRCVQCGFRIKTLFVQYSPGNIRLMKCVNCKAVADEYIECELMIVLIDLILHKPKAYRHVLFNVLNHERTHFQGLLWKSLVGFLVLDAYRSLLVKKPEEEWGTSMSISSIFWIYRKMLMDVFLGNYMFLCSFLFAIRSLLKTSAQFSRFRDLLLAVLISSYFKILLTAMMVWEFPPAVIYIIDLFVLSSNTVALIIYIYQYSPSDMVLLYLFYSLRTLIH >KJB41569 pep chromosome:Graimondii2_0_v6:7:8911854:8914147:1 gene:B456_007G114300 transcript:KJB41569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRCVQCGFRIKTLFVQYSPGNIRLMKCVNCKAVADEYIECELMIVLIDLILHKPKAYRHVLFNVLNHERTHFQGLLWKSLVGFLVLDAYRSLLVKKPEEEWGTSMSISSIFWIYHVDGCISWELYVSVFFPFCNQEPAQDISSILQVQRSVACSSNFKLLQDSSHCHDGLGVSTCSDLHH >KJB41568 pep chromosome:Graimondii2_0_v6:7:8911854:8914147:1 gene:B456_007G114300 transcript:KJB41568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRCVQCGFRIKTLFVQYSPGNIRLMKCVNCKAVADEYIECELMIVLIDLILHKPKAYRHVLFNVLNHERTHFQGLLWKSLVGFLVLDAYRSLLVKKPEEEWGTSMSISSIFWIYRKMLMDVFLGNYMFLCSFLFAIRSLLKTSAQFSRFRDLLLAVLISSLGVSTCSDLHH >KJB41565 pep chromosome:Graimondii2_0_v6:7:8911854:8914147:1 gene:B456_007G114300 transcript:KJB41565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRCVQCGFRIKTLFVQYSPGNIRLMKCVNCKAVADEYIECELMIVLIDLILHKPKAYRHVLFNVLNHERTHFQGLLWKSLVGFLVLDAYRSLLVKKPEEEWGTSMSISSIFWIYRKMLMDVFLGNYMFLCSFLFAIRSLLKTSAQFSRFRDLLLAVLISSYFKILLTAMMVCFLMPLGLLTRKHHTGFIFFLVSKDHPFYSSFSFCRFGSFHLQ >KJB41563 pep chromosome:Graimondii2_0_v6:7:8911854:8914147:1 gene:B456_007G114300 transcript:KJB41563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRCVQCGFRIKTLFVQYSPGNIRLMKCVNCKAVADEYIECELMIVLIDLILHKPKAYRHVLFNVLNHERTHFQGLLWKSLVGFLVLDAYRSLLVKKPEEEWGTSMSISSIFWIYRKMLMDVFLGNYMFLCSFLFAIRSLLKTSAQFSRFRDLLLAVLISSYFKILLTAMMVWEFPPAVIYIIDLFVLSSNTVALKGQIISTLQFFT >KJB43382 pep chromosome:Graimondii2_0_v6:7:19808638:19812966:1 gene:B456_007G197100 transcript:KJB43382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMRLSKCLVSLLFLVALSGFTHGFVMSPRTLLNRFSQNSNYYLTTEEHWFDQTLDHYSPYDHRQFKQRYYEFLDYFQVPDGPIFLKICGESSCNGISNDYLGVLAKKFGAAVVSLEHRYYGKSSPFKSHTTENLKYLSSKQALFDLAVFRQWYQESLNLKRSKTGAENSWFVFGISYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNYTDFDKQVGESAGPECKAVLQEITELVDRSLESNRKELKKQFGAAELEIDGDFLYFLADAAVIAFQYGNPDALCTPLVEAKKAGEDLVAVYAKYVKDFYVGTFGVSVETYNQNHLKNTAVNEGSSDRLWWFQVCTEVAYFQVAPSNDSVRSSKINTKYHLDLCKNVFGEGIYPEVDMTNIYYGGTKIAGSKIVFTNGSQDPWRHASKQTSSPDMPSYIITCHNCGHGTDMRGCPQSPLSIEGNAENCSAPDAVNKVRQKMIEHIDLWLSECKGTGRSSM >KJB43383 pep chromosome:Graimondii2_0_v6:7:19808753:19812909:1 gene:B456_007G197100 transcript:KJB43383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMRLSKCLVSLLFLVALSGFTHGFVMSPRTLLNRFSQNSNYYLTTEEHWFDQTLDHYSPYDHRQFKQRYYEFLDYFQVPDGPIFLKICGESSCNGISNDYLGVLAKKFGAAVVSLEHRYYGKSSPFKSHTTENLKYLSSKQALFDLAVFRQWYQESLNLKRSKTGAENSWFVFGISYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNYTDFDKQVGESAGPECKAVLQEITELVDRSLESNRKELKKQFGAAELEIDGDFLYFLADAAVIAFQYGNPDALCTPLVEAKKAGEDLVAVYAKYVKDFYVGTFGVSVETYNQNHLKNTAVNEGSSDRLWWFQVCTEVAYFQVAPSNDSVRSSKINTKYHLDLCKNVFGEGIYPEVDMTNIYYGGTKIAGSKIVFTNGSQDPWRHASKQTSSPDSNAENCSAPDAVNKVRQKMIEHIDLWLSECKGTGRSSM >KJB39826 pep chromosome:Graimondii2_0_v6:7:2228357:2229859:1 gene:B456_007G032400 transcript:KJB39826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGPDEEDNRWPPWLKPLLKEQFFVQCKHHVDFHKSECNMFCLDCVNGALCSFCLAYHKDHRYIQIRRSSYHDVIRVSEIQKYLDISGVQTYIINSAKIVFLNERPQPRPGKGVTNTCEVCDRSLVDSFRFCSLGCKVYIYIYIFPPLFGR >KJB39827 pep chromosome:Graimondii2_0_v6:7:2228357:2229911:1 gene:B456_007G032400 transcript:KJB39827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGPDEEDNRWPPWLKPLLKEQFFVQCKHHVDFHKSECNMFCLDCVNGALCSFCLAYHKDHRYIQIRRSSYHDVIRVSEIQKYLDISGVQTYIINSAKIVFLNERPQPRPGKGVTNTCEVCDRSLVDSFRFCSLGCKIVGTSKNFHKKKRQLGMNMAALDSEDSYSSSCHEKINNKVQSFRPSTPPPTRTAKRRKGIPHRAPMGGLIIEY >KJB40321 pep chromosome:Graimondii2_0_v6:7:4088917:4089580:-1 gene:B456_007G057400 transcript:KJB40321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 7 [Source:Projected from Arabidopsis thaliana (AT4G37800) UniProtKB/Swiss-Prot;Acc:Q8LER3] MNSDTDAVRDELDFEFLGNRTGQPYTVQTNIYAHGKGDREQRVNLWFDPAADFHTYSIMWNHHQIVFYIDEVPIRVYKNNEARNIPYPKLQPMGVYSTLWEADDWATRGGLEKIDWTKAPFLAYYKDFDIEGCPVPGPVNCATNSRNWWEGTAYQALNAMEAKRYRWVRMNHVIYDYCTDKSRYPVTPPECMSII >KJB40322 pep chromosome:Graimondii2_0_v6:7:4088540:4090413:-1 gene:B456_007G057400 transcript:KJB40322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 7 [Source:Projected from Arabidopsis thaliana (AT4G37800) UniProtKB/Swiss-Prot;Acc:Q8LER3] MGMGLRNGFLLILSCVVTLSLSVLGRPATFLEDFRITWSDSHIRQIDGGRAIQLVLDQNSGCGFASKRQYLFGRVSMKIKLIPGDSAGTVTAFYMNSDTDAVRDELDFEFLGNRTGQPYTVQTNIYAHGKGDREQRVNLWFDPAADFHTYSIMWNHHQIVFYIDEVPIRVYKNNEARNIPYPKLQPMGVYSTLWEADDWATRGGLEKIDWTKAPFLAYYKDFDIEGCPVPGPVNCATNSRNWWEGTAYQALNAMEAKRYRWVRMNHVIYDYCTDKSRYPVTPPECMSII >KJB40320 pep chromosome:Graimondii2_0_v6:7:4088540:4090251:-1 gene:B456_007G057400 transcript:KJB40320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 7 [Source:Projected from Arabidopsis thaliana (AT4G37800) UniProtKB/Swiss-Prot;Acc:Q8LER3] MGMGLRNGFLLILSCVVTLSLSVLGRPATFLEDFRITWSDSHIRQIDGGRAIQLVLDQNSGCGFASKRQYLFGRVSMKIKLIPGDSAGTVTAFYMNSDTDAVRDELDFEFLGNRTGQPYTVQTNIYAHGKGDREQRVNLWFDPAADFHTYSIMWNHHQIVFYIDEVPIRPMGVYSTLWEADDWATRGGLEKIDWTKAPFLAYYKDFDIEGCPVPGPVNCATNSRNWWEGTAYQALNAMEAKRYRWVRMNHVIYDYCTDKSRYPVTPPECMSII >KJB40318 pep chromosome:Graimondii2_0_v6:7:4088540:4090085:-1 gene:B456_007G057400 transcript:KJB40318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 7 [Source:Projected from Arabidopsis thaliana (AT4G37800) UniProtKB/Swiss-Prot;Acc:Q8LER3] MGMGLRNGFLLILSCVVTLSLSVLGRPATFLEDFRITWSDSHIRQIDGGRAIQLVLDQNSGLTLNAFNINLKHEQLIIFFVPRNDMVVLGCGFASKRQYLFGRVSMKIKLIPGDSAGTVTAFYMNSDTDAVRDELDFEFLGNRTGQPYTVQTNIYAHGKGDREQRVNLWFDPAADFHTYSIMWNHHQIVFYIDEVPIRVYKNNEARNIPYPKLQPMGVYSTLWEADDWATRGGLEKIDWTKAPFLAYYKDFDIEGCPVPGPVNCATNSRNWWEGTAYQALNAMEAKRYRWVRMNHVIYDYCTDKSRYPVTPPECMSII >KJB40319 pep chromosome:Graimondii2_0_v6:7:4088917:4089580:-1 gene:B456_007G057400 transcript:KJB40319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 7 [Source:Projected from Arabidopsis thaliana (AT4G37800) UniProtKB/Swiss-Prot;Acc:Q8LER3] MNSDTDAVRDELDFEFLGNRTGQPYTVQTNIYAHGKGDREQRVNLWFDPAADFHTYSIMWNHHQIVFYIDEVPIRVYKNNEARNIPYPKLQPMGVYSTLWEADDWATRGGLEKIDWTKAPFLAYYKDFDIEGCPVPGPVNCATNSRNWWEGTAYQALNAMEAKRYRWVRMNHVIYDYCTDKSRYPVTPPECMSII >KJB45684 pep chromosome:Graimondii2_0_v6:7:53618015:53618712:1 gene:B456_007G3205001 transcript:KJB45684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LHGREEPLEYIIRVGERGWVEQINTRKYIELCGCNIYTNQIILPHVEELYIKECNLNCSEGYPLFSRFILISLSTFSSLKFLDIYNCKSIKKLFSPNCLPLNLQELSASECNMLEEIIAIELGWNQSEKATMEFHLPQLRLFSLWNLPKLKSICSVNGVIVCDSLEIIEVRNCPKLKRMPLNLSQLDNIRLQPSGPLSPLICIKPEEWWESVEWDHPNAKSILEPLLRSCW >KJB40098 pep chromosome:Graimondii2_0_v6:7:3249563:3251990:1 gene:B456_007G046800 transcript:KJB40098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSKAILSSLRLANSLLSTRLTSTPSFSSQVTRFSSQLSFQSHSSLLTNIHQSLSFSTKPNSLLQLILTNDWSGELETSLHEFKSALTHETVIYVLKKLDKDSKKASSFFNWVRQENGFKPNSSVYSFMLRIFANKATMKEFWITLREMKDLGFYLDEETYYTIHSSFRNNKMPSDVVALTHFYKRMVEENAKDSIARKVADVIAGDEWSSETEKILDGMGTDLSDNLVIRVLKELRTYPRKGLQFFHWASKGSNYKHNTVTYNALIRVLARLDSIAEFWGVVDEMKGEGFEMDIDTYIKVSRNFQKFKMLEDAVKLYEIMMDGPYKPSAQDCSLLLRSISSADGQDLSLVFRVVNKYEAAGYSLSKAIYDGIHRSLTKVGKFDEAEKIMKAMKNAGCEPDNITYSQLVFGLCKARRLEEACKVLDEMKANGCCPDIKTWTILIQGHCDANQIDDALMCFAKMVKTCEADADLLDVLINSFISQNRVDGAYKLLVEMVNVVHLRPWQATFKLLIEKLLGKRKLEESMNLLKLMKKQNYPPYPEPFVQYISKQGTVEDAVEFLKALSVKEYPSIGAYLHTLESFLEEGRHTEAQDLLYKCPHHIRNHPKTSQLFGTKSTA >KJB43911 pep chromosome:Graimondii2_0_v6:7:26640755:26641885:-1 gene:B456_007G223300 transcript:KJB43911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVLAVVIDGLGLFVRTLWDLQERRFSATFNAVTSAAISITMALVVCLLSLHGHATPEIGVAYI >KJB45589 pep chromosome:Graimondii2_0_v6:7:52816931:52817541:-1 gene:B456_007G314200 transcript:KJB45589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQIPTAFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKENIKIHGF >KJB45590 pep chromosome:Graimondii2_0_v6:7:52816517:52818354:-1 gene:B456_007G314200 transcript:KJB45590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQIPTAFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKENIKIHGF >KJB45588 pep chromosome:Graimondii2_0_v6:7:52816517:52818078:-1 gene:B456_007G314200 transcript:KJB45588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQIPTAFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKENIKIHGF >KJB45591 pep chromosome:Graimondii2_0_v6:7:52817172:52818354:-1 gene:B456_007G314200 transcript:KJB45591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQIPTAFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVCV >KJB46104 pep chromosome:Graimondii2_0_v6:7:58424050:58430305:1 gene:B456_007G353400 transcript:KJB46104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDVKRVSRQDIQLVQNLIERCLQLYMTQKEVVETLLAQAKIEPGFTELVWQKLEEENREFFQAYYLRLMVKQQIVEFNKLLEQQVRLMSQIRSAGVSSISNSNGPQMPLRPALKQENMHHPMDSSLPNVFTNGSSALHAGIHPPIELASHASRIDAPQGMLSTQNSNMGLMQGMNGKMIKPEAGYSSGAPYMFGAESNVLEARPTIGDTSFSTVESSTQPLNEPLLDADISSFGFLGQIPRNFSLSDLTADFSQSSDILESYPRSPFLAPDNETFLDSREREHQGDNKRLDTISEGLSYDDFRSE >KJB46110 pep chromosome:Graimondii2_0_v6:7:58425769:58428542:1 gene:B456_007G353400 transcript:KJB46110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDVKRVSRQDIQLVQNLIERCLQLYMTQKEVVETLLAQAKIEPGFTELVWQKLEEENREFFQAYYLRLMVKQQIVEFNKLLEQQVRLMSQIRSAGVSSISNSNGPQMPLMPQNSSCYAPENTGPALKQENMHHPMDSSLPNVFTNGSSALHAGIHPPIELASHASRIDAPQGMLSTQNSNMGLMQGMNGKMIKPEAGYSSGAPYMFGAESNVLEARPTIGDTSFSTVESSTQPLNEPLLDADISSFGFLGQIPRNFSLSDLTADFSQSSGLFLSVGLCGDMHVHHATYTYICLHASMCL >KJB46113 pep chromosome:Graimondii2_0_v6:7:58426769:58430305:1 gene:B456_007G353400 transcript:KJB46113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHPMDSSLPNVFTNGSSALHAGIHPPIELASHASRIDAPQGMLSTQNSNMGLMQGMNGKMIKPEAGYSSGAPYMFGAESNVLEARPTIGDTSFSTVESSTQPLNEPLLDADISSFGFLGQIPRNFSLSDLTADFSQSSDILESYPRSPFLAPDNETFLDSREREHQGDNKRLDTISEGLSYDDFRSE >KJB46103 pep chromosome:Graimondii2_0_v6:7:58424037:58430414:1 gene:B456_007G353400 transcript:KJB46103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDVKRVSRQDIQLVQNLIERCLQLYMTQKEVVETLLAQAKIEPGFTELVWQKLEEENREFFQAYYLRLMVKQQIVEFNKLLEQQVRLMSQIRSAGVSSISNSNGPQMPLMPQNSSCYAPENTGPALKQENMHHPMDSSLPNVFTNGSSALHAGIHPPIELASHASRIDAPQGMLSTQNSNMGLMQGMNGKMIKPEAGYSSGAPYMFGAESNVLEARPTIGDTSFSTVESSTQPLNEPLLDADISSFGFLGQIPRNFSLSDLTADFSQSSDILESYPRSPFLAPDNETFLDSREREHQGDNKRLDTISEGLSYDDFRSE >KJB46107 pep chromosome:Graimondii2_0_v6:7:58424050:58430305:1 gene:B456_007G353400 transcript:KJB46107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDVKRVSRQDIQLVQNLIERCLQLYMTQKEVVETLLAQAKIEPGFTELVWQKLEEENREFFQAYYLRLMVKQQIVEFNKLLEQQVRLMSQIRSAGVSSISNSNGPQMPLMPQNSSCYAPENTGPALKQENMHHPMDSSLPNVFTNGSSALHAGIHPPIELASHASRIDAPQGMLSTQNSNMGLMQGMNGKMIKPEAGYSSGAPYMFGAESNVLEARPTIGDTSFSTVESSTQPLNEPLLDADISSFGFLGQIPRNFSLSDLTADFSQSSDILESYPRSPFLAPDNETFLDSREREHQGDNKRLDTISEGLSYDDFRSE >KJB46101 pep chromosome:Graimondii2_0_v6:7:58422969:58430305:1 gene:B456_007G353400 transcript:KJB46101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRTKSSLSGYPALYESAETRRMSTGDVKRVSRQDIQLVQNLIERCLQLYMTQKEVVETLLAQAKIEPGFTELVWQKLEEENREFFQAYYLRLMVKQQIVEFNKLLEQQVRLMSQIRSAGVSSISNSNGPQMPLMPQNSSCYAPENTGPALKQENMHHPMDSSLPNVFTNGSSALHAGIHPPIELASHASRIDAPQGMLSTQNSNMGLMQGMNGKMIKPEAGYSSGAPYMFGAESNVLEARPTIGDTSFSTVESSTQPLNEPLLDADISSFGFLGQIPRNFSLSDLTADFSQSSDILESYPRSPFLAPDNETFLDSREREHQGDNKRLDTISEGLSYDDFRSE >KJB46106 pep chromosome:Graimondii2_0_v6:7:58424050:58430305:1 gene:B456_007G353400 transcript:KJB46106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDVKRVSRQDIQLVQNLIERCLQLYMTQKEVVETLLAQAKIEPGFTELVWQKLEEENREFFQAYYLRLMVKQQIVEFNKLLEQQVRLMSQIRSAGVSSISNSNGPQMPLMPQNSSCYAPENTGPALKQENMHHPMDSSLPNVFTNGSSALHAGIHPPIELASHASRIDAPQGMLSTQNSNMGLMQGMNGKMIKPEAGYSSGAPYMFGAESNVLEARPTIGDTSFSTVESSTQPLNEPLLDADISSFGFLGQIPRNFSLSDLTADFSQNILESYPRSPFLAPDNETFLDSREREHQGDNKRLDTISEGLSYDDFRSE >KJB46112 pep chromosome:Graimondii2_0_v6:7:58424050:58429214:1 gene:B456_007G353400 transcript:KJB46112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQQIVEFNKLLEQQVRLMSQIRSAGVSSISNSNGPQMPLMPQNSSCYAPENTGPALKQENMHHPMDSSLPNVFTNGSSALHAGIHPPIELASHASRIDAPQGMLSTQNSNMGLMQGMNGKMIKPEAGYSSGAPYMFGAESNVLEARPTIGDTSFSTVESSTQPLNEPLLDADISSFGFLGQIPRNFSLSDLTADFSQSSDILESYPRSPFLAPDNETFLDSREREHQGDNKRLDTISEGLSYDDFRSE >KJB46109 pep chromosome:Graimondii2_0_v6:7:58424050:58430305:1 gene:B456_007G353400 transcript:KJB46109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDVKRVSRQDIQLVQNLIERCLQLYMTQKEVVETLLAQAKIEPGFTELVWQKLEEENREFFQAYYLRLMVKQQIVEFNKLLEQQVRLMSQIRSAGVSSISNSNGPQMPLKNTGPALKQENMHHPMDSSLPNVFTNGSSALHAGIHPPIELASHASRIDAPQGMLSTQNSNMGLMQGMNGKMIKPEAGYSSGAPYMFGAESNVLEARPTIGDTSFSTVESSTQPLNEPLLDADISSFGFLGQIPRNFSLSDLTADFSQSSDILESYPRSPFLAPDNETFLDSREREHQGDNKRLDTISEGLSYDDFRSE >KJB46111 pep chromosome:Graimondii2_0_v6:7:58424050:58430305:1 gene:B456_007G353400 transcript:KJB46111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDVKRVSRQDIQLVQNLIERCLQLYMTQKEVVETLLAQAKIEPGFTELVWQKLEEENREFFQAYYLRLMVKQQIVEFNKLLEQQVRLMSQIRSAGVSSISNSNGPQMPLMPQNSSCYAPENTGPALKQENMHHPMDSSLPNVFTNGSSALHAGIHPPIELASHASRIDAPQGMLSTQNSNMGLMQGMNGKMIKPEAGYSSGAPYMFGAESNVLEARPTIGDTSFSTVESSTQPLNEPLLDADISSFGFLGQIPHILESYPRSPFLAPDNETFLDSREREHQGDNKRLDTISEGLSYDDFRSE >KJB46108 pep chromosome:Graimondii2_0_v6:7:58424050:58430305:1 gene:B456_007G353400 transcript:KJB46108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDVKRVSRQDIQLVQNLIERCLQLYMTQKEVVETLLAQAKIEPGFTELGNIWQKLEEENREFFQAYYLRLMVKQQIVEFNKLLEQQVRLMSQIRSAGVSSISNSNGPQMPLMPQNSSCYAPENTGPALKQENMHHPMDSSLPNVFTNGSSALHAGIHPPIELASHASRIDAPQGMLSTQNSNMGLMQGMNGKMIKPEAGYSSGAPYMFGAESNVLEARPTIGDTSFSTVESSTQPLNEPLLDADISSFGFLGQIPRNFSLSDLTADFSQSSDILESYPRSPFLAPDNETFLDSREREHQGDNKRLDTISEGLSYDDFRSE >KJB46105 pep chromosome:Graimondii2_0_v6:7:58424050:58430305:1 gene:B456_007G353400 transcript:KJB46105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDVKRVSRQDIQLVQNLIERCLQLYMTQKEVVETLLAQAKIEPGFTELVWQKLEEENREFFQAYYLRLMVKQQIVEFNKLLEQQVRLMSQIRSAGVSSISNSNGPQMPLKNTGPALKQENMHHPMDSSLPNVFTNGSSALHAGIHPPIELASHASRIDAPQGMLSTQNSNMGLMQGMNGKMIKPEAGYSSGAPYMFGAESNVLEARPTIGDTSFSTVESSTQPLNEPLLDADISSFGFLGQIPRNFSLSDLTADFSQSSDILESYPRSPFLAPDNETFLDSREREHQGDNKRLDTISEGLSYDDFRSE >KJB46102 pep chromosome:Graimondii2_0_v6:7:58424050:58430305:1 gene:B456_007G353400 transcript:KJB46102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDVKRVSRQDIQLVQNLIERCLQLYMTQKEVVETLLAQAKIEPGFTELVWQKLEEENREFFQAYYLRLMVKQQIVEFNKLLEQQVRLMSQIRSAGVSSISNSNGPQMPLMPQNSSCYAPENTGPALKQENMHHPMDSSLPNVFTNGSSALHAGIHPPIELASHASRIDAPQGMLSTQNSNMGLMQGMNGKMIKPEAGYSSGAPYMFGAESNVLEARPTIGDTSFSTVESSTQPLNEPLLDADISSFGFLGQIPRNFSLSDLTADFSQSSDILESYPRSPFLAPDNETFLDSREREHQGDNKRLDTISEGLSYDDFRSE >KJB42248 pep chromosome:Graimondii2_0_v6:7:12206022:12207904:1 gene:B456_007G145000 transcript:KJB42248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLMTNFASYKHHLSMVLVQILSAIVYFITEAAFNQGLNTYVYVSYRFILAGLLMFPLAYFLERKSRPKLTVAMFLEIFLVSLMGICLTFIMFFISMRCTSPTFVAAVFNTVSSLTFLIAILFRMEVVDVRSHRGMAKILGTFISLVGVTIITLYKGPALQNLWGTPIHMKRLSIHENWVKGSILTIASCITLSAWYIMQTFTMRKYPAQMSLTAWISFIGGAQSAVFAFLVQHEPGVWSVKMFGIDFWAITYCGIIGSGLVIFIQLWCMKEKGPVFVSMFNPLQTLIVAVLAYFVFGEKLYTGSILGGVTVIIGLYLLLWGKEKDESYIKGQELEEIKVADKEEVASAVKEEP >KJB45485 pep chromosome:Graimondii2_0_v6:7:52206185:52210632:-1 gene:B456_007G308600 transcript:KJB45485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHRGANGQAPNGTAPGTGSVYSINLDNFSKRLKALYSHWNEHKPELWGSSDVFAVATPPTSEDLRYLKSSALNVWLLGYEFPETIIVFTKKQIHFLCSQKKVSLLEVVKKSAKEAVGADVVMHVKAKSDDGIASMDSIFRSIRVQYKGNENDAPNFGYIAREASEGKLLETWAEQLKSAGFQLTDVTNGLSDLFAVKDKEEVMNVKKAAYLSYNVMNNIVIPRLESVIDEEKKITHATLMDETEKAIVNPQLAKVKLKPENVDICYPPIFQSGGEFDLRPSAASNEENLYYDSASVILCAVGARYNSYCSNIARTFLIDATPLQSKAYGVLLKAHEAAIGMLKPGRKCSAAYQAALSIVEKEAPDWIPNLTKSAGTGIGLEFRESGLNLNGKNDRLVKAGMVFNVSVGFQNLQCESKKPKSSVFSLLLADTVIVGEQNTEVVTGKCSKAVKDVAYSFNEDEEEEEKSVKTEANGFEPFMSKTVLRSDNHEISKEELRRQHQAELARQKNEETARRLAGGPGNGDNRSVAKSSTDLIAYKNVNDLPPPRDFMIQIDQKNEAVLLPIYGSMVPFHVATIRTVSSQQDTNRNCFIRIIFNVPGTPFSPHDLNSSKNQGAIYLKEVSFRSKDPRHISEVVQQIKTLRRQVVARESEKAERATLVTQEKLQLAGNRFKPIRLSDLWIRPVFGGRGRKIPGTLEAHVNGFRYSTTRADERVDIMYGNIKHAFFQPAEKEMITLVHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQSFVNRVNDLWGQPQFNGLDLEFDQPLRELGFHGVPYKASAFIVPTSSCLVELVETPFLVVTLSEIEIVNLERVGLGQKNFDMTIVFKDFKKDVLRIDSIPSTSLDGIKEWLDTTDIKYYESRLNLNWRQILKTITDDPQSFIENGGWEFLNLEASDSDSEQSEESDQGYEPSDVEPESESEDDDSDSESLVESEDEEEEESEEDSEEEKGKTWEELEREASNADREKGNESDSEEERRRRKMKAFGKSRAPPSSSIPKRSKLR >KJB41060 pep chromosome:Graimondii2_0_v6:7:6457260:6468816:1 gene:B456_007G088700 transcript:KJB41060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MSKSNPNFPPQQLSKSLTKSFMAQNQVMDSLTSHISLYHCNSLSQNPNPNPNSNSRSSILRWFSSLTVHQRQAHLTTVDSKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRGLLSRVAQSNESERRVFESVRLFGSREGEKIDDCTCSVSSLDSMTVTEELVENVDRFVEIMDEVSNGAFLRGDESELVSDWIELEWLKSKGYYSVEAFVVNRLEVALRLAWLNCNNGKRRGMKLKEKMKAAGVAANVYWRKKGCLDWWVNLGDATRKKVFNTIFGKAAKSLTFEVLKAAGSASENEMWLFSAGAVERPMRYTNYGEKKLGTTRKPLEDAEFGMTVSANSHSGKPTSLTNAFSSLFVLRDIVTVVLSCHHHCDVGKVFFSSLSSVSSIIDSILRKLRGYLMFISLDCTKLELLGEGNFNSSSDKSKDQFSASSRKKKVKSRNIKNQNPVLKMEMDDHPPQKPLKVQTQSGVGGKGQAAARKSRKEKNKKKRSYINDTTEVKSSKKAVTGSSSLSFVSQDEATKSNGVLDNLSVEHSVPTDTISHTNILEPISSPTEPDNQLFKEDIALHVQDHEVGSTNGFCHKGTGHQQDSKDISANEIIPTRQESSNYKRECNVLPPIAPKPGSVFIGEGINEHSASKIQENSPSGVSVNALDIKEGVSVIQVQDKKFYNTAPTPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPSIESGCNRILSRPMPMSLDWPPMVRSASGLAPSVTYNYDSGFISRRQTAFQQSFASQNFQFNMKSFEDDRKYSGDFFDLPDPANTSELADEYDSHYISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDLPGTGFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTATPFCSPFDPLGPGHQAVSYVVPGNEVSSKVLHSASATPDAATEEEASGSFTNLSSDVDAKTGDSLPYPILRPIIIPNISRERSKSDFKRGHDHKSPRVAPTRREQPRIRRPPSPVVLCVPRAPRPPPPSPVSDSRKQRGFPTVRSGSSSPRHWGMRGLYYDGTNSEDACVCMDGTEVVWPSWRSKNLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSMHNFLNDEIDSFWKQVAAENMACKPYINWAVKRVTRSLQVLWPRSRTNVFGSNATGLALPSSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSASSNVQSPTDEQIDRTAEHGEHAHSDTVALDDSASPKCSQINYGNTKGVKSVRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMRVSVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILEDELSCLSSNTTSSSNPPCRLLQKIIPSITLS >KJB41062 pep chromosome:Graimondii2_0_v6:7:6457260:6468824:1 gene:B456_007G088700 transcript:KJB41062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MSKSNPNFPPQQLSKSLTKSFMAQNQVMDSLTSHISLYHCNSLSQNPNPNPNSNSRSSILRWFSSLTVHQRQAHLTTVDSKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRGLLSRVAQSNESERRVFESVRLFGSREGEKIDDCTCSVSSLDSMTVTEELVENVDRFVEIMDEVSNGAFLRGDESELVSDWIELEWLKSKGYYSVEAFVVNRLEVALRLAWLNCNNGKRRGMKLKEKMKAAGVAANVYWRKKGCLDWWVNLGDATRKKVFNTIFGKAAKSLTFEVLKAAGSASENEMWLFSAGAVERPMRYTNYGEKKLGTTRKPLEDAEFGMTVSANSHSGKPTSLTNAFSSLFVLRDIVTVVLSCHHHCDVGKVFFSSLSSVSSIIDSILRKLRGYLMFISLDCTKLELLGEGNFNSSSDKSKDQFSASSRKKKVKSRNIKNQNPVLKMEMDDHPPQKPLKDLEYKSTHNKKADLMESTKTHVIPHDKDVQTQSGVGGKGQAAARKSRKEKNKKKRSYINDTTEVKSSKKAVTGSSSLSFVSQDEATKSNGVLDNLSVEHSVPTDTISHTNILEPISSPTEPDNQLFKEDIALHVQDHEVGSTNGFCHKGTGHQQDSKDISANEIIPTRQESSNYKRECNVLPPIAPKPGSVFIGEGINEHSASKIQENSPSGVSVNALDIKEGVSVIQVQDKKFYNTAPTPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPSIESGCNRILSRPMPMSLDWPPMVRSASGLAPSVTYNYDSGFISRRQTAFQQSFASQNFQFNMKSFEDDRKYSGDFFDLPDPANTSELADEYDSHYISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDLPGTGFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTATPFCSPFDPLGPGHQAVSYVVPGNEVSSKVLHSASATPDAATEEEASGSFTNLSSDVDAKTGDSLPYPILRPIIIPNISRERSKSDFKRGHDHKSPRVAPTRREQPRIRRPPSPVVLCVPRAPRPPPPSPVSDSRKQRGFPTVRSGSSSPRHWGMRGLYYDGTNSEDACVCMDGTEVVWPSWRSKNLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSMHNFLNDEIDSFWKQVAAENMACKPYINWAVKRVTRSLQVLWPRSRTNVFGSNATGLALPSSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSASSNVQSPTDEQIDRTAEHGEHAHSDTVALDDSASPKCSQINYGNTKGVKSVRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMRVSVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILEDELSCLSSNTTSSSNPPCRLLQKIIPSITLS >KJB41057 pep chromosome:Graimondii2_0_v6:7:6459456:6466990:1 gene:B456_007G088700 transcript:KJB41057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MESTKTHVIPHDKDVQTQSGVGGKGQAAARKSRKEKNKKKRSYINDTTEVKSSKKAVTGSSSLSFVSQDEATKSNGVLDNLSVEHSVPTDTISHTNILEPISSPTEPDNQLFKEDIALHVQDHEVGSTNGFCHKGTGHQQDSKDISANEIIPTRQESSNYKRECNVLPPIAPKPGSVFIGEGINEHSASKIQENSPSGVSVNALDIKEGVSVIQVQDKKFYNTAPTPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPSIESGCNRILSRPMPMSLDWPPMVRSASGLAPSVTYNYDSGFISRRQTAFQQSFASQNFQFNMKSFEDDRKYSGDFFDLPDPANTSELADEYDSHYISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDLPGTGFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTATPFCSPFDPLGPGHQAVSYVVPGNEVSSKVLHSASATPDAATEEEASGSFTNLSSDVDAKTGDSLPYPILRPIIIPNISRERSKSDFKRGHDHKSPRVAPTRREQPRIRRPPSPVVLCVPRAPRPPPPSPVSDSRKQRGFPTVRSGSSSPRHWGMRGLYYDGTNSEDACVCMDGTEVVWPSWRSKNLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSMHNFLNDEIDSFWKQVAAENMACKPYINWAVKRVTRSLQVLWPRSRTNVFGSNATGLALPSSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSASSNVQSPTDEQIDRTAEHGEHAHSDTVALDDSASPKCSQINYGNTKGVKSVRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMRVSVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILEDELSCLSSNTTSSSNPPCRLLQKIIPSITLS >KJB41061 pep chromosome:Graimondii2_0_v6:7:6457260:6468824:1 gene:B456_007G088700 transcript:KJB41061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MSKSNPNFPPQQLSKSLTKSFMAQNQVMDSLTSHISLYHCNSLSQNPNPNPNSNSRSSILRWFSSLTVHQRQAHLTTVDSKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRGLLSRVAQSNESERRVFESVRLFGSREGEKIDDCTCSVSSLDSMTVTEELVENVDRFVEIMDEVSNGAFLRGDESELVSDWIELEWLKSKGYYSVEAFVVNRLEVALRLAWLNCNNGKRRGMKLKEKMKAAGVAANVYWRKKGCLDWWVNLGDATRKKVFNTIFGKAAKSLTFEVLKAAGSASENEMWLFSAGAVERPMRYTNYGEKKLGTTRKPLEDAEFGMTVSANSHSGKPTSLTNAFSSLFVLRDIVTVVLSCHHHCDVGKVFFSSLSSVSSIIDSILRKLRGYLMFISLDCTKLELLGEGNFNSSSDKSKDQFSASSRKKKVKSRNIKNQNPVLKMEMDDHPPQKPLKVQTQSGVGGKGQAAARKSRKEKNKKKRSYINDTTEVKSSKKAVTGSSSLSFVSQDEATKSNGVLDNLSVEHSVPTDTISHTNILEPISSPTEPDNQLFKEDIALHVQDHEVGSTNGFCHKGTGHQQDSKDISANEIIPTRQESSNYKRECNVLPPIAPKPGSVFIGEGINEHSASKIQENSPSGVSVNALDIKEGVSVIQVQDKKFYNTAPTPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPSIESGCNRILSRPMPMSLDWPPMVRSASGLAPSVTYNYDSGFISRRQTAFQQSFASQNFQFNMKSFEDDRKYSGDFFDLPDPANTSELADEYDSHYISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDLPGTGFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTATPFCSPFDPLGPGHQAVSYVVPGNEVSSKVLHSASATPDAATEEEASGSFTNLSSDVDAKTGDSLPYPILRPIIIPNISRERSKSDFKRGHDHKSPRVAPTRREQPRIRRPPSPVVLCVPRAPRPPPPSPVSDSRKQRGFPTVRSGSSSPRHWGMRGLYYDGTNSEDACVCMDGTEVVWPSWRSKNLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSMHNFLNDEIDSFWKQVAAENMACKPYINWAVKRVTRSLQVLWPRSRTNVFGSNATGLALPSSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSASSNVQSPTDEQIDRTAEHGEHAHSDTVALDDSASPKCSQINYGNTKGVKSVRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMRVSVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILEDELSCLSSNTTSSSNPPCRLLQKIIPSITLS >KJB41056 pep chromosome:Graimondii2_0_v6:7:6457260:6464954:1 gene:B456_007G088700 transcript:KJB41056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MSKSNPNFPPQQLSKSLTKSFMAQNQVMDSLTSHISLYHCNSLSQNPNPNPNSNSRSSILRWFSSLTVHQRQAHLTTVDSKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRGLLSRVAQSNESERRVFESVRLFGSREGEKIDDCTCSVSSLDSMTVTEELVENVDRFVEIMDEVSNGAFLRGDESELVSDWIELEWLKSKGYYSVEAFVVNRLEVALRLAWLNCNNGKRRGMKLKEKMKAAGVAANVYWRKKGCLDWWVNLGDATRKKVFNTIFGKAAKSLTFEVLKAAGSASENEMWLFSAGAVERPMRYTNYGEKKLGTTRKPLEDAEFGMTVSANSHSGKPTSLTNAFSSLFVLRDIVTVVLSCHHHCDVGKVFFSSLSSVSSIIDSILRKLRGYLMFISLDCTKLELLGEGNFNSSSDKSKDQFSASSRKKKVKSRNIKNQNPVLKMEMDDHPPQKPLKVQTQSGVGGKGQAAARKSRKEKNKKKRSYINDTTEVKSSKKAVTGSSSLSFVSQDEATKSNGVLDNLSVEHSVPTDTISHTNILEPISSPTEPDNQLFKEDIALHVQDHEVGSTNGFCHKGTGHQQDSKDISANEIIPTRQESSNYKRECNVLPPIAPKPGSVFIGEGINEHSASKIQENSPSGVSVNALDIKEGVSVIQVQDKKFYNTAPTPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPSIESGCNRILSRPMPMSLDWPPMVRSASGLAPSVTYNYDSGFISRRQTAFQQSFASQNFQFNMKSFEDDRKYSGDFFDLPDPANTSELADEYDSHYISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDLPGTGFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTATPFCSPFDPLGPGHQAVSYVVPGNEVSSKVLHSASATPDAATEEEASGSFTNLSSDVDAKTGDSLPYPILRPIIIPNISRERSKSDFKRGHDHKSPRVAPTRREQPRIRRPPSPVVLCVPRAPRPPPPSPVSDSRKQRGFPTVRSGSSSPRHWGMRGLYYDGTNSEDACVCMDGTEVVWPSWRSKNLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSMHNFLNDEIDSFWKQVAAENMACKPYINWAVKRVTRSLQVLWPRSRTNVFGSNATGLALPSSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSASSNVQSPTDEQIDRTAEHGEHAHSDTVALDDSASPKCSQINYGNTKGVKSVRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQSRYKVV >KJB41063 pep chromosome:Graimondii2_0_v6:7:6457260:6468824:1 gene:B456_007G088700 transcript:KJB41063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MSKSNPNFPPQQLSKSLTKSFMAQNQVMDSLTSHISLYHCNSLSQNPNPNPNSNSRSSILRWFSSLTVHQRQAHLTTVDSKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRGLLSRVAQSNESERRVFESVRLFGSREGEKIDDCTCSVSSLDSMTVTEELVENVDRFVEIMDEVSNGAFLRGDESELVSDWIELEWLKSKGYYSVEAFVVNRLEVALRLAWLNCNNGKRRGMKLKEKMKAAGVAANVYWRKKGCLDWWVNLGDATRKKVFNTIFGKAAKSLTFEVLKAAGSASENEMWLFSAGAVERPMRYTNYGEKKLGTTRKPLEDAEFGMTVSANSHSGKPTSLTNAFSSLFVLRDIVTVVLSCHHHCDVGKVFFSSLSSVSSIIDSILRKLRGYLMFISLDCTKLELLGEGNFNSSSDKSKDQFSASSRKKKVKSRNIKNQNPVLKMEMDDHPPQKPLKVQTQSGVGGKGQAAARKSRKEKNKKKRSYINDTTEVKSSKKAVTGSSSLSFVSQDEATKSNGVLDNLSVEHSVPTDTISHTNILEPISSPTEPDNQLFKEDIALHVQDHEVGSTNGFCHKGTGHQQDSKDISANEIIPTRQESSNYKRECNVLPPIAPKPGSVFIGEGINEHSASKIQENSPSGVSVNALDIKEGVSVIQVQDKKFYNTAPTPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPSIESGCNRILSRPMPMSLDWPPMVRSASGLAPSVTYNYDSGFISRRQTAFQQSFASQNFQFNMKSFEDDRKYSGDFFDLPDPANTSELADEYDSHYISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDLPGTGFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTATPFCSPFDPLGPGHQAVSYVVPGNEVSSKVLHSASATPDAATEEEASGSFTNLSSDVDAKTGDSLPYPILRPIIIPNISRERSKSDFKRGHDHKSPRVAPTRREQPRIRRPPSPVVLCVPRAPRPPPPSPVSDSRKQRGFPTVRSGSSSPRHWGMRGLYYDGTNSEDACVCMDGTEVVWPSWRSKNLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSMHNFLNDEIDSFWKQVAAENMACKPYINWAVKRVTRSLQVLWPRSRTNVFGSNATGLALPSSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSASSNVQSPTDEQIDRTAEHGEHAHSDTVALDDSASPKCSQINYGNTKGVKSVRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMRVSVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILEDELSCLSSNTTSSSNPPCRLLQKIIPSITLS >KJB41064 pep chromosome:Graimondii2_0_v6:7:6457260:6468816:1 gene:B456_007G088700 transcript:KJB41064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MSKSNPNFPPQQLSKSLTKSFMAQNQVMDSLTSHISLYHCNSLSQNPNPNPNSNSRSSILRWFSSLTVHQRQAHLTTVDSKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRGLLSRVAQSNESERRVFESVRLFGSREGEKIDDCTCSVSSLDSMTVTEELVENVDRFVEIMDEVSNGAFLRGDESELVSDWIELEWLKSKGYYSVEAFVVNRLEVALRLAWLNCNNGKRRGMKLKEKMKAAGVAANVYWRKKGCLDWWVNLGDATRKKVFNTIFGKAAKSLTFEVLKAAGSASENEMWLFSAGAVERPMRYTNYGEKKLGTTRKPLEDAEFGMTVSANSHSGKPTSLTNAFSSLFVLRDIVTVVLSCHHHCDVGKVFFSSLSSVSSIIDSILRKLRGYLMFISLDCTKLELLGEGNFNSSSDKSKDQFSASSRKKKVKSRNIKNQNPVLKMEMDDHPPQKPLKVQTQSGVGGKGQAAARKSRKEKNKKKRSYINDTTEVKSSKKAVTGSSSLSFVSQDEATKSNGVLDNLSVEHSVPTDTISHTNILEPISSPTEPDNQLFKEDIALHVQDHEVGSTNGFCHKGTGHQQDSKDISANEIIPTRQESSNYKRECNVLPPIAPKPGSVFIGEGINEHSASKIQENSPSGVSVNALDIKEGVSVIQVQDKKFYNTAPTPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPSIESGCNRILSRPMPMSLDWPPMVRSASGLAPSVTYNYDSGFISRRQTAFQQSFASQNFQFNMKSFEDDRKYSGDFFDLPDPANTSELADEYDSHYISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDLPGTGFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTATPFCSPFDPLGPGHQAVSYVVPGNEVSSKVLHSASATPDAATEEEASGSFTNLSSDVDAKTGDSLPYPILRPIIIPNISRERSKSDFKRGHDHKSPRVAPTRREQPRIRRPPSPVVLCVPRAPRPPPPSPVSDSRKQRGFPTVRSGSSSPRHWGMRGLYYDGTNSEDACVCMDGTEVVWPSWRSKNLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSMHNFLNDEIDSFWKQVAAENMACKPYINWAVKRVTRSLQVLWPRSRTNVFGSNATGLALPSSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSASSNVQSPTDEQIDRTAEHGEHAHSDTVALDDSASPKCSQINYGNTKGVKSVRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMRVSVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILEDELSCLSSNTTSSSNPPCRLLQKIIPSITLS >KJB41065 pep chromosome:Graimondii2_0_v6:7:6457260:6468824:1 gene:B456_007G088700 transcript:KJB41065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MSKSNPNFPPQQLSKSLTKSFMAQNQVMDSLTSHISLYHCNSLSQNPNPNPNSNSRSSILRWFSSLTVHQRQAHLTTVDSKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRGLLSRVAQSNESERRVFESVRLFGSREGEKIDDCTCSVSSLDSMTVTEELVENVDRFVEIMDEVSNGAFLRGDESELVSDWIELEWLKSKGYYSVEAFVVNRLEVALRLAWLNCNNGKRRGMKLKEKMKAAGVAANVYWRKKGCLDWWVNLGDATRKKVFNTIFGKAAKSLTFEVLKAAGSASENEMWLFSAGAVERPMRYTNYGEKKLGTTRKPLEDAEFGMTVSANSHSGKPTSLTNAFSSLFVLRDIVTVVLSCHHHCDVGKVFFSSLSSVSSIIDSILRKLRGYLMFISLDCTKLELLGEGNFNSSSDKSKDQFSASSRKKKVKSRNIKNQNPVLKMEMDDHPPQKPLKVQTQSGVGGKGQAAARKSRKEKNKKKRSYINDTTEVKSSKKAVTGSSSLSFVSQDEATKSNGVLDNLSVEHSVPTDTISHTNILEPISSPTEPDNQLFKEDIALHVQDHEVGSTNGFCHKGTGHQQDSKDISANEIIPTRQESSNYKRECNVLPPIAPKPGSVFIGEGINEHSASKIQENSPSGVSVNALDIKEGVSVIQVQDKKFYNTAPTPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPSIESGCNRILSRPMPMSLDWPPMVRSASGLAPSVTYNYDSGFISRRQTAFQQSFASQNFQFNMKSFEDDRKYSGDFFDLPDPANTSELADEYDSHYISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDLPGTGFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTATPFCSPFDPLGPGHQAVSYVVPGNEVSSKVLHSASATPDAATEEEASGSFTNLSSDVDAKTGDSLPYPILRPIIIPNISRERSKSDFKRGHDHKSPRVAPTRREQPRIRRPPSPVVLCVPRAPRPPPPSPVSDSRKQRGFPTVRSGSSSPRHWGMRGLYYDGTNSEDACVCMDGTEVVWPSWRSKNLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSMHNFLNDEIDSFWKQVAAENMACKPYINWAVKRVTRSLQVLWPRSRTNVFGSNATGLALPSSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSASSNVQSPTDEQIDRTAEHGEHAHSDTVALDDSASPKCSQINYGNTKGVKSVRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMRVSVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILEDELSCLSSNTTSSSNPPCRLLQKIIPSITLS >KJB41058 pep chromosome:Graimondii2_0_v6:7:6459456:6466990:1 gene:B456_007G088700 transcript:KJB41058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MESTKTHVIPHDKDVQTQSGVGGKGQAAARKSRKEKNKKKRSYINDTTEVKSSKKAVTGSSSLSFVSQDEATKSNGVLDNLSVEHSVPTDTISHTNILEPISSPTEPDNQLFKEDIALHVQDHEVGSTNGFCHKGTGHQQDSKDISANEIIPTRQESSNYKRECNVLPPIAPKPGSVFIGEGINEHSASKIQENSPSGVSVNALDIKEGVSVIQVQDKKFYNTAPTPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPSIESGCNRILSRPMPMSLDWPPMVRSASGLAPSVTYNYDSGFISRRQTAFQQSFASQNFQFNMKSFEDDRKYSGDFFDLPDPANTSELADEYDSHYISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDLPGTGFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTATPFCSPFDPLGPGHQAVSYVVPGNEVSSKVLHSASATPDAATEEEASGSFTNLSSDVDAKTGDSLPYPILRPIIIPNISRERSKSDFKRGHDHKSPRVAPTRREQPRIRRPPSPVVLCVPRAPRPPPPSPVSDSRKQRGFPTVRSGSSSPRHWGMRGLYYDGTNSEDACVCMDGTEVVWPSWRSKNLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSMHNFLNDEIDSFWKQVAAENMACKPYINWAVKRVTRSLQVLWPRSRTNVFGSNATGLALPSSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSASSNVQSPTDEQIDRTAEHGEHAHSDTVALDDSASPKCSQINYGNTKGVKSVRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMRVSVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILEDELSCLSSNTTSSSNPPCRLLQKIIPSITLS >KJB41055 pep chromosome:Graimondii2_0_v6:7:6457260:6464954:1 gene:B456_007G088700 transcript:KJB41055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MSKSNPNFPPQQLSKSLTKSFMAQNQVMDSLTSHISLYHCNSLSQNPNPNPNSNSRSSILRWFSSLTVHQRQAHLTTVDSKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRGLLSRVAQSNESERRVFESVRLFGSREGEKIDDCTCSVSSLDSMTVTEELVENVDRFVEIMDEVSNGAFLRGDESELVSDWIELEWLKSKGYYSVEAFVVNRLEVALRLAWLNCNNGKRRGMKLKEKMKAAGVAANVYWRKKGCLDWWVNLGDATRKKVFNTIFGKAAKSLTFEVLKAAGSASENEMWLFSAGAVERPMRYTNYGEKKLGTTRKPLEDAEFGMTVSANSHSGKPTSLTNAFSSLFVLRDIVTVVLSCHHHCDVGKVFFSSLSSVSSIIDSILRKLRGYLMFISLDCTKLELLGEGNFNSSSDKSKDQFSASSRKKKVKSRNIKNQNPVLKMEMDDHPPQKPLKDLEYKSTHNKKADLMESTKTHVIPHDKDVQTQSGVGGKGQAAARKSRKEKNKKKRSYINDTTEVKSSKKAVTGSSSLSFVSQDEATKSNGVLDNLSVEHSVPTDTISHTNILEPISSPTEPDNQLFKEDIALHVQDHEVGSTNGFCHKGTGHQQDSKDISANEIIPTRQESSNYKRECNVLPPIAPKPGSVFIGEGINEHSASKIQENSPSGVSVNALDIKEGVSVIQVQDKKFYNTAPTPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPSIESGCNRILSRPMPMSLDWPPMVRSASGLAPSVTYNYDSGFISRRQTAFQQSFASQNFQFNMKSFEDDRKYSGDFFDLPDPANTSELADEYDSHYISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDLPGTGFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTATPFCSPFDPLGPGHQAVSYVVPGNEVSSKVLHSASATPDAATEEEASGSFTNLSSDVDAKTGDSLPYPILRPIIIPNISRERSKSDFKRGHDHKSPRVAPTRREQPRIRRPPSPVVLCVPRAPRPPPPSPVSDSRKQRGFPTVRSGSSSPRHWGMRGLYYDGTNSEDACVCMDGTEVVWPSWRSKNLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSMHNFLNDEIDSFWKQVAAENMACKPYINWAVKRVTRSLQVLWPRSRTNVFGSNATGLALPSSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSASSNVQSPTDEQIDRTAEHGEHAHSDTVALDDSASPKCSQINYGNTKGVKSVRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQSRYKVV >KJB41059 pep chromosome:Graimondii2_0_v6:7:6457260:6468824:1 gene:B456_007G088700 transcript:KJB41059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MSKSNPNFPPQQLSKSLTKSFMAQNQVMDSLTSHISLYHCNSLSQNPNPNPNSNSRSSILRWFSSLTVHQRQAHLTTVDSKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRGLLSRVAQSNESERRVFESVRLFGSREGEKIDDCTCSVSSLDSMTVTEELVENVDRFVEIMDEVSNGAFLRGDESELVSDWIELEWLKSKGYYSVEAFVVNRLEVALRLAWLNCNNGKRRGMKLKEKMKAAGVAANVYWRKKGCLDWWVNLGDATRKKVFNTIFGKAAKSLTFEVLKAAGSASENEMWLFSAGAVERPMRYTNYGEKKLGTTRKPLEDAEFGMTVSANSHSGKPTSLTNAFSSLFVLRDIVTVVLSCHHHCDVGKVFFSSLSSVSSIIDSILRKLRGYLMFISLDCTKLELLGEGNFNSSSDKSKDQFSASSRKKKVKSRNIKNQNPVLKMEMDDHPPQKPLKDLEYKSTHNKKADLMESTKTHVIPHDKDVQTQSGVGGKGQAAARKSRKEKNKKKRSYINDTTEVKSSKKAVTGSSSLSFVSQDEATKSNGVLDNLSVEHSVPTDTISHTNILEPISSPTEPDNQLFKEDIALHVQDHEVGSTNGFCHKGTGHQQDSKDISANEIIPTRQESSNYKRECNVLPPIAPKPGSVFIGEGINEHSASKIQENSPSGVSVNALDIKEGVSVIQVQDKKFYNTAPTPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPSIESGCNRILSRPMPMSLDWPPMVRSASGLAPSVTYNYDSGFISRRQTAFQQSFASQNFQFNMKSFEDDRKYSGDFFDLPDPANTSELADEYDSHYISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDLPGTGFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTATPFCSPFDPLGPGHQAVSYVVPGNEVSSKVLHSASATPDAATEEEASGSFTNLSSDVDAKTGDSLPYPILRPIIIPNISRERSKSDFKRGHDHKSPRVAPTRREQPRIRRPPSPVVLCVPRAPRPPPPSPVSDSRKQRGFPTVRSGSSSPRHWGMRGLYYDGTNSEDACVCMDGTEVVWPSWRSKNLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSMHNFLNDEIDSFWKQVAAENMACKPYINWAVKRVTRSLQVLWPRSRTNVFGSNATGLALPSSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSASSNVQSPTDEQIDRTAEHGEHAHSDTVALDDSASPKCSQINYGNTKGVKSVRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMRVSVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILEDELSCLSSNTTSSSNPPCRLLQKIIPSITLS >KJB42063 pep chromosome:Graimondii2_0_v6:7:10963710:10975800:1 gene:B456_007G134800 transcript:KJB42063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRKSASKAAAAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYSSDWKKVMVYFFGTQQIAFCNPADVEAFTEEKKQSLLTKRQGKGADFVRAVQEIIDSYEKSKKRDQVDNYNSADGVTQANCGNSGDSSVSKDLTDTCEATEIALADARIDALHEKESVSEQPLDTLLVKEEPILTTYSSRKRSGIVQCQKSIGQQKASPVQRGRSSTRVESSRFQNFMMSSNDGRCVSNVSANVIQNGSQRRKKQIRKSTDASECDDVDLPVLMLNGRIDENGSDIATFDSDAGSFNDGSSMDCSCKPEHPGTVVECLEGDAELSNGLDFQIKALVIKRKRKPFRKLVNIDPAEPLAEADLSLGISNTRQNLQNTCDNLNERYSKDDGDEHLPLLKRARVRMGKLLAADEFVSSSPMEEKPISEGTVNLLHLQQMSPSSSDNDSPTERDSLSLKGALINVSPSKGDSEVQGSRLESMKVLRNQLGCLAGGEAALPPSKRLHRALEAMSANAADEDQAIAELSATMKTLDDESHDSLLSSHVTVEDKEANVLEEHGRDLIANSDSGMFFVSNSMPSDKFVESSVEPLVCCQPVKSPKNQKHVLDEDVFVEPMNHVSCNTHNSQCLDHSSPNPEKSQATFRSNCGSLYQKFTSNDDLDAEPAGLSNFGAENPDEQFNTSEHADMSSDPVTVTGKTCKVSPQDGSKSEPLKSQINDSSLVNSMHEVEEEFQPEMRQKTTSSLNLDDNSDKDVAGAQLSPCSADGVDSPARVSPSNASLCHVSTSDSASIVHSNGYCSPNVHLCPNKVLSVSNADDEAKADSVTFERPKSVSKCSTYTDAQAVLSSFENMLVILTRTKESIARATRIAIDCAKFGVSANKVVEIIARNLERESSLHKRVDLFFLVDSITQCSRGLKGDVGDIYPSAIQAALPRLLNAAAPPGPNAQENHRQCLKVLRLWLERRILPESVVRHHIRELDSLSVSSSGGVFSRRSARTERALDDPIRDMEGMLVDEYGSNSSFQLPGFCMPRMLNEEDEGSDSDGESFEAVTPEHYSGGPEEQEANPASEKRRHILEDVDGELEMEDVAPEIEMSSTSCAAGINTAQTLQEHCDQHFPLPFAPPLPHDVRPSSPPLPSSPPPPPPPPPPLPPPIPRPISGPYTNNVDSTIHTSIQERQDDLRSMVPPSVAPRIDSTVCTNTVQYNGPDPRNPPVMQVSDCNTAFNSCPVPPVNNIQQPDGQNFHNAYPPQPLLPAPTNQFAYVNSAPHVNLMRDAPPPYTDRYSSLNFDGANYYHSHERMNLAPNEPRESWRYPPPPFSGPWYADNANSSYGHGHGSYGGPQCEPTRFPNEGWGFRPPPMDHRNFFPGRPPAEASCIWQPR >KJB42065 pep chromosome:Graimondii2_0_v6:7:10963710:10975800:1 gene:B456_007G134800 transcript:KJB42065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRKSASKAAAAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYSSDWKKVMVYFFGTQQIAFCNPADVEAFTEEKKQSLLTKRQGKGADFVRAVQEIIDSYEKSKKRDQVDNYNSADGVTQANCGNSGDSSVSKDLTDTCEATEIALADARIDALHEKESVSEQPLDTLLVKEEPILTTYSSRKRSGIVQCQKSIGQQKASPVQRGRSSTRVESSRFQNFMMSSNDGRCVSNVSANVIQNGSQRRKKQIRKSTDASECDDVDLPVLMLNGRIDENGSDIATFDSDAGSFNDGSSMDCSCKPEHPGTVVECLEGDAELSNGLDFQIKALVIKRKRKPFRKLVNIDPAEPLAEADLSLGISNTRQNLQNTCDNLNERYSKDDGDEHLPLLKRARVRMGKLLAADEFVSSSPMEEKPISEGTVNLLHLQQMSPSSSDNDSPTERDSLSLKGALINVSPSKGDSEVQGSRLESMKVLRNQLGCLAGGEAALPPSKRLHRALEAMSANAADEDQAIAELSATMKTLDDESHDSLLSSHVTVEDKEANVLEEHGRDLIANSDSGMFFVSNSMPSDKFVESSVEPLVCCQPVKSPKNQKHVLDEDVFVEPMNHVSCNTHNSQCLDHSSPNPEKSQATFRSNCGSLYQKFTSNDDLDAEPAGLSNFGAENPDEQFNTSEHADMSSDPVTVTGKTCKVSPQDGSKSEPLKSQINDSSLVNSMHEVEEEFQPEMRQKTTSSLNLDDNSDKDVAGAQLSPCSADGVDSPARVSPSNASLCHVSTSDSASIVHSNGYCSPNVHLCPNKVLSVSNADDEAKADSVTFERPKSVSKCSTYTDAQAVLSSFENMLVILTRTKESIARATRIAIDCAKFGVSANKVVEIIARNLERESSLHKRVDLFFLVDSITQCSRGLKGDVGDIYPSAIQAALPRLLNAAAPPGPNAQENHRQCLKVLRLWLERRILPESVVRHHIRELDSLSVSSSGGVFSRRSARTERALDDPIRDMEGMLVDEYGSNSSFQLPGFCMPRMLNEEDEGSDSDGESFEAVTPEHYSGGPEEQEANPASEKRRHILEDVDGELEMEDVAPEIEMSSTSCAAGINTAQTLQEHCDQHFPLPFAPPLPHDVRPSSPPLPSSPPPPPPPPPPLPPPIPRPISGPYTNNVDSTIHTSIQERQDDLRSMVPPSVAPRIDSTVCTNTVQYNGPDPRNPPVMQVSDCNTAFNSCPVPPVNNIQQPDGQNFHNAYPPQPLLPAPTNQFAYVNSAPHVNLMRDAPPPYTDRYSSLNFDGANYYHSHERMNLAPNEPRESWRYPPPPFSGPWYADNANSSYGHGHGSYGGPQCEPTRFPNEGWGFRPPPMDHRNFFPGRPPAEASCIWQPR >KJB42064 pep chromosome:Graimondii2_0_v6:7:10963710:10975800:1 gene:B456_007G134800 transcript:KJB42064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRKSASKAAAAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYSSDWKKVMVYFFGTQQIAFCNPADVEAFTEEKKQSLLTKRQGKGADFVRAVQEIIDSYEKSKKRDQVDNYNSADGVTQANCGNSGDSSVSKDLTDTCEATEIALADARIDALHEKESVSEQPLDTLLVKEEPILTTYSSRKRSGIVQCQKSIGQQKASPVQRGRSSTRVESSRFQNFMMSSNDGRCVSNVSANVIQNGSQRRKKQIRKSTDASECDDVDLPVLMLNGRIDENGSDIATFDSDAGSFNDGSSMDCSCKPEHPGTVVECLEGDAELSNGLDFQIKALVIKRKRKPFRKLVNIDPAEPLAEADLSLGISNTRQNLQNTCDNLNERYSKDDGDEHLPLLKRARVRMGKLLAADEFVSSSPMEEKPISEGTVNLLHLQQMSPSSSDNDSPTERDSLSLKGALINVSPSKGDSEVQGSRLESMKVLRNQLGCLAGGEAALPPSKRLHRALEAMSANAADEDQAIAELSATMKTLDDESHDSLLSSHVTVEDKEANVLEEHGRDLIANSDSGMFFVSNSMPSDKFVESSVEPLVCCQPVKSPKNQKHVLDEDVFVEPMNHVSCNTHNSQCLDHSSPNPEKSQATFRSNCGSLYQKFTSNDDLDAEPAGLSNFGAENPDEQFNTSEHADMSSDPVTVTGKTCKVSPQDGSKSEPLKSQINDSSLVNSMHEVEEEFQPEMRQKTTSSLNLDDNSDKDVAGAQLSPCSADGVDSPARVSPSNASLCHVSTSDSASIVHSNGYCSPNVHLCPNKVLSVSNADDEAKADSVTFERPKSVSKCSTYTDAQAVLSSFENMLVILTRTKESIARATRIAIDCAKFGVSANKVVEIIARNLERESSLHKRVDLFFLVDSITQCSRGLKGDVGDIYPSAIQAALPRLLNAAAPPGPNAQENHRQCLKVLRLWLERRILPESVVRHHIRELDSLSVSSSGGVFSRRSARTERALDDPIRDMEGMLVDEYGSNSSFQLPGFCMPRMLNEEDEGSDSDGESFEAVTPEHYSGGPEEQEANPASEKRRHILEDVDGELEMEDVAPEIEMSSTSCAAGINTAQTLQEHCDQHFPLPFAPPLPHDVRPSSPPLPSSPPPPPPPPPPLPPPIPRPISGPYTNNVDSTIHTSIQERQDDLRSMVPPSVAPRIDSTVCTNTVQYNGPDPRNPPVMQVSDCNTAFNSCPVPPVNNIQQPDGQNFHNAYPPQPLLPAPTNQFAYVNSAPHVNLMRDAPPPYTDRYSSLNFDGANYYHSHERMNLAPNEPRESWRYPPPPFSGPWYADNANSSYGHGHGSYGGPQCEPTRFPNEGWGFRPPPMDHRNFFPGRPPAEGMVPIGSRGSHFSCEIVV >KJB42066 pep chromosome:Graimondii2_0_v6:7:10963710:10975800:1 gene:B456_007G134800 transcript:KJB42066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRKSASKAAAAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYSSDWKKVMVYFFGTQQIAFCNPADVEAFTEEKKQSLLTKRQGKGADFVRAVQEIIDSYEKSKKRDQVDNYNSADGVTQANCGNSGDSSVSKDLTDTCEATEIALADARIDALHEKESVSEQPLDTLLVKEEPILTTYSSRKRSGIVQCQKSIGQQKASPVQRGRSSTRVESSRFQNFMMSSNDGRCVSNVSANVIQNGSQRRKKQIRKSTDASECDDVDLPVLMLNGRIDENGSDIATFDSDAGSFNDGSSMDCSCKPEHPGTVVECLEGDAELSNGLDFQIKALVIKRKRKPFRKLVNIDPAEPLAEADLSLGISNTRQNLQNTCDNLNERYSKDDGDEHLPLLKRARVRMGKLLAADEFVSSSPMEEKPISEGTVNLLHLQQMSPSSSDNDSPTERDSLSLKGALINVSPSKGDSEVQGSRLESMKVLRNQLGCLAGGEAALPPSKRLHRALEAMSANAADEDQAIAELSATMKTLDDESHDSLLSSHVTVEDKEANVLEEHGRDLIANSDSGMFFVSNSMPSDKFVESSVEPLVCCQPVKSPKNQKHVLDEDVFVEPMNHVSCNTHNSQCLDHSSPNPEKSQATFRSNCGSLYQKFTSNDDLDAEPAGLSNFGAENPDEQFNTSEHADMSSDPVTVTGKTCKVSPQDGSKSEPLKSQINDSSLVNSMHEVEEEFQPEMRQKTTSSLNLDDNSDKDVAGAQLSPCSADGVDSPARVSPSNASLCHVSTSDSASIVHSNGYCSPNVHLCPNKVLSVSNADDEAKADSVTFERPKSVSKCSTYTDAQAVLSSFENMLVILTRTKESIARATRIAIDCAKFGVSANKVVEIIARNLERESSLHKRVDLFFLVDSITQCSRGLKGDVGDIYPSAIQAALPRLLNAAAPPGPNAQENHRQCLKVLRLWLERRILPESVVRHHIRELDSLSVSSSGGVFSRRSARTERALDDPIRDMEGMLVDEYGSNSSFQLPGFCMPRMLNEEDEGSDSDGESFEAVTPEHYSGGPEEQEANPASEKRRHILEDVDGELEMEDVAPEIEMSSTSCAAGINTAQTLQEHCDQHFPLPFAPPLPHDVRPSSPPLPSSPPPPPPPPPPLPPPIPRPISGPYTNNVDSTIHTSIQERQDDLRSMVPPSVAPRIDSTVCTNTVQYNGPDPRNPPVMQVSDCNTAFNSCPVPPVNNIQQPDGQNFHNAYPPQPLLPAPTNQFAYVNSAPHVNLMRDAPPPYTDRYSSLNFDGANYYHSHERMNLAPNEPRESWRYPPPPFSGPWYADNANSSYGHGHGSYGGPQCEPTRFPNEGWGFRPPPMDHRNFFPGRPPAEGMVPIGSRGSHFSCEIVV >KJB40556 pep chromosome:Graimondii2_0_v6:7:4893170:4896152:1 gene:B456_007G069100 transcript:KJB40556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQSQRLNVVPTVTMLGIMKARLVGATRGHALLKKKSDALTVQFRQILKKIVSTKESMGEIMKTSSFSLTEAKYVAGENIKHVVLESVQTASLKVRSRQENVAGVKLPKFEYFTEGETKNDLTGLARGGQQVQQCRAAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIEKQLAAAKEFVEDKFAEKISLQKGISINAAHNLLSAAREKDEDIIF >KJB40557 pep chromosome:Graimondii2_0_v6:7:4893170:4896152:1 gene:B456_007G069100 transcript:KJB40557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQSQRLNVVPTVTMLGIMKARLVGATRGHALLKKKSDALTVQFRQILKKIVSTKESMGEIMKTSSFSLTEAKYVAGENIKHVVLESVQTASLKVRSRQENVAGVKLPKFEYFTEGETKNDLTGLARGGQQVQQCRAAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIEKQLAAAKEFVEDKFAEKISLQKGISINAAHNLLSAAREKDEDIIF >KJB40558 pep chromosome:Graimondii2_0_v6:7:4893169:4896152:1 gene:B456_007G069100 transcript:KJB40558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQSQRLNVVPTVTMLGIMKARLVGATRGHALLKKKSDALTVQFRQILKKIVSTKESMGEIMKTSSFSLTEAKYVAGENIKHVVLESVQTASLKVRSRQENVAGVKLPKFEYFTEGETKNDLTGLARGGQQVQQCRAAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIEKQLAAAKEFVEDKFAEKISLQKGISINAAHNLLSAAREKDEDIIF >KJB42466 pep chromosome:Graimondii2_0_v6:7:13200297:13201314:-1 gene:B456_007G154400 transcript:KJB42466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATEAEEGLLKLVHPGRYVEIHYEPIIASEVLKRNPRHSVTRPDVFEYPWIVVRPESVLKLGRVFYIVPNLTIYKLIKEKGYTVQPSLQQKKSPKSYVQRQLLEQSSPSKSSAGTTPKHEDYCQSNWQQFQTTGLEESRLQEQACGERINGPSKLESLADMITKHQSTYKEFIQMSDTDSPSKTESTGEKECHAHEVCSNKKEASEQDQALGDQCEKHITILRTCLRKHDSSRKLLHLKVSFYLSPDNEERKRKVAESEGFITC >KJB40338 pep chromosome:Graimondii2_0_v6:7:4188308:4190026:1 gene:B456_007G059000 transcript:KJB40338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKFTFCQKVANSSQNNGQTIHNKSAEDQRRETHSLNMGFDQKRGVKRGKNGKNERKKGPGETGNVKGSFWTACSYCYYKFEYEKKYEECRLRCRNCRKGFHAVAVAPPPEGLAVKYSSGNSSMGDKKETRRRGKGKRHDVVEISDSDDEKKELGVKIEANKVKAEGFNNSEGSVVMKRLKSVARNTKKIAGRGIKIKKVENGIADGCNEDEVEVVDDIFVGLRDIS >KJB43771 pep chromosome:Graimondii2_0_v6:7:23544189:23546895:-1 gene:B456_007G214800 transcript:KJB43771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNVNLNMFHYKNINNNCYNYNNGTCLPPPPSSDDISNLLHQILGHSSSYSSSSSSSSGMAHFGGPSENPRRLSPSPAPPGGGVKQGGGWGLVGAPGENDTDEYDCESEFEICHKQEGIEALVDEALPKPAPPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLHPMCLPGVLQPLQFSQTRMNFGEENGSLPMNMSDTVPANPETSVQMVFDLPNQCCSSNPGSVPNMSNIITSETSFGLESIQAHFGPFQFLSSSQDICREDILHHHQLKTNTPEFGLAATTTVSLPFDTRESDLKESCSLDASTMRREQSNSVLLKNLDHDLILSPHHTR >KJB43769 pep chromosome:Graimondii2_0_v6:7:23543691:23546895:-1 gene:B456_007G214800 transcript:KJB43769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNVNLNMFHYKNINNNCYNYNNGTCLPPPPSSDDISNLLHQILGHSSSYSSSSSSSSGMAHFGGPSENPRRLSPSPAPPGGGVKQGGGWGLVGAPGENDTDEYDCESEFEICHKQEGIEALVDEALPKPAPPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLHPMCLPGVLQPLQFSQTRMNFGEENGSLPMNMSDTVPANPETSVQMVFDLPNQCCSSNPGSVPNMSNIITSETSFGLESIQAHFGPFQFLSSSQDICREDILHHHQLKTNTPEFGLAATTTVSLPFDTRESDLKESCSLDASTMRREQSNSVLLKNLDHDLILSPHHTRKQAGRSDTGDEIGIDTPNF >KJB43766 pep chromosome:Graimondii2_0_v6:7:23543598:23547058:-1 gene:B456_007G214800 transcript:KJB43766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNVNLNMFHYKNINNNCYNYNNGTCLPPPPSSDDISNLLHQILGHSSSYSSSSSSSSGMAHFGGPSENPRRLSPSPAPPGGGVKQGGGWGLVGAPGENDTDEYDCESEFEICHKQEGIEALVDEALPKPAPPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLHPMCLPGVLQPLQFSQTRMNFGEENGSLPMNMSDTVPANPETSVQMVFDLPNQCCSSNPGSVPNMSNIITSETSFGLESIQAHFGPFQFLSSSQGRHSASSSTEDKHTRIWSSCNNHSFTSL >KJB43767 pep chromosome:Graimondii2_0_v6:7:23543598:23547058:-1 gene:B456_007G214800 transcript:KJB43767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNVNLNMFHYKNINNNCYNYNNGTCLPPPPSSDDISNLLHQILGHSSSYSSSSSSSSGMAHFGGPSENPRRLSPSPAPPGGGVKQGGGWGLVGAPGENDTDEYDCESEEGIEALVDEALPKPAPPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLHPMCLPGVLQPLQFSQTRMNFGEENGSLPMNMSDTVPANPETSVQMVFDLPNQCCSSNPGSVPNMSNIITSETSFGLESIQAHFGPFQFLSSSQGRHSASSSTEDKHTRIWSSCNNHSFTSL >KJB43770 pep chromosome:Graimondii2_0_v6:7:23544403:23546895:-1 gene:B456_007G214800 transcript:KJB43770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNVNLNMFHYKNINNNCYNYNNGTCLPPPPSSDDISNLLHQILGHSSSYSSSSSSSSGMAHFGGPSENPRRLSPSPAPPGGGVKQGGGWGLVGAPGENDTDEYDCESEEGIEALVDEALPKPAPPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLHPMCLPGVLQPLQFSQTRMNFGEENGSLPMNMSDTVPANPETSVQMVFDLPNQCCSSNPGSVPNMSNIITSETSFGLESIQAHFGPFQFLSSSQDICREDILHHHQLKTNTPGMHKSLQFST >KJB43772 pep chromosome:Graimondii2_0_v6:7:23543691:23546895:-1 gene:B456_007G214800 transcript:KJB43772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNVNLNMFHYKNINNNCYNYNNGTCLPPPPSSDDISNLLHQILGHSSSYSSSSSSSSGMAHFGGPSENPRRLSPSPAPPGGGVKQGGGWGLVGAPGENDTDEYDCESEEGIEALVDEALPKPAPPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLHPMCLPGVLQPLQFSQTRMNFGEENGSLPMNMSDTVPANPETSVQMVFDLPNQCCSSNPGSVPNMSNIITSETSFGLESIQAHFGPFQFLSSSQGL >KJB43768 pep chromosome:Graimondii2_0_v6:7:23543691:23546895:-1 gene:B456_007G214800 transcript:KJB43768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNVNLNMFHYKNINNNCYNYNNGTCLPPPPSSDDISNLLHQILGHSSSYSSSSSSSSGMAHFGGPSENPRRLSPSPAPPGGGVKQGGGWGLVGAPGENDTDEYDCESEEGIEALVDEALPKPAPPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLHPMCLPGVLQPLQFSQTRMNFGEENGSLPMNMSDTVPANPETSVQMVFDLPNQCCSSNPGSVPNMSNIITSETSFGLESIQAHFGPFQFLSSSQDICREDILHHHQLKTNTPEFGLAATTTVSLPFDTRESDLKESCSLDASTMRREQSNSVLLKNLDHDLILSPHHTRKQAGRSDTGDEIGIDTPNF >KJB43639 pep chromosome:Graimondii2_0_v6:7:22568512:22572816:1 gene:B456_007G210400 transcript:KJB43639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIVTVQVGSFANFIGSHFWNFQDEMLGLAADPYGDPAFKTQSLNMDVIYRTGETHQGTTTYTPRLLSIDFQGSLGSVSSKGTLYSEGSNEPSEVVTWAGHVSTHASEPQKKNLFLQSLYQEEQDAPLTNGINGEAKDSQHEIQDTDIVNCLDEGVQFWTDFSKVHYHPQSLYEVNGLWMDAKQFDNYGIGRDVFMENLRGEEICDKLRFFIEECDHIQGFQFIVDDSGGFSPLAADFLESVSDEYTNTPVLLYAVRGPSSHMSLSSRKQTVVRDLHDAVSFSRLSSFCKMIVPVGLPSLSRSKTSTFLKIKDENPYHCSAVYAAALHSTSLPFRMEPLGATADLSDVSGAVDVNGFVQMLAGQSRQNMVAILDAAMPGPCLSGMETRQAILAWEFASIDSRGCRGCG >KJB43637 pep chromosome:Graimondii2_0_v6:7:22568512:22572816:1 gene:B456_007G210400 transcript:KJB43637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIVTVQVGSFANFIGSHFWNFQDEMLGLAADPYGDPAFKTQSLNMDVIYRTGETHQGTTTYTPRLLSIDFQGSLGSVSSKGTLYSEGSNEPSEVVTWAGHVSTHASEPQKKNLFLQSLYQEEQDAPLTNGINGEAKDSQHEIQDTDIVNCLDEGVQFWTDFSKVHYHPQSLYEVNGLWMDAKQFDNYGIGRDVFMENLRGEEICDKLRFFIEECDHIQGFQFIVDDSGGFSPLAADFLESVSDEYTNTPVLLYAVRGPSSHMSLSSRKQTVVRDLHDAVSFSRLSSFCKMIVPVGLPSLSRMLCMLRRYTLQVSHSAWSHLVLLQIYLMYLVLWMLMGLYKC >KJB43636 pep chromosome:Graimondii2_0_v6:7:22568456:22573015:1 gene:B456_007G210400 transcript:KJB43636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIVTVQVGSFANFIGSHFWNFQDEMLGLAADPYGDPAFKTQSLNMDVIYRTGETHQGTTTYTPRLLSIDFQGSLGSVSSKGTLYSEGSNEPSEVVTWAGHVSTHASEPQKKNLFLQSLYQEEQDAPLTNGINGEAKDSQHEIQDTDIVNCLDEGVQFWTDFSKVHYHPQSLYEVNGLWMDAKQFDNYGIGRDVFMENLRGEEICDKLRFFIEECDHIQGFQFIVDDSGGFSPLAADFLESVSDEYTNTPVLLYAVRGPSSHMSLSSRKQTVVRDLHDAVSFSRLSSFCKMIVPVGLPSLSRSKTSTFLKIKDENPYHCSAVYAAALHSTSLPFRMEPLGATADLSDVSGAVDVNGFVQMLAGQSRQNMVAILDAAMPGPCLSGKQDKQSLLGNLHPLTPEVAEDVDDLQAVESMIVHGAIGSGGHRLSVSEVKNAIHGVYECATTRPMFCHLSAARCPLPIPLPFPSIFGNLVGQHGELLGSPILGSSSRGSLDVHSIPMAARIRSSRAIMPFLENRLANLRKFGIERGCPGTELLRSWGFGKDELEDMGETLSKMVRTVDPYSDISSDSD >KJB43638 pep chromosome:Graimondii2_0_v6:7:22568667:22571481:1 gene:B456_007G210400 transcript:KJB43638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIVTVQVGSFANFIGSHFWNFQDEMLGLAADPYGDPAFKTQSLNMDVIYRTGETHQGTTTYTPRLLSIDFQGSLGSVSSKGTLYSEGSNEPSEVVTWAGHVSTHASEPQKKNLFLQSLYQEEQDAPLTNGINGEAKDSQHEIQDTDIVNCLDEGVQFWTDFSKVHYHPQSLYEVNGLWMDAKQFDNYGIGRDVFMENLRGEEICDKLRFFIEECDHIQGFQFIVDDSGGFSPLAADFLESVSDEYTNTPVLLYAVRGPSSHMSLSSRKQTVVRDLHDAVSFSRLSSFCKMIVPVGLPSLSRSKTSTFLKIKDENPYHCSAVYAAALHSTSLPFRMEPLGATADLSDVSGAVDVNGFVQMLAGQSRQNMVAILDAAMPGPCLSGML >KJB39123 pep chromosome:Graimondii2_0_v6:7:5716121:5717907:-1 gene:B456_007G080100 transcript:KJB39123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCELSANLSKKCTAAAALGGEQKKQKVSDIRAGLENAEDLIRKMDLEARSLQPNVKTMLLAKLREYKSDLNNLKSEVKRIASGNINPDARDQLLESGMADALTMNM >KJB39121 pep chromosome:Graimondii2_0_v6:7:5715023:5718173:-1 gene:B456_007G080100 transcript:KJB39121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCELSANLSKKCTAAAALGGEQKKQKVSDIRAGLENAEDLIRKMDLEARSLQPNVKTMLLAKLREYKSDLNNLKSEVKRIASGNINPDARDQLLESGMADALTASTNQRSRLVMTTERLNQSSDRIRDSRRTMLETEELGVSILQDLHSQRQALLHANNTLHGVDDNIGKSKRILTAMSRRMNRNKWIIGIIIAVLIITIAAVLYFKRVK >KJB39118 pep chromosome:Graimondii2_0_v6:7:5715417:5717061:-1 gene:B456_007G080100 transcript:KJB39118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKKQKVSDIRAGLENAEDLIRKMDLEARSLQPNVKTMLLAKLREYKSDLNNLKSEVKRIASGNINPDARDQLLESGMADALTASTNQRSRLVMTTERLNQSSDRIRDSRRTMLETEELGVSILQDLHSQRQALLHANNTLHGVDDNIGKSKRILTAMSRRMNRNKWIIGIIIAVLIITIAAVLYFKRVK >KJB39117 pep chromosome:Graimondii2_0_v6:7:5715023:5717982:-1 gene:B456_007G080100 transcript:KJB39117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEARSLQPNVKTMLLAKLREYKSDLNNLKSEVKRIASGNINPDARDQLLESGMADALTASTNQRSRLVMTTERLNQSSDRIRDSRRTMLETEELGVSILQDLHSQRQALLHANNTLHGVDDNIGKSKRILTAMSRRMNRNKWIIGIIIAVLIITIAAVLYFKRVK >KJB39120 pep chromosome:Graimondii2_0_v6:7:5715023:5718200:-1 gene:B456_007G080100 transcript:KJB39120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCELSANLSKKCTAAAALGGEQKKQKVSDIRAGLENAEDLIRKMDLEARSLQPNVKTMLLAKLREYKSDLNNLKSEVKRIASGNINPDARDQLLESGMADALTASTNQRSRLVMTTERLNQSSDRIRDSRRTMLETEELGVSILQDLHSQRQALLHANNTLHGVDDNIGKSKRILTAMSRRMNRNKWIIGIIIAVLIITIAAVLYFKRVK >KJB39124 pep chromosome:Graimondii2_0_v6:7:5715023:5718200:-1 gene:B456_007G080100 transcript:KJB39124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCELSANLSKKCTAAAALGGEQKKQKVSDIRAGLENAEDLIRKMDLEARSLQPNVKTMLLAKLREYKSDLNNLKSEVKRIASGNINPDARDQLLESGMADALTASTNQRSRLVMTTERLNQSSDRIRDSRRTMLETEELGVSILQDLHSQRQALLHANNTLHGVDDNIGKSKRILTAMSRRMNRNKWIIGIIIAVLIITIAAVLYFKRVK >KJB39122 pep chromosome:Graimondii2_0_v6:7:5715023:5717982:-1 gene:B456_007G080100 transcript:KJB39122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKKQKVSDIRAGLENAEDLIRKMDLEARSLQPNVKTMLLAKLREYKSDLNNLKSEVKRIASGNINPDARDQLLESGMADALTASTNQRSRLVMTTERLNQSSDRIRDSRRTMLETEELGVSILQDLHSQRQALLHANNTLHGVDDNIGKSKRILTAMSRRMNRNKWIIGIIIAVLIITIAAVLYFKRVK >KJB39119 pep chromosome:Graimondii2_0_v6:7:5716121:5717907:-1 gene:B456_007G080100 transcript:KJB39119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCELSANLSKKCTAAAALGGEQKKQKVSDIRAGLENAEDLIRKMDLEARSLQPNVKTMLLAKLREYKSDLNNLKSEVKRIASGNINPDARDQLLESGMADALTMNM >KJB41372 pep chromosome:Graimondii2_0_v6:7:7524888:7531514:-1 gene:B456_007G101400 transcript:KJB41372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENSILCSVSSLTLSIFILFCCLFGHCNSVEQLGGYDTFTISSFKYPETQIRPFDMHYIRVDLPPWFSSMSIALKSSVNLDIKSAKKVPKSVLPMICFRDGSLPLPDVSSTSLEVALSNRSFDGIQVLQNMEQCYPVPRNMTMKLTNEQISARVLYFGLFNGVGPTRTQSKMIVRNRAYSFTANISVEGCTTSTMQGQYCNQTIELLSCARSGNSSGNGSVSGFFNQSMVLCRNNFETSCHGDGEMKIYSLEILRITESLTISVQNLRLRPLDSIGNSSRIYLMCFARYGAMPLATLHDYSGDLNQSPLVIRFPKVGQWYISILALHLAKEIGGALSNVSKVCYSLEVQELECPLGKAGPNCSSERYMLQTVLRKYSTPFESYYLPDGGDVISDALNFHLEPLLSNYSVGGLDSWTYFLLDVPRGAAGGNLHVRLMSDRKMNYEIYARNGGLPSLINWDYYYVNKTSSSGDSVFFVLYNSSDEKVDFYILYVKEGIWNIALRHLNSTGDISDGQTTMSISLERCPKRCSYHGACKSALDTSGLTLYSFCACDRNHGGFDCSIEIVSHQGHIWQSIALIASNAAAVFPAFWALRQKAFAEWVVYTVSGISSGLYHACDVGTWCVLSFGVLQFMDFWLSFMAVVSTFIYLTTIDEVFKRAIHTAVAILTALMAITKATRSSNIILVMGIGAFGLLLGWLIEFSTNYRSLSCSMGLCLNRLERWPSREWLQNLVNTVMKRFRWGFVLAGFIALAMAAISWNLESSQSYWIWHRTPTDANYQLTQQDSLSQGA >KJB41370 pep chromosome:Graimondii2_0_v6:7:7526545:7531379:-1 gene:B456_007G101400 transcript:KJB41370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENSILCSVSSLTLSIFILFCCLFGHCNSVEQLGGYDTFTISSFKYPETQIRPFDMHYIRVDLPPWFSSMSIALKSSVNLDIKSAKKVPKSVLPMICFRDGSLPLPDVSSTSLEVALSNRSFDGIQVLQNMEQCYPVPRNMTMKLTNEQISARVLYFGLFNGVGPTRTQSKMIVRNRAYSFTANISVEGCTTSTMQGQYCNQTIELLSCARSGNSSGNGSVSGFFNQSMVLCRNNFETSCHGDGEMKIYSLEILRITESLTISVQNLRLRPLDSIGNSSRIYLMCFARYGAMPLATLHDYSGDLNQSPLVIRFPKVGQWYISILALHLAKEIGGALSNVSKVCYSLEVQELECPLGKAGPNCSSERYMLQTVLRKYSTPFESYYLPDGGDVISDALNFHLEPLLSNYSVGGLDSWTYFLLDVPRGAAGGNLHVRLMSDRKMNYEIYARNGGLPSLINWDYYYVNKTSSSGDSVFFVLYNSSDEKVDFYILYVKEGIWNIALRHLNSTGDISDGQTTMSISLERCPKRCSYHGACKSALDTSGLTLYSFCACDRNHGGFDCSIEIVSHQGHIWQSIALIASNAAAVFPAFWALRQKAFAEWVVYTVSGISSGLYHACDVGTWCVLSFGVLQFMDFWLSFMAVVSTFIYLTTIDEVFKRAIHTAVAILTALMAITKATRSSNIILVMGIGAFGLLLGWLIEFSTNYRSLSCSMGLCLNRLERWFLFCTIMKYYA >KJB41373 pep chromosome:Graimondii2_0_v6:7:7524888:7531551:-1 gene:B456_007G101400 transcript:KJB41373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENSILCSVSSLTLSIFILFCCLFGHCNSVEQLGGYDTFTISSFKYPETQIRPFDMHYIRVDLPPWFSSMSIALKSSVNLDIKSAKKVPKSVLPMICFRDGSLPLPDVSSTSLEVALSNRSFDGIQVLQNMEQCYPVPRNMTMKLTNEQISARVLYFGLFNGVGPTRTQSKMIVRNRAYSFTANISVEGCTTSTMQGQYCNQTIELLSCARSGNSSGNGSVSGFFNQSMVLCRNNFETSCHGDGEMKIYSLEILRITESLTISVQNLRLRPLDSIGNSSRIYLMCFARYGAMPLATLHDYSGDLNQSPLVIRFPKVGQWYISILALHLAKEIGGALSNVSKVCYSLEVQELECPLGKAGPNCSSERYMLQTVLRKYSTPFESYYLPDGGDVISDALNFHLEPLLSNYSVGGLDSWTYFLLDVPRGAAGGNLHVRLMSDRKMNYEIYARNGGLPSLINWDYYYVNKTSSSGDSVFFVLYNSSDEKVDFYILYVKEGIWNIALRHLNSTGDISDGQTTMSISLERCPKRCSYHGACKSALDTSGLTLYSFCACDRNHGGFDCSIEIVSHQGHIWQSIALIASNAAAVFPAFWALRQKAFAEWVVYTVSGISSGLYHACDVGTWCVLSFGVLQFMDFWLSFMAVVSTFIYLTTIDEVFKRAIHTAVAILTALMAITKATRSSNIILVMGIGAFGLLLGWLIEFSTNYRSLSCSMGLCLNRLERWPSREWLQNLVNTVMKRFRWGFVLAGFIALAMAAISWNLESSQSYWIWHSVWHVAIYSSSFFFLCSKVITINSGNRTPTDANYQLTQQDSLSQGA >KJB41371 pep chromosome:Graimondii2_0_v6:7:7525992:7531379:-1 gene:B456_007G101400 transcript:KJB41371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENSILCSVSSLTLSIFILFCCLFGHCNSVEQLGGYDTFTISSFKYPETQIRPFDMHYIRVDLPPWFSSMSIALKSSVNLDIKSAKKVPKSVLPMICFRDGSLPLPDVSSTSLEVALSNRSFDGIQVLQNMEQCYPVPRNMTMKLTNEQISARVLYFGLFNGVGPTRTQSKMIVRNRAYSFTANISVEGCTTSTMQGQYCNQTIELLSCARSGNSSGNGSVSGFFNQSMVLCRNNFETSCHGDGEMKIYSLEILRITESLTISVQNLRLRPLDSIGNSSRIYLMCFARYGAMPLATLHDYSGDLNQSPLVIRFPKVGQWYISILALHLAKEIGGALSNVSKVCYSLEVQELECPLGKAGPNCSSERYMLQTVLRKYSTPFESYYLPDGGDVISDALNFHLEPLLSNYSVGGLDSWTYFLLDVPRGAAGGNLHVRLMSDRKMNYEIYARNGGLPSLINWDYYYVNKTSSSGDSVFFVLYNSSDEKVDFYILYVKEGIWNIALRHLNSTGDISDGQTTMSISLERCPKRCSYHGACKSALDTSGLTLYSFCACDRNHGGFDCSIEIVSHQGHIWQSIALIASNAAAVFPAFWALRQKAFAEWVVYTVSGISSGLYHACDVGTWCVLSFGVLQFMDFWLSFMAVVSTFIYLTTIDEVFKRAIHTAVAILTALMAITKATRSSNIILVMGIGAFGLLLGWLIEFSTNYRSLSCSMGLCLNRLERWPSREWLQNLVNTVMKRFRWGFVLAGFIALAMAAISWNLESSQSYWIWHRLVVSLLFGI >KJB41369 pep chromosome:Graimondii2_0_v6:7:7524888:7531379:-1 gene:B456_007G101400 transcript:KJB41369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENSILCSVSSLTLSIFILFCCLFGHCNSVEQLGGYDTFTISSFKYPETQIRPFDMHYIRVDLPPWFSSMSIALKSSVNLDIKSAKKVPKSVLPMICFRDGSLPLPDVSSTSLEVALSNRSFDGIQVLQNMEQCYPVPRNMTMKLTNEQISARVLYFGLFNGVGPTRTQSKMIVRNRAYSFTANISVEGCTTSTMQGQYCNQTIELLSCARSGNSSGNGSVSGFFNQSMVLCRNNFETSCHGDGEMKIYSLEILRITESLTISVQNLRLRPLDSIGNSSRIYLMCFARYGAMPLATLHDYSGDLNQSPLVIRFPKVGQWYISILALHLAKEIGGALSNVSKVCYSLEVQELECPLGKAGPNCSSERYMLQTVLRKYSTPFESYYLPDGGDVISDALNFHLEPLLSNYSVGGLDSWTYFLLDVPRGAAGGNLHVRLMSDRKMNYEIYARNGGLPSLINWDYYYVNKTSSSGDSVFFVLYNSSDEKVDFYILYVKEGIWNIALRHLNSTGDISDGQTTMSISLERCPKRCSYHGACKSALDTSGLTLYSFCACDRNHGGFDCSIEIVSHQGHIWQSIALIASNAAAVFPAFWALRQKAFAEWVVYTVSGISSGLYHACDVGTWCVLSFGVLQ >KJB45721 pep chromosome:Graimondii2_0_v6:7:54556817:54559034:-1 gene:B456_007G326000 transcript:KJB45721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCCSFLFSRRKTACSAEHTAEIDDEVSGIQNTKLFPYKELKMATGNFHYSNKIGEGGFGVVYKGTFRDGTVAAIKVLSADSRQGVREFLTEINVIADIEHENLVKLHGCCVEGNHRILVYGYLENNSLAQTLLGGSDSSMQFSWSMRRNICLGVAKGLAFLHEEVRPYIVHRDIKASNILLDKNLMPKISDFGLAKLFPDNLTHISTRVAGTTGYLAPEYAIRGQLTKKADIYSFGVLLLEIVSGRCNTNRRLPVSDQYLLERAWDLHDQKQLAELVDLSMGGDYNKEEAQKFLKISLLCTQDMPKQRPSMSKVVKMLAGEEPVDEQNISRPRLLSEFMNLRAHKEKADLTSEGTSKEGILYSSTENVTMSFATMTFNSIYDRSN >KJB45720 pep chromosome:Graimondii2_0_v6:7:54556610:54560391:-1 gene:B456_007G326000 transcript:KJB45720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCCSFLFSRRKTACSAEHTAEIDDEVSGIQNTKLFPYKELKMATGNFHYSNKIGEGGFGVVYKGTFRDGTVAAIKVLSADSRQGVREFLTEINVIADIEHENLVKLHGCCVEGNHRILVYGYLENNSLAQTLLGGSDSSMQFSWSMRRNICLGVAKGLAFLHEEVRPYIVHRDIKASNILLDKNLMPKISDFGLAKLFPDNLTHISTRVAGTTFGVLLLEIVSGRCNTNRRLPVSDQYLLERAWDLHDQKQLAELVDLSMGGDYNKEEAQKFLKISLLCTQDMPKQRPSMSKVVKMLAGEEPVDEQNISRPRLLSEFMNLRAHKEKADLTSEGTSKEGILYSSTENVTMSFATMTFNSIYDRSN >KJB45719 pep chromosome:Graimondii2_0_v6:7:54556486:54560723:-1 gene:B456_007G326000 transcript:KJB45719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCCSFLFSRRKTACSAEHTAEIDDEVSGIQNTKLFPYKELKMATGNFHYSNKIGEGGFGVVYKGTFRDGTVAAIKVLSADSRQGVREFLTEINVIADIEHENLVKLHGCCVEGNHRILVYGYLENNSLAQTLLGGSDSSMQFSWSMRRNICLGVAKGLAFLHEEVRPYIVHRDIKASNILLDKNLMPKISDFGLAKLFPDNLTHISTRVAGTTGYLAPEYAIRGQLTKKADIYSFGVLLLEIVSGRCNTNRRLPVSDQYLLERAWDLHDQKQLAELVDLSMGGDYNKEEAQKFLKISLLCTQDMPKQRPSMSKVVKMLAGEEPVDEQNISRPRLLSEFMNLRAHKEKADLTSEGTSKEGILYSSTENVTMSFATMTFNSIYDRSN >KJB42196 pep chromosome:Graimondii2_0_v6:7:11754139:11759733:-1 gene:B456_007G141600 transcript:KJB42196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKGNLGGDNCLEDATMNSSPLNESNKNDEYQRIKFKENKNDVDMAAKAEKWMGVLVNKEIDNKSYMGSVVNYCGETKLFQIDYENDVSEEVDYQELQKIVAPPPLVCEYLERINPSEMEKEKKKQQQPQEDSWVPVHPRYPLTYQQQVKPKKRKCKRETGSGASSTTGYIPLAFRETKRDAYIYYYK >KJB42197 pep chromosome:Graimondii2_0_v6:7:11754017:11759872:-1 gene:B456_007G141600 transcript:KJB42197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKATLEMAAKAEKWMGVLVNKEIDNKSYMGSVVNYCGETKLFQIDYENDVSEEVDYQELQKIVAPPPLVCEYLERINPSEMEKEKKKQQQPQEDSWVPVHPRYPLTYQQQVKPKKRKCKRETGSGASSTTGYIPLAFRETKRDAYIYYYK >KJB42195 pep chromosome:Graimondii2_0_v6:7:11754017:11756138:-1 gene:B456_007G141600 transcript:KJB42195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKATLEMAAKAEKWMGVLVNKEIDNKSYMGSVVNYCGETKLFQIDYENDVSEEVDYQELQKIVAPPPLVCEYLERINPSEMEKEKKKQQQPQEDSWVPVHPRYPLTYQQQVKPKKRKCKRETGSGASSTTGYIPLAFRETKRDAYIYYYK >KJB44080 pep chromosome:Graimondii2_0_v6:7:29740859:29742541:1 gene:B456_007G233300 transcript:KJB44080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKLDALLGRNFKASKFKTLAKLAISRIGILKNQHQVRCTHARSDVIELLNLGHHEQALLRVEHLIREQNMVDAFTIMETYCNLLIERVMLIQKNMECPDELKEAASTLMFASSRCGEFPELLHIRGVFSSTFGKEFVVRAIELRNNCSVNPKVIQKLSTLRPSLESKLKLLKEIATKKGITLNLEEMAVEVRNLTESANDLPVGKDMDESLSESMKARKKYKDVADAAQEAFVSAAYAAAAARAAVELSRIEPQDFDSPNNAMARSEDLELNETEETRQSLSRERTVSSSSSDSDADLSGEESDGEKAKEEPHTQPHELSYEITQSDEVNEDESKLGYQSSKLKNTESFEEHLNSRKNKKWVSMRTRRD >KJB40003 pep chromosome:Graimondii2_0_v6:7:2917355:2921725:-1 gene:B456_007G041800 transcript:KJB40003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVIAPDPKKLPRPGRGGFQAHGLTEEEARVRAIAEIVNSMVELSRKNQTVDLNAIKSAACRKYGLARAPKLVEMIAALPESDRESLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVAYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFKEFFESPLFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQDIHHKIRPEKVELVRRDYTANEGWETFLSYEDTRQDILVGLLRLRKCGRNTTCPELMGKCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIASREHRSTKIAVISGVGTRHYYRKLGYELEGPYMVKYLV >KJB40007 pep chromosome:Graimondii2_0_v6:7:2918283:2921637:-1 gene:B456_007G041800 transcript:KJB40007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVIAPDPKKLPRPGRGGFQAHGLTEEEARVRAIAEIVNSMVELSRKNQTVDLNAIKSAACRKYGLARAPKLVEMIAALPESDRESLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVAYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFKEFFESPLFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQVRRHNVAPQETVNAEKHINLLKNVMFVFIWIQDIHHKIRPEKVELVRRDYTANEGWETFLSYEDTRQVLQGPFLCIGVWAVTLSTAVCHM >KJB40005 pep chromosome:Graimondii2_0_v6:7:2917380:2921661:-1 gene:B456_007G041800 transcript:KJB40005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVIAPDPKKLPRPGRGGFQAHGLTEEEARVRAIAEIVNSMVELSRKNQTVDLNAIKSAACRKYGLARAPKLVEMIAALPESDRESLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVAYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFKEFFESPLFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQVRRHNVAPQETVNAEKHINLLKNVMFVFIWIQDIHHKIRPEKVELVRRDYTANEGWETFLSYEDTRQDILVGLLRLRKCGRNTTCPELMGKCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIASREHRSTKIAVISGVGTRHYYRKLGYELEGPYMVKYLV >KJB40006 pep chromosome:Graimondii2_0_v6:7:2918112:2921661:-1 gene:B456_007G041800 transcript:KJB40006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVIAPDPKKLPRPGRGGFQAHGLTEEEARVRAIAEIVNSMVELSRKNQTVDLNAIKSAACRKYGLARAPKLVEMIAALPESDRESLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVAYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFKEFFESPLFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQDIHHKIRPEKVELVRRDYTANEGWETFLSYEDTRQVLQGPFLCIGVWAVTLSTAVCHM >KJB40004 pep chromosome:Graimondii2_0_v6:7:2917380:2920945:-1 gene:B456_007G041800 transcript:KJB40004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVAYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFKEFFESPLFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQDIHHKIRPEKVELVRRDYTANEGWETFLSYEDTRQDILVGLLRLRKCGRNTTCPELMGKCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIASREHRSTKIAVISGVGTRHYYRKLGYELEGPYMVKYLV >KJB45857 pep chromosome:Graimondii2_0_v6:7:55644268:55644860:-1 gene:B456_007G3334002 transcript:KJB45857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCENGKLEFAFQLLDEFPKQRCKPNVRTYSTLMHGLCDKGKVDEAFELMGRMETEGIDADAVSFNILISGLRKQGRIDEGVKLLEIMKKKGCYPNAGSYQEVLYGLLDAARFMEAKEIMGRMVFERVNPSFDSYKKLIHGFYKGKMVKEVDWALKQMVRHGFVPKMGMWIQIVDCVFSRNKNNTCDCSLLGEIINS >KJB43813 pep chromosome:Graimondii2_0_v6:7:24771667:24780719:1 gene:B456_007G217900 transcript:KJB43813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGRACVVVLGDLGRSPRMQYHALSLARQASLEVDIVAYGGSEPHSAVIENESIHIHTMIQWQKYSHSLPKIFYPLMLLLKPIIQFVILLWFLCFKVPHPDAFIVQNPPSVPTLVAVKWASSLRKSAFIVDWHNFGYTLLGLSVGRSSPFVSIYHWFEKHYGQMANGSLCVTRAMQHELAQNWGIRATVLYDQPPEFFCPTSLQEKHKLFCRLNRYLCHPLGVRDCVTATTTGIGADDQNETLFTTLVDTDILLKPNRPALVVSSTSWTPDEDFGILLEAAVMYDRRVAALLDENDSADEGVLWKEISGGKQYLYPRLLFIITGKGPEKEKYEEKIKRLNLKRVAFRTMWLSAEEYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIDELVKVEKNGLLFSSSSELADELLMLFRGFPNECDALKSLKNGALETGSSARWATEWEEHAKPLISEVISRNLN >KJB43817 pep chromosome:Graimondii2_0_v6:7:24772825:24779979:1 gene:B456_007G217900 transcript:KJB43817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGRACVVVLGDLGRSPRMQYHALSLARQASLEVDIVAYGGSEPHSAVIENESIHIHTMIQWQKYSHSLPKIFYPLMLLLKPIIQFVILLWFLCFKVPHPDAFIVQNPPSVPTLVAVKWASSLRKSAFIVDWHNFGYTLLGLSVGRSSPFVSIYHWFEKHYGQMANGSLCVTRAMQHELAQNWGIRATVLYDQPPEFFCPTSLQEKHKLFCRLNRYLCHPLGVRDCVTATTTGIGADDQNETLFTTLVDTDILLKPNRPALVVSSTSWTPDEDFGILLEAAVMYDRRVAALLDENDSADEGVLWKEISGGKQYLYPRLLFIITGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIDELVKVEKNGLLFSSSSELADELLMLFRGFPNECDALKSLKNGALETGSSARWATEWEEHAKPLISEVISRNLN >KJB43815 pep chromosome:Graimondii2_0_v6:7:24772030:24779979:1 gene:B456_007G217900 transcript:KJB43815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKEEKMGKRGRACVVVLGDLGRSPRMQYHALSLARQASLEVDIVAYGGSEPHSAVIENESIHIHTMIQWQKYSHSLPKIFYPLMLLLKPIIQFVILLWFLCFKVPHPDAFIVQNPPSVPTLVAVKWASSLRKSAFIVDWHNFGYTLLGLSVGRSSPFVSIYHWFEKHYGQMANGSLCVTRAMQHELAQNWGIRATVLYDQPPEFFCPTSLQEKHKLFCRLNRYLCHPLGVRDCVTATTTGIGADDQNETLFTTLVDTDILLKPNRPALVVSSTSWTPDEDFGILLEAAVMYDRRVAALLDENDSADEGVLWKEISGGKQYLYPRLLFIITADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIDELVKVEKNGLLFSSSSELADELLMLFRGFPNECDALKSLKNGALETGSSARWATEWEEHAKPLISEVISRNLN >KJB43819 pep chromosome:Graimondii2_0_v6:7:24775802:24779979:1 gene:B456_007G217900 transcript:KJB43819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGSLCVTRAMQHELAQNWGIRATVLYDQPPEFFCPTSLQEKHKLFCRLNRYLCHPLGVRDCVTATTTGIGADDQNETLFTTLVDTDILLKPNRPALVVSSTSWTPDEDFGILLEAAVMYDRRVAALLDENDSADEGVLWKEISGGKQYLYPRLLFIITGKGPEKEKYEEKIKRLNLKRVAFRTMWLSAEEYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIDELVKVEKNGLLFSSSSELADELLMLFRGFPNECDALKSLKNGALETGSSARWATEWEEHAKPLISEVISRNLN >KJB43816 pep chromosome:Graimondii2_0_v6:7:24772825:24779979:1 gene:B456_007G217900 transcript:KJB43816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGRACVVVLGDLGRSPRMQYHALSLARQASLEVDIVAYGGSEPHSAVIENESIHIHTMIQWQKYSHSLPKIFYPLMLLLKPIIQFVILLWFLCFKVPHPDAFIVQNPPSVPTLVAVKWASSLRKSAFIVDWHNFGYTLLGLSVGRSSPFVSIYHWFEKHYGQMANGSLCVTRAMQHELAQNWGIRATVLYDQPPEFFCPTSLQEKHKLFCRLNRYLCHPLGVRDCVTARIGADDQNETLFTTLVDTDILLKPNRPALVVSSTSWTPDEDFGILLEAAVMYDRRVAALLDENDSADEGVLWKEISGGKQYLYPRLLFIITGKGPEKEKYEEKIKRLNLKRVAFRTMWLSAEEYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIDELVKVEKNGLLFSSSSELADELLMLFRGFPNECDALKSLKNGALETGSSARWATEWEEHAKPLISEVISRNLN >KJB43814 pep chromosome:Graimondii2_0_v6:7:24771667:24780470:1 gene:B456_007G217900 transcript:KJB43814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGRACVVVLGDLGRSPRMQYHALSLARQASLEVDIVAYGGSEPHSAVIENESIHIHTMIQWQKYSHSLPKIFYPLMLLLKPIIQFVILLWFLCFKVPHPDAFIVQNPPSVPTLVAVKWASSLRKSAFIVDWHNFGYTLLGLSVGRSSPFVSIYHWFEKHYGQMANGSLCVTRAMQHELAQNWGIRATVLYDQPPEFFCPTSLQEKHKLFCRLNRYLCHPLGVRDCVTATTTGIGADDQNETLFTTLVDTDILLKPNRPALVVSSTSWTPDEDFGILLEAAVMYDRRVAALLDENDSADEGVLWKEISGGKQYLYPRLLFIITGKGPEKEKYEEKIKRLNLKRVAFRTMWLSAEEYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIDELVKVEKNGLLFSSSSELADELLMLFRGFPNECDALKSLKNGALETGSSARWATEWEEHAKPLISEVISRNLN >KJB43818 pep chromosome:Graimondii2_0_v6:7:24774965:24779586:1 gene:B456_007G217900 transcript:KJB43818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLKPIIQFVILLWFLCFKVPHPDAFIVQNPPSVPTLVAVKWASSLRKSAFIVDWHNFGYTLLGLSVGRSSPFVSIYHWFEKHYGQMANGSLCVTRAMQHELAQNWGIRATVLYDQPPEFFCPTSLQEKHKLFCRLNRYLCHPLGVRDCVTATTTGIGADDQNETLFTTLVDTDILLKPNRPALVVSSTSWTPDEDFGILLEAAVMYDRRVAALLDENDSADEGVLWKEISGGKQYLYPRLLFIITGKGPEKEKYEEKIKRLNLKRVAFRTMWLSAEEYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIDELVKVEKNGLLFSSSSELADELLMLFRGFPNECDALKSLKNGALETGSSARWATEWEEHAKPLISEVISRNLN >KJB41575 pep chromosome:Graimondii2_0_v6:7:8340067:8352443:-1 gene:B456_007G109900 transcript:KJB41575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLQHWKDMAGAWATSTSQNATSISEVDPTDHLPLSLLRSELIPPAPNRSESAIDWLPDFAGYSWAAYGSSSLLVISHFPSPLSTEQTRMGSIFRQVFEISSVASSPVTAVSWSPVTPSSGELAVTSDNCICLFSHDSATPNPKGSFCWSQNAVLLQSTKVEAVGWTASGDGLIAGGLEVVLWKRKSKSWEIAWKFKADQPQNMVSASWSIEGPSAAASSSKDLQIEGVNEASKSVLVFYSDGSSGFAKTVLGHPQPVSMLQWRPSAGKQLLRDGKHLRRHILLTCCLDGTIRLWSEIDNVRVKKAGSVYDQKTTRRSFCVAAVIEIDNALRGTLGADISFTWAMEIGGMVKTTEETNQYFFREEHKNEVGSCEWLIGFGPGKLVTFWAIHCLDDISPMRFPRVTLWKRLELQGLEVEHLNRNGLSTLKQQLLLKKVVIMRNCASGPPTVCSSIHLYPCKYLAWSMLYTQMINDTENAPPSESRTENLLSCSVEGILDIDGHTSRILQVAIHPYVCEVDFVVSLDSNGLLLFWSLSNNSNAILDLPTLIPAWRISGKHVTHGKCSKYSSLNWAPLVLGEDRFLLLGHVGGIDCFAVKNFHGEGDGIECYFICTIPFAGHDPYEDGPTNIYTVPLSLSRNETYMCDGFLLLGIWMKVFRALSWEITMHAYDLTRSCSECNFNDDNIVECNARKFEKTISGTRYCLHVIPSSAQLPEPHLHDQVTSFAVISPGGLTPVQQKLPFHKDSLSCRSPAYVMATGCSDGSIKLWRCSPNEPSISHKSWELVGMFSAHQGPVTAIRLTSCGRKIATTGSDSPSNTVFSLRIWDSIRLPDSGTFMLEDTLSLDEDVVVLNWLALGNGQLLLAVCMRNELRVYAQKRCGGHALLDSKQSPGVQFWFCIGISHTFSAIHDFLWGPRTTGVVVHASYLSLLSPWLFLLDNKHQTDFYKKFNPESLLDSDIDMGKDTFSEIFSDHDVVNHKETLIANSNGGCKSDLLKKINTNNGHLSSAFLVGRGQIKCKSKILLGYWSMLDIVETLARVLPVYHPESLFANIYSGNWKRAYISVKHLVEYLSSSHISEKRGHHPKISDIVPQMPLSDYIEGILSKSSTGNAFQWNENATSMTLSSQFQSGLVPFAYNFEPNASSNAFSSSSTKSGLIDFLEPINKLHELAAITATEKMQILAIVDLLNEVSNPQSASVYENLDDPGRRFWVTLRFQQLLFLQRFGRSASLEDLVVDSGLIAWAFHSDCQETLFGSFLPNEPSWPAMRTLGIGFWFTNATQLRTRMEKLARMQYLKKKDPKDCTLLYVALNRLQVLAGLFKISKDEKDKPLVGFLSRNFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVTVCAKNLGDEQLALVICRLVEGRGGPLERHLITKLILPSAIERSDYWLASLLEWELGNYSQSFLTMLGLQVGSAIGSSTLSSCHVAFMDPSRGLYCLMLANKTSLRNAAGDQNAGVLARWASLMTATSLNRCGLPLEALECLSSSLSILGGMNQENVSDFACSKTSLGILKPSIGGSSPWLLGGVASHLESYAKFDLALQYISKLMREHPSWPRTSFGSVRTNTCSEDYENQYDELLENFHHKLHTGLAQFEHKFSLVSSYLINMIFVTLCNNGFWFLGYDMLHGFCHEHSQHENHMDDNAVWYPLFHKPLLKLTEDISSLFSHFLAVCSTTWSPSKLCYRENGISHEGRSNSGDTWGFYFQGVKLSLSSLRAAMRIFSGIFKEVMSPKLLTLLDLYEFYANFASAWLQKNSEGLVLMMQPLIVTYTSGHTPYEVDMTALKETLNQVPDTVTDVLIDGLEVDRCAEEKQVGELLNLIPEDERWHIIGAFLWQHMSRFMKHKLNSLAISDDSYLSGFSNDKLSSCAPLSLDVGLGNRSIRENIRSASWILANLLKIALEHISSHHVKQLGLFLQQKIDNGFNPPTLGWLEEYRSSSRTLQQHLGQTKDTNSTNQLSASDILWNMCADPTMISESFAQEKVNWSSFLHFKPCRGWDDLYKDIRRENETDESQNHEGKISNSSSGGEAGSPSRSVLWNGHAFLSSWQKGTSTEKEVIPFQNPKEIYKRNGELLEALCVNSINQRQAALASNRKGIIFFNWEDGIPYKGQSDYIWSGADWPHNGWAGCESTPVPTCVSPGVGLGNQKGAHLGLGGATIGVDTFSRPGRHLTGGGAFGIPGYAGIGASGLGWETQEDFEEFVDLPATVENISTRSFSSHPSRPLFLVGSINTHIYLWEFGKDKATATYGVLPAANVAPPYALASISALQFDRCGHRFVTAALDGTVCTWQLEVGGRSNIRPTESSLCFNNHASDVTYITSSGSVIAAAGCSSNGVNVVIWDTLAPSATSRASIVCHEGGARSIAVFDNDIGSGSVSPLIVTGGKNGDVGLHDFRYIATGRTKRHRHHDSVEISINTSSNADMKTGASKQRDQNHGGMLWYIPKAHLGSITKISTIPNTSLFLTGSKDGDVKLWDAKAAKLVHHWSKLHERHTFLQPSSRGFGGVVRAAVTDIQVVSHGFLSCGGDGSVKLVQLND >KJB41572 pep chromosome:Graimondii2_0_v6:7:8339336:8352416:-1 gene:B456_007G109900 transcript:KJB41572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAWATSTSQNATSISEVDPTDHLPLSLLRSELIPPAPNRSESAIDWLPDFAGYSWAAYGSSSLLVISHFPSPLSTEQTRMGSIFRQVFEISSVASSPVTAVSWSPVTPSSGELAVTSDNCICLFSHDSATPNPKGSFCWSQNAVLLQSTKVEAVGWTASGDGLIAGGLEVVLWKRKSKSWEIAWKFKADQPQNMVSASWSIEGPSAAASSSKDLQIEGVNEASKSVLVFYSDGSSGFAKTVLGHPQPVSMLQWRPSAGKQLLRDGKHLRRHILLTCCLDGTIRLWSEIDNVRVKKAGSVYDQKTTRRSFCVAAVIEIDNALRGTLGADISFTWAMEIGGMVKTTEETNQYFFREEHKNEVGSCEWLIGFGPGKLVTFWAIHCLDDISPMRFPRVTLWKRLELQGLEVEHLNRNGLSTLKQQLLLKKVVIMRNCASGPPTVCSSIHLYPCKYLAWSMLYTQMINDTENAPPSESRTENLLSCSVEGILDIDGHTSRILQVAIHPYVCEVDFVVSLDSNGLLLFWSLSNNSNAILDLPTLIPAWRISGKHVTHGKCSKYSSLNWAPLVLGEDRFLLLGHVGGIDCFAVKNFHGEGDGIECYFICTIPFAGHDPYEDGPTNIYTVPLSLSRNETYMCDGFLLLGIWMKVFRALSWEITMHAYDLTRSCSECNFNDDNIVECNARKFEKTISGTRYCLHVIPSSAQLPEPHLHDQVTSFAVISPGGLTPVQQKLPFHKDSLSCRSPAYVMATGCSDGSIKLWRCSPNEPSISHKSWELVGMFSAHQGPVTAIRLTSCGRKIATTGSDSPSNTVFSLRIWDSIRLPDSGTFMLEDTLSLDEDVVVLNWLALGNGQLLLAVCMRNELRVYAQKRCGGHALLDSKQSPGVQFWFCIGISHTFSAIHDFLWGPRTTGVVVHASYLSLLSPWLFLLDNKHQTDFYKKFNPESLLDSDIDMGKDTFSEIFSDHDVVNHKETLIANSNGGCKSDLLKKINTNNGHLSSAFLVGRGQIKCKSKILLGYWSMLDIVETLARVLPVYHPESLFANIYSGNWKRAYISVKHLVEYLSSSHISEKRGHHPKISDIVPQMPLSDYIEGILSKSSTGNAFQWNENATSMTLSSQFQSGLVPFAYNFEPNASSNAFSSSSTKSGLIDFLEPINKLHELAAITATEKMQILAIVDLLNEVSNPQSASVYENLDDPGRRFWVTLRFQQLLFLQRFGRSASLEDLVVDSGLIAWAFHSDCQETLFGSFLPNEPSWPAMRTLGIGFWFTNATQLRTRMEKLARMQYLKKKDPKDCTLLYVALNRLQVLAGLFKISKDEKDKPLVGFLSRNFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVTVCAKNLGDEQLALVICRLVEGRGGPLERHLITKLILPSAIERSDYWLASLLEWELGNYSQSFLTMLGLQVGSAIGSSTLSSCHVAFMDPSRGLYCLMLANKTSLRNAAGDQNAGVLARWASLMTATSLNRCGLPLEALECLSSSLSILGGMNQENVSDFACSKTSLGILKPSIGGSSPWLLGGVASHLESYAKFDLALQYISKLMREHPSWPRTSFGSVRTNTCSEDYENQYDELLENFHHKLHTGLAQFEHKFSLVSSYLINMIFVTLCNNGFWFLGYDMLHGFCHEHSQHENHMDDNAVWYPLFHKPLLKLTEDISSLFSHFLAVCSTTWSPSKLCYRENGISHEGRSNSGDTWGFYFQGVKLSLSSLRAAMRIFSGIFKEVMSPKLLTLLDLYEFYANFASAWLQKNSEGLVLMMQPLIVTYTSGHTPYEVDMTALKETLNQVPDTVTDVLIDGLEVDRCAEEKQVGELLNLIPEDERWHIIGAFLWQHMSRFMKHKLNSLAISDDSYLSGFSNDKLSSCAPLSLDVGLGNRSIRENIRSASWILANLLKIALEHISSHHVKQLGLFLQQKIDNGFNPPTLGWLEEYRSSSRTLQQHLGQTKDTNSTNQLSASDILWNMCADPTMISESFAQEKVNWSSFLHFKPCRGWDDLYKDIRRENETDESQNHEGKISNSSSGGEAGSPSRSVLWNGHAFLSSWQKGTSTEKEVIPFQNPKEIYKRNGELLEALCVNSINQRQAALASNRKGIIFFNWEDGIPYKGQSDYIWSGADWPHNGWAGCESTPVPTCVSPGVGLGNQKGAHLGLGGATIGVDTFSRPGRHLTGGGAFGIPGYAGIGASGLGWETQEDFEEFVDLPATVENISTRSFSSHPSRPLFLVGSINTHIYLWEFGKDKATATYGVLPAANVAPPYALASISALQFDRCGHRFVTAALDGTVCTWQLEVGGRSNIRPTESSLCFNNHASDVTYITSSGSVIAAAGCSSNGVNVVIWDTLAPSATSRASIVCHEGGARSIAVFDNDIGSGSVSPLIVTGGKNGDVGLHDFRYIATGRTKRHRHHDSVEISINTSSNADMKTGASKQRDQNHGGMLWYIPKAHLVCS >KJB41574 pep chromosome:Graimondii2_0_v6:7:8339336:8352476:-1 gene:B456_007G109900 transcript:KJB41574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASWSIEGPSAAASSSKDLQIEGVNEASKSVLVFYSDGSSGFAKTVLGHPQPVSMLQWRPSAGKQLLRDGKHLRRHILLTCCLDGTIRLWSEIDNVRVKKAGSVYDQKTTRRSFCVAAVIEIDNALRGTLGADISFTWAMEIGGMVKTTEETNQYFFREEHKNEVGSCEWLIGFGPGKLVTFWAIHCLDDISPMRFPRVTLWKRLELQGLEVEHLNRNGLSTLKQQLLLKKVVIMRNCASGPPTVCSSIHLYPCKYLAWSMLYTQMINDTENAPPSESRTENLLSCSVEGILDIDGHTSRILQVAIHPYVCEVDFVVSLDSNGLLLFWSLSNNSNAILDLPTLIPAWRISGKHVTHGKCSKYSSLNWAPLVLGEDRFLLLGHVGGIDCFAVKNFHGEGDGIECYFICTIPFAGHDPYEDGPTNIYTVPLSLSRNETYMCDGFLLLGIWMKVFRALSWEITMHAYDLTRSCSECNFNDDNIVECNARKFEKTISGTRYCLHVIPSSAQLPEPHLHDQVTSFAVISPGGLTPVQQKLPFHKDSLSCRSPAYVMATGCSDGSIKLWRCSPNEPSISHKSWELVGMFSAHQGPVTAIRLTSCGRKIATTGSDSPSNTVFSLRIWDSIRLPDSGTFMLEDTLSLDEDVVVLNWLALGNGQLLLAVCMRNELRVYAQKRCGGHALLDSKQSPGVQFWFCIGISHTFSAIHDFLWGPRTTGVVVHASYLSLLSPWLFLLDNKHQTDFYKKFNPESLLDSDIDMGKDTFSEIFSDHDVVNHKETLIANSNGGCKSDLLKKINTNNGHLSSAFLVGRGQIKCKSKILLGYWSMLDIVETLARVLPVYHPESLFANIYSGNWKRAYISVKHLVEYLSSSHISEKRGHHPKISDIVPQMPLSDYIEGILSKSSTGNAFQWNENATSMTLSSQFQSGLVPFAYNFEPNASSNAFSSSSTKSGLIDFLEPINKLHELAAITATEKMQILAIVDLLNEVSNPQSASVYENLDDPGRRFWVTLRFQQLLFLQRFGRSASLEDLVVDSGLIAWAFHSDCQETLFGSFLPNEPSWPAMRTLGIGFWFTNATQLRTRMEKLARMQYLKKKDPKDCTLLYVALNRLQVLAGLFKISKDEKDKPLVGFLSRNFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVTVCAKNLGDEQLALVICRLVEGRGGPLERHLITKLILPSAIERSDYWLASLLEWELGNYSQSFLTMLGLQVGSAIGSSTLSSCHVAFMDPSRGLYCLMLANKTSLRNAAGDQNAGVLARWASLMTATSLNRCGLPLEALECLSSSLSILGGMNQENVSDFACSKTSLGILKPSIGGSSPWLLGGVASHLESYAKFDLALQYISKLMREHPSWPRTSFGSVRTNTCSEDYENQYDELLENFHHKLHTGLAQFEHKFSLVSSYLINMIFVTLCNNGFWFLGYDMLHGFCHEHSQHENHMDDNAVWYPLFHKPLLKLTEDISSLFSHFLAVCSTTWSPSKLCYRENGISHEGRSNSGDTWGFYFQGVKLSLSSLRAAMRIFSGIFKEVMSPKLLTLLDLYEFYANFASAWLQKNSEGLVLMMQPLIVTYTSGHTPYEVDMTALKETLNQVPDTVTDVLIDGLEVDRCAEEKQVGELLNLIPEDERWHIIGAFLWQHMSRFMKHKLNSLAISDDSYLSGFSNDKLSSCAPLSLDVGLGNRSIRENIRSASWILANLLKIALEHISSHHVKQLGLFLQQKIDNGFNPPTLGWLEEYRSSSRTLQQHLGQTKDTNSTNQLSASDILWNMCADPTMISESFAQEKVNWSSFLHFKPCRGWDDLYKDIRRENETDESQNHEGKISNSSSGGEAGSPSRSVLWNGHAFLSSWQKGTSTEKEVIPFQNPKEIYKRNGELLEALCVNSINQRQAALASNRKGIIFFNWEDGIPYKGQSDYIWSGADWPHNGWAGCESTPVPTCVSPGVGLGNQKGAHLGLGGATIGVDTFSRPGRHLTGGGAFGIPGYAGIGASGLGWETQEDFEEFVDLPATVENISTRSFSSHPSRPLFLVGSINTHIYLWEFGKDKATATYGVLPAANVAPPYALASISALQFDRCGHRFVTAALDGTVCTWQLEVGGRSNIRPTESSLCFNNHASDVTYITSSGSVIAAAGCSSNGVNVVIWDTLAPSATSRASIVCHEGGARSIAVFDNDIGSGSVSPLIVTGGKNGDVGLHDFRYIATGRTKRHRHHDSVEISINTSSNADMKTGASKQRDQNHGGMLWYIPKAHLGSITKISTIPNTSLFLTGSKDGDVKLWDAKAAKLVHHWSKLHERHTFLQPSSRGFGGVVRAAVTDIQVVSHGFLSCGGDGSVKLVQLND >KJB41573 pep chromosome:Graimondii2_0_v6:7:8340446:8352416:-1 gene:B456_007G109900 transcript:KJB41573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAWATSTSQNATSISEVDPTDHLPLSLLRSELIPPAPNRSESAIDWLPDFAGYSWAAYGSSSLLVISHFPSPLSTEQTRMGSIFRQVFEISSVASSPVTAVSWSPVTPSSGELAVTSDNCICLFSHDSATPNPKGSFCWSQNAVLLQSTKVEAVGWTASGDGLIAGGLEVVLWKRKSKSWEIAWKFKADQPQNMVSASWSIEGPSAAASSSKDLQIEGVNEASKSVLVFYSDGSSGFAKTVLGHPQPVSMLQWRPSAGKQLLRDGKHLRRHILLTCCLDGTIRLWSEIDNVRVKKAGSVYDQKTTRRSFCVAAVIEIDNALRGTLGADISFTWAMEIGGMVKTTEETNQYFFREEHKNEVGSCEWLIGFGPGKLVTFWAIHCLDDISPMRFPRVTLWKRLELQGLEVEHLNRNGLSTLKQQLLLKKVVIMRNCASGPPTVCSSIHLYPCKYLAWSMLYTQMINDTENAPPSESRTENLLSCSVEGILDIDGHTSRILQVAIHPYVCEVDFVVSLDSNGLLLFWSLSNNSNAILDLPTLIPAWRISGKHVTHGKCSKYSSLNWAPLVLGEDRFLLLGHVGGIDCFAVKNFHGEGDGIECYFICTIPFAGHDPYEDGPTNIYTVPLSLSRNETYMCDGFLLLGIWMKVFRALSWEITMHAYDLTRSCSECNFNDDNIVECNARKFEKTISGTRYCLHVIPSSAQLPEPHLHDQVTSFAVISPGGLTPVQQKLPFHKDSLSCRSPAYVMATGCSDGSIKLWRCSPNEPSISHKSWELVGMFSAHQGPVTAIRLTSCGRKIATTGSDSPSNTVFSLRIWDSIRLPDSGTFMLEDTLSLDEDVVVLNWLALGNGQLLLAVCMRNELRVYAQKRCGGHALLDSKQSPGVQFWFCIGISHTFSAIHDFLWGPRTTGVVVHASYLSLLSPWLFLLDNKHQTDFYKKFNPESLLDSDIDMGKDTFSEIFSDHDVVNHKETLIANSNGGCKSDLLKKINTNNGHLSSAFLVGRGQIKCKSKILLGYWSMLDIVETLARVLPVYHPESLFANIYSGNWKRAYISVKHLVEYLSSSHISEKRGHHPKISDIVPQMPLSDYIEGILSKSSTGNAFQWNENATSMTLSSQFQSGLVPFAYNFEPNASSNAFSSSSTKSGLIDFLEPINKLHELAAITATEKMQILAIVDLLNEVSNPQSASVYENLDDPGRRFWVTLRFQQLLFLQRFGRSASLEDLVVDSGLIAWAFHSDCQETLFGSFLPNEPSWPAMRTLGIGFWFTNATQLRTRMEKLARMQYLKKKDPKDCTLLYVALNRLQVLAGLFKISKDEKDKPLVGFLSRNFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVTVCAKNLGDEQLALVICRLVEGRGGPLERHLITKLILPSAIERSDYWLASLLEWELGNYSQSFLTMLGLQVGSAIGSSTLSSCHVAFMDPSRGLYCLMLANKTSLRNAAGDQNAGVLARWASLMTATSLNRCGLPLEALECLSSSLSILGGMNQENVSDFACSKTSLGILKPSIGGSSPWLLGGVASHLESYAKFDLALQYISKLMREHPSWPRTSFGSVRTNTCSEDYENQYDELLENFHHKLHTGLAQFEHKFSLVSSYLINMIFVTLCNNGFWFLGYDMLHGFCHEHSQHENHMDDNAVWYPLFHKPLLKLTEDISSLFSHFLAVCSTTWSPSKLCYRENGISHEGRSNSGDTWGFYFQGVKLSLSSLRAAMRIFSGIFKEVMSPKLLTLLDLYEFYANFASAWLQKNSEGLVLMMQPLIVTYTSGHTPYEVDMTALKETLNQVPDTVTDVLIDGLEVDRCAEEKQVGELLNLIPEDERWHIIGAFLWQHMSRFMKHKLNSLAISDDSYLSGFSNDKLSSCAPLSLDVGLGNRSIRENIRSASWILANLLKIALEHISSHHVKQLGLFLQQKIDNGFNPPTLGWLEEYRSSSRTLQQHLGQTKDTNSTNQLSASDILWNMCADPTMISESFAQEKVNWSSFLHFKPCRGWDDLYKDIRRENETDESQNHEGKISNSSSGGEAGSPSRSVLWNGHAFLSSWQKGTSTEKEVIPFQNPKEIYKRNGELLEALCVNSINQRQAALASNRKGIIFFNWEDGIPYKGQSDYIWSGADWPHNGWAGCESTPVPTCVSPGVGLGNQKGAHLGLGGATIGVDTFSRPGRHLTGGGAFGIPGYAGIGASGLGWETQEDFEEFVDLPATVENISTRSFSSHPSRPLFLVGSINTHIYLWEFGKDKATATYGVLPAANVAPPYALASISALQFDRCGHRFVTAALDGTVCTWQLEVGGRSNIRPTESSLCFNNHASDVTYITSSGSVIAAAGCSSNGVNVVIWDTLAPSATSRASIVCHEGGARSIAVFDNDIGSGSVSPLIVTGGKNGDVGLHDFRYIATGRTKRHRHHDSVEISINTSSNADMKTGASKQRDQNHGGMLWYIPKAHLASPKFLPSQIPVCS >KJB46521 pep chromosome:Graimondii2_0_v6:7:60923798:60926932:-1 gene:B456_007G3793002 transcript:KJB46521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVIAVLLKMIMRRRFSVIQWEALALLLIGISVNQLRSLPEGSTSLGLHVTTGAYIYTLIFVTVPSLASVYNEYALKSQYETSIYLQNLFLYGYGAIFNFLAILGTTVVKGPSSFDILQGHSKATIFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASATLFGHTLTMNFILGISIVFISMHQFFSPLSKVKEEQENGMLEPVDYKENHRSKESFINIAAGAHEEASHRVGSDERQPLLPT >KJB46519 pep chromosome:Graimondii2_0_v6:7:60923798:60926932:-1 gene:B456_007G3793002 transcript:KJB46519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVIAVLLKMIMRRRFSVIQWEALALLLIGISVNQLRSLPEGSTSLGLHVTTGAYIYTLIFVTVPSLASVYNEYALKSQYETSIYLQNLFLYGYGAIFNFLAILGTTVVKGPSSFDILQGHSKATIFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASATLFGHTLTMNFILGISIVFISMHQFFSPLSKVKEEQENGMLEPVDYKENHRSKESFINIAAGAHEEASHRVGSDERQPLLPT >KJB46520 pep chromosome:Graimondii2_0_v6:7:60922980:60926932:-1 gene:B456_007G3793002 transcript:KJB46520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVIAVLLKMIMRRRFSVIQWEALALLLIGISVNQLRSLPEGSTSLGLHVTTGAYIYTLIFVTVPSLASVYNEYALKSQYETSIYLQNLFLYGYGAIFNFLAILGTTVVKGPSSFDILQGHSKATIFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASATLFGHTLTMNFILGISIVFISMHQFFSPLSKVKEEQENGMLEPVDYKENHRSKESFINIAAGAHEEASHRVGSDERQPLLPT >KJB46523 pep chromosome:Graimondii2_0_v6:7:60922900:60926932:-1 gene:B456_007G3793002 transcript:KJB46523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVIAVLLKMIMRRRFSVIQWEALALLLIGISVNQLRSLPEGSTSLGLHVTTGAYIYTLIFVTVPSLASVYNEYALKSQYETSIYLQNLFLYGYGAIFNFLAILGTTVVKGPSSFDILQGHSKATIFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASATLFGHTLTMNFILGISIVFISMHQFFSPLSKVKEEQENGMLEPVDYKENHRSKESFINIAAGAHEEASHRVGSDERQPLLPT >KJB46522 pep chromosome:Graimondii2_0_v6:7:60923798:60926932:-1 gene:B456_007G3793002 transcript:KJB46522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVIAVLLKMIMRRRFSVIQWEALALLLIGISVNQLRSLPEGSTSLGLHVTTGAYIYTLIFVTVPSLASVYNEYALKSQYETSIYLQNLFLYGYGAIFNFLAILGTTVVKGPSSFDILQGHSKATIFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASATLFGHTLTMNFILGISIVFISMHQFFSPLSKVKEEQENGMLEPVDYKENHRSKESFINIAAGAHEEASHRVGSDERQPLLPT >KJB46524 pep chromosome:Graimondii2_0_v6:7:60926143:60926932:-1 gene:B456_007G3793002 transcript:KJB46524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVIAVLLKMIMRRRFSVIQWEALALLLIGISVNQLRSLPEGSTSLGLHVTTGAYIYTLIFVTVPSLASVYNEYALKSQYETSIYLQVFFRIPCIGGI >KJB41675 pep chromosome:Graimondii2_0_v6:7:8922442:8926890:-1 gene:B456_007G114600 transcript:KJB41675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAGFYNDPEFANVDSSENESILPFKGTILRTKSGKISSPVEEPCVSCTTFNILAPIYKRLDQQNQSVRESDFRAFWLARNERIVDWLLYESSSIICLQEFWVGNEELVHMFEERLSAAGYKTFKLARTNNRGDGLLTAIHQDYFKVLNYRELLFNDCGDRVAQLLHVKSVVPFSINQNNCVEQEILIVNTHLLFPHDSSLSIARLHQVYQILLYLETYQQENKLTQMPVILCGDWNGSKRGHVYKFLRSQGFVSSYDIAHECSDSEADADKWISHRNHRGNICGVDFIWLRNPNKSRKPLKISWAEAAFGIIKFQLQKASLAENDAFAFLRADNSVDHITYSAFCDALRQVNLTGLSYGLSFQDTKDLWVQADFDGNGVLDYEEFKRIWNSTWSDHMDEDCSSDDSNEGNEEETVGFTVKNAALFPREVEKGIWPENYSLSDHARLTAVFSPVRLRCPRQSP >KJB41677 pep chromosome:Graimondii2_0_v6:7:8923331:8926467:-1 gene:B456_007G114600 transcript:KJB41677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAGFYNDPEFANVDSSENESILPFKGTILRTKSGKISSPVEEPCVSCTTFNILAPIYKRLDQQNQSVRESDFRAFWLARNERIVDWLLYESSSIICLQEFWVGNEELVHMFEERLSAAGYKTFKLARTNNRGDGLLTAIHQDYFKVLNYRELLFNDCGDRVAQLLHVKSVVPFSINQNNCVEQEILIVNTHLLFPHDSSLSIARLHQVYQILLYLETYQQENKLTQMPVILCGDWNGSKRGHVYKFLRSQGFVSSYDIAHECSDSEADADKWISHRNHRGNICGVDFIWLRNPNKSRKPLKISWAEAAFGIIKFQLQKASLAENDAFAFLRADNSVDHITYSAFCDALRQVNLTGLSYGLSFQDTKDLWVQADFDGNGVLDYEEFKVCSSR >KJB41676 pep chromosome:Graimondii2_0_v6:7:8922872:8925715:-1 gene:B456_007G114600 transcript:KJB41676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEERLSAAGYKTFKLARTNNRGDGLLTAIHQDYFKVLNYRELLFNDCGDRVAQLLHVKSVVPFSINQNNCVEQEILIVNTHLLFPHDSSLSIARLHQVYQILLYLETYQQENKLTQMPVILCGDWNGSKRGHVYKFLRSQGFVSSYDIAHECSDSEADADKWISHRNHRGNICGVDFIWLRNPNKSRKPLKISWAEAAFGIIKFQLQKASLAENDAFAFLRADNSVDHITYSAFCDALRQVNLTGLSYGLSFQDTKDLWVQADFDGNGVLDYEEFKRIWNSTWSDHMDEDCSSDDSNEGNEEETVGFTVKNAALFPREVEKGIWPENYSLSDHARLTAVFSPVRLRCPRQSP >KJB44301 pep chromosome:Graimondii2_0_v6:7:36521436:36523157:-1 gene:B456_007G245000 transcript:KJB44301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAWWSLLGAAIPAVIAGQAFRMKKKHAEEERIKSARGREKSSDDIFVCERVCTSKRMLKKVGAFSKDPIPDTCVTVCGVSELDACSDACARTVCVNQHQVPNWNDICLRRCQSECLRLSASHSS >KJB44300 pep chromosome:Graimondii2_0_v6:7:36521436:36523073:-1 gene:B456_007G245000 transcript:KJB44300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAWWSLLGAAIPAVIAGQAFRMKKKHAEEERIKSARGREKSSDDIFVCERVCTSKRMLKKVGAFSKDPIPDTCVTVCGVSELDACSDACARTVCVNQHQVPNWNDICLRRCQSECLRLSASHSS >KJB44297 pep chromosome:Graimondii2_0_v6:7:36521433:36523218:-1 gene:B456_007G245000 transcript:KJB44297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAWWSLLGAAIPAVIAGQAFRMKKKHAEEERIKSARGREKSSDDIFVCERVCTSKRMLKKVGAFSKDPIPDTCVTVCGVSELDACSDACARTVCVNQHQVPNWNDICLRRCQSECLRLSASHSS >KJB44298 pep chromosome:Graimondii2_0_v6:7:36521419:36523218:-1 gene:B456_007G245000 transcript:KJB44298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAWWSLLGAAIPAVIAGQAFRMKKKHAEEERIKSARGREKSSDDIFVCERVCTSKRMLKKVGAFSKDPIPDTCVTVCGVSELDACSDACARTVCVNQHQVPNWNDICLRRCQSECLRLSASHSS >KJB44296 pep chromosome:Graimondii2_0_v6:7:36521436:36523160:-1 gene:B456_007G245000 transcript:KJB44296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAWWSLLGAAIPAVIAGQAFRMKKKHAEEERIKSARGREKSSDDIFVCERVCTSKRMLKKVGAFSKDPIPDTCVTVCGVSELDACSDACARTVCVNQHQVPNWNDICLRRCQSECLRLSASHSS >KJB44299 pep chromosome:Graimondii2_0_v6:7:36521734:36522114:-1 gene:B456_007G245000 transcript:KJB44299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAWWSLLGAAIPAVIAGQAFRMKKKHAEEERIKSARGREKSSDDIFVCERVCTSKRMLKKVGAFSKDPIPDTCVTVCGVSELDACSDACARTVCVNQHQVPNWNDICLRRCQSECLRLSASHSS >KJB42575 pep chromosome:Graimondii2_0_v6:7:13796814:13799570:-1 gene:B456_007G158200 transcript:KJB42575 gene_biotype:protein_coding transcript_biotype:protein_coding description:HINT3 [Source:Projected from Arabidopsis thaliana (AT5G48545) UniProtKB/TrEMBL;Acc:A0A178UAY8] MEARRLAILYSHLCPFRSPSVPSLSSIVSPSACAPQSKETFPHDCVFCQIIRGDSPAFKLYEDDMCLCILDTRPLSPGHSLIIPKSHFPSLDTTPPSIHSTCWLTMV >KJB42577 pep chromosome:Graimondii2_0_v6:7:13796160:13799570:-1 gene:B456_007G158200 transcript:KJB42577 gene_biotype:protein_coding transcript_biotype:protein_coding description:HINT3 [Source:Projected from Arabidopsis thaliana (AT5G48545) UniProtKB/TrEMBL;Acc:A0A178UAY8] MEARRLAILYSHLCPFRSPSVPSLSSIVSPSACAPQSKETFPHDCVFCQIIRGDSPAFKLYEDDMCLCILDTRPLSPGHSLIIPKSHFPSLDTTPPSVVAAMCSKVPFISNAIIKVTGADSFNLLVNNGVAAGQVVFHTHIHIIPRKAGDCLWTSESLHRRTLKVDQETSGLANRVRELLLNISEDNKDQVSTLS >KJB42578 pep chromosome:Graimondii2_0_v6:7:13795953:13799727:-1 gene:B456_007G158200 transcript:KJB42578 gene_biotype:protein_coding transcript_biotype:protein_coding description:HINT3 [Source:Projected from Arabidopsis thaliana (AT5G48545) UniProtKB/TrEMBL;Acc:A0A178UAY8] MEARRLAILYSHLCPFRSPSVPSLSSIVSPSACAPQSKETFPHDCVFCQIIRGDSPAFKLYEDDMCLCILDTRPLSPGHSLIIPKSHFPSLDTTPPSVVAAMCSKVPFISNAIIKVTGADSFNLLVNNGVAAGQVVFHVIACGLLRYA >KJB42574 pep chromosome:Graimondii2_0_v6:7:13795949:13799758:-1 gene:B456_007G158200 transcript:KJB42574 gene_biotype:protein_coding transcript_biotype:protein_coding description:HINT3 [Source:Projected from Arabidopsis thaliana (AT5G48545) UniProtKB/TrEMBL;Acc:A0A178UAY8] MEARRLAILYSHLCPFRSPSVPSLSSIVSPSACAPQSKETFPHDCVFCQIIRGDSPAFKLYEDDMCLCILDTRPLSPGHSLIIPKSHFPSLDTTPPSIHSTCWLTMV >KJB42576 pep chromosome:Graimondii2_0_v6:7:13795949:13799758:-1 gene:B456_007G158200 transcript:KJB42576 gene_biotype:protein_coding transcript_biotype:protein_coding description:HINT3 [Source:Projected from Arabidopsis thaliana (AT5G48545) UniProtKB/TrEMBL;Acc:A0A178UAY8] MEARRLAILYSHLCPFRSPSVPSLSSIVSPSACAPQSKETFPHDCVFCQIIRGDSPAFKLYEDDMCLCILDTRPLSPGHSLIIPKSHFPSLDTTPPSVVAAMCSKVPFISNAIIKVTGADSFNLLVNNGVAAGQVVFHVIACGLLRVCIGGP >KJB41288 pep chromosome:Graimondii2_0_v6:7:7211020:7217193:1 gene:B456_007G097600 transcript:KJB41288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGRYPPGIGVGRGGGVNANPNFQSRPSQQHYVQRNLLQNHHHFQQQHQQQQQQHQQQLWLRRDQLPGGNDSSVVDEVEKTVQSEAVDSSSQDWKARLNVPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLIMDEADKLLSPEFQPSIEQLIHFLPANRQILMFSATFPVTVKDFKDRYLKKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >KJB41290 pep chromosome:Graimondii2_0_v6:7:7211469:7216116:1 gene:B456_007G097600 transcript:KJB41290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGRYPPGIGVGRGGGVNANPNFQSRPSQQHYVQRNLLQNHHHFQQQHQQQQQQHQQQLWLRRDQLPGGNDSSVVDEVEKTVQSEAVDSSSQDWKARLNVPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLIMDEADKLLSPEFQPSIEQLIHFLPANRQILMFSATFPVTVKDFKDRYLKKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVCWSIRKVWSSWIGCEFDHLRGPL >KJB41292 pep chromosome:Graimondii2_0_v6:7:7211020:7216451:1 gene:B456_007G097600 transcript:KJB41292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGRYPPGIGVGRGGGVNANPNFQSRPSQQHYVQRNLLQNHHHFQQQHQQQQQQHQQQLWLRRDQLPGGNDSSVVDEVEKTVQSEAVDSSSQDWKARLNVPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLIMDEADKLLSPEFQPSIEQLIHFLPANRQILMFSATFPVTVKDFKDRYLKKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNL >KJB41289 pep chromosome:Graimondii2_0_v6:7:7211020:7217193:1 gene:B456_007G097600 transcript:KJB41289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGRYPPGIGVGRGGGVNANPNFQSRPSQQHYVQRNLLQNHHHFQQQHQQQQQQHQQQLWLRRDQLPGGNDSSVVDEVEKTVQSEAVDSSSQDWKARLNVPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLIMDEADKLLSPEFQPSIEQLIHFLPANRQILMFSATFPVTVKDFKDRYLKKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNL >KJB41291 pep chromosome:Graimondii2_0_v6:7:7210939:7217193:1 gene:B456_007G097600 transcript:KJB41291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGRYPPGIGVGRGGGVNANPNFQSRPSQQHYVQRNLLQNHHHFQQQHQQQQQQHQQQLWLRRDQLPGGNDSSVVDEVEKTVQSEAVDSSSQDWKARLNVPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLIMDEADKLLSPEFQPSIEQLIHFLPANRQILMFSATFPVTVKDFKDRYLKKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >KJB39622 pep chromosome:Graimondii2_0_v6:7:1619198:1622478:-1 gene:B456_007G022300 transcript:KJB39622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPFNSITTSSQTHFEESEEEEEIESSSEEEKSDDTIDSDEEEEIERELAEVTFGELQKARADGTDSISRKPQTETKRRRANKNRPMELSSKKPVRSFRQVIQAPKKVVRDPRFESLCGNLDVEGFRKRYNFLFENNLPAEREEVQKQLKKARDPKVVSELKNHISWIDKQLKFESAKNTDAVILSAHKKKEKDAAKHGKRPYYLKKSEIRKQRLIEKYKKLKASGKLESFIEKRRRKNAAKDHRFMPYRRPNNNSEL >KJB39624 pep chromosome:Graimondii2_0_v6:7:1619198:1622426:-1 gene:B456_007G022300 transcript:KJB39624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPFNSITTSSQTHFEESEEEEEIESSSEEEKSDDTIDSDEEEEIERELAEVTFGELQKARADGTDSISRKPQTETKRRRANKNRPMELSSKKPVRSFRQVIQAPKKVVRDPRFESLCGNLDVEGFRKRYNFLFENNLPAEREKQLKKARDPKVVSELKNHISWIDKQLKFESAKNTDAVILSAHKKKEKDAAKHGKRPYYLKKSEIRKQRLIEKYKKLKASGKLESFIEKRRRKNAAKDHRFMPYRRPNNNSEL >KJB39623 pep chromosome:Graimondii2_0_v6:7:1619198:1622416:-1 gene:B456_007G022300 transcript:KJB39623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPFNSITTSSQTHFEESEEEEEIESSSEEEKSDDTIDSDEEEEIERELAEVTFGELQKARADGTDSISRKPQTETKRRRANKNRPMELSSKKPVRSFRQVIQAPKKVVRDPRFESLCGNLDVEGKRYNFLFENNLPAEREEVQKQLKKARDPKVVSELKNHISWIDKQLKFESAKNTDAVILSAHKKKEKDAAKHGKRPYYLKKSEIRKQRLIEKYKKLKASGKLESFIEKRRRKNAAKDHRFMPYRRPNNNSEL >KJB39625 pep chromosome:Graimondii2_0_v6:7:1619158:1622547:-1 gene:B456_007G022300 transcript:KJB39625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLDSGKMKKPFNSITTSSQTHFEESEEEEEIESSSEEEKSDDTIDSDEEEEIERELAEVTFGELQKARADGTDSISRKPQTETKRRRANKNRPMELSSKKPVRSFRQVIQAPKKVVRDPRFESLCGNLDVEGFRKRYNFLFENNLPAEREEVQKQLKKARDPKVVSELKNHISWIDKQLKFESAKNTDAVILSAHKKKEKDAAKHGKRPYYLKKSEIRKQRLIEKYKKLKASGKLESFIEKRRRKNAAKDHRFMPYRRPNNNSEL >KJB41916 pep chromosome:Graimondii2_0_v6:7:10209956:10213352:-1 gene:B456_007G127900 transcript:KJB41916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVPKQVAGNSMLGNSSIWGDVKCNSYLLFHGETALRNGLRAFLYFLGLAYCFIGLSAITARFFRSMENIVKHSRTVVEVDPHTNTEIIKQEKVWNYATADITLLAFGTSFPQISLATIDAIRNIGSLYAGGLGPGTLLGSAAFDLFPIHAVCVVVPKAGELKKISDIGVWLVELFWSFWAYAWLYIILEVWTPKVVTIWEALLTVLMYGLLLIHAYAQDKRWPYISLPLTRGERPEDWVPAEVASVSCEDDVYGGYTEILAVEEDKNEEIVDILSFHSEKREHSIYRKVPAAEDSVESSNRPFQKEINLEDLHVLQLWKKQFMDALTLESQSRKLNNAHLRVARILWQSLLAPWRLLFAFVPPYQIAHGWIAFICSLLFISGIAYIVTELTDIISCVTGINAYVIAFTALAAGTSWPDLVASKIAAERQLTADSAIANITCSNSVNIYVGIGIPWLINTAYNFITYGEPLRIENAEGLGFTLMVFFSTSVGCISVLVVRRLILGAELGGPRFWAWVTSVFFMLLWLIFVVLSSLRVSGVI >KJB41917 pep chromosome:Graimondii2_0_v6:7:10210598:10213664:-1 gene:B456_007G127900 transcript:KJB41917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVPKQVAGNSMLGNSSIWGDVKCNSYLLFHGETALRNGLRAFLYFLGLAYCFIGLSAITARFFRSMENIVKHSRTVVEVDPHTNTEIIKQEKVWNYATADITLLAFGTSFPQISLATIDAIRNIGSLYAGGLGPGTLLGSAAFDLFPIHAVCVVVPKAGELKKISDIGVWLVELFWSFWAYAWLYIILEVWTPKVVTIWEALLTVLMYGLLLIHAYAQDKRWPYISLPLTRGERPEDWVPAEVASVSCEDDVYGGYTEILAVEEDKNEEIVDILSFHSEKREHSIYRKVPAAEDSVESSNRPFQKEINLEDLHVLQLWKKQFMDALTLESQSRKLNNAHLRVARILWQSLLAPWRLLFAFVPPYQIAHGWIAFICSLLFISGIAYIVTELTDIISCVTGLPSLPKIDFY >KJB41915 pep chromosome:Graimondii2_0_v6:7:10209615:10213906:-1 gene:B456_007G127900 transcript:KJB41915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVPKQVAGNSMLGNSSIWGDVKCNSYLLFHGETALRNGLRAFLYFLGLAYCFIGLSAITARFFRSMENIVKHSRTVVEVDPHTNTEIIKQEKVWNYATADITLLAFGTSFPQISLATIDAIRNIGSLYAGGLGPGTLLGSAAFDLFPIHAVCVVVPKAGELKKISDIGVWLVELFWSFWAYAWLYIILEVWTPKVVTIWEALLTVLMYGLLLIHAYAQDKRWPYISLPLTRGERPEDWVPAEVASVSCEDDVYGGYTEILAVEEDKNEEIVDILSFHSEKREHSIYRKVPAAEDSVESSNRPFQKEINLEDLHVLQLWKKQFMDALTLESQSRKLNNAHLRVARILWQSLLAPWRLLFAFVPPYQIAHGWIAFICSLLFISGIAYIVTELTDIISCVTGINAYVIAFTALAAGTSWPDLVASKIAAERQLTADSAIANITLTR >KJB45607 pep chromosome:Graimondii2_0_v6:7:52910770:52914916:1 gene:B456_007G315300 transcript:KJB45607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKMSANLVPSMSKENRHLADFVPSFWGDIFLSTPPGMDMDARTQQEYEELKQKVRRMLVTNMDKPSQKLHIIDAVKRLGVAYHFEKEIEDALEVIYDHYCNHIQIDDDEDLYTTAVRFRLLREHGFNVQCETFNKFKNEKGKFKESLISDVKGMLELYEAAHFQLHEENLLEEALSFTTFHLKLAETTGDYPLSTQIANALKRPLLKSLPRLVAWSYISIYEGYGTQDKNLMKFAKLDFKMVQHLHMKEISEIYRWWKGLDVETNFPFIRDRLVECYLWILGVYFEPHYSVARTFMTKVISLTSIVDDTYDAYATYEELEIFTKAIQRWDINCPDQLPDCMKLCYSELLKIFKDMEDLMSGQGKSYRVQLAKEAMKQVCQAYFVEAKWLHEHYMPTVEEYLSVAFVSTCYPMLTIVSFVGMEDSITKETFTWAFNTPKILRASTIICRLMDDVVSHQFEQEREHVPSAVECYTKQYGVSAQEAYDEFYKRINNAWKDMNEAFLKPTVVPTSALNRILNLTRVIDLLYKDEDAYTRVGDSAKTSITALLIDPISI >KJB43151 pep chromosome:Graimondii2_0_v6:7:17979914:17981713:1 gene:B456_007G187000 transcript:KJB43151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQLIQFFTMEKTLDKTVSIKAFLLTLSLIFISSIPLSLLKTSHSRFSLPLPLPFPFPSSSEKKCDVFTGKWVPYPQGPYYSNETCRLIVDQQNCIKFGRPDTEFMKWRWKPHDCELPLFDAAQFLEIVRGKSMAFVGDSVGRNQMESLVCLLAHEAYPLDISRNSSADVNYFKRWFYADYNFTLAAFWSPFLVKSGGAHINGHSINGLISLYLDEPDEAWTNEIEKFDYVIISVGQWFFRPLLFYEAGRLLGCHICNQNNITSVSKYYAYKMAFRTAFRTLLSLKNYTGMAFLRTFLASHFENGDWDKGGNCPRTKPFTSNEMKLQEFNKEFYWVQVEELREAEEKGKKIGLIFELMNTTEIMWMRPDGHPNGYGHSMIKNASVYDCVHWCLPGPIDTLNEFLLYLMKKDVLPQLKAKLMRNA >KJB41381 pep chromosome:Graimondii2_0_v6:7:7572091:7577243:-1 gene:B456_007G101800 transcript:KJB41381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRFVRATIRHLLTVIFLGICMMWIMAPTNTYLQKWRPSIHKKLVSTYFGTQAPSMLIWTFPVLFVASLGSLYLHLGKNSNQDASQSNEKKHRRALWRKPVLVKGPLGIVSGIELALLIMFIALLVWSLVTYLRRLHTITPKAAAKEGVKVWEMKLFDVALWIGLTGNVCLAFLFYPVARGSSVLPLLGLTSEGSIKYHIWLGHMTMVLFTIHGICYIIDWAVTGNISEMLKWSHSHISNVAGEIALLGGLGLWAATFPQIRRKTFELFFYAHHLYIIFVFFFILHVGIDYTFIMLPGFYLFIVDRYLRFLQSRTSVRLLSARLLPCNVVELNFAKTHGLTYNPTSIMFVNVPSISKLQWHPFTVSSNSNMEPDKLSVIIKSEGSWSTKLHQMLSSPIDRLDVSIEGPYGPQSNHFLRFANKSYQLKTLFLLSLLKNYLTVQIFFRHDTIVMVSGGSGITPFISIIRELIFRSKISQCKTPDMILIAVFKSSLDLTMLDLLLPMTGSPSDLSNLKLHIEAYVTKEKEPTTDNSKRVRSILFKPLPTDKPMAPILGPNSWFWLAAIISSSFIMFLILIGIITRFYIYPRDHNNYEFSASTKAVLNVLAICVSIAATASAAVFWNKKQYSREATQVQNNEGQAPLGSPNLRAYNGDRELESLPHQSLAQATKVHYGGRPDLKRMLFERKGESVGVLVCGPKKLRHDVAAICSSGLADNLHFESISFSW >KJB41380 pep chromosome:Graimondii2_0_v6:7:7571735:7577325:-1 gene:B456_007G101800 transcript:KJB41380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRFVRATIRHLLTVIFLGICMMWIMAPTNTYLQKWRPSIHKKLVSTYFGTQAPSMLIWTFPVLFVASLGSLYLHLGKNSNQDASQSNEKKHRRALWRKPVLVKGPLGIVSGIELALLIMFIALLVWSLVTYLRRLHTITPKAAAKEGVKVWEMKLFDVALWIGLTGNVCLAFLFYPVARGSSVLPLLGLTSEGSIKYHIWLGHMTMVLFTIHGICYIIDWAVTGNISEMLKWSHSHISNVAGEIALLGGLGLWAATFPQIRRKTFELFFYAHHLYIIFVFFFILHVGIDYTFIMLPGFYLFIVDRYLRFLQSRTSVRLLSARLLPCNVVELNFAKTHGLTYNPTSIMFVNVPSISKLQWHPFTVSSNSNMEPDKLSVIIKSEGSWSTKLHQMLSSPIDRLDVSIEGPYGPQSNHFLRHDTIVMVSGGSGITPFISIIRELIFRSKISQCKTPDMILIAVFKSSLDLTMLDLLLPMTGSPSDLSNLKLHIEAYVTKEKEPTTDNSKRVRSILFKPLPTDKPMAPILGPNSWFWLAAIISSSFIMFLILIGIITRFYIYPRDHNNYEFSASTKAVLNVLAICVSIAATASAAVFWNKKQYSREATQVQNNEGQAPLGSPNLRAYNGDRELESLPHQSLAQATKVHYGGRPDLKRMLFERKGESVGVLVCGPKKLRHDVAAICSSGLADNLHFESISFSW >KJB39668 pep chromosome:Graimondii2_0_v6:7:1744940:1748542:-1 gene:B456_007G024900 transcript:KJB39668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSYCSHSFSQRPNLAPVFKFQVPNRRCTFSLARSSRIFESNSKLKKNKWKISCFRHEGFSPENPKSEYIEHFLPEELVQPEFSTPSARKRDWKSTLQEAADAVLSAVGSRWTVPWTAKTILQVMLLWVAAFWFIGSWMIPFAAHMSGFSKESLTFRGQALFSLVTDVTEGLAGIAILHRCLSQFYPLPSDWFKFSLRGKWLFDVMLGCLMFPAINRLSQFSLNLLPLMPSTPVTLSSVEQSILARDPVAMALYAIVVSICAPVWEEIVFRGFLLPSLTRYMPVWCAILVSSVAFALAHFNVRRMLPLIFLGMVMGVVFARSRNLLPSMLLHSLWNGFVFLDLMR >KJB39667 pep chromosome:Graimondii2_0_v6:7:1745349:1748542:-1 gene:B456_007G024900 transcript:KJB39667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSYCSHSFSQRPNLAPVFKFQVPNRRCTFSLARSSRIFESNSKLKKNKWKISCFRHEGFSPENPKSEYIEHFLPEELVQPEFSTPSARKRDWKSTLQEAADAVLSAVGSRWTVPWTAKTILQVMLLWVAAFWFIGSWMIPFAAHMSGFSKESLTFRGQALFSLVTDVTEGLAGIAILHRCLSQFYPLPSDWFKFSLRGKWLFDVMLGCLMFPAINRLSQFSLNLLPLMPSTPVTLSSVEQSILARDPVAMALYAIVVSICAPVWEEIVFRGFLLPSLTRYMPVWCAILVSSVAFALAHFNVRRMLPLIFLGMVMGVVFARSRNLLPSMLLHSLWNGFVFLDLMR >KJB43755 pep chromosome:Graimondii2_0_v6:7:23664633:23667563:-1 gene:B456_007G214900 transcript:KJB43755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQSSGSNSFPSGNFYSNGHLRSGHRNVVPDALVHDRDGHTSMRWIGQPNSSLDTQNHAGFSQFLGDDFGFSPLGDQVGMNQRSVPTINPFLENNSGIAGNGHNSSENGSIGSSLFPRREAGSGLLQQQRNLDLNAVVHEGRRFDAGQGNGPYLSLDLFRAGARTTAGDHDRIQTFGGSSSNPAMTYSGIARYILEENRIRDGLPANGQTRLLCKRKALEYATGGSSSSARQAANSHQPGTNIGQHNVRNYLSAVNSFNSGHSRHGAALPIPSNFYQVSTEVRQADNFGRNTRLRRTASQPVPTSANLQAWNSINSNAQPTSQCPVTLTSLAPVPVLANPAMQLQTMQVSNSLQAPLPSRYWNGTTMSRVGPSISRYQTLLRQENNLRNNRRNMMISLANMQANLNLANENSNFIGNVASSSRIQSGPGMHLPYSSIRSPHPNMVEQYRQRLQHISNPSDPWRQGNNNPIHSGASLVVQDMDVSVRDGNPRRAQLPLRLVPRAEGQAGHNYRLSLTEQSQTAAQRSRPISEVRNALGHVRRHGGLRPEMRLDVDTMSNEELQNLEEQIGNFGTGLSEEAILGNLRRQKYQSITMGPPAETEPCCICQEDYANGEELGKLDCGHDFHFSCIKQWLVQKNSCPICKKTALAI >KJB43756 pep chromosome:Graimondii2_0_v6:7:23664644:23667374:-1 gene:B456_007G214900 transcript:KJB43756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQSSGSNSFPSGNFYSNGHLRSGHRNVVPDALVHDRDGHTSMRWIGQPNSSLDTQNHAGFSQFLGDDFGFSPLGDQVGMNQRSVPTINPFLENNSGIAGNGHNSSENGSIGSSLFPRREAGSGLLQQQRNLDLNAVVHEGRRFDAGQGNGPYLSLDLFRAGARTTAGDHDRIQTFGGSSSNPAMTYSGIARYILEENRIRDGLPANGQTRLLCKRKALEYATGGSSSSARQAANSHQPGTNIGQHNVRNYLSAVNSFNSGHSRHGAALPIPSNFYQVSTEVRQADNFGRNTRLRRTASQPVPTSANLQAWNSINSNAQPTSQCPVTLTSLAPVPVLANPAMQLQTMQVSNSLQAPLPSRYWNGTTMSRVGPSISRYQTLLRQENNLRNNRRNMMISLANMQANLNLANENSNFIGNVASSSRIQSGPGMHLPYSSIRSPHPNMVEQYRQRLQHISNPSDPWRQGNNNPIHSGASLVVQDMDVSVRDGNPRRAQLPLRLVPRAEGQAGHNYRLSLTEQSQTAAQRSRPISEVRNALGHVRRHGGLRPEELQNLEEQIGNFGTGLSEEAILGNLRRQKYQSITMGPPAETEPCCICQEDYANGEELGKLDCGHDFHFSCIKQWLVQKNSCPICKKTALAI >KJB43757 pep chromosome:Graimondii2_0_v6:7:23664904:23667374:-1 gene:B456_007G214900 transcript:KJB43757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQSSGSNSFPSGNFYSNGHLRSGHRNVVPDALVHDRDGHTSMRWIGQPNSSLDTQNHAGFSQFLGDDFGFSPLGDQVGMNQRSVPTINPFLENNSGIAGNGHNSSENGSIGSSLFPRREAGSGLLQQQRNLDLNAVVHEGRRFDAGQGNGPYLSLDLFRAGARTTAGDHDRIQTFGGSSSNPAMTYSGIARYILEENRIRDGLPANGQTRLLCKRKALEYATGGSSSSARQAANSHQPGTNIGQHNVRNYLSAVNSFNSGHSRHGAALPIPSNFYQVSTEVRQADNFGRNTRLRRTASQPVPTSANLQAWNSINSNAQPTSQCPVTLTSLAPVPVLANPAMQLQTMQVSNSLQAPLPSRYWNGTTMSRVGPSISRYQTLLRQENNLRNNRRNMMISLANMQANLNLANENSNFIGNVASSSRIQSGPGMHLPYSSIRSPHPNMVEQYRQRLQHISNPSDPWRQGNNNPIHSGASLVVQDMDVSVRDGNPRRAQLPLRLVPRAEGQAGHNYRLSLTEQSQTAAQRSRPISEVRNALGHVRRHGGLRPEQMRLDVDTMSNEELQNLEEQIGNFGTGLSEEAILGNLRRQKYQSITMGPPAETEPCCICQEDYANGEELGKLDCGHDFHFSCIKQWLVQKNSCPICKKTALAI >KJB42770 pep chromosome:Graimondii2_0_v6:7:14907277:14914936:1 gene:B456_007G167500 transcript:KJB42770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGKLFIGGISWDTNEERLKEYFSTFGEVVEAVIMKDRTTGRARGFGFVVFSDPAVAERVILEKHNIDGRMVEAKKAVPRDDQNIMSRSTSSTHGSPGPGRTKKIFVGGLASTVTESDFKKYFDQFGNITDVVVMYDHNTQRPRGFGFITYDLEEAVEKVLLKNFHELNGKMVEVKRAVPKELSPGPSRSPLSGYNYGLNRVNSFLNGYTQGYTPSSVGGYGLRMDGRFSPIAGGRSVFPPFGSGYGMGMNFEPGLNPSFGNNANFTSNMNYGRGLSPYYIGNTNRFGSPIGCDGTAGGNTSFFSSVTRNLWGNGGLNYNTNAASSGAYMGSGSGSIGGSSFGNSGINWGSSAISSQVGGNHVSSNSANFAYGTGDNSFGLGTAGYGRNSGTNVAPTSSYVASNGGYDGAFADIYGGASIYGDTTWRSSTSEQDDSGSFGYVLGSAASDVSGKSSPGYVGGYSVNRRQSNRGIAT >KJB42769 pep chromosome:Graimondii2_0_v6:7:14907922:14914641:1 gene:B456_007G167500 transcript:KJB42769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGKLFIGGISWDTNEERLKEYFSTFGEVVEAVIMKDRTTGRARGFGFVVFSDPAVAERVILEKHNIDGRMVEAKKAVPRDDQNIMSRSTSSTHGSPGPGRTKKIFVGGLASTVTESDFKKYFDQFGNITDVVVMYDHNTQRPRGFGFITYDLEEAVEKVLLKNFHELNGKMVEVKRAVPKELSPGPSRSPLSGYNYGLNRVNSFLNGYTQGYTPSSVGGYGLRMDGRFSPIAGGRSVFPPFGSGYGMGMNFEPGLNPSFGNNANFTSNMNYGRGLSPYYIGNTNRFGSPIGCDGTAGGNTSFFSSVTRNLWGNGGLNYNTNAASSGAYMGSGSGSIGGSSFGNSGINWGSSAISSQVGGNHVSSNSANFAYGTGDNSFGLGTAGYGRNSGTNVAPTSSYVASNGGYDGAFADIYGGASIYGDTTWRSSTSEQDDSGSFGYVLGSAASDVSGKSSPGYVGGYSVNRRQSNRGIAT >KJB42771 pep chromosome:Graimondii2_0_v6:7:14907309:14911840:1 gene:B456_007G167500 transcript:KJB42771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGKLFIGGISWDTNEERLKEYFSTFGEVVEAVIMKDRTTGRARGFGFVVFSDPAVAERVILEKHNIDGRMVEAKKAVPRDDQNIMSRSTSSTHGSPGPGRTKKIFVGGLASTVTESDFKKYFDQFGNITDVVVMYDHNTQRPRGFGFITYDLEEAVEKVLLKNFHELNGKMVEVKRAVPKELSPGPSRSPLSGYNYGLNRVNSFLNGYTQGYTPSSVGGYGLRMDGRFSPIAGGRSVFPPFGSGYGMGMNFEPGLNPSFGNNANFTSNMNYGRGLSPYYIGNTNRFGSPIGCDGTAGGNTSFFSSVTRNLWGNGGLNYNTNAASSGAYMGSGSGSIGGSSFGNSGINWGSSAISSQVGGNHVSSNSANFAYGTGDNSFGLGTAGYGRNSGTNVAPTSSYVASNGGYDGAFADIYGGASIYGDTTWRSSTSEQDDSGSFGYVLGSAASDVSGKSSPGYVGGYSVNRRQSNRGFVNIKLMKCLKKDVRN >KJB42767 pep chromosome:Graimondii2_0_v6:7:14907277:14912903:1 gene:B456_007G167500 transcript:KJB42767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGKLFIGGISWDTNEERLKEYFSTFGEVVEAVIMKDRTTGRARGFGFVVFSDPAVAERVILEKHNIDGRMVEAKKAVPRDDQNIMSRSTSSTHGSPGPGRTKKIFVGGLASTVTESDFKKYFDQFGNITDVVVMYDHNTQRPRGFGFITYDLEEAVEKVLLKNFHELNGKMVEVKRAVPKELSPGPSRSPLSGYNYGLNRVNSFLNGYTQGYTPSSVGGYGLRMDGRFSPIAGGRSVFPPFGSGYGMGMNFEPGLNPSFGNNANFTSNMNYGRGLSPYYIGNTNRFGSPIGCDGTAGGNTSFFSSVTRNLWGNGGLNYNTNAASSGAYMGSGSGSIGGSSFGNSGINWGSSAISSQVGGNHVSSNSANFAYGTGDNSFGLGTAGYGRNSGTNVAPTSSYVASNGGYDGAFADIYGGASIYGDTTWRSSTSEQDDSGSFGYVLGSAASDVSGKSSPGYVGGYSVNRRQSNRGSSAVK >KJB42772 pep chromosome:Graimondii2_0_v6:7:14907309:14914603:1 gene:B456_007G167500 transcript:KJB42772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGKLFIGGISWDTNEERLKEYFSTFGEVVEAVIMKDRTTGRARGFGFVVFSDPAVAERVILEKHNIDGRMVEAKKAVPRDDQNIMSRSTSSTHGSPGPGRTKKIFVGGLASTVTESDFKKYFDQFGNITDVVVMYDHNTQRPRGFGFITYDLEEAVEKVLLKNFHELNGKMVEVKRAVPKELSPGPSRSPLSGYNYGLNRVNSFLNGYTQGYTPSSVGGYGLRMDGRFSPIAGGRSVFPPFGSGYGMGMNFEPGLNPSFGNNANFTSNMNYGRGLSPYYIGNTNRFGSPIGCDGTAGGNTSFFSSVTRNLWGNGGLNYNTNAASSGAYMGSGSGSIGGSSFGNSGINWGSSAISSQVGGNHVSSNSANFAYGTGDNSFGLGTAGYGRNSGTNVAPTSSYVASNGGYDGAFADIYGGASIYGDTTWRSSTSEQDDSGSFGYVLGSAASDVSGKSSPGYVGGYSVNRRQSNREFHY >KJB42766 pep chromosome:Graimondii2_0_v6:7:14907056:14914641:1 gene:B456_007G167500 transcript:KJB42766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGKLFIGGISWDTNEERLKEYFSTFGEVVEAVIMKDRTTGRARGFGFVVFSDPAVAERVILEKHNIDGRMVEAKKAVPRDDQNIMSRSTSSTHGSPGPGRTKKIFVGGLASTVTESDFKKYFDQFGNITDVVVMYDHNTQRPRGFGFITYDLEEAVEKVLLKNFHELNGKMVEVKRAVPKELSPGPSRSPLSGYNYGLNRVNSFLNGYTQGYTPSSVGGYGLRMDGRFSPIAGGRSVFPPFGSGYGMGMNFEPGLNPSFGNNANFTSNMNYGRGLSPYYIGNTNRFGSPIGCDGTAGGNTSFFSSVTRNLWGNGGLNYNTNAASSGAYMGSGSGSIGGSSFGNSGINWGSSAISSQVGGNHVSSNSANFAYGTGDNSFGLGTAGYGRNSGTNVAPTSSYVASNGGYDGAFADIYGGASIYGDTTWRSSTSEQDDSGSFGYVLGSAASDVSGKSSPGYVGGYSVNRRQSNRGIAT >KJB42768 pep chromosome:Graimondii2_0_v6:7:14907277:14912903:1 gene:B456_007G167500 transcript:KJB42768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGKLFIGGISWDTNEERLKEYFSTFGEVVEAVIMKDRTTGRARGFGFVVFSDPAVAERVILEKHNIDGRMVEAKKAVPRDDQNIMSRSTSSTHGSPGPGRTKKIFVGGLASTVTESDFKKYFDQFGNITDVVVMYDHNTQRPRGFGFITYDLEEAVEKVLLKNFHELNGKMVEVKRAVPKELSPGPSRSPLSGYNYGLNRVNSFLNGYTQGYTPSSVGGYGLRMDGRFSPIAGGRSVFPPFGSGYGMGMNFEPGLNPSFGNNANFTSNMNYGRGLSPYYIGNTNRFGSPIGCDGTAGGNTSFFSSVTRNLWGNGGLNYNTNAASSGAYMGSGSGSIGGSSFGNSGINWGSSAISSQVGGNHVSSNSANFAYGTGDNSFGLGTAGYGRNSGTNVAPTSSYVASNGGYDGAFADIYGGASIYGDTTWRSSTSEQDDSGSFGYVLGSAASDVSGKSSPGYVGGYSVNRRQSNRGSSAVK >KJB42773 pep chromosome:Graimondii2_0_v6:7:14907277:14914936:1 gene:B456_007G167500 transcript:KJB42773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGKLFIGGISWDTNEERLKEYFSTFGEVVEAVIMKDRTTGRARGFGFVVFSDPAVAERVILEKHNIDGRMVEAKKAVPRDDQNIMSRSTSSTHGSPGPGRTKKIFVGGLASTVTESDFKKYFDQFGNITDVVVMYDHNTQRPRGFGFITYDLEEAVEKVLLKNFHELNGKMVEVKRAVPKELSPGPSRSPLSGYNYGLNRVNSFLNGYTQGYTPSSVGGYGLRMDGRFSPIAGGRSVFPPFGSGYGMGMNFEPGLNPSFGNNANFTSNMNYGRGLSPYYIGNTNRFGSPIGCDGTAGGNTSFFSSVTRNLWGNGGLNYNTNAASSGAYMGSGSGSIGGSSFGNSGINWGSSAISSQVGGNHVSSNSANFAYGTGDNSFGLGTAGYGRNSGTNVAPTSSYVASNGGYDGAFADIYGGASIYGDTTWRSSTSEQDDSGSFGYVLGSAASDVSGKSSPGYVGGYSVNRRQSNRGIAT >KJB42991 pep chromosome:Graimondii2_0_v6:7:16617780:16620164:1 gene:B456_007G178600 transcript:KJB42991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQSAATATANERVKTLTLDSTQIYLTALNSTTSTLSFPPIPSSFPPSNFIPNTRFLIDSFRHPFTAFSASYFLSHFHPDQYSGLSPSWSKGIIFCSHLTCLLLIETLKIPPRFVFALPLNDPVLVDGCEVILIDANHCPGSVQLLFKVPTKNGIFERYVHTGDFRSCNPMKLNSYLIGFVGCDAIFLDTTYCDPKCIFPSQEESIDYVVSVVDRIGKEFGRRRVLFLIATYVAGKEKILVEVARRCKTKICVDGRKMEILRVLGYGDGEVFTEDESESDVHVVGWSVLGETWPYFRPNFVIMEEIMVEKGYEKVVGFVPTGWTFEVKRNKFAVQTKDTFEIHLVPCSEHSNYDELKEYVKFLKPKKVIPTVGMDFEKLESKHADEMRKQFDGLIDEMDNKDLLMGFHRGNCETVEKVERDANEERVMERNKNMFEIKTVESNDMDVSSNDVSYVHKPDSQDSTIPSEEERERIIEETRDSLPKWVTRDQILDLVSSSRWNIVEAVSNFYEHEIEFYEQVSVFRTFESASHASSPNSPISLSKSGPFRSSTDESVNTNLSQVSKSPSLKLTRWSNISPSKRKKNTEKRSNKKVKSNSKLESSGSKQPTITSFFSKLLPDDSKGGKTDRKN >KJB42396 pep chromosome:Graimondii2_0_v6:7:12794810:12796323:1 gene:B456_007G150500 transcript:KJB42396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACRDFPHPRHDCAKFPFSLTSHEQHCELCHCFVCDTRAPCCYWGSGISTIDHCHATDKEEMWKTLRKTFRHGRTFPISLAKAPVTSYSTATPRVNQASRCDNMQFTQQNQVSRLTPTRAAGNCMSQSNNQRPSIIRACSSSTRYGIPYNPNVGSQRVLNRTMQSRSVSQHLLGVHNTIIRRDRGIRISNLASQFVPSNTMSKRMEAASTMNRTAYVPVENITSAIASQHQQNPASLTIPYERNSNPIGWPNFCPGSNLGTYTHQGSSQPSVDGVITNSAPSQSSAYSQAVPQSSLNQDTNQLQNQNQPAAIHAFSDYDLNWVNNIGVSNQQSSVDHVQLQAPGSTNEKEPSMEVNEGDRSFYSELENFLLDDQSIPGDVLAAELNPLSPNHASIDTGMNPFDIDTSWDCLTRV >KJB42397 pep chromosome:Graimondii2_0_v6:7:12792758:12796862:1 gene:B456_007G150500 transcript:KJB42397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESSPIVFDISSDDDEATLAWEEPKGDDYDWLSEVLEAVDKGFDDLDEVVVVGEVNPTKKSKSSNSVARKVVDEDDGDCVVLEGDPDKALSDVNDPQQDSDECLIVGQKGQIACRDFPHPRHDCAKFPFSLTSHEQHCELCHCFVCDTRAPCCYWGSGISTIDHCHATDKEEMWKTLRKTFRHGRTFPISLAKAPVTSYSTATPRVNQASRCDNMQFTQQNQVSRLTPTRAAGNCMSQSNNQRPSIIRACSSSTRYGIPYNPNVGSQRVLNRTMQSRSVSQHLLGVHNTIIRRDRGIRISNLASQFVPSNTMSKRMEAASTMNRTAYVPVENITSAIASQHQQNPASLTIPYERNSNPIGWPNFCPGSNLGTYTHQGSSQPSVDGVITNSAPSQSSAYSQAVPQSSLNQDTNQLQNQNQPAAIHAFSDYDLNWVNNIGVSNQQSSVDHVQLQAPGSTNEKEPSMEVNEGDRSFYSELENFLLDDQSIPGDVLAAELNPLSPNHASIDTGMNPFDIDTSWDCLTRV >KJB42162 pep chromosome:Graimondii2_0_v6:7:11541642:11544686:1 gene:B456_007G139800 transcript:KJB42162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKRSLPGNPDPDAEVIALSPKTLLATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRNSKEVKKKAYVCPEPTCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCEKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARLSANQLAAAANPALNPSSLFPYQNPWDPIQTSNPNPNDPLQVKPESQHFTPYFQEPPLPPPKALFTSPFQSLHVSNNPPSNAAATSATPQLSATALLQKATTVGATATQIKNNNYYYSMATHLSPDFSGFTAADLATWQKNSDRFTRDFLGLTRDHQGGNGNVNLSMNPRDVLSYTGGVELQHFERGQPLLRPQGFGFPEPYAATSETWGDC >KJB42161 pep chromosome:Graimondii2_0_v6:7:11540975:11544780:1 gene:B456_007G139800 transcript:KJB42161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPAATMSNSTSLSEEANVSSGTRVQDFGGLNPVVTHQQLPQKMKKKRSLPGNPDPDAEVIALSPKTLLATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRNSKEVKKKAYVCPEPTCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCEKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARLSANQLAAAANPALNPSSLFPYQNPWDPIQTSNPNPNDPLQVKPESQHFTPYFQEPPLPPPKALFTSPFQSLHVSNNPPSNAAATSATPQLSATALLQKATTVGATATQIKNNNYYYSMATHLSPDFSGFTAADLATWQKNSDRFTRDFLGLTRDHQGGNGNVNLSMNPRDVLSYTGGVELQHFERGQPLLRPQGFGFPEPYAATSETWGDC >KJB44313 pep chromosome:Graimondii2_0_v6:7:36816855:36821029:1 gene:B456_007G245400 transcript:KJB44313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSLRIVLTHEHADAVLGLDDIRVVQPHSPTNDIDPTAIYLTQYVMDSRLYKIEGYFPSKLSIAKRICSGFMMSIAMLDDVYFKLREGQEVRRVAQLDWRIIEDDYDKPFVASGLKFVPLPVMHGEDYICLDFLFGEKSKVAYISDVSRFPSNTEYVISKSGSGQLDLLILDCLYKKGSHNVHLCLPQVCSKFFQKLGCPEKKT >KJB44564 pep chromosome:Graimondii2_0_v6:7:44013672:44016072:1 gene:B456_007G265200 transcript:KJB44564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKADEQMVEEQPRQKQRRKKNFIFYFRKKIIIRNLYVRSLCNISAFSLYCYGSFGGFSERDEKAGIKKSSSCGAKTKNPRGKTTLRKKVESSGRISKKKLNNLKVFKKAKKRIRKVEVEIAERKGYTKRLKQWVMEETTIMESAILEMEIEVGRVKGMNGMLSLNNQIINDYIKSMLGIF >KJB44565 pep chromosome:Graimondii2_0_v6:7:44015104:44016072:1 gene:B456_007G265200 transcript:KJB44565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVAFATSPLFPCIATVHLGAFRKEMRKQALRNLHLVGQKLVREPKRKNNVKEKGGKQRQNFKEKAKQLESIQESKEKN >KJB39256 pep chromosome:Graimondii2_0_v6:7:346919:352900:1 gene:B456_007G004300 transcript:KJB39256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKILLIPVYTCLSFSLLCSFLVNTEQHKKTYQMGKAENNHNMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKAGNLLEDGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQVWLQHQSFIRCKRHLISVFVSQSLNFQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAAN >KJB39263 pep chromosome:Graimondii2_0_v6:7:347212:352900:1 gene:B456_007G004300 transcript:KJB39263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAENNHNMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKAGNLLEDGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAAN >KJB39262 pep chromosome:Graimondii2_0_v6:7:347075:352968:1 gene:B456_007G004300 transcript:KJB39262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAENNHNMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKAGNLLEDGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAAN >KJB39258 pep chromosome:Graimondii2_0_v6:7:346919:352900:1 gene:B456_007G004300 transcript:KJB39258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKILLIPVYTCLSFSLLCSFLVNTEQHKKTYQMGKAENNHNMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKAGNLLEDGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAAN >KJB39257 pep chromosome:Graimondii2_0_v6:7:346919:352261:1 gene:B456_007G004300 transcript:KJB39257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKILLIPVYTCLSFSLLCSFLVNTEQHKKTYQMGKAENNHNMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKAGNLLEDGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQVWLQHQSFIRCKRHLISVFVSQSLNFQLYANYAIGKDVQAMKAVVGEEALSSEDLVTLSFTFPP >KJB39261 pep chromosome:Graimondii2_0_v6:7:346919:352900:1 gene:B456_007G004300 transcript:KJB39261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAENNHNMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKAGNLLEDGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAAN >KJB39260 pep chromosome:Graimondii2_0_v6:7:347048:352900:1 gene:B456_007G004300 transcript:KJB39260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAENNHNMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKAGNLLEDGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAAN >KJB39259 pep chromosome:Graimondii2_0_v6:7:346919:352900:1 gene:B456_007G004300 transcript:KJB39259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKILLIPVYTCLSFSLLCSFLVNTEQHKKTYQMGKAENNHNMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKAGNLLEDGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAAN >KJB43200 pep chromosome:Graimondii2_0_v6:7:18142404:18145943:1 gene:B456_007G188300 transcript:KJB43200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKIKVANPIVEMDGDEMTRVIWKSIKDKLILPFVELDIKYFDLGLPHRDATDDKVTIESAEATLKYNVAIKCATITPDEARVKEFDLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQGEKTEYEVFNFTGEGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNPKLLDFTEKLEAACIGTVESGKMTKDLALIIHGSK >KJB43203 pep chromosome:Graimondii2_0_v6:7:18142248:18147158:1 gene:B456_007G188300 transcript:KJB43203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKIKVANPIVEMDGDEMTRVIWKSIKDKLILPFVELDIKYFDLGLPHRDATDDKVTIESAEATLKYNVAIKCATITPDEARVKEFDLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFEGQGEKTEYEVFNFTGEGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNPKLLDFTEKLEAACIGTVESGKMTKDLALIIHGSKLARDKYLNTEEFIDAVAADLKARLS >KJB43199 pep chromosome:Graimondii2_0_v6:7:18142248:18147158:1 gene:B456_007G188300 transcript:KJB43199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKIKVANPIVEMDGDEMTRVIWKSIKDKLILPFVELDIKYFDLGLPHRDATDDKVTIESAEATLKYNVAIKCATITPDEARVKEFDLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQGEKTEYEVFNFTGEGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNPKLLDFTEKLEAACIGTVESGKMTKDLALIIHGSKLARDKYLNTEEFIDAVAADLKARLS >KJB43201 pep chromosome:Graimondii2_0_v6:7:18142404:18145817:1 gene:B456_007G188300 transcript:KJB43201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKIKVANPIVEMDGDEMTRVIWKSIKDKLILPFVELDIKYFDLGLPHRDATDDKVTIESAEATLKYNVAIKCATITPDEARVKEFDLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQGEKTEYEVFNFTGEGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHR >KJB43198 pep chromosome:Graimondii2_0_v6:7:18143362:18146212:1 gene:B456_007G188300 transcript:KJB43198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMTKLQLKVQKLLLSMYNVAIKCATITPDEARVKEFDLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQGEKTEYEVFNFTGEGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNPKLLDFTEKLEAACIGTVESGKMTKDLALIIHGSKLARDKYLNTEEFIDAVAADLKARLS >KJB43202 pep chromosome:Graimondii2_0_v6:7:18142248:18147158:1 gene:B456_007G188300 transcript:KJB43202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKIKVANPIVEMDGDEMTRVIWKSIKDKLILPFVELDIKYFDLGLPHRDATDDKVTIESAEATLKYNVAIKCATITPDEARVKEFDLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQGEKTEYEVFNFTGEGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGLCFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNPKLLDFTEKLEAACIGTVESGKMTKDLALIIHGSKLARDKYLNTEEFIDAVAADLKARLS >KJB43197 pep chromosome:Graimondii2_0_v6:7:18142190:18147210:1 gene:B456_007G188300 transcript:KJB43197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKIKVANPIVEMDGDEMTRVIWKSIKDKLILPFVELDIKYFDLGLPHRDATDDKVTIESAEATLKYNVAIKCATITPDEARVKEFDLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQGEKTEYEVFNFTGEGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNPKLLDFTEKLEAACIGTVESGKMTKDLALIIHGSKLARDKYLNTEEFIDAVAADLKARLS >KJB43194 pep chromosome:Graimondii2_0_v6:7:18142248:18147158:1 gene:B456_007G188300 transcript:KJB43194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKIKVANPIVEMDGDEMTRVIWKSIKDKLILPFVELDIKYFDLGLPHRDATDDKVTIESAEATLKYNVAIKCATITPDEARVKEFDLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQGEKTEYEVFNFTGEGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNPKLLDFTEKLEAACIGTVESGKMTKDLALIIHGSKLARDKYLNTEEFIDAVAADLKARLS >KJB43195 pep chromosome:Graimondii2_0_v6:7:18142248:18145790:1 gene:B456_007G188300 transcript:KJB43195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKIKVANPIVEMDGDEMTRVIWKSIKDKLILPFVELDIKYFDLGLPHRDATDDKVTIESAEATLKYNVAIKCATITPDEARVKEFDLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQGEKTEYEVFNFTGEGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVYLMSTVYLILLSSLLVINSLLIFLLR >KJB43196 pep chromosome:Graimondii2_0_v6:7:18142404:18145297:1 gene:B456_007G188300 transcript:KJB43196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKIKVANPIVEMDGDEMTRVIWKSIKDKLILPFVELDIKYFDLGLPHRDATDDKVTIESAEATLKYNVAIKCATITPDEARVKEFDLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQGEKTEYEVFNFTGEGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGLCHKLVKGKKNVPLSTSN >KJB41079 pep chromosome:Graimondii2_0_v6:7:6499051:6499248:1 gene:B456_007G089200 transcript:KJB41079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIRSAFSLMAGTLFGVYLAQNYNVPNIGKLLNSGLVIAQHIEENYRKPSATTNSNDDAVDVSK >KJB43400 pep chromosome:Graimondii2_0_v6:7:19956095:19957829:-1 gene:B456_007G197900 transcript:KJB43400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVEAALKTSFRKEMALKPSPQAFLEDIWVVNGQNGVSCDDFSVDDLFDFSNEEGFLQQKREDEEDEEEEEVPASSSLPKRQKLSQDNAHFSNDSTTNFDYGSLSTNELAVPADDVENLEWLSHFVEDSFLEHSTVYPTGTLMEKPKLLDGKFPEPEKSVTTTACFETPVPAKARSKRVRTGGRVWSLVATPSLTESSSSSTSSSSSSSPSPWMFCPNSGSGSIFELSEPLSVEKPPVKKHKKRPSTDTTGCNGTQPTRRCSHCGVTKTPQWRAGPMGAKTLCNACGVRFKSGRLLPEYRPACSPTFSSELHSNHHRKVLEMRRKKETLGQAEPGLTPPVVPSFG >KJB43398 pep chromosome:Graimondii2_0_v6:7:19956095:19958068:-1 gene:B456_007G197900 transcript:KJB43398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVEAALKTSFRKEMALKPSPQAFLEDIWVVNGQNGVSCDDFSVDDLFDFSNEEGFLQQKREDEEDEEEEEVPASSSLPKRQKLSQDNAHFSNDSTTNFDYGSLSTNELAVPADDVENLEWLSHFVEDSFLEHSTVYPTGTLMEKPKLLDGKFPEPEKSVTTTACFETPVPAKARSKRVRTGGRVWSLVATPSLTESSSSSTSSSSSSSPSPWMFCPNSGSGSIFELSEPLSVEKPPVKKHKKRPSTDTTGCNGTQPTRRCSHCGVTKTPQWRAGPMGAKTLCNACGVRFKSGRLLPEYRPACSPTFSSELHSNHHRKVLEMRRKKETLGQAEPGLTPPVVPSFG >KJB43399 pep chromosome:Graimondii2_0_v6:7:19956565:19957703:-1 gene:B456_007G197900 transcript:KJB43399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVEAALKTSFRKEMALKPSPQAFLEDIWVVNGQNGVSCDDFSVDDLFDFSNEEGFLQQKREDEEDEEEEEVPASSSLPKRQKLSQDNAHFSNDSTTNFDYGSLSTNELAVPVPFLQADDVENLEWLSHFVEDSFLEHSTVYPTGTLMEKPKLLDGKFPEPEKSVTTTACFETPVPAKARSKRVRTGGRVWSLVATPSLTESSSSSTSSSSSSSPSPWMFCPNSGSGSIFELSEPLSVEKPPVKKHKKRPSTDTTGCNGTQPTRRCSHCGVTKTPQWRAGPMGAKTLCNACGVRFKSGRLLPEYRPACSPTFSSELHSNHHRKVLEMRRKKETLGQAEPGLTPPVVPSFG >KJB39471 pep chromosome:Graimondii2_0_v6:7:1168224:1170763:-1 gene:B456_007G015200 transcript:KJB39471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADTGLFFPYMQNFAQDFQQFEGYCKTQKPNASMNNMVPTSTISEYDLGGDGDLFKAPEPIIEEPIVSLDPMTAAISSLISCGEDVITSQELKAADIESFQNEQLLEVLYECEKDMMAQAAIETPLVAEVIDVKIPVDENWNQENEMSCDVTFSKSISSGSLSSMEWMRQAAIKPNFLDFTGMDFSSVYGMRRAFSEGDMKTINCQLLF >KJB39470 pep chromosome:Graimondii2_0_v6:7:1168166:1170893:-1 gene:B456_007G015200 transcript:KJB39470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADTGLFFPYMQNFAQDFQQFEGYCKTQKPNASMNNMVPTSTISEYDLGGDGDLFKAPEPIIEEPIVSLDPMTAAISSLISCGEDVITSQELKAADIESFQNEQLLEVLYECEKDMMAQAAIETPLVAEVIDVKIPVDENWNQENEMSCDVTFSKSISSGSLSSMEWMRQAAIKPNFLDFTGMDFSSVYGMRRAFSEGDMKTLGNGNVSIIHSPVERPSIVSCCSNEDRREKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFARTEESDHSKR >KJB44212 pep chromosome:Graimondii2_0_v6:7:33069716:33070889:-1 gene:B456_007G240300 transcript:KJB44212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAKEEEKVMGYNSKNVKKAALHSTLTALLDDPILADIPKKPSLSDVDTLINLELGSAMCISILKLDGTSFDVAVMNSATVKDLKLAIKKKVVELEQSKMGHRHISWRYVWANFCLAHHNEKLLDDGAALQGFGVHFFTLYCLKRFWETF >KJB44211 pep chromosome:Graimondii2_0_v6:7:33069529:33070948:-1 gene:B456_007G240300 transcript:KJB44211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAKEEEKVMGYNSKNVKKAALHSTLTALLDDPILADIPKKPSLSDVDTLINLELGSAMCISILKLDGTSFDVAVMNSATVKDLKLAIKKKVVELEQSKMGHRHISWRYVWANFCLAHHNEKLLDDGAALQGFGVRNNSQVHFFTLYCLKRFWETF >KJB41249 pep chromosome:Graimondii2_0_v6:7:7123430:7126512:1 gene:B456_007G096500 transcript:KJB41249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTPSPSLHLTQFSLPRAIEMASSTQFSLTFKPSPSPLFSNFPRTRFGPFTTARPIASRFKVLSSQTGFFTRLGRLIKEKAKSDVEKIFSGFSKTRDNLAVIDELLLYWNLADTDRVLDELEEALLVSDFGPRITIKIVERLREDILNGKLKSGSEIKDALKRSVLDLLTTKGSNTELRLGFRKPAVIMIVGVNGGGKTTSLGKLAYRLKNEGAKILMAAGDTFRAAASDQLEIWAERTGCEIVVAEKENAKASSVLSQAVKRGKEQGFDVVLCDTSGRLHTNYSLMEELIACKKAVEKVVRGAPNILLVLDGNTGLNMLPQAREFNEVVGITGFILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDAEAFVNAIFS >KJB41245 pep chromosome:Graimondii2_0_v6:7:7123430:7125638:1 gene:B456_007G096500 transcript:KJB41245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTPSPSLHLTQFSLPRAIEMASSTQFSLTFKPSPSPLFSNFPRTRFGPFTTARPIASRFKVLSSQTGFFTRLGRLIKEKAKSDVEKIFSGFSKTRDNLAVIDELLLYWNLADTDRVLDELEEALLVSDFGPRITIKIVERLREDILNGKLKSGSEIKDALKRSVLDLLTTKGSNTELRLGFRKPAVIMIVGVNGGGKTTSLGKLAYRLKNEGAKILMAAGDTFRAAASDQLEIWAERTGCEIVVAEKENAKASSVLSQAVKRGKEQGFDVVLCDTSGRLHTNYSLMEELIACKKAVEKVVRGAPNEILLVLDGNTGLNMLPQAREFNEALFLPSPSSRY >KJB41250 pep chromosome:Graimondii2_0_v6:7:7123430:7126512:1 gene:B456_007G096500 transcript:KJB41250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTPSPSLHLTQFSLPRAIEMASSTQFSLTFKPSPSPLFSNFPRTRFGPFTTARPIASRFKVLSSQTGFFTRLGRLIKEKAKSDVEKIFSGFSKTRDNLAVIDELLLYWNLADTDRVLDELEEALLVSDFGPRITIKIVERLREDILNGKLKSGSEIKDALKRSVLDLLTTKGSNTELRLGFRKPAVIMIVGVNGGGKTTSLGKLAYRLKNEGAKILMAAGDTFRAAASDQLEIWAERTGCEIVVAEKENAKASSVLSQAVKRGKEQGFDVVLCDTSGRLHTNYSLMEELIACKKAVEKVVRGAPNEILLVLDGNTGLNMLPQAREFNEVVGITGFILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDAEAFVNAIFS >KJB41246 pep chromosome:Graimondii2_0_v6:7:7123430:7126512:1 gene:B456_007G096500 transcript:KJB41246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTPSPSLHLTQFSLPRAIEMASSTQFSLTFKPSPSPLFSNFPRTRFGPFTTARPIASRFKVLSSQTGFFTRLGRLIKEKAKSDVEKIFSGFSKTRDNLAVIDELLLYWNLADTDRVLDELEEALLVSDFGPRITIKIVERLREDILNGKLKSGSEIKDALKRSVLDLLTTKGSNTELRLGFRKPAVIMIVGVNGGGKTTSLGKLAYRLKNEGAKILMAAGDTFRAAASDQLEIWAERTGCEIVVAEKENAKASSVLSQAVKRGKEQGFDVVLCDTSGRLHTNYSLMEELIACKKAVEKVVRGAPNEILLVLDGNTGLNMLPQAREFNEVSVVDELGIPVKFVGVGEGVEDLQPFDAEAFVNAIFS >KJB41244 pep chromosome:Graimondii2_0_v6:7:7123366:7126985:1 gene:B456_007G096500 transcript:KJB41244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTPSPSLHLTQFSLPRAIEMASSTQFSLTFKPSPSPLFSNFPRTRFGPFTTARPIASRFKVLSSQTGFFTRLGRLIKEKAKSDVEKIFSGFSKTRDNLAVIDELLLYWNLADTDRVLDELEEALLVSDFGPRITIKIVERLREDILNGKLKSGSEIKDALKRSVLDLLTTKGSNTELRLGFRKPAVIMIVGVNGGGKTTSLGKLAYRLKNEGAKILMAAGDTFRAAASDQLEIWAERTGCEIVVAEKENAKASSVLSQAVKRGKEQGFDVVLCDTSGRLHTNYSLMEELIACKKAVEKVVRGAPNEILLVLDGNTGLNMLPQAREFNEVVGITGFILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDAEAFVNAIFS >KJB41248 pep chromosome:Graimondii2_0_v6:7:7123430:7126512:1 gene:B456_007G096500 transcript:KJB41248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTPSPSLHLTQFSLPRAIEMASSTQFSLTFKPSPSPLFSNFPRTRFGPFTTARPIASRFKVLSSQTGFFTRLGRLIKEKAKSDVEKIFSGFSKTRDNLAVIDELLLYWNLADTDRVLDELEEALLVSDFGPRITIKIVERLREDILNGKLKSGSEIKDALKRSVLDLLTTKGSNTELRLGFRKPAVIMIVGVNGGGKTTSLGKLAYRLKNEGAKILMAAGDTFRAAASDQLEIWAERTGCEIVVAEKENAKASSVLSQAVKRGKEQGFDVVLCDTSGRLHTNYSLMEELIACKKAVEKVVRGAPNEILLVLDGNTGLNMLPQAREFNEVVGITGFILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDAEAFVNAIFS >KJB41247 pep chromosome:Graimondii2_0_v6:7:7123430:7125638:1 gene:B456_007G096500 transcript:KJB41247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTPSPSLHLTQFSLPRAIEMASSTQFSLTFKPSPSPLFSNFPRTRFGPFTTARPIASRFKVLSSQTGFFTRLGRLIKEKAKSDVEKIFSGFSKTRDNLAVIDELLLYWNLADTDRVLDELEEALLVSDFGPRITIKIVERLREDILNGKLKSGSEIKDALKRSVLDLLTTKGSNTELRLGFRKPAVIMIVGVNGGGKTTSLGKLAYRLKNEGAKILMAAGDTFRAAASDQLEIWAERTGCEIVVAEKENAKASSVLSQAVKRGKEQGFDVVLCDTSGRLHTNYSLMEELIACKKAVEKVVRGAPNEILLVLDGNTGLNMLPQAREFNEALFLPSPSSRY >KJB43526 pep chromosome:Graimondii2_0_v6:7:21052722:21056347:-1 gene:B456_007G204500 transcript:KJB43526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36650) UniProtKB/Swiss-Prot;Acc:O23215] MKCPYCSAAQGRCATTSTGRSITECTSCGRVVEERQFQNHHLFHVRAQDTPLCLVTSDIPAPASAYQIHEDDPFEPTGFITAFSTWSLEPNPLFLRSSLSFSGHLAELERILELSSSSAPSATSSSTVVVDNLRAYMQILDVASILGLDCDISDHAFQLFRDCCSATCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANVPQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPEKAFPTTTIATGRSSVPRVDSIDLSSTADRDKQQDSKPIKPNDVSEAGLQARGKDDAENNGNSSGTHAAMLNRPPHFRQPWLQFGAPNVRTVGDKNQTTIGGDISEAQPSCPESEQKVDMPKIDTKGASSSLRPSQFSSSPASNVSTITWPFRSPASSGPSPNMPIVHPPKLPPGFAELKGSGTQNGSKVTNPSGDSK >KJB43525 pep chromosome:Graimondii2_0_v6:7:21052722:21056137:-1 gene:B456_007G204500 transcript:KJB43525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36650) UniProtKB/Swiss-Prot;Acc:O23215] MKCPYCSAAQGRCATTSTGRSITECTSCGRVVEERQFQNHHLFHVRAQDTPLCLVTSDIPAPASAYQIHEDDPFEPTGFITAFSTWSLEPNPLFLRSSLSFSGHLAELERILELSSSSAPSATSSSTVVVDNLRAYMQILDVASILGLDCDISDHAFQLFRDCCSATCLRNRSVEALATAALVQAIREAQEPRTLQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPEKAFPTTTIATGRSSVPRVDSIDLSSTADRDKQQDSKPIKPNDVSEAGLQARGKDDAENNGNSSGTHAAMLNRPPHFRQPWLQFGAPNVRTVGDKNQTTIGGDISEAQPSCPESEQKVDMPKIDTKGASSSLRPSQFSSSPASNVSTITWPFRSPASSGPSPNMPIVHPPKLPPGFAELKGSGTQNGSKVTNPSGDSK >KJB42234 pep chromosome:Graimondii2_0_v6:7:11995333:11995999:-1 gene:B456_007G143600 transcript:KJB42234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDCSDPGKSSWPELVGTNGEVAAHIIEKENPKVSVRIVKEGMMVTMDFRCDRVRVWVDNYGIVKTTPHIG >KJB43444 pep chromosome:Graimondii2_0_v6:7:20418795:20422314:-1 gene:B456_007G200700 transcript:KJB43444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASEEEKIRRGSFKKTASNRFRNSRKRRSSKVISVEIDDERDTEEMQSVETLRQALIAEDMLPEKHDDYHTLLRFLKARRFDIEKTKQMWGDMLKWRKEFGTDTILEDFEFKEREEVLKYYPQGYHGVDKDGRPVYIERIGLVDATKLMQVTTMDRYLKYHVGEFEKTFKIKFPSCSIAAKKHIDQSTTLLDVQGVGLKSFTKAARELITLLQKVDGDNYPETLNRMFILNAGSGFRMLWNTVKSFLDPKTTAKINVLGNKFQSKLLEIIDADQLPDFLGGTCTCAEHGGCMLSDKGPWKDPEILKMVQSGQYKAGNKSRAQSSEEKTTTKEETPAPKASDSVDAEPVPEAAKKQPEPPPVKEEVEIITPKIVTPVVLDKPVVIATPDENAVVVPKGTELAIVPKVDANRQEVLRVPDGLSSHLFTGVMTFVMGIGAMMKVTRNMPRKPTDPTNYVSQVESVGTVAKSQDPSAQLTQPVGLSTAELMSVMKRMAELEERLSVINQKPTTMPPEKEELLNTALTRADALEQELMATKKALEDSFAQQQELAAYIDKKKKKKKKTLFW >KJB43445 pep chromosome:Graimondii2_0_v6:7:20419066:20422303:-1 gene:B456_007G200700 transcript:KJB43445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASEEEKIRRGSFKKTASNRFRNSRKRRSSKVISVEIDDERDTEEMQSVETLRQALIAEDMLPEKHDDYHTLLRFLKARRFDIEKTKQMWGDMLKWRKEFGTDTILEDFEFKEREEVLKYYPQGYHGVDKDGRPVYIERIGLVDATKLMQVTTMDRYLKYHVGEFEKTFKIKFPSCSIAAKKHIDQSTTLLDVQGVGLKSFTKAARELITLLQKVDGDNYPETLNRMFILNAGSGFRMLWNTVKSFLDPKTTAKINVLGNKFQSKLLEIIDADQLPDFLGGTCTCAEHGGCMLSDKGPWKDPEILKMVQSGQYKAGNKSRAQSSEEKTTTKEETPAPKASDSVDAEPVPEAAKKQPEPPPVKEEVEIITPKIVTPVVLDKPVVIATPDENAVVVPKGTELAIVPKVDANRQEVLRVPDGLSSHLFTGVMTFVMGIGAMMKVTRNMPRKPTDPTNYVSQVESVGTVAKSQDPSAQLTQPVGLSTAELMSVMKRMAELEERLSVINQKPTTMPPEKEELLNTALTRADALEQELMATKKALEDSFAQQQELAAYIDKKKKKKKKTLKLICWYPSSGKQ >KJB40281 pep chromosome:Graimondii2_0_v6:7:3991662:3994862:1 gene:B456_007G056700 transcript:KJB40281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMEVHEDMYTAVESVEICLVSPPMDGRGKRLWEKVKYQLVEYHSLPGFLRDNEYILGHYRSEWPMKQILLSIFRIHNETLNVWTHLIGFFIFLSLTIYTAMKVPKVVDIHALQQITDVLRKADLHKLQSEILTCLPSLPNIPDLHKLREELKTSIPLMDLIPSLSSWHVMEHLYSCLPELSSAGNHIDPHVLESVKEPITRWPFFAFLGGAMFCLLASSTCHLLSCHSERLSYIMLRLDYAGIAALIATSFYPPVYYSFMCDPFFCNLYLGSITILGVATILFSLLPVFQKPEFRSTRASLFFGMGMSGVAPIIHKLILFWHQPEALYTTLYEILMGILYGMGALVYALRIPERWMPGKFDIAGHSHQLFHILVVAGAITHYQAGLVYLKWRDLNGC >KJB40284 pep chromosome:Graimondii2_0_v6:7:3991657:3994685:1 gene:B456_007G056700 transcript:KJB40284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMEVHEDMYTAVESVEICLVSPPMDGRGKRLWEKVKYQLVEYHSLPGFLRDNEYILGHYRSEWPMKQILLSIFRIHNETLNVWTHLIGFFIFLSLTIYTAMKVPKVVDIHALQQITDVLRKADLHKLQSEILTCLPSLPNIPDLHKLREELKTSIPLMDLIPSLSSWHVMEHLYSCLPELSSAGNHIDPHVLESVKEPITRWPFFAFLGGAMFCLLASSTCHLLSCHSERLSYIMLRLDYAGIAALIATSFYPPVYYSFMCDPFFCNLYLGSITILGVATILFSLLPVFQKPEFRSTRASLFFGMGMSGVAPIIHKLILFWHQPEALYTTLYEILMGILYGMGALVYALRIPERWMPGKFDIAGHSHQLFHILVVAGAITHYQAGLVYLKWRDLNGC >KJB40283 pep chromosome:Graimondii2_0_v6:7:3991743:3994862:1 gene:B456_007G056700 transcript:KJB40283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMEVHEDMYTAVESVEICLVSPPMDGRGKRLWEKVKYQLVEYHSLPGFLRDNEYILGHYRSEWPMKQILLSIFRIHNETLNVWTHLIGFFIFLSLTIYTAMKVPKVVDIHALQQITDVLRKADLHKLQSEILTCLPSLPNIPDLHKLREELKTSIPLMDLIPSLSSWHVMEHLYSCLPELSSAGNHIDPHVLESVKEPITRWPFFAFLGGAMFCLLASSTCHLLSCHSERLSYIMLRLDYAGIAALIATSFYPPVYYSFMCDPFFCNLYLGSITILGVATILFSLLPVFQKPEFRSTRASLFFGMGMSGVAPIIHKLILFWHQPEALYTTLYEILMGILYGMGALVYALRIPERWMPGKFDIAGHSHQLFHILVVAGAITHYQAGLVYLKWRDLNGC >KJB40282 pep chromosome:Graimondii2_0_v6:7:3991657:3994685:1 gene:B456_007G056700 transcript:KJB40282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHEDMYTAVESVEICLVSPPMDGRGKRLWEKVKYQLVEYHSLPGFLRDNEYILGHYRSEWPMKQILLSIFRIHNETLNVWTHLIGFFIFLSLTIYTAMKVPKVVDIHALQQITDVLRKADLHKLQSEILTCLPSLPNIPDLHKLREELKTSIPLMDLIPSLSSWHVMEHLYSCLPELSSAGNHIDPHVLESVKEPITRWPFFAFLGGAMFCLLASSTCHLLSCHSERLSYIMLRLDYAGIAALIATSFYPPVYYSFMCDPFFCNLYLGSITILGVATILFSLLPVFQKPEFRSTRASLFFGMGMSGVAPIIHKLILFWHQPEALYTTLYEILMGILYGMGALVYALRIPERWMPGKFDIAGHSHQLFHILVVAGAITHYQAGLVYLKWRDLNGC >KJB39242 pep chromosome:Graimondii2_0_v6:7:291010:295346:1 gene:B456_007G003500 transcript:KJB39242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSSCHLFLFLLLFALFPFPLLARPFVLVLSQDDLKDVQNDDVSPLDSDSSWDDDDFGGTHVKPDDELDPGSWRRLFEPPTTLLSPSHDTSLDSYYAAVHKIISASNNGDARLMEEAAAEIETAANTDGDPHARSVLGFLCGMGMMRERNKAKAFLNHYFAAEGGNAQSKMALAYTYSRQDMHEKAVKLYAELAEIAVNSFLISKDSPVIEPIRIHNGAEENKEALKKSRGEDDEDFQILEYQAQKGNAGAMYKMGLFYYFGLRGLRRDHTKALMWFLKAVDKGEPRSLELLGEIYARGAGVERNYTKALEWLSLASEHGLYSAYNGMGYLHVKGYGVEKNYTKAKEYFDKAADNEDAGGHYNLGVMYLKGIGVKRDVKIACKCFIVAANAGQPKAFYQLAKMFHTGVGLKKNLPMATALYKLVAERGPWSSLSRWALESYLKGDMGKAFLLYSRMAELGYEIAQSNAAWILDKYGERSMCMGESGVCTDAERHQRAHSLWWQASEQGNEHAALLIGDAYYYGRGTVRDYERAAEAYIHAKSQSNAQAMFNLGYMHEHGQGLPFDLHLAKRYYDQALELDPAAKLPVTLALASLWVRKNYADSFLVSCSLWYMSCFCLSLPEHV >KJB39240 pep chromosome:Graimondii2_0_v6:7:290938:295349:1 gene:B456_007G003500 transcript:KJB39240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSSCHLFLFLLLFALFPFPLLARPFVLVLSQDDLKDVQNDDVSPLDSDSSWDDDDFGGTHVKPDDELDPGSWRRLFEPPTTLLSPSHDTSLDSYYAAVHKIISASNNGDARLMEEAAAEIETAANTDGDPHARSVLGFLCGMGMMRERNKAKAFLNHYFAAEGGNAQSKMALAYTYSRQDMHEKAVKLYAELAEIAVNSFLISKDSPVIEPIRIHNGAEENKEALKKSRGEDDEDFQILEYQAQKGNAGAMYKMGLFYYFGLRGLRRDHTKALMWFLKAVDKGEPRSLELLGEIYARGAGVERNYTKALEWLSLASEHGLYSAYNGMGYLHVKGYGVEKNYTKAKEYFDKAADNEDAGGHYNLGVMYLKGIGVKRDVKIACKCFIVAANAGQPKAFYQLAKMFHTGVGLKKNLPMATALYKLVAERGPWSSLSRWALESYLKGDMGKAFLLYSRMAELGYEIAQSNAAWILDKYGERSMCMGESGVCTDAERHQRAHSLWWQASEQGNEHAALLIGDAYYYGRGTVRDYERAAEAYIHAKSQSNAQAMFNLGYMHEHGQGLPFDLHLAKRYYDQALELDPAAKLPVTLALASLWVRKNYADSFLVHVIDSLPEVYPRVEEWVENVIMEEGNATILTLFVCLLTVLYLRERQRRHAIAAAGAHEPNEHVVPAAR >KJB39241 pep chromosome:Graimondii2_0_v6:7:291010:295346:1 gene:B456_007G003500 transcript:KJB39241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSSCHLFLFLLLFALFPFPLLARPFVLVLSQDDLKDVQNDDVSPLDSDSSWDDDDFGGTHVKPDDELDPGSWRRLFEPPTTLLSPSHDTSLDSYYAAVHKIISASNNGDARLMEEAAAEIETAANTDGDPHARSVLGFLCGMGMMRERNKAKAFLNHYFAAEGGNAQSKMALAYTYSRQDMHEKAVKLYAELAEIAVNSFLISKDSPVIEPIRIHNGAEENKEALKKSRGEDDEDFQILEYQAQKGNAGAMYKMGLFYYFGLRGLRRDHTKALMWFLKAVDKGEPRSLELLGEIYARGAGVERNYTKALEWLSLASEHGLYSAYNGMGYLHVKGYGVEKNYTKAKEYFDKAADNEDAGGHYNLGVMYLKGIGVKRDVKIACKCFIVAANAGQPKAFYQLAKMFHTGVGLKKNLPMATALYKLVAERGPWSSLSRWALESYLKGDMGKAFLLYSRMAELGYEIAQSNAAWILDKYGERSMCMGESGVCTDAERHQRAHSLWWQASEQGNEHAALLIGDAYYYGRGTVRDYERAAEAYIHAKSQSNAQAMFNLGYMHEHGQGLPFDLHLAKRYYDQALELDPAAKLPVTLALASLWVRKNYADSFLLNTSD >KJB46449 pep chromosome:Graimondii2_0_v6:7:60185348:60188956:1 gene:B456_007G369200 transcript:KJB46449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKGKNNVTVKMFQISSFASFLASANIKFTWLSSGNNGGCLTLNNKQSDDSRGSNVTLSCCLRYFIG >KJB43698 pep chromosome:Graimondii2_0_v6:7:23214951:23216237:-1 gene:B456_007G212200 transcript:KJB43698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLDMYNSEHKGHHHHCPPMSPRISFSNDFVETQQVMKQERNSREAPVSSDFEFSVSNYSMMSADELFFKGKLLPFKDNCNNQMQRTLREELLAGDDDDNNVTRTPPKGSTRWKGFLGLKRTHIGSKKADKINEVSMERMGDNKRFAFVHEDTQVVKTSQELLTEGGSGCRNVEIGI >KJB43697 pep chromosome:Graimondii2_0_v6:7:23214952:23216872:-1 gene:B456_007G212200 transcript:KJB43697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLDMYNSEHKGHHHHCPPMSPRISFSNDFVETQQVMKQERNSREAPVSSDFEFSVSNYSMMSADELFFKGKLLPFKDNCNNQMQRTLREELLAGDDDDNNVTRTPPKGSTRWKGFLGLKRTHIGSKKADKINEVSMERMGDNKRFAFVHEDTQVVKTSQELLTEGGSGCRNVEIGI >KJB43701 pep chromosome:Graimondii2_0_v6:7:23215265:23216916:-1 gene:B456_007G212200 transcript:KJB43701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLDMYNSEHKGHHHHCPPMSPRISFSNDFVETQQVMKQERNSREAPVSSDFEFSVSNYSMMSADELFFKGKLLPFKDNCNNQMQRTLREELLAGDDDDNNVTRTPPKGSTRWKGFLGLKRTHIGSKKADKINEVSMERMGDNKRFAFVHEDTQVVKTSQVRK >KJB43699 pep chromosome:Graimondii2_0_v6:7:23214828:23216987:-1 gene:B456_007G212200 transcript:KJB43699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLDMYNSEHKGHHHHCPPMSPRISFSNDFVETQQVMKQERNSREAPVSSDFEFSVSNYSMMSADELFFKGKLLPFKDNCNNQMQRTLREELLAGDDDDNNVTRTPPKGSTRWKGFLGLKRTHIGSKKADKINEVSMERMGDNKRFAFVHEDTQVVKTSQELLTEGGSGCRNVEIGI >KJB43700 pep chromosome:Graimondii2_0_v6:7:23214804:23217024:-1 gene:B456_007G212200 transcript:KJB43700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNLMACLDMYNSEHKGHHHHCPPMSPRISFSNDFVETQQVMKQERNSREAPVSSDFEFSVSNYSMMSADELFFKGKLLPFKDNCNNQMQRTLREELLAGDDDDNNVTRTPPKGSTRWKGFLGLKRTHIGSKKADKINEVSMERMGDNKRFAFVHEDTQVVKTSQELLTEGGSGCRNVEIGI >KJB43694 pep chromosome:Graimondii2_0_v6:7:23195456:23202531:1 gene:B456_007G212100 transcript:KJB43694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKDVGDGRCIAEIKSPAMICAEEIQSNLEPEFPSFAKSLVRSHVGSCFWMGLPGMFCKIHLPRKDTTITLEDESGNQFHVKYYADKTGLSAGWRQFCSAHNLLEGDVLVFQLVEPTKFKIYIIRANDLNELDGALGLLNLDAYTKQSDADDAETGPTVSKSTKRKRPKPLPLASVRKKNKRSGLQILSCNVGQPAEQSENDSEEVGSEVLEGFKRTEYAIQFKDITSFENILVDGLVIDPELSEDIRSKYYQLCCSQNAFLHENIIQGISFKFKVGIISETVNIADAIRTCKLTTSRDEFDSWDRTLKAFELLGMNVGFLRTRLHRLVNLAFESEGAAETRRYFEAKAERDQTENEIRNLEAKLTELKDASKTFGFEMESLQSKAETNEFRFEKEVKAPW >KJB43696 pep chromosome:Graimondii2_0_v6:7:23195458:23202531:1 gene:B456_007G212100 transcript:KJB43696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEQNRKALALAREGNSGHLLLLRSPCLLITELPVMDRRVKKEAEEIPQRTMSFAGRRLKSAGEEDFILALSTHTPKLNPSSSEKKEISKKANALTERKQKRKKCQSETIIKPAVSDCGEKKISSMKNKDVGDGRCIAEIKSPAMICAEEIQSNLEPEFPSFAKSLVRSHVGSCFWMGLPGMFCKIHLPRKDTTITLEDESGNQFHVKYYADKTGLSAGWRQFCSAHNLLEGDVLVFQLVEPTKFKIYIIRANDLNELDGALGLLNLDAYTKQSDADDAETGPTVSKSTKRKRPKPLPLASVRKKNKRSGLQILSCNVGQPAEQSENDSEEVGSEVLEGFKRTEYAIQFKDITSFENILVDGLVIDPELSEDIRSKYYQLCCSQNAFLHENIIQGAAETRRYFEAKAERDQTENEIRNLEAKLTELKDASKTFGFEMESLQSKAETNEFRFEKEVKAPW >KJB43693 pep chromosome:Graimondii2_0_v6:7:23195560:23202268:1 gene:B456_007G212100 transcript:KJB43693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRVKKEAEEIPQRTMSFAGRRLKSAGEEDFILALSTHTPKLNPSSSEKKEISKKANALTERKQKRKKCQSETIIKPAVSDCGEKKISSMKNKDVGDGRCIAEIKSPAMICAEEIQSNLEPEFPSFAKSLVRSHVGSCFWMGLPGMFCKIHLPRKDTTITLEDESGNQFHVKYYADKTGLSAGWRQFCSAHNLLEGDVLVFQLVEPTKFKIYIIRANDLNELDGALGLLNLDAYTKQSDADDAETGPTVSKSTKRKRPKPLPLASVRKKNKRSGLQILSCNVGQPAEQSENDSEEVGSEVLEGFKRTEYAIQFKDITSFENILVDGLVIDPELSEDIRSKYYQLCCSQNAFLHENIIQGISFKFKVGIISETVNIADAIRTCKLTTSRDEFDSWDRTLKAFELLGMNVGFLRTRLHRLVNLAFESEGAAETRRYFEAKAERDQTENEIRNLEAKLTELKDASKTFGFEMESLQSKAETNEFRFEKEVKAPW >KJB43695 pep chromosome:Graimondii2_0_v6:7:23195420:23202562:1 gene:B456_007G212100 transcript:KJB43695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEQNRKALALAREGNSGHLLLLRSPCLLITELPVMDRRVKKEAEEIPQRTMSFAGRRLKSAGEEDFILALSTHTPKLNPSSSEKKEISKKANALTERKQKRKKCQSETIIKPAVSDCGEKKISSMKNKDVGDGRCIAEIKSPAMICAEEIQSNLEPEFPSFAKSLVRSHVGSCFWMGLPGMFCKIHLPRKDTTITLEDESGNQFHVKYYADKTGLSAGWRQFCSAHNLLEGDVLVFQLVEPTKFKIYIIRANDLNELDGALGLLNLDAYTKQSDAETGPTVSKSTKRKRPKPLPLASVRKKNKRSGLQILSCNVGQPAEQSENDSEEVGSEVLEGFKRTEYAIQFKDITSFENILVDGLVIDPELSEDIRSKYYQLCCSQNAFLHENIIQGISFKFKVGIISETVNIADAIRTCKLTTSRDEFDSWDRTLKAFELLGMNVGFLRTRLHRLVNLAFESEGAAETRRYFEAKAERDQTENEIRNLEAKLTELKDASKTFGFEMESLQSKAETNEFRFEKEVKAPW >KJB46062 pep chromosome:Graimondii2_0_v6:7:57658634:57660591:-1 gene:B456_007G346700 transcript:KJB46062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLIMLVLLLFLLNLASSDINQGQFSCYGLLQLTNSTSLIKGHKFYENPIQFKNSKNGSVFSFSTTFIFAIRPEYGGHGMAFVISPNNEIPAASAVQYLGLFNETNNGDSSNHIVAVELDTVQSFDLNDSDDNHVGIDINSVNSVKSASAGYYTDEGEFINVSLVSGDPMQIWIEYNGVEKRLNVTLYPINLPRPKTPLISYKKDLSPYMYDSMYVGFSSSTGSVSATSSSHYILAWSFKMNGSPDELDLSRLPKIPRHDNGGIKQLKKILAITLSFTGLTLVLVLIFGFVLISRKKRFMEILEDWEGFKEKEVLGRGGFGKVHKGVLPSSNIQIAVKRISHDSRQGMREFVAEIATIGHLRHPNLVRLLGYCKRKHELLLVYDYMPNGSLDKFLYYQPNSSLNWTQRFKIIKDVASALLYLHQQWVQVIIHRDIKPANVLIDSEMNARLGDFGMAKLCDLGNDPQTSHVAGTLGYMAPELARTGQANTSTDIYAFGIFMLEVACGRKPIEPQTAPEEAFLADWIKDCWDKGDILATIDKRLGKRSFVGQQAELVLKLGLLCSHPVTAARPSMSSVISYLDGVASLPDDISSVIKVREFPAVSNEVGAPNELTAERNTVPSITITETFVSRGR >KJB45216 pep chromosome:Graimondii2_0_v6:7:50658544:50661703:-1 gene:B456_007G295800 transcript:KJB45216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTPPTSLSSLCMVMDNSKKLGTIVFLELFCLGCLVISIFKAISDVACIESERQALLRFKQNLTDPSDQLASWSNGGNCCDWTGLVCDNLTGHVIELHLGNLHNPTEDTGFPGEPFERSRLSGKVVSSLLDLKHLRYLDLSGNNFGGQIPGFLGSLHNLRYLNLSNAGFEGSVPPQLGNLTHLQYLDLHDTLSTYLYAENLQWLTYLTKLKSLDLSGVTLSKASHWCKASHWLEVTNALPSLIALSLSNYDLDPVPPLKNVNFSSLGALDLSYNTFSNSISSWIFTLTSLVSLDLSHNSFQGQFPDGLRNVTSLTYLSLSSNGFNSSIPNWLYSLNHLQHLNLGSNNFQGTISEGVGNLTSAISLVFAYNKLEVAALRSLGKLCSLRILVLSGLKLSQDISDVLKSLSGCLSDRLESLILVNCQLSGHMSNQLGQFKNLVDLYITNNSILGPIPDSLRTLTSLREVDLSENRLNGSFPEWFGQLRKLEVLWIGKNMLEGVVSEAHFSNVTTLRLLQASGNRLSLKVSPDWVPPFQLSVIALSSWNLGPRFPNWLRYQKDFLFLDISVAGIIDTTPDWFWNLSSQFFYMNLSHNQIQGRVAGILNTNPPLGYASSIDLSSNFFQGPLPCLPSNVGTLDLSNNSFSGSISPLFLGTLRLAYNHLSGPIPDCWMSWPNIFSMDLKNNNLSGSLPSSMGSLSFLQSLHLRKNNLSGVLPPSLQNCSSLLALDLGENKFEGNIPNWIGERLSRIMILGLRSNDFQGDIPHELCALGSLTILDLAHNNLSGNIPECFNNFSSMASVRNSSDPISYSFGHFKNSIETTLVVIKGILLEYSTTLQLVTSLDLSDNNLSGQIPVGITDLLGLRSLNLSINRLLGKIPETIGNMGTLESLDFSFNQLSGAIPPSISKLTFLSYLNLAYNNLTGKIPSSTQLQSFAASNFAGNTLCGPPLTDNCSINAVEPDAGGGNSEGSEDGLEVDWFWFYVSMALGFVVAFWSFAGPLLFKKSWRSAYFQMLDSMGKKARRCFC >KJB46444 pep chromosome:Graimondii2_0_v6:7:60137829:60138029:1 gene:B456_007G368800 transcript:KJB46444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVLGGSKIVSWFLFLFASVGEIVRLLCTLDASFMLFVGLLISKNKFIDFILAEAESKWRLFEKH >KJB43105 pep chromosome:Graimondii2_0_v6:7:17694415:17704306:-1 gene:B456_007G184400 transcript:KJB43105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVERSSLCNFVVNFLLEENYLLTAFELLHELLDDGRDAQAMRLKEFFADPSHFPVDQISRYSSLGVVDPQSLLEEKEAIEEKLALSDYELRLAQEDVMKLKTELQRKVDLRRDRLSESSVSNNINHALEVHRHRRDVPFSTLGPLKANERKDLNCAVKEYLLIGGYRLTAMTFCEEVTDQNLDVWANSPASVPDALRHYYYQYLSSSSEAAEEKISMIRENESLQKAIESLNYEKELLMKNKDLAEGQINALTKSLAATQKDLKDKENLIQDVKHAWEHQRKELNDCRAEITSPKMHIEGSSSVQNPVTSSVDSAQPQALENYKEEIVSLQKEIERLKSEKRDIPDLSDSNCAEKESTYTEEKVVEVDENKTLISHPVEPAGPIDSNSLSASVQAFDNSTHKPEDNLAEPAMNPSSTADGFPSSRTLSQQNEKPPSEDSRLHSKSETPSSGPAPDNMGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDNATRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTEIELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPHMDKYFKVEELMFQLACDPSGVVVETTLKELLPALINWGNKLDHILRVLISHILDSAEHCPPLAGVEGSVESHLRVLGEQERWNLDILLRILADLLPHVHQKAIETCPFSSVSESNGPKFSSSVLELYAGGHVEWPAFEWMHVDCFSGLIQLSCLLPQKEDSLRNRTTKFLLAVSELFGESYSTHIMMPVFLVAVGDAADFTFFPPNIHSRIRGLKPRTAVAERLAVLGILPLLLAGVLGSPGKREQLADYLRKLLVEGAMKENQSITHNNDIVNAVRFLCTFEEHHSLIFDILWEMVVSSNIELKIGAANILKVIVTYVDAKVASTHVLPALITLGSDQNLKVRNASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHETTMAVVRSLVVALPHTTERFRDYFLTKIFQLTSMPAFATDGMSRRERANVFCEAICAVDATDISANSIRDFLLPSIQNLLRDPDTLDPAHKEALEIILKERSGGTFDALSKVMGAHIGIPSSMTSIFGEGGLLGKKESTAPTSPVGSPRAGASSAHGLAPEDTRFMRIMRVTDMLRGKAKSQEETHQNQ >KJB43101 pep chromosome:Graimondii2_0_v6:7:17693876:17698874:-1 gene:B456_007G184400 transcript:KJB43101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAIERHPDNATRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTEIELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPHMDKYFKVEELMFQLACDPSGVVVETTLKELLPALINWGNKLDHILRVLISHILDSAEHCPPLAGVEGSVESHLRVLGEQERWNLDILLRILADLLPHVHQKAIETCPFSSVSESNGPKFSSSVLELYAGGHVEWPAFEWMHVDCFSGLIQLSCLLPQKEDSLRNRTTKFLLAVSELFGESYSTHIMMPVFLVAVGDAADFTFFPPNIHSRIRGLKPRTAVAERLAVLGILPLLLAGVLGSPGKREQLADYLRKLLVEGAMKENQSITHNNDIVNAVRFLCTFEEHHSLIFDILWEMVVSSNIELKIGAANILKVIVTYVDAKVASTHVLPALITLGSDQNLKVRNASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHETTMAVVRSLVVALPHTTERFRDYFLTKIFQLTSMPAFATDGMSRRERANVFCEAICAVDATDISANSIRDFLLPSIQNLLRDPDTLDPAHKEALEIILKERSGGTFDALSKVMGAHIGIPSSMTSIFGEGGLLGKKESTAPTSPVGSPRAGASSAHGLAPEDTRFMRIMRVTDMLRGKAKSQEETHQNQ >KJB43110 pep chromosome:Graimondii2_0_v6:7:17694415:17702432:-1 gene:B456_007G184400 transcript:KJB43110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRIIRSTKMVKTATWLYRFAIGEVLAVKVNTEEIFLFFFSHPMRDVPFSTLGPLKANERKDLNCAVKEYLLIGGYRLTAMTFCEEVTDQNLDVWANSPASVPDALRHYYYQYLSSSSEAAEEKISMIRENESLQKAIESLNYEKELLMKNKDLAEGQINALTKSLAATQKDLKDKENLIQDVKHAWEHQRKELNDCRAEITSPKMHIEGSSSVQNPVTSSVDSAQPQALENYKEEIVSLQKEIERLKSEKRDIPDLSDSNCAEKESTYTEEKVVEVDENKTLISHPVEPAGPIDSNSLSASVQAFDNSTHKPEDNLAEPAMNPSSTADGFPSSRTLSQQNEKPPSEDSRLHSKSETPSSGPAPDNMGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDNATRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTEIELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPHMDKYFKVEELMFQLACDPSGVVVETTLKELLPALINWGNKLDHILRVLISHILDSAEHCPPLAGVEGSVESHLRVLGEQERWNLDILLRILADLLPHVHQKAIETCPFSSVSESNGPKFSSSVLELYAGGHVEWPAFEWMHVDCFSGLIQLSCLLPQKEDSLRNRTTKFLLAVSELFGESYSTHIMMPVFLVAVGDAADFTFFPPNIHSRIRGLKPRTAVAERLAVLGILPLLLAGVLGSPGKREQLADYLRKLLVEGAMKENQSITHNNDIVNAVRFLCTFEEHHSLIFDILWEMVVSSNIELKIGAANILKVIVTYVDAKVASTHVLPALITLGSDQNLKVRNASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHETTMAVVRSLVVALPHTTERFRDYFLTKIFQLTSMPAFATDGMSRRERANVFCEAICAVDATDISANSIRDFLLPSIQNLLRDPDTLDPAHKEALEIILKERSGGTFDALSKVMGAHIGIPSSMTSIFGEGGLLGKKESTAPTSPVGSPRAGASSAHGLAPEDTRFMRIMRVTDMLRGKAKSQEETHQNQ >KJB43102 pep chromosome:Graimondii2_0_v6:7:17693876:17700403:-1 gene:B456_007G184400 transcript:KJB43102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIEGSSSVQNPVTSSVDSAQPQALENYKEEIVSLQKEIERLKSEKRDIPDLSDSNCAEKESTYTEEKVVEVDENKTLISHPVEPAGPIDSNSLSASVQAFDNSTHKPEDNLAEPAMNPSSTADGFPSSRTLSQQNEKPPSEDSRLHSKSETPSSGPAPDNMGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDNATRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTEIELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPHMDKYFKVEELMFQLACDPSGVVVETTLKELLPALINWGNKLDHILRVLISHILDSAEHCPPLAGVEGSVESHLRVLGEQERWNLDILLRILADLLPHVHQKAIETCPFSSVSESNGPKFSSSVLELYAGGHVEWPAFEWMHVDCFSGLIQLSCLLPQKEDSLRNRTTKFLLAVSELFGESYSTHIMMPVFLVAVGDAADFTFFPPNIHSRIRGLKPRTAVAERLAVLGILPLLLAGVLGSPGKREQLADYLRKLLVEGAMKENQSITHNNDIVNAVRFLCTFEEHHSLIFDILWEMVVSSNIELKIGAANILKVIVTYVDAKVASTHVLPALITLGSDQNLKVRNASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHETTMAVVRSLVVALPHTTERFRDYFLTKIFQLTSMPAFATDGMSRRERANVFCEAICAVDATDISANSIRDFLLPSIQNLLRDPDTLDPAHKEALEIILKERSGGTFDALSKVMGAHIGIPSSMTSIFGEGGLLGKKESTAPTSPVGSPRAGASSAHGLAPEDTRFMRIMRVTDMLRGKAKSQEETHQNQ >KJB43107 pep chromosome:Graimondii2_0_v6:7:17693876:17704673:-1 gene:B456_007G184400 transcript:KJB43107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVERSSLCNFVVNFLLEENYLLTAFELLHELLDDGRDAQAMRLKEFFADPSHFPVDQISRYSSLGVVDPQSLLEEKEAIEEKLALSDYELRLAQEDVMKLKTELQRKVDLRRDRLSESSVSNNINHALEVHRHRRDVPFSTLGPLKANERKDLNCAVKEYLLIGGYRLTAMTFCEEVTDQNLDVWANSPASVPDALRHYYYQYLSSSSEAAEEKISMIRENESLQKAIESLNYEKELLMKNKDLAEGQINALTKSLAATQKDLKDKENLIQDVKHAWEHQRKELNDCRAEITSPKMHIEGSSSVQNPVTSSVDSAQPQALENYKEEIVSLQKEIERLKSEKRDIPDLSDSNCAEKESTYTEEKVVEVDENKTLISHPVEPAGPIDSNSLSASVQAFDNSTHKPEDNLAEPAMNPSSTADGFPSSRTLSQQNEKPPSEDSRLHSKSETPSSGPAPDNMGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDNATRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTEIELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPHMDKYFKVEELMFQLACDPSGVVVETTLKELLPALINWGNKLDHILRVLISHILDSAEHCPPLAGVEGSVESHLRVLGEQERWNLDILLRILADLLPHVHQKAIETCPFSSVSESNGPKFSSSVLELYAGGHVEWPAFEWMHVDCFSGLIQLSCLLPQKEDSLRNRTTKFLLAVSELFGESYSTHIMMPVFLVAVGDAADFTFFPPNIHSRIRGLKPRTAVAERLAVLGILPLLLAGVLGSPGKREQLADYLRKLLVEGAMKENQSITHNNDIVNAVRFLCTFEEHHSLIFDILWEMVVSSNIELKIGAANILKVIVTYVDAKVASTHVLPALITLGSDQNLKVRNASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHETTMAVVRSLVVALPHTTERFRDYFLTKIFQLTSMPAFATDGMSRRERANVFCEAICAVDATDISANSIRDFLLPSIQNLLRDPDTLDPAHKEALEIILKERSGGTFDALSKVMGAHIGIPSSMTSIFGEGGLLGKKESTAPTSPVGSPRAGASSAHGLAPEDTRFMRIMRVTDMLRGKAKSQEETHQNQ >KJB43104 pep chromosome:Graimondii2_0_v6:7:17693876:17704699:-1 gene:B456_007G184400 transcript:KJB43104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRIIRSTKMVKTATWLYRFAIGEVLAVKVNTEEIFLFFFSHPMRDVPFSTLGPLKANERKDLNCAVKEYLLIGGYRLTAMTFCEEVTDQNLDVWANSPASVPDALRHYYYQYLSSSSEAAEEKISMIRENESLQKAIESLNYEKELLMKNKDLAEGQINALTKSLAATQKDLKDKENLIQDVKHAWEHQRKELNDCRAEITSPKMHIEGSSSVQNPVTSSVDSAQPQALENYKEEIVSLQKEIERLKSEKRDIPDLSDSNCAEKESTYTEEKVVEVDENKTLISHPVEPAGPIDSNSLSASVQAFDNSTHKPEDNLAEPAMNPSSTADGFPSSRTLSQQNEKPPSEDSRLHSKSETPSSGPAPDNMGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDNATRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTEIELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPHMDKYFKVEELMFQLACDPSGVVVETTLKELLPALINWGNKLDHILRVLISHILDSAEHCPPLAGVEGSVESHLRVLGEQERWNLDILLRILADLLPHVHQKAIETCPFSSVSESNGPKFSSSVLELYAGGHVEWPAFEWMHVDCFSGLIQLSCLLPQKEDSLRNRTTKFLLAVSELFGESYSTHIMMPVFLVAVGDAADFTFFPPNIHSRIRGLKPRTAVAERLAVLGILPLLLAGVLGSPGKREQLADYLRKLLVEGAMKENQSITHNNDIVNAVRFLCTFEEHHSLIFDILWEMVVSSNIELKIGAANILKVIVTYVDAKVASTHVLPALITLGSDQNLKVRNASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHETTMAVVRSLVVALPHTTERFRDYFLTKIFQLTSMPAFATDGMSRRERANVFCEAICAVDATDISANSIRDFLLPSIQNLLRDPDTLDPAHKEALEIILKERSGGTFDALSKVMGAHIGIPSSMTSIFGEGGLLGKKESTAPTSPVGSPRAGASSAHGLAPEDTRFMRIMRVTDMLRGKAKSQEETHQNQ >KJB43108 pep chromosome:Graimondii2_0_v6:7:17694415:17704306:-1 gene:B456_007G184400 transcript:KJB43108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVERSSLCNFVVNFLLEENYLLTAFELLHELLDDGRDAQAMRLKEFFADPSHFPVDQISRYSSLGVVDPQSLLEEKEAIEEKLALSDYELRLAQEDVMKLKTELQRKVDLRRDRLSESSVSNNINHALEVHRHRRDVPFSTLGPLKANERKDLNCAVKEYLLIGGYRLTAMTFCEEVTDQNLDVWANSPASVPDALRHYYYQYLSSSSEAAEEKISMIRENESLQKAIESLNYEKELLMKNKDLAEGQINALTKSLAATQKDLKDKENLIQDVKHAWEHQRKELNDCRAEITSPKMHIEGSSSVQNPVTSSVDSAQPQALENYKEEIVSLQKEIERLKSEKRDIPDLSDSNCAEKESTYTEEKVVEVDENKTLISHPVEPAGPIDSNSLSASVQAFDNSTHKPEDNLAEPAMNPSSTADGFPSSRTLSQQNEKPPSEDSRLHSKSETPSSGPAPDNMGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDNATRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTEIELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPHMDKYFKVEELMFQLACDPSGVVVETTLKELLPALINWGNKLDHILRVLISHILDSAEHCPPLAGVEGSVESHLRVLGEQERWNLDILLRILADLLPHVHQKAIETCPFSSVSESNGPKFSSSVLELYAGGHVEWPAFEWMHVDCFSGLIQLSCLLPQKEDSLRNRTTKFLLAVSELFGESYSTHIMMPVFLVAVGDAADFTFFPPNIHSRIRGLKPRTAVAERLAVLGILPLLLAGVLGSPGKREQLADYLRKLLVEGAMKENQSITHNNDIVNAVRFLCTFEEHHSLIFDILWEMVVSSNIELKIGAANILKVIVTYVDAKVASTHVLPALITLGSDQNLKVRNASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHETTMAVVRSLVVALPHTTERFRDYFLTKIFQLTSMPAFATDGMSRRERANVFCEAICAVDATDISANSIRDFLLPSIQNLLRDPDTLDPAHKEALEIILKERSGGTFDALSKVMGAHIGIPSSMTSIFGEGGLLGKKESTAPTSPVGSPRAGASSAHGLAPEDTRFMRIMRVTDMLRGKAKSQEETHQNQ >KJB43111 pep chromosome:Graimondii2_0_v6:7:17695768:17704673:-1 gene:B456_007G184400 transcript:KJB43111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVERSSLCNFVVNFLLEENYLLTAFELLHELLDDGRDAQAMRLKEFFADPSHFPVDQISRYSSLGVVDPQSLLEEKEAIEEKLALSDYELRLAQEDVMKLKTELQRKVDLRRDRLSESSVSNNINHALEVHRHRRDVPFSTLGPLKANERKDLNCAVKEYLLIGGYRLTAMTFCEEVTDQNLDVWANSPASVPDALRHYYYQYLSSSSEAAEEKISMIRENESLQKAIESLNYEKELLMKNKDLAEGQINALTKSLAATQKDLKDKENLIQDVKHAWEHQRKELNDCRAEITSPKMHIEGSSSVQNPVTSSVDSAQPQALENYKEEIVSLQKEIERLKSEKRDIPDLSDSNCAEKESTYTEEKVVEVDENKTLISHPVEPAGPIDSNSLSASVQAFDNSTHKPEDNLAEPAMNPSSTADGFPSSRTLSQQNEKPPSEDSRLHSKSETPSSGPAPDNMGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDNATRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTEIELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPHMDKYFKVEELMFQLACDPSGVVVETTLKELLPALINWGNKLDHILRVLISHILDSAEHCPPLAGVEGSVESHLRVLGEQERWNLDILLRILADLLPHVHQKAIETCPFSSVSESNGPKFSSSVLELYAGGHVEWPAFEWMHVDCFSGLIQLSCLLPQKEDSLRNRTTKFLLAVSELFGESYSTHIMMPVFLVAVGDAADFTFFPPNIHSRIRGLKPRTAVAERLAVLGILPLLLAGVLGSPGKREQLADYLRKLLVEGAMKENQSITHNNDIVNAVRFLCTFEEHHSLIFDILWEMVVSSNIELKIGAANILKVIVTYVDAKVASTHVLPALITLGSDQNLKVRNASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHETTMAVVRSLVVALPHTTERFRDYFLTKIFQLTSMPAFATDGMSRRERANVFCEAICAVDATGLLL >KJB43106 pep chromosome:Graimondii2_0_v6:7:17693876:17704673:-1 gene:B456_007G184400 transcript:KJB43106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRIIRSTKMVKTATWLYRFAIGEVLAVKVTDQNLDVWANSPASVPDALRHYYYQYLSSSSEAAEEKISMIRENESLQKAIESLNYEKELLMKNKDLAEGQINALTKSLAATQKDLKDKENLIQDVKHAWEHQRKELNDCRAEITSPKMHIEGSSSVQNPVTSSVDSAQPQALENYKEEIVSLQKEIERLKSEKRDIPDLSDSNCAEKESTYTEEKVVEVDENKTLISHPVEPAGPIDSNSLSASVQAFDNSTHKPEDNLAEPAMNPSSTADGFPSSRTLSQQNEKPPSEDSRLHSKSETPSSGPAPDNMGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDNATRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTEIELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPHMDKYFKVEELMFQLACDPSGVVVETTLKELLPALINWGNKLDHILRVLISHILDSAEHCPPLAGVEGSVESHLRVLGEQERWNLDILLRILADLLPHVHQKAIETCPFSSVSESNGPKFSSSVLELYAGGHVEWPAFEWMHVDCFSGLIQLSCLLPQKEDSLRNRTTKFLLAVSELFGESYSTHIMMPVFLVAVGDAADFTFFPPNIHSRIRGLKPRTAVAERLAVLGILPLLLAGVLGSPGKREQLADYLRKLLVEGAMKENQSITHNNDIVNAVRFLCTFEEHHSLIFDILWEMVVSSNIELKIGAANILKVIVTYVDAKVASTHVLPALITLGSDQNLKVRNASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHETTMAVVRSLVVALPHTTERFRDYFLTKIFQLTSMPAFATDGMSRRERANVFCEAICAVDATDISANSIRDFLLPSIQNLLRDPDTLDPAHKEALEIILKERSGGTFDALSKVMGAHIGIPSSMTSIFGEGGLLGKKESTAPTSPVGSPRAGASSAHGLAPEDTRFMRIMRVTDMLRGKAKSQEETHQNQ >KJB43100 pep chromosome:Graimondii2_0_v6:7:17694415:17698812:-1 gene:B456_007G184400 transcript:KJB43100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAIERHPDNATRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTEIELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPHMDKYFKVEELMFQLACDPSGVVVETTLKELLPALINWGNKLDHILRVLISHILDSAEHCPPLAGVEGSVESHLRVLGEQERWNLDILLRILADLLPHVHQKAIETCPFSSVSESNGPKFSSSVLELYAGGHVEWPAFEWMHVDCFSGLIQLSCLLPQKEDSLRNRTTKFLLAVSELFGESYSTHIMMPVFLVAVGDAADFTFFPPNIHSRIRGLKPRTAVAERLAVLGILPLLLAGVLGSPGKREQLADYLRKLLVEGAMKENQSITHNNDIVNAVRFLCTFEEHHSLIFDILWEMVVSSNIELKIGAANILKVIVTYVDAKVASTHVLPALITLGSDQNLKVRNASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHETTMAVVRSLVVALPHTTERFRDYFLTKIFQLTSMPAFATDGMSRRERANVFCEAICAVDATDISANSIRDFLLPSIQNLLRDPDTLDPAHKEALEIILKERSGGTFDALSKVMGAHIGIPSSMTSIFGEGGLLGKKESTAPTSPVGSPRAGASSAHGLAPEDTRFMRIMRVTDMLRGKAKSQEETHQNQ >KJB43103 pep chromosome:Graimondii2_0_v6:7:17693876:17702448:-1 gene:B456_007G184400 transcript:KJB43103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFCEEVTDQNLDVWANSPASVPDALRHYYYQYLSSSSEAAEEKISMIRENESLQKAIESLNYEKELLMKNKDLAEGQINALTKSLAATQKDLKDKENLIQDVKHAWEHQRKELNDCRAEITSPKMHIEGSSSVQNPVTSSVDSAQPQALENYKEEIVSLQKEIERLKSEKRDIPDLSDSNCAEKESTYTEEKVVEVDENKTLISHPVEPAGPIDSNSLSASVQAFDNSTHKPEDNLAEPAMNPSSTADGFPSSRTLSQQNEKPPSEDSRLHSKSETPSSGPAPDNMGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDNATRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTEIELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPHMDKYFKVEELMFQLACDPSGVVVETTLKELLPALINWGNKLDHILRVLISHILDSAEHCPPLAGVEGSVESHLRVLGEQERWNLDILLRILADLLPHVHQKAIETCPFSSVSESNGPKFSSSVLELYAGGHVEWPAFEWMHVDCFSGLIQLSCLLPQKEDSLRNRTTKFLLAVSELFGESYSTHIMMPVFLVAVGDAADFTFFPPNIHSRIRGLKPRTAVAERLAVLGILPLLLAGVLGSPGKREQLADYLRKLLVEGAMKENQSITHNNDIVNAVRFLCTFEEHHSLIFDILWEMVVSSNIELKIGAANILKVIVTYVDAKVASTHVLPALITLGSDQNLKVRNASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHETTMAVVRSLVVALPHTTERFRDYFLTKIFQLTSMPAFATDGMSRRERANVFCEAICAVDATDISANSIRDFLLPSIQNLLRDPDTLDPAHKEALEIILKERSGGTFDALSKVMGAHIGIPSSMTSIFGEGGLLGKKESTAPTSPVGSPRAGASSAHGLAPEDTRFMRIMRVTDMLRGKAKSQEETHQNQ >KJB43109 pep chromosome:Graimondii2_0_v6:7:17693876:17702566:-1 gene:B456_007G184400 transcript:KJB43109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRIIRSTKMVKTATWLYRFAIGEVLAVKVNTEEIFLFFFSHPMRDVPFSTLGPLKANERKDLNCAVKEYLLIGGYRLTAMTFCEEVTDQNLDVWANSPASVPDALRHYYYQYLSSSSEAAEEKISMIRENESLQKAIESLNYEKELLMKNKDLAEGQINALTKSLAATQKDLKDKENLIQDVKHAWEHQRKELNDCRAEITSPKMHIEGSSSVQNPVTSSVDSAQPQALENYKEEIVSLQKEIERLKSEKRDIPDLSDSNCAEKESTYTEEKVVEVDENKTLISHPVEPAGPIDSNSLSASVQAFDNSTHKPEDNLAEPAMNPSSTADGFPSSRTLSQQNEKPPSEDSRLHSKSETPSSGPAPDNMGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDNATRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTEIELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPHMDKYFKVEELMFQLACDPSGVVVETTLKELLPALINWGNKLDHILRVLISHILDSAEHCPPLAGVEGSVESHLRVLGEQERWNLDILLRILADLLPHVHQKAIETCPFSSVSESNGPKFSSSVLELYAGGHVEWPAFEWMHVDCFSGLIQLSCLLPQKEDSLRNRTTKFLLAVSELFGESYSTHIMMPVFLVAVGDAADFTFFPPNIHSRIRGLKPRTAVAERLAVLGILPLLLAGVLGSPGKREQLADYLRKLLVEGAMKENQSITHNNDIVNAVRFLCTFEEHHSLIFDILWEMVVSSNIELKIGAANILKVIVTYVDAKVASTHVLPALITLGSDQNLKVRNASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHETTMAVVRSLVVALPHTTERFRDYFLTKIFQLTSMPAFATDGMSRRERANVFCEAICAVDATDISANSIRDFLLPSIQNLLRDPDTLDPAHKEALEIILKERSGGTFDALSKVMGAHIGIPSSMTSIFGEGGLLGKKESTAPTSPVGSPRAGASSAHGLAPEDTRFMRIMRVTDMLRGKAKSQEETHQNQ >KJB45624 pep chromosome:Graimondii2_0_v6:7:53211280:53214530:1 gene:B456_007G316800 transcript:KJB45624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKLPSSTHGSMSNQKRPTAKFHPSVWGDIFLSSPTTNVDAKTKLQHEELKEEVRRMIKVVMDDELLYKLRLIDTIKRLGVSYHFEREIEEVLLNIYEHDYKDDQTLETTSLQFRLLREKGLGVPCEWFNKFKDDEGNFNMSLTSDVKGLLELYEASYLRVHGEDILEEALGFTTTHLGLAKAAETIEYPLSALVSHALYQPIRKGLSRLEARRFISFYQDDPSHNKTLLKFAELDFNLLQILHKEELSKISRWNNGLDLATKLPFARDRLVEGYLWVLGVYFEPQYSFAREILAKTIVMITIMDDTYDSYGTLEELQLLKNAIQRWDVDCIDQLPEYMKLFYKPLLDFYGEEEEAMIKQEKLYRVKYAKDTFKQVSEAYFVEAKWYNENYVPTMKEYMRNAVVTAGYIMLIVTSFVGMGDFVTPKMFNWASNNPKIIDASSIIARLMNDVTSHKFEQERGHCASAVECYIREHAVSEEEACSELMKQVENAWKNINQELIFSEISKVVPGPVLTRILNFTRVIDFLYKNGDGYTHVGKKTKDGITSLLIDPISVSY >KJB45625 pep chromosome:Graimondii2_0_v6:7:53211345:53214530:1 gene:B456_007G316800 transcript:KJB45625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKLPSSTHGSMSNQKRPTAKFHPSVWGDIFLSSPTTNVDAKTKLQHEELKEEVRRMIKVVMDDELLYKLRLIDTIKRLGVSYHFEREIEEVLLNIYEHDYKDDQTLETTSLQFRLLREKGLGVPCEWFNKFKDDEGNFNMSLTSDVKGLLELYEASYLRVHGEDILEEALGFTTTHLGLAKAAETIEYPLSALVSHALYQPIRKGLSRLEARRFISFYQDDPSHNKTLLKFAELDFNLLQILHKEELSKISRWNNGLDLATKLPFARDRLVEGYLWVLGVYFEPQYSFAREILAKTIVMITIMDDTYDSYGTLEELQLLKNAIQRWDVDCIDQLPEYMKLFYKPLLDFYGEEEEAMIKQEKLYRVKYAKDTFKQVSEAYFVEAKWYNENYVPTMKEYMRNAVVTAGYIMLIVTSFVGMGDFVTPKMFNWASNNPKIIDASSIIARLMNDVTSHKREGTVHRLLNATLENMQFQKKKHVVN >KJB39371 pep chromosome:Graimondii2_0_v6:7:733783:738313:1 gene:B456_007G009400 transcript:KJB39371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLAISDLKEKKEEKMMKKKIALETPESDKKTKKIKEPKVREEEDDSAVKKSKKKRKASELQMEEEERSETSSELVEPVDPKEKKKKKKKAKVEEEGDGDGDGDEGKSEDPNAISRFRISEALREKLKSKGIEALFPIQAMTFDMILDGTDLVGRARTGQGKTLAFVLPILESLTNGPGKSSRTSGYGRAPSVLVLLPTRELAKQVFEDFEVYGEAVGLTSCCLYGGAPYHTQEMKLKRGVDIVVGTPGRVKDHIERGNIHLGSLTFRVLDEADEMLRMGFVDDVELILGKVADASKVQTVLFSATLPDWVKGIASRFLKPSKKTVDLVGNEKMKASTNVRHIVLPCSKSARSQLIPDIIRCYSSGGRTIIFTETKDSASELAGLLPGSRALHGDIQQAQREVTLNGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPKRSSVSKIERESGVKFEHISAPQPVDIAKSAGVEAAKIITQVSDSVIPAFKDVAQELLETSGLSAQDLLAKALAKAAICFWCLEKILARGKGSVSARSDPYR >KJB39373 pep chromosome:Graimondii2_0_v6:7:733783:738313:1 gene:B456_007G009400 transcript:KJB39373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLAISDLKEKKEEKMMKKKIALETPESDKKTKKIKEPKVREEEDDSAVKKSKKKRKASELQMEEEERSETSSELVEPVDPKEKKKKKKKAKVEEEGDGDGDGDEGKSEDPNAISRFRISEALREKLKSKGIEALFPIQAMTFDMILDGTDLVGRARTGQGKTLAFVLPILESLTNGPGKSSRTSGYGRAPSVLVLLPTRELAKQVFEDFEVYGEAVGLTSCCLYGGAPYHTQEMKLKRGVDIVVGTPGRVKDHIERGNIHLGSLTFRVLDEADEMLRMGFVDDVELILGKVADASKVQTVLFSATLPDWVKGIASRFLKPSKKTVDLVGNEKMKASTNVRHIVLPCSKSARSQLIPDIIRCYSSGGRTIIFTETKDSASELAGLLPGSRALHGDIQQAQREVTLNGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPKRSSVSKIERESGVKFEHISAPQPVDIAKSAGVEAAKIITQVSDRTLLRSFWKLLAYQHKICSPKHLPRLQVTLR >KJB39369 pep chromosome:Graimondii2_0_v6:7:733772:738313:1 gene:B456_007G009400 transcript:KJB39369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLAISDLKEKKEEKMMKKKIALETPESDKKTKKIKEPKVREEEDDSAVKKSKKKRKASELQMEEEERSETSSELVEPVDPKEKKKKKKKAKVEEEGDGDGDGDEGKSEDPNAISRFRISEALREKLKSKGIEALFPIQAMTFDMILDGTDLVGRARTGQGKTLAFVLPILESLTNGPGKSSRTSGYGRAPSVLVLLPTRELAKQVFEDFEVYGEAVGLTSCCLYGGAPYHTQEMKLKRGVDIVVGTPGRVKDHIERGNIHLGSLTFRVLDEADEMLRMGFVDDVELILGKVADASKVQTVLFSATLPDWVKGIASRFLKPSKKTVDLVGNEKMKASTNVRHIVLPCSKSARSQLIPDIIRCYSSGGRTIIFTETKDSASELAGLLPGSRALHGDIQQAQREVTLNGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPKRSSVSKIERESGVKFEHISAPQPVDIAKSAGVEAAKIITQVSDSVIPAFKDVAQELLETSGLSAQDLLAKALAKAAGYSEIKSRSLLSSMENHVTLLLEAGKPIYTLSFVFGVLKRFLPEEKVQSVQGLTLTADGMGAVFDVAEDDVEAFLTGAENAANVNLEVLKNALPPLQQRDMSRGRFGGGRGGFGDRNGGGSRFSGGRGGGRGGFSDRRNGGGRGHYNSKRW >KJB39370 pep chromosome:Graimondii2_0_v6:7:733783:738313:1 gene:B456_007G009400 transcript:KJB39370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLAISDLKEKKEEKMMKKKIALETPESDKKTKKIKEPKVREEEDDSAVKKSKKKRKASELQMEEEERSETSSELVEPVDPKEKKKKKKKAKVEEEGDGDGDGDEGKSEDPNAISRFRISEALREKLKSKGIEALFPIQAMTFDMILDGTDLVGRARTGQGKTLAFVLPILESLTNGPGKSSRTSGYGRAPSVLVLLPTRELAKQVFEDFEVYGEAVGLTSCCLYGGAPYHTQEMKLKRGVDIVVGTPGRVKDHIERGNIHLGSLTFRVLDEADEMLRMGFVDDVELILGKVADASKVQTVLFSATLPDWVKGIASRFLKPSKKTVDLVGNEKMKASTNVRHIVLPCSKSARSQLIPDIIRCYSSGGRTIIFTETKDSASELAGLLPGSRALHGDIQQAQREVTLNGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPKRSSVSKIERESGVKFEHISAPQPVDIAKSAGVEAAKIITQVSDSVIPAFKDVAQELLETSGLSAQDLLAKALAKAAGYSEIKSRSLLSSMENHVTLLLEAGKPIYTLSFVFGVLKRFLPEEKVQSVQGLTLTADGMGAVFDVAEDDVEAFLTEVLKNALPPLQQRDMSRGRFGGGRGGFGDRNGGGSRFSGGRGGGRGGFSDRRNGGGRGHYNSKRW >KJB39372 pep chromosome:Graimondii2_0_v6:7:733783:738313:1 gene:B456_007G009400 transcript:KJB39372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLAISDLKEKKEEKMMKKKIALETPESDKKTKKIKEPKVREEEDDSAVKKSKKKRKASELQMEEEERSETSSELVEPVDPKEKKKKKKKAKVEEEGDGDGDGDEGKSEDPNAISRFRISEALREKLKSKGIEALFPIQAMTFDMILDGTDLVGRARTGQDHIERGNIHLGSLTFRVLDEADEMLRMGFVDDVELILGKVADASKVQTVLFSATLPDWVKGIASRFLKPSKKTVDLVGNEKMKASTNVRHIVLPCSKSARSQLIPDIIRCYSSGGRTIIFTETKDSASELAGLLPGSRALHGDIQQAQREVTLNGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPKRSSVSKIERESGVKFEHISAPQPVDIAKSAGVEAAKIITQVSDSVIPAFKDVAQELLETSGLSAQDLLAKALAKAAGYSEIKSRSLLSSMENHVTLLLEAGKPIYTLSFVFGVLKRFLPEEKVQSVQGLTLTADGMGAVFDVAEDDVEAFLTGAENAANVNLEVLKNALPPLQQRDMSRGRFGGGRGGFGDRNGGGSRFSGGRGGGRGGFSDRRNGGGRGHYNSKRW >KJB41069 pep chromosome:Graimondii2_0_v6:7:6479599:6482528:1 gene:B456_007G089000 transcript:KJB41069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVIMQAQKEAPPDMQCNDKFLLQSVVASPGATAKDITSEMFNKESEHHVEECKLRVIYVAAPRPPSPVREGSEEGLSPRASVSDNGSLNAAESVSRTRLEQHKHQDNSDDAKSLIFKLTEEKNSAIQQNKRLQQELDLLRRESKRGNGGIPFMYVILVGLVGLVGIILGYILKTT >KJB41074 pep chromosome:Graimondii2_0_v6:7:6479599:6482528:1 gene:B456_007G089000 transcript:KJB41074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSIEPQELQFPFELRKQISCSLNLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCNVIVIMQAQKEAPPDMQCNDKFLLQSVVASPGATAKDITSEMFNKESEHHVEECKLRVIYVAAPRPPSPVREGSEEGLSPRASVSDNGSLNAAESVSRTRLEQHKHQDNSDDDLLRRESKRGNGGIPFMYVILVGLVGLVGIILGYILKTT >KJB41070 pep chromosome:Graimondii2_0_v6:7:6479793:6480835:1 gene:B456_007G089000 transcript:KJB41070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSIEPQELQFPFELRKQISCSLNLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCNVIVIMQAQKEAPPDMQCNDKFLLQSVVASPGATAKDITSEMFNKESEHHVEECKLRVIYVAAPRPPSPVREGSEEGLSPRASVSDNGSLNAAESVSFPCLLCLTLNRVLVLISVLH >KJB41071 pep chromosome:Graimondii2_0_v6:7:6480402:6481037:1 gene:B456_007G089000 transcript:KJB41071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVIMQAQKEAPPDMQCNDKFLLQSVVASPGATAKDITSEMFNKESEHHVEECKLRVIYVAAPRPPSPVREGSEEGLSPRASVSDNGSLNAAESVSRTRLEQHKHQDNSDDVTSLSRSVIRTFSSGIEILWASVSHVFRLYLNQWS >KJB41075 pep chromosome:Graimondii2_0_v6:7:6479599:6482528:1 gene:B456_007G089000 transcript:KJB41075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSIEPQELQFPFELRKQISCSLNLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCNVIVIMQAQKEAPPDMQCNDKFLLQSVVASPGATAKDITSEMFNKESEHHVEECKLRVIYVAAPRPPSPVREGSEEGLSPRASVSDNGSLNAAESVSRTRLEQHKHQDNSDDVTSLSRSVIRTFSSGIEILWASVSHVFRLYLNQWS >KJB41068 pep chromosome:Graimondii2_0_v6:7:6479532:6482528:1 gene:B456_007G089000 transcript:KJB41068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSIEPQELQFPFELRKQISCSLNLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCNVIVIMQAQKEAPPDMQCNDKFLLQSVVASPGATAKDITSEMFNKESEHHVEECKLRVIYVAAPRPPSPVREGSEEGLSPRASVSDNGSLNAAESVSRTRLEQHKHQDNSDDAKSLIFKLTEEKNSAIQQNKRLQQELDLLRRESKRGNGGIPFMYVILVGLVGLVGIILGYILKTT >KJB41073 pep chromosome:Graimondii2_0_v6:7:6479599:6482528:1 gene:B456_007G089000 transcript:KJB41073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSIEPQELQFPFELRKQISCSLNLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCNVIVIMQAQKEAPPDMQCNDKFLLQSVVASPGATAKDITSEMFNKESEHHVEECKLRVIYVAAPRPPSPVREGSEEGLSPRASVSDNGSLNAAESVSRTRLEQHKHQDNSDDVTSLSRSVIRTFSSGIEILWASVSHVFRLYLNQWS >KJB41076 pep chromosome:Graimondii2_0_v6:7:6479599:6482528:1 gene:B456_007G089000 transcript:KJB41076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQKEAPPDMQCNDKFLLQSVVASPGATAKDITSEMFNKESEHHVEECKLRVIYVAAPRPPSPVREGSEEGLSPRASVSDNGSLNAAESVSRTRLEQHKHQDNSDDAKSLIFKLTEEKNSAIQQNKRLQQELDLLRRESKRGNGGIPFMYVILVGLVGLVGIILGYILKTT >KJB41072 pep chromosome:Graimondii2_0_v6:7:6479599:6482528:1 gene:B456_007G089000 transcript:KJB41072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSIEPQELQFPFELRKQISCSLNLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCNVIVIMQAQKEAPPDMQCNDKFLLQSVVASPGATAKDITSEMFNKESEHHVEECKLRVIYVAAPRPPSPVREGSEEGLSPRASVSDNGSLNAAESVSRTRLEQHKHQDNSDDVTSLSRSVIRTFSSGIEILWASVSHVFRLYLNQWS >KJB39251 pep chromosome:Graimondii2_0_v6:7:306764:316365:1 gene:B456_007G003700 transcript:KJB39251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17970) UniProtKB/Swiss-Prot;Acc:Q9LVH5] MASHAANLWVLLGLGLAGIFLMTKRLKKTIKADFGAFIQKLELLPPPQPAPPKAPHPLTGLNFAVSDVFDIEGYVTGFGHPDWLKTHEPSTRTSPVVLALVEGGATCIGKTVVDELAYSIHGENKHYSTPTNPAAPARISGGSSSGAAVAVAADFVDFSLGIDTLGGIRVPAAFCGVIGFRPSYGVISNTGIIPVSSSLDTVGLFAKDPSTLRRVGLVLLQLPFSVQRNPKQILLADDCFELLKIPKDRISQVVTNSTEKHFGRQVLKHENLEKYFSSKVPSLKEFYSQKINGDSKISSLTLLANVAQILQRYEFKRTHGEWINSEKPVLDSAISAQINETLDMTDKEIEICKLVRTEMRLAVNNLLKDDGILVIPTTAYPPPKLGSKEIFSDDYQNRSFSLLSIASISGCCQVTLPLGYHDKCPVSVSFIARHGGDRFLLDTVQTVYSSLQEHADTVAKSKLSPNAVKQEHSAEAVKEKGNQAYKDKQWQKAIGFYTEAIKLSDNNATYYSNRAAAYLELGSFLQAETDCTKAISLDKKNVKAYLRRGTAREMLGYYKEAIEGEFLHFMFQVFCIVIFICKPLHLLDQSEMKTKDYDCSCPVWICMLFENFVE >KJB39249 pep chromosome:Graimondii2_0_v6:7:306654:317085:1 gene:B456_007G003700 transcript:KJB39249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17970) UniProtKB/Swiss-Prot;Acc:Q9LVH5] MASHAANLWVLLGLGLAGIFLMTKRLKKTIKADFGAFIQKLELLPPPQPAPPKAPHPLTGLNFAVSDVFDIEGYVTGFGHPDWLKTHEPSTRTSPVVLALVEGGATCIGKTVVDELAYSIHGENKHYSTPTNPAAPARISGGSSSGAAVAVAADFVDFSLGIDTLGGIRVPAAFCGVIGFRPSYGVISNTGIIPVSSSLDTVGLFAKDPSTLRRVGLVLLQLPFSVQRNPKQILLADDCFELLKIPKDRISQVVTNSTEKHFGRQVLKHENLEKYFSSKVPSLKEFYSQKINGDSKISSLTLLANVAQILQRYEFKRTHGEWINSEKPVLDSAISAQINETLDMTDKEIEICKLVRTEMRLAVNNLLKDDGILVIPTTAYPPPKLGSKEIFSDDYQNRSFSLLSIASISGCCQVTLPLGYHDKCPVSVSFIARHGGDRFLLDTVQTVYSSLQEHADTVAKSKLSPNAVKQEHSAEAVKEKGNQAYKDKQWQKAIGFYTEAIKLSDNNATYYSNRAAAYLELGSFLQAETDCTKAISLDKKNVKAYLRRGTAREMLGYYKEAIEDFSYALVLEPTNKRAALSADRLRKVFQ >KJB39250 pep chromosome:Graimondii2_0_v6:7:306764:315126:1 gene:B456_007G003700 transcript:KJB39250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17970) UniProtKB/Swiss-Prot;Acc:Q9LVH5] MASHAANLWVLLGLGLAGIFLMTKRLKKTIKADFGAFIQKLELLPPPQPAPPKAPHPLTGLNFAVSDVFDIEGYVTGFGHPDWLKTHEPSTRTSPVVLALVEGGATCIGKTVVDELAYSIHGENKHYSTPTNPAAPARISGGSSSGAAVAVAADFVDFSLGIDTLGGIRVPAAFCGVIGFRPSYGVISNTGIIPVSSSLDTVGLFAKDPSTLRRVGLVLLQLPFSVQRNPKQILLADDCFELLKIPKDRISQVVTNSTEKHFGRQVLKHENLEKYFSSKVPSLKEFYSQKINGDSKISSLTLLANVAQILQRYEFKRTHGEWINSEKPVLDSAISAQINETLDMTDKEIEICKLVRTEMRLAVNNLLKDDGILVIPTTAYPPPKLGSKEIFSDDYQNRSFSLLSIASISGCCQVTLPLGYHDKCPVSVSFIARHGGDRFLLDTVQTVYSSLQEHADTVAKSKLSPNAVKQEHSAEAVKEKGNQAYKDKQWQKAIGFYTEAIKLSDNNATYYSNRAAAYLELGR >KJB39252 pep chromosome:Graimondii2_0_v6:7:306764:317065:1 gene:B456_007G003700 transcript:KJB39252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17970) UniProtKB/Swiss-Prot;Acc:Q9LVH5] MASHAANLWVLLGLGLAGIFLMTKRLKKTIKADFGAFIQKLELLPPPQPAPPKAPHPLTGLNFAVSDVFDIEGYVTGFGHPDWLKTHEPSTRTSPVVLALVEGGATCIGKTVVDELAYSIHGENKHYSTPTNPAAPARISGGSSSGAAVAVAADFVDFSLGIDTLGGIRVPAAFCGVIGFRPSYGVISNTGIIPVSSSLDTVGLFAKDPSTLRRVGLVLLQLPFSVQRNPKQILLADDCFELLKIPKDRISQVVTNSTEKHFGRQVLKHENLEKYFSSKVPSLKEFYSQKINGDSKISSLTLLANVAQILQRYEFKRTHGEWINSEKPVLDSAISAQINETLDMTDKEIEICKLVRTEMRLAVNNLLKDDGILVIPTTAYPPPKLGSKEIFSDDYQNRSFSLLSIASISGCCQVTLPLGYHDKCPVSVSFIARHGGDRFLLDTVQTVYSSLQEHADTVAKSKLSPNAVKQEHSAEAVKEKGNQAYKDKQWQKAIGFYTEAIKLSDNNATYYSNRAAAYLELGSFLQAETDCTKAISLDKKNVKAYLRRGTAREMLGYYKEAIEAMLWCLNQPIREQPFLQIG >KJB43431 pep chromosome:Graimondii2_0_v6:7:20266073:20268596:-1 gene:B456_007G199600 transcript:KJB43431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLRFFSSNLTRIHKTLVPNPSISSSIQSSHSFYLTPTRNYTTPSQESTKQAPSVKVSAIVDELSGLTLLEIMDLTEVLRQKLDVKEMPIMAMMMPGMGFGGAMRGAGKGGAAGPGKGEEKKEEKMVFDVKLEGFDAAAKIKVIKEVRGFTDLGLKEAKDLVEKAPTLLKKGVTKEEAEKIIQKMKEVGAKVSME >KJB39451 pep chromosome:Graimondii2_0_v6:7:1270481:1273420:-1 gene:B456_007G016500 transcript:KJB39451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFVVFGALGAVVAALELSKNSKDRINTSSAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPQYKILMVGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAILAGLFGNVLVDSLSLGPVAPFDAAAIFLAIGMAIILSSWTENFGDPSENKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSPRVESYMQIVFVISSASLTLPIITNFLVAPSKVKGESISFAGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVC >KJB39447 pep chromosome:Graimondii2_0_v6:7:1270431:1273502:-1 gene:B456_007G016500 transcript:KJB39447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFVVFGALGAVVAALELSKNSKDRINTSSAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPQYKILMVGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAILAGLFGNVLVDSLSLGPVAPFDAAAIFLAIGMAIILSSWTENFGDPSENKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSPRVESYMQIVFVISSASLTLPIITNFLVAPSKVKGESISFAGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVDAFPITVMFGMCSIFLLVASILQRRLMAISDKPKMENWTAMKERDPEAEALND >KJB39450 pep chromosome:Graimondii2_0_v6:7:1270481:1273420:-1 gene:B456_007G016500 transcript:KJB39450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFVVFGALGAVVAALELSKNSKDRINTSSAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPQYKILMVGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAILAGLFGNVLVDSLSLGPVAPFDAAAIFLAIGMAIILSSWTENFGDPSENKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSPRVESYMQIVFVISSASLTLPIITNVRSNISYSLLLVL >KJB39452 pep chromosome:Graimondii2_0_v6:7:1270481:1273420:-1 gene:B456_007G016500 transcript:KJB39452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFVVFGALGAVVAALELSKNSKDRINTSSAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPQYKILMVGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAILAGLFGNVLVDSLSLGPVAPFDAAAIFLAIGMAIILSSWTENFGDPSENKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSPRVESYMQIVFVISSASLTLPIITNFLVAPSKVKGESISFAGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVDAFPITVMFGMCSIFLLVASILQRRLMAISDKPNGKLDSNEGKGP >KJB39448 pep chromosome:Graimondii2_0_v6:7:1271108:1273295:-1 gene:B456_007G016500 transcript:KJB39448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFVVFGALGAVVAALELSKNSKDRINTSSAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPQYKILMVGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAILAGLFGNVLVDSLSLGPVAPFDAAAIFLAIGMAIILSSWTENFGDPSENKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSPRVESYMQIVFVISSASLTLPIITNFLVAPSKVKGESISFAGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVC >KJB39449 pep chromosome:Graimondii2_0_v6:7:1270792:1273295:-1 gene:B456_007G016500 transcript:KJB39449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFVVFGALGAVVAALELSKNSKDRINTSSAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPQYKILMVGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAILAGLFGNVLVDSLSLGPVAPFDAAAIFLAIGMAIILSSWTENFGDPSENKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSPRVESYMQIVFVISSASLTLPIITNFLVAPSKVKGESISFAGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVDAFPITVMFGMCSIFLLVASILQRRLMAISDKPSNIHSTKSNIYHFSGRSIHLRFSNNIFSGFFSFFIRDGKLDSNEGKGP >KJB45959 pep chromosome:Graimondii2_0_v6:7:56560323:56563345:-1 gene:B456_007G340200 transcript:KJB45959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNTDLEDDPSSISVNSSKSLVVLDLSGNSLSSVPKWIFSLHGLASIYLRSCSLEGPIPDYFGNISFLEVLDLSLNHLNSSIPNSLYGLNHLLFLDLSYNEIEQDISEILQSLSRCCLGSLASLNMESNQLSGHLTDQLGQFKNLAYLSLALNKIFGELSSLKFFDVTDNQLNGTFPLCFGQLESLEILQFGYNLLEGVVLETHFSNLTRLTYLFGSQNMLRFQPNSSWIPPFQCQNIYLGHWHLGPKFPQWLKFQKKLSFLDISEAGISDFVPTWFLNLPTQFYYLNLSCNQLTGGISYLNVTNTIDLSSNRFTGPLPRVLSTLGLLILSNNSFSGSLFELVCNSSLTGMLALFIDNNLLIGEIPDCWKYWEYFAYLNLANNNLTGKIPPSLGYTNPCLLNLRNNTMFGELPSTLQNSSRLLMLDLSENHFSGSVPAWIGDKLLKLVVLSLRSNNFDGHIPRKICDLQFLQILDLAHNNISGVIPKCFNNLSAMVATNKTNNELSSSYFHDSSIYLSALLVLKGREDEYGTTLGLVTSMDLSANSLTGEIPKEIGSLVGLLSLNFSGNLLTGNIPYNIGNMELMESLDLSMNRLNGDIPSSFSNLHFLNHFNVSYNNLTGQIPTSTQLQSFENLSYVGNHLCGPPLAKNCTSKSIPTNAANNGRNSEGSKVNWLYVSIVLGFVMGFWGVVAPLFFIRSWRIAYYRKLDHIYGKLYGFWATMSM >KJB45958 pep chromosome:Graimondii2_0_v6:7:56560323:56563247:-1 gene:B456_007G340200 transcript:KJB45958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIATMTTVPISLFHFLLLIAAICFTICYANSNVRCIHSEREALLKFKNDLIDPSNSLSSWVEGGDCCKWIGVVCHNSTGHVHQLYLAAPLSPYEQSALGGKINPSLLELEHLSFLDLSNNNFSSIQIPKFLGMLPNLMYLNLSFARFQGAIPHNLGNLTKLQYLDLRCNERGDIKATSLQWISGLSSLRYLDLSNADLSKATNWLQVTFKHPSLAELYMFNTDLEDDPSSISVNSSKSLVVLDLSGNSLSSVPKWIFSLHGLASIYLRSCSLEGPIPDYFGNISFLEVLDLSLNHLNSSIPNSLYGLNHLLFLDLSYNEIEQDISEILQSLSRCCLGSLASLNMESNQLSGHLTDQLGQFKNLAYLSLALNKIFGELSSLKFFDVTDNQLNGTFPLCFGQLESLEILQFGYNLLEGVVLETHFSNLTRLTYLFGSQNMLRFQPNSSWIPPFQCQNIYLGHWHLGPKFPQWLKFQKKLSFLDISEAGISDFVPTWFLNLPTQFYYLNLSCNQLTGGISYLNVTNTIDLSSNRFTGPLPRVLSTLGLLILSNNSFSGSLFELVCNSSLTGMLALFIDNNLLIGEIPDCWKYWEYFAYLNLANNNLTGKIPPSLGYTNPCLLNLRNNTMFGELPSTLQNSSRLLMLDLSENHFSGSVPAWIGDKLLKLVVLSLRSNNFDGHIPRKICDLQFLQILDLAHNNISGVIPKCFNNLSAMVATNKTNNELSSSYFHDSSIYLSALLVLKGREDEYGTTLGLVTSMDLSANSLTGEIPKEIGSLVGLLSLNFSGNLLTGNIPYNIGNMELMESLDLSMNRLNGDIPSSFSNLHFLNHFNVSYNNLTGQIPTSTQLQSFENLSYVGNHLCGPPLAKNCTSKSIPTNAANNGRNSEGSKVNWLYVSIVLGFVMGFWGVVAPLFFIRSWRIAYYRKLDHIYGKLYGFWATMSM >KJB39711 pep chromosome:Graimondii2_0_v6:7:1833160:1836640:-1 gene:B456_007G026600 transcript:KJB39711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRSICNRVTIMARRYQPLFAYVLHEDDRKNQPSNEFQSHQKPGDFVQQRYFGTGFSNSSSGFGVLFQDRKCSQLAFLPSSGMSFYRLMSTTGSDKPDKFELIGDVADVLKDTAVEAVASQAPAVNEVAVAAADCWLPVASLQYVIDSIHSFTGLNWWASIAAATLLIRGATLPLLINQLKATTKMTLMRPRLEEIRERMASKDGDSPSMVEGQNEMKKLFKEYGVTPFTPLKGLFIQGPIFISFDLAITTMTEKMPSFKCGGACWFTDLTTPDSLYLFPVLTALTFFITVECNMQEGMEGNPAAATMRNVSRVLAVLTVPFTMNFPKAIFCYWITSNLFSLLWTRTYYFSRFLVLKAPRVKKALGIPEIPDQPAATASRPSIDLYSALKQTLQQARTAAEESASVSAAPTKVLNRSTPSSAVNQRIKHLEKQVKGKKKNKKR >KJB39712 pep chromosome:Graimondii2_0_v6:7:1835495:1836936:-1 gene:B456_007G026600 transcript:KJB39712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRYQPLFAYVLHEDDRKNQPSNEFQSHQKPGDFVQQRYFGTGFSNSSSGFGVLFQDRKCSQLAFLPSSGMSFYRLMSTTGSDKPDKFELIGDVADVLKDTAVEAVASQAPAVNEVAVAAADCWLPVASLQYVIDSIHSFTGLNWWASIAAATLLIRGATLPLLINQLKATTKMTV >KJB43593 pep chromosome:Graimondii2_0_v6:7:21934039:21936661:-1 gene:B456_007G208700 transcript:KJB43593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLLQYLFSICCTLFALFSCIYFYQSSKKPSRSCSAPQAGGALPIIGHMHLFGGQQLTHKTLGGMADKYGPVFSLRLGSHEVLVLNSWEMAKECFTVHDKVFSTRPSITASKILGYDFAMFGFAPYGPYWREIRKITTIELLSNHRIDMLKHIRVSEVKSAIRELYKSWLSKGNGGSGLSVDMKQWFGDLTHNIALRMVGGKRYFGPNADCEEAEARRCKTVMRDFVHLFGVFVLSDAIPFLRWLDFLGYEKAMKRTAKELDSIVGGWLEEHKQKRLMGGGVIKEQDFMDVMLNILEDANITCYDADTINKATCLNLVLAGSDTTMVTLTWALSLLLNNPHVLKRAQDELDMHVGKHRLLEESDVRNMVYLQAIVKETLRLYPPEPVISLRAASEDCNLSTGYRIPSGTQLMVNAWKIQRDERVWPEPHDFQPERFLTTNKDMDFQGQTFELIPFGSGRRSCPGVSLALKMLHFILGSFLHSFKVVTLSELEDVDMTESPGLTNPKATPLEVLITPRLDSKLYV >KJB44673 pep chromosome:Graimondii2_0_v6:7:43914051:43916710:1 gene:B456_007G264600 transcript:KJB44673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNPLRNLRCHHCAGPLSKEMVIIFTYIFIFIFFLVLFNFLFWGSMVQEASEWTVAPLVRDSFSMIGSAVGGTTSAFYGFNHVMPIVRRYVKGPMWVHFLVGAPPVIVFSSACAGLAGGAVPALAQLASSSYHAAFSSSSSSLPPSSQDDKMHKSRTNSTL >KJB44672 pep chromosome:Graimondii2_0_v6:7:43914037:43916710:1 gene:B456_007G264600 transcript:KJB44672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNPLRNLRCHHCAGPLSKEMEASEWTVAPLVRDSFSMIGSAVGGTTSAFYGFNHVMPIVRRYVKGPMWVHFLVGTTCDSILLSLCRVGRWCCSSPSSISFFVIPCCILVFFFFIASIFTG >KJB44671 pep chromosome:Graimondii2_0_v6:7:43914037:43915507:1 gene:B456_007G264600 transcript:KJB44671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNPLRNLRCHHCAGPLSKEMEASEWTVAPLVRDSFSMIGSAVGGTTSAFYGFNHVMPIVRRYVKGPMWVHFLVGVSSIYAKSLNLSMFCFELDVISSLRIGA >KJB44670 pep chromosome:Graimondii2_0_v6:7:43913993:43916714:1 gene:B456_007G264600 transcript:KJB44670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNPLRNLRCHHCAGPLSKEMEASEWTVAPLVRDSFSMIGSAVGGTTSAFYGFNHVMPIVRRYVKGPMWVHFLVGAPPVIVFSSACAGLAGGAVPALAQLASSSYHAAFSSSSSSLPPSSQDDKMHKSRTNSTL >KJB41413 pep chromosome:Graimondii2_0_v6:7:7663119:7668064:-1 gene:B456_007G103000 transcript:KJB41413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLASNSTTSPMLRSSSGMRHRDNPTPLPLVISLNCIEDCALEQEFLAGVAVVHHVPLSSLGEGKIEGAAAVLLHSLSYLPRAAQRRLRPYQLILCLGSSDRAVDSALAADLGLRLVHVDASRAEEIADTVMALFLGLLRRTHLLSRHALSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASARSLASRSLAFKMSVLYYDIVEENGKVSRSSITFPPAARRMDTLNDLLAASDLISLHCALTDETIQIINAECLQHIKPGAFLVNTGSSQLLDDCALKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIREKAISMLQTFFCDGVIPKDATSDEDEEESEIVDEKEQFSIQEKESALRGSSGEQFTDDIQLSPESSLKKDTNQAKDYPNQNQHSGMSSGTPTKSDAKRSRSGKKAKKRHARRNNLQKSDEPLILEKESTSQREDDTAMSGTDQALSSGSRSPLDSRSRKTPKELTQGSTSDQLLKMSRNLSGQSGDLLKEGYVIAMYARDRPALHLSRQRVKGGGWFLDSMSNVTKRDPAAQFLVVCRSKDTIGLRSFAAGGKLLQINRRMEFVFASHSFDIWESWTLQGPLEECRLVNCRNPSAILDVRIEILAAVGEDDGVTRWLD >KJB41414 pep chromosome:Graimondii2_0_v6:7:7663378:7667980:-1 gene:B456_007G103000 transcript:KJB41414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLASNSTTSPMLRSSSGMRHRDNPTPLPLVISLNCIEDCALEQEFLAGVAVVHHVPLSSLGEGKIEGAAAVLLHSLSYLPRAAQRRLRPYQLILCLGSSDRAVDSALAADLGLRLVHVDASRAEEIADTVMALFLGLLRRTHLLSRHALSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASARSLASRSLAFKMSVLYYDIVEENGKVSRSSITFPPAARRMDTLNDLLAASDLISLHCALTDETIQIINAECLQHIKPGAFLVNTGSSQLLDDCALKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIREKAISMLQTFFCDGVIPKDATSDEDEEESEIVDEKEQFSIQEKESALRGSSGEQFTDDIQLSPESSLKKDTNQAKDYPNQNQHSGMSSGTPTKSDAKRSRSGKKAKKRHARRNNLQKSDEPLILEKESTSQREDDTAMSGTDQALSSGSRSPLDSRSRKTPKELTQGSTSDQLLKMSRNLSGQSGDLLKEGYVIAMYARDRPALHLSRQRVKGGGWFLDSMSNVTKRDPAAQFLVVCRSKDTIGLRSFAAGGKLLQINRRMEFVFASHSFDIWESWTLQGPLEECRLVNCRNPSAILDVRIEILAAVGEDDGVTRWLD >KJB41640 pep chromosome:Graimondii2_0_v6:7:8758781:8763097:1 gene:B456_007G113000 transcript:KJB41640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVGMEVDVEVGTAEETKKKKEEEEENDESSEVVQWEKFLPQMVLRVLLVEADDSTRQIIAALLRKCSYRVVAAVPDGLMAWETLKDRPHNIDLILTEVELPSISGFALLTLVMEHDICKNIPVIMMSSEDSFSMVLKCMLKGAADFLIKPVRRNELRNLWQHVWRRHMLAGGCAHHNLPAKEHNVEDTAENNAAENNQSSDYGSSTQKIKDESDTQGLSQLKCMSSNVSDTGREQQGNFVKPGQESLQNEGQAEGISDKLFVSENSKRFVEVAHCSGTCESIASKLEENSAFVDEMAHNVVGLQSDKRIAYVMIGVGCNDELRESSTGAIDLIDSFDKQPMGTFAISSLSDGANKLEFSPQLELSLRRPCSRSAKSQGTNEKHILNHSDASPFSWYNNRKSLLPIFLTLDGNREEGNSNPRQDLESNNGMRMDDVHAGCNDVSPHFYHAKSSLPPACGPKQVGKQEYSPLPVSTLVHSDPDVDDSEQCYGWCDEATNSSNDQTLQGQNKQEPIEELRCSSLIDYQSACSRLCNDVENHEKSCTHGAVPSWSNASSVVTLAAAIDKGTTMETFNNSNCFIHDGLKGMDTHRSSQREAALMKFRLKRKDRCFEKKVRYQNRKRLAEQRPRVKGQFIRQVQHGTPLDNGDSHKI >KJB41637 pep chromosome:Graimondii2_0_v6:7:8758504:8763503:1 gene:B456_007G113000 transcript:KJB41637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVGMEVDVEVGTAEETKKKKEEEEENDESSEVVQWEKFLPQMVLRVLLVEADDSTRQIIAALLRKCSYRVAAVPDGLMAWETLKDRPHNIDLILTEVELPSISGFALLTLVMEHDICKNIPVIMMSSEDSFSMVLKCMLKGAADFLIKPVRRNELRNLWQHVWRRHMLAGGCAHHNLPAKEHNVEDTAENNAAENNQSSDYGSSTQKIKDESDTQGLSQLKCMSSNVSDTGREQQGNFVKPGQESLQNEGQAEGISDKLFVSENSKRFVEVAHCSGTCESIASKLEENSAFVDEMAHNVVGLQSDKRIAYVMIGVGCNDELRESSTGAIDLIDSFDKQPMGTFAISSLSDGANKLEFSPQLELSLRRPCSRSAKSQGTNEKHILNHSDASPFSWYNNRKSLLPIFLTLDGNREEGNSNPRQDLESNNGMRMDDVHAGCNDVSPHFYHAKSSLPPACGPKQVGKQEYSPLPVSTLVHSDPDVDDSEQCYGWCDEATNSSNDQTLQGQNKQEPIEELRCSSLIDYQSACSRLCNDVENHEKSCTHGAVPSWSNASSVVTLAAAIDKGTTMETFNNSNCFIHDGLKGMDTHRSSQREAALMKFRLKRKDRCFEKKVRYQNRKRLAEQRPRVKGQFIRQVQHGTPLDNGDSHKI >KJB41638 pep chromosome:Graimondii2_0_v6:7:8758781:8762273:1 gene:B456_007G113000 transcript:KJB41638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVGMEVDVEVGTAEETKKKKEEEEENDESSEVVQWEKFLPQMVLRVLLVEADDSTRQIIAALLRKCSYRVAAVPDGLMAWETLKDRPHNIDLILTEVELPSISGFALLTLVMEHDICKNIPVIMMSSEDSFSMVLKCMLKGAADFLIKPVRRNELRNLWQHVWRRHMLAGGCAHHNLPAKEHNVEDTAENNAAENNQSSDYGSSTQKIKDESDTQGLSQLKCMSSNVSDTGREQQGNFVKPGQESLQNEGQAEGISDKLFVSENSKRFVEVAHCSGTCESIASKLEENSAFVDEMAHNVVGLQSDKRIAYVMIGVGCNDELRESSTGAIDLIDSFDKQPMGTFAISSLSDGANKLEFSPQLELSLRRPCSRSAKSQGTNEKHILNHSDASPFSWYNNRKSLLPIFLTLDGNREEGNSNPRQDLESNNGMRMDDVHAGCNDVSPHFYHAKSSLPPACGPKQVGKQEYSPLPVSTLVHSDPDVDDSEQCYGWCDEATNSSNDQTLQGQNKQEPIEELRCSSLIDYQSACSRLCNDVENHEKSCTHGAVPSWSNASSVVTLAAAIDKGTTMETFNNSNCFIHDGLKGMDTHRSSQREAALMKFRLKRKDRCFEKKVYYYYYYYSFWLKVSTRMIPMVVCLC >KJB41639 pep chromosome:Graimondii2_0_v6:7:8758781:8763097:1 gene:B456_007G113000 transcript:KJB41639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVGMEVDVEVGTAEETKKKKEEEEENDESSEVVQWEKFLPQMVLRVLLVEADDSTRQIIAALLRKCSYRVAAVPDGLMAWETLKDRPHNIDLILTEVELPSISGFALLTLVMEHDICKNIPVIMMSSEDSFSMVLKCMLKGAADFLIKPVRRNELRNLWQHVWRRHMLAGGCAHHNLPAKEHNVEDTAENNAAENNQSSDYGSSTQKIKDESDTQGLSQLKCMSSNVSDTGREQQGNFVKPGQESLQNEGQAEGISDKLFVSENSKRFVEVAHCSGTCESIASKLEENSAFVDEMAHNVVGLQSDKRIAYVMIGVGCNDELRESSTGAIDLIDSFDKQPMGTFAISSLSDGANKLEFSPQLELSLRRPCSRSAKSQGTNEKHILNHSDASPFSWYNNRKSLLPIFLTLDGNREEGNSNPRQDLERMRMDDVHAGCNDVSPHFYHAKSSLPPACGPKQVGKQEYSPLPVSTLVHSDPDVDDSEQCYGWCDEATNSSNDQTLQGQNKQEPIEELRCSSLIDYQSACSRLCNDVENHEKSCTHGAVPSWSNASSVVTLAAAIDKGTTMETFNNSNCFIHDGLKGMDTHRSSQREAALMKFRLKRKDRCFEKKVRYQNRKRLAEQRPRVKGQFIRQVQHGTPLDNGDSHKI >KJB40325 pep chromosome:Graimondii2_0_v6:7:4100593:4101340:1 gene:B456_007G057700 transcript:KJB40325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELGRASQRWMIGWRNAQLRAAAGNASMVGVEVREIKWLPPPQGWVKINTDGAVKMTNGYAGEGLWFVTIMRTGWRAALAISDVRRWRKLKCGAYMMACCCPGH >KJB46527 pep chromosome:Graimondii2_0_v6:7:60600799:60607486:-1 gene:B456_007G373800 transcript:KJB46527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAGNVNLQPGGVYRLLPAVLPVLLIAIGYIDPGKWVVTIEGGARFGFDLVIPMLLFNFAAILCQYLSARIGIVTGKDLAQICCDEYEKTTRIFLGVQAELSMIVLDLTMVLGVAHGINLLFGIDLSTSVFLAALDAVLFPVFASLLDHCRASLLCMYAAGFVLLSYVSGVLIGQPEISLTMAGMLTKLSGESAFALMSLLGASIMPHNFYLHSSIVQYQGPSNISKSILCHNHFFAIVCVFSGLFLVNYLLMNSAANVFHSAGLVLLTFQDAMSLLEQVFRNGVLSIIFMLVMFLSNQITASTWNLGGQVVLHGFLGLEIPGWLHRATIRNIASVPALYCVWTSGVEGIYQLLIFTQVMMALLLPSSVIPLFRVASSRPIMGVYKISPVVEFLALTTFMGMLGLKIIFVVEMIFGDSDWASNLRSNAGISMSVPFVVLLVTAGASFSLMLWLAATPLKSASSLYEVQAWKWDLNRTVPEAAIKREETGLSETRYHGDGPARIGERSSIPEKSTESSLDLSSSDYDLDLPETIMESDQEICLSTVNENSSNIIQPSPSVCSTEESVSITDPASTVVNEAAGDDLPSTKTPQIESTNPMERTVSLEGDLLIDDLGETWEPEEPSKPPSGSISSLTSDGPPSFRSLSGKNDDCGSGTGSLSRLAGLGRAARRQLAAILDEFWGQLYDLHGQPTQEAKKLDVLLGIDSKLVKVDTTGKEHGGYFPSVGGRTSDTLISSSPYVYSRGSSPMWSSHMQLLDAYVQNSRRNVDSGERRHSSLRTAMSGDTWDYQPATVHGYQMKSYLNRIAKDRNSDSFNGQMELQAPNSPALGPTNYRNSMALTLEQKLQNRITPAHEPGFQNVAVSINSPLQSEGSYYNIGSPGTNDNSGISVNTKKYHSLPSISGLSVPHRGLYMSEKNAPWDSSNGYGPAAGQPMFSNAGSRTRVPLVFDELSQPKGYQDAFPVQLSSSPGSGSLWSRQPFEQFGVAEKKRTAGSEVPGSGLNSMSWDTASGMDLESKLLQSFRHCIVKLLKLDGSDWLFRQNDGADEDLIDRVAARERFLYDAEAKQMNQAICTGESQYSSPEKKYGSTLKSNEASLANILISSVPQCGEGCIWKADLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNHLQGVIDPAFSKPRSPMLPCFCLQIPADYQQRSSPPVSNGMLPPTAKPGRGKCTNAATLLDIIKDVEIAISCRKGRSGTAAGDVAFPRGKENLASVLKRYKRRLSNLVGTHEGLGSHKVPTSAPLSASYGS >KJB46528 pep chromosome:Graimondii2_0_v6:7:60600862:60606615:-1 gene:B456_007G373800 transcript:KJB46528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAGNVNLQPGGVYRLLPAVLPVLLIAIGYIDPGKWVVTIEGGARFGFDLVIPMLLFNFAAILCQYLSARIGIVTGKDLAQICCDEYEKTTRIFLGVQAELSMIVLDLTMVLGVAHGINLLFGIDLSTSVFLAALDAVLFPVFASLLDHCRASLLCMYAAGFVLLSYVSGVLIGQPEISLTMAGMLTKLSGESAFALMSLLGASIMPHNFYLHSSIVQQYQGPSNISKSILCHNHFFAIVCVFSGLFLVNYLLMNSAANVFHSAGLVLLTFQDAMSLLEQVFRNGVLSIIFMLVMFLSNQITASTWNLGGQVVLHGFLGLEIPGWLHRATIRNIASVPALYCVWTSGVEGIYQLLIFTQVMMALLLPSSVIPLFRVASSRPIMGVYKISPVVEFLALTTFMGMLGLKIIFVVEMIFGDSDWASNLRSNAGISMSVPFVVLLVTAGASFSLMLWLAATPLKSASSLYEVQAWKWDLNRTVPEAAIKREETGLSETRYHGDGPARIGERSSIPEKSTESSLDLSSSDYDLDLPETIMESDQEICLSTVNENSSNIIQPSPSVCSTEESVSITDPASTVVNEAAGDDLPSTKTPQIESTNPMERTVSLEGDLLIDDLGETWEPEEPSKPPSGSISSLTSDGPPSFRSLSGKNDDCGSGTGSLSRLAGLGRAARRQLAAILDEFWGQLYDLHGQPTQEAKKLDVLLGIDSKLVKVDTTGKEHGGYFPSVGGRTSDTLISSSPYVYSRGSSPMWSSHMQLLDAYVQNSRRNVDSGERRHSSLRTAMSGDTWDYQPATVHGYQMKSYLNRIAKDRNSDSFNGQMELQAPNSPALGPTNYRNSMALTLEQKLQNRITPAHEPGFQNVAVSINSPLQSEGSYYNIGSPGTNDNSGISVNTKKYHSLPSISGLSVPHRGLYMSEKNAPWDSSNGYGPAAGQPMFSNAGSRTRVPLVFDELSQPKGYQDAFPVQLSSSPGSGSLWSRQPFEQFGVAEKKRTAGSEVPGSGLNSMSWDTASGMDLESKLLQSFRHCIVKLLKLDGSDWLFRQNDGADEDLIDRVAARERFLYDAEAKQMNQAICTGESQYSSPEKKYGSTLKSNEASLANILISSVPQCGEGCIWKADLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNHLQGVIDPAFSKPRSPMLPCFCLQIPADYQQRSSPPVSNGMLPPTAKPGRGKCTNAATLLDIIKDVEIAISCRKGRSGTAAGDVAFPRGKENLASVLKRYKRRLSNLVGTHEGLGSHKVPTSAPLSASYGS >KJB46531 pep chromosome:Graimondii2_0_v6:7:60600862:60606615:-1 gene:B456_007G373800 transcript:KJB46531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAGNVNLQPGGVYRLLPAVLPVLLIAIGYIDPGKWVVTIEGGARFGFDLVIPMLLFNFAAILCQYLSARIGIVTGKDLAQICCDEYEKTTRIFLGVQAELSMIVLDLTMVLGVAHGINLLFGIDLSTSVFLAALDAVLFPVFASLLDHCRASLLCMYAAGFVLLSYVSGVLIGQPEISLTMAGMLTKLSGESAFALMSLLGASIMPHNFYLHSSIVQQYQGPSNISKSILCHNHFFAIVCVFSGLFLVNYLLMNSAANVFHSAGLVLLTFQDAMSLLEQVFRNGVLSIIFMLVMFLSNQITASTWNLGGQVVLHGFLGLEIPGWLHRATIRNIASVPALYCVWTSGVEGIYQLLIFTQVMMALLLPSSVIPLFRVASSRPIMGVYKISPVVEFLALTTFMGMLGLKIIFVVEMIFGDSDWASNLRSNAGISMSVPFVVLLVTAGASFSLMLWLAATPLKSASSLYEVQAWKWDLNRTVPEAAIKREETGLSETRYHGDGPARIGERSSIPEKSTESSLDLSSSDYDLDLPETIMESDQEICLSTVNENSSNIIQPSPSVCSTEESVSITDPASTVVNEAAGDDLPSTKTPQIESTNPMERTVSLEGDLLIDDLGETWEPEEPSKPPSGSISSLTSDGPPSFRSLSGKNDDCGSGTGSLSRLAGLGRAARRQLAAILDEFWGQLYDLHGQPTQEAKKLDVLLGIDSKLVKVDTTGKEHGGYFPSVGGRTSDTLISSSPYVYSRGSSPMWSSHMQLLDAYVQNSRRNVDSGERRHSSLRTAMSGDTWDYQPATVHGYQMKSYLNRIAKDRNSDSFNGQMELQAPNSPALGPTNYRNSMALTLEQKLQNRITPAHEPGFQNVAVSINSPLQSEGSYYNIGSPGTNDNSGISVNTKKYHSLPSISGLSVPHRGLYMSEKNAPWDSSNGYGPAAGQPMFSNAGSRTRVPLVFDELSQPKGYQDAFPVQLSSSPGSGSLWSRQPFEQFGVAEKKRTAGSEVPGSGLNSMSWDTASGMDLESKLLQSFRHCIVKLLKLDGSDWLFRQNDGADEDLIDRVAARERFLYDAEAKQMNQAICTGESQYSSPEKKYGSTLKSNEASLANILISSVPQCGEGCIWKADLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNHLQGVIDPAFSKPRSPMLPCFCLQIPADYQQRSSPPVSNGMLPPTAKPGRGKCTNAATLLDIIKDVEIAISCRKGRSGTAAGDVAFPRGKENLASVLKRYKRRLSNLVGTHEGLGSHKVPTSAPLSASYGS >KJB46530 pep chromosome:Graimondii2_0_v6:7:60601615:60606275:-1 gene:B456_007G373800 transcript:KJB46530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAGNVNLQPGGVYRLLPAVLPVLLIAIGYIDPGKWVVTIEGGARFGFDLVIPMLLFNFAAILCQYLSARIGIVTGKDLAQICCDEYEKTTRIFLGVQAELSMIVLDLTMVLGVAHGINLLFGIDLSTSVFLAALDAVLFPVFASLLDHCRASLLCMYAAGFVLLSYVSGVLIGQPEISLTMAGMLTKLSGESAFALMSLLGASIMPHNFYLHSSIVQQYQGPSNISKSILCHNHFFAIVCVFSGLFLVNYLLMNSAANVFHSAGLVLLTFQDAMSLLEQVFRNGVLSIIFMLVMFLSNQITASTWNLGGQVVLHGFLGLEIPGWLHRATIRNIASVPALYCVWTSGVEGIYQLLIFTQVMMALLLPSSVIPLFRVASSRPIMGVYKISPVVEFLALTTFMGMLGLKIIFVVEMIFGDSDWASNLRSNAGISMSVPFVVLLVTAGASFSLMLWLAATPLKSASSLYEVQAWKWDLNRTVPEAAIKREETGLSETRYHGDGPARIGERSSIPEKSTESSLDLSSSDYDLDLPETIMESDQEICLSTVNENSSNIIQPSPSVCSTEESVSITDPASTVVNEAAGDDLPSTKTPQIESTNPMERTVSLEGDLLIDDLGETWEPEEPSKPPSGSISSLTSDGPPSFRSLSGKNDDCGSGTGSLSRLAGLGRAARRQLAAILDEFWGQLYDLHGQPTQEAKKLDVLLGIDSKLVKVDTTGKEHGGYFPSVGGRTSDTLISSSPYVYSRGSSPMWSSHMQLLDAYVQNSRRNVDSGERRHSSLRTAMSGDTWDYQPATVHGYQMKSYLNRIAKDRNSDSFNGQMELQAPNSPALGPTNYRNSMALTLEQKLQNRITPAHEPGFQNVAVSINSPLQSEGSYYNIGSPGTNDNSGISVNTKKYHSLPSISGLSVPHRGLYMSEKNAPWDSSNGYGPAAGQPMFSNAGSRTRVPLVFDELSQPKGYQDAFPVQLSSSPGSGSLWSRQPFEQFGVAEKKRTAGSEVPGSGLNSMSWDTASGMDLESKLLQSFRHCIVKLLKLDGSDWLFRQNDGADEDLIDRVAARERFLYDAEAKQMNQAICTGESQYSSPEKKYGSTLKSNEASLANILISSVPQCGEGCIWKADLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNHLQVYWLL >KJB46529 pep chromosome:Graimondii2_0_v6:7:60601067:60606615:-1 gene:B456_007G373800 transcript:KJB46529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAAGFVLLSYVSGVLIGQPEISLTMAGMLTKLSGESAFALMSLLGASIMPHNFYLHSSIVQQYQGPSNISKSILCHNHFFAIVCVFSGLFLVNYLLMNSAANVFHSAGLVLLTFQDAMSLLEQVFRNGVLSIIFMLVMFLSNQITASTWNLGGQVVLHGFLGLEIPGWLHRATIRNIASVPALYCVWTSGVEGIYQLLIFTQVMMALLLPSSVIPLFRVASSRPIMGVYKISPVVEFLALTTFMGMLGLKIIFVVEMIFGDSDWASNLRSNAGISMSVPFVVLLVTAGASFSLMLWLAATPLKSASSLYEVQAWKWDLNRTVPEAAIKREETGLSETRYHGDGPARIGERSSIPEKSTESSLDLSSSDYDLDLPETIMESDQEICLSTVNENSSNIIQPSPSVCSTEESVSITDPASTVVNEAAGDDLPSTKTPQIESTNPMERTVSLEGDLLIDDLGETWEPEEPSKPPSGSISSLTSDGPPSFRSLSGKNDDCGSGTGSLSRLAGLGRAARRQLAAILDEFWGQLYDLHGQPTQEAKKLDVLLGIDSKLVKVDTTGKEHGGYFPSVGGRTSDTLISSSPYVYSRGSSPMWSSHMQLLDAYVQNSRRNVDSGERRHSSLRTAMSGDTWDYQPATVHGYQMKSYLNRIAKDRNSDSFNGQMELQAPNSPALGPTNYRNSMALTLEQKLQNRITPAHEPGFQNVAVSINSPLQSEGSYYNIGSPGTNDNSGISVNTKKYHSLPSISGLSVPHRGLYMSEKNAPWDSSNGYGPAAGQPMFSNAGSRTRVPLVFDELSQPKGYQDAFPVQLSSSPGSGSLWSRQPFEQFGVAEKKRTAGSEVPGSGLNSMSWDTASGMDLESKLLQSFRHCIVKLLKLDGSDWLFRQNDGADEDLIDRVAARERFLYDAEAKQMNQAICTGESQYSSPEKKYGSTLKSNEASLANILISSVPQCGEGCIWKADLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNHLQGVIDPAFSKPRSPMLPCFCLQIPADYQQRSSPPVSNGMLPPTAKPGRGKCTNAATLLDIIKDVEIAISCRKGRSGTAAGDVAFPRGKENLASVLKRYKRRLSNLVGTHEGLGSHKVPTSAPLSASYGS >KJB41704 pep chromosome:Graimondii2_0_v6:7:9536608:9538420:-1 gene:B456_007G121100 transcript:KJB41704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSYLKETSVEVDLESGGTVNEDERTKGHGSSNLQTNRTFSRVWTDLLRFGSVGKGKYGVNSCSSSSRFGSVENENMKFWVDKSSEGEDNHDLMALEEKNFADDNCKNKNSRKPPKPPRPSKAPLLDAADQRLVREIAELSMRKRARIKRINAMKKMKAANAAPSSSSSLFAMVITVIFCLVILFQGICHRRGTTVMLQGSAAPAVGEGLISV >KJB41702 pep chromosome:Graimondii2_0_v6:7:9536069:9539502:-1 gene:B456_007G121100 transcript:KJB41702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSYLKETSVEVDLESGGTVNEDERTKGHGSSNLQTNRTFSRVWTDLLRFGSVGKGKYGVNSCSSSSRFGSVENENMKFWVDKSSEGEDNHDLMALEEKNFADDNCKNKNSRKPPKPPRPSKAPLLDAADQRLVREIAELSMRKRARIKRINAMKKMKAANAAPSSSSSLFAMVITVIFCLVILFQGICHRRGTTVMLQGSAAPAVGEGLISV >KJB41703 pep chromosome:Graimondii2_0_v6:7:9536368:9538640:-1 gene:B456_007G121100 transcript:KJB41703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSYLKETSVEVDLESGGTVNEDERTKGHGSSNLQTNRTFSRVWTDLLRFGSVGKGKYGVNSCSSSSRFGSVENENMKFWVDKSSEGEDNHDLMALEEKNFADDNCKNKNSRKPPKPPRPSKAPLLDAADQRLVREIAELSMRKRARIKRINAMKKMKAANAAPSSSSSLFAMVITVIFCLVILFQGICHRRGTTVMLQGSAAPAVGEGLISV >KJB40397 pep chromosome:Graimondii2_0_v6:7:11667243:11668488:-1 gene:B456_007G141000 transcript:KJB40397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLLAAKTTAANKDKDKDKDKKRPVSRSSRAGIQFPVGRIHRHLKTRTSANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKD >KJB40398 pep chromosome:Graimondii2_0_v6:7:11666981:11668658:-1 gene:B456_007G141000 transcript:KJB40398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLLAAKTTAANKDKDKDKDKKRPVSRSSRAGIQFPVGRIHRHLKTRTSANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKD >KJB40399 pep chromosome:Graimondii2_0_v6:7:11666969:11668926:-1 gene:B456_007G141000 transcript:KJB40399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLLAAKTTAANKDKDKDKDKKRPVSRSSRAGIQFPVGRIHRHLKTRTSANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGFI >KJB41485 pep chromosome:Graimondii2_0_v6:7:7981604:7985559:-1 gene:B456_007G106400 transcript:KJB41485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKTSESAVSTIVNLAEEAKLAREGVKAPSYAILSICKSLAAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYQRQTGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTESSPYQYRGMFHALSTVLREEGPRALYKGWLPSVIGVIPYVGLNFSVYESLKDWLIKSRPFGLVEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVSGDGRNKASLEYTGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVSYEVVKDILGVEIRISD >KJB41483 pep chromosome:Graimondii2_0_v6:7:7981604:7985503:-1 gene:B456_007G106400 transcript:KJB41483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIVHALFQTRRSSSSATSKLQKDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTESSPYQYRGMFHALSTVLREEGPRALYKGWLPSVIGVIPYVGLNFSVYESLKDWLIKSRPFGLVEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVSGDGRNKASLEYTGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVSYEVVKDILGVEIRISD >KJB41484 pep chromosome:Graimondii2_0_v6:7:7981604:7985503:-1 gene:B456_007G106400 transcript:KJB41484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKTSESAVSTIVNLAEEAKLAREGVKAPSYAILSICKSLAAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYQRQTGNDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTESSPYQYRGMFHALSTVLREEGPRALYKGWLPSVIGVIPYVGLNFSVYESLKDWLIKSRPFGLVEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVSGDGRNKASLEYTGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVSYEVVKDILGVEIRISD >KJB41486 pep chromosome:Graimondii2_0_v6:7:7982514:7985503:-1 gene:B456_007G106400 transcript:KJB41486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKTSESAVSTIVNLAEEAKLAREGVKAPSYAILSICKSLAAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYQRQTGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTESSPYQYRGMFHALSTVLREEGPRALYKGWLPSVIGVIPYVGLNFSVYESLKDWLIKSRPFGLVEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVSGDGRNKASLEYTGMIDAFRKTVRHEGFGALYKGLVPNSVKVSVF >KJB46328 pep chromosome:Graimondii2_0_v6:7:59187104:59197260:1 gene:B456_007G360700 transcript:KJB46328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKQTPNSPLDDKETPKEFDNENEIPLKDGSDICQQLMDRYSKSSAPQHRHLIATAAAMRSILSSESLPLSPPAYFAASISALDDDSAATLDSTAIGALLTFLSLVVPAVPKGGIASGKAKEAVEVVVTVLGKEGLGVASLRSGVKCLGLLLVGFSDLQDWHSVQFGLESLLGFAIDKRPKVRRCAQEYLEKFFKSFQSSDVMKEASKLVLSLLKRHMRVALTLNTIKSADDSKDETLSNPEHLEVLHMLNVLKLTVPYLSATIRLKILSELCKLTSSEFSILTRNIHKTIEVFFGSSNAEAIIPVTENIIVSLSSYVSGEKNPVDTLISAATLLKCAVDKLYAVDSNSWTKHTPLVCDSLAALLSSEASVASHASDIMKELISHHIDLKSLSSDNNGLGSEEADAIKSICSIFENTLSSSDGIPNEHVLAVLTVLFQKLGESSYIFMKGIVHKLADLMNRTSGNTSNTNHLQNCVGSVVTVIGPERMLTLLPITLAVDNLMHSNMWLVPILKDYVVGASLSYYMEHIVPLAKSFQQASCKVKKSVIRQDLQAHGHSLWGLLPAFCHYPIDTHKRFKALAALLIDILKEDSLMHENIAFAIQILVNQNKNILRSGEDADESNNTVMGDSKLELRIPATYSKKTATKNIKALSSCAPEILQALTDVFIHSIPAKRLYLKDAIGCLASITDFSITKRIFVSLVEKLQSIDGEGEFVKQAGNADEVVEKEKNINTMGKDASRCIIMELASSLISGAEEDLIDFIYVLIKQTFQETNEIGHHEAYYALSRILEEHAWFCSSKSEELIDLLLGLKSPANIPSLRNRLDCFNTLMVHTLKVSSLEENTKPFLILNEIIVTLKDGKEETRKTTYDILLKMSSTLRKSSDLESDPPYHKLISMIMGYLSGSSPHIKSGAVAALSVLVYDDPEICISVPDLVSSILSLLQTKAVEVIKAVLGFVKVLVSTLQAKDLQNFLSDIINGVLKWSSISRNHFRSKVTIILEILTRKCGIAAVQSVAPEKHKGFLNTVIENRRGKTTSEETDVNDADKVPVGSSTEGSRKRRDKGFGAFKSKNDMIEHRKRKRDKRDGGSKHAESSEHVGHGGGMKMAKRAKHFGKPMNGHSEGNGKKKNFDKGSSTGRGQKRKINQATTSQKGVAAGDKRHSFKVQTRPKKFRGVNKKGDK >KJB46332 pep chromosome:Graimondii2_0_v6:7:59187312:59197117:1 gene:B456_007G360700 transcript:KJB46332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYSKSSAPQHRHLIATAAAMRSILSSESLPLSPPAYFAASISALDDDSAATLDSTAIGALLTFLSLVVPAVPKGGIASGKAKEAVEVVVTVLGKEGLGVASLRSGVKCLGLLLVGFSDLQDWHSVQFGLESLLGFAIDKRPKVRRCAQEYLEKFFKSFQSSDVMKEASKLVLSLLKRHMRVALTLNTIKSADDSKDETLSNPEHLEVLHMLNVLKLTVPYLSATIRLKILSELCKLTSSEFSILTRNIHKTIEVFFGSSNAEAIIPVTENIIVSLSSYVSGEKNPVDTLISAATLLKCAVDKLYAVDSNSWTKHTPLVCDSLAALLSSEASVASHASDIMKELISHHIDLKSLSSDNNGLGSEEADAIKSICSIFENTLSSSDGIPNEHVLAVLTVLFQKLGESSYIFMKGIVHKLADLMNRTSGNTSNTNHLQNCVGSVVTVIGPERMLTLLPITLAVDNLMHSNMWLVPILKDYVVGASLSYYMEHIVPLAKSFQQASCKDLQAHGHSLWGLLPAFCHYPIDTHKRFKALAALLIDILKEDSLMHENIAFAIQILVNQNKNILRSGEDADESNNTVMGDSKLELRIPATYSKKTATKNIKALSSCAPEILQALTDVFIHSIPAKRLYLKDAIGCLASITDFSITKRIFVSLVEKLQSIDGEGEFVKQAGNADEVVEKEKNINTMGKDASRCIIMELASSLISGAEEDLIDFIYVLIKQTFQETNEIGHHEAYYALSRILEEHAWFCSSKSEELIDLLLGLKSPANIPSLRNRLDCFNTLMVHTLKVSSLEENTKPFLILNEIIVTLKDGKEETRKTTYDILLKMSSTLRKSSDLESDPPYHKLISMIMGYLSGSSPHIKSGAVAALSVLVYDDPEICISVPDLVSSILSLLQTKAVEVIKAVLGFVKVLVSTLQAKDLQNFLSDIINGVLKWSSISRNHFRSKVTIILEILTRKCGIAAVQSVAPEKHKGFLNTVIENRRGKTTSEETDVNDADKVPVGSSTEGSRKRRDKGFGAFKSKNDMIEHRKRKRDKRDGGSKHAESSEHVGHGGGMKMAKRAKHFGKPMNGHSEGNGKKKNFDKGSSTGRGQKRKINQATTSQKGVAAGDKRHSFKVQTRPKKFRGVNKKGDK >KJB46329 pep chromosome:Graimondii2_0_v6:7:59187136:59197407:1 gene:B456_007G360700 transcript:KJB46329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKQTPNSPLDDKETPKEFDNENEIPLKDGSDICQQLMDRYSKSSAPQHRHLIATAAAMRSILSSESLPLSPPAYFAASISALDDDSAATLDSTAIGALLTFLSLVVPAVPKGGIASGKAKEAVEVVVTVLGKEGLGVASLRSGVKCLGLLLVGFSDLQDWHSVQFGLESLLGFAIDKRPKVRRCAQEYLEKFFKSFQSSDVMKEANDSKDETLSNPEHLEVLHMLNVLKLTVPYLSATIRLKILSELCKLTSSEFSILTRNIHKTIEVFFGSSNAEAIIPVTENIIVSLSSYVSGEKNPVDTLISAATLLKCAVDKLYAVDSNSWTKHTPLVCDSLAALLSSEASVASHASDIMKELISHHIDLKSLSSDNNGLGSEEADAIKSICSIFENTLSSSDGIPNEHVLAVLTVLFQKLGESSYIFMKGIVHKLADLMNRTSGNTSNTNHLQNCVGSVVTVIGPERMLTLLPITLAVDNLMHSNMWLVPILKDYVVGASLSYYMEHIVPLAKSFQQASCKVKKSVIRQDLQAHGHSLWGLLPAFCHYPIDTHKRFKALAALLIDILKEDSLMHENIAFAIQILVNQNKNILRSGEDADESNNTVMGDSKLELRIPATYSKKTATKNIKALSSCAPEILQALTDVFIHSIPAKRLYLKDAIGCLASITDFSITKRIFVSLVEKLQSIDGEGEFVKQAGNADEVVEKEKNINTMGKDASRCIIMELASSLISGAEEDLIDFIYVLIKQTFQETNEIGHHEAYYALSRILEEHAWFCSSKSEELIDLLLGLKSPANIPSLRNRLDCFNTLMVHTLKVSSLEENTKPFLILNEIIVTLKDGKEETRKTTYDILLKMSSTLRKSSDLESDPPYHKLISMIMGYLSGSSPHIKSGAVAALSVLVYDDPEICISVPDLVSSILSLLQTKAVEVIKAVLGFVKVLVSTLQAKDLQNFLSDIINGVLKWSSISRNHFRSKVTIILEILTRKCGIAAVQSVAPEKHKGFLNTVIENRRGKTTSEETDVNDADKVPVGSSTEGSRKRRDKGFGAFKSKNDMIEHRKRKRDKRDGGSKHAESSEHVGHGGGMKMAKRAKHFGKPMNGHSEGNGKKKNFDKGSSTGRGQKRKINQATTSQKGVAAGDKRHSFKVQTRPKKFRGVNKKGDK >KJB46331 pep chromosome:Graimondii2_0_v6:7:59187312:59197117:1 gene:B456_007G360700 transcript:KJB46331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYSKSSAPQHRHLIATAAAMRSILSSESLPLSPPAYFAASISALDDDSAATLDSTAIGALLTFLSLVVPAVPKGGIASGKAKEAVEVVVTVLGKEGLGVASLRSGVKCLGLLLVGFSDLQDWHSVQFGLESLLGFAIDKRPKVRRCAQEYLEKFFKSFQSSDVMKEASKLVLSLLKRHMRVALTLNTIKSADDSKDETLSNPEHLEVLHMLNVLKLTVPYLSATIRLKILSELCKLTSSEFSILTRNIHKTIEVFFGSSNAEAIIPVTENIIVSLSSYVSGEKNPVDTLISAATLLKCAVDKLYAVDSNSWTKHTPLVCDSLAALLSSEASVASHASDIMKELISHHIDLKSLSSDNNGLGSEEADAIKSICSIFENTLSSSDGIPNEHVLAVLTVLFQKLGESSYIFMKGIVHKLADLMNRTSGNTSNTNHLQNCVGSVVTVIGPERMLTLLPITLAVDNLMHSNMWLVPILKDYVVGASLSYYMEHIVPLAKSFQQASCKAHGHSLWGLLPAFCHYPIDTHKRFKALAALLIDILKEDSLMHENIAFAIQILVNQNKNILRSGEDADESNNTVMGDSKLELRIPATYSKKTATKNIKALSSCAPEILQALTDVFIHSIPAKRLYLKDAIGCLASITDFSITKRIFVSLVEKLQSIDGEGEFVKQAGNADEVVEKEKNINTMGKDASRCIIMELASSLISGAEEDLIDFIYVLIKQTFQETNEIGHHEAYYALSRILEEHAWFCSSKSEELIDLLLGLKSPANIPSLRNRLDCFNTLMVHTLKVSSLEENTKPFLILNEIIVTLKDGKEETRKTTYDILLKMSSTLRKSSDLESDPPYHKLISMIMGYLSGSSPHIKSGAVAALSVLVYDDPEICISVPDLVSSILSLLQTKAVEVIKAVLGFVKVLVSTLQAKDLQNFLSDIINGVLKWSSISRNHFRSKVTIILEILTRKCGIAAVQSVAPEKHKGFLNTVIENRRGKTTSEETDVNDADKVPVGSSTEGSRKRRDKGFGAFKSKNDMIEHRKRKRDKRDGGSKHAESSEHVGHGGGMKMAKRAKHFGKPMNGHSEGNGKKKNFDKGSSTGRGQKRKINQATTSQKGVAAGDKRHSFKVQTRPKKFRGVNKKGDK >KJB46330 pep chromosome:Graimondii2_0_v6:7:59187312:59195807:1 gene:B456_007G360700 transcript:KJB46330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYSKSSAPQHRHLIATAAAMRSILSSESLPLSPPAYFAASISALDDDSAATLDSTAIGALLTFLSLVVPAVPKGGIASGKAKEAVEVVVTVLGKEGLGVASLRSGVKCLGLLLVGFSDLQDWHSVQFGLESLLGFAIDKRPKVRRCAQEYLEKFFKSFQSSDVMKEASKLVLSLLKRHMRVALTLNTIKSADDSKDETLSNPEHLEVLHMLNVLKLTVPYLSATIRLKILSELCKLTSSEFSILTRNIHKTIEVFFGSSNAEAIIPVTENIIVSLSSYVSGEKNPVDTLISAATLLKCAVDKLYAVDSNSWTKHTPLVCDSLAALLSSEASVASHASDIMKELISHHIDLKSLSSDNNGLGSEEADAIKSICSIFENTLSSSDGIPNEHVLAVLTVLFQKLGESSYIFMKGIVHKLADLMNRTSGNTSNTNHLQNCVGSVVTVIGPERMLTLLPITLAVDNLMHSNMWLVPILKDYVVGASLSYYMEHIVPLAKSFQQASCKVKKSVIRQDLQAHGHSLWGLLPAFCHYPIDTHKRFKALAALLIDILKEDSLMHENIAFAIQILVNQNKNILRSGEDADESNNTVMGDSKLELRIPATYSKKTATKNIKALSSCAPEILQALTDVFIHSIPAKRLYLKDAIGCLASITDFSITKRIFVSLVEKLQSIDGEGEFVKQAGNADEVVEKEKNINTMGKDASRCIIMELASSLISGAEEDLIDFIYVLIKQTFQETNEIGHHEAYYALSRILEEHAWFCSSKSEELIDLLLGLKSPANIPSLRNRLDCFNTLMVHTLKVSSLEENTKPFLILNEIIVTLKDGKEETRKTTYDILLKMSSTLRKSSDLESDPPYHKLISMIMGYLSGSSPHIKSGAVAALSVLVYDDPEICISVPDLVSSILSLLQTKAVEVIKVSFCCANI >KJB39205 pep chromosome:Graimondii2_0_v6:7:228662:230478:1 gene:B456_007G002200 transcript:KJB39205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSRGHWRPAEDDKLLDLVQRYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEERLLASHRIHGNRWSVIARLFPGRTDNAVKNHWHVIMARRCRRQRSSSKTLPPSTSTSTSTSHQHHITSTTLFPHNNYMHAFPPYSYFKHFYAHNPNPSLTTTTQAIADTTQPTEFYDFLQVNTDSNESEVTDNTCKRDGEEVDQEHQSRPAVPFFDFLSVPRKLYTIHKRRLR >KJB39204 pep chromosome:Graimondii2_0_v6:7:228300:229972:1 gene:B456_007G002200 transcript:KJB39204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAYLRFFIANQQMCSRGHWRPAEDDKLLDLVQRYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEERLLASHRIHGNRWSVIARLFPGRTDNAVKNHWHVIMARRCRRQRSSSKTLPPSTSTSTSTSHQHHITSTTLFPHNNYMHAFPPYSYFKHFYAHNPNPSLTTTTQDTTQPTEFYDFLQVNTDSNESEVTDNTCKRDGEEVDQEHQSRPAVPFFDFLSVPRSS >KJB39203 pep chromosome:Graimondii2_0_v6:7:227372:230424:1 gene:B456_007G002200 transcript:KJB39203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAYLRFFIANQQMCSRGHWRPAEDDKLLDLVQRYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEERLLASHRIHGNRWSVIARLFPGRTDNAVKNHWHVIMARRCRRQRSSSKTLPPSTSTSTSTSHQHHITSTTLFPHNNYMHAFPPYSYFKHFYAHNPNPSLTTTTQAIADTTQPTEFYDFLQVNTDSNESEVTDNTCKRDGEEVDQEHQSRPAVPFFDFLSVPRKLYTIHKRRLR >KJB42152 pep chromosome:Graimondii2_0_v6:7:11602796:11606541:-1 gene:B456_007G140400 transcript:KJB42152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKCGLSASRPASQPRFIQHKKEAFWFYRFLSIIYDHVINPGHWTEDMRDDALEPADLNNRNMIVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKESLKECRIIEGDAEDLPFRTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKLGGKACLIGPVYPTFWLSRFFADVWMLFPKEEEYIDWFEKAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPKAEDVSKPINPLTFLLRFILGTMAATYYVLVPIYMWLKDQIVPEGQPI >KJB42153 pep chromosome:Graimondii2_0_v6:7:11603683:11605280:-1 gene:B456_007G140400 transcript:KJB42153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKCGLSASRPASQPRFIQHKKEAFWFYRFLSIIYDHVINPGHWTEDMRDDALEPADLNNRNMIVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKESLKECRIIEGDAEDLPFRTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKLGGKACLIGPVYPTFWLSRFFADVWMLFPKEEEYIDWFEKAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQVITF >KJB42150 pep chromosome:Graimondii2_0_v6:7:11603262:11605278:-1 gene:B456_007G140400 transcript:KJB42150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKCGLSASRPASQPRFIQHKKEAFWFYRFLSIIYDHVINPGHWTEDMRDDALEPADLNNRNMIVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKESLKECRIIEGDAEDLPFRTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKLGGKACLIGPVYPTFWLSRFFADVWMLFPKEEEYIDWFEKAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPKAEDVSKPINPLTFLLRFILGTMAATYYVLVPIYMWLKDQIVPEGQPI >KJB42151 pep chromosome:Graimondii2_0_v6:7:11602796:11605941:-1 gene:B456_007G140400 transcript:KJB42151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKCGLSASRPASQPRFIQHKKEAFWFYRFLSIIYDHVINPGHWTEDMRDDALEPADLNNRNMIVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKESLKECRIIEGDAEDLPFRTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKLGGKACLIGPVYPTFWLSRFFADVWMLFPKEEEYIDWFEKAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPKAEDVSKPINPLTFLLRFILGTMAATYYVLVPIYMWLKDQIVPEGQPI >KJB43488 pep chromosome:Graimondii2_0_v6:7:20736015:20738212:-1 gene:B456_007G202800 transcript:KJB43488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLVAAQKPLMNGLMKMAGVQPYSVEIEPGTVMNFWVPCETVKKPGKGEKKVTHLEKPTKPVVVLVHGFAAEGIVTWQFQVGALTKKYSVYVPDLLFFGGSITDKPDRSPTFQAEYLVQGLRKLGVEKCVVVGFSYGGMVAFKMAQMYPHMVEAMVVSGSILAMTDSISVETLNRLGFSSSAELLLPNSVKGLKALLSVAAYKKLWFPERLHKDYLEVMFTNRKERAELLEGLVISNKDATIPSFPQRIHLLWGEEDQIFKQELAYNMKEQLGENTTFKGIQKAGHLVHLERPCVYNSCLKHFLASLYADQVNK >KJB43496 pep chromosome:Graimondii2_0_v6:7:20814952:20817313:1 gene:B456_007G203200 transcript:KJB43496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTITYQKYTLNIWDVGGQRTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGASLLILANKQDIKGALTPAEIAKVLNLESMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDVASRIYMLD >KJB43499 pep chromosome:Graimondii2_0_v6:7:20814986:20817313:1 gene:B456_007G203200 transcript:KJB43499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTITYQKYTLNIWDVGGQRTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGASLLILANKQDIKGALTPAEIAKDPKLFCACCVRMCCMFARKVSYKCMIGD >KJB43495 pep chromosome:Graimondii2_0_v6:7:20814849:20816824:1 gene:B456_007G203200 transcript:KJB43495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTITYQKYTLNIWDVGGQRTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGASLLILANKQDIKGALTPAEIAKVLNLESMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDVASRIYMLD >KJB43497 pep chromosome:Graimondii2_0_v6:7:20815167:20816039:1 gene:B456_007G203200 transcript:KJB43497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTITYQKYTLNIWDVGGQRTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGASLLILANKQDIKGALTPAEIAKVRLLVDN >KJB43498 pep chromosome:Graimondii2_0_v6:7:20814986:20817313:1 gene:B456_007G203200 transcript:KJB43498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICNVELDLVLGFGRYTLNIWDVGGQRTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGASLLILANKQDIKGALTPAEIAKVLNLESMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDVASRIYMLD >KJB43840 pep chromosome:Graimondii2_0_v6:7:25354968:25358045:1 gene:B456_007G220100 transcript:KJB43840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDENDSAISDAEVESALSGGSPFGSLFDGLFQMRKRKLTDHWRRFIHPLMWRFKWLELQLKELKSQASRYDREIVEYDQKRKFEYEKFTIEGLNVKSQPFQCQVQRKKVMKRRKRKRIENTTDLVSYMSCHNIYSYYESKKSVIATATLDDDNGKLGTKTDYTNDDYGFIDDLSHLEFSDTDTLSEQILRKIDLLQSQARKLKTRVDKTVTESPRKFSSINMLSSPALTGSRNQPSPTRSGDRALVRSQHTSSQHRSECHFRVLFRPGSAVSSHGEVTPFPDMIEGSGQHLAGISYENKEDDILIHNQAAKEELQNFQSGLTQKAEESWIPIENSKTVSTALAPADNPPTNPPVPPNVKLTSTSKSKGPSNKRKRGKRKSGTGKWSRRSSG >KJB43838 pep chromosome:Graimondii2_0_v6:7:25354968:25358045:1 gene:B456_007G220100 transcript:KJB43838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVVSNNNENGNLPLDSKDKIMHCVSNCEDHGFVEETFSGVEPPKIHEGNQDVDINITECTNSGVDRLPVTEFQDATDKSSSFGGTMSGDENDSAISDAEVESALSGGSPFGSLFDGLFQMRKRKLTDHWRRFIHPLMWRFKWLELQLKELKSQASRYDREIVEYDQKRKFEYEKFTIEGLNVKSQPFQCQVQRKKVMKRRKRKRIENTTDLVSYMSCHNIYSYYESKKSVIATATLDDDNGKLGTKTDYTNDDYGFIDDLSHLEFSDTDTLSEQILRKIDLLQSQARKLKTRVDKTVTESPRKFSSINMLSSPALTGSRNQPSPTRSGDRALVRSQHTSSQHRSECHFRVLFRPGSAVSSHGEVTPFPDMIEGSGQHLAGISYENAAKEELQNFQSGLTQKAEESWIPIENSKTVSTALAPADNPPTNPPVPPNVKLTSTSKSKGPSNKRKRGKRKSGTGKWSRRSSG >KJB43834 pep chromosome:Graimondii2_0_v6:7:25354925:25358111:1 gene:B456_007G220100 transcript:KJB43834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVVSNNNENGNLPLDSKDKIMHCVSNCEDHGFVEETFSGVEPPKIHEGNQDVDINITECTNSGVDRLPVTEFQDATDKSSSFGGTMSGDENDSAISDAEVESALSGGSPFGSLFDGLFQMRKRKLTDHWRRFIHPLMWRFKWLELQLKELKSQASRYDREIVEYDQKRKFEYEKFTIEGLNVKSQPFQCQVQRKKVMKRRKRKRIENTTDLVSYMSCHNIYSYYESKKSVIATATLDDDNGKLGTKTDYTNDDYGFIDDLSHLEFSDTDTLSEQILRKIDLLQSQARKLKTRVDKTVTESPRKFSSINMLSSPALTGSRNQPSPTRSGDRALVRSQHTSSQHRSECHFRVLFRPGSAVSSHGEVTPFPDMIEGSGQHLAGISYENKEDDILIHNQAAKEELQNFQSGLTQKAEESWIPIENSKTVSTALAPADNPPTNPPVPPNVKLTSTSKSKGPSNKRKRGKRKSGTGKWSRRSSG >KJB43837 pep chromosome:Graimondii2_0_v6:7:25354968:25357287:1 gene:B456_007G220100 transcript:KJB43837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVLDLELKNKSKSMTEVVSNNNENGNLPLDSKDKIMHCVSNCEDHGFVEETFSGVEPPKIHEGNQDVDINITECTNSGVDRLPVTEFQDATDKSSSFGGTMSGDENDSAISDAEVESALSGGSPFGSLFDGLFQMRKRKLTDHWRRFIHPLMWRFKWLELQLKELKSQASRYDREIVEYDQKRKFEYEKFTIEGLNVKSQPFQCQVQRKKVMKRRKRKRIENTTDLVSYMSCHNIYSYYESKKSVIATATLDDDNGKLGTKTDYTNDDYGFIDDLSHLEFSDTDTLSEQILRKIDLLQSQARKLKTRVDKTVTESPRKFSSINMLSSPALTGSRNQPSPTRSGDRALVRSQHTSSQHRSECHFRVLFRPGSAVSSHGEVTPFPDMIEGSGQHLAGISYENVSSLFTHSSSSCSFESFFFHHFLLLTILLLY >KJB43841 pep chromosome:Graimondii2_0_v6:7:25355322:25358045:1 gene:B456_007G220100 transcript:KJB43841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVVSNNNENGNLPLDSKDKIMHCVSNCEDHGFVEETFSGVEPPKIHEGNQDVDINITECTNSGVDRLPVTEFQDATDKSSSFGGTMSGDENDSAISDAEVESALSGGSPFGSLFDGLFQMRKRKLTDHWRRFIHPLMWRFKWLELQLKELKSQASRYDREIVEYDQKRKFEYEKFTIEGLNVKSQPFQCQVQRKKVMKRRKRKRIENTTDLVSYMSCHNIYSYYESKKSVIATATLDDDNGKLGTKTDYTNDDYGFIDDLSHLEFSDTDTLSEQILRKIDLLQSQARKLKTRVDKTVTESPRKFSSINMLSSPALTGSRNQPSPTRSGDRALVRSQHTSSQHRSECHFRVLFRPGSAVSSHGEVTPFPDMIEGSGQHLAGISYENKEDDILIHNQAAKEELQNFQSGLTQKAEESWIPIENSKTVSTALAPADNPPTNPPVPPNVKLTSTSKSKGPSNKRKRGKRKSGTGKWSRRSSG >KJB43839 pep chromosome:Graimondii2_0_v6:7:25354968:25358045:1 gene:B456_007G220100 transcript:KJB43839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVVSNNNENGNLPLDSKDKIMHCVSNCEDHGFVEETFSGVEPPKIHEGNQDVDINITECTNSGVDRLPVTEFQDATDKSSSFGGTMSGDENDSAISDAEVESALSGGSPFGSLFDGLFQMRKRKLTDHWRRFIHPLMWRFKWLELQLKELKSQASRYDREIVEYDQKRKFEYEKFTIEGLNVKSQPFQCQVQRKKVMKRRKRKRIENTTDLVSYMSCHNIYSYYGTKTDYTNDDYGFIDDLSHLEFSDTDTLSEQILRKIDLLQSQARKLKTRVDKTVTESPRKFSSINMLSSPALTGSRNQPSPTRSGDRALVRSQHTSSQHRSECHFRVLFRPGSAVSSHGEVTPFPDMIEGSGQHLAGISYENKEDDILIHNQAAKEELQNFQSGLTQKAEESWIPIENSKTVSTALAPADNPPTNPPVPPNVKLTSTSKSKGPSNKRKRGKRKSGTGKWSRRSSG >KJB43836 pep chromosome:Graimondii2_0_v6:7:25354953:25358045:1 gene:B456_007G220100 transcript:KJB43836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFCLFSYMIISAGTKTDYTNDDYGFIDDLSHLEFSDTDTLSEQILRKIDLLQSQARKLKTRVDKTVTESPRKFSSINMLSSPALTGSRNQPSPTRSGDRALVRSQHTSSQHRSECHFRVLFRPGSAVSSHGEVTPFPDMIEGSGQHLAGISYENKEDDILIHNQAAKEELQNFQSGLTQKAEESWIPIENSKTVSTALAPADNPPTNPPVPPNVKLTSTSKSKGPSNKRKRGKRKSGTGKWSRRSSG >KJB43835 pep chromosome:Graimondii2_0_v6:7:25354948:25358073:1 gene:B456_007G220100 transcript:KJB43835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRFKWLELQLKELKSQASRYDREIVEYDQKRKFEYEKFTIEGLNVKSQPFQCQVQRKKVMKRRKRKRIENTTDLVSYMSCHNIYSYYESKKSVIATATLDDDNGKLGTKTDYTNDDYGFIDDLSHLEFSDTDTLSEQILRKIDLLQSQARKLKTRVDKTVTESPRKFSSINMLSSPALTGSRNQPSPTRSGDRALVRSQHTSSQHRSECHFRVLFRPGSAVSSHGEVTPFPDMIEGSGQHLAGISYENKEDDILIHNQAAKEELQNFQSGLTQKAEESWIPIENSKTVSTALAPADNPPTNPPVPPNVKLTSTSKSKGPSNKRKRGKRKSGTGKWSRRSSG >KJB46199 pep chromosome:Graimondii2_0_v6:7:58267807:58273386:1 gene:B456_007G352400 transcript:KJB46199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIRENLFIGNIGDAAQVLQNGSSEITHILSVLSSASISFFSEWRSGITIPTEEIKKVFVGGSGDAAAAPEGHSGDGSKSCLSPQKLLYLLEYAGKDLKLVRMAVPIRDMESENLLDYLDACIDFIDRSRKEGSVLVHCFAGVSRSAAIIMAYLMRAEQLSQEDALESLKQSCEFVCPNDGFLEQLKMFEEMDYKVDHASTIYKRFRLKVLGDCYNRGEKIDSSKLGADPGLPTESSSVIEATPKEGINRTAYRCKKCRRLVALQDNVVDHTPGEGESSFEWHKRRSGNPFNKSSQTECSSVFVEPLRWMTAVEEGAIEGKLSCAHCDARLGYFNWSGIQCSCGSWITPAFQLHKCRVDISTV >KJB46202 pep chromosome:Graimondii2_0_v6:7:58267809:58273353:1 gene:B456_007G352400 transcript:KJB46202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIRENLFIGNIGDAAQVLQNGSSEITHILSVLSSASISFFSEWRSGITIPTEEIKKVFVGGSGDAAAAPEGHSGDGSKSCLSPQKLLYLLEYAGKDLKLVRMAVPIRDMESENLLDYLDACIDFIDRSRKEGSVLVHCFAGVSRSAAIIMAYLMRAEQLSQEDALESLKQSCEFVCPNDGFLEQLRKLDYPCLSAAQVPSRYQHRVVSLSAIALNRITRRLPASVSNKNYIGLEFPLHKKSMRCSYMDQQLCKRKKKKNNELFKALKF >KJB46200 pep chromosome:Graimondii2_0_v6:7:58267809:58273353:1 gene:B456_007G352400 transcript:KJB46200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIRENLFIGNIGDAAQVLQNGSSEITHILSVLSSASISFFSEWRSGITIPTEEIKKVFVGGSGDAAAAPEGHSGDGSKSCLSPQKLLYLLEYAGKDLKLVRMAVPIRDMESENLLDYLDACIDFIDRSRKEGSVLVHCFAGVSRSAAIIMAYLMRAEQLSQEDALESLKQSCEFVCPNDGFLEQLKMFEEMDYKVDHASTIYKRFRLKVLGDCYNRGEKIDSSKLGADPGLPTESSSVIEATPKEGINRTAYRCKKCRRLVALQDNVVDHTPGEGESSFEWHKRRSGNPFNKSSQTECSSVFVEPLRWMTAEGAIEGKLSCAHCDARLGYFNWSGIQCSCGSWITPAFQLHKCRVDISTV >KJB46201 pep chromosome:Graimondii2_0_v6:7:58267809:58273353:1 gene:B456_007G352400 transcript:KJB46201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIRENLFIGNIGDAAQVLQNGSSEITHILSVLSSASISFFSEWRSGITIPTEEIKKVFVGGSGDAAAAPEGHSGDGSKSCLSPQKLLYLLEYAGKDLKLVRMAVPIRDMESENLLDYLDACIDFIDRSRKEGSVLVHCFAGVSRSAAIIMAYLMRAEQLSQEDALESLKQSCEFVCPNDGFLEQVMLRNYVKLIYLYFEAYHCGCMLLVFEEFLFYPPLQLKMFEEMDYKVDHASTIYKRFRLKVLGDCYNRGEKIDSSKLGADPGLPTESSSVIEATPKEGINRTAYRCKKCRRLVALQDNVVDHTPGEGESSFEWHKRRSGNPFNKSSQTECSSVFVEPLRWMTAVEEGAIEGKLSCAHCDARLGYFNWSGIQCSCGSWITPAFQLHKCRVDISTV >KJB46203 pep chromosome:Graimondii2_0_v6:7:58267809:58273353:1 gene:B456_007G352400 transcript:KJB46203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIRENLFIGNIGDAAQVLQNGSSEITHILSVLSSASISFFSEWRSGITIPTEEIKKVFVGGSGDAAAAPEGHSGDGSKSCLSPQKLLYLLEYAGKDLKLVRMAVPIRDMESENLLDYLDACIDFIDRSRKEGSVLVHCFAGVSRSAAIIMAYLMRAEQLSQEDALESLKQSCEFVCPNDGFLEQLKMFEEMDYKVDHASTIYKRFRLKVLGDCYNRGEKIDSSKLGADPGLPTESSSVIEATPKEGEGESSFEWHKRRSGNPFNKSSQTECSSVFVEPLRWMTAVEEGAIEGKLSCAHCDARLGYFNWSGIQCSCGSWITPAFQLHKCRVDISTV >KJB46075 pep chromosome:Graimondii2_0_v6:7:57766119:57766961:1 gene:B456_007G347400 transcript:KJB46075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKKNYYASPGSYPYPPQGYPSPGGYPPAGYPPLVRYPSHGGGYPPPNGYPPPVATTYPSPGGYPLPPPPPPAPGYPPSGPIGQIPIVYPPTNYPGVGSSGAYGAHPHYMAHGAYATHGGYYGHCHGKFKHGKFKHGKHGMYGKHGGYRYKKWK >KJB46300 pep chromosome:Graimondii2_0_v6:7:58913779:58915919:-1 gene:B456_007G357400 transcript:KJB46300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYQPYGYGGGYQPPSFPVVRLRGLPFNCTDIDIFKFFAGLDIVDVLLVNKNGRFSGEAFVLFAGSMQVEFALQRDRQNMGRRYVEVFRCKRQDYYHAVAAEVNYEGIYDNDFHGSPPPSRAKRFNDKDQMEYTEILKLRGLPFSVKKPEIVEFFADFKIVEDRIHIACRPDGKATGEAYVEFTSVEEAKRAMCKDKMMIGSRYVELFPSTPDEARRAESRSRQ >KJB46299 pep chromosome:Graimondii2_0_v6:7:58913765:58915919:-1 gene:B456_007G357400 transcript:KJB46299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSRGAMLGSGGVSDGYEVGSKRQRMMESTPYFAVNSGMGGYQPYGYGGGYQPPSFPVVRLRGLPFNCTDIDIFKFFAGLDIVDVLLVNKNGRFSGEAFVLFAGSMQVEFALQRDRQNMGRRYVEVFRCKRQDYYHAVAAEVNYEGIYDNDFHGSPPPSRAKRFNDKDQMEYTEILKLRGLPFSVKKPEIVEFFADFKIVEDRIHIACRPDGKATGEAYVEFTSVEEAKRAMCKDKMMIGSRYVELFPSTPDEARRAESRSRQ >KJB43270 pep chromosome:Graimondii2_0_v6:7:18707415:18711211:1 gene:B456_007G191200 transcript:KJB43270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRKMSSFTKTIFFLWVLSTKLSDGRIFTFKMHHLFSEPVRNWSNSTGKLSHWPAKGSFEYYAVLAHRDRLLRGRKLSDINATLSFSDGNSTFRISSLGFLHYTTVQLGTPGVKFMVALDTGSDLFWVPCDCTKCAPTEGTTYASDFELSIYDPKGSSTSKRVTCNSSLCAHRNQCLGTFSSCPYIVSYMSAQTSTSGVLLEDVLHLTTEDGHAELVEAYVTFGCGQVQSGSFLDVAAPNGLFGLGMEKIAVPSILSQEGLTANSFSMCFGHDGIGRISFGDKGSPDQEETPFNLNPPHPTYNITITQIRVGTAIIVGDITALFDSGTSFTYLVDPTYSKLAENFHSQAQDRRRPPDSRIPFEYCYDMSPDANATLIPSMSLKMKGGSDFPVYDPIIVISTQSKLVYCLAVIRSTELNIIGQNLMTGYRVVFDRERFVLGWKKYDCYDVEETNTSEVESYAVSTPPPVSAGIHNFSTPESTSKDIRNTDSGSCVPLRTCHLHISLLAFFGVVSIYMHMAYMG >KJB43272 pep chromosome:Graimondii2_0_v6:7:18707607:18710859:1 gene:B456_007G191200 transcript:KJB43272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFTKTIFFLWVLSTKLSDGRIFTFKMHHLFSEPVRNWSNSTGKLSHWPAKGSFEYYAVLAHRDRLLRGRKLSDINATLSFSDGNSTFRISSLGFLHYTTVQLGTPGVKFMVALDTGSDLFWVPCDCTKCAPTEGTTYASDFELSIYDPKGSSTSKRVTCNSSLCAHRNQCLGTFSSCPYIVSYMSAQTSTSGVLLEDVLHLTTEDGHAELVEAYVTFGCGQVQSGSFLDVAAPNGLFGLGMEKIAVPSILSQEGLTANSFSMCFGHDGIGRISFGDKGSPDQEETPFNLNPPHHDLCCSPTYNITITQIRVGTAIIVGDITALFDSGTSFTYLVDPTYSKLAENFHSQAQDRRRPPDSRIPFEYCYDMSPDANATLIPSMSLKMKGGSDFPVYDPIIVISTQSKLVYCLAVIRSTELNIIGQNLMTGYRVVFDRERFVLGWKKYDCYDVEETNTSEVESYAVSTPPPVSAGIHNFSTPESTSKDIRNTDSGSCVPLRTCHLHISLLAFFGVVSIYMHMAYMG >KJB43271 pep chromosome:Graimondii2_0_v6:7:18707607:18710859:1 gene:B456_007G191200 transcript:KJB43271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFTKTIFFLWVLSTKLSDGRIFTFKMHHLFSEPVRNWSNSTGKLSHWPAKGSFEYYAVLAHRDRLLRGRKLSDINATLSFSDGNSTFRISSLGFLHYTTVQLGTPGVKFMVALDTGSDLFWVPCDCTKCAPTEGTTYASDFELSIYDPKGSSTSKRVTCNSSLCAHRNQCLGTFSSCPYIVSYMSAQTSTSGVLLEDVLHLTTEDGHAELVEAYVTFGCGQVQSGSFLDVAAPNGLFGLGMEKIAVPSILSQEGLTANSFSMCFGHDGIGRISFGDKGSPDQEETPFNLNPPHPTYNITITQIRVGTAIIVGDITALFDSGTSFTYLVDPTYSKLAENAQDRRRPPDSRIPFEYCYDMSPDANATLIPSMSLKMKGGSDFPVYDPIIVISTQSKLVYCLAVIRSTELNIIGQNLMTGYRVVFDRERFVLGWKKYDCYDVEETNTSEVESYAVSTPPPVSAGIHNFSTPESTSKDIRNTDSGSCVPLRTCHLHISLLAFFGVVSIYMHMAYMG >KJB45889 pep chromosome:Graimondii2_0_v6:7:55969409:55971925:-1 gene:B456_007G335300 transcript:KJB45889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVADNSGEAAVANGNNNLVVETSKSESKEFNVQKLVDMFTKLNPLAKEFFPSSYHHNPTKTGDFNQAPVNKQGNENFPNGRRRNNYNQGRRKLNGKAFRAQRDDSIKRTVYVSDIDQTITEEQLAGLFSNCGQVVDCRVCGDPHSVLRFAFVEFADEEGARTALNLGGTMLGFYPVRVLPSKTAILPVNPTFLPRVWMNNFLALSCFGFPLFHFHITEYLLEFLFIYIFSSLTLENHDNYNCSPKMKGKCVPERFIVQILTRR >KJB45888 pep chromosome:Graimondii2_0_v6:7:55967601:55971962:-1 gene:B456_007G335300 transcript:KJB45888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVADNSGEAAVANGNNNLVVETSKSESKEFNVQKLVDMFTKLNPLAKEFFPSSYHHNPTKTGDFNQAPVNKQGNENFPNGRRRNNYNQGRRKLNGKAFRAQRDDSIKRTVYVSDIDQTITEEQLAGLFSNCGQVVDCRVCGDPHSVLRFAFVEFADEEGARTALNLGGTMLGFYPVRVLPSKTAILPVNPTFLPRSEDEREMCTRTVYCTNIDKKVSQAEVKNFFESACGEVTRLRLLGDNVHSTRIAFVEFAMAESAIVALNCSGMVLGTQPIRVSPSKTPVRPRVTRPTSR >KJB45887 pep chromosome:Graimondii2_0_v6:7:55967601:55970901:-1 gene:B456_007G335300 transcript:KJB45887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFYQRRNNYNQGRRKLNGKAFRAQRDDSIKRTVYVSDIDQTITEEQLAGLFSNCGQVVDCRVCGDPHSVLRFAFVEFADEEGARTALNLGGTMLGFYPVRVLPSKTAILPVNPTFLPRSEDEREMCTRTVYCTNIDKKVSQAEVKNFFESACGEVTRLRLLGDNVHSTRIAFVEFAMAESAIVALNCSGMVLGTQPIRVSPSKTPVRPRVTRPTSR >KJB44141 pep chromosome:Graimondii2_0_v6:7:32399814:32400435:-1 gene:B456_007G237900 transcript:KJB44141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGLGCSPIKAVRELGSECHETVRSISGVGVRALRGPFPSTRGPGRTHLWCTNYRAHGKCWVAKCGADNC >KJB42094 pep chromosome:Graimondii2_0_v6:7:11195904:11200049:-1 gene:B456_007G137000 transcript:KJB42094 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02790) UniProtKB/Swiss-Prot;Acc:Q8H1F6] MTLQLSGRWFLPCPHVSTHSIQCRTSPYPNPKFPQTRALSATASLFSPPPTIQIVGGKTPTWRENGSVTEAVEDEIDWAEMDADLYYWTRALRPVQWYPGHIAKTEKELKEQLKLIDVVIEVRDARIPLSTTHPQMDLWLGNRKRILVLNREDMISTADRNAWAMHFSRQGTKVVFSNGQLGMGTMKLSRLAKSLAAGVNVKRRAKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGKDLELLDSPGILPMRISDQAAAIKLAICDDIGERSYDVADVAAILVQMLAKLPTVGMKALQNRYKIDVDGQCGKMFIEKLALRLFNGDVHQAAFRVLSDFRKGKFGWVALERPR >KJB42096 pep chromosome:Graimondii2_0_v6:7:11195906:11199927:-1 gene:B456_007G137000 transcript:KJB42096 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02790) UniProtKB/Swiss-Prot;Acc:Q8H1F6] MTLQLSGRWFLPCPHVSTHSIQCRTSPYPNPKFPQTRALSATASLFSPPPTIQALQIVGGKTPTWRENGSVTEAVEDEIDWAEMDADLYYWTRALRPVQWYPGHIAKTEKELKEQLKLIDVVIEVRDARIPLSTTHPQMDLWLGNRKRILVLNREDMISTADRNAWAMHFSRQGTKVVFSNGQLGMGTMKLSRLAKSLAAGVNVKRRAKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGKDLELLDSPGILPMRISDQAAAIKLAICDDIGERSYDVADVAAILVQMLAKLPTVGMKALQNRYKIDVDGQCGKMFIEKLALRLFNGDVHQAAFRVLSDFRKGKFGWVALERPR >KJB42098 pep chromosome:Graimondii2_0_v6:7:11198070:11199927:-1 gene:B456_007G137000 transcript:KJB42098 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02790) UniProtKB/Swiss-Prot;Acc:Q8H1F6] MTLQLSGRWFLPCPHVSTHSIQCRTSPYPNPKFPQTRALSATASLFSPPPTIQIVGGKTPTWRENGSVTEAVEDEIDWAEMDADLYYWTRALRPVQWYPGHIAKTEKELKEQLKLIDVVIEVRDARIPLSTTHPQMDLWLGNRKRILVLNREDMISTADRNAWAMHFSRQGTKVVFSNGQLGMGTMKLSRLAKSLAAGVNVKRRAKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKYVFFWFLFLNCDIDVKLTRKRKGKGLKKKIIERVLKVLILHCEILKSPEFCYR >KJB42095 pep chromosome:Graimondii2_0_v6:7:11195906:11199927:-1 gene:B456_007G137000 transcript:KJB42095 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02790) UniProtKB/Swiss-Prot;Acc:Q8H1F6] MDLWLGNRKRILVLNREDMISTADRNAWAMHFSRQGTKVVFSNGQLGMGTMKLSRLAKSLAAGVNVKRRAKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGKDLELLDSPGILPMRISDQAAAIKLAICDDIGERSYDVADVAAILVQMLAKLPTVGMKALQNRYKIDVDGQCGKMFIEKLALRLFNGDVHQAAFRVLSDFRKGKFGWVALERPR >KJB42097 pep chromosome:Graimondii2_0_v6:7:11196448:11199822:-1 gene:B456_007G137000 transcript:KJB42097 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02790) UniProtKB/Swiss-Prot;Acc:Q8H1F6] MTLQLSGRWFLPCPHVSTHSIQCRTSPYPNPKFPQTRALSATASLFSPPPTIQIVGGKTPTWRENGSVTEAVEDEIDWAEMDADLYYWTRALRPVQWYPGHIAKTEKELKEQLKLIDVVIEVRDARIPLSTTHPQMDLWLGNRKRILVLNREDMISTADRNAWAMHFSRQGTKVVFSNGQLGMGTMKLSRLAKSLAAGVNVKRRAKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGKDLELLDSPGILPMRISDQAAAIKLAICDDIGERSYDVADVAAILVQMLAKLPTVGMKALQNRYKIDVDGQCGKMYVH >KJB46375 pep chromosome:Graimondii2_0_v6:7:59544749:59551803:1 gene:B456_007G363800 transcript:KJB46375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLHLKEYGGIGNHPMGQLASIPPSSLPTLPWCSVLGSHQSLHGGEAFGAQLKPFLMEHPSNGDQVLSSKQLNKGNTAQFTIFPGDCKNSGDEHNKPQAVTSLQSDPAENGARFELGFGQHMASAKYPYMDQLYGVFSTYGAQISGRVMLPLNMASEEGPIYVNAKQYKGIMRRRQSRAKAVLQNKLSKARKPYMHYSRHLHAMRRPRGCGGRFLNTRGSGSDKDDTATKKATQGLISNRSCSSDSGTLNSSKGPTHSGCSEVTSIYSRRDLDHHFLIDHLGLSVHSISSMINNQRGGTITVATADNCCNLKV >KJB42461 pep chromosome:Graimondii2_0_v6:7:13168268:13171999:1 gene:B456_007G154100 transcript:KJB42461 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT1 [Source:Projected from Arabidopsis thaliana (AT3G24800) UniProtKB/Swiss-Prot;Acc:Q8LBL5] MDSFQASPMEHHQSPDAEQVSDDFVCCVCLDLLYKPIVLHCGHISCFWCVHRSMSSRYESHCPVCRNPYSHFPSICEMLHFLLLKLYPITYKKREVQVLDEEKKLDYFSPEFNRHACESEADGEINHLRSSLQSSALSDYSSAGKEKHSVLIGQKQSCVSREENDQVSVADLLCTACKQLLFCPIVLNCGHVYCQTCIAIPPDEMLRCQVCQCLHPKGSLKVCLTLDQFLAANFPKEYALRKDAVQLKQVSSKHEKPTTCSTEAGKQDSSPVQLPSGGEPHPHTHIGVGCDACGMSPIIGDRYQCKDCTEKIGFDLCGDCFKTRPKLPGRFNQRHTPEHKLELIKPADIVRRYGRLNRGSSSFFAFDEASENLENGLVPYALSSAFQEYIRNNNLAASLLEIDSMEDEDEESDEDLGAVSVE >KJB42463 pep chromosome:Graimondii2_0_v6:7:13168556:13171890:1 gene:B456_007G154100 transcript:KJB42463 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT1 [Source:Projected from Arabidopsis thaliana (AT3G24800) UniProtKB/Swiss-Prot;Acc:Q8LBL5] MDSFQASPMEHHQSPDAEQVSDDFVCCVCLDLLYKPIVLHCGHISCFWCVHRSMSSRYESHCPVCRNPYSHFPSICEMLHFLLLKLYPITYKKREVQVLDEEKKLDYFSPEFNRHACESEADGEINHLRSSLQSSALSDYSSAGKEKHSVLIGQKQSCVSREENDQVSVADLLCTACKQLLFCPIVLNCGHVYCQTCIAIPPDEMLRCQVCQCLHPKGSLKVCLTLDQFLAANFPKEYALRKDAVQLKQVSSKHEKPTTYVSNNRG >KJB42462 pep chromosome:Graimondii2_0_v6:7:13168556:13171890:1 gene:B456_007G154100 transcript:KJB42462 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT1 [Source:Projected from Arabidopsis thaliana (AT3G24800) UniProtKB/Swiss-Prot;Acc:Q8LBL5] MDSFQASPMEHHQSPDAEQVSDDFVCCVCLDLLYKPIVLHCGHISCFWCVHRSMSSRYESHCPVCRNPYSHFPSICEMLHFLLLKLYPITYKKREVQVLDEEKKLDYFSPEFNRHACESEADGEINHLRSSLQSSALSDYSSAGKEKHSVLIGQKQSCVSREENDQVSVADLLCTACKQLLFCPIVLNCGHGSTEAGKQDSSPVQLPSGGEPHPHTHIGVGCDACGMSPIIGDRYQCKDCTEKIGFDLCGDCFKTRPKLPGRFNQRHTPEHKLELIKPADIVRRYGRLNRGSSSFFAFDEASENLENGLVPYALSSAFQEYIRNNNLAASLLEIDSMEDEDEESDEDLGAVSVE >KJB41588 pep chromosome:Graimondii2_0_v6:7:8580360:8586570:-1 gene:B456_007G111600 transcript:KJB41588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKVNQSGVHEGTTTTMVVSADRDETTAQSANGAGNVPSVKNTPARSLSQSPWPSPYPHGVSSSPLPPGVSPSPARASRGSTPRRFFRRPFPPPSPAKHIKASLVKRLGGKPKEGPIPEDRGTEPEQALDKNFGYGKNFGAKYELGKEVGRGHFGHTCSARGKKGELKDQPVAVKIISKAKMTTAISIEDVRREVKILKALSGHKHLVKFYDACEDANNVYIVMELCEGGELLDRILARGGRYTEDDAKAIVVQILSVVSFCHLQGVVHRDLKPENFLFTSGGEDADMKLIDFGLSDFIRPDGRLNDIVGSAYYVAPEVLHRSYSLEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRSDPNFDDLPWPSVTPEAKDFVKRLLNKDYRKRMTAVQALTHPWLRDDSRPVPLDILVYKLVKSYLHATPFKRAALKALSKALTEDELVYLRAQFRLLEPSRDGSVSLENFKMALARNATEAMGESRVPDILNTMGTLAYRKMYFEEFCAAAISTHQLEAVEGWEQIASTAFEHFEQEGNRVISIEELARELNVGHSAYSFLKDWIRVSDGKLSLLGYTKFLHGVTLRSSNTRHH >KJB41590 pep chromosome:Graimondii2_0_v6:7:8581227:8586501:-1 gene:B456_007G111600 transcript:KJB41590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKVNQSGVHEGTTTTMVVSADRDETTAQSANGAGNVPSVKNTPARSLSQSPWPSPYPHGVSSSPLPPGVSPSPARASRGSTPRRFFRRPFPPPSPAKHIKASLVKRLGGKPKEGPIPEDRGTEPEQALDKNFGYGKNFGAKYELGKEVGRGHFGHTCSARGKKGELKDQPVAVKIISKAKMTTAISIEDVRREVKILKALSGHKHLVKFYDACEDANNVYIVMELCEGGELLDRILARGGRYTEDDAKAIVVQILSVVSFCHLQGVVHRDLKPENFLFTSGGEDADMKLIDFGLSDFIRPDGRLNDIVGSAYYVAPEVLHRSYSLEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRSDPNFDDLPWPSVTPEAKDFVKRLLNKDYRKRMTAVQALTHPWLRDDSRPVPLDILVYKLVKSYLHATPFKRAALKALSKALTEDELVYLRAQFRLLEPSRDGSVSLENFKMVIDCLCNPIKSILKLKWLMI >KJB41589 pep chromosome:Graimondii2_0_v6:7:8580390:8586501:-1 gene:B456_007G111600 transcript:KJB41589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKVNQSGVHEGTTTTMVVSADRDETTAQSANGAGNVPSVKNTPARSLSQSPWPSPYPHGVSSSPLPPGVSPSPARASRGSTPRRFFRRPFPPPSPAKHIKASLVKRLGGKPKEGPIPEDRGTEPEQALDKNFGYGKNFGAKYELGKEVGRGHFGHTCSARGKKGELKDQPVAVKIISKAKMTTAISIEDVRREVKILKALSGHKHLVKFYDACEDANNVYIVMELCEGGELLDRILARGGRYTEDDAKAIVVQILSVVSFCHLQGVVHRDLKPENFLFTSGGEDADMKLIDFGLSDFIRPDGRLNDIVGSAYYVAPEVLHRSYSLEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRSDPNFDDLPWPSVTPEAKDFVKRLLNKDYRKRMTAVQALTHPWLRDDSRPVPLDILVYKLVKSYLHATPFKRAALKALSKALTEDELVYLRAQFRLLEPSRDGSVSLENFKMVIDCLCNPIKSILKLKWLMI >KJB40592 pep chromosome:Graimondii2_0_v6:7:4974418:4978618:-1 gene:B456_007G070500 transcript:KJB40592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQANDNQSSNCPISNPEQDSGVEAANVGLSKVFSLAKPDAGKLIIGTNALFIASASGFLIPKYGGMIIDIVSREIKTPLQQSQALDAIKNIVTYILLIIVIGSICRAVQAWLFSSTGERIVARLRLNLFTHLIHQEIAFFDVTSTGELLNRLSEDTQIIKTAATTNLSEALRNLTSAIIGIGFMFSSSWKLTLLSLVVVPVTAVAMRRFGRYLKQLSHTTQAAAAVAASVAEESFGAIRTIRSFAQEGYAVSKYSKKVDERLKIGLKRAKVVGLYFGGQNAASKLSIFIVVSYGAYLTITGFMTAGSLTSFILYSLTVGYSVSSLSGSYVSAMKAAGSSRSVFQLLDRVSGMPKSGDKCPVGNPDGDVELDDVWFAYPSRPSHMVLRGITMKLKPGSKVALVGPSGGGKTTIANLIERFYDPLKGKILLNGVSLLEISHQYLHRKVSIVSQEPVLFNCSIEENIGYGVNGKVGKTEIQYVAMGAENGECPWIH >KJB40595 pep chromosome:Graimondii2_0_v6:7:4973122:4978850:-1 gene:B456_007G070500 transcript:KJB40595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQANDNQSSNCPISNPEQDSGVEAANVGLSKVFSLAKPDAGKLIIGTNALFIASASGFLIPKYGGMIIDIVSREIKTPLQQSQALDAIKNIVTYILLIIVIGSICRAVQAWLFSSTGERIVARLRLNLFTHLIHQEIAFFDVTSTGELLNRLSEDTQIIKTAATTNLSEALRNLTSAIIGIGFMFSSSWKLTLLSLVVVPVTAVAMRRFGRYLKQLSHTTQAAAAVAASVAEESFGAIRTIRSFAQEGYAVSKYSKKVDERLKIGLKRAKVVGLYFGGQNAASKLSIFIVVSYGAYLTITGFMTAGSLTSFILYSLTVGYSVSSLSGSYVSAMKAAGSSRSVFQLLDRVSGMPKSGDKCPVGNPDGDVELDDVWFAYPSRPSHMVLRGITMKLKPGSKVALVGPSGGGKTTIANLIERFYDPLKGKILLNGVSLLEISHQYLHRKVSIVSQEPVLFNCSIEENIGYGVNGKVGKTEIQYVAMGAENGECPWIH >KJB40596 pep chromosome:Graimondii2_0_v6:7:4973122:4979150:-1 gene:B456_007G070500 transcript:KJB40596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYWQLICRNNFHCCICLSILNFYFQMGKKQKLHSPPGSERVPLLYKEICLANDNQSSNCPISNPEQDSGVEAANVGLSKVFSLAKPDAGKLIIGTNALFIASASGFLIPKYGGMIIDIVSREIKTPLQQSQALDAIKNIVTYILLIIVIGSICRAVQAWLFSSTGERIVARLRLNLFTHLIHQEIAFFDVTSTGELLNRLSEDTQIIKTAATTNLSEALRNLTSAIIGIGFMFSSSWKLTLLSLVVVPVTAVAMRRFGRYLKQLSHTTQAAAAVAASVAEESFGAIRTIRSFAQEGYAVSKYSKKVDERLKIGLKRAKVVGLYFGGQNAASKLSIFIVVSYGAYLTITGFMTAGSLTSFILYSLTVGYSVSSLSGSYVSAMKAAGSSRSVFQLLDRVSGMPKSGDKCPVGNPDGDVELDDVWFAYPSRPSHMVLRGITMKLKPGSKVALVGPSGGGKTTIANLIERFYDPLKGKILLNGVSLLEISHQYLHRKVSIVSQEPVLFNCSIEENIGYGVNGKVGKTEIQYVAKMANAHGFIEKFPKKYETVVGERGLRLSGGQKQRLAIARSLLMNPSVLLLDEATSALDAESEYLVQEAMDSLMNGRTVLIIAHRLSTVKNANNVAVICDGQIAESGTHEQLLTQDGIYTALVMSNPTIFKLRRICYMRNWFNKQKEWLILLYVQP >KJB40594 pep chromosome:Graimondii2_0_v6:7:4973738:4978618:-1 gene:B456_007G070500 transcript:KJB40594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQANDNQSSNCPISNPEQDSGVEAANVGLSKVFSLAKPDAGKLIIGTNALFIASASGFLIPKYGGMIIDIVSREIKTPLQQSQALDAIKNIVTYILLIIVIGSICRAVQAWLFSSTGERIVARLRLNLFTHLIHQEIAFFDVTSTGELLNRLSEDTQIIKTAATTNLSEALRNLTSAIIGIGFMFSSSWKLTLLSLVVVPVTAVAMRRFGRYLKQLSHTTQAAAAVAASVAEESFGAIRTIRSFAQEGYAVSKYSKKVDERLKIGLKRAKVVGLYFGGQNAASKLSIFIVVSYGAYLTITGFMTAGSLTSFILYSLTVGYSVSSLSGSYVSAMKAAGSSRSVFQLLDRVSGMPKSGDKCPVGNPDGDVELDDVWFAYPSRPSHMVLRGITMKLKPGSKVALVGPSGGGKTTIANLIERFYDPLKGKILLNGVSLLEISHQYLHRKVSIVSQEPVLFNCSIEENIGYGVNGKVGKTEIQYVAKMANAHGFIEKFPKKYETVVGERGLRLSGGQKQRLAIARSLLMNPSVLLLDEATSALDAESEYLVQEAMDSLMNGRTVLIIAHRLSTVKNANNVAVICDGQIAESGTHEQLLTQDGIYTALVMSNPTIFKLRRICYMRNWFNKQKEWLILLYVQP >KJB40593 pep chromosome:Graimondii2_0_v6:7:4973122:4978850:-1 gene:B456_007G070500 transcript:KJB40593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQANDNQSSNCPISNPEQDSGVEAANVGLSKVFSLAKPDAGKLIIGTNALFIASASGFLIPKYGGMIIDIVSREIKTPLQQSQALDAIKNIVTYILLIIVIGSICRAVQAWLFSSTGERIVARLRLNLFTHLIHQEIAFFDVTSTGELLNRLSEDTQIIKTAATTNLSEALRNLTSAIIGIGFMFSSSWKLTLLSLVVVPVTAVAMRRFGRYLKQLSHTTQAAAAVAASVAEESFGAIRTIRSFAQEGYAVSKYSKKVDERLKIGLKRAKVVGLYFGGQNAASKLSIFIVVSYGAYLTITGFMTAGSLTSFILYSLTVGYSVSSLSGSYVSAMKAAGSSRSVFQLLDRVSGMPKSGDKCPVGNPDGDVELDDVWFAYPSRPSHMVLRGITMKLKPGSKVALVGPSGGGKTTIANLIERFYDPLKGKILLNGVSLLEISHQYLHRKVSIVSQEPVLFNCSIEENIGYGVNGKVGKTEIQYVAKMANAHGFIEKFPKKYETVVGERGLRLSGGQKQRLAIARSLLMNPSVLLLDEATSALDAESEYLVQEAMDSLMNGRTVLIIAHRLSTVKNANNVAVICDGQIAESGTHEQLLTQDVIQQSLSSDAYVT >KJB40597 pep chromosome:Graimondii2_0_v6:7:4975581:4978850:-1 gene:B456_007G070500 transcript:KJB40597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQANDNQSSNCPISNPEQDSGVEAANVGLSKVFSLAKPDAGKLIIGTNALFIASASGFLIPKYGGMIIDIVSREIKTPLQQSQALDAIKNIVTYILLIIVIGSICRAVQAWLFSSTGERIVARLRLNLFTHLIHQEIAFFDVTSTGELLNRLSEDTQIIKTAATTNLSEALRNLTSAIIGIGFMFSSSWKLTLLSLVVVPVTAVAMRRFGRYLKQLSHTTQAAAAVAASVAEESFGAIRTIRSFAQEGYAVSKYSKKVDERLKIGLKRAKVVGLYFGGQNAASKLSIFIVVSYGAYLTITGFMTAGSLTSFILYSLTVGYSVSSLSGSYVSAMKAAGSSRSVFQLLDRVSGMPKSGDKCPVGLVKLQFH >KJB46549 pep chromosome:Graimondii2_0_v6:7:60927701:60929671:-1 gene:B456_007G3793001 transcript:KJB46549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGTIECSVCHSKLVSPTTRGVSRAYDRHKSRVSSKQRALNVLLVVGDCVLVGFQPILVYMSKVDGRFMFSPISVNFLTEVAKVLFAVLMLILQARRQKVGEKPLLSISTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLK >KJB46546 pep chromosome:Graimondii2_0_v6:7:60927701:60930243:-1 gene:B456_007G3793001 transcript:KJB46546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGTIECSVCHSKLVSPTTRGVSRAYDRHKSRVSSKQRALNVLLVVGDCVLVGFQPILVYMSKVDGRFMFSPISVNFLTEVAKVLFAVLMLILQARRQKVGEKPLLSISTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLK >KJB46548 pep chromosome:Graimondii2_0_v6:7:60927701:60930115:-1 gene:B456_007G3793001 transcript:KJB46548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGTIECSVCHSKLVSPTTRGVSRAYDRHKSRVSSKQRALNVLLVVGDCVLVGFQPILVYMSKVDGRFMFSPISVNFLTEVAKVLFAVLMLILQARRQKVGEKPLLSISTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLK >KJB46544 pep chromosome:Graimondii2_0_v6:7:60927701:60930113:-1 gene:B456_007G3793001 transcript:KJB46544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGTIECSVCHSKLVSPTTRGVSRAYDRHKSRVSSKQRALNVLLVVGDCVLVGFQPILVYMSKVDGRFMFSPISVNFLTEVAKVLFAVLMLILQARRQKVGEKPLLSISTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLK >KJB46545 pep chromosome:Graimondii2_0_v6:7:60927701:60929115:-1 gene:B456_007G3793001 transcript:KJB46545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGTIECSVCHSKLVSPTTRGVSRAYDRHKSRVSSKQRALNVLLVVGDCVLVGFQPILVYMSKVDGRFMFSPISVNFLTEVAKVLFAVLMLILQARRQKVGEKPLLSISTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLK >KJB46547 pep chromosome:Graimondii2_0_v6:7:60927701:60928815:-1 gene:B456_007G3793001 transcript:KJB46547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDILFFYQPILVYMSKVDGRFMFSPISVNFLTEVAKVLFAVLMLILQARRQKVGEKPLLSISTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLK >KJB42185 pep chromosome:Graimondii2_0_v6:7:11695246:11702561:1 gene:B456_007G141200 transcript:KJB42185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISHWVFVVSVLLLFLASGSFSIGSFHQPFPIVEPDPGHTKLRLSREGLEAISRINTPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPLELNIDGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETVREADISRLSFAVELAEEFYGRFGQDVAFEPAKLLWLIQRDFLEGKTVKEMVDEALRHVPNTGGDKNIDQVNQIRDSLAVMGDNSTAFSLPQPHLMRTKLCDLKDDDLDPMYVKKREQLKELVASIIRPKIVQGKSLNGKEFVSFLEKILEALNKGEIPSTGSLVEVFNKGIVERCLKLYNGRMGKLRLPMPEQSLQDAHDRSREEAMKAFDELHFGRRHAKSSFEQLDEDIKEVNKNIIMANQYHSARLCEALYTQCEDNMDQLQVLRLPSMAKFNAGFLQCNRSFEQECIGPSRANYEQRMVKMMGKSRSLFIKEYNQRLFNWLVTFSLIMVMVGRFIIKFILIEMAAWVLFIFLETYTRMFWSSESLYYNPVWHFIVSTWETIVYNPILDLDRWAIPIGCAVALWMLYWRCYGRRKHKSRWLLPMYNSHKSGSNRPRSD >KJB42184 pep chromosome:Graimondii2_0_v6:7:11695613:11702009:1 gene:B456_007G141200 transcript:KJB42184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISHWVFVVSVLLLFLASGSFSIGSFHQPFPIVEPDPGHTKLRLSREGLEAISRINTPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPLELNIDGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETVREADISRLSFAVELAEEFYGRFGQDVAFEPAKLLWLIQRDFLEGKTVKEMVDEALRHVPNTGGDKNIDQVNQIRDSLAVMGDNSTAFSLPQPHLMRTKLCDLKDDDLDPMYVKKREQLKELVASIIRPKIVQGKSLNGKEFVSFLEKILEALNKGEIPSTGSLVEVFNKGIVERCLKLYNGRMGKLRLPMPEQSLQDAHDRSREEAMKAFDELHFGRRHAKSSFEQLDEDIKEVNKNIIMANQYHSARLCEALYTQCEDNMDQLQVLRLPSMAKFNAGFLQCNRSFEQECIGPSRANYEQRMVKMMGKSRSLFIKEYNQRLFNWLVTFSLIMVMVGRFIIKFILIEMAAWVLFIFLETYTRMFWSSESLYYNPVWHFIVSTWETIVYNPILDLDR >KJB42182 pep chromosome:Graimondii2_0_v6:7:11695332:11702561:1 gene:B456_007G141200 transcript:KJB42182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISHWVFVVSVLLLFLASGSFSIGSFHQPFPIVEPDPGHTKLRLSREGLEAISRINTPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPLELNIDGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETVREADISRLSFAVELAEEFYGRFGQDVAFEPAKLLWLIQRDFLEGKTVKEMVDEALRHVPNTGGDKNIDQVNQIRDSLAVMGDNSTAFSLPQPHLMRTKLCDLKDDDLDPMYVKKREQLKELVASIIRPKIVQGKSLNGKEFVSFLEKILEALNKGEIPSTGSLVEVFNKGIVERCLKLYNGRMGKLRLPMPEQSLQDAHDRSREEAMKAFDELHFGRRHAKSSFEQLDEDIKEVNKNIIMANQYHSARLCEALYTQCEDNMDQLQVLRLPSMAKFNAGFLQCNRSFEQECIGPSRANYEQRMVKMMGKSRSLFIKEYNQRLFNWLVTFSLIMVMVGRFIIKFILIEMAAWVLFIFLETYTRMFWSSESLYYNPVWHFIVSTWETIVYNPILDLDRWAIPIGCAVALWMLYWRCYGRRKHKSRWLLPMYNSHKSGSNRPRSD >KJB42180 pep chromosome:Graimondii2_0_v6:7:11695198:11702605:1 gene:B456_007G141200 transcript:KJB42180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISHWVFVVSVLLLFLASGSFSIGSFHQPFPIVEPDPGHTKLRLSREGLEAISRINTPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPLELNIDGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETVREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLEGKTVKEMVDEALRHVPNTGGDKNIDQVNQIRDSLAVMGDNSTAFSLPQPHLMRTKLCDLKDDDLDPMYVKKREQLKELVASIIRPKIVQGKSLNGKEFVSFLEKILEALNKGEIPSTGSLVEVFNKGIVERCLKLYNGRMGKLRLPMPEQSLQDAHDRSREEAMKAFDELHFGRRHAKSSFEQLDEDIKEVNKNIIMANQYHSARLCEALYTQCEDNMDQLQVLRLPSMAKFNAGFLQCNRSFEQECIGPSRANYEQRMVKMMGKSRSLFIKEYNQRLFNWLVTFSLIMVMVGRFIIKFILIEMAAWVLFIFLETYTRMFWSSESLYYNPVWHFIVSTWETIVYNPILDLDRWAIPIGCAVALWMLYWRCYGRRKHKSRWLLPMYNSHKSGSNRPRSD >KJB42181 pep chromosome:Graimondii2_0_v6:7:11695179:11702611:1 gene:B456_007G141200 transcript:KJB42181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISHWVFVVSVLLLFLASGSFSIGSFHQPFPIVEPDPGHTKLRLSREGLEAISRINTPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPLELNIDGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETVREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLEGKTVKEMVDEALRHVPNTGGDKNIDQVNQIRDSLAVMGDNSTAFSLPQPHLMRTKLCDLKDDDLDPMYVKKREQLKELVASIIRPKIVQGKSLNGKEFVSFLEKILEALNKGEIPSTGSLVEVFNKGIVERCLKLYNGRMGKLRLPMPEQSLQDAHDRSREEAMKAFDELHFGRRHAKSSFEQLDEDIKEVNKNIIMANQYHSARLCEALYTQCEDNMDQLQVLRLPSMAKFNAGFLQCNRSFEQECIGPSRANYEQRMVKMMGKSRSLFIKEYNQRLFNWLVTFSLIMVMVGRFIIKFILIEMAAWVLFIFLETYTRMFWSSESLYYNPVWHFIVSTWETIVYNPILDLDRWAIPIGCAVALWMLYWRCYGRRKHKSRWLLPMYNSHKSGSNRPRSD >KJB42183 pep chromosome:Graimondii2_0_v6:7:11695310:11702561:1 gene:B456_007G141200 transcript:KJB42183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISHWVFVVSVLLLFLASGSFSIGSFHQPFPIVEPDPGHTKLRLSREGLEAISRINTPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPLELNIDGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETVREADISRLSFAVELAEEFYGRFGQDVAFEPAKLLWLIQRDFLEGKTVKEMVDEALRHVPNTGGDKNIDQVNQIRDSLAVMGDNSTAFSLPQPHLMRTKLCDLKDDDLDPMYVKKREQLKELVASIIRPKIVQGKSLNGKEFVSFLEKILEALNKGEIPSTGSLVEVFNKGIVERCLKLYNGRMGKLRLPMPEQSLQDAHDRSREEAMKAFDELHFGRRHAKSSFEQLDEDIKEVNKNIIMANQYHSARLCEALYTQCEDNMDQLQVLRLPSMAKFNAGFLQCNRSFEQECIGPSRANYEQRMVKMMGKSRSLFIKEYNQRLFNWLVTFSLIMVMVGRFIIKFILIEMAAWVLFIFLETYTRMFWSSESLYYNPVWHFIVSTWETIVYNPILDLDRWAIPIGCAVALWMLYWRCYGRRKHKSRWLLPMYNSHKSGSNRPRSD >KJB41794 pep chromosome:Graimondii2_0_v6:7:9620824:9621696:1 gene:B456_007G121700 transcript:KJB41794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYHARSNSLPSRQHPIASQIDDDLNRLRASQSASTSSSIGHNLNGLQNLHDCVDVLLQFPLTQQALAQEKQREMVEELLDGSLMLLDVCTTAKDALLQTKECTQELQSILRRRRGAERLANEFRNYLTSRKAMKKAIWKALANLKHIQNKLSTPGENGAVISILRDVEAVTISVLESVLSFISASEAESKSSRWSLVSKLMHQKKVMCEEEQKANEILSAEAAVRSCIKSENMKHVENVQKELQSSELSIQDLEEGLETLSRRMIKTRVTVLNIISC >KJB42595 pep chromosome:Graimondii2_0_v6:7:13939119:13939735:1 gene:B456_007G159400 transcript:KJB42595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVTVKLVVVMMITVGLMVEGSRAISLCDMNDEGLEACKPSVTQPDPVDPTPQCCEALKGANLTCLCSYKNSMLLPSLGIDPTLAMGLPAKCSLDMPSDC >KJB42593 pep chromosome:Graimondii2_0_v6:7:13938890:13939918:1 gene:B456_007G159400 transcript:KJB42593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVTVKLVVVMMITVGLMVEGSRAISLCDMNDEGLEACKPSVTQPDPVDPTPQCCEALKGANLTCLCSYKNSMLLPSLGIDPTLAMGLPAKCSLDMPSDC >KJB42594 pep chromosome:Graimondii2_0_v6:7:13939119:13939828:1 gene:B456_007G159400 transcript:KJB42594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVTVKLVVVMMITVGLMVEGSRAISLCDMNDEGLEACKPSVTQPDPVDPTPQCCEALKGANLTCLCSYKNSMLLPSLGIDPTLAMGLPAKCSLDMPSDC >KJB44882 pep chromosome:Graimondii2_0_v6:7:47538046:47541645:1 gene:B456_007G277600 transcript:KJB44882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRHRNTGYPEPAMSDGEDTPIVKCQHRRWRSVFAAIYSARIFVSLYKKIINKKQILRSLSYIALDVHDSDSSDDRLPSLSVDQKTLTEVVREKSLGTLSKLGGVKQIAASLETDEKDGISTNEADLAHRVDVFGANRYQKPPKKSFFSFVYEAFKDTTIIILLVCAVLSLGFGIKQHGITDGGYDGGSIIIAVFLVVAVSAVSNFKQNRQFEKLSKESRDIKVEVVRDGRRQFISVFEVVVGDVVCLKIGDQIPADGLFLDGHSLKVDESSMTGESDHVEINGSNNPFVLSGTKVTNGFGSMLVTSVGMNTAWGKMMSSINSELDEETPLQARLNKLTSAIGKIGLTVAVLVLAVLLIRYFTGNTKDDQGNKEYIRGKTKFDSMMNSVVEIISAAITIVVVAIPEGLPLAVTLTLAYSMKQMMADQAMVRKLSACETMGSATTICTDKTDLGMNLDDPKQNYELIQVEAFNSEKKRSGVLIRRNSENGGATQVHWKGAAEMILAMCSQYYDRSGAVKAIDEEERVEMGKIIEDMAAKSLRCIAFAHTKYPIDNERVLQESGLILLGLVGLKDPCRPGVRTAVEACINAGVNIKMITGDNIFTAKAIATECGILQPNEDMSEAVIEGVQFRNYSPEERMAKINRICVMARSSPFDKLLMVQCLKQNGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIIILDDNFTSVVTVLRWGRCVFNNIQKFIQFQLTVNIAALVINFIAAISSGEVPLTAVQLLWVNLIMDTFGALALATERPTNDLMTKPPVGRSKPLISNIMWRNLIAQALYQVAVLLTLQFRGKFIFDVDEKVKNTLIFNTFVLCQVVNEFNARKLEKKNIFQGLHKNKLFLGIIAITIILQVVMVEFLKRFANTQRLNWGQWGTCIGIAALSWPLGWLVKWIPA >KJB44881 pep chromosome:Graimondii2_0_v6:7:47537749:47541330:1 gene:B456_007G277600 transcript:KJB44881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRHRNTGYPEPAMSDGEDTPIVKCQHRRWRSVFAAIYSARIFVSLYKKIINKKQILRSLSYIALDVHDSDSSDDRLPSLSVDQKTLTEVVREKSLGTLSKLGGVKQIAASLETDEKDGISTNEADLAHRVDVFGANRYQKPPKKSFFSFVYEAFKDTTIIILLVCAVLSLGFGIKQHGITDGGYDGGSIIIAVFLVVAVSAVSNFKQNRQFEKLSKESRDIKVEVVRDGRRQFISVFEVVVGDVVCLKIGDQIPADGLFLDGHSLKVDESSMTGESDHVEINGSNNPFVLSGTKVTNGFGSMLVTSVGMNTAWGKMMSSINSELDEETPLQARLNKLTSAIGKIGLTVAVLVLAVLLIRYFTGNTKDDQGNKEYIRGKTKFDSMMNSVVEIISAAITIVVVAIPEGLPLAVTLTLAYSMKQMMADQAMVRKLSACETMGSATTICTDKTGTLTLNEMKVTEFWLGKELMGSISSEIAPNVHKLLQQAVALNTTGTVYKPNSRSLPEISGSPTEKAILSWAVSDLGMNLDDPKQNYELIQVEAFNSEKKRSGVLIRRNSENGGATQVHWKGAAEMILAMCSQYYDRSGAVKAIDEEERVEMGKIIEDMAAKSLRCIAFAHTKYPIDNERVLQESGLILLGLVGLKDPCRPGVRTAVEACINAGVNIKMITGDNIFTAKAIATECGILQPNEDMSEAVIEGVQFRNYSPEERMAKINRICVMARSSPFDKLLMVQCLKQNGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIIILDDNFTSVVTVLRWGRCVFNNIQKFIQFQLTVNIAALVINFIAAISSGEVPLTAVQLLWVNLIMDTFGALALATERPTNDLMTKPPVGRSKPLISNIMWRNLIAQALYQVAVLLTLQFRGKFIFDVDEKVKNTLIFNTFVLCQVVNEFNARKLEKKNIFQGLHKNKLFLGIIAITIILQVVMVEFLKRFANTQRLNWGQWGTCIGIAALSWPLGWLVKWIPA >KJB46020 pep chromosome:Graimondii2_0_v6:7:56946411:56949542:-1 gene:B456_007G343700 transcript:KJB46020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLSTSSSISRKKYDVFLSFRGEDTRKNFTDHLYDALNRNGIVTFRDDPKLEAGEEIAPELFNAIQQSWCSVIVFSETYAVSGWCLEELAEIVKQKNDKGHKVFPIFYDVDPSDLRKQKGKVEEAFSKHEERCKENKDKIQK >KJB43113 pep chromosome:Graimondii2_0_v6:7:17711510:17714338:1 gene:B456_007G184500 transcript:KJB43113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYKESRRIAESETFKAESELSSAKKTVKDLASMIEESNFKAKERIKDVESLKKKGKLDVKASVFRCVESYQCVEVMEELEMVKHELSELKLAMASVMADKERAVKEFEDSSKKLLSNGKHIEELRKEIEVANEEHVLVELAKMEASKEAADIEAQKEKEVGELSCRMAGTKKKMEDMINEIDQTGELEQRLTVTLSDINVLQDELKQVKDQPPSLESTNKVLQAAKNELASIREEGFRYMSSMDVIRNELKHVIEETKKLKKTEEKTDLRVKSLNSKLLRAKSKLEAATAAEEKANSIVANLSLSLEQLRLEAEASTKEKALIAEEAAAIAEEIRKTESKIDSTEEKLQAAVGELEAVKSAETSALEKLRSLIETTMLSRASASDRNSTITISKFEYEYLTGRAVGAEDIADKKVAAAQAWIEALKASEREILIKTKMAQSDLKEMRVEEEEEEYRTQRSLSAKKMIEKELRNWQLVEQNKQSSFNRRSMKSNGNSTPLGKSKFRRSLSPAIRVSGLTPFSIKKKKKGMPNLAKLFTGKKVDKDA >KJB43112 pep chromosome:Graimondii2_0_v6:7:17711510:17714338:1 gene:B456_007G184500 transcript:KJB43112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRRIGSSKVAVNMYEEMILDGNSSVKKPQSQQAFPEPLSKARELHIARRDMSRYKESRRIAESETFKAESELSSAKKTVKDLASMIEESNFKAKERIKDVESLKKKGKLDVKASVFRCVESYQCVEVMEELEMVKHELSELKLAMASVMADKERAVKEFEDSSKKLLSNGKHIEELRKEIEVANEEHVLVELAKMEASKEAADIEAQKEKEVGELSCRMAGTKKKMEDMINEIDQTGELEQRLTVTLSDINVLQDELKQVKDQPPSLESTNKVLQAAKNELASIREEGFRYMSSMDVIRNELKHVIEETKKLKKTEEKTDLRVKSLNSKLLRAKSKLEAATAAEEKANSIVANLSLSLEQLRLEAEASTKEKALIAEEAAAIAEEIRKTESKIDSTEEKLQAAVGELEAVKSAETSALEKLRSLIETTMLSRASASDRNSTITISKFEYEYLTGRAVGAEDIADKKVAAAQAWIEALKASEREILIKTKMAQSDLKEMRVEEEEEEYRTQRSLSAKKMIEKELRNWQLVEQNKQSSFNRRSMKSNGNSTPLGKSKFRRSLSPAIRVSGLTPFSIKKKKKGMPNLAKLFTGKKVDKDA >KJB43114 pep chromosome:Graimondii2_0_v6:7:17711510:17714354:1 gene:B456_007G184500 transcript:KJB43114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRRIGSSKVAVNMYEEMILDGNSSVKKPQSQQAFPEKPLSKARELHIARRDMSRYKESRRIAESETFKAESELSSAKKTVKDLASMIEESNFKAKERIKDVESLKKKGKLDVKASVFRCVESYQCVEVMEELEMVKHELSELKLAMASVMADKERAVKEFEDSSKKLLSNGKHIEELRKEIEVANEEHVLVELAKMEASKEAADIEAQKEKEVGELSCRMAGTKKKMEDMINEIDQTGELEQRLTVTLSDINVLQDELKQVKDQPPSLESTNKVLQAAKNELASIREEGFRYMSSMDVIRNELKHVIEETKKLKKTEEKTDLRVKSLNSKLLRAKSKLEAATAAEEKANSIVANLSLSLEQLRLEAEASTKEKALIAEEAAAIAEEIRKTESKIDSTEEKLQAAVGELEAVKSAETSALEKLRSLIETTMLSRASASDRNSTITISKFEYEYLTGRAVGAEDIADKKVAAAQAWIEALKASEREILIKTKMAQSDLKEMRVEEEEEEYRTQRSLSAKKMIEKELRNWQLVEQNKQSSFNRRSMKSNGNSTPLGKSKFRRSLSPAIRVSGLTPFSIKKKKKGMPNLAKLFTGKKVDKDA >KJB43793 pep chromosome:Graimondii2_0_v6:7:24353709:24357259:-1 gene:B456_007G216200 transcript:KJB43793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMAAYYPPPSALLPPHYPYYQTPPPAVTPPPPPPPPPPPGAAAPPLHYHSYIPHQQPPLPPPPPFPSYSFPHVAAYSSHDSVRTLFIAGLPEDIKPREIYNLFREFPGYESSHLRSPNTCQNSQPFAFAVFSDQQSALAAMQALNGMVFDLEKGSTLFIDFAKSNSRSKHPRTDEEWTGSNKKSRGSFSRSTSDSSGFGSVHVSGMGNSAYSMIGYPPAQSSGNVDANAESTAMKSSASPCPTLFVANLGASCTEEELIQVFSRCPGFLKLKMQSTYGAPVAFVDFQDTACSTGAINSLQGTILYSSPAGDGMRLEYAKSRMGLRRKRK >KJB43790 pep chromosome:Graimondii2_0_v6:7:24353523:24357259:-1 gene:B456_007G216200 transcript:KJB43790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMAAYYPPPSALLPPHYPYYQTPPPAVTPPPPPPPPPPPGAAAPPLHYHSYIPHQQPPLPPPPPFPSYSFPHVAAYSSHDSVRTLFIAGLPEDIKPREIYNLFREFPGYESSHLRSPNTCQNSQPFAFAVFSDQQSALAAMQALNGMVFDLEKGSTLFIDFAKSNSRSKHPRTDEEWTGSNKKSRGSFSRSTSDSCFGSVHVSGMGNSAYSMIGYPPAQSSGNVDANAESTAMKSSASPCPTLFVANLGASCTEEELIQVFSRCPGFLKLKMQSTYGAPVAFVDFQDTACSTGAINSLQGTILYSSPAGDGMRLEYAKSRMGLRRKRK >KJB43791 pep chromosome:Graimondii2_0_v6:7:24353582:24357259:-1 gene:B456_007G216200 transcript:KJB43791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMAAYYPPPSALLPPHYPYYQTPPPAVTPPPPPPPPPPPGAAAPPLHYHSYIPHQQPPLPPPPPFPSYSFPHVAAYSSHDSVRTLFIAGLPEDIKPREIYNLFREFPGYESSHLRSPNTCQNSQPFAFAVFSDQQSALAAMQALNGMVFDLEKGSTLFIDFAKSNSRSKHPRTDEEWTGSNKKSRGSFSRSTSDSCFGSVHVSGMGNSAYSMIGYPPAQSSGNVDANAESTAMKSSASPCPTLFVANLGASCTEEELIQVFSRCPGFLKLKMQSTYGAPVAFVDFQDTACSTGAINSLQGTILYSSPAGDGMRLEYAKSRMGLRRKRK >KJB43792 pep chromosome:Graimondii2_0_v6:7:24353709:24357259:-1 gene:B456_007G216200 transcript:KJB43792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMAAYYPPPSALLPPHYPYYQTPPPAVTPPPPPPPPPPPGAAAPPLHYHSYIPHQQPPLPPPPPFPSYSFPHVAAYSSHDSVRTLFIAGLPEDIKPREIYNLFREFPGYESSHLRSPNTCQNSQPFAFAVFSDQQSALAAMQALNGMVFDLEKGSTLFIDFAKSNSRSKHPRTDEEWTGSNKKSRGSFSRSTSDSCFGSVHVSGMGNSAYSMIGYPPAQSSGNVDANAESTAMKSSASPCPTLFVANLGASCTEEELIQVFSRCPGFLKLKMQSTYGAPVAFVDFQDTACSTGAINSLQGTILYSSPAGDGMRLEYPLIISIIHFQLHCISSTVFLGFA >KJB44648 pep chromosome:Graimondii2_0_v6:7:43519207:43522469:-1 gene:B456_007G263000 transcript:KJB44648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLTDSERIELNKSNLRRTIDEIQDQASSVLLLNLQWKDVEKVLDSAESSVEERLKEVISKEHEMNERANRLEKEIERKEKLVKDQLETLKVKEEELGRQFRDLELGNKFYEERLREVELKQKQLEELKLKEEEFVSKEKAFEKRCREFELEKKELERHRKDLEFSVKHCKEVKLMEKSVKDKLLEVGVKEKYLEKCMSEYELKEKQFGLKKKRFGEHCVQFEMEERDFKERRRDLEVNVKQYEQLYKRLGVTEKWVQKQLGVIERKEEEFELRERDLRQRRRDFEFSQNCLQKDLKDLKFKSEQFEERFREATLMENSLKTRFQELKEKEEQFRLKMNHFEQRSRDFAKKETSLEKGYQDLEAKQKHNEECLRKIKLKEKQKEESSEELARKHKLQFEDLEYKVKQHDQRFMELKLKEKLVNDQFEQIEDKEQQLRSKEKQFEQCLKEFELKETCLELRYQEIEAKEKHYDECLRKVELREKEIEEISAKHKRKHEQQSRDLEFNVKKCEERFKDLEAREKKLAEWSKELERNSLASALHPQVKVNEAAGSLLAKCSVDHSSPAHLRFCVSMDGKDLQMFLNGRWKEHGSIGNEIAMALKLSGDPAKLVLDAMEGFYPPHLSKGDIDFEGDVARRSCILLLEQLMKLSPEIKPNVRKEAVKLAFDWITKLRVESGHELEVLGFLWLLASFQLGDAFDTDELVNFLVFVAQHIQTPELFKVLGLGDKITGFIRTLVEKKQHMEAIRFIYAFEQVNEFPPVPVLKDFINHSKVEAKRIFRKGKNTPEARNEANIKRIADARAVVQCIEDHKLEYEYLPHKLKSLKNLIAFLEKENASRSLTSPEANPALCTAPVTQIPSQQDVGVKGPQEFIATEISGAAPIGATATVSSKKMASKNLKRSKQ >KJB40174 pep chromosome:Graimondii2_0_v6:7:3872436:3874396:-1 gene:B456_007G055300 transcript:KJB40174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKDTAHSHLLSFLNAIPPVDFCCVYGSTLHSTDSGKSTMVDYILGVSDPLQWHSENLKMNADHYASWMVLLGGAKLITNVADKLGVGVHFNPYVTWNNKMLKYGVVRMHDLIQDILNWESFYLSGRLQKPVNMLVDNLDIETINSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDVRMLFAEDRSKVKKIVQGQFDLFQSMYKPFLEEYEGKDFLRFSSSKNQLANISQV >KJB40181 pep chromosome:Graimondii2_0_v6:7:3871478:3874669:-1 gene:B456_007G055300 transcript:KJB40181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKDTAHSHLLSFLNAIPPVDFCCVYGSTLHSTDSGKSTMVDYILGVSDPLQWHSENLKMNADHYASWMVLLGGAKLITNVADKLGVGVHFNPYVTWNNKMLKYGVVRMHDLIQDILNWESFYLSGRLQKPVNMLVDNLDIETINSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDVRMLFAEDRSKVKKIVQGQFDLFQSMYKPFLEEYEGKDFLRFSSSKNQLANISQDCGLPVTHSHISFLPPTVRSQMGMKIGKKKVISESGKVGNGNELGFGSREEAAKCMQKALRRTVMVSSARQAVSGVLTVGGVKAARYLTSKMCKAWRSWTRT >KJB40176 pep chromosome:Graimondii2_0_v6:7:3871783:3874396:-1 gene:B456_007G055300 transcript:KJB40176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKDTAHSHLLSFLNAIPPVDFCCVYGSTLHSTDSGKSTMVDYILGVSDPLQWHSENLKMNADHYASWMVLLGGAKLITNVADKLGVGVHFNPYVTWNNKMLKYGVVRMHDLIQDILNWESFYLSGRLQKPVNMLVDNLDIETINSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDVRMLFAEDRSKVKKIVQGQFDLFQSMYKPFLEEYEGKDFLRFSSSKNQLANISQDCGLPVTHSHISFLPPTVRSQMGMKIGKKKVISESGKVGNGNELGFGSREEAAKCMQKALRRTVMVSSARQAVSGVLTVGGVKAARYLTSKMCKAWRSWTRT >KJB40171 pep chromosome:Graimondii2_0_v6:7:3871783:3874396:-1 gene:B456_007G055300 transcript:KJB40171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKDTAHSHLLSFLNAIPPVDFCCVYGSTLHSTDSGKSTMVDYILGVSDPLQWHSENLKMNADHYASWMVLLGGAKLITNVADKLGVGVHFNPYVTWNNKMLKYGVVRMHDLIQDILNWESFYLSGRLQKPVNMLVDNLDIETINSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDVRMLFAEDRSKVKKIVQGQFDLFQSMYKPFLEEYEGKDFLRFSSSKNQLANISQDCGLPVTHSHISFLPPTVRSQMGMKIGKKKVISESGKVGNGNELGFGSREEAAKCMQKALRRTVMVSSARQAVSGVLTVGGVKAARYLTSKMCKAWRSWTRT >KJB40170 pep chromosome:Graimondii2_0_v6:7:3871478:3874644:-1 gene:B456_007G055300 transcript:KJB40170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKDTAHSHLLSFLNAIPPVDFCCVYGSTLHSTDSGKSTMVDYILGVSDPLQWHSENLKMNADHYASWMVLLGGAKLITNVADKLGVGVHFNPYVTWNNKMLKYGVVRMHDLIQDILNWESFYLSGRLQKPVNMLVDNLDIETINSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDVRMLFAEDRSKVKKIVQGQFDLFQSMYKPFLEELLCRYEDGNFLFPVFVDFTS >KJB40172 pep chromosome:Graimondii2_0_v6:7:3871478:3874644:-1 gene:B456_007G055300 transcript:KJB40172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKDTAHSHLLSFLNAIPPVDFCCVYGSTLHSTDSGKSTMVDYILGVSDPLQWHSENLKMNADHYASWMVLLGGAKLITNVADKLGVGVHFNPYVTWNNKVNMLVDNLDIETINSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDVRMLFAEDRSKVKKIVQGQFDLFQSMYKPFLEEYEGKDFLRFSSSKNQLANISQDCGLPVTHSHISFLPPTVRSQMGMKIGKKKVISESGKVGNGNELGFGSREEAAKCMQKALRRTVMVSSARQAVSGVLTVGGVKAARYLTSKMCKAWRSWTRT >KJB40182 pep chromosome:Graimondii2_0_v6:7:3871478:3874743:-1 gene:B456_007G055300 transcript:KJB40182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKDTAHSHLLSFLNAIPPVDFCCVYGSTLHSTDSGKSTMVDYILGVSDPLQWHSENLKMNADHYASWMVLLGGAKLITNVADKLGVGVHFNPYVTWNNKVNMLVDNLDIETINSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDVRMLFAEDRSKVKKIVQGQFDLFQSMYKPFLEEYEGKDFLRFSSSKNQLANISQDCGLPVTHSHISFLPPTVRSQMGMKIGKKKVISESGKVGNGNELGFGSREEAAKCMQKALRRTVMVSSARQAVSGVLTVGGVKAARYLTSKMCKAWRSWTRT >KJB40175 pep chromosome:Graimondii2_0_v6:7:3872436:3874396:-1 gene:B456_007G055300 transcript:KJB40175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKDTAHSHLLSFLNAIPPVDFCCVYGSTLHSTDSGKSTMVDYILGVSDPLQWHSENLKMNADHYASWMVLLGGAKLITNVADKLGVGVHFNPYVTWNNKVNMLVDNLDIETINSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDVRMLFAEDRSKVKKIVQGQFDLFQSMYKPFLEEYEGKDFLRFSSSKNQLANISQV >KJB40178 pep chromosome:Graimondii2_0_v6:7:3871478:3874722:-1 gene:B456_007G055300 transcript:KJB40178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKDTAHSHLLSFLNAIPPVDFCCVYGSTLHSTDSGKSTMVDYILGVSDPLQWHSENLKMNADHYASWMVLLGGAKLITNVADKLGVGVHFNPYVTWNNKMLKYGVVRMHDLIQDILNWESFYLSGRLQKPVNMLVDNLDIETINSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDVRMLFAEDRSKVKKIVQGQFDLFQSMYKPFLEEIVAYQ >KJB40180 pep chromosome:Graimondii2_0_v6:7:3871478:3874722:-1 gene:B456_007G055300 transcript:KJB40180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKDTAHSHLLSFLNAIPPVDFCCVYGSTLHSTDSGKSTMVDYILGVSDPLQWHSENLKMNADHYASWMVLLGGAKLITNVADKLGVGVHFNPYVTWNNKVNMLVDNLDIETINSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDVRMLFAEDRSKVKKIVQGQFDLFQSMYKPFLEEIVAYQ >KJB40169 pep chromosome:Graimondii2_0_v6:7:3871478:3874644:-1 gene:B456_007G055300 transcript:KJB40169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKDTAHSHLLSFLNAIPPVDFCCVYGSTLHSTDSGKSTMVDYILGVSDPLQWHSENLKMNADHYASWMVLLGGAKLITNVADKLGVGVHFNPYVTWNNKMLKYGVVRMHDLIQDILNWESFYLSGRLQKPVNMLVDNLDIETINSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDVRMLFAEDRSKVKKIVQGQFDLFQSMYKPFLEEYEGMKMVISCFPYLLISPHRGNCCKWIVAYQ >KJB40173 pep chromosome:Graimondii2_0_v6:7:3871478:3874669:-1 gene:B456_007G055300 transcript:KJB40173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKDTAHSHLLSFLNAIPPVDFCCVYGSTLHSTDSGKSTMVDYILGVSDPLQWHSENLKMNADHYASWMVLLGGAKLITNVADKLGVGVHFNPYVTWNNKVNMLVDNLDIETINSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDVRMLFAEDRSKVKKIVQGQFDLFQSMYKPFLEEYEGKDFLRFSSSKNQLANISQDCGLPVTHSHISFLPPTVRSQMGMKIGKKKVISESGKVGNGNELGFGSREEAAKCMQKALRRTVMVSSARQAVSGVLTVGGVKAARYLTSKMCKAWRSWTRT >KJB40183 pep chromosome:Graimondii2_0_v6:7:3872032:3874743:-1 gene:B456_007G055300 transcript:KJB40183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKDTAHSHLLSFLNAIPPVDFCCVYGSTLHSTDSGKSTMVDYILGVSDPLQWHSENLKMNADHYASWMVLLGGAKLITNVADKLGVGVHFNPYVTWNNKMLKYGVVRMHDLIQDILNWESFYLSGRLQKPVNMLVDNLDIETINSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDVRMLFAEDRSKVKKIVQGQFDLFQSMYKPFLEEYEGMKMVISCFPYLLISPHRGNCCKWY >KJB40177 pep chromosome:Graimondii2_0_v6:7:3872436:3874396:-1 gene:B456_007G055300 transcript:KJB40177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKDTAHSHLLSFLNAIPPVDFCCVYGSTLHSTDSGKSTMVDYILGVSDPLQWHSENLKMNADHYASWMVLLGGAKLITNVADKLGVGVHFNPYVTWNNKMLKYGVVRMHDLIQDILNWESFYLSGRLQKPVNMLVDNLDIETINSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDVRMLFAEDRSKVKKIVQGQFDLFQSMYKPFLEEYEGKDFLRFSSSKNQLANISQV >KJB40179 pep chromosome:Graimondii2_0_v6:7:3872436:3874396:-1 gene:B456_007G055300 transcript:KJB40179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKDTAHSHLLSFLNAIPPVDFCCVYGSTLHSTDSGKSTMVDYILGVSDPLQWHSENLKMNADHYASWMVLLGGAKLITNVADKLGVGVHFNPYVTWNNKVNMLVDNLDIETINSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDVRMLFAEDRSKVKKIVQGQFDLFQSMYKPFLEEYEGKDFLRFSSSKNQLANISQV >KJB43898 pep chromosome:Graimondii2_0_v6:7:26364405:26366647:-1 gene:B456_007G222400 transcript:KJB43898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNVGDVKFYLHKFPLLSKSARLQKLVAATNDANGDEIHIQDIPGGPAAFEVCAKFCYGMTVTLNAYNVVTARCAAEYLEMYETIEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSLLRWSEELKVVSHCLDSIASKASIDTSKVEWSYTYNRKKIASENGNSPQWNDARKPQMVPKDWWVEDLCELPIDLYKRVIVTIKTKGRVSGDVIGEALNAYTLRRLPGFSKGVNQNIDFVKYRSLVETIVWLLPTEKGVVSCSFLLRLLRAAIFLDCGETERNELMRRIAQQLPEATMNDLLIRAPPGEATVYDVDMVQNLVEEFVTHSSQTEPVDNEFLGSRSPKFGPDASKVLVAKLIDCYLAEIARDPNLHLSKFVNLGETIASFSRPSHDGLYRAIDMYLKEHPGISKSERKRICKLMDCRKLSAEACMHAVQNERLPLRVVVQVLFFEQVRATASAENSTSDLPGSIRALLPGGSHGSSRSTTTNTEEDWDSVPTADDIKALKGELATLRLGSNDSGNEAAKSDVEKVAASRMKGLVMSKIFSKLWSSKERHGEISSSDTSDSHGSVNAEETKSTPSRSRRHSLS >KJB43895 pep chromosome:Graimondii2_0_v6:7:26364106:26369703:-1 gene:B456_007G222400 transcript:KJB43895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDSFQTDGGYIRFVASELATDMVVNVGDVKFYLHKFPLLSKSARLQKLVAATNDANGDEIHIQDIPGGPAAFEVCAKFCYGMTVTLNAYNVVTARCAAEYLEMYETIEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSLLRWSEELKVVSHCLDSIASKASIDTSKVEWSYTYNRKKIASENGNSPQWNDARKPQMVPKDWWVEDLCELPIDLYKRVIVTIKTKGRVSGDVIGEALNAYTLRRLPGFSKGVNQNIDFVKYRSLVETIVWLLPTEKGVVSCSFLLRLLRAAIFLDCGETERNELMRRIAQQLPEATMNDLLIRAPPGEATVYDVDMVQNLVEEFVTHSSQTEPVDNEFLGSRSPKFGPDASKVLVAKLIDCYLAEIARDPNLHLSKFVNLGETIASFSRPSHDGLYRAIDMYLKEHPGISKSERKRICKLMDCRKLSAEACMHAVQNERLPLRVVVQVLFFEQVRATASAENSTSDLPGSIRALLPGGSHGSSRSTTTNTEEDWDSVPTADDIKALKGELATLRLGSNDSGNEAAKSDVEKVAASRMKGLVMSKIFSKLWSSKERHGEISSSDTSDSHGSVNAEETKSTPSRSRRHSLS >KJB43896 pep chromosome:Graimondii2_0_v6:7:26364405:26367536:-1 gene:B456_007G222400 transcript:KJB43896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDSFQTDGGYIRFVASELATDMVVNVGDVKFYLHKFPLLSKSARLQKLVAATNDANGDEIHIQDIPGGPAAFEVCAKFCYGMTVTLNAYNVVTARCAAEYLEMYETIEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSLLRWSEELKVVSHCLDSIASKASIDTSKVEWSYTYNRKKIASENGNSPQWNDARKPQMVPKDWWVEDLCELPIDLYKRVIVTIKTKGRVSGDVIGEALNAYTLRRLPGFSKGVNQNIDFVKYRSLVETIVWLLPTEKGVVSCSFLLRLLRAAIFLDCGETERNELMRRIAQQLPEATMNDLLIRAPPGEATVYDVDMVQNLVEEFVTHSSQTEPVDNEFLGSRSPKFGPDASKVLVAKLIDCYLAEIARDPNLHLSKFVNLGETIASFSRPSHDGLYRAIDMYLKEHPGISKSERKRICKLMDCRKLSAEACMHAVQNERLPLRVVVQVLFFEQVRATASAENSTSDLPGSIRALLPGGSHGSSRSTTTNTEEDWDSVPTADDIKALKGELATLRLGSNDSGNEAAKSDVEKVAASRMKGLVMSKIFSKLWSSKERHGEISSSDTSDSHGSVNAEETKSTPSRSRRHSLS >KJB43897 pep chromosome:Graimondii2_0_v6:7:26364106:26369172:-1 gene:B456_007G222400 transcript:KJB43897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNVGDVKFYLHKFPLLSKSARLQKLVAATNDANGDEIHIQDIPGGPAAFEVCAKFCYGMTVTLNAYNVVTARCAAEYLEMYETIEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSLLRWSEELKVVSHCLDSIASKASIDTSKVEWSYTYNRKKIASENGNSPQWNDARKPQMVPKDWWVEDLCELPIDLYKRVIVTIKTKGRVSGDVIGEALNAYTLRRLPGFSKGVNQNIDFVKYRSLVETIVWLLPTEKGVVSCSFLLRLLRAAIFLDCGETERNELMRRIAQQLPEATMNDLLIRAPPGEATVYDVDMVQNLVEEFVTHSSQTEPVDNEFLGSRSPKFGPDASKVLVAKLIDCYLAEIARDPNLHLSKFVNLGETIASFSRPSHDGLYRAIDMYLKEHPGISKSERKRICKLMDCRKLSAEACMHAVQNERLPLRVVVQVLFFEQVRATASAENSTSDLPGSIRALLPGGSHGSSRSTTTNTEEDWDSVPTADDIKALKGELATLRLGSNDSGNEAAKSDVEKVAASRMKGLVMSKIFSKLWSSKERHGEISSSDTSDSHGSVNAEETKSTPSRSRRHSLS >KJB43900 pep chromosome:Graimondii2_0_v6:7:26364070:26369220:-1 gene:B456_007G222400 transcript:KJB43900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDSFQTDGGYIRFVASELATDMVVNVGDVKFYLHKFPLLSKSARLQKLVAATNDANGDEIHIQDIPGGPAAFEVCAKFCYGMTVTLNAYNVVTARCAAEYLEMYETIEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSLLRWSEELKVVSHCLDSIASKASIDTSKVEWSYTYNRKKIASENGNSPQWNDARKPQMVPKDWWVEDLCELPIDLYKRVIVTIKTKGRVSGDVIGEALNAYTLRRLPGFSKGVNQNIDFVKYRSLVETIVWLLPTEKGVVSCSFLLRLLRAAIFLDCGETERNELMRRIAQQLPEATMNDLLIRAPPGEATVYDVDMVQNLVEEFVTHSSQTEPVDNEFLGSRSPKFGPDASKVLVAKLIDCYLAEIARDPNLHLSKFVNLGETIASFSRPSHDGLYRAIDMYLKEHPGISKSERKRICKLMDCRKLSAEACMHAVQNERLPLRVVVQVLFFEQVRATASAENSTSDLPGSIRALLPGGSHGSSRSTTTNTEEDWDSVPTADDIKALKGELATLRLGSNDSGNEAAKSDVEKVAASRMKGLVMSKIFSKLWSSKERHGEISSSDTSDSHGSVNAEETKSTPSRSRRHSLS >KJB43899 pep chromosome:Graimondii2_0_v6:7:26364405:26367536:-1 gene:B456_007G222400 transcript:KJB43899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDSFQTDGGYISVYVRFVASELATDMVVNVGDVKFYLHKFPLLSKSARLQKLVAATNDANGDEIHIQDIPGGPAAFEVCAKFCYGMTVTLNAYNVVTARCAAEYLEMYETIEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSLLRWSEELKVVSHCLDSIASKASIDTSKVEWSYTYNRKKIASENGNSPQWNDARKPQMVPKDWWVEDLCELPIDLYKRVIVTIKTKGRVSGDVIGEALNAYTLRRLPGFSKGVNQNIDFVKYRSLVETIVWLLPTEKGVVSCSFLLRLLRAAIFLDCGETERNELMRRIAQQLPEATMNDLLIRAPPGEATVYDVDMVQNLVEEFVTHSSQTEPVDNEFLGSRSPKFGPDASKVLVAKLIDCYLAEIARDPNLHLSKFVNLGETIASFSRPSHDGLYRAIDMYLKEHPGISKSERKRICKLMDCRKLSAEACMHAVQNERLPLRVVVQVLFFEQVRATASAENSTSDLPGSIRALLPGGSHGSSRSTTTNTEEDWDSVPTADDIKALKGELATLRLGSNDSGNEAAKSDVEKVAASRMKGLVMSKIFSKLWSSKERHGEISSSDTSDSHGSVNAEETKSTPSRSRRHSLS >KJB39044 pep chromosome:Graimondii2_0_v6:7:2582951:2583991:1 gene:B456_007G037200 transcript:KJB39044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICGSGEIEGALLKYLGVERNEVTKDGLFSVGEMECMGCCVNAPMIAVADYTNGSEGYTYNYYEDVTTQRVVEIVEIVAVGFCQEN >KJB39042 pep chromosome:Graimondii2_0_v6:7:2582951:2584084:1 gene:B456_007G037200 transcript:KJB39042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICGSGEIEGALLKYLGVERNEVTKDGLFSVGEMECMGCCVNAPMIAVADYTNGSEGYTYNYYEDVTTQRVVEIVEIVAVGFCQEN >KJB39043 pep chromosome:Graimondii2_0_v6:7:2582951:2584162:1 gene:B456_007G037200 transcript:KJB39043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICGSGEIEGALLKYLGVERNEVTKDGLFSVGEMECMGCCVNAPMIAVADYTNGSEGYTYNYYEDVTTQRVVEIVEIVAVGFCQEN >KJB39046 pep chromosome:Graimondii2_0_v6:7:2582951:2583814:1 gene:B456_007G037200 transcript:KJB39046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICGSGEIEGALLKYLGVERNEVTKDGLFSVGEMECMGCCVNAPMIAVADYTNGSEGYTYNYYEDVTTQRVVEIVEIVAVGFCQEN >KJB39045 pep chromosome:Graimondii2_0_v6:7:2582951:2584071:1 gene:B456_007G037200 transcript:KJB39045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICGSGEIEGALLKYLGVERNEVTKDGLFSVGEMECMGCCVNAPMIAVADYTNGSEGYTYNYYEDVTTQRVVEIVEIVAVGFCQEN >KJB41924 pep chromosome:Graimondii2_0_v6:7:10245615:10247663:1 gene:B456_007G128300 transcript:KJB41924 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT4G02430) TAIR;Acc:AT4G02430] MSSRSSRTLYVGNLPGDVREREVEDLFYKYGPIAQIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFGGHRLRVELAHGGRGRSSIDRHSSYSSGRGRGPSRRSEYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYDDMKYAIKKLDDSEFRNAFSRAYVRVKEYDSRRDSSRSPSRGRSLSRSRSRSRSRSRSRSRGRSYSRSKSRRFFLILLHYENLFKA >KJB41923 pep chromosome:Graimondii2_0_v6:7:10245357:10249014:1 gene:B456_007G128300 transcript:KJB41923 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT4G02430) TAIR;Acc:AT4G02430] MSSRSSRTLYVGNLPGDVREREVEDLFYKYGPIAQIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFGGHRLRVELAHGGRGRSSIDRHSSYSSGRGRGPSRRSEYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYDDMKYAIKKLDDSEFRNAFSRAYVRVKEYDSRRDSSRSPSRGRSLSRSRSRSRSRSRSRSRGRSYSRSKSRSKSPKAKPSRKSPEKSRSRSPRSRSASRSRSLSRYGYMQQTGDWILGSCGNMVQLHAIVWLQRLGTKETMDCNNRAGILYKELQ >KJB41925 pep chromosome:Graimondii2_0_v6:7:10245404:10248328:1 gene:B456_007G128300 transcript:KJB41925 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT4G02430) TAIR;Acc:AT4G02430] MSSRSSRTLYVGNLPGDVREREVEDLFYKYGPIAQIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFGGHRLRVELAHGGRGRSSIDRHSSYSSGRGRGPSRRSEYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYDDMKYAIKKLDDSEFRNAFSRAYVRVKEYDSRRDSSRSPSRGRSLSRSRSRSRSRSRSRSRGRSYSRSKSRSKSPKAKPSRKSPEKSRSRSPRSRSASRSRSLSRCTCFSLHIECLCCK >KJB42005 pep chromosome:Graimondii2_0_v6:7:10722980:10726040:-1 gene:B456_007G132400 transcript:KJB42005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLVMVTKGGGCGGGGGKGGAKGGVKSREEEQQNQISVLALLLAALRKSMVSCRVDSRDEVISSTLQHMEIGWPTNVRHITHVTFDRFNGFLGLPVEFQVEIPNRVPSASANVFGVSAESMQCSFDPKGNSVPTILLLMQERLYSQGGLKAEGIFRINPENGQEEHVRDQLNKGIVPDNIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLRCNTEEESVDLVKQLKPTEAALLNWAVGLMADVVEEEESNKMNARNIAMVFAPNMTRMSDPLTALMHAVQVMNLLKTLIIKTLRKREETAMGGYSPMSSHSCDCPTDEEFDSQPEMDTSCELRGPTSDYDNTLYSNCSADEDEIEAEVESLGEIEECFVRQPDEHKNITHSFFEEVVDESQRDDSSPRSCSVRSIESGVSFTDKKNKSSALCIGNGENIGDTDMVDKLDEPTKWDTSSLSIAG >KJB42006 pep chromosome:Graimondii2_0_v6:7:10723079:10725983:-1 gene:B456_007G132400 transcript:KJB42006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCRVDSRDEVISSTLQHMEIGWPTNVRHITHVTFDRFNGFLGLPVEFQVEIPNRVPSASANVFGVSAESMQCSFDPKGNSVPTILLLMQERLYSQGGLKAEGIFRINPENGQEEHVRDQLNKGIVPDNIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLRCNTEEESVDLVKQLKPTEAALLNWAVGLMADVVEEEESNKMNARNIAMVFAPNMTRMSDPLTALMHAVQVMNLLKTLIIKTLRKREETAMGGYSPMSSHSCDCPTDEEFDSQPEMDTSCELRGPTSDYDNTLYSNCSADEDEIEAEVESLGEIEECFVRQPDEHKNITHSFFEEVVDESQRDDSSPRSCSVRSIESGVSFTDKKNKSSALCIGNGENIGDTDMVDKLDEPTKWDTSSLSIAG >KJB42556 pep chromosome:Graimondii2_0_v6:7:13745009:13748088:1 gene:B456_007G157500 transcript:KJB42556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISCALKVVPIVLLLVLFALANAKKSADVTELQIGVKYKPPSCEFQAHKGDRVKVHYRGKLTDGKVFDSSFERGDPIEFELGSGQVIKGWDQGLLGMCVGEKRKLKIPAKLGYGEQGSPPTIPGGATLIFDTELVSVNGKPSSGEDTSEDEL >KJB42558 pep chromosome:Graimondii2_0_v6:7:13745033:13748088:1 gene:B456_007G157500 transcript:KJB42558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISCALKVVPIVLLLVLFALANAKKSADVTELQIGVKAHKGDRVKVHYRGKLTDGKVFDSSFERGDPIEFELGSGQVIKGWDQGLLGMCVGEKRKLKIPAKLGYGEQGSPPTIPGGATLIFDTELVSVNGKPSSGEDTSEDEL >KJB42557 pep chromosome:Graimondii2_0_v6:7:13745240:13747285:1 gene:B456_007G157500 transcript:KJB42557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISCALKVVPIVLLLVLFALANAKKSADVTELQIGVKYKPPSCEFQAHKGDRVKVHYRGKLTDGKVFDSSFERGDPIEFELGSGQVIKVHSLMPESDYAFGRMGPRIAGDVRW >KJB44751 pep chromosome:Graimondii2_0_v6:7:45468496:45468761:1 gene:B456_007G2708001 transcript:KJB44751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NRLITKVLNPGDVFVFPVGLIHFQFNIGNTKAVAFAGLSSQNPGVITIANAVFGSNPPINPDVLTKAFQLDKNIVTYLQSKFWWDNN >KJB43776 pep chromosome:Graimondii2_0_v6:7:23786729:23794311:-1 gene:B456_007G215200 transcript:KJB43776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEKDAGASKNGDVEVENWNSFLEKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLIFPQADKQTPWNHPDEENVPCGLHCYRTVLKLERNGTVSSPIDPEEKLNSSSDGVGARTSSYKKSSGSSARRKVKSCHSENASSNAKNLSESSDSEIGPRHEDASPIPQLSPSKNKIAGKSGILKRNSKRVAERVLICMRKRQKKMAASASGSAVSGGVSPIDIKLQSDVQKENEDVTSSSQNVKPPNTGRSRRKEWSLGVQGEFSEVPSSEMINGLAQATSNGGLRKEEFLDENLCEQAPNDDKSWKAIEKGLFEKGVEIFGNNSCLIARNLLNGLKTCWEVFQYMTCSGNKLACHAADGVMSLLDGYSKFDLNGSVGNNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCSCQTACGKQCSCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTGTLGVPPQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERINAGEELFYDYRYEPDRAPAWARKPEASGSKKEEVAPSSGRAKKLA >KJB43774 pep chromosome:Graimondii2_0_v6:7:23786895:23797486:-1 gene:B456_007G215200 transcript:KJB43774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSSPSASADRSGSPKDSSMTTDEKTPTVKEVLLIIDSLKTQLTADRSVYVKKRLEENRQKLGGITYHLYKLSNERRSSWISDTDSAPDLLTKRQKDALGMQNGIDASNGDKDRYSCQESSTAVLMGSSIPVKNAVRPIKLAEVKKLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEVIEEDEEKRDFVESEDFIVRMTIKQVGLSDPVLESLAQCLSRSPCEVKARYETLMKEEKDAGASKNGDVEVENWNSFLEKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLIFPQADKQTPWNHPDEENVPCGLHCYRTVLKLERNGTVSSPIDPEEKLNSSSDGVGARTSSYKKSSGSSARRKVKSCHSENASSNAKNLSESSDSEIGPRHEDASPIPQLSPSKNKIAGKSGILKRNSKRVAERVLICMRKRQKKMAASASGSAVSGGVSPIDIKLQSDVQKENEDVTSSSQNVKPPNTGRSRRKEWSLGVQGEFSEVPSSEMINGLAQATSNGGLRKEEFLDENLCEQAPNDDKSWKAIEKGLFEKGVEIFGNNSCLIARNLLNGLKTCWEVFQYMTCSGNKLACHAADGVMSLLDGYSKFDLNGSVGNNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCSCQTACGKQCSCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTGTLGVPPQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERINAGEELFYDYRYEPDRAPAWARKPEASGSKKEEVAPSSGRAKKLA >KJB43777 pep chromosome:Graimondii2_0_v6:7:23786729:23796782:-1 gene:B456_007G215200 transcript:KJB43777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLKFSSICLSQMHINFIILAIGLFIFTQMTTDEKTPTVKEVLLIIDSLKTQLTADRSVYVKKRLEENRQKLGGITYHLYKLSNERRSSWISDTDSAPDLLTKRQKDALGMQNGIDASNGDKDRYSCQESSTAVLMGSSIPVKNAVRPIKLAEVKKLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEVIEEDEEKRDFVESEDFIVRMTIKQVGLSDPVLESLAQCLSRSPCEVKARYETLMKEEKDAGASKNGDVEVENWNSFLEKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLIFPQADKQTPWNHPDEENVPCGLHCYRTVLKLERNGTVSSPIDPEEKLNSSSDGVGARTSSYKKSSGSSARRKVKSCHSENASSNAKNLSESSDSEIGPRHEDASPIPQLSPSKNKIAGKSGILKRNSKRVAERVLICMRKRQKKMAASASGSAVSGGVSPIDIKLQSDVQKENEDVTSSSQNVKPPNTGRSRRKEWSLGVQGEFSEVPSSEMINGLAQATSNGGLRKEEFLDENLCEQAPNDDKSWKAIEKGLFEKGVEIFGNNSCLIARNLLNGLKTCWEVFQYMTCSGNKLACHAADGVMSLLDGYSKFDLNGSVGNNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCSCQTACGKQCSCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTGTLGVPPQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERINAGEELFYDYRYEPDRAPAWARKPEASGSKKEEVAPSSGRAKKLA >KJB43775 pep chromosome:Graimondii2_0_v6:7:23786559:23797878:-1 gene:B456_007G215200 transcript:KJB43775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSSPSASADRSGSPKDSSMTTDEKTPTVKEVLLIIDSLKTQLTADRSVYVKKRLEENRQKLGGITYHLYKLSNERRSSWISDTDSAPDLLTKRQKDALGMQNGIDASNGDKDRYSCQESSTAVLMGSSIPVKNAVRPIKLAEVKKLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEVIEEDEEKRDFVESEDFIVRMTIKQVGLSDPVLESLAQCLSRSPCEVKARYETLMKEEKDAGASKNGDVEVENWNSFLEKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLIFPADKQTPWNHPDEENVPCGLHCYRTVLKLERNGTVSSPIDPEEKLNSSSDGVGARTSSYKKSSGSSARRKVKSCHSENASSNAKNLSESSDSEIGPRHEDASPIPQLSPSKNKIAGKSGILKRNSKRVAERVLICMRKRQKKMAASASGSAVSGGVSPIDIKLQSDVQKENEDVTSSSQNVKPPNTGRSRRKEWSLGVQGEFSEVPSSEMINGLAQATSNGGLRKEEFLDENLCEQAPNDDKSWKAIEKGLFEKGVEIFGNNSCLIARNLLNGLKTCWEVFQYMTCSGNKLACHAADGVMSLLDGYSKFDLNGSVGNNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCSCQTACGKQCSCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTGTLGVPPQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERINAGEELFYDYRYEPDRAPAWARKPEASGSKKEEVAPSSGRAKKLA >KJB43778 pep chromosome:Graimondii2_0_v6:7:23786895:23796307:-1 gene:B456_007G215200 transcript:KJB43778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGIDASNGDKDRYSCQESSTAVLMGSSIPVKNAVRPIKLAEVKKLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEVIEEDEEKRDFVESEDFIVRMTIKQVGLSDPVLESLAQCLSRSPCEVKARYETLMKEEKDAGASKNGDVEVENWNSFLEKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLIFPQADKQTPWNHPDEENVPCGLHCYRTVLKLERNGTVSSPIDPEEKLNSSSDGVGARTSSYKKSSGSSARRKVKSCHSENASSNAKNLSESSDSEIGPRHEDASPIPQLSPSKNKIAGKSGILKRNSKRVAERVLICMRKRQKKMAASASGSAVSGGVSPIDIKLQSDVQKENEDVTSSSQNVKPPNTGRSRRKEWSLGVQGEFSEVPSSEMINGLAQATSNGGLRKEEFLDENLCEQAPNDDKSWKAIEKGLFEKGVEIFGNNSCLIARNLLNGLKTCWEVFQYMTCSGNKLACHAADGVMSLLDGYSKFDLNGSVGNNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCSCQTACGKQCSCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTGTLGVPPQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERINAGEELFYDYRYEPDRAPAWARKPEASGSKKEEVAPSSGRAKKLA >KJB40580 pep chromosome:Graimondii2_0_v6:7:4931984:4932406:-1 gene:B456_007G069800 transcript:KJB40580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINAVNQTNTPTFYSKTQQNPLMGSALHEAFPTFQTTRGTTRKRTDYLKSQNKDSLREEEEEEEEEEEEEYNDDDERIEVKRKIVALQRIVPGGEALGVDKLFEETAGYILALQGQIRAMRVLASFIEGMDKQKRKLGG >KJB39179 pep chromosome:Graimondii2_0_v6:7:152339:155714:-1 gene:B456_007G001500 transcript:KJB39179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPFRGIKNDLKARLLCYKQDWTSGLRAGIRILAPTTYIFFASAIPVISFGEQLERSTDGSLTAVQTLTSTALCGIIHSVVGGQPLLILGVAEPTVLMYTFMFNFAKDRKDLGHKLFLAWTGWVCVWTALLLFLLAILGACSIINRFTRVAGELFGLLIAMLFMQQAIRGIVEEFGIPQRENPQQTALQPSWRFGNGMFALVLSFGLLLTAIRSRKARSWCYGTGWLRGLIADYGVPLMVLVWTAISYIPVNDVPREIPRRLYSPNPWSAGAYSNWTVIKEMVNVPPLYILGAFVPATMIAVLYYFDHSVASQLAQQKEFNLKKPPSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKCTSKNANLSQLYRSMQEAYNEIQTPLVYQLPSTLGLKHLKESTIRLASSTGCIDAPVDGTIFDVDKEIDELLPVEVKEQRLSNLLQALMVGGCVAAMPLLKKIPTAVLWGYFAFMAFESLPGNQFWERILLLFTAPSRRYKLLEEYHATFVETVPFKTIATFTLFQTIYLLVCFGITWIPLAGVLFPLLIMLLVPVRQYLLPMFFKAVHLQDLDAAEFEEASPIPYMAFEDLELEGRTTTTVDGAEILDQIITTSRGEIRRIQSPNTSSVFEKEYSPQIRQLSPSLTEKGLELSLTPSPAASTLGHSSRDSSSS >KJB39176 pep chromosome:Graimondii2_0_v6:7:152339:154777:-1 gene:B456_007G001500 transcript:KJB39176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMQQAIRGIVEEFGIPQRENPQQTALQPSWRFGNGMFALVLSFGLLLTAIRSRKARSWCYGTGWLRGLIADYGVPLMVLVWTAISYIPVNDVPREIPRRLYSPNPWSAGAYSNWTVIKEMVNVPPLYILGAFVPATMIAVLYYFDHSVASQLAQQKEFNLKKPPSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKCTSKNANLSQLYRSMQEAYNEIQTPLVYQLPSTLGLKHLKESTIRLASSTGCIDAPVDGTIFDVDKEIDELLPVEVKEQRLSNLLQALMVGGCVAAMPLLKKIPTAVLWGYFAFMAFESLPGNQFWERILLLFTAPSRRYKLLEEYHATFVETVPFKTIATFTLFQTIYLLVCFGITWIPLAGVLFPLLIMLLVPVRQYLLPMFFKAVHLQDLDAAEFEEASPIPYMAFEDLELEGRTTTTVDGAEILDQIITTSRGEIRRIQSPNTSSVFEKEYSPQIRQLSPSLTEKGLELSLTPSPAASTLGHSSRDSSSS >KJB39175 pep chromosome:Graimondii2_0_v6:7:151955:155891:-1 gene:B456_007G001500 transcript:KJB39175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVEEFGIPQRENPQQTALQPSWRFGNGMFALVLSFGLLLTAIRSRKARSWCYGTGWLRGLIADYGVPLMVLVWTAISYIPVNDVPREIPRRLYSPNPWSAGAYSNWTVIKEMVNVPPLYILGAFVPATMIAVLYYFDHSVASQLAQQKEFNLKKPPSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKCTSKNANLSQLYRSMQEAYNEIQTPLVYQLPSTLGLKHLKESTIRLASSTGCIDAPVDGTIFDVDKEIDELLPVEVKEQRLSNLLQALMVGGCVAAMPLLKKIPTAVLWGYFAFMAFESLPGNQFWERILLLFTAPSRRYKLLEEYHATFVETVPFKTIATFTLFQTIYLLVCFGITWIPLAGVLFPLLIMLLVPVRQYLLPMFFKAVHLQDLDAAEFEEASPIPYMAFEDLELEGRTTTTVDGAEILDQIITTSRGEIRRIQSPNTSSVFEKEYSPQIRQLSPSLTEKGLELSLTPSPAASTLGHSSRDSSSS >KJB39177 pep chromosome:Graimondii2_0_v6:7:151955:155891:-1 gene:B456_007G001500 transcript:KJB39177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPFRGIKNDLKARLLCYKQDWTSGLRAGIRILAPTTYIFFASAIPVISFGEQLERSTDGSLTAVQTLTSTALCGIIHSVVGGQPLLILGVAEPTVLMYTFMFNFAKDRKDLGHKLFLAWTGWVCVWTALLLFLLAILGACSIINRFTRVAGELFGLLIAMLFMQQAIRGIVEEFGIPQRENPQQTALQPSWRFGNGMFALVLSFGLLLTAIRSRKARSWCYGTDYGVPLMVLVWTAISYIPVNDVPREIPRRLYSPNPWSAGAYSNWTVIKEMVNVPPLYILGAFVPATMIAVLYYFDHSVASQLAQQKEFNLKKPPSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKCTSKNANLSQLYRSMQEAYNEIQTPLVYQLPSTLGLKHLKESTIRLASSTGCIDAPVDGTIFDVDKEIDELLPVEVKEQRLSNLLQALMVGGCVAAMPLLKKIPTAVLWGYFAFMAFESLPGNQFWERILLLFTAPSRRYKLLEEYHATFVETVPFKTIATFTLFQTIYLLVCFGITWIPLAGVLFPLLIMLLVPVRQYLLPMFFKAVHLQDLDAAEFEEASPIPYMAFEDLELEGRTTTTVDGAEILDQIITTSRGEIRRIQSPNTSSVFEKEYSPQIRQLSPSLTEKGLELSLTPSPAASTLGHSSRDSSSS >KJB39178 pep chromosome:Graimondii2_0_v6:7:151955:156338:-1 gene:B456_007G001500 transcript:KJB39178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPFRGIKNDLKARLLCYKQDWTSGLRAGIRILAPTTYIFFASAIPVISFGEQLERSTDGSLTAVQTLTSTALCGIIHSVVGGQPLLILGVAEPTVLMYTFMFNFAKDRKDLGHKLFLAWTGWVCVWTALLLFLLAILGACSIINRFTRVAGELFGLLIAMLFMQQAIRGIVEEFGIPQRENPQQTALQPSWRFGNGMFALVLSFGLLLTAIRSRKARSWCYGTGWLRGLIADYGVPLMVLVWTAISYIPVNDVPREIPRRLYSPNPWSAGAYSNWTVIKEMVNVPPLYILGAFVPATMIAVLYYFDHSVASQLAQQKEFNLKKPPSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKCTSKNANLSQLYRSMQEAYNEIQTPLVYQLPSTLGLKHLKESTIRLASSTGCIDAPVDGTIFDVDKEIDELLPVEVKEQRLSNLLQALMVGGCVAAMPLLKKIPTAVLWGYFAFMAFESLPGNQFWERILLLFTAPSRRYKLLEEYHATFVETVPFKTIATFTLFQTIYLLVCFGITWIPLAGVLFPLLIMLLVPVRQYLLPMFFKAVHLQDLDAAEFEEASPIPYMAFEDLELEGRTTTTVDGAEILDQIITTSRGEIRRIQSPNTSSVFEKEYSPQIRQLSPSLTEKGLELSLTPSPAASTLGHSSRDSSSS >KJB39174 pep chromosome:Graimondii2_0_v6:7:151955:155525:-1 gene:B456_007G001500 transcript:KJB39174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTFMFNFAKDRKDLGHKLFLAWTGWVCVWTALLLFLLAILGACSIINRFTRVAGELFGLLIAMLFMQQAIRGIVEEFGIPQRENPQQTALQPSWRFGNGMFALVLSFGLLLTAIRSRKARSWCYGTGWLRGLIADYGVPLMVLVWTAISYIPVNDVPREIPRRLYSPNPWSAGAYSNWTVIKEMVNVPPLYILGAFVPATMIAVLYYFDHSVASQLAQQKEFNLKKPPSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKCTSKNANLSQLYRSMQEAYNEIQTPLVYQLPSTLGLKHLKESTIRLASSTGCIDAPVDGTIFDVDKEIDELLPVEVKEQRLSNLLQALMVGGCVAAMPLLKKIPTAVLWGYFAFMAFESLPGNQFWERILLLFTAPSRRYKLLEEYHATFVETVPFKTIATFTLFQTIYLLVCFGITWIPLAGVLFPLLIMLLVPVRQYLLPMFFKAVHLQDLDAAEFEEASPIPYMAFEDLELEGRTTTTVDGAEILDQIITTSRGEIRRIQSPNTSSVFEKEYSPQIRQLSPSLTEKGLELSLTPSPAASTLGHSSRDSSSS >KJB39527 pep chromosome:Graimondii2_0_v6:7:1372035:1374635:-1 gene:B456_007G018300 transcript:KJB39527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCTATARRNGTQSCLFRCEALAWSGICTLNYLVDQHDFITNWDDIEQIWYHTCHNEFHVDPQKHPVLLTEAPHNSKSKRQKMAEIMFENFKIPAMYIPIPAVISLHAAKRTTVTPPYLPPAWVMESLRNFQLLCRVILRLRLSHHRKNIIGASVLASHKTLPQH >KJB41803 pep chromosome:Graimondii2_0_v6:7:9668186:9669876:-1 gene:B456_007G122300 transcript:KJB41803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSVSPKLASDDSELNPTGTQASKRRKVVEKIVVTVKVEENGGKLKSEGPPSDLWSWRKYGQKPIKGSPYPRCYYRCSTSKGCSAKKQVERCKTDASMLIITYTSSHNHPGPNLHCADLKQSPKEPETTHSDDDKPPTTTLKQLPEVSEKEPEEVKKEQNKTSSTDEDASEEQHFHYLQSPLSFPQNNIISPEDPFNGNLEKTHDTLGFLLDEEPLSCLHITTSSIPKSEENDFFDELEELPISSAFTSLMMRSKFWDEGIPVVPS >KJB41806 pep chromosome:Graimondii2_0_v6:7:9668138:9669908:-1 gene:B456_007G122300 transcript:KJB41806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSVSPKLASDDSELNPTGTQASKRRKVVEKIVVTVKVEENGGKLKSEGPPSDLWSWRKYGQKPIKGSPYPRGYYRCSTSKGCSAKKQVERCKTDASMLIITYTSSHNHPGPNLHCADLKQSPKEPETTHSDDDKPPTTTLKQLPEVSEKEPEEVKKEQNKTSSTDEDASEEQHFHYLQSPLSFPQNNIISPEDPFNGNLEKTHDTLGFLLDEEPLSCLHITTSSIPKSEENDFFDELEELPISSAFTSLMMRSKFWDEGIPVVPS >KJB41802 pep chromosome:Graimondii2_0_v6:7:9668171:9670097:-1 gene:B456_007G122300 transcript:KJB41802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSVSPKLASDDSELNPTGTQASKRRKVVEKIVVTVKVEENGGKLKSEGPPSDLWSWRKYGQKPIKGSPYPRGYYRCSTSKGCSAKKQVERCKTDASMLIITYTSSHNHPGPNLHCADLKQSPKEPETTHSDDDKPPTTTLKQLPEVSEKEPEEVKKEQNKTSSTDEDASEEQHFHYLQSPLSFPQNNIISPEDPFNGNLEKTHDTLGFLLDEEPLSCLHITTSSIPKSEENDFFDELEELPISSAFTSLMMRSKFWDEGIPVVPS >KJB41799 pep chromosome:Graimondii2_0_v6:7:9668138:9669849:-1 gene:B456_007G122300 transcript:KJB41799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSVSPKLASDDSELNPTGTQASKRSRKVVEKIVVTVKVEENGGKLKSEGPPSDLWSWRKYGQKPIKGSPYPRGYYRCSTSKGCSAKKQVERCKTDASMLIITYTSSHNHPGPNLHCADLKQSPKEPETTHSDDDKPPTTTLKQLPEVSEKEPEEVKKEQNKTSSTDEDASEEQHFHYLQSPLSFPQNNIISPEDPFNGNLEKTHDTLGFLLDEEPLSCLHITTSSIPKSEENDFFDELEELPISSAFTSLMMRSKFWDEGIPVVPS >KJB41801 pep chromosome:Graimondii2_0_v6:7:9668138:9670055:-1 gene:B456_007G122300 transcript:KJB41801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSVSPKLASDDSELNPTGTQASKRRKVVEKIVVTVKVEENGGKLKSEGPPSDLWSWRKYGQKPIKGSPYPRGYYRCSTSKGCSAKKQVERCKTDASMLIITYTSSHNHPGPNLHCADLKQSPKEPETTHSDDDKPPTTTLKQLPEVSEKEPEEVKKEQNKTSSTDEDASEEQHFHYLQSPLSFPQNNIISPEDPFNGNLEKTHDTLGFLLDEEPLSCLHITTSSIPKSEENDFFDELEELPISSAFTSLMMRSKFWDEGIPVVPS >KJB41800 pep chromosome:Graimondii2_0_v6:7:9668186:9670069:-1 gene:B456_007G122300 transcript:KJB41800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSVSPKLASDDSELNPTGTQASKRRKVVEKIVVTVKVEENGGKLKSEGPPSDLWSWRKYGQKPIKGSPYPRGYYRCSTSKGCSAKKQVERCKTDASMLIITYTSSHNHPGPNLHCADLKQSPKEPETTHSDDDKPPTTTLKQLPEVSEKEPEEVKKEQNKTSSTDEDASEEQHFHYLQSPLSFPQNNIISPEDPFNGNLEKTHDTLGFLLDEEPLSCLHITTSSIPKSEENDFFDELEELPISSAFTSLMMRSKFWDEGIPVVPS >KJB41805 pep chromosome:Graimondii2_0_v6:7:9668186:9670016:-1 gene:B456_007G122300 transcript:KJB41805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNSTQLVLRHPKEGITFLSLRYVVFSRKVVEKIVVTVKVEENGGKLKSEGPPSDLWSWRKYGQKPIKGSPYPRGYYRCSTSKGCSAKKQVERCKTDASMLIITYTSSHNHPGPNLHCADLKQSPKEPETTHSDDDKPPTTTLKQLPEVSEKEPEEVKKEQNKTSSTDEDASEEQHFHYLQSPLSFPQNNIISPEDPFNGNLEKTHDTLGFLLDEEPLSCLHITTSSIPKSEENDFFDELEELPISSAFTSLMMRSKFWDEGIPVVPS >KJB41804 pep chromosome:Graimondii2_0_v6:7:9668186:9669940:-1 gene:B456_007G122300 transcript:KJB41804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNSTQLVLRHPKEGITFLSLRYVVFSRKVVEKIVVTVKVEENGGKLKSEGPPSDLWSWRKYGQKPIKGSPYPRGYYRCSTSKGCSAKKQVERCKTDASMLIITYTSSHNHPGPNLHCADLKQSPKEPETTHSDDDKPPTTTLKQLPEVSEKEPEEVKKEQNKTSSTDEDASEEQHFHYLQSPLSFPQNNIISPEDPFNGNLEKTHDTLGFLLDEEPLSCLHITTSSIPKSEENDFFDELEELPISSAFTSLMMRSKFWDEGIPVVPS >KJB39973 pep chromosome:Graimondii2_0_v6:7:2807900:2812726:1 gene:B456_007G040300 transcript:KJB39973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLVVATSADPASIGPASSLLAMPGWHPGPSLQDDASYTNKEVRLIKLDKRLVVENHLDKRWEEATGETVDDVVFLSKHVASSNRPALTIHPIGTPHLREGEALTAGGKPGWAAPPNPRIGPWFRLLKNIANSHNLVPEFEVTLEATHHGPEINSPTMFVEIGSTEEYWKRQDAAQAIALLVWEGLGLGERIAVGNWSRDNDRNKILLGIGGGHYVPRHMDIVRKDGVWIGHMLPGYSLLMEDPREAKSPTNSTVVGGTWRETIRVAFETTKLAFPGGEVLAHLDHKSFKGWQRNAIIRFLTEQNIKIGKPSDFCPC >KJB39970 pep chromosome:Graimondii2_0_v6:7:2807900:2811916:1 gene:B456_007G040300 transcript:KJB39970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLVVATSADPASIGPASSLLAMPGWHPGPSLQDDASYTNKEVRLIKLDKRLVVENHLDKRWEEATGETVDDVVFLSKHVASSNRPALTIHPIGTPHLREGEALTAGGKPGWAAPPNPRIGPWFRLLKNIANSHNLVPEFEVTLEATHHGPEINSPTMFVEIGSTEEYWKRQDAAQAIALLVWEGLGLGERIAVGNWSRDNDRNKILLGIGGGHYVPRHMDIVRKDGVWIGHMLPGYSLLMEDPREAKSPTNSTVVGGTWRETIRVAFETTKLAFPGGEVLAHLDHK >KJB39972 pep chromosome:Graimondii2_0_v6:7:2807900:2812682:1 gene:B456_007G040300 transcript:KJB39972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLVVATSADPASIGPASSLLAMPGWHPGPSLQDDASYTNKEVRLIKLDKRLVVENHLDKRWEEATGETVDDVVFLSKHVASSNRPALTIHPIGTPHLREGEALTAGGKPGWAAPPNPRIGPWFRLLKNIANSHNLVPEFEVTLEATHHGPEINSPTMFVEIGSTEEYWKRQDAAQAIALLVWEGLGLGERIAVGNWSRDNDRNKILLGIGGGHYVPRHMDIVRKDGVWIGHMLPGYSLLMEDPREAKSPTNSTVVGGTWRETIRVAFETTKLAFPGGEVLAHLDHKSFKGWQRNAIIRFLTEQNIKIGKPSDFCPC >KJB39971 pep chromosome:Graimondii2_0_v6:7:2807900:2812682:1 gene:B456_007G040300 transcript:KJB39971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLVVATSADPASIGPASSLLAMPGWHPGPSLQDDASYTNKEVRLIKLDKRLVVENHLDKRWEEATGETVDDVVFLSKHVASSNRPALTIHPIGTPHLREGEALTAGGKPGWAAPPNPRIGPWFRLLKNIANSHNLVPEFEVTLEATHHGPEINSPTMFVEIGSTEEYWKRQDAAQAIALLVWEGLGLGERIAVGNWSRDNDRNKILLGIGGGHYVPRHMDIVRKDGVWIGHMLPGYSLLMEDPREAKSPTNSTVVGGTWRETIRVAFETTKLAFPGGEVLAHLDHKSFKGWQRNAIIRFLTEQNIKIGKPSDFCPC >KJB45687 pep chromosome:Graimondii2_0_v6:7:53622466:53622778:1 gene:B456_007G320700 transcript:KJB45687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDRVNEIIPRFISKTSRLALAEHSPLKGQLKNNNLYVYGAWTAETEKPPIPSSLVRCNLDFLGRALNTQPTYLFWPLHCRGIMGRVCAGFR >KJB45891 pep chromosome:Graimondii2_0_v6:7:56005259:56008897:1 gene:B456_007G335500 transcript:KJB45891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRSIMDRKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMADESVKSFKGDRQGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPASKKWTTKSTGTASCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSDEKDLMGKALMKRVMQTWLPASSALLEMMIFHLPSPTKAQKYRVENLYEGPLDDIYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVEAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIVKSDPVVSFRETVLERSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILAEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQIIPTARRVFYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMPSDPLEPNSQAANHVAEIRRRKGLKEQMTPLSEYEDKL >KJB42643 pep chromosome:Graimondii2_0_v6:7:14123258:14124687:1 gene:B456_007G161500 transcript:KJB42643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNCSSPKTIPVVSTFTANGSDSSSTRKPISLWPGMYHSPVTNALWEARSKIFERLLDPPKDAPPQSELLTKTPSQSRTTIFYNFSSDYILREQYRDPWNEVRMGMLLEDLDALAGTISVKHCSDDDSTTRPLLLVTAAVDKIVLKKPISVDIDLKIVGSVIWVGRSSIEIQLDVIQSLKEESDVSHSVALAANFIFVARDSKTGKAAAVNRLSPETEREKFLFEEAEARSKLRKKKRVDRRKLENGEVNQLEPLLAEGRIFCDMPALADRDSILLRDTRLENALICQPQQRNIHGRIFGGFLMHRAFELAFSTAYVFAGLVPCFLEVDHVDFLRPVSTFLLSVQVLALNSLCLI >KJB42645 pep chromosome:Graimondii2_0_v6:7:14123173:14126506:1 gene:B456_007G161500 transcript:KJB42645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNCSSPKTIPVVSTFTANGSDSSSTRKPISLWPGMYHSPVTNALWEARSKIFERLLDPPKDAPPQSELLTKTPSQSRTTIFYNFSSDYILREQYRDPWNEVRMGMLLEDLDALAGTISVKHCSDDDSTTRPLLLVTAAVDKIVLKKPISVDIDLKIVGSVIWVGRSSIEIQLDVIQSLKEESDVSHSVALAANFIFVARDSKTGKAAAVNRLSPETEREKFLFEEAEARSKLRKKKRVDRRKLENGEVNQLEPLLAEGRIFCDMPALADRDSILLRDTRLENALICQPQQRNIHGRIFGGFLMHRAFELAFSTAYVFAGLVPCFLEVDHVDFLRPVDVGDFLRLTSCVLYTKLENPDQPLINVEVVAHVTRPEIRSSEVKPCKMHCYRTKKLLCS >KJB42644 pep chromosome:Graimondii2_0_v6:7:14123173:14126506:1 gene:B456_007G161500 transcript:KJB42644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNCSSPKTIPVVSTFTANGSDSSSTRKPISLWPGMYHSPVTNALWEARSKIFERLLDPPKDAPPQSELLTKTPSQSRTTIFYNFSSDYILREQYRDPWNEVRMGMLLEDLDALAGTISVKHCSDDDSTTRPLLLVTAAVDKIVLKKPISVDIDLKIVGSVIWVGRSSIEIQLDVIQSLKEESDVSHSVALAANFIFVARDSKTGKAAAVNRLSPETEREKFLFEEAEARSKLRKKKRVDRRKLENGEVNQLEPLLAEGRIFCDMPALADRDSILLRDTRLENALICQPQQRNIHGRIFGGFLMHRAFELAFSTAYVFAGLVPCFLEVDHVDFLRPVSTFLLSVQVLALNSLCLI >KJB42642 pep chromosome:Graimondii2_0_v6:7:14123090:14126901:1 gene:B456_007G161500 transcript:KJB42642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNCSSPKTIPVVSTFTANGSDSSSTRKPISLWPGMYHSPVTNALWEARSKIFERLLDPPKDAPPQSELLTKTPSQSRTTIFYNFSSDYILREQYRDPWNEVRMGMLLEDLDALAGTISVKHCSDDDSTTRPLLLVTAAVDKIVLKKPISVDIDLKIVGSVIWVGRSSIEIQLDVIQSLKEESDVSHSVALAANFIFVARDSKTGKAAAVNRLSPETEREKFLFEEAEARSKLRKKKRVDRRKLENGEVNQLEPLLAEGRIFCDMPALADRDSILLRDTRLENALICQPQQRNIHGRIFGGFLMHRAFELAFSTAYVFAGLVPCFLEVDHVDFLRPVDVGDFLRLTSCVLYTKLENPDQPLINVEVVAHVTRPEIRSSEVSNTFYFTFSVRPEAKATKNGFKIRNVVPATEEEARRILERMDAEMPQQNQ >KJB42599 pep chromosome:Graimondii2_0_v6:7:14104808:14108123:1 gene:B456_007G161200 transcript:KJB42599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHIVKLPFIWRCCDSSNSTLFFLSKSYSPLHGRLFKSHLHRSFAVSKSEKLQIPKRKKRLDELCLERFQQYSRTFIQSWILQGKVYVNGKVVNKAGTPVSDKAVVEIIAEIPKYVCRAGYKLEAAIEQLGVDVAGKIALDSGLSTGGFTDCLLQYGASYVYGVDVGYGQVADKIRRDERVCVIERTNLRYLSGLPQKVDLVTLDLSFISILLVMPAVVNAMKEEATLVTLVKPQFEARRSQVGSGGIVRDPKVHQEVLEKIIKGVENFGFQSKGWIESPLKGAEGNTEFLVCFSRISEKSSE >KJB39962 pep chromosome:Graimondii2_0_v6:7:2790796:2793073:-1 gene:B456_007G040100 transcript:KJB39962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAIALHGGAGDIPHSLPPDRRLPREAGLRHCLDVGIAALKAHKHPLDVVELVVRELENYPHFNAGKGSVLTSSGTVEMEASIMDGKSKKCGAVSGLSTVVNAVSLARLVMEKTPHIYLGFHGAEAFAREQGVEAADPSYFITPENIERLKQAQDANRVQIDYTQPVQKEAKDAAATIPNGDSQIGTVGCVAVDSEGNLASATSTGGLVNKMAGRIGDSPIIGAGTYANHLCAVSATGKGEAMIRGTVARDVAAVMEFKGLSLKEAAAYVIDQVPPGNAGLVAVSSTGEIATVFNTTGMFRACASEDGYSEVAIWDSPLK >KJB41256 pep chromosome:Graimondii2_0_v6:7:7150812:7154197:1 gene:B456_007G096900 transcript:KJB41256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGHADGLSEHRSPRDQLLAGPESLEDDFKLDSSETILYAASFEELARDNLQYDTIIWVSISLLLVLAWGVGIIMLLYLPFRRYVLQKDISSRKLYVTPSEIVYEVSRPSFIPFWGTITIEKHVPLSKVIDIIIEQGWLQSVYGIHTFRVESIAHGKAAPVDELQVQGVANPALLRRVIVREAAKAIQEVGRGWKLSTVTGEVETASRMTSSFTEGQPILRSPAKSWKMTASPRYSSVERRAMVPGELFMQKLEEVNKSVKKIEFLIEKSQASSEPEGS >KJB41255 pep chromosome:Graimondii2_0_v6:7:7151022:7154197:1 gene:B456_007G096900 transcript:KJB41255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGHADGLSEHRSPRDQLLAGPESLEDDFKLDSSETILYAASFEELARDNLQYDTIIWVSISLLLVLAWGVGIIMLLYLPFRRYVLQKDISSRKLYVTPSEIVYEVSRPSFIPFWGTITIEKHVPLSKVIDIIIEQGWLQSVYGIHTFRVESIAHGKAAPVDELQVQGVANPALLRRVIVREAAKAIQEVGRGWKLSTVTGEVETASRMTSSFTEGQPILRSPAKSWKMTASPRYSSVERRAMVPGELFMQKLEEVNKSVKKIEFLIEKSQASSEPEGS >KJB40576 pep chromosome:Graimondii2_0_v6:7:4929421:4930845:1 gene:B456_007G069700 transcript:KJB40576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYSKGTLEVEDSQNVQVYEEENKEEFGVVNGGEDDSNSSSSSSDKEDNSEEKLVSESVGVSLTEDTEPSVEKLGDSDVAELDDKETEENVSPSLELDHTNAKETEELSAAETTVVSELSTDVESKETGQDETNDSRVGGAVVADQGITDDKGGNPSGSPELSSNQNAEDSLEAANVPPDETRDAGEVEDKPVMHESIENQTILSVGNRSLQPTSWKSCCGLFEVLRRSDR >KJB40578 pep chromosome:Graimondii2_0_v6:7:4929421:4930759:1 gene:B456_007G069700 transcript:KJB40578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYSKGTLEVEDSQNVQVYEEENKEEFGVVNGGEDDSNSSSSSSDKEDNSEEKLVSESVGVSLTEDTEPSVEKLGDSDVAELDDKETEENVSPSLELDHTNAKETEELSAAETTVVSELSTDVESKETGQDETNDSRVGGAVVADQGITDDKGGNPSGSPELSSNQNAEDSLEAANVPPDETRDAGEVEDKPVMHESIENQTILSVGNRSLQPTSWKSCCGLFEVLRRSDR >KJB40579 pep chromosome:Graimondii2_0_v6:7:4929421:4931269:1 gene:B456_007G069700 transcript:KJB40579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYSKGTLEVEDSQNVQVYEEENKEEFGVVNGGEDDSNSSSSSSDKEDNSEEKLVSESVGVSLTEDTEPSVEKLGDSDVAELDDKETEENVSPSLELDHTNAKETEELSAAETTVVSELSTDVESKETGQDETNDSRVGGAVVADQGITDDKGGNPSGSPELSSNQNAEDSLEAANVPPDETRDAGEVEDKPVMHESIENQTILSVGNRSLQPTSWKSCCGLFEVLRRSDR >KJB40577 pep chromosome:Graimondii2_0_v6:7:4929321:4931296:1 gene:B456_007G069700 transcript:KJB40577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYSKGTLEVEDSQNVQVYEEENKEEFGVVNGGEDDSNSSSSSSDKEDNSEEKLVSESVGVSLTEDTEPSVEKLGDSDVAELDDKETEENVSPSLELDHTNAKETEELSAAETTVVSELSTDVESKETGQDETNDSRVGGAVVADQGITDDKGGNPSGSPELSSNQNAEDSLEAANVPPDETRDAGEVEDKPVMHESIENQTILSVGNRSLQPTSWKSCCGLFEVLRRSDR >KJB40060 pep chromosome:Graimondii2_0_v6:7:3124196:3129312:-1 gene:B456_007G044900 transcript:KJB40060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSEISIKGNCVNGRGDGFSSGYTEPRDTRNAMEGQNGHSARTAAVRETVDHERALYTELWHACAGPLVTVPRELERVFYFPQGHIEQVEASTHQVSEQQMPVYDLPPKILCRVINVQLKAEPDTDEVFAQVTLLPEHNQDENMVDKEPPIHEPPRFQVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSLQPPTQELVSKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRALRQQGNVPSSVISSHSMHLGVLATAWHAYTTRTIFTVYYKPRTSPAEFIVPFNQYMESVKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDADPKRWQGSKWRCLKVRWDETSTIPRPERVSPWKIEHALSPPALNPLPMPRPKRPRTNAVSSSPDSSVLSREGSSKVTVDPLPASSFSRVLQGQEFSTLRGTFAESNDSDTADRSVMWPPSIDDEKIDVAHGERKFGPENWMPSRRHEPTYTDLLSGFGSNADTSRGYYPSFVDQTSVAGNSGKKQLLGQEGKLGSWSLLPSGLSLKLSDSSTDPPLQGSDVPYQARGNGRFSGFGDYPILEGHRIECSRGNWLMPPPTTSCYDNSIHSRDLMPKTSLAQEHKNGKSREGNCKLFGIPLISTSSASEPAVSHISAFAKPVGHMQAALHQFHALESDKRSENSNASQMAEDVSAFNEQEKIVKLGQPHAREFQSKLSTASTRSCTKVLSLVFDS >KJB40059 pep chromosome:Graimondii2_0_v6:7:3123879:3129475:-1 gene:B456_007G044900 transcript:KJB40059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSEISIKGNCVNGRGDGFSSGYTEPRDTRNAMEGQNGHSARTAAVRETVDHERALYTELWHACAGPLVTVPRELERVFYFPQGHIEQVEASTHQVSEQQMPVYDLPPKILCRVINVQLKAEPDTDEVFAQVTLLPEHNQDENMVDKEPPIHEPPRFQVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSLQPPTQELVSKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRALRQQGNVPSSVISSHSMHLGVLATAWHAYTTRTIFTVYYKPRTSPAEFIVPFNQYMESVKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDADPKRWQGSKWRCLKVRWDETSTIPRPERVSPWKIEHALSPPALNPLPMPRPKRPRTNAVSSSPDSSVLSREGSSKVTVDPLPASSFSRVLQGQEFSTLRGTFAESNDSDTADRSVMWPPSIDDEKIDVAHGERKFGPENWMPSRRHEPTYTDLLSGFGSNADTSRGYYPSFVDQTSVAGNSGKKQLLGQEGKLGSWSLLPSGLSLKLSDSSTDPPLQGSDVPYQARGNGRFSGFGDYPILEGHRIECSRGNWLMPPPTTSCYDNSIHSRDLMPKTSLAQEHKNGKSREGNCKLFGIPLISTSSASEPAVSHISAFAKPVGHMQAALHQFHALESDKRSENSNASQMAEDVSAFNEQEKIVKLGQPHAREFQSKLSTASTRSCTKVLMQGTALGRSVDLTKFNNYDELIAELDQLFEFGGELMAPQKNWLVVYTDDEGDMMLVGDDPWQEFCAMVRKIGIYTREEVQKMKPGSLGSKFEDIAIPTEGTVAKEVNCPSASSAKNCSG >KJB40061 pep chromosome:Graimondii2_0_v6:7:3124196:3129312:-1 gene:B456_007G044900 transcript:KJB40061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSEISIKGNCVNGRGDGFSSGYTEPRDTRNAMEGQNGHSARTAAVRETVDHERALYTELWHACAGPLVTVPRELERVFYFPQGHIEQVEASTHQVSEQQMPVYDLPPKILCRVINVQLKAEPDTDEVFAQVTLLPEHNQDENMVDKEPPIHEPPRFQVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSLQPPTQELVSKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRALRQQGNVPSSVISSHSMHLGVLATAWHAYTTRTIFTVYYKPRTSPAEFIVPFNQYMESVKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDADPKRWQGSKWRCLKVRWDETSTIPRPERVSPWKIEHALSPPALNPLPMPRPKRPRTNAVSSSPDSSVLSREGSSKVTVDPLPASSFSRVLQGQEFSTLRGTFAESNDSDTADRSVMWPPSIDDEKIDVAHGERKFGPENWMPSRRHEPTYTDLLSGFGSNADTSRGYYPSFVDQTSVAGNSGKKQLLGQEGKLGSWSLLPSGLSLKLSDSSTDPPLQGSDVPYQARGNGRFSGFGDYPILEGHRIECSRGNWLMPPPTTSCYDNSIHSRDLMPKTSLAQEHKNGKSREGNCKLFGIPLISTSSASEPAVSHISAFAKPVGHMQAALHQFHALESDKRSENSNASQMAEDVSAFNEQEKIVKLGQPHAREFQSKLSTASTRSCTKVLMQGTALGRSVDLTKFNNYDELIAELDQLFEFGGELMAPQKNWLVVYTDDEGDMMLVGDDPWQ >KJB44335 pep chromosome:Graimondii2_0_v6:7:38060858:38064972:-1 gene:B456_007G246600 transcript:KJB44335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRASEKKKLKRKEILEKKKAADQLLKAASAQIDHLTSFPSFCYFNKNGLCLRLESGSGDKLSNCTKQHIQKLLKANMMGPYGSEWAVEEKLKRREMVAPQARYIFVYWNANEMMDNAFTPLLGFLHFRFTLEEEIPVLYLYELQLDSSVQGKGLGKFLMQLVELIAQENRMGAVVLTVQKSNSLAMKFYISKIRYVVSSISPSRVDPLVGVEKNYEILCKTFDHEAKAILEDC >KJB44337 pep chromosome:Graimondii2_0_v6:7:38060859:38064898:-1 gene:B456_007G246600 transcript:KJB44337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRASEKKKLKRKEILEKKKAADQLLKAASAQIDHLTSFPSFCYFNKNGLCLRLESGSGDKLSNCTKQHIQKLLKANMMGPYGSEWAVEEKLKRREMVAPQARYIFVYWNANEMMDNAFTPLLGFLHFRFTLEEEIPVLYLYELQLDSSVQGKGLGKFLMQLVELIAQENRMGAVVLTVQKSNSLAMKFYISKIRYVVSSISPSRVDPLVGVEKNYEILCKTFDHEAKAILEDC >KJB44334 pep chromosome:Graimondii2_0_v6:7:38061220:38063523:-1 gene:B456_007G246600 transcript:KJB44334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRASEKKKLKRKEILEKKKAADQLLKAASAQIDHLTSFPSFCYFNKNGLCLRLESGSGDKLSNCTKQHIQKLLKANMMGPYGSEWAVEEKLKRREMVAPQARYIFVYWNANEMMDNAFTPLLGFLHFRFTLEEEIPVLYLYELQLDSSVQGKGLGKFLMQLVELIAQENRMGAVVLTVQKSNSLAMKFYISKIRYVVSSISPSRVDPLVGVEKNYEILCKTFDHEAKAILEVYSVQHRTARRVGFMAAFSDLKFED >KJB44336 pep chromosome:Graimondii2_0_v6:7:38061269:38063202:-1 gene:B456_007G246600 transcript:KJB44336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLFIKELTMLIKSLLGLCLRLESGSGDKLSNCTKQHIQKLLKANMMGPYGSEWAVEEKLKRREMVAPQARYIFVYWNANEMMDNAFTPLLGFLHFRFTLEEEIPVLYLYELQLDSSVQGKGLGKFLMQLVELIAQENRMGAVVLTVQKSNSLAMKFYISKIRYVVSSISPSRVDPLVGVEKNYEILCKTFDHEAKAILEDC >KJB45452 pep chromosome:Graimondii2_0_v6:7:52002762:52006964:-1 gene:B456_007G306500 transcript:KJB45452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIYRLDDTVIACSNDVVRVNDFAAAVTTDFLSTPVDDHHLLQFDHQETDTGVTGPSAMSDLIKTQIAAHPLYPNLVSAYIECQKVGAPPELASLLEEIGRESHTISGCSEIGADPELDEFMESYCEVLHRYKEELSKPFDEATTFLTNIESQLSDLCKGALTMDYRSDEGAESSDEELSGWELVEACESQEWHGGRRSQDIKGMLMRKYSGYLSSLRKEFLKKRKNGKLPKDARLTLLDWWNNHYRWPYPTGWVREEEERWLRV >KJB45451 pep chromosome:Graimondii2_0_v6:7:52002150:52006964:-1 gene:B456_007G306500 transcript:KJB45451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIYRLDDTVIACSNDVVRVNDFAAAVTTDFLSTPVDDHHLLQFDHQETDTGVTGPSAMSDLIKTQIAAHPLYPNLVSAYIECQKVGAPPELASLLEEIGRESHTISGCSEIGADPELDEFMESYCEVLHRYKEELSKPFDEATTFLTNIESQLSDLCKGALTMDYRSDEGAESSDEELSGWELVEACESQEWHGGRRSQDIKGMLMRKYSGYLSSLRKEFLKKRKNGKLPKDARLTLLDWWNNHYRWPYPTEEEKLKLSEMTGLDQKQINNWFINQRKRHWKPSEDMKFALMEDVAGSIRGGPPYFDTGAGTGSADDI >KJB43088 pep chromosome:Graimondii2_0_v6:7:17583358:17584753:-1 gene:B456_007G183500 transcript:KJB43088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDSEPKLTLSWKDKTFGCEIFETEDYEKVLIVQPWTTDSNSMQPYSNVVKARIRLPGLPGFLYKRRILKEIGGMIGKISKIDFNTDSRMRGKFARMTVHVNFDKPLTSQVLINDTLQWVEFESLPLICFGCGRYDHLKDQPNTLGNGTGFGPIEKGDSAANSPVFVQMEQRAVALEIQPSGILDLNLDSNMV >KJB41713 pep chromosome:Graimondii2_0_v6:7:9108434:9109913:-1 gene:B456_007G116500 transcript:KJB41713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQYRKFKPEANYLSTTTTILKTQKSKRLLFLLCWFSEMADEPFLSPQSKPEFRHILDDSHHGFYLTIPQSISTPALLLSEIEDHPQIQPPPRFDYPVPSTGSKKPGSLKRCKTAPAMAVMRDLKPKTPQVPKPQSESSSIIRQAVFLLSIYLILGVVIYSFNRDEFSGIETHPVVDALYFCIVTMCTIGYGDIAPLTPATKIFACVFVLVGFGFIDILLSGVVNYVLDLQENMILTGIQMEKSQQGFSARDYIVDVDKGRMRIRLKVSLALGVVVLCIGIGSLILYFVESLDWVDSIYLSVMSVTTVGYGDRAFKTLPGRLFAGVWLLISTLAVARAFLYLAEARVNKRHRRIAKWVLHRDITIEDLLAADINNNGFIRSGFYLSSKSEYVIYKLKEMGKVREKDILQICNQFSKLDTNNSGKITLPDLLKYQM >KJB41712 pep chromosome:Graimondii2_0_v6:7:9107955:9109994:-1 gene:B456_007G116500 transcript:KJB41712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQYRKFKPEANYLSTTTTILKTQKSKRLLFLLCWFSEMADEPFLSPQSKPEFRHILDDSHHGFYLTIPQSISTPALLLSEIEDHPQIQPPPRFDYPVPSTGSKKPGSLKRCKTAPAMAVMRDLKPKTPQVPKPQSESSSIIRQAVFLLSIYLILGVVIYSFNRDEFSGIETHPVVDALYFCIVTMCTIGYGDIAPLTPATKIFACVFVLVGFGFIDILLSGVVNYVLDLQENMILTGIQMEKSQQGFSARDYIVDVDKGRMRIRLKVSLALGVVVLCIGIGSLILYFVESLDWVDSIYLSVMSVTTVGYGDRAFKTLPGRLFAGVWLLISTLAVARAFLYLAEARVNKRHRRIAKWVLHRDITIEDLLAADINNNGFISKSEYVIYKLKEMGKVREKDILQICNQFSKLDTNNSGKITLPDLLKYQM >KJB44100 pep chromosome:Graimondii2_0_v6:7:30498598:30501386:1 gene:B456_007G234300 transcript:KJB44100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGYGDPSQKIDYVFKVVLIGDSAVGKSQILARFSRNEFSLDSKATIGVEFQTRTLVIEHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRGHADKNIVIILLGNKSDLENQREVPTEDAKEFAQKEGLFFLETSALEATNVETAFMTVLTEIFNIVNKKNLVADENQGNGNPASLAGKKIVIPGPAQEIPAKSNMCCRLLQCIFLKL >KJB44099 pep chromosome:Graimondii2_0_v6:7:30498598:30501136:1 gene:B456_007G234300 transcript:KJB44099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGYGDPSQKIDYVFKVVLIGDSAVGKSQILARFSRNEFSLDSKATIGVEFQTRTLVIEHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRGHADKNIVIILLGNKSDLENQREVPTEDAKEFAQKEGLFFLETSALEATNVETAFMTVLTEIFNIVNKKNLVADENQGNGNPASLAGKKIVIPGPAQEIPAKSNMCCRS >KJB45098 pep chromosome:Graimondii2_0_v6:7:50137533:50140724:1 gene:B456_007G2925001 transcript:KJB45098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APWLLNLGRISLGFTNGISGYLAPIYIAEITTKNVRGRFTTILQLMVGWGASFMYVVGSFVHWRTLALLATIPGLLQVLLIFFIPESPRWLAKVGREKELEAALLCLRGDKADISDEAIEIKNHVESLKSSSRGGIVDIFQKKYVRPLLTVVGMMVLTNLGGINAFAYYSGAIFVSAGISSMVGLITLAATQTVVGILGTTIIDKLGRRPLLLVSSAGLCFSSFLTGVSFFLKDFNWWDQGSPILAFIGLLMYMGSYALGGGLPWLLVSEVCKLFSPMLLQLFMFLQLFPINVKGSAGSICNFMGNSTGWVVGYYFNLLTEWSSAGIFFTFSAFCCANFILIATMVPETKGRTLEEIQASITPSSD >KJB45096 pep chromosome:Graimondii2_0_v6:7:50137533:50140686:1 gene:B456_007G2925001 transcript:KJB45096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APWLLNLGRISLGFTNGISGYLAPIYIAEITTKNVRGRFTTILQLMVGWGASFMYVVGSFVHWRTLALLATIPGLLQVLLIFFIPESPRWLAKVGREKELEAALLCLRGDKADISDEAIEIKNHVESLKSSSRGGIVDIFQKKYVRPLLTVVGMMVLTNLGGINAFAYYSGAIFVSAGISSMVGLITLAATQTVVGILGTTIIDKLGRRPLLLVSSAGLCFSSFLTGVSFFLKQDFNWWDQGSPILAFIGLLMYMGSYALGGGLPWLLVSELFPINVKGSAGSICNFMGNSTGWVVGYYFNLLTEWSSAGIFFTFSAFCCANFILIATMVPETKGRTLEEIQASITPSSD >KJB45100 pep chromosome:Graimondii2_0_v6:7:50137692:50140724:1 gene:B456_007G2925001 transcript:KJB45100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APIYIAEITTKNVRGRFTTILQLMVGWGASFMYVVGSFVHWRTLALLATIPGLLQVLLIFFIPESPRWLAKVGREKELEAALLCLRGDKADISDEAIEIKNHVESLKSSSRGGIVDIFQKKYVRPLLTVVGMMVLTNLGGINAFAYYSGAIFVSAGISSMVGLITLAATQTVVGILGTTIIDKLGRRPLLLVSSAGLCFSSFLTGVSFFLKDFNWWDQGSPILAFIGLLMYMGSYALGGGLPWLLVSEVCKLFSPMLLQLFMFLQLFPINVKGSAGSICNFMGNSTGWVVGYYFNLLTEWSSAGIFFTFSAFCCANFILIATMVPETKGRTLEEIQASITPSSD >KJB45097 pep chromosome:Graimondii2_0_v6:7:50137533:50140724:1 gene:B456_007G2925001 transcript:KJB45097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APWLLNLGRISLGFTNGISGYLAPIYIAEITTKNVRGRFTTILQLMVGWGASFMYVVGSFVHWRTLALLATIPGLLQVLLIFFIPESPRWLAKVGREKELEAALLCLRGDKADISDEAIEIKNHVESLKSSSRGGIVDIFQKKYVRPLLTVVGMMVLTNLGGINAFAYYSGAIFVSAGISSMVGLITLAATQTVVGILGTTIIDKLGRRPLLLVSSAGLCFSSFLTGVSFFLKDFNWWDQGSPILAFIGLLMYMGSYALGGGLPWLLVSELFPINVKGSAGSICNFMGNSTGWVVGYYFNLLTEWSSAGIFFTFSAFCCANFILIATMVPETKGRTLEEIQASITPSSD >KJB45099 pep chromosome:Graimondii2_0_v6:7:50137692:50140724:1 gene:B456_007G2925001 transcript:KJB45099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APIYIAEITTKNVRGRFTTILQLMVGWGASFMYVVGSFVHWRTLALLATIPGLLQVLLIFFIPESPRWLAKVGREKELEAALLCLRGDKADISDEAIEIKNHVESLKSSSRGGIVDIFQKKYVRPLLTVVGMMVLTNLGGINAFAYYSGAIFVSAGISSMVGLITLAATQTVVGILGTTIIDKLGRRPLLLVSSAGLCFSSFLTGVSFFLKDFNWWDQGSPILAFIGLLMYMGSYALGGGLPWLLVSELFPINVKGSAGSICNFMGNSTGWVVGYYFNLLTEWSSAGIFFTFSAFCCANFILIATMVPETKGRTLEEIQASITPSSD >KJB45095 pep chromosome:Graimondii2_0_v6:7:50137533:50139384:1 gene:B456_007G2925001 transcript:KJB45095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APWLLNLGRISLGFTNGISGYLAPIYIAEITTKNVRGRFTTILQLMVGWGASFMYVVGSFVHWRTLALLATIPGLLQVLLIFFIPESPRWLAKVGREKELEAALLCLRGDKADISDEAIEIKNHVESLKSSSRGGIVDIFQKKYVRPLLTVVGMMVLTNLGGINAFAYYSGAIFVSAGISSMVGLITLAATQTVVGILGTTIIDKLGRRPLLLVSSAGLCFSSFLTGVSFFLKVLM >KJB40393 pep chromosome:Graimondii2_0_v6:7:4324446:4327145:-1 gene:B456_007G061500 transcript:KJB40393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDNFTDGIPKFRSILGDITNRSVKRGFSSISDNVGFNSKEEASYRFTKQVCLREENPIQENPKPPQFEPNPNTSSTCSGETDTSKEGLVPVNEKVSEVIERFDLSDSDDRLDQGEGITQARGTLNDSCRNDSRDLGVGRLASSEGGCVEWLRLPKSSSQGFRSFELERCVGLKNDGSNLNAGADMLKACSCSFCLKVLKRSQKEASILVQKSCSGKETDIQNLGNPNKSSKLVSDLTSQWRSLFLNMEDIFVHESNQLQASYIQLKDLRDNCKMDLEQITGMPSER >KJB40388 pep chromosome:Graimondii2_0_v6:7:4325015:4326742:-1 gene:B456_007G061500 transcript:KJB40388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDNFTDGIPKFRSILGDITNRSVKRGFSSISDNVGFNSKEEASYRFTKQVCLREENPIQENPKPPQFEPNPNTSSTCSGETDTSKEGLVPVNEKVSEVIERFDLSDSDDRLDQGEGITQARGTLNDSCRNDSRDLGVGRLASSEGGCVEWLRLPKSSSQGFRSFELERCVGLKNDGSNLNAGADMLKACSCSFCLKAAYIWSDLHYQDTKGRIAVLKRSQKEASILVQKSCSGKETDIQNLGNPNKSSKLVSDLTSQWRSLFLNMEDIFVHESNQLVVLCSILARRCTFT >KJB40390 pep chromosome:Graimondii2_0_v6:7:4325015:4326742:-1 gene:B456_007G061500 transcript:KJB40390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDNFTDGIPKFRSILGDITNRSVKRGFSSISDNVGFNSKEEASYRFTKQVCLREENPIQENPKPPQFEPNPNTSSTCSGETDTSKEGLVPVNEKVSEVIERFDLSDSDDRLDQGEGITQARGTLNDSCRNDSRDLGVGRLASSEGGCVEWLRLPKSSSQGFRSFELERCVGLKNDGSNLNAGADMLKACSCSFCLKDTKGRIAVLKRSQKEASILVQKSCSGKETDIQNLGNPNKSSKLVSDLTSQWRSLFLNMEDIFVHESNQLVVLCSILARRCTFT >KJB40389 pep chromosome:Graimondii2_0_v6:7:4325015:4326742:-1 gene:B456_007G061500 transcript:KJB40389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDNFTDGIPKFRSILGDITNRSVKRGFSSISDNVGFNSKEEASYRFTKQVCLREENPIQENPKPPQFEPNPNTSSTCSGETDTSKEGLVPVNEKVSEVIERFDLSDSDDRLDQGEGITQARGTLNDSCRNDSRDLGVGRLASSEGGCVEWLRLPKSSSQGFRSFELERCVGLKNDGSNLNAGADMLKACSCSFCLKAAYIWSDLHYQDTKGRIAVLKRSQKEASILVQKSCSGKETDIQNLGNPNKSSKLVSDLTSQWRSLFLNMEDIFVHESNQLVVLCSILARRCTFT >KJB40387 pep chromosome:Graimondii2_0_v6:7:4324446:4327025:-1 gene:B456_007G061500 transcript:KJB40387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDNFTDGIPKFRSILGDITNRSVKRGFSSISDNVGFNSKEEASYRFTKQVCLREENPIQENPKPPQFEPNPNTSSTCSGETDTSKEGLVPVNEKVSEVIERFDLSDSDDRLDQGEGITQARGTLNDSCRNDSRDLGVGRLASSEGGCVEWLRLPKSSSQGFRSFELERCVGLKNDGSNLNAGADMLKACSCSFCLKDTKGRIAVLKRSQKEASILVQKSCSGKETDIQNLGNPNKSSKLVSDLTSQWRSLFLNMEDIFVHESNQLQASYIQLKDLRDNCKMDLEQITGMPSER >KJB40391 pep chromosome:Graimondii2_0_v6:7:4324660:4327145:-1 gene:B456_007G061500 transcript:KJB40391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDNFTDGIPKFRSILGDITNRSVKRGFSSISDNVGFNSKEEASYRFTKQVCLREENPIQENPKPPQFEPNPNTSSTCSGETDTSKEGLVPVNEKVSEVIERFDLSDSDDRLDQGEGITQARGTLNDSCRNDSRDLGVGRLASSEGGCVEWLRLPKSSSQGFRSFELERCVGLKNDGSNLNAGADMLKACSCSFCLKAAYIWSDLHYQDTKGRIAVLKRSQKEASILVQKSCSGKETDIQNLGNPNKSSKLVSDLTSQWRSLFLNMEDIFVHESNQLQASYIQLKDLRDNCKMDLEQITGMPSER >KJB40394 pep chromosome:Graimondii2_0_v6:7:4325015:4326742:-1 gene:B456_007G061500 transcript:KJB40394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDNFTDGIPKFRSILGDITNRSVKRGFSSISDNVGFNSKEEASYRFTKQVCLREENPIQENPKPPQFEPNPNTSSTCSGETDTSKEGLVPVNEKVSEVIERFDLSDSDDRLDQGEGITQARGTLNDSCRNDSRDLGVGRLASSEGGCVEWLRLPKSSSQGFRSFELERCVGLKNDGSNLNAGADMLKACSCSFCLKVLKRSQKEASILVQKSCSGKETDIQNLGNPNKSSKLVSDLTSQWRSLFLNMEDIFVHESNQLVVLCSILARRCTFT >KJB40396 pep chromosome:Graimondii2_0_v6:7:4324446:4327145:-1 gene:B456_007G061500 transcript:KJB40396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDNFTDGIPKFRSILGDITNRSVKRGFSSISDNVGFNSKEEASYRFTKQVCLREENPIQENPKPPQFEPNPNTSSTCSGETDTSKEGLVPVNEKVSEVIERFDLSDSDDRLDQGEGITQARGTLNDSCRNDSRDLGVGRLASSEGGCVEWLRLPKSSSQGFRSFELERCVGLKNDGSNLNAGADMLKACSCSFCLKAAYIWSDLHYQDTKGRIAVLKRSQKEASILVQKSCSGKETDIQNLGNPNKSSKLVSDLTSQWRSLFLNMEDIFVHESNQLQASYIQLKDLRDNCKMDLEQITGMPSER >KJB40386 pep chromosome:Graimondii2_0_v6:7:4324446:4327025:-1 gene:B456_007G061500 transcript:KJB40386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDNFTDGIPKFRSILGDITNRSVKRGFSSISDNVGFNSKEEASYRFTKQVCLREENPIQENPKPPQFEPNPNTSSTCSGETDTSKEGLVPVNEKVSEVIERFDLSDSDDRLDQGEGITQARGTLNDSCRNDSRDLGVGRLASSEGGCVEWLRLPKSSSQGFRSFELERCVGLKNDGSNLNAGADMLKACSCSFCLKAAYIWSDLHYQDTKGRIAVLKRSQKEASILVQKSCSGKETDIQNLGNPNKSSKLVSDLTSQWRSLFLNMEDIFVHESNQLQASYIQLKDLRDNCKMDLEQITGMPSER >KJB40392 pep chromosome:Graimondii2_0_v6:7:4325015:4326742:-1 gene:B456_007G061500 transcript:KJB40392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDNFTDGIPKFRSILGDITNRSVKRGFSSISDNVGFNSKEEASYRFTKQVCLREENPIQENPKPPQFEPNPNTSSTCSGETDTSKEGLVPVNEKVSEVIERFDLSDSDDRLDQGEGITQARGTLNDSCRNDSRDLGVGRLASSEGGCVEWLRLPKSSSQGFRSFELERCVGLKNDGSNLNAGADMLKACSCSFCLKAAYIWSDLHYQDTKGRIAVLKRSQKEASILVQKSCSGKETDIQNLGNPNKSSKLVSDLTSQWRSLFLNMEDIFVHESNQLVVLCSILARRCTFT >KJB40395 pep chromosome:Graimondii2_0_v6:7:4325015:4326742:-1 gene:B456_007G061500 transcript:KJB40395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDNFTDGIPKFRSILGDITNRSVKRGFSSISDNVGFNSKEEASYRFTKQVCLREENPIQENPKPPQFEPNPNTSSTCSGETDTSKEGLVPVNEKVSEVIERFDLSDSDDRLDQGEGITQARGTLNDSCRNDSRDLGVGRLASSEGGCVEWLRLPKSSSQGFRSFELERCVGLKNDGSNLNAGADMLKACSCSFCLKAAYIWSDLHYQDTKGRIAVLKRSQKEASILVQKSCSGKETDIQNLGNPNKSSKLVSDLTSQWRSLFLNMEDIFVHESNQLVVLCSILARRCTFT >KJB41462 pep chromosome:Graimondii2_0_v6:7:7913103:7915338:-1 gene:B456_007G105400 transcript:KJB41462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPPVKPVLQKPPGYKDPNSPAGQRRFRPPPRKPVLPPSFHPKKRKTSYGRACCCCFCIFFLIFLLLILICGAVFYLWFDPQLPGFHIQSFRISRFNVTKRPDGTYLDARTTTRLEVKNPNGKMTYYYGDTEVEISFGEGGYETELGTTTVPAFTMLEKNTRSLRVETIASNKLVVDEVGNKLRARYRSKSLPVNVEARTKVGVGVAGLKIGMVGVTVKCDGMSKKQLDGGDMPKCVINMLKWLNIH >KJB41463 pep chromosome:Graimondii2_0_v6:7:7913320:7915338:-1 gene:B456_007G105400 transcript:KJB41463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPPVKPVLQKPPGYKDPNSPAGQRRFRPPPRKPVLPPSFHPKKRKTSYGRACCCCFCIFFLIFLLLILICGAVFYLWFDPQLPGFHIQSFRISRFNVTKRPDGTYLDARTTTRLEVKNPNGKMTYYYGDTEVEISFGEGGYETELGTTTVPAFTMLEKNTRSLRVETIASNKLVVDEVGNKLRARYRSKSLPVNVEARTKVGVGVAGLKIGMVGVTVKCDGMSKKQLDGGDMPKCVINMLKWLNIH >KJB44970 pep chromosome:Graimondii2_0_v6:7:48418222:48419174:-1 gene:B456_007G282800 transcript:KJB44970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARKFLVRHDDSTFTVDYDTDDGFEVFQFRLFSLTSIPPKQEEPTSSSVGATNFDAGTTVMCDVELARNLQVLKFAS >KJB39434 pep chromosome:Graimondii2_0_v6:7:1063041:1064738:1 gene:B456_007G013400 transcript:KJB39434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRMISRPLLAKVKETTGIVGLDVVPNAREVLIGLYNKTLKEIQAVPEDEGYRKAVESFTRHRLKVCQEEEDWEMIEKRLGCGQVEELIEEARDELTLIGKMIVAEWDPWGVPDDYECEVIENDAPIPKHVPQHRPGPLPEEFYKTLEALSKKDEPKVTSGYPQIKE >KJB39433 pep chromosome:Graimondii2_0_v6:7:1063032:1064798:1 gene:B456_007G013400 transcript:KJB39433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRMISRPLLAKVKETTGIVGLDVVPNAREVLIGLYNKTLKEIQAVPEDEGYRKAVESFTRHRLKVCQEEEDWEMIEKRLGCGQVEELIEEARDELTLIGKMIEWDPWGVPDDYECEVIENDAPIPKHVPQHRPGPLPEEFYKTLEALSKKDEPKVTSGYPQIKE >KJB44580 pep chromosome:Graimondii2_0_v6:7:43871158:43873323:1 gene:B456_007G264400 transcript:KJB44580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQREAPPDMICRDKFLIQSTVVPVGTTDEDITSATFVKDSGKYIEENKLKVALVSPPDSPVLSPINGTMNQGMDYDRVLTIEESKMRNTEDLKPTKDVEWNSRKDTLYEEKLKPKKGAELKPMNDIFGDNLNIIKDTEPKPKKDAELKPMNDIFGDNLNIIKDTELKPKNNSFNSKELKPVKDEESKPMEDILDTEELKPMKEKEFNVLKDYKVKTLKTAEDLNFAKDMEEMKSKLSDLESKLGKAEATISKLTEERRLTVQERKTLQEELALLRKKIDVRRVQVGFPLLFVCMVAVVSMFMGYQMQH >KJB44579 pep chromosome:Graimondii2_0_v6:7:43871158:43873323:1 gene:B456_007G264400 transcript:KJB44579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQREAPPDMICRDKFLIQSTVVPVGTTDEDITSATFVKDSGKYIEENKLKVALVSPPDSPVLSPINGTMNQGMDYDRVETFATLPTVLTIEESKMRNTEDLKPTKDVEWNSRKDTLYEEKLKPKKGAELKPMNDIFGDNLNIIKDTEPKPKKDAELKPMNDIFGDNLNIIKDTELKPKNNSFNSKELKPVKDEESKPMEDILDTEELKPMKEKEFNVLKDYKVKTLKTAEDLNFAKDMEEMKSKLSDLESKLGKAEATISKLTEERRLTVQERKTLQEELALLRKKIDVRRVQVGFPLLFVCMVAVVSMFMGYQMQH >KJB44576 pep chromosome:Graimondii2_0_v6:7:43869169:43873323:1 gene:B456_007G264400 transcript:KJB44576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSRLKLHLRRNTAYGLMLALLCQNQLVILQVLTIEESKMRNTEDLKPTKDVEWNSRKDTLYEEKLKPKKGAELKPMNDIFGDNLNIIKDTEPKPKKDAELKPMNDIFGDNLNIIKDTELKPKNNSFNSKELKPVKDEESKPMEDILDTEELKPMKEKEFNVLKDYKVKTLKTAEDLNFAKDMEEMKSKLSDLESKLGKAEATISKLTEERRLTVQERKTLQEELALLRKKIDVRRVQVGFPLLFVCMVAVVSMFMGYQMQH >KJB44575 pep chromosome:Graimondii2_0_v6:7:43869169:43873323:1 gene:B456_007G264400 transcript:KJB44575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSRLKLHLRRNTAYGLMLALLCQNQLVILQREAPPDMICRDKFLIQSTVVPVGTTDEDITSATFVKDSGKYIEENKLKVALVSPPDSPVLSPINGTMNQGMDYDRVLTIEESKMRNTEDLKPTKDVEWNSRKDTLYEEKLKPKKGAELKPMNDIFGDNLNIIKDTEPKPKKDAELKPMNDIFGDNLNIIKDTELKPKNNSFNSKELKPVKDEESKPMEDILDTEELKPMKEKEFNVLKDYKVKTLKTAEDLNFAKDMEEMKSKLSDLESKLGKAEATISKLTEERRLTVQERKTLQEELALLRKKIDVRRVQVGFPLLFVCMVAVVSMFMGYQMQH >KJB44578 pep chromosome:Graimondii2_0_v6:7:43870444:43873323:1 gene:B456_007G264400 transcript:KJB44578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSACDFTVTMQAQREAPPDMICRDKFLIQSTVVPVGTTDEDITSATFVKDSGKYIEENKLKVALVSPPDSPVLSPINGTMNQGMDYDRVETFATLPTVLTIEESKMRNTEDLKPTKDVEWNSRKDTLYEEKLKPKKGAELKPMNDIFGDNLNIIKDTEPKPKKDAELKPMNDIFGDNLNIIKDTELKPKNNSFNSKELKPVKDEESKPMEDILDTEELKPMKEKEFNVLKDYKVKTLKTAEDLNFAKDMEEMKSKLSDLESKLGKAEATISKLTEERRLTVQERKTLQEELALLRKKIDVRRVQVGFPLLFVCMVAVVSMFMGYQMQH >KJB44573 pep chromosome:Graimondii2_0_v6:7:43869110:43873500:1 gene:B456_007G264400 transcript:KJB44573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQLLEIEPKELKFVFILKKQSSCSITLTNKTNQYVAFKVKTTSPKKYCVRPNVGIIMPKSACDFTVTMQAQREAPPDMICRDKFLIQSTVVPVGTTDEDITSATFVKDSGKYIEENKLKVALVSPPDSPVLSPINGTMNQGMDYDRVETFATLPTVLTIEESKMRNTEDLKPTKDVEWNSRKDTLYEEKLKPKKGAELKPMNDIFGDNLNIIKDTEPKPKKDAELKPMNDIFGDNLNIIKDTELKPKNNSFNSKELKPVKDEESKPMEDILDTEELKPMKEKEFNVLKDYKVKTLKTAEDLNFAKDMEEMKSKLSDLESKLGKAEATISKLTEERRLTVQERKTLQEELALLRKKIDVRRVQVGFPLLFVCMVAVVSMFMGYQMQH >KJB44574 pep chromosome:Graimondii2_0_v6:7:43869169:43872812:1 gene:B456_007G264400 transcript:KJB44574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQLLEIEPKELKFVFILKKQSSCSITLTNKTNQYVAFKVKTTSPKKYCVRPNVGIIMPKSACDFTVTMQAQREAPPDMICRDKFLIQSTVVPVGTTDEDITSATFVKDSGKYIEENKLKVALVSPPDSPVLSPINGTMNQGMDYDRVETFATLPTVLTIEESKMRNTEDLKPTKDVEWNSRKDTLYEEKLKPKKGAELKPMNDIFGDNLNIIKDTEPKPKKDAELKPMNDIFGDNLNIIKDTELKPKNNSFNSKELKPVKDEESKPMEDILDTEELKPMKEKEFNVLKDYKVKTLKTAEDLNFAKDMEEMKSKLSDLESKLGKAEATISKLTEERRLTVQERKTLQEELVSYSLVRSSSRRFLLYVSHIKCSIS >KJB44577 pep chromosome:Graimondii2_0_v6:7:43869169:43873323:1 gene:B456_007G264400 transcript:KJB44577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQLLEIEPKELKFVFILKKQSSCSITLTNKTNQYVAFKVKTTSPKKYCVRPNVGIIMPKSACDFTVTMQAQREAPPDMICRDKFLIQSTVVPVGTTDEDITSATFVKDSGKYIEENKLKVALVSPPDSPVLSPINGTMNQGMDYDRVLTIEESKMRNTEDLKPTKDVEWNSRKDTLYEEKLKPKKGAELKPMNDIFGDNLNIIKDTEPKPKKDAELKPMNDIFGDNLNIIKDTELKPKNNSFNSKELKPVKDEESKPMEDILDTEELKPMKEKEFNVLKDYKVKTLKTAEDLNFAKDMEEMKSKLSDLESKLGKAEATISKLTEERRLTVQERKTLQEELALLRKKIDVRRVQVGFPLLFVCMVAVVSMFMGYQMQH >KJB44581 pep chromosome:Graimondii2_0_v6:7:43871781:43873163:1 gene:B456_007G264400 transcript:KJB44581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGMDYDRVETFATLPTVLTIEESKMRNTEDLKPTKDVEWNSRKDTLYEEKLKPKKGAELKPMNDIFGDNLNIIKDTEPKPKKDAELKPMNDIFGDNLNIIKDTELKPKNNSFNSKELKPVKDEESKPMEDILDTEELKPMKEKEFNVLKDYKVKTLKTAEDLNFAKDMEEMKSKLSDLESKLGKAEATISKLTEERRLTVQERKTLQEELALLRKKIDVRRVQVGFPLLFVCMVAVVSMFMGYQMQH >KJB43263 pep chromosome:Graimondii2_0_v6:7:18690563:18692550:1 gene:B456_007G190700 transcript:KJB43263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGALIGQETESGFVRGAAVGAISGAVLSIEVFESSLVLWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGASETAFEEVQNIFDTGGVKGLAGDLVEKIPKIIITKNNNVDASGEKVSCTVCLQDFQLGETVRSLPQCHHMFHLPCIDKWLVSHASCPLCRRDL >KJB43262 pep chromosome:Graimondii2_0_v6:7:18690454:18692604:1 gene:B456_007G190700 transcript:KJB43262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLGAMTGALIGQETESGFVRGAAVGAISGAVLSIEVFESSLVLWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGASETAFEEVQNIFDTGGVKGLAGDLVEKIPKIIITKNNNVDASGEKVSCTVCLQDFQLGETVRSLPQCHHMFHLPCIDKWLVSHASCPLCRRDL >KJB43261 pep chromosome:Graimondii2_0_v6:7:18690877:18692285:1 gene:B456_007G190700 transcript:KJB43261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHEYQSRFSRPPPSFFGDFLEKLKDFCNFAFSAIIGNIFYAILTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVLSIEVFESSLVLWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGASETAFEEVQNIFDTGGVKGLAGDLVEKIPKIIITKNNNVDASGEKVSCTVCLQDFQLGETVRSLPQCHHMFHLPCIDKWLVSHASCPLCRRDL >KJB45676 pep chromosome:Graimondii2_0_v6:7:53522590:53526308:1 gene:B456_007G319800 transcript:KJB45676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISRYHFKKQAHFYPKSVSHFLNMDSAKEIFMEFVGQAGTVFEVGKSILGLIENHWEFPRGIDENVNNLRRKGDRLNGQKEGIESRIKSKLRPRKKISKEVVLYFEDVKRMNGEIPILVSKVSTRSFFSRGFLVNDVRKMGEELDELLEKGRFSDDLLVDDLSWIGQVLPTPSFVVDAIELVKNKIIRYLRNDGVQKIGVYGMAGVGKTTVVKLVNNELLKSVSNFDIIVWVTVSREFSVIELQKKIAEAMNVVITVDEDEILRAGMLYEILTEKGRFVLFLDGVWEGFSLEKVGIPEFSGGKLVLTAQSMDVCRQMDCHVIKMERLPEQDAWQLFLDNVGHNLMNFTDLLPIARCIVERCAGLPLVIVTVACTMRGERSLSIWRNALVELKSNILEWVKNEVYSQLRFSYDRLKDPGIQKCFLTCASYCEDLGILKGQLIMDWIEKGLIDEMGSKEVMLDKAQAILRTLVENCLLEDVGNERVRMHDLTIDMAKLIIQELTFFCCSSSPIQQICIFFQFRLFILLSKVLSCNIL >KJB39735 pep chromosome:Graimondii2_0_v6:7:1943792:1948746:1 gene:B456_007G028400 transcript:KJB39735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKPLFHYQNGSVVSNKHVFGRRRSSAASQRKPISMPLLCGMMLFCLGMLSLFTGQMASDLDRYSQRFMKQSLFNLDENNRGPIDIWESEYAKYFYGCSVRSATFPDAISEQSSNGYLLIAASGGLNQQRTGISDAVVVARILNATLVVPELDHNSYWKDDSDFVNIFYVDWFISYLAKDVTVVRRVPDKFMRSMEKPPYTMRVPRKSPPEYYLDQVLPILKRRHVLQLTKFDYRLANNIDEDLQKLRCRSNFHALRFTEPIQALGQKLVKKMRQMANRFMAVHLRFIGGGDKERYELREIRWETLPDIDAVGERRRGKCPLTPHEVGLMLRALGFENDAYIYVASGEIYGGEETLEPLKDLFPNLYTKEILANEDLKPFLPFSSCLATIDYIVCDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNSKRLSSLLMQRDQMDWNTFAEKVKAVQRGFMGEPDEMRAGRGEFHEYPYSCICEKPASDIIAAGNNGGGDGDHHQSQQFKENEGLRKRSNEEEPVLGAKEDEDVFPD >KJB39534 pep chromosome:Graimondii2_0_v6:7:6504959:6506614:1 gene:B456_007G089400 transcript:KJB39534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAAVAVQAGVCVDIFAVTNEYTDLASLKFISIESGGSLFLYANTDDSTLPQDIRPYAFTCVLRLRTSTEFKPGHSYGHFFPDPQYENVQHIICCDFFATYAYDFDFANNVGFYRY >KJB39531 pep chromosome:Graimondii2_0_v6:7:6505686:6506285:1 gene:B456_007G089400 transcript:KJB39531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAAVAVQAGVCVDIFAVTNEYTDLASLKFISIESGGSLFLYANTDDSTLPQDMYRMPSRPYAFTCVLRLRTSTEFKPGHSYGHFFPDPQYENVQHIICCDFFATYAYDFDFANNVGFYRY >KJB39533 pep chromosome:Graimondii2_0_v6:7:6504840:6507025:1 gene:B456_007G089400 transcript:KJB39533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAAVAVQAGVCVDIFAVTNEYTDLASLKFISIESGGSLFLYANTDDSTLPQDMYRMPSRPYAFTCVLRLRTSTEFKPGHSTFF >KJB39532 pep chromosome:Graimondii2_0_v6:7:6504569:6507043:1 gene:B456_007G089400 transcript:KJB39532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAVQAGVCVDIFAVTNEYTDLASLKFISIESGGSLFLYANTDDSTLPQDMYRMPSRPYAFTCVLRLRTSTEFKPGHSYGHFFPDPQYENVQHIICCDFFATYAYDFDFANNVGFYRY >KJB41327 pep chromosome:Graimondii2_0_v6:7:7317348:7324017:-1 gene:B456_007G099100 transcript:KJB41327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADMQKLIGANEEDDEEEIEMDVKEEDNEDHENGQKHIAAQMMLGADGVMPSTSHQFQIQQQLQEQVSTPGGGARRSRPLEEKERTKLRERHRRAITARILAGLRKHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGSRPAGDSSAGLTSSSSQMLSQQVPPTSLQGVSSGYCASVEYNACHMKGVFMPTPAPYDLSSSGHSQSSGMVGNGGEQTESLPLIAGSMEVINEQLGVVNMKCELVDPDGLLKQLRVLKSINVDGVMIDCWWGIVEADAPQEYNWNGYRRLFQMVHELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNTECLSWGIDKERVLRGRTAVEVYFDFMRSFRVEFNEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLMKAAEMRGHSFWARGPDNAGSYSSHPHETGFFCDEGDYDGYYGRFFLNWYSQLLINHGDLVLSLAKLAFEGSCIAAKLPGIHWWYKTSSHAAELTAGFYNPCNRDGYIAIAAMLHKHGAALNFARAELQFLEQREDLQEALANPQGLVWQVLNAAWETCITVVSENAFVCHDRVGYNKILENVKPVNDPDGRHFSSFTYLRLTPLLMERQNFMEFERFVKRMHGEAVLYLQV >KJB41330 pep chromosome:Graimondii2_0_v6:7:7320186:7322998:-1 gene:B456_007G099100 transcript:KJB41330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADMQKLIGANEEDDEEEIEMDVKEEDNEDHENGQKHIAAQMMLGADGVMPSTSHQFQIQQQLQEQVSTPGGGARRSRPLEEKERTKLRERHRRAITARILAGLRKHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGSRPAGDSSAGLTSSSSQMLSQQVPPTSLQGVSSGYCASVEYNACHMKGVFMPTPAPYDLSSSGHSQSSGMVGNGGEQTESLPLIAGSMEVINEQIIGLPPKLPERDFAGTPYVPVYVMLPLGVVNMKCELVDPDGLLKQLRVLKSINVDGVMIDCWWGIVEADAPQEYNWNGYRRLFQMVHELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNTECLSWGIDKERVLRGRTAVEVLVFNITVNIIVYIMQTAFVLNH >KJB41326 pep chromosome:Graimondii2_0_v6:7:7317692:7322998:-1 gene:B456_007G099100 transcript:KJB41326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADMQKLIGANEEDDEEEIEMDVKEEDNEDHENGQKHIAAQMMLGADGVMPSTSHQFQIQQQLQEQVSTPGGGARRSRPLEEKERTKLRERHRRAITARILAGLRKHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGSRPAGDSSAGLTSSSSQMLSQQVPPTSLQGVSSGYCASVEYNACHMKGVFMPTPAPYDLSSSGHSQSSGMVGNGGEQTESLPLIAGSMEVINEQIIGLPPKLPERDFAGTPYVPVYVMLPLGVVNMKCELVDPDGLLKQLRVLKSINVDGVMIDCWWGIVEADAPQEYNWNGYRRLFQMVHELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNTECLSWGIDKERVLRGRTAVEVYFDFMRSFRVEFNEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLMKAAEMRGHSFWARGPDNAGSYSSHPHETGFFCDEGDYDGYYGRFFLNWYSQLLINHGDLVLSLAKLAFEGSCIAAKLPGIHWWYKTSSHAAELTAGFYNPCNRDGYIAIAAMLHKHGAALNFARAELQFLEQREDLQEALANPQGLVWQVLNAAWETCITVVSENAFVCHDRVGYNKILENVKPVNDPDGRHFSSFTYLRLTPLLMERQNFMEFERFVKRMHGKLQYPFLNSK >KJB41323 pep chromosome:Graimondii2_0_v6:7:7317323:7324017:-1 gene:B456_007G099100 transcript:KJB41323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADMQKLIGANEEDDEEEIEMDVKEEDNEDHENGQKHIAAQMMLGADGVMPSTSHQFQIQQQLQEQVSTPGGGARRSRPLEEKERTKLRERHRRAITARILAGLRKHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGSRPAGDSSAGLTSSSSQMLSQQVPPTSLQGVSSGYCASVEYNACHMKGVFMPTPAPYDLSSSGHSQSSGMVGNGGEQTESLPLIAGSMEVINEQIIGLPPKLPERDFAGTPYVPVYVMLPLGVVNMKCELVDPDGLLKQLRVLKSINVDGVMIDCWWGIVEADAPQEYNWNGYRRLFQMVHELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNTECLSWGIDKERVLRGRTAVEVYFDFMRSFRVEFNEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLMKAAEMRGHSFWARGPDNAGSYSSHPHETGFFCDEGDYDGYYGRFFLNWYSQLLINHGDLVLSLAKLAFEGSCIAAKLPGIHWWYKTSSHAAELTAGFYNPCNRDGYIAIAAMLHKHGAALNFARAELQFLEQREDLQEALANPQGLVWQVLNAAWETCITVVSENAFVCHDRVGYNKILENVKPVNDPDGRHFSSFTYLRLTPLLMERQNFMEFERFVKRMHGEAVLYLQV >KJB41324 pep chromosome:Graimondii2_0_v6:7:7316997:7324060:-1 gene:B456_007G099100 transcript:KJB41324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADMQKLIGANEEDDEEEIEMDVKEEDNEDHENGQKHIAAQMMLGADGVMPSTSHQFQIQQQLQEQVSTPGGGARRSRPLEEKERTKLRERHRRAITARILAGLRKHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGSRPAGDSSAGLTSSSSQMLSQQVPPTSLQGVSSGYCASVEYNACHMKGVFMPTPAPYDLSSSGHSQSSGMVGNGGEQTESLPLIAGSMEVINEQIIGLPPKLPERDFAGTPYVPVYVMLPLGVVNMKCELVDPDGLLKQLRVLKSINVDGVMIDCWWGIVEADAPQEYNWNGYRRLFQMVHELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNTECLSWGIDKERVLRGRTAVEVYFDFMRSFRVEFNEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLMKAAEMRGHSFWARGPDNAGSYSSHPHETGFFCDEGDYDGYYGRFFLNWYSQLLINHGDLVLSLAKLAFEGSCIAAKVSTGGTKHPVMLLN >KJB41329 pep chromosome:Graimondii2_0_v6:7:7320007:7324060:-1 gene:B456_007G099100 transcript:KJB41329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADMQKLIGANEEDDEEEIEMDVKEEDNEDHENGQKHIAAQMMLGADGVMPSTSHQFQIQQQLQEQVSTPGGGARRSRPLEEKERTKLRERHRRAITARILAGLRKHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGSRPAGDSSAGLTSSSSQMLSQQVPPTSLQGVSSGYCASVEYNACHMKGVFMPTPAPYDLSSSGHSQSSGMVGNGGEQTESLPLIAGSMEVINEQLGVVNMKCELVDPDGLLKQLRVLKSINVDGVMIDCWWGIVEADAPQEYNWNGYRRLFQMVHELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNTECLSWGIDKERVLRGRTAVEVLVFNITVNIIVYIMQTAFVLNH >KJB41328 pep chromosome:Graimondii2_0_v6:7:7318668:7324017:-1 gene:B456_007G099100 transcript:KJB41328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADMQKLIGANEEDDEEEIEMDVKEEDNEDHENGQKHIAAQMMLGADGVMPSTSHQFQIQQQLQEQVSTPGGGARRSRPLEEKERTKLRERHRRAITARILAGLRKHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGSRPAGDSSAGLTSSSSQMLSQQVPPTSLQGVSSGYCASVEYNACHMKGVFMPTPAPYDLSSSGHSQSSGMVGNGGEQTESLPLIAGSMEVINEQIIGLPPKLPERDFAGTPYVPVYVMLPLGVVNMKCELVDPDGLLKQLRVLKSINVDGVMIDCWWGIVEADAPQEYNWNGYRRLFQMVHELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNTECLSWGIDKERVLRGRTAVEVYFDFMRSFRVEFNEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLMKAAEMRGHSFWARGPDNAGSYSSHPHETGFFCDEGDYDGYYGRFFLNWYSQLLINHGDLVLSLAKLAFEGSCIAAKVSLPAPVSYTQVNILLLPSKVDFKIHCLAR >KJB41325 pep chromosome:Graimondii2_0_v6:7:7318077:7322998:-1 gene:B456_007G099100 transcript:KJB41325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADMQKLIGANEEDDEEEIEMDVKEEDNEDHENGQKHIAAQMMLGADGVMPSTSHQFQIQQQLQEQVSTPGGGARRSRPLEEKERTKLRERHRRAITARILAGLRKHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGSRPAGDSSAGLTSSSSQMLSQQVPPTSLQGVSSGYCASVEYNACHMKGVFMPTPAPYDLSSSGHSQSSGMVGNGGEQTESLPLIAGSMEVINEQIIGLPPKLPERDFAGTPYVPVYVMLPLGVVNMKCELVDPDGLLKQLRVLKSINVDGVMIDCWWGIVEADAPQEYNWNGYRRLFQMVHELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNTECLSWGIDKERVLRGRTAVEVYFDFMRSFRVEFNEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLMKAAEMRGHSFWARGPDNAGSYSSHPHETGFFCDEGDYDGYYGRFFLNWYSQLLINHGDLVLSLAKLAFEGSCIAAKLPGIHWWYKTSSHAAELTAGFYNPCNRDGYIAIAAMLHKHGAALNFARAELQFLEQREDLQEALANPQGLVWQVRF >KJB44268 pep chromosome:Graimondii2_0_v6:7:35766742:35780358:-1 gene:B456_007G243100 transcript:KJB44268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQSEELPLMAPPPITEPSEIDLEAGPADQIQCRICLETDGIDFIAPCKCKGTSKYVHRECLDHWRAVKEGFAFAHCTTCKAPYHLRVHVAADRKWRTLKFRFFVTRDILSIFLAVQLVIASLAYLVYLIDGYQQSWLRLAWGFDSELSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFESCASAATECGCLGGAGEAGLPLLFIMALIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMNGSDWSPPPLPPEHIQQLKTLGLL >KJB44264 pep chromosome:Graimondii2_0_v6:7:35766315:35780358:-1 gene:B456_007G243100 transcript:KJB44264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQSEELPLMAPPPITEPSEIDLEAGPADQIQCRICLETDGRDFIAPCKCKGTSKYVHRECLDHWRAVKEGFAFAHCTTCKAPYHLRVHVAADRKWRTLKFRFFVTRDILSIFLAVQLVIASLAYLVYLIDGYQQSWLRLAWGFDSELSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFESCASAATECGCLGGAGEAGLPLLFIMALIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMNGSDWSPPPLPPEHIQQLKTLGLL >KJB44267 pep chromosome:Graimondii2_0_v6:7:35766742:35780358:-1 gene:B456_007G243100 transcript:KJB44267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQSEELPLMAPPPITEPSEIDLEAGPADQIQCRICLETDGRDFIAPCKCKGTSKYVHRECLDHWRAVKEGFAFAHCTTCKAPYHLRVHVAADRKWRTLKFRFFVTRDILSIFLAVQLVIASLAYLVYLIDGYQQSWLRLAWGFDSELSFYYIWALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFESCASAATECGCLGGAGEAGLPLLFIMALIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMNGSDWSPPPLPPEHIQQLKTLGLL >KJB44266 pep chromosome:Graimondii2_0_v6:7:35766742:35780358:-1 gene:B456_007G243100 transcript:KJB44266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQSEELPLMAPPPITEPSEIDLEAGPADQIQCRICLETDGRDFIAPCKCKGTSKYVHRECLDHWRAVKEGFAFAHCTTCKAPYHLRVHVAADRKWRTLKFRFFVTRDILSIFLAVQLVIASLAYLVYLIDGYQQSWLRLAWGFDSELSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGCASAATECGCLGGAGEAGLPLLFIMALIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMNGSDWSPPPLPPEHIQQLKTLGLL >KJB44263 pep chromosome:Graimondii2_0_v6:7:35766630:35780408:-1 gene:B456_007G243100 transcript:KJB44263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQSEELPLMAPPPITEPSEIDLEAGPADQIQCRICLETDGRDFIAPCKCKGTSKYVHRECLDHWRAVKEGFAFAHCTTCKAPYHLRVHVAADRKWRTLKFRFFVTRDILSIFLAVQLVIASLAYLVYLIDGYQQSWLRLAWGFDSELSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFESCASAATECGCLGGAGEAGLPLLFIMALIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMNGSDWSPPPLPPEHIQQLKTLGLL >KJB44265 pep chromosome:Graimondii2_0_v6:7:35766742:35780358:-1 gene:B456_007G243100 transcript:KJB44265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSLASCKGFAFAHCTTCKAPYHLRVHVAADRKWRTLKFRFFVTRDILSIFLAVQLVIASLAYLVYLIDGYQQSWLRLAWGFDSELSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFESCASAATECGCLGGAGEAGLPLLFIMALIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMNGSDWSPPPLPPEHIQQLKTLGLL >KJB44409 pep chromosome:Graimondii2_0_v6:7:39581966:39582550:1 gene:B456_007G251100 transcript:KJB44409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHRSLVIFAIVALKTPAISLATDFVVGDDDRWKLGIKYEDWAKGKQFFVGDTLVFKYNATVHNVYKVKGDNFKSCTVPSNNSLGSFTGNDTIKLATTGNKWYICGVNGHCDGGMKLKITVLDGTAPAPAPSAASTLLATNFQIFLGMIFTITSIVIVY >KJB45722 pep chromosome:Graimondii2_0_v6:7:54089632:54092440:-1 gene:B456_007G323000 transcript:KJB45722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKMEKKCVCPVVLSSLVCAFVFVTSLNMGLVSSVHKFNSIFPIFSAHQRMSEYAESKIELGTPPPPGPTLPRFAYLVSGSKGDLEKLWRTLHALYHPRNEYVVHLDLEAPPEERLALASRIDNHTVFNKVGNVHMISKANMVTYRGPTMVANTLHACAVLLKRNKDWDWFINLSASDYPLVTQDDLVYTFSALNRNLNFIEHTSNLGWKANERAMPLIVDPGLYMSTKSDIYLATPRRTLPTAFKLFTGSAWTVLSRPFVEFCVWGWDNLPRTLLMYYTNFVSSPEGYFQTVVCNVPEFAKTVVNHDLHYISWDNPPKQHPHILNINDTDKMIRSNAAFARKFKHDDPVLDKIDADLLGRAKGSFTPGAWCSEKPTCSEVGDSNKIKPGPGAQRLCQLIARLAMKANNGQDQCR >KJB40329 pep chromosome:Graimondii2_0_v6:7:4146252:4146916:1 gene:B456_007G058100 transcript:KJB40329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMGRDLSRRRQSSYFSGCYTMSPSCLPGKEEMEYSRIHECGSSGSEKRRQRWRNLLRRLVKDGKSSIYGSSKPLSFHYDAVSYSQNFDEGFHHQQSIFQDVRS >KJB39709 pep chromosome:Graimondii2_0_v6:7:1829752:1830399:-1 gene:B456_007G026400 transcript:KJB39709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDGMEGKPAAATKKIVSSVLAVLTVPFTMNFPKAIFCYWITSNLFSISYGLVLKAPGVKKALGIPKIPDQPAATARRPSINLYSVLKKTLQQARTAAEEESASVSAAPTKVSNRSTPSSSAINQRIKHLEKQVKGKNNNKKR >KJB44280 pep chromosome:Graimondii2_0_v6:7:36216024:36216216:1 gene:B456_007G243800 transcript:KJB44280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTITSYFGVLLAALTITSALFIGLSKIRLI >KJB44655 pep chromosome:Graimondii2_0_v6:7:43659657:43660566:-1 gene:B456_007G263600 transcript:KJB44655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPKLRFACFIALLIIVFAMTEAEALADGTKGHPCSKHKDCADACSYRGRCPFLCESGSCVCDCPPP >KJB44654 pep chromosome:Graimondii2_0_v6:7:43659611:43660660:-1 gene:B456_007G263600 transcript:KJB44654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPKLRFACFIALLIIVFAMTEAEALADGTKGHPCSKHKDCADACSYRGRCPFLCESGSCVCDCPPP >KJB44658 pep chromosome:Graimondii2_0_v6:7:43659657:43663136:-1 gene:B456_007G263600 transcript:KJB44658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPKLRFACFIALLIIVFAMTEAEALADGTKGHPCSKHKDCADACSYRGRCPFLCESGSCVCDCPPP >KJB44656 pep chromosome:Graimondii2_0_v6:7:43659936:43660278:-1 gene:B456_007G263600 transcript:KJB44656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPKLRFACFIALLIIVFVAAMTEAEALADGTKGHPCSKHKDCADACSYRGRCPFLCESGSCVCDCPPP >KJB44657 pep chromosome:Graimondii2_0_v6:7:43659936:43660278:-1 gene:B456_007G263600 transcript:KJB44657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPKLRFACFIALLIIVFVAAMTEAEALADGTKGHPCSKHKDCADACSYRGRCPFLCESGSCVCDCPPP >KJB40137 pep chromosome:Graimondii2_0_v6:7:3417390:3419699:1 gene:B456_007G049000 transcript:KJB40137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDLSSGSEVVTIHVNEAKNLLQSGYRYIDVRTVEEFEKGHVEAENILNIPYLFITPEGRVKNPEFLKEVSSLCKEEDRLIVGCQSGVRSLAATADLLKIGFKNVHDMGGGYLAWVENGHPIKMEEPKKIQEPAIVEDKPNEEL >KJB40140 pep chromosome:Graimondii2_0_v6:7:3417567:3418908:1 gene:B456_007G049000 transcript:KJB40140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFVVFPCCFFLFSLIYFSSGSEVVTIHVNEAKNLLQSGYRYIDVRTVEEFEKGHVEAENILNIPYLFITPEGRVKNPEFLKEVSSLCKEEDRLIVGCQSGVRSLAATADLLKIGFKNVHDMGGGYLAWVENGHPIKMEEPKKIQEPAIVEDKPNEEL >KJB40139 pep chromosome:Graimondii2_0_v6:7:3417567:3418908:1 gene:B456_007G049000 transcript:KJB40139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFVVFPCCFFLFSLIYFSSGSEVVTIHVNEAKNLLQSGYRYIDVRTVEEFEKGHVEAENILNIPYLFITPEGRVKNPEFLKEVSSLCKEEDHPLLQPLIFLKLVLRMCTTWEEVILLGWRTGIL >KJB40138 pep chromosome:Graimondii2_0_v6:7:3417482:3418908:1 gene:B456_007G049000 transcript:KJB40138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDLSSGSEVVTIHVNEAKNLLQSGYRYIDVRTVEEFEKGHVEAENILNIPYLFITPEGRVKNPEFLKEVSSLCKEEDRLIGCQSGVRSLAATADLLKIGFKNVHDMGGGYLAWVENGHPIKMEEPKKIQEPAIVEDKPNEEL >KJB39116 pep chromosome:Graimondii2_0_v6:7:11593005:11593419:-1 gene:B456_007G140200 transcript:KJB39116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGLDEEDSLEEGGQATEKKRRLSMHQVKALEKNFDVGNKLEPERKVKLAEELGLQPRQVAIWFQNRRARWKTKVLEKDYAMLKANRENEPLWKSFS >KJB44883 pep chromosome:Graimondii2_0_v6:7:47553246:47555140:-1 gene:B456_007G277700 transcript:KJB44883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LHHSPEVNFGVAPGKIQQTPSANGNLVKVNAEKPQEEVQQPLLVDQKTTEASSDQVPESLHNHVLEQDNTRTGKDHDNLAGKDYDLPGKPGDSADITEKQNNNTLKKCTGDSSDREGMKVECEEKSHVVPYSLTISSSSNDSSENHSGKRPGLFNWIASQCKFWRSSKDSEVSSDQSHEKLNQTNTNTLKHEVFTQGFFWEDMDFLIDSPRGSLFVTQSRTREEMAENLLKEGPLVLRSLSNTDLLHLVDLLTSDKKWIEECPSQASPSKITKAAGKSPSLGHSHAANGQNMEGEKKLQNIPHSGVSSTIPDMNSSDRSRFQVLSDCQNLVKDILKEHPEGHNVANFRTLFLERYVSVLKNVPGIKIESTYILLASMVPDDFGPETAAGNIKKMSAMH >KJB43972 pep chromosome:Graimondii2_0_v6:7:27365388:27365720:-1 gene:B456_007G227100 transcript:KJB43972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKVAKLFFNTDNRARERFTRMAVYVNLDKPLIFQVLINGALQRIEYEYLPVVCFSCRRYSHAKEVFPKSVPCSKAYNKVLSSGDKVSSDDSMMVEDDIAEGLKLMDHR >KJB40949 pep chromosome:Graimondii2_0_v6:7:6040590:6043865:-1 gene:B456_007G084600 transcript:KJB40949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFHYFKDKSRSKGQRSAPELKQERKSEGYSGPDRITKSSCSTSVASPRSIPELYEAKAHNLRVFSFSELKQATRDFNLLHKIGEGGFGSVYKGTIGPAGGKGEPFEVAIKKLNKNGLQGHKQWVAEVQFLGVVEHPNLVKLIGYCAVDGERGIQCLLVYEFMQNKSLEDHLFRRAFSPLPWKTRLQIILGAAQGLAYLHEGLEIIYRDFKASNVLLDEKLNPKLSDFGLAREGPMAGRTHVSTAVVGTYGYAAPDYIETGHLTDKSDVWSFGVVLYEMLSGRRSLERGRPKAEQKLLDWVKQFPPDSKKFSLIMDPRLENQYSISAAREIAKLADTCLLKSPKQRPKMSEVVERVKRIIQVSGEGSAEEMESHPEASESEIKAEAGETETDHQERVSETWKRRMAHLAKLGEHVEGASRRRLMMLQRARVPALH >KJB40950 pep chromosome:Graimondii2_0_v6:7:6040928:6043126:-1 gene:B456_007G084600 transcript:KJB40950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFHYFKDKSRSKGQRSAPELKQERKSEGYSGPDRITKSSCSTSVASPRSIPELYEAKAHNLRVFSFSELKQATRDFNLLHKIGEGGFGSVYKGTIGPAGGKGEPFEVAIKKLNKNGLQGHKQWVAEVQFLGVVEHPNLVKLIGYCAVDGERGIQCLLVYEFMQNKSLEDHLFRRAFSPLPWKTRLQIILGAAQGLAYLHEGLEVQIIYRDFKASNVLLDEKLNPKLSDFGLAREGPMAGRTHVSTAVVGTYGYAAPDYIETGHLTDKSDVWSFGVVLYEMLSGRRSLERGRPKAEQKLLDWVKQFPPDSKKFSLIMDPRLENQYSISAAREIAKLADTCLLKSPKQRPKMSEVVERVKRIIQVSGEGSAEEMESHPEASESEIKAEAGETETDHQERVSETWKRRMAHLAKLGEHVEGASRRRLMMLQRARVPALH >KJB40951 pep chromosome:Graimondii2_0_v6:7:6040590:6043865:-1 gene:B456_007G084600 transcript:KJB40951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFHYFKDKSRSKGQRSAPELKQERKSEGYSGPDRITKSSCSTSVASPRSIPELYEAKAHNLRVFSFSELKQATRDFNLLHKIGEGGFGSVYKGTIGPAGGKGEPFEVAIKKLNKNGLQGHKQWVAEVQFLGVVEHPNLVKLIGYCAVDGERGIQCLLVYEFMQNKSLEDHLFRRAFSPLPWKTRLQIILGAAQGLAYLHEGLEVQIIYRDFKASNVLLDEKLNPKLSDFGLAREGPMAGRTHVSTAVVGTYGYAAPDYIETGHLTDKSDVWSFGVVLYEMLSGRRSLERGRPKAEQKLLDWVKQFPPDSKKFSLIMDPRLENQYSISAAREIAKLADTCLLKSPKQRPKMSEVVERVKRIIQVSGEGSAEEMESHPEASESEIKAEAGETETDHQERVSETWKRRMAHLAKLGEHVEGASRRRLMMLQRARVPALH >KJB40952 pep chromosome:Graimondii2_0_v6:7:6040928:6043126:-1 gene:B456_007G084600 transcript:KJB40952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFHYFKDKSRSKGQRSAPELKQERKSEGYSGPDRITKSSCSTSVASPRSIPELYEAKAHNLRVFSFSELKQATRDFNLLHKIGEGGFGSVYKGTIGPAGGKGEPFEVAIKKLNKNGLQGHKQWVAEVQFLGVVEHPNLVKLIGYCAVDGERGIQCLLVYEFMQNKSLEDHLFRRAFSPLPWKTRLQIILGAAQGLAYLHEGLEVQIIYRDFKASNVLLDEKLNPKLSDFGLAREGPMAGRTHVSTAVVGTYGYAAPDYIETGHLTDKSDVWSFGVVLYEMLSGRRSLERGRPKAEQKLLDWVKQFPPDSKKFSLIMDPRLENQYSISAAREIAKLADTCLLKSPKQRPKMSEVVERVKRIIQVSGEGSAEEMESHPEASESEIKAEAGETETDHQERVSETWKRRMAHLAKLGEHVEGASRRRLMMLQRARVPALH >KJB42858 pep chromosome:Graimondii2_0_v6:7:21850131:21852764:-1 gene:B456_007G207900 transcript:KJB42858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVPGALGTSASLALRLGQTVFSAASLLFMCLDVEFYSYTSFSYLVTVMGLVTPWSVSLALVDAYSVFVKGLPRQPRVLLVVIVGDWALSFLSLAAACSTASVTSLLVNVSSTYCPSKICSRYQLSAAMAFMSWFLSFASTLFNLWLLPSL >KJB42859 pep chromosome:Graimondii2_0_v6:7:21850132:21852684:-1 gene:B456_007G207900 transcript:KJB42859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVPGALGTSASLALRLGQTVFSAASLLFMCLDVEFYSYTSFSSTYCPSKICSRYQLSAAMAFMSWFLSFASTLFNLWLLPSL >KJB42860 pep chromosome:Graimondii2_0_v6:7:21850544:21852376:-1 gene:B456_007G207900 transcript:KJB42860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVPGALGTSASLALRLGQTVFSAASLLFMCLDVEFYSYTSFSYLVTVMGLVTPWSVSLALVDAYSVFVKGLPRQPRVLLVVIVGDWVCYKAYYAYHHHGVIPYHNLM >KJB44487 pep chromosome:Graimondii2_0_v6:7:41449377:41451140:1 gene:B456_007G255600 transcript:KJB44487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAEQANSPKPPPYLEVLCKSTGKKTRFAAGTKAGFAVSLINRKLGIGAPVALHIESIREGEEPIIFGPDAVLVNYGNGWNLQTVSEMDFPGIGNGKHVRGFPTQIPNGKVITSSSIPFNVCCQAVFRLNNCQDDLINVFMTKKK >KJB44486 pep chromosome:Graimondii2_0_v6:7:41449376:41451140:1 gene:B456_007G255600 transcript:KJB44486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAEQANSPKPPPYLEVLCKSTGKKTRFAAGTKAGFAVSLINRKLGIGAPVALHIESIREGEEPIIFGPDAVLVNYGNGWNLQTVSEMDFPGIGNGKHVRGFPTQIPNGKKVDLTNSRKMVPKPGISFLYIAKVSLAFVLMFMLAGVFMLALDNLPKLILLFNSSM >KJB39038 pep chromosome:Graimondii2_0_v6:7:59023106:59023345:-1 gene:B456_007G359200 transcript:KJB39038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKVSTAIIVAAASMSAVMAADAPAPSPSAGGSSPSSSPAFAPAAGPDSSVAAATLPVLGSLVGASIVSLFSYMLHV >KJB41583 pep chromosome:Graimondii2_0_v6:7:8408445:8412337:-1 gene:B456_007G110600 transcript:KJB41583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSLTEEEDQFFDTREDITSVSDSGSDCPENSDSEHATSINSVPSNVGFEIWVKNPSSIRERRDKFLKWMGLSIDQIAREGASNVWCDEIEVETDRILEKSGAALGSSSFNDGCSSSQSSVSCSSSDARELLDRALDDNLVCRIKNLDDGTEFIVDELSQDGKFRKLREVGSNHLLTVNEFERKLGLSPVIQQAMRREVKDVSDLGPERKQGKRGWLRRLGTVACVVDRQVESACTISNDCYLNAEARIQLVRVRSYKKRSKEFSALYKGQEIQAHEGSILTMKFSPDGQYLASAGEDGVVRVWQVLESELSGNSDIHDVNPSCVYFTVNNFSELVPLHVDKEKNGKSKRVKKVSGSTCVVLPQKVFRILDKPIHEFRGHCGEVLDLSWSKSKHILSSSVDKTVRLWQVGYDECQKVFSHNNYVTCVQFNPVDDDYFISGSIDGKVRIWVIPGCQVVDWIDVTDIVTAVCYRPDGKGAVIGSINGDCRFYDASDNHLQLKAQICLQSKKKSACSRITGFQFAPGDPDKLMVTSANSQVRILHNVDVVCKFRGLRNAGSQISASFTSDGMWIVSASEDSNVYVWNNISQDGLVPQAKNNWSCERFFSNNASVAIPWCGMTSINSIFSTMAGGMPSPKVSSSTWTCNGNAGVLQSESGESSLHKSPFSTSERSSLGHGFFSESLSRGTATWPEEKLPPPNSLVVSSAMCKSHYKLLKTSCQSALDSSHSWGLVIVTAGWDGRIRSFQNYGLPIHL >KJB41586 pep chromosome:Graimondii2_0_v6:7:8407423:8413099:-1 gene:B456_007G110600 transcript:KJB41586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSLTEEEDQFFDTREDITSVSDSGSDCPENSDSEHATSINSVPSNVGFEIWVKNPSSIRERRDKFLKWMGLSIDQIAREGASNVWCDEIEVETDRILEKSGAALGSSSFNDGCSSSQSSVSCSSSDARELLDRALDDNLVCRIKNLDDGTEFIVDELSQDGKFRKLREVGSNHLLTVNEFERKLGLSPVIQQAMRREVKDVSDLGPERKQGKRGWLRRLGTVACVVDRQVESACTISNDCYLNAEARIQLVRVRSYKKRSKEFSALYKGQEIQAHEGSILTMKFSPDGQYLASAGEDGVVRVWQVLESELSGNSDIHDVNPSCVYFTVNNFSELVPLHVDKEKNGKSKRVKKVSGSTCVVLPQKVFRILDKPIHEFRGHCGEVLDLSWSKSKHILSSSVDKTVRLWQVGYDECQKVFSHNNYVTCVQFNPVDDDYFISGSIDGKVRIWVIPGCQVVDWIDVTDIVTAVCYRPDGKGAVIGSINGDCRFYDASDNHLQLKAQICLQSKKKSACSRITGFQFAPGDPDKLMVTSANSQVRILHNVDVVCKFRGLRNAGSQISASFTSDGMWIVSASEDSNVYVWNNISQDGLVPQAKNNWRYAIPQSFF >KJB41582 pep chromosome:Graimondii2_0_v6:7:8407421:8413099:-1 gene:B456_007G110600 transcript:KJB41582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSLTEEEDQFFDTREDITSVSDSGSDCPENSDSEHATSINSVPSNVGFEIWVKNPSSIRERRDKFLKWMGLSIDQIAREGASNVWCDEIEVETDRILEKSGAALGSSSFNDGCSSSQSSVSCSSSDARELLDRALDDNLVCRIKNLDDGTEFIVDELSQDGKFRKLREVGSNHLLTVNEFERKLGLSPVIQQAMRREVKDVSDLGPERKQGKRGWLRRLGTVACVVDRQVESACTISNDCYLNAEARIQLVRVRSYKKRSKEFSALYKGQEIQAHEGSILTMKFSPDGQYLASAGEDGVVRVWQVLESELSGNSDIHDVNPSCVYFTVNNFSELVPLHVDKEKNGKSKRVKKVSGSTCVVLPQKVFRILDKPIHEFRGHCGEVLDLSWSKSKHILSSSVDKTVRLWQVGYDECQKVFSHNNYVTCVQFNPVDDDYFISGSIDGKVRIWVIPGCQVVDWIDVTDIVTAVCYRPDGKGAVIGSINGDCRFYDASDNHLQLKAQICLQSKKKSACSRITGFQFAPGDPDKLMVTSANSQVRILHNVDVVCKFRGLRNAGSQISASFTSDGMWIVSASEDSNVYVWNNISQDGLVPQAKNNWSCERFFSNNASVAIPWCGMTSINSIFSTMAGGMPSPKVSSSTWTCNGNAGVLQSESGESSLHKSPFSTSERSSLGHGFFSESLSRGTATWPEEKLPPPNSLVVSSAMSGWDGRIRSFQNYGLPIHL >KJB41584 pep chromosome:Graimondii2_0_v6:7:8407423:8412982:-1 gene:B456_007G110600 transcript:KJB41584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSLTEEEDQFFDTREDITSVSDSGSDCPENSDSEHATSINSVPSNVGFEIWVKNPSSIRERRDKFLKWMGLSIDQIAREGASNVWCDEIEVETDRILEKSGAALGSSSFNDGCSSSQSSVSCSSSDARELLDRALDDNLVCRIKNLDDGTEFIVDELSQDGKFRKLREVGSNHLLTVNEFERKLGLSPVIQQAMRREVKDVSDLGPERKQGKRGWLRRLGTVACVVDRQVESACTISNDCYLNAEARIQLVRVRSYKKRSKEFSALYKGQEIQAHEGSILTMKFSPDGQYLASAGEDGVVRVWQVLESELSGNSDIHDVNPSCVYFTVNNFSELVPLHVDKEKNGKSKRVKKVSGSTCVVLPQKVFRILDKPIHEFRGHCGEVLDLSWSKSKHILSSSVDKTVRLWQVGYDECQKVFSHNNYVTCVQFNPVDDDYFISGSIDGKVRIWVIPGCQVVDWIDVTDIVTAVCYRPDGKGAVIGSINGDCRFYDASDNHLQLKAQICLQSKKKSACSRITGFQFAPGDPDKLMVTSANSQVRILHNVDVVCKFRGLRNAGSQISASFTSDGMWIVSASEDSNVYVWNNISQDGLVPQAKNNWSCERFFSNNASVAIPWCGMTSINSIFSTMAGGMPSPKVSSSTWTCNGNAGVLQSESGESSLHKSPFSTSERSSLGHGFFSESLSRGTATWPEEKLPPPNSLVVSSAMCKSHYKLLKTSCQSALDSSHSWGLVIVTAGWDGRIRSFQNYGLPIHL >KJB41585 pep chromosome:Graimondii2_0_v6:7:8407423:8412464:-1 gene:B456_007G110600 transcript:KJB41585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSLTEEEDQFFDTREDITSVSDSGSDCPENSDSEHATSINSVPSNVGFEIWVKNPSSIRERRDKFLKWMGLSIDQIAREGASNVWCDEIEVETDRILEKSGAALGSSSFNDGCSSSQSSVSCSSSDARELLDRALDDNLVCRIKNLDDGTEFIVDELSQDGKFRKLREVGSNHLLTVNEFERKLGLSPVIQQAMRREVKDVSDLGPERKQGKRGWLRRLGTVACVVDRQVESACTISNDCYLNAEARIQLVRVRSYKKRSKEFSALYKGQEIQAHEGSILTMKFSPDGQYLASAGEDGVVRVWQVLESELSGNSDIHDVNPSCVYFTVNNFSELVPLHVDKEKNGKSKRVKKVSGSTCVVLPQKVFRILDKPIHEFRGHCGEVLDLSWSKSKHILSSSVDKTVRLWQVGYDECQKVFSHNNYVTCVQFNPVDDDYFISGSIDGKVRIWVIPGCQVVDWIDVTDIVTAVCYRPDGKGAVIGSINGDCRFYDASDNHLQLKAQICLQSKKKSACSRITGFQFAPGDPDKLMVTSANSQVRILHNVDVVCKFRGLRNAGSQISASFTSDGMWIVSASEDSNVYVWNNISQDGLVPQAKNNWSCERFFSNNASVAIPWCGMTSINSIFSTMAGGMPSPKVSSSTWTCNGNAGVLQSESGESSLHKSPFSTSERSSLGHGFFSESLSRGTATWPEEKLPPPNSLVVSSAMCKSHYKLLKTSCQSALDSSHSWGLVIVTAGWDGRIRSFQNYGLPIHL >KJB44869 pep chromosome:Graimondii2_0_v6:7:47330590:47332787:-1 gene:B456_007G276700 transcript:KJB44869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAFSFLQLGWLNAIREWQEELVGNMSSREFVPEISYAVVSSSLPQGERSMVILFLPRMCLPMQKLWRLLPITSCNNIFFDAFSWFAVYG >KJB42726 pep chromosome:Graimondii2_0_v6:7:14579701:14583685:1 gene:B456_007G165300 transcript:KJB42726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLISLKSSPGTSLRSFPSFNQPPNSFARRFSMDLPLRRSSFPAIRAQQPGTISPKEEEEEDALKVKEWEVGMFQNEVAASQGIRIRRRPPTGPPLHYVGPFEFRLQNDGNTPRNILEEIVWHKDTEVSQMKERKPLATLKKFIENAPPTRDFVGALKAAHSRTGLPGLIAEVKKASPSRGILREDFDPVEIARAYEKGGAACLSVLTDEKFFKGSFENLEAIRNAGVQCPLLCKEFVIDAWQIYYARIKGADAILLIAAVLPDLDIRYMVKICKMLGLAALVEVHDEREMDRVLGIEGIELIGINNRNLETFEVDISNTKKLLEGERGQLICQKDIIVVGESGLFTPGHVGYVQEAGVKAVSYVKS >KJB42724 pep chromosome:Graimondii2_0_v6:7:14579660:14583899:1 gene:B456_007G165300 transcript:KJB42724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLISLKSSPGTSLRSFPSFNQPPNSFARRFSMDLPLRRSSFPAIRAQQPGTISPKEEEEEDALKVKEWEVGMFQNEVAASQGIRIRRRPPTGPPLHYVGPFEFRLQNDGNTPRNILEEIVWHKDTEVSQMKERKPLATLKKFIENAPPTRDFVGALKAAHSRTGLPGLIAEVKKASPSRGILREDFDPVEIARAYEKGGAACLSVLTDEKFFKGSFENLEAIRNAGVQCPLLCKEFVIDAWQIYYARIKGADAILLIAAVLPDLDIRYMVKICKMLGLAALVEVHDEREMDRVLGIEGIELIGINNRNLVCYELGELRLRHIDLKRYQCPPIFLSSETFEVDISNTKKLLEGERGQLICQKDIIVVGESGLFTPGHVGYVQEAGVKAVLVGESIVKQSDPGKGITGLFGRDISL >KJB42723 pep chromosome:Graimondii2_0_v6:7:14579601:14583899:1 gene:B456_007G165300 transcript:KJB42723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKFGLQYPSHIFPTKLILQIELGILDWRMEGLISLKSSPGTSLRSFPSFNQPPNSFARRFSMDLPLRRSSFPAIRAQQPGTISPKEEEEEDALKVKEWEVGMFQNEVAASQGIRIRRRPPTGPPLHYVGPFEFRLQNDGNTPRNILEEIVWHKDTEVSQMKERKPLATLKKFIENAPPTRDFVGALKAAHSRTGLPGLIAEVKKASPSRGILREDFDPVEIARAYEKGGAACLSVLTDEKFFKGSFENLEAIRNAGVQCPLLCKEFVIDAWQIYYARIKGADAILLIAAVLPDLDIRYMVKICKMLGLAALVEVHDEREMDRVLGIEGIELIGINNRNLETFEVDISNTKKLLEGERGQLICQKDIIVVGESGLFTPGHVGYVQEAGVKAVLVGESIVKQSDPGKGITGLFGRDISL >KJB42725 pep chromosome:Graimondii2_0_v6:7:14579701:14583685:1 gene:B456_007G165300 transcript:KJB42725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLISLKSSPGTSLRSFPSFNQPPNSFARRFSMDLPLRRSSFPAIRAQQPGTISPKEEEEEDALKVKEWEVGMFQNEVAASQGIRIRRRPPTGPPLHYVGPFEFRLQNDGNTPRNILEEIVWHKDTEVSQMKERKPLATLKKFIENAPPTRDFVGALKAAHSRTGLPGLIAEVKKASPSRGILREDFDPVEIARAYEKGGAACLSVLTDEKFFKGSFENLEAIRNAGVQCPLLCKEFVIDAWQIYYARIKGADAILLIAAVLPDLDIRYMVKICKMLGLAALVEVHDEREMDRVLGIEGIELIGINNRNLETFEVDISNTKKLLEGERGQLICQKDIIVVGESGLFTPGHVGYVQEAGVKA >KJB44719 pep chromosome:Graimondii2_0_v6:7:44970060:44973208:1 gene:B456_007G268400 transcript:KJB44719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPREEFPTKGLEGAPSNDIGWHFGTPVPNARGNIVCKLCGKVVKGGITRFKEHIAHKTGNVAPCPNVTGVIRESMMNVLKESNTKKIDKKRRKDEFLSQLIEEEDEHEGFIDEVSAIRQATRESIQTQHEWHRREEFRRSTGGWDNIYEERRSSHGSAREHNRERTSKSIPGESEFTLRGVISELVTDSFLKSFRRKIGETVSKFIIYEKLPFQLASSPWLYNLIQVSVEVGQGVKLPTPYEVSNMYLESEYQRVHDWVNGLKTHWKELGATLMCDGWTNSLNQMHIINFHVYCSKGTIFWKLVDVSSVRSRDVEFYYCLLDSVVEEIGENYIVQIVTDNEAAMKAAGKKLMLKRQHLYWTSCAAHCLDLCLEDIGKKPSVAKVLDEAKKMTCFIYNHIWTVDLMKKYTQRKQILRPALTRFATHFIQLEEITRQKQGLREMFNSKNLKNQNGDSKNFWKKANDLIKVYEPLVRVLRLVDSDEKPTMRFIYEAVDRAKRAIQQNCRYFTEYEKIIDNRWNFMHSDLHSAGYFLNSQFQFGVEHSENVLIETLEGTRSVIERLEPSMDTQVRMVNQLLLFRDKHETFSTPQAQRAWKQMNPAEWWIIYGTCVPELQKLAIKVLSQTTSASNCERNWSTFSYIHTKARNRLKYKKLEKLVFTYYNMRLKRRHKKRMSTDDINASFNPISLDHIFEDKENPLLDGENAGVLPVDTSDDEMDVDQSQQQILSHSSSSSTPSQSGDGPDGCGLSPIDEDDGYSGNRGEIRSFSQYGGEYGVGTTSGHFRDRSEFDGNMFPEPRRDRSEPRAPSKGKGKKHTSIGSSSGRRSSSSNLGYSDSSTSTK >KJB44050 pep chromosome:Graimondii2_0_v6:7:29456848:29459843:1 gene:B456_007G232200 transcript:KJB44050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEETGRETVEEKTPEKESKSKEMRSESVNEQPSPRCVLEIPVLGSDSDTSSCSSNSSSYSPRKPVFQKGNKDSYGLQWKNLIGNVKKKYVRSFSLIPLLTSNDKNSRRTKLAKLHASEEEHVDINSMPVPKPSWKNFTYSELAAATDNFSPENLIGKGGHAEVYKGHLSDGQIVAVKKLMKNEKQEEDRASDFLSELGIIAHINHPNAACLIGFSVDGGLHLVLQFSPHGSLSSVLFGSPERLDWKTRFKVAIGIADGLKYLHYDCQRRIIHRDIKASNILLTEDYEAQILVWQSGSRKIGLTMSSIPLKEHSGI >KJB44048 pep chromosome:Graimondii2_0_v6:7:29456848:29459843:1 gene:B456_007G232200 transcript:KJB44048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEETGRETVEEKTPEKESKSKEMRSESVNEQPSPRCVLEIPVLGSDSDTSSCSSNSSSYSPRKPVFQKGNKDSYGLQWKNLIGNVKKKYVRSFSLIPLLTSNDKNSRRTKLAKLHASEEEHVDINSMPVPKPSWKNFTYSELAAATDNFSPENLIGKGGHAEVYKGHLSDGQIVAVKKLMKNEKQEEDRASDFLSELGIIAHINHPNAACLIGFSVDGGLHLVLQFSPHGSLSSVLFGSPERLDWKTRFKVAIGIADGLKYLHYDCQRRIIHRDIKASNILLTEDYEAQISDFGLAKWLPENWPHHVVHPIEGTFGLGMQPLSLLRF >KJB44049 pep chromosome:Graimondii2_0_v6:7:29456848:29459843:1 gene:B456_007G232200 transcript:KJB44049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEETGRETVEEKTPEKESKSKEMRSESVNEQPSPRCVLEIPVLGSDSDTSSCSSNSSSYSPRKPVFQKGNKDSYGLQWKNLIGNVKKKYVRSFSLIPLLTSNDKNSRRTKLAKLHASEEEHVDINSMPVPKPSWKNFTYSELAAATDNFSPENLIGKGGHAEVYKGHLSDGQIVAVKKLMKNEKQEEDRASDFLSELGIIAHINHPNAACLIGFSVDGGLHLVLQFSPHGSLSSVLFGSPERLDWKTRFKVAIGIADGLKYLHYDCQRRIIHRDIKASNILLTEDYEAQISDFGLAKWLPENWPHHVVHPIEGTFGLGMQPLSLLRF >KJB44047 pep chromosome:Graimondii2_0_v6:7:29457094:29458671:1 gene:B456_007G232200 transcript:KJB44047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEETGRETVEEKTPEKESKSKEMRSESVNEQPSPRCVLEIPVLGSDSDTSSCSSNSSSYSPRKPVFQKGNKDSYGLQWKNLIGNVKKKYVRSFSLIPLLTSNDKNSRRTKLAKLHASEEEHVDINSMPVPKPSWKNFTYSELAAATDNFSPENLIGKGGHAEVYKGHLSDGQIVAVKKLMKNEKQEEDRASDFLSELGIIAHINHPNAACLIGFSVDGGLHLVLQFSPHGSLSSVLFGSPERLDWKTRFKVAIGIADGLKYLHYDCQRRIIHRDIKASNILLTEDYEAQVLSML >KJB44046 pep chromosome:Graimondii2_0_v6:7:29456757:29459860:1 gene:B456_007G232200 transcript:KJB44046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEETGRETVEEKTPEKESKSKEMRSESVNEQPSPRCVLEIPVLGSDSDTSSCSSNSSSYSPRKPVFQKGNKDSYGLQWKNLIGNVKKKYVRSFSLIPLLTSNDKNSRRTKLAKLHASEEEHVDINSMPVPKPSWKNFTYSELAAATDNFSPENLIGKGGHAEVYKGHLSDGQIVAVKKLMKNEKQEEDRASDFLSELGIIAHINHPNAACLIGFSVDGGLHLVLQFSPHGSLSSVLFGSPERLDWKTRFKVAIGIADGLKYLHYDCQRRIIHRDIKASNILLTEDYEAQISDFGLAKWLPENWPHHVVHPIEGTFGYLAPEYFMHGIVDEKIDVFAFGVLLLEIITGRRAVDSARQSLVIWAKPLLQQNEVKELVDPGLGDNYDPSEMKRSMITASMCINHSASKRPTMIRVVELLKNKESPVEGELKYCGERGIIADSLDLQDYSRTSYLNDLNRHKQLVME >KJB41499 pep chromosome:Graimondii2_0_v6:7:8056901:8060937:1 gene:B456_007G107200 transcript:KJB41499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKVCVTGATGYLGSSLVKRLLEKGHIVHATTRNLGDAYKVGLLKSLPNAETRLRLYEADIYNPSEFRAAIEGCQFVVHMATPLQHLPQNSQFKDTTEAAVAGVESIVECCITSGTVKRLIYTASVVASSPLKEDGEGFKDQLDESCWTSHGVAFAFSTDHLMAYASSKTASEKELLRYNGAIEIVSLALGLVGGGTLLSTMPGSELLGKVPILHVEDVCDAHIFCLEKPSINGRFLCATAYLSSAEIASHYRMIFPDIQIPDEFVEKLDRKIVWGSTKLKDAGF >KJB41498 pep chromosome:Graimondii2_0_v6:7:8056901:8060937:1 gene:B456_007G107200 transcript:KJB41498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKVCVTGATGYLGSSLVKRLLEKGHIVHATTRNLGDAYKVGLLKSLPNAETRLRLYEADIYNPSEFRAAIEGCQFVVHMATPLQHLPQNSQFKDTTEAAVAGVESIVECCITSGTVKRLIYTASVVASSPLKEDGEGFKDQLDESCWTSHGVAFAFSTDHLMAYASSKTASEKELLRYNGAIEIVSLALGLVGGGTLLSTMPGSVNFSSHK >KJB41497 pep chromosome:Graimondii2_0_v6:7:8057131:8060325:1 gene:B456_007G107200 transcript:KJB41497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIAGDAYKVGLLKSLPNAETRLRLYEADIYNPSEFRAAIEGCQFVVHMATPLQHLPQNSQFKDTTEAAVAGVESIVECCITSGTVKRLIYTASVVASSPLKEDGEGFKDQLDESCWTSHGVAFAFSTDHLMAYASSKTASEKELLRYNGAIEIVSLALGLVGGGTLLSTMPGSVNFSSHK >KJB41973 pep chromosome:Graimondii2_0_v6:7:10494732:10499568:-1 gene:B456_007G130400 transcript:KJB41973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTLVLRSSSLSFSHFNHFSTLPRKFPFSFTHFPCPFSTVFPRPVNSCRCKVFAMAERTSTSSSHSLKYTNRLATEHSPYLLQHAHNPVDWYPWGEEAFAEARKRDVPIFLSIGYSTCHWCHVMEVESFENEEVAKLLNDWFVSIKVDREERPDVDKVYMTYVQALYGGGGWPLSVLLSPDLKPLMGGTYFPPEDKYGRPGFKTILRKVKDAWDNKRDMIVKSGAFAIEQLSEALSASAGSNQLPDGLAQNALRLCAEQLSNSYDSRFGGFGSAPKFPRPVEIQLMLYQSKKLEESRKPGEAKESLKMVFFSLQCMARGGMHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQLANVYLDAFFISRDILYSFISRDILDYLRRDMIGSEGGIFSAEDADSAEFEGATRKKEGAFYIWTSKEIDDILGEHASLFKEHYYVKPSGNCDLSSMSDPHKEFKGKNVLIERNDSSALASKLGMSIQEYLDILGECKKKLFDVRAKRPRPHLDDKVIVSWNGLAISSFAKASKILKGEPQGTNSNFPVVGCDPKEYMEVAEKAANFIRRHLYNEQTRRLQHSFRNGPSNAPGFLDDYAFLISGLLDLYEFGGSTDWLVWATELQDMQDELFLDREGGAYFNTPGEDPSVLLRVKEDHDGAEPSGNSVSAINLVRLVSMVSGSKSDHYRQNGEHLLVCPHFKENNFSSNQICFLQIAAWFWNSVCVCRLFSSRD >KJB41970 pep chromosome:Graimondii2_0_v6:7:10494059:10499793:-1 gene:B456_007G130400 transcript:KJB41970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTLVLRSSSLSFSHFNHFSTLPRKFPFSFTHFPCPFSTVFPRPVNSCRCKVFAMAERTSTSSSHSLKYTNRLATEHSPYLLQHAHNPVDWYPWGEEAFAEARKRDVPIFLSIGYSTCHWCHVMEVESFENEEVAKLLNDWFVSIKVDREERPDVDKVYMTYVQALYGGGGWPLSVLLSPDLKPLMGGTYFPPEDKYGRPGFKTILRKVKDAWDNKRDMIVKSGAFAIEQLSEALSASAGSNQLPDGLAQNALRLCAEQLSNSYDSRFGGFGSAPKFPRPVEIQLMLYQSKKLEESRKPGEAKESLKMVFFSLQCMARGGMHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQLANVYLDAFFISRDILYSFISRDILDYLRRDMIGSEGGIFSAEDADSAEFEGATRKKEGAFYIWTSKEIDDILGEHASLFKEHYYVKPSGNCDLSSMSDPHKEFKGKNVLIERNDSSALASKLGMSIQEYLDILGECKKKLFDVRAKRPRPHLDDKVIVSWNGLAISSFAKASKILKGEPQGTNSNFPVVGCDPKEYMEVAEKAANFIRRHLYNEQTRRLQHSFRNGPSNAPGFLDDYAFLISGLLDLYEFGGSTDWLVWATELQDMQDELFLDREGGAYFNTPGEDPSVLLRVKEDHDGAEPSGNSVSAINLVRLVSMVSGSKSDHYRQNGEHLLAVFESRLKEMAMAVPLMCCAADMLSIPSRKQVVLVGHKPSEEFENMLAAAHASYDPNKTVIHIDPTNTAEMEFWETNNNKVALMARNNFAADKVVALVCQSFSCRPPVSSPESLQHMLSNKSASSSVV >KJB41972 pep chromosome:Graimondii2_0_v6:7:10494130:10499746:-1 gene:B456_007G130400 transcript:KJB41972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTLVLRSSSLSFSHFNHFSTLPRKFPFSFTHFPCPFSTVFPRPVNSCRCKVFAMAERTSTSSSHSLKYTNRLATEHSPYLLQHAHNPVDWYPWGEEAFAEARKRDVPIFLSIGYSTCHWCHVMEVESFENEEVAKLLNDWFVSIKVDREERPDVDKVYMTYVQALYGGGGWPLSVLLSPDLKPLMGGTYFPPEDKYGRPGFKTILRKVKDAWDNKRDMIVKSGAFAIEQLSEALSASAGSNQLPDGLAQNALRLCAEQLSNSYDSRFGGFGSAPKFPRPVEIQLMLYQSKKLEESRKPGEAKESLKMVFFSLQCMARGGMHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQLANVYLDAFFISRDILYSFISRDILDYLRRDMIGSEGGIFSAEDADSAEFEGATRKKEGAFYIWTSKEIDDILGEHASLFKEHYYVKPSGNCDLSSMSDPHKEFKGKNVLIERNDSSALASKLGMSIQEYLDILGECKKKLFDVRAKRPRPHLDDKVIVSWNGLAISSFAKASKILKGEPQGTNSNFPVVGCDPKEYMEVAEKAANFIRRHLYNEQTRRLQHSFRNGPSNAPGFLDDYAFLISGLLDLYEFGGSTDWLVWATELQDMQARAIDGLLFTRISFLSPC >KJB41971 pep chromosome:Graimondii2_0_v6:7:10494130:10499746:-1 gene:B456_007G130400 transcript:KJB41971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYVQALYGGGGWPLSVLLSPDLKPLMGGTYFPPEDKYGRPGFKTILRKVKDAWDNKRDMIVKSGAFAIEQLSEALSASAGSNQLPDGLAQNALRLCAEQLSNSYDSRFGGFGSAPKFPRPVEIQLMLYQSKKLEESRKPGEAKESLKMVFFSLQCMARGGMHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQLANVYLDAFFISRDILYSFISRDILDYLRRDMIGSEGGIFSAEDADSAEFEGATRKKEGAFYIWTSKEIDDILGEHASLFKEHYYVKPSGNCDLSSMSDPHKEFKGKNVLIERNDSSALASKLGMSIQEYLDILGECKKKLFDVRAKRPRPHLDDKVIVSWNGLAISSFAKASKILKGEPQGTNSNFPVVGCDPKEYMEVAEKAANFIRRHLYNEQTRRLQHSFRNGPSNAPGFLDDYAFLISGLLDLYEFGGSTDWLVWATELQDMQDELFLDREGGAYFNTPGEDPSVLLRVKEDHDGAEPSGNSVSAINLVRLVSMVSGSKSDHYRQNGEHLLAVFESRLKEMAMAVPLMCCAADMLSIPSRKQVVLVGHKPSEEFENMLAAAHASYDPNKTVIHIDPTNTAEMEFWETNNNKVALMARNNFAADKVVALVCQSFSCRPPVSSPESLQHMLSNKSASSSVV >KJB42784 pep chromosome:Graimondii2_0_v6:7:14917325:14919149:1 gene:B456_007G167600 transcript:KJB42784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKEIITVISVLLLLFPSTVKPRAVGGAQPAGGPQGGAPEGGGGGTLDVVAQFGAKADEKTDLSQPLLNAWKEACASPAPSQIVIPAGTFLLSRAVLEGPCKGPVDIQVQGTIKAPPDPSHFKDDNWVIFSRIEGFTMSGGGIFDGQGALAWSKNDCHKKKKCTLFPVNIRFNFLTNAYIHDITTKDSKQFNVNVLGCKNITFEHFTVLNPEHSLNTDGIHIGRSDGVTILHSEIKTGDDCISLGDGSKNVVVNGISCGPGHGISIGSLGKYQGEEPVAGIKIANCTITNTMNGVRIKSWPASYPGTASDMHFEDITMDNVSNPIIIDQQYCPWNLCDLKNPSRIKLSNISFKNIRGTAAGKEAVKIICSAGFPCEGVELADIDLTYTGPDGPAISQCSNVSPKLSGKQNPPICAAPAPTSPGGQAGPPKASPGGKASPGGKASLGATA >KJB46005 pep chromosome:Graimondii2_0_v6:7:56769829:56783840:-1 gene:B456_007G342400 transcript:KJB46005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 7, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G27600) UniProtKB/Swiss-Prot;Acc:Q8LKS5] MESPAQRRLNAIQSHLLPSAVSAAEDESLIGRNVTSSSSSPPFFVDQQYSVILPEKLQTGKWNVYRSARSPMKLLSRFDDHPEIGTLHENFVHAVETFRDYKYLGTRIRVDGTVGEYKWMTFGEAATAREAIGSGLRYYGLEQGACVGLYFINRPEWLVVDHACSAYSYISVPLYDTLGPDAVKFVVNHSGIKAIFCVPETLNTLLSFISSIPSVRLIVVVGGADEHLPSLPSTPGVKFVSYLKLLGQGRRNLQPFCPPKPDDVATICYTSGTTGTPKGVVLTHGNLIANASAFCRKVKFYSSDVYISYLPLAHIYERSNQVICCNNGVGVGFYQGDNLKLMDDLAALRPTIFCSVPRLYNRIYAGITNAVSTSGALKERLFRAAYNSKRQAIMNGRNASPIWDKLVFNKIKEKLGGRVRILGSGASPLSPDVMDFLRVCFGCMLIEGYGMTETSCIITLMDVGDVLAGHVGSPHAACEVKLVDVPEMNYTSEDEPYPRGEICVRGPIVFQGYYKDEVQTREVFDDDGWFHTGDIGLWLPGGRLRIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVSQCFVYGDSFNSSLVAVVAVEPDVLRDWAASEGIQYEDLGQLCNDPRARNAVLAEMDDVGREAQLRGFEFAKAVTLVPEQFTLENGLLTPTFKIKRSQAKEYFAKAIANMYAELSTSEPAPSKL >KJB46008 pep chromosome:Graimondii2_0_v6:7:56778174:56783840:-1 gene:B456_007G342400 transcript:KJB46008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 7, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G27600) UniProtKB/Swiss-Prot;Acc:Q8LKS5] MESPAQRRLNAIQSHLLPSAVSAAEDESLIGRNVTSSSSSPPFFVDQQYSVILPEKLQTGKWNVYRSARSPMKLLSRFDDHPEIGTLHENFVHAVETFRDYKYLGTRIRVDGTVGEYKWMTFGEAATAREAIGSGLRYYGLEQGACVGLYFINRPEWLVVDHACSAYSYISVPLYDTLGPDAVKFVVNHSGIKAIFCVPETLNTLLSFISSIPSVRLIVVVGGADEHLPSLPSTPGVKFVSYLKLLGQGRRNLQPFCPPKPDDVATICYTSGTTGTPKGVVLTHGNLIANASAFCRKVKFYSSDVYISYLPLAHIYERSNQVICCNNGVGVGFYQGDNLKLMDDLAALRPTIFCSVPRLYNRIYAGITNAVSTSGALKERLFRAAYNSKRQAIMNGRNASPIWDKLVFNKIKEKLGGRVRILGSGASPLSPDVMDFLRVCFGCMLIEGYGMTETSCIITLMDVGDVLAGHVGSPHAACEVKLVDVPEMNYTSEDEPYPRGEICVRGPIVFQGYYKDEVQTREVFDDDGWFHTGDIGLWLPGGRLRIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVSQCFVYGKEIDSKP >KJB46007 pep chromosome:Graimondii2_0_v6:7:56776517:56783840:-1 gene:B456_007G342400 transcript:KJB46007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 7, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G27600) UniProtKB/Swiss-Prot;Acc:Q8LKS5] MESPAQRRLNAIQSHLLPSAVSAAEDESLIGRNVTSSSSSPPFFVDQQYSVILPEKLQTGKWNVYRSARSPMKLLSRFDDHPEIGTLHENFVHAVETFRDYKYLGTRIRVDGTVGEYKWMTFGEAATAREAIGSGLRYYGLEQGACVGLYFINRPEWLVVDHACSAYSYISVPLYDTLGPDAVKFVVNHSGIKAIFCVPETLNTLLSFISSIPSVRLIVVVGGADEHLPSLPSTPGVKFVSYLKLLGQGRRNLQPFCPPKPDDVATICYTSGTTGTPKGVVLTHGNLIANASAFCRKVKFYSSDVYISYLPLAHIYERSNQVICCNNGVGVGFYQGDNLKLMDDLAALRPTIFCSVPRLYNRIYAGITNAVSTSGALKERLFRAAYNSKRQAIMNGRNASPIWDKLVFNKIKEKLGGRVRILGSGASPLSPDVMDFLRVCFGCMLIEGYGMTETSCIITLMDVGDVLAGHVGSPHAACEVKLVDVPEMNYTSEDEPYPRGEICVRGPIVFQGYYKDEVQT >KJB46004 pep chromosome:Graimondii2_0_v6:7:56776400:56783864:-1 gene:B456_007G342400 transcript:KJB46004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 7, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G27600) UniProtKB/Swiss-Prot;Acc:Q8LKS5] MESPAQRRLNAIQSHLLPSAVSAAEDESLIGRNVTSSSSSPPFFVDQQYSVILPEKLQTGKWNVYRSARSPMKLLSRFDDHPEIGTLHENFVHAVETFRDYKYLGTRIRVDGTVGEYKWMTFGEAATAREAIGSGLRYYGLEQGACVGLYFINRPEWLVVDHACSAYSYISVPLYDTLGPDAVKFVVNHSGIKAIFCVPETLNTLLSFISSIPSVRLIVVVGGADEHLPSLPSTPGVKFVSYLKLLGQGRRNLQPFCPPKPDDVATICYTSGTTGTPKGVVLTHGNLIANASAFCRKVKFYSSDVYISYLPLAHIYERSNQVICCNNGVGVGFYQGDNLKLMDDLAALRPTIFCSVPRLYNRIYAGITNAVSTSGALKERLFRAAYNSKRQAIMNGRNASPIWDKLVFNKIKEKLGGRVRILGSGASPLSPDVMDFLRVCFGCMLIEGYGMTETSCIITLMDVGDVLAGHVGSPHAACEVKLVDVPEMNYTSEDEPYPRGEICVRGPIVFQGYYKDEVQTREVFDDDGWFHTGDIGLWLPGGRLRIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVSQCFVYGDSFNSSLVAVVAVEPDVLRDWAASEGIQYEDLGQLCNDPRARNAVLAEMDDVGREAQLRGFEFAKAVTLVPEQFTLENGLLTPTFKIKRSQAKEYFAKAIANMYAELSTSEPAPSKL >KJB46006 pep chromosome:Graimondii2_0_v6:7:56776514:56783840:-1 gene:B456_007G342400 transcript:KJB46006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 7, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G27600) UniProtKB/Swiss-Prot;Acc:Q8LKS5] MESPAQRRLNAIQSHLLPSAVSAAEDESLIGRNVTSSSSSPPFFVDQQYSVILPEKLQTGKWNVYRSARSPMKLLSRFDDHPEIGTLHENFVHAVETFRDYKYLGTRIRVDGTVGEYKWMTFGEAATAREAIGSGLRYYGLEQGACVGLYFINRPEWLVVDHACSAYSYISVPLYDTLGPDAVKFVVNHSGIKAIFCVPETLNTLLSFISSIPSVRLIVVVGGADEHLPSLPSTPGVKFVSYLKLLGQGRRNLQPFCPPKPDDVATICYTSGTTGTPKGVVLTHGNLIANASAFCRKVKFYSSDVYISYLPLAHIYERSNQVICCNNGVGVGFYQGDNLKLMDDLAALRPTIFCSVPRLYNRIYAGITNAVSTSGALKERLFRAAYNSKRQAIMNGRNASPIWDKLVFNKIKEKLGGRVRILGSGASPLSPDVMDFLRVSIGHIHSNRQHWCFGCMLIEGYGMTETSCIITLMDVGDVLAGHVGSPHAACEVKLVDVPEMNYTSEDEPYPRGEICVRGPIVFQGYYKDEVQTREVFDDDGWFHTGDIGLWLPGGRLRIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVSQCFVYGDSFNSSLVAVVAVEPDVLRDWAASEGIQYEDLGQLCNDPRARNAVLAEMDDVGREAQLRGFEFAKAVTLVPEQFTLENGLLTPTFKIKRSQAKEYFAKAIANMYAELSTSEPAPSKL >KJB44834 pep chromosome:Graimondii2_0_v6:7:47034195:47038316:-1 gene:B456_007G275500 transcript:KJB44834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNAWEFHCSEGEYKDPQGKPFKRVLWHHKLSYQRTPGDEVEDMFILLNEVKKQIPSVTAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLQEMITNEIMAITVKVAAMGLDPAKHLGKEIAFLEPYLHKLKDLYGINVCGEGGEYETLTLDCPLFQNARIMLDDFQVVLHSSDSIAPVGVLHPLKFHLESKQSNSLSGNNKTNDLCRENISSVFEVQGVNLEECKAPGEPDPEVNDLIEVSSHRLHLSKTEKDNTFSICCWLQDTSGPPTGLQGDLKLILRQIELQLEGCGLGWEHVLYIHLYISDMDQFTQANETYVRFITQDKCPFGVPSRSTIELPLIQAGLGRAYVEVLVANDQSKRVLHVQSISCWAPSCIGPYSQATLHKEILHMAGQLGLDPPTMTLCDGGSTAELESALQNSEAIAKCFNCSISTSAILFVVYCSTNIPLDERPKIHDNLDTFAKQLKLSHLDKGTKPEVLDPIFLYILVPDLPKRALVEIKPILYVPETMETPEETSCQLSSIVAPTSFGFQPADWHDSCIQKCVIPGKICAVVLSITSVVAMKICSDSMNADWSNNNHQNFLTESQMKRISRFCIFLLNKTIIENDFSWKDTMSLRLYFPPNLHVPLETLSNLFADGFKELDQMNGGTKVGGKPIFNLVPVLGAGISAACTNDIITCELFARKS >KJB44837 pep chromosome:Graimondii2_0_v6:7:47033878:47038741:-1 gene:B456_007G275500 transcript:KJB44837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQTVGHQIIVSYAECMGVPLFRRRIQGSTRHHKLSYQRTPGDEVEDMFILLNEVKKQIPSVTAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLQEMITNEIMAITVKVAAMGLDPAKHLGKEIAFLEPYLHKLKDLYGINVCGEGGEYETLTLDCPLFQNARIMLDDFQVVLHSSDSIAPVGVLHPLKFHLESKQSNSLSGNNKTNDLCRENISSVFEVQGVNLEECKAPGEPDPEVNDLIEVSSHRLHLSKTEKDNTFSICCWLQDTSGPPTGLQGDLKLILRQIELQLEGCGLGWEHVLYIHLYISDMDQFTQANETYVRFITQDKCPFGVPSRSTIELPLIQAGLGRAYVEVLVANDQSKRVLHVQSISCWAPSCIGPYSQATLHKEILHMAGQLGLDPPTMTLCDGGSTAELESALQNSEAIAKCFNCSISTSAILFVVYCSTNIPLDERPKIHDNLDTFAKQLKLSHLDKGTKPEVLDPIFLYILVPDLPKRALVEIKPILYVPETMETPEETSCQLSSIVAPTSFGFQPADWHDSCIQKCVIPGKICAVVLSITSVVAMKICSDSMNADWSNNNHQNFLTESQMKRISRFCIFLLNKTIIENDFSWKDTMSLRLYFPPNLHVPLETLSNLFADGFKELDQMNGGTKVGGKPIFNLVPVLGAGISAACTNDIITCELFARKS >KJB44835 pep chromosome:Graimondii2_0_v6:7:47032968:47039082:-1 gene:B456_007G275500 transcript:KJB44835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMKVVALVSGGKDSCYAMMKCIQYGHQIVAVANLLPADDSVDELDSYMYQTVGHQIIVSYAECMGVPLFRRRIQGSTRHHKLSYQRTPGDEVEDMFILLNEVKKQIPSVTAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLQEMITNEIMAITVKVAAMGLDPAKHLGKEIAFLEPYLHKLKDLYGINVCGEGGEYETLTLDCPLFQNARIMLDDFQVVLHSSDSIAPVGVLHPLKFHLESKQSNSLSGNNKTNDLCRENISSVFEVQGVNLEECKAPGEPDPEVNDLIEVSSHRLHLSKTEKDNTFSICCWLQDTSGPPTGLQGDLKLILRQIELQLEGCGLGWEHVLYIHLYISDMDQFTQANETYVRFITQDKCPFGVPSRSTIELPLIQAGLGRAYVEVLVANDQSKRVLHVQSISCWAPSCIGPYSQATLHKEILHMAGQLGLDPPTMTLCDGGSTAELESALQNSEAIAKCFNCSISTSAILFVVYCSTNIPLDERPKIHDNLDTFAKQLKLSHLDKGTKPEVLDPIFLYILVPDLPKRALVEIKPILYVPETMETPEETSCQLSSIVAPTSFGFQPADWHDSCIQKCVIPGKICAVVLSITSVVAMKICSDSMNADWSNNNHQNFLTESQMKRISRFCIFLLNKTIIENDFSWKDTMSLRLYFPPNLHVPLETLSNLFADGFKELDQMNGGTKVGGKPIFNLVPVLGAGISAACTNDIITCELFARKS >KJB44833 pep chromosome:Graimondii2_0_v6:7:47032968:47039054:-1 gene:B456_007G275500 transcript:KJB44833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMKVVALVSGGKDSCYAMMKCIQYGHQIVAVANLLPADDSVDELDSYMYQTVGHQIIVSYAECMGVPLFRRRIQGSTRHHKLSYQRTPGDEVEDMFILLNEVKKQIPSVTAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLQEMITNEIMAITVKVAAMGLDPAKHLGKEIAFLEPYLHKLKDLYGINVCGEGGEYETLTLDCPLFQNARIMLDDFQVVLHSSDSIAPVGVLHPLKFHLESKQSNSLSGNNKTNDLCRENISSVFEVQGVNLEECKAPGEPDPEVNDLIEVSSHRLHLSKTEKDNTFSICCWLQDTSGPPTGLQGDLKLILRQIELQLEGCGLGWEHVLYIHLYISDMDQFTQANETYVRFITQDKCPFGVPSRSTIELPLIQAGLGRAYVEVLVANDQSKRVLHVQSISCWAPSCIGPYSQATLHKEILHMAGQLGLDPPTMTLCDGGSTAELESALQNSESTCRNKADSLCPRDYGNA >KJB44832 pep chromosome:Graimondii2_0_v6:7:47034952:47038316:-1 gene:B456_007G275500 transcript:KJB44832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNAWEFHCSEGEYKDPQGKPFKRVLWHHKLSYQRTPGDEVEDMFILLNEVKKQIPSVTAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLQEMITNEIMAITVKVAAMGLDPAKHLGKEIAFLEPYLHKLKDLYGINVCGEGGEYETLTLDCPLFQNARIMLDDFQVVLHSSDSIAPVGVLHPLKFHLESKQSNSLSGNNKTNDLCRENISSVFEVQGVNLEECKAPGEPDPEVNDLIEVSSHRLHLSKTEKDNTFSICCWLQDTSGPPTGLQGDLKLILRQIELQLEGCGLGWEHVLYIHLYISDMDQFTQANETYVRFITQDKCPFGVPSRSTIELPLIQAGLGRAYVEVLVANDQSKRVLHVQSISCWAPSCIGPYSQATLHKEILHMAGQLGLDPPTMTLCDGGSTAELESALQNSESTCRNKADSLCPRDYGNA >KJB44836 pep chromosome:Graimondii2_0_v6:7:47034195:47038316:-1 gene:B456_007G275500 transcript:KJB44836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNAWEFHCSEGEYKDPQGKPFKRVLWHHKLSYQRTPGDEVEDMFILLNEVKKQIPSVTAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLQEMITNEIMAITVKVAAMGLDPAKHLGKEIAFLEPYLHKLKDLYGINVCGEGGEYETLTLDCPLFQNARIMLDDFQVVLHSSDSIAPVGVLHPLKFHLESKQSNSLSGNNKTNDLCRENISSVFEVQGVNLEECKAPGEPDPEVNDLIEVSSHRLHLSKTEKDNTFSICCWLQDTSGPPTGLQGDLKLILRQIELQLEGCGLGWEHVLYIHLYISDMDQFTQANETYVRFITQDKCPFGVPSRSTIELPLIQAGLGRAYVEVLVANDQSKRVLHVQSISCWAPSCIGPYSQATLHKEILHMAGQLGLDPPTMTLCDGGSTAELESALQNSEAIAKCFNCSISTSAILFVVYCSTNIPLDERPKIHDNLDTFAKQLKLSHLDKGTKPEVLDPIFLYILVPDLPKRALVEIKPILYVPETMETPEETSCQLSSIVAPTSFGFQPADWHDSCIQKCVIPGKICAVVLSITSVVAMKICSDSMNADWSNNNHQNFLTESQMKRISRFCIFLLNKTIIENDFSWKDTMSLRLYFPPNLHVPLETLSNLFADGFKELDQMNGGTKVGGKPIFNLVPVLGAGISAACTNDIITCELFARKS >KJB42840 pep chromosome:Graimondii2_0_v6:7:15293636:15295756:-1 gene:B456_007G169800 transcript:KJB42840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPNPPFEIDLERVVDDFIFICFFVGNDFLPHMPTLEIREDAINLLMVVYKKEFRSFGGYLTDGSKPNLSRVENFIQAVGSYEDKIFNKKAQLHQTFSNMIPKLSSKLAKCG >KJB42839 pep chromosome:Graimondii2_0_v6:7:15293636:15296488:-1 gene:B456_007G169800 transcript:KJB42839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPNPPFEIDLERVVDDFIFICFFVGNDFLPHMPTLEIREDAINLLMVVYKKEFRSFGGYLTDGSKPNLSRVENFIQAVGSYEDKIFNKKAQLHQTFSNMIPKLSSKLAKCG >KJB45884 pep chromosome:Graimondii2_0_v6:7:55958324:55965013:-1 gene:B456_007G335200 transcript:KJB45884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPREADIPALFLVLVVLPLVAYFLLGKWSEASKKQEQISLLAQLAAEEALRAETMAAASVIPLVPSSKNGLHACAWCFGPATTRCSRCKAVKYCSGRCQIIHWRQVHKQECLQLENTSSSSSPSVASFGESALLGDNMNSQFFGDCSTVDSSQDSLADMRSTEKRIPCKSNKDMLQREDATIFDSCEETSRTRASSSASNNISLKEAFIRHKLRTSGFVESEEGMLRPQNANGSTMHTQRQNASMVMHENHKHQSQCGNKSEPKSNYKFSCPPYSAKDGLSAREAENALFQSSENLVNRENGYSGESVELDCSGMTAVKECTKARSSVHSLGPKISKSPKLAAKVPGEQLLGPKISKSPKLAAKVPGEQLCPEMGRKGQIPNELISGMAGAIPAQGTNGAASGGIMEMMGLKKSTKPARSSFSVLCGERRKKIKTLFPYEEFVKFFQCEAFDLSPRGLLNIGNSCYANAVLQCLTCTKPLSIYLLRQSHSRACYGKDWCLMCELEQHVMLLRESGGPLSSTRILSHIRSINCQMGDGSQEDAHEFLRLLVASMQSICLERLGGEHKVDPRLQETTFIQHTFGGRLRSKVKCLRCFHESERYENIMDLTLEIYGWVESLEDALTQFTTPEDLDGENMYRCGRCASYVRARKQLCIDEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMVPFMTGTGDIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLRGNWFRIDDTEVCPVSMSQVMSEGAYILFYMRSCPRLQREFTEKSIRKQVPTRHLVSKTERPSRAAQSKSGNCSVGPKHVSDFSPRTATGCYNHNGNDILRQSTNGNIPDPINMEFSDATSSDWSLFTSSDEASFTTESARDSFSTVDYADASNGDTFSIFNNLSTPESSSYNTVSCRMFSTSRPYTRFALEETGYVYDSYSSMQPDFGYHENLEQVSDSLSEFSLASDYGLFVKYGNNPKNSLDRTANYEPY >KJB45886 pep chromosome:Graimondii2_0_v6:7:55958530:55964293:-1 gene:B456_007G335200 transcript:KJB45886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPREADIPALFLVLVVLPLVAYFLLGKWSEASKKQEQISLLAQLAAEEALRAETMAAASVIPLVPSSKNGLHACAWCFGPATTRCSRCKAVKYCSGRCQIIHWRQVHKQECLQLENTSSSSSPSVASFGESALLGDNMNSQFFGDCSTVDSSQDSLADMRSTEKRIPCKSNKDMLQREDATIFDSCEETSRTRASSSASNNISLKEAFIRHKLRTSGFVESEEGMLRPQNANGSTMHTQRQNASMVMHENHKHQSQCGNKSEPKSNYKFSCPPYSAKDGLSAREAENALFQSSENLVNRENGYSGESVELDCSGMTAVKECTKARSSVHSLGPKISKSPKLAAKVPGEQLLGPKISKSPKLAAKVPGEQLCPEMGRKGQIPNELKVSGMAGAIPAQGTNGAASGGIMEMMGLKKSTKPARSSFSVLCGERRKKIKTLFPYEEFVKFFQCEAFDLSPRGLLNIGNSCYANAVLQCLTCTKPLSIYLLRQSHSRACYGKDWCLMCELEQHVMLLRESGGPLSSTRILSHIRSINCQMGDGSQEDAHEFLRLLVASMQSICLERLGGEHKVDPRLQETTFIQHTFGGRLRSKVKCLRCFHESERYENIMDLTLEIYGWVESLEDALTQFTTPEDLDGENMYRCGRCASYVRARKQLCIDEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMVPFMTGTGDIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLRGNWFRIDDTEVCPVSMSQVMSEGAYILFYMRSCPRLQREFTEKSIRKQVPTRHLVSKTERPSRAAQSKSGNCSVGPKHVSDFSPRTATGCYNHNGNDILRQSTNGNIPDPINMEFSDATSSDWSLFTSSDEASFTTESARDSFSTVDYADASNGDTFSIFNNLSTPESSSYNTVSCRMFSTSRPYTRFALEETGYVYDSYSSMQPDFGYHENLEQVSDSLSEFSLASDYGLFVKYGNNPKNSLDRTANYEPY >KJB45885 pep chromosome:Graimondii2_0_v6:7:55958342:55966086:-1 gene:B456_007G335200 transcript:KJB45885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPREADIPALFLVLVVLPLVAYFLLGKWSEASKKQEQISLLAQLAAEEALRAETMAAASVIPLVPSSKNGLHACAWCFGPATTRCSRCKAVKYCSGRCQIIHWRQVHKQECLQLENTSSSSSPSVASFGESALLGDNMNSQFFGDCSTVDSSQDSLADMRSTEKRIPCKSNKDMLQREDATIFDSCEETSRTRASSSASNNISLKEAFIRHKLRTSGFVESEEGMLRPQNANGSTMHTQRQNASMVMHENHKHQSQCGNKSEPKSNYKFSCPPYSAKDGLSAREAENALFQSSENLVNRENGYSGESVELDCSGMTAVKECTKARSSVHSLGPKISKSPKLAAKVPGEQLLGPKISKSPKLAAKVPGEQLCPEMGRKGQIPNELISGMAGAIPAQGTNGAASGGIMEMMGLKKSTKPARSSFSVLCGERRKKIKTLFPYEEFVKFFQCEAFDLSPRGLLNIGNSCYANAVLQCLTCTKPLSIYLLRQSHSRACYGKDWCLMCELEQHVMLLRESGGPLSSTRILSHIRSINCQMGDGSQEDAHEFLRLLVASMQSICLERLGGEHKVDPRLQETTFIQHTFGGRLRSKVKCLRCFHESERYENIMDLTLEIYGWVESLEDALTQFTTPEDLDGENMYRCGRCASYVRARKQLCIDEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMVPFMTGTGDIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLRGNWFRIDDTEVCPVSMSQVMSEGAYILFYMRSCPRLQREFTEKSIRKQVPTRHLVSKTERPSRAAQSKSGNCSVGPKHVSDFSPRTATGCYNHNGNDILRQSTNGNIPDPINMEFSDATSSDWSLFTSSDEASFTTESARDSFSTVDYADASNGDTFSIFNNLSTPESSSYNTVSCRMFSTSRPYTRFALEETGYVYDSYSSMQPDFGYHENLEQVSDSLSEFSLASDYGLFVKYGNNPKNSLDRTANYEPY >KJB45883 pep chromosome:Graimondii2_0_v6:7:55958530:55964293:-1 gene:B456_007G335200 transcript:KJB45883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPREADIPALFLVLVVLPLVAYFLLGKWSEASKKQEQISLLAQLAAEEALRAETMAAASVIPLVPSSKNGLHACAWCFGPATTRCSRCKAVKYCSGRCQIIHWRQVHKQECLQLENTSSSSSPSVASFGESALLGDNMNSQFFGDCSTVDSSQDSLADMRSTEKRIPCKSNKDMLQREDATIFDSCEETSRTRASSSASNNISLKEAFIRHKLRTSGFVESEEGMLRPQNANGSTMHTQRQNASMVMHENHKHQSQCGNKSEPKSNYKFSCPPYSAKDGLSAREAENALFQSSENLVNRENGYSGESVELDCSGMTAVKECTKARSSVHSLGPKISKSPKLAAKVPGEQLLGPKISKSPKLAAKVPGEQLCPEMGRKGQIPNELKVSGMAGAIPAQGTNGAASGGIMEMMGLKKSTKPARSSFSVLCGERRKKIKTLFPYEEFVKFFQCEAFDLSPRGLLNIGNSCYANAVLQCLTCTKPLSIYLLRQSHSRACYGKDWCLMCELEQHVMLLRESGGPLSSTRILSHIRSINCQMGDGSQEDAHEFLRLLVASMQSICLERLGGEHKVDPRLQETTFIQHTFGGRLRSKVKCLRCFHESERYENIMDLTLEIYGWVESLEDALTQFTTPEDLDGENMYRCGRCASYVRARKQLCIDEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMVPFMTGTGDIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLRGNWFRIDDTEVCPVSMSQVMSEGAYILFYMRSCPRLQREFTEKSIRKQVPTRHLVSKTERPSRAAQSKSGNCSVGPKHVSDFSPRTATGCYNHNGNDILRQSTNGNIPDPINMEFSDATSSDWSLFTSSDEASFTTESARDSFSTVDYADASNGDTFSIFNNLSTPESSSYNTVSCRMFSTSRPYTRFALEETGYVYDSYSSMQPDFGYHENLEQVSDSLSEFSLASDYGLFVKYGNNPKNSLDRTANYEPY >KJB43993 pep chromosome:Graimondii2_0_v6:7:27800687:27803447:-1 gene:B456_007G228300 transcript:KJB43993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVRSGLLRTALRGGSRPPAPPKRGFSSSSNRDDAYETAKWEKITYLGILTCTGLAFYNLSKGHPHYEEPPPYPYLHIRNKEFPWGPDGLFEVKHH >KJB42957 pep chromosome:Graimondii2_0_v6:7:16439488:16444861:-1 gene:B456_007G176900 transcript:KJB42957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHYSRANEFGQLGDGTEKGRKNPKKVKQLQTEFVKFVSCGAHCTAAIAEPRENDGTISTRRLWIWGQNQGSNLPRLFWGAFTPNMVIRQVSCGAAHVVALSEEGLLQAWGYNEYGQLGRGVTSEGLQGARVINAYAKFLDEAPELVKITQVSCGEYHTAAVSEKGEVYTWGLGNMGQLGHSSLQSGDKELVPRRIVALDGICIKDVACGGVHTCALTSKGALYAWGGGQVGQLGLGPQTGFFSCNPNDSFFRNIPALVIPTGVQLVACGHSHTLICLRDGRINGWGYNSYGQAANQKSIYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLAECVTLSNAARIGDVASRTGADALARLCERLREHHLHGGGFDDQDDISNMKS >KJB42959 pep chromosome:Graimondii2_0_v6:7:16439828:16444336:-1 gene:B456_007G176900 transcript:KJB42959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIETIMGETMTRSQNIPTKSAIYVWGYNHSGQTGRRGKEQHLRIPKQLSPDLFGCPAGGNSRWLDIACGREHTAAVASDGSLFTWGANEFGQLGDGTEKGRKNPKKVKQLQTEFVKFVSCGAHCTAAIAEPRENDGTISTRRLWIWGQNQGSNLPRLFWGAFTPNMVIRQVSCGAAHVVALSEEGLLQAWGYNEYGQLGRGVTSEGLQGARVINAYAKFLDEAPELVKITQVSCGEYHTAAVSEKGEVYTWGLGNMGQLGHSSLQSGDKELVPRRIVALDGICIKDVACGGVHTCALTSKGALYAWGGGQVGQLGLGPQTGFFSCNPNDSFFRNIPALVIPTGVQLVACGHSHTLICLRDGRINGWGYNSYGQAANQKSIYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLAECVTLSNAARIGDVASRTGADALARLCERLREHHLHGGGFDDQDDISNMKS >KJB42956 pep chromosome:Graimondii2_0_v6:7:16439439:16444410:-1 gene:B456_007G176900 transcript:KJB42956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIETIMGETMTRSQNIPTKSAIYVWGYNHSGQTGRRGKEQHLRIPKQLSPDLFGCPAGGNSRWLDIACGREHTAAVASDGSLFTWGANEFGQLGDGTEKGRKNPKKVKQLQTEFVKFVSCGAHCTAAIAEPRENDGTISTRRLWIWGQNQGSNLPRLFWGAFTPNMVIRQVSCGAAHVVALSEEGLLQAWGYNEYGQLGRGVTSEGLQGARVINAYAKFLDEAPELVKITQVSCGEYHTAAVSEKGEVYTWGLGNMGQLGHSSLQSGDKELVPRRIVALDGICIKDVACGGVHTCALTSKGALYAWGGGQVGQLGLGPQTGFFSCNPNDSFFRNIPALVIPTGVQLVACGHSHTLICLRDGRINGWGYNSYGQAANQKSIYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLAECVTLSNAARIGDVASRTGADALARLCERLREHHLHGGGFDDQDDISNMKS >KJB42958 pep chromosome:Graimondii2_0_v6:7:16439439:16444413:-1 gene:B456_007G176900 transcript:KJB42958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHYSRANEFGQLGDGTEKGRKNPKKVKQLQTEFVKFVSCGAHCTAAIAEPRENDGTISTRRLWIWGQNQGSNLPRLFWGAFTPNMVIRQVSCGAAHVVALSEEGLLQAWGYNEYGQLGRGVTSEGLQGARVINAYAKFLDEAPELVKITQVSCGEYHTAAVSEKGEVYTWGLGNMGQLGHSSLQSGDKELVPRRIVALDGICIKDVACGGVHTCALTSKGALYAWGGGQVGQLGLGPQTGFFSCNPNDSFFRNIPALVIPTGVQLVACGHSHTLICLRDGRINGWGYNSYGQAANQKSIYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLAECVTLSNAARIGDVASRTGADALARLCERLREHHLHGGGFDDQDDISNMKS >KJB42960 pep chromosome:Graimondii2_0_v6:7:16439496:16444503:-1 gene:B456_007G176900 transcript:KJB42960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIETIMGETMTRSQNIPTKSAIYVWGYNHSGQTGRRGKEQHLRIPKQLSPDLFGCPAGGNSRWLDIACGREHTAAVASDGSLFTWGANEFGQLGDGTEKGRKNPKKVKQLQTEFVKFVSCGAHCTAAIAEPRENDGTISTRRLWIWGQNQVIRQVSCGAAHVVALSEEGLLQAWGYNEYGQLGRGVTSEGLQGARVINAYAKFLDEAPELVKITQVSCGEYHTAAVSEKGEVYTWGLGNMGQLGHSSLQSGDKELVPRRIVALDGICIKDVACGGVHTCALTSKGALYAWGGGQVGQLGLGPQTGFFSCNPNDSFFRNIPALVIPTGVQLVACGHSHTLICLRDGRINGWGYNSYGQAANQKSIYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLAECVTLSNAARIGDVASRTGADALARLCERLREHHLHGGGFDDQDDISNMKS >KJB40546 pep chromosome:Graimondii2_0_v6:7:4863813:4865550:1 gene:B456_007G068800 transcript:KJB40546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPPLWVLLLGVLFMASGTIAAPPKKPVDVPFSRNYMPTWAFDHIKYFNGGSEIQLHLDKYTGTGFQSKGSYLFGHFSMQIKLVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKEYHSYSVLWNMYQIVFFVDDIPIRVFKNCKDLGVRFPFNQPMKIYSSLWNADDWATRGGLEKTDWSKAPFIWRRLRWVRNKFTIYNYCSDRVRYPTMSPECKRDRDA >KJB40548 pep chromosome:Graimondii2_0_v6:7:4863813:4865550:1 gene:B456_007G068800 transcript:KJB40548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSWCTGNMGSPPLWVLLLGVLFMASGTIAAPPKKPVDVPFSRNYMPTWAFDHIKYFNGGSEIQLHLDKYTGTGFQSKGSYLFGHFSMQIKLVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKEYHSYSVLWNMYQIVFFVDDIPIRVFKNCKDLGVRFPFNQPMKIYSSLWNADDWATRGGLEKTDWSKAPFIAAYKSFHIDGCESSVEAKFCATQGKRWWDQKAFQDLDAYQWRRLRWVRNKFTIYNYCSDRVRYPTMSPECKRDRDA >KJB40544 pep chromosome:Graimondii2_0_v6:7:4863741:4865601:1 gene:B456_007G068800 transcript:KJB40544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPPLWVLLLGVLFMASGTIAAPPKKPVDVPFSRNYMPTWAFDHIKYFNGGSEIQLHLDKYTGTGFQSKGSYLFGHFSMQIKLVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKEYHSYSVLWNMYQIVFFVDDIPIRVFKNCKDLGVRFPFNQPMKIYSSLWNADDWATRGGLEKTDWSKAPFIAAYKSFHIDGCESSVEAKFCATQGKRWWDQKAFQDLDAYQWRRLRWVRNKFTIYNYCSDRVRYPTMSPECKRDRDA >KJB40547 pep chromosome:Graimondii2_0_v6:7:4863813:4865550:1 gene:B456_007G068800 transcript:KJB40547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPPLWVLLLGVLFMASGTIAAPPKKPVDVPFSRNYMPTWAFDHIKYFNGGSEIQLHLDKYTGTGFQSKGSYLFGHFSMQIKLVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKEYHSYSVLWNMYQIVFFVDDIPIRVFKNCKDLGVRFPFNQPMKIYSSLWNADDWATRGGLEKTDWSKAPFIAPSSAPHRASGGGTKRHSRTSTPISGADCVGSATSSLFTTIAAIG >KJB40545 pep chromosome:Graimondii2_0_v6:7:4863813:4865550:1 gene:B456_007G068800 transcript:KJB40545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPPLWVLLLGVLFMASGTIAAPPKKPVDVPFSRNYMPTWAFDHIKYFNGGSEIQLHLDKYTGTGFQSKGSYLFGHFSMQIKLVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKEYHSYSVLWNMYQIVLCGRHTNQSVQKLQRFGSEVPIQPTNEDILQLMERR >KJB40549 pep chromosome:Graimondii2_0_v6:7:4863999:4865550:1 gene:B456_007G068800 transcript:KJB40549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIKLVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKEYHSYSVLWNMYQIVFFVDDIPIRVFKNCKDLGVRFPFNQPMKIYSSLWNADDWATRGGLEKTDWSKAPFIAAYKSFHIDGCESSVEAKFCATQGKRWWDQKAFQDLDAYQWRRLRWVRNKFTIYNYCSDRVRYPTMSPECKRDRDA >KJB43752 pep chromosome:Graimondii2_0_v6:7:27516904:27517554:-1 gene:B456_007G227900 transcript:KJB43752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEAKFIKVTVMHQPGIEPGSVPWQGTILPLDHWCFVARGCKILLIYKTKYLTFIKVNKIHSLGKFITKT >KJB42819 pep chromosome:Graimondii2_0_v6:7:15164357:15165926:-1 gene:B456_007G168900 transcript:KJB42819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIVDEEDEGGADDYVEFEDEDIDKI >KJB42818 pep chromosome:Graimondii2_0_v6:7:15164594:15165022:-1 gene:B456_007G168900 transcript:KJB42818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIVDEEDEGGADDYVEFEDEDIDKI >KJB42820 pep chromosome:Graimondii2_0_v6:7:15164357:15165787:-1 gene:B456_007G168900 transcript:KJB42820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIVDEEDEGGADDYVEFEDEDIDKI >KJB39278 pep chromosome:Graimondii2_0_v6:7:384248:387284:-1 gene:B456_007G004900 transcript:KJB39278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREDKKSLTSALIQITPENDDFTLQQAVSSKGINEVRRKKIWDEVKKQVWLAGPLIGVSLFQYCIQMISVMLVGHAGELALAGASMGTSFASVTGFSLLLGMATALDTLCGQSYGAKQYSMLGIHMQRAMLILVIVSIPLAFIWINTTPILLFFGQDHEISMAAGEYACFMVPSLFAYGLLQCLVKFLQTQNIVFPMMICSGITTFLHILICWVMVFKSGLGFRGAALANSISNWFNVLLLVLYVKLSPSCAKTWTGFSKEAFHNIFTFLRLAIPSAIMPWVKRKRSLSDCEHSLEMWSFEMMVLLSGLLPNPKLQTSALSICLNTAATVWMIPFGLSGAVSTRVSNELGAGNPEAARLAVNVVIVMAIFEALLVGSILMLIRNILGYAYSNEVEVVKYVAALLPLVATSNLLDSLQFSPLLTVTWIYISKVSEIGIPKLMMINSVGGDIDLYDISGNARGCGWQKIGAYINLGSYYIVGIPCAVLLAFVLNVGGKGLWLGIICALAVQVTSLSIVTIRTNWEQEARKATERVYDYAISAEIVT >KJB44932 pep chromosome:Graimondii2_0_v6:7:47999519:48005366:1 gene:B456_007G280200 transcript:KJB44932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAAEASEYHSKDFKWDTLRQEIENDPSLNYHFLPFVSPQPEQQLSKDPIKAWQSFHIQHSSGKFFKERRYLLKEFPELVSCGDGCKVLEVGCGNGSSALPILRGNEKIILYACDCSNETLQRAKEFVAASNVASVENRFWPFYCDFATTGFPKWLACANCRENLGQKDQICVSDVGEERTLETVSWSMEERSCCVGGVDFVTLIFTLSAVPLQIMPTALRECFSVLKPGGMLLFRDYGINQQITMLLLSVIVKCLTVVFHQTLYF >KJB44933 pep chromosome:Graimondii2_0_v6:7:47999519:48006411:1 gene:B456_007G280200 transcript:KJB44933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAAEASEYHSKDFKWDTLRQEIENDPSLNYHFLPFVSPQPEQQLSKDPIKAWQSFHIQHSSGKFFKERRYLLKEFPELVSCGDGCKVLEVGCGNGSSALPILRGNEKIILYACDCSNETLQRAKEFVAASNVASVENRFWPFYCDFATTGFPKWLACANCRENLGQKDQICVSDVGEERTLETVSWSMEERSCCVGGVDFVTLIFTLSAVPLQIMPTALRECFSVLKPGGMLLFRDYV >KJB44931 pep chromosome:Graimondii2_0_v6:7:47999346:48006632:1 gene:B456_007G280200 transcript:KJB44931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAAEASEYHSKDFKWDTLRQEIENDPSLNYHFLPFVSPQPEQQLSKDPIKAWQSFHIQHSSGKFFKERRYLLKEFPELVSCGDGCKVLEVGCGNGSSALPILRGNEKIILYACDCSNETLQRAKEFVAASNVASVENRFWPFYCDFATTGFPKWLACANCRENLGQKDQICVSDVGEERTLETVSWSMEERSCCVGGVDFVTLIFTLSAVPLQIMPTALRECFSVLKPGGMLLFRDYGLYDMTMLRFEPDQRVGFREYMRSDGTRSYFFCLDTVKNLFNCAGFIELEIEYCCVKSVNRRNGKSMQRVWVHGKFQKPI >KJB42650 pep chromosome:Graimondii2_0_v6:7:14127510:14130561:-1 gene:B456_007G161600 transcript:KJB42650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLSFSFSFFFSSLLFWSCQSFPIHSRRILHQPFLPFGSTPPSDPPPPASPPPFSSTSPPPDSPFFPSFSSSPPPPSPSSLASFPANISSLDIPHAPSPNHSSRTVLILAIVAAVSAFVVSALLLFFYCRRRKQKRSFVDDNKTLTSNNSSRLYPSNNVHNARKLRTTSATSSELLYLGTLVNSRGGIGDGSNNSPANARFDPRKMDSPELQPLPPLSRQSTGRNFRAGEVESVAEEEEDFYSPRGSLDGRDSPSRNGSGSRRVFATIAVKNIESTSTSSCSSSSSSSSGGSHSLSISPPVSSSPVRSDPKSPGLVQVQPSSTPGRMSADSPRLPNASNGNVRSPSSSSTLTSPERENPEGRSLRSPSLSPMSIPNPDSHVVSDRNIGSPSLSSAATSPNRTLIEKLDASIRNFKDLVRNMGTPSISASAATSSSAKGSPVNDMGRNRTRSPSVRSASASPEKNMVNNPSEFPRMVSELNPIVRYPSMSSASTSPERALNENENERSPLLSPASPSSDGSFGKSPKKSPLVVAFWDYNRSSSFSSSASSSLRRDSENSPDASPVRVSGDLEKPMLTPPPPPPPPPPPPPPKQRRLWEKPLPSASIIKQISKPPPLVPPSMPFMTHNPISISQVELPTRSESEAVEEEDAEEASKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFVAKTPNPKPKQATPRSVLPSPNQDNRVLDPKKAQNIAILLRALNVTVEEVCEALLEGNEIAI >KJB42649 pep chromosome:Graimondii2_0_v6:7:14125085:14130710:-1 gene:B456_007G161600 transcript:KJB42649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLSFSFSFFFSSLLFWSCQSFPIHSRRILHQPFLPFGSTPPSDPPPPASPPPFSSTSPPPDSPFFPSFSSSPPPPSPSSLASFPANISSLDIPHAPSPNHSSRTVLILAIVAAVSAFVVSALLLFFYCRRRKQKRSFVDDNKTLTSNNSSRLYPSNNVHNARKLRTTSATSSELLYLGTLVNSRGGIGDGSNNSPANARFDPRKMDSPELQPLPPLSRQSTGRNFRAGEVESVAEEEEDFYSPRGSLDGRDSPSRNGSGSRRVFATIAVKNIESTSTSSCSSSSSSSSGGSHSLSISPPVSSSPVRSDPKSPGLVQVQPSSTPGRMSADSPRLPNASNGNVRSPSSSSTLTSPERENPEGRSLRSPSLSPMSIPNPDSHVVSDRNIGSPSLSSAATSPNRTLIEKLDASIRNFKDLVRNMGTPSISASAATSSSAKGSPVNDMGRNRTRSPSVRSASASPEKNMVNNPSEFPRMVSELNPIVRYPSMSSASTSPERALNENENERSPLLSPASPSSDGSFGKSPKKSPLVVAFWDYNRSSSFSSSASSSLRRDSENSPDASPVRVSGDLEKPMLTPPPPPPPPPPPPPPKQRRLWEKPLPSASIIKQISKPPPLVPPSMPFMTHNPISISQVELPTRSESEAVEEEDAEEASKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFVAKTPNPKPKQATPRSVLPSPNQDNRVLDPKKAQNIAILLRALNVTVEEVCEALLEGNADTLGTELLESLLKMAPTKEEERKLKDYKDDSPVKLGPAEKFLKAVLDIPFAFKRVDAMLYMANFESEVEYLKKSFQTLENACEELRTSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRTEGARLSDADQTQSSTVNEDARCRKLGLQVVSSLSSELTNVKKAAAMDSEVLNSDVLKLSRGIENISDVLRLNEKMASDESLEKFSESMNKFMKMAEEEIIRIQAHESVALSLVKEITEYFHGNSTKEEAHPFRIFMVVRDFLTVLERVCKEVGMINERTVVSSAHKFPVPVNPMMQPLLLDFRVDRGMVLVLMTRLHHLSCLLKL >KJB42646 pep chromosome:Graimondii2_0_v6:7:14125657:14130453:-1 gene:B456_007G161600 transcript:KJB42646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLSFSFSFFFSSLLFWSCQSFPIHSRRILHQPFLPFGSTPPSDPPPPASPPPFSSTSPPPDSPFFPSFSSSPPPPSPSSLASFPANISSLDIPHAPSPNHSSRTVLILAIVAAVSAFVVSALLLFFYCRRRKQKRSFVDDNKTLTSNNSSRLYPSNNVHNARKLRTTSATSSELLYLGTLVNSRGGIGDGSNNSPANARFDPRKMDSPELQPLPPLSRQSTGRNFRAGEVESVAEEEEDFYSPRGSLDGRDSPSRNGSGSRRVFATIAVKNIESTSTSSCSSSSSSSSGGSHSLSISPPVSSSPVRSDPKSPGLVQVQPSSTPGRMSADSPRLPNASNGNVRSPSSSSTLTSPERENPEGRSLRSPSLSPMSIPNPDSHVVSDRNIGSPSLSSAATSPNRTLIEKLDASIRNFKDLVRNMGTPSISASAATSSSAKGSPVNDMGRNRTRSPSVRSASASPEKNMVNNPSEFPRMVSELNPIVRYPSMSSASTSPERALNENENERSPLLSPASPSSDGSFGKSPKKSPLVVAFWDYNRSSSFSSSASSSLRRDSENSPDASPVRVSGDLEKPMLTPPPPPPPPPPPPPPKQRRLWEKPLPSASIIKQISKPPPLVPPSMPFMTHNPISISQVELPTRSESEAVEEEDAEEASKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFVAKTPNPKPKQATPRSVLPSPNQDNRVLDPKKAQNIAILLRALNVTVEEVCEALLEGNADTLGTELLESLLKMAPTKEEERKLKDYKDDSPVKLGPAEKFLKAVLDIPFAFKRVDAMLYMANFESEVEYLKKSFQTLENACEELRTSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRTEGARLSDADQTQSSTVNEDARCRKLGLQVVSSLSSELTNVKKAAAMDSEVLNSDVLKLSRGIENISDVLRLNEKMASDESLEKFSESMNKFMKMAEEEIIRIQAHESVALSLVKEITEYFHGNSTKEEAHPFRIFMVVRDFLTVLERVCKEVGMINERTVVSSAHKFPVPVNPMMQPVFPVPVNPMMSQAFAGFQGRPRYGSGSDDETASP >KJB42648 pep chromosome:Graimondii2_0_v6:7:14125155:14130561:-1 gene:B456_007G161600 transcript:KJB42648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLSFSFSFFFSSLLFWSCQSFPIHSRRILHQPFLPFGSTPPSDPPPPASPPPFSSTSPPPDSPFFPSFSSSPPPPSPSSLASFPANISSLDIPHAPSPNHSSRTVLILAIVAAVSAFVVSALLLFFYCRRRKQKRSFVDDNKTLTSNNSSRLYPSNNVHNARKLRTTSATSSELLYLGTLVNSRGGIGDGSNNSPANARFDPRKMDSPELQPLPPLSRQSTGRNFRAGEVESVAEEEEDFYSPRGSLDGRDSPSRNGSGSRRVFATIAVKNIESTSTSSCSSSSSSSSGGSHSLSISPPVSSSPVRSDPKSPGLVQVQPSSTPGRMSADSPRLPNASNGNVRSPSSSSTLTSPERENPEGRSLRSPSLSPMSIPNPDSHVVSDRNIGSPSLSSAATSPNRTLIEKLDASIRNFKDLVRNMGTPSISASAATSSSAKGSPVNDMGRNRTRSPSVRSASASPEKNMVNNPSEFPRMVSELNPIVRYPSMSSASTSPERALNENENERSPLLSPASPSSDGSFGKSPKKSPLVVAFWDYNRSSSFSSSASSSLRRDSENSPDASPVRVSGDLEKPMLTPPPPPPPPPPPPPPKQRRLWEKPLPSASIIKQISKPPPLVPPSMPFMTHNPISISQVELPTRSESEAVEEEDAEEASKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFVAKTPNPKPKQATPRSVLPSPNQDNRVLDPKKAQNIAILLRALNVTVEEVCEALLEGNADTLGTELLESLLKMAPTKEEERKLKDYKDDSPVKLGPAEKFLKAVLDIPFAFKRVDAMLYMANFESEVEYLKKSFQTLENACEELRTSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRTEGARLSDADQTQSSTVNEDARCRKLGLQVVSSLSSELTNVKKAAAMDSEVLNSDVLKLSRGIENISDVLRLNEKMASDESLEKFSESMNKFMKMAEEEIIRIQAHESVALSLVKEITEYFHGNSTKEEAHPFRIFMVVRDFLTVLERVCKEVGMINERTVVSSAHKFPVPVNPMMQPVFCWISG >KJB42647 pep chromosome:Graimondii2_0_v6:7:14125155:14130561:-1 gene:B456_007G161600 transcript:KJB42647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLSFSFSFFFSSLLFWSCQSFPIHSRRILHQPFLPFGSTPPSDPPPPASPPPFSSTSPPPDSPFFPSFSSSPPPPSPSSLASFPANISSLDIPHAPSPNHSSRTVLILAIVAAVSAFVVSALLLFFYCRRRKQKRSFVDDNKTLTSNNSSRLYPSNNVHNARKLRTTSATSSELLYLGTLVNSRGGIGDGSNNSPANARFDPRKMDSPELQPLPPLSRQSTGRNFRAGEVESVAEEEEDFYSPRGSLDGRDSPSRNGSGSRRVFATIAVKNIESTSTSSCSSSSSSSSGGSHSLSISPPVSSSPVRSDPKSPGLVQVQPSSTPGRMSADSPRLPNASNGNVRSPSSSSTLTSPERENPEGRSLRSPSLSPMSIPNPDSHVVSDRNIGSPSLSSAATSPNRTLIEKLDASIRNFKDLVRNMGTPSISASAATSSSAKGSPVNDMGRNRTRSPSVRSASASPEKNMVNNPSEFPRMVSELNPIVRYPSMSSASTSPERALNENENERSPLLSPASPSSDGSFGKSPKKSPLVVAFWDYNRSSSFSSSASSSLRRDSENSPDASPVRVSGDLEKPMLTPPPPPPPPPPPPPPKQRRLWEKPLPSASIIKQISKPPPLVPPSMPFMTHNPISISQVELPTRSESEAVEEEDAEEASKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFVAKTPNPKPKQATPRSVLPSPNQDNRVLDPKKAQNIAILLRALNVTVEEVCEALLEGNADTLGTELLESLLKMAPTKEEERKLKDYKDDSPVKLGPAEKFLKAVLDIPFAFKRVDAMLYMANFESEVEYLKKSFQTLENACEELRTSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRTEGARLSDADQTQSSTVNEDARCRKLGLQVVSSLSSELTNVKKAAAMDSEVLNSDVLKLSRGIENISDVLRLNEKMASDESLEKFSESMNKFMKMAEEEIIRIQAHESVALSLVKEITEYFHGNSTKEEAHPFRIFMVVRDFLTVLERVCKEVGMINERTVVSSAHKFPFQ >KJB45578 pep chromosome:Graimondii2_0_v6:7:52718892:52720771:1 gene:B456_007G313500 transcript:KJB45578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCFSCCTSEETLTKRSLQKSIKEYHDTRVLASFANISFNSDNSKKRLIGQEITKGGKGNVIFRYREICTATNNFNPRNQIGEGGFGRVYKGLIENSNKVVAVKQLDKNGYQGNREFLVELLMLVLLKHPNLVELVGYCIEGDQRILVYEYMANGSLEDHLIDLPPNKMPLDWNTRIKIAVGAAKGLEYMHEIANPQVIYRDFKTSNILLDQDFNPKLSDFGLAKVSPSGDNSHVFTSVIGTYGYCAPEYIQIGQLSTKSDVYSFGVVFLELITGRRAVDNSRPPRERNLVSWAKPLLNHRKKFVLLADPLLAGDYPIKGLHHALTVAAMCLQEEPSLRPLMSYVVRSLKCLITYSEPAEGKNMKHYQMVDESNCII >KJB45972 pep chromosome:Graimondii2_0_v6:7:56672164:56675166:-1 gene:B456_007G341300 transcript:KJB45972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEETELSDDQKKGIAKWFLVNAPAGEIQYVSKDLKLVLNDDDVYNEAASEAFPVYNKSHLISLKMPGGFGDVLVTSYGELQDNEYLDPKTAQVAIVDHVKQACTKVRPATDEELPSAYVEEYRCALEAEILKYVAEAYPKGSSSVYCTNGKDVEEPGSDFDLVVVIAAARLSPQNFCNGSWRTIWNIEFKDDIQKLELNGKLLVGAHYFEEGNVQLDTKHECRDSTMFQVITMTPISFNIVGS >KJB45973 pep chromosome:Graimondii2_0_v6:7:56670569:56675305:-1 gene:B456_007G341300 transcript:KJB45973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEETELSDDQKKGIAKWFLVNAPAGEIQYVSKDLKLVLNDDDVYNEAASEAFPVYNKSHLISLKMPGGFGDVLVTSYGELQDNEYLDPKTAQVAIVDHVKQACTKVRPATDEELPSAYVEEYRNGSWRTIWNIEFKDDIQKLELNGKLLVGAHYFEEGNVQLDTKHECRDSTMFQSPDDTAISVANLIRRHETAYMASLEESYFKLSDNTFKDLRRKLPITRTLFPWRSTRQFSLKREISEELGIGK >KJB45971 pep chromosome:Graimondii2_0_v6:7:56670562:56675367:-1 gene:B456_007G341300 transcript:KJB45971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEETELSDDQKKGIAKWFLVNAPAGEIQYVSKDLKLVLNDDDVYNEAASEAFPVYNKSHLISLKMPGGFGDVLVTSYGELQDNEYLDPKTAQVAIVDHVKQACTKVRPATDEELPSAYVEEYRCALEAEILKYVAEAYPKGSSSVYCTNGKDVEEPGSDFDLVVVIAAARLSPQNFCNGSWRTIWNIEFKDDIQKLELNGKLLVGAHYFEEGNVQLDTKHECRDSTMFQSPDDTAISVANLIRRHETAYMASLEESYFKLSDNTFKDLRRKLPITRTLFPWRSTRQFSLKREISEELGIGK >KJB45974 pep chromosome:Graimondii2_0_v6:7:56670916:56675166:-1 gene:B456_007G341300 transcript:KJB45974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEETELSDDQKKGIAKWFLVNAPAGEIQYVSKDLKLVLNDDDVYNEAASEAFPVYNKSHLISLKMPGGFGDVLVTSYGELQDNEYLDPKTAQVAIVDHVKQACTKVRPATDEELPSAYVEEYRCALEAEILKYVAEAYPKGSSSVYCTNGKDVEEPGSDFDLVVVIAAARLSPQNFCNGSWRTIWNIEFKDDIQKLELNGKLLVGAHYFEEGNVQLDTKHECRDSTMFQCVNNKCSDLMLPMLLELMCEYRIRSPDDTAISVANLIRRHETAYMASLEESYFKLSDNTFKDLRRKLPITRTLFPWRSTRQFSLKREISEELGIGK >KJB40379 pep chromosome:Graimondii2_0_v6:7:4305369:4307086:-1 gene:B456_007G061000 transcript:KJB40379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGISQISFTADASVSPKKPTSIPEVAKQRELSGSLQRESDAKNKKQISNAKYKEISGHDIFAPPENKPRSLAAVTSESRGSKDMGEPAPRNVRTSVKVSNPAGGQTSILFTDEPIVKVTKKIHNQKFQELTGNGIFKGDVPPGSVEKPLSRAKLREMSGNDIFSDGKAESRDSLGGVRKPPGGESSIALV >KJB40378 pep chromosome:Graimondii2_0_v6:7:4305262:4309530:-1 gene:B456_007G061000 transcript:KJB40378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTPVRKPHTSTADLLTWTEGSQSASSNSAASSASAQRSSGRYNQPSDRISKVLFGGQVTDAEAQSLLNKKPCSGYKMKEMTGSGIFAAGGANGASETTAMNSTNKTGLRMYQQAMNGISQISFTADASVSPKKPTSIPEVAKQRELSGSLQRESDAKNKKQISNAKYKEISGHDIFAPPENKPRSLAAVTSESRGSKDMGEPAPRNVRTSVKVSNPAGGQTSILFTDEPIVKVTKKIHNQKFQELTGNGIFKGDVPPGSVEKPLSRAKLREMSGNDIFSDGKAESRDSLGGVRKPPGGESSIALV >KJB40380 pep chromosome:Graimondii2_0_v6:7:4305369:4307287:-1 gene:B456_007G061000 transcript:KJB40380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMTGSGIFAAGGANGASETTAMNSTNKTGLRMYQQAMNGISQISFTADASVSPKKPTSIPEVAKQRELSGSLQRESDAKNKKQISNAKYKEISGHDIFAPPENKPRSLAAVTSESRGSKDMGEPAPRNVRTSVKVSNPAGGQTSILFTDEPIVKVTKKIHNQKFQELTGNGIFKGDVPPGSVEKPLSRAKLREMSGNDIFSDGKAESRDSLGGVRKPPGGESSIALV >KJB43462 pep chromosome:Graimondii2_0_v6:7:20465527:20469048:1 gene:B456_007G201300 transcript:KJB43462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPEAQKFQTSGIDPEFEGKLDQMFMGIVATGDKAWAPSSGILRTDFFEDVTNEIPEENEEENMRNDIYILNDIHISNYVQIDGNGQKRKNPKISSSHFKTGRKKSSKQIGGAARLSSQIEKLCNAADNMSQATSSLTPVMDPYGIPQAVKVLDSMSEEVPEASPLYFFTLKLLLNKDKRIMFLSINPKIRALWLKSEMENS >KJB40129 pep chromosome:Graimondii2_0_v6:7:3344304:3347296:1 gene:B456_007G048000 transcript:KJB40129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESTESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIREIDLYRIEPWDLKERCQIGCEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKSKLIGMRKTLVFYKGRAPHGQKSDWIMHEYRLESDDHASPQEEGWVVCRAFKKRITGQTKNMQVWESSYFYDEASGMSSVVDPIDYISRQNFLPQNLLCKKEADNYSNFVDHSDDQFVELPQLESPSLPLIKRPSSISEKTKHEEEDDEELNNSKKLTDWRALDKFVASQLSQEDRFEGEGVSSFDAINNTNNSNSNNSDMALMLLQNSSREEGNKLNEFLNSSSDCDIGICLFAK >KJB40131 pep chromosome:Graimondii2_0_v6:7:3344304:3347296:1 gene:B456_007G048000 transcript:KJB40131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILDKQTKWTGSASLAIRHSFTATRVMMESTESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIREIDLYRIEPWDLKERCQIGCEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKSKLIGMRKTLVFYKGRAPHGQKSDWIMHEYRLESDDHASPQEEGWVVCRAFKKRITGQTKNMQVWESSYFYDEASGMSSVVDPIDYISRQNFLPQNLLCKKEADNYSNFVDHSDDQFVELPQLESPSLPLIKRPSSISEKTKHEEEDDEELNNSKKLTDWRALDKFVASQLSQEDRFEGEGVSSFDAINNTNNSNSNNSDMALMLLQNSSREEGNKLNEFLNSSSDCDIGICLFAK >KJB40133 pep chromosome:Graimondii2_0_v6:7:3345500:3347296:1 gene:B456_007G048000 transcript:KJB40133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESTESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIREIDLYRIEPWDLKERCQIGCEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKSKLIGMRKTLVFYKGRAPHGQKSDWIMHEYRLESDDHASPQEEGWVVCRAFKKRITGQTKNMQVWESSYFYDEASGMSSVVDPIDYISRQNFLPQNLLCKKEADNYSNFVDHSDDQFVELPQLESPSLPLIKRPSSISEKTKHEEEDDEELNNSKKLTDWRALDKFVASQLSQEDRFEGEGVSSFDAINNTNNSNSNNSDMALMLLQNSSREEGNKLNEFLNSSSDCDIGICLFAK >KJB40130 pep chromosome:Graimondii2_0_v6:7:3345072:3347149:1 gene:B456_007G048000 transcript:KJB40130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESTESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIREIDLYRIEPWDLKERCQIGCEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKSKLIGMRKTLVFYKGRAPHGQKSDWIMHEYRLESDDHASPQEEGWVVCRAFKKRITGQTKNMQVWESSYFYDEASGMSSVVDPIDYISRQNFLPQNLLCKKEADNYSNFVDHSDDQFVELPQLESPSLPLIKRPSSISEKTKHEEEDDEELNNSKKLTDWRALDKFVASQLSQEDRFEGEGVSSFDAINNTNNSNSNNSDMALMLLQNSSREEGNKLNEFLNSSSDCDIGICLFAK >KJB40132 pep chromosome:Graimondii2_0_v6:7:3343657:3347296:1 gene:B456_007G048000 transcript:KJB40132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESTESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIREIDLYRIEPWDLKERCQIGCEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKSKLIGMRKTLVFYKGRAPHGQKSDWIMHEYRLESDDHASPQEEGWVVCRAFKKRITGQTKNMQVWESSYFYDEASGMSSVVDPIDYISRQNFLPQNLLCKKEADNYSNFVDHSDDQFVELPQLESPSLPLIKRPSSISEKTKHEEEDDEELNNSKKLTDWRALDKFVASQLSQEDRFEGEGVSSFDAINNTNNSNSNNSDMALMLLQNSSREEGNKLNEFLNSSSDCDIGICLFAK >KJB40515 pep chromosome:Graimondii2_0_v6:7:4750853:4762612:-1 gene:B456_007G067300 transcript:KJB40515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHDGKLAGKLSGLALNDKELFNDDSLFQVMKAVEAAEATIKQQAEENNRLRSELQKKIEQLEKYKVDGGSNLNASADKAANTDFNGTFTAPASSSSDNNKGLPISFPSSPLSAVSFPPTRRQLDGEYDSTLPQGLMPIPKDVTLKAREQEEEILQLRKQLAEFSVKEAQIRNEKYALEKRISYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLAYQLQAAQQERTTFISSLLPLLTEYSLQPPVPDAQSIVSNVKVLFKHLQEKLIVIESKLKESQYQLAPWRSDVNHSNFAPQSPLHSLGATLPTSSKNGLELVPQPTYSLGKTQLISDAQKDFKWDVPSQQQGGLGGGVASKNLEPDDLGRYSPIASRASTPNEIPTQPAVIPSDTHITRYGEDTINKQVAFRDPVSSSEMDYPEAEGHQNEREPPSNWGSGNSPYAAANDEPGPSYPPYLPPVLKEPSSSFSEAAEDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRHMEDGSVNYINGAKQPNYLVTADDVDTYLAIEVQPLDNRNRKGELVKVFANEHKKITCDPEMLGHIEKTLYSGHASYKVSYSAGYLDIWEPATLAIKRDGYTIKCSGPHGLVVTDKFHPNTEIKITFGEPTEFMIIGSNGAQRLLRADSSSPDISCSRDAIALTLRLFIIRHDVLTRYFSCDIACTGWWEEEREKERFIL >KJB40517 pep chromosome:Graimondii2_0_v6:7:4755739:4762988:-1 gene:B456_007G067300 transcript:KJB40517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHDGKLAGKLSGLALNDKELFNDDSLFQVMKAVEAAEATIKQQAEENNRLRSELQKKIEQLEKYKVDGGSNLNASADKAANTDFNGTFTAPASSSSDNNKGLPISFPSSPLSAVSFPPTRRQLDGEYDSTLPQGLMPIPKDVTLKAREQEEEILQLRKQLAEFSVKEAQIRNEKYALEKRISYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLAYQLQAAQQERTTFISSLLPLLTEYSLQPPVPDAQSIVSNVKVLFKHLQEKLIVIESKLKESQYQLAPWRSDVNHSNFAPQSPLHSLGATLPTSSKNGLELVPQPTYSLGKTQLISDAQKDFKWDVPSQQQGGLGGGVASKNLEPDDLGRYSPIASRASTPNEIPTQPAVIPSDTHITRYGEDTINKQVAFRDPVSSSEMDYPEAEGHQNEREPPSNWGSGNSPYAAANDEPGPSYPPYLPPVLKEPSSSFSEEDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRHMEDGSVNYINGAKQPNYLVTADDVDTYLAIEVQPLDNRNRKVLALMSFSITGTLCIHM >KJB40513 pep chromosome:Graimondii2_0_v6:7:4750611:4762988:-1 gene:B456_007G067300 transcript:KJB40513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHDGKLAGKLSGLALNDKELFNDDSLFQVMKAVEAAEATIKQQAEENNRLRSELQKKIEQLEKYKVDGGSNLNASADKAANTDFNGTFTAPASSSSDNNKGLPISFPSSPLSAVSFPPTRRQLDGEYDSTLPQGLMPIPKDVTLKAREQEEEILQLRKQLAEFSVKEAQIRNEKYALEKRISYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLAYQLQAAQQERTTFISSLLPLLTEYSLQPPVPDAQSIVSNVKSKLKESQYQLAPWRSDVNHSNFAPQSPLHSLGATLPTSSKNGLELVPQPTYSLGKTQLISDAQKDFKWDVPSQQQGGLGGGVASKNLEPDDLGRYSPIASRASTPNEIPTQPAVIPSDTHITRYGEDTINKQVAFRDPVSSSEMDYPEAEGHQNEREPPSNWGSGNSPYAAANDEPGPSYPPYLPPVLKEPSSSFSEAAEDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRHMEDGSVNYINGAKQPNYLVTADDVDTYLAIEVQPLDNRNRKGELVKVFANEHKKITCDPEMLGHIEKTLYSGHASYKVSYSAGYLDIWEPATLAIKRDGYTIKCSGPHGLVVTDKFHPNTEIKITFGEPTEFMIIGSNGAQRLLRADSSSPDISCSRDAIALTLRLFIIRLAQAGGRKKGKKRGLFFNKVHG >KJB40514 pep chromosome:Graimondii2_0_v6:7:4750853:4762612:-1 gene:B456_007G067300 transcript:KJB40514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHDGKLAGKLSGLALNDKELFNDDSLFQVMKAVEAAEATIKQQAEENNRLRSELQKKIEQLEKYKVDGGSNLNASADKAANTDFNGTFTAPASSSSDNNKGLPISFPSSPLSAVSFPPTRRQLDGEYDSTLPQGLMPIPKDVTLKAREQEEEILQLRKQLAEFSVKEAQIRNEKYALEKRISYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLAYQLQAAQQERTTFISSLLPLLTEYSLQPPVPDAQSIVSNVKSKLKESQYQLAPWRSDVNHSNFAPQSPLHSLGATLPTSSKNGLELVPQPTYSLGKTQLISDAQKDFKWDVPSQQQGGLGGGVASKNLEPDDLGRYSPIASRASTPNEIPTQPAVIPSDTHITRYGEDTINKQVAFRDPVSSSEMDYPEAEGHQNEREPPSNWGSGNSPYAAANDEPGPSYPPYLPPVLKEPSSSFSEAAEDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRHMEDGSVNYINGAKQPNYLVTADDVDTYLAIEVQPLDNRNRKGELVKVFANEHKKITCDPEMLGHIEKTLYSGHASYKVSYSAGYLDIWEPATLAIKRDGYTIKCSGPHGLVVTDKFHPNTEIKITFGEPTEFMIIGSNGAQRLLRADSSSPDISCSRDAIALTLRLFIIRHDVLTRYFSCDIACTGWWEEEREKERFIL >KJB40516 pep chromosome:Graimondii2_0_v6:7:4759524:4762612:-1 gene:B456_007G067300 transcript:KJB40516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHDGKLAGKLSGLALNDKELFNDDSLFQVMKAVEAAEATIKQQAEENNRLRSELQKKIEQLEKYKVDGGSNLNASADKAANTDFNGTFTAPASSSSDNNKGLPISFPSSPLSAVSFPPTRRQLDGEYDSTLPQGLMPIPKDVTLKAREQEEEILQLRKQLAEFSVKEAQIRNEKYALEKRISYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLAYQLQAAQQERTTFISSLLPLLTEYSLQPPVPDAQSIVSNVKVLFKHLQEKLIVIESKLKESQYQLAPWRSDVNHSNFAPQSPLHSLGATLPTSSKNGLELVPQPTYSLGKTQLISDAQKDFKWDVPSQQQGGLGGGVASKNLEPDDLGRYSPIASR >KJB40512 pep chromosome:Graimondii2_0_v6:7:4750589:4762988:-1 gene:B456_007G067300 transcript:KJB40512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHDGKLAGKLSGLALNDKELFNDDSLFQVMKAVEAAEATIKQQAEENNRLRSELQKKIEQLEKYKVDGGSNLNASADKAANTDFNGTFTAPASSSSDNNKGLPISFPSSPLSAVSFPPTRRQLDGEYDSTLPQGLMPIPKDVTLKAREQEEEILQLRKQLAEFSVKEAQIRNEKYALEKRISYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLAYQLQAAQQERTTFISSLLPLLTEYSLQPPVPDAQSIVSNVKVLFKHLQEKLIVIESKLKESQYQLAPWRSDVNHSNFAPQSPLHSLGATLPTSSKNGLELVPQPTYSLGKTQLISDAQKDFKWDVPSQQQGGLGGGVASKNLEPDDLGRYSPIASRASTPNEIPTQPAVIPSDTHITRYGEDTINKQVAFRDPVSSSEMDYPEAEGHQNEREPPSNWGSGNSPYAAANDEPGPSYPPYLPPVLKEPSSSFSEAAEDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRHMEDGSVNYINGAKQPNYLVTADDVDTYLAIEVQPLDNRNRKGELVKVFANEHKKITCDPEMLGHIEKTLYSGHASYKVSYSAGYLDIWEPATLAIKRDGYTIKCSGPHGLVVTDKFHPNTEIKITFGEPTEFMIIGSNGAQRLLRADSSSPDISCSRDAIALTLRLFIIRLAQAGGRKKGKKRGLFFNKVHG >KJB41818 pep chromosome:Graimondii2_0_v6:7:9769293:9770509:1 gene:B456_007G123100 transcript:KJB41818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQPKVKASLRLGSEFYTVNAKKVGALSEQLSSMKEESMSILKDYITKHNVPNDVPDELVEGSSEDDEEEEPKKSNIKPKKAKIN >KJB41819 pep chromosome:Graimondii2_0_v6:7:9769390:9770492:1 gene:B456_007G123100 transcript:KJB41819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQPKVKASLRLGSEFYTVNAKKVGALSEQLSSMKEESMSILKDYITKHNVPNDVPDELVEGSSEDDEEEEPKKSNIKPKKAKIN >KJB38946 pep chromosome:Graimondii2_0_v6:7:13240281:13253917:-1 gene:B456_007G155000 transcript:KJB38946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38938 pep chromosome:Graimondii2_0_v6:7:13240269:13253917:-1 gene:B456_007G155000 transcript:KJB38938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQHDNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38947 pep chromosome:Graimondii2_0_v6:7:13241302:13252306:-1 gene:B456_007G155000 transcript:KJB38947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38937 pep chromosome:Graimondii2_0_v6:7:13240269:13252158:-1 gene:B456_007G155000 transcript:KJB38937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQHDNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38940 pep chromosome:Graimondii2_0_v6:7:13241302:13252360:-1 gene:B456_007G155000 transcript:KJB38940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCNSGSALLVNAEVDSMGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQHDNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38936 pep chromosome:Graimondii2_0_v6:7:13241302:13252360:-1 gene:B456_007G155000 transcript:KJB38936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCNSGSALLVNAEVDSMGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQHDNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRDGRYGVLRTSLPADEQHRMQQYNQMLSGRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38954 pep chromosome:Graimondii2_0_v6:7:13241302:13252306:-1 gene:B456_007G155000 transcript:KJB38954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQHDNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38948 pep chromosome:Graimondii2_0_v6:7:13241302:13252360:-1 gene:B456_007G155000 transcript:KJB38948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCNSGSALLVNAEVDSMGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38945 pep chromosome:Graimondii2_0_v6:7:13241302:13253895:-1 gene:B456_007G155000 transcript:KJB38945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQHDNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38953 pep chromosome:Graimondii2_0_v6:7:13241055:13246785:-1 gene:B456_007G155000 transcript:KJB38953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRDGRYGVLRTSLPADEQHRMQQYNQMLSGRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38941 pep chromosome:Graimondii2_0_v6:7:13240269:13253917:-1 gene:B456_007G155000 transcript:KJB38941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCNSGSALLVNAEVDSMGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQHDNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38950 pep chromosome:Graimondii2_0_v6:7:13240281:13253917:-1 gene:B456_007G155000 transcript:KJB38950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCNSGSALLVNAEVDSMGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38942 pep chromosome:Graimondii2_0_v6:7:13241302:13252306:-1 gene:B456_007G155000 transcript:KJB38942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQHDNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRDGRYGVLRTSLPADEQHRMQQYNQMLSGRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38951 pep chromosome:Graimondii2_0_v6:7:13240166:13253917:-1 gene:B456_007G155000 transcript:KJB38951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCNSGSALLVNAEVDSMGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRDGRYGVLRTSLPADEQHRMQQYNQMLSGRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38943 pep chromosome:Graimondii2_0_v6:7:13240269:13253917:-1 gene:B456_007G155000 transcript:KJB38943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQHDNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRDGRYGVLRTSLPADEQHRMQQYNQMLSGRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38939 pep chromosome:Graimondii2_0_v6:7:13241302:13252360:-1 gene:B456_007G155000 transcript:KJB38939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCNSGSALLVNAEVDSMGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQHDNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38949 pep chromosome:Graimondii2_0_v6:7:13240281:13253917:-1 gene:B456_007G155000 transcript:KJB38949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRDGRYGVLRTSLPADEQHRMQQYNQMLSGRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38944 pep chromosome:Graimondii2_0_v6:7:13241302:13252360:-1 gene:B456_007G155000 transcript:KJB38944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCNSGSALLVNAEVDSMGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQHDNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRDGRYGVLRTSLPADEQHRMQQYNQMLSGRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38952 pep chromosome:Graimondii2_0_v6:7:13241302:13252306:-1 gene:B456_007G155000 transcript:KJB38952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRDGRYGVLRTSLPADEQHRMQQYNQMLSGRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB38935 pep chromosome:Graimondii2_0_v6:7:13241302:13252360:-1 gene:B456_007G155000 transcript:KJB38935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCNSGSALLVNAEVDSMGGVVDGGVGIGVKTSPRRVAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFAISEAKGSFGLTASPHGDSVESSGRPGIPAVCEPNSADNLLLFHGENELPEGERKSMHPRKKNTVVPSEQSSQMGRTQNAKESEDSAIFRPYARRNRSKLNRDAARSSSTEMGQGRGGHGPSLPARVSSKDEKVLTNNQKDKNMPSVNIAKSATSNGNLASKVITSGNLLNMELDGGQAAEATTDQSKGDLSKSKVDATVPNEPVQVDVHKSAVNLASEEPDLVGGKEQAISTGFECPADSGANKAENETISNKLNGFGDAKRDGKNIRVEGQNSSAARGVKGLDSESSCTENSLSLDVNNDNDACINPKNVDSNGKPMEQTSEKEESLNLAVGELAKEKNEIVAADNVAIIWDAQTSKNQNHSLTDSIVKVEEEVRSELQNEVSCPSNKEVQQSSPPVSEADRKTNTVLGDNSNSKNENICASGLLGTMGNFICEIPERTLLGRTSTANTDVQTNMDGHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGALPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAATQLCRRAAFTSRLKYEEQNQFWKLKRVALTLANAVMEFWHSAELLRNSKDRSLGPNNCGHDLVGSRANEVTENKNAELDMDIHEEQQEHPGKNNEFAIQAYAVRFLKYNSSPVPTLQAEAPATPDRISDSGITDISWNEHLTEESLFYSVPSGAMETYRRSIESYLVQTEKTGSSAQEEVETSAYDDGAEFGYGDFVYDEDEGETSTYYLPGAFEGRKSSKLNKKKRKNPMKSYPARPYEMDADLPYGSCAQQSVLIGKRPGSSLNVGPIPTKRVRTGSRQRVISPFGSAAAAGGLQAPMKTDASSGDTNSFQDDQSTLHGGFQMQKSNEVESIGDFERQLPYDCAETPTKPKKKKKAKNLLSAYDQGWQLESTVHSEQRDYSKKRSESHHFDSNGSSVLYGQQNAKKLKLMKQQPDNAFDINPSGSIPSPVGSQMSNMSNPNKIIRLIHGRDRGRKAKTLKMSAGEPGSGCPWSLFEDQALVVLVHDMGPNWELISDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIHIGKKQHYRRNQDPKQIVPVHNSHVIALSPVCPNKLNGGVLTPLDLCDATASSQDVLPLGNLASNTSGLAISNQGAAGSMLPASAANSSLQGSSGMVLGSNLASLSTPLNSSVRDGRYGVLRTSLPADEQHRMQQYNQMLSGRNVQQSNLSLPGAVSGSDRMVRVLSGNNLGMMCGINRSMPISRPGFQGMTSSAMLNSGSMLSSNLVGGMQNPVNMHSGTGSGQGNSMLRPRDTKHMMRPGHSPELQMQAQGNGQGISAFNGLNSAYPNQSTAPSVQSYPGHPQQQQQMPQQQSHALSNSHHPQHQGSNHASGPQQQAYAMRVAKERQMQQQRLMQQQQPQQQQQKQFTASSALMSHVQPQTQLPISSSLQNSSQIQSQASTQPVSLPAPSSPMTPMSLQHQQKHHLAPHGLGRNPQTGASGLNNQIGKQRQRQPQQQQQQFQQSGRHHPQQRQPPQSQQQTKLLKGVGRGNMLVHQNLSADPAHLNGLSMASSNQAAEKGEQIMHLMQGQGLYPGPGTGPVQQSKPLVSQPQQKLFSGATPPSTKQPQQMASHSDNSSQGQVSTVPSGHIPSAGNQCVLPASVGPNHQHLQLQSQPHQKKVNQNQSTVQRVLQQNRQVNSDPLSKPQAEPAQADQQPMNNASQMGTTTTAAVSEVGIDSANNTVQNVPSAGSQWKSSDPVYNPGMPTLATQVGSIGSPPLTNSAASVPLPSFNQGLGQRQLPGGLPLHGSKAGAQRPQLPQIQQSSTPAPSQQHYQPQEQLQQDLHNSPPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKPE >KJB39751 pep chromosome:Graimondii2_0_v6:7:2007592:2009234:1 gene:B456_007G029200 transcript:KJB39751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGKDQDLGLSLSLSSSQNHHLLQLNLSPSLVPSSANQCYSPSDPNTESLRAETRSFLKGIDVNRLPSTVDCEEEAGVSSPNSTISSSLSGKRSEREGNNNGDELEIERASSHGISDEEDGDTSRKKLRLSKDQSAILEECFKEHNTLNPVSNLNIRAKPSEKLLFGCREFFLNNGYNLFCRSKSWLWLNNWGYDQDKLKFGSKTEGLGLSLSKQRLTVSF >KJB39749 pep chromosome:Graimondii2_0_v6:7:2007526:2009255:1 gene:B456_007G029200 transcript:KJB39749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGKDQDLGLSLSLSSSQNHHLLQLNLSPSLVPSSANQCYSPSDPNTESLRAETRSFLKGIDVNRLPSTVDCEEEAGVSSPNSTISSSLSGKRSEREGNNNGDELEIERASSHGISDEEDGDTSRKKLRLSKDQSAILEECFKEHNTLNPKQKLALAKQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQELRALKLSPQFYMQMNPPTTLTMCPSCERVGVPQNASSAVDPRSHHQLVQSHQQRHITINPWAPSAPIPHRPFDALHPRS >KJB39750 pep chromosome:Graimondii2_0_v6:7:2007592:2009234:1 gene:B456_007G029200 transcript:KJB39750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGKDQDLGLSLSLSSSQNHHLLQLNLSPSLVPSSANQCYSPSDPNTESLRAETRSFLKGIDVNRLPSTVDCEEEAGVSSPNSTISSSLSGKRSEREGNNNGDELEIERASSHGISDEEDGDTSRKKLRLSKDQSAILEECFKEHNTLNPVSNLNIRAKPSEKLLFGCREFFLNNGYNLFCRSKSWLWLNNWGYDQDKLKFGSKTEGLGKRFYSFVLLVSQLFTKHGTVVINFLFFLIAGLSLSKQRLTVSF >KJB41115 pep chromosome:Graimondii2_0_v6:7:6608439:6613508:-1 gene:B456_007G091100 transcript:KJB41115 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase 2 subunit KU80 [Source:Projected from Arabidopsis thaliana (AT1G48050) UniProtKB/Swiss-Prot;Acc:Q9FQ09] MARNKEKLVLLLDVGPSMHGVLSEVEKLCSMLVEKKLIFSKYDELGVVVFGTEESKNDLTTEVGGYQNITVLQDIKVVDGDLVDTLQKLPRGRVDGDFLDAIVVGMDMLIKKYKDLHKGKKRLCLITNAIHPIKDSFEGSKEDQVMTIAEQMAAQGMKIESIVVRGRLSRDANKGVMDENDHLLSIFSKKTHTRIVYVDTPTSLLGALKTRRVTPVTVFRGHLELSPHMKIKVWVYKKTQEEKFPTLKKYSEKAPASNKLATHQVKVSYEYKSVDGSSASVIPPEQRIKGYRYGPQVVPISTAEWDAVKFKPEKGIKVLGFTDASKIKRHCYMKDVYLFIAEPGNTRATLAVSAIARAMKEMNAVAILRCVWRQGQQNVVVGVLTPNISQNYKIPDSFYFNVLPFAEDVREYQFPSFNSFPASWQPNDQQQKAADELVQMLDLAPSGKEALLPEFTPNPVLERFYRHLELKAKQPDAAVPPLDETLKNIVEPDPELVAENKSVFDALHRHFELRQNPKLKKSSRQWYQEKQSGSNAHVSSGQAVNSIENQPPLKIEKIGDLTPVQDFEAMMSQRDNPEWVEKAIKELREKILALLIHSNEGDKYQKAVECVAALRKGCILEQEPGPYNDLMHHIRQYCEEKGIKSLPQLLASRDLTLISKSEAEDSDVTAEEARSFFVKMEPKPDV >KJB41116 pep chromosome:Graimondii2_0_v6:7:6610046:6613413:-1 gene:B456_007G091100 transcript:KJB41116 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase 2 subunit KU80 [Source:Projected from Arabidopsis thaliana (AT1G48050) UniProtKB/Swiss-Prot;Acc:Q9FQ09] MARNKEKLVLLLDVGPSMHGVLSEVEKLCSMLVEKKLIFSKYDELGVVVFGTEESKNDLTTEVGGYQNITVLQDIKVVDGDLVDTLQKLPRGRVDGDFLDAIVVGMDMLIKKYKDLHKGKKRLCLITNAIHPIKDSFEGSKEDQVMTIAEQMAAQGMKIESIVVRGRLSRDANKGVMDENDHLLSIFSKKTHTRIVYVDTPTSLLGALKTRRVTPVTVFRGHLELSPHMKIKVWVYKKTQEEKFPTLKKYSEKAPASNKLATHQVKVSYEYKSVDGSSASVIPPEQRIKGYRYGPQVVPISTAEWDAVKFKPEKGIKVLGFTDASKIKRHCYMKDVYLFIAEPGNTRATLAVSAIARAMKEMNAVAILRCVWRQGQQNVVVGVLTPNISQNYKIPDSFYFNVLPFAEDVREYQFPSFNSFPASWQPNDQQQKAADELVQMLDLAPSGKEALLPEFTPNPVLEVLRYAFSLCLHNVILFLYHGKWQHVGL >KJB46490 pep chromosome:Graimondii2_0_v6:7:60468718:60470864:-1 gene:B456_007G372000 transcript:KJB46490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERENQHGEEEYLQYQIQNGVCGKVMSDEQVEELKKQIVAYSAISEQLAELHKSMSAHHDFTGIRLGNLYCDPISASFGHKITPRQRWTPTPLQLQILENIYEQGTGTPSKQKIKEIASELAQHGQISETNVYNWFQNRRARSKRKFQISTGSANAEPEPDVSTKEKKTKPVGLDFIDSFSQGVESFYFQGSESGIDQLMGKEESSRGYDPYNNLVEQFGLLG >KJB46489 pep chromosome:Graimondii2_0_v6:7:60469004:60470596:-1 gene:B456_007G372000 transcript:KJB46489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERENQHGEEEYLQYQIQNGVCGKVMSDEQVEELKKQIVAYSAISEQLAELHKSMSAHHDFTGIRLGNLYCDPISASFGHKITPRQRWTPTPLQLQILENIYEQGTGTPSKQKIKEIASELAQHGQISETNVYNWFQNRRARSKRKFQISTGSANAEPEPDVSTKEKKTKPVGLDFIDSFSQGVESFYFQGSESGTKM >KJB45001 pep chromosome:Graimondii2_0_v6:7:48650140:48655093:-1 gene:B456_007G284200 transcript:KJB45001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPHKTQITPTPTSSLSKFEDSPVFKYIDSLSPIEQAKSSQTDNCFNSLAFLSPSSLFPSPQINCHRESRFSVKRHHFSADLNTRVLQSSNESNAGQEASKAVEQSGLYDEHPGCLSNDSSSKGISSDLFDKQSDLAVELPGTSKYDCRSPEDNLEACDALLKKTSLEVVEQERSPFQRSRDEWKERQRSFENERDLRKIRRIKPSEAAAGCGWVAVVSDVADMLTVNTSIIHENSDGQDQRTVDSGTTSFISNIPEFSLDNANNLENAESGDHQGSCKQNELEESVTDQTSCILSTCLPDKPVVTDSSLKKDDKGEKCSQLSRQRSIRRRCLVFEKSPGFGLHLNSLPNILKGQNPPNKSILSSMKMGEVPSDNGTAVAENSSEAPASVGGADADHNTPEKKSLILCRQKFELVEESASCKRCNCKRSKCLKLYCDCFAAGLYCIEPCSCLDCFNKPIHEKMVLETRKQIESRNPQAFAPKVIRSTDSVLDSWGENNKTPASARHKRGCNCRKSSCLKKYCECFQAGVGCSPSCRCEGCKNSFGRKDGCDESGSDGEGLEACEKNASDKNSHDIVTHKNAEEHQDLPVASNISRSSSQLCNTPEHGSSESSLCQSKFNSNLQAIPEDETPKVLKHKCLPLVPKTNSPPNSKRVSPPHHECSSSTAQRSRKLILKSIPSFPSFCPP >KJB44998 pep chromosome:Graimondii2_0_v6:7:48650487:48654964:-1 gene:B456_007G284200 transcript:KJB44998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPHKTQITPTPTSSLSKFEDSPVFKYIDSLSPIEQAKSSQTDNCFNSLAFLSPSSLFPSPQINCHRESRFSVKRHHFSADLNTRVLQSSNESNAGQEASKAVEQSGLYDEHPGCLSNDSSSKGISSDLFDKQSDLAVELPGTSKYDCRSPEDNLEACDALLKKTSLEVVEQERSPFQRSRDEWKERQRSFENERDLRKIRRIKPSEAAAGCGWVAVVSDVADMLTVNTSIIHENSDGQDQRTVDSGTTSFISNIPEFSLDNANNLENAESGDHQGSCKQNELEESVTDQTSCILSTCLPDKPVVTDSSLKKDDKGEKCSQLSRQRSIRRRCLVFEKSPGFGLHLNSLPNILKGQNPPNKSILSSMKMGEVPSDNGTAVAENSSEAPASVGGADADHNTPEKKRQKFELVEESASCKRCNCKRSKCLKLYCDCFAAGLYCIEPCSCLDCFNKPIHEKMVLETRKQIESRNPQAFAPKVIRSTDSVLDSWGENNKTPASARHKRGCNCRKSSCLKKYCECFQAGVGCSPSCRCEGCKNSFGRKDGCDESGSDGEGLEACEKNASDKNSHDIVTHKNAEEHQDLPVASNISRLPLAYSGKFTGSFPHSIRSSSQLCNTPEHGSSESSLCQSKFNSNLQAIPEDETPKVLKHKCLPLVPKTNSPPNSKRVSPPHHECSSSTAQRSRKLILKSIPSFPSFCPP >KJB44999 pep chromosome:Graimondii2_0_v6:7:48650129:48655105:-1 gene:B456_007G284200 transcript:KJB44999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPHKTQITPTPTSSLSKFEDSPVFKYIDSLSPIEQAKSSQTDNCFNSLAFLSPSSLFPSPQINCHRESRFSVKRHHFSADLNTRVLQSSNESNAGQEASKAVEQSGLYDEHPGCLSNDSSSKGISSDLFDKQSDLAVELPGTSKYDCRSPEDNLEACDALLKKTSLEVVEQERSPFQRSRDEWKERQRSFENERDLRKIRRIKPSEAAAGCGWVAVVSDVADMLTVNTSIIHENSDGQDQRTVDSGTTSFISNIPEFSLDNANNLENAESGDHQGSCKQNELEESVTDQTSCILSTCLPDKPVVTDSSLKKDDKGEKCSQLSRQRSIRRRCLVFEKSPGFGLHLNSLPNILKGQNPPNKSILSSMKMGEVPSDNGTAVAENSSEAPASVGGADADHNTPEKKRQKFELVEESASCKRCNCKRSKCLKLYCDCFAAGLYCIEPCSCLDCFNKPIHEKMVLETRKQIESRNPQAFAPKVIRSTDSVLDSWGENNKTPASARHKRGCNCRKSSCLKKYCECFQAGVGCSPSCRCEGCKNSFGRKDGCDESGSDGEGLEACEKNASDKNSHDIVTHKNAEEHQDLPVASNISRSSSQLCNTPEHGSSESSLCQSKFNSNLQAIPEDETPKVLKHKCLPLVPKTNSPPNSKRVSPPHHECSSSTAQRSRKLILKSIPSFPSFCPP >KJB45000 pep chromosome:Graimondii2_0_v6:7:48650487:48654964:-1 gene:B456_007G284200 transcript:KJB45000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPHKTQITPTPTSSLSKFEDSPVFKYIDSLSPIEQAKSSQTDNCFNSLAFLSPSSLFPSPQINCHRESRFSVKRHHFSADLNTRVLQSSNESNAGQEASKAVEQSGLYDEHPGCLSNDSSSKGISSDLFDKQSDLAVELPGTSKYDCRSPEDNLEACDALLKKTSLEVVEQERSPFQRSRDEWKERQRSFENERDLRKIRRIKPSEAAAGCGWVAVVSDVADMLTVNTSIIHENSDGQDQRTVDSGTTSFISNIPEFSLDNANNLENAESGDHQGSCKQNELEESVTDQTSCILSTCLPDKPVVTDSSLKKDDKGEKCSQLSRQRSIRRRCLVFEKSPGFGLHLNSLPNILKGQNPPNKSILSSMKMGEVPSDNGTAVAENSSEAPASVGGADADHNTPEKKSLILCRQKFELVEESASCKRCNCKRSKCLKLYCDCFAAGLYCIEPCSCLDCFNKPIHEKMVLETRKQIESRNPQAFAPKVIRSTDSVLDSWGENNKTPASARHKRGCNCRKSSCLKKYCECFQAGVGCSPSCRCEGCKNSFGRKDGCDESGSDGEGLEACEKNASDKNSHDIVTHKNAEEHQDLPVASNISRLPLAYSGKFTGSFPHSIRSSSQLCNTPEHGSSESSLCQSKFNSNLQAIPEDETPKVLKHKCLPLVPKTNSPPNSKRVSPPHHECSSSTAQRSRKLILKSIPSFPSFCPP >KJB46311 pep chromosome:Graimondii2_0_v6:7:59099070:59102291:1 gene:B456_007G359900 transcript:KJB46311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALQSSVNNGENDPNHDQHHDHDDQDGERIRDIHALTPPHPPAVNARRRWETGSSRASSSSEVAPSENFTTMSREFNALVLAGSSIENNDSDSVHTLNNNQLTRIGEDHELQEETNPLAIVPDNGPYDRESDQPRRVGLGGLETAATAAAGSGGSSGGHGEVTVLRVKKEEVESKICAWQNAKIAKINNRFKREDAIINGWESEQVQKASSWMKKVERKLEEKRARALEKMQNDVAKAHRKAEERRASAESKRGTKVAKILEIANLMRAVGRPPAKRSFF >KJB46312 pep chromosome:Graimondii2_0_v6:7:59099133:59102217:1 gene:B456_007G359900 transcript:KJB46312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALQSSVNNGENDPNHDQHHDHDDQDGERIRDIHALTPPHPPAVNARRRWETGSSRASSSSEVAPSENFTTMSREFNALVLAGSSIENNDSDSVHTLNNNQLTRIGEDHELQEETNPLAIVPDNGPYDRESDQPRRVGLGGLETAATAAAGSGGSSGGHGEVTVLRVKKEEVESKICAWQNAKIAKINNRFKREDAIINGWESEQVQKASSWMKKVEV >KJB43032 pep chromosome:Graimondii2_0_v6:7:17028757:17033192:-1 gene:B456_007G180500 transcript:KJB43032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVIAWGSGEDGQLGIGNNEEREWVCVVQALEPHNVRSVVAGSRNSLAICDDGKLFTWGWNQRGTLGHPPETKTENIPSQVKALANVKIVQAAIGGWHCLAVDDEGRAYAWGGNEYGQCGEEPERKDDTGRPLRRDIVIPQRCAPKLVVRQVAAGGTHSVVLTREGYVWTWGQPWPPGDIKQISVPVRVQGLENVRLITVGAFHNLALQEDGTLWAWGNNEYGQLGTGDTQPRSQPIPVQGLSGLTLVCITLLSSLLSSYLCSCWQIVFRRLSSIDGWNGWKYRPQGFDEGVSTK >KJB43030 pep chromosome:Graimondii2_0_v6:7:17026784:17033205:-1 gene:B456_007G180500 transcript:KJB43030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVIAWGSGEDGQLGIGNNEEREWVCVVQALEPHNVRSVVAGSRNSLAICDDGKLFTWGWNQRGTLGHPPETKTENIPSQVKALANVKIVQAAIGGWHCLAVDDEGRAYAWGGNEYGQCGEEPERKDDTGRPLRRDIVIPQRCAPKLVVRQVAAGGTHSVVLTREGYVWTWGQPWPPGDIKQISVPVRVQGLENVRLITVGAFHNLALQEDGTLWAWGNNEYGQLGTGDTQPRSQPIPVQGLSGLTLVDIAAGGWHSTALTDDGEVYGWGRGEHGRLGFGDNDKSSKMVPQRVQLLAGEDIVQVSCGGTHSVALTRDGRMFSFGRGDHGRLGYGRKATTGQPMEVPINLPAPKSVSGSGAEGYWISKLVACGGRHTLAIVEWKTDESKP >KJB43031 pep chromosome:Graimondii2_0_v6:7:17026810:17031376:-1 gene:B456_007G180500 transcript:KJB43031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVKCVFNECNYVKCVCTGGNEYGQCGEEPERKDDTGRPLRRDIVIPQRCAPKLVVRQVAAGGTHSVVLTREGYVWTWGQPWPPGDIKQISVPVRVQGLENVRLITVGAFHNLALQEDGTLWAWGNNEYGQLGTGDTQPRSQPIPVQGLSGLTLVDIAAGGWHSTALTDDGEVYGWGRGEHGRLGFGDNDKSSKMVPQRVQLLAGEDIVQVSCGGTHSVALTRDGRMFSFGRGDHGRLGYGRKATTGQPMEVPINLPAPKSVSGSGAEGYWISKLVACGGRHTLAIVEWKTDESKP >KJB43782 pep chromosome:Graimondii2_0_v6:7:23955084:23957700:1 gene:B456_007G215700 transcript:KJB43782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSPRATSLLLGHGRCARFLCSSSSSSSSYSFPEHISFIKEVAATQPPQHLSQLLRILNARDESILSPGAKQGLMPLAIPLSQSNSGAVTALLRWPTAPTGMEMPVVEVRKHGVWLLAKNVDQFIHRILVEEDANNGIDELFHAASDAGEKLYRKGDFSKSQIMDVDVYLLRKVGLFPDVLERKVVQHFENGDHVSALVTGEFYTRKNHFPGFARPFVFNAEVLLKVGRNVEAKDAARGALKSPWWTLGCKYHEVACIAEWKDEQIEYRTEKMTEEGKREDLNKGKPAFQIALDEAAFLLDLASVEGTWDSTLERIAECYKEAGLHDMARFVQYKD >KJB43783 pep chromosome:Graimondii2_0_v6:7:23955332:23957355:1 gene:B456_007G215700 transcript:KJB43783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAEHISFIKEVAATQPPQHLSQLLRILNARDESILSPGAKQGLMPLAIPLSQSNSGAVTALLRWPTAPTGMEMPVVEVRKHGVWLLAKNVDQFIHRILVEEDANNGIDELFHAASDAGEKLYRKGDFSKSQIMDVDVYLLRKVGLFPDVLERKVVQHFENGDHVSALVTGEFYTRKNHFPGFARPFVFNAEVLLKVGRNVEAKDAARGALKSPWWTLGCKYHEVACIAEWKDEQIEYRTEKMTEEGKREDLNKGKPAFQIALDEAAFLLDLASVEGTWDSTLERIAECYKEAGLHDMARFVQYKD >KJB46650 pep chromosome:Graimondii2_0_v6:7:6108733:6111210:-1 gene:B456_007G085500 transcript:KJB46650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEKKKKMEVDSAPAAALEGFSPVSTTRIFWNSRKRSASGRNLDKVTEETANVTPTKQEEQTLDQDNTPDSATSSELSERRKALFEPLEPIKNINGRRPSAESLLPPPDFDAASYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDARCLEHLQLQLLQEKSKRSEVERENAMLQEQVSMLMNMLQEGEEGPDDHEP >KJB46653 pep chromosome:Graimondii2_0_v6:7:6108414:6111495:-1 gene:B456_007G085500 transcript:KJB46653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEKKKKMEVDSAPAAALEGFSPVSTTRIFWNSRKRSASGRNLDKVTEETANVTPTKQEEQTLDQDNTPDSATSSELSERRKALFEPLEPIKNINGRRPSAESLLPPPDFDAASYPKGWLIGKKRKLDREIDGLNEQLEEDARCLEHLQLQLLQEKSKRSEVERENAMLQEQVSMLMNMLQEGEEGPDDHEP >KJB46652 pep chromosome:Graimondii2_0_v6:7:6109207:6111210:-1 gene:B456_007G085500 transcript:KJB46652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEKKKKMEVDSAPAAALEGFSPVSTTRIFWNSRKRSASGRNLDKVTEETANVTPTKQEEQTLDQDNTPDSATSSELSERRKALFEPLEPIKNINGRRPSAESLLPPPDFDAASYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKVSCHILNLLSKH >KJB46651 pep chromosome:Graimondii2_0_v6:7:6108374:6111523:-1 gene:B456_007G085500 transcript:KJB46651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEKKKKMEVDSAPAAALEGFSPVSTTRIFWNSRKRSASGRNLDKVTEETANVTPTKQEEQTLDQDNTPDSATSSELSERRKALFEPLEPIKNINGRRPSAESLLPPPDFDAASYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDARCLEHLQLQLLQEKSKRSEVERENAMLQEQS >KJB46654 pep chromosome:Graimondii2_0_v6:7:6108413:6111608:-1 gene:B456_007G085500 transcript:KJB46654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEKKKKMEVDSAPAAALEGFSPVSTTRIFWNSRKRSASGRNLDKVTEETANVTPTKQEEQTLDQDNTPDSATSSELSERRKALFEPLEPIKNINGRRPSAESLLPPPDFDAASYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKGN >KJB43072 pep chromosome:Graimondii2_0_v6:7:17380064:17386308:1 gene:B456_007G182400 transcript:KJB43072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MFTTLLKSPIPFSSSFNSTQNPSKTQKGNHSFNKNSKFSVSKTHRNGPIKMPTPPWMKGPLLLQPDEVLKPTTKRSSNNNSKAPEKALFGKESGVRGKKVMKKIIRDVEKLQGNGVLDDNQIGKFEEFEIGNWLEEIGSDGEVKKFDRKMPWVREEEKVVFRRMKKEKVLTQAEIILDNDLLERLRKKAMRMRKWVKVMKAGVTQAVVDEIRLVWRNNELVMLKFGVPLCRNMDRASEIVEMKTGGLVVWCKKDVLVVYRGQNHWLTSNGRRVFNNLASDNNTTMSQEKSNASTWGRSLNGEDRDENNQPVVGSLYERETDRLLDGLGPRFIDWWMRKPLPVDADLLPEVVPGFRPPTRLSPPKTRPKLTDEELTNLRKLAHPLPFHFALGRNRNLQGLANAILKLWEKSLIAKIAIKWGAQNTDNEQMANELKDLTGGVLLLRNKFLIIFYRGKDFLPQGVANSVMEREMALRRCQLIEEDARVKVAETFQVANEPLAKTSTVGTLAEFQDIQTKYGVLEKENNELEIQIEAQKENLERELRNQERKLAILNGKIEKSATKLAKLNSSWQTAEPDLDLETITEEERECLRKIGLKLSSCLFLGRRGVFNGVIEGVHQHWKHREVVKVITMQRAFLRVIYTAKMLVAESGGILVSVEKLKEGHAIIIYRGKNYRRPSKLMTDHLLTKREALQRSIELQRIGSLKFFAYQRRQAILDLKLKLAKLEEQGVASPQG >KJB43853 pep chromosome:Graimondii2_0_v6:7:25251588:25254394:1 gene:B456_007G219700 transcript:KJB43853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTWFLLAFPFYSFILLFLENYGVRKLEAFFAVLIATMAVSFAWMFGETKPTGTELLLGVLIPKLSSKTIKQAVGVVGCIIMPHNVFLHSALVQSREIDYSKKGRVQEALNYYSIESTVALIISFTINLFVMTVFAKAFYGTEIASSIGLVNAGQYLQEKYGGGLFPILYIWSIGLLAAGQSSTITGTYAGQFIMGGFLNLRMKKWLRALITRSCAIIPTIVVALVFDTSEAALDVLNEWLNVLQSIQIPFALLPLLCLVSKEQIMGTFKIGPALKIVAWLVAALVIVINGYLLLDFFSSEVTGVIFTTVVCAFTGAYLAFIVYLVYLGFTLSVRRHKLPAKLAQGME >KJB43852 pep chromosome:Graimondii2_0_v6:7:25251495:25254494:1 gene:B456_007G219700 transcript:KJB43852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHSNMPREDNQLPLLSDQDVAYESGEKVHILGINEPDEEGSSVVPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATAMGLLVQLLSARLGVATGRHLAELCREEYPTWVSMVLWVMAELALIGADIQEVIGSAIAIKILSNGILPLWAGVVVTASDCFILLFLENYGVRKLEAFFAVLIATMAVSFAWMFGETKPTGTELLLGVLIPKLSSKTIKQAVGVVGCIIMPHNVFLHSALVQSREIDYSKKGRVQEALNYYSIESTVALIISFTINLFVMTVFAKAFYGTEIASSIGLVNAGQYLQEKYGGGLFPILYIWSIGLLAAGQSSTITGTYAGQFIMGGFLNLRMKKWLRALITRSCAIIPTIVVALVFDTSEAALDVLNEWLNVLQSIQIPFALLPLLCLVSKEQIMGTFKIGPALKIVAWLVAALVIVINGYLLLDFFSSEVTGVIFTTVVCAFTGAYLAFIVYLVYLGFTLSVRRHKLPAKLAQGME >KJB43854 pep chromosome:Graimondii2_0_v6:7:25251593:25254394:1 gene:B456_007G219700 transcript:KJB43854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHSNMPREDNQLPLLSDQDVAYESGEKVHILGINEPDEEGSSVVPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATAMGLLVQLLSARLGVATGRHLAELCREEYPTWVSMVLWVMAELALIGADIQEVIGSAIAIKILSNGILPLWAGVVVTASDCFILLFLENYGVRKLEAFFAVLIATMAVSFAWMFGETKPTGTELLLGVLIPKLSSKTIKQAVGVVGCIIMPHNVFLHSALVQSREIDYSKKGRVQEALNYYSIESTVALIISFTINLFVMTVFAKAFYGTEIASSIGLVNAGQYLQEKYGGGLFPILYIWSIGLLAAGQSSTITGTYAGQFIMGGFLNLRMKKWLRALITRSCAIIPTIVVALVFDTSEAALDVLNEWLNVLQSIQIPFALLPLLCLVSKEQIMGTFKIGPALKVCC >KJB44284 pep chromosome:Graimondii2_0_v6:7:36234288:36240974:1 gene:B456_007G244000 transcript:KJB44284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSISFAFSSYLLLLLLFSGVASASGFDPSELRSLLEFKKGIKTDPFDKVLSVWDPDSRPDPTSWTGVTRDPNSGSIVSLNLDRLGLVGDLKFHTLTPLKNLQNLSLSGNAFTGRVAPALGSITSLQHLDLSNNQFIGTIPGRITDLYGLNYLNLSGNKFDGGLPAGFRDLQQLRVLDLHNNALRGDIGQLFTELRNVEHVDLSYNAFYGGLSVAVENVSSLANTARFVNLSHNQLNGGFFKEEAIGLFKNLQVLDLGDNLIAGSLPSFGSLPGLRVLRLGTNQLFGPVPVELLEGSVRLEELDLSRNGFTGSVRVINSTTLKVLNLSSNQLSGDLPSSLRSCEIVDLSGNTISGDISVMENWEASLVVLNLSSNKLSGSLSNLSHFEDLNTLNLRNNSLTGALPPLLVTSPRLSVVELSFNQLTGPIPGSFFTSTTLKSLNLSGNHLSGVIPLQGSRVNELLVMSSYPQMESLDLSYNSLTGGLPSEIGNIAALKLLNLANNDLSGQLPSELSKLSNLEYLDLSGNNFKGKIPDRLSTSLNGFNVSYNDLSGPIPENLRGFPLSSFSPGNRLLIFPHGMPSANSAQVQPPDHAGHHNSKSNVRVSIIVASVVAAVMIVFVLLAYHRAQVKEFRGRSGFSETTTVGDAKLGRFSRPSVFKFHSNVQTPQTSLSFSNDHLLTSKSRSLSGRQEFVAEIVEHDAPERATTSSAYVNTNLLDNEPTTSGRKSSPGSPLPSSPHFIESCEQPAILDVYSPDRLVGELFFLDTSLKFTIEELSRAPAEVLGRGSHGTLYKATLRDGHMLTVKWLRVGLVKNKKEFAKEVKKIGSVRQPNFVPVRAYYWGPREQERLLLADYIDCDSLALHLYARGNLLYKILEVKQHGSRQEMIALI >KJB44283 pep chromosome:Graimondii2_0_v6:7:36234187:36240974:1 gene:B456_007G244000 transcript:KJB44283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSISFAFSSYLLLLLLFSGVASASGFDPSELRSLLEFKKGIKTDPFDKVLSVWDPDSRPDPTSWTGVTRDPNSGSIVSLNLDRLGLVGDLKFHTLTPLKNLQNLSLSGNAFTGRVAPALGSITSLQHLDLSNNQFIGTIPGRITDLYGLNYLNLSGNKFDGGLPAGFRDLQQLRVLDLHNNALRGDIGQLFTELRNVEHVDLSYNAFYGGLSVAVENVSSLANTARFVNLSHNQLNGGFFKEEAIGLFKNLQVLDLGDNLIAGSLPSFGSLPGLRVLRLGTNQLFGPVPVELLEGSVRLEELDLSRNGFTGSVRVINSTTLKVLNLSSNQLSGDLPSSLRSCEIVDLSGNTISGDISVMENWEASLVVLNLSSNKLSGSLSNLSHFEDLNTLNLRNNSLTGALPPLLVTSPRLSVVELSFNQLTGPIPGSFFTSTTLKSLNLSGNHLSGVIPLQGSRVNELLVMSSYPQMESLDLSYNSLTGGLPSEIGNIAALKLLNLANNDLSGQLPSELSKLSNLEYLDLSGNNFKGKIPDRLSTSLNGFNVSYNDLSGPIPENLRGFPLSSFSPGNRLLIFPHGMPSANSAQVQPPDHAGHHNSKSNVRVSIIVASVVAAVMIVFVLLAYHRAQVKEFRGRSGFSETTTVGDAKLGRFSRPSVFKFHSNVQTPQTSLSFSNDHLLTSKSRSLSGRQEFVAEIVEHDAPERATTSSAYVNTNLLDNEPTTSGRKSSPGSPLPSSPHFIESCEQPAILDVYSPDRLVGELFFLDTSLKFTIEELSRAPAEVLGRGSHGTLYKATLRDGHMLTVKWLRVGLVKNKKEFAKEVKKIGSVRQPNFVPVRAYYWGPREQERLLLADYIDCDSLALHLYETTPRRYSPLSFSQRLKIGVEVARCLLYLHNRGLAHGNLKPTNILLTDSNYHVCITDYCLHRLMTPTGTAEQILNLGALGYRAPELALASKPVPSLKADVYAFGVILMELLTRRSAGDIISGQSGAVDLTDWVKLCDEEGRGMDCIDRDIAGGEEHTKAMEELLAISLKCILPVNERPNIRQVFEDLCSISV >KJB44282 pep chromosome:Graimondii2_0_v6:7:36234139:36240974:1 gene:B456_007G244000 transcript:KJB44282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSISFAFSSYLLLLLLFSGVASASGFDPSELRSLLEFKKGIKTDPFDKVLSVWDPDSRPDPTSWTGVTRDPNSGSIVSLNLDRLGLVGDLKFHTLTPLKNLQNLSLSGNAFTGRVAPALGSITSLQHLDLSNNQFIGTIPGRITDLYGLNYLNLSGNKFDGGLPAGFRDLQQLRVLDLHNNALRGDIGQLFTELRNVEHVDLSYNAFYGGLSVAVENVSSLANTARFVNLSHNQLNGGFFKEEAIGLFKNLQVLDLGDNLIAGSLPSFGSLPGLRVLRLGTNQLFGPVPVELLEGSVRLEELDLSRNGFTGSVRVINSTTLKVLNLSSNQLSGDLPSSLRSCEIVDLSGNTISGDISVMENWEASLVVLNLSSNKLSGSLSNLSHFEDLNTLNLRNNSLTGALPPLLVTSPRLSVVELSFNQLTGPIPGSFFTSTTLKSLNLSGNHLSGVIPLQGSRVNELLVMSSYPQMESLDLSYNSLTGGLPSEIGNRLLIFPHGMPSANSAQVQPPDHAGHHNSKSNVRVSIIVASVVAAVMIVFVLLAYHRAQVKEFRGRSGFSETTTVGDAKLGRFSRPSVFKFHSNVQTPQTSLSFSNDHLLTSKSRSLSGRQEFVAEIVEHDAPERATTSSAYVNTNLLDNEPTTSGRKSSPGSPLPSSPHFIESCEQPAILDVYSPDRLVGELFFLDTSLKFTIEELSRAPAEVLGRGSHGTLYKATLRDGHMLTVKWLRVGLVKNKKEFAKEVKKIGSVRQPNFVPVRAYYWGPREQERLLLADYIDCDSLALHLYETTPRRYSPLSFSQRLKIGVEVARCLLYLHNRGLAHGNLKPTNILLTDSNYHVCITDYCLHRLMTPTGTAEQILNLGALGYRAPELALASKPVPSLKADVYAFGVILMELLTRRSAGDIISGQSGAVDLTDWVKLCDEEGRGMDCIDRDIAGGEEHTKAMEELLAISLKCILPVNERPNIRQVFEDLCSISV >KJB45840 pep chromosome:Graimondii2_0_v6:7:55489277:55494460:-1 gene:B456_007G332300 transcript:KJB45840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNQLKKELKEMDFFTEYGDANRYKILEVIGKGSYGVVCAALDTHTGEKVAIKKIQDVFEHMSDAIRILREVKLVRLLRHPDIVEIKRIMLPPSKREFKDLFVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRAMKYMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLELITDLIGTPSLETISGVRNDKARKYLSEMRKKKPVPFSQKFPNADPLAVRLLQRLLAFDPKDRPTAEEALADPYFKGLSKIEREPSCQPISKLEFEFERRRVTKEDVRELIYREALEYHPQLLKDYLNGHEGSNFLYPSPVGQFKKQFAYLEENGGRSAPVFPLERKHVSLPRSTVHSNGITPNTQSTSVSYENRQDREADARKAMDVISSKPKPTRPPPRVPSARESCWIRCTI >KJB45839 pep chromosome:Graimondii2_0_v6:7:55489254:55494495:-1 gene:B456_007G332300 transcript:KJB45839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNQLKKELKEMDFFTEYGDANRYKILEVIGKGSYGVVCAALDTHTGEKVAIKKIQDVFEHMSDAIRILREVKLVRLLRHPDIVEIKRIMLPPSKREFKDLFVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRAMKYMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLELITDLIGTPSLETISGVRNDKARKYLSEMRKKKPVPFSQKFPNADPLAVRLLQRLLAFDPKDRPTAEEALADPYFKGLSKIEREPSCQPISKLEFEFERRRVTKEDVRELIYREALEYHPQLLKDYLNGHEGSNFLYPSPVGQFKKQFAYLEENGGRSAPVFPLERKHVSLPRSTVHSNGITPNTQSTSVSYENRQDREADARKAMDVISSKPKPTRPPPRVPSAKPGRVVGSVVPYEDVKNIKDDYDAKNFYRNAVPPQNVSPHCFLLNQEKSGTQTDRNLQTKPQQQFSMVAKPSPGTAFDMNSNPYYRTQAKTERRLPIDAKLLQAQSQFGAVGAAAVAVAAHRNAGTVHYGLS >KJB45842 pep chromosome:Graimondii2_0_v6:7:55489857:55494029:-1 gene:B456_007G332300 transcript:KJB45842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNQLKKELKEMDFFTEYGDANRYKILEVIGKGSYGVVCAALDTHTGEKVAIKKIQDVFEHMSDAIRILREVKLVRLLRHPDIVEIKRIMLPPSKREFKDLFVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRAMKYMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLELITDLIGTPSLETISGVRNDKARKYLSEMRKKKPVPFSQKFPNADPLAVRLLQRLLAFDPKDRPTAEEALADPYFKGLSKIEREPSCQPISKLEFEFERRRVTKEDVRELIYREALEYHPQLLKDYLNGHEGSNFLYPSPVGQFKKQFAYLEENGGRSAPVFPLERKHVSLPRSTVHSNGITPNTQSTSVSYENRQDREADARKAMDVISSKPKPTRPPPRVPSEVLSFPYSKARESCWIRCTI >KJB45841 pep chromosome:Graimondii2_0_v6:7:55489277:55494460:-1 gene:B456_007G332300 transcript:KJB45841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNQLKKELKEMDFFTEYGDANRYKILEVIGKGSYGVVCAALDTHTVKLVRLLRHPDIVEIKRIMLPPSKREFKDLFVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRAMKYMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLELITDLIGTPSLETISGVRNDKARKYLSEMRKKKPVPFSQKFPNADPLAVRLLQRLLAFDPKDRPTAEEALADPYFKGLSKIEREPSCQPISKLEFEFERRRVTKEDVRELIYREALEYHPQLLKDYLNGHEGSNFLYPSPVGQFKKQFAYLEENGGRSAPVFPLERKHVSLPRSTVHSNGITPNTQSTSVSYENRQDREADARKAMDVISSKPKPTRPPPRVPSAKPGRVVGSVVPYEDVKNIKDDYDAKNFYRNAVPPQNVSPHCFLLNQEKSGTQTDRNLQTKPQQQFSMVAKPSPGTAFDMNSNPYYRTQAKTERRLPIDAKLLQAQSQFGAVGAAAVAVAAHRNAGTVHYGLS >KJB45773 pep chromosome:Graimondii2_0_v6:7:54640069:54643285:-1 gene:B456_007G327100 transcript:KJB45773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFKAFILSTRTKVLLKIHNPLYKTLCPSPSFIIRRTLNSSSQLPTKTPLSLLFRDTVLTVKPAGTETQRKGEINESVKEFRNFKENPKGKKKETEKLDGKRPKKFKCLVEFIGDENKEEKMKKKMKAKREREKVRALKGLSQLAEIFIRHLYAKGYFKEASFVEDNKLDFGYFENSYGRDFIKFAAYNFGKDHQDIAKWLPGSHLKKVVLFGCASLDKNNVFAAKRLRKFFKIQENTVCSRCMLKDSCEYANKSVWGIGTNSLLLVDVMKVITLYVLDLVPAKLTVPDEVKDSINQLLKVVIKLSQPTCQDS >KJB45772 pep chromosome:Graimondii2_0_v6:7:54641078:54643207:-1 gene:B456_007G327100 transcript:KJB45772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFKAFILSTRTKVLLKIHNPLYKTLCPSPSFIIRRTLNSSSQLPTKTPLSLLFRDTVLTVKPAGTETQRKGEINESVKEFRNFKENPKGKKKETEKLDGKRPKKFKCLVEFIGDENKEEKMKKKMKAKREREKVRALKGLSQLAEIFIRHLYAKGYFKEASFVEDNKLDFGYFENSYGRDFIKFAAYNFGKDHQDIAKWLPGSHLKKVVLFGCASLDKNNVFAAKRLRKFFKIQENTVCSRCMLKDSCEYANKSVWGIGTNSLLLVDVMKVITLYVLDLVPAKLTVPDEVKDSINQLLKVVIKLSQPTCQDS >KJB45774 pep chromosome:Graimondii2_0_v6:7:54640263:54643207:-1 gene:B456_007G327100 transcript:KJB45774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFKAFILSTRTKVLLKIHNPLYKTLCPSPSFIIRRTLNSSSQLPTKTPLSLLFRDTVLTVKPAGTETQRKGEINESVKEFRNFKENPKGKKKETEKLDGKRPKKFKCLVEFIGDENKEEKMKKKMKAKREREKVRALKGLSQLAEIFIRHLYAKGYFKEASFVEDNKLDFGYFENSYGRDFIKFAAYNFGKDHQDIAKWLPGSHLKKVVLFGCASLDKNNVFAAKRLRKFFKIQENTSMHVKGFMRVC >KJB39965 pep chromosome:Graimondii2_0_v6:7:2834792:2842554:-1 gene:B456_007G040600 transcript:KJB39965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQSRPFGAEGRKPTHDFLSLYSHSSVQQDPRPPSQGGYLKTRDFLQLEQQGNPSAKKEISVEVATVEMPTPPFVEHILPGGIGTYSISHVSYFNPRVPKSEGAVFTVAEGSSTERNDENSNCSSYTGSGFTLWEETAGKKGKTGKENAGETPFVRDAAGKVGHWATSSLEKGTQSSTNNHPKSYSCLSSSQPSSKQQKRQSFMEMIKSAKGSSQDDDFEEDEDFVLKKESSTTTHNIGELRVKVDGKSVPDQKANTPRSKHSATEQRRRSKINNRFQMLRDIIPNSDQKRDKASFLLEVIEYIQFLQERVHKYEGTYQGWSHVPSKLIPWRSNQRPTENYADQSRAINGVSPSVVFPTKLDDGNINITPTIRESAENPIEPNKSRATTFRAMDLTPGMMNKTMPFPVSLQPNFFNTAQNTVPATQIPPRLPSDAENNASQPQPVQCHTGSCTANGALPSEKLKEQELTIEGGKINISSLYSQRLLNTLTQALQTSGLDLSQASISVQIELGKQSSNRPTAPTSTLKDTTAAPSTNQGKTRPRVGRGEDSDQPLKKLKT >KJB39964 pep chromosome:Graimondii2_0_v6:7:2834873:2842707:-1 gene:B456_007G040600 transcript:KJB39964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQSRPFGAEGRKPTHDFLSLYSHSSVQQDPRPPSQGGYLKTRDFLQLEQQGNPSAKKEISVEVATVEMPTPPFVEHILPGGIGTYSISHVSYFNPRVPKSEGAVFTVAEGSSTERNDENSNCSSYTGSGFTLWEETAGKKGKTGKENAGETPFVRDAAGKVGHWATSSLEKGTQSSTNNHPKSYSCLSSSQPSSKQQKRQSFMEMIKSAKGSSQDDDFEEDEDFVLKKESSTTTHNIGELRVKVDGKSVPDQKANTPRSKHSATEQRRRSKINNRFQMLRDIIPNSDQKRDKASFLLEVIEYIQFLQERVHKYEGTYQGWSHVPSKLIPWRSNQRPTENYADQSRAINGVSPSVVFPTKLDDGNINITPTIRESAENPIEPNKSRATTFRAMDLTPGMMNKTMPFPVSLQPNFFNTAQNTVPATQIPPRLPSDAENNASQPQPVQCHTGSCTANGALPSEKLKEQELTIEGGKINISSLYSQRLLNTLTQALQTSGLDLSQASISVQIELGKQSSNRPTAPTSTLKDTTAAPSTNQGKTRPRVGRGEDSDQPLKKLKT >KJB39966 pep chromosome:Graimondii2_0_v6:7:2835450:2839768:-1 gene:B456_007G040600 transcript:KJB39966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPPFVEHILPGGIGTYSISHVSYFNPRVPKSEGAVFTVAEGSSTERNDENSNCSSYTGSGFTLWEETAGKKGKTGKENAGETPFVRDAAGKVGHWATSSLEKGTQSSTNNHPKSYSCLSSSQPSSKQQKRQSFMEMIKSAKGSSQDDDFEEDEDFVLKKESSTTTHNIGELRVKVDGKSVPDQKANTPRSKHSATEQRRRSKINNRFQMLRDIIPNSDQKRDKASFLLEVIEYIQFLQERVHKYEGTYQGWSHVPSKLIPWRSNQRPTENYADQSRAINGVSPSVVFPTKLDDGNINITPTIRESAENPIEPNKSRATTFRAMDLTPGMMNKTMPFPVSLQPNFFNTAQNTVPATQIPPRLPSDAENNASQPQPVQCHTGSCTANGALPSEKLKEQELTIEGGKINISSLYSQRLLNTLTQALQTSGLDLSQASISVQIELGKQSSNRPTAPTSTLKDTTAAPSTNQGKTRPRVGRGEDSDQPLKKLKT >KJB39963 pep chromosome:Graimondii2_0_v6:7:2834873:2842686:-1 gene:B456_007G040600 transcript:KJB39963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQSRPFGAEGRKPTHDFLSLYSHSSVQQDPRPPSQGGYLKTRDFLQLEQQGNPSAKKEISVEVATVEMPTPPFVEHILPGGIGTYSISHVSYFNPRVPKSEGAVFTVAEGSSTERNDENSNCSSYTGSGFTLWEETAGKKGKTGKENAGETPFVRDAAGKVGHWATSSLEKGTQSSTNNHPKSYSCLSSSQPSSKQQKRQSFMEMIKSAKGSSQDDDFEEDEDFVLKKESSTTTHNIGELRVKVDGKSVPDQKANTPRSKHSATEQRRRSKINNRFQMLRDIIPNSDQKRDKASFLLEVIEYIQFLQERVHKYEGTYQGWSHVPSKLIPWRSNQRPTENYADQSRAINGVSPSVVFPTKLDDGNINITPTIRESAENPIEPNKSRATTFRAMDLTPGMMNKTMPFPVSLQPNFFNTAQNTVPATQIPPRLPSDAENNASQPQPVQCHTGSCTANGALPSEKLKEQELTIEGGKINISSLYSQRLLNTLTQALQTSGLDLSQASISVQIELGKQSSNRPTAPTSTLKDTTAAPSTNQGKTRPRVGRGEDSDQPLKKLKT >KJB41607 pep chromosome:Graimondii2_0_v6:7:8667694:8672992:1 gene:B456_007G112100 transcript:KJB41607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRKVLQGNTTRTRVGKYELGKTLGEGSFAKVKFAKNVETGECVAIKILDRDQVLRHRMVEQFCMGQIKREISTMKLIKHPNVIKIFEVMASKTRIYIVIEFVDGGELFDKIAKNGRLKEDEARSYFHQLINAVDYCHSRGVYHRDLKPENLLLDSYGVLKISDFGLSAFSQQVREDGLLHTACGTPNYVAPEVLRDKGYDGTSSDIWSCGVILFVLMAGYLPFDEPSLVGLYKKIWEASFSCPSWFSSGARNLIKRILDPNPVTRITIPEILQDEWFKKGYKPPKFEQDEDVNLDDIDAVFNDSTEHLVTERKEKPVSMNAFELISRSQSFSLDKLFEKQCGSVKPETSFASQRPPNEILSKIEEAAKPLGFNVHKRNYKMKLKGDKSGRKGQLSVATEVFEVAPSLHMVELRKTGGDTLEFHKFYKSFSSELKDIVWKSGETAEA >KJB41610 pep chromosome:Graimondii2_0_v6:7:8668116:8672992:1 gene:B456_007G112100 transcript:KJB41610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIKREISTMKLIKHPNVIKIFEVMASKTRIYIVIEFVDGGELFDKIAKNGRLKEDEARSYFHQLINAVDYCHSRGVYHRDLKPENLLLDSYGVLKISDFGLSAFSQQVREDGLLHTACGTPNYVAPEVLRDKGYDGTSSDIWSCGVILFVLMAGYLPFDEPSLVGLYKKIWEASFSCPSWFSSGARNLIKRILDPNPVTRITIPEILQDEWFKKGYKPPKFEQDEDVNLDDIDAVFNDSTEHLVTERKEKPVSMNAFELISRSQSFSLDKLFEKQCGSVKPETSFASQRPPNEILSKIEEAAKPLGFNVHKRNYKMKLKGDKSGRKGQLSVATEVFEVAPSLHMVELRKTGGDTLEFHKFYKSFSSELKDIVWKSGETAEA >KJB41606 pep chromosome:Graimondii2_0_v6:7:8667694:8670913:1 gene:B456_007G112100 transcript:KJB41606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRKVLQGNTTRTRVGKYELGKTLGEGSFAKVKFAKNVETGECVAIKILDRDQVLRHRMVEQIKREISTMKLIKHPNVIKIFEVMASKTRIYIVIEFVDGGELFDKIAKNGRLKEDEARSYFHQLINAVDYCHSRGVYHRDLKPENLLLDSYGVLKISDFGLSAFSQQVREDGLLHTACGTPNYVAPEVLRDKGYDGTSSDIWSCGVILFVLMAGYLPFDEPSLVGLYKKIWEASFSCPSWFSSGARNLIKRILDPNPVTVSFKFNSSYETL >KJB41608 pep chromosome:Graimondii2_0_v6:7:8667694:8672992:1 gene:B456_007G112100 transcript:KJB41608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRKVLQGNTTRTRVGKYELGKTLGEGSFAKVKFAKNVETGECVAIKILDRDQVLRHRMVEQIKREISTMKLIKHPNVIKIFEVMASKTRIYIVIEFVDGGELFDKIAKNGRLKEDEARSYFHQLINAVDYCHSRGVYHRDLKPENLLLDSYGVLKISDFGLSAFSQQVREDGLLHTACGTPNYVAPEVLRDKGYDGTSSDIWSCGVILFVLMAGYLPFDEPSLVGLYKKIWEASFSCPSWFSSGARNLIKRILDPNPVTRITIPEILQDEWFKKGYKPPKFEQDEDVNLDDIDAVFNDSTEHLVTERKEKPVSMNAFELISRSQSFSLDKLFEKQCGSVKPETSFASQRPPNEILSKIEEAAKPLGFNVHKRNYKMKLKGDKSGRKGQLSVATEVFEVAPSLHMVELRKTGGDTLEFHKV >KJB41609 pep chromosome:Graimondii2_0_v6:7:8667694:8672992:1 gene:B456_007G112100 transcript:KJB41609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRKVLQGNTTRTRVGKYELGKTLGEGSFAKVKFAKNVETGECVAIKILDRDQVLRHRMVEQIKREISTMKLIKHPNVIKIFEVMASKTRIYIVIEFVDGGELFDKIAKNGRLKEDEARSYFHQLINAVDYCHSRGVYHRDLKPENLLLDSYGVLKISDFGLSAFSQQVREDGLLHTACGTPNYVAPEVLRDKGYDGTSSDIWSCGVILFVLMAGYLPFDEPSLVGLYKKIWEASFSCPSWFSSGARNLIKRILDPNPVTRITIPEILQDEWFKKGYKPPKFEQDEDVNLDDIDAVFNDSTEHLVTERKEKPVSMNAFELISRSQSFSLDKLFEKQCGSVKPETSFASQRPPNEILSKIEEAAKPLGFNVHKRNYKMKLKGDKSGRKGQLSVATEVFEVAPSLHMVELRKTGGDTLEFHKV >KJB41605 pep chromosome:Graimondii2_0_v6:7:8667597:8673097:1 gene:B456_007G112100 transcript:KJB41605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRKVLQGNTTRTRVGKYELGKTLGEGSFAKVKFAKNVETGECVAIKILDRDQVLRHRMVEQIKREISTMKLIKHPNVIKIFEVMASKTRIYIVIEFVDGGELFDKIAKNGRLKEDEARSYFHQLINAVDYCHSRGVYHRDLKPENLLLDSYGVLKISDFGLSAFSQQVREDGLLHTACGTPNYVAPEVLRDKGYDGTSSDIWSCGVILFVLMAGYLPFDEPSLVGLYKKIWEASFSCPSWFSSGARNLIKRILDPNPVTRITIPEILQDEWFKKGYKPPKFEQDEDVNLDDIDAVFNDSTEHLVTERKEKPVSMNAFELISRSQSFSLDKLFEKQCGSVKPETSFASQRPPNEILSKIEEAAKPLGFNVHKRNYKMKLKGDKSGRKGQLSVATEVFEVAPSLHMVELRKTGGDTLEFHKFYKSFSSELKDIVWKSGETAEA >KJB39517 pep chromosome:Graimondii2_0_v6:7:1341050:1342802:1 gene:B456_007G017700 transcript:KJB39517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVIAYPANIIPYPFSAATSERHHYQRKFPDLLLQLYILNSNQIKSYTEKSLTKMVRIEEKQGTIVPSSMPQQQNPLQQLQAKFKEVENGFRAWLSKQPLPVEATVVTTTGAAQGAAIGALMGTLTNDISSSLQTPPQASLNPQAMASFKQAQALSGGPLVQARNFAVMTGVNAGISCVMKRLRGKEDVQSSMAAAFGSGAMFSLVSGMGGPNQAANAVTSGLFFALVQGGLFQVMRYLISLMCLIILLSTRVIICSASCEHERTYINQKTENMAC >KJB39516 pep chromosome:Graimondii2_0_v6:7:1341021:1343482:1 gene:B456_007G017700 transcript:KJB39516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIEEKQGTIVPSSMPQQQNPLQQLQAKFKEVENGFRAWLSKQPLPVEATVVTTTGAAQGAAIGALMGTLTNDISSSLQTPPQASLNPQAMASFKQAQALSGGPLVQARNFAVMTGVNAGISCVMKRLRGKEDVQSSMAAAFGSGAMFSLVSGMGGPNQAANAVTSGLFFALVQGGLFQLGKNFSQPPVEDVNYYRTRSMLNSLGLQNYEKNFKKGLLTDSTLPLLTDSALRDVKIPPGPRLLILDHIQRDPVLKEKQGHRG >KJB42795 pep chromosome:Graimondii2_0_v6:7:15063443:15064391:1 gene:B456_007G168400 transcript:KJB42795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLPQEVALEILSRLPLLSLVQSKSVCRAWRTFIQDQLLVNKHFKHMVENDPSFILQIMGHCIQNELYFGDLSSDPNDENVMMIAKKLTIPALLSFHLVSSCNGLLLLSATHPSFELCIYNPFTRDYVELPKRSHHAGVLGFGFDPTTKKYKVVEISYKRTTHLYFRRRVVRFGMRPASQTAASSVEVHILTVSSNTWRNLGSFPFRLDLGDEGILQPNYQCHSSNDPRFHSRKKWIQVLCLLKSGGLLLQYRNKALVVYDPHCRTFQDVEVPRAEILRCLRTVVHVASLNWIGT >KJB45379 pep chromosome:Graimondii2_0_v6:7:51705488:51709203:-1 gene:B456_007G303500 transcript:KJB45379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLVPLATLLGRELRNVKVEKPFVKFGQAALAKKGEDYFLIKPDCERVPGNPETSFSVFAIFDGHNGISAAIFAKENLLGNVLSAIPQGINREEWLQALPRALVAGFVKTDIEFQQKGETSGTTVTFVVIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENAEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNSGGRLIIASDGIWDALSSDMAANSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDQPVLPSTPMKKQNALSSFLFGKRSQTSTNKTTNKLSAVGVVEELFEEGSAMLAERLGKDFPMNKNSGLFKCAVCQVDQPPAEGLTVNSGPFFSPASKPWEGPFLCTNCRKKKDAMEGKRSSRPTVLA >KJB45380 pep chromosome:Graimondii2_0_v6:7:51705488:51709178:-1 gene:B456_007G303500 transcript:KJB45380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLVPLATLLGRELRNVKVEKPFVKFGQAALAKKGEDYFLIKPDCERVPGNPETSFSVFAIFDGHNGISAAIFAKENLLGNVLSAIPQGINREEWLQALPRALVAGFVKTDIEFQQKGETSGTTVTFVVIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENAEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQLSNSGGRLIIASDGIWDALSSDMAANSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDQPVLPSTPMKKQNALSSFLFGKRSQTSTNKTTNKLSAVGVVEELFEEGSAMLAERLGKDFPMNKNSGLFKCAVCQVDQPPAEGLTVNSGPFFSPASKPWEGPFLCTNCRKKKDAMEGKRSSRPTVLA >KJB45381 pep chromosome:Graimondii2_0_v6:7:51705488:51709178:-1 gene:B456_007G303500 transcript:KJB45381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLVPLATLLGRELRNVKVEKPFVKFGQAALAKKGEDYFLIKPDCERVPGNPETSFSVFAIFDGHNGISAAIFAKENLLGNVLSAIPQGINREEWLQALPRALVAGFVKTDIEFQQKGETSGTTVTFVVIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENAEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNSGGRLIIASDGIWDALSSDMAANSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDQPVLPSTPMKKQNALSSFLFGKRSQTSTNKTTNKLSAVGVVEELFEEGSAMLAERLGKDFPMNKNSGLFKCAVCQVDQPPAEGLTVNSGPFFSPASKPWEGPFLCTNCRKKKDAMEGKRSSRPTVLA >KJB45378 pep chromosome:Graimondii2_0_v6:7:51705458:51709203:-1 gene:B456_007G303500 transcript:KJB45378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLVPLATLLGRELRNVKVEKPFVKFGQAALAKKGEDYFLIKPDCERVPGNPETSFSVFAIFDGHNGISAAIFAKENLLGNVLSAIPQGINREEWLQALPRALVAGFVKTDIEFQQKGETSGTTVTFVVIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENAEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNSGGRLIIASDGIWDALSSDMAANSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDQPVLPSTPMKKQNALSSFLFGKRSQTSTNKTTNKLSAVGVVEELFEEGSAMLAERLGKDFPMNKNSGLFKCAVCQVDQPPAEGLTVNSGPFFSPASKPWEGPFLCTNCRKKKDAMEGKRSSRPTVLA >KJB41252 pep chromosome:Graimondii2_0_v6:7:7126518:7127986:-1 gene:B456_007G096600 transcript:KJB41252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSSASPASREPHALSSSDGATTAKPGERSTPYASNVMRFLESVGISNPIPDNYSSEDFYSDFLCNHLKTDAVRRGHITCFTTVKPTILNSMGGLHGGVVAAISERVAIATARTVVGEDKELFLGELAMSYLSPATINAELIVDGSVVRRGRNTTVVAVEFKMRKTGKLIYSSRATFYNSPIAKL >KJB40671 pep chromosome:Graimondii2_0_v6:7:5194735:5199041:-1 gene:B456_007G073300 transcript:KJB40671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGLAHLHSLSPRLVHKDFKTANVLVDENFIAKVADAGLRNFLGRIDVAGPSSQVTADEIFLAPEVREFRRFSEKSDVYSFGVFLLELVSGREASELPSSNSTENLVEWVQNSHDYSNISSSIDSRLGSSFTAEGMEEFIRLTVRCLEPSSERRPTMSYVVAELDRILDKEMSLTTVMGEGSPTVTLGSQLFRATK >KJB40663 pep chromosome:Graimondii2_0_v6:7:5194735:5197963:-1 gene:B456_007G073300 transcript:KJB40663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGLAHLHSLSPRLVHKDFKTANVLVDENFIAKVADAGLRNFLGRIDVAGPSSQVTADEIFLAPEVREFRRFSEKSDVYSFGVFLLELVSGREASELPSSNSTENLVEWVQNSHDYSNISSSIDSRLGSSFTAEGMEEFIRLTVRCLEPSSERRPTMSYVVAELDRILDKEMSLTTVMGEGSPTVTLGSQLFRATK >KJB40666 pep chromosome:Graimondii2_0_v6:7:5194735:5199041:-1 gene:B456_007G073300 transcript:KJB40666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGLAHLHSLSPRLVHKDFKTANVLVDENFIAKVADAGLRNFLGRIDVAGPSSQVTADEIFLAPEYSLFTL >KJB40678 pep chromosome:Graimondii2_0_v6:7:5194735:5199041:-1 gene:B456_007G073300 transcript:KJB40678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQMSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLSWSSLSSEDRIQA >KJB40668 pep chromosome:Graimondii2_0_v6:7:5195676:5197618:-1 gene:B456_007G073300 transcript:KJB40668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGLAHLHSLSPRLVHKDFKTANVLVDENFIAKVADAGLRNFLGRIDVAGPSSQVTADEIFLAPEVREFRRFSEKSDVYSFGVFLLELVSGREASELPSSNSTENLVEWV >KJB40670 pep chromosome:Graimondii2_0_v6:7:5194735:5199041:-1 gene:B456_007G073300 transcript:KJB40670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGLAHLHSLSPRLVHKDFKTANVLVDENFIAKVADAGLRNFLGRIDVAGPSSQVTADEIFLAPEVREFRRFSEKSDVYSFGVFLLELVSGREASELPSSNSTENLVEWVQNSHDYSNISSSIDSRLGSSFTAEGMEEFIRLTVRCLEPSSERRPTMSYVVAELDRILDKEMSLTTVMGEGSPTVTLGSQLFRATK >KJB40664 pep chromosome:Graimondii2_0_v6:7:5194735:5199041:-1 gene:B456_007G073300 transcript:KJB40664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGLAHLHSLSPRLVHKDFKTANVLVDENFIAKVADAGLRNFLGRIDVAGPSSQVTADEIFLAPEVREFRRFSEKSDVYSFGVFLLELVSGREASELPSSNSTENLVEWVQNSHDYSNISSSIDSRLGSSFTAEGMEEFIRLTVRCLEPSSERRPTMSYVVAELDRILDKEMSLTTVMGEGSPTVTLGSQLFRATK >KJB40665 pep chromosome:Graimondii2_0_v6:7:5196036:5197618:-1 gene:B456_007G073300 transcript:KJB40665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGDPLQNQFVICPFCRLGSSPLFKSSIGT >KJB40677 pep chromosome:Graimondii2_0_v6:7:5196036:5198211:-1 gene:B456_007G073300 transcript:KJB40677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQMSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGDPLQNQLGSSPLFKSSIGT >KJB40672 pep chromosome:Graimondii2_0_v6:7:5194686:5199263:-1 gene:B456_007G073300 transcript:KJB40672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNTDVNESCSNIRRCCRSRGIGGDSWLAYMVLPISQTGCFKNIRDRIFGSLCSRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGLAHLHSLSPRLVHKDFKTANVLVDENFIAKVADAGLRNFLGRIDVAGPSSQVTADEIFLAPEVREFRRFSEKSDVYSFGVFLLELVSGREASELPSSNSTENLVEWVQNSHDYSNISSSIDSRLGSSFTAEGMEEFIRLTVRCLEPSSERRPTMSYVVAELDRILDKEMSLTTVMGEGSPTVTLGSQLFRATK >KJB40675 pep chromosome:Graimondii2_0_v6:7:5195052:5197618:-1 gene:B456_007G073300 transcript:KJB40675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGLAHLHSLSPRLVHKDFKTANVLVDENFIAKVADAGLRNFLGRIDVAGPSSQVTADEIFLAPEVREFRRFSEKSDVYSFGVFLLELVSGREASELPSSNSTENLVEWVQNSHDYSNISSSIDSRLGSSFTAEGMEEFIRLTVRCLEPSSERRPTMSYVVAELDRILDKEMSLTTVMGEGSPTVTLGSQLFRATK >KJB40674 pep chromosome:Graimondii2_0_v6:7:5196276:5198211:-1 gene:B456_007G073300 transcript:KJB40674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQMSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGDPLQNQ >KJB40680 pep chromosome:Graimondii2_0_v6:7:5196036:5197618:-1 gene:B456_007G073300 transcript:KJB40680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGDPLQNQLGSSPLFKSSIGT >KJB40669 pep chromosome:Graimondii2_0_v6:7:5194735:5199041:-1 gene:B456_007G073300 transcript:KJB40669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGLAHLHSLSPRLVHKDFKTANVLVDENFIAKVADAGLRNFLGRIDVAGPSSQVTADEIFLAPEVREFRRFSEKSDVYSFGVFLLELVSGREASELPSSNSTENLVECKIATITATFPAALIQGWAVASQLKAWRNS >KJB40662 pep chromosome:Graimondii2_0_v6:7:5194735:5199041:-1 gene:B456_007G073300 transcript:KJB40662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQMSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGLAHLHSLSPRLVHKDFKTANVLVDENFIAKVADAGLRNFLGRIDVAGPSSQVTADEIFLAPEVREFRRFSEKSDVYSFGVFLLELVSGREASELPSSNSTENLVEWVQNSHDYSNISSSIDSRLGSSFTAEGMEEFIRLTVRCLEPSSERRPTMSYVVAELDRILDKEMSLTTVMGEGSPTVTLGSQLFRATK >KJB40679 pep chromosome:Graimondii2_0_v6:7:5195870:5197618:-1 gene:B456_007G073300 transcript:KJB40679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGLAHLHSLSPRLVHKDFKTANVLVDENFIAKVADAGLRNFLGRIDVAGPSSQVTADEIFLAPEYSLFTL >KJB40676 pep chromosome:Graimondii2_0_v6:7:5196036:5197618:-1 gene:B456_007G073300 transcript:KJB40676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGDPLQNQLGSSPLFKSSIGT >KJB40673 pep chromosome:Graimondii2_0_v6:7:5194735:5199263:-1 gene:B456_007G073300 transcript:KJB40673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQVKCVIISIIAEVVPIPDVNESCSNIRRCCRSRGIGGDSWLAYMVLPISQTGCFKNIRDRIFGSLCSRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGLAHLHSLSPRLVHKDFKTANVLVDENFIAKVADAGLRNFLGRIDVAGPSSQVTADEIFLAPEVREFRRFSEKSDVYSFGVFLLELVSGREASELPSSNSTENLVEWVQNSHDYSNISSSIDSRLGSSFTAEGMEEFIRLTVRCLEPSSERRPTMSYVVAELDRILDKEMSLTTVMGEGSPTVTLGSQLFRATK >KJB40667 pep chromosome:Graimondii2_0_v6:7:5195870:5197618:-1 gene:B456_007G073300 transcript:KJB40667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNLAAILGGAAGAVALVGIVGLLIWFCLFHKRGVSRTSETGSSDPSVQGRHIGVQLSLREARRFELRELSLATKNFSDRNLIGEGKFGEVYKGLLQDGMLVAIKKRAGAPSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLVYEYIPNGSVSIHLYGVGQVSAQKIEFKHRLSIALGAAKGLAHLHSLSPRLVHKDFKTANVLVDENFIAKVADAGLRNFLGRIDVAGPSSQVTADEIFLAPEYSLFTL >KJB43274 pep chromosome:Graimondii2_0_v6:7:18725894:18728922:-1 gene:B456_007G191300 transcript:KJB43274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARGLKKYLKRLSALRHWMLDKLGGAFICYFPELSFGFLSSPMLLHFNFVFIVFVNADAKAIVWTPQVEGMFATYSHSTKQTEVCFDIQRSDCYSYAKACYG >KJB43273 pep chromosome:Graimondii2_0_v6:7:18725857:18728922:-1 gene:B456_007G191300 transcript:KJB43273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARGLKKYLKRLSALRHWMLDKLGGAFIYAKAIVWTPQVEGMFATYSHSTKQTEVCFDIQRSDCYSYAKACYG >KJB39325 pep chromosome:Graimondii2_0_v6:7:541673:546875:-1 gene:B456_007G006600 transcript:KJB39325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGICTLMLFLLAYHLDVKAAKRAILDGFRKTDEDLLKESSSGGWQDGATAVCVWVIEKMVFIANIGDAKAVVARSIDGSDKGSALKAIVLTREHKAIYPQERARIQKAGGTVGSNGRLQGRLEVSRAFGDRQFKKVGVVATPDIHSFDITDREHFIILGCDGLWGVFGPSDAVEFVHKLLKEGLPVTAVSRRLVREAVRERRCKDNCTAIVIVFRRK >KJB39326 pep chromosome:Graimondii2_0_v6:7:542000:546776:-1 gene:B456_007G006600 transcript:KJB39326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTSSNTQAEGSNSESNLKRQPEVDDDSSDSAAKKPKTQTPVQEESEKGEKSLDIEADVAEDKGSRHTMEDAWVIMLDATLDSPGKLRCGHFAIYDGHGGRLAAEYAQRHLHANVVSAGLPRELLDVKAAKRAILDGFRKTDEDLLKESSSGGWQDGATAVCVWVIEKMVFIANIGDAKAVVARSIDGSDKGSALKAIVLTREHKAIYPQERARIQKAGGTVGSNGRLQGRLEVSRAFGDRQFKKVGVVATPDIHSFDITDREHFIILGCDGLWGVFGPSDAVEFVHKLLKEGLPVTAVSRRLVREAVRERRCKDNCTAIVIVFRRK >KJB39327 pep chromosome:Graimondii2_0_v6:7:542326:546842:-1 gene:B456_007G006600 transcript:KJB39327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTSSNTQAEGSNSESNLKRQPEVDDDSSDSAAKKPKTQTPVQEESEKGEKSLDIEADVAEDKGSRHTMEDAWVIMLDATLDSPGKLRCGHFAIYDGHGGRLAAEYAQRHLHANVVSAGLPRELLDVKAAKRAILDGFRKTDEDLLKESSSGGWQDGATAVCVWVIEKMVFIANIGDAKAVVARSIDGSDKGSALKAIVLTREHKAIYPQERARIQKAGGTVGSNGRLQGRLEVSRAFGDRQFKKVGVVATPDIHSFDITDREHFIILGCDGLWGVFGPSDAVEFVHKLLKVRNGLSSFLYN >KJB41442 pep chromosome:Graimondii2_0_v6:7:7850397:7853326:1 gene:B456_007G104700 transcript:KJB41442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHCRSVEQNGRAPQHVSPASCGSSLEIAPYNSAIAPSSSLDFQGRTERSGYSLKTSTELLKVLNRIWALEEQHVSNMSLVNALKMELDHSRGQIKELLQEKQTERQEMDNFMKQVTEDKLVRKNKEQDRIKAAVQPVRDELENERRLRKRSESMHRKLARELSEVRSSFANAFKELERERKARILLENLCDEFARGIREYEQELRFLKHKYEIDHIDGENPERLILHISEAWLDERMQMKLAEGQTDLAEKNSIVDKLSLDIETFLEAKRSTGSRKSDPKGNCSHQHSLESFPLNEAVSAPQGAADEAYSSGSGSQCYELHKTANRVQSKGSCKLHGDNALVSHREELGNRISSRKKAWSRDAIKSSRFHSFRGQFEGQMATGAPVHDNKVKRNGSHGMSSSHVLDRLMRNHSFSSEGDKVHPECSLREESFQCVSKGRASPVRQWVSKLTSPDFEKSDSCLKLPPGFKENTLKAKLLEARLEGQQSRAKAAKS >KJB41441 pep chromosome:Graimondii2_0_v6:7:7849458:7853326:1 gene:B456_007G104700 transcript:KJB41441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKEKGVEGEGENQDFMVKKLKGGILVGKRAGPSTPSPIWRLEFPSQNATNPEFLQLPTGPFVSARKLCANLWELHPHYPLPSTRKGASKHRIHHDKASDTAVDPPATPLPQPASASSSRRHIAESLMQHCRSVEQNGRAPQHVSPASCGSSLEIAPYNSAIAPSSSLDFQGRTERSGYSLKTSTELLKVLNRIWALEEQHVSNMSLVNALKMELDHSRGQIKELLQEKQTERQEMDNFMKQVTEDKLVRKNKEQDRIKAAVQPVRDELENERRLRKRSESMHRKLARELSEVRSSFANAFKELERERKARILLENLCDEFARGIREYEQELRFLKHKYEIDHIDGENPERLILHISEAWLDERMQMKLAEGQTDLAEKNSIVDKLSLDIETFLEAKRSTGSRKSDPKGNCSHQHSLESFPLNEAVSAPQGAADEAYSSGSGSQCYELHKTANRVQSKGSCKLHGDNALVSHREELGNRISSRKKAWSRDAIKSSRFHSFRGQFEGQMATGAPVHDNKVKRNGSHGMSSSHVLDRLMRNHSFSSEGDKVHPECSLREESFQCVSKGRASPVRQWVSKLTSPDFEKSDSCLKLPPGFKENTLKAKLLEARLEGQQSRAKAAKS >KJB41443 pep chromosome:Graimondii2_0_v6:7:7850694:7853326:1 gene:B456_007G104700 transcript:KJB41443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQPASASSSRRHIAESLMQHCRSVEQNGRAPQHVSPASCGSSLEIAPYNSAIAPSSSLDFQGRTERSGYSLKTSTELLKVLNRIWALEEQHVSNMSLVNALKMELDHSRGQIKELLQEKQTERQEMDNFMKQVTEDKLVRKNKEQDRIKAAVQPVRDELENERRLRKRSESMHRKLARELSEVRSSFANAFKELERERKARILLENLCDEFARGIREYEQELRFLKHKYEIDHIDGENPERLILHISEAWLDERMQMKLAEGQTDLAEKNSIVDKLSLDIETFLEAKRSTGSRKSDPKGNCSHQHSLESFPLNEAVSAPQGAADEAYSSGSGSQCYELHKTANRVQSKGSCKLHGDNALVSHREELGNRISSRKKAWSRDAIKSSRFHSFRGQFEGQMATGAPVHDNKVKRNGSHGMSSSHVLDRLMRNHSFSSEGDKVHPECSLREESFQCVSKGRASPVRQWVSKLTSPDFEKSDSCLKLPPGFKENTLKAKLLEARLEGQQSRAKAAKS >KJB41444 pep chromosome:Graimondii2_0_v6:7:7850917:7853326:1 gene:B456_007G104700 transcript:KJB41444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHCRSVEQNGRAPQHVSPASCGSSLEIAPYNSAIAPSSSLDFQGRTERSGYSLKTSTELLKVLNRIWALEEQHVSNMSLVNALKMELDHSRGQIKELLQEKQTERQEMDNFMKQVTEDKLVRKNKEQDRIKAAVQPVRDELENERRLRKRSESMHRKLARELSEVRSSFANAFKELERERKARILLENLCDEFARGIREYEQELRFLKHKYEIDHIDGENPERLILHISEAWLDERMQMKLAEGQTDLAEKNSIVDKLSLDIETFLEAKRSTGSRKSDPKGNCSHQHSLESFPLNEAVSAPQGAADEAYSSGSGSQCYELHKTANRVQSKGSCKLHGDNALVSHREELGNRISSRKKAWSRDAIKSSRFHSFRGQFEGQMATGAPVHDNKVKRNGSHGMSSSHVLDRLMRNHSFSSEGDKVHPECSLREESFQCVSKGRASPVRQWVSKLTSPDFEKSDSCLKLPPGFKENTLKAKLLEARLEGQQSRAKAAKS >KJB42300 pep chromosome:Graimondii2_0_v6:7:12468047:12474987:-1 gene:B456_007G147600 transcript:KJB42300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLVGFTVLLLFLSICESTSFFQPISDSHRSAAVELFSPDHGSFKSLEETYEALRTFDVLGIEKKPDVTATACRLVSETVGSSSSTLKDLFYALKANSIVKCKINDKAYQGIITRLNAAVDGASSLPDFYYSVGGLLLVKGSKGDVHLADADGIFRSVKAFRQSDGRWRFSSNSPESSAFAAGIALETLAGVVSLASPELDQSLISTLNSDISKLFDSIEKYDDGALYFDDKLVDGHDHQGPLATTSSVVRGLTAFAAVTDERLNIPSNKIFGLANFFLGIGVPGDAKDLFNQIDSLACLESNRVSIPLILSLPSTVLSVTKEDSLQVNVNTVLGSNAPPLTVKLVGVFTSGSKAASLVESQELVFDDGTGMYNLNNLPKSIDVGSYTFVFEIVLHEPEHEKIYVTGGQKKVPIFASGLIKIENAEIAVLDSDLGSIETQKKLDLAGQNAVSLSANHLQKLRVSFKLTTPHGLAFKPHQALLKLRHESKVEHIFVVGNSGKQFEIVLNFLGLVEKFFYLSGRYDIELAVGDAVMENSLLRAIGHIELDLPEPPEKATRPPPQPVDPYSRYGPKAEITHIFRAPEKRPPKELSLAFLGLTFLPLLGFLIGLLRLGVNLKNFPSNTVPATFATLFHVSIGAVLSLYVLFWLKLDIFQTLKLLGFLGVILVLVGHRVLSYLAATSAKLKSA >KJB42299 pep chromosome:Graimondii2_0_v6:7:12468019:12475003:-1 gene:B456_007G147600 transcript:KJB42299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNLNFEMARSLVGFTVLLLFLSICESTSFFQPISDSHRSAAVELFSPDHGSFKSLEETYEALRTFDVLGIEKKPDVTATACRLVSETVGSSSSTLKDLFYALKANSIVKCKINDKAYQGIITRLNAAVDGASSLPDFYYSVGGLLLVKGSKGDVHLADADGIFRSVKAFRQSDGRWRFSSNSPESSAFAAGIALETLAGVVSLASPELDQSLISTLNSDISKLFDSIEKYDDGALYFDDKLVDGHDHQGPLATTSSVVRGLTAFAAVTDERLNIPSNKIFGLANFFLGIGVPGDAKDLFNQIDSLACLESNRVSIPLILSLPSTVLSVTKEDSLQVNVNTVLGSNAPPLTVKLVGVFTSGSKAASLVESQELVFDDGTGMYNLNNLPKSIDVGSYTFVFEIVLHEPEHEKIYVTGGQKKVPIFASGLIKIENAEIAVLDSDLGSIETQKKLDLAGQNAVSLSANHLQKLRVSFKLTTPHGLAFKPHQALLKLRHESKVEHIFVVGNSGKQFEIVLNFLGLVEKFFYLSGRYDIELAVGDAVMENSLLRAIGHIELDLPEPPEKATRPPPQPVDPYSRYGPKAEITHIFRAPEKRPPKELSLAFLGLTFLPLLGFLIGLLRLGVNLKNFPSNTVPATFATLFHVSIGAVLSLYVLFWLKLDIFQTLKLLGFLGVILVLVGHRVLSYLAATSAKLKSA >KJB42301 pep chromosome:Graimondii2_0_v6:7:12468329:12474324:-1 gene:B456_007G147600 transcript:KJB42301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILFDVIDLTSLEETYEALRTFDVLGIEKKPDVTATACRLVSETVGSSSSTLKDLFYALKANSIVKCKINDKAYQGIITRLNAAVDGASSLPDFYYSVGGLLLVKGSKGDVHLADADGIFRSVKAFRQSDGRWRFSSNSPESSAFAAGIALETLAGVVSLASPELDQSLISTLNSDISKLFDSIEKYDDGALYFDDKLVDGHDHQGPLATTSSVVRGLTAFAAVTDERLNIPSNKIFGLANFFLGIGVPGDAKDLFNQIDSLACLESNRVSIPLILSLPSTVLSVTKEDSLQVNVNTVLGSNAPPLTVKLVGVFTSGSKAASLVESQELVFDDGTGMYNLNNLPKSIDVGSYTFVFEIVLHEPEHEKIYVTGGQKKVPIFASGLIKIENAEIAVLDSDLGSIETQKKLDLAGQNAVSLSANHLQKLRVSFKLTTPHGLAFKPHQALLKLRHESKVEHIFVVGNSGKQFEIVLNFLGLVEKFFYLSGRYDIELAVGDAVMENSLLRAIGHIELDLPEPPEKATRPPPQPVDPYSRYGPKAEITHIFRAPEKRPPKELSLAFLGLTFLPLLGFLIGLLRLGVNLKNFPSNTVPATFATLFHVSIGAVLSLYVLFWLKLDIFQTLKLLGFLGVILVLVGHRVLSYLAATSAKLKSA >KJB44241 pep chromosome:Graimondii2_0_v6:7:34941382:34943501:-1 gene:B456_007G241600 transcript:KJB44241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLADAMARAKVFDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGERANNVIKGTKKEQVQQIIKDIREFKEKNKVDKVVVLWTANTERYSNVVVGLNDTMENLLASFEKNESEISPSTLYAIACVFEDVPFINGSPQNTFVPGLIDLAIQRNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYQPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACIGLSPENNMILEYK >KJB44243 pep chromosome:Graimondii2_0_v6:7:34941661:34944142:-1 gene:B456_007G241600 transcript:KJB44243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPEDIVFGGWDISDMNLADAMARAKVFDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGERANNVIKGTKKEQVQQIIKDIREFKEKNKVDKVVVLWTANTERYSNVVVGLNDTMENLLASFEKNESEISPSTLYAIACVFEDVPFINGSPQNTFVPGLIDLAIQRNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYQPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACIGLSPENNMILEYK >KJB44242 pep chromosome:Graimondii2_0_v6:7:34941382:34944173:-1 gene:B456_007G241600 transcript:KJB44242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSWATKDKVQQANYFGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPEDIVFGGWDISDMNLADAMARAKVFDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGERANNVIKGTKKEQVQQIIKDIREFKEKNKVDKVVVLWTANTERYSNVVVGLNDTMENLLASFEKNESEISPSTLYAIACVFEDVPFINGSPQNTFVPGLIDLAIQRNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYQPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACIGLSPENNMILEYK >KJB44240 pep chromosome:Graimondii2_0_v6:7:34941382:34943150:-1 gene:B456_007G241600 transcript:KJB44240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLLASFEKNESEISPSTLYAIACVFEDVPFINGSPQNTFVPGLIDLAIQRNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYQPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACIGLSPENNMILEYK >KJB44239 pep chromosome:Graimondii2_0_v6:7:34941378:34944237:-1 gene:B456_007G241600 transcript:KJB44239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIESFKVESPYVKYTDNAIQSVYNYETTELVHENRDGTYQWVVKPKTVKYEFRTDIHVPKLGVMLVGWGGNNGSTLTGGVIANRWGVSWATKDKVQQANYFGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPEDIVFGGWDISDMNLADAMARAKVFDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGERANNVIKGTKKEQVQQIIKDIREFKEKNKVDKVVVLWTANTERYSNVVVGLNDTMENLLASFEKNESEISPSTLYAIACVFEDVPFINGSPQNTFVPGLIDLAIQRNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYQPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACIGLSPENNMILEYK >KJB41760 pep chromosome:Graimondii2_0_v6:7:9354937:9357399:1 gene:B456_007G118800 transcript:KJB41760 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Ha. [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MLKKNNKNFRKRSIQEDEGDDPASKSDDEEDRRLALEEVKFLQKQRERKSGIPAIPSVQTGGIVAKVTEKAEADGEKEELVLQDTFAQETAVLVEDPNMINYVEQELAKKRGRNMDLKNEVENDLTRAEDELYKIPEHLKVKKRNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQEKRLMGRAKSEVSIPSSYSADYFQRGRDYAEKLRREHPELYKDRGSQDDNAGSKPTDASNDAAGSRQAATDQFMLERFRKRERQRVMRR >KJB40148 pep chromosome:Graimondii2_0_v6:7:3382813:3384151:1 gene:B456_007G048600 transcript:KJB40148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYSLPNFQISPPSRSLSGFRPSIAAGATATATTITSTYASKKEKGSTGGYLSRPGMVLCTSLYQVLGISVGASNLEIKAAYRRLARDCHPDVAEISRKDLSAEEFLKIHTAYSTLSDPEKRAVYDSKLIWRRQRPLVSASRFTGYNKGRSWETDQCW >KJB40747 pep chromosome:Graimondii2_0_v6:7:5358262:5361622:-1 gene:B456_007G075900 transcript:KJB40747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKDLHSKSCKPGMTEEALGMNDTCSTSASGADLYDPDQPLWNNNGLEASAALSGLHSPTIDETESLLNDDISDHHHGRLCDSTHDILPIKSTGSEGTDFSVWGRTGSSRSRINTKDKIDLTPLDCLQNETKVEQQAFPTSQGTLSHLKWISSEDDGSKVVDSSLKSQPDSLCNNRKPTQKALRTLFVNGIPQKSNKREALLSHFRKFGEVIDIYIPLNSERAFVQFSRREEAEASLKAPDAVMGNRFIKLWCTKRDRIPDVGINSGSGVSVTSRGLTASVIPAQPAANRGKDNFQPIPQKSNVIHGVDLPSLNSPKLHSMNGLMIPPAPRKKLETLEQMKEELRKKQELLEQKRKDFQRQLDKLEKQSSGVKGDTEQAAKRQKVDIAADPANASTPRSSGPCASAATPCTMGVTDTDKSTENILSHSPKSKRQSCQSAPVGIHPFVLNKYKLDNRPTAFRVISPLPPDFAHLSCSLLFLV >KJB40746 pep chromosome:Graimondii2_0_v6:7:5358262:5361522:-1 gene:B456_007G075900 transcript:KJB40746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIPNGAFLANVVETEERGFCLRGDMCPMEHGVNRIVVEDVQFNLPVAVPTAKLLPTPAGPGALPSGVPPSTTLMNSKDLHSKSCKPGMTEEALGMNDTCSTSASGADLYDPDQPLWNNNGLEASAALSGLHSPTIDETESLLNDDISDHHHGRLCDSTHDILPIKSTGSEGTDFSVWGRTGSSRSRINTKDKIDLTPLDCLQNETKVEQQAFPTSQGTLSHLKWISSEDDGSKVVDSSLKSQPDSLCNNRKPTQKALRTLFVNGIPQKSNKREALLSHFRKFGEVIDIYIPLNSERAFVQFSRREEAEASLKAPDAVMGNRFIKLWCTKRDRIPDVGINSGSGVSVTSRGLTASVIPAQPAANRGKDNFQPIPQKSNVIHGVDLPSLNSPKLHSMNGLMIPPAPRKKLETLEQMKEELRKKQELLEQKRKDFQRQLDKLEKQSSGVKGDTEQAAKRQKVDIAADPANASTPRSSGPCASAATPCTMGVTDTDKSTENILSHSPKSKRQSCQSAPVGIHPFVLNKYKLDNRPTAFRVISPLPPDFAHLSCSLLFLV >KJB40750 pep chromosome:Graimondii2_0_v6:7:5358544:5361344:-1 gene:B456_007G075900 transcript:KJB40750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPMEHGVNRIVVEDVQSLSQFNLPVAVPTAKLLPTPAGPGALPSGVPPSTTLMNSKDLHSKSCKPGMTEEALGMNDTCSTSASGADLYDPDQPLWNNNGLEASAALSGLHSPTIDETESLLNDDISDHHHGRLCDSTHDILPIKSTGSEGTDFSVWGRTGSSRSRINTKDKIDLTPLDCLQNETKVEQQAFPTSQGTLSHLKWISSEDDGSKVVDSSLKSQPDSLCNNRKPTQKALRTLFVNGIPQKSNKREALLSHFRKFGEVIDIYIPLNSERAFVQFSRREEAEASLKAPDAVMGNRFIKLWCTKRDRIPDVGINSGSGVSVTSRGLTASVIPAQPAANRGKDNFQPIPQKSNVIHGVDLPSLNSPKLHSMNGLMIPPAPRKKLETLEQMKEELRKKQELLEQKRKDFQRQLDKLEKQSSGVKGDTEQAAKRQKVDIAADPANASTPRSSGPCASAATPCTMGVTDTDKSTENILSHSPKSKRQSCQSAPVGIHPFVLNKYKLDNRPTAFRVISPLPPDFAHLSCSLLFLV >KJB40748 pep chromosome:Graimondii2_0_v6:7:5358262:5367563:-1 gene:B456_007G075900 transcript:KJB40748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPGSVAPGLFARRGLQNVSIAQSPSWSTFGLMPGIPNGAFLANVVETEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVAVPTAKLLPTPAGPGALPSGVPPSTTLMNSKDLHSKSCKPGMTEEALGMNDTCSTSASGADLYDPDQPLWNNNGLEASAALSGLHSPTIDETESLLNDDISDHHHGRLCDSTHDILPIKSTGSEGTDFSVWGRTGSSRSRINTKDKIDLTPLDCLQNETKVEQQAFPTSQGTLSHLKWISSEDDGSKVVDSSLKSQPDSLCNNRKPTQKALRTLFVNGIPQKSNKREALLSHFRKFGEVIDIYIPLNSERAFVQFSRREEAEASLKAPDAVMGNRFIKLWCTKRDRIPDVGINSGSGVSVTSRGLTASVIPAQPAANRGKDNFQPIPQKSNVIHGVDLPSLNSPKLHSMNGLMIPPAPRKKLETLEQMKEELRKKQELLEQKRKDFQRQLDKLEKQSSGVKGDTEQAAKRQKVDIAADPANASTPRSSGPCASAATPCTMGVTDTDKSTENILSHSPKSKRQSCQSAPVGIHPFVLNKYKLDNRPTAFRVISPLPPDFAHLSCSLLFLV >KJB40749 pep chromosome:Graimondii2_0_v6:7:5358544:5361344:-1 gene:B456_007G075900 transcript:KJB40749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPMEHGVNRIVVEDVQSLSQFNLPVAVPTAKLLPTPAGPGALPSGVPPSTTLMNSKDLHSKSCKPGMTEEALGMNDTCSTSASGADLYDPDQPLWNNNGLEASAALSGLHSPTIDETESLLNDDISDHHHGRLCDSTHDILPIKSTGSEGTDFSVWGRTGSSRSRINTKDKIDLTPLDCLQNETKVEQQAFPTSQGTLSHLKWISSEDDGSKVVDSSLKSQPDSLCNNRKPTQKALRTLFVNGIPQKSNKREALLSHFRKFGEVIDIYIPLNSERAFVQFSRREEAEASLKAPDAVMGNRFIKLWCTKRDRIPDVGINSGSGVSVTSRGLTASVIPAQPAANRGKDNFQPIPQKSNVIHGVDLPSLNSPKLHSMNGLMIPPAPRKKLETLEQMKEELRKKQELLEQKRKDFQRQLDKLEKQSSGVKGDTEQAAKRQKVDIAADPANASTPRSSGPCASAATPCTMGVTDTDKSTENILSHSPKSKRQSCQSAPVGIHPFVLNKYKLDNRPTAFRVISPLPPDFAHLSCSLLFLV >KJB40879 pep chromosome:Graimondii2_0_v6:7:5820433:5824548:-1 gene:B456_007G081500 transcript:KJB40879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHISPSMRSITISSNNGFTDLMKIKVAARHISYRTLFHTILIVAFLLPFVFILTALVTLEGANKCSSFDCFGRRFGPRFLGRVDDSGKLVRDFYKIFNQVITEEIPDGLKLPDSFSQLVAELKNNQYDAKTFAFSLRAMMEKLEREIRESKVSELMNRHFAASSIPKGIHCFSLRLTDEYSSNAYARRQLPSPELLPVLSNNSYHHFVLSTDNILAASVVVTSVVRSSLEPEKVVFHVITDKKTYAGMHSWFALNPVASIIVEVKGIHQFDWLTRENVPVLEAIESHHDFRNYYHGNHIAGANLSNTTPQSFASKLQARSPKYISLLNHLRIYLPELFPDLDKVVFLDDDVVIQRDLSPLWEIDLQGKVNGAIETCKGEDEWVMSKYFKNYFNFSHPLIAKHLDPDECAWAYGMNIFDLRAWRKTNIRETYHFWLKENLKSNLTMWKLGTLPPALIAFKGRVHPIDPSWHMLGLGYQRKTNIENVKRAAVIHYNGQSKPWLEIGFDHLRPFWTKYVNYSNDFVRNCHILES >KJB40881 pep chromosome:Graimondii2_0_v6:7:5821289:5825079:-1 gene:B456_007G081500 transcript:KJB40881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHISPSMRSITISSNNGFTDLMKIKVAARHISYRTLFHTILIVAFLLPFVFILTALVTLEGANKCSSFDCFGRRFGPRFLGRVDDSGKLVRDFYKIFNQVITEEIPDGLKLPDSFSQLVAELKNNQYDAKTFAFSLRAMMEKLEREIRESKVSELMNRHFAASSIPKGIHCFSLRLTDEYSSNAYARRQLPSPELLPVLSNNSYHHFVLSTDNILAASVVVTSVVRSSLEPEKVVFHVITDKKTYAGMHSWFALNPVASIIVEVKGIHQFDWLTRENVPVLEAIESHHDFRNYYHGNHIAGANLSNTTPQSFASKLQARSPKYISLLNHLRIYLPELFPDLDKVVFLDDDVVIQRDLSPLWEIDLQGKVNGAIETCKGEDEWVMSKYFKNYFNFSHPLIAKHLDPDECAWAYGMNIFDLRAWRKTNIRETYHFWLKEVTYHLPSLSTISII >KJB40880 pep chromosome:Graimondii2_0_v6:7:5821147:5824491:-1 gene:B456_007G081500 transcript:KJB40880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHISPSMRSITISSNNGFTDLMKIKVAARHISYRTLFHTILIVAFLLPFVFILTALVTLEGANKCSSFDCFGRRFGPRFLGRVDDSGKLVRDFYKIFNQVITEEIPDGLKLPDSFSQLVAELKNNQYDAKTFAFSLRAMMEKLEREIRESKVSELMNRHFAASSIPKGIHCFSLRLTDEYSSNAYARRQLPSPELLPVLSNNSYHHFVLSTDNILAASVVVTSVVRSSLEPEKVVFHVITDKKTYAGMHSWFALNPVASIIVEVKGIHQFDWLTRENVPVLEAIESHHDFRNYYHGNHIAGANLSNTTPQSFASKLQARSPKYISLLNHLRIYLPELFPDLDKVVFLDDDVVIQRDLSPLWEIDLQGKVNGAIETCKGEDEWVMSKYFKNYFNFSHPLIAKHLDPDECAWAYGMNIFDLRAWRKTNIRETYHFWLKELQEGSDLSTF >KJB40878 pep chromosome:Graimondii2_0_v6:7:5820168:5825079:-1 gene:B456_007G081500 transcript:KJB40878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHISPSMRSITISSNNGFTDLMKIKVAARHISYRTLFHTILIVAFLLPFVFILTALVTLEDCFGRRFGPRFLGRVDDSGKLVRDFYKIFNQVITEEIPDGLKLPDSFSQLVAELKNNQYDAKTFAFSLRAMMEKLEREIRESKVSELMNRHFAASSIPKGIHCFSLRLTDEYSSNAYARRQLPSPELLPVLSNNSYHHFVLSTDNILAASVVVTSVVRSSLEPEKVVFHVITDKKTYAGMHSWFALNPVASIIVEVKGIHQFDWLTRENVPVLEAIESHHDFRNYYHGNHIAGANLSNTTPQSFASKLQARSPKYISLLNHLRIYLPELFPDLDKVVFLDDDVVIQRDLSPLWEIDLQGKVNGAIETCKGEDEWVMSKYFKNYFNFSHPLIAKHLDPDECAWAYGMNIFDLRAWRKTNIRETYHFWLKENLKSNLTMWKLGTLPPALIAFKGRVHPIDPSWHMLGLGYQRKTNIENVKRAAVIHYNGQSKPWLEIGFDHLRPFWTKYVNYSNDFVRNCHILES >KJB40877 pep chromosome:Graimondii2_0_v6:7:5820168:5824116:-1 gene:B456_007G081500 transcript:KJB40877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKLEREIRESKVSELMNRHFAASSIPKGIHCFSLRLTDEYSSNAYARRQLPSPELLPVLSNNSYHHFVLSTDNILAASVVVTSVVRSSLEPEKVVFHVITDKKTYAGMHSWFALNPVASIIVEVKGIHQFDWLTRENVPVLEAIESHHDFRNYYHGNHIAGANLSNTTPQSFASKLQARSPKYISLLNHLRIYLPELFPDLDKVVFLDDDVVIQRDLSPLWEIDLQGKVNGAIETCKGEDEWVMSKYFKNYFNFSHPLIAKHLDPDECAWAYGMNIFDLRAWRKTNIRETYHFWLKENLKSNLTMWKLGTLPPALIAFKGRVHPIDPSWHMLGLGYQRKTNIENVKRAAVIHYNGQSKPWLEIGFDHLRPFWTKYVNYSNDFVRNCHILES >KJB44341 pep chromosome:Graimondii2_0_v6:7:38233855:38237276:-1 gene:B456_007G246900 transcript:KJB44341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLFLPLAAKSSNAHSSLFFRNCPSPSRLLPPKSSSLLLHGGEKKISVLGLSLYSRVSLPHRAAPIRALDSDVPHPLHKGSVNFKNRKSYVEWDSLTAKFTGAANLPFLLLQLPQIILNARNLMAGNKTALLAVPWLGMITALLGNLSLLSYFAKKRETEAVVVQTLGVISTFVVISQLAVGEAMPLPQYVATSVVVAAGLILNFLNYYGMLNSTIWQFWEDFITVGGLSVLPQIMWSTFVPYIPNSILPGSIAFVVAVASVTLSHSGKLSEKGPKFIGAISGWTATLLFMWMPVSQMWTNFLNPDNIKGLSAISMLLAMLGNGLLIPRALFIRDLMWFTGSLWATLFYGYGNIVCMYLLKTISLEFFLAATVGLIAWIGMALWRDAAVYRYNSPLRSLKELVFGS >KJB44340 pep chromosome:Graimondii2_0_v6:7:38233443:38237493:-1 gene:B456_007G246900 transcript:KJB44340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLFLPLAAKSSNAHSSLFFRNCPSPSRLLPPKSSSLLLHGGEKKISVLGLSLYSRVSLPHRAAPIRALDSDVPHPLHKGSVNFKNRKSYVEWDSLTAKFTGAANLPFLLLQLPQIILNARNLMAGNKTALLAVPWLGMITALLGNLSLLSYFAKKRETEAVVVQTLGVISTFVVISQLAVGEAMPLPQYVATSVVVAAGLILNFLNYYGMLNSTIWQFWEDFITVGGLSVLPQIMWSTFVPYIPNSILPGSIAFVVAVASVTLSHSGKLSEKGPKFIGAISGWTATLLFMWMPVSQMWTNFLNPDNIKGSLVRFGLHCFMVTGILYACTY >KJB39110 pep chromosome:Graimondii2_0_v6:7:14222836:14226027:-1 gene:B456_007G163000 transcript:KJB39110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQNCRNRSLGRRKSGEKNQGATSRNINPVGDAGSSAMLNEDNPRHALIPLEGCSRSDASRLLSNRWAAVLHRYHDTSIDLSERPVLYSGISDSAWRNFRLPHQMMSPANNTGPSSGSQMDFLAELRRAIRNANTDN >KJB39109 pep chromosome:Graimondii2_0_v6:7:14222788:14226125:-1 gene:B456_007G163000 transcript:KJB39109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENPTPTAADAAPAIKRYAPPNQRNRSLGRRKSGDRFDWTNNVYGNDSEKNQGATSRNINPVGDAGSSAMLNEDNPRHALIPLEGCSRSDASRLLSNRWAAVLHRYHDTSIDLSERPVLYSGISDSAWRNFRLPHQMMSPANNTGPSSGSQMDFLAELRRAIRNANTDN >KJB39111 pep chromosome:Graimondii2_0_v6:7:14222836:14226125:-1 gene:B456_007G163000 transcript:KJB39111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENPTPTAADAAPAIKRYAPPNQRNRSLGRRKSGDRFDWTNNVYGNDSEKNQGATSRNINPVGDAGSSAMLNEDNPRHALIPLEGCSRSDASRLLSNRWAAVLHRYHDTSIDLSGEWKNRELKKFPFLNLI >KJB39108 pep chromosome:Graimondii2_0_v6:7:14222788:14226119:-1 gene:B456_007G163000 transcript:KJB39108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENPTPTAADAAPAIKRYAPPNQRNRSLGRRKSGEKNQGATSRNINPVGDAGSSAMLNEDNPRHALIPLEGCSRSDASRLLSNRWAAVLHRYHDTSIDLSERPVLYSGISDSAWRNFRLPHQMMSPANNTGPSSGSQMDFLAELRRAIRNANTDN >KJB41374 pep chromosome:Graimondii2_0_v6:7:7611951:7614445:1 gene:B456_007G102200 transcript:KJB41374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELARMILMRIQVTGIATLLVLVALILGQKGAEARKGRILESFEYIAMSCRAHSASITDFGGIGDGKTSNTKAFQDAVNHLSQYANGGGAQLYVPAGQWLTGSFSLTSHFTLYLHKDAVLLASQDMSEWPVLKALPSYGRGRDAAGGRFASLIFGTNLTDVVVTGANGTIDGQGAFWWQNFHKGKLKYTRPYLIEFMYSDNIQISNLTLLNSPSWNVHPVYSSNILVQGITIIAPITSPNTDGINPDSCTNVRIEDSYIVSGDDCIAVKSGWDEYGISFGMPTKQLVIRRLTCISPYSAAIALGSEMSGGIEDVRAEDITAIHTESGVRIKTARGRGGFVKDIYVKGMTLHTMKWVFWMTGNYKAHADNHYDPKALPVIQGINYRDIMADNVSMAARLEGIEGDPFTQICISNVTIGMAAKAKKVPWTCTDVEGISSGVSPRPCDQLPDQGPEKITACDFPAEPLSIDRVVLKSCTYRMKYT >KJB41375 pep chromosome:Graimondii2_0_v6:7:7612256:7614445:1 gene:B456_007G102200 transcript:KJB41375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEWPVLKALPSYGRGRDAAGGRFASLIFGTNLTDVVVTGANGTIDGQGAFWWQNFHKGKLKYTRPYLIEFMYSDNIQISNLTLLNSPSWNVHPVYSSNILVQGITIIAPITSPNTDGINPDSCTNVRIEDSYIVSGDDCIAVKSGWDEYGISFGMPTKQLVIRRLTCISPYSAAIALGSEMSGGIEDVRAEDITAIHTESGVRIKTARGRGGFVKDIYVKGMTLHTMKWVFWMTGNYKAHADNHYDPKALPVIQGINYRDIMADNVSMAARLEGIEGDPFTQICISNVTIGMAAKAKKVPWTCTDVEGISSGVSPRPCDQLPDQGPEKITACDFPAEPLSIDRVVLKSCTYRMKYT >KJB41377 pep chromosome:Graimondii2_0_v6:7:7612865:7614445:1 gene:B456_007G102200 transcript:KJB41377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEWPVLKALPSYGRGRDAAGGRFASLIFGTNLTDVVVTGANGTIDGQGAFWWQNFHKGKLKYTRPYLIEFMYSDNIQISNLTLLNSPSWNVHPVYSSNILVQGITIIAPITSPNTDGINPDSCTNVRIEDSYIVSGDDCIAVKSGWDEYGISFGMPTKQLVIRRLTCISPYSAAIALGSEMSGGIEDVRAEDITAIHTESGVRIKTARGRGGFVKDIYVKGMTLHTMKWVFWMTGNYKAHADNHYDPKALPVIQGINYRDIMADNVSMAARLEGIEGDPFTQICISNVTIGMAAKAKKVPWTCTDVEGISSGVSPRPCDQLPDQGPEKITACDFPAEPLSIDRVVLKSCTYRMKYT >KJB41376 pep chromosome:Graimondii2_0_v6:7:7612256:7614445:1 gene:B456_007G102200 transcript:KJB41376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTYFALLTLQVTGIATLLVLVALILGQKGAEARKGRILESFEYIAMSCRAHSASITDFGGIGDGKTSNTKAFQDAVNHLSQYANGGGAQLYVPAGQWLTGSFSLTSHFTLYLHKDAVLLASQDMSEWPVLKALPSYGRGRDAAGGRFASLIFGTNLTDVVVTGANGTIDGQGAFWWQNFHKGKLKYTRPYLIEFMYSDNIQISNLTLLNSPSWNVHPVYSSNILVQGITIIAPITSPNTDGINPDSCTNVRIEDSYIVSGDDCIAVKSGWDEYGISFGMPTKQLVIRRLTCISPYSAAIALGSEMSGGIEDVRAEDITAIHTESGVRIKTARGRGGFVKDIYVKGMTLHTMKWVFWMTGNYKAHADNHYDPKALPVIQGINYRDIMADNVSMAARLEGIEGDPFTQICISNVTIGMAAKAKKVPWTCTDVEGISSGVSPRPCDQLPDQGPEKITACDFPAEPLSIDRVVLKSCTYRMKYT >KJB40416 pep chromosome:Graimondii2_0_v6:7:4363902:4368356:1 gene:B456_007G062300 transcript:KJB40416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPSSKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIMYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRKKDKGGINFTSTVTNTHLDLDTVKAICSEYRIHNADITLRYDATADDLIDVIEGSRIYMPCIYVVNKIDQITLEELEILDKLPHYCPIRYFPPNPLGNCLTVLLLTHFVQSHDSIGVYYIEVFWF >KJB40415 pep chromosome:Graimondii2_0_v6:7:4363876:4369458:1 gene:B456_007G062300 transcript:KJB40415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPSSKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIMYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRKKDKGGINFTSTVTNTHLDLDTVKAICSEYRIHNADITLRYDATADDLIDVIEGSRIYMPCIYVVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLDKIWEYLDLTRIYTKPKGMNPDYEDPVILSSKRRTVEDFCTRIHKDMLKQFKYALVWGSSVKHKPQRVGKDHELEDEDVVQIIKKV >KJB45147 pep chromosome:Graimondii2_0_v6:7:50120970:50129885:1 gene:B456_007G292400 transcript:KJB45147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLGLSVAEFSVFGSILSIGALLGSAISGKITDFLGRKLTMWILNLFYIGGWLAIAFAKTPWLLDLGRLSLGFTVGTFTYLMPVYISEITTKNVRGRFSVIPSLTVGWGISFMYIVGSFVSWRTLALIATIPGLLQLLPLFFIPESPRWLAKVGRDKELEDVLLCLRGNKADIFNEAAEIKDYVESLKSFSKEGILEIFQKKYFRPLLTLIGMIILMNLGGANAFSFYSGVIFVSAGLSSMVGLITLATTQTIVGVLGIILIDKLGRRPLQLVSTAGLCFSCFLTGLSFLLKESNWWDQGSPALAFIGLLVYTGSYIVGSGIPWLLLSEVHKLFSFMLL >KJB45148 pep chromosome:Graimondii2_0_v6:7:50120970:50129885:1 gene:B456_007G292400 transcript:KJB45148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLGLSVAEFSVFGSILSIGALLGSAISGKITDFLGRKLTMWILNLFYIGGWLAIAFAKMPVYISEITTKNVRGRFSVIPSLTVGWGISFMYIVGSFVSWRTLALIATIPGLLQLLPLFFIPESPRWLAKVGRDKELEDVLLCLRGNKADIFNEAAEIKDYVESLKSFSKEGILEIFQKKYFRPLLTLIGMIILMNLGGANAFSFYSGVIFVSAGLSSMVGLITLATTQTIVGVLGIILIDKLGRRPLQLVSTAGLCFSCFLTGLSFLLKESNWWDQGSPALAFIGLLVYTGSYIVGSGIPWLLLSEVHKLFSFMLL >KJB45146 pep chromosome:Graimondii2_0_v6:7:50120709:50129885:1 gene:B456_007G292400 transcript:KJB45146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQRTEATESLLVRQGNDNLVYNEENGGLGDGGSEAVSDVTTILGLSTFVATCIAFGSGCAVGYSSPTESSIMEDLGLSVAEFSVFGSILSIGALLGSAISGKITDFLGRKLTMWILNLFYIGGWLAIAFAKTPWLLDLGRLSLGFTVGTFTYLMPVYISEITTKNVRGRFSVIPSLTVGWGISFMYIVGSFVSWRTLALIATIPGLLQLLPLFFIPESPRWLAKVGRDKELEDVLLCLRGNKADIFNEAAEIKDYVESLKSFSKEGILEIFQKKYFRPLLTLIGMIILMNLGGANAFSFYSGVIFVSAGLSSMVGLITLATTQTIVGVLGIILIDKLGRRPLQLVSTAGLCFSCFLTGLSFLLKESNWWDQGSPALAFIGLLVYTGSYIVGSGIPWLLLSEVHKLFSFMLL >KJB43515 pep chromosome:Graimondii2_0_v6:7:21004701:21007379:-1 gene:B456_007G204100 transcript:KJB43515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFLVFMADFEGNNRETLEDDFCLLEDSDLEGDVDERFYELPILSPKAKAKIPVDYNKALKYVDRKEAGLEDVLRDHALHLLPAKTLFRFKTVSRRWDHWISSPLFAHRQTTYFKNVSGLFCQVADHSPSFISFNQDAYGMPDPSLSFFPEPVKVRSSCNGLVCCQGIEDDTYYICSPVTKEWKKLPRPNLYHGAKAAVVLAFEPYVLNFNESYELVCAVTFPDYPVVYFEIYSSRSSSWRVSDTICYELDGSGLHDGGYYMKGVVYWESSSGVILAFGLRDEQCGFLPLPANDEEYGNGALAMKHGELCYIQPRYQDNVCTINIHGNMDMSLKSVINLPYDAGSAFGVCRVLGFINGDILILGLGTRVVSYHVKEQKVELISQHEGGACTRYIPYVNSLVSLRHPLIRDKGLIV >KJB43516 pep chromosome:Graimondii2_0_v6:7:21004701:21007838:-1 gene:B456_007G204100 transcript:KJB43516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFEGNNRETLEDDFCLLEDSDLEGDVDERFYELPILSPKAKAKIPVDYNKALKYVDRKEAGLEDVLRDHALHLLPAKTLFRFKTVSRRWDHWISSPLFAHRQTTYFKNVSGLFCQVADHSPSFISFNQDAYGMPDPSLSFFPEPVKVRSSCNGLVCCQGIEDDTYYICSPVTKEWKKLPRPNLYHGAKAAVVLAFEPYVLNFNESYELVCAVTFPDYPVVYFEIYSSRSSSWRVSDTICYELDGSGLHDGGYYMKGVVYWESSSGVILAFGLRDEQCGFLPLPANDEEYGNGALAMKHGELCYIQPRYQDNVCTINIHGNMDMSLKSVINLPYDAGSAFGVCRVLGFINGDILILGLGTRVVSYHVKEQKVELISQHEGGACTRYIPYVNSLVSLRHPLIRDKGLIV >KJB43518 pep chromosome:Graimondii2_0_v6:7:21005037:21007149:-1 gene:B456_007G204100 transcript:KJB43518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFEGNNRETLEDDFCLLEDSDLEGDVDERFYELPILSPKAKAKIPVDYNKALKYVDRKEAGLEDVLRDHALHLLPAKTLFRFKTVSRRWDHWISSPLFAHRQTTYFKNVSGLFCQVADHSPSFISFNQDAYGMPDPSLSFFPEPVKVRSSCNGLVCCQGIEDDTYYICSPVTKEWKKLPRPNLYHGAKAAVVLAFEPYVLNFNESYELVCAVTFPDYPVVYFEIYSSRSSSWRVSDTICYELDGSGLHDGGYYMKGVVYWESSSGVILAFGLRDEQCGFLPLPANDEEYGNGALAMKHGELCYIQPRYQDNVCTINIHGNMDMSLKSVINLPYDAGSAFGVCRVLGFINGDILILGLGTRVVSYHVKEQKVELISQHEGGACTRYIPYVNSLVSLRHPLIRDKGLIV >KJB43514 pep chromosome:Graimondii2_0_v6:7:21005037:21007347:-1 gene:B456_007G204100 transcript:KJB43514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFEGNNRETLEDDFCLLEDSDLEGDVDERFYELPILSPKAKAKIPVDYNKALKYVDRKEAGLEDVLRDHALHLLPAKTLFRFKTVSRRWDHWISSPLFAHRQTTYFKNVSGLFCQVADHSPSFISFNQDAYGMPDPSLSFFPEPVKVRSSCNGLVCCQGIEDDTYYICSPVTKEWKKLPRPNLYHGAKAAVVLAFEPYVLNFNESYELVCAVTFPDYPVVYFEIYSSRSSSWRVSDTICYELDGSGLHDGGYYMKGVVYWESSSGVILAFGLRDEQCGFLPLPANDEEYGNGALAMKHGELCYIQPRYQDNVCTINIHGNMDMSLKSVINLPYDAGSAFGVCRVLGFINGDILILGLGTRVVSYHVKEQKVELISQHEGGACTRYIPYVNSLVSLRHPLIRDKGLIV >KJB43517 pep chromosome:Graimondii2_0_v6:7:21004701:21007170:-1 gene:B456_007G204100 transcript:KJB43517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFEGNNRETLEDDFCLLEDSDLEGDVDERFYELPILSPKAKAKIPVDYNKALKYVDRKEAGLEDVLRDHALHLLPAKTLFRFKTVSRRWDHWISSPLFAHRQTTYFKNVSGLFCQVADHSPSFISFNQDAYGMPDPSLSFFPEPVKVRSSCNGLVCCQGIEDDTYYICSPVTKEWKKLPRPNLYHGAKAAVVLAFEPYVLNFNESYELVCAVTFPDYPVVYFEIYSSRSSSWRVSDTICYELDGSGLHDGGYYMKGVVYWESSSGVILAFGLRDEQCGFLPLPANDEEYGNGALAMKHGELCYIQPRYQDNVCTINIHGNMDMSLKSVINLPYDAGSAFGVCRVLGFINGDILILGLGTRVVSYHVKEQKVELISQHEGGACTRYIPYVNSLVSLRHPLIRDKGLIV >KJB42518 pep chromosome:Graimondii2_0_v6:7:13429128:13432919:1 gene:B456_007G156200 transcript:KJB42518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEIRGPSLRGYRRRKAVLDLNVPPSEIREQEGTSQQAGYEDQTNQSVQTGPPATIDVEAIDDDVIESSARAFAEAQNNSRRSRGRTVVDVDSGWSARSSNNNQNRHRRLPPSSSIINLEISPQSIVQEITKPQPPPKEPTFNCPICMGPLTEEMSTRCGHIFCKACIKAAIATQAKCPTCRKRVTVKELIRVFLPSAS >KJB42516 pep chromosome:Graimondii2_0_v6:7:13429105:13432919:1 gene:B456_007G156200 transcript:KJB42516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEIRGPSLRGYRRRKAVLDLNVPPSEIREQEGTSQQAGYEDQTNQSVQTGPPATIDVEAIDDDVIESSARAFAEAQNNSRRSRGRTVVDVDSAHISALPGWSARSSNNNQNRHRRLPPSSSIINLEISPQSIQVQEITKPQPPPKEPTFNCPICMGPLTEEMSTRCGHIFCKACIKAAIATQAKCPTCRKRVTVKELIRVFLPSAS >KJB42522 pep chromosome:Graimondii2_0_v6:7:13429270:13432919:1 gene:B456_007G156200 transcript:KJB42522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEIRGPSLRGYRRRKAVLDLNVPPSEIREQEGTSQQAGYEDQTNQSVQTGPPATIDVEAIDDDVIESSARAFAEAQNNSRRSRGRTVVDVDSGWSARSSNNNQNRHRRLPPSSSIINLEISPQSIQVQEITKPQPPPKEPTFNCPICMGPLTEEMSTRCGHIFCKACIKAAIATQAKCPTCRKRVTVKELIRVFLPSAS >KJB42517 pep chromosome:Graimondii2_0_v6:7:13429270:13432919:1 gene:B456_007G156200 transcript:KJB42517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEIRGPSLRGYRRRKAVLDLNVPPSEIREQEGTSQQAGYEDQTNQSVQTGPPATIDVEAIDDDVIESSARAFAEAQNNSRRSRGRTVVDVDSGWSARSSNNNQNRHRRLPPSSSIINLEISPQSIQVQEITKPQPPPKEPTFNCPICMGPLTEEMSTRCGHIFCKACIKAAIATQAKCPTCRKRVTVKELIRVFLPSAS >KJB42520 pep chromosome:Graimondii2_0_v6:7:13429270:13432919:1 gene:B456_007G156200 transcript:KJB42520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEIRGPSLRGYRRRKAVLDLNVPPSEIREQEGTSQQAGYEDQTNQSVQTGPPATIDVEAIDDDVIESSARAFAEAQNNSRRSRGRTVVDVDSAHISALPGWSARSSNNNQNRHRRLPPSSSIINLEISPQSIVQEITKPQPPPKEPTFNCPICMGPLTEEMSTRCGHIFCKACIKAAIATQAKCPTCRKRVTVKELIRVFLPSAS >KJB42519 pep chromosome:Graimondii2_0_v6:7:13429270:13432919:1 gene:B456_007G156200 transcript:KJB42519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEIRGPSLRGYRRRKAVLDLNVPPSEIREQEGTSQQAGYEDQTNQSVQTGPPATIDVEAIDDDVIESSARAFAEAQNNSRRSRGRTVVDVDSGWSARSSNNNQNRHRRLPPSSSIINLEISPQSIQVQEITKPQPPPKEPTFNCPICMGPLTEEMSTRCGHIFCKACIKAAIATQAKCPTCRKRVTVKELIRVFLPSAS >KJB42521 pep chromosome:Graimondii2_0_v6:7:13429105:13432919:1 gene:B456_007G156200 transcript:KJB42521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEIRGPSLRGYRRRKAVLDLNVPPSEIREQEGTSQQAGYEDQTNQSVQTGPPATIDVEAIDDDVIESSARAFAEAQNNSRRSRGRTVVDVDSGWSARSSNNNQNRHRRLPPSSSIINLEISPQSIQVQEITKPQPPPKEPTFNCPICMGPLTEEMSTRCGHIFCKACIKAAIATQAKCPTCRKRVTVKELIRVFLPSAS >KJB44785 pep chromosome:Graimondii2_0_v6:7:46529143:46531621:1 gene:B456_007G273200 transcript:KJB44785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQLRLFLELPTPPLTSSPPLRLNKSLVITNNILRCNSTCFHRRNGRFRLDPPNPDDDDDEFEFTSAAKQRNWWSGYDDYDDVWEFDEDNEFWVFKIFRAFGWMLPAIAISLLLGTGPNAFIMALAVPLGQSALSLVFDKVSGRTSESWKSAPRRKTKKKQFTRAAANNTRTNKGKQEPNKTGGEKESYSSWLNTDGGLQGKGGQRVPKYGGWDQLDDQVETQKRATSRKGNGAPKQRKEDKFSRVGRDRVRDTPLLLRLLIAVFPFLGSWTRFLF >KJB39484 pep chromosome:Graimondii2_0_v6:7:1246361:1249218:-1 gene:B456_007G015900 transcript:KJB39484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLGPRLYSCSNCRNRVSLHDDIISKCFQGRNGRAFLFSHAMNITLGPKEDRHLLSGLHTVADIYCADCHQVLGWKYERAYEVSQKYKEGKFILEKAKIVKEDW >KJB39483 pep chromosome:Graimondii2_0_v6:7:1246283:1249336:-1 gene:B456_007G015900 transcript:KJB39483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLGPRLYSCSNCRNRVSLHDDIISKCFQGRNGRAFLFSHAMNITLGPKEDRHLLSGLHTVADIYCADCHQVLGWKYERAYEVSQKYKEGKFILEKAKIVKEDW >KJB39485 pep chromosome:Graimondii2_0_v6:7:1246692:1248654:-1 gene:B456_007G015900 transcript:KJB39485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLGPRLYSCSNCRNRVSLHDDIISKCFQGRNGRAFLFSHAMNITLGPKEDRHLLSGLHTVADIYCADCHQVLGWKYERAYEVSQKYKEGKFILEKAKIVKEDW >KJB39565 pep chromosome:Graimondii2_0_v6:7:1459629:1464038:1 gene:B456_007G019700 transcript:KJB39565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSVMCKTRHVLTLIGSVLNIVLSFIVFCFLDILDFVLCFVYKVLDFCIESEWKNPGSYSAATLDGSGKIIVSEQGETESEVVYLSSTKFQLEEISDTLYSRPSFVTEVSNQLKKLTFDTITITKSTEKKKPTRSTITINSTIVKLLQGRMIGQYLYPITRWSDCDCQFCNSWTYSTKRTLFVKSDGPKDQAKEDVVFIHGFVSSSAFWTETLFPNFSSKAKSIYRLLAVDLLGFGRSPKPNDSLYTLREHVDMIEKSVLEGYGVRSFHIVAHSLGCILALAIAVKHPESVKSLTLLAPPYYPVPKGESATQYVMRRVAPRRVWPPMAFCASVGGWYQYIARTVSLVLCKNHRLWDFLIKIITGNRIRTFLLEGFIRHTHIASWHTLHNVIFGTSGKLDRYLDIIRDRLSCDVTVFHGEDDEVIPLECSYNVQRRIPRARVKVVENKDHITIVVGRQKEFARELEEIWKKSTKN >KJB41210 pep chromosome:Graimondii2_0_v6:7:6973167:6974393:-1 gene:B456_007G095000 transcript:KJB41210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPALHHQIQPIKEIAIDYTPEACTHCPVSNSITLTFDHRGGARWRSTTRFLYGTFTSLIQCPKGNTSGLNFNIYLSSLEGDKSQDEIDFEFLGKDKTIVQTNYYTTGTGNREQIHDLGFDCSDGFHEYTIKWNPDSIEWVIDGKVVRKAEKKEGEAFPEKPMFLYASVWDASYIAEGQWTGPYIGCDVPYVCLYKDIQVPVSTAVECSCDS >KJB41211 pep chromosome:Graimondii2_0_v6:7:6973211:6974329:-1 gene:B456_007G095000 transcript:KJB41211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPALHHQIQPIKEIAIDYTPEACTHCPVSNSITLTFDHRGGARWRSTTRFLYGTFTSLIQCPKGNTSGLNFNIYLSSLEGDKSQDEIDFEFLGKDKTIVQTNYYTTGTGNREQIHDLGFDCSDGFHEYTIKWNPDSIEWVIDGKVVRKAEKKEGYSGACLHCCRVFL >KJB43464 pep chromosome:Graimondii2_0_v6:7:20491045:20494142:-1 gene:B456_007G201500 transcript:KJB43464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFYFHNVKTEKVKAMRKYNRLRSIAKVFRIFEVLSALLFLAWTAERVPFAVKISGEFVLKLGGIIASPFFVFLICNVIIITLIAKSGIFSAVRNADSKVCEEIIKTADTHSKSVSQEEIVYQDKEIISEVNTSTRECEDMEPEPEPESDSDFEADNPRVYRRSKSEKLEREKVKKELRRSESEKKCRKIENMDEKLFPEDDLSNEEFQRAIEDFIAKQLRFRREESLSIVLHSQA >KJB40087 pep chromosome:Graimondii2_0_v6:7:3219219:3226192:-1 gene:B456_007G046200 transcript:KJB40087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERVRQKCSHSEEKYQTNISLETLDSGCLNSATVCGVDWTTLPDDTVIQLFSYLNYRDRASLASTCRTFRLLGSLPCLWGSLDLRSYKFDTAAAVSLSLRCKNLQRLKFPGAGSADAIVSLQARELREISGDFCRDITDAALSVIAARHEMLESIHLGPDPCERISSDAIKALAYCCPRLRRLWMSGVKEANGDAINALAKHCRQLMELGFVESDNIDEVALGNLSSLKFLSVAGTRNLKWGSVAQVWSRLPQLVGLDVSRTDVNLSSITRFLSLSRNLKVLIALNCPVFEGEVDRNTMHNNKGRILLTLFSDIVKGVASLFADNLESVTDVFQHWKEIRNGDKNLDEVVVWIEWAISHSLLRIAENNLKEFDDFWLTQGAAVLLSLLQSSQEEVQERAATAVATFVVIDDEDATVHCQRAEAILCGDGIRMLLNLARSCQEVLQSEAAKAIANLSIDSKVAKAVAESGGIDILANLAKSTNRLVAEEAAGGLWNLSVGDEHKGAIAEAGGVKALVDLIFKWPPSSTDVLLERATGALANLGADEKCSMEVALAGGIHALVMLARTCKFEGVQEQAARALANLAAHGDSNSINAAIGQEAGALEALVQLTYSQNEGVRQEAAGALWNLSFDDKNREAISAVGGVEALVALAQSSLDASQGLQERAAGALWGLSVSETNSTAIGRQGGIAPLIALASSDVEDVHETAAGALWNLAFYRDNALRIIQDDGVQPLVHLCSSSNSKMARFMAALALVYMFDGRIDLAVPVGPSPSSQGSSKSLNIDGVGRMALKHVEEFVSSFYEPQTFNAAAATLVPTALAQIAEEIRIPEAGHLRCSGAEIDRYVRMLRDPSSILKSCSAFALLQNAFSLQFTMPGGRHAMHHSCLLQKAGAARVLRATAAASTAPIQAKIFTKIVLRNLENHHEVSS >KJB40084 pep chromosome:Graimondii2_0_v6:7:3219512:3224859:-1 gene:B456_007G046200 transcript:KJB40084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESIHLGPDPCERISSDAIKALAYCCPRLRRLWMSGVKEANGDAINALAKHCRQLMELGFVESDNIDEVALGNLSSLKFLSVAGTRNLKWGSVAQVWSRLPQLVGLDVSRTDVNLSSITRFLSLSRNLKVLIALNCPVFEGEVDRNTMHNNKGRILLTLFSDIVKGVASLFADNLESVTDVFQHWKEIRNGDKNLDEVVVWIEWAISHSLLRIAENNLKEFDDFWLTQGAAVLLSLLQSSQEEVQERAATAVATFVVIDDEDATVHCQRAEAILCGDGIRMLLNLARSCQEVLQSEAAKAIANLSIDSKVAKAVAESGGIDILANLAKSTNRLVAEEAAGGLWNLSVGDEHKGAIAEAGGVKALVDLIFKWPPSSTDVLLERATGALANLGADEKCSMEVALAGGIHALVMLARTCKFEGVQEQAARALANLAAHGDSNSINAAIGQEAGALEALVQLTYSQNEGVRQEAAGALWNLSFDDKNREAISAVGGVEALVALAQSSLDASQGLQERAAGALWGLSVSETNSTAIGRQGGIAPLIALASSDVEDVHETAAGALWNLAFYRDNALRIIQDDGVQPLVHLCSSSNSKMARFMAALALVYMFDGRIDLAVPVGPSPSSQGSSKSLNIDGVGRMALKHVEEFVSSFYEPQTFNAAAATLVPTALAQIAEEIRIPEAGHLRCSGAEIDRYVRMLRDPSSILKSCSAFALLQFTMPGGRHAMHHSCLLQKAGAARVLRATAAASTAPIQAKIFTKIVLRNLENHHEVSS >KJB40086 pep chromosome:Graimondii2_0_v6:7:3219219:3225867:-1 gene:B456_007G046200 transcript:KJB40086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERVRQKCSHSEEKYQTNISLETLDSGCLNSATVCGVDWTTLPDDTVIQLFSYLNYRDRASLASTCRTFRLLGSLPCLWGSLDLRSYKFDTAAAVSLSLRCKNLQRLKFPGAGSADAIVSLQARELREISGDFCRDITDAALSVIAARHEMLESIHLGPDPCERISSDAIKALAYCCPRLRRLWMSGVKEANGDAINALAKHCRQLMELGFVESDNIDEVALGNLSSLKFLSVAGTRNLKWGSVAQVWSRLPQLVGLDVSRTDVNLSSITRFLSLSRNLKVLIALNCPVFEGEVDRNTMHNNKGRILLTLFSDIVKGVASLFADNLESVTDVFQHWKEIRNGDKNLDEVVVWIEWAISHSLLRIAENNLKEFDDFWLTQGAAVLLSLLQSSQEEVQERAATAVATFVVIDDEDATVHCQRAEAILCGDGIRMLLNLARSCQEVLQSEAAKAIANLSIDSKVAKAVAESGGIDILANLAKSTNRLVAEEAAGGLWNLSVGDEHKGAIAEAGGVKALVDLIFKWPPSSTDVLLERATGALANLGADEKCSMEVALAGGIHALVMLARTCKFEGVQEQAARALANLAAHGDSNSINAAIGQEAGALEALVQLTYSQNEGVRQEAAGALWNLSFDDKNREAISAVGGVEALVALAQSSLDASQGLQERAAGALWGLSVSETNSTAIGRQGGIAPLIALASSDVEDVHETAAGALWNLAFYRDNALRIIQDDGVQPLVHLCSSSNSKMARFMAALALVYMFDGRIDLAVPVGPSPSSQGSSKSLNIDGVGRMALKHVEEFVSSFYEPQTFNAAAATLVPTALAQIAEEIRIPEAGHLRCSGAEIDRYVRMLRDPSSILKSCSAFALLQFTMPGGRHAMHHSCLLQKAGAARVLRATAAASTAPIQAKIFTKIVLRNLENHHEVSS >KJB40083 pep chromosome:Graimondii2_0_v6:7:3219079:3225941:-1 gene:B456_007G046200 transcript:KJB40083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESIHLGPDPCERISSDAIKALAYCCPRLRRLWMSGVKEANGDAINALAKHCRQLMELGFVESDNIDEVALGNLSSLKFLSVAGTRNLKWGSVAQVWSRLPQLVGLDVSRTDVNLSSITRFLSLSRNLKVLIALNCPVFEGEVDRNTMHNNKGRILLTLFSDIVKGVASLFADNLESVTDVFQHWKEIRNGDKNLDEVVVWIEWAISHSLLRIAENNLKEFDDFWLTQGAAVLLSLLQSSQEEVQERAATAVATFVVIDDEDATVHCQRAEAILCGDGIRMLLNLARSCQEVLQSEAAKAIANLSIDSKVAKAVAESGGIDILANLAKSTNRLVAEEAAGGLWNLSVGDEHKGAIAEAGGVKALVDLIFKWPPSSTDVLLERATGALANLGADEKCSMEVALAGGIHALVMLARTCKFEGVQEQAARALANLAAHGDSNSINAAIGQEAGALEALVQLTYSQNEGVRQEAAGALWNLSFDDKNREAISAVGGVEALVALAQSSLDASQGLQERAAGALWGLSVSETNSTAIGRQGGIAPLIALASSDVEDVHETAAGALWNLAFYRDNALRIIQDDGVQPLVHLCSSSNSKMARFMAALALVYMFDGRIDLAVPVGPSPSSQGSSKSLNIDGVGRMALKHVEEFVSSFYEPQTFNAAAATLVPTALAQIAEEIRIPEAGHLRCSGAEIDRYVRMLRDPSSILKSCSAFALLQFTMPGGRHAMHHSCLLQKAGAARVLRATAAASTAPIQAKIFTKIVLRNLENHHEVSS >KJB40085 pep chromosome:Graimondii2_0_v6:7:3219148:3226192:-1 gene:B456_007G046200 transcript:KJB40085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERVRQKCSHSEEKYQTNISLETLDSGCLNSATVCGVDWTTLPDDTVIQLFSYLNYRDRASLASTCRTFRLLGSLPCLWGSLDLRSYKFDTAAAVSLSLRCKNLQRLKFPGAGSADAIVSLQARELREISGDFCRDITDAALSVIAARHEMLESIHLGPDPCERISSDAIKALAYCCPRLRRLWMSGVKEANGDAINALAKHCRQLMELGFVESDNIDEVALGNLSSLKFLSVAGTRNLKWGSVAQVWSRLPQLVGLDVSRTDVNLSSITRFLSLSRNLKVLIALNCPVFEGEVDRNTMHNNKGRILLTLFSDIVKGVASLFADNLESVTDVFQHWKEIRNGDKNLDEVVVWIEWAISHSLLRIAENNLKEFDDFWLTQGAAVLLSLLQSSQEEVQERAATAVATFVVIDDEDATVHCQRAEAILCGDGIRMLLNLARSCQEVLQSEAAKAIANLSIDSKVAKAVAESGGIDILANLAKSTNRLVAEEAAGGLWNLSVGDEHKGAIAEAGGVKALVDLIFKWPPSSTDVLLERATGALANLGADEKCSMEVALAGGIHALVMLARTCKFEGVQEQAARALANLAAHGDSNSINAAIGQEAGALEALVQLTYSQNEGVRQEAAGALWNLSFDDKNREAISAVGGVEALVALAQSSLDASQGLQERAAGALWGLSVSETNSTAIGRQGGIAPLIALASSDVEDVHETAAGALWNLAFYRDNALRIIQDDGVQPLVHLCSSSNSKMARFMAALALVYMFDGRIDLAVPVGPSPSSQGSSKSLNIDGVGRMALKHVEEFVSSFYEPQTFNAAAATLVPTALAQIAEEIRIPEAGHLRCSGAEIDRYVRMLRDPSSILKSCSAFALLQFTMPGGRHAMHHSCLLQKAGAARVLRATAAASTAPIQAKIFTKIVLRNLENHHEVSS >KJB44074 pep chromosome:Graimondii2_0_v6:7:29683059:29699475:1 gene:B456_007G233100 transcript:KJB44074 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin like protein for actin based chloroplast movement 2 [Source:Projected from Arabidopsis thaliana (AT5G65460) TAIR;Acc:AT5G65460] MGEQKSSNNNRWNWEVSGFEPRRTSPSSPEDLPRASVAPLMRRYSISAASSLASPFSSEFSKQALASKVLRLKDKVKLAKEDYLELRQEVNDLQEYSNAKLDRVTRYLGVLADKTRKLDQFALESEARISPLVNEKKRLFNDLLTAKGNIKLFCRTRPLFEDEGPSVVEFPDECTIRINTGDDTIANPKKDFEFDRVYGPHVGQAELFSDVQPFVQSALDGYNISIFAYGQTQSGKTHTMVEGSNHDRGLYARCFEELFDLANSDLTSTSKFNFSVTAFDLYNEQIRDLLSESGSTLPKICLELPESSVELVQDKVDNPMDFSKVLKAAFQIRESDTSKFNVSHLIIMVHIYYSNVISGENSYSKLSLIDLAGSDGQILEEDSGERVTDLLHVMKSLSALGDVLSSLTSKKDNIPYENSMLTNILADSLGGNSKSLMIVNICPNAANLSETLSSLNFAARARNSVLSLGNRDTIKKWRDVANDARKELYEKEKEIQDLKQEVLGLKQELKGANDQCVLLFNEVQKAWKVSFTLHSDLKSENVMLEDKHKIEKEQNAQLRNQVAQLLQSEQEQKLQMQQYDSTIQTLQAKVKSLELQLNEAIRSGEAKSVSSEKGSGVSTISKTAGDGMDSSAVTKKLEEELKKRDALIERLHEENEKLFDRLTEKASTGGSPQVPSPFSKGTANTQPQDPGRNDRRSIDVPLQLAMDKTDGAGALVKAGSDKVKTTPAGEYLTAALNDFDPDQYDSIAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFAFIRKMEPKRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVECFLEKPNSGRSRSSSRSNSPGRSPVRYVDEQIQGFKVNIKPEKKSKLSSVVSRIRGFDQDTLRQQQVTGGKLREIQEEAKSFAVGNKALAALFVHTPAGELQRQIRSWLAENFEFLSVTGDEASGGTTGQLELLSTAIMDGWMAGLGAALPPNTDALGQLLSEYAKRVFTSQLQHLKDIAGTLATEEADDASQVAKLRSALESVDHKRRKILQQMRNDAALLTLENGSSPIQNPSTAAEDARLASLISLDGILKQVKDITRQSSVSSMGRSKKKAIIASLDELGERMPSLLDIDHPCAQRQIANARRLVESVREEDDPAPEIHHAQRPSAELGSGTDTDVAQWNVLQFNTGSTTPFIIKCGANSNSELVIKADAKVQEPKGGEIVRVVPRPSVLENTSLDEMKQIFSELPEALSLLALARTADGTRARYSRLYRTLAMKVPSLRDLVGELEKGGVLKDVKS >KJB44072 pep chromosome:Graimondii2_0_v6:7:29682838:29699475:1 gene:B456_007G233100 transcript:KJB44072 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin like protein for actin based chloroplast movement 2 [Source:Projected from Arabidopsis thaliana (AT5G65460) TAIR;Acc:AT5G65460] MGEQKSSNNNRWNWEVSGFEPRRTSPSSPEDLPRASVAPLMRRYSISAASSLASPFSSEFSKQALASKVLRLKDKVKLAKEDYLELRQEVNDLQEYSNAKLDRVTRYLGVLADKTRKLDQFALESEARISPLVNEKKRLFNDLLTAKGNIKLFCRTRPLFEDEGPSVVEFPDECTIRINTGDDTIANPKKDFEFDRVYGPHVGQAELFSDVQPFVQSALDGYNISIFAYGQTQSGKTHTMEGSNHDRGLYARCFEELFDLANSDLTSTSKFNFSVTAFDLYNEQIRDLLSESGSTLPKICLELPESSVELVQDKVDNPMDFSKVLKAAFQIRESDTSKFNVSHLIIMVHIYYSNVISGENSYSKLSLIDLAGSDGQILEEDSGERVTDLLHVMKSLSALGDVLSSLTSKKDNIPYENSMLTNILADSLGGNSKSLMIVNICPNAANLSETLSSLNFAARARNSVLSLGNRDTIKKWRDVANDARKELYEKEKEIQDLKQEVLGLKQELKGANDQCVLLFNEVQKAWKVSFTLHSDLKSENVMLEDKHKIEKEQNAQLRNQVAQLLQSEQEQKLQMQQYDSTIQTLQAKVKSLELQLNEAIRSGEAKSVSSEKGSGVSTISKTAGDGMDSSAVTKKLEEELKKRDALIERLHEENEKLFDRLTEKASTGGSPQVPSPFSKGTANTQPQDPGRNDRRSIDVPLQLAMDKTDGAGALVKAGSDKVKTTPAGEYLTAALNDFDPDQYDSIAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFAFIRKMEPKRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVECFLEKPNSGRSRSSSRSNSPGRSPVRYVDEQIQGFKVNIKPEKKSKLSSVVSRIRGFDQDTLRQQQVTGGKLREIQEEAKSFAVGNKALAALFVHTPAGELQRQIRSWLAENFEFLSVTGDEASGGTTGQLELLSTAIMDGWMAGLGAALPPNTDALGQLLSEYAKRVFTSQLQHLKDIAGTLATEEADDASQVAKLRSALESVDHKRRKILQQMRNDAALLTLENGSSPIQNPSTAAEDARLASLISLDGILKQVKDITRQSSVSSMGRSKKKAIIASLDELGERMPSLLDIDHPCAQRQIANARRLVESVREEDDPAPEIHHAQRPSAELGSGTDTDVAQWNVLQFNTGSTTPFIIKCGANSNSELVIKADAKVQEPKGGEIVRVVPRPSVLENTSLDEMKQIFSELPEALSLLALARTADGTRARYSRLYRTLAMKVPSLRDLVGELEKGGVLKDVKS >KJB44073 pep chromosome:Graimondii2_0_v6:7:29683059:29699475:1 gene:B456_007G233100 transcript:KJB44073 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin like protein for actin based chloroplast movement 2 [Source:Projected from Arabidopsis thaliana (AT5G65460) TAIR;Acc:AT5G65460] MGEQKSSNNNRWNWEVSGFEPRRTSPSSPEDLPRASVAPLMRRYSISAASSLASPFSSEFSKQALASKVLRLKDKVKLAKEDYLELRQEVNDLQEYSNAKLDRVTRYLGVLADKTRKLDQFALESEARISPLVNEKKRLFNDLLTAKGNIKLFCRTRPLFEDEGPSVVEFPDECTIRINTGDDTIANPKKDFEFDRVYGPHVGQAELFSDVQPFVQSALDGYNISIFAYGQTQSGKTHTMEGSNHDRGLYARCFEELFDLANSDLTSTSKFNFSVTAFDLYNEQIRDLLSESGSTLPKICLELPESSVELVQDKVDNPMDFSKVLKAAFQIRESDTSKFNVSHLIIMVHIYYSNVISGENSYSKLSLIDLAGSDGQILEEDSGERVTDLLHVMKSLSALGDVLSSLTSKKDNIPYENSMLTNILADSLGGNSKSLMIVNICPNAANLSETLSSLNFAARARNSVLSLGNRDTIKKWRDVANDARKELYEKEKEIQDLKQEVLGLKQELKGANDQCVLLFNEVQKAWKVSFTLHSDLKSENVMLEDKHKIEKEQNAQLRNQVAQLLQSEQEQKLQMQQYDSTIQTLQAKVKSLELQLNEAIRSGEAKSVSSEKGSGVSTISKTAGDGMDSSAVTKKLEEELKKRDALIERLHEENEKLFDRLTEKASTGGSPQVPSPFSKGTANTQPQDPGRNDRRSIDVPLQLAMDKTDGAGALVKAGSDKVKTTPAGEYLTAALNDFDPDQYDSIAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFAFIRKMEPKRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVECFLEKPNSGRSRSSSRSNSPGRSPVRYVDEQIQGFKVNIKPEKKSKLSSVVSRIRGFDQDTLRQQQVTGGKLREIQEEAKSFAVGNKALAALFVHTPAGELQRQIRSWLAENFEFLSVTGDEASGGTTGQLELLSTAIMDGWMAGLGAALPPNTDALGQLLSEYAKRVFTSQLQHLKDIAGTLATEEADDASQVAKLRSALESVDHKRRKILQQMRNDAALLTLENGSSPIQNPSTAAEDARLASLISLDGILKQVKDITRQSSVSSMGRSKKKAIIASLDELGERMPSLLDIDHPCAQRQIANARRLVESVREEDDPAPEIHHAQRPSAELGSGTDTDVAQWNVLQFNTGSTTPFIIKCGANSNSELVIKADAKVQEPKGGEIRL >KJB44929 pep chromosome:Graimondii2_0_v6:7:47994319:47998244:1 gene:B456_007G280100 transcript:KJB44929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor [Source:Projected from Arabidopsis thaliana (AT3G04580) UniProtKB/TrEMBL;Acc:A0A178VKQ4] MLRAVALGLLISLFVVLVSGTDNELASCNCDDEGLWSVHSILECQKVSDFFIAVAYFSIPIELLYFISCSNVPFKWVLVQFIAFIVLCGLTHLLNGWTYYGPHSFQLMLSLTIAKLLTALVSCATAITLLTLIPLLLKVKVREIFLRQNVLELDQEVDMMKRKKEAGSHVRMLTQEIRKSLDKHTILYTTLVELSKTLDLINCAVWMPNENGTHMNLTHELKASSSRSSFHQSIPMSDPDVKEIKGNEGVRILRPDSALGLASGTGSEEAGAVAAIRMPMLQGYNFKGGTPELVETCYAILVLVLPSANSRNWCYPEMEIVEVVADQVAVALSHAAVLEESQRMREKLSQQNHVLQQERKNAMMASQARNSFQKVMSNGMKRPMHSILGLLSVFQDENMNFKQKTIVDTLVKTSSVLSTLINDVMEISAKDNGRFLLDMRPFSLHSMIKEACCLAKCLSVYKGFDFEVGVQSSLPDQVIGDEKRTFQVILHMVGYLLDINSGGETVLFRVLQDVGSQDKDKINVWRSSTQDNYLHLKIEIDIRGGSSVADASVSTKNFSSEKRNKDEIKESLNFTMCKKLVQMMQGNVWISTNSVGFAQSMTLLLRFQIQSYVQRTMFAAGNSERSNSYSRFRGLRVLLADDDDINRTVTKKLLEKLGCEVTAVSSGFECLSAVSHAENSFRIVVLDLHMPEMDGFEVAMRIRKFRSRNWPLIIALTASAEDHVRERCLQIGMNAILQKPVLLQGMADELQRVLQRTGEGI >KJB44930 pep chromosome:Graimondii2_0_v6:7:47994319:47998245:1 gene:B456_007G280100 transcript:KJB44930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor [Source:Projected from Arabidopsis thaliana (AT3G04580) UniProtKB/TrEMBL;Acc:A0A178VKQ4] MLRAVALGLLISLFVVLVSGTDNELASCNCDDEGLWSVHSILECQKVSDFFIAVAYFSIPIELLYFISCSNVPFKWVLVQFIAFIVLCGLTHLLNGWTYYGPHSFQLMLSLTIAKLLTALVSCATAITLLTLIPLLLKVKVREIFLRQNVLELDQEVDMMKRKKEAGSHVRMLTQEIRKSLDKHTILYTTLVELSKTLDLINCAVWMPNENGTHMNLTHELKASSSRSSFHQSIPMSDPDVKEIKGNEGVRILRPDSALGLASGTGSEEAGAVAAIRMPMLQGYNFKGGTPELVETCYAILVLVLPSANSRNWCYPEMEIVEVVADQVAVALSHAAVLEESQRMREKLSQQNHVLQQERKNAMMASQARNSFQKVMSNGMKRPMHSILGLLSVFQDENMNFKQKTIVDTLVKTSSVLSTLINDVMEISAKDNGRFLLDMRPFSLHSMIKEACCLAKCLSVYKGFDFEVGVQSSLPDQVIGDEKRTFQVILHMVGYLLDINSGGETVLFRVLQDVGSQDKDKINVWRSSTQDNYLHLKIEIDIRGGSSVADASVSTKNFSSEKRNKDEIKESLNFTMCKKLVQMMQGNVWISTNSVGFAQSMTLLLRFQIQSYVQRTMFAAGNSERSNSYSRFRGLRVLLADDDDINRTVTKKLLEKLGCEVTAVSSGFECLSALVLRIMCGRDAYK >KJB44928 pep chromosome:Graimondii2_0_v6:7:47993605:47998266:1 gene:B456_007G280100 transcript:KJB44928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor [Source:Projected from Arabidopsis thaliana (AT3G04580) UniProtKB/TrEMBL;Acc:A0A178VKQ4] MLRAVALGLLISLFVVLVSGTDNELASCNCDDEGLWSVHSILECQKVSDFFIAVAYFSIPIELLYFISCSNVPFKWVLVQFIAFIVLCGLTHLLNGWTYYGPHSFQLMLSLTIAKLLTALVSCATAITLLTLIPLLLKVKVREIFLRQNVLELDQEVDMMKRKKEAGSHVRMLTQEIRKSLDKHTILYTTLVELSKTLDLINCAVWMPNENGTHMNLTHELKASSSRSSFHQSIPMSDPDVKEIKGNEGVRILRPDSALGLASGTGSEEAGAVAAIRMPMLQGYNFKGGTPELVETCYAILVLVLPSANSRNWCYPEMEIVEVVADQVAVALSHAAVLEESQRMREKLSQQNHVLQQERKNAMMASQARNSFQKVMSNGMKRPMHSILGLLSVFQDENMNFKQKTIVDTLVKTSSVLSTLINDVMEISAKDNGRFLLDMRPFSLHSMIKEACCLAKCLSVYKGFDFEVGVQSSLPDQVIGDEKRTFQVILHMVGYLLDINSGGETVLFRVLQDVGSQDKDKINVWRSSTQDNYLHLKIEIDIRGGSSVADASVSTKNFSSEKRNKDEIKESLNFTMCKKLVQMMQGNVWISTNSVGFAQSMTLLLRFQIQSYVQRTMFAAGNSERSNSYSRFRGLRVLLADDDDINRTVTKKLLEKLGCEVTAVSSGFECLSAVSHAENSFRIVVLDLHMPEMDGFEVAMRIRKFRSRNWPLIIALTASAEDHVRERCLQIGMNAILQKPVLLQGMADELQRVLQRTGEGI >KJB44537 pep chromosome:Graimondii2_0_v6:7:42104544:42106046:1 gene:B456_007G258500 transcript:KJB44537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHCLTFVSPLTKLDTKYSSFKCKLYWSMRPQVFKYFLLFLELLHRGTIRTKYDNGLPQLSQLEPIDLSVALIPNCYNPLVCVLKLLKKLEV >KJB41267 pep chromosome:Graimondii2_0_v6:7:7175448:7177221:1 gene:B456_007G097200 transcript:KJB41267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASTFLAAKRNLPLRVAVVTGANKGIGFELCRNLASKGIMVVLTSRDEKRGLEAFAKLKDSVWNHVQVNNAGIFGAILHQQAFVKATELAGYFPSEEQASEYEIATQTFELAEECLETNYYGAKRMVEAFVPLLHLSDSPRIVNVSSIMGLLKNIPSQWAKEVLSDAESVTEEQVDEVLKQFLKDFKEGSLKDQGWPIYFSAYTLSKAAMNAYTRIVANKYPSFLVNSIGPGFVKTDITCNTGVLTAAEGAENVARLALLPNDGPSGHFFLRKEVSSF >KJB41265 pep chromosome:Graimondii2_0_v6:7:7175345:7177221:1 gene:B456_007G097200 transcript:KJB41265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASTFLAAKRNLPLRVAVVTGANKGIGFELCRNLASKGIMVVLTSRDEKRGLEAFAKLKDSGLSHHLVFHQLDVTVPSSIASLVDYVKSKFGKLDVLVNNAGIFGAILHQQAFVKATELAGYFPSEEQASEYEIATQTFELAEECLETNYYGAKRMVEAFVPLLHLSDSPRIVNVSSIMGLLKNIPSQWAKEVLSDAESVTEEQVDEVLKQFLKDFKEGSLKDQGWPIYFSAYTLSKAAMNAYTRIVANKYPSFLVNSIGPGFVKTDITCNTGVLTAAEGAENVARLALLPNDGPSGHFFLRKEVSSF >KJB41266 pep chromosome:Graimondii2_0_v6:7:7175418:7177241:1 gene:B456_007G097200 transcript:KJB41266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASTFLAAKRVAVVTGANKGIGFELCRNLASKGIMVVLTSRDEKRGLEAFAKLKDSGLSHHLVFHQLDVTVPSSIASLVDYVKSKFGKLDVLVNNAGIFGAILHQQAFVKATELAGYFPSEEQASEYEIATQTFELAEECLETNYYGAKRMVEAFVPLLHLSDSPRIVNVSSIMGLLKNIPSQWAKEVLSDAESVTEEQVDEVLKQFLKDFKEGSLKDQGWPIYFSAYTLSKAAMNAYTRIVANKYPSFLVNSIGPGFVKTDITCNTGVLTAAEGAENVARLALLPNDGPSGHFFLRKEVSSF >KJB41268 pep chromosome:Graimondii2_0_v6:7:7175539:7177221:1 gene:B456_007G097200 transcript:KJB41268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYLSICIICPINLLPPSKRNLPLRVAVVTGANKGIGFELCRNLASKGIMVVLTSRDEKRGLEAFAKLKDSGLSHHLVFHQLDVTVPSSIASLVDYVKSKFGKLDVLVNNAGIFGAILHQQAFVKATELAGYFPSEEQASEYEIATQTFELAEECLETNYYGAKRMVEAFVPLLHLSDSPRIVNVSSIMGLLKNIPSQWAKEVLSDAESVTEEQVDEVLKQFLKDFKEGSLKDQGWPIYFSAYTLSKAAMNAYTRIVANKYPSFLVNSIGPGFVKTDITCNTGVLTAAEGAENVARLALLPNDGPSGHFFLRKEVSSF >KJB42670 pep chromosome:Graimondii2_0_v6:7:14264999:14268050:1 gene:B456_007G163300 transcript:KJB42670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPERKMPGVCWVFLLLLLFAHICDGFYLPGSYMHTYSTKDTIFAKVNSLTSIETELPFSYYSLPYCKPLGGVKKSAENLGELLMGDQIDNSPYRFRMNVNESLYLCTTSPLNEHEVKLLKQRTRGLYQVNMILDNLPVMRIAKQNGVNIQWTGFPVGYSPPNSNDDYIINHLKFKVLVHEYEGSGVEIIGTGEDGMAVFPKADKKKASGFEIVGFEVVPCSVKYDPKAMTKLHMYDKVSSVNCPLGLGKSQLIREQERISFTYEVEFVKSDIRWPSRWDAYLKMEGARVHWFSILNSLMVITFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPDCPKLLCVMIGDGVQIMGMAAVTIVFAAFGFMSPASRGMLLTGMIILYLFLGIVAGYVAVRLWRTLKGTSEGWRSVSWSVACFFPGIVFVILTVLNFILWGSKSTGAIPISLYFVLLSLWFCISVPLTLIGGFIGTRAEAIQYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLITVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVSLYVFLYSINYLVFDLQSLSGPVSAILYLGYSMIMAIAIMLSTGTIGFITSFYFVHYLFSSVKID >KJB42669 pep chromosome:Graimondii2_0_v6:7:14264999:14267991:1 gene:B456_007G163300 transcript:KJB42669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPERKMPGVCWVFLLLLLFAHICDGFYLPGSYMHTYSTKDTIFAKVNSLTSIETELPFSYYSLPYCKPLGGVKKSAENLGELLMGDQIDNSPYRFRMNVNESLYLCTTSPLNEHEVKLLKQRTRGLYQVNMILDNLPVMRIAKQNGVNIQWTGFPVGYSPPNSNDDYIINHLKFKVLVHEYEGSGVEIIGTGEDGMAVFPKADKKKASGFEIVGFEVVPCSVKYDPKAMTKLHMYDKVSSVNCPLGLGKSQLIREQERISFTYEVEFVKSDIRWPSRWDAYLKMEGARVHWFSILNSLMVITFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPDCPKLLCVMIGDGVQIMGMAAVTIVFAAFGFMSPASRGMLLTGMIILYLFLGIVAGYVAVRLWRTLKGTSEGWRSVSWSVACFFPGIVFVILTVLNFILWGSKSTGAIPISLYFVLLSLWFCISVPLTLIGGFIGTRAEAIQYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLITVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVSLYVFLYSINYLVFDLQSLSGPVSAILYLGYSMIMAIAIMLSTGTIGFITSFYFVHYLFSSVKID >KJB42668 pep chromosome:Graimondii2_0_v6:7:14264999:14267991:1 gene:B456_007G163300 transcript:KJB42668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPERKMPGVCWVFLLLLLFAHICDGFYLPGSYMHTYSTKDTIFAKVNSLTSIETELPFSYYSLPYCKPLGGVKKSAENLGELLMGDQIDNSPYRFRMNVNESLYLCTTSPLNEHEVKLLKQRTRGLYQVNMILDNLPVMRIAKQNGVNIQWTGFPVGYSPPNSNDDYIINHLKFKVLVHEYEGSGVEIIGTGEDGMAVFPKADKKKASGFEIVGFEVVPCSVKYDPKAMTKLHMYDKVSSVNCPLGLGKSQLIREQERISFTYEVEFVKSDIRWPSRWDAYLKMEGARVHWFSILNSLMVITFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPDCPKLLCVMIGDGVQIMGMAAVTIVFAAFGFMSPASRGMLLTGMIILYLFLGIVAGYVAVRLWRTLKGTSEGWRSVSWSVACFFPGIVFVILTVLNFILWGSKSTGAIPISLYFVLLSLWFCISVPLTLIGGFIGTRAEAIQYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLITVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVSLYVFLYSINYLVFDLQSLSGPVSAILYLGYSMIMAIAIMLSTGTIGFITSFYFVHYLFSSVKID >KJB41716 pep chromosome:Graimondii2_0_v6:7:9210046:9211474:-1 gene:B456_007G117700 transcript:KJB41716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAISYCSSSIGSSNHFDSSSTATAASSSSQRDHNTDLSLGLSISTSPLYARKQRSPIKPLLRLELAEQDECNSATFYVKVYMEGIPIGRKVDLLDRRSYCDLIKTLEHMFNTNLIWAEAEVNGDHFEEYHVLTYEDKEGDWMMVGDVPWQMFLSVVRRLKISRSSAEL >KJB42202 pep chromosome:Graimondii2_0_v6:7:11833972:11838774:-1 gene:B456_007G142000 transcript:KJB42202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSISTTTLHYFCPSTESLLFKRVKLPSWDQHLVSISLNSRTEQRLLARPYSLAHKGNSVKVKCSQKREIPVLEASSMDEVFDILAERILPTSSVASNPNTKHIVGLAGPPGSGKSTLASEVTQRINKFWFQGACTSDPQVVPPDVAIVVPMDGFHLYRSQLDAMENPEEAHARRGAPWTFDPVLLLNCLKSLRKQGSVYAPSFAHGIGDPIEDDIFVSLQFIDVDLDTAMQRVLKRHISTGKPPDVAQWRIDYNDRPNAEFIMKSKKNADLVIKSIDFFQR >KJB42204 pep chromosome:Graimondii2_0_v6:7:11833972:11838774:-1 gene:B456_007G142000 transcript:KJB42204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSISTTTLHYFCPSTESLLFKRVKLPSWDQHLVSISLNSRTEQRLLARPYSLAHKGNSVKVKCSQKREIPVLEASSMDEVFDILAERILPTSSVASNPNTNTLASEVTQRINKFWFQGACTSDPQVVPPDVAIVVPMDGFHLYRSQLDAMENPEEAHARRGAPWTFDPVLLLNCLKSLRKQGSVYAPSFAHGIGDPIEDDIFVSLQHKVVIVEGNYLLSDEGVWREVSSIFDEKWFIDVDLDTAMQRVLKRHISTGKPPDVAQWRIDYNDRPNAEFIMKSKKNADLVIKSIDFFQR >KJB42203 pep chromosome:Graimondii2_0_v6:7:11835316:11838703:-1 gene:B456_007G142000 transcript:KJB42203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSISTTTLHYFCPSTESLLFKRVKLPSWDQHLVSISLNSRTEQRLLARPYSLAHKGNSVKVKCSQKREIPVLEASSMDEVFDILAERILPTSSVASNPNTKHIVGLAGPPGSGKSTLASEVTQRINKFWFQGACTSDPQVVPPDVAIVVPMDGFHLYRSQLDAMENPEEAHARRGAPWTFDPVLLLNCLKSLRKQGSVYAPSFAHGIGDPIEDDIFVSLQHKVVIVEGNYLLSDEGVWREVSSIFDEKW >KJB42201 pep chromosome:Graimondii2_0_v6:7:11833966:11839013:-1 gene:B456_007G142000 transcript:KJB42201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSISTTTLHYFCPSTESLLFKRVKLPSWDQHLVSISLNSRTEQRLLARPYSLAHKGNSVKVKCSQKREIPVLEASSMDEVFDILAERILPTSSVASNPNTKHIVGLAGPPGSGKSTLASEVTQRINKFWFQGACTSDPQVVPPDVAIVVPMDGFHLYRSQLDAMENPEEAHARRGAPWTFDPVLLLNCLKSLRKQGSVYAPSFAHGIGDPIEDDIFVSLQHKVVIVEGNYLLSDEGVWREVSSIFDEKWFIDVDLDTAMQRVLKRHISTGKPPDVAQWRIDYNDRPNAEFIMKSKKNADLVIKSIDFFQR >KJB44700 pep chromosome:Graimondii2_0_v6:7:44808628:44811429:-1 gene:B456_007G267400 transcript:KJB44700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIANLLQTCIDNKSLLLGKVLHAYILRTNLLTNTFLCNRLIELYSKCNDPTYAHRTFDNTPQKDIYSWNAVLSFYCKTGNLTFARKVFEQMPERNVVSWNNLISLMLKNGHQEKALDVYKLMVLEGFLPTHITFASVLSACGSVFDLQLGKRCHGLVIKIGLDKNIFVCNGLLSVYAKCGVMREAVQIFADIDEPNEVTFTSMMGGLGRIDGVVEALEMFRMMSRKGVRIDSVSLSAVLSVCAKGGEYDEFGCYESGDRLLRNVVLGEQIHGLAFKLGFEHDLYLGNSLLDMYAKNGIMKSAEMVFHTLGKPSVVSWNIMIAGYGQKGNSGKAIECFQRMQFSGFKPDEVTYINMLAACIKGGDVETARQMFDNMSCPSVISWNAIISGYSQNENHREAIDLFREMQFQSVKPDRTTVTVILGSCAGMAFLEGGKQVHAALQKAALHTDNYVAGGLIGMYSKCGKIERAEHIFSSMPELDIVCWNSMIAGLTLNSLNREAFMLFKQMRQGRMLPTEFSYATVLSCCTKLSSLFQGRQVHSQIVKDGYESDVFVGTALVDMYCKCGDIDGAWKHFDMMPVRNIVTWNEMIHGYAQNGYGDEAVHLYEDMIASGAKPDAVTFIAVLTACSHSGLVDLGFRIFNSMKSEHGIDPELDHYTCIIDCLSRAGHFHDAELLMEKMPYRDDPIVWEVVLSSCRVHGNVSLAQQAAQELFRLDPESSTPYVLLANIYSSLGRWDDVRVIRELMSEKQIVKDPGYSWTEDKEQDTCLFVG >KJB40527 pep chromosome:Graimondii2_0_v6:7:4803815:4807953:1 gene:B456_007G068100 transcript:KJB40527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGVCRQVKFLNSQGDSTAKPLPGKIEEEYDEDDKKHVKTMLISCPEVRKRKCLSSNQLRKEKAARCGKQSLSNGPNKGKQNKHKNTVERWSAERYNLAEESMLEIMKAEGAVFENPISRPALRMAARKLIGDTGLLDHLLKHIDGKVAPGGTDRFRRCYNTSGVMEYWLENADLVNKQKEAGISPFWQKPVAAPNHVSAGAIEFNLLNEEMVKLKREMQELVSKQQEQDQANSIEEMQKEMLKWKAKTDERLMEFTSSLNGMQNMCKELMTWKTRVEQQMLEISNSLSTLQASKQCTIFSPSASERWEDWLESTNLDNFQGGNLSPWIDNPELINFGQDAVQETDLAPLAWPRPGHSPFEGPFCAQDLDMINEEMAKIMSNVEELAPRRQGEDQANVTPDSSVTANSKSDLDNLLLVQEMLKDLVKWKAKIKQQLTDISSAVSVLQKSRQ >KJB40524 pep chromosome:Graimondii2_0_v6:7:4803815:4807953:1 gene:B456_007G068100 transcript:KJB40524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGVCRQVKFLNSQGDSTAKPLPGKIEEEYDEDDKKHVKTMLISCPEVRKRKCLSSNQLRKEKAARCGKQSLSNGPNKGKQNKHKNTVERWSAERYNLAEESMLEIMKAEGAVFENPISRPALRMAARKLIGDTGLLDHLLKHIDGKVAPGGTDRFRRCYNTSGVMEYWLENADLVNKQKEAGISPFWQKPVAAPNHVSAGAIEFNLLNEEMVKLKREMQELVSKQQEQDQANSIEMQKEMLKWKAKTDERLMEFTSSLNGMQNMCKELMTWKTRVEQQMLEISNSLSTLQASKQCTIFSPSASERWEDWLESTNLDNFQGGNLSPWIDNPELINFGQDAVQETDLAPLAWPRPGHSPFEGPFCAQDLDMINEEMAKIMSNVEELAPRRQGEDQANVTPDSSVTANSKSDLDNLLLVQEMLKDLVKWKAKIKQQLTDISSAVSVLQKSRQ >KJB40525 pep chromosome:Graimondii2_0_v6:7:4805359:4807953:1 gene:B456_007G068100 transcript:KJB40525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGVCRQVKFLNSQGDSTAKPLPGKIEEEYDEDDKKHVKTMLISCPEVRKRKCLSSNQLRKEKAARCGKQSLSNGPNKGKQNKHKNTVERWSAERYNLAEESMLEIMKAEGAVFENPISRPALRMAARKLIGDTGLLDHLLKHIDGKVAPGGTDRFRRCYNTSGVMEYWLENADLVNKQKEAGISPFWQKPVAAPNHVSAGAIEFNLLNEEMVKLKREMQELVSKQQEQDQANSIEEMQKEMLKWKAKTDERLMEFTSSLNGMQNMCKELMTWKTRVEQQMLEISNSLSTLQASKQCTIFSPSASERWEDWLESTNLDNFQGGNLSPWIDNPELINFGQDAVQETDLAPLAWPRPGHSPFEGPFCAQDLDMINEEMAKIMSNVEELAPRRQGEDQANVTPDSSVTANSKSDLDNLLLVQEMLKDLVKWKAKIKQQLTDISSAVSVLQKSRQ >KJB40526 pep chromosome:Graimondii2_0_v6:7:4803815:4807953:1 gene:B456_007G068100 transcript:KJB40526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGVCRQVKFLNSQGDSTAKPLPGKIEEEYDEDDKKHVKTMLISCPEVRKRKCLSSNQLRKEKAARCGKQSLSNGPNKGKQNKHKNTVERWSAERYNLAEESMLEIMKAEGAVFENPISRPALRMAARKLIGDTGLLDHLLKHIDGKVAPGGTDRFRRCYNTSGVMEYWLENADLVNKQKEAGISPFWQKPVAAPNHVSAGAIEFNLLNEEMVKLKREMQELVSKQQEQDQANSIEEMQKEMLKWKAKTDERLMEFTSSLNGMQNMCKELMTWKTRVEQQMLEISNSLSTLQASKQCTIFSPSASERWEDWLESTNLDNFQGGNLSPWIDNPELINFGQDAVQETDLAPLAWPRPGHSPFEGPFCAQDLDMINEEMAKIMSNVEELAPRRQGEDQANVTPDSSVTANSKSDLDNLLLVQEMLKDLVKWKAKIKQQLTDISSAVSVLQKSRQ >KJB43069 pep chromosome:Graimondii2_0_v6:7:17370122:17376108:1 gene:B456_007G182200 transcript:KJB43069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTHLIKGNLEVKISKRRDLPCFREFKERKSTLTRNLCIRRGSICSGLTSGAVSMGAELARARDNVETVARSLIKFRSVKAQASGGDVEDLAPINPHETSTGTVLPFVGVACLGAILFGYHLGVVNGALDYLSKDLGIAQNAVMQGWVVSTLLAAAAVGSFTGGALADKFGRTRTFQLDAIPLIIGAVLTAIAQNVQTMIIGRLLAGIGIGITSAIVPLYISEISPTDIRGALGSVNQLFICIGILAALVAGLPLSRSPVWWRGMFGLAVIPSILLALGMTYSPESPRWLFQQGKISEAEKSIGTLYGKERVPEVMYELRTAGQGSTEPEAGWIDLFSKRYWKVVSVGAALFFFQQFAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGTVIASSLMDRQGRKSLLITSFSGMGASMLLLSLSFTWKVLAPYSGILAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLCMHWVNIKLCHRPVFPECCGQVWNQCSVFGIRRRLSSCCLVYGW >KJB43070 pep chromosome:Graimondii2_0_v6:7:17369757:17376697:1 gene:B456_007G182200 transcript:KJB43070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTHLIKGNLEVKISKRRDLPCFREFKERKSTLTRNLCIRRGSICSGLTSGAVSMGAELARARDNVETVARSLIKFRSVKAQASGGDVEDLAPINPHETSTGTVLPFVGVACLGAILFGYHLGVVNGALDYLSKDLGIAQNAVMQGWVVSTLLAAAAVGSFTGGALADKFGRTRTFQLDAIPLIIGAVLTAIAQNVQTMIIGRLLAGIGIGITSAIVPLYISEISPTDIRGALGSVNQLFICIGILAALVAGLPLSRSPVWWRGMFGLAVIPSILLALGMTYSPESPRWLFQQGKISEAEKSIGTLYGKERVPEVMYELRTAGQGSTEPEAGWIDLFSKRYWKVVSVGAALFFFQQFAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGTVIASSLMDRQGRKSLLITSFSGMGASMLLLSLSFTWKVLAPYSGILAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLCMHWFGISVVYLVFAAVCLLAVLFMAGNVVETKGRSLEEIELALNPTT >KJB43068 pep chromosome:Graimondii2_0_v6:7:17369757:17375872:1 gene:B456_007G182200 transcript:KJB43068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTHLIKGNLEVKISKRRDLPCFREFKERKSTLTRNLCIRRGSICSGLTSGAVSMGAELARARDNVETVARSLIKFRSVKAQASGGDVEDLAPINPHETSTGTVLPFVGVACLGAILFGYHLGVVNGALDYLSKDLGIAQNAVMQGWVVSTLLAAAAVGSFTGGALADKFGRTRTFQLDAIPLIIGAVLTAIAQNVQTMIIGRLLAGIGIGITSAIVPLYISEISPTDIRGALGSVNQLFICIGILAALVAGLPLSRSPVWWRGMFGLAVIPSILLALGMTYSPESPRWLFQQGKISEAEKSIGTLYGKERVPEVMYELRTAGQGSTEPEAGWIDLFSKRYWKVVSVGAALFFFQQFAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGTVIASSLMDRQGRKSLLITSFSGMGASMLLLSLSFTWKVLAPYSGILAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLCMHWVSCDKKLAQTF >KJB43067 pep chromosome:Graimondii2_0_v6:7:17369618:17377140:1 gene:B456_007G182200 transcript:KJB43067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTHLIKGNLEVKISKRRDLPCFREFKERKSTLTRNLCIRRGSICSGLTSGAVSMGAELARARDNVETVARSLIKFRSVKAQASGGDVEDLAPINPHETSTGTVLPFVGVACLGAILFGYHLGVVNGALDYLSKDLGIAQNAVMQGWVVSTLLAAAAVGSFTGGALADKFGRTRTFQLDAIPLIIGAVLTAIAQNVQTMIIGRLLAGIGIGITSAIVPLYISEISPTDIRGALGSVNQLFICIGILAALVAGLPLSRSPVWWRGMFGLAVIPSILLALGMTYSPESPRWLFQQGKISEAEKSIGTLYGKERVPEVMYELRTAGQGSTEPEAGWIDLFSKRYWKVVSVGAALFFFQQFAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGTVIASSLMDRQGRKSLLITSFSGMGASMLLLSLSFTWKVLAPYSGILAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLCMHWISNFVIGLYFLSVVDKFGISVVYLVFAAVCLLAVLFMAGNVVETKGRSLEEIELALNPTT >KJB45711 pep chromosome:Graimondii2_0_v6:7:54084668:54089631:1 gene:B456_007G322900 transcript:KJB45711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQYDNGRSERLGNFFDYWMFMWWSSCNSWRITMEGSSESAWQQECDSSRALDTSGVSDRGPSLFRAERTGISGDFGFTEEDGRDVLTHNDNLRSQIGVSGVCETAVNPFVRSIEWGDISLRQWLDKPERSVDVFECLHIFRQIVEIVNIAHSQGIVVHNVRPSCFVMSSFNHISFIESASSSDSGSDSVEDALNSQNMEEVKGLSSTLPLDMHQQRRLIKDYVQTPTNALSEASCMQSGSVCPRNAQLEESEENKIIDYRNSEQVEEKKQPFPMKQMLLMETSWYTSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREEKTRTMSSLRHRVLPPQLLLRWPKEASFCLWLLLPEPNSRPKMGELLQSEFLNEPRDNLEEREAAIELRERIEEEELLVEFLLLVQRRKQEVADRLQDTISFLCSDIAEVMKQQAILKEKGNSCMEPGKDDNSTSNLPSINIVDIDDSSSLGSRKRFRPGLRVPNVEECGDNLETRQKSDTQTENRESFLQKSSRLMKNFKKLEAAYFLTRCRPVKQSGKPSCRQTPLNSDGRGSIVMTERSSVNNLTSKESCSESLESGWINPFLEGLCKYLSYSKLKVKANLKQGDLLNSSNLVCSLGFDRDAEFFATAGVNKKIKVFECDAVINQNRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHEKRVWSIDFSSADPTVLASGSDDGSVKLWSINQGASICTIKTKANVCCVQFPSESGRSLAFGSADHKIYYYDLRNSRIPLCTLVGHHKTVSYVKFVDANTLVSSSTDNTLKLWDLSVSNSRVIDTPVQSFTGHMNVKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALTFKFNNMDPLSGQEMDDAAQFISSVCWRGRSSTLVAANSTGNIKILEMV >KJB45715 pep chromosome:Graimondii2_0_v6:7:54084758:54089631:1 gene:B456_007G322900 transcript:KJB45715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQYDNGRSERLGNFFDYWMFMWWSSCNSWRITMEGSSESAWQQECDSSRALDTSGVSDRGPSLFRAERTGISGDFGFTEEDGRDVLTHNDNLRSQIGVSGVCETAVNPFVRSIEWGDISLRQWLDKPERSVDVFECLHIFRQIVEIVNIAHSQGIVVHNVRPSCFVMSSFNHISFIESASSSDSGSDSVEDALNSQNMEEVKGLSSTLPLDMHQQRRLIKDYVQTPTNALSEASCMQSGSVCPRNAQLEESEENKIIDYRNSEQVEEKKQPFPMKQMLLMETSWYTSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREEKTRTMSSLRHRVLPPQLLLRWPKEASFCLWLLLPEPNSRPKMGELLQSEFLNEPRDNLEEREAAIELRERIEEEELLVEFLLLVQRRKQEVADRLQDTISFLCSDIAEVMKQQAILKEKGNSCMEPGKDDNSTSNLPSINIVDIDDSSSLGSRKRFRPGLRVPNVEECGDNLETRQKSDTQTENRESFLQKSSRLMKNFKKLEAAYFLTRCRPVKQSGKPSCRQTPLNSDGRGSIVMTERSSVNNLTSKESCSESLESGWINPFLEGLCKYLSYSKLKVKANLKQGDLLNSSNLVCSLGFDRDAEFFATAGVNKKIKVFECDAVINQNRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHEKRVWSIDFSSADPTVLASGSDDGSVKLWSINQGASICTIKTKANVCCVQFPSESGRSLAFGSADHKIYYYDLRNSRIPLCTLVGHHKTVSYVKFVDANTLVSSSTDNTLKLWDLSVSNSRVIDTPVQSFTGHMNVKVY >KJB45712 pep chromosome:Graimondii2_0_v6:7:54085212:54088829:1 gene:B456_007G322900 transcript:KJB45712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQYDNGRSERLGNFFDYWMFMWWSSCNSWRITMEGSSESAWQQECDSSRALDTSGVSDRGPSLFRAERTGISGDFGFTEEDGRDVLTHNDNLRSQIGVSGVCETAVNPFVRSIEWGDISLRQWLDKPERSVDVFECLHIFRQIVEIVNIAHSQGIVVHNVRPSCFVMSSFNHISFIESASSSDSGSDSVEDALNSQNMEEVKGLSSTLPLDMHQQRRLIKDYVQTPTNALSEASCMQSGSVCPRNAQLEESEENKIIDYRNSEQVEEKKQPFPMKQMLLMETSWYTSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREEKTRTMSSLRHRVLPPQLLLRWPKEASFCLWLLLPEPNSRPKMGELLQSEFLNEPRDNLEEREAAIELRERIEEEELLVEFLLLVQRRKQEVADRLQDTISFLCSDIAEVMKQQAILKEKGNSCMEPGKDDNSTSNLPSINIVDIDDSSSLGSRKRFRPGLRVPNVEECGDNLETRQKSDTQTENRESFLQKSSRLMKNFKKLEAAYFLTRCRPVKQSGKPSCRQTPLNSDGRGSIVMTERSSVNNLTSKESCSESLESGWINPFLEGLCKYLSYSKLKVKANLKQGDLLNSSNLVCSLGFDRDAEFFATAGVNKKIKVFECDAVINQNRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHEKRVWSIDFSSADPTVLASGSDDGSVKLWSINQGASICTIKTKANVCCVQFPSESGRSLAFGSADHKIYYYDLRNSRIPLCTLVGHHKTVSYVKFVDANTLVSSSTDNTLKLWDLSVSNSRVIDTPVQSFTGHMNVKVY >KJB45718 pep chromosome:Graimondii2_0_v6:7:54085212:54088440:1 gene:B456_007G322900 transcript:KJB45718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQYDNGRSERLGNFFDYWMFMWWSSCNSWRITMEGSSESAWQQECDSSRALDTSGVSDRGPSLFRAERTGISGDFGFTEEDGRDVLTHNDNLRSQIGVSGVCETAVNPFVRSIEWGDISLRQWLDKPERSVDVFECLHIFRQIVEIVNIAHSQGIVVHNVRPSCFVMSSFNHISFIESASSSDSGSDSVEDALNSQNMEEVKGLSSTLPLDMHQQRRLIKDYVQTPTNALSEASCMQSGSVCPRNAQLEESEENKIIDYRNSEQVEEKKQPFPMKQMLLMETSWYTSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREEKTRTMSSLRHRVLPPQLLLRWPKEASFCLWLLLPEPNSRPKMGELLQSEFLNEPRDNLEEREAAIELRERIEEEELLVEFLLLVQRRKQEVADRLQDTISFLCSDIAEVMKQQAILKEKGNSCMEPGKDDNSTSNLPSINIVDIDDSSSLGSRKRFRPGLRVPNVEECGDNLETRQKSDTQTENRESFLQKSSRLMKNFKKLEAAYFLTRCRPVKQSGKPSCRQTPLNSDGRGSIVMTERSSVNNLTSKESCSESLESGWINPFLEGLCKYLSYSKLKVKANLKQGDLLNSSNLVCSLGFDRDAEFFATAGVNKKIKVFECDAVINQNRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHEKRVWSIDFSSADPTVLASGSDDGSVKLWSINQAILLLHLMDVSFENKRTTVI >KJB45714 pep chromosome:Graimondii2_0_v6:7:54084758:54089631:1 gene:B456_007G322900 transcript:KJB45714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQYDNGRSERLGNFFDYWMFMWWSSCNSWRITMEGSSESAWQQECDSSRALDTSGVSDRGPSLFRAERTGISGDFGFTEEDGRDVLTHNDNLRSQIGVSGVCETAVNPFVRSIEWGDISLRQWLDKPERSVDVFECLHIFRQIVEIVNIAHSQGIVVHNVRPSCFVMSSFNHISFIESASSSDSGSDSVEDALNSQNMEEVKGLSSTLPLDMHQQRRLIKDYVQTPTNALSEASCMQSGSVCPRNAQLEESEENKIIDYRNSEQVEEKKQPFPMKQMLLMETSWYTSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREEKTRTMSSLRHRVLPPQLLLRWPKEASFCLWLLLPEPNSRPKMGELLQSEFLNEPRDNLEEREAAIELRERIEEEELLVEFLLLVQRRKQEVADRLQDTISFLCSDIAEVMKQQAILKEKGNSCMEPGKDDNSTSNLPSINIVDIDDSSSLGSRKRFRPGLRVPNVEECGDNLETRQKSDTQTENRESFLQKSSRLMKNFKKLEAAYFLTRCRPVKQSGKPSCRQTPLNSDGRGSIVMTERSSVNNLTSKESCSESLESGWINPFLEGLCKYLSYSKLKVKANLKQGDLLNSSNLVCSLGFDRDAEFFATAGVNKKIKVFECDAVINQNRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHEKRVWSIDFSSADPTVLASGSDDGSVKLWSINQGASICTIKTKANVCCVQFPSESGRSLAFGSADHKIYYYDLRNSRIPLCTLVGHHKTVSYVKFVDANTLVSSSTDNTLKLWDLSVSNSRNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALTFKFNNMDPLSGQEMDDAAQFISSVCWRGRSSTLVAANSTGNIKILEMV >KJB45717 pep chromosome:Graimondii2_0_v6:7:54085212:54088219:1 gene:B456_007G322900 transcript:KJB45717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQYDNGRSERLGNFFDYWMFMWWSSCNSWRITMEGSSESAWQQECDSSRALDTSGVSDRGPSLFRAERTGISGDFGFTEEDGRDVLTHNDNLRSQIGVSGVCETAVNPFVRSIEWGDISLRQWLDKPERSVDVFECLHIFRQIVEIVNIAHSQGIVVHNVRPSCFVMSSFNHISFIESASSSDSGSDSVEDALNSQNMEEVKGLSSTLPLDMHQQRRLIKDYVQTPTNALSEASCMQSGSVCPRNAQLEESEENKIIDYRNSEQVEEKKQPFPMKQMLLMETSWYTSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREEKTRTMSSLRHRVLPPQLLLRWPKEASFCLWLLLPEPNSRPKMGELLQSEFLNEPRDNLEEREAAIELRERIEEEELLVEFLLLVQRRKQEVADRLQDTISFLCSDIAEVMKQQAILKEKGNSCMEPGKDDNSTSNLPSINIVDIDDSSSLGSRKRFRPGLRVPNVEECGDNLETRQKSDTQTENRESFLQKSSRLMKNFKKLEAAYFLTRCRPVKQSGKPSCRQTPLNSDGRGSIVMTERSSVNNLTSKESCSESLESGWINPFLEGLCKYLSYSKLKVKANLKQGDLLNSSNLVCSLGFDRDAEFFATAGVNKKIKVFECDAVINQNRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVRKAFNYHSSFGCLFAVSKSVS >KJB45716 pep chromosome:Graimondii2_0_v6:7:54084758:54089631:1 gene:B456_007G322900 transcript:KJB45716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQYDNGRSERLGNFFDYWMFMWWSSCNSWRITMEGSSESAWQQECDSSRALDTSGVSDRGPSLFRAERTGISGDFGFTEEDGRDVLTHNDNLRSQIGVSGVCETAVNPFVRSIEWGDISLRQWLDKPERSVDVFECLHIFRQIVEIVNIAHSQGIVVHNVRPSCFVMSSFNHISFIESASSSDSGSDSVEDALNSQNMEEVKGLSSTLPLDMHQQRRLIKDYVQTPTNALSEASCMQSGSVCPRNAQLEESEENKIIDYRNSEQVEEKKQPFPMKQMLLMETSWYTSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREEKTRTMSSLRHRVLPPQLLLRWPKEASFCLWLLLPEPNSRPKMGELLQSEFLNEPRDNLEEREAAIELRERIEEEELLVEFLLLVQRRKQEVADRLQDTISFLCSDIAEVMKQQAILKEKGNSCMEPGKDDNSTSNLPSINIVDIDDSSSLGSRKRFRPGLRVPNVEECGDNLETRQKSDTQTENRESFLQKSSRLMKNFKKLEAAYFLTRCRPVKQSGKPSCRQTPLNSDGRGSIVMTERSSVNNLTSKESCSESLESGWINPFLEGLCKYLSYSKLKVKANLKQGDLLNSSNLVCSLGFDRDAEFFATAGVNKKIKVFECDAVINQNRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHEKRVWSIDFSSADPTVLASGSDDGSVKLWSINQAILLLHLMDVSFENKRSKYLYHQDKGQCLLRPVSFRIWSFSCIRIS >KJB45713 pep chromosome:Graimondii2_0_v6:7:54084758:54089631:1 gene:B456_007G322900 transcript:KJB45713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQYDNGRSERLGNFFDYWMFMWWSSCNSWRITMEGSSESAWQQECDSSRALDTSGVSDRGPSLFRAERTGISGDFGFTEEDGRDVLTHNDNLRSQIGVSGVCETAVNPFVRSIEWGDISLRQWLDKPERSVDVFECLHIFRQIVEIVNIAHSQGIVVHNVRPSCFVMSSFNHISFIESASSSDSGSDSVEDALNSQNMEEVKGLSSTLPLDMHQQRRLIKDYVQTPTNALSEASCMQSGSVCPRNAQLEESEENKIIDYRNSEQVEEKKQPFPMKQMLLMETSWYTSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREEKTRTMSSLRHRVLPPQLLLRWPKEASFCLWLLLPEPNSRPKMGELLQSEFLNEPRDNLEEREAAIELRERIEEEELLVEFLLLVQRRKQEVADRLQDTISFLCSDIAEVMKQQAILKEKGNSCMEPGKDDNSTSNLPSINIVDIDDSSSLGSRKRFRPGLRVPNVEECGDNLETRQKSDTQTENRESFLQKSSRLMKNFKKLEAAYFLTRCRPVKQSGKPSCRQTPLNSDGRGSIVMTERSSVNNLTSKESCSESLESGWINPFLEGLCKYLSYSKLKVKANLKQGDLLNSSNLVCSLGFDRDAEFFATAGVNKKIKVFECDAVINQNRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHEKRVWSIDFSSADPTVLASGSDDGSVKLWSINQGASICTIKTKANVCCVQFPSESGRSLAFGSADHKIYYYDLRNSRIPLCTLVGHHKTVSYVKFVDANTLVSSSTDNTLKLWDLSVSNSRVIDTPVQSFTGHMNVKNFVGLSVSDGYIATGSETNEVIHIFSHLF >KJB40324 pep chromosome:Graimondii2_0_v6:7:4097668:4099965:-1 gene:B456_007G057600 transcript:KJB40324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable hexokinase-like 2 protein [Source:Projected from Arabidopsis thaliana (AT4G37840) UniProtKB/Swiss-Prot;Acc:Q9T071] MKQQVALAAVTTTAATVMAVVALVRQYRKAKQDRQWGKCQRILRKFSRDCSTPVPKLWQVANAMVSDMGASLSSSDEATSSLNMFVSYASPLPTGINEKGMYYGVNLRQTKILVVCGRLQGKNQPISDLYVDEVPIPSSVMIGTSQELYDYIAAELVKFVSAHPEKDDEAPANAKKLGFTVSSGDDQSTAISGSNIKLKNFAADTTGVKDLITGMNRALEKHGLKLRVHALVDDIVGNLAGGRYYDKDCVAAITLGVGTNAAYVDTTQSAPRWHDSLPKKGEIVVCMEWGNFNTCHLPITQYDTSLDAASSNPGHRIFEKMISGMYLGEIVRRVLVRMAQEAALFGQSVPPKLLIPYLLRSPDMAAMHQDTSEDREVVHEKLKEIFGITNLTTMARELVAEVCDIVTERGARLAGAGTVAIVKKLGRIANRRSVIIMEGGLYEHYRIFRNYLHSGVWEMLGNELSDNIVVEHSHGGAGTGPLFLAASPTPDS >KJB41083 pep chromosome:Graimondii2_0_v6:7:6528845:6531148:-1 gene:B456_007G089700 transcript:KJB41083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSVSFSSDHLSPPDQLCYVHCNFCDTVLAVSVPCSSLFNNTVMVRCGHCTNLLSVDMRGLLLPTANQLHLAHSFFTPQNLLEEIRSCAPPNMVMINHPNPNDTVIRGAAELEEIAKPPVVNRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHRDAFSAAAKNWAHFPRIHFGQMPDQAVMKMKNNVRQQEGEEAVVKDGFFVPTNVGVSPY >KJB43886 pep chromosome:Graimondii2_0_v6:7:25764822:25766992:-1 gene:B456_007G221700 transcript:KJB43886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLLRPYDKEYMRMAILKHEETFKEQVYELHRLYRIQKTLMKSMESSRPKGNFSLKNNYHHGNINMHQNTRTRLDLEHPAAEGDHRSNNVVAEPDYGRNTILESIDESEIELTLGPTRYVPRKKHGTPRTSDSGPSFSSSSTESSHVKKTSTTGEDFTGNEQMGLFKVTDMTFGYPNGSKNNTDLEEQLRQERLKQPPWLFQVLSMNMT >KJB43885 pep chromosome:Graimondii2_0_v6:7:25764600:25767676:-1 gene:B456_007G221700 transcript:KJB43885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLLRPYDKEYMRMAILKHEETFKEQVYELHRLYRIQKTLMKSMESSRPKGNFSLKNNYHHGNINMHQNTRTRLDLEHPAAEGDHRSNNVVAEPDYGRNTILESIDESEIELTLGPTRYVPRKKHGTPRTSDSGPSFSSSSTESSHVKKTSTTGEDFTGNEQMGLFKVTDMTFGYPNGSKNNTDLEEQLRQERLKQPPWLFQVLSMNMT >KJB43887 pep chromosome:Graimondii2_0_v6:7:25764822:25766056:-1 gene:B456_007G221700 transcript:KJB43887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMESSRPKGNFSLKNNYHHGNINMHQNTRTRLDLEHPAAEGDHRSNNVVAEPDYGRNTILESIDESEIELTLGPTRYVPRKKHGTPRTSDSGPSFSSSSTESSHVKKTSTTGEDFTGNEQMGLFKVTDMTFGYPNGSKNNTDLEEQLRQERLKQPPWLFQVLSMNMT >KJB43939 pep chromosome:Graimondii2_0_v6:7:26849908:26854982:1 gene:B456_007G224700 transcript:KJB43939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVEQLCEDSNLPTETMEQCKVNCSKEGKGKRLWKKVKYQLVEYHSLPGYLRDNEYILGHYRSEWPMKQVLLSIFTIHNETLNVWTHLIGFFIFLALTIYTAMKVPKVVDFHSLQHIPDVLRKADLHKLHSELLTCLPSLPNMPGLHKLREELLLLSGWHVRELLYNCLPERFFSGNHTDVCVLQSVKEDVANIIAPLMVRPITRWPFFAFLGGAMFCLLASSTCHLLSCHSERLSYIMLRLDYAGIAALISTSFYPPVYYSFMCDPFFCNLYLGFITILGVSTIIVSLLPVFQNPEFRSIRASLFFGMGMSGIAPILHKLILFWHQPEALLTTGYEVLMGLFYGIGALVYATRIPERWMPGKFDIAGHSHQLFHILVVAGAYTHYRAGLVYLKWRDLNGC >KJB43938 pep chromosome:Graimondii2_0_v6:7:26850179:26854982:1 gene:B456_007G224700 transcript:KJB43938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVEQLCEDSNLPTETMEQCKVNCSKEGKGKRLWKKVKYQLVEYHSLPGYLRDNEYILGHYRSEWPMKQVLLSIFTIHNETLNVWTHLIGFFIFLALTIYTAMKVPKVVDFHSLQHIPDVLRKADLHKLHSELLTCLPSLPNMPGLHKLREELLLLSGWHVRELLYNCLPERFFSGNHTDVCVLQSVKEDVANIIAPLMVRPITRWPFFAFLGGAMFCLLASSTCHLLSCHSERLSYIMLRLDYAGIAALISTSFYPPVYYSFMCDPFFCNLYLGFITILGVSTIIVSLLPVFQNPEFRSIRASLFFGMGMSGIAPILHKLILFWHQPEALLTTGYEVLMGLFYGIGALVYATRIPERWMPGKFDIAGHSHQLFHILVVAGAYTHYRAGLVYLKWRDLNGC >KJB43937 pep chromosome:Graimondii2_0_v6:7:26849863:26855019:1 gene:B456_007G224700 transcript:KJB43937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVEQLCEDSNLPTETMEQCKVNCSKEGKGKRLWKKVKYQLVEYHSLPGYLRDNEYILGHYRSEWPMKQVLLSIFTIHNETLNVWTHLIGFFIFLALTIYTAMKVPKVVDFHSLQHIPDVLRKADLHKLHSELLTCLPSLPNMPGLHKLREELLLLSGWHVRELLYNCLPERFFSGNHTDVCVLQSVKEDVANIIAPLMVRPITRWPFFAFLGGAMFCLLASSTCHLLSCHSERLSYIMLRLDYAGIAALISTSFYPPVYYSFIVSESRVSQHSCIPLLWHGHVRNSTHSSQAHLVLAPA >KJB43936 pep chromosome:Graimondii2_0_v6:7:26849908:26854974:1 gene:B456_007G224700 transcript:KJB43936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVEQLCEDSNLPTETMEQCKVNCSKEGKGKRLWKKVKYQLVEYHSLPGYLRDNEYILGHYRSEWPMKQVLLSIFTIHNETLNVWTHLIGFFIFLALTIYTAMKVPKVVDFHSLQHIPDVLRKADLHKLHSELLTCLPSLPNMPGLHKLREELLLLSGWHVRELLYNCLPERFFSGNHTDVCVLQSVKEDVANIIAPLMVRPITRWPFFAFLGGAMFCLLASSTCHLLSCHSERLSYIMLRLDYAGIAALISTSFYPPVYYSFIVSESRVSQHSCIPLLWHGHVRNSTHSSQAHLVLAPA >KJB44791 pep chromosome:Graimondii2_0_v6:7:46662557:46665961:-1 gene:B456_007G273600 transcript:KJB44791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSAILEQMTAITIDKAIEAWSLVQGAEKEVKRLETNFKALRLELEDAEEKEYVDKRVKHWLDKFRDVSYDMEDVLDEWETAVQQLQTDPSGSASVRKWKVCPFVSCFSSGSQVVRRYNIATKIKKVNEEVDEIVKDKVRFELIKRKIKQPKRPETTSFVDVSELIGRDAMKEEIISILLCDYKCRNVPTITLVGMGGIGKTALAQLIYNDHRIQTHFSKTIWVCASDPFDQTQIARAILGDLDPDSLISLKTPTLQSVLSKITERLTREKFLFVFDDVWTDRDQDWETLKVAFKYGMPGSCILVTTRKESVARRLESPHVVPLQLLSEEMCWLILSQKAFSGRSQASREILEDIGREIANKCKGLPLAAKTIGGLLQDKKGREEWQDVLNNVIWKSSFAHEIFSPLLLSYHDLPSPIRQCLSYCAIFPNSFTIYKDELIQCWMAQGYLNSDGNRRRELKGEDYFKYLATRSFFQDFEKDTSGSIISCKMHDMVHEFVQFLTEHRFVTEVVARNSTLDLSSKRVRHLRLEIPSWDASPLSTCLIEKLRSLVVVSDGLSSGDGLQDLFSRSKLLRFLEFDWLRLRPEQIAVGMKNLIHLRYLSLISCSGLENLPESVCELINLQSLNLRDCLDLKKLAVGMGKLINLRYLCIKECPRLSYYPKGIRHLTSLTRLSGIRMRVDQSDGNEFSIGDLENLDLLGGSLCVELIGDALNWAEANRAKLHNKIHLKRTDIWICSPNIKKEEVLKALNPPSTLLVELFDYQKWLPFNEARIQRMKIREKLIVARIVSRAALKFIADCSSGSGKSTSD >KJB41401 pep chromosome:Graimondii2_0_v6:7:7658686:7661537:-1 gene:B456_007G102900 transcript:KJB41401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKTAHPYPQKAPKNAAVVPQVVGPFQSSSALLESGYTYRPDSSSVLENPIAKGAWSSRSYDSVPPVTVSQRTKDDATFAGPNIAPNCCYSSSKESTPRIWSFGKTIAQGDHRKQSRVVPDFSQVYTFLGSVFDPGASGHLQILKQMDPINVEAVVVLMRNLATNLKSPEFEDQRRLLSTYDAESRGG >KJB41400 pep chromosome:Graimondii2_0_v6:7:7658684:7661530:-1 gene:B456_007G102900 transcript:KJB41400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVSPNPAPGFYFFDPMNMGLPGLSSLPPANAVAPPPPPTAGSPYLEDPSKKIRKPYTITKSRESWTDQERDKFLDALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKAQKNGATEHVPPPRPKRKAAHPYPQKAPKNAAVVPQVVGPFQSSSALLESGYTYRPDSSSVLENPIAKGAWSSRSYDSVPPVTVSQRTKDDATFAGPNIAPNCCYSSSKESTPRIWSFGKTIAQGDHRKQSRVVPDFSQVYTFLGSVFDPGASGHLQILKQMDPINVEAVVVLMRNLATNLKSPEFEDQRRLLSTYDAESRGG >KJB41403 pep chromosome:Graimondii2_0_v6:7:7659196:7661097:-1 gene:B456_007G102900 transcript:KJB41403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFVLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKAQKNGATEHVPPPRPKRKAAHPYPQKAPKNAAVVPQVVGPFQSSSALLESGYTYRPDSSSVLENPIAKGAWSSRSYDSVPPVTVSQRTKDDATFAGPNIAPNCCYSSSKESTPRIWSFGKTIAQGDHRKQSRVVPDFSQVYTFLGSVFDPGASGHLQILKQMDPINVEAVVVLMRNLATNLKSPEFEDQRRLLSTYDAESRGG >KJB41404 pep chromosome:Graimondii2_0_v6:7:7660039:7661530:-1 gene:B456_007G102900 transcript:KJB41404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVSPNPAPGFYFFDPMNMGLPGLSSLPPANAVAPPPPPTAGSPYLEDPSKKIRKPYTITKSRESWTDQERDKFLDALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKAQKNGATEHVPPPRPKRKAAHPYPQKAPKNAAVVPQVVGPFQSSSALLESGYTYRPDSSSVLENPIAKGAWSSRSYDSVPPVTVSQRTKDDATFAGPNIAPNCCYSSSKESTPRIWSFGKTIAQGDHRKQSRG >KJB41402 pep chromosome:Graimondii2_0_v6:7:7658757:7661530:-1 gene:B456_007G102900 transcript:KJB41402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVSPNPAPGFYFFDPMNMGLPGLSSLPPANAVAPPPPPTAGSPYLEDPSKKIRKPYTITKSRESWTDQERDKFLDALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKAQKNGATEHVPPPRPKRKAAHPYPQKAPKNAAVVPQVVGPFQSSSALLESGYTYRPDSSSVLENPIAKGAWSSRSYDSVPPVTVSQRTKDDATFAGPNIAPNCCYSSSKESTPRIWSFGKTIAQGDHRKQSRVVPDFSQVYTFLGSVFDPGASGHLQILKQMDPINVEAVVVLMRNLATNLKSPEFEDQVAVNV >KJB44448 pep chromosome:Graimondii2_0_v6:7:40849899:40850877:-1 gene:B456_007G253600 transcript:KJB44448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGFVRLMLLFLGFSYLLLSCAAVPTTRSLKSNKELPSSVQDLLAQDVMELSDGEEVITEGDGFNGERMLMESTDYPGTGANKNHDPKTPGRA >KJB39631 pep chromosome:Graimondii2_0_v6:7:1631880:1634692:1 gene:B456_007G022500 transcript:KJB39631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAASNRSSSDDITDVTTSLLSSQGSVLRDDSATRGSVRRQSLREAARFLRRASSRRLMREPSMLVRETAAEQLEERHSDWAYSKPVVVLDIIWNFAFVAAAVGVLVLSWNERPSMPLRLWIIGYALQCLLHMVCVCVEYRRRRRQRSMGYRSFNTGEEGALSPRSRVDSEQYVTLAHLEEDGGSVAKHLESANTMFSFIWWIIGFYWVSVGGQAMAGGSPQLYWLCIVFLGFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVADQEGASKEDIDQLPKYKFRKIGNDDKVAGDVQGSIGGVMTECGTDSPMERALSEDDAECCICLSSYDDGVELRELPCGHHFHCACVDKWLHINATCPLCKYNILKSSTHEEV >KJB44555 pep chromosome:Graimondii2_0_v6:7:42466427:42467346:-1 gene:B456_007G259500 transcript:KJB44555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSYQDLEPDCQYKEGEAQDLIEFHVKHFRKDQLKVHFGSNNVLTVSGERPLEGSKWTRFRKEFTIPKDCKANEIRARFSSGFLYITIPKKIAYSQQDSLTPMQRGESASSLPPVEDKGKLKQENNISQSREAAGEGTTGAPTENAISPQARPKWFISKLKMESKTAMKIGASLTVAALLFIVLFYAFKLCDPMIMNV >KJB44076 pep chromosome:Graimondii2_0_v6:7:29700454:29702306:-1 gene:B456_007G233200 transcript:KJB44076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVITTPGYRYCHKVNHCLSASISALSTVKKPMVTTASSSGTPSNAGAFTTIKETVTFEKEIKKSKFIAIAGPISNEQSAHSFLNQVKDPRATHNCWAYKVGDQYRSNDDGEPSGTAGKPIHSAIVSSGLDRIMVVVIRYFGGIKLGTGGLVRAYGGVASECLRNAPTCLVKSKVPMGVEVPFDLLGVLYHQLQSFEVEDIKQDYDTGKDGTTMVSFKVEFDQSEKLEEAIKANCSRELVFYKH >KJB44077 pep chromosome:Graimondii2_0_v6:7:29700454:29702306:-1 gene:B456_007G233200 transcript:KJB44077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVITTPGYRYCHKVNHCLSASISALSTVKKPMVTTASSSGTPSNAGAFTTIKETVTFEKEIKKSKFIAIAGPISNEQSAHSFLNQVKDPRATHNCWAYKVGDQYRSNDDGEPSGTAGKPIHSAIVSSGLDRIMVVVIRYFGGIKLGTGGLVRAYGGVASECLRNAPTCLVKSKVPMGVEVPFDLLGVLYHQLQSFEVEDIKQDYDTGKDGTTMVSFKVEFDQSEKLEEAIKANCSRELVFYKH >KJB44078 pep chromosome:Graimondii2_0_v6:7:29699823:29702373:-1 gene:B456_007G233200 transcript:KJB44078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVITTPGYRYCHKVNHCLSASISALSTVKKPMVTTASSSGTPSNAGAFTTIKETVTFEKEIKKSKFIAIAGPISNEQSAHSFLNQVKDPRATHNCWAYKVGDQYRSNDDGEPSGTAGKPIHSAIVSSGLDRIMVVVIRYFGGIKLGTGGLVRAYGGVASECLRNAPTCLVKSKVPMGVEVPFDLLGVLYHQLQSFEVEDIKQDYDTGKDGTTMVSFKVEFDQSEKLEEAIKANCSRELVFYKH >KJB44079 pep chromosome:Graimondii2_0_v6:7:29699625:29702410:-1 gene:B456_007G233200 transcript:KJB44079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVITTPGYRYCHKVNHCLSASISALSTVKKPMVTTASSSGTPSNAGAFTTIKETVTFEKEIKKSKFIAIAGPISNEQSAHSFLNQVKDPRATHNCWAYKVGDQYRSNDDGEPSGTAGKPIHSAIVSSGLDRIMVVVIRYFGGIKLGTGGLVRAYGGVASECLRNAPTCLVKSKVPMGVEVPFDLLGVLYHQLQSFEVEDIKQDYDTGKDGTTMVSFKVEFDQSEKLEEAIKANCSRELVFYKH >KJB41127 pep chromosome:Graimondii2_0_v6:7:6705184:6712415:-1 gene:B456_007G091900 transcript:KJB41127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGYRNGTGTNKGAIKPPASSNIRSSSFKSRLPPSSNHSTGSALRRTSSASFTTAAGGDGVPGRVRVAVRLRPRNAEESTADADFADCVELQPELKRLKLRKNNWESETYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGEEDTSARGIMVRSMEEILANVSPETDSIFVSYLQLYMETIQDLLDPANDNISIVEDPRTGDVSLPGATHVEIKDEPSFLELLRLGEAHRIAANTKLNTESSRSHAILMVHVKRSVLGEEVAIPSESDKSSHFVKPPKPLVRKSKLVLVDLAGSERVQKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPIRDSKLTRLLRDSFGGTARTSLIVTIGPSPRHRGETASTILFGQRAMKVENMLRIKEEFDYKSLARRLEIQLDKLIAENERQQKAFDDEVERINLEAQNRVSEVERNFTDALEKERLKCQMEYMESVKKLEEKMIENQQKHQHDGFMKDKCNWEGPGFSEDIAEVKKLLEKETHMRKEAEKEVNKLKSQLGQHMDAGAGGDAEILKLQIALEDEVHQKKKLEEEIIILRSQMLQLTFEADQMRRCLARGGSGNAYPGLDSPMSQVRDSLSGHKTPVSALFDQVGVQKILDLLESEDANVRIHAVKVVANLAAEEANQERIVEAGGLTSLLMLLRSYEDETVRRVAAGAIANLAMNEANQELIMVQGGISLLSTTASDAEDPQTLRMVAGAIANLCGNDKLQATLRSEGGIKALLGMVRCRHPDVLSQVARGIANFAKCESRASTNGIRSGRSLLIDEGALPWIVQNANNDAAPIRRHIELALCHLAQHEANAKDMINGGALWELVRITRDCSREDIRSLARRTLNSSLLFRSEMRRLRIEL >KJB41128 pep chromosome:Graimondii2_0_v6:7:6705186:6712262:-1 gene:B456_007G091900 transcript:KJB41128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGYRNGTGTNKGAIKPPASSNIRSSSFKSRLPPSSNHSTGSALRRTSSASFTTAAGGDGVPGRVRVAVRLRPRNAEESTADADFADCVELQPELKRLKLRKNNWESETYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGEEDTSARGIMVRSMEEILANVSPETDSIFVSYLQLYMETIQDLLDPANDNISIVEDPRTGDVSLPGATHVEIKDEPSFLELLRLGEAHRIAANTKLNTESSRSHAILMVHVKRSVLGEEVAIPSESDKSSHFVKPPKPLVRKSKLVLVDLAGSERVQKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPIRDSKLTRLLRDSFGGTARTSLIVTIGPSPRHRGETASTILFGQRVENMLRIKEEFDYKSLARRLEIQLDKLIAENERQQKAFDDEVERINLEAQNRVSEVERNFTDALEKERLKCQMEYMESVKKLEEKMIENQQKHQHDGFMKDKCNWEGPGFSEDIAEVKKLLEKETHMRKEAEKEVNKLKSQLGQHMDAGAGGDAEILKLQIALEDEVHQKKKLEEEIIILRSQMLQLTFEADQMRRCLARGGSGNAYPGLDSPMSQVRDSLSGHKTPVSALFDQVGVQKILDLLESEDANVRIHAVKVVANLAAEEANQERIVEAGGLTSLLMLLRSYEDETVRRVAAGAIANLAMNEANQELIMVQGGISLLSTTASDAEDPQTLRMVAGAIANLCGNDKLQATLRSEGGIKALLGMVRCRHPDVLSQVARGIANFAKCESRASTNGIRSGRSLLIDEGALPWIVQNANNDAAPIRRHIELALCHLAQHEANAKDMINGGALWELVRITRDCSREDIRSLARRTLNSSLLFRSEMRRLRIEL >KJB44016 pep chromosome:Graimondii2_0_v6:7:28134312:28142196:-1 gene:B456_007G229300 transcript:KJB44016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPSSSMDPSPPPSWFTPKRLLIIFCVINMINYVDRGAIASNGVNGSSETCDDKGICKSGSGIQGEFDLTNFQDGLLSSAFMVGLLVASPIFASLAKSYNPFRLIGVGLSVWTLSVAGCASAFSFWFIAICRMLVGVGEASFISLAAPFIDDNAPVSQKTAWLAMFYMCIPTGIALGYVYGGYVGGHFHWRYAFWGEALLMLPFAVFGFLVKPLQLKGFSPVESKRALASVETVSSVTDDSKASKLDGRMLVGGDGIIGDELSKTSKSESIHNILNQLSRFAKDMKVLLVDKVYVVNVFGYIAYNFVIGAYSYWGPKAGYNIYHMKSADMLFGGVTIVCGILGTISGGFILDRMGATISNAFILLSGATFLGAISCFSAFCLRSLYGFIVLFAVGELLVFATQAPVNYVCLHCVRPSLRPLSMAISTVSIHIFGDVPSSPLVGILQDHINNWRDTALILTSILFLAAGIWFIGVFLHAVDKSNDGSQPVSTPRITSRKPLLDGNVDESLCEV >KJB44015 pep chromosome:Graimondii2_0_v6:7:28134822:28141750:-1 gene:B456_007G229300 transcript:KJB44015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPSSSMDPSPPPSWFTPKRLLIIFCVINMINYVDRGAIASNGVNGSSETCDDKGICKSGSGIQGEFDLTNFQDGLLSSAFMVGLLVASPIFASLAKSYNPFRLIGVGLSVWTLSVAGCASAFSFWFIAICRMLVGVGEASFISLAAPFIDDNAPVSQKTAWLAMFYMCIPTGIALGYVYGGYVGGHFHWRYAFWGEALLMLPFAVFGFLVKPLQLKGFSPVESKRALASVETVSSVTDVADSKASKLDGRMLVGGDGIIGDELSKTSKSESIHNILNQLSRFAKDMKVLLVDKVYVVNVFGYIAYNFVIGAYSYWGPKAGYNIYHMKSADMLFGGVTIVCGILGTISGGFILDRMGATISNAFILLSGATFLGAISCFSAFCLRSLYGFIVLFAVGELLVFATQAPVNYVCLHCVRPSLRPLSMAISTVSIHIFGDVPSSPLVGILQDHINNWRDTALILTSILFLAAGIWFIGVFLHAVDKSNDGSQPVSTPRITSRKPLLDGNVDESLCEV >KJB44017 pep chromosome:Graimondii2_0_v6:7:28136446:28141764:-1 gene:B456_007G229300 transcript:KJB44017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPSSSMDPSPPPSWFTPKRLLIIFCVINMINYVDRGAIASNGVNGSSETCDDKGICKSGSGIQGEFDLTNFQDGLLSSAFMVGLLVASPIFASLAKSYNPFRLIGVGLSVWTLSVAGCASAFSFWFIAICRMLVGVGEASFISLAAPFIDDNAPVSQKTAWLAMFYMCIPTGIALGYVYGGYVGGHFHWRYAFWGEALLMLPFAVFGFLVKPLQLKGFSPVESKRALASVETVSSVTDVADSKASKLDGRMLVGGDGIIGDELSKTSKSESIHNILNQLSRFAKDMKVLLVDKVYVVNVFGYIAYNFVIGAYSYWGPKAGYNIYHMKSADMLFGGVTIVCGILGTISGGFILDRMGATISNAFILLSGATFLGAISCFSAFCLRSLYGFIVLFAVGELLVFATQVI >KJB44014 pep chromosome:Graimondii2_0_v6:7:28134822:28141750:-1 gene:B456_007G229300 transcript:KJB44014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPSSSMDPSPPPSWFTPKRLLIIFCVINMINYVDRGAIASNGVNGSSETCDDKGICKSGSGIQGEFDLTNFQDGLLSSAFMVGLLVASPIFASLAKSYNPFRLIGVGLSVWTLSVAGCASAFSFWFIAICRMLVGVGEASFISLAAPFIDDNAPVSQKTAWLAMFYMCIPTGIALGYVYGGYVGGHFHWRYAFWGEALLMLPFAVFGFLVKPLQLKGFSPVESKRALASVETVSSVTDVADSKASKLDGRMLVGGDGIIGDELSKTSKSESIHNILNQLSRFAKDMKVLLVDKVYVVNVFGYIAYNFVIGAYSYWGPKAGYNIYHMKSADMLFGGVTIVCGILGTISGGFILDRMGATISNAFILLSGATFLGAISCFSAFCLRSLYGFIVLFAVGELLVFATQAPVNYVCLHCVRPSLRPLSMAISTVSIHIFGDVPSSPLVGILQDHINNWRDTALILTSILFLAAGIWFIGVFLHAVDKSNDGSQPVSTPRITSRKPLLDGNVDESLCEV >KJB44013 pep chromosome:Graimondii2_0_v6:7:28133626:28142196:-1 gene:B456_007G229300 transcript:KJB44013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPSSSMDPSPPPSWFTPKRLLIIFCVINMINYVDRGAIASNGVNGSSETCDDKGICKSGSGIQGEFDLTNFQDGLLSSAFMVGLLVASPIFASLAKSYNPFRLIGVGLSVWTLSVAGCASAFSFWFIAICRMLVGVGEASFISLAAPFIDDNAPVSQKTAWLAMFYMCIPTGIALGYVYGGYVGGHFHWRYAFWGEALLMLPFAVFGFLVKPLQLKGFSPVESKRALASVETVSSVTDDSKASKLDGRMLVGGDGIIGDELSKTSKSESIHNILNQLSRFAKDMKVLLVDKVYVVNVFGYIAYNFVIGAYSYWGPKAGYNIYHMKSADMLFGGVTIVCGILGTISGGFILDRMGATISNAFILLSGATFLGAISCFSAFCLRSLYGFIVLFAVGELLVFATQAPVNYVCLHCVRPSLRPLSMAISTVSIHIFGDVPSSPLVGILQDHINNWRDTALILTSILFLAAGIWFIGVFLHAVDKSNDGSQPVSTPRITSRKPLLDGNVDESLCEV >KJB45262 pep chromosome:Graimondii2_0_v6:7:50849047:50850202:-1 gene:B456_007G298000 transcript:KJB45262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQNDIDLLNPPAELEKKKHKLKRLVQTPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEGCSFRKKGD >KJB45261 pep chromosome:Graimondii2_0_v6:7:50848799:50850154:-1 gene:B456_007G298000 transcript:KJB45261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQNDIDLLNPPAELEKKKHKLKRLVQTPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGDKL >KJB44349 pep chromosome:Graimondii2_0_v6:7:38317145:38318900:-1 gene:B456_007G247500 transcript:KJB44349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATAAVIGLSTGKRLLSSSFSYSETVDKLSYAGDYGSSYYQTPSTKTLMVAKRSSNCSQNLPSSNRHTQSIKAFKEHVDTASSISTVEPWIHGTNDLEQESYDLDYSVEALLLLQKSMLEKQWTLSFERTMFTESPSRKTHNKVPVTCSGVSARQRRFSTKRKILSQNKSMIQPNAKQLRSLIGPELLQNRLKGYVKGVVSEDLLSHAEVVRLSRKIKAGLSLEEHRLRLKERLGCEPSDEQLATSLKVSRAELRSRSIECSLAREKLAMSNVRLVMSIAQRYDNMGAEMSDLIQGGLIGLLRGIEKFDSSKGYKISTYVYWWIRQGVSRALVENSRTLRLPTYLHERLGLIRNAKYRLEEKGITPTIDSLLYASSQVSYSLNEPSFKYLILLYFQRIAESLNMSQKKVRNATEV >KJB44352 pep chromosome:Graimondii2_0_v6:7:38316766:38318900:-1 gene:B456_007G247500 transcript:KJB44352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATAAVIGLSTGKRLLSSSFSYSETVDKLSYAGDYGSSYYQTPSTKTLMVAKRSSNCSQNLPSSNRHTQSIKAFKEHVDTASSISTVEPWIHGTNDLEQESYDLDYSVEALLLLQKSMLEKQWTLSFERTMFTESPSRKTHNKVPVTCSGVSARQRRFSTKRKILSQNKSMIQPNAKQLRSLIGPELLQNRLKGYVKGVVSEDLLSHAEVVRLSRKIKAGLSLEEHRLRLKERLGCEPSDEQLATSLKVSRAELRSRSIECSLAREKLAMSNVRLVMSIAQRYDNMGAEMSDLIQGGLIGLLRGIEKFDSSKGYKISTYVYWWIRQGVSRALVENSRTLRLPTYLHERLGLIRNAKYRLEEKGITPTIDRIAESLNMSQKKVRNATEAVSKVFSLDRDAFPSLNGLPGETHHSYIADNHVENNPWHGVDEWALKVNILDTVRREAHSACYFR >KJB44350 pep chromosome:Graimondii2_0_v6:7:38315766:38319959:-1 gene:B456_007G247500 transcript:KJB44350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATAAVIGLSTGKRLLSSSFSYSETVDKLSYAGDYGSSYYQTPSTKTLMVAKRSSNCSQNLPSSNRHTQSIKAFKEHVDTASSISTVEPWIHGTNDLEQESYDLDYSVEALLLLQKSMLEKQWTLSFERTMFTESPSRKTHNKVPVTCSGVSARQRRFSTKRKILSQNKSMIQPNAKQLRSLIGPELLQNRLKGYVKGVVSEDLLSHAEVVRLSRKIKAGLSLEEHRLRLKERLGCEPSDEQLATSLKVSRAELRSRSIECSLAREKLAMSNVRLVMSIAQRYDNMGAEMSDLIQGGLIGLLRGIEKFDSSKGYKISTYVYWWIRQGVSRALVENSRTLRLPTYLHERLGLIRNAKYRLEEKGITPTIDRIAESLNMSQKKVRNATEAVSKVFSLDRDAFPSLNGLPGETHHSYIADNHVENNPWHGVDEWALKDEVNRLINITLGEREREIIRLYHGLDKESLTWEDISKRIGLSRERVRQVGLVALEKLKHAARKKKMEAMLVKH >KJB44353 pep chromosome:Graimondii2_0_v6:7:38316766:38318900:-1 gene:B456_007G247500 transcript:KJB44353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATAAVIGLSTGKRLLSSSFSYSETVDKLSYAGDYGSSYYQTPSTKTLMVAKRSSNCSQNLPSSNRHTQSIKAFKEHVDTASSISTVEPWIHGTNDLEQESYDLDYSVEALLLLQKSMLEKQWTLSFERTMFTESPSRKTHNKVPVTCSGVSARQRRFSTKRKILSQNKSMIQPNAKQLRSLIGPELLQNRLKGYVKGVVSEDLLSHAEVVRLSRKIKAGLSLEEHRLRLKERLGCEPSDEQLATSLKVSRAELRSRSIECSLAREKLAMSNVRLVMSIAQRYDNMGAEMSDLIQGGLIGLLRGIEKFDSSKGYKISTYVYWWIRQGVSRALVENSRTLRLPTYLHERLGLIRNAKYRLEEKGITPTIDRIAESLNMSQKKVRNATEAVSKVFSLDRDAFPSLNGLPGETHHSYIADNHVENNPWHGVDEWALKVNILDTVRREAHSACYFR >KJB44354 pep chromosome:Graimondii2_0_v6:7:38315766:38319959:-1 gene:B456_007G247500 transcript:KJB44354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATAAVIGLSTGKRLLSSSFSYSETVDKLSYAGDYGSSYYQTPSTKTLMVAKRSSNCSQNLPSSNRHTQSIKAFKEHVDTASSISTVEPWIHGTNDLEQESYDLDYSVEALLLLQKSMLEKQWTLSFERTMFTESPSRKTHNKVPVTCSGVSARQRRFSTKRKILSQNKSMIQPNAKQLRSLIGPELLQNRLKGYVKGVVSEDLLSHAEVVRLSRKIKAGLSLEEHRLRLKERLGCEPSDEQLATSLKVSRAELRSRSIECSLAREKLAMSNVRLVMSIAQRYDNMGAEMSDLIQGGLIGLLRGIEKFDSSKGYKISTYVYWWIRQGVSRALVENSRTLRLPTYLHERLGLIRNAKYRLEEKGITPTIDSLLYASSQVSYSLNEPSFKYLILLYFQRIAESLNMSQKKVRNATEAVSKVFSLDRDAFPSLNGLPGETHHSYIADNHVENNPWHGVDEWALKDEVNRLINITLGEREREIIRLYHGLDKESLTWEDISKRIGLSRERVRQVGLVALEKLKHAARKKKMEAMLVKH >KJB44351 pep chromosome:Graimondii2_0_v6:7:38317145:38318900:-1 gene:B456_007G247500 transcript:KJB44351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATAAVIGLSTGKRLLSSSFSYSETVDKLSYAGDYGSSYYQTPSTKTLMVAKRSSNCSQNLPSSNRHTQSIKAFKEHVDTASSISTVEPWIHGTNDLEQESYDLDYSVEALLLLQKSMLEKQWTLSFERTMFTESPSRKTHNKVPVTCSGVSARQRRFSTKRKILSQNKSMIQPNAKQLRSLIGPELLQNRLKGYVKGVVSEDLLSHAEVVRLSRKIKAGLSLEEHRLRLKERLGCEPSDEQLATSLKVSRAELRSRSIECSLAREKLAMSNVRLVMSIAQRYDNMGAEMSDLIQGGLIGLLRGIEKFDSSKGYKISTYVYWWIRQGVSRALVENSRTLRLPTYLHERLGLIRNAKYRLEEKGITPTIDRIAESLNMSQKKVRNATEV >KJB38918 pep chromosome:Graimondii2_0_v6:7:468246:468602:-1 gene:B456_007G005800 transcript:KJB38918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKRRNIVPANRRAESTVQPQKHQSMPPQHEQQSLEQLAFEVQGPNKKGHGGCVGAVASSSRLRLVLRIFNQCKEMKNERT >KJB38919 pep chromosome:Graimondii2_0_v6:7:467928:468669:-1 gene:B456_007G005800 transcript:KJB38919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKRRNIVPANRRAESTVQPQKHQSMPPQHEQQSLEQLAFGAVASSSRLRLVLRIFNQCKEMKNERT >KJB44090 pep chromosome:Graimondii2_0_v6:7:29958851:29961642:1 gene:B456_007G233600 transcript:KJB44090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLDCSASNLLCTENTSSRFDGDRDFNAINEFGFSPDCHHHLKNQIFNQRHRFLINNKSTSLMGSSGFAIQSDDRIKEMIEKEIEHSPRDDYLKRLRSGDLDLSVRKEALDWIWKTCAYYQFGPLSLCLSINYLDRFLSVYELPRGKTWTVQLLAVACLSIAAKMEETKVPPSVDLQVGEPRFVFEAKTIYRMELLVLSTLKWRMQVITPCSFIDYFMSKICNDQCASSTSMSRSLQLIISTIRGIDFLEFRPSEIAAAVAISVSGGKQTFALDKAIPRFISVEKGRVLKCVEVMKDFSLIKGPAKVNADVGRLSASSAVPQSPIGVLDAATCLSCKSDEIKVVPCANSSHSSTPDIKKRKLDHHHHHNN >KJB44091 pep chromosome:Graimondii2_0_v6:7:29959140:29961134:1 gene:B456_007G233600 transcript:KJB44091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLDCSASNLLCTENTSSRFDGDRDFNAINEFGFSPDCHHHLKNQIFNQRHRFLINNKSTSLMGSSGFAIQSDDRIKEMIEKEIEHSPRDDYLKRLRSGDLDLSVRKEALDWIWKTCAYYQFGPLSLCLSINYLDRFLSVYELPRGKTWTVQLLAVACLSIAAKMEETKVPPSVDLQVGEPRFVFEAKTIYRMELLVLSTLKWRMQVITPCSFIDYFMSKICNDQCASSTSMSRSLQLIISTIRGFYLTVYFIPCVTSFLLFRINGV >KJB44092 pep chromosome:Graimondii2_0_v6:7:29959140:29961145:1 gene:B456_007G233600 transcript:KJB44092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLDCSASNLLCTENTSSRFDGDRDFNAINEFGFSPDCHHHLKNQIFNQRHRFLINNKSTSLMGSSGFAIQSDDRIKEMIEKEIEHSPRDDYLKRLRSGDLDLSVRKEALDWIWKTCAYYQFGPLSLCLSINYLDRFLSVYELPRGKTWTVQLLAVACLSIAAKMEETKVPPSVDLQVGEPRFVFEAKTIYRMELLVLSTLKWRMQVITPCSFIDYFMSKICNDQCASSTSMSRSLQLIISTIRGIDFLEFRPSEIAAAVAISVSGGKQTFALDKAIPRFISVEKVKKKEEKTIRKWCPHE >KJB44514 pep chromosome:Graimondii2_0_v6:7:41749903:41756781:-1 gene:B456_007G256900 transcript:KJB44514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDYDDQITMEAQSQPSENSQILQTLALGPLSSSLSSLSSSSPTLPSNQDFHFFNNFSDFKLPIDQIAKTSDSLLESIGASAKTWGANKAINFPSNLDSIADDEAYDWLVDINDELLERFDVSIDEFHKIRKKEEETGRFIGSDPDNNGFQLVYGKKKKINGGLVSDSVGVSVSGKEGGFSGSSGVKVKKEALATGTTGKAKVPFHIPTIRKPQEEYNILVNNSNQPFEHVWLQRSEDGQRFVHPLENLSVMDFVEKDIADIQPIKPPSMESTSFKLVEEVKDLKDLAAKLRGVEEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIHVGPYLREVFKDPTKKKVMHGADRDIVWLQRDFGIYVCNLFDTGQASKVLKLERNSLEHLLQHFCGVTANKEYQNADWILRPLPDEMLRYAREDTHYLLYIYDLMRIKLFSMPQEGEHLDAPLVEVYKRSSDVCTQLYGKELLTENSYLHIHGLQVAGFNAEQLAVVAGLCEWRDIIARAEDESTGYVLPNKTLLEIAKQMPVAAHKLRQLLKSRHPYVERNLGAVVSIIRHAMQNAVAFEAAAQQLKMGRMLNASEQHIAAKEGAEVLIPVTPTDFKTANDRTRIIDDAVVGPDGISAQSASLQHKHDSIKIGSSITQLDRDKKQEGFSFEPHVNGSSMYARENLVISGKSGDANAHTVIPPSTKMATGATIQVLKKPSRGFGALLGNASTKMKFDMEKKVKGR >KJB44513 pep chromosome:Graimondii2_0_v6:7:41748981:41756887:-1 gene:B456_007G256900 transcript:KJB44513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDYDDQITMEAQSQPSENSQILQTLALGPLSSSLSSLSSSSPTLPSNQDFHFFNNFSDFKLPIDQIAKTSDSLLESIGASAKTWGANKAINFPSNLDSIADDEAYDWLVDINDELLERFDVSIDEFHKIRKKEEETGRFIGSDPDNNGFQLVYGKKKKINGGLVSDSVGVSVSGKEGGFSGSSGVKVKKEALATGTTGKAKVPFHIPTIRKPQEEYNILVNNSNQPFEHVWLQRSEDGQRFVHPLENLSVMDFVEKDIADIQPIKPPSMESTSFKLVEEVKDLKDLAAKLRGVEEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIHVGPYLREVFKDPTKKKVMHGADRDIVWLQRDFGIYVCNLFDTGQASKVLKLERNSLEHLLQHFCGVTANKEYQNADWILRPLPDEMLRYAREDTHYLLYIYDLMRIKLFSMPQEGEHLDAPLVEVYKRSSDVCTQLYGKELLTENSYLHIHGLQVAGFNAEQLAVVAGLCEWRDIIARAEDESTGYVLPNKTLLEIAKQMPVAAHKLRQLLKSRHPYVERNLGAVVSIIRHAMQNAVAFEAAAQQLKMGRMLNASEQHIAAKEGAEVLIPVTPTDFKTANDRTRIIDDAVVGPDGISAQSASLQHKHDSIKIGSSITQLDRDKKQEGFSFEPHVNGSSMYARENLVISGKSGDANAHTVIPPSTKMATGATIQVLKKPSRGFGALLGNASTKMKFDMEKKEKEDSKLAQIRSSVNLSFHSFSGTAEQSKPPVNEPTKFPEAPQPKEPPAVVATESSTLEDIIMLEDNSRKDEQVDGSGSPEVNDTPGKESCMAPSSETEKEDETMSLSDLSTSFQQCFESMNQNRKAVKVKKSKEASGVLQIKPFDYEAARKEIKFGEDAETESGSHAKSGGKKKSSAMGRLQIDDGSKQFPQARRRQAFPASGNRSATFR >KJB44515 pep chromosome:Graimondii2_0_v6:7:41749054:41756781:-1 gene:B456_007G256900 transcript:KJB44515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDYDDQITMEAQSQPSENSQILQTLALGPLSSSLSSLSSSSPTLPSNQDFHFFNNFSDFKLPIDQIAKTSDSLLESIGASAKTWGANKAINFPSNLDSIADDEAYDWLVDINDELLERFDVSIDEFHKIRKKEEETGRFIGSDPDNNGFQLVYGKKKKINGGLVSDSVGVSVSGKEGGFSGSSGVKVKKEALATGTTGKAKVPFHIPTIRKPQEEYNILVNNSNQPFEHVWLQRSEDGQRFVHPLENLSVMDFVEKDIADIQPIKPPSMESTSFKLVEEVKDLKDLAAKLRGVEEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIHVGPYLREVFKDPTKKKVMHGADRDIVWLQRDFGIYVCNLFDTGQASKVLKLERNSLEHLLQHFCGVTANKEYQNADWILRPLPDEMLRYAREDTHYLLYIYDLMRIKLFSMPQEGEHLDAPLVEVYKRSSDVCTQLYGKELLTENSYLHIHGLQVAGFNAEQLAVVAGLCEWRDIIARAEDESTGYVLPNKTLLEIAKQMPVAAHKLRQLLKSRHPYVERNLGAVVSIIRHAMQNAVAFEAAAQQLKMGRMLNASEQHIAAKEGAEVLIPVTPTDFKTANDRTRIIDDAVVGPDGISAQSASLQHKHDSIKIGSSITQLDRDKKQEGFSFEPHVNGSSMYARENLVISGKSGDANAHTVIPPSTKMATGATIQVLKKPSRGFGALLGNASTKMKFDMEKKVRR >KJB44516 pep chromosome:Graimondii2_0_v6:7:41750221:41756781:-1 gene:B456_007G256900 transcript:KJB44516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDYDDQITMEAQSQPSENSQILQTLALGPLSSSLSSLSSSSPTLPSNQDFHFFNNFSDFKLPIDQIAKTSDSLLESIGASAKTWGANKAINFPSNLDSIADDEAYDWLVDINDELLERFDVSIDEFHKIRKKEEETGRFIGSDPDNNGFQLVYGKKKKINGGLVSDSVGVSVSGKEGGFSGSSGVKVKKEALATGTTGKAKVPFHIPTIRKPQEEYNILVNNSNQPFEHVWLQRSEDGQRFVHPLENLSVMDFVEKDIADIQPIKPPSMESTSFKLVEEVKDLKDLAAKLRGVEEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIHVGPYLREVFKDPTKKKVMHGADRDIVWLQRDFGIYVCNLFDTGQASKVLKLERNSLEHLLQHFCGVTANKEYQNADWILRPLPDEMLRYAREDTHYLLYIYDLMRIKLFSMPQEGEHLDAPLVEVYKRSSDVCTQLYGKELLTENSYLHIHGLQVAGFNAEQLAVVAGLCEWRDIIARAEDESTGYVLPNKTLLEIAKQMPVAAHKLRQLLKSRHPYVERNLGAVVSIIRHAMQNAVAFEAAAQQLKMGRMLNASEQHIAAKEGAEVLIPVTPTDFKTANDRTRIIDDAVVGPDGISAQSASLQHKHDSIKIGSSITQLDRDKKQEGFSFEPHVNGSSMYARENLVISGKSGDANAHTVIPPSTKMVSC >KJB44570 pep chromosome:Graimondii2_0_v6:7:42610713:42611186:1 gene:B456_007G259800 transcript:KJB44570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKNIPRTSYNPPFSENQKFKEPSTIPRGERSFSDNIGAKELKIFDELKPKKTNAKRSNLICQSPPPVPLPLVHPQSKIFLQKIGLPF >KJB44569 pep chromosome:Graimondii2_0_v6:7:42610713:42612181:1 gene:B456_007G259800 transcript:KJB44569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKNIPRTSYNPPFSENQKFKEPSTIPRGERSFSDNIGAKELKIFDELKPKKTNAKRSNLICQSPPPVPLPLVHPQSKIFLQKIGLPF >KJB44568 pep chromosome:Graimondii2_0_v6:7:42610713:42612181:1 gene:B456_007G259800 transcript:KJB44568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKNIPRTSYNPPFSENQKFKEPSTIPRGERSFSDNIGAKELKIFDELKPKKTNAKRSNLICQSPPPVPLPLVHPQSKIFLQKIGLPF >KJB45686 pep chromosome:Graimondii2_0_v6:7:53621638:53621925:1 gene:B456_007G320600 transcript:KJB45686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESVLLALLLINALTFSQLNLAVEAAESVPLSPSDDFSKELKALSRRSRRITPPSPRINAPVHFKSPPPSPRPPPPPRSPPPPCLWRPPTAFG >KJB40907 pep chromosome:Graimondii2_0_v6:7:6867409:6872595:-1 gene:B456_007G093800 transcript:KJB40907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQEEVLAAENAMLCEKLKCRVCQYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40906 pep chromosome:Graimondii2_0_v6:7:6867113:6873150:-1 gene:B456_007G093800 transcript:KJB40906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQHLKTEAEKMLKKIELLEVSRRKLLGENLGSCTLEELQQIEQQLERSVTRVRARKAKVFKDQIEKLKEKEEVLAAENAMLCEKYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40905 pep chromosome:Graimondii2_0_v6:7:6867409:6872595:-1 gene:B456_007G093800 transcript:KJB40905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQVKHLKTEAEKMLKKIELLEVSRRKLLGENLGSCTLEELQQIEQQLERSVTRVRARKAKVFKDQIEKLKEKEEVLAAENAMLCEKYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40908 pep chromosome:Graimondii2_0_v6:7:6867124:6873150:-1 gene:B456_007G093800 transcript:KJB40908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQEEVLAAENAMLCEKYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40902 pep chromosome:Graimondii2_0_v6:7:6867113:6873661:-1 gene:B456_007G093800 transcript:KJB40902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQHLKTEAEKMLKKIELLEVSRRKLLGENLGSCTLEELQQIEQQLERSVTRVRARKAKVFKDQIEKLKEKEEVLAAENAMLCEKYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40896 pep chromosome:Graimondii2_0_v6:7:6867409:6872595:-1 gene:B456_007G093800 transcript:KJB40896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQEEVLAAENAMLCEKLKCRVCQYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40904 pep chromosome:Graimondii2_0_v6:7:6867113:6873661:-1 gene:B456_007G093800 transcript:KJB40904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQVKHLKTEAEKMLKKIELLEVSRRKLLGENLGSCTLEELQQIEQQLERSVTRVRARKAKVFKDQIEKLKEKEEVLAAENAMLCEKYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40898 pep chromosome:Graimondii2_0_v6:7:6867409:6872595:-1 gene:B456_007G093800 transcript:KJB40898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQTEAEKMLKKIELLEVSRRKLLGENLGSCTLEELQQIEQQLERSVTRVRARKAKVFKDQIEKLKEKEEVLAAENAMLCEKLKCRVCQYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40900 pep chromosome:Graimondii2_0_v6:7:6867111:6873155:-1 gene:B456_007G093800 transcript:KJB40900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQEEVLAAENAMLCEKYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40895 pep chromosome:Graimondii2_0_v6:7:6867111:6873155:-1 gene:B456_007G093800 transcript:KJB40895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQHLKTEAEKMLKKIELLEVSRRKLLGENLGSCTLEELQQIEQQLERSVTRVRARKAKVFKDQIEKLKEKEEVLAAENAMLCEKYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40901 pep chromosome:Graimondii2_0_v6:7:6867409:6872595:-1 gene:B456_007G093800 transcript:KJB40901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQHLKTEAEKMLKKIELLEVSRRKLLGENLGSCTLEELQQIEQQLERSVTRVRARKAKVFKDQIEKLKEKEEVLAAENAMLCEKLKCRVCQYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40899 pep chromosome:Graimondii2_0_v6:7:6867124:6873150:-1 gene:B456_007G093800 transcript:KJB40899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQTEAEKMLKKIELLEVSRRKLLGENLGSCTLEELQQIEQQLERSVTRVRARKAKVFKDQIEKLKEKEEVLAAENAMLCEKYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40912 pep chromosome:Graimondii2_0_v6:7:6867409:6872595:-1 gene:B456_007G093800 transcript:KJB40912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQVKHLKTEAEKMLKKIELLEVSRRKLLGENLGSCTLEELQQIEQQLERSVTRVRARKAKVFKDQIEKLKEKEEVLAAENAMLCEKYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40909 pep chromosome:Graimondii2_0_v6:7:6867111:6873155:-1 gene:B456_007G093800 transcript:KJB40909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQTEAEKMLKKIELLEVSRRKLLGENLGSCTLEELQQIEQQLERSVTRVRARKAKVFKDQIEKLKEKEEVLAAENAMLCEKYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40910 pep chromosome:Graimondii2_0_v6:7:6867141:6873124:-1 gene:B456_007G093800 transcript:KJB40910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQVKHLKTEAEKMLKKIELLEVSRRKLLGENLGSCTLEELQQIEQQLERSVTRVRARKAKVFKDQIEKLKEKEEVLAAENAMLCEKYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40911 pep chromosome:Graimondii2_0_v6:7:6867141:6873124:-1 gene:B456_007G093800 transcript:KJB40911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQHLKTEAEKMLKKIELLEVSRRKLLGENLGSCTLEELQQIEQQLERSVTRVRARKAKVFKDQIEKLKEKEEVLAAENAMLCEKYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40897 pep chromosome:Graimondii2_0_v6:7:6867409:6872595:-1 gene:B456_007G093800 transcript:KJB40897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQHLKTEAEKMLKKIELLEVSRRKLLGENLGSCTLEELQQIEQQLERSVTRVRARKAKVFKDQIEKLKEKEEVLAAENAMLCEKLKCRVCQYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB40903 pep chromosome:Graimondii2_0_v6:7:6867113:6873150:-1 gene:B456_007G093800 transcript:KJB40903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDVEVALIIFSPRGKPYEFASSSMQETIERYLRHTKDNRVKPTEQSMQTEAEKMLKKIELLEVSRRKLLGENLGSCTLEELQQIEQQLERSVTRVRARKAKVFKDQIEKLKEKEEVLAAENAMLCEKLKCRVCQYGMLPGKGSKEVNENEEANDESSPSSDVETELFIGLPEGRAKRIVQPNSTD >KJB46174 pep chromosome:Graimondii2_0_v6:7:58147276:58151637:1 gene:B456_007G351300 transcript:KJB46174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTEEEQRLSSNVSSEVSVVESSSTISTRFVVCGSKNTLFGLRCFFVLLFSLAIFLSALFWLPPFLHSSDHSDLDLDSRFKDHDIVASFKVEKPVSFLGDNILQLENDIFDEIDFRTSKVVILSLEPLTESNVTKVVFGVDPDARYSKISPTSLSLIKSSFEYLVIHQASLSLTKSLFGDSYFFEVLKFPGGITVIPRQSAFLLQKVQIHFNFTLNFSIYQIQLYFDELRSQLKSGLHLAPNENLYIILSNSKGSTAAPPTIVQSKVLLAVGNSPSTPRLKQLAQTITGSHSKNLGLNHTVFGKVKQVRLSSILQHSLHGGDSSSNSPAPSPHPVHSNHHHHHHHHHHHHHHHHHHSADLAPAVAPTTSTEQGAAPAPEAHSPTPETVSPASQRHNKANPPGSQHGNKRIKGKPREGPNLAPVATPKVSPHHSAVPPNVHPSALAPKPKHRPITYLAPTSSPLPNVAFAHAKPPSKSEPNKEDPDRIPSVSPSASAPFCESSNYAMAAIFPISYYYFTFITTGYINLFSSYNHFKTV >KJB46175 pep chromosome:Graimondii2_0_v6:7:58147276:58151640:1 gene:B456_007G351300 transcript:KJB46175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTEEEQRLSSNVSSEVSVVESSSTISTRFVVCGSKNTLFGLRCFFVLLFSLAIFLSALFWLPPFLHSSDHSDLDLDSRFKDHDIVASFKVEKPVSFLGDNILQLENDIFDEIDFRTSKVVILSLEPLTESNVTKVVFGVDPDARSQLKSGLHLAPNENLYIILSNSKGSTAAPPTIVQSKVLLAVGNSPSTPRLKQLAQTITGSHSKNLGLNHTVFGKVKQVRLSSILQHSLHGGDSSSNSPAPSPHPVHSNHHHHHHHHHHHHHHHHHHSADLAPAVAPTTSTEQGAAPAPEAHSPTPETVSPASQRHNKANPPGSQHGNKRIKGKPREGPNLAPVATPKVSPHHSAVPPNVHPSALAPKPKHRPITYLAPTSSPLPNVAFAHAKPPSKSEPNKEDPDRIPSVSPSASAPFCLPTMRWLLSFLLAIITLHL >KJB46173 pep chromosome:Graimondii2_0_v6:7:58147073:58151673:1 gene:B456_007G351300 transcript:KJB46173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTEEEQRLSSNVSSEVSVVESSSTISTRFVVCGSKNTLFGLRCFFVLLFSLAIFLSALFWLPPFLHSSDHSDLDLDSRFKDHDIVASFKVEKPVSFLGDNILQLENDIFDEIDFRTSKVVILSLEPLTESNVTKVVFGVDPDARYSKISPTSLSLIKSSFEYLVIHQASLSLTKSLFGDSYFFEVLKFPGGITVIPRQSAFLLQKVQIHFNFTLNFSIYQIQLYFDELRSQLKSGLHLAPNENLYIILSNSKGSTAAPPTIVQSKVLLAVGNSPSTPRLKQLAQTITGSHSKNLGLNHTVFGKVKQVRLSSILQHSLHGGDSSSNSPAPSPHPVHSNHHHHHHHHHHHHHHHHHHSADLAPAVAPTTSTEQGAAPAPEAHSPTPETVSPASQRHNKANPPGSQHGNKRIKGKPREGPNLAPVATPKVSPHHSAVPPNVHPSALAPKPKHRPITYLAPTSSPLPNVAFAHAKPPSKSEPNKEDPDRIPSVSPSASAPFCLPTMRWLLSFLLAIITLHL >KJB42380 pep chromosome:Graimondii2_0_v6:7:12706614:12709234:-1 gene:B456_007G150100 transcript:KJB42380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan galactosyltransferase MUR3 [Source:Projected from Arabidopsis thaliana (AT2G20370) UniProtKB/Swiss-Prot;Acc:Q7XJ98] MRRRPVALAPFEAMEKGSPKNQQTRLCFLASLSAFFWILLLYFHFVVLGRSTTIEDSVPSPPFKLVSPIVNVESIPARVTKEKPPVVKPVMNTAAEKVVAYPFMRALRTVENKSDPCGGRYIYVHNLPPRFNEDMLKECKSLSLWTNMCKFTSNEGLGPPLENVEGVFENTGWYATNQFAVDVIFNNRMKHYECLTNDSSIAAAIFVPFYAGFDIARYLWGYNISRRDAASLDLVDWLMKRPEWGIMGGKDHFLVAGRITWDFRRLTEEESDWGNKLLFLPAARSMSMLVVESSPWNANDFGIPYPTYFHPAKDEEVFVWQDRMRNLERKWLFSFAGAPRPGNPKSIRGQIIDQCRQSKVCKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTTYSVFIPEDDIRKRNVSIEERLSQISPEQVKIMREAVINLIPRLIYADPRSKLETLRDAFDVAVDAVINKVTKLRRNIIQGRTEYDNFVEENSWKYDLLDEGQREVGAHEWDPFFSKPKDERRDQSAKAAKNSWKNEQRDQS >KJB40529 pep chromosome:Graimondii2_0_v6:7:7926304:7930442:1 gene:B456_007G105500 transcript:KJB40529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKFAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEISHMYKTDRAKYESTARIWTQKYAMG >KJB40528 pep chromosome:Graimondii2_0_v6:7:7926628:7930301:1 gene:B456_007G105500 transcript:KJB40528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKFAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEISHMYKTDRAKYESTARIWTQKYAMG >KJB39421 pep chromosome:Graimondii2_0_v6:7:998701:1001279:-1 gene:B456_007G012600 transcript:KJB39421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKACNKVRPGVRKAKKKQVKDELDRIKQAEKKKRRLEKALATSAAIRSELEKKKQQKKEEQQRLDEEGAAIAEAVALHVLLGEDTDDVGNFDLFINGGSGPCLPHQVHSKGLVTNASYRAGCEWAMFGNSDWSFSCGDYGRDMIEPCFEDSVWGTAGLSAGLIAAQAVSALQITENSEVNTVVLNGMLRGYD >KJB39420 pep chromosome:Graimondii2_0_v6:7:999050:999634:-1 gene:B456_007G012600 transcript:KJB39420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKACNKVRPGVRKAKKKQVKDELDRIKQAEKKKRRLEKALATSAAIRSELEKKKQQKKEEQQRLDEEGAAIAEAVALHVLLGEDTDDVGNFDLFINGGSGPCLPHQVHSKGLVTNASYRAGCEWAMFGNSDWSFSCGDYGRDMIEPCFEDSVWGTAGLSAGLIAAQAVSALQITENSEVNTVVLNGMLRGYD >KJB41111 pep chromosome:Graimondii2_0_v6:7:6602616:6605959:-1 gene:B456_007G090900 transcript:KJB41111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGATMAWNVFKFCTALRALGSIMILLVLGVVGVTYYSVVFTNYGPALYDGGFNSLTAAVILFFFHCFLIMLLWSYFSVVLTDPGSVPPNWRPAMDEERGEADPLNGSEFNGSQPDPLNQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGAQNYKYFLLFLFYTFLETSLVTMALLPHFIAFFSDGEIPGTPGTLATTFLAFVLNLAFALSVLGFLIMHISLVSANTTTIEAYEKKSTPKWRYDLGRKKNFEQVFGTDKLYWFIPGYSDEDLRRMPALQGLEYPSKPDFDSQEF >KJB41112 pep chromosome:Graimondii2_0_v6:7:6602623:6605979:-1 gene:B456_007G090900 transcript:KJB41112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGATMAWNVFKFCTALRALGSIMILLVLGVVGVTYYSVVFTNYGPALYDGGFNSLTAAVILFFFHCFLIMLLWSYFSVVLTDPGSVPPNWRPAMDEERGEADPLNGSEFNGSQPDPLNQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGAQNYKYFLLFLFYTFLETSLVTMALLPHFIAFFSDGEIPGTPGTLATTFLAFVLNLAFALSVLGFLIMHISLVSANTTTIEVFGTDKLYWFIPGYSDEDLRRMPALQGLEYPSKPDFDSQEF >KJB41110 pep chromosome:Graimondii2_0_v6:7:6603096:6605544:-1 gene:B456_007G090900 transcript:KJB41110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGATMAWNVFKFCTALRALGSIMILLVLGVVGVTYYSVVFTNYGPALYDGGFNSLTAAVILFFFHCFLIMLLWSYFSVVLTDPGSVPPNWRPAMDEERGEADPLNGSEFNGSQPDPLNQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGAQNYKYFLLFLFYTFLETSLVTMALLPHFIAFFSDGEIPGTPGTLATTFLAFVLNLAFALSVLGFLIMHISLVSANTTTIEAYEKKSTPKWRYDLGRKKNFEQVFGTDKLYWFIPGYSDEDLRRMPALQGLEYPSKPDFDSQEF >KJB40184 pep chromosome:Graimondii2_0_v6:7:3487837:3489527:-1 gene:B456_007G049700 transcript:KJB40184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFYHHSMLYQTHHHPFFFKFPPLASTTPLLLSSSLQEMECVEAALKTSFRKEMALKSSPQALLEDIWVVNNGQNGVSCDDFSVDDLFDFTHEEGFLEQHDEDEEEEEEQVPVSSSHKRQKLSQEHHFSNDTINFDYSSLSTNELAVPADDVANLEWLSHFVEDSFSEHSAAAYPAGMLTEKPNLPDHKLPKPEKPVTTCFKTRVPGKARSKRSRTGGRVWCLVASPPFTESSSSSSSSSSSSPSASSPWFLCSNSGSDSTLELSESLSMEKKHKKRPATESTIGNGTQPTRRCSHCGVTKTPQWRAGPMGVKTLCNACGVRFKSGRLLPEYRPACSPTFSSELHSNHHRKVLEMRRKREAPGEAEPGSVPTSVPSFG >KJB40186 pep chromosome:Graimondii2_0_v6:7:3488067:3488786:-1 gene:B456_007G049700 transcript:KJB40186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKVPFLQADDVANLEWLSHFVEDSFSEHSAAAYPAGMLTEKPNLPDHKLPKPEKPVTTCFKTRVPGKARSKRSRTGGRVWCLVASPPFTESSSSSSSSSSSSPSASSPWFLCSNSGSDSTLELSESLSMEKKHKKRPATESTIGNGTQPTRRCSHCGVTKTPQWRAGPMGVKTLCNACGVRFKSGRLLPEYRPACSPTFSSELHSNHHRKVLEMRRKREAPGEAEPGSVPTSVPSFG >KJB40185 pep chromosome:Graimondii2_0_v6:7:3487917:3489487:-1 gene:B456_007G049700 transcript:KJB40185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVEAALKTSFRKEMALKSSPQALLEDIWVVNNGQNGVSCDDFSVDDLFDFTHEEGFLEQHDEDEEEEEEQVPVSSSHKRQKLSQEHHFSNDTINFDYSSLSTNELAVPADDVANLEWLSHFVEDSFSEHSAAAYPAGMLTEKPNLPDHKLPKPEKPVTTCFKTRVPGKARSKRSRTGGRVWCLVASPPFTESSSSSSSSSSSSPSASSPWFLCSNSGSDSTLELSESLSMEKKHKKRPATESTIGNGTQPTRRCSHCGVTKTPQWRAGPMGVKTLCNACGVRFKSGRLLPEYRPACSPTFSSELHSNHHRKVLEMRRKREAPGEAEPGSVPTSVPSFG >KJB41149 pep chromosome:Graimondii2_0_v6:7:6809754:6813658:1 gene:B456_007G093000 transcript:KJB41149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREAASAVIKESVEPLLEEYRPPGITSLKFNKLSLGTVAPKIEGVRVQSLKKGQITMDIDFRWGGDPSIILGVEAALVASIPIQLKDLQVFTVIRVIFQLAEEIPCISAVVVALLSEPKPRIDYTLKAVGGSLTAIPGISDMIDDTVKTIVTDMLQWPHRIVVPIGGIPVDTSELELKPEGRLTVTVVKANDLKNMEMIGKSDPYVVVYIRPLFKVKTKVIDNNLNPVWNQTFELIAEDRETQALTVEVFDQDIGQDKRLGIAKFRLIELEPETPKEITLNLLSSLDTLKVKDKKDRGNCTIKLLYHQFNKEEQLIALEKEKRILEERKKLKEAGVIGSTMDALDGAASLVGTGIGAGVGAGVGIVGSGLGAVGSGLSKAGKFMGRTFTGHSSKRSGSSTPVNSIHENGGAKPL >KJB41146 pep chromosome:Graimondii2_0_v6:7:6808188:6813905:1 gene:B456_007G093000 transcript:KJB41146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISGILLGIIFGISLMAGWRHMMRYRSTKRIAKAADIKVLGALNRDDLKKICGDNFPEWISFPVYEQVKWLNKQLSKLWPSVAEAASAVIKESVEPLLEEYRPPGITSLKFNKLSLGTVAPKIEGVRVQSLKKGQITMDIDFRWGGDPSIILGVEAALVASIPIQLKDLQVFTVIRVIFQLAEEIPCISAVVVALLSEPKPRIDYTLKAVGGSLTAIPGISDMIDDTVKTIVTDMLQWPHRIVVPIGGIPVDTSELELKPEGRLTVTVVKANDLKNMEMIGKSDPYVVVYIRPLFKVKTKVIDNNLNPVWNQTFELIAEDRETQALTVEVFDQDIGQDKRLGIAKFRLIELEPETPKEITLNLLSSLDTLKVKDKKDRGNCTIKLLYHQFNKEEQLIALEKEKRILEERKKLKEAGVIGSTMDALDGAASLVGTGIGAGVGAGVGIVGSGLGAVGSGLSKAGKFMGRTFTGHSSKRSGSSTPVNSIHENGGAKPL >KJB41145 pep chromosome:Graimondii2_0_v6:7:6808186:6813905:1 gene:B456_007G093000 transcript:KJB41145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISGILLGIIFGISLMAGWRHMMRYRSTKRIAKAADIKVLGALNRDDLKKICGDNFPEWISFPVYEQVKWLNKQLSKLWPSVAEAASAVIKESVEPLLEEYRPPGITSLKFNKLSLGTVAPKIEGVRVQSLKKGQITMDIDFRWGGDPSIILGVEAALVASIPIQLKDLQVFTVIRVIFQLAEEIPCISAVVVALLSEPKPRIDYTLKAVGGSLTAIPGISDMIDDTVKTIVTDMLQWPHRIVVPIGGIPVDTSELELKPEGRLTVTVVKANDLKNMEMIGKSDPYVVVYIRPLFKVKTKVIDNNLNPVWNQTFELIAEDRETQALTVEVFDQDIGQDKRLGIAKFRLIELEPETPKEITLNLLSSLDTLKVKDKKDRGNCTIKLLYHQFNKEEQLIALEKEKRILEERKKLKEAGVIGSTMDALDGAASLVGTGIGAGVGAGVGIVGSGLGAVGSGLSKAGKFMGRTFTGHSSKRSGSSTPVNSIHENGGAKPL >KJB41150 pep chromosome:Graimondii2_0_v6:7:6810153:6813658:1 gene:B456_007G093000 transcript:KJB41150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFQAASAVIKESVEPLLEEYRPPGITSLKFNKLSLGTVAPKIEGVRVQSLKKGQITMDIDFRWGGDPSIILGVEAALVASIPIQLKDLQVFTVIRVIFQLAEEIPCISAVVVALLSEPKPRIDYTLKAVGGSLTAIPGISDMIDDTVKTIVTDMLQWPHRIVVPIGGIPVDTSELELKPEGRLTVTVVKANDLKNMEMIGKSDPYVVVYIRPLFKVKTKVIDNNLNPVWNQTFELIAEDRETQALTVEVFDQDIGQDKRLGIAKFRLIELEPETPKEITLNLLSSLDTLKVKDKKDRGNCTIKLLYHQFNKEEQLIALEKEKRILEERKKLKEAGVIGSTMDALDGAASLVGTGIGAGVGAGVGIVGSGLGAVGSGLSKAGKFMGRTFTGHSSKRSGSSTPVNSIHENGGAKPL >KJB41147 pep chromosome:Graimondii2_0_v6:7:6808218:6813658:1 gene:B456_007G093000 transcript:KJB41147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISGILLGIIFGISLMAGWRHMMRYRSTKRIAKAADIKVLGALNRDDLKKICGDNFPEWISFPVYEQVKWLNKQLSKLWPSVAEAASAVIKESVEPLLEEYRPPGITSLKFNKLSLGTVAPKIEGVRVQSLKKGQITMDIDFRWGGDPSIILGVEAALVASIPIQLKDLQVFTVIRVIFQLAEEIPCISAVVVALLSEPKPRIDYTLKAVGGSLTAIPGISDMIDDTVKTIVTDMLQWPHRIVVPIGGIPVDTSELELKPEGRLTVTVVKANDLKNMEMIGKSDPYVVVYIRPLFKVFDQDIGQDKRLGIAKFRLIELEPETPKEITLNLLSSLDTLKVKDKKDRGNCTIKLLYHQFNKEEQLIALEKEKRILEERKKLKEAGVIGSTMDALDGAASLVGTGIGAGVGAGVGIVGSGLGAVGSGLSKAGKFMGRTFTGHSSKRSGSSTPVNSIHENGGAKPL >KJB41148 pep chromosome:Graimondii2_0_v6:7:6808252:6813658:1 gene:B456_007G093000 transcript:KJB41148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISGILLGIIFGISLMAGWRHMMRYRSTKRIAKAADIKVLGALNRDDLKKICGDNFPEWISFPVYEQVKWLNKQLSKLWPSVAEAASAVIKESVEPLLEEYRPPGITSLKFNKLSLGTVAPKIEGVRVQSLKKGQITMDIDFRWGGDPSIILGVEAALVASIPIQLKDLQVFTVIRVIFQLAEEIPCISAVVVALLSEPKPRIDYTLKAVGGSLTAIPGISDMIDDTVKTIVTDMLQWPHRIVVPIGGIPVDTSELELKPEGRLTVTVVKANDLKNMEMIGKSDPYVVVYIRPLFKVKTKVIDNNLNPVWNQTFELIAEDRETQALTVEVFDQDIGQDKRLGIAKFRLIELEPETPKEITLNLLSSLDTLKVKDKKDRGNCTIKLLYHQFNKEEQLIALEKEKRILEERKKLKEAGVIGSTMDALDGAASLVGTGIGAGVGAGVGIVGSGLGAVGSGLSKAGKFMGRTFTGHSSKRSGSSTPVNSIHENGGAKPL >KJB45641 pep chromosome:Graimondii2_0_v6:7:53649690:53651611:1 gene:B456_007G321000 transcript:KJB45641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLLFKLHFFLQVSRYHFKKRAYFYPKSVSHFLEMGSAIKHFMELVEQAGTVFEVANTIRGLIENHWEFPKGIDQNVNNLKRKRDQLNGQKEDIESRIKSELRPRKKVKKEVDLWIENVKRINGEIPNLESKVRGSSFFSRGFLVKNVRKKEEEVEELLEKGRFSDDLVVNDLSWIGQVLPTPSLVVETVKRKKNEIMQHFRNDEVQKIGVYGMPGVGKTSAVTLVNNELLKGEIEFKIIVWVTVGRKCSVIELQNKIAKAMNVSISEDEDETLRAGMLSEILSEKGRFVLILDDVWERFSFEKVGIPESSKGKLVLTSRSLDVCRRMDCQVVKMEPLSNEDAWTLFSDKVGQNLMTSADLLPIAKSIVERCAGLPLVIVIVASSMRGEDNLPIWRNALAELNRKISEYYRC >KJB45638 pep chromosome:Graimondii2_0_v6:7:53649201:53651611:1 gene:B456_007G321000 transcript:KJB45638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAIKHFMELVEQAGTVFEVANTIRGLIENHWEFPKGIDQNVNNLKRKRDQLNGQKEDIESRIKSELRPRKKVKKEVDLWIENVKRINGEIPNLESKVRGSSFFSRGFLVKNVRKKEEEVEELLEKGRFSDDLVVNDLSWIGQVLPTPSLVVETVKRKKNEIMQHFRNDEVQKIGVYGMPGVGKTSAVTLVNNELLKGEIEFKIIVWVTVGRKCSVIELQNKIAKAMNVSISEDEDETLRAGMLSEILSEKGRFVLILDDVWERFSFEKVGIPESSKGKLVLTSRSLDVCRRMDCQVVKMEPLSNEDAWTLFSDKVGQNLMTSADLLPIAKSIVERCAGLPLVIVIVASSMRGEDNLPIWRNALAELNRKISEYYRC >KJB45639 pep chromosome:Graimondii2_0_v6:7:53649200:53651611:1 gene:B456_007G321000 transcript:KJB45639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CFFVSLLNLPWHLPSSNLVFPPAFLLPKIINHFHVLRFCSFTGKQKYQVSRYHFKKRAYFYPKSVSHFLEMGSAIKHFMELVEQAGTVFEVANTIRGLIENHWEFPKGIDQNVNNLKRKRDQLNGQKEDIESRIKSELRPRKKVKKEVDLWIENVKRINGEIPNLESKVRGSSFFSRGFLVKNVRKKEEEVEELLEKGRFSDDLVVNDLSWIGQVLPTPSLVVETVKRKKNEIMQHFRNDEVQKIGVYGMPGVGKTSAVTLVNNELLKGEIEFKIIVWVTVGRKCSVIELQNKIAKAMNVSISEDEDETLRAGMLSEILSEKGRFVLILDDVWERFSFEKVGIPESSKGKLVLTSRSLDVCRRMDCQVVKMEPLSNEDAWTLFSDKVGQNLMTSADLLPIAKSIVERCAGLPLVIVIVASSMRGEDNLPIWRNALAELNRKISEYYRC >KJB45640 pep chromosome:Graimondii2_0_v6:7:53649486:53651611:1 gene:B456_007G321000 transcript:KJB45640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAIKHFMELVEQAGTVFEVANTIRGLIENHWEFPKGIDQNVNNLKRKRDQLNGQKEDIESRIKSELRPRKKVKKEVDLWIENVKRINGEIPNLESKVRGSSFFSRGFLVKNVRKKEEEVEELLEKGRFSDDLVVNDLSWIGQVLPTPSLVVETVKRKKNEIMQHFRNDEVQKIGVYGMPGVGKTSAVTLVNNELLKGEIEFKIIVWVTVGRKCSVIELQNKIAKAMNVSISEDEDETLRAGMLSEILSEKGRFVLILDDVWERFSFEKVGIPESSKGKLVLTSRSLDVCRRMDCQVVKMEPLSNEDAWTLFSDKVGQNLMTSADLLPIAKSIVERCAGLPLVIVIVASSMRGEDNLPIWRNALAELNRKISEYYRC >KJB41177 pep chromosome:Graimondii2_0_v6:7:6877590:6882753:-1 gene:B456_007G093900 transcript:KJB41177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELDITSPLLSSPSSYQPQTVLTVHDYQDSEPSNLQSSLPTTVLHQNHNHGRTRNENGHHSSPNPYEFLRSGGFSVPASTTVDPFRNETPFISGIYEVIKIVLCLPIALARLVLFGASLVVGYMATKVALAGWRDKQNPMPKWRCRLMWVTRVCARFILFSFGYHWIRRKGKPAPREIAPVVVSNHVSYIEPIFYFYELFPTIVASESHDSIPFVGTIIRAMQVIYVNRFSPTSRKNAANEIKRRASCNVFPRVLLFPEGTTTNGKALISFQLGAFIAGHPIQPIIVRYPHVHFDQSWGFISLPKLMFRMFTQFHNFMEVEYLPLIMPSDHQKQNAVRFAERTGRAMASALNVVQTSHSYGDLMLLMKAAQLQQEKPWIYMVEMARIESLYHISSLEAVDFLDKFLSMNPDPSSYLDQLMF >KJB41179 pep chromosome:Graimondii2_0_v6:7:6879124:6882597:-1 gene:B456_007G093900 transcript:KJB41179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELDITSPLLSSPSSYQPQTVLTVHDYQDSEPSNLQSSLPTTVLHQNHNHGRTRNENGHHSSPNPYEFLRSGGFSVPASTTVDPFRNETPFISGIYEVIKIVLCLPIALARLVLFGASLVVGYMATKVALAGWRDKQNPMPKWRCRLMWVTRVCARFILFSFGYHWIRRKGKPAPREIAPVVVSNHVSYIEPIFYFYELFPTIVASESHDSIPFVGTIIRAMQVIYVNRFSPTSRKNAANEIKRRASCNVFPRVLLFPEGTTTNGKALISFQLGAFIAGHPIQPIIVRYPHVHFDQSWGFISLPKLMFRMFTQFHNFMEVEYLPLIMPSDHQKQNAVRFAERTGRAMASALNVVQTSHSYGDLMLLMKAAQLQQEKPWIYMVEMARIESLYHISSLEAVDFLDKFLSMNPDPSGCVKPHDFLRVLQLKACTLSEEIFGFLDVEKNGSITFKQVVQLPMPPGGFPSSVYLCLLVSTTL >KJB41178 pep chromosome:Graimondii2_0_v6:7:6877908:6882680:-1 gene:B456_007G093900 transcript:KJB41178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELDITSPLLSSPSSYQPQTVLTVHDYQDSEPSNLQSSLPTTVLHQNHNHGRTRNENGHHSSPNPYEFLRSGGFSVPASTTVDPFRNETPFISGIYEVIKIVLCLPIALARLVLFGASLVVGYMATKVALAGWRDKQNPMPKWRCRLMWVTRVCARFILFSFGYHWIRRKGKPAPREIAPVVVSNHVSYIEPIFYFYELFPTIVASESHDSIPFVGTIIRAMQVIYVNRFSPTSRKNAANEIKRRASCNVFPRVLLFPEGTTTNGKALISFQLGAFIAGHPIQPIIVRYPHVHFDQSWGFISLPKLMFRMFTQFHNFMEVEYLPLIMPSDHQKQNAVRFAERTGRAMASALNVVQTSHSYGDLMLLMKAAQLQQEKPWIYMVEMARIESLYHISSLEAVDFLDKFLSMNPDPSGCVKPHDFLRVLQLKACTLSEEIFGFLDVEKNGSITFKQFLFGSAHVLKLPLFRQACELAFAECDTDGDNFCTKKELADVLRHTFRELDDDEVQGLFNLFDTDNDGRMSRDDFFYCLRKNPLVIAIFSPRLLRKNLSKAGDKMLEDIV >KJB41180 pep chromosome:Graimondii2_0_v6:7:6879331:6882661:-1 gene:B456_007G093900 transcript:KJB41180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELDITSPLLSSPSSYQPQTVLTVHDYQDSEPSNLQSSLPTTVLHQNHNHGRTRNENGHHSSPNPYEFLRSGGFSVPASTTVDPFRNETPFISGIYEVIKIVLCLPIALARLVLFGASLVVGYMATKVALAGWRDKQNPMPKWRCRLMWVTRVCARFILFSFGYHWIRRKGKPAPREIAPVVVSNHVSYIEPIFYFYELFPTIVASESHDSIPFVGTIIRAMQVIYVNRFSPTSRKNAANEIKRRASCNVFPRVLLFPEGTTTNGKALISFQLGAFIAGHPIQPIIVRYPHVHFDQSWGFISLPKLMFRMFTQFHNFMEVEYLPLIMPSDHQKQNAVRFAERTGRAMASALNVVQTSHSYGDLMLLMKAAQLQQEKPWIYMVEMARIESLYHISSLEAVDFLDKFLSMNPDPSGCVKPHDFLRVLQLKACTLSEEVICPFPVC >KJB44723 pep chromosome:Graimondii2_0_v6:7:44975417:44977407:-1 gene:B456_007G268500 transcript:KJB44723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITINFQSSDILYNSGTESNLLYVFCFSFINEPPTSLITLDCILKYIKIKVLPSSNSMCNVILVRIELAKCIANPSCAANVACLQTCNDRPDETECQIKCGDLFENNVVDEFNECAVSRKKCVPQKSDIGEFPVPYPAVLVENFNIADFTGKWFISSGLNPTFDTFDCQLHEFHTEGGKLVGNLSWRIRTPDGGFFTRSATQRFVQDPNHPGILYNHDNEYLHYQDDWYIISSKIENKPDDYVFVYYRGRNDAWDGYGGAVVYTRSAVLPKTIVPELERAAQNVGRNFNKFIRTDNSCGPEPPLVERLEKKVEEGERTLIREVEQIEGEVEKEVEKVEKTEQTLFQRLAEGFKELQQDEENFLRGLSKEEMELLNDLKMEASEVEKLFGEALPIRKLR >KJB44720 pep chromosome:Graimondii2_0_v6:7:44975407:44978964:-1 gene:B456_007G268500 transcript:KJB44720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAARLICFSHGKSVNVPCRTSGFTRKERFHRRLVAHFHGMMLLKLQSSCRNSRYSQLIKPNMNYSASKLRCSHQLSRRKERKFSSSSSNERTPKAEEVFSFQMPIISNLLEQWSQSQTVKLVGLLACAYLVIPSAAAVDALKTCTCLLKECRIELAKCIANPSCAANVACLQTCNDRPDETECQIKCGDLFENNVVDEFNECAVSRKKCVPQKSDIGEFPVPYPAVLVENFNIADFTGKWFISSGLNPTFDTFDCQLHEFHTEGGKLVGNLSWRIRTPDGGFFTRSATQRFVQDPNHPGILYNHDNEYLHYQDDWYIISSKIENKPDDYVFVYYRGRNDAWDGYGGAVVYTRSAVLPKTIVPELERAAQNVGRNFNKFIRTDNSCGPEPPLVERLEKKVEEGERTLIREVEQIEGEVEKEVEKVEKTEQTLFQRLAEGFKELQQDEENFLRGLSKEEMELLNDLKMEASEVEKLFGEALPIRKLR >KJB44722 pep chromosome:Graimondii2_0_v6:7:44975407:44978898:-1 gene:B456_007G268500 transcript:KJB44722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAARLICFSHGKSVNVPCRTSGFTRKERFHRRLVAHFHGMMLLKLQSSCRNSRYSQLIKPNMNYSASKLRCSHQLSRRKERKFSSSSSNERTPKAEEVFSFQMPIISNLLEQWSQSQTVKLVGLLACAYLVIPSAAAVDALKTCTCLLKECRIELAKCIANPSCAANVACLQTCNDRPDETECQIKCGDLFENNVVDEFNECAVSRKKCVPQKSDIGEFPVPYPAVLVENFNIADFTGKWFISSGLNPTFDTFDCQLHEFHTEGGKLVGNLSWRIRTPDGGFFTRSATQRFVQDPNHPGILYNHDNEYLHYQDDWYIISSKIENKPDDYVFVYYRGRNDAWDGYGGAVVYTRSAVLPKTIVPELERAAQNVGRNFNKFIRTDNSCGPEPPLVERLEKKVEEGERTLIREVEQIEGEVEKEVEKVEKTEQTLFQRLAEGFKELQQDEENFLRGLSKEEMELLNDLKMEASEVEKLFGEALPIRKLR >KJB44724 pep chromosome:Graimondii2_0_v6:7:44975417:44978513:-1 gene:B456_007G268500 transcript:KJB44724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIISNLLEQWSQSQTVKLVGLLACAYLVIPSAAAVDALKTCTCLLKECRIELAKCIANPSCAANVACLQTCNDRPDETECQIKCGDLFENNVVDEFNECAVSRKKCVPQKSDIGEFPVPYPAVLVENFNIADFTGKWFISSGLNPTFDTFDCQLHEFHTEGGKLVGNLSWRIRTPDGGFFTRSATQRFVQDPNHPGILYNHDNEYLHYQDDWYIISSKIENKPDDYVFVYYRGRNDAWDGYGGAVVYTRSAVLPKTIVPELERAAQNVGRNFNKFIRTDNSCGPEPPLVERLEKKVEEGERTLIREVEQIEGEVEKEVEKVEKTEQTLFQRLAEGFKELQQDEENFLRGLSKEEMELLNDLKMEASEVEKLFGEALPIRKLR >KJB44725 pep chromosome:Graimondii2_0_v6:7:44975612:44978040:-1 gene:B456_007G268500 transcript:KJB44725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAARLICFSHGKSVNVPCRTSGFTRKERFHRRLVAHFHGMMLLKLQSSCRNSRYSQLIKPNMNYSASKLRCSHQLSRRKERKFSSSSSNERTPKAEEVFSFQMPIISNLLEQWSQSQTVKLVGLLACAYLVIPSAAAVDALKTCTCLLKECRIELAKCIANPSCAANVACLQTCNDRPDETECQIKCGDLFENNVVDEFNECAVSRKKCVPQKSDIGEFPVPYPAVLVENFNIADFTGKWFISSGLNPTFDTFDCQLHEFHTEGGKLVGNLSWRIRTPDGGFFTRSATQRFVQDPNHPGILYNHDNEYLHYQDDWYIISSKIENKPDDYVFVYYRGRNDAWDGYGGAVVYTRSAVLPKTIVPELERAAQNVGRNFNKFIRTDNSCGPEPPLVERLEKKVEEGERTLIREVEQIEGEVEKEVEKVEKTEQTLFQRLAEGFKELQQDEENFLRGLSKEEMELLNDLKMEASEVEKLFGEALPIRKLR >KJB44721 pep chromosome:Graimondii2_0_v6:7:44975407:44978964:-1 gene:B456_007G268500 transcript:KJB44721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAARLICFSHGKSVNVPCRTSGFTRKERFHRRLVAHFHGMMLLKLQSSCRNSRYSQLIKPNMNYSASKLRCSHQLSRRKERKFSSSSSNERTPKMPIISNLLEQWSQSQTVKLVGLLACAYLVIPSAAAVDALKTCTCLLKECRIELAKCIANPSCAANVACLQTCNDRPDETECQIKCGDLFENNVVDEFNECAVSRKKCVPQKSDIGEFPVPYPAVLVENFNIADFTGKWFISSGLNPTFDTFDCQLHEFHTEGGKLVGNLSWRIRTPDGGFFTRSATQRFVQDPNHPGILYNHDNEYLHYQDDWYIISSKIENKPDDYVFVYYRGRNDAWDGYGGAVVYTRSAVLPKTIVPELERAAQNVGRNFNKFIRTDNSCGPEPPLVERLEKKVEEGERTLIREVEQIEGEVEKEVEKVEKTEQTLFQRLAEGFKELQQDEENFLRGLSKEEMELLNDLKMEASEVEKLFGEALPIRKLR >KJB39440 pep chromosome:Graimondii2_0_v6:7:1095267:1098071:1 gene:B456_007G013800 transcript:KJB39440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDEQRDYFELQETEYPETVPSVLKHKSSKQVVLESEASGLSNTISAMQSISISMPSAETLGNNAEPKAVMFLSRPMSKGSSIGEADNDSQRFKDKNFDSFKTWSGKLERQITSLSGRSRKFDSEEESTQNPENEPLPVDRYFDALEGPELETLRSTEEIMLPDDKTWPFLLRFPISSFGICLGVGSQSVMWKALASTTSTRFLHISLTANLILWWIAVALVAVVSSIYLLKVILYFEAVRREYYHPIRVNFFFAPWISLLFLSLGLPPSVSSNLPEPLWYILMTPILCLELKIYGQWMSGGQRRLSKVANPSNHLAIVGNFVGALLGASMGLKEGPIFFFAVGLAHYLVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKIRGSFDYGSRIAYFIALFLYFSLAVRVNFFRGFKFSLAWWAYTFPMTGAAIATMQYSSMVTNVLTQTLAVILSLVATLTVTSLLVTTMLHAFVLRDLFPNDVAIAISDRKPKHHRKWFHIRHGGSEWSKDIETFLKFANTSNNDIEAALNISNDEAKRV >KJB39439 pep chromosome:Graimondii2_0_v6:7:1095267:1098071:1 gene:B456_007G013800 transcript:KJB39439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDEQRDYFELQETEYPETVPSVLKHKSSKQVVLESEASGLSNTISAMQSISISMPSAGNKIITVVDENAQGFSINGVGGDSCPPLETLGNNAEPKAVMFLSRPMSKGSSIGEADNDSQRFKDKNFDSFKTWSGKLERQITSLSGRSRKFDSEEESTQNPENEPLPVDRYFDALEGPELETLRSTEEIMLPDDKTWPFLLRFPISSFGICLGVGSQSVMWKALASTTSTRFLHISLTANLILWWIAVALVAVVSSIYLLKVILYFEAVRREYYHPIRVNFFFAPWISLLFLSLGLPPSVSSNLPEPLWYILMTPILCLELKIYGQWMSGGQRRLSKVANPSNHLAIVGNFVGALLGASMGLKEGPIFFFAVGLAHYLVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKIRGSFDYGSRIAYFIALFLYFSLAVRVNFFRGFKFSLAWWAYTFPMTGAAIATMQYSSMVTNVLTQTLAVILSLVATLTVTSLLVTTMLHAFVLRDLFPNDVAIAISDRKPKHHRKWFHIRHGGSEWSKDIETFLKFANTSNNDIEAALNISNDEAKRV >KJB39816 pep chromosome:Graimondii2_0_v6:7:2194042:2196158:1 gene:B456_007G031900 transcript:KJB39816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDSLSDKNAVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLKMMVYGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQMLSKEVAKSMAEDAGLPSSPVAPQSSAAPNGFSGSKIDEVLKECSLGSQEKPEVSAAPKASHTVVTSAVKKPLGARKVTGKTGGLGARKLTNKPSENLYEQKPEEPVVPVASSTNDIAPTGSSFPSRSRFEYVENVQSTELNHGGPQVLNHVAPPKSSSFFAEFGMDSGFQKKSSSNSSKVQVI >KJB39818 pep chromosome:Graimondii2_0_v6:7:2194042:2196980:1 gene:B456_007G031900 transcript:KJB39818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDSLSDKNAVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLKMMVYGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQMLSKEVAKSMAEDAGLPSSPVAPQSSAAPNGFSGSKIDEVLKECSLGSQEKPEVSAAPKASHTVVTSAVKKPLGARKVTGKTGGLGARKLTNKPSENLYEQKPEEPVVPVASSTNDIAPTGSSFPSRSRFEYVENVQSTELNHGGPQVLNHVAPPKSSSFFAEFGMDSGFQKKSSSNSSKVQIQETDEARKKFSNAKSISSAQFFGDQTRAADNEAQVTLQKFSSSTAISSSDLFGQGADNALDLTASDLINRLSFQVCFMNRFFYASLEL >KJB39815 pep chromosome:Graimondii2_0_v6:7:2193838:2197576:1 gene:B456_007G031900 transcript:KJB39815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDSLSDKNAVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLKMMVYGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQMLSKEVAKSMAEDAGLPSSPVAPQSSAAPNGFSGSKIDEVLKECSLGSQEKPEVSAAPKASHTVVTSAVKKPLGARKVTGKTGGLGARKLTNKPSENLYEQKPEEPVVPVASSTNDIAPTGSSFPSRSRFEYVENVQSTELNHGGPQVLNHVAPPKSSSFFAEFGMDSGFQKKSSSNSSKVQIQETDEARKKFSNAKSISSAQFFGDQTRAADNEAQVTLQKFSSSTAISSSDLFGQGADNALDLTASDLINRLSFQAQQDISSLKNIAGETGKKLSSFASTFMSDFQDRIL >KJB39817 pep chromosome:Graimondii2_0_v6:7:2194042:2196747:1 gene:B456_007G031900 transcript:KJB39817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDSLSDKNAVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLKMMVYGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQMLSKEVAKSMAEDAGLPSSPVAPQSSAAPNGFSGSKIDEVLKECSLGSQEKPEVSAAPKASHTVVTSAVKKPLGARKVTGKTGGLGARKLTNKPSENLYEQKPEEPVVPVASSTNDIAPTGSSFPSRSRFEYVENVQSTELNHGGPQVLNHVAPPKSSSFFAEFGMDSGFQKKSSSNSSKVQIQETDEARKKFSNAKSISSAQFFGDQTRAADNEAQVTLQKFSVCAIAISFLRLERVQQN >KJB43312 pep chromosome:Graimondii2_0_v6:7:19031358:19033260:-1 gene:B456_007G193600 transcript:KJB43312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQLSITLAMFFLQLLSGAHSSTFSIVNKCSYTVWPGVLSGAGTPQISPTGFALQPGQSTSIPVPTSWSGRIWGRTLCTEDSSGKFSCLTGDCGSSTLECSGGAIPPATLAEFTLNGAGGMDFFDVSLVDGYNLPMMISPQGGTGANCTSAGCAADLNGDCPMELKVVDGSEGVACKSACDAFGDPQYCCSGAYATPNTCKPSTYSQFFKTACPTAYSYAYDDGTSTFTCAGADYVITFCPSPSTSVKSSNPMAVDISSTGSQPTSSAFIGGAITTTLAVLWQLRHLF >KJB41454 pep chromosome:Graimondii2_0_v6:7:7889864:7894544:1 gene:B456_007G105100 transcript:KJB41454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNEEGKPSKSDKSSSPVPTDQNNIHVYPDWAAMQAYYGPHVNMPPYYSSAVASGHAPPPYMWGPTQPMMPSYGAPYAAIYSHGGVYAHPAVPLASHSLGVPSSPAAAGPVETPTKSPGNTEQGLMKKLKGFDGLAISIGNGTAENAEGRAKPRPSHSLETAGSADGSDGNTTGTDQSRRKRSREGTPTIGMTPFFTGEDEKIEAKSNQVAAGEVTATISPKLIGTVVSPGMTTGTILELRNTPTMNAMSSAMGVHCGVMPTEVWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTSENAALRSEINQLTEMSEKVRLENAILVEELKNAQLGHAQENILNKKEDKEGEMGEKRSDSGAKLHQLLDPSPRDDAVAAG >KJB41456 pep chromosome:Graimondii2_0_v6:7:7890078:7894544:1 gene:B456_007G105100 transcript:KJB41456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNEEGKPSKSDKSSSPVPTNNIHVYPDWAAMQAYYGPHVNMPPYYSSAVASGHAPPPYMWGPTQPMMPSYGAPYAAIYSHGGVYAHPAVPLASHSLGVPSSPAAAGPVETPTKSPGNTEQGLMKKLKGFDGLAISIGNGTAENAEGRAKPRPSHSLETAGSADGSDGNTTGTDQSRRKRSREGTPTIGEDEKIEAKSNQVAAGEVTATISPKLIGTVVSPGMTTGTILELRNTPTMNAMSSAMGVHCGVMPTEVWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTSENAALRSEINQLTEMSEKVRLENAILVEELKNAQLGHAQENILNKKEDKEGEMGEKRSDSGAKLHQLLDPSPRDDAVAAG >KJB41450 pep chromosome:Graimondii2_0_v6:7:7889778:7894603:1 gene:B456_007G105100 transcript:KJB41450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNEEGKPSKSDKSSSPVPTDQNNIHVYPDWAAMQAYYGPHVNMPPYYSSAVASGHAPPPYMWGPTQPMMPSYGAPYAAIYSHGGVYAHPAVPLASHSLGVPSSPAAAGPVETPTKSPGNTEQGLMKKLKGFDGLAISIGNGTAENAEGRAKPRPSHSLETAGSADGSDGNTTGTDQSRRKRSREGTPTIGEDEKIEAKSNQVAAGEVTATISPKLIGTVVSPGMTTGTILELRNTPTMNAMSSAMGVHCGVMPTEVWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTSENAALRSEINQLTEMSEKVRLENAILVEELKNAQLGHAQENILNKKEDKEGEMGEKRSDSGAKLHQLLDPSPRDDAVAAG >KJB41451 pep chromosome:Graimondii2_0_v6:7:7889846:7894567:1 gene:B456_007G105100 transcript:KJB41451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNEEGKPSKSDKSSSPVPTAYYGPHVNMPPYYSSAVASGHAPPPYMWGPTQPMMPSYGAPYAAIYSHGGVYAHPAVPLASHSLGVPSSPAAAGPVETPTKSPGNTEQGLMKKLKGFDGLAISIGNGTAENAEGRAKPRPSHSLETAGSADGSDGNTTGTDQSRRKRSREGTPTIGEDEKIEAKSNQVAAGEVTATISPKLIGTVVSPGMTTGTILELRNTPTMNAMSSAMGVHCGVMPTEVWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTSENAALRSEINQLTEMSEKVRLENAILVEELKNAQLGHAQENILNKKEDKEGEMGEKRSDSGAKLHQLLDPSPRDDAVAAG >KJB41453 pep chromosome:Graimondii2_0_v6:7:7889864:7894544:1 gene:B456_007G105100 transcript:KJB41453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNEEGKPSKSDKSSSPVPTDQNNIHVYPDWAAMQAYYGPHVNMPPYYSSAVASGHAPPPYMWGPTQPMMPSYGAPYAAIYSHGGVYAHPAVPLASHSLGVPSSPAAAGPVETPTKSPGNTEQGLMKKLKGFDGLAISIGNGTAENAEGRAKPRPSHSLETAGSADGSDGNTTGTDQSRRKRSREGTPTIGMTPFFSEDEKIEAKSNQVAAGEVTATISPKLIGTVVSPGMTTGTILELRNTPTMNAMSSAMGVHCGVMPTEVWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTSENAALRSEINQLTEMSEKVRLENAILVEELKNAQLGHAQENILNKKEDKEGEMGEKRSDSGAKLHQLLDPSPRDDAVAAG >KJB41452 pep chromosome:Graimondii2_0_v6:7:7889864:7894544:1 gene:B456_007G105100 transcript:KJB41452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNEEGKPSKSDKSSSPVPTDQNNIHVYPDWAAMQAYYGPHVNMPPYYSSAVASGHAPPPYMWGPTQPMMPSYGAPYAAIYSHGGVYAHPAVPLASHSLGVPSSPAAAGPVETPTKSPGNTEQGLMKKLKGFDGLAISIGNGTAENAEGRAKPRPSHSLETAGSADGSDGNTTGTDQSRRKRSREGTPTIGEDEKIEAKSNQVAAGEVTATISPKLIGTVVSPGMTTGTILELRNTPTMNAMSSAMGVHCGVMPTEVWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTSENAALRSEINQLTEMSEKVRLENAILVENILNKKEDKEGEMGEKRSDSGAKLHQLLDPSPRDDAVAAG >KJB41455 pep chromosome:Graimondii2_0_v6:7:7890055:7894544:1 gene:B456_007G105100 transcript:KJB41455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNEEGKPSKSDKSSSPVPTDQNNIHVYPDWAAMQAYYGPHVNMPPYYSSAVASGHAPPPYMWGPTQPMMPSYGAPYAAIYSHGGVYAHPAVPLASHSLGVPSSPAAAGPVETPTKSPGNTEQGLMKKLKGFDGLAISIGNGTAENAEGRAKPRPSHSLETAGSADGSDGNTTGTDQSRRKRSREGTPTIGEDEKIEAKSNQVAAGEVTATISPKLIGTVVSPGMTTGTILELRNTPTMNAMSSAMGVHCGVMPTEVWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTSENAALRSEINQLTEMSEKVRLENAILVEELKNAQLGHAQENILNKKEDKEGEMGEKRSDSGAKLHQLLDPSPRDDAVAAG >KJB38983 pep chromosome:Graimondii2_0_v6:7:8033013:8034548:-1 gene:B456_007G106900 transcript:KJB38983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHRKWSLLTGPVVILGGVVGATVVAHFLFVDDPYLKPKKNTDSPPQTK >KJB42325 pep chromosome:Graimondii2_0_v6:7:12574103:12579433:1 gene:B456_007G148500 transcript:KJB42325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKIRIKQPRRAGLRRDLGQIMKCLCTGEQLRKVDKMIPSSESLAAKDYSLSGYSSKIGVPEKKPDTRNIEEAELSLRESSSLNYEEARALLGRIEYQKGNIEAALHVFEGIDIAAISPKMKLTLSKRVDRRKRRSHDYAPPPMSIHAVSLLLEAIFLKAKSLQHLQRFREAAQSCTVILDIVESSLPEGLPENFGADCKLQETLIKAVELLPELWKFGDSPHEAILSYRRALLHQWNLDAETTARIQKQFAIFLLYCGGEASPPNLRCQIDSSFVPRNNIEEAILLLMILLRKVSLKRIEWDPSILDHLSFALSMSGDLRALANQIEELLPGVISRKERYNFLALCYYGAGEDLVALNLLRKLLQSNEDPHCVPALLMASRICGGKPNLAEEGIKFAHRALESLDEECSELEGTGNLLLGVARSTHSNSALSDSERVSRQSEALQALERAWKITSMKDPNILYYLCLENAEQRKLEAALYYAKYLLKLEGGSNIKGWLLLARILSAQKRFVDGEIVLNAALDQTGKWDQGELLRTKAKLQIAQGQLKSAIETYSQLLAVLQVQSKSFGSGRRLHKDRSSRSFEQEIWHDLAYLYISLSQYQDAEVCLSKSKAINSYSAIRSHATGVLYEGKGLLKEALKAYWNALGMDPNHALSLISAAVVLRQLGGQSDAVVKSLLMNALRVDRMNPSAWYTLGLLHKDEDSPSSLCEAAQCFEAAVILEESAPVEPFR >KJB42320 pep chromosome:Graimondii2_0_v6:7:12573932:12579442:1 gene:B456_007G148500 transcript:KJB42320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKIRIKQPRRAGLRRDLGQIMKCLCTGEQLRKVDKMIPSSESLAAKDYSLSGYSSKIGVPEKKPDTRNIEEAELSLRESSSLNYEEARALLGRIEYQKGNIEAALHVFEGIDIAAISPKMKLTLSKRVDRRKRRSHDYAPPPMSIHAVSLLLEAIFLKAKSLQHLQRFREAAQSCTVILDIVESSLPEGLPENFGADCKLQETLIKAVELLPELWKFGDSPHEAILSYRRALLHQWNLDAETTARIQKQFAIFLLYCGGEASPPNLRCQIDSSFVPRNNIEEAILLLMILLRKVSLKRIEWDPSILDHLSFALSMSGDLRALANQIEELLPGVISRKERYNFLALCYYGAGEDLVALNLLRKLLQSNEDPHCVPALLMASRICGGKPNLAEEGIKFAHRALESLDEECSELEGTGNLLLGVARSTHSNSALSDSERVSRQSEALQALERAWKITSMKDPNILYYLCLENAEQRKLEAALYYAKYLLKLEGGSNIKGWLLLARILSAQKRFVDGEIVLNAALDQTGKWDQGELLRTKAKLQIAQGQLKSAIETYSQLLAVLQVQSKSFGSGRRLHKDRSSRSFEQEIWHDLAYLYISLSQYQDAEVCLSKSKAINSYSAIRSHATGVLYEGKGLLKEALKAYWNALGMDPNHALSLISAAVVLRQLGGQSDAVVKSLLMNALRVDRMNPSAWYTLGLLHKDEDSPSSLCEAAQCFEAAVILEESAPVEPFR >KJB42324 pep chromosome:Graimondii2_0_v6:7:12574103:12579433:1 gene:B456_007G148500 transcript:KJB42324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKIRIKQPRRAGLRRDLGQIMKCLCTGEQLRKVDKMIPSSESLAAKDYSLSGYSSKIGVPEKKPDTRNIEEAELSLRESSSLNYEEARALLGRIEYQKGNIEAALHVFEGIDIAAISPKMKLTLSKRVDRRKRRSHDYAPPPMSIHAVSLLLEAIFLKAKSLQHLQRFREAAQSCTVILDIVESSLPEGLPENFGADCKLQETLIKAVELLPELWKFGDSPHEAILSYRRALLHQWNLDAETTARIQKQFAIFLLYCGGEASPPNLRCQIDSSFVPRNNIEEAILLLMILLRKVSLKRIEWDPSILDHLSFALSMSGDLRALANQIEELLPGVISRKERYNFLALCYYGAGEDLVALNLLRKLLQSNEDPHCVPALLMASRICGGKPNLAEEGIKFAHRALESLDEECSELEGTGNLLLGVARSTHSNSALSDSERVSRQSEALQALERAWKITSMKDPNILYYLCLENAEQRKLEAALYYAKYLLKLEGGSNIKGWLLLARILSAQKRFVDGEIVLNAALDQTGKWDQGELLRTKAKLQIAQGQLKSAIETYSQLLAVLQVQSKSFGSGRRLHKDRSSRSFEQEIWHDLAYLYISLSQYQDAEVCLSKSKAINSYSAIRSHATGKVYFTREKAY >KJB42323 pep chromosome:Graimondii2_0_v6:7:12574842:12578660:1 gene:B456_007G148500 transcript:KJB42323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKIRIKQPRRAGLRRDLGQIMKCLCTGEQLRKVDKMIPSSESLAAKDYSLSGYSSKIGVPEKKPDTRNIEEAELSLRESSSLNYEEARALLGRIEYQKGNIEAALHVFEGIDIAAISPKMKLTLSKRVDRRKRRSHDYAPPPMSIHAVSLLLEAIFLKAKSLQHLQRFREAAQSCTVILDIVESSLPEGLPENFGADCKLQETLIKAVELLPELWKFGDSPHEAILSYRRALLHQWNLDAETTARIQKQFAIFLLYCGGEASPPNLRCQIDSSFVPRNNIEEAILLLMILLRKVSLKRIEWDPSILDHLSFALSMSGDLRALANQIEELLPGVISRKERYNFLALCYYGAGEDLVALNLLRKLLQSNEDPHCVPALLMASRICGGKPNLAEEGIKFAHRALESLDEECSELEGTGNLLLGVARSTHSNSALSDSERVSRQSEALQALERAWKITSMKDPNILYYLCLENAEQRKLEAALYYAKYLLKLEGGSNIKGWLLLARILSAQKRFVDGEIVLNAALDQTGKWDQGELLRTKAKLQIAQGQLKSAIETYSQLLAVLQVQSKSFGSGRRLHKDRSSRSFEQEIWHDLAYLYISLSQYQDAEVCLSKSKAINSYSAIRSHATGKVILPWVYVVVVIQ >KJB42322 pep chromosome:Graimondii2_0_v6:7:12574103:12579433:1 gene:B456_007G148500 transcript:KJB42322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKIRIKQPRRAGLRRDLGQIMKCLCTGEQLRKVDKMIPSSESLAAKDYSLSGYSSKIGVPEKKPDTRNIEEAELSLRESSSLNYEEARALLGRIEYQKGNIEAALHVFEGIDIAAISPKMKLTLSKRVDRRKRRSHDYAPPPMSIHAVSLLLEAIFLKAKSLQHLQRFREAAQSCTVILDIVESSLPEGLPENFGADCKLQETLIKAVELLPELWKFGDSPHEAILSYRRALLHQWNLDAETTARIQKQFAIFLLYCGGEASPPNLRCQIDSSFVPRNNIEEAILLLMILLRKVSLKRIEWDPSILDHLSFALSMSGDLRALANQIEELLPGVISRKERYNFLALCYYGAGEDLVALNLLRKLLQSNEDPHCVPALLMASRICGGKPNLAEEGIKFAHRALESLDEECSELEGTGNLLLGVARSTHSNSALSDSERVSRQSEALQALERAWKITSMKDPNILYYLCLENAEQRKLEAALYYAKYLLKLEGGSNIKGWLLLARILSAQKRFVDGEIVLNAALDQTGKWDQGELLRTKAKLQIAQGQLKSAIETYSQLLAVLQVQSKSFGSGRRLHKVYFTREKAY >KJB42321 pep chromosome:Graimondii2_0_v6:7:12574103:12578126:1 gene:B456_007G148500 transcript:KJB42321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKIRIKQPRRAGLRRDLGQIMKCLCTGEQLRKVDKMIPSSESLAAKDYSLSGYSSKIGVPEKKPDTRNIEEAELSLRESSSLNYEEARALLGRIEYQKGNIEAALHVFEGIDIAAISPKMKLTLSKRVDRRKRRSHDYAPPPMSIHAVSLLLEAIFLKAKSLQHLQRFREAAQSCTVILDIVESSLPEGLPENFGADCKLQETLIKAVELLPELWKFGDSPHEAILSYRRALLHQWNLDAETTARIQKQFAIFLLYCGGEASPPNLRCQIDSSFVPRNNIEEAILLLMILLRKVSLKRIEWDPSILDHLSFALSMSGDLRALANQIEELLPGVISRKERYNFLALCYYGAGEDLVALNLLRKLLQSNEDPHCVPALLMASRICGGKPNLAEEGIKFAHRALESLDEECSELEGTGNLLLGVARSTHSNSALSDSERVSRQSEALQALERAWKITSMKDPNILYYLCLENAEQRKLEAALYYAKYLLKLEGGSNIKGWLLLARILSAQKRFVDGEIVLNAALDQTGKWDQGELLRTKAKLQIAQGQLKSAIETYSQLLAVLQVQSKSFGSGRRLHKVWL >KJB39784 pep chromosome:Graimondii2_0_v6:7:2089118:2091118:1 gene:B456_007G030500 transcript:KJB39784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFPIFIKDKPKNKNRGIGSVFEAKNLAKSQDYSDSSQTSKSFQSSKSIPELYKEKQQNLRVFSLEELSDATNGFNRTLKIGEGGFGSVYKGTIKPLGGRGHPLVVAIKKLNTHGLQGHKEWLAEVQFLGVVNHANLVKLLGYCCADGERGIQRLLVYEFMSNRSLEDQLFNKTSTLLWKTRLEIMLGAAQGLAYLHEGLEVKVIYRDFKSSNVLLDESFKPKLSDFGLAREGPTGDRTHVSTTVVGTYGYAAPEYIDTGHLTIQSDIWTFGVVLYEIITGRRTVERNRPTSEQKLLEWVKNFPPDSKRFSMIIDPRLRNDYSFSAAQKVGQLAKSCLNKNAKERPTMSQVAESLKQAIQESQEGSNGVKKSHVTSSSRSSRRRSK >KJB42542 pep chromosome:Graimondii2_0_v6:7:13604581:13606746:-1 gene:B456_007G157000 transcript:KJB42542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGETIIPPTSTSEEEYSVDQDFPLPSLTSLNPTLLRDYLRMKAEDGKNESDRLFLEEFDKMGPQSSSPDFEAYHKRRQKVYKEVLQSYDQLRVRSKSLNEAKYKVLSYFPGTWIENVGGKKLSDYDVPKTTSLLLIGPKGCGKSSLVNKISRVLEDDNFAPERAQISYNPSVGDGTYYLQGYMIPRGSASFCLYDSRGLADGTSENINMIQNWMNNGVRHGEPVIRKSDDSSLRRKMKFKPRELDWKFCRPQMVNFVIFVVDAVSVLKSIEGDGVEDLLCLQMISEVFKQPCLSFKDDKPVVVITHGDLLSIADRVRARVYLGELLGIPPAKQIFDIPGDFIKLFTFSAL >KJB42538 pep chromosome:Graimondii2_0_v6:7:13604507:13606746:-1 gene:B456_007G157000 transcript:KJB42538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGETIIPPTSTSEEEYSVDQDFPLPSLTSLNPTLLRDYLRMKAEDGKNESDRLFLEEFDKMGPQSSSPDFEAYHKRRQKVYKEVLQSYDQLRVRSKSLNEAKYKVLSYFPGTWIENVGGKKLSDYDVPKTTSLLLIGPKGCGKSSLVNKISRVLEDDNFAPERAQISYNPSVGDGTYYLQGYMIPRGSASFCLYDSRGLADGTSENINMIQNWMNNGVRHGEPVISLRRKMKFKPRELDWKFCRPQMVNFVIFVVDAVSVLKSIEGDGVEDLLCLQMISEVFKQPCLSFKDDKPVVVITHGDLLSIADRVRARVYLGELLGIPPAKQIFDIPVCRKP >KJB42535 pep chromosome:Graimondii2_0_v6:7:13604507:13606411:-1 gene:B456_007G157000 transcript:KJB42535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEDGKNESDRLFLEEFDKMGPQSSSPDFEAYHKRRQKVYKEVLQSYDQLRVRSKSLNEAKYKVLSYFPGTWIENVGGKKLSDYDVPKTTSLLLIGPKGCGKSSLVNKISRVLEDDNFAPERAQISYNPSVGDGTYYLQGYMIPRGSASFCLYDSRGLADGTSENINMIQNWMNNGVRHGEPVIRKSDDSSLRRKMKFKPRELDWKFCRPQMVNFVIFVVDAVSVLKSIEGDGVEDLLCLQMISEVFKQPCLSFKDDKPVVVITHGDLLSIADRVRARVYLGELLGIPPAKQIFDIPVCRKP >KJB42541 pep chromosome:Graimondii2_0_v6:7:13603678:13606670:-1 gene:B456_007G157000 transcript:KJB42541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEDGKNESDRLFLEEFDKMGPQSSSPDFEAYHKRRQKVYKEVLQSYDQLRVRSKSLNEAKYKVLSYFPGTWIENVGGKKLSDYDVPKTTSLLLIGPKGCGKSSLVNKISRVLEDDNFAPERAQISYNPSVGDGTYYLQGYMIPRGSASFCLYDSRGLADGTSENINMIQNWMNNGVRHGEPVIRKSDDSSLRRKMKFKPRELDWKFCRPQMVNFVIFVVDAVSVLKSIEGDGVEDLLCLQMISEVFKQPCLSFKDDKPVVVITHGDLLSIADRVRARVYLGELLGIPPAKQIFDIPENHDPVTELTIVDMLRYSLEHADRNLPYKNWVMENVRKVPLFSWLCVLAMLLGIASGMACMRYLHMRHPRESELNIVWHTIRHIW >KJB42534 pep chromosome:Graimondii2_0_v6:7:13603673:13606898:-1 gene:B456_007G157000 transcript:KJB42534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGETIIPPTSTSEEEYSVDQDFPLPSLTSLNPTLLRDYLRMKAEDGKNESDRLFLEEFDKMGPQSSSPDFEAYHKRRQKVYKEVLQSYDQLRVRSKSLNEAKYKVLSYFPGTWIENVGGKKLSDYDVPKTTSLLLIGPKGCGKSSLVNKISRVLEDDNFAPERAQISYNPSVGDGTYYLQGYMIPRGSASFCLYDSRGLADGTSENINMIQNWMNNGVRHGEPVIRKSDDSSLRRKMKFKPRELDWKFCRPQMVNFVIFVVDAVSVLKSIEGDGVEDLLCLQMISEVFKQPCLSFKDDKPVVVITHGDLLSIADRVRARVYLGELLGIPPAKQIFDIPENHDPVTELTIVDMLRYSLEHADRNLPYKNWVMENVRKVPLFSWLCVLAMLLGIASGMACMRYLHMRHPRESELNIVWHTIRHIW >KJB42537 pep chromosome:Graimondii2_0_v6:7:13603721:13606850:-1 gene:B456_007G157000 transcript:KJB42537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGETIIPPTSTSEEEYSVDQDFPLPSLTSLNPTLLRDYLRMKAEDGKNESDRLFLEEFDKMGPQSSSPDFEAYHKRRQKVYKEVLQSYDQLRVRSKSLNEAKYKVLSYFPGTWIENVGGKKLSDYDVPKTTSLLLIGPKGCGKSSLVNKISRVLEDDNFAPERAQISYNPSVGDGTYYLQGYMIPRGSASFCLYDSRGLADGTSENINMIQNWMNNGVRHGEPVIRKSDDSSLRRKMKFKPRELDWKFCRPQMVNFVIFVVDAVSVLKSIEGDGVEDLLCLQMISEVFKQPCLSFKDDKPVVVITHGDLLSIADRVRARVYLGELLGIPPAKQIFDIPENHDPVTELTIVDMLRYSLEHADRNLPYKNWVRKVPLFSWLCVLAMLLGIASGMACMRYLHMRHPRESELNIVWHTIRHIW >KJB42536 pep chromosome:Graimondii2_0_v6:7:13603725:13606464:-1 gene:B456_007G157000 transcript:KJB42536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEDGKNESDRLFLEEFDKMGPQSSSPDFEAYHKRRQKVYKEVLQSYDQLRVRSKSLNEAKYKVLSYFPGTWIENVGGKKLSDYDVPKTTSLLLIGPKGCGKSSLVNKISRVLEDDNFAPERAQISYNPSVGDGTYYLQGYMIPRGSASFCLYDSRGLADGTSENINMIQNWMNNGVRHGEPVIRKSDDSSLRRKMKFKPRELDWKFCRPQMVNFVIFVVDAVSVLKSIEGDGVEDLLCLQMISEVFKQPCLSFKDDKPVVVITHGDLLSIADRVRARVYLGELLGIPPAKQIFDIPENHDPVTELTIVDMLRYSLEHADRNLPYKNWVMENVRKVPLFSWLCVLAMLLGIASGMACMRYLHMRHPRESELNIVWHTIRHIW >KJB42540 pep chromosome:Graimondii2_0_v6:7:13603730:13606850:-1 gene:B456_007G157000 transcript:KJB42540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGETIIPPTSTSEEEYSVDQDFPLPSLTSLNPTLLRDYLRMKAEDGKNESDRLFLEEFDKMGPQSSSPDFEAYHKRRQKVYKEVLQSYDQLRVRSKSLNEAKYKVLSYFPGTWIENVGGKKLSDYDVPKTTSLLLIGPKGCGKSSLVNKISRVLEDDNFAPERAQISYNPSVGDGTYYLQGYMIPRGSASFCLYDSRGLADGTSENINMIQNWMNNGVRHGEPVIRKSDDSSLRRKMKFKPRELDWKFCRPQMVNFVIFVVDAVSVLKSIEGDGVEDLLCLQMISEVFKQPCLSFKDDKPVVVITHGDLLSIADRVRARVYLGELLGIPPAKQIFDIPVCRKP >KJB42539 pep chromosome:Graimondii2_0_v6:7:13603721:13606876:-1 gene:B456_007G157000 transcript:KJB42539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGETIIPPTSTSEEEYSVDQDFPLPSLTSLNPTLLRDYLRMKAEDGKNESDRLFLEEFDKMGPQSSSPDFEAYHKRRQKVYKEVLQSYDQLRVRSKSLNEAKYKVLSYFPGTWIENVGGKKLSDYDVPKTTSLLLIGPKGCGKSSLVNKISRVLEDDNFAPERAQISYNPSVGDGTYYLQGYMIPRGSASFCLYDSRGLADGTSENINMIQNWMNNGVRHGEPVISLRRKMKFKPRELDWKFCRPQMVNFVIFVVDAVSVLKSIEGDGVEDLLCLQMISEVFKQPCLSFKDDKPVVVITHGDLLSIADRVRARVYLGELLGIPPAKQIFDIPENHDPVTELTIVDMLRYSLEHADRNLPYKNWVMENVRKVPLFSWLCVLAMLLGIASGMACMRYLHMRHPRESELNIVWHTIRHIW >KJB44044 pep chromosome:Graimondii2_0_v6:7:29375760:29380202:1 gene:B456_007G232000 transcript:KJB44044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSYKLWVQASYPPHLKLLNQNSNIPIPKTKTQFQRKSLDGRFDDIDDDADGSGLRKFALPSHVKSITSTSNPFVKHCLKLKNSSSYRHSHASALVVGTTPIREIYRYKESSQEKTVIDCLLLLENAEIPEELDTHSCHVVRIGSMVMKKLSGVQSAESIEAIALMRFPTSFLNLIVDQNKSDSQSWFPSTHRILVLDGIQDPGNLGTLIRSAMAFQWDGIFLLPGCCDPFNEKALRASRGASFQLPIVSGSWYHLQTLKDTFNMKMLAGHPDIDGKLKKPFSLSQEFVHSVAHVPSCLVLGSEGRGLSEKSQLKCELVSIPMTGKFESLNVSVAGGIFLYMLQPKN >KJB45126 pep chromosome:Graimondii2_0_v6:7:49935196:49936981:-1 gene:B456_007G291300 transcript:KJB45126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCHSLLPVGKVNSINSQNSQIYLSHHNDVEVIVIWQQVETDRRLFHVQEFNLLASLFEPFDVSQLPILNKIKACNHNKTLWKFDSFKTSTVGTKWITCRMVSVFTFRKKSSPIPIPVS >KJB41727 pep chromosome:Graimondii2_0_v6:7:9157476:9162264:1 gene:B456_007G117000 transcript:KJB41727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPQASPGYSRTITPQMDSLSSKPDQSAMSPAPPTISSGAPRFPPPISQQDQIPSPSIKLPTMLSPANGVKTGSSVPHLSTPPGPPVFASPVRPAAVPFRTSPATPQPVAFSSASSLPTSSPPYFSNGSVELQQQLPSAIEEPVPDGKSPCVLFSAHKVLKHKKQANVPSLGFGVLVSPGRDTSPGPQVIQRDPHRCHDCGAYSNLYSNILIGSGQWQCVICGNLNGSEGEYIALSKEELRNFPELSSPLVDFIQTGNKRPSFVPVTDSRTSAPVVLVIDECLDEQHLQYLQSSLHAFVDSLPPTTRIGIILYGRAVSVYDFSEESIASAEVIPGGASPTQEALKALIYGTGISLSPMHASKEVAHLIFSSLRPYKLNVPEASRDRCLGTAVEVALAIIQGPSADFSRGVVKRPGVNSRIIVCAGGPNTYGPGSVPHSYTHPNYPHKEKTAIKWMEHLGREAHQQNTVIDILCAGTCPVRVPVLQPLAKASGGAFVLHDDFGEAFGMNLQRASARAAGSHGLLEIRCSDDILVTQVVGPGEEAHIENHETFKNDLSLCIRMLSVEETQCFSISMENRHDIKSDFVFFQFAIQYSNVYQADITRVITIRLPTVDSVPEYLQCVEDEVAAVLIAKRTLLGAKSHSDAIDMRTTIDERVKDIALKFGSQVPKSKLRRFPKEISLLPEFLFHLRRGPLLGNIVGHEDERSVLRNLFLNASFDLSLRMVAPRCLMHREGGTFEELPAYDLVMQSDTAVVLDHGTDVFIWLGAELAADEGRSAAAVAACKTLAEELTELRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLTTEQRTKLKSSFIHFDDPSICEWIRSLKVVPPEPI >KJB41726 pep chromosome:Graimondii2_0_v6:7:9157532:9162143:1 gene:B456_007G117000 transcript:KJB41726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPQASPGYSRTITPQMDSLSSKPDQSAMSPAPPTISSGAPRFPPPISQQDQIPSPSIKLPTMLSPANGVKTGSSVPHLSTPPGPPVFASPVRPAAVPFRTSPATPQPVAFSSASSLPTSSPPYFSNGSVELQQQLPSAIEEPVPDGKSPCVLFSAHKVLKHKKQANVPSLGFGVLVSPGRDTSPGPQVIQRDPHRCHDCGAYSNLYSNILIGSGQWQCVICGNLNGSEGEYIALSKEELRNFPELSSPLVDFIQTGNKRPSFVPVTDSRTSAPVVLVIDECLDEQHLQYLQSSLHAFVDSLPPTTRIGIILYGRAVSVYDFSEESIASAEVIPGGASPTQEALKALIYGTGISLSPMHASKEVAHLIFSSLRPYKLNVPEASRDRCLGTAVEVALAIIQGPSADFSRGVVKRPGVNSRIIVCAGGPNTYGPGSVPHSYTHPNYPHKEKTAIKWMEHLGREAHQQNTVIDILCAGTCPVRVPVLQPLAKASGGAFVLHDDFGEAFGMNLQRASARAAGSHGLLEIRCSDDILVTQVVGPGEEAHIENHETFKNDLSLCIRMLSVEETQCFSISMENRHDIKSDFVFFQFAIQYSNVYQADITRVITIRLPTVDSVPEYLQCVEDEVAAVLIAKRTLLGAKSHSDAIDMRTTIDERVKDIALKFGSQVPKSKLRRFPKEISLLPEFLFHLRRGPLLGNIVGHEDERSVLRNLFLNASFDLSLRMVAPRCLMHREGGTFEELPAYDLVMQSDTAVVLDHGTDVFIWLGAELAADEGRSAAAVAACKTLAEELTELRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLTTEQRTKLKSSFIHFDDPSICEWIRSLKVVPPEPI >KJB41728 pep chromosome:Graimondii2_0_v6:7:9157596:9162140:1 gene:B456_007G117000 transcript:KJB41728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPQASPGYSRTITPQMDSLSSKPDQSAMSPAPPTISSGAPRFPPPISQQDQIPSPSIKLPTMLSPANGVKTGSSVPHLSTPPGPPVFASPVRPAAVPFRTSPATPQPVAFSSASSLPTSSPPYFSNGSVELQQQLPSAIEEPVPDGKSPCVLFSAHKVLKHKKQANVPSLGFGVLVSPGRDTSPGPQVIQRDPHRCHDCGAYSNLYSNILIGSGQWQCVICGNLNGSEGEYIALSKEELRNFPELSSPLVDFIQTGNKRPSFVPVTDSRTSAPVVLVIDECLDEQHLQYLQSSLHAFVDSLPPTTRIGIILYGRAVSVYDFSEESIASAEVIPGGASPTQEALKALIYGTGISLSPMHASKEVAHLIFSSLRPYKLNVPEASRDRCLGTAVEVALAIIQGPSADFSRGVVKRPGVNSRIIVCAGGPNTYGPGSVPHSYTHPNYPHKEKTAIKWMEHLGREAHQQNTVIDILCAGTCPVRVPVLQPLAKASGGAFVLHDDFGEAFGMNLQRASARAAGSHGLLEIRCSDDILVTQVVGPGEEAHIENHETFKNDLSLCIRMLSVEETQCFSISMENRHDIKSDFVFFQFAIQYSNVYQADITRVITIRLPTVDSVPEYLQCVEDEVAAVLIAKRTLLGAKSHSDAIDMRTTIDERVKDIALKFGSQVPKSKLRRFPKEISLLPEFLFHLRRGPLLGNIVGHEDERSVLRNLFLNASFDLSLRMVAPRCLMHREGGTFEELPAYDLVMQSDTAVVLDHGTDVFIWLGAELAADEGRSAAAVAACKTLAEELTELRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLTTEQRTKLKSSFIHFDDPSICEWIRSLKVVPPEPI >KJB39699 pep chromosome:Graimondii2_0_v6:7:1926392:1930090:-1 gene:B456_007G028100 transcript:KJB39699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQKEAPPDMQCRDKFLLQSVNVNDGASAKDITAEMFNKEAGHVVEECKLRVVYVSPPQPPSPVREGSEEGSPPRASASDNGHANAAEFAAAAKQFSEGLEAQDKSFEARALITKLTAEKNSAIQQNNKLRQELELLKRQSSKSGSSVSFMVVILIGLIGIIMGYIMKK >KJB39698 pep chromosome:Graimondii2_0_v6:7:1925720:1930537:-1 gene:B456_007G028100 transcript:KJB39698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTADLLSIEPLELKFPFELRKQISCALQLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCDVIVTMQAQKEAPPDMQCRDKFLLQSVNVNDGASAKDITAEMFNKEAGHVVEECKLRVVYVSPPQPPSPVREGSEEGSPPRASASDNGHANAAEFAAAAKQFSEGLEAQDKSFEARALITKLTAEKNSAIQQNNKLRQELELLKRQSSKSGSSVSFMVVILIGLIGIIMGYIMKK >KJB39700 pep chromosome:Graimondii2_0_v6:7:1926392:1930334:-1 gene:B456_007G028100 transcript:KJB39700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTADLLSIEPLELKFPFELRKQISCALQLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCDVIVTMQAQKEAPPDMQCRDKFLLQSVNVNDGASAKDITAEMEAGHVVEECKLRVVYVSPPQPPSPVREGSEEGSPPRASASDNGHANAAEFAAAAKQFSEGLEAQDKSFEARALITKLTAEKNSAIQQNNKLRQELELLKRQSSKSGSSVSFMVVILIGLIGIIMGYIMKK >KJB41983 pep chromosome:Graimondii2_0_v6:7:10534966:10535705:1 gene:B456_007G130800 transcript:KJB41983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVRDLASKKAAVIFTKSSCYMCYSIKTLFYELGASPAIHELDHDPSGRDMEWALRGLGCDPSVPAVFIGGRFVGSAKDVISLHVDGSLKQMLMDAKAIWF >KJB39829 pep chromosome:Graimondii2_0_v6:7:2234314:2236365:1 gene:B456_007G032500 transcript:KJB39829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEAIAALSFSCYPSSSSSKFLLYAKPSRLSSLTSHIPKSTPLLSHNISISPLISTQKKLSFQLWSTLQEVSLEAETDKTQKSNVKRKLFVLNLPWSYTVADIKDLFGQCGTVKDVEIIKQKDGRSRNFAFVTMASGEEAQAAIDKLDSHVMSGRIIRVEFSRRLKKPSPPSSEPIVPSRETRHKLYVSNLAWKARSTHLREFFSAFNPVSVRVVFSNPSGQSAGYGFVSFATMEEAEAAVSTLDGKELMDRPIRLKFSERKDDESGGEKKEEKDEPDDQNEEA >KJB39828 pep chromosome:Graimondii2_0_v6:7:2234253:2236382:1 gene:B456_007G032500 transcript:KJB39828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEAIAALSFSCYPSSSSSKFLLYAKPSRLSSLTSHIPKSTPLLSHNISISPLISTQKKLSFQLWSTLQEVSLEAETDKTQKSNVKRKLFVLNLPWSYTVADIKDLFGQCGTVKDVEIIKQKDGRSRNFAFVTMASGEEAQAAIDKLDSHEVSGRIIRVEFSRRLKKPSPPSSEPIVPSRETRHKLYVSNLAWKARSTHLREFFSAFNPVSVRVVFSNPSGQSAGYGFVSFATMEEAEAAVSTLDGKELMDRPIRLKFSERKDDESGGEKKEEKDEPDDQNEEA >KJB43123 pep chromosome:Graimondii2_0_v6:7:17775796:17778495:-1 gene:B456_007G185400 transcript:KJB43123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVNITNVTVLDNPASFLSAFQFEISYECLTPLKDDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVLQADPPDPSRIREEDIIGVTVLLLTCSYLGQEFIRVGYYVNNDYDDEQLREEPPPKVLIEKVQRNILSDKPRVTKFPINFHPENGGNEEPPPSDQPVENVGNEEVPASPNQKEEQDP >KJB44233 pep chromosome:Graimondii2_0_v6:7:34473806:34475735:-1 gene:B456_007G241300 transcript:KJB44233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARNRRPRESSPGRAKVCILQQPKAVKPIRKVQVVYYLTRNGQLEHPHYMEVTHLVNQPLRLRDVTERLTALRGKAMPSLYSWSCKSYKNGYVWNDLADNDIIPPSDGAEYVLKGSELVDGCSEVLQKMQISNKGPHFQEPNLHPKQKSQTFALTRHRGPQEPDRTAYEEQEFDDGDEEEEEEYELDEEKTCYTSSTTPHSRCSRGVSTDELEYQETNIQGPQKPISDTPKQDSSILSENQNQNQSKNNTSKRFEDGDPVTNVSTSGRNSSVLLQLIACGNLAVNKAKNMPTVVKQPVVPNTVVKKSENLHRGVLCKTAIKAAEDDQMISCMSENPRFGNLQAQEKEYFSGSIVESMNSENRVVGDQPMLKRSNSYNEERRCKAGLSEPVEEEKKDKAVKGNCIPRKKLPKQTRKMRVD >KJB44231 pep chromosome:Graimondii2_0_v6:7:34473708:34475786:-1 gene:B456_007G241300 transcript:KJB44231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARNRRPRESSPGRAKVCILQQPKAVKPIRKVQVVYYLTRNGQLEHPHYMEVTHLVNQPLRLRDVTERLTALRGKAMPSLYSWSCKRSYKNGYVWNDLADNDIIPPSDGAEYVLKGSELVDGCSEVLQKMQISNKGPHFQEPNLHPKQKSQTFALTRHRGPQEPDRTAYEEQEFDDGDEEEEEEYELDEEKTCYTSSTTPHSRCSRGVSTDELEYQETNIQGPQKPISDTPKQDSSILSENQNQNQSKNNTSKRFEDGDPVTNVSTSGRNSSVLLQLIACGNLAVNKAKNMPTVVKQPVVPNTVVKKSENLHRGVLCKTAIKAAEDDQMISCMSENPRFGNLQAQEKEYFSGSIVESMNSENRVVGDQPMLKRSNSYNEERRCKAGLSEPVEEEKKDKAVKGNCIPRKKLPKQTRKMRVD >KJB44234 pep chromosome:Graimondii2_0_v6:7:34474003:34475425:-1 gene:B456_007G241300 transcript:KJB44234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIICHPFLLVVYLVFFTDVTERLTALRGKAMPSLYSWSCKRSYKNGYVWNDLADNDIIPPSDGAEYVLKGSELVDGCSEVLQKMQISNKGPHFQEPNLHPKQKSQTFALTRHRGPQEPDRTAYEEQEFDDGDEEEEEEYELDEEKTCYTSSTTPHSRCSRGVSTDELEYQETNIQGPQKPISDTPKQDSSILSENQNQNQSKNNTSKRFEDGDPVTNVSTSGRNSSVLLQLIACGNLAVNKAKNMPTVVKQPVVPNTVVKKSENLHRGVLCKTAIKAAEDDQMISCMSENPRFGNLQAQEKEYFSGSIVESMNSENRVVGDQPMLKRSNSYNEERRCKAGLSEPVEEEKKDKAVKGNCIPRKKLPKQTRKMRVD >KJB44232 pep chromosome:Graimondii2_0_v6:7:34473806:34475253:-1 gene:B456_007G241300 transcript:KJB44232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISNKGPHFQEPNLHPKQKSQTFALTRHRGPQEPDRTAYEEQEFDDGDEEEEEEYELDEEKTCYTSSTTPHSRCSRGVSTDELEYQETNIQGPQKPISDTPKQDSSILSENQNQNQSKNNTSKRFEDGDPVTNVSTSGRNSSVLLQLIACGNLAVNKAKNMPTVVKQPVVPNTVVKKSENLHRGVLCKTAIKAAEDDQMISCMSENPRFGNLQAQEKEYFSGSIVESMNSENRVVGDQPMLKRSNSYNEERRCKAGLSEPVEEEKKDKAVKGNCIPRKKLPKQTRKMRVD >KJB44449 pep chromosome:Graimondii2_0_v6:7:40909790:40910356:-1 gene:B456_007G253700 transcript:KJB44449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSQFLLAILFFHSSEYILAAAIHGMSNVNVKSLLVSKEYVLAMVFALLEYFFELLLFPGLKEHLWVSNLGLAMLVVGEIVRKLAIVTAGRSFTHLIRVYHEDHHKLVTSGVYAFVRHPSYCGFLIWSVGTQIMLCNPIATVGFAVVVWRFFVARIPYEEYFLRQFFGADYEEYARRVPSGVPFVM >KJB41049 pep chromosome:Graimondii2_0_v6:7:6355700:6359096:1 gene:B456_007G0881002 transcript:KJB41049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLSSTLSTHVRFLLQSLTEANADSVSRDLCQFIEYGIEGSILVLQTCLDCLNSHKTDSKNLQSEQVVASIFRHAMDKPNFCTVFCQSLRSMDISENFLENFSKAMQFSMSEKIGIGLALSDSENPDIKICGKNFCMAQIEELNSNTASFDSTEQIQNVVMFLQCSGALSKHVDSFMQMLSLVQANGAAQFILTPILSDESRGANFLRNMDFFNECGENDFDALLEEMEKEMSMADIIKELGYGCTVDVAHCKEILSLCLPLTEMTIARILGMIVLTYAGLEDNQTMFSTFSLALGCNTSYDLPSLSSWNIDVLVKTIKQFAPGTNWIRVIENLDHEGFYIPSEAAFTFFMSVYQHACQDPFPLHAICGSVWKNSEGQLSFLKYAVSAVPDVFTFDHSSRKLAYLDAVYGHKLHLANENHAWLCLDLLDVLCQLAERGHTIFVRSMLDYPLKHCPEVLLLGMAHVNTAYNLLQHDVTSTVFPMIIKNALGAGMVLELWNVNANLVLRGFVEVHNSEPDGMIRILEVCQESKILSSVLEMIPFPSGIRLAVLASRKEVIDFEKWLSGNLNTYKDVFLEECLKFLKEIQFAGSQEFSATPFHHTTAVLNLYLEASSTIIK >KJB41050 pep chromosome:Graimondii2_0_v6:7:6355860:6359096:1 gene:B456_007G0881002 transcript:KJB41050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLSSTLSTHVRFLLQSLTEANADSVSRDLCQFIEYGIEGSILVLQTCLDCLNSHKTDSKNLQSEQVVASIFRHAMDKPNFCTVFCQSLRSMDISENFLENFSKAMQFSMSEKIGIGLALSDSENPDIKICGKNFCMAQIEELNSNTASFDSTEQIQNVVMFLQCSGALSKHVDSFMQMLSLVQANGAAQFILTPILSDESRGANFLRNMDFFNECGENDFDALLEEMEKEMSMADIIKELGYGCTVDVAHCKEILSLCLPLTEMTIARILGMIVLTYAGLEDNQTMFSTFSLALGCNTSYDLPSLSSWNIDVLVKTIKQFAPGTNWIRVIENLDHEGFYIPSEAAFTFFMSVYQHACQFFLSFFVQDPFPLHAICGSVWKNSEGQLSFLKYAVSAVPDVFTFDHSSRKLAYLDAVYGHKLHLANENHAWLCLDLLDVLCQLAERGHTIFVRSMLDYPLKHCPEVLLLGMAHVNTAYNLLQHDVTSTVFPMIIKNALGAGMVLELWNVNANLVLRGFVEVHNSEPDGMIRILEVCQESKILSSVLEMIPFPSGIRLAVLASRKEVIDFEKWLSGNLNTYKDVFLEECLKFLKEIQFAGSQEFSATPFHHTTAVLNLYLEASSTIIK >KJB41047 pep chromosome:Graimondii2_0_v6:7:6355937:6359096:1 gene:B456_007G0881002 transcript:KJB41047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLSSTLSTHVRFLLQSLTEANADSVSRDLCQFIEYGIEGSILVLQTCLDCLNSHKTDSKNLQSEQVVASIFRHAMDKPNFCTVFCQSLRSMDISENFLENFSKAMQFSMSEKIGIGLALSDSENPDIKICGKNFCMAQIEELNSNTASFDSTEQIQNVVMFLQCSGALSKHVDSFMQMLSLVQANGAAQFILTPILSDESRGANFLRNMDFFNECGENDFDALLEEMEKEMSMADIIKELGYGCTVDVAHCKEILSLCLPLTEMTIARILGMIVLTYAGLEDNQTMFSTFSLALGCNTSYDLPSLSSWNIDVLVKTIKQFAPGTNWIRVIENLDHEGFYIPSEAAFTFFMSVYQHACQDPFPLHAICGSVWKNSEGQLSFLKYAVSAVPDVFTFDHSSRKLAYLDAVYGHKLHLANENHAWLCLDLLDVLCQLAERGHTIFVRSMLDYPLKHCPEVLLLGMAHVNTAYNLLQHDVTSTVFPMIIKNALGAGMVLELWNVNANLVLRGFVEVHNSEPDGMIRILEVCQESKILSSVLEMIPFPSGIRLAVLASRKEVIDFEKWLSGNLNTYKDVFLEECLKFLKEIQFAGSQEFSATPFHHTTAVLNLYLEASSTIIK >KJB41048 pep chromosome:Graimondii2_0_v6:7:6355860:6359096:1 gene:B456_007G0881002 transcript:KJB41048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLSSTLSTHVRFLLQSLTEANADSVSRDLCQFIEYGIEGSILVLQTCLDCLNSHKTDSKNLQSEQVVASIFRHAMDKPNFCTVFCQSLRSMDISENFLENFSKAMQFSMSEKIGIGLALSDSENPDIKICGKNFCMAQIEELNSNTASFDSTEQIQNVVMFLQCSGALSKHVDSFMQMLSLVQANGAAQFILTPILSDESRGANFLRNMDFFNECGENDFDALLEEMEKEMSMADIIKELGYGCTVDVAHCKEILSLCLPLTEMTIARILGMIVLTYAGLEDNQTMFSTFSLALGCNTSYDLPSLSSWNIDVLVKTIKQFAPGTNWIRVIENLDHEGFYIPSEAAFTFFMSVYQHACQDPFPLHAICGSVWKNSEGQLSFLKYAVSAVPDVFTFDHSSRKLAYLDAVYGHKLHLANENHAWLCLDLLDVLCQLAERGHTIFVRSMLDYPLKHCPEVLLLGMAHVNTAYNLLQHDVTSTVFPMIIKNALGAGMVLELWNVNANLVLRGFVEVHNSEPDGMIRILEVCQESKILSSVLEMIPFPSGIRLAVLASRKEVIDFEKWLSGNLNTYKDVFLEECLKFLKEIQFAGSQEFSATPFHHTTAVLNLYLEASSTIIK >KJB41051 pep chromosome:Graimondii2_0_v6:7:6356582:6359096:1 gene:B456_007G0881002 transcript:KJB41051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPNFCTVFCQSLRSMDISENFLENFSKAMQFSMSEKIGIGLALSDSENPDIKICGKNFCMAQIEELNSNTASFDSTEQIQNVVMFLQCSGALSKHVDSFMQMLSLVQANGAAQFILTPILSDESRGANFLRNMDFFNECGENDFDALLEEMEKEMSMADIIKELGYGCTVDVAHCKEILSLCLPLTEMTIARILGMIVLTYAGLEDNQTMFSTFSLALGCNTSYDLPSLSSWNIDVLVKTIKQFAPGTNWIRVIENLDHEGFYIPSEAAFTFFMSVYQHACQDPFPLHAICGSVWKNSEGQLSFLKYAVSAVPDVFTFDHSSRKLAYLDAVYGHKLHLANENHAWLCLDLLDVLCQLAERGHTIFVRSMLDYPLKHCPEVLLLGMAHVNTAYNLLQHDVTSTVFPMIIKNALGAGMVLELWNVNANLVLRGFVEVHNSEPDGMIRILEVCQESKILSSVLEMIPFPSGIRLAVLASRKEVIDFEKWLSGNLNTYKDVFLEECLKFLKEIQFAGSQEFSATPFHHTTAVLNLYLEASSTIIK >KJB43827 pep chromosome:Graimondii2_0_v6:7:24930125:24932311:1 gene:B456_007G218300 transcript:KJB43827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRVIGWAARDVSAHLSPYSFTLRKTGPEDVVLKVLYCGVDHTDLHQMRGELMPINYPLVPGHEVVGEVVEVGSEVNKFKLGDKVGVGCLISSCGKCLSCNSNNEQYCNQRVFTYGAVNKDGTPTHGGFSSAMVVHQKFVVQIPEKLAPEQAAPLLCAGVTAYSPLKQFNNSDKAIKAGILGLGGVGHLAVLIAKAMGHHVTVISSSENKKVEALEHLHADAFLVSSNAAEMEGAAASLDYILDTVPAFHSLEPYISLLKAGGKLTFVGVSTKPLHFNNDELILGNKSLTGSFIGSMEETQEILDFWAEKGLSTMIEVVKMDYINKAFERLKRNDVRYRFVLEVAGSNLE >KJB44140 pep chromosome:Graimondii2_0_v6:7:32399328:32400004:-1 gene:B456_007G237800 transcript:KJB44140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYISCPLSGWAARVGEGQSLILKTKESEGGKLSTVPEWDSNSALFEILRRVALWRAHYCLSLASMVEPVRGLRGGALPCGRCQRFESAYLQLVNLVEDRQLKLKL >KJB39173 pep chromosome:Graimondii2_0_v6:7:136303:138305:1 gene:B456_007G001300 transcript:KJB39173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSWSRVVASKSSGQSASIKCPLCKTDNFSIIAGFDGTCFQRHYVDQDFGNRFTFSKAHKYRLQCYYSEPGILNDIFDVSRFWKSRKYRQSNMWLQSWLKRELQALMQEEDVDIVVHHIHGVIESFWTRIEHTRVVLKKPAEAYWQDNFRIAITEAAKPFLLARTDRFVNELELFLASGLNIEAYDAVYIQRLGWNTSGSITTNPVHQIVPFLHIFDVGSDQDE >KJB39172 pep chromosome:Graimondii2_0_v6:7:136227:138341:1 gene:B456_007G001300 transcript:KJB39172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNREEQADQTGSYTSNQVPNPCPICLAPLVKESYLDACFHKFCYNCIVQWSRVVASKSSGQSASIKCPLCKTDNFSIIAGFDGTCFQRHYVDQDFGNRFTFSKAHKYRLQCYYSEPGILNDIFDVSRFWKSRKYRQSNMWLQSWLKRELQALMQEEDVDIVVHHIHGVIESFWTRIEHTRVVLKKPAEAYWQDNFRIAITEAAKPFLLARTDRFVNELELFLASGLNIEAYDAVYIQRLGWNTSGSITTNPVHQIVPFLHIFDVGSDQDE >KJB41262 pep chromosome:Graimondii2_0_v6:7:7173671:7173742:1 gene:B456_007G0971001 transcript:KJB41262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EETVEYVRRNMWYPNYSPLVQQK >KJB41261 pep chromosome:Graimondii2_0_v6:7:7173671:7173991:1 gene:B456_007G0971001 transcript:KJB41261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EETVEYVRRNMWYPNYSPLVQQK >KJB44120 pep chromosome:Graimondii2_0_v6:7:31116434:31120603:-1 gene:B456_007G235300 transcript:KJB44120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEYVRSTERGLSFTEAEKRLKENGPNTPLEYTFPSSWHLLWSAFFHPFNIILIVLSALSYITSDNPNGCIMLILVFISVSLRFYQEYGSSKAAMQLSEYVRSPVKVQRCAGRVVQTELIVQVDQRDVVPGDIVIFEPGDLFPGDVRLLTSKHLVVSQSSLTGESWPTEKTADAREDRSTPLLELKNICFMGTNVVSGTGTGLVVSTGSKTYISAVFSTIGKHKPADSFEKGIRHISYVLVGVMLLVVTIMILVEYFTFYDLSESTLFGISVACALTPQMLPLIINTSLAKGALAMARERCIVKSLSAIRDMGSMDILCIDKTGTLTMNRAIMVNHLDSWGAPREKVLHFAFLNSYFKSDQKYPLDDAILAFVYTNGYRFQPSKWRKTDEIPFDFMRRRVSVILETESNPEGRNIQPFYRFIITKGALEDVLKVCSYVENVDMGEITAVSAEHHHRILNVVEELRNEGLRIIGVAIKNLQQTKISKQNMENEDDVESDMVFLGVIAFFDPPKDSAKEALWRLAEKGVKAKVLTGDSLSLAIKICKEVGIRTTHVTTGPELEQLNQEAFHEKVKKATVLARLTPSQKLRVVESLQSVGNHVVGFLGDGINDSLALDAANVGISVDSAASVAKDLADIILLEKDLNVLVAGVEQGRLTFGNTMKYIKLSVIANLGSVVSLFIVTLVLRFEPLTPIQLLVQNFLYSVGQIAIPWDRMEEDYVKIPQKWSVKSLPMFILWNGPVCTLCDVATLLFVWFYYSSGGKLDESFFRSAYFIQGLLMQTLIFHLIRTEKIPFIMEIASWPVLASTVVISAIGIAIPFSIIGDFMGFTDLPLSYFGFLVVLFVGYFTVGQIVKRIYIMVYKKWL >KJB44119 pep chromosome:Graimondii2_0_v6:7:31116037:31121187:-1 gene:B456_007G235300 transcript:KJB44119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFKFLRFFSFRTQLDFLPSSNPVRENLINGANTDTNGEHRDGFFSNLVYGFLRRFKSGKKIDGGSKTEEEEKVYSWLYALARSEIYMAFEYVRSTERGLSFTEAEKRLKENGPNTPLEYTFPSSWHLLWSAFFHPFNIILIVLSALSYITSDNPNGCIMLILVFISVSLRFYQEYGSSKAAMQLSEYVRSPVKVQRCAGRVVQTELIVQVDQRDVVPGDIVIFEPGDLFPGDVRLLTSKHLVVSQSSLTGESWPTEKTADAREDRSTPLLELKNICFMGTNVVSGTGTGLVVSTGSKTYISAVFSTIGKHKPADSFEKGIRHISYVLVGVMLLVVTIMILVEYFTFYDLSESTLFGISVACALTPQMLPLIINTSLAKGALAMARERCIVKSLSAIRDMGSMDILCIDKTGTLTMNRAIMVNHLDSWGAPREKVLHFAFLNSYFKSDQKYPLDDAILAFVYTNGYRFQPSKWRKTDEIPFDFMRRRVSVILETESNPEGRNIQPFYRFIITKGALEDVLKVCSYVENVDMGEITAVSAEHHHRILNVVEELRNEGLRIIGVAIKNLQQTKISKQNMENEDDVESDMVFLGVIAFFDPPKDSAKEALWRLAEKGVKAKVLTGDSLSLAIKICKEVGIRTTHVTTGPELEQLNQEAFHEKVKKATVLARLTPSQKLRVVESLQSVGNHVVGFLGDGINDSLALDAANVGISVDSAASVAKDLADIILLEKDLNVLVAGVEQGRLTFGNTMKYIKLSVIANLGSVVSLFIVTLVLRFEPLTPIQLLVQNFLYSVGQIAIPWDRMEEDYVKIPQKWSVKSLPMFILWNGPVCTLCDVATLLFVWFYYSSGGKLDESFFRSAYFIQGLLMQTLIFHLIRTEKIPFIMEIASWPVLASTVVISAIGIAIPFSIIGDFMGFTDLPLSYFGFLVVLFVGYFTVGQIVKRIYIMVYKKWL >KJB44122 pep chromosome:Graimondii2_0_v6:7:31116043:31121124:-1 gene:B456_007G235300 transcript:KJB44122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFKFLRFFSFRTQLDFLPSSNPVRENLINGANTDTNGEHRDGFFSNLVYGFLRRFKSGKKIDGGSKTEEEEKVYSWLYALARSEIYMAFEYVRSTERGLSFTEAEKRLKENGPNTPLEYTFPSSWHLLWSAFFHPFNIILIVLSALSYITSDNPNGCIMLILVFISVSLRFYQEYGSSKAAMQLSEYVRSPVKVQRCAGRVVQTELIVQVDQRDVVPGDIVIFEPGDLFPGDVRLLTSKHLVVSQSSLTGESWPTEKTADAREDRSTPLLELKNICFMGTNVVSGTGTGLVVSTGSKTYISAVFSTIGKHKPADSFEKGIRHISYVLVGVMLLVVTIMILVEYFTFYDLSESTLFGISVACALTPQMLPLIINTSLAKGALAMARERCIVKSLSAIRDMGSMDILCIDKTGTLTMNRAIMVNHLDSWGAPREKVLHFAFLNSYFKSDQKYPLDDAILAFVYTNGYRFQPSKWRKTDEIPFDFMRRRVSVILETESNPEGRNIQPFYRFIITKGALEDVLKVCSYVENVDMGEITAVSAEHHHRILNVVEELRNEGLRIIGVAIKNLQQTKISKQNMENEDDVESDMVFLGVIAFFDPPKDSAKEALWRLAEKGVKAKVLTGDSLSLAIKICKEVGIRTTHVTTGPELEQLNQEAFHEKVKKATVLARLTPSQKLRVVESLQSVGNHVVGFLGDGINDSLALDAANVGISVDSAASVAKDLADIILLEKDLNVLVAGVEQGRLTFGNTMKYIKLSVIANLGSVVSLFIVTLVLRFEPLTPIQLLVQNFLYSVGQIAIPWDRMEEDYVKIPQKCSGGKLDESFFRSAYFIQGLLMQTLIFHLIRTEKIPFIMEIASWPVLASTVVISAIGIAIPFSIIGDFMGFTDLPLSYFGFLVVLFVGYFTVGQIVKRIYIMVYKKWL >KJB44121 pep chromosome:Graimondii2_0_v6:7:31116062:31120123:-1 gene:B456_007G235300 transcript:KJB44121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSEYVRSPVKVQRCAGRVVQTELIVQVDQRDVVPGDIVIFEPGDLFPGDVRLLTSKHLVVSQSSLTGESWPTEKTADAREDRSTPLLELKNICFMGTNVVSGTGTGLVVSTGSKTYISAVFSTIGKHKPADSFEKGIRHISYVLVGVMLLVVTIMILVEYFTFYDLSESTLFGISVACALTPQMLPLIINTSLAKGALAMARERCIVKSLSAIRDMGSMDILCIDKTGTLTMNRAIMVNHLDSWGAPREKVLHFAFLNSYFKSDQKYPLDDAILAFVYTNGYRFQPSKWRKTDEIPFDFMRRRVSVILETESNPEGRNIQPFYRFIITKGALEDVLKVCSYVENVDMGEITAVSAEHHHRILNVVEELRNEGLRIIGVAIKNLQQTKISKQNMENEDDVESDMVFLGVIAFFDPPKDSAKEALWRLAEKGVKAKVLTGDSLSLAIKICKEVGIRTTHVTTGPELEQLNQEAFHEKVKKATVLARLTPSQKLRVVESLQSVGNHVVGFLGDGINDSLALDAANVGISVDSAASVAKDLADIILLEKDLNVLVAGVEQGRLTFGNTMKYIKLSVIANLGSVVSLFIVTLVLRFEPLTPIQLLVQNFLYSVGQIAIPWDRMEEDYVKIPQKWSVKSLPMFILWNGPVCTLCDVATLLFVWFYYSSGGKLDESFFRSAYFIQGLLMQTLIFHLIRTEKIPFIMEIASWPVLASTVVISAIGIAIPFSIIGDFMGFTDLPLSYFGFLVVLFVGYFTVGQIVKRIYIMVYKKWL >KJB42247 pep chromosome:Graimondii2_0_v6:7:12195534:12197173:1 gene:B456_007G144900 transcript:KJB42247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTFMATLERYKPHLIMFLTQIGYAIVYFFTEAAFSQGLNPHIYVTYRFCLSACLMFPFAYFLERICSSLNLHFASLKYTSPTFVATLFNTIPSWTFIIAVILRMEVVNVKNPRGMAKILGTLISLAGVTTITLYKGPAVQRLSAAIVHINRHSGSVHENWVKGPILTVASCITWAIWYILQAITLKKYPTQVSLAAWMNGIGGAQSAVFAVCLQHKASAWSIKMFNIIGGFLVIIGLYFLLWGKDREQSYNKSQESHCNEIMVSDKEEMASVEKDEP >KJB40241 pep chromosome:Graimondii2_0_v6:7:3688310:3692097:-1 gene:B456_007G053000 transcript:KJB40241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRADMMVGPGMDMPIMHDSDRYDFVKDIGSGNFGVARLMRDKITKELVAVKYIERGDKIDENVRREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFGRICAAGRFNEDEARFFFQQLLSGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKPKSTVGTPAYIAPEVLQRQEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKDFRKTIQRILSVQYSIPDFVQISPECRHLISRIFVADPTARITIPEIRNHEWFLKNLPADLMDENTMGNHFEEPDQPMQSMDTIRQIIAEATIPAAGARDLSHMSDILDDEDMDDLDSESELDIDSSGEIIYAM >KJB40237 pep chromosome:Graimondii2_0_v6:7:3688310:3691987:-1 gene:B456_007G053000 transcript:KJB40237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRADMMVGPGMDMPIMHDSDRYDFVKDIGSGNFGVARLMRDKITKELVAVKYIERGDKIDENVRREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFGRICAAGRFNEDEARFFFQQLLSGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLQRQEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKDFRKTIQNSQCPVLHSRFCPNISRMSTSDFKDFCC >KJB40239 pep chromosome:Graimondii2_0_v6:7:3689864:3691689:-1 gene:B456_007G053000 transcript:KJB40239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRADMMVGPGMDMPIMHDSDRYDFVKDIGSGNFGVARLMRDKITKELVAVKYIERGDKIDENVRREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFGRICAAGRFNEDEARFFFQQLLSGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLQRQEYDGKV >KJB40240 pep chromosome:Graimondii2_0_v6:7:3688748:3691689:-1 gene:B456_007G053000 transcript:KJB40240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRADMMVGPGMDMPIMHDSDRYDFVKDIGSGNFGVARLMRDKITKELVAVKYIERGDKIDENVRREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFGRICAAGRFNEDEARFFFQQLLSGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLQRQEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKDFRKTIQRILSVQYSIPDFVQISPECRHLISRIFVADPTARITIPEIRNHEWFLKNLPADLMDENTMGNHFEEPDQPMQSMDTIRQIIAEATIPAAGARDLSHMSDILDDEDMDDLDSESELDIDSSGEIIYAM >KJB40238 pep chromosome:Graimondii2_0_v6:7:3689864:3691689:-1 gene:B456_007G053000 transcript:KJB40238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRADMMVGPGMDMPIMHDSDRYDFVKDIGSGNFGVARLMRDKITKELVAVKYIERGDKIDENVRREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFGRICAAGRFNEDEARFFFQQLLSGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLQRQEYDGKV >KJB39473 pep chromosome:Graimondii2_0_v6:7:1205668:1210620:-1 gene:B456_007G015400 transcript:KJB39473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGSSKRSKQLHGYEDPSVLAAETPFTVNEVESLEELYKKLSNSIIADGLIHKVFDLFDLKRNGVIEFGEFVRSLGIFHPNAPAADKIAFAFKLYDLRQTGFIEREELKEMVLALLHESELVLSEEIVEMIVDKTFSEADTKGDGKIDLEEWKAFVSKYPSLLKNMTLPYLKDISIAFPSFVDLPEIEDSDVSVSVNGD >KJB39474 pep chromosome:Graimondii2_0_v6:7:1206175:1208611:-1 gene:B456_007G015400 transcript:KJB39474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGSSKRSKQLHGYEDPSVLAAETPFTVNEVESLEELYKKLSNSIIADGLIHKEEFHLALFKNRNQKNLFADRVFDLFDLKRNGVIEFGEFVRSLGIFHPNAPAADKIAFAFKLYDLRQTGFIEREELKEMVLALLHESELVLSEEIVEMIVDKTFSEADTKGDGKIDLEEWKAFVSKYPSLLKNMTLPYLKDISIAFPSFVDLPEIEDSDVSVSVNGD >KJB39475 pep chromosome:Graimondii2_0_v6:7:1205886:1208858:-1 gene:B456_007G015400 transcript:KJB39475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGSSKRSKQLHGYEDPSVLAAETPFTVNEVESLEELYKKLSNSIIADGLIHKEEFHLALFKNRNQKNLFADRVFDLFDLKRNGVIEFGEFVRSLGIFHPNAPAADKIAFAFKLYDLRQTGFIEREELKEMVLALLHESELVLSEEIVEMIVDKTFSEADTKGDGKIDLEEWKAFVSKYPSLLKNMTLPYLKDISIAFPSFVDLPEIEDSDVSVSVNGD >KJB39476 pep chromosome:Graimondii2_0_v6:7:1205886:1212994:-1 gene:B456_007G015400 transcript:KJB39476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGSSKRSKQLHGYEDPSVLAAETPFTVNEVESLEELYKKLSNSIIADGLIHKEEFHLALFKNRNQKNLFADRVFDLFDLKRNGVIEFGEFVRSLGIFHPNAPAADKIAFAFKLYDLRQTGFIEREELKEMVLALLHESELVLSEEIVEMIVDKTFSEADTKGDGKIDLEEWKAFVSKYPSLLKNMTLPYLKDISIAFPSFVDLPEIEDSDVSVSVNGD >KJB42327 pep chromosome:Graimondii2_0_v6:7:13093229:13098347:1 gene:B456_007G153100 transcript:KJB42327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSISSNNNHNQQMRIMRTAMMKKMKKNSSSSSNEEDLYHVIHKVPHGDSPYVKAKHAQLIEKNPEAAIVLFWKAINAGDRVDSALKDMAVVMKQLDRSEEAIEAIKSFRSRCSKQAQESLDNVLIDLYKGEVFNGKPTKTARSHGKKFQVSVKQEISRLLGNLGWAYMQKSNYLTAEVIYRKAQMIDPDANKACNLGLCLIKQGRFDDAGSVLGDVLQGKIPGSEDDKAKNKARELLMEVKAIQPPLELSDIVGLDDEFFNGLELLMNAYAPGRSKRLPIFEEISSFRDQLAC >KJB42329 pep chromosome:Graimondii2_0_v6:7:13093400:13098196:1 gene:B456_007G153100 transcript:KJB42329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMRTAMMKKMKKNSSSSSNEEDLYHVIHKVPHGDSPYVKAKHAQLIEKNPEAAIVLFWKAINAGDRVDSALKDMAVVMKQLDRSEEAIEAIKSFRSRCSKQAQESLDNVLIDLYKKCGRLDEQIELLKRKLRLIYQGEVFNGKPTKTARSHGKKFQVSVKQEISRLLKSNYLTAEVIYRKAQMIDPDANKACNLGLCLIKQGRFDDAGSVLGDVLQGKIPGSEDDKAKNKARELLMEVKAIQPPLELSDIVGLDDEFFNGLELLMNAYAPGRSKRLPIFEEISSFRDQLAC >KJB42326 pep chromosome:Graimondii2_0_v6:7:13093229:13098347:1 gene:B456_007G153100 transcript:KJB42326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSISSNNNHNQQMRIMRTAMMKKMKKNSSSSSNEEDLYHVIHKVPHGDSPYVKAKHAQLIEKNPEAAIVLFWKAINAGDRVDSALKDMAVVMKQLDRSEEAIEAIKSFRSRCSKQAQESLDNVLIDLYKGNLGWAYMQKSNYLTAEVIYRKAQMIDPDANKACNLGLCLIKQGRFDDAGSVLGDVLQGKIPGSEDDKAKNKARELLMEVKAIQPPLELSDIVGLDDEFFNGLELLMNAYAPGRSKRLPIFEEISSFRDQLAC >KJB42328 pep chromosome:Graimondii2_0_v6:7:13093229:13098347:1 gene:B456_007G153100 transcript:KJB42328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSISSNNNHNQQMRIMRTAMMKKMKKNSSSSSNEEDLYHVIHKVPHGDSPYVKAKHAQLIEKNPEAAIVLFWKAINAGDRVDSALKDMAVVMKQLDRSEEAIEAIKSFRSRCSKQAQESLDNVLIDLYKKCGRLDEQIELLKRKLRLIYQGEVFNGKPTKTARSHGKKFQVSVKQEISRLLGNLGWAYMQKSNYLTAEVIYRKAQMIDPDANKACNLGLCLIKQGRFDDAGSVLGDVLQGKIPGSEDDKAKNKARELLMEVKAIQPPLELSDIVGLDDEFFNGLELLMNAYAPGRSKRLPIFEEISSFRDQLAC >KJB38913 pep chromosome:Graimondii2_0_v6:7:56106207:56112069:-1 gene:B456_007G336300 transcript:KJB38913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSQRSKATEEVTPSTMSQGGGAGAGGGGAGGGGMEFDLSEEILAVIPTDPYEQLDLARKITSMAIASRVSKMETEIGRMRAKIFEKDRMVYELEDKVSRLQQANHEAESRLKLIFDENMKLAKERDSLAMTVKKLSRDVSKLETFKRQLMQSLSDDNSSAETVDIGTCDQSVPKAYPDKDDGASGYMSLRSSNGSTDLGSSTDEASRYAGQRFSITPYITPRLTPTGTPKVISTNGSPRGYSTAGSPQRTSAATSPTKSQSDGRTSFSSWYPSSQQSSAANSPPRRGSLPARTPRIDGKEFFRQARSRLSYEQFSAFLANIKELNAQKQTRVETLRKAEEIFGTDNKDLFLSFQGLLNRNIH >KJB38915 pep chromosome:Graimondii2_0_v6:7:56106287:56111952:-1 gene:B456_007G336300 transcript:KJB38915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGGGAGAGGGGAGGGGMEFDLSEEILAVIPTDPYEQLDLARKITSMAIASRVSKMETEIGRMRAKIFEKDRMVYELEDKVSRLQQANHEAESRLKLIFDENLAKERDSLAMTVKKLSRDVSKLETFKRQLMQSLSDDNSSQAETVDIGTCDQSVPKAYPDKDDGASGYMSLRSSNGSTDLGSSTDEASRYAGQRFSITPYITPRLTPTGTPKVISTNGSPRGYSTAGSPQRTSAATSPTKSQSDGRTSFSSWYPSSQQSSAANSPPRRGSLPARTPRIDGKEFFRQARSRLSYEQFSAFLANIKELNAQKQTRVETLRKAEEIFGTDNKDLFLSFQGLLNRNIH >KJB38916 pep chromosome:Graimondii2_0_v6:7:56107386:56111913:-1 gene:B456_007G336300 transcript:KJB38916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGGGAGAGGGGAGGGGMEFDLSEEILAVIPTDPYEQLDLARKITSMAIASRVSKMETEIGRMRAKIFEKDRMVYELEDKVSRLQQANHEAESRLKLIFDENMKLAKERDSLAMTVKKLSRDVSKLETFKRQLMQSLSDDNSSQAETVDIGTCDQSVPKAYPDKDDGASGYMSLRSSNGSTDLGSSTDEASRYAGQRFSITPYITPRLTPTGTPKVISTNGSPRGYSTAGSPQRTSAATSPTKSQSDGRTSFSSWYPSSQQSSAANSPPRRGSLPARTPRIDGKEFFRQARSRLSYEQFSAFLANIKELNAQKQTRVETLRKAEEIFGTDNKDLFLSFQGLLNRNIH >KJB38914 pep chromosome:Graimondii2_0_v6:7:56106287:56111422:-1 gene:B456_007G336300 transcript:KJB38914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTIFCGYLFTYLGVLFFMILITLFCFSLKMKLAKERDSLAMTVKKLSRDVSKLETFKRQLMQSLSDDNSSQAETVDIGTCDQSVPKAYPDKDDGASGYMSLRSSNGSTDLGSSTDEASRYAGQRFSITPYITPRLTPTGTPKVISTNGSPRGYSTAGSPQRTSAATSPTKSQSDGRTSFSSWYPSSQQSSAANSPPRRGSLPARTPRIDGKEFFRQARSRLSYEQFSAFLANIKELNAQKQTRVETLRKAEEIFGTDNKDLFLSFQGLLNRNIH >KJB38912 pep chromosome:Graimondii2_0_v6:7:56107386:56111967:-1 gene:B456_007G336300 transcript:KJB38912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSQRSKATEEVTPSTMSQGGGAGAGGGGAGGGGMEFDLSEEILAVIPTDPYEQLDLARKITSMAIASRVSKMETEIGRMRAKIFEKDRMVYELEDKVSRLQQANHEAESRLKLIFDENMKLAKERDSLAMTVKKLSRDVSKLETFKRQLMQSLSDDNSSQAETVDIGTCDQSVPKAYPDKDDGASGYMSLRSSNGSTDLGSSTDEASRYAGQRFSITPYITPRLTPTGTPKVISTNGSPRGYSTAGSPQRTSAATSPTKSQSDGRTSFSSWYPSSQQSSAANSPPRRGSLPARTPRIDGKEFFRQARSRLSYEQFSAFLANIKELNAQKQTRVETLRKAEEIFGTDNKDLFLSFQGLLNRNIH >KJB38917 pep chromosome:Graimondii2_0_v6:7:56107230:56111952:-1 gene:B456_007G336300 transcript:KJB38917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGGGAGAGGGGAGGGGMEFDLSEEILAVIPTDPYEQLDLARKITSMAIASRVSKMETEIGRMRAKIFEKDRMVYELEDKVSRLQQANHEAESRLKLIFDENMKLAKERDSLAMTVKKLSRDVSKLETFKRQLMQSLSDDNSSQAETVDIGTCDQSVPKAYPDKDDGASGYMSLRSSNGSTDLGSSTDEASRYAGQRFSITPYITPRLTPTGTPKVISTNGSPRGYSTAGSPQRTSAATSPTKSQSDGRTSFSSWYPSSQQSSAANSPPPRTPRIDGKEFFRQARSRLSYEQFSAFLANIKELNAQKQTRVETLRKAEEIFGTDNKDLFLSFQGLLNRNIH >KJB40728 pep chromosome:Graimondii2_0_v6:7:5313435:5316961:-1 gene:B456_007G075400 transcript:KJB40728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLAISSSKNQNLGSGIGNNDPPSTSGMPRRPSRPPLPKQSENEGLSSAYHGVRTVQHHDGSIGSQKHSCKTAYDNLVCEEMPNMAKHYYDSSKMKSELTGKILAVASKSSFKHPIDDHKSSNSTASLEYEQTVSGVHYYDSSKVKSESVGKILTTASKSSSKHPIDDHKTSDSIASLEYEKPVPGAHYYDSSKVKSESVRSKSSFKHPIDDHKSSNSFRSLQSEKTVPGVHYYDSSKVKSESVGKISTTASKSSFKHPVDEKLVPSAIQLNNHIVSQSEPSICVSPSSSMCTRSLYAEAKQSFTNTEVSECASSDESGEVSRKTSINRGSNCSDISEESSSSCSISAIYKPHQANDIRWAAIQAVRSRKGELGFKHFRVLRKLGCGDIGSVYQSELTGTNTYFAMKVMDKALLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKLSCLVMEFCPGGDLHALRQRQPGKYFSEQAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTLVKSSNSTLASKHSVYCAQPACLQPTCVMQPDCIQPACFGPRLFPSKSKKEKKSKIKSETNQQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGQGHGSAVDWWTFGIFLYELLFGKTPFKGAENRATLFNVIGQPLRFPEYPNVSFAAKDLIRGLLVKEPQHRLAYRRGATEVKQHPFFQSVNWALIRCANPPEVPKPSMTDFFAGTNMSKAPTNNMVPGLDVKPSGNYLEIDFF >KJB40729 pep chromosome:Graimondii2_0_v6:7:5313435:5317750:-1 gene:B456_007G075400 transcript:KJB40729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLAISSSKNQNLGSGIGNNDPPSTSGMPRRPSRPPLPKQSENEGLSSAYHGVRTVQHHDGSIGSQKHSCKTAYDNLVCEEMPNMAKHYYDSSKMKSELTGKILAVASKSSFKHPIDDHKSSNSTASLEYEQTVSGVHYYDSSKVKSESVGKILTTASKSSSKHPIDDHKTSDSIASLEYEKPVPGAHYYDSSKVKSESVRSKSSFKHPIDDHKSSNSFRSLQSEKTVPGVHYYDSSKVKSESVGKISTTASKSSFKHPVDEKLVPSAIQLNNHIVSQSEPSICVSPSSSMCTRSLYAEAKQSFTNTEVSECASSDESGEVSRKTSINRGSNCSDISEESSSSCSISAIYKPHQANDIRWAAIQAVRSRKGELGFKHFRVLRKLGCGDIGSVYQSELTGTNTYFAMKVMDKALLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKLSCLVMEFCPGGDLHALRQRQPGKYFSEQAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTLVKSSNSTLASKHSVYCAQPACLQPTCVMQPDCIQPACFGPRLFPSKSKKEKKSKIKSETNQQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGQGHGSAVDWWTFGIFLYELLFGKTPFKGAENRATLFNVIGQPLRFPEYPNVSFAAKDLIRGLLVKEPQHRLAYRRGATEVKQHPFFQSVNWALIRCANPPEVPKPSMTDFFAGTNMSKAPTNNMVPGLDVKPSGNYLEIDFF >KJB40730 pep chromosome:Graimondii2_0_v6:7:5313521:5316787:-1 gene:B456_007G075400 transcript:KJB40730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLAISSSKNQNLGSGIGNNDPPSTSGMPRRPSRPPLPKQSENEGLSSAYHGVRTVQHHDGSIGSQKHSCKTAYDNLVCEEMPNMAKHYYDSSKMKSELTGKILAVASKSSFKHPIDDHKSSNSTASLEYEQTVSGVHYYDSSKVKSESVGKILTTASKSSSKHPIDDHKTSDSIASLEYEKPVPGAHYYDSSKVKSESVRSKSSFKHPIDDHKSSNSFRSLQSEKTVPGVHYYDSSKVKSESVGKISTTASKSSFKHPVDEKLVPSAIQLNNHIVSQSEPSICVSPSSSMCTRSLYAEAKQSFTNTEVSECASSDESGEVSRKTSINRGSNCSDISEESSSSCSISAIYKPHQANDIRWAAIQAVRSRKGELGFKHFRVLRKLGCGDIGSVYQSELTGTNTYFAMKVMDKALLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKLSCLVMEFCPGGDLHALRQRQPGKYFSEQAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTLVKSSNSTLASKHSVYCAQPACLQPTCVMQPDCIQPACFGPRLFPSKSKKEKKSKIKSETNQQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGQGHGSAVDWWTFGIFLYELLFGKTPFKGAENRATLFNVIGQPLRFPEYPNVSFAAKDLIRGLLVKEPQHRLAYRRGATEVKQHPFFQSVNWALIRCANPPEVPKPSMTDFFAGTNMSKAPTNNMVPGLDVKPSGNYLEIDFF >KJB43226 pep chromosome:Graimondii2_0_v6:7:60532943:60536028:-1 gene:B456_007G372800 transcript:KJB43226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKGGASLQKDVPWRASAGKSVPKIHHSPVLRIRQNPNSNYAIAVMKHPDPIGSGLATEAIVEAAGPECIVPGQVTPVRLLGLKVWPIEVNTKFLEPVGKELKLLGKFMDDAVNLMNKSFIDR >KJB40286 pep chromosome:Graimondii2_0_v6:7:3922839:3927395:-1 gene:B456_007G055700 transcript:KJB40286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MRG1 [Source:Projected from Arabidopsis thaliana (AT4G37280) UniProtKB/Swiss-Prot;Acc:Q94C32] MGSSSKEETATDGDTSSGDNPPSNSNLFSEGERVLAYHGPRIYEAKVQKAELRKKEWKYFVHYLGWNKNWDEWVGADRLMKLTEENVMKQQALDKKQGVDKSSKSGRSSQSKPKSSADAKADKEDLKNTAPKGRKRKSDSGVEKDNSSMEKLVKIQIPSTLKKQLVDDWDFVTQQDKLVKLPRSPNVDDILTKYLEYRSKKDGIMTDSVGEILKGIRCYFDKALPVMLLYKKERQQYNEVVHDDVSPSTIYGAEHLLRLFVKFPELLAYVNIEEETLIRLQQKLMDFLKFLQKNQSTFFLSAYDGPKGVSEGKGKGKDD >KJB40291 pep chromosome:Graimondii2_0_v6:7:3923277:3926012:-1 gene:B456_007G055700 transcript:KJB40291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MRG1 [Source:Projected from Arabidopsis thaliana (AT4G37280) UniProtKB/Swiss-Prot;Acc:Q94C32] MKLTEENVMKQQALDKKQGVDKSSKSGRSSQSKPKSSADAKADKEDLKNTAPKGRKRKSDSGVEKDNSSMEKLVKIQIPSTLKKQLVDDWDFVTQQDKLVKLPRSPNVDDILTKYLEYRSKKDGIMTDSVGEILKGIRCYFDKALPVMLLYKKERQQYNEVVHDDVSPSTIYGAEHLLRLFVKFPELLAYVNIEEETLIRLQQKLMDFLKFLQKNQSTFFLSAYDGPKGVSEGKGKGKDD >KJB40288 pep chromosome:Graimondii2_0_v6:7:3922839:3927379:-1 gene:B456_007G055700 transcript:KJB40288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MRG1 [Source:Projected from Arabidopsis thaliana (AT4G37280) UniProtKB/Swiss-Prot;Acc:Q94C32] MKLTEENVMKQQALDKKQGVDKSSKSGRSSQSKPKSSADAKADKEDLKNTAPKGRKRKSDSGVEKDNSSMEKLVKIQIPSTLKKQLVDDWDFVTQQDKLVKLPRSPNVDDILTKYLEYRSKKDGIMTDSVGEILKGIRCYFDKALPVMLLYKKERQQYNEVVHDDVSPSTIYGAEHLLRLFVKFPELLAYVNIEEETLIRLQQKLMDFLKFLQKNQSTFFLSAYDGPKGVSEGKGKGKDD >KJB40289 pep chromosome:Graimondii2_0_v6:7:3922839:3927379:-1 gene:B456_007G055700 transcript:KJB40289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MRG1 [Source:Projected from Arabidopsis thaliana (AT4G37280) UniProtKB/Swiss-Prot;Acc:Q94C32] MGSSSKEETATDGDTSSGDNPPSNSNLFSEGERVLAYHGPRIYEAKVQKAELRKKEWKYFVHYLGWNKNWDEWVGADRLMKLTEENVMKQQALDKKQGVDKSSKSGRSSQSKPKSSADAKADKEDLKNTAPKGRKRKSDSGVEDNSSMEKLVKIQIPSTLKKQLVDDWDFVTQQDKLVKLPRSPNVDDILTKYLEYRSKKDGIMTDSVGEILKGIRCYFDKALPVMLLYKKERQQYNEVVHDDVSPSTIYGAEHLLRLFVKFPELLAYVNIEEETLIRLQQKLMDFLKFLQKNQSTFFLSAYDGPKGVSEGKGKGKDD >KJB40290 pep chromosome:Graimondii2_0_v6:7:3922817:3927445:-1 gene:B456_007G055700 transcript:KJB40290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MRG1 [Source:Projected from Arabidopsis thaliana (AT4G37280) UniProtKB/Swiss-Prot;Acc:Q94C32] MGSSSKEETATDGDTSSGDNPPSNSNLFSEGERVLAYHGPRIYEAKVQKAELRKKEWKYFVHYLGWNKNWDEWVGADRLMKLTEENVMKQQALDKKQGVDKSSKSGRSSQSKPKSSADAKADKEDLKNTAPKGRKRKSDSGVEKDNSSMEKLVKIQIPSTLKKQLVDDWDFVTQQDKLVKLPRSPNVDDILTKYLEYRSKKDGIMTDSVGEILKGIRCYFDKALPVMLLYKKERQQYNEVVHDDVSPSTIYGAEHLLRLFVKFPELLAYVNIEEETLIRLQQKLMDFLKTRAPFFFLHMMDLREFQKVRGKEKMTKAAVELCYHCHLHNFNSKNKYEISGRIR >KJB40287 pep chromosome:Graimondii2_0_v6:7:3922839:3925017:-1 gene:B456_007G055700 transcript:KJB40287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MRG1 [Source:Projected from Arabidopsis thaliana (AT4G37280) UniProtKB/Swiss-Prot;Acc:Q94C32] MEKLVKIQIPSTLKKQLVDDWDFVTQQDKLVKLPRSPNVDDILTKYLEYRSKKDGIMTDSVGEILKGIRCYFDKALPVMLLYKKERQQYNEVVHDDVSPSTIYGAEHLLRLFVKFPELLAYVNIEEETLIRLQQKLMDFLKFLQKNQSTFFLSAYDGPKGVSEGKGKGKDD >KJB44548 pep chromosome:Graimondii2_0_v6:7:42247725:42251243:1 gene:B456_007G258900 transcript:KJB44548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSGETVKAMWDKRLTKIFYDICIKEILKGNRPGTHFTKDGWLKIMTNFEKETGKGFSQRQLKNRWDALKKEWKAWKKLKGEDIGLGWNPIKRTVDASDDWWESRLQLKNFELRALIPNLKGS >KJB46262 pep chromosome:Graimondii2_0_v6:7:58558259:58558999:-1 gene:B456_007G355000 transcript:KJB46262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMEEGGSAAGAVSTNQTIIDNNTNNDYVGIDMWFTDVPLSDGEVAKLQSLDKAFGGQPTLRYKPLIQKVPSTLLRNEDFKKYFKLKVISIGPLHHDDLTLRESKGLNLKLAAHFVKKIGVDKKSLYRKIKKEMDSLKECYDPQELEKYRDDNEELAWMFIVDGCANLQAVDMRYDNDDDDGNLLIKNDLLTFLYSDLFLLENQLPFRVLELLTSLGDGKMFMNAIRRFIDDTVINPAENRLLVL >KJB40532 pep chromosome:Graimondii2_0_v6:7:4824103:4829800:1 gene:B456_007G068400 transcript:KJB40532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSNLKGFILAMVSSAFIGSSFIIKKKGLRKAGVNGPRASSGGYGYLLEPLWWVGMLTMIIGEISNFVAYIYAPAVLVTPLGALSIIVSAVLAHFMLKEKLQKMGMLGCLLCIVGSTIIVLHAPEERSISSVEEIWELATQPAFLLYTASAIATALVLILYCAPRYGQTNIIVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAITCIIIQLNYLNMALDTFNTAIVSPIYYALFTSFTILASAIMFKDYSGQSASSIASELCGFITVLSGTAVLHSTRDPETPLITDLYTPLSPKVSWYIQGNGELWKQKDEDESSPSFITILRQDYFK >KJB40541 pep chromosome:Graimondii2_0_v6:7:4824103:4829800:1 gene:B456_007G068400 transcript:KJB40541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMIIGEISNFVAYIYAPAVLVTPLGALSIIVSAVLAHFMLKEKLQKMGMLGCLLCIVGSTIIVLHAPEERSISSVEEIWELATQPAFLLYTASAIATALVLILYCAPRYGQTNIIVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAITCIIIQLNYLNMALDTFNTAIVSPIYYALFTSFTILASAIMFKDYSGQSASSIASELCGFITVLSGTAVLHSTRDPETPLITDLYTPLSPKVSWYIQGNGELWKQKDEDESSPSFITILRQDYFK >KJB40536 pep chromosome:Graimondii2_0_v6:7:4824103:4829800:1 gene:B456_007G068400 transcript:KJB40536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSNLKGFILAMVSSAFIGSSFIIKKKGLRKAGVNGPRASSGGYGYLLEPLWWVGMLTMIIGEISNFVAYIYAPAVLVTPLGALSIIVSAVLAHFMLKEKLQKMGMLGCLLCIVGSTIIVLHAPEERSISSVEEIWELATQPAFLLYTASAIATALVLILYCAPRYGQTNIIVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAITCIIIQLNYLNMALDTFNTAIVSPIYYALFTSFTILASAIMFKDYSGQSASSIASELCGFITVLSGTAVLHSTRDPETPLITDLYTPLSPKVSWYIQGNGELWKQKDEDESSPSFITILRQDYFK >KJB40540 pep chromosome:Graimondii2_0_v6:7:4823932:4829939:1 gene:B456_007G068400 transcript:KJB40540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVYTCIYRCTPAISRALFWRWSLAPSLAPALSSRKRVSERPVSTAPVLVLVDMATCWSRSVIIGEISNFVAYIYAPAVLVTPLGALSIIVSAVLAHFMLKEKLQKMGMLGCLLCIVGSTIIVLHAPEERSISSVEEIWELATQPAFLLYTASAIATALVLILYCAPRYGQTNIIVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAITCIIIQLNYLNMALDTFNTAIVSPIYYALFTSFTILASAIMFKDYSGQSASSIASELCGFITVLSGTAVLHSTRDPETPLITDLYTPLSPKVSWYIQGNGELWKQKDEDESSPSFITILRQDYFK >KJB40537 pep chromosome:Graimondii2_0_v6:7:4824081:4829800:1 gene:B456_007G068400 transcript:KJB40537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSNLKGFILAMVSSAFIGSSFIIKKKGLRKAGVNGPRASSGGYGYLLEPLWWVGMLTMIIGEISNFVAYIYAPAVLVTPLGALSIIVSAVLAHFMLKEKLQKMGMLGCLLCIVGSTIIVLHAPEERSISSVEEIWELATQPAFLLYTASAIATALVLILYCAPRYGQTNIIVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAITCIIIQLNYLNMALDTFNTAIVSPIYYALFTSFTILASAIMFKIYTPHYLQKYHGTSKAMGNCGSRKMKMNHPLALSQFSGKTISSKYFTTGHQLLDFVSFVDRASRSRSTICQCQIKALVIFVLGFLCFFSFSFKLFKL >KJB40534 pep chromosome:Graimondii2_0_v6:7:4824103:4829800:1 gene:B456_007G068400 transcript:KJB40534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEKLQKMGMLGCLLCIVGSTIIVLHAPEERSISSVEEIWELATQPAFLLYTASAIATALVLILYCAPRYGQTNIIVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAITCIIIQLNYLNMALDTFNTAIVSPIYYALFTSFTILASAIMFKDYSGQSASSIASELCGFITVLSGTAVLHSTRDPETPLITDLYTPLSPKVSWYIQGNGELWKQKDEDESSPSFITILRQDYFK >KJB40535 pep chromosome:Graimondii2_0_v6:7:4824691:4829153:1 gene:B456_007G068400 transcript:KJB40535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFNMTVIIGEISNFVAYIYAPAVLVTPLGALSIIVSAVLAHFMLKEKLQKMGMLGCLLCIVGSTIIVLHAPEERSISSVEEIWELATQPAFLLYTASAIATALVLILYCAPRYGQTNIIVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAITCIIIQLNYLNMALDTFNTAIVSPIYYALFTSFTILASAIMFKDYSGQSASSIASELCGFITVLSGTAVLHSTRDPETPLITDLYTPLSPKVSWYIQGNGELWKQKDEDESSPSFITILRQDYFK >KJB40539 pep chromosome:Graimondii2_0_v6:7:4824103:4829800:1 gene:B456_007G068400 transcript:KJB40539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSNLKGFILAMVSSAFIGSSFIIKKKGLRKAGVNGPRASSGGYGYLLEPLWWVGMLTMIIGEISNFVAYIYAPAVLVTPLGALSIIVSAVLAHFMLKEKLQKMGMLGCLLCIVGSTIIVLHAPEERSISSVEEIWELATQPAFLLYTASAIATALVLILYCAPRYGQTNIIVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAITCIIIQLNYLNMVSI >KJB40533 pep chromosome:Graimondii2_0_v6:7:4824103:4829800:1 gene:B456_007G068400 transcript:KJB40533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSNLKGFILAMVSSAFIGSSFIIKKKGLRKAGVNGPRASSGGYGYLLEPLWWVGMLTMIIGEISNFVAYIYAPAVLVTPLGALSIIVSAVLAHFMLKEKLQKMGMLGCLLCIVGSTIIVLHAPEERSISSVEEIWELATQPAFLLYTASAIATALVLILYCAPRYGQTNIIVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAITCIIIQLNYLNMALDTFNTAIVSPIYYALFTSFTILASAIMFKDYSGQSASSIASELCGFITVLSGTAVLHSTRDPETPLITDLYTPLSPKVSWYIQGNGELWKQKDEDESSPSFITILRQDYFK >KJB40538 pep chromosome:Graimondii2_0_v6:7:4824531:4829800:1 gene:B456_007G068400 transcript:KJB40538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEKLQKMGMLGCLLCIVGSTIIVLHAPEERSISSVEEIWELATQPAFLLYTASAIATALVLILYCAPRYGQTNIIVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAITCIIIQLNYLNMALDTFNTAIVSPIYYALFTSFTILASAIMFKDYSGQSASSIASELCGFITVLSGTAVLHSTRDPETPLITDLYTPLSPKVSWYIQGNGELWKQKDEDESSPSFITILRQDYFK >KJB39601 pep chromosome:Graimondii2_0_v6:7:1548633:1553562:1 gene:B456_007G021400 transcript:KJB39601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVFSAPGDYIYFKSQVPLHRIPIGTKQWRYYDFGPKVVTPLICLPGTAGTADVYYKQIMCLSMKVGYRVISVDIPCVWNHQEWIQSFEKFLDAIDVHHIHLYGTSLGGFLAQLFAQHRPRRVKSLILSNTFLETRSFAAAMPWAPFVSWTPSFLLKRYVLTGIRDGPHEPFIADSVDFVVSQVETLSRDELASRLTLTVDSASVKPLLLSDSFITIMDTNDYSAIPQQLKDQLSERYPGARRAYLKTGGDFPFLSRPDEVNLHLQLHLRRVGLEAQPELVQSIPKDGTGGGPSKENDKEDRDDEQKDNGGNPESNSDESQPSPSAPESSESHGLDEQLLSNAEIHYIDQKDSIILKPSAMSMNQHSAAAGILLQSTWEFFIFSLLPLYVDSLYIYSNNAWKLRQLV >KJB39600 pep chromosome:Graimondii2_0_v6:7:1548539:1553575:1 gene:B456_007G021400 transcript:KJB39600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVFSAPGDYIYFKSQVPLHRIPIGTKQWRYYDFGPKVVTPLICLPGTAGTADVYYKQIMCLSMKGYRVISVDIPCVWNHQEWIQSFEKFLDAIDVHHIHLYGTSLGGFLAQLFAQHRPRRVKSLILSNTFLETRSFAAAMPWAPFVSWTPSFLLKRYVLTGIRDGPHEPFIADSVDFVVSQVETLSRDELASRLTLTVDSASVKPLLLSDSFITIMDTNDYSAIPQQLKDQLSERYPGARRAYLKTGGDFPFLSRPDEVNLHLQLHLRRVGLEAQPELVQSIPKDGTGGGPSKENDKEDRDDEQKDNGGNPESNSDESQPSPSAPESSESHGLDEQLLSNAEIHYIDQKDSIILKPSAMSMNQHSAAAGILLQSTWEFFIFSLLPLYVDSLYIYSNNAWKLRQLV >KJB39602 pep chromosome:Graimondii2_0_v6:7:1549449:1553562:1 gene:B456_007G021400 transcript:KJB39602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHFWSSLFFSQIGTKQWRYYDFGPKVVTPLICLPGTAGTADVYYKQIMCLSMKGYRVISVDIPCVWNHQEWIQSFEKFLDAIDVHHIHLYGTSLGGFLAQLFAQHRPRRVKSLILSNTFLETRSFAAAMPWAPFVSWTPSFLLKRYVLTGIRDGPHEPFIADSVDFVVSQVETLSRDELASRLTLTVDSASVKPLLLSDSFITIMDTNDYSAIPQQLKDQLSERYPGARRAYLKTGGDFPFLSRPDEVNLHLQLHLRRVGLEAQPELVQSIPKDGTGGGPSKENDKEDRDDEQKDNGGNPESNSDESQPSPSAPESSESHGLDEQLLSNAEIHYIDQKDSIILKPSAMSMNQHSAAAGILLQSTWEFFIFSLLPLYVDSLYIYSNNAWKLRQLV >KJB42657 pep chromosome:Graimondii2_0_v6:7:14159937:14162360:1 gene:B456_007G162000 transcript:KJB42657 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 12 [Source:Projected from Arabidopsis thaliana (AT3G07550) UniProtKB/Swiss-Prot;Acc:Q9SRR1] MSQADVSYSCGSCGYPLNLTSSNRIATSISSEYRKSVEKGLISFLSVDLSRFTQVDEVHCFPVSWGRHRSKTKLLCRKCGVHIGYGYGDAPALCGFDSPDSSSGAFRNANKVDMGDSLMDGVTSIMLLPDDCLCFIFNFLDCRTDRESFGLTCHRWLNIQNLNRRSLQFPCSFGIVGPSSLSQSCTDINSFHLYRILARFQHLEYLSLSGCVEILDSALSYLKPYGSKLQTLCLDCCFKISDYGISLVGDGCPFLTTISLYRCSITDTGLEALANACLALRHVNLAYCSCISDSGLRALSQGCRELQAVKISNCRGVTGVGLRGCSSTLVYIDAECCNLEPVGIMSIVSGGGLKFLNIAGLSCSNFRNGLEAIGNGFAARLKILNLRMCRSVTDASIVAIAKGCPQLQEWNLALCHEVRVLGWASIGSNCHNLKKLHVNRCRNLCHQGLQAVRDGCKELSVLYMSRNSRISDTALELFKLYRCNVEIKAEEVMSIGPNWDSIDYDEST >KJB42656 pep chromosome:Graimondii2_0_v6:7:14160171:14162082:1 gene:B456_007G162000 transcript:KJB42656 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 12 [Source:Projected from Arabidopsis thaliana (AT3G07550) UniProtKB/Swiss-Prot;Acc:Q9SRR1] MGDSLMDGVTSIMLLPDDCLCFIFNFLDCRTDRESFGLTCHRWLNIQNLNRRSLQFPCSFGIVGPSSLSQSCTDINSFHLYRILARFQHLEYLSLSGCVEILDSALSYLKPYGSKLQTLCLDCCFKISDYGISLVGDGCPFLTTISLYRCSITDTGLEALANACLALRHVNLAYCSCISDSGLRALSQGCRELQAVKISNCRGVTGVGLRGCSSTLVYIDAECCNLEPVGIMSIVSGGGLKFLNIAGLSCSNFRNGLEAIGNGFAARLKILNLRMCRSVTDASIVAIAKGCPQLQEWNLALCHEVRVLGWASIGSNCHNLKKLHVNRCRNLCHQGLQAVRDGCKELSVLYMSRNSRISDTALELFKLYRCNVEIKAEEVMSIGPNWDSIDYDEST >KJB44123 pep chromosome:Graimondii2_0_v6:7:31148530:31149799:-1 gene:B456_007G235400 transcript:KJB44123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPTVGATTHITNGVTSVDCQKQVRSWRLLRSLMELLIPSCYCAFIDKHHEIKHQNYLHRYYQPPVCTSSGVITGTIFGYRRGKASFCIQQNTKSNNPILLLEFAVPTAVLAREMQGGILRIALDCTSKGNSSGNPDSVLSMPLWTMYCNGKKVGYAVKRKPSKADMDALRLMNSVVVGTGLISGKELDHHDDELMYLRANFENLRTSPDSESFHLIDPDGNIGQELSIFFYRSR >KJB41510 pep chromosome:Graimondii2_0_v6:7:8219999:8222649:-1 gene:B456_007G109000 transcript:KJB41510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MFLRRLAVIVPRSTRFFSSKDSLDLASTVAELNKEMESVFGEPPPDGLANSGNRSCENTPGLTHVGSTGKAQMVDVSPKETSSRTAIASCKVVLGKKVFDLVLANQMAKGDVLTVAKIAGINGAKHTSTLIPLCHNITLAHVRVDLRLDPEDFSVEIEGEAASTGKTGVEMEAMTAVSIAGLTVYDMCKAASKDIQVTDIRLERKTGGKTGYWCREE >KJB41508 pep chromosome:Graimondii2_0_v6:7:8220209:8221247:-1 gene:B456_007G109000 transcript:KJB41508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MFLRRLAVIVPRSTRFFSSKDSLDLASTVAELNKEMESVFGEPPPDGLANSGNRSCMAQDAHHNSHVILGSTNDKFAAQELSSISHLLGENTPGLTHVGSTGKAQMVDVSPKETSSRTAIASCKVVLGKKVFDLVLANQMAKGDVLTVAKIAGINGAKHTSTLIPLCHNITLAHVRVDLRLDPEDFSVEIEGEAASTGKTGVEMEAMTAVSIAGLTVYDMCKAASKDIQVTDIRLERKTGGKTGYWCREE >KJB41506 pep chromosome:Graimondii2_0_v6:7:8220043:8221720:-1 gene:B456_007G109000 transcript:KJB41506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MFLRRLAVIVPRSTRFFSSKDSLDLASTVAELNKEMESVFGEPPPDGLANSGNRSCMAQDAHHNSHVILGSTNDKFAAQELSSISHLLGENTPGLTHVGSTGKAQMVDVSPKETSSRTAIASCKVVLGKKVFDLVLANQMAKGDVLTVAKIAGINGAKHTSTLIPLCHNITLAHVRVDLRLDPEDFSVEIEGEAASTGKTGVEMEAMTAVSIAGLTVYDMCKAASKDIQVTDIRLERKTGGKTGYWCREE >KJB41507 pep chromosome:Graimondii2_0_v6:7:8219999:8221749:-1 gene:B456_007G109000 transcript:KJB41507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MFLRRLAVIVPRSTRFFSSKDSLDLASTVAELNKEMESVFGEPPPDGLANSGNRSCENTPGLTHVGSTGKAQMVDVSPKETSSRTAIASCKVVLGKKVFDLVLANQMAKGDVLTVAKIAGINGAKHTSTLIPLCHNITLAHVRVDLRLDPEDFSVEIEGEAASTGKTGVEMEAMTAVSIAGLTVYDMCKAASKDIQVTDIRLERKTGGKTGYWCREE >KJB41509 pep chromosome:Graimondii2_0_v6:7:8220043:8222557:-1 gene:B456_007G109000 transcript:KJB41509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MFLRRLAVIVPRSTRFFSSKDSLDLASTVAELNKEMESVFGEPPPDGLANSGNRSCMAQDAHHNSHVILGSTNDKFAAQELSSISHLLGENTPGLTHVGSTGKAQMVDVSPKETSSRTAIASCKVVLGKKVFDLVLANQMAKGDVLTVAKIAGINGAKHTSTLIPLCHNITLAHVRVDLRLDPEDFSVEIEGEAASTGKTGVEMEAMTAVSIAGLTVYDMCKAASKDIQVTDIRLERKTGGKTGYWCREE >KJB41512 pep chromosome:Graimondii2_0_v6:7:8220209:8221247:-1 gene:B456_007G109000 transcript:KJB41512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MFLRRLAVIVPRSTRFFSSKDSLDLASTVAELNKEMESVFGEPPPDGLANSGNRSCMAQDAHHNSHVILGSTNDKFAAQELSSISHLLGENTPGLTHVGSTGKAQMVDVSPKETSSRTAIASCKVVLGKKVFDLVLANQMAKGDVLTVAKIAGINGAKHTSTLIPLCHNITLAHVRVDLRLDPEDFSVEIEGEAASTGKTGVEMEAMTAVSIAGLTVYDMCKAASKDIQVTDIRLERKTGGKTGYWCREE >KJB41513 pep chromosome:Graimondii2_0_v6:7:8219972:8222667:-1 gene:B456_007G109000 transcript:KJB41513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] LRLPFASATPPKGRSPHPVQLPRSRFPRTKPKVLKIKPLASTCLCSYLLIWPLIRPLTFDLLIIGVMFLRRLAVIVPRSTRFFSSKDSLDLASTVAELNKEMESVFGEPPPDGLANSGNRSCMAQDAHHNSHVILGSTNDKFAAQELSSISHLLGENTPGLTHVGSTGKAQMVDVSPKETSSRTAIASCKVVLGKKVFDLVLANQMAKGDVLTVAKIAGINGAKHTSTLIPLCHNITLAHVRVDLRLDPEDFSVEIEGEAASTGKTGVEMEAMTAVSIAGLTVYDMCKAASKDIQVTDIRLERKTGGKTGYWCREE >KJB41511 pep chromosome:Graimondii2_0_v6:7:8219999:8221749:-1 gene:B456_007G109000 transcript:KJB41511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MFLRRLAVIVPRSTRFFSSKDSLDLASTVAELNKEMESVFGEPPPDGLANSGNRSCENTPGLTHVGSTGKAQMVDVSPKETSSRTAIASCKVVLGKKVFDLVLANQMAKGDVLTVAKIAGINGAKHTSTLIPLCHNITLAHVRVDLRLDPEDFSVEIEGEAASTGKTGVEMEAMTAVSIAGLTVYDMCKAASKDIQVTDIRLERKTGGKTGYWCREE >KJB44644 pep chromosome:Graimondii2_0_v6:7:43685791:43688384:1 gene:B456_007G263700 transcript:KJB44644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKEEAVRARRIAEQKMQNGDFEGAKKFALKAQKLFPELENIPQLLTVCNVHYCAKNKLFGSEMDWYGILQIKRSADETSIKKQYRKLALLLHPDKNKFAGAEAAFKLIGEANRVLSDQMNRSQYDLKCKISVKTAPKSASHPSNKASMDSQYEFTFTASYSYQQAQHPTFWTLCSACGIKYQFYLDCLNRLLDCKRCGSSFIAFDLGPSGHSWSQFSNQKEIPNEGPRKVPSQCNGGSHFSHGIAGSAHIPKAGNSHEGFTANQKVDGFSNTRDKEEGVDMPKPNATKPQGSGTSRNAKKRGRKSVEECAETAEGAGQEDCGNNSGLNSNANASHPPRRSSRQKPITDYFSWQKRA >KJB44645 pep chromosome:Graimondii2_0_v6:7:43685791:43688382:1 gene:B456_007G263700 transcript:KJB44645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKEEAVRARRIAEQKMQNGDFEGAKKFALKAQKLFPELENIPQLLTVCNVHYCAKNKLFGSEMDWYGILQIKRSADETSIKKQYRKLALLLHPDKNKFAGAEAAFKLIGEANRVLSDQMNRSQYDLKCKISVKTAPKSASHPSNKASMDSQYEFTFTASYSYQQAQHPTFWTLCSACGIKYQFYLDCLNRLLDCKRCGSSFIAFDLGPSGHSWSQFSNQKEIPNEGPRKVPSQCNGGSHFSHGIAGSAHIPKAGNSHEGFTANQKVDGFSNTRDKEEGVDMPKPNATKPQGSGTSRNAKKRGRKSVEECAETAEGAGQEDCGNNSGLNSNANASHPPRRSSRQKPITDYFSWQKRA >KJB44646 pep chromosome:Graimondii2_0_v6:7:43685877:43688357:1 gene:B456_007G263700 transcript:KJB44646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKEEAVRARRIAEQKMQNGDFEGAKKFALKAQKLFPELENIPQLLTVCNVHYCAKNKLFGSEMDWYGILQIKRSADETSIKKQYRKLALLLHPDKNKFAGAEAAFKLIGEANRVLSDQMNRSQYDLKCKISVKTAPKSASHPSNKASMDSQYEFTFTASYSYQQAQHPTFWTLCSACGIKYQFYLDCLNRLLDCKRCGSSFIAFDLGPSGHSWSQFSNQKEIPNEGPRKVPSQCNGGSHFSHGIAGSAHIPKAGNSHEGFTANQKVDGFSNTRDKEEGVDMPKPNATKPQGSGTSRNAKKRGRKSVEECAETAEGAGQEDCGNNSGLNSNANASHPPRRSSRQKPITDYFSWQKRA >KJB44643 pep chromosome:Graimondii2_0_v6:7:43685791:43688384:1 gene:B456_007G263700 transcript:KJB44643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKEEAVRARRIAEQKMQNGDFEGAKKFALKAQKLFPELENIPQLLTVCNVHYCAKNKLFGSEMDWYGILQIKRSADETSIKKQYRKLALLLHPDKNKFAGAEAAFKLIGEANRVLSDQMNRSQYDLKCKISVKTAPKSASHPSNKASMDSQYEFTFTASYSYQQAQHPTFWTLCSACGIKYQFYLDCLNRLLDCKRCGSSFIAFDLGPSGHSWSQFSNQKEIPNEGPRKVPSQCNGGSHFSHGIAGSAHIPKAGNSHEGFTANQKVDGFSNTRDKEEGVDMPKPNATKPQGSGTSRNAKKRGRKSVEECAETAEGAGQEDCGNNSGLNSNANASHPPRRSSRQKPITDYFSWQKRA >KJB42239 pep chromosome:Graimondii2_0_v6:7:12043700:12046223:1 gene:B456_007G144200 transcript:KJB42239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g06140, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G06140) UniProtKB/Swiss-Prot;Acc:Q9LND4] MSSQNHTKTLLFSLFPFTKTLTLAQQIHARIVLHGFNESVVLGSRLTDAYIHLGSLLFSQKTFDRITSKNLHSWNTIISGYSNKKLFLDVLRLFNRMRREIVGVDSFNLVFAIKACIGLSFLKDGELIHCLAVKFGLAGDPYVAPALCKMYGQLGSLRDARKVFEGFPGRNSVFWGTMMEGYLKFSEELEVFELFRRMKRSDFEFDTFTVEGLVRACGNVLADKEGKMFHGLCIKRNFIGSSLFLQTSLIYMYLKCGLLDLGLKLFEEANERDVVSWSAMISGLAKNGKGSEAIALFRQMLQQPLLTPNSATLASILLACSFGGSLKQGKSVHGYMMRKGVDLDDLNYTAFIDMYAKCGSIAMAQKVFVQMPVKNVVSWSAMINAFGIHGLCSEALACFDQMRSENQVPNSITFVSILSACSHSGKVAEGWKYFKAMTQDYGIAPTEEHYACMVDLLGRAGKIDEALSFIHSMPMGAGASVWGALLDACRLHRRVEVAENVAKKLLRLDANKASVYVLLSNIYADAGRWESVKKIRNKLAIRDCVSVSDLPQLNRGRSCSYVSA >KJB44432 pep chromosome:Graimondii2_0_v6:7:40217627:40220257:-1 gene:B456_007G252500 transcript:KJB44432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin [Source:Projected from Arabidopsis thaliana (AT1G08700) UniProtKB/TrEMBL;Acc:Q0V7S4] MESSILESIGQEIIGVMSPVSLCMLLVVLLVYSLSDSNPFSSSSAPIRTAANLVYLENPSDTTAQKLEGALLNALVFVILIAIVTFVLVLLYYYNFTNFLKNYMRFSAFFVLGTMGGSIFLSIIQHFSIPIDSITCFLLLFNYTIVGVLSMFSGGMPIVLRQGYMVSLGIIVATWFTKLPEWTTWVLLVALALYDLVAVLAPGGPLKLLVELASSRDEELPALVYEARPTVSRNEGNPRSTLGLLVAGVSDSGSVELQAVSNNNVRRDGAENRRSPEYTAIQVRNLENVEGERNRDEGERSPLVGHSRERYSSDSNSSEYSTVIHNRESETSVDEEMSPLVDLLGMDNEREHERRDSVVASRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVCHRALPALPISITLGVIFYFLTRLLMEPFVVGMATNLMMF >KJB45228 pep chromosome:Graimondii2_0_v6:7:50690714:50693490:-1 gene:B456_007G296400 transcript:KJB45228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGGYTSIDNQKVSGSVPAVSDSGRVPVQFADSGLQTFPPSGVQGKISGGTLPPSDADDSFSRPAGGSSSGSDEPQASGWLHKFTVAAYKPYFDVDTTDVLDRLKESLYPFRGTFTEKTATNPDLYGPFWICTTLIFVAASIGTFVTYVAHKLRDKEWNYDINLVTWSAGVFYGYVTVVPLVLYVILKYFSAPSGLVQLFCLYGYSLFIFIPALCLSVVPLEIFRWIIAAVAGFMSATFVALNLKAHITSAGERWVLIVAGIFLLQLGLAVVLKLYLFTVSV >KJB45229 pep chromosome:Graimondii2_0_v6:7:50690758:50693436:-1 gene:B456_007G296400 transcript:KJB45229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGGYTSIDNQKVSGSVPAVSDSGRVPVQFADSGLQTFPPSGVQGKISGGTLPPSDADDSFSRPAGGSSSGSDEPQASGWLHKFTVAAYKPYFDVDTTDVLDRLKESLYPFRGTFTEKTATNPDLYGPFWICTTLIFVAASIGTFVTYVAHKLRDKEWNYDINLVTWSAGVFYGYVTVVPLVLYVILKYFSAPSGLVQLFCLYGYSLFIFIPALVSSFVFEYCSLNELSKLSEGNKNLMLEMFMWKIFIVKLTSRLSEILLE >KJB39195 pep chromosome:Graimondii2_0_v6:7:197160:198238:1 gene:B456_007G001800 transcript:KJB39195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSEYKKGLWSAEEDSILMEYVRKHGKGKWNRIPKVTGLKRCGKSCRLRWINYLSPGVKRGRFSDQENDLIIRLHNLLGNRWSLIAGRVPGRTDNQVKNHWNTHLSKKLGIIKNPTAHSSQSQTPSKSSRDDDNSHSQAQDQVMMTTTDALPSPRDYGNGSSSWFWQCHNNDHFNLHTPTPVNVLDLLDHDHRVSLDFVWHGFA >KJB46501 pep chromosome:Graimondii2_0_v6:7:60518743:60522072:1 gene:B456_007G372500 transcript:KJB46501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSEQQSSENYDFAFKILSIGDSGVGKTSLIASFISAFSQYSAPTIGVDFKIKFLTVGGKRLKLTIWDTAGQERFRTLTSSYYRGAHGIIFAYDVTRRETFTNLSDVWAKEVELYSTNQDCVKILVGNKIDRESERAVSKEEGIELAKELGCTFIECSAKTGQNVQQCFEDLSLKIMEVPSLLEKGSIGGKGDILKPKPENPVAPPPPPVGGCCV >KJB42059 pep chromosome:Graimondii2_0_v6:7:10954823:10961788:-1 gene:B456_007G134700 transcript:KJB42059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLREISVSAALNLLSAFAFLVAFAILRLQPINDRVYFPKWYRRRIRNSPRRSGVCLTRFVNLDWRTYIKFLNWMPAALRMPELELIDHAGLDSVVYIRIYLLGLKVIGPLAVLAFLVLVPVNWTGETLEGVKNLAYNDIDKLSISNVPDGSKRFWVHIVMSYVFALWTFYVLYVEYKEVAAMRLRYLASENRRPDQFTVLVRNVPPDPDETVSEHIEHFFRVNHPDSYLTHQVVYNANKLAKLVQKKKSLQNWYTYYLNKYERTSKRPTTRTGFGGVVGTKVDAIDYYSSEIQKLSEAEALGREKVLSDPKAIVRAAFVSFKSRWAAAVCAQTQLSHNPTIWLTEWAPEPRDVYWRNLAIPYFDLTIRRLLMAIALFFLIFFFMIPIAFVQSLANIEGIEKVFPFLTPLIETKSVRSFIQGFLPGIVLKIFLILLPTILMMMSKVEGFSSRSSLDRRSAGKYHLFLLVNVFLGSIITGTAFQQLKTFLHQPPTEIPKTVGESIPMKATFFITYTMVDGWAGIAAEILRLVPLVIFHLKNMFLVKTEQDREEAMDPGCLNFATYEPKIQFYFLLGLVYSAVTPVLLPFVIIFFAFSYVVFRHQVINVYDQRYESGGSFWPDVHRRLLIGLLISQFLLMGLLSTKNIEKSTIALLPLPILTIWFHVYCKGRFQSAFVRFSLQDAMTKDTLERATEPNLNLRAYLKDAYVHPVFKGRSHFDSPLLVPDEENNTLVLTRRSS >KJB42061 pep chromosome:Graimondii2_0_v6:7:10954543:10962196:-1 gene:B456_007G134700 transcript:KJB42061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLREISVSAALNLLSAFAFLVAFAILRLQPINDRVYFPKWYRRRIRNSPRRSGVCLTRFVNLDWRTYIKFLNWMPAALRMPELELIDHAGLDSVVYIRIYLLGLKVIGPLAVLAFLVLVPVNWTGETLEGVKNLAYNDIDKLSISNVPDGSKRFWVHIVMSYVFALWTFYVLYVEYKEVAAMRLRYLASENRRPDQFTVLVRNVPPDPDETVSEHIEHFFRVNHPDSYLTHQVVYNANKLAKLVQKKKSLQNWYTYYLNKYERTSKRPTTRTGFGGVVGTKVDAIDYYSSEIQKLSEAEALGREKVLSDPKAIVRAAFVSFKSRWAAAVCAQTQLSHNPTIWLTEWAPEPRDVYWRNLAIPYFDLTIRRLLMAIALFFLIFFFMIPIAFVQSLANIEGIEKVFPFLTPLIETKSVRSFIQGFLPGIVLKIFLILLPTILMMMSKVEGFSSRSSLDRRSAGKYHLFLLVNVFLGSIITGTAFQQLKTFLHQPPTEIPKTVGESIPMKATFFITYTMVDGWAGIAAEILRLVPLVIFHLKNMFLVKTEQDREEAMDPGCLNFATYEPKIQFYFLLGLVYSAVTPVLLPFVIIFFAFSYVVFRHQVINVYDQRYESGGSFWPDVHRRLLIGLLISQFLLMGLLSTKNIEKSTIALLPLPILTIWFHVYCKGRFQSAFVRFSLQDAMTKDTLERATEPNLNLRAYLKDAYVHPVFKGRSHFDSPLLVPDEENNTLVLTRRSS >KJB42062 pep chromosome:Graimondii2_0_v6:7:10954658:10961788:-1 gene:B456_007G134700 transcript:KJB42062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLREISVSAALNLLSAFAFLVAFAILRLQPINDRVYFPKWYRRRIRNSPRRSGVCLTRFVNLDWRTYIKFLNWMPAALRMPELELIDHAGLDSVVYIRIYLLGLKVIGPLAVLAFLVLVPVNWTGETLEGVKNLAYNDIDKLSISNVPDGSKRFWVHIVMSYVFALWTFYVLYVEYKEVAAMRLRYLASENRRPDQFTVLVRNVPPDPDETVSEHIEHFFRVVYNANKLAKLVQKKKSLQNWYTYYLNKYERTSKRPTTRTGFGGVVGTKVDAIDYYSSEIQKLSEAEALGREKVLSDPKAIVRAAFVSFKSRWAAAVCAQTQLSHNPTIWLTEWAPEPRDVYWRNLAIPYFDLTIRRKSVRSFIQGFLPGIVLKIFLILLPTILMMMSKVEGFSSRSSLDRRSAGKYHLFLLVNVFLGSIITGTAFQQLKTFLHQPPTEIPKTVGESIPMKATFFITYTMVDGWAGIAAEILRLVPLVIFHLKNMFLVKTEQDREEAMDPGCLNFATYEPKIQFYFLLGLVYSAVTPVLLPFVIIFFAFSYVVFRHQVINVYDQRYESGGSFWPDVHRRLLIGLLISQFLLMGLLSTKNIEKSTIALLPLPILTIWFHVYCKGRFQSAFVRFSLQDAMTKDTLERATEPNLNLRAYLKDAYVHPVFKGRSHFDSPLLVPDEENNTLVLTRRSS >KJB42060 pep chromosome:Graimondii2_0_v6:7:10954543:10962179:-1 gene:B456_007G134700 transcript:KJB42060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLREISVSAALNLLSAFAFLVAFAILRLQPINDRVYFPKWYRRRIRNSPRRSGVCLTRFVNLDWRTYIKFLNWMPAALRMPELELIDHAGLDSVVYIRIYLLGLKVIGPLAVLAFLVLVPVNWTGETLEGVKNLAYNDIDKLSISNVPDGSKRFWVHIVMSYVFALWTFYVLYVEYKEVAAMRLRYLASENRRPDQFTVLVRNVPPDPDETVSEHIEHFFRVNHPDSYLTHQVVYNANKLAKLVQKKKSLQNWYTYYLNKYERTSKRPTTRTGFGGVVGTKVDAIDYYSSEIQKLSEAEALGREKVLSDPKAIVRAAFVSFKSRWAAAVCAQTQLSHNPTIWLTEWAPEPRDVYWRNLAIPYFDLTIRRKSVRSFIQGFLPGIVLKIFLILLPTILMMMSKVEGFSSRSSLDRRSAGKYHLFLLVNVFLGSIITGTAFQQLKTFLHQPPTEIPKTVGESIPMKATFFITYTMVDGWAGIAAEILRLVPLVIFHLKNMFLVKTEQDREEAMDPGCLNFATYEPKIQFYFLLGLVYSAVTPVLLPFVIIFFAFSYVVFRHQVINVYDQRYESGGSFWPDVHRRLLIGLLISQFLLMGLLSTKNIEKSTIALLPLPILTIWFHVYCKGRFQSAFVRFSLQDAMTKDTLERATEPNLNLRAYLKDAYVHPVFKGRSHFDSPLLVPDEENNTLVLTRRSS >KJB45778 pep chromosome:Graimondii2_0_v6:7:54649996:54651585:-1 gene:B456_007G327300 transcript:KJB45778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISLDGNNWEGTIPKCIGNLSSSLTTVYLGNNNFHGQIPENFAKGCMLKSLHINNNELEGSLPRSLGNCKGLNLLNVGNNNLNDTFPSWLGNLDQLQVLILRSNRFYGQIESFDITVSLTKLRIIDLSHNNFSGYLPTPFFEHMHAIRDEYGKKVEPNYMREVKPTKDAFGPDWVNYAYGLSVTAKGLEIKFETLLSIWTVIDLSSNQFRGEIPKILGNLSELESLDLSSNKLEGRIPTELKNLGFLEVLNLSQNNLKGLIPQGKQFDTFTNDSYMGNLDLCGLPLSNNCGTGEETLAKFDRDDDGDELSWKFPILMGYGCGLVLGMSMAYIVFTTGKPWWLIRIVERVRQRFAKR >KJB45943 pep chromosome:Graimondii2_0_v6:7:56480115:56480725:-1 gene:B456_007G339400 transcript:KJB45943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPVSISLFPFLFVVAAICFSFCHANSNVLCIKSEGEGLLKLKNDLIDPSNKLSSWVEGGDFCKWIGVVCHNSTGHVNQLHLAAAALSAPDFDAPPAEWEAYERSKLRGKINPSLLELKHLGSLDFSNNNFSSIQIPKFFGLLGSLTYLNLSREQFQGAIPHNLGNLSKLQYLDLRSNNW >KJB44144 pep chromosome:Graimondii2_0_v6:7:32093876:32095145:-1 gene:B456_007G236800 transcript:KJB44144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDENGLKKGPWTLEEDQKLVKYIKENGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILNLHSILGNKWSAIASHLPGRTDNEIKNFWNTHLRKKLIQMGIDPMTHRPRIDIFSSLPHLIALANLKELMDQQQPWEEAAQMAKLQCLQYLLQNPPSISSPSNNMHTTFTDMETMDISNSLLSSPPQLASVQGLDDSIPFSRLPDLQIPCDYRISSSSKDDTAQAQAQKHAMLSQGENTCNSTWLPSPSAVPSVTETLISNLGDASCSSNFDVAAPSVWLDDHQLFQDPLFHNLL >KJB42214 pep chromosome:Graimondii2_0_v6:7:11852848:11853793:-1 gene:B456_007G142300 transcript:KJB42214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISTTTFTSSITPALKPSARVASPVAIGFPAIAKKGKVMCSMEKKGSGEENGSTMGMRASLLAAAAAACAATVSSPSAMGLVDERMSTEGTGLPFGLSNNLLGWILFGVFGLIWALYFVYTSSLDEDDDSGLSL >KJB41011 pep chromosome:Graimondii2_0_v6:7:6256112:6262639:-1 gene:B456_007G087200 transcript:KJB41011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGEADRKRRHFASISPTAVDSKKQPFSPTSEEKKLDTQVLQFQNQKLLQKLEAQKVEYSAFENKFIQLKNKQKPYDSTLQVANKSWEVLITGLESRAIHTQEAGRQNGECAPNTEDGALFPAEDAFLSRLMETGATESSSSNICPVQMKEDREQTASEKSGNILHNIVVAINDLWCLKDGLYAVVKKDLPIYGSCRQKAFCDLESEVKNLRLALGDIHLNHRSLVRELQSHRDIDAKNKAELKRLKGELEIAVAELQVSNCKLATLKAERDATKGAFFPVLNLGGKPVAGDKFKDKHRDLQEMESALKKLLEQASTRLTELKGLHEERIEILQQLSNLQNTLKSIKCISSAQVYLLVRDQLERSKSEVSRYQDLYEKLQVEKDNLAWKEKELSIKNDIADVFQRSLAISDSRASHMGAEIQRQIDERKRIEAKLEEASREPGRKEIIAEFKSLLSSFPEEMSLMQSQLGKYKEAVVDIHSLRADVQSLYTTLDRKAKECENLSVRSVEQVAETNKLQAMVQELKDSDVELKLIFDMYRHEFTDSRDVLEARDSEYKAWAHVQSLKSCLDEQNLELRVKTANEAEAVSQQRLAAAEAEIAELRQKLEASRRGKARLSDVLKSKNEENEAYLSEIESIGQSYDDMQTQNQQLLQQVTERDDYNIKLFLEGVGSKQLQDALLFEKHTMEKDLQQASSSLDFYEMKAARIEDQLRFCSDQVQKLAEERFQNSVSLENTQKRLADIRRSSHQARESLEDSQSKIERSRVALVELQIELERQRFSKKRNEEELEVVRRKVLRLQAEIEGSSTVQRLQQELREYKEILKCSICLDRPKEVKCAIRI >KJB41010 pep chromosome:Graimondii2_0_v6:7:6255282:6262952:-1 gene:B456_007G087200 transcript:KJB41010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGEADRKRRHFASISPTAVDSKKQPFSPTSEEKKLDTQVLQFQNQKLLQKLEAQKVEYSAFENKFIQLKNKQKPYDSTLQVANKSWEVLITGLESRAIHTQEAGRQNGECAPNTEDGALFPAEDAFLSRLMETGATESSSSNICPVQMKEDREQTASEKSGNILHNIVVAINDLWCLKDGLYAVVKKDLPIYGSCRQKAFCDLESEVKNLRLALGDIHLNHRSLVRELQSHRDIDAKNKAELKRLKGELEIAVAELQVSNCKLATLKAERDATKGAFFPVLNLGGKPVAGDKFKDKHRDLQEMESALKKLLEQASTRLTELKGLHEERIEILQQLSNLQNTLKSIKCISSAQVYLLVRDQLERSKSEVSRYQDLYEKLQVEKDNLAWKEKELSIKNDIADVFQRSLAISDSRASHMGAEIQRQIDERKRIEAKLEEASREPGRKEIIAEFKSLLSSFPEEMSLMQSQLGKYKEAVVDIHSLRADVQSLYTTLDRKAKECENLSVRSVEQVAETNKLQAMVQELKDSDVELKLIFDMYRHEFTDSRDVLEARDSEYKAWAHVQSLKSCLDEQNLELRVKTANEAEAVSQQRLAAAEAEIAELRQKLEASRRGKARLSDVLKSKNEENEAYLSEIESIGQSYDDMQTQNQQLLQQVTERDDYNIKLFLEGVGSKQLQDALLFEKHTMEKDLQQASSSLDFYEMKAARIEDQLRFCSDQVQKLAEERFQNSVSLENTQKRLADIRRSSHQARESLEDSQSKIERSRVALVELQIELERQRFSKKRNEEELEVVRRKVLRLQAEIEGSSTVQRLQQELREYKEILKCSICLDRPKEVVITKCYHLFCNPCVQKIIGSRHRKCPVCAASFGANDVKPVYI >KJB41008 pep chromosome:Graimondii2_0_v6:7:6255282:6262639:-1 gene:B456_007G087200 transcript:KJB41008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGEADRKRRHFASISPTAVDSKKQPFSPTSEEKKLDTQVLQFQNQKLLQKLEAQKVEYSAFENKFIQLKNKQKPYDSTLQVANKSWEVLITGLESRAIHTQEAGRQNGECAPNTEDGALFPAEDAFLSRLMETGATESSSSNICPVQMKEDREQTASEKSGNILHNIVVAINDLWCLKDGLYAVVKKDLPIYGSCRQKAFCDLESEVKNLRLALGDIHLNHRSLVRELQSHRDIDAKNKAELKRLKGELEIAVAELQVSNCKLATLKAERDATKGAFFPVLNLGGKPVAGDKFKDKHRDLQEMESALKKLLEQASTRLTELKGLHEERIEILQQLSNLQNTLKSIKCISSAQVYLLVRDQLERSKSEVSRYQDLYEKLQVEKDNLAWKEKELSIKNDIADVFQRSLAISDSRASHMGAEIQRQIDERKRIEAKLEEASREPGRKEIIAEFKSLLSSFPEEMSLMQSQLGKYKEAVVDIHSLRADVQSLYTTLDRKAKECENLSVRSVEQVAETNKLQAMVQELKDSDVELKDVLEARDSEYKAWAHVQSLKSCLDEQNLELRVKTANEAEAVSQQRLAAAEAEIAELRQKLEASRRGKARLSDVLKSKNEENEAYLSEIESIGQSYDDMQTQNQQLLQQVTERDDYNIKLFLEGVGSKQLQDALLFEKHTMEKDLQQASSSLDFYEMKAARIEDQLRFCSDQVQKLAEERFQNSVSLENTQKRLADIRRSSHQARESLEDSQSKIERSRVALVELQIELERQRFSKKRNEEELEVVRRKVLRLQAEIEGSSTVQRLQQELREYKEILKCSICLDRPKEVVITKCYHLFCNPCVQKIIGSRHRKCPVCAASFGANDVKPVYI >KJB41009 pep chromosome:Graimondii2_0_v6:7:6255741:6262497:-1 gene:B456_007G087200 transcript:KJB41009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGEADRKRRHFASISPTAVDSKKQPFSPTSEEKKLDTQVLQFQNQKLLQKLEAQKVEYSAFENKFIQLKNKQKPYDSTLQVANKSWEVLITGLESRAIHTQEAGRQNGECAPNTEDGALFPAEDAFLSRLMETGATESSSSNICPVQMKEDREQTASEKSGNILHNIVVAINDLWCLKDGLYAVVKKDLPIYGSCRQKAFCDLESEVKNLRLALGDIHLNHRSLVRELQSHRDIDAKNKAELKRLKGELEIAVAELQVSNCKLATLKAERDATKGAFFPVLNLGGKPVAGDKFKDKHRDLQEMESALKKLLEQASTRLTELKGLHEERIEILQQLSNLQNTLKSIKCISSAQVYLLVRDQLERSKSEVSRYQDLYEKLQVEKDNLAWKEKELSIKNDIADVFQRSLAISDSRASHMGAEIQRQIDERKRIEAKLEEASREPGRKEIIAEFKSLLSSFPEEMSLMQSQLGKYKEAVVDIHSLRADVQSLYTTLDRKAKECENLSVRSVEQVAETNKLQAMVQELKDSDVELKLIFDMYRHEFTDSRDVLEARDSEYKAWAHVQSLKSCLDEQNLELRVKTANEAEAVSQQRLAAAEAEIAELRQKLEASRRGKARLSDVLKSKNEENEAYLSEIESIGQSYDDMQTQNQQLLQQVTERDDYNIKLFLEGVGSKQLQDALLFEKHTMEKDLQQASSSLDFYEMKAARIEDQVCMTYFVWNNLLQVIQILRLVQILLQLRFCSDQVQKLAEERFQNSVSLENTQKRLADIRRSSHQARESLEDSQSKIERSRVALVELQIELERQRFSKKRNEEELEVVRRKVLRLQAEIEGSSTVQRLQQELREYKEILKCSICLDRPKEVVITKCYHLFCNPCVQKIIGSRHRKCPVCAASFGANDVKPVYI >KJB43008 pep chromosome:Graimondii2_0_v6:7:50132934:50133677:1 gene:B456_007G2925002 transcript:KJB43008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRKEATERLLSTQGHNNLFYSEENDGVCVGDGGLVAVSNFTSILGLSIFMAACITFGFGCAIGYSSPTQSSIMEDLGLSVTEFSLFGSILSIGSLIGAAISGKITDLFGRKLTMWILNLFYIGGWLAIAFTK >KJB43006 pep chromosome:Graimondii2_0_v6:7:50132983:50133677:1 gene:B456_007G2925002 transcript:KJB43006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRKEATERLLSTQGHNNLFYSEENDGVCVGDGGLVAVSNFTSILGLSIFMAACITFGFGCAIGYSSPTQSSIMEDLGLSVTEFSLFGSILSIGSLIGAAISGKITDLFGRKLTMWILNLFYIGGWLAIAFTK >KJB43005 pep chromosome:Graimondii2_0_v6:7:50133043:50133677:1 gene:B456_007G2925002 transcript:KJB43005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRKEATERLLSTQGHNNLFYSEENDGVCVGDGGLVAVSNFTSILGLSIFMAACITFGFGCAIGYSSPTQSSIMEDLGLSVTEFSLFGSILSIGSLIGAAISGKITDLFGRKLTMWILNLFYIGGWLAIAFTK >KJB43009 pep chromosome:Graimondii2_0_v6:7:50133043:50133677:1 gene:B456_007G2925002 transcript:KJB43009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRKEATERLLSTQGHNNLFYSEENDGVCVGDGGLVAVSNFTSILGLSIFMAACITFGFGCAIGYSSPTQSSIMEDLGLSVTEFSLFGSILSIGSLIGAAISGKITDLFGRKLTMWILNLFYIGGWLAIAFTK >KJB43004 pep chromosome:Graimondii2_0_v6:7:50133043:50133677:1 gene:B456_007G2925002 transcript:KJB43004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRKEATERLLSTQGHNNLFYSEENDGVCVGDGGLVAVSNFTSILGLSIFMAACITFGFGCAIGYSSPTQSSIMEDLGLSVTEFSLFGSILSIGSLIGAAISGKITDLFGRKLTMWILNLFYIGGWLAIAFTK >KJB43007 pep chromosome:Graimondii2_0_v6:7:50132914:50133677:1 gene:B456_007G2925002 transcript:KJB43007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRKEATERLLSTQGHNNLFYSEENDGVCVGDGGLVAVSNFTSILGLSIFMAACITFGFGCAIGYSSPTQSSIMEDLGLSVTEFSLFGSILSIGSLIGAAISGKITDLFGRKLTMWILNLFYIGGWLAIAFTK >KJB44839 pep chromosome:Graimondii2_0_v6:7:47043483:47049602:-1 gene:B456_007G275600 transcript:KJB44839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVVGEIACLDPELLQLQEMSPLALKSNPEFTQMLFEQWLSLPDTYKLVMQLVNDAKARNPLNVPGNASSGSTAASNALPSMFPAGSAPPLSPRSISGSPRITKQRAGLSNLGSPLKVVSEPVKELIPQFYFKNGRPPPNDLKEQCMFRTSQVFYGHPDGLQLHEFKLVTREICKLPSFFSPSLFRKIDVNGTGFITRDAFIDYWVNGNILTMDIATQVYTILKQPDLKYLIQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRSQNGRLTLRELKRGNLIDAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDMDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGILTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIKPEAESYITLRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQVHVIV >KJB44842 pep chromosome:Graimondii2_0_v6:7:47042266:47049829:-1 gene:B456_007G275600 transcript:KJB44842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVVGEIACLDPELLQLQEMSPLALKSNPEFTQMLFEQWLSLPDTYKLVMQLVNDAKARNPLNVPGNASSGSTAASNALPSMFPAGSAPPLSPRSISGSPRITKQRAGLSNLGSPLKVVSEPVKELIPQFYFKNGRPPPNDLKEQCMFRTSQVFYGHPDGLQLHEFKLVTREICKLPSFFSPSLFRKIDVNGTGFITRDAFIDYWVNGNILTMDIATQVYTILKQPDLKYLIQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRSQNGRLTLRELKRGNLIDAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDMDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGILTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIKPEAESYITLRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEDDVEDASNGSAEVWDESLEAPF >KJB44838 pep chromosome:Graimondii2_0_v6:7:47042266:47049858:-1 gene:B456_007G275600 transcript:KJB44838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVVGEIACLDPELLQLQEMSPLALKSNPEFTQMLFEQWLSLPDTYKLVMQLVNDAKARNPLNVPGNASSGSTAASNALPSMFPAGSAPPLSPRSISGSPRITKQRAGLSNLGSPLKVVSEPVKELIPQFYFKNGRPPPNDLKEQCMFRTSQVFYGHPDGLQLHEFKLVTREICKLPSFFSPSLFRKIDVNGTGFITRDAFIDYWVNGNILTMDIATQVYTILKQPDLKYLIQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRSQNGRLTLRELKRGNLIDAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDMDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGILTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIKPEAESYITLRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEDDVEDASNGSAEVWDESLEAPF >KJB44843 pep chromosome:Graimondii2_0_v6:7:47042266:47049829:-1 gene:B456_007G275600 transcript:KJB44843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVVGEIACLDPELLQLQEMSPLALKSNPEFTQMLFEQWLSLPDTYKLVMQLVNDAKARNPLNVPGNASSGSTAASNALPSMFPAGSAPPLSPRSISGSPRITKQRAGLSNLGSPLKVVSEPVKELIPQFYFKNGRPPPNDLKEQCMFRTSQVFYGHPDGLQLHEFKLVTREICKLPSFFSPSLFRKIDVNGTGFITRDAFIDYWVNGNILTMDIATQVYTILKQPDLKYLIQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRSQNGRLTLRELKRGNLIDAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDMDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGILTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIKPEAESYITLRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEDDVEDASNGSAEVWDESLEAPF >KJB44841 pep chromosome:Graimondii2_0_v6:7:47042266:47050020:-1 gene:B456_007G275600 transcript:KJB44841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVVGEIACLDPELLQLQEMSPLALKSNPEFTQMLFEQWLSLPDTYKLVMQLVNDAKARNPLNVPGNASSGSTAASNALPSMFPAGSAPPLSPRSISGSPRITKQRAGLSNLGSPLKVVSEPVKELIPQFYFKNGRPPPNDLKEQCMFRTSQVFYGHPDGLQLHEFKLVTREICKLPSFFSPSLFRKIDVNGTGFITRDAFIDYWVNGNILTMDIATQVYTILKQPDLKYLIQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRSQNGRLTLRELKRGNLIDAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDMDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGILTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIKPEAESYITLRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEDDVEDASNGSAEVWDESLEAPF >KJB44840 pep chromosome:Graimondii2_0_v6:7:47042266:47050022:-1 gene:B456_007G275600 transcript:KJB44840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVVGEIACLDPELLQLQEMSPLALKSNPEFTQMLFEQWLSLPDTYKLVMQLVNDAKARNPLNVPGNASSGSTAASNALPSMFPAGSAPPLSPRSISGSPRITKQRAGLSNLGSPLKVVSEPVKELIPQFYFKNGRPPPNDLKEQCMFRTSQVFYGHPDGLQLHEFKLVTREICKLPSFFSPSLFRKIDVNGTGFITRDAFIDYWVNGNILTMDIATQVYTILKQPDLKYLIQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRSQNGRLTLRELKRGNLIDAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDMDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGILTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIKPEAESYITLRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEDDVEDASNGSAEVWDESLEAPF >KJB39500 pep chromosome:Graimondii2_0_v6:7:1293295:1296392:-1 gene:B456_007G016900 transcript:KJB39500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENDGSKIGSSGQNLVPVDTDTVNDSGKPKTKSDSVTVTKTTEEPPPAAGEAINGDGFNENNHHHHQQMATMTDKLNGFTSVQNGGNNGEILKKNITDLVEILSKLNPMAEEFIPPSLINHHQQQHNLNDNQFLENGFGFRAENTNRRKRTYFSQGKRRLNNRTSIAQREDAIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYKEEDAKAALNLSGTILGYYPLKVMPSKTAIAPVNPTFLPRSEDEREMCTRTIYCTNIDKKITQADVKLFFESVCGEVQRLRLLGDYHHSTRIAFVEFTLADSAIAALNCSGAVLGSLPIRVSPSKTPVRPRAPRSALG >KJB39502 pep chromosome:Graimondii2_0_v6:7:1294419:1295948:-1 gene:B456_007G016900 transcript:KJB39502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENDGSKIGSSGQNLVPVDTDTVNDSGKPKTKSDSVTVTKTTEEPPPAAGEAINGDGFNENNHHHHQQMATMTDKLNGFTSVQNGGNNGEILKKNITDLVEILSKLNPMAEEFIPPSLINHHQQQHNLNDNQFLENGFGFRAENTNRRKRTYFSQGKRRLNNRTSIAQREDAIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYKEEDAKAALNLSGTILGYYPLKVMPSKTAIAPVNPTFLPRSEDEREMCTRTIYCTNIDKKITQADVKLFFESVCGEVFND >KJB39499 pep chromosome:Graimondii2_0_v6:7:1293221:1296414:-1 gene:B456_007G016900 transcript:KJB39499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENDGSKIGSSGQNLVPVDTDTVNDSGKPKTKSDSVTVTKTTEEPPPAAGEAINGDGFNENNHHHHQQMATMTDKLNGFTSVQNGGNNGEILKKNITDLVEILSKLNPMAEEFIPPSLINHHQQQHNLNDNQFLENGFGFRAENTNRRKRTYFSQGKRRLNNRTSIAQREDAIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYKEEDAKAALNLSGTILGYYPLKVMPSKTAIAPVNPTFLPRSEDEREMCTRTIYCTNIDKKITQADVKLFFESVCGEVQRLRLLGDYHHSTRIAFVEFTLADSAIAALNCSGAVLGSLPIRVSPSKTPVRPRAPRSALG >KJB39501 pep chromosome:Graimondii2_0_v6:7:1293295:1296392:-1 gene:B456_007G016900 transcript:KJB39501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENDGSKIGSSGQNLVPVDTDTVNDSGKPKTKSDSVTVTKTTEEPPPAAGEAINGDGFNENNHHHHQQMATMTDKLNGFTSVQNGGNNGEILKKNITDLVEILSKLNPMAEEFIPPSLINHHQQQHNLNDNQFLENGFGFRAENTNRRKRTYFSQGKRRLNNRTSIAQREDAIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYKEEDAKAALNLSGTILGYYPLKVMPSKTAIAPVNPTFLPRSEDEREMCTRTIYCTNIDKKITQADVKLFFESVCGEVQRLRLLGDYHHSTRIAFVEFTLADSAIAALNCSGAVLGSLPIRVSPSKTPVRPRAPRSALG >KJB39503 pep chromosome:Graimondii2_0_v6:7:1294288:1295948:-1 gene:B456_007G016900 transcript:KJB39503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENDGSKIGSSGQNLVPVDTDTVNDSGKPKTKSDSVTVTKTTEEPPPAAGEAINGDGFNENNHHHHQQMATMTDKLNGFTSVQNGGNNGEILKKNITDLVEILSKLNPMAEEFIPPSLINHHQQQHNLNDNQFLENGFGFRAENTNRRKRTYFSQGKRRLNNRTSIAQREDAIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYKEEDAKAALNLSGTILGYYPLKVMPSKTAIAPVNPTFLPRSEDEREMCTRTIYCTNIDKKITQADVKLFFESVCGEVQRLRLLGDYHHSTRIAFVEFTLVVTLLQSLFTESWHDVEFLGIVLS >KJB39968 pep chromosome:Graimondii2_0_v6:7:2796320:2806189:-1 gene:B456_007G040200 transcript:KJB39968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTEIEVRTLSGESTTITVSPETTINDLKHLLKLSFAPATSSPNFHLFFKGTKLNLQCKVSSLAFQSGEFFVLIPFTKKNKPQVENPSFSNDASAASSFADSTYSDMMQEFSYLRDNSRKFSEGNNNNSNGHGDDDDYSFKSRRIQGGNIDKDERNEGHPYDLLWNVLRSSDRDLFEEKNCEKFVEVLQSMNCLSSPYSGKCMLLSEAIFRTSDDHASCLCPAWLRGLVEAFALLSILWAFLQLQTERMTTSRLKQVLGHLEKFGVGICMEDIEHLSVICPKSKKNYENLLSFSLEDLLLFVKKGDYVLERNEAKRARRSSSSASNSHSVKRRCHDTSQLLPSEMVEHLRKSIGSDGQMVHVEKIGARKGSYVEIPNELSDKSKSALKSIGINKLYSHQAESILASLSGKNVVVATMTSSGKSVCYNLPVLEALSHNLSSCALYLFPTKALAQDQLRALLTLTNGFDCGINIGVYDGDTSQKERTWLRENARLLITNPDMLHMAILPLHRQFSRILSNLSFVVIDEAHAYKGAFGCHTALILRRLRRLCSHVYGSDPSFVFCTATSSNPREHCMELANLSTLELIEKDGSPSSEKIFVLWNPVLPLRTELDKSEFGIDGRNASDKSLSPISEVSHLFAEMVQHGLRCIAFCKSRKLCELVLCYTREILEEVAPHLVNSICAYRAGYVSEDRRRIESEFFGGKLCGIAATNALELGIDVGHIDVTLHLGFPGSIASLWQQAGRSGRRERSSLAVYVAFEGPLDQYFMKFPQKLFCGPIECCHIDAQNQQVLEQHLVCAALEHPLSLLYDEKYFGSGLSKAINALKNRGYLTSNPSNDSLSKIWSYMGHEKRPSRSISIRAIEAERYIVIDKQLNETLEEIEESRAFFQVYEGAVYLHQGRTYLVKDLDLSRKIAYCEKAVMDYYTKTRDYTDIHIVGGKIAYPARVSKDQLPKTTAQANPCSVTTTWFGFRRIRRGSNQVLDTVDLSLPRYSYESQAVWISVPQSLKIIVEKKYSFRAGLHAACHAVLHVVPLYMRCNLSDLAPECPNPYDSRFFPERILLYDQHPGGTGVSKQIQPYFTELLHSALELLTCCHCSSDTGCPNCVQVCLNSQVVYLFICGSHHLFYPDSLVFPKAPMSGTCV >KJB39967 pep chromosome:Graimondii2_0_v6:7:2796320:2804158:-1 gene:B456_007G040200 transcript:KJB39967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKNYENLLSFSLEDLLLFVKKGDYVLERNEAKRARRSSSSASNSHSVKRRCHDTSQLLPSEMVEHLRKSIGSDGQMVHVEKIGARKGSYVEIPNELSDKSKSALKSIGINKLYSHQAESILASLSGKNVVVATMTSSGKSVCYNLPVLEALSHNLSSCALYLFPTKALAQDQLRALLTLTNGFDCGINIGVYDGDTSQKERTWLRENARLLITNPDMLHMAILPLHRQFSRILSNLSFVVIDEAHAYKGAFGCHTALILRRLRRLCSHVYGSDPSFVFCTATSSNPREHCMELANLSTLELIEKDGSPSSEKIFVLWNPVLPLRTELDKSEFGIDGRNASDKSLSPISEVSHLFAEMVQHGLRCIAFCKSRKLCELVLCYTREILEEVAPHLVNSICAYRAGYVSEDRRRIESEFFGGKLCGIAATNALELGIDVGHIDVTLHLGFPGSIASLWQQAGRSGRRERSSLAVYVAFEGPLDQYFMKFPQKLFCGPIECCHIDAQNQQVLEQHLVCAALEHPLSLLYDEKYFGSGLSKAINALKNRGYLTSNPSNDSLSKIWSYMGHEKRPSRSISIRAIEAERYIVIDKQLNETLEEIEESRAFFQVYEGAVYLHQGRTYLVKDLDLSRKIAYCEKAVMDYYTKTRDYTDIHIVGGKIAYPARVSKDQLPKTTAQANPCSVTTTWFGFRRIRRGSNQVLDTVDLSLPRYSYESQAVWISVPQSLKIIVEKKYSFRAGLHAACHAVLHVVPLYMRCNLSDLAPECPNPYDSRFFPERILLYDQHPGGTGVSKQIQPYFTELLHSALELLTCCHCSSDTGCPNCVQNLACQEYNELINKDAAIIIIKGVLDAEKTYFEGHSDSTPSC >KJB39969 pep chromosome:Graimondii2_0_v6:7:2797590:2806189:-1 gene:B456_007G040200 transcript:KJB39969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTEIEVRTLSGESTTITVSPETTINDLKHLLKLSFAPATSSPNFHLFFKGTKLNLQCKVSSLAFQSGEFFVLIPFTKKNKPQVENPSFSNDASAASSFADSTYSDMMQEFSYLRDNSRKFSEGNNNNSNGHGDDDDYSFKSRRIQGGNIDKDERNEGHPYDLLWNVLRSSDRDLFEEKNCEKFVEVLQSMNCLSSPYSGKCMLLSEAIFRTSDDHASCLCPAWLRGLVEAFALLSILWAFLQLQTERMTTSRLKQVLGHLEKFGVGICMEDIEHLSVICPKSKKNYENLLSFSLEDLLLFVKKGDYVLERNEAKRARRSSSSASNSHSVKRRCHDTSQLLPSEMVEHLRKSIGSDGQMVHVEKIGARKGSYVEIPNELSDKSKSALKSIGINKLYSHQAESILASLSGKNVVVATMTSSGKSVCYNLPVLEALSHNLSSCALYLFPTKALAQDQLRALLTLTNGFDCGINIGVYDGDTSQKERTWLRENARLLITNPDMLHMAILPLHRQFSRILSNLSFVVIDEAHAYKGAFGCHTALILRRLRRLCSHVYGSDPSFVFCTATSSNPREHCMELANLSTLELIEKDGSPSSEKIFVLWNPVLPLRTELDKSEFGIDGRNASDKSLSPISEVSHLFAEMVQHGLRCIAFCKSRKLCELVLCYTREILEEVAPHLVNSICAYRAGYVSEDRRRIESEFFGGKLCGIAATNALELGIDVGHIDVTLHLGFPGSIASLWQQAGRSGRRERSSLAVYVAFEGPLDQYFMKFPQKLFCGPIECCHIDAQNQQVLEQHLVCAALEHPLSLLYDEKYFGSGLSKAINALKNRGYLTSNPSNDSLSKIWSYMGHEKRPSRSISIRAIEAERYIVIDKQLNETLEEIEESRAFFQVYEGAVYLHQGRTYLVKDLDLSRKIAYCEKAVMDYYTKTRDYTDIHIVGGKIAYPARVSKDQLPKTTAQANPCSVTTTWFGFRRIRRGSNQVLDTVDLSLPRYSYESQFGLVFHNP >KJB44666 pep chromosome:Graimondii2_0_v6:7:43776835:43778592:1 gene:B456_007G264200 transcript:KJB44666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLESITESPFPQNPNNSSSSSSSSSIGLYGWLVECHGFWHNLALIIPSLLFVLFLGFQAKKNFQKLSHGRSYIMISYYGCLWLVSLLNLVWCFVQAWECTPGKEMAWNILSLFTTSGMLFLEVSLLAFLLQGNHASGLQALTRTFVISGLIVGLDLLLKVFILFLNISPFLEVAYLLLVNQMVVEELQVLPSCKLYY >KJB44667 pep chromosome:Graimondii2_0_v6:7:43776835:43779919:1 gene:B456_007G264200 transcript:KJB44667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLESITESPFPQNPNNSSSSSSSSSIGLYGWLVECHGFWHNLALIIPSLLFVLFLGFQAKKNFQKLSHGRSYIMISYYGCLWLVSLLNLVWCFVQAWECTPGKEMAWNILSLFTTSGMLFLEVSLLAFLLQGNHASGLQALTRTFVISGLIVGLDLLLKAIYLFGFGVPLFIDNSEHPRQIKWGLWVVHRLVLTAIYGSILFMYHSKWRERLPGMFI >KJB44665 pep chromosome:Graimondii2_0_v6:7:43776750:43779941:1 gene:B456_007G264200 transcript:KJB44665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLESITESPFPQNPNNSSSSSSSSSIGLYGWLVECHGFWHNLALIIPSLLFVLFLGFQAKKNFQKLSHGRSYIMISYYGCLWLVSLLNLVWCFVQAWECTPGKEMAWNILSLFTTSGMLFLEVSLLAFLLQGNHASGLQALTRTFVISGLIVGLDLLLKAIYLFGFGVPLFIDNSEHPRQIKWGLWVVHRLVLTAIYGSILFMYHSKWRERLPARPAFYKYVAFMFILNALELFACALTGNGASFGFWLYSATIVCYHAFYLPLLYITFLADFFEEEDMHLENVYYSEMKDAGFFDADWE >KJB46068 pep chromosome:Graimondii2_0_v6:7:57759752:57762363:-1 gene:B456_007G347300 transcript:KJB46068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLLPQFKCQPDTFSIQFRAHHSHHSKHKESICFRSQCVLSTTSSTSMATTAVLDVEKLRLPSFEAHSNSVVTDRPWTYTGATGPPSEASFGATLATENLLTSDEAVIAAAAAEAVALARAAAKVAKDAAIMVKNHGSAKTEMKSPGSSATDTLTSKWGLFTEAERAGIVGDYVSDELELEEDHLEQNSTKESDELEPTNEELKLLEEQLSRSIAVRSHRQTERKAKRTRAAEKAATNVVSVKSGSTNRKRRGALQDIDYSDPLRYLRGTTNTSKLLTANEELELSEGIQDLLKLEGLHEELAERCGRPPTFAQWAAAAEVDRKTLRERLNYGTICMDKMIKSNIRLVISIAKNYQGAGMNLQDLVQCLNLE >KJB46072 pep chromosome:Graimondii2_0_v6:7:57758722:57762561:-1 gene:B456_007G347300 transcript:KJB46072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNHGSAKTEMKSPGSSATDTLTSKWGLFTEAERAGIVGDYVSDELELEEDHLEQNSTKESDELEPTNEELKLLEEQLSRSIAVRSHRQTERKAKRTRAAEKAATNVVSVKSGSTNRKRRGALQDIDYSDPLRYLRGTTNTSKLLTANEELELSEGIQDLLKLEGLHEELAERCGRPPTFAQWAAAAEVDRKTLRERLNYGTICMDKMIKSNIRLVISIAKNYQGAGMNLQDLVQEGCRGLIRGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVKEARKQLFSENGRQPDNIEVAEATGLSMKRLTAVLLAPKAPRSLDQKIGINQNLKPSFMKKDLEKVLDSLSPRERQVIRWRFGMEDGRVKTLQEIGESMGVSRERIRQIELCAFRKLKNKRRTKHLQQYLLSYAS >KJB46073 pep chromosome:Graimondii2_0_v6:7:57758649:57762734:-1 gene:B456_007G347300 transcript:KJB46073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLLPQFKCQPDTFSIQFRAHHSHHSKHKESICFRSQCVLSTTSSTSMATTAVLDVEKLRLPSFEAHSNSVVTDRPWTYTGATGPPSEASFGATLATENLLTSDEAVIAAAAAEAVALARAAAKVAKDAAIMVKNHGSAKTEMKSPGSSATDTLTSKWGLFTEAERAGIVGDYVSDELELEEDHLEQNSTKESDELEPTNEELKLLEEQLSRSIAVRSHRQTERKAKRTRAAEKAATNVVSVKSGSTNRKRRGALQDIDYSDPLRYLRGTTNTSKLLTANEELELSEGIQDLLKLEGLHEELAERCGRPPTFAQWAAAAEVDRKTLRERLNYGTICMDKMIKSNIRLVISIAKNYQGAGMNLQDLVQEGCRGLIRGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVKEARKQLFSENGRQPDNIEVAEATGLSMKRLTAVLLAPKAPRSLDQKIGINQNLKPSFMKKDLEKVLDSLSPRERQVIRWRFGMEDGRVKTLQEIGESMGVSRERIRQIELCAFRKLKNKRRTKHLQQYLLSYAS >KJB46069 pep chromosome:Graimondii2_0_v6:7:57759231:57762363:-1 gene:B456_007G347300 transcript:KJB46069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLLPQFKCQPDTFSIQFRAHHSHHSKHKESICFRSQCVLSTTSSTSMATTAVLDVEKLRLPSFEAHSNSVVTDRPWTYTGATGPPSEASFGATLATENLLTSDEAVIAAAAAEAVALARAAAKVAKDAAIMVKNHGSAKTEMKSPGSSATDTLTSKWGLFTEAERAGIVGDYVSDELELEEDHLEQNSTKESDELEPTNEELKLLEEQLSRSIAVRSHRQTERKAKRTRAAEKAATNVVSVKSGSTNRKRRGALQDIDYSDPLRYLRGTTNTSKLLTANEELELSEGIQDLLKLEGLHEELAERCGRPPTFAQWAAAAEVDRKTLRERLNYGTICMDKMIKSNIRLVISIAKNYQGAGMNLQDLVQEGCRGLIRGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVKEARKQLFSENGRQPDNIEVAEATGLSMKRLTAVLLAPKAPRSLDQKIGINQNLKPSVRPISHAAITIPFSHF >KJB46071 pep chromosome:Graimondii2_0_v6:7:57758722:57760822:-1 gene:B456_007G347300 transcript:KJB46071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNHGSAKTEMKSPGSSATDTLTSKWGLFTEAERAGIVGDYVSDELELEEDHLEQNSTKESDELEPTNEELKLLEEQLSRSIAVRSHRQTERKAKRTRAAEKAATNVVSVKSGSTNRKRRGALQDIDYSDPLRYLRGTTNTSKLLTANEELELSEGIQDLLKLEGLHEELAERCGRPPTFAQWAAAAEVDRKTLRERLNYGTICMDKMIKSNIRLVISIAKNYQGAGMNLQDLVQEGCRGLIRGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVKEARKQLFSENGRQPDNIEVAEATGLSMKRLTAVLLAPKAPRSLDQKIGINQNLKPSEVIADPEADSAEDILLKQFMKKDLEKVLDSLSPRERQVIRWRFGMEDGRVKTLQEIGESMGVSRERIRQIELCAFRKLKNKRRTKHLQQYLLSYAS >KJB46070 pep chromosome:Graimondii2_0_v6:7:57758649:57762734:-1 gene:B456_007G347300 transcript:KJB46070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLLPQFKCQPDTFSIQFRAHHSHHSKHKESICFRSQCVLSTTSSTSMATTAVLDVEKLRLPSFEAHSNSVVTDRPWTYTGATGPPSEASFGATLATENLLTSDEAVIAAAAAEAVALARAAAKVAKDAAIMVKNHGSAKTEMKSPGSSATDTLTSKWGLFTEAERAGIVGDYVSDELELEEDHLEQNSTKESDELEPTNEELKLLEEQLSRSIAVRSHRQTERKAKRTRAAEKAATNVVSVKSGSTNRKRRGALQDIDYSDPLRYLRGTTNTSKLLTANEELELSEGIQDLLKLEGLHEELAERCGRPPTFAQWAAAAEVDRKTLRERLNYGTICMDKMIKSNIRLVISIAKNYQGAGMNLQDLVQEGCRGLIRGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVKEARKQLFSENGRQPDNIEVAEATGLSMKRLTAVLLAPKAPRSLDQKIGINQNLKPSEVIADPEADSAEDILLKQFMKKDLEKVLDSLSPRERQVIRWRFGMEDGRVKTLQEIGESMGVSRERIRQIELCAFRKLKNKRRTKHLQQYLLSYAS >KJB39317 pep chromosome:Graimondii2_0_v6:7:510497:517333:1 gene:B456_007G006100 transcript:KJB39317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIEEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEYANVLLPPLETLCTVEETCVRDKAVESLCRIGAQMREQDLVEYFIPLVKRLAAGEWFTARVSSCGLFHIAYPSAPEALKTELRAIYSQLCQDDMPMVRRSAATNLGKFAATVEAPHLKVDIMSMFDDLTHDDQDSVRLLAVEGCAALGKLLEPQDCVAHFLPVIVNFSQSWRVRYMVANQLYELCEAVGPEPTRSELVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPELAIQHILPCVKELSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLYRMTILHAISLLAPVMGSDITCSKLLPVVINASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPCLVELSEDPDVDVRFFATQALESCNQVMMS >KJB39314 pep chromosome:Graimondii2_0_v6:7:510497:517333:1 gene:B456_007G006100 transcript:KJB39314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIEEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEYANVLLPPLETLCTVEETCVRDKAVESLCRIGAQMREQDLVEYFIPLVKRLAAGEWFTARVSSCGLFHIAYPSAPEALKTELRAIYSQLCQDDMPMVRRSAATNLGKFAATVEAPHLKVDIMSMFDDLTHDDQDSVRLLAVEGCAALGKLLEPQDCVAHFLPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRSELVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPELAIQHILPCVKELSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLYRMTILHAISLLAPVMGSDITCSKLLPVVINASKDR >KJB39316 pep chromosome:Graimondii2_0_v6:7:510497:516238:1 gene:B456_007G006100 transcript:KJB39316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIEEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEYANVLLPPLETLCTVEETCVRDKAVESLCRIGAQMREQDLVEYFIPLVKRLAAGEWFTARVSSCGLFHIAYPSAPEALKTELRAIYSQLCQDDMPMVRRSAATNLGKFAATVEAPHLKVDIMSMFDDLTHDDQDSVRLLAVEGCAALGKLLEPQDCVAHFLPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRSELVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPELAIQHILPCVKELSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLYRMTILHAISLLAPVMGSDITCSKLLPVVINASKDR >KJB39318 pep chromosome:Graimondii2_0_v6:7:511395:517333:1 gene:B456_007G006100 transcript:KJB39318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMVRRSAATNLGKFAATVEAPHLKVDIMSMFDDLTHDDQDSVRLLAVEGCAALGKLLEPQDCVAHFLPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRSELVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPELAIQHILPCVKELSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLYRMTILHAISLLAPVMGSDITCSKLLPVVINASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPCLVELSEDPDVDVRFFATQALESCNQVMMS >KJB39315 pep chromosome:Graimondii2_0_v6:7:511002:515789:1 gene:B456_007G006100 transcript:KJB39315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIEEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEYANVLLPPLETLCTVEETCVRDKAVESLCRIGAQMREQDLVEYFIPLVKRLAAGEWFTARVSSCGLFHIAYPSAPEALKTELRAIYSQLCQDDMPMVRRSAATNLGKFAATVEAPHLKVDIMSMFDDLTHDDQDSVRLLAVEGCAALGKLLEPQDCVAHFLPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRSELVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPELAIQHILPCVKELSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLYRMTILHAISLLAPVMGSDITCSKLLPVVINASKDR >KJB39313 pep chromosome:Graimondii2_0_v6:7:510400:517431:1 gene:B456_007G006100 transcript:KJB39313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIEEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEYANVLLPPLETLCTVEETCVRDKAVESLCRIGAQMREQDLVEYFIPLVKRLAAGEWFTARVSSCGLFHIAYPSAPEALKTELRAIYSQLCQDDMPMVRRSAATNLGKFAATVEAPHLKVDIMSMFDDLTHDDQDSVRLLAVEGCAALGKLLEPQDCVAHFLPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRSELVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPELAIQHILPCVKELSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLYRMTILHAISLLAPVMGSDITCSKLLPVVINASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPCLVELSEDPDVDVRFFATQALESCNQVMMS >KJB45825 pep chromosome:Graimondii2_0_v6:7:55411056:55414872:1 gene:B456_007G331400 transcript:KJB45825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEKCKEQENPNIGHQISCGWWPSSPKSIGKMQAKKPYLPLGKENSHQTLKPIKEKVRSLVDLSESQALTLNLQMADEHISTVLEQLRRIMADERFRNSEFQIDLLVCRLEAFQSMILYHGDGLFSVRLLERGITVHSLDQVLYNIEDVISDDLHLGVSTELAAAGLEKFASTQSKAASAETATLYILRKRLEELEEVLIGHPHESHPLHSKRSFFVKLSKLYGLDVVKKDIINVILGESFRDSSDSIKTIIIVGMEGTGKTYLARCICTDCQVLEIFDNIIWVNVSDDFDLGKIARKIILSLEGLEDDSLRLLTLVPLQSLLDRIQRKIVNKKSLLVLDGVGRYDCDDWEALRAVFQHGMSGSGILVTTHEHSVAGAMESSYIFCLGKLSDELCWMILCEVGLNDDTLEYAVEDIGRELARRCEGLPFAAKVLGDAIRHYDFGIRGWDVFLRNCIWKSPRIPKYMSKILSLSYCNLPLSVRRCLSYWAIFPKNFEISKTLLVQHWMAQGYLYSSDNLEMELKGEEYFKCLEAHSCFQYCTRDRGMLTCKMHSLVHDCVQSLSPYDLMMRFESVKQLTLNLSSWTEEVKVVGTHHLVMMIAQGAGFPMDISGAEKLRTLVAVTQGCLITSQALSNLFKQSKHLRLLDLSLTSGWHNCFGPSGQGNILDEIPVEICGLINLRYLSLAGSKVLKILPETLCDLHNLQSLDLTGCSSLRKLPDGMGKLMNLRYFYTWCCSSITSYPKGIGCLTSLRELTNVIARADHNDAKEFTLGDFEKLNNLCGHVRVKLVGNAIDADEAIRANLWNKKDLDRIRINLDGDIGKESQDVIKKALNPPSDLNIEFVGWWF >KJB44716 pep chromosome:Graimondii2_0_v6:7:44948132:44952700:-1 gene:B456_007G268200 transcript:KJB44716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSLARHDSISDKIHRYRGVLLVIAIPILLVTFVLYVMPGKSSTDTAVMEEIEINSRKVGANSRGNRNYAVIFDAGSSGSRVHVYCFDQNLDLVPIGSELELFEQLKPGLSFYAKDPQAAAKSLLSLLNKAESVVPLDLRSKTPVRVGATAGLRALEGDAADRILQAVRELLKDRSALKSEANGVKILDGTQEGSYEWVTINYLLGKLGGTYKDTVGIIDLGGGSVQMAYAISKEAASNAPNVPAGQDNYVNEMYLKGSKYYLYVHSYLRYGLLAARAEILKASDDSGNPCILEGFDGTYAYGGNQYKASAPSSGASMEECRRVTHKALKINDTCMHMKCTFGGIWNGGGGDGQKNLFIASFFFDRAAEAGFIKAADPVATVQPHSFAEAAKRACGTKYADIKATYPAVDVGNQAYLCLDLVYQYTLLVDGFGLDPYQDITLVKKVKFRNSFVEAAWPLGSAIEAVSS >KJB44717 pep chromosome:Graimondii2_0_v6:7:44948132:44952766:-1 gene:B456_007G268200 transcript:KJB44717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSLARHDSISDKIHRYRGVLLVIAIPILLVTFVLYVMPGKSSTDTAVMEEIEINSRKVGANSRGNRNYAVIFDAGSSGSRVHVYCFDQNLDLVPIGSELELFEQLKPGLSFYAKDPQAAAKSLLSLLNKAESVVPLDLRSKTPVRVGATAGLRALEGDAADRILQAVRELLKDRSALKSEANGVKILDGTQEGSYEWVTINYLLGKLGGTYKDTVGIIDLGGGSVQMAYAISKEAASNAPNVPAGQDNYVNEMYLKGSKYYLYVHSYLRYGLLAARAEILKASDDSGNPCILEGFDGTYAYGGNQYKASAPSSGASMEECRRVTHKALKINDTCMHMKCTFGGIWNGGGGDGQKNLFIASFFFDRAAEAGFIKAADPVATVQPHSFAEAAKRACGTKYADIKATYPAVDVGNQAYLCLDLVYQYTLLVDGFGLDPYQDITLVKKVKFRNSFVEAAWPLGSAIEAVSS >KJB44718 pep chromosome:Graimondii2_0_v6:7:44948128:44952805:-1 gene:B456_007G268200 transcript:KJB44718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSLARHDSISDKIHRYRGVLLVIAIPILLVTFVLYVMPGKSSTDTAVMEEIEINSRKVGANSRGNRNYAVIFDAGSSGSRVHVYCFDQNLDLVPIGSELELFEQLKPGLSFYAKDPQAAAKSLLSLLNKAESVVPLDLRSKTPVRVGATAGLRALEGDAADRILQAVRELLKDRSALKSEANGVKILDGTQEGSYEWVTINYLLGKLGGTYKDTVGIIDLGGGSVQMAYAISKEAASNAPNVPAGQDNYVNEMYLKGSKYYLYVHSYLRYGLLAARAEILKASDDSGNPCILEGFDGTYAYGGNQYKASAPSSGASMEECRRVTHKALKINDTCMHMKCTFGGIWNGGGGDGQKNLFIASFFFDRAAEAGFIKAADPVATVQPHSFAEAAKRACGTKYADIKATYPAVDVGNQAYLCLDLVYQYTLLVDGFGLDPYQDITLVKKVKFRNSFVEAAWPLGSAIEAVSS >KJB39017 pep chromosome:Graimondii2_0_v6:7:60258062:60258223:-1 gene:B456_007G3698002 transcript:KJB39017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSICQAYQSVFSCLYAISTCLSDYDPVLRSLHFESPDWLLVSLSHTVTRLQSL >KJB44059 pep chromosome:Graimondii2_0_v6:7:29536931:29540957:-1 gene:B456_007G232700 transcript:KJB44059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLNYQRHCYQLLRRKAMCGLFVLIFPLIFPCLFTPFTHASPSTFSEWNVPKPRHLRLLRSALQRERLTGEQSDLWAPLADQGWRPYLGSVNAPSLPQKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWQDSSSFMDIFDVDHFMNVLKDDIPIIKVLPDEFSWSTREYYATAIRGTRIKRAPVHASANWYLENVFPVLQSNGIAAISPFSHRLSFDNLPSEIQQLRCKVNFKALVFVPHIRALGDALVHRLRYPPGQSQASSTDYLRETTDQNGKQNPQKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQTIWQGRVLNSQFTDKELRSQGRCPLTPEEVGLLLAALGFDNNTRLYLASHKVYGGEARISTLRNLFPLMEDKKSLASSAERVHIKGKASLLAAVDYHVGMHSDIFVSASPGNMHNALVGHRTFENMKTIRPNMVLLGQLFLNKNISWSQFRQAVVEGHQNRQGQLRLRKPKQSIYTYPAPDCMCHA >KJB44062 pep chromosome:Graimondii2_0_v6:7:29538506:29540817:-1 gene:B456_007G232700 transcript:KJB44062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLNYQRHCYQLLRRKAMCGLFVLIFPLIFPCLFTPFTHASPSTFSEWNVPKPRHLRLLRSALQRERLTGEQSDLWAPLADQGWRPYLGSVNAPSLPQKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWQDSSSFMDIFDVDHFMNVLKDDIPIIKVLPDEFSWSTREYYATAIRGTRIKRAPVHASANWYLENVFPVLQSNGIAAISPFSHRLSFDNLPSEIQQLRCKVNFKALVFVPHIRALGDALVHRLRYPPGQSQASSTDYLRETTDQNGKQNPQKFVVLHLRFDKV >KJB44061 pep chromosome:Graimondii2_0_v6:7:29537093:29540916:-1 gene:B456_007G232700 transcript:KJB44061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICDAVAVAKILNATLVIPHLEVNPVWQDSSSFMDIFDVDHFMNVLKDDIPIIKVLPDEFSWSTREYYATAIRGTRIKRAPVHASANWYLENVFPVLQSNGIAAISPFSHRLSFDNLPSEIQQLRCKVNFKALVFVPHIRALGDALVHRLRYPPGQSQASSTDYLRETTDQNGKQNPQKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQTIWQGRVLNSQFTDKELRSQGRCPLTPEEVGLLLAALGFDNNTRLYLASHKVYGGEARISTLRNLFPLMEDKKSLASSAERVHIKGKASLLAAVDYHVGMHSDIFVSASPGNMHNALVGHRTFENMKTIRPNMVLLGQLFLNKNISWSQFRQAVVEGHQNRQGQLRLRKPKQSIYTYPAPDCMCHA >KJB44060 pep chromosome:Graimondii2_0_v6:7:29537093:29540817:-1 gene:B456_007G232700 transcript:KJB44060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLNYQRHCYQLLRRKAMCGLFVLIFPLIFPCLFTPFTHASPSTFSEWNVPKPRHLRLLRSALQRERLTGEQSDLWAPLADQGWRPYLGSVNAPSLPQKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWQDSSSFMDIFDVDHFMNVLKDDIPIIKVLPDEFSWSTREYYATAIRGTRIKRAPVHASANWYLENVFPVLQSNGIAAISPFSHRLSFDNLPSEIQQLRCKVNFKALVFVPHIRALGDALVHRLRYPPGQSQASSTDYLRETTDQNGKQNPQKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQTIWQGRVLNSQFTDKELRSQGRCPLTPEEVGLLLAALGFDNNTRLYLASHKVHCLTNFGFAALLLFPTLSLTTSNSLYSRYMEGKLGFPHYETSSP >KJB42844 pep chromosome:Graimondii2_0_v6:7:15311739:15321044:1 gene:B456_007G170000 transcript:KJB42844 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS1 [Source:Projected from Arabidopsis thaliana (AT2G32950) UniProtKB/TrEMBL;Acc:A0A178VXE2] MAWSVFTLRKENRSFGSLSLFLSKFYFILSRNFVYLSLHTSNPKTVTKNMEEFSTGTDPRVPAVKPDSKPSSLEEVPLEAASAAVSEDAEVDKDLLCPICMQIIKDAFLTSCGHSFCYMCIITHLRNKSDCPCCSQFLTNNQLFPNILLDKLLKKTSARQISKTASPVEQFRQALQQGYEVTIKELDNLLSLLAEKKRKMEQEEAERNMQILLDFLQCLRKQKVDELNEIQTDLQFIKEDINSVERHRVDLYRARDRYSVKLRMLGDDSSTRKPWSSSMDKNNSGIVSSSLNIRGGMPAGNLQNKKIDGKTQLSGHVSQRKDALSGADSQGFNQSGLSVARKKRIHAQFNDLQECYLQKRRQLANQLHIKQESDKNVIHREGYNAGLADFQSVLSTFTQYSRLRVIAEVRLGDLFHSANIVSSIEFDRDDELFATAGVSRRIKVFDLSMVLNEPADVHCPVVEMSTRSKLSCLSWNKHWKNHIASSDYEGIVTVWDVTTRQSLMEYEEHEKRAWSVDFSRTDPSMLVSGSDDCKVKVWCTKQEASVINIDMKANICCVKYNPGSSNFIAVGSADHHIHYYDLRNISSPLHVFSGHKKAVSYVKFLSENELASASTDSTLRLWDVKENLPLRTFKGHTNEKNFVGLTVNSEYIACGSETNEVYVYHKEISKPVTWHRFGSQEMEDADEDGGGSHFISAVCWKSDSPTMLTANSQGTIKVLVLAA >KJB42845 pep chromosome:Graimondii2_0_v6:7:15313300:15321044:1 gene:B456_007G170000 transcript:KJB42845 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS1 [Source:Projected from Arabidopsis thaliana (AT2G32950) UniProtKB/TrEMBL;Acc:A0A178VXE2] MEQEEAERNMQILLDFLQCLRKQKVDELNEIQTDLQFIKEDINSVERHRVDLYRARDRYSVKLRMLGDDSSTRKPWSSSMDKNNSGIVSSSLNIRGGMPAGNLQNKKIDGKTQLSGHVSQRKDALSGADSQGFNQSGLSVARKKRIHAQFNDLQECYLQKRRQLANQLHIKQESDKNVIHREGYNAGLADFQSVLSTFTQYSRLRVIAEVRLGDLFHSANIVSSIEFDRDDELFATAGVSRRIKVFDLSMVLNEPADVHCPVVEMSTRSKLSCLSWNKHWKNHIASSDYEGIVTVWDVTTRQSLMEYEEHEKRAWSVDFSRTDPSMLVSGSDDCKVKVWCTKQEASVINIDMKANICCVKYNPGSSNFIAVGSADHHIHYYDLRNISSPLHVFSGHKKAVSYVKFLSENELASASTDSTLRLWDVKENLPLRTFKGHTNEKNFVGLTVNSEYIACGSETNEVYVYHKEISKPVTWHRFGSQEMEDADEDGGGSHFISAVCWKSDSPTMLTANSQGTIKVLVLAA >KJB42894 pep chromosome:Graimondii2_0_v6:7:15898940:15903193:-1 gene:B456_007G173200 transcript:KJB42894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline-phosphate cytidylyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G32260) UniProtKB/Swiss-Prot;Acc:Q9ZV56] MNNDNNTHNPDSGRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDETTHKYKGKTVMTEAERYESLRHCRWVDEVIPDAPWVINQEFLDAYNIDYVAHDSLPYADASGAANDVYEFVKATGKFKETQRTEGISTSDIIMRIIKDYNQYVIRNLDRGYSRKDLGVSFVKEKRLRVNMRLKKLREKVKEHQERVGEKIQTVAMHRNEWVGNADRWVAGCNELVENADRWLAGFLEVFEEGFHKMRTNSRTFDEATIKGFCIFAGT >KJB42893 pep chromosome:Graimondii2_0_v6:7:15898874:15903459:-1 gene:B456_007G173200 transcript:KJB42893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline-phosphate cytidylyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G32260) UniProtKB/Swiss-Prot;Acc:Q9ZV56] MNNDNNTHNPDSGRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDETTHKYKGKTVMTEAERYESLRHCRWVDEVIPDAPWVINQEFLDAYNIDYVAHDSLPYADASGAANDVYEFVKATGKFKETQRTEGISTSDIIMRIIKDYNQYVIRNLDRGYSRKDLGVSFVKEKRLRVNMRLKKLREKVKEHQERVGEKIQTVAMHRNEWVGNADRWVAGCNELVENADRWLAGFLEVFEEGFHKMGAAIRERIAEHLMRQQSRDSAFLLELSDGDEDEEYYYDEDEEEADGDNEEYYDDDEYYDQKDEKNEKKEEEKEEENKQTIASTVGFEWKSFNL >KJB41530 pep chromosome:Graimondii2_0_v6:7:8224040:8239656:-1 gene:B456_007G109100 transcript:KJB41530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSGKRCRRSAGLGKWRCSEMALPSSSYCEKHNLQRNKQAQKRIRGDGDNNCNCKSRKLKRSGSVGGEFSGSEMNKKKNRREEELSGGSEEGDGLVLTEMLAREREKEEKDIKGSKVGSRNSVKEIVDSGEGKANSRKKQASVKAVRNGAGREKKSIEKNKTSKSKEFGSLMCHQCQRNDKSGVVFCSSCQRKRYCYDCIEKWYSEKTRDEVEAVCPYCRGNCNCKACLREVLVVKDTRKDIEASVKLEWLKYLLHKALPVLKHIYREQSSEMEIEADIKEIDITRSKLDKSERLYCDNCNTSIVNFHRSCSRCSYDLCLICCQELREGPQPCANEAETSSQQLVERASFQTIEKDEKSNAPRRRHGWESQVHSPGNDKAVTSSHLPDWRANANGSIPCPPSDYGGCGASTLELRRVFKANWVTKLINNAKDITSRYKPPDVDFYLDCSSCQNALDGNSNSSSNVRHAANRKECCDNYLFCPNAHDISDDESEHFQRHWMRGEPVIVRNVLEKTSGLSWEPMVMWRAFRETGSNVKFKEETRSVRAIDCLDWCEVEINIHQFFMGYLEGRMHNTCWPEMLKLKDWPSSTLFEERLPRHNAEFIAALPFSDYADPKFGFLNLAARLPEGSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTKVKIAPWQRKEIESMQNMHAAEDMQELYGGVDKAKVGMEERLLKTTHGDKIIVTDCAKNGSMEHGHLLLEEKHIKEGKLDNEQSNANPPVPDSGNLKIAGLDESKPGMGHSVSSENWKNNSIGTELLQVNDSNPRILDFNKNGVTQSLGVNNNPEKGSFEENSDRKLTSNMPKVDPHKFSLSSSADERDNLFVENLNNKSSILKHNVKVEAESFPENNDKGRTDKKMENFEMESSSSSSMLDKDYLKTRRMDHSLRLEKEVKNISGKDQMDENVYSSELNAATAENVTVKLNEQSVKQSKVVTESEGLADWSASYLEKIKCSNDMVVTRKDKFGISFSGDCAFSVPVSKESDQEAVKDIGNDDHLELVKGGAVWDIFRKQDVPKIIQYLEKHKKEFRHVNNLPVISVIHPIHDQTLFLNERHKRQLKEEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNIEECLRLTNEFRKLPKNHRAKEDKLEVKKMVLYAVSSTVKEAQSLMTPNYQE >KJB41532 pep chromosome:Graimondii2_0_v6:7:8234400:8238366:-1 gene:B456_007G109100 transcript:KJB41532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEADIKGSQLAEIDITRSKLDKSERLYCDNCNTSIVNFHRSCSRCSYDLCLICCQELREGPQPCANEAETSSQQLVERASFQTIEKDEKSNAPRRRHGWESQVHSPGNDKAVTSSHLPDWRANANGSIPCPPSDYGGCGASTLELRRVFKANWVTKLINNAKDITSRYKPPDVDFYLDCSSCQNALDGNSNSSSNVRHAANRKECCDNYLFCPNAHDISDDESEHFQRHWMRGEPVIVRNVLEKTSGLSWEPMVMWRAFRETGSNVKFKEETRSVRAIDCLDWCEVEINIHQFFMGYLEGRMHNTCWPEMLKLKDWPSSTLFEERLPRHNAEFIAALPFSDYADPKFGFLNLAARLPEGSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTKVKIAPWQRKEIESMQNMHAAEDMQELYGGVDKAKVGMEERLLKTTHGDKIIVTDCAKNGSMEHGHLLLEEKHIKEGKLDNEQSNANPPVPDSGNLKIAGLDESKPGMGHSVSSENWKNNSIGTELLQVNDSNPRILDFNKNGVTQSLGVNNNPEKGSFEENSDRKLTSNMPKVDPHKFSLSSSADERDNLFVENLNNKSSILKHNVKVEAESFPENNDKGRTDKKMENFEMESSSSSSMLDKDYLKTRRMDHSLRLEKEVKNISGKDQMDENVYSSELNAATAENVTVKLNEQSVKQSKGGGNNSSNVVTESEGLADWSASYLEKIKCSNDMVVTRKDKFGISFSGDCAFSVPVSKESDQEAVKDIGNDDHLELVKGGAVWDIFRKQDVPKIIQYLEKHKKEFRHVNNLPVISVIHPIHDQTLFLNERHKRQLKEEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNIEECLRLTNEFRKLPKNHRAKEDKLECLELCNNCERA >KJB41537 pep chromosome:Graimondii2_0_v6:7:8234400:8239656:-1 gene:B456_007G109100 transcript:KJB41537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSGKRCRRSAGLGKWRCSEMALPSSSYCEKHNLQRNKQAQKRIRGDGDNNCNCKSRKLKRSGSVGGEFSGSEMNKKKNRREEELSGGSEEGDGLVLTEMLAREREKEEKDIKGSKVGSRNSVKEIVDSGEGKANSRKKQASVKAVRNGAGREKKSIEKNKTSKSKEFGSLMCHQCQRNDKSGVVFCSSCQRKRYCYDCIEKWYSEKTRDEVEAVCPYCRGNCNCKACLREVLVVKDTRKDIEASVKLEWLKYLLHKALPVLKHIYREQSSEMEIEADIKGSQLAEIDITRSKLDKSERLYCDNCNTSIVNFHRSCSRCSYDLCLICCQELREGPQPCANEAETSSQQLVERASFQTIEKDEKSNAPRRRHGWESQVHSPGNDKAVTSSHLPDWRANANGSIPCPPSDYGGCGASTLELRRVFKANWVTKLINNAKDITSRYKPPDVDFYLDCSSCQNALDGNSNSSSNVRHAANRKECCDNYLFCPNAHDISDDESEHFQRHWMRGEPVIVRNVLEKTSGLSWEPMVMWRAFRETGSNVKFKEETRSVRAIDCLDWCEVEINIHQFFMGYLEGRMHNTCWPEMLKLKDWPSSTLFEERLPRHNAEFIAALPFSDYADPKFGFLNLAARLPEGSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTKVKIAPWQRKEIESMQNMHAAEDMQELYGGVDKAKVGMEERLLKTTHGDKIIVTDCAKNGSMEHGHLLLEEKHIKEGKLDNEQSNANPPVPDSGNLKIAGLDESKPGMGHSVSSENWKNNSIGTELLQVNDSNPRILDFNKNGVTQSLGVNNNPEKGSFEENSDRKLTSNMPKVDPHKFSLSSSADERDNLFVENLNNKSSILKHNVKVEAESFPENNDKGRTDKKMENFEMESSSSSSMLDKDYLKTRRMDHSLRLEKEVKNISGKDQMDENVYSSELNAATAENVTVKLNEQSVKQSKGGGNNSSNVVTESEGLADWSASYLEKIKCSNDMVVTRKDKFGISFSGDCAFSVPVSKESDQEAVKDIGNDDHLELVKGGAVWDIFRKQDVPKIIQYLEKHKKEFRHVNNLPVISVIHPIHDQTLFLNERHKRQLKEEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNIEECLRLTNEFRKLPKNHRAKEDKLECLELCNNCERA >KJB41541 pep chromosome:Graimondii2_0_v6:7:8233796:8239656:-1 gene:B456_007G109100 transcript:KJB41541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSGKRCRRSAGLGKWRCSEMALPSSSYCEKHNLQRNKQAQKRIRGDGDNNCNCKSRKLKRSGSVGGEFSGSEMNKKKNRREEELSGGSEEGDGLVLTEMLAREREKEEKDIKGSKVGSRNSVKEIVDSGEGKANSRKKQASVKAVRNGAGREKKSIEKNKTSKSKEFGSLMCHQCQRNDKSGVVFCSSCQRKRYCYDCIEKWYSEKTRDEVEAVCPYCRGNCNCKACLREVLVVKDTRKDIEASVKLEWLKYLLHKALPVLKHIYREQSSEMEIEADIKGSQLAEIDITRSKLDKSERLYCDNCNTSIVNFHRSCSRCSYDLCLICCQELREGPQPCANEAETSSQQLVERASFQTIEKDEKSNAPRRRHGWESQVHSPGNDKAVTSSHLPDWRANANGSIPCPPSDYGGCGASTLELRRVFKANWVTKLINNAKDITSRYKPPDVDFYLDCSSCQNALDGNSNSSSNVRHAANRKECCDNYLFCPNAHDISDDESEHFQRHWMRGEPVIVRNVLEKTSGLSWEPMVMWRAFRETGSNVKFKEETRSVRAIDCLDWCEVEINIHQFFMGYLEGRMHNTCWPEMLKLKDWPSSTLFEERLPRHNAEFIAALPFSDYADPKFGFLNLAARLPEGSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTKVKIAPWQRKEIESMQNMHAAEDMQELYGGVDKAKVGMEERLLKTTHGDKIIVTDCAKNGSMEHGHLLLEEKHIKEGKLDNEQSNANPPVPDSGNLKIAGLDESKPGMGHSVSSENWKNNSIGTELLQVNDSNPRILDFNKNGVTQSLGVNNNPEKGSFEENSDRKLTSNMPKVDPHKFSLSSSADERDNLFVENLNNKSSILKHNVKVEAESFPENNDKGRTDKKMENFEMESSSSSSMLDKDYLKTRRMDHSLRLEKEVKNISGKDQMDENVYSSELNAATAENVTVKLNEQSVKQSKVVTESEGLADWSASYLEKIKCSNDMVVTRKDKFGISFSGDCAFSVPVSKESDQEAVKDIGNDDHLELVKGGAVWDIFRKQDVPKIIQYLEKHKKEFRHVNNLPVISVIHPIHDQTLFLNERHKRQLKEEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNIEECLRLTNEFRKLPKNHRAKEDKLECLELCNNCERA >KJB41535 pep chromosome:Graimondii2_0_v6:7:8234400:8239656:-1 gene:B456_007G109100 transcript:KJB41535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSGKRCRRSAGLGKWRCSEMALPSSSYCEKHNLQRNKQAQKRIRGDGDNNCNCKSRKLKRSGSVGGEFSGSEMNKKKNRREEELSGGSEEGDGLVLTEMLAREREKEEKDIKGSKVGSRNSVKEIVDSGEGKANSRKKQASVKAVRNGAGREKKSIEKNKTSKSKEFGSLMCHQCQRNDKSGVVFCSSCQRKRYCYDCIEKWYSEKTRDEVEAVCPYCRGNCNCKACLREVLVVKDTRKDIEASVKLEWLKYLLHKALPVLKHIYREQSSEMEIEADIKGSQLAEIDITRSKLDKSERLYCDNCNTSIVNFHRSCSRCSYDLCLICCQELREGPQPCANEAETSSQQLVERASFQTIEKDEKSNAPRRRHGWESQVHSPGNDKAVTSSHLPDWRANANGSIPCPPSDYGGCGASTLELRRVFKANWVTKLINNAKDITSRYKPPDVDFYLDCSSCQNALDGNSNSSSNVRHAANRKECCDNYLFCPNAHDISDDESEHFQRHWMRGEPVIVRNVLEKTSGLSWEPMVMWRAFRETGSNVKFKEETRSVRAIDCLDWCEVEINIHQFFMGYLEGRMHNTCWPEMLKLKDWPSSTLFEERLPRHNAEFIAALPFSDYADPKFGFLNLAARLPEGSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTKVKIAPWQRKEIESMQNMHAAEDMQELYGGVDKAKVGMEERLLKTTHGDKIIVTDCAKNGSMEHGHLLLEEKHIKEGKLDNEQSNANPPVPDSGNLKIAGLDESKPGMGHSVSSENWKNNSIGTELLQVNDSNPRILDFNKNGVTQSLGVNNNPEKGSFEENSDRKLTSNMPKVDPHKFSLSSSADERDNLFVENLNNKSSILKHNVKVEAESFPENNDKGRTDKKMENFEMESSSSSSMLDKDYLKTRRMDHSLRLEKEVKNISGKDQMDENVYSSELNAATAENVTVKLNEQSVKQSKVVTESEGLADWSASYLEKIKCSNDMVVTRKDKFGISFSGDCAFSVPVSKESDQEAVKDIGNDDHLELVKGGAVWDIFRKQDVPKIIQYLEKHKKEFRHVNNLPVISVIHPIHDQTLFLNERHKRQLKEEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNIEECLRLTNEFRKLPKNHRAKEDKLECLELCNNCERA >KJB41542 pep chromosome:Graimondii2_0_v6:7:8233796:8239756:-1 gene:B456_007G109100 transcript:KJB41542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSGKRCRRSAGLGKWRCSEMALPSSSYCEKHNLQRNKQAQKRIRGDGDNNCNCKSRKLKRSGSVGGEFSGSEMNKKKNRREEELSGGSEEGDGLVLTEMLAREREKEEKDIKGSKVGSRNSVKEIVDSGEGKANSRKKQASVKAVRNGAGREKKSIEKNKTSKSKEFGSLMCHQCQRNDKSGVVFCSSCQRKRYCYDCIEKWYSEKTRDEVEAVCPYCRGNCNCKACLREVLVVKDTRKDIEASVKLEWLKYLLHKALPVLKHIYREQSSEMEIEADIKGSQLAEIDITRSKLDKSERLYCDNCNTSIVNFHRSCSRCSYDLCLICCQELREGPQPCANEAETSSQQLVERASFQTIEKDEKSNAPRRRHGWESQVHSPGNDKAVTSSHLPDWRANANGSIPCPPSDYGGCGASTLELRRVFKANWVTKLINNAKDITSRYKPPDVDFYLDCSSCQNALDGNSNSSSNVRHAANRKECCDNYLFCPNAHDISDDESEHFQRHWMRGEPVIVRNVLEKTSGLSWEPMVMWRAFRETGSNVKFKEETRSVRAIDCLDWCEVEINIHQFFMGYLEGRMHNTCWPEMLKLKDWPSSTLFEERLPRHNAEFIAALPFSDYADPKFGFLNLAARLPEGSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTKVKIAPWQRKEIESMQNMHAAEDMQELYGGVDKAKVGMEERLLKTTHGDKIIVTDCAKNGSMEHGHLLLEEKHIKEGKLDNEQSNANPPVPDSGNLKIAGLDESKPGMGHSVSSENWKNNSIGTELLQVNDSNPRILDFNKNGVTQSLGVNNNPEKGSFEENSDRKLTSNMPKVDPHKFSLSSSADERDNLFVENLNNKSSILKHNVKVEAESFPENNDKGRTDKKMENFEMESSSSSSMLDKDYLKTRRMDHSLRLEKEVKNISGKDQMDENVYSSELNAATAENVTVKLNEQSVKQSKGGGNNSSNVVTESEGLADWSASYLEKIKCSNDMVVTRKDKFGISFSGDCAFSVPVSKESDQEAVKDIGNDDHLELVKGGAVWDIFRKQDVPKIIQYLEKHKKEFRHVNNLPVISVIHPIHDQTLFLNERHKRQLKEEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNIEECLRLTNEFRKLPKNHRAKEDKLEAHFLFRTNYWGLRITNFWRR >KJB41536 pep chromosome:Graimondii2_0_v6:7:8233161:8239656:-1 gene:B456_007G109100 transcript:KJB41536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSGKRCRRSAGLGKWRCSEMALPSSSYCEKHNLQRNKQAQKRIRGDGDNNCNCKSRKLKRSGSVGGEFSGSEMNKKKNRREEELSGGSEEGDGLVLTEMLAREREKEEKDIKGSKVGSRNSVKEIVDSGEGKANSRKKQASVKAVRNGAGREKKSIEKNKTSKSKEFGSLMCHQCQRNDKSGVVFCSSCQRKRYCYDCIEKWYSEKTRDEVEAVCPYCRGNCNCKACLREVLVVKDTRKDIEASVKLEWLKYLLHKALPVLKHIYREQSSEMEIEADIKGSQLAEIDITRSKLDKSERLYCDNCNTSIVNFHRSCSRCSYDLCLICCQELREGPQPCANEAETSSQQLVERASFQTIEKDEKSNAPRRRHGWESQVHSPGNDKAVTSSHLPDWRANANGSIPCPPSDYGGCGASTLELRRVFKANWVTKLINNAKDITSRYKPPDVDFYLDCSSCQNALDGNSNSSSNVRHAANRKECCDNYLFCPNAHDISDDESEHFQRHWMRGEPVIVRNVLEKTSGLSWEPMVMWRAFRETGSNVKFKEETRSVEINIHQFFMGYLEGRMHNTCWPEMLKLKDWPSSTLFEERLPRHNAEFIAALPFSDYADPKFGFLNLAARLPEGSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTKVKIAPWQRKEIESMQNMHAAEDMQELYGGVDKAKVGMEERLLKTTHGDKIIVTDCAKNGSMEHGHLLLEEKHIKEGKLDNEQSNANPPVPDSGNLKIAGLDESKPGMGHSVSSENWKNNSIGTELLQVNDSNPRILDFNKNGVTQSLGVNNNPEKGSFEENSDRKLTSNMPKVDPHKFSLSSSADERDNLFVENLNNKSSILKHNVKVEAESFPENNDKGRTDKKMENFEMESSSSSSMLDKDYLKTRRMDHSLRLEKEVKNISGKDQMDENVYSSELNAATAENVTVKLNEQSVKQSKGGGNNSSNVVTESEGLADWSASYLEKIKCSNDMVVTRKDKFGISFSGDCAFSVPVSKESDQEAVKDIGNDDHLELVKGGAVWDIFRKQDVPKIIQYLEKHKKEFRHVNNLPVISVIHPIHDQTLFLNERHKRQLKEEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNIEECLRLTNEFRKLPKNHRAKEDKLECLELCNNCERA >KJB41533 pep chromosome:Graimondii2_0_v6:7:8234400:8238366:-1 gene:B456_007G109100 transcript:KJB41533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEADIKGSQLAEIDITRSKLDKSERLYCDNCNTSIVNFHRSCSRCSYDLCLICCQELREGPQPCANEAETSSQQLVERASFQTIEKDEKSNAPRRRHGWESQVHSPGNDKAVTSSHLPDWRANANGSIPCPPSDYGGCGASTLELRRVFKANWVTKLINNAKDITSRYKPPDVDFYLDCSSCQNALDGNSNSSSNVRHAANRKECCDNYLFCPNAHDISDDESEHFQRHWMRGEPVIVRNVLEKTSGLSWEPMVMWRAFRETGSNVKFKEETRSVEINIHQFFMGYLEGRMHNTCWPEMLKLKDWPSSTLFEERLPRHNAEFIAALPFSDYADPKFGFLNLAARLPEGSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTKVKIAPWQRKEIESMQNMHAAEDMQELYGGVDKAKVGMEERLLKTTHGDKIIVTDCAKNGSMEHGHLLLEEKHIKEGKLDNEQSNANPPVPDSGNLKIAGLDESKPGMGHSVSSENWKNNSIGTELLQVNDSNPRILDFNKNGVTQSLGVNNNPEKGSFEENSDRKLTSNMPKVDPHKFSLSSSADERDNLFVENLNNKSSILKHNVKVEAESFPENNDKGRTDKKMENFEMESSSSSSMLDKDYLKTRRMDHSLRLEKEVKNISGKDQMDENVYSSELNAATAENVTVKLNEQSVKQSKGGGNNSSNVVTESEGLADWSASYLEKIKCSNDMVVTRKDKFGISFSGDCAFSVPVSKESDQEAVKDIGNDDHLELVKGGAVWDIFRKQDVPKIIQYLEKHKKEFRHVNNLPVISVIHPIHDQTLFLNERHKRQLKEEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNIEECLRLTNEFRKLPKNHRAKEDKLECLELCNNCERA >KJB41531 pep chromosome:Graimondii2_0_v6:7:8233161:8238475:-1 gene:B456_007G109100 transcript:KJB41531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEADIKGSQLAEIDITRSKLDKSERLYCDNCNTSIVNFHRSCSRCSYDLCLICCQELREGPQPCANEAETSSQQLVERASFQTIEKDEKSNAPRRRHGWESQVHSPGNDKAVTSSHLPDWRANANGSIPCPPSDYGGCGASTLELRRVFKANWVTKLINNAKDITSRYKPPDVDFYLDCSSCQNALDGNSNSSSNVRHAANRKECCDNYLFCPNAHDISDDESEHFQRHWMRGEPVIVRNVLEKTSGLSWEPMVMWRAFRETGSNVKFKEETRSVRAIDCLDWCEVEINIHQFFMGYLEGRMHNTCWPEMLKLKDWPSSTLFEERLPRHNAEFIAALPFSDYADPKFGFLNLAARLPEGSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTKVKIAPWQRKEIESMQNMHAAEDMQELYGGVDKAKVGMEERLLKTTHGDKIIVTDCAKNGSMEHGHLLLEEKHIKEGKLDNEQSNANPPVPDSGNLKIAGLDESKPGMGHSVSSENWKNNSIGTELLQVNDSNPRILDFNKNGVTQSLGVNNNPEKGSFEENSDRKLTSNMPKVDPHKFSLSSSADERDNLFVENLNNKSSILKHNVKVEAESFPENNDKGRTDKKMENFEMESSSSSSMLDKDYLKTRRMDHSLRLEKEVKNISGKDQMDENVYSSELNAATAENVTVKLNEQSVKQSKVVTESEGLADWSASYLEKIKCSNDMVVTRKDKFGISFSGDCAFSVPVSKESDQEAVKDIGNDDHLELVKGGAVWDIFRKQDVPKIIQYLEKHKKEFRHVNNLPVISVIHPIHDQTLFLNERHKRQLKEEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNIEECLRLTNEFRKLPKNHRAKEDKLECLELCNNCERA >KJB41540 pep chromosome:Graimondii2_0_v6:7:8234400:8239656:-1 gene:B456_007G109100 transcript:KJB41540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSGKRCRRSAGLGKWRCSEMALPSSSYCEKHNLQRNKQAQKRIRGDGDNNCNCKSRKLKRSGSVGGEFSGSEMNKKKNRREEELSGGSEEGDGLVLTEMLAREREKEEKDIKGSKVGSRNSVKEIVDSGEGKANSRKKQASVKAVRNGAGREKKSIEKNKTSKSKEFGSLMCHQCQRNDKSGVVFCSSCQRKRYCYDCIEKWYSEKTRDEVEAVCPYCRGNCNCKACLREVLVVKDTRKDIEASVKLEWLKYLLHKALPVLKHIYREQSSEMEIEADIKGSQLAEIDITRSKLDKSERLYCDNCNTSIVNFHRSCSRCSYDLCLICCQELREGPQPCANEAETSSQQLVERASFQTIEKDEKSNAPRRRHGWESQVHSPGNDKAVTSSHLPDWRANANGSIPCPPSDYGGCGASTLELRRVFKANWVTKLINNAKDITSRYKPPDVDFYLDCSSCQNALDGNSNSSSNVRHAANRKECCDNYLFCPNAHDISDDESEHFQRHWMRGEPVIVRNVLEKTSGLSWEPMVMWRAFRETGSNVKFKEETRSVRAIDCLDWCEVEINIHQFFMGYLEGRMHNTCWPEMLKLKDWPSSTLFEERLPRHNAEFIAALPFSDYADPKFGFLNLAARLPEGSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTKVKIAPWQRKEIESMQNMHAAEDMQELYGGVDKAKVGMEERLLKTTHGDKIIVTDCAKNGSMEHGHLLLEEKHIKEGKLDNEQSNANPPVPDSGNLKIAGLDESKPGMGHSVSSENWKNNSIGTELLQVNDSNPRILDFNKNGVTQSLGVNNNPEKGSFEENSDRKLTSNMPKVDPHKFSLSSSADERDNLFVENLNNKSSILKHNVKVEAESFPENNDKGRTDKKMENFEMESSSSSSMLDKDYLKTRRMDHSLRLEKEVKNISGKDQMDENVYSSELNAATAENVTVKLNEQSVKQSKGGGNNSSNVVTESEGLADWSASYLEKIKCSNDMVVTRKDKFGISFSGDCAFSVPVSKESDQEAVKDIGNDDHLELVKGGAVWDIFRKQDVPKIIQYLEKHKKEFRHVNNLPVISVIHPIHDQTLFLNERHKRQLKEEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNIEECLRLTNEFRKLPKNHRAKEDKLECLELCNNCERA >KJB41534 pep chromosome:Graimondii2_0_v6:7:8233161:8238475:-1 gene:B456_007G109100 transcript:KJB41534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEADIKGSQLAEIDITRSKLDKSERLYCDNCNTSIVNFHRSCSRCSYDLCLICCQELREGPQPCANEAETSSQQLVERASFQTIEKDEKSNAPRRRHGWESQVHSPGNDKAVTSSHLPDWRANANGSIPCPPSDYGGCGASTLELRRVFKANWVTKLINNAKDITSRYKPPDVDFYLDCSSCQNALDGNSNSSSNVRHAANRKECCDNYLFCPNAHDISDDESEHFQRHWMRGEPVIVRNVLEKTSGLSWEPMVMWRAFRETGSNVKFKEETRSVEINIHQFFMGYLEGRMHNTCWPEMLKLKDWPSSTLFEERLPRHNAEFIAALPFSDYADPKFGFLNLAARLPEGSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTKVKIAPWQRKEIESMQNMHAAEDMQELYGGVDKAKVGMEERLLKTTHGDKIIVTDCAKNGSMEHGHLLLEEKHIKEGKLDNEQSNANPPVPDSGNLKIAGLDESKPGMGHSVSSENWKNNSIGTELLQVNDSNPRILDFNKNGVTQSLGVNNNPEKGSFEENSDRKLTSNMPKVDPHKFSLSSSADERDNLFVENLNNKSSILKHNVKVEAESFPENNDKGRTDKKMENFEMESSSSSSMLDKDYLKTRRMDHSLRLEKEVKNISGKDQMDENVYSSELNAATAENVTVKLNEQSVKQSKVVTESEGLADWSASYLEKIKCSNDMVVTRKDKFGISFSGDCAFSVPVSKESDQEAVKDIGNDDHLELVKGGAVWDIFRKQDVPKIIQYLEKHKKEFRHVNNLPVISVIHPIHDQTLFLNERHKRQLKEEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNIEECLRLTNEFRKLPKNHRAKEDKLECLELCNNCERA >KJB41529 pep chromosome:Graimondii2_0_v6:7:8233385:8239656:-1 gene:B456_007G109100 transcript:KJB41529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSGKRCRRSAGLGKWRCSEMALPSSSYCEKHNLQRNKQAQKRIRGDGDNNCNCKSRKLKRSGSVGGEFSGSEMNKKKNRREEELSGGSEEGDGLVLTEMLAREREKEEKDIKGSKVGSRNSVKEIVDSGEGKANSRKKQASVKAVRNGAGREKKSIEKNKTSKSKEFGSLMCHQCQRNDKSGVVFCSSCQRKRYCYDCIEKWYSEKTRDEVEAVCPYCRGNCNCKACLREVLVVKVEAFNFFLYDTRKDIEASVKLEWLKYLLHKALPVLKHIYREQSSEMEIEADIKGSQLAEIDITRSKLDKSERLYCDNCNTSIVNFHRSCSRCSYDLCLICCQELREGPQPCANEAETSSQQLVERASFQTIEKDEKSNAPRRRHGWESQVHSPGNDKAVTSSHLPDWRANANGSIPCPPSDYGGCGASTLELRRVFKANWVTKLINNAKDITSRYKPPDVDFYLDCSSCQNALDGNSNSSSNVRHAANRKECCDNYLFCPNAHDISDDESEHFQRHWMRGEPVIVRNVLEKTSGLSWEPMVMWRAFRETGSNVKFKEETRSVRAIDCLDWCEVEINIHQFFMGYLEGRMHNTCWPEMLKLKDWPSSTLFEERLPRHNAEFIAALPFSDYADPKFGFLNLAARLPEGSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTKVKIAPWQRKEIESMQNMHAAEDMQELYGGVDKAKVGMEERLLKTTHGDKIIVTDCAKNGSMEHGHLLLEEKHIKEGKLDNEQSNANPPVPDSGNLKIAGLDESKPGMGHSVSSENWKNNSIGTELLQVNDSNPRILDFNKNGVTQSLGVNNNPEKGSFEENSDRKLTSNMPKVDPHKFSLSSSADERDNLFVENLNNKSSILKHNVKVEAESFPENNDKGRTDKKMENFEMESSSSSSMLDKDYLKTRRMDHSLRLEKEVKNISGKDQMDENVYSSELNAATAENVTVKLNEQSVKQSKDSFVGKLTISTDYAVFDVNAVVTESEGLADWSASYLEKIKCSNDMVVTRKDKFGISFSGDCAFSVPVSKESDQEAVKDIGNDDHLELVKGGAVWDIFRKQDVPKIIQYLEKHKKEFRHVNNLPVISVIHPIHDQTLFLNERHKRQLKEEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNIEECLRLTNEFRKLPKNHRAKEDKLEFGKILKKGTIRLMVLGFIFSIKKFLLMCKISNLQRKGI >KJB41539 pep chromosome:Graimondii2_0_v6:7:8233161:8239656:-1 gene:B456_007G109100 transcript:KJB41539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSGKRCRRSAGLGKWRCSEMALPSSSYCEKHNLQRNKQAQKRIRGDGDNNCNCKSRKLKRSGSVGGEFSGSEMNKKKNRREEELSGGSEEGDGLVLTEMLAREREKEEKDIKGSKVGSRNSVKEIVDSGEGKANSRKKQASVKAVRNGAGREKKSIEKNKTSKSKEFGSLMCHQCQRNDKSGVVFCSSCQRKRYCYDCIEKWYSEKTRDEVEAVCPYCRGNCNCKACLREVLVVKDTRKDIEASVKLEWLKYLLHKALPVLKHIYREQSSEMEIEADIKGSQLAEIDITRSKLDKSERLYCDNCNTSIVNFHRSCSRCSYDLCLICCQELREGPQPCANEAETSSQQLVERASFQTIEKDEKSNAPRRRHGWESQVHSPGNDKAVTSSHLPDWRANANGSIPCPPSDYGGCGASTLELRRVFKANWVTKLINNAKDITSRYKPPDVDFYLDCSSCQNALDGNSNSSSNVRHAANRKECCDNYLFCPNAHDISDDESEHFQRHWMRGEPVIVRNVLEKTSGLSWEPMVMWRAFRETGSNVKFKEETRSVRAIDCLDWCEVEINIHQFFMGYLEGRMHNTCWPEMLKLKDWPSSTLFEERLPRHNAEFIAALPFSDYADPKFGFLNLAARLPEGSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTKVKIAPWQRKEIESMQNMHAAEDMQELYGGVDKAKVGMEERLLKTTHGDKIIVTDCAKNGSMEHGHLLLEEKHIKEGKLDNEQSNANPPVPDSGNLKIAGLDESKPGMGHSVSSENWKNNSIGTELLQVNDSNPRILDFNKNGVTQSLGVNNNPEKGSFEENSDRKLTSNMPKVDPHKFSLSSSADERDNLFVENLNNKSSILKHNVKVEAESFPENNDKGRTDKKMENFEMESSSSSSMLDKDYLKTRRMDHSLRLEKEVKNISGKDQMDENVYSSELNAATAENVTVKLNEQSVKQSKVVTESEGLADWSASYLEKIKCSNDMVVTRKDKFGISFSGDCAFSVPVSKESDQEAVKDIGNDDHLELVKGGAVWDIFRKQDVPKIIQYLEKHKKEFRHVNNLPVISVIHPIHDQTLFLNERHKRQLKEEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNIEECLRLTNEFRKLPKNHRAKEDKLEAHFLFRTNYWGLRITNFWRR >KJB41538 pep chromosome:Graimondii2_0_v6:7:8233161:8239756:-1 gene:B456_007G109100 transcript:KJB41538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSGKRCRRSAGLGKWRCSEMALPSSSYCEKHNLQRNKQAQKRIRGDGDNNCNCKSRKLKRSGSVGGEFSGSEMNKKKNRREEELSGGSEEGDGLVLTEMLAREREKEEKDIKGSKVGSRNSVKEIVDSGEGKANSRKKQASVKAVRNGAGREKKSIEKNKTSKSKEFGSLMCHQCQRNDKSGVVFCSSCQRKRYCYDCIEKWYSEKTRDEVEAVCPYCRGNCNCKACLREVLVVKDTRKDIEASVKLEWLKYLLHKALPVLKHIYREQSSEMEIEADIKGSQLAEIDITRSKLDKSERLYCDNCNTSIVNFHRSCSRCSYDLCLICCQELREGPQPCANEAETSSQQLVERASFQTIEKDEKSNAPRRRHGWESQVHSPGNDKAVTSSHLPDWRANANGSIPCPPSDYGGCGASTLELRRVFKANWVTKLINNAKDITSRYKPPDVDFYLDCSSCQNALDGNSNSSSNVRHAANRKECCDNYLFCPNAHDISDDESEHFQRHWMRGEPVIVRNVLEKTSGLSWEPMVMWRAFRETGSNVKFKEETRSVEINIHQFFMGYLEGRMHNTCWPEMLKLKDWPSSTLFEERLPRHNAEFIAALPFSDYADPKFGFLNLAARLPEGSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTKVKIAPWQRKEIESMQNMHAAEDMQELYGGVDKAKVGMEERLLKTTHGDKIIVTDCAKNGSMEHGHLLLEEKHIKEGKLDNEQSNANPPVPDSGNLKIAGLDESKPGMGHSVSSENWKNNSIGTELLQVNDSNPRILDFNKNGVTQSLGVNNNPEKGSFEENSDRKLTSNMPKVDPHKFSLSSSADERDNLFVENLNNKSSILKHNVKVEAESFPENNDKGRTDKKMENFEMESSSSSSMLDKDYLKTRRMDHSLRLEKEVKNISGKDQMDENVYSSELNAATAENVTVKLNEQSVKQSKVVTESEGLADWSASYLEKIKCSNDMVVTRKDKFGISFSGDCAFSVPVSKESDQEAVKDIGNDDHLELVKGGAVWDIFRKQDVPKIIQYLEKHKKEFRHVNNLPVISVIHPIHDQTLFLNERHKRQLKEEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNIEECLRLTNEFRKLPKNHRAKEDKLECLELCNNCERA >KJB43973 pep chromosome:Graimondii2_0_v6:7:27378365:27379293:-1 gene:B456_007G227200 transcript:KJB43973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTTQTDCSIPKHLKSKTFQQPIRVLSHQSYQSASIIYWKVFFQKPLRLFKILALFHLKSPKNSFSNYFLPLFQNGEPISDVACTMWLSPIYFNSIKCIDYESRTSNLLRLLHLENPFKLLSKPSRSKLSPLLQNGEGMLACKTVFEQRILPMSFLITIPKSRSIIFLAKSCIKIYFDKRVLRHLPHTNGRSLPFM >KJB43545 pep chromosome:Graimondii2_0_v6:7:21178502:21181666:1 gene:B456_007G205300 transcript:KJB43545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDLDNSSTASGEASVTSSGNQNSLPKSTAKKKRNLPGMPDPDAEVIALSPRTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVKKRVYVCPEITCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTVFSRRDSFITHRAFCDVLAEERAKAQTQPQPQNQNQTATKESDPKPQTTDCSPPSAAAPVSVSAPESGKVPAPAPAVPQLTSVISSSALPIQSSGQPDCLTDLAPSPSIEPISLCLSTNHGSSIFGTSGQEHRQYAPPPQPAMSATALLQKAAQMGATATNASLLHGLGIASLSSSSAQQDNLQWGQRQAEPENTSVVAGLGLGLPRDGSSGLKELMMGTPVFGPKQATLDFLGLGMAAGGSPNGGLSALITSIGGELDVVAAATSFGGGDFTSKDIGRSS >KJB43543 pep chromosome:Graimondii2_0_v6:7:21178502:21181666:1 gene:B456_007G205300 transcript:KJB43543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDLDNSSTASGEASVTSSGNQNSLPKSTAKKKRNLPGMPDPDAEVIALSPRTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVKKRVYVCPEITCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTVFSRRDSFITHRAFCDVLAEERAKAQTQPQPQNQNQTATKESDPKPQTTDCSPPSAAAPVSVSAPESGKVPAPAPAVPQLTSVISSSALPIQSSELPENPSPIMEESLAPAPAAAPTGQPDCLTDLAPSPSIEPISLCLSTNHGSSIFGTSGQEHRQYAPPPQPAMSATALLQKAAQMGATATNASLLHGLGIASLSSSSAQQDNLQWGQRQAEPENTSVVAGLGLGLPRDGSSGLKELMMGTPVFGPKQATLDFLGLGMAAGGSPNGGLSALITSIGGELDVVAAATSFGGGDFTSKDIGRSS >KJB43546 pep chromosome:Graimondii2_0_v6:7:21178502:21181683:1 gene:B456_007G205300 transcript:KJB43546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDLDNSSTASGEASVTSSGNQNSLPKSTAKKKRNLPGMPDPDAEVIALSPRTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVKKRVYVCPEITCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTVFSRRDSFITHRAFCDVLAEERAKAQTQPQPQNQNQTATKESDPKPQTTDCSPPSAAAPVSVSAPESGKVPAPAPAVPQLTSVISSSALPIQSSELPENPSPIMEESLAPAPAAAPTGLNGSCSSSASLGSNGSSSSGSSCSVFASLFASSTTSASLKPQQPPVFIDLFQSVGQPDCLTDLAPSPSIEPISLCLSTNHGSSIFGTSGQEHRQYAPPPQPAMSATALLQKAAQMGATATNASLLHGLGLGLGLPRDGSSGLKELMMGTPVFGPKQATLDFLGLGMAAGGSPNGGLSALITSIGGELDVVAAATSFGGGDFTSKDIGRSS >KJB43544 pep chromosome:Graimondii2_0_v6:7:21178502:21181666:1 gene:B456_007G205300 transcript:KJB43544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDLDNSSTASGEASVTSSGNQNSLPKSTAKKKRNLPGMPDPDAEVIALSPRTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVKKRVYVCPEITCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTVFSRRDSFITHRAFCDVLAEERAKAQTQPQPQNQNQTATKESDPKPQTTDCSPPSAAAPVSVSAPESGKVPAPAPAVPQLTSVISSSALPIQSSELPENPSPIMEESLAPAPAAAPTGLNGSCSSSASLGSNGSSSSGSSCSVFASLFASSTTSASLKPQQPPVFIDLFQSVGQPDCLTDLAPSPSIEPISLCLSTNHGSSIFGTSGQEHRQYAPPPQPAMSATALLQKAAQMGATATNASLLHGLGIASLSSSSAQQDNLQWGQRQAEPENTSVVAGLGLGLPRDGSSGLKELMMGTPVFGPKQATLDFLGLGMAAGGSPNGGLSALITSIGGELDVVAAATSFGGGDFTSKDIGRSS >KJB40349 pep chromosome:Graimondii2_0_v6:7:4234152:4237088:1 gene:B456_007G059900 transcript:KJB40349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYEILKDIGSGNFGVAKLVREKWSGDLYAVKYIERGPKIDEHVQREIMNHRSLKHPNIIKFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVCYCHAMQICHRDLKLENTLLDGSTAPRLKICDFGYSKSSVFHSQPKSTVGTPAYIAPEVLSRKQYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTIQRILSVHYSIPDYVRVSKECKHLLSRIFVADPEKRISIPEIKQHPWFLKNLPIEFMEGEDGYMENEEESQSIEEILSIIDEARKGGDGPKVGSQLLGGSMDLDDDDIDCDADIDDLETSGDFVCALRV >KJB40353 pep chromosome:Graimondii2_0_v6:7:4234234:4236881:1 gene:B456_007G059900 transcript:KJB40353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYEILKDIGSGNFGVAKLVREKWSGDLYAVKYIERGPKIDEHVQREIMNHRSLKHPNIIKFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVCYCHAMQICHRDLKLENTLLDGSTAPRLKICDFGYSKSSVFHSQPKSTVGTPAYIAPEVLSRKQYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTIQVG >KJB40351 pep chromosome:Graimondii2_0_v6:7:4234501:4235886:1 gene:B456_007G059900 transcript:KJB40351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYEILKDIGSGNFGVAKLVREKWSGDLYAVKYIERGPKIDEHVQREIMNHRSLKHPNIIKFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVCYCHAMQICHRDLKLENTLLDGSTAPRLKICDFGYSKSSVFHSQPKSTVGTPAYIAPEVLSRKQYDGKVILIPCFCTFWSIRHTIPS >KJB40354 pep chromosome:Graimondii2_0_v6:7:4234234:4236881:1 gene:B456_007G059900 transcript:KJB40354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYEILKDIGSGNFGVAKLVREKWSGDLYAVKYIERGPKIDEHVQREIMNHRSLKHPNIIKFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVCYCHAMQICHRDLKLENTLLDGSTAPRLKICDFGYSKSSVFHSQPKSTVGTPAYIAPEVLSRKQYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTIQRILSVHYSIPDYVRVSKECKHLLSRIFVADPEKVFDSHPDM >KJB40350 pep chromosome:Graimondii2_0_v6:7:4234501:4235886:1 gene:B456_007G059900 transcript:KJB40350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYEILKDIGSGNFGVAKLVREKWSGDLYAVKYIERGPKIDEHVQREIMNHRSLKHPNIIKFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVCYCHAMQICHRDLKLENTLLDGSTAPRLKICDFGYSKSSVFHSQPKSTVGTPAYIAPEVLSRKQYDGKVILIPCFCTFWSIRHTIPS >KJB40352 pep chromosome:Graimondii2_0_v6:7:4234234:4236881:1 gene:B456_007G059900 transcript:KJB40352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYEILKDIGSGNFGVAKLVREKWSGDLYAVKYIERGPKIDEHVQREIMNHRSLKHPNIIKFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVCYCHAMQICHRDLKLENTLLDGSTAPRLKICDFGYSKEPQPTLHQRSCLGNNMMGRLQMFGLVGLLCMSCWLGLILLKILKIQKISEKQFSGF >KJB45551 pep chromosome:Graimondii2_0_v6:7:52553027:52558108:1 gene:B456_007G311700 transcript:KJB45551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSEGVTVSDRALQNQFMPIEFRNLKTKFVSVKNEDGKVKVGDLPPLMVKLKGLSSAFTEDEIKQKLGESYDDMSNEIDFETFLREYLNLQGQAKEKSGGQKNSSSVLKTSSATTLVESEKATYVRHINIYLADDPFLKQFLPLDPASNDLFNLAKDGVLLCKLINVAVSGTIDERAINTKKVLNLWERNENHTLALNSAKAIGCTVVNIGTQDLVEGRPTLLLGLISQIIKIQLLADLNLKKTPQLVEVLDDGNGDIEELMALAPEKVLLKWMNFHLKKGGYEKTVTNFSSDVKDAKAYAFLLNVLAPEYCNPLTLDTKHATERAKLVLDHAERMGCKRYLSPEDIVEGWTNLNLAFVAQIFHERNGLSVDSKKISFAERITDDVQLSRDERCFRLWMNSLGVENYVNNVFEDVRNGWVLLEVLDKVAPGSVNWKHATKPPIKFPFRKVENCNQVVMIGEMLKFSIVNLGGNDIVQGNKKLIIAFLWQLMRYNMLQLLKSLRSRSRGKEITDSYIINWANRKIKSTGRSRQIESFKDKSLSSGLFFLELLSAVEPRVVNWNLVTKGESDEEKKLNATYIISVARKIGCSIFLLPEDIMEVNQKMMLTLTASIMYWCLQNSPEECETSNGYSSTYASDASSPAPSVNGEDESSSLCSEVPSLTIDDAASDIMVSSSQFENGENTTVE >KJB45553 pep chromosome:Graimondii2_0_v6:7:52553012:52558291:1 gene:B456_007G311700 transcript:KJB45553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSEGVTVSDRALQNQFMPIEFRNLKTKFVSVKNEDGKVKVGDLPPLMVKLKGLSSAFTEDEIKQKLGESYDDMSNEIDFETFLREYLNLQGQAKEKSGGQKNSSSVLKTSSATTLVESEKATYVRHINIYLADDPFLKQFLPLDPASNDLFNLAKDGVLLCKLINVAVSGTIDERAINTKKVLNLWERNENHTLALNSAKAIGCTVVNIGTQDLVEGRPTLLLGLISQIIKIQLLADLNLKKTPQLVEVLDDGNGDIEELMALAPEKVLLKWMNFHLKKGGYEKTVTNFSSDVKDAKAYAFLLNVLAPEYCNPLTLDTKHATERAKLVLDHAERMGCKRYLSPEDIVEGWTNLNLAFVAQIFHERNGLSVDSKKISFAERITDDVQLSRDERCFRLWMNSLGVENYVNNVFEDVRNGWVLLEVLDKVAPGSVNWKHATKPPIKFPFRKVENCNQVVMIGEMLKFSIVNLGGNDIVQGNKKLIIAFLWQLMRYNMLQLLKSLRSRSRGKEITDSYIINWANRKIKSTGRSRQIESFKDKSLSSGLFFLELLSAVEPRVVNWNLVTKGESDEEKKLNATYIISVARKIGCSIFLLPEDIMEVNQKMMLTLTASIMYWCLQNSPEECETSNGYSSTYASDASSPAPSVNGEDESSSLCSEVPSLTIDDAASDIMVSSSQFENGENTTVE >KJB45552 pep chromosome:Graimondii2_0_v6:7:52553930:52557091:1 gene:B456_007G311700 transcript:KJB45552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSEGVTVSDRALQNQFMPIEFRNLKTKFVSVKNEDGKVKVGDLPPLMVKLKGLSSAFTEDEIKQKLGESYDDMSNEIDFETFLREYLNLQGQAKEKSGGQKNSSSVLKTSSATTLVESEKATYVRHINIYLADDPFLKQFLPLDPASNDLFNLAKDGVLLCKLINVAVSGTIDERAINTKKVLNLWERNENHTLALNSAKAIGCTVVNIGTQDLVEGRPTLLLGLISQIIKIQLLADLNLKKTPQLVEVLDDGNGDIEELMALAPEKVLLKWMNFHLKKGGYEKTVTNFSSDVKDAKAYAFLLNVLAPEYCNPLTLDTKHATERAKLVLDHAERMGCKRYLSPEDIVEGWTNLNLAFVAQIFHERNGLSVDSKKISFAERITDDVQLSRDERCFRLWMNSLGVENYVNNVFEDVRNGWVLLEVLDKVAPGSVNWKHATKPPIKFPFRKVENCNQVVMIGEMLKFSIVNLGGNDIVQGNKKLIIAFLWQLMRYNMLQLLKSLRSRSRGKEITDSYIINWANRKIKSTGRSRQIESFKDKSLSSGLFFLELLSAVEPRVVNWNLVTKGESGMISC >KJB39310 pep chromosome:Graimondii2_0_v6:7:518149:522790:-1 gene:B456_007G006200 transcript:KJB39310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease APCB1 [Source:Projected from Arabidopsis thaliana (AT1G49050) UniProtKB/Swiss-Prot;Acc:Q9M9A8] MDFDDERPQQQVTGVVIITLPPSDNPSFGKTITAFTLTNDVLPQSLTTQEPDQVLPTTRVVSSPPPSSQSPQLGFSFSGFFSENPRKLLGFLGVSLFALLLYSSCFSSTFVELKNSNDNDDDDKPQSFIFPLYHKLGAADLELKLGRFVDVVDKENLVVSINGGAMETKMVNKLVAANSIVMDSSATILPVRGNVYPDGLYFTYMLLGNPQRRYFLDIDTGSDLTWIQCDAPCSSCAKGANPLYKPTKVNIVASGDSMCMEVQKNQKPQICETCQQCDYEIEYADRSSSLGVLAKDKLHLVNPNGSITNLDVVFGCAYDQQGILLNTLSKTDGILGLSKAKVSLPSQLASKGIINNVVGHCLATDVASGGYMFLGDDFVPNWGMSWVPMLGSPLIEFYHTQLVKINYGSSSLSLGAKDSDKARVVFDSGSSYTYFTKQSYAELVSSLSEVSELGFIQDASDPTLPVCWRAPFPIRTIMDVNKYFKTLTLQFGSKWWIISKKFHIPPEGYLIISKKGNACLGILDGNNVHDGSTFILGG >KJB39307 pep chromosome:Graimondii2_0_v6:7:517523:522868:-1 gene:B456_007G006200 transcript:KJB39307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease APCB1 [Source:Projected from Arabidopsis thaliana (AT1G49050) UniProtKB/Swiss-Prot;Acc:Q9M9A8] MDFDDERPQQQVTGVVIITLPPSDNPSFGKTITAFTLTNDVLPQSLTTQEPDQVLPTTRVVSSPPPSSQSPQLGFSFSGFFSENPRKLLGFLGVSLFALLLYSSCFSSTFVELKNSNDNDDDDKPQSFIFPLYHKLGAADLELKLGRFVDVVDKENLVVSINGGAMETKMVNKLVAANSIVMDSSATILPVRGNVYPDGLYFTYMLLGNPQRRYFLDIDTGSDLTWIQCDAPCSSCAKGANPLYKPTKVNIVASGDSMCMEVQKNQKPQICETCQQCDYEIEYADRSSSLGVLAKDKLHLVNPNGSITNLDVVFGCAYDQQGILLNTLSKTDGILGLSKAKVSLPSQLASKGIINNVVGHCLATDVASGGYMFLGDDFVPNWGMSWVPMLGSPLIEFYHTQLVKINYGSSSLSLGAKDSDKARVVFDSGSSYTYFTKQSYAELVSSLSEVSELGFIQDASDPTLPVCWRAPFPIRTIMDVNKYFKTLTLQFGSKWWIISKKFHIPPEGYLIISKKGNACLGILDGNNVHDGSTFILGDISLRGQLVVYDNEKQKIGWGPSGCGKPSRFKSLPFFEG >KJB39309 pep chromosome:Graimondii2_0_v6:7:517679:522790:-1 gene:B456_007G006200 transcript:KJB39309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease APCB1 [Source:Projected from Arabidopsis thaliana (AT1G49050) UniProtKB/Swiss-Prot;Acc:Q9M9A8] MDFDDERPQQQVTGVVIITLPPSDNPSFGKTITAFTLTNDVLPQSLTTQEPDQVLPTTRVVSSPPPSSQSPQLGFSFSGFFSENPRKLLGFLGVSLFALLLYSSCFSSTFVELKNSNDNDDDDKPQSFIFPLYHKLGAADLELKLGRFVDVVDKENLVVSINGGAMETKMVNKLVAANSIVMDSSATILPVRGNVYPDGLYFTYMLLGNPQRRYFLDIDTGSDLTWIQCDAPCSSCAKGANPLYKPTKVNIVASGDSMCMEVQKNQKPQICETCQQCDYEIEYADRSSSLGVLAKDKLHLVNPNGSITNLDVVFGCAYDQQGILLNTLSKTDGILGLSKAKVSLPSQLASKGIINNVVGHCLATDVASGGYMFLGDDFVPNWGMSWVPMLGSPLIEFYHTQLVKINYGSSSLSLGAKDSDKARVVFDSGSSYTYFTKQSYAELVSSLSEVSELGFIQDASDPTLPVCWRAPFPIRTIMDVNKYFKTLTLQFGSKWWIISKKFHIPPEGYLIISKGNACLGILDGNNVHDGSTFILGDISLRGQLVVYDNEKQKIGWGPSGCGKPSRFKSLPFFEG >KJB39311 pep chromosome:Graimondii2_0_v6:7:517679:522790:-1 gene:B456_007G006200 transcript:KJB39311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease APCB1 [Source:Projected from Arabidopsis thaliana (AT1G49050) UniProtKB/Swiss-Prot;Acc:Q9M9A8] MDFDDERPQQQVTGVVIITLPPSDNPSFGKTITAFTLTNDVLPQSLTTQEPDQVLPTTRVVSSPPPSSQSPQLGFSFSGFFSENPRKLLGFLGVSLFALLLYSSCFSSTFVELKNSNDNDDDDKPQSFIFPLYHKLGAADLELKLGRFVDVVDKENLVVSINGGAMETKMVNKLVAANSIVMDSSATILPVRGNVYPDGLYFTYMLLGNPQRRYFLDIDTGSDLTWIQCDAPCSSCAKGANPLYKPTKVNIVASGDSMCMEVQKNQKPQICETCQQCDYEIEYADRSSSLGVLAKDKLHLVNPNGSITNLDVVFGCAYDQQGILLNTLSKTDGILGLSKAKVSLPSQLASKGIINNVVGHCLATDVASGGYMFLGDDFVPNWGISFIFLQKGT >KJB39312 pep chromosome:Graimondii2_0_v6:7:518263:522565:-1 gene:B456_007G006200 transcript:KJB39312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease APCB1 [Source:Projected from Arabidopsis thaliana (AT1G49050) UniProtKB/Swiss-Prot;Acc:Q9M9A8] MDFDDERPQQQVTGVVIITLPPSDNPSFGKTITAFTLTNDVLPQSLTTQEPDQVLPTTRVVSSPPPSSQSPQLGFSFSGFFSENPRKLLGFLGVSLFALLLYSSCFSSTFVELKNSNDNDDDDKPQSFIFPLYHKLGAADLELKLGRFVDVVDKENLVVSINGGAMETKMVNKLVAANSIVMDSSATILPVRGNVYPDGLYFTYMLLGNPQRRYFLDIDTGSDLTWIQCDAPCSSCAKGANPLYKPTKVNIVASGDSMCMEVQKNQKPQICETCQQCDYEIEYADRSSSLGVLAKDKLHLVNPNGSITNLDVVFGCAYDQQGILLNTLSKTDGILGLSKAKVSLPSQLASKGIINNVVGHCLATDVASGGYMFLGDDFVPNWGMSWVPMLGSPLIEFYHTQLVKINYGSSSLSLGAKDSDKARVVFDSGSSYTYFTKQSYAELVSSLSEVSELGFIQDASDPTLPVCWRAPFPIRTIMDVNKYFKTLTLQFGSKWWIISKKFHIPPEGYLIISKKGNACLGILDGNNVHDGSTFILGG >KJB39308 pep chromosome:Graimondii2_0_v6:7:517679:522790:-1 gene:B456_007G006200 transcript:KJB39308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease APCB1 [Source:Projected from Arabidopsis thaliana (AT1G49050) UniProtKB/Swiss-Prot;Acc:Q9M9A8] MDFDDERPQQQVTGVVIITLPPSDNPSFGKTITAFTLTNDVLPQSLTTQEPDQVLPTTRVVSSPPPSSQSPQLGFSFSGFFSENPRKLLGFLGVSLFALLLYSSCFSSTFVELKNSNDNDDDDKPQSFIFPLYHKLGAADLELKLGRFVDVVDKENLVVSINGGAMETKMVNKLVAANSIVMDSSATILPVRGNVYPDGLYFTYMLLGNPQRRYFLDIDTGSDLTWIQCDAPCSSCAKGANPLYKPTKVNIVASGDSMCMEVQKNQKPQICETCQQCDYEIEYADRSSSLGVLAKDKLHLVNPNGSITNLDVVFGCAYDQQGILLNTLSKTDGILGLSKAKVSLPSQLASKGIINNVVGHCLLSEVSELGFIQDASDPTLPVCWRAPFPIRTIMDVNKYFKTLTLQFGSKWWIISKKFHIPPEGYLIISKKGNACLGILDGNNVHDGSTFILGDISLRGQLVVYDNEKQKIGWGPSGCGKPSRFKSLPFFEG >KJB40021 pep chromosome:Graimondii2_0_v6:7:2972047:2974554:1 gene:B456_007G042700 transcript:KJB40021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQLRRESSMDQQESPRSPEAKLGMKVEDLWGVQEPKLSPNEKLNACFESIPVSAFPLAPQGIEIKSDASLAEAVQILAQNKILSAPVVDVNAPDDATWIDRYIGIVEFAGIAIWILQQSEPPSPRSPSSPSGTYFAVSINGMTSAVGLGTLGPEDASITSGDFFEALTSSKFYKNTKVRDISGTFRWAPFLALQKSNSFLTMLLLLSKYKMKSVPVVDLGDGKINNIITQSAVIHMLAECTGFHWFESWGTKKLLEIGLPTMSPKEIIKVHEDQPVLQAFKLMRKNRIGGVPVVESGGKKAIGNISLRDVQFLLTAPEIYRDYRFVMIDLKASISYQIYILCSELSPLDCIDLSRRRTS >KJB40019 pep chromosome:Graimondii2_0_v6:7:2971775:2974614:1 gene:B456_007G042700 transcript:KJB40019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQLRRESSMDQQESPRSPEAKLGMKVEDLWGVQEPKLSPNEKLNACFESIPVSAFPLAPQGIEIKSDASLAEAVQILAQNKILSAPVVDVNAPDDATWIDRYIGIVEFAGIAIWILQQSEPPSPRSPSSPSGTYFAVSINGMTSAVGLGTLGPEDASITSGDFFEALTSSKFYKNTKVRDISGTFRWAPFLALQKSNSFLTMLLLLSKYKMKSVPVVDLGDGKINNIITQSAVIHMLAECTGFHWFESWGTKKLLEIGLPTMSPKEIIKVHEDQPVLQAFKLMRKNRIGGVPVVESGGKKAIGNISLRDVQFLLTAPEIYRDYRSITAKNFLIAVKNYLEKHDKRSPMLSGTVTCKRDKTIKELIQTLDSEKIHRMYVVDDDGNLEGVITLRDIISRLVHEPHGYFGDFFDGVLPLPENCRV >KJB40020 pep chromosome:Graimondii2_0_v6:7:2971825:2974582:1 gene:B456_007G042700 transcript:KJB40020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQLRRESSMDQQESPRSPEAKLGMKVEDLWGVQEPKLSPNEKLNACFESIPVSAFPLAPQGIEIKSDASLAEAVQILAQNKILSAPVVDVNAPDDATWIDRYIGIVEFAGIAIWILQQSEPPSPRSPSSPSGTYFAVSINGMTSAVGLGTLGPEDASITSGDFFEALTSSKFYKNTKVRDISGTFRWAPFLALQKSNSFLTMLLLLSKYKMKSVPVVDLGDGKINNIITQSAVIHMLAECTGFHWFESWGTKKLLEIGLPTMSPKEIIKVHEDQPVLQAFKLMRKNRIGGVPVVESGGKKAIGNISLRDVQFLLTAPEIYRDYRSITAKNFLIAVKNYLEKHDKRSPMLSGTVTCKRDKTIKELIQTLDSEKIHRMYVVDDDGNLEGVITLRDIISRLVHEPHGYFGDFFDGVLPLPENCRV >KJB46043 pep chromosome:Graimondii2_0_v6:7:57360693:57364575:1 gene:B456_007G345500 transcript:KJB46043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTVDLRSDTVTKPTEAMRAAMATAEVDDDVFGADPTAVKLQSEVAKMMGKEAGLFVPSGTMGNLISVLVHCDVRGSEVILGNNSHIHIYENGGIATIGGVHPRPVKNNEDGTMDIDLIEDAIRDPRGELVYPTTRLICLENSHGNTGGRCLPVEYIDSVGELAKKHGLKLHIDGARIFNASVALGIPVDRLVQAADSVSVCLSKGIGAPVGSVIVGSETFITKARRLRKTLGGGMRQVGVICAAALVALQENLIKLEGDHKNAKVLAEGLNQIKGLRVNVAAVETNIIFFDIVEGSKISGEKLYKHLVERGVFVLLEGPSRMRIVLHHQISSSDVQYTLSCFQQAFSGLQEENGN >KJB46044 pep chromosome:Graimondii2_0_v6:7:57360692:57364575:1 gene:B456_007G345500 transcript:KJB46044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTVDLRSDTVTKPTEAMRAAMATAEVDDDVFGADPTAVKLQSEVAKMMGKEAGLFVPSGTMGNLISVLVHCDVRGSEVILGNNSHIHIYENGGIATIGGVHPRPVKNNEDGTMDIDLIEDAIRDPRGELVYPTTRLICLENSHGNTGGRCLPVEYIDSVGELAKKHGLKLHIDGARIFNASVALGIPVDRLVQAADSVSVCLSKGIGAPVGSVIVGSETFITKARRLRKTLGGGMRQVGVICAAALVALQENLIKLEGDHKNAKVLAEGLNQIKGLRVNVAAVETNIIFFDIVEGSKISGEKLYKHLVERGVFVLLEGPSRMRIVLHHQISSSDVQYTLSCFQQAFSGLQEENGN >KJB46045 pep chromosome:Graimondii2_0_v6:7:57360717:57364096:1 gene:B456_007G345500 transcript:KJB46045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTVDLRSDTVTKPTEAMRAAMATAEVDDDVFGADPTAVKLQSEVAKMMGKEAGLFVPSGTMGNLISVLVHCDVRGSEVILGNNSHIHIYENGGIATIGGVHPRPVKNNEDGTMDIDLIEDAIRDPRGELVYPTTRLICLENSHGNTGGRCLPVEYIDSVGELAKKHGLKLHIDGARIFNASVALGIPVDRLVQAADSVSVCLSKGIGAPVGSVIVGSETFITKARRLRKTLGGGMRQVGVICAAALVALQENLIKLEGDHKNAKVLAEGLNQIKGLRVNVAAVETNIIFFDIVEGSKISGEKLYKHLVERGVFVLLEGPSRMRIVLHHQISSSDVQYTLSCFQVIIRAFLLFRLFIFIKTLISDSSSYTSVGIQWCMLFRFFIFL >KJB41201 pep chromosome:Graimondii2_0_v6:7:6952179:6956927:-1 gene:B456_007G094800 transcript:KJB41201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFENQTAIASSHSPHLRKPGSKSVSSDLGAGEPGNSVQEGYVFPLTVGEMKASNSPMHTTAIMPSPIFLWRFKVIWFLIWAFTCCKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVTMMVWLWGVCLWVFSQSTINYAKIFDLDSNHLTHREIWKCSIWMTVIVPTSMTAYLYLYSHGEVALAASQPVILYVAVALVLIFPFDIFYFSSRYFLLRTLWRIALPLQPISFPDFFLADILTSMAKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVIPYIWRLLQCLRQYKDTKEKPTLFNGGHMFIVASGFFQVLSTHSTHFIGT >KJB41202 pep chromosome:Graimondii2_0_v6:7:6952179:6957172:-1 gene:B456_007G094800 transcript:KJB41202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFENQTAIASSHSPHLRKPGSKSVSSDLGAGEPGNSVQEGYVFPLTVGEMKASNSPMHTTAIMPSPIFLWRFKVIWFLIWAFTCCKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVTMMVWLWGVCLWVFSQSTINYAKIFDLDSNHLTHREIWKCSIWMTVIVPTSMTAYLYLYSHGEVALAASQPVILYVAVALVLIFPFDIFYFSSRYFLLRTLWRIALPLQPISFPDFFLADILTSMAKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVIPYIWRLLQCLRQYKDTKEKPTLFNALKYSTAVPVIFLSALKYHVSPDRWTYVYRRLWLFSSVVNSLYSFYWDITRDWDLSVFTRIFKFNKPSLCTNLFYGRRWVYFWVIGSNLILRCTWTYKLSAHLRHNYLTVFMVTALEMLRRFQWIFFRVENEWNKITKSGFQIPMVDMPREEEKLLGSTNHNV >KJB41198 pep chromosome:Graimondii2_0_v6:7:6952607:6956605:-1 gene:B456_007G094800 transcript:KJB41198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFENQTAIASSHSPHLRKPGSKSVSSDLGAGEPGNSVQEGYVFPLTVGEMKASNSPMHTTAIMPSPIFLWRFKVIWFLIWAFTCCKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVTMMVWLWGVCLWVFSQSTINYAKIFDLDSNHLTHREIWKCSIWMTVIVPTSMTAYLYLYSHGEVALAASQPVILYVAVALVLIFPFDIFYFSSRYFLLRTLWRIALPLQPISFPDFFLADILTSMAKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVIPYIWRLLQCLRQYKDTKEKPTLFNALKYSTAVPVIFLSALKYHVSPDRWTYVYRRLWLFSSVVNSLYSFYWDITRDWDLSVFTRIFKFNKPSLCTNLFYGRRWVYFWVIGSNLILRCTWTYKLSAHLRHNYLTVFMVTALEMLRRFQWIFFRVENEWNKITKSGFQIPMVDMPREEEKLLGSTNHNV >KJB41197 pep chromosome:Graimondii2_0_v6:7:6953126:6956605:-1 gene:B456_007G094800 transcript:KJB41197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFENQTAIASSHSPHLRKPGSKSVSSDLGAGEPGNSVQEGYVFPLTVGEMKASNSPMHTTAIMPSPIFLWRFKVIWFLIWAFTCCKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVTMMVWLWGVCLWVFSQSTINYAKIFDLDSNHLTHREIWKCSIWMTVIVPTSMTAYLYLYSHGEVALAASQPVILYVAVALVLIFPFDIFYFSSRYFLLRTLWRIALPLQPISFPDFFLADILTSMAKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVIPYIWRLLQCLRQYKDTKEKPTLFNALKYSTAVPVIFLSALKYHVSPDRWTYVYRRLWLFSSVVNSLYSFYWDITRDWDLRCDNIFLI >KJB41200 pep chromosome:Graimondii2_0_v6:7:6952179:6956927:-1 gene:B456_007G094800 transcript:KJB41200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFENQTAIASSHSPHLRKPGSKSVSSDLGAGEPGNSVQEGYVFPLTVGEMKASNSPMHTTAIMPSPIFLWRFKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVTMMVWLWGVCLWVFSQSTINYAKIFDLDSNHLTHREIWKCSIWMTVIVPTSMTAYLYLYSHGEVALAASQPVILYVAVALVLIFPFDIFYFSSRYFLLRTLWRIALPLQPISFPDFFLADILTSMAKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVIPYIWRLLQCLRQYKDTKEKPTLFNALKYSTAVPVIFLSALKYHVSPDRWTYVYRRLWLFSSVVNSLYSFYWDITRDWDLSVFTRIFKFNKPSLCTNLFYGRRWVYFWVIGSNLILRCTWTYKLSAHLRHNYLTVFMVTALEMLRRFQWIFFRVENEWNKITKSGFQIPMVDMPREEEKLLGSTNHNV >KJB41199 pep chromosome:Graimondii2_0_v6:7:6952179:6956927:-1 gene:B456_007G094800 transcript:KJB41199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFENQTAIASSHSPHLRKPGSKSVSSDLGAGEPGNSVQEGYVFPLTVGEMKASNSPMHTTAIMPSPIFLWRFKVIWFLIWAFTCCKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVTMMVWLWGVCLWVFSQSTINYAKIFDLDSNHLTHREIWKCSIWMTVIVPTSMTAYLYLYSHGEVALAASQPVILYVAVALVLIFPFDIFYFSSRYFLLRTLWRIALPLQPISFPDFFLADILTSMAKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVIPYIWRLLQCLRQYKDTKEKPTLFNALKYSTAVPVIFLSALKYHVSPDRWTYVYRRLWLFSSVVNSLYSFYWDITRDWDLSVFTRIFKFNKPSLCTNLFYGRRWQLDFALHMDI >KJB46601 pep chromosome:Graimondii2_0_v6:7:60796517:60798761:-1 gene:B456_007G376800 transcript:KJB46601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVLTSSALFKTLLFLSLCSVWLLPDLALAKHAGITRHYKFNIKMQNVTRLCQTKSIVTVNGQFPGPRIIAREGDRLLIKVVNHVQYNVTIHWHGIRQLRSGWADGPAYVTQCPIQTGQSYVYNFTITGQRGTLFWHAHISWLRATLYGPIVILPKRHASYPFPQPFKEFPIVFGEWWKADTETIINQAMATGGAPNISDAFTINGLPGPSYNCSAKETFKLKVKPGKTYLLRLVNAALNDELFFRVANHTLTVVEADAVYVKPFKTDIVLITPGQTTNVLLKAKSNTPNAKFAMSARPYSTGPATFDNTTTIGILEYKKSASASSSNNMNISLLKAKLPQFNDSTYAMKFNQKIRSLATRKFPVKVPKKVDRRFFFTVGLGILPCSKNQACQGPNNIRPAAGINNVSFVQPNTALLQAHFFNRSKGVYTTNFPTNPPFKFNYTGTPPKNIMLDSGTKLVSLNYNTSVELVMQDTSILGAESHPLHLHGFNFFVLAQGIGNFDPKRDPAKFNLVDPAERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWVVNDGKGPKQKLMPPPADLPKC >KJB40844 pep chromosome:Graimondii2_0_v6:7:5718666:5723480:-1 gene:B456_007G080200 transcript:KJB40844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKMDGSEKSGLEGVLSPTYKLGKRIGIGTFGKVKIAEHVLTGHKVAIKILKLTKIKNMGMEETVRREIKISRLFMHPHIIRLYDVIETTTKIFIVTEYAEYGDLFDYIVQKRRLKEDEARKLFQQIISGVEYCHRCMVVHRDLKPENLLLDSNFNVKIADFGFSNIMRDGHFLKTSCGSPNYAAPEIVSGKLYAGPEVDAWSCGVILYALLSGTLPFEDESFHNLYKKIKAGCYTLPRHLSAGARDLISRIIVVDPMKRLTIPEIRQHPWFQAHLPIYLAVPRPDTTQQAKKVDEEILQEVVRMGFEKNHLVESLRNRVQNEGTVAYYLLLDNRFGVPSGYLGAEFQETMETTSFNPPHPIEAAAPGVGHSLPGYTDNQAMDLRSPERKWALGLQSGGQPFQIMMEVLKALQAINVRWKNIGHYNMKCRWLPSFIPGLNNNHYFGDDESTVIENSGVTKSPNVVKFQLQLYKTEEEKYVVDVQRLEGPQFLFLDVCAAFLQHLRAL >KJB39211 pep chromosome:Graimondii2_0_v6:7:232535:234447:-1 gene:B456_007G002300 transcript:KJB39211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPEPVTYICGDCGQENTLKHGDVIQCRECGYRILYKKRTRRIVQYEAR >KJB39208 pep chromosome:Graimondii2_0_v6:7:232535:234120:-1 gene:B456_007G002300 transcript:KJB39208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPEPVTYICGDCGQENTLKHGDVIQCRECGYRILYKKRTRRIVQYEAR >KJB39210 pep chromosome:Graimondii2_0_v6:7:232803:233738:-1 gene:B456_007G002300 transcript:KJB39210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPEPVTYICGDCGQENTLKHGDVIQCRECGYRILYKKRTRRIVQYEAR >KJB39207 pep chromosome:Graimondii2_0_v6:7:232803:233738:-1 gene:B456_007G002300 transcript:KJB39207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPEPVTYICGDCGQENTLKHGDVIQCRECGYRILYKKRTRRIVQYEAR >KJB39209 pep chromosome:Graimondii2_0_v6:7:232473:234590:-1 gene:B456_007G002300 transcript:KJB39209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPEPVTYICGDCGQENTLKHGDVIQCRECGYRILYKKRTRRIVQYEAR >KJB39206 pep chromosome:Graimondii2_0_v6:7:232505:234528:-1 gene:B456_007G002300 transcript:KJB39206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPEPVTYICGDCGQENTLKHGDVIQCRECGYRILYKKRTRRIVQYEAR >KJB43513 pep chromosome:Graimondii2_0_v6:7:21000804:21004513:-1 gene:B456_007G204000 transcript:KJB43513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPENSPSEDSPPAPSDSNSSQDSPSRTDSSSSSSSSSSPRPSSSSSSSPPPPAKSSSSDSKQDSSSSSSSPPPSTRHTYHSPASSNSSHSHKSSGSKQVSSSKNTPSSDSSDKIAGVDIKIIVGAAVAVGLVLLLLIICCIVCCCRKKKKKAQRQVMYYDDAKGDGKDYYNNYQNTQWGNGPNGPEHVVKVPPPSGGANYSGGWGSQAPPVFSGQASSNFSGPHPPPLPPPSPNLALGFNKSTFSYEELLAATNGFSQANLIGQGGFGYVHKGVFPNGKEVAVKSLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGGQRMLVYEFVANKTLEHHLHGKDRPVMDFPTRLRIALGSAKGLAYLHEDCHPRIIHRDIKSANILLDNNFEAMVADFGLAKLSTDNYTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVMLLELITGKQPIDISMDDSLVDWARPLLTRALEGGNVDELVDPRLEKNYNQNEMQRMIACAAASIRHSARKRPKMSQIARILEGDSSLDDLNENGSKPGQSTIFGASGEYTNSSYNADMERFRQLALGSQEFTSSDYGTSSCENSTEMGPGGARK >KJB43512 pep chromosome:Graimondii2_0_v6:7:21000804:21004505:-1 gene:B456_007G204000 transcript:KJB43512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPENSPSEDSPPAPSDSNSSQDSPSRTDSSSSSSSSSSPRPSSSSSSSPPPPAKSSSSDSKQDSSSSSSSPPPSTRHTYHSPASSNSSHSHKSSGSKQVSSSKNTPSSDSSDKIAGVDIKIIVGAAVAVGLVLLLLIICCIVCCCRKKKKKAQRQVMYYDDAKGDGKDYYNNYQNTQWGNGPNGPEHVVKVPPPSGGANYSGGWGSQAPPVFSGQASSNFSGPHPPPLPPPSPNLALGFNKSTFSYEELLAATNGFSQANLIGQGGFGYVHKGVFPNGKEVAVKSLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGGQRMLVYEFVANKTLEHHLHGKDRPVMDFPTRLRIALGSAKGLAYLHEDCHPRIIHRDIKSANILLDNNFEAMVADFGLAKLSTDNYTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVMLLELITGKQPIDISMDDSLVDWARPLLTRALEGGNVDELVDPRLEKNYNQNEMQRMIACAAASIRHSARKRPKMSQVQTLITCLVTIASLYVINI >KJB45232 pep chromosome:Graimondii2_0_v6:7:50709058:50713892:1 gene:B456_007G296700 transcript:KJB45232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLFGSVSRSLVFRATPDNNTQENSPPLATAANAFVERINSCIRKSRVFSKPSSPSPSPPLIRWRKGELIGSGAFGRVYMGMNLDSGELLAVKEVLIAANSASKEKTQAHIRELEEEVKLLKNLSHPNIVRYLGTVREEETLNILLEFVPGGSISSLLGKFGPFPEAVIRKYTEQLLLGLEYLHNNGIMHRDIKGANILVDNKGNIKLADFGASKQVVELATVSGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFYVGTTKSHPPIPEHLSTEAKDFLLKCLQKEPDLRPVSSVLLKHPFVTGESQDSNTVLHASVMDNSEISSALHATNPEGFQVSRCRDSADMCNLGSLNCSNTFVEKFSESKNLWRMDCNEDDMCQIDKDDSMGKFDDDPEMVAVGFPTACVEGGNDFSYTGGPSFSEDDDELTESKIRAFLDEKALELKKLQTPLYEEFYNSLNLSGSPSFVEKREETPPNYLKLPPKSRSPSRGPVGTPSSAADAISTGSPGSNSSRRVSNVGNASDQTPQDNSSPQHCDWKGLLVDGQPEPSSPSLVFSERQRKWKEELDQELERKREMLRQAGVGGKTSSPKDRALNRQRDRTRFASPGK >KJB45233 pep chromosome:Graimondii2_0_v6:7:50709067:50713892:1 gene:B456_007G296700 transcript:KJB45233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLFGSVSRSLVFRATPDNNTQENSPPLATAANAFVERINSCIRKSRVFSKPSSPSPSPPLIRWRKGELIGSGAFGRVYMGMNLDSGELLAVKEVLIAANSASKEKTQAHIRELEEEVKLLKNLSHPNIVRYLGTVREEETLNILLEFVPGGSISSLLGKFGPFPEAVIRKYTEQLLLGLEYLHNNGIMHRDIKGANILVDNKGNIKLADFGASKQVVELATVSGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFYVGTTKSHPPIPEHLSTEAKDFLLKCLQKEPDLRPVSSVLLKHPFVTGESQDSNTVLHASVMDNSEISSALHATNPEGFQVSRCRDSADMCNLGSLNCSNTFVEKFSESKNLWRMDCNEDDMCQIDKDDSMSSNPTCGTSHDGKGKFDDDPEMVAVGFPTACVEGGNDFSYTGGPSFSEDDDELTESKIRAFLDEKALELKKLQTPLYEEFYNSLNLSGSPSFVEKREETPPNYLKLPPKSRSPSRGPVGTPSSAADAISTGSPGSNSSRRVSNVGNASDQTPQDNSSPQHCDWKGLLVDGQPEPSSPSLVFSERQRKWKEELDQELERKREMLRQAGVGGKTSSPKDRALNRQRDRTRFASPGK >KJB45514 pep chromosome:Graimondii2_0_v6:7:52317806:52322425:-1 gene:B456_007G309700 transcript:KJB45514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLVPIYLVILAFFCTAGAIALAVLHIYRHLLNYTEPIFQRYIVRIIFMVPIYALMSFLSLVLPRSSIYFNSIREGYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRVLKPSWYLMTCCLPPMPLDGRFIRRCKQGCLQFVILKPILVAVTLVLYAKGKYKDGNFSPSQAYLYLTIIYTISYTVALYALVLFYMACRDLLQPFNPVPKFIIIKSVVFLTYWQGVLFFLAAKSGFIKDADEAAQFQNFIICVEMLLAALGHLYAFPYKEYAGANIGMSRGFTRSLAHALMLNDFYHDTVHQFAPTYHDYVLYNHNDGDEGTRKYRSRTFVPTGPEMDAARRNKHMIGNKLEDIQLSSLPSYGTSAPQNPSSVPDSTSGDLTKSSLLVDHSNSYSAPYDMSLIDMDLSSYPSKVPAAKDTEPR >KJB45512 pep chromosome:Graimondii2_0_v6:7:52318707:52322053:-1 gene:B456_007G309700 transcript:KJB45512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLVPIYLVILAFFCTAGAIALAVLHIYRHLLNYTEPIFQRYIVRIIFMVPIYALMSFLSLVLPRSSIYFNSIREGYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRVLKPSWYLMTCCLPPMPLDGRFIRRCKQGCLQFVILKPILVAVTLVLYAKGKYKDGNFSPSQAYLYLTIIYTISYTVALYALVLFYMACRDLLQPFNPVPKFIIIKSVVFLTYWQGVLFFLAAKSGFIKDADEAAQFQNFIICVEMLLAALGHLYAFPYKEYAGANIGMSRGFTRSLAHALMLNDFYHDTVHQVSLTSVAISQRFLYWILLLLFRVIYGFYHIRSLHLRIMIMYCTTIMMVMREQGNTGRGLLCQLGPRWMLLEETNT >KJB45511 pep chromosome:Graimondii2_0_v6:7:52318474:52321344:-1 gene:B456_007G309700 transcript:KJB45511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCCLPPMPLDGRFIRRCKQGCLQFVILKPILVAVTLVLYAKGKYKDGNFSPSQAYLYLTIIYTISYTVALYALVLFYMACRDLLQPFNPVPKFIIIKSVVFLTYWQGVLFFLAAKSGFIKDADEAAQFQNFIICVEMLLAALGHLYAFPYKEYAGANIGMSRGFTRSLAHALMLNDFYHDTVHQFAPTYHDYVLYNHNDGDEGTRKYRSRTFVPTGPEMDAARRNKHMIGNKLEDIQLSSLPSYGTSAPQNPSSVPDSTSGDLTKSSLLVDHSNSYSAPYDMSLIDMDLSSYPSKVPAAKDTEPR >KJB45515 pep chromosome:Graimondii2_0_v6:7:52317806:52322540:-1 gene:B456_007G309700 transcript:KJB45515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLVPIYLVILAFFCTAGAIALAVLHIYRHLLNYTEPIFQRYIVRIIFMVPIYALMSFLSLVLPRSSIYFNSIREGYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRVLKPSWYLMTCCLPPMPLDGRFIRRCKQGCLQFVILKPILVAVTLVLYAKGKYKDGNFSPSQAYLYLTIIYTISYTVALYALVLFYMACRDLLQPFNPVPKFIIIKSVVFLTYWQGVLFFLAAKSGFIKDADEAAQFQNFIICVEMLLAALGHLYAFPYKEYAGANIGMSRGFTRSLAHALMLNDFYHDTVHQFAPTYHDYVLYNHNDGDEGTRKYRSRTFVPTGPEMDAARRNKHMIGNKLEDIQLSSLPSYGTSAPQNPSSVPDSTSGDLTKSSLLVDHSNSYSAPYDMSLIDMDLSSYPSKVPAAKDTEPR >KJB45513 pep chromosome:Graimondii2_0_v6:7:52317806:52322425:-1 gene:B456_007G309700 transcript:KJB45513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCCLPPMPLDGRFIRRCKQGCLQFVILKPILVAVTLVLYAKGKYKDGNFSPSQAYLYLTIIYTISYTVALYALVLFYMACRDLLQPFNPVPKFIIIKSVVFLTYWQGVLFFLAAKSGFIKDADEAAQFQNFIICVEMLLAALGHLYAFPYKEYAGANIGMSRGFTRSLAHALMLNDFYHDTVHQFAPTYHDYVLYNHNDGDEGTRKYRSRTFVPTGPEMDAARRNKHMIGNKLEDIQLSSLPSYGTSAPQNPSSVPDSTSGDLTKSSLLVDHSNSYSAPYDMSLIDMDLSSYPSKVPAAKDTEPR >KJB40440 pep chromosome:Graimondii2_0_v6:7:4582816:4584669:1 gene:B456_007G065300 transcript:KJB40440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNTLPPGFRFHPTDVELVMYYLKRKVLGQKLLLNVIAKYNGEVVGMIKTLVFHKGKAPRGDRTDWVMHEYRLEEKILADRGPVQDMYMLYVVFKKDGLGPRNGSQYGAPFKEEEWSDDEQADVPGAGSLCGLSTVAVGGDNSSCVPESVCVGPSVESSGWCTQPSMGHTNGDVNVTTAIDTNAYAAPTCADAPEVPVVAVAEDVPTLLEAPQPALVPQDQESNDNVLSMLECFFEEDAFGTHEGLRRGNATDSSFKDTPVSDEDDISSLLASFTDVDNSNNWSYPF >KJB40439 pep chromosome:Graimondii2_0_v6:7:4582698:4584706:1 gene:B456_007G065300 transcript:KJB40439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNTLPPGFRFHPTDVELVMYYLKRKVLGQKLLLNVIAKVDVYKFAPWDLPDMSCMKTGDLKWYFYCPIEKKYARGDRVNRATIFGYWKATGKDRPVKYNGEVVGMIKTLVFHKGKAPRGDRTDWVMHEYRLEEKILADRGPVQDMYMLYVVFKKDGLGPRNGSQYGAPFKEEEWSDDEQADVPGAGSLCGLSTVAVGGDNSSCVPESVCVGPSVESSGWCTQPSMGHTNGDVNVTTAIDTNAYAAPTCADAPEVPVVAVAEDVPTLLEAPQPALVPQDQESNDNVLSMLECFFEEDAFGTHEGLRRGNATDSSFKDTPVSDEDDISSLLASFTDVDNSNNWSYPF >KJB46282 pep chromosome:Graimondii2_0_v6:7:58746555:58747868:-1 gene:B456_007G3565002 transcript:KJB46282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQVDFDDKTRWEYLFKVYWVLLKEKLALTLDELTNSTNPWKELREASANIEPKHQNDVADLINSYKSLYPKWVFDLRTSRGSHFGGPGTDIS >KJB46476 pep chromosome:Graimondii2_0_v6:7:60425967:60429231:-1 gene:B456_007G371300 transcript:KJB46476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGAEVKSGQSFEVELEDDGSRILHISQAALGEVTSDNKKEKGNGTACIYLKFNNEKFVIGTLSHDKFPQMPLDLALHSKFELSHTWKNGSVYFTGYYVDTPQGSESEEELPEPIVNPVKPHATISDPTTSKQVKIVEPKKAEDSSDDEDEDDTSTEDEMSSEDQEPGMLVNGENESDNDTDSDEDDSEEESSDEDPETPETEKAGPSKKRSAESATKTPAPEKKAKLVTPQKTDGKKVGGHTATPHPSKEARKASATTGQVNQSAKPGGSSFPCKSCGRSFGSENALQSHSKAKHGIAV >KJB46473 pep chromosome:Graimondii2_0_v6:7:60425967:60429127:-1 gene:B456_007G371300 transcript:KJB46473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDLALHSKFELSHTWKNGSVYFTGYYVDTPQGSGSESEEELPEPIVNPVKPHATISDPTTSKQVKIVEPKKAEDSSDDEDEDDTSTEDEMSSEDQEPGMLVNGENESDNDTDSDEDDSEEESSDEDPETPETEKAGPSKKRSAESATKTPAPEKKAKLVTPQKTDGKKVGGHTATPHPSKEARKASATTGQVNQSAKPGGSSFPCKSCGRSFGSENALQSHSKAKHGIAV >KJB46478 pep chromosome:Graimondii2_0_v6:7:60426505:60429231:-1 gene:B456_007G371300 transcript:KJB46478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGAEVKSGQSFEVELEDDGSRILHISQAALGEVTSDNKKEKGNGTACIYLKFNNEKFVIGTLSHDKFPQMPLDLALHSKFELSHTWKNGSVYFTGYYVDTPQGSSESEEELPEPIVNPVKPHATISDPTTSKQVKIVEPKKAEDSSDDEDEDDTSTEDEMSSEDQEPGMLVNGENESDNDTDSDEDDSEEESSDEDPETPETEKAGPSKKRSAESATKTPAPEKKAKLVTPQKTGTFPLLYVLFLRC >KJB46477 pep chromosome:Graimondii2_0_v6:7:60425967:60429311:-1 gene:B456_007G371300 transcript:KJB46477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGAEVKSGQSFEVELEDDGSRILHISQAALGEVTSDNKKEKGNGTACIYLKFNNEKFVIGTLSHDKFPQMPLDLALHSKFELSHTWKNGSVYFTGYYVDTPQGSGSESEEELPEPIVNPVKPHATISDPTTSKQVKIVEPKKAEDSSDDEDEDDTSTEDEMSSEDQEPGMLVNGENESDNDTDSDEDDSEEESSDEDPETPETEKAGPSKKRSAESATKTPAPEKKAKLVTPQKTDGKKVGGHTATPHPSKEARKASATTGQVNQSAKPGGSSFPCKSCGRSFGSENALQSHSKAKHGIAV >KJB46474 pep chromosome:Graimondii2_0_v6:7:60425967:60429105:-1 gene:B456_007G371300 transcript:KJB46474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDLALHSKFELSHTWKNGSVYFTGYYVDTPQGSGSESEEELPEPIVNPVKPHATISDPTTSKQVKIVEPKKAEDSSDDEDEDDTSTEDEMSSEDQEPGMLVNGENESDNDTDSDEDDSEEESSDEDPETPETEKAGPSKKRSAESATKTPAPEKKAKLVTPQKTDGKKVGGHTATPHPSKEARKASATTGQVNQSAKPGGSSFPCKSCGRSFGSENALQSHSKAKHGIAV >KJB46475 pep chromosome:Graimondii2_0_v6:7:60425967:60429057:-1 gene:B456_007G371300 transcript:KJB46475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDLALHSKFELSHTWKNGSVYFTGYYVDTPQGSGSESEEELPEPIVNPVKPHATISDPTTSKQVKIVEPKKAEDSSDDEDEDDTSTEDEMSSEDQEPGMLVNGENESDNDTDSDEDDSEEESSDEDPETPETEKAGPSKKRSAESATKTPAPEKKAKLVTPQKTDGKKVGGHTATPHPSKEARKASATTGQVNQSAKPGGSSFPCKSCGRSFGSENALQSHSKAKHGIAV >KJB46479 pep chromosome:Graimondii2_0_v6:7:60426533:60429105:-1 gene:B456_007G371300 transcript:KJB46479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDLALHSKFELSHTWKNGSVYFTGYYVDTPQGSGSESEEELPEPIVNPVKPHATISDPTTSKQVKIVEPKKAEDSSDDEDEDDTSTEDEMSSEDQEPGMLVNGENESDNDTDSDEDDSEEESSDEDPETPETEKAGPSKKRSAESATKTPAPEKKAKLVTPQKTDGKKVGGHTATPHPSKEARKASATTGQVNQSAKPGGSSFPCKSCGRSVPYYGGIKKHLVL >KJB46472 pep chromosome:Graimondii2_0_v6:7:60425967:60429214:-1 gene:B456_007G371300 transcript:KJB46472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDLALHSKFELSHTWKNGSVYFTGYYVDTPQGSGSESEEELPEPIVNPVKPHATISDPTTSKQVKIVEPKKAEDSSDDEDEDDTSTEDEMSSEDQEPGMLVNGENESDNDTDSDEDDSEEESSDEDPETPETEKAGPSKKRSAESATKTPAPEKKAKLVTPQKTDGKKVGGHTATPHPSKEARKASATTGQVNQSAKPGGSSFPCKSCGRSFGSENALQSHSKAKHGIAV >KJB46480 pep chromosome:Graimondii2_0_v6:7:60426533:60429231:-1 gene:B456_007G371300 transcript:KJB46480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGAEVKSGQSFEVELEDDGSRILHISQAALGEVTSDNKKEKGNGTACIYLKFNNEKFVIGTLSHDKFPQMPLDLALHSKFELSHTWKNGSVYFTGYYVDTPQGSGSESEEELPEPIVNPVKPHATISDPTTSKQVKIVEPKKAEDSSDDEDEDDTSTEDEMSSEDQEPGMLVNGENESDNDTDSDEDDSEEESSDEDPETPETEKAGPSKKRSAESATKTPAPEKKAKLVTPQKTDGKKVGGHTATPHPSKEARKASATTGQVNQSAKPGGSSFPCKSCGRSVPYYGGIKKHLVL >KJB44157 pep chromosome:Graimondii2_0_v6:7:32373518:32375070:-1 gene:B456_007G237600 transcript:KJB44157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGKIQHVICTGNLCIKEVQDYLKTLCPDLHITRGEYDEDTRYPETKTLTIGQFKLGLCHGHQTEILLSFSEAAKGCTKDLQFDAFVTCDSCGK >KJB46596 pep chromosome:Graimondii2_0_v6:7:60793100:60796247:-1 gene:B456_007G376700 transcript:KJB46596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGFSFSLPVMVVVSAIAFVYFCTVFVFIDRWFGLMTSPGIMNAVAYTGVAVMCVLNYVFAIFMDPGRVPSTFLPDIEDSKVPIHEIKRKGGDLRYCQKCSHFKPPRAHHCRVCKICVLRMDHHCTWINNCVGHANYKVFFIFVVYAVIACIYSLVLLVGSLTNDSQNDKQQSADSFRILYVISGLLLVPLSMALSGLLGWHIYLILQNKTTIEYHEGVRATWLEERAGNAYKHPYDLGAYDNLTTVLGSNIICWICPTSKHIGNGLRFRTAYDGLPVTSTSK >KJB46599 pep chromosome:Graimondii2_0_v6:7:60793433:60796022:-1 gene:B456_007G376700 transcript:KJB46599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGFSFSLPVMVVVSAIAFVYFCTVFVFIDRWFGLMTSPGIMNAVAYTGVAVMCVLNYVFAIFMDPGRVPSTFLPDIEDSKVPIHEIKRKDHHCTWINNCVGHANYKVFFIFVVYAVIACIYSLVLLVGSLTNDSQNDKQQSADSFRILYVISGLLLVPLSMALSGLLGWHIYLILQNKTTIEYHEGVRATWLEERAGNAYKHPYDLGAYDNLTTVLGSNIICWICPTSKHIGNGLRFRTAYDGLPVTSTSK >KJB46600 pep chromosome:Graimondii2_0_v6:7:60793103:60796122:-1 gene:B456_007G376700 transcript:KJB46600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGFSFSLPVMVVVSAIAFVYFCTVFVFIDRWFGLMTSPGIMNAVAYTGVAVMCVLNYVFAIFMDPGRVPSTFLPDIEDSKVPIHEIKRKVLLVGSLTNDSQNDKQQSADSFRILYVISGLLLVPLSMALSGLLGWHIYLILQNKTTIEYHEGVRATWLEERAGNAYKHPYDLGAYDNLTTVLGSNIICWICPTSKHIGNGLRFRTAYDGLPVTSTSK >KJB46597 pep chromosome:Graimondii2_0_v6:7:60793101:60796192:-1 gene:B456_007G376700 transcript:KJB46597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGFSFSLPVMVVVSAIAFVYFCTVFVFIDRWFGLMTSPGIMNAVAYTGVAVMCVLNYVFAIFMDPGRVPSTFLPDIEDSKVPIHEIKRKGGDLRYCQKCSHFKPPRAHHCRVCKICVLRMDHHCTWINNCVGHANYKVLLVGSLTNDSQNDKQQSADSFRILYVISGLLLVPLSMALSGLLGWHIYLILQNKTTIEYHEGVRATWLEERAGNAYKHPYDLGAYDNLTTVLGSNIICWICPTSKHIGNGLRFRTAYDGLPVTSTSK >KJB46598 pep chromosome:Graimondii2_0_v6:7:60793103:60795075:-1 gene:B456_007G376700 transcript:KJB46598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHCTWINNCVGHANYKVFFIFVVYAVIACIYSLVLLVGSLTNDSQNDKQQSADSFRILYVISGLLLVPLSMALSGLLGWHIYLILQNKTTIEYHEGVRATWLEERAGNAYKHPYDLGAYDNLTTVLGSNIICWICPTSKHIGNGLRFRTAYDGLPVTSTSK >KJB45564 pep chromosome:Graimondii2_0_v6:7:52642474:52643667:1 gene:B456_007G312500 transcript:KJB45564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNRNWIWKIHFLSNLFIYSLEHKGEEVATPWPPTLPTRRPTPLSPAPPAPLTSAVTYALHSLHIPHRPPPENFLYNPHSALTTLPPLPSPSASTPPHISPLHSPINPPSFPTLPPFDYPNITTDDDFFHSNKRFKHDGLQSNNYNNNHLDATNSSFTWPMQQYSNRMTLDLPSSSRTAFNHHPHQDSGCFYPLKTELRSKQIKDDGLLENMPQEAQALAANHRGGNKEMPKEMMNAAQNEEEDYSRLINIDGPFSLGMVIPEWLNDSGESSERQPSVITDNENHLALDMHQIASLYPADISPNHAARSSSVRSWDNFPVLQQ >KJB45579 pep chromosome:Graimondii2_0_v6:7:52721202:52724454:1 gene:B456_007G313600 transcript:KJB45579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM8 MSTGPGLESLVDQTISVITNDGRNIVGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIREVLLVNWMKSWILHSTCRT >KJB45581 pep chromosome:Graimondii2_0_v6:7:52721202:52724478:1 gene:B456_007G313600 transcript:KJB45581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM8 MSTGPGLESLVDQTISVITNDGRNIVGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEELDSALDLSNLRAHPLKPVIH >KJB45580 pep chromosome:Graimondii2_0_v6:7:52721202:52724454:1 gene:B456_007G313600 transcript:KJB45580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM8 MSTGPGLESLVDQTISVITNDGRNIVVFLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEELDSALDLSNLRAHPLKPVIH >KJB40224 pep chromosome:Graimondii2_0_v6:7:3651858:3654021:-1 gene:B456_007G052100 transcript:KJB40224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVTAEAVAVASEASVTMTEQTPGPRMAETLETQGSVEATIECAVQGGSESTCNNNSNPESCVVAPHVDREKTLEFADELTERGSQAFKEDDFAEAADCFSRALEIRVAHHGELATECIKAYYLYGRALLYKAQEEADPLGSVPKEGEAQQDAKKEGSFKNALTRETSVASVSSTSEQDGSGKGGEEEEDSDNDDAAEAEDADESDLDLAWKMLDVARAIAEKQQLGDTMEIVDILSALAEVALEREDIESSLGDYQKALSILLRLVEPDHRQIAELNFRICMCLEIGSKPQEAVPYCQKAISVCKSRLERLRNEVNNSSESASSVAASELDDGVQQSSNGYQTVSSVKDKEAEIKTLAGLAEDLEKKASAILITSLN >KJB40227 pep chromosome:Graimondii2_0_v6:7:3652241:3654154:-1 gene:B456_007G052100 transcript:KJB40227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVTAEAVAVASEASVTMTEQTPGPRMAETLETQGSVEATIECAVQGGSESTCNNNSNPESCVVAPHVDREKTLEFADELTERGSQAFKEDDFAEAADCFSRALEIRVAHHGELATECIKAYYLYGRALLYKAQEEADPLGSVPKEGEAQQDAKKEGSFKNALTRETSVASVSSTSEQDGSGKGGEEEEDSDNDDAAEAEDADESDLDLAWKMLDVARAIAEKQQLGDTMEIVDILSALAEVALEREDIESSLGDYQKALSILLRLVEPDHRQIAELYP >KJB40223 pep chromosome:Graimondii2_0_v6:7:3650834:3654154:-1 gene:B456_007G052100 transcript:KJB40223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVTAEAVAVASEASVTMTEQTPGPRMAETLETQGSVEATIECAVQGGSESTCNNNSNPESCVVAPHVDREKTLEFADELTERGSQAFKEDDFAEAADCFSRALEIRVAHHGELATECIKAYYLYGRALLYKAQEEADPLGSVPKEGEAQQDAKKEGSFKNALTRETSVASVSSTSEQDGSGKGGEEEEDSDNDDAAEAEDADESDLDLAWKMLDVARAIAEKQQLGDTMEIVDILSALAEVALEREDIESSLGDYQKALSILLRLVEPDHRQIAELNFRICMCLEIGSKPQEAVPYCQKAISVCKSRLERLRNEVNNSSESASSVAASELDDGVQQSSNGYQTVSSVKDKEAEIKTLAGLAEDLEKKLEDLQQLVSNPKSIVAEILGMASARARGSEKSASPSVLSSSQMAPANSDGHFDSPTVSTANTSGVPAVTHLGIVGRGVKRVLTSTAMVESNPIKKPAIEPSSDKGDSSSAS >KJB40225 pep chromosome:Graimondii2_0_v6:7:3651806:3654021:-1 gene:B456_007G052100 transcript:KJB40225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVTAEAVAVASEASVTMTEQTPGPRMAETLETQGSVEATIECAVQGGSESTCNNNSNPESCVVAPHVDREKTLEFADELTERGSQAFKEDDFAEAADCFSRALEIRVAHHGELATECIKAYYLYGRALLYKAQEEADPLGSVPKEGEAQQDAKKEGSFKNALTRETSVASVSSTSEQDGSGKGGEEEEDSDNDDAAEAEDADESDLDLAWKMLDVARAIAEKQQLGDTMEIVDILSALAEVALEREDIESSLGDYQKALSILLRLVEPDHRQIAELNFRICMCLEIGSKPQEAVPYCQKAISVCKSRLERLRNEVNNSSESASSVAASELDDGVQQSSNGYQTVSSVKDKEAEIKTLAGLAEDLEKKAT >KJB40226 pep chromosome:Graimondii2_0_v6:7:3651119:3654154:-1 gene:B456_007G052100 transcript:KJB40226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVTAEAVAVASEASVTMTEQTPGPRMAETLETQGSVEATIECAVQGGSESTCNNNSNPESCVVAPHVDREKTLEFADELTERGSQAFKEDDFAEAADCFSRALEIRVAHHGELATECIKAYYLYGRALLYKAQEEADPLGSVPKEGEAQQDAKKEGSFKNALTRETSVASVSSTSEQDGSGKGGEEEEDSDNDDAAEAEDADESDLDLAWKMLDVARAIAEKQQLGDTMEIVDILSALAEVALEREDIESSLGDYQKALSILLRLVEPDHRQIAELNFRICMCLEIGSKPQEAVPYCQKAISVCKSRLERLRNEVNNSSESASSVAASELDDGVQQSSNGYQTVSSVKDKEAEIKTLAGLAEDLEKKLEDLQQLVSNPKSIVAEILGMASARARGSEKSASPSVLSSSQMAPANSDGHFDSPTVSTANTSGVPAVTHLGIVGRGVKRVLTSTAMVESNPIKKPAIEPSSDKGDSSSAS >KJB40973 pep chromosome:Graimondii2_0_v6:7:6121578:6126495:-1 gene:B456_007G085700 transcript:KJB40973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTASKLDNEDKVRRCKDRRRLMKEAVHARHQLAAAHADYCRSLRVAGAALSTFAAGEPLSVSDETPAVLLHPATPSPPTANLIPPRAPPSPSPPPPPPPPLSPSPSPSPTIASSKLPHILSASSLPSPTSNRRRPRKLPPKLPHILSESSPCSSPQSSKSGFSNSFFPAAYQNNSTYSTTPSQDSSVWNWENFYPPSPPDSEFFEQKLQQQQRMRQLPHRQHHLGSNNPEYTEDTETEKSEYDFFRPQNLNHRYDSNSVNSKRNFDEETEREEVQCSEWGDHDRYTTTSSSDEDEEEDDDVASRSEIGDRSNFGSSMTGESEKFHHLHHQTPPSVQPRIYGAVAGTKMDNKSEDAGSSAGSYKTGAMMEMKMVVRHRDLKKIVDAIKENFDKAAAAGDQVSEMLEISRAQLDKNFRQLKKTVYHSSSMFSNLSSSWTSKPPLAVKYRLDATALNESGGSKSLCSTLDRLLAWEKKLYDEVKAREGVKIEHEKKLSTLQSQEYKGEDETKIDKTKASITRLQSLIIVTSQAVSTTSTAIIGLRDSDLVPQLIEICHGFRYMWGSMHQYHEVQNNIVQQVFGLINRSGKGDSTSELHRQATRDLESAVSAWHSSFCRLMKFQRDFIHSIHGWFKLTLRPVSNDNVDGNGKTDLSDVYAFCDEWKLALERVPDTVASEAIRSFINVVHVISVKQTEELKIKKRTETASKELEKKASSLQNIERKFYHSYSMVGIGLPDSGADHGQVLDARDPLAEKKTELAGCQRRVEDEMLRHAKAVEVTRAMTLNNIQTGLPGVFQAVTSFSALFTEALDSVCSRSYRIK >KJB40974 pep chromosome:Graimondii2_0_v6:7:6121664:6126469:-1 gene:B456_007G085700 transcript:KJB40974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTASKLDNEDKVRRCKDRRRLMKEAVHARHQLAAAHADYCRSLRVAGAALSTFAAGEPLSVSDETPAVLLHPATPSPPTANLIPPRAPPSPSPPPPPPPPLSPSPSPSPTIASSKLPHILSASSLPSPTSNRRRPRKLPPKLPHILSESSPCSSPQSSKSGFSNSFFPAAYQNNSTYSTTPSQDSSVWNWENFYPPSPPDSEFFEQKLQQQQRMRQLPHRQHHLGSNNPEYTEDTETEKSEYDFFRPQNLNHRYDSNSVNSKRNFDEETEREEVQCSEWGDHDRYTTTSSSDEDEEEDDDVASRSEIGDRSNFGSSMTGESEKFHHLHHQTPPSVQPRIYGAVAGTKMDNKSEDAGSSAGSYKTGAMMEMKMVVRHRDLKKIVDAIKENFDKAAAAGDQVSEMLEISRAQLDKNFRQLKKTVYHSSSMFSNLSSSWTSKPPLAVKYRLDATALNESGGSKSLCSTLDRLLAWEKKLYDEVKAREGVKIEHEKKLSTLQSQEYKGEDETKIDKTKASITRLQSLIIVTSQAVSTTSTAIIGLRDSDLVPQLIEICHGFRYMWGSMHQYHEVQNNIVQQVFGLINRSGKGDSTSELHRQATRDLESAVSAWHSSFCRLMKFQRDFIHSIHGWFKLTLRPVSNDNVDGNGKTDLSDVYAFCDEWKLALERVPDTVASEAIRSFINVVHVISVKQTEELKIKKRTETASKELEKKASSLQNIERKFYHSYSMVGIGLPDSGADHGQVLDARDPLAEKKTELAGCQRRVEDEMLRHAKAVEVTRAMTLNNIQTGLPGVFQAVTSFSALFTEALDSVCSRSYRIK >KJB46377 pep chromosome:Graimondii2_0_v6:7:59552402:59563462:-1 gene:B456_007G363900 transcript:KJB46377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPASTPLGKMLLEEITPVVMVLCTPYVEESCLKNGLSLIQLLSPFCDFTNIDVPVRTASDQPYRLRKFKLRLFYSSDIRQPNLEVAKERLKKVITHVGEKNFLESSDPSQVNNLLSRPESEMLPSWFQFFNKELVRTLSFSDHEAFDHPVACLLVVSSKDDEPVNRFVDLFNTNKLPSLLNDGAMDPKIMKHYLLLHDNQDGPFNKATKLLADMRNAFGQNDCQIICFNSSQDGKIHHQDNPWAPFKSDALPTENLGGFLNLDDLNEIKDFMQELSSKHIIPYMEQKIRVLNQQVSATRKGFRNQIKNLWWRKGKEDTIDTPNGPVYTFNSIESQIRILGDYAFMLGDYELALSNYRLISTDYKLDKAWKRYAGVQEMMGLTYFLLDQSRKDAEYCMENAFNTYLKLGSAGRRNTTRCGLWWAEMLKTRDQLKEAATVYFRICGEDTLHSAVMLEQASFCYLLSKPPMLHKYGFHLVLSGDHYKKCDQIKHAIRTYRSAIPVYKGTTWSLIKDHVHFHIGQWYALLGMYDVAVTHMLEVLASTHQSKTTQELFLRDFLQIVQKTGKTFEVLKLQLPVINISSLKVIFEDQRTNASAAAARVKESLWRSLEEDIIPSSTARSNWLELQSKIMPRKYKESNICVAGEALKVDIEFKNPLQIPISVSSVSLMCELCANPEEDANSSNNEVQNNEDRTSTSSGDINSCLILSEVDFSLEGGETTVVQLTVTPRIEGILKIVGVKWKLSSAVVGLCNFEFNPVNRVVRGRRKQHSPSSDLKFLVIKSLPRLQGFIHSLPEKTYAGDLRPLVLELSNQSKSPVKNLKMKISNPRFLYAGNQKELNADFPACLKKKMNVEKSGRHANVNKTLQNLYHFPEDISVQEETSLSWPLWFHAAVPGNIPLYITIYYEMEGVSSIMKYRTLRMHYNLQVLPSLDVSFQLSTRPSRSQEFLLRMDVVNKTSSECFQVHQLSSVGQQWEISLLQPVDSILPSQSLFAGQSLSCFFMLKDRRRSSTSEDSIPSLSHIQSDVRLGPQDNSEAFFDVSSSPLADFHDCERSSRGMSSQVGCEYKVDFVFITQLLKGNSDSSSNTPLVFSHHACDCSPSSMSPISWLVDGPRTIQHNFSAPPCEVNLKMTITNSSDAIASVHINTFDSPSSNNQLINDATTSQPPEHQSGWSEIPIVNDTKIITTEALTTRVPKSLSLESVPQFIWSGTSSTKLVLQPRSTAEIPLQICVFTPGIYDLSNYILNWNLTPVNDGENQGEANKSTGVCQGYPYYLSVLESNFKD >KJB46376 pep chromosome:Graimondii2_0_v6:7:59552384:59563575:-1 gene:B456_007G363900 transcript:KJB46376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPASTPLGKMLLEEITPVVMVLCTPYVEESCLKNGLSLIQLLSPFCDFTNIDVPVRTASDQPYRLRKFKLRLFYSSDIRQPNLEVAKERLKKVITHVGEKNFLESSDPSQVNNLLSRPESEMLPSWFQFFNKELVRTLSFSDHEAFDHPVACLLVVSSKDDEPVNRFVDLFNTNKLPSLLNDGAMDPKIMKHYLLLHDNQDGPFNKATKLLADMRNAFGQNDCQIICFNSSQDGKIHHQDNPWAPFKSDALPTENLGGFLNLDDLNEIKDFMQELSSKHIIPYMEQKIRVLNQQVSATRKGFRNQIKNLWWRKGKEDTIDTPNGPVYTFNSIESQIRILGDYAFMLGDYELALSNYRLISTDYKLDKAWKRYAGVQEMMGLTYFLLDQSRKDAEYCMENAFNTYLKLGSAGRRNTTRCGLWWAEMLKTRDQLKEAATVYFRICGEDTLHSAVMLEQASFCYLLSKPPMLHKYGFHLVLSGDHYKKCDQIKHAIRTYRSAIPVYKGTTWSLIKDHVHFHIGQWYALLGMYDVAVTHMLEVLASTHQSKTTQELFLRDFLQIVQKTGKTFEVLKLQLPVINISSLKVIFEDQRTNASAAAARVKESLWRSLEEDIIPSSTARSNWLELQSKIMPRKYKESNICVAGEALKVDIEFKNPLQIPISVSSVSLMCELCANPEEDANSSNNEVQNNEDRTSTSSGDINSCLILSEVDFSLEGGETTVVQLTVTPRIEGILKIVGVKWKLSSAVVGLCNFEFNPVNRVVRGRRKQHSPSSDLKFLVIKSLPRLQGFIHSLPEKTYAGDLRPLVLELSNQSKSPVKNLKMKISNPRFLYAGNQKELNADFPACLKKKMNVEKSGRHANVNKTLQNLYHFPEDISVQEETSLSWPLWFHAAVPGNIPLYITIYYEMEGVSSIMKYRTLRMHYNLQVLPSLDVSFQLSTRPSRSQEFLLRMDVVNKTSSECFQVHQLSSVGQQWEISLLQPVDSILPSQSLFAGQSLSCFFMLKDRRRSSTSEDSIPSLSHIQSDVRLGPQDNSEAFFDVSSSPLADFHDCERSSRGMSSQGCEYKVDFVFITQLLKGNSDSSSNTPLVFSHHACDCSPSSMSPISWLVDGPRTIQHNFSAPPCEVNLKMTITNSSDAIASVHINTFDSPSSNNQLINDATTSQPPEHQSGWSEIPIVNDTKIITTEALTTRVPKSLSLESVPQFIWSGTSSTKLVLQPRSTAEIPLQICVFTPGIYDLSNYILNWNLTPVNDGENQGEANKSTGVCQGYPYYLSVLESNFKD >KJB41422 pep chromosome:Graimondii2_0_v6:7:7766128:7769575:1 gene:B456_007G103500 transcript:KJB41422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIHAPPNLIKPLLSFDLSFLSSISATLSPLQSARLHRFRLRRTSIRAVVAMSIPKFDSTGQSMPEHVAGNWYSVPGLRLRDHRFMVPLDYKNREASSKISIFAREIVAVGKEEQPMPYLSYLQGGPGFECPRPTEASGWILKACEEFRVVLMDQRGTGLSTPLTPSSMQQIKSAQKLADYLTHFRADSIVNDAEFIRVRLVPEARPWTVLGQSYGGFCGVTYLSFAPQGLKQVLLTGGIPPIGDGCTADSVYRACFEQIIHQNEKYYKRFPQDIEIVQDIVTFLAESEAGGVPLPSGGILTPRGLQFLGLSGLGSSAGFERLHYMFERAWDPILVPGAPKQISSYFLNTYENWVSFDTNPLYAILHESIYCQGASSRWSAHRVRADHESKFDAIKAAKEGRPVLFTGEMIFPWMFDEVKALRPFKEATQLLAEKEDWPPLYDGTALKNNKQVPVAAAVYYEDMYVNFKLVKETASQIAGIRLWITNEYMHSGLRDGGGQVFDHLMGMLNGKKPLF >KJB41420 pep chromosome:Graimondii2_0_v6:7:7766104:7769631:1 gene:B456_007G103500 transcript:KJB41420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIHAPPNLIKPLLSFDLSFLSSISATLSPLQSARLHRFRLRRTSIRAVVAMSIPKFDSTGQSMPEHVAGNWYSVPGLRLRDHRFMVPLDYKNREASSKISIFAREIVAVGKEEQPMPYLSYLQGGPGFECPRPTEASGWILKACEEFRVVLMDQRGTGLSTPLTPSSMQQIKSAQKLADYLTHFRADSIVNDAEFIRVRLVPEARPWTVLGQSYGGFCGVTYLSFAPQGLKQVLLTGGIPPIGDGCTADSVYRACFEQIIHQNEKYYKRFPQDIEIVQDIVTFLAESEAGGVPLPSGGILTPRGLQFLGLSGLGSSAGFERLHYMFERAWDPILVPGAPKQISSYFLNTYENWVSFDTNPLYAILHESIYCQGASSRWSAHRVRADHESKFDAIKAAKEGRPVLFTGEMIFPWMFDEVKALRPFKEATQLLAEKEDWPPLYDGTALKNNKVPVAAAVYYEDMYVNFKLVKETASQIAGIRLWITNEYMHSGLRDGGGQVFDHLMGMLNGKKPLF >KJB41421 pep chromosome:Graimondii2_0_v6:7:7766128:7769575:1 gene:B456_007G103500 transcript:KJB41421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIHAPPNLIKPLLSFDLSFLSSISATLSPLQSARLHRFRLRRTSIRAVVAMSIPKFDSTGQSMPEHVAGNWYSVPGLRLRDHRFMVPLDYKNREASSKISIFAREIVAVGKEEQPMPYLSYLQGGPGFECPRPTEASGWILKACEEFRVVLMDQRGTGLSTPLTPSSMQQIKSAQKLADYLTHFRADSIVNDAEFIRVRLVPEARPWTVLGQSYGGFCGVTYLSFAPQGLKQVLLTGGIPPIGDGCTADSVYRACFEQIIHQNEKYYKRFPQDIEIVQDIVTFLAESEAGGVPLPSGGILTPRGLQFLGLSGLGSSAGFERLHYMFERAWDPILVPGAPKQISSYFLNTYENWVSFDTNPLYAILHESIYCQVSFHAF >KJB46131 pep chromosome:Graimondii2_0_v6:7:57968021:57972932:-1 gene:B456_007G349700 transcript:KJB46131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKHVLKEGDEHDGSNTKKQVCRADFPPHFVFGVSTSSYQIEGGVNEGGRGKSIWDAFSHIEGKIVDGSNADVAVDHYHRYKEDIELISELGFKAYRFSISWPRIFPDGLGTKVNEEGIAFYNNVIDALLEKGIEPFVTLYHWDLPLHLHESIGGWLNKQIVDYFAKFADTCFEHFGDRVKNWGTINEPLHIAVGGYDTGRSAPGRNHNSSIETYLAAHHMILAHAAAVSIYHSKYKDKQGGQIGLVVDCEWAEANSDKIEDQCAAERRVEFQLGWFLHPLYLGDYPESMRDRLGEQLPQFTEEDEELLKSSTDFIGLNQYTSRLVSHAEDSVEEGHFEKAQQVAKIVEWEDGGIIGEKAASEWLYIVPWGMRKVLNYISHTYNNPPIYIMENGMDDEINENVPLHEMLDDKKRIEFYKGYVASVAQAIKDGVDVRGYCAWSLLDNFEWSHGYTKRFGLVYVDYKNGLTRHPKSSAFWFKSFLKDNQE >KJB46133 pep chromosome:Graimondii2_0_v6:7:57969178:57972900:-1 gene:B456_007G349700 transcript:KJB46133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NMAKKKHVLKEGDEHDGSNTKKQVCRADFPPHFVFGVSTSSYQIEGGVNEGGRGKSIWDAFSHIEGKIVDGSNADVAVDHYHRYKEDIELISELGFKAYRFSISWPRIFPDGLGTKVNEEGIAFYNNVIDALLEKGIEPFVTLYHWDLPLHLHESIGGWLNKQIVDYFAKFADTCFEHFGDRVKNWGTINEPLHIAVGGYDTGRSAPGRNHNSSIETYLAAHHMILAHAAAVSIYHSKYKDKQGGQIGLVVDCEWAEANSDKIEDQCAAERRVEFQLGWFLHPLYLGDYPESMRDRLGEQLPQFTEEDEELLKSSTDFIGLNQYTSSGMGRWRDNR >KJB46132 pep chromosome:Graimondii2_0_v6:7:57968800:57972897:-1 gene:B456_007G349700 transcript:KJB46132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKHVLKEGDEHDGSNTKKQVCRADFPPHFVFGVSTSSYQIEGGVNEGGRGKSIWDAFSHIEGKIVDGSNADVAVDHYHRYKEDIELISELGFKAYRFSISWPRIFPDGLGTKVNEEGIAFYNNVIDALLEKGIEPFVTLYHWDLPLHLHESIGGWLNKQIVDYFAKFADTCFEHFGDRVKNWGTINEPLHIAVGGYDTGRSAPGRNHNSSIETYLAAHHMILAHAAAVSIYHSKYKDKQGGQIGLVVDCEWAEANSDKIEDQCAAERRVEFQLGWFLHPLYLGDYPESMRDRLGEQLPQFTEEDEELLKSSTDFIGLNQYTSRLVSHAEDSVEEGHFEKAQQVAKIVEWEDGGIIGEKAASEWLYIVPWGMRKVLNYISHTYNNPPIYIMENGMDDEINENVPLHEMLDDKKRIEFYKGYVASVAQAIK >KJB39929 pep chromosome:Graimondii2_0_v6:7:2682418:2684811:-1 gene:B456_007G038700 transcript:KJB39929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDSGDTKDDLRLPTDENLLKQIKDGFAEGKDLVVSVMSAMGEEQICALKDIGPK >KJB42296 pep chromosome:Graimondii2_0_v6:7:12464169:12466306:-1 gene:B456_007G147500 transcript:KJB42296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKKRRREEEEIEAENRDANHSLSLEQNLSFSDTNVALRMMRAQFPQIDKVAIQPFILQSQLYSSVKDRTQVDRELESLRRDKVVRIFKLNTGQDDHGIMFLEDYLKQLEHVVKRMEEKKQGDVDVFKWFKGHVIESKLEPSIEHEELVSGQTPMNHAVYLIFTLLEIDITLCFY >KJB42295 pep chromosome:Graimondii2_0_v6:7:12461277:12466358:-1 gene:B456_007G147500 transcript:KJB42295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKKRRREEEEIEAENRDANHSLSLEQNLSFSDTNVALRMMRAQFPQIDKVAIQPFILQSQLYSSVKDRTQVDRELESLRRDKVVRIFKLNTGQDDHGIMFLEDYLKQLEHVVKRMEEKKQGDVDVFKWFKGHVIESKLEPSIEHEELYALLSLGGQVKDGHISLLINAGLLTRQLIDPNMYWFAIPNIGSVLKGLYQGRKELLSLLNRRRYKEMMLAPLEKMRLRLSPLDMRFHLRDLIGSGHLKTAHTPSGIVVQVSKD >KJB42293 pep chromosome:Graimondii2_0_v6:7:12461395:12466294:-1 gene:B456_007G147500 transcript:KJB42293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKKRRREEEEIEAENRDANHSLSLEQNLSFSDTNVALRMMRAQFPQIDKSQLYSSVKDRTQVDRELESLRRDKVVRIFKLNTGQDDHGIMFLEDYLKQLEHVVKRMEEKKQGDVDVFKWFKGHVIESKLEPSIEHEELYALLSLGGQVKDGHISLLINAGLLTRQLIDPNMYWFAIPNIGSVLKGLYQGRKELLSLLNRRRYKEMMLAPLEKMRLRLSPLDMRFHLRDLIGSGHLKTAHTPSGIVVQVSKD >KJB42290 pep chromosome:Graimondii2_0_v6:7:12461299:12466358:-1 gene:B456_007G147500 transcript:KJB42290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKKRRREEEEIEAENRDANHSLSLEQNLSFSDTNVALRMMRAQFPQIDKVAIQPFILQSQLYSSVKDRTQVDRELESLRRDKVVRIFKLNTGQDDHGIMFLEDYLKQLEHVVKRMEEKKQGDVDVFKWFKGHVIESKLEPSIEHEELYALLSLGGQVKDGHISLLINAGLLTRQLIDPNMYWFAIPNIGSVLKGLYQGRKELLSLLNRRRYKEMMLAPLEKMRLRLSPLDMRFHLRDLIGSGHLKTAHTPSGIVVQVSKD >KJB42291 pep chromosome:Graimondii2_0_v6:7:12463254:12466190:-1 gene:B456_007G147500 transcript:KJB42291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKKRRREEEEIEAENRDANHSLSLEQNLSFSDTNVALRMMRAQFPQIDKVAIQPFILQSQLYSSVKDRTQVDRELESLRRDKVVRIFKLNTGQDDHGIMFLEDYLKQLEHVVKRMEEKKQGDVDVFKWFKGHVIESKLEPSIEHEELYALLSLGGQVKDGHISLLINAGLLVSELWF >KJB42292 pep chromosome:Graimondii2_0_v6:7:12461395:12466306:-1 gene:B456_007G147500 transcript:KJB42292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKKRRREEEEIEAENRDANHSLSLEQNLSFSDTNVALRMMRAQFPQIDKVAIQPFILQSQLYSSVKDRTQVDRELESLRRDKVVRIFKLNTGQDDHGIMFLEDYLKQLEHVVKRMEEKKQGDVDVFKWFKGHVIESKLEPSIEHEELYALLSLGGQVKDGHISLLINAGLLTRQLIDPNMYWFAIPNIGSVLKGLYQGRKELLSLLNRRRYKEMMLAPLEKMRLRLSPLDMRFHLRDLIGSGHLKTAHTPSGIVVQVSKD >KJB42294 pep chromosome:Graimondii2_0_v6:7:12461277:12466347:-1 gene:B456_007G147500 transcript:KJB42294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKKRRREEEEIEAENRDANHSLSLEQNLSFSDTNVALRMMRAQFPQIDKVAIQPFILQSQLYSSVKDRTQVDRELESLRRDKVVRIFKLNTGQDDHGIMFLEDYLKQLEHVVKRMEEKKQGDVDVFKWFKGHVIESKLEPSIEHEELYALLSLGGQVKDGHISLLINAGLLTRQLIDPNMYWFAIPNIGSVLKGLYQGRKELLSLLNRRRYKEMMLAPLEKMRLRLSPLDMRFHLRDLIGSGHLKTAHTPSGIVVQVSKD >KJB40458 pep chromosome:Graimondii2_0_v6:7:4553165:4556523:1 gene:B456_007G064800 transcript:KJB40458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGNLPGSADTRHRLSASIEAIYKRQLNRNKVKGTGKPFHISVQDRNSCCKYPLLKLVLIAIVCGTFVSLLYSPEAYTSDHLSAVKSRRDFMKRWIWGGADSRYVSDIDIDWDDVMKVVETMGEQHDYQGIGLLNFNTTEVTYWKHLIPDATHIVLHLDYADMNVTWDSLYPEWIDEEQEEKVPVCPSLPKIEVPGIRLDLVAVKLPCRNEGNWSRDVARLHLQLAAASLATSAKGFYLMHVLFISKCFPIPNMFTCKELVARENNVWLYKPDLNVLREKLQFPPGSCELALPFEVKEPIYSGNASRQAYATILHSAHVYVCGAIAVARSIRLSGSTRDLVILVDEMITAYHRSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFAMPEISATGNNGTLFNSGVMVIEPSNCTFQLIMEHIDVFESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWIGDEEEVKQKKTRLFGSEPPILYVLHYLGIKPWLCFKDYDCNWNVDIMVEFASDVAHDRWWKVHDSMPEELQEFCMLRSRQKAQLEFDRRQAEKAKFRDGHWRIKIEDKRLEKCIDNRCKWKGMLKQWGKGNWTNDEAFVPTPPAINTKFLSGF >KJB43928 pep chromosome:Graimondii2_0_v6:7:26761873:26766368:-1 gene:B456_007G224200 transcript:KJB43928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEEEAALKAGVLKHGTGKWRNILSDPEFSSVLRSRSNVDLKDKWRNINATAIWGSRQKAKLAFKRNQLAAKHDDNPMALITVPPREEVVDAKPLAVSSGTPRATGSKKLISRLDNILLEAITSLKEPAGSDRASIAVYIEEKYATPPNLKKLLATKLKLLVANGTLIKVKHKYKVAPRSTISEARKSPLLLLEGRPKESSKAKKKGINILTKTQVNADLLKMRSMTAEEAAAAAAQAVAEAEVAIAEAEKAAREADVAEAEAEAAKIFAKAAEKALKSRML >KJB43927 pep chromosome:Graimondii2_0_v6:7:26761670:26766411:-1 gene:B456_007G224200 transcript:KJB43927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEEEAALKAGVLKHGTGKWRNILSDPEFSSVLRSRSNVDLKDKWRNINATAIWGSRQKAKLAFKRNQLAAKHDDNPMALITVPPREEVVDAKPLAVSSGTPRATGSKKLISRLDNILLEAITSLKEPAGSDRASIAVYIEEKYATPPNLKKLLATKLKLLVANGTLIKVKHKYKVAPRSTISEARKSPLLLLEGRPKESSKAKKKGINILTKTQVNADLLKMRSMTAEEAAAAAAQAVAEAEVAIAEAEKAAREADVAEAEAEAAKIFAKAAEKALKSRML >KJB43926 pep chromosome:Graimondii2_0_v6:7:26763218:26765407:-1 gene:B456_007G224200 transcript:KJB43926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEEEAALKAGVLKHGTGKWRNILSDPEFSSVLRSRSNVDLKDKWRNINATAIWGSRQKAKLAFKRNQLAAKHDDNPMALITVPPREEVVDAKPLAVSSGTPRATGSKKLISRLDNILLEAITSLKEPAGSDRASIAVYIEEKYATPPNLKKLLATKLKLLVANGTLIKVKHKYKVAPRSTISEARKSPLLLLEGRPKESSKAKKKGINILTKTQVNADLLKMRSMTAEEAAAAAAQAVAEAEVAIAEAEKAAREADVAEAEAEAAKIFAKAAEKALKSRML >KJB39714 pep chromosome:Graimondii2_0_v6:7:1838700:1842001:1 gene:B456_007G026700 transcript:KJB39714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPLTSEAIALTEKKMDMTLDDIIRMSKTSSNKTKKQQRVLNKGQKPFNNAAKAKALKVQQYMDSRSSVRQGFLAQRRSNIPGNQFPLAAEAARRAAVAPVRIKNVNGVRVANLNKPRTGVPPVQRRAKNGGFAAKPRQHQPQQQQGGNVVTKQRPHTLDSLFANMKKERMNGPAQRNGSSRQRMPWRRGRFGN >KJB39713 pep chromosome:Graimondii2_0_v6:7:1838796:1842001:1 gene:B456_007G026700 transcript:KJB39713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPLTSEAIALTEKKMDMTLDDIIRMSKTSSNKTKKQQRVLNKGQKPFNNAAKAKALKVQQYMDSRSSVRQGFLAQRRSNIPGNQFPLAAEAARRAAVAPVRIKNVNGVRVANLNKPRTGVPPVQRRAKNGGFAAKPRQHQPQQQQGGNVVTKQRPHTLDSLFANMKKERMNGPAQRNGSSRQRMPWRRGRFGN >KJB43678 pep chromosome:Graimondii2_0_v6:7:23366182:23370436:-1 gene:B456_007G213600 transcript:KJB43678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLVVLGIPWEVDTEGLREYMSKYGDLEDCIVMKERTTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPVKKVTRIFVARIPPSVDESTFRRHFEEYGEITDLYMPKDQVSKAHRGIGFITFASAESVENLMADAHELGGSTVVVDRATPKEDDLKPIGRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPMYGRGESSRGMGKKIFVGRLPQEATADDLRLYFGRFGRIIDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPVDDAGPSFMMNPAGPFRGFGGPMRPFGRMYGGLPYDDWGYAIGSGRPSRADWRYRPY >KJB43688 pep chromosome:Graimondii2_0_v6:7:23366182:23370436:-1 gene:B456_007G213600 transcript:KJB43688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLVVLGIPWEVDTEGLREYMSKYGDLEDCIVMKERTTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPVKKVTRIFVARIPPSVDESTFRRHFEEYGEITDLYMPKDQVSKAHRGIGFITFASAESVENLMADAHELGGSTVVVDRATPKEDDLKPIGRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPMYGRGESSRGMGKKIFVGRLPQEATADDLRLYFGRFGRIIDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPVDDAGPSFMMNPAGPFRGFGGPMRPFGRMYGGLPYDDWGYAIGSGRPSRADWRYRPY >KJB43687 pep chromosome:Graimondii2_0_v6:7:23366182:23370436:-1 gene:B456_007G213600 transcript:KJB43687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLVVLGIPWEVDTEGLREYMSKYGDLEDCIVMKERTTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPVKKVTRIFVARIPPSVDESTFRRHFEEYGEITDLYMPKDQVSKAHRGIGFITFASAESVENLMADAHELGGSTVVVDRATPKANDLKPIGRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPMYGRGESSRGMGKKIFVGRLPQEATADDLRLYFGRFGRIIDVYVPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPVDDAGPSFMMNPAGPFRGFGGPMRPFGRMYGGLPYDDWGYAIGSGRPSRADWRYRPY >KJB43675 pep chromosome:Graimondii2_0_v6:7:23367252:23370026:-1 gene:B456_007G213600 transcript:KJB43675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLVVLGIPWEVDTEGLREYMSKYGDLEDCIVMKERTTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPVKKVTRIFVARIPPSVDESTFRRHFEEYGEITDLYMPKDQVSKAHRGIGFITFASAESVENLMADAHELGGSTVVVDRATPKANDLKPIGRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPMYGRGESSRGMGKKIFVGRLPQEATADDLRLYFGRFGRIIDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVTKTNFLCSCLIPDCLTSEVDI >KJB43684 pep chromosome:Graimondii2_0_v6:7:23367496:23370026:-1 gene:B456_007G213600 transcript:KJB43684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLVVLGIPWEVDTEGLREYMSKYGDLEDCIVMKERTTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPVKKVTRIFVARIPPSVDESTFRRHFEEYGEITDLYMPKDQVSKAHRGIGFITFASAESVENLMADAHELGGSTVVVDRATPKANDLKPIGRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPMYGRGESSRGMGKKIFVGRLPQEATADDLRLYFGRFGRIIDVYVPKVM >KJB43680 pep chromosome:Graimondii2_0_v6:7:23366479:23370026:-1 gene:B456_007G213600 transcript:KJB43680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLVVLGIPWEVDTEGLREYMSKYGDLEDCIVMKERTTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPVKKVTRIFVARIPPSVDESTFRRHFEEYGEITDLYMPKDQVSKAHRGIGFITFASAESVENLMADAHELGGSTVVVDRATPKEDDLKPIGRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPMYGIGGESSRGMGKKIFVGRLPQEATADDLRLYFGRFGRIIDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPVDDAGPSFMMNPAGPFRGFGGPMRPFGRMYGGLPYDDWGYAIGSGRPSRADWRYRPY >KJB43679 pep chromosome:Graimondii2_0_v6:7:23366182:23369445:-1 gene:B456_007G213600 transcript:KJB43679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVKIATPKEEMRAPVKKVTRIFVARIPPSVDESTFRRHFEEYGEITDLYMPKDQVSKAHRGIGFITFASAESVENLMADAHELGGSTVVVDRATPKEDDLKPIGRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPMYGRGESSRGMGKKIFVGRLPQEATADDLRLYFGRFGRIIDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPVDDAGPSFMMNPAGPFRGFGGPMRPFGRMYGGLPYDDWGYAIGSGRPSRADWRYRPY >KJB43681 pep chromosome:Graimondii2_0_v6:7:23366378:23370026:-1 gene:B456_007G213600 transcript:KJB43681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLVVLGIPWEVDTEGLREYMSKYGDLEDCIVMKERTTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPVKKVTRIFVARIPPSVDESTFRRHFEEYGEITDLYMPKDQVSKAHRGIGFITFASAESVENLMADAHELGGSTVVVDRATPKEDDLKPIGRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPMYGRGESSRGMGKKIFVGRLPQEATADDLRLYFGRFGRIIDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPVDDAGPSFMMNPAGPFRGFGGPMRPFGRMYGGLPYDDVMGLCNWEWEAIESRLAVQAILKDGVGKSKVSLLKLKLSKDYFVIQLSISFIHRL >KJB43686 pep chromosome:Graimondii2_0_v6:7:23365893:23370650:-1 gene:B456_007G213600 transcript:KJB43686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLVVLGIPWEVDTEGLREYMSKYGDLEDCIVMKERTTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPVKKVTRIFVARIPPSVDESTFRRHFEEYGEITDLYMPKDQVSKAHRGIGFITFASAESVENLMADAHELGGSTVVVDRATPKEDDLKPIGRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPMYGRGESSRGMGKKIFVGRLPQEATADDLRLYFGRFGRIIDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPVDDAGPSFMMNPAGPFRGFGGPMRPFGRMYGGLPYDDWGYAIGSGRPSRADWRYRPY >KJB43677 pep chromosome:Graimondii2_0_v6:7:23364825:23370569:-1 gene:B456_007G213600 transcript:KJB43677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLVVLGIPWEVDTEGLREYMSKYGDLEDCIVMKERTTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPVKKVTRIFVARIPPSVDESTFRRHFEEYGEITDLYMPKDQVSKAHRGIGFITFASAESVENLMADAHELGGSTVVVDRATPKANDLKPIGRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPMYGRGESSRGMGKKIFVGRLPQEATADDLRLYFGRFGRIIDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPVDDAGPSFMMNPAGPFRGFGGPMRPFGRMYGGLPYDDWGYAIGSGRPSRADWRYRPY >KJB43685 pep chromosome:Graimondii2_0_v6:7:23364825:23370569:-1 gene:B456_007G213600 transcript:KJB43685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLVVLGIPWEVDTEGLREYMSKYGDLEDCIVMKERTTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPVKKVTRIFVARIPPSVDESTFRRHFEEYGEITDLYMPKDQVSKAHRGIGFITFASAESVENLMADAHELGGSTVVVDRATPKANDLKPIGRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPMYGRGESSRGMGKKIFVGRLPQEATADDLRLYFGRFGRIIDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPVDDAGPSFMMNPAGPFRGFGGPMRPFGRMYGGLPYDDWGYAIGSGRPSRADWRYRPY >KJB43676 pep chromosome:Graimondii2_0_v6:7:23366479:23370026:-1 gene:B456_007G213600 transcript:KJB43676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLVVLGIPWEVDTEGLREYMSKYGDLEDCIVMKERTTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPVKKVTRIFVARIPPSVDESTFRRHFEEYGEITDLYMPKDQVSKAHRGIGFITFASAESVENLMADAHELGGSTVVVDRATPKANDLKPIGRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPMYGRGESSRGMGKKIFVGRLPQEATADDLRLYFGRFGRIIDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPVDDAGPSFMMNPAGPFRGFGGPMRPFGRMYGGLPYDDWGYAIGSGRPSRADWRYRPY >KJB43683 pep chromosome:Graimondii2_0_v6:7:23366182:23370436:-1 gene:B456_007G213600 transcript:KJB43683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLVVLGIPWEVDTEGLREYMSKYGDLEDCIVMKERTTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPVKKVTRIFVARIPPSVDESTFRRHFEEYGEITDLYMPKDQVSKAHRGIGFITFASAESVENLMADAHELGGSTVVVDRATPKEDDLKPIGRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPMYGRGESSRGMGKKIFVGRLPQEATADDLRLYFGRFGRIIDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPVDDAGPSFMMNPAGPFRGFGGPMRPFGRMYGGLPYDDWGYAIGSGRPSRADWRYRPY >KJB43682 pep chromosome:Graimondii2_0_v6:7:23367431:23370026:-1 gene:B456_007G213600 transcript:KJB43682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLVVLGIPWEVDTEGLREYMSKYGDLEDCIVMKERTTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPVKKVTRIFVARIPPSVDESTFRRHFEEYGEITDLYMPKDQVSKAHRGIGFITFASAESVENLMADAHELGGSTVVVDRATPKEDDLKPIGRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPMYGRGESSRGMGKKIFVGRLPQEATADDLRLYFGRFGRIIDVYVPKVIMLL >KJB44755 pep chromosome:Graimondii2_0_v6:7:45539742:45545043:-1 gene:B456_007G2711001 transcript:KJB44755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMNNGVTISRDSTYGGSVVDRTDVISDAPALASGKASIYGAKHEPASSLGIVPHRGPDSLEVDEDMQLALAHQLYKSGNYKQALDHSNAVYDQNSLRTDNLLLLGAIYYQLHDYDMCIAKNEEALRIQPRFAECYGNMANAWKEKGDVDVAIRYYMIAIELRPNFADAWSNLASAYMRKRRFNEAAQCCRQALQLNPLLVDAHSNLGNLMKAQGLAQEAYSCYLEALRIQPTFAIAWSNLAGLFMDSGDLNRALQYYKEAVKLKPAFPEAYLNLGNIYKALAMPQEAIVCYQRAVQTRPNYPVALGNLASTYYERGQLDLAIHHYKQAIAYDQRFVEAYNNLGNALKDVGRVDEAIQCYNQCLTLQPNHPQALTNLGNIYMEWNMVGAAASYYKATLAVTTGLSAPFNNLAVIYKQQGNHMEAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVSEAIQDYICAINIQPNMAEAHANLASAYKDSGHVEAAVKSYKQALLLRPDFPEATCNLLHTLQCVCCWEDRDKMFTEVEGIIRRQINMSVLPSVQPFHAIAYPIDPMLALDISRKYAAHCSLIASRFALPPFNHPAPIPIKQDCGNQRLKIGY >KJB44756 pep chromosome:Graimondii2_0_v6:7:45539742:45545043:-1 gene:B456_007G2711001 transcript:KJB44756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMNNGVTISRDSTYGGSVVDRTDVISDAPALASGKASIYGAKHEPASSLGIVPHRGPDSLEVDEDMQLALAHQLYKSGNYKQALDHSNAVYDQNSLRTDNLLLLGAIYYQLHDYDMCIAKNEEALRIQPRFAECYGNMANAWKEKGDVDVAIRYYMIAIELRPNFADAWSNLASAYMRKRRFNEAAQCCRQALQLNPLLVDAHSNLGNLMKAQGLAQEAYSCYLEALRIQPTFAIAWSNLAGLFMDSGDLNRALQYYKEAVKLKPAFPEAYLNLGNIYKALAMPQEAIVCYQRAVQTRPNYPVALGNLASTYYERGQLDLAIHHYKQAIAYDQRFVEAYNNLGNALKDVGRVDEAIQCYNQCLTLQPNHPQALTNLGNIYMEWNMVGAAASYYKATLAVTTGLSAPFNNLAVIYKQQGNHMEAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVSEAIQDYICAINIQPNMAEAHANLASAYKDSGHVEAAVKSYKQALLLRPDFPEATCNLLHTLQCVCCWEDRDKMFTEVEGIIRRQINMSVLPSVQPFHAIAYPIDPMLALDISRKYAAHCSLIASRFALPPFNHPAPIPIKQDCGNQRLKIGY >KJB38964 pep chromosome:Graimondii2_0_v6:7:41831843:41832190:-1 gene:B456_007G2577001 transcript:KJB38964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHFHFLFVLFLCLSSIAIFFKPDSVSKKVHFSPQLQTDPRENYLHAKSCLQPS >KJB45950 pep chromosome:Graimondii2_0_v6:7:56794708:56802128:-1 gene:B456_007G342600 transcript:KJB45950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSNPEGKPGVRIVVAGDRGTGKSSLIVTAAAETFPTNVLRLLPPTRLPEDFYPDRVPITIIDTSANPEDRGKLAEELKRADALVLTYACDQPETLNRLSTYWLPELRQLEVKVPVIVVGCKLDLRDDQQQVSLEQVMSPIMQQFREIETCIECSAYKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQDKMVEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLSDDLIPHSSVKRAPDQSVELTNEAIEYLRGIYELFDGDLDNNLRPVEVEDVFSTAPDSPWNDVPYKDAAEKTALGGLSLDAFLSEWALMTLLDPARSLENLIYIGYPGDPSSAIRVTKRRRLDRKKQQSERNVFQCFVFGPANAGKSVLINSFLGRPYSDTYSPTIDDRYAVNVVELPGGIKKTLVLREIPEDGVAKLLSSKDSLAVCDIAVFVHDSSDESSWKRATELLVDVAGHGEDTGYEVPCLIVAAKDDLDSFPTAIQDSTRVSQDMGIEAPIPISSKLSDFNNIFRRIVNAAEHPHLSIPETEAGRSRKQYHRLINRSLMFVSVGTAVAIVGLAAYRVYAARKNASN >KJB45955 pep chromosome:Graimondii2_0_v6:7:56795575:56801999:-1 gene:B456_007G342600 transcript:KJB45955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSNPEGKPGVRIVVAGDRGTGKSSLIVTAAAETFPTNVLRLLPPTRLPEDFYPDRVPITIIDTSANPEDRGKLAEELKRADALVLTYACDQPETLNRLSTYWLPELRQLEVKVPVIVVGCKLDLRDDQQQVSLEQVMSPIMQQFREIETCIECSAYKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQDKMVEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLSDDLIPHSSVKRAPDQSVELTNEAIEYLRGIYELFDGDLDNNLRPVEVEDVFSTAPDSPWNDVPYKDAAEKTALGGLSLDAFLSEWALMTLLDPARSLENLIYIGYPGDPSSAIRVTKRRRLDRKKQQSERNVFQCFVFGPANAGKSVLINSFLGRPYSDTYSPTIDDRYAVNVVELPGGIKKTLVLREIPEDGVAKLLSSKDSLAVCDIAVFVHDSSDESSWKRATELLVDVAGHGEDTGYEVPCLIVAAKDDLDSFPTAIQDSTRVSQDMGIEAPIPISSKLSDFNNIFRRIVNAAEHPHLSIPETEAGRSRKQYHRLINRSLMFVSGTLIVWHTTTVSFLMHSELRVLETWFAMVRFSYD >KJB45953 pep chromosome:Graimondii2_0_v6:7:56795147:56801674:-1 gene:B456_007G342600 transcript:KJB45953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSNPEGKPGVRIVVAGDRGTGKSSLIVTAAAETFPTNVLRLLPPTRLPEDFYPDRVPITIIDTSANPEDRGKLAEELKRADALVLTYACDQPETLNRLSTYWLPELRQLEVKVPVIVVGCKLDLRDDQQQVSLEQVMSPIMQQFREIETCIECSAYKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQDKMVEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLSDDLIPHSSVKRAPDQSVELTNEAIEYLRGIYELFDGDLDNNLRPVEVEDVFSTAPDSPWNDVPYKDAAEKTALGGLSLDAFLSEWALMTLLDPARSLENLIYIGYPGDPSSAIRVTKRRRLDRKKQQSERNVFQCFVFGPANAGKSVLINSFLGRPYSDTYSPTIDDRYAVNVVELPGGIKKTLVLREIPEDGVAKLLSSKDSLAVCDIAVFVHDSSDESSWKRATELLVDVAGHGEDTGYEVPCLIVAAKDDLDSFPTAIQDSTRVSQDMGIEAPIPISSKLSDFNNIFRRIVNAAEHPHLSIPETEAGRSRKQYHRLINRSLMFVSGTLIVWHTTTVSFLMHSELRVLETWFAMLELP >KJB45954 pep chromosome:Graimondii2_0_v6:7:56794754:56801999:-1 gene:B456_007G342600 transcript:KJB45954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSNPEGKPGVRIVVAGDRGTGKSSLIVTAAAETFPTNVLRLLPPTRLPEDFYPDRVPITIIDTSANPEDRGKLAEELKRADALVLTYACDQPETLNRLSTYWLPELRQLEVKVPVIVVGCKLDLRDDQQQVSLEQVMSPIMQQFREIETCIECSAYKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQDKMVEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLSDDLIPHSSVKRAPDQSVELTNEAIEYLRGIYELFDGDLDNNLRPVEVEDVFSTAPDSPWNDVPYKDAAEKTALGGLSLDAFLSEWALMTLLDPARSLENLIYIGYPGDPSSAIRVTKRRRLDRKKQQSERNVFQCFVFGPANAGKSVLINSFLGRPYSDTYSPTIDDRYAVNVVELPGGIKKTLVLREIPEDGVAKLLSSKDSLAVCDIAVFVHDSSDESSWKRATELLVDVAGHGEDTGYEVPCLIVAAKDDLDSFPTAIQDSTRVSQDMGIEAPIPISSKLSDFNNIFRRIVNAAEHPHLSIPETEAGRSRKQYHRLINRSLMFVSVGTAVAIVGLAAYRVYAARKNASN >KJB45952 pep chromosome:Graimondii2_0_v6:7:56794754:56801723:-1 gene:B456_007G342600 transcript:KJB45952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIMQQFREIETCIECSAYKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQDKMVEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLSDDLIPHSSVKRAPDQSVELTNEAIEYLRGIYELFDGDLDNNLRPVEVEDVFSTAPDSPWNDVPYKDAAEKTALGGLSLDAFLSEWALMTLLDPARSLENLIYIGYPGDPSSAIRVTKRRRLDRKKQQSERNVFQCFVFGPANAGKSVLINSFLGRPYSDTYSPTIDDRYAVNVVELPGGIKKTLVLREIPEDGVAKLLSSKDSLAVCDIAVFVHDSSDESSWKRATELLVDVAGHGEDTGYEVPCLIVAAKDDLDSFPTAIQDSTRVSQDMGIEAPIPISSKLSDFNNIFRRIVNAAEHPHLSIPETEAGRSRKQYHRLINRSLMFVSVGTAVAIVGLAAYRVYAARKNASN >KJB45951 pep chromosome:Graimondii2_0_v6:7:56794606:56801723:-1 gene:B456_007G342600 transcript:KJB45951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSNPEGKPGVRIVVAGDRGTGKSSLIVTAAAETFPTNVLRLLPPTRLPEDFYPDRVPITIIDTSANPEDRGKLAEELKRADALVLTYACDQPETLNRLSTYWLPELRQLEVKVPVIVVGCKLDLRDDQQQVSLEQVMSPIMQQFREIETCIECSAYKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQDKMVEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLSDDLIPHSSVKRAPDQSVELTNEAIEYLRGIYELFDGDLDNNLRPVEVEDVFSTAPDSPWNDVPYKDAAEKTALGGLSLDAFLSEWALMTLLDPARSLENLIYIGYPGDPSSAIRVTKRRRLDRKKQQSERNVFQCFVFGPANAGKSVLINSFLGRPYSDTYSPTIDDRYAVNVVELPGGIKKTLVLREIPEDGVAKLLSSKDSLAVCDIAVFVHDSSDESSWKRATELLVDVAGHGEDTGYEVPCLIVAAKDDLDSFPTAIQDSTRVSQDMGIEAPIPISSKLSDFNNIFRRIVNAAEHPHLSIPETEAGRSRKQYHRLINRSLMFVSVGTAVAIVGLAAYRVYAARKNASN >KJB39228 pep chromosome:Graimondii2_0_v6:7:267106:269389:1 gene:B456_007G003000 transcript:KJB39228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLRAKSDYESLRNARIVENQARLASLGVHKTISDLRSIVSSAKPKVRKWQKKEYVITPVRRSTRFKGTPLSSSLASNSLRRSNRLRGKAIDFEEREEGSDSYSSEGKEKRPANAPLVMIDDASLQLSPEDSSRRCNRKEGRGSVYNSICGICCHFCRQKTLCAEKDCKRCGNLDVNQPCIGKTDCSVCHSSNGVLCRACLKIRYGEELEEVRENKEWMCPHCIEEKGINPYWICNSSLCLKNRKMTPTGIAIYRGIVLN >KJB39225 pep chromosome:Graimondii2_0_v6:7:267059:269404:1 gene:B456_007G003000 transcript:KJB39225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLRAKSDYESLRNARIVENQARLASLGVHKTISDLRSIVSSAKPKVRKWQKKEYVITPVRRSTRFKGTPLSSSLASNSLRRSNRLRGKAIDFEEREEGSDSYSSEGKEKRPANAPLVMIDDASLQLSPEDSSRRCNRKEGRGSVYNSICGICCHFCRQKTLCAEKDCKRCGNLDVNQPCIGKTDCSVCHSSNGVLCRACLKIRYGEELEEVRENKEWMCPHCIEEKGINPYWICNSSLCLKNRKMTPTGIAIYRARELGYKSVAHLLMDELQRKNPSRLMICCK >KJB39226 pep chromosome:Graimondii2_0_v6:7:267106:269371:1 gene:B456_007G003000 transcript:KJB39226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLRAKSDYESLRNARIVENQARLASLGVHKTISDLRSIVSSAKPKVRKWQKKEYVITPVRRSTRFKGTPLSSSLASNSLRRSNRLRGKAIDFEEREEGSDSYSSEGKEKRPANAPLVMIDDASLQLSPEDSSRRCNRKEGRGSVYNSICGICCHFCRQKTLCAEKDCKRCGNLDVNQPCIGKTDCSVCHSSNGVLCRACLKIRYGEGKINIINAKRKGYPRTRTKAGYNLKTEQ >KJB39227 pep chromosome:Graimondii2_0_v6:7:267106:269371:1 gene:B456_007G003000 transcript:KJB39227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFCIGKAIDFEEREEGSDSYSSEGKEKRPANAPLVMIDDASLQLSPEDSSRRCNRKEGRGSVYNSICGICCHFCRQKTLCAEKDCKRCGNLDVNQPCIGKTDCSVCHSSNGVLCRACLKIRYGEELEEVRENKEWMCPHCIEEKGINPYWICNSSLCLKNRKMTPTGIAIYRARELGYKSVAHLLMDELQRKNPSRLMICCK >KJB44954 pep chromosome:Graimondii2_0_v6:7:48297893:48298804:-1 gene:B456_007G281900 transcript:KJB44954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAKFVMLFLVSLVGATMGEQCGRQAGGALCPNNLCCSQYGWCGNTDDYCSPAKNCQSNCRSGPPPPTGEGATVRSTYHFYNPEQNGWDLMAVSAYCSTWDANKPYSWRSKYGWTAFCGPVGPSFPAACGRCLRVRNTRTGAQEIVRIVDRCSNGGLDLDVGVFNRLDTDGVGHAQGHLTIRYDFVDCGNGFNPLLASVIDS >KJB44953 pep chromosome:Graimondii2_0_v6:7:48297893:48298748:-1 gene:B456_007G281900 transcript:KJB44953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAKFVMLFLVSLVGATMGEQCGRQAGGALCPNNLCCSQYGWCGNTDDYCSPAKNCQSNCRSGPPPPTGEGATVRSTYHFYNPEQNGWDLMAVSAYCSTWDANKPYSWRTQEIVRIVDRCSNGGLDLDVGVFNRLDTDGVGHAQGHLTIRYDFVDCGNGFNPLLASVIDS >KJB45582 pep chromosome:Graimondii2_0_v6:7:52728254:52730629:-1 gene:B456_007G313700 transcript:KJB45582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTLKQSALTVSNSVFDKRSSITCRSLFLPCLNLQNNPKRTLLSLSKPLYISSFQSFNEKSVILCKAYEADKSPSPAAAEAKSEAAKSVKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLACGSLMMLVSWATKIAEPPKINLEFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVIVSRFLLGERFPPAVYLSLVPIIGGCGLAALTELNFNMTGLGKDYFLYLCFMRLMSTISLKPSTLFSSFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILTPFAIAVEGAHMWAAGWKEAVSEIGPQFIWWVAAQSIFYHLYNQVSYMSLDEISPLTFSVGNTMKRISVIVSSIIIFRTPVQPINALGAAIAILGTFLYSQAKA >KJB45583 pep chromosome:Graimondii2_0_v6:7:52727817:52730701:-1 gene:B456_007G313700 transcript:KJB45583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTLKQSALTVSNSVFDKRSSITCRSLFLPCLNLQNNPKRTLLSLSKPLYISSFQSFNEKSVILCKAYEADKSPSPAAAEAKSEAAKSVKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLACGSLMMLVSWATKIAEPPKINLEFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVIVSRFLLGERFPPAVYLSLVPIIGGCGLAALTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILTPFAIAVEGAHMWAAGWKEAVSEIGPQFIWWVAAQSIFYHLYNQVSYMSLDEISPLTFSVGNTMKRISVIVSSIIIFRTPVQPINALGAAIAILGTFLYSQAKA >KJB40407 pep chromosome:Graimondii2_0_v6:7:4341219:4342938:-1 gene:B456_007G061900 transcript:KJB40407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRLLCTSIAFLFVLLSPAASNISSSTKRRREEVVAHRGAVATDDGRCSKIGVDVLRIGGHAVDAAVAASLCLGVVSPASSGIGGGAFMLLREANGKAQAFDMRETAPLKASMNMYAGNAALKATGALSVAVPGELAGLHKAWKQHGRLPWERLVKPAEILARKGFKISPYLRTQMESSKSAILADKGLREVFTSNGELLQVGDICYNKKLAETLRKISIYGTKPFYNGSIGLNLVRDIQRAGGIMTLDDLKRYEVKMREPISANILGLKVLSMPPPSSGGVSMVLALNILTQYAVPSGLLGSLGIHRLIESLKHAFAVRMNLGDPEFVDVSKFVTDMISLEFAKKLKSTIYDNMTFGPNHYGGRWSQVHDHGTSHISIADSYQNAVSMTTTVNAYFGSKILSPSTGIVLNNEMDDFSMPINSSRNTPPPAPPNFIRPGKKPLSSMTPTIVLKVC >KJB40405 pep chromosome:Graimondii2_0_v6:7:4340337:4342981:-1 gene:B456_007G061900 transcript:KJB40405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRLLCTSIAFLFVLLSPAASNISSSTKRRREEVVAHRGAVATDDGRCSKIGVDVLRIGGHAVDAAVAASLCLGVVSPASSGIGGGAFMLLREANGKAQAFDMRETAPLKASMNMYAGNAALKATGALSVAVPGELAGLHKAWKQHGRLPWERLVKPAEILARKGFKISPYLRTQMESSKSAILADKGLREVFTSNGELLQVGDICYNKKLAETLRKISIYGTKPFYNGSIGLNLVRDIQRAGGIMTLDDLKRYEVKMREPISANILGLKVLSMPPPSSGGVSMVLALNILTQYAVPSGLLGSLGIHRLIESLKHAFAVRMNLGDPEFVDVSKFVTDMISLEFAKKLKSTIYDNMTFGPNHYGGRRRWSQVHDHGTSHISIADSYQNAVSMTTTVNAYFGSKILSPSTGIVLNNEMDDFSMPINSSRNTPPPAPPNFIRPGKKPLSSMTPTIVLKDEKLKGVVGASGGSNIIAATTEVFLNHFARGMDPLSSVMAPRIYHQLIPNVVIYENWTTVTGDHFEVSSSIRSDLQKKGHTLRGLAGGAISQFIVHKLEGRKGKGSSGELVAVSDPRKGGIPAGF >KJB40406 pep chromosome:Graimondii2_0_v6:7:4340685:4342938:-1 gene:B456_007G061900 transcript:KJB40406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRLLCTSIAFLFVLLSPAASNISSSTKRRREEVVAHRGAVATDDGRCSKIGVDVLRIGGHAVDAAVAASLCLGVVSPASSGIGGGAFMLLREANGKAQAFDMRETAPLKASMNMYAGNAALKATGALSVAVPGELAGLHKAWKQHGRLPWERLVKPAEILARKGFKISPYLRTQMESSKSAILADKGLREVFTSNGELLQVGDICYNKKLAETLRKISIYGTKPFYNGSIGLNLVRDIQRAGGIMTLDDLKRYEVKMREPISANILGLKVLSMPPPSSGGVSMVLALNILTQYAVPSGLLGSLGIHRLIESLKHAFAVRMNLGDPEFVDVSKFVTDMISLEFAKKLKSTIYDNMTFGPNHYGGR >KJB40408 pep chromosome:Graimondii2_0_v6:7:4340685:4342938:-1 gene:B456_007G061900 transcript:KJB40408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRLLCTSIAFLFVLLSPAASNISSSTKRRREEVVAHRGAVATDDGRCSKIGVDVLRIGGHAVDAAVAASLCLGVVSPASSGIGGGAFMLLREANGKAQAFDMRETAPLKASMNMYAGNAALKATGALSVAVPGELAGLHKAWKQHGRLPWERLVKPAEILARKGFKISPYLRTQMESSKSAILADKGLREVFTSNGELLQVGDICYNKKLAETLRKISIYGTKPFYNGSIGLNLVRDIQRAGGIMTLDDLKRYEVKMREPISANILGLKVLSMPPPSSGGVSMVLALNILTQYAVPSGLLGSLGIHRLIESLKHAFAVRMNLGDPEFVDVSKFVTDMISLEFAKKLKSTIYDNMTFGPNHYGGRWSQVHDHGTSHISIADSYQNAVSMTTTVNAYFGSKILSPSTGIVLNNEMDDFSMPINSSRNTPPPAPPNFIRPGKKPLSSMTPTIVLKDEKLKGVVGASGGSNIIAATTEVFLNHFARGMDPLSSVMAPRIYHQLIPNVVIYENWTTVTGDHFEVSSSIRSDLQKKGHTLRGLAGGAISQFIVHKLEGRKGKGSSGELVAVSDPRKGGIPAGF >KJB38858 pep chromosome:Graimondii2_0_v6:7:4490360:4493712:1 gene:B456_007G0641002 transcript:KJB38858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYFPATLHVEDINDFHPDRAYVLGYAPHSVLPIGVVTLAERTGFMPLPKLKCLTSSPVFYTPFLRHIWTWLGASPATRKNFCSLLEAGYTCIVVPGGVQETFLMRHDSEVAFIKSRRGFVRIAIEKGCPLVPVFAFGQSHAFKWWKPRSKFLLQLSRAIKFVPMLFWGAFGTPIPYQHPMNVVVGKPIYVKRNPQPTTEEVLEVHGQFVKALQDLFERHKGRVGYADQSLKIL >KJB38861 pep chromosome:Graimondii2_0_v6:7:4489790:4493013:1 gene:B456_007G0641002 transcript:KJB38861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKAETQAFTPGPGYRQFKGRDEFPSNILHGTLACAIWIGSMHFNVSVLLFSFLFLPFSKFLLVVGVLLIFVVLPIDHNSKFGLRLARYICQHMSSYFPATLHVEDINDFHPDRAYVLGYAPHSVLPIGVVTLAERTGFMPLPKLKCLTSSPVFYTPFLRHIWTWLGASPATRKNFCSLLEAGYTCIVVPGGVQETFLMRHDSEVAFIKSRRGFVRIAIEKGCPLVPVFAFGQSHAFKWWKPRSKFLLQLSRAIKFVPMLFWGAFGTPIPYQHPMNVVVGKPIYVKRNPQPTTEEVFCFNVSLVEFIIIIVYCSAIMRLKSTTLCKCLMHVA >KJB38862 pep chromosome:Graimondii2_0_v6:7:4489790:4493990:1 gene:B456_007G0641002 transcript:KJB38862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKAETQAFTPGPGYRQFKGRDEFPSNILHGTLACAIWIGSMHFNVSVLLFSFLFLPFSKFLLVVGVLLIFVVLPIDHNSKFGLRLARYICQHMSSYFPATLHVEDINDFHPDRAYVLGYAPHSVLPIGVVTLAERTGFMPLPKLKCLTSSPVFYTPFLRHIWTWLGASPATRKNFCSLLEAGYTCIVVPGGVQETFLMRHDSEVAFIKSRRGFVRIAIEKGCPLVPVFAFGQSHAFKWWKPRSKFLLQLSRAIKFVPMLFWGAFGCTAH >KJB38856 pep chromosome:Graimondii2_0_v6:7:4489593:4494134:1 gene:B456_007G0641002 transcript:KJB38856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKAETQAFTPGPGYRQFKGRDEFPSNILHGTLACAIWIGSMHFNVSVLLFSFLFLPFSKFLLVVGVLLIFVVLPIDHNSKFGLRLARYICQHMSSYFPATLHVEDINDFHPDRAYVLGYAPHSVLPIGVVTLAERTGFMPLPKLKCLTSSPVFYTPFLRHIWTWLGASPATRKNFCSLLEAGYTCIVVPGGVQETFLMRHDSEVAFIKSRRGFVRIAIEKGCPLVPVFAFGQSHAFKWWKPRSKFLLQLSRAIKFVPMLFWGAFGTPIPYQHPMNVVVGKPIYVKRNPQPTTEEVLEVHGQFVKALQDLFERHKGRVGYADQSLKIL >KJB38857 pep chromosome:Graimondii2_0_v6:7:4490360:4493712:1 gene:B456_007G0641002 transcript:KJB38857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYFPATLHVEDINDFHPDRAYVLGYAPHSVLPIGVVTLAERTGFMPLPKLKCLTSSPVFYTPFLRHIWTWLGASPATRKNFCSLLEAGYTCIVVPGGVQETFLMRHDSEVAFIKSRRGFVRIAIEKGCPLVPVFAFGQSHAFKWWKPRSKFLLQLSRAIKFVPMLFWGAFGTPIPYQHPMNVVVGKPIYVKRNPQPTTEEVLEVHGQFVKALQDLFERHKGRVGYADQSLKIL >KJB38859 pep chromosome:Graimondii2_0_v6:7:4489780:4493990:1 gene:B456_007G0641002 transcript:KJB38859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKAETQAFTPGPGYRQFKGRDEFPSNILHGTLACAIWIGSMHFNVSVLLFSFLFLPFSKFL >KJB38860 pep chromosome:Graimondii2_0_v6:7:4489776:4493990:1 gene:B456_007G0641002 transcript:KJB38860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKAETQAFTPGPGYRQFKGRDEFPSNILHGTLACAIWIGSMHFNVSVLLFSFLFLPFSKFLLVVGVLLIFVVLPIDHNSKFGLRLARYICQHMSSYFPATLHVEDINDFHPDRAYVLGYAPHSVLPIGVVTLAERTGFMPLPKLKCLTSSPVFYTPFLRHIWTWLGASPATRKNFCSLLEAGYTCIVVPGGVQETFLMRHDSEVAFIKSRRGFVRIAIEKGCPLVPVFAFGQ >KJB39264 pep chromosome:Graimondii2_0_v6:7:353489:360189:1 gene:B456_007G004400 transcript:KJB39264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGSFLDDLLSWFQRRHFTISSSSSNNTTQISNNNNDIIIVDEHHQHHHHSTPALTTLTQKQPPQQQQFVVQDLDYSSLDLIKVPNLLINSMEKKGAAENEFFTEYGEGSQYQIQEIIGKGSYGVVASAVDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRSLKYIHSANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDTLGTPPPESISRIRNEKARRYLSSMRKKQPIPFSQKFPNVDHLALRLLERLLAFDPKDRPTAEEALADPYFYGLANVDREPSTQPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLRGGDQTSFMYPSGVDRFKRQFAHLEEHYGKGGEKSTPLLRHHASLPRERVPVPKDENADQDIDGENSTSISQVDGSNANAEGQDGSNKLNSARSLVKSASISASKCVGVQAKDNSEDNPDEATDETADVLSQKVANLNA >KJB39265 pep chromosome:Graimondii2_0_v6:7:354726:360189:1 gene:B456_007G004400 transcript:KJB39265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRSLKYIHSANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDTLGTPPPESISRIRNEKARRYLSSMRKKQPIPFSQKFPNVDHLALRLLERLLAFDPKDRPTAEEALADPYFYGLANVDREPSTQPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLRGGDQTSFMYPSGVDRFKRQFAHLEEHYGKGGEKSTPLLRHHASLPRERVPVPKDENADQDIDGENSTSISQVDGSNANAEGQDGSNKLNSARSLVKSASISASKCVGVQAKDNSEDNPDEATDETADVLSQKVANLNA >KJB42721 pep chromosome:Graimondii2_0_v6:7:14564253:14572845:-1 gene:B456_007G165200 transcript:KJB42721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGFRVSSIPSSVRKTIQNIKEITGNHSEDEIYAMLKECSMDPNETAQRLLLQDPFREVKRKRDRKKESSNNKESAESRWRSGSQGRGSRGGRGNFSARYAAHEAGVSKSSGPGRDNGANQVAEKASGQSSSTSQETKTNESTMVASPVPVMADGPTGVVAETSSAPARNAANQPQEHSSVASYEFGSAPSPAGAINKPTITFESVDMSGPPAASPSDCFPSTSSASSATICFSSSDPVLVLSSDSQPLGTLGAIKREVGGGQASAESDTVVPTERKLASATEISSSFVQGKMPSKSSGAPKNLLSESGQPSTAATHGSSTSRPSSNYGGRSQQIIGPQKVGSNKEWKPKPISSNVGQGSGTAGASEVPTSSFEANAHSPPVSNVLDSEEATSKLQKKLEELHLPQRQHVIIPTHIHVPESERTKLSFGSFDASFGVTLSSVGCQESAKSPTPLSEASQDVDETAEEQNSSNQNALTTAEDGDHSDHPQSPGHSPENLSGDGDISSSIPEYNENKQDNALPSGGHPYSVVHTPPNYNIGLVPPILASLENPESQAREVSRLSSFVVQQPFDPATYYAQYYRSSADNDGRLSPFPSAGIATKYNGNVAVLPPQTSQPPQEGGNTSVLTAGSPTPTVTQAAGLMQSSISVTQQPVPVYRSAAGVHLPHYPPNYIQYAPFYSPFYYPSPAIHQFINNGAFPLHPQAGTVYPSAPAPPTTGVKFSLPQFKPGNNPVNSTHIGMPSGYGPYGSSPAGYNPSSTGNSTTNEDLGASQFKESNVYITGQQSESSAVWIAPPGREISSLPASSFYNLPPQSQNVTFPPTQVGPGSFAGIYHPQGVAAGVHPLLQQAQTMAGAVDMGGPAANVYQQPQHAQMNWPSNY >KJB42722 pep chromosome:Graimondii2_0_v6:7:14564303:14572654:-1 gene:B456_007G165200 transcript:KJB42722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGFRVSSIPSSVRKTIQNIKEITGNHSEDEIYAMLKECSMDPNETAQRLLLQDPFREVKRKRDRKKESSNNKESAESRWRSGSQGRGSRGGRGNFSARYAAHEAGVSKSSGPGRDNGANQVAEKASGQSSSTSQETKTNESTMVASPVPVMADGPTGVVAETSSAPARNAANQPQEHSSVASYEFGSAPSPAGAINKPTITFESVDMSGPPAASPSDCFPSTSSASSATICFSSSDPVLVLSSDSQPLGTLGAIKREVGGGQASAESDTVVPTERKLASATEISSSFVQGKMPSKSSGAPKNLLSESGQPSTAATHGSSTSRPSSNYGGRSQQIIGPQKVGSNKEWKPKPISSNVGQGSGTAGASEVPTSSFEANAHSPPVSNVLDSEEATSKLQKKLEELHLPQRQHESAKSPTPLSEASQDVDETAEEQNSSNQNALTTAEDGDHSDHPQSPGHSPENLSGDGDISSSIPEYNENKQDNALPSGGHPYSVVHTPPNYNIGLVPPILASLENPESQAREVSRLSSFVVQQPFDPATYYAQYYRSSADNDGRLSPFPSAGIATKYNGNVAVLPPQTSQPPQEGGNTSVLTAGSPTPTVTQAAGLMQSSISVTQQPVPVYRSAAGVHLPHYPPNYIQYAPFYSPFYYPSPAIHQFINNGAFPLHPQAGTVYPSAPAPPTTGVKFSLPQFKPGNNPVNSTHIGMPSGYGPYGSSPAGYNPSSTGNSTTNEDLGASQFKESNVYITGQQSESSAVWIAPPGREISSLPASSFYNLPPQSQNVTFPPTQVGPGSFAGIYHPQGVAAGVHPLLQQAQTMAGAVDMGGPAANVYQQPQHAQMNWPSNY >KJB43523 pep chromosome:Graimondii2_0_v6:7:21048641:21052617:-1 gene:B456_007G204400 transcript:KJB43523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIWQRAVETALDGQADHAAVRTLTLDGAVKCVQGRLPPPSLLEKFENLQHLSIANIGVSSLEQFPRLRNLQRLILSDNRIAGGLEFLVEAGLDSLRDLDLSNNRIQYIEALAPLAQLKLVSLDLYECPVTRVKDYRSRVFGLIKSLKYLDKMDAEENERPESDDEEEEEDEEDEDEDDEDDPGSGEVDGDDRQYRMNNGHSEGGEGIVDVDEDEESDADEEETDTGGRVNGLGHEANGFRIEEVGRAEDEDDDGHRENDSGEEVDDEEEEEDVVEVHDIGDSDDDEEDGVEDDDEEEEDEDEEEEEVDNDEGDFPEPESTGHLMSTEGEIDGHEHGEDGDDDDHGETGEEELDVEEDGEYEDEEEGEEEDEDYGEGYLVQPVAQAEEHDAEGSDMDPGNEEEEDGDDDDDVEEEEEVEDDEEVPSTSQLKRKRSDDAGEDKEEDDVKFSKKHG >KJB43524 pep chromosome:Graimondii2_0_v6:7:21048904:21052529:-1 gene:B456_007G204400 transcript:KJB43524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIWQRAVETALDGQADHAAVRTLTLDGAVKCVQGRLPPPSLLEKFENLQHLSIANIGVSSLEQFPRLRNLQRLILSDNRIAGGLEFLVEAGLDSLRDLDLSNNRIQYIEALAPLAQLKLVSLDLYECPVTRVKDYRSRVFGLIKSLKYLDKMDAEENERPESDDEEEEEDEEDEDEDDEDDPGSGEVDGDDRQYRMNNGHSEGGEGIVDVDEDEESDADEEETDTGGRVNGLGHEANGFRIEEVGRAEDEDDDGHRENDSGEEVDDEEEEEDVVEVHDIGDKDDDEEEEDEDEEEEEVDNDEGDFPEPESTGHLMSTEGEIDGHEHGEDGDDDDHGETGEEELDVEEDGEYEDEEEGEEEDEDYGEGYLVQPVAQAEEHDAEGSDMDPGNEEEEDGDDDDDVEEEEEVEDDEEVPSTSQLKRKRSDDAGEDKEEDDVKFSKKHG >KJB45529 pep chromosome:Graimondii2_0_v6:7:52376616:52382315:-1 gene:B456_007G310300 transcript:KJB45529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIIPPCIHTSFIRLTETKESRCEECGGQISGTAFTCIWCKVWKHISCADKLNRDLPLEIIHPLHLQHRLQLQWDYFSDFICDKCLYLSTGCVYKCSSCDFSLDLTCGSSISGQLPKDQEPLRFKDGKKKTIFHYSHRHELGFFKYRKVREKDYDCFWCEKHLLPSEVCYGCTIHEFYLHQVCGDKIPRRLSDHCFHPKHPLRLTYTYENRKCNVCSEKCDTATPLYVCEICSFRLDFHCAKLSPSLKLDCHHHLLTFFEDFNQRGEEGQDSYCKACGKHCDGASVYRCVQCHFSLHLKCVVPSSATHKYHRHPLTMMKLIKEDDSEKYYCDVCENERNPKDPVYYCRSCTFIAHIQCVLDQDKVASGKLPSSSNPPMENKVLFVEQNEGSHVIRTLSLFRPIIHPHQMYEVTEELKGENYCSGCRMVLNGSSYFCKTCPDFYLHEKCAKLSYEIRHPFHSSHPLNLYTSRGPQLIACEECRDICDGFIYFCEQCNFKLDMKCAALTTHKIGVLEEKMTGRVTELHHFTHPHKLVLTNCNDPNHKRECNICRLQILGPAYFCPESCTYILHESCLRLPQKIRVPFHPNHMLVGRLLPTRQRCYACTLELLSDDFVYSCEHCDFNLHAICANSLRRPLKCEFHLNVLYYFGRNHKSLFDSIWRGNFHCAVCDGSYEEEPFYRCLKCDIKFHLKCVRIPYTVKSKYHIHPLILKDSFIEDDSGKYYCDFCEEERNPNDDIYYCEECNGQTIAHIECVLAQVEDNIEIRKNIHEERFREELENLVARFMKFLSNECRPPIEEVIQAGVVPRFVELLGSPSDYVREQAVLVLGNIAAVSLGCRDLVLGHGALLPLLALLNEPVSRSMLRNATWTLSRFCKPPFDQVKLVLPTLARLIHSKDEVVLAKACRALSYLSDGTNDKIQAVIEAGVLGRLVELLMHPSPSVLTSALYTVRNIVTGDDVQTQCVISHQALPCLSKLLTNNYEKSIKVVACWIISNITARNEEQIQFICFKMLKWILENKLEKPSQMLHLVGLMIRSDSL >KJB45530 pep chromosome:Graimondii2_0_v6:7:52376811:52382171:-1 gene:B456_007G310300 transcript:KJB45530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIIPPCIHTSFIRLTETKESRCEECGGQISGTAFTCIWCKVWKHISCADKLNRDLPLEIIHPLHLQHRLQLQWDYFSDFICDKCLYLSTGCVYKCSSCDFSLDLTCGSSISGQLPKDQEPLRFKDGKKKTIFHYSHRHELGFFKYRKVREKDYDCFWCEKHLLPSEVCYGCTIHEFYLHQVCGDKIPRRLSDHCFHPKHPLRLTYTYENRKCNVCSEKCDTATPLYVCEICSFRLDFHCAKLSPSLKLDCHHHLLTFFEDFNQRGEEGQDSYCKACGKHCDGASVYRCVQCHFSLHLKCVVPSSATHKYHRHPLTMMKLIKEDDSEKYYCDVCENERNPKDPVYYCRSCTFIAHIQCVLDQDKVASGKLPSSSNPPMENKVLFVEQNEGSHVIRTLSLFRPIIHPHQMYEVTEELKGENYCSGCRMVLNGSSYFCKTCPDFYLHEKCAKLSYEIRHPFHSSHPLNLYTSRGPQLIACEECRDICDGFIYFCEQCNFKLDMKCAALTTHKIGVLEEKMTGRVTELHHFTHPHKLVLTNCNDPNHKRECNICRLQILGPAYFCPESCTYILHESCLRLPQKIRVPFHPNHMLVGRLLPTRQRCYACTLELLSDDFVYSCEHCDFNLHAICANSLRRPLKCEFHLNVLYYFGRNHKSLFDSIWRGNFHCAVCDGSYEEEPFYRCLKCDIKFHLKCVRIPYTVKSKYHIHPLILKDSFIEDDSGKYYCDFCEEERNPNDDIYYCEECNGQTIAHIECVLAQVEDNIEIRKNIHEERFREELENLVARFMKFLSNECRPPIEEVIQAGVVPRFVELLGSPSDYVREQAVLVLGNIAAVSLGCRDLVLGHGALLPLLALLNEPVSRSMLRNATWTLSRFCKPPFDQVKLVLPTLARLIHSKDEVVLAKACRALSYLSDGTNDKIQAVIEAGVLGRLVELLMHPSPSVLTSALYTVRNIVTGDDVQTQCVISHQALPCLSKLLTNNYEKSIKVVACWIISNITARNEEQIQAIIEANIIAPLVHLLQNAEMDIRKQAGKAISNAASGGTHDQIRFLVSQGCIKPLCDLLYYADPEVVKVCLQGLENILKVGEADKNMGITGGVNLYAQMINAAKGRESIEYLWYDYKKEIYEKTLKILKLLKQSSILQG >KJB46267 pep chromosome:Graimondii2_0_v6:7:58619837:58624116:1 gene:B456_007G355500 transcript:KJB46267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLHSSSRPSSSSSLSSSSSSSSSSSSQQVFTSRLLLLLTLLPLTLAFFAFVLQWRGGLTDPVTRWSPDPFQFPGMDNPGSNVGSDRRSGSDCVDLLGRSKSPAFPYFKDWKFDVGSDLNPKICIISSTSAGLEQTLPWIFYHKVIGVSAFFLFVEGKAASPNVSKVLELIPGVKVIYRTKELEEQQAKSRIWNETWLASFFYKPCNYELFVKQSLNMEMSIVMARDAGMDWIIHLDTDELIHPVGAREYSLRQLLAGVPGNVDMVVFPNYESSVERDDIKEPFTEVSMFKKNYDHLPKDVYFGNYKESTRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPKYSISLFLLIFCE >KJB46269 pep chromosome:Graimondii2_0_v6:7:58619837:58626330:1 gene:B456_007G355500 transcript:KJB46269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLHSSSRPSSSSSLSSSSSSSSSSSSQQVFTSRLLLLLTLLPLTLAFFAFVLQWRGGLTDPVTRWSPDPFQFPGMDNPGSNVGSDRRSGSDCVDLLGRSKSPAFPYFKDWKFDVGSDLNPKICIISSTSAGLEQTLPWIFYHKVIGVSAFFLFVEGKAASPNVSKVLELIPGVKVIYRTKELEEQQAKSRIWNETWLASFFYKPCNYELFVKQSLNMEMSIVMDAGMDWIIHLDTDELIHPVGAREYSLRQLLAGVPGNVDMVVFPNYESSVERDDIKEPFTEVSMFKKNYDHLPKDVYFGNYKESTRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPNEIKLDEAAVLHYTYPKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRAAFIIASTATEEEMLHWYREHVVWTDKELKLKLLRRGILTRIYAPMVIIQGLRDSGLFGSVIQSAQTTLSKDTKSSNTSRVDKPGMISSRKIGVKETTATARRILEIPNETSYDSAIPPLSPPILDDLHIGI >KJB46266 pep chromosome:Graimondii2_0_v6:7:58619718:58626330:1 gene:B456_007G355500 transcript:KJB46266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLHSSSRPSSSSSLSSSSSSSSSSSSQQVFTSRLLLLLTLLPLTLAFFAFVLQWRGGLTDPVTRWSPDPFQFPGMDNPGSNVGSDRRSGSDCVDLLGRSKSPAFPYFKDWKFDVGSDLNPKICIISSTSAGLEQTLPWIFYHKVIGVSAFFLFVEGKAASPNVSKVLELIPGVKVIYRTKELEEQQAKSRIWNETWLASFFYKPCNYELFVKQSLNMEMSIVMARDAGMDWIIHLDTDELIHPVGAREYSLRQLLAGVPGNVDMVVFPNYESSVERDDIKEPFTEVSMFKKNYDHLPKDVYFGNYKESTRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPNEIKLDEAAVLHYTYPKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRAAFIIASTATEEEMLHWYREHVVWTDKELKLKLLRRGILTRIYAPMVIIQGLRDSGLFGSVIQSAQTTLSKDTKSSNTSRVDKPGMISSRKIGVKETTATARRILEIPNETSYDSAIPPLSPPILDDLHIGI >KJB46268 pep chromosome:Graimondii2_0_v6:7:58619920:58624470:1 gene:B456_007G355500 transcript:KJB46268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLHSSSRPSSSSSLSSSSSSSSSSSSQQVFTSRLLLLLTLLPLTLAFFAFVLQWRGGLTDPVTRWSPDPFQFPGMDNPGSNVGSDRRSGSDCVDLLGRSKSPAFPYFKDWKFDVGSDLNPKICIISSTSAGLEQTLPWIFYHKVIGVSAFFLFVEGKAASPNVSKVLELIPGVKVIYRTKELEEQQAKSRIWNETWLASFFYKPCNYELFVKQSLNMEMSIVMARDAGMDWIIHLDTDELIHPVGAREYSLRQLLAGVPGNVDMVVFPNYESSVERDDIKEPFTEVSMFKKNYDHLPKDVYFGNYKESTRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPNEIKLDEAAVLHYTYPKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRAVSSN >KJB44029 pep chromosome:Graimondii2_0_v6:7:28943935:28945361:1 gene:B456_007G230900 transcript:KJB44029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMERDLINRRRGSSYFCGCYMMSPSCFPVHEEMDYSRIHHCSSGGDNRTRRRWRNLLRRLVKDGKTSLYGSKRPLSFHYDAVSYSQNFDEGCHRDESAHRRRVFQEVHE >KJB44706 pep chromosome:Graimondii2_0_v6:7:44816525:44821094:-1 gene:B456_007G267600 transcript:KJB44706 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] TLPVDGIFSEKERASSSKQINTQNSKPFSSPQMQQFGYQGVEGATSNPIGADSVATLLIRHLPEAIPPETLLRLFSHYGASSVQPFSSGKLRNCAFVDFKNEALASQAHRQLNGLKFLGKVLLVERASKPAEQNKPQQTGVQLGKDFSQSASLLKDANSTRDPNLGSRSGSIPASEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFHMALPTPPLPPPVPAPQQPPPPPASTPAQPHLEDASSSESEMESSDEEVNDKGVPKSARKRARREVIVGPAIDKSVAHEAVGVKPATLIPKEIPLMKKKNPLLQIKIAPKHIPYERKDDGADDDHKQILEELNEEGLDAKQFASADELEKGKLPPEEILSLPMFKNYTAGNPASVLYIKNLAKDVVPEDFYFIFGSLFGSIDAATSGLNVKLMQEGRMRGQAFVTFPSVELAHRALNLVNGYVFKGKPIIVQFGRNPAAAKTN >KJB44707 pep chromosome:Graimondii2_0_v6:7:44816528:44821095:-1 gene:B456_007G267600 transcript:KJB44707 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] MAAIPSSQPFSSPQMQQFGYQGVEGATSNPIGADSVATLLIRHLPEAIPPETLLRLFSHYGASSVQPFSSGKLRNCAFVDFKNEALASQAHRQLNGLKFLGKVLLVERASKPAEQNKPQQTGVQLGKDFSQSASLLKDANSTRDPNLGSRSGSIPASEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFHMALPTPPLPPPVPAPQQPPPPPASTPAQPHLEDASSSESEMESSDEEVNDKGVPKSARKRARREVIVGPAIDKSVAHEAVGVKPATLIPKEIPLMKKKNPLLQIKIAPKHIPYERKDDGADDDHKQILEELNEEGLDAKQFASADELEKGKLPPEEILSLPMFKNYTAGNPASVLYIKNLAKDVVPEDFYFIFGSLFGSIDAATSGLNVKLMQGRMRGQAFVTFPSVELAHRALNLVNGYVFKGKPIIVQFGRNPAAAKTN >KJB44705 pep chromosome:Graimondii2_0_v6:7:44816523:44821095:-1 gene:B456_007G267600 transcript:KJB44705 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] TLPVDGIFSEKERASSSKQINTQNSKPFSSPQMQQFGYQGVEGATSNPIGADSVATLLIRHLPEAIPPETLLRLFSHYGASSVQPFSSGKLRNCAFVDFKNEALASQAHRQLNGLKFLGKVLLVERASKPAEQNKPQQTGVQLGKDFSQSASLLKDANSTRDPNLGSRSGSIPASEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFHMALPTPPLPPPVPAPQQPPPPPASTPAQPHLEDASSSESEMESSDEEVNDKGVPKSARKRARREVIVGPAIDKSVAHEAVGVKPATLIPKEIPLMKKKNPLLQIKIAPKHIPYERKDDGADDDHKQILEELNEEGLDAKQFASADELEKGKLPPEEILSLPMFKNYTAGNPASVLYIKNLAKDVVPEDFYFIFGSLFGSIDAATSGLNVKLMQEGRMRGQAFVTFPSVELAHRALNLVNGYVFKGKPIIVQFGRNPAAAKTN >KJB44703 pep chromosome:Graimondii2_0_v6:7:44817099:44820661:-1 gene:B456_007G267600 transcript:KJB44703 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] MAAIPSSQPFSSPQMQQFGYQGVEGATSNPIGADSVATLLIRHLPEAIPPETLLRLFSHYGASSVQPFSSGKLRNCAFVDFKNEALASQAHRQLNGLKFLGKVLLVERASKPAEQNKPQQTGVQLGKDFSQSASLLKDANSTRDPNLGSRSGSIPASEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFHMALPTPPLPPPVPAPQQPPPPPASTPAQPHLEDASSSESEMESSDEEVNDKGVPKSARKRARREVIVGPAIDKSVAHEAVGVKPATLIPKEIPLMKKKNPLLQIKIAPKHIPYERKDDGADDDHKQILEELNEEGLDAKQFASADELEKGKLPPEEILSLPMFKNYTAGNPASVLYIKNLAKDVVPEDFYFIFGSLFGSIDAATSGLNVKLMQEGRMRGQAFVTFPSVELAHRALNLVNGYVFKGKPIIVQFGRNPAAAKTN >KJB44704 pep chromosome:Graimondii2_0_v6:7:44817099:44820661:-1 gene:B456_007G267600 transcript:KJB44704 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] MAAIPSSQPFSSPQMQQFGYQGVEGATSNPIGADSVATLLIRHLPEAIPPETLLRLFSHYGASSVQPFSSGKLRNCAFVDFKNEALASQAHRQLNGLKFLGKVLLVERASKPAEQNKPQQTGVQLGKDFSQSASLLKDANSTRDPNLGSRSGSIPASEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFHMALPTPPLPPPVPAPQQPPPPPASTPAQPHLEDASSSESEMESSDEEVNDKGVPKSARKRARREVIVGPAIDKSVAHEAVGVKPATLIPKEIPLMKKKNPLLQIKIAPKHIPYERKDDGADDDHKQILEELNEEGLDAKQFASADELEKGKLPPEEILSLPMFKNYTAGNPASVLYIKNLAKDVVPEDFYFIFGSLFGSIDAATSGLNVKLMQEGRMRGQAFVTFPSVELAHRALNLVNGYVFKGKPIIVQFGRNPAAAKTN >KJB44708 pep chromosome:Graimondii2_0_v6:7:44817099:44820661:-1 gene:B456_007G267600 transcript:KJB44708 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] MAAIPSSQPFSSPQMQQFGYQGVEGATSNPIGADSVATLLIRHLPEAIPPETLLRLFSHYGASSVQPFSSGKLRNCAFVDFKNEALASQAHRQLNGLKFLGKVLLVERASKPAEQNKPQQTGVQLGKDFSQSASLLKDANSTRDPNLGSRSGSIPASEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFHMALPTPPLPPPVPAPQQPPPPPASTPAQPHLEDASSSESEMESSDEEVNDKGVPKSARKRARREVIVGPAIDKSVAHEAVGVKPATLIPKEIPLMKKKNPLLQIKIAPKHIPYERKDDGADDDHKQILEELNEEGLDAKQFASADELEKGKLPPEEILSLPMFKNYTAGNPASVLYIKNLAKDVVPEDFYFIFGSLFGSIDAATSGLNVKLMQEGRMRGQAFVTFPSVELAHRALNLVNGYVFKGKPIIVQFGRNPAAAKTN >KJB43024 pep chromosome:Graimondii2_0_v6:7:16906696:16910247:1 gene:B456_007G180100 transcript:KJB43024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCQASKIHLPTPFASPSSSKTPTSLLFEPHSFSLALSHSDSSLSLFPSISFPFSSTQKSLSIPPPSSSSTFLLLKTQKSPNPRVLFVVAGPYKGGSKVLLRFFLYRNDGSKAFEKAKVVVSKQKGIEFDDKVGVLIDVSHGLKLIISGSVNFFALYSVSSSKVLIFGVNLVVDTDESDDGIAFKLMKFAVIDCLKPVFSISISFEWLVLGEENGVKVWSLRELVKGKKVKKVKNYGLSNGVIGDNNGVSNGGSSTSEIVSNGHLDGKIEKPSVSVKPRSGKHRQESAEPGACFVPFEPKEVKGLTSAKASSMSLKAISIQPLSSKRFLILDTVGDLFVLHVTDKTVGSDVTCYMRQLPHVMKVQMMAVFPDISSRRQTVWISDGHHSMHVVDISSAVNETDKRESEETANMDLKFYKSSDFINLIFCHIYILRLSIFGEYFHILFHDFDSFVVLSTKFLFLLVNVQRF >KJB43026 pep chromosome:Graimondii2_0_v6:7:16906696:16912019:1 gene:B456_007G180100 transcript:KJB43026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCQASKIHLPTPFASPSSSKTPTSLLFEPHSFSLALSHSDSSLSLFPSISFPFSSTQKSLSIPPPSSSSTFLLLKTQKSPNPRVLFVVAGPYKGGSKVLLRFFLYRNDGSKAFEKAKVVVSKQKGIEFDDKVGVLIDVSHGLKLIISGSVNFFALYSVSSSKVLIFGVNLVVDTDESDDGIAFKLMKFAVIDCLKPVFSISISFEWLVLGEENGVKVWSLRELVKGKKVKKVKNYGLSNGVIGDNNGVSNGGSSTSEIVSNGHLDGKIEKPSVSVKPRSGKHRQESAEPGACFVPFEPKEVKGLTSAKASSMSLKAISIQPLSSKRFLILDTVGDLFVLHVTDKTVGSDVTCYMRQLPHVMKVQMMAVFPDISSIVQAIFTSEKIQDMIPTAANSILILGQGSLYAYAIS >KJB43027 pep chromosome:Graimondii2_0_v6:7:16906755:16910677:1 gene:B456_007G180100 transcript:KJB43027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCQASKIHLPTPFASPSSSKTPTSLLFEPHSFSLALSHSDSSLSLFPSISFPFSSTQKSLSIPPPSSSSTFLLLKTQKSPNPRVLFVVAGPYKGGSKVLLRFFLYRNDGSKAFEKAKVVVSKQKGIEFDDKVGVLIDVSHGLKLIISGSVNFFALYSVSSSKVLIFGVNLVVDTDESDDGIAFKLMKFAVIDCLKPVFSISISFEWLVLGEENGVKVWSLRELVKGKKVKKVKNYGLSNGVIGDNNGVSNGGSSTSEIVSNGHLDGKIEKPSVSVKPRSGKHRQESAEPGACFVPFEPKEVKGLTSAKASSMSLKAISIQPLSSKRFLILDTVGDLFVLHVTDKTVGSDVTCYMRQLPHVMKVQMMAVFPDISSRRQTVWISDGHHSMHVVDISSAVNETDKREIVQAIFTSEKIQDMIPTAANSILILGQGSLYAYAIS >KJB43025 pep chromosome:Graimondii2_0_v6:7:16906696:16912240:1 gene:B456_007G180100 transcript:KJB43025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCQASKIHLPTPFASPSSSKTPTSLLFEPHSFSLALSHSDSSLSLFPSISFPFSSTQKSLSIPPPSSSSTFLLLKTQKSPNPRVLFVVAGPYKGGSKVLLRFFLYRNDGSKAFEKAKVVVSKQKGIEFDDKVGVLIDVSHGLKLIISGSVNFFALYSVSSSKVLIFGVNLVVDTDESDDGIAFKLMKFAVIDCLKPVFSISISFEWLVLGEENGVKVWSLRELVKGKKVKKVKNYGLSNGVIGDNNGVSNGGSSTSEIVSNGHLDGKIEKPSVSVKPRSGKHRQESAEPGACFVPFEPKEVKGLTSAKASSMSLKAISIQPLSSKRFLILDTVGDLFVLHVTDKTVGSDVTCYMRQLPHVMKVQMMAVFPDISSRRQTVWISDGHHSMHVVDISSAVNETDKREIVQAIFTSEKIQDMIPTAANSILILGQACMHMLFPEEVRQPYLFVWYPCSRLYFGDYIPSIYSWTCFLVSTNGLLCKLLIH >KJB39853 pep chromosome:Graimondii2_0_v6:7:2293141:2294473:-1 gene:B456_007G033600 transcript:KJB39853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLDSLRNEHPELSDWYSSLADLYQKKLWHQLTLKLDQFVALTFFQAGDALIKLYHNFITDFETKINLLKLAHFAVIVSRQYSEKEAAISYLEGVIEKLRATKEKRIEEPILYIEMQVAKFKLELGDQKECKKLLEDGKSTLDSMDGVDPSVYASYYWVSSQYHKFRQEFAEFYKSALLYLGYTSVDSLSESFKLVCFYRLMCFFPCKRITS >KJB39850 pep chromosome:Graimondii2_0_v6:7:2291129:2294473:-1 gene:B456_007G033600 transcript:KJB39850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLDSLRNEHPELSDWYSSLADLYQKKLWHQLTLKLDQFVALTFFQAGDALIKLYHNFITDFETKINLLKLAHFAVIVSRQYSEKEAAISYLEGVIEKLRATKEKRIEEPILYIEMQVAKFKLELGDQKECKKLLEDGKSTLDSMDGVDPSVYASYYWVSSQYHKFRQEFAEFYKSALLYLGYTSVDSLSESFKLDLAFDLSLSALLGDNIYNFGELLAHPIVKSLLGTSVEWLYYILLAFNSGDLVHYQELCRIHNAALRTQPALVENEKRLLEKINVLCLMEIIFSRPAEDRTIPLKVIAERTKLSIEDVEHLLMKSLSKPCLNISLKWAKNPEDDLYNLLRVALYRCI >KJB39849 pep chromosome:Graimondii2_0_v6:7:2291047:2294538:-1 gene:B456_007G033600 transcript:KJB39849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLDSLRNEHPELSDWYSSLADLYQKKLWHQLTLKLDQFVALTFFQAGDALIKLYHNFITDFETKINLLKLAHFAVIVSRQYSEKEAAISYLEGVIEKLRATKEKRIEEPILYIEMQVAKFKLELGDQKECKKLLEDGKSTLDSMDGVDPSVYASYYWVSSQYHKFRQEFAEFYKSALLYLGYTSVDSLSESFKLDLAFDLSLSALLGDNIYNFGELLAHPIVKSLLGTSVEWLYYILLAFNSGDLVHYQELCRIHNAALRTQPALVENEKRLLEKINVLCLMEIIFSRPAEDRTIPLKVIAERTKLSIEDVEHLLMKSLSVHLIEGIIDQVKGTAYISWVQPRVLGIPQIKSLRDRLDSWVGKVHTACLSIEAETPDLVAP >KJB39851 pep chromosome:Graimondii2_0_v6:7:2291129:2294473:-1 gene:B456_007G033600 transcript:KJB39851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLDSLRNEHPELSDWYSSLADLYQKKLWHQLTLKLDQFVALTFFQAGDALIKLYHNFITDFETKINLLKLAHFAVIVSRQYSEKEAAISYLEGVIEKLRATKEKRIEEPILYIEMQVAKFKLELGDQKECKKLLEDGKSTLDSMDGVDPSVYASYYWVSSQYHKFRQEFAEFYKSALLYLGYTSVDSLSESFKLDLAFDLSLSALLGDNIYNFGELLAHPIVKSLLGTSVEWLYYILLAFNSGDLVHYQELCRIHNAALRTQPALVENEKRLLEKINVLCLMEIIFRCVSLQCPLVDQVRVNCCYLYV >KJB39852 pep chromosome:Graimondii2_0_v6:7:2291733:2294335:-1 gene:B456_007G033600 transcript:KJB39852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLDSLRNEHPELSDWYSSLADLYQKKLWHQLTLKLDQFVALTFFQAGDALIKLYHNFITDFETKINLLKLAHFAVIVSRQYSEKEAAISYLEGVIEKLRATKEKRIEEPILYIEMQVAKFKLELGDQKECKKLLEDGKSTLDSMDGVDPSVYASYYWVSSQYHKFRQEFAEFYKSALLYLGYTSVDSLSESFKLDLAFDLSLSALLGDNIYNFGELLAHPIVKSLLGTSVEWLYYILLAFNSGDLVHYQELCRIHNAALRTQPALVENEKRLLEKINVLCLMEIIFSRPAEDRTIPLKVIAERTKLSIEDVEHLLMKSLSVSSNILQICFALSVFSRFLFP >KJB40983 pep chromosome:Graimondii2_0_v6:7:6171876:6172219:1 gene:B456_007G086200 transcript:KJB40983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAVISVFVMLAMFLFVVKQGQAAVTCKQVDDALAACVPYLTSGGAVTTADRQAACNCVKQAAARIPTIKEDDAATLPAKCHVQVDFPISKNTNCEE >KJB44162 pep chromosome:Graimondii2_0_v6:7:32441587:32444429:-1 gene:B456_007G238100 transcript:KJB44162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGPNSCLYIDTIRASQQWNTGRPFLLGGLFLNDQTLPSSFVSFISLKAQKCPVDKDDNLCFLGGGKSTKTLRFVKSRRDGRGRLGWFLSVSLSSEEGYVGESGESWGQNGDKNLEEVEMVEGEKEKKGSGALNTTKHLWAGAVAAMVSRTLIAPLERLKLEYILRGEKKHFIELIKSIAVSEGLIGFWKGNFVNILRTAPFKAINFYAYDTYRNQQLKLSGKEEASNFERFLAGAAAGITATLLCLPLDTIRTVMVAPGGEALGGLFGTFRHMVQTEGFFSLYKGLVPTIISMAPSGAVFYGVYDMLKSAYLHSPKGRKRIQDMKRGVQELNAFEQLELGPIRTLLYGAIAGACSEAATYPFEVVRRHLQMQVRATKLSAFATCVKIVEEGGGMHALYAGLIPSILQVLPSAAISYLVYEFMKIVLKVESA >KJB44163 pep chromosome:Graimondii2_0_v6:7:32441539:32444446:-1 gene:B456_007G238100 transcript:KJB44163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGPNSCLYIDTIRASQQWNTGRPFLLGGLFLNDQTLPSSFVSFISLKAQKCPVDKDDNLCFLGGGKSTKTLRFVKSRRDGRGRLGWFLSVSLSSEEGYVGESGESWGQNGDKNLEEVEMVEGEKEKKGSGALNTTKHLWAGAVAAMVSRTLIAPLERLKLEYILRGEKKHFIELIKSIAVSEGLIGFWKGNFVNILRTAPFKAINFYAYDTYRNQQLKLSGKEEASNFERFLAGAAAGITATLLCLPLDTIRTVMVAPGGEALGGLFGTFRHMVQTEGFFSLYKGLVPTIISMAPSGAVFYGVYDMLKSAYLHSPKGRKRIQDMKRGVQELNAFEQLELGPIRTLLYGAIAGACSEAATYPFEVVRRHLQMQVRATKLSAFATCVKIVEEGGGMHALYAGLIPSILQVLPSAAISYLVYEFMKIVLKVESA >KJB42068 pep chromosome:Graimondii2_0_v6:7:11125171:11128314:-1 gene:B456_007G136200 transcript:KJB42068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLILMLDLNFLKDLRFLTGASFVTMSKRGWYMSKTTSLRQGNLSCRLSDVLFSLLLKAMASWFNLATHWLLPAPNRVMAAATTGFWDQSPWLHQSRQITLMKGRAEFVSRSPRVDSKQKMWNSPKTAPAWTNSLVKGYYAKLSEGAIDHQDYYSTFHKTNLQKKFTEQ >KJB44924 pep chromosome:Graimondii2_0_v6:7:47871332:47875484:1 gene:B456_007G279800 transcript:KJB44924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFINPKSLFHLLLLPCLLLYSSIAYNSSPVEAAMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNTRNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFLRVLTGRHETAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFNQLQSPGVLAIGSSMKGENSYSHHLDSDVGVSVVDRFTYYTLAFFERLNIYDNASLSSLFSSYNPSLLMSTAYYRKDLYKRRLEEVPVTNFFGSVMETIHTDAAYKALSRRNSGRFEDEMSLDQSVHHIERRMLIKVNDGYEIHDINPEGEEGASKCPWSTFRNKFQKAENVDSLVNYGLVAMIPLLVISTWLVQ >KJB44925 pep chromosome:Graimondii2_0_v6:7:47871402:47875268:1 gene:B456_007G279800 transcript:KJB44925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFINPKSLFHLLLLPCLLLYSSIAYNSSPVEAAMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNTRNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFLRVLTGRHETAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFNQLQSPGVLAIGSSMKGENSYSHHLDSDVGVSVVDRFTYYTLAFFERLNIYDNASLSSLFSSYNPSLLMSTAYYRKDLYKRRLEEVPVTNFFGSVMETIHTDAAYKALSRRNSGRFEDEMSLDQSVHHIERRMLIKVNDGYEIHDINPELLQGEEGASKCPWSTFRNKFQKAENVDSLVNYGLVAMIPLLVISTWLVQ >KJB44926 pep chromosome:Graimondii2_0_v6:7:47871402:47875484:1 gene:B456_007G279800 transcript:KJB44926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNTRNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFLRVLTGRHETAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFNQLQSPGVLAIGSSMKGENSYSHHLDSDVGVSVVDRFTYYTLAFFERLNIYDNASLSSLFSSYNPSLLMSTAYYRKDLYKRRLEEVPVTNFFGSVMETIHTDAAYKALSRRNSGRFEDEMSLDQSVHHIERRMLIKVNDGYEIHDINPEGEEGASKCPWSTFRNKFQKAENVDSLVNYGLVAMIPLLVISTWLVQ >KJB46587 pep chromosome:Graimondii2_0_v6:7:60740621:60742076:1 gene:B456_007G376000 transcript:KJB46587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCSGKCGCGADCHCGSGCNGCGMFPDIIEKTTTETIVLGVTTQKMHFEGSEMGVGVEGGCPCGDNCKCDPCTCGK >KJB45023 pep chromosome:Graimondii2_0_v6:7:49032498:49051506:1 gene:B456_007G286000 transcript:KJB45023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAVLESDLRALSAEARRRHPAVKDAAEHAILKLRTLSSPSEISLNEDIVRIFLMACEVKTVKLSVIGLSCLQKLISHDAVAPSVLNEILPTLKDHAEMPDESVQLKTLQTILIIFQSRLHPESEENMAKALGICLQLLENNRSSDSVRNTAAATFRQAVALVFEHVVLVESLPAEKFGSGSYISRTSSVTGDVNRSMNNSELDNNFVSRKSSLMKETATSSGKLGLRLLEDLTALAAGGSACWLHVSSLQRTFALDILEFILSNYVAMFKILVPYEQVLQHQICSLLMTSLRTNYELEGEVGEPYFRRLVLRSVAHIIKLYSSSLITECEVFLSMLIKLTFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDMHPKNTNVVEGMVKALARVVSSVQFLETSEESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAISVAIEGLLGVVFTVASLTDEAVDAGELESPRCDYVPSAKCTGKTAVLCISMVDSLWLTILDALSLILARSQGEAIVLEILKGYQAFTQACGVLHAIEPLNSFLASLCKFTINFPNEVERRSGVLLSPASKRSDINSDQRDNIVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLSALDRAIHSPHAATQEVSTSVPRVTRASSGQYSDFSILSSLNSQLFESSALMHISAVKSLLSALCQLSHQCMLDTSSGFGPSTSQKIGSINFSVERMISILVNNLHRVLPLWDQVVGHFLELADNSNQHLRNMALDALDQSICAVLGSENEDHALSRSDDNSQDLESNETELRLLESAVISPLRVLFSSSQSIDVRAGSLKILLHVLERCGEKLRYCWPDILELLRSVADASEKDLVTLGFQSLRVIMNDGLSSIPPDYLNVCIDVTGAYGAQKTELNISLTAIGLLWTTTDFIVKGLSHESVEEKETEIMEVISVSNKMDGQNGEEQTDNISVDMNSHSPSANMVDRDKLIVSVFSLLQKLGADERPEVRNSAIRTLFQILGGHGQKLSESMWEDCLWNYVFPTLDRVSHMAATSSKDEWQGKELGIRGGKAVHMLIHHSRNTAQKQWDETLVLVFSGIARLLRSFFPFLRSLNNFWSGWESLLLFVKNSIFNGSKEVSLAAINCLQTTVLGHCSKGNFPTPYLVSVLDVYEVFLQKSPNYNGSTTIKVKQEVLLGLGELYVQAQRMFDDQMYKRLLAIIGLAIKQTVTKSDNCEAEPGHVPHLLRTVLEVLPMLRPAEHLSSMWLILLRELLQYLPGQDSPPQGEEEEAGEVSTSDHIPDVNVKMKCETPNDTASASVQKAELPSPTSSSAQEATVNIPSYLLAEKLIPVLVDLILQAPAVEKYIIFPEVIHSLGRSMTTRRDNPDDSLWRLAVKGFNRMLVGDISETTVDSGPDSKFSKPARLRIWKKVADVYEIFLVGYCGRALPSNSLSPATLKDDESLEMTIVDILGEQILKSPIDAPIEVSNPLIKHR >KJB45024 pep chromosome:Graimondii2_0_v6:7:49032498:49051884:1 gene:B456_007G286000 transcript:KJB45024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAVLESDLRALSAEARRRHPAVKDAAEHAILKLRTLSSPSEISLNEDIVRIFLMACEVKTVKLSVIGLSCLQKLISHDAVAPSVLNEILPTLKDHAEMPDESVQLKTLQTILIIFQSRLHPESEENMAKALGICLQLLENNRSSDSVRNTAAATFRQAVALVFEHVVLVESLPAEKFGSGSYISRTSSVTGDVNRSMNNSELDNNFVSRKSSLMKETATSSGKLGLRLLEDLTALAAGGSACWLHVSSLQRTFALDILEFILSNYVAMFKILVPYEQVLQHQICSLLMTSLRTNYELEGEVGEPYFRRLVLRSVAHIIKLYSSSLITECEVFLSMLIKLTFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDMHPKNTNVVEGMVKALARVVSSVQFLETSEESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAISVAIEGLLGVVFTVASLTDEAVDAGELESPRCDYVPSAKCTGKTAVLCISMVDSLWLTILDALSLILARSQGEAIVLEILKGYQAFTQACGVLHAIEPLNSFLASLCKFTINFPNEVERRSGVLLSPASKRSDINSDQRDNIVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLSALDRAIHSPHAATQEVSTSVPRVTRASSGQYSDFSILSSLNSQLFESSALMHISAVKSLLSALCQLSHQCMLDTSSGFGPSTSQKIGSINFSVERMISILVNNLHRVLPLWDQVVGHFLELADNSNQHLRNMALDALDQSICAVLGSENEDHALSRSDDNSQDLESNETELRLLESAVISPLRVLFSSSQSIDVRAGSLKILLHVLERCGEKLRYCWPDILELLRSVADASEKDLVTLGFQSLRVIMNDGLSSIPPDYLNVCIDVTGAYGAQKTELNISLTAIGLLWTTTDFIVKGLSHESVEEKETEIMEVISVSNKMDGQNGEEQTDNISVDMNSHSPSANMVDRDKLIVSVFSLLQKLGADERPEVRNSAIRTLFQILGGHGQKLSESMWEDCLWNYVFPTLDRVSHMAATSSKDEWQGKELGIRGGKAVHMLIHHSRNTAQKQWDETLVLVFSGIARLLRSFFPFLRSLNNFWSGWESLLLFVKNSIFNGSKEVSLAAINCLQTTVLGHCSKGNFPTPYLVSVLDVYEVFLQKSPNYNGSTTIKVKQEVLLGLGELYVQAQRMFDDQMYKRLLAIIGLAIKQTVTKSDNCEAEPGHVPHLLRTVLEVLPMLRPAEHLSSMWLILLRELLQYLPGQDSPPQGEEEEAGEVSTSDHIPDVNVKMKCETPNDTASASVQKAELPSPTSSSAQEATVNIPSYLLAEKLIPVLVDLILQAPAVEKYIIFPEVIHSLGRSMTTRRDNPDDSLWRLAVKGFNRMLVGDISETTVDSGPDSKFSKPARLRIWKKVADVYEIFLVGYCGRALPSNSLSPATLKDDESLEMTIVDILGEQILKSPIDAPIEILQRLVSTLDRCASRTCSLPVETVELMPLHCSRFSLKCLQTLFSLSR >KJB45022 pep chromosome:Graimondii2_0_v6:7:49032342:49053162:1 gene:B456_007G286000 transcript:KJB45022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAVLESDLRALSAEARRRHPAVKDAAEHAILKLRTLSSPSEISLNEDIVRIFLMACEVKTVKLSVIGLSCLQKLISHDAVAPSVLNEILPTLKDHAEMPDESVQLKTLQTILIIFQSRLHPESEENMAKALGICLQLLENNRSSDSVRNTAAATFRQAVALVFEHVVLVESLPAEKFGSGSYISRTSSVTGDVNRSMNNSELDNNFVSRKSSLMKETATSSGKLGLRLLEDLTALAAGGSACWLHVSSLQRTFALDILEFILSNYVAMFKILVPYEQVLQHQICSLLMTSLRTNYELEGEVGEPYFRRLVLRSVAHIIKLYSSSLITECEVFLSMLIKLTFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDMHPKNTNVVEGMVKALARVVSSVQFLETSEESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAISVAIEGLLGVVFTVASLTDEAVDAGELESPRCDYVPSAKCTGKTAVLCISMVDSLWLTILDALSLILARSQGEAIVLEILKGYQAFTQACGVLHAIEPLNSFLASLCKFTINFPNEVERRSGVLLSPASKRSDINSDQRDNIVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLSALDRAIHSPHAATQEVSTSVPRVTRASSGQYSDFSILSSLNSQLFESSALMHISAVKSLLSALCQLSHQCMLDTSSGFGPSTSQKIGSINFSVERMISILVNNLHRVLPLWDQVVGHFLELADNSNQHLRNMALDALDQSICAVLGSENEDHALSRSDDNSQDLESNETELRLLESAVISPLRVLFSSSQSIDVRAGSLKILLHVLERCGEKLRYCWPDILELLRSVADASEKDLVTLGFQSLRVIMNDGLSSIPPDYLNVCIDVTGAYGAQKTELNISLTAIGLLWTTTDFIVKGLSHESVEEKETEIMEVISVSNKMDGQNGEEQTDNISVDMNSHSPSANMVDRDKLIVSVFSLLQKLGADERPEVRNSAIRTLFQILGGHGQKLSESMWEDCLWNYVFPTLDRVSHMAATSSKDEWQGKELGIRGGKAVHMLIHHSRNTAQKQWDETLVLVFSGIARLLRSFFPFLRSLNNFWSGWESLLLFVKNSIFNGSKEVSLAAINCLQTTVLGHCSKGNFPTPYLVSVLDVYEVFLQKSPNYNGSTTIKVKQEVLLGLGELYVQAQRMFDDQMYKRLLAIIGLAIKQTVTKSDNCEAEPGHVPHLLRTVLEVLPMLRPAEHLSSMWLILLRELLQYLPGQDSPPQGEEEEAGEVSTSDHIPDVNVKMKCETPNDTASASVQKAELPSPTSSSAQEATVNIPSYLLAEKLIPVLVDLILQAPAVEKYIIFPEVIHSLGRSMTTRRDNPDDSLWRLAVKGFNRMLVGDISETTVDSGPDSKFSKPARLRIWKKVADVYEIFLVGYCGRALPSNSLSPATLKDDESLEMTIVDILGEQILKSPIDAPIEILQRLVSTLDRCASRTCSLPVETVELMPLHCSRFSLKCLQTLFSLSSFSDEEGNWSLTRSEVSKLVIMVLMMRCECILNRFLADEKDVGDRPLPTVRLEEVIFVLQELARLVIHSDTASVLPLNPHLRSTLAEGSSGKQPHLLVLFPSLSELVVSREGRVRELVKDLLKLIAKELTLEKVNTGC >KJB45358 pep chromosome:Graimondii2_0_v6:7:51564186:51568009:-1 gene:B456_007G302300 transcript:KJB45358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTLNKMSSSTIRSLSEISEMETIHLSVDLVSAARRNIGFLRSVYECQWLHQRATTIEAIRRYDEVWMPLISNLTVEGSTPPMVLPPFDVEWVWFCHTLNPVGYRKYCETRFSKQIGKPAIFNEENEEYALMRCKQIWVQQFSSEPFENEVESDSKNQPLMKKDLFNEVEKHKFLYSKFAEPYLSELVYLIAARQRYKGFLYMMQRFGDGCFRFVPALDILLMLLTHQSYPRVYVEDMKEMWDNMGKLVVGLWETVEEKQVEETKKLWETTFDEPYEKAGGGIAVGMEKVVLPNPPIYWEVSDVDVNTTKYKSMIPRFLLEACVFVRLNDRRKATNVDNKHKFLRLQMLRCHRELKLEKPITDFSCDSWRKAWHLYCEFGTKGLMVELRCRGGSTLSFKGSKLVKSMVFCWNDLVRAPCITLRRDVEEMRVVASITSPVQAPYLLKCVPDRVTDDSGAMVSDVILKLNNYRPQKGRWLSRTVLDHAGRECFVVRIRVGGGFWRRGAETPCGVNWEERIIEIREGSWSYVAGSIGKAPVQRKL >KJB45359 pep chromosome:Graimondii2_0_v6:7:51564186:51567761:-1 gene:B456_007G302300 transcript:KJB45359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLCLCRYDEVWMPLISNLTVEGSTPPMVLPPFDVEWVWFCHTLNPVSRKLLTVNSVWLTRKCEKINFFLQVGYRKYCETRFSKQIGKPAIFNEENEEYALMRCKQIWVQQFSSEPFENEVESDSKNQPLMKKDLFNEVEKHKFLYSKFAEPYLSELVYLIAARQRYKGFLYMMQRFGDGCFRFVPALDILLMLLTHQACVFVRLNDRRKATNVDNKHKFLRLQMLRCHRELKLEKPITDFSCDSWRKAWHLYCEFGTKGLMVELRCRGGSTLSFKGSKLVKSMVFCWNDLVRAPCITLRRDVEEMRVVASITSPVQAPYLLKCVPDRVTDDSGAMVSDVILKLNNYRPQKGRWLSRTVLDHAGRECFVVRIRVGGGFWRRGAETPCGVNWEERIIEIREGSWSYVAGSIGKAPVQRKL >KJB45357 pep chromosome:Graimondii2_0_v6:7:51563352:51568034:-1 gene:B456_007G302300 transcript:KJB45357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTLNKMSSSTIRSLSEISEMETIHLSVDLVSAARRNIGFLRSVYECQWLHQRATTIEAIRRYDEVWMPLISNLTVEGSTPPMVLPPFDVEWVWFCHTLNPVGYRKYCETRFSKQIGKPAIFNEENEEYALMRCKQIWVQQFSSEPFENEVESDSKNQPLMKKDLFNEVEKHKFLYSKFAEPYLSELVYLIAARQRYKGFLYMMQRFGDGCFRFVPALDILLMLLTHQSYPRVYVEDMKEMWDNMGKLVVGLWETVEEKQVEETKKLWETTFDEPYEKAGGGIAVGMEKVVLPNPPIYWEVSDVDVNTTKYKSMIPRFLLEACVFVRLNDRRKATNVDNKHKFLRLQMLRCHRELKLEKPITDFSCDSWRKAWHLYCEFGTKGLMVELRCRGGSTLSFKGSKLVKSMVFCWNDLVRAPCITLRRDVEEMRVVASITSPVQAPYLLKCVPDRVTDDSGAMVSDVILKLNNYRPQKGRWLSRTVLDHAGRECFVVRIRVGGGFWRRGAETPCGVNWEERIIEIREGSWSYVAGSIGKAPVQRKL >KJB45354 pep chromosome:Graimondii2_0_v6:7:51563320:51568110:-1 gene:B456_007G302300 transcript:KJB45354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTLNKMSSSTIRSLSEISEMETIHLSVDLVSAARRNIGFLRSVYECQWLHQRATTIEAIRRYDEVWMPLISNLTVEGSTPPMVLPPFDVEWVWFCHTLNPVGYRKYCETRFSKQIGKPAIFNEENEEYALMRCKQIWVQQFSSEPFENEVESDSKNQPLMKKDLFNEVEKHKFLYSKFAEPYLSELVYLIAARQRYKGFLYMMQRFGDGCFRFVPALDILLMLLTHQQSYPRVYVEDMKEMWDNMGKLVVGLWETVEEKQVEETKKLWETTFDEPYEKAGGGIAVGMEKVVLPNPPIYWEVSDVDVNTTKYKSMIPRFLLEACVFVRLNDRRKATNVDNKHKFLRLQMLRCHRELKLEKPITDFSCDSWRKAWHLYCEFGTKGLMVELRCRGGSTLSFKGSKLVKSMVFCWNDLVRAPCITLRRDVEEMRVVASITSPVQAPYLLKCVPDRVTDDSGAMVSDVILKLNNYRPQKGRWLSRTVLDHAGRECFVVRIRVGGGFWRRGAETPCGVNWEERIIEIREGSWSYVAGSIGKAPEKVVGTATPKEPPQQWKAAWLFSTGDEFLINWGSSTSSSDLTFCLKTQQSSDSSIMLLRGRKMQYHEETKSKVAEADDGFVTLVRFTEDNPTGRTTALLNWKLSVVELLPEEDAVLVLLLCVSILRTVSEITKEDVGRLLVRRRLKEAKLGARDWGSVLLHPSSLSSSSDSPYLRPWYLNANKVMAQHEDDGITRQPGFKYSPVEGGDMLYKRGIIT >KJB45351 pep chromosome:Graimondii2_0_v6:7:51563320:51568110:-1 gene:B456_007G302300 transcript:KJB45351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTLNKMSSSTIRSLSEISEMETIHLSVDLVSAARRNIGFLRSVYECQWLHQRATTIEAIRRYDEVWMPLISNLTVEGSTPPMVLPPFDVEWVWFCHTLNPVGYRKYCETRFSKQIGKPAIFNEENEEYALMRCKQIWVQQFSSEPFENEVESDSKNQPLMKKDLFNEVEKHKFLYSKFAEPYLSELVYLIAARQRYKGFLYMMQRFGDGCFRFVPALDILLMLLTHQSYPRVYVEDMKEMWDNMGKLVVGLWETVEEKQVEETKKLWETTFDEPYEKAGGGIAVGMEKVVLPNPPIYWEVSDVDVNTTKYKSMIPRFLLEACVFVRLNDRRKATNVDNKHKFLRLQMLRCHRELKLEKPITDFSCDSWRKAWHLYCEFGTKGLMVELRCRGGSTLSFKGSKLVKSMVFCWNDLVRAPCITLRRDVEEMRVVASITSPVQAPYLLKCVPDRVTDDSGAMVSDVILKLNNYRPQKGRWLSRTVLDHAGRECFVVRIRVGGGFWRRGAETPCGVNWEERIIEIREGSWSYVAGSIGKAPEKVVGTATPKEPPQQWKAAWLFSTGDEFLINWGSSTSSSDLTFCLKTQQSSDSSIMLLRGRKMQYHEETKSKVAEADDGFVTLVRFTEDNPTGRTTALLNWKLSVVELLPEEDAVLVLLLCVSILRTVSEITKEDVGRLLVRRRLKEAKLGARDWGSVLLHPSSLSSSSDSPYLRPWYLNANKVMAQHEDDGITRQPGFKYSPVEGGDMLYKRGIIT >KJB45356 pep chromosome:Graimondii2_0_v6:7:51564186:51568009:-1 gene:B456_007G302300 transcript:KJB45356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTLNKMSSSTIRSLSEISEMETIHLSVDLVSAARRNIGFLRSVYECQWLHQRATTIEAIRRYDEVWMPLISNLTVEGSTPPMVLPPFDVEWVWFCHTLNPVGYRKYCETRFSKQIGKPAIFNEENEEYALMRCKQIWVQQFSSEPFENEVESDSKNQPLMKKDLFNEVEKHKFLYSKFAEPYLSELVYLIAARQRYKGFLYMMQRFGDGCFRFVPALDILLMLLTHQSYPRVYVEDMKEMWDNMGKLVVGLWETVEEKQVEETKKLWETTFDEPYEKAGGGIAVGMEKVVLPNPPIYWEVSDVDVNTTKYKSMIPRFLLEACVFVRLNDRRKATNVDNKHKFLRLQMLRCHRELKLEKPITDFSCDSWRKAWHLYCEFGTKGLMVELRCRGGSTLSFKGSKLVKSMVFCWNDLVRAPCITLRRDVEEMRVVASITSPVQAPYLLKCVPDRVTDDSGAMVSDVILKLNNYRPQKGRWLSRTVLDHAGRECFVVRIRVGGGFWRRGAETPCGVNWEERIIEIREGSWSYVAGSIGKAPVQRKL >KJB45352 pep chromosome:Graimondii2_0_v6:7:51563352:51568034:-1 gene:B456_007G302300 transcript:KJB45352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTLNKMSSSTIRSLSEISEMETIHLSVDLVSAARRNIGFLRSVYECQWLHQRATTIEAIRRYDEVWMPLISNLTVEGSTPPMVLPPFDVEWVWFCHTLNPVGYRKYCETRFSKQIGKPAIFNEENEEYALMRCKQIWVQQFSSEPFENEVESDSKNQPLMKKDLFNEVEKHKFLYSKFAEPYLSELVYLIAARQRYKGFLYMMQRFGDGCFRFVPALDILLMLLTHQACVFVRLNDRRKATNVDNKHKFLRLQMLRCHRELKLEKPITDFSCDSWRKAWHLYCEFGTKGLMVELRCRGGSTLSFKGSKLVKSMVFCWNDLVRAPCITLRRDVEEMRVVASITSPVQAPYLLKCVPDRVTDDSGAMVSDVILKLNNYRPQKGRWLSRTVLDHAGRECFVVRIRVGGGFWRRGAETPCGVNWEERIIEIREGSWSYVAGSIGKAPVQRKL >KJB45353 pep chromosome:Graimondii2_0_v6:7:51564186:51568009:-1 gene:B456_007G302300 transcript:KJB45353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTLNKMSSSTIRSLSEISEMETIHLSVDLVSAARRNIGFLRSVYECQWLHQRATTIEAIRRYDEVWMPLISNLTVEGSTPPMVLPPFDVEWVWFCHTLNPVGYRKYCETRFSKQIGKPAIFNEENEEYALMRCKQIWVQQFSSEPFENEVESDSKNQPLMKKDLFNEVEKHKFLYSKFAEPYLSELVYLIAARQRYKGFLYMMQRFGDGCFRFVPALDILLMLLTHQQSYPRVYVEDMKEMWDNMGKLVVGLWETVEEKQVEETKKLWETTFDEPYEKAGGGIAVGMEKVVLPNPPIYWEVSDVDVNTTKYKSMIPRFLLEACVFVRLNDRRKATNVDNKHKFLRLQMLRCHRELKLEKPITDFSCDSWRKAWHLYCEFGTKGLMVELRCRGGSTLSFKGSKLVKSMVFCWNDLVRAPCITLRRDVEEMRVVASITSPVQAPYLLKCVPDRVTDDSGAMVSDVILKLNNYRPQKGRWLSRTVLDHAGRECFVVRIRVGGGFWRRGAETPCGVNWEERIIEIREGSWSYVAGSIGKAPVQRKL >KJB45355 pep chromosome:Graimondii2_0_v6:7:51563320:51568110:-1 gene:B456_007G302300 transcript:KJB45355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTLNKMSSSTIRSLSEISEMETIHLSVDLVSAARRNIGFLRSVYECQWLHQRATTIEAIRRYDEVWMPLISNLTVEGSTPPMVLPPFDVEWVWFCHTLNPVGYRKYCETRFSKQIGKPAIFNEENEEYALMRCKQIWVQQFSSEPFENEVESDSKNQPLMKKDLFNEVEKHKFLYSKFAEPYLSELVYLIAARQRYKGFLYMMQRFGDGCFRFVPALDILLMLLTHQACVFVRLNDRRKATNVDNKHKFLRLQMLRCHRELKLEKPITDFSCDSWRKAWHLYCEFGTKGLMVELRCRGGSTLSFKGSKLVKSMVFCWNDLVRAPCITLRRDVEEMRVVASITSPVQAPYLLKCVPDRVTDDSGAMVSDVILKLNNYRPQKGRWLSRTVLDHAGRECFVVRIRVGGGFWRRGAETPCGVNWEERIIEIREGSWSYVAGSIGKAPEKVVGTATPKEPPQQWKAAWLFSTGDEFLINWGSSTSSSDLTFCLKTQQSSDSSIMLLRGRKMQYHEETKSKVAEADDGFVTLVRFTEDNPTGRTTALLNWKLSVVELLPEEDAVLVLLLCVSILRTVSEITKEDVGRLLVRRRLKEAKLGARDWGSVLLHPSSLSSSSDSPYLRPWYLNANKVMAQHEDDGITRQPGFKYSPVEGGDMLYKRGIIT >KJB44813 pep chromosome:Graimondii2_0_v6:7:46819992:46821661:-1 gene:B456_007G274600 transcript:KJB44813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMINYIFVFEQLKRGAMNTRSAPPPERTDLPLQWMPWLEASKTHIQSKRPCGGVGAVIAEKFLSGTHLVLGENMDWLVLLQQHWIVQNLKPWPPNSDLTGY >KJB42179 pep chromosome:Graimondii2_0_v6:7:11660222:11664472:1 gene:B456_007G140900 transcript:KJB42179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTGVVPEQNLEKQIGKQMGCMAGFLQIFDRHQLLSGKRLYSPKRLPPVETPASEKKTEQVKTVETPAISRELEKQPQGRAAASPDRSKQSPVISELRSPASELFTPTGNQSKSPLPLPVFEYKEGSARSPWKFSKEAPRLSLDSRAVVDAKGSLKPREIRTNASILSANQCEDEVDDSDKQRRSPSVIARLMGLEPIPDSNPEPNGKAQLRRSASEARGRDLFQYHFIDGVNFHLKQSQQPNFQNGGASSNVVRENGAKQDRVIINRPDGLRNARAELVKAPIRGLDQRKCFYDSADFFPERKQAVNVYGEIEKRLKLRGIDEPSKDLETLKQILEALQLKGLLHSKKSPNQTNNRNFVYQHEQSPIVVIKPGRSPASTARRIAKDSPPSNYRSRPGPRRNLNIDSPPTMSPRRDRPEIERNIRSQSRGKGSISPGRNECGVRSPNRRPLNVEIHRRGNGNGNVEQKRVSPVQSPRLNVRRTGLEQTTNRLPRNRKPTAEIYDKEEKVFIPAEDQTSSVSESSISTSSQTDTEVKNQRSKVEDYKDGGTLLERCDKLLHSIAEMTAATTELQPSPVSVLDSSFYKEDSSPSPVMKRSIDFKDQLVESEDEMWSPAMPSVESKSDDCDFIYISDVLRAANYMHDESDVFLLLEKQQYVKGKDTSKVSRLQRKLIFDTINEILNRKKQLPPWKVVTGETSLQQIWSEFQKIRERDSSDDMFEDICGVLRKDLAGDAINGWDGCPIQMSEAILDIERLIFRDLISETIRDLAALAEKSNNIPAPRRKLVF >KJB42178 pep chromosome:Graimondii2_0_v6:7:11659929:11664472:1 gene:B456_007G140900 transcript:KJB42178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTGVVPEQNLEKQIGKQMGCMAGFLQIFDRHQLLSGKRLYSPKRLPPVETPASEKKTEQVKTVETPAISRELEKQPQGRAAASPDRSKQSPVISELRSPASELFTPTGNQSKSPLPLPVFEYKEGSARSPWKFSKEAPRLSLDSRAVVDAKGSLKPREIRTNASILSANQCEDEVDDSDKQRRSPSVIARLMGLEPIPDSNPEPNGKAQLRRSASEARGRDLFQYHFIDGVNFHLKQSQQPNFQNGGASSNVVRENGAKQDRVIINRPDGLRNARAELVKAPIRGLDQRKCFYDSADFFPERKQAVNVYGEIEKRLKLRGIDEPSKDLETLKQILEALQLKGLLHSKKSPNQTNNRNFVYQHEQSPIVVIKPGRSPASTARRIAKDSPPSNYRSRPGPRRNLNIDSPPTMSPRRDRPEIERNIRSQSRGKGSISPGRNECGVRSPNRRPLNVEIHRRGNGNGNVEQKRVSPVQSPRLNVRRTGLEQTTNRLPRNRKPTAEIYDKEEKVFIPAEDQTSSVSESSISTSSQTDTERSKVEDYKDGGTLLERCDKLLHSIAEMTAATTELQPSPVSVLDSSFYKEDSSPSPVMKRSIDFKDQLVESEDEMWSPAMPSVESKSDDCDFIYISDVLRAANYMHDESDVFLLLEKQQYVKGKDTSKVSRLQRKLIFDTINEILNRKKQLPPWKVVTGETSLQQIWSEFQKIRERDSSDDMFEDICGVLRKDLAGDAINGWDGCPIQMSEAILDIERLIFRDLISETIRDLAALAEKSNNIPAPRRKLVF >KJB40634 pep chromosome:Graimondii2_0_v6:7:5067365:5069370:1 gene:B456_007G071900 transcript:KJB40634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCPCFGWRKGKKLKSGEKKEPGKKPKPPVSPGIGHGTKSSAALRQEPSAPKEEPNAGNRAQTFTYRQLAKATKNFRSESMIGQGGFGAVYKGILESSGQVVAIKKLDKTGLQGEKEFLVEFLMLSLLHHPNLVNLIGYCAEGDQRLLVYEYMPQGSLEDHLHYLTSDQKPLDWNTRMKIAVGAAQGLEYLHRGASPPVIYRDLKSSNILLGEGFIPKLSDFGFAKFGPSGDKSYVSTRVMGTHGYCAPEYLASGKLTTKSDIFSFGVVLLELITGRKAFDESRGREERFLVDWVILLHMI >KJB40633 pep chromosome:Graimondii2_0_v6:7:5067365:5069370:1 gene:B456_007G071900 transcript:KJB40633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCPCFGWRKGKKLKSGEKKEPGKKPKPPVSPGIGHGTKSSAALRQEPSAPKEEPNAGNRAQTFTYRQLAKATKNFRSESMIGQGGFGAVYKGILESSGQVVAIKKLDKTGLQGEKEFLVEFLMLSLLHHPNLVNLIGYCAEGDQRLLVYEYMPQGSLEDHLHYLTSDQKPLDWNTRMKIAVGAAQGLEYLHRGASPPVIYRDLKSSNILLGSWEPMVTVHPSTWLVEN >KJB40635 pep chromosome:Graimondii2_0_v6:7:5067365:5069493:1 gene:B456_007G071900 transcript:KJB40635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCPCFGWRKGKKLKSGEKKEPGKKPKPPVSPGIGHGTKSSAALRQEPSAPKEEPNAGNRAQTFTYRQLAKATKNFRSESMIGQGGFGAVYKGILESSGQVVAIKKLDKTGLQGEKEFLVEFLMLSLLHHPNLVNLIGYCAEGDQRLLVYEYMPQGSLEDHLHYLTSDQKPLDWNTRMKIAVGAAQGLEYLHRGASPPVIYRDLKSSNILLGSWEPMVTVHPSTWLVEN >KJB46394 pep chromosome:Graimondii2_0_v6:7:59745456:59750272:1 gene:B456_007G365300 transcript:KJB46394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIAFDLTVELITKLSSFTLSQIGLWWNVKDDLDDLKSTVSTIKAVLLDAEERSVTSHHVKDWLEKLKDVLYDADDLLDDFSTEAFRKDLLGGNKLTKEVRLFFSSSNQFAYSLKMGRQIKAIKARLTLIGSEAKMFNLRHQTHSFKDNIIGRDDDKAALLKLMLEFESEENVYIIPIVGLGGLGKTALAQFVYNDEMVKNHFELMMFVCVSDVFDVKIIVENIIKSATGQAPNQKLEMDQLQSQLRGKIGGKKYLLVLDDIWNEEWEEWVSLKELLVGGAKGSRIIVTTRSLRVAKITSKCQPYVLKGLSDDDAWSLFKEIAFEQRSINPTFVKIGKQILERCGGVPLVIRTIAGALSFKETEKEWSSFKDNELARISQNEGKILATLKLSYDHLPSHLKHCFAYCRLYPKDHKIHVQTLVQFWIAQGFVKQLNPSQSLEEIGFVYFKDLNERGFFQEVGERYSWEGLTCKMHDLMHDLAESVAGTESSIVDSNEIASKVGEKCRHISIDPSLIVLFKGKKLRTMLHFPNYERNQNMNDEIWDFIISNYRCLRVLELNGLDFKMIPRSICKLKHLRYLDLSGNFNIKILPKSICKIQNLQALKLNLCWELEELPKKIEKLVNLTHLGCEDCSGLTHMPRGIGKLTSLETLSKFVVDKDGSRDGADLSELSGLNNLTGRLEITNLGFVKNAKEKFKAANLKEKQHLRLLVLVWNDYGDDDDDSGNDDDDDDKSLEDLRPHPNLKELFIGGWRSDAKFPSWLSLLTNLVVIRIWGPSNFKQIPSFAQLPCLQKLSIVDLTLLEYMDDNSPKGSQGEPESFFPSLKSLCLENCPNMKSWWRKSSIDDDNEDDTTVIGTSTMAFPCLSSLEIVNCPLTSMPLYPSLDDDLRLVKTSSRPLKQTMKMNITSTTPSSSTSSLPLSKLKSFHVHKIEGLDTHMLDEYLKHFTGLKKLTIGDCKEVDLEGMQWEALKNLSHLGIINIPQLVSLPLWLQHLVQLKRLEIWNCSGLRSLFPVFQHLTFLEDLLVSKCKELELSAAGLQIFQDQTRLRSLALENISKCRHLPEWIQHLTNLQILSLVDWPNLTSLPDEMRCLTNLQQLNIHEVPQLEERCQKDIGADWYKIAHIPSVTHWPAVGYI >KJB44641 pep chromosome:Graimondii2_0_v6:7:43443484:43449183:-1 gene:B456_007G262900 transcript:KJB44641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIQQRASSILLLNIQWKDMEKVLDSAQSSIEERLKEVISKEEEMEERAKKLEKEIQRRESSVKEQFETLKVKEEELGRQFRDLELGKKYCEERLREVELEQKELEEKQGEFVLKEKAFDKCCRDFELEKKDLERSRKDLQFSVEQCEQQCKEFKLMEKVVNDKLGEIGLKENDLENRLSDFVLREQQFGLKEKSFEKRCMEFELEEKALEERRRDLEVSVKQCERQCEEFKLMEKSVKDKLEEVGVKEKYLEKCLSEYELKEVQFELKEKSFVKRYMEFEREEKAFKERCRDLEVNVKLYEQKYKRLEVTEKWVQKQLEEIKRKEEEFELRERDLGQRCRVVELSENCLQNGIKDLKLKSEQCEERFRELKLMEESVTTRFEDLEEKEEKFRWKLDHFEQRSTDYTMKETSLEKGRQDLDAKQKHNEECLREINLREKRIEESSEELARKYKLQFEDLECKVKQYDQRFMELELKEKLVNDQFEQIEAKEEQLGLKERHFEQCSKDFELKEKCHYKEFELKEKCLEVLYRELKAKEKHYDECLRKVELRKKEIEELSAEHRRKYEQQSSDLEFIVKKCEQQFKDLHSKEKKLAEWSKELERKSLASAMHPQVKNEAAGSLSIKCSMDHSSPAHLRFCIHMDGKDLQMFLNGRWKEHGSIGTEVAMALQLSGDPAKLVLDAMEGFYPPHLSKGDREFEGDVARRSCILLLEQLMKLSPEIKPNVRKEAMKLAFDWITKMRVEPGHELEVLGFLRLLASFQLANAFDADELVNFLVFAAQHIHAPELFKVLGLGDKIKGFIRKLVEKKQHMEAIRFIYAFEQVNEFPPVPVLKDFINHSKIEAKRIFRNGNKTPEARNEADIKRLADLRAVVKCIEDHKLEEEYRPYNLKNLKDLIISLEKENKSSSLTSPKANPVLCTARETDTPSQQHGGIKRPREFMETQIVGDAPLGATATKMDSKHLKGLKQDEKVPPCPLGEQMEEPNCRPLQQQDSEKKHNATTGAPAVPSLVLPAASSTAPATISAPKQQENELKCPGTALSQTHSNPNPYPVTEYYSWEALARHGDEVGS >KJB44639 pep chromosome:Graimondii2_0_v6:7:43443484:43449175:-1 gene:B456_007G262900 transcript:KJB44639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLTDLELIELNKANLRRTMDEIQQRASSILLLNIQWKDMEKVLDSAQSSIEERLKEVISKEEEMEERAKKLEKEIQRRESSVKEQFETLKVKEEELGRQFRDLELGKKYCEERLREVELEQKELEEKQGEFVLKEKAFDKCCRDFELEKKDLERSRKDLQFSVEQCEQQCKEFKLMEKVVNDKLGEIGLKENDLENRLSDFVLREQQFGLKEKSFEKRCMEFELEEKALEERRRDLEVSVKQCERQCEEFKLMEKSVKDKLEEVGVKEKYLEKCLSEYELKEVQFELKEKSFVKRYMEFEREEKAFKERCRDLEVNVKLYEQKYKRLEVTEKWVQKQLEEIKRKEEEFELRERDLGQRCRVVELSENCLQNGIKDLKLKSEQCEERFRELKLMEESVTTRFEDLEEKEEKFRWKLDHFEQRSTDYTMKETSLEKGRQDLDAKQKHNEECLREINLREKRIEESSEELARKYKLQFEDLECKVKQYDQRFMELELKEKLVNDQFEQIEAKEEQLGLKERHFEQCSKDFELKEKCHYKEFELKEKCLEVLYRELKAKEKHYDECLRKVELRKKEIEELSAEHRRKYEQQSSDLEFIVKKCEQQFKDLHSKEKKLAEWSKELERKSLASAMHPQVKNEAAGSLSIKCSMDHSSPAHLRFCIHMDGKDLQMFLNGRWKEHGSIGTEVAMALQLSGDPAKLVLDAMEGFYPPHLSKGDREFEGDVARRSCILLLEQLMKLSPEIKPNVRKEAMKLAFDWITKMRVEPGHELEVLGFLRLLASFQLANAFDADELVNFLVFAAQHIHAPELFKVLGLGDKIKGFIRKLVEKKQHMEAIRFIYAFEQVNEFPPVPVLKDFINHSKIEAKRIFRNGNKTPEARNEADIKRLADLRAVVKCIEDHKLEEEYRPYNLKNLKDLIISLEKENKSSSLTSPKANPVLCTARETDTPSQQHGGIKRPREFMETQIVGDAPLGATATKMDSKHLKGLKQDEKVPPCPLGEQMEEPNCRPLQQQDSEKKHNATTGAPAVPSLVLPAASSTAPATISAPKQQENELKCPGTALSQTHSNPNPYPVTEYYSWEALARHGDEVGS >KJB44642 pep chromosome:Graimondii2_0_v6:7:43445656:43449175:-1 gene:B456_007G262900 transcript:KJB44642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLTDLELIELNKANLRRTMDEIQQRASSILLLNIQWKDMEKVLDSAQSSIEERLKEVISKEEEMEERAKKLEKEIQRRESSVKEQFETLKVKEEELGRQFRDLELGKKYCEERLREVELEQKELEEKQGEFVLKEKAFDKCCRDFELEKKDLERSRKDLQFSVEQCEQQCKEFKLMEKVVNDKLGEIGLKENDLENRLSDFVLREQQFGLKEKSFEKRCMEFELEEKALEERRRDLEVSVKQCERQCEEFKLMEKSVKDKLEEVGVKEKYLEKCLSEYELKEVQFELKEKSFVKRYMEFEREEKAFKERCRDLEVNVKLYEQKYKRLEVTEKWVQKQLEEIKRKEEEFELRERDLGQRCRVVELSENCLQNGIKDLKLKSEQCEERFRELKLMEESVTTRFEDLEEKEEKFRWKLDHFEQRSTDYTMKETSLEKGRQDLDAKQKHNEECLREINLREKRIEESSEELARKYKLQFEDLECKVKQYDQRFMELELKEKLVNDQFEQIEAKEEQLGLKERHFEQCSKDFELKEKCHYKEFELKEKCLEVLYRELKAKEKHYDECLRKVELRKKEIEELSAEHRRKYEQQSSDLEFIVKKCEQQFKDLHSKEKKLAEWSKELERKSLASAMHPQVKNEAAGSLSIKCSMDHSSPAHLRFCIHMDGKDLQMFLNGRWKEHGSIGTEVAMALQLSGDPAKLVLDAMEGFYPPHLSKGDREFEGDVARRSCILLLEQLMKLSPEIKPNVRKEAMKLAFDWITKMRVEPGHELEVLGFLRLLASFQLANAFDADELVNFLVFAAQHIHAPELFKVLGLGDKIKGKFIKLFYLL >KJB44640 pep chromosome:Graimondii2_0_v6:7:43443484:43448313:-1 gene:B456_007G262900 transcript:KJB44640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLTDLELIELNKANLRRTMDEIQQRASSILLLNIQWKDMEKVLDSAQSSIEERLKEVISKEEEMEERAKKLEKEIQRRESSVKEQFETLKVKEEELGRQFRDLELGKKYCEERLREVELEQKELEEKQGEFVLKEKAFDKCCRDFELEKKDLERSRKDLQFSVEQCEQQCKEFKLMEKVVNDKLGEIGLKENDLENRLSDFVLREQQFGLKEKSFEKRCMEFELEEKALEERRRDLEVSVKQCERQCEEFKLMEKSVKDKLEEVGVKEKYLEKCLSEYELKEVQFELKEKSFVKRYMEFEREEKAFKERCRDLEVNVKLYEQKYKRLEVTEKWVQKQLEEIKRKEEEFELRERDLGQRCRVVELSENCLQNGIKDLKLKSEQCEERFRELKLMEESVTTRFEDLEEKEEKFRWKLDHFEQRSTDYTMKETSLEKGRQDLDAKQKHNEECLREINLREKRIEESSEELARKYKLQFEDLECKVKQYDQRFMELELKEKLVNDQFEQIEAKEEQLGLKERHFEQCSKDFELKEKCHYKEFELKEKCLEVLYRELKAKEKHYDECLRKVELRKKEIEELSAEHRRKYEQQSSDLEFIVKKCEQQFKDLHSKEKKLAEWSKELERKSLASAMHPQVKNEAAGSLSIKCSMDHSSPAHLRFCIHMDGKDLQMFLNGRWKEHGSIGTEVAMALQLSGDPAKLVLDAMEGFYPPHLSKGDREFEGDVARRSCILLLEQLMKLSPEIKPNVRKEAMKLAFDWITKMRVEPGHELEVLGFLRLLASFQLANAFDADELVNFLVFAAQHIHAPELFKVLGLGDKIKGFIRKLVEKKQHMEAIRFIYAFEQVNEFPPVPVLKDFINHSKIEAKRIFRNGNKTPEARNEADIKRLADLRAVVKCIEDHKLEEEYRPYNLKNLKDLIISLEKENKSSSLTSPKANPVLCTARETDTPSQQHGGIKRPREFMETQIVGDAPLGATATKMDSKHLKGLKQDEKVPPCPLGEQMEEPNCRPLQQQDSEKKHNATTGAPAVPSLVLPAASSTAPATISAPKQQENELKCPGTALSQTHSNPNPYPVTEYYSWEALARHGDEVGS >KJB45908 pep chromosome:Graimondii2_0_v6:7:56130102:56132610:-1 gene:B456_007G336800 transcript:KJB45908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSEQTEQQQQQQQPVMGVVAGTGPMGYSTGPYQAAPVAASGTPATAVPSPTQPQATFSGSPHQLAYQQAQQFHHQQQQQQHQQLQMFWANQMQEIEHASDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEIFVLELTLRSWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGVTKATIPFVGSPTDMPYYYVPQHPVGHAGMTMGKPVDQAALYPGQQPRPPVAFVPWPHGQPQPPQPQQSEQQQSDS >KJB45907 pep chromosome:Graimondii2_0_v6:7:56130102:56132611:-1 gene:B456_007G336800 transcript:KJB45907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSEQTEQQQQQQQPVMGVVAGTGPMGYSTGPYQAAPVAASGTPATAVPSPTQPQATFSGSPHQLAYQQAQQFHHQQQQQQHQQLQMFWANQMQEIEHASDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEIFVLELTLRSWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGVTKATIPFVGSPTDMPYYYVPQHPVGHAGMTMGKPVDQAALYPGQQPRPPVAFVPWPHGQPQPPQPQQSEQQQSDS >KJB39381 pep chromosome:Graimondii2_0_v6:7:764245:770747:-1 gene:B456_007G009800 transcript:KJB39381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKIQIKRIENATSRQVTFSKRRNGLLKKAYELYVLCDAEVAVIIFSNKGKLYEFSSCDNMQNTIERYRQYKKDVQSNTPQIERYRQQLRLEAENMAKKIEFLEVSKRRMLGQNLGSCSIDELQEVENQLERSLRNIRARKGYLFKEQILQLKAKNNGTTCSQQNAEVETELFLGLPENRCS >KJB39380 pep chromosome:Graimondii2_0_v6:7:764554:770144:-1 gene:B456_007G009800 transcript:KJB39380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKIQIKRIENATSRQVTFSKRRNGLLKKAYELYVLCDAEVAVIIFSNKGKLYEFSSCDNMQNTIERYRQYKKDVQSNTPQIERYRQQLRLEAENMAKKIEFLEVSKRRMLGQNLGSCSIDELQEVENQLERSLRNIRARKGYLFKEQILQLKAKERYMQEENAKLSAKNNGTTCSQQNAEVETELFLGLPENRCS >KJB45549 pep chromosome:Graimondii2_0_v6:7:52531776:52532746:1 gene:B456_007G311500 transcript:KJB45549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGTKRFLYDSAIEKFPVDVHRHVIRSVRIFLSFGLRVDPQSPGWYENLTKILKLIKGATYTIDAEQGMALISGRANSKSILKKLKKSGSEVAWIKTGKPDTYGSHGHGYYQTNPCLQYPYQYPQHPNYHYNTNYGDPYVPNPPHFEPYGYYSTRYY >KJB42391 pep chromosome:Graimondii2_0_v6:7:12770551:12779033:-1 gene:B456_007G150400 transcript:KJB42391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEEAEGHEDQSQSTIWELDHGFEEEAVKVDGGVQNPVHSGSTASPRLLPLGHRRTQSEFTTAGHKRTNSFQRLKTQMQRAWRWGGNSRDERYRPSFNPEVLANQKRQWYQLHSKSMDRIKYEHPKSIFEHFIIVGIHPDASLGEMEKDFAKRKKWEMEMKKSGIIDLKIIQHHGPPLPTFEPQLLFRYPPGKRIPMRLKDLANFCFPGGVKGRLLERTPSFSDLNELLYGQEHLATDDLAFIFSLKVAGNATVYGVCLHVPELVQRQPGILDGTSPISTSTGACSQFLVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLNRVTEFVNEMSLSLNDYAPSVTKLDEQMNDNTESLDGEYVNDWMASAISVNNALTHSPIAAGTIADDEVSSVSLKISSPMSPESVAASEASDLGHLNEIEKDGRKNVLYFDDNISETSEYRSVASERINGNYENGQASPEVGTFVGMRSRTMDRMGSSQSLFSPTRSLALEDDDEDDDLFSNPERDFRDDFILEWARENKNDILQIVCAYHALSIPPQGKEILFQPLEHLQAIEYVRPPVSAFGLDESYLSSFEPSEVDAKLAAAEEAFALSVWTTATICRVLSLDSILAVLAGVLLEKQVVVISPNLGVLSAVVLSIVPLIRPFQWQSLFLPVLPMRMLDFLDAPVPFLVGVQHKPNELKMKTSNLVQVNLLKNQVKSCYLPTLPQHKELVTQLRSIHSRLSYEGSIANKHPTYRCNEVQAEAATQFLTIMRQYLESLCANLRSHTITSVQSNHDRVSLLLKDSFIDSFPSKDQPFIKLFVDTQLFSVLSDSRLSSFENEH >KJB42394 pep chromosome:Graimondii2_0_v6:7:12770917:12779033:-1 gene:B456_007G150400 transcript:KJB42394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEEAEGHEDQSQSTIWELDHGFEEEAVKVDGGVQNPVHSGSTASPRLLPLGHRRTQSEFTTAGHKRTNSFQRLKTQMQRAWRWGGNSRDERYRPSFNPEVLANQKRQWYQLHSKSMDRIKYEHPKSIFEHFIIVGIHPDASLGEMEKDFAKRKKWEMEMKKSGIIDLKIIQHHGPPLPTFEPQLLFRYPPGKRIPMRLKDLANFCFPGGVKGRLLERTPSFSDLNELLYGQEHLATDDLAFIFSLKVAGNATVYGVCLHVPELVQRQPGILDGTSPISTSTGACSQFLVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLNRVTEFVNEMSLSLNDYAPSVTKLDEQMNDNTESLDGEYVNDWMASAISVNNALTHSPIAAGTIADDEVSSVSLKISSPMSPESVAASEASDLGHLNEIEKDGRKNVLYFDDNISETSEYRSVASERINGNYENGQASPEVGTFVGMRSRTMDRMGSSQSLFSPTRSLALEDDDEDDDLFSNPERDFRDDFILEWARENKNDILQIVCAYHALSIPPQGKEILFQPLEHLQAIEYVRPPVSAFGLDESYLSSFEPSEVDAKLAAAEEAFALSVWTTATICRVLSLDSILAVLAGVLLEKQVVVISPNLGVLSAVVLSIVPLIRPFQWQSLFLPVLPMRMLDFLDAPVPFLVGVQHKPNELKMKTSNLVQVNLLKNQVKSCYLPTLPQHKELVTQLRSIHSRLSYEGSIANKHPTYRCNEVQAEAATQFLTIMRQYLESLCANLRSHTITSVQSNHDRVSLLLKDSFIDSFPSKDQPFIKP >KJB42392 pep chromosome:Graimondii2_0_v6:7:12770086:12779775:-1 gene:B456_007G150400 transcript:KJB42392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEEAEGHEDQSQSTIWELDHGFEEEAVKVDGGVQNPVHSGSTASPRLLPLGHRRTQSEFTTAGHKRTNSFQRLKTQMQRAWRWGGNSRDERYRPSFNPEVLANQKRQWYQLHSKSMDRIKYEHPKSIFEHFIIVGIHPDASLGEMEKDFAKRKKWEMEMKKSGIIDLKIIQHHGPPLPTFEPQLLFRYPPGKRIPMRLKDLANFCFPGGVKGRLLERTPSFSDLNELLYGQEHLATDDLAFIFSLKVAGNATVYGVCLHVPELVQRQPGILDGTSPISTSTGACSQFLVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLNRVTEFVNEMSLSLNDYAPSVTKLDEQMNDNTESLDGEYVNDWMASAISVNNALTHSPIAAGTIADDEVSSVSLKISSPMSPESVAASEASDLGHLNEIEKDGRKNVLYFDDNISETSEYRSVASERINGNYENGQASPEVGTFVGMRSRTMDRMGSSQSLFSPTRSLALEDDDEDDDLFSNPERDFRDDFILEWARENKNDILQIVCAYHALSIPPQGKEILFQPLEHLQAIEYVRPPVSAFGLDESYLSSFEPSEVDAKLAAAEEAFALSVWTTATICRVLSLDSILAVLAGVLLEKQVVVISPNLGVLSAVVLSIVPLIRPFQWQSLFLPVLPMRMLDFLDAPVPFLVGVQHKPNELKMKTSNLVQVNLLKNQVKSCYLPTLPQHKELVTQLRSIHSRLSYEGSIANKHPTYRCNEVQAEAATQFLTIMRQYLESLCANLRSHTITSVQSNHDRVSLLLKDSFIDSFPSKDQPFIKVHCIRISFLDAKLHQI >KJB42393 pep chromosome:Graimondii2_0_v6:7:12770086:12780550:-1 gene:B456_007G150400 transcript:KJB42393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEEAEGHEDQSQSTIWELDHGFEEEAVKVDGGVQNPVHSGSTASPRLLPLGHRRTQSEFTTAGHKRTNSFQRLKTQMQRAWRWGGNSRDERYRPSFNPEVLANQKRQWYQLHSKSMDRIKYEHPKSIFEHFIIVGIHPDASLGEMEKDFAKRKKWEMEMKKSGIIDLKIIQHHGPPLPTFEPQLLFRYPPGKRIPMRLKDLANFCFPGGVKGRLLERTPSFSDLNELLYGQEHLATDDLAFIFSLKVAGNATVYGVCLHVPELVQRQPGILDGTSPISTSTGACSQFLVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLNRVTEFVNEMSLSLNDYAPSVTKLDEQMNDNTESLDGEYVNDWMASAISVNNALTHSPIAAGTIADDEVSSVSLKISSPMSPESVAASEASDLGHLNEIEKDGRKNVLYFDDNISETSEYRSVASERINGNYENGQASPEVGTFVGMRSRTMDRMGSSQSLFSPTRSLALEDDDEDDDLFSNPERDFRDDFILEWARENKNDILQIVCAYHALSIPPQGKEILFQPLEHLQAIEYVRPPVSAFGLDESYLSSFEPSEVDAKLAAAEEAFALSVWTTATICRVLSLDSILAVLAGVLLEKQVVVISPNLGVLSAVVLSIVPLIRPFQWQSLFLPVLPMRMLDFLDAPVPFLVGVQHKPNELKMKTSNLVQVNLLKNQVKSCYLPTLPQHKELVTQLRSIHSRLSYEGSIANKHPTYRCNEVQAEAATQFLTIMRQYLESLCANLRSHTITSVQSNHDRVSLLLKDSFIDSFPSKDQPFIKVHCIRISFLDAKLHQI >KJB42395 pep chromosome:Graimondii2_0_v6:7:12770551:12779033:-1 gene:B456_007G150400 transcript:KJB42395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEEAEGHEDQSQSTIWELDHGFEEEAVKVDGGVQNPVHSGSTASPRLLPLGHRRTQSEFTTAGHKRTNSFQRLKTQMQRAWRWGGNSRDERYRPSFNPEVLANQKRQWYQLHSKSMDRIKYEHPKSIFEHFIIVGIHPDASLGEMEKDFAKRKKWEMEMKKSGIIDLKIIQHHGPPLPTFEPQLLFRYPPGKRIPMRLKDLANFCFPGGVKGRLLERTPSFSDLNELLYGQEHLATDDLAFIFSLKVAGNATVYGVCLHVPELVQRQPGILDGTSPISTSTGACSQFLVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLNRVTEFVNEMSLSLNDYAPSVTKLDEQMNDNTESLDGEYVNDWMASAISVNNALTHSPIAAGTIADDEVSSVSLKISSPMSPESVAASEASDLGHLNEIEKDGRKNVLYFDDNISETSEYRSVASERINGNYENGQASPEVGTFVGMRSRTMDRMGSSQSLFSPTRSLALEDDDEDDDLFSNPERDFRDDFILEWARENKNDILQIVCAYHALSIPPQGKEILFQPLEHLQAIEYVRPPVSAFGLDESYLSSFEPSEVDAKLAAAEEAFALSVWTTATICRVLSLDSILAVLAGVLLEKQVVVISPNLGVLSAVVLSIVPLIRPFQWQSLFLPVLPMRMLDFLDAPVPFLVGVQHKPNELKMKTSNLVQVNLLKNQVKSCYLPTLPQHKELVTQLRSIHSRLSYEGSIANKHPTYRCNEVQAEAATQFLTIMRQYLESLCANLRSHTITSVQSNHDRVSLLLKDSFIDSFPSKDQPFIKLFVDTQLFSVLSDSRLSSFENEH >KJB42390 pep chromosome:Graimondii2_0_v6:7:12770086:12779775:-1 gene:B456_007G150400 transcript:KJB42390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEEAEGHEDQSQSTIWELDHGFEEEAVKVDGGVQNPVHSGSTASPRLLPLGHRRTQSEFTTAGHKRTNSFQRLKTQMQRAWRWGGNSRDERYRPSFNPEVLANQKRQWYQLHSKSMDRIKYEHPKSIFEHFIIVGIHPDASLGEMEKDFAKRKKWEMEMKKSGIIDLKIIQHHGPPLPTFEPQLLFRYPPGKRIPMRLKDLANFCFPGGVKGRLLERTPSFSDLNELLYGQEHLATDDLAFIFSLKVAGNATVYGVCLHVPELVQRQPGILDGTSPISTSTGACSQFLVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLNRVTEFVNEMSLSLNDYAPSVTKLDEQMNDNTESLDGEYVNDWMASAISVNNALTHSPIAAGTIADDEVSSVSLKISSPMSPESVAASEASDLGHLNEIEKDGRKNVLYFDDNISETSEYRSVASERINGNYENGQASPEVGTFVGMRSRTMDRMGSSQSLFSPTRSLALEDDDEDDDLFSNPERDFRDDFILEWARENKNDILQIVCAYHALSIPPQGKEILFQPLEHLQAIEYVRPPVSAFGLDESYLSSFEPSEVDAKLAAAEEAFALSVWTTATICRVLSLDSILAVLAGVLLEKQVVVISPNLGVLSAVVLSIVPLIRPFQWQSLFLPVLPMRMLDFLDAPVPFLVGVQHKPNELKMKTSNLVQVNLLKNQVKSCYLPTLPQHKELVTQLRSIHSRLSYEG >KJB39298 pep chromosome:Graimondii2_0_v6:7:457073:459218:-1 gene:B456_007G005600 transcript:KJB39298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSMKKIHKDKDVEPTEFEESVAQAFFDLENTNQDLKSDLKDLYINSAVQIDVSGSRKAVVVHVPYRLRKAFRKVHVKLVRELEKKFSGKDVILIATRRILRPPKKGSAVQRPRSRTLTAVHEAMLEDVVLPAEIVGKRTRYRIDGSKIMKVFLDPKERNNTEYKLETFSAVYRKLAGKDVVFEFPVTEA >KJB39299 pep chromosome:Graimondii2_0_v6:7:457091:459028:-1 gene:B456_007G005600 transcript:KJB39299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSMKKIHKDKDVEPTEFEESVAQAFFDLENTNQDLKSDLKDLYINSAVQIDVSGSRKAVVVHVPYRLRKAFRKVHVKLVRELEKKFSGKDVILIATRRILRPPKKGSAVQRPRSRTLTAVHEAMLEDVVLPAEIVGKRTRYRIDGSKIMKVFLDPKERNNTEYKLETFSAVYRKLAGKDVVFEFPVTEA >KJB45113 pep chromosome:Graimondii2_0_v6:7:49637952:49642327:1 gene:B456_007G290200 transcript:KJB45113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFETIHILITKLELVASHEPNFPGLKTDVINNRIKELKRLREIIETSNKTIDDEWDNLLKDTFSLEDDIEVLLGRTVLQSHKVWRKRRTSSSFSSDGSLSNKIEQLTKGIRSYSKKIQSSPLSDSGVPAVVLNPPAKPSTDLEDLQVSTDNETVSSIASTIVLTDLEKNLSQQVLSRLTLQYLLLTVNGAYLGKAILLWRVYNADDIKRHFQCRAWIRVSEEIGQREISLTILKQVTDGQEEEQLPLQSPQRTLHDFLFSKRYLIVLYGVQTAEFWNNIKAAFPYSLNGSKVITVVQNENVARKINSWIGGRHYFPNLKKLKGQLIDGLPQSSTYLEEERGMTGVKAAIDKLTQSILNPLILLFLISIKGTVGSGRRTLLWPIYNAKDIKQRFQCRAWVHVPQEYHEIDILTDIFEQVTSVKLKERLTVELLRKRLHNFLAQKRYLVVLCDVWMSKIWCSFQISLPNSLNGSRVIFTLSEGEANSGIMRKFPHLEDVLKDFSDQTVVENCNKLSDGKDEKSSIVGLADKRRELAELTLNSYKLHFLISVLGVAGSGKTALVWTIYDSVASKRHFECRAWVNVPLKLDEFNERQLLIDLLGQLRNAKQKESLTLEKLRERLQLFLTWKRFLIVLDDVPTPDVWERLNHVFPNLSNGSRVIITTRNAYLAYHINPETVVLQLRPLTDDESWELFLNKVKNMQGDINLKEKILQICHGLPLRIVLLGGLLSRKDNYVEWTSVINHPIPKLEKKKKVRTEDQMNPSGKSASLYTKQKHEKKAIMIDPKTATTDGKDFPNQSKQKEEKRTLQKEATSVGDLSNSDIVALAYQDLAPALGCCLLYLGLFPKSYEIPLRRLYQLWLAEGFVTATDQVKTPPEKLVEEYFEELKCRNMIEVTKHKLDGRPKACRVPNTIYNDLFLDTEKVGFFHFSNSSGICGSPWFNIRRLSEDSDISSHSKHLETRVQRLRSYISFYGKRRDAPTYGVNELLSKVVDKGFGMLVVLDLEGVYKPVLSDTLGKLPYLKYLGLRRTLLDDVPQSVGDLHHLETLDIKHTFITKLPSTIWKAKKLQHLYMSDIDVDLSSLKPFSSGSLNNLKILCGLVIKNASPKRNWMKSLVDLRKLKLTCNEASHEVIGPWITLMEKLQSLKLRLIDKFNKPLDLIVEDLQKWHQMGLSQLYLLGKLPKQIGFPENLEILTLSMTHLSEDPMKKLGELKRLKVLRLYAQSFLGTKMTCDPPGFPQLRVLKLWMLYKLKCWTVKERAMPKLREVEIRSCKNLKKPDGLENLAALEELALTNMKEDFIADVERSMDSKVTIMKNFYFSPGWEQ >KJB41204 pep chromosome:Graimondii2_0_v6:7:7305876:7306961:1 gene:B456_007G098900 transcript:KJB41204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLETRVDSRRAESEPDSEEDLEELESDVKKMAEKILEYRSAIPDQLKTTLASALSYQAPDFSGIDEAGLSGERNPGSEDRELDTEKRTKEKIQLLKEKISSNISAMPVLVNRMKECISRIEELDSGDSVIHPAFKKRISCSSNEG >KJB41828 pep chromosome:Graimondii2_0_v6:7:9820626:9823562:1 gene:B456_007G123500 transcript:KJB41828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWITRFLTAVAFLAVGVIFSPETFGSKSDGTKSPNLSSFIKLAHLLSFSTAWGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCCAISVAAFGYLHPWKSATMPEKYQLGFLVSAFAFNLSNLFVFTPMTIEMMKQRHKVEREANIGDEIGWSKNQEAAKTNPKLAAMNKKFGMIHGLSSLANIMSFGSLAMHSWFLAGSRALAWVGPKSVTTLATAKSITKKKSTKKCKSPLPQNSS >KJB41825 pep chromosome:Graimondii2_0_v6:7:9820678:9823562:1 gene:B456_007G123500 transcript:KJB41825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWITRFLTAVAFLAVGVIFSPETFGSKSDGTKSPNLSSFIKLAHLLSFSTAWGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCCAISVAAFGYLHPWKSATMPEKYQLGFLVSAFAFNLSNLFVFTPMTIEMMKQRHKVEREANIGDEIGWSKNQEAAKTNPKLAAMNKKFGMIHGLSSLANIMSFGSLAMHSWFLAVSSTLLH >KJB41829 pep chromosome:Graimondii2_0_v6:7:9820678:9823827:1 gene:B456_007G123500 transcript:KJB41829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWITRFLTAVAFLAVGVIFSPETFGSKSDGTKSPNLSSFIKLAHLLSFSTAWGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCCAISVAAFGYLHPWKSATMPEKYQLGFLVSAFAFNLSNLFVFTPMTIEMMKQRHKVEREANIGDEIGWSKNQEAAKTNPKLAAMNKKFGMIHGLSSLANIMSFGSLAMHSWFLAGSRALAWVGPKSVTTLATAKSITKKKSTKKCKSPLPQNSS >KJB41824 pep chromosome:Graimondii2_0_v6:7:9820794:9821941:1 gene:B456_007G123500 transcript:KJB41824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWITRFLTAVAFLAVGVIFSPETFGSKSDGTKSPNLSSFIKLAHLLSFSTAWGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCCAISVAAFGYLHPWKSATMPEKYQLGFLVSAFAFNLSNLFVFTPMTIEMMKQRHKVEREANIGDEIGWSKNQEAAKTNPKLAAMNKKFGMIHGLSSLANIMSFGSLAMHSWFLAGKLNL >KJB41826 pep chromosome:Graimondii2_0_v6:7:9820794:9822300:1 gene:B456_007G123500 transcript:KJB41826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWITRFLTAVAFLAVGVIFSPETFGSKSDGTKSPNLSSFIKLAHLLSFSTAWGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCCAISVAAFGYLHPWKSATMPEKYQLGFLVSAFAFNLSNLFVFTPMTIEMMKQRHKVEREANIGDEIGWSKNQEAAKTNPKLAAMNKKFGMIHGLSSLANIMSFGSLAMHSWFLAVSSTLLH >KJB41827 pep chromosome:Graimondii2_0_v6:7:9820678:9823562:1 gene:B456_007G123500 transcript:KJB41827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWITRFLTAVAFLAVGVIFSPETFGSKSDGTKSPNLSSFIKLAHLLSFSTAWGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCCAISVAAFGYLHPWKSATMPEKYQLGFLVSAFAFNLSNLFVFTPMTIEMMKQRHKVEREANIGDEIGWSKNQEAAKTNPKLAAMNKKFGMIHGLSSLANIMSFGSLAMHSWFLADCVLCGQNKLGVVLFILIMQ >KJB43139 pep chromosome:Graimondii2_0_v6:7:17848152:17851289:-1 gene:B456_007G186300 transcript:KJB43139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNNNSLSGACPLALANMTQLAFLDLSYNNLSGPVPRFAAKTFSIVGNPIICATGAEPECHGMTLMPMSMNLNNSQDAQPSSQTRSHKIAIAFGSSIGCVSLMFLILGILLWWRRRRNEQMFFDVKDRHHEEVSLGNLRRFQFRELQVATHNFSSKNILGKGGFGNVYKGVLQDGTIVAVKRLKDGSAAGGEIQFQTEVEMISLAVHRNLLRLYGFCITPTEKLLVYPYMSNGSVASRLKGKPVLDWSTRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHHDSHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVRKIHHEKKLEVLVDKDLKNNYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRAESTKCKPPHEFSSSDRYSDLTDDSSLLVQAMELSGPR >KJB43138 pep chromosome:Graimondii2_0_v6:7:17848150:17852093:-1 gene:B456_007G186300 transcript:KJB43138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRRREMGFYFLAFLWFWVPINGLLSPKGVNYEVQALMAIKDALHDPHGVLDNWDADAVDPCSWAMVTCSPESLVIGLGSPSQNLSGTLSPSIGNLTNLRIVLLQNNNITGPIPSELGKLSKIQTLDLSDNSFTGEIPTSLGNLRSLQYMRLNNNSLSGACPLALANMTQLAFLDLSYNNLSGPVPRFAAKTFSIVGNPIICATGAEPECHGMTLMPMSMNLNNSQDAQPSSQTRSHKIAIAFGSSIGCVSLMFLILGILLWWRRRRNEQMFFDVKDRHHEEVSLGNLRRFQFRELQVATHNFSSKNILGKGGFGNVYKGVLQDGTIVAVKRLKDGSAAGGEIQFQTEVEMISLAVHRNLLRLYGFCITPTEKLLVYPYMSNGSVASRLKGKPVLDWSTRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHHDSHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVRKIHHEKKLEVLVDKDLKNNYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRAESTKCKPPHEFSSSDRYSDLTDDSSLLVQAMELSGPR >KJB45650 pep chromosome:Graimondii2_0_v6:7:53292106:53295237:-1 gene:B456_007G318200 transcript:KJB45650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPNKGRKMSSSNSNRKLPTIKIHLPTSPHHSTSAASSPSPVAGARRKIGVAVDLSEESAYAVRWAVQNYLRPGDAVILLHVSPTNVLYGADWGPLSQAQQSPRTPETQKQLEDDFDAFTASKAADLAKPLKEAGFPFKIHIAKDHDMRERLCLELERLGLSAVIMGSRGCGAEKRGNDGRLGSVTDYCVHHCVCPVVVVRHPDEKDGGNGQPVVADKDARVEEKGGKC >KJB45648 pep chromosome:Graimondii2_0_v6:7:53294504:53295196:-1 gene:B456_007G318200 transcript:KJB45648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPNKGRKMSSSNSNRKLPTIKIHLPTSPHHSTSAASSPSPVAGARRKIGVAVDLSEESAYAVRWAVQNYLRPGDAVILLHVSPTNVLYGADWGPLSQAQQSPRTPETQKQLEDDFDAFTASKAADLAKPLKEAGFPFKIHIAKDHDMRERLCLELERLGLSAVIMGSRGCGAEKRGNDGRLGSVTDYCVHHCVCPVVVVRHPDEKDGGNGQPVVADKDARVEEKGGKC >KJB45647 pep chromosome:Graimondii2_0_v6:7:53294504:53295196:-1 gene:B456_007G318200 transcript:KJB45647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPNKGRKMSSSNSNRKLPTIKIHLPTSPHHSTSAASSPSPVAGARRKIGVAVDLSEESAYAVRWAVQNYLRPGDAVILLHVSPTNVLYGADWGPLSQAQQSPRTPETQKQLEDDFDAFTASKAADLAKPLKEAGFPFKIHIAKDHDMRERLCLELERLGLSAVIMGSRGCGAEKRGNDGRLGSVTDYCVHHCVCPVVVVRHPDEKDGGNGQPVVADKDARVEEKGGKC >KJB45652 pep chromosome:Graimondii2_0_v6:7:53294504:53295196:-1 gene:B456_007G318200 transcript:KJB45652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPNKGRKMSSSNSNRKLPTIKIHLPTSPHHSTSAASSPSPVAGARRKIGVAVDLSEESAYAVRWAVQNYLRPGDAVILLHVSPTNVLYGADWGPLSQAQQSPRTPETQKQLEDDFDAFTASKAADLAKPLKEAGFPFKIHIAKDHDMRERLCLELERLGLSAVIMGSRGCGAEKRGNDGRLGSVTDYCVHHCVCPVVVVRHPDEKDGGNGQPVVADKDARVEEKGGKC >KJB45649 pep chromosome:Graimondii2_0_v6:7:53292106:53295299:-1 gene:B456_007G318200 transcript:KJB45649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPNKGRKMSSSNSNRKLPTIKIHLPTSPHHSTSAASSPSPVAGARRKIGVAVDLSEESAYAVRWAVQNYLRPGDAVILLHVSPTNVLYGADWGPLSQAQQSPRTPETQKQLEDDFDAFTASKAADLAKPLKEAGFPFKIHIAKDHDMRERLCLELERLGLSAVIMGSRGCGAEKRGNDGRLGSVTDYCVHHCVCPVVVVRHPDEKDGGNGQPVVADKDARVEEKDA >KJB45651 pep chromosome:Graimondii2_0_v6:7:53292106:53295299:-1 gene:B456_007G318200 transcript:KJB45651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPNKGRKMSSSNSNRKLPTIKIHLPTSPHHSTSAASSPSPVAGARRKIGVAVDLSEESAYAVRWAVQNYLRPGDAVILLHVSPTNVLYGADWGPLSQAQQSPRTPETQKQLEDDFDAFTASKAADLAKPLKEAGFPFKIHIAKDHDMRERLCLELERLGLSAVIMGSRGCGAEKRGNDGRLGSVTDYCVHHCVCPVVVVRHPDEKDGGNGQPVVADKDARVEEKDA >KJB41945 pep chromosome:Graimondii2_0_v6:7:10709745:10712115:-1 gene:B456_007G132200 transcript:KJB41945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFHQVGAAFNQHLMAQRPRLPVLPTPVMPIPRGAPLPINQPMVPGIRPPVLPRPVPGYVPVPGMPPTVAPPGAPSFPGQINGLPQPPTLAPPSTVTVTATTPTSSNAAPTMATPALYQSNPAAPASGGFDNFNANAQPSEANQ >KJB41943 pep chromosome:Graimondii2_0_v6:7:10709745:10712115:-1 gene:B456_007G132200 transcript:KJB41943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFHQVGAAFNQHLMAQRPRLPVLPTPVMPIPRGAPLPINQPMVPGIRPPVLPRPVPGYVPVPGMPPTVAPPGAPSFPGQINGLPQPPTLAPPSTVTVTATTPTSSNAAPTMATPALYQSNPAAPASGGFDNFNANAQPSEANQ >KJB41942 pep chromosome:Graimondii2_0_v6:7:10710690:10711821:-1 gene:B456_007G132200 transcript:KJB41942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFHQVGAAFNQHLMAQRPRLPVLPTPVMPIPRGAPLPINQPMVPGIRPPVLPRPVPGAPGYVPVPGMPPTVAPPGAPSFPGQINGLPQPPTLAPPSTVTVTATTPTSSNAAPTMATPALYQSNPAAPASGGFDNFNANAQPSEANQ >KJB41940 pep chromosome:Graimondii2_0_v6:7:10710690:10711821:-1 gene:B456_007G132200 transcript:KJB41940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFHQVGAAFNQHLMAQRPRLPVLPTPVMPIPRGAPLPINQPMVPGIRPPVLPRPVPGAPGYVPVPGMPPTVAPPGAPSFPGQINGLPQPPTLAPPSTVTVTATTPTSSNAAPTMATPALYQSNPAAPASGGFDNFNANAQPSEANQ >KJB41939 pep chromosome:Graimondii2_0_v6:7:10710690:10711821:-1 gene:B456_007G132200 transcript:KJB41939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFHQVGAAFNQHLMAQRPRLPVLPTPVMPIPRGAPLPINQPMVPGIRPPVLPRPVPGAPGYVPVPGMPPTVAPPGAPSFPGQINGLPQPPTLAPPSTVTVTATTPTSSNAAPTMATPALYQSNPAAPASGGFDNFNANAQPSEANQ >KJB41946 pep chromosome:Graimondii2_0_v6:7:10710690:10711821:-1 gene:B456_007G132200 transcript:KJB41946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFHQVGAAFNQHLMAQRPRLPVLPTPVMPIPRGAPLPINQPMVPGIRPPVLPRPVPGYVPVPGMPPTVAPPGAPSFPGQINGLPQPPTLAPPSTVTVTATTPTSSNAAPTMATPALYQSNPAAPASGGFDNFNANAQPSEANQ >KJB41941 pep chromosome:Graimondii2_0_v6:7:10710690:10711821:-1 gene:B456_007G132200 transcript:KJB41941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFHQVGAAFNQHLMAQRPRLPVLPTPVMPIPRGAPLPINQPMVPGIRPPVLPRPVPGAPGYVPVPGMPPTVAPPGAPSFPGQINGLPQPPTLAPPSTVTVTATTPTSSNAAPTMATPALYQSNPAAPASGGFDNFNANAQPSEANQ >KJB41944 pep chromosome:Graimondii2_0_v6:7:10709662:10712115:-1 gene:B456_007G132200 transcript:KJB41944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFHQVGAAFNQHLMAQRPRLPVLPTPVMPIPRGAPLPINQPMVPGIRPPVLPRPVPGAPGYVPVPGMPPTVAPPGAPSFPGQINGLPQPPTLAPPSTVTVTATTPTSSNAAPTMATPALYQSNPAAPASGGFDNFNANAQPSEANQ >KJB41947 pep chromosome:Graimondii2_0_v6:7:10709662:10712115:-1 gene:B456_007G132200 transcript:KJB41947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFHQVGAAFNQHLMAQRPRLPVLPTPVMPIPRGAPLPINQPMVPGIRPPVLPRPVPGYVPVPGMPPTVAPPGAPSFPGQINGLPQPPTLAPPSTVTVTATTPTSSNAAPTMATPALYQSNPAAPASGGFDNFNANAQPSEANQ >KJB38903 pep chromosome:Graimondii2_0_v6:7:59080165:59082372:-1 gene:B456_007G3598002 transcript:KJB38903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPMFYVENLKDRVSNGFNLRFKGFETSIDVGDKTFVIGSNNNDSISSIEVQIYDKSRGKWVIPTVLGTEPKRYEGHSAILLNEDRIMVITWGSTPDDCIWFLEVDTEYVKQQKKALGTEVIAWSKGVRGDAEKPVVISGPSGVGKGTLINMLMKEFPTMFGFSVSHTTRAPRSIEKNGVHYHFTERSIMEKDIKDGKFLEFASVHGNLYGTSIEAVEAVADSGKRCILDIDVQGAKSVRASSLDAVFIFISPPSMAKLEERLHLRGTETEEQIQKRLRNAKAEIEQGKSLGIFDHILCNDHLEKCYDNLKKLLGLDGTTPTNPKPFTASKGINLPSKHAASKINNKILVKSETLGSGNPSKNSIVLDVSLLKGGAPGRTRGLNVFAVDPNPFSDCLNGIHKLS >KJB38905 pep chromosome:Graimondii2_0_v6:7:59080566:59082372:-1 gene:B456_007G3598002 transcript:KJB38905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPMFYVENLKDRVSNGFNLRFKGFETSIDVGDKTFVIGSNNNDSISSIEVQIYDKSRGKWVIPTVLGTEPKRYEGHSAILLNEDRIMVITWGSTPDDCIWFLEVDTEYVKQQKKALGTEVIAWSKGVRGDAEKPVVISGPSGVGKGTLINMLMKEFPTMFGFSVSHTTRAPRSIEKNGVHYHFTERSIMEKDIKDGKFLEFASVHGNLYGTSIEAVEAVADSGKRCILDIDVQGAKSVRASSLDAVFIFISPPSMAKLEERLHLRGTETEEQIQKRLRNAKAEIEQGKSLGIFDHILCNDHLEKCYDNLKVTSLSNSLSECISSFTNLDFDLVSFVFIIETPRIRRNYAN >KJB38904 pep chromosome:Graimondii2_0_v6:7:59079915:59082404:-1 gene:B456_007G3598002 transcript:KJB38904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPMFYVENLKDRVSNGFNLRFKGFETSIDVGDKTFVIGSNNNDSISSIEVQIYDKSRGKWVIPTVLGTEPKRYEGHSAILLNEDRIMVITWGSTPDDCIWFLEVDTEYVKQQKKALGTEVIAWSKGVRGDAEKPVVISGPSGVGKGTLINMLMKEFPTMFGFSVSHTTRAPRSIEKNGVHYHFTERSIMEKDIKDGKFLEFASVHGNLYGTSIEAVEAVADSGKRCILDIDVQGAKSVRASSLDAVFIFISPPSMAKLEERLHLRGTETEEQIQKRLRNAKAEIEQGKSLGIFDHILCNDHLEKCYDNLKGSIYLQNMQRRRSIIRSSLSLRLWDREIHQRTRSFSMYPCLKVELPVGPEV >KJB38902 pep chromosome:Graimondii2_0_v6:7:59079915:59082404:-1 gene:B456_007G3598002 transcript:KJB38902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPMFYVENLKDRVSNGFNLRFKGFETSIDVGDKTFVIGSNNNDSISSIEVQIYDKSRGKWVIPTVLGTEPKRYEGHSAILLNEDRIMVITWGSTPDDCIWFLEVDTEYVKQQKKALGTEVIAWSKGVRGDAEKPVVISGPSGVGKGTLINMLMKEFPTMFGFSVSHTTRAPRSIEKNGVHYHFTERSIMEKDIKDGKFLEFASVHGNLYGTSIEAVEAVADSGKRCILDIDVQGAKSVRASSLDAVFIFISPPSMAKLEERLHLRGTETEEQIQKRLRNAKAEIEQGKSLGIFDHILCNDHLEKCYDNLKKLLGLDGTTPTNPKPSSKGINLPSKHAASKINNKILVKSETLGSGNPSKNSIVLDVSLLKGGAPGRTRGLNVFAVDPNPFSDCLNGIHKLS >KJB41304 pep chromosome:Graimondii2_0_v6:7:7239013:7244455:1 gene:B456_007G098000 transcript:KJB41304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYQGIEAGIGLWGSLGNTVSMADRVQIFQGVRAYGDEVIHGCFSKVGVGRLKGLVSTTTTFIFFFFLLIAALLNRMEIRGTSIGQSIFDSRTSKTYHERIEFPLNCSNSVNLPQTCPENYPSVFQPQESSARTCPDYFRWIHQDLKQWKSSGITEDMIERGKPSADFRLVIVNGKAYVEKYNKPYQTRDVFTIWGILQLLRLYPGKVPDLDLLFYSGDKTMIMKRDYKGLNATSPPPAFHYCGEKAALDIVFPDWTFWGWAEVNIKPWEETLRAIKKGRERIKWEKREPYAYWKGNPYVAKDRVDLLKCNLSDKNDWNVRIYKQDWGKESQQGFKHSKLEDQCTHRYKIYIEGATWSVSEKYILACDSMTLLIKPKYYDFFSRSMVSMQHYWPIRRKNKCRDLKFAVEWGNNHPHEAQAIGKAGSKFIEETLTMRNVYDYMFHLLNEYSKLLKFKPTVPSKAHRVCAESVACLQKGLWKDFMVQSMVKSPSHELPCALPPPYEPQAIQASLDREDKITRQVETWETEYWKKTKP >KJB39935 pep chromosome:Graimondii2_0_v6:7:2707852:2715681:-1 gene:B456_007G039000 transcript:KJB39935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSEESAVDDQGSGWFQVKKKHRSSSKFSVQSWVGGYSTKNANNLVRGQPLSNKKGGAVQGKYRSLLRSSGGNSDGHTQDGFANSTAESNEDEKSVNYLDKCVLKKDCEDPTSPTSFVKNSNGSCAVNPKILSKDKSHMVHKIKWGDLEENVLVAHHENNIGAEIKFGDIGDDNVLGCSKNVNTSNLISCSCTDLQENTVEASMDDRSHSCEVSSLTPKDQIMEETCKEVNEVSSEIVEPQIDNEKIISAEDVYKDINTQHIKPIENSEVDPSFLSCQASETVVIPEVPDIKMEVGEPKTCEIPIVDGDSSIEMVSLDAVSFPPENIGPETLRQSNVTDCVQDGKKPDLSKAQIITALGEDDAGESKERFRERLWCFLFENLNRAVDELYLLCELECDMEQMKEAILVLEEAASDFKELTTRVEEFENVKKSSQLADGVPITLKSEHRRPHALSWEVRRMTTSPHRAEILSSSLEAFKKIQQERANRLSCNSMMSLGQDNSNCASTSGDNLKKPLMPSDVTSVDKELGIKSRKLRGGSDLTQANLNGEKRSNESGKSSKLNSVQNGRDPPKNYISSDVASSRSLLKDNPSASVIGKSRREYLGSETEKLLSRKDKTLTENIVEKKSKILDQVRRQVPPDKDKDKRNATSWKSMDAWKEKRNWEDILSSPFRVSSRVSYSPGIGKKSAERVRILHDKLMSPEKKKKTPVDLKKEAEEKHARALRIRSELENERVQKLQRTSEKLIRVNEWQAVRTMKLREGMYSRQQRSESRHEAFLAEVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLQDSELRRAEKLQVMKSKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQLRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAEKLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSVNKESQGRSTPTNSAEDCQANGSAMLGNSALAAGNSALQHSLKRRIKKIRQRLMALKFEISEPPAAPENTGIGYRTAVGTARAKIGRWLQELQKLRQARKEGASSIGLITAEMIKFLEGKEPELHASRQAGLLDFIASALPASHTSKPEACK >KJB39937 pep chromosome:Graimondii2_0_v6:7:2707852:2715765:-1 gene:B456_007G039000 transcript:KJB39937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSEESAVDDQGSGWFQVKKKHRSSSKFSVQSWVGGYSTKNANNLVRGQPLSNKKGGAVQGKYRSLLRSSGGNSDGHTQDGFANSTAESNEDEKSVNYLDKCVLKKDCEDPTSPTSFVKNSNGSCAVNPKILSKDKSHMVHKIKWGDLEENVLVAHHENNIGAEIKFGDIGDDNVLGCSKNVNTSNLISCSCTDLQENTVEASMDDRSHSCEVSSLTPKDQIMEETCKEVNEVSSEIVEPQIDNEKIISAEDVYKDINTQHIKPIENSEVDPSFLSCQASETVVIPEVPDIKMEVGEPKTCEIPIVDGDSSIEMVSLDAVSFPPENIGPETLRQSNVTDCVQDGKKPDLSKAQIITALGEDDAGESKERFRERLWCFLFENLNRAVDELYLLCELECDMEQMKEAILVLEEAASDFKELTTRVEEFENVKKSSQLADGVPITLKSEHRRPHALSWEVRRMTTSPHRAEILSSSLEAFKKIQQERANRLSCNSMMSLGQDNSNCASTSGDNLKKPLMPSDVTSVDKELGIKSRKLRGGSDLTQANLNGEKRSNESGKSSKLNSVQNGRDPPKNYISSDVASSRSLLKDNPSASVIGKSRREYLGSETEKLLSRKDKTLTENIVEKKSKILDQVRRQVPPDKDKDKRNATSWKSMDAWKEKRNWEDILSSPFRVSSRVSYSPGIGKKSAERVRILHDKLMSPEKKKKTPVDLKKEAEEKHARALRIRSELENERVQKLQRTSEKLIRVNEWQAVRTMKLREGMYSRQQRSESRHEAFLAEVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLQDSELRRAEKLQVMKSKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQLRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAEKLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSVNKESQGRSTPTNSAEDCQANGSAMLGNSALAAGNSALQHSLKRRIKKIRQRLMALKFEISEPPAAPENTGIGYRTAVGTARAKIGRWLQELQKLRQARKEGASSIGLITAEMIKGKEPELHASRQAGLLDFIASALPASHTSKPEACKVVLSTPVNRSYFLAQNLLPPMIPMLSAALENYIKIAASLNLPGSSTSLSCKTSLENFESVSEVLDGFLWAVSSIIGHVSSDERQLQMRDGLLELLVAYQVIQRFRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTSSPGNSCINWESLPIEMEPGSESQETKIAASVDSRCSFVNSSTGDIIPQFCALNGSTVTQLSEVPEDRPLDEPCGINKNDNLVFIGKDGEKQMTDSSVELNNLSTSKMDVTDASQKTLVEQKEEKPVIVAREEKPNENISSLKQPVAFLLSAISETGLVSLPSLLTSVLLQANNKLPSEQASNALPSNFEEVATGVLKVLNNLALLDITFIQRMLARPDLKMEFFHLMSFLLSYCTSKWKAANDQIGLLLLESLLLLGYFALFHSGNQAVLRWGKSPTILHKVCDLPFVFFSDPELMPALAGTLLAACYGCEQNKDVVQQELSMDMLLSLLRSCRSILPTVKTSNPHPELLLADESSEYNQQGSDIKRSQADIPLKSSRYNTRNTRITGGKGGTIGNSLKFSKARNQRDCRTTKTCEETITRHNNNLPVLGTSLTLYCRFPSNFIDRAEQFFSAGISDDRTVS >KJB39934 pep chromosome:Graimondii2_0_v6:7:2707852:2715681:-1 gene:B456_007G039000 transcript:KJB39934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKIKWGDLEENVLVAHHENNIGAEIKFGDIGDDNVLGCSKNVNTSNLISCSCTDLQENTVEASMDDRSHSCEVSSLTPKDQIMEETCKEVNEVSSEIVEPQIDNEKIISAEDVYKDINTQHIKPIENSEVDPSFLSCQASETVVIPEVPDIKMEVGEPKTCEIPIVDGDSSIEMVSLDAVSFPPENIGPETLRQSNVTDCVQDGKKPDLSKAQIITALGEDDAGESKERFRERLWCFLFENLNRAVDELYLLCELECDMEQMKEAILVLEEAASDFKELTTRVEEFENVKKSSQLADGVPITLKSEHRRPHALSWEVRRMTTSPHRAEILSSSLEAFKKIQQERANRLSCNSMMSLGQDNSNCASTSGDNLKKPLMPSDVTSVDKELGIKSRKLRGGSDLTQANLNGEKRSNESGKSSKLNSVQNGRDPPKNYISSDVASSRSLLKDNPSASVIGKSRREYLGSETEKLLSRKDKTLTENIVEKKSKILDQVRRQVPPDKDKDKRNATSWKSMDAWKEKRNWEDILSSPFRVSSRVSYSPGIGKKSAERVRILHDKLMSPEKKKKTPVDLKKEAEEKHARALRIRSELENERVQKLQRTSEKLIRVNEWQAVRTMKLREGMYSRQQRSESRHEAFLAEVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLQDSELRRAEKLQVMKSKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQLRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAEKLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSVNKESQGRSTPTNSAEDCQANGSAMLGNSALAAGNSALQHSLKRRIKKIRQRLMALKFEISEPPAAPENTGIGYRTAVGTARAKIGRWLQELQKLRQARKEGASSIGLITAEMIKGKEPELHASRQAGLLDFIASALPASHTSKPEACKVVLSTPVNRSYFLAQNLLPPMIPMLSAALENYIKIAASLNLPGSSTSLSCKTSLENFESVSEVLDGFLWAVSSIIGHVSSDERQLQMRDGLLELLVAYQVIQRFRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTSSPGNSCINWESLPIEMEPGSESQETKIAASVDSRCSFVNSSTGDIIPQFCALNGSTVTQLSEVPEDRPLDEPCGINKNDNLVFIGKDGEKQMTDSSVELNNLSTSKMDVTDASQKTLVEQKEEKPVIVAREEKPNENISSLKQPVAFLLSAISETGLVSLPSLLTSVLLQANNKLPSEQASNALPSNFEEVATGVLKVLNNLALLDITFIQRMLARPDLKMEFFHLMSFLLSYCTSKWKAANDQIGLLLLESLLLLGYFALFHSGNQAVLRWGKSPTILHKVCDLPFVFFSDPELMPALAGTLLAACYGCEQNKDVVQQELSMDMLLSLLRSCRSILPTVKTSNPHPELLLADESSEYNQQGSDIKRSQADIPLKSSRYNTRNTRITGGKGGTIGNSLKFSKARNQRDCRTTKTCEETITRHNNNLPVLGTSLTLYCRFPSNFIDRAEQFFSAGISDDRTVS >KJB39936 pep chromosome:Graimondii2_0_v6:7:2708999:2715681:-1 gene:B456_007G039000 transcript:KJB39936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSEESAVDDQGSGWFQVKKKHRSSSKFSVQSWVGGYSTKNANNLVRGQPLSNKKGGAVQGKYRSLLRSSGGNSDGHTQDGFANSTAESNEDEKSVNYLDKCVLKKDCEDPTSPTSFVKNSNGSCAVNPKILSKDKSHMVHKIKWGDLEENVLVAHHENNIGAEIKFGDIGDDNVLGCSKNVNTSNLISCSCTDLQENTVEASMDDRSHSCEVSSLTPKDQIMEETCKEVNEVSSEIVEPQIDNEKIISAEDVYKDINTQHIKPIENSEVDPSFLSCQASETVVIPEVPDIKMEVGEPKTCEIPIVDGDSSIEMVSLDAVSFPPENIGPETLRQSNVTDCVQDGKKPDLSKAQIITALGEDDAGESKERFRERLWCFLFENLNRAVDELYLLCELECDMEQMKEAILVLEEAASDFKELTTRVEEFENVKKSSQLADGVPITLKSEHRRPHALSWEVRRMTTSPHRAEILSSSLEAFKKIQQERANRLSCNSMMSLGQDNSNCASTSGDNLKKPLMPSDVTSVDKELGIKSRKLRGGSDLTQANLNGEKRSNESGKSSKLNSVQNGRDPPKNYISSDVASSRSLLKDNPSASVIGKSRREYLGSETEKLLSRKDKTLTENIVEKKSKILDQVRRQVPPDKDKDKRNATSWKSMDAWKEKRNWEDILSSPFRVSSRVSYSPGIGKKSAERVRILHDKLMSPEKKKKTPVDLKKEAEEKHARALRIRSELENERVQKLQRTSEKLIRVNEWQAVRTMKLREGMYSRQQRSESRHEAFLAEVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLQDSELRRAEKLQVMKSKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQLRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAEKLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSVNKESQGRSTPTNSAEDCQANGSAMLGNSALAAGNSALQHSLKRRIKKIRQRLMALKFEISEPPAAPENTGIGYRTAVGTARAKIGRWLQELQKLRQARKEGASSIGLITAEMIKGKEPELHASRQAGLLDFIASALPASHTSKPEACKVVLSTPVNRSYFLAQNLLPPMIPMLSAALENYIKIAASLNLPGSSTSLSCKTSLENFESVSEVLDGFLWAVSSIIGHVSSDERQLQMRDGLLELLVAYQVIQRFRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTSSPGNSCINWESLPIEMEPGSESQETKIAASVDSRCSFVNSSTGDIIPQFCALNGSTVTQLSEVPEDRPLDEPCGINKNDNLVFIGKDGEKQMTDSSVELNNLSTSKMDVTDASQKTLVEQKEEKPVIVAREEKPNENISSLKQPVAFLLSAISETGLVSLPSLLTSVLLQANNKLPSEQASNALPSNFEEVATGVLKVLNNLALLDITFIQRMLARPDLKMEFFHLMSFLLSYCTSKWKAANDQVMYFVLVTVF >KJB40035 pep chromosome:Graimondii2_0_v6:7:9457914:9459333:1 gene:B456_007G120300 transcript:KJB40035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKENHVVNGEDEEEEEKIEQFFALLRNFREARNRRKHELIQREQEEISKKKKKKKNKISKLGDDGEKSSWVPSFEWEDFTAEIEFRRPSIIFPPSFNNKQEGKKHEDDGLDLNLTLSPASS >KJB46261 pep chromosome:Graimondii2_0_v6:7:58552449:58554667:-1 gene:B456_007G354900 transcript:KJB46261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPATLRRDEDFRKYFKPKVISIGPLHHDDPTLHESEKLKLNLAANFVKKIGIDNDTNMKTEPDGLKKSYDPKELTAAQFYKQFICVTAKIMIVSRTNFIKNDLLTFVYSDLFLLENQSPFRVPELLTSSGDGKKFMDSIKRFIDDTVVNPAEIKEPQSHQRDSPIHLLNLLRICFNRIFVGKLCLPPIAVNDSTMNLIAYEMCPDFKNDFTVTSYMCFLDLLIDEAEDVKDLSGTGILYNGLGSDEEVANLFNKMNTDLVPSPMIYGGVKRKIHNHCKNMRIKHAALAYHTYFRSPWTFLAFVGAITALLLGALQTYYAIHQPK >KJB41635 pep chromosome:Graimondii2_0_v6:7:8804106:8806552:1 gene:B456_007G113300 transcript:KJB41635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLQMLQSVESPQLFAFKEPNFQTLLRLQHMKKPWELNTNPFIPEMETQVQALELESCVTHETVLDLHSPVKSETKELKKSPPSSSCAEVLSSGSNQHQPKSDNCSGEPNLGSSPQKIFTKSPPITRERRKRKRTKAAKNKEEVESQRMTHIAVERNRRRQMNDYLNSLRSLMPPCYIQRGDQASIIAGAIDFVKELEQLLQSLEAQKRTGKVHESNNSMSNPAMEIHQQEYENGSEDGHCGEEVKAESKTGAAEVEVNVIHNHVNLKIQCSRRAGQLIQAIVTLETLRLTVLHLNITTSRASVLYSFNLKMEDDSALRSADEIAAAVHQIFS >KJB41632 pep chromosome:Graimondii2_0_v6:7:8803581:8806552:1 gene:B456_007G113300 transcript:KJB41632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLQGPINPYFLGEHLEVECLEQGFISCERLKLGEEEEEEAHFSIPSFEEKMPFLQMLQSVESPQLFAFKEPNFQTLLRLQHMKKPWELNTNPFIPEMETQVQALELESCVTHETVLDLHSPVKSETKELKKSPPSSSCAEVLSSGSNQHQPKSDNCSGEPNLGSSPQKIFTKSPPITRERRKRKRTKAAKNKEEVESQRMTHIAVERNRRRQMNDYLNSLRSLMPPCYIQRV >KJB41629 pep chromosome:Graimondii2_0_v6:7:8803363:8806552:1 gene:B456_007G113300 transcript:KJB41629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLQGPINPYFLGEHLEVECLEQGFISCERLKLGEEEEEEAHFSIPSFEEKMPFLQMLQSVESPQLFAFKEPNFQTLLRLQHMKKPWELNTNPFIPEMETQVQALELESCVTHETVLDLHSPVKSETKELKKSPPSSSCAEVLSSGSNQHQPKSDNCSGEPNLGSSPQKIFTKSPPITRERRKRKRTKAAKNKEEVESQRMTHIAVERNRRRQMNDYLNSLRSLMPPCYIQRGDQASIIAGAIDFVKELEQLLQSLEAQKRTGKVHESNNSMSNPAMEIHQQEYENGSEDGHCGEEVKAESKTGAAEVEVNVIHNHVNLKIQCSRRAGQLIQAIVTLETLRLTVLHLNITTSRASVLYSFNLKMEDDSALRSADEIAAAVHQIFS >KJB41631 pep chromosome:Graimondii2_0_v6:7:8803581:8806552:1 gene:B456_007G113300 transcript:KJB41631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLQMLQSVESPQLFAFKEPNFQTLLRLQHMKKPWELNTNPFIPEMETQVQALELESCVTHETVLDLHSPVKSETKELKKSPPSSSCAEVLSSGSNQHQPKSDNCSGEPNLGSSPQKIFTKSPPITRERRKRKRTKAAKNKEEVESQRMTHIAVERNRRRQMNDYLNSLRSLMPPCYIQRGDQASIIAGAIDFVKELEQLLQSLEAQKRTGKVHESNNSMSNPAMEIHQQEYENGSEDGHCGEEVKAESKTGAAEVEVNVIHNHVNLKIQCSRRAGQLIQAIVTLETLRLTVLHLNITTSRASVLYSFNLKMEDDSALRSADEIAAAVHQIFS >KJB41633 pep chromosome:Graimondii2_0_v6:7:8803581:8806552:1 gene:B456_007G113300 transcript:KJB41633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLQGPINPYFLGEHLEVECLEQGFISCERLKLGEEEEEEAHFSIPSFEEKMPFLQMLQSVESPQLFAFKEPNFQTLLRLQHMKKPWELNTNPFIPEMETQVQALELESCVTHETVLDLHSPVKSETKELKKSPPSSSCAEVLSSGSNQHQPKSDNCSGEPNLGSSPQKIFTKSPPITRERRKRKRTKAAKNKEEVESQRMTHIAVERNRRRQMNDYLNSLRSLMPPCYIQRGDQASIIAGAIDFVKELEQLLQSLEAQKRTGKVHESNNSMSNPAMEIHQQEYENGSEDGHCGEEVKAESKTGAAEVEVNVIHNHVNLKIQCSRRAGQLIQAIVTLETLRLTVLHLNITTSRASVLYSFNLKVILFVLF >KJB41630 pep chromosome:Graimondii2_0_v6:7:8803448:8806552:1 gene:B456_007G113300 transcript:KJB41630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLGEHLEVECLEQGFISCERLKLGEEEEEEAHFSIPSFEEKMPFLQMLQSVESPQLFAFKEPNFQTLLRLQHMKKPWELNTNPFIPEMETQVQALELESCVTHETVLDLHSPVKSETKELKKSPPSSSCAEVLSSGSNQHQPKSDNCSGEPNLGSSPQKIFTKSPPITRERRKRKRTKAAKNKEEVESQRMTHIAVERNRRRQMNDYLNSLRSLMPPCYIQRGDQASIIAGAIDFVKELEQLLQSLEAQKRTGKVHESNNSMSNPAMEIHQQEYENGSEDGHCGEEVKAESKTGAAEVEVNVIHNHVNLKIQCSRRAGQLIQAIVTLETLRLTVLHLNITTSRASVLYSFNLKMEDDSALRSADEIAAAVHQIFS >KJB41634 pep chromosome:Graimondii2_0_v6:7:8803499:8806596:1 gene:B456_007G113300 transcript:KJB41634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLQMLQSVESPQLFAFKEPNFQTLLRLQHMKKPWELNTNPFIPEMETQVQALELESCVTHETVLDLHSPVKSETKELKKSPPSSSCAEVLSSGSNQHQPKSDNCSGEPNLGSSPQKIFTKSPPITRERRKRKRTKAAKNKEEVESQRMTHIAVERNRRRQMNDYLNSLRSLMPPCYIQRGDQASIIAGAIDFVKELEQLLQSLEAQKRTGKVHESNNSMSNPAMEIHQQEYENGSEDGHCGEEVKAESKTGAAEVEVNVIHNHVNLKIQCSRRAGQLIQAIVTLETLRLTVLHLNITTSRASVLYSFNLKMEDDSALRSADEIAAAVHQIFS >KJB40694 pep chromosome:Graimondii2_0_v6:7:5218796:5229060:1 gene:B456_007G073800 transcript:KJB40694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTCLHNKFKFPSLPHLSRPFFKNPNPRIFPRKINILFLASASDTVVASSIKELGSKKDDEFGDLKSWMHRNGLPRCKVVLNESTSHDEKHMPVHYVAASEDLRAGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSEEELAYLTGSPTKAEVLERAEGIKREYNELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVPLAQRFALVPLGPPLLAYRSNCKAMLSAVNGAVELVVDRACKAGEPIVVWCGPQPNSKLLINYGFVDDDNSYDRLVVEAALNTEDPQYQDKRLVVQRNGKLSVQVFHVYAGKEKEAVSDMLPYLRLGYVSDPSEMQSVLSSQGPVCPVSPCMERAVLDQLADYFNRRLAGYLTTLNEDESLLSDPNLNPRRRVATELVRLEKKILHACLQATTELIDELPDHTVSPCPAPYALLLK >KJB40697 pep chromosome:Graimondii2_0_v6:7:5218955:5228963:1 gene:B456_007G073800 transcript:KJB40697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTCLHNKFKFPSLPHLSRPFFKNPNPRIFPRKINILFLASASDTVVASSIKELGSKKDDEFGDLKSWMHRNGLPRCKVVLNESTSHDEKHMPVHYVAASEDLRAGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSEEELAYLTGSPTKAEVLERAEGIKREYNELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQRFALVPLGPPLLAYRSNCKAMLSAVNGAVELVVDRACKAGEPIVVWCGPQPNSKLLINYGFVDDDNSYDRLVVEAALNTEDPQYQDKRLVVQRNGKLSVQVFHVYAGKEKEAVSDMLPYLRLGYVSDPSEMQSVLSSQGPVCPVSPCMERAVLDQLADYFNRRLAGYLTTLNEDESLLSDPNLNPRRRVATELVRLEKKILHACLQATTELIDELPDHTVSPCPAPYALLLK >KJB40695 pep chromosome:Graimondii2_0_v6:7:5218955:5228963:1 gene:B456_007G073800 transcript:KJB40695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTCLHNKFKFPSLPHLSRPFFKNPNPRIFPRKINILFLASASDTVVASSIKELGSKKDDEFGDLKSWMHRNGLPRCKVVLNESTSHDEKHMPVHYVAASEDLRAGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSEEELAYLTGSPTKAEVLERAEGIKREYNELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVPLAQRFALVPLGPPLLAYRSNCKAMLSAVNGAVELVVDRACKAGEPIVVWCGPQPNSKLLINYGFVDDDNSYDRLVVEAALNTEDPQYQDKRLVVQRNGKLSVQVFHVYAGKEKEAVSDMLPYLRLGYVSDPSEMQSVLSSQGESLYGTSSARPAC >KJB40698 pep chromosome:Graimondii2_0_v6:7:5218955:5228963:1 gene:B456_007G073800 transcript:KJB40698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTCLHNKFKFPSLPHLSRPFFKNPNPRIFPRKINILFLASASDTVVASSIKELGSKKDDEFGDLKSWMHRNGLPRCKVVLNESTSHDEKHMPVHYVAASEDLRAGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSEEELAYLTGSPTKAEVLERAEGIKREYNELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVPLAQRFALVPLGPPLLAYRSNCKAMLSAVNGAVELVVDRACKAGEPIVVWCGPQPNSKLLINYGFVDDDNSYDRLVVEAALNTEDPQYQDKRLVVQRNGKLSVQVFHVYAGKEKEAVSDMLPYLRLGYVSDPSEMQSVLSSQGPVCPVSPCMERAVLDQLADYFNRRLAGYLTTLNEDESLLSDPNLNPRRRVATELVRLEKKILHACLQATTELIDELPDHTVSPCPAPYALLLK >KJB40696 pep chromosome:Graimondii2_0_v6:7:5218955:5228963:1 gene:B456_007G073800 transcript:KJB40696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTCLHNKFKFPSLPHLSRPFFKNPNPRIFPRKINILFLASASDTVVASSIKELGSKKDDEFGDLKSWMHRNGLPRCKVVLNESTSHDEKHMPVHYVAASEDLRAGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSEEELAYLTGSPTKAEVLERAEGIKREYNELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVPLAQRFALVPLGPPLLAYRSNCKAMLSAVNGAVELVVDRACKAGEPIVVWCGPQPNSKLLINYGFVDDDNSYDRLVVEAALNTEDPQYQDKRLVVQRNGKLSVQVFHVYAGKEKEAVSDMLPYLRLGYVSDPSEMQSVLSSQGPVCPVSPCMERAVLDQLADYFNRRLAGYLTTLNEDESLILT >KJB44589 pep chromosome:Graimondii2_0_v6:7:42709147:42713015:-1 gene:B456_007G260200 transcript:KJB44589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNHEKEGKAEVELELKTKSVEEDKGEKHKEKDKEKHKDSEIGEMKNKEEKRKEKKEKKEKMHKDEEDDENTEKKKKKDKEVEMKKEGKHEDKEVVEEDEEKEEKKKKKGKKHKEKEVEGEEDEEKDEKKQKKKDKEKEKSKDKKHKDKDHELDEDEKTREKKNEKYKEKKKEKKLKDEEEEEEEKVTETEKKKDKKAKEKKKEKKKDKVEEDEEIEEGKKEKKKKDEVEDEDEELEEGKKEKKKDKEAKEKKKKDEIEEDEEELEEGKKEKKKDKEAKEKKKEKKKKDEVEEAEELEGGKKEKKKDKEAKEKKKEMKKDEVEGEEKEEKKEKKKDEEDKDTKYKDEEKEIDEEEKKKVKVEKNVVKGDSGITSREIVIEDTGKEPEGEGDQEKQKGKAKEEKDDNNKCEKKRKFEGKDKSKDISKLKQRLEKINSKINALLEKKADILRQINEAENKTNEDAV >KJB44590 pep chromosome:Graimondii2_0_v6:7:42709439:42711217:-1 gene:B456_007G260200 transcript:KJB44590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNHEKEGKAEVELELKTKSVEEDKGEKHKEKDKEKHKDSEIGEMKNKEEKRKEKKEKKEKMHKDEEDDENTEKKKKKDKEVEMKKEGKHEDKEVVEEDEEKEEKKKKKGKKHKEKEVEGEEDEEKDEKKQKKKDKEKEKSKDKKHKDKDHELDEDEKTREKKNEKYKEKKKEKKLKDEEEEEEEKVTETEKKKDKKAKEKKKEKKKDKVEEDEEIEEGKKEKKKKDEVEDEDEELEEGKKEKKKDKEAKEKKKKDEIEEDEEELEEGKKEKKKDKEAKEKKKEKKKKDEVEEAEELEGGKKEKKKDKEAKENKKEKKKKDEVEEDEELEEGKKEKKKDKEAKEKKKEKKKKDEVEEDEELEEGKKEKKRDKEAKEKKKEMKKDEVEGEEKEEKKEKKKDEEDKDTKYKDEEKEIDEEEKKKVKVEKNVVKGDSGITSREIVIEDTGKEPEGEGDQEKQKGKAKEEKDDNNKCEKKRKFEGKDKSKDISKLKQRLEKINSKINALLEKKADILRQINEAENKTNEDAV >KJB44592 pep chromosome:Graimondii2_0_v6:7:42709147:42713015:-1 gene:B456_007G260200 transcript:KJB44592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNHEKEGKAEVELELKTKSVEEDKGEKHKEKDKEKHKDSEIGEMKNKEEKRKEKKEKKEKMHKDEEDDENTEKKKKKDKEVEMKKEGKHEDKEVVEEDEEKEEKKKKKGKKHKEKEVEGEEDEEKDEKKQKKKDKEKEKSKDKKHKDKDHELDEDEKTREKKNEKYKEKKKEKKLKDEEEEEEEKVTETEKKKDKKAKEKKKEKKKDKVEEDEEIEEGKKEKKKKDEVEDEDEELEEGKKEKKKDKEAKEKKKKDEIEEDEEELEEGKKEKKKDKEAKEKKKEKKKKDEVEEAEELEGGKKEKKKDKEAKENKKEKKKKDEVEEDEELEEGKKEKKKDKEAKEKKKEKKKKDEVDEDEELEEGNKEKKKDKEAKEKKKEKKKKDEVEEAEELEEGNKEKKKDKEAKEKKKEKKKDEVEEDEELEEGKKEKKRDKEAKEKKKEMKKDEVEGEEKEEKKEKKKDEEDKDTKYKDEEKEIDEEEKKKVKVEKNVVKGDSGITSREIVIEDTGKEPEGEGDQEKQKGKAKEEKDDNNKCEKKRKFEGKDKSKDISKLKQRLEKINSKINALLEKKADILRQINEAENKTNEDAV >KJB44585 pep chromosome:Graimondii2_0_v6:7:42709147:42713015:-1 gene:B456_007G260200 transcript:KJB44585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNHEKEGKAEVELELKTKSVEEDKGEKHKEKDKEKHKDSEIGEMKNKEEKRKEKKEKKEKMHKDEEDDENTEKKKKKDKEVEMKKEGKHEDKEVVEEDEEKEEKKKKKGKKHKEKEVEGEEDEEKDEKKQKKKDKEKEKSKDKKHKDKDHELDEDEKTREKKNEKYKEKKKEKKLKDEEEEEEEKVTETEKKKDKKAKEKKKEKKKDKVEEDEEIEEGKKEKKKKDEVEDEDEELEEGKKEKKKDKEAKEKKKKDEIEEDEEELEEGKKEKKKDKEAKEKKKEKKKKDEVEEAEELEGGKKEKKKDKEAKENKKEKKKKDEVEEDEELEEGKKEKKKDKEAKEKKKEKKKKDEVDEDEELEEGNKEKKKDKEAKEKKKEKKKKDEVEEAEELEEGNKEKKKDKEAKEKKKEKKKDEVEGEEKEEKKEKKKDEEDKDTKYKDEEKEIDEEEKKKVKVEKNVVKGDSGITSREIVIEDTGKEPEGEGDQEKQKGKAKEEKDDNNKCEKKRKFEGKDKSKDISKLKQRLEKINSKINALLEKKADILRQINEAENKTNEDAV >KJB44584 pep chromosome:Graimondii2_0_v6:7:42709147:42713015:-1 gene:B456_007G260200 transcript:KJB44584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNHEKEGKAEVELELKTKSVEEDKGEKHKEKDKEKHKDSEIGEMKNKEEKRKEKKEKKEKMHKDEEDDENTEKKKKKDKEVEMKKEGKHEDKEVVEEDEEKEEKKKKKGKKHKEKEVEGEEDEEKDEKKQKKKDKEKEKSKDKKHKDKDHELDEDEKTREKKNEKYKEKKKEKKLKDEEEEEEEKVTETEKKKDKKAKEKKKEKKKDKVEEDEEIEEGKKEKKKKDEVEDEDEELEEGKKEKKKDKEAKEKKKKDEIEEDEEELEEGKKEKKKDKEAKEKKKEKKKKDEVEEDEELEEGKKEKKKDKEAKEKKKEKKKKDEVDEDEELEEGNKEKKKDKEAKEKKKEKKKKDEVEEAEELEEGNKEKKKDKEAKEKKKEKKKDEVEEDEELEEGKKEKKRDKEAKEKKKEMKKDEVEGEEKEEKKEKKKDEEDKDTKYKDEEKEIDEEEKKKVKVEKNVVKGDSGITSREIVIEDTGKEPEGEGDQEKQKGKAKEEKDDNNKCEKKRKFEGKDKSKDISKLKQRLEKINSKINALLEKKADILRQINEAENKTNEDAV >KJB44588 pep chromosome:Graimondii2_0_v6:7:42709147:42713015:-1 gene:B456_007G260200 transcript:KJB44588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNHEKEGKAEVELELKTKSVEEDKGEKHKEKDKEKHKDSEIGEMKNKEEKRKEKKEKKEKMHKDEEDDENTEKKKKKDKEVEMKKEGKHEDKEVVEEDEEKEEKKKKKGKKHKEKEVEGEEDEEKDEKKQKKKDKEKEKSKDKKHKDKDHELDEDEKTREKKNEKYKEKKKEKKLKDEEEEEEEKVTETEKKKDKKAKEKKKEKKKDKVEEDEEIEEGKKEKKKKDEVEDEDEELEEGKKEKKKDKEAKEKKKKDEIEEDEEELEEGKKEKKKDKEAKEKKKEKKKKDEVEEAEELEGGKKEKKKDKEAKENKKEKKKKDEVEEDEELEEGKKEKKKDKEAKEKKKEKKKKDEVDEDEELEEGNKEKKKDKEAKEKKKEKKKKDEVEEAEELEEGNKEKKKDKEAKEKKKEKKKDEVEEDEELEEGKKEKKRDKEAKEKKKEMKKDEVEDTGKEPEGEGDQEKQKGKAKEEKDDNNKCEKKRKFEGKDKSKDISKLKQRLEKINSKINALLEKKADILRQINEAENKTNEDAV >KJB44591 pep chromosome:Graimondii2_0_v6:7:42709147:42713015:-1 gene:B456_007G260200 transcript:KJB44591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEGKHEDKEVVEEDEEKEEKKKKKGKKHKEKEVEGEEDEEKDEKKQKKKDKEKEKSKDKKHKDKDHELDEDEKTREKKNEKYKEKKKEKKLKDEEEEEEEKVTETEKKKDKKAKEKKKEKKKDKVEEDEEIEEGKKEKKKKDEVEDEDEELEEGKKEKKKDKEAKEKKKKDEIEEDEEELEEGKKEKKKDKEAKEKKKEKKKKDEVEEAEELEGGKKEKKKDKEAKENKKEKKKKDEVEEDEELEEGKKEKKKDKEAKEKKKEKKKKDEVDEDEELEEGNKEKKKDKEAKEKKKEKKKKDEVEEAEELEEGNKEKKKDKEAKEKKKEKKKDEVEEDEELEEGKKEKKRDKEAKEKKKEMKKDEVEGEEKEEKKEKKKDEEDKDTKYKDEEKEIDEEEKKKVKVEKNVVKGDSGITSREIVIEDTGKEPEGEGDQEKQKGKAKEEKDDNNKCEKKRKFEGKDKSKDISKLKQRLEKINSKINALLEKKADILRQINEAENKTNEDAV >KJB44587 pep chromosome:Graimondii2_0_v6:7:42709147:42713126:-1 gene:B456_007G260200 transcript:KJB44587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNHEKEGKAEVELELKTKSVEEDKGEKHKEKDKEKHKDSEIGEMKNKEEKRKEKKEKKEKMHKDEEDDENTEKKKKKDKEVEMKKEGKHEDKEVVEEDEEKEEKKKKKGKKHKEKEVEGEEDEEKDEKKQKKKDKEKEKSKDKKHKDKDHELDEDEKTREKKNEKYKEKKKEKKLKDEEEEEEEKVTETEKKKDKKAKEKKKEKKKDKVEEDEEIEEGKKEKKKKDEVEDEDEELEEGKKEKKKDKEAKEKKKKDEIEEDEEELEEGKKEKKKDKEAKEKKKEKKKKDEVEEAEELEGGKKEKKKDKEAKENKKEKKKKDEVEEDEELEEGKKEKKKDKEAKEKKKEKKKKDEVDEDEELEEGNKEKKKDKEAKEKKKEKKKKDEVEEAEELEEGNKEKKKDKEAKEKKKEKKKDEVEEDEELEEGKKEKKRDKEAKEKKKEMKKDEVEGEEKEEKKEKKKDEEDKDTKYKDEEKEIDEEEKKKVKVEKNVVKGDSGITSREIVIEDTGKEPEGEGDQEKQKGKAKEEKDDNNKCEKKRKFEGKDKSKDISKLKQRLEKINSKINALLEKKADILRQINEAENKTNEDAV >KJB44586 pep chromosome:Graimondii2_0_v6:7:42709147:42713015:-1 gene:B456_007G260200 transcript:KJB44586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNHEKEGKAEVELELKTKSVEEDKGEKHKEKDKEKHKDSEIGEMKNKEEKRKEKKEKKEKMHKDEEDDENTEKKKKKDKEVEMKKEGKHEDKEVVEEDEEKEEKKKKKGKKHKEKEVEGEEDEEKDEKKQKKKDKEKEKSKDKKHKDKDHELDEDEKTREKKNEKYKEKKKEKKLKDEEEEEEEKVTETEKKKDKKAKEKKKEKKKDKVEEDEEIEEGKKEKKKKDEIEEDEEELEEGKKEKKKDKEAKEKKKEKKKKDEVEEAEELEGGKKEKKKDKEAKENKKEKKKKDEVEEDEELEEGKKEKKKDKEAKEKKKEKKKKDEVDEDEELEEGNKEKKKDKEAKEKKKEKKKKDEVEEAEELEEGNKEKKKDKEAKEKKKEKKKDEVEEDEELEEGKKEKKRDKEAKEKKKEMKKDEVEGEEKEEKKEKKKDEEDKDTKYKDEEKEIDEEEKKKVKVEKNVVKGDSGITSREIVIEDTGKEPEGEGDQEKQKGKAKEEKDDNNKCEKKRKFEGKDKSKDISKLKQRLEKINSKINALLEKKADILRQINEAENKTNEDAV >KJB44582 pep chromosome:Graimondii2_0_v6:7:42709099:42711479:-1 gene:B456_007G260200 transcript:KJB44582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNHEKEGKAEVELELKTKSVEEDKGEKHKEKDKEKHKDSEIGEMKNKEEKRKEKKEKKEKMHKDEEDDENTEKKKKKDKEVEMKKEGKHEDKEVVEEDEEKEEKKKKKGKKHKEKEVEGEEDEEKDEKKQKKKDKEKEKSKDKKHKDKDHELDEDEKTREKKNEKYKEKKKEKKLKDEEEEEEEKVTETEKKKDKKAKEKKKEKKKDKVEEDEEIEEGKKEKKKKDEVEDEDEELEEGKKEKKKDKEAKEKKKKDEIEEDEEELEEGKKEKKKDKEAKEKKKEKKKKDEVEEDEELEEGKKEKKKDKEAKEKKKEKKKKDEVDEDEELEEGNKEKKKDKEAKEKKKEKKKKDEVEEAEELEEGNKEKKKDKEAKEKKKEKKKDEVEEDEELEEGKKEKKRDKEAKEKKKEMKKDEVEGEEKEEKKEKKKDEEDKDTKYKDEEKEIDEEEKKKVKVEKNVVKGDSGITSREIVIEDTGKEPEGEGDQEKQKGKAKEEKDDNNKCEKKRKFEGKDKSKDISKLKQRLEKINSKINALLEKKADILRQINEAENKTNEDAV >KJB44583 pep chromosome:Graimondii2_0_v6:7:42709439:42711217:-1 gene:B456_007G260200 transcript:KJB44583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNHEKEGKAEVELELKTKSVEEDKGEKHKEKDKEKHKDSEIGEMKNKEEKRKEKKEKKEKMHKDEEDDENTEKKKKKDKEVEMKKEGKHEDKEVVEEDEEKEEKKKKKGKKHKEKEVEGEEDEEKDEKKQKKKDKEKEKSKDKKHKDKDHELDEDEKTREKKNEKYKEKKKEKKLKDEEEEEEEKVTETEKKKDKKAKEKKKEKKKDKVEEDEEIEEGKKEKKKKDEVEDEDEELEEGKKEKKKDKEAKEKKKKDEIEEDEEELEEGKKEKKKDKEAKEKKKEKKKKDEVEEAEELEGGKKEKKKDKEAKENKKEKKKKDEVEEDEELEEGKKEKKKDKEAKEKKKEKKKKDEVEEAEELEEGNKEKKKDKEAKEKKKEKKKDEVEEDEELEEGKKEKKRDKEAKEKKKEMKKDEVEGEEKEEKKEKKKDEEDKDTKYKDEEKEIDEEEKKKVKVEKNVVKGDSGITSREIVIEDTGKEPEGEGDQEKQKGKAKEEKDDNNKCEKKRKFEGKDKSKDISKLKQRLEKINSKINALLEKKADILRQINEAENKTNEDAV >KJB44852 pep chromosome:Graimondii2_0_v6:7:47103899:47107148:-1 gene:B456_007G276100 transcript:KJB44852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPPSSATPPASSSSSQFTYQTTANQSYFPLPFHLQQQPQHYASAPPSVSVAPAPVYPASVAPVPGVYSLPQYQQAQQLFQRDAQIVTPEALESVKAALASSEIEHKAETKKKAIPRKAAGQSWEDPTLAEWPENDFRLFCGDLGNEVNDDVLSKAFARFPSFNMAKVVRDKRTGKTKGYGFVSFANPSDLAAALKEMNGKYVGNRPIKLRKSNWKERIDQDALVRQKNHYQKKPKLQKKGILHK >KJB46440 pep chromosome:Graimondii2_0_v6:7:60344050:60347534:-1 gene:B456_007G370500 transcript:KJB46440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIETQTAVSNFDPFYNMSSSSENNGGGFEMSSLIDGRDYSGENHAHGGGDCGVFRHSNAAAVKVQKVYRSYRTRRRLADSAVVAEELWWQVLDYARLNHSTISFFDNLKPESAASRWNRVLLNASKIGKGLSEDAKAQKLAFQHWIEAIDPRHRYGHNLHIYYDEWCKADAGQPFFYWLDLGDGKDINLNECPRSKLRQQCIKYLGPQERVNYEYIVVEGKMIHKQTRNVLDTIEGLKEGKWIFVMSTSKKLYAGKKKGMFHHSSFLAGGATLAAGRLVVEHGDLKSISAYSGHYRPTDDSLDSFLLFLKENGVNLNEVEIRRATDDSDSYDYGKSTGVGTLAEHSLSSVPSELETDNTENNLSSQSPETNQTKTTNTYKRSLSGGLQSPRTEVPERAILQRINSKKAAKSYQLGHQLSRKWSTGAGPRIGCVADYPVELRQQALEFVNLSPRTPPALSPFLSPRTPRTPTTPSACRSPGGLASAASQPTSNFTNADGISGI >KJB46439 pep chromosome:Graimondii2_0_v6:7:60344050:60347534:-1 gene:B456_007G370500 transcript:KJB46439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIETQTAVSNFDPFYNMSSSSENNGGGFEMSSLIDGRDYSGENHAHGGGDCGVFRHSNAAAVKVQKVYRSYRTRRRLADSAVVAEELWWQVLDYARLNHSTISFFDNLKPESAASRWNRVLLNASKIDPRHRYGHNLHIYYDEWCKADAGQPFFYWLDLGDGKDINLNECPRSKLRQQCIKYLGPQERVNYEYIVVEGKMIHKQTRNVLDTIEGLKEGKWIFVMSTSKKLYAGKKKKGMFHHSSFLAGGATLAAGRLVVEHGDLKSISAYSGHYRPTDDSLDSFLLFLKENGVNLNEVEIRRATDDSDSYDYGKSTGVGTLAEHSLSSVPSELETDNTENNLSSQSPETNQTKTTNTYKRSLSGGLQSPRTEVPERAILQRINSKKAAKSYQLGHQLSRKWSTGAGPRIGCVADYPVELRQQALEFVNLSPRTPPALSPFLSPRTPRTPTTPSACRSPGGLASAASQPTSNFTNADGISGI >KJB46441 pep chromosome:Graimondii2_0_v6:7:60344050:60347638:-1 gene:B456_007G370500 transcript:KJB46441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIETQTAVSNFDPFYNMSSSSENNGGGFEMSSLIDGRDYSGENHAHGGGDCGVFRHSNAAAVKVQKVYRSYRTRRRLADSAVVAEELWWQVLDYARLNHSTISFFDNLKPESAASRWNRVLLNASKIGKGLSEDAKAQKLAFQHWIEAIDPRHRYGHNLHIYYDEWCKADAGQPFFYWLDLGDGKDINLNECPRSKLRQQCIKYLGPQERVNYEYIVVEGKMIHKQTRNVLDTIEGLKEGKWIFVMSTSKKLYAGKKKKGMFHHSSFLAGGATLAAGRLVVEHGDLKSISAYSGHYRPTDDSLDSFLLFLKENGVNLNEVEIRRATDDSDSYDYGKSTGVGTLAEHSLSSVPSELETDNTENNLSSQSPETNQTKTTNTYKRSLSGGLQSPRTEVPERAILQRINSKKAAKSYQLGHQLSRKWSTGAGPRIGCVADYPVELRQQALEFVNLSPRTPPALSPFLSPRTPRTPTTPSACRSPGGLASAASQPTSNFTNADGISGI >KJB46438 pep chromosome:Graimondii2_0_v6:7:60344420:60347457:-1 gene:B456_007G370500 transcript:KJB46438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIETQTAVSNFDPFYNMSSSSENNGGGFEMSSLIDGRDYSGENHAHGGGDCGVFRHSNAAAVKVQKVYRSYRTRRRLADSAVVAEELWWQVLDYARLNHSTISFFDNLKPESAASRWNRVLLNASKIGKGLSEDAKAQKLAFQHWIEAIDPRHRYGHNLHIYYDEWCKADAGQPFFYWLDLGDGKDINLNECPRSKLRQQCIKYLGPQERVNYEYIVVEGKMIHKQTRNVLDTIEGLKEGKWIFVMSTSKKLYAGKKKKGMFHHSSFLAGGATLAAGRLVVEHGDLKSISAYSGHYRPTDDSLDSFLLFLKENGVNLNEVEVTFQPTYFPRTRILMFPCVVGCCFLYDVLFHVQIRRATDDSDSYDYGKSTGVGTLAEHSLSSVPSELETDNTENNLSSQSPETNQTKTTNTYKRSLSGGLQSPRTEVPERAILQRINSKKAAKSYQLGHQLSRKWSTGAGPRIGCVADYPVELRQQALEFVNLSPRTPPALSPFLSPRTPRTPTTPSACRSPGGLASAASQPTSNFTNADGISGI >KJB44245 pep chromosome:Graimondii2_0_v6:7:35109528:35115334:-1 gene:B456_007G241800 transcript:KJB44245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartate aminotransferase and glutamate/aspartate-prephenate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G22250) UniProtKB/Swiss-Prot;Acc:Q9SIE1] MAVSLHNSTGISRVGFDSQFLGSHSASKASVSVSFHSSLTNLSLKSVETSKQRSYRIAAMSKAESSSSDQVKLDISLSPRVNSVKPSKTVAITDHATALAQAGVPVIRLAAGEPDFDTPAIIAEAGINAIREGYTRYTPNAGTLELRTAICHKLKEENGISYSHDQIVVSNGAKQSILQAVLAVCSPGDEVIIPAPYWVSYPEMARLADATPIILPTLICDNFLLDPEVLESKISEKSRLLILCSPSNPTGSVYPKKLLEKIAEIVAKHPRLLVLSDEIYEHIIYAPATHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKHFVAACNKIQSQSTSGASSIAQKAGVAALGLGYAGGEAISTMVKAFRERRDFLVKSFGELEGVKISEPQGAFYLFIDFSSYYGIEAEGFGKIENSESLCRYFLDKAQVALVPGDAFGDDSCIRISYAASLTTLQAAFERIKKALFSLRPAVPV >KJB44246 pep chromosome:Graimondii2_0_v6:7:35109564:35115089:-1 gene:B456_007G241800 transcript:KJB44246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartate aminotransferase and glutamate/aspartate-prephenate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G22250) UniProtKB/Swiss-Prot;Acc:Q9SIE1] MAVSLHNSTGISRVGFDSQFLGSHSASKASVSVSFHSSLTNLSLKSVETSKQRSYRIAAMSKAESSSSDQVKLDISLSPRVNSVKPSKTVAITDHATALAQAGVPVIRLAAGEPDFDTPAIIAEAGINAIREGYTRYTPNAGTLELRTAICHKLKVLAVCSPGDEVIIPAPYWVSYPEMARLADATPIILPTLICDNFLLDPEVLESKISEKSRLLILCSPSNPTGSVYPKKLLEKIAEIVAKHPRLLVLSDEIYEHIIYAPATHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKHFVAACNKIQSQSTSGASSIAQKAGVAALGLGYAGGEAISTMVKAFRERRDFLVKSFGELEGVKISEPQGAFYLFIDFSSYYGIEAEGFGKIENSESLCRYFLDKAQVALVPGDAFGDDSCIRISYAASLTTLQAAFERIKKALFSLRPAVPV >KJB41382 pep chromosome:Graimondii2_0_v6:7:7574221:7575648:1 gene:B456_007G101900 transcript:KJB41382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPIRRAERRVVALSAPLYASYNTSLPPLFFHLLFVVKNSIDVKGTPYIVDKWVRSSYPYTSHLHQIPILLSPIPH >KJB45685 pep chromosome:Graimondii2_0_v6:7:53615906:53616971:1 gene:B456_007G3205002 transcript:KJB45685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFLNKIDKHLDNHRSLDQNKNELKRKLNDLNGLKEDIESRLSSELQPTKKLKKGVQIWLENVERINGEIQSLDGRIGESSALTRGFHAKDVLMRMKEVEEHIQQGKFCEGLVVDNPRRIGQVLSTSTLSGEATKLCIEEIWQCLMNDEVPKIGVWGMGGVGKTSIMKHINNRLLKETHKFDVVIWITVSKEMSLAKLQKDLASKLDVKFSGNECETTRAGMLFETLSFKFSRFVIILDDVWEKVSFEQVGIPESSNGSKLVLTTRSLDVCRHVGCNRVIQIKPLAEEEAWNLFLEIVGGNILNIPGLEPVAKSITKHCAGLPLGVIVVAACMKGLDDLFEWRNALKELSLARQ >KJB39346 pep chromosome:Graimondii2_0_v6:7:618832:619805:1 gene:B456_007G007600 transcript:KJB39346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKVSTQYLFTTLLSLSLLLLFFGCFTSFADDVNNGRTTSSNRKILAATKFDFAPFLHHHHNHHRWHHPRHVPVDNIRRPEPSGDEIDPRYGVEKRLVPTGPNPLHH >KJB45081 pep chromosome:Graimondii2_0_v6:7:49484157:49490614:1 gene:B456_007G288500 transcript:KJB45081 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-like protein [Source:Projected from Arabidopsis thaliana (AT1G54390) UniProtKB/TrEMBL;Acc:F4HWW1] MAIARTGVYVDDYLEYSSTLPAELQRLLNTIRELDERSQSMINQTRQQTKYCLGIAANRGNGNSYMNNGHEDEETVEKMRKDIEASQENALSLCTEKVLLARQAYDLIDSHVKRLDEDLTYFAEDLKQEGKIPPDEPAILPPLPIVPPKVEKRKFFYGTPQSKRLDYRERDWDRERDRDFELMPPPGSHKRDFATHIDVDQPIDPNEPTYCVCHQVSFGDMIACDNENE >KJB45080 pep chromosome:Graimondii2_0_v6:7:49484157:49486735:1 gene:B456_007G288500 transcript:KJB45080 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-like protein [Source:Projected from Arabidopsis thaliana (AT1G54390) UniProtKB/TrEMBL;Acc:F4HWW1] MAIARTGVYVDDYLEYSSTLPAELQRLLNTIRELDERSQSMINQTRQQTKYCLGIAANRGNGNSYMNNGHEDEETVEKMRKDIEASQENALSLCTEKVLLARQAYDLIDSHVKRLDEDLTYFAEDLKQEGKIPPDEPAILPPLPIVPPKVEKRKFFYGTPQSKRLDYRERDWDRERDRDFELMPPPGSHKRDFATHIDVDQPIDPNEPTYCVCHQVSFGDMIACDNENCQGGEWFHYACVGLTPETRFKGKWYCPTCRTLPQCQ >KJB45368 pep chromosome:Graimondii2_0_v6:7:51639889:51645473:1 gene:B456_007G302800 transcript:KJB45368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERLIAEDVMRSDEPRYGSTATLAWSGSFVRCGENDSIFEELPKATIVSVSRPDTGDMSPMLLSYTIEVQYKQFKWRLLKKASQVLYLHFALKKRALIEEFHEKQEQVKEWLQSLGIVDQVAVVQDDDEPDDGAFPLHNEGSLRNRNVPSIAALPILRPTLGGQQTVCDKAKVAMQGYLNHFLGNLDIVNSREVCKFLEVSKFSFSREYGPKLKEGYVMVKHLSKVTGDDDVGCFPCQWFSCWSNNWRKVWAIMKPGFLALLEDPFDTKLLDIIVFDVLPTSNEDMKSQVYLAEQIKEHNPLYYAFRVSCGSWSMDLRVTSSGKAKQWVAAINDAGIGAPEGWCYPHRFSSFAPPRGLNEDGSQAQWFVDGKAAFEAIASSIEKAKSEIFITGWWLCPELYLRRPFESNPSSRLDALLESKAKQGVQIYVLLYKEVSIALKINSLYSKKLLHNIHENIKVLRYPDHLSTGIYLWSHHEKLVIIDYQICFIGGLDLCFGRYDTVEHRVGDCPPVTWPGKDYYNPRESEPNSWEESMKDELDRGKYPRMPWHDVHCALWGPPCRDVARHFVQRWNHAKRNKALHEQTIPLLMPQHHMVLPHYMGNREIDIESKGDVNQRELSRQESFSSPSPFEDIPLLLPQESDGLAVSNGDQKLNGSETQITGTADDHYCMDPRRVLEANEMPQSDMEIPDEWWETTVTDENEASVCEYGEIGPRIPCHCQVIRSISQWSAGTSQTEESIHSAYCSLIENAEHFIYIENQFFISGLAEDEIIQNRVSEALYRRILRAHKEEKCFRVIVVIPLLPGFQGGLDDFGAATVRALVDWQYRTISREKTSILHHLKTKLGPKTWDYISFYGLRSYGRLSDSGPIATSQVYVHSKLMIIDDRLAVIGSSNINDRSLLGSRDSEIGVVIEDKEFLESSMNGEPWKAGKFAHSLRCSLWCEHLGLHPGEISKINDPVLEQTYRKLWVATAETNSKIFQDVFACIPNDLILSRAALRQDMVYWKDKLGQTTIDLGVAPEKLETVENGEIKTEDPMERLKMLKGHLVVFPLKFMSQEDLRPVFNEREFYASPQVFH >KJB45367 pep chromosome:Graimondii2_0_v6:7:51639889:51643422:1 gene:B456_007G302800 transcript:KJB45367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERLIAEDVMRSDEPRYGSTATLAWSGSFVRCGENDSIFEELPKATIVSVSRPDTGDMSPMLLSYTIEVQYKQFKWRLLKKASQVLYLHFALKKRALIEEFHEKQEQVKEWLQSLGIVDQVAVVQDDDEPDDGAFPLHNEGSLRNRNVPSIAALPILRPTLGGQQTVCDKAKVAMQGYLNHFLGNLDIVNSREVCKFLEVSKFSFSREYGPKLKEGYVMVKHLSKVTGDDDVGCFPCQWFSCWSNNWRKVWAIMKPGFLALLEDPFDTKLLDIIVFDVLPTSNEDMKSQVYLAEQIKEHNPLYYAFRVSCGSWSMDLRVTSSGKAKQWVAAINDAGIGAPEGWCYPHRFSSFAPPRGLNEDGSQAQWFVDGKAAFEAIASSIEKAKSEIFITGWWLCPELYLRRPFESNPSSRLDALLESKAKQGVQIYVLLYKEVSIALKINSLYSKKLLHNIHENIKVLRYPDHLSTGIYLWSHHEKLVIIDYQICFIGGLDLCFGRYDTVEHRVGDCPPVTWPGKDYYNPRESEPNSWEESMKDELDRGKYPRMPWHDVHCALWGPPCRDVARHFVQRWNHAKRNKALHEQTIPLLMPQHHMVLPHYMGNREIDIESKGDVNQRELSRQESFSSPSPFEDIPLLLPQESDGLAVSNGDQKLNGLCSRFDPSSQKHGFDARSSSSHDFEVDSSGSETQITGTADDHYCMDPRRVLEANEMPQSDMEIPDEWWETTVTDENEASVCEYGEIGPRIPCHCQVSFALESQ >KJB46433 pep chromosome:Graimondii2_0_v6:7:60055607:60060847:-1 gene:B456_007G368400 transcript:KJB46433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAISISPYFRPSDTRSMGVLNVLGGRVLMENPLGKLRCRSSSPSQRSNMPHFRCSNNSHSVSPYQNKDPFLNMHPEVSMLRGEGNPIVTNPRKDSSSPESLGGMTGSSNYSEAKIKVIGVGGGGSNAVNRMIESALKGVEFWIVNTDIQAMKLSPVFPEHRLQIGQELTRGLGAGGNPEIGMNAAKESKESIEAALYGADMVFVTAGMGGGTGTGGAPIIAGVAKSMGILTIGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRTIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDLGIERATGIVWNITGGSDLTLFEVNTAAEVIYDLVDPTANLIFGAVIDPSISGQDLNGKKKPKEGTFRQVS >KJB46432 pep chromosome:Graimondii2_0_v6:7:60055562:60061016:-1 gene:B456_007G368400 transcript:KJB46432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAISISPYFRPSDTRSMGVLNVLGGRVLMENPLGKLRCRSSSPSQRSNMPHFRCSNNSHSVSPYQNKDPFLNMHPEVSMLRGEGNPIVTNPRKDSSSPESLGGMTGSSNYSEAKIKVIGVGGGGSNAVNRMIESALKGVEFWIVNTDIQAMKLSPVFPEHRLQIGQELTRGLGAGGNPEIGMNAAKESKESIEAALYGADMVFVTAGMGGGTGTGGAPIIAGVAKSMGILTIGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRTIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDLGIERATGIVWNITGGSDLTLFEVNTAAEVIYDLVDPTANLIFGAVIDPSISGQVSITLIATGFKRQEETEGRHLQAGQLAQGDTGLGINRRPSFNEGSSFDIPEFLKKKGRSRYPRA >KJB45327 pep chromosome:Graimondii2_0_v6:7:51434255:51435963:-1 gene:B456_007G301400 transcript:KJB45327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEVEIVSKQLIKPTSSTPHHLTTYKLSFLDQFVPSSFYLPTVFFYVNQQTSIPPADIIASNSMRSQLLKESLSQTLTLFYPFAGRIKDHLSIACNDEGAYYVEARVNLPLCAFLNLPDSSYVSQLLPESNWTETSAEGYIAMIQVTTFACGGIAIGTFLSHAIADAPAAATFISSWAALTRKCGEEAPCPNFDASFVFPQSVAYPREATLLGMVNPFVKKGIWQSRRIVFDASAIASLKAKTASSSVPYPTRVEVVSALLSKCIMAASKAKSDIQKSTLIMHAVNLRQRARPQIPNYSMGNFLCLAAALVTAKETQLDNLVCHLRKAIGKIDIDLITALQGDGGWIKYCENMEEIGKASPGTNDTIDFIVFSSWCNMGFYEIDFGWGKPTWVSYAPKTKSDSEMVNTIFLMDTKMRNGIEAWVFLDEQHMVKLEQNQELLAFGILEPSPLNLMS >KJB45326 pep chromosome:Graimondii2_0_v6:7:51434192:51435963:-1 gene:B456_007G301400 transcript:KJB45326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEVEIVSKQLIKPTSSTPHHLTTYKLSFLDQFVPSSFYLPTVFFYVNQQTSIPPADIIASNSMRSQLLKESLSQTLTLFYPFAGRIKDHLSIACNDEGAYYVEARVNLPLCAFLNLPDSSYVSQLLPESNWTETSAEGYIAMIQVTTFACGGIAIGTFLSHAIADAPAAATFISSWAALTRKCGEEAPCPNFDASFVFPQSVAYPREATLLGMVNPFVKKGIWQSRRIVFDASAIASLKAKTASSSVPYPTRVEVVSALLSKCIMAASKAKSDIQKSTLIMHAVNLRQRARPQIPNYSMGNFLCLAAALVTAKETQLDNLVCHLRKAIGKIDIDLITALQGDGGWIKYCENMEEIGKASPGTNDTIDFIVFSSWCNMGFYEIDFGWGKPTWVSYAPKTKSDSEMVNTIFLMDTKMRNGIEAWVFLDEQHMVKLEQNQELLAFGILEPSPLNLMIRVGLDGWYVYLWLV >KJB43559 pep chromosome:Graimondii2_0_v6:7:21196932:21199870:-1 gene:B456_007G205700 transcript:KJB43559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEEESSPANHSKPTASAQEMPTTPAYPDWSSQMQAYYGAGATTPPFFASTVASTTPHPYIWGGQHPLMPPYGTPVPYPVIYPPGGVYAHPNMAIAPSSVPNNADHEEKSADDKDRGATKKSKGTSGSKVEESGKAASGSGKDGGSQSGESGSEGTADGSDENNQQEPGAVKKGSFGQMLADANMQSNTAVALVPGKPVVPIPGTNLNIGMDIWSGAPAATGAAKMRPNVSGAVAAAAPGVVMHDQWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVENLANENCTLRGELQKLSDECEKLSSENSSIKEELMRICGPDALTKLEQENPSSVVEGNS >KJB43561 pep chromosome:Graimondii2_0_v6:7:21196932:21201088:-1 gene:B456_007G205700 transcript:KJB43561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEEESSPANHSKPTASAQEMPTTPAYPDWSSQMQAYYGAGATTPPFFASTVASTTPHPYIWGGQHPLMPPYGTPVPYPVIYPPGGVYAHPNMAIAPSSVPNNADHEEKSADDKDRGATKKSKGTSGSKVEESGKAASGSGKDGGSQSGESGSEGTADGSDENNQQEPGAVKKGSFGQMLADGAAKMRPNVSGAVAAAAPGVVMHDQWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVENLANENCTLRGELQKLSDECEKLSSENSSIKEELMRICGPDALTKLEQENPSSVVEGNS >KJB43560 pep chromosome:Graimondii2_0_v6:7:21196829:21201257:-1 gene:B456_007G205700 transcript:KJB43560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEEESSPANHSKPTASAQEMPTTPAYPDWSSQMQAYYGAGATTPPFFASTVASTTPHPYIWGGQHPLMPPYGTPVPYPVIYPPGGVYAHPNMAIAPSSVPNNADHEEKSADDKDRGATKKSKGTSGSKVEESGKAASGSGKDGGSQSGESGSEGTADGSDENNQQEPGAVKKGSFGQMLADANMQSNTAVALVPGKPVVPIPGTNLNIGMDIWSGAPAATGAAKMRPNVSGAVAAAAPGVVMHDQWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVENLANENCTLRGELQKLSDECEKLSSENSSIKEELMRICGPDALTKLEQENPSSVVEGNS >KJB45742 pep chromosome:Graimondii2_0_v6:7:54421943:54423160:-1 gene:B456_007G324800 transcript:KJB45742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLPTPPPSLLCYFVSRNKLVGDIPSSICNASSLEVLTLWNNSINGTIPECIGNLSSSLSHIDLGNNNFHGGSLPRSLGNCKDLNLLDVGNSNLNDTFPNWLGNLDHLQVLVLRSNKFFGQMDNSDVTVPFPRLRVIDLSHNNFSGYLPTNFFKNLQSIRMGHENKLEPEYMEDVSDYIAFNYAYGLSFTVKGSETEFQSVLTSWMVIDFSDNQFFGELPKTLGELHSLIVLNLSHNCLTGPIPSSLGDLSELESLDLSSNKFQGRIPTELTNLGFLEVLNLSQNNLKGPIPQGKQFDTFTNDSYKENLGLCGLPLSKRCDNDRGTLVKFDTDDELNWKFSILMGYGCGLVLGLNMGYIVFATGKPWWLIRIVERVQQRFTKR >KJB42000 pep chromosome:Graimondii2_0_v6:7:10706174:10708584:-1 gene:B456_007G132100 transcript:KJB42000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRIASRKNVLVCRASSLAPTILNRELSTQTGRKLERKVALITGAASGIGKATAEKFISNGAKVVIADIQHRLGQETAKQLGPNATFIPCDVTKESDISDAVDFVISQHKQLDVMYNNAGVPCYTTPSIADLDLAVFNQVMDINVRGALAGIKHAARVMIPRRAGSILCTASVTGIMGGLAQHTYSISKSAVLGIVKSTAAELCRYGIRINCISPFAVPTPFTINEMSRIYPHIDAEQLARMIHKLGVLGEAALEPSDVADAAVYLASDDGKYVSGHNLVVDGGFTSFKRLEFPAPGQVL >KJB41821 pep chromosome:Graimondii2_0_v6:7:9771209:9773102:-1 gene:B456_007G123200 transcript:KJB41821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIILFVDNSSSNPSISLCRICHEEELESFKSLEVPCACSGTVKFAHRDCIQRWCNEKGNTTCEVCLQEYEPGYTVTVPSKKAQLIEDAVTIRDSLQIPRTEVEAEEQRLVALAEENELSECTSAADRGASCCQSLALTFTVVLLVKHLFAVLNGETDHYPFALLTILFFRATGIILPMYILIRVITAIRNSIRRQLHVSSLSHLPFLNINVIIYKICSFN >KJB41820 pep chromosome:Graimondii2_0_v6:7:9770817:9773131:-1 gene:B456_007G123200 transcript:KJB41820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIILFVDNSSSNPSISLCRICHEEELESFKSLEVPCACSGTVKFAHRDCIQRWCNEKGNTTCEVCLQEYEPGYTVTVPSKKAQLIEDAVTIRDSLQIPRTEVEAEEQRLVALAEENELSECTSAADRGASCCQSLALTFTVVLLVKHLFAVLNGETDHYPFALLTILFFRATGIILPMYILIRVITAIRNSIRRQLHVSDEDISNSDDEDSVEQLQNV >KJB46197 pep chromosome:Graimondii2_0_v6:7:58244933:58247691:1 gene:B456_007G352200 transcript:KJB46197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISATDLYHVLTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLKFIAADTLQKLIVLVLLAIWSRTSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDYSGSLMVQIVVLQCIIWYTLMLFLFEYRGAKLLIAEQFPDTAGSIISFKVDSDVLSLDGKEPLQTDAEVGDDGKLHVTVRKSTSSRSEIFSRRSHGLNSGMGLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGGKNNVSPRQSNYGIGFDEENGVGVFGNNGIRSNGSSYPAPTSAGIFSPVTAPKKANGGGDGGGKDLHMFVWSSSASPVSEGGIHVFKGGDYGNDHHHKDYDEYGRDEFTFGNKAATNGGVDREGPVLSKLGSSSTTELNPKIETKPAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFKWNIQMPAIVAGSISILSNAGLGMAMFSLGKSRCCRILFISGSCLSQVPLFCRLIHGTSTKDNCMREHDRNLCHGGSVPNRSCGYGSCLDCCWVKRCSSPHCYRAGSSSTRDRAFCLCKGVQCSS >KJB46194 pep chromosome:Graimondii2_0_v6:7:58244925:58248498:1 gene:B456_007G352200 transcript:KJB46194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISATDLYHVLTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLKFIAADTLQKLIVLVLLAIWSRTSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDYSGSLMVQIVVLQCIIWYTLMLFLFEYRGAKLLIAEQFPDTAGSIISFKVDSDVLSLDGKEPLQTDAEVGDDGKLHVTVRKSTSSRSEIFSRRSHGLNSGMGLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGGKNNVSPRQSNYGIGFDEENGVGVFGNNGIRSNGSSYPAPTSAGIFSPVTAPKKANGGGDGGGKDLHMFVWSSSASPVSEGGIHVFKGGDYGNDHHHKDYDEYGRDEFTFGNKAATNGGVDREGPVLSKLGSSSTTELNPKIETKPAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFKWNIQMPAIVAGSISILSNAGLGMAMFSLGLFMALQPRIIACGNTIATFAMAVRFLTGPAVMAAASIAVGLRGVLLRIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >KJB46195 pep chromosome:Graimondii2_0_v6:7:58244933:58248438:1 gene:B456_007G352200 transcript:KJB46195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISATDLYHVLTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLKFIAADTLQKLIVLVLLAIWSRTSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDYSGSLMVQIVVLQCIIWYTLMLFLFEYRGAKLLIAEQFPDTAGSIISFKVDSDVLSLDGKEPLQTDAEVGDDGKLHVTVRKSTSSRSEIFSRRSHGLNSGMGLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGGKNNVSPRQSNYGIGFDEENGVGVFGNNGIRSNGSSYPAPTSAGIFSPVTAPKKANGGGDGGGKDLHMFVWSSSASPVSEGGIHVFKGGDYGNDHHHKDYDEYGRDEFTFGNKAATNGGVDREGPVLSKLGSSSTTELNPKIETKPAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFKWNIQMPAIVAGSISILSNAGLGMAMFSLGKSRCCRILFISGSCLSQVPLFCRLIHGTSTKDNCMREHDRNLCHGGSVPNRSCGYGSCLDCCWVKRCSSPHCYRAGSSSTRDRAFCLCKGVQCSS >KJB46196 pep chromosome:Graimondii2_0_v6:7:58244933:58248438:1 gene:B456_007G352200 transcript:KJB46196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISATDLYHVLTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLKFIAADTLQKLIVLVLLAIWSRTSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDYSGSLMVQIVVLQCIIWYTLMLFLFEYRGAKLLIAEQFPDTAGSIISFKVDSDVLSLDGKEPLQTDAEVGDDGKLHVTVRKSTSSRSEIFSRRSHGLNSGMGLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGGKNNVSPRQSNYGIGFDEENGVGVFGNNGIRSNGSSYPAPTSAGIFSPVTAPKKANGGGDGGGKDLHMFVWSSSASPVSEGGIHVFKGGDYGNDHHHKDYDEYGRDEFTFGNKAATNGGVDREGPVLSKLGSSSTTELNPKIETKPAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFKWNIQMPAIVAGSISILSNAGLFMALQPRIIACGNTIATFAMAVRFLTGPAVMAAASIAVGLRGVLLRIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >KJB39219 pep chromosome:Graimondii2_0_v6:7:258569:260946:1 gene:B456_007G002800 transcript:KJB39219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCDRWVMLVIPIIYALSNVSGELKRFEQPSKGDGSLSFLVIGDWGRRGAFNQSQVASQMGKMGEKLDIDFVVSTGDNFYDNGLRSVHDLAFEESFTNIYTANSLQKQWYSVLGNHDYRGDAEAQLSPLLTKIDTRWLCLRSFIVNAELAEIIFVDTTPFVKSYFQDPEDHIYDWRGINPRKHYIANLLKCALRESNAKWKIVVGHHAIKSVGHHGDTRELATHLLPILKANNVDFYMNGHDHCLEHISDTENPIQFLTSGAGSKAWRGDVKQLNREGLKFFYDGQGFMSVQLTQSNAEIAFYDVDGKILHRWNAFKQFGDHSSI >KJB39218 pep chromosome:Graimondii2_0_v6:7:258381:261034:1 gene:B456_007G002800 transcript:KJB39218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCDRWVMLVIPIIYALSNVSGELKRFEQPSKGDGSLSFLVIGDWGRRGAFNQSQVASQMGKMGEKLDIDFVVSTGDNFYDNGLRSVHDLAFEESFTNIYTANSLQKQWYSVLGNHDYRGDAEAQLSPLLTKIDTRWLCLRSFIVNAELAEIIFVDTTPFVKSYFQDPEDHIYDWRGINPRKHYIANLLKDVECALRESNAKWKIVVGHHAIKSVGHHGDTRELATHLLPILKANNVDFYMNGHDHCLEHISDTENPIQFLTSGAGSKAWRGDVKQLNREGLKFFYDGQGFMSVQLTQSNAEIAFYDVDGKILHRWNAFKQFGDHSSI >KJB40837 pep chromosome:Graimondii2_0_v6:7:5646263:5646655:1 gene:B456_007G079600 transcript:KJB40837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYTVSDNKKHLKALISLDLKKLSSFVEAVIQQRVYKIWEVAIPQDCKGIMGLSGKWIENHVEFREYNVQSISFDFT >KJB43592 pep chromosome:Graimondii2_0_v6:7:21932612:21934317:1 gene:B456_007G208600 transcript:KJB43592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTQKCRVLEKNKYWKEFESNRHQFFKILVGDFRNHLRVPKKFTENFREKLLGTIHRRGPSGFMWTVEVEKMFNHVVFQNGWPTFVEEHRLEKADLLVFRYIGNSAFNVVIFDSSGCVREGSYFVREHTNACSNDGCVLDKEDGEDCEDIIDLEKPHIQKRKIKKGRGRPSSKAVDADNQKKRPRVIKSAVEGEVSIDEPDGAPGCAMEDCVEQNLQGSYSLYFILKRREITEEEKQRPRKLSRQRTLLRVPPQNKVWDVRIRSSIWGTAFTRGWAKFVLDNNLGSHDTCVFELSDEGKANGYTMVFDVIIFRVLDEIVPLKKFSRTQTRTAWF >KJB44973 pep chromosome:Graimondii2_0_v6:7:48448577:48451278:1 gene:B456_007G283000 transcript:KJB44973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQQRFQIPRVKLGTQGLEVSKLGFGCMGLTGVYNDSVHEEVGISIIKHAFHRGITFFDTSDFYGPKTNEILVGKALKQLPREKVQLATKFGIEKMDSAGVTINGTPEYVRACIEASLKRLDVDYIDLYYQHRVDTNTPIEDTMSELKKLVEEGKIKYIGLSGASPETIKRAHAVHPITALQIEWSLWTRDLEEEIVPLCRELGIGIVPYSPLGSGFFAGRGVLETMPANSFLPTFPRFQEENLDKNKIIYLKVEKLAKKHGCSPAQLALAWVLHQGDDVAPIPGTTKIKNLDSNSDSLKVELTEEDLKEISNAVPINEVAGEFCLIN >KJB44972 pep chromosome:Graimondii2_0_v6:7:48448577:48451301:1 gene:B456_007G283000 transcript:KJB44972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQQRFQIPRVKLGTQGLEVSKLGFGCMGLTGVYNDSVHEEVGISIIKHAFHRGITFFDTSDFYGPKTNEILVGKALKQLPREKVQLATKFGIEKMDSAGVTINGTPEYVRACIEASLKRLDVDYIDLYYQHRVDTNTPIEDTMSELKKLVEEGKIKYIGLSGASPETIKRAHAVHPITALQIEWSLWTRDLEEEIVPLCRELGIGIVPYSPLGSGFFAGRGVLETMPANSFLPTFPRFQEENLDKNKIIYLKVEKLAKKHGCSPAQLALAWVLHQGDDVAPIPGTTKIKNLDSNSDSLKVELTEEDLKEISNAVPINEVAGEFCLIN >KJB39009 pep chromosome:Graimondii2_0_v6:7:51153304:51154826:-1 gene:B456_007G299700 transcript:KJB39009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLDELGRYTGTFSTFALCGFVRAQALAEEES >KJB39010 pep chromosome:Graimondii2_0_v6:7:51153304:51154844:-1 gene:B456_007G299700 transcript:KJB39010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLDELGRYTGTFSTFALCGFVRAQGDADSALDRLWQKKKAEVRQQ >KJB39012 pep chromosome:Graimondii2_0_v6:7:51153304:51154724:-1 gene:B456_007G299700 transcript:KJB39012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLDELGRYTGTFSTFALCGFVRAQGDADSALDRLWQKKKAEVRQQ >KJB39011 pep chromosome:Graimondii2_0_v6:7:51153304:51154902:-1 gene:B456_007G299700 transcript:KJB39011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLDELGRYTGTFSTFALCGFVRAQGDADSALDRLWQKKKAEVRQQ >KJB39008 pep chromosome:Graimondii2_0_v6:7:51153304:51154961:-1 gene:B456_007G299700 transcript:KJB39008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLDELGRYTGTFSTFALCGFVRAQGDADSALDRLWQKKKAEVRQQ >KJB39279 pep chromosome:Graimondii2_0_v6:7:388436:388897:1 gene:B456_007G005000 transcript:KJB39279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASFSSSSSSSPQVSSKSKCSESLVEFCCSACFFCIFCPLSVVWCCAKLPCKVAGYVIKWACNYCKTDNKVFAEYSSFSDIDLDDMPSLPHKSSPTHSFHPFSCACTRTRHRRSSN >KJB41322 pep chromosome:Graimondii2_0_v6:7:7309286:7314793:-1 gene:B456_007G099000 transcript:KJB41322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FIFAIPPIDRPETARKVFLRRFCLQTVWNFFFFWLSFAVIEFLGNVPLLQRLPSSVFKRIADVVKFKHFEKGDYVVREGEVGDGIYFVWEGEAEVSGSVHAEEENRLEYQLKRYDYFGHVNPESVHVADIIALTKLTCLFLPHEHCTLLQSKSIWSTDRTTETCALVESILHLEPIELNIFQGITLPDAPKFGKVFGGQFVGQALAAASKTVDSLKIVHSLHSYFLMVGDFNIPIIYQVNRLRDGRNFATRRVDAIQKGNIVFTLLASFQKEEEGFDHQEAMMPSVPAPDRLLSLDELRELRLTDPRLPMSYRKKVATKKFVPWPIEIRFCAPNTNTNQTKSDPSLRYWFRAKGKLSDDQALHRCVVAFASDLIFSSVSLNPHRRKGFKSASLSLDHSMWFHRHLRADDWLLFVIVSPTASVTRGFVSGQMFNRKGELVVSLTQEALLRMARPPNPATVSKL >KJB46578 pep chromosome:Graimondii2_0_v6:7:60708466:60712835:1 gene:B456_007G375500 transcript:KJB46578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFGSKKSLIEDFASTALAEHSVVVINGYLQSINIKQVIIALAEILWEQLKLKRKVSSGSLPKVQQPFSSRSMDDLLVFLDGPEMDEKDSFICVVVNNIDGPGLRDTETQQYLARLASCSYIRVVASIDHVNAPLLWDKKMVHAQFNWYWYHVPTFAPYKVEGLFLPLILAHGSSKQSAKTALIVLQSLTPNAQSVFRILAEYQLSHPDDEGMAIDNLYSISRERFLVSSQVTLNAHLTEFKDHELVKTKRHSDGQDCLYIPLTKEGLEKLLSEIS >KJB46577 pep chromosome:Graimondii2_0_v6:7:60708466:60712835:1 gene:B456_007G375500 transcript:KJB46577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNVAEEEEFGFSRNYFLAKEMGSSGKKSARKLSDINVVDEQELREASANIEPKHQNDVADLINSYKSLYPKWVFDLRCGFGLLMYGFGSKKSLIEDFASTALAEHSVVVINGYLQSINIKQVIIALAEILWEQLKLKRKVSSGSLPKVQQPFSSRSMDDLLVFLDGPEMDEKDSFICVVVNNIDGPGLRDTETQQYLARLASCSYIRVVASIDHVNAPLLWDKKMVHAQFNWYWYHVPTFAPYKVEGLFLPLILAHGSSKQSAKTALIVLQSLTPNAQSVFRILAEYQLSHPDDEGMAIDNLYSISRERFLVSSQVTLNAHLTEFKDHELVKTKRHSDGQDCLYIPLTKEGLEKLLSEIS >KJB46579 pep chromosome:Graimondii2_0_v6:7:60710580:60712835:1 gene:B456_007G375500 transcript:KJB46579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLLVFLDGPEMDEKDSFICVVVNNIDGPGLRDTETQQYLARLASCSYIRVVASIDHVNAPLLWDKKMVHAQFNWYWYHVPTFAPYKVEGLFLPLILAHGSSKQSAKTALIVLQSLTPNAQSVFRILAEYQLSHPDDEGMAIDNLYSISRERFLVSSQVTLNAHLTEFKDHELVKTKRHSDGQDCLYIPLTKEGLEKLLSEIS >KJB45252 pep chromosome:Graimondii2_0_v6:7:50942771:50945370:-1 gene:B456_007G298500 transcript:KJB45252 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MSADKHSRPKPLNIEEEQFMRVFYENKLREVCSAFYFPNKIQATALIYFKRFYLQWSVMEHHPKHIMLTCVYAACKIEENHVSAEELGKGISQDHQMILNYEMIVYQSLEFDLIVYAPYRSVEGFVNDMEEFCGVKDDENQMLKDLQETARLEVDKIMLTDAPHLFPPGQLALAALRNANEMHRVLDFEGYLRRILARQNSKHTILQLIESLDAIDAWVRKFKFPTEKDMKHINRKLKSCWGHSSHDDKKREKKRHKSHKSLNEAHNGPTLA >KJB45253 pep chromosome:Graimondii2_0_v6:7:50942347:50946279:-1 gene:B456_007G298500 transcript:KJB45253 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFHTSTHRANWIFSPQELVEKYKAANQRAIQTLEKYGTTQMEVDADGSLSYPEPIARDNADKHSRPKPLNIEEEQFMRVFYENKLREVCSAFYFPNKIQATALIYFKRFYLQWSVMEHHPKHIMLTCVYAACKIEENHVSAEELGKGISQDHQMILNYEMIVYQSLEFDLIVYAPYRSVEGFVNDMEEFCGVKDDENQMLKDLQETARLEVDKIMLTDAPHLFPPGQLALAALRNANEMHRVLDFEGYELILARQNSKHTILQLIESLDAIDAWVRKFKFPTEKDMKHINRKLKSCWGHSSHDDSKKREKKRHKSHKSLNEAHNGPTLA >KJB45249 pep chromosome:Graimondii2_0_v6:7:50942347:50946279:-1 gene:B456_007G298500 transcript:KJB45249 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MEVDADGSLSYPEPIARDNADKHSRPKPLNIEEEQFMRVFYENKLREVCSAFYFPNKIQATALIYFKRFYLQWSVMEHHPKHIMLTCVYAACKIEENHVSAEELGKGISQDHQMILNYEMIVYQSLEFDLIVYAPYRSVEGFVNDMEEFCGVKDDENQMLKDLQETARLEVDKIMLTDAPHLFPPGQLALAALRNANEMHRVLDFEGYLRRILARQNSKHTILQLIESLDAIDAWVRKFKFPTEKDMKHINRKLKSCWGHSSHDDKKREKKRHKSHKSLNEAHNGPTLA >KJB45246 pep chromosome:Graimondii2_0_v6:7:50942347:50946279:-1 gene:B456_007G298500 transcript:KJB45246 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFHTSTHRANWIFSPQELVEKYKAANQRAIQTLEKYGTTQMEVDADGSLSYPEPIARDNADKHSRPKPLNIEEEQFMRVFYENKLREVCSAFYFPNKIQATALIYFKRFYLQWSVMEHHPKHIMLTCVYAACKIEENHVSAEELGKGISQDHQMILNYEMIVYQSLEFDLIVYAPYRSVEGFVNDMEEFCGVKDDENQMLKDLQETARLEVDKIMLTDAPHLFPPGQLALAALRNANEMHRVLDFEGYLRRILARQNSKHTILQLIESLDAIDAWVRKFKFPTEKDMKHINRKLKSCWGHSSHDDSKKREKKRHKSHKSLNEAHNGPTLA >KJB45247 pep chromosome:Graimondii2_0_v6:7:50942277:50946343:-1 gene:B456_007G298500 transcript:KJB45247 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFHTSTHRANWIFSPQELVEKYKAANQRAIQTLEKYGTTQMEVDADGSLSYPEPIARDNADKHSRPKPLNIEEEQFMRVFYENKLREVCSAFYFPNKIQATALIYFKRFYLQWSVMEHHPKHIMLTCVYAACKIEENHVSAEELGKGISQDHQMILNYEMIVYQSLEFDLIVYAPYRSVEGFVNDMEEFCGVKDDENQMLKDLQETARLEVDKIMLTDAPHLFPPGQLALAALRNANEMHRVLDFEGYLRRILARQNSKHTILQLIESLDAIDAWVRKFKFPTEKDMKHINRKLKSCWGHSSHDDKKREKKRHKSHKSLNEAHNGPTLA >KJB45254 pep chromosome:Graimondii2_0_v6:7:50943300:50946170:-1 gene:B456_007G298500 transcript:KJB45254 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFHTSTHRANWIFSPQELVEKYKAANQRAIQTLEKYGTTQMEVDADGSLSYPEPIARDNADKHSRPKPLNIEEEQFMRVFYENKLREVCSAFYFPNKIQATALIYFKRFYLQWSVMEHHPKHIMLTCVYAACKIEENHVSAEELGKGISQDHQMILNYEMIVYQSLEFDLIVYAPYRSVEGFVNDMEEFCGVKDDENQMLKDLQETARLEVDKIMLTDAPHLFPPGQLALAALRNANEMHRVLDFEGYELLHNS >KJB45250 pep chromosome:Graimondii2_0_v6:7:50942347:50946279:-1 gene:B456_007G298500 transcript:KJB45250 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFHTSTHRANWIFSPQELVEKYKAANQRAIQTLEKYGTTQMEVDADGSLSYPEPIARDNADKHSRPKPLNIEEEQFMRVFYENKLREVCSAFYFPNKIQATALIYFKRFYLQWSVMEHHPKHIMLTCVYAACKIEENHVSAEELGKGISQDHQMILNYEMIVYQSLEFDLIVYAPYRSVEGFVNDMEEFCGVKDDENQMLKDLQETARLEVDKIMLTDAPHLFPPGQLALAALRNANEMHRVLDFEGLCCVLCRYLRRILARQNSKHTILQLIESLDAIDAWVRKFKFPTEKDMKHINRKLKSCWGHSSHDDSKKREKKRHKSHKSLNEAHNGPTLA >KJB45248 pep chromosome:Graimondii2_0_v6:7:50942347:50945220:-1 gene:B456_007G298500 transcript:KJB45248 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MINVILFVVHVLQATALIYFKRFYLQWSVMEHHPKHIMLTCVYAACKIEENHVSAEELGKGISQDHQMILNYEMIVYQSLEFDLIVYAPYRSVEGFVNDMEEFCGVKDDENQMLKDLQETARLEVDKIMLTDAPHLFPPGQLALAALRNANEMHRVLDFEGYLRRILARQNSKHTILQLIESLDAIDAWVRKFKFPTEKDMKHINRKLKSCWGHSSHDDKKREKKRHKSHKSLNEAHNGPTLA >KJB45251 pep chromosome:Graimondii2_0_v6:7:50942868:50946170:-1 gene:B456_007G298500 transcript:KJB45251 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFHTSTHRANWIFSPQELVEKYKAANQRAIQTLEKYGTTQMEVDADGSLSYPEPIARDNADKHSRPKPLNIEEEQFMRVFYENKLREVCSAFYFPNKIQATALIYFKRFYLQWSVMEHHPKHIMLTCVYAACKIEENHVSAEELGKGISQDHQMILNYEMIVYQSLEFDLIVYAPYRSVEGFVNDMEEFCGVKDDENQMLKDLQETARLEVDKIMLTDAPHLFPPGQLALAALRNANEMHRVLDFEGYLRRILARQNSKHTILQLIESLDAIDAWVRKFKFPTEKDMKHINRKLKSCWGHSSHDEYVLYKSLYVDTRCFVCIPTFYVDSC >KJB41396 pep chromosome:Graimondii2_0_v6:7:7641639:7647363:1 gene:B456_007G102600 transcript:KJB41396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLENEEQHSLDQPDISKESQKKSRISYTREYLLSLSELDICKKLPEGFDQSIFGELEDTSQDRQRIPGTLSGYRRNEYSSSPPTRGDYSRGIHGRWDSRSSGKSDRDSDSQSDWDSDHGRRHGNQSRRSWQGPEHDGLLGSGSFPRPSGYTAGASASKLRANEQCHLNRSNEPYHPPRPYKAVPHSRRETNDSYNDETFGSTECTSDDRAEEERKRRASFESWRKEQQKAFQEKKINPERRKDNFDISELLEDSKVDKGLANRNKESDEPIPASNIVSDRTSLPSQTPASRPLVPPGFASTVLERNVGTKTSMHSHSSQVGNSEIDSNLSESKGSLLSNGISDDLAGKPSKPNEEETLSERRLGIKNIHPLDNNKSVKAPTFSSALDKLNDTISKDSQIYNSSSLSEAFMAPGNNEVTELDSKKLVADKIVTETNQDGSISILDKLFGNALTANEGGSTNYTEPNDSNADETWAPDTFHSSKFAHLFLDEEKKTIDDLSLGRPKDLLSFIQGGEKGGSHDRLATKHGELNFPFQNSELADKHVRSNLLSPRIENSEQSWNIKDVNKSAAVPTVLTCEDLEKSILSESTGNDPRLPPAIEGCKIPDAKCEKQEVIVDNHASQHLLSLLQNKTSMKNIVSSANLDIGSSERVNTIETTSADTASCDSIDTNAENASSSGKSLTLEALFGSAFMKELQSVGAPASVQRGSIESARVDVFKSNRLPLHVTDDSLLPSSGHVGSNRTNFEKNISPFTQREQMKSDGIEEHLLGYNDAPSAADSSHIRAGLGSKLGGFDGSAKIGLPEEDSLLGVSNPLKLQNFMAGGVKAELSPSQETPIDVAEKLAALKAVFQDERPVVGGKEVPVFLPGPYDLREPDIPLHNQNVQASSPQLHPQLNHGGPLFHSLDSHHSSIGSQVKFMGPEGLVYHDAPPNHQLSANMLRPLHHPSSGLTGFDSPIHHPMLQQMHMPGNFPSPHLQRRIPGVAPPAPHSNNQMTGLQEMNPMHGFQLGHGQRQPQPNFAGLGMPPGKVFNTCLVSFSSLPISTLENLPAHFILGNAYS >KJB41398 pep chromosome:Graimondii2_0_v6:7:7641639:7648061:1 gene:B456_007G102600 transcript:KJB41398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLENEEQHSLDQPDISKESQKKSRISYTREYLLSLSELDICKKLPEGFDQSIFGELEDTSQDRQRIPGTLSGYRRNEYSSSPPTRGDYSRGIHGRWDSRSSGKSDRDSDSQSDWDSDHGRRHGNQSRRSWQGPEHDGLLGSGSFPRPSGYTAGASASKLRANEQCHLNRSNEPYHPPRPYKAVPHSRRETNDSYNDETFGSTECTSDDRAEEERKRRASFESWRKEQQKAFQEKKINPERRKDNFDISELLEDSKVDKGLANRNKESDEPIPASNIVSDRTSLPSQTPASRPLVPPGFASTVLERNVGTKTSMHSHSSQVGNSEIDSNLSESKGSLLSNGISDDLAGKPSKPNEEETLSERRLGIKNIHPLDNNKSVKAPTFSSALDKLNDTISKDSQIYNSSSLSEAFMAPGNNEVTELDSKKLVADKIVTETNQDGSISILDKLFGNALTANEGGSTNYTEPNDSNADETWAPDTFHSSKFAHLFLDEEKKTIDDLSLGRPKDLLSFIQGGEKGGSHDRLATKHGELNFPFQNSELADKHVRSNLLSPRIENSEQSWNIKDVNKSAAVPTVLTCEDLEKSILSESTGNDPRLPPAIEGCKIPDAKCEKQEVIVDNHASQHLLSLLQNKTSMKNIVSSANLDIGSSERVNTIETTSADTASCDSIDTNAENASSSGKSLTLEALFGSAFMKELQSVGAPASVQRGSIESARVDVFKSNRLPLHVTDDSLLPSSGHVGSNRTNFEKNISPFTQREQMKSDGIEEHLLGYNDAPSAADSSHIRAGLGSKLGGFDGSAKIGLPEEDSLLGVSNPLKLQNFMAGGVKAELSPSQETPIDVAEKLAALKAVFQDERPVVGGKEVPVFLPGPYDLREPDIPLHNQNVQASSPQLHPQLNHGGPLFHSLDSHHSSIGSQVKFMGPEGLVYHDAPPNHQLSANMLRPLHHPSSGLTGFDSPIHHPMLQQMHMPGNFPSPHLQRRIPGVAPPAPHSNNQMTGLQEMNPMHGFQLGHGQRQPQPNFAGLGMPPGHDVGSGSHHPEALQRLIEMELRSKSKQLSPFGAPSHGQGQGMYGHELDMGFQYR >KJB41395 pep chromosome:Graimondii2_0_v6:7:7641639:7648061:1 gene:B456_007G102600 transcript:KJB41395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLENEEQHSLDQPDISKESQKKSRISYTREYLLSLSELDICKKLPEGFDQSIFGELEDTSQDRQRIPGTLSGYRRNEYSSSPPTRGDYSRGIHGRWDSRSSGKSDRDSDSQSDWDSDHGRRHGNQSRRSWQGPEHDGLLGSGSFPRPSGYTAGASASKLRANEQCHLNRSNEPYHPPRPYKAVPHSRRETNDSYNDETFGSTECTSDDRAEEERKRRASFESWRKEQQKAFQEKKINPERRKDNFDISELLEDSKVDKGLANRNKESDEPIPASNIVSDRTSLPSQTPASRPLVPPGFASTVLERNVGTKTSMHSHSSQVGNSEIDSNLSESKGSLLSNGISDDLAGKPSKPNEEETLSERRLGIKNIHPLDNNKSVKAPTFSSALDKLNDTISKDSQIYNSSSLSEAFMAPGNNEVTELDSKKLVADKIVTETNQDGSISILDKLFGNALTANEGGSTNYTEPNDSNADETWAPDTFHSSKFAHLFLDEEKKTIDDLSLGRPKDLLSFIQGGEKGGSHDRLATKHGELNFPFQNSELADKHVRSNLLSPRIENSEQSWNIKDVNKSAAVPTVLTCEDLEKSILSESTGNDPRLPPAIEGCKIPDAKCEKQEVIVDNHASQHLLSLLQNKTSMKNIVSSANLDIGSSERVNTIETTSADTASCDSIDTNAENASSSGKSLTLEALFGSAFMKELQSVGAPASVQRGSIESARVDVFKSNRLPLHVTDDSLLPSSGHVGSNRTNFEKNISPFTQREQMKSDGIEEHLLGYNDAPSAADSSHIRAGLGSKLGGFDGSAKIGLPEEDSLLGVSNPLKLQNFMAGGVKAELSPSQETPIDVAEKLAALKAVFQDERPVVGGKEVPVFLPGPYDLREPDIPLHNQNVQASSPQLHPQLNHGGPLFHSLDSHHSSIGSQVKFMGPEGLVYHDAPPNHQLSANMLRPLHHPSSGLTGFDSPIHHPMLQQMHMPGNFPSPHLQRRIPGVAPPAPHSNNQMTGLQEMNPMHGFQLGHGQRQPQPNFAGLGMPPGHDVGSGSHHPEALQRLIEMELRSKSKQLSPFGAPSHGQGQGMYGHELDMGFQYR >KJB41397 pep chromosome:Graimondii2_0_v6:7:7641557:7648061:1 gene:B456_007G102600 transcript:KJB41397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLENEEQHSLDQPDISKESQKKSRISYTREYLLSLSELDICKKLPEGFDQSIFGELEDTSQDRQRIPGTLSGYRRNEYSSSPPTRGDYSRGIHGRWDSRSSGKSDRDSDSQSDWDSDHGRRHGNQSRRSWQGPEHDGLLGSGSFPRPSGYTAGASASKLRANEQCHLNRSNEPYHPPRPYKAVPHSRRETNDSYNDETFGSTECTSDDRAEEERKRRASFESWRKEQQKAFQEKKINPERRKDNFDISELLEDSKVDKGLANRNKESDEPIPASNIVSDRTSLPSQTPASRPLVPPGFASTVLERNVGTKTSMHSHSSQVGNSEIDSNLSESKGSLLSNGISDDLAGKPSKPNEEETLSERRLGIKNIHPLDNNKSVKAPTFSSALDKLNDTISKDSQIYNSSSLSEAFMAPGNNEVTELDSKKLVADKIVTETNQDGSISILDKLFGNALTANEGGSTNYTEPNDSNADETWAPDTFHSSKFAHLFLDEEKKTIDDLSLGRPKDLLSFIQGGEKGGSHDRLATKHGELNFPFQNSELADKHVRSNLLSPRIENSEQSWNIKDVNKSAAVPTVLTCEDLEKSILSESTGNDPRLPPAIEGCKIPDAKCEKQEVIVDNHASQHLLSLLQNKTSMKNIVSSANLDIGSSERVNTIETTSADTASCDSIDTNAENASSSGKSLTLEALFGSAFMKELQSVGAPASVQRGSIESARVDVFKSNRLPLHVTDDSLLPSSGHVGSNRTNFEKNISPFTQREQMKSDGIEEHLLGYNDAPSAADSSHIRAGLGSKLGGFDGSAKIGLPEEDSLLGVSNPLKLQNFMAGGVKAELSPSQETPIDVAEKLAALKAVFQDERPVVGGKEVPVFLPGPYDLREPDIPLHNQNVQASSPQLHPQLNHGGPLFHSLDSHHSSIGSQVKFMGPEGLVYHDAPPNHQLSANMLRPLHHPSSGLTGFDSPIHHPMLQQMHMPGNFPSPHLQRRIPGVAPPAPHSNNQMTGLQEMNPMHGFQLGHGQRQPQPNFAGLGMPPGHDVGSGSHHPEALQRLIEMELRSKSKQLSPFGAPSHGQGQGMYGHELDMGFQYR >KJB41399 pep chromosome:Graimondii2_0_v6:7:7641639:7648061:1 gene:B456_007G102600 transcript:KJB41399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLENEEQHSLDQPDISKESQKKSRISYTREYLLSLSELDICKKLPEGFDQSIFGELEDTSQDRQRIPGTLSGYRRNEYSSSPPTRGDYSRGIHGRWDSRSSGKSDRDSDSQSDWDSDHGRRHGNQSRRSWQGPEHDGLLGSGSFPRPSGYTAGASASKLRANEQCHLNRSNEPYHPPRPYKAVPHSRRETNDSYNDETFGSTECTSDDRAEEERKRRASFESWRKEQQKAFQEKKINPERRKDNFDISELLEDSKVDKGLANRNKESDEPIPASNIVSDRTSLPSQTPASRPLVPPGFASTVLERNVGTKTSMHSHSSQVGNSEIDSNLSESKGSLLSNGISDDLAGKPSKPNEEETLSERRLGIKNIHPLDNNKSVKAPTFSSALDKLNDTISKDSQIYNSSSLSEAFMAPGNNEVTELDSKKLVADKIVTETNQDGSISILDKLFGNALTANEGGSTNYTEPNDSNADETWAPDTFHSSKFAHLFLDEEKKTIDDLSLGRPKDLLSFIQGGEKGGSHDRLATKHGELNFPFQNSELADKHVRSNLLSPRIENSEQSWNIKDVNKSAAVPTVLTCEDLEKSILSESTGNDPRLPPAIEGCKIPDAKCEKQEVIVDNHASQHLLSLLQNKTSMKNIVSSANLDIGSSERVNTIETTSADTASCDSIDTNAENASSSGKSLTLEALFGSAFMKELQSVGAPASVQRGSIESARVDVFKSNRLPLHVTDDSLLPSSGHVGSNRTNFEKNISPFTQREQMKSDGIEEHLLGYNDAPSAADSSHIRAGLGSKLGGFDGSAKIGLPEEDSLLGVSNPLKLQNFMAGGVKAELSPSQETPIDVAEKLAALKAVFQDERPVVGGKEVPVFLPGPYDLREPDIPLHNQNVQASSPQLHPQLNHGGPLFHSLDSHHSSIGSQVKFMGPEGLVYHDAPPNHQLSANMLRPLHHPSSGLTGFDSPIHHPMLQQMHMPGNFPSPHLQRRIPGVAPPAPHSNNQMTGLQEMNPMHGFQLGHGQRQPQPNFAGLGMPPGPPNSAGKMKRKFPAICCRLSCHDVGSGSHHPEALQRLIEMELRSKSKQLSPFGAPSHGQGQGMYGHELDMGFQYR >KJB45322 pep chromosome:Graimondii2_0_v6:7:51397767:51398524:-1 gene:B456_007G301100 transcript:KJB45322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSIQRFIFSSNFLFLFLLVPQSFVPETIALENNNPTAYEVLKDFGFPIGILPKGVVGYDFDHSSGKFSAFLNGSCSFTIEGSYRLKYKNTIKGYLSKGKIASLEGVSVKLFFMWVNIVEVSRRGDDLEFSVGIAGAGFPVDNFEECPQCGCGVNCNDINQQKVRKIWKNPLVSSN >KJB41718 pep chromosome:Graimondii2_0_v6:7:9154787:9157411:-1 gene:B456_007G116900 transcript:KJB41718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHDDPRNGESTHRSSKKPKISSQFITESDIRDEFSHHHPRVARINNGSFGSCPGSVLAAQRHWQLQFLRQPDAFYFNSLRDGITASRKIIKDLINADHVDEVSLVDNATTAAAIVLQRIGRSFAEGKFQKNDTVLMLHCAYEAVKKSIQAYVTRAGGSVIEVRLPFPVNSEEEIISELKKSIDEGKSNGRRIRLAIIDHITSMPSVVIPLKELVRICRAEGIEQVFVDAAHAIGSVKVDVKEVGADFYVSNLHKWFFCPPSVAFLYCNKSTTSSDLHHPVVSHEYGNGLPIESSWTGTRDYSSQLVVPAVLEFVNRFEGGIEGIMKRNHDQVVKMGKMLVESWGTNLGSPPEMSAAMIMVGLPSRLCLNSEKDALKLRSHLRDCYEVEVPIFHQVPKDGEEGVRDKDGFITGYVRISHQVYNTLEDYEKLRDAINQLVEDGKTCKMLFKE >KJB41717 pep chromosome:Graimondii2_0_v6:7:9154813:9157411:-1 gene:B456_007G116900 transcript:KJB41717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHDDPRNGESTHRSSKKPKISSQFITESDIRDEFSHHHPRVARINNGSFGSCPGSVLAAQRHWQLQFLRQPDAFYFNSLRDGITASRKIIKDLINADHVDEVSLVDNATTAAAIVLQRIGRSFAEGKFQKNDTVLMLHCAYEAVKKSIQAYVTRAGGSVIEVRLPFPVNSEEEIISELKKSIDEGKSNGRRIRLAIIDHITSMPSVVIPLKELVRICRAEGIEQVFVDAAHAIGSVKVDVKEVGADFYVSNLHKWFFCPPSVAFLYCNKSTTSSDLHHPVVSHEYGNGLPIESSWTGTRDYSSQLVVPAVLEFVNRFEGGIEGIMKRNHDQVVKMGKMLVESWGTNLGSPPEMSAAMIMVGLPSRLCLNSEKDALKLRSHLRDCYEVEVPIFHQVPKDGEEGVRDKDGFITGYVRISHQVYNTLEDYEKLRDAINQLVEDGKTCKMLFKE >KJB44084 pep chromosome:Graimondii2_0_v6:7:30309313:30311413:-1 gene:B456_007G233900 transcript:KJB44084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVRDAFWRWKRIVGEATKKAEDLAGNTWQHLKTSPSLAEAAMGRIAQGTKVLAEGGYEKIFRQTFETVPEEKLQDSFACYLSTSAGPVMGVLYVSTEKLAYCSDSPLSYKNGTQTEWSYYKVIIPLHQLKAINPSTSKVNASEKYIQVTSVDAHEFWFMGFLNYEAAVQCLQEVLQLNSLQSV >KJB44083 pep chromosome:Graimondii2_0_v6:7:30310042:30311347:-1 gene:B456_007G233900 transcript:KJB44083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLKKETEPKSSSTQSMAQEPNAKVDPKNSDPEMDAEGFVIVPTTEPEKEKEKEGQIQNEQSPNQAQSGLRNLVHPLDSGSPAADRDANMSAPNRYNPNLAQAPAMDSSSASFKEKMDIVRDAFWRWKRIVGEATKKAEDLAGNTWQHLKTSPSLAEAAMGRIAQGTKVLAEGGYEKIFRQTFETVPEEKLQDSFACYLSTSAGPVMGVLYVSTEKLAYCSDSPLSYKNGTQTEWSYYKVFFLQPLHACI >KJB44082 pep chromosome:Graimondii2_0_v6:7:30309273:30311609:-1 gene:B456_007G233900 transcript:KJB44082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLKKETEPKSSSTQSMAQEPNAKVDPKNSDPEMDAEGFVIVPTTEPEKEKEKEGQIQNEQSPNQAQSGLRNLVHPLDSGSPAADRDANMSAPNRYNPNLAQAPAMDSSSASFKEKMDIVRDAFWRWKRIVGEATKKAEDLAGNTWQHLKTSPSLAEAAMGRIAQGTKVLAEGGYEKIFRQTFETVPEEKLQDSFACYLSTSAGPVMGVLYVSTEKLAYCSDSPLSYKNGTQTEWSYYKVIIPLHQLKAINPSTSKVNASEKYIQVTSVDAHEFWFMGFLNYEAAVQCLQEVLQLNSLQSV >KJB44560 pep chromosome:Graimondii2_0_v6:7:42551644:42559041:1 gene:B456_007G259700 transcript:KJB44560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSPQGHKQSLQKSMRKVSPGASHKDLWLTVREGSLPDVDSVLALLKKAGGNINSRNSFGLTPLHIATWRNNIPVIRRLLAAGADPDARDGESGWSSLHRALHFGHLAVASVLLQSGASITLEDSKCRTPVDLLSGPVLQVFESAQDSVATEVFSWGSGVNYQLGTGNAHIQKLPCKLDSFHGSKIKLVSAAKFHSIAVTARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGAHRVKAIAAAKHHTVIATEGGDVFTWGSNREGQLGYTSVDTQPTPRRVSSLRSRIVAVAAANKHTAVVSASGEVFTWGCNREGQLGYGTSNSASNYTPRIVEYLKSKVFVGVATAKYHTIVLGADGEVYTWGHRLVTPRRVVITRTLKKSGSTPLKFHRKERLHVVAIAAGMVHSIAMTEDGALFYWVSSDPDLRCQQLYSLCGKKMVSISAGKYWAAAATATGDVYMWDGKQSTDKRPVATRLHGIKRATSVSVGETHLLTIGSLYHPVYPPSMPKSDKAPKLKVNDEVEEFDEECMFDDLESSSITSAHKNDSEQKPIPSLKSLCEKVAAECLVEPRNAIQLLEIADSLGADDLKKHCEDIIIHNLDYILTVSSQAFASASPDVLANLEKSLDLRSSESWSYRRLPTHTATFPVIINSEDEDSEREVLRTRNNNKNKNPLENGDRLDSFLQPKDDPNLGISKQVRALWKKLQQIEVLEEKQLSGCILDDQQIAKLQTRAALENSLAELGIPVERSHLKGSCSILSDGKGNKMAEVSRKQRRKSKQRVSQVETVSGFCTTNKEPNSVKGFSDVENPQVLMTKEENRGCEGATQNQASIESRFFVQKKDSSVPAKDKGTSQTATKKKNRKGGLSMFLSGALDDSPKQVTPLAPTPRSEGPAWGGAKVSKGSASLREIQDEQSKIQVNQKTGSKNQVEDLFAGKSEGKILLSSFLPSKPIPVVSVQTSQASDAERSTPPWASSGTPPHLSRPSLRDIQMQQGKQLHGLSHSPKMKMSGFSVASSQGSPSDTPGVNRWFKPEIEAPSSIRSIQIEERAIKDLKRFYSSVKVVKNQS >KJB44563 pep chromosome:Graimondii2_0_v6:7:42551699:42559020:1 gene:B456_007G259700 transcript:KJB44563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSPQGHKQSLQKSMRKVSPGASHKDLWLTVREGSLPDVDSVLALLKKAGGNINSRNSFGLTPLHIATWRNNIPVIRRLLAAGADPDARDGESGWSSLHRALHFGHLAVASVLLQSGASITLEDSKCRTPVDLLSGPVLQVFESAQDSVATEVFSWGSGVNYQLGTGNAHIQKLPCKLDSFHGSKIKLVSAAKFHSIAVTARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGAHRVKAIAAAKHHTVIATEGGDVFTWGSNREGQLGYTSVDTQPTPRRVSSLRSRIVAVAAANKHTAVVSASGEVFTWGCNREGQLGYGTSNSASNYTPRIVEYLKSKVFVGVATAKYHTIVLGADGEVYTWGHRLVTPRRVVITRTLKKSGSTPLKFHRKERLHVVAIAAGMVHSIAMTEDGALFYWVSSDPDLRCQQLYSLCGKKMVSISAGKYWAAAATATGDVYMWDGKQSTDKRPVATRLHGIKRATSVSVGETHLLTIGSLYHPVYPPSMPKSDKAPKLKVNDEVEEFDEECMFDDLESSSITSAHKNDSEQKPIPSLKSLCEKVAAECLVEPRNAIQLLEIADSLGADDLKKHCEDIIIHNLDYILTVSSQAFASASPDVLANLEKSLDLRSSESWSYRRLPTHTATFPVIINSEDEDSEREVLRTRNNNKNKNPLENGDRLDSFLQPKDDPNLGISKQVRALWKKLQQIEVLEEKQLSGCILDDQQIAKLQTRAALENSLAELGIPVERSHLKGSCSILSDGKGNKMAEVSRKQRRKSKQRVSQVETVSGFCTTNKEPNSVKGFSDVENPQVLMTKEENRGCEGATQNQASIESRFFVQKKDSSVPAKDKGTSQTATKKKNRKGGLSMFLSGALDDSPKQVTPLAPTPRSEGPAWGGAKVSKGSASLREIQDEQSKIQVNQKTGSKNQVEDLFAGKSEGKILLSSFLPSKPIPVVSVQTSQASDAERSTPPWASSGTPPHLSRPSLRDIQMQQQGKQLHGLSHSPKMKMSGFSVASSQGSPSDTPGVNRWFKPEIEAPSSIRSIQIEERAIKDLKRFYSSVKVVKNQS >KJB44561 pep chromosome:Graimondii2_0_v6:7:42551644:42559041:1 gene:B456_007G259700 transcript:KJB44561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSPQGHKQSLQKSMRKVSPGASHKDLWLTVREGSLPDVDSVLALLKKAGGNINSRNSFGLTPLHIATWRNNIPVIRRLLAAGADPDARDGESGWSSLHRALHFGHLAVASVLLQSGASITLEDSKCRTPVDLLSGPVLQVFESAQDSVATEVFSWGSGVNYQLGTGNAHIQKLPCKLDSFHGSKIKLVSAAKFHSIAVTARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGAHRVKAIAAAKHHTVIATEGGDVFTWGSNREGQLGYTSVDTQPTPRRVSSLRSRIVAVAAANKHTAVVSASGEVFTWGCNREGQLGYGTSNSASNYTPRIVEYLKSKVFVGVATAKYHTIVLGADGEVYTWGHRLVTPRRVVITRTLKKSGSTPLKFHRKERLHVVAIAAGMVHSIAMTEDGALFYWVSSDPDLRCQQLYSLCGKKMVSISAGKYWAAAATATGDVYMWDGKQSTDKRPVATRLHGIKRATSVSVGETHLLTIGSLYHPVYPPSMPKSDKAPKLKVNDEVEEFDEECMFDDLESSSITSAHKNDSEQKPIPSLKSLCEKVAAECLVEPRNAIQLLEIADSLGADDLKKHCEDIIIHNLDYILTVSSQAFASASPDVLANLEKSLDLRSSESWSYRRLPTHTATFPVIINSEDEDSEREVLRTRNNNKNKNPLENGDRLDSFLQPKDDPNLGISKQVRALWKKLQQIEVLEEKQLSGCILDDQQIAKLQTRAALENSLAELGIPVERSHLKGSCSILSDGKGNKMAEVSRKQRRKSKQRVSQVETVSGFCTTNKEPNSVKGFSDVENPQVLMTKEENRGCEGATQNQASIESRFFVQKKDSSVPAKDKGTSQTATKKKNRKGGLSMFLSGALDDSPKQVTPLAPTPRSEGPAWGGAKVSKGSASLREIQDEQSKIQVNQKTGSKNQVEDLFAGKSEGKILLSSFLPSKPIPVVSVQTSQASDAERSTPPWASSGTPPHLSRPSLRDIQMQQGKQLHGLSHSPKMKMSGFSVASSQGSPSDTPGVNRWFKPEIEAPSSIRSIQIEERAIKDLKRFYSSVKVVKNQS >KJB44559 pep chromosome:Graimondii2_0_v6:7:42551644:42559041:1 gene:B456_007G259700 transcript:KJB44559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSPQGHKQSLQKSMRKVSPGASHKDLWLTVREGSLPDVDSVLALLKKAGGNINSRNSFGLTPLHIATWRNNIPVIRRLLAAGADPDARDGESGWSSLHRALHFGHLAVASVLLQSGASITLEDSKCRTPVDLLSGPVLQVFESAQDSVATEVFSWGSGVNYQLGTGNAHIQKLPCKLDSFHGSKIKLVSAAKFHSIAVTARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGAHRVKAIAAAKHHTVIATEGGDVFTWGSNREGQLGYTSVDTQPTPRRVSSLRSRIVAVAAANKHTAVVSASGEVFTWGCNREGQLGYGTSNSASNYTPRIVEYLKSKVFVGVATAKYHTIVLGADGEVYTWGHRLVTPRRVVITRTLKKSGSTPLKFHRKERLHVVAIAAGMVHSIAMTEDGALFYWVSSDPDLRCQQLYSLCGKKMVSISAGKYWAAAATATGDVYMWDGKQSTDKRPVATRLHGIKRATSVSVGETHLLTIGSLYHPVYPPSMPKSDKAPKLKVNDEVEEFDEECMFDDLESSSITSAHKNDSEQKPIPSLKSLCEKVAAECLVEPRNAIQLLEIADSLGADDLKKHCEDIIIHNLDYILTVSSQAFASASPDVLANLEKSLDLRSSESWSYRRLPTHTATFPVIINSEDEDSEREVLRTRNNNKNKNPLENGDRLDSFLQPKDDPNLGISKQVRALWKKLQQIEVLEEKQLSGCILDDQQIAKLQTRAALENSLAELGIPVERSHLKGSCSILSDGKGNKMAEVSRKQRRKSKQRVSQVETVSGFCTTNKEPNSVKGFSDVENPQVLMTKEENRGCEGATQNQASIESRFFVQKKDSSVPAKDKGTSQTATKKKNRKGGLSMFLSGALDDSPKQVTPLAPTPRSEGPAWGGAKVSKGSASLREIQDEQSKIQVNQKTGSKNQVEDLFAGKSEGKILLSSFLPSKPIPVVSVQTSQASDAERSTPPWASSGTPPHLSRPSLRDIQMQQGKQLHGLSHSPKMKMSGFSVASSQGSPSDTPGVNRWFKPEIEAPSSIRSIQIEERAIKDLKRFYSSVKVVKNQS >KJB44562 pep chromosome:Graimondii2_0_v6:7:42551699:42559020:1 gene:B456_007G259700 transcript:KJB44562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSPQGHKQSLQKSMRKVSPGASHKDLWLTVREGSLPDVDSVLALLKKAGGNINSRNSFGLTPLHIATWRNNIPVIRRLLAAGADPDARDGESGWSSLHRALHFGHLAVASVLLQSGASITLEDSKCRTPVDLLSGPVLQVFESAQDSATEVFSWGSGVNYQLGTGNAHIQKLPCKLDSFHGSKIKLVSAAKFHSIAVTARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGAHRVKAIAAAKHHTVIATEGGDVFTWGSNREGQLGYTSVDTQPTPRRVSSLRSRIVAVAAANKHTAVVSASGEVFTWGCNREGQLGYGTSNSASNYTPRIVEYLKSKVFVGVATAKYHTIVLGADGEVYTWGHRLVTPRRVVITRTLKKSGSTPLKFHRKERLHVVAIAAGMVHSIAMTEDGALFYWVSSDPDLRCQQLYSLCGKKMVSISAGKYWAAAATATGDVYMWDGKQSTDKRPVATRLHGIKRATSVSVGETHLLTIGSLYHPVYPPSMPKSDKAPKLKVNDEVEEFDEECMFDDLESSSITSAHKNDSEQKPIPSLKSLCEKVAAECLVEPRNAIQLLEIADSLGADDLKKHCEDIIIHNLDYILTVSSQAFASASPDVLANLEKSLDLRSSESWSYRRLPTHTATFPVIINSEDEDSEREVLRTRNNNKNKNPLENGDRLDSFLQPKDDPNLGISKQVRALWKKLQQIEVLEEKQLSGCILDDQQIAKLQTRAALENSLAELGIPVERSHLKGSCSILSDGKGNKMAEVSRKQRRKSKQRVSQVETVSGFCTTNKEPNSVKGFSDVENPQVLMTKEENRGCEGATQNQASIESRFFVQKKDSSVPAKDKGTSQTATKKKNRKGGLSMFLSGALDDSPKQVTPLAPTPRSEGPAWGGAKVSKGSASLREIQDEQSKIQVNQKTGSKNQVEDLFAGKSEGKILLSSFLPSKPIPVVSVQTSQASDAERSTPPWASSGTPPHLSRPSLRDIQMQQGKQLHGLSHSPKMKMSGFSVASSQGSPSDTPGVNRWFKPEIEAPSSIRSIQIEERAIKDLKRFYSSVKVVKNQS >KJB45028 pep chromosome:Graimondii2_0_v6:7:49464574:49467320:-1 gene:B456_007G288300 transcript:KJB45028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSQSLLPLKDRVAIVTGGSRGIGHAIVNHLHSLGARVAINYASNSTQADLLASELNASCTTDHHPRAVAIKADVSDPEQVKLLFDKTEQEFDSKIHILVNCAGIMDQKYPTLANTAVEDWDMTFNINTKGSFLCCREAARRLTRDGGGRIIMISTSLVGSLLPGYAAYVASKAAIESMTKILAKELKGTKITANCVAPGPIATELFFAGKTEETIQRFVDGCPLGRLGEPKDIAGIVGFLASDAGEWTNGQVIRVNGGVVV >KJB40152 pep chromosome:Graimondii2_0_v6:7:3419496:3422763:-1 gene:B456_007G049100 transcript:KJB40152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTATSPEITAPLIQKSPSGQNQPHASGSVPSSPDDPENCPIEQVALTVPTADDPSLPTLTFRTWILGTLACLLLSFLNQFFWYRREPLSISSISAQIAVVPLGHLLAATVTDRVFFKDRKFEFTLNPGPFNVKEHVLVTIFGNSGAGNVYAIHLVTAVKIFYKKRMSFLVALLVVFTTQVLGFGWAGIFRRYLVEPAAMWWPQNLVQVSLFRALHEKEERAKGRLTRNQFFLIAFTCSFAYYVIPGYLVPTLSSLSWICWVFPTSILAHQLGSGLHGLGIGAIGFDWSSISAYLGSPLASPWFATVNIAVGFALITYIITPIAYWLNLFNAKHFPIFSDGLFTSTGQSYNISAIIDPNFHIDIAAYERRGSLYLSSFFAMSYGVGFACLTATVVHVILFHGSEILQRSKSAFQETKMDVHTKLMRKYKQVPEWWFTCILIVNIAATIFICQYYNDQLQLPWWGVLLACGLAIFFTLPVGVITATTNQTPALNVITEYIIGYIYPGYPVANMCFKVYGYISMKQGITFLQDFKLGHYMKIPPRSMFIAQVHLFMILIVVLFKVFPPVDSFTYSSCHCMIFLSGWWYNNSCISAFDNSVVAYGYSSRHMRQRNASNGQPLDLSW >KJB40153 pep chromosome:Graimondii2_0_v6:7:3418473:3423217:-1 gene:B456_007G049100 transcript:KJB40153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTATSPEITAPLIQKSPSGQNQPHASGSVPSSPDDPENCPIEQVALTVPTADDPSLPTLTFRTWILGTLACLLLSFLNQFFWYRREPLSISSISAQIAVVPLGHLLAATVTDRVFFKDRKFEFTLNPGPFNVKEHVLVTIFGNSGAGNVYAIHLVTAVKIFYKKRMSFLVALLVVFTTQVLGFGWAGIFRRYLVEPAAMWWPQNLVQVSLFRALHEKEERAKGRLTRNQFFLIAFTCSFAYYVIPGYLVPTLSSLSWICWVFPTSILAHQLGSGLHGLGIGAIGFDWSSISAYLGSPLASPWFATVNIAVGFALITYIITPIAYWLNLFNAKHFPIFSDGLFTSTGQSYNISAIIDPNFHIDIAAYERRGSLYLSSFFAMSYGVGFACLTATVVHVILFHGSEILQRSKSAFQETKMDVHTKLMRKYKQVPEWWFTCILIVNIAATIFICQYYNDQLQLPWWGVLLACGLAIFFTLPVGVITATTNQTPALNVITEYIIGYIYPGYPVANMCFKVYGYISMKQGITFLQDFKLGHYMKIPPRSMFIAQVGGTIIAALAHLTTAWWLMVTVPDICDREMLPTDSPWTCPGDHVFYDASVIWGLIGPRRIFGDLGYYSAINWFFLVGAIAPVLVWLASKAFPNKPWIKLINMPVLFGATVNMPPATAVNYTSWVLIAFASGFVAYRYYRGWWSRHNYVLSGALDAGLAFMGVLLYLCLGMQHVSLNWWGSDSDGCPLASCPTAQGVIVKGCPVF >KJB40154 pep chromosome:Graimondii2_0_v6:7:3418925:3421230:-1 gene:B456_007G049100 transcript:KJB40154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHRALHEKEERAKGRLTRNQFFLIAFTCSFAYYVIPGYLVPTLSSLSWICWVFPTSILAHQLGSGLHGLGIGAIGFDWSSISAYLGSPLASPWFATVNIAVGFALITYIITPIAYWLNLFNAKHFPIFSDGLFTSTGQSYNISAIIDPNFHIDIAAYERRGSLYLSSFFAMSYGVGFACLTATVVHVILFHGSEILQRSKSAFQETKMDVHTKLMRKYKQVPEWWFTCILIVNIAATIFICQYYNDQLQLPWWGVLLACGLAIFFTLPVGVITATTNQTPALNVITEYIIGYIYPGYPVANMCFKVYGYISMKQGITFLQDFKLGHYMKIPPRSMFIAQVGGTIIAALAHLTTAWWLMVTVPDICDREMLPTDSPWTCPGDHVFYDASVIWGLIGPRRIFGDLGYYSAINWFFLVGAIAPVLVWLASKAFPNKPWIKLINMPVLFGATVNMPPATAVNYTSWVLIAFASGFVAYRYYRGWWSRHNYVLSGALDAGLAFMGVLLYLCLGMQHVSLNWWGSDSDGCPLASCPTAQGVIVKGCPVF >KJB39493 pep chromosome:Graimondii2_0_v6:7:1254771:1256257:1 gene:B456_007G016200 transcript:KJB39493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATRDSDSIGESYDRYLRSTQLSSYSRSQSGRPMSGGMPGRAVDDPRMVGIGGLDPGQTIKDRTIGFGSGRPEPRLPPDASSTLFVEGLPPDCTCREVSHIFRPFVGYKEVRLVTKEPRYPGGDPIKLCFVDFLSPSHAATSMDALQG >KJB39490 pep chromosome:Graimondii2_0_v6:7:1253626:1256257:1 gene:B456_007G016200 transcript:KJB39490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSYWRYSDPRQPPPSSIPPLVGKRPRSDYVVSGGHELSGYYSRDDDKRTMRATRDSDSIGESYDRYLRSTQLSSYSRSQSGRPMSGGMPGRAVDDPRMVGIGGLDPGQTIKDRTIGFGSGRPEPRLPPDASSTLFVEGLPPDCTCREVSHIFRPFVGYKEVRLVTKEPRYPGGDPIKLCFVDFLSPSHAATSMDALQG >KJB39492 pep chromosome:Graimondii2_0_v6:7:1253626:1258538:1 gene:B456_007G016200 transcript:KJB39492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSYWRYSDPRQPPPSSIPPLVGKRPRSDYVVSGGHELSGYYSRDDDKRTMRATRDSDSIGESYDRYLRSTQLSSYSRSQSGRPMSGGMPGRAVDDPRMVGIGGLDPGQTIKDRTIGFGSGRPEPRLPPDASSTLFVEGLPPDCTCREVSHIFRPFVGYKEVRLVTKEPRYPGGDPIKLCFVDFLSPSHAATSMDALQGTISTEVLPP >KJB39489 pep chromosome:Graimondii2_0_v6:7:1253533:1259269:1 gene:B456_007G016200 transcript:KJB39489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSYWRYSDPRQPPPSSIPPLVGKRPRSDYVVSGGHELSGYYSRDDDKRTMRATRDSDSIGESYDRYLRSTQLSSYSRSQSGRPMSGGMPGRAVDDPRMVGIGGLDPGQTIKDRTIGFGSGRPEPRLPPDASSTLFVEGLPPDCTCREVSHIFRPFVGYKEVRLVTKEPRYPGGDPIKLCFVDFLSPSHAATSMDALQGYIFDEHDHDLVKLRLQFARYPGARSGGGHRGKR >KJB39491 pep chromosome:Graimondii2_0_v6:7:1253626:1258460:1 gene:B456_007G016200 transcript:KJB39491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSYWRYSDPRQPPPSSIPPLVGKRPRSDYVVSGGHELSGYYSRDDDKRTMRATRDSDSIGESYDRYLRSTQLSSYSRSQSGRPMSGGMPGRAVDDPRMVGIGGLDPGQTIKDRTIGFGSGRPEPRLPPDASSTLFVEGLPPDCTCREVSHIFRPFVGYKEVRLVTKEPRYPGGDPIKLCFVDFLSPSHAATSMDALQGYIFDEHDHDLVKLRLQFARYPGARSGGGHRGKR >KJB46146 pep chromosome:Graimondii2_0_v6:7:58015099:58016165:-1 gene:B456_007G350100 transcript:KJB46146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHHSHYVNIPSQKMVARITGSFKEIELCNKMAMVYDFNGVGQKSTFFMEYLLGIVYIQV >KJB43992 pep chromosome:Graimondii2_0_v6:7:27762247:27763743:1 gene:B456_007G228200 transcript:KJB43992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILSHGFISCRRPCYFSLLFLLILSSTQVRYKVEGRSNPKSNSFSKMVNEEKTILRAQIGSRPPKCERRCSSCGHCEAILVPTNPQAKHGNKNSSTLISNAAYARGDGSSNYKPISWKCKCGNFIFNP >KJB44909 pep chromosome:Graimondii2_0_v6:7:47701483:47705810:1 gene:B456_007G279100 transcript:KJB44909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGTSSANTGRISRRFSTALSSAFFEWLLLFMLFIDAIVSYLIKKFAHKCKLRTPCMLCSRLDHVFGKEKRKFYMDLVCNDHKLEISSLVYCHAHNKLVDVHGMCESCLFSFATMNKSNAETYRLLVGKLGDFDCGLEEDPSLGNHKLGCSTKRHCSCCNEPWMPREYVKTSIQTRSAVFPDTKFDLPLPVSVEHGHDEQNRSSDVSVWFQATHQRKNQPDPLSHVVYSELQIDSESESEVKNDAGGEDDGIIIQTHHLKQDLVDQHVQPESQTFTLSDNFASEKFMDPVSALKPSIFISQSESESESESAIIEPLGTIPAESTDLKQHGLEELNWEQASTKIEPSASSKLISIDDVPLSSMDKEASIDVSTEMNLSSIDKVSPWSNAGEIPNQGSEDSKLISLDFLSSSPIDNETLVEVPKESKNISVDNVSPSNVAASSVKESKESSVIETPEVEKISEAKCEEIHVSAEQPLPLPESLVEENRVINGKSIQAINSLDLSDAYKLAVGSKGRQLSGLLVEQWIGRDSSRLSEDLKVLLSQLSSRGMEQLMSDVSPRIAASPRIVVSPRISINSDDLKASDSSAFNGIEILQRRVSLERNESGLSLDGSIVSEIEGESVVDRLKRQVEHDRKLLSALYKELEEERNASAVSTNQAMAMITRLQEEKATLQMEALQHLRMMEEQAEYDMEALQNTNDLLAEKEKEIQDLEAELEFYRLKFPNEFMPEDIVKSAYNLQVTRDTMDHLEANSIEEKAILHTDTVTEKPNIGSTAEETYQSFEDTNKVTMKNPLSEHEHGYQQELIP >KJB44907 pep chromosome:Graimondii2_0_v6:7:47701483:47705810:1 gene:B456_007G279100 transcript:KJB44907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREYVKTSIQTRSAVFPDTKFDLPLPVSVEHGHDEQNRSSDVSVWFQATHQRKNQPDPLSHVVYSELQIDSESESEVKNDAGGEDDGIIIQTHHLKQDLVDQHVQPESQTFTLSDNFASEKFMDPVSALKPSIFISQSESESESESAIIEPLGTIPAESTDLKQHGLEELNWEQASTKIEPSASSKLISIDDVPLSSMDKEASIDVSTEMNLSSIDKVSPWSNAGEIPNQGSEDSKLISLDFLSSSPIDNETLVEVPKESKNISVDNVSPSNVAASSVKESKESSVIETPEVEKISEAKCEEIHVSAEQPLPLPESLVEENRVINGKSIQAINSLDLSDAYKLAVGSKGRQLSGLLVEQWIGRDSSRLSEDLKVLLSQLSSRGMEQLMSDVSPRIAASPRIVVSPRISINSDDLKASDSSAFNGIEILQRRVSLERNESGLSLDGSIVSEIEGESVVDRLKRQVEHDRKLLSALYKELEEERNASAVSTNQAMAMITRLQEEKATLQMEALQHLRMMEEQAEYDMEALQNTNDLLAEKEKEIQDLEAELEFYRLKFPNEFMPEDIVKSAYNLQVTRDTMDHLEANSIEEKAILHTDTVTEKPNIGSTAEETYQSFEDTNKVTMKNPLSEHEHGYQQELIP >KJB44906 pep chromosome:Graimondii2_0_v6:7:47701015:47705810:1 gene:B456_007G279100 transcript:KJB44906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGTSSANTGRISRRFSTALSSAFFEWLLLFMLFIDAIVSYLIKKFAHKCKLRTPCMLCSRLDHVFGKEKRKFYMDLVCNDHKLEISSLVYCHAHNKLVDVHGMCESCLFSFATMNKSNAETYRLLVGKLGDFDCGLEEDPSLGNHKLGCSTKRHCSCCNEPWMPREYVKTSIQTRSAVFPDTKFDLPLPVSVEHGHDEQNRSSDVSVWFQATHQRKNQPDPLSHVVYSELQIDSESESEVKNDAGGEDDGIIIQTHHLKQDLVDQHVQPESQTFTLSDNFASEKFMDPVSALKPSIFISQSESESESESAIIEPLGTIPAESTDLKQHGLEELNWEQASTKIEPSASSKLISIDDVPLSSMDKEASIDVSTEMNLSSIDKVSPWSNAGEIPNQGSEDSKLISLDFLSSSPIDNETLVEVPKESKNISVDNVSPSNVAASSVKESKESSVIETPEVEKISEAKCEEIHVSAEQPLPLPESLVEENRVINGKSIQAINSLDLSDAYKLAVGSKGRQLSGLLVEQWIGRDSSRLSEDLKVLLSQLSSRGMEQLMSDVSPRIAASPRIVVSPRISINSDDLKASDSSAFNGIEILQRRVSLERNESGLSLDGSIVSEIEGESVVDRLKRQVEHDRKLLSALYKELEEERNASAVSTNQAMAMITRLQEEKATLQMEALQHLRMMEEQAEYDMEALQNTNDLLAEKEKEIQDLEAELEFYRLKFPNEFMPEDIVKSAYNLQVTRDTMDHLEANSIEEKAILHTDTVTEKPNIGSTAEETYQSFEDTNKVTMKNPLSEHEHGYQQELIP >KJB44908 pep chromosome:Graimondii2_0_v6:7:47702106:47705810:1 gene:B456_007G279100 transcript:KJB44908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGTSSANTGRISRRFSTALSSAFFEWLLLFMLFIDAIVSYLIKKFAHKCKLRTPCMLCSRLDHVFGKEKRKFYMDLVCNDHKLEISSLVYCHAHNKLVDVHGMCESCLFSFATMNKSNAETYRLLVGKLGDFDCGLEEDPSLGNHKLGCSTKRHCSCCNEPWMPREYVKTSIQTRSAVFPDTKFDLPLPVSVEHGHDEQNRSSDVSVWFQATHQRKNQPDPLSHVVYSELQIDSESESEVKNDAGGEDDGIIIQTHHLKQDLVDQHVQPESQTFTLSDNFASEKFMDPVSALKPSIFISQSESESESESAIIEPLGTIPAESTDLKQHGLEELNWEQASTKIEPSASSKLISIDDVPLSSMDKEASIDVSTEMNLSSIDKVSPWSNAGEIPNQGSEDSKLISLDFLSSSPIDNETLVEVPKESKNISVDNVSPSNVAASSVKESKESSVIETPEVEKISEAKCEEIHVSAEQPLPLPESLVEENRVINGKSIQAINSLDLSDAYKLAVGSKGRQLSGLLVEQWIGRDSSRLSEDLKVLLSQLSSRGMEQLMSDVSPRIAASPRIVVSPRISINSDDLKASDSSAFNGIEILQRRVSLERNESGLSLDGSIVSEIEGESVVDRLKRQVEHDRKLLSALYKELEEERNASAVSTNQAMAMITRLQEEKATLQMEALQHLRMMEEQAEYDMEALQNTNDLLAEKEKEIQDLEAELEFYRLKFPNEFMPEDIVKSAYNLQVTRDTMDHLEANSIEEKAILHTDTVTEKPNIGSTAEETYQSFEDTNKVTMKNPLSEHEHGYQQELIP >KJB45425 pep chromosome:Graimondii2_0_v6:7:51884434:51889454:-1 gene:B456_007G305300 transcript:KJB45425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERKRAKGGFFQLFDWNGKSRKKLFSNNSELQEESKRGKAEEHAVKPPPHSVREGDEYSAASNNTRSGDFNSSSSVTSDEGYGSRAPGVVARLMGLDSLPTLNVSELSSIPHSGSSSLRVSQNERNTPNLWNEYQPADYASISNKLDRSSSNPIEPRSRKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPAFTPTKNAAYIMEAAAKIIEASPQATSKGKVPSFGSSSVPLKMRNLKEKIEAAHKASRPQRADECGESMVKPLKVQHKDKIHSKSDYTPTFRITKDSEKSISNGSRNKGKAVSLAEQARVSIQRKEGSSSSFNGSVVNKKERNDAKRKQFSTSVSDVQRTVEKRTSANRTNNVLRQNNQKQNCITNRDYSTSKSSTLDQQGKNGRSINGTAGLNRTVNSRKTVSVATDTAKEVPMSRRKNLPRKKRPVNEDIPVGETVPDISSKNGGERSIKCNVTTDGHLNQDSDIKKTSMDVISFTFTSPLSKSMPDVSSTSKASEQSSSFDTDPSSDNDLLFLKSSAFSSPGFNVIGGDALSVILEKKLQELTCRIESSNCNIIIEGTSASPTSSLQNSVPSSGTATTTSAAHQKTLQVDLGHDISYSSGDFDHSSDKLGLDWGRKWQLSEEIEEQNAGSSSSENDIEVDNQHPGPLLTLEHAVTSGSCSGSRNREMDKKHLTRPPNSGDCKESTGWENDFVKMVLKDSELLFTEYALGQTEKVMTLKASNQLEHLNGAERNGEDYKLEQKLLLDCVSECVESRYRQVSVGSCKGLVKWEILIQKRDWLAEEVYKEIFGWKSLDDTMVDDLVDKDMSTKYGRWLDFDMEAFEEGVEIEKIVLTSLVDELVSDLLLLL >KJB45428 pep chromosome:Graimondii2_0_v6:7:51884618:51888660:-1 gene:B456_007G305300 transcript:KJB45428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERKRAKGGFFQLFDWNGKSRKKLFSNNSELQEESKRGKAEEHAVKPPPHSVQREGDEYSAASNNTRSGDFNSSSSVTSDEGYGSRAPGVVARLMGLDSLPTLNVSELSSIPHSGSSSLRVSQNERNTPNLWNEYQPADYASISNKLDRSSSNPIEPRSRKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPAFTPTKNAAYIMEAAAKIIEASPQATSKGKVPSFGSSSVPLKMRNLKEKIEAAHKASRPQRADECGESMVKPLKVQHKDKIHSKSDYTPTFRITKDSEKSISNGSRNKGKAVSLAEQARVSIQRKEGSSSSFNGSVVNKKERNDAKRKQFSTSVSDVQRTVEKRTSANRTNNVLRQNNQKQNCITNRDYSTSKSSTLDQQGKNGRSINGTAGLNRTVNSRKTVSVATDTAKEVPMSRRKNLPRKKRPVNEDIPVGETVPDISSKNGGERSIKCNVTTDGHLNQDSDIKKTSMDVISFTFTSPLSKSMPDVSSTSKASEQSSSFDTDPSSDNDLLFLKSSAFSSPGFNVIGGDALSVILEKKLQELTCRIESSNCNIIIEGTSASPTSSLQNSVPSSGTATTTSAAHQKTLQVDLGHDISYSSGDFDHSSDKLGLDWGRKWQLSEEIEEQNAGSSSSENDIEVDNQHPGPLLTLEHAVTSGSCSGSRNREMDKKHLTRPPNSGDCKESTGWENDFVKMVLKDSELLFTEYALGQTEKVMTLKASNQLEHLNGAERNGEDYKLEQKLLLDCVSECVESRYRQVSVGSCKGLVKWEILIQKRDWLAEEVYKEIFGWKSLDDTMVDDLVDKDMSTKYGRWLDFDMEAFEEGVEIEKIVLTSLVDELVSDLLLLL >KJB45417 pep chromosome:Graimondii2_0_v6:7:51884434:51889358:-1 gene:B456_007G305300 transcript:KJB45417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERKRAKGGFFQLFDWNGKSRKKLFSNNSELQESKRGKAEEHAVKPPPHSVREGDEYSAASNNTRSGDFNSSSSVTSDEGYGSRAPGVVARLMGLDSLPTLNVSELSSIPHSGSSSLRVSQNERNTPNLWNEYQPADYASISNKLDRSSSNPIEPRSRKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPAFTPTKNAAYIMEAAAKIIEASPQATSKGKVPSFGSSSVPLKMRNLKEKIEAAHKASRPQRADECGESMVKPLKVQHKDKIHSKSDYTPTFRITKDSEKSISNGSRNKGKAVSLAEQARVSIQRKEGSSSSFNGSVVNKKERNDAKRKQFSTSVSDVQRTVEKRTSANRTNNVLRQNNQKQNCITNRDYSTSKSSTLDQQGKNGRSINGTAGLNRTVNSRKTVSVATDTAKEVPMSRRKNLPRKKRPVNEDIPVGETVPDISSKNGGERSIKCNVTTDGHLNQDSDIKKTSMDVISFTFTSPLSKSMPDVSSTSKASEQSSSFDTDPSSDNDLLFLKSSAFSSPGFNVIGGDALSVILEKKLQELTCRIESSNCNIIIEGTSASPTSSLQNSVPSSGTATTTSAAHQKTLQVDLGHDISYSSGDFDHSSDKLGLDWGRKWQLSEEIEEQNAGSSSSENDIEVDNQHPGPLLTLEHAVTSGSCSGSRNREMDKKHLTRPPNSGDCKESTGWENDFVKMVLKDSELLFTEYALGQTEKVMTLKASNQLEHLNGAERNGEDYKLEQKLLLDCVSECVESRYRQVSVGSCKGLVKWEILIQKRDWLAEEVYKEIFGWKSLDDTMVDDLVDKDMSTKYGRWLDFDMEAFEEGVEIEKIVLTSLVDELVSDLLLLL >KJB45421 pep chromosome:Graimondii2_0_v6:7:51885337:51888660:-1 gene:B456_007G305300 transcript:KJB45421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERKRAKGGFFQLFDWNGKSRKKLFSNNSELQEESKRGKAEEHAVKPPPHSVREGDEYSAASNNTRSGDFNSSSSVTSDEGYGSRAPGVVARLMGLDSLPTLNVSELSSIPHSGSSSLRVSQNERNTPNLWNEYQPADYASISNKLDRSSSNPIEPRSRKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPAFTPTKNAAYIMEAAAKIIEASPQATSKGKVPSFGSSSVPLKMRNLKEKIEAAHKASRPQRADECGESMVKPLKVQHKDKIHSKSDYTPTFRITKDSEKSISNGSRNKGKAVSLAEQARVSIQRKEGSSSSFNGSVVNKKERNDAKRKQFSTSVSDVQRTVEKRTSANRTNNVLRQNNQKQNCITNRDYSTSKSSTLDQQGKNGRSINGTAGLNRTVNSRKTVSVATDTAKEVPMSRRKNLPRKKRPVNEDIPVGETVPDISSKNGGERSIKCNVTTDGHLNQDSDIKKTSMDVISFTFTSPLSKSMPDVSSTSKASEQSSSFDTDPSSDNDLLFLKSSAFSSPGFNVIGGDALSVILEKKLQELTCRIESSNCNIIIEGTSASPTSSLQNSVPSSGTATTTSAAHQKTLQVDLGHDISYSSGDFDHSSDKLGLDWGRKWQLSEEIEEQNAGSSSSENDIEVDNQHPGPLLTLEHAVTSGSCSGSRNITMLLR >KJB45422 pep chromosome:Graimondii2_0_v6:7:51885337:51888660:-1 gene:B456_007G305300 transcript:KJB45422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERKRAKGGFFQLFDWNGKSRKKLFSNNSELQEESKRGKAEEHAVKPPPHSVQREGDEYSAASNNTRSGDFNSSSSVTSDEGYGSRAPGVVARLMGLDSLPTLNVSELSSIPHSGSSSLRVSQNERNTPNLWNEYQPADYASISNKLDRSSSNPIEPRSRKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPAFTPTKNAAYIMEAAAKIIEASPQATSKGKVPSFGSSSVPLKMRNLKEKIEAAHKASRPQRADECGESMVKPLKVQHKDKIHSKSDYTPTFRITKDSEKSISNGSRNKGKAVSLAEQARVSIQRKEGSSSSFNGSVVNKKERNDAKRKQFSTSVSDVQRTVEKRTSANRTNNVLRQNNQKQNCITNRDYSTSKSSTLDQQGKNGRSINGTAGLNRTVNSRKTVSVATDTAKEVPMSRRKNLPRKKRPVNEDIPVGETVPDISSKNGGERSIKCNVTTDGHLNQDSDIKKTSMDVISFTFTSPLSKSMPDVSSTSKASEQSSSFDTDPSSDNDLLFLKSSAFSSPGFNVIGGDALSVILEKKLQELTCRIESSNCNIIIEGTSASPTSSLQNSVPSSGTATTTSAAHQKTLQVDLGHDISYSSGDFDHSSDKLGLDWGRKWQLSEEIEEQNAGSSSSENDIEVDNQHPGPLLTLEHAVTSGSCSGSRNITMLLR >KJB45420 pep chromosome:Graimondii2_0_v6:7:51884618:51888660:-1 gene:B456_007G305300 transcript:KJB45420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERKRAKGGFFQLFDWNGKSRKKLFSNNSELQESKRGKAEEHAVKPPPHSVREGDEYSAASNNTRSGDFNSSSSVTSDEGYGSRAPGVVARLMGLDSLPTLNVSELSSIPHSGSSSLRVSQNERNTPNLWNEYQPADYASISNKLDRSSSNPIEPRSRKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPAFTPTKNAAYIMEAAAKIIEASPQATSKGKVPSFGSSSVPLKMRNLKEKIEAAHKASRPQRADECGESMVKPLKVQHKDKIHSKSDYTPTFRITKDSEKSISNGSRNKGKAVSLAEQARVSIQRKEGSSSSFNGSVVNKKERNDAKRKQFSTSVSDVQRTVEKRTSANRTNNVLRQNNQKQNCITNRDYSTSKSSTLDQQGKNGRSINGTAGLNRTVNSRKTVSVATDTAKEVPMSRRKNLPRKKRPVNEDIPVGETVPDISSKNGGERSIKCNVTTDGHLNQDSDIKKTSMDVISFTFTSPLSKSMPDVSSTSKASEQSSSFDTDPSSDNDLLFLKSSAFSSPGFNVIGGDALSVILEKKLQELTCRIESSNCNIIIEGTSASPTSSLQNSVPSSGTATTTSAAHQKTLQVDLGHDISYSSGDFDHSSDKLGLDWGRKWQLSEEIEEQNAGSSSSENDIEVDNQHPGPLLTLEHAVTSGSCSGSRNREMDKKHLTRPPNSGDCKESTGWENDFVKMVLKDSELLFTEYALGQTEKVMTLKASNQLEHLNGAERNGEDYKLEQKLLLDCVSECVESRYRQVSVGSCKGLVKWEILIQKRDWLAEEVYKEIFGWKSLDDTMVDDLVDKDMSTKYGRWLDFDMEAFEEGVEIEKIVLTSLVDELVSDLLLLL >KJB45419 pep chromosome:Graimondii2_0_v6:7:51884618:51888660:-1 gene:B456_007G305300 transcript:KJB45419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERKRAKGGFFQLFDWNGKSRKKLFSNNSELQEESKRGKAEEHAVKPPPHSVREGDEYSAASNNTRSGDFNSSSSVTSDEGYGSRAPGVVARLMGLDSLPTLNVSELSSIPHSGSSSLRVSQNERNTPNLWNEYQPADYASISNKLDRSSSNPIEPRSRKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPAFTPTKNAAYIMEAAAKIIEASPQATSKGKVPSFGSSSVPLKMRNLKEKIEAAHKASRPQRADECGESMVKPLKVQHKDKIHSKSDYTPTFRITKDSEKSISNGSRNKGKAVSLAEQARVSIQRKEGSSSSFNGSVVNKKERNDAKRKQFSTSVSDVQRTVEKRTSANRTNNVLRQNNQKQNCITNRDYSTSKSSTLDQQGKNGRSINGTAGLNRTVNSRKTVSVATDTAKEVPMSRRKNLPRKKRPVNEDIPVGETVPDISSKNGGERSIKCNVTTDGHLNQDSDIKKTSMDVISFTFTSPLSKSMPDVSSTSKASEQSSSFDTDPSSDNDLLFLKSSAFSSPGFNVIGGDALSVILEKKLQELTCRIESSNCNIIIEGTSASPTSSLQNSVPSSGTATTTSAAHQKTLQVDLGHDISYSSGDFDHSSDKLGLDWGRKWQLSEEIEEQNAGSSSSENDIEVDNQHPGPLLTLEHAVTSGSCSGSRNSSKPFLLVQDQEFGMELTDSASSKPSGEMDKKHLTRPPNSGDCKESTGWENDFVKMVLKDSELLFTEYALGQTEKVMTLKASNQLEHLNGAERNGEDYKLEQKLLLDCVSECVESRYRQVSVGSCKGLVKWEILIQKRDWLAEEVYKEIFGWKSLDDTMVDDLVDKDMSTKYGRWLDFDMEAFEEGVEIEKIVLTSLVDELVSDLLLLL >KJB45426 pep chromosome:Graimondii2_0_v6:7:51885337:51888660:-1 gene:B456_007G305300 transcript:KJB45426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERKRAKGGFFQLFDWNGKSRKKLFSNNSELQEESKRGKAEEHAVKPPPHSVREGDEYSAASNNTRSGDFNSSSSVTSDEGYGSRAPGVVARLMGLDSLPTLNVSELSSIPHSGSSSLRVSQNERNTPNLWNEYQPADYASISNKLDRSSSNPIEPRSRKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPAFTPTKNAAYIMEAAAKIIEASPQATSKGKVPSFGSSSVPLKMRNLKEKIEAAHKASRPQRADECGESMVKPLKVQHKDKIHSKSDYTPTFRITKDSEKSISNGSRNKGKAVSLAEQARVSIQRKEGSSSSFNGSVVNKKERNDAKRKQFSTSVSDVQRTVEKRTSANRTNNVLRQNNQKQNCITNRDYSTSKSSTLDQQGKNGRSINGTAGLNRTVNSRKTVSVATDTAKEVPMSRRKNLPRKKRPVNEDIPVGETVPDISSKNGGERSIKCNVTTDGHLNQDSDIKKTSMDVISFTFTSPLSKSMPDVSSTSKASEQSSSFDTDPSSDNDLLFLKSSAFSSPGFNVIGGDALSVILEKKLQELTCRIESSNCNIIIEGTSASPTSSLQNSVPSSGTATTTSAAHQKTLQVDLGHDISYSSGDFDHSSDKLGLDWGRKWQLSEEIEEQNAGSSSSENDIEVDNQHPGPLLTLEHAVTSGSCSGSRNITMLLR >KJB45427 pep chromosome:Graimondii2_0_v6:7:51884434:51889454:-1 gene:B456_007G305300 transcript:KJB45427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERKRAKGGFFQLFDWNGKSRKKLFSNNSELQEESKRGKAEEHAVKPPPHSVQREGDEYSAASNNTRSGDFNSSSSVTSDEGYGSRAPGVVARLMGLDSLPTLNVSELSSIPHSGSSSLRVSQNERNTPNLWNEYQPADYASISNKLDRSSSNPIEPRSRKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPAFTPTKNAAYIMEAAAKIIEASPQATSKGKVPSFGSSSVPLKMRNLKEKIEAAHKASRPQRADECGESMVKPLKVQHKDKIHSKSDYTPTFRITKDSEKSISNGSRNKGKAVSLAEQARVSIQRKEGSSSSFNGSVVNKKERNDAKRKQFSTSVSDVQRTVEKRTSANRTNNVLRQNNQKQNCITNRDYSTSKSSTLDQQGKNGRSINGTAGLNRTVNSRKTVSVATDTAKEVPMSRRKNLPRKKRPVNEDIPVGETVPDISSKNGGERSIKCNVTTDGHLNQDSDIKKTSMDVISFTFTSPLSKSMPDVSSTSKASEQSSSFDTDPSSDNDLLFLKSSAFSSPGFNVIGGDALSVILEKKLQELTCRIESSNCNIIIEGTSASPTSSLQNSVPSSGTATTTSAAHQKTLQVDLGHDISYSSGDFDHSSDKLGLDWGRKWQLSEEIEEQNAGSSSSENDIEVDNQHPGPLLTLEHAVTSGSCSGSRNREMDKKHLTRPPNSGDCKESTGWENDFVKMVLKDSELLFTEYALGQTEKVMTLKASNQLEHLNGAERNGEDYKLEQKLLLDCVSECVESRYRQVSVGSCKGLVKWEILIQKRDWLAEEVYKEIFGWKSLDDTMVDDLVDKDMSTKYGRWLDFDMEAFEEGVEIEKIVLTSLVDELVSDLLLLL >KJB45423 pep chromosome:Graimondii2_0_v6:7:51884434:51889454:-1 gene:B456_007G305300 transcript:KJB45423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERKRAKGGFFQLFDWNGKSRKKLFSNNSELQEESKRGKAEEHAVKPPPHSVREGDEYSAASNNTRSGDFNSSSSVTSDEGYGSRAPGVVARLMGLDSLPTLNVSELSSIPHSGSSSLRVSQNERNTPNLWNEYQPADYASISNKLDRSSSNPIEPRSRKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPAFTPTKNAAYIMEAAAKIIEASPQATSKGKVPSFGSSSVPLKMRNLKEKIEAAHKASRPQRADECGESMVKPLKVQHKDKIHSKSDYTPTFRITKDSEKSISNGSRNKGKAVSLAEQARVSIQRKEGSSSSFNGSVVNKKERNDAKRKQFSTSVSDVQRTVEKRTSANRTNNVLRQNNQKQNCITNRDYSTSKSSTLDQQGKNGRSINGTAGLNRTVNSRKTVSVATDTAKEVPMSRRKNLPRKKRPVNEDIPVGETVPDISSKNGGERSIKCNVTTDGHLNQDSDIKKTSMDVISFTFTSPLSKSMPDVSSTSKASEQSSSFDTDPSSDNDLLFLKSSAFSSPGFNVIGGDALSVILEKKLQELTCRIESSNCNIIIEGTSASPTSSLQNSVPSSGTATTTSAAHQKTLQVDLGHDISYSSGDFDHSSDKLGLDWGRKWQLSEEIEEQNAGSSSSENDIEVDNQHPGPLLTLEHAVTSGSCSGSRNREMDKKHLTRPPNSGDCKESTGWENDFVKMVLKDSELLFTEYALGQTEKVMTLKASNQLEHLNGAERNGEDYKLEQKLLLDCVSECVESRYRQVSVGSCKGLVKWEILIQKRDWLAEEVYKEIFGWKSLDDTMVDDLVDKDMSTKYGRWLDFDMEAFEEGVEIEKIVLTSLVDELVSDLLLLL >KJB45418 pep chromosome:Graimondii2_0_v6:7:51884434:51889358:-1 gene:B456_007G305300 transcript:KJB45418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERKRAKGGFFQLFDWNGKSRKKLFSNNSELQEESKRGKAEEHAVKPPPHSVREGDEYSAASNNTRSGDFNSSSSVTSDEGYGSRAPGVVARLMGLDSLPTLNVSELSSIPHSGSSSLRVSQNERNTPNLWNEYQPADYASISNKLDRSSSNPIEPRSRKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPAFTPTKNAAYIMEAAAKIIEASPQATSKGKVPSFGSSSVPLKMRNLKEKIEAAHKASRPQRADECGESMVKPLKVQHKDKIHSKSDYTPTFRITKDSEKSISNGSRNKGKAVSLAEQARVSIQRKEGSSSSFNGSVVNKKERNDAKRKQFSTSVSDVQRTVEKRTSANRTNNVLRQNNQKQNCITNRDYSTSKSSTLDQQGKNGRSINGTAGLNRTVNSRKTVSVATDTAKEVPMSRRKNLPRKKRPVNEDIPVGETVPDISSKNGGERSIKCNVTTDGHLNQDSDIKKTSMDVISFTFTSPLSKSMPDVSSTSKASEQSSSFDTDPSSDNDLLFLKSSAFSSPGFNVIGGDALSVILEKKLQELTCRIESSNCNIIIEGTSASPTSSLQNSVPSSGTATTTSAAHQKTLQVDLGHDISYSSGDFDHSSDKLGLDWGRKWQLSEEIEEQNAGSSSSENDIEVDNQHPGPLLTLEHAVTSGSCSGSKPFLLVQDQEFGMELTDSASSKPSGEMDKKHLTRPPNSGDCKESTGWENDFVKMVLKDSELLFTEYALGQTEKVMTLKASNQLEHLNGAERNGEDYKLEQKLLLDCVSECVESRYRQVSVGSCKGLVKWEILIQKRDWLAEEVYKEIFGWKSLDDTMVDDLVDKDMSTKYGRWLDFDMEAFEEGVEIEKIVLTSLVDELVSDLLLLL >KJB45424 pep chromosome:Graimondii2_0_v6:7:51885337:51888660:-1 gene:B456_007G305300 transcript:KJB45424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERKRAKGGFFQLFDWNGKSRKKLFSNNSELQEESKRGKAEEHAVKPPPHSVQREGDEYSAASNNTRSGDFNSSSSVTSDEGYGSRAPGVVARLMGLDSLPTLNVSELSSIPHSGSSSLRVSQNERNTPNLWNEYQPADYASISNKLDRSSSNPIEPRSRKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPAFTPTKNAAYIMEAAAKIIEASPQATSKGKVPSFGSSSVPLKMRNLKEKIEAAHKASRPQRADECGESMVKPLKVQHKDKIHSKSDYTPTFRITKDSEKSISNGSRNKGKAVSLAEQARVSIQRKEGSSSSFNGSVVNKKERNDAKRKQFSTSVSDVQRTVEKRTSANRTNNVLRQNNQKQNCITNRDYSTSKSSTLDQQGKNGRSINGTAGLNRTVNSRKTVSVATDTAKEVPMSRRKNLPRKKRPVNEDIPVGETVPDISSKNGGERSIKCNVTTDGHLNQDSDIKKTSMDVISFTFTSPLSKSMPDVSSTSKASEQSSSFDTDPSSDNDLLFLKSSAFSSPGFNVIGGDALSVILEKKLQELTCRIESSNCNIIIEGTSASPTSSLQNSVPSSGTATTTSAAHQKTLQVDLGHDISYSSGDFDHSSDKLGLDWGRKWQLSEEIEEQNAGSSSSENDIEVDNQHPGPLLTLEHAVTSGSCSGSRNITMLLR >KJB43855 pep chromosome:Graimondii2_0_v6:7:25360461:25361530:-1 gene:B456_007G220200 transcript:KJB43855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIIAALLFASLVLSSSFIQLSFASPAAAPSPAPPSYCDGKCGERCAKAGMKDRCLKYCGICCQECKCVPSGTYGNKSECPCYRDKKNSKHQPKCP >KJB42655 pep chromosome:Graimondii2_0_v6:7:14151820:14155062:-1 gene:B456_007G161800 transcript:KJB42655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLFLSEPNWDDEEGDNEASSQIVSLLSKLGSVIWSLMVSGGRSEARLWLCNAVSRLSSISPHHKRDIFMKMLTSKPTRKGLASQLLQLVFEKRPRKVGSILANKSYLLEKFFQGNPKRIMQWFSNFGDGGGLEHKKGAKALSQFAFVNRDICWEELEWKGKHGQSPAMVATKPHYFLDLDVQRTVENFLENVPEFWSSPEFSESLKDGEILFMDTKFFVELFIDLMYKEDLDDIWEVISEFLKEESFSSLCHHLLIILEESEFRIFLELLLGYISPRIEHKDFGNSSYLLEFILCKCGDPGSFDTLLMLNAIINQRRQLLRLVNDEECQDENEQVKDIVSQMCKTSTNTYTLASILKECAKAKPIEAIKLLGLYSWVIYYRLSKECQTPGSWEALFLSNGISFHESGKYSMLNDEGPLDDNDSAGDDRASSRRRKKKKRRKRTRHFDHDGGYDDELLDFDASERRLGLQSGGGSWLLSIDDFSTSWTNVDLPEHLSNHCLSTWMKQLASQWSNVANAW >KJB42653 pep chromosome:Graimondii2_0_v6:7:14151501:14155615:-1 gene:B456_007G161800 transcript:KJB42653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLFLSEPNWDDEEGDNEASSQIVSLLSKLGSVIWSLMVSGGRSEARLWLCNAVSRLSSISPHHKRDIFMKMLTSKPTRKGLASQLLQLVFEKRPRKVGSILANKSYLLEKFFQGNPKRIMQWFSNFGDGGGLEHKKGAKALSQFAFVNRDICWEELEWKGKHGQSPAMVATKPHYFLDLDVQRTVENFLENVPEFWSSPEFSESLKDGEILFMDTKFFVELFIDLMYKEDLDDIWEVISEFLKEESFSSLCHHLLIILEESEFRIFLELLLGYISPRIEHKDFGNSSYLLEFILCKCGDPGSFDTLLMLNAIINQRRQLLRLVNDEECQDENEQVKDIVSQMCKTSTNTYTLASILKECAKAKPIEAIKLLGLYSWVIYYRLSKECQTPGSWEALFLSNGISFHESGKYSMLNDEGPLDDNDSAGDDRASSRRRKKKKRRKRTRHFDHDGGYDDELLDFDASERRLGLQSGGGSWLLSIDDFSTSWTNVDLPEHLSNHCLSTWMKQLASQWSNVANAW >KJB42654 pep chromosome:Graimondii2_0_v6:7:14151766:14155294:-1 gene:B456_007G161800 transcript:KJB42654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLFLSEPNWDDEEGDNEASSQIVSLLSKLGSVIWSLMVSGGRSEARLWLCNAVSRLSSISPHHKRDIFMKMLTSKPTRKGLASQLLQLVFEKRPRKVGSILANKSYLLEKFFQGNPKRIMQWFSNFGDGGGLEHKKGAKALSQFAFVNRDICWEELEWKGKHGQSPAMVATKPHYFLDLDVQRTVENFLENVPEFWSSPEFSESLKDGEILFMDTKFFVELFIDLMYKEDLDDIWEVISEFLKEESFSSLCHHLLIILEESEFRIFLELLLGYISPRIEHKDFGNSSYLLEFILCKCGDPGSFDTLLMLNAIINQRRQLLRLVNDEECQDENEQVKDIVSQMCKTSTNTYTLASILKECAKAKPIEAIKLLGLYSWVIYYRLSKECQTPGSWEALFLSNGISFHESGKYSMLNDEGPLDDNDSAGDDRASSRRRKKKKRRKRTRHFDHDGGYDDELLDFDASERRLGLQSGGGSWLLSIDDFSTSWTNICRSIFQTTACLHG >KJB39701 pep chromosome:Graimondii2_0_v6:7:1807857:1811347:-1 gene:B456_007G026100 transcript:KJB39701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNNYITMNGNRFTKVEEEFIKKYHKHDVKENQCSSSLVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCVLQGDLQIGSVREVNVKSGLPATTSTERLEYLDDDEHILSMRIVGGDHRLKNYSSIVTVHPKVIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLADVSERLAVQDRTEPIERM >KJB39705 pep chromosome:Graimondii2_0_v6:7:1808690:1811282:-1 gene:B456_007G026100 transcript:KJB39705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNNYITMNGNRFTKVEEEFIKKYHKHDVKENQCSSSLVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCVLQGDLQIGSVREVNVKSGLPATTSTERLEYLDDDEHILSMRIVGGDHRLKNYSSIVTVHPKVIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLADVSERLAVQDRTEPIERM >KJB39704 pep chromosome:Graimondii2_0_v6:7:1809298:1811069:-1 gene:B456_007G026100 transcript:KJB39704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNNYITMNGNRFTKVEEEFIKKYHKHDVKENQCSSSLVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCVLQGDLQIGSVREVNVKSGLPATTSTERLEYLDDDEHILSMRIVGGDHRLKSIPRSLMADQEHW >KJB39702 pep chromosome:Graimondii2_0_v6:7:1808674:1811329:-1 gene:B456_007G026100 transcript:KJB39702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNNYITMNGNRFTKVEEEFIKKYHKHDVKENQCSSSLVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCVLQGDLQIGSVREVNVKSGLPATTSTERLEYLDDDEHILSMRIVGGDHRLKNYSSIVTVHPKVIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLADVSERLAVQDRTEPIERM >KJB39703 pep chromosome:Graimondii2_0_v6:7:1808689:1811282:-1 gene:B456_007G026100 transcript:KJB39703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNNYITMNGNRFTKVEEEFIKKYHKHDVKENQCSSSLVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCVLQGDLQIGSVREVNVKSGLPATTSTERLEYLDDDEHILSMRIVGGDHRLKVIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLADVSERLAVQDRTEPIERM >KJB41318 pep chromosome:Graimondii2_0_v6:7:8140199:8142989:1 gene:B456_007G107900 transcript:KJB41318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIVIDNLWISTRLPSCLMAAACEANKLLMSWKWRMVMRSMLCFTKLVTQALDVVNCVKIGTLVLEHKDERDLGLHLLQFAEVVEEACTNLLPNVVCEYLYKLSEIFSKFYSNPECKVKDVAMKL >KJB41319 pep chromosome:Graimondii2_0_v6:7:8141268:8142482:1 gene:B456_007G107900 transcript:KJB41319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIVIDNLWISTRLPSCLMAAACEANKLLMSWKWRMVMRSMLCFTKLVTQALDVVNCVKIGTLVLEHKDERDLGLHLLQFAEVVEEACTNLLPNVVCEYLYKLSEIFSKFYSNPECKV >KJB41315 pep chromosome:Graimondii2_0_v6:7:8140329:8142989:1 gene:B456_007G107900 transcript:KJB41315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIVIDNLWISTRLPSCLMAAACEANKLLMSWKWRMVMRSMLCFTKLVTQALDVVNCVKIGTLVLEHKDERDLGLHLLQFAEVVEEACTNLLPNVVCEYLYKLSEIFSKFYSNPECKVKDVAMKL >KJB41314 pep chromosome:Graimondii2_0_v6:7:8141268:8142482:1 gene:B456_007G107900 transcript:KJB41314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIVIDNLWISTRLPSCLMAAACEANKLLMSWKWRMVMRSMLCFTKLVTQALDVVNCVKIGTLVLEHKDERDLGLHLLQFAEVVEEACTNLLPNVVCEYLYKLSEIFSKFYSNPECKV >KJB41317 pep chromosome:Graimondii2_0_v6:7:8140195:8142989:1 gene:B456_007G107900 transcript:KJB41317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIVIDNLWISTRLPSCLMAAACEANKLLMSWKWRMVMRSMLCFTKLVTQALDVVNCVKIGTLVLEHKDERDLGLHLLQFAEVVEEACTNLLPNVVCEYLYKLSEIFSKFYSNPECKVIGSDKETSRLRLCEAISVVMRKCFNLLGITPIYKI >KJB41316 pep chromosome:Graimondii2_0_v6:7:8140199:8142989:1 gene:B456_007G107900 transcript:KJB41316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIVIDNLWISTRLPSCLMAAACEANKLLMSWKWRMVMRSMLCFTKLVTQALDVVNCVKIGTLVLEHKDERDLGLHLLQFAEVVEEACTNLLPNVVCEYLYKLSEIFSKFYSNPECKVIGSDKETSRLRLCEAISVVMRKCFNLLGITPIYKI >KJB40107 pep chromosome:Graimondii2_0_v6:7:3312619:3314540:1 gene:B456_007G047500 transcript:KJB40107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYGYPSRVSMSSISLLGNFIEKVKEFCNSALSAIIGNIFSAILTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLLLWQSDESGIGCLLYLIDVIVSLLSGRLVRERIGPAMLSAVQSQMGAAEPATTFAEVHNIFDTGSAKGLARHLVEKIPKMIITNGNNVNASGEKVSCSVCLQDFQLGETVRNLPQCHHMFHQPCIDKWLLSHGSCPLCRRDL >KJB42186 pep chromosome:Graimondii2_0_v6:7:11702948:11704814:1 gene:B456_007G141300 transcript:KJB42186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVVEKSALIICGDYMEDFEVMVPFQVLQAFGVRVDCVSPTKLPGQKCFTAIHVSLGFEHYSELPGHSFTLNSNFNEVEVGCYDALVIPGGRFTELFSVDDRVLSIVKAFAEAGKPIVTTCHSQLILAAAGLLKGKKCTAFASMKPVIELAGGIWWEQPGITSPFDITACLKDGNILSSIGWPAHAEILKTLFESMGARIHTTKANSVLFLCGDYVEDYEFNVPFRALQALGCKVDAVTPSKKKGETCVTAIHDDEGAQAFSEKRGHNLVITANWSDVSVYDYDCLVVPGGRSPELLVMNDKAVTLVKEFAEKNRVIAGVGQGQWLLAAAGVLKGKRCACGDGMKVMVKIGGGELEESKGFVSDGKLVTAVGWPALPSFISHLSKLLGLSLSFE >KJB42188 pep chromosome:Graimondii2_0_v6:7:11703044:11704764:1 gene:B456_007G141300 transcript:KJB42188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVVEKSALIICGDYMEDFEVMVPFQVLQAFGVRVDCVSPTKLPGQKCFTAIHVSLGFEVGCYDALVIPGGRFTELFSVDDRVLSIVKAFAEAGKPIVTTCHSQLILAAAGLLKGKKCTAFASMKPVIELAGGIWWEQPGITSPFDITACLKDGNILSSIGWPAHAEILKTLFESMGARIHTTKANSVLFLCGDYVEDYEFNVPFRALQALGCKVDAVTPSKKKGETCVTAIHDDEGAQAFSEKRGHNLVITANWSDVSVYDYDCLVVPGGRSPELLVMNDKAVTLVKEFAEKNRVIAGVGQGQWLLAAAGVLKGKRCACGDGMKVMVKIGGGELEESKGFVSDGKLVTAVGWPALPSFISHLSKLLGLSLSFE >KJB42187 pep chromosome:Graimondii2_0_v6:7:11703131:11704424:1 gene:B456_007G141300 transcript:KJB42187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVVEKSALIICGDYMEDFEVMVPFQVLQAFGVRVDCVSPTKLPGQKCFTAIHVSLGFEHYSELPGHSFTLNSNFNEVEVGCYDALVIPGGRFTELFSVDDRVLSIVKAFAEAGKPIVTTCHSQLILAAAGLLKGKKCTAFASMKPVIELAGGIWWEQPGITSPFDITACLKDGNILSSIGWPAHAEILKTLFESMGARIHTTKANSVLFLCGDYVEDYEFNVPFRALQALGCKVDAVTPSKKKGETCVTAIHDDEGAQAFSEKRGHNLVITANWSDVSVYDYDCLVVPGGRSPELLVMNDKAVTLVKEFAEKNRVIAGVGQGQWLLAAAGVLKVISNYRYLIIQRLLKPKEAMGFKTLMT >KJB45827 pep chromosome:Graimondii2_0_v6:7:55436960:55439748:1 gene:B456_007G331500 transcript:KJB45827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTGKTYLARCICTDCQVLEIFDNIIWVNVSDDFDLGKIARKIILSLEGLEHDSLRLLTLVPLQSLLDRIQRKIVNKKSLLVLDGVGRYDCDDWEALRAVFQHGMSGSGILVTTHEHSVAGAMESSYKFCLGKLSDELCWMILREVGLNDDTLEYAVEDIGRELARRCEGLPFAAKVLGDAIRHYDFGIRGWDVFLRNCIWKSPRIPKYMSKILSLSYCNLPLSVRRCLSYWAIFPKSFEISKTLLVQHWMAQGCLYSSDNLEMELKGEEYFKCLEAHSCFQYCTRDGGMLTCKMHSLVHDCVQSLSPYDLMMRFESVKQLTLNLSSWTEEVKVVGTHHLVMMIAQGAGFPMDISGAEKLRTLVAVTQGCLITSQALSNLFKQSKHLRLLDLSLTSGWHNCFGPSGQGNILDEIPVEICGLINLSYLSLAGSKVLKILPETLCDLHNLQSLDLTGCSSLRKLPDGMGKLMNLRYFYTWCCSSITSYPKGISCLTSLRELTNVIARADHNDSKEFTLGDFERLNNLCGHVRVKLVGNAVDADEAIRANLGNKKDLDRIRINLDGDIGKESQDVIKKALNPPSDLNIEFVGW >KJB45826 pep chromosome:Graimondii2_0_v6:7:55436960:55439748:1 gene:B456_007G331500 transcript:KJB45826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTGKTYLARCICTDCQVLEIFDNIIWVNVSDDFDLGKIARKIILSLEGLEHDSLRLLTLVPLQSLLDRIQRKIVNKKSLLVLDGVGRYDCDDWEALRAVFQHGMSGSGILVTTHEHSVAGAMESSYKFCLGKLSDELCWMILREVGLNDDTLEYAVEDIGRELARRCEGLPFAAKVLGDAIRHYDFGIRGWDVFLRNCIWKSPRIPKYMSKILSLSYCNLPLSVRRCLSYWAIFPKSFEISKTLLVQHWMAQGCLYSSDNLEMELKGEEYFKCLEAHSCFQYCTRDGGMLTCKMHSLVHDCVQSLSPYDLMMRFESVKQLTLNLSSWTEEVKVVGTHHLVMMIAQGAGFPMDISGAEKLRTLVAVTQGCLITSQALSNLFKQSKHLRLLDLSLTSGWHNCFGPSGQGNILDEIPVEICGLINLSYLSLAGSKVLKILPETLCDLHNLQSLDLTGCSSLRKLPDGMGKLMNLRYFYTWCCSSITSYPKGISCLTSLRELTNVIARADHNDSKEFTLGDFERLNNLCGHVRVKLVGNAVDADEAIRANLGNKKDLDRIRINLDGDIGKESQDVIKKALNPPSDLNIEFVGW >KJB42370 pep chromosome:Graimondii2_0_v6:7:12973481:12980800:1 gene:B456_007G151600 transcript:KJB42370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPSLVSLSIDALKRELIHGDDLLPHVYELPLELFNSLVECLPPLALQKLQSEMPFKNYDDYGPSSDDLKMGRKRGRYGNFDTAWKALFKFRWPDLAECVKPVDWQQIYWETHVQNCLDEAAEIALLPSFSGCLGEIQILENILQYIGYVDDMSNLASDYLKLSYHCQQFGCYSRRLRLQNVLCVLESCQLLRKSNLQSLVVQWIRSSEHVAGLCKLLNQNSRTLTSLEFVHCKISSSFMDTICGSLCSSGAETHQIHHFSISSSSFHEIDPVSLAHSLASFLSSGRSLRSLKLCDNNLDRNFAKSVFSTLLDSSCSLTSFDLSENNISGWLSIFNWKSNTFLSSSGVTKSLQSLRILKLGGNNLQKDDAGNLRYVLVQMPSLEILDLSDNPIEDDGIRSLIPYFAEASKSCSPLTDLNLGSCELSSDGVILLLDVLSTLARPLNSLSLADNGLGRFVWTVLA >KJB42371 pep chromosome:Graimondii2_0_v6:7:12973481:12980800:1 gene:B456_007G151600 transcript:KJB42371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRGRYGNFDTAWKALFKFRWPDLAECVKPVDWQQIYWETHVQNCLDEAAEIALLPSFSGCLGEIQILENILQYIGYVDDMSNLASDYLKLSYHCQQFGCYSRRLRLQNVLCVLESCQLLRKSNLQSLVVQWIRSSEHVAGLCKLLNQNSRTLTSLEFVHCKISSSFMDTICGSLCSSGAETHQIHHFSISSSSFHEIDPVSLAHSLASFLSSGRSLRSLKLCDNNLDRNFAKSVFSTLLDSSCSLTSFDLSENNISGWLSIFNWKSNTFLSSSGVTKSLQSLRILKLGGNNLQKDDAGNLRYVLVQMPSLEILDLSDNPIEDDGIRSLIPYFAEASKSCSPLTDLNLGSCELSSDGVILLLDVLSTLARPLNSLSLADNGLGRFVWTVLA >KJB42369 pep chromosome:Graimondii2_0_v6:7:12973145:12981294:1 gene:B456_007G151600 transcript:KJB42369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPSLVSLSIDALKRELIHGDDLLPHVYELPLELFNSLVECLPPLALQKLQSEMPFKNYDDYGPSSDDLKMGRKRGRYGNFDTAWKALFKFRWPDLAECVKPVDWQQIYWETHVQNCLDEAAEIALLPSFSGCLGEIQILENILQYIGYVDDMSNLASDYLKLSYHCQQFGCYSRRLRLQNVLCVLESCQLLRKSNLQSLVVQWIRSSEHVAGLCKLLNQNSRTLTSLEFVHCKISSSFMDTICGSLCSSGAETHQIHHFSISSSSFHEIDPVSLAHSLASFLSSGRSLRSLKLCDNNLDRNFAKSVFSTLLDSSCSLTSFDLSENNISGWLSIFNWKSNTFLSSSGVTKSLQSLRILKLGGNNLQKDDAGNLRYVLVQMPSLEILDLSDNPIEDDGIRSLIPYFAEASKSCSPLTDLNLGSCELSSDGVILLLDVLSTLARPLNSLSLADNGLGSQVAEALGKFWGTSIQVLNLEGIGLGPSGFRKLRDIRMENLKLVKLNISKNRGGIETAKFLSKLILHAPKLVAVNAAYNLMPAESLPLICSALKTAKGLVEQVDLRGNICEYQPSHDTMLAEFQHNGKPILILPSSVALNIPYDDDP >KJB42368 pep chromosome:Graimondii2_0_v6:7:12973145:12981294:1 gene:B456_007G151600 transcript:KJB42368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPSLVSLSIDALKRELIHGDDLLPHVYELPLELFNSLVECLPPLALQKLQSEIYGNFDTAWKALFKFRWPDLAECVKPVDWQQIYWETHVQNCLDEAAEIALLPSFSGCLGEIQILENILQYIGYVDDMSNLASDYLKLSYHCQQFGCYSRRLRLQNVLCVLESCQLLRKSNLQSLVVQWIRSSEHVAGLCKLLNQNSRTLTSLEFVHCKISSSFMDTICGSLCSSGAETHQIHHFSISSSSFHEIDPVSLAHSLASFLSSGRSLRSLKLCDNNLDRNFAKSVFSTLLDSSCSLTSFDLSENNISGWLSIFNWKSNTFLSSSGVTKSLQSLRILKLGGNNLQKDDAGNLRYVLVQMPSLEILDLSDNPIEDDGIRSLIPYFAEASKSCSPLTDLNLGSCELSSDGVILLLDVLSTLARPLNSLSLADNGLGSQVAEALGKFWGTSIQVLNLEGIGLGPSGFRKLRDIRMENLKLVKLNISKNRGGIETAKFLSKLILHAPKLVAVNAAYNLMPAESLPLICSALKTAKGLVEQVDLRGNICEYQPSHDTMLAEFQHNGKPILILPSSVALNIPYDDDP >KJB42372 pep chromosome:Graimondii2_0_v6:7:12973516:12980800:1 gene:B456_007G151600 transcript:KJB42372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRGRYGNFDTAWKALFKFRWPDLAECVKPVDWQQIYWETHVQNCLDEAAEIALLPSFSGCLGEIQILENILQYIGYVDDMSNLASDYLKLSYHCQQFGCYSRRLRLQNVLCVLESCQLLRKSNLQSLVVQWIRSSEHVAGLCKLLNQNSRTLTSLEFVHCKISSSFMDTICGSLCSSGAETHQIHHFSISSSSFHEIDPVSLAHSLASFLSSGRSLRSLKLCDNNLDRNFAKSVFSTLLDSSCSLTSFDLSENNISGWLSIFNWKSNTFLSSSGVTKSLQSLRILKLGGNNLQKDDAGNLRYVLVQMPSLEILDLSDNPIEDDGIRSLIPYFAEASKSCSPLTDLNLGSCELSSDGVILLLDVLSTLARPLNSLSLADNGLGSQVAEALGKFWGTSIQVLNLEGIGLGPSGFRKLRDIRMENLKLVKLNIRFGSMLQFVFRFSSTSQMIKQLACVCLCYVAKIVVGLKLQSFCQSSFSMLLNLLQSMQHIISCLQNPCR >KJB43326 pep chromosome:Graimondii2_0_v6:7:19216718:19219161:1 gene:B456_007G194400 transcript:KJB43326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANDPIAARKVQKADREKLRRDKLNEQFVELGNMLDPDRPKNDKVTILVDTTQMLKDLTVEVNRLRAECSSLTEESRELSQEKNELREEKASLKADIENLNIQYQQRLSVMFPWTGIEPSVVVAPPYSYPAPLHVPTGPIAMHPSLQPYPYFLNHGPGAVANPCSTYIPYSMEQPSSQPTSSSHLSFQRDCRGKPMDDQGGSNRDSCDGSNDVVTELELKIPGSSTNQGLSAGETKGKQTEERSMVNGITSSRSQGPHDSASNNLDEVSKSNK >KJB43327 pep chromosome:Graimondii2_0_v6:7:19216870:19219127:1 gene:B456_007G194400 transcript:KJB43327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANDPIAARKVQKADREKLRRDKLNEQFVELGNMLDPDRPKNDKVTILVDTTQMLKDLTVEVNRLRAECSSLTEESRELSQEKNELREEKASLKADIENLNIQYQQRLSVMFPWTGIEPSVVVAPPYSYPAPLHVPTGPIAMHPSLQPYPYFLNHGPGAVANPCSTYIPYSMEQPSSQPTSSSHLSFQRDCRGKPMDDQGGSNRDSCDGSNDVVTELELKIPGSSTNQVYFINLYPWL >KJB42747 pep chromosome:Graimondii2_0_v6:7:14788273:14792818:-1 gene:B456_007G166600 transcript:KJB42747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSESTKIVYNRIQKLEPENVSKIIGYLLLQDNGDLDMIRLAFSPDALLHSLIHKAKSQLSLNKPPVSQVSPTPVVDFPMQLTPFSRAVLTQRAAATPFWDPSVTAAEQHVNSLEFTPPGYSDAVAEDHSFQSQMHFLNMEDQLEPPNSVGSEFSSSYYYSEPALGARTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGQPMPESFSQLFSSSSNEAGNEDNVVSPGTLEKLELELTELLKARRGLPVSIASLPTLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKSSIRLIDRPHGQHAVILAEDAPKYLEYASERNDPGAIVAGSRQIYLTFPAESTFTEQDVSHYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRLVDRKYPEKLQHPPMYYGPHFIDGDSELQSMPRVCDNSRPFRKQLIDDREQTLEFEGRRFSELQLAPKQYMTNHFYFGYSMDELKHTDACADQAVDFPTAERFNYLLDVLNTGSTSEDKVKHISTNYNDPDSQGLNLPESPFASPIGSGISTVI >KJB42745 pep chromosome:Graimondii2_0_v6:7:14788921:14791654:-1 gene:B456_007G166600 transcript:KJB42745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSESTKIVYNRIQKLEPENVSKIIGYLLLQDNGDLDMIRLAFSPDALLHSLIHKAKSQLSLNKPPVSQVSPTPVVDFPMQLTPFSRAVLTQRAAATPFWDPSVTAAEQHVNSLEFTPPGYSDAVAEDHSFQSQMHFLNMEDQLEPPNSVGSEFSSSYYYSEPALGARTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGQPMPESFSQLFSSSSNEAGNEDNVVSPGTLEKLELELTELLKARRGLPVSIASLPTLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKSSIRLIDRPHGQHAVILAEDAPKYLEYASERNDPGAIVAGSRQIYLTFPAESTFTEQDVSHYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRLVDRKYPEKLQHPPMYYGPHFIDGDSELQSMPRVCDNSRPFRKQLIDDREQTLEFEGRRFSELQLAPKQYMTNHFYFGYSMDELKHTDVVACADQAVDFPTAERFNYLLDVLNTGSTSEDKVKHISTNYNDPDSSQGLNLPESPFASPIGSGISTVI >KJB42753 pep chromosome:Graimondii2_0_v6:7:14788921:14791753:-1 gene:B456_007G166600 transcript:KJB42753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFQSLFPFAIISFCVFSNLCLHLQGLFEQPALPMDFSESTKIVYNRIQKLEPENVSKIIGYLLLQDNGDLDMIRLAFSPDALLHSLIHKAKSQLSLNKPPVSQVSPTPVVDFPMQLTPFSRAVLTQRAAATPFWDPSVTAAEQHVNSLEFTPPGYSDAVAEDHSFQSQMHFLNMEDQLEPPNSVGSEFSSSYYYSEPALGARTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGQPMPESFSQLFSSSSNEAGNEDNVVSPGTLEKLELELTELLKARRGLPVSIASLPTLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKSSIRLIDRPHGQHAVILAEDAPKYLEYASERNDPGAIVAGSRQIYLTFPAESTFTEQDVSHYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRLVDRKYPEKLQHPPMYYGPHFIDGDSELQSMPRVCDNSRPFRKQLIDDREQTLEFEGRRFSELQLAPKQYMTNHFYFGYSMDELKHTDVVACADQAVDFPTAERFNYLLDVLNTGSTSEDKVKHISTNYNDPDSQGLNLPESPFASPIGSGISTVI >KJB42748 pep chromosome:Graimondii2_0_v6:7:14788300:14792818:-1 gene:B456_007G166600 transcript:KJB42748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLAFSPDALLHSLIHKAKSQLSLNKPPVSQVSPTPVVDFPMQLTPFSRAVLTQRAAATPFWDPSVTAAEQHVNSLEFTPPGYSDAVAEDHSFQSQMHFLNMEDQLEPPNSVGSEFSSSYYYSEPALGARTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGQPMPESFSQLFSSSSNEAGNEDNVVSPGTLEKLELELTELLKARRGLPVSIASLPTLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKSSIRLIDRPHGQHAVILAEDAPKYLEYASERNDPGAIVAGSRQIYLTFPAESTFTEQDVSHYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRLVDRKYPEKLQHPPMYYGPHFIDGDSELQSMPRVCDNSRPFRKQLIDDREQTLEFEGRRFSELQLAPKQYMTNHFYFGYSMDELKHTDACADQAVDFPTAERFNYLLDVLNTGSTSEDKVKHISTNYNDPDSQGLNLPESPFASPIGSGISTVI >KJB42752 pep chromosome:Graimondii2_0_v6:7:14788921:14791753:-1 gene:B456_007G166600 transcript:KJB42752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFQSLFPFAIISFCVFSNLCLHLQGLFEQPALPMDFSESTKIVYNRIQKLEPENVSKIIGYLLLQDNGDLDMIRLAFSPDALLHSLIHKAKSQLSLNKPPVSQVSPTPVVDFPMQLTPFSRAVLTQRAAATPFWDPSVTAAEQHVNSLEFTPPGYSDAVAEDHSFQSQMHFLNMEDQLEPPNSVGSEFSSSYYYSEPALGARTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGQPMPESFSQLFSSSSNEAGNEDNVVSPGTLEKLELELTELLKARRGLPVSIASLPTLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKSSIRLIDRPHGQHAVILAEDAPKYLEYASERNDPGAIVAGSRQIYLTFPAESTFTEQDVSHYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRLVDRKYPEKLQHPPMYYGPHFIDGDSELQSMPRVCDNSRPFRKQLIDDREQTLEFEGRRFSELQLAPKQYMTNHFYFGYSMDELKHTDACADQAVDFPTAERFNYLLDVLNTGSTSEDKVKHISTNYNDPDSQGLNLPESPFASPIGSGISTVI >KJB42744 pep chromosome:Graimondii2_0_v6:7:14788202:14792924:-1 gene:B456_007G166600 transcript:KJB42744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSESTKIVYNRIQKLEPENVSKIIGYLLLQDNGDLDMIRLAFSPDALLHSLIHKAKSQLSLNKPPVSQVSPTPVVDFPMQLTPFSRAVLTQRAAATPFWDPSVTAAEQHVNSLEFTPPGYSDAVAEDHSFQSQMHFLNMEDQLEPPNSVGSEFSSSYYYSEPALGARTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGQPMPESFSQLFSSSSNEAGNEDNVVSPGTLEKLELELTELLKARRGLPVSIASLPTLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKSSIRLIDRPHGQHAVILAEDAPKYLEYASERNDPGAIVAGSRQIYLTFPAESTFTEQDVSHYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRLVDRKYPEKLQHPPMYYGPHFIDGDSELQSMPRVCDNSRPFRKQLIDDREQTLEFEGRRFSELQLAPKQYMTNHFYFGYSMDELKHTDVVACADQAVDFPTAERFNYLLDVLNTGSTSEDKVKHISTNYNDPDSQGLNLPESPFASPIGSGISTVI >KJB42750 pep chromosome:Graimondii2_0_v6:7:14788921:14791540:-1 gene:B456_007G166600 transcript:KJB42750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLAFSPDALLHSLIHKAKSQLSLNKPPVSQVSPTPVVDFPMQLTPFSRAVLTQRAAATPFWDPSVTAAEQHVNSLEFTPPGYSDAVAEDHSFQSQMHFLNMEDQLEPPNSVGSEFSSSYYYSEPALGARTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGQPMPESFSQLFSSSSNEAGNEDNVVSPGTLEKLELELTELLKARRGLPVSIASLPTLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKSSIRLIDRPHGQHAVILAEDAPKYLEYASERNDPGAIVAGSRQIYLTFPAESTFTEQDVSHYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRLVDRKYPEKLQHPPMYYGPHFIDGDSELQSMPRVCDNSRPFRKQLIDDREQTLEFEGRRFSELQLAPKQYMTNHFYFGYSMDELKHTDVVACADQAVDFPTAERFNYLLDVLNTGSTSEDKVKHISTNYNDPDSSQGLNLPESPFASPIGSGISTVI >KJB42755 pep chromosome:Graimondii2_0_v6:7:14788857:14791753:-1 gene:B456_007G166600 transcript:KJB42755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFQSLFPFAIISFCVFSNLCLHLQGLFEQPALPMDFSESTKIVYNRIQKLEPENVSKIIGYLLLQDNGDLDMIRLAFSPDALLHSLIHKAKSQLSLNKPPVSQVSPTPVVDFPMQLTPFSRAVLTQRAAATPFWDPSVTAAEQHVNSLEFTPPGYSDAVAEDHSFQSQMHFLNMEDQLEPPNSVGSEFSSSYYYSEPALGARTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGQPMPESFSQLFSSSSNEAGNEDNVVSPGTLEKLELELTELLKARRGLPVSIASLPTLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKSSIRLIDRPHGQHAVILAEDAPKYLEYASERNDPGAIVAGSRQIYLTFPAESTFTEQDVSHYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRLVDRKYPEKLQHPPMYYGPHFIDGDSELQSMPRVCDNSRPFRKQLIDDREQTLEFEGRRFSELQLAPKQYMTNHFYFGYSMDELKHTDACADQAVDFPTAERFNYLLDVLNTGSTSEDKVKHISTNYNDPDSSQGLNLPESPFASPIGSGISTVI >KJB42746 pep chromosome:Graimondii2_0_v6:7:14788921:14791654:-1 gene:B456_007G166600 transcript:KJB42746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSESTKIVYNRIQKLEPENVSKIIGYLLLQDNGDLDMIRLAFSPDALLHSLIHKAKSQLSLNKPPVSQVSPTPVVDFPMQLTPFSRAVLTQRAAATPFWDPSVTAAEQHVNSLEFTPPGYSDAVAEDHSFQSQMHFLNMEDQLEPPNSVGSEFSSSYYYSEPALGARTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGQPMPESFSQLFSSSSNEAGNEDNVVSPGTLEKLELELTELLKARRGLPVSIASLPTLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKSSIRLIDRPHGQHAVILAEDAPKYLEYASERNDPGAIVAGSRQIYLTFPAESTFTEQDVSHYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRLVDRKYPEKLQHPPMYYGPHFIDGDSELQSMPRVCDNSRPFRKQLIDDREQTLEFEGRRFSELQLAPKQYMTNHFYFGYSMDELKHTDACADQAVDFPTAERFNYLLDVLNTGSTSEDKVKHISTNYNDPDSSQGLNLPESPFASPIGSGISTVI >KJB42749 pep chromosome:Graimondii2_0_v6:7:14788921:14791540:-1 gene:B456_007G166600 transcript:KJB42749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLAFSPDALLHSLIHKAKSQLSLNKPPVSQVSPTPVVDFPMQLTPFSRAVLTQRAAATPFWDPSVTAAEQHVNSLEFTPPGYSDAVAEDHSFQSQMHFLNMEDQLEPPNSVGSEFSSSYYYSEPALGARTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGQPMPESFSQLFSSSSNEAGNEDNVVSPGTLEKLELELTELLKARRGLPVSIASLPTLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKSSIRLIDRPHGQHAVILAEDAPKYLEYASERNDPGAIVAGSRQIYLTFPAESTFTEQDVSHYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRLVDRKYPEKLQHPPMYYGPHFIDGDSELQSMPRVCDNSRPFRKQLIDDREQTLEFEGRRFSELQLAPKQYMTNHFYFGYSMDELKHTDVVACADQAVDFPTAERFNYLLDVLNTGSTSEDKVKHISTNYNDPDSQGLNLPESPFASPIGSGISTVI >KJB42751 pep chromosome:Graimondii2_0_v6:7:14788355:14791753:-1 gene:B456_007G166600 transcript:KJB42751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFQSLFPFAIISFCVFSNLCLHLQGLFEQPALPMDFSESTKIVYNRIQKLEPENVSKIIGYLLLQDNGDLDMIRLAFSPDALLHSLIHKAKSQLSLNKPPVSQVSPTPVVDFPMQLTPFSRAVLTQRAAATPFWDPSVTAAEQHVNSLEFTPPGYSDAVAEDHSFQSQMHFLNMEDQLEPPNSVGSEFSSSYYYSEPALGARTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGQPMPESFSQLFSSSSNEAGNEDNVVSPGTLEKLELELTELLKARRGLPVSIASLPTLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKSSIRLIDRPHGQHAVILAEDAPKYLEYASERNDPGAIVAGSRQIYLTFPAESTFTEQDVSHYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRLVDRKYPEKLQHPPMYYGPHFIDGDSELQSMPRVCDNSRPFRKQLIDDREQTLEFEGRRFSELQLAPKQYMTNHFYFGYSMDELKHTDDQAVDFPTAERFNYLLDVLNTGSTSEDKVKHISTNYNDPDSQGLNLPESPFASPIGSGISTVI >KJB42754 pep chromosome:Graimondii2_0_v6:7:14788921:14791753:-1 gene:B456_007G166600 transcript:KJB42754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFQSLFPFAIISFCVFSNLCLHLQGLFEQPALPMDFSESTKIVYNRIQKLEPENVSKIIGYLLLQDNGDLDMIRLAFSPDALLHSLIHKAKSQLSLNKPPVSQVSPTPVVDFPMQLTPFSRAVLTQRAAATPFWDPSVTAAEQHVNSLEFTPPGYSDAVAEDHSFQSQMHFLNMEDQLEPPNSVGSEFSSSYYYSEPALGARTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGQPMPESFSQLFSSSSNEAGNEDNVVSPGTLEKLELELTELLKARRGLPVSIASLPTLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKSSIRLIDRPHGQHAVILAEDAPKYLEYASERNDPGAIVAGSRQIYLTFPAESTFTEQDVSHYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRLVDRKYPEKLQHPPMYYGPHFIDGDSELQSMPRVCDNSRPFRKQLIDDREQTLEFEGRRFSELQLAPKQYMTNHFYFGYSMDELKHTDVVACADQAVDFPTAERFNYLLDVLNTGSTSEDKVKHISTNYNDPDSSQGLNLPESPFASPIGSGISTVI >KJB43258 pep chromosome:Graimondii2_0_v6:7:18631466:18639729:-1 gene:B456_007G190500 transcript:KJB43258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRFPYSPAEVAKVRVVQFGILSPDEIRQMSVVQIEHSETTERGKPKVGGLSDPRLGTIDRKLKCETCTANMAECPGHFGHLELAKPMFHIGFMKTVLSIMRCVCFNCSKILADEEDHKFKQALKIKNPKNRLKKILDACKNKTKCEGGDEIDVQGQDAEEPVKKSRGGCGAQQPKLTIDGMKMIAEYKAQRKKNDDPEQLPEPVERKQTLTAERVLSVLKRISDEDCQLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHALAMIIRHNENLRRQERNGSPAHIISEFAQLLQFHVATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLLRTSAWHSESETGSITPGDTQVRIEKGEVLSGTLCKKTLGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADASTMEKINETISKAKDDVKQLIVKAQNKDLEPEPGRTMMESFENKVNQVLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKSEFDRVFRYKIDDENWNPTSYMLPEHIEDLRTIQELRDVFDAEVNKLEADRYQLGTEIAVTGDSNWPLPVNLKRLIWNAQKTFKVDFRRVSDLHPVEIVDSVDKLQERLKVVPGADPLSVEAQKNATLFFCILLRSTLASKRVLQEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLSPEASKTKEKAKNVQCALEYTTLRSVTHATEVWYDPDPMSTIIEEDIDFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHSKASKFDEADGYKTGEEWVLDTEGVNLLAVMCHEDVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGDCALYLNDEMLKNAIELQLPSYMEGLEFGMTPARSPVSGTPYHEGMMSPSYLLSPNLRLSPISDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPTSTSQYTPSNKDGRSNKDDRSKR >KJB43255 pep chromosome:Graimondii2_0_v6:7:18631463:18639981:-1 gene:B456_007G190500 transcript:KJB43255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRFPYSPAEVAKVRVVQFGILSPDEIRQMSVVQIEHSETTERGKPKVGGLSDPRLGTIDRKLKCETCTANMAECPGHFGHLELAKPMFHIGFMKTVLSIMRCVCFNCSKILADEEDHKFKQALKIKNPKNRLKKILDACKNKTKCEGGDEIDVQGQDAEEPVKKSRGGCGAQQPKLTIDGMKMIAEYKAQRKKNDDPEQLPEPVERKQTLTAERVLSVLKRISDEDCQLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHALAMIIRHNENLRRQERNGSPAHIISEFAQLLQFHVATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLLRTSAWHSESETGSITPGDTQVRIEKGEVLSGTLCKKTLGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADASTMEKINETISKAKDDVKQLIVKAQNKDLEPEPGRTMMESFENKVNQVLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKSEFDRVFRYKIDDENWNPTSYMLPEHIEDLRTIQELRDVFDAEVNKLEADRYQLGTEIAVTGDSNWPLPVNLKRLIWNAQKTFKVDFRRVSDLHPVEIVDSVDKLQERLKVVPGADPLSVEAQKNATLFFCILLRSTLASKRVLQEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLSPEASKTKEKAKNVQCALEYTTLRSVTHATEVWYDPDPMSTIIEEDIDFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHSKASKFDEADGYKTGEEWVLDTEGVNLLAVMCHEDVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGDCALYLNDEMLKNAIELQLPSYMEGLEFGMTPARSPVSGTPYHEGMMSPSYLLSPNLRLSPISDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPTSTSQYTPSNKDGRSNKDDRSKR >KJB43256 pep chromosome:Graimondii2_0_v6:7:18631356:18639912:-1 gene:B456_007G190500 transcript:KJB43256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRFPYSPAEVAKVRVVQFGILSPDEIRQMSVVQIEHSETTERGKPKVGGLSDPRLGTIDRKLKCETCTANMAECPGHFGHLELAKPMFHIGFMKTVLSIMRCVCFNCSKILADEEDHKFKQALKIKNPKNRLKKILDACKNKTKCEGGDEIDVQGQDAEEPVKKSRGGCGAQQPKLTIDGMKMIAEYKAQRKKNDDPEQLPEPVERKQTLTAERVLSVLKRISDEDCQLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHALAMIIRHNENLRRQERNGSPAHIISEFAQLLQFHVATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLLRTSAWHSESETGSITPGDTQVRIEKGEVLSGTLCKKTLGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADASTMEKINETISKAKDDVKQLIVKAQNKDLEPEPGRTMMESFENKVNQVLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKSEFDRVFRYKIDDENWNPTSYMLPEHIEDLRTIQELRDVFDAEVNKLEADRYQLGTEIAVTGDSNWPLPVNLKRLIWNAQKTFKVDFRRVSDLHPVEIVDSVDKLQERLKVVPGADPLSVEAQKNATLFFCILLRSTLASKRVLQEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLSPEASKTKEKAKNVQCALEYTTLRSVTHATEVWYDPDPMSTIIEEDIDFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHSKASKFDEADGYKTGEEWVLDTEGVNLLAVMCHEDVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGDCALYLNDEMLKNAIELQLPSYMEGLEFGMTPARSPVSGTPYHEGMMSPSYLLSPNLRLSPISDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPTSTSQYTPSNKDGRSNKDDRSKR >KJB43257 pep chromosome:Graimondii2_0_v6:7:18631463:18638664:-1 gene:B456_007G190500 transcript:KJB43257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMIAEYKAQRKKNDDPEQLPEPVERKQTLTAERVLSVLKRISDEDCQLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHALAMIIRHNENLRRQERNGSPAHIISEFAQLLQFHVATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLLRTSAWHSESETGSITPGDTQVRIEKGEVLSGTLCKKTLGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADASTMEKINETISKAKDDVKQLIVKAQNKDLEPEPGRTMMESFENKVNQVLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKSEFDRVFRYKIDDENWNPTSYMLPEHIEDLRTIQELRDVFDAEVNKLEADRYQLGTEIAVTGDSNWPLPVNLKRLIWNAQKTFKVDFRRVSDLHPVEIVDSVDKLQERLKVVPGADPLSVEAQKNATLFFCILLRSTLASKRVLQEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLSPEASKTKEKAKNVQCALEYTTLRSVTHATEVWYDPDPMSTIIEEDIDFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHSKASKFDEADGYKTGEEWVLDTEGVNLLAVMCHEDVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGDCALYLNDEMLKNAIELQLPSYMEGLEFGMTPARSPVSGTPYHEGMMSPSYLLSPNLRLSPISDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPTSTSQYTPSNKDGRSNKDDRSKR >KJB43259 pep chromosome:Graimondii2_0_v6:7:18632228:18639729:-1 gene:B456_007G190500 transcript:KJB43259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRFPYSPAEVAKVRVVQFGILSPDEIRQMSVVQIEHSETTERGKPKVGGLSDPRLGTIDRKLKCETCTANMAECPGHFGHLELAKPMFHIGFMKTVLSIMRCVCFNCSKILADEEDHKFKQALKIKNPKNRLKKILDACKNKTKCEGGDEIDVQGQDAEEPVKKSRGGCGAQQPKLTIDGMKMIAEYKAQRKKNDDPEQLPEPVERKQTLTAERVLSVLKRISDEDCQLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHALAMIIRHNENLRRQERNGSPAHIISEFAQLLQFHVATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLLRTSAWHSESETGSITPGDTQVRIEKGEVLSGTLCKKTLGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADASTMEKINETISKAKDDVKQLIVKAQNKDLEPEPGRTMMESFENKVNQVLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKSEFDRVFRYKIDDENWNPTSYMLPEHIEDLRTIQELRDVFDAEVNKLEADRYQLGTEIAVTGDSNWPLPVNLKRLIWNAQKTFKVDFRRVSDLHPVEIVDSVDKLQERLKVVPGADPLSVEAQKNATLFFCILLRSTLASKRVLQEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLSPEASKTKEKAKNVQCALEYTTLRSVTHATEVWYDPDPMSTIIEEDIDFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHSKASKFDEADGYKTGEEWVLDTEGVNLLAVMCHEDVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGDCALYLNDEMLKNAIELQLPSYMEGLEFGMTPARSPVSGTPYHEGMMSPSYLLSPNLRLSPISDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYR >KJB46119 pep chromosome:Graimondii2_0_v6:7:57896050:57902070:-1 gene:B456_007G348800 transcript:KJB46119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGFQSGADLEFSSGDLGQLRVQDVGEGSVKIRLYEGRIAQGPFKGTPVIFKVYPGQRAAGIEADMMAANELNAHAFLQSSSEGISKNLLLLLGGFETKTGEQWLAFRDNGKYSAADFAKATSEKISRARSLQEKPWNPFEQEQAFKRRTYFVIRLFQGAMNGLAYMHNHERLHQSLGPASVILNTIVERDAVYLVPKLRDLAFSVDISFSSLEEGPSTFSEDLWRRASSAGAFTPMERRSFGIADDIYEAGLLFAYLAFVPFCEAGIMDGFSLQRLLESTFKLDVMATREYCLADDRLLEAVKFLDLGDAAGWELLQAMLNPDFRKRPIAEAVANHRFLTANVL >KJB46122 pep chromosome:Graimondii2_0_v6:7:57898444:57902070:-1 gene:B456_007G348800 transcript:KJB46122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFTTPHCFFSCSTSLPFSLSKKPSFFLSKKPRLSTWVSSRIRSSSLCTASLITSPDSFEVGRLIGSYGFMNVTSYSGFQSGADLEFSSGDLGQLRVQDVGEGSVKIRLYEGRIAQGPFKGTPVIFKVYPGQRAAGIEADMMAANELNAHAFLQSSSEGISKNLLLLLGGFETKTGEQWLAFRDNGKYSAADFAKATSEKISRARSLQEKPWNPFEQEQAFKRRTYFVIRLFQGAMNGLAYMHNHERLHQSLGPASVILNTIVERDAVYLVPKLRDLAFSVDISFSSLEEGPSTFSEDLWRRASSAGAFTPMERRSFGIADDIYEAGLLFAYLAFVPFCEAGIMDGFSLQVSNYISISF >KJB46117 pep chromosome:Graimondii2_0_v6:7:57896009:57902076:-1 gene:B456_007G348800 transcript:KJB46117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGFQSGADLEFSSGDLGQLRVQDVGEGSVKIRLYEGRIAQGPFKGTPVIFKVYPGQRAAGIEADMMAANELNAHAFLQSSSEGISKNLLLLLGGFETKTGEQWLAFRDNGKYSAADFAKATSEKISRARSLQEKPWNPFEQEQAFKRRTYFVIRLFQGAMNGLAYMHNHERLHQSLGPASVILNTIVERDAVYLVPKLRDLAFSVDISFSSLEEGPSTFSEDLWRRASSAGAFTPMERRSFGIADDIYEAGLLFAYLAFVPFCEAGIMDGFSLQRLLESTFKLDVMATREYCLADDRLLEAVKFLDLGDAAGWELLQAMLNPDFRKRPIAEAVANHRFLTANVL >KJB46118 pep chromosome:Graimondii2_0_v6:7:57896009:57902120:-1 gene:B456_007G348800 transcript:KJB46118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPKKQRKKRESYRFAPPFPGSLPLSLYRLFFQLKRRVFNKVKTQQAMIFTTPHCFFSCSTSLPFSLSKKPSFFLSKKPRLSTWVSSRIRSSSLCTASLITSPDSFEVGRLIGSYGFMNVTSYSGFQSGADLEFSSGDLGQLRVQDVGEGSVKIRLYEGRIAQGPFKGTPVIFKVYPGQRAAGIEADMMAANELNAHAFLQSSSEGISKNLLLLLGGFETKTGEQWLAFRDNGKYSAADFAKATSEKISRARSLQEKPWNPFEQEQAFKRRTYFVIRLFQGAMNGLAYMHNHERLHQSLGPASVILNTIVERDAVYLVPKLRDLAFSVDISFSSLEEGPSTFSEDLWRRASSAGAFTPMERRSFGIADDIYEAGLLFAYLAFVPFCEAGIMDGFSLQRLLESTFKLDVMATREYCLADDRLLEAVKFLDLGDAAGWELLQAMLNPDFRKRPIAEAVANHRFLTANVL >KJB46121 pep chromosome:Graimondii2_0_v6:7:57897499:57901932:-1 gene:B456_007G348800 transcript:KJB46121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFTTPHCFFSCSTSLPFSLSKKPSFFLSKKPRLSTWVSSRIRSSSLCTASLITSPDSFEVGRLIGSYGFMNVTSYSGFQSGADLEFSSGDLGQLRVQDVGEGSVKIRLYEGRIAQGPFKGTPVIFKVYPGQRAAGIEADMMAANELNAHAFLQSSSEGISKNLLLLLGGFETKTGEQWLAFRDNGKYSAADFAKATSEKISRARSLQEKPWNPFEQEQAFKRRTYFVIRLFQGAMNGLAYMHNHERLHQSLGPASVILNTIVERDAVYLVPKLRDLAFSVDISFSSLEEGPSTFSEDLWRRASSAGAFTPMERRSFGIADDIYEAGLLFAYLAFVPFCEAGIMDGFSLQRLLESTFKLDVMATREFYWTPLKEYKMTISHLLDLSCIVWQMTGC >KJB46120 pep chromosome:Graimondii2_0_v6:7:57896009:57902076:-1 gene:B456_007G348800 transcript:KJB46120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPKKQRKKRESYRFAPPFPGSLPLSLYRLFFQLKRRVFNKVKTQQAMIFTTPHCFFSCSTSLPFSLSKKPSFFLSKKPRLSTWVSSRIRSSSLCTASLITSPDSFEVGRLIGSYGFMNVTSYSGFQSGADLEFSSGDLGQLRVQDVGEGSVKIRLYEGRIAQGPFKGTPVIFKVYPGQRAAGIEADMMAANELNAHAFLQSSSEGISKNLLLLLGGFETKTGEQWLAFRDNGKYSAADFAKATSEKISRARSLQEKPWNPFEQEQAFKRRTYFVIRLFQGAMNGLAYMHNHERLHQSLGPASVILNTIVERDAVYLVPKLRDLAFSVDISFSSLEEGPSTFSEDLWRRASSAGAFTPMERRSFGIADDIYEAGLLFAYLAFVPFCEAGIMDGFSLQRLLESTFKLDVMATREYCLADDRLLEAVKFLDLGDAAGWELLQAMLNPDFRKRPIAEAVANHRFLTANVL >KJB43810 pep chromosome:Graimondii2_0_v6:7:24751297:24755014:1 gene:B456_007G217600 transcript:KJB43810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHWFEKRYGQMANGSLCVTRAMQHELAQNWGIRATVLYDQPREFFCPTSLQEKHKVSLSPFWGSRLSTTGIGADDQNETLFTTQVDTDILLKPNRPALLTPDEDFGILLEAAVMYDRRVAALLDENDSADEWVLWKEISGGKQYLYPRLLFIITGKSPEKEKYEEKIKRLNLKRVAFRTTWLSAEEYPLLLGSADVGVCLHTSSSGLDLLMKVVDMFGCGLPVCAVSYSGKLVHLSCMVYIDELVKVEKNGLLFSLSSELADELLLFRPYELNVGGNGLKSLKNGALETGSSARWAAEWEEHAKPLISEVL >KJB42043 pep chromosome:Graimondii2_0_v6:7:10893749:10894136:1 gene:B456_007G133900 transcript:KJB42043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTDVLTLSTNTASQLAKMTKTANKNLLLKKMVTHLACKCHISIRNILFYPSCWRGSRFTSNKMMTRQL >KJB39216 pep chromosome:Graimondii2_0_v6:7:245248:247045:-1 gene:B456_007G002600 transcript:KJB39216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDNSCSSQPLYLENGSTNNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCQKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRHFLLVFDRVESFIEHQDACHMGRVRQEPQALQPACLSRTASSHSPSSDTNFSTSPWPSSLMLAKTMDTPSSPQKAQYHNLELQLLTTSSPSPTEAKKYSTQAGEQLRLAMAEKAYAEEARREAKRQMEIAEQEFGKAKRIRLQAQAELEKAHDIKEHAIKQIRSTMLEITCQACKQQFQARTPLGDKCPVVNYISSGITEGEVENDIGAKVTKT >KJB39215 pep chromosome:Graimondii2_0_v6:7:244672:247569:-1 gene:B456_007G002600 transcript:KJB39215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDNSCSSQPLYLENGSTNNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCQKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACHMGRVRQEPQALQPACLSRTASSHSPSSDTNFSTSPWPSSLMLAKTMDTPSSPQKAQYHNLELQLLTTSSPSPTEAKKYSTQAGEQLRLAMAEKAYAEEARREAKRQMEIAEQEFGKAKRIRLQAQAELEKAHDIKEHAIKQIRSTMLEITCQACKQQFQARTPLGDKCPVVNYISSGITEGEVENDIGAKVTKT >KJB46455 pep chromosome:Graimondii2_0_v6:7:60258852:60261060:-1 gene:B456_007G3698001 transcript:KJB46455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGAGELSATSNFTARLKKPEAEEQSVADYSCQRRLKVKKKLEIMPIDSSRTAFPEVRRSRMKMMEKMGYGVAELEHQSMSNGSKKRILKFVADDFLRQEAELKKKQRDIRYWRQKRAKIYDDPTERGINNNGKITNWRCKWQRVVEMLLMSMKTKYVLKATNIGKTKASQQVLCHRNQIKMQWPIQSDPLVIIHVIQETDRKCKDAVLAEAKAKWRLLLIGETIEKLDRQRSEIFFPPPCK >KJB43715 pep chromosome:Graimondii2_0_v6:7:23311003:23311185:-1 gene:B456_007G213200 transcript:KJB43715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMPMEFVTDTDDQGSTMEVDDVDTPEIFGEGVIASDNKLAYADSFNNFEDNFDDSDID >KJB45688 pep chromosome:Graimondii2_0_v6:7:53638181:53638935:1 gene:B456_007G320800 transcript:KJB45688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESVLLALLLINALAFSQLNLAVEAAESVPLSPSDDFSKELKALSRRSRRITPPSPRINAPVHFKRPPLLPRPPPPPRSPPPPCLWRPPTPFG >KJB42052 pep chromosome:Graimondii2_0_v6:7:11081801:11084168:1 gene:B456_007G135800 transcript:KJB42052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVAIESMASLSTDVFYDILRRLDGPSLAAAACACATFCSISREERLWENVCSSMWPSTNREDVKSLISLIGGFRKFYADCFPLIVNKEVTEYQWNGYLENPEEWTEAEYYGDTVELESISPLDFVSIVDIRYKDKTICSKVLWGIPNANGSNSWFHNCPFRIDLLNYAARDDDSESEVTLTVSDGLPPITSMEKERKDGKLWKELRDGLRLSWIVVNRKVKQAANLASWSPLGGQRHWPTDKDFVIRFGSVLPAKDILPCQVVECILIMKFRVVNTEGEGVETTLKLTELSMQLEDMEGAHVNGRNSLLILKEALSCRRSKNYSEVLESCHLYSKVQSELKEEKMRNESRIDRLCILSGIAAFVTFWYYIL >KJB45014 pep chromosome:Graimondii2_0_v6:7:48775438:48776694:-1 gene:B456_007G285000 transcript:KJB45014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSATASSPLKVIAGADSFGAELKDAMVSHLRSLNIEVEDLGITSYYNIGAEVGRRVSSATTSPSVETRGLLACGTGVGVGIFANKFPGVFASTCLTPDEARNNRSINNCNVLALSGMSTSADTAKEIVDTWLNTPFKAPCPASKSQPWPEEISNFFDESMNEMPKIGASENTQAETCAVCCLVKNRELNPIDIIPGGSMKILRESPTSAIIKFKAGSVEPAHHHTFGHCLLVMKGKKSVWNLSKKERYDLGDGDYLFTPAGDVHRVKYYEDTEFFLKWDGKWDIFFDEDLETAKIAIEKELANGSA >KJB46429 pep chromosome:Graimondii2_0_v6:7:60047710:60048819:1 gene:B456_007G368200 transcript:KJB46429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKEPGEE >KJB46428 pep chromosome:Graimondii2_0_v6:7:60047710:60048572:1 gene:B456_007G368200 transcript:KJB46428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKNMT >KJB46427 pep chromosome:Graimondii2_0_v6:7:60047650:60050769:1 gene:B456_007G368200 transcript:KJB46427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >KJB45033 pep chromosome:Graimondii2_0_v6:7:49160068:49162602:1 gene:B456_007G286300 transcript:KJB45033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVERLQKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDAVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQAPAATGIGTGVTTTQEEEDEEVPDLVPGETFEAAAEEGEAVK >KJB45035 pep chromosome:Graimondii2_0_v6:7:49159873:49162608:1 gene:B456_007G286300 transcript:KJB45035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVERLQKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDAVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQAPAATGIGTGVTTTQEEEDEEVPDLVPGETFEAAAEEGEAVK >KJB45034 pep chromosome:Graimondii2_0_v6:7:49160304:49162553:1 gene:B456_007G286300 transcript:KJB45034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVERLQKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDAVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQAPAATGIGTGVTTTQEEEDEEVPDLVPGETFEAAAEEGEAVK >KJB45011 pep chromosome:Graimondii2_0_v6:7:48770397:48773536:1 gene:B456_007G284900 transcript:KJB45011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASVLFAATGLGSMVRVKSTRLFVKSSLDTNVSDMSVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIFESRPFIGGKVGSFVDRKGNHIEMGLHVFFGCYNNLFRLMKKVGADQNLLVKDHTHTFVNKGGEIGELDFRFPVGAPIHGISAFLSTNQLKTYDKARNAVALALSPVVKALVDPDGAMRDIRDLDSISFSDWFLSKGGTRMSIQRMWDPVAYALGFIDCDNISARCMLTIFSLFATKTEASLLRMLKGSPDVYLSGPIRNYITERGGRFHMRWGCREILYDKSADGEIYVTGLAMSKATNQKIVKADAYVAACDVPGIKRLLPSDWRELQFFNNIYELVGVPVVTVQLRYNGWVTELRDLEQSRYFFHLILYCGCE >KJB45010 pep chromosome:Graimondii2_0_v6:7:48769978:48775437:1 gene:B456_007G284900 transcript:KJB45010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASVLFAATGLGSMVRVKSTRLFVKSSLDTNVSDMSVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIFESRPFIGGKVGSFVDRKGNHIEMGLHVFFGCYNNLFRLMKKVGADQNLLVKDHTHTFVNKGGEIGELDFRFPVGAPIHGISAFLSTNQLKTYDKARNAVALALSPVVKALVDPDGAMRDIRDLDSISFSDWFLSKGGTRMSIQRMWDPVAYALGFIDCDNISARCMLTIFSLFATKTEASLLRMLKGSPDVYLSGPIRNYITERGGRFHMRWGCREILYDKSADGEIYVTGLAMSKATNQKIVKADAYVAACDVPGIKRLLPSDWRELQFFNNIYELVGVPVVTVQLRYNGWVTELRDLEQSRQLRQAVGLDNLLYTPDADFSCFADLALTSPEDYYIEGQGSLLQCVLTPGDPYMPLPNDEIIKRVAKQVLALFPSSRGLEITWSSVVKIGQSLYREGPGKDPFRPDQKTPIKNFFLAGSYTKQDYIDSMEGATLSGRQASAYICHAGEELLALQKKLAAIECHQQLETSSSSDELSLV >KJB45013 pep chromosome:Graimondii2_0_v6:7:48770118:48775437:1 gene:B456_007G284900 transcript:KJB45013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASVLFAATGLGSMVRVKSTRLFVKSSLDTNVSDMSVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIFESRPFIGGKVGSFVDRKGNHIEMGLHVFFGCYNNLFRLMKKVGADQNLLVKDHTHTFVNKGGEIGELDFRFPVGAPIHGISAFLSTNQLKTYDKARNAVALALSPVVKALVDPDGAMRDIRDLDSISFSDWFLSKGGTRMSIQRMWDPVAYALGFIDCDNISARCMLTIFSLFATKTEASLLRMLKGSPDVYLSGPIRNYITERGGRFHMRWGCREILYDKSADGEIYVTGLAMSKATNQKIVKADAYVAACDVPGIKRLLPSDWRELQFFNNIYELVGVPVVTVQLRYNGWVTELRDLEQSRQLRQAVGLDNLLYTPDADFSCFADLALTSPEDYYIEGQGSLLQ >KJB45012 pep chromosome:Graimondii2_0_v6:7:48770118:48775437:1 gene:B456_007G284900 transcript:KJB45012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASVLFAATGLGSMVRVKSTRLFVKSSLDTNVSDMSVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIFESRPFIGGKVGSFVDRKGNHIEMGLHVFFGCYNNLFRLMKKVGADQNLLVKDHTHTFVNKGGEIGELDFRFPVGAPIHGISAFLSTNQLKTYDKARNAVALALSPVVKALVDPDGAMRDIRDLDSISFSDWFLSKGGTRMSIQRMWDPVAYALGFIDCDNISARCMLTIFSLFATKTEASLLRMLKGSPDVYLSGPIRNYITERGGRFHMRWGCREILYDKSADGEIYVTGLAMSKATNQKIVKADAYVAACDVPGIKRLLPSDWRELQFFNNIYELVGVPVVTVQLRYNGWVTELRDLEQSRQLRQAVGLDNLLYTPDADFSCFADLALTSPEDYYIEGQDVS >KJB43297 pep chromosome:Graimondii2_0_v6:7:18836167:18838729:-1 gene:B456_007G192400 transcript:KJB43297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISNAFSLSLPSNRITNSSSDFNPITSALSLRVNKPAKVSLFGSDSGEFSPGRVAVSKPSGIGGRICANATRVMDRPVGEKSSGAPTIVEVDLGNRSYPIYIGSGLLDQPELLQKHVHGKKVIVVTNTTIAPLYLDKVVEALTKGNPAVSVEYVILPDGEKFKDMDTLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGFAAASFLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLDTLPDRELASGLAEVIKYGLIRDAEFFEWQEKDMEKLIARNPNAMAYAIKRSCENKAEVVSLDEKESGLRDTLNLGHTSGHAIETGFGYGQWLHGEAVAAGTVMAVDMSYRLGWIDSSIVKRVDDILLRAKLPTASPETMTVEMFKSVMAVDKKVADGLLRLILLKGLLGNCVFTGEYDRKALDDTLSAFCKS >KJB40503 pep chromosome:Graimondii2_0_v6:7:4727057:4736347:1 gene:B456_007G067000 transcript:KJB40503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLRAAGVVYKPVEQLDLGPDSNEIYVEADIKAPRMGGLLAKIFAWILESRIFGALAFYILKRLNRVHKFISNATVEEPPMFVPLHPYVDLDEQEVIEIDSDASPAERVQQAMNCLPLTSENSLDAFKFSCFRRWTIADYSRAYRNGEITPLKIAERFIDAVHESSSRPLSIAFFINYDAEDILRQATESTLRYERGAPISALDGVPIAVKDEIDCSPYPTTGGTKWLHKVRPCTGDACCVARLRSCGAILVGKTNMHELGATPSGINPHYGPPRNPYDPSRISGGSSSGSAAVVCAGLCPAALGVDGGGSVRMPASLSGVVGFKPTFGRIPHSGVLPLNWTVGMVGVLAGTLEDAFIVYAAISGHLPSHEPTTLPPKIHFPLLNSTNPISNIKLAIYGEWFNDCNEEIRLCCSNAVQLLCERYNWKTVEVTIPELEVMRLAHYVTIGSECSTALSSHLENLGRSEFGWDARVVVDIYGAFNSNEYIKAQKLRYRHMQIHNKIFAKADVIVTPTTGMTAYSISKNALKTGELDYVNGGTIIRYQIAGNFLGLPAITVPVGYDKAGLPIGLQFIGKPWSEPTLMHLAFAMQALCITDYRKPKVFYDILGKK >KJB40505 pep chromosome:Graimondii2_0_v6:7:4727240:4736320:1 gene:B456_007G067000 transcript:KJB40505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLRAAGVVYKPVEQLDLGPDSNEIYVEADIKAPRMGGLLAKIFAWILESRIFGALAFYILKRLNRVHKFISNATVEEPPMFVPLHPYVDLDEQEVIEIDSDASPAERVQQAMNCLPLTSENSLDAFKFSCFRRWTIADYSRAYRNGEITPLKIAERFIDAVHESSSRPLSIAFFINYDAEDILRQATESTLRYERGAPISALDGVPIAVKDEIDCSPYPTTGGTKWLHKVRPCTGDACCVARLRSCGAILVGKTNMHELGATPSGINPHYGPPRNPYDPSRISGGSSSGSAAVVCAGLCPAALGVDGGGSVRMPASLSGVVGFKPTFGRIPHSGVLPLNWTVGMVGVLAGTLEDAFIVYAAISGHLPSHEPTTLPPKIHFPLLNSTNPISNIKLAIYGEWFNDCNEEIRLCCSNAVQLLCERYNWKTVEVTIPELEVMRLAHYVTIGSECSTALSSHLENLGRSEFGWDARVVVDIYGAFNSNEYIKAQKLRYRHMQIHNKIFAKADVIVTPTTGMTAYSISKNALKTGKPWSEPTLMHLAFAMQALCITDYRKPKVFYDILGKK >KJB40504 pep chromosome:Graimondii2_0_v6:7:4729530:4736070:1 gene:B456_007G067000 transcript:KJB40504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISNATVEEPPMFVPLHPYVDLDEQEVIEIDSDASPAERVQQAMNCLPLTSENSLDAFKFSCFRRWTIADYSRAYRNGEITPLKIAERFIDAVHESSSRPLSIAFFINYDAEDILRQATESTLRYERGAPISALDGVPIAVKDEIDCSPYPTTGGTKWLHKVRPCTGDACCVARLRSCGAILVGKTNMHELGATPSGINPHYGPPRNPYDPSRISGGSSSGSAAVVCAGLCPAALGVDGGGSVRMPASLSGVVGFKPTFGRIPHSGVLPLNWTVGMVGVLAGTLEDAFIVYAAISGHLPSHEPTTLPPKIHFPLLNSTNPISNIKLAIYGEWFNDCNEEIRLCCSNAVQLLCERYNWKTVEVTIPELEVMRLAHYVTIGSECSTALSSHLENLGRSEFGWDARVVVDIYGAFNSNEYIKAQKLRYRHMQIHNKIFAKADVIVTPTTGMTAYSISKNALKTGELDYVNGGTIIRYQIAGNFLGLPAITVPVGYDKAGLPIGLQFIGKPWSEPTLMHLAFAMQALCITDYRKPKVFYDILGKK >KJB39088 pep chromosome:Graimondii2_0_v6:7:8816201:8816656:1 gene:B456_007G113600 transcript:KJB39088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1B, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46820) UniProtKB/Swiss-Prot;Acc:Q8LCA1] MASASSNSLSISPSSTLVDAKAPRQPTAASPQCVTLPTLPPPPVQSQSRPWKTTAYCRKIARNVMAMATGETLATREAPTEVTPTELTEFINKIQETVRISPHVLFISLFMYLLTTHACGWFSVG >KJB39084 pep chromosome:Graimondii2_0_v6:7:8815997:8817534:1 gene:B456_007G113600 transcript:KJB39084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1B, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46820) UniProtKB/Swiss-Prot;Acc:Q8LCA1] MASASSNSLSISPSSTLVDAKAPRQPTAASPQCVTLPTLPPPPVQSQSRPWKTTAYCRKIARNVMAMATGETLATREAPTEVTPTELTEFINKIQETWDKVEDKYAVSSLAVAGLVLVWGTAGMVSAIDKLPVISTFLEVVGIGYTGWFAYKNLIFKPDRAALISKIKDTYKEILGTS >KJB39087 pep chromosome:Graimondii2_0_v6:7:8816100:8817534:1 gene:B456_007G113600 transcript:KJB39087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1B, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46820) UniProtKB/Swiss-Prot;Acc:Q8LCA1] MASASSNSLSISPSSTLVDAKAPRQPTAASPQCVTLPTLPPPPVQSQSRPWKTTAYCRKIARNVMAMATGETLATREAPTEVTPTELTEFINKIQETWDKVEDKYAVSSLAVAGLVLVWGTAGMVSVRFKICRLS >KJB39090 pep chromosome:Graimondii2_0_v6:7:8816201:8816656:1 gene:B456_007G113600 transcript:KJB39090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1B, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46820) UniProtKB/Swiss-Prot;Acc:Q8LCA1] MASASSNSLSISPSSTLVDAKAPRQPTAASPQCVTLPTLPPPPVQSQSRPWKTTAYCRKIARNVMAMATGETLATREAPTEVTPTELTEFINKIQETVRISPHVLFISLFMYLLTTHACGWFSVG >KJB39085 pep chromosome:Graimondii2_0_v6:7:8816201:8816656:1 gene:B456_007G113600 transcript:KJB39085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1B, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46820) UniProtKB/Swiss-Prot;Acc:Q8LCA1] MASASSNSLSISPSSTLVDAKAPRQPTAASPQCVTLPTLPPPPVQSQSRPWKTTAYCRKIARNVMAMATGETLATREAPTEVTPTELTEFINKIQETVRISPHVLFISLFMYLLTTHACGWFSVG >KJB39091 pep chromosome:Graimondii2_0_v6:7:8816084:8817534:1 gene:B456_007G113600 transcript:KJB39091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1B, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46820) UniProtKB/Swiss-Prot;Acc:Q8LCA1] MASASSNSLSISPSSTLVDAKAPRQPTAASPQCVTLPTLPPPPVQSQSRPWKTTAYCRKIARNVMAMATGETLATREAPTEVTPTELTEFINKIQETWDKVEDKYAVSSLAVAGLVLVWGTAGMVSAIDKLPVISTFLEVVGIGYTGWFAYKNLIFKPDR >KJB39092 pep chromosome:Graimondii2_0_v6:7:8816100:8817534:1 gene:B456_007G113600 transcript:KJB39092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1B, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46820) UniProtKB/Swiss-Prot;Acc:Q8LCA1] MASASSNSLSISPSSTLVDAKAPRQPTAASPQCVTLPTLPPPPVQSQSRPWKTTAYCKFIDYLYHTLDMHQLVYQMVFPLFAGRKIARNVMAMATGETLATREAPTEVTPTELTEFINKIQETVRISPHVLFISLFMYLLTTHACGWFSVG >KJB39086 pep chromosome:Graimondii2_0_v6:7:8816100:8817055:1 gene:B456_007G113600 transcript:KJB39086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1B, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46820) UniProtKB/Swiss-Prot;Acc:Q8LCA1] MASASSNSLSISPSSTLVDAKAPRQPTAASPQCVTLPTLPPPPVQSQSRPWKTTAYCRKIARNVMAMATGETLATREAPTEVTPTELTEFINKIQETWDKVEDKYAVSSLAVAGLVLVWGTAGMVSVRFKICRLS >KJB39089 pep chromosome:Graimondii2_0_v6:7:8816084:8817534:1 gene:B456_007G113600 transcript:KJB39089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1B, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46820) UniProtKB/Swiss-Prot;Acc:Q8LCA1] MASASSNSLSISPSSTLVDAKAPRQPTAASPQCVTLPTLPPPPVQSQSRPWKTTAYCRKIARNVMAMATGETLATREAPTEVTPTELTEFINKIQETAIDKLPVISTFLEVVGIGYTGWFAYKNLIFKPDRAALISKIKDTYKEILGTS >KJB42973 pep chromosome:Graimondii2_0_v6:7:16526329:16527904:-1 gene:B456_007G177600 transcript:KJB42973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHMIRFTAGLLILLFFVLHALNSCSVHAQQGRETAGEGSVTRKEFNGRKIGGHEVMVASKNSGGNNGAESVSIGKSQHQPNNQVSGVNKLEAKTSNFAGLGTPRNGGNNVESEKLLEATKEIVKLMQEDYRGRPRRKPPINNHVPRH >KJB45855 pep chromosome:Graimondii2_0_v6:7:55618435:55625706:1 gene:B456_007G333300 transcript:KJB45855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAVTSAVTTIGNLLTEEAIFLWGVEEQVDRLQTELKWMQRYLMDAETKQSKDEMIRLWVADIRELAYDAEDVVEEFALKIGPKNKGGLASCIKRSACCLKEGWVLHETRSKIEKIIERINDLVRRLQAYGVKELKDRGEESSSSTERRESRRPYPHIMDDNIVGLVDDTEGLVKVLTNESGCKVVTIWGMGGLGKTTLAKKIYHHPQVRDYFENFAFVYVSQPCQKINVWEDILSGFKTSNVKDRKKRDEELAEDRKKRDQELAEKLCKTLEDKKCLVILDDVWTSEAWDSLKPAFPVATGRDSNSKILLTSRNKGIVSDAEIRELKCLNDEESWELFQKIVFPQTGNIIDEKIIELGKNMVKHCAGLPLAIVVLGGILATKNYSLNEWQKISDNVKSYLKRGKNQGPEDVLALSYDDLPPYLRPCFLYLSHFPEDYTIDVDRLIQFWLAEGIVSSKQEKRDGGEIAEDVAESYLMELVERCMIQVRERDVATLKVKKIQMHDLMRDLCLSKAKQENFVFIVDRSNASSLSMIRKVRRVSVHESFFIQCIKSPNIRSLLFFNQCYPEEALEKCLPLEVLNYVKNHYDDCCNPLFWILQISAGSTVRLKFRVFWRYMFNNFKLLRVLNYDKARTSYGFWPGWELPSDIGNLIHLRFLSLKDVLFFRTMLPSSLGNLRCLQTLDLRVRNHKIHVPNVIWRMEQLRHLYLPLYCKSSIKLELGTLRKLLTLVNFNTKYCYLKDLINMTNLRELEIYGPFYIENFNEKELGENPPIIGSKYIHSLSIGRIGGSSSKIDPRHLGHLLSNCTSICNLSISAEISELPEYHYFPSHLAYIRLSSCEFKEMFCSAQGFPKLESLILAKLNNLEEWKVDEGAMPSLQRLEITRCPELKMLPEGLKFITTLKELKIESMPKAFKDRLEEEGGEDFYKVKHVPSIIF >KJB44534 pep chromosome:Graimondii2_0_v6:7:42029291:42035455:-1 gene:B456_007G258400 transcript:KJB44534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKAGDLKSKKGNKIKNSRMQLVKENDIVQRVSELKSEEDHDANESKIAKAKKDLKRTSKTKFEEYLEMEMPNSDMLAQEDLELEKKLAKKLKVKGGKLRGDDDGLNILFEGFSDEEAVNHSLSKKRKKKKSVQQAIKDDIGDDSTIEASEGEEYSETTVVEIPVKAPSQKRRKKRKSLLQGQESDMVGETALSVTPHSECHNAEVASGQISTKALGMESSGKYVAPHLRSQTKNESEEQTRMRRRVRGLLNRLSESNVESITGEMATIFRSVSRSVSSQIISEEVLASCYNGPRGNEQHAAVFAAFIAGMASLVGVDFSAKLMALLAKIFEEEYLKEDNLSLRNLTLLLSYLCIFGVCSCDLIYDFLIMLSKRLTEIDVSTILTVLQCCGMKIRGDDPVAMKNFILCVQNRVNELKALSGGGEAKINGKRMEFMLETICDIKNNKKKPKEDTVQHTRIKKWLQKLRVEDILIRGLKWSKLLDPDKKGQWWLPGDIASATDNVEEVANSIDKEALEAQKMLELAAAQRMNTDARRAIFCVIMSGEDYIDAFEKLLRLDLPGKQDRDIMRVLVECCLQEKVFNKYYTVLAAKLCEHEKNHKFTLQYCLWDHFKELDSMPLLRSMHLAKFMAEMVASFTLSLAVLKTVEWSDPQMLSPKKIMHFRMLFEAIFEYPDKVIWNMFTRIAVTPELETLRQGMEFFIKEYVVKTNKKVNDKFKLAKKALNNTEGVLM >KJB44536 pep chromosome:Graimondii2_0_v6:7:42029449:42035452:-1 gene:B456_007G258400 transcript:KJB44536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKAGDLKSKKGNKIKNSRMQLVKENDIVQRVSELKSEEDHDANESKIAKAKKDLKRTSKTKFEEYLEMEMPNSDMLAQEDLELEKKLAKKLKVKGGKLRGDDDGLNILFEGFSDEEAVNHSLSKKRKKKKSVQQAIKDDIGDDSTIEASEGEEYSETTVVEIPVKAPSQKRRKKRKSLLQGQESDMVGETALSVTPHSECHNAEVASGQISTKALGMESSGKYVAPHLRSQTKNESEEQTRMRRRVRGLLNRLSESNVESITGEMATIFRSVSRSVSSQIISEEVLASCYNGPRGNEQHAAVFAAFIAGMASLVGVDFSAKLMALLAKIFEEEYLKEDNLSLRNLTLLLSYLCIFGVCSCDLIYDFLIMLSKRLTEIDVSTILTVLQCCGMKIRGDDPVAMKNFILCVQNRVNELKALSGGGEAKINGKRMEFMLETICDIKNNKKKPKEDTVQHTRIKKWLQKLRVEDILIRGLKWSKLLDPDKKGQWWLPGDIASATDNVEEVANSIDKEALEAQKMLELAAAQRMNTDARRAIFCVIMSGEDYIDAFEKLLRLDLPGKQDRDIMRVLVECCLQEKVFNKYYTVLAAKLCEHEKNHKFTLQYCLWDHFKELDSMPLLRSMHLAKFMAEMVASFTLSLAVLKTVEWSDPQMLSPKKIMHFRMLFEAIFEYPDKVIWNMFTRIAVTPELETLRQGMEFFIKEYVVKTNKKVNDKFKLAKKALNNTEGVLM >KJB44535 pep chromosome:Graimondii2_0_v6:7:42030120:42035102:-1 gene:B456_007G258400 transcript:KJB44535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKAGDLKSKKGNKIKNSRMQLVKENDIVQRVSELKSEEDHDANESKIAKAKKDLKRTSKTKFEEYLEMEMPNSDMLAQEDLELEKKLAKKLKVKGGKLRGDDDGLNILFEGFSDEEAVNHSLSKKRKKKKSVQQAIKDDIGDDSTIEASEGEEYSETTVVEIPVKAPSQKRRKKRKSLLQGQESDMVGETALSVTPHSECHNAEVASGQISTKALGMESSGKYVAPHLRSQTKNESEEQTRMRRRVRGLLNRLSESNVESITGEMATIFRSVSRSVSSQIISEEVLASCYNGPRGNEQHAAVFAAFIAGMASLVGVDFSAKLMALLAKIFEEEYLKEDNLSLRNLTLLLSYLCIFGVCSCDLIYDFLIMLSKRLTEIDVSTILTVLQCCGMKIRGDDPVAMKNFILCVQNRVNELKALSGGGEAKINGKRMEFMLETICDIKNNKKKPKEDTVQHTRIKKWLQKLRVEDILIRGLKWSKLLDPDKKGQWWLPGDIASATDNVEEVANSIDKEALEAQKMLELAAAQRMNTDARRAIFCVIMSGEDYIDAFEKLLRLDLPGKQDRDIMRVLVECCLQEKVFNKYYTVLAAKLCEHEKNHKFTLQVVFSYPIVD >KJB45740 pep chromosome:Graimondii2_0_v6:7:54394357:54398487:1 gene:B456_007G324600 transcript:KJB45740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSAVSYALETINKLTQEVTSLWGVDEQVEGLASELRWMQSFLKVADARKVDHEVIRTSVVEIRELAYDAEDVIETFFLKVASKRKGGFSNCIKRSTCFLKEGCLLHQIKSEIEKITARIKVLTRQLKTYDVSKLGVDGEGPSSSTERREARRPYPHVMDDNIVGLGKDIEKLVSVLVDEESGCKVLSICGMGGLGKTTLAKKIYSQSQVVGHFKHLAWAYVSQNCQKRKVWEDILSDFNLLSETDKKMKVEKLAEKLSSFLEENKCLVVLDDIWNTESWDSLKPAFSARETRSKILLTSRNKEIVSHADSKGFLYELQYLNYKQSWELFQKIAFPPTNSPGCKIDAKMKELGEDMVKHCAGLPLAINILGGILATKYPSLTEWLKVSANVKLYLKNDKGEVLRDVLALSYDDLTPYLRPCFLYLSHFPEDYEIPADRLIQLWVAEGIVSSKQEEGDEGQIAEDVAEGHLLELAERCMIQVRERDIATLKIRSFQMHDLMRDVCLSKAKQQKFLYIADQSNECQLSTIGRVRRVSAHKFIPLQCIKSPRLRSLLLFDELLPDEEQENILPLTMESYFDNHDIDNPLFCFVALLIFSALGIKYRGIWKYMFNNFNFLRVLDYEGGGEAGCKLPNDIGKLIHLRFLRLRGLDFMSSKLPSSLGNLRWLQTLDLRIKSDHSNSIHVPNVLWRMQQLRHLYLPEECNRKTKLKLGTLRNLQTLVNFNTKNCYVKDLINMTNLRELEIRGSFNIEDFNTEELDKNPPIIQSKYLHSLCIINDEGRIDPRHLAHLLLSCENISKLWLDVEIRRLPEFHYLSSNLAYIKLRRCKFEEDPMPTLAELPYLSMLELHEGAFIGKEMFCSGQAFAKLESLSLKGLNFLEEWKVSEGAMPCLRRLEIEFCGRLKKNPDLLRFIATFQERKF >KJB46467 pep chromosome:Graimondii2_0_v6:7:60372625:60376462:1 gene:B456_007G370900 transcript:KJB46467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYTGTCEIVEAGSELKPVQRSVRSSRLHSGSGLSDKDRKPPVLKLGYKDSLEGDINRLFESINLKGPKGLSVSYQGDASSSSLKKNALKKPIAMGMPHSPRIGGSERLSLKHALRDLCISRASEMAAMKRLSMSTSSPRFSEAGRIKSLFDSVVVESSGGSGHRIDEGNQGVVEISLVPEESKLQSLSQSTNSSPRFVRATTEISTEASTSSRTDVSASRKVGTKAPKAKLHRKEEHTSAGNNTVEPEKTVPASTKLPKRASTPKSGRKVRLQVVATSSSMNGNKVNRMTRNAPRVAKTVVRNKSLVKKKIKPESISTTSNGVNSSSDSTMSQLVCQRCQCSMKNSSNESNQDSMKSNASGVSAEVNSNPVKLAENNSNKTQPPIPRAKKKSPKSRGKGDFSQSPSSLGDSTSTSISDYSNLSRSSCGNRPHMSKDVKWEAIRHVKIQDGILGLRHFNLLKKLGCGDIGTIYLAELIGTNCFFALKIMDNEYLVRRKKMPRAQTEREILRMLDHPFLPTLYTQFTSDNLSCLVMEYCPGGDLHVLRQRQPGRCFSEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLTDFDLSLRCSVNPTLLKSSSNLDPARMSGSCGGSGCAEPFCIEPSCQIRCFSPRLSPAPTKTKTVRPSHASQVRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTYGVFLYELLYGKTPFKGAGNDETLANVVLQSLTFPESPIVSVQARELIRGLLVKEPENRLGTEKGAAEIKQHPFFEGLNWALIRCAVPPELPELYEFGFPAVMSPKTKSNYLECKAAGEQLEFELF >KJB46468 pep chromosome:Graimondii2_0_v6:7:60372052:60376462:1 gene:B456_007G370900 transcript:KJB46468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYTGTCEIVEAGSELKPVQRSVRSSRLHSGSGLSDKDRKPPVLKLGYKDSLEGDINRLFESINLKGPKGLSVSYQGDASSSSLKKNALKKPIAMGMPHSPRIGGSERLSLKHALRDLCISRASEMAAMKRLSMSTSSPRFSEAGRIKSLFDSVVVESSGGSGHRIDEGNQGVVEISLVPEESKLQSLSQSTNSSPRFVRATTEISTEASTSSRTDVSASRKVGTKAPKAKLHRKEEHTSAGNNTVEPEKTVPASTKLPKRASTPKSGRKVRLQVVATSSSMNGNKVNRMTRNAPRVAKTVVRNKSLVKKKIKPESISTTSNGVNSSSDSTMSQLVCQRCQCSMKNSSNESNQDSMKSNASGVSAEVNSNPVKLAENNSNKTQPPIPRAKKKSPKSRGKGDFSQSPSSLGDSTSTSISDYSNLSRSSCGNRPHMSKDVKWEAIRHVKIQDGILGLRHFNLLKKLGCGDIGTIYLAELIGTNCFFALKIMDNEYLVRRKKMPRAQTEREILRMLDHPFLPTLYTQFTSDNLSCLVMEYCPGGDLHVLRQRQPGRCFSEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLTDFDLSLRCSVNPTLLKSSSNLDPARMSGSCGGSGCAEPFCIEPSCQIRCFSPRLSPAPTKTKTVRPSHASQVRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTYGVFLYELLYGKTPFKGAGNDETLANVVLQSLTFPESPIVSVQARELIRGLLVKEPENRLGTEKGAAEIKQHPFFEGLNWALIRCAVPPELPELYEFGFPAVMSPKTKSNYLECKAAGEQLEFELF >KJB39773 pep chromosome:Graimondii2_0_v6:7:2079406:2081847:1 gene:B456_007G030300 transcript:KJB39773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFQSKVTRQYPGHEDPIILASQTAFSVSEVEALFELFKSISSSVIDDGLINKEEFQLALFQNRKKENIFANRIFDLFDAKKKGVIDFGDFVRALNVFHPNVSQEDKMNFAFRLYDMDGTGFIERNEVKQMVIALLCESEMKLADETIEAILDKTFLDADVNQDGKIDISEWKNFVSRNPSLLKIMTLPYLRDITTTFPSFVFHSEVDEVAT >KJB39775 pep chromosome:Graimondii2_0_v6:7:2079027:2081884:1 gene:B456_007G030300 transcript:KJB39775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFQSKVTRQYPGHEDPIILASQTAFSVSEVEALFELFKSISSSVIDDGLINKEEFQLALFQNRKKENIFANRIFDLFDAKKKGVIDFGDFVRALNVFHPNVSQEDKMNFAFRLYDMDGTGFIERNEVKQMVIALLCESEMKLADETIEAILDKTFLDADVNQDGKIDISEWKNFVSRNPSLLKIMTLPYLRDITTTFPSFVFHSEVDEVAT >KJB39774 pep chromosome:Graimondii2_0_v6:7:2078979:2081981:1 gene:B456_007G030300 transcript:KJB39774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFQSKVTRQYPGHEDPIILASQTAFSVSEVEALFELFKSISSSVIDDGLINKEEFQLALFQNRKKENIFANRIFDLFDAKKKGVIDFGDFVRALNVFHPNVSQEDKMNFAFRLYDMDGTGFIERNEVKQMVIALLCESEMKLADETIEAILDKTFLDADVNQDGKIDISEWKNFVSRNPSLLKIMTLPYLRDITTTFPSFVFHSEVDEVAT >KJB46585 pep chromosome:Graimondii2_0_v6:7:60721284:60727834:1 gene:B456_007G375800 transcript:KJB46585 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPA [Source:Projected from Arabidopsis thaliana (AT5G02470) UniProtKB/TrEMBL;Acc:A0A178ULD9] MDDLHLEDSTYSEQSPVATPTNASTSESAYRTNRLAVKQKNGDADLVEGTTKKKVSRMPGGGLRQFSVMVCKKLESKGSTTYAEVADEIIEEFAATQSNTAGSLDEFHEKNVRRRVYDALNVLMAMDIITREKKEIRWKGLSTTQTKDLEELTAAHVQLMTSISRKTAYLKDLEEQIAGLRNIIKRNQRMLKSNNNNNNTAPKEGFTLPFILVQTSPHATVEIEISEDMQLVHLDFNSTPFSLHDDAYILKLMHCYQQPEGRNLSQSSSIHSSSSSCKASGGVSKPFYWNSEADTVKQGTLRR >KJB46584 pep chromosome:Graimondii2_0_v6:7:60721094:60729560:1 gene:B456_007G375800 transcript:KJB46584 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPA [Source:Projected from Arabidopsis thaliana (AT5G02470) UniProtKB/TrEMBL;Acc:A0A178ULD9] MDDLHLEDSTYSEQSPVATPTNASTSESAYRTNRLAVKQKNGDADLVEGTTKKKVSRMPGGGLRQFSVMVCKKLESKGSTTYAEVADEIIEEFAATQSNTAGSLDEFHEKNVRRRVYDALNVLMAMDIITREKKEIRWKGLSTTQTKDLEELTAAHVQLMTSISRKTAYLKDLEEQIAGLRNIIKRNQRMLKSNNNNNNTAPKEGFTLPFILVQTSPHATVEIEISEDMQLVHLDFNSTPFSLHDDAYILKLMHCYQQPEGRNLSQSSSIHSSSSSCKASGGVSKPFYWNSEADTVKQVPYLQVSDHQYEEQSGH >KJB42034 pep chromosome:Graimondii2_0_v6:7:10868142:10873489:-1 gene:B456_007G133500 transcript:KJB42034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAAGPASPRERAQAVGSAIVPLVQAVPAVSDVLAKDTIISWFRGEFAAANAIIDALCAHLAQLQGGRGGGSEYEAVFTAIHRRRLNWIPVLQMQKYHSIADVAEELKKVADKKTVGGDGFGKEDVTRGGGGVEEGEAEGEGEGGCLDDEKEKVSQKIMENEGNGELGGEEEEDSLDSDITDSGSQEVQHVEENIDICSNHEECDARPSQIKLTKGFSAKEHVKGHMVNVVKGLKLYEEVFTDIELAKLSDFVSELRSAGHNGELSGETFILFNKQIKGNKRELIQLGVPIFRHVREESNPTSKTYQYELMICQYRTNPGSSARCYKTSGPVATYT >KJB42033 pep chromosome:Graimondii2_0_v6:7:10868127:10873589:-1 gene:B456_007G133500 transcript:KJB42033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAAGPASPRERAQAVGSAIVPLVQAVPAVSDVLAKDTIISWFRGEFAAANAIIDALCAHLAQLQGGRGGGSEYEAVFTAIHRRRLNWIPVLQMQKYHSIADVAEELKKVADKKTVGGDGFGKEDVTRGGGGVEEGEAEGEGEGGCLDDEKEKVSQKIMENEGNGELGGEEEEDSLDSDITDSGSQEVQHVEENIDICSNHEECDARPSQIKLTKGFSAKEHVKGHMVNVVKGLKLYEEVFTDIELAKLSDFVSELRSAGHNGELSGETFILFNKQIKGNKRELIQLGVPIFRHVREESNPTINIEPIPALLQGVIKHLVQWQLIPEYKKPNGCIINFFDEGEYSQPFLKPPHLDQPISTLLLSESTMAFGRTLVSDSEGKYRGALQLPLKEGSLLVMRGNSSDMARHVMCPSPSKRVSITFFRVRPDTNQGHSPPTVPQAGAMPLWQPSVPVPYEMSNGALNGYEALDMMPKLGVLRGPVVMLTPVSPMVMSPKKLPCGGTGVFLPWNMLSRKPTKHLPPRAQKGNMLALPPAETHIAESTSEPGINIEGKFGIS >KJB45682 pep chromosome:Graimondii2_0_v6:7:53576767:53579493:1 gene:B456_007G320300 transcript:KJB45682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFLNKIDKHLDNHRNLDQNMNELKRKLNDLNGLKEDIESRVSSELQPTKKLKKGVQIWLENVERINGEIQSLDGRIGESSALTRGFHAEDVLMRMKEVEEHIQQGKFCEGLVVDNPRRIGQVLSTSTLSGEATKLCIEEISQCLMNDEVRKIGVWGMGGVGKTSIMKHINNQLLKETHKFDVVIWITVSKEMSLAKLQKDLASKLDVKFSGNECETTRAGMLFETLSFKFSRFVMILDDVWEKVSLEKVGIPESSNGSKLVLTTRSLDVCRHVGCNRVIQIKPLAEEEAWNLFLEIVGGNILNIPGLEPVAKSITKHCAGLPLGVIVVAACMKGLDDLFEWRNALKELSLARQSVNGLEDEVIQQLRFSYDRLKDQKLQHCFLNCALYPAGFAIREIDLVHLWIAEGLVEEMNSRQAEYDMGCAIMNRLINNCLLEVPTGTETENGRCVKMHDLVRDMALHITGGKPRFLIKAGMRLTEPPDLQDWRKDLEKVSLMENWGLQLPYPLEISPPKCPMLTTLLFSGCNIQSIPEGFFKHMHGLKILDLSANPIKNLPDSIANLKNLTALLLRHCRSLEKVPSLSKLKVLKELNLEATSIKEIPCGMKNLWKLNYLNLNGIGDLHEIPDRALSKLSCLQDLIVGETLISGEDVGGLKKLEILKGRFYDLHNLNAYVQALHGREEPLEYIIRVGERGWVEQINTRKYIELCGCNIYTNQIILPHVEELYIKECNLNCSEGYPLFSRFILISLSTFSSLKFLDIYNCKSMKKLFSPNCLPLNLQELSVSECNKLEEIIAIELGWNQSGKATMEFHLPQLRLFSLWNLPKLKGICSVNGVIVCDSLEIIEVRNCPKLKRMPLNLSQLDNIRLQPSGLLSPLICIKPKEWWESVEWDHPNAKSILEPLLRSCW >KJB44976 pep chromosome:Graimondii2_0_v6:7:48493700:48494652:1 gene:B456_007G283300 transcript:KJB44976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELKKLVEEGKIKYIGLSGASPETIKKAHAVHPITALQIEWSLWTRDLEEEIFPLCRELGIGIVPYGPLGFFAGRGVLETMPANSFLQLSQGFKKKTWTKIRSYI >KJB42199 pep chromosome:Graimondii2_0_v6:7:11880822:11881703:-1 gene:B456_007G142600 transcript:KJB42199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFARATHSAFTRRPWREFLSFTSFATPLSSGDAAARMKRNLNYFRVNYSMLILAVLFLGLLWHPISMIVFLVVFVAWFFLYFSEAIPCTFSTVR >KJB40468 pep chromosome:Graimondii2_0_v6:7:4851790:4858655:1 gene:B456_007G068700 transcript:KJB40468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGTLFKVGAVVGVIAIAKHYGGALGFDQDAALRYFHQWSDRLGIWAIPLYVAVHTLTLSLCLPYAVFFEAGAAMLFGFVPAVLCVFSAKIMGASLSFWIGRLVFKSSSSAMNWVLNNKYFHLLSHGVERDGWRFVLLARFSPIPSYVINYALAATNVRFIVDFLLPTVIGCVPMILQNTSIGSLAGAAVATASGSQKSKIWK >KJB40469 pep chromosome:Graimondii2_0_v6:7:4851864:4855447:1 gene:B456_007G068700 transcript:KJB40469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGTLFKVGAVVGVIAIAKHYGGALGFDQDAALRYFHQWSDRLGIWAIPLYVAVHTLTLSLCLPYAVFFEAGAAMLFGFVPAVLCVFSAKIMGASLSFWIGRLVFKSSSSAMNWVLNNKYFHLLSHGVERDGWRFVLLARFSPIPSYVINYALAATNVRFIVDFLLPTVIGCVPMILQNTSIGSLAGAAVATASGSQKSKVWSYILPSLGIMSSILISLRIKKYSTDITLAESSSSDHPKVE >KJB42567 pep chromosome:Graimondii2_0_v6:7:13761365:13764254:-1 gene:B456_007G157700 transcript:KJB42567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQFFVNAGIPSPAPPLHFGSSMPMSDWQSLSSAMEIQSQDCFPTPNWEKPTDYGLQFESALSSMVSSRAASNCNVSSESLIIRELIGKLGSIGNGGEIPPHSQPLLASYINGNNSSNTSCYSTPLNSPPKLNLPMVDSLVKEKLPPKGLNSSVADFSADPGFAERAAKFSCFGSRSFNGRTTQFGPKDNTEFAAFRSNPLAANAKLPRVSSSPSIKAMVSQGTTTNKNTPLQDRSELANSQEESIVSEQNPNGEPGLKASNSRKRKAVPKAKTKETSSSPSANASKVTEPNEASNEKRCKLSDSNGNENGSAKAEEDAKGDKANNTKALEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQNLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNTRLDFNVESLMSKDIFQRNNTLQQPIFAINSSASTFFGHQPQQNPALHSNMSNGTMTQCSVDPLDTAICPKLNTHLPQINQFVETVPPQVNIIFIANQSSLSLILFHFQRSKRLNLLV >KJB42566 pep chromosome:Graimondii2_0_v6:7:13761258:13764265:-1 gene:B456_007G157700 transcript:KJB42566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQFFVNAGIPSPAPPLHFGSSMPMSDWQSLSSAMEIQSQDCFPTPNWEKPTDYGLQFESALSSMVSSRAASNCNVSSESLIIRELIGKLGSIGNGGEIPPHSQPLLASYINGNNSSNTSCYSTPLNSPPKLNLPMVDSLVKEKLPPKGLNSSVADFSADPGFAERAAKFSCFGSRSFNGRTTQFGPKDNTEFAAFRSNPLAANAKLPRVSSSPSIKAMVSQGTTTNKNTPLQDRSELANSQEESIVSEQNPNGEPGLKASNSRKRKAVPKAKTKETSSSPSANASKVTEPNEASNEKRCKLSDSNGNENGSAKAEEDAKGDKANNTKALEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQNLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNTRLDFNVESLMSKDIFQRNNTLQQPIFAINSSASTFFGHQPQQNPALHSNMSNGTMTQCSVDPLDTAICPKLNTHLPQINQFVETVPPQYPTFCEGDLETIVHMGFGQNQSQDMALHSQNFQGSNQVSHMKVEL >KJB45333 pep chromosome:Graimondii2_0_v6:7:51480499:51484280:-1 gene:B456_007G301800 transcript:KJB45333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIRHKMVNVNGISMHVAEKGEGPVILFLHGFPELWYTWRHQILALSSLGYHAVAPDLRGYGDTEAPTAITSYSCMHIVGDLVALIDSLGVEQVFLVAHDWGAIIGWYLCLFRPDRVKAFVCLSVPFMPRKPQMKPVESMRLFFGDDYYICRFQEPGKIEAEIARYGASNVLKKIISSRKPGPPCMPKDNAFGIKPDTPITLPSWFSEEDLSYYANKFNKKGFTGALNYYRAFDLNWEQTAPWTNTQVKVPVKFIVGDLDSVYTTPGMKEYVNGEDFKRDAPMLDEVVIMEGVGHFINQERADEINSHILDFIISF >KJB45332 pep chromosome:Graimondii2_0_v6:7:51480499:51482088:-1 gene:B456_007G301800 transcript:KJB45332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIRHKMVNVNGISMHVAEKGEGPVILFLHGFPELWYTWRHQILALSSLGYHAVAPDLRGYGDTEAPTAITSYSCMHIVGDLVALIDSLGVEQVFLVAHDWGAIIGWYLCLFRPDRVKAFVCLSVPFMPRKPQMKPVESMRLFFGDDYYICRFQEPGKIEAEIARYGASNVLKKIISSRKPGPPCMPKDNAFGIKPDTPITLPSWFSEEDLSYYANKFNKKGFTGALNYYRAFDLNWEQTAPWTNTQVKVPVKFIVGDLDSVYTTPGMKEYVNGEDFKRDAPMLDEVVIMEGVGHFINQERADEINSHILDFIISF >KJB41678 pep chromosome:Graimondii2_0_v6:7:8927780:8928495:-1 gene:B456_007G114700 transcript:KJB41678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCFTAIQLNILTVLLFFCFWAPIARSLPDNSGSIRLPSDGFTNADENEGVSARFNKPSSCPVNCFRTEPVCGVNGVTYWCGRADALCAGTRVAKVGFCEVGSGGSASFPGQALLLVHIVWLVLLGFSVLCGLF >KJB45775 pep chromosome:Graimondii2_0_v6:7:54644535:54647198:-1 gene:B456_007G327200 transcript:KJB45775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNSKIFLLLSLFLINSIASSKADSGQKCSNTSSLVGFESNFTMVRHQLRGHLKILDGCSFQVTGFDMLSGSSDVVFWGAVSLNFSDLTSGFPISGQRLNQTAYKNASFSVQLLPDITWDQINVLSIWDIASTSDFGHVTLPRNGSDSVSDSVHTVFDNCKNLSDNYRVRWNLNVEENWIEIGLEAAAPRTYYMAFGWANPNRTKELMSEADVTVASFTEEGRPFVDDFYITAYSECKLSSKDRTAIGVCPDVVYENSKNGMMVNNTRFVYGHRRDGVSLIMFRKPLNSTDKKYDLPVYPNEDMRVIWALGLMKPPNENRSHFLPQFHGGPEKVAYGHLVLNVSEKVDDCFGPLDADDNEDQELIIADTEVPLVVTTGEVLHYPNPPSPSKVLYINNKEAPVLRVERGVPVKFLVQAGHDVALYITSDSLGGNATLRNATETIYSGGPEAEGVVANPHELIWVPDRNTPDQVYYQSLYQEKMGWKVQVVDGALSDMYNNSVLLDDQQVTFFWTLSEDSITIAARGVKKSGYLAIGFGNGMVNSYAYVGWIGDTGKGHLNTYWIDGKRPLNIHPTNENLTHVRCRSEDGIITLEFTRPLKPSCNQNDKPECKNIVDPTTPLKVIWAIGSKWTDDHLSEKNMHTVTSQRPVQVLLIRGSSEAEQGLQPVLAVHGFMMFLSWGILIPGGILAARYLKHVKGDGWYQIHIYLQYSGLAIILLGALFAIAELRGFYVSSLHVKLGIAAIVFASVQPMNAYLRPEKPANGEDASTKRLIWEYFHVIIGRGAVAIGIAALFTGMKHLGERYRVENVHDLSWVLIIWFLIAALTVIYLEHRERQQRDRLQGRSNWVLGNVEEEDSIDLLSPNDASARKGSQSSGVIEVQLEPLNR >KJB45776 pep chromosome:Graimondii2_0_v6:7:54643547:54647418:-1 gene:B456_007G327200 transcript:KJB45776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNSKIFLLLSLFLINSIASSKADSGQKCSNTSSLVGFESNFTMVRHQLRGHLKILDGCSFQVTGFDMLSGSSDVVFWGAVSLNFSDLTSGFPISGQRLNQTAYKNASFSVQLLPDITWDQINVLSIWDIASTSDFGHVTLPRNGSDSVSDSVHTVFDNCKNLSDNYRVRWNLNVEENWIEIGLEAAAPRTYYMAFGWANPNRTKELMSEADVTVASFTEEGRPFVDDFYITAYSECKLSSKDRTAIGVCPDVVYENSKNGMMVNNTRFVYGHRRDGVSLIMFRKPLNSTDKKYDLPVYPNEDMRVIWALGLMKPPNENRSHFLPQFHGGPEKVAYGHLVLNVSEKVDDCFGPLDADDNEDQELIIADTEVPLVVTTGEVLHYPNPPSPSKVLYINNKEAPVLRVERGVPVKFLVQAGHDVALYITSDSLGGNATLRNATETIYSGGPEAEGVVANPHELIWVPDRNTPDQVYYQSLYQEKMGWKVQVVDGALSDMYNNSVLLDDQQVTFFWTLSEDSITIAARGVKKSGYLAIGFGNGMVNSYAYVGWIGDTGKGHLNTYWIDGKRPLNIHPTNENLTHVRCRSEDGIITLEFTRPLKPSCNQNDKPECKNIVDPTTPLKVIWAIGSKWTDDHLSEKNMHTVTSQRPVQVLLIRGSSEAEQGLQPVLAVHGFMMFLSWGILIPGGILAARYLKHVKGDGWYQIHIYLQYSGLAIILLGALFAIAELRGFYVSSLHVKLGIAAIVFASVQPMNAYLRPEKPANGEDASTKRLIWEYFHVIIGRGAVAIGIAALFTGMKHLGERYRVENVHDLSWVLIIWFLIAALTVIYLEHRERQQRDRLQGRSNWVLGNVEEEDSIDLLSPNDASARKGSQSSGVIEVQLEPLNR >KJB44460 pep chromosome:Graimondii2_0_v6:7:41175813:41177411:1 gene:B456_007G254300 transcript:KJB44460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCFRSSRSLVLSTYNSNSRSNDYKAKCSLLKSNNPCVSQGYVDYLHLFYCNFGKLHFYGHSLLILWLLMLFYLLGNTTSEYFCYSIESLSSLLKLSPTLVGVTLLSLSNGAPDVFSSAVSFMDSGTQDIALNTVLGGAFVYDLCCAFVRYVCFLLLVLSSLTLILIYGRINHWGSLAFSSMYIVYVILVYIIYTIWNSGAMDEICSGSYKSILTGIEKEEIECLDEGMWKMEMDHMLFWILQTPLNLPRRFTIPRACKDRWSKPVAVVSVTLAPILISVLWDFQDDSTGLMIYGIGCLFGLTFWVLAYLKTEKSSPADKCLFSCLAEGFIMSVIWSYITAQELVGLLISLGYILGISHTILGLTALAWGNSLGDLITNLTMALNGGPQGAQVALSGCYAGPIFNTLFGLGLSLFSSTWCRYPSPVKIPKDPYLLEPLGFLIATLIWTLLVLPMKDMRLDGVLGGGLFLIYFISMSLRLVQVVEPLQLHTFT >KJB45135 pep chromosome:Graimondii2_0_v6:7:50010074:50015706:-1 gene:B456_007G291900 transcript:KJB45135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVNHHKHGTLSENSSDSGDKPDKNSEDVVVQARHKRRGATISSYASAQDLRAPDAENEKKDGSSPKGVIEASLEGLESDIASPEDSPKAEVRCAHAGALSNWRKLFKLWKRKSEKPFSTFPQLAVPRLSRKNNRSKQGNPMLRKLYNFKSSLENFSLSQLRAATDNFNRENIIGRGGYAEVYKGRLKDGKLIAIKRLTKGAPDERTAGFLSELGIIAHVNHPNTAKLIGCCVEGGMHLVFQLSPLGNLRSALHGLKGTLDWSKRYKIALGTADGLTYLHETCERRIIHRDIKADNILLTENFEPQICDFGLAKWLPRQWTHYNVSKFEGTFGYFAPEYFMHGIVDEKTDVYAFGVLLLELITGRKALDDQQQSVVIWAKPLLDENDIKELVDPSLGDNYDVEEVERMVLTASLCIEQSPLLRPQMSQVVILLRGDDYVAECSNESQHPSHQRTYSNEIRDTQEYNSTKHINNINRLREIALGS >KJB45138 pep chromosome:Graimondii2_0_v6:7:50010108:50015520:-1 gene:B456_007G291900 transcript:KJB45138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKLYNFKSSLENFSLSQLRAATDNFNRENIIGRGGYAEVYKGRLKDGKLIAIKRLTKGAPDERTAGFLSELGIIAHVNHPNTAKLIGCCVEGGMHLVFQLSPLGNLRSALHGLKGTLDWSKRYKIALGTADGLTYLHETCERRIIHRDIKADNILLTENFEPQICDFGLAKWLPRQWTHYNVSKFEGTFGYFAPEYFMHGIVDEKTDVYAFGVLLLELITGRKALDDQQQSVVIWAKPLLDENDIKELVDPSLGDNYDVEEVERMVLTASLCIEQSPLLRPQMSQVVILLRGDDYVAECSNESQHPSHQRTYSNEIRDTQEYNSTKHINNINRLREIALGS >KJB45137 pep chromosome:Graimondii2_0_v6:7:50010108:50015440:-1 gene:B456_007G291900 transcript:KJB45137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVNHHKHGTLSENSSDSGDKPDKNSEDVVVQARHKRRGATISSYASAQDLRAPDAENEKKDGSSPKGVIEASLEGLESDIASPEDSPKAEVRCAHAGALSNWRKLFKLWKRKSEKPFSTFPQLAVPRLSRKNNRSKQGNPMLRKLYNFKSSLENFSLSQLRAATDNFNRENIIGRGGYAEVYKGRLKDGKLIAIKRLTKGAPDERTAGFLSELGIIAHVNHPNTAKLIGCCVEGGMHLVFQLSPLGNLRSALHGTLDWSKRYKIALGTADGLTYLHETCERRIIHRDIKADNILLTENFEPQICDFGLAKWLPRQWTHYNVSKFEGTFGYFAPEYFMHGIVDEKTDVYAFGVLLLELITGRKALDDQQQSVVIWAKPLLDENDIKELVDPSLGDNYDVEEVERMVLTASLCIEQSPLLRPQMSQVVILLRGDDYVAECSNESQHPSHQRTYSNEIRDTQEYNSTKHINNINRLREIALGS >KJB45140 pep chromosome:Graimondii2_0_v6:7:50012613:50015440:-1 gene:B456_007G291900 transcript:KJB45140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVNHHKHGTLSENSSDSGDKPDKNSEDVVVQARHKRRGATISSYASAQDLRAPDAENEKKDGSSPKGVIEASLEGLESDIASPEDSPKAEVRCAHAGALSNWRKLFKLWKRKSEKPFSTFPQLAVPRLSRKNNRSKQGNPMLRKLYNFKSSLENFSLSQLRAATDNFNRENIIGRGGYAEVYKGRLKDGKLIAIKRLTKGAPDERTAGFLSELGIIAHVNHPNTAKLIGCCVEGGMHLVFQLSPLGNLRSALHGLKGTLDWSKRYKIALGTADGLTYLHETCERRIIHRDIKADNILLTENFEPQVLSKF >KJB45139 pep chromosome:Graimondii2_0_v6:7:50010603:50015440:-1 gene:B456_007G291900 transcript:KJB45139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVNHHKHGTLSENSSDSGDKPDKNSEDVVVQARHKRRGATISSYASAQDLRAPDAENEKKDGSSPKGVIEASLEGLESDIASPEDSPKAEVRCAHAGALSNWRKLFKLWKRKSEKPFSTFPQLAVPRLSRKNNRSKQGNPMLRKLYNFKSSLENFSLSQLRAATDNFNRENIIGRGGYAEVYKGRLKDGKLIAIKRLTKGAPDERTAGFLSELGIIAHVNHPNTAKLIGCCVEGGMHLVFQLSPLGNLRSALHGLKGTLDWSKRYKIALGTADGLTYLHETCERRIIHRDIKADNILLTENFEPQICDFGLAKWLPRQWTHYNVSKFEGTFGYFAPEYFMHGIVDEKTDVYAFGVLLLELITGRKALDDQQQSVVIWAKPLLDENDIKELVDPSLGDNYDVEEVERMVLTASLCIEQSPLLRPQMSQVRVTFRSA >KJB45136 pep chromosome:Graimondii2_0_v6:7:50010108:50014675:-1 gene:B456_007G291900 transcript:KJB45136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKLYNFKSSLENFSLSQLRAATDNFNRENIIGRGGYAEVYKGRLKDGKLIAIKRLTKGAPDERTAGFLSELGIIAHVNHPNTAKLIGCCVEGGMHLVFQLSPLGNLRSALHGLKGTLDWSKRYKIALGTADGLTYLHETCERRIIHRDIKADNILLTENFEPQICDFGLAKWLPRQWTHYNVSKFEGTFGYFAPEYFMHGIVDEKTDVYAFGVLLLELITGRKALDDQQQSVVIWAKPLLDENDIKELVDPSLGDNYDVEEVERMVLTASLCIEQSPLLRPQMSQVVILLRGDDYVAECSNESQHPSHQRTYSNEIRDTQEYNSTKHINNINRLREIALGS >KJB40190 pep chromosome:Graimondii2_0_v6:7:3517042:3520205:-1 gene:B456_007G050000 transcript:KJB40190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLVEPPNGVKPRGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGVVCSSINKETNEKVAIKKINNVFDNRVDALRTLRELKLLRHIRHDNVIALKDVMMPTHRTSFKDVYLVYELMDTDLHQIIKSSQPLSKDHCKYFIFQLLRGLKYLHSENILHRDLKPGNLLVNANCDLKICDFGLARTSRGNEQFMTEYVVTRWYRAPELLLYCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLSQLKLIIDVLGSQQEADLQFIDNPKARRYIKSLPYSRGTHLSHLYPQADPLAIDLLQSMLVFDPSKRITVTEALLHPYMSGLYDPRCNPPAQVPIDLDIDENMREEMIREMMWAEMLHYHPEAASANA >KJB40189 pep chromosome:Graimondii2_0_v6:7:3517042:3520310:-1 gene:B456_007G050000 transcript:KJB40189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLVEPPNGVKPRGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGVVCSSINKETNEKVAIKKINNVFDNRVDALRTLRELKLLRHIRHDNVIALKDVMMPTHRTSFKDVYLVYELMDTDLHQIIKSSQPLSKDHCKYFIFQLLRGLKYLHSENILHRDLKPGNLLVNANCDLKICDFGLARTSRGNEQFMTEYVVTRWYRAPELLLYCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLSQLKLIIDVLGSQQEADLQFIDNPKARRYIKSLPYSRGTHLSHLYPQADPLAIDLLQSMLVFDPSKRITVTEALLHPYMSGLYDPRCNPPAQVPIDLDIDENMREEMIREMMWAEMLHYHPEAASANA >KJB42969 pep chromosome:Graimondii2_0_v6:7:17803539:17808053:-1 gene:B456_007G185700 transcript:KJB42969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALLEFPSLNFGVLAVRRSLNLGLNISCKRSVRSIRMENGNNCNLMGASNESRGALVVFEGLDRSGKTSQCGKLLSYLEGLGHSVELWRFPDRTTSVGQMISAYLTNKSQLDDHTIHLLFSANRWEKRSMMEAKLKAGTTLIVDRYSYSGVAFSSAKGLDFEWCKAPENGLIAPDLVVYLDIPPEKAAERGGYGGERYEQLEFQKKVAQHYKLLEDSSWKMIDASQCIEDVEKQVEEIVLEHVVTCQKGKPLSSLWSC >KJB42966 pep chromosome:Graimondii2_0_v6:7:17804388:17807856:-1 gene:B456_007G185700 transcript:KJB42966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALLEFPSLNFGVLAVRRSLNLGLNISCKRSVRSIRMENGNNCNLMGASNESRGALVVFEGLDRSGKTSQCGKLLSYLEGLGHSVELWRFPDRTTSVGQMISAYLTNKSQLDDHTIHLLFSANRWEKRSMMEAKLKAGTTLIVDRYSYSGVAFSSAKGLDFEWCKAPENGLIAPDLVVYLDIPPEVSYVKAGENFNKYYM >KJB42970 pep chromosome:Graimondii2_0_v6:7:17803539:17808866:-1 gene:B456_007G185700 transcript:KJB42970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALLEFPSLNFGVLAVRRSLNLGLNISCKRSVRSIRMENGNNCNLMGASNESRGALVVFEGLDRSGKTSQCGKLLSYLEGLGHSVELWRFPDRTTSVGQMISAYLTNKSQLDDHTIHLLFSANRWEKRSMMEAKLKAGTTLIVDRYSYSGVAFSSAKGLDFEWCKAPENGLIAPDLVVYLDIPPELQKEEATEVRDTSSSSFKRKLHNTISFLKILHGR >KJB42965 pep chromosome:Graimondii2_0_v6:7:17804198:17807856:-1 gene:B456_007G185700 transcript:KJB42965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALLEFPSLNFGVLAVRRSLNLGLNISCKRSVRSIRMENGNNCNLMGASNESRGALVVFEGLDRSGKTSQCGKLLSYLEGLGHSVELWRFPDRTTSVGQMISAYLTNKSQLDDHTIHLLFSANRWEKRSMMEAKLKAGTTLIVDRYSYSGVAFSSAKGLDFEWCKAPENGLIAPDLVVYLDIPPEKAAERGGYGGERYEQLEFQKKVAQHYKLLEDSSWKVILCFISCHIAIATRCHG >KJB42968 pep chromosome:Graimondii2_0_v6:7:17803539:17808866:-1 gene:B456_007G185700 transcript:KJB42968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFHLRNFGVLAVRRSLNLGLNISCKRSVRSIRMENGNNCNLMGASNESRGALVVFEGLDRSGKTSQCGKLLSYLEGLGHSVELWRFPDRTTSVGQMISAYLTNKSQLDDHTIHLLFSANRWEKRSMMEAKLKAGTTLIVDRYSYSGVAFSSAKGLDFEWCKAPENGLIAPDLVVYLDIPPEKAAERGGYGGERYEQLEFQKKVAQHYKLLEDSSWKMIDASQCIEDVEKQVEEIVLEHVVTCQKGKPLSSLWSC >KJB42967 pep chromosome:Graimondii2_0_v6:7:17803527:17808866:-1 gene:B456_007G185700 transcript:KJB42967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALLEFPSLNFGVLAVRRSLNLGLNISCKRSVRSIRMENGNNCNLMGASNESRGALVVFEGLDRSGKTSQCGKLLSYLEGLGHSVELWRFPDRTTSVGQMISAYLTNKSQLDDHTIHLLFSANRWEKRSMMEAKLKAGTTLIVDRYSYSGVAFSSAKGLDFEWCKAPENGLIAPDLVVYLDIPPEKAAERGGYGGERYEQLEFQKKVAQHYKLLEDSSWKMIDASQCIEDVEKQVEEIVLEHVVTCQKGKPLSSLWSC >KJB42211 pep chromosome:Graimondii2_0_v6:7:26945513:26946627:-1 gene:B456_007G225100 transcript:KJB42211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKGSKESKLSRYLKAPIRFLIKAGEFYVKSLTQYSERVGYGTVMGCSTGQLNTFPRSYSVSTTRSGNGDDDLRELIRAASTRSLDNNNRVQLDLVRRQQARQSLKMPRSHSVGIGRIDEDRPCDFDEDIKVNIDYVPRRKSHAVVVFS >KJB42213 pep chromosome:Graimondii2_0_v6:7:26945785:26946237:-1 gene:B456_007G225100 transcript:KJB42213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKGSKESKLSRYLKAPIRFLIKAGEFYVKSLTQYSERVGYGTVMGCSTGQLNTFPRSYSVSTTRSGNGDDDLRELIRAASTRSLDNNNRVQLDLVRRQQARQSLKMPRSHSVGIGRIDEDRPCDFDEDIKVNIDYVPRRKSHAVVVFS >KJB42210 pep chromosome:Graimondii2_0_v6:7:26945513:26946624:-1 gene:B456_007G225100 transcript:KJB42210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKGSKESKLSRYLKAPIRFLIKAGEFYVKSLTQYSERVGYGTVMGCSTGQLNTFPRSYSVSTTRSGNGDDDLRELIRAASTRSLDNNNRVQLDLVRRQQARQSLKMPRSHSVGIGRIDEDRPCDFDEDIKVNIDYVPRRKSHAVVVFS >KJB42212 pep chromosome:Graimondii2_0_v6:7:26945531:26946624:-1 gene:B456_007G225100 transcript:KJB42212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQRLAPALKMSKKGSKESKLSRYLKAPIRFLIKAGEFYVKSLTQYSERVGYGTVMGCSTGQLNTFPRSYSVSTTRSGNGDDDLRELIRAASTRSLDNNNRVQLDLVRRQQARQSLKMPRSHSVGIGRIDEDRPCDFDEDIKVNIDYVPRRKSHAVVVFS >KJB41667 pep chromosome:Graimondii2_0_v6:7:8918069:8920298:-1 gene:B456_007G114500 transcript:KJB41667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKKGYHIIHRIPNEERFSSYYCYYLFWVAVGYYLWKKILTITGYRFHPTDFELLNYYLLNKNLGRDSLVQAIAELEDICGLEPWQLPGHSNVPSGDHVWYFFYRPSFKYQNSSRINRTTNEGFWKPTGKPRRIMAMDQDIEIGKKRTLVFYKGHVSDNTKMKTGWIMHEYELTATLPNQTTFVLCKLKRKFGKAEVSCIDEGQSSHYLPPTLGNYIANNANQAEAARCLTANSNPNEMLTQLEALNDHEELEHQYIELWNSYVGGDFPKHDGSGDFGTHGAENAIANHHLLTEIPMGQEVLQDQSGTNEQDISNLGELGPGDERSNQHKLIMDDDGCNMPSNSENDAAEDSVKMTING >KJB41671 pep chromosome:Graimondii2_0_v6:7:8918284:8919214:-1 gene:B456_007G114500 transcript:KJB41671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQANSNPNEMLTQLEALNDHEELEHQYIELWNSYVGGDFPKHDGSGDFGTHGAENAIANHHLLTEIPMGQEVLQDQSGTNEQDISNLGELGPGDERSNQHKLIMDDDGCNMPSNSENDAAEDSVKMDLSTFARPSTDEEVFAGLEALPEAQGNRIEQMIDGWILDEGIPNAFDNQCSSSSIEGQNPTPITGGCNLPFAPDALNLPRKRSRTDYCYEGFGFDT >KJB41668 pep chromosome:Graimondii2_0_v6:7:8918511:8920235:-1 gene:B456_007G114500 transcript:KJB41668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKKGYHIIHRIPNEERFSSYYCYYLFWVAVGYYLWKKILTITGYRFHPTDFELLNYYLLNKNLGRDSLVQAIAELEDICGLEPWQLPGHSNVPSGDHVWYFFYRPSFKYQNSSRINRTTNEGFWKPTGKPRRIMAMDQDIEIGKKRTLVFYKGHVSDNTKMKTGWIMHEYELTATLPNQTTFVLCKLKRKFGKAEVSCIDEGQSSHYLPPTLGNYIANNANQAEAARCLTANSNPNEMLTQLEALNDHEELEHQYIELWNSYVGGDFPKHDGSGDFGTHGAENAIANHHLLTEIPMGQEVLQDQSGTNEQDISNLGELGPGDERSNQHKLIMDDDGCNMPSNSENDAAEDSVKMDLSTFARPSTDEEVFAGLEALPEVSCSARFLFSFFQLFIDNDINIWKKNVQFVV >KJB41672 pep chromosome:Graimondii2_0_v6:7:8918069:8920309:-1 gene:B456_007G114500 transcript:KJB41672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDQDIEIGKKRTLVFYKGHVSDNTKMKTGWIMHEYELTATLPNQTTFVLCKLKRKFGKAEVSCIDEGQSSHYLPPTLGNYIANNANQAEAARCLTANSNPNEMLTQLEALNDHEELEHQYIELWNSYVGGDFPKHDGSGDFGTHGAENAIANHHLLTEIPMGQEVLQDQSGTNEQDISNLGELGPGDERSNQHKLIMDDDGCNMPSNSENDAAEDSVKMDLSTFARPSTDEEVFAGLEALPEAQGNRIEQMIDGWILDEGIPNAFDNQCSSSSIEGQNPTPITGGCNLPFAPDALNLPRKRSRTDYCYEGFGFDT >KJB41666 pep chromosome:Graimondii2_0_v6:7:8918984:8920235:-1 gene:B456_007G114500 transcript:KJB41666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKKGYHIIHRIPNEERFSSYYCYYLFWVAVGYYLWKKILTITGYRFHPTDFELLNYYLLNKNLGRDSLVQAIAELEDICGLEPWQLPGHSNVPSGDHVWYFFYRPSFKYQNSSRINRTTNEGFWKPTGKPRRIMAMDQDIEIGKKRTLVFYKGHVSDNTKMKTGWIMHEYELTATLPNQTTFVLCKLKRKFGKAEVSCIDEGQSSHYLPPTLGNYIANNANQAEAARCLTANSNPNEMLTQLEALNDHEELEHQYIELWNSYVGGDFPKHDGSGDFGTHGAENAIANVSFLGERCSFMLVNEF >KJB41670 pep chromosome:Graimondii2_0_v6:7:8918069:8920298:-1 gene:B456_007G114500 transcript:KJB41670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKKGYHIIHRIPNEERFSSYYCYYLFWVAVGYYLWKKILTITGYRFHPTDFELLNYYLLNKNLGRDSLVQAIAELEDICGLEPWQLPGHSNVPSGDHVWYFFYRPSFKYQNSSRINRTTNEGFWKPTGKPRRIMAMDQDIEIGKKRTLVFYKGHVSDNTKMKTGWIMHEYELTATLPNQTTFVLCKLKRKFGKAEVSCIDEGQSSHYLPPTLGNYIANNANQAEAARCLTANSNPNEMLTQLEALNDHEELEHQYIELWNSYVGGDFPKHDGSGDFGTHGAENAIANHHLLTEIPMGQEVLQDQSGTNEQDISNLGELGPGDERSNQHKLIMDDDGCNMPSNSENDAAEDSVKMVIFIW >KJB41669 pep chromosome:Graimondii2_0_v6:7:8918725:8920235:-1 gene:B456_007G114500 transcript:KJB41669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKKGYHIIHRIPNEERFSSYYCYYLFWVAVGYYLWKKILTITGYRFHPTDFELLNYYLLNKNLGRDSLVQAIAELEDICGLEPWQLPGHSNVPSGDHVWYFFYRPSFKYQNSSRINRTTNEGFWKPTGKPRRIMAMDQDIEIGKKRTLVFYKGHVSDNTKMKTGWIMHEYELTATLPNQTTFVLCKLKRKFGKAEVSCIDEGQSSHYLPPTLGNYIANNANQAEAARCLTANSNPNEMLTQLEALNDHEELEHQYIELWNSYVGGDFPKHDGSGDFGTHGAENAIANHHLLTEIPMGQEVLQDQSGTNEQDISNLGELGPGDERSNQHKLIMDDDGCNMPSNSENDAAEDSVKMVIFIW >KJB41665 pep chromosome:Graimondii2_0_v6:7:8917980:8920386:-1 gene:B456_007G114500 transcript:KJB41665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKKGYHIIHRIPNEERFSSYYCYYLFWVAVGYYLWKKILTITGYRFHPTDFELLNYYLLNKNLGRDSLVQAIAELEDICGLEPWQLPGHSNVPSGDHVWYFFYRPSFKYQNSSRINRTTNEGFWKPTGKPRRIMAMDQDIEIGKKRTLVFYKGHVSDNTKMKTGWIMHEYELTATLPNQTTFVLCKLKRKFGKAEVSCIDEGQSSHYLPPTLGNYIANNANQAEAARCLTANSNPNEMLTQLEALNDHEELEHQYIELWNSYVGGDFPKHDGSGDFGTHGAENAIANHHLLTEIPMGQEVLQDQSGTNEQDISNLGELGPGDERSNQHKLIMDDDGCNMPSNSENDAAEDSVKMDLSTFARPSTDEEVFAGLEALPEAQGNRIEQMIDGWILDEGIPNAFDNQCSSSSIEGQNPTPITGGCNLPFAPDALNLPRKRSRTDYCYEGFGFDT >KJB43538 pep chromosome:Graimondii2_0_v6:7:21169185:21173069:-1 gene:B456_007G205200 transcript:KJB43538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDLGELDGSSQANTRTTKFAPKSSKFAPKLKSTSKPKPEPSSKQEPQDSAPKPQPPPVEAVSKKKENDEEDVKPPMVAEPKAEQSISNGAVKMEIDEETKEDEILTEANREEDQGEEEDMLYVLQYPLRPCWRPYELDERCKEVRVKPDSWEVEIDMSVDVDSNNYDSESANKLKMTKQTLSSSWLPPRPSGYAVGVLMGDKLHLNPIHAVVQLRPSLAHLKSGVSKRKDTVAAEAEVTVKVEPNDGKAAGPSAKQNQKVQSSTEQKAEDKECWVPLKYHSSKSDFSAQYLQKMMAEQSSPIEFTLNPYDYVDSLCPLPSNNNKAQRLSRRLLCSIPLEDRLKKLLTEGRPFHHFNTLKRHYAPDDPIEEVFEVLQKHALLVQGLWVPKSSLLFPGDPSKSLARDYVLLLFSKNPFISYDKVNNLSTSRKEEVKGFLKILAIESPPLKGWKLKENTDENFKKEYPDIVKKQEQIWKAGEDNVTNHIWRGAKGGPSRTKPGTVIKSEKAGNSDKVARKVAPGAHAGRTMSDETREAIPKALKKVFQTYKVCSLQLIRKGLRDLALSQSTLPKADARLVVKAAYGADAPEHELQDVVSQVAVELHGGLFVMKSSQENPECDPLRMLFSMQGSCD >KJB43539 pep chromosome:Graimondii2_0_v6:7:21169185:21173069:-1 gene:B456_007G205200 transcript:KJB43539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDLGELDGSSQANTRTTKFAPKSSKFAPKLKSTSKPKPEPSSKQEPQDSAPKPQPPPVEAVSKKKENDEEDVKPPMVAEPKAEQSISNGAVKMEIDEETKEDEILTEANREEDQGEEEDMVVREIDVFFTPSIDADAQLYVLQYPLRPCWRPYELDERCKEVRVKPDSWEVEIDMSVDVDSNNYDSESANKLKMTKQTLSSSWLPPRPSGYAVGVLMGDKLHLNPIHAVVQLRPSLAHLKSGVSKRKDTVAAEAEVTVKVEPNDGKAAGPSAKQNQKVQSSTEQKAEDKECWVPLKYHSSKSDFSAQYLQKMMAEQSSPIEFTLNPYDYVDSLCPLPSNNNKAQRLSRRLLCSIPLEDRLKKLLTEGRPFHHFNTLKRHYAPDDPIEEVFEVLQKHALLVQGLWVPKSSLLFPGDPSKSLARDYVLLLFSKNPFISYDKVNNLSTSRKEEVKGFLKILAIESPPLKGWKLKENTDENFKKEYPDIVKKQEQIWKAGEDNVTNHIWRGAKGGPSRTKPGTVIKSEKAGNSDKVARKVAPGAHAGRTMSDETREAIPKALKKVFQTYKVCSLQLIRKGLRDLALSQSTLPKADARLVVKAAYGADAPEHELQDVVSQVAVELHGGLFVMKSSQENPECDPLRMLFSMQGSCD >KJB43540 pep chromosome:Graimondii2_0_v6:7:21168019:21173285:-1 gene:B456_007G205200 transcript:KJB43540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDLGELDGSSQANTRTTKFAPKSSKFAPKLKSTSKPKPEPSSKQEPQDSAPKPQPPPVEAVSKKKENDEEDVKPPMVAEPKAEQSISNGAVKMEIDEETKEDEILTEANREEDQGEEEDMLYVLQYPLRPCWRPYELDERCKEVRVKPDSWEVEIDMSVDVDSNNYDSESANKLKMTKQTLSSSWLPPRPSGYAVGVLMGDKLHLNPIHAVVQLRPSLAHLKSGVSKRKDTVAAEAEVTVKVEPNDGKAAGPSAKQNQKVQSSTEQKAEDKECWVPLKYHSSKSDFSAQYLQKMMAEQSSPIEFTLNPYDYVDSLCPLPSNNNKAQRLSRRLLCSIPLEDRLKKLLTEGRPFHHFNTLKRHYAPDDPIEEVFEVLQKHALLVQGLWVPKSSLLFPGDPSKSLARDYVLLLFSKNPFISYDKVNNLSTSRKEEVKGFLKILAIESPPLKGWKLKENTDENFKKEYPDIVKKQEQIWKAGEDNVTNHIWRGAKGGPSRTKPGTVIKSEKAGNSDKVARKVAPGAHAGRTMSDETREAIPKALKKVFQTYKVCSLQLIRKGLRDLALSQSTLPKADARLVVKAAYGADAPEHELQDVVSQVAVELHGGLFVMKSSQENPECDPLREVVINLLRVKDKLKKAEVTAAAQVSLKRDITNNEYNKVMSDFCEYKGNWWVLKSGDGKPS >KJB43542 pep chromosome:Graimondii2_0_v6:7:21168019:21173285:-1 gene:B456_007G205200 transcript:KJB43542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDLGELDGSSQANTRTTKFAPKSSKFAPKLKSTSKPKPEPSSKQEPQDSAPKPQPPPVEAVSKKKENDEEDVKPPMVAEPKAEQSISNGAVKMEIDEETKEDEILTEANREEDQGEEEDMVVREIDVFFTPSIDADAQLYVLQYPLRPCWRPYELDERCKEVRVKPDSWEVEIDMSVDVDSNNYDSESANKLKMTKQTLSSSWLPPRPSGYAVGVLMGDKLHLNPIHAVVQLRPSLAHLKSGVSKRKDTVAAEAEVTVKVEPNDGKAAGPSAKQNQKVQSSTEQKAEDKECWVPLKYHSSKSDFSAQYLQKMMAEQSSPIEFTLNPYDYVDSLCPLPSNNNKAQRLSRRLLCSIPLEDRLKKLLTEGRPFHHFNTLKRHYAPDDPIEEVFEVLQKHALLVQGLWVPKSSLLFPGDPSKSLARDYVLLLFSKNPFISYDKVNNLSTSRKEEVKGFLKILAIESPPLKGWKLKENTDENFKKEYPDIVKKQEQIWKAGEDNVTNHIWRGAKGGPSRTKPGTVIKSEKAGNSDKVARKVAPGAHAGRTMSDETREAIPKALKKVFQTYKVCSLQLIRKGLRDLALSQSTLPKADARLVVKAAYGADAPEHELQDVVSQVAVELHGGLFVMKSSQENPECDPLREVVINLLRVKDKLKKAEVTAAAQVSLKRDITNNEYNKVMSDFCEYKGNWWVLKSGDGKPS >KJB43541 pep chromosome:Graimondii2_0_v6:7:21168194:21173133:-1 gene:B456_007G205200 transcript:KJB43541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDLGELDGSSQANTRTTKFAPKSSKFAPKLKSTSKPKPEPSSKQEPQDSAPKPQPPPVEAVSKKKENDEEDVKPPMVAEPKAEQSISNGAVKMEIDEETKEDEILTEANREEDQGEEEDMVVREIDVFFTPSIDADAQLYVLQYPLRPCWRPYELDERCKEVRVKPDSWEVEIDMSVDVDSNNYDSESANKLKMTKQTLSSSWLPPRPSGYAVGVLMGDKLHLNPIHAVVQLRPSLAHLKSGVSKRKDTVAAEAEVTVKVEPNDGKAAGPSAKQNQKVQSSTEQKAEDKECWVPLKYHSSKSDFSAQYLQKMMAEQSSPIEFTLNPYDYVDSLCPLPSNNNKAQRLSRRLLCSIPLEDRLKKLLTEGRPFHHFNTLKRHYAPDDPIEEVFEVLQKHALLVQGLWVPKSSLLFPGDPSKSLARDYVLLLFSKNPFISYDKVNNLSTSRKEEVKGFLKILAIESPPLKGWKLKENTDENFKKEYPDIVKKQEQIWKAGEDNVTNHIWRGAKGGPSRTKPGTVIKSEKAGNSDKVARKVAPGAHAGRTMSDETREAIPKALKKVFQTYKVCSLQLIRKGLRDLALSQSTLPKADARLVVKAAYGADAPEHELQDVVSQVAVELHGGLFVMKSSQENPECDPLREVVINLLRVKDKLKKAEVTAAAQVSLKRDITNNEYNKVMSDFCEYKGNWWVLKSGDGKPS >KJB46506 pep chromosome:Graimondii2_0_v6:7:60536947:60540268:-1 gene:B456_007G372900 transcript:KJB46506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNFKKGGHRKPSKSSDSSADASSKGIGAPGPGPTSKSGVGAPAQNTVEPVVPPPMTHVEPLPLLGKSPAAERQNLFMRKLQVCCFQFDFTDTLKSAREKEIKRQTLLELVDFIQSGTGKVAEACLGEMIKMVGVNIFRCLPPASHESSGTEVVDPDEEENYSEPSWQHLQIVYELLLRYVVSSDTDTKVAKRYIDHTFVLKLLDLFDTEDFREREYLKMVLHRIYGKFMVHRPLIRKSISNIFYRFIYETERHSGVGELLEILGSIINGFSMPMREEHKLFLMRALIPLHKTKQVGNYHQQLSYCIVQFVDKDYKLADMVIRGLLKYWPLVNCQKEVLFLGELEEVLEETQSAEFQRCMVPLFRKIARCLNSPHFQVAERALFFWNSEHIVSLIAENRQVILPIIFEALEKNIQSHWNTEVHGLTVNVRKMFLEMDAELVDECQKQLAEKQAKAREVAEQREMTWKKLEDAARK >KJB46507 pep chromosome:Graimondii2_0_v6:7:60536992:60540108:-1 gene:B456_007G372900 transcript:KJB46507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNFKKGGHRKPSKSSDSSADASSKGIGAPGPGPTSKSGVGAPAQNTVEPVVPPPMTHVEPLPLLGKSPAAERQNLFMRKLQVCCFQFDFTDTLKSAREKEIKRQTLLELVDFIQSGTGKVAEACLGEMIKMVGVNIFRCLPPASHESSGTEVVDPDEEENYSEPSWQHLQIVYELLLREREYLKMVLHRIYGKFMVHRPLIRKSISNIFYRFIYETERHSGVGELLEILGSIINGFSMPMREEHKLFLMRALIPLHKTKQVGNYHQQLSYCIVQFVDKDYKLADMVIRGLLKYWPLVNCQKEVLFLGELEEVLEETQSAEFQRCMVPLFRKIARCLNSPHFQVAERALFFWNSEHIVSLIAENRQVILPIIFEALEKNIQSHWNTEVHGLTVNVRKMFLEMDAELVDECQKQLAEKQAKAREVAEQREMTWKKLEDAARK >KJB45500 pep chromosome:Graimondii2_0_v6:7:52261132:52263245:1 gene:B456_007G309000 transcript:KJB45500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFVGKRLIGEEKKGFVYSRRELIGVKKTAQHDLIIPPPATFPTPNIINVPATNPVETPAAIPATTPVTVPSTNPNNPTTVPVTNPVTTPAPITVPGAGAQQPVTNPVTTYPAPTGGVPASTPVTTNPLPPPVSTNAPAVPGQSWCVAKTGTSETSLQTALDYACGIADCSQIQQGANCYNPNTLQNHASYAFNSYYQKNPLPTSCDFGGTAAIVNTNPSSGSCIYPSSASASQSTPTTTPVTPSSTSTGGGVPGSVVPPSVLNSSSSGSGSTTTSVFGSDIPPTVSTSMSVSVSLQPPFTCIILLTMTSFVAGFIFKN >KJB45499 pep chromosome:Graimondii2_0_v6:7:52261132:52263245:1 gene:B456_007G309000 transcript:KJB45499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKGASNCLFLCPLFLLLLICSPVEISTRIAAFYVEVSTMADFVGKRLIGEEKKGFVYSRRELIGVKKTAQHDLIIPPPATFPTPNIINVPATNPVETPAAIPATTPVTVPSTNPNNPTTVPVTNPVTTPAPITVPGAGAQQPVTNPVTTYPAPTGGVPASTPVTTNPLPPPVSTNAPAVPGQSWCVAKTGTSETSLQTALDYACGIADCSQIQQGANCYNPNTLQNHASYAFNSYYQKNPLPTSCDFGGTAAIVNTNPSSGSCIYPSSASASQSTPTTTPVTPSSTSTGGGVPGYKEFIYVLLLLLYYICLNWS >KJB45497 pep chromosome:Graimondii2_0_v6:7:52261393:52262302:1 gene:B456_007G309000 transcript:KJB45497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKGASNCLFLCPLFLLLLICSPVEISTRIAAFYVEVSTMADFVGKRLIGEEKKGFVYSRRELIGVKKTAQHDLIIPPPATFPTPNIINVPATNPVETPAAIPATTPVTVPSTNPNNPTTVPVTNPVTTPAPITVPGAGAQQPVTNPVTTYPAPTGGVPASTPVTTNPLPPPVSTNAPAVPGQSWCVAKTGTSETSLQTALDYACGIADCSQIQQGANCYNPNTLQNHASYAFNSYYQKNPLPTSCDFGGTAAIVNTNPSNDLIY >KJB45498 pep chromosome:Graimondii2_0_v6:7:52261132:52263245:1 gene:B456_007G309000 transcript:KJB45498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKGASNCLFLCPLFLLLLICSPVEISTRIAAFYVEVSTMADFVGKRLIGEEKKGFVYSRRELIGVKKTAQHDLIIPPPATFPTPNIINVPATNPVETPAAIPATTPVTVPSTNPNNPTTVPVTNPVTTPAPITVPGAGAQQPVTNPVTTYPAPTGGVPASTPVTTNPLPPPVSTNAPAVPGQSWCVAKTGTSETSLQTALDYACGIADCSQIQQGANCYNPNTLQNHASYAFNSYYQKNPLPTSCDFGGTAAIVNTNPSNDLIY >KJB45496 pep chromosome:Graimondii2_0_v6:7:52261086:52263303:1 gene:B456_007G309000 transcript:KJB45496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKGASNCLFLCPLFLLLLICSPVEISTRIAAFYVEVSTMADFVGKRLIGEEKKGFVYSRRELIGVKKTAQHDLIIPPPATFPTPNIINVPATNPVETPAAIPATTPVTVPSTNPNNPTTVPVTNPVTTPAPITVPGAGAQQPVTNPVTTYPAPTGGVPASTPVTTNPLPPPVSTNAPAVPGQSWCVAKTGTSETSLQTALDYACGIADCSQIQQGANCYNPNTLQNHASYAFNSYYQKNPLPTSCDFGGTAAIVNTNPSSGSCIYPSSASASQSTPTTTPVTPSSTSTGGGVPGSVVPPSVLNSSSSGSGSTTTSVFGSDIPPTVSTSMSVSVSLQPPFTCIILLTMTSFVAGFIFKN >KJB45690 pep chromosome:Graimondii2_0_v6:7:56294559:56295233:-1 gene:B456_007G338200 transcript:KJB45690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKSLSLFSISFIALCFTVASAASFDIRNNCPYKVWAAAVPGGGRELSKGGVWNLKVNPGTTGARIWGRTNCQFDRAGRGRCQTGDCGGLLQCNAYGVAPNTLAEFALNQFNNLDFFDISLVDGFNVPMEFSPTSGRCSRGIRCTADIIGQCPNELKAPGGCNNPCTVYKTDQYCCNSGNCVPTDFSRFFKARCPDAYSYPKDDQTSLFTCPGGTNYRVVFCP >KJB41429 pep chromosome:Graimondii2_0_v6:7:7812770:7816169:1 gene:B456_007G104200 transcript:KJB41429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKEYITAEELKKHNKPGDLWISIQGKVYNVSDWAKEHPGGEIPLLNLAGQDVTDAFIAYHPGTAWKYLDKLFTGYYLQDFQVSDVSKDYRRLVTEFSKMGMFETKGHVAFCSLASVAVMFAIVLYGVLRCDSVWAHLGAAMVLGMLWIQSTYVGHDSGHYQVMSSRGYNRLAQIITGNCLTGISIAWWKWTHNAHHIACNSLDYDPDLQHIPFFAVSSRFFNSLTSCFYGRKLNFDPLARFLISYQHLTFYPVMCVARVNLYLQTLLLLFSNRKVPDRAFNIMGILVFWTWLPLLLSYLPNWPERFMFVLTSFAVTSIQHIQFCLNHFSANVYVGPPNGNDWFEKQTSGTLDIACSSWMDWFFGGLQFQLEHHLFPRLPRCQLRKVSPVVKDLCKKHNLPYRSLSFWDANVSTIKTLRTAAMQARDVSNTAPKNLLWEAVNTHG >KJB41428 pep chromosome:Graimondii2_0_v6:7:7812770:7815166:1 gene:B456_007G104200 transcript:KJB41428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKEYITAEELKKHNKPGDLWISIQGKVYNVSDWAKEHPGGEIPLLNLAGQDVTDAFIAYHPGTAWKYLDKLFTGYYLQDFQVSDVSKDYRRLVTEFSKMGMFETKGHVAFCSLASVAVMFAIVLYGVLRCDSVWAHLGAAMVLGMLWIQSTYVGHDSGHYQVMSSRGYNRLAQIITGNCLTGISIAWWKWTHNAHHIACNSLDYDPDLQHIPFFAVSSRFFNSLTSCFYGRKLNFDPLARFLISYQHLTFYPVMCVARVNLYLQTLLLLFSNRKVPDRAFNIMGILVFWTWLPLLLSYLPNWPERFMFVLTSFAVTSIQHIQFCLNHFSANVYVGPPNGNDWFEKQTSGTLDIACSSWMDWFFGGLQFQLEHHLFPRLPRCQLRKVSPVVKDLCKKHNLPYRSLSFWDANVSTIKTLRTAAMQARDVSNTAPKNLLWEAVNTHG >KJB44419 pep chromosome:Graimondii2_0_v6:7:39956490:39964802:1 gene:B456_007G251700 transcript:KJB44419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENLELRLIAEREEEEKSDLKRRVWVETKKIWSIAFPTMLTRVTSYGMIVVTQSFLGHIGEVQLATFALIQSIYVRFINGILIGMSSATETLCGQAFGAGQYHMMGIYLQRSWIVDGLTATVSVPLLVFASPIFKLLGQEEEIAEAAGNISLWFIPMLYQMVFQLTMQMFLQAQLKNFIVGWLSAFSFALHLLLSWILVYKLNWGVAGAMGSLNICCWIMVVGEFVFILGWCPNTWKGFSKAALYDLWPTIKLSMASGLMICLELWYYAVLVLLAGYMKNATIAIDAFSICLNVNACEFMICLGLTGAAIVRVANELGRGNAKALKFAIKTIMSESICIGMLFFVLCLGCGRQISYLFTSDEQVAQVISSLSDLLAISVLFNSIQAVLSGVVIGTGFQSTIAIVNLGCFYIVGVPVGVVLGYVLNLQVTGLWIGLLSGVALQIIILVFIVWRIDWDEQVENTSQRLNHWLLEEEEEEEEEDEEEEEEENQIQSLP >KJB43549 pep chromosome:Graimondii2_0_v6:7:21190094:21193919:1 gene:B456_007G205500 transcript:KJB43549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAIQGKLVPLSDSPSSLLRRLKFLSVNSGLIQSFSYSGKSLSDNRCIRNPFCLRAKTMAVSGSKAVFRDVYMDELIASCGNGLDFLKPSGVYFADRSQSSCQKASLILRKQEQRNNRLVCRYNFCDAVQRNSGYNLPFGPRMKSIHTSSLFCTSSRAAHDMSFDGSSKDERAASLPVQTIPNKKSFRLVSGSCYLPHPAKEETGGEDAHFICANDEAIGVADGVGGWVEVGVDAGEFARELMCNSVAAIQGEPKGSIDPARVLEKAHSSTKSQGSSTACIIALTGEGIHAINLGDSGFIVVRDGCTVFHSPVQQHGFNFTYQLESGDGGDLPSSVQVFKIPVLSGDVIIAGTDGLFDNLYNNEITAAVVHGLRVGFNPQLMAKQIATLARERAVDKNRQTPFAKAAQDAGFRYYGGKLDDITVVVSYIAGSSNT >KJB43554 pep chromosome:Graimondii2_0_v6:7:21190132:21193919:1 gene:B456_007G205500 transcript:KJB43554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIVFSKLKPVVYTGFQRSLTGKLVPLSDSPSSLLRRLKFLSVNSGLIQSFSYSGKSLSDNRCIRNPFCLRAKTMAVSGSKAVFRDVYMDELIASCGNGLDFLKPSGVYFADRSQSSCQKASLILRKQEQRNNRLVCRYNFCDAVQRNSGYNLPFGPRMKSIHTSSLFCTSSRAAHDMSFDGSSKDERAASLPVQTIPNKKSFRLVSGSCYLPHPAKEETGGEDAHFICANDEAIGVADGVGGWVEVGVDAGEFARELMCNSVAAIQGEPKGSIDPARVLEKAHSSTKSQGSSTACIIALTGEGIHAINLGDSGFIVVRDGCTVFHSPVQQHGFNFTYQLESGDGGDLPSSVQVFKIPVLSGDVIIAGTDGLFDNLYNNEITAAVVHGLRVGFNPQLMAKQIATLARERAVDKNRQTPFAKAAQDAGFRYYGGKLDDITVVVSYIAGSSNT >KJB43552 pep chromosome:Graimondii2_0_v6:7:21190132:21193919:1 gene:B456_007G205500 transcript:KJB43552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICHLMGVLRMNGLQVYLTIPNKKSFRLVSGSCYLPHPAKEETGGEDAHFICANDEAIGVADGVGGWVEVGVDAGEFARELMCNSVAAIQGEPKGSIDPARVLEKAHSSTKSQGSSTACIIALTGEGIHAINLGDSGFIVVRDGCTVFHSPVQQHGFNFTYQLESGDGGDLPSSVQVFKIPVLSGDVIIAGTDGLFDNLYNNEITAAVVHGLRVGFNPQLMAKQIATLARERAVDKNRQTPFAKAAQDAGFRYYGGKLDDITVVVSYIAGSSNT >KJB43555 pep chromosome:Graimondii2_0_v6:7:21190193:21193919:1 gene:B456_007G205500 transcript:KJB43555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGSKAVFRDVYMDELIASCGNGLDFLKPSGVYFADRSQSSCQKASLILRKQEQRNNRLVCRYNFCDAVQRNSGYNLPFGPRMKSIHTSSLFCTSSRAAHDMSFDGSSKDERAASLPVQTIPNKKSFRLVSGSCYLPHPAKEETGGEDAHFICANDEAIGVADGVGGWVEVGVDAGEFARELMCNSVAAIQGEPKGSIDPARVLEKAHSSTKSQGSSTACIIALTGEGIHAINLGDSGFIVVRDGCTVFHSPVQQHGFNFTYQLESGDGGDLPSSVQVFKIPVLSGDVIIAGTDGLFDNLYNNEITAAVVHGLRVGFNPQLMAKQIATLARERAVDKNRQTPFAKAAQDAGFRYYGGKLDDITVVVSYIAGSSNT >KJB43551 pep chromosome:Graimondii2_0_v6:7:21190132:21193919:1 gene:B456_007G205500 transcript:KJB43551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGSKAVFRDVYMDELIASCGNGLDFLKPSGVYFADRSQSSCQKASLILRKQEQRNNRLVCRYNFCDAVQRNSGYNLPFGPRMKSIHTSSLFCTSSRAAHDMSFDGSSKDERAASLPVQTIPNKKSFRLVSGSCYLPHPAKEETGGEDAHFICANDEAIGVADGVGGWVEVGVDAGEFARELMCNSVAAIQGEPKGSIDPARVLEKAHSSTKSQGSSTACIIALTGEGIHAINLGDSGFIVVRDGCTVFHSPVQQHGFNFTYQLESGDGGDLPSSVQVFKIPVLSGDVIIAGTDGLFDNLYNNEITAAVVHGLRVGFNPQLMAKQIATLARERAVDKNRQTPFAKAAQDAGFRYYGGKLDDITVVVSYIAGSSNT >KJB43553 pep chromosome:Graimondii2_0_v6:7:21190012:21193919:1 gene:B456_007G205500 transcript:KJB43553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGSKAVFRDVYMDELIASCGNGLDFLKPSGVYFADRSQSSCQKASLILRKQEQRNNRLVCRYNFCDAVQRNSGYNLPFGPRMKSIHTSSLFCTSSRAAHDMSFDGSSKDERAASLPVQTIPNKKSFRLVSGSCYLPHPAKEETGGEDAHFICANDEAIGVADGVGGWVEVGVDAGEFARELMCNSVAAIQGEPKGSIDPARVLEKAHSSTKSQGSSTACIIALTGEGIHAINLGDSGFIVVRDGCTVFHSPVQQHGFNFTYQLESGDGGDLPSSVQVFKIPVLSGDVIIAGTDGLFDNLYNNEITAAVVHGLRVGFNPQLMAKQIATLARERAVDKNRQTPFAKAAQDAGFRYYGGKLDDITVVVSYIAGSSNT >KJB43550 pep chromosome:Graimondii2_0_v6:7:21191855:21193800:1 gene:B456_007G205500 transcript:KJB43550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFSTVWFFGVDFRTIPNKKSFRLVSGSCYLPHPAKEETGGEDAHFICANDEAIGVADGVGGWVEVGVDAGEFARELMCNSVAAIQGEPKGSIDPARVLEKAHSSTKSQGSSTACIIALTGEGIHAINLGDSGFIVVRDGCTVFHSPVQQHGFNFTYQLESGDGGDLPSSVQVFKIPVLSGDVIIAGTDGLFDNLYNNEITAAVVHGLRVGFNPQLMAKQIATLARERAVDKNRQTPFAKAAQDAGFRYYGGKLDDITVVVSYIAGSSNT >KJB43548 pep chromosome:Graimondii2_0_v6:7:21190193:21193919:1 gene:B456_007G205500 transcript:KJB43548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGSKAVFRDVYMDELIASCGNGLDFLKPSGVYFADRSQSSCQKASLILRKQEQRNNRLVCRYNFCDAVQRNSGYNLPFGPRMKSIHTSSLFCTSSRAAHDMSFDGSSKDERAASLPVQTIPNKKSFRLVSGSCYLPHPAKEETGGEDAHFICANDEAIGVADGVGGWVEVGVDAGEFARELMCNSVAAIQGEPKGSIDPARVLEKAHSSTKSQGSSTACIIALTGEGIHAINLGDSGFIVVRDGCTVFHSPVQQHGFNFTYQLESGDGGDLPSSVQVFKIPVLSGDVIIAGTDGLFDNLYNNEITAAVVHGLRVGFNPQLMAKQIATLARERAVDKNRQTPFAKAAQDAGFRYYGGKLDDITVVVSYIAGSSNT >KJB45132 pep chromosome:Graimondii2_0_v6:7:50003133:50008858:-1 gene:B456_007G291800 transcript:KJB45132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNSNIGNNNKGSNTSNNNGFLPNSLKFISSCLKTASSGVRSASASVAASITGDSQEHPKDQVLWASFDRLELSSLSFKRVLLLGYSNGFQVLDVEDASNVNELVSRRDDPVTFLQMQPLPEMSEGHEGFRASHPLLLVVACDESKVSGLMPIGRDGLARHGFDEPQNGNILLSPTAVRFYSLRSHNYVHVLRFRSTVCMVRSSPRIVAVGLATQIYCFDALTLENKFSVLTYPVPQAGGQGTLGINIGYGPMAVGPRWLAYASNNPLQSNTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQLAAGLINLGDMGYKTLSKYYQDLIPDGSGSPMSSNSGRKVGRGALHSAETDIAGTVVVKDFVSRAVISQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSSTKNGSGSQSYDWSSSHVHLYKLHRGMTSAVIQDICFSAFSQWIAIVSSRGTCHIFVLSPFGGENVLQIRNSHVDGSILSPVVSFPWWSTPSFPINYQTFSLPAPPTVTLSVVSRIKNVNSGWLNTVANAASSAAGRTSFPSGAFSAVFHNSLHNDLQRAQVKVNVLEQLLVYTPSGHVVQHKLLPSFGGEAGESASRIGPGSSFQLQEEELRVKVEAMQAWDVCRRTDWPEREECLSGMTHGRKEALEMTMDGSDSEDNYAGQTAMSKAQDRSHLYLSSAEVQISSGRISIWQNSKVSFYTMNPVGFDDHKFTVDQSGGETEIEQMPAQEVEIRQRDLLPIFEPFHRIQPGWNDRGLAAESYPVSSSLDAKARFSEVTVISHSKLMSPSSIENSDSGSLRNSYPPSLQSGNDSSGVKGQSCILASHLLNQSTLNKDAGPVSCNQSNSLSSLTSGSISGGRTIAKEVQFPNSGGSSDVSNTSSNRSNLSMNMLDEEPVNESPEFEHFFQEEYYKVSPLSPCTEPTEVVTDVDSNSSPCEREKSEEGDNNDMLGGVFAFSEEG >KJB45133 pep chromosome:Graimondii2_0_v6:7:50003133:50009252:-1 gene:B456_007G291800 transcript:KJB45133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNSNIGNNNKGSNTSNNNGFLPNSLKFISSCLKTASSGVRSASASVAASITGDSQEHPKDQVLWASFDRLELSSLSFKRVLLLGYSNGFQVLDVEDASNVNELVSRRDDPVTFLQMQPLPEMSEGHEGFRASHPLLLVVACDESKVSGLMPIGRDGLARHGFDEPQNGNILLSPTAVRFYSLRSHNYVHVLRFRSTVCMVRSSPRIVAVGLATQIYCFDALTLENKFSVLTYPVPQAGGQGTLGINIGYGPMAVGPRWLAYASNNPLQSNTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQLAAGLINLGDMGYKTLSKYYQDLIPDGSGSPMSSNSGRKVGRGALHSAETDIAGTVVVKDFVSRAVISQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSSTKNGSGSQSYDWSSSHVHLYKLHRGMTSAVIQDICFSAFSQWIAIVSSRGTCHIFVLSPFGGENVLQIRNSHVDGSILSPVVSFPWWSTPSFPINYQTFSLPAPPTVTLSVVSRIKNVNSGWLNTVANAASSAAGRTSFPSGAFSAVFHNSLHNDLQRAQVKVNVLEQLLVYTPSGHVVQHKLLPSFGGEAGESASRIGPGSSFQLQEEELRVKVEAMQAWDVCRRTDWPEREECLSGMTHGRKEALEMTMDGSDSEDNYAGQTAMSKAQDRSHLYLSSAEVQISSGRISIWQNSKVSFYTMNPVGFDDHKFTVDQSGGETEIEQMPAQEVEIRQRDLLPIFEPFHRIQPGWNDRGLAAESYPVSSSLDAKARFSEVTVISHSKLMSPSSIENSDSGSLRNSYPPSLQSGNDSSGVKGQSCILASHLLNQSTLNKDAGPVSCNQSNSLSSLTSGSISGGRTIAKEVQFPNSGGSSDVSNTSSNRSNLSMNMLDEEPVNESPEFEHFFQEEYYKVSPLSPCTEPTEVVTDVDSNSSPCEREKSEEGDNNDMLGGVFAFSEEG >KJB45131 pep chromosome:Graimondii2_0_v6:7:50003133:50008858:-1 gene:B456_007G291800 transcript:KJB45131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNSNIGNNNKGSNTSNNNGFLPNSLKFISSCLKTASSGVRSASASVAASITGDSQEHPKDQVLWASFDRLELSSLSFKRVLLLGYSNGFQVLDVEDASNVNELVSRRDDPVTFLQMQPLPEMSEGHEGFRASHPLLLVVACDESKVSGLMPIGRDGLARHGFDEPQNGNILLSPTAVRFYSLRSHNYVHVLRFRSTVCMVRSSPRIVAVGLATQIYCFDALTLENKFSVLTYPVPQAGGQGTLGINIGYGPMAVGPRWLAYASNNPLQSNTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQLAAGLINLGDMGYKTLSKYYQDLIPDGSGSPMSSNSGRKVGRGALHSAETDIAGTVVVKDFVSRAVISQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSSTKNGSGSQSYDWSSSHVHLYKLHRGMTSAVIQDICFSAFSQWIAIVSSRGTCHIFVLSPFGGENVLQIRNSHVDGSILSPVVSFPWWSTPSFPINYQTFSLPAPPTVTLSVVSRIKNVNSGWLNTVANAASSAAGRTSFPSGAFSAVFHNSLHNDLQRAQVKVNVLEQLLVYTPSGHVVQHKLLPSFGGEAGESASRIGPGSSFQLQEEELRVKVEAMQAWDVCRRTDWPEREECLSGMTHGRKEALEMTMDGSDSEDNYAGQTAMSKAQDRSHLYLSSAEVQISSGRISIWQNSKVSFYTMNPVGFDDHKFTVDQSGGETEIEQMPAQEVEIRQRDLLPIFEPFHRIQPGWNDRGLAAESYPVSSSLDAKARFSEVTVISHSKLMSPSSIENSDSGMTLF >KJB45134 pep chromosome:Graimondii2_0_v6:7:50004700:50009031:-1 gene:B456_007G291800 transcript:KJB45134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNSNIGNNNKGSNTSNNNGFLPNSLKFISSCLKTASSGVRSASASVAASITGDSQEHPKDQVLWASFDRLELSSLSFKRVLLLGYSNGFQVLDVEDASNVNELVSRRDDPVTFLQMQPLPEMSEGHEGFRASHPLLLVVACDESKVSGLMPIGRDGLARHGFDEPQNGNILLSPTAVRFYSLRSHNYVHVLRFRSTVCMVRSSPRIVAVGLATQIYCFDALTLENKFSVLTYPVPQAGGQGTLGINIGYGPMAVGPRWLAYASNNPLQSNTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQLAAGLINLGDMGYKTLSKYYQDLIPDGSGSPMSSNSGRKVGRGALHSAETDIAGTVVVKDFVSRAVISQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSSTKNGSGSQSYDWSSSHVHLYKLHRGMTSAVIQDICFSAFSQWIAIVSSRGTCHIFVLSPFGGENVLQIRNSHVDGSILSPVVSFPWWSTPSFPINYQTFSLPAPPTVTLSVVSRIKNVNSGWLNTVANAASSAAGRTSFPSGAFSAVFHNSLHNDLQRAQVKVNVLEQLLVYTPSGHVVQHKLLPSFGGEAGESASRIGPGSSFQLQEEELRVKVEAMQAWDVCRRTDWPEREECLSGMTHGRKEALEMTMDGSDSEDNYAGQTAMSKAQDRSHLYLSSAEVQISSGRISIWQNSKVVIYDWFMM >KJB45266 pep chromosome:Graimondii2_0_v6:7:50906838:50911069:-1 gene:B456_007G298200 transcript:KJB45266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVPNAGEHGFECAEVYYPPINEDTLQVYGRSVANPSPVSPCPHNHMSYRMVRPHHYEIDDDPLHKQGNTFNQNIKGSSANITALTQITIEQALNNSTNTHSFIFGLLSNTTDPAEKNALTTCENAYRLVTSNFNEATMHFFEGDYGSMLDSERRSPRAQESCITIFSTPPTPPNPVADRNRQMRILIAMAVVTGMELTTKLS >KJB40574 pep chromosome:Graimondii2_0_v6:7:4916347:4921327:-1 gene:B456_007G069600 transcript:KJB40574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTCVFQDRLASHHECQKPGIQKCFPSNMIPPRKTAASYRADEWNVNKWAWEGTLKVISKGEECIIRLEDKNTGELYARAFLRDGEPHPVESVIDSSRYFVLRIEENIGGRLRHAFIGIGFRERTEAYDFQAALHDHMKYLNKKKTAEEMEQQFQKSSSVDYSLKEGETIVLQLKNKGGSTLKSKAAELGIDKLSLADKGNQKEPILSIKPPPPPPTPLSPVASALKSPQPHPPAQLSPVETTGNSPTSSPPDLQLDGTSEKKASKSEKEHRDERQCGESQNTEDIEDDDFGDFQAAG >KJB40573 pep chromosome:Graimondii2_0_v6:7:4916334:4921331:-1 gene:B456_007G069600 transcript:KJB40573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKGKEPAAANEDGEVGKTESEEIQEALEIVLFQVSECYVYLIPPRKTAASYRADEWNVNKWAWEGTLKVISKGEECIIRLEDKNTGELYARAFLRDGEPHPVESVIDSSRYFVLRIEENIGGRLRHAFIGIGFRERTEAYDFQAALHDHMKYLNKKKTAEEMEQQFQKSSSVDYSLKEGETIVLQLKNKGGSTLKSKAAELGIDKLSLADKGNQKEPILSIKPPPPPPTPLSPVASALKSPQPHPPAQLSPVETTGNSPTSSPPDLQLDGTSEKKASKSEKEHRDERQCGESQNTEDIEDDDFGDFQAAG >KJB40575 pep chromosome:Graimondii2_0_v6:7:4916610:4920619:-1 gene:B456_007G069600 transcript:KJB40575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTCVFQDRLASHHECQKPGIQKCFPSNMIPPRKTAASYRADEWNVNKWAWEGTLKVISKGEECIIRLEDKNTGELYARAFLRDGEPHPVESVIDSSRYFVLRIEENIGGRLRHAFIGIGFRERTEAYDFQAALHDHMKYLNKKKTAEEMEQQFQKSSSVDYSLKEGETIVLQLKNKGGSTLKSKAAELGIDKLSLADKGNQKEPILSIKPPPPPPTPLSPVASALKSPQPHPPAQLSPVETTGNSPTSSPPDLQLDGTSEKKASKSEKEHRDERQCGESQNTEDIEDDDFGDFQAAG >KJB44607 pep chromosome:Graimondii2_0_v6:7:42934829:42942820:1 gene:B456_007G260800 transcript:KJB44607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDQTQLQLAQLAHILGPDSTHFETLISHLMSSSNDQRSQAESLFHLAKQTHPDSLSLALSRVLSSSPRPELRALSAVLLRKILTPAADYSFLFPLLAESTRAAIKASLLSSLQTEQSKANVKKLCDTISELASSVVATRGWPELLPFLFQCVNSKNPNLEESALLIFSRLAQNVGETTETLIPHLNTLHSVFFNCLSNPSSCDVRIAALSASVSFIQCISNPKDRDAFQDLLPLMMQTLTEALNSGLEATAQEALELLIELAGTEPRFLRRQIMEVVGSMLQIAEAESLEEGTRHLAIEFIITLAEARERAPGMMRKLPQFIRRLFWVLMNLLVDIEDEPDWYNAESEDEDAGETSNYGVGQECLDRLSISLGGNTVVPVASELFPVFLAASEWQKRHAALIALAQIAEGCSKVMIRNLEQVVSMVLNSFHDAHPRVRWAAINAIGQLSTDLGPELQTQYHQRVLPALAGAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEQFQKYYDAVMPYLKAILVNANDKSNRMLRAKAMECISLVGMAVGKEKFRDDAKQVMDVLMSLQVSQMESDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDANADDDDDESIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGQAQGRNGTYIKQLTDYIIPALVEALHKEPEVEICGSMLDSLNECIEICGPFLDEGQIKCIVDEIKQVITASSARKQERAERAKAEDFDEEEGEMLKEENEQEEEVFGQLGDLLGTLIKTFKASFLPFFQELTSYITPMWGKDKTAEERRVAICIFDDIAEHCREAALKYYDTYLPFLLEACNDESPDVRQAAAFGVGLCAEFGGSVFKPLIQGCCTLASLLFFPFKLLSP >KJB44608 pep chromosome:Graimondii2_0_v6:7:42934829:42944367:1 gene:B456_007G260800 transcript:KJB44608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDQTQLQLAQLAHILGPDSTHFETLISHLMSSSNDQRSQAESLFHLAKQTHPDSLSLALSRVLSSSPRPELRALSAVLLRKILTPAADYSFLFPLLAESTRAAIKASLLSSLQTEQSKANVKKLCDTISELASSVVATRGWPELLPFLFQCVNSKNPNLEESALLIFSRLAQNVGETTETLIPHLNTLHSVFFNCLSNPSSCDVRIAALSASVSFIQCISNPKDRDAFQDLLPLMMQTLTEALNSGLEATAQEALELLIELAGTEPRFLRRQIMEVVGSMLQIAEAESLEEGTRHLAIEFIITLAEARERAPGMMRKLPQFIRRLFWVLMNLLVDIEDEPDWYNAESEDEDAGETSNYGVGQECLDRLSISLGGNTVVPVASELFPVFLAASEWQKRHAALIALAQIAEGCSKVMIRNLEQVVSMVLNSFHDAHPRVRWAAINAIGQLSTDLGPELQTQYHQRVLPALAGAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEQFQKYYDAVMPYLKAILVNANDKSNRMLRAKAMECISLVGMAVGKEKFRDDAKQVMDVLMSLQVSQMESDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDANADDDDDESIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGQAQGRNGTYIKQLTDYIIPALVEALHKEPEVEICGSMLDSLNECIEICGPFLDEGQIKCIVDEIKQVITASSARKQERAERAKAEDFDEEEGEMLKEENEQEEEVFGQLGDLLGTLIKTFKASFLPFFQELTSYITPMWGKDKTAEERRVAICIFDDIAEHCREAALKYYDTYLPFLLEACNDESPDVRQAAAFGVGLCAEFGGSVFKPLIQEALSRLNAVIRHPNALHLDNVMAYDNAVSALGKICQFHRDSIDAAQILPAWLSCLPIKGDLIEAKIVHEQLCSMVERSDQELLGPNNQYLPKIVSVFAEIWQQSKLLVE >KJB44609 pep chromosome:Graimondii2_0_v6:7:42934829:42944367:1 gene:B456_007G260800 transcript:KJB44609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDQTQLQLAQLAHILGPDSTHFETLISHLMSSSNDQRSQAESLFHLAKQTHPDSLSLALSRVLSSSPRPELRALSAVLLRKILTPAADYSFLFPLLAESTRAAIKASLLSSLQTEQSKANVKKLCDTISELASSVVATRGWPELLPFLFQCVNSKNPNLEESALLIFSRLAQNVGETTETLIPHLNTLHSVFFNCLSNPSSCDVRIAALSASVSFIQCISNPKDRDAFQDLLPLMMQTLTEALNSGLEATAQEALELLIELAGTEPRFLRRQIMEVVGSMLQIAEAESLEEGTRHLAIEFIITLAEARERAPGMMRKLPQFIRRLFWVLMNLLVDIEDEPDWYNAESEDEDAGETSNYGVGQECLDRLSISLGGNTVVPVASELFPVFLAASEWQKRHAALIALAQIAEGCSKVMIRNLEQVVSMVLNSFHDAHPRVRWAAINAIGQLSTDLGPELQTQYHQRVLPALAGAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEQFQKYYDAVMPYLKAILVNANDKSNRMLRAKAMECISLVGMAVGKEKFRDDAKQVMDVLMSLQVSQMESDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDANADDDDDESIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGQAQGRNGTYIKQLTDYIIPALVEALHKEPEVEICGSMLDSLNECIEICGPFLDEGQIKCIVDEIKQVITASSARKQERAERAKAEDFDEEEGEMLKEENEQEEEVFGQLGDLLGTLIKTFKASFLPFFQELTSYITPMWGKDKTAEERRVAICIFDDIAEHCREAALKYYDTYLPFLLEACNDESPDVRQAAAFGVGLCAEFGGSVFKPLIQEALSRLNAVIRHPNALHLDNVMAYDNAVSALGKICQFHRDSIDAAQILPAWLSCLPIKGDLIEAKIVHEQLCSMVERFYVLVKIWQQSKLLVE >KJB44606 pep chromosome:Graimondii2_0_v6:7:42934766:42944409:1 gene:B456_007G260800 transcript:KJB44606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDQTQLQLAQLAHILGPDSTHFETLISHLMSSSNDQRSQAESLFHLAKQTHPDSLSLALSRVLSSSPRPELRALSAVLLRKILTPAADYSFLFPLLAESTRAAIKASLLSSLQTEQSKANVKKLCDTISELASSVVATRGWPELLPFLFQCVNSKNPNLEESALLIFSRLAQNVGETTETLIPHLNTLHSVFFNCLSNPSSCDVRIAALSASVSFIQCISNPKDRDAFQDLLPLMMQTLTEALNSGLEATAQEALELLIELAGTEPRFLRRQIMEVVGSMLQIAEAESLEEGTRHLAIEFIITLAEARERAPGMMRKLPQFIRRLFWVLMNLLVDIEDEPDWYNAESEDEDAGETSNYGVGQECLDRLSISLGGNTVVPVASELFPVFLAASEWQKRHAALIALAQIAEGCSKVMIRNLEQVVSMVLNSFHDAHPRVRWAAINAIGQLSTDLGPELQTQYHQRVLPALAGAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEQFQKYYDAVMPYLKAILVNANDKSNRMLRAKAMECISLVGMAVGKEKFRDDAKQVMDVLMSLQVSQMESDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDANADDDDDESIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGQAQGRNGTYIKQLTDYIIPALVEALHKEPEVEICGSMLDSLNECIEICGPFLDEGQIKCIVDEIKQVITASSARKQERAERAKAEDFDEEEGEMLKEENEQEEEVFGQLGDLLGTLIKTFKASFLPFFQELTSYITPMWGKDKTAEERRVAICIFDDIAEHCREAALKYYDTYLPFLLEACNDESPDVRQAAAFGVGLCAEFGGSVFKPLIQEALSRLNAVIRHPNALHLDNVMAYDNAVSALGKICQFHRDSIDAAQILPAWLSCLPIKGDLIEAKIVHEQLCSMVERSDQELLGPNNQYLPKIVSVFAEVLCAGKDLATEQTASRMINLIRHFQQSLPASTLASTWSSLQPQQQLALQSILSS >KJB45465 pep chromosome:Graimondii2_0_v6:7:52077262:52100399:1 gene:B456_007G307100 transcript:KJB45465 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAR1 [Source:Projected from Arabidopsis thaliana (AT1G33410) UniProtKB/TrEMBL;Acc:A0A178WF46] MVGSLLPRRIPPPPLTSMEMILSISFAKSIWLNRMFWKSSSSRRNSLTTLGSALVSLTHSRLSLLDELIELDARAYSNCNEPITCMAANLGCLLLGRNDGSVTCFQLGSLHQTAPGFVSELRDDSGVSLGRLWGFMSRGRGVGAVQDLIIKEILGKKVVFVLHHDGFLRAWDLLSRNKILSHAMTVPTSLEGAISTRLWLGEYNSDSSIVPLAILYKSTLEVSMEVIYVYGLCCSTGDRISLSVDSSVKNFPVDEGGCIDVKLTSDKIWILKDNGLAYHHLFNSTNMEEAYCYALQEEFIADQLFQSSEHTSDDLISIISSILSSGKDQIVPFVSSIFLRRLLHPGVYHNKVLRSTFLDYSKHWTDNEFQSLTVDGLKKEILSLVEHESMAESPISIFRGWKNFCCRYFQYWCKKNAPYALIIQSTSGAVGLIRKHSVSLFRSLENTELLTDGLSEDLGDLASFGLDLFNDNSDREILFEVLRCVVNISQQLGKTASFVFYESFVGRQSISSEEIIPRLLKILGAGYGPSTRAGYLSGLGSDVALEREQRDHKNLRKFSVDMLLSLHDLCKKAASWRKILDVIESYLQFLVPKKFTQNSGAETLSCLNNSILVQASCQIAKVMFEYALDILLFVSYLMSIGGQINMVHDDITRIQVDLVPMIEEIISEWLIILVFCTTPSESPAIEDFSSQLSLLQIDNKINKRSWREKLGKCDFTLASLLLLNNQSSSGYERHLSLGCLLNPHEIITSVQKFASWIVWGNTGEVSSSFLRRSTELAIILLRNGQYDAVEYLLAIFEANARRERIFRSIQDTSGDWCLLQHLLGCCLVAQTQRGLHGVLKGRKVGEAVSCFFRAASGEGASQALQSLSDEAGLLYLGFNGHVSAAWKLHYYQWVMQLFEQYNISEGACQFALAALEQVDDLGLGGDGFETDSSNESTTTIKGRLWANVFKFTLDLNLLNDAYCAIISNPDEESKYICLRRFVIVLYECGAIKILCNGQLPLIGLADKVERELAWKAERTDILAKPNPYKLLYAFEIHRHNWRKAASYMYLYSARLRTDTVQKDQQHMSITLQERLNALSAAVNALQLVHPAYAWIDPLPEGYSLRNEHYPHKKAKTRVKEQSVNDVPSQRLQFCIDIEKLEYEIVLTSAEYQLSLANIKWTYSGIGKAPSDLVELLVLTNLYDTAFSVILKFWKDSELKRELEKIFSAIALKCCPSTVSSSWTRSQSLLLTSSKDEVVVHGSPGMELTSEQTKANCHWETLEHYLEKYKNFHSRLPVIVAETLLRTDPHIELPLWLVKMFKENQRERPWGMTGPEPSSASLFRLYVDYGRYTEATNLFLEYVEAFASTRPVDIINRKRSSAVWFPYNTVERLWCQLEGLIKSGHMVDQSDKLKSLLYKSLLNHLKQLKVDSVDAVSSAG >KJB45467 pep chromosome:Graimondii2_0_v6:7:52077136:52100443:1 gene:B456_007G307100 transcript:KJB45467 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAR1 [Source:Projected from Arabidopsis thaliana (AT1G33410) UniProtKB/TrEMBL;Acc:A0A178WF46] MMVAGTEVPVIGSDSIKWIDLTIPSSINHIDNGGFAPPTADSASATYIDGDDSFYLICQIHMAQSNVLEIFKLSQEFPHNTGLRLSFSHPLSAFALVSAFPTKSHYLLYTVTISGIAYFIKLSKDLTSIFSRDELIELDARAYSNCNEPITCMAANLGCLLLGRNDGSVTCFQLGSLHQTAPGFVSELRDDSGVSLGRLWGFMSRGRGVGAVQDLIIKEILGKKVVFVLHHDGFLRAWDLLSRNKILSHAMTVPTSLEGAISTRLWLGEYNSDSSIVPLAILYKSTLEVSMEVIYVYGLCCSTGDRISLSVDSSVKNFPVDEGGCIDVKLTSDKIWILKDNGLAYHHLFNSTNMEEAYCYALQEEFIADQLFQSSEHTSDDLISIISSILSSGKDQIVPFVSSIFLRRLLHPGVYHNKVLRSTFLDYSKHWTDNEFQSLTVDGLKKEILSLVEHESMAESPISIFRGWKNFCCRYFQYWCKKNAPYALIIQSTSGAVGLIRKHSVSLFRSLENTELLTDGLSEDLGDLASFGLDLFNDNSDREILFEVLRCVVNISQQLGKTASFVFYESFVGRQSISSEEIIPRLLKILGAGYGPSTRAGYLSGLGSDVALEREQRDHKNLRKFSVDMLLSLHDLCKKAASWRKILDVIESYLQFLVPKKFTQNSGAETLSCLNNSILVQASCQIAKVMFEYALDILLFVSYLMSIGGQINMVHDDITRIQVDLVPMIEEIISEWLIILVFCTTPSESPAIEDFSSQLSLLQIDNKINKRSWREKLGKCDFTLASLLLLNNQSSSGYERHLSLGCLLNPHEIITSVQKFASWIVWGNTGEVSSSFLRRSTELAIILLRNGQYDAVEYLLAIFEANARRERIFRSIQDTSGDWCLLQHLLGCCLVAQTQRGLHGVLKGRKVGEAVSCFFRAASGEGASQALQSLSDEAGLLYLGFNGHVSAAWKLHYYQWVMQLFEQYNISEGACQFALAALEQVDDLGLGGDGFETDSSNESTTTIKGRLWANVFKFTLDLNLLNDAYCAIISNPDEESKYICLRRFVIVLYECGAIKILCNGQLPLIGLADKVERELAWKAERTDILAKPNPYKLLYAFEIHRHNWRKAASYMYLYSARLRTDTVQKDQQHMSITLQERLNALSAAVNALQLVHPAYAWIDPLPEGYSLRNEHYPHKKAKTRVKEQSVNDVPSQRLQFCIDIEKLEYEIVLTSAEYQLSLANIKWTYSGIGKAPSDLVELLVLTNLYDTAFSVILKFWKDSELKRELEKIFSAIALKCCPSTVSSSWTRSQSLLLTSSKDEVVVHGSPGMELTSEQTKANCHWETLEHYLEKYKNFHSRLPVIVAETLLRTDPHIELPLWLVKMFKENQRERPWGMTGPEPSSASLFRLYVDYGRYTEATNLFLEYVEAFASTRPVDIINRKRSSAVWFPYNTVERLWCQLEGLIKSGHMVDQSDKLKSLLYKSLLNHLKQLKVDSVDAVSSAG >KJB45466 pep chromosome:Graimondii2_0_v6:7:52077333:52100443:1 gene:B456_007G307100 transcript:KJB45466 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAR1 [Source:Projected from Arabidopsis thaliana (AT1G33410) UniProtKB/TrEMBL;Acc:A0A178WF46] MMVAGTEVPVIGSDSIKWIDLTIPSSINHIDNGGFAPPTADSASATYIDGDDSFYLICQIHMAQSNVLEIFKLSQEFPHNTGLRLSFSHPLSAFALVSAFPTKSHYLLYTVTISGIAYFIKLSKDLTSIFSRDELIELDARAYSNCNEPITCMAANLGCLLLGRNDGSVTCFQLGSLHQTAPGFVSELRDDSGVSLGRLWGFMSRGRGVGAVQDLIIKEILGKKVVFVLHHDGFLRAWDLLSRNKILSHAMTVPTSLEGAISTRLWLGEYNSDSSIVPLAILYKSTLEVSMEVIYVYGLCCSTGDRISLSVDSSVKNFPVDEGGCIDVKLTSDKIWILKDNGLAYHHLFNSTNMEEAYCYALQEEFIADQLFQSSEHTSDDLISIISSILSSGKDQIVPFVSSIFLRRLLHPGVYHNKVLRSTFLDYSKHWTDNEFQSLTVDGLKKEILSLVEHESMAESPISIFRGWKNFCCRYFQYWCKKNAPYALIIQSTSGAVGLIRKHSVSLFRSLENTELLTDGLSEDLGDLASFGLDLFNDNSDREILFEVLRCVVNISQQLGKTASFVFYESFVGRQSISSEEIIPRLLKILGAGYGPSTRAGYLSGLGSDVALEREQRDHKNLRKFSVDMLLSLHDLCKKAASWRKILDVIESYLQFLVPKKFTQNSGAETLSCLNNSILVQASCQIAKVMFEYALDILLFVSYLMSIGGQINMVHDDITRIQVDLVPMIEEIISEWLIILVFCTTPSESPAIEDFSSQLSLLQIDNKINKRSWREKLGKCDFTLASLLLLNNQSSSGYERHLSLGCLLNPHEIITSVQKFASWIVWGNTGEVSSSFLRRSTELAIILLRNGQYDAVEYLLAIFEANARRERIFRSIQDTSGDWCLLQHLLGCCLVAQTQRGLHGVLKGRKVGEAVSCFFRAASGEGASQALQSLSDEAGLLYLGFNGHVSAAWKLHYYQWVMQLFEQYNISEGACQFALAALEQVDDLGLGGDGFETDSSNESTTTIKGRLWANVFKFTLDLNLLNDAYCAIISNPDEESKYICLRRFVIVLYECGAIKILCNGQLPLIGLADKVERELAWKAERTDILAKPNPYKLLYAFEIHRHNWRKAASYMYLYSARLRTDTVQKDQQHMSITLQERLNALSAAVNALQLVHPAYAWIDPLPEGYSLRNEHYPHKKAKTRVKEQSVNDVPSQRLQFCIDIEKLEYEIVLTSAEYQLSLANIKWTYSGIGKAPSDLVELLVLTNLYDTAFSVILKFWKDSELKRELEKIFSAIALKCCPSTVSSSWTRSQSLLLTSSKDEVVVHGSPGMELTSEQTKANCHWETLEHYLEKYKNFHSRLPVIVAETLLRTDPHIELPLWLVKMFKENQRERPWGMTGPEPSSASLFRLYVDYGRYTEATNLFLEYVEAFASTRPVDIINRKRSSAVWFPYNTVERLWCQLEGLIKSGHMVDQSDKLKSLLYKSLLNHLKQLKVDSVDAVSSAG >KJB45464 pep chromosome:Graimondii2_0_v6:7:52077397:52100399:1 gene:B456_007G307100 transcript:KJB45464 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAR1 [Source:Projected from Arabidopsis thaliana (AT1G33410) UniProtKB/TrEMBL;Acc:A0A178WF46] MMVAGTEVPVIGSDSIKWIDLTIPSSINHIDNGGFAPPTADSASATYIDGDDSFYLICQIHMAQSNVLEIFKLSQEFPHNTGLRLSFSHPLSAFALVSAFPTKSHYLLYTVTISGIAYFIKLSKDLTSIFSRDELIELDARAYSNCNEPITCMAANLGCLLLGRNDGSVTCFQLGSLHQTAPGFVSELRDDSGVSLGRLWGFMSRGRGVGAVQDLIIKEILGKKVVFVLHHDGFLRAWDLLSRNKILSHAMTVPTSLEGAISTRLWLGEYNSDSSIVPLAILYKSTLEVSMEVIYVYGLCCSTGDRISLSVDSSVKNFPVDEGGCIDVKLTSDKIWILKDNGLAYHHLFNSTNMEEAYCYALQEEFIADQLFQSSEHTSDDLISIISSILSSGKDQIVPFVSSIFLRRLLHPGVYHNKVLRSTFLDYSKHWTDNEFQSLTVDGLKKEILSLVEHESMAESPISIFRGWKNFCCRYFQYWCKKNAPYALIIQSTSGAVGLIRKHSVSLFRSLENTELLTDGLSEDLGDLASFGLDLFNDNSDREILFEVLRCVVNISQQLGKTASFVFYESFVGRQSISSEEIIPRLLKILGAGYGPSTRAGYLSGLGSDVALEREQRDHKNLRKFSVDMLLSLHDLCKKAASWRKILDVIESYLQFLVPKKFTQNSGAETLSCLNNSILVQASCQIAKVMFEYALDILLFVSYLMSIGGQINMVHDDITRIQVDLVPMIEEIISEWLIILVFCTTPSESPAIEDFSSQLSLLQIDNKINKRSWREKLGKCDFTLASLLLLNNQSSSGYERHLSLGCLLNPHEIITSVQKFASWIVWGNTGEVSSSFLRRSTELAIILLRNGQYDAVEYLLAIFEANARRERIFRSIQDTSGDWCLLQHLLGCCLVAQTQRGLHGVLKGRKVGEAVSCFFRAASGEGASQALQSLSDEAGLLYLGFNGHVSAAWKLHYYQWVMQLFEQYNISEGACQFALAALEQVDDLGLGGDGFETDSSNESTTTIKGRLWANVFKFTLDLNLLNDAYCAIISNPDEESKYICLRRFVIVLYECGAIKILCNGQLPLIGLADKVERELAWKAERTDILAKPNPYKLLYAFEIHRHNWRKAASYMYLYSARLRTDTVQKDQQHMSITLQERLNALSAAVNALQLVHPAYAWIDPLPEGYSLRNEHYPHKKAKTRVKEQSVNDVPSQRLQFCIDIEKLEYEIVLTSAEYQLSLANIKWTYSGIGKAPSDLVELLVLTNLYDTAFSVILKFWKDSELKRELEKIFSAIALKCCPSTVSSSWTRSQSLLLTSSKDEVVVHGSPGMELTSEQTKANCHWETLEHYLEKYKNFHSRLPVIVAETLLRTDPHIELPLWLVKMFKENQRERPWGMTGPEPSSASLFRLYVDYGRYTEATNLFLEYVEAFASTRPVDIINRKRSSAVWFPYNTVERLWCQLEGLIKSGHMVDQSDKLKSLLYKSLLNHLKQLKVDSVDAVSSAG >KJB45468 pep chromosome:Graimondii2_0_v6:7:52077397:52100399:1 gene:B456_007G307100 transcript:KJB45468 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAR1 [Source:Projected from Arabidopsis thaliana (AT1G33410) UniProtKB/TrEMBL;Acc:A0A178WF46] MMVAGTEVPVIGSDSIKWIDLTIPSSINHIDNGGFAPPTADSASATYIDGDDSFYLICQIHMAQSNVLEIFKLSQEFPHNTGLRLSFSHPLSAFALVSAFPTKSHYLLYTVTISGIAYFIKLSKDLTSIFSRDELIELDARAYSNCNEPITCMAANLGCLLLGRNDGSVTCFQLGSLHQTAPGFVSELRDDSGVSLGRLWGFMSRGRGVGAVQDLIIKEILGKKVVFVLHHDGFLRAWDLLSRNKILSHAMTVPTSLEGAISTRLWLGEYNSDSSIVPLAILYKSTLEVSMEVIYVYGLCCSTGDRISLSVDSSVKNFPVDEGGCIDVKLTSDKIWILKDNGLAYHHLFNSTNMEEAYCYALQEEFIADQLFQSSEHTSDDLISIISSILSSGKDQIVPFVSSIFLRRLLHPGVYHNKVLRSTFLDYSKHWTDNEFQSLTVDGLKKEILSLVEHESMAESPISIFRGWKNFCCRYFQYWCKKNAPYALIIQSTSGAVGLIRKHSVSLFRSLENTELLTDGLSEDLGDLASFGLDLFNDNSDREILFEVLRCVVNISQQLGKTASFVFYESFVGRQSISSEEIIPRLLKILGAGYGPSTRAGYLSGLGSDVALEREQRDHKNLRKFSVDMLLSLHDLCKKAASWRKILDVIESYLQFLVPKKFTQNSGAETLSCLNNSILVQASCQIAKVMFEYALDILLFVSYLMSIGGQINMVHDDITRIQVDLVPMIEEIISEWLIILVFCTTPSESPAIEDFSSQLSLLQIDNKINKRSWREKLGKCDFTLASLLLLNNQSSSGYERHLSLGCLLNPHEIITSVQKFASWIVWGNTGEVSSSFLRRSTELAIILLRNGQYDAVEYLLAIFEANARRERIFRSIQDTSGDWCLLQHLLGCCLVAQTQRGLHGVLKGRKVGEAVSCFFRAASGEGASQALQSLSDEAGLLYLGFNGHVSAAWKLHYYQWVMQLFEQYNISEGACQFALAALEQVDDLGLGGDGFETDSSNESTTTIKGRLWANVFKFTLDLNLLNDAYCAIISNPDEESKYICLRRFVIVLYECGAIKQILCNGQLPLIGLADKVERELAWKAERTDILAKPNPYKLLYAFEIHRHNWRKAASYMYLYSARLRTDTVQKDQQHMSITLQERLNALSAAVNALQLVHPAYAWIDPLPEGYSLRNEHYPHKKAKTRVKEQSVNDVPSQRLQFCIDIEKLEYEIVLTSAEYQLSLANIKWTYSGIGKAPSDLVELLVLTNLYDTAFSVILKFWKDSELKRELEKIFSAIALKCCPSTVSSSWTRSQSLLLTSSKDEVVVHGSPGMELTSEQTKANCHWETLEHYLEKYKNFHSRLPVIVAETLLRTDPHIELPLWLVKMFKENQRERPWGMTGPEPSSASLFRLYVDYGRYTEATNLFLEYVEAFASTRPVDIINRKRSSAVWFPYNTVERLWCQLEGLIKSGHMVDQSDKLKSLLYKSLLNHLKQLKVDSVDAVSSAG >KJB40798 pep chromosome:Graimondii2_0_v6:7:5510090:5512181:1 gene:B456_007G077700 transcript:KJB40798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKIETGHEDALHDVAMDYYGKRLATASSDTTIKIIGITGSSSQQLAVLHGHKGPVWGVAWAHPKFGSILASCSYDGQVIIWKENNQNEWLQAHVFNDHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARDDGSWDTTRIEQAHPVGVTSVSWAPSTALGAFIGSGLLDPVQKLASGGCDNMVKVWRLYNGSWKMDYSPALQMHTDWVRDVAWAPNLGLPKCTIASASQDGKVIIWTCGKEGEQWKGTILKDFKTPVWRISWSLTGNLLSVADANNNVTLWKETVDDEWQEVNAIEP >KJB40797 pep chromosome:Graimondii2_0_v6:7:5509944:5512325:1 gene:B456_007G077700 transcript:KJB40797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKIETGHEDALHDVAMDYYGKRLATASSDTTIKIIGITGSSSQQLAVLHGHKGPVWGVAWAHPKFGSILASCSYDGQVIIWKENNQNEWLQAHVFNDHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARDDGSWDTTRIEQAHPVGVTSVSWAPSTALGAFIGSGLLDPVQKLASGGCDNMVKVWRLYNGSWKMDYSPALQMHTDWVRDVAWAPNLGLPKCTIASASQDGKVIIWTCGKEGEQWKGTILKDFKTPVWRISWSLTGNLLSVADANNNVTLWKETVDDEWQEVNAIEP >KJB44668 pep chromosome:Graimondii2_0_v6:7:43873740:43879153:-1 gene:B456_007G264500 transcript:KJB44668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPHLAAVFTKLQTLSTSDYASVVSMNIFVALLCACIVIGHLLEENRWMNESITALIIGVFTGVIILLTSGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFITIMLFGAVGTLISCTIISLGVINFFKEMDIGSLDIGDFLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLVNTSPRILLEFIGSFLYLFLASTMLGVIVGLVSAYIIKKLYFGRHSTDREFALMMLMAYLSYIMAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVAETFLFLYVGMDALDMEKWRFVSDSPGTSVAVSAVLMGLVMVGRAAFVFPLSFLSNLAKKSASEKISFREQIIIWWAGLMRGAVSMALAYNQFTRGGHTQLRGNAIMITSTITIVLFSTVVFGLMTKPLIRFLLPHPKPTASMLSDQSTPKSMEAPFLGSGQDSFDDSLIGVHRPNSIRALLTTPAHTVHYYWRKFDNAFMRPMFGGRGFVPFVPGSPTERSEPNLPQWQ >KJB44669 pep chromosome:Graimondii2_0_v6:7:43873740:43879152:-1 gene:B456_007G264500 transcript:KJB44669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPHLAAVFTKLQTLSTSDYASVVSMNIFVALLCACIVIGHLLEENRWMNESITALIIGVFTGVIILLTSGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFITIMLFGAVGTLISCTIISLGVINFFKEMDIGSLDIGDFLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLVNTSPRILLEFIGSFLYLFLASTMLGVIVGLVSAYIIKKLYFGRHSTDREFALMMLMAYLSYIMAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVAETFLFLYVGMDALDMEKWRFVSDSPGTSVAVSAVLMGLVMVGRAAFVFPLSFLSNLAKKSASEKISFREQIIIWWAGLMRGAVSMALAYNQFTRGGHTQLRGNAIMITSTITIVLFSTVVFGLMTKPLIRFLLPHPKPTASMLSDQSTPKSMEAPFLGSGQDSFDDSLIGVHRPNSIRALLTTPAHTVHYYWRKFDNAFMRPMFGGRGFVPFVPGSPTERSEPNLPQWQ >KJB40725 pep chromosome:Graimondii2_0_v6:7:5295796:5296587:-1 gene:B456_007G075100 transcript:KJB40725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQVMLVVALVLIAVVGAYAADVPKTSSSSSPSTSPASSPSSSISTSSSPPTPDGGALAPSPSSAVSLKASSFVGAVTAVAGLGLFYF >KJB41785 pep chromosome:Graimondii2_0_v6:7:9600587:9605246:1 gene:B456_007G121400 transcript:KJB41785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEGSKKPQASASQPPRKINLQKFAESRAAELESLHSTVSARLNNDFRSRRNKRRRTTAFDNEASKKRNRKRQRLIKVDKSNVSGLETEQKKNESPLPRRLRRRLELKNNPQSGFVTSGDGTKRLRTHVWHAKRFTMAKRWGFYLPLGLHGRGGGSRAVLRWFKQGVLLHDASYNIAVQLEGPEDYLVATLEMVLVPFTSVKSEGVSDSVLSGVTYGTAMLYHVGAPLSQPIAPVTYMWRPHQGSKKDDNNNCLDVVGSKEQCRTNSGSCFRQLWVWIHASAFNEGYDALKCACQKLMIERGITINCFSNEGQLAKLELIGSKAFQLLQKTVHPVSCIVENSWQLQKCSFQRDRDDFQNKNSFTLEDEECSPSCAILSFTVKDPRLLLTKETKDFHEPDSIIDMQEVGASDCITLTGNLDKNEEVASTSCPKPEGIENLSGGRNLWDSSSRIDPPEEENELCMEKHQQRMGFFCLDEPKPGPPKTSNKVQCSRSCPILLLKNNNKKGSPMGWSIVVPISWARVFWNFLVSKGAHVIGLREKHWIACEIGLPYFPSDFPDCNSYLTLNEIEATTSRKNAEQHPPAVRPFRIPIPSPWNVVHTAFDKLSRRVKEAQVSSGENIVRKYSMSNSSCERSDVTSLRHRDSFGGIVARTSSLLTEFLNGIQGFIFMHTRRVFLKMELLYVLHVSLIYQCGLAAQAALKVDSKCLILLWGHTSRNNLLVSGNSMYQMTLLLENIIGGQLVLSQLDLFEGAKSQRQRRSVRLSCLLV >KJB41786 pep chromosome:Graimondii2_0_v6:7:9600612:9605281:1 gene:B456_007G121400 transcript:KJB41786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEGSKKPQASASQPPRKINLQKFAESRAAELESLHSTVSARLNNDFRSRRNKRRRTTAFDNEASKKRNRKRQRLIKVDKSNVSGLETEQKKNESPLPRRLRRRLELKNNPQSGFVTSGDGTKRLRTHVWHAKRFTMAKRWGFYLPLGLHGRGGGSRAVLRWFKQGVLLHDASYNIAVQLEGPEDYLVATLEMVLVPFTSVKSEGVSDSVLSGVTYGTAMLYHVGAPLSQPIAPVTYMWRPHQGSKKDDNNNCLDVVGSKEQCRTNSGSCFRQLWVWIHASAFNEGYDALKCACQKLMIERGITINCFSNEGQLAKLELIGSKAFQLLQKTVHPVSCIVENSWQLQKCSFQRDRDDFQNKNSFTLEDEECSPSCAILSFTVKDPRLLLTKETKDFHEPDSIIDMQEVGASDCITLTGNLDKNEEVASTSCPKPEGIENLSGGRNLWDSSSRIDPPEEENELCMEKHQQRMGFFCLDEPKPGPPKTSNKVQCSRSCPILLLKNNNKKGSPMGWSIVVPISWARVFWNFLVSKGAHVIGLREKHWIACEIGLPYFPSDFPDCNSYLTLNEIEATTSRKNAEQHPPAVRPFRIPIPSPWNVVHTAFDKLSRRVKEAQVSSGENIVRKYSMSNSSCERSDVTSLRHRDSFGGIVARTSSLLTEFLNGIQGEHLLLFPQLQNRKLSLVKVMKDKNMMEKGQNGITQISYNHKLCFVRVHLHAYKEGVFEDGAVICAPRLTDISMWTCSTGSIEGRLEMPNSAVGSYFKEQPSGKWELNVPDDPASREYHRWPVGFVTTGFVRGSKKPTAEAFCEAVLLASLREEQWKEMTVNRRRKEIYVLVRNLRSSAYRLALATIILEEEEEDVRFL >KJB39234 pep chromosome:Graimondii2_0_v6:7:274984:282444:-1 gene:B456_007G003300 transcript:KJB39234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNKLFFSSSKKSGSSSPDGSSNSPRSTSNSPIRSDKKKPKASLPNSDSQQIPNSPSLFSPTAFKPTQVKDGPARKDLKPSEPQTPVAKPSSSTSKKPDAKDGPSSVSPLLASSLGLNRIKTRSGPLPQESFFSFRGEKSAAASVLGASNLSRAGGSSSAGGGGSSGKSGSGKKDGLNQRLLQESLLDNVSNSDSMSPGSGSGGWHSREQSPGVQGKSRLQNGESSSEAGRLKSSRGHSGVLKSSDFCTPETSYDCENSKESESPRFQAILRLTSGPQKRFPADIKSFSHELNSKGVRPFPFWKPRRLNNLEEIVVVIRAKFDKAKEEVNSDLAIFAADLVGLLEKNSESHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELHPGTLKQLYTRMLFILTRCTRLLQFHKESGLAEDEPVIQLRQSRVLHPADKRTSSGVLREAKSLSASKASKASTSKKSYSQEQHWRKDHLVLPGSLITSIDDTQKNLESPASRDRIASWKKLPSPAKKSPKEVTASKEQNNSMIETWKKTGASDVDLAVVKLQEPPPAKESQEHSSKHQHKVSWGYWGDQPNVSEESSIICRICEEEVPTSNVEDHSRICAVADCCDQKGLNVDERLVRIAEMLEKMTDLFANKDMQHVGSPDVAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEVDNSVLMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLLTPRNSQIDLLLAGKGVFTEQEDLPQMNELADIARCVANTPSVDDRSVPYLLSCLEDLRLVIDRRKFDALTVETFGARIEKLIREKYLQFCELVEDEKLDISNTVIDEDAPLEDDVVRSLRTSPIHSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERNILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASEHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQVMSLFYEFQLLLEILLLT >KJB39233 pep chromosome:Graimondii2_0_v6:7:271915:282444:-1 gene:B456_007G003300 transcript:KJB39233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNKLFFSSSKKSGSSSPDGSSNSPRSTSNSPIRSDKKKPKASLPNSDSQQIPNSPSLFSPTAFKPTQVKDGPARKDLKPSEPQTPVAKPSSSTSKKPDAKDGPSSVSPLLASSLGLNRIKTRSGPLPQESFFSFRGEKSAAASVLGASNLSRAGGSSSAGGGGSSGKSGSGKKDGLNQRLLQESLLDNVSNSDSMSPGSGSGGWHSREQSPGVQGKSRLQNGESSSEAGRLKSSRGHSGVLKSSDFCTPETSYDCENSKESESPRFQAILRLTSGPQKRFPADIKSFSHELNSKGVRPFPFWKPRRLNNLEEIVVVIRAKFDKAKEEVNSDLAIFAADLVGLLEKNSESHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELHPGTLKQLYTRMLFILTRCTRLLQFHKESGLAEDEPVIQLRQSRVLHPADKRTSSGVLREAKSLSASKASKASTSKKSYSQEQHWRKDHLVLPGSLITSIDDTQKNLESPASRDRIASWKKLPSPAKKSPKEVTASKEQNNSMIETWKKTGASDVDLAVVKLQEPPPAKESQEHSSKHQHKVSWGYWGDQPNVSEESSIICRICEEEVPTSNVEDHSRICAVADCCDQKGLNVDERLVRIAEMLEKMTDLFANKDMQHVGSPDVAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEVDNSVLMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLLTPRNSQIDLLLAGKGVFTEQEDLPQMNELADIARCVANTPSVDDRSVPYLLSCLEDLRLVIDRRKFDALTVETFGARIEKLIREKYLQFCELVEDEKLDISNTVIDEDAPLEDDVVRSLRTSPIHSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERNILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASEHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQIIFDNILNRKIPWPRAPEEMSLEAKDLIDGLLTEDPNQRLGAKGASEVKQHVFFKDINWDTLARQKAAFVPSSDSALDTSYFTSRYSWNTSNDHTYPGSDFDDSSDADSLSGSSSCMSNRQDEVGDECGGLAEFESGSSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANSNA >KJB39232 pep chromosome:Graimondii2_0_v6:7:271802:282444:-1 gene:B456_007G003300 transcript:KJB39232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNKLFFSSSKKSGSSSPDGSSNSPRSTSNSPIRSDKKKPKASLPNSDSQQIPNSPSLFSPTAFKPTQVKDGPARKDLKPSEPQTPVAKPSSSTSKKPDAKDGPSSVSPLLASSLGLNRIKTRSGPLPQESFFSFRGEKSAAASVLGASNLSRAGGSSSAGGGGSSGKSGSGKKDGLNQRLLQESLLDNVSNSDSMSPGSGSGGWHSREQSPGVQGKSRLQNGESSSEAGRLKSSRGHSGVLKSSDFCTPETSYDCENSKESESPRFQAILRLTSGPQKRFPADIKSFSHELNSKGVRPFPFWKPRRLNNLEEIVVVIRAKFDKAKEEVNSDLAIFAADLVGLLEKNSESHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELHPGTLKQLYTRMLFILTRCTRLLQFHKESGLAEDEPVIQLRQSRVLHPADKRTSSGVLREAKSLSASKASKASTSKKSYSQEQHWRKDHLVLPGSLITSIDDTQKNLESPASRDRIASWKKLPSPAKKSPKEVTASKEQNNSMIETWKKTGASDVDLAVVKLQEPPPAKESQEHSSKHQHKVSWGYWGDQPNVSEESSIICRICEEEVPTSNVEDHSRICAVADCCDQKGLNVDERLVRIAEMLEKMTDLFANKDMQHVGSPDVAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEVDNSVLMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLLTPRNSQIDLLLAGKGVFTEQEDLPQMNELADIARCVANTPSVDDRSVPYLLSCLEDLRLVIDRRKFDALTVETFGARIEKLIREKYLQFCELVEDEKLDISNTVIDEDAPLEDDVVRSLRTSPIHSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERNILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASEHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQIIFDNILNRKIPWPRAPEEMSLEAKDLIDGLLTEDPNQRLGAKGASEVKQHVFFKDINWDTLARQKAAFVPSSDSALDTSYFTSRYSWNTSNDHTYPGSDFDDSSDADSLSGSSSCMSNRQDEVGDECGGLAEFESGSSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANSNA >KJB39235 pep chromosome:Graimondii2_0_v6:7:275368:282444:-1 gene:B456_007G003300 transcript:KJB39235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNKLFFSSSKKSGSSSPDGSSNSPRSTSNSPIRSDKKKPKASLPNSDSQQIPNSPSLFSPTAFKPTQVKDGPARKDLKPSEPQTPVAKPSSSTSKKPDAKDGPSSVSPLLASSLGLNRIKTRSGPLPQESFFSFRGEKSAAASVLGASNLSRAGGSSSAGGGGSSGKSGSGKKDGLNQRLLQESLLDNVSNSDSMSPGSGSGGWHSREQSPGVQGKSRLQNGESSSEAGRLKSSRGHSGVLKSSDFCTPETSYDCENSKESESPRFQAILRLTSGPQKRFPADIKSFSHELNSKGVRPFPFWKPRRLNNLEEIVVVIRAKFDKAKEEVNSDLAIFAADLVGLLEKNSESHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELHPGTLKQLYTRMLFILTRCTRLLQFHKESGLAEDEPVIQLRQSRVLHPADKRTSSGVLREAKSLSASKASKASTSKKSYSQEQHWRKDHLVLPGSLITSIDDTQKNLESPASRDRIASWKKLPSPAKKSPKEVTASKEQNNSMIETWKKTGASDVDLAVVKLQEPPPAKESQEHSSKHQHKVSWGYWGDQPNVSEESSIICRICEEEVPTSNVEDHSRICAVADCCDQKGLNVDERLVRIAEMLEKMTDLFANKDMQHVGSPDVAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEVDNSVLMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLLTPRNSQIDLLLAGKGVFTEQEDLPQMNELADIARCVANTPSVDDRSVPYLLSCLEDLRLVIDRRKFDALTVETFGARIEKLIREKYLQFCELVEDEKLDISNTVIDEDAPLEDDVVRSLRTSPIHSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERNILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASEHQQERRKKRSAVGTPDYLAPEILLGTGHGWYSLFLV >KJB39236 pep chromosome:Graimondii2_0_v6:7:277700:282444:-1 gene:B456_007G003300 transcript:KJB39236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNKLFFSSSKKSGSSSPDGSSNSPRSTSNSPIRSDKKKPKASLPNSDSQQIPNSPSLFSPTAFKPTQVKDGPARKDLKPSEPQTPVAKPSSSTSKKPDAKDGPSSVSPLLASSLGLNRIKTRSGPLPQESFFSFRGEKSAAASVLGASNLSRAGGSSSAGGGGSSGKSGSGKKDGLNQRLLQESLLDNVSNSDSMSPGSGSGGWHSREQSPGVQGKSRLQNGESSSEAGRLKSSRGHSGVLKSSDFCTPETSYDCENSKESESPRFQAILRLTSGPQKRFPADIKSFSHELNSKGVRPFPFWKPRRLNNLEEIVVVIRAKFDKAKEEVNSDLAIFAADLVGLLEKNSESHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELHPGTLKQLYTRMLFILTRCTRLLQFHKESGLAEDEPVIQLRQSRVLHPADKRTSSGVLREAKSLSASKASKASTSKKSYSQEQHWRKDHLVLPGSLITSIDDTQKNLESPASRDRIASWKKLPSPAKKSPKEVTASKEQNNSMIETWKKTGASDVDLAVVKLQEPPPAKESQEHSSKHQHKVSWGYWGDQPNVSEESSIICRICEEEVPTSNVEDHSRICAVADCCDQKGLNVDERLVRIAEMLEKMTDLFANKDMQHVGSPDVAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEVDNSVLMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLLTPRNSQIDLLLAGKGVFTEQEDLPQVSFVQFFISERHKMFQEVLNYMLWLLCFLIFTSNFIVFPSNFPATCSSPPHLLCSSCRFFYFLSYEMQSFSLFLSVLSDFVICFN >KJB42318 pep chromosome:Graimondii2_0_v6:7:12530480:12531320:1 gene:B456_007G148100 transcript:KJB42318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEFWLSFYMQMNEFKSDGRDDDPAKCVSQDGINREGSKGQSSSSVTDMAEVPKSSKRSSTSTKTSKEESTVKEKGDKPSKEKPDKPKHSKKSSKSSSSKEASDGTTKHKKKSKDPNPATDSNSQDPSGVPKKSSRRNKSKESVDGSSRRSKTRDSDIGSDAGSIAGGLDRDERLSNASAFEGGDS >KJB42317 pep chromosome:Graimondii2_0_v6:7:12530127:12531149:1 gene:B456_007G148100 transcript:KJB42317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNNKMKGLLKGLRYISEIFENEKEPEMQIGLPTDVKHVAHIGWDGPSSVNSAPSWMNEFKSDGRDDDPAKCVSQDGINREGSKGQSSSSVTDMAEVPKSSKRSSTSTKTSKEESTVKEKGDKPSKEKPDKPKHSKKSSKSSSSKEASDGTTKHKKKSKDPNPATDSNSQDPSGVPKKSSRRNKSKESVDGSSRRSKTRDSDIGSDAGSIAGGLDRDERLSNASAFEGGDS >KJB45141 pep chromosome:Graimondii2_0_v6:7:50040462:50042503:1 gene:B456_007G292000 transcript:KJB45141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFHFILLALSLCFSSPISLVTGDHEIPHRNLADSICPLDFTVVRKFIFDSPRRPSFLDVPRKCQTLLQGIRLVRSNYLRVTGNFSLSPIPSEVCLDVYQKLVNELVPWLDIRSTCAFDATSLSKSCNNITTRFQFEGLISKPELQEVNHLCNRSLDEDSSCTPCQGTLYSMYQSYFIENASDCSGYPFIYAGALANRKGPADSGTAKCLFSLDYTSTNANNWKKKAILCATPIGAALGLIMTVMVIWFSWRRKQKWKRRHNSVALNETGAGFGIETISGDSSLVMFTFEEIKKATKNFSRENIVGKGGHGNVYKGILEDGSEVALKRFKNCSAAGDATFAHEVEVIASINHVNLVPFRGYCTATVPMEGHQRIIVCDLMQNGSLYDHLFGSEVTKLSWPIRQKIAIGVARGLAYLHYGAQPAIIHRDVKASNILLDDTFEPKLADFGLAKFTPDDFSHMSTRVAGTLGYVAPEYALYGQLTERSDVYGFGVVLLELLSSKQAVISINDHHTLLLTDWAWSLVEEGRLFDVIDENVLELGPPEVMEKYVLLAILSCHSQLYARPTMDQIVRILETDIPKSANFSSSRSMSSACADDKHPCNCNE >KJB41948 pep chromosome:Graimondii2_0_v6:7:10347114:10349290:1 gene:B456_007G128800 transcript:KJB41948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKCLGAQRCRKIHRTLRRCKVTVFCFVLTVVVLRGTIGAGKFGTPEQDFVEIRDHFYFRRRAGTHRVLEEVQTTSSDNEVASDTNAETNNYNDFELNKVLVDEESDDSKLDPDKPYSLGPKISDWDKQRSEWLKENPDYPNFIGPNKPRVLLVTGSSPKPCENPVGDHYLLKSTKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRTVLLSHPDVEFLWWMDSDAMFTDMAFEIPWERYKDSNLVMHGWNERVYDQKNWIGLNTGSFLLRNGQWALDLLDAWAPMGPKGKIRDEAGKVLTRELKNRPVFEADDQSAMVYLLATQREQWGDKVYLEHAYYLHGYWGLLVDRYEVMIETYHPGLGDHRWPLVTHFVGCKPCGKLGNYPVERCLKQMDRAFNFGDNQILQIYGFTQKSLASRRVKKVRNGSRNPIEVKDVLRSLHPAFKAVKVSSS >KJB46567 pep chromosome:Graimondii2_0_v6:7:60657599:60660745:-1 gene:B456_007G374700 transcript:KJB46567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARPLVSVQTIESDMATDATPTVPLADVMKASIRPDIVTFVHDNISKNSRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVASAIAASAVPSLVMARGHRIESVPEMPLVISDAVESVEKTSAAIKVLKQVGAYPDVEKAKDSQGIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEVANVERLNLLKLAPGGHLGRFIIWTKSAYEKLDSIYGSFEKPCEKKKGYILPRSKMVNADLGRIINSDEVQSVVKPIKKEIKRAPLKKNPLKNLNAMLKLNPYAKTARRMSLLAEAQRVKAKKEKLDKKRKPISKEEATAIKSAGKAWYQTMISDSDYTEFENFSKWLGVSQ >KJB43749 pep chromosome:Graimondii2_0_v6:7:23502876:23504454:-1 gene:B456_007G214500 transcript:KJB43749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRKTGFMADQLSKTTSIFGLRLWVVLGVCVGAAFVLFLFLISLWLASKRTKRKPCSKPGIPVVSKEIQEIKIDPPKPHRTQIQADPDPSTGIERQALLLPLEEESPTGYHGIHIEIGKDHRISYPGLSPQTSGEARADYVAGAAPEVSHLGWGHWYTLRELEVSTNGFADEKVIGEGGYGIVYHGILEDNTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVNNGNLEQWLHGDVGPCSPLTWDIRMNIILGTAKGLAYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSESSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIITGRNPVDYSRPPEEVCTMYPISFCWLNMQKMIVLSDKRNAI >KJB43745 pep chromosome:Graimondii2_0_v6:7:23500036:23504730:-1 gene:B456_007G214500 transcript:KJB43745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRKTGFMADQLSKTTSIFGLRLWVVLGVCVGAAFVLFLFLISLWLASKRTKRKPCSKPGIPVVSKEIQEIKIDPPKPHRTQIQADPDPSTGIERQALLLPLEEESPTGYHGIHIEIGKDHRISYPGLSPQTSGEARADYVAGAAPEVSHLGWGHWYTLRELEVSTNGFADEKVIGEGGYGIVYHGILEDNTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVNNGNLEQWLHGDVGPCSPLTWDIRMNIILGTAKGLAYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSESSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIITGRNPVDYSRPPEEESRGSIGSQAT >KJB43750 pep chromosome:Graimondii2_0_v6:7:23501473:23504730:-1 gene:B456_007G214500 transcript:KJB43750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRKTGFMADQLSKTTSIFGLRLWVVLGVCVGAAFVLFLFLISLWLASKRTKRKPCSKPGIPVVSKEIQEIKIDPPKPHRTQIQADPDPSTGIERQALLLPLEEESPTGYHGIHIEIGKDHRISYPGLSPQTSGEARADYVAGAAPEVSHLGWGHWYTLRELEVSTNGFADEKVIGEGGYGIVYHGILEDNTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVNNGNLEQWLHGDVGPCSPLTWDIRMNIILGTAKGLAYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSESSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIITGRNPVDYSRPPEEVNLIEWLKKMVANRNPEGVLDPKLPEKPTSRALKRALLVALRCVDANAQKRPKMGHIIHMLEAEESPFKDDSRAGRDAGQAHCNSTKNESTEKKVADSDGHEDAVHPNSAQS >KJB43747 pep chromosome:Graimondii2_0_v6:7:23502876:23504454:-1 gene:B456_007G214500 transcript:KJB43747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRKTGFMADQLSKTTSIFGLRLWVVLGVCVGAAFVLFLFLISLWLASKRTKRKPCSKPGIPVVSKEIQEIKIDPPKPHRTQIQADPDPSTGIERQALLLPLEEESPTGYHGIHIEIGKDHRISYPGLSPQTSGEARADYVAGAAPEVSHLGWGHWYTLRELEVSTNGFADEKVIGEGGYGIVYHGILEDNTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVNNGNLEQWLHGDVGPCSPLTWDIRMNIILGTAKGLAYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSESSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIITGRNPVDYSRPPEEVCTMYPISFCWLNMQKMIVLSDKRNAI >KJB43746 pep chromosome:Graimondii2_0_v6:7:23501485:23504730:-1 gene:B456_007G214500 transcript:KJB43746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRKTGFMADQLSKTTSIFGLRLWVVLGVCVGAAFVLFLFLISLWLASKRTKRKPCSKPGIPVVSKEIQEIKIDPPKPHRTQIQADPDPSTGIERQALLLPLEEESPTGYHGIHIEIGKDHRISYPGLSPQTSGEARADYVAGAAPEVSHLGWGHWYTLRELEVSTNGFADEKVIGEGGYGIVYHGILEDNTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVNNGNLEQWLHGDVGPCSPLTWDIRMNIILGTAKGLAYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSESSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIITGRNPVDYSRPPEEVNLIEWLKKMVANRNPEGVLDPKLPEKPTSRALKRALLVALRCVDANAQKRPKMGHIIHMLEAEESPFKDDSRAGRDAGQAHCNSTKNESTEKKVADSDGHEDAVHPNSAQS >KJB43748 pep chromosome:Graimondii2_0_v6:7:23501256:23504755:-1 gene:B456_007G214500 transcript:KJB43748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRKTGFMADQLSKTTSIFGLRLWVVLGVCVGAAFVLFLFLISLWLASKRTKRKPCSKPGIPVVSKEIQEIKIDPPKPHRTQIQADPDPSTGIERQALLLPLEEESPTGYHGIHIEIGKDHRISYPGLSPQTSGEARADYVAGAAPEVSHLGWGHWYTLRELEVSTNGFADEKVIGEGGYGIVYHGILEDNTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVNNGNLEQWLHGDVGPCSPLTWDIRMNIILGTAKGLAYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSESSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIITGRNPVDYSRPPEEVNLIEWLKKMVANRNPEGVLDPKLPEKPTSRALKRALLVALRCVDANAQKRPKMGHIIHMLEAEESPFKDDSRAGRDAGQAHCNSTKNESTEKKVADSDGHEDAVHPNSAQS >KJB42571 pep chromosome:Graimondii2_0_v6:7:13786313:13788576:-1 gene:B456_007G158000 transcript:KJB42571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQELDEGVNELQKALDLGRGVNPKGYMIDEIWQELAKAKYLQWEHASSKRSWELKSLKEACETALKEKHFLDDSHPGSFSDEAILSHMKQLEVLSRVFKEAGEADIPGEVPDYLCCKITLDILRDPVITPSGVTYERTVILEHLQKVGKFDPVTREPLDHSQLVPNLAIKEAVQAYLDGHGWAYNTN >KJB42570 pep chromosome:Graimondii2_0_v6:7:13786313:13788332:-1 gene:B456_007G158000 transcript:KJB42570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LMLLLQASRKALDLGRGVNPKGYMIDEIWQELAKAKYLQWEHASSKRSWELKSLKEACETALKEKHFLDDSHPGSFSDEAILSHMKQLEVLSRVFKEAGEADIPGEVPDYLCCKITLDILRDPVITPSGVTYERTVILEHLQKVGKFDPVTREPLDHSQLVPNLAIKEAVQAYLDGHGWAYNTN >KJB42583 pep chromosome:Graimondii2_0_v6:7:13858939:13862867:-1 gene:B456_007G158700 transcript:KJB42583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQEPQVKNSPLMPSMYTNRVALKTILESGDGETGLIGTTVVVGGWVKSSKEVKKEPLPPPQSPPPAAADAFPAASHGTKDVNCVEILQSRIPFFRTIIRVLGGSASSPAVRQKLESLIPKPPPPSIFFLDINDGSCVSSLRVVIDSAIVPVSAGQILPTGTCILAQGVLGNPSALGKQTIELTVEKILHVGTVEQDKYPLSRKRLPLDSLRDYPHIRPRTTTVASVARIRNTLDFASHTFFQNRGFLHVQVPIMTTTDPEGFSEKFQVTTLLGETSKKESPVGVSDADGVNPETVKAAIQEKSSLVEQLKRSDSNREALAAAVQDLKKTNELAQQIETREKSKPVTAVKPDLVSFNADFFGRQIYLTVSGRLHLESYACALGHVYSFGPRFRADKTVSAKHVAEMWTVEAEMAFAQLEDAMKCAEDCFKFLCRWILDNCSEDMKFVLKRIDKTVAHRLEYMASSSYDRISYREAVEILRKVTDKAFETQLQWGVPLTDEHLSYLADDHYRRPVIIYDYPKAVKPFYVRLNDDGKTVAAFEMVVPKIGTVIIGSQNEERFDMLNARIKEFDLSKDQYEWYLDLRRHGTVKHSGLSLGFDLMVLLATGLTDVRDVIPFTRTHGKANN >KJB46499 pep chromosome:Graimondii2_0_v6:7:60486044:60487781:-1 gene:B456_007G372300 transcript:KJB46499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEELHFLLIPLMSPGHLLPMVDMAHLLATHGVTVSIISTPLNALRFTSVVDSAVTSGLRIQVHHLPFPAKEFGLPENCENMDQLPSRDLIMNFLMAANELQQRFEELFNKLKPKPSCMVSGKNLPWTVKTATKFNVPRIVFDGMGCFSFVCTHKLELSKVHEMVSEFESFKIPGLLHEIELKKAQLPENLNPVSNDLINIRDIRKAELVCDGIVVNTFEELENEYVKEFKSIKGNGKVWCIGPVSAINKLSSDKAERGQKQCRFETLQPWLDSKEPGSVIYACLGSISGLTKWQLIELGLGLESSGKPFIWVIRENPKSNEIEKWILDEKFEDRVKDRGIIIHGWSPQLWVLSHPAIGAFLTHCGWNSTMEAVSAGVPVITCPLFAEQFINEKLVVDVLGIGVSAGVESAVTWGLEDKFGLLMKRERVKNAINEVMEKSEAGEERRRKAKQIGETANKAIEKGGSSYQEMEMLIQFVLQRTTEVAQTSS >KJB43430 pep chromosome:Graimondii2_0_v6:7:20264920:20267879:1 gene:B456_007G199500 transcript:KJB43430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEVSHQRVKTNGIWLHIAEQGTGPLVLLLHGFPEIWYSWRHQISFLANHGYHVVAPDLRGYGDSDSPVSPTSYTVMHLVGDIIGLLDHFGEQQAFIVGHDWGAVIGWHLALFRPERVKGLINLSVPYYSRNPNAKFAESLIRTYGDGFYISQFQEPGRAERAFARYDYLTVIKKFLLITQTDNLIAPPGMEIIDYLRTLSRLPPWITEEELQVYADKFQESGFTGALNYYRAMDLNWELTAPWQGSKITVPVKFMVGKNDLGFEVSGVRQYVEGNIFRSLVPNLEIVFLDGHHFLQQEKHLEVSEEILSFLRKFPAE >KJB39538 pep chromosome:Graimondii2_0_v6:7:1383145:1389463:-1 gene:B456_007G018500 transcript:KJB39538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTSPFGQSSSSPFASQSVFGQTNNANSNPFAPKPSFGSITPFGSQTGGSIFGGTSTGVFGSAQSSSPFSSTTAFGASSSPAFGSSMPAFGSSSTPAFGSSASSFGGSSVFGQKPAFGFGSTTTQSSPFGSTTQQSQPAFGSGIFGSSVPFGSSTPAFGATSTSAFGATSTPAFGATSAPAFGATSTPSFGATSAPAFGGTSTPAFGSMSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGGTFGASSTPAFGTSSTPAFGGSSTPAFGASSSPSFSFGSAFGQSTPAFGSFGATSTPAFGSTSTPAFGATSTPAFGSTSIPAFGATSTPAFGSTGSPAFGSSGTAFGMSNASVFGTEGTFGASSTPAFGTSSSPAFGASSTPSFSFGSSPTFGQSTPAFGSSPFGTTAFGAQSSPFGSQSSTPAFGSTSFGQSPFGGQRGGSRVAPYSSTTEADGGSGTQPAGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPNPASQPSAGIGFGVSTSPSNPFSTSSTFGQTSANPFSSTSTNPFSLKPPSFNSIGFTTSATTSNPFQSTSSSLFGQTSSMTTSIFSSSSTPTFGTGSSLFSSSVTPSFSTSLSIFGTSVTPATTPTFATGLNFSSSQTSPLFSSTPAIGQTGNAFGQVTSTFGQNTTNFGQTSIFNTPSTGFGGNMFSSSLSFAPSSSPAAFGSTTPPFSSPFQPAQTSGAFSFSNFGQSQPGGGSSIFGQSNIGLSYVSSTQSAAVAQPSTIANPYGTLPAMPQISIGRTGAAPSVQYGISSMPVVDKPAPVRILPLLTSRYLSQRRIRLPTRKYHPNNDSPKIPFFSDDEETPCVPKAGAVFIPRENPRSLVIRPTKSWPSRASAEKASPLKDASTPPHENENPAENGLVKERIHIIRSNQKANGVHDDYSTEKDDLYMTISGHRAGEAAIVYEHGADIEALMPKLRRFDYFTEPRIQELAAKERAEPGYCRRVKDFVVGRHGYGSIKFSGETDVRRLDLESLVQFNNREVIVYLDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTRQQYTEGPRVEKYKNMLKRKAEEQGAEFLSYDPITGEWRFKVNHFSTYKLEDGDDDGEDKKHL >KJB39543 pep chromosome:Graimondii2_0_v6:7:1382791:1390123:-1 gene:B456_007G018500 transcript:KJB39543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTSPFGQSSSSPFASQSVFGQTNNANSNPFAPKPSFGSITPFGSQTGGSIFGGTSTGVFGSAQSSSPFSSTTAFGASSSPAFGSSMPAFGSSSTPAFGSSASSFGGSSVFGQKPAFGFGSTTTQSSPFGSTTQQSQPAFGSGIFGSSVPFGSSTPAFGATSTSAFGATSTPAFGATSAPAFGATSTPSFGATSAPAFGGTSTPAFGSMSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGGTFGASSTPAFGTSSTPAFGGSSTPAFGASSSPSFSFGSAFGQSTPAFGSFGATSTPAFGSTSTPAFGATSTPAFGSTSIPAFGATSTPAFGSTGSPAFGSSGTAFGMSNASVFGTEGTFGASSTPAFGTSSSPAFGASSTPSFSFGSSPTFGQSTPAFGSSPFGTTAFGAQSSPFGSQSSTPAFGSTSFGQSPFGGQRGGSRVAPYSSTTEADGGSGTQPAGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPNPASQPSAGIGFGVSTSPSNPFSTSSTFGFTTSATTSNPFQSTSSSLFGQTSSMTTSIFSSSSTPTFGTGSSLFSSSVTPSFSTSLSIFGTSVTPATTPTFATGLNFSSSQTSPLFSSTPAIGQTGNAFGQVTSTFGQNTTNFGQTSIFNTPSTGFGGNMFSSSLSFAPSSSPAAFGSTTPPFSSPFQPAQTSGAFSFSNFGQSQPGGGSSIFGQSNIGLSSSTQSAAVAQPSTIANPYGTLPAMPQISIGRTGAAPSVQYGISSMPVVDKPAPVRILPLLTSRYLSQRRIRLPTRKYHPNNDSPKIPFFSDDEETPCVPKAGAVFIPRENPRSLVIRPTKSWPSRASAEKASPLKDASTPPHENGKFSNDGSNAEDKDENPAENGLVKERIHIIRSNQKANGVHDDYSTEKDDLYMTISGHRAGEAAIVYEHGADIEALMPKLRRFDYFTEPRIQELAAKERAEPGYCRRVKDFVVGRHGYGSIKFSGETDVRRLDLESLVQFNNREVIVYLDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTRQQYTEGPRVEKYKNMLKRKAEEQGAEFLSYDPITGEWRFKVNHFSTYKLEDGDDDGEDKKHL >KJB39546 pep chromosome:Graimondii2_0_v6:7:1385264:1389824:-1 gene:B456_007G018500 transcript:KJB39546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTSPFGQSSSSPFASQSVFGQTNNANSNPFAPKPSFGSITPFGSQTGGSIFGGTSTGVFGSAQSSSPFSSTTAFGASSSPAFGSSMPAFGSSSTPAFGSSASSFGGSSVFGQKPAFGFGSTTTQSSPFGSTTQQSQPAFGSGIFGSSVPFGSSTPAFGATSTSAFGATSTPAFGATSAPAFGATSTPSFGATSAPAFGGTSTPAFGSMSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGGTFGASSTPAFGTSSTPAFGGSSTPAFGASSSPSFSFGSAFGQSTPAFGSFGATSTPAFGSTSTPAFGATSTPAFGSTSIPAFGATSTPAFGSTGSPAFGSSGTAFGMSNASVFGTEGTFGASSTPAFGTSSSPAFGASSTPSFSFGSSPTFGQSTPAFGSSPFGTTAFGAQSSPFGSQSSTPAFGSTSFGQSPFGGQRGGSRVAPYSSTTEADGGSGTQPAGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPNPASQPSAGIGFGVSTSPSNPFSTSSTFGQTSANPFSSTSTNPFSLKPPSFNSIGFTTSATTSNPFQSTSSSLFGQTSSMTTSIFSSSSTPTFGTGSSLFSSSVTPSFSTSLSIFGTSVTPATTPTFATGLNFSSSQTSPLFSSTPAIGQTGNAFGQVTSTFGQNTTNFGQTSIFNTPSTGFGGNMFSSSLSFAPSSSPAAFGSTTPPFSSPFQPAQTSGAFSFSNFGQSQPGGGSSIFGQSNIGLSYV >KJB39537 pep chromosome:Graimondii2_0_v6:7:1383145:1389463:-1 gene:B456_007G018500 transcript:KJB39537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTSPFGSSMPAFGSSSTPAFGSSASSFGGSSVFGQKPAFGFGSTTTQSSPFGSTTQQSQPAFGSGIFGSSVPFGSSTPAFGATSTSAFGATSTPAFGATSAPAFGATSTPSFGATSAPAFGGTSTPAFGSMSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGGTFGASSTPAFGTSSTPAFGGSSTPAFGASSSPSFSFGSAFGQSTPAFGSFGATSTPAFGSTSTPAFGATSTPAFGSTSIPAFGATSTPAFGSTGSPAFGSSGTAFGMSNASVFGTEGTFGASSTPAFGTSSSPAFGASSTPSFSFGSSPTFGQSTPAFGSSPFGTTAFGAQSSPFGSQSSTPAFGSTSFGQSPFGGQRGGSRVAPYSSTTEADGGSGTQPAGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPNPASQPSAGIGFGVSTSPSNPFSTSSTFGQTSANPFSSTSTNPFSLKPPSFNSIGFTTSATTSNPFQSTSSSLFGQTSSMTTSIFSSSSTPTFGTGSSLFSSSVTPSFSTSLSIFGTSVTPATTPTFATGLNFSSSQTSPLFSSTPAIGQTGNAFGQVTSTFGQNTTNFGQTSIFNTPSTGFGGNMFSSSLSFAPSSSPAAFGSTTPPFSSPFQPAQTSGAFSFSNFGQSQPGGGSSIFGQSNIGLSSSTQSAAVAQPSTIANPYGTLPAMPQISIGRTGAAPSVQYGISSMPVVDKPAPVRILPLLTSRYLSQRRIRLPTRKYHPNNDSPKIPFFSDDEETPCVPKAGAVFIPRENPRSLVIRPTKSWPSRASAEKASPLKDASTPPHENGKFSNDGSNAEDKDENPAENGLVKERIHIIRSNQKANGVHDDYSTEKDDLYMTISGHRAGEAAIVYEHGADIEALMPKLRRFDYFTEPRIQELAAKERAEPGYCRRVKDFVVGRHGYGSIKFSGETDVRRLDLESLVQFNNREVIVYLDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTRQQYTEGPRVEKYKNMLKRKAEEQGAEFLSYDPITGEWRFKVNHFSTYKLEDGDDDGEDKKHL >KJB39545 pep chromosome:Graimondii2_0_v6:7:1385462:1389463:-1 gene:B456_007G018500 transcript:KJB39545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTSPFGQSSSSPFASQSVFGQTNNANSNPFAPKPSFGSITPFGSQTGGSIFGGTSTGVFGSAQSSSPFSSTTAFGASSSPAFGSSMPAFGSSSTPAFGSSASSFGGSSVFGQKPAFGFGSTTTQSSPFGSTTQQSQPAFGSGIFGSSVPFGSSTPAFGATSTSAFGATSTPAFGATSAPAFGATSTPSFGATSAPAFGGTSTPAFGSMSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGGTFGASSTPAFGTSSTPAFGGSSTPAFGASSSPSFSFGSAFGQSTPAFGSFGATSTPAFGSTSTPAFGATSTPAFGSTSIPAFGATSTPAFGSTGSPAFGSSGTAFGMSNASVFGTEGTFGASSTPAFGTSSSPAFGASSTPSFSFGSSPTFGQSTPAFGSSPFGTTAFGAQSSPFGSQSSTPAFGSTSFGQSPFGGQRGGSRVAPYSSTTEADGGSGTQPAGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPNPASQPSAGIGFGVSTSPSNPFSTSSTFGQTSANPFSSTSTNPFSLKPPSFNSIGFTTSATTSNPFQSTSSSLFGQTSSMTTSIFSSSSTPTFGTGSSLFSSSVTPSFSTSLSIFGTSVTPATTPTFATGLNFSSSQTSPLFSSTPAIGQTGNAFGQVTSTFGQNTTNFGQTSIFNTPSTGFGGNMFSSSLSFAPSSSPAAFGSTTPPFSSPFQPAQTSGAFSFSNFGQSQPGSRCLIIQCLSFL >KJB39539 pep chromosome:Graimondii2_0_v6:7:1382755:1389824:-1 gene:B456_007G018500 transcript:KJB39539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTSPFGSSMPAFGSSSTPAFGSSASSFGGSSVFGQKPAFGFGSTTTQSSPFGSTTQQSQPAFGSGIFGSSVPFGSSTPAFGATSTSAFGATSTPAFGATSAPAFGATSTPSFGATSAPAFGGTSTPAFGSMSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGGTFGASSTPAFGTSSTPAFGGSSTPAFGASSSPSFSFGSAFGQSTPAFGSFGATSTPAFGSTSTPAFGATSTPAFGSTSIPAFGATSTPAFGSTGSPAFGSSGTAFGMSNASVFGTEGTFGASSTPAFGTSSSPAFGASSTPSFSFGSSPTFGQSTPAFGSSPFGTTAFGAQSSPFGSQSSTPAFGSTSFGQSPFGGQRGGSRVAPYSSTTEADGGSGTQPAGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPNPASQPSAGIGFGVSTSPSNPFSTSSTFGQTSANPFSSTSTNPFSLKPPSFNSIGFTTSATTSNPFQSTSSSLFGQTSSMTTSIFSSSSTPTFGTGSSLFSSSVTPSFSTSLSIFGTSVTPATTPTFATGLNFSSSQTSPLFSSTPAIGQTGNAFGQVTSTFGQNTTNFGQTSIFNTPSTGFGGNMFSSSLSFAPSSSPAAFGSTTPPFSSPFQPAQTSGAFSFSNFGQSQPGGGSSIFGQSNIGLSSSTQSAAVAQPSTIANPYGTLPAMPQISIGRTGAAPSVQYGISSMPVVDKPAPVRILPLLTSRYLSQRRIRLPTRKYHPNNDSPKIPFFSDDEETPCVPKAGAVFIPRENPRSLVIRPTKSWPSRASAEKASPLKDASTPPHENENPAENGLVKERIHIIRSNQKANGVHDDYSTEKDDLYMTISGHRAGEAAIVYEHGADIEALMPKLRRFDYFTEPRIQELAAKERAEPGYCRRVKDFVVGRHGYGSIKFSGETDVRRLDLESLVQFNNREVIVYLDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTRQQYTEGPRVEKYKNMLKRKAEEQGAEFLSYDPITGEWRFKVNHFSTYKLEDGDDDGEDKKHL >KJB39536 pep chromosome:Graimondii2_0_v6:7:1383145:1389463:-1 gene:B456_007G018500 transcript:KJB39536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTSPFGSSMPAFGSSSTPAFGSSASSFGGSSVFGQKPAFGFGSTTTQSSPFGSTTQQSQPAFGSGIFGSSVPFGSSTPAFGATSTSAFGATSTPAFGATSAPAFGATSTPSFGATSAPAFGGTSTPAFGSMSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGGTFGASSTPAFGTSSTPAFGGSSTPAFGASSSPSFSFGSAFGQSTPAFGSFGATSTPAFGSTSTPAFGATSTPAFGSTSIPAFGATSTPAFGSTGSPAFGSSGTAFGMSNASVFGTEGTFGASSTPAFGTSSSPAFGASSTPSFSFGSSPTFGQSTPAFGSSPFGTTAFGAQSSPFGSQSSTPAFGSTSFGQSPFGGQRGGSRVAPYSSTTEADGGSGTQPAGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPNPASQPSAGIGFGVSTSPSNPFSTSSTFGQTSANPFSSTSTNPFSLKPPSFNSIGFTTSATTSNPFQSTSSSLFGQTSSMTTSIFSSSSTPTFGTGSSLFSSSVTPSFSTSLSIFGTSVTPATTPTFATGLNFSSSQTSPLFSSTPAIGQTGNAFGQVTSTFGQNTTNFGQTSIFNTPSTGFGGNMFSSSLSFAPSSSPAAFGSTTPPFSSPFQPAQTSGAFSFSNFGQSQPGGGSSIFGQSNIGLSYVSSTQSAAVAQPSTIANPYGTLPAMPQISIGRTGAAPSVQYGISSMPVVDKPAPVRILPLLTSRYLSQRRIRLPTRKYHPNNDSPKIPFFSDDEETPCVPKAGAVFIPRENPRSLVIRPTKSWPSRASAEKASPLKDASTPPHENGKFSNDGSNAEDKDENPAENGLVKERIHIIRSNQKANGVHDDYSTEKDDLYMTISGHRAGEAAIVYEHGADIEALMPKLRRFDYFTEPRIQELAAKERAEPGYCRRVKDFVVGRHGYGSIKFSGETDVRRLDLESLVQFNNREVIVYLDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTRQQYTEGPRVEKYKNMLKRKAEEQGAEFLSYDPITGEWRFKVNHFSTYKLEDGDDDGEDKKHL >KJB39541 pep chromosome:Graimondii2_0_v6:7:1383145:1389463:-1 gene:B456_007G018500 transcript:KJB39541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTSPFGQSSSSPFASQSVFGQTNNANSNPFAPKPSFGSITPFGSQTGGSIFGGTSTGVFGSAQSSSPFSSTTAFGASSSPAFGSSMPAFGSSSTPAFGSSASSFGGSSVFGQKPAFGFGSTTTQSSPFGSTTQQSQPAFGSGIFGSSVPFGSSTPAFGATSTSAFGATSTPAFGATSAPAFGATSTPSFGATSAPAFGGTSTPAFGSMSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGGTFGASSTPAFGTSSTPAFGGSSTPAFGASSSPSFSFGSAFGQSTPAFGSFGATSTPAFGSTSTPAFGATSTPAFGSTSIPAFGATSTPAFGSTGSPAFGSSGTAFGMSNASVFGTEGTFGASSTPAFGTSSSPAFGASSTPSFSFGSSPTFGQSTPAFGSSPFGTTAFGAQSSPFGSQSSTPAFGSTSFGQSPFGGQRGGSRVAPYSSTTEADGGSGTQPAGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPNPASQPSAGIGFGVSTSPSNPFSTSSTFGQTSANPFSSTSTNPFSLKPPSFNSIGFTTSATTSNPFQSTSSSLFGQTSSMTTSIFSSSSTPTFGTGSSLFSSSVTPSFSTSLSIFGTSVTPATTPTFATGLNFSSSQTSPLFSSTPAIGQTGNAFGQVTSTFGQNTTNFGQTSIFNTPSTGFGGNMFSSSLSFAPSSSPAAFGSTTPPFSSPFQPAQTSGAFSFSNFGQSQPGGGSSIFGQSNIGLSYVSSTQSAAVAQPSTIANPYGTLPAMPQISIGRTGAAPSVQYGISSMPVVDKPAPVRILPLLTSRYLSQRRIRLPTRKYHPNNDSPKIPFFSDDEETPCVPKAGAVFIPRENPRSLVIRPTKSWPSRASAEKASPLKDASTPPHENGKFSNDGSNAEDKDENPAENGLVKERIHIIRSNQKANGVHDDYSTEKDDLYMTISGHRAGEAAIVYEHGADIEALMPKLRRFDYFTEPRIQELAAKERAEPGYCRRVKDFVVGRHGYGSIKFSGETDVRRLDLESLVQFNNREVIVYLDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTRQQYTEGPRVEKYKNMLKRKAEEQGAEFLSYDPITGEWRFKVNHFSTYKLEDGDDDGEDKKHL >KJB39544 pep chromosome:Graimondii2_0_v6:7:1382842:1389824:-1 gene:B456_007G018500 transcript:KJB39544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTSPFGQSSSSPFASQSVFGQTNNANSNPFAPKPSFGSITPFGSQTGGSIFGGTSTGVFGSAQSSSPFSSTTAFGASSSPAFGSSMPAFGSSSTPAFGSSASSFGGSSVFGQKPAFGFGSTTTQSSPFGSTTQQSQPAFGSGIFGSSVPFGSSTPAFGATSTSAFGATSTPAFGATSAPAFGATSTPSFGATSAPAFGGTSTPAFGSMSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGGTFGASSTPAFGTSSTPAFGGSSTPAFGASSSPSFSFGSAFGQSTPAFGSFGATSTPAFGSTSTPAFGATSTPAFGSTSIPAFGATSTPAFGSTGSPAFGSSGTAFGMSNASVFGTEGTFGASSTPAFGTSSSPAFGASSTPSFSFGSSPTFGQSTPAFGSSPFGTTAFGAQSSPFGSQSSTPAFGSTSFGQSPFGGQRGGSRVAPYSSTTEADGGSGTQPAGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPNPASQPSAGIGFGVSTSPSNPFSTSSTFGQTSANPFSSTSTNPFSLKPPSFNSIGFTTSATTSNPFQSTSSSLFGQTSSMTTSIFSSSSTPTFGTGSSLFSSSVTPSFSTSLSIFGTSVTPATTPTFATGLNFSSSQTSPLFSSTPAIGQTGNAFGQVTSTFGQNTTNFGQTSIFNTPSTGFGGNMFSSSLSFAPSSSPAAFGSTTPPFSSPFQPAQTSGAFSFSNFGQSQPGGGSSIFGQSNIGLSSSTQSAAVAQPSTIANPYGTLPAMPQISIGRTGAAPSVQYGISSMPVVDKPAPVRILPLLTSRYLSQRRIRLPTRKYHPNNDSPKIPFFSDDEETPCVPKAGAVFIPRENPRSLVIRPTKSWPSRASAEKASPLKDASTPPHENGKQHFNLGKFSNDGSNAEDKDENPAENGLVKERIHIIRSNQKANGVHDDYSTEKDDLYMTISGHRAGEAAIVYEHGADIEALMPKLRRFDYFTEPRIQELAAKERAEPGYCRRVKDFVVGRHGYGSIKFSGETDVRRLDLESLVQFNNREVIVYLDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTRQQYTEGPRVEKYKNMLKRKAEEQGAEFLSYDPITGEWRFKVNHFSTYKLEDGDDDGEDKKHL >KJB39535 pep chromosome:Graimondii2_0_v6:7:1382755:1389824:-1 gene:B456_007G018500 transcript:KJB39535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTSPFGSSMPAFGSSSTPAFGSSASSFGGSSVFGQKPAFGFGSTTTQSSPFGSTTQQSQPAFGSGIFGSSVPFGSSTPAFGATSTSAFGATSTPAFGATSAPAFGATSTPSFGATSAPAFGGTSTPAFGSMSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGGTFGASSTPAFGTSSTPAFGGSSTPAFGASSSPSFSFGSAFGQSTPAFGSFGATSTPAFGSTSTPAFGATSTPAFGSTSIPAFGATSTPAFGSTGSPAFGSSGTAFGMSNASVFGTEGTFGASSTPAFGTSSSPAFGASSTPSFSFGSSPTFGQSTPAFGSSPFGTTAFGAQSSPFGSQSSTPAFGSTSFGQSPFGGQRGGSRVAPYSSTTEADGGSGTQPAGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPNPASQPSAGIGFGVSTSPSNPFSTSSTFGQTSANPFSSTSTNPFSLKPPSFNSIGFTTSATTSNPFQSTSSSLFGQTSSMTTSIFSSSSTPTFGTGSSLFSSSVTPSFSTSLSIFGTSVTPATTPTFATGLNFSSSQTSPLFSSTPAIGQTGNAFGQVTSTFGQNTTNFGQTSIFNTPSTGFGGNMFSSSLSFAPSSSPAAFGSTTPPFSSPFQPAQTSGAFSFSNFGQSQPGGGSSIFGQSNIGLSYVSSTQSAAVAQPSTIANPYGTLPAMPQISIGRTGAAPSVQYGISSMPVVDKPAPVRILPLLTSRYLSQRRIRLPTRKYHPNNDSPKIPFFSDDEETPCVPKAGAVFIPRENPRSLVIRPTKSWPSRASAEKASPLKDASTPPHENENPAENGLVKERIHIIRSNQKANGVHDDYSTEKDDLYMTISGHRAGEAAIVYEHGADIEALMPKLRRFDYFTEPRIQELAAKERAEPGYCRRVKDFVVGRHGYGSIKFSGETDVRRLDLESLVQFNNREVIVYLDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTRQQYTEGPRVEKYKNMLKRKAEEQGAEFLSYDPITGEWRFKVNHFSTYKLEDGDDDGEDKKHL >KJB39542 pep chromosome:Graimondii2_0_v6:7:1382755:1390112:-1 gene:B456_007G018500 transcript:KJB39542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTSPFGQSSSSPFASQSVFGQTNNANSNPFAPKPSFGSITPFGSQTGGSIFGGTSTGVFGSAQSSSPFSSTTAFGASSSPAFGSSMPAFGSSSTPAFGSSASSFGGSSVFGQKPAFGFGSTTTQSSPFGSTTQQSQPAFGSGIFGSSVPFGSSTPAFGATSTSAFGATSTPAFGATSAPAFGATSTPSFGATSAPAFGGTSTPAFGSMSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGGTFGASSTPAFGTSSTPAFGGSSTPAFGASSSPSFSFGSAFGQSTPAFGSFGATSTPAFGSTSTPAFGATSTPAFGSTSIPAFGATSTPAFGSTGSPAFGSSGTAFGMSNASVFGTEGTFGASSTPAFGTSSSPAFGASSTPSFSFGSSPTFGQSTPAFGSSPFGTTAFGAQSSPFGSQSSTPAFGSTSFGQSPFGGQRGGSRVAPYSSTTEADGGSGTQPAGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPNPASQPSAGIGFGVSTSPSNPFSTSSTFGQTSANPFSSTSTNPFSLKPPSFNSIGFTTSATTSNPFQSTSSSLFGQTSSMTTSIFSSSSTPTFGTGSSLFSSSVTPSFSTSLSIFGTSVTPATTPTFATGLNFSSSQTSPLFSSTPAIGQTGNAFGQVTSTFGQNTTNFGQTSIFNTPSTGFGGNMFSSSLSFAPSSSPAAFGSTTPPFSSPFQPAQTSGAFSFSNFGQSQPGGGSSIFGQSNIGLSSSTQSAAVAQPSTIANPYGTLPAMPQISIGRTGAAPSVQYGISSMPVVDKPAPVRILPLLTSRYLSQRRIRLPTRKYHPNNDSPKIPFFSDDEETPCVPKAGAVFIPRENPRSLVIRPTKSWPSRASAEKASPLKDASTPPHENENPAENGLVKERIHIIRSNQKANGVHDDYSTEKDDLYMTISGHRAGEAAIVYEHGADIEALMPKLRRFDYFTEPRIQELAAKERAEPGYCRRVKDFVVGRHGYGSIKFSGETDVRRLDLESLVQFNNREVIVYLDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTRQQYTEGPRVEKYKNMLKRKAEEQGAEFLSYDPITGEWRFKVNHFSTYKLEDGDDDGEDKKHL >KJB39540 pep chromosome:Graimondii2_0_v6:7:1383145:1389463:-1 gene:B456_007G018500 transcript:KJB39540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTSPFGQSSSSPFASQSVFGQTNNANSNPFAPKPSFGSITPFGSQTGGSIFGGTSTGVFGSAQSSSPFSSTTAFGASSSPAFGSSMPAFGSSSTPAFGSSASSFGGSSVFGQKPAFGFGSTTTQSSPFGSTTQQSQPAFGSGIFGSSVPFGSSTPAFGATSTSAFGATSTPAFGATSAPAFGATSTPSFGATSAPAFGGTSTPAFGSMSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGGTFGASSTPAFGTSSTPAFGGSSTPAFGASSSPSFSFGSAFGQSTPAFGSFGATSTPAFGSTSTPAFGATSTPAFGSTSIPAFGATSTPAFGSTGSPAFGSSGTAFGMSNASVFGTEGTFGASSTPAFGTSSSPAFGASSTPSFSFGSSPTFGQSTPAFGSSPFGTTAFGAQSSPFGSQSSTPAFGSTSFGQSPFGGQRGGSRVAPYSSTTEADGGSGTQPAGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPNPASQPSAGIGFGVSTSPSNPFSTSSTFGQTSANPFSSTSTNPFSLKPPSFNSIGFTTSATTSNPFQSTSSSLFGQTSSMTTSIFSSSSTPTFGTGSSLFSSSVTPSFSTSLSIFGTSVTPATTPTFATGLNFSSSQTSPLFSSTPAIGQTGNAFGQVTSTFGQNTTNFGQTSIFNTPSTGFGGNMFSSSLSFAPSSSPAAFGSTTPPFSSPFQPAQTSGAFSFSNFGQSQPGGGSSIFGQSNIGLSSSTQSAAVAQPSTIANPYGTLPAMPQISIGRTGAAPSVQYGISSMPVVDKPAPVRILPLLTSRYLSQRRIRLPTRKYHPNNDSPKIPFFSDDEETPCVPKAGAVFIPRENPRSLVIRPTKSWPSRASAEKASPLKDASTPPHENGKFSNDGSNAEDKDENPAENGLVKERIHIIRSNQKANGVHDDYSTEKDDLYMTISGHRAGEAAIVYEHGADIEALMPKLRRFDYFTEPRIQELAAKERAEPGYCRRVKDFVVGRHGYGSIKFSGETDVRRLDLESLVQFNNREVIVYLDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTRQQYTEGPRVEKYKNMLKRKAEEQGAEFLSYDPITGEWRFKVNHFSTYKLEDGDDDGEDKKHL >KJB40820 pep chromosome:Graimondii2_0_v6:7:5577697:5583344:1 gene:B456_007G078500 transcript:KJB40820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGHLFNNISLGGRGGTNPGQLKIYSGGILWKKQGGGKAVEVDKSDILGVTWMKVPRTNQLGVRIKDGLYYKFAGFRDQDVASLTNFFQNNCGITPEEKQLSVSGHNWGEVDLNGNMLTFVTGSKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPNANTQFVGDENHPPAQVFREKIMSVADVGTGVEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKFNQPHTFVVVTLDPPIRKGQTLYPHIVLQFETDYVVESTLSINEDLLNTKYKDRLEPSYKGLIHEVFTTIMRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFISSKGLKIMNLGDVRTADGVAEILQNDDDDAVDPHLERIKNEAGVDESDEEDEDFVIDKDDGGSPTDDSGEEESDASESGNEKEKPAKKDQRKEAAVAAAASSSKESKKKGRDGQDDGKKKKRKKKDPNAPKRAMTGFFYFSQAERENVKKSHPGIPFTEVSKILGDRWRKLPKEEKEPYEVKARVDKKRYDDEKNEMKGNNNNPQPMNIDSGNESD >KJB40822 pep chromosome:Graimondii2_0_v6:7:5577891:5582533:1 gene:B456_007G078500 transcript:KJB40822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGHLFNNISLGGRGGTNPGQLKIYSGGILWKKQGGGKAVEVDKSDILGVTWMKVPRTNQLGVRIKDGLYYKFAGFRDQDVASLTNFFQNNCGITPEEKQLSVSGHNWGEVDLNGNMLTFVTGSKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPNANTQFVGDENHPPAQVFREKIMSVADVGTGVEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKFNQPHTFVVVTLDPPIRKGQTLYPHIVLQFETDYVVESTLSINEDLLNTKYKDRLEPSYKGLIHEVFTTIMRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFISSKGLKIMNLGDVRTADGVAEILQNDDDDAVDPHLERIKNEAGVDESDEEDEDFVIDKDDGGSPTDDSGEEESDASESGNEKEKPAKKDQRKEAAVAAAASSSKESKKKGRDGQDDGKKKKRKKKDPNAPKRAMTGFFYFSQAEREVCLVYIHTHL >KJB40821 pep chromosome:Graimondii2_0_v6:7:5577812:5583088:1 gene:B456_007G078500 transcript:KJB40821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGHLFNNISLGGRGGTNPGQLKIYSGGILWKKQGGGKAVEVDKSDILGVTWMKVPRTNQLGVRIKDGLYYKFAGFRDQDVASLTNFFQNNCGITPEEKQLSVSGHNWGEVDLNGNMLTFVTGSKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPNANTQFVGDENHPPAQVFREKIMSVADVGTGVEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKFNQPHTFVVVTLDPPIRKGQTLYPHIVLQFETDYVVESTLSINEDLLNTKYKDRLEPSYKGLIHEVFTTIMRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFISSKGLKIMNLGDVRTADGVAEILQNDDDDAVDPHLERIKNEAGVDESDEEDEDFVIDKDDGGSPTDDSGEEESDASESGNEKEKPAKKDQRKEAAVAAAASSSKESKKKGRDGQDDGKKKKRKKKDPNAPKRAMTGFFYFSQAERENVKKSHPGIPFTEVSKILGDRWRKLPSK >KJB40823 pep chromosome:Graimondii2_0_v6:7:5579595:5583119:1 gene:B456_007G078500 transcript:KJB40823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFVTGSKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPNANTQFVGDENHPPAQVFREKIMSVADVGTGVEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKFNQPHTFVVVTLDPPIRKGQTLYPHIVLQFETDYVVESTLSINEDLLNTKYKDRLEPSYKGLIHEVFTTIMRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFISSKGLKIMNLGDVRTADGVAEILQNDDDDAVDPHLERIKNEAGVDESDEEDEDFVIDKDDGGSPTDDSGEEESDASESGNEKEKPAKKDQRKEAAVAAAASSSKESKKKGRDGQDDGKKKKRKKKDPNAPKRAMTGFFYFSQAERENVKKSHPGIPFTEVSKILGDRWRKLPKEEKEPYEVKARVDKKRYDDEKNEMKGNNNNPQPMNIDSGNESD >KJB38933 pep chromosome:Graimondii2_0_v6:7:7341930:7352152:-1 gene:B456_007G099500 transcript:KJB38933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHAPSCTSAVNNSAIGGASVRDTPRADSSSLPPNFSLNSRRQSQLAPYKLKCDKEHLNSRLGPPDFHPQTQNCPEETLTRENVQHGYKDTIDGLEDSKEISLTQVQAFTKPVVLKCRDAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLSKPGVFPEQRPCNEDFRKKWIEGLSLQHKPLCSLADQVPLGYKKRPLIEVLIRNNVPLLKATWFIKVTYLNQVRPGSAISSGAPDKTQLSRTELWTKDVIDYLQYLLDEFFSRNNSHSTQHGRDRLPQIHYAGSLQHRSDLAPAVIDGEEPSLHFKWWYVVRLLQWHHAEGLVLPSLIIDWVLNQLQEKDLLEILQLLLPIIYGVLETIILCQTYVRNLVGVAIRFIREPSPGGSDLVDNSRRAYTISALVEMLRYLIQATPDTFVALDCFPLPTCVLSHTPSDGGFLSKPSDDAAKKNYTADAYAVRGKGFDSQYQSLSFNHVVSTVEKRADNLAKGATAGYPSQSVAKAVQTLDKALLQGDVIDAYKHIFNDLYDGAVGEGWVAEVSPSLRSSLKWLQTVNLSLICSVFFLCEWATCDYRDFRTAPPSDVKFTGSKDFSQIYLAIQLLKLKMKELQKKLKKGRASRKNTSQQNSYSSKDLLGDTHEAKSNGKGLNGRRRNFSDIFDSPGPLHDIIVCWIDQHEGHKGEGSKRLQLFMLELIRSGIFYPQAYVRQLLVSGIIDTNGPMADLNRRKRHQRILKQLPGQFMLNALEEARIAEGSELLEAINVYSNERLLVLQELFFDSYNNTNNSHALAKKLNCRPTLGRDVDSQVSCDKRRTVQASKTFRREVGLEELKASISVLLQFPSSSFCSADSGEDESQGSIKRSIGSTHSKMDSVEGTPGCEDCKRVKRLKLSEDETSCLKVYSPIPSDDDDTWWVRKGPKNLEGSKVDPLLKSTKQASRGRQKPVRKTQSLAQLAAARIEDSQGASTSHVCDNKISCPHHRTEVENLKPVDGIRTTHHADIISIGRGLKQLRFVEKRVVTIWLLSVVRQLVEESEKSVPKASQYGRPFVAADEKSPLRWKLGEDELSAILYLLDVSCDSASAVKFLLWLLPKAISNPSPAIHGGRNILMVPRNVENYACEVGEAYLLSSLRRYENILIAADLVPEALSATMRHVASFMATNGRITGSGALVFACYLLRRYGSIASVIEWEKNFKETCDKRLLSELESGRTEGEFGFPHGVPAGTEDPDDYCRQRINVGRLSRAGVSMRDMVQRRIDDVLHYILGKERKHFAANTQRSPATEKGDDDYQVAQQIIMGLMDCFRQTGGAFQEGDPGLVSSAVSAIVSNVGPTLAKIPDFTSGSTYSNYQPPMNYLNFAKRLLHIHLICLCLLKEALGERKSQAFEIALATEAFSALAVAFAPAKSSRGQLLSPDSLDSHANISNDNSHSSAKATLGRTTKMAAAVSALVIGAIIHGVISLERMVSVLRLKEGLDVVQFVRSTKTSSNGNARSVGAFKVDNSVEVYVHLFRLFVGNCRTVCDGLVLELLGEQSVLALSRMQRLLPINLVFPPAYAIFAFMIWKPFILNSNTARSEDIQQLYQSLTVAIGDAIKHRPFRDVCMRDTRGFYDIVAADTTDAEFAAMLELNGLDMHLKSMAFVPLRARLFLNAIIDCKMPNSAFTQDDGNRVSGHSESKALRAETSKLLDKLVCALDTIQPAKFHWQWVELRLLLNEQALIDKIENHDMSIVDAIRSSSPSSERATPSESEKVFIEIILTRLLVRPDAAPLFSEVVHLFGRSLEDSMLMQAKWFLGGLDVLLGRKTVRQRLSNIAENKNLSTKSQFWKPWGWSYSGVDPVTNSGEKRKSEVTSLEEGEVIEEGMESKGCVKGSTQVDIEGSGINQQHVTEKAFIELVIPCIDQSSADSHNTFASDLIKQFNTIEQQINSVTRGVSKQTGTASSGIEGPTNKINNRKGIRGGSPGLAKRTPAPVESAPPPSPAALRASMSLRLQFIVRLLPIICADGEPSARSMRNMLASVILRLLGSRVVHEDVDLSSNLAQLKRDMELMPVVASTEMSGDSLFDRLLLVLHGLLSSCKPSWLKSKDAKDFSGFDREAVEGLQVKENNQFSSSYKL >KJB38927 pep chromosome:Graimondii2_0_v6:7:7340307:7352152:-1 gene:B456_007G099500 transcript:KJB38927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHAPSCTSAVNNSAIGGASVRDTPRADSSSLPPNFSLNSRRQSQLAPYKLKCDKEHLNSRLGPPDFHPQTQNCPEETLTRENVQHGYKDTIDGLEDSKEISLTQVQAFTKPVVLKCRDAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLSKPGVFPEQRPCNEDFRKKWIEGLSLQHKPLCSLADQVPLGYKKRPLIEVLIRNNVPLLKATWFIKVTYLNQVRPGSAISSGAPDKTQLSRTELWTKDVIDYLQYLLDEFFSRNNSHSTQHGRDRLPQIHYAGSLQHRSDLAPAVIDGEEPSLHFKWWYVVRLLQWHHAEGLVLPSLIIDWVLNQLQEKDLLEILQLLLPIIYGVLETIILCQTYVRNLVGVAIRFIREPSPGGSDLVDNSRRAYTISALVEMLRYLIQATPDTFVALDCFPLPTCVLSHTPSDGGFLSKPSDDAAKKNYTADAYAVRGKGFDSQYQSLSFNHVVSTVEKRADNLAKGATAGYPSQSVAKAVQTLDKALLQGDVIDAYKHIFNDLYDGAVGEGWVAEVSPSLRSSLKWLQTVNLSLICSVFFLCEWATCDYRDFRTAPPSDVKFTGSKDFSQIYLAIQLLKLKMKELQKKLKKGRASRKNTSQQNSYSSKDLLGDTHEAKSNGKGLNGRRRNFSDIFDSPGPLHDIIVCWIDQHEGHKGEGSKRLQLFMLELIRSGIFYPQAYVRQLLVSGIIDTNGPMADLNRRKRHQRILKQLPGQFMLNALEEARIAEGSELLEAINVYSNERLLVLQELFFDSYNNTNNSHALAKKLNCRPTLGRDVDSQVSCDKRRTVQASKTFRREVGLEELKASISVLLQFPSSSFCSADSGEDESQGSIKRSIGSTHSKMDSVEGTPGCEDCKRVKRLKLSEDETSCLKVYSPIPSDDDDTWWVRKGPKNLEGSKVDPLLKSTKQASRGRQKPVRKTQSLAQLAAARIEDSQGASTSHVCDNKISCPHHRTEVENLKPVDGIRTTHHADIISIGRGLKQLRFVEKRVVTIWLLSVVRQLVEESEKSVPKASQYGRPFVAADEKSPLRWKLGEDELSAILYLLDVSCDSASAVKFLLWLLPKAISNPSPAIHGGRNILMVPRNVENYACEVGEAYLLSSLRRYENILIAADLVPEALSATMRHVASFMATNGRITGSGALVFACYLLRRYGSIASVIEWEKNFKETCDKRLLSELESGRTEGEFGFPHGVPAGTEDPDDYCRQRINVGRLSRAGVSMRDMVQRRIDDVLHYILGKERKHFAANTQRSPATEKGDDDYQVAQQIIMGLMDCFRQTGGAFQEGDPGLVSSAVSAIVSNVGPTLAKIPDFTSGSTYSNYQPPMNYLNFAKRLLHIHLICLCLLKEALGERKSQAFEIALATEAFSALAVAFAPAKSSRGQLLSPDSLDSHANISNDNSHSSAKATLGRTTKMAAAVSALVIGAIIHGVISLERMVSVLRLKEGLDVVQFVRSTKTSSNGNARSVGAFKVDNSVEVYVHLFRLFVGNCRTVCDGLVLELLGEQSVLALSRMQRLLPINLVFPPAYAIFAFMIWKPFILNSNTARSEDIQQLYQSLTVAIGDAIKHRPFRDVCMRDTRGFYDIVAADTTDAEFAAMLELNGLDMHLKSMDDGNRVSGHSESKALRAETSKLLDKLVCALDTIQPAKFHWQWVELRLLLNEQALIDKIENHDMSIVDAIRSSSPSSERATPSESEKVFIEIILTRLLVRPDAAPLFSEVVHLFGRSLEDSMLMQAKWFLGGLDVLLGRKTVRQRLSNIAENKNLSTKSQFWKPWGWSYSGVDPVTNSGEKRKSEVTSLEEGEVIEEGMESKGCVKGSTQVDIEGSGINQQHVTEKAFIELVIPCIDQSSADSHNTFASDLIKQFNTIEQQINSVTRGVSKQTGTASSGIEGPTNKINNRKGIRGGSPGLAKRTPAPVESAPPPSPAALRASMSLRLQFIVRLLPIICADGEPSARSMRNMLASVILRLLGSRVVHEDVDLSSNLAQLKRDMELMPVVASTEMSGDSLFDRLLLVLHGLLSSCKPSWLKSKDAKDFSGFDREAVEGLQNELDSMQLPEMIRWRIQAAMPILFPSFHNTVSCQPPSVPIGALSLLQPSICVPGSYTGTINPSQRQVASARNANNMPGKSKSVLSQENDMEIDPWTLLEYGAGSGPSSSSTAAIGGSDNANLRASSWLKGAVRVRRTDPSYIGAVDDDS >KJB38926 pep chromosome:Graimondii2_0_v6:7:7340976:7352152:-1 gene:B456_007G099500 transcript:KJB38926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHAPSCTSAVNNSAIGGASVRDTPRADSSSLPPNFSLNSRRQSQLAPYKLKCDKEHLNSRLGPPDFHPQTQNCPEETLTRENVQHGYKDTIDGLEDSKEISLTQVQAFTKPVVLKCRDAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLSKPGVFPEQRPCNEDFRKKWIEGLSLQHKPLCSLADQVPLGYKKRPLIEVLIRNNVPLLKATWFIKVTYLNQVRPGSAISSGAPDKTQLSRTELWTKDVIDYLQYLLDEFFSRNNSHSTQHGRDRLPQIHYAGSLQHRSDLAPAVIDGEEPSLHFKWWYVVRLLQWHHAEGLVLPSLIIDWVLNQLQEKDLLEILQLLLPIIYGVLETIILCQTYVRNLVGVAIRFIREPSPGGSDLVDNSRRAYTISALVEMLRYLIQATPDTFVALDCFPLPTCVLSHTPSDGGFLSKPSDDAAKKNYTADAYAVRGKGFDSQYQSLSFNHVVSTVEKRADNLAKGATAGYPSQSVAKAVQTLDKALLQGDVIDAYKHIFNDLYDGAVGEGWVAEVSPSLRSSLKWLQTVNLSLICSVFFLCEWATCDYRDFRTAPPSDVKFTGSKDFSQIYLAIQLLKLKMKELQKKLKKGRASRKNTSQQNSYSSKDLLGDTHEAKSNGKGLNGRRRNFSDIFDSPGPLHDIIVCWIDQHEGHKGEGSKRLQLFMLELIRSGIFYPQAYVRQLLVSGIIDTNGPMADLNRRKRHQRILKQLPGQFMLNALEEARIAEGSELLEAINVYSNERLLVLQELFFDSYNNTNNSHALAKKLNCRPTLGRDVDSQVSCDKRRTVQASKTFRREVGLEELKASISVLLQFPSSSFCSADSGEDESQGSIKRSIGSTHSKMDSVEGTPGCEDCKRVKRLKLSEDETSCLKVYSPIPSDDDDTWWVRKGPKNLEGSKVDPLLKSTKQASRGRQKPVRKTQSLAQLAAARIEDSQGASTSHVCDNKISCPHHRTEVENLKPVDGIRTTHHADIISIGRGLKQLRFVEKRVVTIWLLSVVRQLVEESEKSVPKASQYGRPFVAADEKSPLRWKLGEDELSAILYLLDVSCDSASAVKFLLWLLPKAISNPSPAIHGGRNILMVPRNVENYACEVGEAYLLSSLRRYENILIAADLVPEALSATMRHVASFMATNGRITGSGALVFACYLLRRYGSIASVIEWEKNFKETCDKRLLSELESGRTEGEFGFPHGVPAGTEDPDDYCRQRINVGRLSRAGVSMRDMVQRRIDDVLHYILGKERKHFAANTQRSPATEKGDDDYQVAQQIIMGLMDCFRQTGGAFQEGDPGLVSSAVSAIVSNVGPTLAKIPDFTSGSTYSNYQPPMNYLNFAKRLLHIHLICLCLLKEALGERKSQAFEIALATEAFSALAVAFAPAKSSRGQLLSPDSLDSHANISNDNSHSSAKATLGRTTKMAAAVSALVIGAIIHGVISLERMVSVLRLKEGLDVVQFVRSTKTSSNGNARSVGAFKVDNSVEVYVHLFRLFVGNCRTVCDGLVLELLGEQSVLALSRMQRLLPINLVFPPAYAIFAFMIWKPFILNSNTARSEDIQQLYQSLTVAIGDAIKHRPFRDVCMRDTRGFYDIVAADTTDAEFAAMLELNGLDMHLKSMDDGNRVSGHSESKALRAETSKLLDKLVCALDTIQPAKFHWQWVELRLLLNEQALIDKIENHDMSIVDAIRSSSPSSERATPSESEKVFIEIILTRLLVRPDAAPLFSEVVHLFGRSLEDSMLMQAKWFLGGLDVLLGRKTVRQRLSNIAENKNLSTKSQFWKPWGWSYSGVDPVTNSGEKRKSEVTSLEEGEVIEEGMESKGCVKGSTQVDIEGSGINQQHVTEKAFIELVIPCIDQSSADSHNTFASDLIKQFNTIEQQINSVTRGVSKQTGTASSGIEGPTNKINNRKGIRGGSPGLAKRTPAPVESAPPPSPAALRASMSLRLQFIVRLLPIICADGEPSARSMRNMLASVILRLLGSRVVHEDVDLSSNLAQLKRDMELMPVVASTEMSGDSLFDRLLLVLHGLLSSCKPSWLKSKDAKDFSGFDREAVEGLQNELDSMQLPEMIRWRIQAAMPILFPSFHNTVSCQPPSVPIGALSLLQPSICVPGSYTGTINPSQRQVASARNANNMPGKSKSVLSQENDMEIDPWTLLEYGAGSGPSSSSTAAIGGSDNANLRASSWLKGAVRVRRTDPSYIGAVDDDS >KJB38931 pep chromosome:Graimondii2_0_v6:7:7340690:7350368:-1 gene:B456_007G099500 transcript:KJB38931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYLIQATPDTFVALDCFPLPTCVLSHTPSDGGFLSKPSDDAAKKNYTADAYAVRGKGFDSQYQSLSFNHVVSTVEKRADNLAKGATAGYPSQSVAKAVQTLDKALLQGDVIDAYKHIFNDLYDGAVGEGWVAEVSPSLRSSLKWLQTVNLSLICSVFFLCEWATCDYRDFRTAPPSDVKFTGSKDFSQIYLAIQLLKLKMKELQKKLKKGRASRKNTSQQNSYSSKDLLGDTHEAKSNGKGLNGRRRNFSDIFDSPGPLHDIIVCWIDQHEGHKGEGSKRLQLFMLELIRSGIFYPQAYVRQLLVSGIIDTNGPMADLNRRKRHQRILKQLPGQFMLNALEEARIAEGSELLEAINVYSNERLLVLQELFFDSYNNTNNSHALAKKLNCRPTLGRDVDSQVSCDKRRTVQASKTFRREVGLEELKASISVLLQFPSSSFCSADSGEDESQGSIKRSIGSTHSKMDSVEGTPGCEDCKRVKRLKLSEDETSCLKVYSPIPSDDDDTWWVRKGPKNLEGSKVDPLLKSTKQASRGRQKPVRKTQSLAQLAAARIEDSQGASTSHVCDNKISCPHHRTEVENLKPVDGIRTTHHADIISIGRGLKQLRFVEKRVVTIWLLSVVRQLVEESEKSVPKASQYGRPFVAADEKSPLRWKLGEDELSAILYLLDVSCDSASAVKFLLWLLPKAISNPSPAIHGGRNILMVPRNVENYACEVGEAYLLSSLRRYENILIAADLVPEALSATMRHVASFMATNGRITGSGALVFACYLLRRYGSIASVIEWEKNFKETCDKRLLSELESGRTEGEFGFPHGVPAGTEDPDDYCRQRINVGRLSRAGVSMRDMVQRRIDDVLHYILGKERKHFAANTQRSPATEKGDDDYQVAQQIIMGLMDCFRQTGGAFQEGDPGLVSSAVSAIVSNVGPTLAKIPDFTSGSTYSNYQPPMNYLNFAKRLLHIHLICLCLLKEALGERKSQAFEIALATEAFSALAVAFAPAKSSRGQLLSPDSLDSHANISNDNSHSSAKATLGRTTKMAAAVSALVIGAIIHGVISLERMVSVLRLKEGLDVVQFVRSTKTSSNGNARSVGAFKVDNSVEVYVHLFRLFVGNCRTVCDGLVLELLGEQSVLALSRMQRLLPINLVFPPAYAIFAFMIWKPFILNSNTARSEDIQQLYQSLTVAIGDAIKHRPFRDVCMRDTRGFYDIVAADTTDAEFAAMLELNGLDMHLKSMAFVPLRARLFLNAIIDCKMPNSAFTQDDGNRVSGHSESKALRAETSKLLDKLVCALDTIQPAKFHWQWVELRLLLNEQALIDKIENHDMSIVDAIRSSSPSSERATPSESEKVFIEIILTRLLVRPDAAPLFSEVVHLFGRSLEDSMLMQAKWFLGGLDVLLGRKTVRQRLSNIAENKNLSTKSQFWKPWGWSYSGVDPVTNSGEKRKSEVTSLEEGEVIEEGMESKGCVKGSTQVDIEGSGINQQHVTEKAFIELVIPCIDQSSADSHNTFASDLIKQFNTIEQQINSVTRGVSKQTGTASSGIEGPTNKINNRKGIRGGSPGLAKRTPAPVESAPPPSPAALRASMSLRLQFIVRLLPIICADGEPSARSMRNMLASVILRLLGSRVVHEDVDLSSNLAQLKRDMELMPVVASTEMSGDSLFDRLLLVLHGLLSSCKPSWLKSKDAKDFSGFDREAVEGLQNELDSMQLPEMIRWRIQAAMPILFPSFHNTVSCQPPSVPIGALSLLQPSICVPGSYTGTINPSQRQVASARNANNMPGKSKSVLSQENDMEIDPWTLLEYGAGSGPSSSSTAAIGGSDNANLRASSWLKGAVRVRRTDPSYIGAVDDDS >KJB38929 pep chromosome:Graimondii2_0_v6:7:7340647:7352152:-1 gene:B456_007G099500 transcript:KJB38929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHAPSCTSAVNNSAIGGASVRDTPRADSSSLPPNFSLNSRRQSQLAPYKLKCDKEHLNSRLGPPDFHPQTQNCPEETLTRENVQHGYKDTIDGLEDSKEISLTQVQAFTKPVVLKCRDAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLSKPGVFPEQRPCNEDFRKKWIEGLSLQHKPLCSLADQVPLGYKKRPLIEVLIRNNVPLLKATWFIKVTYLNQVRPGSAISSGAPDKTQLSRTELWTKDVIDYLQYLLDEFFSRNNSHSTQHGRDRLPQIHYAGSLQHRSDLAPAVIDGEEPSLHFKWWYVVRLLQWHHAEGLVLPSLIIDWVLNQLQEKDLLEILQLLLPIIYGVLETIILCQTYVRNLVGVAIRFIREPSPGGSDLVDNSRRAYTISALVEMLRYLIQATPDTFVALDCFPLPTCVLSHTPSDGGFLSKPSDDAAKKNYTADAYAVRGKGFDSQYQSLSFNHVVSTVEKRADNLAKGATAGYPSQSVAKAVQTLDKALLQGDVIDAYKHIFNDLYDGAVGEGWVAEVSPSLRSSLKWLQTVNLSLICSVFFLCEWATCDYRDFRTAPPSDVKFTGSKDFSQIYLAIQLLKLKMKELQKKLKKGRASRKNTSQQNSYSSKDLLGDTHEAKSNGKGLNGRRRNFSDIFDSPGPLHDIIVCWIDQHEGHKGEGSKRLQLFMLELIRSGIFYPQAYVRQLLVSGIIDTNGPMADLNRRKRHQRILKQLPGQFMLNALEEARIAEGSELLEAINVYSNERLLVLQELFFDSYNNTNNSHALAKKLNCRPTLGRDVDSQVSCDKRRTVQASKTFRREVGLEELKASISVLLQFPSSSFCSADSGEDESQGSIKRSIGSTHSKMDSVEGTPGCEDCKRVKRLKLSEDETSCLKVYSPIPSDDDDTWWVRKGPKNLEGSKVDPLLKSTKQASRGRQKPVRKTQSLAQLAAARIEDSQGASTSHVCDNKISCPHHRTEVENLKPVDGIRTTHHADIISIGRGLKQLRFVEKRVVTIWLLSVVRQLVEESEKSVPKASQYGRPFVAADEKSPLRWKLGEDELSAILYLLDVSCDSASAVKFLLWLLPKAISNPSPAIHGGRNILMVPRNVENYACEVGEAYLLSSLRRYENILIAADLVPEALSATMRHVASFMATNGRITGSGALVFACYLLRRYGSIASVIEWEKNFKETCDKRLLSELESGRTEGEFGFPHGVPAGTEDPDDYCRQRINVGRLSRAGVSMRDMVQRRIDDVLHYILGKERKHFAANTQRSPATEKGDDDYQVAQQIIMGLMDCFRQTGGAFQEGDPGLVSSAVSAIVSNVGPTLAKIPDFTSGSTYSNYQPPMNYLNFAKRLLHIHLICLCLLKEALGERKSQAFEIALATEAFSALAVAFAPAKSSRGQLLSPDSLDSHANISNDNSHSSAKATLGRTTKMAAAVSALVIGAIIHGVISLERMVSVLRLKEGLDVVQFVRSTKTSSNGNARSVGAFKVDNSVEVYVHLFRLFVGNCRTVCDGLVLELLGEQSVLALSRMQRLLPINLVFPPAYAIFAFMIWKPFILNSNTARSEDIQQLYQSLTVAIGDAIKHRPFRDVCMRDTRGFYDIVAADTTDAEFAAMLELNGLDMHLKSMAFVPLRARLFLNAIIDCKMPNSAFTQDDGNRVSGHSESKALRAETSKLLDKLVCALDTIQPAKFHWQWVELRLLLNEQALIDKIENHDMSIVDAIRSSSPSSERATPSESEKVFIEIILTRLLVRPDAAPLFSEVVHLFGRSLEDSMLMQAKWFLGGLDVLLGRKTVRQRLSNIAENKNLSTKSQFWKPWGWSYSGVDPVTNSGEKRKSEVTSLEEGEVIEEGMESKGCVKGSTQVDIEGSGINQQHVTEKAFIELVIPCIDQSSADSHNTFASDLIKQFNTIEQQINSVTRGVSKQTGTASSGIEGPTNKINNRKGIRGGSPGLAKRTPAPVESAPPPSPAALRASMSLRLQFIVRLLPIICADGEPSARSMRNMLASVILRLLGSRVVHEDVDLSSNLAQLKRDMELMPVVASTEMSGDSLFDRLLLVLHGLLSSCKPSWLKSKDAKDFSGFDREAVEGLQNELDSMQLPEMIRWRIQAAMPILFPSFHNTVSCQPPSVPIGALSLLQPSICVPGSYTGTINPSQRQVASARNANNMPGKSKSVLSQENDMEIDPWTLLEYGAGSGPSSSSTAAIGGSDNANLRASSWLKGAVRVRRTDPSYIGAVDDDS >KJB38925 pep chromosome:Graimondii2_0_v6:7:7339955:7352375:-1 gene:B456_007G099500 transcript:KJB38925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHAPSCTSAVNNSAIGGASVRDTPRADSSSLPPNFSLNSRRQSQLAPYKLKCDKEHLNSRLGPPDFHPQTQNCPEETLTRENVQHGYKDTIDGLEDSKEISLTQVQAFTKPVVLKCRDAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLSKPGVFPEQRPCNEDFRKKWIEGLSLQHKPLCSLADQVPLGYKKRPLIEVLIRNNVPLLKATWFIKVTYLNQVRPGSAISSGAPDKTQLSRTELWTKDVIDYLQYLLDEFFSRNNSHSTQHGRDRLPQIHYAGSLQHRSDLAPAVIDGEEPSLHFKWWYVVRLLQWHHAEGLVLPSLIIDWVLNQLQEKDLLEILQLLLPIIYGVLETIILCQTYVRNLVGVAIRFIREPSPGGSDLVDNSRRAYTISALVEMLRYLIQATPDTFVALDCFPLPTCVLSHTPSDGGFLSKPSDDAAKKNYTADAYAVRGKGFDSQYQSLSFNHVVSTVEKRADNLAKGATAGYPSQSVAKAVQTLDKALLQGDVIDAYKHIFNDLYDGAVGEGWVAEVSPSLRSSLKWLQTVNLSLICSVFFLCEWATCDYRDFRTAPPSDVKFTGSKDFSQIYLAIQLLKLKMKELQKKLKKGRASRKNTSQQNSYSSKDLLGDTHEAKSNGKGLNGRRRNFSDIFDSPGPLHDIIVCWIDQHEGHKGEGSKRLQLFMLELIRSGIFYPQAYVRQLLVSGIIDTNGPMADLNRRKRHQRILKQLPGQFMLNALEEARIAEGSELLEAINVYSNERLLVLQELFFDSYNNTNNSHALAKKLNCRPTLGRDVDSQVSCDKRRTVQASKTFRREVGLEELKASISVLLQFPSSSFCSADSGEDESQGSIKRSIGSTHSKMDSVEGTPGCEDCKRVKRLKLSEDETSCLKVYSPIPSDDDDTWWVRKGPKNLEGSKVDPLLKSTKQASRGRQKPVRKTQSLAQLAAARIEDSQGASTSHVCDNKISCPHHRTEVENLKPVDGIRTTHHADIISIGRGLKQLRFVEKRVVTIWLLSVVRQLVEESEKSVPKASQYGRPFVAADEKSPLRWKLGEDELSAILYLLDVSCDSASAVKFLLWLLPKAISNPSPAIHGGRNILMVPRNVENYACEVGEAYLLSSLRRYENILIAADLVPEALSATMRHVASFMATNGRITGSGALVFACYLLRRYGSIASVIEWEKNFKETCDKRLLSELESGRTEGEFGFPHGVPAGTEDPDDYCRQRINVGRLSRAGVSMRDMVQRRIDDVLHYILGKERKHFAANTQRSPATEKGDDDYQVAQQIIMGLMDCFRQTGGAFQEGDPGLVSSAVSAIVSNVGPTLAKIPDFTSGSTYSNYQPPMNYLNFAKRLLHIHLICLCLLKEALGERKSQAFEIALATEAFSALAVAFAPAKSSRGQLLSPDSLDSHANISNDNSHSSAKATLGRTTKMAAAVSALVIGAIIHGVISLERMVSVLRLKEGLDVVQFVRSTKTSSNGNARSVGAFKVDNSVEVYVHLFRLFVGNCRTVCDGLVLELLGEQSVLALSRMQRLLPINLVFPPAYAIFAFMIWKPFILNSNTARSEDIQQLYQSLTVAIGDAIKHRPFRDVCMRDTRGFYDIVAADTTDAEFAAMLELNGLDMHLKSMAFVPLRARLFLNAIIDCKMPNSAFTQDDGNRVSGHSESKALRAETSKLLDKLVCALDTIQPAKFHWQWVELRLLLNEQALIDKIENHDMSIVDAIRSSSPSSERATPSESEKVFIEIILTRLLVRPDAAPLFSEVVHLFGRSLEDSMLMQAKWFLGGLDVLLGRKTVRQRLSNIAENKNLSTKSQFWKPWGWSYSGVDPVTNSGEKRKSEVTSLEEGEVIEEGMESKGCVKGSTQVDIEGSGINQQHVTEKAFIELVIPCIDQSSADSHNTFASDLIKQFNTIEQQINSVTRGVSKQTGTASSGIEGPTNKINNRKGIRGGSPGLAKRTPAPVESAPPPSPAALRASMSLRLQFIVRLLPIICADGEPSARSMRNMLASVILRLLGSRVVHEDVDLSSNLAQLKRDMELMPVVASTEMSGDSLFDRLLLVLHGLLSSCKPSWLKSKDAKDFSGFDREAVEGLQNELDSMQLPEMIRWRIQAAMPILFPSFHNTVSCQPPSVPIGALSLLQPSICVPGSYTGTINPSQRQVASARNANNMPGKSKSVLSQENDMEIDPWTLLEYGAGSGPSSSSTAAIGGSDNANLRASSWLKGAVRVRRTDPSYIGAVDDDS >KJB38928 pep chromosome:Graimondii2_0_v6:7:7340373:7352152:-1 gene:B456_007G099500 transcript:KJB38928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHAPSCTSAVNNSAIGGASVRDTPRADSSSLPPNFSLNSRRQSQLAPYKLKCDKEHLNSRLGPPDFHPQTQNCPEETLTRENVQHGYKDTIDGLEDSKEISLTQVQAFTKPVVLKCRDAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLSKPGVFPEQRPCNEDFRKKWIEGLSLQHKPLCSLADQVPLGYKKRPLIEVLIRNNVPLLKATWFIKVTYLNQVRPGSAISSGAPDKTQLSRTELWTKDVIDYLQYLLDEFFSRNNSHSTQHGRDRLPQIHYAGSLQHRSDLAPAVIDGEEPSLHFKWWYVVRLLQWHHAEGLVLPSLIIDWVLNQLQEKDLLEILQLLLPIIYGVLETIILCQTYVRNLVGVAIRFIREPSPGGSDLVDNSRRAYTISALVEMLRYLIQATPDTFVALDCFPLPTCVLSHTPSDGGFLSKPSDDAAKKNYTADAYAVRGKGFDSQYQSLSFNHVVSTVEKRADNLAKGATAGYPSQSVAKAVQTLDKALLQGDVIDAYKHIFNDLYDGAVGEGWVAEVSPSLRSSLKWLQTVNLSLICSVFFLCEWATCDYRDFRTAPPSDVKFTGSKDFSQIYLAIQLLKLKMKELQKKLKKGRASRKNTSQQNSYSSKDLLGDTHEAKSNGKGLNGRRRNFSDIFDSPGPLHDIIVCWIDQHEGHKGEGSKRLQLFMLELIRSGIFYPQAYVRQLLVSGIIDTNGPMADLNRRKRHQRILKQLPGQFMLNALEEARIAEGSELLEAINVYSNERLLVLQELFFDSYNNTNNSHALAKKLNCRPTLGRDVDSQVSCDKRRTVQASKTFRREVGLEELKASISVLLQFPSSSFCSADSGEDESQGSIKRSIGSTHSKMDSVEGTPGCEDCKRVKRLKLSEDETSCLKVYSPIPSDDDDTWWVRKGPKNLEGSKVDPLLKSTKQASRGRQKPVRKTQSLAQLAAARIEDSQGASTSHVCDNKISCPHHRTEVENLKPVDGIRTTHHADIISIGRGLKQLRFVEKRVVTIWLLSVVRQLVEESEKSVPKASQYGRPFVAADEKSPLRWKLGEDELSAILYLLDVSCDSASAVKFLLWLLPKAISNPSPAIHGGRNILMVPRNVENYACEVGEAYLLSSLRRYENILIAADLVPEALSATMRHVASFMATNGRITGSGALVFACYLLRRYGSIASVIEWEKNFKETCDKRLLSELESGRTEGEFGFPHGVPAGTEDPDDYCRQRINVGRLSRAGVSMRDMVQRRIDDVLHYILGKERKHFAANTQRSPATEKGDDDYQVAQQIIMGLMDCFRQTGGAFQEGDPGLVSSAVSAIVSNVGPTLAKIPDFTSGSTYSNYQPPMNYLNFAKRLLHIHLICLCLLKEALGERKSQAFEIALATEAFSALAVAFAPAKSSRGQLLSPDSLDSHANISNDNSHSSAKATLGRTTKMAAAVSALVIGAIIHGVISLERMVSVLRLKEGLDVVQFVRSTKTSSNGNARSVGAFKVDNSVEVYVHLFRLFVGNCRTVCDGLVLELLGEQSVLALSRMQRLLPINLVFPPAYAIFAFMIWKPFILNSNTARSEDIQQLYQSLTVAIGDAIKHRPFRDVCMRDTRGFYDIVAADTTDAEFAAMLELNGLDMHLKSMAFVPLRARLFLNAIIDCKMPNSAFTQDDGNRVSGHSESKALRAETSKLLDKLVCALDTIQPAKFHWQWVELRLLLNEQALIDKIENHDMSIVDAIRSSSPSSERATPSESEKVFIEIILTRLLVRPDAAPLFSEVVHLFGRSLEDSMLMQAKWFLGGLDVLLGRKTVRQRLSNIAENKNLSTKSQFWKPWGWSYSGVDPVTNSGEKRKSEVTSLEEGEVIEEGMESKGCVKGSTQVDIEGSGINQQHVTEKAFIELVIPCIDQSSADSHNTFASDLIKQFNTIEQQINSVTRGVSKQTGTASSGIEGPTNKINNRKGIRGGSPGLAKRTPAPVESAPPPSPAALRASMSLREPSARSMRNMLASVILRLLGSRVVHEDVDLSSNLAQLKRDMELMPVVASTEMSGDSLFDRLLLVLHGLLSSCKPSWLKSKDAKDFSGFDREAVEGLQNELDSMQLPEMIRWRIQAAMPILFPSFHNTVSCQPPSVPIGALSLLQPSICVPGSYTGTINPSQRQVASARNANNMPGKSKSVLSQENDMEIDPWTLLEYGAGSGPSSSSTAAIGGSDNANLRASSWLKGAVRVRRTDPSYIGAVDDDS >KJB38930 pep chromosome:Graimondii2_0_v6:7:7340373:7353492:-1 gene:B456_007G099500 transcript:KJB38930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHAPSCTSAVNNSAIGGASVRDTPRADSSSLPPNFSLNSRRQSQLAPYKLKCDKEHLNSRLGPPDFHPQTQNCPEETLTRENVQHGYKDTIDGLEDSKEISLTQVQAFTKPVVLKCRDAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLSKPGVFPEQRPCNEDFRKKWIEGLSLQHKPLCSLADQVPLGYKKRPLIEVLIRNNVPLLKATWFIKVTYLNQVRPGSAISSGAPDKTQLSRTELWTKDVIDYLQYLLDEFFSRNNSHSTQHGRDRLPQIHYAGSLQHRSDLAPAVIDGEEPSLHFKWWYVVRLLQWHHAEGLVLPSLIIDWVLNQLQEKDLLEILQLLLPIIYGVLETIILCQTYVRNLVGVAIRFIREPSPGGSDLVDNSRRAYTISALVEMLRYLIQATPDTFVALDCFPLPTCVLSHTPSDGGFLSKPSDDAAKKNYTADAYAVRGKGFDSQYQSLSFNHVVSTVEKRADNLAKGATAGYPSQSVAKAVQTLDKALLQGDVIDAYKHIFNDLYDGAVGEGWVAEVSPSLRSSLKWLQTVNLSLICSVFFLCEWATCDYRDFRTAPPSDVKFTGSKDFSQIYLAIQLLKLKMKELQKKLKKGRASRKNTSQQNSYSSKDLLGDTHEAKSNGKGLNGRRRNFSDIFDSPGPLHDIIVCWIDQHEGHKGEGSKRLQLFMLELIRSGIFYPQAYVRQLLVSGIIDTNGPMADLNRRKRHQRILKQLPGQFMLNALEEARIAEGSELLEAINVYSNERLLVLQELFFDSYNNTNNSHALAKKLNCRPTLGRDVDSQVSCDKRRTVQASKTFRREVGLEELKASISVLLQFPSSSFCSADSGEDESQGSIKRSIGSTHSKMDSVEGTPGCEDCKRVKRLKLSEDETSCLKVYSPIPSDDDDTWWVRKGPKNLEGSKVDPLLKSTKQASRGRQKPVRKTQSLAQLAAARIEDSQGASTSHVCDNKISCPHHRTEVENLKPVDGIRTTHHADIISIGRGLKQLRFVEKRVVTIWLLSVVRQLVEESEKSVPKASQYGRPFVAADEKSPLRWKLGEDELSAILYLLDVSCDSASAVKFLLWLLPKAISNPSPAIHGGRNILMVPRNVENYACEVGEAYLLSSLRRYENILIAADLVPEALSATMRHVASFMATNGRITGSGALVFACYLLRRYGSIASVIEWEKNFKETCDKRLLSELESGRTEGEFGFPHGVPAGTEDPDDYCRQRINVGRLSRAGVSMRDMVQRRIDDVLHYILGKERKHFAANTQRSPATEKGDDDYQVAQQIIMGLMDCFRQTGGAFQEGDPGLVSSAVSAIVSNVGPTLAKIPDFTSGSTYSNYQPPMNYLNFAKRLLHIHLICLCLLKEALGERKSQAFEIALATEAFSALAVAFAPAKSSRGQLLSPDSLDSHANISNDNSHSSAKATLGRTTKMAAAVSALVIGAIIHGVISLERMVSVLRLKEGLDVVQFVRSTKTSSNGNARSVGAFKVDNSVEVYVHLFRLFVGNCRTVCDGLVLELLGEQSVLALSRMQRLLPINLVFPPAYAIFAFMIWKPFILNSNTARSEDIQQLYQSLTVAIGDAIKHRPFRDVCMRDTRGFYDIVAADTTDAEFAAMLELNGLDMHLKSMAFVPLRARLFLNAIIDCKMPNSAFTQDDGNRVSGHSESKALRAETSKLLDKLVCALDTIQPAKFHWQWVELRLLLNEQALIDKIENHDMSIVDAIRSSSPSSERATPSESEKVFIEIILTRLLVRPDAAPLFSEVVHLFGRSLEDSMLMQAKWFLGGLDVLLGRKTVRQRLSNIAENKNLSTKSQFWKPWGWSYSGVDPVTNSGEKRKSEVTSLEEGEVIEEGMESKGCVKGSTQVDIEGSGINQQHVTEKAFIELVIPCIDQSSADSHNTFASDLIKQFNTIEQQINSVTRGVSKQTGTASSGIEGPTNKINNRKGIRGGSPGLAKRTPAPVESAPPPSPAALRASMSLRLQFIVRLLPIICADGEPSARSMRNMLASVILRLLGSRVVHEDVDLSSNLAQLKRDMELMPVVASTEMSGDSLFDRLLLVLHGLLSSCKPSWLKSKDAKDFSGFDREAVEGLQNELDSMQLPEMIRWRIQAAMPILFPSFHNTVSCQPPSVPIGALSLLQPSICVPGSYTGTINPSQRQVASARNANNMPGKSKSVLSQENDMEIDPWTLLEYGAGSGPSSSSTAAIGGSDNANLRASSWLKGAVRVRRTDPSYIGAVDDDS >KJB38932 pep chromosome:Graimondii2_0_v6:7:7341387:7352152:-1 gene:B456_007G099500 transcript:KJB38932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHAPSCTSAVNNSAIGGASVRDTPRADSSSLPPNFSLNSRRQSQLAPYKLKCDKEHLNSRLGPPDFHPQTQNCPEETLTRENVQHGYKDTIDGLEDSKEISLTQVQAFTKPVVLKCRDAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLSKPGVFPEQRPCNEDFRKKWIEGLSLQHKPLCSLADQVPLGYKKRPLIEVLIRNNVPLLKATWFIKVTYLNQVRPGSAISSGAPDKTQLSRTELWTKDVIDYLQYLLDEFFSRNNSHSTQHGRDRLPQIHYAGSLQHRSDLAPAVIDGEEPSLHFKWWYVVRLLQWHHAEGLVLPSLIIDWVLNQLQEKDLLEILQLLLPIIYGVLETIILCQTYVRNLVGVAIRFIREPSPGGSDLVDNSRRAYTISALVEMLRYLIQATPDTFVALDCFPLPTCVLSHTPSDGGFLSKPSDDAAKKNYTADAYAVRGKGFDSQYQSLSFNHVVSTVEKRADNLAKGATAGYPSQSVAKAVQTLDKALLQGDVIDAYKHIFNDLYDGAVGEGWVAEVSPSLRSSLKWLQTVNLSLICSVFFLCEWATCDYRDFRTAPPSDVKFTGSKDFSQIYLAIQLLKLKMKELQKKLKKGRASRKNTSQQNSYSSKDLLGDTHEAKSNGKGLNGRRRNFSDIFDSPGPLHDIIVCWIDQHEGHKGEGSKRLQLFMLELIRSGIFYPQAYVRQLLVSGIIDTNGPMADLNRRKRHQRILKQLPGQFMLNALEEARIAEGSELLEAINVYSNERLLVLQELFFDSYNNTNNSHALAKKLNCRPTLGRDVDSQVSCDKRRTVQASKTFRREVGLEELKASISVLLQFPSSSFCSADSGEDESQGSIKRSIGSTHSKMDSVEGTPGCEDCKRVKRLKLSEDETSCLKVYSPIPSDDDDTWWVRKGPKNLEGSKVDPLLKSTKQASRGRQKPVRKTQSLAQLAAARIEDSQGASTSHVCDNKISCPHHRTEVENLKPVDGIRTTHHADIISIGRGLKQLRFVEKRVVTIWLLSVVRQLVEESEKSVPKASQYGRPFVAADEKSPLRWKLGEDELSAILYLLDVSCDSASAVKFLLWLLPKAISNPSPAIHGGRNILMVPRNVENYACEVGEAYLLSSLRRYENILIAADLVPEALSATMRHVASFMATNGRITGSGALVFACYLLRRYGSIASVIEWEKNFKETCDKRLLSELESGRTEGEFGFPHGVPAGTEDPDDYCRQRINVGRLSRAGVSMRDMVQRRIDDVLHYILGKERKHFAANTQRSPATEKGDDDYQVAQQIIMGLMDCFRQTGGAFQEGDPGLVSSAVSAIVSNVGPTLAKIPDFTSGSTYSNYQPPMNYLNFAKRLLHIHLICLCLLKEALGERKSQAFEIALATEAFSALAVAFAPAKSSRGQLLSPDSLDSHANISNDNSHSSAKATLGRTTKMAAAVSALVIGAIIHGVISLERMVSVLRLKEGLDVVQFVRSTKTSSNGNARSVGAFKVDNSVEVYVHLFRLFVGNCRTVCDGLVLELLGEQSVLALSRMQRLLPINLVFPPAYAIFAFMIWKPFILNSNTARSEDIQQLYQSLTVAIGDAIKHRPFRDVCMRDTRGFYDIVAADTTDAEFAAMLELNGLDMHLKSMDDGNRVSGHSESKALRAETSKLLDKLVCALDTIQPAKFHWQWVELRLLLNEQALIDKIENHDMSIVDAIRSSSPSSERATPSESEKVFIEIILTRLLVRPDAAPLFSEVVHLFGRSLEDSMLMQAKWFLGGLDVLLGRKTVRQRLSNIAENKNLSTKSQFWKPWGWSYSGVDPVTNSGEKRKSEVTSLEEGEVIEEGMESKGCVKGSTQVDIEGSGINQQHVTEKAFIELVIPCIDQSSADSHNTFASDLIKQFNTIEQQINSVTRGVSKQTGTASSGIEGPTNKINNRKGIRGGSPGLAKRTPAPVESAPPPSPAALRASMSLRLQFIVRLLPIICADGEPSARSMRNMLASVILRLLGSRVVHEDVDLSSNLAQLKRDMELMPVVASTEMSGDSLFDRLLLVLHGLLSSCKPSWLKSKDAKDFSGFDREAVEGLQNELDSMQLPEMIRWRIQAAMPILFPSFHNTVSCQPPSVPIGALSLLQPSICVPGSYTGTINPSQRQVASARNANNMPGKSKSVLSQENDMEIDPWTLLEYGAGSGPSSSSTAAIGGSDNANLRASSWLKGAVRVRRTDPSYIGAVDDDS >KJB40114 pep chromosome:Graimondii2_0_v6:7:3292490:3298520:-1 gene:B456_007G047400 transcript:KJB40114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPIPSRQLFINGDWAEPLLKKRIPIINPTTEEIIGDIPAATAEDVDVAVQAARSALTRNKGKDWAFASGAVRAKYLRAIAAKIKERKPVLAKLETVDCGKPLSESEADMDDVVGCFEYYADLAEGLDAKQKAPISLPMKTFKSYVIKEPIGVVALIVPWNYPLLMATWKVAPALAAGCAAILKPSELASATCLELGEVCREVDLPAGVLNILPGLGPEAGASLASHPHVDKISFTGSTVTAVKIMTAAAQRVKPVTLELGGKGPIVVFEDVDIDKAVEWTIFGCFYTNGQICSATSRLIVHESIAAEFLDRLVKWSENIKISDPLEEGCKLGPIVSRGQSEGATILYGGNRPKHLKKGFFVEPTIITDVTTSMQIWREEVFGPVLCVKTFTTEEEAIELANDTHYGLGGAVVSKDRERCDRVSKLLQNGAVWVNCSQPCFCQAPFGGTKWSGFGRELGEWGLESYLSVKQVTEYVSDEQWGWYQPPKQ >KJB40118 pep chromosome:Graimondii2_0_v6:7:3293558:3298496:-1 gene:B456_007G047400 transcript:KJB40118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPIPSRQLFINGDWAEPLLKKRIPIINPTTEEIIGDIPAATAEDVDVAVQAARSALTRNKGKDWAFASGAVRAKYLRAIAAKIKERKPVLAKLETVDCGKPLSESEADMDDVVGCFEYYADLAEGLDAKQKAPISLPMKTFKSYVIKEPIGVVALIVPWNYPLLMATWKVAPALAAGCAAILKPSELASATCLELGEVCREVDLPAGVLNILPGLGPEAGASLASHPHVDKISFTGSTVTAVKIMTAAAQRVKPVTLELGGKGPIVVFEDVDIDKAVEWTIFGCFYTNGQICSATSRLIVHESIAAEFLDRLVKWSENIKISDPLEEGCKLGPIVSRGQYEKVMNFISNAKSEGATILYGGNRPKHLKKGFFVEPTIITDVTTSMQIWREEVFGPVLCVKTFTTEEEAIELANDTQ >KJB40113 pep chromosome:Graimondii2_0_v6:7:3293269:3298496:-1 gene:B456_007G047400 transcript:KJB40113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPIPSRQLFINGDWAEPLLKKRIPIINPTTEEIIGDIPAATAEDVDVAVQAARSALTRNKGKDWAFASGAVRAKYLRAIAAKIKERKPVLAKLETVDCGKPLSESEADMDDVVGCFEYYADLAEGLDAKQKAPISLPMKTFKSYVIKEPIGVVALIVPWNYPLLMATWKVAPALAAGCAAILKPSELASATCLELGEVCREVDLPAGVLNILPGLGPEAGASLASHPHVDKISFTGSTVTAVKIMTAAAQRVKPVTLELGGKGPIVVFEDVDIDKAVEWTIFGCFYTNGQICSATSRLIVHESIAAEFLDRLVKWSENIKISDPLEEGCKLGPIVSRGQYEKVMNFISNAKSEGATILYGGNRPKHLKKGFFVEPTIITDVTTSMQIWREEVFGPVLCVKTFTTEEEAIELANDTHYGLGGAVVSKDRERCDRVSKVRSILEASSKHAT >KJB40110 pep chromosome:Graimondii2_0_v6:7:3292181:3298690:-1 gene:B456_007G047400 transcript:KJB40110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPIPSRQLFINGDWAEPLLKKRIPIINPTTEEIIGDIPAATAEDVDVAVQAARSALTRNKGKDWAFASGAVRAKYLRAIAAKIKERKPVLAKLETVDCGKPLSESEADMDDVVGCFEYYADLAEGLDAKQKAPISLPMKTFKSYVIKEPIGVVALIVPWNYPLLMATWKVAPALAAGCAAILKPSELASATCLELGEVCREVDLPAGVLNILPGLGPEAGASLASHPHVDKISFTGSTVTAVKIMTAAAQRVKPVTLELGGKGPIVVFEDVDIDKAVEWTIFGCFYTNGQICSATSRLIVHESIAAEFLDRLVKWSENIKISDPLEEGCKLGPIVSRGQYEKVMNFISNAKSEGATILYGGNRPKHLKKGFFVEPTIITDVTTSMQIWREEVFGPVLCVKTFTTEEEAIELANDTHYGLGGAVVSKDRERCDRVSKLLQNGAVWVNCSQPCFCQAPFGGTKWSGFGRELGEWGLESYLSVKQVTEYVSDEQWGWYQPPKQ >KJB40115 pep chromosome:Graimondii2_0_v6:7:3293790:3298496:-1 gene:B456_007G047400 transcript:KJB40115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPIPSRQLFINGDWAEPLLKKRIPIINPTTEEIIGDIPAATAEDVDVAVQAARSALTRNKGKDWAFASGAVRAKYLRAIAAKIKERKPVLAKLETVDCGKPLSESEADMDDVVGCFEYYADLAEGLDAKQKAPISLPMKTFKSYVIKEPIGVVALIVPWNYPLLMATWKVAPALAAGCAAILKPSELASATCLELGEVCREVDLPAGVLNILPGLGPEAGASLASHPHVDKISFTGSTVTAVKIMTAAAQRVKPVTLELGGKGPIVVFEDVDIDKAVEWTIFGCFYTNGQICSATSRLIVHESIAAEFLDRLVKWSENIKISDPLEEGCKLGPIVSRGQYEKVMNFISNAKSEGATILYGGNRPKV >KJB40111 pep chromosome:Graimondii2_0_v6:7:3292284:3298520:-1 gene:B456_007G047400 transcript:KJB40111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPIPSRQLFINGDWAEPLLKKRIPIINPTTEEIIGDIPAATAEDVDVAVQAARSALTRNKGKDWAFASGAVRAKYLRAIAAKIKERKPVLAKLETVDCGKPLSESEADMDDVVGCFEYYADLAEGLDAKQKAPISLPMKTFKSYVIKEPIGVVALIVPWNYPLLMATWKVAPALAAGCAAILKPSELASATCLELGEVCREVDLPAGVLNILPGLGPEAGASLASHPHVDKISFTGSTVTAVKIMTAAAQRVKPVTLELGGKGPIVVFEDVDIDKAVEWTIFGCFYTNGQICSATSRLIVHESIAAEFLDRLVKWSENIKISDPLEEGCKLGPIVSRGQYEKVMNFISNAKSEGATILYGGNRPKHLKKGFFVEPTIITDVTTSMQIWREEVFGPVLCVKTFTTEEEAIELANDTHYGLGGAVVSKDRERCDRVSKLLQNGAVWVNCSQPCFCQAPFGGTKWSGFGRELGEWGLESYLSVKQVTEYVSDEQWGWYQPPKQ >KJB40116 pep chromosome:Graimondii2_0_v6:7:3292490:3298520:-1 gene:B456_007G047400 transcript:KJB40116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPIPSRQLFINGDWAEPLLKKRIPIINPTTEEIIGDIPAATAEDVDVAVQAARSALTRNKGKDWAFASGAVRAKYLRAIAAKIKERKPVLAKLETVDCGKPLSESEADMDDVVGCFEYYADLAEGLDAKQKAPISLPMKTFKSYVIKEPIGVVALIVPWNYPLLMATWKVAPALAAGCAAILKPSELASATCLELGEVCREVDLPAGVLNILPGLGPEAGASLASHPHVDKISFTGSTVTAVKIMTAAAQRVKPVTLELGGKGPIVVFEDVDIDKAVEWTIFGCFYTNGQICSATSRLIVHESIAAEFLDRLVKWSENIKISDPLEEGCKLGPIVSRGQYEKVMNFISNAKSEGATILYGGNRPKLWFGRCCGIEGSRKM >KJB40112 pep chromosome:Graimondii2_0_v6:7:3292490:3295855:-1 gene:B456_007G047400 transcript:KJB40112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWKVAPALAAGCAAILKPSELASATCLELGEVCREVDLPAGVLNILPGLGPEAGASLASHPHVDKISFTGSTVTAVKIMTAAAQRVKPVTLELGGKGPIVVFEDVDIDKAVEWTIFGCFYTNGQICSATSRLIVHESIAAEFLDRLVKWSENIKISDPLEEGCKLGPIVSRGQYEKVMNFISNAKSEGATILYGGNRPKHLKKGFFVEPTIITDVTTSMQIWREEVFGPVLCVKTFTTEEEAIELANDTHYGLGGAVVSKDRERCDRVSKLLQNGAVWVNCSQPCFCQAPFGGTKWSGFGRELGEWGLESYLSVKQVTEYVSDEQWGWYQPPKQ >KJB40117 pep chromosome:Graimondii2_0_v6:7:3293558:3298496:-1 gene:B456_007G047400 transcript:KJB40117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPIPSRQLFINGDWAEPLLKKRIPIINPTTEEIIGDIPAATAEDVDVAVQAARSALTRNKGKDWAFASGAVRAKYLRAIAAKIKERKPVLAKLETVDCGKPLSESEADMDDVVGCFEYYADLAEGLDAKQKAPISLPMKTFKSYVIKEPIGVVALIVPWNYPLLMATWKVAPALAAGCAAILKPSELASATCLELGEVCREVDLPAGVLNILPGLGPEAGASLASHPHVDKISFTGSTVTAVKIMTAAAQRVKPVTLELGGKGPIVVFEDVDIDKAVEWTIFGCFYTNGQICSATSRLIVHESIAAEFLDRLVKWSENIKISDPLEEGCKLGPIVSRGQYEKVMNFISNAKSEGATILYGGNRPKHLKKGFFVEPTIITDVTTSMQIWREEVFGPVLCVKTFTTEEEAIELANDTQ >KJB42783 pep chromosome:Graimondii2_0_v6:7:16175899:16177650:-1 gene:B456_007G174800 transcript:KJB42783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATIPAKLCKRDSTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASKPNLFM >KJB42782 pep chromosome:Graimondii2_0_v6:7:16175888:16177666:-1 gene:B456_007G174800 transcript:KJB42782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFRFHQYQVVGRGLPTEADQHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATIPAKLCKRDSTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASKPNLFM >KJB45527 pep chromosome:Graimondii2_0_v6:7:52364523:52368728:1 gene:B456_007G310100 transcript:KJB45527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVGGGGRYMAFSPSPSAPHSPHLSGLRSAAAALLDQEKYLSELLAERYKLSPFMTVIPHTCRLLNQAEILRITTLLGNASVLGQNGLEQASPLASGGTFSNGGADMNRWMSQFQSEMPGLVQPSLAENWLNSQGGSSGLIVKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEANTECRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILIEAELPVEIVDARLMQAREILEDLLKPTDESQDFYKKQQLRELAMLNGTLREEGSPMSGSLSPFQNSLGMKRAKTRG >KJB45526 pep chromosome:Graimondii2_0_v6:7:52364450:52368789:1 gene:B456_007G310100 transcript:KJB45526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVGGGGRYMAFSPSPSAPHSPHLSGLRSAAAALLDQEKYLSELLAERYKLSPFMTVIPHTCRLLNQEILRITTLLGNASVLGQNGLEQASPLASGGTFSNGGADMNRWMSQFQSEMPGLVQPSLAENWLNSQGGSSGLIVKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEANTECRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILIEAELPVEIVDARLMQAREILEDLLKPTDESQDFYKKQQLRELAMLNGTLREEGSPMSGSLSPFQNSLGMKRAKTRG >KJB42707 pep chromosome:Graimondii2_0_v6:7:14662459:14664313:1 gene:B456_007G166000 transcript:KJB42707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNISLVSLLCFIIFNGCLVFEADAVKSQRSEKLKLIHRHSPELGHNPGTTLGPPKNSEERLKQLVQSDKARLHAIKRRLGVVGKANDWVEFPFRSAADMGIGQYFVSLRMGTPAKTFTLLVDTGSCLTWFKCKYMCVDCSSVEEGQRYFYPNQSRSFKSIPCSSQECKTIDKASTLVTCPHPNAPCYYDYSYLDGLNVGGSYFNETVKFRFSNGQKKGLEMTMGCTDGLNQKNRFKTVDGILALGLNPLSFAVKAAHEFGGKFSFCLENHWIIKSESSYLVFGAEDQVPNMQFTDLLTEESKMTSLYPIKVTGISVNGTMLDIPSYVWDMTDRGAGGMIVDSGTTVTHLVEQAFEKVIAAFEPSLSMYNKTKGNLDYCFNAPPDGEFDETRVPRVALHFEGGAKLEPAVNGYIFDDSKGVKCLGFTRLAWPQMNIMGNLLMQNHLWEFDLANKKVGFARSKCMLD >KJB42258 pep chromosome:Graimondii2_0_v6:7:12225339:12227765:-1 gene:B456_007G145400 transcript:KJB42258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRDDGVTIETRQYEILGQVSETSIIFAYGTRQQPEISSSFLHQISAESNLPASLAYFRPCISYTNHRCAKHHGQEEDTFIALSPAMWNRGLDESVIREIPTFQFKREGDDETSVYGCVVCLNEFQQHDILRVLPKCSHAFHLDCIDIWLQSNANCPLCRTSISGDTRYQINQIIAPSSSPQDSLPYTDSLMGGDEDFVVIELGEDDGDALLPYRQQERDNSRESLMQLQPRGQSPRKVEQKPGKLKSRRRHHLSVMGDECIDVTQKDEDFSIQPIRRSFSLDSAVDRQLYQSVQAIVQQNRHPGGITTTEECSNRGRTSLFPFEHGTRPRNAVLPV >KJB42257 pep chromosome:Graimondii2_0_v6:7:12225339:12227493:-1 gene:B456_007G145400 transcript:KJB42257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNRGLDESVIREIPTFQFKREGDDETSVYGCVVCLNEFQQHDILRVLPKCSHAFHLDCIDIWLQSNANCPLCRTSISGDTRYQINQIIAPSSSPQDSLPYTDSLMGGDEDFVVIELGEDDGDALLPYRQQERDNSRESLMQLQPRGQSPRKVEQKPGKLKSRRRHHLSVMGDECIDVTQKDEDFSIQPIRRSFSLDSAVDRQLYQSVQAIVQQNRHPGGITTTEECSNRGRTSLFPFEHGTRPRNAVLPV >KJB42265 pep chromosome:Graimondii2_0_v6:7:12293712:12295290:1 gene:B456_007G145900 transcript:KJB42265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLMFFLRSPKLIEDGLIDDNGTLLMKHKMFQLSPMTPVVHPMNFAWLNFDWVLYNSSLELEPEACNLVPKVLPIGLLSATNRFRNLSGNFWSKDPTCLQWLNQQSPGLVIYVAFGSSTVFDEIQFQELALGLELTKKPFLRVVREDIIKGKHQFYPQGFKERVSNQGKIVCWAPQVAVLGHSSIACFISHCGWNSTIEGVSNGVPFLCWPYFSDQFLNESYIYDIWKVGLKLERDERGTIRKEEIRTKVEQLVGDENFKTRAVELKQMVTKSVGEGGNSDKTFKNFVDWLKSYIK >KJB42266 pep chromosome:Graimondii2_0_v6:7:12293904:12295290:1 gene:B456_007G145900 transcript:KJB42266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIDALGKKLDENGLLHLVSVPDGLEDGEDRNQIGNLTERLCQVMPADISLGLAFDVAAELGIPTAGLWPTSMFQLMFFLRSPKLIEDGLIDDNGTLLMKHKMFQLSPMTPVVHPMNFAWLNFGNSPSTQKFMFDFLRLINNKAVETTDWVLYNSSLELEPEACNLVPKVLPIGLLSATNRFRNLSGNFWSKDPTCLQWLNQQSPGLVIYVAFGSSTVFDEIQFQELALGLELTKKPFLRVVREDIIKGKHQFYPQGFKERVSNQGKIVCWAPQVAVLGHSSIACFISHCGWNSTIEGVSNGVPFLCWPYFSDQFLNESYIYDIWKVGLKLERDERGTIRKEEIRTKVEQLVGDENFKTRAVELKQMVTKSVGEGGNSDKTFKNFVDWLKSYIK >KJB43933 pep chromosome:Graimondii2_0_v6:7:26789902:26792018:-1 gene:B456_007G224500 transcript:KJB43933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAATVVIHHPGNKIERIYHPVSVNEIMTSNPGHYIALVLTSPTSKNQHGMPLKQLKLLKPDDTLLIGHVYRLISFDDVMKEFAAKKCAKLGKLLKERGGIGVGMELKRKDLPNASDLKPKLNPKSGNCSSVIEVKQEVNRQGSSGGSRSRYMGRHHGGGGAGGGGQWRPALQSIAEIGT >KJB43932 pep chromosome:Graimondii2_0_v6:7:26790429:26791748:-1 gene:B456_007G224500 transcript:KJB43932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAATVVIHHPGNKIERIYHPVSVNEIMTSNPGHYIALVLTSPTSKNQHGMPLKQLKLLKPDDTLLIGHVYRLISFDVDVMKEFAAKKCAKLGKLLKERGGIGVGMELKRKDLPNASDLKPKLNPKSGNCSSVIEVKQEVNRQGSSGGSRSRYMGRHHGGGGAGGGGQWRPALQSIAEIGT >KJB43803 pep chromosome:Graimondii2_0_v6:7:24564957:24566828:1 gene:B456_007G216900 transcript:KJB43803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTVTPISTLFFLFFTLSSATSFSQNHWRSDDEVMSLYQAWLVKHGKQYNGIGEEENRFDIFKDNLKFIDQHNSKNTTYKLGLNKFADLTNQEYRSMFLGTKSDPKRRVMKSKNPSQRYASRAGDSLPESVDWRDHGAVTPVKDQGRCGSCWAFSTIAAVEGINKIATGELISLSEQELVDCDRSYDAGCDGGLMDYAFQFIIDNGGIDSEQDYPYLGADNNQCDPTRKNAKVVSIDGYEDVVQYDEKALKKAVSHQPVSVAIEASGRAFQLYESGVFSGECGSALDHGVIVIGYGTDENGQEYWTVRNSWGSGWGEDGYIRMERNVDDCAGKCGIAMEASYPVKNGANTIKPYWTNEDTEKISSA >KJB43804 pep chromosome:Graimondii2_0_v6:7:24564985:24566725:1 gene:B456_007G216900 transcript:KJB43804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTVTPISTLFFLFFTLSSATSFSQNHWRSDDEVMSLYQAWLVKHGKQYNGIGEEENRFDIFKDNLKFIDQHNSKNTTYKLGLNKFADLTNQEYRSMFLGTKSDPKRRVMKSKNPSQRYASRAGDSLPESVDWRDHGAVTPVKDQGRCGSCWAFSTIAAVEGINKIATGELISLSEQELVDCDRSYDAGCDGGLMDYAFQFIIDNGGIDSEQDYPYLGADNNQCDPTRKNAKVVSIDGYEDVVQYDEKALKKAVSHQPVSVAIEASGRAFQLYESR >KJB43805 pep chromosome:Graimondii2_0_v6:7:24564985:24566725:1 gene:B456_007G216900 transcript:KJB43805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTVTPISTLFFLFFTLSSATSFSQNHWRSDDEVMSLYQAWLVKHGKQYNGIGEEENRFDIFKDNLKFIDQHNSKNTTYKLGLNKFADLTNQEYRSMFLGTKSDPKRRVMKSKNPSQRYASRAGDSLPESVDWRDHGAVTPVKDQGRCGSCWAFSTIAAVEGINKIATGELISLSEQELVDCDRSYDAGCDGGLMDYAFQFIIDNGGIDSEQDYPYLGADNNQCDPTRNAKVVSIDGYEDVVQYDEKALKKAVSHQPVSVAIEASGRAFQLYESGVFSGECGSALDHGVIVIGYGTDENGQEYWTVRNSWGSGWGEDGYIRMERNVDDCAGKCGIAMEASYPVKNGANTIKPYWTNEDTEKISSA >KJB44599 pep chromosome:Graimondii2_0_v6:7:42722267:42727649:-1 gene:B456_007G260400 transcript:KJB44599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKSKIKWVALLVLTLSLGSMVAHLSMTKFSSMNLIQYSAKDALSHDFPNIVSPVIRNKRLWGTVRSLASLQPYANPRNSYPEIQESTRSKQISYKFKSFSYLYDEEQFIASLKNDVNIVKSLPEHLKAARRSNEFPIFKPKNSASPNFYIKEILPNLKKAKVVGLIITEGGCLQSILPPSMSEFQRLRCRVAFHALQFRSEIQTLGRQMVERLRAWGQPFLSYHPGLVRDTLAYHGCAELFQDVHTELIQYRRAQMIRQGIVSDELNVDSHLQRENGSCPLMPEEVGLLLRAMGYPSKTIIYLAGSQTFGGQRLLIPLRAMFANLVDRTSLCSKTELSDLVGPETPLPSDIFQLPRPKSESEIKEEWSRAGPRPRPLPPPPERRIYPHEKEGWYGWITETDKEPNPSPRDLRMQAHRLLWDALDYIISVEADAFFPGFNNDGSGWPDFSGLVMGQRLYERASSRTYRPDRKTIAALFDITRGNMYHPKHDWTLSVKEHLNKSLSEEGLIRQSLLSKPNSFLSHPLPECSCRISSLELTKQTEGKDGRVLYGDEHECPIWMQSAEAVGVRNDDVESAEDDNDVVEQQERNGPDFTTSLTSTIDHDEEWDPND >KJB44597 pep chromosome:Graimondii2_0_v6:7:42722267:42726081:-1 gene:B456_007G260400 transcript:KJB44597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFQRLRCRVAFHALQFRSEIQTLGRQMVERLRAWGQPFLSYHPGLVRDTLAYHGCAELFQDVHTELIQYRRAQMIRQGIVSDELNVDSHLQRENGSCPLMPEEVGLLLRAMGYPSKTIIYLAGSQTFGGQRLLIPLRAMFANLVDRTSLCSKTELSDLVGPETPLPSDIFQLPRPKSESEIKEEWSRAGPRPRPLPPPPERRIYPHEKEGWYGWITETDKEPNPSPRDLRMQAHRLLWDALDYIISVEADAFFPGFNNDGSGWPDFSGLVMGQRLYERASSRTYRPDRKTIAALFDITRGNMYHPKHDWTLSVKEHLNKSLSEEGLIRQSLLSKPNSFLSHPLPECSCRISSLELTKQTEGKDGRVLYGDEHECPIWMQSAEAVGVRNDDVESAEDDNDVVEQQERNGPDFTTSLTSTIDHDEEWDPND >KJB44598 pep chromosome:Graimondii2_0_v6:7:42722267:42727518:-1 gene:B456_007G260400 transcript:KJB44598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKSKIKWVALLVLTLSLGSMVAHLSMTKFSSMNLIQYSAKDALSHDFPNIVSPVIRNKRLWGTVRSLASLQPYANPRNSYPVPNENTNGFIFAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESTRSKQISYKFKSFSYLYDEEQFIASLKNDVNIVKSLPEHLKAARRSNEFPIFKPKNSASPNFYIKEILPNLKKAKVVGLIITEGGCLQSILPPSMSEFQRLRCRVAFHALQFRSEIQTLGRQMVERLRAWGQPFLSYHPGLVRDTLAYHGCAELFQDVHTELIQYRRAQMIRQGIVSDELNVDSHLQRENGSCPLMPEEVGLLLRAMGYPSKTIIYLAGSQTFGGQRLLIPLRAMFANLVDRTSLCSKTELSDLVGPETPLPSDIFQLPRPKSESEIKEEWSRAGPRPRPLPPPPERRIYPHEKEGWYGWITETDKEPNPSPRDLRMQAHRLLWDALDYIISVEADAFFPGFNNDGSGWPDFSGLVMGQRLYERASSRTYRPDRKTIAALFDITRGNMYHPKHDWTLSVKEHLNKSLSEEGLIRQSLLSKPNSFLSHPLPECSCRISSLELTKQTEGKDGRVLYGDEHECPIWMQSAEAVGVRNDDVESAEDDNDVVEQQERNGPDFTTSLTSTIDHDEEWDPND >KJB41990 pep chromosome:Graimondii2_0_v6:7:10627818:10632038:-1 gene:B456_007G131500 transcript:KJB41990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKSIIQEMKGEFGSISRRGFDVKFGYGMRSRSHRVVQDSSVPVDVFKQSCWANMPPELLRDVLMRIEASESTWPPRKNVVVCAGVCRNWREIMKEIVKTPEISCKLTFPISLKQPGPRDSLLQCYIKRNRSNQTYYLYLGLNQASNDDGKFLLAARKCRRPTCTDYIISLNCNDVSKGSSTYIGKLRSNFLGTKFTVYDAQPPNAGTKVTKSCSTRLINMKQVSPRVPAGNYPVAHISYELNVLGSRSKENALHHGCHPSLFY >KJB41991 pep chromosome:Graimondii2_0_v6:7:10627818:10632038:-1 gene:B456_007G131500 transcript:KJB41991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKSIIQEMKGEFGSISRRGFDVKFGYGMRSRSHRVVQDSSVPVDVFKQSCWANMPPELLRDVLMRIEASESTWPPRKNVVVCAGVCRNWREIMKEIVKTPEISCKLTFPISLKQPGPRDSLLQCYIKRNRSNQTYYLYLGLNQASNDDGKFLLAARKCRRPTCTDYIISLNCNDVSKGSSTYIGKLRSNFLGTKFTVYDAQPPNAGTKVTKSCSTRLINMKQVSPRVPAGNYPVAHISYELNVLGSRGPRRMHCIMDAIPASSIEPGESFQSGPLSDQKDGMLVLRNKSPRWHEQLQCWCLNFNGRVTVASVKNFQLVASPENAAAGQEHENVILQFGKVGKDVFTMDYQYPISAFQAFAICLSSFDTKIACE >KJB41989 pep chromosome:Graimondii2_0_v6:7:10628333:10632038:-1 gene:B456_007G131500 transcript:KJB41989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKSIIQEMKGEFGSISRRGFDVKFGYGMRSRSHRVVQDSSVPVDVFKQSCWANMPPELLRDVLMRIEASESTWPPRKNVVVCAGVCRNWREIMKEIVKTPEISCKLTFPISLKQPGPRDSLLQCYIKRNRSNQTYYLYLGLNQASNDDGKFLLAARKCRRPTCTDYIISLNCNDVSKGSSTYIGKLRSNFLGTKFTVYDAQPPNAGTKVTKSCSTRLINMKQVSPRVPAGNYPVAHISYELNVLGSRGPRRMHCIMDAIPASSIEPGGVAPTQTEFLHSNLDAFPSLLFFRSKSTRAESFQSGPLSDQKDGMLVLRNKSPRWHEQLQCWCLNFNGRVTVASVKNFQLVASPENAAAGQEHENVILQFGKVGKDVFTMDYQYPISAFQAFAICLSSFDTKIACE >KJB41992 pep chromosome:Graimondii2_0_v6:7:10627794:10632340:-1 gene:B456_007G131500 transcript:KJB41992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKSIIQEMKGEFGSISRRGFDVKFGYGMRSRSHRVVQDSSVPVDVFKQSCWANMPPELLRDVLMRIEASESTWPPRKNVVVCAGVCRNWREIMKEIVKTPEISCKLTFPISLKQPGPRDSLLQCYIKRNRSNQTYYLYLGLNQASNDDGKFLLAARKCRRPTCTDYIISLNCNDVSKGSSTYIGKLRSNFLGTKFTVYDAQPPNAGTKVTKSCSTRLINMKQVSPRVPAGNYPVAHISYELNVLGSRGPRRMHCIMDAIPASSIEPGGVAPTQTEFLHSNLDAFPSLLFFRSKSTRAESFQSGPLSDQKDGMLVLRNKSPRWHEQLQCWCLNFNGRVTVASVKNFQLVASPENAAAGQEHENVILQFGKVGKDVFTMDYQYPISAFQAFAICLSSFDTKIACE >KJB41933 pep chromosome:Graimondii2_0_v6:7:10353991:10358527:-1 gene:B456_007G129100 transcript:KJB41933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAALALNQQLLCFSYPKIRAKSRPWFPQKTTLLNSQKKPHIFIVSCSSSPTSQSPEANVQTAESCVNLGLSLFSKGRVKDALVQFETALSLDPNPVEAQAALYNKACCHAYQGEAKKAAECLRTALRDYNLKFGTILNDPDLASLRASPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGVRRFFYVAFSAAAGISLLFTVPRLFRAIKGGDGAPDIWETVGNAGINIGGIIVLVALYFWDNKKEEEQLAQISRNETLSRLPLRLSTNRIVELVQLRDTVILAGKKESVSSALQKAERFRTELLRRGVLLVPVIWGEGRTPQVEKKGFGVSKKAATALPSIGEDFEKRAQSITAKSKLKAEIRFKAEVISLEEWERWIRDQQESEGVTPGEDVYIILRLDGRVRRSGKGMPDWSQIVQELPPMEALLSKLER >KJB41929 pep chromosome:Graimondii2_0_v6:7:10353952:10358527:-1 gene:B456_007G129100 transcript:KJB41929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAALALNQQLLCFSYPKIRAKSRPWFPQKTTLLNSQKKPHIFIVSCSSSPTSQSPEANVQTAESCVNLGLSLFSKGRVKDALVQFETALSLDPNPVEAQAALYNKACCHAYQGEAKKAAECLRTALRDYNLKFGTILNDPDLASLRASPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGVRRFFYVAFSAAAGISLLFTVPRLFRAIKGGDGAPDIWETVGNAGINIGGIIVLVALYFWDNKKEEEQLAQISRNETLSRLPLRLSTNRIVELVQLRDTVRPVILAGKKESVSSALQKAERFRTELLRRGVLLVPVIWGEGRTPQVEKKGFGVSKKAATALPSIGEDFEKRAQSITAKSKLKAEIRFKAEVISLEEWERWIRDQQESEGVTPGEDVYIILRLDGRVRRSGKGMPDWSQIVQELPPMEALLSKLER >KJB41932 pep chromosome:Graimondii2_0_v6:7:10353952:10358527:-1 gene:B456_007G129100 transcript:KJB41932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAALALNQQLLCFSYPKIRAKSRPWFPQKTTLLNSQKKPHIFIVSCSSSPTSQSPEANVQTAESCVNLGLSLFSKGRVKDALVQFETALSLDPNPVEAQAALYNKACCHAYQGEAKKAAECLRTALRDYNLKFGTILNDPDLASLRASPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGVRRFFYVAFSAAAGISLLFTVPRLFRAIKGGDGAPDIWETVGNAGINIGGIIVLVALYFWDNKKEEEQLAQISRNETLSRLPLRLSTNRIVELVQLRDTVRPVILAGKKESVSSALQKAERFRTELLRRGVLLVPVIWGEGRTPQVEKKGFGVSKKAATALPSIGVDQGSTRI >KJB41930 pep chromosome:Graimondii2_0_v6:7:10354183:10358382:-1 gene:B456_007G129100 transcript:KJB41930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAALALNQQLLCFSYPKIRAKSRPWFPQKTTLLNSQKKPHIFIVSCSSSPTSQSPEANVQTAESCVNLGLSLFSKGRVKDALVQFETALSLDPNPVEAQAALYNKACCHAYQGEAKKAAECLRTALRDYNLKFGTILNDPDLASLRASPEFKELQEEYLLSFQARLGGEDIGYSFRRDLKLISEVQAPFRGVRRFFYVAFSAAAGISLLFTVPRLFRAIKGGDGAPDIWETVGNAGINIGGIIVLVALYFWDNKKEEEQLAQISRNETLSRLPLRLSTNRIVELVQLRDTVRPVILAGKKESVSSALQKAERFRTELLRRGVLLVPVIWGEGRTPQVEKKGFGVSKKAATALPSIGEDFEKRAQSITAKSKLKAEIRFKAEVISLEEWERWIRDQQESEGVTPGEDVYIILRLDGRVRRSGKGMPDWSQIVQELPPMEALLSKLER >KJB41935 pep chromosome:Graimondii2_0_v6:7:10355763:10358527:-1 gene:B456_007G129100 transcript:KJB41935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAALALNQQLLCFSYPKIRAKSRPWFPQKTTLLNSQKKPHIFIVSCSSSPTSQSPEANVQTAESCVNLGLSLFSKGRVKDALVQFETALSLDPNPVEAQAALYNKACCHAYQGEAKKAAECLRTALRDYNLKFGTILNDPDLASLRASPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGVRRFFYVAFSAAAGISLLFTVPRLFRAIKGGDGAPDIWETVGNAGINIGGIIVLVALYFWDNKKEEEQLAQISRNETLSRLPLRLSTNRIVELVQLRDTVRPVSIFFFLLVEYFSKFLLLHVCKFR >KJB41934 pep chromosome:Graimondii2_0_v6:7:10354020:10358527:-1 gene:B456_007G129100 transcript:KJB41934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAALALNQQLLCFSYPKIRAKSRPWFPQKTTLLNSQKKPHIFIVSCSSSPTSQSPEANVQTAESCVNLGLSLFSKGRVKDALVQFETALSLDPNPVEAQAALYNKACCHAYQGEAKKAAECLRTALRDYNLKFGTILNDPDLASLRASPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGVRRFFYVAFSAAAGISLLFTVPRLFRAIKGGDGAPDIWETVGNAGINIGGIIVLVALYFWDNKKEEEQLAQISRNETLSRLPLRLSTNRIVELVQLRDTVRPVILAGKKESVSSALQKAERFRTELLRRGVLLVPVIWGEGRTPQVEKKGFGVSKKAATALPSIGVNYKFLTYCRLLAI >KJB41928 pep chromosome:Graimondii2_0_v6:7:10354629:10357353:-1 gene:B456_007G129100 transcript:KJB41928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRRGEAKKAAECLRTALRDYNLKFGTILNDPDLASLRASPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGVRRFFYVAFSAAAGISLLFTVPRLFRAIKGGDGAPDIWETVGNAGINIGGIIVLVALYFWDNKKEEEQLAQISRNETLSRLPLRLSTNRIVELVQLRDTVRPVILAGKKESVSSALQKAERFRTELLRRGVLLVPVIWGEGRTPQVEKKGFGVSKKAATALPSIGVDQGSTRI >KJB41931 pep chromosome:Graimondii2_0_v6:7:10354183:10357353:-1 gene:B456_007G129100 transcript:KJB41931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRRGEAKKAAECLRTALRDYNLKFGTILNDPDLASLRASPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGVRRFFYVAFSAAAGISLLFTVPRLFRAIKGGDGAPDIWETVGNAGINIGGIIVLVALYFWDNKKEEEQLAQISRNETLSRLPLRLSTNRIVELVQLRDTVRPVILAGKKESVSSALQKAERFRTELLRRGVLLVPVIWGEGRTPQVEKKGFGVSKKAATALPSIGEDFEKRAQSITAKSKLKAEIRFKAEVISLEEWERWIRDQQESEGVTPGEDVYIILRLDGRVRRSGKGMPDWSQIVQELPPMEALLSKLER >KJB43990 pep chromosome:Graimondii2_0_v6:7:27699621:27702142:-1 gene:B456_007G228100 transcript:KJB43990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMALTNAFVLLFSCVLAISFCVWGKPATFLDDFQITWSDSHIRQIDGGKAIQLVLDQNSGCGFASTRKYLFGRVSLKIKLIPGDSAGTVTAFYMNSDTDTVRDELDFEFLGNRSGQPYTVQTNIYAHGKGDREQRVNLWFDPSADFHTYTIMWNHHHIVFYVDDVPIRVYKNNEARNIPFPKFQPMGVYSTLWEADDWATRGGLEKIDWSKAPFLAYYKDFDIEGCPVPGPASCASNTGNWWEGSTYQALNAMEARRYRWVRMNHMIYDYCTDKSRYPVIPPECIAGI >KJB43991 pep chromosome:Graimondii2_0_v6:7:27700089:27702068:-1 gene:B456_007G228100 transcript:KJB43991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNAFVLLFSCVLAISFCVWGKPATFLDDFQITWSDSHIRQIDGGKAIQLVLDQNSGCGFASTRKYLFGRVSLKIKLIPGDSAGTVTAFYMNSDTDTVRDELDFEFLGNRSGQPYTVQTNIYAHGKGDREQRVNLWFDPSADFHTYTIMWNHHHIVFYVDDVPIRVYKNNEARNIPFPKFQPMGVYSTLWEADDWATRGGLEKIDWSKAPFLAYYKDFDIEGCPVPGPASCASNTGNWWEGSTYQALNAMEARRYRWVRMNHMIYDYCTDKSRYPVIPPECIAGI >KJB45143 pep chromosome:Graimondii2_0_v6:7:50083065:50086698:1 gene:B456_007G292200 transcript:KJB45143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLVWDVGIVRSLRVIRVGYSSPAKSGIMEDLGLSLSEYAVFGSILTVGGMLGAACSGKIADLAMGISEIFCITGWFAIVFSKDALWLDLGRLLFGCGSGVICYVLMLCCGKAVMFIMGSLINWRTSALIGVIPCVLQLIGLFFIPESPRWLAKTNETKEFEAALRRLSGEHADISQEATDIRLYTEYIEQIPDEGLLNLFQKRYAYPLIVCAGLMMFQQFGGLNGFSYYASFIFESAGFPSTVGSIGVAVLQPIFMAIIGVLFIDKSGRRPLLLMSAGGSCLGRVITGLSFFLQELSLFLPSWVLSELYSSES >KJB39779 pep chromosome:Graimondii2_0_v6:7:2083104:2084989:1 gene:B456_007G030400 transcript:KJB39779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGERAAGGSKGELGSVDRKRINEALDKQLERSSPSTSRATNGKDKSAHSLLVGKHPPDHHRDSRSTSLPKANASHVADESETNTEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHEEQNELVESAAEMLYGLIHARFILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDFPRSSTVKIFCPRCEDIYYPRSKYQGSILLASEIIYYLLLHACMSMFIFPTFLPRACNKFTLILSRH >KJB39782 pep chromosome:Graimondii2_0_v6:7:2082947:2085518:1 gene:B456_007G030400 transcript:KJB39782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGERAAGGSKGELGSVDRKRINEALDKQLERSSPSTSRATNGKDKSAHSLLVGKHPPDHHRDSRSTSLPKANASHVADESETNTEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHDMFTEEQNELVESAAEMLYGLIHARFILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDFPRSSTVKIFCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYSHLKPLKASQIYVPRVFGFKLHNP >KJB39778 pep chromosome:Graimondii2_0_v6:7:2082925:2085518:1 gene:B456_007G030400 transcript:KJB39778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGERAAGGSKGELGSVDRKRINEALDKQLERSSPSTSRATNGKDKSAHSLLVGKHPPDHHRDSRSTSLPKANASHDESETNTEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHDMFTEEQNELVESAAEMLYGLIHARFILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDFPRSSTVKIFCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYSHLKPLKASQIYVPRVFGFKLHNP >KJB39780 pep chromosome:Graimondii2_0_v6:7:2082925:2085518:1 gene:B456_007G030400 transcript:KJB39780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGERAAGGSKGELGSVDRKRINEALDKQLERSSPSTSRATNGKDKSAHSLLVGKHPPDHHRDSRSTSLPKANASHDESETNTEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARFILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDFPRSSTVKIFCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYSHLKPLKASQIYVPRVFGFKLHNP >KJB39781 pep chromosome:Graimondii2_0_v6:7:2082925:2085518:1 gene:B456_007G030400 transcript:KJB39781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGERAAGGSKGELGSVDRKRINEALDKQLERSSPSTSRATNGKDKSAHSLLVGKHPPDHHRDSRSTSLPKANASHDESETNTEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARFILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDFPRSSTVKIFCPRCEDIYYPRSKYQDIDGAYFGTTFPHLFLMTYSHLKPLKASQIYVPRVFGFKLHNP >KJB39777 pep chromosome:Graimondii2_0_v6:7:2082925:2085518:1 gene:B456_007G030400 transcript:KJB39777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGERAAGGSKGELGSVDRKRINEALDKQLERSSPSTSRATNGKDKSAHSLLVGKHPPDHHRDSRSTSLPKANASHDESETNTEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHEEQNELVESAAEMLYGLIHARFILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDFPRSSTVKIFCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYSHLKPLKASQIYVPRVFGFKLHNP >KJB39783 pep chromosome:Graimondii2_0_v6:7:2082925:2085518:1 gene:B456_007G030400 transcript:KJB39783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGERAAGGSKGELGSVDRKRINEALDKQLERSSPSTSRATNGKDKSAHSLLVGKHPPDHHRDSRSTSLPKANASHVADESETNTEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHEEQNELVESAAEMLYGLIHARFILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDFPRSSTVKIFCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYSHLKPLKASQIYVPRVFGFKLHNP >KJB39776 pep chromosome:Graimondii2_0_v6:7:2082814:2085562:1 gene:B456_007G030400 transcript:KJB39776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGERAAGGSKGELGSVDRKRINEALDKQLERSSPSTSRATNGKDKSAHSLLVGKHPPDHHRDSRSTSLPKANASHVADESETNTEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARFILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDFPRSSTVKIFCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYSHLKPLKASQIYVPRVFGFKLHNP >KJB46624 pep chromosome:Graimondii2_0_v6:7:60902525:60905109:1 gene:B456_007G378800 transcript:KJB46624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIFMITLEGRIYSCKHCFTHLALLDDIISKSFHCGHGKAYLFDKVVNITEGEKEERMMMTGLHTVVDIFCVGCGSIVGWKYV >KJB46623 pep chromosome:Graimondii2_0_v6:7:60902510:60905308:1 gene:B456_007G378800 transcript:KJB46623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIFMITLEGRIYSCKHCFTHLALLDDIISKSFHCGHGKAYLFDKVVNITEGEKEERMMMTGLHTVVDIFCVGCGSIVGWKYEAAHEKAQKYKEGKFILERFKVLGPDGGNYLAIQESLVGGSSDADDA >KJB46626 pep chromosome:Graimondii2_0_v6:7:60902874:60904538:1 gene:B456_007G378800 transcript:KJB46626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIFMITLEGRIYSCKHCFTHLALLDDIISKSFHCGHGKAYLFDKVVNITEGEKEERMMMTGLHTVVDIFCVGCGSIVGWKYVRTSSEISSSSASLISFQGLVA >KJB46625 pep chromosome:Graimondii2_0_v6:7:60902589:60905109:1 gene:B456_007G378800 transcript:KJB46625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIFMITLEGRIYSCKHCFTHLALLDDIISKSFHCGHGKAYLFDKVVNITEGEKEERMMMTGLHTVVDIFCVGCGSIVGWKYEAAHEKAQKYKEGKFILERCHITVSFTRL >KJB43231 pep chromosome:Graimondii2_0_v6:7:18658491:18661458:1 gene:B456_007G190600 transcript:KJB43231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRLSRVPARRSSSSTMFLTLLIMFTFLVLILLALGILSIPTSNSRSSHKPNDLSSIVHNVVDKNDYDEGVGEQWVEVISWEPRAFIYHNFLSKEECEYLIDLAKPHMEKSTVVDSETGKSKDSRVRTSSGTFLPRGRDKIIRNIEQRIADFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFMDEFNTKNGGQRIATVLMYLSDVEEGGETVFPSAKGNISAVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDATLDPSSLHGGCPVIRGNKWSSTKWIRVNEYKV >KJB43228 pep chromosome:Graimondii2_0_v6:7:18658652:18660553:1 gene:B456_007G190600 transcript:KJB43228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRLSRVPARRSSSSTMFLTLLIMFTFLVLILLALGILSIPTSNSRSSHKPNDLSSIVHNVVDKNDYDEGVGEQWVEVISWEPRAFIYHNFLSKEECEYLIDLAKPHMEKSTVVDSETGKSKDSRVRTSSGTFLPRGRDKIIRNIEQRIADFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFMDEFNTKNGGQRIATVLMYLSDVEEGGETVFPSAKGNISAVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDATLDPSSLHG >KJB43230 pep chromosome:Graimondii2_0_v6:7:18658652:18661284:1 gene:B456_007G190600 transcript:KJB43230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRLSRVPARRSSSSTMFLTLLIMFTFLVLILLALGILSIPTSNSRSSHKPNDLSSIVHNVVDKNDYDEGVGEQWVEVISWEPRAFIYHNFLSKEECEYLIDLAKPHMEKSTVVDSETGKSKDSRVRTSSGTFLPRGRDKIIRNIEQRIADFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFMDEFNTKNGGQRIATVLMYLSDVEEGGETVFPSAKGNISAVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDATLDPSSLHGGCPVIRGNKWSSTKWIRVNEYKV >KJB43229 pep chromosome:Graimondii2_0_v6:7:18658652:18661284:1 gene:B456_007G190600 transcript:KJB43229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRLSRVPARRSSSSTMFLTLLIMFTFLVLILLALGILSIPTSNSRSSHKPNDLSSIVHNVVDKNDYDEGVGEQWVEVISWEPRAFIYHNFLSKEECEYLIDLAKPHMEKSTVVDSETGKSKDSRVRTSSGTFLPRGRDKIIRNIEQRIADFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFMDEFNTKNGGQRIATVLMYLSDVEEGGETVFPSAKGNISAVP >KJB43227 pep chromosome:Graimondii2_0_v6:7:18658652:18661284:1 gene:B456_007G190600 transcript:KJB43227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRLSRVPARRSSSSTMFLTLLIMFTFLVLILLALGILSIPTSNSRSSHKPNDLSSIVHNVVDKNDYDEGVGEQWVEVISWEPRAFIYHNFLSKEECEYLIDLAKPHMEKSTVVDSETGKSKDSRVRTSSGTFLPRGRDKIIRNIEQRIADFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFMDEFNTKNGGQRIATVLMYLSDVEEGGETVFPSAKGNISAVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDATLDPSSLHGGCPVIRGNKWSSTKWIRVNEYKV >KJB40257 pep chromosome:Graimondii2_0_v6:7:3772983:3774007:1 gene:B456_007G054300 transcript:KJB40257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEKIGLCELQNEHDDLINYFGWPVQTPVNYEANEPMEDLNLFMNLRELTIDLDLNDRREMLILCIVLQRCLSLHQLEINIEESRSEIEEATRDYSSVNNRLPYPETKLWEKRGLCDCITFTLKQVSIKGFKGKDGEMEFPRHLITKGAKLKRIEIWCNHDCSREGGEATLGLLSLPRSSIDVSILLKPPPQFDGSFGRWVSTLN >KJB40606 pep chromosome:Graimondii2_0_v6:7:6852967:6856499:1 gene:B456_007G093700 transcript:KJB40606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLRKLMSFLFFVMLRLLLSSSLVVASSTSLAVQGREYISVCIMSKTLERYQRCCFTPQDNSLERETQNWYQEVTKLKAKYETLQRTQRHLLGEDLGPLNVKELQNLEKQLEGALALARQRKTQIMIEQMEDLRKKERELGDLNKQLKIKLEAEGQNLKTIQGLWSSGAVAETSNFPLHPSHPHPMDCDHEPVLQIGYHNFVQAEGSSVPKSMAGETNFIHGWVI >KJB40604 pep chromosome:Graimondii2_0_v6:7:6852967:6856499:1 gene:B456_007G093700 transcript:KJB40604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTLERYQRCCFTPQDNSLERETQNWYQEVTKLKAKYETLQRTQRHLLGEDLGPLNVKELQNLEKQLEGALALARQRKTQIMIEQMEDLRKKERELGDLNKQLKIKLEAEGQNLKTIQGLWSSGAVAETSNFPLHPSHPHPMDCDHEPVLQIGYHNFVQAEGSSVPKSMAGETNFIHGWVI >KJB40607 pep chromosome:Graimondii2_0_v6:7:6854668:6856499:1 gene:B456_007G093700 transcript:KJB40607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTLSSCISIQNWYQEVTKLKAKYETLQRTQRHLLGEDLGPLNVKELQNLEKQLEGALALARQRKTQIMIEQMEDLRKKERELGDLNKQLKIKLEAEGQNLKTIQGLWSSGAVAETSNFPLHPSHPHPMDCDHEPVLQIGYHNFVQAEGSSVPKSMAGETNFIHGWVI >KJB40603 pep chromosome:Graimondii2_0_v6:7:6852922:6856501:1 gene:B456_007G093700 transcript:KJB40603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSSGMSKTLERYQRCCFTPQDNSLERETQNWYQEVTKLKAKYETLQRTQRHLLGEDLGPLNVKELQNLEKQLEGALALARQRKTQIMIEQMEDLRKKERELGDLNKQLKIKLEAEGQNLKTIQGLWSSGAVAETSNFPLHPSHPHPMDCDHEPVLQIGYHNFVQAEGSSVPKSMAGETNFIHGWVI >KJB40605 pep chromosome:Graimondii2_0_v6:7:6852967:6856499:1 gene:B456_007G093700 transcript:KJB40605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTLERYQRCCFTPQDNSLERETQNWYQEVTKLKAKYETLQRTQRHLLGEDLGPLNVKELQNLEKQLEGALALARQRKTQIMIEQMEDLRKKERELGDLNKQLKIKLEAEGQNLKTIQGLWSSGAVAETSNFPLHPSHPHPMDCDHEPVLQIGYHNFVQAEGSSVPKSMAGETNFIHGWVI >KJB45879 pep chromosome:Graimondii2_0_v6:7:56146025:56146609:-1 gene:B456_007G337000 transcript:KJB45879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQMVFAWVMIFCLAIAPVYGQHYSKPIKLGGRVEKKTRLRFFYHDFPGGKNPTTVLLAQANITQDFFSPSPYSSLYAMDDPLTIGPERTSTNIGNAQGLYIALSRDPNKFTAVLYADFAFTTGRFNGSSFSLFSRYPPTDFVPSPDTICEMAIVGGRGAFKMAKGFALLRATSSNAMTGDASLEVNVTLYHY >KJB40279 pep chromosome:Graimondii2_0_v6:7:3885139:3889340:1 gene:B456_007G055500 transcript:KJB40279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MDSESVDSLSQAPSKASDVETSAEVAAAAKLPANMNDMKSHPMANNVEPPLKTYDIEPPKPADNASTVTVKTISSQNSPASALPSTGISSWARNLKLPQPIAPPQESQAGNDGTSALARFTSGLGLRLQSMTLSPDDSAAHTSTAPQAVFESFKKGIVDSSLNAVKAVQVKARHMVSQNNRRYQEGEFDLDMTYITENIIAMGFPAGDLSSGLFGFFEGFYRNKMEEVIKFFETHHKGRYKVYNLCSERLYDASLFQGKVASFPFNDHNCPPFHLIKSFCQSAYSWLKEDIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAIDYFNQKRCIDGKALVLPSQIRYVKYFKRILTRFNGEDQPGRRCMIRGFRLHKCPYWIRPSITISDHSGTLFSTSKHPKTKNLMPEDFWIKAPKKGIVIFAIPREPGLAEVVGDFKIHFHDRQGDFYCWLNTTMIENRMILNASDLDGFDKVNT >KJB40277 pep chromosome:Graimondii2_0_v6:7:3884396:3890138:1 gene:B456_007G055500 transcript:KJB40277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MDSESVDSLSQAPSKASDVETSAEVAAAAKLPANMNDMKSHPMANNVEPPLKTYDIEPPKPADNASTVTVKTISSQNSPASALPSTGISSWARNLKLPQPIAPPQESQAGNDGTSALARFTSGLGLRLQSMTLSPDDSAAHTSTAPQAVFESFKKGIVDSSLNAVKAVQVKARHMVSQNNRRYQEGEFDLDMTYITENIIAMGFPAGDLSSGLFGFFEGFYRNKMEEVIKFFETHHKGRYKVYNLCSERLYDASLFQGKVASFPFNDHNCPPFHLIKSFCQSAYSWLKEDIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAIDYFNQKRCIDGKALVLPSQIRYVKYFKRILTRFNGEDQPGRRCMIRGFRLHKCPYWIRPSITISDHSGTLFSTSKHPKTKNLMPEDFWIKAPKKGIVIFAIPREPGLAEVVGDFKIHFHDRQGDFYCWLNTTMIENRMILNASDLDGFDKRNVPYHGFKIELDRVIGANSKQSKASETEDNDDIFSDSDGEESGASPSRPTQPAAGAGPTISSNLLNPAAEQKGTSKPSVKNQELSSNNSSKDIAINGVGKHSTGLELPSMELMGASDIKSIAADASVFSFGDDEDYDSE >KJB40278 pep chromosome:Graimondii2_0_v6:7:3884408:3890178:1 gene:B456_007G055500 transcript:KJB40278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MDSESVDSLSQAPSKASDVETSAEVAAAAKLPANMNDMKSHPMANNVEPPLKTYDIEPPKPADNASTVTVKTISSQNSPASALPSTGISSWARNLKLPQPIAPPQESQAGNDGTSALARFTSGLGLRLQSMTLSPDDSAAHTSTAPQAVFESFKKGIVDSSLNAVKAVQVKARHMVSQNNRRYQEGEFDLDMTYITENIIAMGFPAGDLSSGLFGFFEGFYRNKMEEVIKFFETHHKGRYKVYNLCSERLYDASLFQGKVASFPFNDHNCPPFHLIKSFCQSAYSWLKEDIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAIDYFNQKRCIDGKALVLPSQIRYVKYFKRILTRFNGEDQPGRRCMIRGFRLHKCPYWIRPSITISDHSGTLFSTSKHPKTKNLMPEDFWIKAPKKGIVIFAIPREPGLAEVVGDFKIHFHDRQGDFYCWLNTTMIENRMILNASDLDGFDKRNVPYHGFKIELVMIDYDGPLKMNSKSDSANNGTEGNSGYVKDRVIGANSKQSKASETEDNDDIFSDSDGEESGASPSRPTQPAAGAGPTISSNLLNPAAEQKGTSKPSVKNQELSSNNSSKDIAINGVGKHSTGLELPSMELMGASDIKSIAADASVFSFGDDEDYDSE >KJB40276 pep chromosome:Graimondii2_0_v6:7:3884318:3890210:1 gene:B456_007G055500 transcript:KJB40276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MDSESVDSLSQAPSKASDVETSAEVAAAAKLPANMNDMKSHPMANNVEPPLKTYDIEPPKPADNASTVTVKTISSQNSPASALPSTGISSWARNLKLPQPIAPPQESQAGNDGTSALARFTSGLGLRLQSMTLSPDDSAAHTSTAPQAVFESFKKGIVDSSLNAVKAVQVKARHMVSQNNRRYQEGEFDLDMTYITENIIAMGFPAGDLSSGLFGFFEGFYRNKMEEVIKFFETHHKGRYKVYNLCSERLYDASLFQGKVASFPFNDHNCPPFHLIKSFCQSAYSWLKEDIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAIDYFNQKRCIDGKALVLPSQIRYVKYFKRILTRFNGEDQPGRRCMIRGFRLHKCPYWIRPSITISDHSGTLFSTSKHPKTKNLMPEDFWIKAPKKGIVIFAIPREPGLAEVVGDFKIHFHDRQGDFYCWLNTTMIENRMILNASDLDGFDKRNVPYHGFKIELVMIDYDGPLKMNSKSDSANNGTEGNSGYVKDRVIGANSKQSKASETEDNDDIFSDSDGEESGASPSRPTQPAAGAGPTISSNLLNPAAEQKGTSKPSVKNQELSSNNSSKDIAINGVGKHSTGLELPSMELMGASDIKSIAADASVFSFGDDEDYDSE >KJB40280 pep chromosome:Graimondii2_0_v6:7:3884484:3890144:1 gene:B456_007G055500 transcript:KJB40280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MDSESVDSLSQAPSKASDVETSAEVAAAAKLPANMNDMKSHPMANNVEPPLKTYDIEPPKPADNASTVTVKTISSQNSPASALPSTGISSWARNLKLPQPIAPPQESQAGNDGTSALARFTSGLGLRLQSMTLSPDDSAAHTSTAPQAVFESFKKGIVDSSLNAVKAVQVKARHMVSQNNRRYQEGEFDLDMTYITENIIAMGFPAGDLSSGLFGFFEGFYRNKMEEVIKFFETHHKGRYKVYNLCSERLYDASLFQGKVASFPFNDHNCPPFHLIKSFCQSAYSWLKEDIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAIDYFNQKRCIDGKALVLPSQIRYVKYFKRILTRFNGEDQPGRRCMIRGFRLHKCPYWIRPSITISDHSGTLFSTSKHPKTKNLMPEDFWIKAPKKGIVIFAIPREPGLAEVVGDFKIHFHDRQGDFYCWLNTTMIENRMILNASDLDGFDKRNVPYHGFKIELDRVIGANSKQSKASETEDNDDIFSDSDGEESGASPSRPTQPAAGAGPTISSNLLNPAAEQKGTSKPSVKNQELSSNNSSKDIAINGVGKHSTGLELPSMELMGASDIKSIAADASVFSFGDDEDYDSE >KJB40300 pep chromosome:Graimondii2_0_v6:7:3958708:3962645:-1 gene:B456_007G056100 transcript:KJB40300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSRVYADVNVLRPKEYWDYESLVVQWGDQDDYEVVRKVGRGKYSEVFEGINVNNNERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDVVRDHHSKTPSLIFEHVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYHLELDPQLDAQVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMVSLPPLLFVPLSLLNEIQNL >KJB40299 pep chromosome:Graimondii2_0_v6:7:3958696:3962720:-1 gene:B456_007G056100 transcript:KJB40299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSRVYADVNVLRPKEYWDYESLVVQWGDQDDYEVVRKVGRGKYSEVFEGINVNNNERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDVVRDHHSKTPSLIFEHVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYHLELDPQLDAQVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFAQVRAAESSRMRTQ >KJB43714 pep chromosome:Graimondii2_0_v6:7:23307706:23308654:-1 gene:B456_007G213100 transcript:KJB43714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVEEFILEQLNLTKGTISEIKDNLETLLQEDIQADLVCCLQHLQLRFETEDKARRLLSNVSFLLKPGGYFFGITPEKVIAKNCCFWICVFSSFFSCGYCCTCF >KJB44661 pep chromosome:Graimondii2_0_v6:7:43702706:43706766:-1 gene:B456_007G263900 transcript:KJB44661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEGGKSFARRDKLLEIESKVRVWWDEKDVFKAEPAEKPPQPGEKFFGNFPFPYMNGFLHLGHAFSLSKLEFAAAYHRLRGANVLLPFAFHCTGMPIKASADKLAREIQQFGNPPTFPHEVVEEEPNPKEESDPNEGANVVPDKFKGKKSKAASKSSGQMFQWEIMRSFGLSDSEISKFQNPYEWLKFFPPLAVEDLKAFGLGCDWRRSFVTTDMNPFFDSFVKWQMRKLKSLGKIVKDMRYTIYSPLDGQPCADHDRATGEGVQPQEYTIIKMEVVSPFPAKMRVLEGKKVFLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFILTERAALNLAYQKLSRVPEKPTCLVELTGYDLIGLPVKSPLSFNEIIYALPMLTILTDKGTGIVTSVPSDAPDDYMALQDLKAKPAFRAKFGVKDEWVLPFEIVPIIDIPEYGDRAAEKVCLDLKIKSQNEKDKLAEAKRLVYLRGFTEGTMIVGEYAGRRVQEAKPLLRTKLIETGQAIIYSEPEKKVMSRSGDECVVALTDQWYITYGEPEWKKLSEECLSNMNLYSDETRHGFEHTLGWLNQWACSRSFGLGTRIPWDEEFLVESLSDSTIYMAYYTVAHLLQNGDMYGKSADLVQPAQMTDEVWEFLFCGGPYPKSSNIPAATLNKMKQEFEYWYPFDLRVSGKDLIQNHLTFCIYNHTAIMSKDHWPRGFRCNGHIMLNSEKMSKSTGNFRTLRQAIEEFSADATRFSLADAGDGVDDANFVFETANTAILRLTKEIAWMEEILAAESSLRIGPPSTYADRVFENEINIAVKMTEKNYRDYMFREALKTGFYDLQTARDEYRFSCGSGGMNRDLVWRFMDVQTRLITPVCPHYAEFVWRELLKKDGFVIKAGWPSAVSPDLKLKSANKYLQDSIVLMRKLLNKQILGSKKSNKKGAPATSLSEDRLKGLIYVNEKFEGWQAECLEILQSKFDSNARTFASDGEIMKALQESTVGQAADFKKIQKQCMPFLRFKKDEAIKIGVQALDLKLPFGEIDVLKENLDLIKRQLGLEEVEVLSFTDSDASAKAGTHASLLNQNPPSPGNPTAIFLPR >KJB44662 pep chromosome:Graimondii2_0_v6:7:43702706:43706906:-1 gene:B456_007G263900 transcript:KJB44662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEGGKSFARRDKLLEIESKVRVWWDEKDVFKAEPAEKPPQPGEKFFGNFPFPYMNGFLHLGHAFSLSKLEFAAAYHRLRGANVLLPFAFHCTGMPIKASADKLAREIQQFGNPPTFPHEVVEEEPNPKEESDPNEGANVVPDKFKGKKSKAASKSSGQMFQWEIMRSFGLSDSEISKFQNPYEWLKFFPPLAVEDLKAFGLGCDWRRSFVTTDMNPFFDSFVKWQMRKLKSLGKIVKDMRYTIYSPLDGQPCADHDRATGEGVQPQEYTIIKMEVVSPFPAKMRVLEGKKVFLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFILTERAALNLAYQKLSRVPEKPTCLVELTGYDLIGLPVKSPLSFNEIIYALPMLTILTDKGTGIVTSVPSDAPDDYMALQDLKAKPAFRAKFGVKDEWVLPFEIVPIIDIPEYGDRAAEKVCLDLKIKSQNEKDKLAEAKRLVYLRGFTEGTMIVGEYAGRRVQEAKPLLRTKLIETGQAIIYSEPEKKVMSRSGDECVVALTDQWYITYGEPEWKKLSEECLSNMNLYSDETRHGFEHTLGWLNQWACSRSFGLGTRIPWDEEFLVESLSDSTIYMAYYTVAHLLQNGDMYGKSADLVQPAQMTDEVWEFLFCGGPYPKSSNIPAATLNKMKQEFEYWYPFDLRVSGKDLIQNHLTFCIYNHTAIMSKDHWPRGFRCNGHIMLNSEKMSKSTGNFRTLRQAIEEFSADATRFSLADAGDGVDDANFVFETANTAILRLTKEIAWMEEILAAESSLRIGPPSTYADRVFENEINIAVKMTEKNYRDYMFREALKTGFYDLQTARDEYRFSCGSGGMNRDLVWRFMDVQTRLITPVCPHYAEFVWRELLKKDGFVIKAGWPSAVSPDLKLKSANKYLQDSIVLMRKLLNKQILGSKKSNKKGAPATSLSEDRLKGLIYVNEKFEGWQAECLEILQSKFDSNARTFASDGEIMKALQESTVGQAADFKKIQKQCMPFLRFKKDEAIKIGVQALDLKLPFGEIDVLKENLDLIKRQLGLEEVEVLSFTDSDASAKAGTHASLLNQNPPSPGNPTAIFLPR >KJB44259 pep chromosome:Graimondii2_0_v6:7:35407097:35409673:1 gene:B456_007G242900 transcript:KJB44259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIISNNCPPLRKSEIEYYAMLCKVGVHHYNGNNNDLGTACGKYFRVSCLSIVDPGDSDIIKSLPGDN >KJB44261 pep chromosome:Graimondii2_0_v6:7:35407298:35409631:1 gene:B456_007G242900 transcript:KJB44261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIISNNCPPLRKSEIEYYAMLCKVGVHHYNGNFKLLLFFAPQVILTSSSLYLVTTESFDSRTMFVSYYIVPRSLLILS >KJB44260 pep chromosome:Graimondii2_0_v6:7:35407298:35408649:1 gene:B456_007G242900 transcript:KJB44260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIISNNCPPLRKSEIEYYAMLCKVGVHHYNGSKCFLIFLLLCKGLPVIALMLVIMDLWNGGN >KJB40588 pep chromosome:Graimondii2_0_v6:7:4959760:4962862:-1 gene:B456_007G070300 transcript:KJB40588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQQPGSSDVGGKCQTDKLSEEIVLAKSGLVNSISTLTLLDGRNSEEIGDSVYANETNDVSLTIVKTPVSQLRKKLLVLDVNGLLADIIYRSPKNYKADAYIAGRAIFKRPFCDDFLRFCFEKFEVGIWSSRNRRKLERVVDFLMGDMKQKLLFCWDSSYCTTTRFKTLGNRYKPLVFKELRKLWRKSDPNLPWEKGYYNESNTLLIDDSPYKALLNPLGTAIFPHPFKFDMDDDSLGVGGELRVYLERLALAENVQKFLELNPFGQIAITESSHDWGFYSRVIDTCVH >KJB40587 pep chromosome:Graimondii2_0_v6:7:4959760:4962833:-1 gene:B456_007G070300 transcript:KJB40587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQQPGSSDVGGKCQTDKLSEEIVLAKSGLVNSISTLTLLDGRNSEEIGDSVYANETNDVSLTIVKTPVSQLRKKLLVLDVNGLLADIIYRSPKNYKADAYIAGRAIFKRPFCDDFLRFCFEKFEVGIWSSRNRRKLERVVDFLMGDMKQKLLFCWDSSYCTTTRFKTLGNRYKPLVFKELRKLWRKSDPNLPWEKGYYNESNTLLIDDSPYKALLNPLGTAIFPHPFKFDMDDDSLGVGGELRVYLERLALAENVQKFLELNPFGQIAITESSHDWGFYSRVIDTCVH >KJB40590 pep chromosome:Graimondii2_0_v6:7:4960387:4962688:-1 gene:B456_007G070300 transcript:KJB40590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQQPGSSDVGGKCQTDKLSEEIVLAKSGLVNSISTLTLLDGRNSEEIGDSVYANETNDVSLTIVKTPVSQLRKKLLVLDVNGLLADIIYRSPKNYKADAYIAGRAIFKRPFCDDFLRFCFEKFEVGIWSSRNRRKLERVVDFLMGDMKQKLLFCWDSSYCTTTRFKTLGNRYKPLVFKELRKLWRKSDPNLPWEKGYYNESNTLLIDDSPYKALLNPLGTAIFPHPFKFDMDDDSLGKMTSEIIYNTIKFRRLITPVYLLVRC >KJB40589 pep chromosome:Graimondii2_0_v6:7:4959735:4962085:-1 gene:B456_007G070300 transcript:KJB40589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQQPGSSDVGGKCQTDKLSEEIVLAKSGLVNSISTLTLLDGRNSEEIGDSVYANETNDVSLTIVKTPVSQLRKKLLVLDVNGLLADIIYRSPKNYKADAYIAGRAIFKRPFCDDFLRFCFEKFEVGIWSSRNRRKLERVVDFLMGDMKQKLLFCWDSSYCTTTRFKTLGNRYKPLVFKELRKLWRKSDPNLPWEKGYYNESNTLLIDDSPYKALLNPLGTAIFPHPFKFDMDDDSLGVGGELRVYLERLALAENVQKFLELNPFGQIAITESSHDWGFYSRVIDTCVH >KJB41143 pep chromosome:Graimondii2_0_v6:7:6774086:6776961:-1 gene:B456_007G092800 transcript:KJB41143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKGRRRREKNYRAAHGGPARLPPPPDPSQVEALPSKLRKIMSFTTDSPPHGEHKAEEGNAEKKKNRAVNEVKLKANEIKDGGNDKHLKKSQDSDSGEGTMRNSKGGKKNKKRKRNQVTDLRFEATADKLASSSKRRERKKKYFEAKKKKHKSARTEENLEFPGREHVKFGDVVEAPPKLVTVPKGSTALLDASKERLRLRAIEAYRNRKGWRSRPGAPQLPPVTT >KJB41142 pep chromosome:Graimondii2_0_v6:7:6775528:6776252:-1 gene:B456_007G092800 transcript:KJB41142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSKGGKKNKKRKRNQVTDLRFEATADKLASSSKRRERKKKYFEAKKKKHKSARTEENLEFPGREHVKFGDVVEAPPKLVTVPKGSTALLDASKERLRLRAIEAYRNRKGWRSRPGAPQLPPVTT >KJB44900 pep chromosome:Graimondii2_0_v6:7:47675074:47681033:1 gene:B456_007G278800 transcript:KJB44900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGQSYGENEVEQVQEHVDLEQNLNLGHDLNLEHSANLEQNANLEHIANLEHMANLEHNANLEHIANLQQIANLEHNANLEHIANLEHNANLEHSVDSEQNLNLEEEPEENLEEQKLQQESQHQPKQDHENEAVVGGGEKKWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSGKEEPDSSLPPAMDGLLRVHKRLIDGLEGDSSHAPSAVGTKVSTRLLVPAPQAGSLIGKQGATVKTIQESSNCIVRVLGSEDLPVFALQDDRIVEVVGEAADVHKALELIASHLRKFLVDRSIIPLFEMHMQKSNPQMDHMPPRQTWAPPQGVPPNAGGGGFGHNPQYMPPPRQLENYYPPADMPPMDKQPHQGISAYGREAPTGAHGSSNPNAPSMITQVTQQMQIPLSYADAVIGTAGASISYIRRVSGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAEAAAPAQAQVGGAADQAYNPYAAHGSLYASPPSNPGHAGGYGYGSNYGY >KJB44902 pep chromosome:Graimondii2_0_v6:7:47675484:47681033:1 gene:B456_007G278800 transcript:KJB44902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGKEEPDSSLPPAMDGLLRVHKRLIDGLEGDSSHAPSAVGTKVSTRLLVPAPQAGSLIGKQGATVKTIQESSNCIVRVLGSEDLPVFALQDDRIVEVVGEAADVHKALELIASHLRKFLVDRSIIPLFEMHMQKSNPQMDHMPPRQTWAPPQGVPPNAGGGGFGHNPQYMPPPRQLENYYPPADMPPMDKQPHQGISAYGREAPTGAHGSSNPNAPSMITQVTQQMQIPLSYADAVIGTAGASISYIRRVSGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAEAAAPAQAQVGGAADQAYNPYAAHGSLYASPPSNPGHAGGYGYGSNYGY >KJB44903 pep chromosome:Graimondii2_0_v6:7:47675123:47681075:1 gene:B456_007G278800 transcript:KJB44903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGQSYGENEVEQVQEHVDLEQNLNLGHDLNLEHSANLEQNANLEHIANLEHMANLEHNANLEHIANLQQIANLEHNANLEHIANLEHNANLEHSVDSEQNLNLEEEPEENLEEQKLQQESQHQPKQDHENEAVVGGGEKKWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSGKEEPDSSLPPAMDGLLRVHKRLIDGLEGDSSHAPSAVGTKVSTRLLVPAPQAGSLIGKQGATVKTIQESSNCIVRVLGSEDLPVFALQDDRIVEVVGEAADVHKALELIASHLRKFLVDRSIIPLFEMHMQKSNPQMDHMPPRQTWAPPQGVPPNAGGGGFGHNPQYMPPPRQLENYYPPADMPPMDKQPHQGISAYGREAPTGAHGSSNPNAPSMITQVTQQMQIPLSYADAVIGTAGASISYIRRVSGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAEAAAPAQAQVGGAADQAYNPYAAHGSLYASPPSNPGHAGGYGYGSNYGY >KJB44901 pep chromosome:Graimondii2_0_v6:7:47675133:47681006:1 gene:B456_007G278800 transcript:KJB44901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGQSYGENEVEQVQEHVDLEQNLNLGHDLNLEHSANLEQNANLEHIANLEHMANLEHNANLEHIANLQQIANLEHNANLEHIANLEHNANLEHSVDSEQNLNLEEEPEENLEEQKLQQESQHQPKQDHENEAVVGGGEKKWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSGKEEPDSSLPPAMDGLLRVHKRLIDGLEGDSSHAPSAVGTKVSTRLLVPAPQAGSLIGKQGATVKTIQESSNCIVRVLGSDLPVFALQDDRIVEVVGEAADVHKALELIASHLRKFLVDRSIIPLFEMHMQKSNPQMDHMPPRQTWAPPQGVPPNAGGGGFGHNPQYMPPPRQLENYYPPADMPPMDKQPHQGISAYGREAPTGAHGSSNPNAPSMITQVTQQMQIPLSYADAVIGTAGASISYIRRVSGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAEAAAPAQAQVGGAADQAYNPYAAHGSLYASPPSNPGHAGGYGYGSNYGY >KJB44899 pep chromosome:Graimondii2_0_v6:7:47675484:47681075:1 gene:B456_007G278800 transcript:KJB44899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGQSYGENEVEQVQEHVDLEQNLNLGHDLNLEHSANLEQNANLEHIANLEHMANLEHNANLEHIANLQQIANLEHNANLEHIANLEHNANLEHSVDSEQNLNLEEEPEENLEEQKLQQESQHQPKQDHENEAVVGGGEKKWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSGKEEPDSSLPPAMDGLLRVHKRLIDGLEGDSSHAPSAVGTKVSTRLLVPAPQAGSLIGKQGATVKTIQESSNCIVRVLGSEDLPVFALQDDRIVEVVGEAADVHKALELIASHLRKFLVDRSIIPLFEMHMQKSNPQMDHMPPRQTWAPPQGVPPNAGGGGFGHNPQYMPPPRQLENYYPPADMPPMDKQPHQGISAYGREAPTGAHGSSNPNAPSMITQVTQQMQIPLSYADAVIGTAGASISYIRRVSGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAEAAAPAQAQVGGAADQAYNPYAAHGSLYASPPSNPGHAGGYGYGSNYGY >KJB44904 pep chromosome:Graimondii2_0_v6:7:47677345:47681075:1 gene:B456_007G278800 transcript:KJB44904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGKEEPDSSLPPAMDGLLRVHKRLIDGLEGDSSHAPSAVGTKVSTRLLVPAPQAGSLIGKQGATVKTIQESSNCIVRVLGSEDLPVFALQDDRIVEVVGEAADVHKALELIASHLRKFLVDRSIIPLFEMHMQKSNPQMDHMPPRQTWAPPQGVPPNAGGGGFGHNPQYMPPPRQLENYYPPADMPPMDKQPHQGISAYGREAPTGAHGSSNPNAPSMITQVTQQMQIPLSYADAVIGTAGASISYIRRVSGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAEAAAPAQAQVGGAADQAYNPYAAHGSLYASPPSNPGHAGGYGYGSNYGY >KJB44898 pep chromosome:Graimondii2_0_v6:7:47674269:47681075:1 gene:B456_007G278800 transcript:KJB44898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEFVRTTLMAEVGQSYGENEVEQVQEHVDLEQNLNLGHDLNLEHSANLEQNANLEHIANLEHMANLEHNANLEHIANLQQIANLEHNANLEHIANLEHNANLEHSVDSEQNLNLEEEPEENLEEQKLQQESQHQPKQDHENEAVVGGGEKKWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSGKEEPDSSLPPAMDGLLRVHKRLIDGLEGDSSHAPSAVGTKVSTRLLVPAPQAGSLIGKQGATVKTIQESSNCIVRVLGSEDLPVFALQDDRIVEVVGEAADVHKALELIASHLRKFLVDRSIIPLFEMHMQKSNPQMDHMPPRQTWAPPQGVPPNAGGGGFGHNPQYMPPPRQLENYYPPADMPPMDKQPHQGISAYGREAPTGAHGSSNPNAPSMITQVTQQMQIPLSYADAVIGTAGASISYIRRVSGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAEAAAPAQAQVGGAADQAYNPYAAHGSLYASPPSNPGHAGGYGYGSNYGY >KJB45912 pep chromosome:Graimondii2_0_v6:7:56190420:56193334:-1 gene:B456_007G337300 transcript:KJB45912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSSWVEGGDCCEWTGVVCHNSTGHVNQLHLAAPLSEPDGFATNAEWEAYYNSQLGGKINPSLLELKHLSSLDLRNNNFSSIHIPKFFGLLESLTYLNLSRARFQGAIPHNLGNLSKLQYLDLGVPKWIFSLHGLVSIDLSGNSLEGPIPDYFGNTSFLEVLDLSLNNLNSSIPNSLYSLNRLQFLSLGQNQLQGTISSAIGNLSSVTHLDLSESQLNGQIPLSIGELSSLKLFDVSNNQLNGQIPLSIGQLSSLEDFDVSENQLNGQIPLSIGELPSLKLFDVSENQLNDTFPLSLGRLESLEILDCGWIPPFQCERIELGHWHLGPKFPQWLKFQKNLSYLDISYAGISDVMPTWFLNLPTQFKHLNLSSNQLRGEISYLNVRNIVDLSSNRFIGPLPRVFPTLPFLLLSNNSFSGSLFELVCNSSSSKGMAVLYIDKNLISGDIPDCWNHWQGLDLLNLGSNNLTGKIPPSLWHLNLTMLNLRNNTMFGELPSTLRNSSDLIMLDLSENHFSGSVPAWIGDKLSNLEILSLRSNNFDGHISHKICDLQFLQNLDLAHNNISGVIPKCFNNLSAMATTNKTNNFVLGVYVYTASFCLNALLVLKGREDEYGSTLRLVTSMDLSANSLTGEIPKEIGSLVGLLSLNFSGNLLTGNIPDSIGNMEIMESLDLSMNRLNGQIPTSTQLQSFENLSYVGNHLCGPPLTKNCTSKGIPIDVANNGSSREGSKVNWLYVSIVLGFVMGFWGVVAPLFFIRSWRHAYYRKLDHICGKL >KJB46310 pep chromosome:Graimondii2_0_v6:7:59071692:59074868:1 gene:B456_007G359700 transcript:KJB46310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEAAPSSVPSAAAGTTTLGKLFICFETKTLVTTLLALTLVMFLWNLPPYYQNLLSTTRPCSVPITSVSVSASAASVTASLISTNVSMPYKANPVAKKYNTATRPKPKDPNKRVFESYGNAAALFVQMGAYRGGPTTFAVVGLASKPIHVYGKPWFKCEWISNNGSSYRAKAYKMLPDWGYGRVYTVVVVNCTFPFNPNQDNNGGKLMVNAYYGESQRKYEKFMALEESPGSYNESKFNPPYQYEYLYCGSSLYGNLSADRIREWMAYHAWFFGPSSHFVFHDAGGVSPEVMAVLEPWVKAGRVTVQDIRDQAEYDGYYYNQFLVVNDCLHRYRYAANWTFFFDVDEYIYLPHGNTLESVLNEFSGYTQFTIQQNPMSSMLCLNGSSQEYSRQWGFEKLLFRESRTKIRRDRKYAIQAKNAFATGVHMSENIVGKSLHKTETKIHYYHYHNTITQHQELCREYLPSTAVKQVTWFNKLPYVYDDNMKKLANTIKQFELETIGKQPQPEPH >KJB42440 pep chromosome:Graimondii2_0_v6:7:13110310:13115087:1 gene:B456_007G153400 transcript:KJB42440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPLRSTSVGSLVDSDSITASDWVTFGDQLLLMTGIFLTYVAGVVPVQKSSSTSPKSIADNDSFPQGSTSSGSARTNSDQNNLKPVWDVVRGKILDSLDVIESENDFRNVVFDEQQRAKRPLSLYALSEGPKIRLLWASLQQLEEEVKNNVVTSDTGNIDDWLIAFSRIIQNSCKPACFAWLKKELGLQSNNMELVSLITEKLNGDNTVLQNITKSGKKNLYAELLYFLRFGSLRKGCCYDQSLFTLYGDSILEDLVITIADGIASTYLDLISVDGNLSDEVNDLGLAICNLSTRALQRLRNEVALNQWLYQNLEAIVSMYEDRFDLYTLKSQLIEEKSSDYAETSSWWKKLMLRENESVLTSLQYVVISHFSMSVKRTKELRALVGWYVFHNFLAINIFLCLIPLLLTEL >KJB42442 pep chromosome:Graimondii2_0_v6:7:13110347:13116884:1 gene:B456_007G153400 transcript:KJB42442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPLRSTSVGSLVDSDSITASDWVTFGDQLLLMTGIFLTYVAGVVPVQKSSSTSPKSIADNDSFPQGSTSSGSARTNSDQNNLKPVWDVVRGKILDSLDVIESENDFRNVVFDEQQRAKRPLSLYALSEGPKIRLLWASLQQLEEEVKNNVVTSDTGNIDDWLIAFSRIIQNSCKPACFAWLKKELGLQSNNMELVSLITEKLNGDNTVLQNITKSGKKNLYAELLYFLRFGSLRKGCCYDQSLFTLYGDSILEDLVITIADGIASTYLDLISVDGNLSDEVNDLGLAICNLSTRALQRLRNEVALNQWLYQNLEAIVSMYEDRFDLYTLKSQLIEEKSSDYAETSSWWKKLMLRENESVLTSLQYVVISHFSMSVKRTKELRALVGWRYYFSLFLEFSDISLPMVRVVIDKVSNAISFFLVCLIGRSLGLIYTGIRQSLRWK >KJB42439 pep chromosome:Graimondii2_0_v6:7:13109741:13117255:1 gene:B456_007G153400 transcript:KJB42439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYVASPSCIKLHLHPSFLRQRGFVNRNSNFRTPLSKFSYGSCKVLWLSTWRKWKVDNPFFSNLKVSPCFMETPLRSTSVGSLVDSDSITASDWVTFGDQLLLMTGIFLTYVAGVVPVQKSSSTSPKSIADNDSFPQGSTSSGSARTNSDQNNLKPVWDVVRGKILDSLDVIESENDFRNVVFDEQQRAKRPLSLYALSEGPKIRLLWASLQQLEEEVKNNVVTSDTGNIDDWLIAFSRIIQNSCKPACFAWLKKELGLQSNNMELVSLITEKLNGDNTVLQNITKSGKKNLYAELLYFLRFGSLRKGCCYDQSLFTLYGDSILEDLVITIADGIASTYLDLISVDGNLSDEVNDLGLAICNLSTRALQRLRNEVALNQWLYQNLEAIVSMYEDRFDLYTLKSQLIEEKSSDYAETSSWWKKLMLRENESVLTSLQYVVISHFSMSVKRTKELRALVGWRYYFSLFLEFSDISLPMVRVVIDKVSNAISFFLVCLIGRSLGLIYTGIRQSLRWK >KJB42438 pep chromosome:Graimondii2_0_v6:7:13109741:13116367:1 gene:B456_007G153400 transcript:KJB42438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYVASPSCIKLHLHPSFLRQRGFVNRNSNFRTPLSKFSYGSCKVLWLSTWRKWKVDNPFFSNLKVSPCFMETPLRSTSVGSLVDSDSITASDWVTFGDQLLLMTGIFLTYVAGVVPVQKSSSTSPKSIADNDSFPQGSTSSGSARTNSDQNNLKPVWDVVRGKILDSLDVIESENDFRNVVFDEQQRAKRPLSLYALSEGPKIRLLWASLQQLEEEVKNNVVTSDTGNIDDWLIAFSRIIQNSCKPACFAWLKKELGLQSNNMELVSLITEKLNGDNTVLQNITKSGKKNLYAELLYFLRFGSLRKGCCYDQSLFTLYGDSILEDLVITIADGIASTYLDLISVDGNLSDEVNDLGLAICNLSTRALQRLRNEVALNQWLYQNLEAIVSMYEDRFDLYTLKSQLIEEKSSDYAETSSWWKKLMLRENESVLTSLQYVVISHFSMSVKRTKELRALVGWRYYFSLFLEFSDISLPMVRVVIDKVSNAISFFLVCLIGRSLGLIYTGIRQSLRWK >KJB42441 pep chromosome:Graimondii2_0_v6:7:13110347:13116309:1 gene:B456_007G153400 transcript:KJB42441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPLRSTSVGSLVDSDSITASDWVTFGDQLLLMTGIFLTYVAGVVPVQKSSSTSPKSIADNDSFPQGSTSSGSARTNSDQNNLKPVWDVVRGKILDSLDVIESENDFRNVVFDEQQRAKRPLSLYALSEGPKIRLLWASLQQLEEEVKNNVVTSDTGNIDDWLIAFSRIIQNSCKPACFAWLKKELGLQSNNMELVSLITEKLNGDNTVLQNITKSGKKNLYAELLYFLRFGSLRKGCCYDQSLFTLYGDSILEDLVITIADGIASTYLDLISVDGNLSDEVNDLGLAICNLSTRALQRLRNEVALNQWLYQNLEAIVSMYEDRFDLYTLKSQLIEEKSSDYAETSSWWKKLMLRENESVLTSLQYVVISHFSMSVKRTKELRALVGWRYYFSLFLEFSDISLPMVRVVIDKVSNAISFFLVCLIGRSLGLIYTGIRQSLRWK >KJB40215 pep chromosome:Graimondii2_0_v6:7:3641473:3643572:1 gene:B456_007G051700 transcript:KJB40215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSLISLLTLCLFCCSFLIQAQQPYVGRKTTDCENPDTSDSVLGYNCNGVSMSCQSYLVFRSQPLFNNVTSISNLLSSDPSQVATINEVSETATFRTNQMVIVPVNCSCSGDHYQKNTSYTVGGGEGYFLIANNTFQALSTCQAIQNQQPGISTRNLTAGMRITVPLRCACPTKNQTDVGINYLLSYPIAEGDTIQGISELFGADPERTLEANQLPDLRSTIFFFTSLLVPLRDPPSRVTAPSPPPPRASPPPPNSPPGGSSNRTWIYILVGVLGGVGLISVVCMVIFCMFFRKTKKKTDPIISSESLEAYEKPLEKSLDDGSQDFIDSMSSIAQSINLKIYKFEELQVATDDFSTSNHIKGSVYRGVINGDFAAIKKVHGDVSKEIQILNKVYHSNLIRLSGVCINQGNWYLVYEYAANGALSDWIFNRDDNGKYFSWKDQIQVALDVATGLNYLHSFTNPPHVHKDLKTSNVLLDGDFRAKITNFALARSTGGGEGEFALTKHIVGTKGYMAPEYLENGLVSTKLDVYAFGVLLLEVITGKEVTAFYSDEHMNLSDILSNVVDNGREGLKDLIEPSMLENYPSELVLVVVQLINSCLKQNPTVRPAMDEIVKSLSRIQTASSALDS >KJB40561 pep chromosome:Graimondii2_0_v6:7:4906805:4911224:1 gene:B456_007G069300 transcript:KJB40561 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR4 [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/TrEMBL;Acc:A0A178V399] MELTLTQPDDWHLHLRDGDLLQAVAPHSAKHFGRAIIMPNLKPPITTTAAAVAYRESILKALPADSNFTPLMTLYLTDKTSPNEIKLARRSGVVFAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEENMPLLVHGEVTDPDVDVFDREKVFIDTVLQPLIQRLPRLKVVMEHITTMDAVRFVESGEEGFLAATVTPQHLILNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKRFFLGTDSAPHEKQRKECSCGCAGIFNAPVALSLYAKVFEEAGALDKLEAFTSFNGPEFYGLPRNTAKIKLTKASWKIPDSLSFSFGNIIPMFAGETLEWQPCVGEKAEMLNEVQEVGANKG >KJB40563 pep chromosome:Graimondii2_0_v6:7:4906556:4911263:1 gene:B456_007G069300 transcript:KJB40563 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR4 [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/TrEMBL;Acc:A0A178V399] MELTLTQPDDWHLHLRDGDLLQAVAPHSAKHFGRAIIMPNLKPPITTTAAAVAYRESILKALPADSNFTPLMTLYLTDKTSPNEIKLARRSGVVFAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEENMPLLVHGEVTDPDVDVFDREKVFIDTVLQPLIQRLPRLKVVMEHITTMDAVRFVESGEEGFLAATVTPQHLILNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKRFFLGTDSAPHEKQRKECSCGCAGIFNAPVALSLYAKVFEEAGALDKLEAFTSFNGPEFYGLPRNTAKIKLTKASWKIPDSLSFSFGNIIPMFAGETLEWQPCVGEKAEMLNEVQEVGANKG >KJB40568 pep chromosome:Graimondii2_0_v6:7:4906741:4911224:1 gene:B456_007G069300 transcript:KJB40568 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR4 [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/TrEMBL;Acc:A0A178V399] MELTLTQPDDWHLHLRDGDLLQAVAPHSAKHFGRAIIMPNLKPPITTTAAAVAYRESILKALPADSNFTPLMTLYLTDKTSPNEIKLARRSGVVFAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEENMPLLVHGEVTDPDVDVFDREKVFIDTVLQPLIQRLPRLKVVMEHITTMDAVRFVESGEEGFLAATVTPQHLILNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKRFFLGTDSAPHEKQRKECSCGCAGIFNAPVALSLYAKVFEEAGALDKLEAFTSFNGPEFYGLPRNTAKIKLTKASWKIPDSLSFSFGNIIPMFAGETLEWQPCVGEKAEMLNEVQEVGANKG >KJB40567 pep chromosome:Graimondii2_0_v6:7:4906729:4911224:1 gene:B456_007G069300 transcript:KJB40567 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR4 [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/TrEMBL;Acc:A0A178V399] MINSLVFPRPSEAFKFASTRFDGSRKSKYSKPRMELTLTQPDDWHLHLRDGDLLQAVAPHSAKHFGRAIIMPNLKPPITTTAAAVAYRESILKALPADSNFTPLMTLYLTDKTSPNEIKLARRSGVVFAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEENMPLLVHGEVTDPDVDVFDREKVFIDTVLQPLIQRLPRLKVVMEHITTMDAVRFVESGEEGFLAATVTPQHLILNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKRFFLGTDSAPHEKQRKECSCGCAGIFNAPVALSLYAKVFEEAGALDKLEAFTSFNGPEFYGLPRNTAKIKLTKASWKIPDSLSFSFGNIIPMFAGETLEWQPCVGEKAEMLNEVQEVGANKG >KJB40564 pep chromosome:Graimondii2_0_v6:7:4906556:4911263:1 gene:B456_007G069300 transcript:KJB40564 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR4 [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/TrEMBL;Acc:A0A178V399] MINSLVFPRPSEAFKFASTRFDGSRKSKYSKPRMELTLTQPDDWHLHLRDGDLLQAVAPHSAKHFGRAIIMPNLKPPITTTAAAVAYRESILKALPADSNFTPLMTLYLTDKTSPNEIKLARRSGVVFAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEENMPLLVHGEVTDPDVDVFDREKVFIDTVLQPLIQRLPRLKVVMEHITTMDAVRFVESGEEGFLAATVTPQHLILNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKRFFLGTDSAPHEKQRKECSCGCAGIFNAPVALSLYAKVFEEAGALDKLEAFTSFNGPEFYGLPRNTAKIKLTKASWKIPDSLSFSFGNIIPMFAGETLEWQPCVGEKAEMLNEVQEVGANKG >KJB40562 pep chromosome:Graimondii2_0_v6:7:4906737:4911224:1 gene:B456_007G069300 transcript:KJB40562 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR4 [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/TrEMBL;Acc:A0A178V399] MINSLVFPRPSEAFKFASTRFDGSRKSKYSKPRMELTLTQPDDWHLHLRDGDLLQAVAPHSAKHFGRAIIMPNLKPPITTTAAAVAYRESILKALPADSNFTPLMTLYLTDKTSPNEIKLARRSGVVFAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEENMPLLVHGEVTDPDVDVFDREKVFIDTVLQPLIQRLPRLKVVMEHITTMDAVRFVESGEEGFLAATVTPQHLILNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKRFFLGTDSAPHEKQRKECSCGCAGIFNAPVALSLYAKVFEEAGALDKLEAFTSFNGPEFYGLPRNTAKIKLTKASWKIPDSLSFSFGNIIPMFAGETLEWQPCVGEKAEMLNEVQEVGANKG >KJB40566 pep chromosome:Graimondii2_0_v6:7:4906737:4911224:1 gene:B456_007G069300 transcript:KJB40566 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR4 [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/TrEMBL;Acc:A0A178V399] MINSLVFPRPSEAFKFASTRFDGSRKSKYSKPRMELTLTQPDDWHLHLRDGDLLQAVAPHSAKHFGRAIIMPNLKPPITTTAAAVAYRESILKALPADSNFTPLMTLYLTDKTSPNEIKLARRSGVVFAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEENMPLLVHGEVTDPDVDVFDREKVFIDTVLQPLIQRLPRLKVVMEHITTMDAVRFVESGEEGFLAATVTPQHLILNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKRFFLGTDSAPHEKQRKECSCGCAGIFNAPVALSLYAKVFEEVHFLFNYKVLTIFKNAATEFES >KJB40565 pep chromosome:Graimondii2_0_v6:7:4907123:4909609:1 gene:B456_007G069300 transcript:KJB40565 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR4 [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/TrEMBL;Acc:A0A178V399] MINSLVFPRPSEAFKFASTRFDGSRKSKYSKPRMELTLTQPDDWHLHLRDGDLLQAVAPHSAKHFGRAIIMPNLKPPITTTAAAVAYRESILKALPADSNFTPLMTLYLTDKTSPNEIKLARRSGVVFAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEENMPLLVHGEVTDPDVDVFDREKVFIDTVLQPLIQRLPRLKVVMEHITTMDAVRFVESGEEGKLKCLLGRNLVLHLLC >KJB40569 pep chromosome:Graimondii2_0_v6:7:4906529:4911263:1 gene:B456_007G069300 transcript:KJB40569 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR4 [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/TrEMBL;Acc:A0A178V399] MELTLTQPDDWHLHLRDGDLLQAVAPHSAKHFGRAIIMPNLKPPITTTAAAVAYRESILKALPADSNFTPLMTLYLTDKTSPNEIKLARRSGVVFAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEENMPLLVHGEVTDPDVDVFDREKVFIDTVLQPLIQRLPRLKVVMEHITTMDAVRFVESGEEGFLAATVTPQHLILNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKRFFLGTDSAPHEKQRKECSCGCAGIFNAPVALSLYAKVFEEAGALDKLEAFTSFNGPEFYGLPRNTAKIKLTKASWKIPDSLSFSFGNIIPMFAGETLEWQPCVGEKAEMLNEVQEVGANKG >KJB39727 pep chromosome:Graimondii2_0_v6:7:1912218:1915761:-1 gene:B456_007G027800 transcript:KJB39727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGDKEDCDSGYPQIERRKKLPDPVLREKGVSLWSLIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSDLLDRAYKYGKEGNSLQRILNVAAFAVSGYSSSEGRHCKPFNPLLGETFEADYPEKGLHFFSEKVSHHPTLLAFHCEGKGWKFWGDSNLRSKFSGRSIQLDPVGVLTLEFDDGETFQWSKVTTNIYNLILGQVYCDHHGLMQIRGNSQYSCKLKFKEQSIIERNPHQVHGFVEDHSGKKVATLFGKWDDSMYYVNGEVKGSGKPKVCCPSEATLLWKRNEPAPNRTRYNLTSFAITLNEITPGLQKLPPTDSRLRPDQRHLENGEFDGANSEKQRLERRQRMSRKLQESGWKPRWFRKDGNGSYHYVGGYWEAREQANWDGCPDIFGEFNEETVDSSG >KJB39726 pep chromosome:Graimondii2_0_v6:7:1912412:1915638:-1 gene:B456_007G027800 transcript:KJB39726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGDKEDCDSGYPQIERRKKLPDPVLREKGVSLWSLIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSDLLDRAYKYGKEGNSLQRILNVAAFAVSGYSSSEGRHCKPFNPLLGETFEADYPEKGLHFFSEKVSHHPTLLAFHCEGKGWKFWGDSNLRSKFSGRSIQLDPVGVLTLEFDDGETFQWSKVTTNIYNLILGQVYCDHHGLMQIRGNSQYSCKLKFKEQSIIERNPHQVHGFVEDHSGKKVATLFGKWDDSMYYVNGEVKGSGKPKVCCPSEATLLWKRNEPAPNRTRYNLTSFAITLNEITPGLQEKLPPTDSRLRPDQRHLENGEFDGANSEKQRLERRQRMSRKLQESGWKPRWFRKDGNGSYHYVGGYWEAREQANWDGCPDIFGEFNEETVDSSG >KJB39729 pep chromosome:Graimondii2_0_v6:7:1913118:1915524:-1 gene:B456_007G027800 transcript:KJB39729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGDKEDCDSGYPQIERRKKLPDPVLREKGVSLWSLIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSDLLDRAYKYGKEGNSLQRILNVAAFAVSGYSSSEGRHCKPFNPLLGETFEADYPEKGLHFFSEKVSHHPTLLAFHCEGKGWKFWGDSNLRSKFSGRSIQLDPVGVLTLEFDDGETFQWSKVTTNIYNLILGQVYCDHHGLMQIRGNSQYSCKLKFKEQSIIERNPHQVHGFVEDHSGKKVATLFGKWDDSMYYVNGEVKGSGKPKVCCPSEATLLWKRNEPAPNRTRYNLTSFAITLNEITPGLQEKLPPTDSRLRPDQRHLENGEFDGANSEKQRLERRQRMSRKLQESGWKPRWFRKDGNGSYHYVGGYWEAREQANWDGCPDIFGEFNEETVDSSG >KJB39728 pep chromosome:Graimondii2_0_v6:7:1913118:1915524:-1 gene:B456_007G027800 transcript:KJB39728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGDKEDCDSGYPQIERRKKLPDPVLREKGVSLWSLIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSDLLDRAYKYGKEGNSLQRILNVAAFAVSGYSSSEGRHCKPFNPLLGETFEADYPEKGLHFFSEKVSHHPTLLAFHCEGKGWKFWGDSNLRSKFSGRSIQLDPVGVLTLEFDDGETFQWSKVTTNIYNLILGQVYCDHHGLMQIRGNSQYSCKLKFKEQSIIERNPHQVHGFVEDHSGKKVATLFGKWDDSMYYVNGEVKGSGKPKVCCPSEATLLWKRNEPAPNRTRYNLTSFAITLNEITPGLQEKLPPTDSRLRPDQRHLENGEFDGANSEKQRLERRQRMSRKLQESGWKPRWFRKDGNGSYHYVGGYWEAREQANWDGCPDIFGEFNEETVDSSG >KJB44425 pep chromosome:Graimondii2_0_v6:7:40086069:40086542:1 gene:B456_007G252100 transcript:KJB44425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYYRLTITKAFTSVQYIMTGANFGWLIRSVHRWSASMMVLMMILHIFRVYLIGGFKKPRELTWVTGMVLAVLTASFGVTGYSLPQDHVGYWAVKIVIGVLDAILVTGLLRGSASVGQSTLTRFYSLHTFVLSLLTVVFMLMHFLMIRKQGISGPL >KJB40017 pep chromosome:Graimondii2_0_v6:7:2950676:2951465:1 gene:B456_007G042400 transcript:KJB40017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNEQYERVLSYFDRDGDGKISASELSHRLGLMGGELKLNEAQVAIEALDSNGDGLLDLEDFIGLLEEGGEAEKTKDLKEAFQMYDTDGNGFITPKDLKKMLSKLGEFKSIDECKVMIKRFDLNGDGVISFEEFKLMMQ >KJB45881 pep chromosome:Graimondii2_0_v6:7:55931100:55934372:1 gene:B456_007G335000 transcript:KJB45881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPKPQLPIYALVAAIVVLLQFPELCVAKTRNKYCNSTVCGNVTISYPFRLPTQPPNCGEPRLELDCDSNNRTVLSLNHGRFYVQKIWYEYSTIRAIDPNLAVDDCSLPRGSLLFWDKRLYPWVFPLSFMYVVNCTKAIKSSLYIDASRCPVNSSGSDPPPDSYVYFLGERTSPRDFDESCRIEATVPVMVQNISGFSTSDIYEKLLKPGFELSWSFGSKSQQASPIHALLGDLEYALQSYIDSFIHYLFKGHHIAHDSYYAPKSTYILCLQITGGFILARTLPGIICLIGLVIYKWRRRHLCADDTIEEFLERQKDLMPIRYSYREIEKMSNGFKDKLGEGGYGSVFKGKLRSGYFVAIKLLGKAKGNGQDFINEVATIGRIHHVNVAKLIGFCVEGSKQALVYDFMPNGSLDRIIFAKENKVDLSWKKMFDIALGVARGLDYLHQGCDMQILHFDIKPHNILLDKNFTPKVADFGLAKRFSVDDSIVSLTAARGTIGYIAPELVYKNLGGVSYKADVYSFGMLLMEIIGRRKNMKTSTDHESQKYFPSWIYDRYYHGEDVDLGDVTDDEKIIVKKMVIIASWCVQVKPNDRPSMSKVLEMFETDVELLQMPPKPFQLPFEVATATQSYASTKDQPHDSSTDETSYLLYSSNEITVSIL >KJB39332 pep chromosome:Graimondii2_0_v6:7:905991:908914:-1 gene:B456_007G011500 transcript:KJB39332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAYVKLILGSVAFSIFWVLAVFPTVPLLPIGRAAGSLLGGILMVIFQILTVDQAYQAIDLSILVLLFGTMVVSGYLERADAFKYLGKLLTWKSKGAKDLICRICLISAISSALFTNDTSCMVLTEFVLKIARQNNLPPQPFLLALASSANIGSTATPIGNPQNLVIATQSGVSFGEFLIGILPATVLGLVCNALLLIFMYGDLLSVKKGQQDSTVAFNGDGVDHQFSPATMSHVETTNVDSVHHHESLRNRVNSKQAMGAAEVPWSLNGAYWDHRNQKLWKSCVYIVVVGMLVSLLMGFNMSGTAITAALALMILDFKDAVPCLEKVSYSLLIFFCGMFVTVQGFNKTGIPSALWELMEPYAKIDEISGIAVLALVILVLSNLVSNVPTVLLLGARMAASAALISTAYEKKAWLILAWVSTVAGNLSLLGSAANLIVCEQASRVPDLGYTLTFWNHLKFGVPSTLLVTAIGLLLLKS >KJB39333 pep chromosome:Graimondii2_0_v6:7:907332:908690:-1 gene:B456_007G011500 transcript:KJB39333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAYVKLILGSVAFSIFWVLAVFPTVPLLPIGRAAGSLLGGILMVIFQILTVDQAYQAIDLSILVLLFGTMVVSGYLERADAFKYLGKLLTWKSKGAKDLICRICLISAISSALFTNDTSCMVLTEFVLKIARQNNLPPQPFLLALASSANIGSTATPIGNPQNLVIATQSGVSFGEFLIGILPATVLGLVCNALLLIFMYGDLLSVKKGQQDSTVAFNGDGVDHQFSPATMSHVETTNVDSVHHHESLRNRVNSKQAMGAAEVPWSLNGAYWDHRNQKLWKSCVYIVVVGMLVSLLMGFNMSGTAITAALALMILDFKDAVPCLEKVKKTTVLRYPTLF >KJB39334 pep chromosome:Graimondii2_0_v6:7:907043:908914:-1 gene:B456_007G011500 transcript:KJB39334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAYVKLILGSVAFSIFWVLAVFPTVPLLPIGRAAGSLLGGILMVIFQILTVDQAYQAIDLSILVLLFGTMVVSGYLERADAFKYLGKLLTWKSKGAKDLICRICLISAISSALFTNDTSCMVLTEFVLKIARQNNLPPQPFLLALASSANIGSTATPIGNPQNLVIATQSGVSFGEFLIGILPATVLGLVCNALLLIFMYGDLLSVKKGQQDSTVAFNGDGVDHQFSPATMSHVETTNVDSVHHHESLRNRVNSKQAMGAAEVPWSLNGAYWDHRNQKLWKSCVYIVVVGMLVSLLMGFNMSGTAITAALALMILDFKDAVPCLEKVSYSLLIFFCGMFVTVQGFNKTGIPSALWELMEPYAKIDEISGIAVLALVILVLSNLVSNVPTGMLIFSLLCARPSFR >KJB46036 pep chromosome:Graimondii2_0_v6:7:57332087:57335347:1 gene:B456_007G345200 transcript:KJB46036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNETQQHYFTSNNSNTVTATPSPANGFLLPNDGGGSHHMVYPHSVPSAVTSAMEPARRKRGRPRKYGTPEQAMAAKKTASLSSKVQREQQQQQQLGLGGGGRGSSKKPQLVALGNAGQGFTPHVVNVVAGEDVGQKLMMFIQQSKREVCILSASGTISNVSLRQPATSGGNIAYEGRFEIVSLSGSYVRNETGGRTGGLSVCLSSGDGQIIGGGVGGPLKAAGPVQVLVVLLFDSGMVRKTCNAQFIACTGYCWYVYYRQQEIR >KJB46031 pep chromosome:Graimondii2_0_v6:7:57331951:57336346:1 gene:B456_007G345200 transcript:KJB46031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNETQQHYFTSNNSNTVTATPSPANGFLLPNDGGGSHHMVYPHSVPSAVTSAMEPARRKRGRPRKYGTPEQAMAAKKTASLSSKVQREQQQQQQLGLGGGGRGSSKKPQLVALGNAGQGFTPHVVNVVAGEDVGQKLMMFIQQSKREVCILSASGTISNVSLRQPATSGGNIAYEGRFEIVSLSGSYVRNETGGRTGGLSVCLSSGDGQIIGGGVGGPLKAAGPVQVIVGMFTIDNKKYVSAGAKGEASGSKLPSPVGGTSISNIGFRATFETSGRNPIVGNDDHQSFGGSHFMMQPRGMHMAAQSTDWRIALDDRTGFELTGKTGHGAHQSPENGDYDQITG >KJB46035 pep chromosome:Graimondii2_0_v6:7:57332087:57334956:1 gene:B456_007G345200 transcript:KJB46035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNETQQHYFTSNNSNTVTATPSPANGFLLPNDGGGSHHMVYPHSVPSAVTSAMEPARRKRGRPRKYGTPEQAMAAKKTASLSSKVQREQQQQQQLGLGGGGRGSSKKPQLVALGNAGQGFTPHVVNVVAGEDVGQKLMMFIQQSKREVCILSASGTISNVSLRQPATSGGNIAYEVTTTFFPPYYNYFYINKFAPCLYDAIAIPYSLMLLCLL >KJB46039 pep chromosome:Graimondii2_0_v6:7:57333095:57336346:1 gene:B456_007G345200 transcript:KJB46039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCYLVVADRRKLQSIHSNSSSHDNHRECNAGQGFTPHVVNVVAGEDVGQKLMMFIQQSKREVCILSASGTISNVSLRQPATSGGNIAYEGRFEIVSLSGSYVRNETGGRTGGLSVCLSSGDGQIIGGGVGGPLKAAGPVQVIVGMFTIDNKKYVSAGAKGEASGSKLPSPVGGTSISNIGFRATFETSGRNPIVGNDDHQSFGGSHFMMQPRGMHMAAQSTDWRIALDDRTGFELTGKTGHGAHQSPENGDYDQITG >KJB46032 pep chromosome:Graimondii2_0_v6:7:57332050:57336346:1 gene:B456_007G345200 transcript:KJB46032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNETQQHYFTSNNSNTVTATPSPANGFLLPNDGGGSHHMVYPHSVPSAVTSAMEPARRKRGRPRKYGTPEQAMAAKKTASLSSKVQREQQQQQQLGLGGGGRGSSKKPQLVALGNAGQGFTPHVVNVVAGEDVGQKLMMFIQQSKREVCILSASGTISNVSLRQPATSGGNIAYEGRFEIVSLSGSYVRNETGGRTGGLSVCLSSGDGQIIGGGVGGPLKAAGPVQVIVGMFTIDNKKYVSAGAKGRNPIVGNDDHQSFGGSHFMMQPRGMHMAAQSTDWRIALDDRTGFELTGKTGHGAHQSPENGDYDQITG >KJB46033 pep chromosome:Graimondii2_0_v6:7:57332050:57336346:1 gene:B456_007G345200 transcript:KJB46033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNETQQHYFTSNNSNTVTATPSPANGFLLPNDGGGSHHMVYPHSVPSAVTSAMEPARRKRGRPRKYGTPEQAMAAKKTASLSSKVQREQQQQQQLGLGGGGRGSSKKPQLVALGNAGQGFTPHVVNVVAGEDVGQKLMMFIQQSKREVCILSASGTISNVSLRQPATSGGNIAYEGRFEIVSLSGSYVRNETGGRTGGLSVCLSSGDGQIIGGGVGGPLKAAGPVQVIVGMFTIDNKKYVSAGAKGEASGSKLPSPVGGTSISNIGFRATFETSGRNPIVGNDDHQSFGGSHFMMQPRGMHMAAQSTDWRIALDDRTGFELTG >KJB46038 pep chromosome:Graimondii2_0_v6:7:57332087:57335347:1 gene:B456_007G345200 transcript:KJB46038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNETQQHYFTSNNSNTVTATPSPANGFLLPNDGGGSHHMVYPHSVPSAVTSAMEPARRKRGRPRKYGTPEQAMAAKKTASLSSKVQREQQQQQQLGLGGGGRGSSKKPQLVALGNAGQGFTPHVVNVVAGEDVGQKLMMFIQQSKREVCILSASGTISNVSLRQPATSGGNIAYEGRFEIVSLSGSYVRNETGGRTGGLSVCLSSGDGQIIGGGVGGPLKAAGPVQVLVVLLFDSGMVRKTCNAQFIACTGYCWYVYYRQQEIR >KJB46034 pep chromosome:Graimondii2_0_v6:7:57332050:57336346:1 gene:B456_007G345200 transcript:KJB46034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNETQQHYFTSNNSNTVTATPSPANGFLLPNDGGGSHHMVYPHSVPSAVTSAMEPARRKRGRPRKYGTPEQAMAAKKTASLSSKVQREQQQQQQLGLGGGGRGSSKKPQLVALGNAGQGFTPHVVNVVAGEDVGQKLMMFIQQSKREVCILSASGTISNVSLRQPATSGGNIAYEGRFEIVSLSGSYVRNETGGRTGGLSVCLSSGDGQIIGGGVGGPLKAAGPVQVIVGMFTIDNKKYVSAGAKGEASGSKLPSPVGGTSISNIGFRATFETSGRNPIVGNDDHQSFGGSHFMMQPRGMHMAAQSTDWRIALDDRTGFELTGKTGHGAHQSPENGDYDQITG >KJB46040 pep chromosome:Graimondii2_0_v6:7:57332050:57336346:1 gene:B456_007G345200 transcript:KJB46040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFIQQSKREVCILSASGTISNVSLRQPATSGGNIAYEGRFEIVSLSGSYVRNETGGRTGGLSVCLSSGDGQIIGGGVGGPLKAAGPVQVIVGMFTIDNKKYVSAGAKGEASGSKLPSPVGGTSISNIGFRATFETSGRNPIVGNDDHQSFGGSHFMMQPRGMHMAAQSTDWRIALDDRTGFELTGKTGHGAHQSPENGDYDQITG >KJB46037 pep chromosome:Graimondii2_0_v6:7:57332050:57336346:1 gene:B456_007G345200 transcript:KJB46037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNETQQHYFTSNNSNTVTATPSPANGFLLPNDGGGSHHMVYPHSVPSAVTSAMEPARRKRGRPRKYGTPEQAMAAKKTASLSSKVQREQQQQQQLGLGGGGRGSSKKPQLVALGNAGQGFTPHVVNVVAGEDVGQKLMMFIQQSKREVCILSASGTISNVSLRQPATSGGNIAYEDLMFGMKPEGGLVGSVYVYQVEMARLLVVGLVDL >KJB44689 pep chromosome:Graimondii2_0_v6:7:44618491:44620465:-1 gene:B456_007G266900 transcript:KJB44689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLGIFLLSSLAMLKTIHGHGGGWSNAHATFYGSSDASGTMGGACGYGNLYSQGYGTSTAALSTALFNKGLACGACFEIKCVNDNRWCLQGSIIVTATNFCPPNNALPNNAGGWCNPPLRHFDLSQPVFQHIAHYKAGIVPVAYRRVACRKSGGIRFTINGHSYFNLVLITNVGGAGDVVSVSIKGSRTGWQVMSRNWGQNWQSNSYMNGQALSFKVTTSDGRFVTSNNVAPANWAFGQTFTGGQF >KJB42483 pep chromosome:Graimondii2_0_v6:7:13231926:13237360:1 gene:B456_007G154800 transcript:KJB42483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPGELIAFEESEKFSKDANLDIETSEDEKRRRGRGRSLKKKAMTASTKLTHSLKKRGGRVSDCKYTAISIEDVRDAEEEKAVKAFRQALLAKDQLPSRHDDYYTLLRFLKARKFDLDKTIQMWEDMLNWRNENGVDTIVQDFVFDEYEEVHQCYPHGYHGVDKQGHPVYIERIGKIDVGKLMKVTTVERFLNYHVQGFEKAFLEKFPACSMAAKKPIDCTTTILDVQGLNWMSFGKVANDLVMRMQKIDGDNYPETLHQMYIVNAGSRFKILWNTARGFLDPKTTAKIHVLGNKFHTKLLEIIEPSQLPEFLGGTCSCPNDGGCLRSDKGPWKNPEIMKLTHLGYALYLRKTESSENDNLEVKFLSTKVATSEISCVSDVRLDTSDFMQLVSLSNEERMSGPNSLYGTSKPENAARTLASSTNDITTDVSPRNAGKKLVHHVTSFFTHFVLKLLAFIYFLVPGLGRFLKAQDSKQQTENQSNDQMAGSGSTENGILTEAEEDLLRPCWQRLQNLETMVTKLYNKPANIPPEKEDMLLESLNRIKSMEQDLQRTKKVGPLSTL >KJB42486 pep chromosome:Graimondii2_0_v6:7:13231926:13238578:1 gene:B456_007G154800 transcript:KJB42486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPGELIAFEESEKFSKDANLDIETSEDEKRRRGRGRSLKKKAMTASTKLTHSLKKRGGRVSDCKYTAISIEDVRDAEEEKAVKAFRQALLAKDQLPSRHDDYYTLLRFLKARKFDLDKTIQMWEDMLNWRNENGVDTIVQDFVFDEYEEVHQCYPHGYHGVDKQGHPVYIERIGKIDVGKLMKVTTVERFLNYHVQGFEKAFLEKFPACSMAAKKPIDCTTTILDVQGLNWMSFGKVANDLVMRMQKIDGDNYPEVLGNKFHTKLLEIIEPSQLPEFLGGTCSCPNDGGCLRSDKGPWKNPEIMKLTHLGYALYLRKTESSENDNLEVKFLSTKVATSEISCVSDVRLDTSDFMQLVSLSNEERMSGPNSLYGTSKPENAARTLASSTNDITTDVSPRNAGKKLVHHVTSFFTHFVLKLLAFIYFLVPGLGRFLKAQDSKQQTENQSNDQMAGSGSTENGILTEAEEDLLRPCWQRLQNLETMVTKLYNKPANIPPEKEDMLLESLNRIKSMEQDLQRTKKALFTTASKQVELAELLEHLKECNLAGKFSCWGRNYKPLNPER >KJB42480 pep chromosome:Graimondii2_0_v6:7:13231569:13238578:1 gene:B456_007G154800 transcript:KJB42480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPGELIAFEESEKFSKDANLDIETSEDEKRRRGRGRSLKKKAMTASTKLTHSLKKRGGRVSDCKYTAISIEDVRDAEEEKAVKAFRQALLAKDQLPSRHDDYYTLLRFLKARKFDLDKTIQMWEDMLNWRNENGVDTIVQDFVFDEYEEVHQCYPHGYHGVDKQGHPVYIERIGKIDVGKLMKVTTVERFLNYHVQGFEKAFLEKFPACSMAAKKPIDCTTTILDVQGLNWMSFGKVANDLVMRMQKIDGDNYPETLHQMYIVNAGSRFKILWNTARGFLDPKTTAKIHVLGNKFHTKLLEIIEPSQLPEFLGGTCSCPNDGGCLRSDKGPWKNPEIMKLTHLGYALYLRKTESSENDNLEVKFLSTKVATSEISCVSDVRLDTSDFMQLVSLSNEERMSGPNSLYGTSKPENAARTLASSTNDITTDVSPRNAGKKLVHHVTSFFTHFVLKLLAFIYFLVPGLGRFLKAQDSKQQTENQSNDQMAGSGSTENGILTEAEEDLLRPCWQRLQNLETMVTKLYNKPANIPPEKEDMLLESLNRIKSMEQDLQRTKKGKFSCWGRNYKPLNPER >KJB42482 pep chromosome:Graimondii2_0_v6:7:13231926:13238578:1 gene:B456_007G154800 transcript:KJB42482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPGELIAFEESEKFSKDANLDIETSEDEKRRRGRGRSLKKKAMTASTKLTHSLKKRGGRVSDCKYTAISIEDVRDAEEEKAVKAFRQALLAKDQLPSRHDDYYTLLRFLKARKFDLDKTIQMWEDMLNWRNENGVDTIVQDFVFDEYEEVHQCYPHGYHGVDKQGHPVYIERIGKIDVGKLMKVTTVERFLNYHVQGFEKAFLEKFPACSMAAKKPIDCTTTILDVQGLNWMSFGKVANDLVMRMQKIDGDNYPETLHQMYIVNAGSRFKILWNTARGFLDPKTTAKIHVLGNKFHTKLLEIIEPSQLPEFLGGTCSCPNDGGCLRSDKGPWKNPEIMKLTHLGYALYLRKTESSENDNLEVKFLSTKVATSEISCVSDVRLDTSDFMQLVSLSNEERMSGPNSLYGTSKPENAARTLASSTNDITTDVSPRNAGKKLVHHVTSFFTHFVLKLLAFIYFLVPGLGRFLKAQDSKQQTENQSNDQMAGSGSTENGILTEAEEDLLRPCWQRLQNLETMVTKLYNKPANIPPEKEDMLLESLNRIKSMEQDLQRTKKALFTTASKQVELAELLEHLKECNLAGKFSCWGRNYKPLNPER >KJB42479 pep chromosome:Graimondii2_0_v6:7:13231569:13237890:1 gene:B456_007G154800 transcript:KJB42479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPGELIAFEESEKFSKDANLDIETSEDEKRRRGRGRSLKKKAMTASTKLTHSLKKRGGRVSDCKYTAISIEDVRDAEEEKAVKAFRQALLAKDQLPSRHDDYYTLLRFLKARKFDLDKTIQMWEDMLNWRNENGVDTIVQDFVFDEYEEVHQCYPHGYHGVDKQGHPVYIERIGKIDVGKLMKVTTVERFLNYHVQGFEKAFLEKFPACSMAAKKPIDCTTTILDVQGLNWMSFGKVANDLVMRMQKIDGDNYPETLHQMYIVNAGSRFKILWNTARGFLDPKTTAKIHVLGNKFHTKLLEIIEPSQLPEFLGGTCSCPNDGGCLRSDKGPWKNPEIMKLTHLGYALYLRKTESSENDNLEVKFLSTKVATSEISCVSDVRLDTSDFMQLVSLSNEERMSGPNSLYGTSKPENAARTLASSTNDITTDVSPRNAGKKLVHHVTSFFTHFVLKLLAFIYFLVPGLGRFLKAQDSKQQTENQSNDQMAGSGSTENGILTEAEEDLLRPCWQRLQNLETMVTKLYNKPANIPPEKEDMLLESLNRIKSMEQDLQRTKKALFTTASKQVELAELLEHLKECNLAESNLVTVIVQPQHIPEYLELLIYPFC >KJB42481 pep chromosome:Graimondii2_0_v6:7:13231569:13238578:1 gene:B456_007G154800 transcript:KJB42481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPGELIAFEESEKFSKDANLDIETSEDEKRRRGRGRSLKKKAMTASTKLTHSLKKRGGRVSDCKYTAISIEDVRDAEEEKAVKAFRQALLAKDQLPSRHDDYYTLLRFLKARKFDLDKTIQMWEDMLNWRNENGVDTIVQDFVFDEYEEVHQCYPHGYHGVDKQGHPVYIERIGKIDVGKLMKVTTVERFLNYHVQGFEKAFLEKFPACSMAAKKPIDCTTTILDVQGLNWMSFGKVANDLVMRMQKIDGDNYPETLHQMYIVNAGSRFKILWNTARGFLDPKTTAKIHVLGNKFHTKLLEIIEPSQLPEFLGGTCSCPNDGGCLRSDKGPWKNPEIMKLTHLGYALYLRKTESSENDNLEVKFLSTKVATSEISCVSDVRLDTSDFMQLVSLSNEERMSGPNSLYGTSKPENAARTLASSTNDITTDVSPRNAGKKLVHHVTSFFTHFVLKLLAFIYFLVPGLGRFLKAQDSKQQTENQSNDQMAGSGSTENGILTEAEEDLLRPCWQRLQNLETMVTKLYNKPANIPPEKEDMLLESLNRIKSMEQDLQRTKKALFTTASKQVELAELLEHLKECNLAGKFSCWGRNYKPLNPER >KJB42485 pep chromosome:Graimondii2_0_v6:7:13231868:13238578:1 gene:B456_007G154800 transcript:KJB42485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPGELIAFEESEKFSKDANLDIETSEDEKRRRGRGRSLKKKAMTASTKLTHSLKKRGGRVSDCKYTAISIEDVRDAEEEKAVKAFRQALLAKDQLPSRHDDYYTLLRFLKARKFDLDKTIQMWEDMLNWRNENGVDTIVQDFVFDEYEEVHQCYPHGYHGVDKQGHPVYIERIGKIDVGKLMKVTTVERFLNYHVQGFEKAFLEKFPACSMAAKKPIDCTTTILDVQGLNWMSFGKVANDLVMRMQKIDGDNYPETLHQMYIVNAGSRFKILWNTARGFLDPKTTAKIHVLGNKFHTKLLEIIEPSQLPEFLGGTCSCPNDGGCLRSDKGPWKNPEIMKLTHLGYALYLRKTESSENDNLEVKFLSTKVATSEISCVSDVRLDTSDFMQLVSLSNEERMSGPNSLYGTSKPENAARTLASSTNDITTDVSPRNAGKKLVHHVTSFFTHFVLKLLAFIYFLVPGLGRFLKAQDSKQQTENQSNDQMAGSGSTENGILTEAEEDLLRPCWQRLQNLETMVTKLYNKPANIPPEKEDMLLESLNRIKSMEQDLQRTKKALFTTASKQVELAELLEHLKECNLAGKFSCWGRNYKPLNPER >KJB42484 pep chromosome:Graimondii2_0_v6:7:13231926:13238564:1 gene:B456_007G154800 transcript:KJB42484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPGELIAFEESEKFSKDANLDIETSEDEKRRRGRGRSLKKKAMTASTKLTHSLKKRGGRVSDCKYTAISIEDVRDAEEEKAVKAFRQALLAKDQLPSRHDDYYTLLRFLKARKFDLDKTIQMWEDMLNWRNENGVDTIVQDFVFDEYEEVHQCYPHGYHGVDKQGHPVYIERIGKIDVGKLMKVTTVERFLNYHVQGFEKAFLEKFPACSMAAKKPIDCTTTILDVQGLNWMSFGKVANDLVMRMQKIDGDNYPETLHQMYIVNAGSRFKILWNTARGFLDPKTTAKIHVLGNKFHTKLLEIIEPSQLPEFLGGTCSCPNDGGCLRSDKGPWKNPEIMKLTHLGYALYLRKTESSENDNLEVKFLSTKVATSEISCVSDVRLDTSDFMQLVSLSNEERMSGPNSLYGTSKPENAARTLASSTNDITTDVSPRNAGKKLVHHVTSFFTHFVLKLLAFIYFLVPGLGRFLKAQDSKQQTENQSNDQMAGSGSTENGILTEAEEDLLRPCWQRLQNLETMVTKLYNKPANIPPEKEDMLLESLNRIKSMEQDLQRTKKALFTTASKQVELAELLEHLKECNLAVSSYFLGEENIELGIFLRKIRMDFNC >KJB42016 pep chromosome:Graimondii2_0_v6:7:10755376:10759225:1 gene:B456_007G132600 transcript:KJB42016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPLFNLAPNLTVSRLCLGTMTFGEQNSLPQTLRLLDQAFDAGINFFDSAEMYPVPQRAETQGKSEEYFGQWVRKRKISRDRVVIATKVAGPSGQMSWIRDGPKCLDAKNITEAVDGSLKRLQMDHIDLYQIHWPDRYVPMFGETEYDPVRQFSSVPIEEQLDALGRAVDAGKIRYIGLSNETPYGVMKFLQFAENNVRYPKIISVQNSYSLLCRTFDSGMAECCHHERIYLLGYSPLAMGILSGKYFASDGAPSDARLNLFKGRYSEGESRYSLARNTLKLATMEYLGIAEKYGLHPVSLAIGM >KJB42019 pep chromosome:Graimondii2_0_v6:7:10755190:10760000:1 gene:B456_007G132600 transcript:KJB42019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPLFNLAPNLTVSRLCLGTMTFGEQNSLPQTLRLLDQAFDAGINFFDSAEMYPVPQRAETQGKSEEYFGQWVRKRKISRDRVVIATKVAGPSGQMSWIRDGPKCLDAKNITEAVDGSLKRLQMDHIDLYQIHWPDRCVSSYVPMFGETEYDPVRQFSSVPIEEQLDALGRAVDAGKIRYIGLSNETPYGVMKFLQFAENNVRYPKIISVQNSYSLLCRTFDSGMAECCHHERIYLLGYSPLAMGILSGKYFASDGAPSDARLNLFKGRYSEGESRYSLARNTLKLATMEYLGIAEKYGLHPVSLAIAFVLNHPLVASTVFGVTKPWQLEEVISACNVELTSEIIADINKVHAKFPNPCP >KJB42017 pep chromosome:Graimondii2_0_v6:7:10754989:10760032:1 gene:B456_007G132600 transcript:KJB42017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPLFNLAPNLTVSRLCLGTMTFGEQNSLPQTLRLLDQAFDAGINFFDSAEMYPVPQRAETQGKSEEYFGQWVRKRKISRDRVVIATKVAGPSGQMSWIRDGPKCLDAKNITEAVDGSLKRLQMDHIDLYQIHWPDRYVPMFGETEYDPVRQFSSVPIEEQLDALGRAVDAGKIRYIGLSNETPYGVMKFLQFAENNVRYPKIISVQNSYSLLCRTFDSGMAECCHHERIYLLGYSPLAMGILSGKYFASDGAPSDARLNLFKGRYSEGESRYSLARNTLKLATMEYLGIAEKYGLHPVSLAIGAR >KJB42020 pep chromosome:Graimondii2_0_v6:7:10755190:10760000:1 gene:B456_007G132600 transcript:KJB42020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPLFNLAPNLTVSRLCLGTMTFGEQNSLPQTLRLLDQAFDAGINFFDSAEMYPVPQRAETQGKSEEYFGQWVRKRKISRDRVVIATKVAGPSGQMSWIRDGPKCLDAKNITEAVDGSLKRLQMDHIDLYQIHWPDRFFLYNTGAVILKCFTVVSPAMFPCLEKPSMIQSDNSHLSQLRSNLMLLAELLMLIRYIGLSNETPYGVMKFLQFAENNVRYPKIISVQNSYSLLCRTFDSGMAECCHHERIYLLGYSPLAMGILSGKYFASDGAPSDARLNLFKGRYSEGESRYSLARNTLKLATMEYLGIAEKYGLHPVSLAIAFVLNHPLVASTVFGVTKPWQLEEVISACNVELTSEIIADINKVHAKFPNPCP >KJB42018 pep chromosome:Graimondii2_0_v6:7:10754989:10760032:1 gene:B456_007G132600 transcript:KJB42018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPLFNLAPNLTVSRLCLGTMTFGEQNSLPQTLRLLDQAFDAGINFFDSAEMYPVPQRAETQGKSEEYFGQWVRKRKISRDRVVIATKVAGPSGQMSWIRDGPKCLDAKNITEAVDGSLKRLQMDHIDLYQIHWPDRYVPMFGETEYDPVRQFSSVPIEEQLDALGRAVDAGKIRYIGLSNETPYGVMKFLQFAENNVRYPKIISVQNSYSLLCRTFDSGMAECCHHERIYLLGYSPLAMGILSGKYFASDGAPSDARLNLFKGRYSEGESRYSLARNTLKLATMEYLGIAEKYGLHPVSLAIAFVLNHPLVASTVFGVTKPWQLEEVISACNVELTSEIIADINKVHAKFPNPCP >KJB39860 pep chromosome:Graimondii2_0_v6:7:2326702:2329160:1 gene:B456_007G034000 transcript:KJB39860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMPPATCASASSSSIVNSSPIGGGGGGGGEIMLFGVRVVVDSMRKSVSMNNLSQYEQPHESNKNNNKNDKDQGDDNLTAGYASADDAVPHSTGNRERKRGVPWTEEEHKLFLVGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDTVQAIPMEEERVDRQENSTQPSETNVFPIMPATFPMAFNQVVPPVPIQNPTENLTIAQGNQLNNNVPTANLIRPVPNFPALQRSDLNTDLNPVTDPLSLSLKLSLQSDQMDSSSSSTSRHSPFQWIELKTEMGGCPVLSCSVVICHVMHVTLDCPDDMQGRCF >KJB39859 pep chromosome:Graimondii2_0_v6:7:2326727:2328812:1 gene:B456_007G034000 transcript:KJB39859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMPPATCASASSSSIVNSSPIGGGGGGGGEIMLFGVRVVVDSMRKSVSMNNLSQYEQPHESNKNNNKNDKDQGDDNLTAGYASADDAVPHSTGNRERKRGVPWTEEEHKLFLVGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDTAIPMEEERVDRQENSTQPSETNVFPIMPATFPMAFNQVVPPVPIQNPTENLTIAQGNQLNNNVPTANLIRPVPNFPALQRSDLNTDLNPVTDPLSLSLKLSLQSDQMDSSSSSTSRHSPFQVMPNFSSGDNNNIISVA >KJB39858 pep chromosome:Graimondii2_0_v6:7:2326820:2328410:1 gene:B456_007G034000 transcript:KJB39858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMPPATCASASSSSIVNSSPIGGGGGGGGEIMLFGVRVVVDSMRKSVSMNNLSQYEQPHESNKNNNKNDKDQGDDNLTAGYASADDAVPHSTGNRERKRGVPWTEEEHKLFLVGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDTVQAIPMEEERVDRQENSTQPSETNVFPIMPATFPMAFNQVVPPVPIQNPTENLTIAQGNQLNNNVPTANLIRPVPNFPALQRSDLNTDLNPVTDPLSLSLKLSLQSDQMDSSSSSTSRHSPFQVMPNFSSGDNNNIISVA >KJB44482 pep chromosome:Graimondii2_0_v6:7:41386022:41390335:1 gene:B456_007G255400 transcript:KJB44482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVDKYRPKTLAQVIVHEDIAQNLTKLVTEQDCPHLLFYGPSGSGKKTLIMALLRQIFGPSAEKVKVENRNWKIDAGSRTIDLELTTLASTNHVELSPSDVGFQDRYIVQEIIKEMAKNRPIDTKGKKGFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAIRSRCLNIRINAPSAEQIVKVLEFIGKKEGLQIPSGFAARIAEKSNRNLRRAILSFETCRVQQYPFTSNQAIPPMDWEEYISEIATDIMKEQSPKRLFQVRGKVYELLINCIPPEIVLKRLLHELLKKLDAELKHEVCHWAAYYEHRMRLGQKAIFHIEAFVAKFMSIYKAFLIATFG >KJB44483 pep chromosome:Graimondii2_0_v6:7:41386595:41390335:1 gene:B456_007G255400 transcript:KJB44483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWILNLVKVENRNWKIDAGSRTIDLELTTLASTNHVELSPSDVGFQDRYIVQEIIKEMAKNRPIDTKGKKGFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAIRSRCLNIRINAPSAEQIVKVLEFIGKKEGLQIPSGFAARIAEKSNRNLRRAILSFETCRVQQYPFTSNQAIPPMDWEEYISEIATDIMKEQSPKRLFQVRGKVYELLINCIPPEIVLKRLLHELLKKLDAELKHEVCHWAAYYEHRMRLGQKAIFHIEAFVAKFMSIYKAFLIATFG >KJB46093 pep chromosome:Graimondii2_0_v6:7:58128319:58130529:1 gene:B456_007G351000 transcript:KJB46093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aladin [Source:Projected from Arabidopsis thaliana (AT3G56900) UniProtKB/Swiss-Prot;Acc:Q8GWR1] MPSFPQPGSVTICEINRDLVTADALSDSEAKDTYGKLLGMVFNPVPFQSLEDPAQPPPASNAAVSQPKGFGMITSSLKHIFLPNDIDLLPEVALQGVSWHQHKHILAFISGSNQVTVRDYEDSGKEPCILTSESQRDVKVLEWRPNGGKSLSVACKGGICIWAASYPGNAASVRSGSASFLGALSRGSGTRWTLVDFFRSSHGEQISALSWSPDGRYPY >KJB46095 pep chromosome:Graimondii2_0_v6:7:58128319:58134121:1 gene:B456_007G351000 transcript:KJB46095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aladin [Source:Projected from Arabidopsis thaliana (AT3G56900) UniProtKB/Swiss-Prot;Acc:Q8GWR1] MPSFPQPGSVTICEINRDLVTADALSDSEAKDTYGKLLGMVFNPVPFQSLEDPAQPPPASNAAVSQPKGFGMITSSLKHIFLPNDIDLLPEVALQGVSWHQHKHILAFISGSNQVTVRDYEDSGKEPCILTSESQRDVKVLEWRPNGGKSLSVACKGGICIWAASYPGNAASVRSGSASFLGALSRGSGTRWTLVDFFRSSHGEQISALSWSPDGRYLASASYESSSFTIWDVAQGTGTPIRRGLGGTSVIKWSPTGDYFFSGKFDGTFYLWETNTWTSEPWSSASGFVTGATWDPDGRMVLLAFSKSSKLGSIHFASKPPSLDAHLLPVDLPEIISLTGSQGIEKIAWDASGERLAVSYKGGDDIYKGLIAIYDTRRNPLISASLM >KJB46092 pep chromosome:Graimondii2_0_v6:7:58128235:58134575:1 gene:B456_007G351000 transcript:KJB46092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aladin [Source:Projected from Arabidopsis thaliana (AT3G56900) UniProtKB/Swiss-Prot;Acc:Q8GWR1] MPSFPQPGSVTICEINRDLVTADALSDSEAKDTYGKLLGMVFNPVPFQSLEDPAQPPPASNAAVSQPKGFGMITSSLKHIFLPNDIDLLPEVALQGVSWHQHKHILAFISGSNQVTVRDYEDSAGKEPCILTSESQRDVKVLEWRPNGGKSLSVACKGGICIWAASYPGNAASVRSGSASFLGALSRGSGTRWTLVDFFRSSHGEQISALSWSPDGRYLASASYESSSFTIWDVAQGTGTPIRRGLGGTSVIKWSPTGDYFFSGKFDGTFYLWETNTWTSEPWSSASGFVTGATWDPDGRMVLLAFSKSSKLGSIHFASKPPSLDAHLLPVDLPEIISLTGSQGIEKIAWDASGERLAVSYKGGDDIYKGLIAIYDTRRNPLISASLIGFIRGPGDNPKPIAFSFHGKFKQGPLLSVCWSSGFCCTYPLLFRS >KJB46094 pep chromosome:Graimondii2_0_v6:7:58128319:58134121:1 gene:B456_007G351000 transcript:KJB46094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aladin [Source:Projected from Arabidopsis thaliana (AT3G56900) UniProtKB/Swiss-Prot;Acc:Q8GWR1] MPSFPQPGSVTICEINRDLVTADALSDSEAKDTYGKLLGMVFNPVPFQSLEDPAQPPPASNAAVSQPKGFGMITSSLKHIFLPNDIDLLPEVALQGVSWHQHKHILAFISGSNQVTVRDYEDSGKEPCILTSESQRDVKVLEWRPNGGKSLSVACKGGICIWAASYPGNAASVRSGSASFLGALSRGSGTRWTLVDFFRSSHGEQISALSWSPDGRYLASASYESSSFTIWDVAQGTGTPIRRGLGGTSVIKWSPTGDYFFSGKFDGTFYLWETNTWTSEPWSSASGFVTMPTYYQLICQR >KJB46096 pep chromosome:Graimondii2_0_v6:7:58128319:58134121:1 gene:B456_007G351000 transcript:KJB46096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aladin [Source:Projected from Arabidopsis thaliana (AT3G56900) UniProtKB/Swiss-Prot;Acc:Q8GWR1] MPSFPQPGSVTICEINRDLVTADALSDSEAKDTYGKLLGMVFNPVPFQSLEDPAQPPPASNAAVSQPKGFGMITSSLKHIFLPNDIDLLPEVALQGVSWHQHKHILAFISGSNQVTVRDYEDSGKEPCILTSESQRDVKVLEWRPNGGKSLSVACKGGICIWAASYPGNAASVRSGSASFLGALSRGSGTRWTLVDFFRSSHGEQISALSWSPDGRYLASASYESSSFTIWDVAQGTGTPIRRGLGGTSVIKWSPTGDYFFSGKFDGTFYLWETNTWTSEPWSSASGFVTGATWDPDGRMVLLAFSKSSKLGSIHFASKPPSLDAHLLPVDLPEIISLTGRESRR >KJB46091 pep chromosome:Graimondii2_0_v6:7:58128319:58134199:1 gene:B456_007G351000 transcript:KJB46091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aladin [Source:Projected from Arabidopsis thaliana (AT3G56900) UniProtKB/Swiss-Prot;Acc:Q8GWR1] MPSFPQPGSVTICEINRDLVTADALSDSEAKDTYGKLLGMVFNPVPFQSLEDPAQPPPASNAAVSQPKGFGMITSSLKHIFLPNDIDLLPEVALQGVSWHQHKHILAFISGSNQVTVRDYEDSGKEPCILTSESQRDVKVLEWRPNGGKSLSVACKGGICIWAASYPGNAASVRSGSASFLGALSRGSGTRWTLVDFFRSSHGEQISALSWSPDGRYLASASYESSSFTIWDVAQGTGTPIRRGLGGTSVIKWSPTGDYFFSGKFDGTFYLWETNTWTSEPWSSASGFVTGATWDPDGRMVLLAFSKSSKLGSIHFASKPPSLDAHLLPVDLPEIISLTGSQGIEKIAWDASGERLAVSYKGGDDIYKGLIAIYDTRRNPLISASLIGFIRGPGDNPKPIAFSFHGKFKQGPLLSVCWSSGFCCTYPLLFRS >KJB46097 pep chromosome:Graimondii2_0_v6:7:58128185:58134615:1 gene:B456_007G351000 transcript:KJB46097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aladin [Source:Projected from Arabidopsis thaliana (AT3G56900) UniProtKB/Swiss-Prot;Acc:Q8GWR1] MPSFPQPGSVTICEINRDLVTADALSDSEAKDTYGKLLGMVFNPVPFQSLEDPAQPPPASNAAVSQPKGFGMITSSLKHIFLPNDIDLLPEVALQGVSWHQHKHILAFISGSNQVTVRDYEDSGKEPCILTSESQRDVKVLEWRPNGGKSLSVACKGGICIWAASYPGNAASVRSGSASFLGALSRGSGTRWTLVDFFRSSHGEQISALSWSPDGRYLASASYESSSFTIWDVAQGTGTPIRRGLGGTSVIKWSPTGDYFFSGKFDGTFYLWETNTWTSEPWSSASGFVTGATWDPDGRMVLLAFSKSSKLGSIHFASKPPSLDAHLLPVDLPEIISLTGSQGIEKIAWDASGERLAVSYKGGDDIYKGLIAIYDTRRNPLISASLIGFIRGPGDNPKPIAFSFHGKFKQGPLLSVCWSSGFCCTYPLLFRS >KJB40072 pep chromosome:Graimondii2_0_v6:7:3164142:3166712:-1 gene:B456_007G045200 transcript:KJB40072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNSESDSNGWSRARGLVVKTLVLIGGALLLKRFTKSTTRWDHARIVARSLSGEKFSREQASRNPDSYFNIRTLTCPATEMVDGSNVLYFEQAFWRTPQKPFRQRFFMVKPCPKDLKCDVEVSSYAIRDADEYRNFCDRPRDQCPPPEEVIDDVAEHLTTIYLKRCERGKRCLYEGSTPPGGFPNSWNGATYCTSELTILKNNEIHTWDRGYDDDGNQVVWGVKEGPYEFKPAPASSFNGMFSPLNFAPSQPMEKRIEGSFVLQE >KJB40071 pep chromosome:Graimondii2_0_v6:7:3163991:3166767:-1 gene:B456_007G045200 transcript:KJB40071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNSESDSNGWSRARGLVVKTLVLIGGALLLKRFTKSTTRWDHARIVARSLSGEKFSREQASRNPDSYFNIRTLTCPATEMVDGSNVLYFEQAFWRTPQKPFRQRFFMVKPCPKDLKCDVEVSSYAIRDADEYRNFCDRPRDQCPPPEEVIDDVAEHLTTIYLKRCERGKRCLYEGSTPPGGFPNSWNGATYCTSELTILKNNEIHTWDRGYDDDGNQVWGVKEGPYEFKPAPASSFNGMFSPLNFAPSQPMEKRIEGSFVLQE >KJB42262 pep chromosome:Graimondii2_0_v6:7:12265563:12268441:1 gene:B456_007G145600 transcript:KJB42262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAGHKIGFSALMSMLKYCYEFGVRYVTVYAFSIENFKRSPEEVQSLMDLMLEKIEEILKEQSIVNRYGVRVIFSGNLKLLSEPVRLAAERAMLATAKNSKAVLSICVAYTSTNEIMHAVQESCEEKWDEITLLNSSGAGYGLISLGGYEQDEMDHLIKLTDIEKHMYMAAAPDPDIIIRTSGETRLSNFLLWQSANCYLYSPSVLWPEIGFRQFLWAILNFQQIHFYLDKQRKQS >KJB42263 pep chromosome:Graimondii2_0_v6:7:12265563:12268441:1 gene:B456_007G145600 transcript:KJB42263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIRERVIQIYGNLVSLLQKCIFCILSVGPIPNHIAFIMDGNRRFTKKCKLMEGAGHKIGFSALMSMLKYCYEFGVRYVTVYAFSIENFKRSPEEVQSLMDLMLEKIEEILKEQSIVNRYGVRVIFSGNLKLLSEPVRLAAERAMLATAKNSKAVLSICVAYTSTNEIMHAVQESCEEKWDEITLLNSSGAGYGLISLGGYEQDEMDHLIKLTDIEKHMYMAAAPDPDIIIRTSGETRLSNFLLWQSANCYLYSPSVLWPEIGFRQFLWAILNFQQIHFYLDKQRKQS >KJB39558 pep chromosome:Graimondii2_0_v6:7:1421626:1425028:1 gene:B456_007G019000 transcript:KJB39558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATVTLNDQDQSKLRSTTDLSLHDNEQGLYNKIGGTNGGGGKDELGDSFKRDMRELQELFSKLNPMAEEFVPHSFVNNGLNGGFHTNNIAFQNNNNNISRNGNANCNGGGKRKKILGQGKRKSNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAGLFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGAQAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVYRLRLLGDYQHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRISPSKTPVRPRAPRLPSY >KJB39552 pep chromosome:Graimondii2_0_v6:7:1421228:1425028:1 gene:B456_007G019000 transcript:KJB39552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATVTLNDQDQSKLRSTTDLSLHDNEQGLYNKIGGTNGGGGKDELGDSFKRDMRELQELFSKLNPMAEEFVPHSFVNNGLNGGFHTNNIAFQNNNNNISRNGNANCNGGGKRKKILGQGKRKSNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAGLFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGAQAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVYRLRLLGDYQHSTRIAFVEFAESAIAALNCSGVVLGSLPIRISPSKTPVRPRAPRLPSY >KJB39550 pep chromosome:Graimondii2_0_v6:7:1421228:1424030:1 gene:B456_007G019000 transcript:KJB39550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATVTLNDQDQSKLRSTTDLSLHDNEQGLYNKIGGTNGGGGKDELGDSFKRDMRELQELFSKLNPMAEEFVPHSFVNNGLNGGFHTNNIAFQNNNNNISRNGNANCNGGGKRKKILGQGKRKSNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAGLFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGAQAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVYRLRLLGDYQHSTRIAFVEFVMVVVFFLFIHAM >KJB39554 pep chromosome:Graimondii2_0_v6:7:1421228:1425035:1 gene:B456_007G019000 transcript:KJB39554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATVTLNDQDQSKLRSTTDLSLHDNEQGLYNKIGGTNGGGGKDELGDSFKRDMRELQELFSKLNPMAEEFVPHSFVNNGLNGGFHTNNIAFQNNNNNISRNGNANCNGGGKRKKILGQGKRKSNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAGLFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGAQAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVYRLRLLGDYQHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRISPSKTPVRPRAPRLPSY >KJB39553 pep chromosome:Graimondii2_0_v6:7:1421264:1425028:1 gene:B456_007G019000 transcript:KJB39553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATVTLNDQDQSKLRSTTDLSLHDNEQGLYNKIGGTNGGGGKDELGDSFKRDMRELQELFSKLNPMAEEFVPHSFVNNGLNGGFHTNNIAFQNNNNNISRNGNANCNGGGKRKKILGQGKRKSNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAGLFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGAQAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVYRLRLLGDYQHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRISPSKTPVRPRAPRLPSY >KJB39557 pep chromosome:Graimondii2_0_v6:7:1421329:1425028:1 gene:B456_007G019000 transcript:KJB39557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATVTLNDQDQSKLRSTTDLSLHDNEQGLYNKIGGTNGGGGKDELGDSFKRDMRELQELFSKLNPMAEEFVPHSFVNNGLNGGFHTNNIAFQNNNNNISRNGNANCNGGGKRKILGQGKRKSNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAGLFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGAQAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVYRLRLLGDYQHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRISPSKTPVRPRAPRLPSY >KJB39555 pep chromosome:Graimondii2_0_v6:7:1422005:1424648:1 gene:B456_007G019000 transcript:KJB39555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMVGFTLTILLFKTTITTSQGMVMLIAMVVVKGYKILGQGKRKSNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAGLFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGAQAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVYRLRLLGDYQHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRISPSKTPVRPRAPRLPSY >KJB39556 pep chromosome:Graimondii2_0_v6:7:1421329:1425028:1 gene:B456_007G019000 transcript:KJB39556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATVTLNDQDQSKLRSTTDLSLHDNEQGLYNKIGGTNGGGGKDELGDSFKRDMRELQELFSKLNPMAEEFVPHSFVNNGLNGGFHTNNIAFQNNNNNISRNGNANCNGGGKRKKILGQGKRKSNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAGLFVGCGQVVDCRICGDPNSVLRFAFIEFTDEGAQAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVYRLRLLGDYQHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRISPSKTPVRPRAPRLPSY >KJB39551 pep chromosome:Graimondii2_0_v6:7:1421228:1424267:1 gene:B456_007G019000 transcript:KJB39551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATVTLNDQDQSKLRSTTDLSLHDNEQGLYNKIGGTNGGGGKDELGDSFKRDMRELQELFSKLNPMAEEFVPHSFVNNGLNGGFHTNNIAFQNNNNNISRNGNANCNGGGKRKKILGQGKRKSNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAGLFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGAQAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVYRLRLLGDYQHSTRIAFVEFVMVVVFFLFIHAM >KJB41688 pep chromosome:Graimondii2_0_v6:7:8936267:8937950:-1 gene:B456_007G115000 transcript:KJB41688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFQGEEEAAAKVPVTSPFRYNSPLVQVSLIGLVCFCCPGMFNALTGMGGGGQVNPDAANNANTALYTTFSIFGVLGGGVYNIFGPKVTLAMGCSTYVLYAGSFLYYNHQQDQTFAIFAGVLLGIGASFLWAGQGAIMTSYPTATRKGTYISLFWIIFNLGGVIGGLIPFILNYHRQEKAETVNDMTYIVFMCFMSAGTLISFTILSPDRVVKDDGTHCTNIKYSNVTTEAIEILKLFCNWKLRLIIPAAWASNFFYSYQFDNVNGLMFNLRTRGFNNVFYWGAQMIGSVGIGYILDFSFKSRRTRGLVGVGIVAVLGTVIWAGGLANQLNYSFDKPPNRLDFKRCGSDFAGPFVLYFSYGLLDWVIGALADTSETLSRYAGFYKGVQSAGAAVAWQVGKKKIPLLNQLIVNWVLTTLSYPLLAVLIYKAVKDTDGSKPAEDNDTASGLPPPASMKDGYKELENSITTEKNGLSTWQSLPLKFYALSTSCSSYTGNKSSIGRNLN >KJB46612 pep chromosome:Graimondii2_0_v6:7:60848463:60856120:1 gene:B456_007G378000 transcript:KJB46612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASMDLNASPVPEDDEEIYERHIEHYSAQEEHVESAVEISRREREERRKRLRRDRSDDRPVHVSQPPVHDHFYQNRNPRSYDKSRIPPGWLDCPSVGQEIGCIIPSKVPLGESYNDCVPPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTSRYYQTTDLKREGIKHVKIQCKGRDSVPENVAVNTFVYEVSQFLLRQKSKKYILVHCTHGHNRTGYMIIHYLMRSQSMSVTQAIKIFNEARPPGIYKPDYIDALYAFYHERRPEMVVCPSTPEWKRSSDLDLNGDAVADDDDDDGGGGPAALPENHETDAVLTNDDILGDEIPRDQLESMRSFCYQTLKLNLGVRGHAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITVDGCYLIDRSFNFRRVQMRFPARHPNEGIGERTHHFTLLDGEMVIDTLPDSQKQERRYLIYDMMAINTVSIVERPFYERWKMLEKEVIEPRNYERQNIYQSRNPYYRYDLEPFRVRRKDFWLLSTVNKVLKEFIPRLSHEADGLIFQGWDDPYVPRTHEGLLKWKYARLNSVDFLFEISSDDRPQLYLFERGKRKLMDRDTVEFRDVSDPPSSFSGKIIECSWDPDQQVWVYMRIRTDKSTPNDFNTFKKVMRSIKDNITDEILLNEINEIIRLPMYADRIRMDSKASARRK >KJB46613 pep chromosome:Graimondii2_0_v6:7:60849148:60856031:1 gene:B456_007G378000 transcript:KJB46613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASMDLNASPVPEDDEEIYERHIEHYSAQEEHVESAVEISRREREERRKRLRRDRSDDRPVHVSQPPVHDHFYQNRNPRSYDKSRIPPGWLDCPSVGQEIGCIIPSKVPLGESYNDCVPPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTSRYYQTTDLKREGIKHVKIQCKGRDSVPENVAVNTFVYEVSQFLLRQKSKKYILVHCTHGHNRTGYMIIHYLMRSQSMSVTQAIKIFNEARPPGIYKPDYIDALYAFYHERRPEMVVCPSTPEWKRSSDLDLNGDAVADDDDDDGGGGPAALPENHETDAVLTNDDILGDEIPRDQLESMRSFCYQTLKLNLGVRGHAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITVDGCYLIDRSFNFRRVQMRFPARHPNEGIGERTHHFTLLDGEMVIDTLPDSQKQERRYLIYDMMAINTVSIVERPFYERWKMLEKEVIEPRNYERQNIYQSRNPYYRYDLEPFRVRRKDFWLLSTVNKVLKEFIPRLSHEADGLIFQGWDDPYVPRTHEGLLKWKYARLNSVDFLFEISSDDRPQLYLFERGKRKLMDRDTVEFRDVSDPPSSFSGKIIECSWDPDQQVWVYMRIRTDKSTPNDFNTFKKVMRSIKDNITDEILLNEINEIIRLPMYADRIRMDSKASARRK >KJB39665 pep chromosome:Graimondii2_0_v6:7:1739043:1739787:-1 gene:B456_007G024700 transcript:KJB39665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFMKSSSRSVHEERRWSAELPTTQSDLCMSLTVWRKSLVMSCNGFTVINSDGNVVYRVETYIGQRPKELVLMDGVGKSILTMRRNKNLRFLDTWFIYGGEIGAHCISTKRSEEQPIFYVKKCINILHNNPNVLAYVYRGRSTDEKYVYMIEGSYSHRSCKVVNEAKKVVAEIKRKDAIIGGVSFGVEVFMLIVEAGFDPGLAMALVLLLDQMFS >KJB46393 pep chromosome:Graimondii2_0_v6:7:59740423:59741033:1 gene:B456_007G365200 transcript:KJB46393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNPSKVFYCPNIIQCYGGFTTLEREQGMIYNILDEKYDVKIPERDVNYYAQMILEVLLDIHEKRFIHSDLKPGNILVFPPQHGANLSTLKTADFGLAKQLGVKDTRFEFQGIRYYMSLGSIFEEVSGALDIWSLGCIEVQMIMGKLPWDTRDRDELRDKFLRGESANILEDMSKLGNSFLKECFAIDPNK >KJB40271 pep chromosome:Graimondii2_0_v6:7:3861103:3862608:1 gene:B456_007G055100 transcript:KJB40271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNNSNTKLILLHPYIQKQGSSNKLWLLAFISFFTIAFLLTLVYTRESTTGKPTAAGIIAVTGGGVGDAAPLPTTVVNTLLHYASKSNDSFHMSYSELKPISDVLRKRSSPCNFLVFGLTPETLLWKSLNHNGRTVFIEENRYYAAYFEEIHPEIDVFDVQYTTKMNETKELIASAKEQIHNECRPVQNLLFSDCKLGINDLPNHVYEVDWDVILIDGPRGNGPESPGRMQPIFTAGVLARSKKGGSLKTHVFVHDYYRDVEQMSGDEFLCRENMVERNDMLAHFMVERMEENSFQYCRNKNNSSSSTKASVS >KJB44030 pep chromosome:Graimondii2_0_v6:7:28955320:28957284:1 gene:B456_007G231000 transcript:KJB44030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARFCNSVVILLCFVSFLSILPCPVSVSFQLSRFDPTMITIVYQGDAKPDVGTIEFNLVNYINRVGWATYADKVLLWDSETGKISDFNTRFSFEINTQGVPLYGHGLCFFLAPVGSLIPPNSAGGFLGLFNTTTSDSPSNQIVSIEFDSFHNPEWDPHGIDGHVGINNNSIASAVYTQWNASSHSEDTANVLVTYNSTTKDLSVSWSYLTTNSPRENSSLSMRIDLMKVLPERVMVGFSAATGMYVERHVLKSWEFHSNLEEPATNGKNTRRIKILVASAVPVSVLIAGTVISCIMWFLWKKRQTKIGETKNLASINDDELERGAGPRRFSFKDLESATNNFSEGRKLGEGGFGAVYRGYLIDLDIEIAVKRISRGSKQGKKEYATEVKVISQSRHRNLVQLIGWCHDKRELLLVYEFMPNGSLDSHLFGRRIPLTWPVRYKISLGLASAIFYLHEECEQCVVHRDIKSNNVMLDSSFNAKLGDFGLARLMSHELGPKTTGLAGTIGYLAPEYISTGRASKESDVYSFGVVLLEIATGRKSADPREATETGLVEQVWDLYGEGKLLSVVDEKLNQDFDVKQAECVMIAGLWCAHPDNRSRPSIRQAIQVLNFEIAPPDLPKNMPLPEFHALPVSFISKQLGINNSSTTDGCEI >KJB43137 pep chromosome:Graimondii2_0_v6:7:17832585:17836641:-1 gene:B456_007G186200 transcript:KJB43137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MACNSSTCNSSGCYKGYVEEEQPKPNTKAAVNGGNSCQNLCVKCKVNEPVCCGIGGENSRFCKDCFKNNLYGKFKQAVTYNAMITPPDKVLVAFSGGPSSRVVLQFVHEMQYKAQKNYDANKDKSLPVFGVGVAFIDESSTHSFTSQHIEKAIEDIRLIVSNLAPPSKELFVVPIESIFSSDGIDGKERLKELLDAVSDVTGKEDLLSHLRILLLQKIASENGYTRIVLGSCTSRIACHVISATVKGQGYSLSADIQYVDSRWEIPVVLPLRDCPAQELNTLCSLDGLKIVELLNGPCSGINGLVSSFVKVLQEENPSRECTIVRTAGKLTPFHFNRVPEIHDSNVPSATRRHQKRYTLKPNGSLSSDSFCPICNSPLKKSNFPSSLRSHGSQQNPDLFASACSSCQFQILPKDPSLMEEFLSLLPQQMITKAKHGDQGNFSLLREQIQEFLFSDGENEI >KJB43135 pep chromosome:Graimondii2_0_v6:7:17833434:17836516:-1 gene:B456_007G186200 transcript:KJB43135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MACNSSTCNSSGCYKGYVEEEQPKPNTKAAVNGGNSCQNLCVKCKVNEPVCCGIGGENSRFCKDCFKNNLYGKFKQAVTYNAMITPPDKVLVAFSGGPSSRVVLQFVHEMQYKAQKNYDANKDKSLPVFGVGVAFIDESSTHSFTSQHIEKAIEDIRLIVSNLAPPSKELFVVPIESIFSSDGIDGKERLKELLDAVSDVTGKEDLLSHLRILLLQKIASENGYTRIVLGSCTSRIACHVISATVKGQGYSLSADIQYVDSRWEIPVVLPLRDCPAQELNTLCSLDGSLKIVELLNGPCSGINGLVSSFVKVLQEENPSRECTIVRTAGKLTPFHFNRVPEIHDSNVPSATRRHQKRYTLKPNGSLSSDSFCPICNSPLKKSNFPSSLRSHGSQQNPDLFASACSSCQFQILPKDPSLMEEFLSLLPQQMITKAKHGDQGNFSLLREQIQEFLFSDGENEI >KJB43134 pep chromosome:Graimondii2_0_v6:7:17832567:17836686:-1 gene:B456_007G186200 transcript:KJB43134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MACNSSTCNSSGCYKGYVEEEQPKPNTKAAVNGGNSCQNLCVKCKVNEPVCCGIGGENSRFCKDCFKNNLYGKFKQAVTYNAMITPPDKVLVAFSGGPSSRVVLQFVHEMQYKAQKNYDANKDKSLPVFGVGVAFIDESSTHSFTSQHIEKAIEDIRLIVSNLAPPSKELFVVPIESIFSSDGIDGKERLKELLDAVSDVTGKEDLLSHLRILLLQKIASENGYTRIVLGSCTSRIACHVISATVKGQGYSLSADIQYVDSRWEIPVVLPLRDCPAQELNTLCSLDGLKIVELLNGPCSGINGLVSSFVKVLQEENPSRECTIVRTAGKLTPFHFNRVPEIHDSNVPSATRRHQKRYTLKPNGSLSSDSFCPICNSPLKKSNFPSSLRSHGSQQNPDLFASACSSCQFQILPKDPSLMEEFLSLLPQQMITKAKHGDQGNFSLLREQIQEFLFSDGENEI >KJB43136 pep chromosome:Graimondii2_0_v6:7:17833434:17836516:-1 gene:B456_007G186200 transcript:KJB43136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MACNSSTCNSSGCYKGYVEEEQPKPNTKAAVNGGNSCQNLCVKCKVNEPVCCGIGGENSRFCKDCFKNNLYGKFKQAVTYNAMITPPDKVLVAFSGGPSSRVVLQFVHEMQYKAQKNYDANKDKSLPVFGVGVAFIDESSTHSFTSQHIEKAIEDIRLIVSNLAPPSKELFVVPIESIFSSDGIDGKERLKELLDAVSDVTGKEDLLSHLRILLLQKIASENGYTRIVLGSCTSRIACHVISATVKGQGYSLSADIQYVDSRWEIPVVLPLRDCPAQELNTLCSLDGSLKIVELLNGPCSGINGLVSSFVKVLQEENPSRECTIVRTAGKLTPFHFNRVPEIHDSNVPSATRRHQKRYTLKPNGSLSSDSFCPICNSPLKKSNFPSSLRSHGSQQNPDLFASACSSCQFQILPKDPSLMEEFLSLLPQQMITKAKHGDQGNFSLLREQIQEFLFSDGENEI >KJB42256 pep chromosome:Graimondii2_0_v6:7:12221950:12225090:1 gene:B456_007G145300 transcript:KJB42256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASLSLISKLNRQRFRGISPLLSRDYISVNSPSSSSPSPLHSRDHRIQFCAKTPGLVYPCRNLGTLVEKPNQLPSRQRKLKEKSDLEEAFESAKTSEEMLRAFKDMEACFDERELGLASLKIGLKLDQEGEDPEKALSFADKALKALDQDGKPSILVAMALQLMGSVNYSLKRFNDSLGYLNRANKLLGRLEEERVASVEDIRPVMHAVQLELGNVKTAMGRREEALGNFKKALEIKEITLEKDCKELGVAYRDLAEAYASVLNFKEALPFGLKALEIHRKELGNNSVEVAHDRRILGVIYTGMEEHEKALEQNELSQKILKNWGLSSELLRSEIDAANMQIALGKYDEAINTLKGIVQQTDKDSENRALVFISMGKALCNQERFADSKRCLEIACGILDKKETVSPIEVAEAYSEISMQYETMNEFEIAISLLKRSLALLEKQPQEQHSEGSVSARIGWLLLLKGEVPQAIPYLESAAEILKESFGSKHFGVGYIYNNLGAAYLELDRPQSAAQMFAVAKDIMDVSLGPHHVDSIETCQNLSKAYSAMGSYPLAIEFQRRVVDAWEGHGASAEDELREAQHILEELKTKARGTSTNQFPTKALPLPQHSLASRNSHPNVSFNQNSAGSMR >KJB43663 pep chromosome:Graimondii2_0_v6:7:22921076:22921527:-1 gene:B456_007G211200 transcript:KJB43663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFRLKNVCVILLVLILCQEILVYSVGARHLRGEASKNHRSRRQRDQNSFKMPKINGNVHSSGSGQEQSSKVEYVDDFRPTAPGHSPGAGHSINN >KJB45909 pep chromosome:Graimondii2_0_v6:7:56151193:56153793:-1 gene:B456_007G3371002 transcript:KJB45909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHIPKFFGLLESLTYLNLSRAQFQGAIPHILGNLSKLQYLDLGGNDLKSKSLQWVSGLSSLQYLDLSSADLSEATDWVQVTFKLPSLLELHLSGCGLDNDPSPTSVNSTKSLVVLHLSGNGLSSVPKWIFSLHGLVSIDLSYNFLEGSIPDYFGNISFLEVLDLNWNHLNSSIPNSLYSLNRLQFLSLGNNQLQGTISSAIGNLSSVTQLDLSVNQLNDQIPLSIGELSSLKLFDVSKNQLNGQIPLSIGQLTSLEKFDVSENQLNENQLNGTFPLSLGRLESLETLDCGYNQLEGVVSETHFSNLTRLTTLAVSHNRLRFEPNSSWIPPFNVNGSNWVTGILAQRISDVMPTWFLNLPTQFKHLNLSSNQLRGEISYLNVRNIVDLSSNRFIGPLPRVLPTLPFLILSNNLFSGSLFELLCNSSSEKGMEVLYIDKNLISGDIPDCWNHWQQLPSTLQNSPNLIMFDLSENHFIGSVPAWIGDKLSKLVILSLRSNNFDGHIPHKICDLQFLQNLDLAHNNISGVIPKCFNSLSAMATTNKTNNFVLAEYVYTNAIVLNALLVLKGREDEYGSTLGLVTSMDLSANSLTGEIPKEIGSLVGLLSLNFSGNLLTGNIPDSIGNMELMESLDLSMNRLNGEIPPSFSNLNFLNHFNVSYNNLTGQIPTSTQLQSFENLSYVGNHLCGPPLTKNCTSKGIPIDVANNGSSREGSKVNWLYVSIVLGFVMGFWGVVAPLFFIRSWRHAYYRKLDHVGRKLYVSWATMGM >KJB44181 pep chromosome:Graimondii2_0_v6:7:32524503:32526987:-1 gene:B456_007G238500 transcript:KJB44181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWVEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDNKAKEFGIMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKSSGNSSQNTEQQGGGCAC >KJB44179 pep chromosome:Graimondii2_0_v6:7:32524503:32526984:-1 gene:B456_007G238500 transcript:KJB44179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWVEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDNKAKEFGIMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKSSGNSSQNTEQQGGGCAC >KJB44180 pep chromosome:Graimondii2_0_v6:7:32524503:32526984:-1 gene:B456_007G238500 transcript:KJB44180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWVEEVRTERGSDVIIVLVGNKTDLVDKRSVSIEEGDNKAKEFGIMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKSSGNSSQNTEQQGGGCAC >KJB44510 pep chromosome:Graimondii2_0_v6:7:41697193:41699510:-1 gene:B456_007G256700 transcript:KJB44510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVETGGLVGQSKNNITTTVKHVNNRVRYGNKTEKKNRSYKKMRREVVFPRVPDVLQQLFVSCTQIFRGPGFVPPASDVNKLCDIIDKMKPEDVGLSKNLQFFKPTGSVNRTPRVTYTTIYQCDQFSLCIFFLPETAVIPLHNHPEMTVFSKLLLGKMHIKSYDWVEPKDSIPPSKLRLARLKANSVYTAPCNTSVLYPTTGGNIHQFTAVTPCAVLDVLGPPYSKEDDRDCSYYKDFPYSAFSSKSSR >KJB44509 pep chromosome:Graimondii2_0_v6:7:41697193:41698481:-1 gene:B456_007G256700 transcript:KJB44509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADKMKPEDVGLSKNLQFFKPTGSVNRTPRVTYTTIYQCDQFSLCIFFLPETAVIPLHNHPEMTVFSKLLLGKMHIKSYDWVEPKDSIPPSKLRLARLKANSVYTAPCNTSVLYPTTGGNIHQFTAVTPCAVLDVLGPPYSKEDDRDCSYYKDFPYSAFSNEGETTRVSEEEREGLGWLEEIEAPENAVMDRIDYLGPQIIENY >KJB44507 pep chromosome:Graimondii2_0_v6:7:41697000:41699556:-1 gene:B456_007G256700 transcript:KJB44507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVETGGLVGQSKNNITTTVKHVNNRVRYGNKTEKKNRSYKKMRREVVFPRVPDVLQQLFVSCTQIFRGPGFVPPASDVNKLCDIIDKMKPEDVGLSKNLQFFKPTGSVNRTPRVTYTTIYQCDQFSLCIFFLPETAVIPLHNHPEMTVFSKLLLGKMHIKSYDWVEPKDSIPPSKLRLARLKANSVYTAPCNTSVLYPTTGGNIHQFTAVTPCAVLDVLGPPYSKEDDRDCSYYKDFPYSAFSNEGETTRVSEEEREGLGWLEEIEAPENAVMDRIDYLGPQIIENY >KJB44511 pep chromosome:Graimondii2_0_v6:7:41697193:41699510:-1 gene:B456_007G256700 transcript:KJB44511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVETGGLVGQSKNNITTTVKHVNNRVRYGNKTEKKNRSYKKMRREVVFPRVPDVLQQLFVSCTQIFRGPGFVPPASDVNKLCDIIDKMKPEDVGLSKNLQFFKPTGSVNRTPRVTYTTIYQCDQFSLCIFFLPETAVIPLHNHPEMTVFSKLLLGKMHIKSYDWVEPKDSIPPSKCEISIFFKSPNRILSFVFDVIIIGFFFPLVVAVRLARLKANSVYTAPCNTSVLYPTTGGNIHQFTAVTPCAVLDVLGPPYSKEDDRDCSYYKDFPYSAFSNEGETTRVSEEEREGLGWLEEIEAPENAVMDRIDYLGPQIIENY >KJB44508 pep chromosome:Graimondii2_0_v6:7:41697193:41698063:-1 gene:B456_007G256700 transcript:KJB44508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFSKLLLGKMHIKSYDWVEPKDSIPPSKCEISIFFKSPNRILSFVFDVIIIGFFFPLVVAVRLARLKANSVYTAPCNTSVLYPTTGGNIHQFTAVTPCAVLDVLGPPYSKEDDRDCSYYKDFPYSAFSNEGETTRVSEEEREGLGWLEEIEAPENAVMDRIDYLGPQIIENY >KJB43908 pep chromosome:Graimondii2_0_v6:7:26606310:26607654:-1 gene:B456_007G223100 transcript:KJB43908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGRWRRRDADRVACKWRRQMSWPSPSRRGFKPLCPQQKMKYNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSSDLRSKYNVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGINPSKVVITKLRLDKDRKSLLDRKAKGRAAADKDKGTKFSAEDIMQSVD >KJB45495 pep chromosome:Graimondii2_0_v6:7:52236221:52239799:1 gene:B456_007G308800 transcript:KJB45495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAMCRLSCTVCDNKDEQRNGGSKKRAEFKNIEQLKSHLFNRHRLLNCSLCLEGRKVFMCEQKLYTREQLDKHIKTGDSVVDGTESERGGFTGHPMCEFCQNPFYGENELYLHMSTEHFTCHICQRRHPGQYEYYRNYDDMEIHFSREHHLCEDEACRAKKFVVFATQSELKRHNTLEHGGRMSRSKRNAALQIPISFRYRRSCEQDHPVRGHVSHPNSSDSQLLLTMQASFVTAGSFHSISTSDQTVLKSEVASIVGPFESLATIDSVPSSRNCRALGISRGGPLEDSSFPPLPAASNSNQQKVRNGLQGPARRSMAAPSRHRHNGTSNVISNTAHTWPAVSLQPNMSAAGAHQSRPVTKFSHLSTTNNSSGSSKSKPTRIKESLPKVEDFQSANKALVEKIRVSLELDQDKFSAFKGITGEYRQGFISTEEYLAYVHQFGLSHLVLELARLCPNVEKQRELVEIYSFNISNSYSRNDDAGQWKNDKRSKKGKEKCEDYGSTGSKHTLAGKIHSGVKVLLEDGHHSHTSKGKSKVLGGEDSNSHVPPQSQVEPDVGGSKKILASQGGGNKQRKKVSKFLRNRLGDASAAQLEEGGKCEIQEKTDENKGPPERLPVRSVWRDGGGQRLMAKTQKVASN >KJB45492 pep chromosome:Graimondii2_0_v6:7:52235531:52239912:1 gene:B456_007G308800 transcript:KJB45492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPFSTNLLCLQDFVFPLLGQIQRRPKKKKMDDSCAVCADTLEWVAYGPCGHREVCSTCIVRLRFICDDYRCCLCKSELKTIFITKALGDYTKVINDFSAFPVDPIEGQVSSYWYHEGTQAYFDDLDHYKMIKAMCRLSCTVCDNKDEQRNGGSKKRAEFKNIEQLKSHLFNRHRLLNCSLCLEGRKVFMCEQKLYTREQLDKHIKTGDSVVDGTESERGGFTGHPMCEFCQNPFYGENELYLHMSTEHFTCHICQRRHPGQYEYYRNYDDMEIHFSREHHLCEDEACRAKKFVVFATQSELKRHNTLEHGGRMSRSKRNAALQIPISFRYRRSCEQDHPVRGHVSHPNSSDSQLLLTMQASFVTAGSFHSISTSDQTVLKSEVASIVGPFESLATIDSVPSSRNCRALGISRGGPLEDSSFPPLPAASNSNQQKVRNGLQGPARRSMAAPSRHRHNGTSNVISNTAHTWPAVSLQPNMSAAGAHQSRPVTKFSHLSTTNNSSGSSKSKPTRIKESLPKVEDFQSANKALVEKIRVSLELDQDKFSAFKGITGEYRQGFISTEEYLAYVHQFGLSHLVLELARLCPNVEKQRELVEIYSFNISNSYSRNDDAGQWKNDKRSKKGKEKCEDYGSTGSKHTLAGKIHSGVKVLLEDGHHSHTSKGKSKVLGGEDSNSHVPPQSQVEPDVGGSKKILASQGGGNKQRKKVSKFLRNRLGDASAAQLEEGGKCEIQEKTDENKGPPERLPVRSVWRDGGGQRLMAKTQKVASN >KJB45494 pep chromosome:Graimondii2_0_v6:7:52235564:52239799:1 gene:B456_007G308800 transcript:KJB45494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPFSTNLLCLQDFVFPLLGQIQRRPKKKKMDDSCAVCADTLEWVAYGPCGHREVCSTCIVRLRFICDDYRCCLCKSELKTIFITKALGDYTKVINDFSAFPVDPIEGQVSSYWYHEGTQAYFDDLDHYKMIKAMCRLSCTVCDNKDEQRNGGSKKRAEFKNIEQLKSHLFNRHRLLNCSLCLEGRKVFMCEQKLYTREQLDKHIKTGDSVVDGTESERGGFTGHPMCEFCQNPFYGENELYLHMSTEHFTCHICQRRHPGQYEYYRNYDDMEIHFSREHHLCEDEACRAKKFVVFATQSELKRHNTLEHGGRMSRSKRNAALQIPISFRYRRSCEQDHPVRGHVSHPNSSDSQLLLTMQASFVTAGSFHSISTSDQTVLKSEVASIVGPFESLATIDSVPSSRNCRALGISRGGPLEDSSFPPLPAASNSNQQKVRNGLQGPARRSMAAPSRHRHNGTSNVISNTAHTWPAVSLQPNMSAAGAHQSRPVTKFSHLSTTNNSSGSSKRITGEYRQGFISTEEYLAYVHQFGLSHLVLELARLCPNVEKQRELVEIYSFNISNSYSRNDDAGQWKNDKRSKKGKEKCEDYGSTGSKHTLAGKIHSGVKVLLEDGHHSHTSKGKSKVLGGEDSNSHVPPQSQVEPDVGGSKKILASQGGGNKQRKKVSKFLRNRLGDASAAQLEEGGKCEIQEKTDENKGPPERLPVRSVWRDGGGQRLMAKTQKVASN >KJB45491 pep chromosome:Graimondii2_0_v6:7:52235531:52239912:1 gene:B456_007G308800 transcript:KJB45491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSCAVCADTLEWVAYGPCGHREVCSTCIVRLRFICDDYRCCLCKSELKTIFITKALGDYTKVINDFSAFPVDPIEGQVSSYWYHEGTQAYFDDLDHYKMIKAMCRLSCTVCDNKDEQRNGGSKKRAEFKNIEQLKSHLFNRHRLLNCSLCLEGRKVFMCEQKLYTREQLDKHIKTGDSVVDGTESERGGFTGHPMCEFCQNPFYGENELYLHMSTEHFTCHICQRRHPGQYEYYRNYDDMEIHFSREHHLCEDEACRAKKFVVFATQSELKRHNTLEHGGRMSRSKRNAALQIPISFRYRRSCEQDHPVRGHVSHPNSSDSQLLLTMQASFVTAGSFHSISTSDQTVLKSEVASIVGPFESLATIDSVPSSRNCRALGISRGGPLEDSSFPPLPAASNSNQQKVRNGLQGPARRSMAAPSRHRHNGTSNVISNTAHTWPAVSLQPNMSAAGAHQSRPVTKFSHLSTTNNSSGSSKSKPTRIKESLPKVEDFQSANKALVEKIRVSLELDQDKFSAFKGITGEYRQGFISTEEYLAYVHQFGLSHLVLELARLCPNVEKQRELVEIYSFNISNSYSRNDDAGQWKNDKRSKKGKEKCEDYGSTGSKHTLAGKIHSGVKVLLEDGHHSHTSKGKSKVLGGEDSNSHVPPQSQVEPDVGGSKKILASQGGGNKQRKKVSKFLRNRLGDASAAQLEEGGKCEIQEKTDENKGPPERLPVRSVWRDGGGQRLMAKTQKVASN >KJB45493 pep chromosome:Graimondii2_0_v6:7:52235564:52239799:1 gene:B456_007G308800 transcript:KJB45493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEMVDQRREQSLRTLSSLRVTCLTGIDCLTAVCVLKVFMCEQKLYTREQLDKHIKTGDSVVDGTESERGGFTGHPMCEFCQNPFYGENELYLHMSTEHFTCHICQRRHPGQYEYYRNYDDMEIHFSREHHLCEDEACRAKKFVVFATQSELKRHNTLEHGGRMSRSKRNAALQIPISFRYRRSCEQDHPVRGHVSHPNSSDSQLLLTMQASFVTAGSFHSISTSDQTVLKSEVASIVGPFESLATIDSVPSSRNCRALGISRGGPLEDSSFPPLPAASNSNQQKVRNGLQGPARRSMAAPSRHRHNGTSNVISNTAHTWPAVSLQPNMSAAGAHQSRPVTKFSHLSTTNNSSGSSKSKPTRIKESLPKVEDFQSANKALVEKIRVSLELDQDKFSAFKGITGEYRQGFISTEEYLAYVHQFGLSHLVLELARLCPNVEKQRELVEIYSFNISNSYSRNDDAGQWKNDKRSKKGKEKCEDYGSTGSKHTLAGKIHSGVKVLLEDGHHSHTSKGKSKVLGGEDSNSHVPPQSQVEPDVGGSKKILASQGGGNKQRKKVSKFLRNRLGDASAAQLEEGGKCEIQEKTDENKGPPERLPVRSVWRDGGGQRLMAKTQKVASN >KJB42619 pep chromosome:Graimondii2_0_v6:7:14055406:14056806:1 gene:B456_007G160400 transcript:KJB42619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVSKNLHDFKASQLLGWVADRIDSVNQIMEKYLRYVNSAGITHLNLIFFLLVFQALFLLAYSFSFPSYFLNFLLKRKNGCKRTLRKMNLF >KJB39630 pep chromosome:Graimondii2_0_v6:7:1623855:1630243:-1 gene:B456_007G022400 transcript:KJB39630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HAPLESS 2 [Source:Projected from Arabidopsis thaliana (AT4G11720) UniProtKB/Swiss-Prot;Acc:F4JP36] MGRLARFLIYMIRLLFLQNMVISVEILSKSKLEKCEKRTDSNDELNCTTKIVINMAVPSGSSGGEAFVVAEIVEVEENSTNNMQTLRVPPDVPFKPQEFFVKTRKCQPDAGANVVKICERLRDEDGHIIEHTRPICCPCGPRRRAPSSCGNIFDKLIKGKANTAHCLRFPGDWFHVFGIGQQSIGFSVRIEMKTGNKVSEVIVGPENRTATSKDNFLKVNLIGDFVGYTSIPTFEDFYLVIPRQGSPGQPNDLGRNLSMWMLLERVRFTLDGVECNKIGVSYDAFNGQPNFCSSPFWSCLHNQLWNFWEADQNRIKRGQVPLYGVQGKYERINEHPDAGSHSFSIGVTEVLNTNLLIELRADDIDFVFQRSPGKIMSVTVPTFEALTQFGVATITAKNTGEVEASYSLTFDCSKGVAHMEEQFFIMKPKEISARSFKVYPTTDQAARYVCSAILKDSEFSEVDRAECQFSTTATVFENGSQITPFQPPKTGVNGFFESLKKIWKNLWESLVDFITGETCRGKCSGFFDFSCHIQYICMSWVVLFGLLLAIFPTVLVLLWLLHQKGLFDPLYDWFEDHFGLDDYGSSDIHRHGINNRHSHFHAKKHTRSKYHKHDARYNRNGIHHDRRRHMHSERDCDYYHYLHHVHKDKHKHKHRHVKSSSIVEVQLDRRKHDDVGHHKHRRAIESIERPLKLR >KJB39628 pep chromosome:Graimondii2_0_v6:7:1623687:1630276:-1 gene:B456_007G022400 transcript:KJB39628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HAPLESS 2 [Source:Projected from Arabidopsis thaliana (AT4G11720) UniProtKB/Swiss-Prot;Acc:F4JP36] MGRLARFLIYMIRLLFLQNMVISVEILSKSKLEKCEKRTDSNDELNCTTKIVINMAVPSGSSGGEAFVVAEIVEVEENSTNNMQTLRVPPDVPFKPQEFFVKTRKCQPDAGANVVKICERLRDEDGHIIEHTRPICCPCGPRRRAPSSCGNIFDKLIKGKANTAHCLRFPGDWFHVFGIGQQSIGFSVRIEMKTGNKVSEVIVGPENRTATSKDNFLKVNLIGDFVGYTSIPTFEDFYLVIPRQGSPGQPNDLGRNLSMWMLLERVRFTLDGVECNKIGVSYDAFNGQPNFCSSPFWSCLHNQLWNFWEADQNRIKRGQVPLYGVQGKYERINEHPDAGSHSFSIGVTEVLNTNLLIELRADDIDFVFQRSPGKIMSVTVPTFEALTQFGVATITAKNTGEVEASYSLTFDCSKGVAHMEEQFFIMKPKEISARSFKVYPTTDQAARYVCSAILKDSEFSEVDRAECQFSTTATVFENGSQREMLGLFRLQLPHTVYLYELGSTFRFTFSYISHRACVAMAFTSERLVRSSL >KJB39626 pep chromosome:Graimondii2_0_v6:7:1623658:1629165:-1 gene:B456_007G022400 transcript:KJB39626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HAPLESS 2 [Source:Projected from Arabidopsis thaliana (AT4G11720) UniProtKB/Swiss-Prot;Acc:F4JP36] MKTGNKVSEVIVGPENRTATSKDNFLKVNLIGDFVGYTSIPTFEDFYLVIPRQGSPGQPNDLGRNLSMWMLLERVRFTLDGVECNKIGVSYDAFNGQPNFCSSPFWSCLHNQLWNFWEADQNRIKRGQVPLYGVQGKYERINEHPDAGSHSFSIGVTEVLNTNLLIELRADDIDFVFQRSPGKIMSVTVPTFEALTQFGVATITAKNTGEVEASYSLTFDCSKGVAHMEEQFFIMKPKEISARSFKVYPTTDQAARYVCSAILKDSEFSEVDRAECQFSTTATVFENGSQITPFQPPKTGVNGFFESLKKIWKNLWESLVDFITGETCRGKCSGFFDFSCHIQYICMSWVVLFGLLLAIFPTVLVLLWLLHQKGLFDPLYDWFEDHFGLDDYGSSDIHRHGINNRHSHFHAKKHTRSKYHKHDARYNRNGIHHDRRRHMHSERDCDYYHYLHHVHKDKHKHKHRHVKSSSIVEVQLDRRKHDDVGHHKHRRAIESIERPLKLR >KJB39629 pep chromosome:Graimondii2_0_v6:7:1623855:1630243:-1 gene:B456_007G022400 transcript:KJB39629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HAPLESS 2 [Source:Projected from Arabidopsis thaliana (AT4G11720) UniProtKB/Swiss-Prot;Acc:F4JP36] MGRLARFLIYMIRLLFLQNMVISVEILSKSKLEKCEKRTDSNDELNCTTKIVINMAVPSGSSGGEAFVVAEIVEVEENSTNNMQTLRVPPVITVNKSSAYALYQLTYIRDVPFKPQEFFVKTRKCQPDAGANVVKICERLRDEDGHIIEHTRPICCPCGPRRRAPSSCGNIFDKLIKGKANTAHCLRFPGDWFHVFGIGQQSIGFSVRIEMKTGNKVSEVIVGPENRTATSKDNFLKVNLIGDFVGYTSIPTFEDFYLVIPRQGSPGQPNDLGRNLSMWMLLERVRFTLDGVECNKIGVSYDAFNGQPNFCSSPFWSCLHNQLWNFWEADQNRIKRGQVPLYGVQGKYERINEHPDAGSHSFSIGVTEVLNTNLLIELRADDIDFVFQRSPGKIMSVTVPTFEALTQFGVATITAKNTGEVEASYSLTFDCSKGVAHMEEQFFIMKPKEISARSFKVYPTTDQAARYVCSAILKDSEFSEVDRAECQFSTTATVFENGSQITPFQPPKTGVNGFFESLKKIWKNLWESLVDFITGETCRGKCSGFFDFSCHIQYICMSWVVLFGLLLAIFPTVLVLLWLLHQKGLFDPLYDWFEDHFGLDDYGSSDIHRHGINNRHSHFHAKKHTRSKYHKHDARYNRNGIHHDRRRHMHSERDCDYYHYLHHVHKDKHKHKHRHVKSSSIVEVQLDRRKHDDVGHHKHRRAIESIERPLKLR >KJB39627 pep chromosome:Graimondii2_0_v6:7:1623687:1630276:-1 gene:B456_007G022400 transcript:KJB39627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HAPLESS 2 [Source:Projected from Arabidopsis thaliana (AT4G11720) UniProtKB/Swiss-Prot;Acc:F4JP36] MGRLARFLIYMIRLLFLQNMVISVEILSKSKLEKCEKRTDSNDELNCTTKIVINMAVPSGSSGGEAFVVAEIVEVEENSTNNMQTLRVPPVITVNKSSAYALYQLTYIRDVPFKPQEFFVKTRKCQPDAGANVVKICERLRDEDGHIIEHTRPICCPCGPRRRAPSSCGNIFDKLIKGKANTAHCLRFPGDWFHVFGIGQQSIGFSVRIEMKTGNKVSEVIVGPENRTATSKDNFLKVNLIGDFVGYTSIPTFEDFYLVIPRQGSPGQPNDLGRNLSMWMLLERVRFTLDGVECNKIGVSYDAFNGQPNFCSSPFWSCLHNQLWNFWEADQNRIKRGQVPLYGVQGKYERINEHPDAGSHSFSIGVTEVLNTNLLIELRADDIDFVFQRSPGKIMSVTVPTFEALTQFGVATITAKNTGEVEASYSLTFDCSKGVAHMEEQFFIMKPKEISARSFKVYPTTDQAARYVCSAILKDSEFSEVDRAECQFSTTATVFENGSQREMLGLFRLQLPHTVYLYELGSTFRFTFSYISHRACVAMAFTSERLVRSSL >KJB45594 pep chromosome:Graimondii2_0_v6:7:52843520:52848277:1 gene:B456_007G314600 transcript:KJB45594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSGDGQGQSKTQGGGVVSKSARSSLRAISSYFRIVSSGASTVARSAVSVASSIVDREDDSGYDQVNWAGFDKLEDEKGVIRQVLLLGYRSGFQVWDVEDADNVCDLVSRRDGPVSFMQMLPKPVAAKRSGDKFANSRPLLVVCSDGSLSVGARIQDHSCNGSIPRNNYSGNGSIVSAIVQFYSLRSQSYIHKLNFRSVVYSVRCSSRIVAIALATQIHCFDATTLEREYTILTNPIITASPGSGGVGYGPLAVGPRWLAYSGSPVVGSNCGRVSPQHLMPSASFPGFTSNGSLVAHYAKESSKQLASGIVTLGDIGYKKLSRYLPDSYNSVQSGSPGWKGNGNANGHFLDTDNIGMVIVRDIVSKVVIAQFRAHKSPISALCFDPSGTLLVTASVQGHNINVFKILPGLQGSTSACDVPASHSHLYRLQRGFTNAVIQDISFSDDSNWIMISSSRGTSHLFAINSMGGSVSFQSADAGFAPRSSSLGVMTKPQVHWPPNIGAQTPTQTSFCVSGPPVTLSVVSRIRNGNNGWRGTVSGAAAAATGRMGSLSGAIASSFHNCKGNNLLFTESNYLKTKYHLLVFSPSGCMIQYALRLSPDHDLTPVVSGLKAAYESTTESDGRLIVEAMQKWNICQKQTRREREDNADIYGENGTSDTGKVYPEEIKEGTTSTDPSTVDKSNTNPQEKHNLYISEAELQMHQAQTPLWAKPEIYFQSMLMDDINMADENAFVGEIEIEMLLTRMTGTRKKSLVPVFDYLQSFKFQQARVSTVDNNNNGCLMHQRSGLSENGRLSCRSISGSLNCMTKNGDAVDELQNGIEETRLNGHQMPLGTKGFVNRSDSPKTNTRLEIVNNSENSRMEAQLKFVNNNSEDLNMGNLFGDEGDEYD >KJB45593 pep chromosome:Graimondii2_0_v6:7:52843520:52848923:1 gene:B456_007G314600 transcript:KJB45593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSGDGQGQSKTQGGGVVSKSARSSLRAISSYFRIVSSGASTVARSAVSVASSIVDREDDSGYDQVNWAGFDKLEDEKGVIRQVLLLGYRSGFQVWDVEDADNVCDLVSRRDGPVSFMQMLPKPVAAKRSGDKFANSRPLLVVCSDGSLSVGARIQDHSCNGSIPRNNYSGNGSIVSAIVQFYSLRSQSYIHKLNFRSVVYSVRCSSRIVAIALATQIHCFDATTLEREYTILTNPIITASPGSGGVGYGPLAVGPRWLAYSGSPVVGSNCGRVSPQHLMPSASFPGFTSNGSLVAHYAKESSKQLASGIVTLGDIGYKKLSRYLPDSYNSVQSGSPGWKGNGNANGHFLDTDNIGMVIVRDIVSKVVIAQFRAHKSPISALCFDPSGTLLVTASVQGHNINVFKILPGLQGSTSACDVPASHSHLYRLQRGFTNAVIQDISFSDDSNWIMISSSRGTSHLFAINSMGGSVSFQSADAGFAPRSSSLGVMTKPQVHWPPNIGAQTPTQTSFCVSGPPVTLSVVSRIRNGNNGWRGTVSGAAAAATGRMGSLSGAIASSFHNCKGNNLLFTESNYLKTKYHLLVFSPSGCMIQYALRLSPDHDLTPVVSGLKAAYESTTESDGRLIVEAMQKWNICQKQTRREREDNADIYGENGTSDTGKVYPEEIKEGTTSTDPSTVDKSNTNPQEKHNLYISEAELQMHQAQTPLWAKPEIYFQSMLMDDINMADENAFVGEIEIEMLLTRMTGTRKKSLVPVFDYLQSFKFQQARVSTVDNNNNGCLMHQRSGLSENGRLSCRSISGSLNCMTKNGDAVDELQNGIEETRLNGHQMPLGTKGFVNRSDSPKTNTRLEIVNNSENSRMEAQLKFVNNNSEDLNMGNLFGDEGDEYD >KJB45595 pep chromosome:Graimondii2_0_v6:7:52843052:52848952:1 gene:B456_007G314600 transcript:KJB45595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSGDGQGQSKTQGGGVVSKSARSSLRAISSYFRIVSSGASTVARSAVSVASSIVDREDDSGYDQVNWAGFDKLEDEKGVIRQVLLLGYRSGFQVWDVEDADNVCDLVSRRDGPVSFMQMLPKPVAAKRSGDKFANSRPLLVVCSDGSLSVGARIQDHSCNGSIPRNNYSGNGSIVSAIVQFYSLRSQSYIHKLNFRSVVYSVRCSSRIVAIALATQIHCFDATTLEREYTILTNPIITASPGSGGVGYGPLAVGPRWLAYSGSPVVGSNCGRVSPQHLMPSASFPGFTSNGSLVAHYAKESSKQLASGIVTLGDIGYKKLSRYLPDSYNSVQSGSPGWKGNGNANGHFLDTDNIGMVIVRDIVSKVVIAQFRAHKSPISALCFDPSGTLLVTASVQGHNINVFKILPGLQGSTSACDVPASHSHLYRLQRGFTNAVIQDISFSDDSNWIMISSSRGTSHLFAINSMGGSVSFQSADAGFAPRSSSLGVMTKPQVHWPPNIGAQTPTQTSFCVSGPPVTLSVVSRIRNGNNGWRGTVSGAAAAATGRMGSLSGAIASSFHNCKGNNLLFTESNYLKTKYHLLVFSPSGCMIQYALRLSPDHDLTPVVSGLKAAYESTTESDGRLIVEAMQKWNICQKQTRREREDNADIYGENGTSDTGKVYPEEIKEGTTSTDPSTVDKSNTNPQEKHNLYISEAELQMHQAQTPLWAKPEIYFQSMLMDDINMADENAFVGEIEIEMLLTRMTGTRKKSLVPVFDYLQSFKFQQARVSTVDNNNNGCLMHQRSGLSENGRLSCRSISGSLNCMTKNGDAVDELQNGIEETRLNGHQMPLGTKGFVNRSDSPKTNTRLEIVNNSENSRMEAQLKFVNNNSEDLNMGNLFGDEGVEFYSFDSPESLINSV >KJB45596 pep chromosome:Graimondii2_0_v6:7:52843757:52847729:1 gene:B456_007G314600 transcript:KJB45596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSGDGQGQSKTQGGGVVSKSARSSLRAISSYFRIVSSGASTVARSAVSVASSIVDREDDSGYDQVNWAGFDKLEDEKGVIRQVLLLGYRSGFQVWDVEDADNVCDLVSRRDGPVSFMQMLPKPVAAKRSGDKFANSRPLLVVCSDGSLSVGARIQDHSCNGSIPRNNYSGNGSIVSAIVQFYSLRSQSYIHKLNFRSVVYSVRCSSRIVAIALATQIHCFDATTLEREYTILTNPIITASPGSGGVGYGPLAVGPRWLAYSGSPVVGSNCGRVSPQHLMPSASFPGFTSNGSLVAHYAKESSKQLASGIVTLGDIGYKKLSRYLPDSYNSVQSGSPGWKGNGNANGHFLDTDNIGMVIVRDIVSKVVIAQFRAHKSPISALCFDPSGTLLVTASVQGHNINVFKILPGLQGSTSACDVPASHSHLYRLQRGFTNAVIQDISFSDDSNWIMISSSRGTSHLFAINSMGGSVSFQSADAGFAPRSSSLGVMTKPQVHWPPNIGAQTPTQTSFCVSGPPVTLSVVSRIRNGNNGWRGTVSGAAAAATGRMGSLSGAIASSFHNCKGNNLLFTESNYLKTKYHLLVFSPSGCMIQYALRLSPDHDLTPVVSGLKAAYESTTESDGRLIVEAMQKWNICQKQTRREREDNADIYGENGTSDTGKVYPEEIKEGTTSTDPSTVDKSNTNPQEKHNLYISEAELQMHQAQTPLWAKPEIYFQSMLMDDINMADENAFVGEIEIEMLLTRMTGTRKKSLVPVFDYLQSFKFQQARWFQL >KJB41900 pep chromosome:Graimondii2_0_v6:7:10095538:10096349:1 gene:B456_007G126600 transcript:KJB41900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVLDNFLFSAIFMLLLSIVSASDAEYNLKNPNSEQERLLSTMIGIQGIVYCKSRSKLTPLQGAVARITCEGVDEYGYETESLSILSCATDEKGYFIATISPYEVKDQNRRFRNCKAFLELSPTEDCDVPTDDNKGISGAPLASFQLLHDKNIKLFTVGPFFFVPEQEAKSIPDDGY >KJB39608 pep chromosome:Graimondii2_0_v6:7:1561693:1565112:-1 gene:B456_007G021700 transcript:KJB39608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKEFVTTTTATAGVTVVGSDSPSDYHIAPRSENSTQNPNPTPGSAPPPQPHATQPGPPPPVSVSGMPEKKKRGRPRKYGPDGSVTKALSPKPISTSAPAPPSVIDFSAVKRGKVKSPISFSKAKNEPENLGEWVPCSVGANFTPHIITVNAGEDVTMKIISFSQQGPRAICILSANGIISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPNESGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAAGPVQVVVGSFLAGNQHEQNLKKQKHDSVTISAATPMAVIPISSADPKLNISSSFRGDTWSPTDSRNKPTDINASLPGG >KJB39609 pep chromosome:Graimondii2_0_v6:7:1561756:1565039:-1 gene:B456_007G021700 transcript:KJB39609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKEFVTTTTATAGVTVVGSDSPSDYHIAPRSENSTQNPNPTPGSAPPPQPHATQPGPPPPVSVSGMPEKKKRGRPRKYGPDGSVTKALSPKPISTSAPAPPSVIDFSAVKRGKVKSPISFSKAKNEPENLGEWVPCSVGANFTPHIITVNAGEDVTMKIISFSQQGPRAICILSANGIISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPNESGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAAGPVQVWLRFCHSFLSVISSLHIFTTSFNQMITATLVTT >KJB45439 pep chromosome:Graimondii2_0_v6:7:51935962:51939531:-1 gene:B456_007G305800 transcript:KJB45439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKRNPIFLSVIFVSLLAIASAKVFFEERFDEGWESRWVKSDWKKDENMAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPEVNNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDIDQKKFGGETPYSIMFGPDICGYSTKKVHAILTYNGTNHLIKKEVPCETDQLTHVYTFILRPDATYSILVDNVEKQTGSLYTDWDLLPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTPSTIPNPEYKGPWNPKKIKNPNYKGKWKAPMIDNPDFKDDPDLYVFPSLKYVGIELWQVKSGTMFDNILVADDVEYAKKLAEETWGKQKDAEKAAFEEEEKKKEEEESKDEPVDSDAEDDDDDTDDGEGSESDSSKDSAEEDAVQHDEL >KJB45437 pep chromosome:Graimondii2_0_v6:7:51936397:51939458:-1 gene:B456_007G305800 transcript:KJB45437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKRNPIFLSVIFVSLLAIASAKVFFEERFDEGWESRWVKSDWKKDENMAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPEVNNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDIDQKKFGGETPYSIMFGPDICGYSTKKVHAILTYNGTNHLIKKEVPCETDQLTHVYTFILRPDATYSILVDNVEKQTGSLYTDWDLLPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEVGYDDIPKEIPDPDAKKPEDWDDEEDGEWTPSTIPNPEYKGPWNPKKIKNPNYKGKWKAPMIDNPDFKDDPDLYVFPSLKYVGIELWQVKSGTMFDNILVADDVEYAKKLAEETWGKQKDAEKAAFEEEEKKKEEEESKDEPVDSDAEDDDDDTDDGEGSESDSSKDSAEEDAVQHDEL >KJB45435 pep chromosome:Graimondii2_0_v6:7:51935987:51939482:-1 gene:B456_007G305800 transcript:KJB45435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKRNPIFLSVIFVSLLAIASAKVFFEERFDEGWESRWVKSDWKKDENMAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPEVNNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDIDQKKFGGETPYSIMFGPDICGYSTKKVHAILTYNGTNHLIKKEVPCETDQLTHVYTFILRPDATYSILVDNVEKQTGSLYTDWDLLPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTPSTIPNPEYKGPWNPKKIKNPNYKGKWKAPMIDNPDFKDDPDLYVFPSLKYVGIELWQVKSGTMFDNILVADDVEYAKKLAEETWGKQKDAEKAAFEEEEKKKEEEESKDEPVDSDAEDDDDDTDDGEGSESDSSKDSAEEDAVQHDEL >KJB45440 pep chromosome:Graimondii2_0_v6:7:51935987:51939482:-1 gene:B456_007G305800 transcript:KJB45440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKRNPIFLSVIFVSLLAIASAKVFFEERFDEGWESRWVKSDWKKDENMAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPEVNNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDIDQKKFGGETPYSIMFGPDICGYSTKKVHAILTYNGTNHLIKKEVPCETDQLTHVYTFILRPDATYSILVDNVEKQTGSLYTDWDLLPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTPSTIPNPEYKGPWNPKKIKNPNYKGKWKAPMIDNPDFKDDPDLYVFPSLKYVGIELWQVKSGTMFDNILVADDVEYAKKLAEETWGKQKDAEKAAFEEEEKKKEEEAEDDDDDTDDGEGSESDSSKDSAEEDAVQHDEL >KJB45436 pep chromosome:Graimondii2_0_v6:7:51936855:51939458:-1 gene:B456_007G305800 transcript:KJB45436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKRNPIFLSVIFVSLLAIASAKVFFEERFDEGWESRWVKSDWKKDENMAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPEVNNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDIDQKKFGGETPYSIMFGPDICGYSTKKVHAILTYNGTNHLIKKEVPCETDQLTHVYTFILRPDATYSILVDNVEKQTGSLYTDWDLLPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTPSTIPNPEYKGPWNPKKIKNPNYKGKWKAPMIDNPDFKDDPDLYVFPSLKYVGIELWQVKSGTMFDNILVADDVEYAKKLAEETWGKQKDVSVSLYFEYIIYVHIYIFLSCFIHMRVILLAFAG >KJB45438 pep chromosome:Graimondii2_0_v6:7:51935987:51939482:-1 gene:B456_007G305800 transcript:KJB45438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKRNPIFLSVIFVSLLAIASAKVFFEERFDEGWESRWVKSDWKKDENMAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPEVNNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDIDQKKFGGETPYSIMFGPDICGYSTKKVHAILTYNGTNHLIKKEVPCETDQLTHVYTFILRPDATYSILVDNVEKQTGSLYTDWDLLPPKKIKDPEAKKVPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTPSTIPNPEYKGPWNPKKIKNPNYKGKWKAPMIDNPDFKDDPDLYVFPSLKYVGIELWQVKSGTMFDNILVADDVEYAKKLAEETWGKQKDAEKAAFEEEEKKKEEEESKDEPVDSDAEDDDDDTDDGEGSESDSSKDSAEEDAVQHDEL >KJB44262 pep chromosome:Graimondii2_0_v6:7:35517940:35519313:-1 gene:B456_007G243000 transcript:KJB44262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGASCAEVYLMRKRQKEKMKRMEEESVRRGETSGTTGAIEERKPTVSGRNKVHPGNFTSLLDSAGNECQGSSNAA >KJB42763 pep chromosome:Graimondii2_0_v6:7:15048136:15049362:1 gene:B456_007G168200 transcript:KJB42763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLPQELVIHILSRLPISTIMQSKSVNRAWRNLIRNQVFVNMHFKRMVENDPSFILQIRDQPIQSQLYFGDFSSHPNDRNVTIITKKLTMLPLLTNFHLVSSCNGLLCLRGTHRSLGLICIYNPFTRDSIELPKLVAKGPSHQVGVLGFGLDATTNKYKVVEVSYKRIYRASPSHVVRPVNRLAPQIIAPSSIDSEVHILTVGNGSPTRRNLGSFPFHFMCQKSQVLVNGKLHWISYPRGSKAYLAIVSFDLGNEQLKEVPRPDCISSDRQFHELVVLRGCLSAVCFDYDNEELEIWVMKEYDVKESWVKEFSIGTYLPKILQPDERESLDSSMFYMPKTCMRVLCQLRSGGILLEYKIKALFVYDPRCRTFQNFQLTFEGIPRCFTLAVHVASLNWIDTFIEAPCY >KJB43338 pep chromosome:Graimondii2_0_v6:7:19309534:19325178:-1 gene:B456_007G195100 transcript:KJB43338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLFSQILAIMEARDLMDMFSLCMPELFEYMISNNQLVHIFSTLLQTPKVYRPFADVLVNFLVSSKLDALKHPDTPTAKLVLHLFRFIFGAVAKAPTDFERILQPHVPVIMEACMKNATEVEKPLGYLQLLRTMFRALAGCKFELLLRDLIPMLQPCLNMLLTMLEGSTAEDMRDLLLELCLTLPARLSSLLPYLPRLMKPLVMCLKGSDELISLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPAPYPWGGKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPSTPFLVPLDRCINLAVAAVMHKDAGMDSFYRKQALKFLRVCLSSQLNLPGNVSDEGYTPKHLLTSLVSSVDSSWRRSETTDAKSDLGVKTKTQLLAEKSVFKILLMTIVAASAEPDLNDPKDDFVTNICRHFAMTFHMDQSSTNASTVSSSVGSSRSRSTSSSNLKELDPLIFLDALVDVLADENRFHAKAALSALNVFAETLLFLARSKHADLLMSRGGPGTPMIVSSPSMNPVYSPPPSVRIPVFEQLLPRLLHCCYGSKWQAQMGGVMGLGALVGKVTVETLCLFQVRIVRGLVYVLKRLPIYASKEQEETSQVLTQVLRVVNNVDEANNEPRRQSFQGVVEFFASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLHQPLLQPLIMRPLRAKTVDQQVGTVTALNFCLALRPPLLKLTQELVNFLQEALQIAEADETVWVVKFMNPKVATSLNKLRTACIELLCTTMAWADFRTTPNHSELRAKIIAMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIVELFHLLPHAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPLTKFLNRYSTLAVDYFLARLSEPKYFRRFMYIIKSDAGQPLRDELAKSPQKILASAFPEFVPKSEAAMSPGSSTPAAALLGDEGLSSQPDSSNLPPVTSGATLDAYFLGLALVKTLVKLIPGWLQSNRPVFDTLVLVWKSPARISRLQNEQELNLVQVKESKWLVKCFLNYLRHDKNEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKKALLLHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNGQSWDVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVSWERQRQNEMKVVTEGDVPSQISDGLNSASASADPKRSVDSSTFPEDPSKRIKVEPGLQSLCVMSPGASSSIPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKESNTMYKQALELLSQALEVWPTANVKFNYLEKLLSSVQPSQSKDPSTALSQGLDVMNKVLEKQPNLFIRNNINQISQILEPCFKYKMLEAGKSLCSLLKMIFDAFPLDASTTPPDVKLLYQKVDELIQKHIASVTAPQTSGEDNSANSISFVLLVIKTLTEVQKSFIDPFILVRIFQRLARDMGSSAGSNIRQGQRTDPDSSVTSSCQGADIGSVISNLKSVLKLISERVMVVPECKRSVTQILNALLSEKGTDASVLLSILDVIKGWVEDDYSKPGMSANANAFLTPKEIVSFLQKLSQVDKQNIQPNALEEWDRKYLQLLYEICADSNKYPLTLRQEVFKKVERQFMLGLRARDPEIRMKFFSLYHESLGKTLFTRLQFIIQIQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVLPLVAPGSVPDSSGMQQQITEVPEGSEDAPLTLDSIVLKHAQFLNEMSKLQVADLVIPLRELAHRDANVAYHLWVLVFPIAWVTLLKDEQVTLAKPMIALLSKDYHKKQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNETKCSESLAELYRLLNEDDMRCGLWKKRSVTAETKAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWIYCAGQLSQWDALVDFGKSIENYEILLDSLWKLPDWAYMKDNVIPKAQVEETPKLRLIQAFFALHDRNANGVGDAENIVGKGVDLALEHWWQLPEMSVHARVPLLQQFQQLVEVQESARILVDIANGNKLSGNAVVGVPGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNAVIDAFKEFSTTNPQLHHLGYRDKAWNVNKLAHIARKQGLYDVCVMILEKMYGHSTMEVQEAFVKIKEQAKTYLEMKGELTTGLNLINSTNLEYFPVKHKAEICCIKGDFLVKLNDSEGANVAYSNAITLFKNLPKGWISWGNYCDMAYKDSHDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSEPVGRSFDKYLDQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSELGRMAMAQQRMQQNISGANPSSLVLAADGSARVQSHTGGNLAPDNQVHQGSQSGSGIGSHDGGNSHGHEPERSTATESSVHTGNDQALQPSSSSISDGGQGTMRRNGALGLVASAASAFDAAKDIMEALRSKHANLAGELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPSATTSEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTTTFPVTLSELTERLKHWKNILQSNVEDRFPAVLKLEEESRVLRDFHVVDVEIPGQYFSDQEIAPDHTVKLDRVGADIQIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAILGQISPEAVVDLRLQAYNDITKNLVTDGIFSQYMYKTLPSGNHIWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFSEPVPFRLTRNMQAFFSHFGVEGLIVSSMCAAAQAVVSPKQSQHLWYQLAMFFRDELLSWSWRRPLGMPLAPAAGSGSLNPADFKNKVTTNVENVIGRINGIAPQCFSEEEENAMDPPQSVQRGVTELVEAALLPRNLCMMDPTWQPWF >KJB43342 pep chromosome:Graimondii2_0_v6:7:19311617:19331693:-1 gene:B456_007G195100 transcript:KJB43342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIQNFEQHSRHLVEPDLPIQTRLQMAMEVRDSLEIAHTAEYLNFLKCYFRAFSVVLLQITKPQSTDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGFICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFRLTVSHFFDNATVGMEVDMKPMDTSSVSDQGITPSGYVGNGQLNPSTRSFKIVTESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPEKVPPHLKTQFIELKGAQVKTVSFLTYLLKSFADYIRPHEESICKSIVNLLVTCSDSVSIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACFETLRPLAYSLLAEIVHHVRGDLSLSQLSRIIYLFSSNMHDASLSLGIHTTCARLMLNLVEPIFEKGVDQPSMDEARVLLGRILDAFVGKFSTFKRTIPQLLEEGEEGRDHSTLRSKLELPVQAVLNLQVPVEHSKEVSDCKNLIKTLVVGMKTIIWSITHAHLPRSQVQSSTHGTQPQALVSPTTNMPAPQAFKGLREDEVWKASGVLKSGVHCLALFKEKDEEREMLQLFSQILAIMEARDLMDMFSLCMPELFEYMISNNQLVHIFSTLLQTPKVYRPFADVLVNFLVSSKLDALKHPDTPTAKLVLHLFRFIFGAVAKAPTDFERILQPHVPVIMEACMKNATEVEKPLGYLQLLRTMFRALAGCKFELLLRDLIPMLQPCLNMLLTMLEGSTAEDMRDLLLELCLTLPARLSSLLPYLPRLMKPLVMCLKGSDELISLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPAPYPWGGKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPSTPFLVPLDRCINLAVAAVMHKDAGMDSFYRKQALKFLRVCLSSQLNLPGNVSDEGYTPKHLLTSLVSSVDSSWRRSETTDAKSDLGVKTKTQLLAEKSVFKILLMTIVAASAEPDLNDPKDDFVTNICRHFAMTFHMDQSSTNASTVSSSVGSSRSRSTSSSNLKELDPLIFLDALVDVLADENRFHAKAALSALNVFAETLLFLARSKHADLLMSRGGPGTPMIVSSPSMNPVYSPPPSVRIPVFEQLLPRLLHCCYGSKWQAQMGGVMGLGALVGKVTVETLCLFQVRIVRGLVYVLKRLPIYASKEQEETSQVLTQVLRVVNNVDEANNEPRRQSFQGVVEFFASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLHQPLLQPLIMRPLRAKTVDQQVGTVTALNFCLALRPPLLKLTQELVNFLQEALQIAEADETVWVVKFMNPKVATSLNKLRTACIELLCTTMAWADFRTTPNHSELRAKIIAMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIVELFHLLPHAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPLTKFLNRYSTLAVDYFLARLSEPKYFRRFMYIIKSDAGQPLRDELAKSPQKILASAFPEFVPKSEAAMSPGSSTPAAALLGDEGLSSQPDSSNLPPVTSGATLDAYFLGLALVKTLVKLIPGWLQSNRPVFDTLVLVWKSPARISRLQNEQELNLVQVKESKWLVKCFLNYLRHDKNEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKKALLLHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNGQSWDVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVLVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVSWERQRQNEMKVVTEGDVPSQISDGLNSASASADPKRSVDSSTFPEDPSKRIKVEPGLQSLCVMSPGASSSIPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKESNTMYKQALELLSQALEVWPTANVKFNYLEKLLSSVQPSQSKDPSTALSQGLDVMNKVLEKQPNLFIRNNINQISQILEPCFKYKMLEAGKSLCSLLKMIFDAFPLDASTTPPDVKLLYQKVDELIQKHIASVTAPQTSGEDNSANSISFVLLVIKTLTEVQKSFIDPFILVRIFQRLARDMGSSAGSNIRQGQRTDPDSSVTSSCQGADIGSVISNLKSVLKLISERVMVVPECKRSVTQILNALLSEKGTDASVLLSILDVIKGWVEDDYSKPGMSANANAFLTPKEIVSFLQKLSQVDKQNIQPNALEEWDRKYLQLLYEICADSNKYPLTLRQEVFKKVERQFMLGLRARDPEIRMKFFSLYHESLGKTLFTRLQFIIQIQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVLPLVAPGSVPDSSGMQQQITEVPEGSEDAPLTLDSIVLKHAQFLNEMSKLQVADLVIPLRELAHRDANVAYHLWVLVFPIAWVTLLKDEQVTLAKPMIALLSKDYHKKQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNETKCSESLAELYRLLNEDDMRCGLWKKRSVTAETKAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWIYCAGQLSQWDALVDFGKSIENYEILLDSLWKLPDWAYMKDNVIPKAQVEETPKLRLIQAFFALHDRNANGVGDAENIVGKGVDLALEHWWQLPEMSVHARVPLLQQFQQLVEVQESARILVDIANGNKLSGNAVVGVPGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNAVIDAFKEFSTTNPQLHHLGYRDKAWNVNKLAHIARKQGLYDVCVMILEKMYGHSTMEVQEAFVKIKEQAKTYLEMKGELTTGLNLINSTNLEYFPVKHKAEICCIKGDFLVKLNDSEGANVAYSNAITLFKNLPKGWISWGNYCDMAYKDSHDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSEPVGRSFDKYLDQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSELGRMAMAQQRMQQNISGANPSSLVLAADGSARVQSHTGGNLAPDNQVHQGSQSGSGIGSHDGGNSHGHEPERSTATESSVHTGNDQALQPSSSSISDGGQGTMRRNGALGLVASAASAFDAAKDIMEALRSKHANLAGELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPSATTSEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTTTFPVTLSELTERLKHWKNILQSNVEDRFPAVLKLEEESRVLRDFHVVDVEIPGQYFSDQEIAPDHTVKLDRVGADIQIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVISLTC >KJB43339 pep chromosome:Graimondii2_0_v6:7:19309534:19326729:-1 gene:B456_007G195100 transcript:KJB43339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIIWSITHAHLPRSQVQSSTHGTQPQALVSPTTNMPAPQAFKGLREDEVWKASGVLKSGVHCLALFKEKDEEREMLQLFSQILAIMEARDLMDMFSLCMPELFEYMISNNQLVHIFSTLLQTPKVYRPFADVLVNFLVSSKLDALKHPDTPTAKLVLHLFRFIFGAVAKAPTDFERILQPHVPVIMEACMKNATEVEKPLGYLQLLRTMFRALAGCKFELLLRDLIPMLQPCLNMLLTMLEGSTAEDMRDLLLELCLTLPARLSSLLPYLPRLMKPLVMCLKGSDELISLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPAPYPWGGKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPSTPFLVPLDRCINLAVAAVMHKDAGMDSFYRKQALKFLRVCLSSQLNLPGNVSDEGYTPKHLLTSLVSSVDSSWRRSETTDAKSDLGVKTKTQLLAEKSVFKILLMTIVAASAEPDLNDPKDDFVTNICRHFAMTFHMDQSSTNASTVSSSVGSSRSRSTSSSNLKELDPLIFLDALVDVLADENRFHAKAALSALNVFAETLLFLARSKHADLLMSRGGPGTPMIVSSPSMNPVYSPPPSVRIPVFEQLLPRLLHCCYGSKWQAQMGGVMGLGALVGKVTVETLCLFQVRIVRGLVYVLKRLPIYASKEQEETSQVLTQVLRVVNNVDEANNEPRRQSFQGVVEFFASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLHQPLLQPLIMRPLRAKTVDQQVGTVTALNFCLALRPPLLKLTQELVNFLQEALQIAEADETVWVVKFMNPKVATSLNKLRTACIELLCTTMAWADFRTTPNHSELRAKIIAMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIVELFHLLPHAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPLTKFLNRYSTLAVDYFLARLSEPKYFRRFMYIIKSDAGQPLRDELAKSPQKILASAFPEFVPKSEAAMSPGSSTPAAALLGDEGLSSQPDSSNLPPVTSGATLDAYFLGLALVKTLVKLIPGWLQSNRPVFDTLVLVWKSPARISRLQNEQELNLVQVKESKWLVKCFLNYLRHDKNEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKKALLLHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNGQSWDVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVSWERQRQNEMKVVTEGDVPSQISDGLNSASASADPKRSVDSSTFPEDPSKRIKVEPGLQSLCVMSPGASSSIPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKESNTMYKQALELLSQALEVWPTANVKFNYLEKLLSSVQPSQSKDPSTALSQGLDVMNKVLEKQPNLFIRNNINQISQILEPCFKYKMLEAGKSLCSLLKMIFDAFPLDASTTPPDVKLLYQKVDELIQKHIASVTAPQTSGEDNSANSISFVLLVIKTLTEVQKSFIDPFILVRIFQRLARDMGSSAGSNIRQGQRTDPDSSVTSSCQGADIGSVISNLKSVLKLISERVMVVPECKRSVTQILNALLSEKGTDASVLLSILDVIKGWVEDDYSKPGMSANANAFLTPKEIVSFLQKLSQVDKQNIQPNALEEWDRKYLQLLYEICADSNKYPLTLRQEVFKKVERQFMLGLRARDPEIRMKFFSLYHESLGKTLFTRLQFIIQIQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVLPLVAPGSVPDSSGMQQQITEVPEGSEDAPLTLDSIVLKHAQFLNEMSKLQVADLVIPLRELAHRDANVAYHLWVLVFPIAWVTLLKDEQVTLAKPMIALLSKDYHKKQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNETKCSESLAELYRLLNEDDMRCGLWKKRSVTAETKAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWIYCAGQLSQWDALVDFGKSIENYEILLDSLWKLPDWAYMKDNVIPKAQVEETPKLRLIQAFFALHDRNANGVGDAENIVGKGVDLALEHWWQLPEMSVHARVPLLQQFQQLVEVQESARILVDIANGNKLSGNAVVGVPGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNAVIDAFKEFSTTNPQLHHLGYRDKAWNVNKLAHIARKQGLYDVCVMILEKMYGHSTMEVQEAFVKIKEQAKTYLEMKGELTTGLNLINSTNLEYFPVKHKAEICCIKGDFLVKLNDSEGANVAYSNAITLFKNLPKGWISWGNYCDMAYKDSHDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSEPVGRSFDKYLDQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSELGRMAMAQQRMQQNISGANPSSLVLAADGSARVQSHTGGNLAPDNQVHQGSQSGSGIGSHDGGNSHGHEPERSTATESSVHTGNDQALQPSSSSISDGGQGTMRRNGALGLVASAASAFDAAKDIMEALRSKHANLAGELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPSATTSEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTTTFPVTLSELTERLKHWKNILQSNVEDRFPAVLKLEEESRVLRDFHVVDVEIPGQYFSDQEIAPDHTVKLDRVGADIQIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAILGQISPEAVVDLRLQAYNDITKNLVTDGIFSQYMYKTLPSGNHIWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFSEPVPFRLTRNMQAFFSHFGVEGLIVSSMCAAAQAVVSPKQSQHLWYQLAMFFRDELLSWSWRRPLGMPLAPAAGSGSLNPADFKNKVTTNVENVIGRINGIAPQCFSEEEENAMDPPQSVQRGVTELVEAALLPRNLCMMDPTWQPWF >KJB43343 pep chromosome:Graimondii2_0_v6:7:19310809:19315631:-1 gene:B456_007G195100 transcript:KJB43343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALLSKDYHKKQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNETKCSESLAELYRLLNEDDMRCGLWKKRSVTAETKAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWIYCAGQLSQWDALVDFGKSIENYEILLDSLWKLPDWAYMKDNVIPKAQVEETPKLRLIQAFFALHDRNANGVGDAENIVGKGVDLALEHWWQLPEMSVHARVPLLQQFQQLVEVQESARILVDIANGNKLSGNAVVGVPGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNAVIDAFKEFSTTNPQLHHLGYRDKAWNVNKLAHIARKQGLYDVCVMILEKMYGHSTMEVQEAFVKIKEQAKTYLEMKGELTTGLNLINSTNLEYFPVKHKAEICCIKGDFLVKLNDSEGANVAYSNAITLFKNLPKGWISWGNYCDMAYKDSHDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSEPVGRSFDKYLDQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSELGRMAMAQQRMQQNISGANPSSLVLAADGSARVQSHTGGNLAPDNQVHQGSQSGSGIGSHDGGNSHGHEPERSTATESSVHTGNDQALQPSSSSISDGGQGTMRRNGALGLVASAASAFDAAKDIMEALRSKHANLAGELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPSATTSEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTTTFPVTLSELTERLKHWKNILQSNVEDRFPAVLKLEEESRVLRDFHVVDVEIPGQYFSDQEIAPDHTVKLDRVGADIQIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAILGQISPEAVVDLRLQAYNDITKNLVTDGIFSQYMYKTLPSGNHIWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFSEPVPFRLTRNMQAFFSHFGVEGLIVSSMCAAAQAVVSPKVCFLSIGIKTNTWSC >KJB43340 pep chromosome:Graimondii2_0_v6:7:19310224:19332016:-1 gene:B456_007G195100 transcript:KJB43340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIQNFEQHSRHLVEPDLPIQTRLQMAMEVRDSLEIAHTAEYLNFLKCYFRAFSVVLLQITKPQSTDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGFICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFRLTVSHFFDNATVGMEVDMKPMDTSSVSDQGITPSGYVGNGQLNPSTRSFKIVTESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPEKVPPHLKTQFIELKGAQVKTVSFLTYLLKSFADYIRPHEESICKSIVNLLVTCSDSVSIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACFETLRPLAYSLLAEIVHHVRGDLSLSQLSRIIYLFSSNMHDASLSLGIHTTCVEPIFEKGVDQPSMDEARVLLGRILDAFVGKFSTFKRTIPQLLEEGEEGRDHSTLRSKLELPVQAVLNLQVPVEHSKEVSDCKNLIKTLVVGMKTIIWSITHAHLPRSQVQSSTHGTQPQALVSPTTNMPAPQAFKGLREDEVWKASGVLKSGVHCLALFKEKDEEREMLQLFSQILAIMEARDLMDMFSLCMPELFEYMISNNQLVHIFSTLLQTPKVYRPFADVLVNFLVSSKLDALKHPDTPTAKLVLHLFRFIFGAVAKAPTDFERILQPHVPVIMEACMKNATEVEKPLGYLQLLRTMFRALAGCKFELLLRDLIPMLQPCLNMLLTMLEGSTAEDMRDLLLELCLTLPARLSSLLPYLPRLMKPLVMCLKGSDELISLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPAPYPWGGKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPSTPFLVPLDRCINLAVAAVMHKDAGMDSFYRKQALKFLRVCLSSQLNLPGNVSDEGYTPKHLLTSLVSSVDSSWRRSETTDAKSDLGVKTKTQLLAEKSVFKILLMTIVAASAEPDLNDPKDDFVTNICRHFAMTFHMDQSSTNASTVSSSVGSSRSRSTSSSNLKELDPLIFLDALVDVLADENRFHAKAALSALNVFAETLLFLARSKHADLLMSRGGPGTPMIVSSPSMNPVYSPPPSVRIPVFEQLLPRLLHCCYGSKWQAQMGGVMGLGALVGKVTVETLCLFQVRIVRGLVYVLKRLPIYASKEQEETSQVLTQVLRVVNNVDEANNEPRRQSFQGVVEFFASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLHQPLLQPLIMRPLRAKTVDQQVGTVTALNFCLALRPPLLKLTQELVNFLQEALQIAEADETVWVVKFMNPKVATSLNKLRTACIELLCTTMAWADFRTTPNHSELRAKIIAMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIVELFHLLPHAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPLTKFLNRYSTLAVDYFLARLSEPKYFRRFMYIIKSDAGQPLRDELAKSPQKILASAFPEFVPKSEAAMSPGSSTPAAALLGDEGLSSQPDSSNLPPVTSGATLDAYFLGLALVKTLVKLIPGWLQSNRPVFDTLVLVWKSPARISRLQNEQELNLVQVKESKWLVKCFLNYLRHDKNEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKKALLLHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNGQSWDVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVLVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVSWERQRQNEMKVVTEGDVPSQISDGLNSASASADPKRSVDSSTFPEDPSKRIKVEPGLQSLCVMSPGASSSIPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKESNTMYKQALELLSQALEVWPTANVKFNYLEKLLSSVQPSQSKDPSTALSQGLDVMNKVLEKQPNLFIRNNINQISQILEPCFKYKMLEAGKSLCSLLKMIFDAFPLDASTTPPDVKLLYQKVDELIQKHIASVTAPQTSGEDNSANSISFVLLVIKTLTEVQKSFIDPFILVRIFQRLARDMGSSAGSNIRQGQRTDPDSSVTSSCQGADIGSVISNLKSVLKLISERVMVVPECKRSVTQILNALLSEKGTDASVLLSILDVIKGWVEDDYSKPGMSANANAFLTPKEIVSFLQKLSQVDKQNIQPNALEEWDRKYLQLLYEICADSNKYPLTLRQEVFKKVERQFMLGLRARDPEIRMKFFSLYHESLGKTLFTRLQFIIQIQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVLPLVAPGSVPDSSGMQQQITEVPEGSEDAPLTLDSIVLKHAQFLNEMSKLQVADLVIPLRELAHRDANVAYHLWVLVFPIAWVTLLKDEQVTLAKPMIALLSKDYHKKQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNETKCSESLAELYRLLNEDDMRCGLWKKRSVTAETKAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWIYCAGQLSQWDALVDFGKSIENYEILLDSLWKLPDWAYMKDNVIPKAQVEETPKLRLIQAFFALHDRNANGVGDAENIVGKGVDLALEHWWQLPEMSVHARVPLLQQFQQLVEVQESARILVDIANGNKLSGNAVVGVPGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNAVIDAFKEFSTTNPQLHHLGYRDKAWNVNKLAHIARKQGLYDVCVMILEKMYGHSTMEVQEAFVKIKEQAKTYLEMKGELTTGLNLINSTNLEYFPVKHKAEICCIKGDFLVKLNDSEGANVAYSNAITLFKNLPKGWISWGNYCDMAYKDSHDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSEPVGRSFDKYLDQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSELGRMAMAQQRMQQNISGANPSSLVLAADGSARVQSHTGGNLAPDNQVHQGSQSGSGIGSHDGGNSHGHEPERSTATESSVHTGNDQALQPSSSSISDGGQGTMRRNGALGLVASAASAFDAAKDIMEALRSKHANLAGELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPSATTSEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTTTFPVTLSELTERLKHWKNILQSNVEDRFPAVLKLEEESRVLRDFHVVDVEIPGQYFSDQEIAPDHTVKLDRVGADIQIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAILGQISPEAVVDLRLQAYNDITKNLVTDGIFSQYMYKTLPSGNHIWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFSEPVPFRLTRNMQAFFSHFGVEGLIVSSMCAAAQAVVSPKQSQHLWYQLAMFFRDELLSWSWRRPLGMPLAPAAGSGSLNPADFKNKVTTNVENVIGRINGIAPQCFSEEEENAMDPPQSVQRGVTELVEAALLPRNLCMMDPTWQPWF >KJB43341 pep chromosome:Graimondii2_0_v6:7:19311617:19329317:-1 gene:B456_007G195100 transcript:KJB43341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACFETLRPLAYSLLAEIVHHVRGDLSLSQLSRIIYLFSSNMHDASLSLGIHTTCARLMLNLVEPIFEKGVDQPSMDEARVLLGRILDAFVGKFSTFKRTIPQLLEEGEEGRDHSTLRSKLELPVQAVLNLQVPVEHSKEVSDCKNLIKTLVVGMKTIIWSITHAHLPRSQVQSSTHGTQPQALVSPTTNMPAPQAFKGLREDEVWKASGVLKSGVHCLALFKEKDEEREMLQLFSQILAIMEARDLMDMFSLCMPELFEYMISNNQLVHIFSTLLQTPKVYRPFADVLVNFLVSSKLDALKHPDTPTAKLVLHLFRFIFGAVAKAPTDFERILQPHVPVIMEACMKNATEVEKPLGYLQLLRTMFRALAGCKFELLLRDLIPMLQPCLNMLLTMLEGSTAEDMRDLLLELCLTLPARLSSLLPYLPRLMKPLVMCLKGSDELISLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPAPYPWGGKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPSTPFLVPLDRCINLAVAAVMHKDAGMDSFYRKQALKFLRVCLSSQLNLPGNVSDEGYTPKHLLTSLVSSVDSSWRRSETTDAKSDLGVKTKTQLLAEKSVFKILLMTIVAASAEPDLNDPKDDFVTNICRHFAMTFHMDQSSTNASTVSSSVGSSRSRSTSSSNLKELDPLIFLDALVDVLADENRFHAKAALSALNVFAETLLFLARSKHADLLMSRGGPGTPMIVSSPSMNPVYSPPPSVRIPVFEQLLPRLLHCCYGSKWQAQMGGVMGLGALVGKVTVETLCLFQVRIVRGLVYVLKRLPIYASKEQEETSQVLTQVLRVVNNVDEANNEPRRQSFQGVVEFFASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLHQPLLQPLIMRPLRAKTVDQQVGTVTALNFCLALRPPLLKLTQELVNFLQEALQIAEADETVWVVKFMNPKVATSLNKLRTACIELLCTTMAWADFRTTPNHSELRAKIIAMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIVELFHLLPHAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPLTKFLNRYSTLAVDYFLARLSEPKYFRRFMYIIKSDAGQPLRDELAKSPQKILASAFPEFVPKSEAAMSPGSSTPAAALLGDEGLSSQPDSSNLPPVTSGATLDAYFLGLALVKTLVKLIPGWLQSNRPVFDTLVLVWKSPARISRLQNEQELNLVQVKESKWLVKCFLNYLRHDKNEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKKALLLHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNGQSWDVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVLVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVSWERQRQNEMKVVTEGDVPSQISDGLNSASASADPKRSVDSSTFPEDPSKRIKVEPGLQSLCVMSPGASSSIPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKESNTMYKQALELLSQALEVWPTANVKFNYLEKLLSSVQPSQSKDPSTALSQGLDVMNKVLEKQPNLFIRNNINQISQILEPCFKYKMLEAGKSLCSLLKMIFDAFPLDASTTPPDVKLLYQKVDELIQKHIASVTAPQTSGEDNSANSISFVLLVIKTLTEVQKSFIDPFILVRIFQRLARDMGSSAGSNIRQGQRTDPDSSVTSSCQGADIGSVISNLKSVLKLISERVMVVPECKRSVTQILNALLSEKGTDASVLLSILDVIKGWVEDDYSKPGMSANANAFLTPKEIVSFLQKLSQVDKQNIQPNALEEWDRKYLQLLYEICADSNKYPLTLRQEVFKKVERQFMLGLRARDPEIRMKFFSLYHESLGKTLFTRLQFIIQIQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVLPLVAPGSVPDSSGMQQQITEVPEGSEDAPLTLDSIVLKHAQFLNEMSKLQVADLVIPLRELAHRDANVAYHLWVLVFPIAWVTLLKDEQVTLAKPMIALLSKDYHKKQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNETKCSESLAELYRLLNEDDMRCGLWKKRSVTAETKAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWIYCAGQLSQWDALVDFGKSIENYEILLDSLWKLPDWAYMKDNVIPKAQVEETPKLRLIQAFFALHDRNANGVGDAENIVGKGVDLALEHWWQLPEMSVHARVPLLQQFQQLVEVQESARILVDIANGNKLSGNAVVGVPGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNAVIDAFKEFSTTNPQLHHLGYRDKAWNVNKLAHIARKQGLYDVCVMILEKMYGHSTMEVQEAFVKIKEQAKTYLEMKGELTTGLNLINSTNLEYFPVKHKAEICCIKGDFLVKLNDSEGANVAYSNAITLFKNLPKGWISWGNYCDMAYKDSHDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSEPVGRSFDKYLDQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSELGRMAMAQQRMQQNISGANPSSLVLAADGSARVQSHTGGNLAPDNQVHQGSQSGSGIGSHDGGNSHGHEPERSTATESSVHTGNDQALQPSSSSISDGGQGTMRRNGALGLVASAASAFDAAKDIMEALRSKHANLAGELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPSATTSEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTTTFPVTLSELTERLKHWKNILQSNVEDRFPAVLKLEEESRVLRDFHVVDVEIPGQYFSDQEIAPDHTVKLDRVGADIQIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVISLTC >KJB43335 pep chromosome:Graimondii2_0_v6:7:19286954:19289331:1 gene:B456_007G194900 transcript:KJB43335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPLSSRIVTRLQTLASSNNEGKNEGSEKSASKTRTRNGKQQQDEERSALIDITNDSPIVGVAMETPSAVVGKGKTNMMTMMTPCSGEALLRGQVKNLLQKVEEEAEVSKVPLESRPFVHLQSPMALVAPTPANTPNISYLSEDGALGSMLMDLPVVEEELNISEVMSGNIVGTGVESQKCMITRSLLLDFAEKSECCSEDGVIITEDSSARKEKALTFQNDDIDSASIWSIQVNASTHDEEEDGGLVDELCKGIRKISMTEMSTGKQTRFVDNSDDEIEEEEEWGENGEYSSDIMRLKGLPTPKGKHLRFPLEEEAELCKGKRKISMTEMFMGKHTRFVYNSDDEIEEEEEEWGEHGEYSSDIMRLKGLPTPKRKHLRFPLEEEDEQD >KJB43336 pep chromosome:Graimondii2_0_v6:7:19286954:19289331:1 gene:B456_007G194900 transcript:KJB43336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPLSSRIVTRLQTLASSNNGNPVSKGKNEGSEKSASKTRTRNGKQQQDEERSALIDITNDSPIVGVAMETPSAVVGKGKTNMMTMMTPCSGEALLRGQVKNLLQKVEEEAEVSKVPLESRPFVHLQSPMALVAPTPANTPNISYLSEDGALGSMLMDLPVVEEELNISEVMSGNIVGTGVESQKCMITRSLLLDFAEKSECCSEDGVIITEDSSARKEKALTFQNDDIDSASIWSIQVNASTHDEEEDGGLVDELCKGIRKISMTEMSTGKQTRFVDNSDDEIEEEEEWGENGEYSSDIMRLKGLPTPKGKHLRFPLEEEAELCKGKRKISMTEMFMGKHTRFVYNSDDEIEEEEEEWGEHGEYSSDIMRLKGLPTPKRKHLRFPLEEEDEQD >KJB39031 pep chromosome:Graimondii2_0_v6:7:58997858:58998094:-1 gene:B456_007G358500 transcript:KJB39031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKVSTAIIVAAASMSAVMAAGAPSPAPSAGGSSPSSSPGSAPASGPDSSVAAATLPVLGSLVGASIVSLFSYMLQ >KJB43879 pep chromosome:Graimondii2_0_v6:7:26326860:26329049:1 gene:B456_007G222300 transcript:KJB43879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDKCVLVIREFDPSKDLTSVEEVEKRCEVGPSGKLSLFTDLLGDPICRVRHSPAFLMLVAELSSTKEIVGMIRGCIKTVTCGKKLYRNGKSNHPTKPFPVYTKLAYILGLRVSPSHRRMGIGLKLVRGMEDWFVLNGAEYSYLATENDNKPSVKLFTDKCGYSKFRTPSILVNPVFAHQLPVSNRVTLIKLPQSDAELLYRRRFSTTEFFPRDIDSVLNNRLNIGTFLAVPRGGCYTQKSWPGSDKFLSDPPESWAVLSVWNSKDVFRLEVRGASRMTKALAKTTRIVDKLLPFFRLPSIPEVFRPFGLHFLYGLGGEGPRAAKFVNALCAHAHNLAKQGGCSVVATEVANLEPLKIGVPHWKSLSCDQDLWCIKRLGEDYSDGSVGDWTKSPPGLSIFVDPREF >KJB38864 pep chromosome:Graimondii2_0_v6:7:33089:35008:-1 gene:B456_007G0004004 transcript:KJB38864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSSFAHSNRCGGRGQDMKYDRERSRGRGGSGSKDKIDALGRLLTRILRHMATELNLNMRSDGYVKVEDLLKLNMRAFANIPLRSQTVDDIKEAVRKDNKQRFSLLEENGELLIRANQGHTVMTVQSERLLKQILSADEVQFCVHGTYKRNLESILESGLKRMKRLHVHFSSGLLTDGEVISGMRRDVTVLIYLDVRKALE >KJB38863 pep chromosome:Graimondii2_0_v6:7:32796:35493:-1 gene:B456_007G0004004 transcript:KJB38863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSSFAHSNRCGGRGQDMKYDRERSRGRGGSGSKDKIDALGRLLTRILRHMATELNLNMRSDGYVKVEDLLKLNMRAFANIPLRSQTVDDIKEAVRKDNKQRFSLLEENGELLIRANQGHTVMTVQSERLLKQILSADEVQFCVHGTYKRNLESILESGLKRMKRLHVHFSSGLLTDGEVISGMRRDVTVLIYLDVRKALEGKHACFFQVSVR >KJB40418 pep chromosome:Graimondii2_0_v6:7:4372546:4372926:-1 gene:B456_007G062500 transcript:KJB40418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVWWTLLGTAIPAVIAGQVFRLRKKHAEEQRLKSARGREVCTSKRMLKKVGAFSKDPIPDTCVTVCGVSELDACSDACARTVCVNQHQVPNWNDICLRRCQSECLRLSASHSP >KJB42998 pep chromosome:Graimondii2_0_v6:7:16628454:16631714:-1 gene:B456_007G178800 transcript:KJB42998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSVGIDEDGEGPSNRVPKRQRAERVLDIEGSGQEESEQEEEDEESEGEEASEGEEEASEESEGEEELEESGAEQGAMSPQASRDGSISITLTDPEVLDCSICYEALTIPVFQCENGHIACSTCCIKMRNRCPSCMTPIGYSRCRAIEKVLESVKVTCQNTKYGCKEAFSYSMKQKHGKACLFAPCSCPLPDCDFEGSSEELSAHFGNVHKYSATRFLYDRLAPITLGVSEKFLILREETDGSLFILHNKVENLGNVVTLSRIGPSTERGFFYELTVKAPSDVSNLRLQSLTKSTPKRVDSPQSLGFLLVPSQFSCISRQIKMDLRLGRSDRFSYYQRPGGA >KJB44348 pep chromosome:Graimondii2_0_v6:7:38315083:38315765:-1 gene:B456_007G247400 transcript:KJB44348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDPTSSAAAPGPSDSVVSATLISSPSKINNRCESCNRKLGLMGFTCRCGRVFCQFDRYPLEHSCNYDFKKAGRQSLAKENPVIRGDKLKSRM >KJB43891 pep chromosome:Graimondii2_0_v6:7:25947192:25948650:-1 gene:B456_007G222000 transcript:KJB43891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSESCILRPCLQWIESPEAQGHATVFVAKFFGRAGLMSFISAVPESQRPALFQSLLFEACGRTVNPVNGAVGLLWNGNWHVCQAAVETVLRGGSLRSMPELMAPTPASDEASEATCTDMWKLRETSTNLNSNCRFSNSRSRVSPKRKRVEEEFKKLQPSDLDLGLTPSFTGKRVTDNRRPGTPSMNSEESVTTTCFESVFADQQGQGSRGTDKKLLKLFV >KJB43359 pep chromosome:Graimondii2_0_v6:7:19512069:19513752:1 gene:B456_007G196100 transcript:KJB43359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATENNSSRVGSGSGSYTVNGDAAPDRKIALITGITGQDGSYLTEFLLNKGYEVHGLIRRSSNFNTQRINHIYIDPHNAHKARMKSPYAASKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRAVGRIKIGLQNKLFLGNLQASRDWGFAGDYVEAMWMMLQQEKPDDYVVATEESHTVEEFLQVAFGYVGLNWKDHVVIDKRYFRPAEVDNLKGDSSKARKVLGWKPKVGFEQLVKMMVDEDIELAKREKVLVDAGYMDAQQQP >KJB43358 pep chromosome:Graimondii2_0_v6:7:19511958:19513794:1 gene:B456_007G196100 transcript:KJB43358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATENNSSRVGSGSGSYTVNGDAAPDRKIALITGITGQDGSYLTEFLLNKGYEVHGLIRRSSNFNTQRINHIYIDPHNAHKARMKLHYADLTDASSLRRWLDTIRPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIAATGRTHIKYYQAGSSEMFGSTPPPQSEHTPFHPRSPYAASKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRAVGRIKIGLQNKLFLGNLQASRDWGFAGDYVEAMWMMLQQEKPDDYVVATEESHTVEEFLQVAFGYVGLNWKDHVVIDKRYFRPAEVDNLKGDSSKARKVLGWKPKVGFEQLVKMMVDEDIELAKREKVLVDAGYMDAQQQP >KJB39352 pep chromosome:Graimondii2_0_v6:7:633184:637419:-1 gene:B456_007G008000 transcript:KJB39352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSVNNAFKSYKDMEPKSTMDMVLIPNMDTIDIVLGSSEKGNVVPSAKPRKKTMTSVYLKYFETAPDGKTRRCKFCGQSYSIATATGNLGRHLSNRHPGYDKTGENVTSSAPQPSTTPTVIKKPQQQGRAPQVDYDHLNWLLIKWLILATLPPSTLEEKWLANSFKFLNPSIQLWPGEKYKAVFREVFRSMREDVRASLEQVSSKVSIALDFWTSYEQIFYMSITCQWIDENWSFRKVLLDICQVPYPCSDSEIYNSLVKVLKMYNIENKVLSCTHDNSQNAIHACHALKEDLDGQKMGPFCFIPCAARTLSLIIDDALRTTKPVIAKVREFVQELNASLDISEDFIQLTTAYKEGSWQFPLDASARWSGSYQMLDLVQKQAGKSMDAVVRKNEEMLGNRMLLNTAEKNVVNIVHNYLEPFYKVISEICVNTPPTIGMVIVYMDHISDTITTRQPPDWLKNPAEDMAKKLRSYNNQVCNIFIYMTAILDPRIKCELIPESLNSENYLEEARAHFVRNYYTTPFSSMTSGYSSQDIEDGGAVSFAEEIARKKRRASMSNATDELTQYLSESPAPTKTDVLEWWKVNSTRYPRLSAMARDFLAVQATSVKPDELFCSKGDEIDKQRFCMPHDSTQAILCIKSWTQGGLKLKYKSTEIDYERLMEMAAAAAADISSAGIDKKQK >KJB39351 pep chromosome:Graimondii2_0_v6:7:633125:637469:-1 gene:B456_007G008000 transcript:KJB39351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSVNNAFKSYKDMEPKSTMDMVLIPNMDTIDIVLGSSEKGNVVPSAKPRKKTMTSVYLKYFETAPDGKTRRCKFCGQSYSIATATGNLGRHLSNRHPGYDKTGENVTSSAPQPSTTPTVIKKPQQQGRAPQVDYDHLNWLLIKWLILATLPPSTLEEKWLANSFKFLNPSIQLWPGEKYKAVFREVFRSMREDVRASLEQVSSKVSIALDFWTSYEQIFYMSITCQWIDENWSFRKVLLDICQVPYPCSDSEIYNSLVKVLKMYNIENKVLSCTHDNSQNAIHACHALKEDLDGQKMGPFCFIPCAARTLSLIIDDALRTTKPVIAKVREFVQELNASLDISEDFIQLTTAYKEGSWQFPLDASARWSGSYQMLDLVQKAGKSMDAVVRKNEEMLGNRMLLNTAEKNVVNIVHNYLEPFYKVISEICVNTPPTIGMVIVYMDHISDTITTRQPPDWLKNPAEDMAKKLRSYNNQVCNIFIYMTAILDPRIKCELIPESLNSENYLEEARAHFVRNYYTTPFSSMTSGYSSQDIEDGGAVSFAEEIARKKRRASMSNATDELTQYLSESPAPTKTDVLEWWKVNSTRYPRLSAMARDFLAVQATSVKPDELFCSKGDEIDKQRFCMPHDSTQAILCIKSWTQGGLKLKYKSTEIDYERLMEMAAAAAADISSAGIDKKQK >KJB44330 pep chromosome:Graimondii2_0_v6:7:39366044:39368797:-1 gene:B456_007G250100 transcript:KJB44330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLCLNSCAAVPGAAKQILSSLILLHKFPSSLGHKYCISKTSASLSVPITDKKEIRSTDLVALEYADLNLTDKISQELGHVRIRQHVNPLSSSFSVPAPVPNWDEVFKDPTLPLMVDIGSGSGRFLLWLAKQNPDSQNYLGLEIRAKLVKRAEFWVKELALSNVHFMFANAAVSFKQLVSTYPGPLALVSILCPDPHFKKRHHKRRVVQTPLVNSILTRLMPEGKYALMDHHLLGLWHRK >KJB44329 pep chromosome:Graimondii2_0_v6:7:39365475:39368797:-1 gene:B456_007G250100 transcript:KJB44329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLCLNSCAAVPGAAKQILSSLILLHKFPSSLGHKYCISKTSASLSVPITDKKEIRSTDLVALEYADLNLTDKISQELGHVRIRQHVNPLSSSFSVPAPVPNWDEVFKDPTLPLMVDIGSGSGRFLLWLAKQNPDSQNYLGLEIRAKLVKRAEFWVKELALSNVHFMFANAAVSFKQLVSTYPGPLALVSILCPDPHFKKRHHKRRVVQTPLVNSILTRLMPEGKKLPKT >KJB44327 pep chromosome:Graimondii2_0_v6:7:39365267:39368797:-1 gene:B456_007G250100 transcript:KJB44327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLCLNSCAAVPGAAKQILSSLILLHKFPSSLGHKYCISKTSASLSVPITDKKEIRSTDLVALEYADLNLTDKISQELGHVRIRQHVNPLSSSFSVPAPVPNWDEVFKDPTLPLMVDIGSGSGRFLLWLAKQNPDSQNYLGLEIRAKLVKRAEFWVKELALSNVHFMFANAAVSFKQLVSTYPGPLALVSILCPDPHFKKRHHKRRVVQTPLVNSILTRLMPEGKVFIQSDVVEVAEDMRKQFDEESGVLQHIDTVDPSVLCDNEGWLLNNPMGIRTEREIHAELEGAKIYRRLYQKRKGS >KJB44328 pep chromosome:Graimondii2_0_v6:7:39366786:39368565:-1 gene:B456_007G250100 transcript:KJB44328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLCLNSCAAVPGAAKQILSSLILLHKFPSSLGHKYCISKTSASLSVPITDKKEIRSTDLVALEYADLNLTDKISQELGHVRIRQHVNPLSSSFSVPAPVPNWDEVFKDPTLPLMVDIGSGSGRFLLWLAKQNPDSQNYLGLEIRAKLVKRAEFWVKELALSNV >KJB39199 pep chromosome:Graimondii2_0_v6:7:201347:214298:-1 gene:B456_007G002000 transcript:KJB39199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYDKDEVPMLSDTYPQSSENNRDSQSQTFISRTRSASISIPLNSMESYQNTHLVGHTGPLRNERKTPFIQMSGPLYISRKPENSFQLNQSTAAGQKMMEPKAEKFPSFNGKDENDWPDNNYSGKNEHLLRSGQLGMCSDPYCTTCPTYYNFKPEQQKNSRVSGIFDTKYAFYGDAKGWARRLVSFLCSYVPGIMNPHAKVVQQWNKFFVISCLMAVFIDPLFFFLLSVQKENKCIVIDWPATKLFVGLRSVTDFIYMLNMLLQFRLAYVAPESTVVGAGELVDHPKEIAFNYLGGYFSLDLFVVLPLPQIMISLVLPKHLGSSGANFAKNLLRTAILIQYLPRLYRFLPFLAGQSPLGFIFESAWANFVINLLTFMLSGHVVGSSWYLLGLQRVNQCLRNACGNSNIRNCKEFIDCGSGHSPNRNVLPIWTNNSNVSACFTEDGFSYGIYVPTVNLTTEPSIVTRYVYSLFWGFQQISTLAGNQTPSYFVWEVLFTMAIVGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMSHRRLPVELRRKVREAERYNWAATRGVNEEVLIENLPEDLQREIRRHLFKFVKKVRIFALMNDTILDAICKRLRQKIYIKESYVLSRGCLIEKMVFIVRGTMESVEENGIRNPLSEGDVCGEELLNWCLEHSSVNRDVKKIKLPGQSLHSISSREVRCVTNVEAFSIRAADLQEVTSLFPRFFRTPRVIRAIRNESPYWKTFAAICIQVAWRYRKKRLNRANTSQSTCC >KJB39198 pep chromosome:Graimondii2_0_v6:7:201004:215206:-1 gene:B456_007G002000 transcript:KJB39198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYDKDEVPMLSDTYPQSSENNRDSQSQTFISRTRSASISIPLNSMESYQNTHLVGHTGPLRNERKTPFIQMSGPLYISRKPENSFQLNQSTAAGQKMMEPKAEKFPSFNGKDENDWPDNNYSGKNEHLLRSGQLGMCSDPYCTTCPTYYNFKPEQQKNSRVSGIFDTKYAFYGDAKGWARRLVSFLCSYVPGIMNPHAKVVQQWNKFFVISCLMAVFIDPLFFFLLSVQKENKCIVIDWPATKLFVGLRSVTDFIYMLNMLLQFRLAYVAPESTVVGAGELVDHPKEIAFNYLGGYFSLDLFVVLPLPQIMISLVLPKHLGSSGANFAKNLLRTAILIQYLPRLYRFLPFLAGQSPLGFIFESAWANFVINLLTFMLSGHVVGSSWYLLGLQRVNQCLRNACGNSNIRNCKEFIDCGSGHSPNRNVLPIWTNNSNVSACFTEDGFSYGIYVPTVNLTTEPSIVTRYVYSLFWGFQQISTLAGNQTPSYFVWEVLFTMAIVGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMSHRRLPVELRRKVREAERYNWAATRGVNEEVLIENLPEDLQREIRRHLFKFVKKVRIFALMNDTILDAICKRLRQKIYIKESYVLSRGCLIEKMVFIVRGTMESVEENGIRNPLSEGDVCGEELLNWCLEHSSVNRGMNHHIGKPLLLFVFK >KJB39719 pep chromosome:Graimondii2_0_v6:7:1865929:1867392:1 gene:B456_007G027100 transcript:KJB39719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTVASAALLLTLNLLFFTLVSSTYVPCPPPPKSHKPTHKSPPSAPKQPATCPKDTLKLGVCADLLGSVRVVVGPSRTQCCSLISGLADLDAAVCLCTAIKANVLGVNLNVPVSLSLLLNSCEKQMPAGYKCA >KJB39349 pep chromosome:Graimondii2_0_v6:7:631292:631801:-1 gene:B456_007G007900 transcript:KJB39349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNNPTHQQQQQQRPSRANRTVVPSSTFLQKIQSHAPNTTHFLGFLLLFFVSAATLLILTGLTLTATVFGLVCFMPVIVVSSPVWFPICTYLFLTAVGFLSACGFGVAVAAGSTWMYRYFRGMHPPGSDRVDSARTRIYDTASHVKDYAMEYGGYLQSKVKDAAPGA >KJB41352 pep chromosome:Graimondii2_0_v6:7:7416509:7422273:1 gene:B456_007G100200 transcript:KJB41352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSGLVSNRNFGHFIGSGILCRGEQAILLPRGERLSVAVVHGNIVKPISVSPNGRQLILLSLHHSDKSHRDYPQQISKGSFACCSFSSSSPGGWLEAGDKDKIVENRTSAFYKSEEYDITEAKLDSLPSPDENNEAILVERDIPWWQSFPKRWVIVLLCFAAFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQILGGIWADKIGGKLVLGFGVVWWSVATILTPIAAKIGLPFLLIMRAFMGIGEGVAMPAMNNLLSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPILIHKFGWPSVFYSFGSLGSIWFALWLRKAYSSPEEDPELSKEEKKLIMGGSISKEPVKVIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAAFANIGGWIADTLVSRGLSITAVRKIMQSIGFLGPAFFLTQLSYVRTPAMAVLCMACSQGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVSVALYIIGTLVWNLFSTGEKILD >KJB41353 pep chromosome:Graimondii2_0_v6:7:7417342:7422273:1 gene:B456_007G100200 transcript:KJB41353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMAGILCRGEQAILLPRGERLSVAVVHGNIVKPISVSPNGRQLILLSLHHSDKSHRDYPQQISKGSFACCSFSSSSPGGWLEAGDKDKIVENRTSAFYKSEEYDITEAKLDSLPSPDENNEAILVERDIPWWQSFPKRWVIVLLCFAAFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQILGGIWADKIGGKLVLGFGVVWWSVATILTPIAAKIGLPFLLIMRAFMGIGEGVAMPAMNNLLSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPILIHKFGWPSVFYSFGSLGSIWFALWLRKAYSSPEEDPELSKEEKKLIMGGSISKEPVKVIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAAFANIGGWIADTLVSRGLSITAVRKIMQSIGFLGPAFFLTQLSYVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVSVALYIIGTLVWNLFSTGEKILD >KJB41351 pep chromosome:Graimondii2_0_v6:7:7416351:7422273:1 gene:B456_007G100200 transcript:KJB41351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSGLVSNRNFGHFIGSGILCRGEQAILLPRGERLSVAVVHGNIVKPISVSPNGRQLILLSLHHSDKSHRDYPQQISKGSFACCSFSSSSPGGWLEAGDKDKIVENRTSAFYKSEEYDITEAKLDSLPSPDENNEAILVERDIPWWQSFPKRWVIVLLCFAAFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQILGGIWADKIGGKLVLGFGVVWWSVATILTPIAAKIGLPFLLIMRAFMGIGEGVAMPAMNNLLSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPILIHKFGWPSVFYSFGSLGSIWFALWLRKAYSSPEEDPELSKEEKKLIMGGSISKEPVKVIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAAFANIGGWIADTLVSRGLSITAVRKIMQSIGFLGPAFFLTQLSYVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVSVALYIIGTLVWNLFSTGEKILD >KJB43220 pep chromosome:Graimondii2_0_v6:7:18295145:18300565:-1 gene:B456_007G189000 transcript:KJB43220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGDSLVSLLPFDRHVEQAVLAMKKGAHLLKCGRRGKPKLCPFRLSADEKHLIWYSGQEERQLRLSSVIKIVSGQKTVNFRRQYQPHREQQSLSLIYYANGERSLDLICKDKAQADAWFVGLRAAISRSHSCRSVTALRNCSRGAQSCLSSPAGFIRRKHNLGLVEDRNQFSQVRSLCGSPSLSLSERCFSDGLSYSSDSFYSWESNWSQMQNVTDTLLPTSPYVQPDSAKKWEVDYAAPEFRENTSHRFATPTHYSTQIQKNEVLKDVMIWGEEVVGGNICGVQLDGFGIQSGSNVDALLPKLLESATMLDVQCISLGARHAALVTKQGEVFCWGDANGGRLGNKINIDISHPKLVESLNGIAVQGVACGEYQTCSLTQSGELYAWGGEVCTSQWLPHKISGPLDSVNVLAVSCGEWHTAVVSTTGKLFTYGDGTFGVLGHGNTQSLLQPKEVESLKSLWVKSVACGPWHTAAIAEIMTDRNKLNANGGKLFTWGDGDKGRLGHADRDRKLLPTCVVQLMDHDFVQVCCGGMLTVALTSKGTVYTMGSAVYGQLGNPQAKDKSITVVEGKLKQESVKEISSGSYHVAALTSGGRVYTWGRGSNGQLGLGDTEDRHTPSLVESLRDRQVESIACGSNLTAAICLHKSITVSDQSACRGCKMAFGFARKKHNCYNCGLLFCHACSSKKVVNTSLAPNKSKPCRVCNTCFNHLQKITNSSKVLKPENQVVGQVSTPQSHRGLIDEKDGSKSRLLSLKHSSSYDENQDIERKTSKTKYPELACNIPGATIPRWGQVSCPVAFEAAQAQSKKLAASSPLARNQSPLIDPEGSKKTSLRSKYNILDSVVVENDLPESNEMLNEEVQRLKAEARNLEMQCQIGSQKIEECRQKIEHTWSLAKEEAEKCKAAKDFIKSLALRLHSITEKFPAAREEKSVGIDVQYHSPRTRKEPVSTERHNNVEGEPHLPCLRLESESEVKSATGEGQGDSLCETPIVFSNKSRSMRMQARDRDRDRDRDRVMQEKQGTVTQMEVEQTAGRSNKDSKVNEWVEQYEAGVYVTFTTLASGHKGLKRVRFSRKRFTDKGAEQWWEENQLKVYQKYGIEEYSHSNQKQ >KJB43221 pep chromosome:Graimondii2_0_v6:7:18295148:18299004:-1 gene:B456_007G189000 transcript:KJB43221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNVTDTLLPTSPYVQPDSAKKWEVDYAAPEFRENTSHRFATPTHYSTQIQKNEVLKDVMIWGEEVVGGNICGVQLDGFGIQSGSNVDALLPKLLESATMLDVQCISLGARHAALVTKQGEVFCWGDANGGRLGNKINIDISHPKLVESLNGIAVQGVACGEYQTCSLTQSGELYAWGGEVCTSQWLPHKISGPLDSVNVLAVSCGEWHTAVVSTTGKLFTYGDGTFGVLGHGNTQSLLQPKEVESLKSLWVKSVACGPWHTAAIAEIMTDRNKLNANGGKLFTWGDGDKGRLGHADRDRKLLPTCVVQLMDHDFVQVCCGGMLTVALTSKGTVYTMGSAVYGQLGNPQAKDKSITVVEGKLKQESVKEISSGSYHVAALTSGGRVYTWGRGSNGQLGLGDTEDRHTPSLVESLRDRQVESIACGSNLTAAICLHKSITVSDQSACRGCKMAFGFARKKHNCYNCGLLFCHACSSKKVVNTSLAPNKSKPCRVCNTCFNHLQKITNSSKVLKPENQVVGQVSTPQSHRGLIDEKDGSKSRLLSLKHSSSYDENQDIERKTSKTKYPELACNIPGATIPRWGQVSCPVAFEAAQAQSKKLAASSPLARNQSPLIDPEGSKKTSLRSKYNILDSVVVENDLPESNEMLNEEVQRLKAEARNLEMQCQIGSQKIEECRQKIEHTWSLAKEEAEKCKAAKDFIKSLALRLHSITEKFPAAREEKSVGIDVQYHSPRTRKEPVSTERHNNVEGEPHLPCLRLESESEVKSATGEGQGDSLCETPIVFSNKSRSMRMQARDRDRDRDRDRVMQEKQGTVTQMEVEQTAGRSNKDSKVNEWVEQYEAGVYVTFTTLASGHKGLKRVRFSRKRFTDKGAEQWWEENQLKVYQKYGIEEYSHSNQKQ >KJB43222 pep chromosome:Graimondii2_0_v6:7:18295148:18300565:-1 gene:B456_007G189000 transcript:KJB43222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGDSLVSLLPFDRHVEQAVLAMKKGAHLLKCGRRGKPKLCPFRLSADEKHLIWYSGQEERQLRLSSVIKIVSGQKTVNFRRQYQPHREQQSLSLIYYANGERSLDLICKDKAQADAWFVGLRAAISRSHSCRSVTALRNCSRGAQSCLSSPAGFIRRKHNLGLVEDRNQFSQVRSLCGSPSLSLSERCFSDGLSYSSDSFYSWESNWSQMQNVTDTLLPTSPYVQPDSAKKWEVDYAAPEFRENTSHRFATPTHYSTQIQKNEVLKDVMIWGEEVVGGNICGVQLDGFGIQSGSNVDALLPKLLESATMLDVQCISLGARHAALVTKQGEVFCWGDANGGRLGNKINIDISHPKLVESLNGIAVQGVACGEYQTCSLTQSGELYAWGGEVCTSQWLPHKISGPLDSVNVLAVSCGEWHTAVVSTTGKLFTYGDGTFGVLGHGNTQSLLQPKEVESLKSLWVKSVACGPWHTAAIAEIMTDRNKLNANGGKLFTWGDGDKGRLGHADRDRKLLPTCVVQLMDHDFVQVCCGGMLTVALTSKGTVYTMGSAVYGQLGNPQAKDKSITVVEGKLKQESVKEISSGSYHVAALTSGGRVYTWGRGSNGQLGLGDTEDRHTPSLVESLRDRQVESIACGSNLTAAICLHKSITVSDQSACRGCKMAFGFARKKHNCYNCGLLFCHACSSKKVVNTSLAPNKSKPCRVCNTCFNHLQKITNSSKVLKPENQVVGQVSTPQSHRGLIDEKDGSKSRLLSLKHSSSYDENQDIERKTSKTKYPELACNIPGATIPRWGQVSCPVAFEAAQAQSKKLAASSPLARNQSPLIDPEGSKKTSLRSKYNILDSVVVENDLPESNEMLNEEVQRLKAEARNLEMQCQIGSQKIEECRQKIEHTWSLAKEEAEKCKAAKDFIKSLALRQLHSITEKFPAAREEKSVGIDVQYHSPRTRKEPVSTERHNNVEGEPHLPCLRLESESEVKSATGEGQGDSLCETPIVFSNKSRSMRMQARDRDRDRDRDRVMQEKQGTVTQMEVEQTAGRSNKDSKVNEWVEQYEAGVYVTFTTLASGHKGLKRVRFSRKRFTDKGAEQWWEENQLKVYQKYGIEEYSHSNQKQ >KJB45108 pep chromosome:Graimondii2_0_v6:7:49581413:49587559:1 gene:B456_007G289500 transcript:KJB45108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGARRSKRLGDLEPPSQPVVQAENWVLPAQNRSRRRVGGRGRGNAVGVAKGPSPAVPTRPRAAGRGRGIRLTDFDPELCQVLPEAAPLAAAEPVFNQAEVVADKDIAMEGGSGDKIVGVEEEASTTPVPEMVQVGNSPAYKVERKLGKGGFGQVYVGRRVSGGSDRIGPDAIEVALKLEHRNSKGCNYGPPYEWQVYNTLNGCYGIPGVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPNMVACIAVEAISILEKLHLKGFVHGDVKPENFLLGQPGSVDEKKLYLIDLGLASRWKDAHSDKHIDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDDKSFLVCKKKMGTSPELMCCFCPAPFKQFLEAVINMKFDEEPNYAKLISFFESLIDPCIPLRPIRIDGALKVGQKRGRLVINLEEDEQPKKKVRLGSPATQWVSVYNARRPMKQRYHYNVADSRLHQHVEKGNEDALFISCVASAANLWALIMDAGTGFTSQVYELSAVFLHKDWIMEQWERNYYISSIAGANNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWESGYRITSMAATVDQAAFILSIPKRKTMDETQETLRTSAFPSTHVKEKWAKNLYIASICYGRTVC >KJB45443 pep chromosome:Graimondii2_0_v6:7:51955639:51958327:1 gene:B456_007G306000 transcript:KJB45443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSVITPEDVLESLMNDGTIDALRLKIINQLKANEELKNTTIKMAEQSKVLNTSGAEKQTKRELFDALRQELETPVLEMASKSVWELILDSNGLGKEISETVERVFCKLSGREPPLFPHSNDVVLLPGKAVGDEKRKGKEKDCENEKENSKSKSKKRSFNDMNAEGSATDITGKSSDTPAAPHDAN >KJB45444 pep chromosome:Graimondii2_0_v6:7:51956490:51958049:1 gene:B456_007G306000 transcript:KJB45444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQSKVLNTSGAEKQTKRELFDALRQELETPVLEMASKSVWELILDSNGLGKEISETVERVFCKLSGREPPLFPHSNDVVLLPGKAVGDEKRKGKEKDCENEKENSKSKSKKRSFNDMNAEGSATDITGKSSDTPAAPHDAN >KJB40336 pep chromosome:Graimondii2_0_v6:7:4180104:4181616:-1 gene:B456_007G058800 transcript:KJB40336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFPFFPWRFSRGASKEKQPVVKGASLNSGNSSSDWGAETVKFQTKISGNGKWQGSEERRVIDKEFDVVVVPSDEPLGPGFHGDEDDGGYAVLVPCYKCGYKELVNGPSNQLPSAIKEPAKWVFVWEVLGYYEVGIYDSEKMDQQSLMQTVQMTIIAAIMAPFFLPTLLFHSEQSLVVSGNNSCSTLVLIQKKPEFCIYLLLKIYLDILGSMPTDNTANIVNHNSQLNVSANSFLWNGMKLWTYNFISLAPLNSIVQFFLMTCEPEGSNSLHQWFSSRRNY >KJB41868 pep chromosome:Graimondii2_0_v6:7:10163181:10164266:-1 gene:B456_007G127300 transcript:KJB41868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKTNKPPLSLSRLIQFMKGKEDKIAVVVGAVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVHKSSTYNFFLVVYDNDYQVLGSTVSYAFSILGMSFFFVLKGR >KJB41867 pep chromosome:Graimondii2_0_v6:7:10162387:10164333:-1 gene:B456_007G127300 transcript:KJB41867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKTNKPPLSLSRLIQFMKGKEDKIAVVVGAVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRAKGRKFERARGRRNSKGFRV >KJB41866 pep chromosome:Graimondii2_0_v6:7:10162387:10164174:-1 gene:B456_007G127300 transcript:KJB41866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSSTGFTSYGILLLSEALTEISAAVSLFTFFCNDFTLLQFQGIDLVAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKTNKPPLSLSRLIQFMKGKEDKIAVVVGAVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRAKGRKFERARGRRNSKGFRV >KJB46424 pep chromosome:Graimondii2_0_v6:7:60031459:60039990:-1 gene:B456_007G367900 transcript:KJB46424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTVKDVNERDKDLVVENGFVKEPKSSPGSSVSTADVIPSQKALEGKDSLSYANILRSRNKFADALAIYNDVLEKDSGCIEAHIGKGICLQMQNMGGPAFESFSEAIKLDPQNACALTHCGILYKDEGRLVDAAESYQKALSADPSYKPAAECLAIVLTDLGTSLKLAGNTQEGIQKYYEALKIDSHYAPAYYNLGVVYSEMMQYDTALSCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINEGVAYYKKALSYNWHYADAMYNLGVAYGEMLKFDMAVVYYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIIACPTYAEAYNNLGVLYRDAGNISMAITAYEQCLKIDPDSRNAGQNRLLAMNYISEGDDKLFDAHRDWGRRFMRLYSQYNSWDNPKDPERPLVIGYISPDYFTHSVSYFIEAPLIYHDYRNYHVVVYSAVVKADAKTIRFREKVLKRGGVWRDIYGIDEKKVASMVRDDKIDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYRITDSFADPPDTKQKHVEELVRLPECFLCYTPSPEAGPVSPTPALANGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSVRQKFLSTLEQLGLESLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLSKVGLQHLIARNEDEYVQLALKLASDITALQNLRTSLRDLMSKSPVCDGQNFISGLEATYRNIWRRYCKDDVPSLRYMETLQKQDIPDELTTKTSDPEKISVSGDTFPSTVKCNGFNQVPLPISNNTTSEENGDESNQTTNSSKPS >KJB46423 pep chromosome:Graimondii2_0_v6:7:60031868:60039179:-1 gene:B456_007G367900 transcript:KJB46423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTVKDVNERDKDLVVENGFVKEPKSSPGSSVSTADVIPSQKALEGKDSLSYANILRSRNKFADALAIYNDVLEKDSGCIEAHIGKGICLQMQNMGGPAFESFSEAIKLDPQNACALTHCGILYKDEGRLVDAAESYQKALSADPSYKPAAECLAIVLTDLGTSLKLAGNTQEGIQKYYEALKIDSHYAPAYYNLGVVYSEMMQYDTALSCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINEGVAYYKKALSYNWHYADAMYNLGVAYGEMLKFDMAVVYYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIIACPTYAEAYNNLGVLYRDAGNISMAITAYEQCLKIDPDSRNAGQNRLLAMNYISEGDDKLFDAHRDWGRRFMRLYSQYNSWDNPKDPERPLVIGYISPDYFTHSVSYFIEAPLIYHDYRNYHVVVYSAVVKADAKTIRFREKVLKRGGVWRDIYGIDEKKVASMVRDDKIDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYRITDSFADPPDTKQKHVEELVRLPECFLCYTPSPEAGPVSPTPALANGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSVRQKFLSTLEQLGLESLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLSKVGLQHLIARNEDEYVQLALKLASDITALQNLRTSLRDLMSKSPVCDGQNFISGLEATYRNIWRRYCKDDVPSLRYMETLQKQDIPDELTTKTSDPEKISVSGDTFPSTVKCNGFNQVPLPISNNTTSEENGDESNQTTNSSKPS >KJB44293 pep chromosome:Graimondii2_0_v6:7:36484986:36486590:-1 gene:B456_007G244800 transcript:KJB44293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVGLFEVFLPLVCFLLLYCLRDKYHGPPKSFPLVGMMPQFLSNIPRLHDWFTDTLEICHCTFLFKGFAKTNVLVTCDPSNIHYIMSSNFNNFPKGPGFKQIFDILGDGIFNVDMDLWRKQRIAAQGFMRHQLFYRFLSRTTGAKVEEGLIPLLDHVAKQGLVVNLEDVFQRFAFDATCILVTGYDPKCLSVEFPDVPFSKAMDDAAEVMFYRHITPQSFIKLQRWMNMGEEKKYRKAWEVLDHIMVQYICQKRKDLNQGMISETVDGGVDLLTSYILEEKSCDVKFLRDTILNMMLAGRDTTSSALTWFIWLVSRHPIVENKIIEELQSIIPAEETKKRRLFNAKEVKNLVYLHGALCEALRLYPPVPFQHKEPLKPDTLPSGHPIHPTTKIVFSLYSMGRMKSVWGEDCFEFKPERWITEKGGIKHEPSYKFMSFNAGPRTCLGKDVAFLQMKAVASAIIYNYRIHVLGETPVVPTVSIILRTKDGLMTKISPRWD >KJB39942 pep chromosome:Graimondii2_0_v6:7:2755622:2760879:-1 gene:B456_007G039600 transcript:KJB39942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQEGSPADTHTPSAQVVGNAFVEQYYHILHQSPNLVHRFYQDSSCLSRPDMVGNMTTVTTMQAINEKVLSLNYQDYTAEIKTADAQDSFEKGVIVVVTGCLTGKDNIRRKFTQTFFLAPQDKGYFVLNDIFRYIEDNELQNTIPVNGVSEQASTSVLTSEPEPSNDHPVEDPLTYPEDEDINNGAEVCDPSDEEEGSVIEEEVVEPQKVVNQNESVVVDDSTPAVLEDAPKKSYASIVKVMKSNAPPTQVNVTTRYARVVPAEQRSNVSAKPAPVAPIVAVPNSDSAPESSNENEEAEGHSIYVRNLPYNATPAQLEEAFKKFGPIKRNGIQVRSNKQGFTFGFVEFETPSSVQSALEASPITIGDRQAAVEEKRTSTRVGSSGRARYTSGKGGFRSDSFRGRGNFSGGRGGGYGRNEFRNQGEFSGRSKGSDGRNGDSYQRANQNGTGGRQGVAPKP >KJB39943 pep chromosome:Graimondii2_0_v6:7:2757104:2760845:-1 gene:B456_007G039600 transcript:KJB39943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQEGSPADTHTPSAQVVGNAFVEQYYHILHQSPNLVHRFYQDSSCLSRPDMVGNMTTVTTMQAINEKVLSLNYQDYTAEIKTADAQDSFEKGVIVVVTGCLTGKDNIRRKFTQTFFLAPQDKGYFVLNDIFRYIEDNELQNTIPVNGVSEQASTSVLTSEPEPSNDHPVEDPLTYPEDEDINNGAEVCDPSDEEEGSVIEEEVVEPQKVVNQNESVVVDDSTPAVLEDAPKKSYASIVKVMKSNAPPTQVNVTTRYARVVPAEQRSNVSAKPAPVAPIVAVPNSDSAPESSNENEEAEGHSIYVRNLPYNATPAQLEEAFKKFGPIKRNGIQVRSNKQGFTFGFVEFETPSSVQSALEVLSHTFYHYRYKCDAI >KJB39941 pep chromosome:Graimondii2_0_v6:7:2755622:2760845:-1 gene:B456_007G039600 transcript:KJB39941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQEGSPADTHTPSAQVVGNAFVEQYYHILHQSPNLVHRFYQDSSCLSRPDMVGNMTTVTTMQAINEKVLSLNYQDYTAEIKTADAQDSFEKGVIVVVTGCLTGKDNIRRKFTQTFFLAPQDKGYFVLNDIFRYIEDNELQNTIPVNGVSEQASTSVLTSEPEPSNDHPVEDPLTYPEDEDINNGAEVCDPSDEEEGSVIEEEVVEPQKVVNQNESVVVDDSTPAVLEDAPKKSYASIVNVTTRYARVVPAEQRSNVSAKPAPVAPIVAVPNSDSAPESSNENEEAEGHSIYVRNLPYNATPAQLEEAFKKFGPIKRNGIQVRSNKQGFTFGFVEFETPSSVQSALEASPITIGDRQAAVEEKRTSTRVGSSGRARYTSGKGGFRSDSFRGRGNFSGGRGGGYGRNEFRNQGEFSGRSKGSDGRNGDSYQRANQNGTGGRQGVAPKP >KJB39940 pep chromosome:Graimondii2_0_v6:7:2755622:2760845:-1 gene:B456_007G039600 transcript:KJB39940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQEGSPADTHTPSAQVVGNAFVEQYYHILHQSPNLVHRFYQDSSCLSRPDMVGNMTTVTTMQAINEKVLSLNYQDYTAEIKTADAQDSFEKGVIVVVTGCLTGKDNIRRKFTQTFFLAPQDKGYFVLNDIFRYIEDNELQNTIPVNGVSEQASTSVLTSEPEPSNDHPVEDPLTYPEDEDINNGAEVCDPSDEEEGSVIEEEVVEPQKVVNQNESVVVDDSTPAVLEDAPKKSYASIVKVMKSNAPPTQVNVTTRYARVVPAEQRSNVSAKPAPVAPIVAVPNSDSAPESSNENEEAEGHSIYVRNLPYNATPAQLEEAFKKFGPIKRNGIQVRSNKGFTFGFVEFETPSSVQSALEASPITIGDRQAAVEEKRTSTRVGSSGRARYTSGKGGFRSDSFRGRGNFSGGRGGGYGRNEFRNQGEFSGRSKGSDGRNGDSYQRANQNGTGGRQGVAPKP >KJB39939 pep chromosome:Graimondii2_0_v6:7:2755927:2760008:-1 gene:B456_007G039600 transcript:KJB39939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQEGSPADTHTPSAQVVGNAFVEQYYHILHQSPNLVHRFYQDSSCLSRPDMVGNMTTVTTMQQAINEKVLSLNYQDYTAEIKTADAQDSFEKGVIVVVTGCLTGKDNIRRKFTQTFFLAPQDKGYFVLNDIFRYIEDNELQNTIPVNGVSEQASTSVLTSEPEPSNDHPVEDPLTYPEDEDINNGAEVCDPSDEEEGSVIEEEVVEPQKVVNQNESVVVDDSTPAVLEDAPKKSYASIVKVMKSNAPPTQVNVTTRYARVVPAEQRSNVSAKPAPVAPIVAVPNSDSAPESSNENEEAEGHSIYVRNLPYNATPAQLEEAFKKFGPIKRNGIQVRSNKQGFTFGFVEFETPSSVQSALEASPITIGDRQAAVEEKRTSTRVGSSGRARYTSGKGGFRSDSFRGRGNFSGGRGGGYGRNEFRNQGEFSGRSKGSDGRNGDSYQRANQNGTGGRQGVAPKP >KJB43083 pep chromosome:Graimondii2_0_v6:7:17443979:17448294:-1 gene:B456_007G182900 transcript:KJB43083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSASASASSDLALLLLSLSFTMLEVAVSQSNNTRGARLSMFTIVNNCSYTVWPGVITESGGSQSFPTTGFSLNPGESTSISIPRSWTGRIWGRTLCTQDSSGNFSCLTGDCGTSRPECSGRLPRPLATLAEFTFDSSVGSDFYDVSLVDGFNLPLMISPHGGTGGDCNSSGCVADLNDDCPSELKVVNGSETVACKSACSALGEPQYCCTGDYGSQATCQPTSYSQFFKTACPTAYSYAYDDATSMFTCVDADYDITFCPTPSTSPNQLYEKCRNAVFKCGNISIGYPFSGGDRDPECGHPGLELHCDVFTNTTKIEIVGVKYEVLDIHHESRILRIAREDFIKNGSCHPQIPIQDSILNSEPFVLGSGNTNLTLSYDCQSSSSLGIFPCNSSNYNNVSITTDNIRPDGCSANVRVPILQSSWERLRNDSLDLEEALGTGFEVQWKEDTEACRKCNASGGACGFDKSNNQTFCYCPSGFESSPDSNECHGALLPPSPANTGNNNTRGGSKSKLKLTPIIIGSVVGVVSISTICFIVLWFKGKLLSNYLCQGKMNDDARIEEFITKFGPFAPKRYSYEEIKKMTNKFNDKLGQGGFGSVYKGKLSNGHLVAVKFLREMKGNGEDFMNEVASISRTSHVNIVTLLGFCFERSKRALIYEFMPKGSLDKFIYGQGSDNQSRQLEWVTLYDIALGIARGLEYLHQGCNTRILHFDIKPHNILLDENFCPKISDFGLSKLCERKESVVSMTGARGTAGYIAPEVFFSKSGRSFSQIRCL >KJB44731 pep chromosome:Graimondii2_0_v6:7:45011406:45013600:-1 gene:B456_007G268800 transcript:KJB44731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSSSLSFTSSSETSKWSLIAGRLPGRTANDVKNYWNCHLSKKLNNAQETEEEYQNGMKMETLKPQPRCIAVTGSIKPRTQDHFAPKNQPTIQESTMPTPFNFVEVDKQQGQELVKEEEDIREEAAGGVFFGDLATEDHQFGQLDEVNVLSSTEGCSKWDWDDLMLDMDLWTDSL >KJB44730 pep chromosome:Graimondii2_0_v6:7:45011316:45013670:-1 gene:B456_007G268800 transcript:KJB44730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVAWTEEEDQLLKKCIERYGEGKWHRVPLLAGLNRCRKSCRLRWLNYLRPNIKRGTFAEDEVQLIIKLHKLLGNKWSLIAGRLPGRTANDVKNYWNCHLSKKLNNAQETEEEYQNGMKMETLKPQPRCIAVTGSIKPRTQDHFAPKNQPTIQESTMPTPFNFVEVDKQQGQELVKEEEDIREEAAGGVFFGDLATEDHQFGQLDEVNVLSSTEGCSKWDWDDLMLDMDLWTDSL >KJB45403 pep chromosome:Graimondii2_0_v6:7:52137391:52138312:1 gene:B456_007G307500 transcript:KJB45403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLLPPTTHSFSSFFLLRFCNYPQHFELSMASFIQNLHSSPQTSSQFTKLKKTRATPSPSFHPSQWATQHQQQIYASKLFQALRHSPPTFSSFDAKQVRETADRVLAVAAKGTMHWSRAILSAAGAKIRKKHKKAKVIADRRLRRSSEINKGKKKSTVVERKLKVLRRLVPGCRKLSSYSNVLDEARDYIAALEMQVRSMTAVAEFLGGGGIVRAPPPAYRLGSNVDS >KJB46542 pep chromosome:Graimondii2_0_v6:7:60642600:60647404:-1 gene:B456_007G374500 transcript:KJB46542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFDLPIDFDIKGLSLIDVTSEDDCLIDSPLRDDTTPQFSAFLEPEECTNTLESSENGEIHTLPGIQEDVDNYSDSSTMLHGEASTLRNSFVKKELETKDPKNVSSSKKLEYTNHDKVKQKAARKKASLAVTVPVKTMKQAPARPQTSQSSRSEISTTSSLHKPPKGLSIVGPISATHTRRASLGGLNCKIEKDTKSVTGKGTTVLKTPRRPASSTGATKIAAEGKSRVGRSQVSTFLKSPTNLNQSMSVASSYGEWSSDTSLSQSTSNKRSSIVRAGLGSGSHKVTVRNSDPEQVLDASTGSEVTGSLDESTGVHSASIKPSGLRPPSPKLGYSNGVRLPRHTRTRSMDSYPSMSLILPQIGPKSNTPSAYSNKMPTRTTTTTKERLPGHTRTQSMDSYPIMPKIGPRSNSPSAYSNKIPARTTTTKERLPGHTRTRSMDSYPIMPKIGQRSNSPSAYSNTIPARTTTTKKKSQVQMSQSWL >KJB46535 pep chromosome:Graimondii2_0_v6:7:60642081:60647484:-1 gene:B456_007G374500 transcript:KJB46535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFDLPIDFDIKGLSLIDVTSEDDCLIDSPLRDDTTPQFSGSFDEHGKLEGDETRGKGRYNLRKSLTWDSAFFSSAAFLEPEECTNTLESSENGEIHTLPGIQEDVDNYSDSSTMLHGEASTLRNSFVKKELETKDPKNVSSSKKLEYTNHDKVKQKAARKKASLAVTVPVKTMKQAPARPQTSQSSRSEISTTSSLHKPPKGLSIVGPISATHTRRASLGGLNCKIEKDTKSVTGKGTTVLKTPRRPASSTGATKIAAEGKSRVGRSQVSTFLKSPTNLNQSMSVASSYGEWSSDTSLSQSTSNKRSSIVRAGLGSGSHKVTVRNSDPEQVLDASTGSEVTGSLDESTGVHSASIKPSGLRPPSPKLGYSNGVRLPRHTRTRSMDSYPSMSLILPQIGPKSNTPSAYSNKMPTRTTTTTKERLPGHTRTRSMDSYPIMPKIGQRSNSPSAYSNTIPARTTTTKVLNASRNPKIKTGIIYPKHQNKSSPKPRKGSYSKAQGIGSAEKIASPDVPKLVVKLGGKGAQIKDTKIVPLGGT >KJB46532 pep chromosome:Graimondii2_0_v6:7:60642538:60647404:-1 gene:B456_007G374500 transcript:KJB46532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFDLPIDFDIKGLSLIDVTSEDDCLIDSPLRDDTTPQFSGSFDEHGKLEGDETRGKGRYNLRKSLTWDSAFFSSAAFLEPEECTNTLESSENGEIHTLPGIQEDVDNYSDSSTMLHGEASTLRNSFVKKELETKDPKNVSSSKKLEYTNHDKVKQKAARKKASLAVTVPVKTMKQAPARPQTSQSSRSEISTTSSLHKPPKGLSIVGPISATHTRRASLGGLNCKIEKDTKSVTGKGTTVLKTPRRPASSTGATKIAAEGKSRVGRSQVSTFLKSPTNLNQSMSVASSYGEWSSDTSLSQSTSNKRSSIVRAGLGSGSHKVTVRNSDPEQVLDASTGSEVTGSLDESTGVHSASIKPSGLRPPSPKLGYSNGVRLPRHTRTRSMDSYPSMSLILPQIGPKSNTPSAYSNKMPTRTTTTTKERLPGHTRTQSMDSYPIMPKIGPRSNSPSAYSNKIPARTTTTKERLPGHTRTRSMDSYPIMPKIGQRSNSPSAYSNTIPARTTTTKVLNASRNPKIKTGIIYPKHQNKSSPKPRKGSYSKAQGIGSAEKIASPDVPKLVVKLGGKGAQIKDTKIVPLGGT >KJB46538 pep chromosome:Graimondii2_0_v6:7:60642600:60647404:-1 gene:B456_007G374500 transcript:KJB46538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFDLPIDFDIKGLSLIDVTSEDDCLIDSPLRDDTTPQFSAFLEPEECTNTLESSENGEIHTLPGIQEDVDNYSDSSTMLHGEASTLRNSFVKKELETKDPKNVSSSKKLEYTNHDKVKQKAARKKASLAVTVPVKTMKQAPARPQTSQSSRSEISTTSSLHKPPKGLSIVGPISATHTRRASLGGLNCKIEKDTKSVTGKGTTVLKTPRRPASSTGATKIAAEGKSRVGRSQVSTFLKSPTNLNQSMSVASSYGEWSSDTSLSQSTSNKRSSIVRAGLGSGSHKVTVRNSDPEQVLDASTGSEVTGSLDESTGVHSASIKPSGLRPPSPKLGYSNGVRLPRHTRTRSMDSYPSMSLILPQIGPKSNTPSAYSNKMPTRTTTTTKERLPGHTRTRSMDSYPIMPKIGQRSNSPSAYSNTIPARTTTTKKKSQVQMSQSWL >KJB46533 pep chromosome:Graimondii2_0_v6:7:60642081:60647484:-1 gene:B456_007G374500 transcript:KJB46533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFDLPIDFDIKGLSLIDVTSEDDCLIDSPLRDDTTPQFSGSFDEHGKLEGDETRGKGRYNLRKSLTWDSAFFSSAAFLEPEECTNTLESSENGEIHTLPGIQEDVDNYSDSSTMLHGEASTLRNSFVKKELETKDPKNVSSSKKLEYTNHDKVKQKAARKKASLAVTVPVKTMKQAPARPQTSQSSRSEISTTSSLHKPPKGLSIVGPISATHTRRASLGGLNCKIEKDTKSVTGKGTTVLKTPRRPASSTGATKIAAEGKSRVGRSQVSTFLKSPTNLNQSMSVASSYGEWSSDTSLSQSTSNKRSSIVRAGLGSGSHKVTVRNSDPEQVLDASTGSEVTGSLDESTGVHSASIKPSGLRPPSPKLGYSNGVRLPRHTRTRSMDSYPSMSLILPQIGPKSNTPSAYSNKMPTRTTTTTKERLPGHTRTQSMDSYPIMPKIGPRSNSPSAYSNKIPARTTTTKERLPGHTRTRSMDSYPIMPKIGQRSNSPSAYSNTIPARTTTTKVLNASRNPKIKTGIIYPKHQNKSSPKPRKGSYSKAQGIGSAEKIASPDVPKLVVKLGGKGAQIKDTKIVPLGGT >KJB46543 pep chromosome:Graimondii2_0_v6:7:60642161:60647484:-1 gene:B456_007G374500 transcript:KJB46543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFDLPIDFDIKGLSLIDVTSEDDCLIDSPLRDDTTPQFSAFLEPEECTNTLESSENGEIHTLPGIQEDVDNYSDSSTMLHGEASTLRNSFVKKELETKDPKNVSSSKKLEYTNHDKVKQKAARKKASLAVTVPVKTMKQAPARPQTSQSSRSEISTTSSLHKPPKGLSIVGPISATHTRRASLGGLNCKIEKDTKSVTGKGTTVLKTPRRPASSTGATKIAAEGKSRVGRSQVSTFLKSPTNLNQSMSVASSYGEWSSDTSLSQSTSNKRSSIVRAGLGSGSHKVTVRNSDPEQVLDASTGSEVTGSLDESTGVHSASIKPSGLRPPSPKLGYSNGVRLPRHTRTRSMDSYPSMSLILPQIGPKSNTPSAYSNKMPTRTTTTTKERLPGHTRTQSMDSYPIMPKIGPRSNSPSAYSNKIPARTTTTKERLPGHTRTRSMDSYPIMPKIGQRSNSPSAYSNTIPARTTTTKKKSQVQMSQSWL >KJB46537 pep chromosome:Graimondii2_0_v6:7:60642600:60647404:-1 gene:B456_007G374500 transcript:KJB46537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFDLPIDFDIKGLSLIDVTSEDDCLIDSPLRDDTTPQFSGSFDEHGKLEGDETRGKGRYNLRKSLTWDSAFFSSAAFLEPEECTNTLESSENGEIHTLPGIQEDVDNYSDSSTMLHGEASTLRNSFVKKELETKDPKNVSSSKKLEYTNHDKVKQKAARKKASLAVTVPVKTMKQAPARPQTSQSSRSEISTTSSLHKPPKGLSIVGPISATHTRRASLGGLNCKIEKDTKSVTGKGTTVLKTPRRPASSTGATKIAAEGKSRVGRSQVSTFLKSPTNLNQSMSVASSYGEWSSDTSLSQSTSNKRSSIVRAGLGSGSHKVTVRNSDPEQVLDASTGSEVTGSLDESTGVHSASIKPSGLRPPSPKLGYSNGVRLPRHTRTRSMDSYPSMSLILPQIGPKSNTPSAYSNKMPTRTTTTTKERLPGHTRTQSMDSYPIMPKIGPRSNSPSAYSNKIPARTTTTKERLPGHTRTRSMDSYPIMPKIGQRSNSPSAYSNTIPARTTTTKKKSQVQMSQSWL >KJB46536 pep chromosome:Graimondii2_0_v6:7:60642161:60647484:-1 gene:B456_007G374500 transcript:KJB46536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFDLPIDFDIKGLSLIDVTSEDDCLIDSPLRDDTTPQFSGSFDEHGKLEGDETRGKGRYNLRKSLTWDSAFFSSAAFLEPEECTNTLESSENGEIHTLPGIQEDVDNYSDSSTMLHGEASTLRNSFVKKELETKDPKNVSSSKKLEYTNHDKVKQKAARKKASLAVTVPVKTMKQAPARPQTSQSSRSEISTTSSLHKPPKGLSIVGPISATHTRRASLGGLNCKIEKDTKSVTGKGTTVLKTPRRPASSTGATKIAAEGKSRVGRSQVSTFLKSPTNLNQSMSVASSYGEWSSDTSLSQSTSNKRSSIVRAGLGSGSHKVTVRNSDPEQVLDASTGSEVTGSLDESTGVHSASIKPSGLRPPSPKLGYSNGVRLPRHTRTRSMDSYPSMSLILPQIGPKSNTPSAYSNKMPTRTTTTTKERLPGHTRTQSMDSYPIMPKIGPRSNSPSAYSNKIPARTTTTKERLPGHTRTRSMDSYPIMPKIGQRSNSPSAYSNTIPARTTTTKKKSQVQMSQSWL >KJB46534 pep chromosome:Graimondii2_0_v6:7:60642538:60647404:-1 gene:B456_007G374500 transcript:KJB46534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFDLPIDFDIKGLSLIDVTSEDDCLIDSPLRDDTTPQFSGSFDEHGKLEGDETRGKGRYNLRKSLTWDSAFFSSAAFLEPEECTNTLESSENGEIHTLPGIQEDVDNYSDSSTMLHGEASTLRNSFVKKELETKDPKNVSSSKKLEYTNHDKVKQKAARKKASLAVTVPVKTMKQAPARPQTSQSSRSEISTTSSLHKPPKGLSIVGPISATHTRRASLGGLNCKIEKDTKSVTGKGTTVLKTPRRPASSTGATKIAAEGKSRVGRSQVSTFLKSPTNLNQSMSVASSYGEWSSDTSLSQSTSNKRSSIVRAGLGSGSHKVTVRNSDPEQVLDASTGSEVTGSLDESTGVHSASIKPSGLRPPSPKLGYSNGVRLPRHTRTRSMDSYPSMSLILPQIGPKSNTPSAYSNKMPTRTTTTTKERLPGHTRTRSMDSYPIMPKIGQRSNSPSAYSNTIPARTTTTKVLNASRNPKIKTGIIYPKHQNKSSPKPRKGSYSKAQGIGSAEKIASPDVPKLVVKLGGKGAQIKDTKIVPLGGT >KJB46541 pep chromosome:Graimondii2_0_v6:7:60642161:60647484:-1 gene:B456_007G374500 transcript:KJB46541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFDLPIDFDIKGLSLIDVTSEDDCLIDSPLRDDTTPQFSGSFDEHGKLEGDETRGKGRYNLRKSLTWDSAFFSSAAFLEPEECTNTLESSENGEIHTLPGIQEDVDNYSDSSTMLHGEASTLRNSFVKKELETKDPKNVSSSKKLEYTNHDKVKQKAARKKASLAVTVPVKTMKQAPARPQTSQSSRSEISTTSSLHKPPKGLSIVGPISATHTRRASLGGLNCKIEKDTKSVTGKGTTVLKTPRRPASSTGATKIAAEGKSRVGRSQVSTFLKSPTNLNQSMSVASSYGEWSSDTSLSQSTSNKRSSIVRAGLGSGSHKVTVRNSDPEQVLDASTGSEVTGSLDESTGVHSASIKPSGLRPPSPKLGYSNGVRLPRHTRTRSMDSYPSMSLILPQIGPKSNTPSAYSNKMPTRTTTTTKERLPGHTRTRSMDSYPIMPKIGQRSNSPSAYSNTIPARTTTTKKKSQVQMSQSWL >KJB46539 pep chromosome:Graimondii2_0_v6:7:60642161:60647484:-1 gene:B456_007G374500 transcript:KJB46539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFDLPIDFDIKGLSLIDVTSEDDCLIDSPLRDDTTPQFSAFLEPEECTNTLESSENGEIHTLPGIQEDVDNYSDSSTMLHGEASTLRNSFVKKELETKDPKNVSSSKKLEYTNHDKVKQKAARKKASLAVTVPVKTMKQAPARPQTSQSSRSEISTTSSLHKPPKGLSIVGPISATHTRRASLGGLNCKIEKDTKSVTGKGTTVLKTPRRPASSTGATKIAAEGKSRVGRSQVSTFLKSPTNLNQSMSVASSYGEWSSDTSLSQSTSNKRSSIVRAGLGSGSHKVTVRNSDPEQVLDASTGSEVTGSLDESTGVHSASIKPSGLRPPSPKLGYSNGVRLPRHTRTRSMDSYPSMSLILPQIGPKSNTPSAYSNKMPTRTTTTTKERLPGHTRTRSMDSYPIMPKIGQRSNSPSAYSNTIPARTTTTKKKSQVQMSQSWL >KJB46540 pep chromosome:Graimondii2_0_v6:7:60642600:60647404:-1 gene:B456_007G374500 transcript:KJB46540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFDLPIDFDIKGLSLIDVTSEDDCLIDSPLRDDTTPQFSGSFDEHGKLEGDETRGKGRYNLRKSLTWDSAFFSSAAFLEPEECTNTLESSENGEIHTLPGIQEDVDNYSDSSTMLHGEASTLRNSFVKKELETKDPKNVSSSKKLEYTNHDKVKQKAARKKASLAVTVPVKTMKQAPARPQTSQSSRSEISTTSSLHKPPKGLSIVGPISATHTRRASLGGLNCKIEKDTKSVTGKGTTVLKTPRRPASSTGATKIAAEGKSRVGRSQVSTFLKSPTNLNQSMSVASSYGEWSSDTSLSQSTSNKRSSIVRAGLGSGSHKVTVRNSDPEQVLDASTGSEVTGSLDESTGVHSASIKPSGLRPPSPKLGYSNGVRLPRHTRTRSMDSYPSMSLILPQIGPKSNTPSAYSNKMPTRTTTTTKERLPGHTRTRSMDSYPIMPKIGQRSNSPSAYSNTIPARTTTTKKKSQVQMSQSWL >KJB39961 pep chromosome:Graimondii2_0_v6:7:2785971:2790164:1 gene:B456_007G040000 transcript:KJB39961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRATDYRRPVRRRFSHWICALLGLFVVAVLVLFVVHHNHNEDRVEHPVHEDDSRMERVVHEKLNLTEEILSATSLSRQLAEQMTLAKAYVVIAKEHNNFHLAWELSSMIRSCQLLLSKAAMRGKPITLEEAEPIISRLSSLIYKAEDAHYDIATTIVTMKSHIQALEERANAATVQSTVFGQLVAEAFPKSLHCLILKLSADWLKRLPLQDLANERRNSPRLVDNNLYHFCLFSDNVLATSVVINSTISNADHSKQIVFHIITNGVSYGAMQAWFLSNDFKGATIEVQNIEEFSWLNVSYAPILKQLLDVDSRTYYFGENPDVEPKLRNPKYISLLNHLRFYIPEIYPQLEKIVFLDDDVVVQKDLTPLFSLDLHGNVNGAVETCLEAYHRYYKYLNFSNPIISSKFDPQACGWAFGMNVFDLIAWRKANVTARYHYWQEQNSDKTLWKLGTLPVGLLAFYGLTEPLDRRWHVLGLGYDLNIDNRLIESAAVIHFNGNMKPWLKLAIGRYKPLWERYINLSHPYLQECVTS >KJB43320 pep chromosome:Graimondii2_0_v6:7:19103397:19104929:-1 gene:B456_007G194000 transcript:KJB43320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLDHPLGDYCEEEINEFASWLGGSYATDVTKPLDGVLTIPLANGDNMNLHMSKKVHREFATKLFALYRNIRKAMERHEDLSQTLCRPAELIMGSFDGIKHDTDGFDKQGIRLLLATLNRNLIHCRQLMKDFRLQR >KJB43321 pep chromosome:Graimondii2_0_v6:7:19102438:19105445:-1 gene:B456_007G194000 transcript:KJB43321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLDHPLGDYCEEEINEFASWLGGSYATDVTKPLDGVLTIPLANGDNMNLHMSKKVHREFATKLFALYRNIRKAMERHEDLSQTLCRPAELIMGSFDGIKHDTDGFDKQGIRLLLATLNRNLIHCRQLMKDFRLQR >KJB43322 pep chromosome:Graimondii2_0_v6:7:19103372:19104929:-1 gene:B456_007G194000 transcript:KJB43322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLDHPLGDYCEEEINEFASWLGGSYATDVTKPLDGVLTIPLANGDNMNLHMSKKVHREFATKLFALYRNIRKAMERHEDLSQTLCRPAELIMGSFDGIKHDTDGFDKQGIRLLLATLNRNLIHCRQLMKVCFYWISDFNGKGNIYIYI >KJB43319 pep chromosome:Graimondii2_0_v6:7:19102558:19105374:-1 gene:B456_007G194000 transcript:KJB43319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLDHPLGDYCEEEINEFASWLGGSYATDVTKPLDGVLTIPLANGDNMNLHMSKKVHREFATKLFALYRNIRKAMERHEDLSQTLCRPAELIMGSFDGIKHDTDGFDKQGIRLLLATLNRNLIHCRQLMKDFRLQR >KJB42130 pep chromosome:Graimondii2_0_v6:7:11350010:11352893:-1 gene:B456_007G138400 transcript:KJB42130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNRPRAVTSKQTLMADHSSQASPAQNCTKPNPSFFDSPRFKAFTSKAFPDTESLKSPTSILDNKPFFPFGNPFALDRNHPKSPKPFSPNNTHPSPENSEPKGIALAIVDTFLNKNQTENKSCCEASNKKKVLFGTELRVQIPPVLPPSLVSPTTSPTDFGIKTRNSHLTPAFASPNYGIHMKDSPRVFNGCLPVREMELSEDYTCVISHGPNPRTTHIFDNCVVENYCSVLDNKPKPAPESFLSFCHTCKKNLQQKIDIYIYRGEKAFCSQECRHQEMLLDGDEN >KJB42128 pep chromosome:Graimondii2_0_v6:7:11350654:11352227:-1 gene:B456_007G138400 transcript:KJB42128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNRPRAVTSKQTLMADHSSQASPAQNCTKPNPSFFDSPRFKAFTSKAFPDTESLKSPTSILDNKPFFPFGNPFALDRNHPKSPKPFSPNNTHPSPENSEPKGIALAIVDTFLNKNQTENKSCCEASNKKKVLFGTELRVQIPPVLPPSLVSPTTSPTDFGIKTRNSHLTPAFASPNYGIHMKDSPRVFNGCLPVREMELSEDYTCVISHGPNPRTTHIFDNCVVENYCSVLDNKPKPAPESFLSFCHTCKKNLQQKIDIYIYRGEKAFCSQECRHQEMLLDGDEN >KJB42129 pep chromosome:Graimondii2_0_v6:7:11350010:11353055:-1 gene:B456_007G138400 transcript:KJB42129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNRPRAVTSKQTLMADHSSQASPAQNCTKPNPSFFDSPRFKAFTSKAFPDTESLKSPTSILDNKPFFPFGNPFALDRNHPKSPKPFSPNNTHPSPENSEPKGIALAIVDTFLNKNQTENKSCCEASNKKKVLFGTELRVQIPPVLPPSLVSPTTSPTDFGIKTRNSHLTPAFASPNYGIHMKDSPRVFNGCLPVREMELSEDYTCVISHGPNPRTTHIFDNCVVENYCSVLDNKPKPAPESFLSFCHTCKKNLQQKIDIYIYRGEKAFCSQECRHQEMLLDGDEN >KJB45698 pep chromosome:Graimondii2_0_v6:7:53712642:53719209:-1 gene:B456_007G321700 transcript:KJB45698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPSTSSSIPRQTEYDVFLSFRGEDTRHNFTDHLYDALRRSGIVTFRDDPKLEAGEEIAPELFKAIQQSWCSVIVFSENYVFSGWCLEELAEIVKQKEENGHKVFPVFYGVDPSDLRKQKEKVEAAFAKHEERYKEDEAKIRRWRNALTEVANIKGWHSNNRHESEFIGDIVKKISAKLCQTYPIDHDELVGISPSLDELHSEIEIGEDDIRIIGICGMGGIGKTTLARVVYNQMSPHFEGKSFLADVREVSEKCGLVSLQKQLLSQILFDESFNFFNVHEGKTIISHRLSHKRVLVVLDDVDNLQHLKCLAGRRDWFGLGSRIIVTTRDEHLLRSYRVDGVYKPTTLTGNDALHLFNLKAFGCETVPKEDFIEIAKQIVGYAGGLPLALEVLGSFLCDRDARQWTSAIERLERDSNKEILDRLQISFDGLEEREKNIFLDIACFFNGVEKDFVMKVLDGCEYFPDIGIDVLIKKSLLTINKYNELRMHDLLQEMGRKIVREKSIDEPGKRCRLWVERDVYHVLTTKTATKMIEGITIDNKREMSKTLTLSTDAFLKMKRLRLLKILCRTNCYDLTYLSNELRLLDWMGCPLRLLPSSFKPENLVILLLPYSNIEQLWKENIPLYKLKVLNLEGSENLIKALDFTTTPNLEILVLEGCTRLVYVHPSVGFLTRLKLLNLKGCKNMRSFPTKVGMESLEKLILSGCSNLKSFPEIDGKMECLLELYLDGTGIKELPISIGNLSSLVLLNLKDCRNLADLPGSIVGCKSLKSLNISGCYKVEYLPENMQQIEFLEELDLSETSMTKPPPFIFQFKNLKVLSFNGCKGSSSKVQKKLPSLLKVIQRGRTNSMALTLPSLLGLNSLTRLNLRDCNLCEGDIPGDISRLSSLKKLDLGGNNFISIPSCLTQFSKLEYLRLSDCRALKSLPELLTGIERVSISGCASLETVSNPSKVCNSSYWSNIVGFNCFRLAENIDALTLLKKHLKVFGNSREKFDIILPGSQIPEWFSQQRGDFPIKINLPLEVRNDSQWMGVALCCIFFKDDASRDEYLMCRGFIHGRDSRKVDWSGWVVNYGLGQPVTKDHILIRYFSSDKLYPISLEDKCGERETNNLWTTDCLDQECHQLELSFTVSHSVKLKKCGVRIVYERDLEEMEQIQDLHSSQCCANVEDIQQHSAYDGSIGNCSLIKRKLNIYEEMDEGPQPKRMQKIFSSIMGRLGNKH >KJB42904 pep chromosome:Graimondii2_0_v6:7:15985342:15990847:1 gene:B456_007G173500 transcript:KJB42904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIALTKQYLQFHCFQASTSPFYLFICFCAVCGFRLYQSVPANQMAKEVVNPDELVVVGEELDHVRIITLNRPRHLNVISSKVVSLLAKYLEKWERDEQAQLILIKGAGRAFSAGGDLKMFYEGRKSKDSCLEVVYRMYWLCYHIHTYKKTQVALVQGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHVDCGFSYMLSHLPGHLGEYLALTGARLNGKELVVAGLATHFVPLEKLPELEKRLISLNVGDENAVKATIEEFSLHVQLDEDSILNKKQIIDECFSKDTVADIIKSFVMEASKEGNEWIGPVLKGLKRSSPTGLKITLRSIREGRKQTLAESLKKEFRLTMNILRTTISADVYEGIRALTIDKDNAPKWDPPILDQVDDEKIDLVFQPFAEDLELKVPEQEDCRWDGKYENSAYAK >KJB42900 pep chromosome:Graimondii2_0_v6:7:15985079:15990847:1 gene:B456_007G173500 transcript:KJB42900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEVVNPDELVVVGEELDHVRIITLNRPRHLNVISSKVVSLLAKYLEKWERDEQAQLILIKGAGRAFSAGGDLKMFYEGRKSKDSCLEVVYRMYWLCYHIHTYKKTQVALVQGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHVDCGFSYMLSHLPGHLGEYLALTGARLNGKELVVAGLATHFVPLEKLPELEKRLISLNVGDENAVKATIEEFSLHVQLDEDSILNKKQIIDECFSKDTVADIIKSFEVEASKEGNEWIGPVLKGLKRSSPTGLKITLRSIREGRKQTLAESLKKEFRLTMNILRTTISADVYEGIRALTIDKDNAPKWDPPILDQVDDEKIDLVFQPFAEDLELKVPEQEDCRWDGKYENSAYAK >KJB42903 pep chromosome:Graimondii2_0_v6:7:15985342:15990847:1 gene:B456_007G173500 transcript:KJB42903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIALTKQYLQFHCFQASTSPFYLFICFCAVCGFRLYQSVPANQMAKEVVNPDELVVVGEELDHVRIITLNRPRHLNVISSKVVSLLAKYLEKWERDEQAQLILIKVGAGRAFSAGGDLKMFYEGRKSKDSCLEVVYRMYWLCYHIHTYKKTQVALVQGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHVDCGFSYMLSHLPGHLGEYLALTGARLNGKELVVAGLATHFVPLEKLPELEKRLISLNVGDENAVKATIEEFSLHVQLDEDSILNKKQIIDECFSKDTVADIIKSFEVEASKEGNEWIGPVLKGLKRSSPTGLKITLRSIREGRKQTLAESLKKEFRLTMNILRTTISADVYEGIRALTIDKDNAPKWDPPILDQVDDEKIDLVFQPFAEDLELKVPEQEDCRWDGKYENSAYAK >KJB42899 pep chromosome:Graimondii2_0_v6:7:15984985:15990918:1 gene:B456_007G173500 transcript:KJB42899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEVVNPDELVVVGEELDHVRIITLNRPRHLNVISSKVVSLLAKYLEKWERDEQAQLILIKGAGRAFSAGGDLKMFYEGRKSKDSCLEVVYRMYWLCYHIHTYKKTQVALVQGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHVDCGFSYMLSHLPGHLGEYLALTGARLNGKELVVAGLATHFVPLEKLPELEKRLISLNVGDENAVKATIEEFSLHVQLDEDSILNKKQIIDECFSKDTVADIIKSFEVEASKEGNEWIGPVLKGLKRSSPTGLKITLRSIREGRKQTLAESLKKEFRLTMNILRTTISADVYEGIRALTIDKDNAPKWDPPILDQVDDEKIDLVFQPFAEDLELKVPEQEDCRWDGKYENSAYAK >KJB42902 pep chromosome:Graimondii2_0_v6:7:15985323:15990847:1 gene:B456_007G173500 transcript:KJB42902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIALTKQYLQFHCFQASTSPFYLFICFCAVCGFRLYQSVPANQMAKEVVNPDELVVVGEELDHVRIITLNRPRHLNVISSKVVSLLAKYLEKWERDEQAQLILIKGAGRAFSAGGDLKMFYEGRKSKDSCLEVVYRMYWLCYHIHTYKKTQVALVQGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHVDCGFSYMLSHLPGHLGEYLALTGARLNGKELVVAGLATHFVPLEKLPELEKRLISLNVGDENAVKATIEEFSLHVQLDEDSILNKKQIIDECFSKDTVADIIKSFEVEASKEGNEWIGPVLKGLKRSSPTGLKITLRSIREGRKQTLAESLKKEFRLTMNILRTTISADVYEGIRALTIDKDNAPKWDPPILDQVDDEKIDLVFQPFAEDLELKVPEQEDCRWDGKYENSAYAK >KJB42901 pep chromosome:Graimondii2_0_v6:7:15985241:15990847:1 gene:B456_007G173500 transcript:KJB42901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHPKWYVSLLAKYLEKWERDEQAQLILIKGAGRAFSAGGDLKMFYEGRKSKDSCLEVVYRMYWLCYHIHTYKKTQVALVQGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHVDCGFSYMLSHLPGHLGEYLALTGARLNGKELVVAGLATHFVPLEKLPELEKRLISLNVGDENAVKATIEEFSLHVQLDEDSILNKKQIIDECFSKDTVADIIKSFEVEASKEGNEWIGPVLKGLKRSSPTGLKITLRSIREGRKQTLAESLKKEFRLTMNILRTTISADVYEGIRALTIDKDNAPKWDPPILDQVDDEKIDLVFQPFAEDLELKVPEQEDCRWDGKYENSAYAK >KJB40432 pep chromosome:Graimondii2_0_v6:7:4408460:4411888:-1 gene:B456_007G062900 transcript:KJB40432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSKADRKTALDVASWSFNIVTSVGIIMVNKALMATYGFSFATTLTGLHFATTTLLTVLLRWLGYIQDSHIPLPDLLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVFDKVRYSRDTKLSILLVLLGVAVCTVTDVSVNVKGFLAAVIAVWSTALQQYYVHFLQRKHSLGSFDLLGHTAPVQAASLLLAGPFVDYWLTEKKVYAYNYTTISMFFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFLFFGKEGLNLHVILGMVIAVAGMIWYGNASSKPGGKERWTYSAPSDKSQKPDNLFGSTETDEKV >KJB40431 pep chromosome:Graimondii2_0_v6:7:4408004:4413684:-1 gene:B456_007G062900 transcript:KJB40431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSKADRKTALDVASWSFNIVTSVGIIMVNKALMATYGFSFATTLTGLHFATTTLLTVLLRWLGYIQDSHIPLPDLLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVFDKVRYSRDTKLSILLVLLGVAVCTVTDVSVNVKGFLAAVIAVWSTALQQYYVHFLQRKHSLGSFDLLGHTAPVQAASLLLAGPFVDYWLTEKKVYAYNYTTISMFFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFLFFGKEGLNLHVILGMVIAVAGMIWYGNASSKPGGKERWTYSAPSDKSQKPDNLFGSTETDEKV >KJB46465 pep chromosome:Graimondii2_0_v6:7:60361545:60363697:-1 gene:B456_007G370700 transcript:KJB46465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLFSLAKSFLNPKFPNPRSFSTSFLITKTPKKHKPKRPKPDSPRTRSVTPDSNKIPHFESLLARDAKYRFLTKTKDFLSKQPEQILRLDDAGKLYRELGFPRGRKVTKFISRYPLLFTSYRHSDNKIWLGFTDFMDQLLLEERSIMEAMIEDRVTRIRKLLMMSKNKRIPLSKIYHKRLIFGIPEDFRDKIGKYPDYFRLVVEDDGKHILELVNWDPSLAVSALEKEFLVNEDKVKKAFKFPVKYGKDLGLEENDVKKLNLLNTLPLVSPYSDGWKLDSWSLEAEKYRVGIIHEFLSLTLEKRALIHHIVEFKEEFSLTRQTYEMLKRQPWTFYLAGTEMNWAVFLKDGYDENGNLIDKDPLLVFNEKLYKFAQMQEEEEEEEISGFREKLRGD >KJB45347 pep chromosome:Graimondii2_0_v6:7:51651133:51653909:-1 gene:B456_007G302900 transcript:KJB45347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFSSMFNGLARSFSIRRGKNPGNGDGREAAEVMAKDAKKNDLILRSSGFVNIDGSNNFASVFSKRGRKGVNQDCTIVWEEFGCQADMLFCGIFDGHGPWGHFVAKKVRESMPSSLLCNWQETLAQASLDPDFDLESDKKHHRFHIWKHSYLQTCAIVDRELEHCRKIDSLYSGTTALTIVRQGDLIYVANIGDSRAVLATTSDDGNLVPIQLTVDFKPNLPQEAERITQCKGRVFCLHDEPGVHRVWLPDEESPGLAMSRAFGDYCIKDYGLISVPEVTQRHITSRDQFVVLATDGVWDVISNEEAIRIVSSTPDKAKAAKHLVESAARAWKKKRKGIAMDDISAICLFFHSTPLSIT >KJB45343 pep chromosome:Graimondii2_0_v6:7:51651928:51652848:-1 gene:B456_007G302900 transcript:KJB45343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFSSMFNGLARSFSIRRGKNPGNGDGREAAEVMAKDAKKNDLILRSSGFVNIDGSNNFASVFSKRGRKGVNQDCTIVWEEFGCQADMLFCGIFDGHGPWGHFVAKKVRESMPSSLLCNWQETLAQASLDPDFDLESDKKHHRFHIWKHSYLQTCAIVDRELEHCRKIDSLYSGTTALTIVRQGDLIYVANIGDSRAVLATTSDDGNLVPIQLTVDFKPNLPREGG >KJB45340 pep chromosome:Graimondii2_0_v6:7:51651133:51653857:-1 gene:B456_007G302900 transcript:KJB45340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFSSMFNGLARSFSIRRGKNPGNGDGREAAEVMAKDAKKNDLILRSSGFVNIDGSNNFASVFSKRGRKGVNQDCTIVWEEFGCQADMLFCGIFDGHGPWGHFVAKKVRESMPSSLLCNWQETLAQASLDPDFDLESDKKHHRFHIWKHSYLQTCAIVDRELEHCRKIDSLYSGTTALTIVRQGDLIYVANIGDSRAVLATTSDDGNLVPIQLTVDFKPNLPQEAERITQCKGRVFCLHDEPGVHRVWLPDEESPGLAMSRAFGDYCIKDYGLISVPEVTQRHITSRDQFVVLATDGVWDVISNEEAIRIVSSTPDKAKAAKHLVESAARAWKKKRKGIAMDDISAICLFFHSTPLSIT >KJB45346 pep chromosome:Graimondii2_0_v6:7:51651133:51653857:-1 gene:B456_007G302900 transcript:KJB45346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFSSMFNGLARSFSIRRGKNPGNGDGREAAEVMAKDAKKNDLILRSSGFVNIDGSNNFASVFSKRGRKGVNQDCTIVWEEFGCQADMLFCGIFDGHGPWGHFVAKKVRESMPSSLLCNWQETLAQASLDPDFDLESDKKHHRFHIWKHSYLQTCAIVDRELEHCRKIDSLYSGTTALTIVRQGDLIYVANIGDSRAVLATTSDDGNLVPIQLTVDFKPNLPQEAERITQCKGRVFCLHDEPGVHRVWLPDEESPGLAMSRAFGDYCIKDYGLISVPEVTQRHITSRDQFVVLATDGVWDVISNEEAIRIVSSTPDKAKAAKHLVESAARAWKKKRKGIAMDDISAICLFFHSTPLSIT >KJB45344 pep chromosome:Graimondii2_0_v6:7:51651633:51652848:-1 gene:B456_007G302900 transcript:KJB45344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFSSMFNGLARSFSIRRGKNPGNGDGREAAEVMAKDAKKNDLILRSSGFVNIDGSNNFASVFSKRGRKGVNQDCTIVWEEFGCQADMLFCGIFDGHGPWGHFVAKKVRESMPSSLLCNWQETLAQASLDPDFDLESDKKHHRFHIWKHSYLQTCAIVDRELEHCRKIDSLYSGTTALTIVRQGDLIYVANIGDSRAVLATTSDDGNLVPIQLTVDFKPNLPQEAERITQCKGRVFCLHDEPGVHRVWLPDEESPGLAMSRAFGDYCIKDYGLISVPEVTQRHITSRDQFVVLATDGVCDKKCDRILLLQFESGDIRSVLKLS >KJB45341 pep chromosome:Graimondii2_0_v6:7:51651133:51653857:-1 gene:B456_007G302900 transcript:KJB45341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFSSMFNGLARSFSIRRGKNPGNGDGREAAEVMAKDAKKNDLILRSSGFVNIDGSNNFASVFSKRGRKGVNQDCTIVWEEFGCQADMLFCGIFDGHGPWGHFVAKKVRESMPSSLLCNWQETLAQASLDPDFDLESDKKHHRFHIWKHSYLQTCAIVDRELEHCRKIDSLYSGTTALTIVRQGDLIYVANIGDSRAVLATTSDDGNLVPIQLTVDFKPNLPQEAERITQCKGRVFCLHDEPGVHRVWLPDEESPGLAMSRAFGDYCIKDYGLISVPEVTQRHITSRDQFVVLATDGVWDVISNEEAIRIVSSTPDKAKAAKHLVESAARAWKKKRKGIAMDDISAICLFFHSTPLSIT >KJB45345 pep chromosome:Graimondii2_0_v6:7:51651133:51653751:-1 gene:B456_007G302900 transcript:KJB45345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCGIFDGHGPWGHFVAKKVRESMPSSLLCNWQETLAQASLDPDFDLESDKKHHRFHIWKHSYLQTCAIVDRELEHCRKIDSLYSGTTALTIVRQGDLIYVANIGDSRAVLATTSDDGNLVPIQLTVDFKPNLPQEAERITQCKGRVFCLHDEPGVHRVWLPDEESPGLAMSRAFGDYCIKDYGLISVPEVTQRHITSRDQFVVLATDGVWDVISNEEAIRIVSSTPDKAKAAKHLVESAARAWKKKRKGIAMDDISAICLFFHSTPLSIT >KJB45342 pep chromosome:Graimondii2_0_v6:7:51651928:51652848:-1 gene:B456_007G302900 transcript:KJB45342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFSSMFNGLARSFSIRRGKNPGNGDGREAAEVMAKDAKKNDLILRSSGFVNIDGSNNFASVFSKRGRKGVNQDCTIVWEEFGCQADMLFCGIFDGHGPWGHFVAKKVRESMPSSLLCNWQETLAQASLDPDFDLESDKKHHRFHIWKHSYLQTCAIVDRELEHCRKIDSLYSGTTALTIVRQGDLIYVANIGDSRAVLATTSDDGNLVPIQLTVDFKPNLPREGG >KJB43331 pep chromosome:Graimondii2_0_v6:7:19247373:19250378:1 gene:B456_007G194700 transcript:KJB43331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTLTELAGKETLQASFVRDEDERPKVAYNQFSDEIPVISLAGINYVGEKRAEICQKIVEACENWGIFQVVDHGVDTKLISEMTRLAREFFALPAEDKLRFDMSGGKKGGFIVSSHLQGETVQDWREIVTYFSYPIKSRDYSRWPDMPEGWIEVTKEYSDKLMGLACKLLEVLSEAMGLEKEALSKACVEMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDNGNTWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSDCSRLSIATFQNPAPDATVYPLKIREGEKPILEEPITFAEMYRRKMSKDLELAMLKKLAKEQQMETTKKPELETKPLEQILA >KJB43333 pep chromosome:Graimondii2_0_v6:7:19247686:19249875:1 gene:B456_007G194700 transcript:KJB43333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTLTELAGKETLQASFVRDEDERPKVAYNQFSDEIPVISLAGINYVGEKRAEICQKIVEACENWGIFQVVDHGVDTKLISEMTRLAREFFALPAEDKLRFDMSGGKKGGFIVSSHLQGETVQDWREIVTYFSYPIKSRDYSRWPDMPEGWIEVTKEYSDKLMGLACKLLEVLSEAMGLEKEALSKACVEMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDNGNTWITVQPVEGAFVVNLGDHGHVIPEQWEVQER >KJB43332 pep chromosome:Graimondii2_0_v6:7:19247373:19250378:1 gene:B456_007G194700 transcript:KJB43332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTLTELAGKETLQASFVRDEDERPKVAYNQFSDEIPVISLAGINYVGEKRAEICQKIVEACENWGIFQVVDHGVDTKLISEMTRLAREFFALPAEDKLRFDMSGGKKGGFIVSSHLQGETVQDWREIVTYFSYPIKSRDYSRWPDMPEGWIEVTKEYSDKLMGLACKLLEVLSEAMGLEKEALSKACVEMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDNGNTWITVQPVEGAFVVNLGDHGHLGINCDEQYLSNGRFKNADHQAVVNSDCSRLSIATFQNPAPDATVYPLKIREGEKPILEEPITFAEMYRRKMSKDLELAMLKKLAKEQQMETTKKPELETKPLEQILA >KJB38999 pep chromosome:Graimondii2_0_v6:7:40455991:40458234:-1 gene:B456_007G252900 transcript:KJB38999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACGARGLELARVMLENPKDMTNVHLIYANVPYEDILLKEELDSLVAKYPGRFKVYYVLNQRRFIGI >KJB38998 pep chromosome:Graimondii2_0_v6:7:40456396:40457560:-1 gene:B456_007G252900 transcript:KJB38998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACGARGLELARVMLENPKDMTNVHLIYANVPYEDILLKEELDSLVAKYPGRFKVYYVLNQVCCLSHVAIS >KJB39000 pep chromosome:Graimondii2_0_v6:7:40455735:40458234:-1 gene:B456_007G252900 transcript:KJB39000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACGARGLELARVMLENPKDMTNVHLIYANVPYEDILLKEELDSLVAKYPGRFKVYYVLNQEQRRFIGI >KJB42338 pep chromosome:Graimondii2_0_v6:7:12714133:12718131:-1 gene:B456_007G150200 transcript:KJB42338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAITRRLGHQSLRPTASISSIKSIYPLSDHYYGGDHPRYGSTLASHKGMGHLVRKGTGGRSSVSGIIATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDNPRHLKLMGDLGQIVPMKYDPRDENSIKAVMAKANVVINLIGREYETRNFSFEEVNHFMAEQLAVIAKEHGGIMRFIQVSCLGSSLSSPSRFLRAKAAGEEAVLKELPEATVMKPAVMIGTEDRIMNRWAHFAKKYSFLPLIGGGSTKIQPVYVVDVASAIVAALKDDGSSMGNVYELGGPEIYTVHELAELMYETIREWPRYVNIPLPIAKAIAMPREVLLKKVPFPLPNPDIFNLDQINAFATDTVVSENGHFNFYGFGYCAP >KJB42341 pep chromosome:Graimondii2_0_v6:7:12714030:12718131:-1 gene:B456_007G150200 transcript:KJB42341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAITRRLGHQSLRPTASISSIKSIYPLSDHYYGGDHPRYGSTLASHKGMGHLVRKGTGGRSSVSGIIATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDNPRHLKLMGDLGQIVPMKYDPRDENSIKAVMAKANVVINLIGREYETRNFSFEEVNHFMAEQLAVIAKEHGGIMRFIQVSCLGSSLSSPSRFLRAKAAGEEAVLKELPEATVMKPAVMIGTEDRIMNRWAHFAKKYMPFPLPNPDIFNLDQINAFATDTVVSENALTFMDLGIVPHKLKGYPVEYLIQYRKGGPQFGSTVSEKVNPDSYP >KJB42336 pep chromosome:Graimondii2_0_v6:7:12714030:12717742:-1 gene:B456_007G150200 transcript:KJB42336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDFPFPCSGIIATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDNPRHLKLMGDLGQIVPMKYDPRDENSIKAVMAKANVVINLIGREYETRNFSFEEVNHFMAEQLAVIAKEHGGIMRFIQVSCLGSSLSSPSRFLRAKAAGEEAVLKELPEATVMKPAVMIGTEDRIMNRWAHFAKKYSFLPLIGGGSTKIQPVYVVDVASAIVAALKDDGSSMGNVYELGGPEIYTVHELAELMYETIREWPRYVNIPLPIAKAIAMPREVLLKKVPFPLPNPDIFNLDQINAFATDTVVSENALTFMDLGIVPHKLKGYPVEYLIQYRKGGPQFGSTVSEKVNPDSYP >KJB42342 pep chromosome:Graimondii2_0_v6:7:12713514:12718199:-1 gene:B456_007G150200 transcript:KJB42342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAITRRLGHQSLRPTASISSIKSIYPLSDHYYGGDHPRYGSTLASHKGMGHLVRKGTGGRSSVSGIIATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDNPRHLKLMGDLGQIVPMKYDPRDENSIKAVMAKANVVINLIGREYETRNFSFEEVNHFMAEQLAVIAKEHGGIMRFIQVSCLGSSLSSPSRFLRAKAAGEEGYPVEYLIQYRKGGPQFGSTVSEKVNPDSYP >KJB42339 pep chromosome:Graimondii2_0_v6:7:12713514:12718199:-1 gene:B456_007G150200 transcript:KJB42339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIIRDTDLHWLPTRAWATSFARAPVADLPSVIIVHCFALMSKMGSQVLVPFRGSEDNPRHLKLMGDLGQIVPMKYDPRDENSIKAVMAKANVVINLIGREYETRNFSFEEVNHFMAEQLAVIAKEHGGIMRFIQVSCLGSSLSSPSRFLRAKAAGEEAVLKELPEATVMKPAVMIGTEDRIMNRWAHFAKKYSFLPLIGGGSTKIQPVYVVDVASAIVAALKDDGSSMGNVYELGGPEIYTVHELAELMYETIREWPRYVNIPLPIAKAIAMPREVLLKKVPFPLPNPDIFNLDQINAFATDTVVSENALTFMDLGIVPHKLKGYPVEYLIQYRKGGPQFGSTVSEKVNPDSYP >KJB42337 pep chromosome:Graimondii2_0_v6:7:12714291:12718131:-1 gene:B456_007G150200 transcript:KJB42337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAITRRLGHQSLRPTASISSIKSIYPLSDHYYGGDHPRYGSTLASHKGMGHLVRKGTGGRSSVSGIIATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDNPRHLKLMGDLGQIVPMKYDPRDENSIKAVMAKANVVINLIGREYETRNFSFEEVNHFMAEQLAVIAKEHGGIMRFIQVSCLGSSLSSPSRFLRAKAAGEEAVLKELPEATVMKPAVMIGTEDRIMNRWAHFAKKYSFLPLIGGGSTKIQPVYVVDVASAIVAALKDDGSSMGNVYELGGPEIYTVHELAELMYETIREWPRYVNIPLPIAKAIAMPREVLLKKVPFPLPNPDIFNLDQINAFATDTVVSENGQLE >KJB42335 pep chromosome:Graimondii2_0_v6:7:12713499:12718340:-1 gene:B456_007G150200 transcript:KJB42335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAITRRLGHQSLRPTASISSIKSIYPLSDHYYGGDHPRYGSTLASHKGMGHLVRKGTGGRSSVSGIIATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDNPRHLKLMGDLGQIVPMKYDPRDENSIKAVMAKANVVINLIGREYETRNFSFEEVNHFMAEQLAVIAKEHGGIMRFIQVSCLGSSLSSPSRFLRAKAAGEEAVLKELPEATVMKPAVMIGTEDRIMNRWAHFAKKYSFLPLIGGGSTKIQPVYVVDVASAIVAALKDDGSSMGNVYELGGPEIYTVHELAELMYETIREWPRYVNIPLPIAKAIAMPREVLLKKVPFPLPNPDIFNLDQINAFATDTVVSENALTFMDLGIVPHKLKGYPVEYLIQYRKGGPQFGSTVSEKVNPDSYP >KJB42340 pep chromosome:Graimondii2_0_v6:7:12713514:12718199:-1 gene:B456_007G150200 transcript:KJB42340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAITRRLGHQSLRPTASISSIKSIYPLSDHYYGGDHPRYGSTLASHKGMGHLVRKGTGGRSSVSGIIATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDNPRHLKLMGDLGQIVPMKYDPRDENSIKAVMAKANVVINLIGREYETRNFSFEEVNHFMAEQLAVIAKEHGGIMRFIQVSCLGSSLSSPSRFLRAKAAGEEAVLKELPEATVMKPAVMIGTEDRIMNRWAHFAKKYSFLPLIGGGSTKIQPVYVVDVASAIVAALKDDGSSMGNLMYETIREWPRYVNIPLPIAKAIAMPREVLLKKVPFPLPNPDIFNLDQINAFATDTVVSENALTFMDLGIVPHKLKGYPVEYLIQYRKGGPQFGSTVSEKVNPDSYP >KJB44868 pep chromosome:Graimondii2_0_v6:7:47308354:47309996:1 gene:B456_007G276600 transcript:KJB44868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSETLKNKYQLCEEIGRGRFGVISRVFCPSTQSSFACKSIDKRLLSDPTDRECLENEPKIMTLLSPHSNIVQIYDMFESDDTLQLIIDLCQPFTLYDKILEPDMSEPKAAAYMQQLMLGLAHCHRFGIVHRDIKPDNIFFDFRGNLKIGDFGSSTWLGEVGTADGLVGTPYYVAPEVVMGRAYNEKADVWSAGVVLYVMLAGVPPFYGETAEEIFEAVLRGNLRFPSRIFRSVSAEVKDLLRKMICRDVSRRWSAEQVLRHPWILNGGETISMD >KJB43916 pep chromosome:Graimondii2_0_v6:7:26678632:26680930:-1 gene:B456_007G223600 transcript:KJB43916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPNGPLVSFVFLLVFSSIFSPKLVFGSIPVHERIIMRPDPLRHLKHYNDVFNVTNKHYWASTAFTGIHGYAMAGVWTLCGVYLGIFMIFKNSIASNESSSSWLTVHSDRYFLLLFILFLLLTLFAIIAASFVIAANQISQHRTKKLKNTLVKAGHEVTQSIRRLITKMTRMQYLLLPYDQKTSSRLNVTTHRLGRESRMIRNFVRNHEHSLDVAIQVPYIAHLGIASVNLLLLVAALVLFLLHWQPGLIFIIVFCWILTALCWVLTGFDFFLHIFAEDTCSTFEDFVQEPYNNSLSSLLPCMSSRKSEKILTEIGSTIHDFIGKLNSKITEVCTTMGLSEENFEMLGFKMICDPFSGAPDFNYEPGDCAKDAIPIGKIPDSLTECTMVKNTFSEISLNQCKPFRSSLLWQWASVLSLSISMVFLVFTLILKAYQQRGRNFSICSIFPNRSNSVNVENQNVQ >KJB43917 pep chromosome:Graimondii2_0_v6:7:26678632:26680930:-1 gene:B456_007G223600 transcript:KJB43917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPNGPLVSFVFLLVFSSIFSPKLVFGSIPVHERIIMRPDPLRHLKHYNDVFNVTNKHYWASTAFTGIHGYAMAGVWTLCGVYLGIFMIFKNSIASNESSSSWLTVHSDRYFLLLFILFLLLTLFAIIAASFVIAANQISQHRTKKLKNTLVKAGHEVTQSIRRLITKMTRMQYLLLPYDQKTSSRLNVTTHRLGRESRMIRNFVRNHEHSLDVAIQVPYIAHLGIASVNLLLLVAALVLFLLHWQPGLIFIIVFCWILTALCWVLTGFDFFLHIFAEDTCSTFEDFVQEPYNNSLSSLLPCMSSRKSEKILTEIGSTIHDFIGKLNSKITEVCTTMGLSEENFEMLGFKMICDPFSGAPDFNYEPGDCAKDAIPIGKIPDIISKFTCYKQNSTEACLENGKFLTEDASNKALAYSYTIESMLDVYPDLQSLTECTMVKNTFSEISLNQCKPFRSSLLWQWASVLSLSISMVFLVFTLILKAYQQRGRNFSICSIFPNRSNSVNVENQNVQ >KJB43915 pep chromosome:Graimondii2_0_v6:7:26678489:26680990:-1 gene:B456_007G223600 transcript:KJB43915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVWTLCGVYLGIFMIFKNSIASNESSSSWLTVHSDRYFLLLFILFLLLTLFAIIAASFVIAANQISQHRTKKLKNTLVKAGHEVTQSIRRLITKMTRMQYLLLPYDQKTSSRLNVTTHRLGRESRMIRNFVRNHEHSLDVAIQVPYIAHLGIASVNLLLLVAALVLFLLHWQPGLIFIIVFCWILTALCWVLTGFDFFLHIFAEDTCSTFEDFVQEPYNNSLSSLLPCMSSRKSEKILTEIGSTIHDFIGKLNSKITEVCTTMGLSEENFEMLGFKMICDPFSGAPDFNYEPGDCAKDAIPIGKIPDSLTECTMVKNTFSEISLNQCKPFRSSLLWQWASVLSLSISMVFLVFTLILKAYQQRGRNFSICSIFPNRSNSVNVENQNVQ >KJB40213 pep chromosome:Graimondii2_0_v6:7:3631734:3634801:1 gene:B456_007G051500 transcript:KJB40213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRINYLHGLAAKFRNWNRPLKFLHSLHQNKRRTPRTKHKFTKALKTPMKPFDVEPKVYMRDTISNIYKILKYSTWESAETQLKQLPIRWDSFTVNQVLKTHPPMEKAWLFFNWVGKVKSFKHDQFTYTTMLDIFGEAGRVSSMKYLFQQMQEKGLKIDAVTYTSILHWLSKSGDVDGAVETWEEMRGKGCFPTVVSYTAYMKVLFDNQRVKEGTAVYKEMLQSGISPNCHTYTVLMEYLFGAGKYEEALEIFSKMQEARVKPDKAACNILVEKCCKAGETWPIIQILQYMKENHLVLRYPIFLLALETFKAAGERDALLRQVHPHISVECIDNERVVEYKGNDFEDPSSLDRGLVWVLLKKQNLQAVDSLLTELMDKNIKLDSELMSAIVHVNCGHCRVDGALLTFKYSVKTGIKLERTAYLALIGCLIRSNTFTDIVEIVVEMTSTGHSLGVYLASLLIYRLGCARRPTCAAKIFDSLPDDQKCVATYTALVGVYFAAGTADKGLKIYKTMRKKGIYPSLGTYCVLVAGLEKLSRVSNAETYRKEKKSLRKDAYFRESIPMEEKICDLLFARDVVS >KJB40756 pep chromosome:Graimondii2_0_v6:7:5379553:5383745:-1 gene:B456_007G076200 transcript:KJB40756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQILERERKREMIEEIQMEGNSHRGKGRSARKKKNAIDEGEKEGGDLMECSGKYCGSCTAAVIADCVAICCCPCALLNFLTLALIKLPWKMGRRCLGFGKMKRKRKKTSGTVNEGDGNFKGRVRVEQEIWEFPAGFEEEEEMGKFGARFEAESVWLELYQIGHWGFGRVSFTGI >KJB40757 pep chromosome:Graimondii2_0_v6:7:5379642:5380652:-1 gene:B456_007G076200 transcript:KJB40757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQILERERKREMIEEIQMEGNSHRGKGRSARKKKNAIDEGEKEGGDLMECSGKYCGSCTAAVIADCVAICCCPCALLNFLTLALIKLPWKMGRRCLGFGKMKRKRKKTSGTVNEGDGNFKGRVRVEQEIWEFPAGFEEEEEMGKFGARFEAESVWLELYQIGHWGFGRVSFTGI >KJB43583 pep chromosome:Graimondii2_0_v6:7:21806116:21806646:-1 gene:B456_007G207400 transcript:KJB43583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLAPLRACKKLIFYQCLPDAATLVTGTIICPIGDKKVKLCLKENNKTEEPSVFVELPLSTSEFTSSIDSSVLRIVLDPVPDSGITQRWQTYCNGQKVGFARRLVVGKEEKWVLETMQMVSSGAGFLLQKGPDAGSFKYLRGQFERIVGSDDSEAYHLVDPSYWFGQDLSVFFLQ >KJB44128 pep chromosome:Graimondii2_0_v6:7:31730409:31737210:1 gene:B456_007G236200 transcript:KJB44128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQNHHPEAAENDNLEIQSMVSGQIDSYKEAASFRIEMEAGDGVFLTWTDLWVTVSGGRKGSRAILQGLTGYAEPGKVLAIMGPSGCGKSTLLDTLAGRLLSSRMHQTGEILINGRKETLAFGTSAYVTQDDTLMTTLTVREAVFYSAQLQLPDSMSISEKKERAEMTIREMGLQDSMDTRIGGWSTKGLSGGQKRRVSICIEILTWPKLLFLDEPTSGLDSAASYHVMNRIVKLAHQHGRTIIASIHQPSSEVFELFHNLCLLSYGKTVYFGPVSMAEMLFATNGFPCPPLRNPSDHYLRTINKDFDEDIEQGIGTSSTEEIIDTLVKSYKSSEICKQVQHNVLKISQQKRGPLEKKGSQASFITQSIVLTKRSFINMYRDLGYYWLRFAIYIALCLCVGTIFHDIGLTYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGAFVIGNTISSIPYLCFISLIPGALAYYLVGLQKSFDHFIYFVILLFTSTMLVESIMMTVASVVPNYLMGIITGAGIQGIMILNGGFFRLPDDLPKPIWRYPMYYIAFHKYANQGFYKNEFEGLSFPNNQVGGPPTITGDEVLRSFWQVEMGYSKWIDLVILFGMVVVYRLMFWGIIITVEKIKPLIKDYMAASPKKSSMILENPSSISSQLEML >KJB44129 pep chromosome:Graimondii2_0_v6:7:31730409:31737236:1 gene:B456_007G236200 transcript:KJB44129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQNHHPEAAENDNLEIQSMVSGQIDSYKEAASFRIEMEAGDGVFLTWTDLWVTVSGGRKGSRAILQGLTGYAEPGKVLAIMGPSGCGKSTLLDTLAGRLLSSRMHQTGEILINGRKETLAFGTSAYVTQDDTLMTTLTVREAVFYSAQLQLPDSMSISEKKERAEMTIREMGLQDSMDTRIGGWSTKGLSGGQKRRVSICIEILTWPKLLFLDEPTSGLDSAASYHVMNRIVKLAHQHGRTIIASIHQPSSEVFELFHNLCLLSYGKTVYFGPVSMAEMLFATNGFPCPPLRNPSDHYLRTINKDFDEDIEQGIGTSSTEEIIDTLVKSYKSSEICKQVQHNVLKISQQKRGPLEKKGSQASFITQSIVLTKRSFINMYRDLGYYWLRFAIYIALCLCVGTIFHDIGLTYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGAFVIGNTISSIPYLCFISLIPGALAYYLVGLQKSFDHFIYFVILLFTSTMLVESIMMTVASVVPNYLMGIITGAGIQGIMILNGGFFRLPDDLPKPIWRYPMYYIAFHKYANQGFYKNEFEGLSFPNNQVGGPPTITGDEVLRSFWQVEMGYSKWIDLVILFGMVVVYRLMFWGIIITVEKIKPLIKDYMAASPKKSSLQLNRT >KJB44130 pep chromosome:Graimondii2_0_v6:7:31731869:31737236:1 gene:B456_007G236200 transcript:KJB44130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTGEILINGRKETLAFGTSAYVTQDDTLMTTLTVREAVFYSAQLQLPDSMSISEKKERAEMTIREMGLQDSMDTRIGGWSTKGLSGGQKRRVSICIEILTWPKLLFLDEPTSGLDSAASYHVMNRIVKLAHQHGRTIIASIHQPSSEVFELFHNLCLLSYGKTVYFGPVSMAEMLFATNGFPCPPLRNPSDHYLRTINKDFDEDIEQGIGTSSTEEIIDTLVKSYKSSEICKQVQHNVLKISQQKRGPLEKKGSQASFITQSIVLTKRSFINMYRDLGYYWLRFAIYIALCLCVGTIFHDIGLTYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGAFVIGNTISSIPYLCFISLIPGALAYYLVGLQKSFDHFIYFVILLFTSTMLVESIMMTVASVVPNYLMGIITGAGIQGIMILNGGFFRLPDDLPKPIWRYPMYYIAFHKYANQGFYKNEFEGLSFPNNQVGGPPTITGDEVLRSFWQVEMGYSKWIDLVILFGMVVVYRLMFWGIIITVEKIKPLIKDYMAASPKKSSLQLNRT >KJB42829 pep chromosome:Graimondii2_0_v6:7:15182382:15188199:-1 gene:B456_007G169200 transcript:KJB42829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRCWHKLKTTCRFQLSRHLSSSTSLPPPPLSSPRRVVVTGLGLLTPLGCGVGTTWKHLIEGKCGIRAVTTEDLKMNAFDKETLTLTFDQLTSKVAAIVPCGTAPGEFNEDLWFNAKDHRSIARFISYALCAADEALKDAKWAPTDQEQKERTGVSIGGGTGSISDILDAAQMICEKRIRRLSPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGAHSIGDAMRMVQFGDADVMVAGGTESSIDALSIAGFCKARALTTKYNSSPSEASRPFDCGRDGFVIGEGSGVLILEELEHAKSRGAKIYAEVRGYGMSGDAYHITQPHTDGRGAILAMARALKQAGLHPNQVDYVNAHATSTPLGDAIEANAIKAMFTDHATSGSLAFSSTKGAVGHLLGAAGAVEAIFSVLTVHHGIAPLTLNLTKPDPIFNDAFMPLTASKEMPIRAALSNSFGFGGTNASLLFASPS >KJB42830 pep chromosome:Graimondii2_0_v6:7:15182380:15188175:-1 gene:B456_007G169200 transcript:KJB42830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRCWHKLKTTCRFQLSRHLSSSTSLPPPPLSSPRRVVVTGLGLLTPLGCGVGTTWKHLIEGKCGIRAVTTEDLKMNAFDKETLTLTFDQLTSKVAAIVPCGTAPGEFNEDLWFNAKDHRSIARFISYALCAADEALKDAKWAPTDQEQKERTGVSIGGGTGSISDILDAAQMICEKRIRRLSPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGAHSIGDAMRMVQFGDADVMVAGGTESSIDALSIAGFCKARALTTKYNSSPSEASRPFDCGRDGFVIGEGSGVLILEELEHAKSRGAKIYAEVRGYGMSGDAYHITQPHTDGRGAILAMARALKQAGLHPNQVDYVNAHATSTPLGDAIEANAIKAMFTDHATSGSLAFSSTKGAVGHLLGAAGAVEAIFSVLTVHHGIAPLTLNLTKPDPIFNDAFMPLTASKEMPIRAALSNSFGFGGTNASLLFASPS >KJB40243 pep chromosome:Graimondii2_0_v6:7:3702330:3705054:-1 gene:B456_007G053200 transcript:KJB40243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFESREELMQWVQSTAFSLDYIIVTRRSKAKENGVVSYVTFICDRGGEYKFKESSKKSGTKKTNCKFRLVGSYLKQYDGWTLRVICDQHNHPPAQHMEGHAYARRLKENEKKLLVDLTSKNVTPHDILSTLKEQDENNNVGKTPIQVLMLLLNDKQFFTEFSVNNISNELENLFFIHPRSLDIWRAFSHVLIVDAMYKTNKYDLPFVQIVDVTSTNKTFSIAFAFIINEKEENYNWALTCLKLTLEGCMYLRVIVTDKELALMNACQQVFPDATRLLCRWHITENIKKHYRQSIKSQHERDSIRAMWTVLVESPTWIFKYKEMFVLVWIDRHLNFGEQTTNRVESQHAKLKKYICAKNSSLDKFVGCIDQNVKSQLTSIYESFEKSRIVLKHRHNLQCFRLFRGFVALEALDILEGELQWSSRHQLDYSNCGCKLRHSCGVPCACMLSVYLNSGECIPLDSIDVFWRKLDLSPSTSVENEDICCDSELEMFKENFTKQSKAGKKSLLRKLRDIFQLKLNKEPAKHSSYIIEILDLNQEPSEQVSDFIDLNQMPKSCDTHPLMKEILDMFHPYITHVQDVKGDGNCRFQAISVCLGYGEDQWLYVRHQLLDELLSSYDVYARVFTDGIDELRNSLCFSQSPAPAEHWMVMPITGVLIANRFGVILNYLTKRGDITFFPLWREGDYPMPTISACWIRHRAPSTAGWQTMYMSRLEFYRQLKPCNLKTPVITIENYC >KJB40333 pep chromosome:Graimondii2_0_v6:7:4166250:4166615:1 gene:B456_007G058600 transcript:KJB40333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLCNCKQFLLDVPQSHSFRDHLDANYKGSCNGATISTRLYIIHVINMLAQFTNSQRDFPSVRSCSSFKCPIVTGKWKRQVLSVWEQNIAYVSGNITKEGGVSTSTWLITQDIHVTDVQS >KJB40987 pep chromosome:Graimondii2_0_v6:7:6185908:6187892:-1 gene:B456_007G086500 transcript:KJB40987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVITTRSFLGKAGCFNVKNGSFDNPYEENVVQAWSSKYVQVEPTVVLAQPHCGVEKYGELISFRDDKPLGLPVRSLKSRAMSPEFGNGSSDSSGPSAMDSSDGSDKSENGSFSDLTRENLEGKSNKSGALGSPKPWRWRSGRMRQRFDGGSVTRPSHFRPLSVDESQFQSLKSRSLHSQLASQSHSPSSLSPSHPSSSEPPKSKMIESLKERNPSQSFPLPSPLKRRKPVIASHSRQYSDGSLLGTRSRKCFEDEWEEFCDSKKDDGSSTNKERVSSYPLKFDAKPIAPSKASSRGKSVRTFRGSGITIVGSGDAGEKQENHTKGCDEIEEVEGYKGGSDPKLGDCNPSVVFNRQNLGGNAYMPNPTYSRNRNQEFAENSESEREDEDFGERSDEATMSGTTSVAGSDTYEVDRKAGEFIAKFREQIRLQRTTSIETLKGFNVNFLE >KJB40986 pep chromosome:Graimondii2_0_v6:7:6186122:6187225:-1 gene:B456_007G086500 transcript:KJB40986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEFGNGSSDSSGPSAMDSSDGSDKSENGSFSDLTRENLEGKSNKSGALGSPKPWRWRSGRMRQRFDGGSVTRPSHFRPLSVDESQFQSLKSRSLHSQLASQSHSPSSLSPSHPSSSEPPKSKMIESLKERNPSQSFPLPSPLKRRKPVIASHSRQYSDGSLLGTRSRKCFEDEWEEFCDSKKDDGSSTNKERVSSYPLKFDAKPIAPSKASSRGKSVRTFRGSGITIVGSGDAGEKQENHTKGCDEIEEVEGYKGGSDPKLGDCNPSVVFNRQNLGGNAYMPNPTYSRNRNQEFAENSESEREDEDFGERSDEATMSGTTSVAGSDTYEVDRKAGEFIAKFREQIRLQRTTSIETLKGFNVNFLE >KJB46363 pep chromosome:Graimondii2_0_v6:7:59439972:59444211:-1 gene:B456_007G362900 transcript:KJB46363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase BAH1-like [Source:Projected from Arabidopsis thaliana (AT2G38920) UniProtKB/Swiss-Prot;Acc:Q8GW10] MKFGETFKEYLHGDQEWFLDKVSHVEYKRLKKVLKSCRRCKALHEGNSTIEQTDGEQQQNPTFSRFCQCQPCPVCDQMFFTELMREATDIAGCFSSRVRHLLHLHVPRGMQRYVLRLRQCFKNDQQALVEEGLMLIEYITMNAIAIRKILKKYDKVHSSANGKNFKSKLRAEHLELLQSPWLIELGALSLNFKGSDDGEAFIQFSGSFSCNLDEMEPVMTLMLPHSIKLEYNLKCAICLEIVFNPYALSCGHLFCKSCACSAASVMIFQGLKASSPDSKCPICREAGVYANAVHMLELDLLIKKRHKDYWKERAIAERAEMVKQSKEYWESQTKYVVGY >KJB46362 pep chromosome:Graimondii2_0_v6:7:59439972:59443538:-1 gene:B456_007G362900 transcript:KJB46362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase BAH1-like [Source:Projected from Arabidopsis thaliana (AT2G38920) UniProtKB/Swiss-Prot;Acc:Q8GW10] MCDQMFFTELMREATDIAGCFSSRVRHLLHLHVPRGMQRYVLRLRQCFKNDQQALVEEGLMLIEYITMNAIAIRKILKKYDKVHSSANGKNFKSKLRAEHLELLQSPWLIELGALSLNFKGSDDGEAFIQFSGSFSCNLDEMEPVMTLMLPHSIKLEYNLKCAICLEIVFNPYALSCGHLFCKSCACSAASVMIFQGLKASSPDSKCPICREAGVYANAVHMLELDLLIKKRHKDYWKERAIAERAEMVKQSKEYWESQTKYVVGY >KJB43508 pep chromosome:Graimondii2_0_v6:7:20941882:20945697:-1 gene:B456_007G203800 transcript:KJB43508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPMNPSGRWSLMEQEMKFGWKIVVGSIVGFLGAALGSVGGVGGGGIFVPMLSLIIGFDPKSSTAISKCMIMGAAGSTVYYNLRLRHPTLEMPLIDYDLALLFQPMLMLGISIGVALNVMFADWMVTVLLIILFIGTSTKALFKGIDTWKKETMMKKEAAKVESEESKPADGASQDYKPLPSGPGNQTEDEVPLLQNIYWKELSSLVYVWIGFLIVQIIKEYLPTCSVMYWIVTSLQIPIAASVTIFEAICLCKGTRVIASKGKEITNWKMHQILLYCSCGIIAGMVGGLLGLGGGFILGPLFLELGIPPQVASATSTFSMVFSSSMSVVQYYLLDRFPVPYAAYFVLVATIAAIAGQHVVRRIIAVIGRASIIIFILALTIFISAISLGGVGIADMVKKLANKEYMGFENLCKA >KJB43509 pep chromosome:Graimondii2_0_v6:7:20941882:20945743:-1 gene:B456_007G203800 transcript:KJB43509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIQVNLRGLAMVAWILFLVLVMSDVGIAERQLKHKRNQENEETQGLFVRVANFLWQDGKSAYEPVWPEMKFGWKIVVGSIVGFLGAALGSVGGVGGGGIFVPMLSLIIGFDPKSSTAISKCMIMGAAGSTVYYNLRLRHPTLEMPLIDYDLALLFQPMLMLGISIGVALNVMFADWMVTVLLIILFIGTSTKALFKGIDTWKKETMMKKEAAKVESEESKPADGASQDYKPLPSGPGNQTEDEVPLLQNIYWKELSSLVYVWIGFLIVQIIKEYLPTCSVMYWIVTSLQIPIAASVTIFEAICLCKGTRVIASKGKEITNWKMHQILLYCSCGIIAGMVGGLLGLGGGFILGPLFLELGIPPQVASATSTFSMVFSSSMSVVQYYLLDRFPVPYAAYFVLVATIAAIAGQHVVRRIIAVIGRASIIIFILALTIFISAISLGGVGIADMVKKLANKEYMGFENLCKA >KJB39468 pep chromosome:Graimondii2_0_v6:7:1152979:1167587:1 gene:B456_007G015000 transcript:KJB39468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSRHKSSKHSSRDARDYSDSEKDSGLKEKEKKSKDESSGRFSKELGSGEKRKLDSKDTKELWISGNGDYIEEYSSSKRRKDKADDGVSDRWNGGEDDGKGEKKSKALSESKSKRRDDVEADDTKRSKSEGKHREYSRKEERDRERKSKEGKSDRLIESEEHRTVKQYSERTDMDVPDQLQSPESESQLERRLRKRRDTSGDGDKHLEDNGDILDKQLYVSNDIGKDGRAKDEKHKDERYKEKYREDMNCEDKCQDDKLRDDRSASDLANSKSREKHLRDGKDDVKVRQKKSKVQDSDYERDRDHDRDRDRDRDRERYRERERERDRERERERYRDPDRDHYRERDRNRDHDHDRDYDSQWDRDRDHDRDHRYSDRDKDRDHDRDEVHDERRSTRYKDSKGRKRSPDDRDDGNDTKSRGTKLHYSDMENKSSTSGRVEVDADRGRSQSRPANLDAAMGSNRRRASPSSISHGGTDEYRHLKQEDSKHRDPMTEQRSKAASSREVTSFSEVSERGAKYRSMEKSSRADEGHSGELPIERSSSSKASPMSMMERSPSTSLERRYTSRSGVKRGLDTEETGWSSASVGGREEDNRLGRDLPLEKPLLDGSCQADSVFYNRAGQGNSSLIPQPPGLRAGIGSPSFMGSLEEDHRFNNSGRYKRSGDLNVRRGHANAWRGAPNWPAPLPNGFIPFQPGPPHGGFQAVMPQFPSPSLFGVRPSMEINHSGIPYHIPDAERFNNHLRPIGWQNPMDGSGPAQFPGWDGHSVSFRDEAHMFGGPEWDQNRHPVNGRGWDTGSDVWKGQNGDVDLPSTSEKEDHPLQAPLDVYDGQERQRSQYENGDNDVQVTGFELRSDVLPAAKESSRCSPEIPHKAPDSSKISSEDDDARCCRLYLCKLDISAELAGSALYDQCASLLNVERSKDLGKDVTMLVNLKNGGRPVQNASIDVLSPSLIPATNASVFQKAMDLYKKQRLQMGAILDVKGGILAFASASKEKGKEQSPDHVVDEVEEAVLISDAEMVDSAMLDSDQPEEAVPSVTSDENTEQLVSIQRREIPDHLDSLSPEKSELPNAGFCDINPKVPEPALDGNKAEETDTETEQMNSEDVVEGSLRSLDNTAEAIGLAADDENSNDINKTEGNSSVYCAEERHAFGDAISGSINDFPKESGALIPESNESGSESVILSRIHHSPENTH >KJB41004 pep chromosome:Graimondii2_0_v6:7:6230819:6233365:1 gene:B456_007G087000 transcript:KJB41004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGSSHNPFDIFESFFGGGAFGGGGSSRGRRQKRGEDVVHALKVSLEELYNGTTKKLSLSRNAICSKCKGKGSKSGASSRCYGCQGTGMKITTRQIGLGMIQQMQHVCPECRGSGEMISDRDRCPQCKGNKVTQEKKVLEVHIEKGMRDGQKITFEGQADEAPDTITGDIVFVLEQKKHPKFDRRFGDDLYVDHNLSLTEALCGFQFALTHLDGRQLLIKSNPGEVVKPGQYRAINDEGMPHHQRLFMKGKLVIQFHVIFPESGVFSPEQCRKIESVLPMRPSKHLTDMELDDCEETTLHDVSAEEVKRRKEQRQHRHREAYDEDDDDDESAPRVQCAQQ >KJB41005 pep chromosome:Graimondii2_0_v6:7:6229970:6233393:1 gene:B456_007G087000 transcript:KJB41005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRVPKKSDNTKFYEVLGVPKSASQDELKKAYKKAAIKNHPDKGGDPEKFKELAHAYEVLSDPEKREIYDQYGEDALKEGMGGGGSSHNPFDIFESFFGGGAFGGGGSSRGRRQKRGEDVVHALKVSLEELYNGTTKKLSLSRNAICSKCKGKGSKSGASSRCYGCQGTGMKITTRQIGLGMIQQMQHVCPECRGSGEMISDRDRCPQCKGNKVTQEKKVLEVHIEKGMRDGQKITFEGQADEAPDTITGDIVFVLEQKKHPKFDRRFGDDLYVDHNLSLTEALCGFQFALTHLDGRQLLIKSNPGEVVKPGQYRAINDEGMPHHQRLFMKGKLVIQFHVIFPESGVFSPEQCRKIESVLPMRPSKHLTDMELDDCEETTLHDVSAEEVKRRKEQRQHRHREAYDEDDDDDESAPRVQCAQQ >KJB41003 pep chromosome:Graimondii2_0_v6:7:6230065:6233365:1 gene:B456_007G087000 transcript:KJB41003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRVPKKSDNTKFYEVLGVPKSASQDELKKAYKKAAIKNHPDKGGDPEKFKELAHAYEVLSDPEKREIYDQYGEDALKEGMGGGGSSHNPFDIFESFFGGGAFGGGGSSRGRRQKRGEDVVHALKVSLEELYNGTTKKLSLSRNAICSKCKGKGSKSGASSRCYGCQGTGMKITTRQIGLGMIQQMQHVCPECRGSGEMISDRDRCPQCKGNKVTQEKKVLEVHIEKGMRDGQKITFEGQADEAPDTITGDIVFVLEQKKHPKFDRRFGDDLYVDHNLSLTEALCGFQFALTHLDGRQLLIKSNPGEVVKPGQYRAINDEGMPHHQRLFMKGKLVIQFHVIFPESGVFSPEQCRKIESVLPMRPSKHLTDMELDDCEETTLHDVSAEEVKRRKEQRQHRHREAYDEDDDDDESAPRVQCAQQ >KJB41006 pep chromosome:Graimondii2_0_v6:7:6230065:6233365:1 gene:B456_007G087000 transcript:KJB41006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGSSHNPFDIFESFFGGGAFGGGGSSRGRRQKRGEDVVHALKVSLEELYNGTTKKLSLSRNAICSKCKGKGSKSGASSRCYGCQGTGMKITTRQIGLGMIQQMQHVCPECRGSGEMISDRDRCPQCKGNKVTQEKKVLEVHIEKGMRDGQKITFEGQADEAPDTITGDIVFVLEQKKHPKFDRRFGDDLYVDHNLSLTEALCGFQFALTHLDGRQLLIKSNPGEVVKPGQYRAINDEGMPHHQRLFMKGKLVIQFHVIFPESGVFSPEQCRKIESVLPMRPSKHLTDMELDDCEETTLHDVSAEEVKRRKEQRQHRHREAYDEDDDDDESAPRVQCAQQ >KJB40089 pep chromosome:Graimondii2_0_v6:7:3230721:3232021:1 gene:B456_007G046300 transcript:KJB40089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPKACVELPVFDVSQPLSPSSLSSLYLACTQWGFFRITNHGVPKHLFTNLYSLSNHIFSLPIGSKLKVGPASSLKTYTPPFIASPFYESLLVSGPDFFSSAQSSVDVLFDHPKSDFRYEVLDEYGNMMTKLSKEIVKAVLKSLVREDLGKRFEESEFRNCHGYLRIGNYSPLNIMKEEEEEDQQEDEIEGLGMHTDMSCFTIVYQHEIGGLQVRSKEGKWMDISPSEDTLVVNVGDLMHAWSNGKLRSSEHRVVLKRNVDRFSLAFFWCFENEKVIFAPNEVVGDHSRIYKPFVCADYLKFRLISEKGKFEKVGFTVKDFAGNCDTL >KJB40090 pep chromosome:Graimondii2_0_v6:7:3230721:3232053:1 gene:B456_007G046300 transcript:KJB40090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPKACVELPVFDVSQPLSPSSLSSLYLACTQWGFFRITNHGVPKHLFTNLYSLSNHIFSLPIGSKLKVGPASSLKTYTPPFIASPFYESLLVSGPDFFSSAQSSVDVLFDHPKSDFSEVLDEYGNMMTKLSKEIVKAVLKSLVREDLGKRFEESEFRNCHGYLRIGNYSPLNIMKEEEEEDQQEDEIEGLGMHTDMSCFTIVYQHEIGGLQVRSKEGKWMDISPSEDTLVVNVGDLMHAWSNGKLRSSEHRVVLKRNVDRFSLAFFWCFENEKVIFAPNEVVGDHSRIYKPFVCADYLKFRLISEKGKFEKVGFTVKDFAGNCDTL >KJB45695 pep chromosome:Graimondii2_0_v6:7:53688649:53689619:1 gene:B456_007G321400 transcript:KJB45695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNDDFRPFTLFVNTVFVYCTMTSFLQPPEMGVDRISYLPKEVLCHILSFLPTKLTVRTSILSKNWRYLWDSVSALDFDDTLLFNPNKGGFHGIGGVNFINFVDRVLIRNSESPTHMFGLCCSRRHSFHLNAWINNAIKRKLRVLSLSLDKVKDYTLAIAPFASEILVNLFLSFAALEKLVIQECKLGKIWNLHVSAVELNSLIVVDAPKLEVLRYKGYVASGCSFENCISVARAVIDISDNGNRG >KJB40057 pep chromosome:Graimondii2_0_v6:7:3112959:3115733:1 gene:B456_007G044700 transcript:KJB40057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSSWKTMAVALLLIELWFTIESSLAHPDKIVKLPGQPPRLSFQQFSGYVTVDYKKHKALFYYFVEAETDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGEFLVKNDYSWNREANMLYLETPIGVGFSYSTNTSSYEAVDDEITARDNLVFLQNWYNKFPNYRHRDLYITGESYAGHYIPQLAKLMVEFNKKQNLFNLKGIALGNPVLEFATDFNSRAEYFWSHGLISDSTYNMFTSVCNYSRYVSEYYRDSVSPSCSKVMSQVSRETSKFVDKYDVTLDVCISSVLSQSMAINPQQVSERVDVCVEDKIVNYLNRKDVQKALHARLVGVRLWTVCSNILDYQLLNLEMPTISIVGSLIKSGIPVLVYR >KJB40058 pep chromosome:Graimondii2_0_v6:7:3112996:3114764:1 gene:B456_007G044700 transcript:KJB40058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSSWKTMAVALLLIELWFTIESSLAHPDKIVKLPGQPPRLSFQQFSGYVTVDYKKHKALFYYFVEAETDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGEFLVKNDYSWNREANMLYLETPIGVGFSYSTNTSSYEAVDDEITARDNLVFLQNWYNKFPNYRHRDLYITGESYAGHYIPQLAKLMVEFNKKQNLFNLKGIALGNPVLEFATDFNSRAEYFWSHGLISDSTYNMFTSVCNYSRYVSEYYRDSVSPSCSKVMSQVSRETSKFVDKYDVTLDVCISSVLSQSMAINPQVNSSLQNHAWPQLI >KJB40056 pep chromosome:Graimondii2_0_v6:7:3112762:3116003:1 gene:B456_007G044700 transcript:KJB40056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSSWKTMAVALLLIELWFTIESSLAHPDKIVKLPGQPPRLSFQQFSGYVTVDYKKHKALFYYFVEAETDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGEFLVKNDYSWNREANMLYLETPIGVGFSYSTNTSSYEAVDDEITARDNLVFLQNWYNKFPNYRHRDLYITGESYAGHYIPQLAKLMVEFNKKQNLFNLKGIALGNPVLEFATDFNSRAEYFWSHGLISDSTYNMFTSVCNYSRYVSEYYRDSVSPSCSKVMSQVSRETSKFVDKYDVTLDVCISSVLSQSMAINPQQVSERVDVCVEDKIVNYLNRKDVQKALHARLVGVRLWTVCSNILDYQLLNLEMPTISIVGSLIKSGIPVLVYSGDQDSVIPLTGSRSLVSRLAKELELETTVPYRVWFEGKQVGGWTQVYGNILSFATIRGASHEAPFSQPERSLMLFKSFLEGKPLPEVF >KJB42289 pep chromosome:Graimondii2_0_v6:7:12459203:12459802:1 gene:B456_007G147400 transcript:KJB42289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFIGFDTRLIMRPLVTLNASFDHQMNYLQRKRVCRRMEMIHPSYEPTNESDSLGSKLKERKKKGFIPAWHITGRILFCFLITMKCPTNYCLNAKMDSGRRREP >KJB42132 pep chromosome:Graimondii2_0_v6:7:11366647:11369474:-1 gene:B456_007G138500 transcript:KJB42132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLIEGLPDAVALRCLARVPFYLYPKLEIVSHSWRAAIRSPELFRARQEIGSTEELLCVCAFDPENLWQMYDPLRDLWITLPVLPTKVRHLAHFGVVSTGGKLFVLGGGSEDVDPLTGDQDGSFATNEVWSYDPVVRRWAQHASMILPRAMFACCVLEGKIIVAGGFTSCRKSISQAEMYDHEKDVWIPIPDLHCTHNSACTGLVIGGKVHVLHKGLSKVQVLDNVCSGWTVEDYGWLQGPMAVVQGALYVMSHGLIFKQEKEVRKVVTSSSEFRRRIGFAMTGVRDEIYVIGGVIGPDRFNWDIKPMSDVDILTVGGDRPTWRQAAPMTMCRGTIFGCTQLRI >KJB42131 pep chromosome:Graimondii2_0_v6:7:11366647:11369892:-1 gene:B456_007G138500 transcript:KJB42131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLIEGLPDAVALRCLARVPFYLYPKLEIVSHSWRAAIRSPELFRARQEIGSTEELLCVCAFDPENLWQMYDPLRDLWITLPVLPTKVRHLAHFGVVSTGGKLFVLGGGSEDVDPLTGDQDGSFATNEVWSYDPVVRRWAQHASMILPRAMFACCVLEGKIIVAGGFTSCRKSISQAEMYDHEKDVWIPIPDLHCTHNSACTGLVIGGKVHVLHKGLSKVQVLDNVCSGWTVEDYGWLQGPMAVVQGALYVMSHGLIFKQEKEVRKVVTSSSEFRRRIGFAMTGVRDEIYVIGGVIGPDRFNWDIKPMSDVDILTVGGDRPTWRQAAPMTMCRGTIFGCTQLRI >KJB44774 pep chromosome:Graimondii2_0_v6:7:45892141:45913016:1 gene:B456_007G272000 transcript:KJB44774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MADSSPMESLISIAGSVSTASTKKRVRIFRKELPPIIANSDMSPEFTSLLVDIIFTTFPIYDDGGSRKAVNDVIVRTLGEVTFMKSFAAALVQAMEKQSKFQSHVGCYSLLKWSCLLLSRSQFATVSKNALCRVAAAQASLLHIVMQRSFRERRACRKTFFHLFSQSPDVYDSYIEEIKDARIPYKDTPELLCLLLEFSSSVPSKFEQSKPIFLDIYVKAVLNAREKPTKGLSESFRPLFARMSHEDLQSVVIPSSVKMLKRNPEIVLDSVGILLSSVDLDLSKYAKEILSVILPQARHAEDGRRVVALAIVRCLSQKSSNPDACESMFNVVKAVLGGSEGRLAFPYQRIGMINALLELSNAPEGKYLNSLARAVCGFLLTYYKDEGNEEVKLAILSAIASWAARFADALQPDLVSFLASGLKEKEALRRGHLRCLQAISKNPDALLQISSLLVPLVQLVKTGFTKAVQRLDGIYALNIVGKIAAADIKAEETLAKEKIWSLISQNEPSLVANSMVAKLSIEDCMSCVDLLEVLLVEHSRRVLETFSAKLLLQLLLFLMCHSSWDVRRKTYDATKKIVAAAPQLSEVLLLEFTDFLSLVGEKISTLKISDADNSPDNQLHNVPSVEVLVKALAVISSTALATTPSDSTRIIFCSHHPCIVGTAKRDAVWRRLHKCLRTLGFDVIEIISTNVGNICESLIGPMGLMSANPLEQRAAICSLCTLMSIAPEDTFSQFEKHHTDLPDRHSHDMLSENDIQIFRTPEGILSNEQGVYVAESITSKNSKPIEDHINSNHHGKRELSSRAAGVGGRKDTGKSTKKADKGKTAKEEAREQLLREEASIREKVRGIQKNLSLMLNAMGEMAVANPVFAHSQLRSLVKFVDPLLRSPIVGDVAYDTSVKLAHCLVHPLCNWALDIATALRLIVTDEVRIQLELIPTVDEVAEERPSLGLFERIVNGLSVSCKSGPLPVDSFTFVFPIMERVLLASKRTGLHDDVLRILYMHMDPLLPLPRLRMLSALYHVLGVVPAYQASVGPALNELCLGLQPDEVASALYGVYAKDVHVRMACLNALKCIPSVSGRALPQSVEVATNIWIALHDPEKSIAEAAEDIWDRYGYDFGTDYSGIFKALSHINYNVRLAAAEALAAALDENPDSIQESLSTLFSLYIRDSGFGEENLDVGWLGRQGIALALHSAADVLRTKDLPVVMTFLISRALADPNADVRGRMINAGIMIIDRHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLDVLNTPSEAVQRAVSSCLSPLMSSKQDDAAALVSRLLDQLMKSEKYGERRGAAFGLAGVVKGFGLSSLKKYGVVAVLREGFADRNSAKSREGALLAFECLCEYLGRLFEPYVIQMLPLLLVSFSDQVIAVREAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEISSLVPTLLMGLTDPNDYTKYSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSADTKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGTEYFENVLPDIIRNCSHQKASVRDGYLTLFKYFPRSLGVQFQNYLQLVLPAILDGLADENESVRDAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSITVRQAALHVWKTIVANTPKTLKEIMPVLMNTLITSLASASSERRQVAGRALGELVRKLGERVLPLIIPILSQGLKDPDASRRQGVCIGLSEVMASAGKSQLLSFMDELIPTIRAALCDSVPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDETSDTALDGLKQILSVRTTAVLPHILPKLVHRPLSAFNAHALGALAEVAGPGLNYHLGTILPALLSAMGGNDVGVQPLAKEAAETAVLVIDEEGIEPLISELLKGVADSEASIRRSSSYLIGYFFKNSKLYLVDEAPNMISTLIILLSDTDSATVAVAWEALSMVVNSVPKEVLPSYIKLVRDAVSSARDKERRKKKVSPVVIPGFSLPKALQPLLPIFLQGLISGSAELREQAALGLGELIEVTSEQSLKQFVIPITGPLIRIIGDRFPWQVKSAILSTLSIMIRKGGIGLKPFLPQLQTTFIKCLQDNTRTVRSSAALALGKLSALSSRVDPLVSDLLSSLQASDSGVREAILTALKGVVKHAGKSVSPATRTRIYTLLKDLIHHDDDQVRMFASSILGVISQYMEESELSDLLQELLDLSSSSNWADRHGAVLTLSSLLRHNPSTIFMSPECPSILLRLKSSLKDEKFPLRETSTKALGRLLLYQVQSDPLNSAALLDVLSSVLSALRDDSSEVRRRALSAIKGASKANPSVIMTHLSLIGPALAECLKDSSTPVRLAAERCALHSFQLTKGTENVQAAQKYITGLDARRIAKFPEHSDDSDESEDEQASS >KJB44772 pep chromosome:Graimondii2_0_v6:7:45892141:45909912:1 gene:B456_007G272000 transcript:KJB44772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MADSSPMESLISIAGSVSTASTKKRVRIFRKELPPIIANSDMSPEFTSLLVDIIFTTFPIYDDGGSRKAVNDVIVRTLGEVTFMKSFAAALVQAMEKQSKFQSHVGCYSLLKWSCLLLSRSQFATVSKNALCRVAAAQASLLHIVMQRSFRERRACRKTFFHLFSQSPDVYDSYIEEIKDARIPYKDTPELLCLLLEFSSSVPSKFEQSKPIFLDIYVKAVLNAREKPTKGLSESFRPLFARMSHEDLQSVVIPSSVKMLKRNPEIVLDSVGILLSSVDLDLSKYAKEILSVILPQARHAEDGRRVVALAIVRCLSQKSSNPDACESMFNVVKAVLGGSEGRLAFPYQRIGMINALLELSNAPEGKYLNSLARAVCGFLLTYYKDEGNEEVKLAILSAIASWAARFADALQPDLVSFLASGLKEKEALRRGHLRCLQAISKNPDALLQISSLLVPLVQLVKTGFTKAVQRLDGIYALNIVGKIAAADIKAEETLAKEKIWSLISQNEPSLVANSMVAKLSIEDCMSCVDLLEVLLVEHSRRVLETFSAKLLLQLLLFLMCHSSWDVRRKTYDATKKIVAAAPQLSEVLLLEFTDFLSLVGEKISTLKISDADNSPDNQLHNVPSVEVLVKALAVISSTALATTPSDSTRIIFCSHHPCIVGTAKRDAVWRRLHKCLRTLGFDVIEIISTNVGNICESLIGPMGLMSANPLEQRAAICSLCTLMSIAPEDTFSQFEKHHTDLPDRHSHDMLSENDIQIFRTPEGILSNEQGVYVAESITSKNSKPIEDHINSNHHGKRELSSRAAGVGGRKDTGKSTKKADKGKTAKEEAREQLLREEASIREKVRGIQKNLSLMLNAMGEMAVANPVFAHSQLRSLVKFVDPLLRSPIVGDVAYDTSVKLAHCLVHPLCNWALDIATALRLIVTDEVRIQLELIPTVDEVAEERPSLGLFERIVNGLSVSCKSGPLPVDSFTFVFPIMERVLLASKRTGLHDDVLRILYMHMDPLLPLPRLRMLSALYHVLGVVPAYQASVGPALNELCLGLQPDEVASALYGVYAKDVHVRMACLNALKCIPSVSGRALPQSVEVATNIWIALHDPEKSIAEAAEDIWDRYGYDFGTDYSGIFKALSHINYNVRLAAAEALAAALDENPDSIQESLSTLFSLYIRDSGFGEENLDVGWLGRQGIALALHSAADVLRTKDLPVVMTFLISRALADPNADVRGRMINAGIMIIDRHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLDVLNTPSEAVQRAVSSCLSPLMSSKQDDAAALVSRLLDQLMKSEKYGERRGAAFGLAGVVKGFGLSSLKKYGVVAVLREGFADRNSAKSREGALLAFECLCEYLGRLFEPYVIQMLPLLLVSFSDQVIAVREAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEISSLVPTLLMGLTDPNDYTKYSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSADTKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGTEYFENVLPDIIRNCSHQKASVRDGYLTLFKYFPRSLGVQFQNYLQLVLPAILDGLADENESVRDAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSITVRQAALHVWKTIVANTPKTLKEIMPVLMNTLITSLASASSERRQVAGRALGELVRKLGERVLPLIIPILSQGLKDPDASRRQGVCIGLSEVMASAGKSQLLSFMDELIPTIRAALCDSVPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDETSDTALDGLKQILSVRTTAVLPHILPKLVHRPLSAFNAHALGALAEVAGPGLNYHLGTILPALLSAMGGNDVGVQPLAKEAAETAVLVIDEEGIEPLISELLKGVADSEASIRRSSSYLIGYFFKNSKLYLVDEAPNMISTLIILLSDTDSATVAVAWEALSMVVNSVPKEVLPSYIKLVRDAVSSARDKERRKKKGGPVVIPGFSLPKALQPLLPIFLQGLISGSAELREQAALGLGELIEVTSEQSLKQFVIPITGYVLLETNRLK >KJB44770 pep chromosome:Graimondii2_0_v6:7:45891932:45913094:1 gene:B456_007G272000 transcript:KJB44770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MADSSPMESLISIAGSVSTASTKKRVRIFRKELPPIIANSDMSPEFTSLLVDIIFTTFPIYDDGGSRKAVNDVIVRTLGEVTFMKSFAAALVQAMEKQSKFQSHVGCYSLLKWSCLLLSRSQFATVSKNALCRVAAAQASLLHIVMQRSFRERRACRKTFFHLFSQSPDVYDSYIEEIKDARIPYKDTPELLCLLLEFSSSVPSKFEQSKPIFLDIYVKAVLNAREKPTKGLSESFRPLFARMSHEDLQSVVIPSSVKMLKRNPEIVLDSVGILLSSVDLDLSKYAKEILSVILPQARHAEDGRRVVALAIVRCLSQKSSNPDACESMFNVVKAVLGGSEGRLAFPYQRIGMINALLELSNAPEGKYLNSLARAVCGFLLTYYKDEGNEEVKLAILSAIASWAARFADALQPDLVSFLASGLKEKEALRRGHLRCLQAISKNPDALLQISSLLVPLVQLVKTGFTKAVQRLDGIYALNIVGKIAAADIKAEETLAKEKIWSLISQNEPSLVANSMVAKLSIEDCMSCVDLLEVLLVEHSRRVLETFSAKLLLQLLLFLMCHSSWDVRRKTYDATKKIVAAAPQLSEVLLLEFTDFLSLVGEKISTLKISDADNSPDNQLHNVPSVEVLVKALAVISSTALATTPSDSTRIIFCSHHPCIVGTAKRDAVWRRLHKCLRTLGFDVIEIISTNVGNICESLIGPMGLMSANPLEQRAAICSLCTLMSIAPEDTFSQFEKHHTDLPDRHSHDMLSENDIQIFRTPEGILSNEQGVYVAESITSKNSKPIEDHINSNHHGKRELSSRAAGVGGRKDTGKSTKKADKGKTAKEEAREQLLREEASIREKVRGIQKNLSLMLNAMGEMAVANPVFAHSQLRSLVKFVDPLLRSPIVGDVAYDTSVKLAHCLVHPLCNWALDIATALRLIVTDEVRIQLELIPTVDEVAEERPSLGLFERIVNGLSVSCKSGPLPVDSFTFVFPIMERVLLASKRTGLHDDVLRILYMHMDPLLPLPRLRMLSALYHVLGVVPAYQASVGPALNELCLGLQPDEVASALYGVYAKDVHVRMACLNALKCIPSVSGRALPQSVEVATNIWIALHDPEKSIAEAAEDIWDRYGYDFGTDYSGIFKALSHINYNVRLAAAEALAAALDENPDSIQESLSTLFSLYIRDSGFGEENLDVGWLGRQGIALALHSAADVLRTKDLPVVMTFLISRALADPNADVRGRMINAGIMIIDRHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLDVLNTPSEAVQRAVSSCLSPLMSSKQDDAAALVSRLLDQLMKSEKYGERRGAAFGLAGVVKGFGLSSLKKYGVVAVLREGFADRNSAKSREGALLAFECLCEYLGRLFEPYVIQMLPLLLVSFSDQVIAVREAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEISSLVPTLLMGLTDPNDYTKYSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSADTKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGTEYFENVLPDIIRNCSHQKASVRDGYLTLFKYFPRSLGVQFQNYLQLVLPAILDGLADENESVRDAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSITVRQAALHVWKTIVANTPKTLKEIMPVLMNTLITSLASASSERRQVAGRALGELVRKLGERVLPLIIPILSQGLKDPDASRRQGVCIGLSEVMASAGKSQLLSFMDELIPTIRAALCDSVPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDETSDTALDGLKQILSVRTTAVLPHILPKLVHRPLSAFNAHALGALAEVAGPGLNYHLGTILPALLSAMGGNDVGVQPLAKEAAETAVLVIDEEGIEPLISELLKGVADSEASIRRSSSYLIGYFFKNSKLYLVDEAPNMISTLIILLSDTDSATVAVAWEALSMVVNSVPKEVLPSYIKLVRDAVSSARDKERRKKKGGPVVIPGFSLPKALQPLLPIFLQGLISGSAELREQAALGLGELIEVTSEQSLKQFVIPITGPLIRIIGDRFPWQVKSAILSTLSIMIRKGGIGLKPFLPQLQTTFIKCLQDNTRTVRSSAALALGKLSALSSRVDPLVSDLLSSLQASDSGVREAILTALKGVVKHAGKSVSPATRTRIYTLLKDLIHHDDDQVRMFASSILGVISQYMEESELSDLLQELLDLSSSSNWADRHGAVLTLSSLLRHNPSTIFMSPECPSILLRLKSSLKDEKFPLRETSTKALGRLLLYQVQSDPLNSAALLDVLSSVLSALRDDSSEVRRRALSAIKGASKANPSVIMTHLSLIGPALAECLKDSSTPVRLAAERCALHSFQLTKGTENVQAAQKYITGLDARRIAKFPEHSDDSDESEDEQASS >KJB44773 pep chromosome:Graimondii2_0_v6:7:45892141:45913016:1 gene:B456_007G272000 transcript:KJB44773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MADSSPMESLISIAGSVSTASTKKRVRIFRKELPPIIANSDMSPEFTSLLVDIIFTTFPIYDDGGSRKAVNDVIVRTLGEVTFMKSFAAALVQAMEKQSKFQSHVGCYSLLKWSCLLLSRSQFATVSKNALCRVAAAQASLLHIVMQRSFRERRACRKTFFHLFSQSPDVYDSYIEEIKDARIPYKDTPELLCLLLEFSSSVPSKFEQSKPIFLDIYVKAVLNAREKPTKGLSESFRPLFARMSHEDLQSVVIPSSVKMLKRNPEIVLDSVGILLSSVDLDLSKYAKEILSVILPQARHAEDGRRVVALAIVRCLSQKSSNPDACESMFNVVKAVLGGSEGRLAFPYQRIGMINALLELSNAPEGKYLNSLARAVCGFLLTYYKDEGNEEVKLAILSAIASWAARFADALQPDLVSFLASGLKEKEALRRGHLRCLQAISKNPDALLQISSLLVPLVQLVKTGFTKAVQRLDGIYALNIVGKIAAADIKAEETLAKEKIWSLISQNEPSLVANSMVAKLSIEDCMSCVDLLEVLLVEHSRRVLETFSAKLLLQLLLFLMCHSSWDVRRKTYDATKKIVAAAPQLSEVLLLEFTDFLSLVGEKISTLKISDADNSPDNQLHNVPSVEVLVKALAVISSTALATTPSDSTRIIFCSHHPCIVGTAKRDAVWRRLHKCLRTLGFDVIEIISTNVGNICESLIGPMGLMSANPLEQRAAICSLCTLMSIAPEDTFSQFEKHHTDLPDRHSHDMLSENDIQIFRTPEGILSNEQGVYVAESITSKNSKPIEDHINSNHHGKRELSSRAAGVGGRKDTGKSTKKADKGKTAKEEAREQLLREEASIREKVRGIQKNLSLMLNAMGEMAVANPVFAHSQLRSLVKFVDPLLRSPIVGDVAYDTSVKLAHCLVHPLCNWALDIATALRLIVTDEVRIQLELIPTVDEVAEERPSLGLFERIVNGLSVSCKSGPLPVDSFTFVFPIMERVLLASKRTGLHDDVLRILYMHMDPLLPLPRLRMLSALYHVLGVVPAYQASVGPALNELCLGLQPDEVASALYGVYAKDVHVRMACLNALKCIPSVSGRALPQSVEVATNIWIALHDPEKSIAEAAEDIWDRYGYDFGTDYSGIFKALSHINYNVRLAAAEALAAALDENPDSIQESLSTLFSLYIRDSGFGEENLDVGWLGRQGIALALHSAADVLRTKDLPVVMTFLISRALADPNADVRGRMINAGIMIIDRHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLDVLNTPSEAVQRAVSSCLSPLMSSKQDDAAALVSRLLDQLMKSEKYGERRGAAFGLAGVVKGFGLSSLKKYGVVAVLREGFADRNSAKSREGALLAFECLCEYLGRLFEPYVIQMLPLLLVSFSDQVIAVREAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEISSLVPTLLMGLTDPNDYTKYSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSADTKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGTEYFENVLPDIIRNCSHQKASVRDGYLTLFKYFPRSLGVQFQNYLQLVLPAILDGLADENESVRDAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSITVRQAALHVWKTIVANTPKTLKEIMPVLMNTLITSLASASSERRQVAGRALGELVRKLGERVLPLIIPILSQGLKDPDASRRQGVCIGLSEVMASAGKSQLLSFMDELIPTIRAALCDSVPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDETSDTALDGLKQILSVRTTAVLPHILPKLVHRPLSAFNAHALGALAEVAGPGLNYHLGTILPALLSAMGGNDVGVQPLAKEAAETAVLVIDEEGIEPLISELLKGVADSEASIRRSSSYLIGYFFKNSKLYLVDEAPNMISTLIILLSDTDSATVAVAWEALSMVVNSVPKEVLPSYIKLVRDAVSSARDKERRKKKGGPVVIPGFSLPKALQPLLPIFLQGLISGSAELREQAALGLGELIEVTSEQSLKQFVIPITGPLIRIIGDRFPWQVKSAILSTLSIMIRKGGIGLKPFLPQLQTTFIKCLQDNTRSTVRSSAALALGKLSALSSRVDPLVSDLLSSLQASDSGVREAILTALKGVVKHAGKSVSPATRTRIYTLLKDLIHHDDDQVRMFASSILGVISQYMEESELSDLLQELLDLSSSSNWADRHGAVLTLSSLLRHNPSTIFMSPECPSILLRLKSSLKDEKFPLRETSTKALGRLLLYQVQSDPLNSAALLDVLSSVLSALRDDSSEVRRRALSAIKGASKANPSVIMTHLSLIGPALAECLKDSSTPVRLAAERCALHSFQLTKGTENVQAAQKYITGLDARRIAKFPEHSDDSDESEDEQASS >KJB44777 pep chromosome:Graimondii2_0_v6:7:45894470:45913016:1 gene:B456_007G272000 transcript:KJB44777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MLKKDGHFELSSFLAGNEEVKLAILSAIASWAARFADALQPDLVSFLASGLKEKEALRRGHLRCLQAISKNPDALLQISSLLVPLVQLVKTGFTKAVQRLDGIYALNIVGKIAAADIKAEETLAKEKIWSLISQNEPSLVANSMVAKLSIEDCMSCVDLLEVLLVEHSRRVLETFSAKLLLQLLLFLMCHSSWDVRRKTYDATKKIVAAAPQLSEVLLLEFTDFLSLVGEKISTLKISDADNSPDNQLHNVPSVEVLVKALAVISSTALATTPSDSTRIIFCSHHPCIVGTAKRDAVWRRLHKCLRTLGFDVIEIISTNVGNICESLIGPMGLMSANPLEQRAAICSLCTLMSIAPEDTFSQFEKHHTDLPDRHSHDMLSENDIQIFRTPEGILSNEQGVYVAESITSKNSKPIEDHINSNHHGKRELSSRAAGVGGRKDTGKSTKKADKGKTAKEEAREQLLREEASIREKVRGIQKNLSLMLNAMGEMAVANPVFAHSQLRSLVKFVDPLLRSPIVGDVAYDTSVKLAHCLVHPLCNWALDIATALRLIVTDEVRIQLELIPTVDEVAEERPSLGLFERIVNGLSVSCKSGPLPVDSFTFVFPIMERVLLASKRTGLHDDVLRILYMHMDPLLPLPRLRMLSALYHVLGVVPAYQASVGPALNELCLGLQPDEVASALYGVYAKDVHVRMACLNALKCIPSVSGRALPQSVEVATNIWIALHDPEKSIAEAAEDIWDRYGYDFGTDYSGIFKALSHINYNVRLAAAEALAAALDENPDSIQESLSTLFSLYIRDSGFGEENLDVGWLGRQGIALALHSAADVLRTKDLPVVMTFLISRALADPNADVRGRMINAGIMIIDRHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLDVLNTPSEAVQRAVSSCLSPLMSSKQDDAAALVSRLLDQLMKSEKYGERRGAAFGLAGVVKGFGLSSLKKYGVVAVLREGFADRNSAKSREGALLAFECLCEYLGRLFEPYVIQMLPLLLVSFSDQVIAVREAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEISSLVPTLLMGLTDPNDYTKYSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSADTKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGTEYFENVLPDIIRNCSHQKASVRDGYLTLFKYFPRSLGVQFQNYLQLVLPAILDGLADENESVRDAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSITVRQAALHVWKTIVANTPKTLKEIMPVLMNTLITSLASASSERRQVAGRALGELVRKLGERVLPLIIPILSQGLKDPDASRRQGVCIGLSEVMASAGKSQLLSFMDELIPTIRAALCDSVPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDETSDTALDGLKQILSVRTTAVLPHILPKLVHRPLSAFNAHALGALAEVAGPGLNYHLGTILPALLSAMGGNDVGVQPLAKEAAETAVLVIDEEGIEPLISELLKGVADSEASIRRSSSYLIGYFFKNSKLYLVDEAPNMISTLIILLSDTDSATVAVAWEALSMVVNSVPKEVLPSYIKLVRDAVSSARDKERRKKKGGPVVIPGFSLPKALQPLLPIFLQGLISGSAELREQAALGLGELIEVTSEQSLKQFVIPITGPLIRIIGDRFPWQVKSAILSTLSIMIRKGGIGLKPFLPQLQTTFIKCLQDNTRTVRSSAALALGKLSALSSRVDPLVSDLLSSLQASDSGVREAILTALKGVVKHAGKSVSPATRTRIYTLLKDLIHHDDDQVRMFASSILGVISQYMEESELSDLLQELLDLSSSSNWADRHGAVLTLSSLLRHNPSTIFMSPECPSILLRLKSSLKDEKFPLRETSTKALGRLLLYQVQSDPLNSAALLDVLSSVLSALRDDSSEVRRRALSAIKGASKANPSVIMTHLSLIGPALAECLKDSSTPVRLAAERCALHSFQLTKGTENVQAAQKYITGLDARRIAKFPEHSDDSDESEDEQASS >KJB44771 pep chromosome:Graimondii2_0_v6:7:45892141:45903870:1 gene:B456_007G272000 transcript:KJB44771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MADSSPMESLISIAGSVSTASTKKRVRIFRKELPPIIANSDMSPEFTSLLVDIIFTTFPIYDDGGSRKAVNDVIVRTLGEVTFMKSFAAALVQAMEKQSKFQSHVGCYSLLKWSCLLLSRSQFATVSKNALCRVAAAQASLLHIVMQRSFRERRACRKTFFHLFSQSPDVYDSYIEEIKDARIPYKDTPELLCLLLEFSSSVPSKFEQSKPIFLDIYVKAVLNAREKPTKGLSESFRPLFARMSHEDLQSVVIPSSVKMLKRNPEIVLDSVGILLSSVDLDLSKYAKEILSVILPQARHAEDGRRVVALAIVRCLSQKSSNPDACESMFNVVKAVLGGSEGRLAFPYQRIGMINALLELSNAPEGKYLNSLARAVCGFLLTYYKDEGNEEVKLAILSAIASWAARFADALQPDLVSFLASGLKEKEALRRGHLRCLQAISKNPDALLQISSLLVPLVQLVKTGFTKAVQRLDGIYALNIVGKIAAADIKAEETLAKEKIWSLISQNEPSLVANSMVAKLSIEDCMSCVDLLEVLLVEHSRRVLETFSAKLLLQLLLFLMCHSSWDVRRKTYDATKKIVAAAPQLSEVLLLEFTDFLSLVGEKISTLKISDADNSPDNQLHNVPSVEVLVKALAVISSTALATTPSDSTRIIFCSHHPCIVGTAKRDAVWRRLHKCLRTLGFDVIEIISTNVGNICESLIGPMGLMSANPLEQRAAICSLCTLMSIAPEDTFSQFEKHHTDLPDRHSHDMLSENDIQIFRTPEGILSNEQGVYVAESITSKNSKPIEDHINSNHHGKRELSSRAAGVGGRKDTGKSTKKADKGKTAKEEAREQLLREEASIREKVRGIQKNLSLMLNAMGEMAVANPVFAHSQLRSLVKFVDPLLRSPIVGDVAYDTSVKLAHCLVHPLCNWALDIATALRLIVTDEVRIQLELIPTVDEVAEERPSLGLFERIVNGLSVSCKSGPLPVDSFTFVFPIMERVLLASKRTGLHDDVLRILYMHMDPLLPLPRLRMLSALYHVLGVVPAYQASVGPALNELCLGLQPDEVASALYGVYAKDVHVRMACLNALKCIPSVSGRALPQSVEVATNIWIALHDPEKSIAEAAEDIWDRYGYDFGTDYSGIFKALSHINYNVRLAAAEALAAALDENPDSIQESLSTLFSLYIRDSGFGEENLDVGWLGRQGIALALHSAADVLRTKDLPVVMTFLISRALADPNADVRGRMINAGIMIIDRHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLDVLNTPSEAVQRAVSSCLSPLMSSKQDDAAALVSRLLDQLMKSEKYGERRGAAFGLAGVVKGFGLSSLKKYGVVAVLREGFADRNSAKSREGALLAFECLCEYLGRLFEPYVIQMLPLLLVSFSDQVIAVREAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEISSLVPTLLMGLTDPNDYTKYSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSADTKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVRIFQLLNFDMYVLKNASS >KJB44775 pep chromosome:Graimondii2_0_v6:7:45892141:45913016:1 gene:B456_007G272000 transcript:KJB44775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MADSSPMESLISIAGSVSTASTKKRVRIFRKELPPIIANSDMSPEFTSLLVDIIFTTFPIYDDGGSRKAVNDVIVRTLGEVTFMKSFAAALVQAMEKQSKFQSHVGCYSLLKWSCLLLSRSQFATVSKNALCRVAAAQASLLHIVMQRSFRERRACRKTFFHLFSQSPDVYDSYIEEIKDARIPYKDTPELLCLLLEFSSSVPSKFEQSKPIFLDIYVKAVLNAREKPTKGLSESFRPLFARMSHEDLQSVVIPSSVKMLKRNPEIVLDSVGILLSSVDLDLSKYAKEILSVILPQARHAEDGRRVVALAIVRCLSQKSSNPDACESMFNVVKAVLGGSEGRLAFPYQRIGMINALLELSNAPEGKYLNSLARAVCGFLLTYYKDEGNEEVKLAILSAIASWAARFADALQPDLVSFLASGLKEKEALRRGHLRCLQAISKNPDALLQISSLLVPLVQLVKTGFTKAVQPKLLLQLLLFLMCHSSWDVRRKTYDATKKIVAAAPQLSEVLLLEFTDFLSLVGEKISTLKISDADNSPDNQLHNVPSVEVLVKALAVISSTALATTPSDSTRIIFCSHHPCIVGTAKRDAVWRRLHKCLRTLGFDVIEIISTNVGNICESLIGPMGLMSANPLEQRAAICSLCTLMSIAPEDTFSQFEKHHTDLPDRHSHDMLSENDIQIFRTPEGILSNEQGVYVAESITSKNSKPIEDHINSNHHGKRELSSRAAGVGGRKDTGKSTKKADKGKTAKEEAREQLLREEASIREKVRGIQKNLSLMLNAMGEMAVANPVFAHSQLRSLVKFVDPLLRSPIVGDVAYDTSVKLAHCLVHPLCNWALDIATALRLIVTDEVRIQLELIPTVDEVAEERPSLGLFERIVNGLSVSCKSGPLPVDSFTFVFPIMERVLLASKRTGLHDDVLRILYMHMDPLLPLPRLRMLSALYHVLGVVPAYQASVGPALNELCLGLQPDEVASALYGVYAKDVHVRMACLNALKCIPSVSGRALPQSVEVATNIWIALHDPEKSIAEAAEDIWDRYGYDFGTDYSGIFKALSHINYNVRLAAAEALAAALDENPDSIQESLSTLFSLYIRDSGFGEENLDVGWLGRQGIALALHSAADVLRTKDLPVVMTFLISRALADPNADVRGRMINAGIMIIDRHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLDVLNTPSEAVQRAVSSCLSPLMSSKQDDAAALVSRLLDQLMKSEKYGERRGAAFGLAGVVKGFGLSSLKKYGVVAVLREGFADRNSAKSREGALLAFECLCEYLGRLFEPYVIQMLPLLLVSFSDQVIAVREAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEISSLVPTLLMGLTDPNDYTKYSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSADTKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGTEYFENVLPDIIRNCSHQKASVRDGYLTLFKYFPRSLGVQFQNYLQLVLPAILDGLADENESVRDAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSITVRQAALHVWKTIVANTPKTLKEIMPVLMNTLITSLASASSERRQVAGRALGELVRKLGERVLPLIIPILSQGLKDPDASRRQGVCIGLSEVMASAGKSQLLSFMDELIPTIRAALCDSVPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDETSDTALDGLKQILSVRTTAVLPHILPKLVHRPLSAFNAHALGALAEVAGPGLNYHLGTILPALLSAMGGNDVGVQPLAKEAAETAVLVIDEEGIEPLISELLKGVADSEASIRRSSSYLIGYFFKNSKLYLVDEAPNMISTLIILLSDTDSATVAVAWEALSMVVNSVPKEVLPSYIKLVRDAVSSARDKERRKKKGGPVVIPGFSLPKALQPLLPIFLQGLISGSAELREQAALGLGELIEVTSEQSLKQFVIPITGPLIRIIGDRFPWQVKSAILSTLSIMIRKGGIGLKPFLPQLQTTFIKCLQDNTRTVRSSAALALGKLSALSSRVDPLVSDLLSSLQASDSGVREAILTALKGVVKHAGKSVSPATRTRIYTLLKDLIHHDDDQVRMFASSILGVISQYMEESELSDLLQELLDLSSSSNWADRHGAVLTLSSLLRHNPSTIFMSPECPSILLRLKSSLKDEKFPLRETSTKALGRLLLYQVQSDPLNSAALLDVLSSVLSALRDDSSEVRRRALSAIKGASKANPSVIMTHLSLIGPALAECLKDSSTPVRLAAERCALHSFQLTKGTENVQAAQKYITGLDARRIAKFPEHSDDSDESEDEQASS >KJB44776 pep chromosome:Graimondii2_0_v6:7:45892141:45913016:1 gene:B456_007G272000 transcript:KJB44776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MADSSPMESLISIAGSVSTASTKKRVRIFRKELPPIIANSDMSPEFTSLLVDIIFTTFPIYDDGGSRKAVNDVIVRTLGEVTFMKSFAAALVQAMEKQSKFQSHVGCYSLLKWSCLLLSRSQFATVSKNALCRVAAAQASLLHIVMQRSFRERRACRKTFFHLFSQSPDVYDSYIEEIKDARIPYKDTPELLCLLLEFSSSVPSKFEQSKPIFLDIYVKAVLNAREKPTKGLSESFRPLFARMSHEDLQSVVIPSSVKMLKRNPEIVLDSVGILLSSVDLDLSKYAKEILSVILPQARHAEDGRRVVALAIVRCLSQKSSNPDACESMFNVVKAVLGGSEGRLAFPYQRIGMINALLELSNAPEGKYLNSLARAVCGFLLTYYKDEGNEEVKLAILSAIASWAARFADALQPDLVSFLASGLKEKEALRRGHLRCLQAISKNPDALLQISSLLVPLVQLVKTGFTKAVQRLDGIYALNIVGKIAAADIKAEETLAKEKIWSLISQNEPSLVANSMVAKLSIEDCMSCVDLLEVLLVEHSRRVLETFSAKLLLQLLLFLMCHSSWDVRRKTYDATKKIVAAAPQLSEVLLLEFTDFLSLVGEKISTLKISDADNSPDNQLHNVPSVEVLVKALAVISSTALATTPSDSTRIIFCSHHPCIVGTAKRDAVWRRLHKCLRTLGFDVIEIISTNVGNICESLIGPMGLMSANPLEQRAAICSLCTLMSIAPEDTFSQFEKHHTDLPDRHSHDMLSENDIQIFRTPEGILSNEQGVYVAESITSKNSKPIEDHINSNHHGKRELSSRAAGVGGRKDTGKSTKKADKGKTAKEEAREQLLREEASIREKVRGIQKNLSLMLNAMGEMAVANPVFAHSQLRSLVKFVDPLLRSPIVGDVAYDTSVKLAHCLVHPLCNWALDIATALRLIVTDEVRIQLELIPTVDEVAEERPSLGLFERIVNGLSVSCKSGPLPVDSFTFVFPIMERVLLASKRTGLHDDVLRILYMHMDPLLPLPRLRMLSALYHVLGVVPAYQASVGPALNELCLGLQPDEVASALYGVYAKDVHVRMACLNALKCIPSVSGRALPQSVEVATNIWIALHDPEKSIAEAAEDIWDRYGYDFGTDYSGIFKALSHINYNVRLAAAEALAAALDENPDSIQESLSTLFSLYIRDSGFGEENLDVGWLGRQGIALALHSAADVLRTKDLPVVMTFLISRALADPNADVRGRMINAGIMIIDRHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLDVLNTPSEAVQRAVSSCLSPLMSSKQDDAAALVSRLLDQLMKSEKYGERRGAAFGLAGVVKGFGLSSLKKYGVVAVLREGFADRNSAKSREGALLAFECLCEYLGRLFEPYVIQMLPLLLVSFSDQVIAVREAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEISSLVPTLLMGLTDPNDYTKYSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSADTKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGTEYFENVLPDIIRNCSHQKASVRDGYLTLFKYFPRSLGVQFQNYLQLVLPAILDGLADENESVRDAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSITVRQAALHVWKTIVANTPKTLKEIMPVLMNTLITSLASASSERRQVAGRALGELVRKLGERVLPLIIPILSQGLKDPDASRRQGVCIGLSEVMASAGKSQLLSFMDELIPTIRAALCDSVPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDETSDTALDGLKQILSVRTTAVLPHILPKLVHRPLSAFNAHALGALAEVAGPGLNYHLGTILPALLSAMGGNDVGVQPLAKEAAETAVLVIDEEGIEPLISELLKGVADSEASIRRSSSYLIGYFFKNSKLYLVDEAPNMISTLIILLSDTDSATVAVAWEALSMVVNSVPKEVLPSYIKLVRDAVSSARDKERRKKKGGPVVIPGFSLPKALQPLLPIFLQGLISGSAELREQAALGLGELIEVTSEQSLKQFVIPITGPLIRIIGDRFPWQVKSAILSTLSIMIRKGGIGLKPFLPQLQTTFIKCLQDNTRTVRSSAALALGKLSALSSRVDPLVSDLLSSLQASDSGVREAILTALKGVVKHAGKSVSPATRTRIYTLLKDLIHHDDDQVRMFASSILGVISQYMEESELSDLLQELLDLSSSSNWADRHGAVLTLSSLLRHNPSTIFMSPECPSILLRLKSSLKDEKFPLRETSTKALGRLLLYQVQSDPLNSAALLDVLSSVLSALRDDSSEVRRRALSAIKGASKVRAPCFNSMLKA >KJB43719 pep chromosome:Graimondii2_0_v6:7:25728218:25731334:-1 gene:B456_007G221400 transcript:KJB43719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFESAALIGSQVMSNWMVGGNLEKEIACPSTAAILTAIVGIICISRVYGGSPQTVTFKEYKVSFYSYILCDRRIWLLACAQSCLHFSIVVFWILWAPTLVADGREVYLGLMYPCVLGARMLGSTLFPWFINAPLRTEDCLVYAFIIQGLLLSIIAFDYQEIGALVTQYFLFHACVGLILPSLARLRTMYVPNELRGGMISLSLAPTNAAILFILMQRGYYRTVENSEVIAFAAVGLFAAAGCMYVLKRLGKQPYQNWHKL >KJB43721 pep chromosome:Graimondii2_0_v6:7:25728920:25731599:-1 gene:B456_007G221400 transcript:KJB43721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIESSVWVPSSSVYIFIFLSCFFSLSLFPFYLSKHAPTKSPPFYDHPTFASSLRFQRYFLLLYSLASVLEGLWSVYGEFELVYYGITKEDTVTFMLIGFGAALFVGSFLGLLSDLIGRKKSCLVFYIFHLVVGIWKRITSSPSFWVANGHRQDLLNETFWLMTFFESAALIGSQVMSNWMVGGNLEKEIACPSTAAILTAIVGIICISRVYGGSPQTVTFKEYKVSFYSYILCDRRIWLLACAQSCLHFSIVVFWILWAPTLVADGREVYLGLMYPCVLGARMLGSTLFPWFINAPLRTEDCLVYAFIIQGLLLSIIAFDYQEIGALVTQYFLFHACVGLILPSLARLRTMYVPNELRGGMISLSLAPTNAAILFILMQRGYYRTVENSEVIAFAAVGLFAAAGCMYVLKRLGKQPYQNWHKL >KJB43723 pep chromosome:Graimondii2_0_v6:7:25728158:25731792:-1 gene:B456_007G221400 transcript:KJB43723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFESAALIGSQVMSNWMVGGNLEKEIACPSTAAILTAIVGIICISRVYGGSPQTVTFKEYKVSFYSYILCDRRIWLLACAQSCLHFSIVVFWILWAPTLVADGREVYLGLMYPCVLGARMLGSTLFPWFINAPLRTEDCLVYAFIIQGLLLSIIAFDYQEIGALVTQYFLFHACVGLILPSLARLRTMYVPNELRGGMISLSLAPTNAAILFILMQRGYYRTVENSEVIAFAAVGLFAAAGCMYVLKRLGKQPYQNWHKL >KJB43720 pep chromosome:Graimondii2_0_v6:7:25728218:25731701:-1 gene:B456_007G221400 transcript:KJB43720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIESSVWVPSSSVYIFIFLSCFFSLSLFPFYLSKHAPTKSPPFYDHPTFASSLRFQRYFLLLYSLASVLEGLWSVYGEFELVYYGITKEDTVTFMLIGFGAALFVGSFLGLLSDLIGRKKSCLVFYIFHLVVGIWKRITSSPSFWVANVCLSLATSIFSSSFETWAVVEHDKGHRQDLLNETFWLMTFFESAALIGSQVMSNWMVGGNLEKEIACPSTAAILTAIVGIICISRVYGGSPQTVTFKEYKVSFYSYILCDRRIWLLACAQSCLHFSIVVFWILWAPTLVADGREVYLGLMYPCVLGARMLGSTLFPWFINAPLRTEDCLVYAFIIQGLLLSIIAFDYQEIGALVTQYFLFHACVGLILPSLARLRTMYVPNELRGGMISLSLAPTNAAILFILMQRGYYRTVENSEVIAFAAVGLFAAAGCMYVLKRLGKQPYQNWHKL >KJB43722 pep chromosome:Graimondii2_0_v6:7:25728218:25731593:-1 gene:B456_007G221400 transcript:KJB43722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGFGAALFVGSFLGLLSDLIGRKKSCLVFYIFHLVVGIWKRITSSPSFWVANVCLSLATSIFSSSFETWAVVEHDKGHRQDLLNETFWLMTFFESAALIGSQVMSNWMVGGNLEKEIACPSTAAILTAIVGIICISRVYGGSPQTVTFKEYKVSFYSYILCDRRIWLLACAQSCLHFSIVVFWILWAPTLVADGREVYLGLMYPCVLGARMLGSTLFPWFINAPLRTEDCLVYAFIIQGLLLSIIAFDYQEIGALVTQYFLFHACVGLILPSLARLRTMYVPNELRGGMISLSLAPTNAAILFILMQRGYYRTVENSEVIAFAAVGLFAAAGCMYVLKRLGKQPYQNWHKL >KJB43724 pep chromosome:Graimondii2_0_v6:7:25729356:25731599:-1 gene:B456_007G221400 transcript:KJB43724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIESSVWVPSSSVYIFIFLSCFFSLSLFPFYLSKHAPTKSPPFYDHPTFASSLRFQRYFLLLYSLASVLEGLWSVYGEFELVYYGITKEDTVTFMLIGFGAALFVGSFLGLLSDLIGRKKSCLVFYIFHLVVGIWKRITSSPSFWVANVCLSLATSIFSSSFETWAVVEHDKGHRQDLLNETFWLMTFFESAALIGSQVMSNWMVGGNLEKEIACPSTAAILTAIVGIICISRVYGGSPQTVTFKEYKVSFYSYILCDRRIWLLACAQSCLHFSIVVFWILWAPTLVADGREVYLGLMYPCVLGARMLGSTLFPWFINAPLRTEDCLVYAFIIQGLLLSIIAFDYQEIGALVTQYFLFHACVGLILPSLARLRTMYVPNELRGGMISLSLAPTNAAILFILMQVCP >KJB42171 pep chromosome:Graimondii2_0_v6:7:11613502:11616157:-1 gene:B456_007G140800 transcript:KJB42171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKQGNLVEVLRREHDPYGSWFTGNIISADGDNYIVRYKLLVDHEEKRVAEKVRGMDVRPLPPSVNGKRWAVGDIAEVFDTQCWRVAKVAKVLNNSSRFVIKFFGSIQLKEFHASSLRIRQAWHDNKWIVIGKVAENFTPKTPYRAGGLRFRTSLHFNTTMQSKARYKEGEHNNGEAHNITKWLSMRAKSKGSAHQYEEYNMDPLFGRTFKKRKPSLYSRGCDGKRTLPSYKQVDISCSHVAVDENFIKQSTNRNSRMEGKIPRCLYDSSTPVWSTEDNDQCSVASCSFNGDCVIPFSHKLMDNTPNNSDAESAFPSLCGKRDLPLPPVNKVYYIHELELRAYKSTMEALFASGPLSWEQETLLTNLRLSLKISDEEHLLQLRHLLSAQVL >KJB42175 pep chromosome:Graimondii2_0_v6:7:11613885:11615284:-1 gene:B456_007G140800 transcript:KJB42175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKQGNLVEVLRREHDPYGSWFTGNIISADGDNYIVRYKLLVDHEEKRVAEKVRGMDVRPLPPSVNGKRWAVGDIAEVFDTQCWRVAKVAKVLNNSSRFVIKFFGSIQLKEFHASSLRIRQAWHDNKWIVIGKVAENFTPKTPYRAGGLRFRTSLHFNTTMQSKARYKEGEHNNGEAHNITKWLSMRAKSKGSAHQYEEYNMDPLFGRTFKKRKPSLYSRGCDGKRTLPSYKQVDISCSHVAVDENFIKQSTNRNSRMEGKIPRCLYDSSTPVWSTEDNDQCSVASCSFNGDCVIPFSHKLMDNTPNNSDAESAFPSLCGKRDLPLPPVNKVYYIHELELRAYKSTMEALFASGPLSWEQETLLTNLRLSLKISDEEHLLQLRHLLSAQVL >KJB42172 pep chromosome:Graimondii2_0_v6:7:11613679:11616030:-1 gene:B456_007G140800 transcript:KJB42172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKQGNLVEVLRREHDPYGSWFTGNIISADGDNYIVRYKLLVDHEEKRVAEKVRGMDVRPLPPSVNGKRWAVGDIAEVFDTQCWRVAKVAKVLNNSSRFVIKFFGSIQLKEFHASSLRIRQAWHDNKWIVIGKVAENFTPKTPYRAGGLRFRTSLHFNTTMQSKARYKEGEHNNGEAHNITKWLSMRAKSKGSAHQYEEYNMDPLFGRTFKKRKPSLYSRGCDGKRTLPSYKQVDISCSHVAVDENFIKQSTNRNSRMEGKIPRCLYDSSTPVWSTEDNDQCSVASCSFNGDCVIPFSHKLMDNTPNNSDAESAFPSLCGKRDLPLPPVNKVYYIHELELRAYKSTMEALFASGPLSWEQETLLTNLRLSLKISDEEHLLQLRHLLSAQVL >KJB42174 pep chromosome:Graimondii2_0_v6:7:11613679:11615690:-1 gene:B456_007G140800 transcript:KJB42174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKQGNLVEVLRREHDPYGSWFTGNIISADGDNYIVRYKLLVDHEEKRVAEKVRGMDVRPLPPSVNGKRWAVGDIAEVFDTQCWRVAKVAKVLNNSSRFVIKFFGSIQLKEFHASSLRIRQAWHDNKWIVIGKVAENFTPKTPYRAGGLRFRTSLHFNTTMQSKARYKEGEHNNGEAHNITKWLSMRAKSKGSAHQYEEYNMDPLFGRTFKKRKPSLYSRGCDGKRTLPSYKQVDISCSHVAVDENFIKQSTNRNSRMEGKIPRCLYDSSTPVWSTEDNDQCSVASCSFNGDCVIPFSHKLMDNTPNNSDAESAFPSLCGKRDLPLPPVNKVYYIHELELRAYKSTMEALFASGPLSWEQETLLTNLRLSLKISDEEHLLQLRHLLSAQVL >KJB42170 pep chromosome:Graimondii2_0_v6:7:11613601:11615806:-1 gene:B456_007G140800 transcript:KJB42170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKQGNLVEVLRREHDPYGSWFTGNIISADGDNYIVRYKLLVDHEEKRVAEKVRGMDVRPLPPSVNGKRWAVGDIAEVFDTQCWRVAKVAKVLNNSSRFVIKFFGSIQLKEFHASSLRIRQAWHDNKWIVIGKVAENFTPKTPYRAGGLRFRTSLHFNTTMQSKARYKEGEHNNGEAHNITKWLSMRAKSKGSAHQYEEYNMDPLFGRTFKKRKPSLYSRGCDGKRTLPSYKQVDISCSHVAVDENFIKQSTNRNSRMEGKIPRCLYDSSTPVWSTEDNDQCSVASCSFNGDCVIPFSHKLMDNTPNNSDAESAFPSLCGKRDLPLPPVNKVYYIHELELRAYKSTMEALFASGPLSWEQETLLTNLRLSLKISDEEHLLQLRHLLSAQVL >KJB42173 pep chromosome:Graimondii2_0_v6:7:11613679:11615630:-1 gene:B456_007G140800 transcript:KJB42173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILILFSFYYFYPGLSLDSMKFKQGNLVEVLRREHDPYGSWFTGNIISADGDNYIVRYKLLVDHEEKRVAEKVRGMDVRPLPPSVNGKRWAVGDIAEVFDTQCWRVAKVAKVLNNSSRFVIKFFGSIQLKEFHASSLRIRQAWHDNKWIVIGKVAENFTPKTPYRAGGLRFRTSLHFNTTMQSKARYKEGEHNNGEAHNITKWLSMRAKSKGSAHQYEEYNMDPLFGRTFKKRKPSLYSRGCDGKRTLPSYKQVDISCSHVAVDENFIKQSTNRNSRMEGKIPRCLYDSSTPVWSTEDNDQCSVASCSFNGDCVIPFSHKLMDNTPNNSDAESAFPSLCGKRDLPLPPVNKVYYIHELELRAYKSTMEALFASGPLSWEQETLLTNLRLSLKISDEEHLLQLRHLLSAQVL >KJB40339 pep chromosome:Graimondii2_0_v6:7:4190554:4192245:1 gene:B456_007G059100 transcript:KJB40339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH131 [Source:Projected from Arabidopsis thaliana (AT4G38070) UniProtKB/Swiss-Prot;Acc:P0CB25] MVRLKQLEQDIQQHLRRYRASISTIDFSFKSLKKVTKPKAEANIANALKHKTAERHRRKRISRQFDMLRTILPNLIKMDKASVLGETVRQVRELKNRVKEMKGASDGSLECVLPGELNSLSFGFSEKDGNLVKATFSCDDRPELIADLTREIRKVSGTVVRAEMVFIGGRNKSVLWIKGFSGNERMGMLKRALKMAIDIPKKNWNPRFNI >KJB41556 pep chromosome:Graimondii2_0_v6:7:8265696:8268724:-1 gene:B456_007G109300 transcript:KJB41556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIELGMGGGAWNDEDKAMVAAVLGTRAFDYLISSSVSNENLLMTVSSDENLQNKLSDLVDRPNASNFSWNYAIFWQISRSKSGDWALGWGDGCCREPKEGEESEATRILNLRLEDDTQQRMRKRVLQKLHTLFGGSDEDNYALGLDRVTDTEMFFLASMYFSFPQGEGGPGKCFLSGKHVWLSDALKSGSDYCVRSFLTKSAGVQTIVLVPTDVGVVELGSVRSVPESMELLQSIRSSFSSNSSLLRAKQMVAAAPVVNEKKHENPSHFSNLGIVERVEGIPKIFGQDLNNASHGHSNYREKLAVRKMEDRPTWAAYANGVKLPFTRNQNGIHAPGWPHVHGVKQGSGTEFYGSQTTANNLQELVNGAREEFRLNQYQSPKPVQMQIDFSGATSRPSPATTRPLSAESEHSDVEASCKEDKPSPADERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKVMESEREKFGSTSRDSAGLDPNINAENHIGAANIDVQAVHDEVVVRVSCPLDSHPASRVIQAFKDAHVNVLESKLVTADDTVFHTFVIKSQGSEQLTREKLIAAFSCEPNSLQPLSSLG >KJB41557 pep chromosome:Graimondii2_0_v6:7:8265650:8268811:-1 gene:B456_007G109300 transcript:KJB41557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIELGMGGGAWNDEDKAMVAAVLGTRAFDYLISSSVSNENLLMTVSSDENLQNKLSDLVDRPNASNFSWNYAIFWQISRSKSGDWALGWGDGCCREPKEGEESEATRILNLRLEDDTQQRMRKRVLQKLHTLFGGSDEDNYALGLDRVTDTEMFFLASMYFSFPQGEGGPGKCFLSGKHVWLSDALKSGSDYCVRSFLTKSAGVQTIVLVPTDVGVVELGSVRSVPESMELLQSIRSSFSSNSSLLRAKQMVAAAPVVNEKKHENPSHFSNLGIVERVEGIPKIFGQDLNNASHGHSNYREKLAVRKMEDRPTWAAYANGVKLPFTRNQNGIHAPGWPHVHGVKQGSGTEFYGSQTTANNLQELVNGAREEFRLNQYQSPKPVQMQIDFSGATSRPSPATTRPLSAESEHSDVEASCKEDKPSPADERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKVMESEREKFGSTSRDSAGLDPNINAENHIGAANIDVQAVHDEVVVRVSCPLDSHPASRVIQAFKDAHVNVLESKLVTADDTVFHTFVIKSQGSEQLTREKLIAAFSCEPNSLQPLSSLG >KJB41555 pep chromosome:Graimondii2_0_v6:7:8265777:8268402:-1 gene:B456_007G109300 transcript:KJB41555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIELGMGGGAWNDEDKAMVAAVLGTRAFDYLISSSVSNENLLMTVSSDENLQNKLSDLVDRPNASNFSWNYAIFWQISRSKSGDWALGWGDGCCREPKEGEESEATRILNLRLEDDTQQRMRKRVLQKLHTLFGGSDEDNYALGLDRVTDTEMFFLASMYFSFPQGEGGPGKCFLSGKHVWLSDALKSGSDYCVRSFLTKSAGVQTIVLVPTDVGVVELGSVRSVPESMELLQSIRSSFSSNSSLLRAKQMVAAAPVVNEKKHENPSHFSNLGIVERVEGIPKIFGQDLNNASHGHSNYREKLAVRKMEDRPTWAAYANGVKLPFTRNQNGIHAPGWPHVHGVKQGSGTEFYGSQTTANNLQELVNGAREEFRLNQYQSPKPVQMQIDFSGATSRPSPATTRPLSAESEHSDVEASCKEDKPSPADERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKVMESEREKFGSTSRDSAGLDPNINAENHIGAANIDVQAVHDEVVVRVSCPLDSHPASRVIQAFKDAHVNVLESKLVTADDTVFHTFVIKSQGSEQLTREKLIAAFSCEPNSLQPLSSLG >KJB39584 pep chromosome:Graimondii2_0_v6:7:1515385:1519296:-1 gene:B456_007G020600 transcript:KJB39584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSWRARGSLVVLAIVLFGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFDDKEVQKDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDHRIMDYFIKLIKKKHGKDISKDNKALGKLRREAERAKRALSSQHQVRVEIESLFDGIDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKRQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDKGRLSQEEIERMVREAEEFAEEDKKLKEKIDARNSLETYVYNMKNQISDKDKLADKLESDEKEKVETAVKEALEWLDDNQNAEKEDFEEKLKEVEAVCNPIITAVYQRSGGGPGGAASNEEEDDSHDEL >KJB39586 pep chromosome:Graimondii2_0_v6:7:1516792:1519097:-1 gene:B456_007G020600 transcript:KJB39586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSWRARGSLVVLAIVLFGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFDDKEVQKDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDHRIMDYFIKLIKKKHGKDISKDNKALGKLRREAERAKRALSSQHQVRVEIESLFDGIDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKRQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKGAFSSPATFSITTTRDYS >KJB39585 pep chromosome:Graimondii2_0_v6:7:1515398:1519255:-1 gene:B456_007G020600 transcript:KJB39585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDHRIMDYFIKLIKKKHGKDISKDNKALGKLRREAERAKRALSSQHQVRVEIESLFDGIDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKRQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDKGRLSQEEIERMVREAEEFAEEDKKLKEKIDARNSLETYVYNMKNQISDKDKLADKLESDEKEKVETAVKEALEWLDDNQNAEKEDFEEKLKEVEAVCNPIITAVYQRSGGGPGGAASNEEEDDSHDEL >KJB40642 pep chromosome:Graimondii2_0_v6:7:5120716:5123805:-1 gene:B456_007G072400 transcript:KJB40642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFPDEVLEHVFDFITSHKDRNSVSLVCKSWYKIERYSRQRVFIGNCYSISPERLIARFPGLKSLTLKGKPHFADFNLVPHDWGGFVYPWIKALAKSRIGLEELRLKRMVVSDESLELLSKSFVNFKSLVLVSCEGFTTDGLAAIAANCRGEVNLGALERLVSRSPNLKSLRLNRAVPLDTLQKLLMRAPQLVDLGIGSYVHDPFSEVYNKLKIAIQRCKSIRSLSGFLEVAPHCMSAIYPICGNLTFLNLSYAPGLHGNKLMKLIQHCRKLQRLWILDCIGDKGLGVVALTCKELQELRVFPSDPFGAGNAAVTEEGLVLVSAGCPKLNSLLYFCQQMTNAALITVAKNCPNFIRFRLCILDPIKPDPVTNQPLDEGFGAIVQSCKGLKRLSLSGLLTDQVFLYIGMYAEQLEMLSIAFAADSDKGMLYVLNGCKKLRKLEIRDCPFGDAALLEDVGKYETMRSLWMSSCEVTLGGCKSVAEKMPSLNVEIIDECEQMEFNLDDKQKVDKMYLYRTLVGHRKDAPEYVSIL >KJB40643 pep chromosome:Graimondii2_0_v6:7:5120975:5123142:-1 gene:B456_007G072400 transcript:KJB40643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFPDEVLEHVFDFITSHKDRNSVSLVCKSWYKIERYSRQRVFIGNCYSISPERLIARFPGLKSLTLKGKPHFADFNLVPHDWGGFVYPWIKALAKSRIGLEELRLKRMVVSDESLELLSKSFVNFKSLVLVSCEGFTTDGLAAIAANCRFLRELDLQENEVDDHRGHWLSCFPESCTSLISLNFACLRGEVNLGALERLVSRSPNLKSLRLNRAVPLDTLQKLLMRAPQLVDLGIGSYVHDPFSEVYNKLKIAIQRCKSIRSLSGFLEVAPHCMSAIYPICGNLTFLNLSYAPGLHGNKLMKLIQHCRKLQRLWILDCIGDKGLGVVALTCKELQELRVFPSDPFGAGNAAVTEEGLVLVSAGCPKLNSLLYFCQQMTNAALITVAKNCPNFIRFRLCILDPIKPDPVTNQPLDEGFGAIVQSCKGLKRLSLSGLLTDQVFLYIGMYAEQLEMLSIAFAADSDKGMLYVLNGCKKLRKLEIRDCPFGDAALLEDVGKYETMRSLWMSSCEVTLGGCKSVAEKMPSLNVEIIDECEQMEFNLDDKQKVDKMYLYRTLVGHRKDAPEYVSIL >KJB43811 pep chromosome:Graimondii2_0_v6:7:24753438:24756626:1 gene:B456_007G217700 transcript:KJB43811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKVCTMDELPCYTEPDEFEYKDDCDNLDEYDEVSDSCETVPETYPNEVVIVSADNLHSINLHSIIHGACHEQFEQIEENGKIKPGESSNLGVDMMSIAGKESLRGMRFTYAVEADEDPQPWLKEKLLTTDD >KJB46061 pep chromosome:Graimondii2_0_v6:7:57645057:57653816:1 gene:B456_007G346600 transcript:KJB46061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLARPEGFFHLHRKDLADILVVINKTEKASEGFRFLRCLGKRKVCLGVWIILLLFNVCLLHIFCFV >KJB41869 pep chromosome:Graimondii2_0_v6:7:10000402:10001588:1 gene:B456_007G125000 transcript:KJB41869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILQQSEFKETNKRCVDCSTTRTPLWRGGPAGPRSLCNACGIRYRKKNRALLGLNRESRSEKSKRGIEVRRSGIKLKSFGREVGVQHMVGNRELKSKLREEEEAAFLLMALSCGYVYA >KJB44414 pep chromosome:Graimondii2_0_v6:7:39719776:39723174:1 gene:B456_007G251400 transcript:KJB44414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIKTLPFKSPPPLTPPATTFSLNSSKPFHVCLKQRLPPSSRPLRFSFTPSLRFLNLVPFASTGGETDTIHTQQQVQEPQIEDSSDVDVAAEDDTAADESSDVEETSSSGISSLLQSYKEALASNDESKVADIEALLKSIEDEKVDLEKKMASLSEELSTEKDRVLRIGADFDNFRKRTERERLSLVANAQGEVLENLLPVLDNFERAKAQIKVKTEGEEKINNSYQSIYKQFMEILGSLGVEPVDTVGNPFDPMAKYRVGSLFSQVLDELSQIFRRVCSGFVKTWIRF >KJB44415 pep chromosome:Graimondii2_0_v6:7:39719728:39722943:1 gene:B456_007G251400 transcript:KJB44415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIKTLPFKSPPPLTPPATTFSLNSSKPFHVCLKQRLPPSSRPLRFSFTPSLRFLNLVPFASTGGETDTIHTQQQVQEPQIEDSSDVDVAAEDDTAADESSDVEETSSSGISSLLQSYKEALASNDESKVADIEALLKSIEDEKVDLEKKMASLSEELSTEKDRVLRIGADFDNFRKRTERERLSLVANAQGEVLENLLPVLDNFERAKAQIKVKTEGEEKINNSYQSIYKQFMEILGSLGVEPVDTVGNPFDPMVS >KJB44413 pep chromosome:Graimondii2_0_v6:7:39719596:39723889:1 gene:B456_007G251400 transcript:KJB44413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIKTLPFKSPPPLTPPATTFSLNSSKPFHVCLKQRLPPSSRPLRFSFTPSLRFLNLVPFASTGGETDTIHTQQQVQEPQIEDSSDVDVAAEDDTAADESSDVEETSSSGISSLLQSYKEALASNDESKVADIEALLKSIEDEKVDLEKKMASLSEELSTEKDRVLRIGADFDNFRKRTERERLSLVANAQGEVLENLLPVLDNFERAKAQIKVKTEGEEKINNSYQSIYKQFMEILGSLGVEPVDTVGNPFDPMLHEAIMQEDSTEFEEGIILQEFQKGFKLGDRLLRPAMVKVSAGPGPSKTEQGESSESADVGESKETSETAESSETTKTHREAESS >KJB44087 pep chromosome:Graimondii2_0_v6:7:29831917:29843440:-1 gene:B456_007G233500 transcript:KJB44087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTQGLDKDAFRWVRAGGAAKNRDFFGVTMAAKQALDPITSLRNAGLPPSAKFRNGHLSQNIIPVNGNDDGSESDMDISSDSEEHVHDGQYSFISSPQDDKVHNVAAASMQKSEAHGHYGPQLKLGNGAQRPAQVCGGKADTVDKQFSDSACSTEVSYMQYRSNNGGAPPGEAYNSDSYSSTVTSRVFHTGGMQKVKPFHYDTIIQDNSGDEILDQSVRTVEHGGTNESTNNMPTRRPIFHASGLGPWCAVLSYDACVRLCLNSWAKGCTEEAPYFLNQECAELRKAFSLQQVLLQPEEELLAKQSSELVSEAAAPKSKRFIGKMKVQVRKVKMGLDPPPGCNLSMVKMESVHQRFCKVNSMLHSGWEALRKVRVAPNTPVNGSLSKQSLAYLQASSQYIKEVSKLLKTGVTTLRSNSTSYEEIPETYCCLLKLKSSSEDDGTKMQPGSSETHVFLPDGLGDDLIVKVHDSKGQYCGHVVAQVVSIADDPGDKLRWWPIYHEPDHELVGRIQLYIHYSTSQEENNLKCGSVAETVAYDLLMEVAMKVQHFQERNLLLHGPWKWLVNEFASYYGVSDAYTKLRYLSYIMDVATPTADCLTLIHDLLSPVKSNTKHKLSHQENRILGEVEDQVQQILNVIFENYKSLDESLPSGMMSVFKAATGSPAPALVPAIKLYSLLHDILTPEIQLKFCGYFQAAAKKRSRRHQAETDEFILSNNGSALMDPMTLSMSYQKMKFLISSIKNEIFTDIEIHKQNILPSADLCSRLRALLVSCPPPGPSRPVIELVIATADFQRDLSSSNIKPVKGGVEAKELFHSYITCWIEEKRHSLLDSCKLDKVKCSDIKTSQSTTPFIDDMYDQLTETLNEYEIIISRWPEFTIILENAVADVEKAIIKALERQHADVLAPLKDGLAPKIIGKYVQKFTKGTAVIYVVPDELGVLLNSMKRILFVLHPKIETKLKSWCPCTPPGGNAIPGERLSEITVMLRADFRNHIQAIVEKLAENTKVQTATKLKKIIQDSKETVVESDVRSRMQPLKDLLINTIENLHSVFEPHVFITVLRNFWDRMGQDVLHFMENRRENMSWYKGLRIAISVLDEIFAAQMQKLLGNALQEKDLEPPPSVIEVRSMFARIF >KJB44086 pep chromosome:Graimondii2_0_v6:7:29832185:29843227:-1 gene:B456_007G233500 transcript:KJB44086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTQGLDKDAFRWVRAGGAAKNRDFFGVTMAAKQALDPITSLRNAGLPPSAKFRNGHLSQNIIPVNGNDDGSESDMDISSDSEEHVHDGQYSFISSPQDDKVHNVAAASMQKSEAHGHYGPQLKLGNGAQRPAQVCGGKADTVDKQFSDSACSTEVSYMQYRSNNGGAPPGEAYNSDSYSSTVTSRVFHTGGMQKVKPFHYDTIIQDNSGDEILDQSVRTVEHGGTNESTNNMPTRRPIFHASGLGPWCAVLSYDACVRLCLNSWAKGCTEEAPYFLNQECAELRKAFSLQQVLLQPEEELLAKQSSELVSEAAAPKSKRFIGKMKVQVRKVKMGLDPPPGCNLSMVKMESVHQRFCKVNSMLHSGWEALRKVRVAPNTPVNGSLSKQSLAYLQASSQYIKEVSKLLKTGVTTLRSNSTSYEEIPETYCCLLKLKSSSEDDGTKMQPGSSETHVFLPDGLGDDLIVKVHDSKGQYCGHVVAQVVSIADDPGDKLRWWPIYHEPDHELVGRIQLYIHYSTSQEENNLKCGSVAETVAYDLLMEVAMKVQHFQERNLLLHGPWKWLVNEFASYYGVSDAYTKLRYLSYIMDVATPTADCLTLIHDLLSPVKSNTKHKLSHQENRILGEVEDQVQQILNVIFENYKSLDESLPSGMMSVFKAATGSPAPALVPAIKLYSLLHDILTPEIQLKFCGYFQAAAKKRSRRHQAETDEFILSNNGSALMDPMTLSMSYQKMKFLISSIKNEIFTDIEIHKQNILPSFIDLPNLSAPIYSADLCSRLRALLVSCPPPGPSRPVIELVIATADFQRDLSSSNIKPVKGGVEAKELFHSYITCWIEEKRHSLLDSCKLDKVKCSDIKTSQSTTPFIDDMYDQLTETLNEYEIIISRWPEFTIILENAVADVEKAIIKALERQHADVLAPLKDGLAPKIIGKYVQKFTKGTAVIYVVPDELGVLLNSMKRILFVLHPKIETKLKSWCPCTPPGGNAIPGERLSEITVMLRADFRNHIQAIVEKLAENTKVQTATKLKKIIQDSKETVVESDVRSRMQPLKDLLINTIENLHSVFEPHVFITVLRNFWDRMGQDVLHFMENRRENMSWYKGLRIAISVLDEIFAAQMQKLLGNALQEKDLEPPPSVIEVRSMFARIF >KJB44089 pep chromosome:Graimondii2_0_v6:7:29831905:29843459:-1 gene:B456_007G233500 transcript:KJB44089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTQGLDKDAFRWVRAGGAAKNRDFFGVTMAAKQALDPITSLRNAGLPPSAKFRNGHLSQNIIPVNGNDDGSESDMDISSDSEEHVHDGQYSFISSPQDDKVHNVAAASMQKSEAHGHYGPQLKLGNGAQRPAQVCGGKADTVDKQFSDSACSTEVSYMQYRSNNGGAPPGEAYNSDSYSSTVTSRVFHTGGMQKVKPFHYDTIIQDNSGDEILDQSVRTVEHGGTNESTNNMPTRRPIFHASGLGPWCAVLSYDACVRLCLNSWAKGCTEEAPYFLNQECAELRKAFSLQQVLLQPEEELLAKQSSELVSEAAAPKSKRFIGKMKVQVRKVKMGLDPPPGCNLSMVKMESVHQRFCKVNSMLHSGWEALRKVRVAPNTPVNGSLSKQSLAYLQASSQYIKEVSKLLKTGVTTLRSNSTSYEEIPETYCCLLKLKSSSEDDGTKMQPGSSETHVFLPDGLGDDLIVKVHDSKGQYCGHVVAQVVSIADDPGDKLRWWPIYHEPDHELVGRIQLYIHYSTSQEENNLKCGSVAETVAYDLLMEVAMKVQHFQERNLLLHGPWKWLVNEFASYYGVSDAYTKLRYLSYIMDVATPTADCLTLIHDLLSPVKSNTKHKLSHQENRILGEVEDQVQQILNVIFENYKSLDESLPSGMMSVFKAATGSPAPALVPAIKLYSLLHDILTPEIQLKFCGYFQAAAKKRSRRHQAETDEFILSNNGSALMDPMTLSMSYQKMKFLISSIKNEIFTDIEIHKQNILPSFIDLPNLSAPIYSADLCSRLRALLVSCPPPGPSRPVIELVIATADFQRDLSSSNIKPVKGGVEAKELFHSYITCWIEEKRHSLLDSCKLDKVKCSDIKTSQSTTPFIDDMYDQLTETLNEYEIIISRWPEFTIILENAVADVEKAIIKALERQHADVLAPLKDGLAPKIIGKYVQKFTKGTAVIYVVPDELGVLLNSMKRILFVLHPKIETKLKSWCPCTPPGGNAIPGERLSEITVMLRADFRNHIQAIVEKLAENTKVQTATKLKKIIQDSKETVVESDVRSRMQPLKDLLINTIENLHSVFEPHVFITVLRNFWDRMGQDVLHFMENRRENMSWYKGLRIAISVLDEIFAAQMQKLLGNALQEKDLEPPPSVIEVRSMFARIF >KJB44088 pep chromosome:Graimondii2_0_v6:7:29831948:29842864:-1 gene:B456_007G233500 transcript:KJB44088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTQGLDKDAFRWVRAGGAAKNRDFFGVTMAAKQALDPITSLRNAGLPPSAKFQAHGHYGPQLKLGNGAQRPAQVCGGKADTVDKQFSDSACSTEVSYMQYRSNNGGAPPGEAYNSDSYSSTVTSRVFHTGGMQKVKPFHYDTIIQDNSGDEILDQSVRTVEHGGTNESTNNMPTRRPIFHASGLGPWCAVLSYDACVRLCLNSWAKGCTEEAPYFLNQECAELRKAFSLQQVLLQPEEELLAKQSSELVSEAAAPKSKRFIGKMKVQVRKVKMGLDPPPGCNLSMVKMESVHQRFCKVNSMLHSGWEALRKVRVAPNTPVNGSLSKQSLAYLQASSQYIKEVSKLLKTGVTTLRSNSTSYEEIPETYCCLLKLKSSSEDDGTKMQPGSSETHVFLPDGLGDDLIVKVHDSKGQYCGHVVAQVVSIADDPGDKLRWWPIYHEPDHELVGRIQLYIHYSTSQEENNLKCGSVAETVAYDLLMEVAMKVQHFQERNLLLHGPWKWLVNEFASYYGVSDAYTKLRYLSYIMDVATPTADCLTLIHDLLSPVKSNTKHKLSHQENRILGEVEDQVQQILNVIFENYKSLDESLPSGMMSVFKAATGSPAPALVPAIKLYSLLHDILTPEIQLKFCGYFQAAAKKRSRRHQAETDEFILSNNGSALMDPMTLSMSYQKMKFLISSIKNEIFTDIEIHKQNILPSFIDLPNLSAPIYSADLCSRLRALLVSCPPPGPSRPVIELVIATADFQRDLSSSNIKPVKGGVEAKELFHSYITCWIEEKRHSLLDSCKLDKVKCSDIKTSQSTTPFIDDMYDQLTETLNEYEIIISRWPEFTIILENAVADVEKAIIKALERQHADVLAPLKDGLAPKIIGKYVQKFTKGTAVIYVVPDELGVLLNSMKRILFVLHPKIETKLKSWCPCTPPGGNAIPGERLSEITVMLRADFRNHIQAIVEKLAENTKVQTATKLKKIIQDSKETVVESDVRSRMQPLKDLLINTIENLHSVFEPHVFITVLRNFWDRMGQDVLHFMENRRENMSWYKGLRIAISVLDEIFAAQMQKLLGNALQEKDLEPPPSVIEVRSMFARIF >KJB44356 pep chromosome:Graimondii2_0_v6:7:38401226:38413410:-1 gene:B456_007G247600 transcript:KJB44356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSFSKGIVMDEVGPSNQREPQGASELGSDEGSAVRWRPSQLVFGPYSPRNEADRKPRVFVRRPLVARLTKDIIETYQICNPQFKYSEELNPKRFLTSPSVGILNDGYDNVNSDLILSVNFVLINLETQRRYIVKDVLGHGTFGQVAKCWVPETSSFVAVKIIKNQPAYYQQALVEVSILTTLNKKYDPEDKHHIVRIYDFFVHQRHLCICFELLDTNLYELIKINHFRGLSLSIVQLFSKQILRGLALLKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYTTDIDMWSFGCIVAELFLGLPLFPGSSEFDLLRRMIEILGGQPPDYLLKEAKNTSKFFKCIGSIHNMENGEISSGGRRAYQALTEEEYEARELKKPLIGKEYFSHKNLEAIVTNYPYRKNLPKEDIIKESQIRLALIDFLRGLVEFDPAKRWSPLQASKHPFVTGEPFTCPYRPPPETPRLPVAQNLKVDHHPGGGHWFAAGLSPNIPNRNRVSFHNSPHFPMVPYGHANSYGSVGSHGSYNDNTGLGSSYGSYGDNGNMFAYYSPVGPSTMNMHPQSGASMLGSSPDARRRFLPYSHGNGLGVSPSAGNFAPLPLGTSPSQFTPPNSYGQVSGGSPGHYGPTSPARNSCQGSPLSKMAAAGQFNRRKGWGYSGSSQSQESSSSSNWQGQVTDGTVSNQAEGNSQVVGGLPSNIQSNSNAANWKQQRGGIGMATSYSIVQKIPSSIGLGSNVQLQYSSGATQDNSEASMPLPDPGDWDPNYSDELLL >KJB44355 pep chromosome:Graimondii2_0_v6:7:38403587:38412880:-1 gene:B456_007G247600 transcript:KJB44355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSFSKGIVMDEVGPSNQREPQGASELGSDEGSAVRWRPSQLVFGPYSPRNEADRKPRVFVRRPLVARLTKDIIETYQICNPQFKYSEELNPKRFLTSPSVGILNDGYDNVNSDLILSVNFVLINLETQRRYIVKDVLGHGTFGQVAKCWVPETSSFVAVKIIKNQPAYYQQALVEVSILTTLNKKYDPEDKHHIVRIYDFFVHQRHLCICFELLDTNLYELIKINHFRGLSLSIVQLFSKQILRGLALLKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYTTDIDMWSFGCIVAELFLGLPLFPGSSEFDLLRRMIEILGGQPPDYLLKEAKNTSKFFKCIGSIHNMENGEISSGGRRAYQALTEEEYEARELKKPLIGKEYFSHKNLEAIVTNYPYRKNLPKEDIIKESQIRLALIDFLRGLVEFDPAKRWSPLQASKHPFVTGEPFTCPYRPPPETPRLPVAQNLKVDHHPGGGHWFAAGLSPNIPNRNRVSFHNSPHFPMVPYGHANSYGSVGSHGSYNDNTGLGSSYGSYGDNGNMFAYYSPVGPSTMNMHPQSGASMLGSSPDARRRFLPYSHGNGLGVSPSAGNFAPLPLGTSPSQFTPPNSYGQVSGGSPGHYGPTSPARNSCQGSPLSKMAAAGQFNRRKGWGYSGSSQSQESSSSSNWQGQVTDGTVSNQAEGNSQVVGGLPSNIQSNSNAANWKQQRGGIGMATSYSIVQKIPSSIGLGSNVQLQYSSGATQDNSEASMPLPDPGDWDPNYRWVENSLQSKLMLVKYLRYVCHVSTAHCLQGGDHLLMY >KJB44357 pep chromosome:Graimondii2_0_v6:7:38401226:38413535:-1 gene:B456_007G247600 transcript:KJB44357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSFSKGIVMDEVGPSNQREPQGASELGSDEGSAVRWRPSQLVFGPYSPRNEADRKPRVFVRRPLVARLTKDIIETYQICNPQFKYSEELNPKRFLTSPSVGILNDGYDNVNSDLILSVNFVLINLETQRRYIVKDVLGHGTFGQVAKCWVPETSSFVAVKIIKNQPAYYQQALVEVSILTTLNKKYDPEDKHHIVRIYDFFVHQRHLCICFELLDTNLYELIKINHFRGLSLSIVQLFSKQILRGLALLKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYTTDIDMWSFGCIVAELFLGLPLFPGSSEFDLLRRMIEILGGQPPDYLLKEAKNTSKFFKCIGSIHNMENGEISSGGRRAYQALTEEEYEARELKKPLIGKEYFSHKNLEAIVTNYPYRKNLPKEDIIKESQIRLALIDFLRGLVEFDPAKRWSPLQASKHPFVTGEPFTCPYRPPPETPRLPVAQNLKVDHHPGGGHWFAAGLSPNIPNRNRVSFHNSPHFPMVPYGHANSYGSVGSHGSYNDNTGLGSSYGSYGDNGNMFAYYSPVGPSTMNMHPQSGASMLGSSPDARRRFLPYSHGNGLGVSPSAGNFAPLPLGTSPSQFTPPNSYGQVSGGSPGHYGPTSPARNSCQGSPLSKMAAAGQFNRRKGWGYSGSSQSQESSSSSNWQGQVTDGTVSNQAEGNSQVVGGLPSNIQSNSNAANWKQQRGGIGMATSYSIVQKIPSSIGLGSNVQLQYSSGATQDNSEASMPLPDPGDWDPNYSDELLLQEDGSDESCISADLNRGMHIGSVDSYAGVGRFNLALTTCSNLSTQRQNGPIGFSHLEVGSPPSTNDWHTGYPRFTSKQSHFTPHMTQNYPSRLGQQTLPRFNHGRSTGARSSEWNQMKVQLPPPSFNSGGPRSPGNSSFSNGMPWGRRANHPVSNIPSASRGRKDYGRIA >KJB39613 pep chromosome:Graimondii2_0_v6:7:1585451:1592128:1 gene:B456_007G021900 transcript:KJB39613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANLYQEDTSGNTESDAGDLLVGMVNHRGSSHNHMRTASTAKFISRKQSPRNIALYIFLLFAFSIFIFVFNAGNIVEDHPAPVISIQSHPQTKFLQTADDELWDAPSRHGLRPCIKPTSRYKAAHGSDRYLTVKSNGGLNQMRTGISDMVAVAYIMNATLVIPQLDRRSFWQDSSIFSDIFDELHFITTLQGDVRIVRELPKELELVPRARKHFTSWSSMGYYKEMMQLWNDHQVIHVAKSDSRLANNDLPLDIQRLRCRALYHALHFSPPIESLGKKLVDRLRMRSGKYIALHLRYEKDMLAFTGCTSGLTDAESEELRIMRENTKHWKVKDINSTEQRIGGFCPLTPKEVGIFLQAIGYPPSTLIYVASGEIYGGDARLSELMSFFPNLVFKEKLATKEELNAFAKHASQSAALDYIVSLESDVFVPSYSGNMARAVEGHRRFLGHRKTITPDRLLTVKVLFGSF >KJB39616 pep chromosome:Graimondii2_0_v6:7:1585812:1592330:1 gene:B456_007G021900 transcript:KJB39616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNHRGSSHNHMRTASTAKFISRKQSPRNIALYIFLLFAFSIFIFVFNAGNIVEDHPAPVISIQSHPQTKFLQTADDELWDAPSRHGLRPCIKPTSRYKAAHGSDRYLTVKSNGGLNQMRTGISDMVAVAYIMNATLVIPQLDRRSFWQDSSIFSDIFDELHFITTLQGDVRIVRELPKELELVPRARKHFTSWSSMGYYKEMMQLWNDHQVIHVAKSDSRLANNDLPLDIQRLRCRALYHALHFSPPIESLGKLVDRLRMRSGKYIALHLRYEKDMLAFTGCTSGLTDAESEELRIMRENTKHWKVKDINSTEQRIGGFCPLTPKEVGIFLQAIGYPPSTLIYVASGEIYGGDARLSELMSFFPNLVFKEKLATKEELNAFAKHASQSAALDYIVSLESDVFVPSYSGNMARAVEGHRRFLGHRKTITPDRKGLVRLFDELESGQLRKTSSFSDLVQQMHKNRQGAPRKRKGPSPGIKGKARFRTEESFYENPYPECICSSKAV >KJB39615 pep chromosome:Graimondii2_0_v6:7:1585451:1591415:1 gene:B456_007G021900 transcript:KJB39615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANLYQEDTSGNTESDAGDLLVGMVNHRGSSHNHMRTASTAKFISRKQSPRNIALYIFLLFAFSIFIFVFNAGNIVEDHPAPVISIQSHPQTKFLQTADDELWDAPSRHGLRPCIKPTSRYKAAHGSDRYLTVKSNGGLNQMRTGISDMVAVAYIMNATLVIPQLDRRSFWQDSSIFSDIFDELHFITTLQGDVRIVRELPKELELVPRARKHFTSWSSMGYYKEMMQLWNDHQVIHVAKSDSRLANNDLPLDIQRLRCRALYHALHFSPPIESLGKKLVDRLRMRSGKYIALHLRYEKDMLAFTGCTSGLTDAESEELRIMRENTKHWKVKDINSTEQRIGGFCPLTPKEVGIFLQAIGYPPSTLIYVASGEIYGGDARLSELMSFFPNLVFKEKLATKEELNAFAKHASQSAALDYIVSLESDVFVPSYSGNMARAVEGHRRFLGHRKTITPDRKGLVRLFDELESGQLRKTSSFSDLVQQMHKNR >KJB39617 pep chromosome:Graimondii2_0_v6:7:1585451:1592128:1 gene:B456_007G021900 transcript:KJB39617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNHRGSSHNHMRTASTAKFISRKQSPRNIALYIFLLFAFSIFIFVFNAGNIVEDHPAPVISIQSHPQTKFLQTADDELWDAPSRHGLRPCIKPTSRYKAAHGSDRYLTVKSNGGLNQMRTGISDMVAVAYIMNATLVIPQLDRRSFWQDSSIFSDIFDELHFITTLQGDVRIVRELPKELELVPRARKHFTSWSSMGYYKEMMQLWNDHQVIHVAKSDSRLANNDLPLDIQRLRCRALYHALHFSPPIESLGKKLVDRLRMRSGKYIALHLRYEKDMLAFTGCTSGLTDAESEELRIMRENTKHWKVKDINSTEQRIGGFCPLTPKEVGIFLQAIGYPPSTLIYVASGEIYGGDARLSELMSFFPNLVFKEKLATKEELNAFAKHASQSAALDYIVSLESDVFVPSYSGNMARAVEGHRRFLGHRKTITPDRKGLVRLFDELESGQLRKTSSFSDLVQQMHKNRQGAPRKRKGPSPGIKGKARFRTEESFYENPYPECICSSKAV >KJB39614 pep chromosome:Graimondii2_0_v6:7:1585451:1592275:1 gene:B456_007G021900 transcript:KJB39614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANLYQEDTSGNTESDAGDLLVGMVNHRGSSHNHMRTASTAKFISRKQSPRNIALYIFLLFAFSIFIFVFNAGNIVEDHPAPVISIQSHPQTKFLQTADDELWDAPSRHGLRPCIKPTSRYKAAHGSDRYLTVKSNGGLNQMRTGISDMVAVAYIMNATLVIPQLDRRSFWQDSSIFSDIFDELHFITTLQGDVRIVRELPKELELVPRARKHFTSWSSMGYYKEMMQLWNDHQVIHVAKSDSRLANNDLPLDIQRLRCRALYHALHFSPPIESLGKKLVDRLRMRSGKYIALHLRYEKDMLAFTGCTSGLTDAESEELRIMRENTKHWKVKDINSTEQRIGGFCPLTPKEVGIFLQAIGYPPSTLIYVASGEIYGGDARLSELMSFFPNLVFKEKLATKEELNAFAKHASQSAALDYIVSLESDVFVPSYSGNMARAVEGHRRFLGHRKTITPDRKGLVRLFDELESGQLRKTSSFSDLVQQMHKNRQGAPRKRKGPSPGIKGKARFRTEESFYENPYPECICSSKAV >KJB45312 pep chromosome:Graimondii2_0_v6:7:51270000:51273697:1 gene:B456_007G300400 transcript:KJB45312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGSNGGDDVVVDDGDDGGDGGAGCGNGGKSFGSVSCSICLEMVTDNGDRSWAKLQCGHQFHLDCIGSAFNVKGAMQCPNCRKIEKGQWLYANGCRSYPEFNVDDWAHDEDLYDLSFSEMSFGVHWCPFGSLAQLPTFEEGELSSTTYHELLGQNAIFSEHSAPAAHPCPYVAYFGPTVHPSSSNSSGSVSDSSSYNNHWNGPSVPGEVPASYAFPAMDHHYHNWEHHSPPFSTSSSRIGSSDQPSNPPVSQRSARSGSDMPRSGSFMRPYVVGHSSGARAGSSVASSLIPPYPGSNARARDRVQALQAYYQQQHPSTSPSIRTPVISGSRRSSSHRIHAQVGPVASSSDQVGGFYFFPSGTPVRNFQEAENPLSTRFHAWERDHLPSFSINQVDRDSAWGAFHQSAGAPDPGIRSGSFRQRHGSERMSSHNHS >KJB45311 pep chromosome:Graimondii2_0_v6:7:51269694:51273753:1 gene:B456_007G300400 transcript:KJB45311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGSNGGDDVVVDDGDDGGDGGAGCGNGGKSFGSVSCSICLEMVTDNGDRSWAKLQCGHQFHLDCIGSAFNVKGAMQCPNCRKIEKGQWLYANGCRSYPEFNVDDWAHDEDLYDLSFSEMSFGVHWCPFGSLAQLPTFEEGELSSTTYHELLGQNAIFSEHSAPAAHPCPYVAYFGPTVHPSSSNSSGSVSDSSSYNNHWNGPSVPGEVPASYAFPAMDHHYHNWEHHSPPFSTSSSRIGSSDQPSNPPVSQRSARSGSDMPRSGSFMRPYVVGHSSGARAGSSVASSLIPPYPGSNARARDRVQALQAYYQQQHPSTSPSIRTPVISGSRRSSSHRIHAQVGPVASSSDQVDRDSAWGAFHQSAGAPDPGIRSGSFRQRHGSERMSSHNHS >KJB45304 pep chromosome:Graimondii2_0_v6:7:51182238:51187160:1 gene:B456_007G299800 transcript:KJB45304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIANQNSLSFSESELEFSELSLAFSNFSYSGTQSLRKKKEAMELSLSDNALKTFSRSITCLARVGNELVIQASPSQLALHTLNSSRSAYQSITFKPNFFDAYRTSGTQVQCSVLLKAVCSVFRTPIASIDHLTVKLADPDASKVQWALACYSGMKKSYWITCNVEPDIQHLSLDRRRFPSNLVVRPRDLNRLLANFQSSLQEITIIATETTSLPSDAASEIGGKAVELRSYIDPTKENDSTLHTQLWIDPLEEFVQYTHIGDPVDVTFSMKELKAFLTFCEGCEVDIHLFLDKAGEPILLAPKFGLDDGSGSNFDATLVLATMLISQLHEGNPSEPAPAATTVHNQAMHRTGSEAQEERVRPNVPEHPSDHTRIWSDLSGSAAKSSNEVEERQVKGQQNLNASEQRDIQRISMMHITKDAPIKESVPAAPSFTRHQVEKDHDAGAQGRSQINVHGLSQRHPSNWVDANEEEEDGDDENELCVQSTPPYYEEQ >KJB45305 pep chromosome:Graimondii2_0_v6:7:51182238:51187160:1 gene:B456_007G299800 transcript:KJB45305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIANQNSLSFSESELEFSELSLAFSNFSYSGTQSLRKKKEAMELSLSDNALKTFSRSITCLARVGNELVIQASPSQLALHTLNSSRSAYQSITFKPNFFDAYRTSGTQVQCSVLLKAVCSVFRTPIASIDHLTVKLADPDASKVQWALACYSGMKKSYWITCNVEPDIQHLSLDRRRFPSNLVVRPRDLNRLLANFQSSLQEITIIATETTSLPSDAASEIGGKAVELRSYIDPTKENDSTLHTQLWIDPLEEFVQYTHIGDPVDVTFSMKELKAFLTFCEGCEVDIHLFLDKAGECLLGNRPILLAPKFGLDDGSGSNFDATLVLATMLISQLHEGNPSEPAPAATTVHNQAMHRTGSEAQEERVRPNVPEHPSDHTRIWSDLSGSAAKSSNEVEERQVKGQQNLNASEQRDIQRISMMHITKDAPIKESVPAAPSFTRHQVEKDHDAGAQGRSQINVHGLSQRHPSNWVDANEEEEDGDDENELCVQSTPPYYEEQ >KJB42143 pep chromosome:Graimondii2_0_v6:7:11411254:11414081:-1 gene:B456_007G139200 transcript:KJB42143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMATGSDERSEDVTKPETSPPKEKALGSVGNVSKKTDMFLRADKIDFKSWDLQLDKHLSRALSRDRGISSTIEKEEWEIDLAKLDIRNVIAHGTYGTVYRGLYDTQDVAVKVFNWGEDGIATAAEAAAIRSSFCQEVAVWHKLDHPNVTKFIGASMGTSNLKIRTKDATSQNNSVLPSSACCVVVEYVAGGTLKNYLIRNRRKKLAFKVVIQIALDLSRGLSYLHSKKIVHRDVKTENMLLDARRILKIADFGVARVEAQNPQDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYANLSFADFTSAVVRQNLRPEIPRCCPSALASIMRKCWDPHPERRPDMDEVVRSLEAIETRKGGGMIPDDQASGCFCFSARGP >KJB40147 pep chromosome:Graimondii2_0_v6:7:3368679:3370358:-1 gene:B456_007G048500 transcript:KJB40147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14 [Source:Projected from Arabidopsis thaliana (AT2G18000) UniProtKB/Swiss-Prot;Acc:F4IPK2] MPQTAIEAQVEDGGSASKPQRIIKLSKTTDDSDKKGTNKRVKDIEICVPIVYGTIAFYLGRKASESQSHKWTVYVRGATNEDLGAVIKHVVFQLHPSFNNPTRIVEAPPFELSECGWGEFEIGISIFFHSDVCDKHLDLYHMLKLYPEDESGPQSTKKPVVMESYNEIVFPDPSECFFARVQNHPAVVVPRMPAGFSLPVLGKFIGFAFFLDICSSKIFFKIGSFVSAAPVENTNEKGRGDTKDHPLSQWFLNFSEADELLKLASARQQVGMA >KJB40146 pep chromosome:Graimondii2_0_v6:7:3368102:3370358:-1 gene:B456_007G048500 transcript:KJB40146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14 [Source:Projected from Arabidopsis thaliana (AT2G18000) UniProtKB/Swiss-Prot;Acc:F4IPK2] MPQTAIEAQVEDGGSASKPQRIIKLSKTTDDSDKKGTNKRVKDIEICVPIVYGTIAFYLGRKASESQSHKWTVYVRGATNEDLGAVIKHVVFQLHPSFNNPTRIVEAPPFELSECGWGEFEIGISIFFHSDVCDKHLDLYHMLKLYPEDESGPQSTKKPVVMESYNEIVFPDPSECFFARVQNHPAVVVPRMPAGFSLPVLGKFIGFAFFLDICSSKIFFKIGSFVSAAPVENTNEKGRGDTKDHPLSQWFLNFSEADELLKLASARQQVQAHIVKLRRELSVIDGMPQPLKPASSYECT >KJB40145 pep chromosome:Graimondii2_0_v6:7:3367559:3370482:-1 gene:B456_007G048500 transcript:KJB40145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14 [Source:Projected from Arabidopsis thaliana (AT2G18000) UniProtKB/Swiss-Prot;Acc:F4IPK2] MPQTAIEAQVEDGGSASKPQRIIKLSKTTDDSDKKGTNKRVKDIEICVPIVYGTIAFYLGRKASESQSHKWTVYVRGATNEDLGAVIKHVVFQLHPSFNNPTRIVEAPPFELSECGWGEFEIGISIFFHSDVCDKHLDLYHMLKLYPEDESGPQSTKKPVVMESYNEIVFPDPSECFFARVQNHPAVVVPRMPAGFSLPVLAPVENTNEKGRGDTKDHPLSQWFLNFSEADELLKLASARQQVQAHIVKLRRELSVIDGMPQPLKPASSYECT >KJB46125 pep chromosome:Graimondii2_0_v6:7:57929727:57934056:-1 gene:B456_007G349200 transcript:KJB46125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKKMLANYVPVYVMLPLGIISTENVLLDKEGLKQQLLKLKTAGIDGVMSDVWWGIVESKGPKQYDWSAYRSLVELIKECGMKMQAIMSFHQCGGNVGDEVTIPIPQWVLDIGEENPDIFYTDREGNRNKEYLTLGVDHLPLFHGRTAVQVYGDYMKSFKETMADLIGEVIIDIEVGLGAAGELRYPSYPQSLGWVFPGIGEFQCYDKYLKAAFKEAATKAGHPEWELPDNAGTYNDTPDSTEFFASNGTYLTDKGKFFLTWYSNNLITHGDDILDEANKAFLGCKVKLAAKVSGMHWWYNSPSHAAELTAGYYNLYDRDGYRPIARMLSRHDAILNFTCLEMRDSEQDAAAKSAPQELVQQVLSGAWREHIEVAGENALSRYDSYAYNQILLNARPNGITNKGVPKMHGFTYLRSSDDLFEDTNFELFKIFVKKMHADQEYCSDPAKYGKELKPLKRSKPKIPIEDLLDATTPMKPLPWDEETDMKVDG >KJB46126 pep chromosome:Graimondii2_0_v6:7:57929522:57934365:-1 gene:B456_007G349200 transcript:KJB46126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKKMLANYVPVYVMLPLGIISTENVLLDKEGLKQQLLKLKTAGIDGVMSDVWWGIVESKGPKQYDWSAYRSLVELIKECGMKMQAIMSFHQCGGNVGDEVTIPIPQWVLDIGEENPDIFYTDREGNRNKEYLTLGVDHLPLFHGRTAVQVYGDYMKSFKETMADLIGEVIIDIEVGLGAAGELRYPSYPQSLGWVFPGIGEFQCYDKYLKAAFKEAATKAGHPEWELPDNAGTYNDTPDSTEFFASNGTYLTDKGKFFLTWYSNNLITHGDDILDEANKAFLGCKVKLAAKVSGMHWWYNSPSHAAELTAGYYNLYDRDGYRPIARMLSRHDAILNFTCLEMRDSEQDAAAKSAPQELVQQVLSGAWREHIEVAGENALSRYDSYAYNQILLNARPNGITNKGVPKMHGFTYLRSSDDLFEDTNFELFKIFVKKMHADQEYCSDPAKYGKELKPLKRSKPKIPIEDLLDATTPMKPLPWDEETDMKVDG >KJB39799 pep chromosome:Graimondii2_0_v6:7:2168627:2172729:1 gene:B456_007G031400 transcript:KJB39799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKANKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPPKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTSFQVTSGRAKYQAAIDCLVWKIRKFPGQTEPTLSAEVELISTMAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >KJB39798 pep chromosome:Graimondii2_0_v6:7:2168549:2172729:1 gene:B456_007G031400 transcript:KJB39798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPPKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTSFQVTSGRAKYQAAIDCLVWKIRKFPGQTEPTLSAEVELISTMAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >KJB42572 pep chromosome:Graimondii2_0_v6:7:13913806:13916846:1 gene:B456_007G159100 transcript:KJB42572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSSHTILPRPLLHLPPRNPNFPIFTFKPIFLPLSPPIKPSNSVSKPPKFIPLASISAPPATPCKSPEIPALSPLNGSTRTLKTLFSLALSATIVFTKMIQNYALKTISQNPNALSTVGPLFFASLKDRPSGYLNTPLTVVAAGLAKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPIFDTLDVSPLLAFAVLGTLGSILNNSRGMY >KJB44417 pep chromosome:Graimondii2_0_v6:7:39830055:39833521:1 gene:B456_007G251500 transcript:KJB44417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATETLCGQAFGAGQYHMMGIYLQRSWIVDGLMATVSVPLLVFASRIFKLLGQEEEIAEAAGSISLWFIPMLYQMVFQLTMQMYLQAQLKNFIVGWLSAFSFALHLLLSWILVYKLNWGVAGAMGSLNICCWIMVVGEFVFIFGGWCPNTWKGFSKAALYDLWPIIKLSMASGLMICLELWYTAILVLLAGYMKNATIAIDAFSICLNFNAWEFMICLGLFGAAIVRVANELGRGNAKALKFAIKTIMSESICIGMVFFILCLGCWHQISYLFTTDEQVAQVLSSLSDLLALSVLFNSFQAILTGVAIGAGFQSMVAIVNLGCYYIVGLPIGVVLGYVLNLQVTGLWIGLLSGVAFQIVILGFIVWRTDWDEQVEKASQRLNRWLINEENKEEHNNQIQSLSQA >KJB44416 pep chromosome:Graimondii2_0_v6:7:39830030:39833600:1 gene:B456_007G251500 transcript:KJB44416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENLELRLIAELEEEEKNDLKKRVWIETQKIWRIAFPSMLARVTSFGMIIVTQSFLGHIGEAELATFALIQSIFVRFINGILIGMSSATETLCGQAFGAGQYHMMGIYLQRSWIVDGLMATVSVPLLVFASRIFKLLGQEEEIAEAAGSISLWFIPMLYQMVFQLTMQMYLQAQLKNFIVGWLSAFSFALHLLLSWILVYKLNWGVAGAMGSLNICCWIMVVGEFVFIFGGWCPNTWKGFSKAALYDLWPIIKLSMASGLMICLELWYTAILVLLAGYMKNATIAIDAFSICLNFNAWEFMICLGLFGAAIVRVANELGRGNAKALKFAIKTIMSESICIGMVFFILCLGCWHQISYLFTTDEQVAQVLSSLSDLLALSVLFNSFQAILTGVAIGAGFQSMVAIVNLGCYYIVGLPIGVVLGYVLNLQVTGLWIGLLSGVAFQIVILGFIVWRTDWDEQVEKASQRLNRWLINEENKEEHNNQIQSLSQA >KJB40839 pep chromosome:Graimondii2_0_v6:7:5653882:5655993:-1 gene:B456_007G079800 transcript:KJB40839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39680 [Source:Projected from Arabidopsis thaliana (AT5G39680) UniProtKB/Swiss-Prot;Acc:Q9FK93] MRALKPAGNQVAQVLFKPTTFIHLNHIIKLLKVSADTNNLILAKILHSLLLVTNQTSTRSNRYPLNSLINVYAKSNQISVAQKLFDRMPERNLVSWCSLMAGYLQTGFSLQVLKLFKDMTLVDSLRPNEYIFAVVFSACSDGGKALEGRQCHGYVVKSGLVFHQYVKNALINMYSKFSDVKGAMRVFSLVPGYDVYSYNLVLNGLVQQGFSNEAIQVLERLMGESVEWDSVTYVTVFGLCACLKDLKLGLQVHCRILTSDVELDVFVNSAIINMYGKCGKVINARKTFDCLQVKNVVVWTGIMAAYFQNGCFEEALNLFSEMKIGDVSPNGFTFAVMLNSTAGLSALRHGNVLYGEIVKSGFKDHVIVGNALINMYAKCGDIEAASKVFLDMMYRDCITWNAMICGYSHHGLGKEAMALFNDLLAAGECPNYVTFVGVLSACSHLGLVKEGLYYLNQFMRQVGVEPGLEHYTCVVGLLSKAGLLDEAEKLLRSIPVELDVIAWRTLLSACHVHRNYGFGRRIAEFVLEMDPNDVGTYTLLSNIYAKAKRWDGVVKIRKLMRERNIKKEPGVSWIEIRNVTHVFVSDDCQHPESTQIYEKVKELLARIKPLGYIPDVTAVLHDVEEEQKEDYLSYHSEKLAIAYGLMHAPLEAPIRVFKNLRMCEDCHSAAKLISKLTNSMIIVRDANRFHSFQNGCCSCADYW >KJB40840 pep chromosome:Graimondii2_0_v6:7:5653309:5656220:-1 gene:B456_007G079800 transcript:KJB40840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39680 [Source:Projected from Arabidopsis thaliana (AT5G39680) UniProtKB/Swiss-Prot;Acc:Q9FK93] MRALKPAGNQVAQVLFKPTTFIHLNHIIKLLKVSADTNNLILAKILHSLLLVTNQTSTRSNRYPLNSLINVYAKSNQISVAQKLFDRMPERNLVSWCSLMAGYLQTGFSLQVLKLFKDMTLVDSLRPNEYIFAVVFSACSDGGKALEGRQCHGYVVKSGLVFHQYVKNALINMYSKFSDVKGAMRVFSLVPGYDVYSYNLVLNGLVQQGFSNEAIQVLERLMGESVEWDSVTYVTVFGLCACLKDLKLGLQVHCRILTSDVELDVFVNSAIINMYGKCGKVINARKTFDCLQVKNVVVWTGIMAAYFQNGCFEEALNLFSEMKIGDVSPNGFTFAVMLNSTAGLSALRHGNVLYGEIVKSGFKDHVIVGNALINMYAKCGDIEAASKVFLDMMYRDCITWNAMICGYSHHGLGKEAMALFNDLLAAGECPNYVTFVGVLSACSHLGLVKEGLYYLNQFMRQVGVEPGLEHYTCVVGLLSKAGLLDEAEKLLRSIPVELDVIAWRTLLSACHVHRNYGFGRRIAEFVLEMDPNDVGTYTLLSNIYAKAKRWDGVVKIRKLMRERNIKKEPGVSWIEIRNVTHVFVSDDCQHPESTQIYEKVKELLARIKPLGYIPDVTAVLHDVEEEQKEDYLSYHSEKLAIAYGLMHAPLEAPIRVFKNLRMCEDCHSAAKLISKLTNSMIIVRDANRFHSFQNGCCSCADYW >KJB43603 pep chromosome:Graimondii2_0_v6:7:22079420:22082587:-1 gene:B456_007G209400 transcript:KJB43603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETRETLKRDTKARERERKKQRTMAAIGNETQPLESSQNNSDKQYHLQPHNDCKIQTPKISLYEQSREERIKENLQRMQQLGLKDLSNSLHNSTPRLSSRRGRPRVGGKPLVTPLSSPLPSSDQLRRSSRLQNTTPVIYSEAVSAKKEELFEDVELKIGKSEVYTEAHERLLGNTERSWTLFVDGYGRDGRRIYDSVKGKTCHQCRYGEHVLEANENPNWVCPVCRGICNCSLCRQAKGWAPTGSLYRKITKMGFKSVAHYLIQTRCVQTNVEKNPDKTDQVSAKRSLSFPAPELPSEESPAVDNNQLVTSKPQSGEDGLSSEKKEQEAYPEPNTSIIHQNPASKPLLFSKNETEFEKGESTKINLDFRNKRDDEFICQHEKELDFTDKEPDDSHVTTESRPEKKHASSIESTPDSIAGRLKQVWGSNRTHDETVLDGAGKNVDEGYAVSESSPNPIKRPASAIGNSLDSNAARLKQRRQLGKDCDEQGLLGANESVSDEAAENISLRKEYKANLKHSTSGTNMDCIARRLRPRNKAL >KJB43602 pep chromosome:Graimondii2_0_v6:7:22079417:22082705:-1 gene:B456_007G209400 transcript:KJB43602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIGNETQPLESSQNNSDKQYHLQPHNDCKIQTPKISLYEQSREERIKENLQRMQQLGLKDLSNSLHNSTPRLSSRRGRPRVGGKPLVTPLSSPLPSSDQLRRSSRLQNTTPVIYSEAVSAKKEELFEDVELKIGKSEVYTEAHERLLGNTERSWTLFVDGYGRDGRRIYDSVKGKTCHQCRQKTLGHRTNCSKCNMVQGQFCGDCLYMRYGEHVLEANENPNWVCPVCRGICNCSLCRQAKGWAPTGSLYRKITKMGFKSVAHYLIQTRCVQTNVEKNPDKTDQVSAKRSLSFPAPELPSEESPAVDNNQLVTSKPQSGEDGLSSEKKEQEAYPEPNTSIIHQNPASKPLLFSKNETEFEKGESTKINLDFRNKRDDEFICQHEKELDFTDKEPDDSHVTTESRPEKKHASSIESTPDSIAGRLKQVWGSNRTHDETVLDGAGKNVDEGYAVSESSPNPIKRPASAIGNSLDSNAARLKQRRQLGKDCDEQGLLGANESVSDEAAENISLRKEYKANLKHSTSGTNMDCIARRLRPRNKAL >KJB43387 pep chromosome:Graimondii2_0_v6:7:19878719:19885750:1 gene:B456_007G197400 transcript:KJB43387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIAEQSEEGSSERLEQEIALSKAVDAMAFSMETNSESSQVKKEKSRKYEQEYREKYSTPEVPPNSVMENQPIVTPPESDSNVLCGTDNHHLMCDSLNEKPTEEVGMLTYQRKVMVLYELLSACLAAADTREDSKKYTRRRKGYDARHRVALRLLTSWFDIEWIKMESIEMIVAFSAMALVKEKEAQEEESQSSGSTWAKWKRRGIIGAAAVAGGTLMAITGGLAAPAIAAGFGALAPTLGTLVPVIGASGFAAAASAAGTVAGSVAVAASFGAAGAGLTGSKMARRTGNIDEFEFIAIGDYHNQGRLAVEILISGFVFSKEDYVRPWEGQIDNMERFALLWESRNLIAVSTAIQDWLTSSIALELMRQGAMMTVLSSLVAALALPAVLLSATNFIDSKWSIAVDRSDKAGRLLAEVLLKGYQGNRPVTLIGYSLGARVIFSCLQALSETERNAELVERVVLLGAPIAIKDENWEAARKMVAGRFINAYSTKDWMLGVAFRASLLTNGLAGLQPVDVQGIENVDVTDTIEGHSSYLWSTRKILERLELEVCYPVFKSKEKEE >KJB43386 pep chromosome:Graimondii2_0_v6:7:19878697:19885792:1 gene:B456_007G197400 transcript:KJB43386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGERKSFLTPTQRYGAGALFAFAVNQAQIHQTRPLGLPFEDDHHSTGERTSSSSSNESVSDDPDLWVNERSGLLRPVFRFLDIDSAAWLGLEETAGTSRATNHVGAFMRLIAEQSEEGSSERLEQEIALSKAVDAMAFSMETNSESSQVKKEKSRKYEQEYREKYSTPEVPPNSVMENQPIVTPPESDSNVLCGTDNHHLMCDSLNEKPTEEVGMLTYQRKVMVLYELLSACLAAADTREDSKKYTRRRKGYDARHRVALRLLTSWFDIEWIKMESIEMIVAFSAMALVKEKEAQEEESQSSGSTWAKWKRRGIIGAAAVAGGTLMAITGGLAAPAIAAGFGALAPTLGTLVPVIGASGFAAAASAAGTVAGSVAVAASFGAAGAGLTGSKMARRTGNIDEFEFIAIGDYHNQGRLAVEILISGFVFSKEDYVRPWEGQIDNMERFALLWESRNLIAVSTAIQDWLTSSIALELMRQGAMMTVLSSLVAALALPAVLLSATNFIDSKWSIAVDRSDKAGRLLAEVLLKGYQGNRPVTLIGYSLGARVIFSCLQALSETERNAELVERVVLLGAPIAIKDENWEAARKMVAGRFINAYSTKDWMLGVAFRASLLTNGLAGLQPVDVQGIENVDVTDTIEGHSSYLWSTRKILERLELEVCYPVFKSKEKEE >KJB42533 pep chromosome:Graimondii2_0_v6:7:14173233:14178589:1 gene:B456_007G162200 transcript:KJB42533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASANPTGNNQEGLSNQKVTAPPTIANGISLISNSSEANTLALAAGLADTQAALRHNPGISINWTPDEQSILEDLLAKYASDSTIVRYAKIAMRLKDKTVRDVALRCRWMTKKENGKRRKEDHISTRKSKDRRERSTDSLAKSTSVLTARPNGPSHVPPITQMDNDDGIPYKGLVKTYITDDSTFFTNMKIMAG >KJB42532 pep chromosome:Graimondii2_0_v6:7:14173168:14178635:1 gene:B456_007G162200 transcript:KJB42532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASANPTGNNQEGLSNQKVTAPPTIANGISLISNSSEANTLALAAGLADTQAALRHNPGISINWTPDEQSILEDLLAKYASDSTIVRYAKIAMRLKDKTVRDVALRCRWMTKKENGKRRKEDHISTRKSKDRRERSTDSLAKSTSVLTARPNGPSHVPPITQMDNDDGIPYKAFWGATGELLDQNAQIFNQISANFSAFQIHDNIDLLCKARDNIFTILNELNELPEVMEQMPPLLDKINEEKANEILPPSSLQMKS >KJB40256 pep chromosome:Graimondii2_0_v6:7:3768337:3770284:-1 gene:B456_007G054200 transcript:KJB40256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLSEKVYCSNYLVINSKEASWSDTVKVLFSSNLRKRKFIHSSFERQESVFYRFLIVISVLLQKLLLKIAFPVKIMGSIIVYSLNFLYANGGFFGLIRNILHVKIVIPDYKAATFMSFIGFIDMRTKLDSDIKYGNPMYYPAVSIMACKAVYNNAAYNKALIEGQWEMEFLGFNDYWNDFLGQADTQVVMFRDKSVEHDTIFVCFRGTQPFNLNDWCSDIDLSWYEFPNIGKIHCGFLKALGMQNIVGWAQEVELESTHRPRRAALAYYDIRDKLRVLLKKNPKAKFVVTGHSLGGALAAIFPAILFYHDDQLLLERLEAVYTFGQPRVGDEAFGNYMEKNLKKHGIQFYRYVYCHDMVPRVPFDGIFKHFGTCVYYDSKYQASIVEEEVPYKNYLSIRGCFTMRKNAIYELIRSFRMWTKYGEDYKEGWVLFFLRIFGLLVPGLPPHCSQDYVNATRLGSHHHLLSLPFHHN >KJB44892 pep chromosome:Graimondii2_0_v6:7:47593716:47594242:1 gene:B456_007G278200 transcript:KJB44892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPQDARHLCYFVIPPSATRLTITIDEVTYEIPAGQLRPARPFQLPPAPDHQGFPINVAPMFRGYANNGAGKADVKAEFQKDEKPNPTASANPAPPAGNPANF >KJB44740 pep chromosome:Graimondii2_0_v6:7:45231987:45232710:-1 gene:B456_007G269500 transcript:KJB44740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIPVSQDFCVAINDPEDAVFVNGKFCKDPKLAKAQDFYYSGLNIPRNTSNPVGSTVTPVNVAQIPGLNTLGISLVRIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNTDNRLITKVLYPGDVFVFPIGLIHFQFNVGKTNAVAFAALSSQNPGVITVANAVFGSNPPINPDVLVKAFQLDKNVVKNLQSKFWWANN >KJB43127 pep chromosome:Graimondii2_0_v6:7:17813764:17815851:-1 gene:B456_007G185900 transcript:KJB43127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSEQGLNPKSRKKKSSTNKSALLPIERNDFKESVPSKTGKKTSKRNSKKEMSPVFQQPERSNSDSLPESSTSGNEYRALRRKYLLLEEESFAMGKEMKEVEDEVKALEDEKLALLDQLVVLEGLIDPSEMQSQGV >KJB43130 pep chromosome:Graimondii2_0_v6:7:17814049:17814480:-1 gene:B456_007G185900 transcript:KJB43130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDHPRMPPSEQGLNPKSRKKKSSTNKSALLPIERNDFKESVPSKTGKKTSKRNSKKEMSPVFQQPERSNSDSLPESSTSGNEYRALRRKYLLLEEESFAMGKEMKEVEDEVKALEDEKLALLDQLVVLEGLIDPSEMQSQGV >KJB43128 pep chromosome:Graimondii2_0_v6:7:17813764:17815783:-1 gene:B456_007G185900 transcript:KJB43128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSEQGLNPKSRKKKSSTNKSALLPIERNDFKESVPSKTGKKTSKRNSKKEMSPVFQQPERSNSDSLPESSTSGNEYRALRRKYLLLEEESFAMGKEMKEVEDEVKALEDEKLALLDQLVVLEGLIDPSEMQSQGV >KJB43129 pep chromosome:Graimondii2_0_v6:7:17813779:17815832:-1 gene:B456_007G185900 transcript:KJB43129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDHPRMPPSEQGLNPKSRKKKSSTNKSALLPIERNDFKESVPSKTGKKTSKRNSKKEMSPVFQQPERSNSDSLPESSTSGNEYRALRRKYLLLEEESFAMGKEMKEVEDEVKALEDEKLALLDQLVVLEGLIDPSEMQSQGV >KJB40158 pep chromosome:Graimondii2_0_v6:7:3439673:3447059:1 gene:B456_007G049400 transcript:KJB40158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADGVEDEEKWLAAGIAGLQQNAFYMHRALDSNNLRDALKYSAQMLSELRTSRLSPHKYYELYMRAFDELRKLEMFFKEETRRGCSIVDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPVRGLFLRSYLAQVSRDKLPDIGSEYEGDADTVVDAVEFVLQNFTEMNKLWVRMQHQGPAREKEKREKERSELRDLVGKNLHVLSQIEGIDLDMYKETVLPRVLEQVVNCKDEIAQYYLMDCIIQVFPDEYHLQTLDVLLGAFPQLQPTVDIKTVLSRLMERLSNYAASSADVLPEFLQVEAFSKLNNAIGKVIEAQPDMPILGVITLYSSLLTFTLHVHPDRLDYADQVLGACVKKLSGKEKLEDKKATKQIVALLSAPLDKYNDIVTALKLSNYPRVMEYLDSETNKVMATVIIQSIMKNKTRISTADRVEALFELIKGLIKDLDDAFHDEVDEDDFKEEQNSVARLIQLLHSDDPEEMFKIICTVRKHILGGGPKRLPFTVPPLVFSSLKLVRQLQGQEENPFGEEESTTPKKIFQVLNQTVETLSNIPAPELALQLFLQCAEAANDCDLEPVAYEFFTQAYILYEEEISDSRAQVTAIHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDNVKDGERVLLCLKRALRIANAAQQMSNAARGSAGSVTLFVEILNKYLYFFEKGNPQITVAAIQSLIELITTEMHSDSSTPDPAADAFFASTLRYMEFQKQKGGAIGEKYEPIKV >KJB40159 pep chromosome:Graimondii2_0_v6:7:3441667:3446789:1 gene:B456_007G049400 transcript:KJB40159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKETVLPRVLEQVVNCKDEIAQYYLMDCIIQVFPDEYHLQTLDVLLGAFPQLQPTVDIKTVLSRLMERLSNYAASSADVLPEFLQVEAFSKLNNAIGKVIEAQPDMPILGVITLYSSLLTFTLHVHPDRLDYADQVLGACVKKLSGKEKLEDKKATKQIVALLSAPLDKYNDIVTALKLSNYPRVMEYLDSETNKVMATVIIQSIMKNKTRISTADRVEALFELIKGLIKDLDDAFHDEVDEDDFKEEQNSVARLIQLLHSDDPEEMFKIICTVRKHILGGGPKRLPFTVPPLVFSSLKLVRQLQGQEENPFGEEESTTPKKIFQVLNQTVETLSNIPAPELALQLFLQCAEAANDCDLEPVAYEFFTQAYILYEEEISDSRAQVTAIHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDNVKDGERVLLCLKRALRIANAAQQMSNAARGSAGSVTLFVEILNKYLYFFEKGNPQITVAAIQSLIELITTEMHSDSSTPDPAADAFFASTLRYMEFQKQKGGAIGEKYEPIKV >KJB46135 pep chromosome:Graimondii2_0_v6:7:57989187:57990985:1 gene:B456_007G349800 transcript:KJB46135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKEEFEEYAEKAKTLPENTTNDDKLILYGLFKQATVGPVNTSRPGMFNMKEKYKWDAWKAVEGGSNERLYH >KJB46134 pep chromosome:Graimondii2_0_v6:7:57989173:57990985:1 gene:B456_007G349800 transcript:KJB46134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKEEFEEYAEKAKTLPENTTNDDKLILYGLFKQATVGPVNTSRPGMFNMKEKYKWDAWKAVEGKSKEEAMNDYITKVKQLQEAAAASS >KJB46556 pep chromosome:Graimondii2_0_v6:7:60633084:60637021:1 gene:B456_007G374300 transcript:KJB46556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAASFLFAGTIFNKKKYADEIARFKEKKETENEVDYLSSFERVNPGSEEVTVSTKKRKRKQAASEAVEGFNVFKSSKKALVISEENKTDEGEDNLSKEKKKINLKLEADSILRKQYNIHVSGNKVASPLKSFADLSSVYGCESYLLHNFAKLGFKEPTPIQRQAIPVLLSGRECFACAPTGSGKTLAFVSPMLMKLKHASTEGVRAVILCPTRELAAQTTRECKKLAEGNKFYIKLLTKKLIRSAHLTKLRCDILISTPLRLSSAIKKRKLDLSRVEYLVLDESDKLFELGLIKQIDSVVKACSNPSIIRSLFSATLPDSVEELARTIMHDAVRVIIGRKNTASESVNQKLVFAGTEEGKLLALRQSFQE >KJB46557 pep chromosome:Graimondii2_0_v6:7:60633084:60637086:1 gene:B456_007G374300 transcript:KJB46557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAASFLFAGTIFNKKKYADEIARFKEKKETENEVDYLSSFERVNPGSEEVTVSTKKRKRKQAASEAVEGFNVFKSSKKALVISEENKTDEGEDNLSKEKKKINLKLEADSILRKQYNIHVSGNKVASPLKSFADLSSVYGCESYLLHNFAKLGFKEPTPIQRQAIPVLLSGRECFACAPTGSGKTLAFVSPMLMKLKHASTEGVRAVILCPTRELAAQTTRECKKLAEGNKFYIKLLTKKLIRSAHLTKLRCDILISTPLRLSSAIKKRKLDLSRVEYLVLDESDKLFELGLIKQIDSVVKACSNPSIIRSLFSATLPDSVEELARTIMHDAVRVIIGRKNTASESVNQKLVFAGTEEGKLLALRQSFQERENVVNDFRAGKTWVLIATDVIARGMDFKGVNCVINYDFPDSAAAYIHRIGRSGRAGRTGEAITFYTEDDVPFLRNIANVMAASGCEVPSWIMALRKLRWKKHRPKRESILTIPDVAEE >KJB46554 pep chromosome:Graimondii2_0_v6:7:60633068:60637091:1 gene:B456_007G374300 transcript:KJB46554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAASFLFAGTIFNKKKYADEIARFKEKKETENEVDYLSSFERVNPGSEEVTVSTKKRKRKQAASEAVEGFNVFKSSKKALVISEENKTDEGEDNLSKEKKKINLKLEADSILRKQYNIHVSGNKVASPLKSFADLSSVYGCESYLLHNFAKLGFKEPTPIQRQAIPVLLSGRECFACAPTGSGKTLAFVSPMLMKLKHASTEGVRAVILCPTRELAAQTTRECKKLAEGNKFYIKLLTKKLIRSAHLTKLRCDILISTPLRLSSAIKKRKLDLSRVEYLVLDESDKLFELGLIKQIDSVVKACSNPSIIRSLFSATLPDSVEELARTIMHDAVRVIIGRKNTASESVNQKLVFAGTEEGKLLALRQSFQERLNPPVLIFVQSKERAKELYEELKFDNIRVGVIHSDLSETQRENVVNDFRAGKTWVLIATDVIARGMDFKGVNCVINYDFPDSAAAYIHRIGRSGRAGRTGEAITFYTEDDVPFLRNIANVMAASGCEVPSWIMALRKLRWKKHRPKRESILTIPDVAEE >KJB46558 pep chromosome:Graimondii2_0_v6:7:60633153:60636095:1 gene:B456_007G374300 transcript:KJB46558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAASFLFAGTIFNKKKYADEIARFKEKKETENEVDYLSSFERVNPGSEEVTVSTKKRKRKQAASEAVEGFNVFKSSKKALVISEENKTDEGEDNLSKEKKKINLKLEADSILRKQYNIHVSGNKVASPLKSFADLSSVYGCESYLLHNFAKLGFKEPTPIQRQAIPVLLSGRECFACAPTGSGKTLAFVSPMLMKLKHASTEGVRAVILCPTRELAAQTTRECKKLAEGNKFYIKLLTKKLIRSAHLTKLRCDILISTPLRLSSAIKKRKLDLSRVEYLVLDESDKLFELGLIKQIDSVVKACSNPSIIRSLFSATLPDSVEELARTIMHDAVRVIIGRKNTASESVNQKLVFAGTEEGKLLALRQSFQERLNPPVLIFVQSKERAKELYEELKFDNIRVGVIHSDLSETQVKDYFYPY >KJB46555 pep chromosome:Graimondii2_0_v6:7:60633084:60635686:1 gene:B456_007G374300 transcript:KJB46555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAASFLFAGTIFNKKKYADEIARFKEKKETENEVDYLSSFERVNPGSEEVTVSTKKRKRKQAASEAVEGFNVFKSSKKALVISEENKTDEGEDNLSKEKKKINLKLEADSILRKQYNIHVSGNKVASPLKSFADLSSVYGCESYLLHNFAKLGFKEPTPIQRQAIPVLLSGRECFACAPTGSGKTLAFVSPMLMKLKHASTEGVRAVILCPTRELAAQTTRECKKLAEGNKFYIKLLTKKLIRSAHLTKLRCDILISTPLRLSSAIKKRKLDLSRVEYLVLDESDKLFELGLIKQIDSVVKACSNPSIIRSLFSATLPDSVEELARTIMHDAVRVIIGRKNTASESVNQKLVFAGTEEGKLLALRQSFQEVCSLYEC >KJB42910 pep chromosome:Graimondii2_0_v6:7:16029926:16030313:-1 gene:B456_007G173900 transcript:KJB42910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMKHIKEAKTLSSGSGGLVEYSLKVQKQSEKMDGVTQLALPVLGIVAAAAATFYVVSFSEIREKSFRDLEDSEYEKGGFDSYVSSRKRRAIRKAEKKAKN >KJB42909 pep chromosome:Graimondii2_0_v6:7:16029224:16030317:-1 gene:B456_007G173900 transcript:KJB42909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMKHIKEAKTLSSGSGGLVEYSLKVQKQSEKMDGVTQLALPVLGIVAAAAATFYVVSFSEIRERFRRFRV >KJB42504 pep chromosome:Graimondii2_0_v6:7:13387000:13391347:1 gene:B456_007G155800 transcript:KJB42504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLQRPHILLLLIGTHQFLQCWIAFGSVNEEGQKQEEFSEELLLKTLPDHKLLAHFHFQSSAPPSTSNGCHHHLFPKAISQLVQKFRIKEMELSFTQGRWNYESWGGFDPKSSSNAKPAGVELWAVFDVPQHHIDASWKNLTHTLSGLFCASINFLESTATYSTPEWSFPPASGNVRYGTLPCEAVCTENLSPWLKLLPCRDKAGIALLLDRPSLYRGFYHSQQLHLTSTGSSSEGIDSGIILEQTLTVVLQPNSEMAIEVHASEKHIQPSWSLSSIFGKQVSGRCVLAKSSSVYLLLDRGLSAANGLTSENFWSNPSFELSANPDRIFLEESSSHSKSLSILYMFQVEKYCESEPFDIGLMWKVPLAWFCQQTPLHASSANSKDGSCELRVDVFQVVPWYVKVYFHSLRVFVDQQPRAVSDIIEKIHVSPSKDKCLLGFLRIDEYPPDANQGFDIPSAIISFPNFHASMVFLEDDSLNKSPLLSKFQEKCPVMPYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNVLQRRVAEEERFLKAKAAKKTGRLPLLLSKLSAKLRGRRLEPLHSPPSSSSFINSKLVLKVILVAGLAVGWQYFFS >KJB40984 pep chromosome:Graimondii2_0_v6:7:6174791:6177928:-1 gene:B456_007G086300 transcript:KJB40984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIEELKEGEQQDQDQSQLCEDRLVVWDAKRVLVGAGARALFYPTLLYNLVRNKIQSEFRWWDRVDEFILLGAVPFPADVPRLKDLGVSGVVTLNEPYETLVPTSLYHAHNIHHLVIPTRDYLFAPSFADICQAVDFIHENASVGKTTYVHCKAGRGRSTTIVLCYLVEHRHMTPDAAYEYVRSIRPRVLLAPAQQQAVQDYYLHKVNNNGICGDSCSMIIKKTLVVPAKQDTAVFDDGSVVVVTESDLDGYDASFDTDVLSNEMLDEGSLAGRLQFASQAAMTRLSCLWLRCRAEQKASRKLLSSLGIDIRVY >KJB45295 pep chromosome:Graimondii2_0_v6:7:51055026:51056184:-1 gene:B456_007G299000 transcript:KJB45295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSTTAGGRRGGDRKKAVSKSAKAGLQFPVGRIARYLKKGRYAQRYGGGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRINPRHVLLAVRNDEELGKLLQGVTIASGGVLPNINAVLLPKKASSSSDKDQSSKSKSSNKV >KJB41660 pep chromosome:Graimondii2_0_v6:7:32976962:32978692:1 gene:B456_007G239900 transcript:KJB41660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSAFSLMILSFTPFHSIILKNPRRFSRNIPFNHSTKSRVTSYAQNVQLHTEDMMGASRYLPPLFSVASMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQQGNLVTCVEQEAVLSQEAFKFMEKLGKFESLQAAKAKA >KJB41657 pep chromosome:Graimondii2_0_v6:7:32975886:32978916:1 gene:B456_007G239900 transcript:KJB41657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGASRYLPPLFSVASMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQQGNLVTCVEQEAVLSQEAFKFMEKLGKFESLQAAKAKA >KJB41656 pep chromosome:Graimondii2_0_v6:7:32976019:32978692:1 gene:B456_007G239900 transcript:KJB41656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGASRYLPPLFSVASMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQQGNLVTCVEQEAVLSQEAFKFMEKLGKFESLQAAKAKA >KJB41659 pep chromosome:Graimondii2_0_v6:7:32976019:32978704:1 gene:B456_007G239900 transcript:KJB41659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFILICLRFSRNIPFNHSTKSRVTSYAQNVQLHTEDMMGASRYLPPLFSVASMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQQGNLAFVSVVANLALGNLKSKALR >KJB41658 pep chromosome:Graimondii2_0_v6:7:32975886:32978916:1 gene:B456_007G239900 transcript:KJB41658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFILICLRFSRNIPFNHSTKSRVTSYAQNVQLHTEDMMGASRYLPPLFSVASMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQQGNLVTCVEQEAVLSQEAFKFMEKLGKFESLQAAKAKA >KJB40869 pep chromosome:Graimondii2_0_v6:7:5804762:5808321:-1 gene:B456_007G081000 transcript:KJB40869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN1 [Source:Projected from Arabidopsis thaliana (AT5G39400) UniProtKB/Swiss-Prot;Acc:Q9FLZ5] MKDHHHHPHDLQPQQQLQPHCYFDILKLSRYQQMGLEFTKAGPIKGANTTLLLQHKMLSYLSASSFIRNLVSKKRRRMLVGGYDLDMSYITDRLLAMSFPAERMRAMYRNPLWQVKSVLEMIHKGHYRVYNLCIEEDYDPSHFHGRVEKFPFDDNHVPHFEMMKSFCESVHSWLSDDPKNIAVVHCMAGKGRTGLMVCAYLVYTGMSAEEALQLYAQKRTTNNEGVSIPSQRRYVGYWEKLLSFTRGIGNGPVDVHLPQPCSRELRRIRLYDTVNTNSVFFVVSELQEIPDQMNRPPVEVAKRCCRQIKKGSEANCSPRYFLSYVEGDKEGATPESEEPRVVVQMDTESPILYQKNCLDYRFNKPVEVKGDVRVIFYQKMIGGRLFYACFNTAFIKSSLLQFTIRDLDKVGSAGRSICGPAFCVELLFGPANRSLSSAFSSNYDKHLDC >KJB40870 pep chromosome:Graimondii2_0_v6:7:5805080:5808060:-1 gene:B456_007G081000 transcript:KJB40870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN1 [Source:Projected from Arabidopsis thaliana (AT5G39400) UniProtKB/Swiss-Prot;Acc:Q9FLZ5] MGLEFTKAGPIKGANTTLLLQHKMLSYLSASSFIRNLVSKKRRRMLVGGYDLDMSYITDRLLAMSFPAERMRAMYRNPLWQVKSVLEMIHKGHYRVYNLCIEEDYDPSHFHGRVEKFPFDDNHVPHFEMMKSFCESVHSWLSDDPKNIAVVHCMAGKGRTGLMVCAYLVYTGMSAEEALQLYAQKRTTNNEGVSIPSQRRYVGYWEKLLSFTRGIGNGPVDVHLPQPCSRELRRIRLYDTVNTNSVFFVVSELQEIPDQMNRPPVEVAKRCCRQIKKGSEANCSPRYFLSYVEGDKEGATPESEEPRVVVQMDTESPILYQKNCLDYRFNKPVEVKGDVRVIFYQKMIGGRLFYACFNTAFIKSSLLQFTIRDLDKVGSAGRSICGPAFCVELLFGPANRSLSSAFSSNYDVMNLY >KJB40871 pep chromosome:Graimondii2_0_v6:7:5805125:5807756:-1 gene:B456_007G081000 transcript:KJB40871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN1 [Source:Projected from Arabidopsis thaliana (AT5G39400) UniProtKB/Swiss-Prot;Acc:Q9FLZ5] MGLEFTKAGPIKGANTTLLLQHKMLSYLSASSFIRNLVSKKRRRMLVGGYDLDMSYITDRLLAMSFPAERMRAMYRNPLWQVKSVLEMIHKGHYRVYNLCIEEDYDPSHFHGRVEKFPFDDNHVPHFEMMKSFCESVHSWLSDDPKNIAVVHCMAGKGRTGLMVCAYLVYTGMSAEEALQLYAQKRTTNNEGVSIPSQRRYVGYWEKLLSFTRGIGNGPVDVHLPQPCSRELRRIRLYDTVNTNSVFFVVSELQEIPDQMNRPPVEVAKRCCRQIKKGSEANCSPRYFLSYVEGDKEGATPESEEPRVVVQMDTESPILYQKNCLDYRFNKPVEVKGDVRVIFYQKMIGGRLFYACFNTAFIKSSLLQFTIRDLDKVGSAGRSICGPAFCVELLFGPANRSLSSAFSSNYDVMNLY >KJB41746 pep chromosome:Graimondii2_0_v6:7:9290190:9292934:1 gene:B456_007G118200 transcript:KJB41746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQRGIDPVTHKPLSEVEHGEDKSQPRTNSKDMASSELNINTNNPKPGTSPVTPRGYQLEMEGSPTSKTVNSSDNNGNTTTNLMVSTTTASKGFFLDKFSTNSQPSDLVGHLPVHQLNYASNARLSSTSNPTPWFTQTTQAFDINSEFSSAAMSSFLPPLTASFLSASMGYKPSVADSPSMASFTVNGSRYWETGASANNNSNSSSNSTELQSNSSFYDNNSSSWGLPDCSTPEKEAPLHLMESQAEDIKWSEYLNNPLLMAAALQNQTPQSLYNMDIKSETHFLTNNSSNSMWTHNQQQQQQEPLQNPNMCAAKDIHRLTAAYGHV >KJB42492 pep chromosome:Graimondii2_0_v6:7:13328102:13329458:-1 gene:B456_007G155200 transcript:KJB42492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKVPYYLDEATGRGLEVSELKKQLQEAKSNGITVRALVVINPGNPTGQVLAEENQKAIVEFCKEEGLVLLADEVYQENVYVPEKKFHSFKKVARSMGYGEKDLHLVSFQSVSKGYYGECGKRGGYMEVTGFGADVREHIYKLVSVNLCSNITGQILASLVISPPKVISFAI >KJB43093 pep chromosome:Graimondii2_0_v6:7:17650992:17652593:-1 gene:B456_007G1839002 transcript:KJB43093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKDGKSLLQLGLGAGSAVAITILICFLVLCFKLGKSLPINHGKKEEDARIEAFIKKFGSLAPRRYSYAEIRKLTNKFNDKLGQGGYGSVYKGKLSDCRLVAVKVLSESKGNGGDFMNEVASISRTAHVNIVSLLGFCFEKSKRALIYEFMPHGSLDGFIYDRGLHHRSCRLEWTTLYDIALGIARGLEYLHQGCNTRILHFDIKPHNILLDENFCPKISDFGLSKLCERKESIISMACARGTIGYIAPEVFCRNFGGVSYKSDVYSYGMMVLEMVGGRKNIDVGVSQTSEVYFPSWIYKHLDQSMNLNLNGGIVEEEEEEMTRKLIIVSLWCIQSDPSDRPSMTKVIEMLQVSLQSLIIPPRPFVSSPVRSPKTLELQK >KJB45606 pep chromosome:Graimondii2_0_v6:7:52908007:52909235:-1 gene:B456_007G315200 transcript:KJB45606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPENTNYWSSFDYATLINDIPAPNGPYSGFSWPTRPINASSNVFSSQLECSSCHWFYGVVISVVVINDHSCSLGS >KJB45605 pep chromosome:Graimondii2_0_v6:7:52908326:52908962:-1 gene:B456_007G315200 transcript:KJB45605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPENTNYWSSFDYATLINDIPAPNGPYSGFSWPTRPINASSNVFSVFNLDKEMVCVSNKLLSWAFG >KJB40651 pep chromosome:Graimondii2_0_v6:7:5159642:5160523:-1 gene:B456_007G072700 transcript:KJB40651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAAAAAAATLSIAPPLIPNLSPSKAFSPRLGSSIGSPRRGLPIKATATTYDTSMVDYSSMLSVFPAEACETIGGDACRADIYPEVKLQPEARNNSPRTTTELMDREYLEYNDAKTY >KJB40652 pep chromosome:Graimondii2_0_v6:7:5159642:5160678:-1 gene:B456_007G072700 transcript:KJB40652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAAAAAAATLSIAPPLIPNLSPSKAFSPRLGSSIGSPRRGLPIKATATTYDTSMVDYSSMLSVFPAEACETIGGDACRADIYPEVKLQPEARNNSPRTTTELMDREYLEYNDAKTVFCAEACDDLGGEFCSREYQRGVY >KJB39766 pep chromosome:Graimondii2_0_v6:7:2057202:2058212:-1 gene:B456_007G030000 transcript:KJB39766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFYFVFINMCTFFCSSSVSILSTGSEERELQSQPTCGQFSTSRSRSTSLVRYPEPDVFKENRRYSPRDHVNKRDLGYFNSDIRYNLYKDQRRPNSQSFKYQPGDSRGRAYRRASRSPEADTNPHYGRSIDSRKHRERRGASPLEKSWKRERSPSKSTSQRYCHEDSNSGRSGSFRCQSGDDAGRNYHTVYQRPTDVGIPHDSRFIDSRKHRESSGSSHMERNWKTERRSPSKPKHQSYFDKDSHSFRCQPGDSRSKCYDSNPHHGRSIDSKKHREKRGASPLEIGRSHKSRHLLAEDRKDGYRDNGDEKISEPTGGRSGCQKKPTMDETWEKTLY >KJB39765 pep chromosome:Graimondii2_0_v6:7:2057202:2058212:-1 gene:B456_007G030000 transcript:KJB39765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFYFVFINMCTFFCSSSVSILSTGSEERELQSQPTCGQFSTSRSRSTSLVRYPEPDVFKENRRYSPRDHVNKRDLGYFNSDIRYNLYKDQRRPNSQSFKYQPGDSRGRAYRRASRSPEADTNPHYGRSIDSRKHRERRGASPLEKSWKRERSPSKSTSQRYCHEDSNSGRSGSFRCQSGDDAGRNYHTVYQRPTDVGIPHDSRFIDSRKHRESSGSSHMERNWKTERRSPSKPKHQSYFDKDSHSFRCQPGDSRSKCYDSNPHHGRSIDSKKHREKRGASPLEIGRSHKSRHLLAEDRKDGYRDNGDEKISEPTGGRSGCQKKPTMDETWEKTLY >KJB39769 pep chromosome:Graimondii2_0_v6:7:2057202:2058750:-1 gene:B456_007G030000 transcript:KJB39769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSQDMNTTNQPGHKPSFSALIDAIALQEQCFEQSLASQQLWAKQLADEQEAKIKATVQKITVDAAEVDEILKGKNIVTSDAKPEGFNSKSEERELQSQPTCGQFSTSRSRSTSLVRYPEPDVFKENRRYSPRDHVNKRDLGYFNSDIRYNLYKDQRRPNSQSFKYQPGDSRGRAYRRASRSPEADTNPHYGRSIDSRKHRERRGASPLEKSWKRERSPSKSTSQRYCHEDSNSGRSGSFRCQSGDDAGRNYHTVYQRPTDVGIPHDSRFIDSRKHRESSGSSHMERNWKTERRSPSKPKHQSYFDKDSHSFRCQPGDSRSKCYDSNPHHGRSIDSKKHREKRGASPLEIGRSHKSRHLLAEDRKDGYRDNGDEKISEPTGGRSGCQKKPTMDETWEKTLY >KJB39770 pep chromosome:Graimondii2_0_v6:7:2056983:2059701:-1 gene:B456_007G030000 transcript:KJB39770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSQDMNTTNQPGHKPSFSALIDAIALQEQCFEQSLASQQLWAKQLADEQEAKIKATVQKITVDAAEVDEILKGKNIVTSDAKPEGFNSKSEERELQSQPTCGQFSTSRSRSTSLVRYPEPDVFKENRRYSPRDHVNKRDLGYFNSDIRYNLYKDQRRPNSQSFKYQPGDSRGRAYRRASRSPEADTNPHYGRSIDSRKHRERRGASPLEKSWKRERSPSKSTSQRYCHEDSNSGRSGSFRCQSGDDAGRNYHTVYQRPTDVGIPHDSRFIDSRKHRESSGSSHMERNWKTERRSPSKPKHQSYFDKDSHSFRCQPGDSRSKCYDSNPHHGRSIDSKKHREKRGASPLEIGRSHKSRHLLAEDRKDGYRDNGDEKISEPTGGRSGCQKKPTMDETWEKTLY >KJB39768 pep chromosome:Graimondii2_0_v6:7:2056957:2059888:-1 gene:B456_007G030000 transcript:KJB39768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSQDMNTTNQPGHKPSFSALIDAIALQEQCFEQSLASQQLWAKQLADEQEAKIKATVQKITVDAAEVDEILKGKNIVTSDAKPEGFNSKSEERELQSQPTCGQFSTSRSRSTSLVRYPEPDVFKENRRYSPRDHVNKRDLGYFNSDIRYNLYKDQRRPNSQSFKYQPGDSRGRAYRRASRSPEADTNPHYGRSIDSRKHRERRGASPLEKSWKRERSPSKSTSQRYCHEDSNSGRSGSFRCQSGDDAGRNYHTVYQRPTDVGIPHDSRFIDSRKHRESSGSSHMERNWKTERRSPSKPKHQSYFDKDSHSFRCQPGDSRSKCYDSNPHHGRSIDSKKHREKRGASPLEIGRSHKSRHLLAEDRKDGYRDNGDEKISEPTGGRSGCQKKPTMDETWEKTLY >KJB39764 pep chromosome:Graimondii2_0_v6:7:2056957:2059888:-1 gene:B456_007G030000 transcript:KJB39764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSQDMNTTNQPGHKPSFSALIDAIALQEQCFEQSLASQQLWAKQLADEQEAKIKATVQKITVDAAEVDEILKGKNIVTSDAKPEGFNSKSEERELQSQPTCGQFSTSRSRSTSLVRYPEPDVFKENRRYSPRDHVNKRDLGYFNSDIRYNLYKDQRRPNSQSFKYQPGDSRGRAYRRASRSPEADTNPHYGRSIDSRKHRERRGASPLEKSWKRERSPSKSTSQRYCHEDSNSGRSGSFRCQSGDDAGRNYHTVYQRPTDVGIPHDSRFIDSRKHRESSGSSHMERNWKTERRSPSKPKHQSYFDKDSHSFRCQPGDSRSKCYDSNPHHGRSIDSKKHREKRGASPLEIGRSHKSRHLLAEDRKDGYRDNGDEKISEPTGGRSGCQKKPTMDETWEKTLY >KJB39767 pep chromosome:Graimondii2_0_v6:7:2056983:2059705:-1 gene:B456_007G030000 transcript:KJB39767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSQDMNTTNQPGHKPSFSALIDAIALQEQCFEQSLASQQLWAKQLADEQEAKIKATVQKITVDAAEVDEILKGKNIVTSDAKPEGFNSKSEERELQSQPTCGQFSTSRSRSTSLVRYPEPDVFKENRRYSPRDHVNKRDLGYFNSDIRYNLYKDQRRPNSQSFKYQPGDSRGRAYRRASRSPEADTNPHYGRSIDSRKHRERRGASPLEKSWKRERSPSKSTSQRYCHEDSNSGRSGSFRCQSGDDAGRNYHTVYQRPTDVGIPHDSRFIDSRKHRESSGSSHMERNWKTERRSPSKPKHQSYFDKDSHSFRCQPGDSRSKCYDSNPHHGRSIDSKKHREKRGASPLEIGRSHKSRHLLAEDRKDGYRDNGDEKISEPTGGRSGCQKKPTMDETWEKTLY >KJB39763 pep chromosome:Graimondii2_0_v6:7:2056983:2059848:-1 gene:B456_007G030000 transcript:KJB39763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSQDMNTTNQPGHKPSFSALIDAIALQEQCFEQSLASQQLWAKQLADEQEAKIKATVQKITVDAAEVDEILKGKNIVTSDAKPEGFNSKSEERELQSQPTCGQFSTSRSRSTSLVRYPEPDVFKENRRYSPRDHVNKRDLGYFNSDIRYNLYKDQRRPNSQSFKYQPGDSRGRAYRRASRSPEADTNPHYGRSIDSRKHRERRGASPLEKSWKRERSPSKSTSQRYCHEDSNSGRSGSFRCQSGDDAGRNYHTVYQRPTDVGIPHDSRFIDSRKHRESSGSSHMERNWKTERRSPSKPKHQSYFDKDSHSFRCQPGDSRSKCYDSNPHHGRSIDSKKHREKRGASPLEIGRSHKSRHLLAEDRKDGYRDNGDEKISEPTGGRSGCQKKPTMDETWEKTLY >KJB40491 pep chromosome:Graimondii2_0_v6:7:4685933:4702964:1 gene:B456_007G066700 transcript:KJB40491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTIKVLNVAEKPSVAKSVSGFLSRNQALRVRDGRSRYNRIFEFNYSIRGQPCHMLFTSVTGHLMELEFEDRFRKWHSCDPLDLYHAPVRKFVPEDKLDIKRTLEEEARRCQWLVLWLDCDREGENIAFEVINVCRAVNRHLTIRRARFSALIDREIHHAMQNLIDPNPWFSDAVDARQEIDLRIGASFTRFQTMLLRDKFVIDSATDDRNLVLSYGPCQFPTLGFVVERYWEVQSHEPEEFWTINCSHRSDEGVATFNWMRGHLFDYTCAVIVYEMCVQEPTATVTKVQHKEKLKYPPYPLNTIELEKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDCFSSRTDLHAIVQEQQGHPDWGSYAQRLLDPETGLWRNPGSGGHDDKAHPPIHPTKFSTGEHGWSQDHRTLYELVVRHFLACVSQPAVGAETTVEIDIAGELFSASGRVILERNYLDVYRYESWGGSMIPTYTVGQQFVPASLTLDTGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRFYATKDSNTRFSPTNLGEALVMGYDDMGYELWKPNLRSMMEFDMKEVSVGNKSKDEVLATCLQQMKACFFDARLNKVKLLEAMAVFFERSNRAVGGDNYAAGEVVRQCDLCQESSMVLKKNRDGNFMVGCSGFPQCRNAIWLPGSILEAAVTSNICSSCNPGPVYLIQFKFRQIEIPPGFNANHLGCIGGCDDTLRQLIEICGTGSRMSARGRGPTTTSSNGQRSSNRHNSCIHCQQTGHSSNDCPSQFSRSRNSQSHTNSENGDPSVSCSTCGMPCVLRTANTANNRGRKFYSCPSQECNFFIWEDGVSNGNGGRSIPSTNCSASNSGRRGGRGQSGRNRSHAADTTFVSATGDPVSNRCYVCGDPSHFANVCPNRGM >KJB40490 pep chromosome:Graimondii2_0_v6:7:4685794:4702964:1 gene:B456_007G066700 transcript:KJB40490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTIKVLNVAEKPSVAKSVSGFLSRNQALRVRDGRSRYNRIFEFNYSIRGQPCHMLFTSVTGHLMELEFEDRFRKWHSCDPLDLYHAPVRKFVPEDKLDIKRTLEEEARRCQWLVLWLDCDREGENIAFEVINVCRAVNRHLTIRRARFSALIDREIHHAMQNLIDPNPWFSDAVDARQEIDLRIGASFTRFQTMLLRDKFVIDSATDDRNLVLSYGPCQFPTLGFVVERYWEVQSHEPEEFWTINCSHRSDEGVATFNWMRGHLFDYTCAVIVYEMCVQEPTATVTKVQHKEKLKYPPYPLNTIELEKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDCFSSRTDLHAIVQEQQGHPDWGSYAQRLLDPETGLWRNPGSGGHDDKAHPPIHPTKFSTGEHGWSQDHRTLYELVVRHFLACVSQPAVGAETTVEIDIAGELFSASGRVILERNYLDVYRYESWGGSMIPTYTVGQQFVPASLTLDTGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRFYATKDSNTRFSPTNLGEALVMGYDDMGYELWKPNLRSMMEFDMKEVSVGNKSKDEVLATCLQQMKACFFDARLNKVKLLEAMAVFFERSNRAVGGDNYAAGEVVRQCDLCQESSMVLKKNRDGNFMVGCSGFPQCRNAIWLPGSILEAAVTSNICSSCNPGPVYLIQFKFRQIEIPPGFNANHLVLLSHYFPRCPILMKFSSYGCIGGCDDTLRQLIEICGTGSRMSARGRGPTTTSSNGQRSSNRHNSCIHCQQTGHSSNDCPSQFSRSRNSQSHTNSENGDPSVSCSTCGMPCVLRTANTANNRGRKFYSCPSQECNFFIWEDGVSNGNGGRSIPSTNCSASNSGRRGGRGQSGRNRSHAADTTFVSATGDPVSNRCYVCGDPSHFANVCPNRGM >KJB40492 pep chromosome:Graimondii2_0_v6:7:4685933:4702588:1 gene:B456_007G066700 transcript:KJB40492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTIKVLNVAEKPSVAKSVSGFLSRNQALRVRDGRSRYNRIFEFNYSIRGQPCHMLFTSVTGHLMELEFEDRFRKWHSCDPLDLYHAPVRKFVPEDKLDIKRTLEEEARRCQWLVLWLDCDREGENIAFEVINVCRAVNRHLTIRRARFSALIDREIHHAMQNLIDPNPWFSDAVDARQEIDLRIGASFTRFQTMLLRDKFVIDSATDDRNLVLSYGPCQFPTLGFVVERYWEVQSHEPEEFWTINCSHRSDEGVATFNWMRGHLFDYTCAVIVYEMCVQEPTATVTKVQHKEKLKYPPYPLNTIELEKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDCFSSRTDLHAIVQEQQGHPDWGSYAQRLLDPETGLWRNPGSGGHDDKAHPPIHPTKFSTGEHGWSQDHRTLYELVVRHFLACVSQPAVGAETTVEIDIAGELFSASGRVILERNYLDVYRYESWGGSMIPTYTVGQQFVPASLTLDTGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRFYATKDSNTRFSPTNLGEALVMGYDDMGYELWKPNLRSMMEFDMKEVSVGNKSKDEVLATCLQQMKACFFDARLNKVKLLEAMAVFFERSNRAVGGDNYAAGEVVRQCDLCQESSMVLKKNRDGNFMVGCSGFPQCRNAIWLPGSILEAAVTSNICSSCNPGPVYLIQFKFRQIEIPPGFNANHLVLLSHYFPRCPILMKFSSYGCIGGCDDTLRQLIEICGTGSRMSARGRGPTTTSSNGQRSSNRHNSCIHCQQTGHSSNDCPSQFSRSRNSQSHTNSENGDPSVSCSTCGMPCVLRTANTANNRGRKFYSCPSQECNFFIWEDGVSNGNGGRSIPSTNCSASNSGRRGGRGQSGRNRSHAADTTFVSATGDPVSNRCYVCGDPSHFANVCPNRGM >KJB40493 pep chromosome:Graimondii2_0_v6:7:4685794:4703221:1 gene:B456_007G066700 transcript:KJB40493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTIKVLNVAEKPSVAKSVSGFLSRNQALRVRDGRSRYNRIFEFNYSIRGQPCHMLFTSVTGHLMELEFEDRFRKWHSCDPLDLYHAPVRKFVPEDKLDIKRTLEEEARRCQWLVLWLDCDREGENIAFEVINVCRAVNRHLTIRRARFSALIDREIHHAMQNLIDPNPWFSDAVDARQEIDLRIGASFTRFQTMLLRDKFVIDSATDDRNLVLSYGPCQFPTLGFVVERYWEVQSHEPEEFWTINCSHRSDEGVATFNWMRGHLFDYTCAVIVYEMCVQEPTATVTKVQHKEKLKYPPYPLNTIELEKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDCFSSRTDLHAIVQEQQGHPDWGSYAQRLLDPETGLWRNPGSGGHDDKAHPPIHPTKFSTGEHGWSQDHRTLYELVVRHFLACVSQPAVGAETTVEIDIAGELFSASGRVILERNYLDVYRYESWGGSMIPTYTVGQQFVPASLTLDTGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRFYATKDSNTRFSPTNLGEALVMGYDDMGYELWKPNLRSMMEFDMKEVSVGNKSKDEVLATCLQQMKACFFDARLNKVKLLEAMAVFFERSNRAVGGDNYAAGEVVRQCDLCQESSMVLKKNRDGNFMVGCSGFPQCRNAIWLPGSILEAAVTSNICSSCNPGPVYLIQFKFRQIEIPPGFNANHLGCIGGCDDTLRQLIEICGTGSRMSARGRGPTTTSSNGQRSSNRHNSCIHCQQTGHSSNDCPSQFSRSRNSQSHTNSENGDPSVSCSTCGMPCVLRTANTANNRGRKFYSCPSQECNFFIWEDGVSNGNGGRSIPSTNCSASNSGRRGGRGQSGRNRSHAADTTFVSATGDPVSNRCYVCGDPSHFANVCPNRGM >KJB42977 pep chromosome:Graimondii2_0_v6:7:16550742:16553817:-1 gene:B456_007G178000 transcript:KJB42977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGIDGIQLHQDVFHKMHNLRYIKFYYSQLSGKEGNSLLSQQDLKSLPGELSYFHWEYCPLKSLPPNFTPEKLVELRLPDSNFEQLWDKEQNVANLRVIDLRNCKNLTRIPDLSRALNIEELEFSGCINLTDLPCMIHLKFLENLCLKDCPVTKFPEIPRTLKTLNLSGTRIEEVPSSIQCLNRLASLHMSCTRIHNLPDSVVKMDSLKIICLSHCPNIIHFPNVSENIEDLNLAYTQIEEVPLSIGCLSKLSLLDMSGTRIGNLPSTIGNLDSLKAIHLCHCLNITQFPNVSKTVEILLLDNTPIEEIPLSIALLRGLSKLSMSDCTRIKSLPSSIFQLKFLRQLCLQGCSNLEIFPEILETMQCLSQLAFFKRLSIKASPILNEHITCVHHRRNLNVLSVILSSSFLKFHTLSTLDLSGSNIVKIPMSIRQLPNLISLYLKCCKSLIFLPELPPSLRNLNAHDCTSLELVLSGRQFWENSSLVHMLFSNCFNLDQDVVDNIVANAQLRSQCIVKEWVKERSLGFYEMITENIFDRVVACSEISERFEYQCRDSSITIKLCPDWKSDRFLCFVPSVVVNFENNPKDIDVKIVCEIQLKTICNDCHNFISRWTLPLYYDEPIFFESNNMIIWFGKNMFRKDEHYEEALFEFYITAGEDGKCADHMKVEKCGVHVFYVDAKCSINGNVKSKKNSSSDEEEGKQALKHLESSYGISAYLPCTQDDVNGCSPNMIQFQSNSPIDPNRLSLKSSFNSLDFGGSTDREDINFNNGHDKPTIRRCRSFNFNDEDEPEQKMLKHLHFF >KJB42978 pep chromosome:Graimondii2_0_v6:7:16550691:16553817:-1 gene:B456_007G178000 transcript:KJB42978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGIDGIQLHQDVFHKMHNLRYIKFYYSQLSGKEGNSLLSQQDLKSLPGELSYFHWEYCPLKSLPPNFTPEKLVELRLPDSNFEQLWDKEQNVANLRVIDLRNCKNLTRIPDLSRALNIEELEFSGCINLTDLPCMIHLKFLENLCLKDCPVTKFPEIPRTLKTLNLSGTRIEEVPSSIQCLNRLASLHMSCTRIHNLPDSVVKMDSLKIICLSHCPNIIHFPNVSENIEDLNLAYTQIEEVPLSIGCLSKLSLLDMSGTRIGNLPSTIGNLDSLKAIHLCHCLNITQFPNVSKTVEILLLDNTPIEEIPLSIALLRGLSKLSMSDCTRIKSLPSSIFQLKFLRQLCLQGCSNLEIFPEILETMQCLSQLAFFKRLSIKASPILNEHITCVHHRRNLNVLSVILSSSFLKFHTLSTLDLSGSNIVKIPMSIRQLPNLISLYLKCCKSLIFLPELPPSLRNLNAHDCTSLELVLSGRQFWENSSLVHMLFSNCFNLDQDVVDNIVANAQLRSQCIVKEWVKERSLGFYEMITENIFDRVVACSEISERFEYQCRDSSITIKLCPDWKSDRFLCFVPSVVVNFENNPKDIDVKIVCEIQLKTICNDCHNFISRWTLPLYYDEPIFFESNNMIIWFGKNMFRKDEHYEEALFEFYITAGEDGKCADHMKVEKCGVHVFYVDAKCSINGNVKSKKNSSSDEEEGKQALKHLESSYGISAYLPCTQDDVNGCSPNMIQFQSNSPIDPNRLSLKSSFNSLDFGGSTDREDINFNNGHDKPTIRRCRSFNFNDEDEPEQKMLKHLHFF >KJB45142 pep chromosome:Graimondii2_0_v6:7:50053047:50054849:1 gene:B456_007G292100 transcript:KJB45142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSLFPFFALVTILTFLEIGISASYCQNLSNETLGKASCPLNFDILKKLVNKDPESSTFANISTQCQTILEGLDLVRSEYLRTNEYFVPPPTTSKACWDSYQLLIGEFINGFDIETSCGYNIAEWISKPCMNIKSRAQFESLIPSTELYQLRYYCTQSLDDSFTCELCTRKLLQLGKNYFDGIGSTAGNISACSGYPSMYAAAFINNFGPTDKATAKCLFSIELKPKKSSSSHHRSAIAGATAGSLVGLLGAFSAILVLLMRRHRKNIKERSRRVMEKNDSVKDETSLVFGFGLYSRSTGLKKFKIKEIKSATMNFSRENIIGMGGYGNVYKGVLPDGSEVAIKRFKNCSLVGDANFVHEVEVIASVKHVNLVELRGFCTATIPLEGHQRIIVCDLMHNGSLYDHLFGSEKKRLSWPIRLKIALGTARGLAYLHHGLHPAIIHRDIKASNILLDETFEPKVADFGLAKIKSEGTTHLSTRVAGTLGYVAPEYALYGKLTEKSDVYSFGVVLLELLSGKKAYDNDEGKIFRVTDWAWELVEQGRALDVLEQDMAEIGLAEVMEQYVLVAVLCSHPILDVRPTMDQTVKILESGLPVRSSS >KJB39305 pep chromosome:Graimondii2_0_v6:7:469530:474353:-1 gene:B456_007G005900 transcript:KJB39305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVYSSCFSSSAEQSTTGLHHGGHNFHEVFQWKQGRYADLPGAKISEMMKSNSLDNAPTQSLLSVVNGILDESLDRKNGEIPHRVACLLRKVVQEIERRISTQADHLRTQNNLFKIREEKYKSRIRVLETLAAGNSEEIKIVTVHPQQTKTESPKVEENRKVEEKVKDKRESVKETDKRETHEHETSKHKIDKQAIKQETEKHIREIASLKQELEMAKTIHEQQCLEMQKETEGVKAGLQARLNELESLLNDSNNRVKELETSAKSKCQSWSMKVNTYQSFMDSQFHAMRDLRFTSNAIKQELLKTQKSYFEEFNLLEVKLKALADAAGNYREVLNENRKLFNEIQDLRGNIRVFCRVRPFLPGQNGKQTIVESIGDNGQLVVTNPAKPGKEGQKTFKFNKVFGPAATQGEVFADIQPFIQSVVDGYNVCIFAYGQTGSGKTYTMTGPNDATEEEWGVNFRALNNLFKISQNRRSTFQYEVGVQVVEIYNDQVRDLLSNRTGPAKKYPFFHRIHSLFKCFYFRYYCCDIVIFVFLNPHTMGVTSISQPNGLAVPDASMHPVLSTTDVIDLINLGFKNRTVSATALNERSSRSHSIVTVHVRGKDLKAGDYIYGNLHLVDLAGSERVDRSEVTGDRLKEAQHINKSLSSLGDVIFALAQKSPHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVTSYSESLSTLKFAERVSGVELGAARSSKEGKDVKELMEQMASLKDTIAKKDEEIERLHLLKDLKNGYPGIDGEQPGTGSLRYDSSPIYRPKTPNRLLGHTEKTVSDHYNWFHSDKQSETGSTDDEKSEITDAALSMGTETDGSAEYVHSPEGVSLGK >KJB39303 pep chromosome:Graimondii2_0_v6:7:469627:474857:-1 gene:B456_007G005900 transcript:KJB39303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNYENQSGGNLSNKNSNTKAGNVPGDAPLRGPLTPLSGEERRKASSDLKNQQQSTTGLHHGGHNFHEVFQWKQGRYADLPGAKISEMMKSNSLDNAPTQSLLSVVNGILDESLDRKNGEIPHRVACLLRKVVQEIERRISTQADHLRTQNNLFKIREEKYKSRIRVLETLAAGNSEEIKIVTVHPQQTKVRRKTIISRCSTALNCFCPILSQTESPKVEENRKVEEKVKDKRESVKETDKRETHEHETSKHKIDKQAIKQETEKHIREIASLKQELEMAKTIHEQQCLEMQKETEGVKAGLQARLNELESLLNDSNNRVKELETSAKSKCQSWSMKVNTYQSFMDSQFHAMRDLRFTSNAIKQELLKTQKSYFEEFNLLEVKLKALADAAGNYREVLNENRKLFNEIQDLRGNIRVFCRVRPFLPGQNGKQTIVESIGDNGQLVVTNPAKPGKEGQKTFKFNKVFGPAATQGEVFADIQPFIQSVVDGYNVCIFAYGQTGSGKTYTMTGPNDATEEEWGVNFRALNNLFKISQNRRSTFQYEVGVQVVEIYNDQVRDLLSNRTGPAKKYPFFHRIHSLFKCFYFRYYCCDIVIFVFLNPHTMGVTSISQPNGLAVPDASMHPVLSTTDVIDLINLGFKNRTVSATALNERSSRSHSIVTVHVRGKDLKAGDYIYGNLHLVDLAGSERVDRSEVTGDRLKEAQHINKSLSSLGDVIFALAQKSPHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVTSYSESLSTLKFAERVSGVELGAARSSKEGKDVKELMEQMASLKDTIAKKDEEIERLHLLKDLKNGYPGIDGEQPGTGSLSDKQSETGSTDDEKSEITDAALSMGTETDGSAEYVHSPEGVSLGKPKVVPKVRVLQKPAQATPTSKSESPRASSPAIKKTLSSSSNLVKPVVRKKV >KJB39304 pep chromosome:Graimondii2_0_v6:7:469400:475498:-1 gene:B456_007G005900 transcript:KJB39304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNYENQSGGNLSNKNSNTKAGNVPGDAPLRGPLTPLSGEERRKASSDLKNQQQSTTGLHHGGHNFHEVFQWKQGRYADLPGAKISEMMKSNSLDNAPTQSLLSVVNGILDESLDRKNGEIPHRVACLLRKVVQEIERRISTQADHLRTQNNLFKIREEKYKSRIRVLETLAAGNSEEIKIVTVHPQQTKTESPKVEENRKVEEKVKDKRESVKETDKRETHEHETSKHKIDKQAIKQETEKHIREIASLKQELEMAKTIHEQQCLEMQKETEGVKAGLQARLNELESLLNDSNNRVKELETSAKSKCQSWSMKVNTYQSFMDSQFHAMRDLRFTSNAIKQELLKTQKSYFEEFNLLEVKLKALADAAGNYREVLNENRKLFNEIQDLRGNIRVFCRVRPFLPGQNGKQTIVESIGDNGQLVVTNPAKPGKEGQKTFKFNKVFGPAATQGEVFADIQPFIQSVVDGYNVCIFAYGQTGSGKTYTMTGPNDATEEEWGVNFRALNNLFKISQNRRSTFQYEVGVQVVEIYNDQVRDLLSNRTGPAKKMGVTSISQPNGLAVPDASMHPVLSTTDVIDLINLGFKNRTVSATALNERSSRSHSIVTVHVRGKDLKAGDYIYGNLHLVDLAGSERVDRSEVTGDRLKEAQHINKSLSSLGDVIFALAQKSPHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVTSYSESLSTLKFAERVSGVELGAARSSKEGKDVKELMEQMASLKDTIAKKDEEIERLHLLKDLKNGYPGIDGEQPGTGSLSDKQSETGSTDDEKSEITDAALSMGTETDGSAEYVHSPEGVSLGKPKVVPKVRVLQKPAQATPTSKSESPRASSPAIKKTLSSSSNLVKPVVRKKV >KJB39302 pep chromosome:Graimondii2_0_v6:7:469362:475751:-1 gene:B456_007G005900 transcript:KJB39302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNYENQSGGNLSNKNSNTKAGNVPGDAPLRGPLTPLSGEERRKASSDLKNQQQSTTGLHHGGHNFHEVFQWKQGRYADLPGAKISEMMKSNSLDNAPTQSLLSVVNGILDESLDRKNGEIPHRVACLLRKVVQEIERRISTQADHLRTQNNLFKIREEKYKSRIRVLETLAAGNSEEIKIVTVHPQQTKVRRKTIISRCSTALNCFCPILSQTESPKVEENRKVEEKVKDKRESVKETDKRETHEHETSKHKIDKQAIKQETEKHIREIASLKQELEMAKTIHEQQCLEMQKETEGVKAGLQARLNELESLLNDSNNRVKELETSAKSKCQSWSMKVNTYQSFMDSQFHAMRDLRFTSNAIKQELLKTQKSYFEEFNLLEVKLKALADAAGNYREVLNENRKLFNEIQDLRGNIRVFCRVRPFLPGQNGKQTIVESIGDNGQLVVTNPAKPGKEGQKTFKFNKVFGPAATQGEVFADIQPFIQSVVDGYNVCIFAYGQTGSGKTYTMTGPNDATEEEWGVNFRALNNLFKISQNRRSTFQYEVGVQVVEIYNDQVRDLLSNRTGPAKKYPFFHRIHSLFKCFYFRYYCCDIVIFVFLNPHTMGVTSISQPNGLAVPDASMHPVLSTTDVIDLINLGFKNRTVSATALNERSSRSHSIVTVHVRGKDLKAGDYIYGNLHLVDLAGSERVDRSEVTGDRLKEAQHINKSLSSLGDVIFALAQKSPHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVTSYSESLSTLKFAERVSGVELGAARSSKEGKDVKELMEQMASLKDTIAKKDEEIERLHLLKDLKNGYPGIDGEQPGTGSLSDKQSETGSTDDEKSEITDAALSMGTETDGSAEYVHSPEGVSLGKPKVVPKVRVLQKPAQATPTSKSESPRASSPAIKKTLSSSSNLVKPVVRKKV >KJB39683 pep chromosome:Graimondii2_0_v6:7:1769030:1773881:1 gene:B456_007G025400 transcript:KJB39683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKDADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDPTELADYRLRKRKEFEDLIRRVRWNQSVWIKYAQWEESQKDFNRARSVWERALEVDYRNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMSWMPDQQGWLSYIKFELRYNEVERARAIYERFVQCHPKVGAWIRYAKFEMKNGEIVRARNVYERAVEKLADEEDAEQLFVAFAEFEERCKETERARCIYKFALDHIPKGSAEDLYRKFVAFEKQYGDKEGIEDAIVGKRRFQYEDEVRKNPLNYDAWFDYIRLEESVGSKGRIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAGDMERTRDVYRECLKLIPHEKFSFAKIWLLAAQFEIRQLNLMGARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWAPENCYAWSKYAELERSLAETERARAIFELAITQPALDMPELLWKAYIDFEISEGEYERTRGLYERLLDRTKHLKVWISYANFEASAMEENDESSDLPQDGVEEYNHEAKKECVQRARRVFERAVNYYRTSAPELKEERAMLLEEWLKTESSFGELGDISVVQSKLPKKLKKRKQITSEDGGVTGYV >KJB39682 pep chromosome:Graimondii2_0_v6:7:1769030:1771921:1 gene:B456_007G025400 transcript:KJB39682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKDADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDPTELADYRLRKRKEFEDLIRRVRWNQSVWIKYAQWEESQKDFNRARSVWERALEVDYRNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMSWMPDQQGWLSYIKFELRYNEVERARAIYERFVQCHPKVGAWIRYAKFEMKNGEIVRARNVYERAVEKLADEEDAEQLFVAFAEFEERCKETERARCIYKFALDHIPKGSAEDLYRKFVAFEKQYGDKEGIEDAIVGKRRFQYEDEVRKNPLNYDAWFDYIRLEESVGSKGRIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAGDMERTRDVYRECLKLIPHEKFSFAKIWLLAAQFEIRQLNLMGARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWAPENCYAWSKYAELERSLAETERARAIFELAITQPALDMPELLWKVFTL >KJB39684 pep chromosome:Graimondii2_0_v6:7:1769030:1773881:1 gene:B456_007G025400 transcript:KJB39684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKDADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDPTELADYRLRKRKEFEDLIRRVRWNQSVWIKYAQWEESQKDFNRARSVWERALEVDYRNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMSWMPDQQGWLSYIKFELRYNEVERARAIYERFVQCHPKVGAWIRYAKFEMKNGEIVRARNVYERAVEKLADEEDAEQLFVAFAEFEERCKETERARCIYKFALDHIPKGSAEDLYRKFVAFEKQYGDKEGIEDAIVGKRRFQYEDEVRKNPLNYDAWFDYIRLEESVGSKGRIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAGDMERTRDVYRECLKLIPHEKFSFAKIWLLAAQFEIRQLNLMGARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWAPENCYAWSKYAELERSLAETERARAIFELAITQPALDMPELLWKAYIDFEISEGEYERTRGLYERLLDRTKHLKVWISYANFEASAMEENDESSDLPQDGVEEYNHEAKKECVQRARSDL >KJB39681 pep chromosome:Graimondii2_0_v6:7:1768932:1773931:1 gene:B456_007G025400 transcript:KJB39681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKDADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDPTELADYRLRKRKEFEDLIRRVRWNQSVWIKYAQWEESQKDFNRARSVWERALEVDYRNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMSWMPDQQGWLSYIKFELRYNEVERARAIYERFVQCHPKVGAWIRYAKFEMKNGEIVRARNVYERAVEKLADEEDAEQLFVAFAEFEERCKETERARCIYKFALDHIPKGSAEDLYRKFVAFEKQYGDKEGIEDAIVGKRRFQYEDEVRKNPLNYDAWFDYIRLEESVGSKGRIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAGDMERTRDVYRECLKLIPHEKFSFAKIWLLAAQFEIRQLNLMGARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWAPENCYAWSKYAELERSLAETERARAIFELAITQPALDMPELLWKAYIDFEISEGEYERTRGLYERLLDRTKHLKVWISYANFEASAMEENDESSDLPQDGVEEYNHEAKKECVQRARRVFERAVNYYRTSAPELKEERAMLLEEWLKTESSFGELGDISVVQSKLPKKLKKRKQITSEDGGVTGYEEYFDYVFPEENQATNLKILEAAYKWKKQKITSDDE >KJB39744 pep chromosome:Graimondii2_0_v6:7:1976869:1982238:-1 gene:B456_007G028900 transcript:KJB39744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGSGAYNSMDNGVLRFDHVRILRDQMLMGVSQVTREGKFMQSDVPRQLVYGTMVYVRQKIVADASCALSRAVCIATRYSVVRRQFGSHNGGPETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTQRLQASDFSTLPEVHACTAGLKSVTTSATADAIEECRKLCGGHGYLSSSGLPELFAVYVPACTYEGDNVVLLLQVARFLMKTVSQLGSGKKPVGTIAYMGRSEHLLQCRCEVERAEDWLKPNVILEAFEARAARMSVTCAKNLNNFANQEEGFAQLATDLAEAAVAHVQLIIVSKFIEKLQQDIPGKGVKRQLEILFNVYALSLLHKHLGDFVASGCITPKQGALANEQLRLLYSQVRPNAIALVDAFNYTDHFLGSVLGRYDGNVYPKLYEEAWKDPLNETVVPDGYHEYIRPILKQHIRVARL >KJB39745 pep chromosome:Graimondii2_0_v6:7:1977311:1982207:-1 gene:B456_007G028900 transcript:KJB39745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDYHAEERRKAEFDVEEMKIVWAGSRQAYEISDRVARLVASDPVFRKDNRTMLSRKDLFKDTLRKAAHAWKRIIELRLSEEEASKLRFFVDQPVFTDLHWGMFIPAIKGQGNEEQQQKWLPMAYKMQIIGCYAQTELGHGSNVQGLETTATFDPETDEFVIHSPTLTSSKWWPGGLGKVSTHALIYARLITDGQDHGVHGFIVQLRSLDDHLPLPGITVGDIGMKFGSGAYNSMDNGVLRFDHVRILRDQMLMGVSQVTREGKFMQSDVPRQLVYGTMVYVRQKIVADASCALSRAVCIATRYSVVRRQFGSHNGGPETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTQRLQASDFSTLPEVHACTAGLKSVTTSATADAIEECRKLCGGHGYLSSSGLPELFAVYVPACTYEGDNVVLLLQVARFLMKTVSQLGSGKKPVGTIAYMGRSEHLLQCRCEVERAEDWLKPNVILEAFEARAARMSVTCAKNLNNFANQEEGFAQLATDLAEAAVAHVQLIIVSKFIEKLQQDIPGKGVKRQLEILFNVYALSLLHKHLGDFVASGCITPKQGALANEQLRLLYSQVHFDNPSLLLYMVLLLERLLQSTSF >KJB39743 pep chromosome:Graimondii2_0_v6:7:1976797:1982431:-1 gene:B456_007G028900 transcript:KJB39743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDYHAEERRKAEFDVEEMKIVWAGSRQAYEISDRVARLVASDPVFRKDNRTMLSRKDLFKDTLRKAAHAWKRIIELRLSEEEASKLRFFVDQPVFTDLHWGMFIPAIKGQGNEEQQQKWLPMAYKMQIIGCYAQTELGHGSNVQGLETTATFDPETDEFVIHSPTLTSSKWWPGGLGKVSTHALIYARLITDGQDHGVHGFIVQLRSLDDHLPLPGITVGDIGMKFGSGAYNSMDNGVLRFDHVRILRDQMLMGVSQVTREGKFMQSDVPRQLVYGTMVYVRQKIVADASCALSRAVCIATRYSVVRRQFGSHNGGPETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTQRLQASDFSTLPEVHACTAGLKSVTTSATADAIEECRKLCGGHGYLSSSGLPELFAVYVPACTYEGDNVVLLLQVARFLMKTVSQLGSGKKPVGTIAYMGRSEHLLQCRCEVERAEDWLKPNVILEAFEARAARMSVTCAKNLNNFANQEEGFAQLATDLAEAAVAHVQLIIVSKFIEKLQQDIPGKGVKRQLEILFNVYALSLLHKHLGDFVASGCITPKQGALANEQLRLLYSQVRPNAIALVDAFNYTDHFLGSVLGRYDGNVYPKLYEEAWKDPLNETVVPDGYHEYIRPILKQHIRVARL >KJB39746 pep chromosome:Graimondii2_0_v6:7:1976869:1982238:-1 gene:B456_007G028900 transcript:KJB39746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDYHAEERRKAEFDVEEMKIVWAGSRQAYEISDRVARLVASDPVFRKDNRTMLSRKDLFKDTLRKAAHAWKRIIELRLSEEEASKLRFFVDQPVFTDLHWGMFIPAIKGQGNEEQQQKWLPMAYKMQIIGCYAQTELGHGSNVQGLETTATFDPETDEFVIHSPTLTSSKWWPGGLGKVSTHALIYARLITDGQDHGVHGFIVQLRSLDDHLPLPGITVGDIGMKFGSGAYNSMDNGVLRFDHVRILRDQMLMGVSQVTREGKFMQSDVPRQLVYGTMVYVRQKIVADASCALSRAVCIATRYSVVRRQFGSHNGGPETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTQRLQASDFSTLPEVHACTAGLKSVTTSATADAIEECRKLCGGHGYLSSSGLPELFAVYVPACTYEGDNVVLLLQVARFLMKTVSQLGSGKKPVGTIAYMGRSEHLLQCRCEVERAEDWLKPNVILEAFEARAARMSVTCAKNLNNFANQEEGFAQLATDLAEAAVAHVQLIIVSK >KJB45532 pep chromosome:Graimondii2_0_v6:7:52422123:52427530:1 gene:B456_007G310500 transcript:KJB45532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATSFDFLLKRIFLHGFSASLHLVLLLLLLILWVVSRVKKVSREGSKERQVLWYKQTLACCFVVSVSNVVLCLLSYFYWYTNGWSEDKLMSLIDYALKALAWGACATCVYKHCQFSNPGEQKRFPVVLRIWWGFYFSISCYCLVIDIVLFKKHVSFPSQYLVSDVLSVVTGLFLCIVGFFLRNEGEDTLLEEPLLNGDSRVSNGVELSKENGGDTVTPYSDAGIFSILTFSWMGPLIAAGNKKPLDLEDVPQLDSHDSVIGAFPKFKNRLESADSEGNVVTSLKLVKALFFSAWKDILWTALFAFTYTVASYVGPFLIDTFVQYLNGQREFKAEGYLLVAAFFVAKLVECLSERRWFFKLQQVGLRQRAVLVAMIYNKGLTLSCQSKQSHTSGEIINFMTVDAERVGDFSWYMHDPWMVVLQVALALLILYKTLGLASIVTFIATVLVMLANIPLGKMLQKFQDKLMESKDTRMKATSEILRNMRILKLQGWEMKFLSKIVGLRSVEEGWLKRFVYTNAMTAFVFCVAPSFVSAATFGACRFLGVPLESGKILSAVATFRILQEPIYNLPDTISMIAQTKVSLDRIAAFLRLDDLQPGAIEKLPSGSSDTAIEIADGNFSWDMSSPTATLKDINLKVSHGMSVAVCGTVGSGKSSLLSCLLGEFPKISGTLKLCGTTAYVAQSPWIQSGKIVDNILFGKEMDRDKYDEVLEACTLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLKNLRSKTVIYVTHQVEFLPAADLILVMKDGRIVQAGKYNGILNSGTDFMELVGAHKKALSALDTVEASSVSERTTSEGECDIGTTNGKVQKEENQGNESGKVDDVGPKVQLVQEEEREKGQVGFSVYWKYITTAYGGALVPLILLAQILFEIFQIGSNYWMAWGSPMSADIKPPVGSFTLIMVYLALAIASAICVFARSMLLGTAGYKTATLLFKKMHLCIFRAPMSFFDSTPSGRILNRASTDQSAVDMDIAHQVATFAFSVIQLLGIIAVMSQVAWQIFIIFIPVIATCIWYQQYYISSARELSRLVGVCQAPVIQNFAETILGATTIRSFDQEKRFQDTNMALTDSYSRPKFYAAGAMEWLCFRLDLLSSVTFAFSLFILISLPEGVIDPAIAGLAVTYGLNLNILQAWVVWTMCNMENKIISVERILQYCSIPSEPALVVETNRPDHCWPYHGEVHIRDLQVRYAPHMPLVLRGLTCTFPGGLKTGIVGRTGSGKSTLIQTLFRIVEPAAGQILIDGVNISSIGLHDLRSRLSIIPQEPTMFEGTIRSNLDPLEEYTDEQIWEALDKCQLGDRVRNKAGRLDSSVSENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQTTLREHFSDCTVITIAHRITSVLDSDMVLLLSHGLIEEYDSPSSLLENKSSSFAQLVEEYTVRSNSSF >KJB39438 pep chromosome:Graimondii2_0_v6:7:1074042:1079901:-1 gene:B456_007G013700 transcript:KJB39438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKGILLSALSVGVGVGVGLGLASGQTVSKWTGKISSDDGITGDQIEQELMRQVVDGKRSNVTFDDFPYYLSERTRVLLTSAAYVQLKHSDVHRHTRNLSPVSKAILLSGPAELYQQMLAKALAHYFESKLLLLDITDFSFKMQSKYGCTKKESSFKRSISEMTLDRMNSLFGSFSLLSSREETSSSNDMKSRAVEGSSNHQKLRRNGSSVSDMNSMSSNGAPSNPASNKRTVWCFDEKFFLQSLYKVLVSVSETSSIILYLRDVDKLLLQSKRLYDLFQKFLNKLSGSVLVLGSRMLEPEDECKEVDERLSRLFPCNIEIKPPEDETCLDDWKAKLEEDMKTLQIQDNRNHIAEVLAANDLECDDLGSICQADTMVLSNYIQEIVISAISYHLMNNKDPEYRNGKLVISSESLSHGLNIFQEGESCGKDTLKLEKNTDSRKGNEPKSDAGANESKSENDKSISAKKKDGENPPAPKAEVPPDNEFEKRLRPEVIPAKEIGVTFNDIGALNETKESLQELVMLPLRRPDLFNGGLLKPCKGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVAPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLMTKAGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESREMILRTLLSKEKAENLDYKELATMTEGYSGSDLKNLCVTAAYRPVRELIQQERLKDQEKKRQEEAGKSTEDVTESKEEHKEERVVTLRPLNMEDMRQAKNQVAASFASEGSVMAELKQWNELYGEGGSRKKQQLTYFL >KJB43092 pep chromosome:Graimondii2_0_v6:7:17657231:17658254:-1 gene:B456_007G1839001 transcript:KJB43092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPSLVSILNYCISIYSLLHPHPHPHDTTYGCSKSLQVNSPTLYSKSFFQLGFNCISLELRQAINPFFLHLSALKMISPSFSSYLPLPLPLPLFCLLFIMLGTPVSLTTAWFEPEFENCRDSKFKCGSITAGFPFHGGDREKECGHPDLELECGDDMATMKIRDVRYRVLEILPDRQILRILSEKVINKGICPPPFPDADWIQDSPVFTPGPGFASVTLFYDCLSRISPDLLFFTCNKNYDHSNVSVAIANNTSIHPEACLHRANVMIPETSLESLRNHSPDWKGALETGFEVQWRKNYAEECWKCTSSGGACGLGIHDEAYCYCPPGKWSGPEGKECRPHT >KJB42402 pep chromosome:Graimondii2_0_v6:7:12831906:12846547:1 gene:B456_007G150900 transcript:KJB42402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSLVVGSLVWVEDPDDAWIDGEVVEVKGEDIKVLCTSGKTVVVKASNVYPKDAEAPPCGVDDMTKLAYLHEPGVLQNLRSRYDMNEIYTYTGNILIAVNPFRRLPHLYDSHMMAQYKGAAFGELSPHPFAVADAAYRLMMNEGISQSILVSGESGAGKTESTKLLMRYLAYMGGRAAAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDWSGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDIQRFKLGNPRSFHYLNQSNCYELDGVDESKEYAATRRAMDVVGISSDEQDAIFRVVAAILHLGNIEFAKGKEIDSSVPKDEKSRFHLRTAAELFECDEKLLEDSLCKRVIVTRDETITKWLDPVSAALSRDALAKIVYSRLFDWIVDKINSSIGQDPDSKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLNASKCSFVSGLFPPLAEESSKSSKFSSIGSRFKQQLQALLETLSSTEPHYIRCVKPNNLLKPSIFENKNVLQQLRCGGVMEAIRISCAGYPTRKQFDEFVDRFGLLAPEVLDGSSDEVAACKKLLEKVGLQGYQIGKTKVFLRAGQMADLDTRRIEVLGRSASIIQRKVRSYLARRSFIVLRRSALQIQSVCRGQLARKVFEGMRREAASLRIQRDLRMHLARKAYKELFSSAVSIQTGMRGMAARNELRFRRQTRAAIIIQSQCRKFLARLHYLKLKKAAIATQCAWRGRVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRVDLEEAKTQENAKLQSALQDIQLQFKETKELLAKEREDAKKAAEVVPIIQEVSVVDPVMLEKLTNENEKLKALVTSLEKKIDETEKKFEETNKISEERLKQALDAESKIVQLKTVMHSLEEKISDIESENQVLRQQTLLNSPVKKVSQLPPIPVFPNLENGHHMDELNKSNEPQSVTPVKKAGAESDGRLRRSNLERQHENVDALINCVSKDIGFSQGKPVAAFTIYKCLLHWKSFEAERTSVFDRLIQMIGSAIENEENNGHMAYWLSNTSTLLFLLQKSLKAAGSSGATPSRKPTAATSLFGRMTMGFRSSPSSNNLAAAAALAVVRQVEAKYPALLFKQQLAAYVEKIYGIIRDNLKKELSSLLALCIQAPRTSKGSVLRSGRSFGKDSASTHWQSIIDSLNTLLSTLKENFVPSVLIQKIYTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCCQAKEEFAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVAPNVISSMRVLMTEDSNDAASSSFLLDDNSSIPFSVDDLSNSLQEKDFLEVNPAEELLENPAFQFLHE >KJB42401 pep chromosome:Graimondii2_0_v6:7:12831906:12846547:1 gene:B456_007G150900 transcript:KJB42401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSLVVGSLVWVEDPDDAWIDGEVVEVKGEDIKVLCTSGKTVVVKASNVYPKDAEAPPCGVDDMTKLAYLHEPGVLQNLRSRYDMNEIYTYTGNILIAVNPFRRLPHLYDSHMMAQYKGAAFGELSPHPFAVADAAYRLMMNEGISQSILVSGESGAGKTESTKLLMRYLAYMGGRAAAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDWSGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDIQRFKLGNPRSFHYLNQSNCYELDGVDESKEYAATRRAMDVVGISSDEQDAIFRVVAAILHLGNIEFAKGKEIDSSVPKDEKSRFHLRTAAELFECDEKLLEDSLCKRVIVTRDETITKWLDPVSAALSRDALAKIVYSRLFDWIVDKINSSIGQDPDSKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLNASKCSFVSGLFPPLAEESSKSSKFSSIGSRFKQQLQALLETLSSTEPHYIRCVKPNNLLKPSIFENKNVLQQLRCGGVMEAIRISCAGYPTRKQFDEFVDRFGLLAPEVLDGSSDEVAACKKLLEKVGLQGYQIGKTKVFLRAGQMADLDTRRIEVLGRSASIIQRKVRSYLARRSFIVLRRSALQIQSVCRGQLARKVFEGMRREAASLRIQRDLRMHLARKAYKELFSSAVSIQTGMRGMAARNELRFRRQTRAAIIIQSQCRKFLARLHYLKLKKAAIATQCAWRGRVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRTNKISEERLKQALDAESKIVQLKTVMHSLEEKISDIESENQVLRQQTLLNSPVKKVSQLPPIPVFPNLENGHHMDELNKSNEPQSVTPVKKAGAESDGRLRRSNLERQHENVDALINCVSKDIGFSQGKPVAAFTIYKCLLHWKSFEAERTSVFDRLIQMIGSAIENEENNGHMAYWLSNTSTLLFLLQKSLKAAGSSGATPSRKPTAATSLFGRMTMGFRSSPSSNNLAAAAALAVVRQVEAKYPALLFKQQLAAYVEKIYGIIRDNLKKELSSLLALCIQAPRTSKGSVLRSGRSFGKDSASTHWQSIIDSLNTLLSTLKENFVPSVLIQKIYTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCCQAKEEFAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVAPNVISSMRVLMTEDSNDAASSSFLLDDNSSIPFSVDDLSNSLQEKDFLEVNPAEELLENPAFQFLHE >KJB40326 pep chromosome:Graimondii2_0_v6:7:4105853:4107701:-1 gene:B456_007G057800 transcript:KJB40326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPTFFTQYETTNPIEYPFDDFNFQSESYSSYPRINGSSINEASHHQLDVFEKPPLKQLKTNNWSSCISPQKASFSSSSSHIISFNNSSSSLLYYGVDCDLKPKNEVSKMVGSLTRVPLLAQEHVIAERKRRERISQSFLSLAALIPGLKKKDKNSVLGDAIEYLKQLQERKARLEEQVAKRTVESVKFVKKTTQFYAQDDQTSSYDESFDTQSKNPFPDIEARVSNKDVLIRIHCETNKGCISNIINEVEKLHLSVLNSNALPFGQATLYITIVAQMEDEFSMTLRDLVKTLREGLLSFM >KJB42945 pep chromosome:Graimondii2_0_v6:7:16379370:16383183:-1 gene:B456_007G176000 transcript:KJB42945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPLGSLLSFLGLKKKSPRLELLEGLCCRFSLAQIKAATNNFHPLSYIGQGAFGTVYKGTLHDGTIVAVKRSKKSYQGEFKNEVRLLCQLRHPHLVSLIGFCVEGSEMVVVFEYMSRGSLADFLFGIRKDYVPLSWKHRLHICIGAARGLHYLHTGAKHAVIHRGIKSSNMLLDEEWCCKLSDFGLSKLGPPSMSKALIRKDSSVRGTFGYLAPEYAAHGELTEKSDVYVFGVVLFQVLYGRRTLDPTLPDNQINLLIWAKESLREGTIHHAIDPYLKGRIAPECLNKYLEIASSCVHLKGNERPAMGEVEVTLELALELQGRADSEMESIYPSEIYQGSSILRPNMALPESCKSDKSRGNGRSPRKQCSAFPEGLCRQFSLEEIKAAINSFREDFLLGRGGFGDLYKGIIDDGTMAVTIERFGPFGLRGGREFRTEVQLLCQLRHPNLVSFIGFCDEEDEKLLVYEYISNGSLDKHLYGNRSNDPLSWKRRLTICSGAARGLHYLHSGAKHSIIHRNIRSRNILLDDEWNPKLSDLSLSKMSQAGSPTGSLNIKIPVTVVHMDPECYITGRITRKTDVYAFGIVLFEVLCGRKAIDLTLEGIKKSLTSWDSKCIENGTVYDIIDPYLKKKIAPQCFEKFVEIAYCCVCQTGKGRPEMGEVEMALEAALELQKIADSEKESVDHQGEYEYEELLPCLFVRKDIPDYGGNVVEQEIDSCSDDEDSTISDSF >KJB42032 pep chromosome:Graimondii2_0_v6:7:10866349:10868032:1 gene:B456_007G133400 transcript:KJB42032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALPFLGRLSFLLLIATTTTTAFAIGIHHGSIIRKPSSGVSNFREAPKFRNGDVCGTNASERIHIAMTLDVNYLRGTMAAVLSVLMHSTCPENTEFHFLWGKYEPEVLVSINSTFPYLNFRLYHFDSDRVRGKISRSIRQALDQPLNYARIYLADMLPPDVKRVLYLDSDLVVVDDIVKLWEVDLEGKVLAAPEYCHANFTKYFTDLFWSDKELSSTFNGRKPCYFNTGVMVVDVDKWRQGGYTPKVEEWMALQKQKRIYTLGSLPPFLLVLAGNIKAVHHRWNQHGLGGDNLEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCAVDHLWAPYDLYGSPDHSLEE >KJB39519 pep chromosome:Graimondii2_0_v6:7:1344601:1346859:-1 gene:B456_007G017900 transcript:KJB39519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKFVCWCQCCWNIPSISSFLLLPTLFFSSSSFTTFVVASTVSPPTALTVSLKATQSPLFYPQLAVIVAVSLLLFAMGFLLEFLKRRKHTAATSRADEEKGKDGEQRGVRKFKWDEIKDLTKGFSRLIGQGGFSNVYLANLSGSNLGAVKILVGNDRLNQVFKQELDILTRIRHENIVKFLGYCDDQEEGAIVFEYISNGNLQEKLHGNEKNFLPWKTRTAIAFQLTAAIEYLHEKCSLQIVHGDIKASNILLDERFNCNLCDFGSAKMGFSSAVMPPSSRTKQIMVGSPGYTDPHYLRTGIASKKNDVYSLGVIILELVTGMEAFCPERGQLLTTMVAPKLRDIAKCGRAEETVAEMVDRRLGGEFDLEEAKALLLIVELCLHRSPTVRPSASQIMEMMKDKMGAVDSLFSPAKGCRF >KJB44807 pep chromosome:Graimondii2_0_v6:7:46720847:46724826:-1 gene:B456_007G274000 transcript:KJB44807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELLGGKMFGCECFCWRKHTDHQYPLPQPQPFFLPSPIPEWPLGQGFGTGKINLGELEVVKITEFERVWSCSLLRGKAEGVTFYKPVGIPDGFFCLGYYCQPNDQPLRGYVLAARERVASSTEVYCDYDSDTDFPALRKPVNYSLIWSTDCGSGCGFFWLPNPPLGYKAMGILVTDTQDEPDVEEVRCVREDLTETCEIMDTILATDANTFQVWTTRPCKRGMFCKGVSVGTFFCCTYFISENQELEIACLKNLDPSLHAMPNLDQIHAVIKHYGATVFFHPKEDCMPSSVQWFFKNGALLYKDGKVNGEPIDYLGSNLPSGGTNDGAFWIDLPRDDNARKNVRNGNLESAELYVHVKPALGGTFTDIVMWIFCPFNGPANLKIGLMNIQMNKIGQHVGDWEHFTLQISNFTGELWQVYFSQHSGGEWVDAFNLEFIEGNKPIVYSSKHGHASFPHPGIYLQGSDKLGIGIRNDTARSNYFVDSSTRYQIVAAEYLGGVVTEPCWLQYMREWGPTIVYDSRSELDKIISLLPLFVRFSVENIFDLFPTELYGEEGPTGPKEKDNWVGDERS >KJB43029 pep chromosome:Graimondii2_0_v6:7:16961597:16963315:1 gene:B456_007G180200 transcript:KJB43029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAIEQSSSRRNEEQPEFNLREWGLKARIRHENTVSRRYSGSYIRNFRENTSSFRSNFTISSTASSPGCSLKDGIDPSTYSFTTALKALQARTVYNSLECSPEGFALNSKWNEAEKYICNPLSGEFPVECLSAKTLSGRSFRKLPNKITISAPLFYSSTTLQDDIAHFPIPETTAESLTRDVGTQSTPPALSSGSLTPVSTPSILERATHRWGTENEDSPISNTKSKSDEQVCFFMSAAVKLTLLLGTKMTTVRRYG >KJB43028 pep chromosome:Graimondii2_0_v6:7:16961493:16966005:1 gene:B456_007G180200 transcript:KJB43028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAIEQSSSRRNEEQPEFNLREWGLKARIRHENTVSRRYSGSYIRNFRENTSSFRSNFTISSTASSPGCSLKDGIDPSTYSFTTALKALQARTVYNSLECSPEGFALNSKWNEAEKYICNPLSGEFPVECLSAKTLSGRSFRKLPNKITISAPLFYSSTTLQDDIAHFPIPETTAESLTRDVGTQSTPPALSSGSLTPVSTPSILERATHRWGTENEDSPISNTKSKSDEQVEVKETKEKKEEAAMDKEDDGKIQMREKDEEMKSNCLSWVRKRQRNKHKFTRKIICFPLPKGC >KJB43423 pep chromosome:Graimondii2_0_v6:7:20213619:20217790:-1 gene:B456_007G198900 transcript:KJB43423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSCSRLLIVFCFALCLECQLVQCSVTYDRKAIVINGQRRILFSGSIHYPRSTPEMWEDLIQKAKDGGVDVIETYVFWNVHEPSPGNYNFEGRYDLVRFVKTIQRAGLYAHLRIGPYVCAEWNFGGFPVWLKYVRGISFRTDNEPFKRAMQGFTEKIVGLMKSHNLYESQGGPIILSQIENEYGAQSKLLGAVGYNYVSWAAKMAIETETGVPWVMCKEQDAPDPVINTCNGFYCDSFQPNKPYKPTMWTEAWSGWFSEFGGPLHHRPAEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHRAIKMCERALVSDYPIVTSLGDLQQAYMYTSESGDCAAFLSNYDTKSAARVLFNNMHYNLPPWSISILPDCRNAVFNTAKVGVQTSQMQMLPTNSETFSWESYDEDPSSLDYSSAITADGLLEQINVTRDASDYLWYITSVDIGSSESFLHGGELPTLIVQSTGHAVHVFINGQLSGSAFGTRQNRRFTYTGKVNLRAGTNKIALLSVAVGLPNVGGHYETWNTGILGPVALHGLDQGKWDLSRQKWTYQVGLKGEAMDLVSPNGFSSVEWMAASLVAQKPEPLRWHKAYFNAPEGDEPLALDMESMGKGQIWINGQSIGRYWTAYAHGDCNGCNYAGTFRPPKCQLGCGQPTQRWYHVPRSWLKPTQNLLVLFEELGGDPTRISLVKRSVSSVCADVTEYHPNIKNWQIESYGKAQQLRRPKVHLRCSPGQSISFIKFASFGTPLGTCGSYQQGPCHAPASYAIVEKVF >KJB43418 pep chromosome:Graimondii2_0_v6:7:20212694:20217983:-1 gene:B456_007G198900 transcript:KJB43418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSCSRLLIVFCFALCLECQLVQCSVTYDRKAIVINGQRRILFSGSIHYPRSTPEMWEDLIQKAKDGGVDVIETYVFWNVHEPSPGNYNFEGRYDLVRFVKTIQRAGLYAHLRIGPYVCAEWNFGGFPVWLKYVRGISFRTDNEPFKRAMQGFTEKIVGLMKSHNLYESQGGPIILSQIENEYGAQSKLLGAVGYNYVSWAAKMAIETETGVPWVMCKEQDAPDPVINTCNGFYCDSFQPNKPYKPTMWTEAWSGWFSEFGGPLHHRPAEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHRAIKMCERALVSDYPIVTSLGDLQQAYMYTSESGDCAAFLSNYDTKSAARVLFNNMHYNLPPWSISILPDCRNAVFNTAKVGVQTSQMQMLPTNSETFSWESYDEDPSSLDYSSAITADGLLEQINVTRDASDYLWYITSVDIGSSESFLHGGELPTLIVQSTGHAVHVFINGQLSGSAFGTRQNRRFTYTGKVNLRAGTNKIALLSVAVGLPNVGGHYETWNTGILGPVALHGLDQGKWDLSRQKWTYQVGLKGEAMDLVSPNGFSSVEWMAASLVAQKPEPLRWHKAYFNAPEGDEPLALDMESMGKGQIWINGQSIGRYWTAYAHGDCNGCNYAGTFRPPKCQLGCGQPTQRW >KJB43421 pep chromosome:Graimondii2_0_v6:7:20212694:20217983:-1 gene:B456_007G198900 transcript:KJB43421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSCSRLLIVFCFALCLECQLVQCSVTYDRKAIVINGQRRILFSGSIHYPRSTPEMWEDLIQKAKDGGVDVIETYVFWNVHEPSPGNYNFEGRYDLVRFVKTIQRAGLYAHLRIGPYVCAEWNFGGFPVWLKYVRGISFRTDNEPFKRAMQGFTEKIVGLMKSHNLYESQGGPIILSQIENEYGAQSKLLGAVGYNYVSWAAKMAIETETGVPWVMCKEQDAPDPVINTCNGFYCDSFQPNKPYKPTMWTEAWSGWFSEFGGPLHHRPAEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHRAIKMCERALVSDYPIVTSLGDLQQAYMYTSESGDCAAFLSNYDTKSAARVLFNNMHYNLPPWSISILPDCRNAVFNTAKVGVQTSQMQMLPTNSETFSWESYDEDPSSLDYSSAITADGLLEQINVTRDASDYLWYITSVDIGSSESFLHGGELPTLIVQSTGHAVHVFINGQLSGSAFGTRQNRRFTYTGKVNLRAGTNKIALLSVAVGLPNVGGHYETWNTGILGPVALHGLDQGKWDLSRQKWTYQVVKLTFFIFYVFIVDPNKCPS >KJB43424 pep chromosome:Graimondii2_0_v6:7:20212694:20218121:-1 gene:B456_007G198900 transcript:KJB43424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSCSRLLIVFCFALCLECQLVQCSVTYDRKAIVINGQRRILFSGSIHYPRSTPEMWEDLIQKAKDGGVDVIETYVFWNVHEPSPGNYNFEGRYDLVRFVKTIQRAGLYAHLRIGPYVCAEWNFGGFPVWLKYVRGISFRTDNEPFKRAMQGFTEKIVGLMKSHNLYESQGGPIILSQIENEYGAQSKLLGAVGYNYVSWAAKMAIETETGVPWVMCKEQDAPDPVINTCNGFYCDSFQPNKPYKPTMWTEAWSGWFSEFGGPLHHRPAEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHRAIKMCERALVSDYPIVTSLGDLQQAYMYTSESGDCAAFLSNYDTKSAARVLFNNMHYNLPPWSISILPDCRNAVFNTAKVGVQTSQMQMLPTNSETFSWESYDEDPSSLDYSSAITADGLLEQINVTRDASDYLWYITSVDIGSSESFLHGGELPTLIVQSTGHAVHVFINGQLSGSAFGTRQNRRFTYTGKVNLRAGTNKIALLSVAVGLPNVGGHYETWNTGILGPVALHGLDQGKWDLSRQKWTYQVGLKGEAMDLVSPNGFSSVEWMAASLVAQKPEPLRWHKAYFNAPEGDEPLALDMESMGKGQIWINGQSIGRYWTAYAHGDCNGCNYAGTFRPPKCQLGCGQPTQRWYHVPRSWLKPTQNLLVLFEELGGDPTRISLVKRSVSSVCADVTEYHPNIKNWQIESYGKAQQLRRPKVHLRCSPGQSISFIKFASFGTPLGTCGSYQQGPCHAPASYAIVEKKCVGKQRCVVTIANSNFGQDPCPNVLKRLSVEAVCAPISSTTAQPNWGG >KJB43420 pep chromosome:Graimondii2_0_v6:7:20214497:20217790:-1 gene:B456_007G198900 transcript:KJB43420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSCSRLLIVFCFALCLECQLVQCSVTYDRKAIVINGQRRILFSGSIHYPRSTPEMWEDLIQKAKDGGVDVIETYVFWNVHEPSPGNYNFEGRYDLVRFVKTIQRAGLYAHLRIGPYVCAEWNFGGFPVWLKYVRGISFRTDNEPFKRAMQGFTEKIVGLMKSHNLYESQGGPIILSQIENEYGAQSKLLGAVGYNYVSWAAKMAIETETGVPWVMCKEQDAPDPVINTCNGFYCDSFQPNKPYKPTMWTEAWSGWFSEFGGPLHHRPAEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHRAIKMCERALVSDYPIVTSLGDLQQAYMYTSESGDCAAFLSNYDTKSAARVLFNNMHYNLPPWSISILPDCRNAVFNTAKVGVQTSQMQMLPTNSETFSWESYDEDPSSLDYSSAITADGLLEQINVTRDASDYLWYITSVDIGSSESFLHGGELPTLIVQSTGHAVHVFINGQLSGSAFGTRQNRRFTYTGKVNLRAGTNKIALLSVAVGLPNVGGHYETWNTGILGPVALHGLDQGKWDLSRQKWTYQVVKLTFFIFYVFIVDPNKCPS >KJB43422 pep chromosome:Graimondii2_0_v6:7:20212694:20217983:-1 gene:B456_007G198900 transcript:KJB43422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSCSRLLIVFCFALCLECQLVQCSVTYDRKAIVINGQRRILFSGSIHYPRSTPEMWEDLIQKAKDGGVDVIETYVFWNVHEPSPGNYNFEGRYDLVRFVKTIQRAGLYAHLRIGPYVCAEWNFGGFPVWLKYVRGISFRTDNEPFKRAMQGFTEKIVGLMKSHNLYESQGGPIILSQIENEYGAQSKLLGAVGYNYVSWAAKMAIETETGVPWVMCKEQDAPDPVINTCNGFYCDSFQPNKPYKPTMWTEAWSGWFSEFGGPLHHRPAEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHRAIKMCERALVSDYPIVTSLGDLQQAYMYTSESGDCAAFLSNYDTKSAARVLFNNMHYNLPPWSISILPDCRNAVFNTAKVGVQTSQMQMLPTNSETFSWESYDEDPSSLDYSSAITADGLLEQINVTRDASDYLCVDIGSSESFLHGGELPTLIVQSTGHAVHVFINGQLSGSAFGTRQNRRFTYTGKVNLRAGTNKIALLSVAVGLPNVGGHYETWNTGILGPVALHGLDQGKWDLSRQKWTYQVGLKGEAMDLVSPNGFSSVEWMAASLVAQKPEPLRWHKAYFNAPEGDEPLALDMESMGKGQIWINGQSIGRYWTAYAHGDCNGCNYAGTFRPPKCQLGCGQPTQRWYHVPRSWLKPTQNLLVLFEELGGDPTRISLVKRSVSSVCADVTEYHPNIKNWQIESYGKAQQLRRPKVHLRCSPGQSISFIKFASFGTPLGTCGSYQQGPCHAPASYAIVEKKCVGKQRCVVTIANSNFGQDPCPNVLKRLSVEAVCAPISSTTAQPNWGG >KJB43419 pep chromosome:Graimondii2_0_v6:7:20212694:20217983:-1 gene:B456_007G198900 transcript:KJB43419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSCSRLLIVFCFALCLECQLVQCSVTYDRKAIVINGQRRILFSGSIHYPRSTPEMWEDLIQKAKDGGVDVIETYVFWNVHEPSPGNYNFEGRYDLVRFVKTIQRAGLYAHLRIGPYVCAEWNFGGFPVWLKYVRGISFRTDNEPFKRAMQGFTEKIVGLMKSHNLYESQGGPIILSQIENEYGAQSKLLGAVGYNYVSWAAKMAIETETGVPWVMCKEQDAPDPVINTCNGFYCDSFQPNKPYKPTMWTEAWSGWFSEFGGPLHHRPAEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHRAIKMCERALVSDYPIVTSLGDLQQAYMYTSESGDCAAFLSNYDTKSAARVLFNNMHYNLPPWSISILPDCRNAVFNTAKVGVQTSQMQMLPTNSETFSWESYDEDPSSLDYSSAITADGLLEQINVTRDASDYLWYITSVDIGSSESFLHGGELPTLIVQSTGHAVHVFINGQLSDMVITGSAFGTRQNRRFTYTGKVNLRAGTNKIALLSVAVGLPNVGGHYETWNTGILGPVALHGLDQGKWDLSRQKWTYQVGLKGEAMDLVSPNGFSSVEWMAASLVAQKPEPLRWHKAYFNAPEGDEPLALDMESMGKGQIWINGQSIGRYWTAYAHGDCNGCNYAGTFRPPKCQLGCGQPTQRWYHVPRSWLKPTQNLLVLFEELGGDPTRISLVKRSVSSVCADVTEYHPNIKNWQIESYGKAQQLRRPKVHLRCSPGQSISFIKFASFGTPLGTCGSYQQGPCHAPASYAIVEKKCVGKQRCVVTIANSNFGQDPCPNVLKRLSVEAVCAPISSTTAQPNWGG >KJB44032 pep chromosome:Graimondii2_0_v6:7:29354854:29356174:1 gene:B456_007G231900 transcript:KJB44032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEVDITVNTRHEIVGEVTEVGSKVTKFKVGDRVGVGCIVGSCQSCHECANDLENYCSGVILTSGAKYHDGTITYGGYSDWMVADEHFVVLIPDNLPLDVAAPLLCAGISVYGPLRYYGLDIPSLHIGVVGLGGLGHLAVRFAKAMGTKVTVISTSPNKKKEAMEILGADSFLVNRDQDQLQAAMGTMDSILDTVSAKHPLLPLLELLKKHGKLFLVGAPTQAHELPAFPLLGGRKLVGGSVIGGMKETEEMLDFAAKHNVKPKIEVVAIDYVNTTIHGLEKTNVKYRFVIDIENTLKPSSN >KJB44031 pep chromosome:Graimondii2_0_v6:7:29354917:29356026:1 gene:B456_007G231900 transcript:KJB44031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADEHFVVLIPDNLPLDVAAPLLCAGISVYGPLRYYGLDIPSLHIGVVGLGGLGHLAVRFAKAMGTKVTVISTSPNKKKEAMEILGADSFLVNRDQDQLQAAMGTMDSILDTVSAKHPLLPLLELLKKHGKLFLVGAPTQAHELPAFPLLGGRKLVGGSVIGGMKETEEMLDFAAKHNVKPKIEVVAIDYVNTTIHGLEKTNVKYRFVIDIENTLKPSSN >KJB43309 pep chromosome:Graimondii2_0_v6:7:18962715:18964627:-1 gene:B456_007G193300 transcript:KJB43309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMREALLGFSILLIFIAYFIEFSSAIVVFEPFSISFPDLPAKFARGNNTAVCGALQVADPSDACTPLRNEFGSNKTGPTRFALIIRGNCSFEEKIRKAQSGGFSAAIVYDDQDRGSLVSMMANPTGIKILAVFVSKSAGEFLKDHAKGEKGECCIYLRPNSKALTIFVICFLSLLVIAAFLVIALMPPRILSNWRRRNLVKSVDSKTVEALPCVAFGSARLSQCHTGETCAICLEDYKDGEILKILPCQHDFHSSCVESWLTKWGTFCPVCKHDMITKTAYSEVSEQRPSLHVPV >KJB43308 pep chromosome:Graimondii2_0_v6:7:18961383:18964734:-1 gene:B456_007G193300 transcript:KJB43308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMREALLGFSILLIFIAYFIEFSSAIVVFEPFSISFPDLPAKFARGNNTAVCGALQVADPSDACTPLRNEFGSNKTGPTRFALIIRGNCSFEEKIRKAQSGGFSAAIVYDDQDRGSLVSMMANPTGIKILAVFVSKSAGEFLKDHAKGEKGECCIYLRPNSKALTIFVICFLSLLVIAAFLVIALMPPRILSNWRRRNLVKSVDSKTVEALPCVAFGSARLSQCHTGETCAICLEDYKDGEILKILPCQHDFHSSCVESWLTKWGTFCPVCKHDMITKTAYSEIKRSSTGDAHLPWI >KJB44750 pep chromosome:Graimondii2_0_v6:7:45463809:45464621:1 gene:B456_007G270700 transcript:KJB44750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAHFILVFCLLALASPFAYASDPSPLQDFCVAINDPKDAVFVNGKFCKDPKFAKAQDFYYSGLNIPRNTSNPVGSTVTPVNVAQIPGLNTLGISLVRIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNTDNRLITKVLYPGDVFVFPIGLIHFQFNVGKTNAVAFAALSSQNPGVITVANAVFGSNPPINPDVLVKAFQLDKNVVKNLQSKFWWANN >KJB46392 pep chromosome:Graimondii2_0_v6:7:59724257:59725981:1 gene:B456_007G365100 transcript:KJB46392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLARCPSKWLYYMLCFWLYSSVEHSIHYKKQRPYFLLRLLYLSKYSNKTKMMMMVMPYVLPFRKTCTGKSQWLCG >KJB46461 pep chromosome:Graimondii2_0_v6:7:60331957:60332786:1 gene:B456_007G370300 transcript:KJB46461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFSRVVKTFVENGNVLTDSMVDSVLKSLTSVGRLREYNKVLKVSQENGFITSGNLQSKITFRLASAGKKDEASEFIASNTDLDHKAWASFIEGCCAAGDLETASTYFENMVEKNGVSHASYAFNWLVYSYCSRKRAKDACENQLKPWHDTYKELIRKLLAQDGFKDALSLFDLIKNDGFPPFIDPFIEYVSRIRFPSMSVFFVFLKLFSKLQGFVTRI >KJB41431 pep chromosome:Graimondii2_0_v6:7:7815514:7820888:-1 gene:B456_007G104300 transcript:KJB41431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLESPISLKRAGSRNERNPFLSRTRSRFSRFLLFNKLDYIQWICTVVVFLFFVVFFQMFLPGSVMDKSPDSLDDKDLVFRELGYLKEMGGLDFGEDITLEPCKLLQKFQSQNKQFNLESSSALNRSQHRFQYRKPQLALVFADLLVDPQQLLMVTIANALREVGYEIQVYSIEDGPAYDVWQNIGIPVTFLKFNPSGIGVDWLNYNGILVSSLEAKSVFSSFMQEPFKSLPLIWTIHERALAVRSRQYTSAGQIELVNDWKNVFNRATVVVFPNYALPMIYSTFDTGNYYVIPGSPADAWKGENAMYLYKDNQRASMGYGPNEVLIAIVGSQFMYRGLWLEHALILQALLPLFADFSSDNDSISHPKIIVLSSDSASNYSMAVEKIALNLRYPSGVVKHVAVHGDVDGVLSMTDLVIYGSFLEEPSFPEILIKAMSHGKPIVAPDLSNIRKYVDNRVNGYLFPKENISVLTQIILQMISKGKLSPLALNIASIGRGTVKNMMVQETIEGYAMLLENVLKFPSEVAPPKAVIELPSKLKEEWQWNLFVNLQNSTLEDKSSKFLNNLEEQWNHSQRKKFGSPVAMNDSFSYEIWEEEKKMHIFDTKRRREEQELKDRTDQSRGTWEDVYRNAKRADRARNDLHERDERELERTGQPLCIYEPYFGEGTWPFLHRSSLYRGIGLSTKGRRPRMDDVDGPSRLELLKNSYYRDILGEYGAFFAIANRIDHLHKNAWIGFQSWRATARKASLSEVAETSLLGAIEKRKYGDALYFWVRMDKDPRNSLQQDFWSFCDAINAGNCKFAFSETLKTMYGIRTELNSLPPMPEDGGTWSVMQSWALPTKSFLEFVMFSRMFVDALDAQMYDEHHQSGHCYLSFSKDKHCYSRMLELLINVWAYHSARRMVYVNPESGVMQEYHIFKDRRGKMWVKWFALNTLKAMDEDLAEEADSDHSKRRWIWPSTGEVVWQGVLDRERNIRNRQKEKRKQKSKDKQERMRHKHRQKALGKYVKPLPEEDMEISNSTLLTSN >KJB41432 pep chromosome:Graimondii2_0_v6:7:7816326:7820888:-1 gene:B456_007G104300 transcript:KJB41432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLESPISLKRAGSRNERNPFLSRTRSRFSRFLLFNKLDYIQWICTVVVFLFFVVFFQMFLPGSVMDKSPDSLDDKDLVFRELGYLKEMGGLDFGEDITLEPCKLLQKFQSQNKQFNLESSSALNRSQHRFQYRKPQLALVFADLLVDPQQLLMVTIANALREVGYEIQVYSIEDGPAYDVWQNIGIPVTFLKFNPSGIGVDWLNYNGILVSSLEAKSVFSSFMQEPFKSLPLIWTIHERALAVRSRQYTSAGQIELVNDWKNVFNRATVVVFPNYALPMIYSTFDTGNYYVIPGSPADAWKGENAMYLYKDNQRASMGYGPNEVLIAIVGSQFMYRGLWLEHALILQALLPLFADFSSDNDSISHPKIIVLSSDSASNYSMAVEKIALNLRYPSGVVKHVAVHGDVDGVLSMTDLVIYGSFLEEPSFPEILIKAMSHGKPIVAPDLSNIRKYVDNRVNGYLFPKENISVLTQIILQMISKGKLSPLALNIASIGRGTVKNMMVQETIEGYAMLLENVLKFPSEVAPPKAVIELPSKLKEEWQWNLFVNLQNSTLEDKSSKFLNNLEEQWNHSQRKKFGSPVAMNDSFSYEIWEEEKKMHIFDTKRRREEQELKDRTDQSRGTWEDVYRNAKRADRARNDLHERDERELERTGQPLCIYEPYFGEGTWPFLHRSSLYRGIGLSTKGRRPRMDDVDGPSRLELLKNSYYRDILGEYGAFFAIANRIDHLHKNAWIGFQSWRATARKASLSEVAETSLLGAIEKRKYGDALYFWVRMDKDPRNSLQQDFWSFCDAINAGNCKFAFSETLKTMYGIRTELNSLPPMPEDGGTWSVMQSWALPTKSFLEFVMFSRMFVDALDAQMYDEHHQSGHCYLSFSKVTCLFALIFSFKQGINKVRCYY >KJB41430 pep chromosome:Graimondii2_0_v6:7:7815482:7821352:-1 gene:B456_007G104300 transcript:KJB41430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLESPISLKRAGSRNERNPFLSRTRSRFSRFLLFNKLDYIQWICTVVVFLFFVVFFQMFLPGSVMDKSPDSLDDKDLVFRELGYLKEMGGLDFGEDITLEPCKLLQKFQSQNKQFNLESSSALNRSQHRFQYRKPQLALVFADLLVDPQQLLMVTIANALREVGYEIQVYSIEDGPAYDVWQNIGIPVTFLKFNPSGIGVDWLNYNGILVSSLEAKSVFSSFMQEPFKSLPLIWTIHERALAVRSRQYTSAGQIELVNDWKNVFNRATVVVFPNYALPMIYSTFDTGNYYVIPGSPADAWKGENAMYLYKDNQRASMGYGPNEVLIAIVGSQFMYRGLWLEHALILQALLPLFADFSSDNDSISHPKIIVLSSDSASNYSMAVEKIALNLRYPSGVVKHVAVHGDVDGVLSMTDLVIYGSFLEEPSFPEILIKAMSHGKPIVAPDLSNIRKYVDNRVNGYLFPKENISVLTQIILQMISKGKLSPLALNIASIGRGTVKNMMVQETIEGYAMLLENVLKFPSEVAPPKAVIELPSKLKEEWQWNLFVNLQNSTLEDKSSKFLNNLEEQWNHSQRKKFGSPVAMNDSFSYEIWEEEKKMHIFDTKRRREEQELKDRTDQSRGTWEDVYRNAKRADRARNDLHERDERELERTGQPLCIYEPYFGEGTWPFLHRSSLYRGIGLSTKGRRPRMDDVDGPSRLELLKNSYYRDILGEYGAFFAIANRIDHLHKNAWIGFQSWRATARKASLSEVAETSLLGAIEKRKYGDALYFWVRMDKDPRNSLQQDFWSFCDAINAGNCKFAFSETLKTMYGIRTELNSLPPMPEDGGTWSVMQSWALPTKSFLEFVMFSRMFVDALDAQMYDEHHQSGHCYLSFSKDKHCYSRMLELLINVWAYHSARRMVYVNPESGVMQEYHIFKDRRGKMWVKWFALNTLKAMDEDLAEEADSDHSKRRWIWPSTGEVVWQGVLDRERNIRNRQKEKRKQKSKDKQERMRHKHRQKALGKYVKPLPEEDMEISNSTLLTSN >KJB40034 pep chromosome:Graimondii2_0_v6:7:2999713:3003556:1 gene:B456_007G043400 transcript:KJB40034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSWVLKEMGRGKVELKRIENPTNRQVTFSKRRNGLLKKAFELSILCDAEVALLIFSSSGKVYQFASHDMDRTVAKYRREVGLPDSSNPQFRTREFWRSEIDELKRSINTLEARLKHLSGEDILALGMRDLKQLERQLKIGVERVRSRKRRIVSDHATLLKRRHKQLQEENSRLHKRVRLSFCTL >KJB40033 pep chromosome:Graimondii2_0_v6:7:2999689:3004007:1 gene:B456_007G043400 transcript:KJB40033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSWVLKEMGRGKVELKRIENPTNRQVTFSKRRNGLLKKAFELSILCDAEVALLIFSSSGKVYQFASHDMDRTVAKYRREVGLPDSSNPQFRTREFWRSEIDELKRSINTLEARLKHLSGEDILALGMRDLKQLERQLKIGVERVRSRKRRIVSDHATLLKRRHKQLQEENSRLHKRLKELQDGNISSGLVGENACTMFHQRIVHDEDFHNETGLPL >KJB39271 pep chromosome:Graimondii2_0_v6:7:372668:380356:1 gene:B456_007G004700 transcript:KJB39271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDEVHGRSQPGQAEPKVKNKEVVALEDAEAQEEMEANKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIHKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDDPTVNWSKERYDEIESKMTPFLKSSGYNVKKDVQFLPISGLLGSNMKTRVDKSICSWWNGPCLFEALDCIEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVCEGDSLLVMPNKAQVKVVAVYCDESKVRCAGPGENLRVRLSGIEEEDILSGFVLSSVVKPIPAVTEFTAQLQILELLENAIFTAGYKAVLHVHSVVEECEIVELLQQIDPKTRKPMKKKVLFVKNGAVVVCRVQVNNSICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPVA >KJB39273 pep chromosome:Graimondii2_0_v6:7:372493:380425:1 gene:B456_007G004700 transcript:KJB39273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEAEIRALQLDSAEDNNDVVNPEDAKLEKVEKEDEVEVEVEVEGMKDEVHGRSQPGQAEPKVKNKEVVALEDAEAQEEMEANKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIHKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDDPTVNWSKERYDEIESKMTPFLKSSGYNVKKDVQFLPISGLLGSNMKTRVDKSICSWWNGPCLFEALDCIEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVCEGDSLLVMPNKAQVKVVAVYCDESKVRCAGPGENLRVRLSGIEEEDILSGFVLSSVVKPIPAVTEFTAQLQILELLENAIFTAGYKAVLHVHSVVEECEIVELLQQIDPKTRKPMKKKVLFVKNGAVVVCRVQVNNSICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPVA >KJB39270 pep chromosome:Graimondii2_0_v6:7:372487:380378:1 gene:B456_007G004700 transcript:KJB39270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEAEIRALQLDSAGMKDEVHGRSQPGQAEPKVKNKEVVALEDAEAQEEMEANKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIHKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDDPTVNWSKERYDEIESKMTPFLKSSGYNVKKDVQFLPISGLLGSNMKTRVDKSICSWWNGPCLFEALDCIEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVCEGDSLLVMPNKAQVKVVAVYCDESKVRCAGPGENLRVRLSGIEEEDILSGFVLSSVVKPIPAVTEFTAQLQILELLENAIFTAGYKAVLHVHSVVEECEIVELLQQIDPKTRKPMKKKVLFVKNGAVVVCRVQVNNSICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPVA >KJB39276 pep chromosome:Graimondii2_0_v6:7:372668:380356:1 gene:B456_007G004700 transcript:KJB39276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEAEIRALQLDSAEDNNDVVNPEDAKLEKVEKEDEVEVEVEVEGMKDEVHGRSQPGQAEPKVKNKEVVALEDAEAQEEMEANKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIHKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDDPTVNWSKERYDEIESKMTPFLKSSGYNVKKDVQFLPISGLLGSNMKTRVDKSICSWWNGPCLFEALDCIEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVCEGDSLLVMPNKAQVKVVAVYCDESKVRCAGPGENLRVRLSGIEEEDILSGFVLSSVVKPIPAVTEFTAQLQILELLENAIFTAGYKAVLHVHSVVEECEIVELLQQIDPKTRKPMKKKVLFVKNGAVVVCRVQVNNSICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPVA >KJB39272 pep chromosome:Graimondii2_0_v6:7:372668:380356:1 gene:B456_007G004700 transcript:KJB39272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEAEIRALQLDSAEDNNDVVNPEDAKLEKVEKEDEVEVEVEVEGMKDEVHGRSQPGQAEPKVKNKEVVALEDAEAQEEMEANKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIHKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDDPTVNWSKERYDEIESKMTPFLKSSGYNVKKDVQFLPISGLLGSNMKTRVDKSICSWWNGPCLFEALDCIEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVCEGDSLLVMPNKAQVKVVAVYCDESKVRCAGPGENLRVRLSGIEEEDILSGFVLSSVVKPIPAVTEFTAQLQILELLENAIFTAGYKAVLHVHSVVEECEIVELLQQIDPKTRKPMKKKVLFVKNGAVVVCRVQVNNSICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPVA >KJB39275 pep chromosome:Graimondii2_0_v6:7:372668:380356:1 gene:B456_007G004700 transcript:KJB39275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDEVHGRSQPGQAEPKVKNKEVVALEDAEAQEEMEANKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIHKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDDPTVNWSKERYDEIESKMTPFLKSSGYNVKKDVQFLPISGLLGSNMKTRVDKSICSWWNGPCLFEALDCIEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVCEGDSLLVMPNKAQVKVVAVYCDESKVRCAGPGENLRVRLSGIEEEDILSGFVLSSVVKPIPAVTEFTAQLQILELLENAIFTAGYKAVLHVHSVVEECEIVELLQQIDPKTRKPMKKKVLFVKNGAVVVCRVQVNNSICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPVA >KJB39274 pep chromosome:Graimondii2_0_v6:7:372487:380425:1 gene:B456_007G004700 transcript:KJB39274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEAEIRALQLDSAEDNNDVVNPEDAKLEKVEKEDEVEVEVEVEGMKDEVHGRSQPGQAEPKVKNKEVVALEDAEAQEEMEANKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIHKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDDPTVNWSKERYDEIESKMTPFLKSSGYNVKKDVQFLPISGLLGSNMKTRVDKSICSWWNGPCLFEALDCIEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVCEGDSLLVMPNKAQVKVVAVYCDESKVRCAGPGENLRVRLSGIEEEDILSGFVLSSVVKPIPAVTEFTAQLQILELLENAIFTAGYKAVLHVHSVVEECEIVELLQQIDPKTRKPMKKKVLFVKNGAVVVCRVQVNNSICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPVA >KJB39269 pep chromosome:Graimondii2_0_v6:7:372478:380383:1 gene:B456_007G004700 transcript:KJB39269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKDEVHGRSQPGQAEPKVKNKEVVALEDAEAQEEMEANKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIHKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDDPTVNWSKERYDEIESKMTPFLKSSGYNVKKDVQFLPISGLLGSNMKTRVDKSICSWWNGPCLFEALDCIEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVCEGDSLLVMPNKAQVKVVAVYCDESKVRCAGPGENLRVRLSGIEEEDILSGFVLSSVVKPIPAVTEFTAQLQILELLENAIFTAGYKAVLHVHSVVEECEIVELLQQIDPKTRKPMKKKVLFVKNGAVVVCRVQVNNSICVEKFSDFPQLGRFTLRTEGKTIAVGKVTDLPVA >KJB39752 pep chromosome:Graimondii2_0_v6:7:2011018:2012611:1 gene:B456_007G029300 transcript:KJB39752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSIRSWLPGYELTGHYWAAYEQLNIPSFVPKLSQPHSETISLYRVRFALIVFSTSSADKFFTLLEKKQSNVEKTLALVNAKLDPNCVCEVLKRCSFDISQMGLRFFIWAGLQSNCRYSSYMYNKACEFLKIKQSPFLILDVIEAYKVEKCLVNVKMFKVIFNLCKEARIADEALLVLRKVPEFNLRPDITIYNVVIRLFCEKGDMDMANKLMKEIALIDLYPDMITYFAMIKGFCNAGRLEDACELFQAMREQGFSPNALVYSVLLEGICMHGSTEKALEFLEEMETTGGSCSPNVITYTSVIKSFCEKGHTMEALRILDRMETCGCAPNRVTVITLIKGFCAEGHVEEAYKLIDKVAGRGVSDGDCYSALVVSLIRINRLDEAEILFRKMLASGAKPDGIACSLMIREICRKGRVLDGFCLYDEIEQMRFLSSIDSDIYSILLVGLCQQSHLVEAAKLARSMLDKRIRLKAIYVNKIIEHLKNSGDKELAIQLSRIAR >KJB45928 pep chromosome:Graimondii2_0_v6:7:56390208:56393387:-1 gene:B456_007G338700 transcript:KJB45928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATMTTPLPISLFPCLLLIPAICFTICHANSNLLCIQSEREALLKFKNHLFDPSNRLSSWVEGGDCCEWTGVVCHNSTGHVNQLHLAAPLSVPDAFATNAEWEAYHNSLLGGKINPSLLELKHLSSLDLSYNIFSSIHIPKFFGLLESLTYLNLSRSQFQGAIPHNLGNLSKLQYLDLGGNDLKSKTLQWVSGLSSLQYLDLSYADLHTANDWVQVTLKLPSLLELHLSGCGLDNDPSLINVNSSKSLVVLDLSFNRFSSVPKWIFSLHGLVSIDLSGNSLEGPISDYFGNSSFLEVLDLSWNYLNSSIPNSLYSLNRLQFLSLGNNQLQGTISTAIGNLSSVTQLDLSVNQLNGQIPLSTWELSSLKLFDVSKNQLNGQIPLSIGQLSSLEEFDVSENQLNGPIPLSIGELSSLKLFDVSENQLNGQIPSSIGQLSSLEEFDVSENQLNGQIPLSIGELSSLKLFDVSENQLNGTFPLSFGRLESLETLDCGYNLLEGVVSETHFSNLTRLTTLAASHNRLRFEPNLSWIPPFQCERIELGHWHLGPKFPQWLKFQKKLSYLDISYAGISDVIPTWFLNLPTQFESLNLSSNQLRGEVSYLNVRNSVDLSSNRFIGPLPRVFSTLLFLILSNNSFSGSLLELVCNSSSEELMEVLYIDKNLISGDIPDCWNHWQRLNLLNLGSNNLTGKIPPSLWHLNLKMLNLRNNTIFGKLPSTLQNSPNLIMFDLSENHFSGSVPAWIGDKLSNLVILSLRSNNFDGRIPHKICDLQFLQNLDLAHNNISGVIPKCFNNLSAMATANKRNNFVLAESVYAASFFLNALLVLKGREDEYGRTLGLVTSMDLSANSLTGEIPKEIGSLVGLLSLNFSGNLLTGNIPNSIGKMELMESLDLSMNRLNGEIPPSFSNLNFLNHFNVSYNNLTGQIPTSTQLQSFENLSYVGNHLCGPPLTKNCTSKGIPIDVANNGSSREGSKVNWLYVSIVLGFVMGFWGVVAPLFFIRSWRHAYYRKLDHVGRKLYVSWATMGM >KJB41448 pep chromosome:Graimondii2_0_v6:7:7879231:7881335:-1 gene:B456_007G104900 transcript:KJB41448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASSSSSMVATAVLIPRFPAASVRTTGCSALPPLPPRVSTASLSFSSSVKLIPESKRFSLLQTKASEETSVDAGDLFADLKEKSSRKELATDIEALKNKITGTE >KJB41446 pep chromosome:Graimondii2_0_v6:7:7879230:7881558:-1 gene:B456_007G104900 transcript:KJB41446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERDLKRRFADREPTTHNPNLIHVSFSLITVSKLANSSPFLFPISKLICKTIKKKREESLKRASINCKTRPLKYRICSVWSGHISHTAKIHLEMATAASSSSSMVATAVLIPRFPAASVRTTGCSALPPLPPRVSTASLSFSSSVKLIPESKRFSLLQTKASEETSVDAGDLFADLKEKWDKVEDKTTVVLYGGGALVAVWLSSILISAINSVPLLPKIMELVGVGYTGWFIYRYLLFKSSRKELATDIEALKNKITGTE >KJB41447 pep chromosome:Graimondii2_0_v6:7:7879769:7881184:-1 gene:B456_007G104900 transcript:KJB41447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASSSSSMVATAVLIPRFPAASVRTTGCSALPPLPPRVSTASLSFSSSVKLIPESKRFSLLQTKASEETSVDAGDLFADLKEKWDKVEDKTTVVLYGGGALVAVWLSSILISAINSVPLLPKIMELVGVGYTGWFIYRYLLFKVRFTNES >KJB39156 pep chromosome:Graimondii2_0_v6:7:110145:113867:1 gene:B456_007G001100 transcript:KJB39156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSVSHNSFSTIPLFVGFLVVASSLAHVLSSPLMSDSGNRQMKNRTFKPAKDIQKLRRINAYLKKINKPAMKTIQSPDGDIIDCVLSHLQPAFDHPELRGQKPSDPPARPKRHNSTDANPENLQLWTGSGESCPEGTVPIRRTTEKDVLRASSVRRYRRTRRRHIRRDSTGSGHEHAVVFVNGDQYYGAKASLNVWAPRVTNEYEFSLSQIWIISGSFGNDLNTIEAGWQVSPELYGDIYPRFFTYWTTDAYRATGCYNLLCSGFVQTTNKIAIGAAISPISSYNGRQFDIDIMVWKDPKHGHWWLEFGSGLLVGYWPAFLFSHLRSHANMIQFGGEIVNTRSSGFHTSTQMGSGHFAEEGFGKAAYFRNLQTVDWDNNLLPLTNLHLLADHSDCYDIRQGRNNVWGNYFYYGGPGRNVRCP >KJB39157 pep chromosome:Graimondii2_0_v6:7:110576:113657:1 gene:B456_007G001100 transcript:KJB39157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSVSHNSFSTIPLFVGFLVVASSLAHVLSSPLMSDSGNRQMKNRTFKPAKDIQKLRRINAYLKKINKPAMKTIQSPDGDIIDCVLSHLQPAFDHPELRGQKPSDPPARPKRHNSTDANPENLQLWTGSGESCPEGTVPIRRTTEKDVLRASSVRRYRRTRRRHIRRDSTGSGHEHAVVFVNGDQYYGAKASLNVWAPRVTNEYEFSLSQIWIISGSFGNDLNTIEAGWQVSPELYGDIYPRFFTYWTTDAYRATGCYNLLCSGFVQTTNKIAIGAAISPISSYNGRQFDIDIMVWK >KJB39904 pep chromosome:Graimondii2_0_v6:7:2525369:2527289:-1 gene:B456_007G036800 transcript:KJB39904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGSDDHHHHHHSHHHHHQQPLHHHHHHHHRPTFPFQLLEKKEEDNQPCSSSSSLPFPSLPVSSSSADQHTSTRSISTHQISPETSKTAPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKYDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSGFSSNFSMQQRRSLFPGIGLETTPTPTTFLNFQSSSTSLGISEEAEESSLGRKRRPEQDLSSQHHQMGSYLLQSSTGTIPASHHGQVPANFWMGNYV >KJB39903 pep chromosome:Graimondii2_0_v6:7:2525369:2527057:-1 gene:B456_007G036800 transcript:KJB39903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGSDDHHHHHHSHHHHHQQPLHHHHHHHHRPTFPFQLLEKKEEDNQPCSSSSSLPFPSLPVSSSSADQHTSTRSISTHQISPETSKTAPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKYDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSGFSSNFSMQQRRSLFPGIGLETTPTPTTFLNFQSSSTSLGISEEAEESSLGRKRRPEQDLSSQHHQMGSYLLQSSTGTIPASHHGQVPANFWMVTNSNNQVMSGGDPIWTFPSVNNSGLYRGTMSSGLHFMNFPTPMALLPGQQLGSSSSGADGGGGGGGSGGSSGISEGHLNMLAGLNPYRQDSGGTGVSESQVSGSHSHHGGGGGSDE >KJB43969 pep chromosome:Graimondii2_0_v6:7:27905686:27908868:-1 gene:B456_007G228600 transcript:KJB43969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKKKHKKLIATSSNQEPESNDLLSKEQQNQPNEALAAKVTKNGSSSQENLSSLNDQHSRILQWPYTTQQAAEQSSLNPRPCISSQTPPILASRPQQVAPLQSNFPNHLVQQGQPTVHLAPSTSPFWLPQPPSYHFPAVSVPATFQPFTASWQPSPLIGGTSPRSQHQVPNIGYHFSPYPSFPGPWDPSSWWTHGQQVHPSFNCTFPGAYNGSFSSEPPPMPTCSATFGESSQRGIIRPMAKLSQKHQQLWEAQSFENVMLWRVIGQLQSELADYKSQILKLEGQIASLKPPADEPSAQAVQTGLSGAASKRGRPKRAVASVDVSASPDESHPQARGQKPAASKVQPESRVLFFEKVVLNKVEKTAHSISSTKKDNEKTITNNSVNGSNLPMPAFHNQAHPEGPSIQICGTETNSSLAQQPKENDKGISDTHMGGTNGEALAWPASFVTEEPRRNIYNTISQSLYDNGCLIREAGKLTWMEFCE >KJB43967 pep chromosome:Graimondii2_0_v6:7:27906436:27908649:-1 gene:B456_007G228600 transcript:KJB43967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKKKHKKLIATSSNQEPESNDLLSKEQQNQPNEALAAKVTKNGSSSQENLSSLNDQHSRILQWPYTTQQAAEQSSLNPRPCISSQTPPILASRPQQVAPLQSNFPNHLVQQGQPTVHLAPSTSPFWLPQPPSYHFPAVSVPATFQPFTASWQPSPLIGGTSPRSQHQVPNIGYHFSPYPSFPGPWDPSSWWTHGQQVHPSFNCTFPGAYNGSFSSEPPPMPTCSATFGESSQRGIIRPMAKLSQKHQQLWEAQVSFENVMLWRVIGQLQSELADYKSQILKLEGQIASLKPPADEPSAQAVQTGLSGAASKRGRPKRAVASVDVSASPDESHPQARGQKPAASKVQPESRVLFFEKVVLNKVEKTAHSISSTKKDNEKTITNNSVNGSNLPMPAFHNQAHPEGPSIQICGTETNSSLAQQPKENDKGISDTHMGGTNGEALAWPASFVTEEPRRNIYNTISQSLYDNGCLIREAGKLTWMEFCE >KJB43968 pep chromosome:Graimondii2_0_v6:7:27905686:27909229:-1 gene:B456_007G228600 transcript:KJB43968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKKKHKKLIATSSNQEPESNDLLSKEQQNQPNEALAAKVTKNGSSSQENLSSLNDQHSRILQWPYTTQQAAEQSSLNPRPCISSQTPPILASRPQQVAPLQSNFPNHLVQQGQPTVHLAPSTSPFWLPQPPSYHFPAVSVPATFQPFTASWQPSPLIGGTSPRSQHQVPNIGYHFSPYPSFPGPWDPSSWWTHGQQVHPSFNCTFPGAYNGSFSSEPPPMPTCSATFGESSQRGIIRPMAKLSQKHQQLWEAQSFENVMLWRVIGQLQSELADYKSQILKLEGQIASLKPPADEPSAQAVQTGLSGAASKRGRPKRAVASVDVSASPDESHPQARGQKPAASKVQPESRVLFFEKVVLNKVEKTAHSISSTKKDNEKTITNNSVNGSNLPMPAFHNQAHPEGPSIQICGTETNSSLAQQPKENDKGISDTHMGGTNGEALAWPASFVTEEPRRNIYNTISQSLYDNGCLIREAGKLTWMEFCE >KJB45735 pep chromosome:Graimondii2_0_v6:7:54307734:54312226:1 gene:B456_007G324200 transcript:KJB45735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSAVSSALKAIGELTQEVTSLWGVDEQVEGLASELRWMQSFLKVADARKVDHEVIRSSVVEIRELAYDAEDVIEMFALKVASKRKGGFSNCIKRSACFLKEGYLLHQIKSEIEKITARIEALTRQLKTYDVSKLGVDGEGPSSSTERREARRPYPHVMDDNVVGLDKDIEKLVSVLVHEESECKVLSICGMGGLGKTTLAKKIYRQSQVVGHFEHLAWAFVSQNCQIRKIWEDILSDLNILTEVDKKMKVEKLAEKLSSFLEENKCLVILDDIWNTKAWDSLKPAFSARETKSKILLTSRNKEIVAHADKNGFLYELQELDYNQSWELFQKIAFPQSNSPVGSILITFCANKNTIKPGVSMSWERTWHCVGLPLAIIILGGILATKYPSLTEWLKVSANVKSYLNNDKGEVLRDVLALSYDDLPPYLRPCFLYLSHFPEDYEIPADRLIQLWVAEGIVSSKQEEGDEGQIAEDVAEGYLLELAERCMIQVRERDIATLKIRSFQMHDLMRDVCLSKAKQQKFLYIADQSNACQLSTIGRGRRVSVHKSFWIQCIKSPHLRSILFFDKFAPGEEMAKVLPLTARSYLENHCPESLNLLVWFVLLLFVTKMRGIWKYMFNNFNFLRVLDYEGGGVAGCKLPNDIRKLIHLRFLRLRDLHFLSLKLPSSLGNLRCLQSLDLRIEIIVWRSVHVPNVLWRMQKLRHLYLPKRCSPKTKLKLGTLRSLQTLVNFNTKSCYIKDLINMTNIRELEIRGPFNIEDFNTEELGKNPLIVQSKYLHSLSIINYEEGIDPRHLAHLLSSCDSISKLSLDAEIRRLPEYHYLSSNLAYIKLRRCMLEEDPMPTLAKLPYLSMLELHEEAFIGKEMFCCGQAFAKLESLSLMELDFLEEWKVSEGDMPCLRRLEIGNCRQLKKLPDGLRFIATLQELKIESMPKTFKDKVEEGGEDFCKVQHVPSIIFQNCEG >KJB43403 pep chromosome:Graimondii2_0_v6:7:20040032:20044534:-1 gene:B456_007G198000 transcript:KJB43403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPASVSSSSSWIPEDDLLLKNAIESGASLEALAKGAVRFSRKFTVKELQDRWRALLYDPVISDQAAARMIEVELSASNLYSKSSKCDNSVENGSAKRKFESVRRSYYAMRKRACNYHVTNSSGVSFLGSPNGNHCMQNRGGYDELVEPREDCVQNQFGFCDLGIGCVDKRSQDNDLKVTLKEDCFSEKVENLEQNNVSSGSPHVIFEASVKFGHPSGVEEIKPFSVGCSSPQPDMPLWKTMEDVPAAVMPVNDGPLDKGQDVEGEIVHPEDVDGKKMCASGNDIVPSDMMLKDDYEINNSVVISGGDLAETDALLNFDGDAIDKSCYESVNSLLLNSPNDVHEDDTSKTKEHETLVSDVCPGTLEAATYSSKLDEVPDQQSHSGHTEQLYSGHPEINVPSSASVSNPHSPELNDEGCCMLNSEDPEIPCNDDVLLAKAFAPQECHKVGSDQASSFANQNDSKEELSLMQTEDNLAQCFTAPKMVGLDVLSESSQAAKSEFHDGQCHVISRQAQNSLVDPYRFKTSHAFPNSAANGATKEEPSDECNTKDISTYAEASSIVDSVLEPEANKTTFDQIEYESEDDVPSFSDLEAMILEMDLCPDESDSFIRSEVSRYQDEHTRKTLIRLEQCARSAMQRATASRGALAVLYGRHMKHYIKETEVVLGRATMDVDVDIDLGREGRANKISRRQAIIKMEGDGSFSLKNLGKSSIFLNGKEVSTGQLMGLVSSSLIEIRDMAFVFEINQNSVKRHLAKITPKKQEKKTAFDFPEEPKKVLHE >KJB43401 pep chromosome:Graimondii2_0_v6:7:20040032:20044226:-1 gene:B456_007G198000 transcript:KJB43401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPASVSSSSSWIPEDDLLLKNAIESGASLEALAKGAVRFSRKFTVKELQDRWRALLYDPVISDQAAARMIEVELSASNLYSKSSKCDNSVENGSAKRKFESVRRSYYAMRKRACNYHVTNSSGVSFLGSPNGNHCMQNRGGYDELVEPREDCVQNQFGFCDLGIGCVDKRSQDNDLKVTLKEDCFSEKVENLEQNNVSSGSPHVIFEASVKFGHPSGVEEIKPFSVGCSSPQPDMPLWKTMEDVPAAVMPVNDGPLDKGQDVEGEIVHPEDVDGGDLAETDALLNFDGDAIDKSCYESVNSLLLNSPNDVHEDDTSKTKEHETLVSDVCPGTLEAATYSSKLDEVPDQQSHSGHTEQLYSGHPEINVPSSASVSNPHSPELNDEGCCMLNSEDPEIPCNDDVLLAKAFAPQECHKVGSDQASSFANQNDSKEELSLMQTEDNLAQCFTAPKMVGLDVLSESSQAAKSEFHDGQCHVISRQAQNSLVDPYRFKTSHAFPNSAANGATKEEPSDECNTKDISTYAEASSIVDSVLEPEANKTTFDQIEYESEDDVPSFSDLEAMILEMDLCPDESDSFIRSEVSRYQDEHTRKTLIRLEQCARSAMQRATASRGALAVLYGRHMKHYIKETEVVLGRATMDVDVDIDLGREGRANKISRRQMYMGIITLLISARQL >KJB43402 pep chromosome:Graimondii2_0_v6:7:20040032:20044486:-1 gene:B456_007G198000 transcript:KJB43402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPASVSSSSSWIPEDDLLLKNAIESGASLEALAKGAVRFSRKFTVKELQDRWRALLYDPVISDQAAARMIEVELSASNLYSKSSKCDNSVENGSAKRKFESVRRSYYAMRKRACNYHVTNSSGVSFLGSPNGNHCMQNRGGYDELVEPREDCVQNQFGFCDLGIGCVDKRSQDNDLKVTLKEDCFSEKVENLEQNNVSSGSPHVIFEASVKFGHPSGVEEIKPFSVGCSSPQPDMPLWKTMEDVPAAVMPVNDGPLDKGQDVEGEIVHPEDVDGGDLAETDALLNFDGDAIDKSCYESVNSLLLNSPNDVHEDDTSKTKEHETLVSDVCPGTLEAATYSSKLDEVPDQQSHSGHTEQLYSGHPEINVPSSASVSNPHSPELNDEGCCMLNSEDPEIPCNDDVLLAKAFAPQECHKVGSDQASSFANQNDSKEELSLMQTEDNLAQCFTAPKMVGLDVLSESSQAAKSEFHDGQCHVISRQAQNSLVDPYRFKTSHAFPNSAANGATKEEPSDECNTKDISTYAEASSIVDSVLEPEANKTTFDQIEYESEDDVPSFSDLEAMILEMDLCPDESDSFIRSEVSRYQDEHTRKTLIRLEQCARSAMQRATASRGALAVLYGRHMKHYIKETEVVLGRATMDVDVDIDLGREGRANKISRRQAIIKMEGDGSFSLKNLGKSSIFLNGKEVSTGQLMGLVSSSLIEIRDMAFVFEINQNSVKRHLAKITPKKQEKKTAFDFPEEPKKVLHE >KJB43404 pep chromosome:Graimondii2_0_v6:7:20041694:20044226:-1 gene:B456_007G198000 transcript:KJB43404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPASVSSSSSWIPEDDLLLKNAIESGASLEALAKGAVRFSRKFTVKELQDRWRALLYDPVISDQAAARMIEVELSASNLYSKSSKCDNSVENGSAKRKFESVRRSYYAMRKRACNYHVTNSSGVSFLGSPNGNHCMQNRGGYDELVEPREDCVQNQFGFCDLGIGCVDKRSQDNDLKVTLKEDCFSEKVENLEQNNVSSGSPHVIFEASVKFGHPSGVEEIKPFSVGCSSPQPDMPLWKTMEDVPAAVMPVNDGPLDKGQDVEGEIVHPEDVDGGDLAETDALLNFDGDAIDKSCYESVNSLLLNSPNDVHEDDTSKTKEHETLVSDVCPGTLEAATYSSKLDEVPDQQSHSGHTEQLYSGHPEINVPSSASVSNPHSPELNDEGCCMLNSEDPEIPCNDDVLLAKAFAPQECHKVGSDQASSFANQNDSKEELSLMQTEDNLAQCFTAPKMVGLDVLSESSQAAKSEFHDGQCHVISRQAQNSLVDPYRFKTSHAFPNSAANGATKEEPSDECNTKDISTYAEASSIVDSVLEPEANKTTFDQIEYESEDDVPSFSDLEAMILEMDLCPDESDSFIRSEVSRYQDEHTRKTLIRLEQCARSAMQRATASRGALAVLYGRHMKHYIKETEVLNLSMLVLYCITLTINLLPSIIGEFLDVVRKGT >KJB43455 pep chromosome:Graimondii2_0_v6:7:20427496:20429196:-1 gene:B456_007G201000 transcript:KJB43455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTRRVLRWPIKQSPSLPVNTCFSSGIAFDSIKMAAMFSSSEISKTASSLLSAYASFAGSMMLVRSMANELIPHQLRSYLYSAFRYFFTPLSPDLTLAIDERCGMSKNQVYEAAEVYLSTRISPKTERLKLSKTRKQKHFTIGLEKGELIVDGFEDVRLTWRFVCTEGQKPHGGEKKHFELSFNKKHKDKVLDFYLPYVLHKAEEIKKQDKVIKLYSRQCPFSCEDDNERRGGSWGSIILEHPATFDTLAMDPALKKMIIDDLEMFLRRKAYYNKVGKAWKRGYLLYGPPGTGKSSLVAAMANYLKFDIYDLGLTSVRSDAELRRTLLSTTNRSILLIEDIDCSSEVLERQMRNKNKKLPDRTGQLTLSGILNCIDGLWSSCGDERIIVFTTNYKDRIDPALLRPGRMDLHINMSYCTTDGFRLLASNYLGISSKHNPSFAEIDSLLNSTEATPAEVAEELMKSDDPNIALQGLVNFLKRKRDEADDETENKATGCGEVGSSVRRLNAIVSRVKRLKTNVDQRKIMMRKRK >KJB43454 pep chromosome:Graimondii2_0_v6:7:20427277:20429243:-1 gene:B456_007G201000 transcript:KJB43454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTRRVLRWPIKQSPSLPVNTCFSSGIAFDSIKMAAMFSSSEISKTASSLLSAYASFAGSMMLVRSMANELIPHQLRSYLYSAFRYFFTPLSPDLTLAIDERCGMSKNQVYEAAEVYLSTRISPKTERLKLSKTRKQKHFTIGLEKGELIVDGFEDVRLTWRFVCTEGQKPHGGEKKHFELSFNKKHKDKVLDFYLPYVLHKAEEIKKQDKVIKLYSRQCPFSCEDDNERRGGSWGSIILEHPATFDTLAMDPALKKMIIDDLEMFLRRKAYYNKVGKAWKRGYLLYGPPGTGKSSLVAAMANYLKFDIYDLGLTSVRSDAELRRTLLSTTNRSILLIEDIDCSSEVLERQMRNKNKKLPDRTGLTLSGILNCIDGLWSSCGDERIIVFTTNYKDRIDPALLRPGRMDLHINMSYCTTDGFRLLASNYLGISSKHNPSFAEIDSLLNSTEATPAEVAEELMKSDDPNIALQGLVNFLKRKRDEADDETENKATGCGEVGSSVRRLNAIVSRVKRLKTNVDQRKIMMRKRK >KJB44683 pep chromosome:Graimondii2_0_v6:7:44413877:44415463:1 gene:B456_007G266400 transcript:KJB44683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRTGAKVPVIDFSNQNLRPSSPEWDLLKFQVREALEEYSCFEASFDQILELRQPVLEALEQLFDLPSQTKQLCVSDKPFRAYSWPPSRLYESMSTDDAHIVENIEQCLTTTLWPQGNISFSKTLASFTQLASGLEKTILRMILESFGLEKYMDELVDSTNNHLRAMKYGRTNISEPTLGLPAHCDHTTMTLLYQLNEVQGLEILNKNGEWININPSPNSFVVMLGESFSVSHLYINSLT >KJB44682 pep chromosome:Graimondii2_0_v6:7:44413755:44415463:1 gene:B456_007G266400 transcript:KJB44682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRTGAKVPVIDFSNQNLRPSSPEWDLLKFQVREALEEYSCFEASFDQILELRQPVLEALEQLFDLPSQTKQLCVSDKPFRAYSWPPSRLYESMSTDDAHIVENIEQCLTTTLWPQGNISFSKTLASFTQLASGLEKTILRMILESFGLEKYMDELVDSTNNHLRAMKYGRTNISEPTLGLPAHCDHTTMTLLYQLNEVQGLEILNKNGEWININPSPNSFVVMLGESFSVWLNGRLPSAYHRLMMKGNETRYSIGLFARPRGGYLVKVPKELVDDKNPMLFKPFDLEEFLKIYSPQAVQGATKSTLKAYCSV >KJB45339 pep chromosome:Graimondii2_0_v6:7:51527492:51529277:-1 gene:B456_007G302100 transcript:KJB45339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKASALCTLSIFVILFSPSFATFPNPSSVFNSESICKLTPHADFCNSILPSGKFATIFDFSKVSIQQSLLNAHSFLGAIKYFLRLPSTSFLSTIRALQDCQFLAELNVDFLSYTLQGIKSDGLDTFLADDLHALLSAVLTNVQTCIEGLEATPSASSIKNGLLPSVSNGTHFLSVSLALFRHGWVHGFIKSLTGRNHVFSNLVNGRDSPLPLIMSDHDRAVYESASRQKHVRADEEGKDKGVSVSQVVVVNPDGSGNFTTINEAVAAAPNNTGDSNRYFLIYVVTGVYEEYVSIPKKKQNVMIIGDGINKTIITGNRNFVDGSTTFNSATFAVVGKGFVAVNITFRNTAGPSKHQAVAVRNGADMSTFYRCSFEGYQDTLYAHSLRQFYKECDIYGTVDFIFGNAAVVLQNCNIYPRLPMPNQFNTITAQGRTDPNQNTGISIHHCVIRPADDLASSNGMTKTYLGRPWKEYSRTVYMQSFMDSLIEPPGWSEWAGKFALDTLYYAEYKNMGPGSNTGSRVQWNGYHKDISESEADKFTVSNFVDGDNWLPATGVPFHGGLF >KJB39032 pep chromosome:Graimondii2_0_v6:7:59004080:59004515:-1 gene:B456_007G358600 transcript:KJB39032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKVSTAIIVAAASMSAVMAAGAPSPAPSAGGSSPSSSPGSAPASGPDSSMAATTLPVLGSLVGASIVSLFSYMLQ >KJB42496 pep chromosome:Graimondii2_0_v6:7:13366715:13368852:1 gene:B456_007G155500 transcript:KJB42496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSNRRLTLFEQMSAVDNGRTSLAAAFTLDALLGNTKPPQQPPSHNRTLLEIILDDGSNKDKKSWKAFRDKIRLKRPGSALTSSLHVPVSDVNVQTDRSQLPRRGPFLSDPDDSVRVEDGGERAPVSDPPVLNSLLRLERTDSDSDRFGHDPMQPFNDDSADVSMPSNAPPLRRVRPQTDRNDSNRLPSSNTAVNNIDSSEDDDSPLARHVTRQLGAVLAEERALSAREAAEAAAAAVEQAAVTVNNAPPASEEPVRMSLMELLEETSQQMGLMGSSYIISDAGEEYEKEEVTDEDEDEKEVVQSSGGMEHACCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGNCPLCNGSILEILDIF >KJB43117 pep chromosome:Graimondii2_0_v6:7:17733546:17735967:1 gene:B456_007G184800 transcript:KJB43117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLLFLLILLVCNRNPRLSALNDEALALLSFKQSLENDTGAYLINWNSSDPNPCSWHGVSCRNQKVHALIVPNIGLSGYLSPALANLSSIRHVNLENNSFRGTLPTELFGATRLKSLVVSGNSLYGPLPSQVGNLKNLRTFDVSRNLFNGSVPSSIVQCKKLTTLVLSQNYFSGSFPEGFGNSLVSLQKLNLSYNRFDGSIPGDIGNLSNLHGTLDLSHNFFTGEIPASLGSLPEEVYIDLSYNNLTGPIPQNGALGNAGPTAFIGNPSLCGLPLKIPCHSSNKPIPIKPFQRSIKRGEGGRVIKTIAGVMVGLCFIGFMFSYWYKKAYVCNGVRKVTGYRLEEKLMIGRDIFCFARRDNDTLSENMEQCNFVPLDTKVDFDLEQLLKASAFLLGKSSSGILYKVVLDNGLAVAVRRLGDGGGQRLKEFQTEVEAIGKIRHPNIVNLRAYCCSDDEKLLIYDYITKGDLAAAIHGKSGIVNFKPLLWPVRVKIMKGIAKGLAFLHEFSLKRYVHGNLKPSNILLGEDMEPRISDFGLSRLANITEESPEFQVEQQMAIWTPEQSSPFELTPINSSSNGSYYRAPEALNDTKPSQKWDVYSFGVISLEMISGKLPSIQVGSSEMDLVQWIQLGMDERKPLSSIIDPSLAHSWVEEDNITAILKLALACIHKSPDRRPSMRFVSYSLEKLTS >KJB41830 pep chromosome:Graimondii2_0_v6:7:9929965:9931637:-1 gene:B456_007G124300 transcript:KJB41830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGPESTSSSTPSPNGKRGRDPEDEVYLDNLRSHKRYLSEIMASSLNGLTVGDPLPEYLLESPARSEGMFYPRDEMPCQYSPMSEDSDDSRFSETAMNTCFPHSDRLPTSPVSPYRYQRPLNAFYSTPSTSSYSLPGNVSAVTCSQPRKRGSDTEGQFPSSPSDICHSADLRRAALMRSVHMKTQPAGSSSLELQFGLGQENSLSIEAEERPCSFVKSLIGDSEYQTEECSSTSISEPEFSREKSCGVSNMDLKGDESRA >KJB41831 pep chromosome:Graimondii2_0_v6:7:9929645:9931961:-1 gene:B456_007G124300 transcript:KJB41831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCQYSPMSEDSDDSRFSETAMNTCFPHSDRLPTSPVSPYRYQRPLNAFYSTPSTSSYSLPGNVSAVTCSQPRKRGSDTEGQFPSSPSDICHSADLRRAALMRSVHMKTQPAGSSSLELQFGLGQENSLSIEAEERPCSFVKSLIGDSEYQTEECSSTSISEPEFSREKSCGVSNMDLKGDESRA >KJB41832 pep chromosome:Graimondii2_0_v6:7:9929645:9931961:-1 gene:B456_007G124300 transcript:KJB41832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEAETPKMRFTSTISVLTSVTSIMASSLNGLTVGDPLPEYLLESPARSEGMFYPRDEMPCQYSPMSEDSDDSRFSETAMNTCFPHSDRLPTSPVSPYRYQRPLNAFYSTPSTSSYSLPGNVSAVTCSQPRKRGSDTEGQFPSSPSDICHSADLRRAALMRSVHMKTQPAGSSSLELQFGLGQENSLSIEAEERPCSFVKSLIGDSEYQTEECSSTSISEPEFSREKSCGVSNMDLKGDESRA >KJB41833 pep chromosome:Graimondii2_0_v6:7:9929680:9931780:-1 gene:B456_007G124300 transcript:KJB41833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCQYSPMSEDSDDSRFSETAMNTCFPHSDRLPTSPVSPYRYQRPLNAFYSTPSTSSYSLPGNVSAVTCSQPRKRGSDTEGQFPSSPSDICHSADLRRAALMRSVHMKTQPAGSSSLELQFGLGQENSLSIEAEERPCSFVKSLIGDSEYQTEECSSTSISEPEFSREKSCGVSNMDLKGDESRA >KJB41834 pep chromosome:Graimondii2_0_v6:7:9929965:9930522:-1 gene:B456_007G124300 transcript:KJB41834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCQYSPMSEDSDDSRFSETAMNTCFPHSDRLPTSPVSPYRYQRPLNAFYSTPSTSSYSLPGNVSAVTCSQPRKRGSDTEGQFPSSPSDICHSADLRRAALMRSVHMKTQPAGSSSLELQFGLGQENSLSIEAEERPCSFVKSLIGDSEYQTEECSSTSISEPEFSREKSCGVSNMDLKGDESRA >KJB39883 pep chromosome:Graimondii2_0_v6:7:2451175:2455728:1 gene:B456_007G035800 transcript:KJB39883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKAVLFGKKSSKSGYHKGRENVANVNEVLVSARASEAEVPVAPPFPSQLNQYANERNERDERKLELENKEAANIYNDDRISLPVSQGIGSQESTLQDFQNDPERMKQKQAATIVQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAIATLCCVMGIVKLQSHIRGVMARRSDGGLEVHKKYNQMNLWESKPVVSLGVNMPARIGKLSANAFVRKLVASSPPVMPLRLHDDTGEPNSVWNWLERWSASCFWKLVPQPKKASKLQKKQANGQVVETDSGRPKLSVRRIRPANLDGGSVQATSEFDKPKRNLRKVSSHPAEMAVQENPQNELEKVKRNLRKVHNPVVENSVQSRVEPDKPKQSLEKFSSATNPDVVEQSLNSLAEKANKEMASTVNSSAEKMKNEMAMTVNSSTEEMKRETTSIKSSAEKMNKETALKINNSAEKMMKETSLNSSAEKMRQETAAENGSAEKMKKETALTVNISAEKMNKETALTINNSAEKMNKEMARTVNSSAEKMRQETATVNGSAEKMKKETALTVKSSNEKVKKETALTVNNSAEKVKKEIASTVNGSAEKMKKETTVAVSKSPDIETMLGPLGRNETSDLFHADPFVADSKPSIDSIVKDENNPIANVELNRKGDSTNNENQKSGKKASNPAKQDHTENGPQISPALPSYMAATESAKAKLRLQGSPRFSQDGGEKHNLARRQSLPISANSKINSQSPRTQRLVHAGKEGSKSDRPLSSRDRNGMKC >KJB39886 pep chromosome:Graimondii2_0_v6:7:2450957:2456180:1 gene:B456_007G035800 transcript:KJB39886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKAVLFGKKSSKSGYHKGRENVANVNEVLVSARASEAEVPVAPPFPSQLNQYANERNERDERKLELENKEAANIYNDDRISLPVSQGIGSQESTLQDFQNDPERMKQKQAATIVQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAIATLCCVMGIVKLQSHIRGVMARRSDGGLEVHKKYNQMNLWESKPVVSLGVNMPARIGKLSANAFVRKLVASSPPVMPLRLHDDTGEPNSVWNWLERWSASCFWKLVPQPKKASKLQKKQANGQVVETDSGRPKLSVRRIRPANLDGGSVQATSEFDKPKRNLRKVSSHPAEMAVQENPQNELEKVKRNLRKVHNPVVENSVQSRVEPDKPKQSLEKFSSATNPDVVEQSLNSLAEKANKEMASTVNSSAEKMKNEMAMTVNSSTEEMKRETTSIKSSAEKMNKETALKINNSAEKMMKETSLNSSAEKMRQETAAENGSAEKMKKETALTVNISAEKMNKETALTINNSAEKMNKEMARTVNSSAEKMRQETATVNGSAEKMKKETALTVKSSNEKVKKETALTVNNSAEKVKKEIASTVNGSAEKMKKETTVAVSKSPDIETMLGPLGRNETSDLFHADPFVADSKPSIDSIVKDENNPIANVELNRKGDSTNNENQKSGKKASNPAKQDHTENGPQISPALPSYMAATESAKAKLRLQGSPRFSQDGGEKHNLARRQSLPISANSKINSQSPRTQRLVHAGKEGSKSDRPLSSRDRNAKATQVEWRR >KJB39884 pep chromosome:Graimondii2_0_v6:7:2451175:2456139:1 gene:B456_007G035800 transcript:KJB39884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKAVLFGKKSSKSGYHKGRENVANVNEVLVSARASEAEVPVAPPFPSQLNQYANERNERDERKLELENKEAANIYNDDRISLPVSQGIGSQESTLQDFQNDPERMKQKQAATIVQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAIATLCCVMGIVKLQSHIRGVMARRSDGGLEVHKKYNQMNLWESKPVVSLGVNMPARIGKLSANAFVRKLVASSPPVMPLRLHDDTGEPNSVWNWLERWSASCFWKLVPQPKKASKLQKKQANGQVVETDSGRPKLSVRRIRPANLDGGSVQATSEFDKPKRNLRKVSSHPAEMAVQENPQNELEKVKRNLRKVHNPVVENSVQSRVEPDKPKQSLEKFSSATNPDVVEQSLNSLAEKANKEMASTVNSSAEKMKNEMAMTVNSSTEEMKRETTSIKSSAEKMNKETALKINNSAEKMMKETSLNSSAEKMRQETAAENGSAEKMKKETALTVNISAEKMNKETALTINNSAEKMNKEMARTVNSSAEKMRQETATVNGSAEKMKKETALTVKSSNEKVKKETALTVNNSAEKVKKEIASTVNGSAEKMKKETTVAVSKSPDIETMLGPLGRNETSDLFHADPFVADSKPSIDSIVKDENNPIANVELNRKGDSTNNENQKSGKKASNPAKQDHTENGPQISPALPSYMAATESAKAKLRLQGSPRFSQDGGDLIEI >KJB39885 pep chromosome:Graimondii2_0_v6:7:2451175:2456139:1 gene:B456_007G035800 transcript:KJB39885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKAVLFGKKSSKSGYHKGRENVANVNEVLVSARASEAEVPVAPPFPSQLNQYANERNERDERKLELENKEAANIYNDDRISLPVSQGIGSQESTLQDFQNDPERMKQKQAATIVQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAIATLCCVMGIVKLQSHIRGVMARRSDGGLEVHKKYNQMNLWESKPVVSLGVNMPARIGKLSANAFVRKLVASSPPVMPLRLHDDTGEPNSVWNWLERWSASCFWKLVPQPKKASKLQKKQANGQVVETDSGRPKLSVRRIRPANLDGGSVQATSEFDKPKRNLRKVSSHPAEMAVQENPQNELEKVKRNLRKVHNPVVENSVQSRSLNSLAEKANKEMASTVNSSAEKMKNEMAMTVNSSTEEMKRETTSIKSSAEKMNKETALKINNSAEKMMKETSLNSSAEKMRQETAAENGSAEKMKKETALTVNISAEKMNKETALTINNSAEKMNKEMARTVNSSAEKMRQETATVNGSAEKMKKETALTVKSSNEKVKKETALTVNNSAEKVKKEIASTVNGSAEKMKKETTVAVSKSPDIETMLGPLGRNETSDLFHADPFVADSKPSIDSIVKDENNPIANVELNRKGDSTNNENQKSGKKASNPAKQDHTENGPQISPALPSYMAATESAKAKLRLQGSPRFSQDGGEKHNLARRQSLPISANSKINSQSPRTQRLVHAGKEGSKSDRPLSSRDRNAKATQVEWRR >KJB39882 pep chromosome:Graimondii2_0_v6:7:2451175:2456139:1 gene:B456_007G035800 transcript:KJB39882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKAVLFGKKSSKSGYHKGRENVANVNEVLVSARASEAEVPVAPPFPSQLNQYANERNERDERKLELENKEAANIYNDDRISLPVSQGIGSQESTLQDFQNDPERMKQKQAATIVQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAIATLCCVMGIVKLQSHIRGVMARRSDGGLEVHKKYNQMNLWESKPVVSLGVNMPARIGKLSANAFVRKLVASSPPVMPLRLHDDTGEPNSVWNWLERWSASCFWKLVPQPKKASKLQKKQANGQVVETDSGRPKLSVRRIRPANLDGGSVQATSEFDKPKRNLRKVSSHPAEMAVQENPQNELEKVKRNLRKVHNPVVENSVQSRVEPDKPKQSLEKFSSATNPDVVEQSLNSLAEKANKEMASTVNSSAEKMKNEMAMTVNSSTEEMKRETTSIKSSAEKMNKETALKINNSAEKMMKETSLNSSAEKMRQETAAENGSAEKMKKETALTVNISAEKMNKETALTINNSAEKMNKEMARTVNSSAEKMRQETATVNGSAEKMKKETALTVKSSNEKVKKETALTVNNSAEKVKKEIASTVNGSAEKMKKETTVAVSKSPDIETMLGPLGRNETSDLFHADPFVADSKPSIDSIVKDENNPIANVELNRKGDSTNNENQKSGKKASNPAKQDHTENGPQISPALPSYMAATESAKAKLRLQGSPRFSQDGGEKHNLARRQSLPISANSKINSQSPRTQRLVHAGKEGSKSDRPLSSRDRNAKATQVEWRR >KJB40096 pep chromosome:Graimondii2_0_v6:7:3243111:3245489:1 gene:B456_007G046600 transcript:KJB40096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDRRVRRGRERLAQEVEPAPPAPLSAEKSEQLSVMEEKIKNLLEQVETLGEAGKVDEAEALMRKVEALNAEKTALAQQPQNDKVLMLAQEKKMALCEVCGSFLVANDAAERTQSHVTGKQHIGYGMVRDFISEFNEAKEKAREEEKLSREKEAEERRKQREKEYESRRRSDSGDRDKYHDRDKDRDRYCERDLYRERSREWNGRGIHDGERDWRYRNGRDGGRDRHRKRSRSRSPSRHSRRRSSRSPVH >KJB40095 pep chromosome:Graimondii2_0_v6:7:3241801:3245835:1 gene:B456_007G046600 transcript:KJB40095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGTARNLTDEEKKGYREIRWDDKEVCAFYMVRFCPHDLFVNTRSDLGPCPRVHDQKLKESFEKSPRHDAYVPKFEAELAQFCEKLVMDLDRRVRRGRERLAQEVEPAPPAPLSAEKSEQLSVMEEKIKNLLEQVETLGEAGKVDEAEALMRKVEALNAEKTALAQQPQNDKVLMLAQEKKMALCEVCGSFLVANDAAERTQSHVTGKQHIGYGMVRDFISEFNEAKEKAREEEKLSREKEAEERRKQREKEYESRRRSDSGDRDKYHDRDKDRDRYCERDLYRERSREWNGRGIHDGERDWRYRNGRDGGRDRHRKRSRSRSPSRHSRRRSSRSPVH >KJB40094 pep chromosome:Graimondii2_0_v6:7:3241867:3245710:1 gene:B456_007G046600 transcript:KJB40094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGTARNLTDEEKKGYREIRWDDKEVCAFYMVRFCPHDLFVNTRSDLGPCPRVHDQKLKESFEKSPRHDAYVPKFEAELAQFCEKLVMDLDRRVRRGRERLAQEVEPAPPAPLSAEKSEQLSVMEEKIKNLLEQVETLGEAGKVDEAEALMRKVEALNAEKTALAQQPQNDKVLMLAQEKKMALCEVCGSFLVANDAAERTQSHVTGKQHIGYGMVRDFISEFNEAKEKAREEEKLSREKEAEERRKQREKEYESRRRSDSGDRDKYHDRDKDRDRYCERDLYRERSREWNGRGIHDGERDWRYRNGRDGGRDRHRKRSRSRSPSRHSRRRSSRSPVH >KJB41331 pep chromosome:Graimondii2_0_v6:7:7324376:7328400:-1 gene:B456_007G099200 transcript:KJB41331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00490) UniProtKB/Swiss-Prot;Acc:O65258] MAVSSVRLFNSLYPPCASLIAYSTLHSGFPNSLRYNSFRVKGGLVYSISGSSRVRALVKGKGERTEDYPLAGDSTDDTKVAESWPRSLQRDFAGTPYVPVYVMLPLGVIDRNCELVDPEGLTNQLRILKSVNVDGVMIDCWWGIVEAHAPQTYNWSGYRRLFQIVRDIGLKLQVVMSFHECGGNVGDDVNIPLPQWVREIGDSNPDIYFTDREGRRNTECLTWGIDKERVLRGRTAVEVYFDYMRNFRVEFDDFFVSGVIAEIEVGMGPCGELRYPSYLVKHGWKYPGIGEFQCYDKYLMKRLKKAAEIRGHTFWGRAPYNSGSYNSAPHETGFFRDGGDYDSYYGRFFLNWYSQILVDHGDRVLALANLAFEGTCIAAKLSGIHWWYKTASHAAELTSGFYNPAHRDGYALIAAMLKKHGVALNFTCVELRTLNQHEGFPEAMADPEGLVWQVLNAAWDVSIPVASENALPCYDREGYNKILENAKPRNDPDGRHLSAFTYLRLNPALIERRNFVEFERFVKGMHGEAISDLPLN >KJB43291 pep chromosome:Graimondii2_0_v6:7:18803600:18808779:-1 gene:B456_007G192000 transcript:KJB43291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVWEHFGEVANVAQLAGFDAVRLIAMIGKAASTARMHKKNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRAYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDQYLKIVPLITLVDNSRVRERLEVIEKDQHEYTLDEEDRRVQDVILKPEPSTNDAIILKKTLSCSYPNLCFNEALRKENEKLQVELQRSQSSYDVQQCEVIQHLLEVTEVAAATSVPDKRSKKVERNYSDANSEKGHSYDEISPKKPGSRITSINTSSVSSGRDLLSDRGSDRYDEWHADLLGCCSEPYLCIKTFFCPCGTLSKIATVATNRHMSSAEACNELMAYSLILSCCCYTCCVRRKLRKALNITGGFIDDFLSHLMCCCCALVQEWREVEIRGFNGSEKTKTSPPPSQFMES >KJB43289 pep chromosome:Graimondii2_0_v6:7:18803999:18807733:-1 gene:B456_007G192000 transcript:KJB43289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVWEHFGEVANVAQLAGFDAVRLIAMIGKAASTARMHKKNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRAYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDQYLKIVPLITLVDNSRVRERLEVIEKDQHEYTLDEEDRRVQDVILKPEPSTNDAIILKKTLSCSYPNLCFNEALRKENEKLQVELQRSQSSYDVQQCEVIQHLLEVTEVAAATSVPDKRSKKVERNYSDANSEKGHSYDEISPKKPGSRITSINTSSVSSGRDLLSDRGSDRYDEWHADLLGCCSEPYLCIKTFFCPCGTLSKIATVATNRHMSSAEACNELMAYSLILSCCCYTCCVRRKLRKALNITGGFIDDFLSHLMCCCCALVQEWREVEIRGFNGSEKTKTSPPPSQFMES >KJB43290 pep chromosome:Graimondii2_0_v6:7:18803639:18808166:-1 gene:B456_007G192000 transcript:KJB43290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVWEHFGEVANVAQLAGFDAVRLIAMIGKAASTARMHKKNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRAYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDQYLKIVPLITLVDNSRVRERLEVIEKDQHEYTLDEEDRRVQDVILKPEPSTNDAIILKKTLSCSYPNLCFNEALRKENEKLQVELQRSQSSYDVQQCEVIQHLLEVTEVAAATSVPDKRSKKVERNYSDANSEKGHSYDEISPKKPGSRITSINTSSVSSGRDLLSDRGSDRYDEWHADLLGCCSEPYLCIKTFFCPCGTLSKIATVATNRHMSSAEACNELMAYSLILSCCCYTCCVRRKLRKALNITGGFIDDFLSHLMCCCCALVQEWREVEIRGFNGSEKTKTSPPPSQFMES >KJB40981 pep chromosome:Graimondii2_0_v6:7:6165025:6165764:-1 gene:B456_007G086000 transcript:KJB40981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCEATSDFDLRLLDSIRRHLLEDDDFETQYPATFSENLANVQLPITSAQMGCSKTDAVAINVDQWMTFDQLFDAAEAAAEVSFPSCEMSSKVDTPQTPPKKVHYKGVRRRPWGTYAAEIRDPKKNGARIWLGTYETPEDAALAYDRAAFKMRGSKAKLNFPHLIGSDQVQLVRLSNNKRRSPEPCSPSSLSSMSDDGTPKSKRRISELGSDDIHQLMSTEF >KJB46445 pep chromosome:Graimondii2_0_v6:7:60138184:60139139:1 gene:B456_007G368900 transcript:KJB46445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSIIILVLNFSSYQSGVAFLHGSSFRSFINILVHFKGWQLDSEKMSSLQPFHGFVQKNLLLMSLLHLIKIKEICP >KJB42453 pep chromosome:Graimondii2_0_v6:7:13143633:13146504:-1 gene:B456_007G153900 transcript:KJB42453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGQKVILNVYDLSQGLARQLSMTLLGKPIEGIWHTGVVVYGNEYYFGGGIQHSPAGTTPYGTPIRVIDLGVTHVPKDVFEMYLQEISPRYTAETYSLLTHNCNNFSNEVGQFLVGSNIPDYILQLPNEVMSSPMGALLMPMIQNLETTLRAGAVPQVPQFRPSVSAQSSQSTTISVNGSSISSQPKEVDNKVKDGEQPKSEEVKTSEKTVPPSKPTGTQEKSKNNGAAADPLGDARAKVQEEITREFAAIMASGTLRASEAAALATRKVMQKYGHLNVAMQQS >KJB42454 pep chromosome:Graimondii2_0_v6:7:13143596:13146959:-1 gene:B456_007G153900 transcript:KJB42454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGQKVILNVYDLSQGLARQLSMTLLGKPIEGIWHTGVVVYGNEYYFGGGIQHSPAGTTPYGTPIRVIDLGVTHVPKDVFEMYLQEISPRYTAETYSLLTHNCNNFSNEVGQFLVGSNIPDYILQLPNEVMSSPMGALLMPMIQNLETTLRAGAVPQVPQFRPSVSAQSSQSTTISVNGSSISSQPKEVDNKVKDGEQPKSEEVKTSEKTVPPSKPTGTQEKSKNNGAAADPLGDARAKVQEEITREFAAIMASGTLRASEAAALATRKVMQKYGHLNVAMQQS >KJB42546 pep chromosome:Graimondii2_0_v6:7:13686971:13693177:-1 gene:B456_007G157300 transcript:KJB42546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKISEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALRKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMRERQEPFSEWEIRSFMSQMLQGLAHMHRNGYFHRDLKPENLLVTKDVLKIADFGLAREVSSMPPYTEYVSTRWYRSPEVLLQSSSYTPAIDMWAVGAILAELFTSSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEILPTNLSDIIPNASPEAVDLIMQLCSWDPLRRPTADQALQHPFFNVDARIPHPRLHDPLELRLNNMESKPNLELNLWDFDTEPDDCFLGLTLAVKPSVSKLEAVHNGSQRMEEDILFCPSLKDHPEQSVFWSLLTPDQNGIHTPVESSLSLSFSSIQHPPIGVPQSAGFAIASLQPNLPVRQNYWLWPPPSGKVIPSD >KJB42553 pep chromosome:Graimondii2_0_v6:7:13686708:13694974:-1 gene:B456_007G157300 transcript:KJB42553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKISEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALRKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMRERQEPFSEWEIRSFMSQMLQGLAHMHRNGYFHRDLKPENLLVTKDVLKIADFGLAREVSSMPPYTEYVSTRWYRSPEVLLQSSSYTPAIDMWAVGAILAELFTSSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEILPTNLSDIIPNASPEAVDLIMQLCSWDPLRRPTADQALQHPFFNVDARIPHPRLHDPLELRLNNMESKPNLELNLWDFDTEPDDCFLGLTLAVKPSVSKLEAVHNGSQRMEEDILFCPSLKDHPEQSVFWSLLTPDQNGIHTPVESSLSLSFSSIQHPPIGVPQSAGFAIASLQPNLPVRQNYWLWPPPSGKVIPSD >KJB42551 pep chromosome:Graimondii2_0_v6:7:13686708:13694974:-1 gene:B456_007G157300 transcript:KJB42551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKISEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALRKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMRERQEPFSEWEIRSFMSQMLQGLAHMHRNGYFHRDLKPENLLVTKDVLKIADFGLAREVSSMPPYTEYVSTRWYRSPEVLLQSSSYTPAIDMWAVGAILAELFTSSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEILPTNLSDIIPNASPEAVDLIMQLCSWDPLRRPTADQALQHPFFNVDARIPHPRLHDPLELRLNNMESKPNLELNLWDFDTEPDDCFLGLTLAVKPSVSKLVHNGSQRMEEDILFCPSLKDHPEQSVFWSLLTPDQNGIHTPVESSLSLSFSSIQHPPIGVPQSAGFAIASLQPNLPVRQNYWLWPPPSGKVIPSD >KJB42552 pep chromosome:Graimondii2_0_v6:7:13686971:13693177:-1 gene:B456_007G157300 transcript:KJB42552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKISEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALRKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMRERQEPFSEWEIRSFMSQMLQGLAHMHRNGYFHRDLKPENLLVTKDVLKIADFGLAREVSSMPPYTEYVSTRWYRSPEVLLQSSSYTPAIDMWAVGAILAELFTSSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEILPTNLSDIIPNASPEAVDLIMQLCSWDPLRRPTADQALQHPFFNVDARIPHPRLHDPLELRLNNMESKPNLELNLWDFDTEPDDCFLGLTLAVKPSVSKLEAVHNGSQRMEEDILFCPSLKDHPEQSVFWSLLTPDQNGIHTPVESSLSLSFSSIQHPPIGVPQSAGFAIASLQPNLPVRQNYWLWPPPSGKVIPSD >KJB42549 pep chromosome:Graimondii2_0_v6:7:13686708:13694617:-1 gene:B456_007G157300 transcript:KJB42549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKISEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALRKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMRERQEPFSEWEIRSFMSQMLQGLAHMHRNGYFHRDLKPENLLVTKDVLKIADFGLAREVSSMPPYTEYVSTRWYRSPEVLLQSSSYTPAIDMWAVGAILAELFTSSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEILPTNLSDIIPNASPEAVDLIMQLCSWDPLRRPTADQALQHPFFNVDARIPHPRLHDPLELRLNNMESKPNLELNLWDFDTEPDDCFLGLTLAVKPSVSKLEAVHNGSQRMEEDILFCPSLKDHPEQSVFWSLLTPDQNGIHTPVESSLSLSFSSIQHPPIGVPQSAGFAIASLQPNLPVRQNYWLWPPPSGKVIPSD >KJB42550 pep chromosome:Graimondii2_0_v6:7:13686708:13694974:-1 gene:B456_007G157300 transcript:KJB42550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKISEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREALRKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMRERQEPFSEWEIRSFMSQMLQGLAHMHRNGYFHRDLKPENLLVTKDVLKIADFGLAREVSSMPPYTEYVSTRWYRSPEVLLQSSSYTPAIDMWAVGAILAELFTSSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEILPTNLSDIIPNASPEAVDLIMQLCSWDPLRRPTADQALQHPFFNVDARIPHPRLHDPLELRLNNMESKPNLELNLWDFDTEPDDCFLGLTLAVKPSVSKLEAVHNGSQRMEEDILFCPSLKDHPEQSVFWSLLTPDQNGIHTPVESSLSLSFSSIQHPPIGVPQSAGFAIASLQPNLPVRQNYWLWPPPSGKVIPSD >KJB42545 pep chromosome:Graimondii2_0_v6:7:13686453:13694268:-1 gene:B456_007G157300 transcript:KJB42545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKISEELGDGTCGSVFKAFNIETFEIEHNLYQIMRERQEPFSEWEIRSFMSQMLQGLAHMHRNGYFHRDLKPENLLVTKDVLKIADFGLAREVSSMPPYTEYVSTRWYRSPEVLLQSSSYTPAIDMWAVGAILAELFTSSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEILPTNLSDIIPNASPEAVDLIMQLCSWDPLRRPTADQALQHPFFNVDARIPHPRLHDPLELRLNNMESKPNLELNLWDFDTEPDDCFLGLTLAVKPSVSKLEAVHNGSQRMEEDILFCPSLKDHPEQSVFWSLLTPDQNGIHTPVESSLSLSFSSIQHPPIGVPQSAGFAIASLQPNLPVRQNYWLWPPPSGKVIPSD >KJB42547 pep chromosome:Graimondii2_0_v6:7:13686467:13694988:-1 gene:B456_007G157300 transcript:KJB42547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKISEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALRKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMRERQEPFSEWEIRSFMSQMLQGLAHMHRNGYFHRDLKPENLLVTKDVLKIADFGLAREVSSMPPYTEYVSTRWYRSPEVLLQSSSYTPAIDMWAVGAILAELFTSSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEILPTNLSDIIPNASPEAVDLIMQLCSWDPLRRPTADQALQHPFFNVDARIPHPRLHDPLELRLNNMESKPNLELNLWDFDTEPDDCFLGLTLAVKPSVSKLEAVHNGSQRMEEDILFCPSLKDHPEQSVFWSLLTPDQNGIHTPVESSLSLSFSSIQHPPIGVPQSAGFAIASLQPNLPVRQNYWLWPPPSGKVRENV >KJB42548 pep chromosome:Graimondii2_0_v6:7:13686625:13694974:-1 gene:B456_007G157300 transcript:KJB42548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKISEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALRKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMRERQEPFSEWEIRSFMSQMLQGLAHMHRNGYFHRDLKPENLLVTKDVLKIADFGLAREVSSMPPYTEYVSTRWYRSPEVLLQSSSYTPAIDMWAVGAILAELFTSSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEILPTNLSDIIPNASPEAVDLIMQLCSWDPLRRPTADQALQHPFFNVDARIPHPRLHDPLELRLNNMESKPNLELNLWDFDTEPDDCFLGLTLAVKPSVSKLEAVHNGSQRMEEDILFCPSLKDHPEQSVFWSLLTPDQNGIHTPVESSLSLSFSSIQHPPIGVPQSAGFAIASLQPNLPVRQNYWLWPPPSGKCADGICR >KJB42554 pep chromosome:Graimondii2_0_v6:7:13687430:13694974:-1 gene:B456_007G157300 transcript:KJB42554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKISEELGDGTCGSVFKAFNIETFEIVAVKKMKRKFYFWEECMNLREVKALRKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYQIMRERQEPFSEWEIRSFMSQMLQGLAHMHRNGYFHRDLKPENLLVTKDVLKIADFGLAREVSSMPPYTEYVSTRWYRSPEVLLQSSSYTPAIDMWAVGAILAELFTSSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEILPTNLSDIIPNASPEAVDLIMQLCSWDPLRRPTADQALQHPFFNVDARIPHPRLHDPLELRLNNMESKPNLELNLWDFDTEPDDCFLGLTLAVKPSVSKLEAVHNGSQRMEEDILFCPSLKDHPEQSGKFI >KJB44696 pep chromosome:Graimondii2_0_v6:7:44791902:44794044:-1 gene:B456_007G267200 transcript:KJB44696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLGKSNLFTACNYSQKKNQEGGVPLFSRRISVFCLRKNSFPSLRLEPQALRSGFNGQRVVFLEKRSLNERRFCRVPIKAQMQTGLIGKTQKWWEKGNQPNMKEVTSAQDLVDSLLNAGDKLVIVDFFSPGCGGCKALHPKVL >KJB44695 pep chromosome:Graimondii2_0_v6:7:44791553:44794089:-1 gene:B456_007G267200 transcript:KJB44695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLGKSNLFTACNYSQKKNQEGGVPLFSRRISVFCLRKNSFPSLRLEPQALRSGFNGQRVVFLEKRSLNERRFCRVPIKAQMQTGLIGKTQKWWEKGNQPNMKEVTSAQDLVDSLLNAGDKLVIVDFFSPGCGGCKALHPKICQLAEMNPDVQFLKVNYEEHKSMCYSLNVHVLPFFRFYRGAQGRLCSFSCTNATIKKFKDALAKHSPDRCSLGPTKGLEEKELLALAANKDLSFNYTPKPVHPAPEEIPVLKEVPSGSSFRPKESEEKTLIGVGR >KJB44697 pep chromosome:Graimondii2_0_v6:7:44792674:44794044:-1 gene:B456_007G267200 transcript:KJB44697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLGKSNLFTACNYSQKKNQEGGVPLFSRRISVFCLRKNSFPSLRLEPQALRSGFNGQRVVFLEKRSLNERRFCRVPIKAQMQTGLIGKTQKWWEKGNQPNMKEVTSAQDLVDSLLNAGDKLVIVDFFSPGCGGCKALHPKICQLAEMNPDVQFLKVNYEEHKSMCYSLNVHVLPFFRFYRGAQGRLCSFSCTNATVSLVSIPPL >KJB43617 pep chromosome:Graimondii2_0_v6:7:22554849:22558895:1 gene:B456_007G210300 transcript:KJB43617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTEVVKQMWVYIREKNLQDPVNKKNIICDEPLHALFGVESIDMFQMNKALSKHILPLDSDEVKSMEREKPRKHEREEDPDEAKGKEKRQKGFLAPLQLSDALVKFLGTGENELTRAVVIKRMWDYIKENNLQDPADKRKVICDEKLKELFEVETFHGFTVTKLLAAHFLKS >KJB43615 pep chromosome:Graimondii2_0_v6:7:22554184:22558804:1 gene:B456_007G210300 transcript:KJB43615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGVNSEGKRRGGGFNKVSRLSPQLRELLGVPEMARTEVVKQMWVYIREKNLQDPVNKKNIICDEPLHALFGVESIDMFQMNKALSKHILPLDSDEVKSMEREKPRKHEREEVKSTEKEKPRKHEREEDPDEAKGKEKRQKGFLAPLQLSDALVKFLGTGENELTRAVVIKRMWDYIKENNLQDPADKRKVICDEKLKELFEVETFHGFTVTKLLAAHFLKS >KJB43616 pep chromosome:Graimondii2_0_v6:7:22555651:22558804:1 gene:B456_007G210300 transcript:KJB43616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTEVVKQMWVYIREKNLQDPVNKKNIICDEPLHALFGVESIDMFQMNKALSKHILPLDSDEVKSMEREKPRKHEREEVKSTEKEKPRKHEREEDPDEAKGKEKRQKGFLAPLQLSDALVKFLGTGENELTRAVVIKRMWDYIKENNLQDPADKRKVICDEKLKELFEVETFHGFTVTKLLAAHFLKS >KJB43614 pep chromosome:Graimondii2_0_v6:7:22554226:22558804:1 gene:B456_007G210300 transcript:KJB43614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSELIARLWEFLGESDLNTTTTAIVRRRLEEDFGIDLTDRKKFIREQVDLYLQNQFENAEEQQQQQQNEDDQTAKIKSEETDGSDSDDEEEEHERAKNKKATSKKRSKGVNSEGKRRGGGFNKVSRLSPQLRELLGVPEMARTEVVKQMWVYIREKNLQDPVNKKNIICDEPLHALFGVESIDMFQMNKALSKHILPLDSDEVKSMEREKPRKHEREEVKSTEKEKPRKHEREEGMDSK >KJB43613 pep chromosome:Graimondii2_0_v6:7:22554226:22558804:1 gene:B456_007G210300 transcript:KJB43613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGVNSEGKRRGGGFNKVSRLSPQLRELLGVPEMARTEVVKQMWVYIREKNLQDPVNKKNIICDEPLHALFGVESIDMFQMNKALSKHILPLDSDEVKSMEREKPRKHEREEVKSTEKEKPRKHEREEDPDEAKGKEKRQKGFLAPLQLSDALVKFLGTGENELTRAVVIKRMWDYIKENNLQDPADKRKVICDEKLKELFEVETFHGFTVTKLLAAHFLKS >KJB43610 pep chromosome:Graimondii2_0_v6:7:22554182:22558900:1 gene:B456_007G210300 transcript:KJB43610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSELIARLWEFLGESDLNTTTTAIVRRRLEEDFGIDLTDRKKFIREQVDLYLQNQFENAEEQQQQQQNEDDQTAKIKSEETDGSDSDDEEEEHERAKNKKATSKKRSKGVNSEGKRRGGGFNKVSRLSPQLRELLGVPEMARTEVVKQMWVYIREKNLQDPVNKKNIICDEPLHALFGVESIDMFQMNKALSKHILPLDSDEVKSMEREKPRKHEREEDPDEAKGKEKRQKGFLAPLQLSDALVKFLGTGENELTRAVVIKRMWDYIKENNLQDPADKRKVICDEKLKELFEVETFHGFTVTKLLAAHFLKS >KJB43608 pep chromosome:Graimondii2_0_v6:7:22554182:22558900:1 gene:B456_007G210300 transcript:KJB43608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSELIARLWEFLGESDLNTTTTAIVRRRLEEDFGIDLTDRKKFIREQVDLYLQNQFENAEEQQQQQQNEDDQTAKIKSEETDGSDSDDEEEEHERAKNKKATSKKRSKGVNSEGKRRGGGFNKVSRLSPQLRELLGVPEMARTEVVKQMWVYIREKNLQDPVNKKNIICDEPLHALFGVESIDMFQMNKALSKHILPLDSDEVKSTEKEKPRKHEREEDPDEAKGKEKRQKGFLAPLQLSDALVKFLGTGENELTRAVVIKRMWDYIKENNLQDPADKRKVICDEKLKELFEVETFHGFTVTKLLAAHFLKS >KJB43612 pep chromosome:Graimondii2_0_v6:7:22554044:22558900:1 gene:B456_007G210300 transcript:KJB43612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSELIARLWEFLGESDLNTTTTAIVRRRLEEDFGIDLTDRKKFIREQVDLYLQNQFENAEEQQQQQQNEDDQTAKIKSEETDGSDSDDEEEEHERAKNKKATSKKRSKGVNSEGKRRGGGFNKVSRLSPQLRELLGVPEMARTEVVKQMWVYIREKNLQDPVNKKNIICDEPLHALFGVESIDMFQMNKALSKHILPLDSDEVKSTEKEKPRKHEREEDPDEAKGKEKRQKGFLAPLQLSDALVKFLGTGENELTRAVVIKRMWDYIKENNLQDPADKRKVICDEKLKELFEVETFHGFTVTKLLAAHFLKS >KJB43611 pep chromosome:Graimondii2_0_v6:7:22554044:22558900:1 gene:B456_007G210300 transcript:KJB43611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSELIARLWEFLGESDLNTTTTAIVRRRLEEDFGIDLTDRKKFIREQVDLYLQNQFENAEEQQQQQQNEDDQTAKIKSEETDGSDSDDEEEEHERAKNKKATSKKRSKGVNSEGKRRGGGFNKVSRLSPQLRELLGVPEMARTEVVKQMWVYIREKNLQDPVNKKNIICDEPLHALFGVESIDMFQMNKALSKHILPLDSDEVKSMEREKPRKHEREEVKSTEKEKPRKHEREEDPDEAKGKEKRQKGFLAPLQLSDALVKFLGTGENELTRAVVIKRMWDYIKENNLQDPADKRKVICDEKLKELFEVETFHGFTVTKLLAAHFLKS >KJB43618 pep chromosome:Graimondii2_0_v6:7:22554849:22558895:1 gene:B456_007G210300 transcript:KJB43618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTEVVKQMWVYIREKNLQDPVNKKNIICDEPLHALFGVESIDMFQMNKALSKHILPLDSDEVKSTEKEKPRKHEREEDPDEAKGKEKRQKGFLAPLQLSDALVKFLGTGENELTRAVVIKRMWDYIKENNLQDPADKRKVICDEKLKELFEVETFHGFTVTKLLAAHFLKS >KJB43609 pep chromosome:Graimondii2_0_v6:7:22554182:22558900:1 gene:B456_007G210300 transcript:KJB43609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSELIARLWEFLGESDLNTTTTAIVRRRLEEDFGIDLTDRKKFIREQVDLYLQNQFENAEEQQQQQQNEDDQTAKIKSEETDGSDSDDEEEEHERAKNKKATSKKRSKGVNSEGKRRGGGFNKVSRLSPQLRELLGVPEMARTEVVKQMWVYIREKNLQDPVNKKNIICDEPLHALFGVESIDMFQMNKALSKHILPLDSDEVKSMEREKPRKHEREEVKSTEKEKPRKHEREEDPDEAKGKEKRQKGFLAPLQLSDALVKFLGTGENELTRAVVIKRMWDYIKENNLQDPADKRKVICDEKLKELFEVETFHGFTVTKLLAAHFLKS >KJB43620 pep chromosome:Graimondii2_0_v6:7:22554849:22558804:1 gene:B456_007G210300 transcript:KJB43620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTEVVKQMWVYIREKNLQDPVNKKNIICDEPLHALFGVESIDMFQMNKALSKHILPLDSDEVKSMEREKPRKHEREEVKSTEKEKPRKHEREEDPDEAKGKEKRQKGFLAPLQLSDALVKFLGTGENELTRAVVIKRMWDYIKENNLQDPADKRKVICDEKLKELFEVETFHGFTVTKLLAAHFLKS >KJB43619 pep chromosome:Graimondii2_0_v6:7:22554849:22558895:1 gene:B456_007G210300 transcript:KJB43619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTEVVKQMWVYIREKNLQDPVNKKNIICDEPLHALFGVESIDMFQMNKALSKHILPLDSDEVKSMEREKPRKHEREEVKSTEKEKPRKHEREEDPDEAKGKEKRQKGFLAPLQLSDALVKFLGTGENELTRAVVIKRMWDYIKENNLQDPADKRKVICDEKLKELFEVETFHGFTVTKLLAAHFLKS >KJB39653 pep chromosome:Graimondii2_0_v6:7:1690140:1692760:-1 gene:B456_007G023700 transcript:KJB39653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSDYKVEMINDGMQEFYVEFNGPKDSPYHGGVWKIRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRAAYEQRVKEYCEKYAKPEDIGAKAEEKSSDEELSEDEYAASDDEEIAGKPDP >KJB39652 pep chromosome:Graimondii2_0_v6:7:1690089:1693048:-1 gene:B456_007G023700 transcript:KJB39652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVEFNGPKDSPYHGGVWKIRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRAAYEQRVKEYCEKYAKPEDIGAKAEEKSSDEELSEDEYAASDDEEIAGKPDP >KJB40448 pep chromosome:Graimondii2_0_v6:7:4469559:4471944:-1 gene:B456_007G063900 transcript:KJB40448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAAVPFWRAAGMTYITYSNICANLVRNCLKEPYKTEALSREKVHFSISKWTDGKPEKPTIRSDSPEE >KJB41138 pep chromosome:Graimondii2_0_v6:7:6772946:6774053:1 gene:B456_007G092700 transcript:KJB41138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSNSFTYTALLLLISLISFKFLSAKLRQKQRKNKYHPVAGTFVNQLLNFKRLHHYMTDLAAKYRTYRLLSPFRNEIYTSDPANVEYILKTNFNNYGKGEYNHSLLRDLLGEGIFTVDGDKWREQRKVSSYEFSTKVLRDFSSVVFRKNVARLANIVSEAANSNETMDMQDLFLKSTLDSIFKVAFGVELDSMCGSNEKGKEFAAAFDDSSALTLYRYVDPFWKIKRYLNIGSEAALKRNIKVVDNFVYKLIHNKIEQLRDSKDDSAVVSKK >KJB41140 pep chromosome:Graimondii2_0_v6:7:6772864:6775150:1 gene:B456_007G092700 transcript:KJB41140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSNSFTYTALLLLISLISFKFLSAKLRQKQRKNKYHPVAGTFVNQLLNFKRLHHYMTDLAAKYRTYRLLSPFRNEIYTSDPANVEYILKTNFNNYGKGEYNHSLLRDLLGEGIFTVDGDKWREQRKVSSYEFSTKVLRDFSSVVFRKNVARLANIVSEAANSNETMDMQDLFLKSTLDSIFKVAFGVELDSMCGSNEKGKEFAAAFDDSSALTLYRYVDPFWKIKRYLNIGSEAALKRNIKVVDNFVYKLIHNKIEQLRDSKDDSAVIKKEDILTRFLEVTDTDPKYLRDIILNFIIAGKDTTAASLSWFIYMLCKHPDVQEKVAAEVKEATKSMEVKDVAVFAAGLSEEALGKMHYLHAAITETLRLYPAVPDAKVCLADDTLPDGFSARKGDMIAYQPYAMGRMKFIWGDNAEEFRPERWLDKNGMFQPENPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLLRCFVFKLSDENRTVTYRTMINLHIDGGLHVCAFHRCQT >KJB41141 pep chromosome:Graimondii2_0_v6:7:6772864:6775150:1 gene:B456_007G092700 transcript:KJB41141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSNSFTYTALLLLISLISFKFLSAKLRQKQRKNKYHPVAGTFVNQLLNFKRLHHYMTDLAAKYRTYRLLSPFRNEIYTSDPANVEYILKTNFNNYGKGEYNHSLLRDLLGEGIFTVDGDKWREQRKVSSYEFSTKVLRDFSSVVFRKNVARLANIVSEAANSNETMDMQDLFLKSTLDSIFKVAFGVELDSMCGSNEKGKEFAAAFDDSSALTLYRYVDPFWKIKRYLNIGSEAALKRNIKVVDNFVYKLIHNKIEQLRDSKDDSAVIKKEDILTRFLEVTDTDPKYLRDIILNFIIAGKDTTAASLSWFIYMLCKHPDVQEKVAAEVKEATKSMEVKDVAVFAAGLSEEALGKMHYLHAAITETLRLYPAVPVVRKFHLP >KJB41139 pep chromosome:Graimondii2_0_v6:7:6772864:6775150:1 gene:B456_007G092700 transcript:KJB41139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSNSFTYTALLLLISLISFKFLSAKLRQKQRKNKYHPVAGTFVNQLLNFKRLHHYMTDLAAKYRTYRLLSPFRNEIYTSDPANVEYILKTNFNNYGKGEYNHSLLRDLLGEGIFTVDGDKWREQRKVSSYEFSTKVLRDFSSVVFRKNVARLANIVSEAANSNETMDMQDLFLKSTLDSIFKVAFGVELDSMCGSNEKGKEFAAAFDDSSALTLYRYVDPFWKIKRYLNIGSEAALKRNIKVVDNFVYKLIHNKIEQLRDSKDDSAVVNKKGRHFN >KJB41137 pep chromosome:Graimondii2_0_v6:7:6772774:6775288:1 gene:B456_007G092700 transcript:KJB41137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRTEDLSVTIVHVNKCLQEFDRRRQNLVLLGAAKEAFSMDFSNSFTYTALLLLISLISFKFLSAKLRQKQRKNKYHPVAGTFVNQLLNFKRLHHYMTDLAAKYRTYRLLSPFRNEIYTSDPANVEYILKTNFNNYGKGEYNHSLLRDLLGEGIFTVDGDKWREQRKVSSYEFSTKVLRDFSSVVFRKNVARLANIVSEAANSNETMDMQDLFLKSTLDSIFKVAFGVELDSMCGSNEKGKEFAAAFDDSSALTLYRYVDPFWKIKRYLNIGSEAALKRNIKVVDNFVYKLIHNKIEQLRDSKDDSAVIKKEDILTRFLEVTDTDPKYLRDIILNFIIAGKDTTAASLSWFIYMLCKHPDVQEKVAAEVKEATKSMEVKDVAVFAAGLSEEALGKMHYLHAAITETLRLYPAVPVDAKVCLADDTLPDGFSARKGDMIAYQPYAMGRMKFIWGDNAEEFRPERWLDKNGMFQPENPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLLRCFVFKLSDENRTVTYRTMINLHIDGGLHVCAFHRCQT >KJB45470 pep chromosome:Graimondii2_0_v6:7:52168457:52169308:-1 gene:B456_007G307800 transcript:KJB45470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISGNKSIVVRQVFAEDLDTELLMIKEAILRHPFVSIDTEFSGTIIKPSKQVIREGNPIINYHYMKLNVDVLQIIQLGLSLSDAREFNFRDFDINRDRYASDLIKLLKRQGIDFEKNKEKGIDSKDFAKKFLDYVLLFNCYGLKSITWITFHGTYNFGFTLKILTQSPLPLHLHLFVHQLAYFFGYNIFDLKHTFKLLGLLGCLEKIAQTLNVARTTGSSHQAGLESLLMLQCFMKVKSENVFESKWNETNQMLLPPLALYGLVQTIG >KJB44183 pep chromosome:Graimondii2_0_v6:7:32592918:32594395:1 gene:B456_007G238800 transcript:KJB44183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFQMITTLFLLAMMVGTSNSQLSATYYAKTCPNASSIVRSVLQQAEQNDIWIFPKLIRLHFHDCFVHGCDASLLLNGTDSEKTATPNLSTDGYAVIDDIKTALEKACPRVVSCADILALAAQISVSLGGGPTWKVPLGRRDSRTAHREGTGTIPTGHESLANIATLFKSMGLDSTDLVALSGVHTFGRARCAAFMDRLYNFNNVSGKIDPILNGTYAKTLRQLCPKGGDVTSLIDLDEQTSLTFDNKYFLNLQNRRGLLQTDQELFSTKGAETVAIVNRFASSQSQFFNSFAKAMIKMGNINPLTGTNGEIRLDCRKTN >KJB44184 pep chromosome:Graimondii2_0_v6:7:32593467:32594167:1 gene:B456_007G238800 transcript:KJB44184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFGLQGGGPTWKVPLGRRDSRTAHREGTGTIPTGHESLANIATLFKSMGLDSTDLVALSGVHTFGRARCAAFMDRLYNFNNVSGKIDPILNGTYAKTLRQLCPKGGDVTSLIDLDEQTSLTFDNKYFLNLQNRRGLLQTDQELFSTKGAETVAIVNRFASSQSQFFNSFAKAMIKMGNINPLTGTNGEIRLDCRKTN >KJB44186 pep chromosome:Graimondii2_0_v6:7:32592919:32594205:1 gene:B456_007G238800 transcript:KJB44186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFGLQGGGPTWKVPLGRRDSRTAHREGTGTIPTGHESLANIATLFKSMGLDSTDLVALSGVHTFGRARCAAFMDRLYNFNNVSGKIDPILNGTYAKTLRQLCPKGGDVTSLIDLDEQTSLTFDNKYFLNLQNRRGLLQTDQELFSTKGAETVAIVNRFASSQSQFFNSFAKAMIKMGNINPLTGTNGEIRLDCRKTN >KJB44185 pep chromosome:Graimondii2_0_v6:7:32592919:32594205:1 gene:B456_007G238800 transcript:KJB44185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALTVYLQGCDASLLLNGTDSEKTATPNLSTDGYAVIDDIKTALEKACPRVVSCADILALAAQISVSLGGGPTWKVPLGRRDSRTAHREGTGTIPTGHESLANIATLFKSMGLDSTDLVALSGVHTFGRARCAAFMDRLYNFNNVSGKIDPILNGTYAKTLRQLCPKGGDVTSLIDLDEQTSLTFDNKYFLNLQNRRGLLQTDQELFSTKGAETVAIVNRFASSQSQFFNSFAKAMIKMGNINPLTGTNGEIRLDCRKTN >KJB45182 pep chromosome:Graimondii2_0_v6:7:50424784:50427324:-1 gene:B456_007G294100 transcript:KJB45182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLSFSPNPPLNPKLSSLNTPLSSTLNTKRHFIIHTTSFCFILLAQQNPIPQSLAQASTPSKPALNLANTKSWFQFYGDGFAIRVPPEFEDIMEPEDFNAGASLYGDKAKPRPFAARFASTDGSEVLSVVVRPTNQLKITFLEAQEITDLGSIKEAAKIFVPGGATLYNARTIKIKEDEGFKTYYFYEFERDDQHIALMATVSSGKAIISGATAPCSKWDDDGVKLRSAAISLTAL >KJB45184 pep chromosome:Graimondii2_0_v6:7:50425340:50427265:-1 gene:B456_007G294100 transcript:KJB45184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLSFSPNPPLNPKLSSLNTPLSSTLNTKRHFIIHTTSFCFILLAQQNPIPQSLAQASTPSKPALNLANTKSWFQFYGDGFAIRVPPEFEDIMEPEDFNAGASLYGDKAKPRPFAARFASTDGSEVLSVVVRPTNQLKITFLEAQEITDLGSIKEAAKIFVPGGATLYNARTIKIKEDEGFKTYYFYEFERDDQHIALMATVSSGKVC >KJB45183 pep chromosome:Graimondii2_0_v6:7:50424780:50427334:-1 gene:B456_007G294100 transcript:KJB45183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLSFSPNPPLNPKLSSLNTPLSSTLNTKRHFIIHTTSFCFILLAQQNPIPQSLAQASTPSKPALNLANTKSWFQFYGDGFAIRVPPEFEDIMEPEDFNAGASLYGDKAKPRPFAARFASTDGSEVLSVVVRPTNQLKITFLEAQEITDLGSIKEAAKIFVPGGATLYNARTIKIKEDEGFKTYYFYEFERDDQHIALMATVSSGKAIISGATAPCSKWDDDGVKLRSAAISLTAL >KJB45185 pep chromosome:Graimondii2_0_v6:7:50425116:50427324:-1 gene:B456_007G294100 transcript:KJB45185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLSFSPNPPLNPKLSSLNTPLSSTLNTKRHFIIHTTSFCFILLAQQNPIPQSLAQASTPSKPALNLANTKSWFQFYGDGFAIRVPPEFEDIMEPEDFNAGASLYGDKAKPRPFAARFASTDGSEVLSVVVRPTNQLKITFLEAQEITDLGSIKEAAKIFVPG >KJB42759 pep chromosome:Graimondii2_0_v6:7:14807462:14815136:-1 gene:B456_007G166800 transcript:KJB42759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEHPPEPLDFFIWTVEDVGMWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSIFFVKVAKRNRQSRVVSLKLEP >KJB42760 pep chromosome:Graimondii2_0_v6:7:14807462:14810617:-1 gene:B456_007G166800 transcript:KJB42760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEHPPEPLDFFIWTVEDVGMWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSIFFVKVAKRNRQSRVVSLKLEP >KJB42758 pep chromosome:Graimondii2_0_v6:7:14807462:14809621:-1 gene:B456_007G166800 transcript:KJB42758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSIFFVKVAKRNRQSRVVSLKLEP >KJB43479 pep chromosome:Graimondii2_0_v6:7:20683353:20688687:1 gene:B456_007G202600 transcript:KJB43479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKEYPESEIYSEKKLPTLIVSLFKVSRTVHLLLPDRQAMQSFSLDLLFCKNRNPNLVLFEDLEHKSEPLLQKPKGNP >KJB43478 pep chromosome:Graimondii2_0_v6:7:20683353:20685675:1 gene:B456_007G202600 transcript:KJB43478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKEYPESEIYSEKKLPTLIVSLFKVSRTVHLLLPDRQAMQSFSLDLLFCKNRHGFPICHYPSVVLFL >KJB41240 pep chromosome:Graimondii2_0_v6:7:7087012:7087832:1 gene:B456_007G096300 transcript:KJB41240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSNALPQTSPPSDAVDTSPLLTHSISDHLPRSRRILRRRPPPLPGTAARLLRRASSRRLMLREPSVRVRETAAEQLEERQSDWAYSKPVIILDILWNMAFVVMAVVVLGLSLEEKPSVPLRLWVWGYGLQCLFHVACVAVEYKIRNERRVEGLQSNEDPDLGLNSQSGSEADDSEDNVTEELNSGDETRVAKSLESANTMFSFLWWIIGFYWIIAKGQVLTHQAPKLYWYYQDEVFSIIINYFL >KJB41242 pep chromosome:Graimondii2_0_v6:7:7086856:7089124:1 gene:B456_007G096300 transcript:KJB41242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSNALPQTSPPSDAVDTSPLLTHSISDHLPRSRRILRRRPPPLPGTAARLLRRASSRRLMLREPSVRVRETAAEQLEERQSDWAYSKPVIILDILWNMAFVVMAVVVLGLSLEEKPSVPLRLWVWGYGLQCLFHVACVAVEYKIRNERRVEGLQSNEDPDLGLNSQSGSEADDSEDNVTEELNSGDETRVAKSLESANTMFSFLWWIIGFYWIIAKGQVLTHQAPKLYWLCVTFLALDVVFVFICVAVACLIGLAVCCCLPCIIAILYALTDRECCICLSAYEDGTELRELPCHHHFHCNCIDKWLYINATCPLCKFNILKVSEEV >KJB41241 pep chromosome:Graimondii2_0_v6:7:7086856:7089124:1 gene:B456_007G096300 transcript:KJB41241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSNALPQTSPPSDAVDTSPLLTHSISDHLPRSRRILRRRPPPLPGTAARLLRRASSRRLMLREPSVRVRETAAEQLEERQSDWAYSKPVIILDILWNMAFVVMAVVVLGLSLEEKPSVPLRLWVWGYGLQCLFHVACVAVEYKIRNERRVEGLQSNEDPDLGLNSQSGSEADDSEDNVTEELNSGDETRVAKSLESANTMFSFLWWIIGFYWIIAKGQVLTHQAPKLYWLCVTFLALDVVFVFICVAVACLIGLAVCCCLPCIIAILYALTDRDGATDEEIDRLPKYRFQRTADLEKVDGEIYNIMTESNTDTPTERILSREDAVSSLYCCALGCWFCKIIETFRKLQFII >KJB41239 pep chromosome:Graimondii2_0_v6:7:7086629:7089238:1 gene:B456_007G096300 transcript:KJB41239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSNALPQTSPPSDAVDTSPLLTHSISDHLPRSRRILRRRPPPLPGTAARLLRRASSRRLMLREPSVRVRETAAEQLEERQSDWAYSKPVIILDILWNMAFVVMAVVVLGLSLEEKPSVPLRLWVWGYGLQCLFHVACVAVEYKIRNERRVEGLQSNEDPDLGLNSQSGSEADDSEDNVTEELNSGDETRVAKSLESANTMFSFLWWIIGFYWIIAKGQVLTHQAPKLYWLCVTFLALDVVFVFICVAVACLIGLAVCCCLPCIIAILYALTDRDGATDEEIDRLPKYRFQRTADLEKVDGEIYNIMTESNTDTPTERILSREDAECCICLSAYEDGTELRELPCHHHFHCNCIDKWLYINATCPLCKFNILKVSEEV >KJB39988 pep chromosome:Graimondii2_0_v6:7:2873422:2877038:1 gene:B456_007G040900 transcript:KJB39988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIIRSNPVQIIRHQICSDSCENKGKKEESMQNIPKMFSEIQKVTDKAMVFLQKKALSALYIPKQQLHMAMNNTTAPKVQLLYDLCKTTFTPSDTFGPADVGLKEESPDDDRGHGFFGLNRVTRWAQPITYLDIHECDSFTMCIFCFPTSSVIPLHDHPGMTVFSKVLYGSMHVKAYDWVEPSCIKESQEPGCPQVRLARLAADKVLTAPCGTSILYPKTGGNLHCFTAVTPCAVLDVLAPPYREDLGRKCTYYVDYPYSAFGNGAQISNGKEEEYAWLAEIETPDDLYMRSGVYVGPSIRV >KJB39989 pep chromosome:Graimondii2_0_v6:7:2873422:2877038:1 gene:B456_007G040900 transcript:KJB39989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIIRSNPVQIIRHQICSDSCENKGKKEESMQNIPKMFSEIQKVTDKAMVFLQKKALSALYIPKQQLHMAMNNTTAPKVQLLYDLCKTTFTPSGLSSSPSPQPIHKLCSLLDTFGPADVGLKEESPDDDRGHGFFGLNRVTRWAQPITYLDIHECDSFTMCIFCFPTSSVIPLHDHPGMTVFSKVLYGSMHVKAYDWVEPSCIKESQEPGCPQG >KJB39983 pep chromosome:Graimondii2_0_v6:7:2873397:2877133:1 gene:B456_007G040900 transcript:KJB39983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIIRSNPVQIIRHQICSDSCENKGKKEESMQNIPKMFSEIQKVTDKAMVFLQKKALSALYIPKQQLHMAMNNTTAPKVQLLYDLCKTTFTPSGLSSSPSPQPIHKLCSLLDTFGPADVGLKEESPDDDRGHGFFGLNRVTRWAQPITYLDIHECDSFTMCIFCFPTSSVIPLHDHPGMTVFSKVLYGSMHVKAYDWVEPSCIKESQEPGCPQVRLARLAADKVLTAPCGTSILYPKTGGNLHCFTAVTPCAVLDVLAPPYREDLGRKCTYYVDYPYSAFGKKWSSDKQRKGRGVCMACRDRNTRRPIYAFGCVCWPIYSGLGFGIYKYHVGCSVLWPESRESFEKISHGLNHMQI >KJB39987 pep chromosome:Graimondii2_0_v6:7:2873422:2875593:1 gene:B456_007G040900 transcript:KJB39987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIIRSNPVQIIRHQICSDSCENKGKKEESMQNIPKMFSEIQKVTDKAMVFLQKKALSALYIPKQQLHMAMNNTTAPKVQLLYDLCKTTFTPSGLSSSPSPQPIHKLCSLLDTFGPADVGLKEESPDDDRGHGFFGLNRVTRWAQPITYLDIHECDSFTMCIFCFPTSSVIPLHDHPGMTVFSKVLYGSMHVKAYDWVEPSCIKESQEPGCPQGLVLMYFLYITIIITSCWQ >KJB39984 pep chromosome:Graimondii2_0_v6:7:2873422:2876946:1 gene:B456_007G040900 transcript:KJB39984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIIRSNPVQIIRHQICSDSCENKGKKEESMQNIPKMFSEIQKVTDKAMVFLQKKALSALYIPKQQLHMAMNNTTAPKVQLLYDLCKTTFTPSGLSSSPSPQPIHKLCSLLDTFGPADVGLKEESPDDDRGHGFFGLNRVTRWAQPITYLDIHECDSFTMCIFCFPTSSVIPLHDHPGMTVFSKVLYGSMHVKAYDWVEPSCIKESQEPGCPQVRLARLAADKVLTAPCGTSILYPKTGGNLHCFTAVTPCAVLDVLAPPYREDLGRKCTYYVDYPYSAFGKPYDLCHHTCYKGMTFLKEMELR >KJB39990 pep chromosome:Graimondii2_0_v6:7:2873422:2875593:1 gene:B456_007G040900 transcript:KJB39990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIIRSNPVQIIRHQICSDSCENKGKKEESMQNIPKMFSEIQKVTDKAMVFLQKKALSALYIPKQQLHMAMNNTTAPKVQLLYDLCKTTFTPSGLSSSPSPQPIHKLCSLLDTFGPADVGLKEESPDDDRGHGFFGLNRVTRWAQPITYLDIHECDSFTMCIFCFPTSSVIPLHDHPGMTVFSKVLYGSMHVKAYDWVEPSCIKESQEPGCPQGLVLMYFLYITIIITSCWQ >KJB39981 pep chromosome:Graimondii2_0_v6:7:2873422:2876946:1 gene:B456_007G040900 transcript:KJB39981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIIRSNPVQIIRHQICSDSCENKGKKEESMQNIPKMFSEIQKVTDKAMVFLQKKALSALYIPKQQLHMAMNNTTAPKVQLLYDLCKTTFTPSGLSSSPSPQPIHKLCSLLDTFGPADVGLKEESPDDDRGHGFFGLNRVTRWAQPITYLDIHECDSFTMCIFCFPTSSVIPLHDHPGMTVFSKVLYGSMHVKAYDWVEPSCIKESQEPGCPQVRLARLAADKVLTAPCGTSILYPKTGGNLHCFTAVTPCAVLDVLAPPYREDLGRKCTYYVDYPYSAFGKLIFPFLIYHSCCASIFRPVLCNRKTI >KJB39986 pep chromosome:Graimondii2_0_v6:7:2873422:2876513:1 gene:B456_007G040900 transcript:KJB39986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIIRSNPVQIIRHQICSDSCENKGKKEESMQNIPKMFSEIQKVTDKAMVFLQKKALSALYIPKQQLHMAMNNTTAPKVQLLYDLCKTTFTPSGLSSSPSPQPIHKLCSLLDTFGPADVGLKEESPDDDRGHGFFGLNRVTRWAQPITYLDIHECDSFTMCIFCFPTSSVIPLHDHPGMTVFSKVLYGSMHVKAYDWVEPSCIKESQEPGCPQVRLARLAADKVLTAPCGTSILYPKTGGNLHCFTAVTPCAVLDVLAPPYREDLGRKCTYYVDYPYSAFGKLIFPFLIYHSCCASIFRPVLCNRKTI >KJB39982 pep chromosome:Graimondii2_0_v6:7:2873397:2877133:1 gene:B456_007G040900 transcript:KJB39982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIIRSNPVQIIRHQICSDSCENKGKKEESMQNIPKMFSEIQKVTDKAMVFLQKKALSALYIPKQQLHMAMNNTTAPKVQLLYDLCKTTFTPSGLSSSPSPQPIHKLCSLLDTFGPADVGLKEESPDDDRGHGFFGLNRVTRWAQPITYLDIHECDSFTMCIFCFPTSSVIPLHDHPGMTVFSKVLYGSMHVKAYDWVEPSCIKESQEPGCPQVRLARLAADKVLTAPCGTSILYPKTGGNLHCFTAVTPCAVLDVLAPPYREDLGRKCTYYVDYPYSAFGNGAQISNGKEEEYAWLAEIETPDDLYMRSGVYVGPSIRV >KJB39985 pep chromosome:Graimondii2_0_v6:7:2873422:2877038:1 gene:B456_007G040900 transcript:KJB39985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIIRSNPVQIIRHQICSDSCENKGKKEESMQNIPKMFSEIQKVTDKAMVFLQKKALSALYIPKQQLHMAMNNTTAPKVQLLYDLCKTTFTPSGLSSSPSPQPIHKLCSLLDTFGPADVGLKEESPDDDRGHGFFGLNRVTRWAQPITYLDIHECDSFTMCIFCFPTSSVIPLHDHPGMTVFSKVLYGSMHVKAYDWVEPSCIKESQEPGCPQVRLARLAADKVLTAPCGTSILYPKTGGNLHCFTAVTPCAVLDVLAPPYREDLGRKCTYYVDYPYSAFGNDFPQGNGAQISNGKEEEYAWLAEIETPDDLYMRSGVYVGPSIRV >KJB46640 pep chromosome:Graimondii2_0_v6:7:60966518:60967581:1 gene:B456_007G3801002 transcript:KJB46640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIAMQTKNERFNQSEFRVWGASKSPALRLLKCGKSASI >KJB39911 pep chromosome:Graimondii2_0_v6:7:2596572:2599386:-1 gene:B456_007G037500 transcript:KJB39911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVVCVDRLTKPESLKSVNEPEVAGPSGEGSSVVAEPHVCAIDVEEVEEHGSCDEEEPLIQTVECRICQDEDNINNLETPCSCSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTVPPPPPQPEVATIDISEWTVAGAPLGLHDQRILAVAAEHRILEQGYDEYAEPDSSGTEFFRAAALTLMALLFLRHALYLTSGEEDDDLSRFISLFLLRAAGFLLPCYIVAWAISILQRRRQRQATRPRSIHYSIAAGPSVTHQQEQPQSIHYSVAPGPSTAPQPEQPLTIHYSIAAPGPSAIPQQEQPQAMHYSVAASGPSVTPQQDQPLTIHYSITAPGPSATTQQEPAVQ >KJB39913 pep chromosome:Graimondii2_0_v6:7:2597291:2599386:-1 gene:B456_007G037500 transcript:KJB39913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVVCVDRLTKPESLKSVNEPEVAGPSGEGSSVVAEPHVCAIDVEEVEEHGSCDEEEPLIQTVECRICQDEDNINNLETPCSCSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTVPPPPPQPEVATIDISEWTVAGAPLGLHDQRILAVAAEHRILEQGYDEYAEPDSSGTEFFRAAALTLMALLFLRHALYLTSGEEDDDLSRFISLFLLRAAGFLLPCYIVAWAISILQRRRQRQVIAPTLLKKITAQFFLLLEIFGSGN >KJB39912 pep chromosome:Graimondii2_0_v6:7:2596572:2599386:-1 gene:B456_007G037500 transcript:KJB39912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVVCVDRLTKPESLKSVNEPEVAGPSGEGSSVVAEPHVCAIDVEEVEEHGSCDEEEPLIQTVECRICQDEDNINNLETPCSCSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTVPPPPPQPEVATIDISEWTVAGAPLGLHDQRILAVAAEHRILEQGYDEYAEPDSSGTEFFRAAALTWRRGR >KJB40488 pep chromosome:Graimondii2_0_v6:7:4676432:4680358:-1 gene:B456_007G066600 transcript:KJB40488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESDKRIGNGGETSSRVSADSPSWLFASRQRFAIELRPGETTIVSWKRLVKDAQNASPPLTAPKTEDSMDECFQDSKSTVKQNGLLVNSEKLECINEPVLSVAQQSRKRTKDMAKSQGEKVDGHLPSKHVKVEQGRLNFAATNSLLQEESSVLLQNLADISQHDQKLHKMLNSSVRSSIKKPANIGTKSEHSSQTGISNDDDASISPQNSKDTDSYNNVIIHPSNIGNYTNSLATHQNYLEKNYSKQLESPLSELMVDENEEGISAKVEQRERRAAFGELPDLNLPVYPVQPEVLISFHD >KJB40489 pep chromosome:Graimondii2_0_v6:7:4677005:4680292:-1 gene:B456_007G066600 transcript:KJB40489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESDKRIGNGGETSSRVSADSPSWLFASRQRFAIELRPGETTIVSWKRLVKDAQNASPPLTAPKTEDSMDECFQDSKSTVKQNGLLVNSEKLECINEPVLSVAQQSRKRTKDMAKSQGEKVDGHLPSKHVKVEQGRLNFAATNSLLQEESSVLLQNLADISQHDQKLHKMLNSSVRSSIKKPANIGTKSEHSSQTGISNDDDASISPQNSKDTDSYNNVIIHPSNIGNYTNSLATHQNYLEKNYSKQLESPLSELMVDENEEGISAKVEQRERRAAFGELPDLNLPVYPVQPEVSNCISKFQQHTRT >KJB42787 pep chromosome:Graimondii2_0_v6:7:14970753:14972160:-1 gene:B456_007G167900 transcript:KJB42787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRMLVVLRRNLRNMKKSPRVADESMYGGGNNNNDVNGGGDTSRGISGIGSVVRAPFSLVSCFSQPHVNGHGADGVWVSTDFAQISEMNHFMVRDSMRYAILM >KJB41273 pep chromosome:Graimondii2_0_v6:7:7906075:7907465:1 gene:B456_007G105200 transcript:KJB41273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSAAVWDYRAATEITKDWNGVDKIVLRSPRGASARVSLHGGQVTSWRNEQGEELLFTSSKAIFKPPKAVRGGIPICFPQFGNCGSLEQHGFARNKIWTIDENPPPLSPNDSHAKSFIDLLLKPSEEDLKCWPHSFEFRLRVSLAADGSLALISRIRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLCQKERFTEQGDAITFESEVGKFCCYMIFIE >KJB41269 pep chromosome:Graimondii2_0_v6:7:7905000:7908469:1 gene:B456_007G105200 transcript:KJB41269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEMGHSAAVWDYRAATEITKDWNGVDKIVLRSPRGASARVSLHGGQVTSWRNEQGEELLFTSSKAIFKPPKAVRGGIPICFPQFGNCGSLEQHGFARNKIWTIDENPPPLSPNDSHAKSFIDLLLKPSEEDLKCWPHSFEFRLRVSLAADGSLALISRIRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLCQKERFTEQGDAITFESEVDRVYLSTPNVVAVLDHERKRTYVIRKDGLPDVVVWNPWEKKSKSMVDFGDDEYKQMLCVDGAVIEKPVTLKPGEEWTGRVELSVVASTLCSEQFDVQRGMGF >KJB41272 pep chromosome:Graimondii2_0_v6:7:7905817:7908469:1 gene:B456_007G105200 transcript:KJB41272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSAAVWDYRAATEITKDWNGVDKIVLRSPRGASARVSLHGGQVTSWRNEQGEELLFTSSKPPKAVRGGIPICFPQFGNCGSLEQHGFARNKIWTIDENPPPLSPNDSHAKSFIDLLLKPSEEDLKCWPHSFEFRLRVSLAADGSLALISRIRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLCQKERFTEQGDAITFESEVDRVYLSTPNVVAVLDHERKRTYVIRKDGLPDVVVWNPWEKKSKSMVDFGDDEYKQMLCVDGAVIEKPVTLKPGEEWTGRVELSVVASTLCSEQFDVQRGMGF >KJB41270 pep chromosome:Graimondii2_0_v6:7:7905817:7908469:1 gene:B456_007G105200 transcript:KJB41270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSAAVWDYRAATEITKDWNGVDKIVLRSPRGASARVSLHGGQVTSWRNEQGEELLFTSSKAIFKPPKAVRGGIPICFPQFGNCGSLEQHGFARNKIWTIDENPPPLSPNDSHAKSFIDLLLKPSEEDLKCWPHSFEFRLRVSLAADGSLALISRIRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLCQKERFTEQGDAITFESEVDRVYLSTPNVVAVLDHERKRTYVIRKDGLPDVVVWNPWEKKSKSMVDFGDDEYKQMLCVDGAVIEKPVTLKPGEEWTGRVELSVVASTLCSEQFDVQRGMGF >KJB41271 pep chromosome:Graimondii2_0_v6:7:7905405:7908469:1 gene:B456_007G105200 transcript:KJB41271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSAAVWDYRAATEITKDWNGVDKIVLRSPRGASARVSLHGGQVTSWRNEQGEELLFTSSKAIFKPPKAVRGGIPICFPQVFGNCGSLEQHGFARNKIWTIDENPPPLSPNDSHAKSFIDLLLKPSEEDLKCWPHSFEFRLRVSLAADGSLALISRIRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLCQKERFTEQGDAITFESEVDRVYLSTPNVVAVLDHERKRTYVIRKDGLPDVVVWNPWEKKSKSMVDFGDDEYKQMLCVDGAVIEKPVTLKPGEEWTGRVELSVVASTLCSEQFDVQRGMGF >KJB41274 pep chromosome:Graimondii2_0_v6:7:7906075:7907657:1 gene:B456_007G105200 transcript:KJB41274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSAAVWDYRAATEITKDWNGVDKIVLRSPRGASARVSLHGGQVTSWRNEQGEELLFTSSKAIFKPPKAVRGGIPICFPQFGNCGSLEQHGFARNKIWTIDENPPPLSPNDSHAKSFIDLLLKPSEEDLKCWPHSFEFRLRVSLAADGSLALISRIRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLCQKERFTEQGDAITFESEVDRVYLSTPNVVAVLDHERKRTYVIRKDGLPDVGKLKYYKGVSRVRMLDMVW >KJB41275 pep chromosome:Graimondii2_0_v6:7:7905014:7908629:1 gene:B456_007G105200 transcript:KJB41275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSAAVWDYRAATEITKDWNGVDKIVLRSPRGASARVSLHGGQVTSWRNEQGEELLFTSSKAIFKPPKAVRGGIPICFPQFGNCGSLEQHGFARNKIWTIDENPPPLSPNDSHAKSFIDLLLKPSEEDLKCWPHSFEFRLRVSLAADGSLALISRIRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLCQKERFTEQGDAITFESEVDRVYLSTPNVVAVLDHERKRTYVIRKDGLPDVVVWNPWEKKSKSMVDFGDDEYKQMLCVDGAVIEKPVTLKPGKAKSTYSEKKTMPNGCLEEFLLFTSPPFYLKQWVVKKIF >KJB42118 pep chromosome:Graimondii2_0_v6:7:11298191:11300165:-1 gene:B456_007G137700 transcript:KJB42118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSSLFPLSLSAVPIPLNNFAPKTHLIFFAASTSKLRSKTVAFGGNPPDPKQKEYLFLDENGVVEDMDGYLNNLSLEYESVWDTKPSWCQPWTITLTGLLVIACSWLILHSLVVTAFATLGICTWWYIFLYSYPKAYMEMIAERRERVENGVEDTFGMSKNQ >KJB42119 pep chromosome:Graimondii2_0_v6:7:11298757:11300058:-1 gene:B456_007G137700 transcript:KJB42119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSSLFPLSLSAVPIPLNNFAPKTHLIFFAASTSKLRSKTVAFGGNPPDPKQKEYLFLDENGVVEDMDGYLNNLSLEYESVWDTKPSWCQPWTITLTGLLVIACSWLILHSLVVTAFATLGICTWWLIWK >KJB42226 pep chromosome:Graimondii2_0_v6:7:11975335:11979782:1 gene:B456_007G143200 transcript:KJB42226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESYEDRNAIETPEDLAVPLQPEETEMQGAGVDGEKKWPGWPGENVFRMLVPAQKVGTIIGPKGEFIRKISDESRARIKILDGPPTTSERAVMVSAKEEPDAPIPPSMDGLLRIHRRILGLDGDYDHTTAGANGRVITRLLVADTQAGSLIGRQGSTIKYIQDASNCNIRVLGGVFSLKDDSVVEIEGGPTCVHAAIELIAGHLRKFLVHRSIIGVFEMQMQNVSANQNMVVPQSQHHLHGFPIADSESLLGSKPKYTYPESQFDDCYEPHELPLHDKNSYQGPPLYGTHGSMGGLASNVQAKQSVVTKIIQRMQIPLSYANAVIGTSGANISYMRRASGAAIAIQETRDVPGDMTVEISGSASEVQAAEQLIQNFIAEAASAMQSLPGGSISEEYSPYPVHAPLYASSDANGHVSHAPVIDYGSIYGTGYG >KJB42227 pep chromosome:Graimondii2_0_v6:7:11975335:11979782:1 gene:B456_007G143200 transcript:KJB42227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESYEDRNAIETPEDLAVPLQPEETEMQGAGVDGEKKWPGWPGENVFRMLVPAQKVGTIIGPKGEFIRKISDESRARIKILDGPPTTSERAVMVSAKEEPDAPIPPSMDGLLRIHRRILGLDGDYDHTTAGANGRVITRLLVADTQAGSLIGRQGSTIKYIQDASNCNIRVLGGGKHLPVFSLKDDSVVEIEGGPTCVHAAIELIAGHLRKFLVHRSIIGVFEMQMQNVSANQNMVVPQSQHHLHGFPIADSESLLGSKPKYTYPESQFDDCYEPHELPLHDKNSYQGPPLYGTHGSMGGLASNVQAKQSVVTKIIQRMQIPLSYANAVIGTSGANISYMRRASGAAIAIQETRDVPGDMTVEISGSASEVQAAEQLIQNFIAEAASAMQSLPGGSISEEYSPYPVHAPLYASSDANGHVSHAPVIDYGSIYGTGYG >KJB42225 pep chromosome:Graimondii2_0_v6:7:11975307:11979821:1 gene:B456_007G143200 transcript:KJB42225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESYEDRNAIETPEDLAVPLQPEETEMQGAGVDGEKKWPGWPGENVFRMLVPAQKVGTIIGPKGEFIRKISDESRARIKILDGPPTTSERAVMVSAKEEPDAPIPPSMDGLLRIHRRILGLDGDYDHTTAGANGRVITRLLVADTQAGSLIGRQGSTIKYIQDASNCNIRVLGGEHLPVFSLKDDSVVEIEGGPTCVHAAIELIAGHLRKFLVHRSIIGVFEMQMQNVSANQNMVVPQSQHHLHGFPIADSESLLGSKPKYTYPESQFDDCYEPHELPLHDKNSYQGPPLYGTHGSMGGLASNVQAKQSVVTKIIQRMQIPLSYANAVIGTSGANISYMRRASGAAIAIQETRDVPGDMTVEISGSASEVQAAEQLIQNFIAEAASAMQSLPGGSISEEYSPYPVHAPLYASSDANGHVSHAPVIDYGSIYGTGYG >KJB41953 pep chromosome:Graimondii2_0_v6:7:10401782:10405553:-1 gene:B456_007G129500 transcript:KJB41953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSASPSPNPNPNFRSPTDQTDHDPESLPHMELHPSSDQDPTPNSNPNFHAVLPTPPEAIAPAAAAAPSLLHISFNQDHGCFAAGIDHGFRIYNCDPFREIFRRDFDRGGGIGVVEMLFRCNILALVGGGPDPQYPPNKVMIWDDHQSRCIGELSFRSEVRSVRLRRDRIVVVLEQKIFVYNFADLKLLHQIETIVNPKGLCAVSQGVGSLVLVCPGLQKGQVRVEHYASKRTKFIMAHDSRIVCFALSQDGQLLATASSKGTLVRIFNTVDGSLLQEVRRGADRAEIYSLAFSSNAQWLAVSSDKGTVHVFSLKINGGSPGIDRSQSASDPVTSPHSSLSFIKGVLPKYFSSEWSVAQFRLVEGSQYIVAFGHQKNTVVILGIDGSFYRCQFDPVNGGEMTQLEYHNFLKPEAAF >KJB42641 pep chromosome:Graimondii2_0_v6:7:14116959:14120120:1 gene:B456_007G161400 transcript:KJB42641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMVMRSQIFAVLVSVLVWLLLGCSLSYASQEDIDCLRSIKDSLEDSLGYLNSSWNFDNTTEGFICRFTGIDCWHPDENRVLNIRLADMGLKGVFPQGIVKCKSITGLDLSSNKLYGPIPSNISQIIHYVTSLDLSSNNFSGEIPPALANCSFLNILKLDYNSLTGSIPPVLSLLNRIKTFSVSNNLLSGPIPYFNVSLTAEDFAHNPGLCGKPLDPCQSTSKGPKTGIIAGAAVAGVTVAAIGVAIGMFFYYRRVSVMRKKKDDDPEGNKWAKSLKGDKGIKVSLFEKGVSKMRLNDLLKATNSFSKNNIIGSGRTGTMYKGVLEDGTSLMIKRLQDSQHSDKEFTSEMATLGNVKHRNLVPLLGFCVAKKERLIVYRYMANGTLNDNLHPVDDANKAMEWSIRLKIGIGAAKGFAWLHHNCNPRILHRNISSKCILLDADFEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPESFKGSLVEWITQLSNDGKLHDAIDTSLLGKGVDNELFQFLKVACNCVLPPPKERPTMFEVYQLLRAIGERYKFTTEEEILMPSDTGDADYLEELIVAREVIEGQ >KJB42640 pep chromosome:Graimondii2_0_v6:7:14116987:14121228:1 gene:B456_007G161400 transcript:KJB42640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMVMRSQIFAVLVSVLVWLLLGCSLSYASQEDIDCLRSIKDSLEDSLGYLNSSWNFDNTTEGFICRFTGIDCWHPDENRVLNIRLADMGLKGVFPQGIVKCKSITGLDLSSNKLYGPIPSNISQIIHYVTSLDLSSNNFSGEIPPALANCSFLNILKLDYNSLTGSIPPVLSLLNRIKTFSVSNNLLSGPIPYFNVSLTAEDFAHNPGLCGKPLDPCQSTSKGPKTGIIAGAAVAGVTVAAIGVAIGMFFYYRRVSVMRKKKDDDPEGNKWAKSLKGDKGIKVSLFEKGVSKMRLNDLLKATNSFSKNNIIGSGRTGTMYKGVLEDGTSLMIKRLQDSQHSDKEFTSEMATLGNVKHRNLVPLLGFCVAKKERLIVYRYMANGTLNDNLHPVDDANKAMEWSIRLKIGIGAAKGFAWLHHNCNPRILHRNISSKCILLDADFEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPESFKGSLVEWITQLSNDGKLHDAIDTSLLGKGVDNELFQFLKVACNCVLPPPKERPTMFEVYQLLRAIGERYKFTTEEEILMPSDTGDADYLEELIVAREVIEGQ >KJB42638 pep chromosome:Graimondii2_0_v6:7:14116989:14121215:1 gene:B456_007G161400 transcript:KJB42638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMVMRSQIFAVLVSVLVWLLLGCSLSYASQEDIDCLRSIKDSLEDSLGYLNSSWNFDNTTEGFICRFTGIDCWHPDENRVLNIRLADMGLKGVFPQGIVKCKSITGLDLSSNKLYGPIPSNISQIIHYVTSLDLSSNNFSGEIPPALANCSFLNILKLDYNSLTGSIPPVLSLLNRIKTFSVSNNLLSGPIPYFNVSLTAEDFAHNPGLCGKPLDPCQSTSKGPKTGIIAGAAVAGVTVAAIGVAIGMFFYYRRVSVMRKKKDDDPEGNKWAKSLKGDKGIKVSLFEKGVSKMRLNDLLKATNSFSKNNIIGSGRTGTMYKGVLEDGTSLMIKRLQDSQHSDKEFTSEMATLGNVKHRNLVPLLGFCVAKKERLIVYRYMANGTLNDNLHPVDDANKAMEWSIRLKIGIGAAKGFAWLHHNCNPRILHRNISSKCILLDADFEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPESFKGSLVEWITQLSNDGKLHDAIDTSLLGKGVDNELFQFLKVACNCVLPPPKERPTMFEVYQLLRAIGERYKFTTEEEILMPSDTGDADYLEELIVAREVIEGQ >KJB42639 pep chromosome:Graimondii2_0_v6:7:14116959:14120120:1 gene:B456_007G161400 transcript:KJB42639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMVMRSQIFAVLVSVLVWLLLGCSLSYASQEDIDCLRSIKDSLEDSLGYLNSSWNFDNTTEGFICRFTGIDCWHPDENRVLNIRLADMGLKGVFPQGIVKCKSITGLDLSSNKLYGPIPSNISQIIHYVTSLDLSSNNFSGEIPPALANCSFLNILKLDYNSLTGSIPPVLSLLNRIKTFSVSNNLLSGPIPYFNVSLTAEDFAHNPGLCGKPLDPCQSTSKGPKTGIIAGAAVAGVTVAAIGVAIGMFFYYRRVSVMRKKKDDDPEGNKWAKSLKGDKGIKVSLFEKGVSKMRLNDLLKATNSFSKNNIIGSGRTGTMYKGVLEDGTSLMIKRLQDSQHSDKEFTSEMATLGNVKHRNLVPLLGFCVAKKERLIVYRYMANGTLNDNLHPVDDANKAMEWSIRLKIGIGAAKGFAWLHHNCNPRILHRNISSKCILLDADFEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEKPTHVSKAPESFKGSLVEWITQLSNDGKLHDAIDTSLLGKGVDNELFQFLKVACNCVLPPPKERPTMFEVYQLLRAIGERYKFTTEEEILMPSDTGDADYLEELIVAREVIEGQ >KJB44921 pep chromosome:Graimondii2_0_v6:7:47855146:47859486:1 gene:B456_007G279600 transcript:KJB44921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHLMQMHPMMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKLSECAENQARLQRNLMYLAAIADSQPQPPTMHAQFPSGGIMQPGAGHYMQHQQAQQMTQQSLMAARSSMLYSQQPFSALQQQQQQALHSQLGMSSGGSTGLHMLQTESSTAGGSGALGAGGFPDFGRGSSGEGIHGGRSMAGGSKQDIGSAGSAEGRGGSSGGQGVDGGETLYLKAADDGN >KJB44918 pep chromosome:Graimondii2_0_v6:7:47854934:47859456:1 gene:B456_007G279600 transcript:KJB44918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHLMQMHPMMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKLSECAENQARLQRNLMYLAAIADSQPQPPTMHAQFPSGGIMQPGAGHYMQHQQAQQMTQQSLMAARSSMLYSQQPFSALQQQQQQALHSQLGMSSGGSTGLHMLQTESSTAGGSGALGAGGFPDFGRGSSGEGIHGGRSMAGGSKQDIGSAGSAEGRGGSSGGQGVDGGETLYLKAADDGN >KJB44922 pep chromosome:Graimondii2_0_v6:7:47855599:47859486:1 gene:B456_007G279600 transcript:KJB44922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAAIADSQPQPPTMHAQFPSGGIMQPGAGHYMQHQQAQQMTQQSLMAARSSMLYSQQPFSALQQQQQQALHSQLGMSSGGSTGLHMLQTESSTAGGSGALGAGGFPDFGRGSSGEGIHGGRSMAGGSKQDIGSAGSAEGRGGSSGGQGVDGGETLYLKAADDGN >KJB44920 pep chromosome:Graimondii2_0_v6:7:47854957:47859456:1 gene:B456_007G279600 transcript:KJB44920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHLMQMHPMMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKLSECAENQARLQRNLMYLAAIADSQPQPPTMHAQFPSGGIMQPGAGHYMQHQQAQQMTQQSLMAARSSMLYSQQPFSALQQQQQQALHSQLGMSSGGSTGLHMLQTESSTAGGSGALGAGGFPDFGRGSSGEGIHGGRSMAGGSKQDIGSAGSAEGRGGSSGGQGVDGGETLYLKAADDGN >KJB44919 pep chromosome:Graimondii2_0_v6:7:47855049:47859465:1 gene:B456_007G279600 transcript:KJB44919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHLMQMHPMMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKLSECAENQARLQRNLMYLAAIADSQPQPPTMHAQFPSGGIMQPGAGHYMQHQQAQQMTQQSLMAARSSMLYSQQPFSALQQQQQQALHSQLGMSSGGSTGLHMLQTESSTAGGSGALGAGGFPDFGRGSSGEGIHGGRSMAGGSKQDIGSAGSAEGRGGSSGGQGVDGGETLYLKAADDGN >KJB44753 pep chromosome:Graimondii2_0_v6:7:45528740:45531694:1 gene:B456_007G270900 transcript:KJB44753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGINLKWDVLQCQLAEHVRWIIEKLTLSWVNDDSMCGDGFIRERSFDLVHVKDTTSLALEKAIHEVLLLHCLNVDDIHGQGYDGASNMRGECNGLQALFAKKCYSYLSIVLYLTDIINLAAFSNKWHEQLRDTESSHIAKLIDTSELETGKGKNQVDTLQRLGDTRWGSHLASLNSLIRMFDSVCVVLQDIIKSGNLTQRSEVDGIYDAMISIKFVFILHFMIEMIRITDDLCEALQYKPQDILNAMQLEVKLFCKDHEIEVPNLSAPYKVGRGRSCIQRENLTIEHHYRLDIFIVGIDSLLTKMNSPFNDEVVELLVLSSALDPHDYKAFSVEDIYKLMNSFYPDDYTEQEKLHMKIQLEHFQLYVHQSTELQKASIVIELSQVLAKTNKSSIYHHLDRIIRLMLTLPMSTTTTERAFSAMKNEDKASQQNGG >KJB39514 pep chromosome:Graimondii2_0_v6:7:1764960:1765726:-1 gene:B456_007G025300 transcript:KJB39514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPKVCFMAVFAALVFAMAVDAQSSAPAPAPTSDGNSIDLGIAYVLMLVALVLTYLIHAADFCFSF >KJB44418 pep chromosome:Graimondii2_0_v6:7:39893537:39893851:1 gene:B456_007G251600 transcript:KJB44418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIITTLNVQLRHTNGSYKRELSLYQSAWHLKMRALAYPALSSATNVIELQQCIHKQNQYGGLQLHQYTKYA >KJB46388 pep chromosome:Graimondii2_0_v6:7:59675479:59679819:-1 gene:B456_007G364700 transcript:KJB46388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIAFDIATELIIKLSSLALSQIGLWWNLKDDLHDLERTVSTIKAVLLDAEEKSATNNLVKVWLEELKDVLYDADDLIDDFSTEALRKDLMGENKLTKEVRLFFSSSNQFAYGLKIGRKIEAIKARLASIGSEAKMFDFVERDRPMETSFMTKKRQQTHSFEREDEIIGRDDDKVALLKLVLEFQSEENVYIIPIMGFGGLGKTALALLVYNDEMVKSHFELTMFVCVSNVFDVKVIVANIIKSVTNQATDENLEMDQLQKQLRDKIDGKKYLLVLDDIWHEDPVEWSRLKKLLMGGAKGSRIIVTTRSLKVAKITNKCQSHILKLKGLSDDDTWSLFKKIAFEQRYVDSTNSAFVEVGKQISKRCGGVPLVIRTIASTLSLKETENEWLSFKDNELAKITEIDGEIIPTLKLSYDHLPSHLKHCFAFCRLYPKDYEIDVRTLVQFWIAQGFVKQSNPKQSLEEIGFRYFKDLVERSFFQEVEGDLTEEMTCKMHDIMHDLAELVAGTESTIVDSILSTSEVGEKCRHVSINVSLIPLFKGKKLRTLLHFPKARYHLSDETWNTIIGNCRCLRVLEMNFLYFTTIPHSIYKLKHLRYLDLSKNRGLKSLPKSICKIQNLQALKLDWCDWLEELPKKIERLVYLTHLACHGCCRLTHMPRGIGKLMSLETLSMFVVDKDRSHGGADLSELSGLNNLRGELEITNLGFVKNAKENFKAANLKEKQHLRSLVLQWGSFLAWGDDNRDEERSLEDLQPHPNLKELCIRGWRGDAKFPSWFSLLTNLVHIEISGNFKQLPSFAQLPYLKQLEICYCTELEYMDDNSPKGSQGEPQSFFPSLKRLSLWDCPNMKSWWRTTKPIDDDSNEDDTTVMGTSTMSFPCLSSLKVHDCPLTSIPLYPSLDDELELVNTSSRLLKQTTKMNMNTKTPSSSTSSLPLSKLKSFRVVNIEGLDTHMLDECLQHLTGLKSLKIEDCKEVDLEGMQWEALKNLSFLRIQSIPLLESLPLGLQHLTNLQELYLFDLPNLTSLPAEMRCLTNLKYLSMGETPQLKERCREDIGADWHKIAHIPNIGL >KJB43962 pep chromosome:Graimondii2_0_v6:7:27236268:27239938:1 gene:B456_007G226500 transcript:KJB43962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMSNDDNNNNNNNSSNWLGFSLSSHMKMEVSNQGPNSNHKTQSAASGVTTAVPSSFFPSPPSHLNYGLYFGVEGENGGLYSHFPVMPLKSDGSLCLMEALGRSQSQAMVPTSTPKLEDFFGGATMGTHHYESSDRETMALSLDSMYYHQNPNQDHNSQNCLDHLQHSSRQQHHQHQLQVQQYQYYSGYRNQEMLLAEEADQETHVTDCNLQLPTMADDGSAAMKHWASRNYSTEHSAMNQKMIGCMGDNGADSGSIGAMAYGDLQSLSLSMSPGSQSSSVAGSQQISPSATDYAAMETKKRGSEKVDQKQIAHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQKELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGANAVTNFDITRYDVERIMASSTLLAGDLARRNKDIGPANEAINHNLLAHNSYGETNISPKNNGSQPDWKIVLHQSPEQQMEMKQANMIENYKRQDFSLAPDNLVGMDTINSGQREVDDSNKMGTHFSNASSLLTSLSSSTQGSSGSLDRNSLPLPFAMPAPPTKLFTSSTNSLNSWIPSAQLRPALTVPTVPVFTAWTDA >KJB43961 pep chromosome:Graimondii2_0_v6:7:27236268:27239938:1 gene:B456_007G226500 transcript:KJB43961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMSNDDNNNNNNNSSNWLGFSLSSHMKMEVSNQGPNSNHKTQSAASGVTTAVPSSFFPSPPSHLNYGLYFGVEGENGGLYSHFPVMPLKSDGSLCLMEALGRSQSQAMVPTSTPKLEDFFGGATMGTHHYESSDRETMALSLDSMYYHQNPNQDHNSQNCLDHLQHSSRQQHHQHQLQVQQYQYYSGYRNQEMLLAEEADQETHVTDCNLQLPTMADDGSAAMKHWASRNYSTEHSAMNQKMIGCMGDNGADSGSIGAMAYGDLQSLSLSMSPGSQSSSVAGSQQISPSATDYAAMETKKRGSEKVDQKQIAHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQKELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGANAVTNFDITRYDVERIMASSTLLAGDLARRNKDIGPANEAINHNLLAHNSYGETNISPKNNGSQPDWKIVLHQSPEQQMEMKQANMIENYKRQDFSLAPDNLVGMDTINSGQREVDDSNKMGTHFSNASSLLTSLSSSTQGSSGSLDRNSLPLPFAMPAPPTKLFTSSTNSLNSWIPSAQLRPALTVPTVPVFTAWTDA >KJB41880 pep chromosome:Graimondii2_0_v6:7:10038427:10041204:1 gene:B456_007G125700 transcript:KJB41880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPAQLHSIIFSFILLLLSLFDTSLSQPQTPSPAPAPPPPSDSCNGIFLSYNYTGGHALPPTDPTNQAYRFESTLTVLNNGRHELKSWRAFVGFQHQELLVSASNAVLADGSSFPAEVGNGTVLAGFPISDLKSAVETAGDRAQMEVRVGLVGTQFGVGAPDVPMPLNISLVNDGYSCLNATNEGNNVMHACCIQDDLNSDSNNGVNDEFLARQEGDLVIMYDVIRTYSDNYLSQVSISIHNPLGRLDKWQLSFDWMREEFIYAMRGAYPYVVDTTDCIFGRQGQHYKEMDFSQVLNCERRPTIVDLPPTRANDSILGRIPFCCRNGTILPPLMDPSKSISSFNMQVYKMPPDLNRTELVPPQNWKIKGTMNPEYECGSPIRVTPSQFPDPSGLPSATASIASWQVVCNITQSKQAVPRCCVSYSAFFNDSAIPCNTCACGCNSNPSQTCSATEPALLLRPDALLIPFENRTAEALSWADIKRRSVPNPLPCGDNCGVSINWHLLSDHKDGWTARITLFNWGDSSFEDWFAAVQLDKAVPGFEEVYTFNGSKLLGSNNTLFMQGRPGLNYLLAETDGAKPKKDPRVPGTQQSVISFKKKSTPGIEVAAGDGFPTKVLFNGEECALPTRLPSKAPMVSGRSSVFGFLTLALLLLMQ >KJB41308 pep chromosome:Graimondii2_0_v6:7:7262795:7264679:-1 gene:B456_007G098400 transcript:KJB41308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKEMIVGVSIIFVVGVAIGVVSTVHRNHNDNETLSPQMKATLSSVNSTDPKEFISKAILAAEEAVKKFFNYSDSLIVQVKNNNLTKMALNDCKDMMDYAVDSLQASYSEIGGSELPYINDHKNDLRTWLSVVISYQQSCLDGFEHDNNMQKGIIDAHELTGNALTITTKLSDILSKFGIQLNNTSSHKLYSVEKNVYPSWIDNSNLKPNVVVAKDGNGQFKTIGAALATAPKKSNIRHVIYIKAGIYDEYITVDKQYTNIMMYGDGPRKTIVTGRKGVKNGGGITTWQTATFSAIGNGFIAKSMGFQNTAGPEKHQAVALRIQSDKSAFFNCRIDAYQDTLYNQANRQLFRNCVISGTIDFIFGDSPTVIQNSLIIVRRPMDNQFNTVTTQGKDFIDENTGTVIQNCKIVLEQKLFNDRFKIATYLGRSWKKFSTTIIMESTLGDFIKPEGWILFEGPDKVNYEETLYYAEYNNRGPGANLNARVNWKGYHKIDRVTAMQFTIQSFLLSKKNWLPLIGVPFTTALRY >KJB41021 pep chromosome:Graimondii2_0_v6:7:6287912:6289552:1 gene:B456_007G087500 transcript:KJB41021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESKGPSHYVRLTKEKEPLEEITPGELNQPVQVPQLVVHRCLECGQPLPESYEPPADEDWTTGVFGCFEETESCVTGLFCPCLLFGQNVETLRDDITRNDACFCHALCVEGGMVIAAATLFFHGIDPQTSFLIGETLVFAWWLCGIYNGLFRQSLQKKYHLKNSPCHPCLVHCCLHWCALCQEHRELKNHLSDNVYMQMTLLKPPPVQVMTSDGQKQEEPAPALPPSTVPNGNDEQPKLEITPV >KJB41614 pep chromosome:Graimondii2_0_v6:7:8679480:8682407:-1 gene:B456_007G112300 transcript:KJB41614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein Q [Source:Projected from Arabidopsis thaliana (AT4G00830) UniProtKB/Swiss-Prot;Acc:Q9ASP6] MAENAEVDERVDLDDNYMEEEDDDVEEHVDEDGVDSGGDENGEENDEEEFEDSKSGVDGKDQSLEVERSHIETEHVEDEEKPTASISEEEKEKHAQLLALPPNGSEVFIGGLPKDTSEEDLRELCEAIGEIFEIRLIKDKESGESKGYAFVAFKTKEVAEKAVDELHSKEFRGKTIRCSFSETKNRLFIGNIPKSLTEDDFRKAIESVGPGVENIELIKDPLNVNRNRGFAFVLYYNNACADYSRQKMASANFKLDGNNPTVTWADPKSSPDHSAASQVKALYVKNIPDNTSTEKLKEVFQRHGEVTKVVMPPGKAGKRDFGFIHYAERSSALKAVKDTEKYEIDGQVLEVVLAKPQADKKTDAAYPYIAGLNPNHLQHPGYGGFTGTTYGSPSAGFGAATSFQQPVIYGRGPMPTNMAMVPMVLPDGRIGYVL >KJB41613 pep chromosome:Graimondii2_0_v6:7:8678776:8683548:-1 gene:B456_007G112300 transcript:KJB41613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein Q [Source:Projected from Arabidopsis thaliana (AT4G00830) UniProtKB/Swiss-Prot;Acc:Q9ASP6] MAENAEVDERVDLDDNYMEEEDDDVEEHVDEDGVDSGGDENGEENDEEEFEDSKSGVDGKDQSLEVERSHIETEHVEDEEKPTASISEEEKEKHAQLLALPPNGSEVFIGGLPKDTSEEDLRELCEAIGEIFEIRLIKDKESGESKGYAFVAFKTKEVAEKAVDELHSKEFRGKTIRCSFSETKNRLFIGNIPKSLTEDDFRKAIESVGPGVENIELIKDPLNVNRNRGFAFVLYYNNACADYSRQKMASANFKLDGNNPTVTWADPKSSPDHSAASQVKALYVKNIPDNTSTEKLKEVFQRHGEVTKVVMPPGKAGKRDFGFIHYAERSSALKAVKDTEKYEIDGQVLEVVLAKPQADKKTDAAYPYIAGLNPNHLQHPGYGGFTGTTYGSPSAGFGAATSFQQPVIYGRGPMPTNMAMVPMVLPDGRIGYVLQQPGVQMPTPRPRRVDRGNGPGGGAGRSGNSGGVEGNRSRRYRPY >KJB41615 pep chromosome:Graimondii2_0_v6:7:8678776:8683548:-1 gene:B456_007G112300 transcript:KJB41615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein Q [Source:Projected from Arabidopsis thaliana (AT4G00830) UniProtKB/Swiss-Prot;Acc:Q9ASP6] MAENAEVDERVDLDDNYMEEEDDDVEEHVDEDGVDSGGDENGEENDEEEFEDSKSGVDGKDQSLEVERSHIETEHVEDEEKPTASISEEEKEKHAQLLALPPNGSEVFIGGLPKDTSEEDLRELCEAIGEIFEIRLIKDKESGESKGYAFVAFKTKEVAEKAVDELHSKEFRGKTIRCSFSETKNRLFIGNIPKSLTEDDFRKAIESVGPGVENIELIKDPLNVNRNRGFAFVLYYNNACADYSRQKMASANFKLDGNNPTVTWADPKSSPDHSAASQVKALYVKNIPDNTSTEKLKEVFQRHGEVTKVVMPPGKAGKRDFGFIHYAERSSALKAVKDTEKYEIDAYPYIAGLNPNHLQHPGYGGFTGTTYGSPSAGFGAATSFQQPVIYGRGPMPTNMAMVPMVLPDGRIGYVLQQPGVQMPTPRPRRVDRGNGPGGGAGRSGNSGGVEGNRSRRYRPY >KJB41612 pep chromosome:Graimondii2_0_v6:7:8678759:8683729:-1 gene:B456_007G112300 transcript:KJB41612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein Q [Source:Projected from Arabidopsis thaliana (AT4G00830) UniProtKB/Swiss-Prot;Acc:Q9ASP6] MAENAEVDERVDLDDNYMEEEDDDVEEHVDEDGVDSGGDENGEENDEEEFEDSKSGVDGKDQSLEVERSHIETEHVEDEEKPTASISEEEKEKHAQLLALPPNGSEVFIGGLPKDTSEEDLRELCEAIGEIFEIRLIKDKESGESKGYAFVAFKTKEVAEKAVDELHSKEFRGKTIRCSFSETKNRLFIGNIPKSLTEDDFRKAIESVGPGVENIELIKDPLNVNRNRGFAFVLYYNNACADYSRQKMASANFKLDGNNPTVTWADPKSSPDHSAASQVKALYVKNIPDNTSTEKLKEVFQRHGEVTKVVMPPGKAGKRDFGFIHYAERSSALKAVKDTEKYEIDGQVLEVVLAKPQADKKTDAAYPYIAGLNPNHLQHPGYGGFTGTTYGSPSAGFGAATSFQQPVIYGRGPMPTNMAMVPMVLPDGRIGYVLQQPGVQMPTPRPRRVDRGNGPGGGAGRSGNSGGVEGNRSRRYRPY >KJB41616 pep chromosome:Graimondii2_0_v6:7:8679563:8682407:-1 gene:B456_007G112300 transcript:KJB41616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein Q [Source:Projected from Arabidopsis thaliana (AT4G00830) UniProtKB/Swiss-Prot;Acc:Q9ASP6] MAENAEVDERVDLDDNYMEEEDDDVEEHVDEDGVDSGGDENGEENDEEEFEDSKSGVDGKDQSLEVERSHIETEHVEDEEKPTASISEEEKEKHAQLLALPPNGSEVFIGGLPKDTSEEDLRELCEAIGEIFEIRLIKDKESGESKGYAFVAFKTKEVAEKAVDELHSKEFRGKTIRCSFSETKNRLFIGNIPKSLTEDDFRKAIESVGPGVENIELIKDPLNVNRNRGFAFVLYYNNACADYSRQKMASANFKLDGNNPTVTWADPKSSPDHSAASQVKALYVKNIPDNTSTEKLKEVFQRHGEVTKVVMPPGKAGKRDFGFIHYAERSSALKAVKDTEKYEIDGQVLEVVLAKPQADKKTDAAYPYIAGLNPNHLQHPGYGGFTGTTYGSPSAGFGAATSFQQVLSQ >KJB44311 pep chromosome:Graimondii2_0_v6:7:36713127:36716552:-1 gene:B456_007G245300 transcript:KJB44311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSICFLVLWCDVNLLLATLCTHTIQTREGSIVKALDCNAAVASRDVLEKTVYARFFDWLVDKINISVGQDPNSHVQIGVLDIYVFECFKHNRIHTQGARNISATHWDREVKIQNQVTHKS >KJB44312 pep chromosome:Graimondii2_0_v6:7:36713465:36716552:-1 gene:B456_007G245300 transcript:KJB44312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNSRGMQMWNLFSTAWPCSNTFFYAHRCDVNLLLATLCTHTIQTREGSIVKALDCNAAVASRDVLEKTVYARFFDWLVDKINISVGQDPNSHVQIGVLDIYVFECFKHNRL >KJB40482 pep chromosome:Graimondii2_0_v6:7:4662713:4663798:1 gene:B456_007G066300 transcript:KJB40482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFDQQADLYLDARPTYPFQWYSMLAARTLHRSLAWDVGTGNGQAAIGVAEHYEQVIGTDVSEAQLQHAIPHPKVKYLYTPLSISDEELLSSIGGENSVDLITVAQAVHWFDLPKFYSLVTRLLRKPGGIVAIWCYNDIAVSPTFDPVMKRFHDTTLPYWNPNIQYVFDGYKTLPFPFESVGLGSEGQPLALDIPKKLSFEGLLRMLRSWSAVVTAKNQGVDLLSENVVKELKSAWGRSNLVRSIAYKAFMLAGKVKL >KJB42694 pep chromosome:Graimondii2_0_v6:7:14432852:14439440:1 gene:B456_007G164200 transcript:KJB42694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGMLKNFSFGRRDVNLLLATLCTRTIQTREGSIVKALDCNAAMASRDALAKTVYARLFDWLVDKINISVGQDPNSHVQIGVLDIYGFECFKHNRL >KJB46410 pep chromosome:Graimondii2_0_v6:7:59910063:59913737:-1 gene:B456_007G3662002 transcript:KJB46410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PDQNLEMDQLQKQLRDKIDGKKYLLVLDDIWNEDPERWSRLKKLLMGGAKGSRIIVTTRSLRVAEITNKCQSHVLKLKGLSGDDAWSLFKKIAFEQGYADSTNSAFVEVGRQISERCGGKKTANEWHSFKENELAKISQIEGEILPILKLSYDHLPSHLKHCFAYCRLYPTDYTIQVQALVQFWIAQGFIKQLNQSQSLEEIGFGYFKDLVERSFFQEVEEYGFGDMRCKMHDLMQDLAESVAGMESSIVDSNKIASDAGEKCRHISINPLLIPSLIPLFKGKKLRTLLHFPNSIDQDFSEESWDLIIANCRCLRVLKLYSIGIQKISPSICKLKHLRYLDLSSNYNIKILPKSICKIQNLLALKLDCCFGLKELPKKIEELCFSLTHMPRGIGKLTSLETLSMFVVDKDGSHGGAGLSELRLRNNLRGRLQITNLGFVKNAKEKFKAANLKEKQHLRSLLLEWSLRFDDDDEKSLEDLQPHPNLKELCIAGWRGDGKFPSWLPLLTNLVTIDISGSNFKQIPSFAQFPCLKGLEIYDCTKLEYMDDNSPKGSQGEPQSFFPSLKHLCLRDCPNMKSWWRTTKPIDDDSNEDDTTVMGTSTMAFPCLSSLEIKNCPLTSMPLSRPLKQTMKMNITSTTPSTSTSSLPLSKLKSFDVHNIEGLDTHTLDECLQYLTSLKRLTIGDCKEVDLEGMQWEPLKNLSHLEIDNIPKLVSLPIWLQHLVQLKTLKIHNCNGLRSLLPVFQHLTFLEEFEVKDCKELELSGAGIQIFQDHTNLRSLWLQNIPKCRHLPEWLQHLVQLKTLKIRNCNGLRSLFPVFQHLTFLEEFEVEDCKELELSGAGIQIFQDHTSLRSLSLQNIPKCRHLPEWLQHLTNLQGLYLVNLPNLTSLPDEMRCLTSLEYLHIREIPQLEERCRKDIGADWKKIAHIPNIGLYQGSLLAVWNSSQICY >KJB44216 pep chromosome:Graimondii2_0_v6:7:32927527:32930320:1 gene:B456_007G239600 transcript:KJB44216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWKFSLLLTIILADLTLKPKIKSKQINKPTLLQIYRRLQVSRQQILKENDQQSVNIKRCKIFFEGFFRDFEHLGKCCYR >KJB42805 pep chromosome:Graimondii2_0_v6:7:15178680:15182937:1 gene:B456_007G169100 transcript:KJB42805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAFFFVLISSTFLSSQANNLHLQQLRTQDESFTSILVSQNGLDFVKDLLVNEAISSIIPLQLPATIEKSARIPFLGNVHMVISNVTIYKIDVLASYVKLGNSGIAIVASGTTCNLTMNWHYSYSSWLVPIEISDGGRASVEVEGMEVGLTLGLENHEGTLKLSLLESGCYVKEITIKLDGGASWLYQGMINAFEEQIGSAVESAITNKLKDGILKLDSFLQSLPKEIPLDDNASLNVSFVENPELSSSSIEFDINGLFTDGKKVQPVSNHYRQVFQPSVFCIDQSKMLGISLDEAVFNSASALYYDAEFMEWIVDKVPDQALLNTAGWRFIIPQLYKKYPNDDMNLNISLSSPPVIRISEHNIGATVYADVIIDVVEGSQVISVACISLVIRGTGSVKIMGNNLGGSVKLDDLAMSLKWSKIGNLRMYLIQPVMWTLVQTVGIPYANSYLGKGFPLPIIHGFTLQNAEIIFSSSKVTVCSNVSYSESDNLNQVPIHIK >KJB42807 pep chromosome:Graimondii2_0_v6:7:15178788:15180690:1 gene:B456_007G169100 transcript:KJB42807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAFFFVLISSTFLSSQANNLHLQQLRTQDESFTSILVSQNGLDFVKDLLVNEAISSIIPLQLPATIEKSARIPFLGNVHMVISNVTIYKIDVLASYVKLGNSGIAIVASGTTCNLTMNWHYSYSSWLVPIEISDGGRASVEVEGMEVGLTLGLENHEGTLKLSLLESGCYVKEITIKLDGGASWLYQGMINAFEEQIGSAVESAITNKLKDGILKLDSFLQSLPKEIPLDDNASLNVSFVENPELSSSSIEFDINGLFTDGKKVQPVSNHYRQVFQPSVFCIDQSKMLGISLDEAVFNSASALYYDVSL >KJB42809 pep chromosome:Graimondii2_0_v6:7:15178625:15183417:1 gene:B456_007G169100 transcript:KJB42809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAFFFVLISSTFLSSQANNLHLQQLRTQDESFTSILVSQNGLDFVKDLLVNEAISSIIPLQLPATIEKSARIPFLGNVHMVISNVTIYKIDVLASYVKLGNSGIAIVASGTTCNLTMNWHYSYSSWLVPIEISDGGRASVEVEGMEVGLTLGLENHEGTLKLSLLESGCYVKEITIKLDGGASWLYQGMINAFEEQIGSAVESAITNKLKDGILKLDSFLQSLPKEIPLDDNASLNVSFVENPELSSSSIEFDINGLFTDGKKVQPVSNHYRQVFQPSVFCIDQSKMLGISLDEAVFNSASALYYDAEFMEWIVDKVPDQALLNTAGWRFIIPQLYKKYPNDDMNLNISLSSPPVIRISEHNIGATVYADVIIDVVEGSQVISVACISLVIRGTGSVKIMGNNLGGSVKLDDLAMSLKWSKIGNLRMYLIQPVMWTLVQTVGIPYANSYLGKGFPLPIIHGFTLQNAEIIFSSSKVTVCSNVSYSESDNLNQVPIHIKCNQTKEMASKTHQYQKVWPINLF >KJB42808 pep chromosome:Graimondii2_0_v6:7:15178680:15182937:1 gene:B456_007G169100 transcript:KJB42808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAFFFVLISSTFLSSQANNLHLQQLRTQDESFTSILVSQNGLDFVKDLLVNEAISSIIPLQLPATIEKSARIPFLGNVHMVEGMEVGLTLGLENHEGTLKLSLLESGCYVKEITIKLDGGASWLYQGMINAFEEQIGSAVESAITNKLKDGILKLDSFLQSLPKEIPLDDNASLNVSFVENPELSSSSIEFDINGLFTDGKKVQPVSNHYRQVFQPSVFCIDQSKMLGISLDEAVFNSASALYYDAEFMEWIVDKVPDQALLNTAGWRFIIPQLYKKYPNDDMNLNISLSSPPVIRISEHNIGATVYADVIIDVVEGSQVISVACISLVIRGTGSVKIMGNNLGGSVKLDDLAMSLKWSKIGNLRMYLIQPVMWTLVQTVGIPYANSYLGKGFPLPIIHGFTLQNAEIIFSSSKVTVCSNVSYSESDNLNQVPIHIK >KJB42810 pep chromosome:Graimondii2_0_v6:7:15179251:15182969:1 gene:B456_007G169100 transcript:KJB42810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLTLGLENHEGTLKLSLLESGCYVKEITIKLDGGASWLYQGMINAFEEQIGSAVESAITNKLKDGILKLDSFLQSLPKEIPLDDNASLNVSFVENPELSSSSIEFDINGLFTDGKKVQPVSNHYRQVFQPSVFCIDQSKMLGISLDEAVFNSASALYYDAEFMEWIVDKVPDQALLNTAGWRFIIPQLYKKYPNDDMNLNISLSSPPVIRISEHNIGATVYADVIIDVVEGSQVISVACISLVIRGTGSVKIMGNNLGGSVKLDDLAMSLKWSKIGNLRMYLIQPVMWTLVQTVGIPYANSYLGKGFPLPIIHGFTLQNAEIIFSSSKVTVCSNVSYSESDNLNQVPIHIK >KJB42806 pep chromosome:Graimondii2_0_v6:7:15178680:15181276:1 gene:B456_007G169100 transcript:KJB42806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAFFFVLISSTFLSSQANNLHLQQLRTQDESFTSILVSQNGLDFVKDLLVNEAISSIIPLQLPATIEKSARIPFLGNVHMVISNVTIYKIDVLASYVKLGNSGIAIVASGTTCNLTMNWHYSYSSWLVPIEISDGGRASVEVEGMEVGLTLGLENHEGTLKLSLLESGCYVKEITIKLDGGASWLYQGMINAFEEQIGSAVESAITNKLKDGILKLDSFLQSLPKEIPLDDNASLNVSFVENPELSSSSIEFDINGLFTDGKKVQPVSNHYRQVFQPSVFCIDQSKMLGISLDEAVFNSASALYYDAEFMEWIVDKVPDQALLNTAGWRFIIPQLYKKYPNDDMNLNISLSSPPVIRISEHNIGATVYADVIIDVVEGSQVISVACISLVSLLIRT >KJB44867 pep chromosome:Graimondii2_0_v6:7:47273355:47277579:-1 gene:B456_007G276500 transcript:KJB44867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACARDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFYDVADEDRPVEQPKFPTTIEELEGKRRVDIEAQLRKQDIAKNKIAQRQDAASAILQANKLNDPEAVRKRSKLMLPEPQISNHELEEIAKMGYASDLLAGNEELAEGSSATRALLANYSQTPRQGVTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKMQVQTPNPMLTPAATPGGTGLTPRIGMTPSRDGYSFGLTPKGTPIRDELHINEDMDLHDSAKLEQRRQADIRRNLRSGLGSLPQPKNEYQIVVQPPPEESEEPEEKIEEDMSDRIARERAEEEARQQALLKKRSKVLQRELPRPPSASLELIRDSLMRTDGDKSTFVPPTLIEQADEMIRKELLSLLEHDNAKYPLDEKANKGKKKGAKRSANGSIPSIEDFEEDEMKEADSWVKEEAEFLRVAMGHENESLDDFVEAHNTCLNDLMYFPTRHAYGLSSVAGNTEKLAALQTEFDHVKKKMDNDKLKAEGMEKKFNVLTQGYELGN >KJB44865 pep chromosome:Graimondii2_0_v6:7:47272292:47277756:-1 gene:B456_007G276500 transcript:KJB44865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACARDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFYDVADEDRPVEQPKFPTTIEELEGKRRVDIEAQLRKQDIAKNKIAQRQDAASAILQANKLNDPEAVRKRSKLMLPEPQISNHELEEIAKMGYASDLLAGNEELAEGSSATRALLANYSQTPRQGVTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKMQVQTPNPMLTPAATPGGTGLTPRIGMTPSRDGYSFGLTPKGTPIRDELHINEDMDLHDSAKLEQRRQADIRRNLRSGLGSLPQPKNEYQIVVQPPPEESEEPEEKIEEDMSDRIARERAEEEARQQALLKKRSKVLQRELPRPPSASLELIRDSLMRTDGDKSTFVPPTLIEQADEMIRKELLSLLEHDNAKYPLDEKANKGKKKGAKRSANGSIPSIEDFEEDEMKEADSWVKEEAEFLRVAMGHENESLDDFVEAHNTCLNDLMYFPTRHAYGLSSVAGNTEKLAALQTEFDHVKKKMDNDKLKAEGMEKKFNVLTQGYETGCNTLASD >KJB44864 pep chromosome:Graimondii2_0_v6:7:47272294:47277756:-1 gene:B456_007G276500 transcript:KJB44864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACARDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFYDVADEDRPVEQPKFPTTIEELEGKRRVDIEAQLRKQDIAKNKIAQRQDAASAILQANKLNDPEAVRKRSKLMLPEPQISNHELEEIAKMGYASDLLAGNEELAEGSSATRALLANYSQTPRQGVTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKMQVQTPNPMLTPAATPGGTGLTPRIGMTPSRDGYSFGLTPKGTPIRDELHINEDMDLHDSAKLEQRRQADIRRNLRSGLGSLPQPKNEYQIVVQPPPEESEEPEEKIEEDMSDRIARERAEEEARQQALLKKRSKVLQRELPRPPSASLELIRDSLMRTDGDKSTFVPPTLIEQADEMIRKELLSLLEHDNAKYPLDEKANKGKKKGAKRSANGSIPSIEDFEEDEMKEADSWVKEEAEFLRVAMGHENESLDDFVEAHNTCLNDLMYFPTRHAYGLSSVAGNTEKLAALQTEFDHVKKKMDNDKLKAEGMEKKFNVLTQGYERRAATLWRQIESTFKQIDTAGTELECFQALQKQEQLAASHRINGLWEEAQKQKELEQTLQSHYGNLIAEIERIQKLMNVYRVQAQKQEEAAEKDHALESSEAPASQAAVPSSGLSEPAPSSEDVYSSLDGQPSLKIDMNVDSRELHATMDSETGGNMSGNVPLVVEDNGDNITKTPAQDAGTSSEVAAESVNPDTVSTKPESIEETLEGEGFTDHAKIDSTCVLGGDTAENQTAMEE >KJB44866 pep chromosome:Graimondii2_0_v6:7:47272294:47277008:-1 gene:B456_007G276500 transcript:KJB44866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTQWRTIAPIVGRTPSQCLERYEKLLDAACARDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFYDVADEDRPVEQPKFPTTIEELEGKRRVDIEAQLRKQDIAKNKIAQRQDAASAILQANKLNDPEAVRKRSKLMLPEPQISNHELEEIAKMGYASDLLAGNEELAEGSSATRALLANYSQTPRQGVTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKMQVQTPNPMLTPAATPGGTGLTPRIGMTPSRDGYSFGLTPKGTPIRDELHINEDMDLHDSAKLEQRRQADIRRNLRSGLGSLPQPKNEYQIVVQPPPEESEEPEEKIEEDMSDRIARERAEEEARQQALLKKRSKVLQRELPRPPSASLELIRDSLMRTDGDKSTFVPPTLIEQADEMIRKELLSLLEHDNAKYPLDEKANKGKKKGAKRSANGSIPSIEDFEEDEMKEADSWVKEEAEFLRVAMGHENESLDDFVEAHNTCLNDLMYFPTRHAYGLSSVAGNTEKLAALQTEFDHVKKKMDNDKLKAEGMEKKFNVLTQGYERRAATLWRQIESTFKQIDTAGTELECFQALQKQEQLAASHRINGLWEEAQKQKELEQTLQSHYGNLIAEIERIQKLMNVYRVQAQKQEEAAEKDHALESSEAPASQAAVPSSGLSEPAPSSEDVYSSLDGQPSLKIDMNVDSRELHATMDSETGGNMSGNVPLVVEDNGDNITKTPAQDAGTSSEVAAESVNPDTVSTKPESIEETLEGEGFTDHAKIDSTCVLGGDTAENQTAMEE >KJB43064 pep chromosome:Graimondii2_0_v6:7:17360525:17363099:1 gene:B456_007G181900 transcript:KJB43064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQTGKTPSSLAITEKKPHRSGGCVGIFFQLFDWNKRFAKKKLFSRKLLPPTRTKASKSFGGDEKMPKSKLHLIADENSGGFPNVKKNGKHFSSEVDQKHEMKAPGLIARLMGLESMPALNRDKSHKKSKILGSNPDAKDEKIVISQCGNSGKDLDLAKGSSKIEPRPQKIQKIGPYDRRMVTRFGAEALQIKSVLLRSKKHHHHHHQKFVSPIKSPRISSARNASRTSRLIDAAAKILEPGLQATSRSKYTLAYPSPTSYSSKNEVVTEAIVSPDMLEQSACNASAGKSLVGPTSCKNCGNLLDVVESRAKLEDQQFVCLSSAPNFVDASLHGLEKSWPRSSPTLFDQGKEVTFQRSHDQPLSFTGQEEDIVQSGNEPDTFRKDPRAQAQWHSTSQPGKFQKNEKSPVGFKPRNQSQNHISLDRDRIPAKAKLSTLRSRKAASGANAVGGVKDFVALNRSLSSHTRHRVPVKVDSSPVDIERKSCSGQDDSLSQLRSPVRKRRTHIVNGQAETEGFINPAIGKERNSKCKPVTRREMVHGACSVDQTCSKSRSTCRETGKGAKDKNDTDIISFTFSSPLKQKHSISTKLKDKRKDQNESIALQRSEMTENNYGETSLPKNMTLTGDALSVLLEQKLKELTSQEEDEQKTGCTLPKRSTAMILQELISALTSGKANSRNGHLFSSDIGSKVGIYS >KJB43063 pep chromosome:Graimondii2_0_v6:7:17359851:17365097:1 gene:B456_007G181900 transcript:KJB43063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQTGKTPSSLAITEKKPHRSGGCVGIFFQLFDWNKRFAKKKLFSRKLLPPTRTKASKSFGGDEKMPKSKLHLIADENSGGFPNVKKNGKHFSSEVDQKHEMKAPGLIARLMGLESMPALNRDKSHKKSKILGSNPDAKDEKIVISQCGNSGKDLDLAKGSSKIEPRPQKIQKIGPYDRRMVTRFGAEALQIKSVLLRSKKHHHHHHQKFVSPIKSPRISSARNASRTSRLIDAAAKILEPGLQATSRSKYTLAYPSPTSYSSKNEVVTEAIVSPDMLEQSACNASAGKSLVGPTSCKNCGNLLDVVESRAKLEDQQFVCLSSAPNFVDASLHGLEKSWPRSSPTLFDQGKEVTFQRSHDQPLSFTGQEEDIVQSGNEPDTFRKDPRAQAQWHSTSQPGKFQKNEKSPVGFKPRNQSQNHISLDRDRIPAKAKLSTLRSRKAASGANAVGGVKDFVALNRSLSSHTRHRVPVKVDSSPVDIERKSCSGQDDSLSQLRSPVRKRRTHIVNGQAETEGFINPAIGKERNSKCKPVTRREMVHGACSVDQTCSKSRSTCRETGKGAKDKNDTDIISFTFSSPLKQKHSISTKLKDKRKDQNESIALQRSEMTENNYGETSLPKNMTLTGDALSVLLEQKLKELTSQEEDEQKTGCTLPKRSTAMILQELISALTSGKANSRNGHLFSSDIGSKTEAKAEGTLVGYTSHGDHFSPGSVLEASFSNDSCVSSSLDESLGHRLQPDSMGYLYNEPQPMEPDADLLDSATSLDKVTNVSEIIIDLVNRIFVLMHVVSNFALGLSDDKLIHCKEVILKAELLFGNLTPWDLDGTDDFFLAPYIHEEVETLVAAMRVDFKSVLGIEQIKENYQLRGFLFDCAIECIDSKYSRYCNSGFRAWGSLPYCMNSGKLIRDVADEVRRWTKLAGMVPDELIEWEMSYSLGKWTDFDIEAYETGAEMGWDIVQTLVDEMVDDLVSS >KJB40657 pep chromosome:Graimondii2_0_v6:7:5172543:5179622:1 gene:B456_007G073000 transcript:KJB40657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQRRKRLNGASIAGCNSWDQYRTKKKKLESPRNDLNTKCCISLEWDGNQKKVVAKREQIGISWRHLRPFTDSTVHYHKVLADVLTLPHEIFDLENLKRVLSYQVWQTHLSENERNLLMQFLPTGIDKEQTLRALFSGDNFHFGNHFLKWGASLCSGHLHPDKVIKEERRLKAEKKAYYSELQDYHNDTIDYLQKLKEKWESCKDPEQEIVQKLWRSKRVGKKRVFSHSNESRLGNVEQDVTATSESSSWVADEKACSSDNQNSSALKDGKIQRSMYKKRIIKDKGEMLLTAPDYSPTVEARPKKGDKIRKHNIQHCDGAKYMSCFKISKKQHDLFKNMEQSGKSIQSRSLTRVLGDIDTLHVQPYEVFVEEEQRRLHEHWLRLVKEDLPASYANWREIQSQKWKITRSLEQEMKEKLVTLLEDEEDEDTLVQNQEDNVVTNLPVLDVEEENPEKLLEYQKDTEAIESESSMEDGESSLALPQNQSPQQISSIDSGRLCNYAESENNENLSKSDVASSNVSEHSDNLNTADATVSQEVPVSSAEIVWPADSMPHSYHDSTAGHKCTSTSGLPFIHQDNEDQQNRMIDLESDSHKESTGKDLLHRLSEDGSFSYTNQDRNEMLQSFFKDQGVPPYHIEQKQAGLDFQPPKNLLMGDGHFNGQFQEQLQSSLLLEERQKRQNEVYMGQNMPQNIYSTGGRYLSLRQEHLPSENMQDWAVTPARVPAPFQHPLNSRELFSQNWFTGEHQVPVRGGWAGSDGFSGQSQSIMGASNADQSFFGVLSHCNQFHSSSPYESMGSTGQFIPQRNNGMVRGGPSGIIGNSMQQAALPFDYLGTCDTTSSLMAADDSGWMNIQHQNPALHDPMGKPYLRSWKGSFF >KJB40659 pep chromosome:Graimondii2_0_v6:7:5173513:5179570:1 gene:B456_007G073000 transcript:KJB40659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQRRKRLNGASIAGCNSWDQYRTKKKKLESPRNDLNTKCCISLEWDGNQKKVVAKREQIGISWRHLRPFTDSTVHYHKVLADVLTLPHEIFDLENLKRVLSYQVWQTHLSENERNLLMQFLPTGIDKEQTLRALFSGDNFHFGNHFLKWGASLCSGHLHPDKVIKEERRLKAEKKAYYSELQDYHNDTIDYLQKLKEKWESCKDPEQEIVQKLWRSKRVGKKRVFSHSNESRLGNVEQDVTATSESSSWVADEKACSSDNQNSSALKDGKIQRSMYKKRIIKDKGEMLLTAPDYSPTVEARPKKGDKIRKHNIQHCDGAKYMSCFKISKKQHDLFKNMEQSGKSIQSRSLTRVLGDIDTLHVQPYEVFVEEEQRRLHEHWLRLVKEDLPASYANWREIQSQKWKITRSLEQEMKEKLVTLLEDEEDEDTLVQNQEDNVVTNLPVLDVEEENPEKLLEYQKDTEAIESESSMEDGESSLALPQNQSPQQISSIDSGRLCNYAESENNENLSKSDVASSNVSEHSDNLNTADATVSQEVPVSSAEIVWPADSMPHSYHDSTAGHKCTSTSGLPFIHQDNEDQQNRMIDLESDSHKESTGKDLLHRLSEDGSFSYTNQDRNEMLQSFFKDQGVPPYHIEQKQAGLDFQPPKNLLMGDGHFNGQFQEQLQSSLLLEERQKRQNEVYMGQNMPQNIYSTGGRYLSLRQEHLPSENMQDWAVTPARVPAPFQHPLNSRELFSQNWFTGEHQVPVRGGWAGSDGFSGQSQSIMGASNADQSFFGVLSHCNQFHSSSPYESMGSTGQFIPQRNNGMVRGGPSGIIGNSMQQAALPFDYLGTCDTTSSLMAADDSGWMNIQHQNPALHDPMGKPYLRSWKGSFF >KJB40658 pep chromosome:Graimondii2_0_v6:7:5173513:5179570:1 gene:B456_007G073000 transcript:KJB40658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQRRKRLNGASIAGCNSWDQYRTKKKKLESPRNDLNTKCCISLEWDGNQKKVVAKREQIGISWRHLRPFTDSTVHYHKVLADVLTLPHEIFDLENLKRVLSYQVWQTHLSENERNLLMQFLPTGIDKEQTLRALFSGDNFHFGNHFLKWGASLCSGHLHPDKVIKEERRLKAEKKAYYSELQDYHNDTIDYLQKLKEKWESCKDPEQEIVQKLWRSKRVGKKRVFSHSNESRLGNVEQDVTATSESSSWVADEKACSSDNQNSSALKDGKIQRSMYKKRIIKDKGEMLLTAPDYSPTVEARPKKGDKIRKHNIQHCDGAKYMSCFKISKKQHDLFKNMEQSGKSIQSRSLTRVLGDIDTLHVQPYEVFVEEEQRRLHEHWLRLVKEDLPASYANWREIQSQKWKITRSLEQEMKEKLVTLLEDEEDEDTLVQNQEDNVVTNLPVLDVEEENPEKLLEYQKDTEAIESESSMEDGESSLALPQNQSPQQISSIDSGRLCNYAESENNENLSKSDVASSNVSEHSDNLNTADATVSQEVPVSSAEIVWPADSMPHSYHDSTAGHKCTSTSGLPFIHQDNEDQQNRMIDLESDSHKESTGKDLLHRLSEDGSFSYTNQDRNEMLQSFFKDQGVPPYHIEQKQAGLDFQPPKNLLMGDGHFNGQFQEQLQSSLLLEERQKRQNEVYMGQNMPQNIYSTGGRYLSLRQEHLPSENMQDWAVTPARVPAPFQHPLNSRELFSQNWFTGEHQVPVRGGWAGSDGFSGQSQSIMGASNADQSFFGVLSHCNQFHSSSPYESMGSTGQFIPQRNNGMVRGGPSGIIGNSMQQAALPFDYLGTCDTTSSLMAADDSGWMNIQHQNPALHDPMGKPYLRSWKGSFF >KJB43727 pep chromosome:Graimondii2_0_v6:7:28601513:28602742:1 gene:B456_007G229800 transcript:KJB43727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHNLSPPHVDASRPSLGFPLGTALLLIIVFSLSGIFSCCYHWDKLRSLRGSFAHPHVDIEASPSKSNPHSPVLKKNQSQSFSVLMPGDAIPKFIALPCPCEPPRVDKVEVKVEKPPKPPRFPVPLY >KJB39101 pep chromosome:Graimondii2_0_v6:7:11193754:11195848:1 gene:B456_007G136900 transcript:KJB39101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAIDNEDLDSDIYLALGLKRLGKGAIGTPRILTLLSSLLEKSVQKNEMQIETVIAKVNIAIFHGLRVPTISIRQYIDRIFKYFNNAYYARVGGISTAELNRLEMKFLLSLDFRLQVSINTFQRYCLQLQKEGNQVERPIRACGIKESWPSKSDTQCAPTIAR >KJB39104 pep chromosome:Graimondii2_0_v6:7:11193706:11195911:1 gene:B456_007G136900 transcript:KJB39104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAIDNEDLDSDIYLALGLKRLGKGAIGTPRILTLLSSLLEKSVQKNEMQIETVIAKVNIAIFHGLRVPTISIRQYIDRIFKYARCSPSCFLVAYIYLDRFGQQTDVQLTSRNVHRLLITSVMVAAKFIDDTYFNNAYYARVGGISTAELNRLEMKFLLSLDFRLQVSINTFQRYCLQLQKEGNQVERPIRACGIKESWPSKSDTQCAPTIAR >KJB39103 pep chromosome:Graimondii2_0_v6:7:11194637:11195041:1 gene:B456_007G136900 transcript:KJB39103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAIDNEDLDSDIYLALGLKRLGKGAIGTPRILTLLSSLLEKSVQKNEMQIETVIAKVNIAIFHGLRVPTISIRQYIDRIFKYARCSPSCFLVAYIYLDRFGQQTDVQLTSRNVHRLLITSVMVAAKFIDDT >KJB39102 pep chromosome:Graimondii2_0_v6:7:11193763:11195848:1 gene:B456_007G136900 transcript:KJB39102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAIDNEDLDSDIYLALGLKRLGKGAIGTPRILTLLSSLLEKSVQKNEMQIETVIAKVNIAIFHGLRVPTISIRQYIDRIFKYFNNAYYARVGGISTAELNRLEMKFLLSLDFRLQVSINTFQRYCLQLQKEGNQVERPIRACGIKESWPSKSDTQCAPTIAR >KJB39106 pep chromosome:Graimondii2_0_v6:7:11194463:11195848:1 gene:B456_007G136900 transcript:KJB39106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQTVSINDDDAFITLLSVETNEPFHVDLLHFRLVMGTLAIDNEDLDSDIYLALGLKRLGKGAIGTPRILTLLSSLLEKSVQKNEMQIETVIAKVNIAIFHGLRVPTISIRQYIDRIFKYARCSPSCFLVAYIYLDRFGQQTDVQLTSRNVHRLLITSVMVAAKFIDDTYFNNAYYARVGGISTAELNRLEMKFLLSLDFRLQVSINTFQRYCLQLQKEGNQVERPIRACGIKESWPSKSDTQCAPTIAR >KJB39099 pep chromosome:Graimondii2_0_v6:7:11193706:11195911:1 gene:B456_007G136900 transcript:KJB39099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAIDNEDLDSDIYLALGLKRLGKGAIGTPRILTLLSSLLEKSVQKNEMQIETVIAKVNIAIFHGLRVPTISIRQYIDRIFKYARCSPSCFLVAYIYLDRFGQQTDVQLTSRNVHRLLITSVMVAAKFIDDTYFNNAYYARVGGISTAELNRLEMKFLLSLDFRLQVSINTFQRYCLQLQKEGNQVERPIRACGIKESWPSKSDTQCAPTIAR >KJB39100 pep chromosome:Graimondii2_0_v6:7:11193774:11195848:1 gene:B456_007G136900 transcript:KJB39100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAIDNEDLDSDIYLALGLKRLGKGAIGTPRILTLLSSLLEKSVQKNEMQIETVIAKVNIAIFHGLRVPTISIRQYIDRIFKYARCSPSCFLVAYIYLDRFGQQTDVQLTSRNVHRLLITSVMVAAKFIDDTYFNNAYYARVGGISTAELNRLEMKFLLSLDFRLQVSINTFQRYCLQLQKEGNQVERPIRACGIKESWPSKSDTQCAPTIAR >KJB39105 pep chromosome:Graimondii2_0_v6:7:11193809:11195848:1 gene:B456_007G136900 transcript:KJB39105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAIDNEDLDSDIYLALGLKRLGKGAIGTPRILTLLSSLLEKSVQKNEMQIETVIAKVNIAIFHGLRVPTISIRQYIDRIFKYARCSPSCFLVAYIYLDRFGQQTDVQLTSRNVHRLLITSVMVAAKFIDDTYFNNAYYARVGGISTAELNRLEMKFLLSLDFRLQVSINTFQRYCLQLQKEGNQVERPIRACGIKESWPSKSDTQCAPTIAR >KJB39098 pep chromosome:Graimondii2_0_v6:7:11193746:11195911:1 gene:B456_007G136900 transcript:KJB39098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAIDNEDLDSDIYLALGLKRLGKGAIGTPRILTLLSSLLEKSVQKNEMQIETVIAKVNIAIFHGLRVPTISIRQYIDRIFKYARCSPSCFLVAYIYLDRFGQQTDVQLTSRNVHRLLITSVMVAAKFIDDTYFNNAYYARVGGISTAELNRLEMKFLLSLDFRLQVSINTFQRYCLQLQKEGNQVERPIRACGIKESWPSKSDTQCAPTIAR >KJB43150 pep chromosome:Graimondii2_0_v6:7:17974090:17977748:1 gene:B456_007G186900 transcript:KJB43150 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 19 [Source:Projected from Arabidopsis thaliana (AT5G15900) TAIR;Acc:AT5G15900] MKLHAMEASGRNHFQPKFVLIIIFVTLVVLTIIPVLYPLIGYPLYVSKASSSRPLPTTAYESQQPEPLPSIKISEGEDCDIFLGEWVPNHAAPYYTNTTCWAIHEHQNCMKYGRPDTEFMKWKWKPDGCELPVLNPAQFLEIVRGKSLAFVGDSLGRNQMQSLICLLSRIEYPVDVSYTSDERFKRWKYTSYNFTLAYFSSTYLVKSKERDNNGPTHTGLFNLYLDEFDEQWTTQIEGFDYVIINGGHWFYRPSVFYENHQIVGCHYCLIDNVKDLTKFYGFRKAFRTAFRAINSLENFKGVTFLRTFAPPHFENGLWNDGGNCVRTKPFRSKEIALDGDNLEFYMIQIEEFKRAQKEGRKKGKKYRLMDMTHASLLRPDGHPSRYGHWPNENVTLYNDCVHWCLPGPIDNWNDFLLQMLKMEGIRSHQERLVSGGKRMRFK >KJB43149 pep chromosome:Graimondii2_0_v6:7:17974078:17977750:1 gene:B456_007G186900 transcript:KJB43149 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 19 [Source:Projected from Arabidopsis thaliana (AT5G15900) TAIR;Acc:AT5G15900] MKLHAMEASGRNHFQPKFVLIIIFVTLVVLTIIPVLYPLIGYPLYVSKASSSRPLPTTAYESQQPEPLPSIKISEGEDCDIFLGEWVPNHAAPYYTNTTCWAIHEHQNCMKYGRPDTEFMKWKWKPDGCELPVLNPAQFLEIVRGKSLAFVGDSLGRNQMQSLICLLSRIEYPVDVSYTSDERFKRWKYTSYNFTLAYFSSTYLVKSKERDNNGPTHTGLFNLYLDEFDEQWTTQIEGFDYVIINGGHWFYRPSVFYENHQIVGCHYCLIDNVKDLTKFYGFRKAFRTAFRAINSLENFKGVTFLRTFAPPHFENGLWNDGGNCVRTKPFRSKEIALDGDNLEFYMIQIEEFKRAQKEGRKKGKKYRLMDMTHASLLRPDGHPSRYGHWPNENVTLYNDCVHWCLPGPIDNWNDFLLQMLKMEGIRSHQERLVSGGKRMRFK >KJB41761 pep chromosome:Graimondii2_0_v6:7:9358337:9359616:-1 gene:B456_007G118900 transcript:KJB41761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAYLFTTAVLIVGLYFSPKFHVADAAHTWLSAHATFYGGSDASGTMGGACGYGNLYTDGYGTNTAALSTALFNDGKACGGCYQITCDARQVPQWCLKGKYITITATNFCPPNYALPSDNGGWCNPPRPHFDMSQPAFETIAKYRAGIVPVLYRRVTCRRKGGMRFTINGRNYFELVLISNVGGSGEISRVWIKGSKTNKWESMSRNWGANWQSLSYLNGQSLSFRIQASNGRIRYALNVVPSNWQFGQSFKTNVQI >KJB45286 pep chromosome:Graimondii2_0_v6:7:51210991:51215642:1 gene:B456_007G300100 transcript:KJB45286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEETELSDKQKKEIAKWFLLNAPAGEIQYVAKDLKSVLNNDDVYDEAASEAFPVYNKSHMICLEMPGRFGDVLVTSYGELQGNEYLDPKTAQVAIVDHVKQVCTEVRPATDEELPTPYVEEYRCALEAEMLKYVSEAYPKGSCSVYCINGKDVEGPGNDFELVAVISAARLSPQNFCTGSWRSIWNIECKDDIQVLELKGKLQVGAHYFEEGNVQLDAKHECRDSTMFQSPDDGAIAIASLIRHHETEYLASLEASYLNLPDATFKDLRRKLPVTRTLFPWHSTSQFNLTREISKELGIGK >KJB45287 pep chromosome:Graimondii2_0_v6:7:51210972:51215658:1 gene:B456_007G300100 transcript:KJB45287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRLNPTVGKGLLFCRSRKKVMAEEETELSDKQKKEIAKWFLLNAPAGEIQYVAKDLKSVLNNDDVYDEAASEAFPVYNKSHMICLEMPGRFGDVLVTSYGELQGNEYLDPKTAQVAIVDHVKQVCTEVRPATDEELPTPYVEEYRCALEAEMLKYVSEAYPKGSCSVYCINGKDVEGPGNDFELVAVISAARLSPQNFCTGSWRSIWNIECKDDIQVLELKGKLQVGAHYFEEGNVQLDAKHECRDSTMFQSPDDGAIAIASLIRHHETEYLASLEASYLNLPDATFKDLRRKLPVTRTLFPWHSTSQFNLTREISKELGIGK >KJB45290 pep chromosome:Graimondii2_0_v6:7:51211064:51215642:1 gene:B456_007G300100 transcript:KJB45290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEETELSDKQKKEIAKWFLLNAPAGEIQYVAKDLKSVLNNDDVYDEAASEAFPVYNKSHMICLEMPGRFGDVLVTSYGELQGNEYLDPKTAQVAIVDHVKQVCTEVRPATDEELPTPYVEEYRCALEAEMLKYVSEAYPKVISAARLSPQNFCTGSWRSIWNIECKDDIQVLELKGKLQVGAHYFEEGNVQLDAKHECRDSTMFQSPDDGAIAIASLIRHHETEYLASLEASYLNLPDATFKDLRRKLPVTRTLFPWHSTSQFNLTREISKELGIGK >KJB45293 pep chromosome:Graimondii2_0_v6:7:51211065:51215642:1 gene:B456_007G300100 transcript:KJB45293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQAKSNLKSVLNNDDVYDEAASEAFPVYNKSHMICLEMPGRFGDVLVTSYGELQGNEYLDPKTAQVAIVDHVKQVCTEVRPATDEELPTPYVEEYRCALEAEMLKYVSEAYPKGSCSVYCINGKDVEGPGNDFELVAVISAARLSPQNFCTGSWRSIWNIECKDDIQVLELKGKLQVGAHYFEEGNVQLDAKHECRDSTMFQSPDDGAIAIASLIRHHETEYLASLEASYLNLPDATFKDLRRKLPVTRTLFPWHSTSQFNLTREISKELGIGK >KJB45292 pep chromosome:Graimondii2_0_v6:7:51211064:51215642:1 gene:B456_007G300100 transcript:KJB45292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEETELSDKQKKEIAKWFLLNAPAGEIQYVAKDLKSVLNNDDVYDEAASEAFPVYNKSHMICLEMPGRFGDVLVTSYGELQGNEYLDPKTAQVAIVDHVKQVCTEVRPATDEELPTPYVEEYRCALEAEMLKYVSEAYPKGSCSVYCINGKDVEGPGNDFELVAVISAARLSPQNFCTGSWRSIWNIECKDDIQVLELKGKLQVGAHYFEEGNVQLDAKHECRDSTMFQSPDDGAIAIASLIRHHETEYLASLEASYLNLPDATFKDLRRKLPVTRTLFPWHSTSQFNLTREISKELGIGK >KJB45289 pep chromosome:Graimondii2_0_v6:7:51211231:51214693:1 gene:B456_007G300100 transcript:KJB45289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEETELSDKQKKEIAKWFLLNAPAGEIQYVAKDLKSVLNNDDVYDEAASEAFPVYNKSHMICLEMPGRFGDVLVTSYGELQGNEYLDPKTAQVAIVDHVKQVCTEVRPATDEELPTPYVEEYRCALEAEMLKYVSEAYPKGSCSVYCINGKDVEGPGNDFELVAVISAARLSPQNFCTGSWRSIWNIECKDDIQVLELKGKLQVGAHYFEEGNVQLDAKHECRDSTMFQSPDDGAIAIASLIRHHETEYLASLEVVFNAWLISIPCASYRYLLTVEA >KJB45291 pep chromosome:Graimondii2_0_v6:7:51211064:51215642:1 gene:B456_007G300100 transcript:KJB45291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEETELSDKQKKEIAKWFLLNAPAGEIQYVAKDLKSVLNNDDVYDEAASEAFPVYNKSHMICLEMPGRFGDVLVTSYGELQGNEYLDPKTAQVAIVDHVKQVCTEVRPATDEELPTPYVEEYRCALEAEMLKYVSEAYPKGSCSVYCINGKDVEGPGNDFELVAVISAARLSPQNFCTGSWRSIWNIECKDDIQVLELKGKLQVGAHYFEEGNVQLDAKHECRDSTMFQSPDDGAIAIASLIRHHETEYLASLEVASYLNLPDATFKDLRRKLPVTRTLFPWHSTSQFNLTREISKELGIGK >KJB45288 pep chromosome:Graimondii2_0_v6:7:51211026:51215642:1 gene:B456_007G300100 transcript:KJB45288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEETELSDKQKKEIAKWFLLNAPAGEIQYVAKDLKSVLNNDDVYDEAASEAFPVYNKSHMICLEMPGRFGDVLVTSYGELQGNEYLDPKTAQVAIVDHVKQVCTEVRPATDEELPTPYVEEYRCALEAEMLKYVSEAYPKGSCSVYCINGKDVEGPGNDFELVAVISAARLSPQNFCTGSWRSIWNIECKDDIQVLELKGKLQVGAHYFEEGNVQLDAKHECRDSTMFQSPDDGAIAIASLIRHHETEYLASLEASYLNLPDATFKDLRRKLPVTRTLFPWHSTSQFNLTREISKELGIGK >KJB43248 pep chromosome:Graimondii2_0_v6:7:18535093:18540661:1 gene:B456_007G190100 transcript:KJB43248 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 3 [Source:Projected from Arabidopsis thaliana (AT4G35740) UniProtKB/Swiss-Prot;Acc:Q9FT72] MKKSPLPVQNLGAKGKQRKCGREALVKLLRWNFGHPDFRGNQLEAIEAILSGRDCFCLMPTGGGKSMCYQIPALAKTGIVLVISPLIALMENQVMALKEKGIAAEFLSSTQTSQLRNKIHEDLDRGQPSIRLLYVTPELIATSGFMSKLKKIHGRGLLNLIAVDEAHCISSWGHDFRPSYRKLSSLRNQLQDVPILALTATAIPKVQKDVIDSLNLQNPLVLKSSFNRPNIYYEVRYKDLMDDAYADLCDVLKSAGDVCAIVYCLERTTCDDLSTHLSKNGISCAAYHAGLNNKLRSSVLDDWICSKIQVVVATVAFGMGIDRKDVRIVCHFNIPKSMEAFYQESGRAGRDQLPSRSLLYYGVDDRKRMEFILSIAESKKLQSSDSQHGLSKKSTSDFNLMVEYCEGSGCRRKKILESFGEEVSASLCKKSCDACKHPNLVAKYLVELTTAIAVRQRNGFSKILSSSTDAFENENFSEFWNRNDEASGSEEDISDSEDGFEVAKGISRSKFSKKTGINEKIELLQRAEENYYRNKAHDKQANKPDKNAISGALRESSKQRLLDALKQAHQRLGDLKYVYFFHAHFSFNGLGKQTWKMMYHHHTCNAGLILKHHLPSLKMSALRNMGRVGNLFITRKWRVR >KJB43245 pep chromosome:Graimondii2_0_v6:7:18534829:18540845:1 gene:B456_007G190100 transcript:KJB43245 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 3 [Source:Projected from Arabidopsis thaliana (AT4G35740) UniProtKB/Swiss-Prot;Acc:Q9FT72] MENQVMALKEKGIAAEFLSSTQTSQLRNKIHEDLDRGQPSIRLLYVTPELIATSGFMSKLKKIHGRGLLNLIAVDEAHCISSWGHDFRPSYRKLSSLRNQLQDVPILALTATAIPKVQKDVIDSLNLQNPLVLKSSFNRPNIYYEVRYKDLMDDAYADLCDVLKSAGDVCAIVYCLERTTCDDLSTHLSKNGISCAAYHAGLNNKLRSSVLDDWICSKIQVVVATVAFGMGIDRKDVRIVCHFNIPKSMEAFYQESGRAGRDQLPSRSLLYYGVDDRKRMEFILSIAESKKLQSSDSQHGLSKKSTSDFNLMVEYCEGSGCRRKKILESFGEEVSASLCKKSCDACKHPNLVAKYLVELTTAIAVRQRNGFSKILSSSTDAFENENFSEFWNRNDEASGSEEDISDSEDGFEVAKGISRSKFSKKTGINEKIELLQRAEENYYRNKAHDKQANKPDKNAISGALRESSKQRLLDALKQAHQRLGDLKVDFEASSTILENECFKKYGKSGKSFYYSQVASKVRWLSTTSSAAEITNQIGTGITSPLENITRKEEPSRTVSAMLHDERKKEVNKVIGEQRCGDIESKTSVKASTPQMQTKLPAIPSFSQFVNSRKSKEMQQPKASEEKEHHHSPNKHTKKRMRLK >KJB43247 pep chromosome:Graimondii2_0_v6:7:18535093:18540661:1 gene:B456_007G190100 transcript:KJB43247 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 3 [Source:Projected from Arabidopsis thaliana (AT4G35740) UniProtKB/Swiss-Prot;Acc:Q9FT72] MKKSPLPVQNLGAKGKQRKCGREALVKLLRWNFGHPDFRGNQLEAIEAILSGRDCFCLMPTGGGKSMCYQIPALAKTGIVLVISPLIALMENQVMALKEKGIAAEFLSSTQTSQLRNKIHEDLDRGQPSIRLLYVTPELIATSGFMSKLKKIHGRGLLNLIAVDEAHCISSWGHDFRPSYRKLSSLRNQLQDVPILALTATAIPKVQKDVIDSLNLQNPLVLKSSFNRPNIYYEVRYKDLMDDAYADLCDVLKSAGDVCAIVYCLERTTCDDLSTHLSKNGISCAAYHAGLNNKLRSSVLDDWICSKIQVVVATVAFGMGIDRKDVRIVCHFNIPKSMEAFYQESGRAGRDQLPSRSLLYYGVDDRKRMEFILSIAESKKLQSSDSQHGLSKKSTSDFNLMVEYCEGSGCRRKKILESFGEEVSASLCKKSCDACKHPNLVAKYLVELTTAIAVRQRNGFSKILSSSTDAFENENFSEFWNRNDEASGSEEDISDSEDGFEVAKGISRSKFSKKTGINEKIELLQRAEENYYRNKAHDKQVSAHILQSYEQ >KJB43246 pep chromosome:Graimondii2_0_v6:7:18534829:18540845:1 gene:B456_007G190100 transcript:KJB43246 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 3 [Source:Projected from Arabidopsis thaliana (AT4G35740) UniProtKB/Swiss-Prot;Acc:Q9FT72] MKKSPLPVQNLGAKGKQRKCGREALVKLLRWNFGHPDFRGNQLEAIEAILSGRDCFCLMPTGGGKSMCYQIPALAKTGIVLVISPLIALMENQVMALKEKGIAAEFLSSTQTSQLRNKIHEDLDRGQPSIRLLYVTPELIATSGFMSKLKKIHGRGLLNLIAVDEAHCISSWGHDFRPSYRKLSSLRNQLQDVPILALTATAIPKVQKDVIDSLNLQNPLVLKSSFNRPNIYYEVRYKDLMDDAYADLCDVLKSAGDVCAIVYCLERTTCDDLSTHLSKNGISCAAYHAGLNNKLRSSVLDDWICSKIQVVVATVAFGMGIDRKDVRIVCHFNIPKSMEAFYQESGRAGRDQLPSRSLLYYGVDDRKRMEFILSIAESKKLQSSDSQHGLSKKSTSDFNLMVEYCEGSGCRRKKILESFGEEVSASLCKKSCDACKHPNLVAKYLVELTTAIAVRQRNGFSKILSSSTDAFENENFSEFWNRNDEASGSEEDISDSEDGFEVAKGISRSKFSKKTGINEKIELLQRAEENYYRNKAHDKQANKPDKNAISGALRESSKQRLLDALKQAHQRLGDLKVDFEASSTILENECFKKYGKSGKSFYYSQVASKVRWLSTTSSAAEITNQIGTGITSPLENITRKEEPSRTVSAMLHDERKKEVNKVIGEQRCGDIESKTSVKASTPQMQTKLPAIPSFSQFVNSRKSKEMQQPKASEEKEHHHSPNKHTKKRMRLK >KJB45187 pep chromosome:Graimondii2_0_v6:7:50432674:50434352:-1 gene:B456_007G294300 transcript:KJB45187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPYILLVFIFLPFANSIHFKFPSIFNFGDSNSDTGELISAGIESLEPPHGQSYFQTPSGRYCDGRLIIDFLMDAMDLPFLNAYLDSIGLPSFHKGCNFAAAGSTIQPATAQSVSPFSFGVQVAQFVRFKARVLELLAKGKRLGKYLPAQDYFQKALYMFDIGQNDLAGAFYSKTLDQVLASIPTILIEFETGIKALYDQGARNFWIHNTGPLGCLAQNVAKFGTDASSLDEQGCVRKHNQAAKIFNLQLHALTKKLQGLYTDSNFTYVDIYTIKSNLIANYSKLGFEQPKMACCGFGGPPLNYDSRISCGKTKVINGETVTAKACNDSSEYVNWDGIHYSEAANQYVSSQILTGKYSDPPFSDKMPFLLSLKF >KJB45188 pep chromosome:Graimondii2_0_v6:7:50432377:50435416:-1 gene:B456_007G294300 transcript:KJB45188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTNTFLLQITTLFCLLFPVANSVVFNYPAVFNFGDSNSDTGELVAALGDILDLPNGQTYFKTPSGRFCDGRLTIDFLMDAMDLPFLNAYLDSIGLPSFHKGCNFAAAGSTIQPATAQSVSPFSFGVQVAQFVRFKARVLELLAKGKRLGKYLPAQDYFQKALYMFDIGQNDLAGAFYSKTLDQVLASIPTILIEFETGIKALYDQGARNFWIHNTGPLGCLAQNVAKFGTDASSLDEQGCVRKHNQAAKIFNLQLHALTKKLQGLYTDSNFTYVDIYTIKSNLIANYSKLGFEQPKMACCGFGGPPLNYDSRISCGKTKVINGETVTAKACNDSSEYVNWDGIHYSEAANQYVSSQILTGKYSDPPFSDKMPFLLSLKF >KJB45329 pep chromosome:Graimondii2_0_v6:7:51470030:51472728:1 gene:B456_007G301600 transcript:KJB45329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKFSSEDVSSQNQVKASVQRKIRQSIAEEYPGLEPVLDDLLPKKAPLIVVKCQNHLNLVLVNSVPLFFNIRDGPYMPTLRLLHQYPNIMKKFQVDRGAIRFVLAGANIMCPGLTSPGGALDDEVEAETPVAIMAEGKQHALAIGFTKMSAKDIKAINKGIGVDNMHYLNDGLWKMEKLE >KJB42228 pep chromosome:Graimondii2_0_v6:7:11979869:11982807:-1 gene:B456_007G143300 transcript:KJB42228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQSIAFKPLQFPFPPRLHPPNAIPSRRLFPLHLNTTAYSPCSASSSRSFHIPLPTHPPRSLPSSNSTKNAALKTVDVATLGNLCVDIVLNVPKLPPSSKGARKAIMEQLSSSPPDKQYWEAGGNCNVAIAAARLGLNCITIGYVGNEIYGKFLLDVLRDEGIRIVGMNEEADVVNSPSASHQTLLCWVLVDPLQKHGFCSPADFFEEPAFSWMSTLSEEVKRGIKRSRILFCNGYDFDELCPGLILSAVDYAIEAGTSVFFDPGPRGKSLLRGTVEEQKALRHFLRMSDVLLLTSDEAESLTGIANPILAGQELLRTGVRTKWVVVKMGPRGSILITTSNITCASAFKVKVMDTVGCGDSFVAAIAFGFIHNIPLVTTLAFANAVGAATAMGCGAGRNVAALKQVVELMEAPNLNEDDEFWNELLGEHLDSQEVTFLSKMILNGSNGRMNRVTFQKAVSELLPKLKSSQLEGTLSC >KJB42229 pep chromosome:Graimondii2_0_v6:7:11979969:11982619:-1 gene:B456_007G143300 transcript:KJB42229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQSIAFKPLQFPFPPRLHPPNAIPSRRLFPLHLNTTAYSPCSASSSRSFHIPLPTHPPRSLPSSNSTKNAALKTVDVATLGNLCVDIVLNVPKLPPSSKGARKAIMEQLSSSPPDKQYWEAGGNCNVAIAAARLGLNCITIGYVGNEIYGKFLLDVLRDEGIRIVGMNEEADVVNSPSASHQTLLCWVLVDPLQKHGFCSPADFFEEPAFSWMSTLSEEVKRGIKRSRILFCNGYDFDELCPGLILSAVDYAIEAGTSVFFDPGPRGKSLLRGTVEEQKALRHFLRMSDVLLLTSDEVCGTSFH >KJB42230 pep chromosome:Graimondii2_0_v6:7:11979969:11982619:-1 gene:B456_007G143300 transcript:KJB42230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQSIAFKPLQFPFPPRLHPPNAIPSRRLFPLHLNTTAYSPCSASSSRSFHIPLPTHPPRSLPSSNSTKNAALKTVDVATLGNLCVDIVLNVPKLPPSSKGARKAIMEQLSSSPPDKQYWEAGGNCNVAIAAARLGLNCITIGYVGNEIYGKFLLDVLRDEGIRIVGMNEEADVVNSPSASHQTLLCWVLVDPLQKHGFCSPADFFEEPAFSWMSTLSEEVKRGIKRSRILFCNGYDFDELCPGLILSAVDYAIEAGTSVFFDPGPRGKSLLRGTVEEQKALRHFLRMSDVLLLTSDEAESLTGIANPILAGQELLRTGVRTKWVVVKMGPRGSILITTSNITCASAFKVSESHGHRRLWR >KJB42231 pep chromosome:Graimondii2_0_v6:7:11981398:11982526:-1 gene:B456_007G143300 transcript:KJB42231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQSIAFKPLQFPFPPRLHPPNAIPSRRLFPLHLNTTAYSPCSASSSRSFHIPLPTHPPRSLPSSNSTKNAALKTVDVATLGNLCVDIVLNVPKLPPSSKGARKAIMEQLSSSPPDKQYWEAGGNCNVAIAAARLGLNCITIGYVGNEIYGKFLLDVLRDEGIRIVGMNEEADVVNSPSASHQTLLCWVLVDPLQKHGFCSPADFFEEPAFSWMSTLSEEVKRGIKRSRILFCNGYDFDELCPGLILSAVDYAIEAGTSVFFDPGPRGKSLLRGTVEEQKALRHFLRMSDVLLLTSDEVCGTSFH >KJB46318 pep chromosome:Graimondii2_0_v6:7:59410769:59415313:1 gene:B456_007G362300 transcript:KJB46318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMSVPPSQRKQESGSMKRAPISKPPFTLSEIKKAIPPHCFQRSLIRSFSYLVYDFILVSIFYYVATTYFRNLPQPLSFVAWPIYWALQGSVLTGVWVIAHECGHHAFSDYQWIDDTVGLILHSSLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKKRSSIRWWAKYLNNPPGRFVTITIQLTLGWPLYLAFNVAGRPYEGFACHYNPYGPIYNDRERLQIYISDVGVLAVTYGLYRLVLAKGLAWVICVYGVPLLIVNAFLVMITYLQHTHPALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHIAHHLFSTMPHYHAMEATKAIKPILGEYYSFDGTPVYKAIFREAKECIYVEPDEGEQSSRGVFWFRNKI >KJB46321 pep chromosome:Graimondii2_0_v6:7:59410769:59415313:1 gene:B456_007G362300 transcript:KJB46321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMSVPPSQRKQESGSMKRAPISKPPFTLSEIKKAIPPHCFQRSLIRSFSYLVYDFILVSIFYYVATTYFRNLPQPLSFVAWPIYWALQGSVLTGVWVIAHECGHHAFSDYQWIDDTVGLILHSSLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKKRSSIRWWAKYLNNPPGRFVTITIQLTLGWPLYLAFNVAGRPYEGFACHYNPYGPIYNDRERLQIYISDVGVLAVTYGLYRLVLAKGLAWVICVYGVPLLIVNAFLVMITYLQHTHPALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHIAHHLFSTMPHYHAMEATKAIKPILGEYYSFDGTPVYKAIFREAKECIYVEPDEGEQSSRGVFWFRNKI >KJB46319 pep chromosome:Graimondii2_0_v6:7:59411651:59415313:1 gene:B456_007G362300 transcript:KJB46319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMSVPPSQRKQESGSMKRAPISKPPFTLSEIKKAIPPHCFQRSLIRSFSYLVYDFILVSIFYYVATTYFRNLPQPLSFVAWPIYWALQGSVLTGVWVIAHECGHHAFSDYQWIDDTVGLILHSSLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKKRSSIRWWAKYLNNPPGRFVTITIQLTLGWPLYLAFNVAGRPYEGFACHYNPYGPIYNDRERLQIYISDVGVLAVTYGLYRLVLAKGLAWVICVYGVPLLIVNAFLVMITYLQHTHPALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHIAHHLFSTMPHYHAMEATKAIKPILGEYYSFDGTPVYKAIFREAKECIYVEPDEGEQSSRGVFWFRNKI >KJB46320 pep chromosome:Graimondii2_0_v6:7:59411336:59415313:1 gene:B456_007G362300 transcript:KJB46320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMSVPPSQRKQESGSMKRAPISKPPFTLSEIKKAIPPHCFQRSLIRSFSYLVYDFILVSIFYYVATTYFRNLPQPLSFVAWPIYWALQGSVLTGVWVIAHECGHHAFSDYQWIDDTVGLILHSSLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKKRSSIRWWAKYLNNPPGRFVTITIQLTLGWPLYLAFNVAGRPYEGFACHYNPYGPIYNDRERLQIYISDVGVLAVTYGLYRLVLAKGLAWVICVYGVPLLIVNAFLVMITYLQHTHPALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHIAHHLFSTMPHYHAMEATKAIKPILGEYYSFDGTPVYKAIFREAKECIYVEPDEGEQSSRGVFWFRNKI >KJB43946 pep chromosome:Graimondii2_0_v6:7:27005780:27007775:1 gene:B456_007G225300 transcript:KJB43946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLDNIPSTPGKYKPDKAFRFNSSAASSSLSKLTLYSTLFLSVLLFFLLLLSSPSSPPSPRRHLSSGSHHTSLSLSHKLIRKSARPRSTTGHTVLVTGAAGFVGTHVSLALKRRGDGVLGLDNFNHYYDPTLKRARQKILEKAGVFIVEGDINDKGLLQQLFDAVLFTHVMHLAAQAGVRYAMQNPGSYVHSNIAGFVNLLEVSKSANPQPAIIWASSSSVYGLNSKVLFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDIMKGKTITVYESPDKGSVARDFTYIDDIVKGCLGALDTAKKSTGSGGKKRGPAQLRIFNLGNTSPVPVSTLVSILEKILKVKAKKKVMPLPRNGDVEFTHANITLAMTELGYKPATDLEAGLKKFVRWYFSFYSGSKKKSS >KJB41731 pep chromosome:Graimondii2_0_v6:7:9190462:9193683:1 gene:B456_007G117300 transcript:KJB41731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNFHTKKVEMNYLNFLYSVSRCLLPSILTYTCLLYHLTPLMEKLQNLLLLQLLLLLSLSSAYTPPDKYFINCGSNSNVSGSGGRNFVGDLNSGVSFFVGQSSPVPYANPSSSQLYQSARIYRRPSFYEFVINESGTYFVRLHFFVSSSDSNLATAKFNVSASGFWLLSDFTLKNSISSPVIKDFLVTINVKRFRIYFIPSHGSNLAFVNAIEVFLTPEDFIPDTASRVTPAGSRISFDGLPSQVLHTLFRINVGGLTLTPENDTLWRNWIPDDSFLLNPMAARNSDFFPDSPNYREGGATEYTAPSPVYRTAKEMNIDESRQLNFFNVTWSFNVSKSSSHFVRVHFCDIISVSLNVTIFDLYIYNKFSVRINPYDKMGQLATPFYYDFVVDSDESGIMNISIGPRSDSQNQTAFLNGLEIMQLMKKSDFVSWPGKPESNKTSLFAIVGSIGGGSFVIILVAIVLLSLKRRKVKPGQSSSWPFSGPFYARSSSYNRMSEKTSNMLPSNLNLALRLSYHEIEQSTKNFDSNLVIGEGGFGKVYQGMFRGMKVAVKRSEPGHGQGLLEFQTEIVVLSQIRHRHLVSLIGYCDERFEMILVYEFMEQGTLRDHLYYSTADLEKSYSARSEFSWKQRLEICIGAAKGLNYLHTGAAGGIIHRDVKSTNILLDEQFVAKVADFGLSKSGIPDVEHSVDVKGTFGYLDPEYFMSLQLTDKSDVYSFGVVLLEVLCARPAVINSNRREEVNLAEWGMYWLRKGQLDNIIDPILVDTINPNSFRKFAETTEKCLKVYGSERPIMRDVLWDLEYALQLQLTPINRGPLEDSITNASLEFSMPVLHQLPSNSFPAVDEDNTTLVFDDTSDVTASEVFTELRIGDSR >KJB41358 pep chromosome:Graimondii2_0_v6:7:7432492:7435976:-1 gene:B456_007G100500 transcript:KJB41358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNGEKEENIPRGNEWEVVSLTASAYAAAPGPKEVETKDDNKGDSYEVGEAETSHALFMSGHFVFPPSEHENLPLEPENSSERVGKDVVHELGVVEEGGRSRTKEEEEDWSLRGLNVHEEFSGMQFFDKKHGTEFDEGTTLQGLDLIDKGQSLYSAATFGSFHSEEALGGTTTFGEDATVSELIEASEQGLGFPSDIPQSPKPQDDKCDASDLPCEAWWKRRAVSLYVHAKETNTFWSVFIAAAVMGLVILGQRWQQERWQALQLKWQLSINNETGRVLDSIYRLKEVIVGGHRRGSFVRGTFPGDS >KJB41359 pep chromosome:Graimondii2_0_v6:7:7432492:7436190:-1 gene:B456_007G100500 transcript:KJB41359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNGEKEENIPRGNEWEVVSLTASAYAAAPGPKEVETKDDNKGDSYEVGEAETSHALFMSGHFVFPPSEHENLPLEPENSSERVGKDVVHELGVVEEGGRSRTKEEEEDWSLRGLNVHEEFSGMQFFDKKHGTEFDEGTTLQGLDLIDKGQSLYSAATFGSFHSEEALGGTTTFGEDATVSELIEASEQGLGFPSDIPQSPKPQDDKCDASDLPCEAWWKRRAVSLYVHAKETNTFWSVFIAAAVMGLVILGQRWQQERWQALQLKWQLSINNEKTGRVLDSIYRLKEVIVGGHRRGSFVRGTFPGDS >KJB41357 pep chromosome:Graimondii2_0_v6:7:7432492:7435887:-1 gene:B456_007G100500 transcript:KJB41357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNGEKEENIPRGNEWEVVSLTASAYAAAPGPKEVETKDDNKGDSYEVGEAETSHALFMSGHFVFPPSEHENLPLEPENSSERVGKDVVHELGVVEEGGRSRTKEEEEDWSLRGLNVHEEFSGMQFFDKKHGTEFDEGTTLQGLDLIDKGQSLYSAATFGSFHSEEALGGTTTFGEDATVSELIEASEQGLGFPSDIPQSPKPQDDKCDASDLPCEAWWKRRAVSLYVHAKETNTFWSVFIAAAVMGLVILGQRWQQERWQALQLKWQLSINNEKTGRVLDSIYRLKEVIVGGHRRGSFVRGTFPGDS >KJB41360 pep chromosome:Graimondii2_0_v6:7:7432845:7435804:-1 gene:B456_007G100500 transcript:KJB41360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNGEKEENIPRGNEWEVVSLTASAYAAAPGPKEVETKDDNKGDSYEVGEAETSHALFMSGHFVFPPSEHENLPLEPENSSERVGKDVVHELGVVEEGGRSRTKEEEEDWSLRGLNVHEEFSGMQFFDKKHGTEFDEGTTLQGLDLIDKGQSLYSAATFGSFHSEEALGGTTTFGEDATVSELIEASEQGLGFPSDIPQSPKPQDDKCDASDLPCEAWWKRRAVSLYVHAKETNTFWSVFIAAAVMGLVILGQRWQQERWQALQLKWQLSINNEKTGRVLDSIYRLKEVIVGGHRRGSFVRGTFPGDS >KJB42971 pep chromosome:Graimondii2_0_v6:7:16520771:16523833:1 gene:B456_007G177400 transcript:KJB42971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSPKLGLSVDGNDVKYISGLSTILVASIQEAKDKISQIEYIFCSQLYPNFQSKCKGLEKIYAEAKKEAEDAWKKKENEFNLLLEELELERKKVVEENRSFLLEKEKLQKEHEEKMRQLVVKLQSQETKIEELKGELMLKCKEVDEGMELHSKLVQLVQAKASVIADKGKELKEHEEKTNVLLSDVNNLRKKVEVLQRELGEKTQEVADGKKLAENLLKKVESQAFDVMHNEEQLINCNKEKKLLEANFEKLKENYKELHVALGKKTDEVEEGRKSQEQLLRQIDLEGSENLKNKQQLEENEKIKEQLLGKVKSLELKINELQAAKLSRSGNDARHAVEERDLCEKLLKQIEAKASELVAEKKKKRDLLDAYKRLKSQYNYLCRKNGLTTENMSFSNKLEDESDSARHHDKSKPSLDAENRILKTPMVVFGTKSMKDVIGMNGDLEDEKGVKPIETSTSHSSRKCPSSVKSNPIVGAKRPASGWRDTRSHQGQARHDPHDDFLDTPLENIRGNLEKAMKKEAGDPLVQDDMNVDSSDDETHDVSVDKKQQKQETPFQMVDKGSFKYVEPVRKKAEREKLKGYECNQCKKFYDAVLSKGAEGNEDHNKNFRCEHHDGVSRHRYKYVPPMTPEGFWNIGFESEM >KJB45587 pep chromosome:Graimondii2_0_v6:7:52809788:52810668:1 gene:B456_007G314100 transcript:KJB45587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAAQTLFILFFTLFSAFFIKINGEFSRQSINMSTKRMEKITRLHFYFHDIVDGKHPTAMQIIRLPNKTATSFGTTFMVDDPLTEKPKPTSKLVGRAQGIYAFASQSDFGLLMVMNFAFSEGIYNGSAISILGRNAVLDAVREMPIVGGSGIFRFARGYALAKTVWLNKNGDAIVEYNVTVFHL >KJB42618 pep chromosome:Graimondii2_0_v6:7:14051676:14052667:1 gene:B456_007G160300 transcript:KJB42618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTLQKLTSQIHRLPSLSSQILTRSSATLTSSPSSSKKVADRLVKLFAIDPEGQKREVVGLAGQTLLRALTNSGLIDPDSHRLEEIDACSAECEVNIAQEWLEKLPPRTYDEEYVLVRNSRGRILNKHSRLGCQVVLTPQLQGMVVAVPEAKPWDIP >KJB41875 pep chromosome:Graimondii2_0_v6:7:10031162:10033796:1 gene:B456_007G125600 transcript:KJB41875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWIMQFSGFFSAAMMMIVLSPSLQSFPPAEAIRSSHLDSYIRLPSFQVSISPDSQDDRFSFRKAFEFRNADECGFADHKTTGVCDPTLVHVAITLDVQYLRGSIAAVHSILQHSLCPENVFFHFLVSETDMETLVRSTFPQLKFKVYYFDPEIVRNLISSSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVFVDNISKLWSTNLRSRTIGAPEYCHANFTKYFTNSFWSDDRFSGTFRGRKPCYFNTGVMVIDLVRWRRAGFTRRIERWMEIQKSNRIYELGSLPPFLLVFAGRVAPIEHRWNQHGLGGDNVRGSCRDLHPGPISLLHWSGSGKPWLRLDSKRPCPLDALWAPYDLYRHPH >KJB41879 pep chromosome:Graimondii2_0_v6:7:10031178:10033796:1 gene:B456_007G125600 transcript:KJB41879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWIMQFSGFFSAAMMMIVLSPSLQSFPPAEAIRSSHLDSYIRLPSFQVSISPDSQDDRFSFRKAFEFRNADECGFADHKTTGVCDPTLVHVAITLDVQYLRGSIAAVHSILQHSLCPENVFFHFLVSETDMETLVRSTFPQLKFKVYYFDPEIVRNLISSSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVFVDNISKLWSTNLRSRTIGAPEYCHANFTKYFTNSFWSDDRFSGTFRGRKPCYFNTGVMVIDLVRWRRAGFTRRIERWMEIQKSNRIYELGSLPPFLLVFAGRVAPIEHRWNQHGLGGDNVRGSCRDLHPGPISLLHWSGSGKPWLRLDSKRPCPLDALWAPYDLYRHPH >KJB41877 pep chromosome:Graimondii2_0_v6:7:10031178:10034719:1 gene:B456_007G125600 transcript:KJB41877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWIMQFSGFFSAAMMMIVLSPSLQSFPPAEAIRSSHLDSYIRLPSFQVSISPDSQDDRFSFRKAFEFRNADECGFADHKTTGVCDPTLVHVAITLDVQYLRGSIAAVHSILQHSLCPENVFFHFLVSETDMETLVRSTFPQLKFKVYYFDPEIVRNLISSSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVFVDNISKLWSTNLRSRTIGAPEYCHANFTKYFTNSFWSDDRFSGTFRGRKPCYFNTGVMVIDLVRWRRAGFTRRIERWMEIQKSNRIYELGSLPPFLLVFAGRVAPIEHRWNQHGLGGDNVRGSCRDLHPGPISLLHWSGSGKPWLRLDSKRPCPLDALWAPYDLYRHPH >KJB41876 pep chromosome:Graimondii2_0_v6:7:10031178:10033796:1 gene:B456_007G125600 transcript:KJB41876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWIMQFSGFFSAAMMMIVLSPSLQSFPPAEAIRSSHLDSYIRLPSFQVSISPDSQDDRFSFRKAFEFRNADECGFADHKTTGVCDPTLVHVAITLDVQYLRGSIAAVHSILQHSLCPENVFFHFLVSETDMETLVRSTFPQLKFKVYYFDPEIVRNLISSSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVFVDNISKLWSTNLRSRTIGAPEYCHANFTKYFTNSFWSDDRFSGTFRGRKPCYFNTGVMVIDLVRWRRAGFTRRIERWMEIQKSNRIYELGSLPPFLLVFAGRVAPIEHRWNQHGLGGDNVRGSCRDLHPGPISLLHWSGSGKPWLRLDSKRPCPLDALWAPYDLYRHPH >KJB41878 pep chromosome:Graimondii2_0_v6:7:10031473:10033611:1 gene:B456_007G125600 transcript:KJB41878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWIMQFSGFFSAAMMMIVLSPSLQSFPPAEAIRSSHLDSYIRLPSFQVSISPDSQDDRFSFRKAFEFRNADECGFADHKTTGVCDPTLVHVAITLDVQYLRGSIAAVHSILQHSLCPENVFFHFLVSETDMETLVRSTFPQLKFKVYYFDPEIVRNLISSSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVFVDNISKLWSTNLRSRTIGAPEYCHANFTKYFTNSFWSDDRFSGTFRGRKPCYFNTGVMVIDLVRWRRAGFTRRIERWMEIQKSNRIYELGSLPPFLLVFAGRVAPIEHRWNQHGLGGDNVRGSCRDLHPGPISLLHWSGSGKPWLRLDSKRPCPLDALWAPYDLYRHPH >KJB45308 pep chromosome:Graimondii2_0_v6:7:51238830:51241854:1 gene:B456_007G300200 transcript:KJB45308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIKVDPVDDHTMVIPETEISAQKPHRTLRVASLDIFRGLTVALMILVDDAGGEWPMIGHAPWHGCNLADFVMPFFLFIVGMAIPLALKRIPSKGKAVKKVVFRTLKLLFWGLLLQGGFSHAPDKLTYGVDMQMIRFCGILQRIAFAYLVVALAEIFLKDAQSNDIAAGYCSVFRLYCWHWLLGACILILYLAMLYGIYVPDWQFAVHDKESAVYGRVFTVDCNVRGKLNPPCNAVGYIDREILGINHLYLKPAWRRAKACTENSPYEGPFKNDAPSWCHAPFEPEGILSSISAVLSTIIGVHFGHVLVHLKGHSERLKQWIMMGFALLILGIVLHFTHAIPLNKQLYTFSYVCVTSGAAALVFSAIYILVDIWGLKYMFVPLKWIGMNAMLVYVMAAEGIFAGFINGWYYEDPHNTLVYWIEKHIFIGVWNSRRVGILLYVIFAEILFWAIIAGILHRFGIYWKL >KJB45309 pep chromosome:Graimondii2_0_v6:7:51239009:51241792:1 gene:B456_007G300200 transcript:KJB45309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIKVDPVDDHTMVIPETEISAQKPHRTLRVASLDIFRGLTVALMILVDDAGGEWPMIGHAPWHGCNLADFVMPFFLFIVGMAIPLALKRIPSKGKAVKKVVFRTLKLLFWGLLLQGGFSHAPDKLTYGVDMQMIRFCGILQRIAFAYLVVALAEIFLKDAQSNDIAAGYCSVFRLYCWHWLLGACILILYLAMLYGIYVPDWQFAVHDKESAVYGRVFTVDCNVRGKLNPPCNAVGYIDREILGINHLYLKPAWRRAKACTENSPYEGPFKNDAPSWCHAPFEPEGILSSISAVLSTIIGVHFGHVLVHLKVSVSMHGPWFSIPGSSMKFFIAGSFRKTEAMDHDGICSPHSRNCSAFYSWLIYGV >KJB43981 pep chromosome:Graimondii2_0_v6:7:27465906:27470382:-1 gene:B456_007G227600 transcript:KJB43981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGAERMCMPAPTVDQFVEAVKETVLANKRWVPPPGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGVAPINLIVEHELHRATPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDCVHKKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIDVARSQGFQVEERFVPVDELLDADEVFCTGTAVVVSPVGSITYKGKRVPYGVDGFGAVAQQLYSVLTRLQMGLIDDKMNWTVELS >KJB43979 pep chromosome:Graimondii2_0_v6:7:27465758:27473937:-1 gene:B456_007G227600 transcript:KJB43979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNAVLATLQPNYDILSPSRFYSSSASAAATFLPVFYPQRTRFSAPQYLKLDKQVLPSASGRYVHEVKSPFKNAAVLSDSYSEASELADIEWDNLGFGLLPTDYMYMMKCSQGGNFSKGELQRFGNIELNPSAGVLNYGQGLFEGLKAYRKEDGNILLFRPEENALRMRQGAERMCMPAPTVDQFVEAVKETVLANKRWVPPPGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGVAPINLIVEHELHRATPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDCVHKKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIDVARSQGFQVEERFVPVDELLDADEVFCTGTAVVVSPVGSITYKGKRVPYGVDGFGAVAQQLYSVLTRLQMGLIDDKMNWTVELS >KJB43980 pep chromosome:Graimondii2_0_v6:7:27466205:27473766:-1 gene:B456_007G227600 transcript:KJB43980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNAVLATLQPNYDILSPSRFYSSSASAAATFLPVFYPQRTRFSAPQYLKLDKQVLPSASGRYVHEVKSPFKNAAVLSDSYSSEASELADIEWDNLGFGLLPTDYMYMMKCSQGGNFSKGELQRFGNIELNPSAGVLNYGQGLFEGLKAYRKEDGNILLFRPEENALRMRQGAERMCMPAPTVDQFVEAVKETVLANKRWVPPPGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGVAPINLIVEHELHRATPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDCVHKKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIDVARSQGFQVEERFVPVDELLDADEVFCTGTAVVVSPVGSITYKGKRVPYGVDGFGAVAQQLYSVLTRLQMGLIDDKMNWTVELS >KJB43982 pep chromosome:Graimondii2_0_v6:7:27465906:27473847:-1 gene:B456_007G227600 transcript:KJB43982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMKLSLLLRMLLFYPILIGGNFSKGELQRFGNIELNPSAGVLNYGQGLFEGLKAYRKEDGNILLFRPEENALRMRQGAERMCMPAPTVDQFVEAVKETVLANKRWVPPPGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGVAPINLIVEHELHRATPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDCVHKKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIDVARSQGFQVEERFVPVDELLDADEVFCTGTAVVVSPVGSITYKGKRVPYGVDGFGAVAQQLYSVLTRLQMGLIDDKMNWTVELS >KJB43983 pep chromosome:Graimondii2_0_v6:7:27465907:27473847:-1 gene:B456_007G227600 transcript:KJB43983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNAVLATLQPNYDILSPSRFYSSSASAAATFLPVFYPQRTRFSAPQYLKLDKQVLPSASGRYVHEVKSPFKNAAVLSDSYSSEASELADIEWDNLGFGLLPTDYMYMMKCSQGGNFSKGELQRFGNIELNPSAGVLNYGQGLFEGLKAYRKEDGNILLFRPEENALRMRQGAERMCMPAPTVDQFVEAVKETVLANKRWVPPPGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGVAPINLIVEHELHRATPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDCVHKKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIDVARSQGFQVEERFVPVDELLDADEVFCTGTAVVVSPVGSITYKGKRVPYGVDGFGAVAQQLYSVLTRLQMGLIDDKMNWTVELRIL >KJB39747 pep chromosome:Graimondii2_0_v6:7:1983857:1989372:1 gene:B456_007G029000 transcript:KJB39747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSIIEEIKTFKVLALCEELVEACDEISWRTPTKIQADAMPHGLGDLCGFLTAAFTLPILHALLECHSKQGYKCAPVFFALVLSQTRSAIKQLVGGVDLMQQQIALGKQPHIIVGTPGRLMDHLTNTKGFSLSMLKYLADKLLNEDFEKALHDILYVKKLQRACLTNPVKIEAEQKYSTVDTLKQHFRFVAAKNKDCFHVYILIQMSGCTSMDRNVKAVFISGQMTQANRLETLNKFKSGQYNVLVCTDVAGRGLDIPSVDMVVNYDIPTNPKVHIHQVGRTARAGRSGLAISLVNQYELEEEVMQYYEEVADSRRKAQTKLKEMRGTRRRRGRDDSDDVGRYLTSKG >KJB42880 pep chromosome:Graimondii2_0_v6:7:15800452:15805031:1 gene:B456_007G172100 transcript:KJB42880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPTAALSSSSSLCLFPFSSYSSPKKTQLGFCPSFPFTRLINVTPTRRLMTCSAVSIEKETLISHRPHSFLRETDGLDDGSVRSRFLRMIMEVQDSVCGALEAVDGAGKFKEDAWTRPGGGGGISRVLQDGDVFEKAGVNISVVYGVMPPEAYRAAKANVTDQKPGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYIFEEDVKHFHSIQKKACDKFDPSFYPRFKKWCDDYFYIKNVRIPWFLHTYPLLRKGRIHHSLRATRHGNNCEGAATLNSTWYMIEVQRLD >KJB42882 pep chromosome:Graimondii2_0_v6:7:15800452:15805031:1 gene:B456_007G172100 transcript:KJB42882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPTAALSSSSSLCLFPFSSYSSPKKTQLGFCPSFPFTRLINVTPTRRLMTCSAVSIEKETLISHRPHSFLRETDGLDDGSVRSRFLRMIMEVQDSVCGALEAVDGAGKFKEDAWTRPGGGGGISRVLQDGDVFEKAGVNISVVYGVMPPEAYRAAKANVTDQKPGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYIFEEDVKHFHSIQKKACDKFDPSFYPRFKKWCDDYFYIKHRDERRGLGGIFFDDLNDNDQEMLLSFATECANSVVPAYVPIVEKRKNTPFTESHKAWQQLRRGRYIEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHVSFLPSFSFFPSLQGKNIPIICK >KJB42881 pep chromosome:Graimondii2_0_v6:7:15800452:15805031:1 gene:B456_007G172100 transcript:KJB42881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPTAALSSSSSLCLFPFSSYSSPKKTQLGFCPSFPFTRLINVTPTRRLMTCSAVSIEKETLISHRPHSFLRETDGLDDGSVRSRFLRMIMEVQDSVCGALEAVDGAGKFKEDAWTRPGGGGGISRVLQDGDVFEKAGVNISVVYGVMPPEAYRAAKANVTDQKPGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYIFEEDVKHFHSIQKKACDKFDPSFYPRFKKWCDDYFYIKHRDERRGLGGIFFDDLNDNDQEMLLSFATECANSVVPAYVPIVEKRKNTPFTESHKAWQQLRRGRYIEFNLVSYLPITKLERF >KJB42879 pep chromosome:Graimondii2_0_v6:7:15800416:15805089:1 gene:B456_007G172100 transcript:KJB42879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPTAALSSSSSLCLFPFSSYSSPKKTQLGFCPSFPFTRLINVTPTRRLMTCSAVSIEKETLISHRPHSFLRETDGLDDGSVRSRFLRMIMEVQDSVCGALEAVDGAGKFKEDAWTRPGGGGGISRVLQDGDVFEKAGVNISVVYGVMPPEAYRAAKANVTDQKPGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYIFEEDVKHFHSIQKKACDKFDPSFYPRFKKWCDDYFYIKHRDERRGLGGIFFDDLNDNDQEMLLSFATECANSVVPAYVPIVEKRKNTPFTESHKAWQQLRRGRYIEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPKEGGEEWKLLDACMNPKEWI >KJB39847 pep chromosome:Graimondii2_0_v6:7:2286486:2290897:1 gene:B456_007G033500 transcript:KJB39847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSLPFLSSRAMAELVKDKESGVAAAEGGKMDIEHSRTFLEARSEEELLSGIKKEVEARRLPPNVAAGMEEFYRNYKNAVFQSGDPAAAETVLSNMAVALDLMLLDVEDPFVFQPYHKALREPFDYYMFGQNYIRPLIDFRNSYVGNLSLFYEIEEKLKQGHNVVLFSNHQTEADPAVIALLLEKTNPHIAENLIYVAGDRVITDPLCKPFSMGRNLICVYSKKHMYDVPELADMKRKANIRSLKEMALLLRTGSKLVWIAPSGGRDRPDPVTGEWNPAPFDSSSVDNMRRLIESSGAPGHMYPLALLCYDIMPPPSKVEKEIGERRIISFHGAGLSVAPEVAANCEKSEEVKDVYTQALYKSVTEQYNVLKSAIHGKQGLEASTAGIALSQPWN >KJB39845 pep chromosome:Graimondii2_0_v6:7:2286486:2290010:1 gene:B456_007G033500 transcript:KJB39845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSLPFLSCTFSSTYPFSISLNSSFSSLIPFQRVKLFGSTSKATTRSFFLTARAMAELVKDKESGVAAAEGGKMDIEHSRTFLEARSEEELLSGIKKEVEARRLPPNVAAGMEEFYRNYKNAVFQSGDPAAAETVLSNMAVALDLMLLDVEDPFVFQPYHKALREPFDYYMFGQNYIRPLIDFRNSYVGNLSLFYEIEEKLKQGHNVVLFSNHQTEADPAVIALLLEKTNPHIAENLIYVAGDRVITDPLCKPFSMGRNLICVYSKKHMYDVPELADMKRKANIRSLKEMALLLRTGSKLVWIAPSGGRDRPDPVTGEWNPAPFDSSSVDNMRRLIESSGAPGHMYPLALLCYDIMPPPSKVEKEIGERRIISFHGAGLSVAPEVAANCEKSEEVGCIGLCYVMLYI >KJB39848 pep chromosome:Graimondii2_0_v6:7:2286486:2290897:1 gene:B456_007G033500 transcript:KJB39848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSLPFLSCTFSSTYPFSISLNSSFSSLIPFQRVKLFGSTSKATTRSFFLTARAMAELVKDKESGVAAAEGGKMDIEHSRTFLEARSEEELLSGIKKEVEARRLPPNVAAGMEEFYRNYKNAVFQSGDPAAAETVLSNMAVALDLMLLDVEDPFVFQPYHKALREPFDYYMFGQNYIRPLIDFRNSYVGNLSLFYEIEEKLKQGHNVVLFSNHQTEADPAVIALLLEKTNPHIAENLIYVAGDRVITDPLCKPFSMGRNLICVYSKKHMYDVPELADMKRKANIRSLKEMALLLRTGSKLVWIAPSGGRDRPDPVTGEWNPAPFDSSSVDNMRRLIESSGAPGHMYPLALLCYDIMPPPSKVEKEIGERRIISFHGAGLSVAPEVAANCEKSEEVKDVYTQALYKSVTEQYNVLKSAIHGKQGLEASTAGIALSQPWN >KJB39844 pep chromosome:Graimondii2_0_v6:7:2286280:2290897:1 gene:B456_007G033500 transcript:KJB39844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVKDKESGVAAAEGGKMDIEHSRTFLEARSEEELLSGIKKEVEARRLPPNVAAGMEEFYRNYKNAVFQSGDPAAAETVLSNMAVALDLMLLDVEDPFVFQPYHKALREPFDYYMFGQNYIRPLIDFRNSYVGNLSLFYEIEEKLKQGHNVVLFSNHQTEADPAVIALLLEKTNPHIAENLIYVAGDRVITDPLCKPFSMGRNLICVYSKKHMYDVPELADMKRKANIRSLKEMALLLRTGSKLVWIAPSGGRDRPDPVTGEWNPAPFDSSSVDNMRRLIESSGAPGHMYPLALLCYDIMPPPSKVEKEIGERRIISFHGAGLSVAPEVAANCEKSEEVKDVYTQALYKSVTEQYNVLKSAIHGKQGLEASTAGIALSQPWN >KJB39846 pep chromosome:Graimondii2_0_v6:7:2286486:2290862:1 gene:B456_007G033500 transcript:KJB39846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSLPFLSCTFSSTYPFSISLNSSFSSLIPFQRVKLFGSTSKATTRSFFLTARAMAELVKDKESGVAAAEGGKMDIEHSRTFLEARSEEELLSGIKKEVEARRLPPNVAAGMEEFYRNYKNAVFQSGDPAAAETVLSNMAVALDLMLLDVEDPFVFQPYHKALREPFDYYMFGQNYIRPLIDFRNSYVGNLSLFYEIEEKLKQGHNVVLFSNHQTEADPAVIALLLEKTNPHIAENLIYVAGDRVITDPLCKPFSMGRNLICVYSKKHMYDVPELADMKRKANIRSLKEMALLLRTGSKLVWIAPSGGRDRPDPVTGEWNPAPFDSSSVDNMRRLIESSGAPGHMYPLALLCYDIMPPPSKVWKKKLEKEES >KJB46641 pep chromosome:Graimondii2_0_v6:7:60973315:60974818:1 gene:B456_007G380200 transcript:KJB46641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISFNRCIRDGDLIIVYERHDTMKAVKVCENSVLQNRFCVFKHSDWIGKPFGSIIFSNRGGFIYLLALTPEFWILVLSHMTQILYIADISFVIMYLEVVPGCLVLESGTGSGSSGTCVYL >KJB39343 pep chromosome:Graimondii2_0_v6:7:590658:592274:-1 gene:B456_007G007400 transcript:KJB39343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPSFSVKSELSVKADPDAMQRWVLAFCIIRFDLEQGQLIEECYPPGCLTQEEELEVAFSSFPDSVSQHQNRSSIHDCIFFFRFQRHKHSKQGNGTSSEISEIDDKETSSTPDEVKSIRRSRSTDISKSSKYLYGYVFNRQRHDERLKRGGEQKSVVILSHGPYYSVFRPLLQIMGPLYFDIGRKAIEHIAGYVSLWPSPVPGKLMELPIGNAMLKVNLPPAHSLPLESGISYEESASSMAPFLPSNPSVPQGLFHDSDIFGTFRGLLLQLWLLWELLLIGEPILIIAPTPPQCCEAVASLVSLVAPLLCSVDFRPYFTIHDPDFAQLNALQEGDKYPPMVLGVTNLFFLKALRYIPHIVSVGSPAPSSSRVTIASRSTGRIPAKQEGFGLQQLSLKKFSPSSLFNAVKLRRDGPLCLMTEHKEAIWSTYVATTKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRATTPSEGSSPYADPPPLPPFNADDFLMSLSARGVGKFLSKRMRSNWLDLYR >KJB39342 pep chromosome:Graimondii2_0_v6:7:587761:593140:-1 gene:B456_007G007400 transcript:KJB39342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPSFSVKSELSVKADPDAMQRWVLAFCIIRFDLEQGQLIEECYPPGCLTQEEELEVAFSSFPDSVSQHQNRSSIHDCIFFFRFQRHKHSKQGNGTSSEISEIDDKETSSTPDEVKSIRRSRSTDISKSSKYLYGYVFNRQRHDERLKRGGEQKSVVILSHGPYYSVFRPLLQIMGPLYFDIGRKAIEHIAGYVSLWPSPVPGKLMELPIGNAMLKVNLPPAHSLPLESGISYEESASSMAPFLPSNPSVPQGLFHDSDIFGTFRGLLLQLWLLWELLLIGEPILIIAPTPPQCCEAVASLVSLVAPLLCSVDFRPYFTIHDPDFAQLNALQEGDKYPPMVLGVTNLFFLKALRYIPHIVSVGSPAPSSSRVTIASRSTGRIPAKQEGFGLQQLSLKKFSPSSLFNAVKLRRDGPLCLMTEHKEAIWSTYVATTKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRATTPSEGSSPYADPPPLPPFNADDFLMSLSARGVGKFLSKRMRSNWLDLYRRFLKGPNFLPWFQRRLAIAENEQHRLWRQARMKTDIHLLITKMPELEIVDCFDAIERHLLGEMQLQQSGRAISDSATMCQKLKGDLQAVFNVLPNDMQQLLLLNPQRAALLQGSSSPELTKLPGRPSIQVGVVSSNSPR >KJB39344 pep chromosome:Graimondii2_0_v6:7:587796:593140:-1 gene:B456_007G007400 transcript:KJB39344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPSFSVKSELSVKADPDAMQRWVLAFCIIRFDLEQGQLIEECYPPGCLTQEEELEVAFSSFPDSVSQHQNRSSIHDCIFFFRFQRHKHSKQGNGTSSEISEIDDKETSSTPDEVKSIRRSRSTDISKSSKYLYGYVFNRQRHDERLKRGGEQKSVVILSHGPYYSVFRPLLQIMGPLYFDIGRKAIEHIAGYVSLWPSPVPGKLMELPIGNAMLKVNLPPAHSLPLESGISYEESASSMAPFLPSNPSVPQGLFHDSDIFGTFRGLLLQLWLLWELLLIGEPILIIAPTPPQCCEAVASLVSLVAPLLCSVDFRPYFTIHDPDFAQLNALQEGDKYPPMVLGVTNLFFLKALRYIPHIVSVGSPAPSSSRVTIASRSTGRIPAKQEGFGLQQLSLKKFSPSSLFNAVKLRRDGPLCLMTEHKEAIWSTYVATTKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRATTPSEARGVGKFLSKRMRSNWLDLYRRFLKGPNFLPWFQRRLAIAENEQHRLWRQARMKTDIHLLITKMPELEIVDCFDAIERHLLGEMQLQQSGRAISDSATMCQKLKGDLQAVFNVLPNDMQQLLLLNPQRAALLQGSSSPELTKLPGRPSIQVGVVSSNSPR >KJB41475 pep chromosome:Graimondii2_0_v6:7:7961375:7965558:-1 gene:B456_007G106100 transcript:KJB41475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWYIAAFLLTVLTSSQGILTTLSQSNGKYKYDYATVPFLAEVFKFATLMYLDTSTYQIMGNLKIVTTGILFRLFLKKKLSNLQWMAIILLAVGTTMSQVQGCGEASCDSLFSAPIQGYMLGVLSACLSALAGVYTEFLMKQNNDSLYWQNVQLYTFGAILNMARLVVDDFRAGYEKGPWWQRLFNGYSVTTWMVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSVFLFSFKPTLQLFLGIIICMMSLHMYFAPPSMLVGLPPTVRSDPDSLVIVSDDHKAES >KJB41474 pep chromosome:Graimondii2_0_v6:7:7961401:7965552:-1 gene:B456_007G106100 transcript:KJB41474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWYIAAFLLTVLTSSQGILTTLSQSNGKYKYDYATVPFLAEVFKLIISSVFLWRECKKLPPPKMTTDWKTVRLFPIPSVIYLIHNNVQFATLMYLDTSTYQIMGNLKIVTTGILFRLFLKKKLSNLQWMAIILLAVGTTMSQVQGCGEASCDSLFSAPIQGYMLGVLSACLSALAGVYTEFLMKQNNDSLYWQNVQLYTFGAILNMARLVVDDFRAGYEKGPWWQRLFNGYSVTTWMVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSVFLFSFKPTLQLFLGIIICMMSLHMYFAPPSMLVGLPPTVRSDPDSLVIVSDDHKAES >KJB41477 pep chromosome:Graimondii2_0_v6:7:7962200:7965387:-1 gene:B456_007G106100 transcript:KJB41477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWYIAAFLLTVLTSSQGILTTLSQSNGKYKYDYATVPFLAEVFKLIISSVFLWRECKKLPPPKMTTDWKTVRLFPIPSVIYLIHNNVQFATLMYLDTSTYQIMGNLKIVTTGILFRLFLKKKLSNLQWMAIILLAVGTTMSQVQGCGEASCDSLFSAPIQGYMLGVLSACLSALAGVYTEFLMKQNNDSLYWQNVQLYTFGAILNMARLVVDDFRAGYEKGPWWQRLFNGYSVTTWMVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSVFLFSFKPTLQF >KJB41472 pep chromosome:Graimondii2_0_v6:7:7961419:7965552:-1 gene:B456_007G106100 transcript:KJB41472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWYIAAFLLTVLTSSQGILTTLSQSNGKYKYDYATVPFLAEVFKLIISSVFLWRECKKLPPPKMTTDWKTVRLFPIPSVIYLIHNNVQFATLMYLDTSTYQIMGNLKIVTTGILFRLFLKKKLSNLQWMAIILLAVGTTMSQVQGCGEASCDSLFSAPIQGYMLGVLSACLSALAGVYTEFLMKQNNDSLYWQNVQLYTFGAILNMARLVVDDFRAGYEKGPWWQRLFNGYSVTTWMVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSVFLFSFKPTLQLFLGIIICMMSLHMYFAPPSMLVGLPPTVRSDPDSLVIVSDDHKAES >KJB41478 pep chromosome:Graimondii2_0_v6:7:7961813:7964643:-1 gene:B456_007G106100 transcript:KJB41478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIILLAVGTTMSQVQGCGEASCDSLFSAPIQGYMLGVLSACLSALAGVYTEFLMKQNNDSLYWQNVQLYTFGAILNMARLVVDDFRAGYEKGPWWQRLFNGYSVTTWMVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSVFLFSFKPTLQLFLGIIICMMSLHMYFAPPSMLVGLPPTVRSDPDSLVIVSDDHKAES >KJB41473 pep chromosome:Graimondii2_0_v6:7:7961314:7965654:-1 gene:B456_007G106100 transcript:KJB41473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWYIAAFLLTVLTSSQGILTTLSQSNGKYKYDYATVPFLAEVFKIMGNLKIVTTGILFRLFLKKKLSNLQWMAIILLAVGTTMSQVQGCGEASCDSLFSAPIQGYMLGVLSACLSALAGVYTEFLMKQNNDSLYWQNVQLYTFGAILNMARLVVDDFRAGYEKGPWWQRLFNGYSVTTWMVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSVFLFSFKPTLQLFLGIIICMMSLHMYFAPPSMLVGLPPTVRSDPDSLVIVSDDHKAES >KJB41476 pep chromosome:Graimondii2_0_v6:7:7961419:7965537:-1 gene:B456_007G106100 transcript:KJB41476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDWKTVRLFPIPSVIYLIHNNVQFATLMYLDTSTYQIMGNLKIVTTGILFRLFLKKKLSNLQWMAIILLAVGTTMSQVQGCGEASCDSLFSAPIQGYMLGVLSACLSALAGVYTEFLMKQNNDSLYWQNVQLYTFGAILNMARLVVDDFRAGYEKGPWWQRLFNGYSVTTWMVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSVFLFSFKPTLQLFLGIIICMMSLHMYFAPPSMLVGLPPTVRSDPDSLVIVSDDHKAES >KJB43949 pep chromosome:Graimondii2_0_v6:7:27046228:27047158:1 gene:B456_007G225500 transcript:KJB43949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATYSSSSSSSSSALQGTGGFKLISQGQHPFHGSLHSVRKPLAKPWKKPIAPLPPTPPKVYRVDPVNFRDLVQKLTGAPQFMSQSHQTPSSSSAQRLQRMAPSPLKIAASSVSEEQVSAPLDLASGFGHTKSQNQQISDNASNSSLGLNLSPSSYNWCNFSILSPGTLSSLDLSTVP >KJB40314 pep chromosome:Graimondii2_0_v6:7:4043390:4044964:1 gene:B456_007G057100 transcript:KJB40314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDDACNTGLVLGLGFSSTPETPFKADNQTPRKSTAKVAPVKSLEPFLTLGLSGDSYGVTVTKKVDVNNKGGGYLHHRHEDSPPTAAAGDLYRPGSPPSAVSSFSSGRVKRERDHSCEEVEVEKNSCRVSDEDEDGVNARKKLRLTKQQSALLEESFKQHSTLNPNQKQALARQLNLKPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTNENRRLQKELQELRSLKTAAQPFCMNMPAATLTMCPSCERIGGVAGDGNSKNPFSMPSKPRFYKPVTNPSAAC >KJB43903 pep chromosome:Graimondii2_0_v6:7:26505280:26508172:-1 gene:B456_007G222700 transcript:KJB43903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMEEFITKQTCQGANKVFPAPPPIPGPATNCRRKGSLMKNGSAIRGNNCAATATRALWDRLFDGGYKTDVIIKTDNGGIICAHSNILGMASPVLRGMLKQAKGFGHRRPISIHIHGVPQDAVRAFIRFLYSSSYEKEEMKEFVLPLLVMSHTYVVPQLKRACEQQLEHGLLTIENVVDAFQLSLLCDAPRLTLITHRMIVMTCKAVRETEGWKAMKKSNPALEKELLESMMDEEHERDIMMQKEKNRKSNEQRIYLQLCEAMEALVHIFRDGCRTIGPHDKDLKEHQTPCDYGDCCKGLELLVRHFASCKLRVPGGCIHCKRMWQLLELHSRLCTDSNSCRVPLCRNLKEKIRKQSKKDEIKWKILVKRILRTKRIGGAPIFVSSNSNSSP >KJB45298 pep chromosome:Graimondii2_0_v6:7:51094695:51097613:1 gene:B456_007G299300 transcript:KJB45298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPNSKMVKHDGNNGRDRISELPDDALMGILSFLPPEEAVLKTSFLSHRWKQLWESLPVSGFNFHVPLPVCCRGGHVKNLKDLRDGKYMTVEDMKRECSKFVEWVNNVMISHQGSTIDELRVRFYLDKDSQRYIDKWIEIAMRKQVKKLELDFPSLLHRPSNAYYPFPKECFPGIEFLTSLCLVNVGVSDEAMEFVLSNCPMLETLHLKNSPLLIHPKVSCSSLRLKHLNISHSESIQTIEISAPNLVSFEYHKLRKVPFHIWYAPKLTELDYSNWLDFNIAYLVSQLYNYLPQLVTLRLSLFLMDLPRFPKFTSLRNLTCITASIDNRLLLLKSLIEASPLLHKFKLLIKDHKEFKSGIEEVGKEEAQPNKYLKEVEIVGFLGQPVEVEFVTYLLKIAIKLEKIVIHGKLERMTQNLAHQLMKNRPGAELVLL >KJB40688 pep chromosome:Graimondii2_0_v6:7:5209643:5211975:1 gene:B456_007G073500 transcript:KJB40688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMDSFWQLGDYLRGQSKASEDNHWLMVASKLAEQTRTKGERLSNLDLSKGPAEIRTRDKFGFREDNKFENLNFNMLNLDSKIGDNVSKSSFRNGTYNINAVYQKNNSNSLGNLAGNKYSGNNHSSKYVNNNSSTSNNNSNENSANNAVDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAATFGGSNIDPTAWEDKKCKGESRFPAQVRICIRKLCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSP >KJB40683 pep chromosome:Graimondii2_0_v6:7:5209079:5211975:1 gene:B456_007G073500 transcript:KJB40683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMDSFWQLGDYLRGQSKASEDNHWLMVASKLAEQTRTKGERLSNLDLSKGPAEIRTRDKFGFREDNKFENLNFNMLNLDSKIGDNVSKSSFRNGTYNINAVYQKNNSNSLGNLAGNKYSGNNHSSKYVNNNSSTSNNNSNENSANNAVDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAATFGGSNIDPTAWEDKKCKGESRFPAQVRICIRKLCKALEEDAFRPVLHHYDGPKFRLELSVPELKSFISFFCRRWIY >KJB40682 pep chromosome:Graimondii2_0_v6:7:5209079:5210920:1 gene:B456_007G073500 transcript:KJB40682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMDSFWQLGDYLRGQSKASEDNHWLMVASKLAEQTRTKGERLSNLDLSKGPAEIRTRDKFGFREDNKFENLNFNMLNLDSKIGDNVSKSSFRNGTYNINAVYQKNNSNSLGNLAGNKYSGNNHSSKYVNNNSSTSNNNSNENSANNAVDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGNCHFLLPTFLFHSFCFSVLEISYSVCLKTPILIDVIIT >KJB40685 pep chromosome:Graimondii2_0_v6:7:5209079:5211975:1 gene:B456_007G073500 transcript:KJB40685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMDSFWQLGDYLRGQSKASEDNHWLMVASKLAEQTRTKGERLSNLDLSKGPAEIRTRDKFGFREDNKFENLNFNMLNLDSKIGDNVSKSSFRNGTYNINAVYQKNNSNSLGNLAGNKYSGNNHSSKYVNNNSSTSNNNSNENSANNAVDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAATFGGSNIDPTAWEDKKCKGESRFPAQVKICIRKLCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSP >KJB40686 pep chromosome:Graimondii2_0_v6:7:5209054:5212067:1 gene:B456_007G073500 transcript:KJB40686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMDSFWQLGDYLRGQSKASEDNHWLMVASKLAEQTRTKGERLSNLDLSKGPAEIRTRDKFGFREDNKFENLNFNMLNLDSKIGDNVSKSSFRNGTYNINAVYQKNNSNSLGNLAGNKYSGNNHSSKYVNNNSSTSNNNSNENSANNAVDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAATFGGSNIDPTAWEDKKCKGESRFPAQVRICIRKLCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSP >KJB40681 pep chromosome:Graimondii2_0_v6:7:5209212:5211975:1 gene:B456_007G073500 transcript:KJB40681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMDSFWQLGDYLRGQSKASEDNHWLMVASKLAEQTRTKGERLSNLDLSKGPAEIRTRDKFGFREDNKFENLNFNMLNLDSKIGDNVSKSSFRNGTYNINAVYQKNNSNSLGNLAGNKYSGNNHSSKYVNNNSSTSNNNSNENSANNAVDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAATFGGSNIDPTAWEDKKCKGESRFPAQVRICIRKLCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSP >KJB40684 pep chromosome:Graimondii2_0_v6:7:5209079:5211975:1 gene:B456_007G073500 transcript:KJB40684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMDSFWQLGDYLRGQSKASEDNHWLMVASKLAEQTRTKGERLSNLDLSKGPAEIRTRDKFGFREDNKFENLNFNMLNLDSKIGDNVSKSSFRNGTYNINAVYQKNNSNSLGNLAGNKYSGNNHSSKYVNNNSSTSNNNSNENSANNAVDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGGISYMLLFSHLIGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAATFGGSNIDPTAWEDKKCKGESRFPAQVRICIRKLCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSP >KJB40687 pep chromosome:Graimondii2_0_v6:7:5209212:5211975:1 gene:B456_007G073500 transcript:KJB40687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMDSFWQLGDYLRGQSKASEDNHWLMVASKLAEQTRTKGERLSNLDLSKGPAEIRTRDKFGFREDNKFENLNFNMLNLDSKIGDNVSKSSFRNGTYNINAVYQKNNSNSLGNLAGNKYSGNNHSSKYVNNNSSTSNNNSNENSANNAVDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAATFGGSNIDPTAWEDKKCKGESRFPAQVRICIRKLCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQADFP >KJB43301 pep chromosome:Graimondii2_0_v6:7:18895357:18899066:1 gene:B456_007G192800 transcript:KJB43301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTENKTDLHNTENGTLLGSEIQHQCIHIRLTLWRPIDSASSIRYLGFENRRLSLASACATTLACRPTKRKDPKFDE >KJB43143 pep chromosome:Graimondii2_0_v6:7:17906195:17909239:1 gene:B456_007G186600 transcript:KJB43143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVLSSSPKLLNNLRSFIKPLNSASAAAASPLNFDEKPELSTVEKQHPIATTLHQPTTTLDLDDHQKLFASVPTLKLLRSSVNLGLASNETFVDFGMWVMNSRLMETPLLRDIVLKTVKHTFFEHFCAGETTTEAGECVRKIQEAGLRGMLVYAVEHTSDNAGCDRNLEGFLQSVEFAKSLPPSSVSFVIAKITAICPISLLKRMSDLLRWQYKDSSLNLPWKLNTLPIFTDSSPLYHTLQRPQPLTAQEESDLELAHQRLLKLCQKCAQYNVPLTIDAEDTSIQPAIDYFTYSSAIMYNKDDNPIVYGTMQAYLKDARERLFNTARTAEKLGIPMGFKLVRGAYMSSETKLASSLGFDSPVHNTIQDTHACFNDCASFMIEKIADGYGGIVLATHNLESGTVWKT >KJB43142 pep chromosome:Graimondii2_0_v6:7:17906264:17908570:1 gene:B456_007G186600 transcript:KJB43142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVLSSSPKLLNNLRSFIKPLNSASAAAASPLNFDEKPELSTVEKQHPIATTLHQPTTTLDLDDHQKLFASVPTLKLLRSSVNLGLASNETFVDFGMWVMNSRLMETPLLRDIVLKTVKHTFFEHFCAGETTTEAGECVRKIQEAGLRGMLVYAVEHTSDNAGCDRNLEGFLQSVEFAKSLPPSSVSFVIAKITAICPISLLKRMSDLLRWQYKDSSLNLPWKLNTLPIFTDSSPLYHTLQRPQPLTAQEESDLELAHQRLLKLCQKCAQYNVPLTIDAEDTSIQPAIDYFTYSSAIMYNKDDNPIVYGTMQAYLKDARERLFNTARTAEKLGIPMGFKLVRGAYMSSETKLASSLGFDSPVHNTIQDTHACFNDCASFMIEKIADGYGGIVLATHNLESGTVWKT >KJB43145 pep chromosome:Graimondii2_0_v6:7:17906195:17909276:1 gene:B456_007G186600 transcript:KJB43145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVLSSSPKLLNNLRSFIKPLNSASAAAASPLNFDEKPELSTVEKQHPIATTLHQPTTTLDLDDHQKLFASVPTLKLLRSSVNLGLASNETFVDFGMWVMNSRLMETPLLRDIVLKTVKHTFFEHFCAGETTTEAGECVRKIQEAGLRGMLVYAVEHTSDNAGCDRNLEGFLQSVEFAKSLPPSSVSFVIAKITAICPISLLKRMSDLLRWQYKDSSLNLPWKLNTLPIFTDSSPLYHTLQRPQPLTAQEESDLELAHQRLLKLCQKCAQYNVPLTIDAEDTSIQPAIDYFTYSSAIMYNKDDNPIVYGTMQAYLKDARERLFNTARTAEKLGIPMGFKLVRGAYMSSETKLASSLGFDSPVHNTIQDTHACFNDCASFMIEKIADGYGGIVLATHNLESGKLAASKARNLGIEKGNQKLEFAQLYGMSEALSFGLRNAGFQVSKYLPYGPVDMVMPYLLRRAEENRGLLSTSSLDRTLMGKELKRRLKSLQFAKPEMAASAAGSMKIEIGTP >KJB43144 pep chromosome:Graimondii2_0_v6:7:17906195:17909239:1 gene:B456_007G186600 transcript:KJB43144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVLSSSPKLLNNLRSFIKPLNSASAAAASPLNFDEKPELSTVEKQHPIATTLHQPTTTLDLDDHQKLFASVPTLKLLRSSVNLGLASNETFVDFGMWVMNSRLMETPLLRDIVLKTVKHTFFEHFCAGETTTEAGECVRKIQEAGLRGMLVYAVEHTSDNAGCDRNLEGFLQSVEFAKSLPPSSVSFVIAKITAICPISLLKRMSDLLRWQYKDSSLNLPWKLNTLPIFTDSSPLYHTLQRPQPLTAQEESDLELAHQRLLKLCQKCAQYNVPLTIDAEDTSIQPAIDYFTYSSAIMYNKDDNPIVYGTMQAYLKDARERLFNTARTAEKLGIPMGFKLVRGAYMSSETKLASSLGFDSPVHNTIQDTHACFNDCASFMIEKIADGYGGIVLATHNLESGKLAASKARNLGIEKGNQKLEFAQLYGMSEALSFGLRNAGFQVSKYLPYGPVDMVMPYLLRRAEENRGLLSTSSLDRTLMG >KJB41418 pep chromosome:Graimondii2_0_v6:7:7718634:7723950:-1 gene:B456_007G103300 transcript:KJB41418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINESSFSKTICSICYEDLKPIVEDLQCISICGHVFHELCLQQWFEYSSSSTKKYNCPVCKQTCVGHNATRLYFQSVGDQTQSVCSQKLIDREEDAESLRFEVKKLQFKVSGLSESLKRHEEKHDEINEQLCNFRDQARKEMALKNEALREKASIQQKLSSKSEELSKSVLECSKLEQRNLALAKELAVLKLVSDFDLEQGEILKLASLGNEGNSQDVVDNLIRSLSCHKKSYKELMAKCNLLGRGEARLQKKLEKAKSKIDKLKKRVQEMETLIEVKDNEVLRALKALKKTDSKMGDNSDYSNAKNSSKEQQMQQPNLELSGISLKPLPSSKKENTPLDSPKAANYGREGCSSTVVIDDEGNMGEDVYIISSPDLKCRNGSRTSSNIDNATEAAPIKPMFNIKMDTPSLPLSEQGNICFSGGLLGPDGTKRHLGKWCKRGKLLGSTPEQGSTKNSGDLIAVGADGRGGRIKVMRSTNQSSMGDKENSVGAKRLKCGAKQNSLPSRGCLQIEHFFGKTHY >KJB41419 pep chromosome:Graimondii2_0_v6:7:7718030:7723956:-1 gene:B456_007G103300 transcript:KJB41419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINESSFSKTICSICYEDLKPIVEDLQCISICGHVFHELCLQQWFEYSSSSTKKYNCPVCKQTCVGHNATRLYFQSVGDQTQSVCSQKLIDREEDAESLRFEVKKLQFKVSGLSESLKRHEEKHDEINEQLCNFRDQARKEMALKNEALREKASIQQKLSSKSEELSKSVLECSKLEQRNLALAKELAVLKLVSDFDLEQGEILKLASLGNEGNSQDVVDNLIRSLSCHKKSYKELMAKCNLLGRGEARLQKKLEKAKSKIDKLKKRVQEMETLIEVKDNEVLRALKALKKTDSKMGDNSDYSNAKNSSKEQQMQQPNLELSGISLKPLPSSKKENTPLDSPKAANYGREGCSSTVVIDDEGNMGEDVYIISSPDLKCRNGENIIQESATSLPKAVSDVNMEAATVAGFSGSRTSSNIDNATEAAPIKPMFNIKMDTPSLPLSEQGNICFSGGLLGPDGTKRHLGKWCKRGKLLGSTPEQGSTKNSGDLIAVGADGRGGRIKVMRSTNQSSMGDKENSVGAKRLKCGAKQNSLPSRGCLQIEHFFGKTHY >KJB41417 pep chromosome:Graimondii2_0_v6:7:7718733:7723950:-1 gene:B456_007G103300 transcript:KJB41417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINESSFSKTICSICYEDLKPIVEDLQCISICGHVFHELCLQQWFEYSSSSTKKYNCPVCKQTCVGHNATRLYFQSVGDQTQSVCSQKLIDREEDAESLRFEVKKLQFKVSGLSESLKRHEEKHDEINEQLCNFRDQARKEMALKNEALREKASIQQKLSSKSEELSKSVLECSKLEQRNLALAKELAVLKLVSDFDLEQGEILKLASLGNEGNSQDVVDNLIRSLSCHKKSYKELMAKCNLLGRGEARLQKKLEKAKSKIDKLKKRVQEMETLIEVKDNEVLRALKALKKTDSKMGDNSDYSNAKNSSKEQQMQQPNLELSGISLKPLPSSKKENTPLDSPKAANYGREGCSSTVVIDDEGNMGEDVYIISSPDLKCRNGENIIQESATSLPKAVSDVNMEAATVAGFSGSRTSSNIDNATEAAPIKPMFNIKMDTPSLPLSEQGNICFSGGLLGPDGTKRHLGKWCKRGKLLGSTPEQGSTKNSGDLIAVGADGRGGRIKVMRSTNQSSMGDKENSVGAKRLKCGAKQNSLPSRGCLQIEHFFGKTHY >KJB44845 pep chromosome:Graimondii2_0_v6:7:47070367:47074733:-1 gene:B456_007G275700 transcript:KJB44845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRMSVRYVSCRFSSGSGKILSEEEKAAENIYIQMPHRTRPMTALLVFTGLNAVLVSTITPVYDFVCFLPFWERRVCFILLFPLLAFLALTLYM >KJB44846 pep chromosome:Graimondii2_0_v6:7:47070367:47074912:-1 gene:B456_007G275700 transcript:KJB44846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFRTTNSRLIDFSSAAPFSSGSGKILSEEEKAAENIYIQMPHRTRPMTALLVFTGLNAVLVSTITPVYDFVCFLPFWERRVCFILLFPLLAFLALTLYM >KJB44844 pep chromosome:Graimondii2_0_v6:7:47069082:47074912:-1 gene:B456_007G275700 transcript:KJB44844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFRTTNSRLIDFSSAAPFSSGSGKILSEEEKAAENIYIQMPHRTRPMTALLVFTGLNAVLVSTITPVYDFVCFLPFWERRRERRRLERETSSNKFQSS >KJB43881 pep chromosome:Graimondii2_0_v6:7:25761025:25764226:1 gene:B456_007G221600 transcript:KJB43881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLDQEDTAPTTLNMSAKKKELMSTAMKRTSEWIFSQEIPSDVTVQVAGVSFSLHKFPLVSKCGYIRKVVSESNDADVSVIVIPDVPGGAESFELAAKFCYGINFEINTENIAALRCVAEYLEMTEDYAVGSLVERTEAFLNEVALQSLAGAISVLHASENLLPIAEEVKLVGRCIDAIAYLACKESGNDIAMSSTISNSKTVVDWWAEDLAVLRIDIFQRVLIAMIARGFKPYALGPVLMLYAQKALRGLEIFGKGRKKIEPRQEHEKRVVLETIVSLLPKEKNAMSVSFLTVLLRAAIYLETTIACRLDLEKRMALQLGQAVLDDLLIPAYSFTGDTLFDVDTVQRIMMNYLEYETDGSHFGYKEEDGYISPPLSDMERVGKLMESYLAEIASDRNLPVSKFIGLTELIPEQSRITEDGMYRAIDIYLKVQSFM >KJB43880 pep chromosome:Graimondii2_0_v6:7:25760938:25764226:1 gene:B456_007G221600 transcript:KJB43880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLDQEDTAPTTLNMSAKKKELMSTAMKRTSEWIFSQEIPSDVTVQVAGVSFSLHKFPLVSKCGYIRKVVSESNDADVSVIVIPDVPGGAESFELAAKFCYGINFEINTENIAALRCVAEYLEMTEDYAVGSLVERTEAFLNEVALQSLAGAISVLHASENLLPIAEEVKLVGRCIDAIAYLACKESGNDIAMSSTISNSKTVVDWWAEDLAVLRIDIFQRVLIAMIARGFKPYALGPVLMLYAQKALRGLEIFGKGRKKIEPRQEHEKRVVLETIVSLLPKEKNAMSVSFLTVLLRAAIYLETTIACRLDLEKRMALQLGQAVLDDLLIPAYSFTGDTLFDVDTVQRIMMNYLEYETDGSHFGYKEEDGYISPPLSDMERVGKLMESYLAEIASDRNLPVSKFIGLTELIPEQSRITEDGMYRAIDIYLKAHPTITDLERKKVCSLMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDVMNGSMSSGTSPSISSRVNLYPPTDIHPVSDELSSLKRENEDLKLELVKMKMRLKEIERPSSAVPSAASSPMGIIVPSSDKPPLPRKSFMNSVSKKLGRLYPFGVPPSGAKARTRPSKDRRHSIS >KJB43883 pep chromosome:Graimondii2_0_v6:7:25761025:25764226:1 gene:B456_007G221600 transcript:KJB43883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVLCLCSMLRKLLEEIFGKGRKKIEPRQEHEKRVVLETIVSLLPKEKNAMSVSFLTVLLRAAIYLETTIACRLDLEKRMALQLGQAVLDDLLIPAYSFTGDTLFDVDTVQRIMMNYLEYETDGSHFGYKEEDGYISPPLSDMERVGKLMESYLAEIASDRNLPVSKFIGLTELIPEQSRITEDGMYRAIDIYLKAHPTITDLERKKVCSLMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDVMNGSMSSGTSPSISSRVNLYPPTDIHPVSDELSSLKRENEDLKLELVKMKMRLKEIERPSSAVPSAASSPMGIIVPSSDKPPLPRKSFMNSVSKKLGRLYPFGVPPSGAKARTRPSKDRRHSIS >KJB43884 pep chromosome:Graimondii2_0_v6:7:25761025:25764226:1 gene:B456_007G221600 transcript:KJB43884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDYAVGSLVERTEAFLNEVALQSLAGAISVLHASENLLPIAEEVKLVGRCIDAIAYLACKESGNDIAMSSTISNSKTVVDWWAEDLAVLRIDIFQRVLIAMIARGFKPYALGPVLMLYAQKALRGLEIFGKGRKKIEPRQEHEKRVVLETIVSLLPKEKNAMSVSFLTVLLRAAIYLETTIACRLDLEKRMALQLGQAVLDDLLIPAYSFTGDTLFDVDTVQRIMMNYLEYETDGSHFGYKEEDGYISPPLSDMERVGKLMESYLAEIASDRNLPVSKFIGLTELIPEQSRITEDGMYRAIDIYLKAHPTITDLERKKVCSLMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDVMNGSMSSGTSPSISSRVNLYPPTDIHPVSDELSSLKRENEDLKLELVKMKMRLKEIERPSSAVPSAASSPMGIIVPSSDKPPLPRKSFMNSVSKKLGRLYPFGVPPSGAKARTRPSKDRRHSIS >KJB43882 pep chromosome:Graimondii2_0_v6:7:25761345:25764226:1 gene:B456_007G221600 transcript:KJB43882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDYAVGSLVERTEAFLNEVALQSLAGAISVLHASENLLPIAEEVKLVGRCIDAIAYLACKESGNDIAMSSTISNSKTVVDWWAEDLAVLRIDIFQRVLIAMIARGFKPYALGPVLMLYAQKALRGLEIFGKGRKKIEPRQEHEKRVVLETIVSLLPKEKNAMSVSFLTVLLRAAIYLETTIACRLDLEKRMALQLGQAVLDDLLIPAYSFTGDTLFDVDTVQRIMMNYLEYETDGSHFGYKEEDGYISPPLSDMERVGKLMESYLAEIASDRNLPVSKFIGLTELIPEQSRITEDGMYRAIDIYLKAHPTITDLERKKVCSLMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDVMNGSMSSGTSPSISSRVNLYPPTDIHPVSDELSSLKRENEDLKLELVKMKMRLKEIERPSSAVPSAASSPMGIIVPSSDKPPLPRKSFMNSVSKKLGRLYPFGVPPSGAKARTRPSKDRRHSIS >KJB43119 pep chromosome:Graimondii2_0_v6:7:17741884:17742183:-1 gene:B456_007G185000 transcript:KJB43119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLTILLYLLSCSAGSVAQDLWSLPGPDEKNGITSYGFVKNDSDLVHGLLEVEGALVGSSQIEKDCGQFDNDRVTLLLRSEPRNPLYMMQNAFCSIVD >KJB43888 pep chromosome:Graimondii2_0_v6:7:25772747:25774873:-1 gene:B456_007G221800 transcript:KJB43888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLETEMPGRRHFSLVDTLELKSQIERKIGPIKAQKYFNLLTRFLSLKIGKPEFDRLCIGIIGRENVRLHNHLLRSIIRNASLSKNHPSTGNKLEGALSVKAANGYQRSNLKSMCKDFPQSPRKGRTINLRDQNHPSPLGPHGKSRGTVCEDAVPRVQEQQSATELLSLGSRPPMSFEEGEEVDQVAGSPSIHSRSPVRAPLGISLNAKRMRKVPWNRLASASETCHCKGELPDTGSLRKRLEKKLEMEGLNISVDCANLLNSSLDVFMKRLIKPCLELAGSRSGQKLIDQGHNWSTVSLNGMRPLGYAQKQNGSISASMLDFRVAMEINSPLLGVDWPTKLEKVCLHASEE >KJB43889 pep chromosome:Graimondii2_0_v6:7:25773152:25774219:-1 gene:B456_007G221800 transcript:KJB43889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVMNLETEMPGRRHFSLVDTLELKSQIERKIGPIKAQKYFNLLTRFLSLKIGKPEFDRLCIGIIGRENVRLHNHLLRSIIRNASLSKNHPSTGNKLEGALSVKAANGYQRSNLKSMCKDFPQSPRKGRTINLRDQNHPSPLGPHGKSRGTVCEDAVPRVQEQQSATELLSLGSRPPMSFEEGEEVDQVAGSPSIHSRSPVRAPLGISLNAKRMRKVPWNRLASASETCHCKGELPDTGSLRKRLEKKLEMEGLNISVDCANLLNSSLDVFMKRLIKPCLELAGSRSGQKLIDQGHNWSTVSLNGMRPLGYAQKQNGSISASMLDFRVAMEINSPLLGVDWPTKLEKVCLHASEE >KJB45692 pep chromosome:Graimondii2_0_v6:7:53665310:53668300:1 gene:B456_007G321100 transcript:KJB45692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNGVREDTDSRINAELQPRKKLKREVQLWLENVERINGEVQNLNERIGESSTLRRGFHADDVLRRTREVEELIQQGKFQEGLVVDNPQWIGQVLSTRRLSGEGTKARMEEIWKCLMDDEVEKIGVWGMGGVGKTSIMKLINNQLLQEREKFDIVIWITASKEISIAKLQKDIASQIKVTFCGDECETRKAGMLFETLSRKSRFVVILDDIWEPVSLEKVGIPEPSTGIKLVLTTRSLEVCQQMGCRAIKVKPLVEEEAWNLFSEKVGRDILNVTGVKPIAKKIAKRCGGLPLGVITVASSMKGQKQFWFFFFFEKNKLKRHGFLSQASI >KJB45691 pep chromosome:Graimondii2_0_v6:7:53665310:53668209:1 gene:B456_007G321100 transcript:KJB45691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNGVREDTDSRINAELQPRKKLKREVQLWLENVERINGEVQNLNERIGESSTLRRGFHADDVLRRTREVEELIQQGKFQEGLVVDNPQWIGQVLSTRRLSGEGTKARMEEIWKCLMDDEVEKIGVWGMGGVGKTSIMKLINNQLLQEREKFDIVIWITASKEISIAKLQKDIASQIKVTFCGDECETRKAGMLFETLSRKSRFVVILDDIWEPVSLEKVGIPEPSTGIKLVLTTRSLEVCQQMGCRAIKVKPLVEEEAWNLFSEKVGRDILNVTGVKPIAKKIAKRCGGLPLGVITVASSMKGQKQFWFFFFFEKNKLKRHGFLSQASI >KJB42435 pep chromosome:Graimondii2_0_v6:7:13102513:13105950:-1 gene:B456_007G153300 transcript:KJB42435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRSKKSKSKRVSLKQKYKVIRKVKEHHKKKAKEAKKSGLKHKRKVEKDPGIPNDWPFKEQELKALEARRARALEELEQKKAARKERAKKRKMGLLEDDEKELSIEEVKGIDVSTGIVNARDNSDRAFYKELVKVIEASDVILEVLDARDPLGTRCVDMETMVMKSGPDKHLVLLLNKIDLVPREAVEKWLKYLREELPAVAFKCSTQEQRSNLGWKSSKAMKPSNLLQTSDCLGAETLIKLLKNYSRSHEIKKSITVGVIGLPNVGKSSLINSLKRCHVVNVGATPGLTRSMQEVQLDKNVNLLDCPGVVMLKSSGNDASIALRNCKRIEKLDDPVAPVKEILKLCPERLLVTIYKIPGFESVDEFLQNVATVRGKLKKGGIVDVEAAARIILHDWNEGKIPYYTMPPGRNQEEPSEARIVTELGKEFNVDEVYNTESSFIGSLKSADDFHSVQVPPSHPLNFDETILEDNARPLPSTEVDESQEIISDEPMASEEHDAEKAKSKSTTSKQNEKLYAVEGMFNPKMKRAENKRKKKAKNKSTLGGDAMDDEGDYDFGVDYVKGKGQNTCGVPMSGIEVDE >KJB42436 pep chromosome:Graimondii2_0_v6:7:13103164:13105880:-1 gene:B456_007G153300 transcript:KJB42436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRSKKSKSKRVSLKQKYKVIRKVKEHHKKKAKEAKKSGLKHKRKVEKDPGIPNDWPFKEQELKALEARRARALEELEQKKAARKERAKKRKMGLLEDDEKELSIEEVKGIDVSTGIVNARDNSDRAFYKELVKVIEASDVILEVLDARDPLGTRCVDMETMVMKSGPDKHLVLLLNKIDLVPREAVEKWLKYLREELPAVAFKCSTQEQRSNLGWKSSKAMKPSNLLQTSDCLGAETLIKLLKNYSRSHEIKKSITVGVIGLPNVGKSSLINSLKRCHVVNVGATPGLTRSMQEVQLDKNVNLLDCPGVVMLKSSGNDASIALRNCKRIEKLDDPVAPVKEILKLCPERLLVTIYKIPGFESVDEFLQNVATVRGKLKKGGIVDVEAAARIILHDWNEGMHAIYHFSSLSISISIC >KJB42437 pep chromosome:Graimondii2_0_v6:7:13102516:13105880:-1 gene:B456_007G153300 transcript:KJB42437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRSKKSKSKRVSLKQKYKVIRKVKEHHKKKAKEAKKSGLKHKRKVEKDPGIPNDWPFKEQELKALEARRARALEELEQKKAARKERAKKRKMGLLEDDEKELSIEEVKGIDVSTGIVNARDNSDRAFYKELVKVIEASDVILEVLDARDPLGTRCVDMETMVMKSGPDKHLVLLLNKIDLVPREAVEKWLKYLREELPAVAFKCSTQEQRSNLGWKSSKAMKPSNLLQTSDCLGAETLIKLLKNYSRSHEIKKSITVGVIGLPNVGKSSLINSLKRCHVVNVGATPGLTRSMQEVQLDKNVNLLDCPGVVMLKSSGNDASIALRNCKRIEKLDDPVAPVKEILKLCPERLLVTIYKIPGFESVDEFLQNVATVRGKLKKGGIVDVEAAARIILHDWNEGMHAIYHFSSLSISISIC >KJB45490 pep chromosome:Graimondii2_0_v6:7:52228823:52232334:1 gene:B456_007G308700 transcript:KJB45490 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate synthase alpha chain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G09430) UniProtKB/Swiss-Prot;Acc:O80526] MARKKIREYDSKRLLKQHLKRLANIDLQICSAQVTQSTDFTELTNEQPWLSSTRLVVKPDMLFGKRGKSGLVALNLDLAQVAEFVKARLGTEVEMGGCKAPITTFIVEPFVPHEQEYYLSIVSERLGSTISFSECGGIDIEENWDKVKTIFLPTEKPMTLDTCAPLIATLPLEVRGKIGDFIMGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFNKWGNIEFPLPFGRVLSSTESFIHSLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATANPDGRKRALLIGGGIANFTDVAATFNGIIRALREKEAKLKAARMHIYVRRGGPNYQTGLARMRALGEELGVPLEVYGPEATMTGICKQAIDCIMSEA >KJB45487 pep chromosome:Graimondii2_0_v6:7:52228823:52232222:1 gene:B456_007G308700 transcript:KJB45487 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate synthase alpha chain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G09430) UniProtKB/Swiss-Prot;Acc:O80526] MNKSIDIEENWDKVKTIFLPTEKPMTLDTCAPLIATLPLEVRGKIGDFIMGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFNKWGNIEFPLPFGRVLSSTESFIHSLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATANPDGRKRALLIGGGIANFTDVAATFNGIIRALREKEAKLKAARMHIYVRRGGPNYQTGLARMRALGEELGVPLEVYGPEATMTGICKQAIDCIMSEA >KJB45486 pep chromosome:Graimondii2_0_v6:7:52228823:52232222:1 gene:B456_007G308700 transcript:KJB45486 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate synthase alpha chain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G09430) UniProtKB/Swiss-Prot;Acc:O80526] MARKKIREYDSKRLLKQHLKRLANIDLQICSAQVTQSTDFTELTNEQPWLSSTRLVVKPDMLFGKRGKSGLVALNLDLAQVAEFVKARLGTEVEMGGCKAPITTFIVEPFVPHEQEYYLSIVSERLGSTISFSECGGIDIEENWDKVKTIFLPTEKPMTLDTCAPLIATLPLEVRGKIGDFIMGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFNKWGNIEFPLPFGRVLSSTESFIHSLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVRDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATANPDGRKRALLIGGGIANFTDVAATFNGIIRALREKEAKLKAARMHIYVRRGGPNYQTGLARMRALGEELGVPLEVYGPEATMTGICKQAIDCIMSEA >KJB45489 pep chromosome:Graimondii2_0_v6:7:52228823:52232222:1 gene:B456_007G308700 transcript:KJB45489 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate synthase alpha chain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G09430) UniProtKB/Swiss-Prot;Acc:O80526] MARKKIREYDSKRLLKQHLKRLANIDLQICSAQVTQSTDFTELTNEQPWLSSTRLVVKPDMLFGKRGKSGLVALNLDLAQVAEFVKARLGTEVEMGGCKAPITTFIVEPFVPHEQEYYLSIVSERLGSTISFSECGGIDIEENWDKVKTIFLPTEKPMTLDTCAPLIATLPLEVRGKIGDFIMGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFNKWGNIEFPLPFGRVLSSTESFIHSLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATANPDGRKRALLIGGGPNYQTGLARMRALGEELGVPLEVYGPEATMTGICKQAIDCIMSEA >KJB45488 pep chromosome:Graimondii2_0_v6:7:52229020:52231632:1 gene:B456_007G308700 transcript:KJB45488 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate synthase alpha chain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G09430) UniProtKB/Swiss-Prot;Acc:O80526] MARKKIREYDSKRLLKQHLKRLANIDLQICSAQVTQSTDFTELTNEQPWLSSTRLVVKPDMLFGKRGKSGLVALNLDLAQVAEFVKARLGTEVEMGGCKAPITTFIVEPFVPHEQEYYLSIVSERLGSTISFSECGGIDIEENWDKVKTIFLPTEKPMTLDTCAPLIATLPLEVRGKIGDFIMGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFNKWGNIEFPLPFGRVLSSTESFIHSLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATANPDGRKRALLIGGGIANFTDVAATFNGIIRALREKPS >KJB45817 pep chromosome:Graimondii2_0_v6:7:55262738:55270916:1 gene:B456_007G330600 transcript:KJB45817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSNWKNYRGQGLSGTLPLNLTSLPFLQEFDLTRNYLNGTIPPGWGSSTRLIKISLLGNRLTGSIPEELANLRNLTSLVLENNGLSGTLPAALRDLPKIEKLHLGSNYFTGTIPETFASLTSLKEFRISDNNFTGQIPDFIFRNWTNLEKIYIEGSGLSGPIPSINATLLNLTYIIISDLNGAETNFTQPLINASLPKLKRLMMRSCNLIGEIPASFGRFTSIKILDLSFNRLTGEIPNQLSGLNFDNLFLNGNNFTGSVPQWVQKTNEKVDLSYNNFNDTGESSCQISKTVSIARLNNTGIVPCLTSQITCSSEPLHFVHINCGGREITVNDTTYEADFDGAGPSTFYQSTNWAFSSTGIFLSDDRPNDILVLDNRQVSVDGDEKQLYESARLAPSSLTYYAFCLANATYLVNLHFAEIQFTNDRNYSSLGRRIFDVYIQGERVLKDFNIKEKTGGAGIPTVEPFNANVTDGTLEIRFQWTGKGTTSIPERGIYGPLISAISIFDPKYKPGSISVAAVVGIVAGVVFAALLILGILWWNGCLRRKSTLEQDLKGIELQTTSFTLRQIKAATNDFHASNKIGEGGFGPVYKGTLAEGTMVAVKQLSARSKQGNREFVTEIGMISALQHPHLVKLYGCCIEGNQLMLIYEYLENNSLARALFGPQESQSILDWPTRKKICIGIARGLAYLHEESRLKIVHRDIKATNVLLDKNLNPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYALHGHLTEKADVYSFGIVALEIVSGRCNTRIRPKQEPFILLEWALVLKENGNLLEFVDTRIGSDCDKNEVMIMINVALLCTNPTASARPPMSSVVSMLEGKAEVQEYCTDSMSYSSRQKNVETMKKLHRKLEDDDDVDISQTKSMLGDAPWTTSSTSAADLYPVSLTSGYWQNRDSTN >KJB44709 pep chromosome:Graimondii2_0_v6:7:44835414:44837462:1 gene:B456_007G267700 transcript:KJB44709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAIVVNKGDDELIDLPPGFRFHPTDEEIITHYLTEKVMNSNFSASAIGEVDLNKCEPWDLPKKAKMGEKQWYFFCQRDRKYPTGMRTNRATEAGYWKATGKDKEIFKGKGCLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFSYYNLPKGAKDEWVVCRVFDKNNTGTKKSPIPDHHQLLRMNSYGDDFMDYASLPPLMDPTYNSNKPGSSCTFMDGGDNEFKAAINNQTFLQVPPNHPYGSSNIFNPQIPIQNPIVFHQATPTSTYVHQGRTTAGTGFAGNDPANRQCKVEQFSSNQSMVSLSQDTGLSTEINNNEISSVVSKNDMGFGISKSFEDLRCLWDY >KJB45180 pep chromosome:Graimondii2_0_v6:7:50409881:50413437:-1 gene:B456_007G294000 transcript:KJB45180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEIETMSHLSTLQSSSDFLSTTTIIALTIFFSLLCACIIIGHLLEENRWANESITALLLGLCAGAVVLLASKGNSSKILVFSEDLFFLYLLPPIIFNAGFQVKKKQFFKNFTIILMFGIFGTVISFCLISVGAFLLFNRIGVTSLNTQDYLAVGAILSATDTVCTLQVLSQDETPFLYSVIFGEGVVNDATSIVLFNAVQSLDFNNIDAMISLKLLGTFLYLFFTSTILGVVAGLLSAFIIKTLYFGRHSTDREVALMMIMAYLSYMLAELLNLSGILTVFFCGIVMSHYTWHNVTQSSRITTKHAFATMSFIAETFIFLYVGMDALDIDKWKASSASAGTFIAVSSTLFALVLVGRAAFVYPLANFINCIRKRDGSNIAFRKQFIMWWAGLMRGAVTIALSYNQFSNSDDEDTQDSALMITSTIIVVLFSTVVFGSITKPLIEAVLLRHAKPNVSDATDIPSLDDLRLMFIENGEPSDMGAGPRRSSLRLLLTHPTWTVHYLWRKFDDRFMRPVFGGRGFVPFVPGSPTGASDEASRT >KJB45176 pep chromosome:Graimondii2_0_v6:7:50409881:50413313:-1 gene:B456_007G294000 transcript:KJB45176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEIETMSHLSTLQSSSDFLSTTTIIALTIFFSLLCACIIIGHLLEENRWANESITALLLGLCAGAVVLLASKGNSSKILVFSEDLFFLYLLPPIIFNAGFQVKKKQFFKNFTIILMFGIFGTVISFCLISVGAFLLFNRIGVTSLNTQDYLAVGAILSATDTVCTLQVLSQDETPFLYSVIFGEGVVNDATSIVLFNAVQSLDFNNIDAMISLKLLGTFLYLFFTSTILGVVAGLLSAFIIKTLYFGRHSTDREVALMMIMAYLSYMLAELLNLSGILTVFFCGIVMSHYTWHNVTQSSRITTKHAFATMSFIAETFIFLYVGMDALDIDKWKASSASAGTFIAVSSTLFALVLVGRAAFVYPLANFINCIRKRDGSNIAFRKQAS >KJB45178 pep chromosome:Graimondii2_0_v6:7:50409881:50413313:-1 gene:B456_007G294000 transcript:KJB45178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEIETMSHLSTLQSSSDFLSTTTIIALTIFFSLLCACIIIGHLLEENRWANESITALLLGLCAGAVVLLASKGNSSKILVFSEDLFFLYLLPPIIFNAGFQVKKKQFFKNFTIILMFGIFGTVISFCLISVGAFLLFNRIGVTSLNTQDYLAVGAILSATDTVCTLQVLSQDETPFLYSVIFGEGVVNDATSIVLFNAVQSLDFNNIDAMISLKLLGTFLYLFFTSTILGVVAGLLSAFIIKTLYFGRHSTDREVALMMIMAYLSYMLAELLNLSGILTVFFCGIVMSHYTWHNVTQSSRITTKHAFATMSFIAETFIFLYVGMDALDIDKWKASSASAGTFIAVSSTLFALVLVGRAAFVYPLANFINCIRKRDGSNIAFRKQFIMWWAGLMRGAVTIALSYNQFSNSDDEDTQDSALMITSTIIVVLFSTVFGSITKPLIEAVLLRHAKPNVSDATDIPSLDDLRLMFIENGEPSDMGAGPRRSSLRLLLTHPTWTVHYLWRKFDDRFMRPVFGGRGFVPFVPGSPTGASDEASRT >KJB45181 pep chromosome:Graimondii2_0_v6:7:50411885:50413313:-1 gene:B456_007G294000 transcript:KJB45181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEIETMSHLSTLQSSSDFLSTTTIIALTIFFSLLCACIIIGHLLEENRWANESITALLLGLCAGAVVLLASKGNSSKILVFSEDLFFLYLLPPIIFNAGFQVKKKQFFKNFTIILMFGIFGTVISFCLISVGAFLLFNRIGVTSLNTQDYLAVGAILSATDTVCTLQVLSQDETPFLYSVIFGEGVVNDATSIVLFNAVQSLDFNNIDAMISLKLLGTFLYLFFTSTILGVVVSLNIWLLQLLKDFSVNMLSLPLFLPLLFKALSSIHISLTVLVLFHLNLKLL >KJB45179 pep chromosome:Graimondii2_0_v6:7:50410231:50413112:-1 gene:B456_007G294000 transcript:KJB45179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLQHFFWYGLCAGAVVLLASKGNSSKILVFSEDLFFLYLLPPIIFNAGFQVKKKQFFKNFTIILMFGIFGTVISFCLISVGAFLLFNRIGVTSLNTQDYLAVGAILSATDTVCTLQVLSQDETPFLYSVIFGEGVVNDATSIVLFNAVQSLDFNNIDAMISLKLLGTFLYLFFTSTILGVVAGLLSAFIIKTLYFGRHSTDREVALMMIMAYLSYMLAELLNLSGILTVFFCGIVMSHYTWHNVTQSSRITTKHAFATMSFIAETFIFLYVGMDALDIDKWKASSASAGTFIAVSSTLFALVLVGRAAFVYPLANFINCIRKRDGSNIAFRKQFIMWWAGLMRGAVTIALSYNQFSNSDDEDTQDSALMITSTIIVVLFSTVVFGSITKPLIEAVLLRHAKPNVSDATDIPSLDDLRLMFIENGEPSDMGAGPRRSSLRLLLTHPTWTVHYLWRKFDDRFMRPVFGGRGFVPFVPGSPTGASDEASRT >KJB45177 pep chromosome:Graimondii2_0_v6:7:50409881:50413313:-1 gene:B456_007G294000 transcript:KJB45177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEIETMSHLSTLQSSSDFLSTTTIIALTIFFSLLCACIIIGHLLEENRWANESITALLLGLCAGAVVLLASKGNSSKILVFSEDLFFLYLLPPIIFNAGFQVKKKQFFKNFTIILMFGIFGTVISFCLISVGAFLLFNRIGVTSLNTQDYLAVGAILSATDTVCTLQAGLLSAFIIKTLYFGRHSTDREVALMMIMAYLSYMLAELLNLSGILTVFFCGIVMSHYTWHNVTQSSRITTKHAFATMSFIAETFIFLYVGMDALDIDKWKASSASAGTFIAVSSTLFALVLVGRAAFVYPLANFINCIRKRDGSNIAFRKQFIMWWAGLMRGAVTIALSYNQFSNSDDEDTQDSALMITSTIIVVLFSTVVFGSITKPLIEAVLLRHAKPNVSDATDIPSLDDLRLMFIENGEPSDMGAGPRRSSLRLLLTHPTWTVHYLWRKFDDRFMRPVFGGRGFVPFVPGSPTGASDEASRT >KJB45175 pep chromosome:Graimondii2_0_v6:7:50409881:50413313:-1 gene:B456_007G294000 transcript:KJB45175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEIETMSHLSTLQSSSDFLSTTTIIALTIFFSLLCACIIIGHLLEENRWANESITALLLGLCAGAVVLLASKGNSSKILVFSEDLFFLYLLPPIIFNAGFQVKKKQFFKNFTIILMFGIFGTVISFCLISVGAFLLFNRIGVTSLNTQDYLAVGAILSATDTVCTLQVLSQDETPFLYSVIFGEGVVNDATSIVLFNAVQSLDFNNIDAMISLKLLGTFLYLFFTSTILGVVAGLLSAFIIKTLYFGRHSTDREVALMMIMAYLSYMLAELLNLSGILTVFFCGIVMSHYTWHNVTQSSRITTNAGTFIAVSSTLFALVLVGRAAFVYPLANFINCIRKRDGSNIAFRKQFIMWWAGLMRGAVTIALSYNQFSNSDDEDTQDSALMITSTIIVVLFSTVVFGSITKPLIEAVLLRHAKPNVSDATDIPSLDDLRLMFIENGEPSDMGAGPRRSSLRLLLTHPTWTVHYLWRKFDDRFMRPVFGGRGFVPFVPGSPTGASDEASRT >KJB43287 pep chromosome:Graimondii2_0_v6:7:18801384:18802432:-1 gene:B456_007G191900 transcript:KJB43287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLSKWLLKEAGTGVNKTLSDILVCPLSKQALRVCEEPPSLISDSIGVSFPLGFGAYGLCVVWLDKGWDPMFGADRWEDT >KJB43288 pep chromosome:Graimondii2_0_v6:7:18801384:18802591:-1 gene:B456_007G191900 transcript:KJB43288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLSKWLLKEAGTGVNKTLSDILVCPLSKQALRVCEEPPSLISDSIGVSFPIKDGIPCLVPTDGKILDTDDTMKHDNAADDSANNK >KJB43251 pep chromosome:Graimondii2_0_v6:7:18542351:18548692:-1 gene:B456_007G190200 transcript:KJB43251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEDDAESCGSRAVDSLVHVNPRHHRQKLDVFHQVLNRIRHSNYAEANLPGFDNHLWLHFNRLPARYALDVNVERAEDVLTHKRLLHLAEDPANRPAFEVRMVQVYPVFPAQSIDSVHSDSSMQEDAQSAYHSYRQGIHPPPTFGSSSNLEALALQARRYHVEDGGSAVNSTSGPFRPMHEITFSTVDRPKLLSQLTSLLAEIGLNIQEAHAFSTTDGYSLDVFVVDGWPSEEIEELKNALEKEILKSKLQEQSYSRKSSVMTEHVKERVVSLPSCVEIPTDGTDVWEIDARQLKIENRIASGSYADLYRGTYCSQEVAIKVLKPEQITREMLREFSQEVYIMRKIRHKNVVQFIGACTRSPNLCIVTEFMARGSIYDYLHKQRGVFKLPSLLKVALDVSKGMNYLHQNNIIHRDLKTANLLMDENQVVKVADFGVARVQSQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGISLWELLTGELPYGLLTPLQAAVAVVQKSLRPTIPKHTHPRLRELLERCWLQDPSQRPNFSEIIDILKQIAKEVKVFMGN >KJB43249 pep chromosome:Graimondii2_0_v6:7:18542277:18548704:-1 gene:B456_007G190200 transcript:KJB43249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEDDAESCGSRAVDSLVHVNPRHHRQKLDVFHQVLNRIRHSNYAEANLPGFDNHLWLHFNRLPARYALDVNVERAEDVLTHKRLLHLAEDPANRPAFEVRMVQVYPVFPAQSIDSVHSDSSMQEDAQSAYHSYRQGIHPPPTFGSSSNLEALALQARRYHVEDGGSAVNSTSGPFRPMHEITFSTVDRPKLLSQLTSLLAEIGLNIQEAHAFSTTDGYSLDVFVVDGWPSEEIEELKNALEKEILKSKLQEQSYSRKSSVMTEHVKERVVSLPSCVEIPTDGTDVWEIDARQLKIENRIASGSYADLYRGTYCSQEVAIKVLKPEQITREMLREFSQEVYIMRKIRHKNVVQFIGACTRSPNLCIVTEFMARGSIYDYLHKQRGVFKLPSLLKVALDVSKGMNYLHQNNIIHRDLKTANLLMDENQVVKVADFGVARVQSQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGISLWELLTGELPYGLLTPLQAAVAVVQKSLRPTIPKHTHPRLRELLERCWLQDPSQRPNFSEIIDILKQIAKEVADEGEDGCNIKSSDAFFSAVKRRML >KJB43250 pep chromosome:Graimondii2_0_v6:7:18542343:18548702:-1 gene:B456_007G190200 transcript:KJB43250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEDDAESCGSRAVDSLVHVNPRHHRQKLDVFHQVLNRIRHSNYAEANLPGFDNHLWLHFNRLPARYALDVNVERAEDVLTHKRLLHLAEDPANRPAFEVRMVQVYPVFPAQSIDSVHSDSSMQEDAQSAYHSYRQGIHPPPTFGSSSNLEALALQARRYHVEDGGSAVNSTSGPFRPMHEITFSTVDRPKLLSQLTSLLAEIGLNIQEAHAFSTTDGYSLDVFVVDGWPSEEIEELKNALEKEILKSKEQSYSRKSSVMTEHVKERVVSLPSCVEIPTDGTDVWEIDARQLKIENRIASGSYADLYRGTYCSQEVAIKVLKPEQITREMLREFSQEVYIMRKIRHKNVVQFIGACTRSPNLCIVTEFMARGSIYDYLHKQRGVFKLPSLLKVALDVSKGMNYLHQNNIIHRDLKTANLLMDENQVVKVADFGVARVQSQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGISLWELLTGELPYGLLTPLQAAVAVVQKSLRPTIPKHTHPRLRELLERCWLQDPSQRPNFSEIIDILKQIAKEVADEGEDGCNIKSSDAFFSAVKRRML >KJB44890 pep chromosome:Graimondii2_0_v6:7:47566860:47570931:1 gene:B456_007G278000 transcript:KJB44890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSLMRGWIVVPFVTLSSAAFQLTNSDHNLQDVRAKIFPYKRRKISAPEVMPAASPPVKRKERSLSSLVVNTPKVPMQRGLTGRRTKPTTRKRIAAFRGCSFSVEESLKKEDSAEDHPSGSSSPDSFHKISQSKRQDSMAQPSSEHRPNEDTDDVEVMEGKADLWTPLNCLVEAANRKSSKLNSQGSTASMTEQHNGPDCCSHAPEAKPSPQSPAVPDGKLSIHKSKSKEHRNNSVILEEENGTNLIKRPVKRRRLHAEAQKKVAASNRIMLDALGSKWNRKNNPIWFSLVACEGQIGHTSLPQISACYLRIKDGKMPVSFIQKYLVKKLDLSSEAEVEIMCRGQPVLPSLQLHNLVDLWFRTASTAKKVPASVGSSAKDFVMVLSYCRKVQAP >KJB44887 pep chromosome:Graimondii2_0_v6:7:47566585:47571009:1 gene:B456_007G278000 transcript:KJB44887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGHHRVVKVKRETLESCMTCPLCNKLLKEATTISLCLHTFCRKCIYEKLSDEGMDCCPVCDIELGCLPVDKLRPDHNLQDVRAKIFPYKRRKISAPEVMPAASPPVKRKERSLSSLVVNTPKVPMQRGLTGRRTKPTTRKRIAAFRGCSFSVEESLKKEDSAEDHPSGSSSPDSFHKISQSKRQDSMAQPSSEHRPNEDTDDVEVMEGKADLWTPLNCLVEAANRKSSKLNSQGSTASMTEQHNGPDCCSHAPEAKPSPQSPAVPDGKLSIHKSKSKEHRNNSVILEEENGTNLIKRPVKRRRLHAEAQKKVAASNRIMLDALGSKWNRKNNPIWFSLVACEGQIGHTSLPQISACYLRIKDGKMPVSFIQKYLVKKLDLSSEAEVEIMCRGQPVLPSLQLHNLVDLWFRTASTAKKVPASVGSSAKDFVMVLSYCRKVQAP >KJB44889 pep chromosome:Graimondii2_0_v6:7:47566860:47570931:1 gene:B456_007G278000 transcript:KJB44889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGHHRVVKVKRETLESCMTCPLCNKLLKEATTISLCLHTFCRKCIYEKLSDEGMDCCPVCDIELGCLPVDKLRPDHNLQDVRAKIFPYKRRKISAPEVMPAASPPVKRKERSLSSLVVNTPKVPMQRGLTGRRTKPTTRKRIAAFRGCSFSVEESLKKEDSAEDHPSGSSSPDSFHKISQSKRQDSMAQPSSEHRPNEDTDDVEVMEGKADLWTPLNCLVEAANRKSSKLNSQGSTASMTEQHNGPDCCSHAPEAKPSPQSPAVPDGKLSIHKSKSKEHRNNSVILEEENGTNLIKRPVKRRRLHAEAQKKVAASNRIMLDALGSKWNRKNNPIWFSLVACEGQ >KJB44888 pep chromosome:Graimondii2_0_v6:7:47566860:47570016:1 gene:B456_007G278000 transcript:KJB44888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGHHRVVKVKRETLESCMTCPLCNKLLKEATTISLCLHTFCRKCIYEKLSDEGMDCCPVCDIELGCLPVDKLRPDHNLQDVRAKIFPYKRRKISAPEVMPAASPPVKRKERSLSSLVVNTPKVPMQRGLTGRRTKPTTRKRIAAFRGCSFSVEESLKKEDSAEDHPSGSSSPDSFHKISQSKRQDSMAQPSSEHRPNEDTDDVEVMEGKADLWTPLNCLVEAANRKSSKLNSQGSTASMTEQHNGPDCCSHAPEAKPSPQSPAVPDGKLSIHKSKSKEHRNNSVILEEENGTNLIKRPVKRRRLHAEAQKKVAASNRIMLDALGSKWNRKNNPIWFSLVACEGQIGHTSLPQISACYLRIK >KJB45586 pep chromosome:Graimondii2_0_v6:7:52800015:52802501:1 gene:B456_007G314000 transcript:KJB45586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAAQTLFILFFTLFSAFFIKINGEFSRQSINMSIKHMEKITRLHFYFHVIVDGKHPTAMQIIRLPNKTATLVGTTFMVDDPLTEKPKPTSKLVGRAQGISAFASQSDFGLLMVMNFAFSEGIYNGSAISILGQNAVLDAVREMSIVGEYNKFHPIRACITLAKIVWLNKYGDSIVEYNVSVVHL >KJB46406 pep chromosome:Graimondii2_0_v6:7:60009279:60011090:-1 gene:B456_007G367500 transcript:KJB46406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVKRLEGKVALITGGASGIGESTARLFVQHGAKVVIADIHDDLGSSICEQLGGHGIISYVHCDVTCDSDVRNAVHLAVSKYGKLDIMLNNAGVGNLATDIVDTSNEDFKKVMDTNVFGGFLGAKHASRVMIPAKNGCILFTASSLSVWAGFAGHSYVASKHAVVGLAKSLCVELGQYGIRVNCISPHMVATPMLTKAMGVEKEAVESLASKAANLKGKVLTVEDVAAAALYLASDESQYLSGVNLVVDGGYSVTNTSLSMTIESLTS >KJB43465 pep chromosome:Graimondii2_0_v6:7:20517853:20523140:-1 gene:B456_007G201600 transcript:KJB43465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHLALGFLLAVLFNQNLLTVHVEAGDDFVRTRRVHFFLNGNPYFANGFNAYWLMYVASDPSQRPKVSTAFREAAAHGLTVARTWAFSDGGYRPLQYAPGSYNEQMFKGLDFVIAEARKYRIKLILSLANNYESFGGKKQYVNWARSQGQYLTSDDDFFRNPVVKGYYKNHVKTVLNRYNSFTGMHYKDDPTIMAWELMNEPRCTSDPSGRTIQAWIMEMASHVKSIDRNHLLEAGLEGFYGQSTPQKKRLNPSLDIGTDFIANNQIPGIDFATVHSYPDQWLSSSSEQYQLSFLNNWLDAHIRDARIILRKPILLAEFGKSWKDPGFNIYQRDQLFNIVYNKIYWSAKTGGPASGGLFWQLLAGGMESFRDGYEIILSERSSTANVIAQQSHKLDQIRKIFTRRRNVQRWKRARAKRRGGWHALLGHACFATSSDRNMVSTIRAHNLRKLCTVPNIAFIGNKDILVSQTTSINSFRKQHVPNAINMLELNSLLKNLVKTGDLHEARQMFDVMPQRDEVSWTIIISGYVRAMKSSEALLLFSKMWVSPGLSTDPFCLSIALKACALEFNLNYGELLHGYLVKSGFINSVFAGSALLDMYAKFGKIELGIKVFDEMPIKSVVSWTAIITGLVHGGYYKKGLVYLSEMRKSGVEYDSYTLAIVLKACACLGALNFGREIHTHTVKRGFNDTSYVANSLSTMYNKCGKLDYGLRLFDKMNTRDVVSWTSIITTYVQMREDVNAIEAFTRMQEAGVSPNEFTFAAVIASCSGLVRISWGEQLHAHVLRIGLADTLSVANSLMTMYSKCGQISSAAMVFHGMSRRDTISWSTIIAVYSQGGYGEEAFEHLSWMRKEGPKPTQFAFASVLSVCGNMAILEQGRQLHAHVLSIGLEQEEMIQSALVNMYSKCGCIKDAEKVFNEAENYNIVTWTAMINGYADHGYIHETINLFKMLSKVGLKPDSVTFIGLLTACSHAGLADLGFHYFNLMSSEYQIRPSKEHYGCMIDLLCRAGRLTEAEEMIKSMPFHRDDVVWSTLLRACRVQGNVDCGERAAEKLLEMDPNCAGTHITLANIYSAKGKWREAADVRKMMRTKGVMKEPGWSWIKVKDRVSAFVAGERSYPEGEEIYGMLDLLASRVDISVQELGSLLDLED >KJB40803 pep chromosome:Graimondii2_0_v6:7:5513786:5521275:-1 gene:B456_007G077800 transcript:KJB40803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVKDDLYSGSHFKRPFGSSRPESHGQNQMPSGGGGEGGGGGGGGEGGGGGGGGGGMGGAGVGGGISQKLTTNDALTYLKEVREMFQDQKEKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIYGFNTFLPKGYEITLDEDEAPAKKTVQFEEAISFVNKIKKRFQNDERVYKSFLDILNMYRKEHKDINEVYCEVASLFEDHPDLLEEFKRFLPDPSAAPLTQQVPYGQNSAQRYNERSSATATLRQIQMDKRRRDRIITSHADHGISVDHPELDDDKTMMKMQKEQRKRLEKENRDRRTRDLDDPKHDNNRDFNLQRIHDKKRYGRKMEGFASYDDRDTFKSMCNQGFIFCERVKERLCSSDDYQAFLKCLNIYSNGIIKRNDLQNLVTDLLGKHPDLMNEFNQFLERCENTDGLLAGVISKKSLSGDGHASRPWKLEEKDREQKRELEGAKERERCREKYMAKSIQELDLSTCQRCTPSYRLLPDDYPIPSASQRSELGAQVLNDYWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNGINENKINVDSPVRVEDHFTVLNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYLKNHYKSLDHRSFYFKQQDSKNLSAKSLVAEIKELKEKNQNEDDVLMASIAGHRQPLAPHLEYDYLDVGIHEDLYKLIEYSCEEMCSTKELLDKVMRLWTTFLEPMLSVPPRPNGKEGTDDDGKAQNPTVNCTGSSIAECDGSPGADANVNSEPQKGASDGDENSSPELPNSCRNGLTNGGTLAEEEPSGRVYRDDSKLEKEIKCTADKRSGVNILAIEAENNQSRNNTEGASASRPTSVAAGKGHESEVNVDLLHSSEGARVTKHALLVNGEPTDGFSASRYHEESTGPSKIEKEEGELSPSGDFQDYSIAYSDAGLKAVPKAKHGIENRQYWSGTAKELHPGDAGGENDADADDEDSGNASEAGDNASGNESAGDECSREEHEEEEEMGRDEVDGKAESEGEAEGMTDAHVGGDGMSSFSEHFLFTVKPLAKHVPAVLPEEDRNSSCVFYANDDFYVLFRLHRILYERILSAKTNSTAAEIKCKSSKDASSSDFYARFTSALYRLLDGSADNAKFEDECRAIIGNQSYELFTLDKLIYKLVKQLQAVAADEMDNKLLQLFDYEKSRKHRKTMDSVYYENARVLLQEDNIYRLKSSSSPSRLSIQLMDNVIEKPEAFAVAMEPYFSASLHNDFLSVYPGKNEPHGITLRRNKKKYASLDEFAATCMAMEGVELVNGLENKIACNSYKVSFWY >KJB40807 pep chromosome:Graimondii2_0_v6:7:5513069:5521731:-1 gene:B456_007G077800 transcript:KJB40807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVKDDLYSGSHFKRPFGSSRPESHGQNQMPSGGGGEGGGGGGGGEGGGGGGGGGGMGGAGVGGGISQKLTTNDALTYLKEVREMFQDQKEKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIYGFNTFLPKGYEITLDEDEAPAKKTVQFEEAISFVNKIKKRFQNDERVYKSFLDILNMYRKEHKDINEVYCEVASLFEDHPDLLEEFKRFLPDPSAAPLTQQVPYGQNSAQRYNERSSATATLRQIQMDKRRRDRIITSHADHGISVDHPELDDDKTMMKMQKEQRKRLEKENRDRRTRDLDDPKHDNNRDFNLQRIHDKKRYGRKMEGFASYDDRDTFKSMCNQGFIFCERVKERLCSSDDYQAFLKCLNIYSNGIIKRNDLQNLVTDLLGKHPDLMNEFNQFLERCENTDGLLAGVISKKSLSGDGHASRPWKLEEKDREQKRELEGAKERERCREKYMAKSIQELDLSTCQRCTPSYRLLPDDYPIPSASQRSELGAQVLNDYWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNGINENKINVDSPVRVEDHFTVLNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYLKNHYKSLDHRSFYFKQQDSKNLSAKSLVAEIKELKEKNQNEDDVLMASIAGHRQPLAPHLEYDYLDVGIHEDLYKLIEYSCEEMCSTKELLDKVMRLWTTFLEPMLSVPPRPNGKEGTDDDGKAQNPTVNCTGSSIAECDGSPGADANVNSEPQKGASDGDENSSPELPNSCRNGLTNGGTLAEEEPSGRVYRDDSKLEKEIKCTADKRSGVNILAIEAENNQSRNNTEGASASRPTSVAAGKGHESEVNVDLLHSSEGARVTKHALLVNGEPTDGFSASRYHEESTGPSKIEKEEGELSPSGDFQDYSIAYSDAGLKAVPKAKHGIENRQYWSGTAKELHPGDAGGENDADADDEDSGNASEAGDNASGNESAGDECSREEHEEEEEMGRDEVDGKAESEGEAEGMTDAHVGGDGMSSFSEHFLFTVKPLAKHVPAVLPEEDRNSSCVFYANDDFYVLFRLHRILYERILSAKTNSTAAEIKCKSSKDASSSDFYARFTSALYRLLDGSADNAKFEDECRAIIGNQSYELFTLDKLIYKLVKQLQAVAADEMDNKLLQLFDYEKSRKHRKTMDSVYYENARVLLQEDNIYRLKSSSSPSRLSIQLMDNVIEKPEAFAVAMEPYFSASLHNDFLSVYPGKNEPHGITLRRNKKKYASLDEFAATCMAMEGVELVNGLENKIACNSYKISYVLDTEDFFFRRRRKSPQCRSSYNNQAGVQRFHKFLSASQ >KJB40805 pep chromosome:Graimondii2_0_v6:7:5513480:5521275:-1 gene:B456_007G077800 transcript:KJB40805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVKDDLYSGSHFKRPFGSSRPESHGQNQMPSGGGGEGGGGGGGGEGGGGGGGGGGMGGAGVGGGISQKLTTNDALTYLKEVREMFQDQKEKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIYGFNTFLPKGYEITLDEDEAPAKKTVQFEEAISFVNKIKKRFQNDERVYKSFLDILNMYRKEHKDINEVYCEVASLFEDHPDLLEEFKRFLPDPSAAPLTQQVPYGQNSAQRYNERSSATATLRQIQMDKRRRDRIITSHADHGISVDHPELDDDKTMMKMQKEQRKRLEKENRDRRTRDLDDPKHDNNRDFNLQRIHDKKRYGRKMEGFASYDDRDTFKSMCNQGFIFCERVKERLCSSDDYQAFLKCLNIYSNGIIKRNDLQNLVTDLLGKHPDLMNEFNQFLERCENTDGLLAGVISKKSLSGDGHASRPWKLEEKDREQKRELEGAKERERCREKYMAKSIQELDLSTCQRCTPSYRLLPDDYPIPSASQRSELGAQVLNDYWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNGINENKINVDSPVRVEDHFTVLNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYLKNHYKSLDHRSFYFKQQDSKNLSAKSLVAEIKELKEKNQNEDDVLMASIAGHRQPLAPHLEYDYLDVGIHEDLYKLIEYSCEEMCSTKELLDKVMRLWTTFLEPMLSVPPRPNGKEGTDDDGKAQNPTVNCTGSSIAECDGSPGADANVNSEPQKGASDGDENSSPELPNSCRNGLTNGGTLAEEEPSGRVYRDDSKLEKEIKCTADKRSGVNILAIEAENNQSRNNTEGASAASRPTSVAAGKGHESEVNVDLLHSSEGARVTKHALLVNGEPTDGFSASRYHEESTGPSKIEKEEGELSPSGDFQDYSIAYSDAGLKAVPKAKHGIENRQYWSGTAKELHPGDAGGENDADADDEDSGNASEAGDNASGNESAGDECSREEHEEEEEMGRDEVDGKAESEGEAEGMTDAHVGGDGMSSFSEHFLFTVKPLAKHVPAVLPEEDRNSSCVFYANDDFYVLFRLHRILYERILSAKTNSTAAEIKCKSSKDASSSDFYARFTSALYRLLDGSADNAKFEDECRAIIGNQSYELFTLDKLIYKLVKQLQAVAADEMDNKLLQLFDYEKSRKHRKTMDSVYYENARVLLQEDNIYRLKSSSSPSRLSIQLMDNVIEKPEAFAVAMEPYFSASLHNDFLSVYPGKNEPHGITLRRNKKKYASLDEFAATCMAMEGVELVNGLENKIACNSYKISYVLDTEDFFFRRRRKSPQCRSSYNNQAGVQRFHKFLSASQ >KJB40804 pep chromosome:Graimondii2_0_v6:7:5513069:5521275:-1 gene:B456_007G077800 transcript:KJB40804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVKDDLYSGSHFKRPFGSSRPESHGQNQMPSGGGGEGGGGGGGGEGGGGGGGGGGMGGAGVGGGISQKLTTNDALTYLKEVREMFQDQKEKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIYGFNTFLPKGYEITLDEDEAPAKKTVQFEEAISFVNKIKKRFQNDERVYKSFLDILNMYRKEHKDINEVYCEVASLFEDHPDLLEEFKRFLPDPSAAPLTQQVPYGQNSAQRYNERSSATATLRQIQMDKRRRDRIITSHADHGISVDHPELDDDKTMMKMQKEQRKRLEKENRDRRTRDLDDPKHDNNRDFNLQRIHDKKRYGRKMEGFASYDDRDTFKSMCNQGFIFCERVKERLCSSDDYQAFLKCLNIYSNGIIKRNDLQNLVTDLLGKHPDLMNEFNQFLERCENTDGLLAGVISKKSLSGDGHASRPWKLEEKDREQKRELEGAKERERCREKYMAKSIQELDLSTCQRCTPSYRLLPDDYPIPSASQRSELGAQVLNDYWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNGINENKINVDSPVRVEDHFTVLNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYLKNHYKSLDHRSFYFKQQDSKNLSAKSLVAEIKELKEKNQNEDDVLMASIAGHRQPLAPHLEYDYLDVGIHEDLYKLIEYSCEEMCSTKELLDKVMRLWTTFLEPMLSVPPRPNGKEGTDDDGKAQNPTVNCTGSSIAECDGSPGADANVNSEPQKGASDGDENSSPELPNSCRNGLTNGGTLAEEEPSGRVYRDDSKLEKEIKCTADKRSGVNILAIEAENNQSRNNTEGASASRPTSVAAGKGHESEVNVDLLHSSEGARVTKHALLVNGEPTDGFSASRYHEESTGPSKIEKEEGELSPSGDFQDYSIAYSDAGLKAVPKAKHGIENRQYWSGTAKELHPGDAGGENDADADDEDSGNASEAGDNASGNESAGDECSREEHEEEEEMGRDEVDGKAESEGEAEGMTDAHVGGDGMSSFSEHFLFTVKPLAKHVPAVLPEEDRNSSCVFYANDDFYVLFRLHRILYERILSAKTNSTAAEIKCKSSKDASSSDFYARFTSALYRLLDGSADNAKFEDECRAIIGNQSYELFTLDKLIYKLVKQLQAVAADEMDNKLLQLFDYEKSRKHRKTMDSVYYENARVLLQEDNIYRLKSSSSPSRLSIQLMDNVIEKPEAFAVAMEPYFSASLHNDFLSVYPGKNEPHGITLRRNKKKYASLDEFAATCMAMEGVELVNGLENKIACNSYKISYVLDTEDFFFRRRRKSPQCRSSYNNQAGVQRFHKFLSASQ >KJB40802 pep chromosome:Graimondii2_0_v6:7:5513069:5521731:-1 gene:B456_007G077800 transcript:KJB40802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVKDDLYSGSHFKRPFGSSRPESHGQNQMPSGGGGEGGGGGGGGEGGGGGGGGGGMGGAGVGGGISQKLTTNDALTYLKEVREMFQDQKEKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIYGFNTFLPKGYEITLDEDEAPAKKTVQFEEAISFVNKIKKRFQNDERVYKSFLDILNMYRKEHKDINEVYCEVASLFEDHPDLLEEFKRFLPDPSAAPLTQQVPYGQNSAQRYNERSSATATLRQIQMDKRRRDRIITSHADHGISVDHPELDDDKTMMKMQKEQRKRLEKENRDRRTRDLDDPKHDNNRDFNLQRIHDKKRYGRKMEGFASYDDRDTFKSMCNQGFIFCERVKERLCSSDDYQAFLKCLNIYSNGIIKRNDLQNLVTDLLGKHPDLMNEFNQFLERCENTDGLLAGVISKKSLSGDGHASRPWKLEEKDREQKRELEGAKERERCREKYMAKSIQELDLSTCQRCTPSYRLLPDDYPIPSASQRSELGAQVLNDYWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNGINENKINVDSPVRVEDHFTVLNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYLKNHYKSLDHRSFYFKQQDSKNLSAKSLVAEIKELKEKNQNEDDVLMASIAGHRQPLAPHLEYDYLDVGIHEDLYKLIEYSCEEMCSTKELLDKVMRLWTTFLEPMLSVPPRPNGKEGTDDDGKAQNPTVNCTGSSIAECDGSPGADANVNSEPQKGASDGDENSSPELPNSCRNGLTNGGTLAEEEPSGRVYRDDSKLEKEIKCTADKRSGVNILAIEAENNQSRNNTEGASASRPTSVAAGKGHESEVNVDLLHSSEGARVTKHALLVNGEPTDGFSASRYHEESTGPSKIEKEEGELSPSGDFQDYSIAYSDAGLKAVPKAKHGIENRQYWSGTAKELHPGDAGGENDADADDEDSGNASEAGDNASGNESAGDECSREEHEEEEEMGRDEVDGKAESEGEAEGMTDAHVGGDGMSSFSEHFLFTVKPLAKHVPAVLPEEDRNSSCVFYANDDFYVLFRLHRILYERILSAKTNSTAAEIKCKSSKDASSSDFYARFTSALYRLLDGSADNAKFEDECRAIIGNQSYELFTLDKLIYKLVKQLQAVAADEMDNKLLQLFDYEKSRKHRKTMDSVYYENARVLLQEDNIYRLKSSSSPSRLSIQLMDNVIEKPEAFAVAMEPYFSASLHNDFLSVYPGKNEPHGITLRRNKKKYASLDEFAATCMAMEGVELVNGLENKIACNSYKISYVLDTEDFFFRRRRKSPQCRSSYNNQAGVQRFHKFLSASQ >KJB40806 pep chromosome:Graimondii2_0_v6:7:5513069:5521731:-1 gene:B456_007G077800 transcript:KJB40806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVKDDLYSGSHFKRPFGSSRPESHGQNQMPSGGGGEGGGGGGGGEGGGGGGGGGGMGGAGVGGGISQKLTTNDALTYLKEVREMFQDQKEKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIYGFNTFLPKGYEITLDEDEAPAKKTVQFEEAISFVNKIKKRFQNDERVYKSFLDILNMYRKEHKDINEVYCEVASLFEDHPDLLEEFKRFLPDPSAAPLTQQVPYGQNSAQRYNERSSATATLRQIQMDKRRRDRIITSHADHGISVDHPELDDDKTMMKMQKEQRKRLEKENRDRRTRDLDDPKHDNNRDFNLQRIHDKKRYGRKMEGFASYDDRDTFKSMCNQGFIFCERVKERLCSSDDYQAFLKCLNIYSNGIIKRNDLQNLVTDLLGKHPDLMNEFNQFLERCENTDGLLAGVISKKSLSGDGHASRPWKLEEKDREQKRELEGAKERERCREKYMAKSIQELDLSTCQRCTPSYRLLPDDYPIPSASQRSELGAQVLNDYWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNGINENKINVDSPVRVEDHFTVLNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYLKNHYKSLDHRSFYFKQQDSKNLSAKSLVAEIKELKEKNQNEDDVLMASIAGHRQPLAPHLEYDYLDVGIHEDLYKLIEYSCEEMCSTKELLDKVMRLWTTFLEPMLSVPPRPNGKEGTDDDGKAQNPTVNCTGSSIAECDGSPGADANVNSEPQKGASDGDENSSPELPNSCRNGLTNGGTLAEEEPSGRVYRDDSKLEKEIKCTADKRSGVNILAIEAENNQSRNNTEGASAASRPTSVAAGKGHESEVNVDLLHSSEGARVTKHALLVNGEPTDGFSASRYHEESTGPSKIEKEEGELSPSGDFQDYSIAYSDAGLKAVPKAKHGIENRQYWSGTAKELHPGDAGGENDADADDEDSGNASEAGDNASGNESAGDECSREEHEEEEEMGRDEVDGKAESEGEAEGMTDAHVGGDGMSSFSEHFLFTVKPLAKHVPAVLPEEDRNSSCVFYANDDFYVLFRLHRILYERILSAKTNSTAAEIKCKSSKDASSSDFYARFTSALYRLLDGSADNAKFEDECRAIIGNQSYELFTLDKLIYKLVKQLQAVAADEMDNKLLQLFDYEKSRKHRKTMDSVYYENARVLLQEDNIYRLKSSSSPSRLSIQLMDNVIEKPEAFAVAMEPYFSASLHNDFLSVYPGKNEPHGITLRRNKKKYASLDEFAATCMAMEGVELVNGLENKIACNSYKISYVLDTEDFFFRRRRKSPQCRSSYNNQAGVQRFHKFLSASQ >KJB46283 pep chromosome:Graimondii2_0_v6:7:58782582:58783055:1 gene:B456_007G356600 transcript:KJB46283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIPTRKSLALCDWLSVSCFCRRRLSTVLVHLKFAEHLKEAVTNVEQGHIRVGPETVTDPAFLVTRNMEDFITWVDTSKIRRKVLEYNEKLDDYDAMN >KJB43925 pep chromosome:Graimondii2_0_v6:7:26759160:26760070:-1 gene:B456_007G224100 transcript:KJB43925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQQHEQEEIYPSFLLDGLYCEEDDENGDLFWEDEARREAAEWMLEVNAHYGFTTLTTMLFVNYLDRFLSSFCFQRDKPLVIHHVAITCLSLAAKVEETHGQNMFEAKTIQRMELLVLLTLKWKMHPITPLSFLDHIIRRLGLQTHLHRNSRSVQYLPSVLATETMMHVIDQVEVFNPVGYQNHLLSVLKINKVCTSLK >KJB45021 pep chromosome:Graimondii2_0_v6:7:49009595:49018592:-1 gene:B456_007G285900 transcript:KJB45021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPTFYRWLADRYPQSIVDVIEEEPREDADGNQIPVDVSKPNPNGLEFDNLYLDMNGIIHPCFHPEGKPAPATYDDVFKSIFDYIDHLFSLVRPRKLLYMAIDGVAPRAKMNQQRTRRFRAAKDAAEAAAEEERLRKEFEAEGNALSPKEKPETCDSNVITPGTSFMAVLSVALQYYIQSRLNHNPGWRNTKVILSDANVPGEGEYKIMSYIRLQRNLPGFNPNTRHCLYGLDADLIMLSLATHEVHFSILREVIALPGQQDKCFLCGQVGHLAAECRGKPDDETGEWNAVDDTPIHKKKYQFLNIWMLREYLEYDLEIPNPPFEINFERIVDDFVFICFFVGNDFLPHMPTLEIREGAINLLMQVYKREFAAMGGYLTDAGEVLLDRVEYFIQSVSVHEDQIFQKRARIQQAIENNEQIKLKARGESSKDAQATVVDKIKLGEPGYKERYYVEKFDISNPGEIEEVKKDIVLKYVEGLCWVCRYYYEGVCSWQWFYPYHYAPFASDLSDLADLEITFFMGEPFKPFDQLMGTLPAASYKALPEEYGKLMTDPSSPIYNFYPTDFEIDMHGKRFAWQGVVKLPFIDEKKLLSATRKLEATLTAEEQIRNSVMLDLLYVHPLHPLASQVISYYQMYHHVRPHERFLWPIDTNASGGMNGFLWLSERNGWQHVVSSPVKGLPHIEFNQVLNITYLNPSHHRHIPRLPQGVLVPKKVLTPLDIKPFPVLWHEDSGGRRQQGRDRELVPGAIIGPQLGEAAHRLVRNTLNIKSKGPSSWSFEQPSFNMSSNYTSIRPRPAGPAGYERGFLDDPNHYYSRQPRVTGPLSYGRGYGNGANYYGQHNHPQGISGNPRHPSSNGMQVKNNYRAQDRLQNQDRYYDLRTGMSALSFEGSARGRAHAEMSSKMPNSRCLQNLDLLSEQNTGAAPLPPNTWMGKPVNVNPGSRLKQENASSGAYEKQMKKGLSD >KJB41365 pep chromosome:Graimondii2_0_v6:7:7503126:7507478:-1 gene:B456_007G101000 transcript:KJB41365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSMYNDHPKFRYNLRERSSSMKQLHLGKMASKGLDWSQSSSFGNHSHLNNSILSVDKNLRECSDFTTSSLMFNYNVDIQVPIGPLFQAEVLEWTGVALESDAKWLGTLIWPLEKNEYSFLIEGDRIGKGRQDSCSCQIRNSLQCVKFHVAEKRWEVKHELGPAFNQWNFDKMGEEVAFGWNEKEKDMFSSIVKSNPPLGKCFWDEIYNHFHDKSREEVVCYYFNVFLLQHRAYQNQVAPNSITYDDEEVVLGPESIGKGIGPKSYTSILIPLRKSQKKSKDALPQFSTEHFDKRWKSGSPFKEISGNESSNGEYFVLCNNLKRKNQKIDHTFNL >KJB45025 pep chromosome:Graimondii2_0_v6:7:49214379:49218710:-1 gene:B456_007G286400 transcript:KJB45025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGWNDGWGDECSVISDKGEIGFIDFADDKSVQSYDINEEGPVIISVPFPFSQGKPQSVLVGQTSKWPITLENTIDEPVELWGVRIFCSNPEDSFTLSLMEPPSADSKSEQSQGFLEGLFSLEDRVLQSRETLTIWLSCNPKDMGLHTTVVHFDVDDNRIERVVFLLAEDNVSQSLASTKPYRRAPRRRNHFAVDEYVVSSRPAKPKTRGYKSKLDEYPVPKHIRELLENKHVPDILAEGLTKENYAGFFSTLLVMEELHLEEEMRSHNMECVMMRRKGPQFVALEVPGLAEKRPSLVHGDHIFAKIASNGSDSPVYQGHIYRVEADEVLLRFASQFHTLHRDGNLYNVHFTYNRVNMRRLYQAIEAAQTLQDNLLFPSESTKRTLVKNAPIVPCTGTLNVEQIHSVEMILACKGAPPYVIYGPPGTGKTMTLVESILQIYMTRKNGRILVCAASNSAADHILERLISNENVKVKESEIFRLNATSRPYEDVLLDHIRFSYFELSVFKCPPLEALNRYRIIISTYMSSTLLYAEGVKRGHFSHIFLDEAGQASEPESMVPIANFCRKETVVVLAGDPKQLGPVVFSKDAESLGLGKSYLERLFQCESYCNEDANFVKKLVRNYRCHPAILDLPSRLFYEGQLIACKDDKSLSIISNLDFFPNKEFPVLFFGIQGCDEREGNNPSWFNRIEVSKVVDIINKLRGSSDLNESDIGVITPYRQQVLKIKKVLETWDLLDVKVGSVEQFQGQEREVIIVSTVRSTVKHNEFDRTYCLGFLSNPKRFNVAITRAKSLLIIVGNPHIICKDPYWEKLLWHCDENNSYQGCPPPERVNHEFEESCSETGLSNEVEGSGWNDGTWDC >KJB45027 pep chromosome:Graimondii2_0_v6:7:49214830:49218651:-1 gene:B456_007G286400 transcript:KJB45027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGWNDGWGDECSVISDKGEIGFIDFADDKSVQSYDINEEGPVIISVPFPFSQGKPQSVLVGQTSKWPITLENTIDEPVELWGVRIFCSNPEDSFTLSLMEPPSADSKSEQSQGFLEGLFSLEDRVLQSRETLTIWLSCNPKDMGLHTTVVHFDVDDNRIERVVFLLAEDNVSQSLASTKPYRRAPRRRNHFAVDEYVVSSRPAKPKTRGYKSKLDEYPVPKHIRELLENKHVPDILAEGLTKENYAGFFSTLLVMEELHLEEEMRSHNMECVMMRRKGPQFVALEVPGLAEKRPSLVHGDHIFAKIASNGSDSPVYQGHIYRVEADEVLLRFASQFHTLHRDGNLYNVHFTYNRVNMRRLYQAIEAAQTLQDNLLFPSESTKRTLVKNAPIVPCTGTLNVEQIHSVEMILACKGAPPYVIYGPPGTGKTMTLVESILQIYMTRKNGRILVCAASNSAADHILERLISNENVKVKESEIFRLNATSRPYEDVLLDHIRFSYFELSVFKCPPLEALNRYRIIISTYMSSTLLYAEGVKRGHFSHIFLDEAGQASEPESMVPIANFCRKETVVVLAGDPKQLGPVVFSKDAESLGLGKSYLERLFQCESYCNEDANFVKKLVRNYRCHPAILDLPSRLFYEGQLIACKDDKSLSIISNLDFFPNKEFPVLFFGIQGCDEREGNNPSWFNRIEVSKVVDIINKLRGSSDLNESDIGVITPYRQQVLKIKKVLETWDLLDVKVGSVEQFQGQEREVIIVSTVRSTVKHNEFDRTYCLGFLSNPKRFNVAITRAKSLLIIVGNPHIICKV >KJB45026 pep chromosome:Graimondii2_0_v6:7:49214652:49217649:-1 gene:B456_007G286400 transcript:KJB45026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGWNDGWGDECSVISDKGEIGFIDFADDKSVQSYDINEEGPVIISVPFPFSQGKPQSVLVGQTSKWPITLENTIDEPVELWGVRIFCSNPEDSFTLSLMEPPSADSKSEQSQGFLEGLFSLEDRVLQSRETLTIWLSCNPKDMGLHTTVVHFDVDDNRIERVVFLLAEDNVSQSLASTKPYRRAPRRRNHFAVDEYVVSSRPAKPKTRGYKSKLDEYPVPKHIRELLENKHVPDILAEGLTKENYAGFFSTLLVMEELHLEEEMRSHNMECVMMRRKGPQFVALEVPGLAEKRPSLVHGDHIFAKIASNGSDSPVYQGHIYRVEADEVLLRFASQFHTLHRDGNLYNVHFTYNRVNMRRLYQAIEAAQTLQDNLLFPSESTKRTLVKNAPIVPCTGTLNVEQIHSVEMILACKGAPPYVIYGPPGTGKTMTLVESILQIYMTRKNGRILVCAASNSAADHILERLISNENVKVKESEIFRLNATSRPYEDVLLDHIRFSYFELSVFKCPPLEALNRYRIIISTYMSSTLLYAEGVKRGHFSHIFLDEAGQASEPESMVPIANFCRKETVVVLAGDPKQLGPVVFSKDAESLGLGKSYLERLFQCESYCNEDANFVKKLVRNYRCHPAILDLPSRLFYEGQLIACKDDKSLSIISNLDFFPNKEFPVLFFGIQGCDEREGNNPSWFNRIEVSKVVDIINKLRGSSDLNESDIGVITPYRQQVLKIKKVLETWDLLDVKVGSVEQFQGQEREVIIVSTVRSTVKHNEFDRTYCLGFLSNPKRFNVAITRAKSLLIIVGNPHIICKDPYWEKLLWHCDENNSYQGCPPPERVNHEFEESCSETGLSNEVEGSGWNDGTWDC >KJB45584 pep chromosome:Graimondii2_0_v6:7:52733289:52735382:1 gene:B456_007G313800 transcript:KJB45584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEESVVLLENGALFLFDLASYVNCQKLNGYIKGSKLRVLWDDSSCAKNYKWMCIEINWHPRILVVAQSDVALLLDFRHDKCNVICLAKIEMLSPYAVVDEDQSLAFPRVGADGFQFVLASQSLLLLCDMHKTVVPMLRWAHDLDNPCFNDVIRLISSRKIEAQRYCASWNLVQNFDVANGEPLFYFEDNLVYSSVGNEYEFPKRFKYLNFEYLRGYLKKSHKGFQQKESFNLDFHKILYEKLKVCEFAQLKSSPALFCCLQCHYLTKQSAF >KJB42889 pep chromosome:Graimondii2_0_v6:7:15837428:15838570:1 gene:B456_007G172600 transcript:KJB42889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPTMNEKEEQESLIMASAKVVEYLEPLMSRELLCKFPDNSAFDFDYTQSTLWSPLVPRPYSPADLGFGPIITPRNLASDDEFGFGFGLKMEKSYCSAKQLRPNHVKKKITSAAFNISLNLLRNKNKKRKNMASEFSPTPVKGSCAPIFTRGWSKMLKAASKHFKRKKKESTVHMKIPNYLRDSHI >KJB44229 pep chromosome:Graimondii2_0_v6:7:34298310:34299961:-1 gene:B456_007G241100 transcript:KJB44229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFKAFDLGCHQIARRVWKDYYAKVRREKISERMKYLQDLVPGCNKITDKAGMLNEIINYVQSLQRQVEVKK >KJB45812 pep chromosome:Graimondii2_0_v6:7:55232959:55233528:1 gene:B456_007G330200 transcript:KJB45812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIHRLLFASIFIVCCLTTLTNGASLPNGEVEALRSIGNTLGKTDWNFNINPCDQGDTWLNQSTRYYANNVT >KJB42121 pep chromosome:Graimondii2_0_v6:7:11320046:11320795:-1 gene:B456_007G137800 transcript:KJB42121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGQGLGVAIDDSANQCLCKVDMKPWLFSKRKGSKTIDVDSGKIDICWDLSNARFGSGPEPVEGFYLAVALDQEMVLLLGDLKKEASKKINISSTPLSSDAVFIAKREHIFGKKFYGAKAQFCNKGPIHDVVIECDPFDLKDPCLVIRIDSKTVMQVKRLKWKFRGNHTILVDGLPVEVFWDVHNWLFGNAMGNAVFMFQSCISAEKLWTGDSAFDPSLLTWSSSQKLKDHHQVQGLGFSLILYAWKHE >KJB42123 pep chromosome:Graimondii2_0_v6:7:11320046:11320795:-1 gene:B456_007G137800 transcript:KJB42123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGQGLGVAIDDSANQCLCKVDMKPWLFSKRKGSKTIDVDSGKIDICWDLSNARFGSGPEPVEGFYLAVALDQEMVLLLGDLKKEASKKINISSTPLSSDAVFIAKREHIFGKKFYGAKAQFCNKGPIHDVVIECDPFDLKDPCLVIRIDSKTVMQVKRLKWKFRGNHTILVDGLPVEVFWDVHNWLFGNAMGNAVFMFQSCISAEKLWTGDSAFDPSLLTWSSSQKLKDHHQVQGLGFSLILYAWKHE >KJB42124 pep chromosome:Graimondii2_0_v6:7:11320046:11320966:-1 gene:B456_007G137800 transcript:KJB42124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIIMRDFPSCFGESGVQVADSSSSSAAKAAQNLVTCVYQFQLHGRSCLITVTWTKNMMGQGLGVAIDDSANQCLCKVDMKPWLFSKRKGSKTIDVDSGKIDICWDLSNARFGSGPEPVEGFYLAVALDQEMVLLLGDLKKEASKKINISSTPLSSDAVFIAKREHIFGKKFYGAKAQFCNKGPIHDVVIECDPFDLKDPCLVIRIDSKTVMQVKRLKWKFRGNHTILVDGLPVEVFWDVHNWLFGNAMGNAVFMFQSCISAEKLWTGDSAFDPSLLTWSSSQKLKDHHQVQGLGFSLILYAWKHE >KJB42120 pep chromosome:Graimondii2_0_v6:7:11318997:11321461:-1 gene:B456_007G137800 transcript:KJB42120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGQGLGVAIDDSANQCLCKVDMKPWLFSKRKGSKTIDVDSGKIDICWDLSNARFGSGPEPVEGFYLAVALDQEMVLLLGDLKKEASKKINISSTPLSSDAVFIAKREHIFGKKFYGAKAQFCNKGPIHDVVIECDPFDLKDPCLVIRIDSKTVMQVKRLKWKFRGNHTILVDGLPVEVFWDVHNWLFGNAMGNAVFMFQSCISAEKLWTGDSAFDPSLLTWSSSQKLKDHHQVQGLGFSLILYAWKHE >KJB42122 pep chromosome:Graimondii2_0_v6:7:11318997:11321461:-1 gene:B456_007G137800 transcript:KJB42122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGQGLGVAIDDSANQCLCKVDMKPWLFSKRKGSKTIDVDSGKIDICWDLSNARFGSGPEPVEGFYLAVALDQEMVLLLGDLKKEASKKINISSTPLSSDAVFIAKREHIFGKKFYGAKAQFCNKGPIHDVVIECDPFDLKDPCLVIRIDSKTVMQVKRLKWKFRGNHTILVDGLPVEVFWDVHNWLFGNAMGNAVFMFQSCISAEKLWTGDSAFDPSLLTWSSSQKLKDHHQVQGLGFSLILYAWKHE >KJB43806 pep chromosome:Graimondii2_0_v6:7:24568015:24570008:1 gene:B456_007G217000 transcript:KJB43806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVFNKELLSWYLITLKLRETVESGLPRLSTGTNKSLDLAQVHEHHLHKQQQHQPSDCLQVPINAGDENCQEADTPPESEWIISIKEKLEQARQDDAAGSWERLSIYRVPHYLREGDIKSYVPQFVSLGPYHHGKKRLRQMDQHKWRSLHRVLKRTNQEIQVFLDSMKELEERAGACYEGTITLSSNEFVEMLVLDGCFMLELFQGAAVGFQRLGYARNDPVFAMRGSMHAIHRDMIMLENQLPLFVLDRLLGIQLGEPDQKGKVAKLAIRFFDPLMPTDEPLTKSDMSRLGSSMRHTDTFDPFSDLGGLHCLDVFRRSLLSSGPKPVPRNWLKKRSNAIRVADKRRQQLIHCVSELREAGIKFRKRKTDRFWDIKFKNGILRIPRLLIHDGTKSLFLNLIAFEQCRIDCSNDITSYVIFMDNLINSHEDVAYLHYFGIIEHWLGSDAEVADLFNRLCQEVVFDINDSYLSQLSEDVNRYYNHKWNAWRAALKHRYFNNPWAIVSFFAACILLVLTFAQTFYGVYGYYRPSS >KJB45285 pep chromosome:Graimondii2_0_v6:7:50996891:51003514:1 gene:B456_007G298800 transcript:KJB45285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMARTNTGLAYPDRFYAAASYAGFGGSPNSANKDVASKFSNEVALILYALYQQATVGPCNVPKPSPWSPVEQGKWKSWQQLGNMVSAEAMRLFVKILEEEDPGWYSRTSNSVESVRDVQMNHNSNGEPIIENGNSFAETKTISAENGSLMEAQDKDIVLEGLGPVVVYDQWVSPPIKGQRPKARYEHGAAVVQDKMYIYGGNHNGRYLSDLNVLDLRSWTWSKVEASFESKSEESPSPVNIAPCAGHSMIPWENKLLSIAGHTKDPSETIQIKAFDLQTGTWSILKTYGKAPVSRGGQSVTLVGSTLVIFGGQDAKRTLLNDLHILDLETMTWDDFDAVGVPPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQAMEWSRPTQQGEIPSPRAGHTGVTVGENWFIVGGGDNKSGASETVVLNMSTLVWSVVTSVEGRVPLASEGLSLVVGSISGEDILVSFGGYNGRYNNEVNVLKPSHKSTLQSKIMEAPVPDSVSAVQNATNPTRDLESDFEVGQEGKIREIVMDNTDSEHMKSKGEETSELIIATLKAEKEELESSLNKEKLQSLQLKEELTQAETRNTDLYKVLQSIRGQLAAEQSRCFKLEVDVAELRQKLQTMETLQKELELLQRQKAASEQASLNAKQRQGSGGVWGWLAGSPPQNADDA >KJB45279 pep chromosome:Graimondii2_0_v6:7:50996891:51001601:1 gene:B456_007G298800 transcript:KJB45279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMARTNTGLAYPDRFYAAASYAGFGGSPNSANKDVASKFSNEVALILYALYQQATVGPCNVPKPSPWSPVEQGKWKSWQQLGNMVSAEAMRLFVKILEEEDPGWYSRTSNSVESVRDVQMNHNSNGEPIIENGNSFAETKTISAENGSLMEAQDKDIVLEGLGPVVVYDQWVSPPIKGQRPKARYEHGAAVVQDKMYIYGGNHNGRYLSDLNVLDLRSWTWSKVEASFESKSEESPSPVNIAPCAGHSMIPWENKLLSIAGHTKDPSETIQIKAFDLQTGTWSILKTYGKAPVSRGGQSVTLVGSTLVIFGGQDAKRTLLNDLHILDLETMTWDDFDAVGVPPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQAMEWSRPTQQGEIPSPRAGHTGVTVGENWFIVGGGDNKSGASETVVLNMSTLVWSVVTSVEGRVPLASEVFYILQMLVACKMLHMW >KJB45278 pep chromosome:Graimondii2_0_v6:7:50996820:51003544:1 gene:B456_007G298800 transcript:KJB45278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMARTNTGLAYPDRFYAAASYAGFGGSPNSANKDVASKFSNEVALILYALYQQATVGPCNVPKPSPWSPVEQGKWKSWQQLGNMVSAEAMRLFVKILEEEDPGWYSRTSNSVESVRDVQMNHNSNGEPIIENGNSFAETKTISAENGSLMEAQDKDIVLEGLGPVVVYDQWVSPPIKGQRPKARYEHGAAVVQDKMYIYGGNHNGRYLSDLNVLDLRSWTWSKVEASFESKSEESPSPVNIAPCAGHSMIPWENKLLSIAGHTKDPSETIQIKAFDLQTGTWSILKTYGKAPVSRGGQSVTLVGSTLVIFGGQDAKRTLLNDLHILDLETMTWDDFDAVGVPPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQAMEWSRPTQQGEIPSPRAGHTGVTVGENWFIVGGGDNKSGASETVVLNMSTLVWSVVTSVEGRVPLASEGLSLVVGSISGEDILVSFGGYNGRYNNEVNVLKPSHKSTLQSKIMEAPVPDSVSAVQNATNPTRDLESDFEVGQEGKIREIVMDNTDSEHMKSKGEETSELIIATLKAEKEELESSLNKEKLQSLQLKEELTQAETRNTDLYKELQSIRGQLAAEQSRCFKLEVDVAELRQKLQTMETLQKELELLQRQKAASEQASLNAKQRQGSGGVWGWLAGSPPQNADDA >KJB45284 pep chromosome:Graimondii2_0_v6:7:50996891:51005403:1 gene:B456_007G298800 transcript:KJB45284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMARTNTGLAYPDRFYAAASYAGFGGSPNSANKDVASKFSNEVALILYALYQQATVGPCNVPKPSPWSPVEQGKWKSWQQLGNMVSAEAMRLFVKILEEEDPGWYSRTSNSVESVRDVQMNHNSNGEPIIENGNSFAETKTISAENGSLMEAQDKDIVLEGLGPVVVYDQWVSPPIKGQRPKARYEHGAAVVQDKMYIYGGNHNGRYLSDLNVLDLRSWTWSKVEASFESKSEESPSPVNIAPCAGHSMIPWENKLLSIAGHTKDPSETIQIKAFDLQTGTWSILKTYGKAPVSRGGQSVTLVGSTLVIFGGQDAKRTLLNDLHILDLETMTWDDFDAVGVPPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQAMEWSRPTQQGEIPSPRAGHTGVTVGENWFIVGGGDNKSGASETVVLNMSTLVWSVVTSVEGRVPLASEGLSLVVGSISGEDILVSFGGYNGRYNNEVNVLKPSHKSTLQSKIMEAPVPDSVSAVQNATNPTRDLESDFEVGQEGKIREIVMDNTDSEHMKSKGEETSELIIATLKAEKEELESSLNKEKLQSLQLKEELTQAETRNTDLYKVLQSIRGQLAAEQSRCFKLEVDVAELRQKLQTMETLQKELELLQRQKAASEQASLNAKQRQGSGGVWGWLAGSPPQNADDA >KJB45280 pep chromosome:Graimondii2_0_v6:7:50996891:51003514:1 gene:B456_007G298800 transcript:KJB45280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMARTNTGLAYPDRFYAAASYAGFGGSPNSANKDVASKFSNEVALILYALYQQATVGPCNVPKPSPWSPVEQGKWKSWQQLGNMVSAEAMRLFVKILEEEDPGWYSRTSNSVESVRDVQMNHNSNGEPIIENGNSFAETKTISAENGSLMEAQDKDIVLEGLGPVVVYDQWVSPPIKGQRPKARYEHGAAVVQDKMYIYGGNHNGRYLSDLNVLDLRSWTWSKVEASFESKSEESPSPVNIAPCAGHSMIPWENKLLSIAGHTKDPSETIQIKAFDLQTGTWSILKTYGKAPVSRGGQSVTLVGSTLVIFGGQDAKRTLLNDLHILDLETMTWDDFDAVGVPPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQAMEWSRPTQQGEIPSPRAGHTGVTVGENWFIVGGGDNKSGASETVVLNMSTLVWSVVTSVEGRVPLASEGLSLVVGSISGEDILVSFGGYNGRYNNEVNVLKPSHKSTLQSKIMEAPVPDSVSAVQNATNPTRDLESDFEVGQEGKIREIVMDNTDSEHMKSKGEETSELIIATLKAEKEELESSLNKEKLQSLQLKEELTQAETRNTDLYKELQSIRGQLAAEQSRCFKLEVYKHLNPENNANAYGILLSGALK >KJB45281 pep chromosome:Graimondii2_0_v6:7:50997014:51002645:1 gene:B456_007G298800 transcript:KJB45281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMARTNTGLAYPDRFYAAASYAGFGGSPNSANKDVASKFSNEVALILYALYQQATVGPCNVPKPSPWSPVEQGKWKSWQQLGNMVSAEAMRLFVKILEEEDPGWYSRTSNSVESVRDVQMNHNSNGEPIIENGNSFAETKTISAENGSLMEAQDKDIVLEGLGPVVVYDQWVSPPIKGQRPKARYEHGAAVVQDKMYIYGGNHNGRYLSDLNVLDLRSWTWSKVEASFESKSEESPSPVNIAPCAGHSMIPWENKLLSIAGHTKDPSETIQIKAFDLQTGTWSILKTYGKAPVSRGGQSVTLVGSTLVIFGGQDAKRTLLNDLHILDLETMTWDDFDAVGVPPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQAMEWSRPTQQGEIPSPRAGHTGVTVGENWFIVGGGDNKSGASETVVLNMSTLVWSVVTSVEGRVPLASEGLSLVVGSISGEDILVSFGGYNGRYNNEVNVLKPSHKSTLQSKIMEAPVPDSVSAVQNATNPTRDLESDFEVGQEGKIREIVMDNTDSEHMKSKGEETSELIIATLKAEKEELESSLNKEKLQSLQLKEELTQAETRNTDLYKVVFDVCDGWSCSSFTVSFVSLETVMYISLRQFSLTKFHFAF >KJB45283 pep chromosome:Graimondii2_0_v6:7:50996891:51003514:1 gene:B456_007G298800 transcript:KJB45283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMARTNTGLAYPDRFYAAASYAGFGGSPNSANKDVASKFSNEVALILYALYQQATVGPCNVPKPSPWSPVEQGKWKSWQQLGNMVSAEAMRLFVKILEEEDPGWYSRTSNSVESVRDVQMNHNSNGEPIIENGNSFAETKTISAENGSLMEAQDKDIVLEGLGPVVVYDQWVSPPIKGQRPKARYEHGAAVVQDKMYIYGGNHNGRYLSDLNIPWENKLLSIAGHTKDPSETIQIKAFDLQTGTWSILKTYGKAPVSRGGQSVTLVGSTLVIFGGQDAKRTLLNDLHILDLETMTWDDFDAVGVPPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQAMEWSRPTQQGEIPSPRAGHTGVTVGENWFIVGGGDNKSGASETVVLNMSTLVWSVVTSVEGRVPLASEGLSLVVGSISGEDILVSFGGYNGRYNNEVNVLKPSHKSTLQSKIMEAPVPDSVSAVQNATNPTRDLESDFEVGQEGKIREIVMDNTDSEHMKSKGEETSELIIATLKAEKEELESSLNKEKLQSLQLKEELTQAETRNTDLYKVLQSIRGQLAAEQSRCFKLEVDVAELRQKLQTMETLQKELELLQRQKAASEQASLNAKQRQGSGGVWGWLAGSPPQNADDA >KJB45282 pep chromosome:Graimondii2_0_v6:7:50996891:51003514:1 gene:B456_007G298800 transcript:KJB45282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMARTNTGLAYPDRFYAAASYAGFGGSPNSANKDVASKFSNEVALILYALYQQATVGPCNVPKPSPWSPVEQGKWKSWQQLGNMVSAEAMRLFVKILEEEDPGWYSRTSNSVESVRDVQMNHNSNGEPIIENGNSFAETKTISAENGSLMEAQDKDIVLEGLGPVVVYDQWVSPPIKGQRPKARYEHGAAVVQDKMYIYGGNHNGRYLSDLNVLDLRSWTWSKVEASFESKSEESPSPVNIAPCAGHSMIPWENKLLSIAGHTKDPSETIQIKAFDLQTGTWSILKTYGKAPVSRGGQSVTLVGSTLVIFGGQDAKRTLLNDLHILDLETMTWDDFDAVGVPPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQAMEWSRPTQQGEIPSPRAGHTGVTVGENWFIVGGGDNKSGASETVVLNMSTLVWSVVTSVEGRVPLASEGLSLVVGSISGEDILVSFGGYNGRYNNEVNVLKPSHKSTLQSKIMEAPVPDSVSAVQNATNPTRDLESDFEVGQEGKIREIVMDNTDSEHMYMQKSKGEETSELIIATLKAEKEELESSLNKEKLQSLQLKEELTQAETRNTDLYKVLQSIRGQLAAEQSRCFKLEVDVAELRQKLQTMETLQKELELLQRQKAASEQASLNAKQRQGSGGVWGWLAGSPPQNADDA >KJB45941 pep chromosome:Graimondii2_0_v6:7:56463034:56464450:-1 gene:B456_007G339200 transcript:KJB45941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LETLDFGYNLLEGVVLETHFSNLTRLTTLKASHNRLRFEPNSSWILPFQCQIIELGHWHLGPKFPHWLKFQKNLSVLDISDAGISDFIPPWFWHLPTQFKYLNISFNQLTGGISYLNNLEFLNLGGNNLIGKIPSLGHSKLFMLILRNNSMHGELPSTLQNATWIGDKFSFLNILNLQSNNFDGRIPHKICDLLNILILDLAHNNISGTIPKCFGVHLTARLVLKGRKDEYSSILGFVTNMDLSTNTLTAEIPKEIGRLVELQSLNLSGNLLIGNIPYNIGNMELIESLDFFSNLNFLNHFNVSYNNLTGQIPTSTQLQSFENLSYVGNHLCGPPLTKKLLELRNQNEEI >KJB44285 pep chromosome:Graimondii2_0_v6:7:36244537:36247457:1 gene:B456_007G244100 transcript:KJB44285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKCTNNKLRLCLRISLKAIMKLQGCLSRLVLMYSSILVLAELESFKMEYANARLECNVADERANILASEVIGLEEKARRLRSNELKLERQLENSQAEISSFNLYHWCIHQLVLIVRYYMIVMNHLQCMALEDTLLLAWETSSKPACRPASKLNQISSSILFILFICNLI >KJB44286 pep chromosome:Graimondii2_0_v6:7:36244562:36245730:1 gene:B456_007G244100 transcript:KJB44286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIARVTDGLPLAEGLDDGRDLTDAEMYKQQVKALFKNLSKGHNEASRMSVETGPYVFHYIIEGRVCYLTMCDRSYHCSGNFLC >KJB42192 pep chromosome:Graimondii2_0_v6:7:11728188:11733392:1 gene:B456_007G141400 transcript:KJB42192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHLTAPNRSRSSQTPSPSHSASASATSSLHKRKLAAAASEDHAPPSSFPPSSFSADTRDGALTSNDDLESISARGADSDSDADDSEDAVVDDDEDDFDHDNDSSIRTFTTARLESGGGGSGSGRNTKLKTENSTVKLESADGGKDGAAPGPGPVGPTGAAAAGGSSIAGISTKEDVKIFTENIQTSGAYSAREESLKREEEAGRLKFVCYSNDGVDEHMVWLIGLKNIFGRQLPNMPKEYIVRLVMDRSHKSVMVIRRNQVVGGITYRPYVSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFIKQGFTKEIHLERDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCQIVYPGIDFQKKEAGIPKKVVKVEDIPGLKEAGWTPDQWGHTRFRALIVSADNATNQKHLTGFMRSLLKSMHDHVDAWPFKEPVDARDVPDYYDIIKDPMGKVLSNLCCFLRFHKPLLVNQQPRLLQLQVV >KJB42189 pep chromosome:Graimondii2_0_v6:7:11727879:11734140:1 gene:B456_007G141400 transcript:KJB42189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHLTAPNRSRSSQTPSPSHSASASATSSLHKRKLAAAASEDHAPPSSFPPSSFSADTRDGALTSNDDLESISARGADSDSDADDSEDAVVDDDEDDFDHDNDSSIRTFTTARLESGGGGSGSGRNTKLKTENSTVKLESADGGKDGAAPGPGPVGPTGAAAAGGSSIAGISTKEDVKIFTENIQTSGAYSAREESLKREEEAGRLKFVCYSNDGVDEHMVWLIGLKNIFGRQLPNMPKEYIVRLVMDRSHKSVMVIRRNQVVGGITYRPYVSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFIKQGFTKEIHLERDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCQIVYPGIDFQKKEAGIPKKVVKVEDIPGLKEAGWTPDQWGHTRFRALIVSADNATNQKHLTGFMRSLLKSMHDHVDAWPFKEPVDARDVPDYYDIIKDPMDLKTMSKRVESEQYYVTLEMFVADVKRMFANARTYNSPDTIYYKCATRLETHFQSKVQSGLQSNTKIQ >KJB42190 pep chromosome:Graimondii2_0_v6:7:11728034:11733737:1 gene:B456_007G141400 transcript:KJB42190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHLTAPNRSRSSQTPSPSHSASASATSSLHKRKLAAAASEDHAPPSSFPPSSFSADTRDGALTSNDDLESISARGADSDSDADDSEDAVVDDDEDDFDHDNDSSIRTFTTARLESGGGGSGSGRNTKLKTENSTVKLESADGGKDGAAPGPGPVGPTGAAAAGGSSIAGISTKEDVKIFTENIQTSGAYSAREESLKREEEAGRLKFVCYSNDGVDEHMVWLIGLKNIFGRQLPNMPKEYIVRLVMDRSHKSVMVIRRNQVVGGITYRPYVSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFIKQGFTKEIHLERDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCQIVYPGIDFQKKEAGIPKKVVKVEDIPGLKEAGWTPDQWGHTRFRALIVSADNATNQKHLTGFMRSLLKSMHDHVDAWPFKEPVDARDVPDYYDIIKDPMGKVLSNLCCFLRFHKPLLVNQQPRLLQLQVV >KJB42191 pep chromosome:Graimondii2_0_v6:7:11728034:11734121:1 gene:B456_007G141400 transcript:KJB42191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHLTAPNRSRSSQTPSPSHSASASATSSLHKRKLAAAASEDHAPPSSFPPSSFSADTRDGALTSNDDLESISARGADSDSDADDSEDAVVDDDEDDFDHDNDSSIRTFTTARLESGGGGSGSGRNTKLKTENSTVKLESADGGKDGAAPGPGPVGPTGAAAAGGSSIAGISTKEDVKIFTENIQTSGAYSAREESLKREEEAGRLKFVCYSNDGVDEHMVWLIGLKNIFGRQLPNMPKEYIVRLVMDRSHKSVMVIRRNQVVGGITYRPYVSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFIKQGFTKEIHLERDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCQIVYPGIDFQKKEAGIPKKVVKVEDIPGLKEAGWTPDQWGHTRFRALIVSADNATNQKHLTGFMRSLLKSMHDHVDAWPFKEPVDARDVPDYYDIIKDPMGKVLSNLCCFLRFHKPLLVNQQPRLLQLQVI >KJB42249 pep chromosome:Graimondii2_0_v6:7:12211427:12215184:-1 gene:B456_007G145100 transcript:KJB42249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDSLKSTPLKPKACLSLTSKSPVSKTPEKHSSQLPNRARNRGVALSIKEIRQVAQSRPKPPTDQTKSARKQILSWPNESPPRKTSGGRPDKLPEKYEMLCEFFNSLDSAIRLLRSKGSMPTFTNISPKIECLTDRRFTYRHLAQLKHVLPEAIEIKRMLVFDEKTSCMKPDLHVSIIMDAIDCGDNSKSETKNMKLRKVFRDRLADYIKAHPEGDEIPEEDLPEPFNRSKKNIQKNMIKGPISLSSDASLTDTIMEQQSVAANDEVVQEEAQPQPSNHTKPNSKLALETLTGLVNDLQPVVASHVSRSFRKHFSLKTTSKVQEAVQKCSNFQGPEICADRSASSDETTFAPTPSPTKFISKPTTSKTHPKNCLPATPVKEFNPFKTEDESPIKAGCIQSTPVKLASTPARLMTATPTLQPQKRCYMSPDEVSATSSNKLVRRPPRTRSLKFDTPMKEEMDVDDTQEMAGKPVDNNKDDILSILPESLRHSIREKERKALEEQDPAISQAKQRQRMIACLPKLFNMIHYLFQSIKRSVITKEELMHKIIAGHCDIVDRGEVEEQLKLLQELAPEWIYEKMASAGDLLVCINKVSSPESIRMRIQEAK >KJB42250 pep chromosome:Graimondii2_0_v6:7:12211502:12215184:-1 gene:B456_007G145100 transcript:KJB42250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDSLKSTPLKPKACLSLTSKSPVSKTPEKHSSQLPNRARNRGVALSIKEIRQVAQSRPKPPTDQTKSARKQILSWPNESPPRKTSGGRPDKLPEKYEMLCEFFNSLDSAIRLLRSKGSMPTFTNISPKIECLTDRRFTYRHLAQLKHVLPEAIEIKRMLVFDEKTSCMKPDLHVSIIMDAIDCGDNSKSETKNMKLRKVFRDRLADYIKAHPEGDEIPEEDLPEPFNRSKKNIQKNMIKGPISLSSDASLTDTIMEQQSVAANDEVVQEEAQPQPSNHTKPNSKLALETLTGLVNDLQPVVASHVSRSFRKHFSLKTTSKVQEAVQKCSNFQGPEICADRSASSDETTFAPTPSPTKFISKPTTSKTHPKNCLPATPVKEFNPFKTEDESPIKAGCIQSTPVKLASTPARLMTATPTLQPQKRCYMSPDEVSATSSNKLVRRPPRTRSLKFDTPMKEEMDVDDTQEMAGKPVDNNKDDILSILPESLRHSIREKERKALEEQDPAISQAKQRQRMIACLPKLFNMIHYLFQSIKRSVITKEELMHKIIAGHCDIVDRGEVEEQLKLLQELAPEWIYEKMASAGDLLVW >KJB39366 pep chromosome:Graimondii2_0_v6:7:719572:720895:1 gene:B456_007G009100 transcript:KJB39366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTIAFGSGVSTTALGLSSLKRSPTVGVATIIFPKPRRSSAMVVRAEGQTINPEIRKTEEKVVDSVVVTELSKPLTAYCRCWRSGTFPLCDGGHVKHNKATGDNVGPLLLKKQ >KJB42025 pep chromosome:Graimondii2_0_v6:7:10778726:10781370:-1 gene:B456_007G132900 transcript:KJB42025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVNQLQRQFIDYSASLHREGFLDDQFTQLQKLQDDNSPDFVVEVASLFFEDCEKLINNMARALEQQQGVDFKQVDASVHQLKGSSSSIGAMRVKDVCIAFRSFCEAQNREGCLRCLQQVTHEYSLLKNKLQTLFRLQQQILAAGGSIPVVE >KJB42024 pep chromosome:Graimondii2_0_v6:7:10778792:10781335:-1 gene:B456_007G132900 transcript:KJB42024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVNQLQRQFIDYSASLHREGFLDDQFTQLQKLQDDNSPDFVVEVASLFFEDCEKLINNMARALEQQQGVDFKQVDASVHQLKGSSSSIGAMRVKDVCIAFRSFCEAQNREGCLRCLQQVTHEYSLLKNKLQTLFRLQQQILAAGGSIPVVE >KJB44439 pep chromosome:Graimondii2_0_v6:7:40492947:40497878:-1 gene:B456_007G253100 transcript:KJB44439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFLQSMDVQILVGVGVAVLAILVAAAYLFSSWKPKGCLDPENFRGFKLVKRQQLSHNVAKFTFELPTPTSVLGLPIGQHISCRGKDSQGEEVIKPYTPTTLDSDVGHFELVIKMYPQGRMSHHFRELRVGDYLAVKGPKGRFRYQPGQVRAFGMIAGGSGITPMFQVARAILENPKDMTNVRLIYANVTYEDILLKEELDSLVAKYPGRFKVYYVLNQPPEVWNGGVGFVSKEMIQTHCPAPAPDIKVWPSTDEQSHGWPS >KJB44440 pep chromosome:Graimondii2_0_v6:7:40492947:40497878:-1 gene:B456_007G253100 transcript:KJB44440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFLQSMDVQILVGVGVAVLAILVAAAYLFSSWKPKGCLDPENFRGFKLVKRQQLSHNVAKFTFELPTPTSVLGLPIGQHISCRGKDSQGEEVIKPYTPTTLDSDVGHFELVIKMYPQGRMSHHFRELRVGDYLAVKGPKGRFRYQPGQVRAFGMIAGGSGITPMFQVSRAILENPKDMTNVRLIYANVTYEDILLKEELDSLVAKYPGRFKVYYVLNQPPEVWNGGVGFVSKEMIQTHCPAPAPDIKILRCGPPPMNKAMAGHLDALGYSPEIQFQF >KJB44438 pep chromosome:Graimondii2_0_v6:7:40493356:40497878:-1 gene:B456_007G253100 transcript:KJB44438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFLQSMDVQILVGVGVAVLAILVAAAYLFSSWKPKGCLDPENFRGFKLVKRQQLSHNVAKFTFELPTPTSVLGLPIGQHISCRGKDSQGEEVIKPYTPTTLDSDVGHFELVIKMYPQGRMSHHFRELRVGDYLAVKGPKGRFRYQPGQVRAFGMIAGGSGITPMFQVARAILENPKDMTNVRLIYANVTYEDILLKEELDSLVAKYPGRFKVYYVLNQIRILKIKKKGKSMTKWSLLEKLSESI >KJB44441 pep chromosome:Graimondii2_0_v6:7:40494309:40497634:-1 gene:B456_007G253100 transcript:KJB44441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFLQSMDVQILVGVGVAVLAILVAAAYLFSSWKPKGCLDPENFRGFKLVKRQQLSHNVAKFTFELPTPTSVLGLPIGQHISCRGKDSQGEEVIKPYTPTTLDSDVGHFELVIKMYPQGRMSHHFRELRVGDYLAVKGPKGRFRYQPGQVRAFGMIAGGSGITPMFQVARAILENPKDMTNVRLIYANVTYEDILLKEELDSLVAKYPGRFKVYYVLNQVCCLSHVAIS >KJB44437 pep chromosome:Graimondii2_0_v6:7:40494309:40497634:-1 gene:B456_007G253100 transcript:KJB44437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFLQSMDVQILVGVGVAVLAILVAAAYLFSSWKPKGCLDPENFRGFKLVKRQQLSHNVAKFTFELPTPTSVLGLPIGQHISCRGKDSQGEEVIKPYTPTTLDSDVGHFELVIKMYPQGRMSHHFRELRVGDYLAVKGPKGRFRYQPGQVRAFGMIAGGSGITPMFQVARAILENPKDMTNVRLIYANVTYEDILLKEELDSLVAKYPGRFKVYYVLNQVCCLSHVAIS >KJB44436 pep chromosome:Graimondii2_0_v6:7:40492931:40497944:-1 gene:B456_007G253100 transcript:KJB44436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFLQSMDVQILVGVGVAVLAILVAAAYLFSSWKPKGCLDPENFRGFKLVKRQQLSHNVAKFTFELPTPTSVLGLPIGQHISCRGKDSQGEEVIKPYTPTTLDSDVGHFELVIKMYPQGRMSHHFRELRVGDYLAVKGPKGRFRYQPGQVRAFGMIAGGSGITPMFQVARAILENPKDMTNVRLIYANVTYEDILLKEELDSLVAKYPGRFKVYYVLNQPPEVWNGGVGFVSKEMIQTHCPAPAPDIKILRCGPPPMNKAMAGHLDALGYSPEIQFQF >KJB44442 pep chromosome:Graimondii2_0_v6:7:40494309:40497634:-1 gene:B456_007G253100 transcript:KJB44442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFLQSMDVQILVGVGVAVLAILVAAAYLFSSWKPKGCLDPENFRGFKLVKRQQLSHNVAKFTFELPTPTSVLGLPIGQHISCRGKDSQGEEVIKPYTPTTLDSDVGHFELVIKMYPQGRMSHHFRELRVGDYLAVKGPKGRFRYQPGQVRAFGMIAGGSGITPMFQVARAILENPKDMTNVRLIYANVTYEDILLKEELDSLVAKYPGRFKVYYVLNQVCCLSHVAIS >KJB39134 pep chromosome:Graimondii2_0_v6:7:1760416:1763341:1 gene:B456_007G025200 transcript:KJB39134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLPNKIKINPGQEDLSATFSLKISPISSAMASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARSWTQKYAMG >KJB39131 pep chromosome:Graimondii2_0_v6:7:1760539:1763341:1 gene:B456_007G025200 transcript:KJB39131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARSWTQKYAMG >KJB39132 pep chromosome:Graimondii2_0_v6:7:1760507:1763341:1 gene:B456_007G025200 transcript:KJB39132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVIVQHVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARSWTQKYAMG >KJB39128 pep chromosome:Graimondii2_0_v6:7:1760507:1762647:1 gene:B456_007G025200 transcript:KJB39128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVIVQHVLKHIHILI >KJB39133 pep chromosome:Graimondii2_0_v6:7:1760507:1763341:1 gene:B456_007G025200 transcript:KJB39133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARSWTQKYAMG >KJB39129 pep chromosome:Graimondii2_0_v6:7:1760541:1763341:1 gene:B456_007G025200 transcript:KJB39129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARSWTQKYAMG >KJB39130 pep chromosome:Graimondii2_0_v6:7:1760507:1763341:1 gene:B456_007G025200 transcript:KJB39130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARSWTQKYAMG >KJB39127 pep chromosome:Graimondii2_0_v6:7:1760507:1763341:1 gene:B456_007G025200 transcript:KJB39127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARSWTQKYAMG >KJB40047 pep chromosome:Graimondii2_0_v6:7:3060949:3062554:-1 gene:B456_007G044100 transcript:KJB40047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLDRILKELEECNTEQDSSGITLSLKPDNLTRLVGSIAGPLGTPYEGGSFQIHIALPGAYPYEPPRMKFITKIWHPNISSQSGAMCLDILKDQWSRGVRLKTALPSVQALLSAPELRDPQDALVARQYICKYQTFIDTARSWTENFARVSSLGVEEKTGTDETACRNGFCR >KJB40464 pep chromosome:Graimondii2_0_v6:7:4569139:4571749:-1 gene:B456_007G065100 transcript:KJB40464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSTAGQVIRCKAAVAWESGRALTIEEVEVAPPQAMEVRLKILFTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGVVESLGEGVTDLKPGDHVLPVFTGECGDCRHCKSEESNMCDLLRINTDRGVMLSDGKTRFSINGKPIYHFVGTSTFSEYTVVHVGCVAKVNPAAPLDKIFVLSCGISTGLGAILNVAKPTKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNPNRFEEAKKFGCNEFVNPKDYDKPVQEVLAEMTNGGVDRSVECTGNINAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPVNFLNERTLKGTFFGNYKPRSDLPSVVEKYMNKELELEKFITHEVAFSDINKAFDYMLAGEGIRCLIRMDT >KJB45239 pep chromosome:Graimondii2_0_v6:7:50738116:50743350:-1 gene:B456_007G297200 transcript:KJB45239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIGKTLLESGWLAARSTEVELTGSQLTTTHPPTGPSSPWMEAVVPGTVLATLVKNKVVADPFYGLENEMIIDIADSGREYYKFWFFTKFQCKLSGAQHLDLNFRAINYSAEVYLNGHKMVLPKGMFRRHSLEVTDILNPDGENLLAVLVHPPDHPGRIPPEGGQGGDHEIGKDVATQYVEGWDWIAPVRDRNTGIWDEVSISVTGPVKIIDPHLVSSFFDQYTRAYLHATTELENRSAWVADCSLNIQVATELEGSVCLIEHLQTQHVSVPPGARIQYTFPKLSFYKPNLWWPNGLGKQSLYNVSITVDVKGYGESDTWGHLFGFRKIESHIDRATGGRLFKVNGQPIFIRGGNWILSDCLLRLSKERYKTDIKFHADMNLNMIRCWGGGLAERPEFYHYCDVYGLLVWQEFWITGDVDGRGIPISNPDGPLDHDLFMLCARDTVKLLRNYPSLALWVGGNEQVPPDDINTALKNDLKLHPLFESHSENALSIEEEDPSQYLDGTRVYIQGSMWDGFANGKGDFTDGPYEIQNPEDFFKDNFYNYGFNPEVGSVGMPVAATIRATMPPKGWQIPLFKKLTNGYTEEVPNPIWEYHKYIPYSKPGKVHDQIELYGIPKDLDDFCLKAQLVNYVQYRALLEGWTSRMWSKYTGVLIWKTQNPWSGLRGQFYDYLLDQTAGFYGCRCAAEPIHVQLNLATYFIEVSCKHYIRGIVECCRRSISVGS >KJB45240 pep chromosome:Graimondii2_0_v6:7:50737097:50743458:-1 gene:B456_007G297200 transcript:KJB45240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIGKTLLESGWLAARSTEVELTGSQLTTTHPPTGPSSPWMEAVVPGTVLATLVKNKVVADPFYGLENEMIIDIADSGREYYKFWFFTKFQCKLSGAQHLDLNFRAINYSAEVYLNGHKMVLPKGMFRRHSLEVTDILNPDGENLLAVLVHPPDHPGRIPPEGGQGGDHEIGKDVATQYVEGWDWIAPVRDRNTGIWDEVSISVTGPVKIIDPHLVSSFFDQYTRAYLHATTELENRSAWVADCSLNIQVATELEGSVCLIEHLQTQHVSVPPGARIQYTFPKLSFYKPNLWWPNGLGKQSLYNVSITVDVKGYGESDTWGHLFGFRKIESHIDRATGGRLFKVNGQPIFIRGGNWILSDCLLRLSKERYKTDIKFHADMNLNMIRCWGGGLAERPEFYHYCDVYGLLVWQEFWITGDVDGRGIPISNPDGPLDHDLFMLCARDTVKLLRNYPSLALWVGGNEQVPPDDINTALKNDLKLHPLFESHSENALSIEEEDPSQYLDGTRVYIQGSMWDGFANGKGDFTDGPYEIQNPEDFFKDNFYNYGFNPEVGSVGMPVAATIRATMPPKGWQIPLFKKLTNGYTEEVPNPIWEYHKYIPYSKPGKVHDQIELYGIPKDLDDFCLKAQLVNYVQYRALLEGWTSRMWSKYTGVLIWKTQNPWSGLRGQFYDYLLDQTAGFYGCRCAAEPIHVQLNLATYFIEVVNTTSEELSNVAVEASVWDLEGACPSYNVFDKLSLPPKKVMSISELNHPKDLMSELCSSLNFPFML >KJB45237 pep chromosome:Graimondii2_0_v6:7:50737042:50741195:-1 gene:B456_007G297200 transcript:KJB45237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSTYHTTQCHKPKPVKIIDPHLVSSFFDQYTRAYLHATTELENRSAWVADCSLNIQVATELEGSVCLIEHLQTQHVSVPPGARIQYTFPKLSFYKPNLWWPNGLGKQSLYNVSITVDVKGYGESDTWGHLFGFRKIESHIDRATGGRLFKVNGQPIFIRGGNWILSDCLLRLSKERYKTDIKFHADMNLNMIRCWGGGLAERPEFYHYCDVYGLLVWQEFWITGDVDGRGIPISNPDGPLDHDLFMLCARDTVKLLRNYPSLALWVGGNEQVPPDDINTALKNDLKLHPLFESHSENALSIEEEDPSQYLDGTRVYIQGSMWDGFANGKGDFTDGPYEIQNPEDFFKDNFYNYGFNPEVGSVGMPVAATIRATMPPKGWQIPLFKKLTNGYTEEVPNPIWEYHKYIPYSKPGKVHDQIELYGIPKDLDDFCLKAQLVNYVQYRALLEGWTSRMWSKYTGVLIWKTQNPWSGLRGQFYDYLLDQTAGFYGCRCAAEPIHVQLNLATYFIEVVNTTSEELSNVAVEASVWDLEGACPSYNVFDKLSLPPKKVMSISELNHPKSENPKPVYFLLLKLYNMSNNSIISRNFYWLYLPGGDYKLLEPYRNKRIPLQITSKTSHKDSSYEIEMNVQNKSEKPDPKILTYKNKFANRREDGEFDMSSLEPIHDKTEEKQKAGLFQRLYRQFPRETDGSKITEIKGSDVGVVFFLKFSVHALKTDNKGGEDTRILPVHYSDNYFSLVPGEEMSIKMSFEVPQGVTPRVTLEGWNYQSEVHTVI >KJB45238 pep chromosome:Graimondii2_0_v6:7:50737042:50743499:-1 gene:B456_007G297200 transcript:KJB45238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIGKTLLESGWLAARSTEVELTGSQLTTTHPPTGPSSPWMEAVVPGTVLATLVKNKVVADPFYGLENEMIIDIADSGREYYKFWFFTKFQCKLSGAQHLDLNFRAINYSAEVYLNGHKMVLPKGMFRRHSLEVTDILNPDGENLLAVLVHPPDHPGRIPPEGGQGGDHEIGKDVATQYVEGWDWIAPVRDRNTGIWDEVSISVTGPVKIIDPHLVSSFFDQYTRAYLHATTELENRSAWVADCSLNIQVATELEGSVCLIEHLQTQHVSVPPGARIQYTFPKLSFYKPNLWWPNGLGKQSLYNVSITVDVKGYGESDTWGHLFGFRKIESHIDRATGGRLFKVNGQPIFIRGGNWILSDCLLRLSKERYKTDIKFHADMNLNMIRCWGGGLAERPEFYHYCDVYGLLVWQEFWITGDVDGRGIPISNPDGPLDHDLFMLCARDTVKLLRNYPSLALWVGGNEQVPPDDINTALKNDLKLHPLFESHSENALSIEEEDPSQYLDGTRVYIQGSMWDGFANGKGDFTDGPYEIQNPEDFFKDNFYNYGFNPEVGSVGMPVAATIRATMPPKGWQIPLFKKLTNGYTEEVPNPIWEYHKYIPYSKPGKVHDQIELYGIPKDLDDFCLKAQLVNYVQYRALLEGWTSRMWSKYTGVLIWKTQNPWSGLRGQFYDYLLDQTAGFYGCRCAAEPIHVQLNLATYFIEVVNTTSEELSNVAVEASVWDLEGACPSYNVFDKLSLPPKKVMSISELNHPKSENPKPVYFLLLKLYNMSNNSIISRNFYWLYLPGGDYKLLEPYRNKRIPLQITSKTSHKDSSYEIEMNVQNKSEKPDPKILTYKNKFANRREDGEFDMSSLEPIHDKTEEKQKAGLFQRLYRQFPRETDGSKITEIKGSDVGVVFFLKFSVHALKTDNKGGEDTRILPVHYSDNYFSLVPGEEMSIKMSFEVPQGVTPRVTLEGWNYQSEVHTVI >KJB44174 pep chromosome:Graimondii2_0_v6:7:32519523:32524268:1 gene:B456_007G238400 transcript:KJB44174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVESIQEEKPFLSGMVDGSTNLPVNLSCFELIKPSLDETNQHCSLDVLPILIEETSFSAREKCSLNTSHGQDVYSISVLPEEGNMSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCVDLKVDSEDAYSSCILDINIEKETPDLLKSNDEIVGNSKSEGVVTHLQKVLQRQASLNVEKSSNERVHDAPSNRWRRYKRAASFDSRKIVLLFSILSSVGTLILIYLTLRVRQTIDGFNHV >KJB44175 pep chromosome:Graimondii2_0_v6:7:32519523:32524268:1 gene:B456_007G238400 transcript:KJB44175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVESIQEEKPFLSGMVDGSTNLPVNLSCFELIKPSLDETNQHCSLDVLPILIEETSFSAREKCSLNTSHGQDVYSISVLPEEGNMSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCVDLKVDSEDAYSSCILDINIEKETPDLLKSNDEIVGNSKSEGVVTRNHRTRGFMMHQATDGEDTNELHHLIRGKLSSFSQSCQVWGH >KJB44178 pep chromosome:Graimondii2_0_v6:7:32519523:32524268:1 gene:B456_007G238400 transcript:KJB44178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVESIQEEKPFLSGMVDGSTNLPVNLSCFELIKPSLDETNQHCSLDVLPILIEETSFSAREKCSLNTSHGQDVYSISVLPEEGNMSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCVDLKVDSEDAYSSCILDINIEKETPDLLKSNDEIVGNSKSEGVVTHLQKVLQRQASLNRNHRTRGFMMHQATDGEDTNELHHLIRGKLSSFSQSCQVWGH >KJB44171 pep chromosome:Graimondii2_0_v6:7:32519523:32524268:1 gene:B456_007G238400 transcript:KJB44171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGSTNLPVNLSCFELIKPSLDETNQHCSLDVLPILIEETSFSAREKCSLNTSHGQDVYSISVLPEEGNMSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCVDLKVDSEDAYSSCILDINIEKETPDLLKSNDEIVGNSKSEGVVTHLQKVLQRQASLNVEKSSNERVHDAPSNRWRRYKRAASFDSRKIVLLFSILSSVGTLILIYLTLRVRQTIDGFNHV >KJB44177 pep chromosome:Graimondii2_0_v6:7:32519523:32524268:1 gene:B456_007G238400 transcript:KJB44177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVESIQEEKPFLSGMVDGSTNLPVNLSCFELIKPSLDETNQHCSLDVLPILIEETSFSAREKCSLNTSHGQDVYSISVLPEEGNMSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCVDLKVDSEDAYSSCILDINIEKETPDLLKSNDEIVGNSKSEGVVTHLQKVLQRQASLNVGHSFKKAADSNLSNQCRAGKEERKFLCHTIWMVPLPI >KJB44176 pep chromosome:Graimondii2_0_v6:7:32519523:32522961:1 gene:B456_007G238400 transcript:KJB44176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVESIQEEKPFLSGMVDGSTNLPVNLSCFELIKPSLDETNQHCSLDVLPILIEETSFSAREKCSLNTSHGQDVYSISVLPEEGNMSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCVDLKVDSEDAYSSCILDINIEKETPDLLKSNDEIVGNSKSEGVVTHLQKVLQRQASLNVGESSLSFDLSMS >KJB44168 pep chromosome:Graimondii2_0_v6:7:32520050:32522523:1 gene:B456_007G238400 transcript:KJB44168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVESIQEEKPFLSGMVDGSTNLPVNLSCFELIKPSLDETNQHCSLDVLPILIEETSFSAREKCSLNTSHGQDVYSISVLPEEGNMSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCVDLKVDSEDAYSSCILDINIEKETPDLLKSNDEIVGNSKSEGVVTHLQKVLQRQASLNVGESSLSFDLSMS >KJB44167 pep chromosome:Graimondii2_0_v6:7:32519523:32522352:1 gene:B456_007G238400 transcript:KJB44167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVESIQEEKPFLSGMVDGSTNLPVNLSCFELIKPSLDETNQHCSLDVLPILIEETSFSAREKCSLNTSHGQDVYSISVLPEEGNMSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCVDLKVDSEDAYSSCILDINIEKETPDLLKSNDEIVGNSKSEGVVTVSSIYLSLH >KJB44172 pep chromosome:Graimondii2_0_v6:7:32519523:32524268:1 gene:B456_007G238400 transcript:KJB44172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGSTNLPVNLSCFELIKPSLDETNQHCSLDVLPILIEETSFSAREKCSLNTSHGQDVYSISVLPEEGNMSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCVDLKVDSEDAYSSCILDINIEKETPDLLKSNDEIVGNSKSEGVVTHLQKVLQRQASLNVEKSSNERVHDAPSNRWRRYKRAASFDSRKIVLLFSILSSVGTLILIYLTLRVRQTIDGFNHV >KJB44169 pep chromosome:Graimondii2_0_v6:7:32519523:32523897:1 gene:B456_007G238400 transcript:KJB44169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVESIQEEKPFLSGMVDGSTNLPVNLSCFELIKPSLDETNQHCSLDVLPILIEETSFSAREKCSLNTSHGQDVYSISVLPEEGNMSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCVDLKVDSEDAYSSCILDINIEKETPDLLKSNDEIVGNSKSEGVVTHLQKVLQRQASLNVEKSSNERVHDAPSNRWRRYKRAASFDSRKIVLLFSIL >KJB44166 pep chromosome:Graimondii2_0_v6:7:32519381:32524297:1 gene:B456_007G238400 transcript:KJB44166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVESIQEEKPFLSGMVDGSTNLPVNLSCFELIKPSLDETNQHCSLDVLPILIEETSFSAREKCSLNTSHGQDVYSISVLPEEGNMSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCVDLKVDSEDAYSSCILDINIEKETPDLLKSNDEIVGNSKSEGVVTHLQKVLQRQASLNVEKSSNERVHDAPSNRWRRYKRAASFDSRKIVLLFSILSSVGTLILIYLTLRVRQTIDGFNHV >KJB44173 pep chromosome:Graimondii2_0_v6:7:32520854:32524050:1 gene:B456_007G238400 transcript:KJB44173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGSTNLPVNLSCFELIKPSLDETNQHCSLDVLPILIEETSFSAREKCSLNTSHGQDVYSISVLPEEGNMSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCVDLKVDSEDAYSSCILDINIEKETPDLLKSNDEIVGNSKSEGVVTHLQKVLQRQASLNVEKSSNERVHDAPSNRWRRYKRAASFDSRKIVLLFSILSSVGTLILIYLTLRVRQTIDGFNHV >KJB44170 pep chromosome:Graimondii2_0_v6:7:32519523:32524268:1 gene:B456_007G238400 transcript:KJB44170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGSTNLPVNLSCFELIKPSLDETNQHCSLDVLPILIEETSFSAREKCSLNTSHGQDVYSISVLPEEGNMSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCVDLKVDSEDAYSSCILDINIEKETPDLLKSNDEIVGNSKSEGVVTHLQKVLQRQASLNVEKSSNERVHDAPSNRWRRYKRAASFDSRKIVLLFSILSSVGTLILIYLTLRVRQTIDGFNHV >KJB44734 pep chromosome:Graimondii2_0_v6:7:45053006:45055051:1 gene:B456_007G268900 transcript:KJB44734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYCKRSGQIPAFGDWDNANELPITQYFECARQAGLIRFSSSSGESNPYVAAHDLYETADSRKHSRNLAPPRKASRVREKGGAHVKEQKKAGRVCDVTEPPRKYYQHPHHHVSLSVNNDNTTSSNSKHPIYDAVPPPNPKRLPVRPPKPVDEDLYKIPPELLHSSKRKKMPGFFSCLVPACAT >KJB44732 pep chromosome:Graimondii2_0_v6:7:45052816:45055088:1 gene:B456_007G268900 transcript:KJB44732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYCKRSGQIPAFGDWDNANELPITQYFECARQAGLIRFSSSSGESNPYVAAHDLYETADSRKHSRNLAPPRKQASRVREKGGAHVKEQKKAGRVCDVTEPPRKYYQHPHHHVSLSVNNDNTTSSNSKHPIYDAVPPPNPKRLPVRPPKPVDEDLYKIPPELLHSSKRKKMPGFFSCLVPACAT >KJB44733 pep chromosome:Graimondii2_0_v6:7:45052997:45054559:1 gene:B456_007G268900 transcript:KJB44733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYCKRSGQIPAFGDWDNANELPITQYFECARQAGLIRFSSSSGESNPYVAAHDLYETADSRKHSRNLAPPRKQASRVREKGGAHVKEQKKAGRVCDVTEPPRKYYQHPHHHVSLSVNNDNTTSSNSKHPIYDAVPPPNPKRLPVRPPKPVDEDLYKIPPELLHSSKRVCPLPGLFFYLYFFNVSISR >KJB39184 pep chromosome:Graimondii2_0_v6:7:221297:226835:1 gene:B456_007G002100 transcript:KJB39184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional D-cysteine desulfhydrase/1-aminocyclopropane-1-carboxylate deaminase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48420) UniProtKB/Swiss-Prot;Acc:F4HYF3] MLWSATARLSANPSPLHLPVFNQSITVLGKSKPSRVCCSPSRAMETNDDTSKAKGLSFDDFLSKKPYSPPTWASHLKPIPSHVFSLAHLPTPIHKWNLPNLPQNTEVWLKRDDLSGMQLSGNKVRKLEFLLAEAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDSYLILRTSKLLVDKDPGLTGNLLVERFMGAHIQLISKEEYAKIGSVALTNVLKEQLLKEGRRPYVIPVGGSNSLGTWGYIEAMREIEEQLQIRSNRVKFDDIVVACGSGGTIAGLSLGSWLGALKAKT >KJB39189 pep chromosome:Graimondii2_0_v6:7:221625:226835:1 gene:B456_007G002100 transcript:KJB39189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional D-cysteine desulfhydrase/1-aminocyclopropane-1-carboxylate deaminase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48420) UniProtKB/Swiss-Prot;Acc:F4HYF3] MQLSGNKVRKLEFLLAEAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDSYLILRTSKLLVDKDPGLTGNLLVERFMGAHIQLISKEEYAKIGSVALTNVLKEQLLKEGRRPYVIPVGGSNSLGTWGYIEAMREIEEQLQIRSNRVKFDDIVVACGSGGTIAGLSLGSWLGALKAKVHAFCVCDDPDYFYDFVQDLIDGLQAGVNARDIVSIINAKGLGYAINTSEELKFVKEVAAATGVVLDPVYSGKAAYGMMKDMAENPNKWEGRKVLFVHTGGLLGLFDKVDQMAPLVGNWQRMDVNESIPRKEGIGKMF >KJB39183 pep chromosome:Graimondii2_0_v6:7:221297:224728:1 gene:B456_007G002100 transcript:KJB39183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional D-cysteine desulfhydrase/1-aminocyclopropane-1-carboxylate deaminase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48420) UniProtKB/Swiss-Prot;Acc:F4HYF3] MLWSATARLSANPSPLHLPVFNQSITVLGKSKPSRVCCSPSRAMETNDDTSKAKGLSFDDFLSKKPYSPPTWASHLKPIPSHVFSLAHLPTPIHKWNLPNLPQNTEVWLKRDDLSGMQLSGNKVRKLEFLLAEAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDSYLILRTSKLLVDKDPGLTGNLLVERFMGAHIQLISKEEYAKIGSVALTNVLKEQLLKEGRRPYVIPVGGSNSLGTWGYIEAMREIEEQLQIRSNRVKFDDIVVACGSGGTIAGLSLGSWLGALKAKVHAFCVCDDPDYFYDFVQDLIDGLQAGVNARDIVSIINVRFNMSLGFLTFFLF >KJB39182 pep chromosome:Graimondii2_0_v6:7:221297:224454:1 gene:B456_007G002100 transcript:KJB39182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional D-cysteine desulfhydrase/1-aminocyclopropane-1-carboxylate deaminase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48420) UniProtKB/Swiss-Prot;Acc:F4HYF3] MLWSATARLSANPSPLHLPVFNQSITVLGKSKPSRVCCSPSRAMETNDDTSKAKGLSFDDFLSKKPYSPPTWASHLKPIPSHVFSLAHLPTPIHKWNLPNLPQNTEVWLKRDDLSGMQLSGNKVRKLEFLLAEAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDSYLILRTSKLLVDKDPGLTGNLLVERFMGAHIQLISKEEYAKIGSVVSF >KJB39181 pep chromosome:Graimondii2_0_v6:7:221262:226857:1 gene:B456_007G002100 transcript:KJB39181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional D-cysteine desulfhydrase/1-aminocyclopropane-1-carboxylate deaminase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48420) UniProtKB/Swiss-Prot;Acc:F4HYF3] MLWSATARLSANPSPLHLPVFNQSITVLGKSKPSRVCCSPSRAMETNDDTSKAKGLSFDDFLSKKPYSPPTWASHLKPIPSHVFSLAHLPTPIHKWNLPNLPQNTEVWLKRDDLSGMQLSGNKVRKLEFLLAEAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDSYLILRTSKLLVDKDPGLTGNLLVERFMGAHIQLISKEEYAKIGSVALTNVLKEQLLKEGRRPYVIPVGGSNSLGTWGYIEAMREIEEQLQIRSNRVKFDDIVVACGRLKDWVMQLTLLRSLNL >KJB39187 pep chromosome:Graimondii2_0_v6:7:221297:226835:1 gene:B456_007G002100 transcript:KJB39187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional D-cysteine desulfhydrase/1-aminocyclopropane-1-carboxylate deaminase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48420) UniProtKB/Swiss-Prot;Acc:F4HYF3] MLWSATARLSANPSPLHLPVFNQSITVLGKSKPSRVCCSPSRAMETNDDTSKAKGLSFDDFLSKKPYSPPTWASHLKPIPSHVFSLAHLPTPIHKWNLPNLPQNTEVWLKRDDLSGMQLSGNKVRKLEFLLAEAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDSYLILRTSKLLVDKDPGLTGNLLVERFMGAHIQLISKEEYAKIGSVALTNVLKEQLLKEGRRPYVIPVGGSNSLGTWGYIEAMREIEEQLQIRSNRVKFDDIVVACGRFYSTQIISLSMNDFSDLIIDNT >KJB39186 pep chromosome:Graimondii2_0_v6:7:221297:226835:1 gene:B456_007G002100 transcript:KJB39186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional D-cysteine desulfhydrase/1-aminocyclopropane-1-carboxylate deaminase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48420) UniProtKB/Swiss-Prot;Acc:F4HYF3] MLWSATARLSANPSPLHLPVFNQSITVLGKSKPSRVCCSPSRAMETNDDTSKAKGLSFDDFLSKKPYSPPTWASHLKPIPSHVFSLAHLPTPIHKWNLPNLPQNTEVWLKRDDLSGMQLSGNKVRKLEFLLAEAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDSYLILRTSKLLVDKDPGLTGNLLVERFMGAHIQLISKEEYAKIGSVALTNVLKEQLLKEGRRPYVIPVGGSNSLGTWGYIEAMREIEEQLQIRSNRVKFDDIVVACGRFMHFAFVMILITSMTLFKT >KJB39185 pep chromosome:Graimondii2_0_v6:7:221297:226835:1 gene:B456_007G002100 transcript:KJB39185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional D-cysteine desulfhydrase/1-aminocyclopropane-1-carboxylate deaminase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48420) UniProtKB/Swiss-Prot;Acc:F4HYF3] MLWSATARLSANPSPLHLPVFNQSITVLGKSKPSRVCCSPSRAMETNDDTSKAKGLSFDDFLSKKPYSPPTWASHLKPIPSHVFSLAHLPTPIHKWNLPNLPQNTEVWLKRDDLSGMQLSGNKVRKLEFLLAEAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDSYLILRTSKLLVDKDPGLTGNLLVERFMGAHIQLISKEEYAKIGSVALTNVLKEQLLKEGRRPYVIPVGGSNSLGTWGYIEAMREIEEQLQIRSNRVKFDDIVVACGRFYSTQIISLSMNDFSDLIIDNT >KJB39188 pep chromosome:Graimondii2_0_v6:7:221297:226835:1 gene:B456_007G002100 transcript:KJB39188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional D-cysteine desulfhydrase/1-aminocyclopropane-1-carboxylate deaminase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48420) UniProtKB/Swiss-Prot;Acc:F4HYF3] MLWSATARLSANPSPLHLPVFNQSITVLGKSKPSRVCCSPSRAMETNDDTSKAKGLSFDDFLSKKPYSPPTWASHLKPIPSHVFSLAHLPTPIHKWNLPNLPQNTEVWLKRDDLSGMQLSGNKVRKLEFLLAEAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDSYLILRTSKLLVDKDPGLTGNLLVERFMGAHIQLISKEEYAKIGSVALTNVLKEQLLKEGRRPYVIPVGGSNSLGTWGYIEAMREIEEQLQIRSNRVKFDDIVVACGRLD >KJB39180 pep chromosome:Graimondii2_0_v6:7:221182:226866:1 gene:B456_007G002100 transcript:KJB39180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional D-cysteine desulfhydrase/1-aminocyclopropane-1-carboxylate deaminase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48420) UniProtKB/Swiss-Prot;Acc:F4HYF3] MLWSATARLSANPSPLHLPVFNQSITVLGKSKPSRVCCSPSRAMETNDDTSKAKGLSFDDFLSKKPYSPPTWASHLKPIPSHVFSLAHLPTPIHKWNLPNLPQNTEVWLKRDDLSGMQLSGNKVRKLEFLLAEAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDSYLILRTSKLLVDKDPGLTGNLLVERFMGAHIQLISKEEYAKIGSVALTNVLKEQLLKEGRRPYVIPVGGSNSLGTWGYIEAMREIEEQLQIRSNRVKFDDIVVACGSGGTIAGLSLGSWLGALKAKVHAFCVCDDPDYFYDFVQDLIDGLQAGVNARDIVSIINAKGLGYAINTSEELKFVKEVAAATGVVLDPVYSGKAAYGMMKDMAENPNKWEGRKVLFVHTGGLLGLFDKVDQMAPLVGNWQRMDVNESIPRKEGIGKMF >KJB41873 pep chromosome:Graimondii2_0_v6:7:10021862:10022995:1 gene:B456_007G125400 transcript:KJB41873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGISATMYKNLTGYWRRRGYVKLNGTSGARRSRVELGSSRRKRFWKIRIKAKLRIRSPKKWLVWLRDAYVKMMLGLANSRMVSSGYGGSITDQGIAAFGKRPVKEYDEKVIVEIYKSLVMAQGQLVPREPGKLCSAIICQR >KJB44258 pep chromosome:Graimondii2_0_v6:7:35385085:35387171:1 gene:B456_007G242800 transcript:KJB44258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQKLESYFGCKIAINTSMSIYRFLIVVGRMGTEMLTNEAGEVTSHLQGMFHYLVHIVAYLQKLLTCQTYTDKEGIRQQQLLAFIPRHHKHYILPNFFSKKVHFSPQLQTDPRKNYLHAKSRLQPSGFTFKEQETSQKLIGIKQSKFRIK >KJB44257 pep chromosome:Graimondii2_0_v6:7:35384950:35387171:1 gene:B456_007G242800 transcript:KJB44257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQKLESYFGCKIAINTSMSIYRFLIVVGRMGTEMLTNEAGEVTSHLQGMFHYLVHIVAYLQKLLTCQTYTDKEGIRQQQLLAFIPRHHKHYILPNFFSKKVHFSPQLQTDPRKNYLHAKSRLQPSGFTFKEQETSQKLIGIKQSKFRIK >KJB42822 pep chromosome:Graimondii2_0_v6:7:15167964:15170627:-1 gene:B456_007G169000 transcript:KJB42822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTGGIASYLVPAAALGALGYCYMWWKGLSISDVMFVTKQNMMDAVAAVSKQLDNVSETLNTTKRHLSRRLEGLDWKVEEQKETSLLIAKNVDDMKSNLSEIGFNVEMINKMVAGLEGKIELLESKQDVANSGLWYLCQFAEGVKDGVNTKLFQDVGAKLAIDSAVKFEEKSVKGLQFLTETNESAPSQKPTVDPDKTDVDSPVKKVPTMKTKVHRSYPVGISWARDIIGSDT >KJB42825 pep chromosome:Graimondii2_0_v6:7:15167964:15171774:-1 gene:B456_007G169000 transcript:KJB42825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQAGVQTSKVLILMGAGLTGSIVLRSGRLSELISQLQELLKGVDDVQFSPYKYDHTLLAAQIRQLAQELKDLTTSNPVTILNGNSGSGGGIASYLVPAAALGALGYCYMWWKGLSISDVMFVTKQNMMDAVAAVSKQLDNVSETLNTTKRHLSRRLEGLDWKVEEQKETSLLIAKNVDDMKSNLSEIGFNVEMINKMVAGLEGKIELLESKQDVANSGLWYLCQFAEGVKDGVNTKLFQDVGAKLAIDSAVKFEEKSVKVREVKPCFLYHKYTFSLQLNCPRLQFQGLQFLTETNESAPSQKPTVDPDKTDVDSPVKKVPTMKTKVHRSYPVGISWARDIIGSDT >KJB42821 pep chromosome:Graimondii2_0_v6:7:15167588:15171912:-1 gene:B456_007G169000 transcript:KJB42821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQAGVQTSKVLILMGAGLTGSIVLRSGRLSELISQLQELLKGVDDVQFSPYKYDHTLLAAQIRQLAQELKDLTTSNPVTILNGNSGSGGGIASYLVPAAALGALGYCYMWWKGLSISDVMFVTKQNMMDAVAAVSKQLDNVSETLNTTKRHLSRRLEGLDWKVEEQKETSLLIAKNVDDMKSNLSEIGFNVEMINKMVAGLEGKIELLESKQDVANSGLWYLCQFAEGVKDGVNTKLFQDVGAKLAIDSAVKFEEKSVKGLQFLTETNESAPSQKPTVDPDKTDVDSPVKKVPTMKTKVHRSYPVGISWARDIIGSDT >KJB42824 pep chromosome:Graimondii2_0_v6:7:15167608:15171853:-1 gene:B456_007G169000 transcript:KJB42824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQAGVQTSKVLILMGAGVDDVQFSPYKYDHTLLAAQIRQLAQELKDLTTSNPVTILNGNSGSGGGIASYLVPAAALGALGYCYMWWKGLSISDVMFVTKQNMMDAVAAVSKQLDNVSETLNTTKRHLSRRLEGLDWKVEEQKETSLLIAKNVDDMKSNLSEIGFNVEMINKMVAGLEGKIELLESKQDVANSGLWYLCQFAEGVKDGVNTKLFQDVGAKLAIDSAVKFEEKSVKGLQFLTETNESAPSQKPTVDPDKTDVDSPVKKVPTMKTKVHRSYPVGISWARDIIGSDT >KJB42826 pep chromosome:Graimondii2_0_v6:7:15167608:15171071:-1 gene:B456_007G169000 transcript:KJB42826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQAPAVWGIASYLVPAAALGALGYCYMWWKGLSISDVMFVTKQNMMDAVAAVSKQLDNVSETLNTTKRHLSRRLEGLDWKVEEQKETSLLIAKNVDDMKSNLSEIGFNVEMINKMVAGLEGKIELLESKQDVANSGLWYLCQFAEGVKDGVNTKLFQDVGAKLAIDSAVKFEEKSVKGLQFLTETNESAPSQKPTVDPDKTDVDSPVKKVPTMKTKVHRSYPVGISWARDIIGSDT >KJB42823 pep chromosome:Graimondii2_0_v6:7:15167608:15171633:-1 gene:B456_007G169000 transcript:KJB42823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWKGLSISDVMFVTKQNMMDAVAAVSKQLDNVSETLNTTKRHLSRRLEGLDWKVEEQKETSLLIAKNVDDMKSNLSEIGFNVEMINKMVAGLEGKIELLESKQDVANSGLWYLCQFAEGVKDGVNTKLFQDVGAKLAIDSAVKFEEKSVKGLQFLTETNESAPSQKPTVDPDKTDVDSPVKKVPTMKTKVHRSYPVGISWARDIIGSDT >KJB42827 pep chromosome:Graimondii2_0_v6:7:15167608:15171853:-1 gene:B456_007G169000 transcript:KJB42827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQAGVQTSKVLILMGAGLTGSIVLRSGRLSELISQLQELLKGVDDVQFSPYKYDHTLLAAQIRQLAQELKDLTTSNPVTILNGNSGSGGGIASYLVPAAALGALGYCYMWWKGLSISDVMFVTKQNMMDAVAAVSKQLDNVSETLNTTKRHLSRRLEGLDWKVEEQKETSLLIAKNEGKIELLESKQDVANSGLWYLCQFAEGVKDGVNTKLFQDVGAKLAIDSAVKFEEKSVKGLQFLTETNESAPSQKPTVDPDKTDVDSPVKKVPTMKTKVHRSYPVGISWARDIIGSDT >KJB40832 pep chromosome:Graimondii2_0_v6:7:5622778:5623497:-1 gene:B456_007G079200 transcript:KJB40832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYFRNSISVCNSVDQASSAPIMASSVNSNDLVMNNKSSSSSSRNNLYKKQKVFNSPSCLKIPSCERSRSAAIDVVILIAVIAACGFLLYPTIKCLSLKLIGFIGTAFYVIGEEIMRAPMIYGSIGLGFSCAAIAAWILLLCTTKKCRNPNCKGLRKAAEFDIQLETEECVKNSCTLVKDGVKKGLFELPRDHHKELEAELKKMAPVNGRAVLVFRARCGCSVGRLEVPGPKKQRKIKK >KJB44247 pep chromosome:Graimondii2_0_v6:7:35145258:35146620:-1 gene:B456_007G241900 transcript:KJB44247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSLTLIIFLALSFLSVSHTTFDQFLTSTSTASTATISAPQQSPFFTISPSPQPPQDHADHSLLPHTSLLAPILSHLGFNELATAAPSLFSDSTSAAAWSGPYTIFAPSDSSVRSCVSCSTPSLLREHMVPGLFTNDYLRKLTFGTKVETLSPGRCLTVTSTANNQKNFTVHKIFIGGVEITQPDLFNNGLLIIHGLQGYISPLSPFSCDVERMTSLSFPFHHGQSQNNQFKQQQNAALMRFMLRDAMLRLRNNGFSVLSLAMKVKYAELIPLINVTIFGLDDVSIFSGSYAYIHSVRFHIVPNQFLTAADLERLPVGTTLPTLDRGQSLVVTTAGEGITKNQLRINYVAIKVADMIRNLNVIVHSIYLPFPHLHPMAAVTDAILGGDQTSTVGGTNGDCEASNEQGQGNCGMSQVNQVATQLKPHMLELEDHHVHDHGL >KJB45856 pep chromosome:Graimondii2_0_v6:7:55645130:55645511:-1 gene:B456_007G3334001 transcript:KJB45856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRSLLSSVKSSYPQNFPSLFHHPIATKISSLKPLSFFSSFSSYHQPTYTTSPPLFKLTHKDWLSPTEILKIFDNLKDPNSLISVLAQYSARKDYKPTEPLFTLLINKLAYAQDFDSIENIMEKLK >KJB42350 pep chromosome:Graimondii2_0_v6:7:12629159:12635399:-1 gene:B456_007G149100 transcript:KJB42350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARPALSIQRSGASQLSSLGVSGGLSSSLSIPSTHLEGTYQKLPDIQQVPSERELTTRPQAHATRVPTNNGVVGHIFSSSSGFSSDLHYSSVLPNEKHSRNSPFISQSLADTASLPLSQSSSSLLPQPTIPSCCNKENSGSWCTDPDFLDFPVSTPVQSGQVENIQRSEDFSKQNDWQEWADQLITCDDALTSNWNELLVDNVTNLEPKMACQVAKPCTTMPAQNQQLPSPSVESLRVVNPSSSANNAPAKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPESSEGSSEKKLTSMEEISSLDLKTGMGITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQKSGLDKLKVSSSNPENPAATSDATKEAPTKSELESSQRDHLDSGTGTVNNAKSMLERSSREMGGEDMATEAGDLEKTKARVSETSPEQAAKRSRIEE >KJB42352 pep chromosome:Graimondii2_0_v6:7:12631436:12635531:-1 gene:B456_007G149100 transcript:KJB42352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARPALSIQRSGASQLSSLGVSGGLSSSLSIPSTHLEGTYQKLPDIQQVPSERELTTRPQAHATRVPTNNGVVGHIFSSSSGFSSDLHYSSVLPNEKHSRNSPFISQSLADTASLPLSQSSSSLLPQPTIPSCCNKENSGSWCTDPDFLDFPVSTPVQSGQVENIQRSEDFSKQNDWQEWADQLITCDDALTSNWNELLVDNVTNLEPKMACQVAKPCTTMPAQNQQLPSPSVESLRVVNPSSSANNAPAKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPESSEGCSNLSEWMDGSLRLERRMVHLVLINEVEMTFFSPSGLTGSRISAVW >KJB42349 pep chromosome:Graimondii2_0_v6:7:12629625:12633284:-1 gene:B456_007G149100 transcript:KJB42349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARPALSIQRSGASQLSSLGVSGGLSSSLSIPSTHLEGTYQKLPDIQQVPSERELTTRPQAHATRVPTNNGVVGHIFSSSSGFSSDLHYSSVLPNEKHSRNSPFISQSLADTASLPLSQSSSSLLPQPTIPSCCNKENSGSWCTDPDFLDFPVSTPVQSGQVENIQRSEDFSKQNDWQEWADQLITCDDALTSNWNELLVDNVTNLEPKMACQVAKPCTTMPAQNQQLPSPSVESLRVVNPSSSANNAPAKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPESSEGSSEKKLTSMEEISSLDLKTGMGITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQKSGLDKLKVSSSNPENPAATSDATKEAPTKSELESSQRDHLDSGTGTVNNAKSMLERSSREMGGEDMATEAGDLEKTKARVSETSPEQAAKRSRIEE >KJB42351 pep chromosome:Graimondii2_0_v6:7:12629416:12635190:-1 gene:B456_007G149100 transcript:KJB42351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARPALSIQRSGASQLSSLGVSGGLSSSLSIPSTHLEGTYQKLPDIQQVPSERELTTRPQAHATRVPTNNGVVGHIFSSSSGFSSDLHYSSVLPNEKHSRNSPFISQSLADTASLPLSQSSSSLLPQPTIPSCCNKENSGSWCTDPDFLDFPEWADQLITCDDALTSNWNELLVDNVTNLEPKMACQVAKPCTTMPAQNQQLPSPSVESLRVVNPSSSANNAPAKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPESSEGSSEKKLTSMEEISSLDLKTGMGITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQKSGLDKLKVSSSNPENPAATSDATKEAPTKSELESSQRDHLDSGTGTVNNAKSMLERSSREMGGEDMATEAGDLEKTKARVSETSPEQAAKRSRIEE >KJB42348 pep chromosome:Graimondii2_0_v6:7:12629625:12633284:-1 gene:B456_007G149100 transcript:KJB42348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARPALSIQRSGASQLSSLGVSGGLSSSLSIPSTHLEGTYQKLPDIQQVPSERELTTRPQAHATRVPTNNGVVGHIFSSSSGFSSDLHYSSVLPNEKHSRNSPFISQSLADTASLPLSQSSSSLLPQPTIPSCCNKENSGSWCTDPDFLDFPVSTPVQSGQVENIQRSEDFSKQNDWQEWADQLITCDDALTSNWNELLVDNVTNLEPKMACQVAKPCTTMPAQNQQLPSPSVESLRVVNPSSSANNAPAKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPESSEGSSEKKLTSMEEISSLDLKTGMGITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQKSGLDKLKVSSSNPENPAATSDATKEAPTKSELESSQRDHLDSGTGTVNNAKSMLERSSREMGGEDMATEAGDLEKTKARVSETSPEQAAKRSRIEE >KJB42377 pep chromosome:Graimondii2_0_v6:7:12695911:12699825:1 gene:B456_007G149900 transcript:KJB42377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPTILEIIVVVVIIIIIIKSFRLQSLLLRSKQEMFGCQRFYRKRTDQLAPSEPQTFSLRAPLPPWPQGQGFALGKINLGELEAVKVSRFKFIWSSNTQDEKKGVTFYKPIGIPDGFYSLGYYCQSNDQPFRGFVLVAREIPSKSESTHISTGIASPALREPVDYTLVWSSNDGSEERLQGCGFFWLPQPPEGYKSIGYLVTCSPKKPKLDKVRCVRADLTERCENYQVILDVGTRFSEFPFQVWSTRPSHRGMLGRGVSVWTFSCNSCWVPGQELPIACLKNLDPTLHAMPNCDQIHALINHYGPTVFFHPDEIYMPSSVSWFFENGALLFRKGDSVGQSIDVDGSNLPSGGRNDGKFWIDLPSGDRKNSVKSGNLGSAKLYVHVKPALGGTFTDIAMWIFCPFNGPATLKVGVMNYSLGKIGQHVGDWEHFTLRICNFTGELWSIYFSQHSGGVWVNAYDLEYIQGNKAIVYSSKNGHATFPHPGTYIQGSGKLGLGIRNDVARSNFFVDSSTRYELVAAEYLGDGVVSEPGWLQFMRKWGPTIVYDVSILPLVLRYSMANIFIKLPVELYGEEGPTGPKEKNNWVGDERG >KJB46588 pep chromosome:Graimondii2_0_v6:7:60742726:60744731:-1 gene:B456_007G376100 transcript:KJB46588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIYSLYIINKSGGLIFYKDYGSKGRMDTNDSLRVASLWHSMHAISQQLSPINGCSGIELLEADTFDLHCFQSLTGTKFFVVCETGTQHMEALLKVIYELYTDYVLKNPFYEMEMPIRCELFDINLTQAIQKDRVALLGR >KJB41192 pep chromosome:Graimondii2_0_v6:7:6926139:6933451:1 gene:B456_007G094300 transcript:KJB41192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNPHQNPYPYHHGYPPPNQDPYAPPPYQYPYNSPQYPPALHMYPPPVHALASAPIDYGHSHSYSGPIPYQYSHPIPPNSSQPAPQYHGSFQYGSTFNPYQQSLSGHYPPPKSNPQSSSTYQQPSQYPPQSSSSYQQPAQYTPPESNSELLSTHISFSGHNRQDSTSSLAANTGSASRLYPRLDHQLSNSELLSTHNSFSGHNRQDSTSSLAANSGSASPAYPVLDHQLSNMHLSGSHPSAPASPLAPLGPPLPAATSTPDYASESSNWEGLSLGRADSANQSTFSHNDSFNGSQKGQGMQIVPFQKGSLRVLLLHGNLDIWVLEANNLPNMDMFHRTLGDMFANFSSNISKKVGGRSDEKITSDPYVTIAVAGAVIGRTFVISNNENPVWMQHFNVPVAHHAAEVQFVVKDSDILGSDIIGVVAIPVEQIYAGGKIEGTYPVLNAAGKPCKPGAVLKLSIQYTPMEKLSFYHQGVGAGPEYVGVPGTYFPLRKGGKVTLYQDAHVPDGCLPNIKLNQGIHFVQGKCWTDIFDAIRQARRLVYITGWSVWHKVRLVRDVAPASDCTLGDILRSKSQEGVRVLLLLWDDPTSRSILGYKTEGIMATHDEETRSFFKHSSVQVLLCPRIAGKKHSWVKQKEVGTIYTHHQKTVIVDADAGNNHRKIIAFVGGLDLCDGRYDNPDHALFRTLQTYHKDDYHNPTYTGSTVGCPREPWHDMHSKIDGPAAYDVLVNFEERWLKAAKPHGLKKLKKPFDDALLRIERIPDIMGVSDFTENENDPERWHVQIFRSIDSNSVKGFPKDPKDATSKNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFLGSSYNWSSYKNLGADNLIPMEIALKIASKIKANERFAAYVVIPMWPEGVPTGAATQRILYWQNKTMSMMYETIYRALVEAGLDSTYVPEDFLNFYCLGNRELDGYQPPIDESPKAANTPEALSRKSRRFMIYVHSKGMIVDDEFIIVGSANINQRSMEGTRDTEIAMGAYQPQHTWAAKRSSPLGQIYGYRMSLWAEHVGVVEDCFARPESLECVRRINQMAKLNWRQFAAEEVTEMRGHLLKYPVEVDPKGKVRPLPGSETFPDTGGSVVGSFLGIQENLTI >KJB41193 pep chromosome:Graimondii2_0_v6:7:6927130:6932270:1 gene:B456_007G094300 transcript:KJB41193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNPHQNPYPYHHGYPPPNQDPYAPPPYQYPYNSPQYPPALHMYPPPVHALASAPIDYGHSHSYSGPIPYQYSHPIPPNSSQPAPQYHGSFQYGSTFNPYQQSLSGHYPPPKSNPQSSSTYQQPSQYPPQSSSSYQQPAQYTPPESNSELLSTHISFSGHNRQDSTSSLAANTGSASRLYPRLDHQLSNSELLSTHNSFSGHNRQDSTSSLAANSGSASPAYPVLDHQLSNMHLSGSHPSAPASPLAPLGPPLPAATSTPDYASESSNWEGLSLGRADSANQSTFSHNDSFNGSQKGQGMQIVPFQKGSLRVLLLHGNLDIWVLEANNLPNMDMFHRTLGDMFANFSSNISKKVGGRSDEKITSDPYVTIAVAGAVIGRTFVISNNENPVWMQHFNVPVAHHAAEVQFVVKDSDILGSDIIGVVAIPVEQIYAGGKIEGTYPVLNAAGKPCKPGAVLKLSIQYTPMEKLSFYHQGVGAGPEYVGVPGTYFPLRKGGKVTLYQDAHVPDGCLPNIKLNQGIHFVQGKCWTDIFDAIRQARRLVYITGWSVWHKVRLVRDVAPASDCTLGDILRSKSQEGVRVLLLLWDDPTSRSILGYKTEGIMATHDEETRSFFKHSSVQVLLCPRIAGKKHSWVKQKEVGTIYTHHQKTVIVDADAGNNHRKIIAFVGGLDLCDGRYDNPDHALFRTLQTYHKDDYHNPTYTGSTVGCPREPWHDMHSKIDGPAAYDVLVNFEERWLKAAKPHGLKKLKKPFDDALLRIERIPDIMGVSDFTENENDPERWHVQIFRSIDSNSVKGFPKDPKDATSKNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFLGSSYNWSSYKNLGADNLIPMEIALKIASKIKANERFAAYVVIPMWPEGVPTGAATQRILYWQVETKPCQ >KJB41196 pep chromosome:Graimondii2_0_v6:7:6926370:6933443:1 gene:B456_007G094300 transcript:KJB41196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNPHQNPYPYHHGYPPPNQDPYAPPPYQYPYNSPQYPPALHMYPPPVHALASAPIDYGHSHSYSGPIPYQYSHPIPPNSSQPAPQYHGSFQYGSTFNPYQQSLSGHYPPPKSNPQSSSTYQQPSQYPPQSSSSYQQPAQYTPPESNSELLSTHISFSGHNRQDSTSSLAANTGSASRLYPRLDHQLSNSELLSTHNSFSGHNRQDSTSSLAANSGSASPAYPVLDHQLSNMHLSGSHPSAPASPLAPLGPPLPAATSTPDYASESSNWEGLSLGRADSANQSTFSHNDSFNGSQKGQGMQIVPFQKGSLRVLLLHGNLDIWVLEANNLPNMDMFHRTLGDMFANFSSNISKKVGGRSDEKITSDPYVTIAVAGAVIGRTFVISNNENPVWMQHFNVPVAHHAAEVQFVVKDSDILGSDIIGVVAIPVEQIYAGGKIEGTYPVLNAAGKPCKPGAVLKLSIQYTPMEKLSFYHQGVGAGPEYVGVPGTYFPLRKGGKVTLYQDAHVPDGCLPNIKLNQGIHFVQGKCWTDIFDAIRQARRLVYITGWSVWHKVRLVRDVAPASDCTLGDILRSKSQEGVRVLLLLWDDPTSRSILGYKTEGIMATHDEETRSFFKHSSVQVLLCPRIAGKKHSWVKQKEVGTIYTHHQKTVIVDADAGNNHRKIIAFVGGLDLCDGRYDNPDHALFRTLQTYHKDDYHNPTYTQGSTVGCPREPWHDMHSKIDGPAAYDVLVNFEERWLKAAKPHGLKKLKKPFDDALLRIERIPDIMGVSDFTENENDPERWHVQIFRSIDSNSVKGFPKDPKDATSKNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFLGSSYNWSSYKNLGADNLIPMEIALKIASKIKANERFAAYVVIPMWPEGVPTGAATQRILYWQNKTMSMMYETIYRALVEAGLDSTYVPEDFLNFYCLGNRELDGYQPPIDESPKAANTPEALSRKSRRFMIYVHSKGMIVDDEFIIVGSANINQRSMEGTRDTEIAMGAYQPQHTWAAKRSSPLGQIYGYRMSLWAEHVGVVEDCFARPESLECVRRINQMAKLNWRQFAAEEVTEMRGHLLKYPVEVDPKGKVRPLPGSETFPDTGGSVVGSFLGIQENLTI >KJB41194 pep chromosome:Graimondii2_0_v6:7:6926370:6933443:1 gene:B456_007G094300 transcript:KJB41194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNPHQNPYPYHHGYPPPNQDPYAPPPYQYPYNSPQYPPALHMYPPPVHALASAPIDYGHSHSYSGPIPYQYSHPIPPNSSQPAPQYHGSFQYGSTFNPYQQSLSGHYPPPKSNPQSSSTYQQPSQYPPQSSSSYQQPAQYTPPESNSELLSTHISFSGHNRQDSTSSLAANTGSASRLYPRLDHQLSNSELLSTHNSFSGHNRQDSTSSLAANSGSASPAYPVLDHQLSNMHLSGSHPSAPASPLAPLGPPLPAATSTPDYASESSNWEGLSLGRADSANQSTFSHNDSFNGSQKGQGMQIVPFQKGSLRVLLLHGNLDIWVLEANNLPNMDMFHRTLGDMFANFSSNISKKVGGRSDEKITSDPYVTIAVAGAVIGRTFVISNNENPVWMQHFNVPVAHHAAEVQFVVKDSDILGSDIIGVVAIPVEQIYAGGKIEGTYPVLNAAGKPCKPGAVLKLSIQYTPMEKLSFYHQGVGAGPEYVGVPGTYFPLRKGGKVTLYQDAHVPDGCLPNIKLNQGIHFVQGKCWTDIFDAIRQARRLVYITGWSVWHKVRLVRDVAPASDCTLGDILRSKSQEGVRVLLLLWDDPTSRSILGYKTEGIMATHDEETRSFFKHSSVQVLLCPRIAGKKHSWVKQKEVGTIYTHHQKTVIVDADAGNNHRKIIAFVGGLDLCDGRYDNPDHALFRTLQTYHKDDYHNPTYTGSTVGCPREPWHDMHSKIDGPAAYDVLVNFEERWLKAAKPHGLKKLKKPFDDALLRIERIPDIMGVSDFTENENDPERWHVQIFRSIDSNSVKGFPKDPKDATSKNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFLGSSYNWSSYKNLGADNLIPMEIALKIASKIKANERFAAYVVIPMWPEGVPTGAATQRILYWQNKTMSMMYETIYRALVEAGLDSTYVPEDFLNFYCLGNRELDGYQPPIDESPKAANTPEALSRKSRRFMIYVHSKGMIVDDEFIIVGSANINQRSMEGTRDTEIAMGAYQPQHTWAAKRSSPLGQVTLSITSVLMIQFPC >KJB41195 pep chromosome:Graimondii2_0_v6:7:6926370:6933443:1 gene:B456_007G094300 transcript:KJB41195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNPHQNPYPYHHGYPPPNQDPYAPPPYQYPYNSPQYPPALHMYPPPVHALASAPIDYGHSHSYSGPIPYQYSHPIPPNSSQPAPQYHGSFQYGSTFNPYQQSLSGHYPPPKSNPQSSSTYQQPSQYPPQSSSSYQQPAQYTPPESNSELLSTHISFSGHNRQDSTSSLAANTGSASRLYPRLDHQLSNSELLSTHNSFSGHNRQDSTSSLAANSGSASPAYPVLDHQLSNMHLSGSHPSAPASPLAPLGPPLPAATSTPDYASESSNWEGLSLGRADSANQSTFSHNDSFNGSQKGQGMQIVPFQKGSLRVLLLHGNLDIWVLEANNLPNMDMFHRTLGDMFANFSSNISKKVGGRSDEKITSDPYVTIAVAGAVIGRTFVISNNENPVWMQHFNVPVAHHAAEVQFVVKDSDILGSDIIGVVAIPVEQIYAGGKIEGTYPVLNAAGKPCKPGAVLKLSIQYTPMEKLSFYHQGVGAGPEYVGVPGTYFPLRKGGKVTLYQDAHVPDGCLPNIKLNQGIHFVQGKCWTDIFDAIRQARRLVYITGWSVWHKVRLVRDVAPASDCTLGDILRSKSQEGVRVLLLLWDDPTSRSILGYKTEGIMATHDEETRSFFKHSSVQVLLCPRIAGKKHSWVKQKEVGTIYTHHQKTVIVDADAGNNHRKIIAFVGGLDLCDGRYDNPDHALFRTLQTYHKDDYHNPTYTGSTVGCPREPWHDMHSKIDGPAAYDVLVNFEERWLKAAKPHGLKKLKKPFDDALLRIERIPDIMGVSDFTENENDPERWHVQIFRSIDSNSVKGFPKDPKDATSKNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFLGSSYNWSSYKNLGADNLIPMEIALKIASKIKANERFAAYVVIPMWPEGVPTGAATQRILYWQNKTMSMMYETIYRALVEAGLDSTYVPEDFLNFYCLGNRELDGYQPPIDESPKAANTPEALSRKSRRFMIYVHSKGMIVDDEFIIVGSANINQRSMEGTRDTEIAMGAYQPQHTWAAKRSSPLGQIYGYRMSLWAEHVGVVEDCFARPESLECVRRINQMAKLNWRQFAAEEVTEMRGHLLKYPVEVDPKGKVRPLPGSETFPDTGGSVVGSFLGIQENLTI >KJB43731 pep chromosome:Graimondii2_0_v6:7:23451650:23453490:1 gene:B456_007G213900 transcript:KJB43731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVRGDRVGCGSFGTVNLVASKEFSKSPSMAVKSCEAMGSVSLKKEKQVLDQLGICPQVIRCFGDDYTVEKGEKLYNLFLEYANKGSLADHVKKSGGNLIESDVRRYARSILKGLSFVHAKGFAHCDIKLQNILLFDNGDVKIADFGLAKKNGEKQRTMEIRGTPLNIAPESVNRNEYDSPVDIWALGCAIVEMITGKPAWNFKPGTNVTALLIKVGASDELPEIPVELSEEGKDFLRKCFVKDPKNRWTADMLLDHPFMVGKDDAIAVNRCEDGEASPSTSPRWSFEEFSESPRCPFQFPDWVSTQSTASSQSIYQDNSSFASSFSSYVSSPLERVRQLASEQAPDWSISGDWITLR >KJB42413 pep chromosome:Graimondii2_0_v6:7:12971468:12972512:-1 gene:B456_007G151500 transcript:KJB42413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSMASAASGFLLTPNVAANSSSTSKTTTVFFPSKNNNNSRLVVTRAADEAAARAPATTTAASEGGEAPKPKPPPIGPKRGTRVKILRRESYWYNSFGSVVTVDQTRYPVVVRFNKVNYANVSTNNYALDEIAEVN >KJB42415 pep chromosome:Graimondii2_0_v6:7:12971468:12972597:-1 gene:B456_007G151500 transcript:KJB42415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSMASAASGFLLTPNVAANSSSTSKTTTVFFPSKNNNNSRLVVTRAADEAAARAPATTTAASEGGEAPKPKPPPIGPKRGTRVKILRRESYWYNSFGSVVTVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIAEVN >KJB42414 pep chromosome:Graimondii2_0_v6:7:12972113:12972412:-1 gene:B456_007G151500 transcript:KJB42414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSMASAASGFLLTPNVAANSSSTSKTTTVFFPSKNNNNSRLVVTRAADEAAARAPATTTAASEGGEAPKPKPPPIGPKRGTRVSLLIAIRNCLIYS >KJB44808 pep chromosome:Graimondii2_0_v6:7:46768169:46768543:-1 gene:B456_007G274100 transcript:KJB44808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFFEQKPFKPPFEIIARRTKTLDVKYKECKRNHAPQLGINVVDGCGEFAPKTGHVMDFDCEACGCHRNFHRKEVTKQVSITFIDNEGANLYRFQPRSPQLNNEQEAVENGELDGGMKKPKK >KJB43584 pep chromosome:Graimondii2_0_v6:7:21806829:21807581:-1 gene:B456_007G207500 transcript:KJB43584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLLFAVLVLAISHNMVQEVAAAAGPGSRAPSVLPNAARKFLAAHNQARAAVGVGPLKWSTQLVNAASLLARYQRNKMGCQFANLTDHKFGANQLWGSGAAVTPGMAVDTWVKEKSYYDYASNSCAPDHMCGVYKQVVWKNSSELGCAQATCKDQTSLTICFYNPPGNYVGERPY >KJB39771 pep chromosome:Graimondii2_0_v6:7:2060346:2062992:-1 gene:B456_007G030100 transcript:KJB39771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHDSFGQSDSDVNDSGGDWKFPFGIPDASTGITMVSPPESPPDNLPNDLCAALHDGREIFHSPRYKSTSTSSAFYDCNDDEMIDNHGGKTASADTRRAVDLGKDIDLGFSEEEVDSARKIKSTSNSDGPKKKRVLPSQPLDFGTELPSLSYGKAEDGEKADEEPNVNETGTVHSDTNASRLNDNFRKIKLLDVLLKLAKDCEEDESLVCLSLLEVAERKWGKF >KJB46647 pep chromosome:Graimondii2_0_v6:7:58559767:58562347:-1 gene:B456_007G355100 transcript:KJB46647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTEEGGGAVGAVSTDQAITDNNDSVSIDMDVPLRDGEVANLRLLDEAFDGDEINLGAKPLIQRVPSTLGRHQDFRKYFKPKVISIGPLHHDDPTLRKSEKLKLKLAALFVKKIGVDKDTLYNNMKKEIDDLKKCYDPKGLEKYSNDNEKLAWIFFVDGCAILQAVYRRYGDDDNGDDNDGKLFIKDDLLTFVYSDLFLLENQLPFRVLELLTRPRKNGEKFMNAIQRFIDETVIIPGEDREWWWKQQKEGERIHLLHLLRERLLLRKVRIRCWCFLWEFTRHGFGKAAARRKRTKRYHSRTIGNVKELKKAGIWLEASETSNLTDISFNHIFFFGKLRLPPISVDDSTMNLIAYEMCPDFDNDFTVTSYMCFLDLLIDEAEDVKDLRDSGILYNGLGSDEEMAKLFNKMNTDLVPSPTIYSGVKEKIHNHCKTMWINHAAQGYHTYFRSPWTFLAFLGAIAALTLTALQTYYTIHQPK >KJB41936 pep chromosome:Graimondii2_0_v6:7:10363505:10367041:1 gene:B456_007G129200 transcript:KJB41936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKKLEYKGEDALKEIEKLTTTAGDVQYGILKEILKRNAETEYLNKYMNGSIDVSQFKSCVPVITYKNIYPYIQRIANGEDSSLITGQPITEILCSSGTSAGEPKLTPSIAEDLDRRTFLYNLIMPIMNQYIPGLDDGKAMYLYFVKAELSTPCGLPFRTVLTSYYKSKHFKCRTRDPFNDFTSPDQAILCNDSNQSMYCQLLAGLVHRHQVMRLGAVFASALLRATSFLERKWAQLCNDIRTGHLDLSIIDPACRSAMMSILSSPNPDLADEIESICSRPSWKGILCHLWPRAKYIEAVVTGSMAQYIPPLEYYSAGKLPLVCTMYASSECYFGVNLKPICDPAEVAFTLLPNMGYFEFLPLGENGALAMDIDEEEAVPNDRLVDLVNVKFGSYYELVVTTFSGLYRYRIGDVLQVTGFHNQAPQFRFICRRNVVLSVDNDKTNEEDLHRSITTAKKLLEPYNALLVEYTSYADTSSVPGHYVLFWEIQMVDSATSIDAKLLQECCVTVEEELDYVYRQCRSQEKTIGPLEIRVVEPGTFEALMDLFISQGGSINQYKTPRCIKSSTALKLLNSHALASFSSPRDPKWVPLNG >KJB45654 pep chromosome:Graimondii2_0_v6:7:53302578:53303276:1 gene:B456_007G318400 transcript:KJB45654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLFLLVCVLLASSFFIPISAMPIQDQPLGGERKGNECGRGIKCIPKPTPRPTKCKRNNPYCRPP >KJB40269 pep chromosome:Graimondii2_0_v6:7:3859276:3859626:-1 gene:B456_007G055000 transcript:KJB40269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYLEKCFSLKSNEEQPSFASKFSEAEKCFWPDGEVKNFSFSAKKCFWASSFTFLATHERPSLLSALCRFFALISAFCWFFVPLPSACEFAADRKELPIAVGAGFAGFFAGCFYC >KJB41548 pep chromosome:Graimondii2_0_v6:7:8311878:8316931:1 gene:B456_007G109500 transcript:KJB41548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLEGNQRGSSTAHAVSGSAGDDLYQELWKLCAGPLVEVPRVHERVFYFPQGHMEQLEASTNQELSNQTPLFNLPSKILCRVLHVELLAEQETDEVYAQITLQPEDQSEPTSLDPFPTEAPKRKVHSFSKILTASDTSTHGGFSVLRKHATECLPPLDMNLATPTQELVAKDLHGYEWRFKHIFRGRQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLARQQSTMPSSVISSQSMHLGVLATAAHAVTTHTLFVVYYKPRTSQFIIGVNKYLEAINNGFSVGMRFKMRFEGEDSPERRFTGTIVGVGDISPHWSESKWRSLKIQWDEPAAIQRPERVSPWEIEPFVASASTNAQPTIMCKRPRPVDIPASEITTSSAGSAFWCRESIQSHELTPVGSTLEVQSSENQVMWPMRQKEADNCLINGNGGYKSRTPPENAWPPSPLVNVSLNLFPDSMENNYKTGALQTALTGYAKGLMHDQVEKRKTETFTGCRLFGFNLTDSTSVAAPPDKEQTSTSIDYNGVRGHVPAAFHVEQKPETSKEQKQVASETSTQEMQAKQGSATSMRSRTKVNFTVSASLDCIHYSSS >KJB41545 pep chromosome:Graimondii2_0_v6:7:8311878:8316931:1 gene:B456_007G109500 transcript:KJB41545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLEGNQRGSSTAHAVSGSAGDDLYQELWKLCAGPLVEVPRVHERVFYFPQGHMEQLEASTNQELSNQTPLFNLPSKILCRVLHVELLAEQETDEVYAQITLQPEDQSEPTSLDPFPTEAPKRKVHSFSKILTASDTSTHGGFSVLRKHATECLPPLDMNLATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLARQQSTMPSSVISSQSMHLGVLATAAHAVTTHTLFVVYYKPRTSQFIIGVNKYLEAINNGFSVGMRFKMRFEGEDSPERRFTGTIVGVGDISPHWSESKWRSLKIQWDEPAAIQRPERVSPWEIEPFVASASTNAQPTIMCKRPRPVDIPASEITTSSAGSAFWCRESIQSHELTPVGSTLEVQSSENQVMWPMRQKEADNCLINGNGGYKSRTPPENAWPPSPLVNVSLNLFPDSMENNYKTGALQTALTGYAKGLMHDQVEKRKTETFTGCRLFGFNLTDSTSVAAPPDKEQTSTSIDYNGVRGHVPAAFHVEQKPETSKEQKQVASETSTQEMQAKQGSATSMRSRTKVNFTVSASLDCIHYSSS >KJB41551 pep chromosome:Graimondii2_0_v6:7:8313252:8316931:1 gene:B456_007G109500 transcript:KJB41551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLARQQSTMPSSVISSQSMHLGVLATAAHAVTTHTLFVVYYKPRTSQFIIGVNKYLEAINNGFSVGMRFKMRFEGEDSPERRFTGTIVGVGDISPHWSESKWRSLKIQWDEPAAIQRPERVSPWEIEPFVASASTNAQPTIMCKRPRPVDIPASEITTSSAGSAFWCRESIQSHELTPVGSTLEVQSSENQVMWPMRQKEADNCLINGNGGYKSRTPPENAWPPSPLVNVSLNLFPDSMENNYKTGALQTALTGYAKGLMHDQVEKRKTETFTGCRLFGFNLTDSTSVAAPPDKEQTSTSIDYNGVRGHVPAAFHVEQKPETSKEQKQVASETSTQEMQAKQGSATSMRSRTKVNFTVSASLDCIHYSSS >KJB41547 pep chromosome:Graimondii2_0_v6:7:8311878:8316931:1 gene:B456_007G109500 transcript:KJB41547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLEGNQRGSSTAHAVSGSAGDDLYQELWKLCAGPLVEVPRVHERVFYFPQGHMEQLEASTNQELSNQTPLFNLPSKILCRVLHVELLAEQETDEVYAQITLQPEDQSEPTSLDPFPTEAPKRKVHSFSKILTASDTSTHGGFSVLRKHATECLPPLDMNLATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRYLDIWIFFFVCVCMQVQGWHFCMTLAVIALACPIRGDNGELRVGVRRLARQQSTMPSSVISSQSMHLGVLATAAHAVTTHTLFVVYYKPRTSQFIIGVNKYLEAINNGFSVGMRFKMRFEGEDSPERRFTGTIVGVGDISPHWSESKWRSLKIQWDEPAAIQRPERVSPWEIEPFVASASTNAQPTIMCKRPRPVDIPASEITTSSAGSAFWCRESIQSHELTPVGSTLEVQSSENQVMWPMRQKEADNCLINGNGGYKSRTPPENAWPPSPLVNVSLNLFPDSMENNYKTGALQTALTGYAKGLMHDQVEKRKTETFTGCRLFGFNLTDSTSVAAPPDKEQTSTSIDYNGVRGHVPAAFHVEQKPETSKEQKQVASETSTQEMQAKQGSATSMRSRTKVNFTVSASLDCIHYSSS >KJB41546 pep chromosome:Graimondii2_0_v6:7:8311878:8316931:1 gene:B456_007G109500 transcript:KJB41546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLEGNQRGSSTAHAVSGSAGDDLYQELWKLCAGPLVEVPRVHERVFYFPQGHMEQLEASTNQELSNQTPLFNLPSKILCRVLHVELLAEQETDEVYAQITLQPEDQSEPTSLDPFPTEAPKRKVHSFSKILTASDTSTHGGFSVLRKHATECLPPLDMNLATPTQELVAKDLHGYEWRFKHIFRGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLARQQSTMPSSVISSQSMHLGVLATAAHAVTTHTLFVVYYKPRTSQFIIGVNKYLEAINNGFSVGMRFKMRFEGEDSPERRFTGTIVGVGDISPHWSESKWRSLKIQWDEPAAIQRPERVSPWEIEPFVASASTNAQPTIMCKRPRPVDIPASEITTSSAGSAFWCRESIQSHELTPVGSTLEVQSSENQVMWPMRQKEADNCLINGNGGYKSRTPPENAWPPSPLVNVSLNLFPDSMENNYKTGALQTALTGYAKGLMHDQVEKRKTETFTGCRLFGFNLTDSTSVAAPPDKEQTSTSIDYNGVRGHVPAAFHVEQKPETSKEQKQVASETSTQEMQAKQGSATSMRSRTKVNFTVSASLDCIHYSSS >KJB41550 pep chromosome:Graimondii2_0_v6:7:8312237:8316957:1 gene:B456_007G109500 transcript:KJB41550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLEASTNQELSNQTPLFNLPSKILCRVLHVELLAEQETDEVYAQITLQPEDQSEPTSLDPFPTEAPKRKVHSFSKILTASDTSTHGGFSVLRKHATECLPPLDMNLATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLARQQSTMPSSVISSQSMHLGVLATAAHAVTTHTLFVVYYKPRTSQFIIGVNKYLEAINNGFSVGMRFKMRFEGEDSPERRFTGTIVGVGDISPHWSESKWRSLKIQWDEPAAIQRPERVSPWEIEPFVASASTNAQPTIMCKRPRPVDIPASEITTSSAGSAFWCRESIQSHELTPVGSTLEVQSSENQVMWPMRQKEADNCLINGNGGYKSRTPPENAWPPSPLVNVSLNLFPDSMENNYKTGALQTALTGYAKGLMHDQVEKRKTETFTGCRLFGFNLTDSTSVAAPPDKEQTSTSIDYNGVRGHVPAAFHVEQKPETSKEQKQVASETSTQEMQAKQGSATSMRSRTKVHMQGIAVGRAIDLTALKGYNDLINELEKMFEIKGELCRSGQWSIVFTDDEGDMMLVGDDPWVEFCKMVRKIFIYSSEEVKKISTRCKFPASSMECEGTVVSLDSEHRSV >KJB41543 pep chromosome:Graimondii2_0_v6:7:8311509:8316957:1 gene:B456_007G109500 transcript:KJB41543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLEGNQRGSSTAHAVSGSAGDDLYQELWKLCAGPLVEVPRVHERVFYFPQGHMEQLEASTNQELSNQTPLFNLPSKILCRVLHVELLAEQETDEVYAQITLQPEDQSEPTSLDPFPTEAPKRKVHSFSKILTASDTSTHGGFSVLRKHATECLPPLDMNLATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLARQQSTMPSSVISSQSMHLGVLATAAHAVTTHTLFVVYYKPRTSQFIIGVNKYLEAINNGFSVGMRFKMRFEGEDSPERRFTGTIVGVGDISPHWSESKWRSLKIQWDEPAAIQRPERVSPWEIEPFVASASTNAQPTIMCKRPRPVDIPASEITTSSAGSAFWCRESIQSHELTPVGSTLEVQSSENQVMWPMRQKEADNCLINGNGGYKSRTPPENAWPPSPLVNVSLNLFPDSMENNYKTGALQTALTGYAKGLMHDQVEKRKTETFTGCRLFGFNLTDSTSVAAPPDKEQTSTSIDYNGVRGHVPAAFHVEQKPETSKEQKQVASETSTQEMQAKQGSATSMRSRTKVHMQGIAVGRAIDLTALKGYNDLINELEKMFEIKGELCRSGQWSIVFTDDEGDMMLVGDDPWVEFCKMVRKIFIYSSEEVKKISTRCKFPASSMECEGTVVSLDSEHRSV >KJB41549 pep chromosome:Graimondii2_0_v6:7:8311878:8318007:1 gene:B456_007G109500 transcript:KJB41549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLEGNQRGSSTAHAVSGSAGDDLYQELWKLCAGPLVEVPRVHERVFYFPQGHMEQLEASTNQELSNQTPLFNLPSKILCRVLHVELLAEQETDEVYAQITLQPEDQSEPTSLDPFPTEAPKRKVHSFSKILTASDTSTHGGFSVLRKHATECLPPLDMNLATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLARQQSTMPSSVISSQSMHLGVLATAAHAVTTHTLFVVYYKPRTSQFIIGVNKYLEAINNGFSVGMRFKMRFEGEDSPERRFTGTIVGVGDISPHWSESKWRSLKIQWDEPAAIQRPERVSPWEIEPFVASASTNAQPTIMCKRPRPVDIPASEITTSSAGSAFWCRESIQSHELTPVGSTLEVQSSENQVMWPMRQKEADNCLINGNGGYKSRTPPENAWPPSPLVNVSLNLFPDSMENNYKTGALQTALTGYAKGLMHDQVEKRKTETFTGCRLFGFNLTDSTSVAAPPDKEQTSTSIDYNGVRGHVPAAFHVEQKPETSKEQKQVASETSTQEMQAKQGSATSMRSRTKVHMQGIAVGRAIDLTALKGYNDLINELEKMFEIKGELCRSGQWSIVFTDDEGDMMLVGDDPWVEFCKMVRKIFIYSSEEVKKISTRCKFPASSMECEGTVVSLDSEHRKRKWQELKLGILIPKFHGIIASFRRRKWLGEN >KJB41544 pep chromosome:Graimondii2_0_v6:7:8311878:8316931:1 gene:B456_007G109500 transcript:KJB41544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLEGNQRGSSTAHAVSGSAGDDLYQELWKLCAGPLVEVPRVHERVFYFPQGHMEQLEASTNQELSNQTPLFNLPSKILCRVLHVELLAEQETDEVYAQITLQPEDQSEPTSLDPFPTEAPKRKVHSFSKILTASDTSTHGGFSVLRKHATECLPPLDMNLATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLARQQSTMPSSVISSQSMHLGVLATAAHAVTTHTLFVVYYKPRTSQFIIGVNKYLEAINNGFSVGMRFKMRFEGEDSPERRFTGTIVGVGDISPHWSESKWRSLKIQWDEPAAIQRPERVSPWEIEPFVASASTNAQPTIMCKRPRPVDIPASEITTSSAGSAFWCRESIQSHELTPVGSTLEVQSSENQVMWPMRQKEADNCLINGNGGYKSRTPPENAWPPSPLVNVSLNLFPDSMENNYKTGALQTALTGYAKGLMHDQVEKRKTETFTGCRLFGFNLTDSTSVAAPPDKEQTSTSIDYNGVRGHVPAAFHVEQKPETSKEQKQVASETSTQEMQAKQGSATSMRSRTKVNFTVSASLDCIHYSSS >KJB45858 pep chromosome:Graimondii2_0_v6:7:55646115:55646640:-1 gene:B456_007G333500 transcript:KJB45858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISAIVITLSVTCELSSKDLLVCCLAFLPTGWGLILVAQAMRPIIENTGLWQFTEVLAKAYDYGMGSILFSPIAILAWLLIISAFQTRFLFNQAFNRHLQIQPILEGKKKQK >KJB43345 pep chromosome:Graimondii2_0_v6:7:19335404:19336237:1 gene:B456_007G195300 transcript:KJB43345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIKNLSGALDSSIKGLYYQRAKGQRIMEKKTRGSPKIMKSSILCMPGFKSNNKNNKRLSPVTLLERFREAVFRLIMLSAVSKASHHHHHHHHQSSSSTVPRRYYPADAHHNEAVADCIEFIKKKSSRESRASSSNMDAATSEIVMPVSVM >KJB39588 pep chromosome:Graimondii2_0_v6:7:1523456:1526989:-1 gene:B456_007G020800 transcript:KJB39588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAKAKGNAAFSSGDFNAAIKHFTDAINLSPTNHVLYSNRSAAYASLHQYEAALSDAKRTVELKPDWSKGYSRLGAAHLGLHQYQDAVSAYKKGLEIDPNNEALKSGLADAQSAATASASRSRAAPPPNPFGDAFQGPEMWAKLTADPTTRVFLQQPDFVKAMQEIQRNPSKLNEYLQDQRVMQALGVLLNVKFKAHGGGDDMEIPEADSPPAPSPSRPAKEEVKKPEPEPEPEPMEITEEEKEKKEKKEKALKEKEAGNAAYKKKDFETAIKHYTTAMELDDGDISYITNRAAVYLEMGKYEDCIKDCDKAVERGRELRSDYKMIARALTRKGTALVKMAKCSKDYEPAIETFQKALTEHRNPDTLKKLNDAEKAKKDLEQQEYFDPKIADEEREKGNEFFKQQKYPEAVKHYTESLRRNPKDPKAYSNRAACYTKLGALPEGLKDAEKCIELDPTFSKGYTRKGAVQFFMKEYDKALETYQEGLKHDANNQELLDGVRRCVEQINKANRGDLSPEELKERQAKAMQDPEIQNILSDPVMRQVSA >KJB39587 pep chromosome:Graimondii2_0_v6:7:1522806:1527032:-1 gene:B456_007G020800 transcript:KJB39587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAKAKGNAAFSSGDFNAAIKHFTDAINLSPTNHVLYSNRSAAYASLHQYEAALSDAKRTVELKPDWSKGYSRLGAAHLGLHQYQDAVSAYKKGLEIDPNNEALKSGLADAQSAATASASRSRAAPPPNPFGDAFQGPEMWAKLTADPTTRVFLQQPDFVKAMQEIQRNPSKLNEYLQDQRVMQALGVLLNVKFKAHGGGDDMEIPEADSPPAPSPSRPAKEEVKKPEPEPEPEPMEITEEEKEKKEKKEKALKEKEAGNAAYKKKDFETAIKHYTTAMELDDGDISYITNRAAVYLEMGKYEDCIKDCDKAVERGRELRSDYKMIARALTRKGTALVKMAKCSKDYEPAIETFQKALTEHRNPDTLKKLNDAEKAKKDLEQQEYFDPKIADEEREKGNEFFKQQKYPEAVKHYTESLRRNPKDPKAYSNRAACYTKLGALPEGLKDAEKCIELDPTFSKGYTRKGAVQFFMKEYDKALETYQEGLKHDANNQELLDGVRRCVEQINKANRGDLSPEELKERQAKAMQDPEIQNILSDPVMRQVLIDFQENPKAAQEHMKNPMVMNKIQKLVSAGIVQMR >KJB44067 pep chromosome:Graimondii2_0_v6:7:29535040:29536224:1 gene:B456_007G232600 transcript:KJB44067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEEKKLKEETKYKIFQIYKDFLTGVAKLDELVPVGGRLLTGFQQGLEFLLRPPIKKTSKLIENILKANETKRLKSYLEAGCINSHDRVENTSKLHTCLHGLHDHLIKVKSILNELECLLGVATTALQMANEHLSPLMDMESVVGLDPQESSGEVGFMFQLSSL >KJB44065 pep chromosome:Graimondii2_0_v6:7:29534256:29537019:1 gene:B456_007G232600 transcript:KJB44065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEEKKLKEETKYKIFQIYKDFLTGVAKLDELVPVGGRLLTGFQQGLEFLLRPPIKKTSKLIENILKANETKRLKSYLEAGCINSHDRVENTSKLHTCLHGLHDHLIKVKSILNELECLLGVATTALQMANEHLSPLMDMESVVGLDPQESSGEDEMTSSRVREPEVTDYAAVMGIIYSMVKQDYTMQNKIVTSLNLKSSSEELESYSLMWSLRPYVNDQTMKLAWKLVP >KJB44068 pep chromosome:Graimondii2_0_v6:7:29534143:29537019:1 gene:B456_007G232600 transcript:KJB44068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEEKKLKEETKYKIFQIYKDFLTGVAKLDELVPVGGRLLTGFQQGLEFLLRPPIKKTSKLIENILKANETKRLKSYLEAGCINSHDRVENTSKLHTCLHGLHDHLIKVKSILNELECLLGVATTALQMANEHLSPLMDMESVVGLDPQESSGEDEMTSSRVREPEVTDYAAVMGIIYSMVKQDYTMQNKIVTSLNLKSSSEELESYSLMWSLRPYVNDQTMKLAWKLVP >KJB44066 pep chromosome:Graimondii2_0_v6:7:29534136:29537704:1 gene:B456_007G232600 transcript:KJB44066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEEKKLKEETKYKIFQIYKDFLTGVAKLDELVPVGGRLLTGFQQGLEFLLRPPIKKTSKLIENILKANETKRLKSYLEAGCINSHDRVENTSKLHTCLHGLHDHLIKVKSILNELECLLGVATTALQMANEHLSPLMDMESVVGLDPQESSGEDEMTSSRVREPEVTDYAAVMGIIYSMVKQDYTMQNKIVTSLNLKSSSEELESYSLMWSLRPYVNDQTMKLAWKLVP >KJB39651 pep chromosome:Graimondii2_0_v6:7:1686215:1688669:-1 gene:B456_007G023600 transcript:KJB39651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSELEEDSLKLPVLDLTQPDNIESSFLSSLLKACQEWGFFYVTNHGIPINLFTKIREFSNHIFHLGSDTKLKLGPSSCLKTYTPHFIASPYFESLKVSGPDFFDSSKASIDELFGQHKPEFSEILQEYGNHMMKLSKKIIEIILKSLGTGYEKRFLDSEFGNCHGYMRIVNYTPPSNVEENQVEGLGMHTDMSCITIVFQDELGGLQMRSKDGKWLNIDPCKNSLVVNIGDLMQAWSNGRLRSSEHRVVLRRSRNRFSLVFFWCFEDEKEVIAPNEIVGNGNPRIYHPFVCLKYIKFRESNEVGKFEKIGYTVKDFAGLNV >KJB45938 pep chromosome:Graimondii2_0_v6:7:57295446:57298088:1 gene:B456_007G344700 transcript:KJB45938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSSLLQTDPLKLKQCLPLCLHLPTPPRFTKFTGNFTFQSQDFAKFNLRCFFSKRNHLTSSNFNTNHFNFTPDDKTKTPFEIIAETVLKALKALKRPVIAAVLLGLLLMYDPNSTALAASGGRMGGRSFSSRSYSVPSNGGSGFSSYSAPYYAPAPFGGGGGFYVGTAVGVGVGAGSGFMLIMIGFFAFVLVSGFLSDRSESGVLTDIERTSVLKLQVGLLGTGRSLQRDLNRIAEVADTSTSEGLGFVLTETSLALLRHPDYCISGYSSVDVKRSMDEGEKRFNQLSIEERGKFDEETLVNVSNIRRLSTTFQKASVFNNEYIVVRPNSLR >KJB45939 pep chromosome:Graimondii2_0_v6:7:57295385:57299315:1 gene:B456_007G344700 transcript:KJB45939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSSLLQTDPLKLKQCLPLCLHLPTPPRFTKFTGNFTFQSQDFAKFNLRCFFSKRNHLTSSNFNTNHFNFTPDDKTKTPFEIIAETVLKALKALKRPVIAAVLLGLLLMYDPNSTALAASGGRMGGRSFSSRSYSVPSNGGSGFSSYSAPYYAPAPFGGGGGFYVGTAVGVGVGAGSGFMLIMIGFFAFVLVSGFLSDRSESGVLTDIERTSVLKLQVGLLGTGRSLQRDLNRIAEVADTSTSEGLGFVLTETSLALLRHPDYCISGYSSVDVKRSMDEGEKRFNQLSIEERGKFDEETLVNVSNIRRLSTTFQKASVFNNEYIVATFSKFLLSYIWRMIPHTHVQIRVKYWHFRRPKESSNIQYKET >KJB45936 pep chromosome:Graimondii2_0_v6:7:57295320:57299449:1 gene:B456_007G344700 transcript:KJB45936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSSLLQTDPLKLKQCLPLCLHLPTPPRFTKFTGNFTFQSQDFAKFNLRCFFSKRNHLTSSNFNTNHFNFTPDDKTKTPFEIIAETVLKALKALKRPVIAAVLLGLLLMYDPNSTALAASGGRMGGRSFSSRSYSVPSNGGSGFSSYSAPYYAPAPFGGGGGFYVGTAVGVGVGAGSGFMLIMIGFFAFVLVSGFLSDRSESGVLTDIERTSVLKLQVGLLGTGRSLQRDLNRIAEVADTSTSEGLGFVLTETSLALLRHPDYCISGYSSVDVKRSMDEGEKRFNQLSIEERGKFDEETLVNVSNIRRLSTTFQKASVFNNEYIVITILVAAEGVHKLPLIKGSGDLAVALQKLASIPTSKILAVEILWTPQNENDTLSERELLEDYPLLRPL >KJB45937 pep chromosome:Graimondii2_0_v6:7:57295385:57297783:1 gene:B456_007G344700 transcript:KJB45937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSSLLQTDPLKLKQCLPLCLHLPTPPRFTKFTGNFTFQSQDFAKFNLRCFFSKRNHLTSSNFNTNHFNFTPDDKTKTPFEIIAETVLKALKALKRPVIAAVLLGLLLMYDPNSTALAASGGRMGGRSFSSRSYSVPSNGGSGFSSYSAPYYAPAPFGGGGGFYVGTAVGVGVGAGSGFMLIMIGFFAFVLVSGFLSDRSESGVLTDIERTSVLKLQVGLLGTGRSLQRDLNRIAEVADTSTSEGLGFVLTETSLALLRHPDYCISGYSSVRLPFLKCLVLSLSVNECSL >KJB46021 pep chromosome:Graimondii2_0_v6:7:57026635:57027630:1 gene:B456_007G343800 transcript:KJB46021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLIMLVLLLFLLVVASSDINQGQFSFNGYLNVEGVAGVDSSGLFTLTNTTSRIIGQFFYQNPIQFKNSTNATVSPFPTTFIFAIVPGYTDLGGHGLAFVISPNDEISGALPTHYLGLFKETNIGLDSNHVVAIELGTARTIAVGDIDGNHVSIDINSPRSVTAASAGYFTDESEFKNLNLKSGDPMQVWVEYDGL >KJB39266 pep chromosome:Graimondii2_0_v6:7:360330:366503:1 gene:B456_007G004500 transcript:KJB39266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-interacting protein 1-2 [Source:Projected from Arabidopsis thaliana (AT3G18060) UniProtKB/Swiss-Prot;Acc:Q9LV35] MPELAETYACVPSTERGRGILISGDPKSNKVLYTNGRSVIILDLNNPLNVSVYGEHAYPATVARFSPNGEWVASADVSGTVRIWGAYNDHVLKKEFKVLSGRIDDLQWSPDGMRIVACGDGKGKSLVRAFMWDSGTNVGEFDGHSRRVLSCAFKPTRPFRIVTCGEDFLVNFYEGPPFKFKQSHRDHANFVNCVRYSPEGSKFISVSSDKKGIIFDGKTAEKIGELSSEDPHKGSIYAASWSPEGKQVLTVSADKTAKVWDISEDGSGKLKKTLTCSGSGGVDDMLVGCLWQNDHLVTVSLGGTISIFSASNLEKSPLQLSGHMKNITSLAVLKSDPKCVLSSSYDGLIVKWVQGLGYSGKLQRKENSQIKCFAAAEEEIVTSGFDNKIWRISLHGDQCGDGDSVDIGSQPKDLSLALLSPELALVTTDSGVVMLRCTKVVSTINLGFAVTASVVAPDGSEAIVGGQDGKLHVFCIVGDTLKEEAVLERHRGAITVIRYSPDFSMFASGDANREAIVWDRVSREVKLKNMLYHTARINCLAWSPNNSMVATGSLDTCVIIYEVDKPASSRMTIKGAHLGGVYALAFTDEHSVVSSGEDACVRVWKLTPQ >KJB41002 pep chromosome:Graimondii2_0_v6:7:6226506:6227976:-1 gene:B456_007G086900 transcript:KJB41002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGTLCNCFIASFRTPISICRRKKPLIPRRKLNLMAASMDPLDTKTYQQNVLVMRHGDRLDNFDPTWEKTADRPWDPPLIQNGLHRAFGTGREFRTRLPFPIHRVFVSPFLRCIQTASEVVAALCSVDDDPNAKSSNDVVAIDPSRVKVSIEYGLCEMLNKTAIRIDVAPKDGIFRFDVPQLEALIPSGTVDPTVEPVYKEVAFGYLQCVFIYFPFSTT >KJB41001 pep chromosome:Graimondii2_0_v6:7:6225988:6227976:-1 gene:B456_007G086900 transcript:KJB41001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGTLCNCFIASFRTPISICRRKKPLIPRRKLNLMAASMDPLDTKTYQQNVLVMRHGDRLDNFDPTWEKTADRPWDPPLIQNGLHRAFGTGREFRTRLPFPIHRVFVSPFLRCIQTASEVVAALCSVDDDPNAKSSNDVVAIDPSRVKVSIEYGLCEMLNKTAIRIDVAPKDGIFRFDVPQLEALIPSGTVDPTVEPVYKELPQWEETVRDARSRYEQIIKALADKYPSQNLLLVTHVAVHILWQGKELEFQFLHSRRTLM >KJB41000 pep chromosome:Graimondii2_0_v6:7:6225921:6227992:-1 gene:B456_007G086900 transcript:KJB41000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGTLCNCFIASFRTPISICRRKKPLIPRRKLNLMAASMDPLDTKTYQQNVLVMRHGDRLDNFDPTWEKTADRPWDPPLIQNGLHRAFGTGREFRTRLPFPIHRVFVSPFLRCIQTASEVVAALCSVDDDPNAKSSNDVVAIDPSRVKVSIEYGLCEMLNKTAIRIDVAPKDGIFRFDVPQLEALIPSGTVDPTVEPVYKELPQWEETVRDARSRYEQIIKALADKYPSQNLLLVTHGEGVGVSVSAFKEDTNVIEVDYCAYSELTRQVYLKNESFSARNFEVLTESGQTGVTYIAE >KJB43822 pep chromosome:Graimondii2_0_v6:7:24812978:24815165:-1 gene:B456_007G218000 transcript:KJB43822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISSASPLSIASLFRPIRKYPTLADLVITATSIRCLSSLSQPMDDRQQPESKKRVVVCGGGIIGVCTAYFLAKKGEAVTLVEKSSVACAASGKAGGFLALDWCDGGPVESLARASFNLHRSLSEELNGPDSYGYRPLTTLSITVTESGPSSPSGSKSSGDSMIPSWVDGATRGPRTIGTTQTTAQVHPQLFTRTLLNTAVEKYGVEVVIGKLEQLRVEEGRVGSVVLEGGRVIESDSVVLSLGPWTGKFEMLASMFRVSGLKAHSIVLEPKDPGAITPHALFLSFHPQGGKAMDPEVYPRPTGNVYMWDVSGGRGAR >KJB43821 pep chromosome:Graimondii2_0_v6:7:24812920:24814720:-1 gene:B456_007G218000 transcript:KJB43821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISSASPLSIASLFRPIRKYPTLADLVITATSIRCLSSLSQPMDDRQQPESKKRVVVCGGGIIGVCTAYFLAKKGEAVTLVEKSSVACAASGKAGGFLALDWCDGGPVESLARASFNLHRSLSEELNGPDSYGYRPLTTLSITVTESGPSSPSGSKSSGDSMIPSWVDGATRGPRTIGTTQTTAQVHPQLFTRTLLNTAVEKYGVEVVIGKLEQLRVEEGRVGSVVLEGGRVIESDSVVLSLGPWTGKFEMLASMFRVSGLKAHSIVLEPKDPGAITPHALFLSFHPQGGKAMDPEVYPRPTGEVYICGMSAEEEVPDDPEQIVGNPASIAMLKRVGKSVSSHLREGEARVKAEQACFLPCTDDGVPIIGEVPGMKGCYVATGHSCWGILNGPATGAAVAELVLEGRATIVDLSRFSPARFIGRRRVKV >KJB43823 pep chromosome:Graimondii2_0_v6:7:24813644:24815165:-1 gene:B456_007G218000 transcript:KJB43823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISSASPLSIASLFRPIRKYPTLADLVITATSIRCLSSLSQPMDDRQQPESKKRVVVCGGGIIGVCTAYFLAKKGEAVTLVEKSSVACAASGKAGGFLALDWCDGGPVESLARASFNLHRSLSEELNGPDSYGYRPLTTLSITVTESGPSSPSGSKSSGDSMIPSWVDGATRGPRTIGTTQTTAQVHPQLFTRTLLNTAVEKYGVEVVIGKLEQLRVEEGRVGSVVLEGGRVIESDSVVLSLGPWTGKFEMLASMFRVSGLKAHSIVLEPKDPGAITPHALFLSFHPQGGKAMDPEVYPRPTGKYDCDFFKKNFA >KJB43820 pep chromosome:Graimondii2_0_v6:7:24812920:24815165:-1 gene:B456_007G218000 transcript:KJB43820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISSASPLSIASLFRPIRKYPTLADLVITATSIRCLSSLSQPMDDRQQPESKKRVVVCGGGIIGVCTAYFLAKKGEAVTLVEKSSVACAASGKAGGFLALDWCDGGPVESLARASFNLHRSLSEELNGPDSYGYRPLTTLSITVTESGPSSPSGSKSSGDSMIPSWVDGATRGPRTIGTTQTTAQVHPQLFTRTLLNTAVEKYGVEVVIGKLEQLRVEEGRVGSVVLEGGRVIESDSVVLSLGPWTGKFEMLASMFRVSGLKAHSIVLEPKDPGAITPHALFLSFHPQGGKAMDPEVYPRPTGEVYICGMSAEEEVPDDPEQIVGNPASIAMLKRVGKSVSSHLREGEARVKAEQACFLPCTDDGVPIIGEVPGMKGCYVATGHSCWGILNGPATGAAVAELVLEGRATIVDLSRFSPARFIGRRRVKV >KJB40631 pep chromosome:Graimondii2_0_v6:7:5056152:5063321:-1 gene:B456_007G071800 transcript:KJB40631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGKLDLPDDLLPSKIGSDHFAKDEAWDRNLEEKGLTGLLDDNKDQPTSESSIPLSPQWLYSKVAEAKTLTVGASGDTKTPNLLPHGTPGDPNLKDSWRLDSSQDKKEWRRTAPDLESSRHWREEERETSLLGRRDRRKEDRRTDISSTMDAPENRTLLSSERRQDVSNRSSGHESRRDNKWSSRWGLEDKEKDSRNEKRTDAKKEDAPSDKHALAGGGRTASERENDSRDKWRPRHRLEVHAGGSASYRSAPGFGLERGRVERSNVGFAAGRGRPNSNASLQIGRPQPASVIGALPVDKNMTLNAYSYPRGKLLDMYRKQRTASNFDNLPDEMDHLSTVTQKEIVVPLAFVPPDAEEEAVLGEIWKGKTISSEVVYNSFMDASEGKECFSVNRKDSVEPGEKAAVNNNFEGSHAETFYVSDSQMIMSKEMNSSKGGQRCMPPSDLDVTNALGSDREMGGSTNYMDELKSFDNRQVADLKIQDSNVKANGSSLKFGGGELPEDSSSLFGFPSLQPTLGCNSINVEGNIPAHSLESAMPPEDMSLCYLDPQGVIQGPYLGIDIISWFEQGYFGTDLPVRLANAPDGSPFQELGEVMPHLRMNRGLASSVSAVTRMRVPDRFEGSLEETISSSAAASAQGSAIGREQQQSLSPFETSGTNFHLRGPSQSYNSEHQFYEDPNIHNFAVAQADEIVFPGRPGSAGVDPLKVSAEMQDPLRHPASHLSIANEFSKTNAPHRGDELLPEAWSDDHRRNAVFDPNIHLGTTGARPLSHRDQEHKGLDLVQHLMSQKLPNEPLQEKNNFFHALPHSTGFGVEHIHSFDLMQSKNLNHQQSVHHSAPHMEHVLELQFEQQRQLELQRQQHQLELQRQQQLEHQRQQQLEHQRQQQLEHQRQQQLELQRQQQLELQQHQRLLELQRQQQLELQQHQRQLELQRQQELRHHQIELLQQLQQQHLQQQNSQAQQILLDQLLEHQISDPGYGQDVFDAARDIQLDQVQLQRHLLSELQNNSQASRHLDPSLEQIIQAKINQSAVQGQQADFLDFMSQAKYGNMLPLEHQLRLQREQFQVQQLSRALSQQLGMEEDRQLAGSLSVDEVGQFVRNPGIHPRAQSMELNGSDLHQKRLSSFEEQISNSKRNHALREQQQRGTFDPSHTAFARSVHSAAAPGMKVDNVNSLDIAEHLYMHSNNQPGPFSSGNHSFSQQTLGDVYASRPDLVYHSGENEQLENSWAGKQMQQLNLEADLQRRESEVDSSTWASAGGIHEKSKKALMDLLHQKLGIQSTRSSEGDYQYSTSSSRGRESFWPVSEPQASNFHVNNSYLEGPQNSNSGALLQDHLFGVAASGGVNQVVNCERMPHKSNPGSFAEDQSLLLGAEDLSSSIYADASLVSKSAVDKELGELEGKEKKNGLKSMISRSGSLSGSEDNILEQVEMPLDCADLQSRTHIRHSSLSTGGNGRLYSNEIGLEKSVEDRPNERLLSGVPKGVDKVAQISSSQDVFSDQNTVPFVKQKSLTSQAKRTVETEASGKKDVSMRRTSSYNEAAVSEASFMEILKKPALHGAEVPVYGSAFEPPSSDGASQAGRSGKKKGKKGRQIDPALLGFKVTSNRILMGEIHRLDD >KJB40632 pep chromosome:Graimondii2_0_v6:7:5056311:5063246:-1 gene:B456_007G071800 transcript:KJB40632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGKLDLPDDLLPSKIGSDHFAKDEAWDRNLEEKGLTGLLDDNKDQPTSESSIPLSPQWLYSKVAEAKTLTVGASGDTKTPNLLPHGTPGDPNLKDSWRLDSSQDKKEWRRTAPDLESSRHWREEERETSLLGRRDRRKEDRRTDISSTMDAPENRTLLSSERRQDVSNRSSGHESRRDNKWSSRWGLEDKEKDSRNEKRTDAKKEDAPSDKHALAGGGRTASERENDSRDKWRPRHRLEVHAGGSASYRSAPGFGLERGRVERSNVGFAAGRGRPNSNASLQIGRPQPASVIGALPVDKNMTLNAYSYPRGKLLDMYRKQRTASNFDNLPDEMDHLSTVTQKEIVVPLAFVPPDAEEEAVLGEIWKGKTISSEVVYNSFMDASEGKECFSVNRKDSVEPGEKAAVNNNFEGSHAETFYVSDSQMIMSKEMNSSKGGQRCMPPSDLDVTNALGSDREMGGSTNYMDELKSFDNRQVADLKIQDSNVKANGSSLKFGGGELPEDSSSLFGFPSLQPTLGCNSINVEGNIPAHSLESAMPPEDMSLCYLDPQGVIQGPYLGIDIISWFEQGYFGTDLPVRLANAPDGSPFQELGEVMPHLRMNRGLASSVSAVTRMRVPDRFEGSLEETISSSAAASAQGSAIGREQQQSLSPFETSGTNFHLRGPSQSYNSEHQFYEDPNIHNFAVAQADEIVFPGRPGSAGVDPLKVSAEMQDPLRHPASHLSIANEFSKTNAPHRGDELLPEAWSDDHRRNAVFDPNIHLGTTGARPLSHRDQEHKGLDLVQHLMSQKLPNEPLQEKNNFFHALPHSTGFGVEHIHSFDLMQSKNLNHQQSVHHSAPHMEHVLELQFEQQRQLELQRQQHQLELQRQQQLEHQRQQQLEHQRQQQLEHQRQQQLELQRQQQLELQQHQRLLELQRQQQLELQQHQRQLELQRQQELRHHQIELLQQLQQQHLQQQNSQAQQILLDQLLEHQISDPGYGQDVFDAARDIQLDQVQLQRHLLSELQNNSQASRHLDPSLEQIIQAKINQSAVQGQQADFLDFMSQAKYGNMLPLEHQLRLQREQFQVQQLSRALSQQLGMEEDRQLAGSLSVDEVGQFVRNPGIHPRAQSMELNGSDLHQKRLSSFEEQISNSKRNHALREQQQRGTFDPSHTAFARSVHSAAAPGMKVDNVNSLDIAEHLYMHSNNQPGPFSSGNHSFSQQTLGDVYASRPDLVYHSGENEQLENSWAGKQMQQLNLEADLQRRESEVDSSTWASAGGIHEKSKKALMDLLHQKLGIQSTRSSEGDYQYSTSSSRGRESFWPVSEPQASNFHVNNSYLEGPQNSNSGALLQDHLFGVAASGGVNQVVNCERMPHKSNPGSFAEDQSLLLGAEDLSSSIYADASLVSKSAVDKELGELEGKEKKNGLKSMISRSGSLSGSEDNILEQVEMPLDCADLQSRTHIRHSSLSTGNLQKKRSY >KJB45668 pep chromosome:Graimondii2_0_v6:7:53481057:53485805:1 gene:B456_007G319400 transcript:KJB45668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] MAFPLSSSITLSFTPSTPKRHFLSTHFAFRFSQARSQENARPFQLKVQCKASKEAFIKDKSQCRNIPTSFNLSNLINGTMKLENVIAVILILAEITSPLPLAGWDFWSISPANAVLYSPETKLPRTGELALRRAIPANTNMKAIQDSLEDISYLLRIPQRKPYGTMEGNVKKALKIAVDGKDSILASIPADLREKGSTLYSSLVDGKGGLEALLKSIKDQDPDRVSVGLASSLDTVAELELLQAPGLSFLLPEQYLKYPRLTGRAIVELTIEKGDGSSFSPEAGGELRKTATIQVVLDGYSAPLTTGNFAKLVTDGAYDGTKLSCINQAIISENDTGKNGYSVPLEIMPSGQFEPLYKTTLSVQDGELPVLPLSVYGAVAMAHSEVSEEYSSPYQFFFYLYDKRNSGLGGISFEEGQFSVFGYITAGREILPQIKTGDIIKSAKLVEGRDRLVLPSES >KJB45669 pep chromosome:Graimondii2_0_v6:7:53481163:53485762:1 gene:B456_007G319400 transcript:KJB45669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] MAFPLSSSITLSFTPSTPKRHFLSTHFAFRFSQARSQENARPFQLKVQCKASKEAFIKDKSQCRNIPTSFNLSNLINGTMKLENVIAVILILAEITSPLPLAGWDFWSISPANAVLYSPETKLPRTGELALRRAIPANTNMKAIQDSLEDISYLLRIPQRKPYGTMEGNVKKALKIAVDGKDSILASIPADLREKGSTLYSSLVDGKGGLEALLKSIKDQDPDRVSVGLASSLDTVAELELLQAPGLSFLLPEQYLKYPRLTGRAIVELTIEKGDGSSFSPEAGGELRKTATIQVVLDGYSAPLTTGNFAKLVR >KJB45670 pep chromosome:Graimondii2_0_v6:7:53481163:53485762:1 gene:B456_007G319400 transcript:KJB45670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] MQDKSQCRNIPTSFNLSNLINGTMKLENVIAVILILAEITSPLPLAGWDFWSISPANAVLYSPETKLPRTGELALRRAIPANTNMKAIQDSLEDISYLLRIPQRKPYGTMEGNVKKALKIAVDGKDSILASIPADLREKGSTLYSSLVDGKGGLEALLKSIKDQDPDRVSVGLASSLDTVAELELLQAPGLSFLLPEQYLKYPRLTGRAIVELTIEKGDGSSFSPEAGGELRKTATIQVVLDGYSAPLTTGNFAKLVTDGAYDGTKLSCINQAIISENDTGKNGYSVPLEIMPSGQFEPLYKTTLSVQDGELPVLPLSVYGAVAMAHSEVSEEYSSPYQFFFYLYDKRNSGLGGISFEEGQFSVFGYITAGREILPQIKTGDIIKSAKLVEGRDRLVLPSES >KJB39645 pep chromosome:Graimondii2_0_v6:7:1660852:1661620:1 gene:B456_007G023200 transcript:KJB39645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFVSVTIVQNSILAPVFHRPLNPEAVAEGEKILSAALSKTESFWLDDNRPFWLGENQPSIADLILVCDIMQVKLVGETDWNRLLGPYKKVQQWIENTRNATNPHFDELHKVLKELKEKLQN >KJB39899 pep chromosome:Graimondii2_0_v6:7:2516986:2519106:-1 gene:B456_007G036700 transcript:KJB39899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH47 [Source:Projected from Arabidopsis thaliana (AT3G47640) UniProtKB/Swiss-Prot;Acc:Q9SN74] MGSETNAAVKTSVGRSCVPKTKGKVPKRVHKAEREKLKREHLNELFLDLANALDPNQPNNGKASILCEATRLLKELFGQIESLKKENASLVSESHYVNVEKNELQEENSTLETQIRELKSEIGTRVAQCKPDLNEPPPLPSQFHGDHHPGLPAVEPVPQQPSALLVVPIHPDIQTYPTPDSGTQQQPAPKTNCVVSKPHARYPTPADSWPSQLLGKQ >KJB39900 pep chromosome:Graimondii2_0_v6:7:2517256:2517717:-1 gene:B456_007G036700 transcript:KJB39900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH47 [Source:Projected from Arabidopsis thaliana (AT3G47640) UniProtKB/Swiss-Prot;Acc:Q9SN74] MPLLYVRVLNICIYVMKCRSNIVLCSCLKRQQVNVEKNELQEENSTLETQIRELKSEIGTRVAQCKPDLNEPPPLPSQFHGDHHPGLPAVEPVPQQPSALLVVPIHPDIQTYPTPDSGTQQQPAPKTNCVVSKPHARYPTPADSWPSQLLGKQ >KJB39901 pep chromosome:Graimondii2_0_v6:7:2516986:2519078:-1 gene:B456_007G036700 transcript:KJB39901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH47 [Source:Projected from Arabidopsis thaliana (AT3G47640) UniProtKB/Swiss-Prot;Acc:Q9SN74] MGSETNAAVKTSVGRSCVPKTKGKVPKRVHKAEREKLKREHLNELFLDLANALDPNQPNNGKASILCEATRLLKELFGQIESLKKENASLVSESHYVNVEKNELQEENSTLETQIRELKSEIGTRVAQCKPDLNEPPPLPSQFHGDHHPGLPAVEPVPQQPSALLVVPIHPDIQTYPTPDSGTQQQPAPKTNCVVSKPHARYPTPADSWPSQLLGKQ >KJB41908 pep chromosome:Graimondii2_0_v6:7:10179689:10181564:1 gene:B456_007G127500 transcript:KJB41908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSVIFLILVSLPASLASANDKLSAYQVLQQYDFPAGILPKGVSGYELNRGTGEFSAHLQGTCNFKIDSYELSYKSTIQGVISPGRITNLKGVSVKVLFFWLDIVQVIHDGDEMEFSVGIASANFPIDNFYESPQCGCGFDCNRFNAFAAASI >KJB46582 pep chromosome:Graimondii2_0_v6:7:60714279:60717229:1 gene:B456_007G375600 transcript:KJB46582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDTKLWPFKVIPGPGDKPMICVTYKGEEKQFAAEEISSMVLIKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDEKIGAKLPAADKKKIEDAIEQAIQWLDSNQLAESDEFEDKMKELESICNPIIAKMYQGAGGDMGGGMDEDVTAGGSGAGPKIEEVD >KJB46580 pep chromosome:Graimondii2_0_v6:7:60714342:60717114:1 gene:B456_007G375600 transcript:KJB46580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDTKLWPFKVIPGPGDKPMICVTYKGEEKQFAAEEISSMVLIKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDEKIGAKLPAADKKKIEDAIEQAIQWLDSNQLAESDEFEDKMKELESICNPIIAKMYQGAGGDMGGGMDEDVTAGGSGAGPKIEEVD >KJB46581 pep chromosome:Graimondii2_0_v6:7:60714342:60717114:1 gene:B456_007G375600 transcript:KJB46581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDTKLWPFKVIPGPGDKPMICVTYKGEEKQFAAEEISSMVLIKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDEKIGAKLPAADKKKIEDAIEQAIQWLDSNQLAESDEFEDKMKELESICNPIIAKMYQGAGGDMGGGMDEDVTAGGSGAGPKIEEVD >KJB44145 pep chromosome:Graimondii2_0_v6:7:32145139:32145957:-1 gene:B456_007G236900 transcript:KJB44145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLTNIPYSFTNYFLTILCNFKFKSIVINHNLRYVVFNMSFLKKKLYMVRLKEYPDTMSYFELCKIVKDGLGFNTVQLIYFHVLGSRTL >KJB44008 pep chromosome:Graimondii2_0_v6:7:29653443:29656675:1 gene:B456_007G233000 transcript:KJB44008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:Projected from Arabidopsis thaliana (AT5G10480) UniProtKB/TrEMBL;Acc:F4KGW0] MASALTVLRRLYLSIYNWLVFIGWFQVLFLALKALKESGHEHVYNAVEKPLLLAQSAAVMEILHGLIGLVRSPVSSTLPQIGSRLYLTWGILWSFPEIRTHILVTSLVISWAITEIIRYSFFGMKEAFGFAPSWHLWLSRYSTFLVLYPTGISSEVGLIYFALPYIKASEKYCFRMPNKWNFSFDYFYAAILALGIYVPGSPHLYHYMLGQRKRALSKSKKE >KJB44005 pep chromosome:Graimondii2_0_v6:7:29653443:29656675:1 gene:B456_007G233000 transcript:KJB44005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:Projected from Arabidopsis thaliana (AT5G10480) UniProtKB/TrEMBL;Acc:F4KGW0] MASALTVLRRLYLSIYNWLVFIGWFQVLFLALKALKESGHEHVYNAVEKPLLLAQSAAVMEILHGLIGLVRSPVSSTLPQIGSRLYLTWGILWSFPEIIRYSFFGMKEAFGFAPSWHLWLRYSTFLVLYPTGISSEVGLIYFALPYIKASEKYCFRMPNKWNFSFDYFYAAILALGIYVPGSPHLYHYMLGQRKRALSKSKKE >KJB44010 pep chromosome:Graimondii2_0_v6:7:29653443:29656675:1 gene:B456_007G233000 transcript:KJB44010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:Projected from Arabidopsis thaliana (AT5G10480) UniProtKB/TrEMBL;Acc:F4KGW0] MEVFFFCLVRSPVSSTLPQIGSRLYLTWGILWSFPEIRTHILVTSLVISWAITEIIRYSFFGMKEAFGFAPSWHLWLRYSTFLVLYPTGISSEVGLIYFALPYIKASEKYCFRMPNKWNFSFDYFYAAILALGIYVPGSPHLYHYMLGQRKRALSKSKKE >KJB44011 pep chromosome:Graimondii2_0_v6:7:29653404:29656727:1 gene:B456_007G233000 transcript:KJB44011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:Projected from Arabidopsis thaliana (AT5G10480) UniProtKB/TrEMBL;Acc:F4KGW0] MASALTVLRRLYLSIYNWLVFIGWFQVLFLALKALKESGHEHVYNAVEKPLLLAQSAAVMEILHGLIGLVRSPVSSTLPQIGSRLYLTWGILWSFPEIRTHILVTSLVISWAITEIIRYSFFGMKEAFGFAPSWHLWLRYSTFLVLYPTGISSEVGLIYFALPYIKASEKYCFRMPNKWNFSFDYFYAAILALGIYVPVLTCTIICSGRGREHFPNQKRSELFSRFAALWLLSPNFGACMISFFLVLLFG >KJB44009 pep chromosome:Graimondii2_0_v6:7:29653632:29656121:1 gene:B456_007G233000 transcript:KJB44009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:Projected from Arabidopsis thaliana (AT5G10480) UniProtKB/TrEMBL;Acc:F4KGW0] MASALTVLRRLYLSIYNWLVFIGWFQVLFLALKALKESGHEHVYNAVEKPLLLAQSAAVMEILHGLIGLVRSPVSSTLPQIGSRLYLTWGILWSFPEIRTHILVTSLVISWAITEIIRYSFFGMKEAFGFAPSWHLWLRYSTFLVLYPTGISSEVGLIYFALPYIKASEKYCFRMPNKWNFSFDYFYAAILALGIYVPGMN >KJB44006 pep chromosome:Graimondii2_0_v6:7:29653443:29656675:1 gene:B456_007G233000 transcript:KJB44006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:Projected from Arabidopsis thaliana (AT5G10480) UniProtKB/TrEMBL;Acc:F4KGW0] MASALTVLRRLYLSIYNWLVFIGWFQVLFLALKALKESGHEHVYNAVEKPLLLAQSAAVMEILHGLIGLVRSPVSSTLPQIGSRLYLTWGILWSFPEIRTHILVTSLVISWAITEIIRYSFFGMKEAFGFAPSWHLWLSTFLVLYPTGISSEVGLIYFALPYIKASEKYCFRMPNKWNFSFDYFYAAILALGIYVPGSPHLYHYMLGQRKRALSKSKKE >KJB44003 pep chromosome:Graimondii2_0_v6:7:29653443:29656675:1 gene:B456_007G233000 transcript:KJB44003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:Projected from Arabidopsis thaliana (AT5G10480) UniProtKB/TrEMBL;Acc:F4KGW0] MASALTVLRRLYLSIYNWLVFIGWFQVLFLALKALKESGHEHVYNAVEKPLLLAQSAAVMEILHGLIGLVRSPVSSTLPQIGSRLYLTWGILWSFPEIRTHILVTSLVISWAITEIIRYSFFGMKEAFGFAPSWHLWLRYSTFLVLYPTGISSEVGLIYFALPYIKASEKYCFRMPNKWNFSFDYFYAAILALGIYVPGSPHLYHYMLGQRKRALSKSKKE >KJB44004 pep chromosome:Graimondii2_0_v6:7:29653898:29656278:1 gene:B456_007G233000 transcript:KJB44004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:Projected from Arabidopsis thaliana (AT5G10480) UniProtKB/TrEMBL;Acc:F4KGW0] MEILHGLIGLVRSPVSSTLPQIGSRLYLTWGILWSFPEIRTHILVTSLVISWAITEIIRYSFFGMKEAFGFAPSWHLWLRYSTFLVLYPTGISSEVGLIYFALPYIKASEKYCFRMPNKWNFSFDYFYAAILALGIYVPGSPHLYHYMLGQRKRALSKSKKE >KJB44007 pep chromosome:Graimondii2_0_v6:7:29653443:29656675:1 gene:B456_007G233000 transcript:KJB44007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:Projected from Arabidopsis thaliana (AT5G10480) UniProtKB/TrEMBL;Acc:F4KGW0] MASALTVLRRLYLSIYNWLVFIGWFQVLFLALKALKESGHEHVYNAVEKPLLLAQSAAVMEILHGLIGLVRSPVSSTLPQIGSRLYLTWGILWSFPEIRTHILVTSLVISWAITEIIRYSFFGMKEAFGFAPSWHLWLRYSTFLVLYPTGISSEVGLIYFALPYIKASEKYCFRMPNKWNFSFDYFYAAILALGIYVPGSPHLYHYMLGQRKRALSKSKKE >KJB41881 pep chromosome:Graimondii2_0_v6:7:10207041:10209605:1 gene:B456_007G127800 transcript:KJB41881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Photolyase/blue-light receptor PHR2 [Source: Projected from Oryza sativa (Os03g0343400)] MDSNPQSCENPEIKSTEEQTQNQYQVSQSPFATASLSLSSLPPTLPTQFFIQPKILSLFSAQSPSKVKVPTQASSLSHLSLSSTSPSPSPSKLSFKSTFANNPLQSPLSLGPRRPLDPSNGAAIRRASIVWFRNDLRVHDNECLNTANNESMSVLPVYCFDPRDYGKSSSGFDKTGPYRATFLIESVSDLRKNLQARGSDLVVRIGKPECVLVELAKAIGADAVYAHREVSHDEVKAEEKIESAMKEEGVEVKYFWGSTLFHVDDLPFKLEDMPSNYGGFRDKVNGLEIRKTIESLDQMKGMPSRGDVETGDIPSLTDLGLNTAATMAQDGRPSVSASMAGGENEALQRLKKFAAECKAQPYKGSKDGSQETIYGANFSCKISPWLAMGCLSPRFMFDELKKTANRTVSATSKKNDGGSGSPDTQMNWLMFELLWRDFFRFITKKYSSAKVGTAPATACTGALA >KJB41883 pep chromosome:Graimondii2_0_v6:7:10207124:10209579:1 gene:B456_007G127800 transcript:KJB41883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Photolyase/blue-light receptor PHR2 [Source: Projected from Oryza sativa (Os03g0343400)] MDSNPQSCENPEIKSTEEQTQNQYQVSQSPFATASLSLSSLPPTLPTQFFIQPKILSLFSAQSPSKVKVPTQASSLSHLSLSSTSPSPSPSKLSFKSTFANNPLQSPLSLGPRRPLDPSNGAAIRRASIVWFRNDLRVHDNECLNTANNESMSVLPVYCFDPRDYGKSSSGFDKTGPYRATFLIESVSDLRKNLQARGSDLVVRIGKPECVLVELAKAIGADAVYAHREVSHDEVKAEEKIESAMKEEGVEVKYFWGSTLFHVDDLPFKLEDMPSNYGGFRDKVNGLEIRKTIESLDQMKGMPSRGDVETGDIPSLTDLGLNTAATMAQDGRPSVSASMAGGENEALQRLKKFAAECKAQPYKGSKDGSQETIYGANFSCKISPWLAMGCLSPRFMFDELKKTANRYGPFLLPQRKMMGAVAHLILK >KJB41882 pep chromosome:Graimondii2_0_v6:7:10207124:10208816:1 gene:B456_007G127800 transcript:KJB41882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Photolyase/blue-light receptor PHR2 [Source: Projected from Oryza sativa (Os03g0343400)] MDSNPQSCENPEIKSTEEQTQNQYQVSQSPFATASLSLSSLPPTLPTQFFIQPKILSLFSAQSPSKVKVPTQASSLSHLSLSSTSPSPSPSKLSFKSTFANNPLQSPLSLGPRRPLDPSNGAAIRRASIVWFRNDLRVHDNECLNTANNESMSVLPVYCFDPRDYGKSSSGFDKTGPYRATFLIESVSDLRKNLQARGSDLVVRIGKPECVLVELAKAIGADAVYAHREVSHDEVKAEEKIESAMKEEGVEVKYFWGSTLFHVDDLPFKLEDMPSNYGGFRDKVNGLEIRKTIESLDQMKGMPSRGDVETGDIPSLTDLGLNTAATMAQDGRPSVSASMAGGENEALQRLKKFAAECKAQPYKGSKDGSQETIYGANFSCKISPWLAMGCLSPRFMFDELKKTANRYGVKYQFFPLYK >KJB41885 pep chromosome:Graimondii2_0_v6:7:10207124:10209579:1 gene:B456_007G127800 transcript:KJB41885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Photolyase/blue-light receptor PHR2 [Source: Projected from Oryza sativa (Os03g0343400)] MDSNPQSCENPEIKSTEEQTQNQYQVSQSPFATASLSLSSLPPTLPTQFFIQPKILSLFSAQSPSKVKVPTQASSLSHLSLSSTSPSPSPSKLSFKSTFANNPLQSPLSLGPRRPLDPSNGAAIRRASIVWFRNDLRVHDNECLNTANNESMSVLPVYCFDPRDYGKSSSGFDKTGPYRATFLIESVSDLRKNLQARGSDLVVRIGKPECVLVELAKAIGADAVYAHREVSHDEVKAEEKIESAMKEEGVEVKYFWGSTLFHVDDLPFKLEDMPSNYGGFRDKVNGLEIRKTIESLDQMKGMPSRGDVETGDIPSLTDLGLNTAATMAQV >KJB41884 pep chromosome:Graimondii2_0_v6:7:10207124:10209579:1 gene:B456_007G127800 transcript:KJB41884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Photolyase/blue-light receptor PHR2 [Source: Projected from Oryza sativa (Os03g0343400)] MDSNPQSCENPEIKSTEEQTQNQYQVSQSPFATASLSLSSLPPTLPTQFFIQPKILSLFSAQSPSKVKVPTQASSLSHLSLSSTSPSPSPSKLSFKSTFANNPLQSPLSLGPRRPLDPSNGAAIRRASIVWFRNDLRVHDNECLNTANNESMSVLPVYCFDPRDYGKSSSGFDKTGPYRATFLIESVSDLRKNLQARGSDLVVRIGKPECVLVELAKAIGADAVYAHREVSHDEVKAEEKIESAMKEEGVEVKYFWGSTLFHVDDLPFKLEDMPSNYGGFRDKVNGLEIRKTIESLDQMKGMPSRGDVETGDIPSLTDLGLNTAATMAQDGRPSVSASMAGGENEALQRLKKFAAECKAQPYKGSKDGSQETIYGANFSCKISPWLAMGCLSPRFMFDELKKTANRTVSATSKKNDGGSGSPDTQMNWLMFELLWRDFFR >KJB39058 pep chromosome:Graimondii2_0_v6:7:52115520:52116646:-1 gene:B456_007G307400 transcript:KJB39058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAILSSISSNKIADREDQRAIKIMKRVLLLLGLLVLLLHDQADAKRVLMEEAAPAPTIGAKRDTENSSYSDEEEKNNSCGSYGNPSGSTTKNHHYYISDKPPAKEH >KJB45993 pep chromosome:Graimondii2_0_v6:7:56749327:56758178:-1 gene:B456_007G342200 transcript:KJB45993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRKSKSVNKQYSSVYEVSPDKNAGNSRKSKAKKKLTDKLGTQWSKAEIEQFYKAYREHGKDWKKVAAAVHNRSAEMVEALYSMNRAYLSLPDGTASVIGLIAMMTDHYNVLGVSDGERESNKPSDMSEKVQKRKRAKVHLESSKEDVVQPRSIASSEGCLSLLKRAGLNGILPHACRKRTPRVPVSYSYRRDDTESFVPPIKRIKKSEVDDKDDEHVAALTLTGTLQKGGSPCASRSPYKITERRRSSPVRSYYRMLPQSETSKAELHDSSYECWMEGGPGGIELASGTYARDRGPLMDMDGIGTVEVHRKGKNFYRKKIKVEESKNNLSDDGGEACSGTEGIVGNALKGKVDMEISSAKKKLSSCTERKRTKKHVLGDQSCALDALLALANLSSVVPTSITESESSVKLKEDRTTFEVDDQSSVPEAASITHHRDKIKQPRPNKKVLNLLNGAEDDSSRKSTVSEPKQQQEPSNNSRKRKQKPYVSKISSSEAPMDSRLRKHFDNEEMAKEEKKYLTKSKCASQQTSFRVPEGSVTNNDPKMAGIDSVVSTSQVPASDPVSLPTKHQSRRKMNLKRALLSTHKNSSVCTLKNQPNNHSVPPDTPKEMLSSCLSSNLARRWCCFEWFYSAIDYAWFAKREFVEYLNHVSLDHIPRLTRVEWGVIRSSLGKPRRLSEHFLLEEREKLKQYRESVRQHYTQLRVGTREGLATDLAPPLCVGQRVIAIHPKTREVHDGKVLTVDHDRCRVQFDSPDLGVEFVMDIDCMPLNPLENMPETLKKQNLAFNQFSLAPRGSQGNRHLELGGPEVFTSCGCVENATSPVNINPIKVDAKRTLLHGKPALPHVVSAHQAAYDQPLRIAHIQGREADIRAMSELSHALDKKEALLSELRNTNDIIENQNGESCLKVSEHFKKHITTVLVQLKEASGQASSALLNLRQRNTYPANPLLPWQKHPTNLDFLGGLTSCSFDSSLISPEAGCVVGDIINGSTLKARAMVDAAIKALSSMKEGEDVFKRIGEALNIVDKKQITSDISMPAIKSPEQNQVNGSLSITSKPMATTGWAPNPKLQEASNKNEEQVPLELITSCVSTLLMIQRCTERQFPPADVAQIIDSAITSLHPCCPQNLPIYREIQMCMGKIKTQILALIPTLI >KJB45991 pep chromosome:Graimondii2_0_v6:7:56749277:56758754:-1 gene:B456_007G342200 transcript:KJB45991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRKSKSVNKQYSSVYEVSPDKNAGNSRKSKAKKLTDKLGTQWSKAEIEQFYKAYREHGKDWKKVAAAVHNRSAEMVEALYSMNRAYLSLPDGTASVIGLIAMMTDHYNVLGVSDGERESNKPSDMSEKVQKRKRAKVHLESSKEDVVQPRSIASSEGCLSLLKRAGLNGILPHACRKRTPRVPVSYSYRRDDTESFVPPIKRIKKSEVDDKDDEHVAALTLTGTLQKGGSPCASRSPYKITERRRSSPVRSYYRMLPQSETSKAELHDSSYECWMEGGPGGIELASGTYARDRGPLMDMDGIGTVEVHRKGKNFYRKKIKVEESKNNLSDDGGEACSGTEGIVGNALKGKVDMEISSAKKKLSSCTERKRTKKHVLGDQSCALDALLALANLSSVVPTSITESESSVKLKEDRTTFEVDDQSSVPEAASITHHRDKIKQPRPNKKVLNLLNGAEDDSSRKSTVSEPKQQQEPSNNSRKRKQKPYVSKISSSEAPMDSRLRKHFDNEEMAKEEKKYLTKSKCASQQTSFRVPEGSVTNNDPKMAGIDSVVSTSQVPASDPVSLPTKHQSRRKMNLKRALLSTHKNSSVCTLKNQPNNHSVPPDTPKEMLSSCLSSNLARRWCCFEWFYSAIDYAWFAKREFVEYLNHVSLDHIPRLTRVEWGVIRSSLGKPRRLSEHFLLEEREKLKQYRESVRQHYTQLRVGTREGLATDLAPPLCVGQRVIAIHPKTREVHDGKVLTVDHDRCRVQFDSPDLGVEFVMDIDCMPLNPLENMPETLKKQNLAFNQFSLAPRGSQGNRHLELGGPEVFTSCGCVENATSPVNINPIKVDAKRTLLHGKPALPHVVSAHQAAYDQPLRIAHIQGREADIRAMSELSHALDKKEALLSELRNTNDIIENQNGESCLKASSALLNLRQRNTYPANPLLPWQKHPTNLDFLGGLTSCSFDSSLISPEAGCVVGDIINGSTLKARAMVDAAIKALSSMKEGEDVFKRIGEALNIVDKKQITSDISMPAIKSPEQNQVNGSLSITSKPMATTGWAPNPKLQEASNKNEEQVPLELITSCVSTLLMIQRCTERQFPPADVAQIIDSAITSLHPCCPQNLPIYREIQMCMGKIKTQILALIPTLI >KJB45994 pep chromosome:Graimondii2_0_v6:7:56749327:56757845:-1 gene:B456_007G342200 transcript:KJB45994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRKSKSVNKQYSSVYEVSPDKNAGNSRKSKAKKLTDKLGTQWSKAEIEQFYKAYREHGKDWKKVAAAVHNRSAEMVEALYSMNRAYLSLPDGTASVIGLIAMMTDHYNVLGVSDGERESNKPSDMSEKVQKRKRAKVHLESSKEDVVQPRSIASSEGCLSLLKRAGLNGILPHACRKRTPRVPVSYSYRRDDTESFVPPIKRIKKSEVDDKDDEHVAALTLTGTLQKGGSPCASRSPYKITERRRSSPVRSYYRMLPQSETSKAELHDSSYECWMEGGPGGIELASGTYARDRGPLMDMDGIGTVEVHRKGKNFYRKKIKVEESKNNLSDDGGEACSGTEGIVGNALKGKVDMEISSAKKKLSSCTERKRTKKHVLGDQSCALDALLALANLSSVVPTSITESESSVKLKEDRTTFEVDDQSSVPEAASITHHRDKIKQPRPNKKVLNLLNGAEDDSSRKSTVSEPKQQQEPSNNSRKRKQKPYVSKISSSEAPMDSRLRKHFDNEEMAKEEKKYLTKSKCASQQTSFRVPEGSVTNNDPKMAGIDSVVSTSQVPASDPVSLPTKHQSRRKMNLKRALLSTHKNSSVCTLKNQPNNHSVPPDTPKEMLSSCLSSNLARRWCCFEWFYSAIDYAWFAKREFVEYLNHVSLDHIPRLTRVEWGVIRSSLGKPRRLSEHFLLEEREKLKQYRESVRQHYTQLRVGTREGLATDLAPPLCVGQRVIAIHPKTREVHDGKVLTVDHDRCRVQFDSPDLGVEFVMDIDCMPLNPLENMPETLKKQNLAFNQFSLAPRGSQGNRHLELGGPEVFTSCGCVENATSPVNINPIKVDAKRTLLHGKPALPHVVSAHQAAYDQPLRIAHIQGREADIRAMSELSHALDKKEALLSELRNTNDIIENQNGESCLKVSEHFKKHITTASSALLNLRQRNTYPANPLLPWQKHPTNLDFLGGLTSCSFDSSLISPEAGCVVGDIINGSTLKARAMVDAAIKALSSMKEGEDVFKRIGEALNIVDKKQITSDISMPAIKSPEQNQVNGSLSITSKPMATTGWAPNPKLQEASNKNEEQVPLELITSCVSTLLMIQRCTERQFPPADVAQIIDSAITSLHPCCPQNLPIYREIQMCMGKIKTQILALIPTLI >KJB45996 pep chromosome:Graimondii2_0_v6:7:56751535:56758658:-1 gene:B456_007G342200 transcript:KJB45996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRKSKSVNKQYSSVYEVSPDKNAGNSRKSKAKKLTDKLGTQWSKAEIEQFYKAYREHGKDWKKVAAAVHNRSAEMVEALYSMNRAYLSLPDGTASVIGLIAMMTDHYNVLGVSDGERESNKPSDMSEKVQKRKRAKVHLESSKEDVVQPRSIASSEGCLSLLKRAGLNGILPHACRKRTPRVPVSYSYRRDDTESFVPPIKRIKKSEVDDKDDEHVAALTLTGTLQKGGSPCASRSPYKITERRRSSPVRSYYRMLPQSETSKAELHDSSYECWMEGGPGGIELASGTYARDRGPLMDMDGIGTVEVHRKGKNFYRKKIKVEESKNNLSDDGGEACSGTEGIVGNALKGKVDMEISSAKKKLSSCTERKRTKKHVLGDQSCALDALLALANLSSVVPTSITESESSVKLKEDRTTFEVDDQSSVPEAASITHHRDKIKQPRPNKKVLNLLNGAEDDSSRKSTVSEPKQQQEPSNNSRKRKQKPYVSKISSSEAPMDSRLRKHFDNEEMAKEEKKYLTKSKCASQQTSFRVPEGSVTNNDPKMAGIDSVVSTSQVPASDPVSLPTKHQSRRKMNLKRALLSTHKNSSVCTLKNQPNNHSVPPDTPKEMLSSCLSSNLARRWCCFEWFYSAIDYAWFAKREFVEYLNHVSLDHIPRLTRVEWGVIRSSLGKPRRLSEHFLLEEREKLKQYRESVRQHYTQLRVGTREGLATDLAPPLCVGQRVIAIHPKTREVHDGKVLTVDHDRCRVQFDSPDLGVEFVMDIDCMPLNPLENMPETLKKQNLAFNQFSLAPRGSQGNRHLELGGPEVFTSCGCVENATSPVNINPIKVDAKRTLLHGKPALPHVVSAHQAAYDQPLRIAHIQGREADIRAMSELSHALDKKVITLLLEIHCLYPFQFILMLFDIFIRV >KJB45990 pep chromosome:Graimondii2_0_v6:7:56749420:56757845:-1 gene:B456_007G342200 transcript:KJB45990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRKSKSVNKQYSSVYEVSPDKNAGNSRKSKAKKLTDKLGTQWSKAEIEQFYKAYREHGKDWKKVAAAVHNRSAEMVEALYSMNRAYLSLPDGTASVIGLIAMMTDHYNVLGVSDGERESNKPSDMSEKVQKRKRAKVHLESSKEDVVQPRSIASSEGCLSLLKRAGLNGILPHACRKRTPRVPVSYSYRRDDTESFVPPIKRIKKSEVDDKDDEHVAALTLTGTLQKGGSPCASRSPYKITERRRSSPVRSYYRMLPQSETSKAELHDSSYECWMEGGPGGIELASGTYARDRGPLMDMDGIGTVEVHRKGKNFYRKKIKVEESKNNLSDDGGEACSGTEGIVGNALKGKVDMEISSAKKKLSSCTERKRTKKHVLGDQSCALDALLALANLSSVVPTSITESESSVKLKEDRTTFEVDDQSSVPEAASITHHRDKIKQPRPNKKVLNLLNGAEDDSSRKSTVSEPKQQQEPSNNSRKRKQKPYVSKISSSEAPMDSRLRKHFDNEEMAKEEKKYLTKSKCASQQTSFRVPEGSVTNNDPKMAGIDSVVSTSQVPASDPVSLPTKHQSRRKMNLKRALLSTHKNSSVCTLKNQPNNHSVPPDTPKEMLSSCLSSNLARRWCCFEWFYSAIDYAWFAKREFVEYLNHVSLDHIPRLTRVEWGVIRSSLGKPRRLSEHFLLEEREKLKQYRESVRQHYTQLRVGTREGLATDLAPPLCVGQRVIAIHPKTREVHDGKVLTVDHDRCRVQFDSPDLGVEFVMDIDCMPLNPLENMPETLKKQNLAFNQFSLAPRGSQGNRHLELGGPEVFTSCGCVENATSPVNINPIKVDAKRTLLHGKPALPHVVSAHQAAYDQPLRIAHIQGREADIRAMSELSHALDKKEALLSELRNTNDIIENQNGESCLKVSEHFKKHITTVLVQLKEASGQASSALLNLRQRNTYPANPLLPWQKHPTNLDFLGGLTSCSFDSSLISPEAGCVVGDIINGSTLKARAMVDAAIKALSSMKEGEDVFKRIGEALNIVDKKQITSDISMPAIKSPEQNQVNGSLSITSKPMATTGWAPNPKLQEASNKNEEQVPLELITSCVSTLLMIQRCTERQFPPADVAQIIDSAITSLHPCCPQNLPIYREIQMCMGKIKTQILALIPTLI >KJB45992 pep chromosome:Graimondii2_0_v6:7:56749277:56758754:-1 gene:B456_007G342200 transcript:KJB45992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRKSKSVNKQYSSVYEVSPDKNAGNSRKSKAKKKLTDKLGTQWSKAEIEQFYKAYREHGKDWKKVAAAVHNRSAEMVEALYSMNRAYLSLPDGTASVIGLIAMMTDHYNVLGVSDGERESNKPSDMSEKVQKRKRAKVHLESSKEDVVQPRSIASSEGCLSLLKRAGLNGILPHACRKRTPRVPVSYSYRRDDTESFVPPIKRIKKSEVDDKDDEHVAALTLTGTLQKGGSPCASRSPYKITERRRSSPVRSYYRMLPQSETSKAELHDSSYECWMEGGPGGIELASGTYARDRGPLMDMDGIGTVEVHRKGKNFYRKKIKVEESKNNLSDDGGEACSGTEGIVGNALKGKVDMEISSAKKKLSSCTERKRTKKHVLGDQSCALDALLALANLSSVVPTSITESESSVKLKEDRTTFEVDDQSSVPEAASITHHRDKIKQPRPNKKVLNLLNGAEDDSSRKSTVSEPKQQQEPSNNSRKRKQKPYVSKISSSEAPMDSRLRKHFDNEEMAKEEKKYLTKSKCASQQTSFRVPEGSVTNNDPKMAGIDSVVSTSQVPASDPVSLPTKHQSRRKMNLKRALLSTHKNSSVCTLKNQPNNHSVPPDTPKEMLSSCLSSNLARRWCCFEWFYSAIDYAWFAKREFVEYLNHVSLDHIPRLTRVEWGVIRSSLGKPRRLSEHFLLEEREKLKQYRESVRQHYTQLRVGTREGLATDLAPPLCVGQRVIAIHPKTREVHDGKVLTVDHDRCRVQFDSPDLGVEFVMDIDCMPLNPLENMPETLKKQNLAFNQFSLAPRGSQGNRHLELGGPEVFTSCGCVENATSPVNINPIKVDAKRTLLHGKPALPHVVSAHQAAYDQPLRIAHIQGREADIRAMSELSHALDKKEALLSELRNTNDIIENQNGESCLKASSALLNLRQRNTYPANPLLPWQKHPTNLDFLGGLTSCSFDSSLISPEAGCVVGDIINGSTLKARAMVDAAIKALSSMKEGEDVFKRIGEALNIVDKKQITSDISMPAIKSPEQNQVNGSLSITSKPMATTGWAPNPKLQEASNKNEEQVPLELITSCVSTLLMIQRCTERQFPPADVAQIIDSAITSLHPCCPQNLPIYREIQMCMGKIKTQILALIPTLI >KJB45995 pep chromosome:Graimondii2_0_v6:7:56749420:56757845:-1 gene:B456_007G342200 transcript:KJB45995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRKSKSVNKQYSSVYEVSPDKNAGNSRKSKAKKKLTDKLGTQWSKAEIEQFYKAYREHGKDWKKVAAAVHNRSAEMVEALYSMNRAYLSLPDGTASVIGLIAMMTDHYNVLGVSDGERESNKPSDMSEKVQKRKRAKVHLESSKEDVVQPRSIASSEGCLSLLKRAGLNGILPHACRKRTPRVPVSYSYRRDDTESFVPPIKRIKKSEVDDKDDEHVAALTLTGTLQKGGSPCASRSPYKITERRRSSPVRSYYRMLPQSETSKAELHDSSYECWMEGGPGGIELASGTYARDRGPLMDMDGIGTVEVHRKGKNFYRKKIKVEESKNNLSDDGGEACSGTEGIVGNALKGKVDMEISSAKKKLSSCTERKRTKKHVLGDQSCALDALLALANLSSVVPTSITESESSVKLKEDRTTFEVDDQSSVPEAASITHHRDKIKQPRPNKKVLNLLNGAEDDSSRKSTVSEPKQQQEPSNNSRKRKQKPYVSKISSSEAPMDSRLRKHFDNEEMAKEEKKYLTKSKCASQQTSFRVPEGSVTNNDPKMAGIDSVVSTSQVPASDPVSLPTKHQSRRKMNLKRALLSTHKNSSVCTLKNQPNNHSVPPDTPKEMLSSCLSSNLARRWCCFEWFYSAIDYAWFAKREFVEYLNHVSLDHIPRLTRVEWGVIRSSLGKPRRLSEHFLLEEREKLKQYRESVRQHYTQLRVGTREGLATDLAPPLCVGQRVIAIHPKTREVHDGKVLTVDHDRCRVQFDSPDLGVEFVMDIDCMPLNPLENMPETLKKQNLAFNQFSLAPRGSQGNRHLELGGPEVFTSCGCVENATSPVNINPIKVDAKRTLLHGKPALPHVVSAHQAAYDQPLRIAHIQGREADIRAMSELSHALDKKEALLSELRNTNDIIENQNGESCLKVSEHFKKHITTVLVQLKEASGQASSALLNLRQRNTYPANPLLPWQKHPTNLDFLGGLTSCSFDSSLISPEAGCVVGDIINGSTLKARAMVDAAIKALSSMKEGEDVFKRIGEALNIVDKKQITSDISMPAIKSPEQNQVNGSLSITSKPMATTGWAPNPKLQEASNKNEEQVPLELITSCVSTLLMIQRCTERQFPPADVAQIIDSAITSLHPCCPQNLPIYREIQMCMGKIKTQILALIPTLI >KJB39431 pep chromosome:Graimondii2_0_v6:7:1025495:1029198:1 gene:B456_007G013100 transcript:KJB39431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WRRKSKRSYSREFLFSFAKLDTCKKLPTGFDSSTLRELNDQSTSVLHSYHDSDASRFQSSLSHCSRRNPEQGILGSGARVHRDIAETIIPVVQGSGFHLLNRSIEPYRPPHLCKAKYYSERESKDHYNDETFGSPDNLSQDRAEEEKIRRDSFELMRKEQEMVTQEKQKFICDDHTENLKPYIAIALEDSEGDFESKGSESRDVKEGQSENPLVCSSDLTHLLAEGEKMTAVDQKAIEHDSTKSSFGANGSGHNLMKSVPIPVTKRTSTELYGPCNPGVISCESLEEPILSEINGHCSTQRSNCSCYDEESQNILSLLRKEAGLTDLVESSKLNNTWSLDKSYVSETEIDNYQLNKFKKNLHGPISRSTSTKKDLTSRFKWDDSVKSRPIGNVESIEFSSFLVQTSASKRSSDLQDALESKNSSLCSYDELEICLPDEDSLITVDDYILPQESLFVAADENRFDLTGFESSAFVHRSHDLTSSETYFNNPYMQQSNPQLRHSQLKSTKERVSCSEYETSRRSSIIKPRDLKSYAYNLQALQHFHTNVHHTPSQYFRAAATGFDHCMGYHLPCQQMYGLPIAAFPQHTSDQMACYEHDQDATFNSSLNFNRQFYNSLQNASSRPSEIVIESEADGSSYFGWPTVESVWKTN >KJB43765 pep chromosome:Graimondii2_0_v6:7:23532600:23538107:-1 gene:B456_007G214700 transcript:KJB43765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKTNGPSVNAGRVMDGPTNPMVTPLLTDLYQFTMAYAYWKAGKHNDRAVFDLYFRKNPFGGEFTVFAGLEECIKLIANFKLTEEEISFICETLPGSCEEGFVKYLRNIDCSDVEVYAISEGTVVFPKVPLLRVEGPVAVVQLLETPVLNLVNFASLVATNAARHRLVAGKSKMLLEFGLRRAQGPDGAISASKYCYIGGFDATSNVAAGRLFGIPLRGTHSHAFVSSFMSPDEILERSLHRSDGSSTCEDFVSLVQSWLSKIQWAKALGNTVGETNQSELAAFTSYALAFPNNFLALVDTYDVMRSGVPNFCAVALALNDLGYKSVGIRLDSGDLAYLSGEARKIFQIIEKEFGLPSFGKTSITASNDLNEETLDALNKQGHEVDCYGIGTYLVTCYAQAALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRCYRLYGREGYSLVDIMTGENEPCPKVVGERILCRHPFNESKRAYVVPQRVEELLKCYWPGKSGKVREELPALKDIRDHCIKQLEQMRPDHIRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >KJB43764 pep chromosome:Graimondii2_0_v6:7:23532299:23538408:-1 gene:B456_007G214700 transcript:KJB43764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKTNGPSVNAGRVMDGPTNPMVTPLLTDLYQFTMAYAYWKAGKHNDRAVFDLYFRKNPFGGEFTVFAGLEECIKLIANFKLTEEEISFICETLPGSCEEGFVKYLRNIDCSDVEVYAISEGTVVFPKVPLLRVEGPVAVVQLLETPVLNLVNFASLVATNAARHRLVAGKSKMLLEFGLRRAQGPDGAISASKYCYIGGFDATSNVAAGRLFGIPLRGTHSHAFVSSFMSPDEILERSLHRSDGSSTCEDFVSLVQSWLSKIQWAKALGNTVGETNQSELAAFTSYALAFPNNFLALVDTYDVMRSGVPNFCAVALALNDLGYKSVGIRLDSGDLAYLSGEARKIFQIIEKEFGLPSFGKTSITASNDLNEETLDALNKQGHEVDCYGIGTYLVTCYAQAALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRCYRLYGREGYSLVDIMTGENEPCPKVGERILCRHPFNESKRAYVVPQRVEELLKCYWPGKSGKVREELPALKDIRDHCIKQLEQMRPDHIRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >KJB43763 pep chromosome:Graimondii2_0_v6:7:23532233:23538492:-1 gene:B456_007G214700 transcript:KJB43763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKTNGPSVNAGRVMDGPTNPMVTPLLTDLYQFTMAYAYWKAGKHNDRAVFDLYFRKNPFGGEFTVFAGLEECIKLIANFKLTEEEISFICETLPGSCEEGFVKYLRNIDCSDVEVYAISEGTVVFPKVPLLRVEGPVAVVQLLETPVLNLVNFASLVATNAARHRLVAGKSKMLLEFGLRRAQGPDGAISASKYCYIGGFDATSNVAAGRLFGIPLRGTHSHAFVSSFMSPDEILERSLHRSDGSSTCEDFVSLVQSWLSKIQWAKALGNTVGETNQSELAAFTSYALAFPNNFLALVDTYDVMRSGVPNFCAVALALNDLGYKSVGIRLDSGDLAYLSGEARKIFQIIEKEFGLPSFGKTSITASNDLNEETLDALNKQGHEVDCYGIGTYLVTCYAQAALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRCYRLYGREGYSLVDIMTGENEPCPKVGERILCRHPFNESKRAYVVPQRVEELLKCYWPGKSGKVREELPALKDIRDHCIKQLEQMRPDHIRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >KJB42874 pep chromosome:Graimondii2_0_v6:7:15687136:15692938:-1 gene:B456_007G171800 transcript:KJB42874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEIPFPLYHKTARKNTIQRALDTTLLFLLISLLFYRLLSLKTHGFVWLLAFLCESWFTFFWFLIVNAKWNPVDIKTYPQSLEPRFPELPAVDMFVTTADPVREPPIMTVNTVLSLLAVDYPADKLACYVSDDGCSALTFYSLVESSKFAKLWVRFCKKYKVQVRAPFRYFLEDPISTSAPNLEFKEEWEKIKAEYEHLWLKIKEASRKSAPCNLTHDLAVFANIETSNHPTIIKIIGENCEEDVPHLVYISREKRPKYPHHFKAGAMNVLSRVSGLMTNAPFTLNVDCDMFVNNPQVVREALCQLVGRESERDVGFIQYPQCFYNATKDDPYGNQMVVLMEYIGRGLAGLQGPPYSGTGCFHRRKVIYGAWPGNAASINDYEAMKEFGKSEEFLESATHALKGEKGIRKSISDYLEASFQVAACDYESGSSWGTKFGWIYGSMTEDVLTGLNIHKKGWKSNFHLLDPPAFLGCAPTGGPAAMTQQKRWATGLLEILVSKSNPIVFTLTGNLQFRLYLFYIYLLSWGLTSVPELCYAALPAYCIIANSHFLPKVQDPAILIPVAIFVTYNMLTLREYLKVDLSFRAWWNNMRMARITATSAYLFGVLAVVLKLLGLSDTVFEVTQKDDEASEDEDDDEINGTAKFTFDESPIFVPGTTLLLVHLTALLSLCLGLRPLVHKDGQGSGVGLGEVLCSLWVVLCFRPFMKGLFRRGKYGIPSSTIFKSTSLAFVLVCLGTASWA >KJB42801 pep chromosome:Graimondii2_0_v6:7:15116663:15128697:1 gene:B456_007G168700 transcript:KJB42801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDEEGEFAPEIHVSEYVFVDQNGEPISFSVLPLQWNENEVIGNSKAQVFLEGVADDGRLKIYQQVVAWRFDLSYALPEILVFSKNKKWMTLQKPRKSFVSTIRTILITIHWMHFLKKNTETPAKSVWNHLQNVFSLYEFEPSEHDLLHHKLLISEAMKRDKDLAKSKNVLNFVEVPRTNIAPHQDIPKKNNFINDGDVDDEDYNDDDIGEEVDGVRKSIFDPVCAICDDGGNVLPCEGRCLRSFHPTKAAGIDSFCESLGFVNDAQIDVFPCVSATCGHFYHPKCVAKLLQTDNEAEAKKLRDKIAAGDSFTCPAHKCFACKQSEDAQVHDLQFALCRRCPKAYHRKCLPKSICFQHDKYTKSFRRAWEDLLPYNRILIYCMEHKIVKELETPSRNHLIFPDLGVKEKKRKLELSYRGKNLASKQSDVSEVFATSRNVLKKPKLVQKAYRDTHAGGSSERTKKPCSRQEFSPLKDPNTCVTSRKFLKQNARPDFDRSLSKEKTKLTQTKGNLKVNLQSNQTGFKSKNTNQNMQAEKGESIRPLIDAQIEKGISVLIKEVDSSFNAEEFMKNQQQISGTSAYSFQSAGDKSITLGRVEASVKAVRAALQKLEAGASLEDAKNVCGPEVIKQIFKWKENLTVYLGPFFHGMRYTSFGRHFTKVEKLIEIVNRLHWYVQDGDTIVDFCCGSNDFSGLLKEKLEKVGKSCLFKNYDLFQPKNDFSFEKRDWMSVKPNELTDGSRLIMGLNPPFGVKASRANKFINKALTFRPKLIILIVPRETRRLDEKDAYDLIWEDDRVLSGKSFYLPGSVDVEDKHLEQWNVKAPPLYLWSRHDWTARHKAIAREQHHAYDWLEELPGNGENAKEVEFNYLMQDKHDCYGDFSKDVYACGGISSILDGVPEMNDGFESEGSRGTVHGKDMEGQFPGSNSIWKNNDFPKQIHDKVIEMQPEGHGHMDASPKAASDNGIALETDDMCIDMEISSPDNRARYYEVPGTQGGDGVFQNRGQDPLNLGFKTEYRFRDIQNPVSAPR >KJB42803 pep chromosome:Graimondii2_0_v6:7:15116663:15128697:1 gene:B456_007G168700 transcript:KJB42803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDEEGEFAPEIHVSEYVFVDQNGEPISFSVLPLQWNENEVIGNSKAQVFLEGVADDGRLKIYQQVVAWRFDLSYALPEILVFSKNKKWMTLQKPRKSFVSTIRTILITIHWMHFLKKNTETPAKSVWNHLQNVFSLYEFEPSEHDLLHHKLLISEAMKRDKDLAKSKNVLNFVEVPRTNIAPHQDIPKKNNFINDGDVDDEDYNDDDIGEEVDGVRKSIFDPVCAICDDGGNVLPCEGRCLRSFHPTKAAGIDSFCESLGFVNDAQIDAIPSFLCKNCLYKQHQCYACGELGSSNNTSDQEVFPCVSATCGHFYHPKCVAKLLQTDNEAEAKKLRDKIAAGDSFTCPAHKCFACKQSEDAQVHDLQFALCRRCPKAYHRKCLPKSICFQHDKYTKSFRRAWEDLLPYNRILIYCMEHKIVKELETPSRNHLIFPDLGVKEKKRKLELSYRGKNLASKQSDVSEVFATSRNVLKKPKLVQKAYRDTHAGGSSERTKKPCSRQEFSPLKDPNTCVTSRKFLKQNARPDFDRSLSKEKTKLTQTKGNLKVNLQSNQTGFKSKNTNQNMQAEKGESIRPLIDAQIEKGISVLIKEVDSSFNAEEFMKNQQQISGTSAYSFQSAGDKSITLGRVEASVKAVRAALQKLEAGASLEDAKNVCGPEVIKQIFKWKENLTVYLGPFFHGMRYTSFGRHFTKVEKLIEIVNRLHWYVQDGDTIVDFCCGSNDFSGLLKEKLEKVGKSCLFKNYDLFQPKNDFSFEKRDWMSVKPNELTDGSRLIMGLNPPFGVKASRANKFINKALTFRPKLIILIVPRETRRLDEKDAYDLIWEDDRVLSGKSFYLPGSVDVEDKHLEQWNVKAPPLYLWSRHDWTARHKAIAREQHHAYDWLEELPGNGENAKEVEFNYLMQDKHDCYGDFSKDVYACGGISSILDGVPEMNDGFESEGSRGTVHGKDMEGQFPGSNSIWKNNDFPKQIHDKVIEMQPEGHGHMDASPKAASDNGIALETDDMCIDMEISSPDNRARYYEVPGTQGGDGVFQNRGQDPLNLGFKTEYRFRDIQNPVSAPR >KJB42799 pep chromosome:Graimondii2_0_v6:7:15116666:15128771:1 gene:B456_007G168700 transcript:KJB42799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDEEGEFAPEIHVSEYVFVDQNGEPISFSVLPLQWNENEVIGNSKAQVFLEGVADDGRLKIYQQVVAWRFDLSYALPEILVFSKNKKWMTLQKPRKSFVSTIRTILITIHWMHFLKKNTETPAKSVWNHLQNVFSLYEFEPSEHDLLHHKLLISEAMKRDKDLAKSKNVLNFVEVPRTNIAPHQDIPKKNNFINDGDVDDEDYNDDDIGEEVDGVRKSIFDPVCAICDDGGNVLPCEGRCLRSFHPTKAAGIDSFCESLGFVNDAQIDAIPSFLCKNCLYKQHQCYACGELGSSNNTSDQEVFPCVSATCGHFYHPKCVAKLLQTDNEAEAKKLRDKIAAGDSFTCPAHKCFACKQSEDAQVHDLQFALCRRCPKAYHRKCLPKSICFQHDKYTKSFRRAWEDLLPYNRILIYCMEHKIVKELETPSRNHLIFPDLGVKEKKRKLELSYRGKNLASKQSDVSEVFATSRNVLKKPKLVQKAYRDTHAGGSSERTKKPCSRQEFSPLKDPNTCVTSRKFLKQNARPDFDRSLSKEKTKLTQTKGNLKVNLQSNQTGFKSKNTNQNMQAEKGESIRPLIDAQIEKGISVLIKEVDSSFNAEEFMKNQQQISGTSAYSFQSAGDKSITLGRVEASVKAVRAALQKLEAGASLEDAKNVCGPEVIKQIFKWKENLTVYLGPFFHGMRYTSFGRHFTKVEKLIEIVNRLHWYVQDGDTIVDFCCGSNDFSGLLKEKLEKVGKSCLFKNYDLFQPKNDFSFEKRDWMSVKPNELTDGSRLIMGLNPPFGVKASRANKFINKALTFRPKLIILIVPRETRRLDEKDAYDLIWEDDRVLSGKSFYLPGSVDVEDKHLEQWNVKAPPLYLWSRHDWTARHKAIAREQHHAYDWLEELPGNGENAKEVEFNYLMQDKHDCYGDFSKDVYACGGISSILDGVPEMNDGFESEGSRGTVHGKDMEGQFPGSNSIWKNNDFPKQIHDKVIEMQPEGHGHMDASPKAASDNGIALETDDMCIDMEISSPDNRARYYEVPGTQGGDGVFQNRGQDPLNLGFKTEYRFRDIQNPVSAPR >KJB42802 pep chromosome:Graimondii2_0_v6:7:15116663:15128697:1 gene:B456_007G168700 transcript:KJB42802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQKPRKSFVSTIRTILITIHWMHFLKKNTETPAKSVWNHLQNVFSLYEFEPSEHDLLHHKLLISEAMKRDKDLAKSKNVLNFVEVPRTNIAPHQDIPKKNNFINDGDVDDEDYNDDDIGEEVDGVRKSIFDPVCAICDDGGNVLPCEGRCLRSFHPTKAAGIDSFCESLGFVNDAQIDVFPCVSATCGHFYHPKCVAKLLQTDNEAEAKKLRDKIAAGDSFTCPAHKCFACKQSEDAQVHDLQFALCRRCPKAYHRKCLPKSICFQHDKYTKSFRRAWEDLLPYNRILIYCMEHKIVKELETPSRNHLIFPDLGVKEKKRKLELSYRGKNLASKQSDVSEVFATSRNVLKKPKLVQKAYRDTHAGGSSERTKKPCSRQEFSPLKDPNTCVTSRKFLKQNARPDFDRSLSKEKTKLTQTKGNLKVNLQSNQTGFKSKNTNQNMQAEKGESIRPLIDAQIEKGISVLIKEVDSSFNAEEFMKNQQQISGTSAYSFQSAGDKSITLGRVEASVKAVRAALQKLEAGASLEDAKNVCGPEVIKQIFKWKENLTVYLGPFFHGMRYTSFGRHFTKVEKLIEIVNRLHWYVQDGDTIVDFCCGSNDFSGLLKEKLEKVGKSCLFKNYDLFQPKNDFSFEKRDWMSVKPNELTDGSRLIMGLNPPFGVKASRANKFINKALTFRPKLIILIVPRETRRLDEKDAYDLIWEDDRVLSGKSFYLPGSVDVEDKHLEQWNVKAPPLYLWSRHDWTARHKAIAREQHHAYDWLEELPGNGENAKEVEFNYLMQDKHDCYGDFSKDVYACGGISSILDGVPEMNDGFESEGSRGTVHGKDMEGQFPGSNSIWKNNDFPKQIHDKVIEMQPEGHGHMDASPKAASDNGIALETDDMCIDMEISSPDNRARYYEVPGTQGGDGVFQNRGQDPLNLGFKTEYRFRDIQNPVSAPR >KJB42804 pep chromosome:Graimondii2_0_v6:7:15116838:15128687:1 gene:B456_007G168700 transcript:KJB42804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQKPRKSFVSTIRTILITIHWMHFLKKNTETPAKSVWNHLQNVFSLYEFEPSEHDLLHHKLLISEAMKRDKDLAKSKNVLNFVEVPRTNIAPHQDIPKKNNFINDGDVDDEDYNDDDIGEEVDGVRKSIFDPVCAICDDGGNVLPCEGRCLRSFHPTKAAGIDSFCESLGFVNDAQIDAIPSFLCKNCLYKQHQCYACGELGSSNNTSDQEVFPCVSATCGHFYHPKCVAKLLQTDNEAEAKKLRDKIAAGDSFTCPAHKCFACKQSEDAQVHDLQFALCRRCPKAYHRKCLPKSICFQHDKYTKSFRRAWEDLLPYNRILIYCMEHKIVKELETPSRNHLIFPDLGVKEKKRKLELSYRGKNLASKQSDVSEVFATSRNVLKKPKLVQKAYRDTHAGGSSERTKKPCSRQEFSPLKDPNTCVTSRKFLKQNARPDFDRSLSKEKTKLTQTKGNLKVNLQSNQTGFKSKNTNQNMQAEKGESIRPLIDAQIEKGISVLIKEVDSSFNAEEFMKNQQQISGTSAYSFQSAGDKSITLGRVEASVKAVRAALQKLEAGASLEDAKNVCGPEVIKQIFKWKENLTVYLGPFFHGMRYTSFGRHFTKVEKLIEIVNRLHWYVQDGDTIVDFCCGSNDFSGLLKEKLEKVGKSCLFKNYDLFQPKNDFSFEKRDWMSVKPNELTDGSRLIMGLNPPFGVKASRANKFINKALTFRPKLIILIVPRETRRLDEKDAYDLIWEDDRVLSGKSFYLPGSVDVEDKHLEQWNVKAPPLYLWSRHDWTARHKAIAREQHHAYDWLEELPGNGENAKEVEFNYLMQDKHDCYGDFSKDVYACGGISSILDGVPEMNDGFESEGSRGTVHGKDMEGQFPGSNSIWKNNDFPKQIHDKVIEMQPEGHGHMDASPKAASDNGIALETDDMCIDMEISSPDNRARYYEVPGTQGGDGVFQNRGQDPLNLGFKTEYRFRDIQNPVSAPR >KJB42800 pep chromosome:Graimondii2_0_v6:7:15116663:15128697:1 gene:B456_007G168700 transcript:KJB42800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQKPRKSFVSTIRTILITIHWMHFLKKNTETPAKSVWNHLQNVFSLYEFEPSEHDLLHHKLLISEAMKRDKDLAKSKNVLNFVEVPRTNIAPHQDIPKKNNFINDGDVDDEDYNDDDIGEEVDGVRKSIFDPVCAICDDGGNVLPCEGRCLRSFHPTKAAGIDSFCESLGFVNDAQIDAIPSFLCKNCLYKQHQCYACGELGSSNNTSDQEVFPCVSATCGHFYHPKCVAKLLQTDNEAEAKKLRDKIAAGDSFTCPAHKCFACKQSEDAQVHDLQFALCRRCPKAYHRKCLPKSICFQHDKYTKSFRRAWEDLLPYNRILIYCMEHKIVKELETPSRNHLIFPDLGVKEKKRKLELSYRGKNLASKQSDVSEVFATSRNVLKKPKLVQKAYRDTHAGGSSERTKKPCSRQEFSPLKDPNTCVTSRKFLKQNARPDFDRSLSKEKTKLTQTKGNLKVNLQSNQTGFKSKNTNQNMQAEKGESIRPLIDAQIEKGISVLIKEVDSSFNAEEFMKNQQQISGTSAYSFQSAGDKSITLGRVEASVKAVRAALQKLEAGASLEDAKNVCGPEVIKQIFKWKENLTVYLGPFFHGMRYTSFGRHFTKVEKLIEIVNRLHWYVQDGDTIVDFCCGSNDFSGLLKEKLEKVGKSCLFKNYDLFQPKNDFSFEKRDWMSVKPNELTDGSRLIMGLNPPFGVKASRANKFINKALTFRPKLIILIVPRETRRLDEKDAYDLIWEDDRVLSGKSFYLPGSVDVEDKHLEQWNVKAPPLYLWSRHDWTARHKAIAREQHHAYDWLEELPGNGENAKEVEFNYLMQDKHDCYGDFSKDVYACGGISSILDGVPEMNDGFESEGSRGTVHGKDMEGQFPGSNSIWKNNDFPKQIHDKVIEMQPEGHGHMDASPKAASDNGIALETDDMCIDMEISSPDNRARYYEVPGTQGGDGVFQNRGQDPLNLGFKTEYRFRDIQNPVSAPR >KJB42883 pep chromosome:Graimondii2_0_v6:7:15805893:15807564:-1 gene:B456_007G172200 transcript:KJB42883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQYQPCFFLLFLLFSVNASVLAFQQRPKISAVDLAALSAIKDSLTDIPGSRFFSTWDFTAPDPCSSFTGVTCGFNDRVTILSLGTGLSDSPGLAGSLSPALSNLTQLTQLILFPGLVTGPIPPQLGQLTSLRVISLTNNRLTGPIPPSFSTLPFLHTLDLSSNRLTGSIPPGLTKLPSLKVMVLSWNELTGELPRTVSAQLLHLDLKKNKISGPLPRLPSTVRYLSVSENWMWGPLKALESLSELVYLDVSMNQFSGPIPSSLFLNPSLTSLFLQRNNLSGGLPSSIKMDPTFQSYGGKGSIVDLSHNFITGDITPFLAGVETLFLNNNHLTGSVPEEYVKSVYDGTTKTLYLQHNYLSGFPLPQGSTLPDTVSLCLSYNCMVPPVGISACPASAGSQPSRPQSQCSVFTHRGSTN >KJB43647 pep chromosome:Graimondii2_0_v6:7:22680426:22685289:1 gene:B456_007G210700 transcript:KJB43647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCTSKPSPNPSFPPDSRNTPIHPRNKDIHHNSNSVPASPLPDVVNGNRNHNLDQAKGTEEKESSNSNNEGKKSPFFPFYSPSPAHYLFSKKSPARSSANSTPKRFFRKPFPPPSPAKHIRAVLARRHGSVKPNESAIPEGSEADGDGAAGATGAGLDKSFGFSKHFGSKYELGEEVGRGHFGYTCAAKFKKGELKGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALSGHNNLVQFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEDDAKDVMIQILNVVSFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIAPWPALSSEARDFVKRLLNKDPRKRLTAAQALSHPWIKNYNDVKVPLDILIFKLMKAYLRSSSLRKAALRALSKTLTVDELFYLKEQFALLEPNKNGTISLENIKVALMRNATDAMKESRIPEFLASLSALQYRRMDFDEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRAIVIDELASELGLSPSVPVHAVLHDWIRHTDGKLSFLGFIKLLHGVSSRTFAKAQ >KJB43646 pep chromosome:Graimondii2_0_v6:7:22680299:22685337:1 gene:B456_007G210700 transcript:KJB43646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCTSKPSPNPSFPPDSRNTPIHPRNKDIHHNSNSVPASPLPDVVNGNRNHNLDQAKGTEEKESSNSNNEGKKSPFFPFYSPSPAHYLFSKKSPARSSANSTPKRFFRKPFPPPSPAKHIRAVLARRHGSVKPNESAIPEGSEADGDGAAGATGAGLDKSFGFSKHFGSKYELGEEVGRGHFGYTCAAKFKKGELKGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALSGHNNLVQFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEDDAKDVMIQILNVVSFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPALSSEARDFVKRLLNKDPRKRLTAAQALSHPWIKNYNDVKVPLDILIFKLMKAYLRSSSLRKAALRALSKTLTVDELFYLKEQFALLEPNKNGTISLENIKVALMRNATDAMKESRIPEFLASLSALQYRRMDFDEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRAIVIDELASELGLSPSVPVHAVLHDWIRHTDGKLSFLGFIKLLHGVSSRTFAKAQ >KJB39443 pep chromosome:Graimondii2_0_v6:7:1105048:1105936:-1 gene:B456_007G014000 transcript:KJB39443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKSQEKRGLYNITEKKLHGVELSVPYKRRCCGSSYGWIATVDWTLAIKLINPFTGGIIDLPSVDIPVVNKFTKEDEHEFHKGKFYAVDFRLGIKSVQVTNMNGSLVSAFDEKIIIPPEEEGDNAFKYIVESSDGELLIVQRFISTYGPYRWTTNFKVLKLRQHANHVARLVEVRNIGDDALFLGDNCSMSVAASRFPECRPNSIYFTDDTILYTLEGSIDMGIFSLETGCFQPFDDMDPSHSDMPPPFFILPTLVDNRVTHPCQY >KJB41999 pep chromosome:Graimondii2_0_v6:7:10701254:10706173:1 gene:B456_007G132000 transcript:KJB41999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKNDVVVCTPLEFESLEEMVASMEKAKAEGADVVELCMASMAAPYLSHQVVDQLLERKTLPAIVSFRLKSSRTLSKGGCYDTCLKVLKRALELDVEFVEMDYEVACEVNMAEYLHNRSNTRIIVSNYVNDGRPSVEKLVDIIACMQATGADVIKLDLCVDYITDLAPIFTVLTHCQVPLIAMAVGNSGLISQLLGPKFGGFLVYGSLGGKPVPGLPSLVSLRQVYKLEYTNVDTKVFGLVSNPVAHSKGPILYNPTFRHMGYNGIYVPMLVDDIEEFFETYSGSDFAGFSVGIPHKEAAIRCCDEVHPIAKSIGAVNTIVRRPMDGKLIGYNTDCEAAISAIEDALTDRKVSSKGVPNSTSSLISGRTFVLIGAGGAGRALAFGAKYKGARIVIFNRDYKRAKALADEVSGEALLYEALEMFRPETGAILANASAIGMEPNSHQSPVSKALRAYDLVFDVVYTPRNTQLLKEAAEVGVTTVSGVEMFVRQALGQFRLFTGGSAPEDLMRKLVMEQF >KJB41998 pep chromosome:Graimondii2_0_v6:7:10701254:10706173:1 gene:B456_007G132000 transcript:KJB41998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKNDVVVCTPLEFESLEEMVASMEKAKAEGADVVELCMASMAAPYLSHQVVDQLLERKTLPAIVSFRLKSSRTLSKGGCYDTCLKVLKRALELDVEFVEMDYEVACEVNMAEYLHNRSNTRIIVSNYVNDGRPSVEKLVDIIACMQATGADVIKLDLCVDYITDLAPIFTVLTHCQVPLIAMAVGNSGLISQLLGPKFGGFLVYGSLGGKPVPGLPSLVSLRQVYKLEYTNVDTKVFGLVSNPVAHSKGPILYNPTFRHMGYNGIYVPMLVDDIEEFFETYSGSDFAGFSVGIPHKEAAIRCCDEVHPIAKSIGAVNTIVRRPMDGKLIGYNTDCEAAISAIEDALTDRKVSSKGVPNSTSSLISGRTFVLIGAGGAGRALAFGAKYKGARIVIFNRDYKRAKALADEVSGEALLYEALEMFRPETGAILANASAIGMEPNSHQSPVSKEALRAYDLVFDVVYTPRNTQLLKEAAEVGVTTVSGVEMFVRQALGQFRLFTGGSAPEDLMRKLVMEQF >KJB45754 pep chromosome:Graimondii2_0_v6:7:54524212:54527522:1 gene:B456_007G325600 transcript:KJB45754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERNMLCPSQMIDLEMDQQGHGYLRHEPCTFLGNITNYPPPDIPMTVIAPGNTTSLDAHPLPEHYENGMFYGMPQYPVPGVQHRQHPPNLDIGIGNASNFYISYVATPSSGVPMNHGPLDQMPSSRNYGPVGVSADEYARNFHFMNNVIGSYKRKTSEGIPGNFQHFNASSSSSSSVTQLNTRHPDGVVPVDPASFTIPQYRGNDPPPIRDAGSQRSVRNRLGATAVDPVLMHGANHFLQGNYMAQPFQPAITDGGASAWTQAPAVPYMHGGNIGGPIETRYRSSMNFSHSSSLDLRNHNHHHPAPPIEGVRSHGFNLHPQVAAAPYRFPANYASQSTMNPSQDNLEMGRRNRRPVPPTGFMIYHSRREGGAVPETSLRYRNLPHLRVIPPDGVAMLEFPEFYDELGNLIDHHRDMRLDIEDMSYEELLALGERIGKVNTGLSEETIRSKLKTRTYSTFVTNINLEEVAPIDQEPDSCIICQEDYKNQEKIGTLDCGHEYHAGCLSKWLFVKNVCPICKSEALTTKSKDV >KJB45753 pep chromosome:Graimondii2_0_v6:7:54524228:54527522:1 gene:B456_007G325600 transcript:KJB45753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERNMLCPSQMIDLEMDQQGHGYLRHEPCTFLGNITNYPPPDIPMTVIAPGNTTSLDAHPLPEHYENGMFYGMPQYPVPGVQHRQHPPNLDIGIGNASNFYISYVATPSSGVPMNHGPLDQMPSSRNYGPVGVSADEYARNFHFMNNVIGSYKRKTSEGIPGNFQHFNASSSSSSSVTQLNTRHPDGVVPVDPASFTIPQYRGNDPPPIRDAGSQRSVRNRLGATAVDPVLMHGANHFLQGNYMAQPFQPAITDGGASAWTQAPAVPYMHGGNIGGPIETRYRSSMNFSHSSSLDLRNHNHHHPAPPIEGVRSHGFNLHPQVAAAPYRFPANYASQSTMNPSQDNLEMGRRNRRPVPPTGFMIYHSRREGGAVPETSLRYRNLPHLRVIPPDGVAMLEFPEFYDELGNLIDHHRDMRLDIEDMSYEELLALGERIGKVNTGLSEETIRSKLKTRTYSTFVTNINLEEVAPIDQEPDSCIICQEDYKNQEKIGTLDCGHEYHAGCLSKWLFVKNVCPICKSEALTTKSKDV >KJB42848 pep chromosome:Graimondii2_0_v6:7:15343081:15346998:1 gene:B456_007G170300 transcript:KJB42848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPQQPRPLSEQPETQPPQTLLDLITGVLSLLLLSSLTVRSFVGRWQVLRSKLCSLQSSLSSISESPHWNDNSLLHNLFPSLLSTLQRLKALSDQCILSSFTGGKLLMQSDLDMASSSLSNHLHDLDLLLRSGVLHQSNAIVLSHPGPGSDKDDLGFFIRDLFTRLQIGGIEFKKKALESLLQLLNDNEKSTPLVAKEGNVGYLISLLEVNSQPLIREQAVLAVSVLASSSEDLRKIVFEEGGLGPLLRILETGSIALKEKAAIAVEAITADPENTWAISAYGGVSVLIEACRSGSQPTQTHAVGALRNVASVEDIRMALGEEGAFPVLFQLLISGTSAAQEKAANCISILASSGEYFRALIIQEKGLPRLMHLLQDLSSSDTVEHLLRTISSLSVLDSVSRILSSSTAFIIQLGEFIKHGNLILQQISACLLSKLSISDGNKRAISSCISSLVKLMESPKPVGLQEAAAQALVSLLTVRSNRKELVGDEKSVMRLVQMLDPKNEAVSKKFPLMVVTAVLGGGSGGCRKRLTAAGANKHLQRLAEIEVAGAKKALQRLAGNRLKSIFSRTWRE >KJB43717 pep chromosome:Graimondii2_0_v6:7:23318695:23322966:1 gene:B456_007G213300 transcript:KJB43717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHEARRSERKVHDMMDAARKRAQRRAIFLAKRRGDPQQSIQVVGSRCRIHRDDALYHATQDQQGLIPWNGKQDILIDRFDGRALLDFIREPGTRHFRNQEKSEEEEEVEEFVNFERYRDLIKHRRRGFTDEDGLQHVNLEMEAKVIAPFQSDRSQLAQPTNKGSYSQVGFSYDGNGKEEAQFSDADEEDEEEEEDDDFNSDDSNDEGMDVIAKEFGVKRYGWLVYMDKKAKEEEKRQKEVIKGDPAIRKLSRKERRKASQIEREKEREAARITGSRVLHNDPYRESRRSPTYDAYPRSRRSRSRSYSPSYSRRHARGGYSDDSYRSKPRTPKIEYITEFGGSGDRDGPKLEGFSPPSSPPSQADMSNRLSSGPILEALHVDPASGVSLDKEKSNKVSKPAVSGLPTLAKLTKASASGGPSKQGQIEKKETPQERLKRIMNRQLNKQIKKDTAAEMAKKQEQERQRLEKLAETSRLSRQRHRSRSRSYSRSPPRRYRRSRSPSRSRSSRRYYSRSRSRSRSHSYSRSRSRSNSRSPRLVRSRSRY >KJB43716 pep chromosome:Graimondii2_0_v6:7:23318695:23321525:1 gene:B456_007G213300 transcript:KJB43716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHEARRSERKVHDMMDAARKRAQRRAIFLAKRRGDPQQSIQVVGSRCRIHRDDALYHATQDQQGLIPWNGKQDILIDRFDGRALLDFIREPGTRHFRNQEKSEEEEEVEEFVNFERYRDLIKHRRRGFTDEDGLQHVNLEMEAKVIAPFQSDRSQLAQPTNKGSYSQVGFSYDGNGKEEAQFSDADEEDEEEEEDDDFNSDDSNDEGMDVIAKEFGVKRYGWLVYMDKKAKEEEKRQKEVIKGDPAIRKLSRKERRKASQIEREKEREAARITGSRVLHNDPYRESRRSPTYDAYPRSRRSRSRSYSPSYSRRHARGGYSDDSYRSKPRTPKIEYITEFGGSGDRDGPKLEGFSPPSSPPSQADMSNRSEHSTFNSCKDRLDSLYSAAAYPHFVA >KJB43718 pep chromosome:Graimondii2_0_v6:7:23318695:23322966:1 gene:B456_007G213300 transcript:KJB43718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHEARRSERKVHDMMDAARKRAQRRAIFLAKRRGDPQQSIQVVGSRCRIHRDDALYHATQDQQGLIPWNGKQDILIDRFDGRALLDFIREPGTRHFRNQEKSEEEEEVEEFVNFERYRDLIKHRRRGFTDEDGLQHVNLEMEAKVIAPFQSDRSQLAQPTNKGSYSQVGFSYDGNGKEEAQFSDADEEDEEEEEDDDFNSDDSNDEGMDVIAKEFGVKRYGWLVYMDKKAKEEEKRQKEVIKGDPAIRKLSRKERRKASQIEREKEREAARITGSRVLHNDPYRESRRSPTYDAYPRSRRSRSRSYSPSYSRRHARGGYSDDSYRSKPRTPKIEYITEFGGSGDRDGPKLEGFSPPSSPPSQADMSNRLSSGPILEALHVDPASGVSLDKEKSNKVSKPAVSGLPTLAKLTKASASGGPSKQGQIEKKETPQERLKRIMNRQLNKQIKKDTAAEMAKKQEQERQRLEKLAETSRLSRQRHRSRSRSYSRSPPRRYRRSRSPSRSRSSRRYYSRSRSRSRSHSYSRSRSRSNSRSPRVRSRSRY >KJB39670 pep chromosome:Graimondii2_0_v6:7:1750685:1752870:-1 gene:B456_007G025000 transcript:KJB39670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNRPPIVSNRFRAEPDPGGTHHNDDNVKPVPGYSPPPKTHYYHSSTIASKNRLATPNTPPIGRVFGRPMEDVRSTYVVGRELGRGQFGVTYLVTHKETKQHFACKSISRRKLLTRDDIEDVRREVQIMYHLTGHRNIVELETACEDRRSVNLIMELCAGGELLDRILAKGHYSEKEAANLCRQIVTVVHNCHSMGVMHRDLKPENFLFLSKDEDSPLKATDFGLSTFFKPGEVFKDFVGTAFYMAPEVLHWRYGPEADIWSAGVILYVLLSGSPPFYGETEKSIFKSILQGNINFLSDPWPSVSDSAKDLLSKMLRQDPKERLSASEVLNHLWMREDGDASDKPLDVAVLTRMKQFRAMNKFKKVALKVIAENLSEEEIVGLKEMFKLMDTDNSRTITYDELKAGLCKLGTNVSESEVRLLMEAVCLDPFIYLSFLINTALLNNLLKFQSNDVTLVIRISIKYLNEPLGPRNGIETNIFYKEKCRVRSTCNLPSNYIMLNR >KJB39672 pep chromosome:Graimondii2_0_v6:7:1749594:1753050:-1 gene:B456_007G025000 transcript:KJB39672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNRPPIVSNRFRAEPDPGGTHHNDDNVKPVPGYSPPPKTHYYHSSTIASKNRLATPNTPPIGRVFGRPMEDVRSTYVVGRELGRGQFGVTYLVTHKETKQHFACKSISRRKLLTRDDIEDVRREVQIMYHLTGHRNIVELETACEDRRSVNLIMELCAGGELLDRILAKGHYSEKEAANLCRQIVTVVHNCHSMGVMHRDLKPENFLFLSKDEDSPLKATDFGLSTFFKPGEVFKDFVGTAFYMAPEVLHWRYGPEADIWSAGVILYVLLSGSPPFYGETEKSIFKSILQGNINFLSDPWPSVSDSAKDLLSKMLRQDPKERLSASEVLNHLWMREDGDASDKPLDVAVLTRMKQFRAMNKFKKVALKVIAENLSEEEIVGLKEMFKLMDTDNSRTITYDELKAGLCKLGTNVSESEVRLLMEALPCT >KJB39673 pep chromosome:Graimondii2_0_v6:7:1749594:1752884:-1 gene:B456_007G025000 transcript:KJB39673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNRPPIVSNRFRAEPDPGGTHHNDDNVKPVPGYSPPPKTHYYHSSTIASKNRLATPNTPPIGRVFGRPMEDVRSTYVVGRELGRGQFGVTYLVTHKETKQHFACKSISRRKLLTRDDIEDVRREVQIMYHLTGHRNIVELETACEDRRSVNLIMELCAGGELLDRILAKGHYSEKEAANLCRQIVTVVHNCHSMGVMHRDLKPENFLFLSKDEDSPLKATDFGLSTFFKPGEVFKDFVGTAFYMAPEVLHWRYGPEADIWSAGVILYVLLSGSPPFYGETEKSIFKSILQGNINFLSDPWPSVSDSAKDLLSKMLRQDPKERLSASEVLNHLWMREDGDASDKPLDVAVLTRMKQFRAMNKFKKVALKVIAENLSEEEIVGLKEMFKLMDTDNSRTITYDELKAGLCKLGTNVSESEVRLLMEATDIDGNGTIDYVEFITATMHMNRMEKEKRLYTAFQHFDKDNSGYITMEELKQALQKYNTRDEKTINEILAEVDIDKDGRINYDEFVAMMRKGNPELVGNRLHT >KJB39669 pep chromosome:Graimondii2_0_v6:7:1750447:1752870:-1 gene:B456_007G025000 transcript:KJB39669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNRPPIVSNRFRAEPDPGGTHHNDDNVKPVPGYSPPPKTHYYHSSTIASKNRLATPNTPPIGRVFGRPMEDVRSTYVVGRELGRGQFGVTYLVTHKETKQHFACKSISRRKLLTRDDIEDVRREVQIMYHLTGHRNIVELETACEDRRSVNLIMELCAGGELLDRILAKGHYSEKEAANLCRQIVTVVHNCHSMGVMHRDLKPENFLFLSKDEDSPLKATDFGLSTFFKPGEVFKDFVGTAFYMAPEVLHWRYGPEADIWSAGVILYVLLSGSPPFYGETEKSIFKSILQGNINFLSDPWPSVSDSAKDLLSKMLRQDPKERLSASEVLNHLWMREDGDASDKPLDVAVLTRMKQFRAMNKFKKVALKVIAENLSEEEIVGLKEMFKLMDTDNSRTITYDELKAGLCKLGTNVSESEVRLLMEAQNIFHTALDRH >KJB39671 pep chromosome:Graimondii2_0_v6:7:1750685:1752870:-1 gene:B456_007G025000 transcript:KJB39671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNRPPIVSNRFRAEPDPGGTHHNDDNVKPVPGYSPPPKTHYYHSSTIASKNRLATPNTPPIGRVFGRPMEDVRSTYVVGRELGRGQFGVTYLVTHKETKQHFACKSISRRKLLTRDDIEDVRREVQIMYHLTGHRNIVELETACEDRRSVNLIMELCAGGELLDRILAKGHYSEKEAANLCRQIVTVVHNCHSMGVMHRDLKPENFLFLSKDEDSPLKATDFGLSTFFKPGEVFKDFVGTAFYMAPEVLHWRYGPEADIWSAGVILYVLLSGSPPFYGETEKSIFKSILQGNINFLSDPWPSVSDSAKDLLSKMLRQDPKERLSASEVLNHLWMREDGDASDKPLDVAVLTRMKQFRAMNKFKKVALKVIAENLSEEEIVGLKEMFKLMDTDNSRTITYDELKAGLCKLGTNVSESEVRLLMEAVCLDPFIYLSFLINTALLNNLLKFQSNDVTLVIRISIKYLNEPLGPRNGIETNIFYKEKCRVRSTCNLPSNYIMLNR >KJB41759 pep chromosome:Graimondii2_0_v6:7:9351843:9352547:1 gene:B456_007G118700 transcript:KJB41759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLVASDPDHLQDFCVADKTSSMPTKNKREWIHAVNVTEVDFFFGGLANSAVINNLVGSVITTANVEKIPGLYTLGVSLARIDYQPDGLNPPHTHPRASEIIFVLDGELDVGFITTANKLISKSIKKRRHFCVPEGLVHYQKNNGVKPASVIAGFNSQFPGTQSIATTLFTSTP >KJB39574 pep chromosome:Graimondii2_0_v6:7:1481420:1488778:1 gene:B456_007G020100 transcript:KJB39574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMGGSRSYSANPSDYKLLEEVGYGASATVYRAIYIPFNDVVAIKCLDLDRCNSNLDDIRREAQTMSLIDHPNVIRAYCSFVVDRSLWVVMPFMSEGSCLHLMKIAYPEGFEEPAIGTILKETLKALDYLHRQGHIHRDVKAGNILLDNNGTVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKHAKPPELSLKKLFTDLPPLWNRVKALQLKDAAQLALKKMPSAEQEALSQSEYQRGVSAWNFDIEDLKAQASLVRDDDDIHECKDDESLKSSPGHKAAAYCESSLGKLNLDREVSLVEFGGPRSVDSIQPDCLNETGKNLDCDRVEKGLRKNGSNIDVMASTSEKDVDLAKAKTVKPRQTQSGPLTTGPVLNHSSSDRVRNSERFENENLPANEKVCQVRKAPSFSGPLMLPNRASANSLSAPIKSSGGFRESLDEKSKANLVQIKGRFSVTSENLDLVKDIPLSSVSRRSSQTSPLRKSASVGDWMFESKQVPIIQSPKDLTNGNVPASILMTHLQNLFQQTSLQQDLIVNLLNTLQSAEAVDASQNGKLPPLPRSESNGNVETPASERERLLLGKITELQSRMMNLTDELTAEKLKHDQLQQQLRFVSGVEENGIRREVDA >KJB39575 pep chromosome:Graimondii2_0_v6:7:1481420:1487220:1 gene:B456_007G020100 transcript:KJB39575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMGGSRSYSANPSDYKLLEEVGYGASATVYRAIYIPFNDVVAIKCLDLDRCNSNLDDIRREAQTMSLIDHPNVIRAYCSFVVDRSLWVVMPFMSEGSCLHLMKIAYPEGFEEPAIGTILKETLKALDYLHRQGHIHRDVKAGNILLDNNGTVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKHAKPPELSLKKLFTDLPPLWNRVKALQLKDAAQLALKKMPSAEQEALSQSEYQRGVSAWNFDIEDLKAQASLVRDDDDIHECKDDESLKSSPGHKAAAYCESSLGKLNLDREVSLVEFGGPRSVDSIQPDCLNETGKNLDCDRVEKGLRKNGSNIDVMASTSEKDVDLAKAKTVKPRQTQSGPLTTGPVLNHSSSDRVRNSERFENENLPANEKVCQVRKAPSFSGPLMLPNRASANSLSAPIKSSGGFRESLDEKSKANLVQIKGRFSVTSENLDLVKDIPLSSVSRRSSQTSPLRKSASVGDWMFESKQVPIIQSPKDLTNGNVPASILMTHLQNLFQQTSLQQDLIVNLLNTLQSAEAVDASQNGKLPPLPRSESNGNVRTFYPCLR >KJB39573 pep chromosome:Graimondii2_0_v6:7:1481037:1488778:1 gene:B456_007G020100 transcript:KJB39573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMGGSRSYSANPSDYKLLEEVGYGASATVYRAIYIPFNDVVAIKCLDLDRCNSNLDDIRREAQTMSLIDHPNVIRAYCSFVVDRSLWVVMPFMSEGSCLHLMKIAYPEGFEEPAIGTILKETLKALDYLHRQGHIHRDVKAGNILLDNNGTVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKHAKPPELSLKKLFTDLPPLWNRVKALQLKDAAQLALKKMPSAEQEALSQSEYQRGVSAWNFDIEDLKAQASLVRDDDDIHECKDDESLKSSPGHKAAAYCESSLGKLNLDREVSLVEFGGPRSVDSIQPDCLNETGKNLDCDRVEKGLRKNGSNIDVMASTSEKDVDLAKAKTVKPRQTQSGPLTTGPVLNHSSSDRVRNSERFENENLPANEKVCQVRKAPSFSGPLMLPNRASANSLSAPIKSSGGFRESLDEKSKANLVQIKGRFSVTSENLDLVKDIPLSSVSRRSSQFQTSPLRKSASVGDWMFESKQVPIIQSPKDLTNGNVPASILMTHLQNLFQQTSLQQDLIVNLLNTLQSAEAVDASQNGKLPPLPRSESNGNVETPASERERLLLGKITELQSRMMNLTDELTAEKLKHDQLQQQLRFVSGVEENGIRREVDA >KJB39572 pep chromosome:Graimondii2_0_v6:7:1481037:1488778:1 gene:B456_007G020100 transcript:KJB39572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMGGSRSYSANPSDYKLLEEVGYGASATVYRAIYIPFNDVVAIKCLDLDRCNSNLDDIRREAQTMSLIDHPNVIRAYCSFVVDRSLWVVMPFMSEGSCLHLMKIAYPEGFEEPAIGTILKETLKALDYLHRQGHIHRDVKAGNILLDNNGTVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKHAKPPELSLKKLFTDLPPLWNRVKALQLKDAAQLALKKMPSAEQEALSQSEYQRGVSAWNFDIEDLKAQASLVRDDDDIHECKDDESLKSSPGHKAAAYCESSLGKLNLDREVSLVEFGGPRSVDSIQPDCLNETGKNLDCDRVEKGLRKNGSNIDVMASTSEKDVDLAKAKTVKPRQTQSGPLTTGPVLNHSSSDRVRNSERFENENLPANEKVCQVRKAPSFSGPLMLPNRASANSLSAPIKSSGGFRESLDEKSKANLVQIKGRFSVTSENLDLVKDIPLSSVSRRSSQTSPLRKSASVGDWMFESKQVPIIQSPKDLTNGNVPASILMTHLQNLFQQTSLQQDLIVNLLNTLQSAEAVDASQNGKLPPLPRSESNGNVETPASERERLLLGKITELQSRMMNLTDELTAEKLKHDQLQQQLRFVSGVEENGIRREVDA >KJB42387 pep chromosome:Graimondii2_0_v6:7:12990190:12994955:1 gene:B456_007G151700 transcript:KJB42387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHLTSLASKARLAKNRIQLNGTRLSWSRNYAAKDIKFGVEARALMLSGVQELADAVKLTMGPKGRNVVLEQSFGAPKVTKDGVTVAKSIEFKDRVKNIGASLVKQVANATNDVAGDGTTCATVLTRAIFVEGCKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGKTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELENPLILIHEKKISNLPALVKFLELSLTKQRPLLIVAEDLESEALATLILNKLRAGIKVCAIKAPAFGENRKAGLQDLAALTGGEVITEELGLDIEKVGAEVLGSCKKVTVSKDDTIILDGAGDKATIEERCDQIRSAIESSTSDYDKDKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVVVLHFFMPLRNLKTWRLQTLIRRSVFRSSKMLLRCLYIQLHLMLELKVQWLLESYWNRTIPTSVMMLPRVSM >KJB42388 pep chromosome:Graimondii2_0_v6:7:12990190:12994955:1 gene:B456_007G151700 transcript:KJB42388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHLTSLASKARLAKNRIQLNGTRLSWSRNYAAKDIKFGVEARALMLSGVQELADAVKLTMGPKGRNVVLEQSFGAPKVTKDGVTVAKSIEFKDRVKNIGASLVKQVANATNDVAGDGTTCATVLTRAIFVEGCKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGKTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELENPLILIHEKKISNLPALVKFLELSLTKQRPLLIVAEDLESEALATLILNKLRAGIKVCAIKAPAFGENRKAGLQDLAALTGGEVITEELGLDIEKVGAEVLGSCKKVTVSKDDTIILDGAGDKATIEERCDQIRSAIESSTSDYDKDKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGAALLYASKELENLETANFDQKIGVQIIQNALKMPVHTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGL >KJB42386 pep chromosome:Graimondii2_0_v6:7:12990131:12995095:1 gene:B456_007G151700 transcript:KJB42386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHLTSLASKARLAKNRIQLNGTRLSWSRNYAAKDIKFGVEARALMLSGVQELADAVKLTMGPKGRNVVLEQSFGAPKVTKDGVTVAKSIEFKDRVKNIGASLVKQVANATNDVAGDGTTCATVLTRAIFVEGCKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGKTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELENPLILIHEKKISNLPALVKFLELSLTKQRPLLIVAEDLESEALATLILNKLRAGIKVCAIKAPAFGENRKAGLQDLAALTGGEVITEELGLDIEKVGAEVLGSCKKVTVSKDDTIILDGAGDKATIEERCDQIRSAIESSTSDYDKDKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGAALLYASKELENLETANFDQKIGVQIIQNALKMPVHTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAIVTELPKDDKEGAATAGGMGGLDY >KJB42389 pep chromosome:Graimondii2_0_v6:7:12990651:12994842:1 gene:B456_007G151700 transcript:KJB42389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNSPWVQKSGRNVVLEQSFGAPKVTKDGVTVAKSIEFKDRVKNIGASLVKQVANATNDVAGDGTTCATVLTRAIFVEGCKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGKTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELENPLILIHEKKISNLPALVKFLELSLTKQRPLLIVAEDLESEALATLILNKLRAGIKVCAIKAPAFGENRKAGLQDLAALTGGEVITEELGLDIEKVGAEVLGSCKKVTVSKDDTIILDGAGDKATIEERCDQIRSAIESSTSDYDKDKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGAALLYASKELENLETANFDQKIGVQIIQNALKMPVHTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAIVTELPKDDKEGAATAGGMGGLDY >KJB42673 pep chromosome:Graimondii2_0_v6:7:14217530:14220354:-1 gene:B456_007G162800 transcript:KJB42673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGFLGLGIMGKAMSMNLLKNGFKVTVWNRTLSKCDEVVVHGASVGETPAEVVKKCNITIAILSDPAAALSVVFDKDGVLDQICSGKGYIDMSTVDPETSWKISEAIALKGGHFLEAPVSGSKQPAETGQLVILAAGDKALYEEAVPAFDVLGKKSFFLGPVGNGAKMKLVVNMIMGSVMNAFSEGLTLAERSGLNPHSLLDVLDLGGIANPMFRGKGPEMVKDNYSPAFPLKHQQKDMRLALALGDQNAVSMPVAAAANEAFKKARSMGLGDLDFSAVYETVKLLKHSS >KJB46517 pep chromosome:Graimondii2_0_v6:7:60575980:60580292:1 gene:B456_007G373500 transcript:KJB46517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDRKSHSMKKLDDVKCQRVDQPVVPGLDDEVTEVEHLLAEPKIEHVSADGILCFGNENIEKHLKMEDFSCAFDYGWKISSGGLDSIHGRGGDDLKLEVLDGLLDEVDEVDDIDAAHDLSGACEDFLLDIEFPEKLSELDCGPREGSTLCNSSSESHSPGFSGRSNSVSGISESSIATVRESNSENGGLGKRVGCNLRHNFRRKHGCQGPVMDVGRSSIEHVQDLDESDDDEKPLVSLILSNQKVKSSVKVTKGDTLLRQKRLRKPTRRYIEEFSRNSTMEESKSHKIQPQEEFPQVPSESQPRRGCPKKIVLKSELESDYELSASESEDGRKRTKRSKTACDRRKHQKMWTLMEVIKLVDGIAKYGVGRWTNIKKLLFASSTYRTPVDLRDKWRNLLRSSSVQENNRREVENHPKHAVRPLPKPVVRRIRELATIHPYPKVSSVDYVPSSEQPTTKGTPVYYRARNLRRK >KJB46515 pep chromosome:Graimondii2_0_v6:7:60575980:60580292:1 gene:B456_007G373500 transcript:KJB46515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDRKSHSMKKLDDVKCQRVDQPVVPGLDDEVTEVEHLLAEPKIEHVSADGILCFGNENIEKHLKMEDFSCAFDYGWKISSGGLDSIHGRGGDDLKLEVLDGLLDEVDEVDDIDAAHDLSGACEDFLLDIEFPEKLSELDCGPREGSTLCNSSSESHSPGFSGRSNSVSGISESSIATVRESNSENGGLGKRVGCNLRHNFRRKHGCQGPVMDVGRSSIEHVQDLDESDDDEKPLKRLRKPTRRYIEEFSRNSTMEESKSHKIQPQEEFPQVPSESQPRRGCPKKIVLKSELESDYELSASESEDGRKRTKRSKTACDRRKHQKMWTLMEVIKLVDGIAKYGVGRWTNIKKLLFASSTYRTPVDLRDKWRNLLRSSSVQENNRREVENHPKHAVRPLPKPVVRRIRELATIHPYPKVSSVDYVPSSEQPTTKGTPVYYRARNLRRK >KJB46513 pep chromosome:Graimondii2_0_v6:7:60575927:60580387:1 gene:B456_007G373500 transcript:KJB46513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDRKSHSMKKLDDVKCQRVDQPVVPGLDDEVTEVEHLLAEPKIEHVSADGILCFGNENIEKHLKMEDFSCAFDYGWKISSGGLDSIHGRGGDDLKLEVLDGLLDEVDEVDDIDAAHDLSGACEDFLLDIEFPEKLSELDCGPREGSTLCNSSSESHSPGFSGRSNSVSGISESSIATVRESNSENGGLGKRVGCNLRHNFRRKHGCQGPVMDVGRSSIEHVQDLDESDDDEKPLVSLILSNQKVKSSVKVTKGDTLLRQKRLRKPTRRYIEEFSRNSTMEESKSHKIQPQEEFPQVPSESQPRRGCPKKIVLKSELESDYELSASESEDGRKRTKRSKTACDRRKHQKMWTLMEVIKLVDGIAKYGVGRWTNIKKLLFASSTYRTPVDLRDKWRNLLRSSSVQENNRREVENHPKHAVRPLPKPVVRRIRELATIHPYPKVSSVDYVPSSEQPTTKGTPVYYRARNLRRK >KJB46516 pep chromosome:Graimondii2_0_v6:7:60575980:60580292:1 gene:B456_007G373500 transcript:KJB46516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDRKSHSMKKLDDVKCQRVDQPVVPGLDDEVTEVEHLLAEPKIEHVSADGILCFGNENIEKHLKMEDFSCAFDYGWKISSGGLDSIHGRGGDDLKLEVLDGLLDEVDEVDDIDAAHDLSGACEDFLLDIEFPEKLSELDCGPREGSTLCNSSSESHSPGFSGRSNSVSGISESSIATVRESNSENGGLGKRVGCNLRHNFRRKHGCQGPVMDVGRSSIEHVQDLDESDDDEKPLVSLILSNQKVKSSVKVTKGDTLLRQKRLRKPTRRYIEEFSRNSTMEESKSHKIQPQEEFPQVPSESQPRRGCPKKIVLKSELESDYELSASESEDGRKRTKRSKTACDRRKHQKMWTLMEVIKLVDGIAKYGVGRWTNIKKLLFASSTYRTPVDLRDKWRNLLRSSSVQENNRRELNPNVHCRLRIIRSMPYVPYQSPWYVASVNWQPFIHTRRFHLSIMFLRPNNRQLKALRFTTVHETYVGSKRSLKKAFCVNSSLACNSPFNTDLHILMLFKSLGN >KJB46514 pep chromosome:Graimondii2_0_v6:7:60577562:60579472:1 gene:B456_007G373500 transcript:KJB46514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDRKSHSMKKLDDVKCQRVDQPVVPGLDDEVTEVEHLLAEPKIEHVSADGILCFGNENIEKHLKMEDFSCAFDYGWKISSGGLDSIHGRGGDDLKLEVLDGLLDEVDEVDDIDAAHDLSGACEDFLLDIEFPEKLSELDCGPREGSTLCNSSSESHSPGFSGRSNSVSGISESSIATVRESNSENGGLGKRVGCNLRHNFRRKHGCQGPVMDVGRSSIEHVQDLDESDDDEKPLVSLILSNQKVKSSVKVTKGDTLLRQKRLRKPTRRYIEEFSRNSTMEESKSHKIQPQEEFPQVPSESQPRRGCPKKIVLKSVGDVPLPNWLQV >KJB42030 pep chromosome:Graimondii2_0_v6:7:10818504:10821361:-1 gene:B456_007G133200 transcript:KJB42030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSTALEPLLFTAHLSTMLKLLNKRIKRLCSRLRWPIRRRSRSVVVIRRLGKLNSKARTDSITKDRAIVVNGSSKVHQDGHLGGLVNSSRPIRIATFNASLFSMAPAMPKADKSSSFDYDNGGFVDGGRSMDLGLRAKSTNDRPKSILKQSPLHPSSMNDKENLSQQQKFVKSKLRVSINLPDNEISLLRNRQLSFAEQGKDGSSSAAAGKAWRGKAPLRSAVSFPTNVGNGIEGCESYRSRKTVLEVLRELDADILALQDVKAEEEKAMKPLSDLAAALGMNYVFAESWAPEYGNAVLSKWPIKRWKVQKIFDDTDFRNVLKATIDVAETGEVDFHCTHLDHLDENWRMKQINAIIQSNDGPHILAGGLNSLEETDYSKERWTDIIKVYRQFPEHYLSGF >KJB42028 pep chromosome:Graimondii2_0_v6:7:10818446:10821589:-1 gene:B456_007G133200 transcript:KJB42028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSTALEPLLFTAHLSTMLKLLNKRIKRLCSRLRWPIRRRSRSVVVIRRLGKLNSKARTDSITKDRAIVVNGSSKVHQDGHLGGLVNSSRPIRIATFNASLFSMAPAMPKADKSSSFDYDNGGFVDGGRSMDLGLRAKSTNDRPKSILKQSPLHPSSMNDKENLSQQQKFVKSKLRVSINLPDNEISLLRNRQLSFAEQGKDGSSSAAAGKAWRGKAPLRSAVSFPTNVGNGIEGCESYRSRKTVLEVLRELDADILALQDVKAEEEKAMKPLSDLAAALGMNYVFAESWAPEYGNAVLSKWPIKRWKVQKIFDDTDFRNVLKATIDVAETGEVDFHCTHLDHLDENWRMKQINAIIQSNDGPHILAGGLNSLEETDYSKERWTDIIKVYRERASTELG >KJB42031 pep chromosome:Graimondii2_0_v6:7:10818989:10821361:-1 gene:B456_007G133200 transcript:KJB42031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSTALEPLLFTAHLSTMLKLLNKRIKRLCSRLRWPIRRRSRSVVVIRRLGKLNSKARTDSITKDRAIVVNGSSKVHQDGHLGGLVNSSRPIRIATFNASLFSMAPAMPKADKSSSFDYDNGGFVDGGRSMDLGLRAKSTNDRPKSILKQSPLHPSSMNDKENLSQQQKFVKSKLRVSINLPDNEISLLRNRQLSFAEQGKDGSSSAAAGKAWRGKAPLRSAVSFPTNVGNGIEGCESYRSRKTVLEVLRELDADILALQDVKAEEEKAMKPLSDLAAALGMNYVFAESWAPEYGNAVLSKWPIKRWKVQKIFDDTDFRNVLKATIDVAETGEVDFHCTHLDHLDENWRMKQINAIIQSNDGPHILAGGLNSLEETDYSKERWTDIIKYYEEMGKPIPKVEVMKFLRNEQYTDAKDFAGECEPVVVIAKGQSIKPILLELLHS >KJB42029 pep chromosome:Graimondii2_0_v6:7:10818446:10821636:-1 gene:B456_007G133200 transcript:KJB42029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSTALEPLLFTAHLSTMLKLLNKRIKRLCSRLRWPIRRRSRSVVVIRRLGKLNSKARTDSITKDRAIVVNGSSKVHQDGHLGGLVNSSRPIRIATFNASLFSMAPAMPKADKSSSFDYDNGGFVDGGRSMDLGLRAKSTNDRPKSILKQSPLHPSSMNDKENLSQQQKFVKSKLRVSINLPDNEISLLRNRQLSFAEQGKDGSSSAAAGKAWRGKAPLRSAVSFPTNVGNGIEGCESYRSRKTVLEVLRELDADILALQDVKAEEEKAMKPLSDLAAALGMNYVFAESWAPEYGNAVLSKWPIKRWKVQKIFDDTDFRNVLKATIDVAETGEVDFHCTHLDHLDENWRMKQINAIIQSNDGPHILAGGLNSLEETDYSKERWTDIIKYYEEMGKPIPKVEVMKFLRNEQYTDAKDFAGECEPVVVIAKGQSVQGTCKYGTRVDYILASPNSPYKFVPGSYSVLSSKGTSDHHIVKVDMIKVNDNFEENVSRKRRQPKQKQKVLKITNGSPSPLKCMWKTHT >KJB44445 pep chromosome:Graimondii2_0_v6:7:40700543:40700729:-1 gene:B456_007G253400 transcript:KJB44445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSNPNEQNVKLNRTSLYWGLLLIFVLAVLFSNYFFN >KJB44610 pep chromosome:Graimondii2_0_v6:7:43070948:43075919:-1 gene:B456_007G261500 transcript:KJB44610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCSYVPLFETKQVKGRVLFRCIAASIFLGICFIVMYRLMFFPVGGKVERWIWIGQFLSELWFCFYWFLTTVSRWNSVYRLPYIQRLSQRFEKELPGIDIFVCTADPLIEPPSMVVNTVLSVMAYDYPPEKLSIYLSDDGGSDLTFYAMLEAANFSKTWLPFCKKFRVEPTSPEAYFRTASEPLNDADNWLSVKKLYEEMKMRIEATIKLNRIPDHIRKQHKGFREWDFVLSKHDHQTILQILIDGRDSNAVDIEGNPLPTLVYLAREKRPQHHHHFKAGSMNALIRVSSRISNGPIILNVDCDMYANNSKAVKYSLCLFMDEKKGDEIAYVQFLQSFDNLTKNEIYASSFRVLQQLELHGLDAIGGPCYNGSGCFHRRQALCGKKYEKNYKVDWKKVSDTKADESASFLEETCKVLASCTFEHNTTWGKEMGLIYGFLVEDIITGLNIQCKGWKSMYLSPERDGFLGVAPITLLQTLVQHKRWMDGHLQVFLSRYCPLLYGYKKIPLKLRLAYCPYNLWAANCLATLYIVVMPCLCLLKGISLFPKISRPWVFPFAYVAFVHRAYSLNEFLWCGGTFRGWCNDQRMWLFNRTSAYFFALFETILKLLGYSRLNFVVTAKVADKEALKRYDGELIEFGATSPMFDILATLAMLNLFGIFGALKKVILDVDEDLQVLEKFGLQILLCFVLVTINLPVYQALFFRNDNGKMPSSVTYKSIIFAMLACTVTMCF >KJB44611 pep chromosome:Graimondii2_0_v6:7:43071143:43075816:-1 gene:B456_007G261500 transcript:KJB44611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCSYVPLFETKQVKGRVLFRCIAASIFLGICFIVMYRLMFFPVGGKVERWIWIGQFLSELWFCFYWFLTTVSRWNSVYRLPYIQRLSQRFEKELPGIDIFVCTADPLIEPPSMVVNTVLSVMAYDYPPEKLSIYLSDDGGSDLTFYAMLEAANFSKTWLPFCKKFRVEPTSPEAYFRTASEPLNDADNWLSVKKLYEEMKMRIEATIKLNRIPDHIRKQHKGFREWDFVLSKHDHQTILQILIDGRDSNAVDIEGNPLPTLVYLAREKRPQHHHHFKAGSMNALIRVSSRISNGPIILNVDCDMYANNSKAVKYSLCLFMDEKKGDEIAYVQFLQSFDNLTKNEIYASSFRVLQQLELHGLDAIGGPCYNGSGCFHRRQALCGKKYEKNYKVDWKKVSDTKADESASFLEETCKVLASCTFEHNTTWGKEMGLIYGFLVEDIITGLNIQCKGWKSMYLSPERDGFLGVAPITLLQTLVQHKRWMDGHLQVFLSRYCPLLYGYKKIPLKLRLAYCPYNLWAANCLATLYIVVMPCLCLLKGISLFPKV >KJB43477 pep chromosome:Graimondii2_0_v6:7:20646902:20649280:-1 gene:B456_007G202500 transcript:KJB43477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRGQDNKEMGMPSSFSYKNQLNKDSYSSAPVNLATGERRRDRTVHGDPNLPQTLDDPLSVPNPRRDSDPDPVSASTATTGEIAAAADSRSPPTPEPQPVAGPTSATISFSPLIRYKECLKNHAAGMGGHVVDGCGEFMPSGEEGTPEALKCAACECHRSFHRKEINGESQYAPSSYYYTNNNNTRRNAVRPPPRATPLHHQRFSLGLSASPPAMSVAPLMMSFGGGGQAESSSEDLNMFHFSEGGQQPSHPHSSKKRFRTKFSQEQKNKMMEFADKLGWKIQKQDDEQVQQFCDQVGVKRQVLKVWMHNNKQAMKRTNVRDI >KJB42511 pep chromosome:Graimondii2_0_v6:7:13399505:13405872:-1 gene:B456_007G156100 transcript:KJB42511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNPFNPSGGFGTSSSLFGASSGSSPFSSSSATGPFSFASSSSSASTATTVTTPSFSGLFSSSSASNSATTSPFGAAGFSFSVGSPFSSSSASSNTTAASTAAASTSSSTSGFSFAPSSSFGFGNPASSSSPASVFSEKVGASSSPFGASSGSTLFSSSPAPATSSSFGSSSSSSAAAPAPAPSFSSFISSSSASNSTTTSPFSSSTGFPFLGGSSFGKSTESSTTTATFTTVPSLTAASSSSSSSGFSFSLPSSASQPTFGYGNAASSSSSSSAPTVPGTFGTSSSPFGASSGSPLFSSSQAPATTTTTTAAMSSFGSLCSPSTATATTPSFPSLSSSSTASSTGFSFGGSSPFLKSTASSTPTSTYTTAPSLAAASSSSSSSFSFASPSASQPAYGFGNAASSSTPAPTLSGSAVSKPTSLSFGTSSAPLFSTVTTTTASTPAASTTTASTPAFPAFNLSSSSSSATASSAAPASSAATSAAVSSLTGFGVTNVAATSGSSGSFSGFSLSTKPSTPASSSQAQAIATAPVFSLTASGSSASTTSTSISTSAQTSSALVVASSSGTSSSATAAVSTTPKLPSEITGKTVEEIIKEWNAELQERTGKFRKQASAIAEWDRRILQNRDVLLRLEIEVAKVVETQASLERQLELIETHQQEV >KJB42509 pep chromosome:Graimondii2_0_v6:7:13399449:13405923:-1 gene:B456_007G156100 transcript:KJB42509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNPFNPSGGFGTSSSLFGASSGSSPFSSSSATGPFSFASSSSSASTATTVTTPSFSGLFSSSSASNSATTSPFGAAGFSFSVGSPFSSSSASSNTTAASTAAASTSSSTSGFSFAPSSSFGFGNPASSSSPASVFSEKVGASSSPFGASSGSTLFSSSPAPATSSSFGSSSSSSAAAPAPAPSFSSFISSSSASNSTTTSPFSSSTGFPFLGGSSFGKSTESSTTTATFTTVPSLTAASSSSSSSGFSFSLPSSASQPTFGYGNAASSSSSSSAPTVPGTFGTSSSPFGASSGSPLFSSSQAPATTTTTTAAMSSFGSLCSPSTATATTPSFPSLSSSSTASSTGFSFGGSSPFLKSTASSTPTSTYTTAPSLAAASSSSSSSFSFASPSASQPAYGFGNAASSSTPAPTLSGSAVSKPTSLSFGTSSAPLFSTVTTTTASTPAASTTTASTPAFPAFNLSSSSSSATASSAAPASSAATSAAVSSLTGFGVTNVAATSGSSGSFSGFSLSTKPSTPASSSQAQAIATAPVFSLTASGSSASTTSTSISTSAQTSSALVVASSSGTSSSATAAVSTTPKLPSEITGKTVEEIIKEWNAELQERTGKFRKQASAIAEWDRRILQNRDVLLRLEIEVAKVVETQASLERQLELIETHQQEVDKALQSMEEEAERIYKDERGLLLDDEAASTRDAMYEQAENVERELEHMTEQIKSIIETVNSSQGGELEALDGMTPLDVVVKILNNQLTSLMWIDEKAEEFSGRIRKLAAQGNAADGELIAAPKYWMS >KJB42510 pep chromosome:Graimondii2_0_v6:7:13399505:13405872:-1 gene:B456_007G156100 transcript:KJB42510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNPFNPSGGFGTSSSLFGASSGSSPFSSSSATGPFSFASSSSSASTATTVTTPSFSGLFSSSSASNSATTSPFGAAGFSFSVGSPFSSSSASSNTTAASTAAASTSSSTSGFSFAPSSSFGFGNPASSSSPASVFSEKVGASSSPFGASSGSTLFSSSPAPATSSSFGFPFLGGSSFGKSTESSTTTATFTTVPSLTAASSSSSSSGFSFSLPSSASQPTFGYGNAASSSSSSSAPTVPGTFGTSSSPFGASSGSPLFSSSQAPATTTTTTAAMSSFGSLCSPSTATATTPSFPSLSSSSTASSTGFSFGGSSPFLKSTASSTPTSTYTTAPSLAAASSSSSSSFSFASPSASQPAYGFGNAASSSTPAPTLSGSAVSKPTSLSFGTSSAPLFSTVTTTTASTPAASTTTASTPAFPAFNLSSSSSSATASSAAPASSAATSAAVSSLTGFGVTNVAATSGSSGSFSGFSLSTKPSTPASSSQAQAIATAPVFSLTASGSSASTTSTSISTSAQTSSALVVASSSGTSSSATAAVSTTPKLPSEITGKTVEEIIKEWNAELQERTGKFRKQASAIAEWDRRILQNRDVLLRLEIEVAKVVETQASLERQLELIETHQQEVDKALQSMEEEAERIYKDERGLLLDDEAASTRDAMYEQAENVERELEHMTEQIKSIIETVNSSQGGELEALDGMTPLDVVVKILNNQLTSLMWIDEKAEEFSGRIRKLAAQGNAADGELIAAPKYWMS >KJB42512 pep chromosome:Graimondii2_0_v6:7:13400542:13405760:-1 gene:B456_007G156100 transcript:KJB42512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNPFNPSGGFGTSSSLFGASSGSSPFSSSSATGPFSFASSSSSASTATTVTTPSFSGLFSSSSASNSATTSPFGAAGFSFSVGSPFSSSSASSNTTAASTAAASTSSSTSGFSFAPSSSFGFGNPASSSSPASVFSEKVGASSSPFGASSGSTLFSSSPAPATSSSFGSSSSSSAAAPAPAPSFSSFISSSSASNSTTTSPFSSSTGFPFLGGSSFGKSTESSTTTATFTTVPSLTAASSSSSSSGFSFSLPSSASQPTFGYGNAASSSSSSSAPTVPGTFGTSSSPFGASSGSPLFSSSQAPATTTTTTAAMSSFGSLCSPSTATATTPSFPSLSSSSTASSTGFSFGGSSPFLKSTASSTPTSTYTTAPSLAAASSSSSSSFSFASPSASQPAYGFGNAASSSTPAPTLSGSAVSKPTSLSFGTSSAPLFSTVTTTTASTPAASTTTASTPAFPAFNLSSSSSSATASSAAPASSAATSAAVSSLTGFGVTNVAATSGSSGSFSGFSLSTKPSTPASSSQAQAIATAPVFSLTASGSSASTTSTSISTSAQTSSALVVASSSGTSSSATAAVSTTPKLPSEITGKTVEEIIKEWNAELQERTGKFRKQASAIAEWDRRILQNRDVLLRLEIEVAKVVETQASLERQLELIETHQQEVDKALQSMEEEAERIYKDERGLLLDDEAASTRDAM >KJB42514 pep chromosome:Graimondii2_0_v6:7:13401119:13405872:-1 gene:B456_007G156100 transcript:KJB42514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNPFNPSGGFGTSSSLFGASSGSSPFSSSSATGPFSFASSSSSASTATTVTTPSFSGLFSSSSASNSATTSPFGAAGFSFSVGSPFSSSSASSNTTAASTAAASTSSSTSGFSFAPSSSFGFGNPASSSSPASVFSEKVGASSSPFGASSGSTLFSSSPAPATSSSFGSSSSSSAAAPAPAPSFSSFISSSSASNSTTTSPFSSSTGFPFLGGSSFGKSTESSTTTATFTTVPSLTAASSSSSSSGFSFSLPSSASQPTFGYGNAASSSSSSSAPTVPGTFGTSSSPFGASSGSPLFSSSQAPATTTTTTAAMSSFGSLCSPSTATATTPSFPSLSSSSTASSTGFSFGGSSPFLKSTASSTPTSTYTTAPSLAAASSSSSSSFSFASPSASQPAYGFGNAASSSTPAPTLSGSAVSKPTSLSFGTSSAPLFSTVTTTTASTPAASTTTASTPAFPAFNLSSSSSSATASSAAPASSAATSAAVSSLTGFGVTNVAATSGSSGSFSGFSLSTKPSTPASSSQAQAIATAPVFSLTASGSSASTTSTSISTSAQTSSALVVASSSGTSSSATAAVSTTPKLPSEITGKTVEEIIKEWNAELQERTGKFRKQASAIAEWDRRILQNRDVLLRLEIEVAKVVETQASLERQLELIETHQQEVFQWFLLVYILLTFKLHRL >KJB42513 pep chromosome:Graimondii2_0_v6:7:13399730:13405760:-1 gene:B456_007G156100 transcript:KJB42513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNPFNPSGGFGTSSSLFGASSGSSPFSSSSATGPFSFASSSSSASTATTVTTPSFSGLFSSSSASNSATTSPFGAAGFSFSVGSPFSSSSASSNTTAASTAAASTSSSTSGFSFAPSSSFGFGNPASSSSPASVFSEKVGASSSPFGASSGSTLFSSSPAPATSSSFGSSSSSSAAAPAPAPSFSSFISSSSASNSTTTSPFSSSTGFPFLGGSSFGKSTESSTTTATFTTVPSLTAASSSSSSSGFSFSLPSSASQPTFGYGNAASSSSSSSAPTVPGTFGTSSSPFGASSGSPLFSSSQAPATTTTTTAAMSSFGSLCSPSTATATTPSFPSLSSSSTASSTGFSFGGSSPFLKSTASSTPTSTYTTAPSLAAASSSSSSSFSFASPSASQPAYGFGNAASSSTPAPTLSGSAVSKPTSLSFGTSSAPLFSTVTTTTASTPAASTTTASTPAFPAFNLSSSSSSATASSAAPASSAATSAAVSSLTGFGVTNVAATSGSSGSFSGFSLSTKPSTPASSSQAQAIATAPVFSLTASGSSASTTSTSISTSAQTSSALVVASSSGTSSSATAAVSTTPKLPSEITGKTVEEIIKEWNAELQERTGKFRKQASAIAEWDRRILQNRDVLLRLEIEVAKVVETQASLERQLELIETHQQEVFQWFLLVDKALQSMEEEAERIYKDERGLLLDDEAASTRDAMYEQAENVERELEHMTEQIKSIIETVNSSQGGELEALDGMTPLDVVVKILNNQLTSLMWIDEKAEEFSGRIRKLAAQGNAADGELIAAPKYWMS >KJB42703 pep chromosome:Graimondii2_0_v6:7:14464977:14474555:1 gene:B456_007G164500 transcript:KJB42703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFPDEPPRDTQGTDKGSIALREKRSRRVSFADREITSIHIFKRDDEYETPPDSTPKQASETEKEVTELFRDLVDSDDSTSGGDDEDDNDDVMSAGKLFLRPIDTPSPGGSSTVGSATSNDDDNFFGPVSANFIRSGRLSDSAASDDNHDITMDSTTFSMHFRSIARSESGDFDTSTGVPLPSEEKTPFQARTSSDLESSMVLTKVGKLKSPLAVPINGGSNDMSIVGESMHRYDYGRLPPALEALLAKGSEFNAIPASRSVRPKLLTSAVSHGNGNGNDCTEPLHFGDSELCTRNNNDISGKGTSIAHNSLVEATSDTTTTLAAKILRDCSSNSKDSPVADDFVDHQTPKQLNKGDNENSEVQSGTRVLNLESIAITNGTPVNRSSEAFQLELVRHFENGNQLPTIDGLNENFPQLHGSPLAGSIHSLSAKRQQILLDTTNSPRRMLFVTPSPKQSGSVLSKGSINEGGTVASILKSNSELKIPEPSSCASAFSDGGPKSKLGSSESLTSRALSFNTIMEEMNEDLQCQQENAFTNNGEEKLSGVGLKQGEKDCSGLGTPKNVSSLSQDGETTGLAKDEYNDKSTEIMAKITSPSKFTHSGKKATNHSLTPVDSADAALVASTFNSSPKDIAREISKDKRDTDTLYKLVSPLVNRLTEKLSSSTGHKDSLFGSLKLHNEDNIAIISRQECNSVETVPSSNNLTAKAENRTPPSAPLVDCLINTSAVKVVDERESNGFDLQNTFSTSMNFPEGPIRKLQSGGPGKNTQTAVERTQSSEHFIEEQMQASVYASPDAHARKNERSPQKSPFRKKQTQSPTSKDPSLCPCRKEMHNALHGDNMQLSVAKGVVSLNCSPNVHRIDDCLRRSNPSPVQDIQNISKRKRTSEEVACVDVQHSDNNIQMQHGLKFCKVGEKNMDHTSEYSYGSNIENERIEGVKILMNQTDISLKLSADTNQLLSPCFDKLNIKMINKLEDKLLHQQKVNILELLCSEIQSQLCSSYNESCNILHKRVAETRQLLYRIVYGKAKMQLMHVKRERLLVELLRTGVRKSQMLKLNCAKHHSVSAEKDTKLGDNSCSVTFLDNLEGAGGKVSTMKREVEALEKKIKNLTKSFDIYCKIKGEQSSSGTIELVNDHLKKRTCCRFIRQDIQLWEVDNLQNRNGHHNIVLNYRGFISQSLTLNTGRGSSIFVANKLNDMNISKNFPNMDACFAFRFVFNHEPTKKYVGPKSLAQETQRTCSLLRNLLDVVEEVRIARLEIRNMTLNSFNSPSAKQLDLQFAFIDFDSGVKVTMTLDMTCLNCGVYPSDILPYQLQTSATGTESLALSAEIKAAVGNLRSGYSRIIRICRCVSQVIQSSGR >KJB42699 pep chromosome:Graimondii2_0_v6:7:14464867:14474595:1 gene:B456_007G164500 transcript:KJB42699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFPDEPPRDTQGTDKGSIALREKRSRRVSFADREITSIHIFKRDDEYETPPDSTPKQASETEKEVTELFRDLVDSDDSTSGGDDEDDNDDVMSAGKLFLRPIDTPSPGGSSTVGSATSNDDDNFFGPVSANFIRSGRLSDSAASDDNHDITMDSTTFSMHFRSIARSESGDFDTSTGVPLPSEEKTPFQARTSSDLESSMVLTKVGKLKSPLAVPINGGSNDMSIVGESMHRYDYGRLPPALEALLAKGSEFNAIPASRSVRPKLLTSAVSHGNGNGNDCTEPLHFGDSELCTRNNNDISGKGTSIAHNSLVEATSDTTTTLAAKILRDCSSNSKDSPVADDFVDHQTPKQLNKGDNENSEVQSGTRVLNLESIAITNGTPVNRSSEAFQLELVRHFENGNQLPTIDGLNENFPQLHGSPLAGSIHSLSAKRQQILLDTTNSPRRMLFVTPSPKQSGSVLSKGSINEGGTVASILKSNSELKIPEPSSCASAFSDGGPKSKLGSSESLTSRALSFNTIMEEMNEDLQCQQENAFTNNGEEKLSGVGLKQGEKDCSGLGTPKNVSSLSQDGETTGLAKDEYNDKSTEIMAKITSPSKFTHSGKKATNHSLTPVDSADAALVASTFNSSPKDIAREISKDKRDTDTLYKLVSPLVNRLTEKLSSSTGHKDSLFGSLKLHNEDNIAIISRQECNSVETVPSSNNLTAKAENRTPPSAPLVDCLINTSAVKVVDERESNGFDLQNTFSTSMNFPEGPIRKLQSGGPGKNTQTAVERTQSSEHFIEEQMQASVYASPDAHARKNERSPQKSPFRKKQTQSPTSKDPSLCPCRKEMHNALHGDNMQLSVAKGVVSLNCSPNVHRIDDCLRRSNPSPVQDIQNISKRKRTSEEVACVDVQHSDNNIQMQHGLKFCKVGEKNMDHTSEYSYGSNIENERIEGVKILMNQTDISLKLSADTNQLLSPCFDKLNIKMINKLEDKLLHQQKVNILELLCSEIQSQLCSSYNESCNILHKRVAETRQLLYRIVYGKAKMQLMHVKRERLLKQVELLRTGVRKSQMLKLNCAKHHSVSAEKDTKLGDNSCSVTFLDNLEGAGGKVSTMKREVEALEKKIKNLTKSFDIYCKIKGEQSSSGTIELVNDHLKKRTCCRFIRQDIQLWEVDNLQNRNGHHNIVLNYRGFISQSLTLNTGRGSSIFVANKLNDMNISKRTCSLLRNLLDVVEEVRIARLEIRNMTLNSFNSPSAKQLDLQFAFIDFDSGVKVTMTLDMTCLNCGVYPSDILPYQLQTSATGTESLALSAEIKAAVGNLRSGYSRIIRICRCVSQVIQSSGR >KJB42700 pep chromosome:Graimondii2_0_v6:7:14464867:14474595:1 gene:B456_007G164500 transcript:KJB42700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFPDEPPRDTQGTDKGSIALREKRSRRVSFADREITSIHIFKRDDEYETPPDSTPKQASETEKEVTELFRDLVDSDDSTSGGDDEDDNDDVMSAGKLFLRPIDTPSPGGSSTVGSATSNDDDNFFGPVSANFIRSGRLSDSAASDDNHDITMDSTTFSMHFRSIARSESGDFDTSTGVPLPSEEKTPFQARTSSDLESSMVLTKVGKLKSPLAVPINGGSNDMSIVGESMHRYDYGRLPPALEALLAKGSEFNAIPASRSVRPKLLTSAVSHGNGNGNDCTEPLHFGDSELCTRNNNDISGKGTSIAHNSLVEATSDTTTTLAAKILRDCSSNSKDSPVADDFVDHQTPKQLNKGDNENSEVQSGTRVLNLESIAITNGTPVNRSSEAFQLELVRHFENGNQLPTIDGLNENFPQLHGSPLAGSIHSLSAKRQQILLDTTNSPRRMLFVTPSPKQSGSVLSKGSINEGGTVASILKSNSELKIPEPSSCASAFSDGGPKSKLGSSESLTSRALSFNTIMEEMNEDLQCQQENAFTNNGEEKLSGVGLKQGEKDCSGLGTPKNVSSLSQDGETTGLAKDEYNDKSTEIMAKITSPSKFTHSGKKATNHSLTPVDSADAALVASTFNSSPKDIAREISKDKRDTDTLYKLVSPLVNRLTEKLSSSTGHKDSLFGSLKLHNEDNIAIISRQECNSVETVPSSNNLTAKAENRTPPSAPLVDCLINTSAVKVVDERESNGFDLQNTFSTSMNFPEGPIRKLQSGGPGKNTQTAVERTQSSEHFIEEQMQASVYASPDAHARKNERSPQKSPFRKKQTQSPTSKDPSLCPCRKEMHNALHGDNMQLSVAKGVVSLNCSPNVHRIDDCLRRSNPSPVQDIQNISKRKRTSEEVACVDVQHSDNNIQMQHGLKFCKVGEKNMDHTSEYSYGSNIENERIEGVKILMNQTDISLKLSADTNQLLSPCFDKLNIKMINKLEDKLLHQQKVNILELLCSEIQSQLCSSYNESCNILHKRVAETRQLLYRIVYGKAKMQLMHVKRERLLKQVELLRTGVRKSQMLKLNCAKHHSVSAEKDTKLGDNSCSVTFLDNLEGAGGKVSTMKREVEALEKKIKNLTKSFDIYCKIKGEQSSSGTIELVNDHLKKRTCCRFIRQDIQLWEVDNLQNRNGHHNIVLNYRGFISQSLTLNTGRGSSIFVANKLNDMNISKNFPNMDACFAFRFVFNHEPTKKYVGPKSLAQETQRTCSLLRNLLDVVEEVRIARLEIRNMTLNSFNSPSAKQLDLQFAFIDFDSGVKVTMTLDMTCLNCGVYPSDILPYQLQTSATGTESLALSAEIKAAVGNLRSGYSRIIRICRCVSQVIQSSGR >KJB42701 pep chromosome:Graimondii2_0_v6:7:14464977:14474555:1 gene:B456_007G164500 transcript:KJB42701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFPDEPPRDTQGTDKGSIALREKRSRRVSFADREITSIHIFKRDDEYETPPDSTPKQASETEKEVTELFRDLVDSDDSTSGGDDEDDNDDVMSAGKLFLRPIDTPSPGGSSTVGSATSNDDDNFFGPVSANFIRSGRLSDSAASDDNHDITMDSTTFSMHFRSIARSESGDFDTSTGVPLPSEEKTPFQARTSSDLESSMVLTKVGKLKSPLAVPINGGSNDMSIVGESMHRYDYGRLPPALEALLAKGSEFNAIPASRSVRPKLLTSAVSHGNGNGNDCTEPLHFGDSELCTRNNNDISGKGTSIAHNSLVEATSDTTTTLAAKILRDCSSNSKDSPVADDFVDHQTPKQLNKGDNENSEVQSGTRVLNLESIAITNGTPVNRSSEAFQLELVRHFENGNQLPTIDGLNENFPQLHGSPLAGSIHSLSAKRQQILLDTTNSPRRMLFVTPSPKQSGSVLSKGSINEGGTVASILKSNSELKIPEPSSCASAFSDGGPKSKLGSSESLTSRALSFNTIMEEMNEDLQCQQENAFTNNGEEKLSGVGLKQGEKDCSGLGTPKNVSSLSQDGETTGLAKDEYNDKSTEIMAKITSPSKFTHSGKKATNHSLTPVDSADAALVASTFNSSPKDIAREISKDKRDTDTLYKLVSPLVNRLTEKLSSSTGHKDSLFGSLKLHNEDNIAIISRQECNSVETVPSSNNLTAKAENRTPPSAPLVDCLINTSAVKVVDERESNGFDLQNTFSTSMNFPEGPIRKLQSGGPGKNTQTAVERTQSSEHFIEEQMQASVYASPDAHARKNERSPQKSPFRKKQTQSPTSKDPSLCPCRKEMHNALHGDNMQLSVAKGVVSLNCSPNVHRIDDCLRRSNPSPVQDIQNISKRKRTSEEVACVDVQHSDNNIQMQHGLKFCKVGEKNMDHTSEYSYGSNIENERIEGVKILMNQTDISLKLSADTNQLLSPCFDKLNIKMINKLEDKLLHQQKVNILELLCSEIQSQLCSQSYNESCNILHKRVAETRQLLYRIVYGKAKMQLMHVKRERLLKQVELLRTGVRKSQMLKLNCAKHHSVSAEKDTKLGDNSCSVTFLDNLEGAGGKVSTMKREVEALEKKIKNLTKSFDIYCKIKGEQSSSGTIELVNDHLKKRTCCRFIRQDIQLWEVDNLQNRNGHHNIVLNYRGFISQSLTLNTGRGSSIFVANKLNDMNISKNFPNMDACFAFRFVFNHEPTKKYVGPKSLAQETQRTCSLLRNLLDVVEEVRIARLEIRNMTLNSFNSPSAKQLDLQFAFIDFDSGVKVTMTLDMTCLNCGVYPSDILPYQLQTSATGTESLALSAEIKAAVGNLRSGYSRIIRICRCVSQVIQSSGR >KJB42702 pep chromosome:Graimondii2_0_v6:7:14464977:14474555:1 gene:B456_007G164500 transcript:KJB42702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFPDEPPRDTQGTDKGSIALREKRSRRVSFADREITSIHIFKRDDEYETPPDSTPKQASETEKEVTELFRDLVDSDDSTSGGDDEDDNDDVMSAGKLFLRPIDTPSPGGSSTVGSATSNDDDNFFGPVSANFIRSGRLSDSAASDDNHDITMDSTTFSMHFRSIARSESGDFDTSTGVPLPSEEKTPFQARTSSDLESSMVLTKVGKLKSPLAVPINGGSNDMSIVGESMHRYDYGRLPPALEALLAKGSEFNAIPASRSVRPKLLTSAVSHGNGNGNDCTEPLHFGDSELCTRNNNDISGKGTSIAHNSLVEATSDTTTTLAAKILRDCSSNSKDSPVADDFVDHQTPKQLNKGDNENSEVQSGTRVLNLESIAITNGTPVNRSSEAFQLELVRHFENGNQLPTIDGLNENFPQLHGSPLAGSIHSLSAKRQQILLDTTNSPRRMLFVTPSPKQSGSVLSKGSINEGGTVASILKSNSELKIPEPSSCASAFSDGGPKSKLGSSESLTSRALSFNTIMEEMNEDLQCQQENAFTNNGEEKLSGVGLKQGEKDCSGLGTPKNVSSLSQDGETTGLAKDEYNDKSTEIMAKITSPSKFTHSGKKATNHSLTPVDSADAALVASTFNSSPKDIAREISKDKRDTDTLYKLVSPLVNRLTEKLSSSTGHKDSLFGSLKLHNEDNIAIISRQECNSVETVPSSNNLTAKAENRTPPSAPLVDCLINTSAVKVVDERESNGFDLQNTFSTSMNFPEGPIRKLQSGGPGKNTQTAVERTQSSEHFIEEQMQASVYASPDAHARKNERSPQKSPFRKKQTQSPTSKDPSLCPCRKEMHNALHGDNMQLSVAKGVVSLNCSPNVHRIDDCLRRSNPSPVQDIQNISKRKRTSEEVACVDVQHSDNNIQMQHGLKFCKVGEKNMDHTSEYSYGSNIENERIEGVKILMNQTDISLKLSADTNQLLSPCFDKLNIKMINKLEDKLLHQQKVNILELLCSEIQSQLCSSYNESCNILHKRVAETRQLLYRIVYGKAKMQLMHVKRERLLKQVELLRTGVRKSQMLKLNCAKHHSVSAEKDTKLGDNSCSVTFLDNLEGAGGKVSTMKREVEALEKKIKNLTKSFDIYCKIKGEQSSSGTIELVNDHLKKRTCCRFIRQDIQLWEVDNLQNRNGHHNIVLNYRGFISQRFLLFLTLNTGRGSSIFVANKLNDMNISKNFPNMDACFAFRFVFNHEPTKKYVGPKSLAQETQRTCSLLRNLLDVVEEVRIARLEIRNMTLNSFNSPSAKQLDLQFAFIDFDSGVKVTMTLDMTCLNCGVYPSDILPYQLQTSATGTESLALSAEIKAAVGNLRSGYSRIIRICRCVSQVIQSSGR >KJB42704 pep chromosome:Graimondii2_0_v6:7:14469592:14474555:1 gene:B456_007G164500 transcript:KJB42704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLMHVKRERLLKQVELLRTGVRKSQMLKLNCAKHHSVSAEKDTKLGDNSCSVTFLDNLEGAGGKVSTMKREVEALEKKIKNLTKSFDIYCKIKGEQSSSGTIELVNDHLKKRTCCRFIRQDIQLWEVDNLQNRNGHHNIVLNYRGFISQSLTLNTGRGSSIFVANKLNDMNISKNFPNMDACFAFRFVFNHEPTKKYVGPKSLAQETQRTCSLLRNLLDVVEEVRIARLEIRNMTLNSFNSPSAKQLDLQFAFIDFDSGVKVTMTLDMTCLNCGVYPSDILPYQLQTSATGTESLALSAEIKAAVGNLRSGYSRIIRICRCVSQVIQSSGR >KJB43337 pep chromosome:Graimondii2_0_v6:7:19308728:19310563:1 gene:B456_007G195000 transcript:KJB43337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLAASNNGIPVSKVKTEGSEKSASKTRTRNGKQQQQEEERSALIDITNDSPIVGVAMKTPSSAVVGKGKTNMMTMMTSGSGEALLRGQVKTLLQKVEEEAEVSKVPLESRPFVHLQSPMALLAPTPANTPQVPNLSEDGGLASMLMDLPVVEEELNISEMVSANIEGQKCVITRSLLLDFAEKSECRSDNGVIITDSSASNEKTSAFHNDDIDSASIWSIQLNASTQDEYEDKETFEEMGAEYYDHEEDGGLVDDLCKCISKISMTEMFTGKHTRFIYDSDDKIGEEESGENWSTIQVI >KJB42664 pep chromosome:Graimondii2_0_v6:7:14196288:14204627:1 gene:B456_007G162500 transcript:KJB42664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPGLFAKDFGIKPQGKAAPMAPPRNASSGPNYGYRSDFTRSSSANVKSSSNSIFDDQDRDGGVVFDNVYGGPPKYSSESRATTSQTSSYDYDSFFKDPKPPVYDKPVYDDDIFDGLPGIKSSSTTSAAKYDDVFSISGSPPRPKNKSPNNSPFDDLLGNLGGKEPEMKVKSERVKPEKDAPLFDDLLSGFGRSSSPTPARSTSDAGQSQNPPSNSSKTGSNLMEDPFVILGSTSGPADASSGLFMDPSEVISKPNGSGKSRVKSSSASGGVFDDIDPLDGIGKYVPPMSSEVNKRGKDRSPLRTASGPQSAASKEPNDEDLGIYTKKKMPSMEDFPGYHEPVFDMPSMSTNFHSSVGRATSPPAYSNVGSNDTSSQVNSTPRSENFDTFDDVWLTVSEIPLFTQPTSAPPPSRPPPPRPPRVSKPMQGSFSSTNAKKVNEFSSFPNSTQYSHSSQSAYAAASSSVTSQIDELEDFAMGKAQNNFERAEGFPGDDFETSSAAAASAAAMKEAMDRAEAKFRHAKEMRERENLKAARNKEAGHMDRDERAMQDALNREVREKQERLERERQQREREEEEREQRRREVEREREEKEREQRRLEKERERIRQMERERERARQAVERATREARERAAAEARARAERAAVEKAATEARERAERAAVQRAQAEARERAAAEARERAERAAAEARERTAATKAEAEARLRAERAAAERAAAEARERAAASARASQQKNDDDLESFFMGSRASSAPRPRANSSDPLFDGQNKGGPEVSSSMRKASSAANIVDDLSSIFGAAASSSGVFQEVDGETEERRRARLERHQRTQERAAKALAEKNQRDLQVQREQAERHRIAETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >KJB42665 pep chromosome:Graimondii2_0_v6:7:14196163:14204656:1 gene:B456_007G162500 transcript:KJB42665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPGLFAKDFGIKPQGKAAPMAPPRNASSGPNYGYRSDFTRSSSANVKSSSNSIFDDQDRDGGVVFDNVYGGPPKYSSESRATTSQTSSYDYDSFFKDPKPPVYDKPVYDDDIFDGLPGIKSSSTTSAAKYDDVFSISGSPPRPKNKSPNNSPFDDLLGNLGGKEPEMKVKSERVKPEKDAPLFDDLLSGFGRSSSPTPARSTSDAGQSQNPPSNSSKTGSNLMEDPFVILGSTSGPADASSGLFMDPSEVISKPNGSGKSRVKSSSASGGVFDDIDPLDGIGKYVPPMSSEVNKRGKDRSPLRTASGPQSAASKEPNDEDLGIYTKKKMPSMEDFPGYHEPVFDMPSMSTNFHSSVGRATSPPAYSNVGSNDTSSQVNSTPRSENFDTFDDVWLTVSEIPLFTQPTSAPPPSRPPPPRPPRVSKPMQGSFSSTNAKKVNEFSSFPNSTQYSHSSQSAYAAASSSVTSQIDELEDFAMGKAQNNFERAEGFPGDDFETSSAAAASAAAMKEAMDRAEAKFRHAKEMRERENLKAARNKEAGHMDRDERAMQDALNREVREKQERLERERQQREREEEEREQRRREVEREREEKEREQRRLEKERERIRQMERERERARQAVERATREARERAAAEARARAERAAVEKAATEARERAERAAVQRAQAEARERAAAEARERAERAAAEARERTAATKAEAEARLRAERAAAERAAAEARERAAASARASQQKNDDDLESFFMGSRASSAPRPRANSSDPLFDGQNKGGPEVSSSMRKASSAANIVDDLSSIFGAAASSSGVFQEVDGETEERRRARLERHQRTQERAAKALAEKNQRDLQVQREQAERHRIAETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >KJB42666 pep chromosome:Graimondii2_0_v6:7:14196288:14204627:1 gene:B456_007G162500 transcript:KJB42666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPGLFAKDFGIKPQGKAAPMAPPRNASSGPNYGYRSDFTRSSSANVKSSSNSIFDDQDRDGGVVFDNVYGGPPKYSSESRATTSQTSSYDYDSFFKDPKPPVYDKPVYDDDIFDGLPGIKSSSTTSAAKYDDVFSISGSPPRPKNKSPNNSPFDDLLGNLGGKEPEMKVKSERVKPEKDAPLFDDLLSGFGRSSSPTPARSTSDAGQSQNPPSNSSKTGSNLMEDPFVILGSTSGPADASSGLFMDPSEVISKPNGSGKSRVKSSSASGGVFDDIDPLDGIGKYVPPMSSEVNKRGKDRSPLRTASGPQSAASKEPNDEDLGIYTKKKMPSMEDFPGYHEPVFDMPSMSTNFHSSVGRATSPPAYSNVGSNDTSSQVNSTPRSENFDTFDDVWLTVSEIPLFTQPTSAPPPSRPPPPRPPRVSKPMQGSFSSTNAKKVNEFSSFPNSTQYSHSSQSAYAAASSSVTSQIDELEDFAMGKAQNNFERAEGFPGDDFETSSAAAASAAAMKEAMDRAEAKFRHAKEMRERENLKAARNKEAGHMDRDERAMQDALNREVREKQERLERERQQREREEEEREQRRREVEREREEKEREQRRLEKERERIRQMERERERARQAVERATREARERAAAEARARAERAAVEKAATEARERAERAAVQRAQAEARERAAAEARERAERAAAEARERTAATKAEAEARLRAERAAAERAAAEARERAAASARASQQKNDDDLESFFMGSRASSAPRPRANSSDPLFDGQNKGGPEVSSSMRKASSAANIVDDLSSIFGAAASSSGVFQEVDELRSVRRKHWLRKISVTFKFKGNKLRDIELLKH >KJB42667 pep chromosome:Graimondii2_0_v6:7:14199291:14204627:1 gene:B456_007G162500 transcript:KJB42667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSFTMQKLADIMLHPLDRSTSDAGQSQNPPSNSSKTGSNLMEDPFVILGSTSGPADASSGLFMDPSEVISKPNGSGKSRVKSSSASGGVFDDIDPLDGIGKYVPPMSSEVNKRGKDRSPLRTASGPQSAASKEPNDEDLGIYTKKKMPSMEDFPGYHEPVFDMPSMSTNFHSSVGRATSPPAYSNVGSNDTSSQVNSTPRSENFDTFDDVWLTVSEIPLFTQPTSAPPPSRPPPPRPPRVSKPMQGSFSSTNAKKVNEFSSFPNSTQYSHSSQSAYAAASSSVTSQIDELEDFAMGKAQNNFERAEGFPGDDFETSSAAAASAAAMKEAMDRAEAKFRHAKEMRERENLKAARNKEAGHMDRDERAMQDALNREVREKQERLERERQQREREEEEREQRRREVEREREEKEREQRRLEKERERIRQMERERERARQAVERATREARERAAAEARARAERAAVEKAATEARERAERAAVQRAQAEARERAAAEARERAERAAAEARERTAATKAEAEARLRAERAAAERAAAEARERAAASARASQQKNDDDLESFFMGSRASSAPRPRANSSDPLFDGQNKGGPEVSSSMRKASSAANIVDDLSSIFGAAASSSGVFQEVDGETEERRRARLERHQRTQERAAKALAEKNQRDLQVQREQAERHRIAETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >KJB40829 pep chromosome:Graimondii2_0_v6:7:5597611:5599616:-1 gene:B456_007G078800 transcript:KJB40829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEVSPMDQNPTPPKQPSFQQHYPPDFPRKVFAETIATYLLVFVTCGSAAICSVDEHKISRLGASVAGGLIVTVMIYAVGHVSGAHMNPAVTLAFAAVRHFPWKQVPFYGAAQLTGAISASFTLRVLLHPIKHAGTTSPSGSDLQALIMEIVVTFSMMFITSAVATDTKAIGELAGIAVGSAVCITSILAGTVGIQTNIRWINEPSKEHRASNSQW >KJB40828 pep chromosome:Graimondii2_0_v6:7:5598214:5599577:-1 gene:B456_007G078800 transcript:KJB40828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEVSPMDQNPTPPKQPSFQQHYPPDFPRKVFAETIATYLLVFVTCGSAAICSVDEHKISRLGASVAGGLIVTVMIYAVGHVSGAHMNPAVTLAFAAVRHFPWKQVPFYGAAQLTGAISASFTLRVLLHPIKHAGTTSPSGSDLQALIMEIVVTFSMMFITSAVATDTKAVSSNH >KJB40827 pep chromosome:Graimondii2_0_v6:7:5597518:5599676:-1 gene:B456_007G078800 transcript:KJB40827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEVSPMDQNPTPPKQPSFQQHYPPDFPRKVFAETIATYLLVFVTCGSAAICSVDEHKISRLGASVAGGLIVTVMIYAVGHVSGAHMNPAVTLAFAAVRHFPWKQVPFYGAAQLTGAISASFTLRVLLHPIKHAGTTSPSGSDLQALIMEIVVTFSMMFITSAVATDTKAIGELAGIAVGSAVCITSILAGPISGGSMNPARSIGPAIASGEYKGIWVYVVGPVTGTLMGAWSYNLIRMTDKPHHAISPRSSSFKLRRMNNQDGEV >KJB40830 pep chromosome:Graimondii2_0_v6:7:5597611:5599674:-1 gene:B456_007G078800 transcript:KJB40830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEVSPMDQNPTPPKQPSFQQHYPPDFPRKVFAETIATYLLVFVTCGSAAICSVDEHKISRLGASVAGGLIVTVMIYAVGHVSGAHMNPAVTLAFAAVRHFPWKQVPFYGAAQLTGAISASFTLRVLLHPIKHAGTTSPSGSDLQALIMEIVVTFSMMFITSAVATDTKAIGELAGIAVGSAVCITSILAGNTDQYQVDQ >KJB45016 pep chromosome:Graimondii2_0_v6:7:48825820:48826212:-1 gene:B456_007G285200 transcript:KJB45016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAVLDSKTVTRFVEAKEAFKKCVEKYFKMVDSNGNGVICRRKLREGLDLLFTVEHESTVSKEDIDNFHSMIFDKFDEDRNGKLDLYEFAALVKEIMMAMARGMGSLPVIVALDQDSLLMMAVQHEIGS >KJB40962 pep chromosome:Graimondii2_0_v6:7:6094819:6098965:1 gene:B456_007G085200 transcript:KJB40962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQTDSDLHRFTLWVLFLIAAISCCMAYYSFSVSLKGNSDTIFVGSSESWRGNEEEREGDGCCGGVEHLELWGDAMKWGSDFKLNSSEECCRACKEMCEGDDGPCLCDSWVFCGNKQDCGSRFGECWLKKQKDALDPDWRDSGDKVIWTSGLVFGKGEGIIKLKTEHGIVNVKLFPDCAPHSVYYILELLSLQHCAGCQFYRAESRGNSWDLQGNHIEHAPYGPPYALIQGTVDAYGTVFKDIPQEACPTIRRGSIAWVGSGPDFFISLANHHEWKKAYTVFGQVLPEDMEIVEKISQLPTIPDVWSNVKVAVLERPVPLRFIRMRKSP >KJB40960 pep chromosome:Graimondii2_0_v6:7:6094819:6098907:1 gene:B456_007G085200 transcript:KJB40960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWGSDFKLNSSEECCRACKEMCEGDDGPCLCDSWVFCGNKQDCGSRFGECWLKKQKDALDPDWRDSGDKVIWTSGLVFGKGEGIIKLKTEHGIVNVKLFPDCAPHSVYYILELLSLQHCAGCQFYRAESRGNSWDLQGNHIEHAPYGPPYALIQGTVDAYGTVFKDIPQEACPTIRRGSIAWVGSGPDFFISLANHHEWKKAYTVFGQVLPEDMEIVEKISQLPTIPDVWSNVKVAVLERPVPLRFIRMRKSP >KJB40961 pep chromosome:Graimondii2_0_v6:7:6095688:6098895:1 gene:B456_007G085200 transcript:KJB40961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQTDSDLHRFTLWVLFLIAAISCCMAYYSFSVSLKGNSDTIFVGSSESWRGNEEEREGDGCCGGVEHLELWGDAMKWGSDFKLNSSEECCRACKEMCEGDDGPCLCDSWVFCGNKQDCGSRFGECWLKKQKDALDPDWRDSGDKVIWTSGLVFGKGEGIIKLKTEHGIVNVKLFPDCAPHSVYYILELLSLQHCAGCQFYRAESRGNSWDLQGNHIEHAPYGPPYALIQGTVDAYGTVFKDIPQEACPTIRRGSIAWVGSGPDFFISLANHHEWKKAYTVFGQVLPEDMEIVEKISQLPTIPDVWSNVKVAVLERPVPLRFIRMRKSP >KJB40963 pep chromosome:Graimondii2_0_v6:7:6095749:6098965:1 gene:B456_007G085200 transcript:KJB40963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQTDSDLHRFTLWVLFLIAAISCCMAYYSFSVSLKGNSDTIFVGSSESWRGNEEEREGDGCCGGVEHLELWGDAMKWGSDFKLNSSEECCRACKEMCEGDDGPCLCDSWVFCGNKQDCGSRFGEVSQHLFLSSLTVIYSILRFVAINKIVGLDFGEVSQHLLLSSLTPIYSILRFVAINKIVGLDFGECWLKKQKDALDPDWRDSGDKVIWTSGLVFGKGEGIIKLKTEHGIVNVKLFPDCAPHSVYYILELLSLQHCAGCQFYRAESRGNSWDLQGNHIEHAPYGPPYALIQGTVDAYGTVFKDIPQEACPTIRRGSIAWVGSGPDFFISLANHHEWKKAYTVFGQVLPEDMEIVEKISQLPTIPDVWSNVKVAVLERPVPLRFIRMRKSP >KJB40964 pep chromosome:Graimondii2_0_v6:7:6095792:6098907:1 gene:B456_007G085200 transcript:KJB40964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQTDSDLHRFTLWVLFLIAAISCCMAYYSFSVSLKGNSDTIFVGSSESWRGNEEEREGDGCCGGVEHLELWGDAMKWGSDFKLNSSEECCRACKEMCEGDDGPCLCDSWVFCGNKQDCGSRFGECWLKKQKDALDPDWRDSGDKVIWTSGLVFGKGEGIIKLKTEHGIVNVKLLSLQHCAGCQFYRAESRGNSWDLQGNHIEHAPYGPPYALIQGTVDAYGTVFKDIPQEACPTIRRGSIAWVGSGPDFFISLANHHEWKKAYTVFGQVLPEDMEIVEKISQLPTIPDVWSNVKVAVLERPVPLRFIRMRKSP >KJB40965 pep chromosome:Graimondii2_0_v6:7:6095945:6098834:1 gene:B456_007G085200 transcript:KJB40965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQTDSDLHRFTLWVLFLIAAISCCMAYYSFSVSLKGNSDTIFVGSSESWRGNEEEREGDGCCGGVEHLELWGDAMKWGSDFKLNSSEECCRACKEMCEGDDGPCLCDSWVFCGNKQDCGSRFGEVSQHLFLSSLTVIYSILRFVAINKIVGLDFGEVSQHLLLSSLTPIYSILRFVAINKIVGLDFGECWLKKQKDALDPDWRDSGDKVIWTSGLVFGKGELLSLQHCAGCQFYRAESRGNSWDLQGNHIEHAPYGPPYALIQGTVDAYGTVFKDIPQEACPTIRRGSIAWVGSGPDFFISLANHHEWKKAYTVFGQVLPEDMEIVEKISQLPTIPDVWSNVKVAVLERPVPLRFIRMRKSP >KJB40966 pep chromosome:Graimondii2_0_v6:7:6095945:6098834:1 gene:B456_007G085200 transcript:KJB40966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQTDSDLHRFTLWVLFLIAAISCCMAYYSFSVSLKGNSDTIFVGSSESWRGNEEEREGDGCCGGVEHLELWGDAMKWGSDFKLNSSEECCRACKEMCEGDDGPCLCDSWVFCGNKQDCGSRFGEVSQHLFLSSLTVIYSILRFVAINKIVGLDFGECWLKKQKDALDPDWRDSGDKVIWTSGLVFGKGEGIIKLKTEHGIVNVKLFPDCAPHSVYYILELLSLQHCAGCQFYRAESRGNSWDLQGNHIEHAPYGPPYALIQGTVDAYGTVFKDIPQEACPTIRRGSIAWVGSGPDFFISLANHHEWKKAYTVFGQVLPEDMEIVEKISQLPTIPDVWSNVKVAVLERPVPLRFIRMRKSP >KJB43147 pep chromosome:Graimondii2_0_v6:7:17933059:17933462:-1 gene:B456_007G1867002 transcript:KJB43147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNEFKSIEKFKIFNTNNLRSMESRFFNWKLQLVQQLGSLIMLLVSTYLDHNSLYVLFMNFHFNMSSN >KJB45989 pep chromosome:Graimondii2_0_v6:7:56740938:56746689:1 gene:B456_007G342100 transcript:KJB45989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASAPDSGNGNSGVSRSLLIPMRFVWPYGGSRVFISGSFTRWSEHIPMSPMEGCPTVFQVICSLSPGYHQFKFFVDNEWRHDEHQPFVIGDYGVVNTIFIAREQDMLPPSFSPEMPGRSNMDLDDVSSQMEAAPTISNADLEVSRHRIAAFLSRHTAYELLPESGKVVALDVDIAVKQAFHILHEQGIPVAPLWDSCKGQFVGVLSALDFILILRELGNLGSDLTEEELEMHTISAWKEGKVHISRQMDGNARSYPRRLVCAGPHDSLKDVALKILNGKVATVPITHSSSPDGSFPQLLHLATLSEILKCICRHFKHSSSSLPILQQPICSIPLGTRVPKIGESNRRPLAMLRPNASLGAALSLLVQAEVSSIPIVDENDALIDIYARSDITTLAKDKAYAQIRLDEMSIHQALQLEQDANSFNGQRCQMCLQSDTLHKVMERLANPGILFQTFSFVYIYIWA >KJB45988 pep chromosome:Graimondii2_0_v6:7:56740679:56748806:1 gene:B456_007G342100 transcript:KJB45988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASAPDSGNGNSGVSRSLLIPMRFVWPYGGSRVFISGSFTRWSEHIPMSPMEGCPTVFQVICSLSPGYHQFKFFVDNEWRHDEHQPFVIGDYGVVNTIFIAREQDMLPPSFSPEMPGRSNMDLDDVSSQMEAAPTISNADLEVSRHRIAAFLSRHTAYELLPESGKVVALDVDIAVKQAFHILHEQGIPVAPLWDSCKGQFVGVLSALDFILILRELGNLGSDLTEEELEMHTISAWKEGKVHISRQMDGNARSYPRRLVCAGPHDSLKDVALKILNGKVATVPITHSSSPDGSFPQLLHLATLSEILKCICRHFKHSSSSLPILQQPICSIPLGTRVPKIGESNRRPLAMLRPNASLGAALSLLVQAEVSSIPIVDENDALIDIYARSDITTLAKDKAYAQIRLDEMSIHQALQLEQDANSFNGQRCQMCLQSDTLHKVMERLANPGTRRLVIVEAGSKRVEGIISLSDVFRFLLGV >KJB45864 pep chromosome:Graimondii2_0_v6:7:55707284:55709094:-1 gene:B456_007G334000 transcript:KJB45864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRMISRSLLSSVKSSYPQNFPSFFHHPITTKISSLKPLSFFSSFSSYHQPTHPTSPALFRLTHKDLLSPTEILKIFDNLKDPNSLISVLAQYSARKDYKPTEPLFTLLINKLAYAQHFDSIENIMEKLKREKACRLSDEFFHNVIKKYGHIGCRIKRAIEILFSMPEYGTWPSVKTFNIVLSLLVSNKLFDVVHEVYGKAPNLGVEIEACTLNILIKGLCENGKLEFAFQLLDEFPKQRCKPNVRTYSTLMHGLCDKGKVDEAFELMGRMETEGIDADAVSFNILISGLRKHGRIDEGVKLLEIMKKEGCYPNAGSYQEVLYGLLDAARFMEAKEIMGRMVFERVNPSFDSYKKLIHGFCKGKMVKEVDWALKQMFTW >KJB45863 pep chromosome:Graimondii2_0_v6:7:55707232:55709094:-1 gene:B456_007G334000 transcript:KJB45863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRMISRSLLSSVKSSYPQNFPSFFHHPITTKISSLKPLSFFSSFSSYHQPTHPTSPALFRLTHKDLLSPTEILKIFDNLKDPNSLISVLAQYSARKDYKPTEPLFTLLINKLAYAQHFDSIENIMEKLKREKACRLSDEFFHNVIKKYGHIGCRIKRAIEILFSMPEYGTWPSVKTFNIVLSLLVSNKLFDVVHEVYGKAPNLGVEIEACTLNILIKGLCENGKLEFAFQLLDEFPKQRCKPNVRTYSTLMHGLCDKGKVDEAFELMGRMETEGIDADAVSFNILISGLRKHGRIDEGVKLLEIMKKEGCYPNAGSYQEVLYGLLDAARFMEAKEIMGRMVFERVNPSFDSYKKLIHGFCKGKMVKEVDWALKQMVRHGFVPKMGMWIQIVDCVFARNENNTCDCSLLGEIINS >KJB42165 pep chromosome:Graimondii2_0_v6:7:11564072:11582187:1 gene:B456_007G140000 transcript:KJB42165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGSKGKKMKWVSLLKDIKEKVGLAQSPTPTATATTVSSSSSAAASSSNRDTNASSTLYDYVSSPSRDKHELELDFKRFWEEFRSSNSEMEKEAALNLTIDAFCRLVKQHANVAQLVTLLVETHIFSFVVGRAFVTDIEKLKISSKTKSLDVLKVLRFFSEVTEGGFSPGSNLLTAVEILVSGPIDKQSLLDSGIFCCLIHVLNALLCPDEANQRLKINYTEEPMLAEKDSTADVGQARRLEVEGSVVHIMKALASHPLAAQSLIEDDSLMLLFQMVANGSVTVFSKYKEGLVSLHIIQLHRHAMQILALLLVNDDGSTAKYIHKHQLIKVLLMAVKDFNPDCGDPAYTVRIVDLLLECVELSYRPEASGVRLREDIHNAHGYHFLVQFVLVLSSMPQNQGIQSIYLKPHVDNDQGHAFDEALALDFMGKEDPSTEHLSPTLTRLLDILVNLAQTGPAGNRTSKNSHTKACGHSISQTSTTDRLGDKWEQGNNKVKDLEAVQMLQDIFLKAESRDLQAEVLNRMFKIFSSHIENYKLCQQLRTVPLLILNMAGFPSALQDIILKILEYAVTVVNCVPEQELLSLCCLLQQPIASELKLTILSFFVKLLSFDQQYKKVLREVGVLEVLLDDLKQHKFLLGPDEHGGGASQLERKSSSSSFQKHLDNKDVIITSPKLMESGSGKFPIFEVESTVAIGWDCMVSLVKKAEANQAAFRSANGLTTVLPFLVSSVHRPGVLRILSCLITEDAMQVCYDACSSGHPEELGSLVEVLKSGMVTSDSGHQYKLQSDAKCDTMGTLWRILGVNNAAQRVFGEATGFSLLLTTLHSFQGDGHSEEPSLLVYIRVFTYLLRLMTAGVCGNTINRTKLHAIISSHTFYDLLSESGLLCVDYEKQVIHLLLELALEVVLPPFMTPESAISADMAENESTSFVLTTPSGLVNPDKERIYNAGAVRVLIRSLLLFTPKVQVEVLDLIGKLARSGPFNQENLTSIGCVELLLETIQPFLSSSSPLLSYALKIVEILGAYRLSASELQALVRYILQMRLMKSGHTIVDMMERLILMEDTALENVSLAPFVEMDMSKIGHASVLVSMGERSWPPAAGYSFVCWFQFQNFLRTQAKEIDPAKSGPSKRKSGSNAQHHDQRILRIFSVGAVNNENTFYAELYLQEDGVLTLATSNSCSLSFSGLELEEGRWHHLAVVHSKPNALAGLFQASVAYVYLDGKLRHTGKLGYSPSPIGKPLQVAIGTPVTCARVSDFTWRLRSCYLFEEVLTPGCICVMYILGRGYRGLFQDAELLRFVPNQACGGGSMAILDSLDAELAVPPGMQKLDSASKQENSKADGSGIVWDLDRLGNLTFQLSGKKLIFAFDGTCAEAVRASGTSFLLNLVDPLSAAASPIGGIPRFGRLNGDIYICRQCVIGDTIRPIGGMSVILALVDAAETKDMLHMALSFLACSLHHNPQNVKDMQTYRGYHLLALFLRRRMSLFDMQCLEIFFQIAACEASFSEPKKVERIQTFISPTASIHETGFEDLNFTKFRDETSSVGSLVDMDDFSAPKDSLSHILELENTDMSVETSNCIVLSNADMVEHVLLDWTLWVTAPVSIQIALLNFLEHLVSMHWYRNHNLTVLRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLASELENVVRFVIMTFDPPELKPQHQIMRESMGKHVIVRNMLLEMLIDLQVTIKTEEMLEQWHKIVSSKLITYFLDEAVHPTSMRWIMTLLGVCLASSPTFALKFRTSGGYQGLMRVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPSDGGHVELKFVELLESIIVMAKSTFDRLSMQSILARQTGNISQLVAELVEENVDMSGELQGEALMHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCPPFSAACRQAEFLESCIDLYFSCVRSAHTVKMARELSTKTEERNLNDCDDASSQNTFSSLPAEQEQSSKTSISAGSFPQAQVSSSSEEMPVASNYMAEDKEEMKHTSSQEELNKSLQEDVQVILSVEGDSVDQVSATSSTNDFNFHSIKDNPTIQPPDSQSSASLVIPDSPILSEKSNSKIPHSPSSSPLVALTSWLSVNQNESKNPIITPTSMDSSVCDFDQSSDLKCGSQGPTVANMTFSVTPNLLLEMDDSGYGGGPCSAGATAMLDFIAEVLADFLTEQIKAAQVVESILEMVPLYVEAESMLVFQGLFLTRLMNFVERRLLRDDEEDDKKLDKTKWSSNLDALCWMIVDRVYMGALPQPGGVLKTLEFLLSMLQLANKDGRIEEAAPTGKGLLSIARGSRQLDAYVNSILKNTNRMILYCFLPSFLITIGEDDLLSSLGLLMESKKKLPINSSLEDPGIDISTVLQLLVAHRRIVFCPSNFDTDLNCCLCVNLISLLRDQRRNVQNMAIDVIKYLLVHRRASLEDLLVSKPNQGQHLDVLHGGFDKLLTGSLSSFFDWFQNSEQMVNKVLEQCAAIMWVQYIAGSAKFPGVRIKGMEGRRKREMGRRSRDTSKVDLKHWEKVNERRYALEVVRDTMSTELRVVRQDKYGWVLHAESEWQTHLQQLVHERGIFPIRQSSMPEDPQWQLCPIEGPYRMRKKLERCKLRIDSIQNVLDWQMELGETEFSKVKNEDGPDVSDSDSEAIFNLLNDSVEQNGVDTELYDESLYKESYDVKDVTSVRDGWNYDRASSGNEEASLHSALEFGGKSSAVSVPISESIPGKSEHGSPRVSSSVKMDEVKVAEDKSDKELNDNGEYLIRPYLEPLEKIRFRYNCERVVGLDKHDGIFLIGELCLYVIENFYIDSSGCICEKECEDDLSVIDQALGVKKDVTGCMDFQSKSASSCPSPPKTLVGGRAWAYNGGAWGKEKVVSSGNLPHAWRMWKLDSVHEILKRDYQLRPVAVELFSMDGCNDLLVFHKRERDEVFKNLVAMNLPRNSLLDTTISGSTKQESSEGGRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLSDPKTFRKLDKPMGCQTPEGEEEFKKRYESWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSVENQKLQGGQFDHADRLFNCIRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVGDVVLPPWAKGSAREFIRKHREALESDFVSENLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGSVDIDSVTDPSMKASILAQINHFGQTPKQLFLKPHVKRRSDRKLPPHPLKHSAFLVPHEIRKSSSSISQIVTFNEKILVAGANTLLKPRTYAKYFAWGFPDRSLRFMGYDQDRLLSTHENLHGGNQIQCAGVSHDGHILVTGADDGLVSVWRISEDGPRASRRLLLEKVLCGHTAKITCLRVSQPYMLVVSGSDDCTVIIWDLSSLVFVRQLPEFPAPVSAVYVNDLSGEIVTAAGILLAVWSINGDCLAVINTSQLPSDSILSVTSCTFSDWQDTNWYVTGHQSGAIKVWHMVHCTDQDKTNSKSNMIGTGGLELGESPEYRLVLQKVLKFHKHPVTALHLTSGLKQLLSGDSSGHLLSWTLPDESLKSSFNQG >KJB42164 pep chromosome:Graimondii2_0_v6:7:11564050:11581383:1 gene:B456_007G140000 transcript:KJB42164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGSKGKKMKWVSLLKDIKEKVGLAQSPTPTATATTVSSSSSAAASSSNRDTNASSTLYDYVSSPSRDKHELELDFKRFWEEFRSSNSEMEKEAALNLTIDAFCRLVKQHANVAQLVTLLVETHIFSFVVGRAFVTDIEKLKISSKTKSLDVLKVLRFFSEVTEGGFSPGSNLLTAVEILVSGPIDKQSLLDSGIFCCLIHVLNALLCPDEANQRLKINYTEEPMLAEKDSTADVGQARRLEVEGSVVHIMKALASHPLAAQSLIEDDSLMLLFQMVANGSVTVFSKYKEGLVSLHIIQLHRHAMQILALLLVNDDGSTAKYIHKHQLIKVLLMAVKDFNPDCGDPAYTVRIVDLLLECVELSYRPEASGVRLREDIHNAHGYHFLVQFVLVLSSMPQNQGIQSIYLKPHVDNDQGHAFDEALALDFMGKEDPSTEHLSPTLTRLLDILVNLAQTGPAGNRTSKNSHTKACGHSISQTSTTDRLGDKWEQGNNKVKDLEAVQMLQDIFLKAESRDLQAEVLNRMFKIFSSHIENYKLCQQLRTVPLLILNMAGFPSALQDIILKILEYAVTVVNCVPEQELLSLCCLLQQPIASELKLTILSFFVKLLSFDQQYKKVLREVGVLEVLLDDLKQHKFLLGPDEHGGGASQLERKSSSSSFQKHLDNKDVIITSPKLMESGSGKFPIFEVESTVAIGWDCMVSLVKKAEANQAAFRSANGLTTVLPFLVSSVHRPGVLRILSCLITEDAMQVCYDACSSGHPEELGSLVEVLKSGMVTSDSGHQYKLQSDAKCDTMGTLWRILGVNNAAQRVFGEATGFSLLLTTLHSFQGDGHSEEPSLLVYIRVFTYLLRLMTAGVCGNTINRTKLHAIISSHTFYDLLSESGLLCVDYEKQVIHLLLELALEVVLPPFMTPESAISADMAENESTSFVLTTPSGLVNPDKERIYNAGAVRVLIRSLLLFTPKVQVEVLDLIGKLARSGPFNQENLTSIGCVELLLETIQPFLSSSSPLLSYALKIVEILGAYRLSASELQALVRYILQMRLMKSGHTIVDMMERLILMEDTALENVSLAPFVEMDMSKIGHASVLVSMGERSWPPAAGYSFVCWFQFQNFLRTQAKEIDPAKSGPSKRKSGSNAQHHDQRILRIFSVGAVNNENTFYAELYLQEDGVLTLATSNSCSLSFSGLELEEGRWHHLAVVHSKPNALAGLFQASVAYVYLDGKLRHTGKLGYSPSPIGKPLQVAIGTPVTCARVSDFTWRLRSCYLFEEVLTPGCICVMYILGRGYRGLFQDAELLRFVPNQACGGGSMAILDSLDAELAVPPGMQKLDSASKQENSKADGSGIVWDLDRLGNLTFQLSGKKLIFAFDGTCAEAVRASGTSFLLNLVDPLSAAASPIGGIPRFGRLNGDIYICRQCVIGDTIRPIGGMSVILALVDAAETKDMLHMALSFLACSLHHNPQNVKDMQTYRGYHLLALFLRRRMSLFDMQCLEIFFQIAACEASFSEPKKVERIQTFISPTASIHETGFEDLNFTKFRDETSSVGSLVDMDDFSAPKDSLSHILELENTDMSVETSNCIVLSNADMVEHVLLDWTLWVTAPVSIQIALLNFLEHLVSMHWYRNHNLTVLRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLASELENVVRFVIMTFDPPELKPQHQIMRESMGKHVIVRNMLLEMLIDLQVTIKTEEMLEQWHKIVSSKLITYFLDEAVHPTSMRWIMTLLGVCLASSPTFALKFRTSGGYQGLMRVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPSDGGHVELKFVELLESIIVMAKSTFDRLSMQSILARQTGNISQLVAELVEENVDMSGELQGEALMHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCPPFSAACRQAEFLESCIDLYFSCVRSAHTVKMARELSTKTEERNLNDCDDASSQNTFSSLPAEQEQSSKTSISAGSFPQAQVSSSSEEMPVASNYMAEDKEEMKHTSSQEELNKSLQEDVQVILSVEGDSVDQVSATSSTNDFNFHSIKDNPTIQPPDSQSSASLVIPDSPILSEKSNSKIPHSPSSSPLVALTSWLSVNQNESKNPIITPTSMDSSVCDFDQSSDLKCGSQGPTVANMTFSVTPNLLLEMDDSGYGGGPCSAGATAMLDFIAEVLADFLTEQIKAAQVVESILEMVPLYVEAESMLVFQGLFLTRLMNFVERRLLRDDEEDDKKLDKTKWSSNLDALCWMIVDRVYMGALPQPGGVLKTLEFLLSMLQLANKDGRIEEAAPTGKGLLSIARGSRQLDAYVNSILKNTNRMILYCFLPSFLITIGEDDLLSSLGLLMESKKKLPINSSLEDPGIDISTVLQLLVAHRRIVFCPSNFDTDLNCCLCVNLISLLRDQRRNVQNMAIDVIKYLLVHRRASLEDLLVSKPNQGQHLDVLHGGFDKLLTGSLSSFFDWFQNSEQMVNKVLEQCAAIMWVQYIAGSAKFPGVRIKGMEGRRKREMGRRSRDTSKVDLKHWEKVNERRYALEVVRDTMSTELRVVRQDKYGWVLHAESEWQTHLQQLVHERGIFPIRQSSMPEDPQWQLCPIEGPYRMRKKLERCKLRIDSIQNVLDWQMELGETEFSKVKNEDGPDVSDSDSEAIFNLLNDSVEQNGVDTELYDESLYKESYDVKDVTSVRDGWNYDRASSGNEEASLHSALEFGGKSSAVSVPISESIPGKSEHGSPRVSSSVKMDEVKVAEDKSDKELNDNGEYLIRPYLEPLEKIRFRYNCERVVGLDKHDGIFLIGELCLYVIENFYIDSSGCICEKECEDDLSVIDQALGVKKDVTGCMDFQSKSASSCPSPPKTLVGGRAWAYNGGAWGKEKVVSSGNLPHAWRMWKLDSVHEILKRDYQLRPVAVELFSMDGCNDLLVFHKRERDEVFKNLVAMNLPRNSLLDTTISGSTKQESSEGGRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLSDPKTFRKLDKPMGCQTPEGEEEFKKRYESWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSVENQKLQGGQFDHADRLFNCIRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVGDVVLPPWAKGSAREFIRKHREALESDFVSENLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGSVDIDSVTDPSMKASILAQINHFGQTPKQLFLKPHVKRRSDRKLPPHPLKHSAFLVPHEIRKSSSSISQIVTFNEKILVAGANTLLKPRTYAKYFAWGFPDRSLRFMGYDQDRLLSTHENLHGGNQIQCAGVSHDGHILVTGADDGLVSVWRISEDGPRASRRLLLEKVLCGHTAKITCLRVSQPYMLVVSGSDDCTVIIWDLSSLVFVRQLPEFPAPVSAVYVNDLSGEIVTAAGILLAVWSINGDCLAVINTSQLPSDSILSVTSCTFSDWQDTNWYVTGHQSGAIKVWHMVHCTDQDKTNSKSNMIGTGGLELGESPEYRLVLQKVLKFHKHPVTALHLTSGLKQLLSGDSSGHLLSWTLPDESLKSSFNQG >KJB42166 pep chromosome:Graimondii2_0_v6:7:11564244:11579283:1 gene:B456_007G140000 transcript:KJB42166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGSKGKKMKWVSLLKDIKEKVGLAQSPTPTATATTVSSSSSAAASSSNRDTNASSTLYDYVSSPSRDKHELELDFKRFWEEFRSSNSEMEKEAALNLTIDAFCRLVKQHANVAQLVTLLVETHIFSFVVGRAFVTDIEKLKISSKTKSLDVLKVLRFFSEVTEGGFSPGSNLLTAVEILVSGPIDKQSLLDSGIFCCLIHVLNALLCPDEANQRLKINYTEEPMLAEKDSTADVGQARRLEVEGSVVHIMKALASHPLAAQSLIEDDSLMLLFQMVANGSVTVFSKYKEGLVSLHIIQLHRHAMQILALLLVNDDGSTAKYIHKHQLIKVLLMAVKDFNPDCGDPAYTVRIVDLLLECVELSYRPEASGVRLREDIHNAHGYHFLVQFVLVLSSMPQNQGIQSIYLKPHVDNDQGHAFDEALALDFMGKEDPSTEHLSPTLTRLLDILVNLAQTGPAGNRTSKNSHTKACGHSISQTSTTDRLGDKWEQGNNKVKDLEAVQMLQDIFLKAESRDLQAEVLNRMFKIFSSHIENYKLCQQLRTVPLLILNMAGFPSALQDIILKILEYAVTVVNCVPEQELLSLCCLLQQPIASELKLTILSFFVKLLSFDQQYKKVLREVGVLEVLLDDLKQHKFLLGPDEHGGGASQLERKSSSSSFQKHLDNKDVIITSPKLMESGSGKFPIFEVESTVAIGWDCMVSLVKKAEANQAAFRSANGLTTVLPFLVSSVHRPGVLRILSCLITEDAMQVCYDACSSGHPEELGSLVEVLKSGMVTSDSGHQYKLQSDAKCDTMGTLWRILGVNNAAQRVFGEATGFSLLLTTLHSFQGDGHSEEPSLLVYIRVFTYLLRLMTAGVCGNTINRTKLHAIISSHTFYDLLSESGLLCVDYEKQVIHLLLELALEVVLPPFMTPESAISADMAENESTSFVLTTPSGLVNPDKERIYNAGAVRVLIRSLLLFTPKVQVEVLDLIGKLARSGPFNQENLTSIGCVELLLETIQPFLSSSSPLLSYALKIVEILGAYRLSASELQALVRYILQMRLMKSGHTIVDMMERLILMEDTALENVSLAPFVEMDMSKIGHASVLVSMGERSWPPAAGYSFVCWFQFQNFLRTQAKEIDPAKSGPSKRKSGSNAQHHDQRILRIFSVGAVNNENTFYAELYLQEDGVLTLATSNSCSLSFSGLELEEGRWHHLAVVHSKPNALAGLFQASVAYVYLDGKLRHTGKLGYSPSPIGKPLQVAIGTPVTCARVSDFTWRLRSCYLFEEVLTPGCICVMYILGRGYRGLFQDAELLRFVPNQACGGGSMAILDSLDAELAVPPGMQKLDSASKQENSKADGSGIVWDLDRLGNLTFQLSGKKLIFAFDGTCAEAVRASGTSFLLNLVDPLSAAASPIGGIPRFGRLNGDIYICRQCVIGDTIRPIGGMSVILALVDAAETKDMLHMALSFLACSLHHNPQNVKDMQTYRGYHLLALFLRRRMSLFDMQCLEIFFQIAACEASFSEPKKVERIQTFISPTASIHETGFEDLNFTKFRDETSSVGSLVDMDDFSAPKDSLSHILELENTDMSVETSNCIVLSNADMVEHVLLDWTLWVTAPVSIQIALLNFLEHLVSMHWYRNHNLTVLRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLASELENVVRFVIMTFDPPELKPQHQIMRESMGKHVIVRNMLLEMLIDLQVTIKTEEMLEQWHKIVSSKLITYFLDEAVHPTSMRWIMTLLGVCLASSPTFALKFRTSGGYQGLMRVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPSDGGHVELKFVELLESIIVMAKSTFDRLSMQSILARQTGNISQLVAELVEENVDMSGELQGEALMHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCPPFSAACRQAEFLESCIDLYFSCVRSAHTVKMARELSTKTEERNLNDCDDASSQNTFSSLPAEQEQSSKTSISAGSFPQAQVSSSSEEMPVASNYMAEDKEEMKHTSSQEELNKSLQEDVQVILSVEGDSVDQVSATSSTNDFNFHSIKDNPTIQPPDSQSSASLVIPDSPILSEKSNSKIPHSPSSSPLVALTSWLSVNQNESKNPIITPTSMDSSVCDFDQSSDLKCGSQGPTVANMTFSVTPNLLLEMDDSGYGGGPCSAGATAMLDFIAEVLADFLTEQIKAAQVVESILEMVPLYVEAESMLVFQGLFLTRLMNFVERRLLRDDEEDDKKLDKTKWSSNLDALCWMIVDRVYMGALPQPGGVLKTLEFLLSMLQLANKDGRIEEAAPTGKGLLSIARGSRQLDAYVNSILKNTNRMILYCFLPSFLITIGEDDLLSSLGLLMESKKKLPINSSLEDPGIDISTVLQLLVAHRRIVFCPSNFDTDLNCCLCVNLISLLRDQRRNVQNMAIDVIKYLLVHRRASLEDLLVSKPNQGQHLDVLHGGFDKLLTGSLSSFFDWFQNSEQMVNKVLEQCAAIMWVQYIAGSAKFPGVRIKGMEGRRKREMGRRSRDTSKVDLKHWEKVNERRYALEVVRDTMSTELRVVRQDKYGWVLHAESEWQTHLQQLVHERGIFPIRQSSMPEDPQWQLCPIEGPYRMRKKLERCKLRIDSIQNVLDWQMELGETEFSKVKNEDGPDVSDSDSEAIFNLLNDSVEQNGVDTELYDESLYKESYDVKDVTSVRDGWNYDRASSGNEEASLHSALEFGGKSSAVSVPISESIPGKSEHGSPRVSSSVKMDEVKVAEDKSDKELNDNGEYLIRPYLEPLEKIRFRYNCERVVGLDKHDGIFLIGELCLYVIENFYIDSSGCICEKECEDDLSVIDQALGVKKDVTGCMDFQSKSASSCPSPPKTLVGGRAWAYNGGAWGKEKVVSSGNLPHAWRMWKLDSVHEILKRDYQLRPVAVELFSMDGCNDLLVFHKRERDEVFKNLVAMNLPRNSLLDTTISGSTKQESSEGGRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLSDPKTFRKLDKPMGCQTPEGEEEFKKRSILIFSKILPLKRKKTI >KJB40934 pep chromosome:Graimondii2_0_v6:7:5961641:5964251:-1 gene:B456_007G083400 transcript:KJB40934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTAEKVVCVTGASGYVASWLVKLLLQRGYTVKATVRDPSDPKKTQHLLALDGAKERLHLLKAELLEEGCFDSIVDGCHGVFHTASPVIFSATDPQAELIDPAIKGTLNVLKSCAKVPSIKRVVITASIVSVVYNGKPLTSDVVVDETWFSDSRFCEKNKLWYMASKTLAEEAAWRFAEEKKMDLVVLNPGFVVGPLLQPSLNYTSEVVLAHTRATGRYCLVERVVEFPEILKTLNELYPTLGLERKCEELHKPLKRTYQVSQEKAKSLGLSFTTWERSLRETVESLKEKGFLSI >KJB40935 pep chromosome:Graimondii2_0_v6:7:5961951:5964162:-1 gene:B456_007G083400 transcript:KJB40935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTAEKVVCVTGASGYVASWLVKLLLQRGYTVKATVRDPSDPKKTQHLLALDGAKERLHLLKAELLEEGCFDSIVDGCHGVFHTASPVIFSATDPQAELIDPAIKGTLNVLKSCAKVPSIKRVVITASIVSVVYNGKPLTSDVVVDETWFSDSRFCEKNKLWYMASKTLAEEAAWRFAEEKKMDLVVLNPGFVVGPLLQPSLNYTSEVVLAHTRGENIFPSSIYWLVDVQDVAYAHIQAFEIPSATGRYCLVERVVEFPEILKTLNELYPTLGLERKCEELHKPLKRTYQVSQEKAKSLGLSFTTWERSLRETVESLKEKGFLSI >KJB43177 pep chromosome:Graimondii2_0_v6:7:18184391:18190181:1 gene:B456_007G188600 transcript:KJB43177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] MEAPKFVWEGAIPLQIHLHESQVTTLPPPPPALILAPRIGYLPLLAPLIKPYFSSTLPPGVDTIWFDYNGLPLKWYIPTGVLFDLLCPEPERPWNITVHFRGYPGSVLIPCEGEDSVKWSFINSLKEAAYIMNGNCKNVMNMSQSDQLELWHSVMNGNLEAYQRVSSKLKLATVDDEYASLCLQKSQQSVIETDLPAQAKTGRVPVRLYVLSVSKDFDDLDEIPRVDSWEKISYINRPVEIRKEDKCFTLHDALKILLPELFLDESLMNVKLGGVDLEDAVRNSNEDVTSDKVVEDQGQNACKRPEACRISSSAEIKLIRIQGIEPKLEIPFSWVANNLLNPDYFLHVCVCVKVAQ >KJB43174 pep chromosome:Graimondii2_0_v6:7:18184391:18190170:1 gene:B456_007G188600 transcript:KJB43174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] MEAPKFVWEGAIPLQIHLHESQVTTLPPPPPALILAPRIGYLPLLAPLIKPYFSSTLPPGVDTIWFDYNGLPLKWYIPTGVLFDLLCPEPERPWNITAAYIMNGNCKNVMNMSQSDQLELWHSVMNGNLEAYQRVSSKLKLATVDDEYASLCLQKSQQSVIETDLPAQAKTGRVPVRLYVLSVSKDFDDLDEIPRVDSWEKISYINRPVEIRKEDKCFTLHDALKILLPELFLDESLMNVKLGGVDLEDAVRNSNEDVTSDKVVEDQGQNACKRPEACRISSSAEIKLIRIQGIEPKLEIPFSWVANNLLNPDYFLHVCVCVKVAQ >KJB43175 pep chromosome:Graimondii2_0_v6:7:18184391:18190170:1 gene:B456_007G188600 transcript:KJB43175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] MEAPKFVWEGAIPLQIHLHESQVTTLPPPPPALILAPRIGYLPLLAPLIKPYFSSTLPPGVDTIWYIPTGVLFDLLCPEPERPWNITVHFRGYPGSVLIPCEGEDSVKWSFINSLKEAAYIMNGNCKNVMNMSQSDQLELWHSVMNGNLEAYQRVSSKLKLATVDDEYASLCLQKSQQSVIETDLPAQAKTGRVPVRLYVLSVSKDFDDLDEIPRVDSWEKISYINRPVEIRKEDKCFTLHDALKILLPELFLDESLMNVKLGGVDLEDAVRNSNEDVTSDKVVEDQGQNACKRPEACRISSSAEIKLIRIQGIEPKLEIPFSWVANNLLNPDYFLHVCVCVKVAQ >KJB43172 pep chromosome:Graimondii2_0_v6:7:18184391:18190167:1 gene:B456_007G188600 transcript:KJB43172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] MEAPKFVWEGAIPLQIHLHESQVTTLPPPPPALILAPRIGYLPLLAPLIKPYFSSTLPPGVDTIWFDYNGLPLKWYIPTGVLFDLLCPEPERPWNITVHFRGYPGSVLIPCEGEDSVKWSFINSLKEAAYIMNGNCKNVMNMSQSDQLELWHSVMNGNLEAYQRVSSKLKLATVDDEYASLCLQKSQQSVIETDLPAQAKTGRVPVRLYVLSVSKDFDDLDEIPRVDSWEKISYINRPVEIRKEGGVDLEDAVRNSNEDVTSDKVVEDQGQNACKRPEACRISSSAEIKLIRIQGIEPKLEIPFSWVANNLLNPDYFLHVCVCVKVAQ >KJB43176 pep chromosome:Graimondii2_0_v6:7:18184391:18190170:1 gene:B456_007G188600 transcript:KJB43176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] MEAPKFVWEGAIPLQIHLHESQVTTLPPPPPALILAPRIGYLPLLAPLIKPYFSSTLPPGVDTIWFDYNGLPLKCCSCCRYIPTGVLFDLLCPEPERPWNITVHFRGYPGSVLIPCEGEDSVKWSFINSLKEAAYIMNGNCKNVMNMSQSDQLELWHSVMNGNLEAYQRVSSKLKLATVDDEYASLCLQKSQQSVIETDLPAQAKTGRVPVRLYVLSVSKDFDDLDEIPRVDSWEKISYINRPVEIRKEDKCFTLHDALKILLPELFLDESLMNVKLGGVDLEDAVRNSNEDVTSDKVVEDQGQNACKRPEACRISSSAEIKLIRIQGIEPKLEIPFSWVANNLLNPDYFLHVCVCVKVAQ >KJB43173 pep chromosome:Graimondii2_0_v6:7:18184391:18190167:1 gene:B456_007G188600 transcript:KJB43173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] MEAPKFVWEGAIPLQIHLHESQVTTLPPPPPALILAPRIGYLPLLAPLIKPYFSSTLPPGVDTIWFDYNGLPLKWYIPTGVLFDLLCPEPERPWNITVHFRGYPGSVLIPCEGEDSVKWSFINSLKEAAYIMNGNCKNVMNMSQSDQLELWHSVMNGNLEAYQRVSSKLKLATVDDEYASLCLQKSQQSVIETDLPAQAKTGRVPVRLYVLSVSKDFDDLDEIPRVDSWEKISYINRPVEIRKEGKQCFLCKQQTCNWQLCMWRNELDADSQLLYFPCSILCSSKLDTLNLILFLLHPILNFIIFICLLCYMHCSFFADKCFTLHDALKILLPELFLDESLMNVKLGGVDLEDAVRNSNEDVTSDKVVEDQGQNACKRPEACRISSSAEIKLIRIQGIEPKLEIPFSWVANNLLNPDYFLHVCVCVKVAQ >KJB43726 pep chromosome:Graimondii2_0_v6:7:23360201:23364663:-1 gene:B456_007G213500 transcript:KJB43726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeaxanthin epoxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67030) UniProtKB/Swiss-Prot;Acc:Q9FGC7] MAASLFQRPSAILFTGTQFPVSISKDIPIESSPCIHFNYHFRSKANNQKKGLLQVKATVGAGTQSVSKSSETKDLDGNQLEKKTKLKILIAGGGIGGLVFALAAKKKGFDVVVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDMEVAEEVMEAGCVTGDRINGLVDGVSGTWYVKFDTFTPAAERGLPVTRVISRMTLQQILARAVGEDVIFNESNVVDFEDDGDKVTVVLENGNRYDGDLLVGADGIWSKVRKNLFGPKEAVYSGYTCYTGIADFVPADIESVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGVDSHGKKERLLNIFGDWCDNVTDLLHATDEDAILRRDIYDRTPSLTWGRGRVTLLGDSIHAMQPNMGQGGCMAIEDSYQLALELDNAWKQGVESGTPIDVVSSLRSYERARRLRVAIIHGMARMAAIMASTYKAYLGVGLGPLSFLTKFRIPHPGRVGGRFFINLAMPIMLNWVLGGNSSNLEGRSLSCRLSDKASDQLQTWFEDNDALEQTINGEWFLLSVGNEAATSQPICLSRDENKSFVIGSEKNENFPGRSVVIRSPQVSKTHAQIIYKEGAFFLIDMQSEHGTYIEHEGRRSWIPSNVATRLRPSDVIEFGSDKKAALRVKVMRSPPKIAYNEDGQLLQAV >KJB40799 pep chromosome:Graimondii2_0_v6:7:5612065:5616243:-1 gene:B456_007G079100 transcript:KJB40799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLGPKRFDIFEIYRQFCELRRGDTYVCCEEGYRQDEESQRAKYSRDRLNQLLKMVESRMHTRTAIFDELHKLMLQLDLTVDFSEFSCFYDFVFFMCRENGQKNITISRAVAAWRLVLAGRFRLLDKWCNFVEEYQRHNISEDTWQQVLAFSRCVHENLEGYDPEGAWPVLIDDFVEHMYRISGSNKDSNVFCCCVDSEPQLCAYEDTLPGLRVFPGMKRKLLECHDYEMESSDSHLSDTPDLNCSLNSKRSRLIAHRLVTPDASDDCMEVAKHSSPVCCSKSPCAIEGCLSKGFAGLLSSYSYFAV >KJB40800 pep chromosome:Graimondii2_0_v6:7:5612109:5616243:-1 gene:B456_007G079100 transcript:KJB40800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESRMHTRTAIFDELHKLMLQLDLTVDFSEFSCFYDFVFFMCRENGQKNITISRAVAAWRLVLAGRFRLLDKWCNFVEEYQRHNISEDTWQQVLAFSRCVHENLEGYDPEGAWPVLIDDFVEHMYRISGSNKDSNVFCCCVDSEPQLCAYEDTLPGLRVFPGMKRKLLECHDYEMESSDSHLSDTPDLNCSLNSKRSRLIAHRLVTPDASDDCMEVAKHSSPVCCSKSPCAIEGCLSKGFAGLLSSYSYFAV >KJB40571 pep chromosome:Graimondii2_0_v6:7:4913169:4915609:-1 gene:B456_007G069500 transcript:KJB40571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQLSRRENTTSERDIHLEENRVPKMATHFESLAEKSRQSDVGAAAAAKHNPIHGERHEFHSLEGKVGDVNVSATIIAKTEAGDQAREGRGKQLQERKGEPYAIGKFQVTAPGAGAGAGAGAGQERGTSMASKAEHESDKEKGRRSYAAAAAGHRQERGASMESKGSKHESDKEQGYCTQLASSEERVNKGAKKEGGEKQEQLSVEEISKLRASAQQNSMETLRAAEERYNQAKESAAQALNTAADYTKEKGQQAKETAVQSAQYATEKGGQAKDTIIEGAKRTTQYIAEKGTQTKDTAAETLASAGNYTAPRVEQAKDYALQTAVKAKDTAVDVSKNIASYAGEKAVVTKDVTVEKSKEAAEVAGKVAVDVKDKAVVAGWSAAHYTTEKAVEGTKVAARMVEGAAEYAGKKSMELAAKPIRAAKEAASAAGETLKEYTARKKEEAARELEAKRATETQGDSYREEETQERSSESQQKMEDLARKPKEKFEETAKPIGNALKKTFQGSSEPNKTGELTQKRSQVEEERIKKVPMEGVERRMESGAERIVEGSGVREEEEEEENQTGVLGAIAETIVEIAQNTKDLVIGPDDQPNTQSANRRD >KJB40572 pep chromosome:Graimondii2_0_v6:7:4913460:4915484:-1 gene:B456_007G069500 transcript:KJB40572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQLSRRENTTSERDIHLEENRVPKMATHFESLAEKSRQSDVGAAAAAKHNPIHGERHEFHSLEGKVGDVNVSATIIAKTEAGDQAREGRGKQLQERKGEPYAIGKFQVTAPGAGAGAGAGAGQERGTSMASKAEHESDKEKGRRSYAAAAAGHRQERGASMESKGSKHESDKEQGYCTQLASSEERVNKGAKKEGGEKQEQLSVEEISKLRASAQQNSMETLRAAEERYNQAKESAAQALNTAADYTKEKGQQAKETAVQSAQYATEKGGQAKDTIIEGAKRTTQYIAEKGTQTKDTAAETLASAGNYTAPRVEQAKDYALQTAVKAKDTAVDVSKNIASYAGEKAVVTKDVTVEKSKEAAEVAGKVAVDVKDKAVVAGWSAAHYTTEKAVEGTKVAARMVEGAAEYAGKKSMELAAKPIRAAKEAASAAGETLKEYTARKKEEAARELEAKRATETQGDSYREEETQERSSESQQKMEDLARKPKEKFEETAKPIGNALKKTFQGSSEPNKTGELTQETEKRSQVEEERIKKVPMEGVERRMESGAERIVEGSGVREEEEEEENQTGVLGAIAETIVEIAQNTKDLVIGPDDQPNTQSANRRD >KJB39528 pep chromosome:Graimondii2_0_v6:7:1375582:1377559:-1 gene:B456_007G018400 transcript:KJB39528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMDIPDGVSIKVKAKVIEVEGPRGKLTRNFKHLNLDFHLIKDEETGKRKLRIEAWFGSRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNGNKSIEIRNFLGEKKVRKVDMLEGVSIVRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGRVAEEE >KJB44874 pep chromosome:Graimondii2_0_v6:7:47447153:47448540:1 gene:B456_007G277000 transcript:KJB44874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEKDLNLDATELRLGLPGSSEESIRISNKRALPDMNDDSGVAAAKKCDQETAPPTKAQVVGWPPIRSYRKNSLQTKKTEAETGGMYVKVSMDGAPYLRKIDLKVYKGYPELFKAMEDMFKFKVGKYSEREGYNGSEFVPTYEDKDGDWMLVGDVPWEMFINGCKRLRIVRGSEARGLGCVV >KJB44875 pep chromosome:Graimondii2_0_v6:7:47447165:47448454:1 gene:B456_007G277000 transcript:KJB44875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEKDLNLDATELRLGLPGSSEESIRISNKRALPDMNDDSGVAAAKKCDQETAPPTKAQVVGWPPIRSYRKNSLQTKKTEAETGGMYVKVSMDGAPYLRKIDLKVYKGYPELFKAMEDMFKFKVGKYSEREGYNGSEFVPTYEDKDGDWMLVGDVPWE >KJB45398 pep chromosome:Graimondii2_0_v6:7:51797049:51797665:1 gene:B456_007G304200 transcript:KJB45398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFFPLDADSGSIILHNNTLETNHPVRILLCMMFPMDSEEFRTLPHPSYPCQTRGGVYGFMERTR >KJB39944 pep chromosome:Graimondii2_0_v6:7:2777857:2780175:1 gene:B456_007G039800 transcript:KJB39944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPATISPATLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKAIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMISKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRAGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVMTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGWGVKTGLPYIWHSKASNPFVNLRKEYKGIYWQEELIPFFQSVTLPKDCTSVQKCYTEIAKQVKAKLGKVDDYFNKLADAMVTWIEAWDELNPSGASKSSDLPNGASK >KJB39946 pep chromosome:Graimondii2_0_v6:7:2777913:2780175:1 gene:B456_007G039800 transcript:KJB39946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPATISPATLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKAIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMISKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRAGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVMTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVSYLCRCRYSLMASLLNCSR >KJB39948 pep chromosome:Graimondii2_0_v6:7:2777982:2779075:1 gene:B456_007G039800 transcript:KJB39948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPATISPATLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKAIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMISKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRAGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRY >KJB39945 pep chromosome:Graimondii2_0_v6:7:2777913:2780175:1 gene:B456_007G039800 transcript:KJB39945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPATISPATLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKAIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMISKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRAGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRY >KJB39947 pep chromosome:Graimondii2_0_v6:7:2777913:2780175:1 gene:B456_007G039800 transcript:KJB39947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPATISPATLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKAIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMISKKKYIYTIDDDCFVSSIFISHVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRAGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVMTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGWGVKTGLPYIWHSKASNPFVNLRKEYKGIYWQEELIPFFQSVTLPKDCTSVQKCYTEIAKQVKAKLGKVDDYFNKLADAMVTWIEAWDELNPSGASKSSDLPNGASK >KJB38980 pep chromosome:Graimondii2_0_v6:7:6374682:6376131:-1 gene:B456_007G088200 transcript:KJB38980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFERNFSQRYIKQGSTEMATGTAPPRGSAAAAASLRRRRTTSGGGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAVLHIMGKFVRRD >KJB38982 pep chromosome:Graimondii2_0_v6:7:6375014:6375253:-1 gene:B456_007G088200 transcript:KJB38982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGTAPPRGSAAAAASLRRRRTTSGGGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAVLHIMGKFVRRD >KJB38981 pep chromosome:Graimondii2_0_v6:7:6374682:6375972:-1 gene:B456_007G088200 transcript:KJB38981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGTAPPRGSAAAAASLRRRRTTSGGGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAVLHIMGKFVRRD >KJB39794 pep chromosome:Graimondii2_0_v6:7:2131414:2138609:1 gene:B456_007G030900 transcript:KJB39794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVELPGRLAILPFRNKVLLPGAFIRIRCTSQSSVKLVEQELWQREEKGLIGILPVQDAAETTSMDSMLSQGVGSESGERSSNVQVSTSDAHKVDGKNPSEVIHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNIEELNTRGPYCTAKISPLEMTKSGYFLISIFYLLTCLEMEQVEQDPDFVTLSRQFKAIAMELISILEQKQKTGGRIKVLLETLPFHKLADIFVASFEISFEEQLCMLDSVDPKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEYLLRQQMRAIKEELGDNDDDEDDLASLERKMQNAGMPSNIWKHAQRELRRLKKMQPQQPGYNSSRVYLDLLADLPWQKASEEQELDLKAAKDHLDCDHYGLVKIKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVGVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKSFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTAEEKLRIAMQHLIPRVLDQHGLSSAFLQIPEDMVKLVIQRYTREAGVRNLERNLAALARAAAVRVAEREQAVSVSKDVHKITSPLLDNRLAEGAEMEMEVIPMVVNNHEISNAFRIASPLVVDEAMLEKILGPPRFDDQEAADRVATPGVSVGLVWTAFGGEVQFVEATSVVGNGELRLTGQLGDVIKESAQIALTWVRARVEDLKLAAAEETDLLQGKDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKNVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLVEVPADVLSSLEILVAKRMEDVLEHAFDGGCPWRQHQHSKL >KJB39795 pep chromosome:Graimondii2_0_v6:7:2131638:2137830:1 gene:B456_007G030900 transcript:KJB39795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVELPGRLAILPFRNKVLLPGAFIRIRCTSQSSVKLVEQELWQREEKGLIGILPVQDAAETTSMDSMLSQGVGSESGERSSNVQVSTSDAHKVDGKNPSEVIHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNIEELNTRGPYCTAKISPLEMTKSEMEQVEQDPDFVTLSRQFKAIAMELISILEQKQKTGGRIKVLLETLPFHKLADIFVASFEISFEEQLCMLDSVDPKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEYLLRQQMRAIKEELGDNDDDEDDLASLERKMQNAGMPSNIWKHAQRELRRLKKMQPQQPGYNSSRVYLDLLADLPWQKASEEQELDLKAAKDHLDCDHYGLVKIKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVGVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKSFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTAEEKLRIAMQHLIPRVLDQHGLSSAFLQIPEDMVKLVIQRYTREAGVRNLERNLAALARAAAVRVAEREQAVSVSKDVHKITSPLLDNRLAEGAEMEMEVIPMVVNNHEISNAFRIASPLVVDEAMLEKILGPPRFDDQEAADRVATPGVSVGLVWTAFGGEVQFVEATSVVGNGELRLTGQLGDVIKESAQIALTWVRARVEDLKLAAAEETDLLQGKDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKNVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLVEVPADVLSSLEVTFPLYLKQKCFSS >KJB39796 pep chromosome:Graimondii2_0_v6:7:2131585:2137975:1 gene:B456_007G030900 transcript:KJB39796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVELPGRLAILPFRNKVLLPGAFIRIRCTSQSSVKLVEQELWQREEKGLIGILPVQDAAETTSMDSMLSQGVGSESGERSSNVQVSTSDAHKVDGKNPSEVIHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNIEELNTRGPYCTAKISPLEMTKSEMEQVEQDPDFVTLSRQFKAIAMELISILEQKQKTGGRIKVLLETLPFHKLADIFVASFEISFEEQLCMLDSVDPKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEYLLRQQMRAIKEELGDNDDDEDDLASLERKMQNAGMPSNIWKHAQRELRRLKKMQPQQPGYNSSRVYLDLLADLPWQKASEEQELDLKAAKDHLDCDHYGLVKIKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVGVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKSFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTAEEKLRIAMQHLIPRVLDQHGLSSAFLQIPEDMVKLVIQRYTREAGVRNLERNLAALARAAAVRVAEREQAVSVSKDVHKITSPLLDNRLAEGAEMEMEVIPMVVNNHEISNAFRIASPLVVDEAMLEKILGPPRFDDQEAADRVATPGVSVGLVWTAFGGEVQFVEATSVVGNGELRLTGQLGDVIKESAQIALTWVRARVEDLKLAAAEETDLLQGKDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKNVRADTAMTGEMTLRGLVLPVGGVKDKVCFVSYSYYFSLFPAKNMYFDTFWLHTYIRWYSATNRETVKAFGSHHFRYILLL >KJB39793 pep chromosome:Graimondii2_0_v6:7:2131414:2138609:1 gene:B456_007G030900 transcript:KJB39793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVELPGRLAILPFRNKVLLPGAFIRIRCTSQSSVKLVEQELWQREEKGLIGILPVQDAAETTSMDSMLSQGVGSESGERSSNVQVSTSDAHKVDGKNPSEVIHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNIEELNTRGPYCTAKISPLEMTKSEMEQVEQDPDFVTLSRQFKAIAMELISILEQKQKTGGRIKVLLETLPFHKLADIFVASFEISFEEQLCMLDSVDPKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEYLLRQQMRAIKEELGDNDDDEDDLASLERKMQNAGMPSNIWKHAQRELRRLKKMQPQQPGYNSSRVYLDLLADLPWQKASEEQELDLKAAKDHLDCDHYGLVKIKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVGVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKSFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTAEEKLRIAMQHLIPRVLDQHGLSSAFLQIPEDMVKLVIQRYTREAGVRNLERNLAALARAAAVRVAEREQAVSVSKDVHKITSPLLDNRLAEGAEMEMEVIPMVVNNHEISNAFRIASPLVVDEAMLEKILGPPRFDDQEAADRVATPGVSVGLVWTAFGGEVQFVEATSVVGNGELRLTGQLGDVIKESAQIALTWVRARVEDLKLAAAEETDLLQGKDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKNVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLVEVPADVLSSLEILVAKRMEDVLEHAFDGGCPWRQHQHSKL >KJB39797 pep chromosome:Graimondii2_0_v6:7:2131570:2138609:1 gene:B456_007G030900 transcript:KJB39797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVELPGRLAILPFRNKVLLPGAFIRIRCTSQSSVKLVEQELWQREEKGLIGILPVQDAAETTSMDSMLSQGVGSESGERSSNVQVSTSDAHKVDGKNPSEVIHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNIEELNTRGPYCTAKISPLEMTKSEMEQVEQDPDFVTLSRQFKAIAMELISILEQKQKTGGRIKVLLETLPFHKLADIFVASFEISFEEQLCMLDSVDPKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEYLLRQQMRAIKEELGDNDDDEDDLASLERKMQNAGMPSNIWKHAQRELRRLKKMQPQQPGYNSSRVYLDLLADLPWQKASEEQELDLKAAKDHLDCDHYGLVKIKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVGVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKSFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTAEEKLRIAMQHLIPRVLDQHGLSSAFLQIPEDMVKLVIQRYTREAGVRNLERNLAALARAAAVRVAEREQAVSVSKDVHKITSPLLDNRLAEGAEMEMEVIPMVVNNHEISNAFRIASPLVVDEAMLEKILGPPRFDDQEAADRVATPGVSVGLVWTAFGGEVQFVEATSVVGNGELRLTGQLGDVIKESAQIALTWVRARVEDLKLAAAEETDLLQGKDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKNVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLVEVPADVLSSLEILVAKRMEDVLEHAFDGGCPWRQHQHSKL >KJB39792 pep chromosome:Graimondii2_0_v6:7:2131373:2138609:1 gene:B456_007G030900 transcript:KJB39792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVELPGRLAILPFRNKVLLPGAFIRIRCTSQSSVKLVEQELWQREEKGLIGILPVQDAAETTSMDSMLSQGVGSESGERSSNVQVSTSDAHKVDGKNPSEVIHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNIEELNTRGPYCTAKISPLEMTKSEMEQVEQDPDFVTLSRQFKAIAMELISILEQKQKTGGRIKVLLETLPFHKLADIFVASFEISFEEQLCMLDSVDPKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEYLLRQQMRAIKEELGDNDDDEDDLASLERKMQNAGMPSNIWKHAQRELRRLKKMQPQQPGYNSSRVYLDLLADLPWQKASEEQELDLKAAKDHLDCDHYGLVKIKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVGVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKSFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTAEEKLRIAMQHLIPRVLDQHGLSSAFLQIPEDMVKLVIQRYTREAGVRNLERNLAALARAAAVRVAEREQAVSVSKDVHKITSPLLDNRLAEGAEMEMEVIPMVVNNHEISNAFRIASPLVVDEAMLEKILGPPRFDDQEAADRVATPGVSVGLVWTAFGGEVQFVEATSVVGNGELRLTGQLGDVIKESAQIALTWVRARVEDLKLAAAEETDLLQGKDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKNVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLVEVPADVLSSLEILVAKRMEDVLEHAFDGGCPWRQHQHSKL >KJB40660 pep chromosome:Graimondii2_0_v6:7:5179623:5183267:-1 gene:B456_007G073100 transcript:KJB40660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLWFLLFSFSFTLSKSSTPDSAILLSFKDSIFYDPSNLLSTWNSSSSHCSWYGVKCANSSDKVIALSLPHNGLSGEIPGVIGDLKFLQVLQVQGNKFSGQIPVQISSISSLNVLNLSFNSFSGHIPDKLIGNSNLKVIDLSNNLFSGRISVDNSSRCEFLTHLSLSNNSLVGNIPPEIGNCKNLRTLLLDYNLLEGKIPAEIGQITELRVLDVSRNSLTDEIPKEIADCKKLSALVLTNLIDFGSDAKTSYMDGFRGEFNAFDLGVPFELFSHSSLEVLWAPRANLRGRLPAKWSEFCSLRVLNLGQNYFDGMIPESIVMCKSLTFLDLSSNNLFGYLPWKLNVPCMTYFNISRNNISGNIHGYNRKGSCDGSMVSQVLASSSTWVEFKYGNLPFWGSKMGLMMDESFAVVHDLSWNSFTGSIPVFFIADQLLASDSKFSYRLLLNNNMFNGSSHKELISDCDKLQSVSVNLNENKIVGGMGKTFFLDCVRLIEFEAAYNQIEGSIDPCIGNLTMLEWLDLRGNRLSGSLPNQLGKLKNLTWISLGENNLSGEIPPELGQLALLKVLDLSHNFLTGSIPASLTNAGNLETILLDHNRLYGEIPPSFSLLSHLTVLDLSFNDLSGSIPRLQHQTNCSSFRGNRLLQECLFSAVPPGGPRGPSKFQKGRNSEPLIIAAITSAFVLLCMVAVVVIICRFRRKKVRRLGALEEKVVVTFADAPNELNYDNVVSATGNFSTRNLIGMGGFGSTYKAELVPGYHVAVKKLYIGRFQGVQQFDAEIRTLGRIRHKNLVTLIGYYVGENEMFLIYNYLSGGNLETFIHDKSGKNEQWLVIYNITVDIAQALAYLHYSCVPRIVHRDIKPSNILLDENLNAFLSDFGLARLLEVSETHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVMLELLSGKKSLDPSFSEYGNGFNIVEWTKLLVKEGRPSAVFSAQLWETGPRENLLGMLRLAYACTAETLSVRPSMKQVLEKLKHLKN >KJB42777 pep chromosome:Graimondii2_0_v6:7:14852276:14853862:-1 gene:B456_007G167100 transcript:KJB42777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSGECVTDEVGKDKLRSPDAGSHALQHNTDSKIPSSQSDQGGETPLIKSEKDPLSQSEKLGNASSVITKQTPSLLPGMEGSSPVARERASQDGYNWRKYGQKLVKGNEFVRSYYKCTHPNCRAKKQLERSHDGKMIDTVYVGQHDHPKPLNLPLAVGFAVSVVEERPDKSLQIVVKDKSLHSQMPHQIEPRSGSQPLSSAVSDVKGAASKSNRIQNVADSDDDHLVSKRRKKENSNADASPVEKPTNDSRMVIKTFSEVDIVNDGYRWRKYGQKLVKGNPNPRSYYRCSSPGCPVKKHVERASHDAKLVITTYEGQHDHDLPPTRSVTHNTTGVTVHSAAHSDESRTKVEESETVCLDMVVYSGSVAENKSSEQLNGELRTKSDFSGTVCVSLIDAPISGP >KJB42778 pep chromosome:Graimondii2_0_v6:7:14851777:14854906:-1 gene:B456_007G167100 transcript:KJB42778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSGECVTDEVGKDKLRSPDAGSHALQHNTDSKIPSSQSDQGGETPLIKSEKDPLSQSEKLGNASSVITKQTPSLLPGMEGSSPVARERASQDGYNWRKYGQKLVKGNEFVRSYYKCTHPNCRAKKQLERSHDGKMIDTVYVGQHDHPKPLNLPLAVGFAVSVVEERPDKSLQIVVKDKSLHSQMPHQIEPRSGSQPLSSAVSDVKGAASKSNRIQNVADSDDDHLVSKRRKKENSNADASPVEKPTNDSRMVIKTFSEVDIVNDGYRWRKYGQKLVKGNPNPRSYYRCSSPGCPVKKHVERASHDAKLVITTYEGQHDHDLPPTRSVTHNTTGVTVHSAAHSDESRTKVEESETVCLDMVVYSGSVAENKSSP >KJB38869 pep chromosome:Graimondii2_0_v6:7:4499052:4500939:1 gene:B456_007G0641001 transcript:KJB38869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYFPTTLHVEDINYFQPDRAYVFGYEPHSVLPIGVVTLAERTGFMPLPKLKCLTTSPVFYTPFLRHIWTWLGASPATRKNFCSLLEAGYSCIVVPGGVQETFLMQHDSEVAFLKSRRGFVRIAMEMGCPLVPVFAFGQSHAYKWWKPGGKLILQLSRAIKFVPMLFWGAFGTPIPYQRPMHVVVGKPIYLKKNPQPTAEEVLEVHDQFVKALEDLFERHKARVGYDDLHLKIL >KJB38867 pep chromosome:Graimondii2_0_v6:7:4498869:4501135:1 gene:B456_007G0641001 transcript:KJB38867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYFPTTLHVEDINYFQPDRAYVFGYEPHSVLPIGVVTLAERTGFMPLPKLKCLTTSPVFYTPFLRHIWTWLGASPATRKNFCSLLEAGYSCIVVPGGVQETFLMQHDSEVAFLKSRRGFVRIAMEMGCPLVPVFAFGQSHAYKWWKPGGKLILQLSRAIKFVPMLFWGAFGTPIPYQRPMHVVVGKPIYLKKNPQPTAEEVLEVHDQFVKALEDLFERHKARVGYDDLHLKIL >KJB38870 pep chromosome:Graimondii2_0_v6:7:4500060:4501135:1 gene:B456_007G0641001 transcript:KJB38870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHAYKWWKPGGKLILQLSRAIKFVPMLFWGAFGTPIPYQRPMHVVVGKPIYLKKNPQPTAEEVLEVHDQFVKALEDLFERHKARVGYDDLHLKIL >KJB38868 pep chromosome:Graimondii2_0_v6:7:4498646:4501135:1 gene:B456_007G0641001 transcript:KJB38868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVGLLLVFAVVPIDHNSKFGLRLARYICRNMSNYFPTTLHVEDINYFQPDRAYVFGYEPHSVLPIGVVTLAERTGFMPLPKLKCLTTSPVFYTPFLRHIWTWLGASPATRKNFCSLLEAGYSCIVVPGGVQETFLMQHDSEVAFLKSRRGFVRIAMEMGCPLVPVFAFGQSHAYKWWKPGGKLILQLSRAIKFVPMLFWGAFGTPIPYQRPMHVVVGKPIYLKKNPQPTAEEVLEVHDQFVKALEDLFERHKARVGYDDLHLKIL >KJB38866 pep chromosome:Graimondii2_0_v6:7:4498182:4501135:1 gene:B456_007G0641001 transcript:KJB38866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEERVENKTYAAGSGYREFNGRNEFSSNVLHGILACALWIGALHFDFYLLVFSLLCLPFSKFLLVVGLLLVFAVVPIDHNSKFGLRLARYICRNMSNYFPTTLHVEDINYFQPDRAYVFGYEPHSVLPIGVVTLAERTGFMPLPKLKCLTTSPVFYTPFLRHIWTWLGASPATRKNFCSLLEAGYSCIVVPGGVQETFLMQHDSEVAFLKSRRGFVRIAMEMGCPLVPVFAFGQSHAYKWWKPGGKLILQLSRAIKFVPMLFWGAFGTPIPYQRPMHVVVGKPIYLKKNPQPTAEEVLEVHDQFVKALEDLFERHKARVGYDDLHLKIL >KJB46648 pep chromosome:Graimondii2_0_v6:7:44953931:44959441:-1 gene:B456_007G268300 transcript:KJB46648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIKIAFGDMLITFMWVFCSSMFGLFTSWIATAIGVQAISWAPIVIITFIIFVFVFIFNIIGGFLGGASFNPTGTASFYAAGVGDDSLISMGLRFPAQAAGAVGGALAITEVMPEQYKHMIVAPSLKVDTHTGAIAEGVLTFVITLAVLFIILKGPKSEIFKTWLLAIATVAIVLSGTAYTGPSMNPANAFGWAYVNNWHNTWDQFYVYWICPFIGAILAAWVFRLFFPPPSPVKKAKKTRKPKRA >KJB40273 pep chromosome:Graimondii2_0_v6:7:3865166:3870304:-1 gene:B456_007G055200 transcript:KJB40273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEVLLAGSMDGSLSLLKVGSERHFDYGITHIPDRVWPSTKGDAESDETPPYVSRPAAAPLHMPSRISSIRLCEKQSFSTNNYDSKFQCSLITTLGSETYGGFVYILNLLEPVDFTSRLDRRLHAVATFNHTIWTADYSFNTAQAAIGTDIGVALVNVERGATKWVCRSKSDVLALQFDQTGNIVLCGLRNGAIVTVDVRENQERMFSRLTKLKIPYSSSGRSSQKRWFEIKGLISPSHTIHMPSSISSLVSLQSYDQYFLASSMDGSMKLYDHRLTKRGAVQSYGGHVNSHTRIQLGVDQSERFVMSGGEDCYLRLWSIKSGKMLFGEKFSDSMLTNICWRRAQSTLGKEGEIRQNHNCRAWLASEEGLFHMHWS >KJB40272 pep chromosome:Graimondii2_0_v6:7:3865080:3871001:-1 gene:B456_007G055200 transcript:KJB40272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDLPGFYYDAEKNRYFPNKPRIPGSSSFSNASPSQNNPLSSSLQATKLCPKTRVPASKLLHLRELNGNAFSYDRGRHSFVEEVHKLHASKPVVWRYGATDENLLRNIRYSALEQTQIDVQTLEGQMETEVLLAGSMDGSLSLLKVGSERHFDYGITHIPDRVWPSTKGDAESDETPPYVSRPAAAPLHMPSRISSIRLCEKQSFSTNNYDSKFQCSLITTLGSETYGGFVYILNLLEPVDFTSRLDRRLHAVATFNHTIWTADYSFNTAQAAIGTDIGVALVNVERGATKWVCRSKSDVLALQFDQTGNIVLCGLRNGAIVTVDVRENQERMFSRLTKLKIPYSSSGRSSQKRWFEIKGLISPSHTIHMPSSISSLVSLQSYDQYFLASSMDGSMKLYDHRLTKRGAVQSYGGHVNSHTRIQLGVDQSERFVMSGGEDCYLRLWSIKSGKMLFGEKFSDSMLTNICWRRAQSTLGKEGEIRQNHNCRAWLASEEGLFHMHWS >KJB44977 pep chromosome:Graimondii2_0_v6:7:48538570:48541002:1 gene:B456_007G283400 transcript:KJB44977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQQRIQIPRVKLGTQGLEVSKLGFGCMGLTGVYNDPVPEEIGISIIKHAFHRGITFFDTSDFYGPKTNEILIGKALKQLPREKVQLATKFGIQNMDSTGFIVNGTPQYVRTCIEASLKRLDVDYIDLYYQHRVDTNNPIEDTMSELKKLVEEGKIKYIGLSEASPETIKRAHAVHPITALQMEWSLWTRDIEDEIVPLCRELGIGIVPYSPLGGSFFAGRGVMETVPANSMLTYISRFQGENLDKNKKLYLKTEKLAKKHGCTSAQVALAWVLHQGDDVAPLPGTTKIKNLDSNIGSLKVKLTAEDLKEISDAVPINEVAGNPMPDILSRFSWKFSNTPPKGSKVST >KJB44978 pep chromosome:Graimondii2_0_v6:7:48538863:48541002:1 gene:B456_007G283400 transcript:KJB44978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQQRIQIPRVKLGTQGLEVSKLGFGCMGLTGVYNDPVPEEIGISIIKHAFHRGITFFDTSDFYGPKTNEILIGKALKQLPREKVQLATKFGIQNMDSTGFIVNGTPQYVRTCIEASLKRLDVDYIDLYYQHRVDTNNPIEDTMSELKKLVEEGKIKYIGLSEASPETIKRAHAVHPITALQMEWSLWTRDIEDEIVPLCRELGIGIVPYSPLGGSFFAGRGVMETVPANSMLVWELTYFYVFKLSLIFVW >KJB39790 pep chromosome:Graimondii2_0_v6:7:2108681:2110544:-1 gene:B456_007G030800 transcript:KJB39790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNVLLLNRSGQEGNEFPLQQQAGGVFLDQSHSHMLLNNNNNNPRKRGREVAGAAITAPINSYSMQTQPPQLIELSQLHHPNVVSTGLRLSFGDQQQKLQQHQNQNQNQSYRQQQQQQQNLVSNSSVFASDDLVTQIKRQRDELDHFLQAQGEELRRTLAEKRHRHYRALLEAAEESVARRFREKEAEVEKAKRRNVELEARAAQLGAEVQVWQAKAKAQEVTAASLQAQLQQAIMNGGAGLTQQDSRRGGEEWRKCAGGESEAQAEDAESAYVDPERVVAAASGPCCKACRTRVAAVVLLPCRHLCLCTECDRVAQACPLCLTLRNSSVEVFLS >KJB39791 pep chromosome:Graimondii2_0_v6:7:2108804:2110498:-1 gene:B456_007G030800 transcript:KJB39791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNNNNNNPRKRGREVAGAAITAPINSYSMQTQPPQLIELSQLHHPNVVSTGLRLSFGDQQQKLQQHQNQNQNQSYRQQQQQQQNLVSNSSVFASDDLVTQIKRQRDELDHFLQAQGEELRRTLAEKRHRHYRALLEAAEESVARRFREKEAEVEKAKRRNVELEARAAQLGAEVQVWQAKAKAQEVTAASLQAQLQQAIMNGGAGLTQQDSRRGGEEWRKCAGGESEAQAEDAESAYVDPERVVAAASGPCCKACRTRVAAVVLLPCRHLCLCTECDRVAQACPLCLTLRNSSVEVFLS >KJB44911 pep chromosome:Graimondii2_0_v6:7:47734881:47740011:1 gene:B456_007G279300 transcript:KJB44911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQTSMAVFSSSTSEPVSSFKPSQFLSRPPPSLSLRFFSVSIANDNCNRNHRLRHSSSSSSLKLYNPIFACRASRDSHETDSSRRKDDDSPVHGLSEKIVGVLGGGQLGRMLCQAASKMAIKVMVLDPSENCPASALAYDHMVRSFDDSATVEEFARRCGVLTVEIEHVDVATLEKLEQQGIDCEPKASTIRIIQDKYLQKVHFSRHGIPLPEFMEINNLEETKRAGELYGYPLMIKSKRLAYDGRGNAVAKSEGELSSAIDVLGGFGRGLYVEKWAPFIKELAVTVARGRDNSILSYPVVETIHKENICHIVKAPADVPWRIRKLANDVAYKAISSLEGAGVFAVELFLTRDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNLLGEDEGEPGFKVAHQLIARALEIPGATAHWYDKPEMRRQRKMGHITLVGPSVGVLEARLKSMLREEGYENPNEVAPRVGIVMGSDSDLPVMKDAARILNMFGVSTEVRIVSAHRTPDLMYSYASSARERGIQVIIAGAGGAAHLPGMVASLTPLPVIGVPVRASTLDGIDSLLSIVQMPRGVPVATVAVNNATNAGLLAVRMLGIGDADLLARMNQYQEDTRDYVLTKAEKLRKDGWEAYLNQ >KJB44913 pep chromosome:Graimondii2_0_v6:7:47734969:47739890:1 gene:B456_007G279300 transcript:KJB44913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQTSMAVFSSSTSEPVSSFKPSQFLSRPPPSLSLRFFSVSIANDNCNRNHRLRHSSSSSSLKLYNPIFACRASRDSHETDSSRRKDDDSPVHGLSEKIVGVLGGGQLGRMLCQAASKMAIKVMVLDPSENCPASALAYDHMVRSFDDSATVEEFARRCGVLTVEIEHVDVATLEKLEQQGIDCEPKASTIRIIQDKYLQKVHFSRHGIPLPEFMEINNLEETKRAGELYGYPLMIKSKRLAYDGRGNAVAKSEGELSSAIDVLGGFGRGLYVEKWAPFIKELAVTVARGRDNSILSYPVVETIHKENICHIVKAPADVPWRIRKLANDVAYKAISSLEGAGVFAVELFLTRDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNLLGEDEGEPGFKVAHQLIARALEIPGATAHWYDKPEMRRQRKMGHITLVGPSVGVLEARLKSMLREEGYENPNEVAPRVGIVMGSDSDLPVMKDAARILNMFGVSTEVRIVSAHRTPDLMYSYASSARERGIQVIIAGAGGAAHLPG >KJB44915 pep chromosome:Graimondii2_0_v6:7:47734969:47739890:1 gene:B456_007G279300 transcript:KJB44915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQTSMAVFSSSTSEPVSSFKPSQFLSRPPPSLSLRFFSVSIANDNCNRNHRLRHSSSSSSLKLYNPIFACRASRDSHETDSSRRKDDDSPVHGLSEKIVGVLGGGQLGRMLCQAASKMAIKVMVLDPSENCPASALAYDHMVRSFDDSATVEEFARRCGVLTVEIEHVDVATLEKLEQQGIDCEPKASTIRIIQDKYLQKVHFSRHGIPLPEFMEINNLEETKRAGELYGYPLMIKSKRLAYDGRGNAVAKSEGELSSAIDVLGGFGRGLYVEKWAPFIKELAVTVARGRDNSILSYPVVETIHKENICHIVKAPADVPWRIRKLANDVAYKAISSLEGAGVFAVELFLTRDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNLLGEDEGEPGFKVAHQLIARALEIPGATAHWYDKPEMRRQRKMGHITLVGPSVGVLEARLKSMLREEGYENPNEVAPRVGIVMGSDSDLPVMKDAARILNMFGVSTEVRIVSAHRTPDLMYSYASSARERGIQVIIAGAGGAAHLPGMVASLTPLPVIGVPVRASTLDGIDSLLSIVQMPRGVPVATVAVNNATNAGLLAVRMLGIGDADLLAR >KJB44912 pep chromosome:Graimondii2_0_v6:7:47734969:47739027:1 gene:B456_007G279300 transcript:KJB44912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQTSMAVFSSSTSEPVSSFKPSQFLSRPPPSLSLRFFSVSIANDNCNRNHRLRHSSSSSSLKLYNPIFACRASRDSHETDSSRRKDDDSPVHGLSEKIVGVLGGGQLGRMLCQAASKMAIKVMVLDPSENCPASALAYDHMVRSFDDSATVEEFARRCGVLTVEIEHVDVATLEKLEQQGIDCEPKASTIRIIQDKYLQKVHFSRHGIPLPEFMEINNLEETKRAGELYGYPLMIKSKRLAYDGRGNAVAKSEGELSSAIDVLGGFGRGLYVEKWAPFIKELAVTVARGRDNSILSYPVVETIHKENICHIVKAPADVPWRIRKLANDVAYKAISSLEGAGVFAVELFLTRDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNLLGEDEGEPGFKVAHQLIARALEIPGATAHWYDKPEMRRQRKMGHITLVGPSVGVLEARLKSMLREEGYENPNEVAPRVGIVMGSDSDLPVMKDAARILNMFGVSTEVAFIF >KJB44914 pep chromosome:Graimondii2_0_v6:7:47734969:47739890:1 gene:B456_007G279300 transcript:KJB44914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQTSMAVFSSSTSEPVSSFKPSQFLSRPPPSLSLRFFSVSIANDNCNRNHRLRHSSSSSSLKLYNPIFACRASRDSHETDSSRRKDDDSPVHGLSEKIVGVLGGGQLGRMLCQAASKMAIKVMVLDPSENCPASALAYDHMVRSFDDSATVEEFARRCGVLTVEIEHVDVATLEKLEQQGIDCEPKASTIRIIQDKYLQKVHFSRHGIPLPEFMEINNLEETKRAGELYGYPLMIKSKRLAYDGRGNAVAKSEGELSSAIDVLGGFGRGLYVEKWAPFIKELAVTVARGRDNSILSYPVVETIHKENICHIVKAPADVPWRIRKLANDVAYKAISSLEGAGVFAVELFLTRDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNLLGEDEGEPGFKVAHQLIARALEIPGATAHWYDKPEMRRQRKMGHITLVGPSVGVLEARLKSMLREEGYENPNEVAPRVGIVMGSDSDLPVMKDAARILNMFGVSTEVW >KJB39291 pep chromosome:Graimondii2_0_v6:7:449658:455829:-1 gene:B456_007G005500 transcript:KJB39291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSTERINIAASAQRLDVDNRIPLRYYYRIADNILKQADIFRAEKNIIDLYIMLLRFSGLVSETIPFHRDYRASLQSQKVYLKSRLLNALNELEELKPAVQQKINELNRRYTNQSNSLQQSAVKNQSLTYYGMTKAVKPAAREFNSYGPIAQQLSYSKPMEEQFRRMSLNLMRPKDETLSKHSILGPNGLYGQWQPPKTNIRVQYPSNIDLTPIEFPSLQQAIQNKLPDKSDHSNSEPEASSIESTVTVGNCENSQKSHVDEPCPMISFEESETAPVHINVTRQPSPPPVLAEVQDLVHAISPQVKETDCRIDNPSTEALVPSESPLQLHISTTMMESFMKLAKSNTNRNLETCGVLAGSLKNRKFYVTALIIPKQESTSDSCQTTNEEEIFEVQDKRSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQIMLPESVAIVMAPRDASRKHGIFRLTTPGGMSVIRQCQKRGFHPHIQPPDGGPLYSTCTDVYMNPNLNFDVIDLR >KJB39288 pep chromosome:Graimondii2_0_v6:7:449724:455829:-1 gene:B456_007G005500 transcript:KJB39288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSTERINIAASAQRLDVDNRIPLRYYYRIADNILKQADIFRAEKNIIDLYIMLLRFSGLVSETIPFHRDYRASLQSQKVYLKSRLLNALNELEELKPAVQQKINELNRRYTNQSNSLQQSAVKNQSLTYYGMTKAVKPAAREFNSYGPIAQQLSYSKPMEEQFRRMSLNLMRPKDETLSKHSILGPNGLYGQWQPPKTNIRVQYPSNIDLTPIEFPSLQQAIQNKLPDKSDHSNSEPEASSIESTVTVGNCENSQKSHVDEPCPMISFEESETAPVHINVTRQPSPPPVLAEVQDLVHAISPQVKETDCRIDNPSTEALVPSESPLQLHISTTMMESFMKLAKSNTNRNLETCGVLAGSLKNRKFYVTALIIPKQESTSDSCQTTNEEEIFEVQDKRSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQIMLPESVAIVMAPRDASRKHGIFRLTTPGGMSVIRQCQKRGFHPHIQPPDGGPLYSTCTDVYMNPNLNFDVIDLR >KJB39289 pep chromosome:Graimondii2_0_v6:7:451654:455531:-1 gene:B456_007G005500 transcript:KJB39289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSTERINIAASAQRLDVDNRIPLRYYYRIADNILKQADIFRAEKNIIDLYIMLLRFSGLVSETIPFHRDYRASLQSQKVYLKSRLLNALNELEELKPAVQQKINELNRRYTNQSNSLQQSAVKNQSLTYYGMTKAVKPAAREFNSYGPIAQQLSYSKPMEEQFRRMSLNLMRPKDETLSKHSILGPNGLYGQWQPPKTNIRVQYPSNIDLTPIEFPSLQQAIQNKLPDKSDHSNSEPEASSIESTVTVGNCENSQKSHVDEPCPMISFEESETAPVHINVTRQPSPPPVLAEVQDLVHAISPQVKETDCRIDNPSTEALVPSESPLQLHISTTMMESFMKLAKSNTNRNLETCGVLAGSLKNRKFYVTALIIPKQESTSDSCQTTNEEEIFEVQDKRSLFPLGWIHVSV >KJB39290 pep chromosome:Graimondii2_0_v6:7:449662:455829:-1 gene:B456_007G005500 transcript:KJB39290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSTERINIAASAQRLDVDNRIPLRYYYRIADNILKQADIFRAEKNIIDLYIMLLRFSGLVSETIPFHRDYRASLQSQKRLLNALNELEELKPAVQQKINELNRRYTNQSNSLQQSAVKNQSLTYYGMTKAVKPAAREFNSYGPIAQQLSYSKPMEEQFRRMSLNLMRPKDETLSKHSILGPNGLYGQWQPPKTNIRVQYPSNIDLTPIEFPSLQQAIQNKLPDKSDHSNSEPEASSIESTVTVGNCENSQKSHVDEPCPMISFEESETAPVHINVTRQPSPPPVLAEVQDLVHAISPQVKETDCRIDNPSTEALVPSESPLQLHISTTMMESFMKLAKSNTNRNLETCGVLAGSLKNRKFYVTALIIPKQESTSDSCQTTNEEEIFEVQDKRSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQIMLPESVAIVMAPRDASRKHGIFRLTTPGGMSVIRQCQKRGFHPHIQPPDGGPLYSTCTDVYMNPNLNFDVIDLR >KJB43474 pep chromosome:Graimondii2_0_v6:7:20568212:20571199:-1 gene:B456_007G202100 transcript:KJB43474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDAAQVVETPCHPSPFKVHHVMCTEFRKLVDRIMRIFPEIEAARPRCSSGITALCSLISAIDRAKLLLQYCSESSKLYLVITTDVLVSRFQKSKNLLEQGLCQIQNMVPVMLAVEISQIIDDLRAANFIPDKSEEEIGKVVRELLLGGVAESDSMDCAEIKALQTAASQLHFTSSKDILTERRSIRKLLGKVSDSDQQKKYILRCLLYLLRKYSNLIIKEQTDDKTDRNEGAFASNNPRTNCMHTHSVNAESHVEYKQHDVLADKSSIATLPDEFKCPISSRLMYDPVIIASGQTFERIWIQKWLDDGNDTCPKTGVKLAHLSFTPNSTMKDLILKWCLEYGITIQDPSSQPDVSHLLETSSTSIASFGSYINDLRFPVDISNISLGSLDASYTSSKKNGDGLSLLPEQNSDDLCRYQSPSNKSKMDLESLSSLLELDWEAQYKIVEDMKNHLECDELACFSLSLENFIEPLVKFLSSGHDLQDVRAQRAGFQLLSTFLRKNRNGIRYLNEDVYSLLSLFLDSEVTREVLDSMEVLSCHSSCKSKIAASGALVSLLNILDLNITDFPERAIKTLRNLSSSPDVCSNLERLECIPKLAPFLQDTTLARHCMVVLRNLCSNEAARASIAQTPGCIGSVAILLETNSHEDQEHALGILFSLCSQCVEYCQLVKDESDIFPALFDVSVNGSEKGKASAVELLRLLRDANYDGERKECFQSDNVAPEDANDNAKDKKSHKALFGVKLPMFSKSIPTKKKK >KJB43473 pep chromosome:Graimondii2_0_v6:7:20567616:20572280:-1 gene:B456_007G202100 transcript:KJB43473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIFPEIEAARPRCSSGITALCSLISAIDRAKLLLQYCSESSKLYLVITTDVLVSRFQKSKNLLEQGLCQIQNMVPVMLAVEISQIIDDLRAANFIPDKSEEEIGKVVRELLLGGVAESDSMDCAEIKALQTAASQLHFTSSKDILTERRSIRKLLGKVSDSDQQKKYILRCLLYLLRKYSNLIIKEQTDDKTDRNEGAFASNNPRTNCMHTHSVNAESHVEYKQHDVLADKSSIATLPDEFKCPISSRLMYDPVIIASGQTFERIWIQKWLDDGNDTCPKTGVKLAHLSFTPNSTMKDLILKWCLEYGITIQDPSSQPDVSHLLETSSTSIASFGSYINDLRFPVDISNISLGSLDASYTSSKKNGDGLSLLPEQNSDDLCRYQSPSNKSKMDLESLSSLLELDWEAQYKIVEDMKNHLECDELACFSLSLENFIEPLVKFLSSGHDLQDVRAQRAGFQLLSTFLRKNRNGIRYLNEDVYSLLSLFLDSEVTREVLDSMEVLSCHSSCKSKIAASGALVSLLNILDLNITDFPERAIKTLRNLSSSPDVCSNLERLECIPKLAPFLQDTTLARHCMVVLRNLCSNEAARASIAQTPGCIGSVAILLETNSHEDQEHALGILFSLCSQCVEYCQLVKDESDIFPALFDVSVNGSEKGKASAVELLRLLRDANYDGERKECFQSDNVAPEDANDNAKDKKSHKALFGVKLPMFSKSIPTKKKK >KJB43472 pep chromosome:Graimondii2_0_v6:7:20567616:20570589:-1 gene:B456_007G202100 transcript:KJB43472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVMLAVEISQIIDDLRAANFIPDKSEEEIGKVVRELLLGGVAESDSMDCAEIKALQTAASQLHFTSSKDILTERRSIRKLLGKVSDSDQQKKYILRCLLYLLRKYSNLIIKEQTDDKTDRNEGAFASNNPRTNCMHTHSVNAESHVEYKQHDVLADKSSIATLPDEFKCPISSRLMYDPVIIASGQTFERIWIQKWLDDGNDTCPKTGVKLAHLSFTPNSTMKDLILKWCLEYGITIQDPSSQPDVSHLLETSSTSIASFGSYINDLRFPVDISNISLGSLDASYTSSKKNGDGLSLLPEQNSDDLCRYQSPSNKSKMDLESLSSLLELDWEAQYKIVEDMKNHLECDELACFSLSLENFIEPLVKFLSSGHDLQDVRAQRAGFQLLSTFLRKNRNGIRYLNEDVYSLLSLFLDSEVTREVLDSMEVLSCHSSCKSKIAASGALVSLLNILDLNITDFPERAIKTLRNLSSSPDVCSNLERLECIPKLAPFLQDTTLARHCMVVLRNLCSNEAARASIAQTPGCIGSVAILLETNSHEDQEHALGILFSLCSQCVEYCQLVKDESDIFPALFDVSVNGSEKGKASAVELLRLLRDANYDGERKECFQSDNVAPEDANDNAKDKKSHKALFGVKLPMFSKSIPTKKKK >KJB43627 pep chromosome:Graimondii2_0_v6:7:23008460:23009829:-1 gene:B456_007G211300 transcript:KJB43627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYVCLFHKDTVIVKSPRKSPVFLRTIVLLFGIVCGIYICTVCLRQINTVSKIKTQNLQVIESPSPDSNILAQLEARIPTLHYPKPQTFSRGECIQNPVRFFAILSMQRSGSGWFETLLNSHINISSNGEIFCAMDRRKNISTIMQTLDRVYKLDWFNSASKNECSAAVGFKWMLNQRKKMFDKIPSEKKMNLRGFGQETSNCH >KJB43628 pep chromosome:Graimondii2_0_v6:7:23006779:23009273:-1 gene:B456_007G211300 transcript:KJB43628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDTVIVKSPRKSPVFLRTIVLLFGIVCGIYICTVCLRQINTVSKIKTQNLQVIESPSPDSNILAQLEARIPTLHYPKPQTFSRGECIQNPVRFFAILSMQRSGSGWFETLLNSHINISSNGEIFCAMDRRKNISTIMQTLDRVYKLDWFNSASKNECSAAVGFKWMLNQGLIEYHKEIVEYFNYRGVSVVFLFRRNLLRRMVSLLANSHDRYAKLLNGTHKSHVHSQEEAAALSSYKPIINSTSLISDLREVEMDAVKALEYFNSTRHMVVYYEDLITNNTKLNDVQEFLGLPRKELTSRQVKIHKGLLSDFVKNWDDVIKTLNGTQYERFLQADY >KJB43630 pep chromosome:Graimondii2_0_v6:7:23006779:23010115:-1 gene:B456_007G211300 transcript:KJB43630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYVCLFHKDTVIVKSPRKSPVFLRTIVLLFGIVCGIYICTVCLRQINTVSKIKTQNLQVIESPSPDSNILAQLEARIPTLHYPKPQTFSRGECIQNPVRFFAILSMQRSGSGWFETLLNSHINISSNGEIFCAMDRRKNISTIMQTLDRVYKLDWFNSASKNECSAAVGFKWMLNQGLIEYHKEIVEYFNYRGVSVVFLFRRNLLRRMVSLLANSHDRYAKLLNGTHKSHVHSQEESLNVGGCSFKLQADNQLYIINK >KJB43626 pep chromosome:Graimondii2_0_v6:7:23006775:23010115:-1 gene:B456_007G211300 transcript:KJB43626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYVCLFHKDTVIVKSPRKSPVFLRTIVLLFGIVCGIYICTVCLRQINTVSKIKTQNLQVIESPSPDSNILAQLEARIPTLHYPKPQTFSRGECIQNPVRFFAILSMQRSGSGWFETLLNSHINISSNGEIFCAMDRRKNISTIMQTLDRVYKLDWFNSASKNECSAAVGFKWMLNQGLIEYHKEIVEYFNYRGVSVVFLFRRNLLRRMVSLLANSHDRYAKLLNGTHKSHVHSQEEAAALSSYKPIINSTSLISDLREVEMDAVKALEYFNSTRHMVVYYEDLITNNTKLNDVQEFLGLPRKELTSRQVKIHKGLLSDFVKNWDDVIKTLNGTQYERFLQADY >KJB43629 pep chromosome:Graimondii2_0_v6:7:23007889:23009254:-1 gene:B456_007G211300 transcript:KJB43629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDTVIVKSPRKSPVFLRTIVLLFGIVCGIYICTVCLRQINTVSKIKTQNLQVIESPSPDSNILAQLEARIPTLHYPKPQTFSRGECIQNPVRFFAILSMQRSGSGWFETLLNSHINISSNGEIFCAMDRRKNISTIMQTLDRVYKLDWFNSASKNECSAAVGFKWMLNQGLIEYHKEIVEYFNYRGVSVVFLFRRNLLRRMVSLLANSHDRYAKLLNGTHKSHVHSQEESLNVGGCSFKLQADNQLYIINK >KJB43631 pep chromosome:Graimondii2_0_v6:7:23006824:23010109:-1 gene:B456_007G211300 transcript:KJB43631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYVCLFHKDTVIVKSPRKSPVFLRTIVLLFGIVCGIYICTVCLRQINTVSKIKTQNLQVIESPSPDSNILAQLEARIPTLHYPKPQTFSRGECIQNPVRFFAILSMQRSGSGWFETLLNSHINISSNGEIFCAMDRRKNISTIMQTLDRVYKLDWFNSASKNECSAAVGFKWMLNQGLIEYHKEIVEYFNYRGVSVVFLFRRNLLRRMVSLLANSHDRYAKLLNGTHKSHVHSQEEAAALSSYKPIINSTSLISDLREVEMDAVKALEYFNSTRHMVVYYEDLITNNTVRIVTSFRKIFTILPDC >KJB40246 pep chromosome:Graimondii2_0_v6:7:10214795:10216285:-1 gene:B456_007G128000 transcript:KJB40246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGAAAGPKGKKKGASFTIDCSKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTRDKSKITVSSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRTVYELRYFNIAENEGEEEE >KJB40244 pep chromosome:Graimondii2_0_v6:7:10215266:10216160:-1 gene:B456_007G128000 transcript:KJB40244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGAAAGPKGKKKGASFTIDCSKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTRDKSKITVSSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRTVYELRYFNIAENEGEEEE >KJB40245 pep chromosome:Graimondii2_0_v6:7:10214661:10216424:-1 gene:B456_007G128000 transcript:KJB40245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGAAAGPKGKKKGASFTIDCSKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTRDKSKITVSSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRTVYELRYFNIAENEGEEEE >KJB44550 pep chromosome:Graimondii2_0_v6:7:42291966:42292681:1 gene:B456_007G259100 transcript:KJB44550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHRSLVIFAIVAFMAPTISLAMDYVVGDDNGWKLEVNYTDWAKDKQFYVGDTLLFKYNNASHNVYKVTGDDFNSCNVPSNNSLGLFTGNDKINLAAAGKKWYICGFTGHCNQGMKFKITVLDGTAPAPPPNAASTLLAKATNFQIVLGMTLSIAAALIMV >KJB44787 pep chromosome:Graimondii2_0_v6:7:46556898:46559887:1 gene:B456_007G273300 transcript:KJB44787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNSFVQEWGSDCVNESPVNSATLKYTEFLLATASGKVEGLKAPGKLATPFEKTKIAAYTLGAMTPCMRLYAFLGKEFQRLLEPNEHNHPYKKWIENYSSESFQASALQTEDLLDKLSVPLTGEELNIIEKLYHQAMKLEIEFFYSQPLTQPTIAPLTKQHDPEQDHLMIFSDFDLTCTVVDSSAILAEIAIVTASKPDQNQPASQIARMSSAELRNTWGLLSTQYTEEYEQCIESILPSEKVEFNYETLHKALEQLSDFEKRANSRVIESGVLKGLNLEDIKRAGQRLILQDGCISFFQKITKNKSLNANIHVLSYCWCGDLIRTAFSSGGVDVLNIHANEFNYEESISTGEIVWKVQSPIDKIQAFNDILQDCSNERKKLSVYIGDSVGDLLCLVKADIGIVIGSSSSLRKVGSQYGVSFVPLFPGLVKKQKEFGEGASPCIWKGQSGILYTASSWDDIHAFILGW >KJB44786 pep chromosome:Graimondii2_0_v6:7:46555809:46559887:1 gene:B456_007G273300 transcript:KJB44786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLFSSSPHPIPTLFRLARSHRPVCSSPPPPTWRSSNPMAILPKSSAAAVIPSEERLATRFWIKYRRESVLSLYSPFALCLASGSLKIETFRHYIAQDVHFLKAFAHAYELAEDCADDDDAKLAISELRKGILEELKMHNSFVQEWGSDCVNESPVNSATLKYTEFLLATASGKVEGLKAPGKLATPFEKTKIAAYTLGAMTPCMRLYAFLGKEFQRLLEPNEHNHPYKKWIENYSSESFQASALQTEDLLDKLSVPLTGEELNIIEKLYHQAMKLEIEFFYSQPLTQPTIAPLTKQHDPEQDHLMIFSDFDLTCTVVDSSAILAEIAIVTASKPDQNQPASQIARMSSAELRNTWGLLSTQYTEEYEQCIESILPSEKVEFNYETLHKALEQLSDFEKRANSRVIESGVLKGLNLEDIKRAGQRLILQDGCISFFQKITKNKSLNANIHVLSYCWCGDLIRTAFSSGGVDVLNIHANEFNYEESISTGEIVWKVQSPIDKIQAFNDILQDCSNERKKLSVYIGDSVGDLLCLVKADIGIVIGSSSSLRKVGSQYGVSFVPLFPGLVKKQKEFGEGASPCIWKGQSGILYTASSWDDIHAFILGW >KJB40385 pep chromosome:Graimondii2_0_v6:7:4321071:4324171:1 gene:B456_007G061400 transcript:KJB40385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANSLEKKSRSGFWSTVFGRCKCWPRRMSISTGSIPNQNNNNVKTSNWNTRRGRSDVDQGLDGGSYLKSPPNHSMLVVVHQQNQVCKPPVESTRITTNQRKVPKEAISISGELESMIMDYQKVKGNNSNLVRASSGNFMLYGHLGNLRQPVEGENKKEDNKVEEKPEPPSLQCKALATRRDSEKLKNLGNIDYNKGNFGEALSLYEAAIAIDPFKASYRTYRSAALEAAGRILEAVSECREAIRIEPRYHRAHHRLGNLYIRLGEVEKAMYHLKRAGPEADSDHIAKAKTLQERINKCTEAKRLRTWNVLVKETDSAIKAGADSSPFMYAFKAEALLKLHRHQEANETLLQGPKYNDEDCIKHFGPIGNANLLVVQAKVDMALGRFDDALAAMERAVRLDSNSKEANSAMSKARALATFETVGNEHFKTSNFYDACISYGEGLAHDPRNSVLLLNRAICYSKLGQDELAIEDCTRALSVRPGYTKARLKRAHCNSKLERWEASIEDYEILKKETPNDEEVKQGLSKARRQLKNKMTG >KJB38984 pep chromosome:Graimondii2_0_v6:7:9407335:9407758:-1 gene:B456_007G119600 transcript:KJB38984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQATSSLTPVMDPYGIPQAVKVLDSMSEEVPEASPLYFFALKLLLNKDKRIMFLSINPNIRALWLKSEMEDS >KJB39888 pep chromosome:Graimondii2_0_v6:7:2456221:2460996:-1 gene:B456_007G035900 transcript:KJB39888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMFFFQVKSFRTTVEVDDWLFNNRMHVPGALHFQQVNASVISYGLQTNSTPVARRGQYEDPTLKFQIPLQVAAEREIARSLIGDPSFRWTVEFKEFAHPAMERLETIAMVGPTFFLAIAMFSFVFQIGSLVSEKELKLRQAMTMMGLLDSAYWLSWLTWEGLMTLISSLFIILFGMMFQFDFFLNNSFAVLLFIFFLFQLNMVGFAFMLSAFMSKSSSATTVGFSIFIIGFFTQIVTTVGFPYSDSYSQTLQSIWSLFPPNLLAQALRLLSDATASSTDIGISWSRRTECAPNDDECVITINDIYIWLLATFIVWVVLAIYFDNIIPNASGVRKPILYFLRPGYWTGKGGKEKEGGVCSCIGKAPPLEHNTPDDEDVLEEENLVRTQTREGTVDPNVAVQIRGLAKTFPGTRTCGFCCKCKKTAPYHAVKGLWVNLAKDQLFCLLGPNGAGKTTAINCLTGITPVTNGDALIYGNSVRSSVGMSNIRRIIGVCPQFDILWNALSGKEHLELFASVKGLLPSTINSVVQKSLEEVRLTEAAKVRAGSYSGGMKRRLSVAVALLGDPKLVILDEPTTGMDPITRRHVWDIIESAKRGRAIVLTTHSMEEADVLSDRIGIMVKGRLSCLGTSIRLKSRFGTGFIANVSFTGNNNGLSPPNGDAADTTHQRESVKHFFKKHLDVEPKEETQNFLTFVIPHDREKLLTGFFKELEERAGEFGIADIQLGLATLEEVFLNIARQAELESAAAEGRLVTLTITSGASVQIPIGARFVGIPGTESAENPRGIMVEVYWQQDDTGTLCISGHSAEIPLPQNFQPMASVPSHTRNLFGRRGPVQGVVINPDESFRPTS >KJB39889 pep chromosome:Graimondii2_0_v6:7:2456221:2461721:-1 gene:B456_007G035900 transcript:KJB39889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSGIGLLYLQFRALLKKNLILSWRSKRSTFLQLFSSLFFIFLIYCIEKATNAQNSNSTAYKVIRDPKPLVAPVIPPCEDKFFIKLPCYDFVWSGNESQTVDRIVRAIRENNPGRPIPESKVKSFRTTVEVDDWLFNNRMHVPGALHFQQVNASVISYGLQTNSTPVARRGQYEDPTLKFQIPLQVAAEREIARSLIGDPSFRWTVEFKEFAHPAMERLETIAMVGPTFFLAIAMFSFVFQIGSLVSEKELKLRQAMTMMGLLDSAYWLSWLTWEGLMTLISSLFIILFGMMFQFDFFLNNSFAVLLFIFFLFQLNMVGFAFMLSAFMSKSSSATTVGFSIFIIGFFTQIVTTVGFPYSDSYSQTLQSIWSLFPPNLLAQALRLLSDATASSTDIGISWSRRTECAPNDDECNDIYIWLLATFIVWVVLAIYFDNIIPNASGVRKPILYFLRPGYWTGKGGKEKEGGVCSCIGKAPPLEHNTPDDEDVLEEENLVRTQTREGTVDPNVAVQIRGLAKTFPGTRTCGFCCKCKKTAPYHAVKGLWVNLAKDQLFCLLGPNGAGKTTAINCLTGITPVTNGDALIYGNSVRSSVGMSNIRRIIGVCPQFDILWNALSGKEHLELFASVKGLLPSTINSVVQKSLEEVRLTEAAKVRAGSYSGGMKRRLSVAVALLGDPKLVILDEPTTGMDPITRRHVWDIIESAKRGRAIVLTTHSMEEADVLSDRIGIMVKGRLSCLGTSIRLKSRFGTGFIANVSFTGNNNGLSPPNGDAADTTHQRESVKHFFKKHLDVEPKEETQNFLTFVIPHDREKLLTGFFKELEERAGEFGIADIQLGLATLEEVFLNIARQAELESAAAEGRLVTLTITSGASVQIPIGARFVGIPGTESAENPRGIMVEVYWQQDDTGTLCISGHSAEIPLPQNFQPMASVPSHTRNLFGRRGPVQGVVINPDESFRPTS >KJB39890 pep chromosome:Graimondii2_0_v6:7:2456221:2461721:-1 gene:B456_007G035900 transcript:KJB39890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSGIGLLYLQFRALLKKNLILSWRSKRSTFLQLFSSLFFIFLIYCIEKATNAQNSNSTAYKVIRDPKPLVAPVIPPCEDKFFIKLPCYDFVWSGNESQTVDRIVRAIRENNPGRPIPESKVKSFRTTVEVDDWLFNNRMHVPGALHFQQVNASVISYGLQTNSTPVARRGQYEDPTLKFQIPLQVAAEREIARSLIGDPSFRWTVEFKEFAHPAMERLETIAMVGPTFFLAIAMFSFVFQIGSLVSEKELKLRQAMTMMGLLDSAYWLSWLTWEGLMTLISSLFIILFGMMFQFDFFLNNSFAVLLFIFFLFQLNMVGFAFMLSAFMSKSSSATTVGFSIFIIGFFTQIVTTVGFPYSDSYSQTLQSIWSLFPPNLLAQALRLLSDATASSTDIGISWSRRTECAPNDDECVITINDIYIWLLATFIVWVVLAIYFDNIIPNASGVRKPILYFLRPGYWTGKGGKEKEGGVCSCIGKAPPLEHNTPDDEDVLEEENLVRTQTREGTVDPNVAVQIRGLAKTFPGTRTCGFCCKCKKTAPYHAVKGLWVNLAKDQLFCLLGPNGAGKTTAINCLTGITPVTNGDALIYGNSVRSSVGMSNIRRIIGVCPQFDILWNALSGKEHLELFASVKGLLPSTINSVFQKSLEEVRLTEAAKVRAGSYSGGMKRRLSVAVALLGDPKLVILDEPTTGMDPITRRHVWDIIESAKRGRAIVLTTHSMEEADVLSDRIGIMVKGRLSCLGTSIRLKSRFGTGFIANVSFTGNNNGLSPPNGDAADTTHQRESVKHFFKKVYVEPKEETQNFLTFVIPHDREKLLTGFFKELEERAGEFGIADIQLGLATLEEVFLNIARQAELESAAAEGRLVTLTITSGASVQIPIGARFVGIPGTESAENPRGIMVEVYWQQDDTGTLCISGHSAEIPLPQNFQPMASVPSHTRNLFGRRGPVQGVVINPDESFRPTS >KJB39891 pep chromosome:Graimondii2_0_v6:7:2456221:2461721:-1 gene:B456_007G035900 transcript:KJB39891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSGIGLLYLQFRALLKKNLILSWRSKRSTFLQLFSSLFFIFLIYCIEKATNAQNSNSTAYKVIRDPKPLVAPVIPPCEDKFFIKLPCYDFVWSGNESQTVDRIVRAIRENNPGRPIPESKVKSFRTTVEVDDWLFNNRMHVPGALHFQQVNASVISYGLQTNSTPVARRGQYEDPTLKFQIPLQVAAEREIARSLIGDPSFRWTVEFKEFAHPAMERLETIAMVGPTFFLAIAMFSFVFQIGSLVSEKELKLRQAMTMMGLLDSAYWLSWLTWEGLMTLISSLFIILFGMMFQFDFFLNNSFAVLLFIFFLFQLNMVGFAFMLSAFMSKSSSATTVGFSIFIIGFFTQIVTTVGFPYSDSYSQTLQSIWSLFPPNLLAQALRLLSDATASSTDIGISWSRRTECAPNDDECVITINDIYIWLLATFIVWVVLAIYFDNIIPNASGVRKPILYFLRPGYWTGKGGKEKEGGVCSCIGKAPPLEHNTPDDEDVLEEENLVRTQTREGTVDPNVAVQIRGLAKTFPGTRTCGFCCKCKKTAPYHAVKGLWVNLAKDQLFCLLGPNGAGKTTAINCLTGITPVTNGDALIYGNSVRSSVGMSNIRRIIGVCPQFDILWNALSGKEHLELFASVKGLLPSTINSVFQKSLEEVRLTEAAKVRAGSYSGGMKRRLSVAVALLGDPKLVILDEPTTGMDPITRRHVWDIIESAKRGRAIVLTTHSMEEADVLSDRIGIMVKGRLSCLGTSIRLKSRFGTGFIANVSFTGNNNGLSPPNGDAADTTHQRESVKHFFKKHLDVEPKEETQNFLTFVIPHDREKLLTGFFKELEERAGEFGIADIQLGLATLEEVFLNIARQAELESAAAEGRLVTLTITSGASVQIPIGARFVGIPGTESAENPRGIMVEVYWQQDDTGTLCISGHSAEIPLPQNFQPMASVPSHTRNLFGRRGPVQGVVINPDESFRPTS >KJB39887 pep chromosome:Graimondii2_0_v6:7:2456193:2461721:-1 gene:B456_007G035900 transcript:KJB39887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSGIGLLYLQFRALLKKNLILSWRSKRSTFLQLFSSLFFIFLIYCIEKATNAQNSNSTAYKVIRDPKPLVAPVIPPCEDKFFIKLPCYDFVWSGNESQTVDRIVRAIRENNPGRPIPESKVKSFRTTVEVDDWLFNNRMHVPGALHFQQVNASVISYGLQTNSTPVARRGQYEDPTLKFQIPLQVAAEREIARSLIGDPSFRWTVEFKEFAHPAMERLETIAMVGPTFFLAIAMFSFVFQIGSLVSEKELKLRQAMTMMGLLDSAYWLSWLTWEGLMTLISSLFIILFGMMFQFDFFLNNSFAVLLFIFFLFQLNMVGFAFMLSAFMSKSSSATTVGFSIFIIGFFTQIVTTVGFPYSDSYSQTLQSIWSLFPPNLLAQALRLLSDATASSTDIGISWSRRTECAPNDDECVITINDIYIWLLATFIVWVVLAIYFDNIIPNASGVRKPILYFLRPGYWTGKGGKEKEGGVCSCIGKAPPLEHNTPDDEDVLEEENLVRTQTREGTVDPNVAVQIRGLAKTFPGTRTCGFCCKCKKTAPYHAVKGLWVNLAKDQLFCLLGPNGAGKTTAINCLTGITPVTNGDALIYGNSVRSSVGMSNIRRIIGVCPQFDILWNALSGKEHLELFASVKGLLPSTINSVVQKSLEEVRLTEAAKVRAGSYSGGMKRRLSVAVALLGDPKLVILDEPTTGMDPITRRHVWDIIESAKRGRAIVLTTHSMEEADVLSDRIGIMVKGRLSCLGTSIRLKSRFGTGFIANVSFTGNNNGLSPPNGDAADTTHQRESVKHFFKKHLDVEPKEETQNFLTFVIPHDREKLLTGFFKELEERAGEFGIADIQLGLATLEEVFLNIARQAELESAAAEGRLVTLTITSGASVQIPIGARFVGIPGTESAENPRGIMVEVYWQQDDTGTLCISGHSAEIPLPQNFQPMASVPSHTRNLFGRRGPVQGVVINPDESFRPTS >KJB40054 pep chromosome:Graimondii2_0_v6:7:3103934:3109988:1 gene:B456_007G044600 transcript:KJB40054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRTGSPVYGRQWSGGSSSSGSSSPAHPQSRVQLGANSGFSTIKRTQNVAAKAAAQRLAQVMASQTADDDEEDDDLGFRFGGPPVPSSFSNNGLNHSTLPAISIARPNRSPSPALGRNFVEHAPSVRSTSAGRPAVRSTTTTLMPPSRTSVRTPVTIPPIDPPKRSRDKRFTADVGQLKAKDAGDQREASALCDELDMLQEENENLLDKLRSAEERREEAEARARELEKQVASLGEGVSLEAKLLTLRQREAALKAAKQTKDGREEEIAALRSELENLKDGTATVVEQLHEAESEAKALRSMTQRMVLTQEEMEEVVLKRCWLARYWGLAVQHGICADIAVSKHEHWSALAPLPFEIIVSAGQKAKEEAWDRGGGDLDRSKLVRDLNDLTGEGTIESMLSVEMALRELASLKVEDAVIQALGRHRRLSLLRQSVSDSKSPGDPKLIDAFELSEEEGEDVLFKEAWLTYFWRRAKVHCIEEDIAEDRLQFWISRSGQTPTSHDAVDVDRGLFELRKLGIEQQLWEASRKEIDHPSAASLSNNNDPENSL >KJB40055 pep chromosome:Graimondii2_0_v6:7:3105064:3109988:1 gene:B456_007G044600 transcript:KJB40055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAMLQLDMLQEENENLLDKLRSAEERREEAEARARELEKQVASLGEGVSLEAKLLSRKEAALRQREAALKAAKQTKDGREEEIAALRSELENLKDGTATVVEQLHEAESEAKALRSMTQRMVLTQEEMEEVVLKRCWLARYWGLAVQHGICADIAVSKHEHWSALAPLPFEIIVSAGQKAKEEAWDRGGGDLDRSKLVRDLNDLTGEGTIESMLSVEMALRELASLKVEDAVIQALGRHRRLSLLRQSVSDSKSPGDPKLIDAFELSEEEGEDVLFKEAWLTYFWRRAKVHCIEEDIAEDRLQFWISRSGQTPTSHDAVDVDRGLFELRKLGIEQQLWEASRKEIDHPSAASLSNNNDPENSL >KJB40052 pep chromosome:Graimondii2_0_v6:7:3103917:3110043:1 gene:B456_007G044600 transcript:KJB40052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRTGSPVYGRQWSGGSSSSGSSSPAHPQSRVQLGANSGFSTIKRTQNVAAKAAAQRLAQVMASQTADDDEEDDDLGFRFGGPPVPSSFSNNGLNHSTLPAISIARPNRSPSPALGRNFVEHAPSVRSTSAGRPAVRSTTTTLMPPSRTSVRTPVTIPPIDPPKRSRDKRFTADVGQLKAKDAGDQREASALCDELDMLQEENENLLDKLRSAEERREEAEARARELEKQVASLGEGVSLEAKLLSRKEAALRQREAALKAAKQTKDGREEEIAALRSELENLKDGTATVVEQLHEAESEAKALRSMTQRMVLTQEEMEEVVLKRCWLARYWGLAVQHGICADIAVSKHEHWSALAPLPFEIIVSAGQKAKEEAWDRGGGDLDRSKLVRDLNDLTGEGTIESMLSVEMALRELASLKVEDAVIQALGRHRRLSLLRQSVSDSKSPGDPKLIDAFELSEEEGEDVLFKEAWLTYFWRRAKVHCIEEDIAEDRLQFWISRSGQTPTSHDAVDVDRGLFELRKLGIEQQLWEASRKEIDHPSAASLSNNNDPENSL >KJB40053 pep chromosome:Graimondii2_0_v6:7:3103934:3109988:1 gene:B456_007G044600 transcript:KJB40053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSRTSVRTPVTIPPIDPPKRSRDKRFTADVGQLKAKDAGDQREASALCDELDMLQEENENLLDKLRSAEERREEAEARARELEKQVASLGEGVSLEAKLLSRKEAALRQREAALKAAKQTKDGREEEIAALRSELENLKDGTATVVEQLHEAESEAKALRSMTQRMVLTQEEMEEVVLKRCWLARYWGLAVQHGICADIAVSKHEHWSALAPLPFEIIVSAGQKAKEEAWDRGGGDLDRSKLVRDLNDLTGEGTIESMLSVEMALRELASLKVEDAVIQALGRHRRLSLLRQSVSDSKSPGDPKLIDAFELSEEEGEDVLFKEAWLTYFWRRAKVHCIEEDIAEDRLQFWISRSGQTPTSHDAVDVDRGLFELRKLGIEQQLWEASRKEIDHPSAASLSNNNDPENSL >KJB39094 pep chromosome:Graimondii2_0_v6:7:12569501:12571369:1 gene:B456_007G148400 transcript:KJB39094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGSQCYFFSATFYCKQRDFLFFQSGGLCQSLSSPRFLLSSAFRTISGIPLSRLIPSFTACNPAYASAVNGSSMLE >KJB39096 pep chromosome:Graimondii2_0_v6:7:12569501:12571369:1 gene:B456_007G148400 transcript:KJB39096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGSQCYFFSATFYCKQRDFLFFQSGGLCQSLSSPRFLLSSAFRTISGIPLSRLIPSFTACNPAYASAVNGSSMLE >KJB39095 pep chromosome:Graimondii2_0_v6:7:12569501:12571369:1 gene:B456_007G148400 transcript:KJB39095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGSQCYFFSATFYCKQRDFLFFQSGGLCQSLSSPRFLLSSAFRTISGIPLSRLIPSFTACNPAYASAVNGSSMLE >KJB41388 pep chromosome:Graimondii2_0_v6:7:7777632:7778986:1 gene:B456_007G103800 transcript:KJB41388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERDQVRPLAPAADAPTSDDGEAALQLKKVRRKKLIRCCACIAALFIILAVVIIVLIFTVFRVKDPIIKLNAVSLTNLDLINGSIPTSGANISLIADVSVKNPNIASFKYRNTTTTLYYYGTEVGDARGPGGTAKARRTIQMNITVDIITDRVLASPNLTADLTSGTLTMISYSRIGGRVNMLNIIKKHVTVTMNCTVTVNITSQAIQEQKCKHKVDL >KJB45665 pep chromosome:Graimondii2_0_v6:7:53471093:53478309:-1 gene:B456_007G319300 transcript:KJB45665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNMGGKHREFGGLDCGDDRAALLNPGNKSLTQIVQGDSFREFEFRQYLFACQSKLLFKLNHPFEVALRGYSFIISFSKALESNESILPFCMREVWVITACLALVDATSIQYNDEDVAPETEKEFYRVQGDLYSLCRIKLLRLAYLIGYGTEIERSPVNSASLSMLPWPKPAVWPSVPDDATSEVVVKEKMILQETPRVKHFGIQRKPLPLEPTILVREANQRRSSLSVGNSSEIFYGRVEFNDGLAADVPAKMPQADKAHEFMMSRTYSSPGNFDCSIGRPMRLAEIFVAAEHALKKTISNPDLQKILSSVENFEQKYMELTKGAADNYHHSWWKRYGVVLDGEIAAVCFKRGNFDLAAKSYEKVCALYAGEGWEDLLAEVLPSLAECQKSLNDEAGYLLSCVRLLSLDKGLFLSKERQAFQSEVVSLAHNEMTNHVPLDVSSLITFSGNPGPPMALCDGDTGTLSVTVWSGFPDDITLDSLTLTLMATYNADEGGKLRNSNATVLKPGRNTITFPLPPQKPGSYVLGVLTGHIGQLTFRSHSFSKGGPADSDDFMSYEKPTRPILKVFKPRPLADLSASISSALLINETQWIGIIAQPINYSLKGAVLHIDTGPGLMIEESHSIEMESNKKAGQGSVGTANSGDATKDSSVAAKDCSPAADKHFEQLCLVSGKIEFPDWASDVSSILWIPIRAIDDKLARGSSSGAPEKQSIVDRMRTIALKLEFGISKNQIYDTTIALHFSDPFHVSTRVANQCNDSTLLLQVTLHSQVNATLSVYDAWLDLHDGFTHAGQGNGRPISAFFPLVISPSSRAGLLFCLCLEKRTAEDENNVPPENILNIRYEIAGDRTIGAHPPVTMKSNENAEDTSKNLVFRSALVLQQPVLSPCLAVGFLPLPSDSIRVGQLVTMKWRVERLKDIEEKRVPQNNDKMLYEINANSDKWMIAGRKRGHVSLDMKQGSRIVISILCMPLVAGYIHPPHLGLPGIDEVSISCSPAGCHLVRVLPPAPSSSFCVPA >KJB45666 pep chromosome:Graimondii2_0_v6:7:53471372:53480672:-1 gene:B456_007G319300 transcript:KJB45666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVWVITACLALVDATSIQYNDEDVAPETEKEFYRVQGDLYSLCRIKLLRLAYLIGYGTEIERSPVNSASLSMLPWPKPAVWPSVPDDATSEVVVKEKMILQETPRVKHFGIQRKPLPLEPTILVREANQRRSSLSVGNSSEIFYGRVEFNDGLAADVPAKMPQADKAHEFMMSRTYSSPGNFDCSIGRPMRLAEIFVAAEHALKKTISNPDLQKILSSVENFEQKYMELTKGAADNYHHSWWKRYGVVLDGEIAAVCFKRGNFDLAAKSYEKVCALYAGEGWEDLLAEVLPSLAECQKSLNDEAGYLLSCVRLLSLDKGLFLSKERQAFQSEVVSLAHNEMTNHVPLDVSSLITFSGNPGPPMALCDGDTGTLSVTVWSGFPDDITLDSLTLTLMATYNADEGGKLRNSNATVLKPGRNTITFPLPPQKPGSYVLGVLTGHIGQLTFRSHSFSKGGPADSDDFMSYEKPTRPILKVFKPRPLADLSASISSALLINETQWIGIIAQPINYSLKGAVLHIDTGPGLMIEESHSIEMESNKKAGQGSVGTANSGDATKDSSVAAKDCSPAADKHFEQLCLVSGKIEFPDWASDVSSILWIPIRAIDDKLARGSSSGAPEKQSIVDRMRTIALKLEFGISKNQIYDTTIALHFSDPFHVSTRVANQCNDSTLLLQVTLHSQVNATLSVYDAWLDLHDGFTHAGQGNGRPISAFFPLVISPSSRAGLLFCLCLEKRTAEDENNVPPENILNIRYEIAGDRTIGAHPPVTMKSNENAEDTSKNLVFRSALVLQQPVLSPCLAVGFLPLPSDSIRVGQLVTMKWRVERLKDIEEKRVPQNNDKMLYEINANSDKWMIAGRKRGHVSLDMKQGSRIVISILCMPLVAGYIHPPHLGLPGIDEVSISCSPAGCHLVRVLPPAPSSSFCVPA >KJB45667 pep chromosome:Graimondii2_0_v6:7:53472537:53480642:-1 gene:B456_007G319300 transcript:KJB45667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYLAQFQTIKSTCAHLIIAVEDVSDLWPAVRNNFEERLPFRRACLNNKTRNPVFLENLPAEFVLTTDARLRSRFPQEQYLFWFREPYATLVLVTCEDLDEFKNIVKPRLKVFAQNDEREWFIIFLSKAHPNNDQATKMAKKVYAKFEADFSSKKRERCCKFDIYGPEPNFWEELEFRIMDSIKNTLDRRIQFYEDEIRKLSEQRFMPIWNFCNFFILKESLAFMFEIAHLHEDALREYDELELCYLETVNMGGKHREFGGLDCGDDRAALLNPGNKSLTQIVQGDSFREFEFRQYLFACQSKLLFKLNHPFEVALRGYSFIISFSKALESNESILPFCMREVWVITACLALVDATSIQYNDEDVAPETEKEFYRVQGDLYSLCRIKLLRLAYLIGYGTEIERSPVNSASLSMLPWPKPAVWPSVPDDATSEVVVKEKMILQETPRVKHFGIQRKPLPLEPTILVREANQRRSSLSVGNSSEIFYGRVEFNDGLAADVPAKMPQADKAHEFMMSRTYSSPGNFDCSIGRPMRLAEIFVAAEHALKKTISNPDLQKILSSVENFEQKYMELTKGAADNYHHSWWKRYGVVLDGEIAAVCFKRGNFDLAAKSYEKVCALYAGEGWEDLLAEVLPSLAECQKSLNDEAGYLLSCVRLLSLDKGLFLSKERQAFQSEVVSLAHNEMTNHVPLDVSSLITFSGNPGPPMALCDGDTGTLSVTVWSGFPDDITLDSLTLTLMATYNADEGGKLRNSNATVLKPGRNTITFPLPPQKPGSYVLGVLTGHIGQLTFRSHSFSKGGPADSDDFMSYEKPTRPILKVFKPRPLADLSASISSALLINETQWIGIIAQPINYSLKGAVLHIDTGPGLMIEESHSIEMESNKKAGQGSVGTANSGDATKDSSVAAKDCSPAADKHFEQLCLVSGKIEFPDWASDVSSILWIPIRAIDDKLARGSSSGAPEKQSIVDRMRTIALKLEFGISKNQIYDTTIALHFSDPFHVSTRVANQCNDSTLLLQVTLHSQVNATLSVYDAWLDLHDGFTHAGQGNGRPISAFFPLVISPSSRAGLLFCLCLEKRTAEGTNFSFFYRMRVYGCRLLFL >KJB45567 pep chromosome:Graimondii2_0_v6:7:52661130:52664039:1 gene:B456_007G312700 transcript:KJB45567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMMMRENNQFAAQNEGGGSLGNNGRNNGGVGVGREGEIVLKKGPWTATEDAVLAGYVRRHGEGNWNAVRKNTGLARCGKSCRLRWANHLRPNLKKGSFSPEEERIIIELHAKMGNRWARMATQLPGRTDNEIKNYWNTRVKRRQRQGLPLYPPDVQPHYSEHQHRQRHSHPSSPIPSPPPTTEPNSCFSFQTPIVSPHTSNPMPLHPLHIPHRPPPQNFLYNPHSALTTPPPPLQSPNSASTPAPLPSPNASTPPHISPLYSPHNPPPFPTLPLFDYPNITTDDDFFHSNKRFKHDGLQSNNYYNNHLDATSSSFTLPFSPMQHYSNGMTLDLPSSSRTAFNQDSGCFYPLKTDLRSNQINYDGMLENILQEARELAANGRGGNKEMPKEMMNSAQNEEEDYSRLINIDGLSSLGMAIPEWCNDSGESSERQPSVITDNENHLALDMHQIASLYPADISPNHAARSSSVRSWDKFPGLC >KJB45079 pep chromosome:Graimondii2_0_v6:7:49470815:49474311:-1 gene:B456_007G288400 transcript:KJB45079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPILKNQTTIPCFLTKFLFMVPPLTSSLITFLSLNSNPTKSLSNTPRRTCKRNWSRLRLDCFHTNAVSHSFDELSIEGNEGNSKEVAFLHWMENRGIKANHQTFLWLLEGCLNSGSIEEGKKLHGKILKMGFSNEHFLSEKLMDIYIALGDLDGAIKVFDDMPKRNVFSWNKMISGFASKKMNDKVLGFYSRMVAENVNPNESTFASILKACTGSNVWFQYVEQIHARIIRDGFSFSSFVCNPLIDLYMKNGFIDSAKKLFDKLYVKDTVSWLAMISGLSQNGYEEQAILLFSEMHIAGILPTPYVFSSVLSACTKIEFFKLGEQLHSLVFKLGFSSETYVCNALVTLYSRSGNLVSAELIFINTLLRDGVTYNSLISGLAQCGYSDRALELFEKMQHDCLKPDCVTVASLLGACASLGASFTGKQLHSYAIKAGFSTDLIVEGSLLDLYVKCSDIDTAYEFFSTTETVNVVLWNVMLVAYGQLDNLSESFHIFRQMQIEGLVPNQFTYPSILRTCTSVGAFDLGEQIHSQVIKTGFQYDVYVCSVLIDMYAKLGKLETALEILRRLPEEDVVSWTAMIAGYTQHDMFDEALKLFGDMLNQGIQSDNIGLSSAISACAGIQALSQGRQIHAQSFLSGFSDDVSIGNALVSLYARCGQRHDAYTAFKKIDKKDNISWNALISGLAQSGFCEEALQVFSQMNAAGVGTNLYTFISSVSAAANTANLKQGKQIHARIIKKGYDLETEVSNALITLYAKCGSIDDAEKEFSEMPEKNEISWNAIITGYSQHGSGIKAINLFEKMQQVGVRPNHITFVGVLSACSHVGLVDEGLGYFDSMSKEHDLVPKPEHYNCVVDLLSRAGLLCRARKFIEDMPIEPDAIIWRTLLSACAVHKNVDIGEFAAYHLLKLEPQDSASYVLLSNLYAVSRKWDSRDCTRQMMKERGVKKEPAQSWIEVKSSIHAFFVGDRLHPLAEKIYEHLEDLNQQAAKIGYVQDRYSLFSDVEQGEKDPTVYIHSEKLAIAFGLLSLPSAIPVRVIKNLRVCKDCHNWIKFVSKVSNRPIIVRDAYRFHHFEGGSCSCKDYW >KJB45256 pep chromosome:Graimondii2_0_v6:7:50793362:50793923:1 gene:B456_007G297500 transcript:KJB45256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKVAYLMVQQILRIIFLILISNLGAMAMDPLNLPHCLGPCSTVENCFHDCVAQGFPKGGTCIGITLAQMDCCCKWS >KJB44053 pep chromosome:Graimondii2_0_v6:7:29505550:29507767:1 gene:B456_007G232300 transcript:KJB44053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSATIDLLKKELPVEEGSLVLSKDVKTGLVLVDVVNGFCSVGAGNLAPVKPDKQISYMVKESARLAKLFCGEKWPVFAFLDSHHPDIPEPPYPPHCIAGTDEARLVPDLQWLENEANATLKCKDCIDGFLGSVEKDGSNVFVDWVKKNQIKAIFGLFSPTSFAFSRYWLSAYVQTYVYWILYVPLCRLEIVGCLPLLRM >KJB44055 pep chromosome:Graimondii2_0_v6:7:29505918:29506616:1 gene:B456_007G232300 transcript:KJB44055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSATIDLLKKELPVEEGSLVLSKDVKTGLVLVDVVNGFCSVGAGNLAPVKPDKQISYMVKESARLAKLFCGEKWPVFAFLDSHHPDIPEPPYPPHCIAGTDEARLVPDLQWLENEANATLKCKDCIDGFLGSVEKDGSNVFVDWVKKNQIKAVSVFFIQHFSFHCSLYWYM >KJB44054 pep chromosome:Graimondii2_0_v6:7:29506148:29507518:1 gene:B456_007G232300 transcript:KJB44054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQAPVKPDKQISYMVKESARLAKLFCGEKWPVFAFLDSHHPDIPEPPYPPHCIAGTDEARLVPDLQWLENEANATLKCKDCIDGFLGSVEKDGSNVFVDWVKKNQIKAILVVGICTDICVLDFVCSTLSARNRRMLTPLEDVIVYSGACATFDLPVHVAKDINGALAHPQDLMHHIGLYIAKGRGARIVSELSFAAL >KJB44051 pep chromosome:Graimondii2_0_v6:7:29505486:29507767:1 gene:B456_007G232300 transcript:KJB44051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSATIDLLKKELPVEEGSLVLSKDVKTGLVLVDVVNGFCSVGAGNLAPVKPDKQISYMVKESARLAKLFCGEKWPVFAFLDSHHPDIPEPPYPPHCIAGTDEARLVPDLQWLENEANATLKCKDCIDGFLGSVEKDGSNVFVDWVKKNQIKAILVVGICTDICVLDFVCSTLSARNRRMLTPLEDVIVYSGACATFDLPVHVAKDINGALAHPQDLMHHIGLYIAKGRGARIVSELSFAAL >KJB44052 pep chromosome:Graimondii2_0_v6:7:29505550:29507767:1 gene:B456_007G232300 transcript:KJB44052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSATIDLLKKELPVEEGSLVLSKDVKTGLVLVDVVNGFCSVGAGNLAPVKPDKQISYMVKESARLAKLFCGEKWPVFAFLDSHHPDIPEPPYPPHCIAGTDEARLVPDLQWLENEANATLKCKDCIDGFLGSVEKDGSNVFVDWVKKNQIKATYVYWILYVPLCRLEIVGCLPLLRM >KJB46354 pep chromosome:Graimondii2_0_v6:7:59424245:59424833:1 gene:B456_007G362500 transcript:KJB46354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENHPEHPSATAFLLIALSLISTLAAVESNPFSRNLSPSSLGLKKPEKLSHLHFYFHDVVTGKNVTAVRVAKAPTTTKSSPFGAVAVMDDPWTISPRWIYALASQTEASLLMAFNFAFVEGKYNGSNLSVLGRNPVFSAVREMPVIGGSGVFRFARGYAEARTHTFDLKTGNAVVEYNVYVFHY >KJB41209 pep chromosome:Graimondii2_0_v6:7:6946753:6947121:-1 gene:B456_007G094700 transcript:KJB41209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLAESQSFGLTSIAEEEARWCCVLVLVTLLLLNSFKETNYTITKDEDYDGKGVSRRVLERACDEIYVVAEGETLHSISDKCGDPFIVEHNPHIHDPDDVFPGLLIKITPLSLPTHSFSL >KJB42817 pep chromosome:Graimondii2_0_v6:7:15161719:15163960:1 gene:B456_007G168800 transcript:KJB42817 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 33 [Source:Projected from Arabidopsis thaliana (AT5G35670) UniProtKB/TrEMBL;Acc:Q8L8M9] MPEETHNSISKLFHAEDAAIVIQSAFRQFLARRRDTEIKRKDDKQETLTGTESPSRDTIGTSIEVQTGNSVEIFLVQDESKGVHHRVQQKPKAQVLRIKEDWDDSMVSSNISKMRIQNKLEAMTRRERALAYAFSQQLRICSKRKQGKPDGMEQNMSLSWSWLERWMATRVPDSSLGENNNKQFEPVDHNQRFVIRKGIIDGAGEEKESCGSNEVSIQLETLRAPTCKEKHRYSPSKNRLKATRTISRRKTVPSYQPSKESNKVSKKDGSGERVKYKKAQEQAIKRPERN >KJB42816 pep chromosome:Graimondii2_0_v6:7:15161257:15164214:1 gene:B456_007G168800 transcript:KJB42816 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 33 [Source:Projected from Arabidopsis thaliana (AT5G35670) UniProtKB/TrEMBL;Acc:Q8L8M9] MGITGELVRSVFSRNRSFGTPRDTSLARSNVTDKKRWSSVRSYLCGDEFNSVVAEEDSCSVKSSEATVTQPIPQGLRDQPENQSDDETKPNMPEETHNSISKLFHAEDAAIVIQSAFRQFLARRRDTEIKRKDDKQETLTGTESPSRDTIGTSIEVQTGNSVEIFLVQDESKGVHHRVQQKPKAQVLRIKEDWDDSMVSSNISKMRIQNKLEAMTRRERALAYAFSQQLRICSKRKQGKPDGMEQNMSLSWSWLERWMATRVPDSSLGENNNKQFEPVDHNQRFVIRKGIIDGAGEEKESCGSNEVSIQLETLRAPTCKEKHRYSPSKNRLKATRTISRRKTVPSYQPSKESNKVSKKDGSGERVKYKKAQEQAIKRPERN >KJB46337 pep chromosome:Graimondii2_0_v6:7:59245518:59247846:-1 gene:B456_007G3611001 transcript:KJB46337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGEAALSGFLDLLLGKSLDSALKFVANHNQLHQQLKQWQSILPDIQAVLDDAEEKQIKNMGVKKWLEDLQDLAYDVDDILDEFAYEELRLKLNKSQALASTSKVRKLIPTCCTSSNFSPTSFLFKNSMIPKVKEITARLNSLTTRRSSLGLSEILSQAPTSKGKQPRLQPTSVLDGVVEYVGRHKEKTEMIEFLEGDNSNGVSVLSIVGMGGMGKTTLAQLVYNDATINQSFHHKAWVCVSDHFDAVNITRTILKSIDPDSRDENDLNLLQVKLKEKLSGKRFLLVLDDIWNENYDDWTILRSPFGTGTHIIVTTRLRIVSSIVDPLKAFHLDKLSDDDCLSIFTQHALKARNFDGHLQFKEIGEKIVRRCNGLPLAAKAIGSLLRTVKDYAGWERIYESEIWNLPEEQCGIIPALRLSYHHLPSDLKRCFAYCSILPKDYEFEEEEIILLWRAEGLLQQKAMPQIKDLGNQYFQDLVSRSFFETSSKDKSRFVMHDLINDLAQVVAGEICSKLEGDKKWKFSHCTRHSSYIVGTYDTVKKFEAFDQVNSLRTFLPLTFSSDYWRHYLTNVVLVDLLPRLGYLRVLSLSGYAITELPDVFENLKHLRYLNFSGTEIECLPDSLCTLYHLETLLLRWCYKLQRLPSKMGNLVNLHYLDIRGANSIERIPFRIDKLKNLQRLSDFIIAEGDGCHIRYLKYLSNLEGDFRLSGLENVNGEDAGESKLYEKQGIDRLVLHWRKKFENASRNKEVEERVLDSLCPPKKLEQLVIENYG >KJB39192 pep chromosome:Graimondii2_0_v6:7:172089:184491:1 gene:B456_007G001600 transcript:KJB39192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTGHPVLEIGDKFEELQNNIINNNKNKEVIDKARLVVKGEENSNNNNNNQGGERDMAPPTSNSLHRSGSRPQLDLSKAAIQGNSEERDPTILLPNQSDDISHFALDIGGSLIKLVYFSRHEGQSIDDKRKKTVKEISNGSRRSYPILGGRLHFVKFETSKLNECLDFISSKQLHNGRIDSHYWNSEATNKENAVIKATGGGAYKFADLFKERLGVSIDKEDEMDCLVAGANFLLKAIRHEAFTHMEGHKEFVQIDHNDLFPYLLVNVGSGVSMIKVDGDGKFQRVSGTNVGGGTYWGLGRLLTKCNSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISDKKELEDHRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSQGQAQAMFLRHEGFLGALGAFMSYEKLGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGNLNEKISWMEKFVLKGTEITAPVPMAPPGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFPLLANPKMYEPNTIDLSDHCELEYWFTVLSEHLPDLVDKAVASEGGTGDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFLDAYRSIKQRENEVSLAVLPDLLMELDRMDEEARLLTLIEGVLAANIFDWGSRACVDLYHKGTIIDIYRMSRNKMQRPWRVDDFDLFRERMLGSEGKKPRPHKRALLFVDNSGADVVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMTNTLDGSKENCSSVPLMVVENGCGSPCIDLRQVSSELASAAKHADLIILEGMGRALHTNFNAWFKCEALKLAMVKNQRLAEKLIKGEIYDCVCRYEPAS >KJB39191 pep chromosome:Graimondii2_0_v6:7:171707:181893:1 gene:B456_007G001600 transcript:KJB39191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTGHPVLEIGDKFEELQNNIINNNKNKEVIDKARLVVKGEENSNNNNNNQGGERDMAPPTSNSLHRSGSRPQLDLSKAAIQGNSEERDPTILLPNQSDDISHFALDIGGSLIKLVYFSRHEGQSIDDKRKKTVKEISNGSRRSYPILGGRLHFVKFETSKLNECLDFISSKQLHNGRIDSHYWNSEATNKENAVIKATGGGAYKFADLFKERLGVSIDKEDEMDCLVAGANFLLKAIRHEAFTHMEGHKEFVQIDHNDLFPYLLVNVGSGVSMIKVDGDGKFQRVSGTNVGGGTYWGLGRLLTKCNSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISDKKELEDHRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSQGQAQAMFLRHEGFLGALGAFMSYEKLGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGNLNEKISWMEKFVLKGTEITAPVPMAPPGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFPLLANPKMYEPNTIDLSDHCELEYWFTVLSEHLPDLVDKAVASEGGTGDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFLDAYRSIKQRENEVSLAVLPDLLMELDRMDEVW >KJB39190 pep chromosome:Graimondii2_0_v6:7:171635:184528:1 gene:B456_007G001600 transcript:KJB39190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTGHPVLEIGDKFEELQNNIINNNKNKEVIDKARLVVKGEENSNNNNNNQGGERDMAPPTSNSLHRSGSRPQLDLSKAAIQGNSEERDPTILLPNQSDDISHFALDIGGSLIKLVYFSRHEGQSIDDKRKKTVKEISNGSRRSYPILGGRLHFVKFETSKLNECLDFISSKQLHNGRIDSHYWNSEATNKENAVIKATGGGAYKFADLFKERLGVSIDKEDEMDCLVAGANFLLKAIRHEAFTHMEGHKEFVQIDHNDLFPYLLVNVGSGVSMIKVDGDGKFQRVSGTNVGGGTYWGLGRLLTKCNSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISDKKELEDHRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSQGQAQAMFLRHEGFLGALGAFMSYEKLGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGNLNEKISWMEKFVLKGTEITAPVPMAPPGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFPLLANPKMYEPNTIDLSDHCELEYWFTVLSEHLPDLVDKAVASEGGTGDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFLDAYRSIKQRENEVSLAVLPDLLMELDRMDEEARLLTLIEGVLAANIFDWGSRACVDLYHKGTIIDIYRMSRNKMQRPWRVDDFDLFRERMLGSEGKKPRPHKRALLFVDNSGADVVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMTNTLDGSKENCSSVPLMVVENGCGSPCIDLRQVSSELASAAKHADLIILEGMGRALHTNFNAWFKCEALKLAMVKNQRLAEKLIKGEIYDCVCRYEPAS >KJB40500 pep chromosome:Graimondii2_0_v6:7:4710148:4717268:-1 gene:B456_007G066900 transcript:KJB40500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein [Source:Projected from Arabidopsis thaliana (AT5G63840) TAIR;Acc:AT5G63840] MKMKQVAFLFLIFLASQTVHSWKKDEFRACDQTPFCKRARFRKPGACTLIAHDVSISDGDLTAKLIPKAPHDQDQDQIKPLTLSVSVYQDGIMRLKIDEDPSLDPPKKRFQVADVVVSEFETKKLWLQSASAEKINGDDGGLSSVVYLSDGYEAVLRHDPFEVYVREKAGNRRVVSLNSHGLFDFEQLRVKKEDEDWEERFRGHTDTRPYGPQSISFDVSFYGSDFVYGIPEHASSFALKPTRGPGVEESEPFRLFNLDVFEYLHESPFGIYGSIPFMVSHGKSGQSSGFFWLNAAEMQIDVLAKGWDAEGGILMPTEQSRIDTFWMSEAGIVDTFFFVGPGPKDVVKQYVSVTGLPAMPQLFSTGYHQCRWNYRDEEDVENVDSKFDEHDIPYDVLWLDIEHTDGKRYFTWDKMLFPHPEEMQRKLAAKGRHMVTIVDPHIKRDESFHLHKDASQRGYYVKDATGKDYDGWCWPGSSSYPDMLNPEIRSWWAEKFSYDNYVGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHLGGVEHRELHNAYGYYFHMATAEGLLKRGDGKDRPFVLSRAFFAGSQRYGAVWTGDNSADWDHLRVSVPMVLTLGLTGMTFSGADVGGFFGNPEPELLVRWYQLGAYYPFFRGHAHHDTKRREPWLFGERNTALMRDAIRIRYTLLPYFYTLFREANVSGVPVVRPLWMEFPSDEAAFSNDEAFMVGNSLLVQGIYTARAKHVSVYLPGKESWYDLRTGTAYKGGKVHKLEVSEESIPAFQRAGTIVPRKDRLRRSSTQMVHDPYTLVCDDANWPL >KJB40495 pep chromosome:Graimondii2_0_v6:7:4709465:4717268:-1 gene:B456_007G066900 transcript:KJB40495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein [Source:Projected from Arabidopsis thaliana (AT5G63840) TAIR;Acc:AT5G63840] MKMKQVAFLFLIFLASQTVHSWKKDEFRACDQTPFCKRARFRKPGACTLIAHDVSISDGDLTAKLIPKAPHDQDQDQIKPLTLSVSVYQDGIMRLKIDEDPSLDPPKKRFQVADVVVSEFETKKLWLQSASAEKINGDDGGLSSVVYLSDGYEAVLRHDPFEVYVREKAGNRRVVSLNSHGLFDFEQLRVKKEDEDWEERFRGHTDTRPYGPQSISFDVSFYGSDFVYGIPEHASSFALKPTRGPGVEESEPFRLFNLDVFEYLHESPFGIYGSIPFMVSHGKSGQSSGFFWLNAAEMQIDVLAKGWDAEGGILMPTEQSRIDTFWMSEAGIVDTFFFVGPGPKDVVKQYVSVTGLPAMPQLFSTGYHQCRWNYRDEEDVENVDSKFDEHDIPYDVLWLDIEHTDGKRYFTWDKMLFPHPEEMQRKLAAKGRHMVTIVDPHIKRDESFHLHKDASQRGYYVKDATGKDYDGWCWPGSSSYPDMLNPEIRSWWAEKFSYDNYVGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHLGGVEHRELHNAYGYYFHMATAEGLLKRGDGKDRPFVLSRAFFAGSQRYGAVWTGDNSADWDHLRVSVPMVLTLGLTGMTFSGADVGGFFGNPEPELLVRWYQLGAYYPFFRGHAHHDTKRREPWLFGERNTALMRDAIRIRYTLLPYFYTLFREANVSGVPVVRPLWMEFPSDEAAFSNDEAFMVGNSLLVQGIYTARAKHVSVYLPGKESWYDLRTGTAYKGGKVHKLEVSEESIPAFQRAGTIVPRKDRLRRSSTQMVHDPYTLVIALNSSQAAEGELYVDDGKSYDFKHGAYIHRRFVFSNGHLTSSPVGNSRFSSDCIIERVILLGFTPGAKTALVEPGNQKAEIELGPLRFGGQHAAVAVTIRKPGVRVAEDWKIKIL >KJB40497 pep chromosome:Graimondii2_0_v6:7:4710570:4717022:-1 gene:B456_007G066900 transcript:KJB40497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein [Source:Projected from Arabidopsis thaliana (AT5G63840) TAIR;Acc:AT5G63840] MKMKQVAFLFLIFLASQTVHSWKKDEFRACDQTPFCKRARFRKPGACTLIAHDVSISDGDLTAKLIPKAPHDQDQDQIKPLTLSVSVYQDGIMRLKIDEDPSLDPPKKRFQVADVVVSEFETKKLWLQSASAEKINGDDGGLSSVVYLSDGYEAVLRHDPFEVYVREKAGNRRVVSLNSHGLFDFEQLRVKKEDEDWEERFRGHTDTRPYGPQSISFDVSFYGSDFVYGIPEHASSFALKPTRGPGVEESEPFRLFNLDVFEYLHESPFGIYGSIPFMVSHGKSGQSSGFFWLNAAEMQIDVLAKGWDAEGGILMPTEQSRIDTFWMSEAGIVDTFFFVGPGPKDVVKQYVSVTGLPAMPQLFSTGYHQCRWNYRDEEDVENVDSKFDEHDIPYDVLWLDIEHTDGKRYFTWDKMLFPHPEEMQRKLAAKGRHMVTIVDPHIKRDESFHLHKDASQRGYYVKDATGKDYDGWCWPGSSSYPDMLNPEIRSWWAEKFSYDNYVGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHLGGVEHRELHNAYGYYFHMATAEGLLKRGDGKDRPFVLSRAFFAGSQRYGAVWTGDNSADWDHLRVSVPMVLTLGLTGMTFSGADVGGFFGNPEPELLVRWYQLGAYYPFFRGHAHHDTKRREPWLFGERNTALMRDAIRIRYTLLPYFYTLFREANVSGVPVVRPLWMEFPSDEAAFSNDEAFMVGNSLLVQGIYTAG >KJB40499 pep chromosome:Graimondii2_0_v6:7:4709486:4717268:-1 gene:B456_007G066900 transcript:KJB40499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein [Source:Projected from Arabidopsis thaliana (AT5G63840) TAIR;Acc:AT5G63840] MKMKQVAFLFLIFLASQTVHSWKKDEFRACDQTPFCKRARFRKPGACTLIAHDVSISDGDLTAKLIPKAPHDQDQDQIKPLTLSVSVYQDGIMRLKIDEDPSLDPPKKRFQVADVVVSEFETKKLWLQSASAEKINGDDGGLSSVVYLSDGYEAVLRHDPFEVYVREKAGNRRVVSLNSHGLFDFEQLRVKKEDEDWEERFRGHTDTRPYGPQSISFDVSFYGSDFVYGIPEHASSFALKPTRGPGVEESEPFRLFNLDVFEYLHESPFGIYGSIPFMVSHGKSGQSSGFFWLNAAEMQIDVLAKGWDAEGGILMPTEQSRIDTFWMSEAGIVDTFFFVGPGPKDVVKQYVSVTGLPAMPQLFSTGYHQCRWNYRDEEDVENVDSKFDEHDIPYDVLWLDIEHTDGKRYFTWDKMLFPHPEEMQRKLAAKGRHMVTIVDPHIKRDESFHLHKDASQRGYYVKDATGKDYDGWCWPGSSSYPDMLNPEIRSWWAEKFSYDNYVGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHLGGVEHRELHNAYGYYFHMATAEGLLKRGDGKDRPFVLSRAFFAGSQRYGAVWTGDNSADWDHLRVSVPMVLTLGLTGMTFSGADVGGFFGNPEPELLVRWYQLGAYYPFFRGHAHHDTKRREPWLFGERNTALMRDAIRIRYTLLPYFYTLFREANVSGVPVVRPLWMEFPSDEAAFSNDEAFMVGNSLLVQGIYTARAKHVSVYLPGKESWYDLRTGTAYKGGKVHKLEVSEESIPAFQRAGTIVPRKDRLRRSSTQMVHDPYTLVFV >KJB40498 pep chromosome:Graimondii2_0_v6:7:4709486:4717268:-1 gene:B456_007G066900 transcript:KJB40498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein [Source:Projected from Arabidopsis thaliana (AT5G63840) TAIR;Acc:AT5G63840] MKMKQVAFLFLIFLASQTVHSWKKDEFRACDQTPFCKRARFRKPGACTLIAHDVSISDGDLTAKLIPKAPHDQDQDQIKPLTLSVSVYQDGIMRLKIDEDPSLDPPKKRFQVADVVVSEFETKKLWLQSASAEKINGDDGGLSSVVYLSDGYEAVLRHDPFEVYVREKAGNRRVVSLNSHGLFDFEQLRVKKEDEDWEERFRGHTDTRPYGPQSISFDVSFYGSDFVYGIPEHASSFALKPTRGPGVEESEPFRLFNLDVFEYLHESPFGIYGSIPFMVSHGKSGQSSGFFWLNAAEMQIDVLAKGWDAEGGILMPTEQSRIDTFWMSEAGIVDTFFFVGPGPKDVVKQYVSVTGLPAMPQLFSTGYHQCRWNYRDEEDVENVDSKFDEHDIPYDVLWLDIEHTDGKRYFTWDKMLFPHPEEMQRKLAAKGRHMVTIVDPHIKRDESFHLHKDASQRGYYVKDATGKDYDGWCWPGSSSYPDMLNPEIRSWWAEKFSYDNYVGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHLGGVEHRELHNAYGYYFHMATAEGLLKRGDGKDRPFVLSRAFFAGSQRYGAVWTGDNSADWDHLRVSVPMVLTLGLTGMTFSGADVGGFFGNPEPELLVRWYQLGAYYPFFRGHAHHDTKRREPWLFGERNTALMRDAIRIRYTLLPYFYTLFREANVSGVPVVRPLWMEFPSDEAAFSNDEAFMVGNSLLVQGIYTARAKHVSVYLPGKESWYDLRTGTAYKGGKVHKLEVSEESIPAFQRAGTIVPRKDRLRRSSTQMVHDPYTLVIALNSSQAAEGELYVDDGKSYDFKHGAYIHRRFVFSNGHLTSSPVGNSRKSEG >KJB40496 pep chromosome:Graimondii2_0_v6:7:4709486:4717268:-1 gene:B456_007G066900 transcript:KJB40496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein [Source:Projected from Arabidopsis thaliana (AT5G63840) TAIR;Acc:AT5G63840] MKMKQVAFLFLIFLASQTVHSWKKDEFRACDQTPFCKRARFRKPGACTLIAHDVSISDGDLTAKLIPKAPHDQDQDQIKPLTLSVSVYQDGIMRLKIDEDPSLDPPKKRFQVADVVVSEFETKKLWLQSASAEKINGDDGGLSSVVYLSDGYEAVLRHDPFEVYVREKAGNRRVVSLNSHGLFDFEQLRVKKEDEDWEERFRGHTDTRPYGPQSISFDVSFYGSDFVYGIPEHASSFALKPTRGPGVEESEPFRLFNLDVFEYLHESPFGIYGSIPFMVSHGKSGQSSGFFWLNAAEMQIDVLAKGWDAEGGILMPTEQSRIDTFWMSEAGIVDTFFFVGPGPKDVVKQYVSVTGLPAMPQLFSTGYHQCRWNYRDEEDVENVDSKFDEHDIPYDVLWLDIEHTDGKRYFTWDKMLFPHPEEMQRKLAAKGRHMVTIVDPHIKRDESFHLHKDASQRGYYVKDATGKDYDGWCWPGSSSYPDMLNPEIRSWWAEKFSYDNYVGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHLGGVEHRELHNAYGYYFHMATAEGLLKRGDGKDRPFVLSRAFFAGSQRYGAVWTGDNSADWDHLRVSVPMVLTLGLTGMTFSGADVGGFFGNPEPELLVRWYQLGAYYPFFRGHAHHDTKRREPWLFGERNTALMRDAIRIRYTLLPYFYTLFREANLKVNSMLMMEKAMTSNMGHTSIAALCSRMGI >KJB39637 pep chromosome:Graimondii2_0_v6:7:1640797:1645708:-1 gene:B456_007G022700 transcript:KJB39637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEETSPDWLPAGWTQEFRFQKTGRRITHYVNLATGQKFFTKDDLIRYTKTETKTESKQYDDRLLTLKQITKPSTNSQVNAAVKENECPEWLPKNWFVEVKTHKSGEFIGKRVKIYVDPSTGLRFYSKPAVFRFLKQAEQRNRKTNKKKQAAYSRKKVVIEKSTVDDLPAGWIKEIKIQRNANGVRKDPYYTDPVSGYVFRSKKAVLHYLETGEIARSAFLPPKSNDDQNLTDEDGSQLPEAKRQKVKLLATKRQLVTDGETSDLSGLETEISKEGQIDEDCADTGLATERNPKTAEKSSQSSSIAHKASNGEQGKIVSADNMLASTAADDEKEKSNISSSNSGKSKNKKELDLPHGSSNRLDQLEPEQVASGLERVNPCQKEANGPCVLEKESPLQLNVCSNPEVAKQPSAYPKVKPRRGLAKTIKPIEDEDILRKQPQMLEIDKTSDTKSEVQPMFSSDPCLEFRVNTPRGGVPHEDASAEGLVSTAASSVLQEKNLEKTRMESKRRNLENKNSSKVKKMKELDLPRRISKRLAGLEHEPVGNGVSAEVAIQNTTRKSGKSEAKPPCVLADKATQQLNVGLDVTVSNQASPAVLGTVINNISPHQDRTILAEQPQMLGTQDSDSKSDLHPFFCSDPCLEFAIKTLTGAIPLEDAINEGLVSAPIANIQPRKNLAETTTENSCCRKTLINTIRSKKKDAGSQQRSSKRLAGHAPELMANSLSNEQFLNLAAQKSYDSKARNVNLPSANLTEKSSQQLEFGPRVALEHQGFTYRTNSSHNESLNKSKEPHQNQTIPTGLNNENPGGLPSAIPFGSLCSDPYFKFPFNTLTGSSAAEDSFTFQRNFALPDYGFPNNFLSDIPSQVFPVEQPVLQQQQQFPSNPPFLPPGNVSLPNSDTINAQQSYRTGKTNYQAR >KJB39638 pep chromosome:Graimondii2_0_v6:7:1640434:1645879:-1 gene:B456_007G022700 transcript:KJB39638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEETSPDWLPAGWTQEFRFQKTGRRITHYVNLATGQKFFTKDDLIRYTKTETKTESKQYDDRLLTLKQITKPSTNSQVNIYVDPSTGLRFYSKPAVFRFLKQAEQRNRKTNKKKQAAYSRKKVVIEKSTVDDLPAGWIKEIKIQRNANGVRKDPYYTDPVSGYVFRSKKAVLHYLETGEIARSAFLPPKSNDDQNLTDEDGSQLPEAKRQKVKLLATKRQLVTDGETSDLSGLETEISKEGQIDEDCADTGLATERNPKTAEKSSQSSSIAHKASNGEQGKIVSADNMLASTAADDEKEKSNISSSNSGKSKNKKELDLPHGSSNRLDQLEPEQVASGLERVNPCQKEANGPCVLEKESPLQLNVCSNPEVAKQPSAYPKVKPRRGLAKTIKPIEDEDILRKQPQMLEIDKTSDTKSEVQPMFSSDPCLEFRVNTPRGGVPHEDASAEGLVSTAASSVLQEKNLEKTRMESKRRNLENKNSSKVKKMKELDLPRRISKRLAGLEHEPVGNGVSAEVAIQNTTRKSGKSEAKPPCVLADKATQQLNVGLDVTVSNQASPAVLGTVINNISPHQDRTILAEQPQMLGTQDSDSKSDLHPFFCSDPCLEFAIKTLTGAIPLEDAINEGLVSAPIANIQPRKNLAETTTENSCCRKTLINTIRSKKKDAGSQQRSSKRLAGHAPELMANSLSNEQFLNLAAQKSYDSKARNVNLPSANLTEKSSQQLEFGPRVALEHQGFTYRTNSSHNESLNKSKEPHQNQTIPTGLNNENPGGLPSAIPFGSLCSDPYFKFPFNTLTGSSAAEDSFTFQRNFALPDYGFPNNFLSDIPSQVFPVEQPVLQQQQQFPSNPPFLPPGNVSLPNSDTINAQQSYRTGKTNYQAR >KJB40009 pep chromosome:Graimondii2_0_v6:7:2930070:2935091:1 gene:B456_007G042000 transcript:KJB40009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAFKSTSKRSSLGDSADVSSSNRASVHRRARSLSRFSRRLADDDDDETTPAPKTSGRTVNTARGSGLPEISLDDLAIELFDSSLSGRSASWNANVSTRNGEGGVVENVVQRRGRSVSRRGTSGSSVNSGSGGRLTSDTANSRRRRSVSVARYQISDSESDLDHPQNSSNRASLRSSIGENYQISSTYKQTASNNRQGLRRSLSQKDLKYHDGYSNHTSALTDDDRGDAFSNKSGMERIIQAVYAQKKGEAHPTGDDVNGGLYAAMRKELRHAAEEIKTQLEQAMVKTKKSNIASDGSLHLDNSDFLQAVSTIRRKCITKLEKTDKCRQDLSAEILLEKHGRELSKIVKELLSEPKNSIVEKSFRTRKKSHDQNTTSKQLTEEAERYIEDFISNVEDTDISSLDGDRSDTNSSIRGIAKTPNFQSPAVFKSVPVEMDGVMLPWLQWETSNDASPSLFENKPYLSQEATSVQDLSNQFRSSNGSWSPAFSDCPSVSSGEDRGTKFGEQGRYHNHTKSSSIGAKTTQFDVNDYLNTKGNEAFLHEIWCQRRRISSGGLLLCNHIMF >KJB41732 pep chromosome:Graimondii2_0_v6:7:9198913:9201761:1 gene:B456_007G117400 transcript:KJB41732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHLRNKTALSHTTGRHQKHHLCIKLQEFFGSSLHMSSKSILMALTCSTITKEFILSIPIGKFLIYFVPQGSSFAFINAIEVFPAPPDFISDEPTQISRTGNSNDYKGLLSQALQTIHRINVGGPTLTPDNDTLLRTWLPDDSYLTNSGIAKNSEVFSGRPNYDLVTDFIAPDLVYRTSKEMASNDSNITWSYDVTRDARHLIRVHFCDVISVSQNVLSFFLYINSNFSQNINPYREVVKLETPFFMDFVVDSDDSGFINISIGPDSNSLVQNAFLNGVEIMEMMGKSNLVPVTHKSNNMSPFIIVGVVLGGLVLVCIFGGLLFIGLRRRKPKPVETSEWSPLPIFKGSSHGKSKTPSKEGTITASPVPNLNLGLRIPLDEIKLATNNFDKKLQIVKGGFGTVYQGTLRNGLKVAVKRSQPGSGQGLPEFQTEIMVLSKIRHRHLVSLIGYCDERLEMILVYELMEKGTLRDHLYNAKLPCLSWKQRLDICIGAARGLHYLHKGASGGIIHRDVKSTNILLDENLVAKVADFGLSRSGPPDQSHVSTGIKGTFGYLDPEYFKTQQLTEKSDVYSFGVVLLEVLCARPAVNPALPREQVNLAEWGMRCKNKGLLDQIVDPSIRDQINPNSLRKFAEITEKCLQEDACDRPGMGDVAWDLEYALQLQQTAVVQEPHEDSASNTNGMLSLHVIQRLPSTNIEFEGDDMSIIKEDESDSVPSASGVFSQLKMDEAR >KJB41019 pep chromosome:Graimondii2_0_v6:7:6284141:6284777:-1 gene:B456_007G087400 transcript:KJB41019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGTMHINFPKIQLNSSSTTLSAVSSSSSHNLNLSVPSNYASPLRPSSSSSSSSCQNEICKRLEVVDGNENKIGTGSFNNFILGPVPSKPEVETALAALHNYIHGISSSTPEFKWLKPLLDSCHSRGLLCQGLGRVYDGFILLLTEPSVKVFCIYLLYQILFLKFF >KJB41020 pep chromosome:Graimondii2_0_v6:7:6283236:6284954:-1 gene:B456_007G087400 transcript:KJB41020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGTMHINFPKIQLNSSSTTLSAVSSSSSHNLNLSVPSNYASPLRPSSSSSSSSCQNEICKRLEVVDGNENKIGTGSFNNFILGPVPSKPEVETALAALHNYIHGISSSTPEFKWLKPLLDSCHSRGLLCQGLGRVYDGFILLLTEPSVKRLVVSISSDKAVWDAIKNNELVRKLLDLPLPAVENGRPGNSSGEAEPDNDILQWILDFAKAKVTELVLKFQSLLNEVFRSGKREKPNEETRGQLEEEIRSSLILSIVILLIVIVARVQTV >KJB41017 pep chromosome:Graimondii2_0_v6:7:6283236:6284777:-1 gene:B456_007G087400 transcript:KJB41017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGTMHINFPKIQLNSSSTTLSAVSSSSSHNLNLSVPSNYASPLRPSSSSSSSSCQNEICKRLEVVDGNENKIGTGSFNNFILGPVPSKPEVETALAALHNYIHGISSSTPEFKWLKPLLDSCHSRGLLCQGLGRVYDGFILLLTEPSVKVFCIYLLYQILFLKFF >KJB41018 pep chromosome:Graimondii2_0_v6:7:6283236:6284777:-1 gene:B456_007G087400 transcript:KJB41018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGTMHINFPKIQLNSSSTTLSAVSSSSSHNLNLSVPSNYASPLRPSSSSSSSSCQNEICKRLEVVDGNENKIGTGSFNNFILGPVPSKPEVETALAALHNYIHGISSSTPEFKWLKPLLDSCHSRGLLCQGLGRVYDGFILLLTEPSVKRLVVSISSDKAVWDAIKNNELVRKLLDLPLPAGLFLIAIAYYECPFYFV >KJB41387 pep chromosome:Graimondii2_0_v6:7:7615546:7618139:-1 gene:B456_007G102300 transcript:KJB41387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPQEPHRPFFHFANPFRKNSRKGSQFNPKRRSLLNDFETALSKKLQKLVPNDKGDILSLSWMKLAMVSLSEIHCDIKNLITELDLPVTEWDEKWMDVYLDISVKLLDISIAFTSELTRLNQGHLLLQYGLHKLESDSPELFSRASSSLDSWKQHIGSKNPRVETCRPILEKLVESLNLPKVKNSSKGKELMRAMYGAKVATTYICSVFAAAFSGSSKNLLDLTVPNTLPWAQVFSDVQNTVNVEIRNILSCGKFTVLRELDAVDACVKELSTLLQDGVGTSERESFKNSVSDLRKTEEKLSQGLDNLLKVVDSFFKIVLMGRDALLCNLRKAGGAVPDSVIGKNV >KJB41385 pep chromosome:Graimondii2_0_v6:7:7615546:7617754:-1 gene:B456_007G102300 transcript:KJB41385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPQEPHRPFFHFANPFRKNSRKGSQFNPKRRSLLNDFETALSKKLQKLVPNDKGDILSLSWMKLAMVSLSEIHCDIKNLITELDLPVTEWDEKWMDVYLDISVKLLDISIAFTSELTRLNQGHLLLQYGLHKLESDSPELFSRASSSLDSWKQHIGSKNPRVETCRPILEKLVESLNLPKVKNSSKGKELMRAMYGAKVATTYICSVFAAAFSGSSKNLLDLTVPNTLPWAQVFSDVQNTVNVEIRNILSCGKFTVLRELDAVDACVKELSTLLQDGVGTSERESFKNSVSDLRKTEEKLSQGLDNLLKVVDSFFKIVLMGRDALLCNLRKAGGAVPDSVIGKNV >KJB41386 pep chromosome:Graimondii2_0_v6:7:7615546:7617034:-1 gene:B456_007G102300 transcript:KJB41386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPQEPHRPFFHFANPFRKNSRKGSQFNPKRRSLLNDFETALSKKLQKLVPNDKGDILSLSWMKLAMVSLSEIHCDIKNLITELDLPVTEWDEKWMDVYLDISVKLLDISIAFTSELTRLNQGHLLLQYGLHKLESDSPELFSRASSSLDSWKQHIGSKNPRVETCRPILEKLVESLNLPKVKNSSKGKELMRAMYGAKVATTYICSVFAAAFSGSSKNLLDLTVPNTLPWAQVFSDVQNTVNVEIRNILSCGKFTVLRELDAVDACVKELSTLLQDGVGTSERESFKNSVSDLRKTEEKLSQGLDNLLKVVDSFFKIVLMGRDALLCNLRKAGGAVPDSVIGKNV >KJB41842 pep chromosome:Graimondii2_0_v6:7:9861221:9865616:-1 gene:B456_007G123900 transcript:KJB41842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRSKPLFLLFALSFAIVAIAGKSYYDILQVPKGASDEQIKRAYRKLALKYHPDKNPGNEEANKRFADINNAYEVLSDSEKRGIYDRYGEEGLKQHAASGGRGGMGVNIQDIFSSFFGGGSVEEEERIVKGDDVIVELDATLEDLYMGGTLKFRIHTAPHDRFRREGNDLHATVTITLVQALVGFDKTIKHLDDHLVEIGSKGITKPKEVRKFKGEGMPLHFSNKKGDLFVTYEVLFPTSLAEEQKAKIKSILG >KJB41841 pep chromosome:Graimondii2_0_v6:7:9861186:9865901:-1 gene:B456_007G123900 transcript:KJB41841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRSKPLFLLFALSFAIVAIAGKSYYDILQVPKGASDEQIKRAYRKLALKYHPDKNPGNEEANKRFADINNAYEVLSDSEKRGIYDRYGEEGLKQHAASGGRGGMGVNIQDIFSSFFGGGSVEEEERIVKGDDVIVELDATLEDLYMGGTLKVWREKNILKPAPGKRRCNCRNEVYHKQIGPGMFQQMTEQVCEQCQNVKYEREGYFVTVDIEKGMQDGQEVVFYEDGEPIIDGEPGDLKFRIHTAPHDRFRREGNDLHATVTITLVQALVGFDKTIKHLDDHLVEIGSKGITKPKEVRKFKGEGMPLHFSNKKGDLFVTYEVLFPTSLAEEQKAKIKSILG >KJB41763 pep chromosome:Graimondii2_0_v6:7:9374620:9376789:1 gene:B456_007G119000 transcript:KJB41763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGQNEMQKLFKEYDVTPFTLLKGIFIQGPIFVSESQKRLPTEDKSGAGIRIHDNNEGEESAARGVSLGDLIWLKLLGET >KJB41762 pep chromosome:Graimondii2_0_v6:7:9375666:9376789:1 gene:B456_007G119000 transcript:KJB41762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGQNEMQKLFKEYDVTPFTLLKGIFIQGPIFVSESQKRLPTEDKSGAGIRIHDNNEGEESAARGVSLGDLIWLKLLGET >KJB45429 pep chromosome:Graimondii2_0_v6:7:52162055:52162712:-1 gene:B456_007G307600 transcript:KJB45429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRWATSAFRWVFSDLISVRQWPMRWGHVEWPQLSRFSFSIVDDVVWSLITAFESVALVSMLCFFFLFCGCTF >KJB43306 pep chromosome:Graimondii2_0_v6:7:18939217:18942616:-1 gene:B456_007G193200 transcript:KJB43306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MEEGEAVVREGKSLEETPTWAVATVITVMICFGFLVHHALKNFGQWLERTKRKSLLAALEKIKEELMLFGVLSLLMGHWISFFAKICIKSSALDSRFYPCAKSSHKNSEHAVKLNTNSTVFRGKKLVTVHHGYCPEGKDSFASHESLEQLHHFVFVLGITHVSYSFIAIALAMIKIYSWRTWENHAKAVADQYLQESSPGEVGPRMKRLTTFISHHGSHPWSQSRVFVWLLCFTRQFWSSINYSDYTALRLGFITTHELPFTYDFHNYMVRSMEEEFRDIVGISVPFWIYCILCVFLDFHGTNLYFWLSFLPAILILLIGTKLHRVVVKLAVEIRENDPSEGFHKFNLRDELFWFGRPRFLLRLIQLISFQNAFEMASFLWSLWEIKDPSCFMENRAFLATRLAFGIISQCWCSFITFPLYVIVTQMGSKFRSAIISENVRHSLSKWKRRVREKHGPFLRESLSTSSLDSMATDINKGHSHGKRGPTDKDAASPSQQQEGSAAMQEPSPSSSSSHKGKLKSKSPQLNFSLEECSPNSDGTNADNNDDRFDVEDEIHCIEP >KJB43307 pep chromosome:Graimondii2_0_v6:7:18939024:18942642:-1 gene:B456_007G193200 transcript:KJB43307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MEEGEAVVREGKSLEETPTWAVATVITVMICFGFLVHHALKNFGQWLERTKRKSLLAALEKIKEELMLFGVLSLLMGHWISFFAKICIKSSALDSRFYPCAKSSHKNSEHAVKLNTNSTVFRGKKLVTVHHGYCPEGKDSFASHESLEQLHHFVFVLGITHVSYSFIAIALAMIKIYSWRTWENHAKAVADQYLQGEVGPRMKRLTTFISHHGSHPWSQSRVFVWLLCFTRQFWSSINYSDYTALRLGFITTHELPFTYDFHNYMVRSMEEEFRDIVGISVPFWIYCILCVFLDFHGTNLYFWLSFLPAILILLIGTKLHRVVVKLAVEIRENDPSEGFHKFNLRDELFWFGRPRFLLRLIQLISFQNAFEMASFLWSLWEIKDPSCFMENRAFLATRLAFGIISQCWCSFITFPLYVIVTQMGSKFRSAIISENVRHSLSKWKRRVREKHGPFLRESLSTSSLDSMATDINKGHSHGKRGPTDKDAASPSQQQEGSAAMQEPSPSSSSSHKGKLKSKSPQLNFSLEECSPNSDGTNADNNDDRFDVEDEIHCIEP >KJB43305 pep chromosome:Graimondii2_0_v6:7:18938977:18942921:-1 gene:B456_007G193200 transcript:KJB43305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MLFGVLSLLMGHWISFFAKICIKSSALDSRFYPCAKSSHKNSEHAVKLNTNSTVFRGKKLVTVHHGYCPEGKDSFASHESLEQLHHFVFVLGITHVSYSFIAIALAMIKIYSWRTWENHAKAVADQYLQESSPGEVGPRMKRLTTFISHHGSHPWSQSRVFVWLLCFTRQFWSSINYSDYTALRLGFITTHELPFTYDFHNYMVRSMEEEFRDIVGISVPFWIYCILCVFLDFHGTNLYFWLSFLPAILILLIGTKLHRVVVKLAVEIRENDPSEGFHKFNLRDELFWFGRPRFLLRLIQLISFQNAFEMASFLWSLWEIKDPSCFMENRAFLATRLAFGIISQCWCSFITFPLYVIVTQMGSKFRSAIISENVRHSLSKWKRRVREKHGPFLRESLSTSSLDSMATDINKGHSHGKRGPTDKDAASPSQQQEGSAAMQEPSPSSSSSHKGKLKSKSPQLNFSLEECSPNSDGTNADNNDDRFDVEDEIHCIEP >KJB42846 pep chromosome:Graimondii2_0_v6:7:15323125:15324718:-1 gene:B456_007G170100 transcript:KJB42846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFPVINLEKLNGAERSTTMDKINDACENWGFFQVLNHGIPHDFLDTVERLTKEHYKKCMEQRFKELVASKALEGLQAEVTDMDWESTFYIRHLPQSNVAEIPDLTDEYRNVMKEFAVKLENLAEELLDLFCENLGLEKGYLKMAFNGSKGPTFGTKVSNYPPCPTPDKIKGLRAHTDAGGIILLFQDPIVGGLQILKNGEWVDVPPMRHSFVINLGDQLEVISNGRYRSVEHRVMTQTQGSRMSIASFYNPGSDAIIYPAPALVENEAEEKKEWYPKFVFEDYMKLYAGMKFQAKEPRFEAMKATATA >KJB44628 pep chromosome:Graimondii2_0_v6:7:43333004:43333670:-1 gene:B456_007G262400 transcript:KJB44628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRMRLFLAMMVVLMAMSAVQYVAAADAPAPTPTSDATAFVPTAFASLVALAFGLLF >KJB42102 pep chromosome:Graimondii2_0_v6:7:11249778:11251065:1 gene:B456_007G137300 transcript:KJB42102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MAASFSSTTLFRPNLNPPKSFLSHSDCAQKGFGRVKCHFINVSAHSWSSAANYASIRHVAVKSSGKHVVVKHLLRKRVGLVKCATIEEIEAEKSSIEKDVKERMEKTIETVRANFNSIRTGRANPSMLDKVEVEYYGTPVSLKSIAQISTPESSSLLIQPFDKSRYGIRPS >KJB42101 pep chromosome:Graimondii2_0_v6:7:11249722:11254397:1 gene:B456_007G137300 transcript:KJB42101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MAASFSSTTLFRPNLNPPKSFLSHSDCAQKGFGRVKCHFINVSAHSWSSAANYASIRHVAVKSSGKHVVVKHLLRKRVGLVKCATIEEIEAEKSSIEKDVKERMEKTIETVRANFNSIRTGRANPSMLDKVEVEYYGTPVSLKSIAQISTPESSSLLIQPFDKSSLKAIEKAIVNSDLGMTPNNDGEVIRLSLPQLTTERRKELSKVVAKQAEEGKVAVRNIRRDALKAYEKLEKEKKLSEDNVKDLSSDLQKLTDEYMKKIDGIYKQKEKELLTV >KJB42107 pep chromosome:Graimondii2_0_v6:7:11249940:11252906:1 gene:B456_007G137300 transcript:KJB42107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MAASFSSTTLFRPNLNPPKSFLSHSDCAQKGFGRVKCHFINVSAHSWSSAANYASIRHVAVKSSGKHVVVKHLLRKRVGLVKCATIEEIEAEKSSIEKDVKERMEKTIETVRANFNSIRTGRANPSMLDKVEVEYYGTPVSLKSIAQISTPESSSLLIQPFDKSSLKAIEKAIVNSDLGMTPNNDGEVIRLSLPQLTTERRKELSKVVAKQAEEGKVAVRNIRRDALKAYEKLEKA >KJB42108 pep chromosome:Graimondii2_0_v6:7:11249778:11254397:1 gene:B456_007G137300 transcript:KJB42108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MAASFSSTTLFRPNLNPPKSFLSHSDCAQKGFGRVKCHFINVSAHSWSSAANYASIRHVAVKSSGKHVVVKHLLRKRVGLVKCATIEEIEAEKSSIEKDVKERMEKTIETVRANFNSIRTGRANPSMLDKVEVEYYGTPVSLKSIAQISTPESSSLLIQPFDKSSLKAIEKAIVNSDLGMTPNNDGEVIRLSLPQLTTERRKELSKVVAKQAEEGKVAVRNIRRDALKAYEKLEKACIFTALLPLHFCFLLYFLFPCLCFLDHLFLILFFNFIQEKKLSEDNVKDLSSDLQKLTDEYMKKIDGIYKQKEKELLTV >KJB42104 pep chromosome:Graimondii2_0_v6:7:11249778:11254397:1 gene:B456_007G137300 transcript:KJB42104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MAASFSSTTLFRPNLNPPKSFLSHSDCAQKGFGRVKCHFINVSAHSWSSAANYASIRHVAVKSSGKHVVVKHLLRKRVGLVKCATIEEIEAEKSSIEKDVVSKERMEKTIETVRANFNSIRTGRANPSMLDKVEVEYYGTPVSLKSIAQISTPESSSLLIQPFDKSSLKAIEKAIVNSDLGMTPNNDGEVIRLSLPQLTTERRKELSKVVAKQAEEGKVAVRNIRRDALKAYEKLEKEKKLSEDNVKDLSSDLQKLTDEYMKKIDGIYKQKEKELLTV >KJB42103 pep chromosome:Graimondii2_0_v6:7:11249778:11254397:1 gene:B456_007G137300 transcript:KJB42103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MLKERMEKTIETVRANFNSIRTGRANPSMLDKVEVEYYGTPVSLKSIAQISTPESSSLLIQPFDKSSLKAIEKAIVNSDLGMTPNNDGEVIRLSLPQLTTERRKELSKVVAKQAEEGKVAVRNIRRDALKAYEKLEKEKKLSEDNVKDLSSDLQKLTDEYMKKIDGIYKQKEKELLTV >KJB42105 pep chromosome:Graimondii2_0_v6:7:11249778:11254397:1 gene:B456_007G137300 transcript:KJB42105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MAASFSSTTLFRPNLNPPKSFLSHSDCAQKGFGRVKCHFINVSAHSWSSAANYASIRHVAVKSSGKHVVVKHLLRKRVGLVKCATIEEIEAEKSSIEKDVKERMEKTIETVRANFNSIRTGRANPSMLDKVEVEYYGTPVSLKSIAQISTPESSSLLIQPFDKSSLKAIEKAIVNSDLGMTPNNDGEVIRLSLPQLTTERRKELSKVVAKQAEEGKVAVRNIRRDALKAYEKLEKA >KJB42106 pep chromosome:Graimondii2_0_v6:7:11249778:11254397:1 gene:B456_007G137300 transcript:KJB42106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MAASFSSTTLFRPNLNPPKSFLSHSDCAQKGFGRVKCHFINVSAHSWSSAANYASIRHVAVKSSGKHVVVKHLLRKRVGLVKCATIEEIEAEKSSIEKDVKERMEKTIETVRANFNSIRTGRANPSMLDKVEVEYYGTPVSLKSIAQISTPESSSLLIQPFDKSSLKAIEKAIVNSDLGMTPNNDGEVIRLSLPQLTTERRKELSKVVAKQAEEGKVAVRNIRRDALKAYEKLEKEKKLSEDNVKDLSSDLQVT >KJB40834 pep chromosome:Graimondii2_0_v6:7:5634316:5637449:1 gene:B456_007G079300 transcript:KJB40834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPKDPAIKLFGKMIPLPEKSPKVATADFCATCGDDNTNNDNTDHSCSTNSSPEENKGEEREAEKDTVGAKTTDVEHEVADRKEEEGSKQDDGAPPVTSGESTNLEANSGASDNSKTPSAEKESTALKTSKTEEDQSETSNPQEKTLKKPTKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKNSASHYRQVTVSEALQNARIDIPNGVHHPALKANGTVLTFGSDAPLCESMASVLNLADKTMHNTTRNGFHRPEEVKIPVSYKDGENGVECSNGSSVPTSKDEAGKSGLQDQMMQNCQGFPPQMQCYPGAFWPYPWNSAQWSSPVPPPPPAFCPPGCYPMPFYPAAAYWGCTVPGTWNGPWHPQPSPSKQSASSSGPDSPTLGKHSRDENTSKPSNSGEEEQVKENNAERSLWIPKTLRIDDPGEAAKSSIWATLGIKNDKSDSIGGGGLFKAFQSKGDERTEVPETSPVLQANPAALSRSINFRESS >KJB40833 pep chromosome:Graimondii2_0_v6:7:5634140:5637449:1 gene:B456_007G079300 transcript:KJB40833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPKDPAIKLFGKMIPLPEKSPKVATADFCATCGDDNTNNDNTDHSCSTNSSPEENKGEEREAEKDTVGAKTTDVEHEVADRKEEEGSKQDDGAPPVTSGESTNLEANSGASDNSKTPSAEKESTALKTSKTEEDQSETSNPQEKTLKKPTKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKNSASHYRQVTVSEALQNARIDIPNGVHHPALKANDKTMHNTTRNGFHRPEEVKIPVSYKDGENGVECSNGSSVPTSKDEAGKSGLQDQMMQNCQGFPPQMQCYPGAFWPYPWNSAQWSSPVPPPPPAFCPPGCYPMPFYPAAAYWGCTVPGTWNGPWHPQPSPSKQSASSSGPDSPTLGKHSRDENTSKPSNSGEEEQVKENNAERSLWIPKTLRIDDPGEAAKSSIWATLGIKNDKSDSIGGGGLFKAFQSKGDERTEVPETSPVLQANPAALSRSINFRESS >KJB39772 pep chromosome:Graimondii2_0_v6:7:2070655:2073264:1 gene:B456_007G030200 transcript:KJB39772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNQSTHNKPFFFNYFQSLSQTPHRLRKRMLATWTPDQELNRIRLRSGADMKRKLKWFDLVALGVGGMLGVGVFVTTGNVARNTTGPSVFISYIVAGISALLSSLCYTEFSVQVPVAGGAFSYLRVTFGEFVGYFAGANILMEYVLSNAAVARSFTEYLCSAFGVSDPNSWRVEVHGLLQGYNKLDFIAVAVVLLLTLCLSHSTKESSMLNLIMTIFHIVFFGFIIIASFYNGNVNNLVKPKGLAPYGVRGVLDGAAIVYFSYIGYDSVSTLAEEIQNPRLSLPVGIVGSVLIVSGLYCLMALALCMMVPYQQIAETASYSMAFQRIGWKWAGNVVGAGASLGIIASLLVAMLGQARYLCVIGRARLVPLWLSKVHPSTGTPLNATLFLGLCTASISLFTDLDIVIEMISIGTLLVFYLVANALIYRKYVIIAKNPPCPTLSFLCFLTLTAIGFSVSWKMEHQWWGLPLFGGIMVIITALFHYMVPRFGQPSEWSVPLMPWPAAISIFLNVFLMTTLKTMSFKRFAIWGCLITVFYVLYGVHSTFEAEELEKETMDVNEVPNPSLQLTKLDA >KJB45597 pep chromosome:Graimondii2_0_v6:7:52849513:52852475:-1 gene:B456_007G314700 transcript:KJB45597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLGSVIVETGRCIFSFFFTSIATVIKLQHNTGRLRKEFEKLEDRKNGIEEDVRLAETEGKCATEQVKGWIWKVEEIEQEVQPMLEKADRLAVQGCGPCCNILPRYRLCRRMAKKQLEVQQLINSCCFDNVVTDKKSPVRAVEKQQGPSLAGQREAEEMIEKLMELLKGDENKRIAVWGMGGVGKTTLVRNLNNELESSSLMESIDIVIWITVSKDLDLKKVQSQIAKRLNLELDPNEVIEERAKMLLKRLMMKKFLLILDDVWEHIDLDIVGVPQTDDQANRKILLTTRSLDVCRAMMTDEEIKLDVLKQEAAWNLFAQNAGDVVEVPSINPLARAVAKECGGLPLALKTVGKSMRNKRRIELWKHALHHLQHSDPHVKNIEDEVYRRLKLSYDSLPSKILQSCFLFCSLYPENYSIRTDELIQCWIADRLINEHQPLEDCFNDGIALIETLKDSCLLEQGDCARTVKLHDVVRDVAIWISTKQGSEKPCASILFTNITKPPKQFSGFSELTVIILVGNPINKIHDNLFAGLRKLRVLNLSQSHIVTLPPSLSQLQELRALLLRDCCYLEKLPSLGALRKLLVMDLSGTRLRELPNGTSKLKKLQELHLFRTHHLETIEAGTISGLQSLELLDMSFSAYKWDTRCNVDYGKASFNEILTLDRLSIVKLRLDKVDSVTLDSAWLMKLREFNIQISPGSCDSNNLATQHDEKRAILRGVDLMGIKGLNGLLDTASALDLVICGGISALSELSISHRLSSLESVKSLSISKCDCITSLISGDNISGTILPNLEHLSLNRLENLGAILGGMVPRKGCLKWLKTIEVVDCKKLRALISFALLQQVKNLEQIKVKNCSKMKYIIGGEVSAEMIPKLRVIELSDLPMLKTICSRLPAWPALEMIEVRNCPMLTKLPFATSNGVAATLKEIRGELQWWNRLIYSNDEIKSSLQQRFQPLKPLR >KJB44064 pep chromosome:Graimondii2_0_v6:7:29524995:29530719:1 gene:B456_007G232500 transcript:KJB44064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVYEELEKVKFENEKLRSDLKSKAELYEHLKKFQNEQSTKLHEASSKIEKQAQQLLEKEEEISVVTRANEDLKCNLKEKESIIKHLNAANDKLRAERDGKNQKWEQENGRLVLALDEANEKSIDLEKKMDMLKAEIESLKAQLSVSQKKHSEAEKKAKNQKDLRERDDLLVKVEEDMKKVEHQLKWKKEQFKHLEEAHDRLRNQFKESEKEWEKEKCTLFDEISSLQTRLDSQIRITGDLQSRLQMCNQSLAHEETRRKYLEVEISEFKTRFEKSFAECQDAKSQLECLNSQRDKEVATLRHVLGTKESFYKEMEYRAGKLEEENQELLASLKELQEARIQEAGNSSSLSKLKNKLKSVEQMHKDCSSNLRTKEAEWNSQREEMMKKLNDYSSQLKTKDAALNVLETELESCLSSAVQLKLQNEEISIMMVLLKSGMFEAQLKLANAEAELGLHQKEGVENLSILRQQLEVKNTALANAQRDITEERERTAILSRKVDNLAQLEDKHQLMEKEVNTCKTILEESSKCQLWLKEQALQMETDSKEKIREVCDALDVANSQLAEEQEKVASLLSRVESLDLIEGQRFLMQKELKRYKERLEEASRCQIHLEEQALQRETESKEKLSKVCNALEAAKSELSKERERAVSLTKRVESLDLIEGQRFLMQKELERYKERLEEASRYQIHLEEQALQREAESKEKLSEVCNALEAAKSELSKERERAVSLMERVESLDLIEGQRFLMQKELERYKERLEEASRCQIHLEEQALQREAESKEKLSEVCNALEAAKSELSKERERAVSLTERVESLDHLEEQWLQKQDEVERYKKMLEEACRSQSQLEEQVGHMKKEFGEKLEAAFDALETVKSELAKERERTASLMKRVEQWALRQKDLDKYKERFEESFRCQLQLEEKISQIERDSERKLTEACNALEKANSELVEKVCKGHEIEFESWIWKSISERLKVDLEESRELRKQLEASLLAQVGVGQGIKKEKDDLIRLTKEKDQEILSLQQHMVTLEQELQARELRAVSSAEDSILQITREHDKVLEDLRREIDLLEEESLRRELEGAAYAHIGAERSFVREKENILQLVKEKDERIDGLMQVVRSMEEDFNGSLNSFSSELTEKQEHIKLVHEAWEKIASAEILAKLEIEEKKLMIAELEDDIHYIQEKLFSQQKSLSDSKQLALTIEAELEAKHLEMKNLTDQMEERLRTSEASVDELRTEKANLLEDIMKLSTEKDNLVGFIGGLNDSLGEFSSEDAQLMGILGRIVQSFDLSDSKGSNELYDCLKENKRSLPASPATKKTSSIFEERSPFRQLN >KJB44063 pep chromosome:Graimondii2_0_v6:7:29524995:29530719:1 gene:B456_007G232500 transcript:KJB44063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVYEELEKVKFENEKLRSDLKSKAELYEHLKKFQNEQSTKLHEASSKIEKQAQQLLEKEEEISVVTRANEDLKCNLKEKESIIKHLNAANDKLRAERDGKNQKWEQENGRLVLALDEANEKSIDLEKKMDMLKAEIESLKAQLSVSQKKHSEAEKKAKNQKDLRERDDLLVKVEEDMKKVEHQLKWKKEQFKHLEEAHDRLRNQFKESEKEWEKEKCTLFDEISSLQTRLDSQIRITGDLQSRLQMCNQSLAHEETRRKYLEVEISEFKTRFEKSFAECQDAKSQLECLNSQRDKEVATLRHVLGTKESFYKEMEYRAGKLEEENQELLASLKELQEARIQEAGNSSSLSKLKNKLKSVEQMHKDCSSNLRTKEAEWNSQREEMMKKLNDYSSQLKTKDAALNVLETELESCLSSAVQLKLQNEEISIMMVLLKSGMFEAQLKLANAEAELGLHQKEGVENLSILRQQLEVKNTALANAQRDITEERERTAILSRKVDNLAQLEDKHQLMEKEVNTCKTILEESSKCQLWLKEQALQMETDSKEKIREVCDALDVANSQLAEEQEKVASLLSRVESLDLIEGQRFLMQKELKRYKERLEEASRCQIHLEEQALQRETESKEKLSKVCNALEAAKSELSKERERAVSLTKRVESLDLIEGQRFLMQKELERYKERLEEASRYQIHLEEQALQREAESKEKLSEVCNALEAAKSELSKERERAVSLMERVESLDLIEGQRFLMQKELERYKERLEEASRCQIHLEEQALQREAESKEKLSEVCNALEAAKSELSKERERAVSLTERVESLDHLEEQWLQKQDEVERYKKMLEEACRSQSQLEEQVGHMKKEFGEKLEAAFDALETVKSELAKERERTASLMKRVEQWALRQKDLDKYKERFEESFRCQLQLEEKISQIERDSERKLTEACNALEKANSELVEKVCKGHEIEFESWIWKSISERLKVDLEESRELRKQLEASLLAQVGVGQGIKKEKDDLIRLTKEKDQEILSLQQHMVTLEQELQARELRAVSSAEDSILQITREHDKVLEDLRREIDLLEEESLRRELEGAAYAHIGAERSFVREKENILQLVKEKDERIDGLMQVVRSMEEDFNGSLNSFSSELTEKQEHIKLVHEAWEKIASAEILAKLEIEEKKLMIAELEDDIHYIQEKLFSQQKSLSDSKQLALTIEAELEAKHLEMKNLTDQMEERLRTSEASVDELRTEKANLLEDIMKLSTEKDNLVGFIGGLNDSLGEFSSEDAQLMGILGRIVQSFDLSDSKGSNELYDCLKENKRSLPASPATKKTSSIFEERSPFRQLN >KJB40362 pep chromosome:Graimondii2_0_v6:7:4244425:4245828:-1 gene:B456_007G060100 transcript:KJB40362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENIDFRRWDELIPDALGMIFKNLPLQEILTVVPRVCKSWRKAVAGPYCWQDIDIEQWSQQCRPETLDRMLQMLITRSSGSLRKLCVTGLANDQSFSLIADNAKSLQTLRLPRSEISDSIVEQVAGRLFSVTFLDVSYCRNIGAPALEAIGKNCKLLMGLRRTMHPLEVIDKLSQDDEAFAIATTMPKLKQLEVAYLLISTEAVLKILENCPELELLDVRGCWNVKLDENYIKKFTRLKVVGPLVVDYFGMKGCDDCSNYSGSSGYLAWDFIAGNVGSDYDDEISDGDWEDDQSMEDVEMRFYDGFDLDNAAFDWPLSP >KJB40357 pep chromosome:Graimondii2_0_v6:7:4244019:4246889:-1 gene:B456_007G060100 transcript:KJB40357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENIDFRRWDELIPDALGMIFKNLPLQEILTVVPRVCKSWRKAVAGPYCWQDIDIEQWSQQCRPETLDRMLQMLITRSSGSLRKLCVTGLANDQSFSLIADNAKSLQTLRLPRSEISDSIVEQVAGRLFSVTFLDVSYCRNIGAPALEAIGKNCKLLMGLRRTMHPLEVIDKLSQDDEAFAIATTMPKLKQLEVAYLLISTEAVLKILENCPELELLDVRGCWNVKLDENYIKKFTRLKVVGPLVVDYFGMKGCDDCSNYSGSSGYLAWDFIAGNVGSDYDDEISDGDWEDDQSMEDVEMRFYDGFDLDNAAFDWPLSP >KJB40361 pep chromosome:Graimondii2_0_v6:7:4244109:4246651:-1 gene:B456_007G060100 transcript:KJB40361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENIDFRRWDELIPDALGMIFKNLPLQEILTVVPRVCKSWRKAVAGPYCWQDIDIEQWSQQCRPETLDRMLQMLITRSSGSLRKLCVTGLANDQSFSLIADNAKSLQTLRLPRSEISDSIVEQVAGRLFSVTFLDVSYCRNIGAPALEAIGKNCKLLMGLRRTMHPLEVIDKLSQDDEAFAIATTMPKLKQLEVAYLLISTEAVLKILENCPELELLDVRGCWNVKLDENYIKKFTRLKVVGPLVVDYFGMKGCDDCSNYSGSSGYLAWDFIAGNVGSDYDDEISDGDWEDDQSMEDVEMRFYDGFDLDNAAFDWPLSP >KJB40358 pep chromosome:Graimondii2_0_v6:7:4244109:4246624:-1 gene:B456_007G060100 transcript:KJB40358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENIDFRRWDELIPDALGMIFKNLPLQEILTVVPRVCKSWRKAVAGPYCWQDIDIEQWSQQCRPETLDRMLQMLITRSSGSLRKLCVTGLANDQSFSLIADNAKSLQTLRLPRSEISDSIVEQVAGRLFSVTFLDVSYCRNIGAPALEAIGKNCKLLMGLRRTMHPLEVIDKLSQDDEAFAIATTMPKLKQLEVAYLLISTEAVLKILENCPELELLDVRGCWNVKLDENYIKKFTRLKVVGPLVVDYFGMKGCDDCSNYSGSSGYLAWDFIAGNVGSDYDDEISDGDWEDDQSMEDVEMRFYDGFDLDNAAFDWPLSP >KJB40360 pep chromosome:Graimondii2_0_v6:7:4244425:4245828:-1 gene:B456_007G060100 transcript:KJB40360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENIDFRRWDELIPDALGMIFKNLPLQEILTVVPRVCKSWRKAVAGPYCWQDIDIEQWSQQCRPETLDRMLQMLITRSSGSLRKLCVTGLANDQSFSLIADNAKSLQTLRLPRSEISDSIVEQVAGRLFSVTFLDVSYCRNIGAPALEAIGKNCKLLMGLRRTMHPLEVIDKLSQDDEAFAIATTMPKLKQLEVAYLLISTEAVLKILENCPELELLDVRGCWNVKLDENYIKKFTRLKVVGPLVVDYFGMKGCDDCSNYSGSSGYLAWDFIAGNVGSDYDDEISDGDWEDDQSMEDVEMRFYDGFDLDNAAFDWPLSP >KJB40359 pep chromosome:Graimondii2_0_v6:7:4244109:4246756:-1 gene:B456_007G060100 transcript:KJB40359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENIDFRRWDELIPDALGMIFKNLPLQEILTVVPRVCKSWRKAVAGPYCWQDIDIEQWSQQCRPETLDRMLQMLITRSSGSLRKLCVTGLANDQSFSLIADNAKSLQTLRLPRSEISDSIVEQVAGRLFSVTFLDVSYCRNIGAPALEAIGKNCKLLMGLRRTMHPLEVIDKLSQDDEAFAIATTMPKLKQLEVAYLLISTEAVLKILENCPELELLDVRGCWNVKLDENYIKKFTRLKVVGPLVVDYFGMKGCDDCSNYSGSSGYLAWDFIAGNVGSDYDDEISDGDWEDDQSMEDVEMRFYDGFDLDNAAFDWPLSP >KJB41681 pep chromosome:Graimondii2_0_v6:7:8931482:8935145:-1 gene:B456_007G114900 transcript:KJB41681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPLPREWVGLQQFPAATQTKLFELLGKLKQKNVNTLTILVMGKGGVGKSSTINSLIGEQVVRVTAFQSEGLRPVMASRSWAGFTLNVIDTPGLVEAGYVNHQALQLIKGVDDLDKQIIRAITNSFGKEIWRKSLLVLTHAQLCPPDGLSYDVFSSKRSEGVLKAIRIGARIRKKDFDDSVIPVVLVENSGRCNKNDSDEKILPNDDAWIPNLVKAITSVATNKSQAIVVSKKLVDGSDASEKGKLWIPVILGLQWFVIKWIQGAIKRDIATGNGPI >KJB41680 pep chromosome:Graimondii2_0_v6:7:8931482:8935080:-1 gene:B456_007G114900 transcript:KJB41680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPLPREWVGLQQFPAATQTKLFELLGKLKQKNVNTLTILVMGKGGVGKSSTINSLIGEQVVRVTAFQSEGLRPVMASRSWAGFTLNVIDTPGLVEAGYVNHQALQLIKGILSFLLFWLKIVGGAIKMTVTKRFFRMMMLGSQT >KJB41685 pep chromosome:Graimondii2_0_v6:7:8931557:8934764:-1 gene:B456_007G114900 transcript:KJB41685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPLPREWVGLQQFPAATQTKLFELLGKLKQKNVNTLTILVMGKGGVGKSSTINSLIGEQVVRVTAFQSEGLRPVMASRSWAGFTLNVIDTPGLVEAGYVNHQALQLIKGVDDLDKQIIRAITNSFGKEIWRKSLLVLTHAQLCPPDGLSYDVFSSKRSEGVLKAIRIGARIRKKDFDDSVIPVVLVENSGRCNKNDSDEKILPNDDAWIPNLVKAITSVATNKSQAIVVSKKLVDGSDASEKGKLWIPVILGLQWFVIKWIQGAIKRDIATGNGPI >KJB41683 pep chromosome:Graimondii2_0_v6:7:8931909:8934627:-1 gene:B456_007G114900 transcript:KJB41683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPLPREWVGLQQFPAATQTKLFELLGKLKQKNVNTLTILVMGKGGVGKSSTINSLIGEQVVRVTAFQSEGLRPVMASRSWAGFTLNVIDTPGLVEAGYVNHQALQLIKGFLLNKTIDVLLYVDRLDAYRVDDLDKQIIRAITNSFGKEIWRKSLLVLTHAQLCPPDGLSYDVFSSKRSEGVLKAIRIGARIRKKDFDDSVIPVVLVENSGRCNKNDSDEKILPNDDAWIPNLVKAITSVATNKSQAIVVSKKLVDGSDASEKGKLWIPVILGLQWFVIKWIQGAIKRDIATGNGPI >KJB41682 pep chromosome:Graimondii2_0_v6:7:8931514:8934815:-1 gene:B456_007G114900 transcript:KJB41682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPLPREWVGLQQFPAATQTKLFELLGKLKQKNVNTLTILVMGKGGVGKSSTINSLIGEQVVRVTAFQSEGLRPVMASRSWAGFTLNVIDTPGLVEAGYVNHQALQLIKGFLLNKTIDVLLYVDRLDAYRVDDLDKQIIRAITNSFGKEIWRKSLLVLTHAQLCPPDGLSYDVFSSKRSEGVLKAIRIGARIRKKDFDDSVIPVVLVENSGRCNKNDSDEKILPNDDAWIPNLVKAITSVATNKSQAIVVSKKLVDGSDASEKGKLWIPVILGLQWFVIKWIQGAIKRDIATGNGPI >KJB41684 pep chromosome:Graimondii2_0_v6:7:8931557:8934667:-1 gene:B456_007G114900 transcript:KJB41684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFAVMGTPLPREWVGLQQFPAATQTKLFELLGKLKQKNVNTLTILVMGKGGVGKSSTINSLIGEQVVRVTAFQSEGLRPVMASRSWAGFTLNVIDTPGLVEAGYVNHQALQLIKGFLLNKTIDVLLYVDRLDAYRVDDLDKQIIRAITNSFGKEIWRKSLLVLTHAQLCPPDGLSYDVFSSKRSEGVLKAIRIGARIRKKDFDDSVIPVVLVENSGRCNKNDSDEKILPNDDAWIPNLVKAITSVATNKSQAIVVSKKLVDGSDASEKGKLWIPVILGLQWFVIKWIQGAIKRDIATGNGPI >KJB42885 pep chromosome:Graimondii2_0_v6:7:15824883:15826074:-1 gene:B456_007G172400 transcript:KJB42885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKARRRIARQKKAVRIFPRPTSGPLRPIVHGQTLKYNMKLRAGKGFTLEELKAAGISKKLAPTIGIAVDHRRKNKSLEGLQANVQRLKTYKAKLVVFPRRARKFKAGDSTAEELATATQVQGSYMPISREKPSVELVKVTEEMKSFKAYNKLRVERTNERHIGARLKKAAEAEKEDKK >KJB42886 pep chromosome:Graimondii2_0_v6:7:15824561:15826347:-1 gene:B456_007G172400 transcript:KJB42886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKARRRIARQKKAVRIFPRPTSGPLRPIVHGQTLKYNMKLRAGKGFTLEELKAAGISKKLAPTIGIAVDHRRKNKSLEGLQANVQRLKTYKAKLVVFPRRARKFKAGDSTAEELATATQVQGSYMPISREKPSVELVKVTEEMKSFKAYNKLRVERTNERHIGARLKKAAEAEKEDKK >KJB43310 pep chromosome:Graimondii2_0_v6:7:19011776:19013359:-1 gene:B456_007G193400 transcript:KJB43310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFSCYHFSIITFLSFVLVVFLHGVTAATFTFVNKCDYTVWPGILANPGSPTLESTGFELRNGGSRSFQAPTGWAGRFWGRTGCSFDDSGHGSCTTGDCDSGEIECNGAGATPPATLAEFTLGSGSQDFYDVSLVDGYNLPMIVEGNGGSGECATTGCMTDLNKKCPSELKFDGGDACKSACDAFGNPEYCCSGAYSSPTACKPSIYSEVFKSACPKSYSYAFDDATSTFTCTGADYTITFCPNSPSLKSSKDPAAESTGESGPDTDSVQAAALASQWLANLATADSTRTQLYSPAQFGFSVIIFLFL >KJB42891 pep chromosome:Graimondii2_0_v6:7:28335442:28336496:1 gene:B456_007G229700 transcript:KJB42891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSPSPSLDAFMSTSQPKVDYAEEKSSKKHQIYSKEFQAMLDGLDAEDSLEEGGQATEKKRRLSMHQVKALEKNFDVGNKLEPERKVKLVEELGLQPRQVAIWFQNRCARWKTKVLEKDYAMLKANREKEPPRNCSNCLHSPSDNRQLSGDDCPLVPPKSSPEMTVNGS >KJB42890 pep chromosome:Graimondii2_0_v6:7:28335439:28336496:1 gene:B456_007G229700 transcript:KJB42890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSPSPSLDAFMSTSQPKEEKSSKKHQIYSKEFQAMLDGLDAEDSLEEGGQATEKKRRLSMHQVKALEKNFDVGNKLEPERKVKLVEELGLQPRQVAIWFQNRCARWKTKVLEKDYAMLKANREKEPPRNCSNCLHSPSDNRQLSGDDCPLVPPKSSPEMTVNGS >KJB41996 pep chromosome:Graimondii2_0_v6:7:10665120:10665729:-1 gene:B456_007G131800 transcript:KJB41996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SISIPLSLSPHHHFHSTVFSLSLNHQPHHVLLIHLFAYSNILSLSFLYIRLLNFHSWLPIFDHLMATLWSVMVFMACFSSLALSSSQVHAVQFSSISAAPAFLPTAPLSSSPSLPPDIEPLLPTPKGMAPSPTESSFPTIPSSPSPPNPDSMLAPGPGFAPSPSGSLPASTAVSLASAGVLNSTLFFGLLVALCLLQQLSGV >KJB41995 pep chromosome:Graimondii2_0_v6:7:10664945:10665729:-1 gene:B456_007G131800 transcript:KJB41995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SISIPLSLSPHHHFHSTVFSLSLNHQPHHVLLIHLFAYSNILSLSFLYIRLLNFHSWLPIFDHLMATLWSVMVFMACFSSLALSSSQVHAVQFSSISAAPAFLPTAPLSSSPSLPPDIEPLLPTPKGMAPSPTESSFPTIPSSPSPPNPDSMLAPGPGFAPSPSGSLPASTAVSLASAGVLNSTLFFGLLVALCLLQQLSGV >KJB41168 pep chromosome:Graimondii2_0_v6:7:6832789:6837085:-1 gene:B456_007G093500 transcript:KJB41168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRGLSSVRVLSNRSSGSRLPIAILVFFSVLAPLVFFVGRGLYISDQNSIQSDFSKQNVDWRERLALQSIKNLFTKEVVDVVTTSTADLGPLNLDSFRKGNLSASWKVVGVETSVQDNAISKSNQKATDLKQETSQDKEGKFLDDDHSHDTPAKILRRQLRDDRREKRATELVKQDEKVTVKLENAAIERSKAVDSAVLGKYNLWRRENENVNSDSTVRLMRDQIIMSKVYVSIAKSKNKLDLQQELQIRLKEIQQALGESTADSGLPHSASEKIKEMGKVLSKAREQLFDCKLVTGKLRAMLQTSEEQVRRLKKQSMFLSQLAAKTIPYSIHCLSLRLTIQYYLLPQEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKDPSKHVFHLVTNKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYSPVLRQLETASMKEYYFKADHPTVSGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWSVDLHGKVNGAVETCGQSFHRFDKYLNFSNHHISRNFDPNACGWAYGMNMFDLRVWKKKDITGIYHKWQNMNEDRALWKLGTLPPGLITFYGLTHPLEKSWHVLGLGYNPSVHKKEIEHAAVIHYNGNMKPWLDLAMTKYRPYWTKYVKYDHYYLRSCNLSE >KJB42273 pep chromosome:Graimondii2_0_v6:7:12382902:12385319:1 gene:B456_007G146600 transcript:KJB42273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQERHKKRFLLELHQNPPKPMSHALHLVSNNVPGYGPADCRYRSCSGISGRALTLSPCSSYSERRICSLVKMRSSKCGSRGIPFACKASSGGHRRSPDFSRQRHGLQGRNRQNEDKYSFENLDESEMLSSKNGPVLSLSGSTNFQATAAPSPREKEIVELFKKVQAKLRERAAAKEDKRAEALQRKSNESETVDSLLKLLRKHSVEQGKKNDGIGNSSDLHLDHPEVNDSSIEDKSSSFFDSNVRVKTEDKKTYAPTLSRPASNFRRKSPVLRTKYQQVYSSEETINSDRNLSLVESPAAPDDLPELESESEPESIYQEPNMLDELSESKSTDVGTDESERQVEHEDLSALKVQELRALAKSRGLKGFSKMKKSELVVLLS >KJB42274 pep chromosome:Graimondii2_0_v6:7:12382938:12385246:1 gene:B456_007G146600 transcript:KJB42274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQERHKKRFLLELHQNPPKPMSHALHLVSNNVPGYGPADCRYRSCSGISGRALTLSPCSSYSERRICSLVKMRSSKCGSRGIPFACKASSGGHRRSPDFSRQRHGLQVLSLSGSTNFQATAAPSPREKEIVELFKKVQAKLRERAAAKEDKRAEALQRKSNESETVDSLLKLLRKHSVEQGKKNDGIGNSSDLHLDHPEVNDSSIEDKSSSFFDSNVRVKTEDKKTYAPTLSRPASNFRRKSPVLRTKYQQVYSSEETINSDRNLSLVESPAAPDDLPELESESEPESIYQEPNMLDELSESKSTDVGTDESERQVEHEDLSALKVQELRALAKSRGLKGFSKMKKSELVVLLS >KJB39239 pep chromosome:Graimondii2_0_v6:7:286212:289488:1 gene:B456_007G003400 transcript:KJB39239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASKVADLKLLVDQCKSNPSIIHTPSLSFFKSYLLSLGAQIPTDPQTDRGGVKMADPEQQSDDKKPYISNEEDDDIIESDVELDNNGVVEPDNNPPQKMGDTSVEVTEEKRDAAQSEKLKAMDAISGGILHLLNSWCVFFYLFISLQILSFFPSSGKLDEAINYLTEAIMLNPTSAILYATRASVFVKLSQPNAAIRDADAALKINPDSAKGYKGRGMARAMLGQWEEAASDLHVASKLDYDEEIGSVLKKVEPNAHKIEEHRRKYERLRKERELKRSERQRQQKKAEAQDQEALSAFKDGQVIGVHSTSELEIKLNAATRTSRLAILYFTATWCGPCRFISPLYTSLAAKYAKVVFLKVDIDEGRDVAARWNISSVPTFFFIRNGKEVDKVVGADKNTLESKIAQYAS >KJB39237 pep chromosome:Graimondii2_0_v6:7:286005:289488:1 gene:B456_007G003400 transcript:KJB39237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCGSIKNHRFQFDKKKVRPPPWLASPSFFFTCFWILKRKGRKHREREREREAYATVMDASKVADLKLLVDQCKSNPSIIHTPSLSFFKSYLLSLGAQIPTDPQTDRGGVKMADPEQQSDDKKPYISNEEDDDIIESDVELDNNGVVEPDNNPPQKMGDTSVEVTEEKRDAAQSEKLKAMDAISGGKLDEAINYLTEAIMLNPTSAILYATRASVFVKLSQPNAAIRDADAALKINPDSAKGYKGRGMARAMLGQWEEAASDLHVASKLDYDEEIGSVLKKVEPNAHKIEEHRRKYERLRKERELKRSERQRQQKKAEAQDQEALSAFKDGQVIGVHSTSELEIKLNAATRTSRLAILYFTATWCGPCRFISPLYTSLAAKYAKVVFLKVDIDEGRDVAARWNISSVPTFFFIRNGKEVDKVVGADKNTLESKIAQYAS >KJB39238 pep chromosome:Graimondii2_0_v6:7:286212:289488:1 gene:B456_007G003400 transcript:KJB39238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASKVADLKLLVDQCKSNPSIIHTPSLSFFKSYLLSLGAQIPTDPQTDRGGVKMADPEQQSDDKKPYISNEEDDDIIESDVELDNNGVVEPDNNPPQKMGDTSVEVTEEKRDAAQSEKLKAMDAISGGKLDEAINYLTEAIMLNPTSAILYATRASVFVKLSQPNAAIRDADAINPDSAKGYKGRGMARAMLGQWEEAASDLHVASKLDYDEEIGSVLKKVEPNAHKIEEHRRKYERLRKERELKRSERQRQQKKAEAQDQEALSAFKDGQVIGVHSTSELEIKLNAATRTSRLAILYFTATWCGPCRFISPLYTSLAAKYAKVVFLKVDIDEGRDVAARWNISSVPTFFFIRNGKEVDKVVGADKNTLESKIAQYAS >KJB40621 pep chromosome:Graimondii2_0_v6:7:5019799:5019852:-1 gene:B456_007G0714002 transcript:KJB40621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKTTGFSLHIWSLDPKF >KJB43850 pep chromosome:Graimondii2_0_v6:7:25222648:25225948:-1 gene:B456_007G219600 transcript:KJB43850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRLFVILRLLLLYFLQLENSSFLMARKEILAEYMELPARRRGWVLDQELIRRIVTQLNVEASFYGVIRRDKDSENLLKEIQNGIVVCGTELEQVERNLQFFLKNPSSLDTITEVMYHEMILEETLELVRLRKRVLEANEFVGPTRMEFGANIVPNLVDPSEIPLHAQNASAQACLERGLNYNFMNWPPLNDVQAPTNFTHQSIGLQQPLRNLAEPLVERLPFPMRLPSPMRSHHLQGHGHLGGNEVSTQPNMNFDDYVYRPAPPIVNANFPPMNMDTMPQAAPRGSEAEAEAENWRSGNSSNPDSSLWFLG >KJB43851 pep chromosome:Graimondii2_0_v6:7:25223073:25225929:-1 gene:B456_007G219600 transcript:KJB43851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLELKKIENRTYRHITFAKRKSGLVKKAYELSTLCDIEVALIIFSPAGKLFLFDGKKRIEEILAEYMELPARRRGWVLDQELIRRIVTQLNVEASFYGVIRRDKDSENLLKEIQNGIVVCGTELEQVERNLQFFLKNPSSLDTITEVMYHEMILEETLELVRLRKRVLEANEFVGPTRMEFGANIVPNLVDPSEIPLHAQNASAQACLERGLNYNFMNWPPLNDVQAPTNFTHQSIGLQQPLRNLAEPLVERLPFPMRLPSPMRSHHLQGHGHLGGNEVSTQPNMNFDDYVYRPAPPIVNANFPPMNMDTMPQAAPRGSEAEAEAENWRSGNSSNPDSSLWFLG >KJB46343 pep chromosome:Graimondii2_0_v6:7:59338613:59339403:-1 gene:B456_007G361600 transcript:KJB46343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTMLRRRLFSRAFSSHEGPSRWTTPGHQERPNGYLFNRTPPPPGQSRKWEDWELPCYVTSFLTIVILGVGLNAKPDLTIETWAHQKALEKLAAESPSSSSD >KJB42076 pep chromosome:Graimondii2_0_v6:7:11033837:11034533:1 gene:B456_007G135200 transcript:KJB42076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRVAKFSGAHSFFKWLAWLNRLNMNQLSTNAVKASCCCWDFHLESINHFLRGCSMENEIWTLLQIESQPPDFFNSYFETWLMENLKAN >KJB43153 pep chromosome:Graimondii2_0_v6:7:17981889:17985808:-1 gene:B456_007G187100 transcript:KJB43153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLAWAADVVGGHGGNSNQDDDFNNIPLIFSPEQQQYVQELDRKASSLTRLIQDLRLRLPPPDISQRLPHLHAHSLASNAALALQLNAHSSTREQAQLREETLQQENTAYENAIPDCDGKIHEKVQEADMLRSKLKEMDEIEKNLRAELEDAETNFHASHSRKLADSVVESTMSAEDESNAEASKKSAILDKLEKKKNELVHYISLLILYTALILFPVFKEVL >KJB43156 pep chromosome:Graimondii2_0_v6:7:17982797:17985705:-1 gene:B456_007G187100 transcript:KJB43156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLAWAADVVGGHGGNSNQDDDFNNIPLIFSPEQQQYVQELDRKASSLTRLIQDLRLRLPPPDISQRLPHLHAHSLASNAALALQLNAHSSTREQAQLREETLQQENTAYENAIPDCDGKIHEKVQEADMLRSKLKEMDEIEKNLRAELEDAETNFHASHSRKLADSVVESTMSAEDESNAEASKKSAILDKLEKKKNELISMEETVKDLENKWESIQSKASKQLSPAQREKALDKQLHSLIEQLAAKQVSYLIYPIKFKVD >KJB43155 pep chromosome:Graimondii2_0_v6:7:17981889:17985808:-1 gene:B456_007G187100 transcript:KJB43155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLAWAADVVGGHGGNSNQDDDFNNIPLIFSPEQQQYVQELDRKASSLTRLIQDLRLRLPPPDISQRLPHLHAHSLASNAALALQLNAHSSTREQAQLREETLQQENTAYENAIPDCDGKIHEKVQEADMLRSKLKEMDEIEKNLRAELEDAETNFHASHSRKLADSVVESTMSAEDESNAEASKKSAILDKLEKKKNELISMEETVKDLENKWESIQSKASKQLSPAQREKALDKQLHSLIEQLAAKQLLL >KJB43154 pep chromosome:Graimondii2_0_v6:7:17983816:17985705:-1 gene:B456_007G187100 transcript:KJB43154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLAWAADVVGGHGGNSNQDDDFNNIPLIFSPEQQQYVQELDRKASSLTRLIQDLRLRLPPPDISQRLPHLHAHSLASNAALALQLNAHSSTREQAQLREETLQQENTAYENAIPDCDGKIHEKVQEADMLRSKLKEMDEIEKNLRAELEDAETNFHASHSRKLADSVVESTMSAEDESNAEASKKSAILDKLEKKKNELVHYISLLILYTALILFPVFKEVL >KJB43157 pep chromosome:Graimondii2_0_v6:7:17983017:17985808:-1 gene:B456_007G187100 transcript:KJB43157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLAWAADVVGGHGGNSNQDDDFNNIPLIFSPEQQQYVQELDRKASSLTRLIQDLRLRLPPPDISQRLPHLHAHSLASNAALALQLNAHSSTREQAQLREETLQQENTAYENAIPDCDGKIHEKVQEADMLRSKLKEMDEIEKNLRAELEDAETNFHASHSRKLADSVVESTMSAEDESNAEASKKSAILDKLEKKKNELISMEETVKDLENKWESIQSKASKQLSPGTLHHRPFRTSFMS >KJB43152 pep chromosome:Graimondii2_0_v6:7:17981835:17985838:-1 gene:B456_007G187100 transcript:KJB43152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLAWAADVVGGHGGNSNQDDDFNNIPLIFSPEQQQYVQELDRKASSLTRLIQDLRLRLPPPDISQRLPHLHAHSLASNAALALQLNAHSSTREQAQLREETLQQENTAYENAIPDCDGKIHEKVQEADMLRSKLKEMDEIEKNLRAELEDAETNFHASHSRKLADSVVESTMSAEDESNAEASKKSAILDKLEKKKNELISMEETVKDLENKWESIQSKASKQLSPAQREKALDKQLHSLIEQLAAKQAQAEGLIGEIHSKEKELERLSGLWTKLESSNAEATAARNRFGRGSSDRGSSSDFSVDYNPKLPYYTGGRSENQQRLMLLRSAFVLYILALHILVFIRISF >KJB45276 pep chromosome:Graimondii2_0_v6:7:50986520:50989342:1 gene:B456_007G298700 transcript:KJB45276 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G05410) UniProtKB/Swiss-Prot;Acc:F4J7A7] MALQQYFQACKYVSRNKVYMTQSSNNRQGKSPAEEFAPLATTFRRRLLAGVGSASLVAVGANFGGLTSFLLGLVPESGRSLKLDVLYPIEGYSRRIENNEGFEFIYPASWVGDQRLLYRAAERLERSLDPLPTNSPKSGNRPRKNVNEPIVAYGPPGSSGELNVSVIVSPVPLDFSIETFGGPKEVGEVVVKTITGQRSDVKGTLIESTMREDPKMNVKYYELEFKVESPSFKRHNVAVCCARGGKT >KJB45277 pep chromosome:Graimondii2_0_v6:7:50986674:50988528:1 gene:B456_007G298700 transcript:KJB45277 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G05410) UniProtKB/Swiss-Prot;Acc:F4J7A7] MALQQYFQACKYVSRNKVYMTQSSNNRQGKSPAEEFAPLATTFRRRLLAGVGSASLVAVGANFGGLTSFLLGLVPESGRSLKLDVLYPIEGYSRRIENNEGFEFIYPASWVGDQRLLYRAAERLERSLDPLPTNSPKSGNRPRKNVNEPIVAYGPPGSSGELNVSVIVSPVPLDFSIETFGGPKEVGEVVVKTITGQRSDVKGTLIESTMREDPKMNVKYYELEFKVESPSFKRHNVAVCCARGGRLFTLNAQAAESAWPELKLAFYRIANSFNLTS >KJB39140 pep chromosome:Graimondii2_0_v6:7:6104963:6105181:-1 gene:B456_007G0854001 transcript:KJB39140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDSGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPAVLKECRRIIAESE >KJB39141 pep chromosome:Graimondii2_0_v6:7:6104963:6105305:-1 gene:B456_007G0854001 transcript:KJB39141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDSGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPAVLKECRRIIAESE >KJB39139 pep chromosome:Graimondii2_0_v6:7:6104963:6105181:-1 gene:B456_007G0854001 transcript:KJB39139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDSGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPAVLKECRRIIAESE >KJB46595 pep chromosome:Graimondii2_0_v6:7:60789833:60792739:1 gene:B456_007G376600 transcript:KJB46595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHMLFCFWVLLLFMNNAAGETRHYKFNVEYHNVTRLCHTRTVLTVNRKFPGPRLVAREGDRVIVKVVNHIANNVTIHWHGVRQLTTGWSDGPSYITQCPLQANQSYIYNFTITGQRGTLWWHAHISWLRVSIYGPIIILPKRNESYPFAKPDKEVTIMLGEWFNSDPEAIISQALQTGAGPNVSDAYTINGYPGPLYNCSSKDTYKLKVKPGKTYLLRLINAALNDELFFSIANHTVTVVEGDAVYTKPFVTDKLLITPGQTTNVLLKTKPEFPNATFLMAARPYFTGQGTIDNSTTVGILEYEHPSHHNHQSSKNLTIIQPVLPPINATGFAVNFTGKFRSLGNAKYPANVPKTVDKKFFFTVGLGTNPCPKNTTCQGPNNSSKFAASVNNVSFQLPTIAILQAYYFGQNGVYTTDFPTQPLIPFNYTGTAPNNTNVMNGTRAVVLPFNTSVELVMQDTSILGAESHPLHLHGYNFFIVGQGFGNYDPNKDPLKFNLVDPMERNTASVPAGGWLAIRFFADNPGAWFMHCHLDVHTSWGLRMTWIVLDGPGPNQKLQPPPSDLPQC >KJB39610 pep chromosome:Graimondii2_0_v6:7:1570911:1573794:-1 gene:B456_007G021800 transcript:KJB39610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWHACLDEYQKLVIRMSTPRVVIDNTVCRTATVVKVDSARRHGTLLDAVQILTDLNLSIKKAYISSDGQWFMDVFHVTDLNGNKLTDESVISYIEQSLETTYPDKSLGFNGLTALELTGTDRVGLLSEVFAVLAEFQCNVVDAKVWTHNGRIASLIYVKDCNSGSPIEDSRRIDRIEARLRNVLRGDNDIRSARTSVSMDVTHTERRLHQMMSADRDYEWKPDLQCWTDLPVVNVQNWTERGYSVVNVQCEDRPKLLFDVVCTLTDMEYVVFHASINTTGDKAYLEFYIRHTDGTPISSEPERHRLIQCLQAAIERRASEGIRLELCTSDRQGLLTDVTRTFRENGLNVTRAEISTAMGVAMNVFHVTDATRNLVDPKSIESVRQKIGLGNLKVKELPFRHHQMAERQDEHEIGVGGAVLLSLGSLVRKNLYNLGLIKSYS >KJB39611 pep chromosome:Graimondii2_0_v6:7:1569952:1573757:-1 gene:B456_007G021800 transcript:KJB39611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSWMSLETTYPDKSLGFNGLTALELTGTDRVGLLSEVFAVLAEFQCNVVDAKVWTHNGRIASLIYVKDCNSGSPIEDSRRIDRIEARLRNVLRGDNDIRSARTSVSMDVTHTERRLHQMMSADRDYEWKPDLQCWTDLPVVNVQNWTERGYSVVNVQCEDRPKLLFDVVCTLTDMEYVVFHASINTTGDKAYLEFYIRHTDGTPISSEPERHRLIQCLQAAIERRASEGIRLELCTSDRQGLLTDVTRTFRENGLNVTRAEISTAMGVAMNVFHVTDATRNLVDPKSIESVRQKIGLGNLKVKELPFRHHQMAERQDEHEIGVGGAVLLSLGSLVRKNLYNLGLIKSYS >KJB39612 pep chromosome:Graimondii2_0_v6:7:1571257:1573455:-1 gene:B456_007G021800 transcript:KJB39612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWHACLDEYQKLVIRMSTPRVVIDNTVCRTATVVKVDSARRHGTLLDAVQILTDLNLSIKKAYISSDGQWFMDVFHVTDLNGNKLTDESVISYIEQSLETTYPDKSLGFNGLTALELTGTDRVGLLSEVFAVLAEFQCNVVDAKVWTHNGRIASLIYVKDCNSGSPIEDSRRIDRIEARLRNVLRGDNDIRSARTSVSMDVTHTERRLHQMMSADRDYEWKPDLQCWTDLPVVNVQNWTERGYSVVNVQCEDRPKLLFDVVCTLTDMEYVVFHASINTTGDKAYLEFYIRHTDGTPISSEPERHRLIQCLQAAIERRASEGIRLELCTSDRQGLLTDVTRTFRENGLNVTRAEISTAMGVAMNVFHVTDATRNLVDPKSIESVRQKIGLGNLKVKELPFRHHQMAERQDEHEIGVGGAVLLSLGSLVRKNLYNLGLIKSYS >KJB40868 pep chromosome:Graimondii2_0_v6:7:5800974:5803570:1 gene:B456_007G080900 transcript:KJB40868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMERRSGMDIHSKRWFPYLDRFKCGSESLSSREVIEAVAPVLMEERKERLKRVVRNRSYSVCLVVEGLCDFGNVSATFRSADALGLQSVHVVSCDSSKRYRENRHVSMGAEKWLDIELWNSPKECFKVLKSRGYRIATTHVGMDAVSIYDMDWSRPTAIVVGNENRGISDEALELSDLHCSIPMKGMVDSFNVSVAAGIVMHHAVCDRTVRLGCHGDLNEDESQILLAEFLLRHNNSSISIANEYAKRKAHMPLIPRL >KJB40861 pep chromosome:Graimondii2_0_v6:7:5800974:5803038:1 gene:B456_007G080900 transcript:KJB40861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACNTLIRAHLSNLHCKLDLNPKPFFFPLSLRLLTSVPLCNRISSCRIRTRSFSAIPEVAPLEDESPEDLAKDTVEHLLTHQDDVARLMKMERRSGMDIHSKRWFPYLDRFKCGSESLSSREVIEAVAPVLMEERKERLKRVVRNRSYSVCLVVEGLCDFGNVSATFRSADALGLQSVHVVSCDSSKRYRENRHVSMGAEKWLDIELWNSPKECFKVLKSRGYRIATTHVGMDAVSIYDMDWSRPTAIVVGNENR >KJB40867 pep chromosome:Graimondii2_0_v6:7:5800974:5803570:1 gene:B456_007G080900 transcript:KJB40867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACNTLIRAHLSNLHCKLDLNPKPFFFPLSLRLLTSVPLCNRISSCRIRTRSFSAIPEVAPLEDESPEDLAKDTVEHLLTHQDDVARLMKMERRSGMDIHSKRWFPYLDRFKCGSESLSSREVIEAVAPVLMEERKERLKRVVRNRSYSVCLVVEGLCDFGNVSATFRSADALGLQSVHVVSCDSSKRYRENRHVSMGAEKWLDIELWNSPKECFKVLKSRGYRIATTHVGMDAVSIYDMDWSRPTAIVVGNENR >KJB40860 pep chromosome:Graimondii2_0_v6:7:5800906:5803803:1 gene:B456_007G080900 transcript:KJB40860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACNTLIRAHLSNLHCKLDLNPKPFFFPLSLRLLTSVPLCNRISSCRIRTRSFSAIPEVAPLEDESPEDLAKDTVEHLLTHQDDVARLMKMERRSGMDIHSKRWFPYLDRFKCGSESLSSREVIEAVAPVLMEERKERLKRVVRNRSYSVCLVVEGLCDFGNVSATFRSADALGLQSVHVVSCDSSKRYRENRHVSMGAEKWLDIELWNSPKECFKVLKSRGYRIATTHVGMDAVSIYDMDWSRPTAIVVGNENRGISDEALELSDLHCSIPMKGMVDSFNVSVAAGIVMHHAVCDRTVRLGCHGDLNEDESQILLAEFLLRHNNSSISIANEYAKRKAHMPLIPRL >KJB40866 pep chromosome:Graimondii2_0_v6:7:5801030:5802223:1 gene:B456_007G080900 transcript:KJB40866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACNTLIRAHLSNLHCKLDLNPKPFFFPLSLRLLTSVPLCNRISSCRIRTRSFSAIPEVAPLEDESPEDLAKDTVEHLLTHQDDVARLMKMERRSGMDIHSKRWFPYLDRFKCGSESLSSREVIEAVAPVLMEERKERLKRVVRNRSYSVCLVVEGLCDFGNVSATFRSADALGLQSVHVVSCDSSKRYRENRHVSMGAEKWLDIELWNSPKECFKVLKSRGYRIATTHVGMDAVLIIFPIFGGTY >KJB40864 pep chromosome:Graimondii2_0_v6:7:5800974:5803570:1 gene:B456_007G080900 transcript:KJB40864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMERRSGMDIHSKRWFPYLDRFKCGSESLSSREVIEAVAPVLMEERKERLKRVVRNRSYSVCLVVEGLCDFGNVSATFRSADALGLQSVHVVSCDSSKRYRENRHVSMGAEKWLDIELWNSPKECFKVLKSRGYRIATTHVGMDAVSIYDMDWSRPTAIVVGNENRGISDEALELSDLHCSIPMKGMVDSFNVSVAAGIVMHHAVCDRTVRLGCHGDLNEDESQILLAEFLLRHNNSSISIANEYAKRKAHMPLIPRL >KJB40865 pep chromosome:Graimondii2_0_v6:7:5800974:5803570:1 gene:B456_007G080900 transcript:KJB40865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACNTLIRAHLSNLHCKLDLNPKPFFFPLSLRLLTSVPLCNRISSCRIRTRSFSAIPEVAPLEDESPEDLAKDTVEHLLTHQDDVARLMKMERRSGMDIHSKRWFPYLDRFKCGSESLSSREVIEAVAPVLMEERKERLKRVVRNRSYSVCLVVEGLCDFGNVSATFRSADALGLQSVHVVSCDSSKRYRENRHVSMGAEKWLDIELWNSPKECFKVLKSRGYRIATTHVSIYDMDWSRPTAIVVGNENRGISDEALELSDLHCSIPMKGMVDSFNVSVAAGIVMHHAVCDRTVRLGCHGDLNEDESQILLAEFLLRHNNSSISIANEYAKRKAHMPLIPRL >KJB40863 pep chromosome:Graimondii2_0_v6:7:5801030:5802223:1 gene:B456_007G080900 transcript:KJB40863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACNTLIRAHLSNLHCKLDLNPKPFFFPLSLRLLTSVPLCNRISSCRIRTRSFSAIPEVAPLEDESPEDLAKDTVEHLLTHQDDVARLMKMERRSGMDIHSKRWFPYLDRFKCGSESLSSREVIEAVAPVLMEERKERLKRVVRNRSYSVCLVVEGLCDFGNVSATFRSADALGLQSVHVVSCDSSKRYRENRHVSMGAEKWLDIELWNSPKECFKVLKSRGYRIATTHVGMDAVLIIFPIFGGTY >KJB40862 pep chromosome:Graimondii2_0_v6:7:5800974:5803570:1 gene:B456_007G080900 transcript:KJB40862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACNTLIRAHLSNLHCKLDLNPKPFFFPLSLRLLTSVPLCNRISSCRIRTRSFSAIPEVAPLEDESPEDLAKDTVEHLLTHQDDVARLMKMERRSGMDIHSKRWFPYLDRFKCGSESLSSREVIEAVAPVLMEERKERLKRVVRNRSYSVCLVVEGLCDFGNVSATFRSADALGLQSVHVVSCDSSKRYRENRHVSMGAEKWLDIELWNSPKECFKVLKSRGYRIATTHVGMDAVSIYDMDWSRPTAIVVGNENRGISDEALELSDLHCSIPMKGMVDSFNVSVAAGIVMHHAVCDRTVRLGCHGDLNEDESQILLAEFLLRHNNSSISIANEYAKRKAHMPLIPRL >KJB45610 pep chromosome:Graimondii2_0_v6:7:52972829:52975403:1 gene:B456_007G315600 transcript:KJB45610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDAKSKLQYEELKQGVRRMLVTITDKPSQKLDIIDTIQHLGVAYHFKKEIDDALQIIYHNDCNQVQTDDDLYTTAVRFRLLREHGFNVRGETFIKFKDEKGKFKESLINDVKGILELYEAAHFQLHGENILEEALSFAVFHLKLKETTVDYPLSTQIANALKRPFHKSLPRWWTDLNVATNFPFARDRMVECYLWILGVYFEPQYSIARTFMTKVISLTSILDDIYDAYGTKEELELLTEAIQRWDNKCINQLPDYMKLKSHRFQFAIEAMKQQCQAYYVEFKWLHENYMPTLDEYLSVALVTSCYQLLTIVSFVGMEDSITKETFIWAFNDPKILRALTNICRLMDDVVSHQFEQERGHVPSAVECYMKQYGASKQEAYDELYKQIKNAWKDINEGFLKPRQVPISALNRILNLTRVLDLFYKDHDGSTNVGDSIKASITTLLIDPISV >KJB40188 pep chromosome:Graimondii2_0_v6:7:3512343:3513968:-1 gene:B456_007G049900 transcript:KJB40188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHYIIVITLLALGLAPHCLSSKTVGGGYLYPQFYDHSCPKAQEIVRNVVAKAVAGEPRMAASLLRLHFHDCFVKGCDASILLDSSGSIISEKRSNPNRNSARGFEVIDEIKAVMEKECPHTVSCADIMALAARDSTVLTGGPSWEVPLGRRDARGASLSGSNNNIPAPNNTFQTILTKFKLQGLDIVDLVALSGSHTIGNSRCTSFRQRLYNQSGNGQPDNTLDQSYASQLRRNCPRSGGDQNLFFLDFVSPIKFDNSYFKNLLANKGLLNSDQVLFTKNGESRELVKTYAYNQELFFQQFAKSMIKMGNISPLTGYRGEIRQNCRKINA >KJB43754 pep chromosome:Graimondii2_0_v6:7:23516284:23528401:1 gene:B456_007G214600 transcript:KJB43754 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G67100) UniProtKB/Swiss-Prot;Acc:Q9FHA3] MCSILTGIMISYLEEDRMVKFPNSFPNFTGKNPIEIKGIQQLFHRKKNKKETKSSRLMDFDGPIDQPTDTGGRRRGRGAEAEKRAGALERLRALRQGGRRSDASVPAYLVKLDEPVFDNCDEDAYQEIVNKRRKEAEDFIENDDEYGDFGYGDDGNEVDWTQASHYLSSDDEGSDGGRYSRKKKVEKKEKKENNNNNSSRVSKSSASLSAAAAMMGKQRVSSMFTSSAFNKKGKETDKVKCESIVDDVIKQFAPDESDREHRRRGQNSQLTSVRPFKVAPSVVTSVKSEGELVSEGLNELVEKYPSNNEEAVVESSEIEVDKVEPEVELKVEIVEEKKEEKEGSVLKLNAKISEEKKDEALSATAGWKAVKGDGNGNVNGSVEGINGFTGEGQSEFELDVDGSMPFYILDAHEEFYGANMGTLYLFGKVKVRSGYQSCCVVVKNIQRCVYAIPVSSIFHNEDIVKLEKDAEESKISLSSFQSKLHDMASELKNEVANHLLNLNVSGFTMAPVKRRYAFERSDVPVGENYVLKINYPFKDPPLPSDLKGEKFCALLGTHNSALELFLVKRKVKGPSWLSVSKFSACPAPQRVSWCKYEIIVDSPKDIKVSSSSKKTTEIPPIVVSAINLKTIINERQNVNEIVSASIICCHRAKIDTPMLASEWKKPGLLSHFTVVRKLDGGIFPMGFTKEVTDRNSKAGSNVLVSESSERALLNRLVIELYKLDSDVLVGHNISGFDLDVLLHRAQACKVPSSMWSKVGRLKRSVMPRLTKGSTIYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLSKTQLNKDRKEITPQDIPQMFQTSELLMELIEYGETDAWLSMELMFHLSVLPLTRQLTNISGNLWEKTLQGARAQRVEYLLLHAFHAKKYIVPDKFSSHTKGTKVAKRRINHGVENGNSDEVDNNDMNFEEETHNERGKGKKGPAYAGGLVLEPKRGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERFPDGLIRRLPSSKTAGVLPELLKNLVQRRRMVKSWMKNASGIKVQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITQQGREILQSTVDLVQNNLNLEVIYGDTDSIMVYSGLDDIAKAKAIAGKVIQEVNKKYRCLEIDLDGLYKRMLLLKKKKYAAVKVQFKDGMTYEVIERKGLDMVRRDWSLLSKELGDFCLAQILSGGSCEYVVESIHNSLMKVQEEMRNGQVELQKYIITKTLTKPPEAYPDAKNQPHVQVALRMKQSGYSTGCSAGDTIPYIICCEQGTSSSNSTGIAYRARHPDELKKDEGKWMIDIDYYLSQQIHPVVSRLCASIQGTSPERLADCLGLDSSKFQSKSSVAVSNDTANTLLFAVDDEERYRGCEPLTLLCPSCSATFTCPAVFSSIHTIGEKPKKMQQEESTSNFWRTLRCPQCPEEGDMGRMSPGMIANQVKRQVDGFISMYYRGLMTCDDETCKHTTRSLNLRLFGDSEKGTVCPNYPRCNGHLVRKYTEADLYKQLAYFCYLLDTSRCIEKMDTSARIAVEKELAKVRPVVDLAASTVKRIRDRCAFGWVQINDLIVTF >KJB43753 pep chromosome:Graimondii2_0_v6:7:23516284:23527999:1 gene:B456_007G214600 transcript:KJB43753 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G67100) UniProtKB/Swiss-Prot;Acc:Q9FHA3] MCSILTGIMISYLEEDRMVKFPNSFPNFTGKNPIEIKGIQQLFHRKKNKKETKSSRLMDFDGPIDQPTDTGGRRRGRGAEAEKRAGALERLRALRQGGRRSDASVPAYLVKLDEPVFDNCDEDAYQEIVNKRRKEAEDFIENDDEYGDFGYGDDGNEVDWTQASHYLSSDDEGSDGGRYSRKKKVEKKEKKENNNNNSSRVSKSSASLSAAAAMMGKQRVSSMFTSSAFNKKGKETDKVKCESIVDDVIKQFAPDESDREHRRRGQNSQLTSVRPFKVAPSVVTSVKSEGELVSEGLNELVEKYPSNNEEAVVESSEIEVDKVEPEVELKVEIVEEKKEEKEGSVLKLNAKISEEKKDEALSATAGWKAVKGDGNGNVNGSVEGINGFTGEGQSEFELDVDGSMPFYILDAHEEFYGANMGTLYLFGKVKVRSGYQSCCVVVKNIQRCVYAIPVSSIFHNEDIVKLEKDAEESKISLSSFQSKLHDMASELKNEVANHLLNLNVSGFTMAPVKRRYAFERSDVPVGENYVLKINYPFKDPPLPSDLKGEKFCALLGTHNSALELFLVKRKVKGPSWLSVSKFSACPAPQRVSWCKYEIIVDSPKDIKVSSSSKKTTEIPPIVVSAINLKTIINERQNVNEIVSASIICCHRAKIDTPMLASEWKKPGLLSHFTVVRKLDGGIFPMGFTKEVTDRNSKAGSNVLVSESSERALLNRLVIELYKLDSDVLVGHNISGFDLDVLLHRAQACKVPSSMWSKVGRLKRSVMPRLTKGSTIYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLSKTQLNKDRKEITPQDIPQMFQTSELLMELIEYGETDAWLSMELMFHLSVLPLTRQLTNISGNLWEKTLQGARAQRVEYLLLHAFHAKKYIVPDKFSSHTKGTKVAKRRINHGVENGNSDEVDNNDMNFEEETHNERGKGKKGPAYAGGLVLEPKRGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERFPDGLIRRLPSSKTAGVLPELLKNLVQRRRMVKSWMKNASGIKVQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITQQGREILQSTVDLVQNNLNLEVIYGDTDSIMVYSGLDDIAKAKAIAGKVIQEVNKKYRCLEIDLDGLYKRMLLLKKKKYAAVKVQFKDGMTYEVIERKGLDMVRRDWSLLSKELGDFCLAQILSGGSCEYVVESIHNSLMKVQEEMRNGQVELQKYIITKTLTKPPEAYPDAKNQPHVQVALRMKQSGYSTGCSAGDTIPYIICCEQGTSSSNSTGIAYRARHPDELKKDEGKWMIDIDYYLSQQIHPVVSRLCASIQGTSPERLADCLGLDSSKFQSKSSVAVSNDTANTLLFAVDDEERYRGCEPLTLLCPSCSATFTCPAVFSSIHTIGEKPKKMQQEESTSNFWRTLRCPQCPEEGDMGRMSPGMIANQVKRQVDGFISMYYRGLMTCDDETCKHTTRSLNLRLFGDSEKGTVCPNYPRCNGHLVRKVS >KJB40480 pep chromosome:Graimondii2_0_v6:7:4653400:4654014:1 gene:B456_007G066100 transcript:KJB40480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEIKHGNVTHVPNKGSPESPHVACSDSNHNQNNNNKEQDRFLPIANVSRILKKVIPSNGKISKDAKETIQECVSEFISFVTGEASDKCQREKRKTINGDDIIWAITTLGFEEYVGPLKLYLSKYREMEGEKLIFPKQQRSDQRRQHSEYEQNIVFNNNNNININTNSNNNVYSSINVYPSFVPSDQPFSLPFSSNSFQKQLQ >KJB42785 pep chromosome:Graimondii2_0_v6:7:14950719:14951891:1 gene:B456_007G167700 transcript:KJB42785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGKLMKKGKAAANMMDRLPREVVVHILSRLPLPSLLNSKLVCRGWRTLIRDPFFISKHLSNLAEAGNDPSFILESNWPIPDQRHFIDFFDHSEGKVISKKLPSSPMPMYLVDSCNGLLCMHDTSRSVYICNPFTRLYIELPKLIKYPTLVGNLGLGFHQKTKEYKVIQIVFRRKLRRVDSSTTSTTSSESEVQILTIGSPSWRDLGTIPYRFIHLKTKALVNGRLHWLSKPNRYTTASLLVSFDLETEQFQEVPKPDCCGSERCLRHLMVVRGCLSAGAFHENDERVEIWVMKEYGAKESWIKELSIGRYMPPTLTQQESRHFIYSKRNLFVRVLCVLKNGEILLEYKSRALVIYDPQHETFKEFTFPEMPRWFKIIIHVGSLNWLHH >KJB46219 pep chromosome:Graimondii2_0_v6:7:58358390:58358697:-1 gene:B456_007G352900 transcript:KJB46219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KMLSSRRYVNVIVLVLLTLSLLVLPLLLPPLPPPPWMMLLVPVLIMALLFSLAFSPSLEPNIDFTFV >KJB42014 pep chromosome:Graimondii2_0_v6:7:10892074:10896247:-1 gene:B456_007G133800 transcript:KJB42014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLCLMASHGYAPSPGLVFHQEKGASRMIKECQTLLPSKAMKHDMVQTAPFDLKCNGIKELPKPVTLLCEPKLIVDVDPKAQKPMVIDKPDSALFGSGIAEKCTRHEKLLKFLVSGSKDVDKGELDLSLLSDLIEPLMFGVHQQPYASLIYPSSEFDDQKPLPDIVGEMVQDSKLIVNSDGLVVLTSSGTEMKDILSIVAEFHLSSNSTKWRRQSGLVPFFNRTRSKKVHASTSLAPQYEVASVAPPKSPEKIKPKPSQKRKTSKKSTRERNLYKANYFHACESLLSLMVNKHRHGKMAILSLKKSGPELPQLLTQFSAGIAGTGLAVVFSVFCKVAYARAPLCTSNLFSTSLGFGLVWLSWAVNRLRDTIVHISKNTGKLDMKEKEMIKRVEKSVDDIYFRAATLMAAAMLRFV >KJB42013 pep chromosome:Graimondii2_0_v6:7:10892074:10896187:-1 gene:B456_007G133800 transcript:KJB42013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLCLMASHGYAPSPGLVFHQEKGASRMIKECQTLLPSKAMKHDMVQTAPFDLKCNGIKELPKPVTLLCEPKLIVDVDPKAQKPMVIDKPALFGSGIAEKCTRHEKLLKFLVSGSKDVDKGELDLSLLSDLIEPLMFGVHQQPYASLIYPSSEFDDQKPLPDIVGEMVQDSKLIVNSDGLVVLTSSGTEMKDILSIVAEFHLSSNSTKWRRQSGLVPFFNRTRSKKVHASTSLAPQYEVASVAPPKSPEKIKPKPSQKRKTSKKSTRERNLYKANYFHACESLLSLMVNKHRHGKMAILSLKKSGPELPQLLTQFSAGIAGTGLAVVFSVFCKVAYARAPLCTSNLFSTSLGFGLVWLSWAVNRLRDTIVHISKNTGKLDMKEKEMIKRVEKSVDDIYFRAATLMAAAMLRFV >KJB42015 pep chromosome:Graimondii2_0_v6:7:10892533:10895888:-1 gene:B456_007G133800 transcript:KJB42015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLCLMASHGYAPSPGLVFHQEKGASRMIKECQTLLPSKAMKHDMVQTAPFDLKCNGIKELPKPVTLLCEPKLIVDVDPKAQKPMVIDKPVAHLDSALFGSGIAEKCTRHEKLLKFLVSGSKDVDKGELDLSLLSDLIEPLMFGVHQQPYASLIYPSSEFDDQKPLPDIVGEMVQDSKLIVNSDGLVVLTSSGTEMKDILSIVAEFHLSSNSTKWRRQSGLVPFFNRTRSKKVHASTSLAPQYEVASVAPPKSPEKIKPKPSQKRKTSKKSTRERNLYKANYFHACESLLSLMVNKHRHGKMAILSLKKSGPELPQLLTQFSAGIAGTGLAVVFSVFCKVAYARAPLCTSNLFSTSLGFGLVWLSWAVNRLRDTIVHISKNTGKLDMKEKEMIKRVEKSVDDIYFRAATLMAAAMLRFV >KJB46364 pep chromosome:Graimondii2_0_v6:7:59990850:59993270:-1 gene:B456_007G367200 transcript:KJB46364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPLNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMACVNHDTGLVDSKKFGLLANWRREYTMEDILTQLKKEMAASHNRKLVQPPEE >KJB46365 pep chromosome:Graimondii2_0_v6:7:59991414:59993214:-1 gene:B456_007G367200 transcript:KJB46365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPLNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMACVNHDTGLVDSKKFGLLANWRREYTMEDILTQLKKEMAASHNRKLVQPPEGTYF >KJB42309 pep chromosome:Graimondii2_0_v6:7:12535561:12540113:1 gene:B456_007G148200 transcript:KJB42309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAMAGLYKRVLPSPPAVDFASSDGKTQSEPAYCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLEKVKEKGISFGKLVCLAHCAGAKVQAFRTNQSSLDDFRKFVVRCSTSDDCHIISSYHRATFKQTGTGHFSPIGGYHAGRDMALILDVARFKYPPHWVPLRLLWEAMESVDEATRQCRGFLLISRPHRDPGLLYTLSCKHESWVKVAKYLMDDVPNLVKTDDVKDVHKVLSVVFSSLPSHFGEFIKWIAEVRRREDGGQNLSLEENGRLSLKEEVLKQVQETGLFKHVVAFLSSLTLCCRNAPTLSDENNLPDIAATVCCQGAELLSGAFGSSERYCCQETCIRRLRANGDKPITLVSGTVVNGSSEQGVDVLVPSCPNKLNCCGSGPSNCNGIYPAGNDVLTALLLALPPETWRGIKDEKLLNEMYSLVSTENLPILLQEEVLHLRRQLHLLKKCQENKVDEDLGEPLC >KJB42306 pep chromosome:Graimondii2_0_v6:7:12535429:12540515:1 gene:B456_007G148200 transcript:KJB42306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAMAGLYKRVLPSPPAVDFASSDGKQLFIEAIQNGTMEGFYKLISYFQTQSEPAYCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLEKVKEKGISFGKLVCLAHCAGAKVQAFRTNQSSLDDFRKFVVRCSTSDDCHIISSYHRATFKQTGTGHFSPIGGYHAGRDMALILDVARFKYPPHWVPLRLLWEAMESVDEATRQCRGFLLISRPHRDPGLLYTLSCKHESWVKVAKYLMDDVPNLVKTDDVKDVHKVLSVVFSSLPSHFGEFIKWIAEVRRREDGGQNLSLEENGRLSLKEEVLKQVQETGLFKHVVAFLSSLTLCCRNAPTLSDENNLPDIAATVCCQGAELLSGAFGSSERYCCQETCIRRLRANGDKPITLVSGTVVNGSSEQGVDVLVPSCPNKLNCCGSGPSNCNGIYPAGNDVLTALLLALPPETWRGIKDEKLLNEMYSLVSTENLPILLQEEVLHLRRQLHLLKKCQENKVDEDLGEPLC >KJB42308 pep chromosome:Graimondii2_0_v6:7:12535561:12540113:1 gene:B456_007G148200 transcript:KJB42308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAMAGLYKRVLPSPPAVDFASSDGKQLFIEAIQNGTMEGFYKLISYFQTQSEPAYCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLEKVKEKGISFGKLVCLAHCAGAKVQAFRTNQSSLDDFRKFVVRCSTSDDCHIISSYHRATFKQTGTGHFSPIGGYHAGRDMALILDVARFKYPPHWVPLRLLWEAMESVDEATRQCRGFLLISRPHRDPGLLYTLSCKHESWVKVAKYLMDDVPNLVKTDDVKDVHKVLSVVFSSLPSHFGEFIKWIAEVRRREDGGQNLSLEENGRLSLKEEVLKQVQETGLFKHVVAFLSSLTLCCRNAPTLSDENNLPDIAATVCCQGAELLSGAFGSSESGKW >KJB42307 pep chromosome:Graimondii2_0_v6:7:12535802:12538956:1 gene:B456_007G148200 transcript:KJB42307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAMAGLYKRVLPSPPAVDFASSDGKQLFIEAIQNGTMEGFYKLISYFQTQSEPAYCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLEKVKEKGISFGKLVCLAHCAGAKVQAFRTNQSSLDDFRKFVVRCSTSDDCHIISSYHRATFKQTGTGHFSPIGGYHAGRDMALILDVARFKYPPHWVPLRLLWEAMESVDEATRQCRGFLLISRPHRDPGLLYTLSCKHESWVKVAKYLMDDVPNLVKTDDVKDVHKVLSVVFSSLPSHFGEFIKWIAEVRRREDGGQNLSLEENGRLSLKVL >KJB41641 pep chromosome:Graimondii2_0_v6:7:8764538:8765685:1 gene:B456_007G113100 transcript:KJB41641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQEGKPKTPKHSQGGTGRSCGLCLFIFLLLAGASAVAVCLIYRPRKPRFAVVGAAIYQLNATSQPIISTSMQFTIVTRNPNRRVSIFYDKLQASVSYRNQQITPATDLPPLYQEAKSTVALTPLLGSGTVPASAEVVNGLMMDEAYGVVALRVVLLGKLRWKAGAIKTVKYGVYVKCDVCVDLKKGAVGPVPLLGAPPCKVDI >KJB44873 pep chromosome:Graimondii2_0_v6:7:47416453:47418733:-1 gene:B456_007G276900 transcript:KJB44873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIMDAERDKYSMINFEETELRLGLPTGIENDNETAKNNGKRGYSDMVDLKLNLSTTKEASVDEAEKMKEKNTAKPPAKAQVVGWPPVRSFRKNIMTVQKNSSDNKGEKTGSGNTINTAVPAAFVKVSMDGAPYLRKVDLKLYKSYQELSDALGKMFSSFTVGNCGGSQGMKDFMNEGKLIDLLNGSEYVPTYEDKDGDWMLIGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAVEKCKEQKLMLASLQNNNLS >KJB44872 pep chromosome:Graimondii2_0_v6:7:47417094:47418249:-1 gene:B456_007G276900 transcript:KJB44872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIMDAERDKYSMINFEETELRLGLPTGIENDNETAKNNGKRGYSDMVDLKLNLSTTKEASVDEAEKMKEKNTAKPPAKAQVVGWPPVRSFRKNIMTVQKNSSDNKGEKTGSGNTINTAVPAAFVKVSMDGAPYLRKVDLKLYKSYQELSDALGKMFSSFTVGNCGGSQGMKDFMNEGKLIDLLNGSEYVPTYEDKDGDWMLIGDVPWE >KJB44871 pep chromosome:Graimondii2_0_v6:7:47416453:47418581:-1 gene:B456_007G276900 transcript:KJB44871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVQKNSSDNKGEKTGSGNTINTAVPAAFVKVSMDGAPYLRKVDLKLYKSYQELSDALGKMFSSFTVGNCGGSQGMKDFMNEGKLIDLLNGSEYVPTYEDKDGDWMLIGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAVEKCKEQKLMLASLQNNNLS >KJB45303 pep chromosome:Graimondii2_0_v6:7:51144397:51146646:1 gene:B456_007G299600 transcript:KJB45303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTDGKPETKQESKPETKQESKEVEDNQAPPLKYKAWVLKVSIHCEGCKRKVERTLRKIEGVYEAYADLKQQKATVKANLHVNAETLIKKLVKKGRHAELWPEKAESKEKKQGKPKNNDKQGGQANGEEANNGNHGGDKQKETVKNEVKVQQEDGAKSSENGGGSSKNSEGCSNVSKANDQGGGGAACKNGVQVKEPKPEVKQNVISVAGNQSSVAEKKGGGSGAGGNTEGNGNGNAGEKSGNGSGSKKNKKKGQKANADEGGEQHPGDAVPASIGSHFKVHGPHGPVPMPSPANHSPPRQHPMYEYPTYYHAPPVYLTSYNMAYPSSSYTASYYTSPPPYSYAYMHPGHTAERQTSDMDMYSSYPSYSSHQSDSFEMFSDENPNACSIM >KJB45302 pep chromosome:Graimondii2_0_v6:7:51144435:51146498:1 gene:B456_007G299600 transcript:KJB45302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTDGKPETKQESKPETKQESKEVEDNQAPPLKYKAWVLKVSIHCEGCKRKVERTLRKIEGVYEAYADLKQQKATVKANLHVNAETLIKKLVKKGRHAELWPEKAESKEKKQGKPKNNDKQGGQANGEEANNGNHGGDKQKETVKNEVKVQQEDGAKSSENGGGSSKNSEGCSNVSKANDQGGGGAACKNGVQVKEPKPEVKQNVISVAGNQSSVAEKKGGGSGAGGNTEGNGNGNAGEKSGNGSGSKKNKKKGQKANADEGGEQHPGDAVPASIGSHFKVHGPHGPVPMPSPANHSPPRQHPMYEYPTYYHAPPVYLTSYNMAYPSSSYTASYYTSPPPYSYAYMHPGHTAERQTSDMDMYSSYPSYSSHQSDSFEMFSDENPNACSIM >KJB46637 pep chromosome:Graimondii2_0_v6:7:60949775:60951799:-1 gene:B456_007G379700 transcript:KJB46637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVSEKFPLGIGASPFLCTRFILQTNDNTKKMDCIFSSITTYPFITLRGKLQQSICVTNLAHPNTIICYSKQPKNHRYPRRTKLPPDFGVNLFLEKPRTETDMSTDTEQIKSIYGHNSDSPEEEDDKEDHVNIDLAWESDEVEAISSLFQGRIPQKPGKVGRERPLPLPLPYKLRPLGFPMPKKHVKKSSAGVNSSRASVSQQLYKNPSFLIGLSKEIKDLASDDDVSAVLNKWAPLLRKGSLSITIRELGLMDLPQRALQTFCWAQKMPHLYPDDRILTSTVEVLARKRELKLPVNLEKFTGSTNRSLIEAMLKGFVKGGSLNLAWKLLSVVKQSKRMLDPGIYAKLILELGKNPDKHILVEELLGDLGERDDLNLSQQDCTAIMKVCIRHRKFEIVESLFYWFKQSGRDPSVVMYTTLLHSRYSENKYMEALALVWEMEARECLLDLPAYRVVIKLFIGLKDLGKSSEIFFPNSRKRVSLQHMICIVT >KJB46636 pep chromosome:Graimondii2_0_v6:7:60949251:60951720:-1 gene:B456_007G379700 transcript:KJB46636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVSEKFPLGIGASPFLCTRFILQTNDNTKKMDCIFSSITTYPFITLRGKLQQSICVTNLAHPNTIICYSKQPKNHRYPRRTKLPPDFGVNLFLEKPRTETDMSTDTEQIKSIYGHNSDSPEEEDDKEDHVNIDLAWESDEVEAISSLFQGRIPQKPGKVGRERPLPLPLPYKLRPLGFPMPKKHVKKSSAGVNSSRASVSQQLYKNPSFLIGLSKEIKDLASDDDVSAVLNKWAPLLRKGSLSITIRELGLMDLPQRALQTFCWAQKMPHLYPDDRILTSTVEVLARKRELKLPVNLEKFTGSTNRSLIEAMLKGFVKGGSLNLAWKLLSVVKQSKRMLDPGIYAKLILELGKNPDKHILVEELLGDLGERDDLNLSQQDCTAIMKVCIRHRKFEIVESLFYWFKQSGRDPSVVMYTTLLHSRYSENKYMEALALVWEMEARECLLDLPAYRVVIKLFIGLKDLGKSSEIFFPNSRKRVSLQHMICIVT >KJB42684 pep chromosome:Graimondii2_0_v6:7:14327311:14329902:1 gene:B456_007G163800 transcript:KJB42684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGEERVLHLKFESPSNSVLELSSGALLSFHCSKFSNMKLVRFLMKLNNETVSIELKNGTVVHGTITGVDVSMNTHLKMVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPAAGRPLGRGRGRGRGRGRGRGR >KJB42686 pep chromosome:Graimondii2_0_v6:7:14327311:14330060:1 gene:B456_007G163800 transcript:KJB42686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGEERVLHLKFESPSNSVLELSSGALLSFHCSKFSNMKLVRFLMKLNNETVSIELKNGTVVHGTITGVDVSMNTHLKMVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPAAGRPLGRGRGRGRGRGRGRGR >KJB42685 pep chromosome:Graimondii2_0_v6:7:14327311:14329927:1 gene:B456_007G163800 transcript:KJB42685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGEERVLHLKFESPSNSVLELSSGALLSFHCSKFSNMKLVRFLMKLNNETVSIELKNGTVVHGTITGVDVSMNTHLKMVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPAAGRPLGRGRGRGRGRGRGRGR >KJB43740 pep chromosome:Graimondii2_0_v6:7:23497467:23501329:1 gene:B456_007G214400 transcript:KJB43740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSPSNNLCVFLLHFFIITVYFASLSFSLKIGETCSSSGSSSSCDSGLTCQTCAANGNTRPRCTRIQPLSPTSKVKGLPFNKYSWLTTHNSFALKGVKSETGSSIIAPTNQEDTITNQLKNGVRGLMLDMYDFNGDIWLCHSFGGQCFNATAFQPAINVLKEIQAFLEANPSEIITIFIEDYVVSPQGLTKVFNASGLRQYWFPVSKMPKNGEDWPTVDDMVKQNQRLVVFTSKSAKEASEGIAYEWRYIVENRCEFHFYFHFY >KJB43742 pep chromosome:Graimondii2_0_v6:7:23497467:23501329:1 gene:B456_007G214400 transcript:KJB43742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSPSNNLCVFLLHFFIITVYFASLSFSLKIGETCSSSGSSSSCDSGLTCQTCAANGNTRPRCTRIQPLSPTSKVKGLPFNKYSWLTTHNSFALKGVKSETGSSIIAPTNQEDTITNQLKNGVRGLMLDMYDFNGDIWLCHSFGGQCFNATAFQPAINVLKEIQAFLEANPSEIITIFIEDYVVSPQGLTKVFNASGLRQYWFPVSKMPKNGEDWPTVDDMVKQNQRLVVFTSKSAKEASEGIAYEWRYIVENRYGDDGMKAGSCPNRAESSPMNTKSRSLILQNYFPSNPNETAACAENSAPLVKMLDTCHKAAGDRWPNFIAVDFYQRSDGGGASEALDVANGHLTCGCDNIAYCKVCKQFNKAMSR >KJB43736 pep chromosome:Graimondii2_0_v6:7:23497467:23501329:1 gene:B456_007G214400 transcript:KJB43736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSPSNNLCVFLLHFFIITVYFASLSFSLKIGETCSSSGSSSSCDSGLTCQTCAANGNTRPRCTRIQPLSPTSKVKGLPFNKYSWLTTHNSFALKGVKSETGSSIIAPTNQEDTITNQLKNGVRGLMLDMYDFNGDIWLCHSFGGQCFNATAFQPAINVLKEIQAFLEANPSEIITIFIEDYVVSPQGLTKVFNASGLRQYWFPVSKMPKNGEDWPTVDDMVKQNQRLVVFTSKSAKEASEGIAYEWRYIVENRYGDDGMKAGSCPNRAESSPMNTKSRSLILQNYFPSNPNETAACAENSAPLVKMLDTCHKAAGDRWPNFIAVDFYQRSDGGGASEALDVANGHLTCGCDNIAYCKDNATSGTCEVPPMSPPPPAAATQTTTENPVASNSNIANTDARPLQLRWLLATILIKLLLLQLW >KJB43737 pep chromosome:Graimondii2_0_v6:7:23497366:23501329:1 gene:B456_007G214400 transcript:KJB43737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSPSNNLCVFLLHFFIITVYFASLSFSLKIGETCSSSGSSSSCDSGLTCQTCAANGNTRPRCTRIQPLSPTSKVKGLPFNKYSWLTTHNSFALKGVKSETGSSIIAPTNQEDTITNQLKNGVRGLMLDMYDFNGDIWLCHSFGGQCFNATAFQPAINVLKEIQAFLEANPSEIITIFIEDYVVSPQGLTKVFNASGLRQYWFPVSKMPKNGEDWPTVDDMVKQNQRLVVFTSKSAKEASEGIAYEWRYIVENRYGDDGMKAGSCPNRAESSPMNTKSRSLILQNYFPSNPNETAACAENSAPLVKMLDTCHKAAGDRWPNFIAVDFYQRSDGGGASEALDVANGHLTCGCDNIAYCKDNATSGTCEVPPMSPPPPAAATQTTTENPVASNSNIANTDARPLQLRWLLATILIKLLFVFFRFLLSMHLCFSFVI >KJB43741 pep chromosome:Graimondii2_0_v6:7:23497467:23501329:1 gene:B456_007G214400 transcript:KJB43741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRLNMSNLRRKWKYPTQMHSDSTTQPYIKGLPFNKYSWLTTHNSFALKGVKSETGSSIIAPTNQEDTITNQLKNGVRGLMLDMYDFNGDIWLCHSFGGQCFNATAFQPAINVLKEIQAFLEANPSEIITIFIEDYVVSPQGLTKVFNASGLRQYWFPVSKMPKNGEDWPTVDDMVKQNQRLVVFTSKSAKEASEGIAYEWRYIVENRYGDDGMKAGSCPNRAESSPMNTKSRSLILQNYFPSNPNETAACAENSAPLVKMLDTCHKAAGDRWPNFIAVDFYQRSDGGGASEALDVANGHLTCGCDNIAYCKDNATSGTCEVPPMSPPPPAAATQTTTENPVASNSNIANTDARPLQLRWLLATILIKLLLLQLW >KJB43738 pep chromosome:Graimondii2_0_v6:7:23497467:23501329:1 gene:B456_007G214400 transcript:KJB43738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRLNMSNLRRKWKYPTQMHSDSTTQPYIKGVKSETGSSIIAPTNQEDTITNQLKNGVRGLMLDMYDFNGDIWLCHSFGGQCFNATAFQPAINVLKEIQAFLEANPSEIITIFIEDYVVSPQGLTKVFNASGLRQYWFPVSKMPKNGEDWPTVDDMVKQNQRLVVFTSKSAKEASEGIAYEWRYIVENRYGDDGMKAGSCPNRAESSPMNTKSRSLILQNYFPSNPNETAACAENSAPLVKMLDTCHKAAGDRWPNFIAVDFYQRSDGGGASEALDVANGHLTCGCDNIAYCKDNATSGTCEVPPMSPPPPAAATQTTTENPVASNSNIANTDARPLQLRWLLATILIKLLLLQLW >KJB43743 pep chromosome:Graimondii2_0_v6:7:23497467:23501329:1 gene:B456_007G214400 transcript:KJB43743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRLNMSNLRRKWKYPTQMHSDSTTQPYIKGKGLPFNKYSWLTTHNSFALKGVKSETGSSIIAPTNQEDTITNQLKNGVRGLMLDMYDFNGDIWLCHSFGGQCFNATAFQPAINVLKEIQAFLEANPSEIITIFIEDYVVSPQGLTKVFNASGLRQYWFPVSKMPKNGEDWPTVDDMVKQNQRLVVFTSKSAKEASEGIAYEWRYIVENRYGDDGMKAGSCPNRAESSPMNTKSRSLILQNYFPSNPNETAACAENSAPLVKMLDTCHKAAGDRWPNFIAVDFYQRSDGGGASEALDVANGHLTCGCDNIAYCKDNATSGTCEVPPMSPPPPAAATQTTTENPVASNSNIANTDARPLQLRWLLATILIKLLLLQLW >KJB43739 pep chromosome:Graimondii2_0_v6:7:23497467:23501329:1 gene:B456_007G214400 transcript:KJB43739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSPSNNLCVFLLHFFIITVYFASLSFSLKIGETCSSSGSSSSCDSGLTCQTCAANGNTRPRCTRIQPLSPTSKVKGLPFNKYSWLTTHNSFALKGVKSETGSSIIAPTNQEDTITNQLKNGVRGLMLDMYDFNGDIWLCHSFGGQCFNATAFQPAINVLKEIQAFLEANPSEIITIFIEDYVVSPQGLTKVFNASGLRQYWFPVSKMPKNGEDWPTVDDMVKQNQRLVVFTSKSAKEASEGIAYEWRYIVENRCEWR >KJB43744 pep chromosome:Graimondii2_0_v6:7:23497860:23500982:1 gene:B456_007G214400 transcript:KJB43744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNILFMNFKQIGETCSSSGSSSSCDSGLTCQTCAANGNTRPRCTRIQPLSPTSKVKGLPFNKYSWLTTHNSFALKGVKSETGSSIIAPTNQEDTITNQLKNGVRGLMLDMYDFNGDIWLCHSFGGQCFNATAFQPAINVLKEIQAFLEANPSEIITIFIEDYVVSPQGLTKVFNASGLRQYWFPVSKMPKNGEDWPTVDDMVKQNQRLVVFTSKSAKEASEGIAYEWRYIVENRYGDDGMKAGSCPNRAESSPMNTKSRSLILQNYFPSNPNETAACAENSAPLVKMLDTCHKAAGDRWPNFIAVDFYQRSDGGGASEALDVANGHLTCGCDNIAYCKDNATSGTCEVPPMSPPPPAAATQTTTENPVASNSNIANTDARPLQLRWLLATILIKLLLLQLW >KJB45165 pep chromosome:Graimondii2_0_v6:7:50299967:50304121:1 gene:B456_007G293100 transcript:KJB45165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRESMEEGLLTSRYQLPPNETKPTSNGADGDSPADNLNMPSQPAASSLTPVLVFSTFVAVCGSFSYGCSLGYSSPAETGIMEDLDLSLAEYSVFGSIMTIGGMVGAILSGKITDLIGRKRTMWFSDVFCTVGWLAIAFAKNALWLDIGRLLIGLGVSIFCYVVPVYVAEIAPKSYRGSFASSNQLMTSSGFAIMFFVGSFISWRTLTMIGTIPCVVQIIGLFFIPESPRWLAKCGREKEFEASLKRLRGENSDISEEAAEIRDYIATLEQQTEASFLELFQRRYADALIVGVGLMLLQQLGGNSAVAYYTSSIFKESGISGSLGLQVLAVIQIPVATLGLLLMDRSGRRPLLLVSASGLCFSYFLQGLAFCFKELPNLKALTPPLVLSCTLIGATAFTIGLGGIPWIIMSEIFPINVKAQAGSLVTLVNWSTAWIMTYSFNFMMDWSLAGTFFFFSGISGLTVLFVAKLVPETKGRTLEEIQASITHSFSVI >KJB45166 pep chromosome:Graimondii2_0_v6:7:50300204:50303896:1 gene:B456_007G293100 transcript:KJB45166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRESMEEGLLTSRYQLPPNETKPTSNGADGDSPADNLNMPSQPAASSLTPVLVFSTFVAVCGSFSYGCSLGYSSPAETGIMEDLDLSLAEYSVFGSIMTIGGMVGAILSGKITDLIGRKRTMWFSDVFCTVGWLAIAFAKNALWLDIGRLLIGLGVSIFCYVVPVYVAEIAPKSYRGSFASSNQLMTSSGFAIMFFVGSFISWRTLTMIGTIPCVVQIIGLFFIPESPRWLAKCGREKEFEASLKRLRGENSDISEEAAEIRDYIATLEQQTEASFLELFQRRYADALIVGVGLMLLQQLGGNSAVAYYTSSIFKESGISGSLGLQVLAVIQIPVATLGLLLMDRSGRRPLLLVSASGLCFSYFLQGLAFCFKELPNLKALTPPLVLSCTLIGATAFTIGLGGIPWIIMSEIFPINVKAQAGSLVTLVNWSTAWIMTYSFNFMMDWSLAGHPPYLSFSK >KJB43931 pep chromosome:Graimondii2_0_v6:7:26784795:26785950:-1 gene:B456_007G224400 transcript:KJB43931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNQQQPPVGVPPPQGYPPKDAYPPPGYPAEGYAYPPPPQYQYAAAPPPRQQQETGFLEGCLAALCCCCLLDACF >KJB41621 pep chromosome:Graimondii2_0_v6:7:8742464:8744944:1 gene:B456_007G112700 transcript:KJB41621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQREFQIVGGNNPEQFNDTTFTKIFIGGLAWETQRDTIRRYFEQFGEILEAVVITDKTTGRSKGYGFVTFKDPEAAMRACQNPAPIIDGKRANCNLASLGAQKTPPMISQQGAGRFRPAHGPGLTAPPSYHGSPSAYIQQPACQYSIPYSAYGYTGFSQESIYPLNYYSLYGGQQISPYYTSGASITPGMFHNFFPLYAQNNQAHGFGVQYPQIVQYPYLPQQYSSTINLSLPSSMPMAATTTGTAATAATTSTPPSTTTTPPTAIGVVGM >KJB44095 pep chromosome:Graimondii2_0_v6:7:30055337:30057691:-1 gene:B456_007G233800 transcript:KJB44095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDQEEQEEEMGLAPSYDPLANSSRVKMSGVEPGSITPTGQQQQQQQRKPRYRECLKNHAVGIGGHAVDGCGEFMPAGIEGTLDALKCAACNCHRNFHRKETELGSPHSVPMTDLYFHHPHHQPPQFTPYFRPPTGCLHMAGQQRPLALPSISGGGGGHSREDQDDVSNQGSSRKRFRTKFTQEQKEKMLALAERLGWRIQKQDEAIVQQFCNETGVKRHVFKVWMHNNKNTLALF >KJB44094 pep chromosome:Graimondii2_0_v6:7:30055312:30057746:-1 gene:B456_007G233800 transcript:KJB44094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDQEEQEEEMGLAPSYDPLANSSRVKMSGVEPGSITPTGQQQQQQQRKPRYRECLKNHAVGIGGHAVDGCGEFMPAGIEGTLDALKCAACNCHRNFHRKETELGSPHSVPMTDLYFHHPHHQPPQFTPYFRPPTGCLHMAGQQRPLALPSISGGGGGHSREDQDDVSNQGSSRKRFRTKFTQEQKEKMLALAERLGWRIQKQDEAIVQQFCNETGVKRHVFKVWMHNNKNTLDNGALRQSGDMQCNTMQEA >KJB40369 pep chromosome:Graimondii2_0_v6:7:4269116:4271473:-1 gene:B456_007G060400 transcript:KJB40369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKPTRLLKQHFASPHYLLLFYSTSNYLNCHLDSFLSTNAASSTVKSLLQSHALIITSGNSNDNIFISSKLISLYAFFNKPNCSTKVFDSLPTPKKDVFLWNSVIKSHFSNGNYSESFAYYLKMRLSNTLPNDFTVPMVVSACAELRWDVCGRYVHGLVLKSSLFVLSSAVGSSFVFMYAKCGSMGDAHLVFDEMIVKDVVAWTALVIGCVQNGQSEKGLECLRDMHRVARDGDTRPNFRTLEGGFQACANLCALDEGKCLHGFVVKTGLGFNPLVQSSILSMYSRCGSVEDSYASFSEVVDKDVISWTSIIGVNARFGLMKECLDIFWEMQVDGLCADGILISSMALGFGNFMSVREGKAFHGLIIRRKFLLDQLVHNALLSMYCKFRILSMAEKLFIRIPNHSIELWNIMVHGYCKMGQEAKAIELFRKMQQLGIEVDSNSLVSVIISCSQLGAIRIGRSLHCQIVKSYMADNTSIANSLIDMYGKVGNLTVALRIFNQTQRDVITWNTMMSAYTCCGHFSEAIALFDQMLLGNLMPDLATLLTVVSACSHLASWEKGERIHCYIKEGGYELCQSLVTALIDMYAKCGQIEKSRELFMLIEEKDVVSWNVMISGYAMHGDAKSALQIFQQMEESKAKPNDLTFLSLLNSCAHAGLVEEGKFLFSRMEHYSIKPNLKHYACMTDLLGRSGNLQEAEALVMSLPISPDGGVWGALLSSCLVHNETEMGIRIAKRAIDSDPENDGYYILISNMYSSMGWWEEAERAREMMKKRGVGKKAGWSAM >KJB40370 pep chromosome:Graimondii2_0_v6:7:4267915:4271565:-1 gene:B456_007G060400 transcript:KJB40370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKPTRLLKQHFASPHYLLLFYSTSNYLNCHLDSFLSTNAASSTVKSLLQSHALIITSGNSNDNIFISSKLISLYAFFNKPNCSTKVFDSLPTPKKDVFLWNSVIKSHFSNGNYSESFAYYLKMRLSNTLPNDFTVPMVVSACAELRWDVCGRYVHGLVLKSSLFVLSSAVGSSFVFMYAKCGSMGDAHLVFDEMIVKDVVAWTALVIGCVQNGQSEKGLECLRDMHRVARDGDTRPNFRTLEGGFQACANLCALDEGKCLHGFVVKTGLGFNPLVQSSILSMYSRCGSVEDSYASFSEVVDKDVISWTSIIGVNARFGLMKECLDIFWEMQVDGLCADGILISSMALGFGNFMSVREGKAFHGLIIRRKFLLDQLVHNALLSMYCKFRILSMAEKLFIRIPNHSIELWNIMVHGYCKMGQEAKAIELFRKMQQLGIEVDSNSLVSVIISCSQLGAIRIGRSLHCQIVKSYMADNTSIANSLIDMYGKVGNLTVALRIFNQTQRDVITWNTMMSAYTCCGHFSEAIALFDQMLLGNLMPDLATLLTVVSACSHLASWEKGERIHCYIKEGGYELCQSLVTALIDMYAKCGQIEKSRELFMLIEEKDVVSWNVMISGYAMHGDAKSALQIFQQMEESKAKPNDLTFLSLLNSCAHAGLVEEGKFLFSRMEHYSIKPNLKHYACMTDLLGRSGNLQEAEALVMSLPISPDGGVWGALLSSCLVHNETEMGIRIAKRAIDSDPENDGYYILISNMYSSMGWWEEAERAREMMKKRGVGKKAGWSAM >KJB40367 pep chromosome:Graimondii2_0_v6:7:4269116:4271473:-1 gene:B456_007G060400 transcript:KJB40367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKPTRLLKQHFASPHYLLLFYSTSNYLNCHLDSFLSTNAASSTVKSLLQSHALIITSGNSNDNIFISSKLISLYAFFNKPNCSTKVFDSLPTPKKDVFLWNSVIKSHFSNGNYSESFAYYLKMRLSNTLPNDFTVPMVVSACAELRWDVCGRYVHGLVLKSSLFVLSSAVGSSFVFMYAKCGSMGDAHLVFDEMIVKDVVAWTALVIGCVQNGQSEKGLECLRDMHRVARDGDTRPNFRTLEGGFQACANLCALDEGKCLHGFVVKTGLGFNPLVQSSILSMYSRCGSVEDSYASFSEVVDKDVISWTSIIGVNARFGLMKECLDIFWEMQVDGLCADGILISSMALGFGNFMSVREGKAFHGLIIRRKFLLDQLVHNALLSMYCKFRILSMAEKLFIRIPNHSIELWNIMVHGYCKMGQEAKAIELFRKMQQLGIEVDSNSLVSVIISCSQLGAIRIGRSLHCQIVKSYMADNTSIANSLIDMYGKVGNLTVALRIFNQTQRDVITWNTMMSAYTCCGHFSEAIALFDQMLLGNLMPDLATLLTVVSACSHLASWEKGERIHCYIKEGGYELCQSLVTALIDMYAKCGQIEKSRELFMLIEEKDVVSWNVMISGYAMHGDAKSALQIFQQMEESKAKPNDLTFLSLLNSCAHAGLVEEGKFLFSRMEHYSIKPNLKHYACMTDLLGRSGNLQEAEALVMSLPISPDGGVWGALLSSCLVHNETEMGIRIAKRAIDSDPENDGYYILISNMYSSMGWWEEAERAREMMKKRGVGKKAGWSAM >KJB40368 pep chromosome:Graimondii2_0_v6:7:4267531:4271565:-1 gene:B456_007G060400 transcript:KJB40368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKPTRLLKQHFASPHYLLLFYSTSNYLNCHLDSFLSTNAASSTVKSLLQSHALIITSGNSNDNIFISSKLISLYAFFNKPNCSTKVFDSLPTPKKDVFLWNSVIKSHFSNGNYSESFAYYLKMRLSNTLPNDFTVPMVVSACAELRWDVCGRYVHGLVLKSSLFVLSSAVGSSFVFMYAKCGSMGDAHLVFDEMIVKDVVAWTALVIGCVQNGQSEKGLECLRDMHRVARDGDTRPNFRTLEGGFQACANLCALDEGKCLHGFVVKTGLGFNPLVQSSILSMYSRCGSVEDSYASFSEVVDKDVISWTSIIGVNARFGLMKECLDIFWEMQVDGLCADGILISSMALGFGNFMSVREGKAFHGLIIRRKFLLDQLVHNALLSMYCKFRILSMAEKLFIRIPNHSIELWNIMVHGYCKMGQEAKAIELFRKMQQLGIEVDSNSLVSVIISCSQLGAIRIGRSLHCQIVKSYMADNTSIANSLIDMYGKVGNLTVALRIFNQTQRDVITWNTMMSAYTCCGHFSEAIALFDQMLLGNLMPDLATLLTVVSACSHLASWEKGERIHCYIKEGGYELCQSLVTALIDMYAKCGQIEKSRELFMLIEEKDVVSWNVMISGYAMHGDAKSALQIFQQMEESKAKPNDLTFLSLLNSCAHAGLVEEGKFLFSRMEHYSIKPNLKHYACMTDLLGRSGNLQEAEALVMSLPISPDGGVWGALLSSCLVHNETEMGIRIAKRAIDSDPENDGYYILISNMYSSMGWWEEAERAREMMKKRGVGKKAGWSAM >KJB43275 pep chromosome:Graimondii2_0_v6:7:20550915:20554169:-1 gene:B456_007G202000 transcript:KJB43275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVSMNFFVPSLNSPNLRPTKRYSGMAVAASNQDAVALGVTVTQGEGNLPKLLLTSDHGSEAEIYLFGGCITSWKVPSGRDLLFVRPDAVFDKKKPISGGIPHCFPQFGPGLMQQHGFARNMDWSLVESENVEGNPNVTLELKDSPYSRAMWDFGFQARYKVVLNTKSLSTELKVTNTDNKPFTFSTALHSYFRASITGVSVKGLKGCKTLNKDPDPKNPIEGREERDAITFPGFVDCIYLDASNEVHLDNGLGDVISIRNTNWSDAVLWNPHLQMEACYKDFVCVENAKIGTIQLEPEQSWIAKQHLSIA >KJB43277 pep chromosome:Graimondii2_0_v6:7:20550935:20554145:-1 gene:B456_007G202000 transcript:KJB43277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVSMNFFVPSLNSPNLRPTKRYSGMAVAASNQDAVALGVTVTQGEGNLPKLLLTSDHGSEAEIYLFGGCITSWKVPSGRDLLFVRPDAVFDKKKPISGGIPHCFPQFGPGLMQQVVLNTKSLSTELKVTNTDNKPFTFSTALHSYFRASITGVSVKGLKGCKTLNKDPDPKNPIEGREERDAITFPGFVDCIYLDASNEVHLDNGLGDVISIRNTNWSDAVLWNPHLQMEACYKDFVCVENAKIGTIQLEPEQSWIAKQHLSIA >KJB43276 pep chromosome:Graimondii2_0_v6:7:20550935:20553894:-1 gene:B456_007G202000 transcript:KJB43276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAASNQDAVALGVTVTQGEGNLPKLLLTSDHGSEAEIYLFGGCITSWKVPSGRDLLFVRPDAVFDKKKPISGGIPHCFPQFGPGLMQQHGFARNMDWSLVESENVEGNPNVTLELKDSPYSRAMWDFGFQARYKVVLNTKSLSTELKVTNTDNKPFTFSTALHSYFRASITGVSVKGLKGCKTLNKDPDPKNPIEGREERDAITFPGFVDCIYLDASNEVHLDNGLGDVISIRNTNWSDAVLWNPHLQMEACYKDFVCVENAKIGTIQLEPEQSWIAKQHLSIA >KJB42932 pep chromosome:Graimondii2_0_v6:7:16173813:16175802:1 gene:B456_007G174700 transcript:KJB42932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein PTF2 [Source:Projected from Arabidopsis thaliana (AT4G35540) UniProtKB/Swiss-Prot;Acc:O81787] MPCRCGSHSTIRDDQTGNLVCSKCYTILDFDNYEAQIGGITGPTGTNIHIGYAGTGSRISYKDRKIYQAKTLIHEYALRLDITYSESGITSMIEEITDGEFGEGDWFNVLIGACSYVVMRRDKKFFPAAEVAKAVGCDIYELGRMIARVVKFLKLSLPEISIAGLFEREFNNFMNRKNVDEDKKEMMMKQGIFLVNCAVKWSLTTGRRPLPVVAAVMAFVAELNGVDGLKIEDVAEHVHAVVSTCKLRYKELLAALVRVAQVLPWGKDISVKNVVKYAPFVIQYMELKSMEVPGGGGERVEPFDMEDVISECLQKVAAYGNDENLSNGDSQYFEVRNRSDFPTLSNDNDGNNLKLSHECLSLIYSRFSNEVDAEKLNGQSRQIHVRKRWGLEPYAYQDWWSGKSELSKKLLLKQILEKEVGFDMMPPSFIAGCKANERRRQKINAAKVRINKIMDPSYAGSDGSDNLNSSEVACGGKKRKRRQASEIDWEDFVIEALLLHRVKEEDIEKGHYRALLGLYVFNSGTI >KJB42008 pep chromosome:Graimondii2_0_v6:7:10747758:10754024:1 gene:B456_007G132500 transcript:KJB42008 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCC3 [Source:Projected from Arabidopsis thaliana (AT2G47980) UniProtKB/TrEMBL;Acc:A0A178VN21] MCWFNYELQGEIEDYQGSKKKEFKNFKENLVSFWDTLVIECQNGPLFDKDLFDKCMDYIIALSCTPPRVYRLVASLMGLQLVTSFISVAKRLAVHRDTTQRQLNAERKKRVDGPRVESLNNRLSATHEQKLVIDEMMRKIFTGLFVHRYRDVDPNIRMSCIQSLGVWILSYPTLFLQDLYLKYLGWTLNDKSAGVRKAAVLALQNLYEVEDNVPTLSLFTERFSNRMIELADDVDVSVAVCAIGLVKQLLRHQLIPDDDLGPLYDLLIDDPPEIRRAIGELVYDHLIAQKFNSSQPGPKGNESEIHLGRMLQILREFSTDPILSIYVIDDVWEYMKAMKDWKCIISMLLDENPLIELTDEDATNLTRLLFASVRKAVGLRIVPASDNRKQYFSKAQKEAIENNRRDLTIAMMKNYPLLLRKFMADKAKISSLVEIIVYMNLELYSLKRQEQNFRTTLLLIKDAFFKHGEKDALRSCVKAIKFCSTESRGELQDFARNKLKELEDELLDKLKSATKEVIDGEDEYSLLVNLKRLYELQLSRPISIDEFYGDSITILHSFRNLDDEVVSFLLLNMYLDVAWSLHSIINSETVSEGSLSSLLSKRDTLLEELEYFLNAPPEVGEGSKSGNQLACRVCTILADVWCLFRKTNFSSTKLERLGYCPDVSILQKFWTLCEKQLKISDDTEDEDVNKEYIEETNRDTVMIAAAKLIASDTIPKDYLAPEIISHFVMHGAGIAEIVKSLITVLRKKDDNVSEIFLEALKRAYLRHLELSRSDDESIKSESFQECKNLAARLAGIFVGAARNKHRPEILKIVKEGIEYAFEDTPKHLSFLEASVLHFASRLPAPDIRDVLKDVQKRTENVNAEEDPSGWRPYNTFYESLLEKCAKNEGIQDEKEWTTTRQRGRPRKRQNIEGRRLFDEHGSSDEEDSINTSDQEDAQVEGDEEDDNAPLIHSLKSTSKLRSLRVSRQEN >KJB42007 pep chromosome:Graimondii2_0_v6:7:10746029:10754587:1 gene:B456_007G132500 transcript:KJB42007 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCC3 [Source:Projected from Arabidopsis thaliana (AT2G47980) UniProtKB/TrEMBL;Acc:A0A178VN21] MDDVAPLASEITTRHSKRARVHALDGGDEPSKANGNDRENQERSSDGSDRSPNPGEREGSPDDFEEIRPKTKRPRPAEGTSDVPNRSEERLIEVIKGSGKNISQAVKQWVERYEKNPKPAMVELLMMLFEACGAKYYIREEYLDEIDVDDVVVALVNLARKGEIEDYQGSKKKEFKNFKENLVSFWDTLVIECQNGPLFDKDLFDKCMDYIIALSCTPPRVYRLVASLMGLQLVTSFISVAKRLAVHRDTTQRQLNAERKKRVDGPRVESLNNRLSATHEQKLVIDEMMRKIFTGLFVHRYRDVDPNIRMSCIQSLGVWILSYPTLFLQDLYLKYLGWTLNDKSAGVRKAAVLALQNLYEVEDNVPTLSLFTERFSNRMIELADDVDVSVAVCAIGLVKQLLRHQLIPDDDLGPLYDLLIDDPPEIRRAIGELVYDHLIAQKFNSSQPGPKGNESEIHLGRMLQILREFSTDPILSIYVIDDVWEYMKAMKDWKCIISMLLDENPLIELTDEDATNLTRLLFASVRKAVGLRIVPASDNRKQYFSKAQKEAIENNRRDLTIAMMKNYPLLLRKFMADKAKISSLVEIIVYMNLELYSLKRQEQNFRTTLLLIKDAFFKHGEKDALRSCVKAIKFCSTESRGELQDFARNKLKELEDELLDKLKSATKEVIDGEDEYSLLVNLKRLYELQLSRPISIDEFYGDSITILHSFRNLDDEVVSFLLLNMYLDVAWSLHSIINSETVSEGSLSSLLSKRDTLLEELEYFLNAPPEVGEGSKSGNQLACRVCTILADVWCLFRKTNFSSTKLERLGYCPDVSILQKFWTLCEKQLKISDDTEDEDVNKEYIEETNRDTVMIAAAKLIASDTIPKDYLAPEIISHFVMHGAGIAEIVKSLITVLRKKDDNVSEIFLEALKRAYLRHLELSRSDDESIKSESFQECKNLAARLAGIFVGAARNKHRPEILKIVKEGIEYAFEDTPKHLSFLEASVLHFASRLPAPDIRDVLKDVQKRTENVNAEEDPSGWRPYNTFYESLLEKCAKNEGIQDEKEWTTTRQRGRPRKRQNIEGRRLFDEHGSSDEEDSINTSDQEDAQVEGDEEDDNAPLIHSLKSTSKLRSLRVSRQEN >KJB42009 pep chromosome:Graimondii2_0_v6:7:10746111:10754556:1 gene:B456_007G132500 transcript:KJB42009 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCC3 [Source:Projected from Arabidopsis thaliana (AT2G47980) UniProtKB/TrEMBL;Acc:A0A178VN21] MDDVAPLASEITTRHSKRARVHALDGGDEPSKANGNDRENQERSSDGSDRSPNPGEREGSPDDFEEIRPKTKRPRPAEGTSDVPNRSEERLIEVIKGSGKNISQAVKQWVERYEKNPKPAMVELLMMLFEACGAKYYIREEYLDEIDVDDVVVALVNLARKGEIEDYQGSKKKEFKNFKENLVSFWDTLVIECQNGPLFDKDLFDKCMDYIIALSCTPPRVYRLVASLMGLQLVTSFISVAKRLAVHRDTTQRQLNAERKKRVDGPRVESLNNRLSATHEQKLVIDEMMRKIFTGLFVHRYRDVDPNIRMSCIQSLGVWILSYPTLFLQDLYLKYLGWTLNDKSAGVRKAAVLALQNLYEVEDNVPTLSLFTERFSNRMIELADDVDVSVAVCAIGLVKQLLRHQLIPDDDLGPLYDLLIDDPPEIRRAIGELVYDHLIAQKFNSSQPGPKGNESEIHLGRMLQILREFSTDPILSIYVIDDVWEYMKAMKDWKCIISMLLDENPLIELTDEDATNLTRLLFASVRKAVGLRIVPASDNRKQYFSKAQKEAIENNRRDLTIAMMKNYPLLLRKFMADKAKISSLVEIIVYMNLELYSLKRQEQNFRTTLLLIKDAFFKHGEKDALRSCVKAIKFCSTESRGELQDFARNKLKELEDELLDKLKSATKEVIDGEDEYSLLVNLKRLYELQLSRPISIDEFYGDSITILHSFRNLDDEVVSFLLLNMYLDVAWSLHSIINSETVSEGSLSSLLSKRDTLLEELEYFLNAPPEVGEGSKSGNQLACRVCTILADVWCLFRKTNFSSTKLERLGYCPDVSILQKFWTLCEKQLKISDDTEDEDVNKEYIEETNRDTVMIAAAKLIASDTIPKDYLAPEIISHFVMHGAGIAEIVKSLITVLRKKDDNVSEIFLEALKRAYLRHLELSRSDDESIKSESFQECKNLAARLAGIFVGAARNKHRPEILKIVKEGIEYAFEDTPKHLSFLEASVLHFASRLPAPDIRDSERCPEEDRECKCRRGSKWVAPL >KJB42011 pep chromosome:Graimondii2_0_v6:7:10746742:10754556:1 gene:B456_007G132500 transcript:KJB42011 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCC3 [Source:Projected from Arabidopsis thaliana (AT2G47980) UniProtKB/TrEMBL;Acc:A0A178VN21] MVELLMMLFEACGAKYYIREEYLDEIDVDDVVVALVNLARKGEIEDYQGSKKKEFKNFKENLVSFWDTLVIECQNGPLFDKDLFDKCMDYIIALSCTPPRVYRLVASLMGLQLVTSFISVAKRLAVHRDTTQRQLNAERKKRVDGPRVESLNNRLSATHEQKLVIDEMMRKIFTGLFVHRYRDVDPNIRMSCIQSLGVWILSYPTLFLQDLYLKYLGWTLNDKSAGVRKAAVLALQNLYEVEDNVPTLSLFTERFSNRMIELADDVDVSVAVCAIGLVKQLLRHQLIPDDDLGPLYDLLIDDPPEIRRAIGELVYDHLIAQKFNSSQPGPKGNESEIHLGRMLQILREFSTDPILSIYVIDDVWEYMKAMKDWKCIISMLLDENPLIELTDEDATNLTRLLFASVRKAVGLRIVPASDNRKQYFSKAQKEAIENNRRDLTIAMMKNYPLLLRKFMADKAKISSLVEIIVYMNLELYSLKRQEQNFRTTLLLIKDAFFKHGEKDALRSCVKAIKFCSTESRGELQDFARNKLKELEDELLDKLKSATKEVIDGEDEYSLLVNLKRLYELQLSRPISIDEFYGDSITILHSFRNLDDEVVSFLLLNMYLDVAWSLHSIINSETVSEGSLSSLLSKRDTLLEELEYFLNAPPEVGEGSKSGNQLACRVCTILADVWCLFRKTNFSSTKLERLGYCPDVSILQKFWTLCEKQLKISDDTEDEDVNKEYIEETNRDTVMIAAAKLIASDTIPKDYLAPEIISHFVMHGAGIAEIVKSLITVLRKKDDNVSEIFLEALKRAYLRHLELSRSDDESIKSESFQECKNLAARLAGIFVGAARNKHRPEILKIVKEGIEYAFEDTPKHLSFLEASVLHFASRLPAPDIRDVLKDVQKRTENVNAEEDPSGWRPYNTFYESLLEKCAKNEGIQDEKEWTTTRQRGRPRKRQNIEGRRLFDEHGSSDEEDSINTSDQEDAQVEGDEEDDNAPLIHSLKSTSKLRSLRVSRQEN >KJB42010 pep chromosome:Graimondii2_0_v6:7:10747758:10754024:1 gene:B456_007G132500 transcript:KJB42010 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCC3 [Source:Projected from Arabidopsis thaliana (AT2G47980) UniProtKB/TrEMBL;Acc:A0A178VN21] MCWFNYELQGEIEDYQGSKKKEFKNFKENLVSFWDTLVIECQNGPLFDKDLFDKCMDYIIALSCTPPRVYRLVASLMGLQLVTSFISVAKRLAVHRDTTQRQLNAERKKRVDGPRVESLNNRLSATHEQKLVIDEMMRKIFTGLFVHRYRDVDPNIRMSCIQSLGVWILSYPTLFLQDLYLKYLGWTLNDKSAGVRKAAVLALQNLYEVEDNVPTLSLFTERFSNRMIELADDVDVSVAVCAIGLVKQLLRHQLIPDDDLGPLYDLLIDDPPEIRRAIGELVYDHLIAQKFNSSQPGPKGNESEIHLGRMLQILREFSTDPILSIYVIDDVWEYMKAMKDWKCIISMLLDENPLIELTDEDATNLTRLLFASVRKAVGLRIVPASDNRKQYFSKAQKEAIENNRRDLTIAMMKNYPLLLRKFMADKAKISSLVEIIVYMNLELYSLKRQEQNFRTTLLLIKDAFFKHGEKDALRSCVKAIKFCSTESRGELQDFARNKLKELEDELLDKLKSATKEVIDGEDEYSLLVNLKRLYELQLSRPISIDEFYGDSITILHSFRNLDDEVVSFLLLNMYLDVAWSLHSIINSETVSEGSLSSLLSKRDTLLEELEYFLNAPPEVGEGSKSGNQLACRVCTILADVWCLFRKTNFSSTKLERLGYCPDVSILQKFWTLCEKQLKISDDTEDEDVNKEYIEETNRDTVMIAAAKLIASDTIPKDYLAPEIISHFVMHGAGIAEIVKSLITVLRKKDDNVSEIFLEALKRAYLRHLELSRSDDESIKSESFQECKNLAARLAGIFVGAARNKHRPEILKIVKEGIEYAFEDTPKHLSFLEASVLHFASRLPAPDIRDVLKDVQKRTENVNAEEDPSGWRPYNTFYESLLEKCAKNEGIQDEKEWTTTRQRGRPRKRQNIEGRRLFDEHGSSDEEDSINTSDQEDAQVEGDEEDDNAPLIHSLKSTSKLRSLRVSRQEN >KJB44782 pep chromosome:Graimondii2_0_v6:7:46350446:46354604:1 gene:B456_007G273000 transcript:KJB44782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCNIVANKNGVLYLLLHLFLLCCLVVGEFYHVSGLNYTKYIKQASRLRLERIQKHLEKINKPPLITIQSPDGDIIDCVHKRKQPALDHPLLKNHKIQRVPPGMPKRLKQEEVRNSMGNEATIKGTPRQWQWQMWHSNGTRCPKGTVPIRRSSVHDVLRAKSLFHFGKKQRGFINPARRRADAPDIVSGNGHEHAIAYTGTTQEFYGARATINVWDPSIEEVNEFSLSQIWILSGSFNGSDLNSIEAGWQVSPELYGDNRPRLFTYWTTDSYEATGCYNLLCAGFVQTNSRIAIGASISPVSQYTANQYDITILIWKDPKLGNWWMGLGDNTLIGYWPAELFTHLADRATMVEWGGEVVNSRANGQHTSTQMGSGHFPEDGFGKSSYFRNLEVVDADNSLSSVRGISTLAENTNCYNIRSSYNKEWGTFFYYGGPGNNPRCP >KJB44783 pep chromosome:Graimondii2_0_v6:7:46350540:46354468:1 gene:B456_007G273000 transcript:KJB44783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNYTKYIKQASRLRLERIQKHLEKINKPPLITIQSPDGDIIDCVHKRKQPALDHPLLKNHKIQRVPPGMPKRLKQEEVRNSMGNEATIKGTPRQWQWQMWHSNGTRCPKGTVPIRRSSVHDVLRAKSLFHFGKKQRGFINPARRRADAPDIVSGNGHEHAIAYTGTTQEFYGARATINVWDPSIEEVNEFSLSQIWILSGSFNGSDLNSIEAGWQVSPELYGDNRPRLFTYWTTDSYEATGCYNLLCAGFVQTNSRIAIGASISPVSQYTANQYDITILIWKDPKLGNWWMGLGDNTLIGYWPAELFTHLADRATMVEWGGEVVNSRANGQHTSTQMGSGHFPEDGFGKSSYFRNLEVVDADNSLSSVRGISTLAENTNCYNIRSSYNKEWGTFFYYGGPGNNPRCP >KJB40472 pep chromosome:Graimondii2_0_v6:7:4605926:4616592:-1 gene:B456_007G065600 transcript:KJB40472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYLSKPITFVEAPTVFCNSSKPLLDKFPYYSFSRNKPLRKNTLKPKLSFTKRENITIDVSNHSTSCSSSDSTVASNIVEEEDVESTQLFEKLKDAERQRINKLEELERKADLQLERQLVMASCWSRALLTLRGKLKGTEWDPENSHRIDFSDFMGLLNSNNVQFMEYSNYGQTVSVILPYYKDNEVDGTGGNSKNEIVFRRHVVNRMPIDCWNDVWQKLHQQIVNVDVLNVDTVPAEVYSSVATAVIWSMRLALSIALYLWIDNMMRPIYAKLIPCDLGAPNKKIRQPLKRRALGSLGQSRAKFISAEERTGVTFEDFAGQEYIKRELQEIVRILKNDEEFQNKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFANARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVSTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEEDKEALLEEIAMLTEDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEIPEELKLRLAYREAAVAVLACYFPDPYRPFTETDIKSIRSQPNMRYAEFSGKVFLRKSDYISSIVRACAPRVIEEEMFGVDNMCWISAKATLEASRLAEFLILQTGMTAFGKAYYRNQNDLVPNLAAKLEALRDEYMRFSVEKCASVLREFYSAVETITDILLEKGEIKAEEIWDIYNRAPRIPQPTVNPVDEYGALIYAGRWGIHGITLPGRVTFAPGSSGFSTFGAPRPKETQTVSDETWKLIDNIWDKRVEEIKAEASMEVEEEKEKPQLLMASHFL >KJB40474 pep chromosome:Graimondii2_0_v6:7:4606730:4616442:-1 gene:B456_007G065600 transcript:KJB40474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYLSKPITFVEAPTVFCNSSKPLLDKFPYYSFSRNKPLRKNTLKPKLSFTKRENITIDVSNHSTSCSSSDSTVASNIVEEEDVESTQLFEKLKDAERQRINKLEELERKADLQLERQLVMASCWSRALLTLRGKLKGTEWDPENSHRIDFSDFMGLLNSNNVQFMEYSNYGQTVSVILPYYKDNEVDGTGGNSKNEIVFRRHVVNRMPIDCWNDVWQKLHQQIVNVDVLNVDTVPAEVYSSVATAVIWSMRLALSIALYLWIDNMMRPIYAKLIPCDLGAPNKKIRQPLKRRALGSLGQSRAKFISAEERTGVTFEDFAGQEYIKRELQEIVRILKNDEEFQNKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFANARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVSTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEEDKEALLEEIAMLTEDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEIPEELKLRLAYREAAVAVLACYFPDPYRPFTETDIKSIRSQPNMRYAEFSGKVFLRKSDYISSIVRACAPRVIEEEMFGVDNMCWISAKATLEASRLAEFLILQTGMTAFGKAYYRNQNDLVPNLAAKLEALRDEYMRFSVEKCASVLREFYSAVETITDILLEKGEIKAEEIWDIYNRAPRIPQPTVNPVDEYGALIYAGRWGIHGITLPGRVTFAPGSSGFSTFGAPRPKETQTVSDETWKLIDNIWDKRVEEIKAEASMEVEEEKEKPQLLMASHFL >KJB40473 pep chromosome:Graimondii2_0_v6:7:4606012:4616442:-1 gene:B456_007G065600 transcript:KJB40473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYLSKPITFVEAPTVFCNSSKPLLDKFPYYSFSRNKPLRKNTLKPKLSFTKRENITIDVSNHSTSCSSSDSTVASNIVEEEDVESTQLFEKLKDAERQRINKLEELERKADLQLERQLVMASCWSRALLTLRGKLKGTEWDPENSHRIDFSDFMGLLNSNNVQFMEYSNYGQTVSVILPYYKDNEVDGTGGNSKNEIVFRRHVVNRMPIDCWNDVWQKLHQQIVNVDVLNVDTVPAEVYSSVATAVIWSMRLALSIALYLWIDNMMRPIYAKLIPCDLGAPNKKIRQPLKRRALGSLGQSRAKFISAEERTGVTFEDFAGQEYIKRELQEIVRILKNDEEFQNKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFANARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVSTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEEDKEALLEEIAMLTEDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEIPEELKLRLAYREAAVAVLACYFPDPYRPFTETDIKSIRSQPNMRYAEFSGKVFLRKSDYISSIVRACAPRVIEEEMFGVDNMCWISAKATLEASRLAEFLILQTGMTAFGKAYYRNQNDLVPNLAAKLEALRDEYMRFSVEKCASVLREFYSAVETITDILLEKGEIKAEEIWDIYNRAPRIPQPTVNPVDEYGALIYAGRWGIHGITLPGRVTFAPGSSGFSTFGAPRPKETQTVSDETWKLIDNIWDKRVEEIKAEASMEVEEEKEKPQLLMASHFL >KJB40471 pep chromosome:Graimondii2_0_v6:7:4606730:4616442:-1 gene:B456_007G065600 transcript:KJB40471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYLSKPITFVEAPTVFCNSSKPLLDKFPYYSFSRNKPLRKNTLKPKLSFTKRENITIDVSNHSTSCSSSDSTVASNIVEEEDVESTQLFEKLKDAERQRINKLEELERKADLQLERQLVMASCWSRALLTLRGKLKGTEWDPENSHRIDFSDFMGLLNSNNVQFMEYSNYGQTVSVILPYYKDNEVDGTGGNSKNEIVFRRHVVNRMPIDCWNDVWQKLHQQIVNVDVLNVDTVPAEVYSSVATAVIWSMRLALSIALYLWIDNMMRPIYAKLIPCDLGAPNKKIRQPLKRRALGSLGQSRAKFISAEERTGVTFEDFAGQEYIKRELQEIVRILKNDEEFQNKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFANARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVSTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEEDKEALLEEIAMLTEDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEIPEELKLRLAYREAAVAVLACYFPDPYRPFTETDIKSIRSQPNMRYAEFSGKVFLRKSDYISSIVRACAPRVIEEEMFGVDNMCWISAKATLEASRLAEFLILQTGMTAFGKAYYRNQNDLVPNLAAKLEALRDEYMRFSVEKCASVLREFYSAVETITDILLEKGEIKAEEIWDIYNRAPRIPQPTVNPVDEYGALIYAGRWGIHGITLPGRVTFAPGSSGFSTFGAPRPKETQTVSDETWKLIDNIWDKRVEEIKAEASMEVEEEKEKPQLLMASHFL >KJB40470 pep chromosome:Graimondii2_0_v6:7:4605954:4616592:-1 gene:B456_007G065600 transcript:KJB40470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYLSKPITFVEAPTVFCNSSKPLLDKFPYYSFSRNKPLRKNTLKPKLSFTKRENITIDVSNHSTSCSSSDSTVASNIVEEEDVESTQLFEKLKDAERQRINKLEELERKADLQLERQLVMASCWSRALLTLRGKLKGTEWDPENSHRIDFSDFMGLLNSNNVQFMEYSNYGQTVSVILPYYKDNEVDGTGGNSKNEIVFRRHVVNRMPIDCWNDVWQKLHQQIVNVDVLNVDTVPAEVYSSVATAVIWSMRLALSIALYLWIDNMMRPIYAKLIPCDLGAPNKKIRQPLKRRALGSLGQSRAKFISAEERTGVTFEDFAGQEYIKRELQEIVRILKNDEEFQNKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFANARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVSTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEEDKEALLEEIAMLTEDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEIPEELKLRLAYREAAVAVLACYFPDPYRPFTETDIKSIRSQPNMRYAEFSGKVFLRKSDYISSIVRACAPRVIEEEMFGVDNMCWISAKATLEASRLAEFLILQTGMTAFGKAYYRNQNDLVPNLAAKLEALRDEYMRFSVEKCASVLREFYSAVETITDILLEKGEIKAEEIWDIYNRAPRIPQPTVNPVDEYGALIYAGRWGIHGITLPGRVTFAPGSSGFSTFGAPRPKETQTVSDETWKLIDNIWDKRVEEIKAEASMEVEEEKEKPQLLMASHFL >KJB42127 pep chromosome:Graimondii2_0_v6:7:11347242:11349980:1 gene:B456_007G138300 transcript:KJB42127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVVMAQHRNRYCSKVNPDGPARFGSSPSRNFRGINCRSFHFCAGLLPSPFEYSAAPTDRRLSSLPPSSSSSSSPNTPCPHSKTTMKSSTTLINNNRATKNGKFSSEEISREGFPFRELWAGPAYSNSPPPSSLPIPKFSLRVKRTVSLDLPAADPFVDVHPTGNSAPASPTGELHPSVAELFGCDDSATKTLRRILNLDNTDN >KJB46214 pep chromosome:Graimondii2_0_v6:7:60819820:60821419:1 gene:B456_007G377300 transcript:KJB46214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKKVGSSSSSLASELFGSKDPPSSSTGIFGSIFAPPSKVLGRESLRHDLITKRYDSPKEPWNTTNPGPYGNSSKGHEGENQNMGNRNIYEEQRVEPCHLSSSIFYGGQDVCFDPKSREDLELNSFNKDIGEDDPGFASRGNWWQGSLYY >KJB46212 pep chromosome:Graimondii2_0_v6:7:60819722:60821438:1 gene:B456_007G377300 transcript:KJB46212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKKVGSSSSSLASELFGSKDPPSSSTGIFGSIFAPPSKVLGRESLRHDLITKRYDSPKEPWNTTNPGPYGNSSKGHEGENQNMGNRNIYEEQRVEPCHLSSSIFYGGQDVCFDPKSREDLELNSVFNKDIGEDDPGFASRGNWWQGSLYY >KJB46216 pep chromosome:Graimondii2_0_v6:7:60820043:60820980:1 gene:B456_007G377300 transcript:KJB46216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKKVGSSSSSLASELFGSKDPPSSSTGIFGSIFAPPSKVLGRESLRHDLITKRYDSPKEPWNTTNPGPYGNSSKGHEGENQNMGNRNIYEEQRVEPCHLSSSIFYGGQDVCFDPKSREDLELNSVFNKDIGEDDPGFASRGNWWQGTV >KJB46215 pep chromosome:Graimondii2_0_v6:7:60819820:60821419:1 gene:B456_007G377300 transcript:KJB46215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKKVGSSSSSLASELFGSKDPPSSSTGIFGSIFAPPSKVLGRESLRHDLITKRYDSPKEPWNTTNPGPYGHEGENQNMGNRNIYEEQRVEPCHLSSSIFYGGQDVCFDPKSREDLELNSVFNKDIGEDDPGFASRGNWWQGSLYY >KJB46213 pep chromosome:Graimondii2_0_v6:7:60819578:60821569:1 gene:B456_007G377300 transcript:KJB46213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKKVGSSSSSLASELFGSKDPPSSSTGIFGSIFAPPSKVLGRESLRHDLITKRYDSPKEPWNTTNPGPYGNSSKGHEGENQNMGNRNIYEEQRVEPCHLSSSIFYGGQDVCFDPKSREDLELNSVFNKDIGEDDPGFASRGNWWQGSLYY >KJB40790 pep chromosome:Graimondii2_0_v6:7:5476580:5480043:1 gene:B456_007G077300 transcript:KJB40790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKDAFYVVKKGDVIGIYKSLRDLIAEAGISAYDPSLRVYKGYGLSKQAEVYLGSCGLKNAAYSISASEVNDNTFGKLVPCPPQDLVGSTSLYTDPRINHMNFTNQVMPSTQMMPSNSPYYIIEFDGASKGNPGPAGAGAVLRTEDGRVVCRLREGVGIATNNVAEYRAAILGMKYALRKGFKHVRVQGDSNLVCMQVQGRWKIKSQNLVELNKVAKDLKDKFISFQINHVDREFNSEADALANQAVNLMNGQVQEDWLLK >KJB40792 pep chromosome:Graimondii2_0_v6:7:5476580:5480043:1 gene:B456_007G077300 transcript:KJB40792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKDAFYVVKKGDVIGIYKSLRDLIAEAGISQAYDPSLRVYKGYGLSKQAEVYLGSCGLKNAAYSISASEVNDNTFGKLVPCPPQQPTSFRGNTSNRDPSPNRLHQILGKDLVGSTSLYTDPRINHMNFTNQVMPSTQMMPSNSPYYIIEFDGASKGNPGPAGAGAVLRTEDGRVVCRLREGVGIATNNVAEYRAAILGMKYALRKGFKHVRVQGDSNLVCMQVQGRWKIKSQNLVELNKVAKDLKDKFISFQINHVDREFNSEADALANQAVNLMNGQVQEDWLLK >KJB40793 pep chromosome:Graimondii2_0_v6:7:5476580:5480099:1 gene:B456_007G077300 transcript:KJB40793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKDAFYVVKKGDVIGIYKSLRDLIAEAGISAYDPSLRVYKGYGLSKQAEVYLGSCGLKNAAYSISASEVNDNTFGKLVPCPPQQPTSFRGNTSNRDPSPNRLHQILGKDLVGSTSLYTDPRINHMNFTNQVMPSTQMMPSNSPYYIIEFDGASKGNPGPAGAGAVLRTEDGRVVCRLREGVGIATNNVAEYRAAILGMKYALRKGFKHVRVQGDSNLVCMQVQGRWKIKSQNLVELNKVAKDLKDKFISFQINHVDREFNSEADALANQAVNLMNGQVQEDWLLK >KJB40791 pep chromosome:Graimondii2_0_v6:7:5476580:5480043:1 gene:B456_007G077300 transcript:KJB40791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKDAFYVVKKGDVIGIYKSLRDLIAEAGISAYDPSLRVYKGYGLSKQAEVYLGSCGLKNAAYSISASEVNDNTFGKLVPCPPQQPTSFRGNTSNRDPSPNRLHQILGKDLVGSTSLYTDPRINHMNFTNQVMPSTQMMPSNSPYYIIEFDGASKGNPGPAGAGAVLRTEDGRVVCRLREGVGIATNNVAEYRAAILGMKYALRKGFKHVRVQGDSNLVCMQVQGRWKIKSQNLVELNKVAKDLKDKFISFQINHVDRVEADNKFLRFTLHFPFKCHCLTLVCKTYLDMVMEYDYPNTWKNLENIEQISVG >KJB44434 pep chromosome:Graimondii2_0_v6:7:40449981:40452218:-1 gene:B456_007G252800 transcript:KJB44434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKQSSSAARKSFFALFLTTIVLFVLSWLFVLRLTGRPRPLDRKVLTTQKLPTFFHHVKSQSSNGLSRAILVNNGGREEEPPKREQGFQDKDGAMNCNGNVKEPLKIFMYDLDPEFHFGLLDWKPQGDRIWPDIRTEIPPYPEGLNLQHSIEYWLTLDLLASEISDNPNNRVAMRVQNSSEADLIFVPFFSSLSYNRFSKQNPHQKKSVNKVLQAKLVKFLTAQKEWKMSGGRDHIVMAHHPNSMLDARMQLWPSIFILADFGRYPLNIANVDKDVIAPYKHMVESYANDTSDFDSRQTLLFFQGSIYRKDGGFARQELFYLLKDEKDVHFAFGSLKNNGVIKAGQGMRLSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDILDYSEFCVFVRTSDAVKENFLINLIRSIRKEEWTQMWEKLKEVETLFEYQYPAKDGDAVQMIWQSLARKVPGVKMKINKSRRYSDAVVPGKDGGLRSIPAPKNFW >KJB46569 pep chromosome:Graimondii2_0_v6:7:60664665:60670240:1 gene:B456_007G374800 transcript:KJB46569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMRNTNGVVAEELGTLEGDDLKVDKIAQNVKDCHVGVVQAPAVLQIQQQQPQSASGCWERFLHQASIKVLLVENDDSTRHVVAALLRNCRYEVIEAASGLQAWKILEDLTNHIDLVLTEVFMPCFSGIFLLSKIMSHKTRKNVPVIMMSSHDSIGIVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSGSESGTQTQKSEKSGSVENSDNSGSNDEEDNENNGLNVGDGSDDGSGTQSSWTKQAAEIKSPSPVSPQDRVAECPDSTCAQVIHSNAEASGNKGVPATAPRGCQELDEQLDDVPMGKGLDIRMAGSVDLQRERPVEVPIKTIGANQINLLEMSFNKLNEPIDKRQLDLNTKSSSGELNSEAAHQTDITSKTNDLKKESTEYEASNRISKISDGNDKTTDDSKEVLPSTELGFKRLRGAEDSEAMLRDERNVLRRSNSSAFSRYNMASNANKFSFVNTGSSSARDSKLELTRKRSVCDVQSPLVNDLPNQYSNVGSNNINMASTTDNAFAKPAVLKNKSASSSTFRLGHPSSAFQPMKNDLLNAARKPVLDKADGVTTKAGLKQPRLTHQELDMQDRLQHQQPTDHDTLSLKKMAADAPHCGSSNVLGGPVPVEGNAGNYSVNGSNSGSNHASNGPHGSSTLADTVGTNIESDNGIAGKSGSGGSGDASGTGSGRGSGSKVDQSKSACREAALTKFRQKRKDRCFRKKVRYQSRKRLAQQRPSIRGQFV >KJB46568 pep chromosome:Graimondii2_0_v6:7:60664664:60670217:1 gene:B456_007G374800 transcript:KJB46568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMRNTNGVVAEELGTLEGDDLKVDKIAQNVKDCHVGVVQAPAVLQIQQQQPQSASGCWERFLHQASIKVLLVENDDSTRHVVAALLRNCRYEVIEAASGLQAWKILEDLTNHIDLVLTEVFMPCFSGIFLLSKIMSHKTRKNVPVIMMSSHDSIGIVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSGSESGTQTQKSEKSGSVENSDNSGSNDEEDNENNGLNVGDGSDDGSGTQSSWTKQAAEIKSPSPVSPQDRVAECPDSTCAQVIHSNAEASGNKGVPATAPRGCQELDEQLDDVPMGKGLDIRMAGSVDLQRERPVEVPIKTIGANQINLLEMSFNKLNEPIDKRQLDLNTKSSSGELNSEAAHQTDITSKTNDLKKESTEYEASNRISKISDGNDKTTDDSKEVLPSTELGFKRLRGAEDSEAMLRDERNVLRRSNSSAFSRYNMASNANKFSFVNTGSSSARDSKLELTRKRSVCDVQSPLVNDLPNQYSNVGSNNINMASTTDNAFAKPAVLKNKSASSSTFRLGHPSSAFQPMKNDLLNAARKPVLDKADGVTTKAGLKQPRLTHQELDMQDRLQHQQPTDHDTLSLKKMAADAPHCGSSNVLGGPVPVEGNAGNYSVNGSNSGSNHASNGPHGSSTLADTVGTNIESDNGIAGKSGSGGSGDASGTGSGRGSGSKVDQSKSACREAALTKFRQKRKDRCFRKKVRYQSRKRLAQQRPSIRGQFV >KJB45445 pep chromosome:Graimondii2_0_v6:7:51961334:51963128:-1 gene:B456_007G306100 transcript:KJB45445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNMTDNAYTAMAATPMPNDYILRHTNMSKLKAENFLMSSITIGSWERQSKNESDLIAKCYFAKKKLVWEILESGLKSKIEVQWSDISAFKATVQEGEPGTLQIELNQPPLFYHEVDPQPRKHTQWRMVSDFTGGQATTNRRHILKFPPGSLDRPLEKLLRSDKRLHQFNRQDFPESNPPYFPPPSVADFVLNFGGQLQISPPRIDQQQQQPLLSFARTPDEMYRPPSLDSSIPEYGSSQMSFLDQIARTNNFGDNHFIANQIGGTRMPFNATASSVPGVPFRSNYIPFPQNQFRRFAFMQQQEQEQEQQQQEQQQQEQPPMLQTVNDEYQQNMLSNNNSQTVVPSSMMTPGGNFLENPNMGDNGALRNNNNLPTDIFDPQLYVQLMNMATDNGSFSSSGNNSDGNQEGNYWL >KJB40989 pep chromosome:Graimondii2_0_v6:7:6187955:6190362:-1 gene:B456_007G086600 transcript:KJB40989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADGQLETRSVAGGIDVNQAPALAECEEEDGVSSPNSTVSSIGGKRNERDPVCDETEAERPSCSRSSDDEDGGGAGDDASRKKLRLSKEQSLVLEETFKEHSTLNPKQKLALAMQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCDNLTEENRRLQKEVQELRALKLSPQLYTHINPPTTLTMCPSCERVAIASSSSSSLAASSAPNSKHQRPVPASSWAALPLPVTHRPFNAPASRP >KJB40992 pep chromosome:Graimondii2_0_v6:7:6187955:6190559:-1 gene:B456_007G086600 transcript:KJB40992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKDDGLGLSLSLGCAQNQPSLKLNLMPLASPCMQNLQQRNIWNELFQSSDGQLETRSVAGGIDVNQAPALAECEEEDGVSSPNSTVSSIGGKRNERDPVCDETEAERPSCSRSSDDEDGGGAGDDASRKKLRLSKEQSLVLEETFKEHSTLNPKQKLALAMQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCDNLTEENRRLQKEVQELRALKLSPQLYTHINPPTTLTMCPSCERVAIASSSSSSLAASSAPNSKHQRPVPASSWAALPLPVTHRPFNAPASRP >KJB40988 pep chromosome:Graimondii2_0_v6:7:6189427:6190262:-1 gene:B456_007G086600 transcript:KJB40988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKDDGLGLSLSLGCAQNQPSLKLNLMPLASPCMQNLQQRNIWNELFQSSDGQLETRSVAGGIDVNQAPALAECEEEDGVSSPNSTVSSIGGKRNERDPVCDETEAERPSCSRSSDDEDGGGAGDDASRKKLRLSKEQSLVLEETFKEHSTLNPKQKLALAMQLNLRPRQVEVWFQNRRAR >KJB40990 pep chromosome:Graimondii2_0_v6:7:6187955:6190362:-1 gene:B456_007G086600 transcript:KJB40990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKDDGLGLSLSLGCAQNQPSLKLNLMPLASPCMQNLQQRNIWNELFQSSDGQLETRSVAGGIDVNQAPALAECEEEDGVSSPNSTVSSIGGKRNERDPVCDETEAERPSCSRSSDDEDGGGAGDDASRKKLRLSKEQSLVLEETFKEHSTLNPKQKLALAMQLNLRPRQVEVWFQNRRARA >KJB40991 pep chromosome:Graimondii2_0_v6:7:6187955:6190413:-1 gene:B456_007G086600 transcript:KJB40991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKDDGLGLSLSLGCAQNQPSLKLNLMPLASPCMQNLQQRNIWNELFQSSVFVIDRMHADGQLETRSVAGGIDVNQAPALAECEEEDGVSSPNSTVSSIGGKRNERDPVCDETEAERPSCSRSSDDEDGGGAGDDASRKKLRLSKEQSLVLEETFKEHSTLNPKQKLALAMQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCDNLTEENRRLQKEVQELRALKLSPQLYTHINPPTTLTMCPSCERVAIASSSSSSLAASSAPNSKHQRPVPASSWAALPLPVTHRPFNAPASRP >KJB46313 pep chromosome:Graimondii2_0_v6:7:59114593:59119141:1 gene:B456_007G360000 transcript:KJB46313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQNIQSLVFSYFIVLILFSLFSHYNALKEDLNASCNNYNVEDEMAAGYDPGAYPSYFTAIEGGGMKLEDPMTVALRDDEVLGMKQGYGVALRWTETVVNVDDFGAYGDKNVDTEAFEKAWKKACSLNGAVILQVPEGKNYILKPVKFSGPCNSNLTIQILGNIMASDDRSDYNEDSRHWLLFQKVDNLLVEGHDGGVINGNGMIWWQNSCKINKNLPCKDAPTAVTFYNSQNLVVRNLNIRDAQQIHVSFEKCTNVEASGFKITSPKISPNTDGIHITETKNIRITSSIIGTGDDCISIVNGSQNMQAMDITCGPGHGISIGSLGSKNSKAYVSGVTIDGAKLYGTANGVRIKTWQGGSGTASNIIFQNIEMYNVSNPIIIDQNYCDQSKTCKEQSSAVQVKDVLYNNINGTSTSEFAINFNCSKNHPCQGIVLQNVNLLQEQEHRPAKAICNNVILKEGDNVFPQCP >KJB40404 pep chromosome:Graimondii2_0_v6:7:4338545:4340155:1 gene:B456_007G061800 transcript:KJB40404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGKYTLGYKTVLKSLRSSKGKLIIISNNCPPLRKSEIEYYAMLCKVGVHHYNGNNNDLGTACGKYFRVSCLSIVDPGDSDIIKSLPGDH >KJB40403 pep chromosome:Graimondii2_0_v6:7:4338346:4340214:1 gene:B456_007G061800 transcript:KJB40403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIISNNCPPLRKSEIEYYAMLCKVGVHHYNGNNNDLGTACGKYFRVSCLSIVDPGDSDIIKSLPGDH >KJB46025 pep chromosome:Graimondii2_0_v6:7:57088842:57089773:-1 gene:B456_007G344200 transcript:KJB46025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTTFTRDKRSINQTEEESSTVETTTESTMTQGTEASHLSFQVTSHKMNGKNYLEWSQSVKLRIDEHGKLGHLTGEVNQPQVGEPKMSKCLDVVKDTYSDIENASQIFELKIKLYKARQGEKECYNDEWECPRDGVKAMKTEENERAYLFLVGLNKEFDEVRSRILGKKLLPKLCEIFSKVRKEETRRKVMLKPGFEANDDNSTIVTIKNNDDSEKRKKPWCDQCKKYWNTQETCWKLHGKLMNGKKKSGNGRGS >KJB43410 pep chromosome:Graimondii2_0_v6:7:20159266:20165781:-1 gene:B456_007G198400 transcript:KJB43410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKVEKEHLNVVDIASSDDDGDAQTVARKKASSLGERQLRRNISSPEIPQSSGPITPPALPSDETLDSRSFWKAGNYVIGPTSKPALLEGALEHARVHPKFLHSNATSHKWAFGAIAELMDNAVDEIQHGATFIKVDKIDIKMDNSPGPALLFLDDGGGMDPVLLRKCMSLGYSSKKSNTTIGQYGNGFKTSTMRLGADVIVFSRSTRAGKATQSVGLLSYTFLRRTGQDDVIVPMIDFDISGHWAEPIIYSTHDDWSSNLKTILEWSPFSLKEDLLLQFDDIGPHGTKIIIYNLWLNDEGIYELAFDDDDEDIRLRDEALREATTKVLKKTVELQSHISYRLRYSLRAYASMLYLRKFNNFQIILRGKPVQQFNIADELQYPKVVTYKPQVAAGSKECSVETTIGFIKETPTNVSGFNVYHKNRLIRPFWKVKSDGSVIGNGVVGVLEADFIEPAHDKQDFERSSLFVRLENRLKQMVHEYWKGHCYLMGYQPPGFAAKQKKLLANQHNNSSNLPAGGKQDSRDEQPGLDVPDVVMPEGDLASISVDQLCEENIQLFMRCEEKAQKEADLKQTIEELEKGLEDMRKKCAHLSSLLESKRKQKVT >KJB43413 pep chromosome:Graimondii2_0_v6:7:20159300:20165378:-1 gene:B456_007G198400 transcript:KJB43413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKVEKEHLNVVDIASSDDDGDAQTVARKKASSLGERQLRRNISSPEIPQSSGPITPPALPSDETLDSRSFWKAGNYVIGPTSKPALLEGALEHARVHPKFLHSNATSHKWAFGAIAELMDNAVDEIQHGATFIKVDKIDIKMDNSPGPALLFLDDGGGMDPVLLRKCMSLGYSSKKSNTTIGQYGNGFKTSTMRLGADVIVFSRSTRAGKATQSVGLLSYTFLRRTGQDDVIVPMIDFDISGHWAEPIIYSTHDDWSSNLKTILEWSPFSLKEDLLLQFDDIGPHGTKIIIYNLWLNDEGIYELAFDDDDEDIRLRDEALREATTKVLKKTVELQSHISYRLRYSLRAYASMLYLRKFNNFQIILRGKPVQQFNIADELQYPKVVTYKPQVAAGSKECSVETTIGFIKETPTNVSGFNVYHKNRLIRPFWKVKSDGSVIGNGVVGVLEADFIEPAHDKQDFERSSLFVRLENRLKQMVHEY >KJB43412 pep chromosome:Graimondii2_0_v6:7:20159300:20165378:-1 gene:B456_007G198400 transcript:KJB43412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKVEKEHLNVVDIASSDDDGDAQTVARKKASSLGERQLRRNISSPEIPQSSGPITPPALPSDETLDSRSFWKAGNYVIGPTSKPALLEGALEHARVHPKFLHSNATSHKWAFGAIAELMDNAVDEIQHGATFIKVDKIDIKMDNSPGPALLFLDDGGGMDPVLLRKCMSLGYSSKKSNTTIGQYGNGFKTSTMRLGADVIVFSRSTRAGKATQSVGLLSYTFLRRTGQDDVIVPMIDFDISGHWAEPIIYSTHDDWSSNLKTILEWSPFSLKEDLLLQFDDIGPHGTKIIIYNLWLNDEGIYELAFDDDDEDIRLRDEALREATTKVLKKTVELQSHISYRLRYSLRAYASMLYLRKFNNFQIILRGKPVQQFNIADELQYPKVVTYKPQVAAGSKECSVETTIGFIKETPTNVSGFNVYHKNRLIRPFWKVKSDGSVIGNGVVGVLEADFIEPAHDKQDFERSSLFVRLENRLKQMVHEYWKGHCYLMGYQPPGFAAKQKKLLANQHNNSSNLPAGGKQDSRDEQPGLDVPDVVMPEGDLASISVDQLCEENIQLFMRCEEKAQKEADLKQTVRITLPRINFRLWL >KJB43411 pep chromosome:Graimondii2_0_v6:7:20159300:20165378:-1 gene:B456_007G198400 transcript:KJB43411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKVEKEHLNVVDIASSDDDGDAQTVARKKASSLGERQLRRNISSPEIPQSSGPITPPALPSDETLDSRSFWKAGNYVIGPTSKPALLEGALEHARVHPKFLHSNATSHKWAFGAIAELMDNAVDEIQHGATFIKVDKIDIKMDNSPGPALLFLDDGGGMDPVLLRKCMSLGYSSKKSNTTIGQYGNGFKTSTMRLGADVIVFSRSTRAGKATQSVGLLSYTFLRRTGQDDVIVPMIDFDISGHWAEPIIYSTHDDWSSNLKTILEWSPFSLKEDLLLQFDDIGPHGTKIIIYNLWLNDEGIYELAFDDDDEDIRLRDEALREATTKVLKKTVELQSHISYRLRYSLRAYASMLYLRKFNNFQIILRGKPVQQFNIADELQYPKVVTYKPQVAAGSKECSVETTIGFIKETPTNVSGFNVYHKNRLIRPFWKVKSDGSVIGNGVVGVLEADFIEPAHDKQDFERSSLFVRLENRLKQMVHEYWKGHCYLMGYQPPGFAAKQKKLLANQHNNSSNLPAGGKQDSRDEQPGLDVPDVVMPEGDLASISVDQLCEENIQLFMR >KJB46162 pep chromosome:Graimondii2_0_v6:7:58098372:58102575:-1 gene:B456_007G350800 transcript:KJB46162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 22 [Source:Projected from Arabidopsis thaliana (AT5G05080) UniProtKB/Swiss-Prot;Acc:Q9FF66] MATNENLPPNVIKQLAKELKGLDESPPEGIKVGVNDDDFSIIYADIEGPAGTPYENGVFRMKLLLSHDFPHSPPKGMSGYFLTKIFHPNIAPNGEICVNTLKKDWNPSLGLRHVLIIVRCLLIEPYPESALNEQAGKMLLENYDEYARHARLYTGIHAKPKPKLKSGAICESTTALNVEQHNTSVLNIEQKNAASGAGLSLPSPLAPSSTKGGNNPDHQPQLQQQPIVPATETGVSGTAVVGISGTAPKKEGLSKIPADKKKMDARKKSLKRL >KJB46159 pep chromosome:Graimondii2_0_v6:7:58098372:58102511:-1 gene:B456_007G350800 transcript:KJB46159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 22 [Source:Projected from Arabidopsis thaliana (AT5G05080) UniProtKB/Swiss-Prot;Acc:Q9FF66] MATNENLPPNVIKQLAKELKGLDESPPEGIKVGVNDDDFSIIYADIEGPAGTPYENGVFRMKLLLSHDFPHSPPKGYFLTKIFHPNIAPNGEICVNTLKKDWNPSLGLRHVLIIVRCLLIEPYPESALNEQAGKMLLENYDEYARHARLYTGIHAKPKPKLKSGAICESTTALNVEQHNTSVLNIEQKNAASGAGLSLPSPLAPSSTKGGNNPDHQPQLQQQPIVPATETGVSGTAVVGISGTAPKKEGLSKIPADKKKMDARKKSLKRL >KJB46157 pep chromosome:Graimondii2_0_v6:7:58098372:58102575:-1 gene:B456_007G350800 transcript:KJB46157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 22 [Source:Projected from Arabidopsis thaliana (AT5G05080) UniProtKB/Swiss-Prot;Acc:Q9FF66] MATNENLPPNVIKQLAKELKGLDESPPEGIKVGVNDDDFSIIYADIEGPAGTPYENGVFRMKLLLSHDFPHSPPKGYFLTKIFHPNIAPNGEICVNTLKKDWNPSLGLRHVLIIVRCLLIEPYPESALNEQAGKMLLENYDEYARHARLYTGIHAKPKPKLKSGAICESTTALNVEQHNTSVLNIEQKNAASGAGLSLPSPLAPSSTKGGNNPDHQPQLQQQPIVPATETGVSGTAVVGISGTAPKKEGLSKIPADKKKMDARKKSLKRL >KJB46161 pep chromosome:Graimondii2_0_v6:7:58098372:58102575:-1 gene:B456_007G350800 transcript:KJB46161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 22 [Source:Projected from Arabidopsis thaliana (AT5G05080) UniProtKB/Swiss-Prot;Acc:Q9FF66] MATNENLPPNVIKQLAKELKGLDESPPEGIKVGVNDDDFSIIYADIEGPAGTPYENGVFRMKLLLSHDFPHSPPKGYFLTKIFHPNIAPNGEICVNTLKKDWNPSLGLRHVLIIVRCLLIEPYPESALNEQAGKMLLENYDEYARHARLYTGIHAKPKPKLKSGAICESTTALNVEQHNTSVLNIEQKNAASGAGLSLPSPLAPSSTKGGNNPDHQPQLQQQPIVPATETGVSGTAVVGISGTAPKKEGLSKIPADKKKMDARKKSLKRL >KJB46158 pep chromosome:Graimondii2_0_v6:7:58098369:58102739:-1 gene:B456_007G350800 transcript:KJB46158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 22 [Source:Projected from Arabidopsis thaliana (AT5G05080) UniProtKB/Swiss-Prot;Acc:Q9FF66] MATNENLPPNVIKQLAKELKGLDESPPEGIKVGVNDDDFSIIYADIEGPAGTPYENGVFRMKLLLSHDFPHSPPKGYFLTKIFHPNIAPNGEICVNTLKKDWNPSLGLRHVLIIVRCLLIEPYPESALNEQAGKMLLENYDEYARHARLYTGIHAKPKPKLKSGAICESTTALNVEQHNTSVLNIEQKNAASGAGLSLPSPLAPSSTKGGNNPDHQPQLQQQPIVPATETGVSGTAVVGISGTAPKKEGLSKIPADKKKMDARKKSLKRL >KJB46160 pep chromosome:Graimondii2_0_v6:7:58098585:58101386:-1 gene:B456_007G350800 transcript:KJB46160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 22 [Source:Projected from Arabidopsis thaliana (AT5G05080) UniProtKB/Swiss-Prot;Acc:Q9FF66] MMMIFRSFMLTLKGQAPGTPYENGVFRMKLLLSHDFPHSPPKGYFLTKIFHPNIAPNGEICVNTLKKDWNPSLGLRHVLIIVRCLLIEPYPESALNEQAGKMLLENYDEYARHARLYTGIHAKPKPKLKSGAICESTTALNVEQHNTSVLNIEQKNAASGAGLSLPSPLAPSSTKGGNNPDHQPQLQQQPIVPATETGVSGTAVVGISGTAPKKEGLSKIPADKKKMDARKKSLKRL >KJB45547 pep chromosome:Graimondii2_0_v6:7:52522751:52524906:1 gene:B456_007G311300 transcript:KJB45547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSGLIKKDFKSSLVEAGSHAKTFAVLSGVHSLVVCFLKRLRGKDDVTNAGIAGCCTGLALSFPGTPQTLLQSCLTFGALSFILEGLNKQQPAALAHSFSVRNKNETRPLALPISLPLPDELKGPFSSFCKSLVKPNKGSSRSAN >KJB46294 pep chromosome:Graimondii2_0_v6:7:58988647:58989165:-1 gene:B456_007G358100 transcript:KJB46294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHDETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKAMILKQEQAQLAASSIGIIVNGSSTGNSKEPAVATALDVQSGNADTKLVSTELPIDPSGTTSCGMKTKEGPNRCTKCCKRVGLTGFNCRCGNLFCAAHRYSDKHDCPFDYRAAARDAIAKANPVVRAEKLDKI >KJB46298 pep chromosome:Graimondii2_0_v6:7:58988281:58990615:-1 gene:B456_007G358100 transcript:KJB46298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHDETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKAMILKQEQAQLAASSIGIIVNGSSTGNSKEPAVATALDVQSGNADTKLVSTELPIDPSGTTSCGMKTKEGPNRCTKCCKRVGLTGFNCRCGNLFCAAHRYSDKHDCPFDYRAAARDAIAKANPVVRAEKLDKI >KJB46297 pep chromosome:Graimondii2_0_v6:7:58988647:58989165:-1 gene:B456_007G358100 transcript:KJB46297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHDETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKAMILKQEQAQLAASSIGIIVNGSSTGNSKEPAVATALDVQSGNADTKLVSTELPIDPSGTTSCGMKTKEGPNRCTKCCKRVGLTGFNCRCGNLFCAAHRYSDKHDCPFDYRAAARDAIAKANPVVRAEKLDKI >KJB46295 pep chromosome:Graimondii2_0_v6:7:58988281:58990615:-1 gene:B456_007G358100 transcript:KJB46295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHDETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKAMILKQEQAQLAASSIGIIVNGSSTGNSKEPAVATALDVQSGNADTKLVSTELPIDPSGTTSCGMKTKEGPNRCTKCCKRVGLTGFNCRCGNLFCAAHRYSDKHDCPFDYRAAARDAIAKANPVVRAEKLDKI >KJB46296 pep chromosome:Graimondii2_0_v6:7:58988281:58990622:-1 gene:B456_007G358100 transcript:KJB46296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHDETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKAMILKQEQAQLAASSIGIIVNGSSTGNSKEPAVATALDVQSGNADTKLVSTELPIDPSGTTSCGMKTKEGPNRCTKCCKRVGLTGFNCRCGNLFCAAHRYSDKHDCPFDYRAAARDAIAKANPVVRAEKLDKI >KJB41097 pep chromosome:Graimondii2_0_v6:7:6640239:6644334:-1 gene:B456_007G091400 transcript:KJB41097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRTENVSQIGVGSTTEDVSPVSVGLTGSIEKKKRGRPRKYGPDGTMARALSPMPISSSVPPSGGEFSSGGGKRGRGRGSGYQIKHQKGMDLENLGEWAATSVGSSFTPHVITVNAGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTETQGTRSRSGGMSVSLASADGRVVGGGVAGLLIAASPVQVVVGSFLPGNQHDQKPKKQKIESIPATVAPNPSIVAAPASNAEKEDGIDVVSPQQNSNALKPSLTGATFRRENWAATMQEPRNSATDINISLPAG >KJB41096 pep chromosome:Graimondii2_0_v6:7:6640658:6643551:-1 gene:B456_007G091400 transcript:KJB41096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSGVTVIGAEAPSAYQMAPRTENVSQIGVGSTTEDVSPVSVGLTGSIEKKKRGRPRKYGPDGTMARALSPMPISSSVPPSGGEFSSGGGKRGRGRGSGYQIKHQKGMDLENLGEWAATSVGSSFTPHVITVNAGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTETQGTRSRSGGMSVSLASADGRVVGGGVAGLLIAASPVQVVVGSFLPGNQHDQKPKKQKIESIPATVAPNPSIVAAPASNAEKEDGIDVVSPQQNSNALKPSLTGATFRRENWAATMQEPRNSATDINISLPAG >KJB41098 pep chromosome:Graimondii2_0_v6:7:6640239:6644464:-1 gene:B456_007G091400 transcript:KJB41098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRTENVSQIGVGSTTEDVSPVSVGLTGSIEKKKRGRPRKYGPDGTMARALSPMPISSSVPPSGGEFSSGGGKRGRGRGSGYQIKHQKGMDLENLGEWAATSVGSSFTPHVITVNAGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTETQGTRSRSGGMSVSLASADGRVVGGGVAGLLIAASPVQVVVGSFLPGNQHDQKPKKQKIESIPATVAPNPSIVAAPASNAEKEDGIDVVSPQQNSNALKPSLTGATFRRENWAATMQEPRNSATDINISLPAG >KJB41100 pep chromosome:Graimondii2_0_v6:7:6641038:6644334:-1 gene:B456_007G091400 transcript:KJB41100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSGVTVIGAEAPSAYQMAPRTENVSQIGVGSTTEDVSPVSVGLTGSIEKKKRGRPRKYGPDGTMARALSPMPISSSVPPSGGEFSSGGGKRGRGRGSGYQIKHQKGMDLENLGEWAATSVGSSFTPHVITVNAGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTETQGTRSRSGGMSVSLASADGRVVGGGVAGLLIAASPVQVSLLVLNFRSPFRINNRFNGRGFK >KJB41099 pep chromosome:Graimondii2_0_v6:7:6640239:6643830:-1 gene:B456_007G091400 transcript:KJB41099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSGVTVIGAEAPSAYQMAPRTENVSQIGVGSTTEDVSPVSVGLTGSIEKKKRGRPRKYGPDGTMARALSPMPISSSVPPSGGEFSSGGGKRGRGRGSGYQIKHQKGMDLENLGEWAATSVGSSFTPHVITVNAGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTETQGTRSRSGGMSVSLASADGRVVGGGVAGLLIAASPVQVVVGSFLPGNQHDQKPKKQKIESIPATVAPNPSIVAAPASNAEKEDGIDVVSPQQNSNALKPSLTGATFRRENWAATMQEPRNSATDINISLPAG >KJB44323 pep chromosome:Graimondii2_0_v6:7:37423625:37426400:-1 gene:B456_007G246100 transcript:KJB44323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIKASSFIYQTEFHTPKFPNLKPSKTLSPLFTPSLKPNNLSFQTTRLRSSYNPTSILPTKLPCVSPKTQFFNSLSEKVVVFLVGSFIFLGCFNAKPCLALPAQATTSSRVNLEGKNETQKRKSEEEERYEKILEKEPRNVDALKVLLYGKIRRGKTQEAVECVEKLIDIEPDEVEWRLLQALCYEMMGQLSKAKRLFKEILEETPLLLRALHVKFSNLGLAMVMHKNHEGPAVFEMLNEALEVAVREKRVTEERNIRVLIAQMHVVKGELEEGMKKFQDLIKDNPRDFRPYLCQGIIYSLLDKKKEAAEQFEIYQSLVPEEFPQRGFLDDVVLEAKTKSRKWLQKDFKAEFSYKK >KJB44324 pep chromosome:Graimondii2_0_v6:7:37423172:37426590:-1 gene:B456_007G246100 transcript:KJB44324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIKASSFIYQTEFHTPKFPNLKPSKTLSPLFTPSLKPNNLSFQTTRLRSSYNPTSILPTKLPCVSPKTQFFNSLSEKVVVFLVGSFIFLGCFNAKPCLALPAQATTSSRVNLEGKNETQKRKSEEEERYEKILEKEPRNVDALKVLLYGKIRRGKTQEAVECVEKLIDIEPDEVEWRLLQALCYEMMGQLSKAKRLFKEILEETPLLLRALHGLAMVMHKNHEGPAVFEMLNEALEVAVREKRVTEERNIRVLIAQMHVVKGELEEGMKKFQDLIKDNPRDFRPYLCQGIIYSLLDKKKEAAEQFEIYQSLVPEEFPQRGFLDDVVLEAKTKSRKWLQKDFKAEFSYKK >KJB44325 pep chromosome:Graimondii2_0_v6:7:37424369:37426479:-1 gene:B456_007G246100 transcript:KJB44325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIKASSFIYQTEFHTPKFPNLKPSKTLSPLFTPSLKPNNLSFQTTRLRSSYNPTSILPTKLPCVSPKTQFFNSLSEKVVVFLVGSFIFLGCFNAKPCLALPAQATTSSRVNLEGKNETQKRKSEEEERYEKILEKEPRNVDALKVLLYGKIRRGKTQEAVECVEKLIDIEPDEVEWRLLQALCYEMMGQLSKAKRLFKEILEETPLLLRALHGLAMVMHKNHEGPAVFEMLNEALEVAVREKRVTEERNIRVLIAQMHVVKVTS >KJB44322 pep chromosome:Graimondii2_0_v6:7:37423172:37426479:-1 gene:B456_007G246100 transcript:KJB44322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIKASSFIYQTEFHTPKFPNLKPSKTLSPLFTPSLKPNNLSFQTTRLRSSYNPTSILPTKLPCVSPKTQFFNSLSEKVVVFLVGSFIFLGCFNAKPCLALPAQATTSSRVNLEGKNETQKRKSEEEERYEKILEKEPRNVDALKVLLYGKIRRGKTQEAVECVEKLIDIEPDEVEWRLLQALCYEMMGQLSKAKRLFKEILEETPLLLRALHGELEEGMKKFQDLIKDNPRDFRPYLCQGIIYSLLDKKKEAAEQFEIYQSLVPEEFPQRGFLDDVVLEAKTKSRKWLQKDFKAEFSYKK >KJB44539 pep chromosome:Graimondii2_0_v6:7:42106379:42113316:-1 gene:B456_007G258600 transcript:KJB44539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLEVTRAAHEDVEQLERLMVKDLQNDPPTAKDKLYQSHRVRNNIDTIISTTEKLIEIYEDKDNARKDEIAALGGQTATGINVFSAFYDRLKEIREYHRKHPAARLVNVNEEDEALLKEEPVIEFSGEEAFGRYLDLHELFNQYINSKFGSKIEYSAYLDVFSQPHNIPWKLKSTREYMENLLEYLIYFFQRTEPLQDLDRIFSKVEAEFEEQWANGQVQGWEKQGQENEDDPAQHTMIDLDYYSTVEELMEVGPEKLKEALASLGLKTGGTVQQRAERLFLTKHTPLEKLDKKHFAKGSHGPRQNGSTAVSQDINSLKHIALMEAKMKKLSDLLSKTIEQTKENVVKKQALTYEEMEQEREEEETQVDTESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITNIQEAQELWEKIRERQGVNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >KJB44541 pep chromosome:Graimondii2_0_v6:7:42107300:42112384:-1 gene:B456_007G258600 transcript:KJB44541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLEVTRAAHEDVEQLERLMVKDLQNDPPTAKDKLYQSHRVRNNIDTIISTTEKLIEIYEDKDNARKDEIAALGGQTATGINVFSAFYDRLKEIREYHRKHPAARLVNVNEEDEALLKEEPVIEFSGEEAFGRYLDLHELFNQYINSKFGSKIEYSAYLDVFSQPHNIPWKLKSTRQFREYMENLLEYLIYFFQRTEPLQDLDRIFSKVEAEFEEQWANGQVQGWEKQGQENEDDPAQHTMIDLDYYSTVEELMEVGPEKLKEALASLGLKTGGTVQQRAERLFLTKHTPLEKLDKKHFAKGSHGPRQNGSTAVSQDINSLKHIALMEAKMKKLSDLLSKTIEQTKENVVKKQALTYEEMEQEREEITNPGPKHLVWMAVGRVLNAGACMDQSTRCQPCSRKRHKLTRKVMMKINRSIILLNCQWVGMASLYLTGFTNFMVLVRSLSVRFVGTTATGAVGLLRGISKNGGISMACDALVFLTPRTLMKSPIFRKHKNCGRRYENGKE >KJB44544 pep chromosome:Graimondii2_0_v6:7:42106926:42113400:-1 gene:B456_007G258600 transcript:KJB44544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLEVTRAAHEDVEQLERLMVKDLQNDPPTAKDKLYQSHRVRNNIDTIISTTEKLIEIYEDKDNARKDEIAALGGQTATGINVFSAFYDRLKEIREYHRKHPAARLVNVNEEDEALLKEEPVIEFSGEEAFGRYLDLHELFNQYINSKFGSKIEYSAYLDVFSQPHNIPWKLKSTRQFREYMENLLEYLIYFFQRTEPLQDLDRIFSKVEAEFEEQWANGQVQGWEKQGQENEDDPAQHTMIDLDYYSTVEELMEVGPEKLKEALASLGLKTGGTVQQRAERLFLTKHTPLEKLDKKHFAKGSHGPRQNGSTAVSQDINSLKHIALMEAKMKKLSDLLSKTIEQTKENVVKKQALTYEEMEQEREEEETQVDTESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITNIQEAQELWEKIRERQGVNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >KJB44545 pep chromosome:Graimondii2_0_v6:7:42108879:42113338:-1 gene:B456_007G258600 transcript:KJB44545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLEVTRAAHEDVEQLERLMVKDLQNDPPTAKDKLYQSHRVRNNIDTIISTTEKLIEIYEDKDNARKDEIAALGGQTATGINVFSAFYDRLKEIREYHRKHPAARLVNVNEEDEALLKEEPVIEFSGEEAFGRYLDLHELFNQYINSKFGSKIEYSAYLDVFSQPHNIPWKLKSTRQFREYMENLLEYLIYFFQRTEPLQDLDRIFSKVEAEFEEQWANGQVQGWEKQGQENEDDPAQHTMIDLDYYSTVEELMEVGPEKLKEALASLGLKTGGTVQQRAERLFLTKHTPLEKLDKKHFAKGSHGPRQNGSTAVSQDINSLKHIALMEAKMKKLSDLLSKTIEQTKENVVKKQALTYEEMEQEREEVS >KJB44540 pep chromosome:Graimondii2_0_v6:7:42107199:42112384:-1 gene:B456_007G258600 transcript:KJB44540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLEVTRAAHEDVEQLERLMVKDLQNDPPTAKDKLYQSHRVRNNIDTIISTTEKLIEIYEDKDNARKDEIAALGGQTATGINVFSAFYDRLKEIREYHRKHPAARLVNVNEEDEALLKEEPVIEFSGEEAFGRYLDLHELFNQYINSKFGSKIEYSAYLDVFSQPHNIPWKLKSTRQFREYMENLLEYLIYFFQRTEPLQDLDRIFSKVEAEFEEQWANGQVQGWEKQGQENEDDPAQHTMIDLDYYSTVEELMEVGPEKLKEALASLGLKTGGTVQQRAERLFLTKHTPLEKLDKKHFAKGSHGPRQNGSTAVSQDINSLKHIALMEAKMKKLSDLLSKTIEQTKENVVKKQALTYEEMEQEREEEETQVDTESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITNIQEAQELWEKIRERQGVNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >KJB44543 pep chromosome:Graimondii2_0_v6:7:42106379:42113338:-1 gene:B456_007G258600 transcript:KJB44543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLEVTRAAHEDVEQLERLMVKDLQNDPPTAKDKLYQSHRVRNNIDTIISTTEKLIEIYEDKDNARKDEIAALGGQTATGINVFSAFYDRLKEIREYHRKHPAARLVNVNEEDEALLKEEPVIEFSGEEAFGRYLDLHELFNQYINSKFGSKIEYSAYLDVFSQPHNIPWKLKSTRQFREYMENLLEYLIYFFQRTEPLQDLDRIFSKVEAEFEEQWANGQVQGWEKQGQENEDDPAQHTMIDLDYYSTVEELMEVGPEKLKEALASLGLKTGGTVQQRAERLFLTKHTPLEKLDKKHFAKGSHGPRQNGSTAVSQDINSLKHIALMEAKMKKLSDLLSKTIEQTKENVVKKQALTYEEMEQEREEEETQVDTESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITNIQEAQELWEKIRERQGVNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >KJB44538 pep chromosome:Graimondii2_0_v6:7:42106928:42113400:-1 gene:B456_007G258600 transcript:KJB44538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLEVTRAAHEDVEQLERLMVKDLQNDPPTAKDKLYQSHRVRNNIDTIISTTEKLIEIYEDKDNARKDEIAALGGQTATGINVFSAFYDRLKEIREYHRKHPAARLVNVNEEDEALLKEEPVIEFSGEEAFGRYLDLHELFNQYINSKFGSKIEYSAYLDVFSQPHNIPWKLKSTRQFREYMENLLEYLIYFFQRTEPLQDLDRIFSKVEAEFEEQWANGQVQGWEKQGQENEDDPAQHTMIDLDYYSTVEELMEVGPEKLKEALASLGLKTGGTVQQRAERLFLTKHTPLEKLDKKHFAKGSHGPRQNGSTAVSQDINSLKHIALMEAKMKKLSDLLSKTIEQTKENVVKKQALTYEEMEQEREEEETQVDTESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITNIQEAQELWEKIRERQGVNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >KJB44542 pep chromosome:Graimondii2_0_v6:7:42106379:42113316:-1 gene:B456_007G258600 transcript:KJB44542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLEVTRAAHEDVEQLERLMVKDLQNDPPTAKDKLYQSHRVRNNIDTIISTTEKLIEIYEDKDNARKDEIAALGGQTATGINVFSAFYDRLKEIREYHRKHPAARLVNVNEEDEALLKEEPVIEFSGEEAFGRYLDLHELFNQYINSKFGSKIEYSAYLDVFSQPHNIPWKLKSTRQFREYMENLLEYLIYFFQRTEPLQDLDRIFSKVEAEFEEQWANGQVQGWEKQGQENEDDPAQHTMIDLDYYSTVEELMEVGPEKLKEALASLGLKTGGTVQQRAERLFLTKHTPLEKLDKKHFAKGSHGPRQNGSTAVSQDINSLKHIALMEAKMKKLSDLLSKTIEQTKENVVKKQALTYEEMEQEREEEETQVDTESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITNIQEAQELWEKIRERQGVNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >KJB46483 pep chromosome:Graimondii2_0_v6:7:60441769:60445773:-1 gene:B456_007G371500 transcript:KJB46483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVDDEFSLIDDHETNPSHHHVLHHHHSYAQVHAPPPDNHNGDDDSDSAFRGANFVNNNSSNATSSDVRIEKRRDQEEINDGVLKRSKQSAATEYRKDREEWSDAAIGCLLEAYMEKLTQLNRGNLRGRDWEEVAAAVSERCEKQSKSVEQCKNKVDNLKKRYKLERHRMSDGGITASHWPWFKKMEEIVGNSLPAKAVVEEDKGSASPGTVVRQSKRYTSAAPNPVGQMITMKSKSPKWRRVVFKISGAALACTGPPNIDPKVAMLIAREVAIACRLGVEVAIVVGGRNFFCGDTWVAATGLDRSTAYQIGMMASVMNSILLQSLLEKMGVQARVQTAFSVQEAEPYSRVRAIRHLEKGRVVIFGGIGAGTGNPLFSTDTAAALRASEIHAEAVVKGTNVDGVYDCHSQDNNATFEHISFRDLVSRGATTMDMMSLTFCEENGIPVVVFNLLQPGNISKALCGDQVGTLIDQTGRIS >KJB46482 pep chromosome:Graimondii2_0_v6:7:60441769:60445757:-1 gene:B456_007G371500 transcript:KJB46482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVDDEFSLIDDHETNPSHHHVLHHHHSYAQVHAPPPDNHNGDDDSDSAFRGANFVNNNSSNATSSDVRIEKRRDQEEINDGVLKRSKQSAATEYRKDREEWSDAAIGCLLEAYMEKLTQLNRGNLRGRDWEEVAAAVSERCEKQSKSVEQCKNKVDNLKKRYKLERHRMSDGGITASHWPWFKKMEEIVGNSLPAKAVVEEDKGSASPGTVVRQSKSRYTSAAPNPVGQMITMKSKSPKWRRVVFKISGAALACTGPPNIDPKVAMLIAREVAIACRLGVEVAIVVGGRNFFCGDTWVAATGLDRSTAYQIGMMASVMNSILLQSLLEKMGVQARVQTAFSVQEAEPYSRVRAIRHLEKGRVVIFGGIGAGTGNPLFSTDTAAALRASEIHAEAVVKGTNVDGVYDCHSQDNNATFEHISFRDLVSRGATTMDMMSLTFCEENGIPVVVFNLLQPGNISKALCGDQVGTLIDQTGRIS >KJB40232 pep chromosome:Graimondii2_0_v6:7:3668222:3669805:-1 gene:B456_007G052700 transcript:KJB40232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHFLLVHGMCHGAWCWYKVVSLLKSGGHRVTPLDLGACGINPKTITDLASLSDYAQPLMELMASLPEDEKVILVGHSYGGVFISLAMESFPKKVLAAVYVAALMPNHDYPIATGLMELLKRIMAEPLLDFQVWFDDGSESPPTRALFGPKYTETKVYHLSPKEDIELGLTLMRQGKLFLKDLANESLLSKEKFGSIHRVYIVCKDDLLVEESMQKWYIENSPTEDVKFIAGADHMPMFSKPHELCKCLQEVAHQYN >KJB40734 pep chromosome:Graimondii2_0_v6:7:5426675:5431589:1 gene:B456_007G076700 transcript:KJB40734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERSESGGGGYVRADQIDLKSLDEQLQRHHSRAWSLENNRNGKEEGGFGIGGRVGGPSNTVRRQEWEIDPSKLIIKSVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEIATLRAAFTQEVVVWHKLDHPNVTKFIGATMGSSELNLQTENGHIGMPSNVCCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTLKIADFGVARLEASNPNDMTGETGTLGYMAPENLRPEIPRCCPSSLANVMKRCWDANPDRRPEMDEVVSMLEGIDTSKGGGMIPHDQPQGCLCFRRYRGP >KJB40735 pep chromosome:Graimondii2_0_v6:7:5427971:5431620:1 gene:B456_007G076700 transcript:KJB40735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTVALIHEDIWDPYLIDRGNNFFVKLLDWGEEGHRSEAEIATLRAAFTQEVVVWHKLDHPNVTKFIGATMGSSELNLQTENGHIGMPSNVCCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTLKIADFGVARLEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDRRPEMDEVVSMLEGIDTSKGGGMIPHDQPQGCLCFRRYRGP >KJB40732 pep chromosome:Graimondii2_0_v6:7:5426675:5431620:1 gene:B456_007G076700 transcript:KJB40732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERSESGGGGYVRADQIDLKSLDEQLQRHHSRAWSLENNRNGKEEGGFGIGGRVGGPSNTVRRQEWEIDPSKLIIKSVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEIATLRAAFTQEVVVWHKLDHPNVTKFIGATMGSSELNLQTENGHIGMPSNVCCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTLKIADFGVARLEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDRRPEMDEVVSMLEGIDTSKGGGMIPHDQPQGCLCFRRYRGP >KJB40731 pep chromosome:Graimondii2_0_v6:7:5426675:5430274:1 gene:B456_007G076700 transcript:KJB40731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERSESGGGGYVRADQIDLKSLDEQLQRHHSRAWSLENNRNGKEEGGFGIGGRVGGPSNTVRRQEWEIDPSKLIIKSVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEIATLRAAFTQEVVVWHKLDHPNVTKFIGATMGSSELNLQTENGHIGMPSNVCCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTLKIADFGVARLEASNPNDMTGETGTLGYMAPEGLQAELWARWLTINRRNYLIFF >KJB40733 pep chromosome:Graimondii2_0_v6:7:5426895:5429923:1 gene:B456_007G076700 transcript:KJB40733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERSESGGGGYVRADQIDLKSLDEQLQRHHSRAWSLENNRNGKEEGGFGIGGRVGGPSNTVRRQEWEIDPSKLIIKSVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEIATLRAAFTQEVVVWHKLDHPNVTKFIGATMGSSELNLQTENGHIGMPSNVCCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTLKIADFGVARLEASNPNDMTGETGTLGYMAPEVYLQPICFL >KJB41690 pep chromosome:Graimondii2_0_v6:7:8938779:8942272:1 gene:B456_007G115100 transcript:KJB41690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46910) UniProtKB/Swiss-Prot;Acc:Q8W4F1] MELAFAASVYPTNVKRVIYEIRPHSSKLVTNKRRSFHKKFLCSVAVAPDRNRVSEVELENKKHNLLLAVQDTQRGLAATADQRSIIEEALVSVEGYNMGAPLDMAVLDGTWRLQYTSAPDVVVLLEAAARLPFFQVGQIFQKFECRDQLRGGVIRNVVRWSIPNLLEEQEGATLVVSAKFDVVSVRNIYLQFEEIKVQDINISEQLQALIAPALLPRSFLSLQILQFLRTFRAQVPVRNPGTGSMADRRRSVGGLYYLSYLDQNMLLGRAVGGGGVFVFTKAQPLEL >KJB41689 pep chromosome:Graimondii2_0_v6:7:8938779:8942272:1 gene:B456_007G115100 transcript:KJB41689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46910) UniProtKB/Swiss-Prot;Acc:Q8W4F1] MELAFAASVYPTNVKRVIYEIRPHSSKLVTNKRRSFHKKFLCSVAVAPDRNRVSEVELENKKHNLLLAVQDTQRGLAATADQRSIIEEALVSVEGYNMGAPLDMAVLDGTWRLQYTSAPDVVVLLEAAARLPFFQVGQIFQKFECRDQLRGGVIRNVVRWSIPNLLEEQEGATLVVSAKFDVVSVRNIYLQFEEIKVQDINISEQLQALIAPALLPRSFLSLQILQFLRTFRAQVPVRNPGTGRRSVGGLYYLSYLDQNMLLGRAVGGGGVFVFTKAQPLEL >KJB40419 pep chromosome:Graimondii2_0_v6:7:4374463:4378039:-1 gene:B456_007G062600 transcript:KJB40419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRREREREFKEFNGGPPCGQVRVLVVGDSGVGKTSLVHLIVKGFSTACPPQTIGCTVGVKHTKYGSPGSSSSSLKGDAERDFFIELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQQWASEIATTGTFSAPLSSGGPGGLPVPYIVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLPPSEELPLTESFPGSGSLIAAAKEARYDKEGLMKFFRMLIKRRYFSDDLSTPNTWSISPALRTSQCLDENSDDDHQLYRRTSLSGDPYKYNMLPPLPAQRNLTPPPTLYPQQPVSVTENYSIPRFSFTGSQEINSSTRSKRADINV >KJB40425 pep chromosome:Graimondii2_0_v6:7:4375204:4377414:-1 gene:B456_007G062600 transcript:KJB40425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRREREREFKEFNGGPPCGQVRVLVVGDSGVGKTSLVHLIVKGFSTACPPQTIGCTVGVKHTKYGSPGSSSSSLKGDAERDFFIELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQQWASEIATTGTFSAPLSSGGPGGLPVPYIVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLPPSEELPLTESFPGSGSLIAAAKEARYDKEGLMKFFRMVLSSSFLILCRVLALIFLGVYCC >KJB40420 pep chromosome:Graimondii2_0_v6:7:4374488:4378039:-1 gene:B456_007G062600 transcript:KJB40420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRREREREFKEFNGGPPCGQVRVLVVGDSGVGKTSLVHLIVKGFSTACPPQTIGCTVGVKHTKYGSPGSSSSSLKGDAERDFFIELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQQWASEIATTGTFSAPLSSGGPGGLPVPYIVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLPPSEELPLTESFPGSGSLIAAAKEARYDKEGLMKFFRMMIYRPRIHGPYLLL >KJB40424 pep chromosome:Graimondii2_0_v6:7:4375204:4377414:-1 gene:B456_007G062600 transcript:KJB40424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRREREREFKEFNGGPPCGQVRVLVVGDSGVGKTSLVHLIVKGFSTACPPQTIGCTVGVKVRFSTPLLPRIKLLFEFIYQLATTISTQHTKYGSPGSSSSSLKGDAERDFFIELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQQWASEIATTGTFSAPLSSGGPGGLPVPYIVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLPPSEELPLTESFPGSGSLIAAAKEARYDKEGLMKFFRMVLSSSFLILCRVLALIFLGVYCC >KJB40421 pep chromosome:Graimondii2_0_v6:7:4375047:4377414:-1 gene:B456_007G062600 transcript:KJB40421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRREREREFKEFNGGPPCGQVRVLVVGDSGVGKTSLVHLIVKGFSTACPPQTIGCTVGVKHTKYGSPGSSSSSLKGDAERDFFIELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQQWASEIATTGTFSAPLSSGGPGGLPVPYIVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLPPSEELPLTESFPGSGSLIAAAKEARYDKEGLMKFFRMLIKRRYFSDDLSTPNTWSISPALRTSQCLDENSDDDHQLYRRTR >KJB40422 pep chromosome:Graimondii2_0_v6:7:4374489:4377736:-1 gene:B456_007G062600 transcript:KJB40422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRREREREFKEFNGGPPCGQVRVLVVGDSGVGKTSLVHLIVKGFSTACPPQTIGCTVGVKHTKYGSPGSSSSSLKGDAERDFFIELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQQWASEIATTGTFSAPLSSGGPGGLPVPYIVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLPPSEELPLTESFPGSGSLIAAAKEARYDKEGLMKFFLDQETVFFR >KJB40423 pep chromosome:Graimondii2_0_v6:7:4374489:4377736:-1 gene:B456_007G062600 transcript:KJB40423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRREREREFKEFNGGPPCGQVRVLVVGDSGVGKTSLVHLIVKGFSTACPPQTIGCTVGVKHTKYGSPGSSSSSLKGDAERDFFIELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQQWASEIATTGTFSAPLSSGGPGGLPVPYIVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLPPSEELPLTESFPGSGSLIAAAKEARYDKEGLMKFFRMMIYRPRIHGPYLLL >KJB41312 pep chromosome:Graimondii2_0_v6:7:7282548:7285402:-1 gene:B456_007G098600 transcript:KJB41312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSGTQSGVHGSPGIHPLSSPNVQYQSSISATTMGATLPVEPLSGITPHNVNVGTPPAMQPGEAVKRKRGRPRKYGPDGTVSLALTPASATHPGTITPIQKRGRGRPPGSGRKQQLSSLGELLSGSAGMSFTPHVITIAIGEDIATKLMSFSQQGPREVCILSANGAVSTVTLRQPSSSGGTVTYEGRFEILCLSGSYLLTSNTGSRNRTGGLSVSLASPDGRVIGGGVGGMLIAASPVQVIVGSFIWGGSKAKNKKGGQEGVKDSDDQMVDNLVAPPGISPSQNMTPSAPAGVWPGSRSMDMRNNSHVDIDLMRG >KJB41311 pep chromosome:Graimondii2_0_v6:7:7282030:7286749:-1 gene:B456_007G098600 transcript:KJB41311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSGTQSGVHGSPGIHPLSSPNVQYQSSISATTMGATLPVEPLSGITPHNVNVGTPPAMQPGEAVKRKRGRPRKYGPDGTVSLALTPASATHPGTITPIQKRGRGRPPGSGRKQQLSSLGELLSGSAGMSFTPHVITIAIGEQGPREVCILSANGAVSTVTLRQPSSSGGTVTYEGRFEILCLSGSYLLTSNTGSRNRTGGLSVSLASPDGRVIGGGVGGMLIAASPVQVIVGSFIWGGSKAKNKKGGQEGVKDSDDQMVDNLVAPPGISPSQNMTPSAPAGVWPGSRSMDMRNNSHVDIDLMRG >KJB41313 pep chromosome:Graimondii2_0_v6:7:7282030:7286387:-1 gene:B456_007G098600 transcript:KJB41313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSGTQSGVHGSPGIHPLSSPNVQYQSSISATTMGATLPVEPLSGITPHNVNVGTPPAMQPGEAVKRKRGRPRKYGPDGTVSLALTPASATHPGTITPIQKRGRGRPPGSGRKQQLSSLGELLSGSAGMSFTPHVITIAIGEDIATKLMSFSQQGPREVCILSANGAVSTVTLRQPSSSGGTVTYEGRFEILCLSGSYLLTSNTGSRNRTGGLSVSLASPDGRVIGGGVGGMLIAASPVQVIVGSFIWGGSKAKNKKGGQEGVKDSDDQMVDNLVAPPGISPSQNMTPSAPAGVWPGSRSMDMRNNSHVDIDLMRG >KJB41310 pep chromosome:Graimondii2_0_v6:7:7282030:7286802:-1 gene:B456_007G098600 transcript:KJB41310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALSGSASYYMQQRGITGSGSGTQSGVHGSPGIHPLSSPNVQYQSSISATTMGATLPVEPLSGITPHNVNVGTPPAMQPGEAVKRKRGRPRKYGPDGTVSLALTPASATHPGTITPIQKRGRGRPPGSGRKQQLSSLGELLSGSAGMSFTPHVITIAIGEDIATKLMSFSQQGPREVCILSANGAVSTVTLRQPSSSGGTVTYEGRFEILCLSGSYLLTSNTGSRNRTGGLSVSLASPDGRVIGGGVGGMLIAASPVQVIVGSFIWGGSKAKNKKGGQEGVKDSDDQMVDNLVAPPGISPSQNMTPSAPAGVWPGSRSMDMRNNSHVDIDLMRG >KJB44593 pep chromosome:Graimondii2_0_v6:7:44064940:44066643:1 gene:B456_007G265300 transcript:KJB44593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRFSLSLNSLFIYLFICITNFEPTVLSIYPYICVLQILYVNMAKMTLHFVIVIIGLLFNHTCTFTGSEKVSPVPKDKSMISNFDLFSRQIQLHFLSILLIISNLRLKFFASFSTYTKPFLFPRKNYKQNMQMFIL >KJB39636 pep chromosome:Graimondii2_0_v6:7:1638505:1639899:1 gene:B456_007G022600 transcript:KJB39636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYVLLTCRTQEQKSSVASGKGSEPEWNEDFIFNISEGASELALKIMDSDTGSQDDFVGEVAIPLEPVFIERNIPLTAYTVVKDGEYRGEIKLGLTFTPEERESRDFEVEESFGGWKQSSYTD >KJB39633 pep chromosome:Graimondii2_0_v6:7:1638296:1639145:1 gene:B456_007G022600 transcript:KJB39633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGKLQVVLVSAKGLENTDFLCNMDPYVLLTCRTQEQKSSVASGKGSEPEWNEDFIFNISEGASELALKIMDSDTGSQDDFVGEVA >KJB39632 pep chromosome:Graimondii2_0_v6:7:1638104:1639925:1 gene:B456_007G022600 transcript:KJB39632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGKLQVVLVSAKGLENTDFLCNMDPYVLLTCRTQEQKSSVASGKGSEPEWNEDFIFNISEGASELALKIMDSDTGSQDDFVGEVAIPLEPVFIERNIPLTAYTVVKDGEYRGEIKLGLTFTPEERESRDFEVEESFGGWKQSSYTD >KJB39635 pep chromosome:Graimondii2_0_v6:7:1638142:1639899:1 gene:B456_007G022600 transcript:KJB39635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGKLQVVLVSAKGLENTDFLCNMDPYVLLTCRTQEQKSSVASGKGSEPEWNEDFIFNISEGASELALKIMDSDTGSQDDFVGEVAMENIVGRLNLVSLSPPRNVKAGILKLKNLLEGGSSLHTLIRQATYSFQP >KJB39634 pep chromosome:Graimondii2_0_v6:7:1638142:1639899:1 gene:B456_007G022600 transcript:KJB39634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGKLQVVLVSAKGLENTDFLCNMDPYVLLTCRTQEQKSSVASGKGSEPEWNEDFIFNISEGASELALKIMDSDTGSQDDFVGEVANVKAGILKLKNLLEGGSSLHTLIRQATYSFQP >KJB44209 pep chromosome:Graimondii2_0_v6:7:33052621:33054665:1 gene:B456_007G240100 transcript:KJB44209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHSQEKKLGCGILNAVFRKRNKRSATVDSLTVQNNEVISTSSNTKKGPSGSDEPSFLDSSVNGPEANPKPCTKQPPNHIKSAEVYQQNQVPKPLEEETKIKHNQASMNPGRKLRKESISISGELESMIVDHQKTKGNNLIRASSSNMMLQGSLGNLRQPGGGGGGGNMTSYNVPKTETEDSTRNGKYPNGVKGNVVKKHNEEKRAERQPASLCRALSTRIDPETLKIMGNEDYKNGNFAEALALYEAAIAIDPNKASYRSNKSAALTALGRILEAVFECGEAIRIDPHYHRAHHRLANLNLRLGEVEKAIYHYKQVGPEADPNDITKAKTLQAHLNKCTEAKIQRDWKNLIKETDSTINAGADSAPQIYALKAEALLKLHRHQEADEVLLKGPNFNVDDSTKYFGPIANANLLVVRAQVDIVAGRFEDALATLQRAARLDSNNREANTVMRKAKALADARSNGNEHFRASRFLEACVAYGEGLDHDPHNSVLSCNRAACLSKLGHYDNAVEDCIRALHVRPGYSKARLRRADCNSKLGKWEASIQDYEFLQKETPDNKEVQRGLSEARMQLKKQHV >KJB45726 pep chromosome:Graimondii2_0_v6:7:54129023:54131915:-1 gene:B456_007G323300 transcript:KJB45726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFISRNASKYLKYQREFTEYVDDFKQAQADLHAKEVDIQQQLKDEHHFGKMPKLEVERWFKKVEEKLTHAQHVEDKVSKGKYLFRSCLGKLVDESTQAMKEVHAEGRFSGSLMVNDPSTIAVNLPTPENLSYLMGDEVGMIGVCGMGGIGKTTIMKDVHNRLLKESKFRKLIWVTVSQNFDIQRLQKNITCQLKGNLSDDEDTIIRAGKLWEMLKGQGSYVLILDDVWKSFSLEDVGILKPTSNNGCKLVLTTRSERVVRSMGFKKVQVPCLSMEEAMDLFLSKVGLDILADPTLESFLKIVVRECDGLPLAIVTLAGCMRGVTDPHVWENAIDELRGYIRNIHDVEDKVYGCLKFSYDRLKQRDQECFLYCALYPEDYAIIKEELIEHWLIEGLIDEMESRKSMESSGYSILQNLEENCLLERAERDSYNSRFIYKKTAHMHDVVRDMALHITRKKFMVKARMQLKELPKEEEWSEDLEKVSLMHNFISTIPQTIKFPKFPKLTTMLLSHNSLKEIPESFFHHFPNLMILDLSHNPFESLPESISTLEKLTALLLIGCYNLESLPSVLKLQALKKLDLEGSGVKEIPQDLEMPVNLRYLNLKGTLHLKEIPKGLLSKLWRLQFLAIRSTLINADDMRELNKLEVFEGCFFNVGDLSKYAAQRKMLYKYSILVCPWNNPMLYQHVVLPYDIQQLNLKLCKGVRSLEDIGLRDATDLKECEVDCCDKLEAVFSSKCHQLQTLESLILIRLRNLNVIVGAGVEESSVGTFSSLKVITLVKCEKIKKMFSADWVLPNLENLTVSTCSKLKEIIAEPQKEGTGAFKFSVPKLKRLTLCSLPELKSICGENGVIVCDSLECIEIVCEQVKRIPLYLPQLQIDDEGKPTPSNPLKEIRVHSTYWWESVEWDHPNFDVKKVVKPLVLNWLDRSLDWRPL >KJB41662 pep chromosome:Graimondii2_0_v6:7:8914388:8916115:-1 gene:B456_007G114400 transcript:KJB41662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYVKGFRFHPTDAEAIELLWEKLQLDRDSFVQLGDSLVPVITQLNDICKFEPAELPGRSELVSGDNVWYFFCSPRYKYRNSKRKNRVTKQGYWNPTGKSRTIVTTCDGKKITGTRQALVFYKGRVCVKNKKANKTLWVMHEFELTLNLPNQKSLILCKLKKKYGKINVSIGEEEQSNQYLPSSNLENHCTNNGVPKEQLNSTEPVAFSEYSGIQSELVNNEQTVDEFVDSLLIKNDEFYSNQPYFVHDKQDPKVYSDFQIYNANTDIPKNQEGFSDLTYQKLRTPNDRVWTMNEVGSSSGLVANDPSYSNGDNNQHDTSFAEQGSSLAFENHVLVDSISMGGSGFDEFLHNGLFMAELSPLPEPPKNPDEVQNHQFSTNEQDEFCNSIFITTD >KJB41664 pep chromosome:Graimondii2_0_v6:7:8914594:8916321:-1 gene:B456_007G114400 transcript:KJB41664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYVKGFRFHPTDAEAIELLWEKLQLDRDSFVQLGDSLVPVITQLNDICKFEPAELPGRSELVSGDNVWYFFCSPRYKYRNSKRKNRVTKQGYWNPTGKSRTIVTTCDGKKITGTRQALVFYKGRVCVKNKKANKTLWVMHEFELTLNLPNQKSLILCKLKKKYGKINVSIGEEEQSNQYLPSSNLENHCTNNGVPKEQLNSTEPVAFSEYSGIQSELVNNEQTVDEFVDSLLIKNDEFYSNQPYFVHDKQDPKNQEGFSDLTYQKLRTPNDRVWTMNEVGSSSGLVANDPSYSNGDNNQHDTSFAEQGSSLAFENHVLVDSISMVSVESKFSIKIMWR >KJB41661 pep chromosome:Graimondii2_0_v6:7:8913735:8916630:-1 gene:B456_007G114400 transcript:KJB41661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYVKGFRFHPTDAEAIELLWEKLQLDRDSFVQLGDSLVPVITQLNDICKFEPAELPGRSELVSGDNVWYFFCSPRYKYRNSKRKNRVTKQGYWNPTGKSRTIVTTCDGKKITGTRQALVFYKGRVCVKNKKANKTLWVMHEFELTLNLPNQKSLILCKLKKKYGKINVSIGEEEQSNQYLPSSNLENHCTNNGVPKEQLNSTEPVAFSEYSGIQSELVNNEQTVDEFVDSLLIKNDEFYSNQPYFVHDKQDPKNQEGFSDLTYQKLRTPNDRVWTMNEVGSSSGLVANDPSYSNGDNNQHDTSFAEQGSSLAFENHVLVDSISMGGSGFDEFLHNGLFMAELSPLPEPPKNPDEVQNHQFSTNEQDEFCNSIFITTD >KJB41663 pep chromosome:Graimondii2_0_v6:7:8913742:8916230:-1 gene:B456_007G114400 transcript:KJB41663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYVKGFRFHPTDAEAIELLWEKLQLDRDSFVQLGDSLVPVITQLNDICKFEPAELPGRSELVSGDNVWYFFCSPRYKYRNSKRKNRVTKQGYWNPTGKSRTIVTTCDGKKITGTRQALVFYKGRVCVKNKKANKTLWVMHEFELTLNLPNQKSLILCKLKKKYGKINVSIGEEEQSNQYLPSSNLENHCTNNGVPKLNSTEPVAFSEYSGIQSELVNNEQTVDEFVDSLLIKNDEFYSNQPYFVHDKQDPKVYSDFQIYNANTDIPKNQEGFSDLTYQKLRTPNDRVWTMNEVGSSSGLVANDPSYSNGDNNQHDTSFAEQGSSLAFENHVLVDSISMGGSGFDEFLHNGLFMAELSPLPEPPKNPDEVQNHQFSTNEQDEFCNSIFITTD >KJB43161 pep chromosome:Graimondii2_0_v6:7:21420339:21423632:-1 gene:B456_007G206300 transcript:KJB43161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEKQRELAENANGGAPTKKQSPGELRLHKDISELNLPKSCAITFPNGKDNLMNFEVSIRPDEGYYFGGTFLFSFQVSPVYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNYEDPLNHEAAAVLRDNPKMFESNVRRAMSGGYVGQTFFPRCV >KJB43159 pep chromosome:Graimondii2_0_v6:7:21420339:21423567:-1 gene:B456_007G206300 transcript:KJB43159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEKQRELAENANGGAPTKKQSPGELRLHKDISELNLPKSCAITFPNGKDNLMNFEVSIRPDEGYYFGGTFLFSFQVSPVYPHEAPKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNYEDPLNHEAAAVLRDNPKMFESNVRRAMSGGYVGQTFFPRCV >KJB43160 pep chromosome:Graimondii2_0_v6:7:21421728:21422794:-1 gene:B456_007G206300 transcript:KJB43160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEKQRELAENANGGAPTKKQSPGELRLHKDISELNLPKSCAITFPNGKDNLMNFEVSIRPDEGYYFGGTFLFSFQVSPVYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTVMPSIFIAIILWICCHLISESLNFVCRNRIMRIPSIMKLLQC >KJB43158 pep chromosome:Graimondii2_0_v6:7:21420339:21423104:-1 gene:B456_007G206300 transcript:KJB43158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNRFTLNFNIYVGVMIRLFKVKEKQRELAENANGGAPTKKQSPGELRLHKDISELNLPKSCAITFPNGKDNLMNFEVSIRPDEGYYFGGTFLFSFQVSPVYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNYEDPLNHEAAAVLRDNPKMFESNVRRAMSGGYVGQTFFPRCV >KJB39706 pep chromosome:Graimondii2_0_v6:7:1812718:1813931:-1 gene:B456_007G026200 transcript:KJB39706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTDHVCGFNDGANIGSSLSQLILSGGSNTIDSIFSRCAPSSTMAGVYEPLGSSVYHRQRDLLEKFSHEKKENASFSFKCLTNPIQNSQNHASTTAAAKYLSSPQKKNLYRGVRQRRWGKWVAEIRLPQNRKRVWLGTYETAETAALAYDRAAYKLRGDYARLNFPNLKDPSKFGFVDCAQLNALKNMVDAKIQAICQKMKTERTKKKAKKGNFDRPGCSSSSSLSPMVFSDDLSKELISPTVSGDGFLRAENSSSSVSNDYPMMVVAEELPFEDELLARMPSFDAELLWDCLAK >KJB44218 pep chromosome:Graimondii2_0_v6:7:33169219:33170276:-1 gene:B456_007G240400 transcript:KJB44218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPAQLILSFFLLFSFSTTALADDQDCVYSVYIRTGSIIKGGTDSIISLKLEDAYGESVEFSNLETWGGLMEPGHDYFERGNLDIFSGRGRCLDAPVCSMNLTSDGSGTGHGWYCNYVEVTMTGVHTPCSQQQFTVEQWLALDTSPYTLTAIRNYCPSEIPDDQHDRKSSFTM >KJB43416 pep chromosome:Graimondii2_0_v6:7:20208572:20210386:1 gene:B456_007G198700 transcript:KJB43416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQVDYDHRSIMVESGMQIDPRRERFPYCIVWTPLPITSWLIPFIGHIGICREDGVILDFAGPSCVSIDDFAFGAVARYIQINKDKECSISYHSSALKGDQEYQHDDLREALTWDDALEKGIQEFQHRPYNLFTCNCHSFVANNLNRLGFHSGGWNVVNLAVLIFLNGRWVNKTAVLRSHLPFVVVSGIGLVFGGTTYLSLLALLVFLLVGWFLLGTYCFKNLIYL >KJB43417 pep chromosome:Graimondii2_0_v6:7:20208559:20210386:1 gene:B456_007G198700 transcript:KJB43417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQVDYDHRSIMVESGMQIDPRRERFPYCIVWTPLPITSWLIPFIGHIGICREDGVILDFAGPSCVSIDDFAFGAVARYIQINKDKECSISYHSSALKGDQEYQHDDLREALTWDDALEKGIQEFQHRPYNLFTCNCHSFVANNLNRLGFHSGGWNVVNLAVLIFLNGRWVNKTAVLRSHLPFVVVSGIGLVFGGTTYLSLLALLVFLLVGWFLLGTYCFKNLIYL >KJB39907 pep chromosome:Graimondii2_0_v6:7:2551486:2554143:-1 gene:B456_007G037000 transcript:KJB39907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYSKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNAREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKVLNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYMLKNSVTGEVIIRHLNKEQEADMTNFQDSSNSADLEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDEFSDDGEVYDDSE >KJB39908 pep chromosome:Graimondii2_0_v6:7:2551253:2554143:-1 gene:B456_007G037000 transcript:KJB39908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYSKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNAREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKVLNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYMLKNSVTGEVIIRHLNKEQEADMTNFQDSSNSADLEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDEFSDDGEVYDDSE >KJB39906 pep chromosome:Graimondii2_0_v6:7:2551152:2554145:-1 gene:B456_007G037000 transcript:KJB39906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYSKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNAREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKVLNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYMLKNSVTGEVIIRHLNKEQEADMTNFQDSSNSADLEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDEFSDDGEVYDDSE >KJB39909 pep chromosome:Graimondii2_0_v6:7:2551831:2553144:-1 gene:B456_007G037000 transcript:KJB39909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYSKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNAREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKVLNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYMLKNSVTGEVIIRHLNKEQEADMTNFQDSSNSADLEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDEFSDDGEVYDDSE >KJB45271 pep chromosome:Graimondii2_0_v6:7:56849135:56852315:-1 gene:B456_007G343200 transcript:KJB45271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMGNSTNIFWQESPVGKLERRKLLNQKGCVVWITGLSGSGKSTLACSLSWELHTRGKLSYILDGDNVRHGLNKDLGFKAEDRTENIRRVGEVAKLFADAGLICIASLISPYGKDRDACRAMLPDAFIEVFMNMPLALCEERDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEN >KJB45269 pep chromosome:Graimondii2_0_v6:7:56849135:56852288:-1 gene:B456_007G343200 transcript:KJB45269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMGNSTNIFWQESPVGKLERRKLLNQKGCVVWITGLSGSGKSTLACSLSWELHTRGKLSYILDGDNVRHGLNKDLGFKAEDRTENIRRVGEVAKLFADAGLICIASLISPYGKDRDACRAMLPDAFIEVFMNMPLALCEERDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEIELNQKDGVCPTPSAMAGEVITYLEDKGYLQD >KJB45273 pep chromosome:Graimondii2_0_v6:7:56849135:56852328:-1 gene:B456_007G343200 transcript:KJB45273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMGNSTNIFWQESPVGKLERRKLLNQKGCVVWITGLSGSGKSTLACSLSWELHTRGKLSYILDGDNVRHGLNKDLGFKAEDRTENIRRVGEVAKLFADAGLICIASLISPYGKDRDACRAMLPDAFIEVFMNMPLALCEERDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEIELNQKDGVCPTPSAMAGEVITYLEDKGYLQD >KJB45270 pep chromosome:Graimondii2_0_v6:7:56849742:56851066:-1 gene:B456_007G343200 transcript:KJB45270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSNDHVSGKSTLACSLSWELHTRGKLSYILDGDNVRHGLNKDLGFKAEDRTENIRRVGEVAKLFADAGLICIASLISPYGKDRDACRAMLPDAFIEVFMNMPLALCEERDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEIELNQKDGVCPTPSAMAGEVITYLEDKGYLQD >KJB45272 pep chromosome:Graimondii2_0_v6:7:56849988:56851314:-1 gene:B456_007G343200 transcript:KJB45272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMGNSTNIFWQESPVGKLERRKLLNQKGCVVWITGLSGSGKSTLACSLSWELHTRGKLSYILDGDNVRHGLNKDLGFKAEDRTENIRRVGEVAKLFADAGLICIASLISPYGKDRDACRAMLPDAFIEVFMNMPLALCEERDPKGLYKLARAGKIKGVLLA >KJB41134 pep chromosome:Graimondii2_0_v6:7:6750274:6752367:1 gene:B456_007G092500 transcript:KJB41134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILTIISFFIALPFFFVFFILSVFVIKILSGKSINDPKYAPVKGTIFDQIFYFDHLYDYQTQVAKKLRTYRLLDLGRSELYTTDTRIVEHILKTNFEHYGKGKYTHEIFSDLFGEGIFAVDGDKWRQQRKLASYEFSAKVLRDFSCSVFKRNASKLVTAVSELSMSGQVIEFQDMLMKYTMESIIKVGFGVDLNCMSLSSNEDDEGSTFLKAFDDATQSLYFRYIDPLWKLKRVLNLGSEASLKRNIKVIDNFIYDVLRTKKKQLALNPDRNVKEDILSRFLAEKEKNPETMSDKYLRDIIFSFMIAGKDTTANTLCWFFYMLCKNPLIQEKVAQEVIDCTCSGSGENHANTNDILATITDETLQKMQYLHAALTETLRLYPVTPMVYNILLF >KJB41133 pep chromosome:Graimondii2_0_v6:7:6750245:6752367:1 gene:B456_007G092500 transcript:KJB41133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQVIEFQDMLMKYTMESIIKVGFGVDLNCMSLSSNEDDEGSTFLKAFDDATQSLYFRYIDPLWKLKRVLNLGSEASLKRNIKVIDNFIYDVLRTKKKQLALNPDRNVKEDILSRFLAEKEKNPETMSDKYLRDIIFSFMIAGKDTTANTLCWFFYMLCKNPLIQEKVAQEVIDCTCSGSGENHANTNDILATITDETLQKMQYLHAALTETLRLYPVTPMNGRCAMEDDILPDGHIIKKGEEISYLAYAMGRMRYIWGEDAEIFRPERWLKNGVFQPESPFKFISFHAGPRICLGKEFAYRQMKIFTIALLHFFHFKLADESKDAIYKVTFTLHMKGGLHLRAIPRTTQTNSRQEQL >KJB41135 pep chromosome:Graimondii2_0_v6:7:6750274:6752370:1 gene:B456_007G092500 transcript:KJB41135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILTIISFFIALPFFFVFFILSVFVIKILSGKSINDPKYAPVKGTIFDQIFYFDHLYDYQTQVAKKLRTYRLLDLGRSELYTTDTRIVEHILKTNFEHYGKGKYTHEIFSDLFGEGIFAVDGDKWRQQRKLASYEFSAKVLRDFSCSVFKRNASKLVTAVSELSMSGQVIEFQDMLMKYTMESIIKVGFGVDLNCMSLSSNEDDEGSTFLKAFDDATQSLYFRYIDPLWKLKRVLNLGSEASLKRNIKVIDNFIYDVLRTKKKQLALNPDRNVKEDILSRFLAEKEKNPETMSDKYLRDIIFSFMIAGKDTTANTLCWFFYMLCKNPLIQEKVAQEVIDCTCSGSGENHANTNDILATITDETLQKMQYLHAALTETLRLYPVTPMNGRCAMEDDILPDGHIIKKGEEISYLAYAMGRMRYIWGEDAEIFRPERWLKNGVFQPESPFKFISFHAGPRICLGKEFAYRQMKIFTIALLHFFHFKLADESKDAIYKVTFTLHMKGGLHLRAIPRTTQTNSRQEQL >KJB39960 pep chromosome:Graimondii2_0_v6:7:2782584:2783827:1 gene:B456_007G039900 transcript:KJB39960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSHSGSPKLSLGRSLPRVRVHSPSLRRKSAANCFENDQQAAEFLGDGGDTDNLIYNERGNKVMVVVDSSLESKAALEWALSYAIQDHDSIVLLHVAKPRRREWSNKKRNARAHELLHSMKNICQMNKPGVEVEVAKVEGKEKGPVIVEAAKQRKVSILVLGQRKRCVIWRLLRRWYGKRRGSGGGVVDYCIENAPSSCKTMAVRRKSNQLGGYLITTKLHKNFWLLA >KJB44637 pep chromosome:Graimondii2_0_v6:7:43393505:43398832:1 gene:B456_007G262700 transcript:KJB44637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALASILESLASKAAEYMVDSTPEQLGYLFNHESKFQNLWSKVQELKDARQRVQQSVDEANRNGEKIFDDVERWLAMVNDKISDQATTQLQEDEKKAMESYFAGFLLGIKSRYHLSKKAEKEVEAITQLLNGKDQFDRVSYRPALEGMSIRPVKEYEAFGSRSDAFNGVMAALDDDNINIIGVYGMGGVGKTTLVKEAARQIIAKEKKLFDEVILVAITQASSISNIQNEITEKLGLKIEERSVDVRAARLHDRLKKINKVLIILDDIWEEHDLDALGIPSVDKNKGLKILMTSRRLEVLKSMRSQKSLPIDILKEDEAWNLFKIVAGPIAERSDLQSKAIKVAQKCAGLPIAIATVAKALKHKENSHEWEDALEQLKPSEINFRGVPSAVYSAIEMSYKYLKTEDLKSVFLLCSIMGHNAAIEDLLKYCTGLGLFRGLDAIQKVRNRVLTLVSELEDSSLLLAGSTPECFDMHDVVCDVAISIASRDRGWLALGKEDVFEGWSDVETMRNYNLISLQHTKVSELPDELECPNLTFFAMVNRDSCLKIPNNFFKGMKRLKVLSLEKVNLSSLPSSIDSLRSLCALRLIDCGVEDIVMLGELVNLEIRDLRNSGIRLLSKEIGQLRRLKLLDLSYCYSLKVVSPNVLSSLSSLEELYFFHSFDRWEVEGTDNLIRSNASLVELQYLSRLTTLKVRVPNEQAMPEDNIFLGKLERYKICIGDGKWYWTETRTEASRMLKLKMKRSNLYGGIKLLLRKTESLYVDEAEDVREMLDDPVNQGLPHLKHLKLSNVSDMKFVIGSRMLVSCLESLDLHSLMNLESICEAQLKAESFGRLRFLEGSECKMLKNLFSFSIAKRLRQLEEIEVSHCNNMREFILVEKEEEIGENDNLEFPQLLNGIEKIWHDDQLLTVSLGVQSLTCLEVWECHKLKCAFTSSMVKSFVQLETLIVSHCDEMEDIIEGILGGEERINNSIRVFPKLNSLKLNNLSNLKRFCCGINPIEFSLLRKLEIKKCRVLSAFHFETASIGNNISFDLSVIFPVLEELGICCGIEYEVQDVDLDIRTTMECELEACRDDDSVKELVKKCLSSSGGMENVERLWPNQLDEHSYSKLTSFFLEGCPKLLNVFPLSMLMRLQKLENLSIWNCESLEEIICESQSQEINFSAMQSLSPQLIQSNVITFEFPCLPSLTLIALPNLKSICHKTQTISWHLLKKMEVYGCNKVEILFASQEISGSINEQPLFWVNKYMFPNLHQLTLGWNVGVKEIWRLVPSSVPFQNLVILEVRECDGIIKLITHSTAKSLVQLKEMSILNCKKIEEIIEGGDNNDQDEIIFPQLNSLELESLPKLESFCSSWNYTFGFPSLQTVIVEDCPKMKIFSQRHSNTPMLNEVEFYWSGCYEEHWENDLNSTIQQFFREH >KJB39466 pep chromosome:Graimondii2_0_v6:7:1142283:1145137:-1 gene:B456_007G014900 transcript:KJB39466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILKAYAHSLLRREYTHPPLVYFRVLFLCFCHLLCLPYQSNSHYCSPLAMSWQSEAFLMGPEQQLRMMFDSVRVYATVIYIGFVVLALICALWIQSKILTLLAIICEICALIWYCLSYIPFARRIVSDLMVRFCDTEL >KJB39467 pep chromosome:Graimondii2_0_v6:7:1142283:1145137:-1 gene:B456_007G014900 transcript:KJB39467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKMGNDEEDRAESFLDEDSEGICSLSTTQRIYASAACLLSGLVLMFLSLIVFAIPIKFALLFTFGNVLAVGSTAFLMGPEQQLRMMFDSVRVYATVIYIGFVVLALICALWCFTVSELSGLTFHFLKLVIWQIQSKILTLLAIICEICALIWYCLSYIPFARRIVSDLMVRFCDTEL >KJB39465 pep chromosome:Graimondii2_0_v6:7:1142918:1144984:-1 gene:B456_007G014900 transcript:KJB39465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILKAYAHSLLRREYTHPPLVYFRVLFLCFCHLLCLPYQSNSHYCSPLAMSWQSEAFLMGPEQQLRMMFDSVRVYATVIYIGFVVLALICALWVSLNL >KJB39464 pep chromosome:Graimondii2_0_v6:7:1142160:1145241:-1 gene:B456_007G014900 transcript:KJB39464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKMGNDEEDRAESFLDEDSEGICSLSTTQRIYASAACLLSGLVLMFLSLIVFAIPIKFALLFTFGNVLAVGSTAFLMGPEQQLRMMFDSVRVYATVIYIGFVVLALICALWIQSKILTLLAIICEICALIWYCLSYIPFARRIVSDLMVRFCDTEL >KJB45363 pep chromosome:Graimondii2_0_v6:7:51611144:51614721:1 gene:B456_007G302600 transcript:KJB45363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIFDIYFKTPIVHGMDLVSPRFSPPVKRLVLLIGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQKVNTPVMLDVGTNNLKLIEDPLYLRLRQPRLEREEYLSIIDEFIEAVLTSWPKAIVQFEDFQMKWAFETLKRYRERFCMFNDDVQVSTWPRKLLLLWIGLDSSVT >KJB45446 pep chromosome:Graimondii2_0_v6:7:51969884:51977067:-1 gene:B456_007G306200 transcript:KJB45446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMDSLTWRCYIVQDPKLLAALQESRKRQAPYAGAFLLKDEPGTESSPSSETEKSVYDIKGKELFNRLHEVGTLAQISSIQGDQVVLIGHRRLRITEMVSEDPLTVKVDHLKEKPYDKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYSQHIGDFNFPRLADFGAAISGANKLQCQQVLEELDVHKRLQLTLELVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERLEPNREKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVIQAQTILDEDHYGLADVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTENPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVDNIPNPLLDRMEVIAIAGYITDEKMHIARDYLEKTAREACGVKPEQVEVTDAALLGLIENYCREAGVRNLQKHIEKIYRKIALRLVREGSSNESVMVQPADAKAEVVDESDHHAAANKADVEVETSVQVDTVQTNDQPKDPKDAADSKELQETEATKAVEKVVVDTSNLADFVGKPVFHAERIYDQTPVGVVMGLAWTAMGGSTLYIETTQVEQGEGKGALHLTGQLGDVMKESAQIAHTLARAILLEKEPANPYFVNSKLHLHVPAGATPKDGPSAGCTMITSMLSLAMKKPVRKDLAMTGEVTLTGKILPIGGVKEKTIAAKRSEVRTIIFPAANRRDYDELAPNVTEGLNVHFVDDYSQIFSLALEYDVNTMVN >KJB45447 pep chromosome:Graimondii2_0_v6:7:51969884:51978142:-1 gene:B456_007G306200 transcript:KJB45447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLVFSSSCRGHCLNTVAPRLRVKSDSNSLFDVVGRLTGLTRRSPTNSLSRAFFCSDSNDGSGSGSGSQPVVEVEVKGVESDSDGSDSKASSAIVPTYPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTESSPSSETEKSVYDIKGKELFNRLHEVGTLAQISSIQGDQVVLIGHRRLRITEMVSEDPLTVKVDHLKEKPYDKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYSQHIGDFNFPRLADFGAAISGANKLQCQQVLEELDVHKRLQLTLELVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERLEPNREKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVIQAQTILDEDHYGLADVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTENPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVDNIPNPLLDRMEVIAIAGYITDEKMHIARDYLEKTAREACGVKPEQVEVTDAALLGLIENYCREAGVRNLQKHIEKIYRKVCTTAHLKIMKEKLKVCNGY >KJB45448 pep chromosome:Graimondii2_0_v6:7:51969884:51978191:-1 gene:B456_007G306200 transcript:KJB45448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLVFSSSCRGHCLNTVAPRLRVKSDSNSLFDVVGRLTGLTRRSPTNSLSRAFFCSDSNDGSGSGSGSQPVVEVEVKGVESDSDGSDSKASSAIVPTYPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTESSPSSETEKSVYDIKGKELFNRLHEVGTLAQISSIQGDQVVLIGHRRLRITEMVSEDPLTVKVDHLKEKPYDKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYSQHIGDFNFPRLADFGAAISGANKLQCQQVLEELDVHKRLQLTLELVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERLEPNREKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVIQAQTILDEDHYGLADVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTENPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVDNIPNPLLDRMEVIAIAGYITDEKMHIARDYLEKTAREACGVKPEQVEVTDAALLGLIENYCREAGVRNLQKHIEKIYRKIALRLVREGSSNESVMVQPADAKAEVVDESDHHAAANKADVEVETSVQVDTVQTNDQPKDPKDAADSKELQETEATKAVEKVVVDTSNLADFVGKPVFHAERIYDQTPVGVVMGLAWTAMGGSTLYIETTQVEQGEGKGALHLTGQLGDVMKESAQIAHTLARAILLEKEPANPYFVNSKLHLHVPAGATPKDGPSAGCTMITSMLSLAMKKPVRKDLAMTGEVTLTGKILPIGGVKEKTIAAKRSEVRTIIFPAANRRDYDELAPNVTEGLNVHFVDDYSQIFSLALEYDVNTMVN >KJB44244 pep chromosome:Graimondii2_0_v6:7:35096612:35097265:1 gene:B456_007G241700 transcript:KJB44244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFNIGYKIKKGKLVRVKEYEGEEENKGLLFKELQRWKREKHIFNGEDALPSPNSTL >KJB46154 pep chromosome:Graimondii2_0_v6:7:58067193:58068885:1 gene:B456_007G350500 transcript:KJB46154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPTKKDNVGTKTTPCCSKVGLKRGPWTPEEDELLSNYINKEGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGQIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLISQGIDPRTHKPLNPQQLSPSPPTLKPSPSSSSSMAKPNNPPSPLPVHVVNANKQNDYYDGSNEDHQGMIMNNDHYQQQQDHEDDVFSSFLNSLINEDDDALVSNLGLSQGWESTPFDQPK >KJB39162 pep chromosome:Graimondii2_0_v6:7:116682:123922:1 gene:B456_007G001200 transcript:KJB39162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATVQTGCCSSKSMNDLTSASQLKPGSDSVQNSTPSFSSQGKGKKREHVDQVSEPVKRECINRMDDVDSGHIIPELNLKFEIAKITEKGGLQDYGGVGKLVQLIIHERNERKINLVSRSMLAGVIAATHKFDCLSRFVQLRGLNVFDDWIQEVHKRKIGGSSGSKDDRSIDDFLLTLLQALDKLPVNLTVLQMCSIGKSVNLLRTHRNVEIQKKARSLVDTWKKRVQVELDAKYGPNQSVPWSDVAKCGIKHYRPSEVAVKNSVTQFSATKTGFVKLVQGGTASKSASATPVPMKASTLPASARTKVRNATIVGTSDTQTTTRDEKINGSSQSHNNTLSCSSDHAKMGEIPVKDDARSSAAGSGTVTKISGSSSRHRKFINGLPGPLGVQSETGPCNNSSLHRNSAPGKISQSSLTCEKAVDAPIAEGNGNKFIVKIPNRGRGPAQSVSGVFLEDQLVTNSRASSPLLSEKQEQFKHNMKEKSGTYQENVITDVNNESWQSNDVKDLLTGSDEGESSPAAVPDEEYRRTGEDLRKITEVTKVASSSSGNEIKSRKMQRASLNSINTLIDSFVKYTKANACMPVADDAGMNLLACVAAGEIPKSDVASTIDSPQRNAPVVEHSSMGNDTTQKPSVGYEVVQDRNFSVECVYDEHLKQSVVAGKSWAKNADSMNVSSQETSEVELNEQLTSSIIDLPRTAEHCLENGIVKEITTAVLVNFPSASTLEKTNDIGDSKEHLDKKAGAVDDDGRLDIKQKNITFGANKDKVSDLGVNLQKEVVEGSASAPSMEVDVERKTDVTEGMDRGSHTPEKSPAIVGHSTKGTDKKASPTGSNDMMETFNVVKAEKDVEADVRSQASDKEKRKPDWEMVPAQKVEHMEENLEGSECPEPYCGLSPCKASILIETEQPIRLRGSNLAGVEERTSTTADTPATCADAKVKFDLNEGFNADDGKFGESINLAEALCPASVQLINRTPLPVSFASNSVPASITVTAAAKGPFVPPDDLLRSKRALGWKGSAATSAFRPAARKILEMPLGTSHASISEAAMGKQSRPPLDIDLNVPDERLLDDLAYRSSALGSDSSADLTNNRDLSFRSSGGLDLDLNRVDVPVDLGNLSTGNNFRLDAHMQPIKSSSCGIFNGEASLRRDFDLNNGPVLDEVSAALVSQRNRSSNISSQPPVSSLRINNYEIANFSSWFPTGNTYSAVSLPSILFDREPHFPVATGGPQRVLSPGPPTGASATPFNSDAYRGLVLSSSLAVPYLSTPFQYPTFPFGATFPLPSTSFPGSSATYSDSSHGGRICLPSVHAQLLGPAGAVPSHYPRPYVVSLVHNNGNSIPENGRKWGRQGLDLNAGPGVLDIEGRDETTALASRQLSVVSSHSLAEEQARMYQVAGDVLKKKELEGCWDGYKQSSW >KJB39163 pep chromosome:Graimondii2_0_v6:7:115334:123922:1 gene:B456_007G001200 transcript:KJB39163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATVQTGCCSSKSMNDLTSASQLKPGSDSVQNSTPSFSSQGKGKKREHVDQVSEPVKRECINRMDDVDSGHIIPELNLKFEIAKITEKGGLQDYGGVGKLVQLIIHERNERKINLVSRSMLAGVIAATHKFDCLSRFVQLRGLNVFDDWIQEVHKRKIGGSSGSKDDRSIDDFLLTLLQALDKLPVNLTVLQMCSIGKSVNLLRTHRNVEIQKKARSLVDTWKKRVQVELDAKYGPNQSVPWSDVAKCGIKHYRPSEVAVKNSVTQFSATKTGFVKLVQGGTASKSASATPVPMKASTLPASARTKVRNATIVGTSDTQTTTRDEKINGSSQSHNNTLSCSSDHAKMGEIPVKDDARSSAAGSGTVTKISGSSSRHRKFINGLPGPLGVQSETGPCNNSSLHRNSAPGKISQSSLTCEKAVDAPIAEGNGNKFIVKIPNRGRGPAQSVSGVFLEDQLVTNSRASSPLLSEKQEQFKHNMKEKSGTYQENVITDVNNESWQSNDVKDLLTGSDEGESSPAAVPDEEYRRTGEDLRKITEVTKVASSSSGNEIKSRKMQRASLNSINTLIDSFVKYTKANACMPVADDAGMNLLACVAAGEIPKSDVASTIDSPQRNAPVVEHSSMGNDTTQKPSVGYEVVQDRNFSVECVYDEHLKQSVVAGKSWAKNADSMNVSSQETSEVELNEQLTSSIIDLPRTAEHCLENGIVKEITTAVLVNFPSASTLEKTNDIGDSKEHLDKKAGAVDDDGRLDIKQKNITFGANKDKVSDLGVNLQKEVVEGSASAPSMEVDVERKTDVTEGMDRGSHTPEKSPAIVGHSTKGTDKKASPTGSNDMMETFNVVKAEKDVEADVRSQASDKEKRKPDWEMVPAQKVEHMEENLEGSECPEPYCGLSPCKASILIETEQPIRLRGSNLAGVEERTSTTADTPATCADAKVKFDLNEGFNADDGKFGESINLAEALCPASVQLINRTPLPVSFASNSVPASITVTAAAKGPFVPPDDLLRSKRALGWKGSAATSAFRPAARKILEMPLGTSHASISEAAMGKQSRPPLDIDLNVPDERLLDDLAYRSSALGSDSSADLTNNRDLSFRSSGGLDLDLNRVDVPVDLGNLSTGNNFRLDAHMQPIKSSSCGIFNGEASLRRDFDLNNGPVLDEVSAALVSQRNRSSNISSQPPVSSLRINNYEIANFSSWFPTGNTYSAVSLPSILFDREPHFPVATGGPQRVLSPGPPTGASATPFNSDAYRGLVLSSSLAVPYLSTPFQYPTFPFGATFPLPSTSFPGSSATYSDSSHGGRICLPSVHAQLLGPAGAVPSHYPRPYVVSLVHNNGNSIPENGRKWGRQGLDLNAGPGVLDIEGRDETTALASRQLSVVSSHSLAEEQARMYQVAGDVLKKKELEGCWDGYKQSSW >KJB39160 pep chromosome:Graimondii2_0_v6:7:115373:123724:1 gene:B456_007G001200 transcript:KJB39160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGQGRGEERKIERHMLTVPTLATAVLSGDSGASLSFSSTISSFSKDGHRFSVGGCTLFKPAQDSPLFIGIIHSLTAAKENKLLLGVNWLYQPAEVKLGKGILLEAAPNEIFYSFHKDEIPAGLLLHPCKVSFLPKDVDLPSGVCSFVCRRVYDITNKCLWWLTDQDYINEEVDQLLRKTRIEMHATVQTGCCSSKSMNDLTSASQLKPGSDSVQNSTPSFSSQGKGKKREHVDQVSEPVKRECINRMDDVDSGHIIPELNLKFEIAKITEKGGLQDYGGVGKLVQLIIHERNERKINLVSRSMLAGVIAATHKFDCLSRFVQLRGLNVFDDWIQEVHKRKIGGSSGSKDDRSIDDFLLTLLQALDKLPVNLTVLQMCSIGKSVNLLRTHRNVEIQKKARSLVDTWKKRVQVELDAKYGPNQSVPWSDVAKCGIKHYRPSEVAVKNSVTQFSATKTGFVKLVQGGTASKSASATPVPMKASTLPASARTKVRNATIVGTSDTQTTTRDEKINGSSQSHNNTLSCSSDHAKMGEIPVKDDARSSAAGSGTVTKISGSSSRHRKFINGLPGPLGVQSETGPCNNSSLHRNSAPGKISQSSLTCEKAVDAPIAEGNGNKFIVKIPNRGRGPAQSVSGVFLEDQLVTNSRASSPLLSEKQEQFKHNMKEKSGTYQENVITDVNNESWQSNDVKDLLTGSDEGESSPAAVPDEEYRRTGEDLRKITEVTKVASSSSGNEIKSRKMQRASLNSINTLIDSFVKYTKANACMPVADDAGMNLLACVAAGEIPKSDVASTIDSPQRNAPVVEHSSMGNDTTQKPSVGYEVVQDRNFSVECVYDEHLKQSVVAGKSWAKNADSMNVSSQETSEVELNEQLTSSIIDLPRTAEHCLENGIVKEITTAVLVNFPSASTLEKTNDIGDSKEHLDKKAGAVDDDGRLDIKQKNITFGANKDKVSDLGVNLQKEVVEGSASAPSMEVDVERKTDVTEGMDRGSHTPEKSPAIVGHSTKGTDKKASPTGSNDMMETFNVVKAEKDVEADVRSQASDKEKRKPDWEMVPAQKVEHMEENLEGSECPEPYCGLSPCKASILIETEQPIRLRGSNLAGVEERTSTTADTPATCADAKVKFDLNEGFNADDGKFGESINLAEALCPASVQLINRTPLPVSFASNSVPASITVTAAAKGPFVPPDDLLRSKRALGWKGSAATSAFRPAARKILEMPLGTSHASISEAAMGKQSRPPLDIDLNVPDERLLDDLAYRSSALGSDSSADLTNNRDLSFRSSGGLDLDLNRVDVPVDLGNLSTGNNFRLDAHMQPIKSSSCGIFNGEASLRRDFDLNNGPVLDEVSAALVSQRNRSSNISSQPPVSSLRINNYEIANFSSWFPTGNTYSAVSLPSILFDREPHFPVATGGPQRVLSPGPPTGASATPFNSDAYRGLVLSSSLAVPYLSTPFQYPTFPFGATFPLPSTSFPGSSATYSDSSHGGRICLPSVHAQLLGPAGAVPSHYPRPYVVSLVHNNGNSIPENGRKWGRQGLDLNAGPGVLDIEGRDETTALASRQLSVVSSHSLAEEQARMYQVAGDVLKKKELEGCWDGYKQSSW >KJB39159 pep chromosome:Graimondii2_0_v6:7:115373:123724:1 gene:B456_007G001200 transcript:KJB39159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATVQTGCCSSKSMNDLTSASQLKPGSDSVQNSTPSFSSQGKGKKREHVDQVSEPVKRECINRMDDVDSGHIIPELNLKFEIAKITEKGGLQDYGGVGKLVQLIIHERNERKINLVSRSMLAGVIAATHKFDCLSRFVQLRGLNVFDDWIQEVHKRKIGGSSGSKDDRSIDDFLLTLLQALDKLPVNLTVLQMCSIGKSVNLLRTHRNVEIQKKARSLVDTWKKRVQVELDAKYGPNQSVPWSDVAKCGIKHYRPSEVAVKNSVTQFSATKTGFVKLVQGGTASKSASATPVPMKASTLPASARTKVRNATIVGTSDTQTTTRDEKINGSSQSHNNTLSCSSDHAKMGEIPVKDDARSSAAGSGTVTKISGSSSRHRKFINGLPGPLGVQSETGPCNNSSLHRNSAPGKISQSSLTCEKAVDAPIAEGNGNKFIVKIPNRGRGPAQSVSGVFLEDQLVTNSRASSPLLSEKQEQFKHNMKEKSGTYQENVITDVNNESWQSNDVKDLLTGSDEGESSPAAVPDEEYRRTGEDLRKITEVTKVASSSSGNEIKSRKMQRASLNSINTLIDSFVKYTKANACMPVADDAGMNLLACVAAGEIPKSDVASTIDSPQRNAPVVEHSSMGNDTTQKPSVGYEVVQDRNFSVECVYDEHLKQSVVAGKSWAKNADSMNVSSQETSEVELNEQLTSSIIDLPRTAEHCLENGIVKEITTAVLVNFPSASTLEKTNDIGDSKEHLDKKAGAVDDDGRLDIKQKNITFGANKDKVSDLGVNLQKEVVEGSASAPSMEVDVERKTDVTEGMDRGSHTPEKSPAIVGHSTKGTDKKASPTGSNDMMETFNVVKAEKDVEADVRSQASDKEKRKPDWEMVPAQKVEHMEENLEGSECPEPYCGLSPCKASILIETEQPIRLRGSNLAGVEERTSTTADTPATCADAKVKFDLNEGFNADDGKFGESINLAEALCPASVQLINRTPLPVSFASNSVPASITVTAAAKGPFVPPDDLLRSKRALGWKGSAATSAFRPAARKILEMPLGTSHASISEAAMGKQSRPPLDIDLNVPDERLLDDLAYRSSALGSDSSADLTNNRDLSFRSSGGLDLDLNRVDVPVDLGNLSTGNNFRLDAHMQPIKSSSCGIFNGEASLRRDFDLNNGPVLDEVSAALVSQRNRSSNISSQPPVSSLRINNYEIANFSSWFPTGNTYSAVSLPSILFDREPHFPVATGGPQRVLSPGPPTGASATPFNSDAYRGLVLSSSLAVPYLSTPFQYPTFPFGATFPLPSTSFPGSSATYSDSSHGGRICLPSVHAQLLGPAGAVPSHYPRPYVVSLVHNNGNSIPENGRKWGRQGLDLNAGPGVLDIEGRDETTALASRQLSVVSSHSLAEEQARMYQVAGDVLKKKELEGCWDGYKQSSW >KJB39161 pep chromosome:Graimondii2_0_v6:7:115287:123922:1 gene:B456_007G001200 transcript:KJB39161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGQGRGEERKIERHMLTVPTLATAVLSGDSGASLSFSSTISSFSKDGHRFSVGGCTLFKPAQDSPLFIGIIHSLTAAKENKLLLGVNWLYQPAEVKLGKGILLEAAPNEIFYSFHKDEIPAGLLLHPCKVSFLPKDVDLPSGVCSFVCRRVYDITNKCLWWLTDQDYINELQEEVDQLLRKTRIEMHATVQTGCCSSKSMNDLTSASQLKPGSDSVQNSTPSFSSQGKGKKREHVDQVSEPVKRECINRMDDVDSGHIIPELNLKFEIAKITEKGGLQDYGGVGKLVQLIIHERNERKINLVSRSMLAGVIAATHKFDCLSRFVQLRGLNVFDDWIQEVHKRKIGGSSGSKDDRSIDDFLLTLLQALDKLPVNLTVLQMCSIGKSVNLLRTHRNVEIQKKARSLVDTWKKRVQVELDAKYGPNQSVPWSDVAKCGIKHYRPSEVAVKNSVTQFSATKTGFVKLVQGGTASKSASATPVPMKASTLPASARTKVRNATIVGTSDTQTTTRDEKINGSSQSHNNTLSCSSDHAKMGEIPVKDDARSSAAGSGTVTKISGSSSRHRKFINGLPGPLGVQSETGPCNNSSLHRNSAPGKISQSSLTCEKAVDAPIAEGNGNKFIVKIPNRGRGPAQSVSGVFLEDQLVTNSRASSPLLSEKQEQFKHNMKEKSGTYQENVITDVNNESWQSNDVKDLLTGSDEGESSPAAVPDEEYRRTGEDLRKITEVTKVASSSSGNEIKSRKMQRASLNSINTLIDSFVKYTKANACMPVADDAGMNLLACVAAGEIPKSDVASTIDSPQRNAPVVEHSSMGNDTTQKPSVGYEVVQDRNFSVECVYDEHLKQSVVAGKSWAKNADSMNVSSQETSEVELNEQLTSSIIDLPRTAEHCLENGIVKEITTAVLVNFPSASTLEKTNDIGDSKEHLDKKAGAVDDDGRLDIKQKNITFGANKDKVSDLGVNLQKEVVEGSASAPSMEVDVERKTDVTEGMDRGSHTPEKSPAIVGHSTKGTDKKASPTGSNDMMETFNVVKAEKDVEADVRSQASDKEKRKPDWEMVPAQKVEHMEENLEGSECPEPYCGLSPCKASILIETEQPIRLRGSNLAGVEERTSTTADTPATCADAKVKFDLNEGFNADDGKFGESINLAEALCPASVQLINRTPLPVSFASNSVPASITVTAAAKGPFVPPDDLLRSKRALGWKGSAATSAFRPAARKILEMPLGTSHASISEAAMGKQSRPPLDIDLNVPDERLLDDLAYRSSALGSDSSADLTNNRDLSFRSSGGLDLDLNRVDVPVDLGNLSTGNNFRLDAHMQPIKSSSCGIFNGEASLRRDFDLNNGPVLDEVSAALVSQRNRSSNISSQPPVSSLRINNYEIANFSSWFPTGNTYSAVSLPSILFDREPHFPVATGGPQRVLSPGPPTGASATPFNSDAYRGLVLSSSLAVPYLSTPFQYPTFPFGATFPLPSTSFPGSSATYSDSSHGGRICLPSVHAQLLGPAGAVPSHYPRPYVVSLVHNNGNSIPENGRKWGRQGLDLNAGPGVLDIEGRDETTALASRQLSVVSSHSLAEEQARMYQVAGDVLKKKELEGCWDGYKQSSW >KJB39158 pep chromosome:Graimondii2_0_v6:7:115373:123724:1 gene:B456_007G001200 transcript:KJB39158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATVQTGCCSSKSMNDLTSASQLKPGSDSVQNSTPSFSSQGKGKKREHVDQVSEPVKRECINRMDDVDSGHIIPELNLKFEIAKITEKGGLQDYGGVGKLVQLIIHERNERKINLVSRSMLAGVIAATHKFDCLSRFVQLRGLNVFDDWIQEVHKRKIGGSSGSKDDRSIDDFLLTLLQALDKLPVNLTVLQMCSIGKSVNLLRTHRNVEIQKKARSLVDTWKKRVQVELDAKYGPNQSVPWSDVAKCGIKHYRPSEVAVKNSVTQFSATKTGFVKLVQGGTASKSASATPVPMKASTLPASARTKVRNATIVGTSDTQTTTRDEKINGSSQSHNNTLSCSSDHAKMGEIPVKDDARSSAAGSGTVTKISGSSSRHRKFINGLPGPLGVQSETGPCNNSSLHRNSAPGKISQSSLTCEKAVDAPIAEGNGNKFIVKIPNRGRGPAQSVSGVFLEDQLVTNSRASSPLLSEKQEQFKHNMKEKSGTYQENVITDVNNESWQSNDVKDLLTGSDEGESSPAAVPDEEYRRTGEDLRKITEVTKVASSSSGNEIKSRKMQRASLNSINTLIDSFVKYTKANACMPVADDAGMNLLACVAAGEIPKSDVASTIDSPQRNAPVVEHSSMGNDTTQKPSVGYEVVQDRNFSVECVYDEHLKQSVVAGKSWAKNADSMNVSSQETSEVELNEQLTSSIIDLPRTAEHCLENGIVKEITTAVLVNFPSASTLEKTNDIGDSKEHLDKKAGAVDDDGRLDIKQKNITFGANKDKVSDLGVNLQKEVVEGSASAPSMEVDVERKTDVTEGMDRGSHTPEKSPAIVGHSTKGTDKKASPTGSNDMMETFNVVKAEKDVEADVRSQASDKEKRKPDWEMVPAQKVEHMEENLEGSECPEPYCGLSPCKASILIETEQPIRLRGSNLAGVEERTSTTADTPATCADAKVKFDLNEGFNADDGKFGESINLAEALCPASVQLINRTPLPVSFASNSVPASITVTAAAKGPFVPPDDLLRSKRALGWKGSAATSAFRPAARKILEMPLGTSHASISEAAMGKQSRPPLDIDLNVPDERLLDDLAYRSSALGSDSSADLTNNRDLSFRSSGGLDLDLNRVDVPVDLGNLSTGNNFRLDAHMQPIKSSSCGIFNGEASLRRDFDLNNGPVLDEVSAALVSQRNRSSNISSQPPVSSLRINNYEIANFSSWFPTGNTYSAVSLPSILFDREPHFPVATGGPQRVLSPGPPTGASATPFNSDAYRGLVLSSSLAVPYLSTPFQYPTFPFGATFPLPSTSFPGSSATYSDSSHGGRICLPSVHAQLLGPAGAVPSHYPRPYVVSLVHNNGNSIPENGRKWGRQGLDLNAGPGVLDIEGRDETTALASRQLSVVSSHSLAEEQARMYQVAGDVLKKKELEGCWDGYKQSSW >KJB43225 pep chromosome:Graimondii2_0_v6:7:18410476:18411762:-1 gene:B456_007G189300 transcript:KJB43225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDNTPIKYSITLKCEIGKSPESSNEPGQILLDPPDCQLYISFSFPPFPVNGTADNTVFEKNEKREGMAKRVGMSRDNGRGRKGWTLTAAVTNIFEFVRLAEFEILFILFLIIAFIIFKDLTSRPEYNQLLVKKPGGVDWWPY >KJB40831 pep chromosome:Graimondii2_0_v6:7:5608582:5610893:1 gene:B456_007G078900 transcript:KJB40831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVEKCQSSSRTLLLFFYLFQAVALSFISVSSLKKAAMTHEEVSLTVPLLEDDHKDVLGSKIEELDPNSAHHTDTSASTVNVFRTCFNGLNALSGVGILSIPYALASGGWLSLVLLFAIATAAFYAGLLIQRCMDADPTIRTYPDMGERAFGNKGRVMASVVMYIELYLVATGFLILEGDNLQNLLPDVEFEVAGVTVGGQKGFIIIVALIILPTVWLDNLSLLSYVSASGVLASAVILGSVIWTGAFEGIGFQHKGTLINWGGIPTAVSLYAFCYCSHPVFPTLYTSMKKRHQFSNVLVVCFVLCTICYTSMAIFGYLMFGSDVQSQITLNLPTDKLSARVAIYTTLVNPISKYALMVTPIVNATKAWIRYPCDKRVVNLFVGTTLVISTLLVALAVPFFGSLMSLVGAFLSITASVMLPCVFYLKISGTYQRFNGELFAVSLIILMSVAVAIFGTYTSVLDMIGKL >KJB39924 pep chromosome:Graimondii2_0_v6:7:2646217:2647278:1 gene:B456_007G038200 transcript:KJB39924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENDRILESLLLEWENFHSNNHHKQKQFSETKHNPQIKQQWSMVVIRDSVSNSDLCVFPPVNHENLHHQMQQPQQQQQNPHSKSDLLPPPDAGSVIPSSCGRGIGEWLGIGLEILRAKIVGYFGCKNETKTGKVFRSLRGVTDLAAVMLLWWLCKLLWRRRCRKESVERLKMIIKEKDEYICAENYRIVKPDC >KJB39923 pep chromosome:Graimondii2_0_v6:7:2646210:2647278:1 gene:B456_007G038200 transcript:KJB39923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENDRILESLLLEWENFHSNNHHKQKQFSETKHNPQIKQQWSMVVIRDSVSNSDLCVFPPVNHENLHHQMQQPQQQQQNPHSKSDLLPPPDAGSVIPSSCGRGIGEWLGIGLEILRAKIVGYFGCKNETKTGKVFRSLRGVTDLAAVMLLWWLCKLLWRRRCRKESVERLKMIIKEKDEKITGLLNQIAEMKKVLVERHKLLASN >KJB40018 pep chromosome:Graimondii2_0_v6:7:2953515:2955309:-1 gene:B456_007G042500 transcript:KJB40018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSFFLGFLFGFFIFSSVVSSFMHEFIHSFSAKKTFSASNGQPSYPFLGCLISFYKNRSRLLDWYTDLLSESPCQTIVVSRLGARRTVVTANPANIEYILKTNFNNFPKGRPFTEILGDLLGCGIFNVDGELWSTQRKLASHEFSTKSLREFVVKTLQEVVDDRLVPLLEAAMEAEEVLDLQDVLRRLAFDTVCKVSLGYDPFCLDLSKPVPPLVKAFDTASEISAMRGMAPMIVVWKLKRALNVGSEKKLKEAIDLVHGCVLEIIRNKKKALEEAKAKSAAARGGEDLLSRLLSAGHDGGVVRDMVISFIMAGRDTTSSAMTWLFWLLSKHENAEKMMVKEVESMLGNGGNGLDFETLKEMNFVKACICESMRLYPPVAWDSKHALDDDVLPDGTFVGKGDRVTYFPYGMGRMEALWGKDWFEFEPDRWFQEPGAENGLLKPVSPFKFPVFQAGPRVCLGKEMAFIQMKYVVASILKKFEIRPVNREQPVFVPLLTGHMAGGLNVLVKRRELK >KJB43459 pep chromosome:Graimondii2_0_v6:7:20456548:20462787:-1 gene:B456_007G201200 transcript:KJB43459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKALQHFIKKAHADGVLAGAIGLGGSGGTSLLSSAFRSLPVGVPKLIVSTVASGQTEPYVGTSDLVLFPSIVDICGINSVSRVVLSNAGAAFSGMVIGKLEKFQESCNEGKKCTVGITMFGVTTPCVNVVNERLKNEGYETLIFHATGVGGKTMESLVREGYIQGVLDITTTEVADYVVGGVMACDSSRFDVIIEKKIPLVLSVGALDMVNFGAKDTIPSHFQQRKIHIHNAQVSLMRTTVDENKKFAAFIADKLNKSSSKIVVCLPQKGVSALDASEKPFYDPEATGTLLNELQRLIQINEDRQVKMYPYHINDPEFAKALVDSFMEICSKNPTDSSLPQVASCESSQDLQKGHDHNVSSSSSGTLTYSPSNFPDARPETLQRTQGILQQLRDQISKGLPIVGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKAVPVLAGVCGTDPFRRIDYFLKQLESIGFSGVQNFPTVGLFDGNFRQNLEETGMGYGLEVQMIAKAHKMGFLTTPYAFNTNEAVEMAKAGADIIVAHMGLTTSGSIGAKTAVSLEESVLRVQAIADAAHAINPNVIVLCHGGPISGPSEAEFILKRTKGVHGFYGASSMERLPVEQAITSTVQQYKSISIK >KJB43458 pep chromosome:Graimondii2_0_v6:7:20456548:20462763:-1 gene:B456_007G201200 transcript:KJB43458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITAKVFCVGTADTKLDELHFLSQSVGSSLTTFSNSSSSKVEVVIVDVSAGQKETESSGDFKFVTRKELLLCYSESVGGNPIALPDDRGEAVDIMSKALQHFIKKAHADGVLAGAIGLGGSGGTSLLSSAFRSLPVGVPKLIVSTVASGQTEPYVGTSDLVLFPSIVDICGINSVSRVVLSNAGAAFSGMVIGKLEKFQESCNEGKKCTVGITMFGVTTPCVNVVNERLKNEGYETLIFHATGVGGKTMESLVREGYIQGVLDITTTEVADYVVGGVMACDSSRFDVIIEKKIPLVLSVGALDMVNFGAKDTIPSHFQQRKIHIHNAQVSLMRTTVDENKKFAAFIADKLNKSSSKIVVCLPQKGVSALDASEKPFYDPEATGTLLNELQRLIQINEDRQVASCESSQDLQKGHDHNVSSSSSGTLTYSPSNFPDARPETLQRTQGILQQLRDQISKGLPIVGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKAVPVLAGVCGTDPFRRIDYFLKQLESIGFSGVQNFPTVGLFDGNFRQNLEETGMGYGLEVQMIAKAHKMGFLTTPYAFNTNEAVEMAKAGADIIVAHMGLTTSGSIGAKTAVSLEESVLRVQAIADAAHAINPNVIVLCHGGPISGPSEAEFILKRTKGVHGFYGASSMERLPVEQAITSTVQQYKSISIK >KJB43460 pep chromosome:Graimondii2_0_v6:7:20457555:20462597:-1 gene:B456_007G201200 transcript:KJB43460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITAKVFCVGTADTKLDELHFLSQSVGSSLTTFSNSSSSKVEVVIVDVSAGQKETESSGDFKFVTRKELLLCYSESVGGNPIALPDDRGEAVDIMSKALQHFIKKAHADGVLAGAIGLGGSGGTSLLSSAFRSLPVGVPKLIVSTVASGQTEPYVGTSDLVLFPSIVDICGINSVSRVVLSNAGAAFSGMVIGKLEKFQESCNEGKKCTVGITMFGVTTPCVNVVNERLKNEGYETLIFHATGVGGKTMESLVREGYIQGVLDITTTEVADYVVGGVMACDSSRFDVIIEKKIPLVLSVGALDMVNFGAKDTIPSHFQQRKIHIHNAQVSLMRTTVDENKKFAAFIADKLNKSSSKIVVCLPQKGVSALDASEKPFYDPEATGTLLNELQRLIQINEDRQVKMYPYHINDPEFAKALVDSFMEICSKNPTDSSLPQVASCESSQDLQKGHDHNVSSSSSGTLTYSPSNFPDARPETLQRTQGILQQLRDQISKGLPIVGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVSCGKGSACSRWSMWN >KJB43461 pep chromosome:Graimondii2_0_v6:7:20456548:20462820:-1 gene:B456_007G201200 transcript:KJB43461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITAKVFCVGTADTKLDELHFLSQSVGSSLTTFSNSSSSKVEVVIVDVSAGQKETESSGDFKFVTRKELLLCYSESVGGNPIALPDDRGEAVDIMSKALQHFIKKAHADGVLAGAIGLGGSGGTSLLSSAFRSLPVGVPKLIVSTVASGQTEPYVGTSDLVLFPSIVDICGINSVSRVVLSNAGAAFSGMVIGKLEKFQESCNEGKKCTVGITMFGVTTPCVNVVNERLKNEGYETLIFHATGVGGKTMESLVREGYIQGVLDITTTEVADYVVGGVMACDSSRFDVIIEKKIPLVLSVGALDMVNFGAKDTIPSHFQQRKIHIHNAQVSLMRTTVDENKKFAAFIADKLNKSSSKIVVCLPQKGVSALDASEKPFYDPEATGTLLNELQRLIQINEDRQVKMYPYHINDPEFAKALVDSFMEICSKNPTDSSLPQVASCESSQDLQKGHDHNVSSSSSGTLTYSPSNFPDARPETLQRTQGILQQLRDQISKGLPIVGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKAVPVLAGVCGTDPFRRIDYFLKQLESIGFSGVQNFPTVGLFDGNFRQNLEETGMGYGLEVQMIAKAHKMGFLTTPYAFNTNEAVEMAKAGADIIVAHMGLTTSGSIGAKTAVSLEESVLRVQAIADAAHAINPNVIVLCHGGPISGPSEAEFILKRTKGVHGFYGASSMERLPVEQAITSTVQQYKSISIK >KJB43457 pep chromosome:Graimondii2_0_v6:7:20457311:20462597:-1 gene:B456_007G201200 transcript:KJB43457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITAKVFCVGTADTKLDELHFLSQSVGSSLTTFSNSSSSKVEVVIVDVSAGQKETESSGDFKFVTRKELLLCYSESVGGNPIALPDDRGEAVDIMSKALQHFIKKAHADGVLAGAIGLGGSGGTSLLSSAFRSLPVGVPKLIVSTVASGQTEPYVGTSDLVLFPSIVDICGINSVSRVVLSNAGAAFSGMVIGKLEKFQESCNEGKKCTVGITMFGVTTPCVNVVNERLKNEGYETLIFHATGVGGKTMESLVREGYIQGVLDITTTEVADYVVGGVMACDSSRFDVIIEKKIPLVLSVGALDMVNFGAKDTIPSHFQQRKIHIHNAQVSLMRTTVDENKKFAAFIADKLNKSSSKIVVCLPQKGVSALDASEKPFYDPEATGTLLNELQRLIQINEDRQVKMYPYHINDPEFAKALVDSFMEICSKNPTDSSLPQVASCESSQDLQKGHDHNVSSSSSGTLTYSPSNFPDARPETLQRTQGILQQLRDQISKGLPIVGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKAVPVLAGVCGTDPFRRIDYFLKQLESIGFSGVQNFPTVGLFDGNFRQNLEETGMGYGYDTMTQMFHFTRKVLIRCFMFPNVQAGGSNDCKST >KJB44505 pep chromosome:Graimondii2_0_v6:7:41660411:41666091:-1 gene:B456_007G256600 transcript:KJB44505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLYMLPMAKGFKHQAFLVLAIILLLINQSEQLESSQTRTLLRVRSLLNYPDILSGWNSTIDFCNTEPTSQVTVVCYEGSITQLHIIGSKGTPLLPKNFSMNSFVKALVKLPELKVLTLVSLGLWGTLPGKILHLSSLEILNMTSNSLYGTIPDELSSITSLQTLILDDNMFSGRLPEWLGLFPVLTVLSLRKNLFNGSLPESFTSLENLRVLVLSHNHFYGEVPDLSRLTNLQELDLEDNAFGPRFPQLSNKLVRLVLGKNRFRSGIPSELSSYYQLEWLDLSFNRFVGPFSPSLLSLPSITYLNIADNKLTGMLFENTSCNVELEFADLSSNLLTGHLPTCLLDSKDRVSLYSRNCLATENENQHPFSFCHNEALAVGILPHHKKSKTSKVALAMAITGGIIGGIVLLGLIFMFVRRSNADKTINKPTTRVIAEKATTVYSSKFLSDARYISQTTKLGALGLPAYRTFSLEELEVATNNFHTTAFMGEGSLGQMYRGRLKDGSFVAIRCLKMKKSRSTQSFMHHVELISKLRHRHLVSALGHCFECYLDDSSVSRIFLIFEYVPNGTLRSWISGRDRCSLTWAQRISSAIGIAKGIQFLHTGIVPGVYSNHLKITDILMDQNLVAKISSYNLPLLAEISGKVGHGTSAPPKDPSTSARVTYDDKVDVYDFGVILLEMILGRPSKSRNQVQVLKNQLEAIMATDDATRRRVADPAVRTSCSDQSLKTMMEICVRCLVKDPAERPSIEDVLWNLQFAAQVQDAWRVDSHSSEGSPISPCEPQHLRVAFH >KJB44506 pep chromosome:Graimondii2_0_v6:7:41660648:41665390:-1 gene:B456_007G256600 transcript:KJB44506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLYMLPMAKGFKHQAFLVLAIILLLINQSEQLESSQTRTLLRVRSLLNYPDILSGWNSTIDFCNTEPTSQVTVVCYEGSITQLHIIGSKGTPLLPKNFSMNSFVKALVKLPELKVLTLVSLGLWGTLPGKILHLSSLEILNMTSNSLYGTIPDELSSITSLQTLILDDNMFSGRLPEWLGLFPVLTVLSLRKNLFNGSLPESFTSLENLRVLVLSHNHFYGEVPDLSRLTNLQELDLEDNAFGPRFPQLSNKLVRLVLGKNRFRSGIPSELSSYYQLEWLDLSFNRFVGPFSPSLLSLPSITYLNIADNKLTGMLFENTSCNVELEFADLSSNLLTGHLPTCLLDSKDRVSLYSRNCLATENENQHPFSFCHNEALAVGILPHHKKSKTSKVALAMAITGGIIGGIVLLGLIFMFVRRSNADKTINKPTTRVIAEKATTVYSSKFLSDARYISQTTKLGALGLPAYRTFSLEELEVATNNFHTTAFMGEGSLGQMYRGRLKDGSFVAIRCLKMKKSRSTQSFMHHVELISKLRHRHLVSALGHCFECYLDDSSVSRIFLIFEYVPNGTLRSWISGRDRCSLTWAQRISSAIGIAKGIQFLHTGIVPGVYSNHLKITDILMDQNLVAKISSYNLPLLAEISGKVGHGTSAPPKDPSTSARVTYDDKVDVYDFGVILLEMILGRPSKSRNQVQVLKNQQLEAIMATDDATRRRVADPAVRTSCSDQSLKTMMEICVRCLVKDPAERPSIEDVLWNLQFAAQVQDAWRVDSHSSEGSPISPCEPQHLRVAFH >KJB39222 pep chromosome:Graimondii2_0_v6:7:261945:264658:-1 gene:B456_007G002900 transcript:KJB39222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWMILTYMVAAEAALALLLTLPSPKLLKNRLVSLISLILQPALFIVPFAGFQLLDIYWKNEHRLMCTSEICTAAERDRYEKSFYKSQRNVILCVTACLLYWCIQRICKYNKEIQSLEEIEKRYKDE >KJB39221 pep chromosome:Graimondii2_0_v6:7:261841:264658:-1 gene:B456_007G002900 transcript:KJB39221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWMILTYMVAAEAALALLLTLPSPKLLKNRLVSLISLILQPALFIVPFAGFQLLDIYWKNEHRLMCTSEICTAAERDRYEKSVHPTHLQV >KJB39220 pep chromosome:Graimondii2_0_v6:7:262332:264475:-1 gene:B456_007G002900 transcript:KJB39220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWMILTYMVAAEAALALLLTLPSPKLLKNRLVSLISLILQPALFIVPFAGFQLLDIYWKNEHRLMCTSEICTAAERDRYEKSFYKSQRNVILCVTACLLYWCIQRICKYNKEIQSLEEIEKRYKDE >KJB39223 pep chromosome:Graimondii2_0_v6:7:261949:264570:-1 gene:B456_007G002900 transcript:KJB39223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWMILTYMVAAEAALALLLTLPSPKLLKNRLVSLISLILQPALFIVPFAGFQLLDIYWKNEHRLMCTSEICTAAERDRYEKSVHPTHLQV >KJB39224 pep chromosome:Graimondii2_0_v6:7:261949:264570:-1 gene:B456_007G002900 transcript:KJB39224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWMILTYMVAAEAALALLLTLPSPKLLKNRLVSLISLILQPALFIVPFAGFQLLDIYWKNEHRLMCTSEICTAAERDRYEKSFYKSQRNVILCVTACLLYWCIQRICKYNKEIQSLEEIEKRYKDE >KJB40211 pep chromosome:Graimondii2_0_v6:7:3619733:3622209:-1 gene:B456_007G051200 transcript:KJB40211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEKQRELAENANGGSPIKKQSAGELRLHKDISELNLPKSCSISFPNGKDDLMNFEVSIRPDEGYYFGGTFLFSFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTEPNYEDPLNHDAAAVLRDNPKMFESNVKRAMYGGYVGQTYFPRCM >KJB40209 pep chromosome:Graimondii2_0_v6:7:3620129:3621167:-1 gene:B456_007G051200 transcript:KJB40209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEKQRELAENANGGSPIKKQSAGELRLHKDISELNLPKSCSISFPNGKDDLMNFEVSIRPDEGYYFGGTFLFSFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTEPNYEDPLNHDAAAVLRDNPKMFESNVKRAMYGGYVGQTYFPRCM >KJB40210 pep chromosome:Graimondii2_0_v6:7:3619709:3622121:-1 gene:B456_007G051200 transcript:KJB40210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEKQRELAENANGGSPIKKQSAGELRLHKDISELNLPKSCSISFPNGKDDLMNFEVSIRPDEGYYFGGTFLFSFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTEPNYEDPLNHDAAAVLRDNPKMFESNVKRAMYGGYVGQTYFPRCM >KJB39463 pep chromosome:Graimondii2_0_v6:7:1137105:1137992:-1 gene:B456_007G014800 transcript:KJB39463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSLSRLFASLSEHSITKPSFTGSRSDSVTRSAYNSVTRFVCSSAQETQLIREERSNEGDREAAKENLESVNKEEDEGEDGDHVNKETGEVGGPKGPEPTRYGDWERNGRCSDF >KJB40719 pep chromosome:Graimondii2_0_v6:7:5287022:5288542:1 gene:B456_007G074700 transcript:KJB40719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGNPVAVLGQSISFGRFMSDQSLAWEKQSTFSHKKYVEEAQRYARPGSVAQKKAFFEAHYKTLAARKALLEQAKANEGMVQDIGTQVSEIMNSSSQMAALGHEETGSIYDGKENNNSDFVEFESSLVEGADSVGEHNVLVEINLKNEAEIKDLELSEATHVENLEKKVNQSRKLEEGMELELSEETQMEKPLLKVSSYGRRTKVPSSSAIRPNKGNNVTPMSNKSAMKISDRKRSTPKSSHKFINSTPAKEISRLTSTIIRKIDGSRIASNFKPSKECPTPLRTSNMASTSGRPKQSLATPWLENRSARTPFNSSASVSKTSRGKWNLLPTE >KJB45749 pep chromosome:Graimondii2_0_v6:7:54511826:54514184:1 gene:B456_007G325500 transcript:KJB45749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSLLSLSSPSPLSLPPFHNPSCSPLLQKPTFFSPLFPHSNVHLRPLLALPREEPFTTPLAEEKEQEEEVPIELPPSYSSFSSSSPLQTATTVLLSGAIAAFLFRSIRRRAKRAKEFRLRSKSLKEKSLNRLKAMGSASIKNKKLSTPSPVDALLGSLIAGVIAVFLYKFTTTIEAALNRQTVSDNFSVRQITITIRTIVNGLCYLATFVYGFNSIGLFLYSGQLALNPIMEGSMISANKNKDEENVGSVSSVKQNAIEGSELSSSRERED >KJB45752 pep chromosome:Graimondii2_0_v6:7:54511826:54514184:1 gene:B456_007G325500 transcript:KJB45752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSLLSLSSPSPLSLPPFHNPSCSPLLQKPTFFSPLFPHSNVHLRPLLALPREEPFTTPLAEEKEQEEEVPIELPPSYSSFSSSSPLQTATTVLLSGAIAAFLFRSIRRRAKRAKEFRLRSKSLKEKSLNRLKAMGSASIKNKKLSTPSPVDALLGSLIAGVIAVFLYKFTTTIEAALNRQTVSDNFSVRQITITIR >KJB45750 pep chromosome:Graimondii2_0_v6:7:54511975:54513457:1 gene:B456_007G325500 transcript:KJB45750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSLLSLSSPSPLSLPPFHNPSCSPLLQKPTFFSPLFPHSNVHLRPLLALPREEPFTTPLAEEKEQEEEVPIELPPSYSSFSSSSPLQTATTVLLSGAIAAFLFRSIRRRAKRAKEFRLRSKSLKEKSLNRLKAMGSASIKNKKLSTPSPVDALLGSLIAGVIAVFLYKFTTTIEAALNRQTVSDNFSQLRKCGFECA >KJB45751 pep chromosome:Graimondii2_0_v6:7:54511730:54514256:1 gene:B456_007G325500 transcript:KJB45751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSLLSLSSPSPLSLPPFHNPSCSPLLQKPTFFSPLFPHSNVHLRPLLALPREEPFTTPLAEEKEQEEEVPIELPPSYSSFSSSSPLQTATTVLLSGAIAAFLFRSIRRRAKRAKEFRLRSKSLKEKSLNRLKAMGSASIKNKKLSTPSPVDALLGSLIAGVIAVFLYKFTTTIEAALNRQTVSDNFSVRQITITIRTIVNGLCYLATFVYGFNSIGLFLYSGQLALNPIMEGSMISANKNKDEENVGSVSSVKQNAIEGTRMTMYIFTYGLFVFY >KJB43913 pep chromosome:Graimondii2_0_v6:7:26642004:26644041:-1 gene:B456_007G223400 transcript:KJB43913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGLAMARPTSSGGGSSSSEEDGDAEWKAAIQSIAATTTATFTANGSNSSTVTIQTTRNNLVSNSTPDTDDYVDKADEIDQRKHPQKLKNYQLKAQKLLDNMLEKHLVIVKDACNVSDADSVVNESGVRLFKNSTPGIVFDHVDEIQGPRKKPKLLPRRGIDENSKEFRRQLRSIAVDGKDILAAARDASQRSLARLEAKEATAKERAKREEARIAELKRIKGERWLPSMAREMQLSKRSGQQL >KJB43912 pep chromosome:Graimondii2_0_v6:7:26642437:26644003:-1 gene:B456_007G223400 transcript:KJB43912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGLAMARPTSSGGGSSSSEEDGDAEWKAAIQSIAATTTATFTANGSNSSTVTIQTTRNNLVSNSTPDTDDYVDKADEIDQRKHPQKLKNYQLKAQKLLDNMLEKHLVIVKDACNVSDADSVVNESGVRLFKNSTPGIVFDHVDEIQGPRKKPKLLPRRGIDENSKEFRRQLRSIAVDGKDILAAARDASQRSLARLEAKEATAKERAKREEARIAELKRIKGERWLPSMAREMQVKSQG >KJB40846 pep chromosome:Graimondii2_0_v6:7:5723550:5726435:-1 gene:B456_007G080300 transcript:KJB40846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFNNTDSLFVSCADLRSKFFYSPLRHVRYSPLAVDEDDDYNGGRRFDPRFDYSPKAFDRVPWKSIVLAVFLLCLGCLLLFLSFFIFSGHMGGEKSQAYGLLVLGILTFLPGFYETRIAYYSWRGAEGYSFASIPDY >KJB40848 pep chromosome:Graimondii2_0_v6:7:5723834:5726096:-1 gene:B456_007G080300 transcript:KJB40848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRHVRYSPLAVDEDDDYNGGRRFDPRFDYSPKAFDRVPWKSIVLAVFLLCLGCLLLFLSFFIFSGHMGGEKSQAYGLLVLGILTFLPGFYETRIAYYSWRGAEGYSFASIPDY >KJB40847 pep chromosome:Graimondii2_0_v6:7:5724238:5725672:-1 gene:B456_007G080300 transcript:KJB40847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRHVRYSPLAVDEDDDYNGGRRFDPRFDYSPKAFDRVPWKSIVLAVFLLCLGCLLLFLSFFIFSGHMGGEKSQAYGLLVLGILTFLPGFYETRIAYYSWRGAEGYSFASIPDY >KJB40845 pep chromosome:Graimondii2_0_v6:7:5723759:5726683:-1 gene:B456_007G080300 transcript:KJB40845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRHVRYSPLAVDEDDDYNGGRRFDPRFDYSPKAFDRVPWKSIVLAVFLLCLGCLLLFLSFFIFSGHMGGEKSQAYGLLVLGILTFLPGFYETRIAYYSWRGAEGYSFASIPDY >KJB44217 pep chromosome:Graimondii2_0_v6:7:32987122:32988679:-1 gene:B456_007G240000 transcript:KJB44217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSSGDSEEYDSHSESISALLDNNASSHIGHGDLVNQPPQLPSPPPQRQQYQNHSSSAMFDPLSNYFDQRSQHLTTNPNPLGNLDAVWSKNLRSESGSPGVGGFVASSSPTQQQLTNTNQQAQSRATFPSVQIPQGPDSDTRSSVSGVRARNTKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAAPFTSSAFPRTRLDIFGGTPSSTLRSLHLHPSTSHYLLRPFAPQIQSPSFVSSSVASTPITNITSASATNDSTTTSSTPINYQLPSELGLLKQPQNLLNINMQNPILNFQPLLRDPKKYPLPNSTIQGCLDIPSNGTPLKMGVLEDEFGLSQGHVNTDLCGVQNMVSSEGALPRNERRGFQEHDQSLPRSINGSYNSNSHRVSNGKEESLSSSDFNGDKGAEENVATRSEGMVESWICSSD >KJB43450 pep chromosome:Graimondii2_0_v6:7:20423996:20425245:-1 gene:B456_007G200900 transcript:KJB43450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNSVKSTLSNLAFENVMAAAARDYKKEMLAQEKAQSSTSVNQEVDLDELMDHPELEKLHADRIAALKKEAEKREALKRQGHGEYREISEGDFLGEVTGSEKVVCHFYHKEFYRCKVMDKHLKALASKHLDTKFIKLDAENAPFFVTKLAVKTLPCVLIFN >KJB43453 pep chromosome:Graimondii2_0_v6:7:20424001:20425323:-1 gene:B456_007G200900 transcript:KJB43453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNSVKSTLSNLAFENVMAAAARDYKKEMLAQEKAQSSTSVNQEVDLDELMDHPELEKLHADRIAALKKEAEKREALKRQGHGEYREISEGDFLGEVTGSEKVVCHFYHKEFYRCK >KJB43452 pep chromosome:Graimondii2_0_v6:7:20423175:20425323:-1 gene:B456_007G200900 transcript:KJB43452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNSVKSTLSNLAFENVMAAAARDYKKEMLAQEKAQSSTSVNQEVDLDELMDHPELEKLHADRIAALKKEAEKREALKRQGHGEYREISEGDFLGEVTGSEKVVCHFYHKEFYRCKVMDKHLKALASKHLDTKFIKLDAEKRDCSR >KJB43447 pep chromosome:Graimondii2_0_v6:7:20423175:20424883:-1 gene:B456_007G200900 transcript:KJB43447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVQEMLAQEKAQSSTSVNQEVDLDELMDHPELEKLHADRIAALKKEAEKREALKRQGHGEYREISEGDFLGEVTGSEKVVCHFYHKEFYRCKVMDKHLKALASKHLDTKFIKLDAEKRDCSR >KJB43449 pep chromosome:Graimondii2_0_v6:7:20423175:20425460:-1 gene:B456_007G200900 transcript:KJB43449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNSVKSTLSNLAFENVMAAAARDYKKEMLAQEKAQSSTSVNQEVDLDELMDHPELEKLHADRIAALKKEAEKREALKRQGHGEYREISEGDFLGEVTGSEKVVCHFYHKEFYRCKVMDKHLKALASKHLDTKFIKLDAEKRDCSR >KJB43448 pep chromosome:Graimondii2_0_v6:7:20423996:20424852:-1 gene:B456_007G200900 transcript:KJB43448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVQEMLAQEKAQSSTSVNQEVDLDELMDHPELEKLHADRIAALKKEAEKREALKRQGHGEYREISEGDFLGEVTGSEKVVCHFYHKEFYRCKVMDKHLKALASKHLDTKFIKLDAENAPFFVTKLAVKTLPCVLIFN >KJB43451 pep chromosome:Graimondii2_0_v6:7:20423996:20425245:-1 gene:B456_007G200900 transcript:KJB43451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNSVKSTLSNLAFENVMAAAARDYKKEMLAQEKAQSSTSVNQEVDLDELMDHPELEKLHADRIAALKKEAEKREALKRQGHGEYREISEGDFLGEVTGSEKVVCHFYHKEFYRCKVMDKHLKALASKHLDTKFIKLDAENAPFFVTKLAVKTLPCVLIFN >KJB42615 pep chromosome:Graimondii2_0_v6:7:14045027:14049830:1 gene:B456_007G160200 transcript:KJB42615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASIAVNSPSSSNHFIKDGVTSVNVNRLCSFSFESGLFGSKIPTKKLSLSVNGTRPTRPERISYSGLEPVKASSRSFDVVIVGAGVIGLTIARQFLTGSDLSVAVVDKAVPCSGATGAGQGYIWMVHKNPASETWELTKRSHQLWKMLAETIRDQGMDPLQVLGWKKTGSLLVGRTPEDSVMLRKRVSQLSEAGVRAEYLSTDELHSKEPAIYVGTDGGAAFAPDDCQLDAHQAVSYIEKVNRSFAPEGRYAEFYHEPVTGLVRSTSSGEFEAVQTSNNTLYGKAIVVAAGCWSRSLMHDLFKGSHIQLDALVMPRKGHLLVFENFNPLQLNHGSMEVGYVDYQNATFPLGLDDQSQTLSVSMTATIDMMGNLVLGSSRQFAGFSTEVDDSIVLHIWKRAGEFFPKLKEPSLTDFIKNRKVRVGLRPYMPDGKPVIGNVPGLSNLFLATGHEGGGLSMALGTAEMVVDMVLGNTTYVDSSPFAAEGRCC >KJB42617 pep chromosome:Graimondii2_0_v6:7:14045165:14049795:1 gene:B456_007G160200 transcript:KJB42617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKNPASETWELTKRSHQLWKMLAETIRDQGMDPLQVLGWKKTGSLLVGRTPEDSVMLRKRVSQLSEAGVRAEYLSTDELHSKEPAIYVGTDGGAAFAPDDCQLDAHQAVSYIEKVNRSFAPEGRYAEFYHEPVTGLVRSTSSGEFEAVQTSNNTLYGKAIVVAAGCWSRSLMHDLFKGSHIQLDALVMPRKGHLLVFENFNPLQLNHGSMEVGYVDYQNATFPLGLDDQSQTLSVSMTATIDMMGNLVLGSSRQFAGFSTEVDDSIVLHIWKRAGEFFPKLKEPSLTDFIKNRKVRVGLRPYMPDGKPVIGNVPGLSNLFLATGHEGGGLSMALGTAEMVVDMVLGNTTYVDSSPFAAEGRCC >KJB42616 pep chromosome:Graimondii2_0_v6:7:14045165:14049795:1 gene:B456_007G160200 transcript:KJB42616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASIAVNSPSSSNHFIKDGVTSVNVNRLCSFSFESGLFGSKIPTKKLSLSVNGTRPTRPERISYSGLEPVKASSRSFDVVIVGAGVIGLTIARQFLTGSDLSVAVVDKAVPCSGATGAGQGYIWMVHKNPASETWELTKRSHQLWKMLAETIRDQGMDPLQVLGWKKTGSLLVGRTPEDSVMLRKRVSQLSEAGVRAEYLSTDELHSKEPAIYVGTDGGAAFAPDDCQLDAHQAVSYIEKVNRSFAPEGRYAEFYHEPVTGLVRSTSSGEFEAVQTSNNTLYGKAIVVAAGCWSRSLMHDLFKGSHIQLDALVMPRKGHLLVFENFNPLQLNHGSMEVGYVDYQNATFPLGLDDQSQTLSVSMTATIDMMGNLVLGKF >KJB40551 pep chromosome:Graimondii2_0_v6:7:4870684:4874514:1 gene:B456_007G068900 transcript:KJB40551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFPALISLLLLFMATEIVTTSVERENKSSSAALIWSTAKEEGDLMHKAGHADDSTAAADDVDGGFSSLEGMLQWAIGHSDPAKLKESAQDVQRLSPSELRQRQLEIKELMEKLKMPSDAQLMQIALDDLSNSSLSLEDRHRALQELLILVEPIDNANDMCKLGGLGVIIRELDHPDSDVRKLSAWILGKASQNNPYVQKQVLELGALATLMKLVNSSSADEATKAFYAVSALIRNNVAGQQLFFAEAGDKMLQDILSSLSVDARLRRKAVFLVGDLAECQLENIDKAEMPFFSNQFFLKSVVDLTASSDLDLQEKALVAIKNLLQLRTTEAMVFKEFCRLDDALERMKKQLEDLMLDEDHREYVTDVESLRKEVELSFQAKLGNVRYQSETPLDL >KJB40552 pep chromosome:Graimondii2_0_v6:7:4870684:4874514:1 gene:B456_007G068900 transcript:KJB40552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFPALISLLLLFMATEIVTTSVERENKSSSAALIWSTAKEEGDLMHKAGHADDSTAAADDVDGGFSSLEGMLQWAIGHSDPAKLKESAQDVQRLSPSELRQRQLEIKELMEKLKMPSDAQLMQIALDDLSNSSLSLEDRHRALQELLILVEPIDNANDMCKLGGLGVIIRELDHPDSDVRKLSAWILGKASQNNPYVQKQVLELGALATLMKLVNSSSADEATKAFYAVSALIRNNVAGQQLFFAEAGDKMLQDILSSLSVDARLRRKAVFLVGDLAECQLENIDKAEMPFFSNQFFLKSVVDLTASSDLDLQEKVALVAIKNLLQLRTTEAMVFKEFCRLDDALERMKKQLEDLMLDEDHREYVTDVESLRKEVELSFQAKLGNVRTVPT >KJB40550 pep chromosome:Graimondii2_0_v6:7:4870677:4874515:1 gene:B456_007G068900 transcript:KJB40550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFPALISLLLLFMATEIVTTSVERENKSSSAALIWSTAKEEGDLMHKAGHADDSTAAADDVDGGFSSLEGMLQWAIGHSDPAKLKESAQDVQRLSPSELRQRQLEIKELMEKLKMPSDAQLMQIALDDLSNSSLSLEDRHRALQELLILVEPIDNANDMCKLGGLGVIIRELDHPDSDVRKLSAWILGKASQNNPYVQKQVLELGALATLMKLVNSSSADEATKAFYAVSALIRNNVAGQQLFFAEAGDKMLQDILSSLSVDARLRRKAVFLVGDLAECQLENIDKAEMPFFSNQFFLKSVVDLTASSDLDLQEKALVAIKNLLQLRTTEAMVFKEFCRLDDALERMKKQLEDLMLDEDHREYVTDVESLRKEVELSFQAKLGNVRTVPT >KJB42526 pep chromosome:Graimondii2_0_v6:7:13475897:13481320:-1 gene:B456_007G156500 transcript:KJB42526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITTTMQAINEKILSLGYGEFTAEITTVDAQDSHNGGVLVLVTGYLTGKDKVKRKFTQSFFLAPQDKGYFVLNDVFRFVDDTKHQPGSQDPVNGFEASLTPEQDHSPVPENHIEQPAALPEECNGPEVYNPSENGDGCIEEEESPVAEVVDEIPDDSKMVSDSKPEMEELPKKSYASILKVLKENAVPVSAPTHPPVKPAVKSQEHPRIAAPPSAPMPASDAQVSSNNVTENGNNQDAEAEGPSVYVKGLPLNATPGMLENEFKKFGPIKSGGIQVRSQKGFCFGFVEFEMASSVQSAIEASPINVGGRKAVVEEKRSTSRGNKGRSSSVSGAGYRTEGARGRGNYGGGRGYNRGEFGNRSSNRGGYSNRGGDGYQRGEHMGGNGGRVSRSGEATFNASTKTVAPRVSVPA >KJB42524 pep chromosome:Graimondii2_0_v6:7:13475809:13481410:-1 gene:B456_007G156500 transcript:KJB42524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEQQVPAGIATPTSDVVGNAFVHQYYLILHQSPELVHRFYHDSSKLGRPEENGGMSITTTMQAINEKILSLGYGEFTAEITTVDAQDSHNGGVLVLVTGYLTGKDKVKRKFTQSFFLAPQDKGYFVLNDVFRFVDDTKHQPGSQDPVNGFEASLTPEQENHIEQPAALPEECNGPEVYNPSENGDGCIEEEESPVAEVVDEIPDDSKMVSDSKPEMEELPKKSYASILKVLKENAVPVSAPTHPPVKPAVKSQEHPRIAAPPSAPMPASDAQVSSNNVTENGNNQDAEAEGPSVYVKGLPLNATPGMLENEFKKFGPIKSGGIQVRSQKGFCFGFVEFEMASSVQSAIEASPINVGGRKAVVEEKRSTSRGNKGRSSSVSGAGYRTEGARGRGNYGGGRGYNRGEFGNRSSNRGGYSNRGGDGYQRGEHMGGNGGRVSRSGEATFNASTKTVAPRVSVPA >KJB42525 pep chromosome:Graimondii2_0_v6:7:13475897:13481320:-1 gene:B456_007G156500 transcript:KJB42525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEQQVPAGIATPTSDVVGNAFVHQYYLILHQSPELVHRFYHDSSKLGRPEENGGMSITTTMQAINEKILSLGYGEFTAEITTVDAQDSHNGGVLVLVTGYLTGKDKVKRKFTQSFFLAPQDKGYFVLNDVFRFVDDTKHQPGSQDPVNGFEASLTPEQDHSPVPENHIEQPAALPEECNGPEVYNPSENGDGCIEEEESPVAEVVDEIPDDSKMVSDSKPEMEELPKKSYASILKVLKENAVPVSAPTHPPVKPAVKSQEHPRIAAPPSAPMPASDAQVSSNNVTENGNNQDAEAEGPSVYVKGLPLNATPGMLENEFKKFGPIKSGGIQVRSQKGFCFGFVEFEMASSVQSAIEASPINVGGRKAVVEEKRSTSRGNKGRSSSVSGAGYRTEGARGRGNYGGGRGYNRGEFGNRSSNRGGYSNRGGDGYQRGEHMGGNGGRVSRSGEATFNASTKTVAPRVSVPA >KJB42527 pep chromosome:Graimondii2_0_v6:7:13476502:13480728:-1 gene:B456_007G156500 transcript:KJB42527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEQQVPAGIATPTSDVVGNAFVHQYYLILHQSPELVHRFYHDSSKLGRPEENGGMSITTTMQAINEKILSLGYGEFTAEITTVDAQDSHNGGVLVLVTGYLTGKDKVKRKFTQSFFLAPQDKGYFVLNDVFRFVDDTKHQPGSQDPVNGFEASLTPEQDHSPVPENHIEQPAALPEECNGPEVYNPSENGDGCIEEEESPVAEVVDEIPDDSKMVSDSKPEMEELPKKSYASILKVLKENAVPVSAPTHPPVKPAVKSQEHPRIAAPPSAPMPASDAQVSSNNVTENGNNQDAEAEGPSVYVKGLPLNATPGMLENEFKKFGPIKSGGIQVRSQKGFCFGFVEFEMASSVQSAIEASPINVGGRKAVVEEKRSTSRGKEQRAVFICFWGWI >KJB42528 pep chromosome:Graimondii2_0_v6:7:13476557:13480728:-1 gene:B456_007G156500 transcript:KJB42528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEQQVPAGIATPTSDVVGNAFVHQYYLILHQSPELVHRFYHDSSKLGRPEENGGMSITTTMQAINEKILSLGYGEFTAEITTVDAQDSHNGGVLVLVTGYLTGKDKVKRKFTQSFFLAPQDKGYFVLNDVFRFVDDTKHQPGSQDPVNGFEASLTPEQDHSPVPENHIEQPAALPEECNGPEVYNPSENGDGCIEEEESPVAEVVDEIPDDSKMVSDSKPEMEELPKKSYASILKVLKENAVPVSAPTHPPVKPAVKSQEHPRIAAPPSAPMPASDAQVSSNNVTENGNNQDAEAEGPSVYVKGLPLNATPGMLENEFKKFGPIKSGGIQVRSQKGFCFGFVEFEMASSVQSAIEASPINVGGRKAVVEEKRSTSRDSGVTELVKNMDPKPLGEYILHVLR >KJB39401 pep chromosome:Graimondii2_0_v6:7:899519:902756:1 gene:B456_007G011300 transcript:KJB39401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLWKLLYLLEPAPMTLIVTAMAVTFGSAFRALNHGKEMERNRDLLEASITLDRSQALMIPVMSSCSLLLMFYLFSSVSQLLTAFTAIASVSSLFFWLSPHVAYLKSQFGLADPFLSQCCSKSFTRIQGLLLLACIFIVATWLVSGHWILNNLLGISLCVAFVSHVRLPNIKICAMLLVCLFVYDIFWVFFSERFFGANVMVSVATKQASNPVHTVANSLSLPGLQFITKKLELPVKIVFPRNLWGGASPAGNTADFMILGLGDMAIPAMLLALVLCFDHRNSRDTVNLLDLHSLKGNKYIWYALPGYAIGLVTALAAGILTHSPQPALLYLVPSTLGPIFFISWLRKDLAELWEGTMPNLNDKARQIDL >KJB43186 pep chromosome:Graimondii2_0_v6:7:18069035:18070357:-1 gene:B456_007G187800 transcript:KJB43186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSWRNRRAHNNKMKNNSRLCEDLDAEIIVPTHFRCPISLDLMKDPVTLCTGITYDRENIERWIEAGNETCPFTKQVLETFDLIPNHILRRMIQDWCVQNRSLGVERIPTPRIPVSQCEVSEVCSRIVAATRRGDGKKCGELVRKIKNWGKESERNKRCIMENGTACILSASFESFARDSFEKHINLLVEILSVLSWMSPLGKEGRSKLGTESSLRCIIWLLRNGDLSGRQSAVLVLKEILHSDERRIITNNLRAIEGVVEALFFLIKEPISPTATKASLMAIYCMISSTTKNDMITSRFLEIGLVGVIVEAIVEADKSVCEKALGVLDEICNTERGKEEACNNALIMAVLARKVLRVSEWATELSVSILWKLCDEESVRIEAIQVGTFKKLLVILQVGCGERTKEKVKELLKLLNVYNNRVDCCVDSSMGFKYLKKPF >KJB45736 pep chromosome:Graimondii2_0_v6:7:54334901:54336747:1 gene:B456_007G3243001 transcript:KJB45736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YKIDAKMQELGEDMVKHCAGLPLAIIILGGILATRYPSLTEWLKVSANVKSYLNNDKGEVLRDVLALSFDDLPPYLRPCFLYLSHFPEDYEIPADRLIQLWVAEGIVSSKQEEGDEGQIAEDVAEGYLLELAERCMIQVRERDIATLKIRSFQMHDLMRDVCLSKAKQQKFLYIADHSNECQLSTIGRVRRVSAHKFFWIQCIKSPRLRSLLLFDELLPNEEWENILPLTMISYFENRREESVNALDCFVGVLIISVIVTKIRGIWKYMFNNFNFLRVLYYEGGGDGGCKLPNDIGKLIHLRFLGLRGLKFLCTKLPSSLGNLRCLQTLDLRIESLCFNPIHVPNVLWRMQQLRHLYLPEECNRKTKLKLGTLRNLQTLVNFNTKNCYVKDLINMTSIRELEIRGPFNIEDCNTKELDKNPPIIQSKYLHSLSIINEEGIIDPRHLAHLLLSCENISKLSLDVEIRRLPEYHYLSSNLAYIKLRRCKLEEDPMPTLAELPYLSMLELHEKAFIGKEMFCSGQAFAKLEYLSLRWLNFLEEWKLSEGAMPCLRRLEIENCRQLKMLPDGLRFIANLQELKIESMPKTFKDKGEEGGEDFCKVRHVPSIIFQNCEW >KJB42564 pep chromosome:Graimondii2_0_v6:7:13748939:13752480:1 gene:B456_007G157600 transcript:KJB42564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFDIPLVEDVNDDIDLPGDVPTLKVGEEKEIGKQGLKKKLVKEGEGWENPETGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFALGQGQVIKGWDEGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGLFKKILTGGEKWENPKDPDEVLVNYEAKLEDGTVVAKADGKEFTVMEGHFCPALAKAVKTMKKGEKVLLTVKPQYGFGEKGKPAAGAEGAVPPNATLQITLELVSWKTVSEVTDDKKVMKKILKEGEGYERPNEGAVVRVKLVGKLQDGTVFLKKGQDEGQELFEFRTDEEQVIDGLDKAVMTMKKGEVALLTIAPEYAFGSSGSKQELASVPPNSTVYYEVEMVSFIKDKESWDMNTPEKIEAAGKKKEEGNVLFKSGKYARASKRYEKAVKYIDYDSSFSEEEKKQAKALKVACNLNNAACKLKLKDFKQAEKLCTKVLEIESSNVKALYRRAQAYIHLADLDLAEFDVKKALEIDPDNR >KJB42561 pep chromosome:Graimondii2_0_v6:7:13748708:13752480:1 gene:B456_007G157600 transcript:KJB42561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFDIPLVEDVNDDIDLPGDVPTLKVGEEKEIGKQGLKKKLVKEGEGWENPETGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFALGQGQVIKGWDEGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGLFKKILTGGEKWENPKDPDEVLVNYEAKLEDGTVVAKADGKEFTVMEGHFCPALAKAVKTMKKGEKVLLTVKPQYGFGEKGKPAAGAEGAVPPNATLQITLELVSWKTVSEVTDDKKVMKKILKEGEGYERPNEGAVVRVKLVGKLQDGTVFLKKGQDEGQELFEFRTDEEQVIDGLDKAVMTMKKGEVALLTIAPEYAFGSSGSKQELASVPPNSTVYYEVEMVSFIKDKESWDMNTPEKIEAAGKKKEEGNVLFKSGKYARASKRYEKVGSVMDGYVGL >KJB42563 pep chromosome:Graimondii2_0_v6:7:13748708:13752619:1 gene:B456_007G157600 transcript:KJB42563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFDIPLVEDVNDDIDLPGDVPTLKVGEEKEIGKQGLKKKLVKEGEGWENPETGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFALGQGQVIKGWDEGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGLFKKILTGGEKWENPKDPDEVLVNYEAKLEDGTVVAKADGKEFTVMEGHFCPALAKAVKTMKKGEKVLLTVKPQYGFGEKGKPAAGAEGAVPPNATLQITLELVSWKTVSEVTDDKKVMKKILKEGEGYERPNEGAVVRVKLVGKLQDGTVFLKKGQDEGQELFEFRTDEEQVIDGLDKAVMTMKKGEVALLTIAPEYAFGSSGSKQELASVPPNSTVYYEVEMVSFIKDKESWDMNTPEKIEAAGKKKEEGNVLFKSGKYARASKRYEKAVKYIDYDSSFSEEEKKQAKALKVACNLNNAACKLKLKDFKQAEKLCTKVLEIESSNVKALYRRAQAYIHLADLDLAEFDVKKALEIDPDNREIKMEYKLLKEKMKEYNKKEAKFYGNMFAKMNKTAPKEPAPMTIDSKA >KJB42560 pep chromosome:Graimondii2_0_v6:7:13748946:13751383:1 gene:B456_007G157600 transcript:KJB42560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFDIPLVEDVNDDIDLPGDVPTLKVGEEKEIGKQGLKKKLVKEGEGWENPETGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFALGQGQVIKGWDEGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGLFKKILTGGEKWENPKDPDEVLVNYEAKLEDGTVVAKADGKEFTVMEGHFCPALAKAVKTMKKGEKVLLTVKPQYGFGEKGKPAAGAEGAVPPNATLQITLELVSWKTVSEVTDDKKVMKKILKEGEGYERPNEGAVVRVKLVGKLQDGTVFLKKGQDEGQELFEFRTDEEQVIDGLDKAVMTMKKGEVALLTIAPEYAFGSSGSKQELASVPPNSTVYYEVEMVSFIKVSGSVCLCKFFGCVSKLFFGSVLIVVLVICFCRIKNHGI >KJB42559 pep chromosome:Graimondii2_0_v6:7:13748708:13752480:1 gene:B456_007G157600 transcript:KJB42559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFDIPLVEDVNDDIDLPGDVPTLKVGEEKEIGKQGLKKKLVKEGEGWENPETGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFALGQGQVIKGWDEGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGLFKKILTGGEKWENPKDPDEVLVNYEAKLEDGTVVAKADGKEFTVMEGHFCPALAKAVKTMKKGEKVLLTVKPQYGFGEKGKPAAGAEGAVPPNATLQITLELVSWKTVSEVTDDKKVMKKILKEGEGYERPNEGAVVRVKLVGKLQDGTVFLKKGQDEGQELFEFRTDEEQVIDGLDKAVMTMKKGEVALLTIAPEYAFGSSGSKQELASVPPNSTVYYEVEMVSFIKVSGSVCLCKFFGCCINSGFGNLLLQDKESWDMNTPEKIEAAGKKKEEGNVLFKSGKYARASKRYEKAVKYIDYDSSFSEEEKKQAKALKVACNLNNAACKLKLKDFKQAEKLCTKVLEIESSNVKALYRRAQAYIHLADLDLAEFDVKKALEIDPDNREIKMEYKLLKEKMKEYNKKEAKFYGNMFAKMNKTAPKEPAPMTIDSKA >KJB42565 pep chromosome:Graimondii2_0_v6:7:13749473:13752480:1 gene:B456_007G157600 transcript:KJB42565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGQVIKGWDEGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGLFKKILTGGEKWENPKDPDEVLVNYEAKLEDGTVVAKADGKEFTVMEGHFCPALAKAVKTMKKGEKVLLTVKPQYGFGEKGKPAAGAEGAVPPNATLQITLELVSWKTVSEVTDDKKVMKKILKEGEGYERPNEGAVVRVKLVGKLQDGTVFLKKGQDEGQELFEFRTDEEQVIDGLDKAVMTMKKGEVALLTIAPEYAFGSSGSKQELASVPPNSTVYYEVEMVSFIKDKESWDMNTPEKIEAAGKKKEEGNVLFKSGKYARASKRYEKAVKYIDYDSSFSEEEKKQAKALKVACNLNNAACKLKLKDFKQAEKLCTKVLEIESSNVKALYRRAQAYIHLADLDLAEFDVKKALEIDPDNREIKMEYKLLKEKMKEYNKKEAKFYGNMFAKMNKTAPKEPAPMTIDSKA >KJB42562 pep chromosome:Graimondii2_0_v6:7:13748708:13752480:1 gene:B456_007G157600 transcript:KJB42562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFDIPLVEDVNDDIDLPGDVPTLKVGEEKEIGKQGLKKKLVKEGEGWENPETGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFALGQGQVIKGWDEGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGLFKKILTGGEKWENPKDPDEVLVNYEAKLEDGTVVAKADGKEFTVMEGHFCPALAKAVKTMKKGEKVLLTVKPQYGFGEKGKPAAGAEGAVPPNATLQITLELVSWKTVSEVTDDKKVMKKILKEGEGYERPNEGAVVRVKLVGKLQDGTVFLKKGQDEGQELFEFRTDEEQVIDGLDKAVMTMKKGEVALLTIAPEYAFGSSGSKQELASVPPNSTVYYEVEMVSFIKVKSWDMNTPEKIEAAGKKKEEGNVLFKSGKYARASKRYEKAVKYIDYDSSFSEEEKKQAKALKVACNLNNAACKLKLKDFKQAEKLCTKVLEIESSNVKALYRRAQAYIHLADLDLAEFDVKKALEIDPDNREIKMEYKLLKEKMKEYNKKEAKFYGNMFAKMNKTAPKEPAPMTIDSKA >KJB44905 pep chromosome:Graimondii2_0_v6:7:47683353:47687289:1 gene:B456_007G278900 transcript:KJB44905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHDLILGQSHNLALRQNQPLVLGHDHNLGLTQNHDLELGQTHERHLGLGQDHDLGLGHSHDHELDLGQRHDQEEDVGHSYGHDNELANDRKPDHGDNELVLSEHNELTVSENQELDDSLALAVDDSQEMGIDPVRDLQHSQMVVSLPPVLQVRNSNPTYELAVGQEFPDVKSCRRALRDAAIALHFEMQTIKSDKTRFTAKCASEGCPWRIHAAKLPGVPTFTIRTIHETHTCGGIAHLGHQQASVQWVANTVEQRLRENPNYKPKEILEEIHRVHGITLSYKQAWRGKERIMAAMRGSFEEGYRLLPQYCEQIKRTNPGSIASVYGNPTDNCFQRLFISFQASIYGFLNACRPLLGLDRTYLKSKYLGTLLLATGFDGDGALFPLAFGVVDEENDENWMWFLSELHNLLEINTENMPRLTILSDRQKGVVDGVEANFPTAFHGFCMRHLSESFRKEFNNTMLVNLLWEAAHALTVIEFEAKILEIEEISQDAAYWIRRIPPRLWATAYFEGTRFGHLTANIVESLNSWILEASGLPIIQMMECIRRQLMTWFNERRETSMQWTSILVPSAERRVAEALEYARTYQVLRANEAEFEVISREGTNIVDIRNRCCLCRGWQLYGLPCAHAVAALLSCRQNVHRFTESCFTVATYRKAYSQTIHPIPDKSLWKELSEGDPNANKAVQVVIHPPKSLKPPGRPRKKRVRAEDRGREKRVVHCSRCNQTGHFRTTCAAPI >KJB46089 pep chromosome:Graimondii2_0_v6:7:57911177:57917510:1 gene:B456_007G349000 transcript:KJB46089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRKLFDTFFGNSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKNEFQTIINDPFMLNSVILVFANKQDMKGAMTPMEVCEGLGLFDLKNRKWHIQGTCALRGDGLYEGLDWLASTLKAMRAAGYSSIGSSSFS >KJB46090 pep chromosome:Graimondii2_0_v6:7:57911136:57917575:1 gene:B456_007G349000 transcript:KJB46090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRKLFDTFFGNSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKNEFQTIINDPFMLNSVILVFANKQDMKGAMTPMEVCEGLGLFDLKNRKWHIQGTCALRGDGLYEGLDWLASTLKAMRAAGYSSIGSSSFS >KJB41874 pep chromosome:Graimondii2_0_v6:7:10023911:10024520:1 gene:B456_007G125500 transcript:KJB41874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCKISNQGTIVDRIDYNIQNVATSVEEGFKQLQKAEPTRKKGGMVLCATVLVILCFIMI >KJB44236 pep chromosome:Graimondii2_0_v6:7:34556771:34557445:1 gene:B456_007G241400 transcript:KJB44236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELVNLKQQGSIFSLKSNNFGVFSNTLHYGALNMSIGATMIVSSGTGCLDTGQFMYQKGATFGAPLGNGQIENWADSGLANNSQQTDTSTDVDTDHTKQLPRVQHGAVMVNTVDQPKSKNGDQKTLRRLAQNQEAARKSRLRKKAYVQQLESSRLRLTELEQELERTQQQGIFIASGLSGDHGHTVAGNAALAFDMEYGRWFDEHQRLKLCNNLIHRMINYGR >KJB39453 pep chromosome:Graimondii2_0_v6:7:1110506:1116861:-1 gene:B456_007G014200 transcript:KJB39453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLWTMFCGDFTCSYSEGKLCHSIFWHFTHPSSCINQGTITCFNIVLLIMLLFNMIQKPLPKPVAVPARFRNYSALQMASAIVNGCLGMVYLCLGIWILIENVRKTQTVLPMNWWLLALFRGCTWLVVGLIVSLKGHQLPKTPLRILSILTFIFAAIICILSIFAAILNGIVTITIVLDALSLPGAILLLLCAYKGYGYEDGDQNTNESGLYDPLSADHNGSTKAACTGQVTQFATAGCFSKLSFWWLNPLMKKGGEKTLQDEDIPKLSEAERAESCYLLFSYQLNKQMQSKPSSQASILKTIILCHRREIFVSGFFALLKIVTVSSGPLLLNAFILVAEGKGNFKYEGYLLAIALFFAKSLESLSQRQWYFRTKLIGLKVRSLLTAAIYKKQLRLSNAARLMHSSGEITNYVTVDAYRIGEFPFWFHQTWTTSLQLCIALVILFQAVRLAMIAALVAIILTVLCNTPLAKLQHRFQSKLMGAQDERLKASSEALINMKALKLYAWENHFKKVIENLRAEEYKWLSAVQLRKAYNSFLFWSSPVLVSAATFAACYYLKIPLHASNVFTFVATLRLVQDPVKSIPDVIGIVIQAKVAFERVVKFLEAPELQNANVRQRCDMENNDLSISIKSGDFSWEENSSKPNLRNITLEIRMGEKVAICGEVGSGKSTLLATILGEIPNVQGSIQVFGKIAYVSQTAWIQTGTIQDNILFGSAMDRQRYEETLERCSLVKDLQSFPYVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATSLFNEYVMEALSGKAVLLVTHQVDFLPAFDSVLLMSDGEILQAAPYHHLLDSSREFQDLVNAHKETAGYGSVVEARSSVSNGTSTREIKKSYVEKLFKPSKGGQLIKQEEREKGDSGFKPYIQYLNKNKGFLLFSISVLTHILFMGGQILQNSWMAANVDSPNVSTLKLIEVYLVIGVFSTLFLLFRSLSIVTLGISSSKSLFSHLLNSLFRAPMSFYDSTPLGRILSRVSSDLSIVDIDIPFSLIFTIAATVNTCSNLAVLAVVTWQVLFVSIPIIYVAIRLQKYYFSTAKELMRINGTTKSLVANHLAESIAGAITIRAFDEEERFFAKCLDLIDTNASPFFHSFSANEWLVQRLETLSYVVLASAALCMVLLPSGTFSSGFIGLALSYGLSLNLSLVSSIYYQCTIENHIISVERINQYMYIPSEAPEIIEENRPPYNWPAMGKVDICDLQIGIVGRTGSGKTTLISALFRLVEPAAGKIVVDGIDISTIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHTDQEIWEVLDKCQLREAVQEKEEGLYSLVVEDGSNWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDLILQRTICAEFADCTVITVAHRIPTVMDCTMVLAISDGKLMEYDEPTKLMEREGSLFGQLVKEYWSQYHSAESR >KJB40327 pep chromosome:Graimondii2_0_v6:7:4127198:4128532:1 gene:B456_007G057900 transcript:KJB40327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQDLSPPSHMDASRPSLGFPLGTALLLIIIFSLSGFFSCCYHWGKFRSLRRSFTDAAAVPDDDIEASPSKPKPNCMDLKRNQSESLPVLMPGDEIPKFIALPCPCEPPREAKVVIEVQKTPKSPRFPVPLY >KJB44366 pep chromosome:Graimondii2_0_v6:7:38657119:38658073:-1 gene:B456_007G248300 transcript:KJB44366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETKQGDARIYIVSTIFFLCICTGGAFLCLYMLQPKAESASWYPVVGIVLIGIPWIFWIATYLYRSFEDCVCGSNGGNLNRELSSLTKKQSCAADGHVGNSMDSLENEGSPLGSPDGDHRRVPFGNIVNKHGGDSPRKGARPL >KJB40654 pep chromosome:Graimondii2_0_v6:7:5167170:5168775:-1 gene:B456_007G072900 transcript:KJB40654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKILSPHPHAIYSFTLPSKFTSTHTYHLHQPWLGRVSTPSRSQRHHLRRLSLQQTIVSSSALMDHLQHYQQNPDSMFFLAEAAGYSLSSYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTFIGEGAEKKAPNQVAAEILSFFTRNNFVVTDRGETITFEGMMVPSRGQAALLTFCTCISLASVALVLTITFPDVGNNWFWITVLSPLAGAYYWKRASRKEQIKVKMLVTDDGTVNEIVVQGDDQQVDQMRKELKLSEKGMVYVKGLFER >KJB40655 pep chromosome:Graimondii2_0_v6:7:5167182:5168706:-1 gene:B456_007G072900 transcript:KJB40655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKILSPHPHAIYSFTLPSKFTSTHTYHLHQPWLGRVSTPSRSQRHHLRRLSLQQTIVSSSALMDHLQHYQQNPDSMFFLAEAAGYSLSSYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTFIGEGAEKKAPNQVAAEILSFFTRNNFVVTDRGETIT >KJB40656 pep chromosome:Graimondii2_0_v6:7:5167187:5168706:-1 gene:B456_007G072900 transcript:KJB40656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKILSPHPHAIYSFTLPSKFTSTHTYHLHQPWLGRVSTPSRSQRHHLRRLSLQQTIVSSSALMDHLQHYQQNPDSMFFLAEAAGYSLSSYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTFIGEGAEKKAPNQVAAEILSFFTRNNFVVTDRGETITFEGMMVPSRGQAALLTFCTCISLASVALVLTITFPDVGNNWFWITVLSPLA >KJB40518 pep chromosome:Graimondii2_0_v6:7:4751188:4751786:1 gene:B456_007G067400 transcript:KJB40518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSRCMQLKQNIRNCTSTIFLRTRKIIIGPHSIIIAILSVNLKEISESRFTDPRCRKTISLKLLNYFGIFTVAVSMQVGTLSKRKMDRREE >KJB39368 pep chromosome:Graimondii2_0_v6:7:729655:731730:1 gene:B456_007G009300 transcript:KJB39368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNSSSDAIYSTTKTNSSSSSLQLQPFSFLWLLLFIVSLQVTILIMARAVPFYNRRTHFPNPVSDPCSSGRIFVYNLPLVFNQELLDNCHELDPWNSRCEALSNGGLGRKATGLSGVVPEELIPAWYWTDQFAMEVIYHNRILNHKCRTMEPDSATAFYIPFYAGLAVGKYLWSNYTTKDRDRYCEMILDWVRDQPYWNRSDGWDHFTTMGRITWDFRRSKNEDWGSRCIYMPGMRNITRLLIERNPWDYFDVGVPYPSGFHPRSDSDIFQWQYFVRNRQRDTLFCFAGAPRGAVKNDFRGLLLNQCMNASGLCRVVDCAGTRCSNGTSAILETFLDSDFCLQPRGDSFTRRSIFDCMVAGSIPVFFWHRTAYLQYQWFLPNDPKSYSVFIHRDEVKNGTSIQSVLQRYSREEVKKMREKVIEFIPKIIYAKPENGLESIKDAFDVAIDGVLKRNKEHEQPGYKWK >KJB42761 pep chromosome:Graimondii2_0_v6:7:14893247:14894614:-1 gene:B456_007G167400 transcript:KJB42761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCKSSIALNLTDRVGSSYPPRVSGEIHVIIGPMFAGKTTSLLRRIRSERNNGRNVAMIKSSKDTRYAIDSVVTHDGVKFPCWALPDLTSFRHNVGEDAYEKLDVIGIDEAQFFEDLYDFCCKVADNDGKTVILSGLDGDYLRSFGSVLDIIPLADTVTKLTARCEVCGKKAFFTFRKTAATQTELIGGADLYMPVCRQHYVNGQTVVETSRIVLESTSVHHQTTQLSCLEAATAAVLQSQ >KJB42762 pep chromosome:Graimondii2_0_v6:7:14893247:14894700:-1 gene:B456_007G167400 transcript:KJB42762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCKSSIALNLTDRVGSSYPPRVSGEIHVIIGPMFAGKTTSLLRRIRSERNNGRNVAMIKSSKDTRYAIDSVVTHDGVKFPCWALPDLTSFRHNVGEDAYEKLDVIGIDEAQFFEDLYDFCCKVADNDGKTVILSGLDGDYLRRSFGSVLDIIPLADTVTKLTARCEVCGKKAFFTFRKTAATQTELIGGADLYMPVCRQHYVNGQTVVETSRIVLESTSVHHQTTQLSCLEAATAAVLQSQ >KJB39677 pep chromosome:Graimondii2_0_v6:7:2039552:2042416:-1 gene:B456_007G029600 transcript:KJB39677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANKVVSYETAKAFADDIGIPFLETSAKDATNVEEAFMAMAASIKNRMASQPGMNNARPPTVNIKGQPVNQNSGCCSS >KJB39675 pep chromosome:Graimondii2_0_v6:7:2039552:2042378:-1 gene:B456_007G029600 transcript:KJB39675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANKVVSYETAKAFADDIGIPFLETSAKDATNVEEAFMAMAASIKNRYDG >KJB39676 pep chromosome:Graimondii2_0_v6:7:2039552:2042378:-1 gene:B456_007G029600 transcript:KJB39676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANKVVSYETAKAFADDIGIPFLETSAKDATNVEEAFMAMAASIKNRMASQPGMNNARPPTVNIKGQPVNQNSGCCSS >KJB39678 pep chromosome:Graimondii2_0_v6:7:2040337:2042378:-1 gene:B456_007G029600 transcript:KJB39678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANKVVSYETAKAFADDIGIPFLETSAKDATNVEEAFMAMAASIKNRYV >KJB39674 pep chromosome:Graimondii2_0_v6:7:2039552:2042378:-1 gene:B456_007G029600 transcript:KJB39674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANKVVSYETAKVIKSIAHTNPSQIYTVLGIEKKSSTSHHLFLVFLLYRLLLMTLGFLSWKQVQRMPLMLKRLSWPWLLQSRIGWLANQA >KJB42531 pep chromosome:Graimondii2_0_v6:7:13543613:13545482:-1 gene:B456_007G156800 transcript:KJB42531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLASGLPAIVVGSLGLFILYNLWWTICSHKTEGKLLVRQPPGALPFIGHLHLLRAQQTGARTLAALADKYGPVFTIRLGRSHACVVSSPEAVKDCFTINDKVFADRPRSNAGTYLAYDHAGFGFASYGTYWLEIRKLAVVELFSVHRLALLKQVRASEVNAFIKNLYLFCKKNEQVPNQNISVGPRLEVLVVNMMVRMIAGKRYFTGADGEVHEEAKHLIKLIKEFASVLATTAVSEVFPFLKWMDKWSNQVKSMKRISKEMESLIETWVDEHKLKKLKTEENNSNQDFIDVMLSTIKDGHAMSGHTREKIIKATITMLIIAGIDTTAIAMTWILSNLMNNRHALKRAQQELDLKIGRDRWAEDSDMEKLNYLQAIIKETFRLYPPVPMLMPHVLREDCCVSGYHIPQGTRLFVNAWKLHRDPRVWSNPEEFEPERFLTSHRNVNVLGRNFELTPFGSGRRSCPGIKWTLQAVHLTMARLLQGFDLTTPLDAPVDMTESQGASATMPKATPLELVLAPRLPPHLYHL >KJB46270 pep chromosome:Graimondii2_0_v6:7:58627654:58634551:-1 gene:B456_007G355600 transcript:KJB46270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFQRFFRSRHTPVISTGSLKLDLALGIGGLPKGRMVEIYGREASGKTTLALHIIKEAQKRGGYCAYFDVENAMDPSLAEAIGVNTQNLLISLPDCAENLLCAVDTLTKSGSVDVIVVDSVAALVPQCEIDGSIGDNKRDVQARIMTQALRKISSSLCRSNTLILFLNQVRYNSKQGQAFGHMDEITCGGNALKFYSAIRLRMIRTGLLKNEDKVTGLGVCVQVVKNKLAPVMQKAELGIQFGRGFCRESEVLELACEYGIINKEGSNYYIEGRIFSGKQEAERYLAEKDGVLENIAMDLRTILFQRKM >KJB46271 pep chromosome:Graimondii2_0_v6:7:58628130:58634406:-1 gene:B456_007G355600 transcript:KJB46271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISFNSIVLNAMSRSPRLFPKFTRRDAITFVGASTRHLSSVGDVSEYQFDEFHDDNKEIKKDGALREALSQLAGDFGRESMLSFQRFFRSRHTPVISTGSLKLDLALGIGGLPKGRMVEIYGREASGKTTLALHIIKEAQKRGGYCAYFDVENAMDPSLAEAIGVNTQNLLISLPDCAENLLCAVDTLTKSGSVDVIVVDSVAALVPQCEIDGSIGDNKRDVQARIMTQALRKISSSLCRSNTLILFLNQVRYNSKQGQAFGHMDEITCGGNALKFYSAIRLRMIRTGLLKNEDKVTGLGVCVQVVKNKLAPVMQKAELGIQFGRGFCRESEVLELACEYGIINKEGSNYYIEGRIFSGKQEAERYLAEKDGVLENIAMDLRTILFQRKM >KJB40625 pep chromosome:Graimondii2_0_v6:7:5024878:5027523:-1 gene:B456_007G071500 transcript:KJB40625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDVQTGGGARSSSSSYMASKSPSQAVAAGIFQINTAVAAFRRLVDAIGTAKDTPDHRLKLHNTRQRILQLVKETSAKLKALTEPDHDPTVNPSKKVEDAKLARDFQNTLQEFQKVQQLASERESTYSPAPPPPSLPATSGSDESLPQEKQRLLMEQRRQEVILLDNEIGFNEAMIDEREQGIREVEEQIGQVNEIFKDLAVLAHEQGVVIDDISSNIDASSVSTTQARGQLAKASKSVKPRTSWIKS >KJB40622 pep chromosome:Graimondii2_0_v6:7:5025335:5027404:-1 gene:B456_007G071500 transcript:KJB40622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDVQTGGGARSSSSSYMASKSPSQAVAAGIFQINTAVAAFRRLVDAIGTAKDTPDHRLKLHNTRQRILQLVKETSAKLKALTEPDHDPTVNPSKKVEDAKLARDFQNTLQEFQKVQQLASERESTYSPAPPPPSLPATSSGSDESLPQEKQRLLMEQRRQEVILLDNEIGFNEAMIDEREQGIREVEEQIGQVNEIFKDLAVLAHEQGVVIDDISSNIDASSVSTTQARGQLAKASKSVKPRTSWIKS >KJB40626 pep chromosome:Graimondii2_0_v6:7:5025159:5027523:-1 gene:B456_007G071500 transcript:KJB40626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDVQTGGGARSSSSSYMASKSPSQAVAAGIFQINTAVAAFRRLVDAIGTAKDTPDHRLKLHNTRQRILQLVKETSAKLKALTEPDHDPTVNPSKKVEDAKLARDFQNTLQEFQKVQQLASERESTYSPAPPPPSLPATSGSDESLPQEKQRLLMEQRRQEVILLDNEIGFNEAMIDEREQGIREVEEQIGQVNEIFKDLAVLAHEQGVVIDDISSNIDASSVSTTQARGQLAKASKSVKPRTSWVSRKLLISRLLLDMKCLIFVVEILVRKCDLQR >KJB40628 pep chromosome:Graimondii2_0_v6:7:5025433:5027523:-1 gene:B456_007G071500 transcript:KJB40628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDVQTGGGARSSSSSYMASKSPSQAVAAGIFQINTAVAAFRRLVDAIGTAKDTPDHRLKLHNTRQRILQLVKETSAKLKALTEPDHDPTVNPSKKVEDAKLARDFQNTLQEFQKVQQLASERESTYSPAPPPPSLPATSGSDESLPQEKQRLLMEQRRQEVILLDNEIGFNEAMIDEREQGIREVEEQIGQVNEIFKDLAVLAHEQGVVIDDISSNIDASSVSTTQARGQLAKASKSVKPRTSWVSRKLLISRLLLDMKCLIFVVEILVRKCDLQR >KJB40623 pep chromosome:Graimondii2_0_v6:7:5025078:5027404:-1 gene:B456_007G071500 transcript:KJB40623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDVQTGGGARSSSSSYMASKSPSQAVAAGIFQINTAVAAFRRLVDAIGTAKDTPDHRLKLHNTRQRILQLVKETSAKLKALTEPDHDPTVNPSKKVEDAKLARDFQNTLQEFQKVQQLASERESTYSPAPPPPSLPATSSGSDESLPQEKQRLLMEQRRQEVILLDNEIGFNEAMIDEREQGIREVEEQIGQVNEIFKDLAVLAHEQGVVIDDISSNIDASSVSTTQARGQLAKASKSVKPRTSWCWWVLVILVVLLVIFLLILII >KJB40627 pep chromosome:Graimondii2_0_v6:7:5025900:5027404:-1 gene:B456_007G071500 transcript:KJB40627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDVQTGGGARSSSSSYMASKSPSQAVAAGIFQINTAVAAFRRLVDAIGTAKDTPDHRLKLHNTRQRILQLVKETSAKLKALTEPDHDPTVNPSKKVEDAKLARDFQNTLQEFQKVQQLASERESTYSPAPPPPSLPATSGSDESLPQEKQRLLMEQRRQEVILLDNEIGFNEAMIDEREQGIREVEEQIGQVNEIFKDLAVLAHEQGVVIGKMPNTPIEIDYSFMHLDLSSDCFNITDDISSNIDASSVSTTQARGQLAKASKSVKPRTSWVSRKLLISRLLLDMKCLIFVVEILVRKCDLQR >KJB40624 pep chromosome:Graimondii2_0_v6:7:5024741:5027558:-1 gene:B456_007G071500 transcript:KJB40624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDVQTGGGARSSSSSYMASKSPSQAVAAGIFQINTAVAAFRRLVDAIGTAKDTPDHRLKLHNTRQRILQLVKETSAKLKALTEPDHDPTVNPSKKVEDAKLARDFQNTLQEFQKVQQLASERESTYSPAPPPPSLPATSGSDESLPQEKQRLLMEQRRQEVILLDNEIGFNEAMIDEREQGIREVEEQIGQVNEIFKDLAVLAHEQGVVIDDISSNIDASSVSTTQARGQLAKASKSVKPRTSWCWWVLVILVVLLVIFLLILII >KJB44275 pep chromosome:Graimondii2_0_v6:7:36068171:36072001:-1 gene:B456_007G243300 transcript:KJB44275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSLQPVTLSICLLNQSTFFFSFSLCHSFHCSQLVLLLLKEFALEFQRNIINGHECQQCLFLSFELQGTQPNKSGCFRGFCHYRCSQNRYRRQIEVRRIGAWSWGDTSYWNNFQWDDQKLKAAKAAFNGSCGITFFDTAEVYGSPLALGAENSETLLGRFIKERENDNLGEEVVVATKFAALPWRFGRQSVISALKDSLNRLGLSSVDLYQLHWPGIWGNEGYIDDLGDAVEQGLVKAVGVSNYSEKRLRDAYERLKKRGIPLASNQVNYSLIYRLPEQNGVKAAWGHVDCLFSYCPRCPYREI >KJB44273 pep chromosome:Graimondii2_0_v6:7:36069528:36072001:-1 gene:B456_007G243300 transcript:KJB44273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSLQPVTLSICLLNQSTFFFSFSLCHSFHCSQLVLLLLKEFALEFQRNIINGHECQQCLFLSFELQGTQPNKSGCFRGFCHYRCSQNRYRRQIEVRRIGAWSWGDTSYWNNFQWDDQKLKAAKAAFNGSCGITFFDTAEVYGSPLALGAENSETLLGRFIKERENDNLGEEVVVATKFAALPWRFGRQSVISALKDSLNRLGLSSVDLYQLHWPGIWGNEGYIDDLGDAVEQGLVKAVGVSNYSEKRLRDAYERLKKRGIPLASNQVNYSLIYRLPEQNGVKAAWGHVDCLFSYCPRCPYREI >KJB44274 pep chromosome:Graimondii2_0_v6:7:36068170:36072001:-1 gene:B456_007G243300 transcript:KJB44274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSLQPVTLSICLLNQSTFFFSFSLCHSFHCSQLVLLLLKEFALEFQRNIINGHECQQCLFLSFELQGTQPNKSGCFRGFCHYRCSQNRYRRQIEVRRIGAWSWGDTSYWNNFQWDDQKLKAAKAAFNGSCGITFFDTAEVYGSPLALGAENSETLLGRFIKERENDNLGEEVVVATKFAALPWRFGRQSVISALKDSLNRLGLSSVDLYQLHWPGIWGNEGYIDDLGDAVEQGLVKAVGVSNYSEKRLRDAYERLKKRGIPLASNQVNYSLIYRLPEQNGVKAAWGHVDCLFSYCPRCPYREI >KJB46411 pep chromosome:Graimondii2_0_v6:7:59923074:59923439:1 gene:B456_007G366300 transcript:KJB46411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRHFSPTSLAILFESTILLSIPATDSARSCIKSCILHVSPSLEYLSPTSWKKLISTKSLKYPNPISSRD >KJB39495 pep chromosome:Graimondii2_0_v6:7:1266969:1269859:-1 gene:B456_007G016400 transcript:KJB39495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPSSQTPDYLISPITTPVPPSPPPPPTKPNQFLSLISNRPSLRVTSEFDSDSRVFFHKVSCKLFDDLAKLKLSFVNNVKREISGSLLALTSKHLSIHYDPEEQNALIKTSFDVGPKLQFKAAHDVKAKRGEVAMVANVADPGYAVEVSSPVPYIGLPKATIRFPTGEVTLEEREDEEVPRKLLINGILKGPILNGVGAAHYTDEELRLRYSYKDESLSFIPSISLPSNAVSFAFKRRFSPSDKLSYWYNFDSNCWSAVYKHTYDKDFKFKAGYDSEVRLGWASLWVGEENGKAKTAPMKMKVQFMLQVPQDDIKSSALMFRVKKRWDIL >KJB45785 pep chromosome:Graimondii2_0_v6:7:54686251:54690716:-1 gene:B456_007G3277002 transcript:KJB45785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KIPLVIGNMTSLQILSLFNNKLTGEIPSTIENLTTLQIIGLSNNNLSGRIPTPPPLLQSYEASNNSLIGEIPSSICSLTSLMVMLLDENNLEGAIPKCIGNLSSSLIAVNLGNNNFHGQIPENFAKGCMLRSLRIDNNELEGSLPRSLGNCKGLNLLNVGNNNLNDTFPSWLGNLDQLQVLILRSNRFYGQIESFDITVPFTKLRIIDLSHNNFSGYLPTPFFEHMHAIRDEYGKKVEPNYMREVKMKKFIIFEMNYADGLSITAKGLEIKFESLLSIWTVIDLSSNQFRGEIPKILGELHLLIVLNLSHNCLMGPIPSSLGNLSELESLDLSSNKLEGRIPTELKNLGFLEVLNLSQNNLKGPIPQGKQFDTFTNDSYMGNLDLCGLPLSKNCGTDEETPAIFDRDDDGDELNWKFSILMGYGCALVLGMSMAYIVFTTGKPGWLIWIVERVQHRFAKR >KJB45784 pep chromosome:Graimondii2_0_v6:7:54686251:54689717:-1 gene:B456_007G3277002 transcript:KJB45784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIPSTIENLTTLQIIGLSNNNLSGRIPTPPPLLQSYEASNNSLIGEIPSSICSLTSLMVMLLDENNLEGAIPKCIGNLSSSLIAVNLGNNNFHGQIPENFAKGCMLRSLRIDNNELEGSLPRSLGNCKGLNLLNVGNNNLNDTFPSWLGNLDQLQVLILRSNRFYGQIESFDITVPFTKLRIIDLSHNNFSGYLPTPFFEHMHAIRDEYGKKVEPNYMREVKMKKFIIFEMNYADGLSITAKGLEIKFESLLSIWTVIDLSSNQFRGEIPKILGELHLLIVLNLSHNCLMGPIPSSLGNLSELESLDLSSNKLEGRIPTELKNLGFLEVLNLSQNNLKGPIPQGKQFDTFTNDSYMGNLDLCGLPLSKNCGTDEETPAIFDRDDDGDELNWKFSILMGYGCALVLGMSMAYIVFTTGKPGWLIWIVERVQHRFAKR >KJB40693 pep chromosome:Graimondii2_0_v6:7:5217098:5217846:1 gene:B456_007G073700 transcript:KJB40693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLRAFVNSPIGPKTTHFWGPVFNWSLPIAAFLDTKKPPEVISGNMTAVMCGYSALFMRFAWVVQPRNLHLLVCHACNETVQLYQLSRWIKAQQ >KJB40692 pep chromosome:Graimondii2_0_v6:7:5216979:5218151:1 gene:B456_007G073700 transcript:KJB40692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLRAFVNSPIGPKTTHFWGPVFNWSLPIAAFLDTKKPPEVISGNMTAVMCGYSALFMRFAWVVQPRNLHLLVCHACNETVQLYQLSRWIKAQQNSQKKDETEAPNSNKDD >KJB44677 pep chromosome:Graimondii2_0_v6:7:43955104:43956459:1 gene:B456_007G265000 transcript:KJB44677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRATAAFHNVEQRKRKHRAKSSKVMMKLIRETRRSYGKQGRKKKNKNKKIQFSSREISGLGRSSRVAGKKLRQHHLRRRLKRMETELEKMKAEMRDSAESLMAAKVEADQAEAKLQVLKLKLLAMKEIEAFLMGFL >KJB41379 pep chromosome:Graimondii2_0_v6:7:7543864:7545168:-1 gene:B456_007G101700 transcript:KJB41379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVGYTEVFLPKLFVHMLWFLGFIRCLIVTLFSYLGLSDFLEPDTVWPDNRTRITPENPPVSALLIREILPVIKFEELVVVGDPPESCAVCLYEFEGGEEIRWLRNCRHVFHRACLDRWMDHDQKTCPLCRTPFVPDELQDEFNQRLWSASGVGDLHSEYVSVPGL >KJB41748 pep chromosome:Graimondii2_0_v6:7:9316665:9321743:-1 gene:B456_007G118400 transcript:KJB41748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVLIWVLSLWSIVGIGWSRSEDGLQELKAKDRLLSFLEKDAVSPGANSLMIPLTLIQGAASKGAVCLDGTPPGYHLDRGFGSGSNSWLIQLEGGGWCNTVRSCVFRKTTRRGSSKFMEKRLNFTGILSNKAEENPDFYNWNRVKLRYCDGASFAGEGQNEANQLYFRGQRIWSAAMEELMAKGMQNAEQALLSGCSAGGLASILHCDEFKDMFPSTTKVKCLSDAGVFLDATNVAGGHTLRDMYRGVVTLQGVQKNLPNTCTSQMDPTSCFFPQNLIANIQTPLFLLNAAYDAWQVQESLIPSSADPHSFWRDCKMDHSHCNSSQMLFLQDFRNQMLSGINGFSMSNQNGLFINSCFAHCQSERQDTWYANDSPRIGNKAIAASVGDWFFDRTATKAIDCPYPCDNTCHNLIFNDVTSTITISESTRLTFTPLNLLNAFLITLICVPNASWGFSLDSD >KJB41749 pep chromosome:Graimondii2_0_v6:7:9317539:9320916:-1 gene:B456_007G118400 transcript:KJB41749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVLIWVLSLWSIVGIGWSRSEDGLQELKAKDRLLSFLEKDAVSPGANSLMIPLTLIQGAASKGAVCLDGTPPGYHLDRGFGSGSNSWLIQLEGGGWCNTVRSCVFRKTTRRGSSKFMEKRLNFTGILSNKAEENPDFYNWNRVKLRYCDGASFAGEGQNEANQLYFRGQRIWSAAMEELMAKGMQNAEQALLSGCSAGGLASILHCDEFKDMFPSTTKVKCLSDAGVFLDATNVAGGHTLRDMYRGVVTLQGVQKNLPNTCTSQMDPTSCFFPQNLIANIQTPLFLLNAAYDAWQVQESLIPSSADPHSFWRDCKMDHSHCNSSQMLFLQDFRNQMLSGINGFSMSNQNGLFINSCFAHCQSERQDTWYANDSPRIGNKAIAASVGDWFFDRTATKAIDCPYPCDNTCHNLIFK >KJB42921 pep chromosome:Graimondii2_0_v6:7:49956631:49958410:-1 gene:B456_007G291700 transcript:KJB42921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVQLQRQFVDYKTSLYHEGFLDEQFTQLQELQDENNPDFVVEVVSLFFEDSERLLNELARALEQQNIDYKRIDAHVHQLKGSSSSIGAQRVQKVCIAFRNYCEEQNVEGCLKCLQQVRHEYSLVKTKLESLFQLEQQILTAGGSFPM >KJB42922 pep chromosome:Graimondii2_0_v6:7:49956946:49958410:-1 gene:B456_007G291700 transcript:KJB42922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVQLQRQFVDYKTSLYHEGFLDEQFTQLQELQDENNPDFVVEVVSLFFEDSERLLNELARALEQQNIDYKRIDAHVHQLKALALREFRKSA >KJB42918 pep chromosome:Graimondii2_0_v6:7:49956631:49958410:-1 gene:B456_007G291700 transcript:KJB42918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVQLQRQFVDYKTSLYHEGFLDEQFTQLQELQDENNPDFVVEVVSLFFEDSERLLNELARALEQQNIDYKRIDAHVHQLKALALREFRKSA >KJB42917 pep chromosome:Graimondii2_0_v6:7:49956613:49958534:-1 gene:B456_007G291700 transcript:KJB42917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVQLQRQFVDYKTSLYHEGFLDEQFTQLQELQDENNPDFVVEVVSLFFEDSERLLNELARALEQQNIDYKRIDAHVHQLKGSSSSIGAQRVQKVCIAFRNYCEEQNVEGCLKCLQQVRHEYSLVKTKLESLFQLEQQILTAGGSFPM >KJB42920 pep chromosome:Graimondii2_0_v6:7:49956631:49958410:-1 gene:B456_007G291700 transcript:KJB42920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVQLQRQFVDYKTSLYHEGFLDEQFTQLQELQDENNPDFVVEVVSLFFEDSERLLNELARALEQQNIDYKRIDAHVHQLKGSSSSIGAQRVQKVCIAFRNYCEEQNVEGLNSRS >KJB42919 pep chromosome:Graimondii2_0_v6:7:49957192:49958119:-1 gene:B456_007G291700 transcript:KJB42919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVQLQRQFVDYKTSLYHEGFLDEQFTQLQELQDENNPDFVVEVVSLFFEDSERLLNELARALEQQNIDYKRIDAHVHQLKGSSSSIGAQRVQKVCIAFRNYCEEQNVEG >KJB46234 pep chromosome:Graimondii2_0_v6:7:58413029:58420993:1 gene:B456_007G353300 transcript:KJB46234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYTERLELIQDLRFETATSKIKITPDGEYLIASGIYPPQVKVYELRQFSMKFERHLDSEIIDFQILDEDYSKLAFLCADRSVNLHAKYGKHYSLRIPRMGRDIAYDCWSCDLLCAASSPDLYRINLEQGRFLSSLNTQSPALNVVSRSKFHGLVACGGEDGAVQCFDMRMKTSIGRINAVSPAGDAEEEVTAIGFDESGGFLMGVGSSAGKVLIYDLRSSSPIRVKDHMYGSPILDIKWHNTLNFDQPKLITTDTHIVKIWDPETGEGMTSIEPTAGAINDICVFNDSGLMLLALDASQIPAYFIPALGPVPKWCSSLESLTEELEEGGQTSIYDNYKFLTKEDLEKLNLTNLIGTNLLRAYMHGFFIDFRLYKKAKALADPFAYETYIEQRKQEKLEAERRNRITMKRKIPKVKVNQELAERIVENEEAENTKKDIDDNESKKTSKKKKKGAFSTEIFKDERFAQMFENKEYEIDEQSQEYLALHPMASKKQPSMVEEHFEPVVENDDQSSSDSDASEAYQSSEDLGVNHKTKKSRGPRMYEVKDERHAEAFWNNISLAKEDSLPMGERVKALQDDQFSGLPNHVKLGPGGSRQISFITKSCAKYKEDDDDRMTRHEKRGVQSLGLKPDRSTFTGRGRGRGRGRGGGRGGGRGRGRRGRR >KJB46235 pep chromosome:Graimondii2_0_v6:7:58413029:58420998:1 gene:B456_007G353300 transcript:KJB46235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHGGKMKSVSINGVKMYTISSHQRSVAAWLSPKKQRSLRKDKNYTERLELIQDLRFETATSKIKITPDGEYLIASGIYPPQVKVYELRQFSMKFERHLDSEIIDFQILDEDYSKLAFLCADRSVNLHAKYGKHYSLRIPRMGRDIAYDCWSCDLLCAASSPDLYRINLEQGRFLSSLNTQSPALNVVSRSKFHGLVACGGEDGAVQCFDMRMKTSIGRINAVSPAGDAEEEVTAIGFDESGGFLMGVGSSAGKVLIYDLRSSSPIRVKDHMYGSPILDIKWHNTLNFDQPKLITTDTHIVKIWDPETGEGMTSIEPTAGAINDICVFNDSGLMLLALDASQIPAYFIPALGPVPKWCSSLESLTEELEEGGQTSIYDNYKFLTKEDLEKLNLTNLIGTNLLRAYMHGFFIDFRLYKKAKALADPFAYETYIEQRKQEKLEAERRNRITMKRKIPKVKVNQELAERIVENEEAENTKKDIDDNESKKTSKKKKKGAFSTEIFKDERFAQMFENKEYEIDEQSQEYLALHPMASKKQPSMVEEHFEPVVENDDQSSSDSDASEAYQSSEDLGVNHKTKKSRGPRMYEVKDERHAEAFWNNISLAKEDSLPMGERVKALQDDQFSGLPNHVKLGPGGSRQISFITKSCAKYKEDDDDRMTRHEKRGVQSLGLKPDRSTFTGRGRGRGRGRGGGRGGGRGRGRRGRR >KJB42373 pep chromosome:Graimondii2_0_v6:7:13072390:13074229:1 gene:B456_007G152800 transcript:KJB42373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILQWLFKVAHEQGRENTRDQEEKSKDIVFSKHRRSKSKKFNKYFKPIIFPCNRDVARACFYSTIYLSRVRSSSHRGQQQHWAQSMKMKKKQDIATGFGVSPKSDSGTRTGDKVVLPLSEPPAENDQCNTIEKKEKVKGDKTKTMSRMKELLRWAAAKKPEKLGGKFIGRKVLQFRSREAMKGVSGDHDQLSNESPKISFRWDVQSSSTTSSAYSGITVTSSLRNDETCNILSLNSTPMHGLNRCCSRRGNWITTDSEFVVLEL >KJB42374 pep chromosome:Graimondii2_0_v6:7:13072670:13074095:1 gene:B456_007G152800 transcript:KJB42374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQILQWLFKVAHEQGRENTRDQEEKSKDIVFSKHRRSKSKKFNKYFKPIIFPCNRDVARACFYSTIYLSRVRSSSHRGQQQHWAQSMKMKKKQDIATGFGVSPKSDSGTRTGDKVVLPLSEPPAENDQCNTIEKKEKVKGDKTKTMSRMKELLRWAAAKKPEKLGGKFIGRKVLQFRSREAMKGVSGDHDQLSNESPKISFRWDVQSSSTTSSAYSGITVTSSLRNDETCNILSLNSTPMHGLNRCCSRRGNWITTDSEFVVLEL >KJB44680 pep chromosome:Graimondii2_0_v6:7:44316103:44316486:1 gene:B456_007G266200 transcript:KJB44680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYNHFVASRKHKLQLALGLEKTILRMILESFGLEKYMDELVDSTTNHLKGMKYGRINTSEPTHGVPAHCDHTTMTLLCQLNEVQVLEIEKN >KJB46252 pep chromosome:Graimondii2_0_v6:7:58470777:58473696:-1 gene:B456_007G354000 transcript:KJB46252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTEEGGGAVGVPGGAVSTDQTIATNTNPLDTIIPIPGTDFTLSNGELENLRSLDEAFNGNQLDPNAKPLIRRAPATLGRDEDFRKYFMPKVFPIGPLHDDDPTLHGSEKLKLRLVAHFVENIGFNKETLYNNMKTEINELKKCYDPKELEKYSNDDEKLAWMFFVDGCAILQAIYMRYGNDDVDGQDNDHMSNELTIKNDLLTYVYLDLFLLENQLPFRVLELLTSWSENGKKFMKAIKRFIDDNVITPAEMKEPQSHQQDSERWQQQEEEPIHLLNLLRQRLLFKKEEKRKPWRHCRFCTRLFMYLINRSNRTRAKRHHSHTFRNVKELKNAGIWLKASETSCLTDISFNRIFFVGKLWLPPITVDDSTGPKFMNLIAHEMCPDFYNNFTVTSYICFLDSLIDEAEDVKDLRDAGILYNGLGSDEEVAKLFNKMNTDLVPSQTIYSGVKRQIHNHCKNMWINYAAQAYHTHFRSPWTFLAFVGAIAALLLSALQTYYTIHQPNKDSSNHKN >KJB46253 pep chromosome:Graimondii2_0_v6:7:58470461:58473696:-1 gene:B456_007G354000 transcript:KJB46253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTEEGGGAVGVPGGAVSTDQTIATNTNPLDTIIPIPGTDFTLSNGELENLRSLDEAFNGNQLDPNAKPLIRRAPATLGRDEDFRKYFMPKVFPIGPLHDDDPTLHGSEKLKLRLVAHFVENIGFNKETLYNNMKTEINELKKCYDPKELEKYSNDDEKLAWMFFVDGCAILQAIYMRYGNDDVDGQDNDHMSNELTIKNDLLTYVYLDLFLLENQLPFRVLELLTSWSENGKKFMKAIKRFIDDNVITPAEMKEPQSHQQDSERWQQQEEEPIHLLNLLRQRLLFKKEEKRKPWRHCRFCTRLFMYLINRSNRTRAKRHHSHTFRNVKELKNAGIWLKASETSCLTDISFNRIFFVGKLWLPPITVDDSTGPKFMNLIAHEMCPDFYNNFTVTSYICFLDSLIDEAEDVKDLRDAGILYNGLGSDEEVAKLFNKMNTDLVPSQTIYSGVKRQIHNHCKNMWINYAAQAYHTHFRSPWTFLAFVGAIAALLLSALQTYYTIHQPKLIQPQKLKAA >KJB45546 pep chromosome:Graimondii2_0_v6:7:52513451:52519213:-1 gene:B456_007G311200 transcript:KJB45546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPGRPNFPMRPPPGPFASAPPTMTPFSSSRPTPPSAPPTMTPFSSAGPRPLARFSDQSVPPPPITSVPPVGGPYQQFPTSPFPSTAQSPPIRAPPEGQPPFQPRASQVSASSVSFPPQSQVPPVPMGSSPQSVNFSPSGVNVPQPPTGSLFSGPRPNIQPTFSPPDSSYSATKSNFQPPFPGYPSKKPAVSQAPSPFPAQQGSFMPPPPASSSPFSSQQGSYAPPPPVAASLGYQSRGQMQHPSSAPPIGGIQSLTEDFSSLSLASLPGSIDPGCDHRTLPRPLDGDEEPSSFTKMYPMNCNPRYLRLTTSGIPSSQSLASRWHLTLGAVVCPLAEDPEGEEVPVINYISTGIIRCRRCHAYVNPYVTFTDAGRKWRCNICALLNDVPGDYFANLDATGRRIDLDQRPELLKGSVEFVAPTDYMNRPPMPPLYFFLIDVSLPAVRSGMIGVVTQTIRSCLDELPGFPRTQIGFITYDSTIHFYNMKSSLAQPQMMVVSDLDDMFVPLPDDLLVNLSESRNVVETFLDSLPSMFQDNVNVESAFGPAVKAAFMVMSQLGGKLLIFQNTLPSLGVGRLKLRGDDLRAYGTDKEHLLRLPEGSFYKQMAADLTKYQIGVNVYAFSDKYTDIASLGTLAKYTGGQVYYYPNFQSSIHGEKLRHELVRDLTRETAWEAVMRIRCGKGIRCTSYHGNFMQRSTDLLALPTVDCDKAYAVQLSLEETLLTTQTVYLQAVLLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAIFSLFCRLGKS >KJB45545 pep chromosome:Graimondii2_0_v6:7:52513451:52519090:-1 gene:B456_007G311200 transcript:KJB45545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPGRPNFPMRPPPGPFASAPPTMTPFSSSRPTPPSAPPTMTPFSSAGPRPLARFSDQSVPPPPITSVPPVGGPYQQFPTSPFPSTAQSPPIRAPPEGQPPFQPRASQVSASSVSFPPQSQVPPVPMGSSPQSVNFSPSGVNVPQPPTGSLFSGPRPNIQPTFSPPDSSYSATKSNFQPPFPGYPSKKPAVSQAPSPFPAQQGSFMPPPPASSSPFSSQQGSYAPPPPVAASLGYQSRGQMQHPSSAPPIGGIQSLTEDFSSLSLASLPGSIDPGCDHRTLPRPLDGDEEPSSFTKMYPMNCNPRYLRLTTSGIPSSQSLASRWHLTLGAVVCPLAEDPEGEEVPVINYISTGIIRCRRCHAYVNPYVTFTDAGRKWRCNICALLNDVPGDYFANLDATGRRIDLDQRPELLKGSVEFVAPTDYMNRPPMPPLYFFLIDVSLPAVRSGMIGVVTQTIRSCLDELPGFPRTQIGFITYDSTIHFYNMKSSLAQPQMMVVSDLDDMFVPLPDDLLVNLSESRNVVETFLDSLPSMFQDNVNVESAFGPAVKAAFMVMSQLGGKLLIFQNTLPSLGVGRLKLRGDDLRAYGTDKEHLLRLPEGSFYKQMAADLTKYQIGVNVYAFSDKYTDIASLGTLAKYTGGQVYYYPNFQSSIHGEKLRHELVRDLTRETAWEAVMRIRCGKGIRCTSYHGNFMQRSTDLLALPTVDCDKAYAVQLSLEETLLTTQTVYLQAVLLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAIFSLFCRLAIEKTLTNKLEDARNSLQLRIVKALREYRNLYAVQHRLGSRMIYPDSLKFLCSYGLALSKSVPLKGGYADAQLDERCGAGFTMMALPVKRLLKLLYPSLIRIDEYLLKVKILNLWINFNKDADFAN >KJB45544 pep chromosome:Graimondii2_0_v6:7:52513189:52519906:-1 gene:B456_007G311200 transcript:KJB45544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPGRPNFPMRPPPGPFASAPPTMTPFSSSRPTPPSAPPTMTPFSSAGPRPLARFSDQSVPPPPITSVPPVGGPYQQFPTSPFPSTAQSPPIRAPPEGQPPFQPRASQVSASSVSFPPQSQVPPVPMGSSPQSVNFSPSGVNVPQPPTGSLFSGPRPNIQPTFSPPDSSYSATKSNFQPPFPGYPSKKPAVSQAPSPFPAQQGSFMPPPPASSSPFSSQQGSYAPPPPVAASLGYQSRGQMQHPSSAPPIGGIQSLTEDFSSLSLASLPGSIDPGCDHRTLPRPLDGDEEPSSFTKMYPMNCNPRYLRLTTSGIPSSQSLASRWHLTLGAVVCPLAEDPEGEEVPVINYISTGIIRCRRCHAYVNPYVTFTDAGRKWRCNICALLNDVPGDYFANLDATGRRIDLDQRPELLKGSVEFVAPTDYMNRPPMPPLYFFLIDVSLPAVRSGMIGVVTQTIRSCLDELPGFPRTQIGFITYDSTIHFYNMKSSLAQPQMMVVSDLDDMFVPLPDDLLVNLSESRNVVETFLDSLPSMFQDNVNVESAFGPAVKAAFMVMSQLGGKLLIFQNTLPSLGVGRLKLRGDDLRAYGTDKEHLLRLPEGSFYKQMAADLTKYQIGVNVYAFSDKYTDIASLGTLAKYTGGQVYYYPNFQSSIHGEKLRHELVRDLTRETAWEAVMRIRCGKGIRCTSYHGNFMQRSTDLLALPTVDCDKAYAVQLSLEETLLTTQTVYLQAVLLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAIFSLFCRLAIEKTLTNKLEDARNSLQLRIVKALREYRNLYAVQHRLGSRMIYPDSLKFLCSYGLALSKSVPLKGGYADAQLDERCGAGFTMMALPVKRLLKLLYPSLIRIDEYLLKPSAQTDDFKNIMKRLPLVAESLDSRGLYLYDDGFRFVIWFGRMLSPDVAKNLLGADFAAELSKVILSEHDNEMSRRLMRILKKLRESDPSYYQLPYLVRQGEQPKEGLLLLVNLLEDQMGGTSGYVDWIMQIHRQVQQNT >KJB41823 pep chromosome:Graimondii2_0_v6:7:9800622:9803563:1 gene:B456_007G123400 transcript:KJB41823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPCLSANDQKKHWWVSNRKLVEKYVKDARCLMATQEQSEMASALNLLDAALALSPRFEIALELKARCLLYLRRFKDVADMLQDYIPSIKISSDDSASLSSDNSSQPLSRERVNLLPPPNSQDPSFKCFSVSDLKKKVMSGLSKNCDKEGQWRYLVLGQACCQLGLMEDAMVLLQTGKRLASAAFRRESICRSDDSFSLPITITTSDISSAATTPPSTPPRNPTSLSEFQNISQLLSHIKFLLRRRTAAIAALDAGLYSEAIRHFSKIVDGRRLAPQGFLAECYMHRASAYKASGRIAESISDCNKTLALDPACIQALDTRASLLETIRCLPDCLHDLEHLKLLYNSILRDRKLPGPVWKRHNVRYREIPGKLCALTTKIQQLKQRVASGETANVDYYALIGLRRGCSRSELERAHLLLCLRHKPDKATNFIDSCEFADERDVDSVKDRGKLSALLLYRLLQKGYSSVMSTIMDEEAAEKRRKKATVQVPQTQNSSSKLDPESTLAYSVNSSNASDCDGRANPSENETTSSTNAFQGVFCRDLAAVGNLLSQVGFNRPLPMKYEALSC >KJB39023 pep chromosome:Graimondii2_0_v6:7:52826916:52828554:-1 gene:B456_007G314400 transcript:KJB39023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDIQIPTAFDPFADANAEDSGAGTKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKEFCCNGTVVQDPELGQVIQLQGDQRNNVSTFLVQAGIVKDNIKIHGF >KJB39024 pep chromosome:Graimondii2_0_v6:7:52827180:52827868:-1 gene:B456_007G314400 transcript:KJB39024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDIQIPTAFDPFADANAEDSGAGTKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKEFCCNGTVVQDPELGQVIQLQGDQRNNVSTFLVQAGIVKDNIKIHGF >KJB39027 pep chromosome:Graimondii2_0_v6:7:52826916:52828554:-1 gene:B456_007G314400 transcript:KJB39027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDIQIPTAFDPFADANAEDSGAGTKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKEFCCNGTVVQDPELGQVIQLQGDQRNNVSTFLVQAGIVKDNIKIHGF >KJB39026 pep chromosome:Graimondii2_0_v6:7:52827180:52827868:-1 gene:B456_007G314400 transcript:KJB39026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDIQIPTAFDPFADANAEDSGAGTKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKEFCCNGTVVQDPELGQVIQLQGDQRNNVSTFLVQAGIVKDNIKIHGF >KJB39025 pep chromosome:Graimondii2_0_v6:7:52827362:52827868:-1 gene:B456_007G314400 transcript:KJB39025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDIQIPTAFDPFADANAEDSGAGTKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKEFCCNGTVVQDPELGQVIQLQGDQRNNVSTFLVQVIVIIIWFSYIYS >KJB39022 pep chromosome:Graimondii2_0_v6:7:52827537:52827773:-1 gene:B456_007G314400 transcript:KJB39022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCGFTLDPFADANAEDSGAGTKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKEFCCNGTVVQDPELGQVHV >KJB45811 pep chromosome:Graimondii2_0_v6:7:55227072:55230191:1 gene:B456_007G330100 transcript:KJB45811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDVSRKQSTILYEGGFGVVYKGYLKDLDTYVAVKRISKASKQGIKEYASEVKIISRSRHKNLVKLIGQCHEKGELILVYEFMANGSLDSHLFKGKTLLTWEVRFKIVQDLASALFYLHEKGDHCVLHRDIKASNIMLDSSFNAKLGDFGLARLVDHAKASQTTHLAGTMGYLAPECVSSGKASKESDVYSFGVVALEIACGRRSIEPKYEESQASLVTWVWNAYGSQRLPDVADPKLCMNFDAKQMECLLMVGLWCVHPDQHLRPSIRQTLQVFNFEAPLPKLPGTRPTPTYDAQTTSEIQASHVFRV >KJB43648 pep chromosome:Graimondii2_0_v6:7:23137684:23142080:-1 gene:B456_007G211700 transcript:KJB43648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G37040) UniProtKB/TrEMBL;Acc:A0A178UWL4] MVGASSLQPRLLSSFVGDRLVQSRQPLAQLFHYNPGRKHVSMQLSKTFSGLTNLLFNRRNNLDEVPDGKRKSLRPGKLSPRRPVPNHIKRPPYVKTRMAPGIASGPEVHDLKGIEHMRASGRLAAQVLNYAGTLVKPGITTDEIDEVVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRPLQDGDIINIDVTVYLNGYHGDTSATFFCGDVSEEARNLVKVTKESLDKAISICAPGVEFKKIGKTIHDHADKFGYGVVRQFVGHGVGRVFHADPVVLHFRNNDGGRMVLNQTFTIEPMLTIGSINPVMWDDDWTVVTEDGSLSAQFEHTILITEDGAEILTQCEA >KJB43650 pep chromosome:Graimondii2_0_v6:7:23137689:23140867:-1 gene:B456_007G211700 transcript:KJB43650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G37040) UniProtKB/TrEMBL;Acc:A0A178UWL4] MVIIRNNLDEVPDGKRKSLRPGKLSPRRPVPNHIKRPPYVKTRMAPGIASGPEVHDLKGIEHMRASGRLAAQVLNYAGTLVKPGITTDEIDEVVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRPLQDGDIINIDVTVYLNGYHGDTSATFFCGDVSEEARNLVKVTKESLDKAISICAPGVEFKKIGKTIHDHADKFGYGVVRQFVGHGVGRVFHADPVVLHFRNNDGGRMVLNQTFTIEPMLTIGSINPVMWDDDWTVVTEDGSLSAQFEHTILITEDGAEILTQCEA >KJB43652 pep chromosome:Graimondii2_0_v6:7:23137689:23141989:-1 gene:B456_007G211700 transcript:KJB43652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G37040) UniProtKB/TrEMBL;Acc:A0A178UWL4] MVGASSLQPRLLSSFVGDRLVQSRQPLAQLFHYNPGRKHVSMQLSKTFSGLTNLLFNRRNNLDEVPDGKRKSLRPGKLSPRRPVPNHIKRPPYVKTRMAPGIASGPEVHDLKGIEHMRASGRLAAQVLNYAGTLVKPGITTDEIDEVVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRPLQDGDIINIDVTVYLNGYHGDTSATFFCGDVSEEARNLVKVTKESLDKAISICAPGVEFKKIGKTIHDHADKFGYGVVRQFVGHGVGRVFHADPVVLHFRNNDGGRMVLNQTFTIGNSRC >KJB43649 pep chromosome:Graimondii2_0_v6:7:23138036:23140326:-1 gene:B456_007G211700 transcript:KJB43649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G37040) UniProtKB/TrEMBL;Acc:A0A178UWL4] MFCWQPGITTDEIDEVVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRPLQDGDIINIDVTVYLNGYHGDTSATFFCGDVSEEARNLVKVTKESLDKAISICAPGVEFKKIGKTIHDHADKFGYGVVRQFVGHGVGRVFHADPVVLHFRNNDGGRMVLNQTFTIEPMLTIGSINPVMWDDDWTVVTEDGSLSAQFEHTILITEDGAEILTQCEA >KJB43651 pep chromosome:Graimondii2_0_v6:7:23137689:23141989:-1 gene:B456_007G211700 transcript:KJB43651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G37040) UniProtKB/TrEMBL;Acc:A0A178UWL4] MVGASSLQPRLLSSFVGDRLVQSRQPLAQLFHYNPGRKHVSMQLSKTFSGLTNLLFNRRNNLDEVPDGKRKSLRPGKLSPRRPVPNHIKRPPYVKTRMAPGIASGPEVHDLKGIEHMRASGRLAAQVLNYAGTLVKPGITTDEIDEVVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRPLQDGDIINIDVTVYLNGYHGDTSATFFCGDVSEEARNLVKVTKESLDKAISICAPGVEFKKIGKTIHDHADKFGYGVVRQFVGHGVGRVFHADPVVLHFSNYADLKSFYQAI >KJB39403 pep chromosome:Graimondii2_0_v6:7:903603:905990:1 gene:B456_007G011400 transcript:KJB39403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSLNSYSNLKGQSRCPLQEQLLQRKNSKENMDRFIPNRSAMDFDYAHYMLTDGRKIKENQTVCSPAREAYRKQLAETLNMNRTRILAFKNKPPAPVELFPTEHSTASAHPTKSAKPRRHIPQSSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGNTVYLWDASDSSTSELVTVDDENGPVTSVSWAPDGRHIAIGLNNSEVQLWDSASNRQLRTLRGCHRSRVGSMAWNNHILTTGGMDGQIVNNDVRIRSHIVETYRGHEQEVCGLKWSASGQQLASGGNDNVVHIWDRSMASSNSPTQWLHRLEEHTSAVKALAWCPFQSNLLATGGGGGDRTIKFWNTHTGACLNSVDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVFGVPEVAKTAPKVNREPFSHLNRIR >KJB39402 pep chromosome:Graimondii2_0_v6:7:903661:905990:1 gene:B456_007G011400 transcript:KJB39402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSLNSYSNLKGQSRCPLQEQLLQRKNSKENMDRFIPNRSAMDFDYAHYMLTDGRKIKENQTVCSPAREAYRKQLAETLNMNRTRILAFKNKPPAPVELFPTEHSTASAHPTKSAKPRRHIPQSSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGNTVYLWDASDSSTSELVTVDDENGPVTSVSWAPDGRHIAIGLNNSEVQLWDSASNRQLRTLRGCHRSRVGSMAWNNHILTTGGMDGQIVNNDVRIRSHIVETYRGHEQEVCGLKWSASGQQLASGGNDNVVHIWDRSMASSNSPTQWLHRLEEHTSAVKALAWCPFQSNLLATGGGGGDRTIKFWNTHTGACLNSVDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVFGVPEVAKTAPKVNREPFSHLNRIR >KJB42087 pep chromosome:Graimondii2_0_v6:7:11111086:11111674:-1 gene:B456_007G136000 transcript:KJB42087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLPKQAAAMIGLLLLCSNVISVPDTSITSVLCNSGSYSEGDPFATSLAFVLQDLETLTPERKGYDYFNISPYPNAFAYGHAACNQNLTTSDCATCLGAAKTVLLATCQSRIGSRSVLHDCTIRYEQYPFDD >KJB43865 pep chromosome:Graimondii2_0_v6:7:25474743:25490729:-1 gene:B456_007G220700 transcript:KJB43865 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] MDLSTALSEKSEPCSKSSAISSNSSDITEGHCLPNNSSGSHNEISDEAKRSKKFCVAKISKTKSISVDFRLSRGIAQVNEGNYAYAISIFDQILKEDPTYPEALIGRGTAYAFQRELEAAIGDFTKAIQSKPSAGEAWKRRGQARAALGESIEAIEDLTKALEFDPDSADILHERGIVNFKFKDFDAAVEDLSACVKLDKTNKSAYTYLGMALSSIGEHKRAEDAHLKSIQLDRSFVEAWAHLTQFYQDLANSKKAFDCLQQVIQIDPRYAKAYHLRGLLLHGMGEHRKAIKDLSVGLSIENSNIECLYLRASCYHAIGEYADAVKDYDAALDVELDSMEKFVLQCLAFYQKEIALYTASKVNSEFSWFDIDGDIDPLFKEYWCKRLHPKNVCEKVFRQPPLRDSLKKGKLRKQDIAITKHKTALLLAADLIGKKIQYDCPGFLPNRRQHRMAGLAAIEIAQKVSKTWRSLQVDWKHSNRSSKSGKRHRRKERISLASQNRGGAGCSTSSSSETPASYGSGEDRSSSRPMMSWQDVYSLAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMVLGEAKVVRYFPNYERTLDIAKMIMRDKLFVHNKSDEPIDLSKEGKLENIEHAKSCDDLYELVGEDFWLATWCNSTAFEGKQLEGTRITLVKMAQQPGYDFAIRTPCTPSRWEEFDAEMTMAWEAICNAYCGETYGSTDFNALESVREAILRLTYYWYNFMPLTRGTAVVGFVVLIGLFLAANMEFTGNIPKGVQVDWEAILNFDPNSFTDSVKSWLYPSLKISSSWKDFPDVTSTFATTGSVVAALSSYDD >KJB43870 pep chromosome:Graimondii2_0_v6:7:25474749:25490850:-1 gene:B456_007G220700 transcript:KJB43870 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] MNSAISERVELAKLCSSRDWSKAIRVLDSLLSQSCAIQDICNRAFCYSQLELHKHVVKDCDKALQLDPTVLQAYILKGRAFSALGRKEDAIAVWERGYEHALRQSADLKQLLELEELLTVAKPGKQDISFISDNHVADSKLSTPVSLSTPYVDGKLNETLKYQNEYNTSRLFQERRDVSKFCNMSNDKIDPRNRTNDEERSQSSLSSSELASDTNEKSRESFKNLTVLSDGSKLSVESADASENSSICGDNCNGGLSDLTSTNQMPHGLTNGTHNNFDTPSNSSDSGTALSEKSEPCSKSSAISSNSSDITEGHCLPNNSSGSHNEISDEAKRSKKFCVAKISKTKSISVDFRLSRGIAQVNEGNYAYAISIFDQILKEDPTYPEALIGRGTAYAFQRELEAAIGDFTKAIQSKPSAGEAWKRRGQARAALGESIEAIEDLTKALEFDPDSADILHERGIVNFKFKDFDAAVEDLSACVKLDKTNKSAYTYLGMALSSIGEHKRAEDAHLKSIQLDRSFVEAWAHLTQFYQDLANSKKAFDCLQQVIQIDPRYAKAYHLRGLLLHGMGEHRKAIKDLSVGLSIENSNIECLYLRASCYHAIGEYADAVKDYDAALDVELDSMEKFVLQCLAFYQKEIALYTASKVNSEFSWFDIDGDIDPLFKEYWCKRLHPKNVCEKVFRQPPLRDSLKKGKLRKQDIAITKHKTALLLAADLIGKKIQYDCPGFLPNRRQHRMAGLAAIEIAQKVSKTWRSLQVDWKHSNRSSKSGKRHRRKERISLASQNRGGAGCSTSSSSETPASYGSGEDRSSSRPMMSWQDVYSLAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMVLGEAKVVRYFPNYERTLDIAKMIMRDKLFVHNKSDEPIDLSKEGKLENIEHAKSCDDLYELVGEDFWLATWCNSTAFEGKQLEGTRITLVKMAQQPGYDFAIRTPCTPSRWEEFDAEMTMAWEAICNAYCGETYGSTDFNALESVREAILRLTYYWYNFMPLTRGTAVVGFVVLIGLFLAANMEFTGNIPKGVQVDWEAILNFDPNSFTDSVKSWLYPSLKISSSWKDFPDVTSTFATTGSVVAALSSYDD >KJB43867 pep chromosome:Graimondii2_0_v6:7:25474816:25490691:-1 gene:B456_007G220700 transcript:KJB43867 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] MNSAISERVELAKLCSSRDWSKAIRVLDSLLSQSCAIQDICNRAFCYSQLELHKHVVKDCDKALQLDPTVLQAYILKGRAFSALGRKEDAIAVWERGYEHALRQSADLKQLLELEELLTVAKPGKQDISFISDNHVADSKLSTPVSLSTPYVDGKLNETLKYQNEYNTSRLFQERRDVSKFCNMSNDKIDPRNRTNDEERSQSSLSSSELASDTNEKSRESFKNLTVLSDGSKLSVESADASENSSICGDNCNGGLSDLTSTNQMPHGLTNGTHNNFDTPSNSSDSGTALSEKSEPCSKSSAISSNSSDITEGHCLPNNSSGSHNEISDEAKRSKKFCVAKISKTKSISVDFRLSRGIAQVNEGNYAYAISIFDQILKEDPTYPEALIGRGTAYAFQRELEAAIGDFTKAIQSKPSAGEAWKRRGQARAALGESIEAIEDLTKALEFDPDSADILHERGIVNFKFKDFDAAVEDLSACVKLDKTNKSAYTYLGMALSSIGEHKRAEDAHLKSIQLDRSFVEAWAHLTQFYQDLANSKKAFDCLQQVIQIDPRYAKAYHLRGLLLHGMGEHRKAIKDLSVGLSIENSNIECLYLRASCYHAIGEYADAVKDYDAALDVELDSMEKFVLQCLAFYQKEIALYTASKVNSEFSWFDIDGDIDPLFKEYWCKRLHPKNVCEKVFRQPPLRDSLKKGKLRKQDIAITKHKTALLLAADLIGKKIQYDCPGFLPNRRQHRMAGLAAIEIAQKVSKTWRSLQVDWKHSNRSSKSGKRHRRKERISLASQNRGGAGCSTSSSSETPASYGSGEDRSSSRPMMSWQDVYSLAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMVLGEAKVVRYFPNYERTLDIAKMIMRDKLFVHNKSDEPIDLSKEGKLENIEHAKSCDDLYELVGEDFWLATWCNSTAFEGKQLEGTRITLVKMAQQPGYDFAIRTPCTPSRWEEFDAEMTMAWEAICNAYCGETYGSTDFNALESVREAILRLTYYWGTAVVGFVVLIGLFLAANMEFTGNIPKGVQVDWEAILNFDPNSFTDSVKSWLYPSLKISSSWKDFPDVTSTFATTGSVVAALSSYDD >KJB43866 pep chromosome:Graimondii2_0_v6:7:25475509:25490633:-1 gene:B456_007G220700 transcript:KJB43866 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] MNSAISERVELAKLCSSRDWSKAIRVLDSLLSQSCAIQDICNRAFCYSQLELHKHVVKDCDKALQLDPTVLQAYILKGRAFSALGRKEDAIAVWERGYEHALRQSADLKQLLELEELLTVAKPGKQDISFISDNHVADSKLSTPVSLSTPYVDGKLNETLKYQNEYNTSRLFQERRDVSKFCNMSNDKIDPRNRTNDEERSQSSLSSSELASDTNEKSRESFKNLTVLSDGSKLSVESADASENSSICGDNCNGGLSDLTSTNQMPHGLTNGTHNNFDTPSNSSDSGTALSEKSEPCSKSSAISSNSSDITEGHCLPNNSSGSHNEISDEAKRSKKFCVAKISKTKSISVDFRLSRGIAQVNEGNYAYAISIFDQILKEDPTYPEALIGRGTAYAFQRELEAAIGDFTKAIQSKPSAGEAWKRRGQARAALGESIEAIEDLTKALEFDPDSADILHERGIVNFKFKDFDAAVEDLSACVKLDKTNKSAYTYLGMALSSIGEHKRAEDAHLKSIQLDRSFVEAWAHLTQFYQDLANSKKAFDCLQQVIQIDPRYAKAYHLRGLLLHGMGEHRKAIKDLSVGLSIENSNIECLYLRASCYHAIGEYADAVKDYDAALDVELDSMEKFVLQCLAFYQKEIALYTASKVNSEFSWFDIDGDIDPLFKEYWCKRLHPKNVCEKVFRQPPLRDSLKKGKLRKQDIAITKHKTALLLAADLIGKKIQYDCPGFLPNRRQHRMAGLAAIEIAQKVSKTWRSLQVDWKHSNRSSKSGKRHRRKERISLASQNRGGAGCSTSSSSETPASYGSGEDRSSSRPMMSWQDVYSLAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMVLGEAKVVRYFPNYERTLDIAKMIMRDKLFVHNKSDEPIDLSKEGKLENIEHAKSCDDLYELVGEDFWLATWCNSTAFEGKQLEGTRITLVKMAQQPGYDFAIRTPCTPSRWEEFDAEMTMAWEAICNAYCGETYGSTDFNALESVREAILRLTYYWYNFMPLTRGTAVVGFVVLIGLFLAANMEFTGNIPKGVQVDWEAILNFDPNSFTDSVKSWLYPSLKISSSWKDFPDVTSTFATTGSVVAALSSYDD >KJB43869 pep chromosome:Graimondii2_0_v6:7:25475392:25490691:-1 gene:B456_007G220700 transcript:KJB43869 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] MNSAISERVELAKLCSSRDWSKAIRVLDSLLSQSCAIQDICNRAFCYSQLELHKHVVKDCDKALQLDPTVLQAYILKGRAFSALGRKEDAIAVWERGYEHALRQSADLKQLLELEELLTVAKPGKQDISFISDNHVADSKLSTPVSLSTPYVDGKLNETLKYQNEYNTSRLFQERRDVSKFCNMSNDKIDPRNRTNDEERSQSSLSSSELASDTNEKSRESFKNLTVLSDGSKLSVESADASENSSICGDNCNGGLSDLTSTNQMPHGLTNGTHNNFDTPSNSSDSGTALSEKSEPCSKSSAISSNSSDITEGHCLPNNSSGSHNEISDEAKRSKKFCVAKISKTKSISVDFRLSRGIAQVNEGNYAYAISIFDQILKEDPTYPEALIGRGTAYAFQRELEAAIGDFTKAIQSKPSAGEAWKRRGQARAALGESIEAIEDLTKALEFDPDSADILHERGIVNFKFKDFDAAVEDLSACVKLDKTNKSAYTYLGMALSSIGEHKRAEDAHLKSIQLDRSFVEAWAHLTQFYQDLANSKKAFDCLQQVIQIDPRYAKAYHLRGLLLHGMGEHRKAIKDLSVGLSIENSNIECLYLRASCYHAIGEYADAVKDYDAALDVELDSMEKFVLQCLAFYQKEIALYTASKVNSEFSWFDIDGDIDPLFKEYWCKRLHPKNVCEKVFRQPPLRDSLKKGKLRKQDIAITKHKTALLLAADLIGKKIQYDCPGFLPNRRQHRMAGLAAIEIAQKVSKTWRSLQVDWKHSNRSSKSGKRHRRKERISLASQNRGGAGCSTSSSSETPASYGSGEDRSSSRPMMSWQDVYSLAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMVLGEAKVVRYFPNYERTLDIAKMIMRDKLFVHNKSDEPIDLSKEGKLENIEHAKSCDDLYELVGEDFWLATWCNSTAFEGKQLEGTRITLVKMAQQPGYDFAIRTPCTPSRWEEFDAEMTMAWEAICNAYCGETYGSTDFNALESVREAILRLTYYWYNFMPLTRGTAVVGFVVLIGLFLAANMEFTGNIPKGVQVDWEAILNFDPNSFTDSVKSWLYPSLKISSSWKDFPDVTSTFATTGSVVAALSSYDD >KJB43868 pep chromosome:Graimondii2_0_v6:7:25474816:25490691:-1 gene:B456_007G220700 transcript:KJB43868 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] MNSAISERVELAKLCSSRDWSKAIRVLDSLLSQSCAIQDICNRAFCYSQLELHKHVVKDCDKALQLDPTVLQAYILKGRAFSALGRKEDAIAVWERGYEHALRQSADLKQLLELEELLTVAKPGKQDISFISDNHVADSKLSTPVSLSTPYVDGKLNETLKYQNEYNTSRLFQERRDVSKFCNMSNDKIDPRNRTNDEERSQSSLSSSELASDTNEKSRESFKNLTVLSDGSKLSVESADASENSSICGDNCNGGLSDLTSTNQMPHGLTNGTHNNFDTPSNSSDSGTALSEKSEPCSKSSAISSNSSDITEGHCLPNNSSGSHNEISDEAKRSKKFCVAKISKTKSISVDFRLSRGIAQVNEGNYAYAISIFDQILKEDPTYPEALIGRGTAYAFQRELEAAIGDFTKAIQSKPSAGEAWKRRGQARAALGESIEAIEDLTKALEFDPDSADILHERGIVNFKFKDFDAAVEDLSACVKLDKTNKSAYTYLGMALSSIGEHKRAEDAHLKSIQLDRSFVEAWAHLTQFYQDLANSKKAFDCLQQVIQIDPRYAKAYHLRGLLLHGMGEHRKAIKDLSVGLSIENSNIECLYLRASCYHAIGEYADAVKDYDAALDVELDSMEKFVLQCLAFYQKEIALYTASKVNSEFSWFDIDGDIDPLFKEYWCKRLHPKNVCEKVFRQPPLRDSLKKGKLRKQDIAITKHKTALLLAADLIGKKIQYDCPGFLPNRRQHRMAGLAAIEIAQKVSKTWRSLQVDWKHSNRSSKSGKRHRRKERISLASQNRGGAGCSTSSSSETPASYGSGEDRSSSRPMMSWQDVYSLAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMVLGEAKVVRYFPNYERTLDIAKMIMRDKLFVHNKSDEPIDLSKEGKLENIEHAKSCDDLYELVGEDFWLATWCNSTAFEGKQLEGTRITLVKMAQQPGYDFAIRTPCTPSRWEEFDAEMTMAWEAICNAYCGETYGSTDFNALESVREAILRLTYYWYNFMPLTRGTAVVGFVVLIGLFLAANMEFTGNIPKGVQVDWEAILNFDPNSFTDSVKSWLYPSLKISSSWKDFPDVTSTFATTGSVVAALSSYDD >KJB44790 pep chromosome:Graimondii2_0_v6:7:46628535:46631752:-1 gene:B456_007G273500 transcript:KJB44790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPKLWILFLVLLVTIQSKLALGAKAQYSSKQAYVTLLYGDGDTGSTKEMVVLVSDGVSDYAKKLLEADGWIVENISLLVNPNQVRPKRFWGVYTKLKIFNMTNYKKDLFKCEKFCANLKHSERLNSGVMVVEPSEAVFNDMMSKVNTLPSYTGGDQGFLNSYYSGFPNSHVFDPNIPQEVLKVRPVPEMEQLSTLYNADVGLYMLANKWMVDESELHVIHYTLGPLKPWDWWTSWLLKPVDVWQTGGSLFRTSLCNHIRHLYFIIRSSGTVAYSGVSSSSNINVNNPWTFTIFFLLFGAFLHLIYQRGRRIVTQLTPVSADTDLFNSDPKKDHLQASSCDIVSWYYGLGMAFLVIVAPSLPCIFGITALFLRLGLMVVGGIILASFMTYASEHLAIRSFLKGCEDRDTTQSRECFLC >KJB41490 pep chromosome:Graimondii2_0_v6:7:8019685:8022994:1 gene:B456_007G106600 transcript:KJB41490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKKEILDVEKVIRDFEVLTKDAENVQRETLKMILEENGCAEYLQNLGLNGRTDPESFKACVPLVTHKDLEPCIQRVADGAFSPILTGKPITTISITSGTTQGKPKFVPFNDEMMETTLQIFRTSFAFRNKEFPIENGRALQFIYSSKQIKTKGGLFAGTATSNVFRNSQFRKAMKAMQSECCSPDEVIFGPDFHQSLYCHLLCGLIFHEEIQLVSSTFAYSIVLAFRTFEQVWEELCDDIREGVLTSRITFPPVRSAMAKLLKPNPELADMIEKKCSGLNNWYGLIPELFPNVKYIYGIMTGCMEPYLKKLRHYAADVPLISADYGSSEGWIGANINPSLPPESATYAVLPNIGYFEFIPLKENVEEHMQENGNVSFLSMEPKPVDLTEVRVGEEYEVIITNFGGLYRYKLGDVVKVMGFHNSTPELKFVCRRNVMLAINIDKSTEQDLQFAVEEAAKLISKKKLEVIDFSSNVDVSTDPGHYVIFWEISGEVSDEVLTECCNCLDRSFVDGGYVSSRKVDAIGPLELRVVRRGTFQKVLDHYLGLGAALSQFKTPRCVGLTNNKVLQILCDNVAKNYFSTAF >KJB41489 pep chromosome:Graimondii2_0_v6:7:8019685:8022994:1 gene:B456_007G106600 transcript:KJB41489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKKEILDVEKVIRDFEVLTKDAENVQRETLKMILEENGCAEYLQNLGLNGRTDPESFKACVPLVTHKDLEPCIQRVADGAFSPILTGKPITTISITSGTTQGKPKFVPFNDEMMETTLQIFRTSFAFRNKEFPIENGRALQFIYSSKQIKTKGGLFAGTATSNVFRNSQFRKAMKAMQSECCSPDEVIFGPDFHQSLYCHLLCGLIFHEEIQLVSSTFAYSIVLAFRTFEQVWEELCDDIREGVLTSRITFPPVRSAMAKLLKPNPELADMIEKKCSGLNNWYGLIPELFPNVKYIYGIMTGCMEPYLKKLRHYAADVPLISADYGSSEGWIGANINPSLPPESATYAVLPNIGYFEFIPLKENVEEHMQENGNVSFLSMEPKPVDLTEVRVGEEYEVIITNFGGEMSCSP >KJB41488 pep chromosome:Graimondii2_0_v6:7:8019174:8023041:1 gene:B456_007G106600 transcript:KJB41488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKKEILDVEKVIRDFEVLTKDAENVQRETLKMILEENGCAEYLQNLGLNGRTDPESFKACVPLVTHKDLEPCIQRVADGAFSPILTGKPITTISITSGTTQGKPKFVPFNDEMMETTLQIFRTSFAFRNKEFPIENGRALQFIYSSKQIKTKGGLFAGTATSNVFRNSQFRKAMKAMQSECCSPDEVIFGPDFHQSLYCHLLCGLIFHEEIQLVSSTFAYSIVLAFRTFEQVWEELCDDIREGVLTSRITFPPVRSAMAKLLKPNPELADMIEKKCSGLNNWYGLIPELFPNVKYIYGIMTGCMEPYLKKLRHYAADVPLISADYGSSEGWIGANINPSLPPESATYAVLPNIGYFEFIPLKENVEEHMQENGNVSFLSMEPKPVDLTEVRVGEEYEVIITNFGGLYRYKLGDVVKVMGFHNSTPELKFVCRRNVMLAINIDKSTEQDLQFAVEEAAKLISKKKLEVIDFSSNVDVSTDPGHYVIFWEISGEVSDEVLTECCNCLDRSFVDGGYVSSRKVDAIGPLELRVVRRGTFQKVLDHYLGLGAALSQFKTPRCVGLTNNKVLQILCDNVAKNYFSTAF >KJB44965 pep chromosome:Graimondii2_0_v6:7:48351058:48351958:1 gene:B456_007G282400 transcript:KJB44965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLYESNSKGENLPRNRSTKKVRFKDLEPTSDVEMVVEPTPTPTLSWKDMIYKNEVLWEIEGMVGKVTKLDFNIDSRSRRRYAHITIYINLEKPLISQVLINGKLQRIEYECLSVVCFSCGRYGHSKKSLCVGFYSIKLIEREGFGGRKFSRI >KJB43372 pep chromosome:Graimondii2_0_v6:7:19707788:19709047:1 gene:B456_007G196600 transcript:KJB43372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRKLKMKLIEKEKARAATLKKRLQSLKKKAHEFSTLCDVEVCMIIFEPELKDSPSRVEVWPSDPVQVETIIGRYNTMATSGSQKKTFSISDFFNMCRRQARDEVAQVCKANFKAKFPTWDDRIDNFSPEHIASCLTKLDSNIEVVKRKIMLMKGDDEQKLLRSELRTLGGFGARSTLSSSDNYIPAAALHLWNRSLLDNNIQTHTPLEIVNHFDLIQDIPRKNSEFGVIRDQPPLPARPLDMQLPSFSPADEALVKLSLSLNPIEKSLRMSMMNDLGFGVRSGIASSSKNSFPNNAMYNPPPSYSICHDPRFGMPSNDVMFDSASMPVLHDPRSNGVQNNVMLKEPKSALETCFYAPSMRPEVATYNQQQLMMPHVFSQMPPPEFTDFYHDINQHEMINKKQRF >KJB40808 pep chromosome:Graimondii2_0_v6:7:5527303:5529670:1 gene:B456_007G077900 transcript:KJB40808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSFSRRLKILSFVLSWMFSLALVFPATAQDDLKCLEGVKNSLKDPDGRLSSWTFNNNSVGFVCKFVGVQCWNEQENRLLGLELRDMKLSGELPQSLEYCRSLQTLDLSANKLSGTIPPQICSWLPYLVTLDLSSNDLHGSVPPELSNCAYLNNLILSNNRLSGSIPYQLSGLDRLKRFSVANNDLSGAIPSSFENRDKADFSGNSGLCGDPLGKCGGLSKRNLAIIIAAGVFGAAASMLLGFGVWWWFHLRGAGMGKKGFVERGDDSSWAERLRAHKLTQVSLFQKPLVKLKLADLMAATNNFSPESIIVSTRTGTTYKAMLPDGSALAIKRLTTCKLSERQFRWEMNRLGQLRHPNLTPLLGFCVVEEEKLLVYKHMSNGTLYSLLHENTATIDWSIRFQIALGAARGLAWLHHGCQPPILQQNICSNVILMDEDFDARIMDFGLASLMTSSDVYETSFAKRDIGEFGYIAPESSSTTVASMKSDVYGFGVVLLELVTRQKPLEVNAGEEGFKGGLVDWVNHLSNTGRIKDAIDKDLYGKGHDEQIVQVLKIGCNCVVAHPKKRWSMFKVSQSLRTMAEENGLSEEFDDFPLIFTDQDDESI >KJB40809 pep chromosome:Graimondii2_0_v6:7:5527434:5529670:1 gene:B456_007G077900 transcript:KJB40809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSFSRRLKILSFVLSWMFSLALVFPATAQDDLKCLEGVKNSLKDPDGRLSSWTFNNNSVGFVCKFVGVQCWNEQENRLLGLELRDMKLSGELPQSLEYCRSLQTLDLSANKLSGSIPYQLSGLDRLKRFSVANNDLSGAIPSSFENRDKADFSGNSGLCGDPLGKCGGLSKRNLAIIIAAGVFGAAASMLLGFGVWWWFHLRGAGMGKKGFVERGDDSSWAERLRAHKLTQVSLFQKPLVKLKLADLMAATNNFSPESIIVSTRTGTTYKAMLPDGSALAIKRLTTCKLSERQFRWEMNRLGQLRHPNLTPLLGFCVVEEEKLLVYKHMSNGTLYSLLHENTATIDWSIRFQIALGAARGLAWLHHGCQPPILQQNICSNVILMDEDFDARIMDFGLASLMTSSDVYETSFAKRDIGEFGYIAPESSSTTVASMKSDVYGFGVVLLELVTRQKPLEVNAGEEGFKGGLVDWVNHLSNTGRIKDAIDKDLYGKGHDEQIVQVLKIGCNCVVAHPKKRWSMFKVSQSLRTMAEENGLSEEFDDFPLIFTDQDDESI >KJB46635 pep chromosome:Graimondii2_0_v6:7:60943299:60947364:1 gene:B456_007G379600 transcript:KJB46635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYLLRLPLLECWVVSTLHMLIDAVTESYNKLFFGDVGREALPNRREALIISLVIFSALAKLHIVLG >KJB42075 pep chromosome:Graimondii2_0_v6:7:11030874:11032890:1 gene:B456_007G135100 transcript:KJB42075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQAFGGGGGIVSYPPHVHIFNSFPYYKRGFKFFHSLSNSKENLTLLAHNTQEVVSVRCFLPMRSMKGRSWQRCSKQIREQRGRLYIIWRCTVLLLCWHD >KJB45159 pep chromosome:Graimondii2_0_v6:7:50283240:50286819:1 gene:B456_007G293000 transcript:KJB45159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEERATVLQSESLLVREGAGENSSSVTTTLVLSTFVAASISFGVGYIAGYTSPTQSVIMEDLGLSIAEFSLFGSLLNLGSILGALVSGKTVDLLGRKGTMWVLYIFYIVGWLAIAFAKVPWLLDVGRLLLGFSNGIAGYLLPVYLAEITPKNLRGRFTAGVQMMAILGLSTMYIVGPFINWRILALIGIIPSLVQLPLLIYIPESPRWLVNVGREEEFETVLRSLRGKKANVFEEAASIKDYTDSLKRLSGGGMLDLFQPKYYHSLIIGIGLKVLQHSGGSNAYTYYSGVIFTSVGLSKYVGLSTLAVIQMITAIVGASLIDKFGRRALLLVSSAGLCFGSFLTGISFLLQGHHLWSEEARILALISIWVYMGSYQAGMEGIPWVIVAEIFPINVKGAAGSLAGLTGNICSWTVSYNFNFLFQWSSAGTFFIYSAICGVCVIFIAKMVPETKGRTLEEIQASLTTSP >KJB39922 pep chromosome:Graimondii2_0_v6:7:2638856:2640399:1 gene:B456_007G038100 transcript:KJB39922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEWYFFCVRDRKYPTGLRTNRATDSGYWKATGKDKEIYMGKSLVGMKKTLVFYQGRAPKGAKTNWVMHEYRLDGKFSIQNLPKTAKNEWVICRVFQKSSDGKKIPISSLVKASCLSNELGPATGLPPLMDSSPYNGGKSEPVFADSTYVPCFSNPIGSQTNQQITIDHNFNYRTLHVSSNPCHVLPQNPFYAAEFESLGSVQEQSMLRTLIENHGSKVQAEREIVRKPEMLFVSNLESGKKPFDDQHAPSSSSAGPVDLDCFWHY >KJB39921 pep chromosome:Graimondii2_0_v6:7:2638775:2640550:1 gene:B456_007G038100 transcript:KJB39921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIGEEDDKMDLPPGFRFHPTDEELIHQYLYKKVLGINFSSIAIGEVDLNKSEPWDLPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATDSGYWKATGKDKEIYMGKSLVGMKKTLVFYQGRAPKGAKTNWVMHEYRLDGKFSIQNLPKTAKNEWVICRVFQKSSDGKKIPISSLVKASCLSNELGPATGLPPLMDSSPYNGGKSEPVFADSTYVPCFSNPIGSQTNQQITIDHNFNYRTLHVSSNPCHVLPQNPFYAAEFESLGSVQEQSMLRTLIENHGSKVQAEREIVRKPEMLFVSNLESGKKPFDDQHAPSSSSAGPVDLDCFWHY >KJB43807 pep chromosome:Graimondii2_0_v6:7:24653231:24654888:-1 gene:B456_007G217400 transcript:KJB43807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAISRLVRMLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNVSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVSEARDELHRMLSEDELRDATLLVFANKQDLPNAMTVSEITDKLGLHSLRQRRWYIQATCASSGQGLYEGLDWLSSNISNKAR >KJB43808 pep chromosome:Graimondii2_0_v6:7:24653231:24655063:-1 gene:B456_007G217400 transcript:KJB43808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAISRLVRMLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNVSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVSEARDELHRMLSEDELRDATLLVFANKQDLPNAMTVSEITDKLGLHSLRQRRWYIQATCASSGQGLYEGLDWLSSNISNKA >KJB42117 pep chromosome:Graimondii2_0_v6:7:11294213:11298946:1 gene:B456_007G137600 transcript:KJB42117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLLHPSTSSMAKRPCPSSAENPMLPSFFSQMDNLLLSFLSLSDSSPPVSLDLSFDRLLESAPSDADQSLLIDRAHNLGSLLLRAANRSARKRASLHNSIAWALPPDLTIKVFSMLDSQSLCYAAATCSMFNKCAMDPLCYANIDLTTVVPKVNNAVVSTMIQRAGKSFRSLKLGIVPGPTSSPGSCQPLVYSIRNSVDVSSFSWNDKKTRQGKESSILTRSCLYPLSGDNGAAGLHLYNIERMDNASLCVALAACPSLLDLEIVGLHVELRQTLMSVSSNCHLIERLFFESSKTGRDDSLKSPTCVDLVNNCPNISYLSLRGFKLHDYKVRILVKGFRKLKYADFSTSYSISGTFLRNLGSGFGGNLLEVLILRDCMHLKEVEVARFLTAVLAGDFKFLRYLDISNREGLASEGDWYQRSYNSSIIPLKQVLEVRPNICLLAEFPSEGCYIDIDHMFDSDVNSEISLPSQLSSHTSDGSLLMSSSESSYNSDQGSGNEEYQVSGFVIYEESSDEVDFLVV >KJB42115 pep chromosome:Graimondii2_0_v6:7:11294213:11298946:1 gene:B456_007G137600 transcript:KJB42115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNASLCVALAACPSLLDLEIVGLHVELRQTLMSVSSNCHLIERLFFESSKTGRDDSLKSPTCVDLVNNCPNISYLSLRGFKLHDYKVRILVKGFRKLKYADFSTSYSISGTFLRNLGSGFGGNLLEVLILRDCMHLKEVEVARFLTAVLAGDFKFLRYLDISNREGLASEGDWYQRSYNSSIIPLKQVLEVRPNICLLAEFPSEGCYIDIDHMFDSDVNSEISLPSQLSSHTSDGSLLMSSSESSYNSDQGSGNEEYQVSGFVIYEESSDEVDFLVV >KJB42114 pep chromosome:Graimondii2_0_v6:7:11294213:11298946:1 gene:B456_007G137600 transcript:KJB42114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNASLCVALAACPSLLDLEIVGLHVELRQTLMSVSSNCHLIERLFFESSKTGRDDSLKSPTCVDLVNNCPNISYLSLRGFKLHDYKVRILVKGFRKLKYADFSTSYSISGTFLRNLGSGFGGNLLEVLILRDCMHLKEVEVARFLTAVLAGDFKFLRYLDISNREGLASEGDWYQRSYNSSIIPLKQVLEVRPNICLLAEFPSEGCYIDIDHMFDSDVNSEISLPSQLSSHTSDGSLLMSSSESSYNSDQGSGNEEYQVSGFVIYEESSDEVDFLVV >KJB42116 pep chromosome:Graimondii2_0_v6:7:11294213:11298946:1 gene:B456_007G137600 transcript:KJB42116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKCAMDPLCYANIDLTTVVPKVNNAVVSTMIQRAGKSFRSLKLGIVPGPTSSPGSCQPLVYSIRNSVDVSSFSWNDKKTRQGKESSILTRSCLYPLSGDNGAAGTLLRRLHLYNIERMDNASLCVALAACPSLLDLEIVGLHVELRQTLMSVSSNCHLIERLFFESSKTGRDDSLKSPTCVDLVNNCPNISYLSLRGFKLHDYKVRILVKGFRKLKYADFSTSYSISGTFLRNLGSGFGGNLLEVLILRDCMHLKEVEVARFLTAVLAGDFKFLRYLDISNREGLASEGDWYQRSYNSSIIPLKQVLEVRPNICLLAEFPSEGCYIDIDHMFDSDVNSEISLPSQLSSHTSDGSLLMSSSESSYNSDQGSGNEEYQVSGFVIYEESSDEVDFLVV >KJB42113 pep chromosome:Graimondii2_0_v6:7:11294213:11297199:1 gene:B456_007G137600 transcript:KJB42113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLLHPSTSSMAKRPCPSSAENPMLPSFFSQMDNLLLSFLSLSDSSPPVSLDLSFDRLLESAPSDADQSLLIDRAHNLGSLLLRAANRSARKRASLHNSIAWALPPDLTIKVFSMLDSQSLCYAAATCSMFNKCAMDPLCYANIDLTTVVPKVNNAVVSTMIQRAGKSFRSLKLGIVPGPTSSPGSCQPLVYSIRNSVDVSSFSWNDKKTRQGKESSILTRSCLYPLSGDNGAAGTLLRRLHLYNIERMDNASLCVALAACPSLLDLEIVGLHVELRQTLMSVSSNCHLIERLFFESSKTGRDDSLKSPTCVDLVNNCPNISYLSLRGFKLHDYKVRILVKGFRKLKYADFSTSYSISGTFLRSMQLFSTLSRKILLHPVFATYLLCCMRFFFYF >KJB42112 pep chromosome:Graimondii2_0_v6:7:11294138:11299158:1 gene:B456_007G137600 transcript:KJB42112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLLHPSTSSMAKRPCPSSAENPMLPSFFSQMDNLLLSFLSLSDSSPPVSLDLSFDRLLESAPSDADQSLLIDRAHNLGSLLLRAANRSARKRASLHNSIAWALPPDLTIKVFSMLDSQSLCYAAATCSMFNKCAMDPLCYANIDLTTVVPKVNNAVVSTMIQRAGKSFRSLKLGIVPGPTSSPGSCQPLVYSIRNSVDVSSFSWNDKKTRQGKESSILTRSCLYPLSGDNGAAGTLLRRLHLYNIERMDNASLCVALAACPSLLDLEIVGLHVELRQTLMSVSSNCHLIERLFFESSKTGRDDSLKSPTCVDLVNNCPNISYLSLRGFKLHDYKVRILVKGFRKLKYADFSTSYSISGTFLRNLGSGFGGNLLEVLILRDCMHLKEVEVARFLTAVLAGDFKFLRYLDISNREGLASEGDWYQRSYNSSIIPLKQVLEVRPNICLLAEFPSEGCYIDIDHMFDSDVNSEISLPSQLSSHTSDGSLLMSSSESSYNSDQGSGNEEYQVSGFVIYEESSDEVDFLVV >KJB39838 pep chromosome:Graimondii2_0_v6:7:2256616:2260676:-1 gene:B456_007G033200 transcript:KJB39838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLASGDSPSFGGERKRFPRVATVPGLLSEVDDETCNSVGSEAPSSVSQERMIIVGNQLPLRAHRNEDNGEWCFSWDEDSLLLQLKDGLGEDLEVIYVGCLKEQVALNEQDDVAQTLLETFKCVPAFIPLELFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDEILRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETAAKVAELRDQFRGQTVMLGVDDMDIFKGISLKLLAMEQLLMQHPENRGEVVLVQIANPARGRGRDVQEVQSETYATVKRINNRFGRPGYDLVVLIDTPLQLYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLDPSAPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSSLIVSEAEKQLRHEKHYRYVSTHDVAYWARSFLQDLERACGDHLRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYRRTKNRAILLDYDGTLMPTGSLNTTPNIEAVGILNNLCQDPKNVVFLVSRKDRKILTEWFSCCKKLGLAAENGYFIRPNHDSDWETCVSLPDFDWKQIAEPVMKLYTETTDGSTIETKESALVWNYLYADPDFGFCQAKELLDHLESVLANEPVSVKSGQHVVEVKPQGVNKGLVAEHLLTTMRQKGKLPDFVLCIGDDRSDEDMFEVIMRAKTSPRLSPVAEVFACTVGQKPSKAKYYVEDRSEILRMLQALANASEQAAKTAPTPASCGGLIINRE >KJB39839 pep chromosome:Graimondii2_0_v6:7:2256616:2260652:-1 gene:B456_007G033200 transcript:KJB39839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLASGDSPSFGGERKRFPRVATVPGLLSEVDDETCNSVGSEAPSSVSQERMIIVGNQLPLRAHRNEDNGEWCFSWDEDSLLLQLKDGLGEDLEVIYVGCLKEQVALNEQDDVAQTLLETFKCVPAFIPLELFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDEILRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETAAKVAELRDQFRGQTVMLGVDDMDIFKGISLKLLAMEQLLMQHPENRGEVVLVQIANPARGRGRDVQEVQSETYATVKRINNRFGRPGYDLVVLIDTPLQLYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLDPSAPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSSLIVSEAEKQLRHEKHYRYVSTHDVAYWARSFLQDLERACGDHLRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYRRTKNRAILLDYDGTLMPTGSLNTTPNIEAVGILNNLCQDPKNVVFLVSRKDRKILTEWFSCCKKLGLAAENGYFIRPNHDSDWETCVSLPDFDWKQIAEPVMKLYTETTDGSTIETKESALVWNYLYADPDFGFCQAKELLDHLESVLANEPVSVKSGQHVVEVKPQGVNKGLVAEHLLTTMRQKGKLPDFVLCIGDDRSDEDMFEVIMRAKTSPRLSPVAEVFACTVGQKPSKAKYYVEDRSEILRMLQALANASEQAAKTAPTPASCGGLIINRE >KJB39837 pep chromosome:Graimondii2_0_v6:7:2256538:2260652:-1 gene:B456_007G033200 transcript:KJB39837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLASGDSPSFGGERKRFPRVATVPGLLSEVDDETCNSVGSEAPSSVSQERMIIVGNQLPLRAHRNEDNGEWCFSWDEDSLLLQLKDGLGEDLEVIYVGCLKEQVALNEQDDVAQTLLETFKCVPAFIPLELFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDEILRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETAAKVAELRDQFRGQTVMLGVDDMDIFKGISLKLLAMEQLLMQHPENRGEVVLVQIANPARGRGRDVQEVQSETYATVKRINNRFGRPGYDLVVLIDTPLQLYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLDPSAPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSSLIVSEAEKQLRHEKHYRYVSTHDVAYWARSFLQDLERACGDHLRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYRRTKNRAILLDYDGTLMPTGSLNTTPNIEAVGILNNLCQDPKNVVFLVSRKDRKILTEWFSCCKKLGLAAENGYFIRPNHDSDWETCVSLPDFDWKQIAEPVMKLYTETTDGSTIETKESALVWNYLYADPDFGFCQAKELLDHLESVLANEPVSVKSGQHVVEVKPQGVNKGLVAEHLLTTMRQKGKLPDFVLCIGDDRSDEDMFEVIMRAKTSPRLSPVAEVFACTVGQKPSKAKYYVEDRSEILRMLQALANASEQAAKTAPTPASCGGLIINRE >KJB42931 pep chromosome:Graimondii2_0_v6:7:16140290:16144046:-1 gene:B456_007G174600 transcript:KJB42931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSNKYRTYYHSTPTVIITHLNHLLFAFGIYFKFRASTSTYIHLSLVKTRMQLVFLTMSIALIVILVLPFVSSSQQHVESINGSNTNDKDNITKVSHKLMFEIILHGIILWASMGFLVPVGILAIRMSNKEEECGTRNQVLFYVHAVSQILSVLLVTVGAIMSIKNFNNSFNNHHQRLGVALYGIIWLQALTGALRSCRGSKGRSAWFIAHWLLGTAVCILGVLNIYTGLGALHEKTSASTKLWTIILTAEICLIVFIYLFQDKWSYLQSQKQPSFVRREPIRPYPFRTREYGRGINY >KJB41597 pep chromosome:Graimondii2_0_v6:7:8468161:8470266:1 gene:B456_007G111100 transcript:KJB41597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQPTRPWFRLASISRPAPQAPTPTPEPAAASAPQRSATIRPAFRPVGGASSVPTSPVSVGRTSQPSSPSDRKEASPKTASVPNSPVKTSPTAARPTTSPPKQTTPASLPSSSAKPVATTAASVPSSPAKSVATTASVPTSPARTVAAAVSAPTSSPAKTAPTTTSVTNSTTPKPAPSTTTTNRVASPKASTIPTVKPAMQSPVQSTKIKAPTAPPPSPLTLPPPQLRGQAELEPKIPVEAEQKTVLVQKMIEKPRGLFGAPQKDVGDTRDPSIHGKKEPSKYGDTKEKGNGKKFPSDSEDAGMRVITIAGENKGAFMELIKSPHKNGFQGSPHRLANSSRIGSDGTDYHSYSSSEDGDGKMKAKSNGSNTMPMSAFMNSNVQGVNNSIVYNSSCTHHDPGVHLSLHRKPTAGGFHVKERTNGYNS >KJB41598 pep chromosome:Graimondii2_0_v6:7:8468413:8470034:1 gene:B456_007G111100 transcript:KJB41598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQPTRPWFRLASISRPAPQAPTPTPEPAAASAPQRSATIRPAFRPVGGASSVPTSPVSVGRTSQPSSPSDRKEASPKTASVPNSPVKTSPTAARPTTSPPKQTTPASLPSSSAKPSVATTASVPTSPARTVAAAVSAPTSSPAKTAPTTTSVTNSTTPKPAPSTTTTNRVASPKASTIPTVKPAMQSPVQSTKIKAPTAPPPSPLTLPPPQLRGQAELEPKIPVEAEQKTVLVQKMIEKPRGLFGAPQKDVGDTRDPSIHGKKEPSKYGDTKEKGNGKKFPSDSEDAGMRVITIAGENKGAFMELIKSPHKNGFQGSPHRLANSSRIGSDGTDYHSYSSSEDGDGKMKAKSNGSNTMPMSAFMNSNVQGVNNSIVYNSSCTHHDPGVHLSLHRKPTAGGFHVKERTNGYNS >KJB41822 pep chromosome:Graimondii2_0_v6:7:9777771:9779195:1 gene:B456_007G123300 transcript:KJB41822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYTSTCFIQDASLNKFAKVIDAQGNLRIVKLPANAADFMLEEPGYIISPVEELKRSRRPVAMRADDELLVGKLYVLVPMGRIHRKVTDADMAIIAVACRRKKKTNGAKVLPEDREVEECMKGVPIPLPAYPFGNYRPWIPVLEPIPELL >KJB41411 pep chromosome:Graimondii2_0_v6:7:7649924:7656274:1 gene:B456_007G102800 transcript:KJB41411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLENEGQHSLDQPADTSKEPQKKPRISYTRDFLLSLSELDVCKNLPLGFDHSILSDFEDTSQDRQRIPGTLSGYRRNEYSSSPPTRGDYSRGIHGRWDSRSSGKNDRDSDTQSDWDSDHGRRHGNQSRRSWQGPDHDGLLGSGSFPRPSGYMAGASGPKVRANDQYHLNRSNEPYHPPRPYKAVPHSRRETNDSYNDETFGSTEFTSEDRAEEERKRRASFESWRKEQHKAFLEKKINPERRKDDFDISELLKDFKGDKGVANRNKESDEPIPASNIFSDKTSLHSQTPASRPLVPPGFTSTVLERNVGTKSSMHSHSSQVGSSEIDGNPSESKGSLLLNGISDDLLGKHSKQCEEETLSEQRLESKNIHLLDNIRSVKAPNTPSALDKFNDTISKDSQIYKSSSLSEAFIAPGNGEVTELDSKKLVADKIVTETNQDGSISILDKLFGTAVTSNGGGSTNMTAPNDGNTDETWASDTSHSSKFAHLFLDEEKKPTDDFSLGRPKDLLSFIQGGEKGGSHDRIANKHVEANFPFENSELVDRHAISNLMSPRIENSEQSWNIKDVNKSAAVPTVLTCEDLEKSILSEGTENDPRLPPAIEGRKITDAKCEKQEVIDNHASQHLLSLLQNKTSVKNIVSPSNLDVGSSERVHTVETARVDMAPCDSIDTNAENASSSGKSLTLETLFGSAFMKELQSVGAPASVQRGSMDSARVDVSKSNWLPPHVTDDSLLPSAGHIVSNRTNFEKNILPFTQRERMKSDGIEEHLLGYNDAPSAADSSHIRAGLGSKLGGFDGSAEIGLPEEDSLLGVSNPVKLQNFMAGSAKSELLPSQETPIDVAEKLAALKAIFQDERPLVGGKEGPIFLPGSYDMRDPDIPSQNVQPSSPLLRPQLNHGGSMFHPLDSHHSNIGSQVKFMAPEGMIHHDAPPNHHLPANMLHPLHHPSSGLTGFDPPIHHPMLQQMHIPRNFPPPIMQRGIPGVPLLAPHSTNQMAGLLPELNPMHGFPLGHGHRQPQPNFAGLGMPPGNDVGSGSHHPEALQRLIEMELRSKSKQMGPFGAPGHSQGQGMYGHEPDMGFQNR >KJB41410 pep chromosome:Graimondii2_0_v6:7:7649924:7656239:1 gene:B456_007G102800 transcript:KJB41410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLENEGQHSLDQPADTSKEPQKKPRISYTRDFLLSLSELDVCKNLPLGFDHSILSDFEDTSQDRQRIPGTLSGYRRNEYSSSPPTRGDYSRGIHGRWDSRSSGKNDRDSDTQSDWDSDHGRRHGNQSRRSWQGPDHDGLLGSGSFPRPSGYMAGASGPKVRANDQYHLNRSNEPYHPPRPYKAVPHSRRETNDSYNDETFGSTEFTSEDRAEEERKRRASFESWRKEQHKAFLEKKINPERRKDDFDISELLKDFKGDKGVANRNKESDEPIPASNIFSDKTSLHSQTPASRPLVPPGFTSTVLERNVGTKSSMHSHSSQVGSSEIDGNPSESKGSLLLNGISDDLLGKHSKQCEEETLSEQRLESKNIHLLDNIRSVKAPNTPSALDKFNDTISKDSQIYKSSSLSEAFIAPGNGEVTELDSKKLVADKIVTETNQDGSISILDKLFGTAVTSNGGGSTNMTAPNDGNTDETWASDTSHSSKFAHLFLDEEKKPTDDFSLGRPKDLLSFIQGGEKGGSHDRIANKHVEANFPFENSELVDRHAISNLMSPRIENSEQSWNIKDVNKSAAVPTVLTCEDLEKSILSEGTENDPRLPPAIEGRKITDAKCEKQEVIDNHASQHLLSLLQNKTSVKNIVSPSNLDVGSSERVHTVETARVDMAPCDSIDTNAENASSSGKSLTLETLFGSAFMKELQSVGAPASVQRGSMDSARVDVSKSNWLPPHVTDDSLLPSAGHIVSNRTNFEKNILPFTQRERMKSDGIEEHLLGYNDAPSAADSSHIRAGLGSKLGGFDGSAEIGLPEEDSLLGVSNPVKLQNFMAGSAKSELLPSQETPIDVAEKLAALKAIFQDERPLVGGKEGPIFLPGSYDMRDPDIPSQNVQPSSPLLRPQLNHGGSMFHPLDSHHSNIGSQVKFMAPEGMIHHDAPPNHHLPANMLHPLHHPSSGLTGFDPPIHHPMLQQMHIPRNFPPPIMQRGIPGVPLLAPHSTNQMAGLLPELNPMHGFPLGHGHRQPQPNFAGLGMPPGKVYNTCLVSFSSLPISNLENLPAHFILGNAYN >KJB41409 pep chromosome:Graimondii2_0_v6:7:7649911:7656243:1 gene:B456_007G102800 transcript:KJB41409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLENEGQHSLDQPADTSKEPQKKPRISYTRDFLLSLSELDVCKNLPLGFDHSILSDFEDTSQDRQRIPGTLSGYRRNEYSSSPPTRGDYSRGIHGRWDSRSSGKNDRDSDTQSDWDSDHGRRHGNQSRRSWQGPDHDGLLGSGSFPRPSGYMAGASGPKVRANDQYHLNRSNEPYHPPRPYKAVPHSRRETNDSYNDETFGSTEFTSEDRAEEERKRRASFESWRKEQHKAFLEKKINPERRKDDFDISELLKDFKGDKGVANRNKESDEPIPASNIFSDKTSLHSQTPASRPLVPPGFTSTVLERNVGTKSSMHSHSSQVGSSEIDGNPSESKGSLLLNGISDDLLGKHSKQCEEETLSEQRLESKNIHLLDNIRSVKAPNTPSALDKFNDTISKDSQIYKSSSLSEAFIAPGNGEVTELDSKKLVADKIVTETNQDGSISILDKLFGTAVTSNGGGSTNMTAPNDGNTDETWASDTSHSSKFAHLFLDEEKKPTDDFSLGRPKDLLSFIQGGEKGGSHDRIANKHVEANFPFENSELVDRHAISNLMSPRIENSEQSWNIKDVNKSAAVPTVLTCEDLEKSILSEGTENDPRLPPAIEGRKITDAKCEKQEVIDNHASQHLLSLLQNKTSVKNIVSPSNLDVGSSERVHTVETARVDMAPCDSIDTNAENASSSGKSLTLETLFGSAFMKELQSVGAPASVQRGSMDSARVDVSKSNWLPPHVTDDSLLPSAGHIVSNRTNFEKNILPFTQRERMKSDGIEEHLLGYNDAPSAADSSHIRAGLGSKLGGFDGSAEIGLPEEDSLLGVSNPVKLQNFMAGSAKSELLPSQETPIDVAEKLAALKAIFQDERPLVGGKEGPIFLPGSYDMRDPDIPSQNVQPSSPLLRPQLNHGGSMFHPLDSHHSNIGSQVKFMAPEGMIHHDAPPNHHLPANMLHPLHHPSSGLTGFDPPIHHPMLQQMHIPRNFPPPIMQRGIPGVPLLAPHSTNQMAGLLPELNPMHGFPLGHGHRQPQPNFAGLGMPPGNDVGSGSHHPEALQRLIEMELRSKSKQMGPFGAPGHSQGQGMYGHEPDMGFQNR >KJB44321 pep chromosome:Graimondii2_0_v6:7:37421885:37422930:-1 gene:B456_007G246000 transcript:KJB44321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPFIQARLRRGSDHGVKAQGDGWVLTVALIEGANLASLDSTNDLDLYVVFTCNGKARTSSVKLQTHVTQWNGNFLKGHFFFLL >KJB39877 pep chromosome:Graimondii2_0_v6:7:2415278:2419150:1 gene:B456_007G035100 transcript:KJB39877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNACVRPDESPESKPNRSDQNQKGKKKARERKPNPFSEKVASPAPIRVLKDVIPLSHRTRIGDKYILGRELGRGEFGITYLCTDRETREALACKSISKRKLRTAVDIEDVRREVAIMSTLPEHPNIVKLKATYEDNENVHLVMELCEGGELFDRIVARGHYTERAAANVAKTIAEVVRMCHENGVMHRDLKPENFLFANKKEHAPLKVIDFGLSVFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQVSESAKSLVRQMLEPDPRKRLTAQQVLEHPWLQNTKKAPNVPLGDIVRARLKQFSVMNRFKKKALRVIAEHLSVEEVEVIKDMFALMDTDNDGKVSYEELKAGLRKVGSQLAEPEIKMLMEVADVDGNGVLDYGEFVAVTIHLQKMENDEHFRRAFMFFDKDGSGYIELDELRDALADESGETDVDALNDIMREVDTDKDGQICYDEFVAMMKAGTDWRKASRQYSRERFKSLSLNLMKDGSLQLHDAVTGQAVAV >KJB39875 pep chromosome:Graimondii2_0_v6:7:2415302:2419085:1 gene:B456_007G035100 transcript:KJB39875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNACVRPDESPESKPNRSDQNQKGKKKARERKPNPFSEKVASPAPIRVLKDVIPLSHRTRIGDKYILGRELGRGEFGITYLCTDRETREALACKSISKRKLRTAVDIEDVRREVAIMSTLPEHPNIVKLKATYEDNENVHLVMELCEGGELFDRIVARGHYTERAAANVAKTIAEVVRMCHENGVMHRDLKPENFLFANKKEHAPLKVIDFGLSVFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQVSESAKSLVRQMLEPDPRKRLTAQQVLEHPWLQNTKKAPNVPLGDIVRARLKQFSVMNRFKKKALRVIAEHLSVEEVEVIKDMFALMDTDNDGKVSYEELKAGLRKVGSQLAEPEIKMLMEVADVDGNGVLDYGEFVAVTIHLQKMENDEHFRRAFMFFDKDGSGYIELDELRDALADESGETDVDALNDIMREVDTDKDGQICYDEFVAMMKAGTDWRKASRQYSRERFKSLSLNLMKDGSLQLHDAVTGQAVAV >KJB39876 pep chromosome:Graimondii2_0_v6:7:2415302:2419087:1 gene:B456_007G035100 transcript:KJB39876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNACVRPDESPESKPNRSDQNQKGKKKARERKPNPFSEKVASPAPIRVLKDVIPLSHRTRIGDKYILGRELGRGEFGITYLCTDRETREALACKSISKRKLRTAVDIEDVRREVAIMSTLPEHPNIVKLKATYEDNENVHLVMELCEGGELFDRIVARGHYTERAAANVAKTIAEVVRMCHENGVMHRDLKPENFLFANKKEHAPLKVIDFGLSVFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQVSESAKSLVRQMLEPDPRKRLTAQQVLEHPWLQNTKKAPNVPLGDIVRARLKQFSVMNRFKKKALRVIAEHLSVEEVEVIKDMFALMDTDNDGKVSYEELKAGLRKVGSQLAEPEIKMLMEVADVDGNGVLDYGEFVAVTIHLQKMENDEHFRRAFMFFDKDGSGYIELDELRDALADESGETDVDALNDIMREVDTDKDGQICYDEFVAMMKAGTDWRKASRQYSRERFKSLSLNLMKDGSLQLHDAVTGQAVAV >KJB44380 pep chromosome:Graimondii2_0_v6:7:39160450:39161476:1 gene:B456_007G249200 transcript:KJB44380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVKLLKTWSSAFGLRVVWALKLKGIPYEAIDEDLSNKSALLLQYNPVHKKIPVLVHNGNPISESLVILEYIDETWKQNPILPQDPLHRARERFWAKFNDEKLLPSVWGAFTKEGKDREEAMAATMENLKFVEEELKGKKFFSGEKIGLVDLVFGWLANLISIFEAVTGFNIVGEGYPLLSAWMQEFSELPVIKDTWPPRDKMIIKYQALYDKYHPAE >KJB39407 pep chromosome:Graimondii2_0_v6:7:943887:945860:-1 gene:B456_007G011800 transcript:KJB39407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSVSSENFGSTDSNMMRFSILQSSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGNTVYLWDASDSSTSELVTIDDENGPVTSVNWAPDGRHIAIGLNNSEVQLWDSTANRQLRTLRGCHRSRVGSMAWNNHILTTGGMDGQIVNNDVRIRSHVVETYRGHQQEVCGLKWSASGQQLASGGNDNVVHIWDRSMASSNSPTQWLHRLEEHTSAVKALAWCPFQSNLLATGGGGGDRTIKFWNTHTGACLNSVDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVFGVPEVAKTAPKVNREPFSQLNRIR >KJB39406 pep chromosome:Graimondii2_0_v6:7:943705:946157:-1 gene:B456_007G011800 transcript:KJB39406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSLNSFSNLKGQSRCPLQEQLLQRKNSKENMDRFIPNRSAMDFDYAHYMLTEGRKIKENQTACSPAREAYRKQLAETLNMNRTRILAFKNKPPAPVELFPSEHSTASAHPTKSAKPRRHIPQSSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGNTVYLWDASDSSTSELVTIDDENGPVTSVNWAPDGRHIAIGLNNSEVQLWDSTANRQLRTLRGCHRSRVGSMAWNNHILTTGGMDGQIVNNDVRIRSHVVETYRGHQQEVCGLKWSASGQQLASGGNDNVVHIWDRSMASSNSPTQWLHRLEEHTSAVKALAWCPFQSNLLATGGGGGDRTIKFWNTHTGACLNSVDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVFGVPEVAKTAPKVNREPFSQLNRIR >KJB39408 pep chromosome:Graimondii2_0_v6:7:943887:945977:-1 gene:B456_007G011800 transcript:KJB39408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFIPNRSAMDFDYAHYMLTEGRKIKENQTACSPAREAYRKQLAETLNMNRTRILAFKNKPPAPVELFPSEHSTASAHPTKSAKPRRHIPQSSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGNTVYLWDASDSSTSELVTIDDENGPVTSVNWAPDGRHIAIGLNNSEVQLWDSTANRQLRTLRGCHRSRVGSMAWNNHILTTGGMDGQIVNNDVRIRSHVVETYRGHQQEVCGLKWSASGQQLASGGNDNVVHIWDRSMASSNSPTQWLHRLEEHTSAVKALAWCPFQSNLLATGGGGGDRTIKFWNTHTGACLNSVDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVFGVPEVAKTAPKVNREPFSQLNRIR >KJB39741 pep chromosome:Graimondii2_0_v6:7:1965870:1968854:-1 gene:B456_007G028700 transcript:KJB39741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPFLSTPFQPYSQQDAVIPFQILGGEAQILQIMLKPQEEVIAKPGSMCFMSGSIEMENTYVPEHEVGMWQWLFGKSITSIALRNPGPNDGFVGIAAPSLARILPLDAFLCSINDVKGCLRQKLSGQGLAFIIAGGSVVQKNLEVGEVLAVDMSCIVALTASINVQIKYNGPIRRAVFGSENLVTAVLTGPGIVFIQSLPFHRLSQRFARAVTSTNMRGNPKFFLQIVIIFFLAYVVIVSSLILTDV >KJB46085 pep chromosome:Graimondii2_0_v6:7:57821894:57829852:1 gene:B456_007G348200 transcript:KJB46085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSIAQMGSKSDEFPDETDHVAVGSQYSINEDKTGDSLYHRPSEASNLLSVNSSHDSYSESIESKATARPSDVANAMVDVGIDRTFSNKSESKGVESHVDSISCPGRASDANIAFSYSNKDLDGKNSSRSATSVCSLGSGKAPTSEKLELSEPASVKEGSSSPRIQTPYLHSGSSKSAVGGSSEISPKIHPKLEADIDDNGRDPLDKTGKNLKEDELDELNEFVKLPDKQESPSQTASVDESFESDASEHDVKVCDICGDAGREDLLAICSKCTDGAEHTYCMREMLQKVPEGDWLCEECKLGEGTESQKQGLDAEGKKANKLSSSTRSLGKRHAENLEGASAPKRQAIQTNMGSPKSLSPSRLSAFSREGSFKNLDKGKVRPSPQISLGNHSGNGMPEAVRTPPSGPRLQTSKGSLLKCSSFNTLNSKPKVKLVDEVVIQKRKGAREHASFDSKEEPARIMGKSMSFKSGNSGRLNSGESKVKTLSSKYPHVQDLKGLKQVKEQISSERKNISKLNHSSSSVSTPKVDQKLTPRADAISHSSAINHRETKVVQSEGRPNTLSRSTSNLARKGVDNAVSSVVSSTNGRNSSEQKVNPVSLKEEPSSSSSRASERQPSNNNGVMSDGLSQSVDSINQSEKSRESSVSRSSKRVPCLTCKGMGHTAEYCSVCQASGADQSAPRTSREEINKGNKLKAAIEAAMRLKPGICERTSQDPSSVCDKAKNVISVESTDEGKTNICNHASTANIKLLNSHSTDAVSVVSSVGNLSVRDNYVPPLATVSAVPKLSAIPEHEYIWQGAFDVNKLGKPPELCGGIQAHISTLASPKVLEVVNSFPHKVSLYEVPRLSTWPAQFHDSSPKEDNIALYFFAKDLESYQNNYKVLLDTMVKNDLAVKGNFEGVELLIFPSNHLPEHCQRWNNLLFLWGVFKARKANCSNSSKSVCNPDASMVCLEKQRSSDIAQPVDNESAACDSSCNVVPVTTSVEKTCISTDRVGDNKVASFEQTFGGIKEKLEEQDVKVDTKFLSRIATSSTQVQPKMKCTTTLEESKFPDSQSDTELKPCLLVTETNNGSFKVEKVEMHIEEAKPSLNNCPTGKQEAVVEEKIGGDPVKIRDSKVDVCTDGKTSIRDLNSLQLNHPKTPFLDLTKPVPEVSTDTSQKLPWTEVKRVSVGRGCDNKKLKTGFSGIYQYTSARDQVPFRDDGLASDRHYPGSGSLVEEKRCDIACEEKIIPEDMGSSERFFFPVESRGPGEFRLGDNSKPWKELSLKDEDQVHDTSPNLELALGAETRPSNKGILPFFVGAMEKNDNRNTPQDKVTKKQEEDDVSASLSLSLSFPFPEMERNVKPVPKPEQQLPERHPVNTSLLLFQGFPEK >KJB46086 pep chromosome:Graimondii2_0_v6:7:57821894:57829852:1 gene:B456_007G348200 transcript:KJB46086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSIAQMGSKSDEFPDETDHVAVGSQYSINEDKTGDSLYHRPSEASNLLSVNSSHDSYSESIESKATARPSDVANAMVDVGIDRTFSNKSESKGVESHVDSISCPGRASDANIAFSYSNKDLDGKNSSRSATSVCSLGSGKAPTSEKLELSEPASVKEGSSSPRIQTPYLHSGSSKSAVGGSSEISPKIHPKLEADIDDNGRDPLDKTGKNLKEDELDELNEFVKLPDKQESPSQTASVDESFESDASEHDVKVCDICGDAGREDLLAICSKCTDGAEHTYVYCMREMLQKVPEGDWLCEECKLGEGTESQKQGLDAEGKKANKLSSSTRSLGKRHAENLEGASAPKRQAIQTNMGSPKSLSPSRLSAFSREGSFKNLDKGKVRPSPQISLGNHSGNGMPEAVRTPPSGPRLQTSKGSLLKCSSFNTLNSKPKVKLVDEVVIQKRKGAREHASFDSKEEPARIMGKSMSFKSGNSGRLNSGESKVKTLSSKYPHVQDLKGLKQVKEQISSERKNISKLNHSSSSVSTPKVDQKLTPRADAISHSSAINHRETKVVQSEGRPNTLSRSTSNLARKGVDNAVSSVVSSTNGRNSSEQKVNPVSLKEEPSSSSSRASERQPSNNNGVMSDGLSQSVDSINQSEKSRESSVSRSSKRVPCLTCKGMGHTAEYCSVCQASGADQSAPRTSREEINKGNKLKAAIEAAMRLKPGICERTSQDPSSVCDKAKNVISVESTDEGKTNICNHASTANIKLLNSHSTDAVSVVSSVGNLSVRDNYVPPLATVSAVPKLSAIPEHEYIWQGAFDVNKLGKPPELCGGIQAHISTLASPKVLEVVNSFPHKVSLYEVPRLSTWPAQFHDSSPKEDNIALYFFAKDLESYQNNYKVLLDTMVKNDLAVKGNFEGVELLIFPSNHLPEHCQRWNNLLFLWGVFKARKANCSNSSKSVCNPDASMVCLEKQRSSDIAQPVDNESAACDSSCNVVPVTTSVEKTCISTDRVGDNKVASFEQTFGGIKEKLEEQDVKVDTKFLSRIATSSTQVQPKMKCTTTLEESKFPDSQSDTELKPCLLVTETNNGSFKVEKVEMHIEEAKPSLNNCPTGKQEAVVEEKIGGDPVKIRDSKVDVCTDGKTSIRDLNSLQLNHPKTPFLDLTKPVPEVSTDTSQKLPWTEVKRVSVGRGCDNKKLKTGFSGIYQYTSARDQVPFRDDGLASDRHYPGSGSLVEEKRCDIACEEKIIPEDMGSSERFFFPVESRGPGEFRLGDNSKPWKELSLKDEDQVHDTSPNLELALGAETRPSNKGILPFFVGAMEKNDNRNTPQDKVTKKQEEDDVSASLSLSLSFPFPEMERNVKPVPKPEQQLPERHPVNTSLLLFQGFPEK >KJB46087 pep chromosome:Graimondii2_0_v6:7:57821894:57829852:1 gene:B456_007G348200 transcript:KJB46087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSIAQMGSKSDEFPDETDHVAVGSQYSINEDKTGDSLYHRPSEASNLLSVNSSHDSYSESIESKATARPSDVANAMVDVGIDRTFSNKSESKGVESHVDSISCPGRASDANIAFSYSNKDLDGKNSSRSATSVCSLGSGKAPTSEKLELSEPASVKEGSSSPRIQTPYLHSGSSKSAVGGSSEISPKIHPKLEADIDDNGRDPLDKTGKNLKEDELDELNEFVKLPDKQESPSQTASVDESFESDASEHDVKVCDICGDAGREDLLAICSKCTDGAEHTYCMREMLQKVPEGDWLCEECKLGEGTESQKQGLDAEGKKANKLSSSTRSLGKRHAENLEGASAPKRQAIQTNMGSPKSLSPSRLSAFSREGSFKNLDKGKVRPSPQISLGNHSGNGMPEAVRTPPSGPRLQTSKGSLLKCSSFNTLNSKPKVKLVDEVVIQKRKGAREHASFDSKEEPARIMGKSMSFKSGNSGRLNSGESKVKTLSSKYPHVQDLKGLKQVKEQISSERKNISKLNHSSSSVSTPKVDQKLTPRADAISHSSAINHRETKVVQSEGRPNTLSRSTSNLARKGVDNAVSSVVSSTNGRNSSEQKVNPVSLKEEPSSSSSRASERQPSNNNGVMSDGLSQSVDSINQSEKSRESSVSRSSKRVPCLTCKGMGHTAEYCSVCQASGADQSAPRTSREEINKGNKLKAAIEAAMRLKPGICERTSQDPSSVCDKAKNVISVESTDEGKTNICNHASTANIKLLNSHSTDAVSVVSSVGNLSVRDNYVPPLATVSAVPKLSAIPEHEYIWQGAFDVNKLGKPPELCGGIQAHISTLASPKVLEVVNSFPHKVSLYEVPRLSTWPAQFHDSSPKEDNIALYFFAKDLESYQNNYKVLLDTMVKNDLAVKGNFEGVELLIFPSNHLPEHCQRWNNLLFLWGVFKARKANCSNSSKSVCNPDASMVCLEKQRSSDIAQPVDNESAACDSSCNVVPVTTSVEKTCISTDRVGDNKVASFEQTFGGIKEKLEEQDVKVDTKFLSRIATSSTQVQPKMKCTTTLEESKFPDSQSDTELKPCLLVTETNNGSFKVEKVEMHIEEAKPSLNNCPTGKQEAVVEEKIGGDPVKIRDSKVDVCTDGKTSIRDLNSLQLNHPKTPFLDLTKPVPEVSTDTSQKLPWTEVKRVSVGRGCDNKKLKTGFSGIYQYTSARDQVPFRDDGLASDRHYPGSGSLVEEKRCDIACEEKIIPEDMGSSERFFFPVESRGPGEFRLGDNSKPWKELSLKDEDQVHDTSPNLELALGAETRPSNKGILPFFVGAMEKNDNRNTPQDKVTKKQEEDDVSASLSLSLSFPFPEMERNVKPVPKPEQQLPERHPVNTSLLLFQGFPEK >KJB46084 pep chromosome:Graimondii2_0_v6:7:57821894:57829852:1 gene:B456_007G348200 transcript:KJB46084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSIAQMGSKSDEFPDETDHVAVGSQYSINEDKTGDSLYHRPSEASNLLSVNSSHDSYSESIESKATARPSDVANAMVDVGIDRTFSNKSESKGVESHVDSISCPGRASDANIAFSYSNKDLDGKNSSRSATSVCSLGSGKAPTSEKLELSEPASVKEGSSSPRIQTPYLHSGSSKSAVGGSSEISPKIHPKLEADIDDNGRDPLDKTGKNLKEDELDELNEFVKLPDKQESPSQTASVDESFESDASEHDVKVCDICGDAGREDLLAICSKCTDGAEHTYCMREMLQKVPEGDWLCEECKLGEGTESQKQGLDAEGKKANKLSSSTRSLGKRHAENLEGASAPKRQAIQTNMGSPKSLSPSRLSAFSREGSFKNLDKGKVRPSPQISLGNHSGNGMPEAVRTPPSGPRLQTSKGSLLKCSSFNTLNSKPKVKLVDEVVIQKRKGAREHASFDSKEEPARIMGKSMSFKSGNSGRLNSGESKVKTLSSKYPHVQDLKGLKQVKEQISSERKNISKLNHSSSSVSTPKVDQKLTPRADAISHSSAINHRETKVVQSEGRPNTLSRSTSNLARKGVDNAVSSVVSSTNGRNSSEQKVNPVSLKEEPSSSSSRASERQPSNNNGVMSDGLSQSVDSINQSEKSRESSVSRSSKRVPCLTCKGMGHTAEYCSVCQASGADQSAPRTSREEINKGNKLKAAIEAAMRLKPGICERTSQDPSSVCDKAKNVISVESTDEGKTNICNHASTANIKLLNSHSTDAVSVVSSVGNLSVRDNYVPPLATVSAVPKLSAIPEHEYIWQGAFDVNKLGKPPELCGGIQAHISTLASPKVLEVVNSFPHKVSLYEVPRLSTWPAQFHDSSPKEDNIALYFFAKDLESYQNNYKVLLDTMVKNDLAVKGNFEGVELLIFPSNHLPEHCQRWNNLLFLWGVFKARKANCSNSSKSVCNPDASMVCLEKQRSSDIAQPVDNESAACDSSCNVVPVTTSVEKTCISTDRVGDNKVASFEQTFGGIKEKLEEQDVKVDTKFLSRIATSSTQV >KJB43446 pep chromosome:Graimondii2_0_v6:7:20422408:20422775:-1 gene:B456_007G200800 transcript:KJB43446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLPHCLSPRHFRRTLKIPIERKKISSVSLTSLQSSFPVCRGIWRNHKYIYVCLIRLPFTVFSPIRNP >KJB41815 pep chromosome:Graimondii2_0_v6:7:10044189:10046624:-1 gene:B456_007G125900 transcript:KJB41815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-42 [Source:Projected from Arabidopsis thaliana (AT4G02195) UniProtKB/Swiss-Prot;Acc:Q9SWH4] MATRNRTVQYKKTRDAVKSVRAPLSSSASSSGGPVIEMVNASFLRSNRSSYAPLSTEEDPGPSSGAFTIGLPPSWVDDSEEIAANIQRAKAKMAELAKAHSKALMPSFGDGKEDQRAIEVLTKEITDLLRKSEKRLRNLSNNGSSEDSTLQKNVQRSLATDLQTQSVELRRKQSTYLKRLQKQKEDGADLEMNLNDNRYRLDDDDFGGMGFNEHQMSKLKQSEFSTVEREREITQVVQSVNELAQIMKDLSALVIDQGTIVDRIDYNIQNVATSVEEGFKQLQKAERTQKKGGMVMCATVLVILCFIMIVLLILKELLLQSF >KJB41816 pep chromosome:Graimondii2_0_v6:7:10045099:10046500:-1 gene:B456_007G125900 transcript:KJB41816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-42 [Source:Projected from Arabidopsis thaliana (AT4G02195) UniProtKB/Swiss-Prot;Acc:Q9SWH4] MATRNRTVQYKKTRDAVKSVRAPLSSSASSSGGPVIEMVNASFLRSNRSSYAPLSTEEDPGPSSGAFTIGLPPSWVDDSEEIAANIQRAKAKMAELAKAHSKALMPSFGDGKEDQRAIEVLTKEITDLLRKSEKRLRNLSNNGSSEDSTLQKNVQRSLATDLQTQSVELRRKQSTYLKRLQKQKEDGADLEMNLNDNRYRLDDDDFGGMGFNEHQMSKLKQSEFSTVEREREITQVPE >KJB41817 pep chromosome:Graimondii2_0_v6:7:10045099:10046500:-1 gene:B456_007G125900 transcript:KJB41817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-42 [Source:Projected from Arabidopsis thaliana (AT4G02195) UniProtKB/Swiss-Prot;Acc:Q9SWH4] MATRNRTVQYKKTRDAVKSVRAPLSSSASSSGGPVIEMVNASFLRSNRSSYAPLSTEEDPGPSSGAFTIGLPPSWVDDSEEIAANIQRAKAKMAELAKAHSKALMPSFGDGKEDQRAIEVLTKEITDLLRKSEKRLRNLSNNGSSEDSTLQKNVQRSLATDLQTQSVELRRKQSTYLKRLQKQKEDGADLEMNLNDNRYRLDDDDFGGMGFNEHQMSKLKQSEFSTVEREREITQVPE >KJB41814 pep chromosome:Graimondii2_0_v6:7:10044139:10046871:-1 gene:B456_007G125900 transcript:KJB41814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-42 [Source:Projected from Arabidopsis thaliana (AT4G02195) UniProtKB/Swiss-Prot;Acc:Q9SWH4] MLLSFAPIALPTLLSALKKTLVLQGLPPSWVDDSEEIAANIQRAKAKMAELAKAHSKALMPSFGDGKEDQRAIEVLTKEITDLLRKSEKRLRNLSNNGSSEDSTLQKNVQRSLATDLQTQSVELRRKQSTYLKRLQKQKEDGADLEMNLNDNRYRLDDDDFGGMGFNEHQMSKLKQSEFSTVEREREITQVVQSVNELAQIMKDLSALVIDQGTIVDRIDYNIQNVATSVEEGFKQLQKAERTQKKGGMVMCATVLVILCFIMIVLLILKELLLQSF >KJB41166 pep chromosome:Graimondii2_0_v6:7:48923493:48926436:1 gene:B456_007G285500 transcript:KJB41166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKAVTIRTRKFMTNRLLSRKQFIIDILHPGRPNVSKAELKEKLARMYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKASEAAKKK >KJB41165 pep chromosome:Graimondii2_0_v6:7:48923591:48926412:1 gene:B456_007G285500 transcript:KJB41165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKAVTIRTRKFMTNRLLSRKQFIIDILHPGRPNVSKAELKEKLARMYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKASEAAKKK >KJB41164 pep chromosome:Graimondii2_0_v6:7:48923591:48926412:1 gene:B456_007G285500 transcript:KJB41164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKAVTIRTRKFMTNRLLSRKQFIIDILHPGRPNVSKAELKEKLARMYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKASEAAKKK >KJB46510 pep chromosome:Graimondii2_0_v6:7:60553900:60555955:1 gene:B456_007G373200 transcript:KJB46510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVESTRDTKKGEERRSSLSGRPKADDFGRSVSKIAVAQICESVGYQGCKESALEALADISIRYLCDLGKNASFHANLAGRTECNVFDITRALEDLGDSHGFPGASEVGSCLVGSGTVREIVQFVDTKEEIPFAQPVPKFPVVRNQKLIPSFEHMKETPSGKHTPSWLPAFPDPHTYNHTPMWNERGSDPCADKIEQARQRRKAERALLRLQQRLVCNGSTGTSSSTVLDAKTENIQESRSSPFLAVPLQPGEKDVSEVVLPAKLSNEPIKDDHVTLLEAYAPAIEAMKTGPSNGLDSEKMFLPEKRPAVQFKFKTGKKVLGELLDLSLQKKGERSSTFFLRDEERDDKKRRAEFILRQTTEYPMELNQS >KJB39405 pep chromosome:Graimondii2_0_v6:7:924359:927470:-1 gene:B456_007G011700 transcript:KJB39405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAATYKVVLGSIAFAIFWVLAVFPAVPLLPIGRTAGSLLGAMLMVLFRVITPDEAYAAIDLPILGLLFGTMVVSIYLERADMFKYLGKLLSWKSKGAKDLICRICLVSAISSAFFTNDTSCVILTEFVLKIARQHNLPPHPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGDFLVGVLPAMLVGGSVNALILIIMYWRLLSVHKDEEDGTGEIVGEDDVSSHRFSPATMSHISASNSMDHVQSSPNSINGSLATHLDSLRNRVNSGDQLEIQRSPCGSIDSNDISSPAQFSEEKESKTEHWKNKMWKLCVYLVTIGMLVALLMGLNMSWTAITASLALVVLDFKDARPCLEKVSYSLLLFFCGMFITVEGFNKTGIPSTLWNFMEPHANVDRVSGIAVLAAVILLLSNVASNVPTVLLLGGRVAASAAAISASEEKKAWLILAWVSTVAGNLSLLGSAANLIVCEQARRVPHLGYTLSFWNHLKFGVPSTLIVTAIGLTLIR >KJB39404 pep chromosome:Graimondii2_0_v6:7:923421:927470:-1 gene:B456_007G011700 transcript:KJB39404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAATYKVVLGSIAFAIFWVLAVFPAVPLLPIGRTAGSLLGAMLMVLFRVITPDEAYAAIDLPILGLLFGTMVVSIYLERADMFKYLGKLLSWKSKGAKDLICRICLVSAISSAFFTNDTSCVILTEFVLKIARQHNLPPHPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGDFLVGVLPAMLVGGSVNALILIIMYWRLLSVHKDEEDGTGEIVGEDDVSSHRFSPATMSHISASNSMDHVQSSPNSINGSLATHLDSLRNRVNSGDQLEIQRSPCGSIDSNDISSPAQFSEEKESKTEHWKNKMWKLCVYLVTIGMLVALLMGLNMSWTAITASLALVVLDFKDARPCLEKVSYSLLLFFCGMFITVEGFNKTGIPSTLWNFMEPHANVDRVSGIAVLAAVILLLSNVASNVPTVLLLGGRVAASAAAISASEEKKAWLILAWVSTVAGNLSLLGSAANLIVCEQARRVPHLGYTLSFWNHLKFGVPSTLIVTAIGLTLIR >KJB39957 pep chromosome:Graimondii2_0_v6:7:2741633:2744829:-1 gene:B456_007G039400 transcript:KJB39957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSKIGSILRQTTSMHVNAELSASRPGLFQVLRSMSTSPSSKLFVGGISFQTDDQSLREAFSKYGEVIDARIIMDRETGRSRGFGFVTYTSSEDASSALQALDGQVLHGRQVRVNYANERPPRNFGGGGFNSGYGGGGYGGGGGGYGGGSGGYGGGGGGYDRNDGFSSGNYGGNVGYANTGGSSYGGQGSYGGGNNYGTGGVSYGSDFGQSGVDGGNFNVGGGDSFSTGGSTGYGGDSMGFGGGEDGYKGGAYDGNDALNDNSRDEDESGDFVKRA >KJB39956 pep chromosome:Graimondii2_0_v6:7:2741633:2744707:-1 gene:B456_007G039400 transcript:KJB39956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSKIGSILRQTTSMHVNAELSASRPGLFQVLRSMSTSPSSKLFVGGISFQTDDQSLREAFSKYGEVIDARIIMDRETGRSRGFGFVTYTSSEDASSALQALDGQVLHGRQVRVNYANERPPRNFGGGGFNSGYGGGGGGYDRNDGFSSGNYGGNVGYANTGGSSYGGQGSYGGGNNYGTGGVSYGSDFGQSGVDGGNFNVGGGDSFSTGGSTGYGGDSMGFGGGEDGYKGGAYDGNDALNDNSRDEDESGDFVKRA >KJB39955 pep chromosome:Graimondii2_0_v6:7:2741633:2744707:-1 gene:B456_007G039400 transcript:KJB39955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSKIGSILRQTTSMHVNAELSASRPGLFQVLRSMSTSPSSKLFVGGISFQTDDQSLREAFSKYGEVIDARIIMDRETGRSRGFGFVTYTSSEDASSALQALDGQVLHGRQVRVNYANERPPRNFGGGGFNSGYGGGGYGGGGGGYGGGSGVSYGSDFGQSGVDGGNFNVGGGDSFSTGGSTGYGGDSMGFGGGEDGYKGGAYDGNDALNDNSRDEDESGDFVKRA >KJB41362 pep chromosome:Graimondii2_0_v6:7:7462641:7465983:1 gene:B456_007G100700 transcript:KJB41362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRSFLTKRCLTYNELMMTRRLVSTEPIIDSPSFAQRLRDLPTDLLDTKIKREVYQLIGRTPLVFLNKVTEGCGAYIAVKQEMMMPTASIKDRPAFSMITDAEKKNLISPGKTTLIEPTSGNMGISMAFMAAIKGYKMVLTMPSYTSLERRVTMRAFGAGLVLTGPTKGMEGTVKKAYELLESTPNAFMLQQFSNPANTQAHFETTGPEIWEDTLGKVDIFVMGIGSGGTVSGVGQYLKSQNPNVKIYGVEPAESNVLNGGKPGQQ >KJB41364 pep chromosome:Graimondii2_0_v6:7:7462778:7465983:1 gene:B456_007G100700 transcript:KJB41364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRSFLTKRCLTYNELMMTRRLVSTEPIIDSPSFAQRLRDLPTDLLDTKIKREVYQLIGRTPLVFLNKVTEGCGAYIAVKQEMMMPTASIKDRPAFSMITDAEKKNLISPGKTTLIEPTSGNMGISMAFMAAIKGYKMVLTMPSYTSLERRVTMRAFGAGLVLTGPTKGMEGTVKKAYELLESTPNAFMLQQFSNPANTQAHFETTGPEIWEDTLGKVDIFVMGIGSGGTVSGVGQYLKSQNPNVKIYGVEPAESNVLNGGKPGPHHITGNGVGFKPDILDMDVMEKVLEVSSEDAVNMARELALKEGLLVIT >KJB41363 pep chromosome:Graimondii2_0_v6:7:7462641:7465983:1 gene:B456_007G100700 transcript:KJB41363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRSFLTKRCLTYNELMMTRRLVSTEPIIDSPSFAQRLRDLPTDLLDTKIKREVYQLIGRTPLVFLNKVTEGCGAYIAVKQEMMMPTASIKDRPAFSMITDAEKKNLISPGKTTLIEPTSGNMGISMAFMAAIKGYKMVLTMPSYTSLERRVTMRAFGAGLVLTGPTKGMEGTVKKAYELLESTPNAFMLQQFSNPANTQAHFETTGPEIWEDTLGKVDIFVMGIGSGGTVSGVGQYLKSQNPNVKIYGVEPAESNVLNGGKPGPHHITGNGVGFKPDILDMDVMEKVLEVSSEDAVNMARELALKEGLLVGISSGANAIAALRLARMPENKGKLIVTVLPSFGERYLSSVLFQELRKEAENLQPVAVD >KJB44252 pep chromosome:Graimondii2_0_v6:7:35238840:35239289:1 gene:B456_007G242300 transcript:KJB44252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSQKHKITGKCIDWPVQELESYCWPPNQSHTIPNLERYCTLDSSSSMQNSSSTASFSPNGSPVSQQNYTYPLELHHSPKNTCATPVSGSCVIDNENDLGLMVRQ >KJB42796 pep chromosome:Graimondii2_0_v6:7:15069595:15070037:1 gene:B456_007G168500 transcript:KJB42796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYDLLLLLVKDNMQTASSNKNIQAETLNLNDLLGSWDPGTTHYREQIDHEKCPPQFLLEPSLNSLSLPYANCMLV >KJB40461 pep chromosome:Graimondii2_0_v6:7:4565930:4568839:-1 gene:B456_007G065000 transcript:KJB40461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQSTAGQVIRCKAAVAWEAGKPLVIEEVEVAPPQAMEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVDSVGEGVTHLKPGDHVLPVFTGECGNCRHCKSKESNMCDLLRINTDRGVMLSDGKTRFSINGKPIYHFVGTSTFSEYTVAHVGSVAKINPAAPLDKVCILSCGISTGLGATLNVAKPTKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNPNRFEEAKKFGCTEFVNPKDHNKPVQEVLAEMTDGGVDRSVECTGNINAMISAFECVHDGWGVAVLVGVPNXXXXXXXXXXXXXXXXXXXXXXXXXXXXRSDLPSVVEKYMNKELELEKFITHQVAFRDINKAFDYMLAGEGIRCIIRMDA >KJB40460 pep chromosome:Graimondii2_0_v6:7:4565848:4568107:-1 gene:B456_007G065000 transcript:KJB40460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDLLRINTDRGVMLSDGKTRFSINGKPIYHFVGTSTFSEYTVAHVGSVAKINPAAPLDKVCILSCGISTGLGATLNVAKPTKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNPNRFEEAKKFGCTEFVNPKDHNKPVQEVLAEMTDGGVDRSVECTGNINAMISAFECVHDGWGVAVLVGVPNXXXXXXXXXXXXXXXXXXXXXXXXXXXXRSDLPSVVEKYMNKELELEKFITHQVAFRDINKAFDYMLAGEGIRCIIRMDA >KJB40462 pep chromosome:Graimondii2_0_v6:7:4565848:4568784:-1 gene:B456_007G065000 transcript:KJB40462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQSTAGQVIRCKAAVAWEAGKPLVIEEVEVAPPQAMEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVDSVGEGVTHLKPGDHVLPVFTGECGNCRHCKSKESNMCDLLRINTDRGVMLSDGKTRFSINGKPIYHFVGTSTFSEYTVAHVGSVAKINPAAPLDKVCILSCGISTGLGATLNVAKPTKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNPNRFEEAKKFGCTEFVNPKDHNKPVQEVLAEMTDGGVDRSVECTGNINAMISAFECVHDGWGVAVLVGVPNXXXXXXXXXXXXXXXXXXXXXXXXXXXXRSDLPSVVEKYMNKELELEKFITHQVAFRDINKAFDYMLAGEGIRCIIRMDA >KJB40463 pep chromosome:Graimondii2_0_v6:7:4565930:4568861:-1 gene:B456_007G065000 transcript:KJB40463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMYMSLTNALSKQASLTCKNISPLDSYFKRCQAPKPQFTRIFFQVSKRRKRIVCQVNMSAQSTAGQVIRCKAAVAWEAGKPLVIEEVEVAPPQAMEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVDSVGEGVTHLKPGDHVLPVFTGECGNCRHCKSKESNMCDLLRINTDRGVMLSDGKTRFSINGKPIYHFVGTSTFSEYTVAHVGSVAKINPAAPLDKFNGVLNHFRGLGATLNVAKPTKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNPNRFEEAKKFGCTEFVNPKDHNKPVQEVLAEMTDGGVDRSVECTGNINAMISAFECVHDGWGVAVLVGVPNXXXXXXXXXXXXXXXXXXXXXXXXXXXXRSDLPSVVEKYMNKELELEKFITHQVAFRDINKAFDYMLAGEGIRCIIRMDA >KJB43268 pep chromosome:Graimondii2_0_v6:7:18702728:18705695:1 gene:B456_007G191000 transcript:KJB43268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETLPPPPSPSSDEDFANDGAWYGNIQYLLNISTIGLLCCVLIFVFLKLRSDHRLIPGPSALFAKLLAVWHATGREIARHCGADAAQFLLIEGGSFAILLSVAFVAVSVLLPVNLYGGTALLDDQFSKTTVSHISKGSGLLWVHFLFVVFVVAIFHYGMSAVEERLRITRFRDGNGNLSDPNSNSTAIFTIMVQGLPKNLGVDKGVVLEYFQYKYPGKVYRVVMPMDLCSLDDLATELVKVRDEITWLIAKIDSRLLPEESEDVNGNEGFLGWIRWLGRKIQRVFDQISGTFGFTDEEKLRKLQELRAELETELAAYKEGHAPGAGVAFVMFKDVYTANKAVQDFRNEKKRRFGKFFSVMELKLQRNQWKVERAPLATDIYWNHLGSTKLSLKLRRVFVNSCLLLMLLFFSSPLAVITAVQSAARIINAEAIDNAQSWLAWVQSSSWLASLVFQFLPNVIIFVSMYIVVPSALSYLSKFERHLTVSSEQRAALLKMVCFFLVNLILLRALVESSLESAILRMGRCYLDGEDCKRIEQYMSASFLSRSCLSSLAFLITSTFLGISYDLLAPVPWIKNKLQKFRKNDMLQLVPENTEEYPLENQNLNNLRRPLMPESLFDSPRMGDIDIPGQDLSVYPISSRTSPIPKQKFDFAQYYAFNLTIFALTLIYSSFAPLVVPVGAVYFGYRYVVDKYNFLFVYRVRGFPAGNDGRLMDTVLSIMRFCLDLFLISMLLFFSVKGDSTKLQAIFTLGLLVIYKLLPSDSDSFQPGLLEGMQNIDSIIDGPIDYEVFSQPRFDWDTYSL >KJB44988 pep chromosome:Graimondii2_0_v6:7:48631995:48634062:1 gene:B456_007G283900 transcript:KJB44988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALINKINKSIVAPLPTKYSGAFRSLVKSMLRKNPELRPSAEELLRHPHLQSYVVKVHLKINNPRRNTLPVLWPETNIKKTRFSDPVDAHFSVYREKRRSFSNDRTLNPSISGAEQDSVCSTKGIHQDTPGFSNRKSENFSIDSSEEGTVICKPVASKVSSATKTTRRSLTKASATPKRKTEPLKKRDSFHFSRTPVKKPLPATRRASLPLPTRNTVRESPCRSNAGILHLIQSPDVSVNAPQIDKIAEFPLASYEDAFFPIEKPSSKSAKGFSASPQFVDLSITKDKCTVQICDQTSTKLNYDDEWQGIQRSTFQVDGDALTNSSDQNATAGASSCTSSDTRRRRFDPSSFQQRAEALEGLLEFSARLLQQERYDELGVLLKPFGPGKVSPRETAIWLTKSFKENTVKPED >KJB44985 pep chromosome:Graimondii2_0_v6:7:48627870:48634067:1 gene:B456_007G283900 transcript:KJB44985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGAFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEMELISKIRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGMLFSEEKLCKWLVQLLMALDYLHLNHILHRDVKCSNIFLTKDQDIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRSLVKSMLRKNPELRPSAEELLRHPHLQSYVVKVHLKINNPRRNTLPVLWPETNIKKTRFSDPVDAHFSVYREKRRSFSNDRTLNPSISGAEQDSVCSTKGIHQDTPGFSNRKSENFSIDSSEEGTVICKPVASKVSSATKTTRRSLTKASATPKRKTEPLKKRDSFHFSRTPVKKPLPATRRASLPLPTRNTVRESPCRSNAGILHLIQSPDVSVNAPQIDKIAEFPLASYEDAFFPIEKPSSKSAKGFSASPQFVDLSITKDKCTVQICDQTSTKLNYDDEWQGIQRSTFQVDGDALTNSSDQNATAGASSCTSSDTRRRRFDPSSFQQRAEALEGLLEFSARLLQQERYDELGVLLKPFGPGKVSPRETAIWLTKSFKENTVKPED >KJB44986 pep chromosome:Graimondii2_0_v6:7:48627870:48634112:1 gene:B456_007G283900 transcript:KJB44986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGAFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEMELISKIRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGMLFSEEKLCKWLVQLLMALDYLHLNHILHRDVKCSNIFLTKDQDIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRSLVKSMLRKNPELRPSAEELLRHPHLQSYVVKVHLKINNPRRNTLPVLWPETNIKKTRFSDPVDAHFSVYREKRRSFSNDRTLNPSISGAEQDSVCSTKGIHQDTPGFSNRKSENFSIDSSEEGTVICKPVASKVSSATKTTRRSLTKASATPKRKTEPLKKRDSFHFSRTPVKKPLPATRRASLPLPTRNTVRESPCRSNAGILHLIQSPDVSVNAPQIDKIAEFPLASYEDAFFPIEKPSSKSAKGFSASPQFVDLSITKDKCTVQICDQTSTKLNYDDEWQGIQRSTFQVDGDALTNSSDQNATAGASSCTSSDTRRRRFDPSSFQQRAEALEGLLEFSARLLQQERYDELGVLLKPFGPGKVSPRETAIWLTKSFKENTVKPED >KJB44987 pep chromosome:Graimondii2_0_v6:7:48627870:48634062:1 gene:B456_007G283900 transcript:KJB44987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGAFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEMELISKIRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGMLFSEEKLCKWLVQLLMALDYLHLNHILHRDVKCSNIFLTKDQDIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRSLVKSMLRKNPELRPSAEELLRHPHLQSYVVKVHLKINNPRRNTLPVLWPETNIKKTRFSDPVDAHFSVYREKRRSFSNDRTLNPSISGAEQDSVCSTKGIHQDTPGFSNRKSENFSIDSSEEGTVICKPVASKVSSATKTTRRSLTKASATPKRKTEPLKKRDSFHFSRTPVKKPLPATRRASLPLPTRNTVRESPCRSNAGILHLIQSPDVSVNAPQIDKIAEFPLASYEDAFFPIEKPSSKSAKGFSASPQFVDLSITKDKCTVQICDQTSTKLNYDDEWQGIQRSTFQVDGDALTNSSDQNATAGASSCTSSDTRRRRFDPSSFQQRAEALEGLLEFSARLLQQERYDELGVLLKPFGPGKVSPRETAIWLTKSFKENTVKPED >KJB44288 pep chromosome:Graimondii2_0_v6:7:39588110:39588628:1 gene:B456_007G251200 transcript:KJB44288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHRSLVIFAIVALMVPAISLATDFVVGDDYGWTLGINYEEWAKDKQFFVGDTLVFTYNATFHNVYKVNGDDFQSCTVPSNNSLVFFTGNDTIKLATTGNEWYVCGVIGHCDLGMKLNITVVDGTGPAADPSAA >KJB43762 pep chromosome:Graimondii2_0_v6:7:24570825:24572750:-1 gene:B456_007G217100 transcript:KJB43762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGPTGGGLGGDDLSQSSPATAISRWSFEVSRRYQHVLDKTVPHILYRWIACLVLVLIYAVRVYFVQGFYIITYGLGIYLLNLLMGFLSPQVDPEMQDGPSLPTRGSDEFRPFVRRLPEFKFWYSITKAFCIAFVMTFFSVFDVPVFWPILLFYWLMLFILTMKRQILHMIKYKYVPFSFGKQRYDGKKAPSTESVDLLPRD >KJB43760 pep chromosome:Graimondii2_0_v6:7:24570289:24572935:-1 gene:B456_007G217100 transcript:KJB43760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGPTGGGLGGDDLSQSSPATAISRWSFEVSRRYQHVLDKTVPHILYRWIACLVLVLIYAVRVYFVQGFYIITYGLGIYLLNLLMGFLSPQVDPEMQDGPSLPTRGSDEFRPFVRRLPEFKFWYSITKAFCIAFVMTFFSVFDVPVFWPILLFYWLMLFILTMKRQILHMIKYKYVPFSFGKQILTGLAFRCIGEV >KJB43761 pep chromosome:Graimondii2_0_v6:7:24571236:24572750:-1 gene:B456_007G217100 transcript:KJB43761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGPTGGGLGGDDLSQSSPATAISRWSFEVSRRYQHVLDKTVPHILYRWIACLVLVLIYAVRVYFVQGFYIITYGLGIYLLNLLMGFLSPQVDPEMQDGPSLPTRGSDEFRPFVRRLPEFKFWYSITKAFCIAFVMTFFSVFDVPVFWPILLFYWLMLFILTMKRQILHMIKYKYVPFSFGKQVYLDPYFLCERQTRYSLSYFFLCCG >KJB43759 pep chromosome:Graimondii2_0_v6:7:24570289:24572928:-1 gene:B456_007G217100 transcript:KJB43759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGPTGGGLGGDDLSQSSPATAISRWSFEVSRRYQHVLDKTVPHILYRWIACLVLVLIYAVRVYFVQGFYIITYGLGIYLLNLLMGFLSPQVDPEMQDGPSLPTRGSDEFRPFVRRLPEFKFWYSITKAFCIAFVMTFFSVFDVPVFWPILLFYWLMLFILTMKRQILHMIKYKYVPFSFGKQLNSHRICW >KJB45127 pep chromosome:Graimondii2_0_v6:7:49939185:49941152:-1 gene:B456_007G291400 transcript:KJB45127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKPAKKKPTRNKSQDFQQQNPSQEIQDSAKDSSFTESSNEMNPQSPMEDPNEQLQSLQTLNSLLVKETFEKRQQVELLVQYYKGLEAELSKRKELEGVQSDKNMGLEWQNGVLSVYMETQMKEMGVEKEREIGELNSKVNGLISSLENERERLSLVSKERDLARNDFELQVNEGRLMKANLVDMEKNERGFLEEIGKLRVECDKLLGDKEELEKVKSSVVKDKDLLEKNIKDMVMEVEDLRIKIENLEKEKKEIEMEKIYQRAKIDELEKKMRNMDKVILNFNKEEGVLRSKVFLLEKNYAEAMDREAELAKEIDALVEEKRAIEQNLERVMEEKDLATKSLETTVAESADKQRRIDKLLEERDAATRVLEMNKKELKDMREKIEDFLGDKSEIEKVKFSGEINKSELHKEVSDLWDVVNRLLEACEAYENKKKELISEVSCIRVSFDELTLEKDNALKVLDEEKQNGVNLRLKVSEMEKILEETTEELTQKKVEWQNLIKEKEEMVNHCRSMAEDKDGLQKELLEAKRTLNDLRAKMESTSIKYQQLLTLLKNTASRLCQSKGETDRKKKEEAAIAERKHEEDIEPYSTELEAIKQAFENKETVAQDLKLKVEVMEKSVVEAQKKKSFWTLVSSATTLLAAVTFAYAARRF >KJB46338 pep chromosome:Graimondii2_0_v6:7:59243509:59245220:-1 gene:B456_007G3611002 transcript:KJB46338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLRFDNMLNWEEWDLCEDDEQVSKFPSLRFLSVRECPLLLGRLPTILQSLQTIEIYECKRLVVSISSFPLLCELSVGGCEELVDEESNFPPALKELWIGNCENLQYLVDEKENNNKSMSMSSNTCLLERLQITYCPSLIWLSSRGDICNRLQHLQIMECSKLSRLFLNAKLPVMLKKLFIRDCPVLECISQDFLETTDLESIRISGAGKFKSLPRGLDKLSHLQEIRLKLCPNLVSFEESGLPTTNLRVFAIKNCENFGVLPKCINNFTSLRELKVSYCSADISFPEEGFPANLTSLAISNAPKIYTSLVQWGFNRLTSLQQLNISGEGCSNVVSFPEEGIGMTLPPSLTSISIKNFENLEFMCSKGFQYLTSLQKLRIDDCPKLTSLSEKDMLLSLEPLSIYDCPLLEKGCSRGKGREWSKIAHIPYVRIDGEIVNPRELY >KJB44307 pep chromosome:Graimondii2_0_v6:7:37472443:37477067:-1 gene:B456_007G246300 transcript:KJB44307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTRILSKSRQLCGSQSILQKENTIPVRFYAKEAAAPLANKGDEILKNIFLEVKAKYETALGIFRKEKITIDPDDPAAVSQYAKVMKTVRQKADLFSESQRIQYTIQTRTQDVPDARTYLLTLKDIRIKRGLTDDLGAEAMMMNALDKVEKEIKKPLMRNDKQSMALLTAEFDKINKK >KJB44309 pep chromosome:Graimondii2_0_v6:7:37473002:37477067:-1 gene:B456_007G246300 transcript:KJB44309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTRILSKSRQLCGSQSILQKENTIPVRFYAKEAAAPLANKGDEILKNIFLEVKAKYETALGIFRKEKITIDPDDPAAVSQYAKVMKTVRQKADLFSESQRIQYTIQTRTQDVPDARTYLLTLKDIRIKRGLTDDLGAEAMMMNALDKVEKEIKKPLMRNDKQSMALLTAEFDKINKKLGIRKEDLPKYEEQLELKIAKAQLEELKKDAFEAMETQKKRYVKDLLFYNDEFLSFFTLHFLCSGPGTSLIMRLDGMG >KJB44308 pep chromosome:Graimondii2_0_v6:7:37472443:37477067:-1 gene:B456_007G246300 transcript:KJB44308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTRILSKSRQLCGSQSILQKENTIPVRFYAKEAAAPLANKGDEILKNIFLEVKAKYETALGIFRKEKITIDPDDPAAVSQYAKVMKTVRQKADLFSESQRIQYTIQTRTQDVPDARTYLLTLKDIRIKRGLTDDLGAEAMMMNALDKVEKEIKKPLMRNDKQSMALLTAEFDKINKKLGIRKEDLPKYEEQLELKIAKAQLEELKKDAFEAMETQKKRYEFKDEAMPDVKSLDIRNFL >KJB44306 pep chromosome:Graimondii2_0_v6:7:37472351:37477105:-1 gene:B456_007G246300 transcript:KJB44306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTRILSKSRQLCGSQSILQKENTIPVRFYAKEAAAPLANKGDEILKNIFLEVKAKYETALGIFRKEKITIDPDDPAAVSQYAKVMKTVRQKADLFSESQRIQYTIQTRTQDVPDARTYLLTLKDIRIKRGLTDDLGAEAMMMNALDKVEKEIKKPLMRNDKQSMALLTAEFDKINKKLGIRKEDLPKYEEQLELKIAKAQLEELKKDAFEAMETQKKREEFKDEAMPDVKSLDIRNFL >KJB45611 pep chromosome:Graimondii2_0_v6:7:52997916:53000298:1 gene:B456_007G315700 transcript:KJB45611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQISSNLVPSHDGNTSKENRHLANFRPSFWGDIFLSSTSEMEMDAGTQQEYEELKQEVRRMLVANMDKSSQKLHIIDAVQRLGVAYHFEKEIEDALEIIYHHHCNHIEIDGDDLYTTAVRFRLLREHGFDVHCETFNKFKDQKGNFKESLIGDVKGMLELYEAAHLQLHGENILEEALSFTTFHLKLVETTVDYPLSTQIANALKRPLRKSLPRLIARSYISIYEAYGTQDENLMKFAKLDFKILQHLHKMEINKINRWWKGLDVATNFPFIRDRCVECYFWMLGVYFEPHYAIARTFATKVICLISILDDIYDAYGTYEELEIFTKAIQRWDTNCIDQLPDYMKLWYSETLNVYKDMEDLMSKEGKSYRVQVAVEAMKRQSQVYYVEAKWLHENYIPTMEEYMPIALISCGYWNLTISSF >KJB45394 pep chromosome:Graimondii2_0_v6:7:51744760:51748168:1 gene:B456_007G303900 transcript:KJB45394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNRTLLFNKYRDALKGVRAPTSSSAAAKAPLKTSSGGAPAVEMASTSFLHSNRSYTALSSEDPGNSSKGAATVGLPPAWVDVSEEIASNVQRARVKMNELAKAHAKALMPSFGDGKEDQQNIEALTTEITNLLKKSEKKLLQKLSAAGPSEDSNVRKNVQRQLATDLQNLSMELRKKQSTYLKCLRQQMEDAADLEMNLNGNRPKEEDDDLDDMIFSEHQMARLKQSEVYTVEREREIQQIVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVATTVEEGLKQLQKAERTQKQGGMVMCATVLVIMCFVMLVLLILKEIIF >KJB45395 pep chromosome:Graimondii2_0_v6:7:51745638:51747645:1 gene:B456_007G303900 transcript:KJB45395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKELRRRSLLGGLCKTNTMKDQSFANRESRYRLQLRFLLNKGAATVGLPPAWVDVSEEIASNVQRARVKMNELAKAHAKALMPSFGDGKEDQQNIEALTTEITNLLKKSEKKLLQKLSAAGPSEDSNVRKNVQRQLATDLQNLSMELRKKQSTYLKCLRQQMEDAADLEMNLNGNRPKEEDDDLDDMIFSEHQMARLKQSEVYTVEREREIQQIVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVATTVEEGLKQLQKAERTQKQGGMVMCATVLVIMCFVMLVLLILKEIIF >KJB43313 pep chromosome:Graimondii2_0_v6:7:19043564:19044776:-1 gene:B456_007G193700 transcript:KJB43313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERVEEGNVEFGHWASFPHINSPTSFFYHQNPNYNSKVSSFNVPTLSLMAEQQQDQLQHRSAGKVLWFNDQKGFGFIRTGDGGEDLFVHHSSIKSIGYRSLAEGESVEFTISQGNGGKTQAVDVIAVGGSAISKKDRRSGGGWRGGNDRRNGTGGCYNCGDLNHLARDCSNNVNDDYNHSPKGNGGGSSCYKCGEPGHFARECRRDSGGAAGGGSGKCYNCGKFGHFARDCNRNRERNVLNAVRQGIMRGSVQT >KJB43529 pep chromosome:Graimondii2_0_v6:7:21111021:21112895:-1 gene:B456_007G204600 transcript:KJB43529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDDTLPTTTAATTTALANVKKESSDSSLFGKGRYKFWALAAILLLAFWSMFTGTVTLRWSAGNLNRFSDDFDSHIHDDLDVLEMEEREKVVKHMWDVYTNSRRIRLPRFWQEAFEAAYEELTSDVPGVREDAVSEIAKMSIRSVDLDPLPVQPTLFFLLFAL >KJB43531 pep chromosome:Graimondii2_0_v6:7:21112234:21113016:-1 gene:B456_007G204600 transcript:KJB43531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDDTLPTTTAATTTALANVKKESSDSSLFGKGRYKFWALAAILLLAFWSMFTGTVTLRWSAGNLNRFSDDFDSHIHDDLDVLEMEEREKVVKHMWDVYTNSRRIRLPRFWQEAFEAAYEELTSDVPGVREDAVSEIAKMSIRSVDLDPLPVQPTVRI >KJB43530 pep chromosome:Graimondii2_0_v6:7:21111295:21113016:-1 gene:B456_007G204600 transcript:KJB43530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDDTLPTTTAATTTALANVKKESSDSSLFGKGRYKFWALAAILLLAFWSMFTGTVTLRWSAGNLNRFSDDFDSHIHDDLDVLEMEEREKVVKHMWDVYTNSRRIRLPRFWQEAFEAAYEELTSDVPGVREDAVSEIAKMSIRSVDLDPLPVQPTRLVLDDC >KJB43528 pep chromosome:Graimondii2_0_v6:7:21110326:21113016:-1 gene:B456_007G204600 transcript:KJB43528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDDTLPTTTAATTTALANVKKESSDSSLFGKGRYKFWALAAILLLAFWSMFTGTVTLRWSAGNLNRFSDDFDSHIHDDLDVLEMEEREKVVKHMWDVYTNSRRIRLPRFWQEAFEAAYEELTSDVPGVREDAVSEIAKMSIRSVDLDPLPVQPTKGVKRAEKGRLKLISSGNGE >KJB43527 pep chromosome:Graimondii2_0_v6:7:21110247:21113161:-1 gene:B456_007G204600 transcript:KJB43527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDDTLPTTTAATTTALANVKKESSDSSLFGKGRYKFWALAAILLLAFWSMFTGTVTLRWSAGNLNRFSDDFDSHIHDDLDVLEMEEREKVVKHMWDVYTNSRRIRLPRFWQEAFEAAYEELTSDVPGVREDAVSEIAKMSIRSVDLDPLPVQPTGVKRAEKGRLKLISSGNGE >KJB44423 pep chromosome:Graimondii2_0_v6:7:44180337:44180561:1 gene:B456_007G265700 transcript:KJB44423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQLRADGAALEALENKRLMLMNARDEKLAEKKAVDKLVFDFLEADDNMNFVAQRAMLNRIVDLMSRDAARGV >KJB43704 pep chromosome:Graimondii2_0_v6:7:23268372:23269736:-1 gene:B456_007G212500 transcript:KJB43704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITGLLIYGKSAISMFFMGKLGKEALAGGSLSIGIANITGYSVISGLAMGMEAISSQACGAKQWPLMGQTLQRTIAILTIACLPISVLWLNIESILLFCGQDPVISSVASTYLAFSLPDLLFQSLINPLRIHLRTQNITLPLMLTAAFSLALHAPINYILVHHLRLGIQGIAVAVTITDLNLLVTLLLYLCFSGICDKTWQGWSLECFDEWKPILCLAIPCCLSVCLEWWWYELMIVLSGLFINAPEAVATMGILIQATSLTYIFPSSLSLAVSTRVGNELGANQPSTAKTSSTIALSCAVLSSFMAMSFMTTMRNAWGQIFTNDKAILSLTAMVMPVAGLCELGNCPQTTGCGVLRGSARPTLGANINLGSFYGVGLPIAVVMGFVMDIGLLGLWLGLLAAQVVCAIVMVIVVARTDWFVQAKRAEQLTGINALVEEDQSKIQGLISVMLVN >KJB43705 pep chromosome:Graimondii2_0_v6:7:23268178:23271777:-1 gene:B456_007G212500 transcript:KJB43705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKGIAAYYSSTAPLLLNEGIDRVPQECVKDVSPEEQDSFQCQCQAWQPSLSKVVEEIKELYAIALPMIITGLLIYGKSAISMFFMGKLGKEALAGGSLSIGIANITGYSVISGLAMGMEAISSQACGAKQWPLMGQTLQRTIAILTIACLPISVLWLNIESILLFCGQDPVISSVASTYLAFSLPDLLFQSLINPLRIHLRTQNITLPLMLTAAFSLALHAPINYILVHHLRLGIQGIAVAVTITDLNLLVTLLLYLCFSGICDKTWQGWSLECFDEWKPILCLAIPCCLSVCLEWWWYELMIVLSGLFINAPEAVATMGILIQATSLTYIFPSSLSLAVSTRVGNELGANQPSTAKTSSTIALSCAVLSSFMAMSFMTTMRNAWGQIFTNDKAILSLTAMVMPVAGLCELGNCPQTTGCGVLRGSARPTLGANINLGSFYGVGLPIAVVMGFVMDIGLLGLWLGLLAAQVVCAIVMVIVVARTDWFVQAKRAEQLTGINALVEEDQSKIQGLISVMLVN >KJB40886 pep chromosome:Graimondii2_0_v6:7:5980417:5982534:-1 gene:B456_007G083500 transcript:KJB40886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAEKVVCVTGASGYVASWLVKLLLQRGYTVKATVRDPNDPKKTQHLLALDGAKERLHLLKAELLEEGCFDSIVDGCHGVFHTASPVIFSAADPQAEIIDPAIKGTLNVLKSCAKVPSIKRVVMTASVASVLYNGKPLTPDVVVDETWFSDARFCKENKLWYMASKTLAEEAAWRFAEDNMINLVVLNPGFVIGPLLQPALNSTSDIILALTKGEYTTPGFRFVDVRDVAYAHIQAFEIPSATGRYCLVQRHAQFPEILKTLNELYPTLGLKEK >KJB40882 pep chromosome:Graimondii2_0_v6:7:5979490:5982611:-1 gene:B456_007G083500 transcript:KJB40882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAEKVVCVTGASGYVASWLVKLLLQRGYTVKATVRDPNDPKKTQHLLALDGAKERLHLLKAELLEEGCFDSIVDGCHGVFHTASPVIFSAADPQAEIIDPAIKGTLNVLKSCAKVPSIKRVVMTASVASVLYNGKPLTPDVVVDETWFSDARFCKENKLWYMASKTLAEEAAWRFAEDNMINLVVLNPGFVIGPLLQPALNSTSDIILALTKGEYTTPGFRFVDVRDVAYAHIQAFEIPSATGRYCLVQRHAQFPEILKTLNELYPTLGLKEKCEDHEKPLERVFQISQEKSKSLGLSFIPWEVSLRETIESFKEKGFLSI >KJB40884 pep chromosome:Graimondii2_0_v6:7:5979530:5982534:-1 gene:B456_007G083500 transcript:KJB40884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASVASVLYNGKPLTPDVVVDETWFSDARFCKENKLWYMASKTLAEEAAWRFAEDNMINLVVLNPGFVIGPLLQPALNSTSDIILALTKGEYTTPGFRFVDVRDVAYAHIQAFEIPSATGRYCLVQRHAQFPEILKTLNELYPTLGLKEKCEDHEKPLERVFQISQEKSKSLGLSFIPWEVSLRETIESFKEKGFLSI >KJB40883 pep chromosome:Graimondii2_0_v6:7:5979526:5982587:-1 gene:B456_007G083500 transcript:KJB40883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAEKVVCVTGASGYVASWLVKLLLQRGYTVKATVRDPNDPKKTQHLLALDGAKERLHLLKAELLEEGCFDSIVDGCHGVFHTASPVIFSAADPQAEIIDPAIKGTLNVLKSCAKVPSIKRVVMTASVASVLYNGKPLTPDVVVDETWFSDARFCKENKLWYMASKTLAEEAAWRFAEDNMINLVVLNPGFVIGPLLQPALNSTSDIILALTKGLLMFEMLHMHIFKHLRSLQLLADIA >KJB40885 pep chromosome:Graimondii2_0_v6:7:5979533:5982534:-1 gene:B456_007G083500 transcript:KJB40885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEHATDDPKKTQHLLALDGAKERLHLLKAELLEEGCFDSIVDGCHGVFHTASPVIFSAADPQAEIIDPAIKGTLNVLKSCAKVPSIKRVVMTASVASVLYNGKPLTPDVVVDETWFSDARFCKENKLWYMASKTLAEEAAWRFAEDNMINLVVLNPGFVIGPLLQPALNSTSDIILALTKGEYTTPGFRFVDVRDVAYAHIQAFEIPSATGRYCLVQRHAQFPEILKTLNELYPTLGLKEKCEDHEKPLERVFQISQEKSKSLGLSFIPWEVSLRETIESFKEKGFLSI >KJB43643 pep chromosome:Graimondii2_0_v6:7:22666102:22668990:1 gene:B456_007G210600 transcript:KJB43643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVRTQWASPGCLHSPRFSTRSVKIAVCVKFPATIKTLLLKQSFALTRLLNPPHSLSMSFSSSSCMDGSYAEIVVVRHGETEWNADGRIQHLIKQERKLKGHLDVELNEAGKQQAALVADRLSKEPKISAVYSSDLKRALVTAETIAAKCGGLEVITDPDLRERNLGELQGLVFREAAKFSPKAHKAFLSHRTDQDIPGGGESLGHLFQRCTSSLQRIGNKHKGERVVVVSHGGVIRSLYKRACPNGKSGGKVLNTSVNIFHLCDEEWTIKSWGDVSHLQQTGYLESGFGGDKTSG >KJB43644 pep chromosome:Graimondii2_0_v6:7:22666102:22668990:1 gene:B456_007G210600 transcript:KJB43644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVRTQWASPGCLHSPRFSTSSSCMDGSYAEIVVVRHGETEWNADGRIQGHLDVELNEAGKQQAALVADRLSKEPKISAVYSSDLKRALVTAETIAAKCGGLEVITDPDLRERNLGELQGLVFREAAKFSPKAHKAFLSHRTDQDIPGGGESLGHLFQRCTSSLQRIGNKHKGERVVVVSHGGVIRSLYKRACPNGKSGGKVLNTSVNIFHLCDEEWTIKSWGDVSHLQQTGYLESGFGGDKTSG >KJB43645 pep chromosome:Graimondii2_0_v6:7:22666102:22668990:1 gene:B456_007G210600 transcript:KJB43645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVRTQWASPGCLHSPRFSTRSVKIAVCVKFPATIKTLLLKQSFALTRLLNPPHSLSMSFSSSSCMDGSYAEIVVVRHGETEWNADGRIQGHLDVELNEAGKQQAALVADRLSKEPKISAVYSSDLKRALVTAETIAAKCGGLEVITDPDLRERNLGELQGLVFREAAKFSPKAHKAFLSHRTDQDIPGGGESLGHLFQRCTSSLQRIGNKHKGMPDHLSKGERVVVVSHGGVIRSLYKRACPNGKSGGKVLNTSVNIFHLCDEEWTIKSWGDVSHLQQTGYLESGFGGDKTSG >KJB43641 pep chromosome:Graimondii2_0_v6:7:22666093:22668990:1 gene:B456_007G210600 transcript:KJB43641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVRTQWASPGCLHSPRFSTRSVKIAVCVKFPATIKTLLLKQSFALTRLLNPPHSLSMSFSSSSCMDGSYAEIVVVRHGETEWNADGRIQGHLDVELNEAGKQQAALVADRLSKEPKISAVYSSDLKRALVTAETIAAKCGGLEVITDPDLRERNLGELQGLVFREAAKFSPKAHKAFLSHRTDQDIPGGGESLGHLFQRCTSSLQRIGNKHKGERVVVVSHGGVIRSLYKRACPNGKSGGKVLNTSVNIFHLCDEEWTIKSWGDVSHLQQTGYLESGFGGDKTSG >KJB43642 pep chromosome:Graimondii2_0_v6:7:22666165:22668153:1 gene:B456_007G210600 transcript:KJB43642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVRTQWASPGCLHSPRFSTRSVKIAVCVKFPATIKTLLLKQSFALTRLLNPPHSLSMSFSSSSCMDGSYAEIVVVRHGETEWNADGRIQGHLDVELNEAGKQQAALVADRLSKEPKISAVYSSDLKRALVTAETIAAKCGGLEVITDPDLRERNLGELQGLVFREAAKFSPKAHKAFLSHRTDQDIPGGGESLGHLFQRCTSSLQRIGNKHKGMPDHLSKGNP >KJB42157 pep chromosome:Graimondii2_0_v6:7:11516961:11521269:1 gene:B456_007G139500 transcript:KJB42157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFFPSDSCKESQLNAINPQSWLQVERGKLSKLSSSHTNSSSIESFIKVPEPPILPFFKPIDYVEVLAQIHEEMESCSPQERSTLYLLQFQIFRGLGETKLMRRSLRSAWQRAGTVHEKLVFGAWLRYEKQGEELIADLLATCNKCAQEFGPVDVSQLQVEVNGCSKETVAMNGDKSLKNVNFKIGDEIIVCDRQKIASLSAPFHAMLNGYFTESSSQDIDLSENNISPLGMRTISEFSITGSLSEVHPDLLLEILVFSNKFCCERLKDACDRKLASLVCTKDDAVEFMEYAIEQNSPVLAASCLQVFLHELPVCLNDERVVEIFSHADRQQRSIMVGQASFSLYCLLSEVAMNLDPRSDKTVCFLEQLIESAETDREKLLAFHQLGCVRLLRKEYDEAEGLFEKAVSLGHVYSIAGLARLGYIKGHKLCSYEKLSSVISSVNPLGWMYQERSLYCEGDKRSEDLEKATELDPTLTYPYMYRAASLMMKQNVQAALAEINRVLGFKLALECMELRFCLYLAIEDYKAAIRDVQAILTLSPDYRMFEGRVAASHLRTLVREHVGSWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESGGAKGVLYFRQSLLLLRLNCPDAAMRSLELARRHASSEHERLVYEGWILYDTGHCEEGLRKAEESIKISRSFEAFFLKAYALADSSLDSSCSSTVISLLENALKCPSDNLRKGS >KJB42156 pep chromosome:Graimondii2_0_v6:7:11516810:11521269:1 gene:B456_007G139500 transcript:KJB42156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFFPSDSCKESQLNAINPQSWLQVERGKLSKLSSSHTNSSSIESFIKVPEPPILPFFKPIDYVEVLAQIHEEMESCSPQERSTLYLLQFQIFRGLGETKLMRRSLRSAWQRAGTVHEKLVFGAWLRYEKQGEELIADLLATCNKCAQEFGPVDVSQLQVEVNGCSKETVAMNGDKSLKNVNFKIGDEIIVCDRQKIASLSAPFHAMLNGYFTESSSQDIDLSENNISPLGMRTISEFSITGSLSEVHPDLLLEILVFSNKFCCERLKDACDRKLASLVCTKDDAVEFMEYAIEQNSPVLAASCLQVFLHELPVCLNDERVVEIFSHADRQQRSIMVGQASFSLYCLLSEVAMNLDPRSDKTVCFLEQLIESAETDREKLLAFHQLGCVRLLRKEYDEAEGLFEKAVSLGHVYSIAGLARLGYIKGHKLCSYEKLSSVISSVNPLGWMYQERSLYCEGDKRSEDLEKATELDPTLTYPYMYRAASLMMKQNVQAALAEINRVLGFKLALECMELRFCLYLAIEDYKAAIRDVQAILTLSPDYRMFEGRVAASHLRTLVREHVGSWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESGGAKGVLYFRQSLLLLRLNCPDAAMRSLELARRHASSEHERLVYEGWILYDTGHCEEGLRKAEESIKISRSFEAFFLKAYALADSSLDSSCSSTVISLLENALKCPSDNLRKGQALNNLGSVLVDCGKLDSAADCYINALKIRHTRAHQGLARVHFLKNDKATAYVEMTKLIEKAKNNASAYEKRSEYCDRDLTKADLEMVTRIDPLRVYPYRYRAAVLMDSRKEKEAIAELSRAIAFKADLHLLHLRAAFHEHVGDVLAALRDCRAALSVDPNHQEMLELHSRVNSHEP >KJB42159 pep chromosome:Graimondii2_0_v6:7:11516961:11521269:1 gene:B456_007G139500 transcript:KJB42159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFFPSDSCKESQLNAINPQSWLQVERGKLSKLSSSHTNSSSIESFIKVPEPPILPFFKPIDYVEVLAQIHEEMESCSPQERSTLYLLQFQIFRGLGETKLMRRSLRSAWQRAGTVHEKLVFGAWLRYEKQGEELIADLLATCNKCAQEFGPVDVSQLQVEVNGCSKETVAMNGDKSLKNVNFKIGDEIIVCDRQKIASLSAPFHAMLNGYFTESSSQDIDLSENNISPLGMRTISEFSITGSLSEVHPDLLLEILVFSNKFCCERLKDACDRKLASLVCTKDDAVEFMEYAIEQNSPVLAASCLQVFLHELPVCLNDERVVEIFSHADRQQRSIMVGQASFSLYCLLSEVAMNLDPRSDKTVCFLEQLIESAETDREKLLAFHQLGCVRLLRKEYDEAEGLFEKAVSLGHVYSIAGLARLGYIKGHKLCSYEKLSSVISSVNPLGWMYQERSLYCEGDKRSEDLEKATELDPTLTYPYMYRAASLMMKQNVQAALAEINRVLGFKLALECMELRFCLYLAIEDYKAAIRDVQAILTLSPDYRMFEGRVAASHLRTLVREHVGSWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESGGAKGVLYFRQSLLLLRLNCPDAAMRSLELARRHASSEHERLVYEGWILYDTGHCEEGLRKAEESIKISRSFEAFFLKAYALADSSLDSSCSSTVISLLENALKCPSDNLRKGQFFSQALNNLGSVLVDCGKLDSAADCYINALKIRHTRAHQGLARVHFLKNDKATAYVEMTKLIEKAKNNASAYEKRSEYCDRDLTKADLEMVTRIDPLRVYPYRYRAAVLMDSRKEKEAIAELSRAIAFKADLHLLHLRAAFHEHVGDVLAALRDCRAALSVDPNHQEMLELHSRVNSHEP >KJB42158 pep chromosome:Graimondii2_0_v6:7:11516961:11521269:1 gene:B456_007G139500 transcript:KJB42158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFFPSDSCKESQLNAINPQSWLQVERGKLSKLSSSHTNSSSIESFIKVPEPPILPFFKPIDYVEVLAQIHEEMESCSPQERSTLYLLQFQIFRGLGETKLMRRSLRSAWQRAGTVHEKLVFGAWLRYEKQGEELIADLLATCNKCAQEFGPVDVSQLQVEVNGCSKETVAMNGDKSLKNVNFKIGDEIIVCDRQKIASLSAPFHAMLNGYFTESSSQDIDLSENNISPLGMRTISEFSITGSLSEVHPDLLLEILVFSNKFCCERLKDACDRKLASLVCTKDDAVEFMEYAIEQNSPVLAASCLQVFLHELPVCLNDERVVEIFSHADRQQRSIMVGQASFSLYCLLSEVAMNLDPRSDKTVCFLEQLIESAETDREKLLAFHQLGCVRLLRKEYDEAEGLFEKAVSLGHVYSIAGLARLGYIKGHKLCSYEKLSSVISSVNPLGWMYQERSLYCEGDKRSEDLEKATELDPTLTYPYMYRAASLMMKQNVQAALAEINRVLGFKLALECMELRFCLYLAIEDYKAAIRDVQAILTLSPDYRMFEGRVAASHLRTLVREHVGSWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESGGAKGVLYFRQSLLLLRLNCPDAAMRSLELARRHASSEHERLVYEGWILYDTGHCEEGLRKAEESIKISRSFEAFFLKAYALADSSLDSSCSSTVISLLENALKCPSDNLRKGQALNNLGSVLVDCGKLDSAADCYINALKIRHTRAHQGLARVHFLKNDKATAYVEMTKLIEKAKNNASAYEKRSEYCDRDLTKADLEMVTRIDPLRVYPYRYRAAGQNIYMYYYKGCVSKFSN >KJB42160 pep chromosome:Graimondii2_0_v6:7:11517592:11520115:1 gene:B456_007G139500 transcript:KJB42160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFFPSDSCKESQLNAINPQSWLQVERGKLSKLSSSHTNSSSIESFIKVPEPPILPFFKPIDYVEVLAQIHEEMESCSPQERSTLYLLQFQIFRGLGETKLMRRSLRSAWQRAGTVHEKLVFGAWLRYEKQGEELIADLLATCNKCAQEFGPVDVSQLQVEVNGCSKETVAMNGDKSLKNVNFKIGDEIIVCDRQKIASLSAPFHAMLNGYFTESSSQDIDLSENNISPLGMRTISEFSITGSLSEVHPDLLLEILVFSNKFCCERLKDACDRKLASLVCTKDDAVEFMEYAIEQNSPVLAASCLQVFLHELPVCLNDERVVEIFSHADRQQRSIMVGQASFSLYCLLSEVAMNLDPRSDKTVCFLEQLIESAETDREKLLAFHQLGCVRLLRKEYDEAEGLFEKAVSLGHVYSIAGLARLGYIKGHKLCSYEKLSSVISSVNPLGWMYQERSLYCEGDKRSEDLEKATELDPTLTYPYMYRAASLMMKQNVQAALAEINRVLGFKLALECMELRFCLYLAIEDYKAAIRDVQAILTLSPDYRMFEGRVAASHLRTLVREHVGSWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESGGAKGVLYFRQSLLLLRLNCPDAAMRSLELARRHASSEHERLVYEGWILYDTGHCEEGLRKAEESIKISRSFEAFFLKAYALADSSLDSSCSSTVISLLENALKCPSDNLRKGQVRFPFPYPFHQKICYV >KJB41790 pep chromosome:Graimondii2_0_v6:7:9607875:9610677:1 gene:B456_007G121500 transcript:KJB41790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKSDLPGYAILDTAKGYITVELFKDSSPEVVDQFLDLCQRGHFNGMLFRHVIKHYVIQAGDSDKLGAVEDWTLKGKQYSQLDTSLKHEAFMLGTSKVKHDKKEFELFITTAPIPDLNEKLIVFGKVIKGEDIVQEIEEVDTDEHYRPKSSIGIRSVNLKQSI >KJB41788 pep chromosome:Graimondii2_0_v6:7:9606667:9610677:1 gene:B456_007G121500 transcript:KJB41788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKSDLPGYAILDTAKGYITVELFKDSSPEVVDQFLDLCQRGHFNGMLFRHVIKHYVIQAGDSDKLGAVEDWTLKGKQYSQLDTSLKHEAFMLGTSKVKHDKKEFELFITTAPIPDLNEKLIVFGKVIKGEDIVQEIEEVDTDEHYRPKSSIGIRSVNLKQSI >KJB41789 pep chromosome:Graimondii2_0_v6:7:9606557:9611141:1 gene:B456_007G121500 transcript:KJB41789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKPQALLQQSKRKKGPARISLTTIIMLTLIVVLILFFVYATYRHWTQRSRIHIENRESVIEGDNSFMDSKKSDLPGYAILDTAKGYITVELFKDSSPEVVDQFLDLCQRGHFNGMLFRHVIKHYVIQAGDSDKLGAVEDWTLKGKQYSQLDTSLKHEAFMLGTSKVKHDKKEFELFITTAPIPDLNEKLIVFGKVIKGEDIVQEIEEVDTDEHYRPKSSIGIRSVNLKQSI >KJB41787 pep chromosome:Graimondii2_0_v6:7:9606667:9610677:1 gene:B456_007G121500 transcript:KJB41787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKPQALLQQSKRKKGPARISLTTIIMLTLIVVLILFFVYATYRHWTQRSRIHIENRESVIEGDNSFMDSKKSDLPGYAILDTAKGYITVELFKDSSPEVVDQFLDLCQRGHFNGMLFRHVIKHYVIQAGDSDKLGAVEDWTLKGKQYSQLDTSLKHEAFMLGTSKVKHDKKEFELFITTAPIPDLNEKLIVFGKVIKGEDIVQEIEEVDTDEHYRPKSSIGIRSVNLKQSI >KJB39529 pep chromosome:Graimondii2_0_v6:7:1414836:1418335:1 gene:B456_007G018900 transcript:KJB39529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHRFFFYASIILSISVYLFPIMANSMGINYGQIADNLPLPEDVVPIVKSIGATKVKLYDADPKVLKAFANTGVEFIVGLGNEYLDKMRDPAKAQAWVKQNVQSHLPATKITCIFVGNEVLTFNDTSLSNSLLPAMQSVHTALVNLGLDKQVTVTTAHSLSILETSYPPSSGAFREDLVDCLSETLSFHQKTGSPFLINAYPFFAYKGNPKQVPLDFVLFQPNQGVIDPATNLHYDNMLYAQIDAVYNALASLGYKKLAVHISETGWPSKGDEDEVGATADNAKKYNGNLIKLMSKKTGTPMRPNSDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPAYSLGISSTSNVAGSNTTTGGTNGKPLSPIPTPPSTPTSSSTGYLSISPATERYEFAGTVLLTALILTKMLLW >KJB39530 pep chromosome:Graimondii2_0_v6:7:1414990:1418096:1 gene:B456_007G018900 transcript:KJB39530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHRFFFYASIILSISVYLFPIMANSMGINYGQIADNLPLPEDVVPIVKSIGATKVKLYDADPKVLKAFANTGVEFIVGLGNEYLDKMRDPAKAQAWVKQNVQSHLPATKITCIFVGNEVLTFNDTSLSNSLLPAMQSVHTALVNLGLDKQVTVTTAHSLSILETSYPPSSGAFREDLVDCLSETLSFHQKTGSPFLINAYPFFAYKGNPKQVPLDFVLFQPNQGVIDPATNLHYDNMLYAQIDAVYNALASLGYKKLAVHISETGWPSKGDEDEVGATADNAKKYNGNLIKLMSKKTGTPMRPNSDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPAYSLGISSTSNVAGSNTTTGGTNGKPLSPIPTPPSTPTSSSTGYLSISPATVNTSSRGPCCLLL >KJB45118 pep chromosome:Graimondii2_0_v6:7:49876325:49884620:-1 gene:B456_007G290800 transcript:KJB45118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEEKEIALLATTQLRELHMIRLPKLKHIWKNDPKGIFSFKKICTIFVWRCQNLKSVFPASIAKDLPRLRYLGIFYCGVEEIVSKLEEGSESEIAVNFELDQLYSLRLWRLPELKCFYPGKHTTKWPMLNKLELVECEKLKILGTQLITNNGQLDFPVHPPLFY >KJB42070 pep chromosome:Graimondii2_0_v6:7:10998208:11000921:1 gene:B456_007G134900 transcript:KJB42070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRARNLSPSNLIPLSSLALFFTKPSFTSTTLISPLLPANTPQIPNKFSHLIFFTNHFSSQSTATTSATKKLQKHLQKQEPSSPKPLTLNRDGNYDDGTPYNVVCPGCGVHMQDSDPKLVGFFIKPPTKTKDPTLKTSSRHLVPVSTEPEFTAFLKKGLILEKKRESPDLEEENLDKEMPEKPVVCARCHSLRHYGKVKDPTMENLLPEFDFDHTVGRRLGSVSGGRSVVLMVVDASDFDGSFPRKVAKLVSDVTEENYSAWKQGKSGNVPRVVLVVTKIDLLPSSLSPTRFEHWARQRAREGGASKIAKLHFVSPVKDWGVKNLVDDVVEMAGPRGTVWAVGAQNAGKSTLINAIGKCVGRKIGFLTEAPVPGTTLGLVRVEGVLPGQAKLFDTPGLLQPHQMTTRLTREEQKLVYISKELKPRTYRIKVNLKTSSISLLSI >KJB42071 pep chromosome:Graimondii2_0_v6:7:10998208:11000921:1 gene:B456_007G134900 transcript:KJB42071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRARNLSPSNLIPLSSLALFFTKPSFTSTTLISPLLPANTPQIPNKFSHLIFFTNHFSSQSTATTSATKKLQKHLQKQEPSSPKPLTLNRDGNYDDGTPYNVVCPGCGVHMQDSDPKLVGFFIKPPTKTKDPTLKTSSRHLVPVSTEPEFTAFLKKGLILEKKRESPDLEEENLDKEMPEKPVVCARCHSLRHYGKVKDPTMENLLPEFDFDHTVGRRLGSVSGGRSVVLMVVDASDFDGSFPRKVAKLVSDVTEENYSAWKQGKSGNVPRVVLVVTKIDLLPSSLSPTRFEHWARQRAREGGASKIAKLHFVSPVKDWGVKNLVDDVVEMAGPRGTVWAVGAQNAGKSTLINAIGKCVGRKIGFLTEAPVPGTTLGLVRVEGVLPGQAKLFDTPGLLQPHQMTTRLTREEQKLVYISKELKPRTYRIKAGNTVHIAGLMRLDIDESSVESLYVTVWASPYLPLHMGKTENAQRILEDHIGHQLQVWHLKGVISFT >KJB42069 pep chromosome:Graimondii2_0_v6:7:10998112:11001026:1 gene:B456_007G134900 transcript:KJB42069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRARNLSPSNLIPLSSLALFFTKPSFTSTTLISPLLPANTPQIPNKFSHLIFFTNHFSSQSTATTSATKKLQKHLQKQEPSSPKPLTLNRDGNYDDGTPYNVVCPGCGVHMQDSDPKLVGFFIKPPTKTKDPTLKTSSRHLVPVSTEPEFTAFLKKGLILEKKRESPDLEEENLDKEMPEKPVVCARCHSLRHYGKVKDPTMENLLPEFDFDHTVGRRLGSVSGGRSVVLMVVDASDFDGSFPRKVAKLVSDVTEENYSAWKQGKSGNVPRVVLVVTKIDLLPSSLSPTRFEHWARQRAREGGASKIAKLHFVSPVKDWGVKNLVDDVVEMAGPRGTVWAVGAQNAGKSTLINAIGKCVGRKIGFLTEAPVPGTTLGLVRVEGVLPGQAKLFDTPGLLQPHQMTTRLTREEQKLVYISKELKPRTYRIKAGNTVHIAGLMRLDIDESSVESLYVTVWASPYLPLHMGKTENAQRILEDHIGHQLQPPIGEQREGQLGSWVRKEFHISGNSWESSSVDIAAAGIGWFAIGLKGEAVLGVWTYEGVGVVLRNALLPHRAQLFEEAGFTVSKIVSKADQTLNKSQKQIEKKKRSDQKTAIAAEV >KJB45294 pep chromosome:Graimondii2_0_v6:7:51032523:51033834:1 gene:B456_007G298900 transcript:KJB45294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRPLELRVISAKDIKDVNLFTKMDVYVVVSINGDHRTAQKTPVHKESGSNPNWNCTMKFTIDETAAHQNHHNLVFRLKSNRVFGDKEIGSVQVPIRELLDQENGNGNVDHQHVSFSVMLANGKTKGVFNFAYRFGEKFSMPALPPPPFPGAGSYKHGGKPVMAYPPPPTGYPGPSSGHLKGTYPPPPQGMTGYPYPPPGGYPPYGYQQGTVPGYGYQGYPPPQGGYGYPQVQQPQKSKKGGMGAGLGLGLAGGLLGGMLIGDMVDDAYEAGVEDGLDYDY >KJB39926 pep chromosome:Graimondii2_0_v6:7:2650390:2652325:1 gene:B456_007G038300 transcript:KJB39926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSSKCLGFRSTELKKQKLSEIKEGLDEADALIRKMDLEARSLQPSIKATLLAKLREYKHYLNNLKTDVKRITSTDTNQAARDELLESGIDGTTTVSADQKGRLLMSTERLNQSTDRIKESRRTMLETEELGVSIQQDLHQQRQSLLHAHSTLHAVDDNISKSKKILTTISRRINKNKLILSSIIGALILAILIILYIKLVG >KJB39925 pep chromosome:Graimondii2_0_v6:7:2649285:2652325:1 gene:B456_007G038300 transcript:KJB39925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCELSDNLTRSCTSASVLHGELKKQKLSEIKEGLDEADALIRKMDLEARSLQPSIKATLLAKLREYKHYLNNLKTDVKRITSTDTNQAARDELLESGIDGTTTVSADQKGRLLMSTERLNQSTDRIKESRRTMLETEELGVSIQQDLHQQRQSLLHAHSTLHAVDDNISKSKKILTTISRRINKNKLILSSIIGALILAILIILYIKLVG >KJB41771 pep chromosome:Graimondii2_0_v6:7:9480225:9482674:-1 gene:B456_007G120400 transcript:KJB41771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDFLCESLNTDYLTPYLNSLEPNFTNGVNFAIIGSATLPRYVPFSLFVQVSQFLRFRYRSPALMLNGYKDLVGDEDFENALYTIDIGQNDLAASFDNLTYSQVIERIPSFITEIKNAIWNIYEKGGKKFWVHNTGPLGCLPQKLALLARNATELDEHGCLQPLNNAAKTFNAQLRVLCEQLRRELINVTIVYVDIYSIKYDLIANASNYGFESPLMACCGNGGPPYNYNANINCGRTGYTVCHEGSKFISWDGVHYTEAANAIFASKILSTHYSTPQLSFNFFCNNM >KJB41770 pep chromosome:Graimondii2_0_v6:7:9480225:9482181:-1 gene:B456_007G120400 transcript:KJB41770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTWMLNICAGESLNTDYLTPYLNSLEPNFTNGVNFAIIGSATLPRYVPFSLFVQVSQFLRFRYRSPALMLNGYKDLVGDEDFENALYTIDIGQNDLAASFDNLTYSQVIERIPSFITEIKNAIWNIYEKGGKKFWVHNTGPLGCLPQKLALLARNATELDEHGCLQPLNNAAKTFNAQLRVLCEQLRRELINVTIVYVDIYSIKYDLIANASNYGFESPLMACCGNGGPPYNYNANINCGRTGYTVCHEGSKFISWDGVHYTEAANAIFASKILSTHYSTPQLSFNFFCNNM >KJB41772 pep chromosome:Graimondii2_0_v6:7:9480225:9482974:-1 gene:B456_007G120400 transcript:KJB41772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVKENASKLDMGVVKGGRYLLVPFFTLYMILFLYLPLLPHSVHCQCTKTPIIFNFGDSNSDTGGYADGVGLNFAPPNGRTYFHQPARRLSDGRLMIDFLCESLNTDYLTPYLNSLEPNFTNGVNFAIIGSATLPRYVPFSLFVQVSQFLRFRYRSPALMLNGYKDLVGDEDFENALYTIDIGQNDLAASFDNLTYSQVIERIPSFITEIKNAIWNIYEKGGKKFWVHNTGPLGCLPQKLALLARNATELDEHGCLQPLNNAAKTFNAQLRVLCEQLRRELINVTIVYVDIYSIKYDLIANASNYGFESPLMACCGNGGPPYNYNANINCGRTGYTVCHEGSKFISWDGVHYTEAANAIFASKILSTHYSTPQLSFNFFCNNM >KJB39497 pep chromosome:Graimondii2_0_v6:7:1284404:1286382:1 gene:B456_007G016700 transcript:KJB39497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >KJB42167 pep chromosome:Graimondii2_0_v6:7:11606352:11607323:1 gene:B456_007G140500 transcript:KJB42167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTQEPSAHLCLRKKRWEPFRFRNTRRRQHRNAFSDTTATCGEGGYAEGKLKTGNLVAIKRLNHGPSEEITIDFLSELRIVVHVDHPNIAKLIGYIIYFLNLFYILKIYNNKGRIRVQHI >KJB41617 pep chromosome:Graimondii2_0_v6:7:8684481:8687455:-1 gene:B456_007G112400 transcript:KJB41617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGGTSWLTAVKRAFRSPTKDGHDKRSNRRKDEPDHQDEDEDKREKRRWIFRKPTIQEPVIHQQTTPVKATGRNGAGAASDGATATAAEQRYDTPRAATEGAAVVQVARPTRPSNYAREHHLAAIVIQTTFRGYLARRALRALKGLVKLQALVRGHNVRKQAKITLRCMQALVRVQARVLDQRVRLSHDGSRKSAFSDTNSVLESRYLQDISDRRSLVSREGSSIADDWDERPHTIEEVKAMLEHRKEAALKREKNLSQALSQQMRRARRSPSMGDEDEVECRPKWLDRRTPAKPWDNRGRASTDHRDSVKTVEIDTSQPYSYLAPTYRRTNSNQYHQHGPQNQRPSSPLHRAQQNAPMHHSPITPSPSKARSIQVRSSSPRCVRGDKSSVSSQTPSLRSNYHYTGRVGTHAGSSGNNAATLPNYMAATESAKARIRSQSAPRQRPSTPERDRNGSARKRLSFPVPEAGGVGMGYGGYGHNLRSPSFKSVTGLHFGFEQQSNYSSCYTESLGGEISPSSTSDLRRWLR >KJB41618 pep chromosome:Graimondii2_0_v6:7:8684817:8686974:-1 gene:B456_007G112400 transcript:KJB41618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGGTSWLTAVKRAFRSPTKDGHDKRSNRRKDEPDHQDEDEDKKREKRRWIFRKPTIQEPVIHQQTTPVKATGRNGAGAASDGATATAAEQRYDTPRAATEGAAVVQVARPTRPSNYAREHHLAAIVIQTTFRGYLARRALRALKGLVKLQALVRGHNVRKQAKITLRCMQALVRVQARVLDQRVRLSHDGSRKSAFSDTNSVLESRYLQDISDRRSLVSREGSSIADDWDERPHTIEEVKAMLEHRKEAALKREKNLSQALSQQMRRARRSPSMGDEDEVECRPKWLDRRTPAKPWDNRGRASTDHRDSVKTVEIDTSQPYSYLAPTYRRTNSNQYHQHGPQNQRPSSPLHRAQQNAPMHHSPITPSPSKARSIQVRSSSPRCVRGDKSSVSSQTPSLRSNYHYTGRVGTHAGSSGNNAATLPNYMAATESAKARIRSQSAPRQRPSTPERDRNGSARKRLSFPVPEAGGVGMGYGGYGHNLRSPSFKSVTGLHFGFEQQSNYSSCYTESLGGEISPSSTSDLRRWLR >KJB44018 pep chromosome:Graimondii2_0_v6:7:28217333:28217614:1 gene:B456_007G229500 transcript:KJB44018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIKYVVVTDKSIRLLVKTQYTSNVESGSTRIEIKYWVELFFGVKVIAMNSHRLPGKGRRMGPIMGHTMHYMRMIITLQPGYSIPPLRTKRT >KJB39560 pep chromosome:Graimondii2_0_v6:7:1428955:1430256:-1 gene:B456_007G019200 transcript:KJB39560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCRSLLLLLLVSLFVSFGFAEIRFTEIRSDVRPIIPFDEFGFTHNGRLELNLSQIDLSGKNLDLNKIGFFLCTRDTWFHVLEQLNDHHVTCALDSDLVKVVFRFESLKGKTSVNAVFPVNNADQYTLLFANCLTQVKVSMTVRSAMYNLEGKQNSRDYLSAGKTILPRVYFLLSLVYFSLAGIWVYFLYKKRLTVFRIHFFMLAVIVLKAFNLVFEAEDKSYIKRTGSAHGWDVLFYIFSFLKGIMLFTLIVLIGTGWSFLKPYLQDKEKKVLMIVIPLQVVANIAQVVIDEASPFGQDRVTWKQLFLLVDVICCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALETITSYKYLWTAVLAGELATLAFYVFTGYKFKPEAHNPYFAIDGEDEEAAAEQLKLEDEFEL >KJB45335 pep chromosome:Graimondii2_0_v6:7:51514461:51515615:-1 gene:B456_007G301900 transcript:KJB45335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSTTSYSSSDSSSDASSSHAKRRRHRSNRRDRDRDSLKIQKKSRSLGKRRRRKHRRHSSDSYSSHDSESSRSYSSSDSEHESSHSKRHKKSDRQKKSKQKERSKSHRHKRQKHKLKEVGPYLQCIVLYDCVNYVCISKP >KJB45337 pep chromosome:Graimondii2_0_v6:7:51514230:51515615:-1 gene:B456_007G301900 transcript:KJB45337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSTTSYSSSDSSSDASSSHAKRRRHRSNRRDRDRDSLKIQKKSRSLGKRRRRKHRRHSSDSYSSHDSESSRSYSSSDSEHESSHSKRHKKSDRQKKSKQKERSKSHRHKRQKHKLKELASVT >KJB45336 pep chromosome:Graimondii2_0_v6:7:51513393:51515750:-1 gene:B456_007G301900 transcript:KJB45336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSTTSYSSSDSSSDASSSHAKRRRHRSNRRDRDRDSLKIQKKSRSLGKRRRRKHRRHSSDSYSSHDSESSRSYSSSDSEHESSHSKRHKKSDRQKKSKQKERSKSHRHKRQKHKLKEKQQDERSSSPVQLSKFLGRDKDDGARRSAVSGKKILLKLDKSKEDKEAESKRNELLKFLNASFD >KJB45334 pep chromosome:Graimondii2_0_v6:7:51513393:51515688:-1 gene:B456_007G301900 transcript:KJB45334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSTTSYSSSDSSSDASSSHAKRRRHRSNRRDRDRDSLKIQKKSRSLGKRRRRKHRRHSSDSYSSHDSESSRSYSSSDSEHESSHSKRHKKSDRQKKSKQKERSKSHRHKRQKHKLKEVAAYRNSRMSEVAVLCSFLSFLGVTRMMVHAVVLCLAKRFS >KJB44481 pep chromosome:Graimondii2_0_v6:7:41528682:41533663:-1 gene:B456_007G255800 transcript:KJB44481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 4, vacuolar [Source:Projected from Arabidopsis thaliana (AT3G03720) UniProtKB/Swiss-Prot;Acc:Q8W4K3] MIVSMQDKGEALLSKCSKGFKSLVRRKQVDSVHVRREGHQLARKLTALDLTAIGVGTTIGAGVYVLVGTVAREHTGPALTVSFLIAGLAAALSAFCYAELASRCPSAGSAYHYAYICIGESVAWLIGWSLILEYTIGGAAIARGISPNIALFLGGEGNLPAFLVRHTIPQLGVVVDPCAAILVLIVTFLLCAGIKESSLVQTIVTTVNVCAMLFIIVAGGYLACKTGWVGYELHGRFFPFGLNGMLAGSAIVFFSYIGFDAVTGAAEETKRPLRDLPLGIGMTLTLCCILYMLVSVVIVGLVPYYALNPDTPISSTFSANGMQWAAYIVTAGAVTALCASLMGSLLPQPRLLMAMARDGLLPAFFSDISTRTQVPVKSTVTTGMLAAVLAFFMDVSELSGMVSVGTLLAFTVVAISILILRYAPPEEVPLPSSLQQFIDSVRKQLDDDSQSMERKEFNDADIVEQSPSQSVYGEASIQYPLIEKQFCEDKQNPRRRRNIAIWNIALFCIGVLVLTSAASAEYLPSLVRFSSGAVGAAILLCSLVVLACLNQDEARHSFGHTGGFLCPFVPFLPAACILINSYLLMSLGVGTWIRVSIWLIMGALVYISYGWRHSSLTNAVYVPMAYLDHIYRASSSHQV >KJB44480 pep chromosome:Graimondii2_0_v6:7:41528682:41533449:-1 gene:B456_007G255800 transcript:KJB44480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 4, vacuolar [Source:Projected from Arabidopsis thaliana (AT3G03720) UniProtKB/Swiss-Prot;Acc:Q8W4K3] MIVSMQDKGEALLSKCSKGFKSLVRRKQVDSVHVRREGHQLARKLTALDLTAIGVGTTIGAGVYVLVGTVAREHTGPALTVSFLIAGLAAALSAFCYAELASRCPSAGSAYHYAYICIGESVAWLIGWSLILEYTIGGAAIARGISPNIALFLGGEGNLPAFLVRHTIPQLGVVVDPCAAILVLIVTFLLCAGIKESSLVQTIVTTVNVCAMLFIIVAGGYLACKTGWVGYELHGRFFPFGLNGMLAGSAIVFFSYIGFDAVTGAAEETKRPLRDLPLGIGMTLTLCCILYMLVSVVIVGLVPYYALNPDTPISSTFSANGMQWAAYIVTAGAVTALCASLMGSLLPQPRLLMAMARDGLLPAFFSDISTRTQVPVKSTVTTGMLAAVLAFFMDVSELSGMVSVGTLLAFTVVAISILILRYAPPEEVPLPSSLQQFIDSVRKQLDDDSQSMERKEFNDADIVEQSPSQSVYGEASIQYPLIEKQFCEDKQNPRRRRNIAIWNIALFCIGVLVLTSAASAEYLPSLVRFSSGAVGAAILLCSLVVLACLNQDEARHSFGHTGGMFKFLCNKHG >KJB44478 pep chromosome:Graimondii2_0_v6:7:41528548:41533498:-1 gene:B456_007G255800 transcript:KJB44478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 4, vacuolar [Source:Projected from Arabidopsis thaliana (AT3G03720) UniProtKB/Swiss-Prot;Acc:Q8W4K3] MIVSMQDKGEALLSKCSKGFKSLVRRKQVDSVHVRREGHQLARKLTALDLTAIGVGTTIGAGVYVLVGTVAREHTGPALTVSFLIAGLAAALSAFCYAELASRCPSAGSAYHYAYICIGESVAWLIGWSLILEYTIGGAAIARGISPNIALFLGGEGNLPAFLVRHTIPQLGVVVDPCAAILVLIVTFLLCAGIKESSLVQTIVTTVNVCAMLFIIVAGGYLACKTGWVGYELHGRFFPFGLNGMLAGSAIVFFSYIGFDAVTGAAEETKRPLRDLPLGIGMTLTLCCILYMLVSVVIVGLVPYYALNPDTPISSTFSANGMQWAAYIVTAGAVTALCASLMGSLLPQPRLLMAMARDGLLPAFFSDISTRTQVPVKSTVTTGMLAAVLAFFMDVSELSGMVSVGTLLAFTVVAISILILRYAPPEEVPLPSSLQQFIDSVRKQLDDDSQSMERKEFNDADIVEQSPSQSVYGEASIQYPLIEKQFCEDKQNPRRRRNIAIWNIALFCIGVLVLTSAASAEYLPSLVRFSSGAVGAAILLCSLVVLACLNQDEARHSFGHTGGFLCPFVPFLPAACILINSYLLMSLGVGTWIRVSIWLIMGALVYISYGWRHSSLTNAVYVPMAYLDHIYRASSSHQV >KJB44479 pep chromosome:Graimondii2_0_v6:7:41528916:41533357:-1 gene:B456_007G255800 transcript:KJB44479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 4, vacuolar [Source:Projected from Arabidopsis thaliana (AT3G03720) UniProtKB/Swiss-Prot;Acc:Q8W4K3] MIVSMQDKGEALLSKCSKGFKSLVRRKQVDSVHVRREGHQLARKLTALDLTAIGVGTTIGAGVYVLVGTVAREHTGPALTVSFLIAGLAAALSAFCYAELASRCPSAGSAYHYAYICIGESVAWLIGWSLILEYTIGGAAIARGISPNIALFLGGEGNLPAFLVRHTIPQLGVVVDPCAAILVLIVTFLLCAGIKESSLVQTIVTTVNVCAMLFIIVAGGYLACKTGWVGYELHGRFFPFGLNGMLAGSAIVFFSYIGFDAVTGAAEETKRPLRDLPLGIGMTLTLCCILYMLVSVVIVGLVPYYALNPDTPISSTFSANGMQWAAYIVTAGAVTALCASLMGSLLPQPRLLMAMARDGLLPAFFSDISTRTQVPVKSTVTTGMLAAVLAFFMDVSELSGMVSVGTLLAFTVVAISILILRYAPPEEVPLPSSLQQFIDSVRKQLDDDSQSMERKEFNDADIVEQSPSQSVYGEASIQYPLIEKQFCEGNQDKQNPRRRRNIAIWNIALFCIGVLVLTSAASAEYLPSLVRFSSGAVGAAILLCSLVVLACLNQDEARHSFGHTGGFLCPFVPFLPAACILINSYLLMSLGVGTWIRVSIWLIMGALVYISYGWRHSSLTNAVYVPMAYLDHIYRASSSHQV >KJB45786 pep chromosome:Graimondii2_0_v6:7:54736100:54737209:-1 gene:B456_007G327800 transcript:KJB45786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIPTPPPLLQWYGVSNNNLIGEIPSSICNWTSLMGISLDGNNLEGTIPKCIGNLSSSLTAVYLGNNNFHGQMPENFAKSCMLKSFSVINNELEGSLPRSLGNCKGLNILNVGKNNLNDTFPSWLGNLDQLQVLILRSNRFYGQIESFDTTVSLTKLRIIDLSHNNFSGYLPTLFFEHMYAIRDEYGKKVEPNYMRELKITKDATVAFWVNYASGGLSVTAKGLEIKFETLLSIWTVIDLSSNQFRGEILKILGELHLLIVLNLSHNCLMGLIPSSLGNLLELESLDLSSNKLEGRIPTQLKNLGFLEVLNLSQNNLKGPIPQGKQFDTFTNDSYMGNLDLCGLPLSKNCGTDEGTPAKFDRDDDGDELN >KJB40775 pep chromosome:Graimondii2_0_v6:7:5431741:5439971:-1 gene:B456_007G076800 transcript:KJB40775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVACASFTSSASKSLSFSLSQSSIITLRQNYHNNTLKSKSPRKLVIRASSGSSSGSVVTLLDYGAGNVRSVRNAIRYLGYEIEDVRTPKDILNADRLIFPGVGAFASAMDVLTKTGMAEALCTHIENDRPFLGICLGLQLLFDSSEENGPVNGLGLIPGVVGRFNSLNGFRVPHIGWNALQIAKDSEILDDIGDRHVYFVHSYRGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVYAAEEYIKTKVKTGKSSLEQISKVYGNQAVVVSIDPRRVYVQGPNDVQFKTIRVPKPGPNGEEYAWYQCTVNGGREGRSIGAYELAKVVEELGAGEILLNCIDCDGQGKGFDIDLIKLISDAVSIPVIASSGAGAVEHFSEVFMKTNASAALAAGIFHRKEVPIQSVKAHLLKEGIEVRI >KJB40777 pep chromosome:Graimondii2_0_v6:7:5434698:5439971:-1 gene:B456_007G076800 transcript:KJB40777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVACASFTSSASKSLSFSLSQSSIITLRQNYHNNTLKSKSPRKLVIRASSGSSSGSVVTLLDYGAGNVRSVRNAIRYLGYEIEDVRTPKDILNADRLIFPGVGAFASAMDVLTKTGMAEALCTHIENDRPFLGICLGLQLLFDSSEENGPVNGLGLIPGVVGRFNSLNGFRVPHIGWNALQIAKDSEILDDIGDRHVYFVHSYRAMPSNDNKEWVSSTCNYGDDFIASIRRGNVHAVQFHPEKSGDVGLSVLRRFLNPKSQGSKKPTQGKASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREQTKENEVRNLGKPVELAGQYYQDGADEVSFLNITGFRDFPLGDLPMLQVLRHTSENVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVYAAEEYIKTKVCNTTSLKLYNL >KJB40772 pep chromosome:Graimondii2_0_v6:7:5431645:5440198:-1 gene:B456_007G076800 transcript:KJB40772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVACASFTSSASKSLSFSLSQSSIITLRQNYHNNTLKSKSPRKLVIRASSGSSSGSVVTLLDYGAGNVRSVRNAIRYLGYEIEDVRTPKDILNADRLIFPGVGAFASAMDVLTKTGMAEALCTHIENDRPFLGICLGLQLLFDSSEENGPVNGLGLIPGVVGRFNSLNGFRVPHIGWNALQIAKDSEILDDIGDRHVYFVHSYRAMPSNDNKEWVSSTCNYGDDFIASIRRGNVHAVQFHPEKSGDVGLSVLRRFLNPKSQGSKKPTQGKASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREQTKENEVRNLGKPVELAGQYYQDGADEVSFLNITGFRDFPLGDLPMLQVLRHTSENVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVYAAEEYIKTKVKTGKSSLEQISKVYGNQAVVVSIDPRRVYVQGPNDVQFKTIRVPKPGPNGEEYAWYQCTVNGGREGRSIGAYELAKVVEELGAGEILLNCIDCDGQGKGFDIDLIKLISDAVSIPVIASSGAGAVEHFSEVFMKTNASAALAAGIFHRKESVKAHLLKEGIEVRI >KJB40776 pep chromosome:Graimondii2_0_v6:7:5433660:5439971:-1 gene:B456_007G076800 transcript:KJB40776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVACASFTSSASKSLSFSLSQSSIITLRQNYHNNTLKSKSPRKLVIRASSGSSSGSVVTLLDYGAGNVRSVRNAIRYLGYEIEDVRTPKDILNADRLIFPGVGAFASAMDVLTKTGMAEALCTHIENDRPFLGICLGLQLLFDSSEENGPVNGLGLIPGVVGRFNSLNGFRVPHIGWNALQIAKDSEILDDIGDRHVYFVHSYRAMPSNDNKEWVSSTCNYGDDFIASIRRGNVHAVQFHPEKSGDVGLSVLRRFLNPKSQGSKKPTQGKASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREQTKENEVRNLGKPVELAGQYYQDGADEVSFLNITGFRDFPLGDLPMLQVLRHTSENVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVYAAEEYIKTKVKTGKSSLEQISKVYGNQAVVVSIDPRRVYVQGPNDVQFKTIRVPKPGPNGEEYAWYQCTVSFLAPYLFA >KJB40773 pep chromosome:Graimondii2_0_v6:7:5432033:5439676:-1 gene:B456_007G076800 transcript:KJB40773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVACASFTSSASKSLSFSLSQSSIITLRQNYHNNTLKSKSPRKLVIRASSGSSSGSVVTLLDYGAGNVRSVRNAIRYLGYEIEDVRTPKDILNADRLIFPGVGAFASAMDVLTKTGMAEALCTHIENDRPFLGICLGLQLLFDSSEENGPVNGLGLIPGVVGRFNSLNGFRVPHIGWNALQIAKDSEILDDIGDRHVYFVHSYRAMPSNDNKEWVSSTCNYGDDFIASIRRGNVHAVQFHPEKSGDVGLSVLRRFLNPKSQGSKKPTQGKASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREQTKENEVRNLGKPVELAGQYYQDGADEVSFLNITGFRDFPLGDLPMLQVLRHTSENVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVYAAEEYIKTKVKTGKSSLEQISKVYGNQAVVVSIDPRRVYVQGPNDVQFKTIRVPKPGPNGEEYAWYQCTVNGGREGRSIGAYELAKVVEELGAGEILLNCIDCDGQGKGFDIDLIKLISDAVSIPVIASSGAGAVEHFSEVFMKTNASAALAAGIFHRKEVPIQSVKAHLLKEGIEVRI >KJB40774 pep chromosome:Graimondii2_0_v6:7:5431741:5437743:-1 gene:B456_007G076800 transcript:KJB40774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALCTHIENDRPFLGICLGLQLLFDSSEENGPVNGLGLIPGVVGRFNSLNGFRVPHIGWNALQIAKDSEILDDIGDRHVYFVHSYRAMPSNDNKEWVSSTCNYGDDFIASIRRGNVHAVQFHPEKSGDVGLSVLRRFLNPKSQGSKKPTQGKASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREQTKENEVRNLGKPVELAGQYYQDGADEVSFLNITGFRDFPLGDLPMLQVLRHTSENVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVYAAEEYIKTKVKTGKSSLEQISKVYGNQAVVVSIDPRRVYVQGPNDVQFKTIRVPKPGPNGEEYAWYQCTVNGGREGRSIGAYELAKVVEELGAGEILLNCIDCDGQGKGFDIDLIKLISDAVSIPVIASSGAGAVEHFSEVFMKTNASAALAAGIFHRKEVPIQSVKAHLLKEGIEVRI >KJB45217 pep chromosome:Graimondii2_0_v6:7:50664332:50666249:1 gene:B456_007G295900 transcript:KJB45217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIYPLFPLWVFNFINIKLICPIVYASIYMLKHGNRFDVDGYVTGFGNPDWKRTHSAATLTAPAVLDVLEGGATCVGKTIMDEMAYCINGENIHYGTPTNPCAPDRVPGGSSSGSAVAVGAGLVDFSLGSDTGGSVRVPASYCGILGFRPSHDAISTSGVIPMAQSFDTVGWFARDPAVLNRVGRVLLHLPNVNPVRPSQIFIPEDCFSLSSIPSARTTGVLIKSIEKLFGGSILKHVNLGDYVKEKVPSSHHFMAKGNDHAYNIPSLAALSSSMRLLQRYEFKKNHAEWITTVNPNLGPRLSERIWDAVRSPEENIGIYRSVQTELRAALTDLLKDEGILVLPTVPGDPPKLQMDLASLEVFRARAFSLLSIAGVSGFCQVSIPLGMHNNLPVSISLLAKHGSDAFLLNLVEALYDTIQEEAGFAEKFTN >KJB45219 pep chromosome:Graimondii2_0_v6:7:50664038:50666387:1 gene:B456_007G295900 transcript:KJB45219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSESGAFVEKFILQPTSSSQQLPLSGLTFAVKDIIYMLKHGNRFDVDGYVTGFGNPDWKRTHSAATLTAPAVLDVLEGGATCVGKTIMDEMAYCINGENIHYGTPTNPCAPDRVPGGSSSGSAVAVGAGLVDFSLGSDTGGSVRVPASYCGILGFRPSHDAISTSGVIPMAQSFDTVGWFARDPAVLNRVGRVLLHLPNVNPVRPSQIFIPEDCFSLSSIPSARTTGVLIKSIEKLFGGSILKHVNLGDYVKEKVPSSHHFMAKGNDHAYNIPSLAALSSSMRLLQRYEFKKNHAEWITTVNPNLGPRLSERIWDAVRSPEENIGIYRSVQTELRAALTDLLKDEGILVLPTVPGDPPKLQMDLASLEVFRARAFSLLSIAGVSGFCQVSIPLGMHNNLPVSISLLAKHGSDAFLLNLVEALYDTIQEEAGFAEKFTN >KJB45218 pep chromosome:Graimondii2_0_v6:7:50664068:50666387:1 gene:B456_007G295900 transcript:KJB45218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHGNRFDVDGYVTGFGNPDWKRTHSAATLTAPAVLDVLEGGATCVGKTIMDEMAYCINGENIHYGTPTNPCAPDRVPGGSSSGSAVAVGAGLVDFSLGSDTGGSVRVPASYCGILGFRPSHDAISTSGVIPMAQSFDTVGWFARDPAVLNRVGRVLLHLPNVNPVRPSQIFIPEDCFSLSSIPSARTTGVLIKSIEKLFGGSILKHVNLGDYVKEKVPSSHHFMAKGNDHAYNIPSLAALSSSMRLLQRYEFKKNHAEWITTVNPNLGPRLSERIWDAVRSPEENIGIYRSVQTELRAALTDLLKDEGILVLPTVPGDPPKLQMDLASLEVFRARAFSLLSIAGVSGFCQVSIPLGMHNNLPVSISLLAKHGSDAFLLNLVEALYDTIQEEAGFAEKFTN >KJB45220 pep chromosome:Graimondii2_0_v6:7:50664068:50666387:1 gene:B456_007G295900 transcript:KJB45220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSESGAFVEKFILQPTSSSQQLPLSGLTFAVKDIFDVDGYVTGFGNPDWKRTHSAATLTAPAVLDVLEGGATCVGKTIMDEMAYCINGENIHYGTPTNPCAPDRVPGGSSSGSAVAVGAGLVDFSLGSDTGGSVRVPASYCGILGFRPSHDAISTSGVIPMAQSFDTVGWFARDPAVLNRVGRVLLHLPNVNPVRPSQIFIPEDCFSLSSIPSARTTGVLIKSIEKLFGGSILKHVNLGDYVKEKVPSSHHFMAKGNDHAYNIPSLAALSSSMRLLQRYEFKKNHAEWITTVNPNLGPRLSERIWDAVRSPEENIGIYRSVQTELRAALTDLLKDEGILVLPTVPGDPPKLQMDLASLEVFRARAFSLLSIAGVSGFCQVSIPLGMHNNLPVSISLLAKHGSDAFLLNLVEALYDTIQEEAGFAEKFTN >KJB42856 pep chromosome:Graimondii2_0_v6:7:15415347:15418069:1 gene:B456_007G170800 transcript:KJB42856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVGSHGEKDGLNDDAVLDYRGNPVDKSKTGGWLAAGLILGCELSERICVMGISMNLVPYLVGDLHISAAKSATMVTNFMGTLNLLGLLGGFLADAKLSRYVTVAISAAITSLGVILLTVATTIPSMRPPPCDEYRRQHHECIEANGRQLALLYVALYTIALGCGGIKSNVSSFGSDQFDVTDPKEENAMIFFISRFYFGISIGSLFGVIVLVYIQDNIGRGLGYGISAATMVIALAVLLCGTPWYRFKRPQGSPLTILWRVLFLALKKRNQSYPSHPSRLNDYENRRVPYTKRFKCLDKAAVLDDDCAANTNKNKPCVVSTVTQVEEVKMVLKLLPIWSTCILFWTIYSQMTTFTIHQATAMHRTVGSFVIPAGSFSAFLVITILLFTSLNEKVFVPLARKLTHNVQGITCLQRIGIGLILSIAAMVGAAIVEKERRERAIQKEIKLSAFWLFIQFFLVGCGEAFAYVGQLEFFIREAPDRMKSMSTGLFLSTISMGFFVSSLLVYIVDHATKKSWLRTNLNKGKLNNFYWLLAALGFINLLVFLILASRHEYRTHLPIKPESREEELKSLNNEMIEDVEKKASIQSLENIEP >KJB44012 pep chromosome:Graimondii2_0_v6:7:28132605:28133043:-1 gene:B456_007G229200 transcript:KJB44012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLGILSASHDGSIMLWAQSGKALMVMVGHTSIVYSVDAHVSGLIVNGSEDHIAKI >KJB44531 pep chromosome:Graimondii2_0_v6:7:41953184:41953723:-1 gene:B456_007G258200 transcript:KJB44531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTKICKVEVVSKFHDESWDDESKRLVQAFPKEKSFWSPSIVLRAVISSQKHFQACDSDIIVSTFPKCGTTWLKALTFSSLYRNQFAWDENSLLTFSPHQLVRFLEYDLYLNNPFPDLQNVCVYQPRLLSTHLPYASLPTSIKDSNCKIVFICRNPLDMFISLLLRS >KJB42871 pep chromosome:Graimondii2_0_v6:7:15631648:15635256:1 gene:B456_007G171500 transcript:KJB42871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNDIGVAAAINILTALAFFLAFAMLRIQPVNDRVYFPKWYIKGLRSSPLVNGSAFASKFVNLDLRSYTRFLNWMPAALQMPEPELIDHAGLDSAVYLRIYFLGLKIFGPIELTAFTVMIPVNWSNNTLEHSGLTYSDIDKLSISNIPIGSHKFWTHLVLAYGFTFWTCYVLKREYEIVAAMRLHFLASDQRRPDQFTVTSISITSFIRESSLLLINKVLARNVPPDPDESVTELVQHFFLVNHPHHYLSHQLVYNANKLSELVNEKKKIQNWLDFYQNKYKRNPLKRPSLKTGFLGLWGNRVDAIDFYTSFVERLSRDICGEREKVSSNTKSIMPAAFVSFKTRWGAAVCAQTQQSRNPTTWLTEWAPEPRDVYWENLAIPFVFLTIRRLIAAIAFFFLTFFFMIPIAIVQSLANIESIEKALPFLKPIIEVKFIKSFIQGFLPGIALKIFLLFLPTILMMMSKFEGFISLSALERRSASRYYFFQFINVFLGSIITGTAFQQLNHFIHQSTSQIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNSFLVKTEKDREEAMDPGTIGFNTGEPQIQLYFLLGLVYAVVTPILLPFIIVFFALAYVVYRHQIINVYHQEYESAAAFWPDVHVRVVAALIVSQLLLMGLLSTKEASQSTPLLITLPVLTICFHRFCKGRYEPAFVRYPLQEAMMKDTLERAKEANLNLKGFLQNAYIHPVFKSADESDSESESEWERSPALVATKRTSKRFTPMPSKDGGSVLSLDQVNDECLKP >KJB42870 pep chromosome:Graimondii2_0_v6:7:15631648:15635256:1 gene:B456_007G171500 transcript:KJB42870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNDIGVAAAINILTALAFFLAFAMLRIQPVNDRVYFPKWYIKGLRSSPLVNGSAFASKFVNLDLRSYTRFLNWMPAALQMPEPELIDHAGLDSAVYLRIYFLGLKIFGPIELTAFTVMIPVNWSNNTLEHSGLTYSDIDKLSISNIPIGSHKFWTHLVLAYGFTFWTCYVLKREYEIVAAMRLHFLASDQRRPDQFTVLARNVPPDPDESVTELVQHFFLVNHPHHYLSHQLVYNANKLSELVNEKKKIQNWLDFYQNKYKRNPLKRPSLKTGFLGLWGNRVDAIDFYTSFVERLSRDICGEREKVSSNTKSIMPAAFVSFKTRWGAAVCAQTQQSRNPTTWLTEWAPEPRDVYWENLAIPFVFLTIRRLIAAIAFFFLTFFFMIPIAIVQSLANIESIEKALPFLKPIIEVKFIKSFIQGFLPGIALKIFLLFLPTILMMMSKFEGFISLSALERRSASRYYFFQFINVFLGSIITGTAFQQLNHFIHQSTSQIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNSFLVKTEKDREEAMDPGTIGFNTGEPQIQLYFLLGLVYAVVTPILLPFIIVFFALAYVVYRHQIINVYHQEYESAAAFWPDVHVRVVAALIVSQLLLMGLLSTKEASQSTPLLITLPVLTICFHRFCKGRYEPAFVRYPLQEAMMKDTLERAKEANLNLKGFLQNAYIHPVFKSADESDSESESEWERSPALVATKRTSKRFTPMPSKDGGSVLSLDQVNDECLKP >KJB46259 pep chromosome:Graimondii2_0_v6:7:58538398:58543105:-1 gene:B456_007G354700 transcript:KJB46259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTEEGGGAVGAVSTDQTITNNNDFVSICIDLLRWKGELANLESLVKASNGTCNPLIRKVPSTLGRHEDFTHFVKKIGVDKHTLYNNMKTEWLEKCFDPKELEKYSNHNEKLAWMFFVDGCAIFQAVYMRYNLGHAIIRFINGTVKDPQSHQHFGVAGKTVFLTIFVDNKEPIHLLHLLRVRLLFKKEKPWHSRFCISFTNRRNHTRIKPHHSHPFRNVKELKNAGIWLEASETSCLTDISFNHYFFVGKLRLPPLTVDDSTMNLIAYEMCPDFYNNFTVTSYMGFLDSLIDEAEDWLIKKMNMDLVPSLMIYRHVKLQIHDHHNNMWIRYPAQVYRTFFRTRWTFFAFVGAIGALFIGALQAYYTIHQPK >KJB39807 pep chromosome:Graimondii2_0_v6:7:2963951:2968555:-1 gene:B456_007G042600 transcript:KJB39807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSQLFDDDQKSSGASKFLFNLPSRGHLSSTVVSSNLGGMRVYICEHNTSPPESQHIKTNQQNILIRSLMLNDKNGDSSSKDVKTAAEGPRKRAAERVMDSRASAKKANTQGKGSSSRAAEKDYHSLTVDRLRALLKERGLSSKGKKDELIARLKCVNGSSQKSE >KJB39808 pep chromosome:Graimondii2_0_v6:7:2964370:2968483:-1 gene:B456_007G042600 transcript:KJB39808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSQLFDDDQKSSGASKFLFNLPSRGHLSSTVVSSNLGGMRVYICEHNTSPPESQHIKTNQQNILIRSLMLNDKNGDSSSKDVKTAAEGPRKRAAERVMDSRASAKKANTQEGSSSRAAEKDYHSLTVDRLRALLKERGLSSKGKKACSLVKLSWMPSLVSLKCLAN >KJB39806 pep chromosome:Graimondii2_0_v6:7:2963911:2968659:-1 gene:B456_007G042600 transcript:KJB39806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSQLFDDDQKSSGASKFLFNLPSRGHLSSTVVSSNLGGMRVYICEHNTSPPESQHIKTNQQNILIRSLMLNDKNGDSSSKDVKTAAEGPRKRAAERVMDSRASAKKANTQEGSSSRAAEKDYHSLTVDRLRALLKERGLSSKGKKDELIARLKCVNGSSQKSE >KJB39809 pep chromosome:Graimondii2_0_v6:7:2963951:2968555:-1 gene:B456_007G042600 transcript:KJB39809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSQLFDDDQKSSGASKFLFNLPSRGHLSSTVVSSNLGGMRVYICEHNTSPPESQHIKTNQQNILIRSLMLNDKNGDSSSKDVKTAAEGPRKRAAERVMDSRASAKKANTQEGSSSRAAEKDYHSLTVDRLRALLKERGLSSKGKKACSLDELIARLKCVNGSSQKSE >KJB46053 pep chromosome:Graimondii2_0_v6:7:57391177:57395423:-1 gene:B456_007G346100 transcript:KJB46053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVAADLRSAIWKQMADAGIKYIPSNTFSYYDQVLDATSMLGAVPPRYGWNGGEIRFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVDEYKEAKSLGVDTVPVLVGPVSYLLLSKPAKGVEKTFSLLSLLPKILPIYKEVILELKAAGASWIQFDEPTLVLDLDSHQLQAFTAAYADLESALSGLNVLIETYFADLTTEAYKTLVGLKGVTAYGLDLVRGTQTIDLVKAGFPEGKYLFAGVVDGRNIWANDIASSLSTLQQLEDIVGKDKLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFAANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTLELRRVRREFKANKISEDNYIKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTQRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYLKWAVHSFRITNCGVQDTTQVYPHPHVLLQLQ >KJB46054 pep chromosome:Graimondii2_0_v6:7:57391177:57395470:-1 gene:B456_007G346100 transcript:KJB46054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVAADLRSAIWKQMADAGIKYIPSNTFSYYDQVLDATSMLGAVPPRYGWNGGEIRFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVDEYKEAKSLGVDTVPVLVGPVSYLLLSKPAKGVEKTFSLLSLLPKILPIYKEVILELKAAGASWIQFDEPTLVLDLDSHQLQAFTAAYADLESALSGLNVLIETYFADLTTEAYKTLVGLKGVTAYGLDLVRGTQTIDLVKAGFPEGKYLFAGVVDGRNIWANDIASSLSTLQQLEDIVGKDKLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFAANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTLELRRVRREFKANKISEDNYIKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTQRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYLKWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVKPALNNMVAAAKLLRTQLASAK >KJB43315 pep chromosome:Graimondii2_0_v6:7:19048236:19050555:-1 gene:B456_007G193800 transcript:KJB43315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISCFFCFVFQVPVYYRDVCSGGRVVSVRAQVTTEAPAKVVKESKKNDEGVVVNKFRPINPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNEKGELVKGVCSNFLCDLKPGAEVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKNPENFRLDFAVSREQTNEKGEKMYIQTRMAEYAKELWELLKKDNTFVYMCGLRGMEKGIDDIMVSLAAADGIDWAEYKKQLKKAEQWNVEVY >KJB43316 pep chromosome:Graimondii2_0_v6:7:19048236:19050784:-1 gene:B456_007G193800 transcript:KJB43316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTAAVSFPSTKSTSLPARTSIASPDRITFKKVPVYYRDVCSGGRVVSVRAQVTTEAPAKVVKESKKNDEGVVVNKFRPINPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNEKGELVKGVCSNFLCDLKPGAEVKITGPVGKEMLMPKDPNATIIMVCILTKLSSVLISAAPIQYLHLQLLHCSSLLELESLPFDHFCGKCSSRSMTTTSSTVWHGSSLVFPRVVHCYTRRNSRK >KJB43317 pep chromosome:Graimondii2_0_v6:7:19048236:19050784:-1 gene:B456_007G193800 transcript:KJB43317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTAAVSFPSTKSTSLPARTSIASPDRITFKKVPVYYRDVCSGGRVVSVRAQVTTEAPAKVVKESKKNDEGVVVNKFRPINPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNEKGELVKGVCSNFLCDLKPGAEVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHDDYKVYVYVHHLRQFSIANYAT >KJB43314 pep chromosome:Graimondii2_0_v6:7:19048152:19050965:-1 gene:B456_007G193800 transcript:KJB43314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTAAVSFPSTKSTSLPARTSIASPDRITFKKVPVYYRDVCSGGRVVSVRAQVTTEAPAKVVKESKKNDEGVVVNKFRPINPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNEKGELVKGVCSNFLCDLKPGAEVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKNPENFRLDFAVSREQTNEKGEKMYIQTRMAEYAKELWELLKKDNTFVYMCGLRGMEKGIDDIMVSLAAADGIDWAEYKKQLKKAEQWNVEVY >KJB46128 pep chromosome:Graimondii2_0_v6:7:57951540:57955486:-1 gene:B456_007G349400 transcript:KJB46128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCFPCFSSQKSKKEGSSRREHVDVNIDQPPQPTVTADTENKETPSTPAEYDNNSSIKAFNFRELASATKNFRQECLLGEGGLGKVYKGTIQATGQEVAVKQLDRNAMEGSNEFFVEVGQLSLLQHPNLVSVVGYCADGDQRLLVYEYMSGGSVQEHLHDIKPGGQPLDWVTRMKIAYGAAQGLQYLHEKAKPPIIYRDLKSSKVLLDDNFNPKLSNVGLDKLGSSADSKMPMQSRMMDNHGYNAPEYTKTGTATLMTDVYSFGVILLELISGRKPIDPSMPEDQQDLVAWAQPIFKEPKHFSQMADPLLEKRFPERGLNQAVAIAAMCVQEEAAARPLISDLASVLSFLSIATEENNIPATLPASISSKLNCISTKLNFLDGADAAGKTKAADSNVTPTIAEERSEDEEDGGSSARSSSADRGLTQQKSRNGDRTLSQKSSGRSLFSIDNDSRKSHSLSRKESSKASSDEDNEYESDDGKSAASSSQRSGSGVSEGSSGDESGQKGSSLGHKSSKKLTSMSRKSSKMKESGSSRHRSSSSKKTSMKKNRQKSKKHSDDEGDD >KJB45120 pep chromosome:Graimondii2_0_v6:7:49908226:49917741:-1 gene:B456_007G291000 transcript:KJB45120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIGTGATANVCSEAAKGIFHQVKRHIRYVIFYQKIVDKFEQKHWTLIAKRTSVQQDVDVAERNGEKIKADVLDWRHRVEKVVTEKEKKVKDLEVKAKTKCFFGLCPNIKSRYQLSRKAEEAAATFDELIKDCQFERVGYPDVPEPVVHTDFEAFKSREEVFNDIMESLKDATTSMIGVYGMAGVGKTSLVNEVERQLHEVEVKLFDSVVRATVSRIPVIKEIQDQIAYSLGLKLEENSLVVRARRLCERLRKEKNVLIILDDLWKKLDLEEVGIPFGSRHKGCKILLTSRDQNVLSNGMDATKTFAIGDLENEEAWKFFRKMAGDSFESDEELRSTAIKVAEKCARLPLALATVARALRNKPLIFWSDALRQLQRSYLEKSSDDISTEVHSAIELSINHLSSEDLKQIFLLCSLLRRDTRIEDLLRYACGLGLIKGVNTMKAARDRLLKMMSTLKESCLLLDSKSTNEEYFDVHDLTYIVAKSIASKDNQVLALTEEDNDEDEDEDVVADWLNRESMKECNKILLQHPRINKLPDQLNCPQLFLFLLFSKDLSLTLPDNVFKEAKNLKVLDLTGVHFSSLPSSIGLLTSLSTLCLDHCKLGDNLTIIGVLKNLNVLSVLQSDIKIVPKEIGQLLKLKLLDVSGCTKLEKISADVLPRLSKLEELYMGGTSIQWGQPNASLAELNTLSHLSTLEVQIPDAKSAPEGFFQKLQKLERYKICIGKEWERFGNYQYSRTLKLRLNTSIDDLNHGIKKLVERTQDLELDELKGGKIALKELTDEESLSHLQNLHIQNGLDIESVINDKNEFPRLQSLTLQGLPQLVSFCSQDKIDAPSLTQLELPLFGEKISFPSLEKLHLSSLNVTRVWQNQLSNVAFCTHEKLTTLKIEGCGNIKYLLSFSMAKYLVHLKYFEITACNCLREIILLEDIEEETQATMTLSLFPQLKSLELKDLQHLSGFCSNSQNKVIEFPFMKSMTIYNCPKLEGFICRYTREGNRRISSQGDLFDNKVAFPSLEEMRICYLRKMKMIWRNPLPPNSFPKLQQLRVEGCDKLLTIFPSNMLTTFQRLHRLTVNTCGSLQQVFEIMHEEKESALLATAQLRELHIGGLPKLKYIWKNDPKGIFSFKKICAISVLGCRSLKNVFPASVAKDLPQLGYLAISDCGVEEIVSKLEEGSDSEIAVNFKFDQPYALMLWRLPELKCFYAGKYTAKWPMLNKLEVSECGKMKILGTQLDSPIHPPLFLVEKVIPKLQHLTLDSDYIAMISDSQFSSSLFHGIKAFQVHGHGGKSIDFRISFLERFYTLENLTISYYEIKELFCTEGDTGNEEMYAGTLSTIRNLKLVALNNLKDYLWKQDVQVDHILPKLETLEVHNCYNLISLGSSSASFQNLTTLDVWNCEAMKYLDTCLAVQGMVQLKKLMVRDCISMTEIVATEGDEATCDIIFSRLKSLELVNLPRLKSFCSGNHTFGFPCLEELIVSGCPELEIFCKGVLTNPPLLQKVEYGNDNGHWYSDLNNTIQQMYSIKAGFQAIGYLVLSEFSKSIEIWKENIHGSLDFKKLKVLEVYKCNSMTYIFSVSMALDLAQLEDIKVKQCPIMEQIIKKGAEETEMATLLLPMLKKIRLESCSRLTSFCMGSITLQCPSLYEIAVDDCPKMYALASKREQEDIEVVGREKIPFFNHKVLCANLQYLELSSTNIKILWPDKPDRATSSNVLNLQILIVKGCHNLEYLFPSLLAKKFERLHQLSLFDCKNMEEIIFTDGLAAGEGIPQIYLFTKLQILEFIRLPKLRTFCHQENSETNTLFNQKVAFPSLNDLRIVGMGKCRKIWHDKLTMGSFHELTFLVVEHCDKLSNVLPFDMVERLEKLETLQILECESVEEIIGLADDHGLNSNESIELKSTTKFVFPRIRQLILPRWRHSLGSISTLEKHKERTNPLSLFNNPYFGSLRKHSPI >KJB45121 pep chromosome:Graimondii2_0_v6:7:49908226:49917741:-1 gene:B456_007G291000 transcript:KJB45121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIGTGATANVCSEAAKGIFHQVKRHIRYVIFYQKIVDKFEQKHWTLIAKRTSVQQDVDVAERNGEKIKADVLDWRHRVEKVVTEKEKKVKDLEVKAKTKCFFGLCPNIKSRYQLSRKAEEAAATFDELIKDCQFERVGYPDVPEPVVHTDFEAFKSREEVFNDIMESLKDATTSMIGVYGMAGVGKTSLVNEVERQLHEVEVKLFDSVVRATVSRIPVIKEIQDQIAYSLGLKLEENSLVVRARRLCERLRKEKNVLIILDDLWKKLDLEEVGIPFGSRHKGCKILLTSRDQNVLSNGMDATKTFAIGDLENEEAWKFFRKMAGDSFESDEELRSTAIKVAEKCARLPLALATVARALRNKPLIFWSDALRQLQRSYLEKSSDDISTEVHSAIELSINHLSSEDLKQIFLLCSLLRRDTRIEDLLRYACGLGLIKGVNTMKAARDRLLKMMSTLKESCLLLDSKSTNEEYFDVHDLTYIVAKSIASKDNQVLALTEEDNDEDEDEDVVADWLNRESMKECNKILLQHPRINKLPDQLNCPQLFLFLLFSKDLSLTLPDNVFKEAKNLKVLDLTGVHFSSLPSSIGLLTSLSTLCLDHCKLGDNLTIIGVLKNLNVLSVLQSDIKIVPKEIGQLLKLKLLDVSGCTKLEKISADVLPRLSKLEELYMGGTSIQWGQPNASLAELNTLSHLSTLEVQIPDAKSAPEGFFQKLQKLERYKICIGKEWERFGNYQYSRTLKLRLNTSIDDLNHGIKKLVERTQDLELDELKGGKIALKELTDEESLSHLQNLHIQNGLDIESVINDKNEFPRLQSLTLQGLPQLVSFCSQDKIDAPSLTQLELPLFGEKLKSLELKDLQHLSGFCSNSQNKVIEFPFMKSMTIYNCPKLEGFICRYTREGNRRISSQGDLFDNKVAFPSLEEMRICYLRKMKMIWRNPLPPNSFPKLQQLRVEGCDKLLTIFPSNMLTTFQRLHRLTVNTCGSLQQVFEIMHEEKESALLATAQLRELHIGGLPKLKYIWKNDPKGIFSFKKICAISVLGCRSLKNVFPASVAKDLPQLGYLAISDCGVEEIVSKLEEGSDSEIAVNFKFDQPYALMLWRLPELKCFYAGKYTAKWPMLNKLEVSECGKMKILGTQLDSPIHPPLFLVEKVIPKLQHLTLDSDYIAMISDSQFSSSLFHGIKAFQVHGHGGKSIDFRISFLERFYTLENLTISYYEIKELFCTEGDTGNEEMYAGTLSTIRNLKLVALNNLKDYLWKQDVQVDHILPKLETLEVHNCYNLISLGSSSASFQNLTTLDVWNCEAMKYLDTCLAVQGMVQLKKLMVRDCISMTEIVATEGDEATCDIIFSRLKSLELVNLPRLKSFCSGNHTFGFPCLEELIVSGCPELEIFCKGVLTNPPLLQKVEYGNDNGHWYSDLNNTIQQMYSIKAGFQAIGYLVLSEFSKSIEIWKENIHGSLDFKKLKVLEVYKCNSMTYIFSVSMALDLAQLEDIKVKQCPIMEQIIKKGAEETEMATLLLPMLKKIRLESCSRLTSFCMGSITLQCPSLYEIAVDDCPKMYALASKREQEDIEVVGREKIPFFNHKVLCANLQYLELSSTNIKILWPDKPDRATSSNVLNLQILIVKGCHNLEYLFPSLLAKKFERLHQLSLFDCKNMEEIIFTDGLAAGEGIPQIYLFTKLQILEFIRLPKLRTFCHQENSETNTLFNQKVAFPSLNDLRIVGMGKCRKIWHDKLTMGSFHELTFLVVEHCDKLSNVLPFDMVERLEKLETLQILECESVEEIIGLADDHGLNSNESIELKSTTKFVFPRIRQLILRKLPKLIGFYSKVHTTDWPLLKLLEVCECSKVETFAGEYINFRETQGENQPVISVQQPLFWVTKETFPNLEELFLVWNGYMKVWLGHGPDPKQYCPKLRKLHCPKT >KJB46285 pep chromosome:Graimondii2_0_v6:7:58903937:58913021:1 gene:B456_007G357300 transcript:KJB46285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAMASEQGMSSWTDLLHSSTKLLEQAAPSAQFPPLQRNLDQLEALSKKLKAKTLRTEAPSQSIAATRLLAREGINAEQLTRDLKSFELKTTFEDVFPAEATSVEEYLQQEDWQKEKRDFLQSLSRISTLPKTNMIDKSSGGVCSGQMVPMASSSQVSSGPSAIGLLPLADKRLVEKKVSVYAEVVRNLNNARQQGLPFKPATAFKVAYESLGTEASGGKSVNMQKMWHLILKLMGEDSMMRQSVSRKMSLVIGARRHLEWGHEKYIMDIIQSHPAQAALGGAVGNLHRVRAFLRIRLRDYGLLDFDAGDARRQPPIDTTWQQIYFCLRTGYYDEARQVALSSRASHQFAPLLAEWINGGGMVPAHAAAAAAEECEKMFRMGDRVGRASYDKKKLLLYAIISGSRRQIDRLLRDIPSLFSTIEDFLWFILSAVQDFPGGTSSNEGLVPYSLDDLQAYLNKFEPSYYTKNGKDPLVYPYILLLSIQLLPAISYLSKEAGEEEYHIDAAHIAIVLADNGVLSEVSGAGQKLGVMDAYAEASSIIRQYGSMYLRLGNLQMALEYYAQAAAAVGGGHVSWTGRGSVDQQRQMNLMLKQLLTEILFRDGGVYLLLGSRGAGEEGELRRFLTDHKARQQFLLEAARQCQDSGLYDKSIEIQKRVGAFSMALDTINKCLSEAICALSRGRLDGESQTAGLIHSGNEILETFKYYPEVSFQEREHVSEQQTILRQLETILSIHKLMRLGQYLDALREVAKIPFLPFDPRAPDTSADVFQNLSPHVQACLPDLLKVTITCLDNVSDTDGSLRAMRSKIATFLANNMRQNWPRDLYEKVAKSL >KJB46284 pep chromosome:Graimondii2_0_v6:7:58903937:58913021:1 gene:B456_007G357300 transcript:KJB46284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAMASEQGMSSWTDLLHSSTKLLEQAAPSAQFPPLQRNLDQLEALSKKLKAKTLRTEAPSQSIAATRLLAREGINAEQLTRDLKSFELKTTFEDVFPAEATSVEEYLQQVHEMAMVSAIQEAQKDNLRSFNDYMMKVLEEDWQKEKRDFLQSLSRISTLPKTNMIDKSSGGVCSGQMVPMASSSQVSSGPSAIGLLPLADKRLVEKKVSVYAEVVRNLNNARQQGLPFKPATAFKVAYESLGTEASGGKSVNMQKMWHLILKLMGEDSMMRQSVSRKMSLVIGARRHLEWGHEKYIMDIIQSHPAQAALGGAVGNLHRVRAFLRIRLRDYGLLDFDAGDARRQPPIDTTWQQIYFCLRTGYYDEARQVALSSRASHQFAPLLAEWINGGGMVPAHAAAAAAEECEKMFRMGDRVGRASYDKKKLLLYAIISGSRRQIDRLLRDIPSLFSTIEDFLWFILSAVQDFPGGTSSNEGLVPYSLDDLQAYLNKFEPSYYTKNGKDPLVYPYILLLSIQLLPAISYLSKEAGEEEYHIDAAHIAIVLADNGVLSEVSGAGQKLGVMDAYAEASSIIRQYGSMYLRLGNLQMALEYYAQAAAAVGGGHVSWTGRGSVDQQRQMNLMLKQLLTEILFRDGGVYLLLGSRGAGEEGELRRFLTDHKARQQFLLEAARQCQDSGLYDKSIEIQKRVGAFSMALDTINKCLSEAICALSRGRLDGESQTAGLIHSGNEILETFKYYPEVSFQEREHVSEQQTILRQLETILSIHKLMRLGQYLDALREVAKIPFLPFDPRAPDTSADVFQNLSPHVQACLPDLLKVTITCLDNVSDTDGSLRAMRSKIATFLANNMRQNWPRDLYEKVAKSL >KJB38979 pep chromosome:Graimondii2_0_v6:7:2668746:2677954:-1 gene:B456_007G038600 transcript:KJB38979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEISEHVYVFDCCFTADVLEEDEFKVYMGSIVAQLQDYFPDASFMVFNFREGDRRSRISDILSEYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSDSWLSLEGQQNVLLMHCERGGWPVLAFMLASLLLYRKQYSGEQKTLEMVYKQAPRDLLKLLSPLNPRPSHLRYLQYISRRNLSSDWPPAHTPRDLDCIILRVLPLFEGGEGCRPVIHVYGMDPKTPANRSSKLLFSTSKTKKQVPYFRKEECALVTIDTHCHIQGDVVLECVHLYDDLVHEETIFRVMFHTAFVRRNILIFNHDDVDVLWDARHQFPKDFTVEVVFMDRDAVVPNLPRVATKSEDRNDGECASPEEFFEVEEIFSNAVDAVEGKVDVLDGKVDALDGKVDYDSQIVHENKPEQKDILREDVDSHLLDGKVDYNSQIVHENKPEQKDISREDVDPHALDGKVDYDSQIVHENKPEQKDILREDVDPHRIQECSTYDGNKKQDVKFDSSIDAVKDIAMDDVNYKLHMKLDSDINAVKDIAVDDGDIKAGSVEFTINLLRERETKEVTEDVLGKLEDTADTGNREESVPLKKQRLKTDVSRSKPEKILPISKKQDGLSPKPASDSALVKPKSRQLEPQGQPARPAKPNAVSRWIPPNKGSYANSMHVSYPPSRPNSAPPVPSSTLRKSKSVSNLKGSIGAVISKDVSSKQKCQKVDDTKTSKDFSSEQKGQKVDLTKPSGSPKEIYTAPIIPTPLPGLQQSVYIPPSTPAAAPPPAPPSPPPPRSLLASQSLEENTISILPPPLSGNVSRMSFAALSTPPPPPPPPPCTAIKVHTTGMLSQNPVAVPPPLPPSPPFSNPQISKLFSSRPPPSPPLKSRFSSAFLNVLHSQAPPRPSPVRSLGTSKLGNVPSFHQKPPPTPPTQGAMAPSIMHEVLSAPSPPLAPPLCDAPSSPPLPPLVPTRHVPLPPPPPPPPPQYRALAPLSQPMPHYIASTPPIPLPPPLLLGMCASSSLPSPMPHSPSPPLELPPLMSTTPSPPPPPLTSAPTPPPLPPSMSTTSIPPSPPLLICSATIPPPPPSQPPLMSSALPPPPSAPLLMSNASLPPTPPSPPSISSCPPPPALPLPSMSSVLLPPLAPLPPLMSSVPPPPPPPPTPSPLSVYGTPLPSSPLAPSMSSDPPPQLPSMSNALLPPPSPPSLTTIAPLPFLPSPSFSMTSVPPPPPSSLPPQLTSSAPIPSPPSPSSSTTSVPLPPPPSPPFSATIAPHPPPPSPPSSTTTVPLPLTSPPFSTTIASSPPSPPPPPMCSTPLPPFSPPFSTTIASSPPSPPPPPMCSTPLPPPSPPSLTNIVPSPPAPQLPSMSSASLPPPSPPSSVTSALPPSIPPPSPPPLLMSTASFPAPPSPPFLTTSAPPPPSPPPPSISNTPLPPPSVSSAPLPLSLSPTTLMTSAPPPSPSLPSPPPTLMSTAPLPPPSSPPSATTSAPPLLSPSPLSISSIQPLPSSMSSASIPRSPSPTTLTTSALPPPSPPPSSISSTPPPPSPTTSMTSTPAPPSPPPPSISSTPPPPPSMSSASIPPSPSPNTLMTSALLPPPPPSPPPPSISSTPPPPSPTTLTTSAPPPPSPPPPSTSNTQPPPPSISSASLPPSPLSTTFSTSASPPSPPPQLVSSVPPSSPPSMSSVSPPPPPPPSSMFDVPHPPLPPLPGVPPPPPTPFGAPLPPPPPVNEIVAPAPLMHGAPPPPPLPPTIGEPPPPPPSGVPPPPPPPLGIEPPLPAPPFGVGPPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPGPPAPPGAVGGGPPPPPPFGAKGADARGRGRGLSRSGAIQTARRSSLKPLHWSKVSRAIQGSLWEELQRHGEPQVAPEFDVSELETLFSNVVPKRSGDKGKGKSAGPKTDKVHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKNYTGDKESLGKCEQSI >KJB38976 pep chromosome:Graimondii2_0_v6:7:2668005:2677496:-1 gene:B456_007G038600 transcript:KJB38976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEISEHVYVFDCCFTADVLEEDEFKVYMGSIVAQLQDYFPDASFMVFNFREGDRRSRISDILSEYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSDSWLSLEGQQNVLLMHCERGGWPVLAFMLASLLLYRKQYSGEQKTLEMVYKQAPRDLLKLLSPLNPRPSHLRYLQYISRRNLSSDWPPAHTPRDLDCIILRVLPLFEGGEGCRPVIHVYGMDPKTPANRSSKLLFSTSKTKKQVPYFRKEECALVTIDTHCHIQGDVVLECVHLYDDLVHEETIFRVMFHTAFVRRNILIFNHDDVDVLWDARHQFPKDFTVEVVFMDRDAVVPNLPRVATKSEDRNDGECASPEEFFEVEEIFSNAVDAVEGKVDVLDGKVDALDGKVDYDSQIVHENKPEQKDILREDVDSHLLDGKVDYNSQIVHENKPEQKDISREDVDPHALDGKVDYDSQIVHENKPEQKDILREDVDPHRIQECSTYDGNKKQDVKFDSSIDAVKDIAMDDVNYKLHMKLDSDINAVKDIAVDDGDIKAGSVEFTINLLRERETKEVTEDVLGKLEDTADTGNREESVPLKKQRLKTDVSRSKPEKILPISKKQDGLSPKPASDSALVKPKSRQLEPQGQPARPAKPNAVSRWIPPNKGSYANSMHVSYPPSRPNSAPPVPSSTLRKSKSVSNLKGSIGAVISKDVSSKQKCQKVDDTKTSKDFSSEQKGQKVDLTKPSGSPKEIYTAPIIPTPLPGLQQSVYIPPSTPAAAPPPAPPSPPPPRSLLASQSLEENTISILPPPLSGNVSRMSFAALSTPPPPPPPPPCTAIKVHTTGMLSQNPVAVPPPLPPSPPFSNPQISKLFSSRPPPSPPLKSRFSSAFLNVLHSQAPPRPSPVRSLGTSKLGNVPSFHQKPPPTPPTQGAMAPSIMHEVLSAPSPPLAPPLCDAPSSPPLPPLVPTRHVPLPPPPPPPPPQYRALAPLSQPMPHYIASTPPIPLPPPLLLGMCASSSLPSPMPHSPSPPLELPPLMSTTPSPPPPPLTSAPTPPPLPPSMSTTSIPPSPPLLICSATIPPPPPSQPPLMSSALPPPPSAPLLMSNASLPPTPPSPPSISSCPPPPALPLPSMSSVLLPPLAPLPPLMSSVPPPPPPPPTPSPLSVYGTPLPSSPLAPSMSSDPPPQLPSMSNALLPPPSPPSLTTIAPLPFLPSPSFSMTSVPPPPPSSLPPQLTSSAPIPSPPSPSSSTTSVPLPPPPSPPFSATIAPHPPPPSPPSSTTTVPLPLTSPPFSTTIASSPPSPPPPPMCSTPLPPFSPPFSTTIASSPPSPPPPPMCSTPLPPPSPPSLTNIVPSPPAPQLPSMSSASLPPPSPPSSVTSALPPSIPPPSPPPLLMSTASFPAPPSPPFLTTSAPPPPSPPPPSISNTPLPPPSVSSAPLPLSLSPTTLMTSAPPPSPSLPSPPPTLMSTAPLPPPSSPPSATTSAPPLLSPSPLSISSIQPLPSSMSSASIPRSPSPTTLTTSALPPPSPPPSSISSTPPPPSPTTSMTSTPAPPSPPPPSISSTPPPPPSMSSASIPPSPSPNTLMTSALLPPPPPSPPPPSISSTPPPPSPTTLTTSAPPPPSPPPPSTSNTQPPPPSISSASLPPSPLSTTFSTSASPPSPPPQLVSSVPPSSPPSMSSVSPPPPPPPSSMFDVPHPPLPPLPGVPPPPPTPFGAPLPPPPPVNEIVAPAPLMHGAPPPPPLPPTIGEPPPPPPSGVPPPPPPPLGIEPPLPAPPFGVGPPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPGPPAPPGAVGGGPPPPPPFGAKGADARGRGRGLSRSGAIQTARRSSLKPLHWSKVSRAIQGSLWEELQRHGEPQVAPEFDVSELETLFSNVVPKRSGDKGKGKSAGPKTDKVHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKNYTGDKESLGKCEQYFLELMKVPRVESKLRVFCFKIQFRTQVWQYLHS >KJB38973 pep chromosome:Graimondii2_0_v6:7:2663900:2677496:-1 gene:B456_007G038600 transcript:KJB38973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEISEHVYVFDCCFTADVLEEDEFKVYMGSIVAQLQDYFPDASFMVFNFREGDRRSRISDILSEYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSDSWLSLEGQQNVLLMHCERGGWPVLAFMLASLLLYRKQYSGEQKTLEMVYKQAPRDLLKLLSPLNPRPSHLRYLQYISRRNLSSDWPPAHTPRDLDCIILRVLPLFEGGEGCRPVIHVYGMDPKTPANRSSKLLFSTSKTKKQVPYFRKEECALVTIDTHCHIQGDVVLECVHLYDDLVHEETIFRVMFHTAFVRRNILIFNHDDVDVLWDARHQFPKDFTVEVVFMDRDAVVPNLPRVATKSEDRNDGECASPEEFFEVEEIFSNAVDAVEGKVDVLDGKVDALDGKVDYDSQIVHENKPEQKDILREDVDSHLLDGKVDYNSQIVHENKPEQKDISREDVDPHALDGKVDYDSQIVHENKPEQKDILREDVDPHRIQECSTYDGNKKQDVKFDSSIDAVKDIAMDDVNYKLHMKLDSDINAVKDIAVDDGDIKAGSVEFTINLLRERETKEVTEDVLGKLEDTADTGNREESVPLKKQRLKTDVSRSKPEKILPISKKQDGLSPKPASDSALVKPKSRQLEPQGQPARPAKPNAVSRWIPPNKGSYANSMHVSYPPSRPNSAPPVPSSTLRKSKSVSNLKGSIGAVISKDVSSKQKCQKVDDTKTSKDFSSEQKGQKVDLTKPSGSPKEIYTAPIIPTPLPGLQQSVYIPPSTPAAAPPPAPPSPPPPRSLLASQSLEENTISILPPPLSGNVSRMSFAALSTPPPPPPPPPCTAIKVHTTGMLSQNPVAVPPPLPPSPPFSNPQISKLFSSRPPPSPPLKSRFSSAFLNVLHSQAPPRPSPVRSLGTSKLGNVPSFHQKPPPTPPTQGAMAPSIMHEVLSAPSPPLAPPLCDAPSSPPLPPLVPTRHVPLPPPPPPPPPQYRALAPLSQPMPHYIASTPPIPLPPPLLLGMCASSSLPSPMPHSPSPPLELPPLMSTTPSPPPPPLTSAPTPPPLPPSMSTTSIPPSPPLLICSATIPPPPPSQPPLMSSALPPPPSAPLLMSNASLPPTPPSPPSISSCPPPPALPLPSMSSVLLPPLAPLPPLMSSVPPPPPPPPTPSPLSVYGTPLPSSPLAPSMSSDPPPQLPSMSNALLPPPSPPSLTTIAPLPFLPSPSFSMTSVPPPPPSSLPPQLTSSAPIPSPPSPSSSTTSVPLPPPPSPPFSATIAPHPPPPSPPSSTTTVPLPLTSPPFSTTIASSPPSPPPPPMCSTPLPPFSPPFSTTIASSPPSPPPPPMCSTPLPPPSPPSLTNIVPSPPAPQLPSMSSASLPPPSPPSSVTSALPPSIPPPSPPPLLMSTASFPAPPSPPFLTTSAPPPPSPPPPSISNTPLPPPSVSSAPLPLSLSPTTLMTSAPPPSPSLPSPPPTLMSTAPLPPPSSPPSATTSAPPLLSPSPLSISSIQPLPSSMSSASIPRSPSPTTLTTSALPPPSPPPSSISSTPPPPSPTTSMTSTPAPPSPPPPSISSTPPPPPSMSSASIPPSPSPNTLMTSALLPPPPPSPPPPSISSTPPPPSPTTLTTSAPPPPSPPPPSTSNTQPPPPSISSASLPPSPLSTTFSTSASPPSPPPQLVSSVPPSSPPSMSSVSPPPPPPPSSMFDVPHPPLPPLPGVPPPPPTPFGAPLPPPPPVNEIVAPAPLMHGAPPPPPLPPTIGEPPPPPPSGVPPPPPPPLGIEPPLPAPPFGVGPPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPGPPAPPGAVGGGPPPPPPFGAKGADARGRGRGLSRSGAIQTARRSSLKPLHWSKVSRAIQGSLWEELQRHGEPQVAPEFDVSELETLFSNVVPKRSGDKGKGKSAGPKTDKVHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKNYTGDKESLGKCEQVSEFKRSLNIVNSACNEVRNSLKLKDLMKKILYLGNTLNQGTARGAAVGFKLDSLLKLTDTRASTSRMTLMHYLCKVLAAKKPALLDFHLDFVSLEAATKIQLKALAEEMQAIIKGLEKVKQELAASENDGPVSEVFHKTLKEFVSGAEAEAASVTNLYSTTGRNADALALYFGEDPARCPFEQVTSTLLNFVRLFRKAHEENVKEAEAEQKKAEKEAETEKSKGKQEAE >KJB38977 pep chromosome:Graimondii2_0_v6:7:2667519:2677968:-1 gene:B456_007G038600 transcript:KJB38977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEISEHVYVFDCCFTADVLEEDEFKVYMGSIVAQLQDYFPDASFMVFNFREGDRRSRISDILSEYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSDSWLSLEGQQNVLLMHCERGGWPVLAFMLASLLLYRKQYSGEQKTLEMVYKQAPRDLLKLLSPLNPRPSHLRYLQYISRRNLSSDWPPAHTPRDLDCIILRVLPLFEGGEGCRPVIHVYGMDPKTPANRSSKLLFSTSKTKKQVPYFRKEECALVTIDTHCHIQGDVVLECVHLYDDLVHEETIFRVMFHTAFVRRNILIFNHDDVDVLWDARHQFPKDFTVEVVFMDRDAVVPNLPRVATKSEDRNDGECASPEEFFEVEEIFSNAVDAVEGKVDVLDGKVDALDGKVDYDSQIVHENKPEQKDILREDVDSHLLDGKVDYNSQIVHENKPEQKDISREDVDPHALDGKVDYDSQIVHENKPEQKDILREDVDPHRIQECSTYDGNKKQDVKFDSSIDAVKDIAMDDVNYKLHMKLDSDINAVKDIAVDDGDIKAGSVEFTINLLRERETKEVTEDVLGKLEDTADTGNREESVPLKKQRLKTDVSRSKPEKILPISKKQDGLSPKPASDSALVKPKSRQLEPQGQPARPAKPNAVSRWIPPNKGSYANSMHVSYPPSRPNSAPPVPSSTLRKSKSVSNLKGSIGAVISKDVSSKQKCQKVDDTKTSKDFSSEQKGQKVDLTKPSGSPKEIYTAPIIPTPLPGLQQSVYIPPSTPAAAPPPAPPSPPPPRSLLASQSLEENTISILPPPLSGNVSRMSFAALSTPPPPPPPPPCTAIKVHTTGMLSQNPVAVPPPLPPSPPFSNPQISKLFSSRPPPSPPLKSRFSSAFLNVLHSQAPPRPSPVRSLGTSKLGNVPSFHQKPPPTPPTQGAMAPSIMHEVLSAPSPPLAPPLCDAPSSPPLPPLVPTRHVPLPPPPPPPPPQYRALAPLSQPMPHYIASTPPIPLPPPLLLGMCASSSLPSPMPHSPSPPLELPPLMSTTPSPPPPPLTSAPTPPPLPPSMSTTSIPPSPPLLICSATIPPPPPSQPPLMSSALPPPPSAPLLMSNASLPPTPPSPPSISSCPPPPALPLPSMSSVLLPPLAPLPPLMSSVPPPPPPPPTPSPLSVYGTPLPSSPLAPSMSSDPPPQLPSMSNALLPPPSPPSLTTIAPLPFLPSPSFSMTSVPPPPPSSLPPQLTSSAPIPSPPSPSSSTTSVPLPPPPSPPFSATIAPHPPPPSPPSSTTTVPLPLTSPPFSTTIASSPPSPPPPPMCSTPLPPFSPPFSTTIASSPPSPPPPPMCSTPLPPPSPPSLTNIVPSPPAPQLPSMSSASLPPPSPPSSVTSALPPSIPPPSPPPLLMSTASFPAPPSPPFLTTSAPPPPSPPPPSISNTPLPPPSVSSAPLPLSLSPTTLMTSAPPPSPSLPSPPPTLMSTAPLPPPSSPPSATTSAPPLLSPSPLSISSIQPLPSSMSSASIPRSPSPTTLTTSALPPPSPPPSSISSTPPPPSPTTSMTSTPAPPSPPPPSISSTPPPPPSMSSASIPPSPSPNTLMTSALLPPPPPSPPPPSISSTPPPPSPTTLTTSAPPPPSPPPPSTSNTQPPPPSISSASLPPSPLSTTFSTSASPPSPPPQLVSSVPPSSPPSMSSVSPPPPPPPSSMFDVPHPPLPPLPGVPPPPPTPFGAPLPPPPPVNEIVAPAPLMHGAPPPPPLPPTIGEPPPPPPSGVPPPPPPPLGIEPPLPAPPFGVGPPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPGPPAPPGAVGGGPPPPPPFGAKGADARGRGRGLSRSGAIQTARRSSLKPLHWSKVSRAIQGSLWEELQRHGEPQVAPEFDVSELETLFSNVVPKRSGDKGKGKSAGPKTDKVHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKNYTGDKESLGKCEQVSEFKRSLNIVNSACNEVYH >KJB38972 pep chromosome:Graimondii2_0_v6:7:2663074:2677968:-1 gene:B456_007G038600 transcript:KJB38972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEISEHVYVFDCCFTADVLEEDEFKVYMGSIVAQLQDYFPDASFMVFNFREGDRRSRISDILSEYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSDSWLSLEGQQNVLLMHCERGGWPVLAFMLASLLLYRKQYSGEQKTLEMVYKQAPRDLLKLLSPLNPRPSHLRYLQYISRRNLSSDWPPAHTPRDLDCIILRVLPLFEGGEGCRPVIHVYGMDPKTPANRSSKLLFSTSKTKKQVPYFRKEECALVTIDTHCHIQGDVVLECVHLYDDLVHEETIFRVMFHTAFVRRNILIFNHDDVDVLWDARHQFPKDFTVEVVFMDRDAVVPNLPRVATKSEDRNDGECASPEEFFEVEEIFSNAVDAVEGKVDVLDGKVDALDGKVDYDSQIVHENKPEQKDILREDVDSHLLDGKVDYNSQIVHENKPEQKDISREDVDPHALDGKVDYDSQIVHENKPEQKDILREDVDPHRIQECSTYDGNKKQDVKFDSSIDAVKDIAMDDVNYKLHMKLDSDINAVKDIAVDDGDIKAGSVEFTINLLRERETKEVTEDVLGKLEDTADTGNREESVPLKKQRLKTDVSRSKPEKILPISKKQDGLSPKPASDSALVKPKSRQLEPQGQPARPAKPNAVSRWIPPNKGSYANSMHVSYPPSRPNSAPPVPSSTLRKSKSVSNLKGSIGAVISKDVSSKQKCQKVDDTKTSKDFSSEQKGQKVDLTKPSGSPKEIYTAPIIPTPLPGLQQSVYIPPSTPAAAPPPAPPSPPPPRSLLASQSLEENTISILPPPLSGNVSRMSFAALSTPPPPPPPPPCTAIKVHTTGMLSQNPVAVPPPLPPSPPFSNPQISKLFSSRPPPSPPLKSRFSSAFLNVLHSQAPPRPSPVRSLGTSKLGNVPSFHQKPPPTPPTQGAMAPSIMHEVLSAPSPPLAPPLCDAPSSPPLPPLVPTRHVPLPPPPPPPPPQYRALAPLSQPMPHYIASTPPIPLPPPLLLGMCASSSLPSPMPHSPSPPLELPPLMSTTPSPPPPPLTSAPTPPPLPPSMSTTSIPPSPPLLICSATIPPPPPSQPPLMSSALPPPPSAPLLMSNASLPPTPPSPPSISSCPPPPALPLPSMSSVLLPPLAPLPPLMSSVPPPPPPPPTPSPLSVYGTPLPSSPLAPSMSSDPPPQLPSMSNALLPPPSPPSLTTIAPLPFLPSPSFSMTSVPPPPPSSLPPQLTSSAPIPSPPSPSSSTTSVPLPPPPSPPFSATIAPHPPPPSPPSSTTTVPLPLTSPPFSTTIASSPPSPPPPPMCSTPLPPFSPPFSTTIASSPPSPPPPPMCSTPLPPPSPPSLTNIVPSPPAPQLPSMSSASLPPPSPPSSVTSALPPSIPPPSPPPLLMSTASFPAPPSPPFLTTSAPPPPSPPPPSISNTPLPPPSVSSAPLPLSLSPTTLMTSAPPPSPSLPSPPPTLMSTAPLPPPSSPPSATTSAPPLLSPSPLSISSIQPLPSSMSSASIPRSPSPTTLTTSALPPPSPPPSSISSTPPPPSPTTSMTSTPAPPSPPPPSISSTPPPPPSMSSASIPPSPSPNTLMTSALLPPPPPSPPPPSISSTPPPPSPTTLTTSAPPPPSPPPPSTSNTQPPPPSISSASLPPSPLSTTFSTSASPPSPPPQLVSSVPPSSPPSMSSVSPPPPPPPSSMFDVPHPPLPPLPGVPPPPPTPFGAPLPPPPPVNEIVAPAPLMHGAPPPPPLPPTIGEPPPPPPSGVPPPPPPPLGIEPPLPAPPFGVGPPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPGPPAPPGAVGGGPPPPPPFGAKGADARGRGRGLSRSGAIQTARRSSLKPLHWSKVSRAIQGSLWEELQRHGEPQVAPEFDVSELETLFSNVVPKRSGDKGKGKSAGPKTDKVHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKNYTGDKESLGKCEQYFLELMKVPRVESKLRVFCFKIQFRTQVSEFKRSLNIVNSACNEVRNSLKLKDLMKKILYLGNTLNQGTARGAAVGFKLDSLLKLTDTRASTSRMTLMHYLCKVLAAKKPALLDFHLDFVSLEAATKIQLKALAEEMQAIIKGLEKVKQELAASENDGPVSEVFHKTLKEFVSGAEAEAASVTNLYSTTGRNADALALYFGEDPARCPFEQVTSTLLNFVRLFRKAHEENVKEAEAEQKKAEKEAETEKSKGKQEAE >KJB38970 pep chromosome:Graimondii2_0_v6:7:2663900:2677496:-1 gene:B456_007G038600 transcript:KJB38970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEISEHVYVFDCCFTADVLEEDEFKVYMGSIVAQLQDYFPDASFMVFNFREGDRRSRISDILSEYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSDSWLSLEGQQNVLLMHCERGGWPVLAFMLASLLLYRKQYSGEQKTLEMVYKQAPRDLLKLLSPLNPRPSHLRYLQYISRRNLSSDWPPAHTPRDLDCIILRVLPLFEGGEGCRPVIHVYGMDPKTPANRSSKLLFSTSKTKKQVPYFRKEECALVTIDTHCHIQGDVVLECVHLYDDLVHEETIFRVMFHTAFVRRNILIFNHDDVDVLWDARHQFPKDFTVEVVFMDRDAVVPNLPRVATKSEDRNDGECASPEEFFEVEEIFSNAVDAVEGKVDVLDGKVDALDGKVDYDSQIVHENKPEQKDILREDVDSHLLDGKVDYNSQIVHENKPEQKDISREDVDPHALDGKVDYDSQIVHENKPEQKDILREDVDPHRIQECSTYDGNKKQDVKFDSSIDAVKDIAMDDVNYKLHMKLDSDINAVKDIAVDDGDIKAGSVEFTINLLRERETKEVTEDVLGKLEDTADTGNREESVPLKKQRLKTDVSRSKPEKILPISKKQDGLSPKPASDSALVKPKSRQLEPQGQPARPAKPNAVSRWIPPNKGSYANSMHVSYPPSRPNSAPPVPSSTLRKSKSVSNLKGSIGAVISKDVSSKQKCQKVDDTKTSKDFSSEQKGQKVDLTKPSGSPKEIYTAPIIPTPLPGLQQSVYIPPSTPAAAPPPAPPSPPPPRSLLASQSLEENTISILPPPLSGNVSRMSFAALSTPPPPPPPPPCTAIKVHTTGMLSQNPVAVPPPLPPSPPFSNPQISKLFSSRPPPSPPLKSRFSSAFLNVLHSQAPPRPSPVRSLGTSKLGNVPSFHQKPPPTPPTQGAMAPSIMHEVLSAPSPPLAPPLCDAPSSPPLPPLVPTRHVPLPPPPPPPPPQYRALAPLSQPMPHYIASTPPIPLPPPLLLGMCASSSLPSPMPHSPSPPLELPPLMSTTPSPPPPPLTSAPTPPPLPPSMSTTSIPPSPPLLICSATIPPPPPSQPPLMSSALPPPPSAPLLMSNASLPPTPPSPPSISSCPPPPALPLPSMSSVLLPPLAPLPPLMSSVPPPPPPPPTPSPLSVYGTPLPSSPLAPSMSSDPPPQLPSMSNALLPPPSPPSLTTIAPLPFLPSPSFSMTSVPPPPPSSLPPQLTSSAPIPSPPSPSSSTTSVPLPPPPSPPFSATIAPHPPPPSPPSSTTTVPLPLTSPPFSTTIASSPPSPPPPPMCSTPLPPFSPPFSTTIASSPPSPPPPPMCSTPLPPPSPPSLTNIVPSPPAPQLPSMSSASLPPPSPPSSVTSALPPSIPPPSPPPLLMSTASFPAPPSPPFLTTSAPPPPSPPPPSISNTPLPPPSVSSAPLPLSLSPTTLMTSAPPPSPSLPSPPPTLMSTAPLPPPSSPPSATTSAPPLLSPSPLSISSIQPLPSSMSSASIPRSPSPTTLTTSALPPPSPPPSSISSTPPPPSPTTSMTSTPAPPSPPPPSISSTPPPPPSMSSASIPPSPSPNTLMTSALLPPPPPSPPPPSISSTPPPPSPTTLTTSAPPPPSPPPPSTSNTQPPPPSISSASLPPSPLSTTFSTSASPPSPPPQLVSSVPPSSPPSMSSVSPPPPPPPSSMFDVPHPPLPPLPGVPPPPPTPFGAPLPPPPPVNEIVAPAPLMHGAPPPPPLPPTIGEPPPPPPSGVPPPPPPPLGIEPPLPAPPFGVGPPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPGPPAPPGAVGGGPPPPPPFGAKGADARGRGRGLSRSGAIQTARRSSLKPLHWSKVSRAIQGSLWEELQRHGEPQVAPEFDVSELETLFSNVVPKRSGDKGKGKSAGPKTDKVHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKNYTGDKESLGKCEQYFLELMKVPRVESKLRVFCFKIQFRTQVSEFKRSLNIVNSACNEVRNSLKLKDLMKKILYLGNTLNQGTARGAAVGFKLDSLLKLTDTRASTSRMTLMHYLCKVLAAKKPALLDFHLDFVSLEAATKIQLKALAEEMQAIIKGLEKVKQELAASENDGPVSEVFHKTLKEFVSGAEAEAASVTNLYSTTGRNADALALYFGEDPARCPFEQVTSTLLNFVRLFRKAHEENVKEAEAEQKKAEKEAETEKSKGKQEAE >KJB38978 pep chromosome:Graimondii2_0_v6:7:2669100:2677954:-1 gene:B456_007G038600 transcript:KJB38978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEISEHVYVFDCCFTADVLEEDEFKVYMGSIVAQLQDYFPDASFMVFNFREGDRRSRISDILSEYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSDSWLSLEGQQNVLLMHCERGGWPVLAFMLASLLLYRKQYSGEQKTLEMVYKQAPRDLLKLLSPLNPRPSHLRYLQYISRRNLSSDWPPAHTPRDLDCIILRVLPLFEGGEGCRPVIHVYGMDPKTPANRSSKLLFSTSKTKKQVPYFRKEECALVTIDTHCHIQGDVVLECVHLYDDLVHEETIFRVMFHTAFVRRNILIFNHDDVDVLWDARHQFPKDFTVEVVFMDRDAVVPNLPRVATKSEDRNDGECASPEEFFEVEEIFSNAVDAVEGKVDVLDGKVDALDGKVDYDSQIVHENKPEQKDILREDVDSHLLDGKVDYNSQIVHENKPEQKDISREDVDPHALDGKVDYDSQIVHENKPEQKDILREDVDPHRIQECSTYDGNKKQDVKFDSSIDAVKDIAMDDVNYKLHMKLDSDINAVKDIAVDDGDIKAGSVEFTINLLRERETKEVTEDVLGKLEDTADTGNREESVPLKKQRLKTDVSRSKPEKILPISKKQDGLSPKPASDSALVKPKSRQLEPQGQPARPAKPNAVSRWIPPNKGSYANSMHVSYPPSRPNSAPPVPSSTLRKSKSVSNLKGSIGAVISKDVSSKQKCQKVDDTKTSKDFSSEQKGQKVDLTKPSGSPKEIYTAPIIPTPLPGLQQSVYIPPSTPAAAPPPAPPSPPPPRSLLASQSLEENTISILPPPLSGNVSRMSFAALSTPPPPPPPPPCTAIKVHTTGMLSQNPVAVPPPLPPSPPFSNPQISKLFSSRPPPSPPLKSRFSSAFLNVLHSQAPPRPSPVRSLGTSKLGNVPSFHQKPPPTPPTQGAMAPSIMHEVLSAPSPPLAPPLCDAPSSPPLPPLVPTRHVPLPPPPPPPPPQYRALAPLSQPMPHYIASTPPIPLPPPLLLGMCASSSLPSPMPHSPSPPLELPPLMSTTPSPPPPPLTSAPTPPPLPPSMSTTSIPPSPPLLICSATIPPPPPSQPPLMSSALPPPPSAPLLMSNASLPPTPPSPPSISSCPPPPALPLPSMSSVLLPPLAPLPPLMSSVPPPPPPPPTPSPLSVYGTPLPSSPLAPSMSSDPPPQLPSMSNALLPPPSPPSLTTIAPLPFLPSPSFSMTSVPPPPPSSLPPQLTSSAPIPSPPSPSSSTTSVPLPPPPSPPFSATIAPHPPPPSPPSSTTTVPLPLTSPPFSTTIASSPPSPPPPPMCSTPLPPFSPPFSTTIASSPPSPPPPPMCSTPLPPPSPPSLTNIVPSPPAPQLPSMSSASLPPPSPPSSVTSALPPSIPPPSPPPLLMSTASFPAPPSPPFLTTSAPPPPSPPPPSISNTPLPPPSVSSAPLPLSLSPTTLMTSAPPPSPSLPSPPPTLMSTAPLPPPSSPPSATTSAPPLLSPSPLSISSIQPLPSSMSSASIPRSPSPTTLTTSALPPPSPPPSSISSTPPPPSPTTSMTSTPAPPSPPPPSISSTPPPPPSMSSASIPPSPSPNTLMTSALLPPPPPSPPPPSISSTPPPPSPTTLTTSAPPPPSPPPPSTSNTQPPPPSISSASLPPSPLSTTFSTSASPPSPPPQLVSSVPPSSPPSMSSVSPPPPPPPSSMFDVPHPPLPPLPGVPPPPPTPFGAPLPPPPPVNEIVAPAPLMHGAPPPPPLPPTIGEPPPPPPSGVPPPPPPPLGIEPPLPAPPFGVGPPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPGPPAPPGAVGGGPPPPPPFGAKGADARGRGRGLSRSGAIQTARRSSLKPLHWSKVSRAIQGSLWEELQRHGEPQVAPEFDVSELETLFSNVVPKRSGDKGKGKSAGPKTDKVHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKNYTGDKESLGKCEQSI >KJB38975 pep chromosome:Graimondii2_0_v6:7:2663079:2677968:-1 gene:B456_007G038600 transcript:KJB38975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEISEHVYVFDCCFTADVLEEDEFKVYMGSIVAQLQDYFPDASFMVFNFREGDRRSRISDILSEYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSDSWLSLEGQQNVLLMHCERGGWPVLAFMLASLLLYRKQYSGEQKTLEMVYKQAPRDLLKLLSPLNPRPSHLRYLQYISRRNLSSDWPPAHTPRDLDCIILRVLPLFEGGEGCRPVIHVYGMDPKTPANRSSKLLFSTSKTKKQVPYFRKEECALVTIDTHCHIQGDVVLECVHLYDDLVHEETIFRVMFHTAFVRRNILIFNHDDVDVLWDARHQFPKDFTVEVVFMDRDAVVPNLPRVATKSEDRNDGECASPEEFFEVEEIFSNAVDAVEGKVDVLDGKVDALDGKVDYDSQIVHENKPEQKDILREDVDSHLLDGKVDYNSQIVHENKPEQKDISREDVDPHALDGKVDYDSQIVHENKPEQKDILREDVDPHRIQECSTYDGNKKQDVKFDSSIDAVKDIAMDDVNYKLHMKLDSDINAVKDIAVDDGDIKAGSVEFTINLLRERETKEVTEDVLGKLEDTADTGNREESVPLKKQRLKTDVSRSKPEKILPISKKQDGLSPKPASDSALVKPKSRQLEPQGQPARPAKPNAVSRWIPPNKGSYANSMHVSYPPSRPNSAPPVPSSTLRKSKSVSNLKGSIGAVISKDVSSKQKCQKVDDTKTSKDFSSEQKGQKVDLTKPSGSPKEIYTAPIIPTPLPGLQQSVYIPPSTPAAAPPPAPPSPPPPRSLLASQSLEENTISILPPPLSGNVSRMSFAALSTPPPPPPPPPCTAIKVHTTGMLSQNPVAVPPPLPPSPPFSNPQISKLFSSRPPPSPPLKSRFSSAFLNVLHSQAPPRPSPVRSLGTSKLGNVPSFHQKPPPTPPTQGAMAPSIMHEVLSAPSPPLAPPLCDAPSSPPLPPLVPTRHVPLPPPPPPPPPQYRALAPLSQPMPHYIASTPPIPLPPPLLLGMCASSSLPSPMPHSPSPPLELPPLMSTTPSPPPPPLTSAPTPPPLPPSMSTTSIPPSPPLLICSATIPPPPPSQPPLMSSALPPPPSAPLLMSNASLPPTPPSPPSISSCPPPPALPLPSMSSVLLPPLAPLPPLMSSVPPPPPPPPTPSPLSVYGTPLPSSPLAPSMSSDPPPQLPSMSNALLPPPSPPSLTTIAPLPFLPSPSFSMTSVPPPPPSSLPPQLTSSAPIPSPPSPSSSTTSVPLPPPPSPPFSATIAPHPPPPSPPSSTTTVPLPLTSPPFSTTIASSPPSPPPPPMCSTPLPPFSPPFSTTIASSPPSPPPPPMCSTPLPPPSPPSLTNIVPSPPAPQLPSMSSASLPPPSPPSSVTSALPPSIPPPSPPPLLMSTASFPAPPSPPFLTTSAPPPPSPPPPSISNTPLPPPSVSSAPLPLSLSPTTLMTSAPPPSPSLPSPPPTLMSTAPLPPPSSPPSATTSAPPLLSPSPLSISSIQPLPSSMSSASIPRSPSPTTLTTSALPPPSPPPSSISSTPPPPSPTTSMTSTPAPPSPPPPSISSTPPPPPSMSSASIPPSPSPNTLMTSALLPPPPPSPPPPSISSTPPPPSPTTLTTSAPPPPSPPPPSTSNTQPPPPSISSASLPPSPLSTTFSTSASPPSPPPQLVSSVPPSSPPSMSSVSPPPPPPPSSMFDVPHPPLPPLPGVPPPPPTPFGAPLPPPPPVNEIVAPAPLMHGAPPPPPLPPTIGEPPPPPPSGVPPPPPPPLGIEPPLPAPPFGVGPPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPGPPAPPGAVGGGPPPPPPFGAKGADARGRGRGLSRSGAIQTARRSSLKPLHWSKVSRAIQGSLWEELQRHGEPQVAPEFDVSELETLFSNVVPKRSGDKGKGKSAGPKTDKVHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKNYTGDKESLGKCEQYFLELMKVPRVESKLRVFCFKIQFRTQVSEFKRSLNIVNSACNEVRNSLKLKDLMKKILYLGNTLNQGTARGAAVGFKLDSLLKLTDTRASTSRMTLMHYLCKVLAAKKPALLDFHLDFVSLEAATKIQLKALAEEMQAIIKGLEKVKQELAASENDGPVSEVFHKTLKEFVSGAEAEAASVTNLYSTTKCRCTCTLFW >KJB38971 pep chromosome:Graimondii2_0_v6:7:2663079:2677968:-1 gene:B456_007G038600 transcript:KJB38971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEISEHVYVFDCCFTADVLEEDEFKVYMGSIVAQLQDYFPDASFMVFNFREGDRRSRISDILSEYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSDSWLSLEGQQNVLLMHCERGGWPVLAFMLASLLLYRKQYSGEQKTLEMVYKQAPRDLLKLLSPLNPRPSHLRYLQYISRRNLSSDWPPAHTPRDLDCIILRVLPLFEGGEGCRPVIHVYGMDPKTPANRSSKLLFSTSKTKKQVPYFRKEECALVTIDTHCHIQGDVVLECVHLYDDLVHEETIFRVMFHTAFVRRNILIFNHDDVDVLWDARHQFPKDFTVEVVFMDRDAVVPNLPRVATKSEDRNDGECASPEEFFEVEEIFSNAVDAVEGKVDVLDGKVDALDGKVDYDSQIVHENKPEQKDILREDVDSHLLDGKVDYNSQIVHENKPEQKDISREDVDPHALDGKVDYDSQIVHENKPEQKDILREDVDPHRIQECSTYDGNKKQDVKFDSSIDAVKDIAMDDVNYKLHMKLDSDINAVKDIAVDDGDIKAGSVEFTINLLRERETKEVTEDVLGKLEDTADTGNREESVPLKKQRLKTDVSRSKPEKILPISKKQDGLSPKPASDSALVKPKSRQLEPQGQPARPAKPNAVSRWIPPNKGSYANSMHVSYPPSRPNSAPPVPSSTLRKSKSVSNLKGSIGAVISKDVSSKQKCQKVDDTKTSKDFSSEQKGQKVDLTKPSGSPKEIYTAPIIPTPLPGLQQSVYIPPSTPAAAPPPAPPSPPPPRSLLASQSLEENTISILPPPLSGNVSRMSFAALSTPPPPPPPPPCTAIKVHTTGMLSQNPVAVPPPLPPSPPFSNPQISKLFSSRPPPSPPLKSRFSSAFLNVLHSQAPPRPSPVRSLGTSKLGNVPSFHQKPPPTPPTQGAMAPSIMHEVLSAPSPPLAPPLCDAPSSPPLPPLVPTRHVPLPPPPPPPPPQYRALAPLSQPMPHYIASTPPIPLPPPLLLGMCASSSLPSPMPHSPSPPLELPPLMSTTPSPPPPPLTSAPTPPPLPPSMSTTSIPPSPPLLICSATIPPPPPSQPPLMSSALPPPPSAPLLMSNASLPPTPPSPPSISSCPPPPALPLPSMSSVLLPPLAPLPPLMSSVPPPPPPPPTPSPLSVYGTPLPSSPLAPSMSSDPPPQLPSMSNALLPPPSPPSLTTIAPLPFLPSPSFSMTSVPPPPPSSLPPQLTSSAPIPSPPSPSSSTTSVPLPPPPSPPFSATIAPHPPPPSPPSSTTTVPLPLTSPPFSTTIASSPPSPPPPPMCSTPLPPFSPPFSTTIASSPPSPPPPPMCSTPLPPPSPPSLTNIVPSPPAPQLPSMSSASLPPPSPPSSVTSALPPSIPPPSPPPLLMSTASFPAPPSPPFLTTSAPPPPSPPPPSISNTPLPPPSVSSAPLPLSLSPTTLMTSAPPPSPSLPSPPPTLMSTAPLPPPSSPPSATTSAPPLLSPSPLSISSIQPLPSSMSSASIPRSPSPTTLTTSALPPPSPPPSSISSTPPPPSPTTSMTSTPAPPSPPPPSISSTPPPPPSMSSASIPPSPSPNTLMTSALLPPPPPSPPPPSISSTPPPPSPTTLTTSAPPPPSPPPPSTSNTQPPPPSISSASLPPSPLSTTFSTSASPPSPPPQLVSSVPPSSPPSMSSVSPPPPPPPSSMFDVPHPPLPPLPGVPPPPPTPFGAPLPPPPPVNEIVAPAPLMHGAPPPPPLPPTIGEPPPPPPSGVPPPPPPPLGIEPPLPAPPFGVGPPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPGPPAPPGAVGGGPPPPPPFGAKGADARGRGRGLSRSGAIQTARRSSLKPLHWSKVSRAIQGSLWEELQRHGEPQVAPEFDVSELETLFSNVVPKRSGDKGKGKSAGPKTDKVHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKNYTGDKESLGKCEQVSEFKRSLNIVNSACNEVRNSLKLKDLMKKILYLGNTLNQGTARGAAVGFKLDSLLKLTDTRASTSRMTLMHYLCKVLAAKKPALLDFHLDFVSLEAATKIQLKALAEEMQAIIKGLEKVKQELAASENDGPVSEVFHKTLKEFVSGAEAEAASVTNLYSTTKCRCTCTLFW >KJB38974 pep chromosome:Graimondii2_0_v6:7:2663079:2677968:-1 gene:B456_007G038600 transcript:KJB38974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEISEHVYVFDCCFTADVLEEDEFKVYMGSIVAQLQDYFPDASFMVFNFREGDRRSRISDILSEYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSDSWLSLEGQQNVLLMHCERGGWPVLAFMLASLLLYRKQYSGEQKTLEMVYKQAPRDLLKLLSPLNPRPSHLRYLQYISRRNLSSDWPPAHTPRDLDCIILRVLPLFEGGEGCRPVIHVYGMDPKTPANRSSKLLFSTSKTKKQVPYFRKEECALVTIDTHCHIQGDVVLECVHLYDDLVHEETIFRVMFHTAFVRRNILIFNHDDVDVLWDARHQFPKDFTVEVVFMDRDAVVPNLPRVATKSEDRNDGECASPEEFFEVEEIFSNAVDAVEGKVDVLDGKVDALDGKVDYDSQIVHENKPEQKDILREDVDSHLLDGKVDYNSQIVHENKPEQKDISREDVDPHALDGKVDYDSQIVHENKPEQKDILREDVDPHRIQECSTYDGNKKQDVKFDSSIDAVKDIAMDDVNYKLHMKLDSDINAVKDIAVDDGDIKAGSVEFTINLLRERETKEVTEDVLGKLEDTADTGNREESVPLKKQRLKTDVSRSKPEKILPISKKQDGLSPKPASDSALVKPKSRQLEPQGQPARPAKPNAVSRWIPPNKGSYANSMHVSYPPSRPNSAPPVPSSTLRKSKSVSNLKGSIGAVISKDVSSKQKCQKVDDTKTSKDFSSEQKGQKVDLTKPSGSPKEIYTAPIIPTPLPGLQQSVYIPPSTPAAAPPPAPPSPPPPRSLLASQSLEENTISILPPPLSGNVSRMSFAALSTPPPPPPPPPCTAIKVHTTGMLSQNPVAVPPPLPPSPPFSNPQISKLFSSRPPPSPPLKSRFSSAFLNVLHSQAPPRPSPVRSLGTSKLGNVPSFHQKPPPTPPTQGAMAPSIMHEVLSAPSPPLAPPLCDAPSSPPLPPLVPTRHVPLPPPPPPPPPQYRALAPLSQPMPHYIASTPPIPLPPPLLLGMCASSSLPSPMPHSPSPPLELPPLMSTTPSPPPPPLTSAPTPPPLPPSMSTTSIPPSPPLLICSATIPPPPPSQPPLMSSALPPPPSAPLLMSNASLPPTPPSPPSISSCPPPPALPLPSMSSVLLPPLAPLPPLMSSVPPPPPPPPTPSPLSVYGTPLPSSPLAPSMSSDPPPQLPSMSNALLPPPSPPSLTTIAPLPFLPSPSFSMTSVPPPPPSSLPPQLTSSAPIPSPPSPSSSTTSVPLPPPPSPPFSATIAPHPPPPSPPSSTTTVPLPLTSPPFSTTIASSPPSPPPPPMCSTPLPPFSPPFSTTIASSPPSPPPPPMCSTPLPPPSPPSLTNIVPSPPAPQLPSMSSASLPPPSPPSSVTSALPPSIPPPSPPPLLMSTASFPAPPSPPFLTTSAPPPPSPPPPSISNTPLPPPSVSSAPLPLSLSPTTLMTSAPPPSPSLPSPPPTLMSTAPLPPPSSPPSATTSAPPLLSPSPLSISSIQPLPSSMSSASIPRSPSPTTLTTSALPPPSPPPSSISSTPPPPSPTTSMTSTPAPPSPPPPSISSTPPPPPSMSSASIPPSPSPNTLMTSALLPPPPPSPPPPSISSTPPPPSPTTLTTSAPPPPSPPPPSTSNTQPPPPSISSASLPPSPLSTTFSTSASPPSPPPQLVSSVPPSSPPSMSSVSPPPPPPPSSMFDVPHPPLPPLPGVPPPPPTPFGAPLPPPPPVNEIVAPAPLMHGAPPPPPLPPTIGEPPPPPPSGVPPPPPPPLGIEPPLPAPPFGVGPPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPPPPPPPGGGAPGPPAPPGAVGGGPPPPPPFGAKGADARGRGRGLSRSGAIQTARRSSLKPLHWSKVSRAIQGSLWEELQRHGEPQVAPEFDVSELETLFSNVVPKRSGDKGKGKSAGPKTDKVHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKNYTGDKESLGKCEQVSEFKRSLNIVNSACNEVRNSLKLKDLMKKILYLGNTLNQGTARGAAVGFKLDSLLKLTDTRASTSRMTLMHYLCKVLAAKKPALLDFHLDFVSLEAATKIQLKALAEEMQAIIKGLEKVKQELAASENDGPVSEVFHKTLKEFVSGAEAEAASVTNLYSTTGRNADALALYFGEDPARCPFEQVTSTLLNFVRLFRKAHEENVKEAEAEQKKAEKEAETEKSKGKQEAE >KJB38997 pep chromosome:Graimondii2_0_v6:7:38287433:38288447:-1 gene:B456_007G247100 transcript:KJB38997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESQDFFSLVQDASVVDGDLLSWTRKLKELLENSLGWEFQQKSAVDGIYFEENDEYAPVVEMLDEPSGSEPTSWIVTSCLIIFC >KJB39581 pep chromosome:Graimondii2_0_v6:7:1503592:1505489:-1 gene:B456_007G020400 transcript:KJB39581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETVKYLIGSRGASGFGSKSTAEEVTETCPDLRFITAIITGATSGIGAETARVLAKRGARLVIPARNLKAAAEAKARIVSEFPNSEIVIMALDLSSLSSVRKFVLDFESLDLPLNLLVNNAGRFAHHHAISEDGIEMTFATNYLGHFLLTKLLLNKMMETTKQTGVQGRIVNVSSSINGWFSGDMIRYLGQISRSKSQYDATRAYALSKLANVLHTKELAQRLKEMGANVTVNCVHPGIVRTRLTREREGFITDLVFFLASKLLKTTPQAASTTCYVATYPRLENVSGKYFADCNETWTSKLGSDSIEASKLWAASEIMVSEI >KJB42447 pep chromosome:Graimondii2_0_v6:7:13119797:13120679:1 gene:B456_007G153500 transcript:KJB42447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEPLCTLMGRVAHFLCLIFYMAAAIKPPSSTHSKTNAKSQFFIIFLTLFFISLLIFNPNSNPINSSPTSSTMEINQSPNPHTSSSSSSRRQIGGEAHEVPSGPNPISNR >KJB42443 pep chromosome:Graimondii2_0_v6:7:13434259:13440596:-1 gene:B456_007G156300 transcript:KJB42443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGRSSFLTPLPRAFDSGNIPENSSHNAKSVSAHPLPSDFINHVHGKSIAKVDFASPNVSGSGSSKEHHSQPFPGQPIKRRKRHRRKHLQNQEQCMMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNIELPEEEKQELRKFKWDEFLAYTRRSITNKKYKRRLEVEAQKRPVSATANGEWDTKQVVRNSFSASEEMGPDSSAS >KJB42446 pep chromosome:Graimondii2_0_v6:7:13434249:13440596:-1 gene:B456_007G156300 transcript:KJB42446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGRSSFLTPLPRAFDSGNIPENSSHNAKSVSAHPLPSDFINHVHGKSIAKVDFASPNVSGSGSSKEHHSQPFPGQPIKRRKRHRRKHLQNQEQCMMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNIELPEEEKQELRKFKWDEFLAYTRRSITNKKYKRRLEVEAQKRPVSATANGEWDTKQVVRNSFSASEEMGPDSSAS >KJB42444 pep chromosome:Graimondii2_0_v6:7:13434791:13439116:-1 gene:B456_007G156300 transcript:KJB42444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGRSSFLTPLPRAFDSGNIPENSSHNAKSVSAHPLPSDFINHVHGKSIAKVDFASPNVSGSGSSKEHHSQPFPGQPIKRRKRHRRKHLQNQEQCMMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNIELPEEEKQELRKFKWDEFLAYTRRSITNKKYKRRLEVEAQKRPVSATANGEWDTKQVVRNSFSASEEMGPDSSAS >KJB42445 pep chromosome:Graimondii2_0_v6:7:13434582:13440354:-1 gene:B456_007G156300 transcript:KJB42445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGRSSFLTPLPRAFDSGNIPENSSHNAKSVSAHPLPSDFINHVHGKSIAKVDFASPNVSGSGSSKEHHSQPFPGQPIKRRKRHRRKHLQNQEQCMMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNIELPEEEKQELRKFKWDEFLAYTRRSITNKKYKRRLEVEAQKRPVSATANGEWDTKQVVRNSFSASEEMGPDSSAS >KJB46573 pep chromosome:Graimondii2_0_v6:7:60693646:60696247:1 gene:B456_007G375200 transcript:KJB46573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMLSISLNPNISASISLHSIFKGNSRNLRPNSLHIIPIRTRQRPEQETRCLVVVNQAVKSTQVPVQVSNVRFRLDNLGPQPGSRKKGKRKGRGISAGQGGSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRIPKLRGIAGGMHAGLPKYIPVNLKDIETAGFRDGDEVSLETLTKRGLINPSGRDRKLPLKILGDGELNVKLNFKARAFSASAKEKLEAAGSSLTVLPGRKKWVKPSVAKNLARANEYFAKKRAAASAAETESTSA >KJB46574 pep chromosome:Graimondii2_0_v6:7:60693786:60696138:1 gene:B456_007G375200 transcript:KJB46574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMLSISLNPNISASISLHSIFKGNSRNLRPNSLHIIPIRTRQRPEQETRCLVVVNQAVKSTQVPVQVSNVRFRLDNLGPQPGSRKKGKRKGRGISAGQGGSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRIPKLRGIAGGMHAGLPKYIPVNLKDIETAGFRDGDEVSLETLTKRGLINPSGRDRKLPLKGLICLYRVGFLLRPLHAWCTLLYSHVLCFFGDFLNILCQNSINGHNVVYKLKGFIVI >KJB42674 pep chromosome:Graimondii2_0_v6:7:14221275:14222662:1 gene:B456_007G162900 transcript:KJB42674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLGASPIRFSSFPPSSSYLTSTFKPTSSSTLSLVVVGKSIIYRRCVACSAVQESSTPTATAETKGTTPPSAGVAGGEEEVKAAPKAAAAKPKPAAKAPAKSLPELMSEDVIPSLKTILEAQDDISEIELTFQDNKLEGSFLKEGCPYSFWAFFPDGGLTGPKGFSLSSYGSGASTVEPFLVDEKKITARHVVFWVEKRLAAQGIIPVWKE >KJB42675 pep chromosome:Graimondii2_0_v6:7:14221364:14222662:1 gene:B456_007G162900 transcript:KJB42675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLGASPIRFSSFPPSSSYLTSTFKPTSSSTLSLVVVGKSIIYRRCVACSAVQESSTPTATAETKGTTPPSAGVAGGEEEVKAAPKAAAAKPKPAAKAPAKSLPELMSEDVIPSLKTILEAQDDISEIELTFQDNKVPKVFHCLHMAQEQALWSLSSLMRRKLLQGM >KJB44947 pep chromosome:Graimondii2_0_v6:7:48224157:48226074:1 gene:B456_007G281200 transcript:KJB44947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHQFLLFCSEKERKIQFSRKKVWIRCSSVDCDRIHRRMKPIVLESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDIHNLWKETLHQQYELVKRRTINDNSAYGSHVMQFGDIGISMDNLFTCLGTNPANDNFKFVDGNSLLPPTKAVNQRYADLVHFWDKYRKALDVLVRKVEAQKQVMEAMSHRMHVDNSIQLTGKLLFGVKRGPEVLNTVRPAGQPLVDDWKCLKKMVI >KJB44946 pep chromosome:Graimondii2_0_v6:7:48224157:48226074:1 gene:B456_007G281200 transcript:KJB44946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHQFLLFCSEKERKIQFSRKKVWIRCSSVDCDRIHRRMKPIVLESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDIHNLWKETLHQQYELVKRRTINDNSAYGSHVMQFGDIGISMDNLFTCLGTNPANDNFKFVDGNSLLPPTKAVNQRYADLVHFWDKYRKALDVLVRKVEAQKQVMEAMSHRMHVDNSIQLTGKLLFGVKRGPEVLNTVRPAGQPLVDDWKCLKKMVI >KJB44148 pep chromosome:Graimondii2_0_v6:7:32148250:32153179:-1 gene:B456_007G237000 transcript:KJB44148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor C [Source:Projected from Arabidopsis thaliana (AT4G39920) UniProtKB/Swiss-Prot;Acc:Q9SMR2] MEEDRPHTNLPTKTLGLDADLQKKHQAMLDRLSNRHQARLDTSLARRSDSANSSESTSSFLSRFSASKQSIDSQLADSRLIATSDPSRLRSHFANISSSISDLEKLVAGNSYFLPSYEVRSSLKTISDLKQNLEILNSELIPKKKFSFKNKATAKKELPKEPEPIKPDTVSVSNFKLPNSPGFRNKTNETLVKKLRGTEIGEFTLSDLESCEVRLIGCCNAVFMNRLKNCKVYMGPVIGSILIEEVEGCVFVLASHQIRIHLAKSCDFYLRVRSRPIIEDSNVVRFAPYCLDYEGIEMDLEKAGLSEETGNWGNVDDFKWLRAVQSPNWCVLPENERVGKVRTEDIGS >KJB44146 pep chromosome:Graimondii2_0_v6:7:32150589:32153166:-1 gene:B456_007G237000 transcript:KJB44146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor C [Source:Projected from Arabidopsis thaliana (AT4G39920) UniProtKB/Swiss-Prot;Acc:Q9SMR2] MEEDRPHTNLPTKTLGLDADLQKKHQAMLDRLSNRHQARLDTSLARRSDSANSSESTSSFLSRFSASKQSIDSQLADSRLIATSDPSRLRSHFANISSSISDLEKLVAGNSYFLPSYEVRSSLKTISDLKQNLEILNSELIPKKKFSFKNKATAKKELPKEPEPIKPDTVSVSNFKLPNSPGFRNKTNETLVKKLRGTEIGEFTLSDLESCEVRLIGCCNAVFMNRLKNCKVYMGPVIGSILIEEVEGCVFVLASHQIRIHLAKSCDFYLRVRSRPIIEDSNVVRFAPYCLDYEGIEMDLEKAGLSEETGNWGNVDDFKWLRAVQSPNWCVLPENERVGKVRTEDIGS >KJB44147 pep chromosome:Graimondii2_0_v6:7:32148341:32153179:-1 gene:B456_007G237000 transcript:KJB44147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor C [Source:Projected from Arabidopsis thaliana (AT4G39920) UniProtKB/Swiss-Prot;Acc:Q9SMR2] MEEDRPHTNLPTKTLGLDADLQKKHQAMLDRLSNRHQARLDTSLARRSDSANSSESTSSFLSRFSASKQSIDSQLADSRLIATSDPSRLRSHFANISSSISDLEKLVAGNSYFLPSYEVRSSLKTISDLKQNLEILNSELIPKKKFSFKNKATAKKELPKEPEPIKPDTVSVSNFKLPNSPGFRNKTNETLVKKLRGTEIGEFTLSDLESCEVRLIGCCNAVFMNRLKNCKVYMGPVIGSILIEEVEGCVFVLASHQIRIHLAKSCDFYLRVRSRPIIEDSNVVRFAPYCLDYEGIEMDLEKAGLSEETGNWGNVDDFKWLRAVQSPNWCVLPENERVGKVRTEDIGS >KJB44149 pep chromosome:Graimondii2_0_v6:7:32150565:32153197:-1 gene:B456_007G237000 transcript:KJB44149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor C [Source:Projected from Arabidopsis thaliana (AT4G39920) UniProtKB/Swiss-Prot;Acc:Q9SMR2] MEEDRPHTNLPTKTLGLDADLQKKHQAMLDRLSNRHQARLDTSLARRSDSANSSESTSSFLSRFSASKQSIDSQLADSRLIATSDPSRLRSHFANISSSISDLEKLVAGNSYFLPSYEVRSSLKTISDLKQNLEILNSELIPKKKFSFKNKATAKKELPKEPEPIKPDTVSVSNFKLPNSPGFRNKTNETLVKKLRGTEIGEFTLSDLESCEVRLIGCCNAVFMNRLKNCKVYMGPVIGSILIEEVEGCVFVLASHQIRIHLAKSCDFYLRVRSRPIIEDSNVVRFAPYCLDYEGIEMDLEKAGLSEETGNWGNVDDFKWLRAVQSPNWCVLPENERVGKVRTEDIGS >KJB42207 pep chromosome:Graimondii2_0_v6:7:11845169:11851676:-1 gene:B456_007G142200 transcript:KJB42207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSFTAPKLSSPTFQTLCRNLPLSLPIPKTLSSFSFHLSPFLSRFHLHHHHPTPRFHPRRLFAVRAESENGAEPLRHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSETTGGVGGTCVLRGCVPKKLMVYASKYSHEFDESNGFGWKYDTEPKHDWSTLMANKNAELQRLTGIYKNILNKAGVTLIEGRGKIVDPHTVDVDGKLYTARHILISVGGRPFIPDIPGSEYAIDSDAALDLPSKPEKVAIVGGGYIALEFAGIFNGLTSEVHVFIRQKKVLRGFDEEIRDFVGEQMALRGIQFHTEESPQAIVKSADGSLSLKTNKGTTEGFSHIMFATGRRPNTKNLGLESVGVKINKNGAIEVDEYSRTTVPSIWAVGDVTDRINLTPVALMEGAALAKTLFQNEPTKPDYRAVPSAVFSQPPIGQVGLTEEQAIKEYGDIDVYTANFRPLKATLSGLPDRIFMKLIVCAKTNKVIGLHMCGEDSAEIAQGFAVAVKAGLTKVDFDATVGIHPTSAEEFVTMRTPTRKIRQSSESQGNMGHEAKTAAGV >KJB42209 pep chromosome:Graimondii2_0_v6:7:11847515:11851533:-1 gene:B456_007G142200 transcript:KJB42209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSFTAPKLSSPTFQTLCRNLPLSLPIPKTLSSFSFHLSPFLSRFHLHHHHPTPRFHPRRLFAVRAESENGAEPLRHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSETTGGVGGTCVLRGCVPKKLMVYASKYSHEFDESNGFGWKYDTEPKHDWSTLMANKNAELQRLTGIYKNILNKAGVTLIEGRGKIVDPHTVDVDGKLYTARHILISVGGRPFIPDIPGSEYAIDSDAALDLPSKPEKVAIVGGGYIALEFAGIFNGLTSEVHVFIRQKKVLRGFDEEIRDFVGEQMALRGIQFHTEESPQAIVKSADGSLSLKTNKGTTEGFSHIMFATGRRPNTKNLGLESVGVKINKNGAIEVDEYSRTTVPSIWAVGDVTDRINLTPVALMEGAALAKTLFQNEPTKPDYRAVPSAVFSQPPIGQVGLTEEQAIKEYGDIDVYTANFRPLKATLSGLPDRIFMKLIVCAKTNKVIGLHMCGEDSAEIAQGFAVAVKAGLTKVDFDATVGIHPTSAEEFVTMRTPTRKIRQSSESQVYHSFSVLCIDTCSLICLIFSNLITENHWS >KJB42208 pep chromosome:Graimondii2_0_v6:7:11847139:11851533:-1 gene:B456_007G142200 transcript:KJB42208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSFTAPKLSSPTFQTLCRNLPLSLPIPKTLSSFSFHLSPFLSRFHLHHHHPTPRFHPRRLFAVRAESENGAEPLRHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSETTGGVGGTCVLRGCVPKKLMVYASKYSHEFDESNGFGWKYDTEPKHDWSTLMANKNAELQRLTGIYKNILNKAGVTLIEGRGKIVDPHTVDVDGKLYTARHILISVGGRPFIPDIPGSEYAIDSDAALDLPSKPEKVAIVGGGYIALEFAGIFNGLTSEVHVFIRQKKVLRGFDEEIRDFVGEQMALRGIQFHTEESPQAIVKSADGSLSLKTNKGTTEGFSHIMFATGRRPNTKNLGLESVGVKINKNGAIEVDEYSRTTVPSIWAVGDVTDRINLTPVALMEGAALAKTLFQNEPTKPDYRAVPSAVFSQPPIGQVGLTEEQAIKEYGDIDVYTANFRPLKATLSGLPDRIFMKLIVCAKTNKVIGLHMCGEDSAEIAQGFAVAVKAGLTKVDFDATVGIHPTSAEEFVTMRTPTRKIRQSSESQGNMGHEAKTAAGV >KJB43468 pep chromosome:Graimondii2_0_v6:7:20540987:20545252:-1 gene:B456_007G201900 transcript:KJB43468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGKAIHTVGFWIRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNVFDKAPIVDRDAFVAPSASVIGDVQVGRSSSIWYGCVLRGDVNSISIGSGTNIQDNSLVHVAKSNLSGKVLPTIIGSNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVYVEKHAMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTEEEMTFISQSALNYTNLAQVHAAENAKSFDEIELETMLRKKFARRDEEYDSMLGVVRETPPELILPDNIIPDKAPKAA >KJB43470 pep chromosome:Graimondii2_0_v6:7:20540945:20545252:-1 gene:B456_007G201900 transcript:KJB43470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGKAIHTVGFWIRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNVFDKAPIVDRDAFVAPSASVIGDVQVGRSSSIWYGCVLRGDVNSISIGSGTNIQDNSLVHVAKSNLSGKVLPTIIGSNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVYVEKHAMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTEEEMTFISQSALNYTNLAQVHAAENAKSFDEIELETMLRKKFARRDEEYDSMLGVVRETPPELILPDNIIPDKAPKAA >KJB43471 pep chromosome:Graimondii2_0_v6:7:20541315:20544672:-1 gene:B456_007G201900 transcript:KJB43471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVFDKAPIVDRDAFVAPSASVIGDVQVGRSSSIWYGCVLRGDVNSISIGSGTNIQDNSLVHVAKSNLSGKVLPTIIGSNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVYVEKHAMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTEEEMTFISQSALNYTNLAQVHAAENAKSFDEIELETMLRKKFARRDEEYDSMLGVVRETPPELILPDNIIPDKAPKAA >KJB43469 pep chromosome:Graimondii2_0_v6:7:20540987:20543909:-1 gene:B456_007G201900 transcript:KJB43469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVGDVNSISIGSGTNIQDNSLVHVAKSNLSGKVLPTIIGSNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVYVEKHAMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTEEEMTFISQSALNYTNLAQVHAAENAKSFDEIELETMLRKKFARRDEEYDSMLGVVRETPPELILPDNIIPDKAPKAA >KJB38967 pep chromosome:Graimondii2_0_v6:7:60567355:60567588:-1 gene:B456_007G373400 transcript:KJB38967 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g36985 [Source:Projected from Arabidopsis thaliana (AT2G36985) UniProtKB/TrEMBL;Acc:Q7XXN8] GKQKKGKGTEAMAGSRETATRHNISEDDGGGDKHTCEPCRSVGAKCGHLVKKQRTKFYILRRCIAMLLCSRENGGES >KJB42409 pep chromosome:Graimondii2_0_v6:7:12966055:12969223:-1 gene:B456_007G151300 transcript:KJB42409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASHMAKLSFFPLNRPGFFSQFPCNKFLHIQRNKASTSTSWSLVNNGSRNLTKPMSIRGMASSFGSRQDDSVTKTVADNPVVVYSKSWCPFSSEVKSLFKKLGVEPLVIELDELGPQGPQLQNVLEGLTGQRTVPNVFIGGKHIGGCTDTVELYHNGELESLLSDANAKRKEN >KJB42411 pep chromosome:Graimondii2_0_v6:7:12966070:12969223:-1 gene:B456_007G151300 transcript:KJB42411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASHMAKLSFFPLNRPGFFSQFPCNKFLHIQRNKASTSTSWSLVNNGSRNLTKPMSIRGMASSFGSRQDDSVTKTVADNPVVVYSKSWCPFSSEVKSLFKKLGVEPLVIELDELGPQGPQLQNVLEGLTGQRTVPNVFIDTVELYHNGELESLLSDANAKRKEN >KJB42410 pep chromosome:Graimondii2_0_v6:7:12966780:12969138:-1 gene:B456_007G151300 transcript:KJB42410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASHMAKLSFFPLNRPGFFSQFPCNKFLHIQRNKASTSTSWSLVNNGSRNLTKPMSIRGMASSFGSRQDDSVTKTVADNPVVVYSKSWCPFSSEVKSLFKKLGVEPLVIELDELGPQGPQLQNVLEGLTGQRTVPNVFIGGKHIGGCTGINAFSSLERSIH >KJB42876 pep chromosome:Graimondii2_0_v6:7:15783187:15789984:1 gene:B456_007G172000 transcript:KJB42876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEIMNNEVGVEENEESEASNGIEKLEISNGTDEVSKNSSSMQKDEDGVTEVAKKKKKKNKSKKKKEPLKQTDPPSIPVVELFPSGEFPEGEIQQYKDDNLWRTTSEEKRDLERFEKPKYNAVRQAAEVHRQVRKYIRSILKPGMLMTDLCETLENTVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLEYDDVMKLDFGTHIDGNIIDCAFTVAFNPMYDPLLEASREATNTGIKEAGIDVRLCDIGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFASTGKGYVREDLECSHYMKNFDAGHIPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDVGIVQPYPPLCDIKGSYVSQFEHTILLRPTCKEVISRGDDY >KJB42878 pep chromosome:Graimondii2_0_v6:7:15784833:15789945:1 gene:B456_007G172000 transcript:KJB42878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSAFLNCFLAEVAKKKKKKNKSKKKKEPLKQTDPPSIPVVELFPSGEFPEGEIQQYKDDNLWRTTSEEKRDLERFEKPKYNAVRQAAEVHRQVRKYIRSILKPGMLMTDLCETLENTVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLEYDDVMKLDFGTHIDGNIIDCAFTVAFNPMYDPLLEASREATNTGIKEAGIDVRLCDIGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFASTGKGYVREDLECSHYMKNFDAGHIPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDVGIVQPYPPLCDIKGSYVSQFEHTILLRPTCKEVISRGDDY >KJB42877 pep chromosome:Graimondii2_0_v6:7:15783255:15789945:1 gene:B456_007G172000 transcript:KJB42877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEIMNNEVGVEENEESEASNGIEKLEISNGTDEVSKNSSSMQKDEDGVTAEVAKKKKKKNKSKKKKEPLKQTDPPSIPVVELFPSGEFPEGEIQQYKDDNLWRTTSEEKRDLERFEKPKYNAVRQAAEVHRQVRKYIRSILKPGMLMTDLCETLENTVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLEYDDVMKLDFGTHIDGNIIDCAFTVAFNPMYDPLLEASREATNTGIKEAGIDVRLCDIGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFASTGKGYVREDLECSHYMKNFDAGHIPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDVGIVQPYPPLCDIKGSYVSQFEHTILLRPTCKEVISRGDDY >KJB40136 pep chromosome:Graimondii2_0_v6:7:3355320:3356696:-1 gene:B456_007G048200 transcript:KJB40136 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L8-2 [Source:Projected from Arabidopsis thaliana (AT3G51190) UniProtKB/Swiss-Prot;Acc:Q4PSL7] MGRVIRAQRKGAGSVFKSHTHHRKGAARFRSLDFGERNGYLKGVVTEVIHDPGRGAPLVRVVFRHPFRYKKQKELFVAAEGMYTGQFVYCGKKATLMVGNVLPLRSIPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNDTTRIKLPSGAKKIVPSGCRAMIGQVAGGGRTEKPLLKAGSAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKA >KJB43600 pep chromosome:Graimondii2_0_v6:7:22014176:22017140:-1 gene:B456_007G209200 transcript:KJB43600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLLKRTKFSSTSLGYNWLTVIITHHQDLKISRNNGVLVGNFEKKNYEYINHLFVIAVLHKFSKNLISELPSHSTMAYHHGRVDGYSPEPYHFFKVILESTIRDGKLGIPHKFAKDYGSKLSSPVFFEVPNGEVWELELMKLDGKLWVQNGWRKFTEHYSLELGHFLVFRYQRNCRFHVLIFDRSASEIHYPYANNISTDMTKKPVLQCPRPPKIMRASNNGFSATKGNEKSKALERASSAIKSGNPFFLVFMQPTYVGLHSKVSCLSIPKEFSRKYLMDQGDVILCDSSGNTWSAQYRATLGMNGQPYVKLLNGWDAFVRDNNLQVGDVCAFELIDCIDISFQVVIYSSKKADFHGSPAQMEAGMTLTRSECLEPVKARETAFHREMKVREKALQRALAFTSENPFFVVVLRPSYVQSHALCISNDFTRKHFKTTLTNIGIALRLSNGKSWPAEYHQRSIGNPNARICNGWRAFVNDNKLKVGDVCVFELVSDTQISVKVIIFQAIADEDSHPSQGASEEALGLTSQSAKAPLIFRRVVLPLHLKEDCVDIPFRFVEQYFEPNVQKLILQVADRTWPVEITSNPRIRIAKLTSGWIKFARENSLREGDICVYELDTVDNNLLKVSISKYAS >KJB43599 pep chromosome:Graimondii2_0_v6:7:22014424:22016285:-1 gene:B456_007G209200 transcript:KJB43599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDGKLWVQNGWRKFTEHYSLELGHFLVFRYQRNCRFHVLIFDRSASEIHYPYANNISTDMTKKPVLQCPRPPKIMRASNNGFSATKGNEKSKALERASSAIKSGNPFFLVFMQPTYVGLHSKVSCLSIPKEFSRKYLMDQGDVILCDSSGNTWSAQYRATLGMNGQPYVKLLNGWDAFVRDNNLQVGDVCAFELIDCIDISFQVVIYSSKKADFHGSPAQMEAGMTLTRSECLEPVKARETAFHREMKVREKALQRALAFTSENPFFVVVLRPSYVQSHALCISNDFTRKHFKTTLTNIGIALRLSNGKSWPAEYHQRSIGNPNARICNGWRAFVNDNKLKVGDVCVFELVSDTQISVKVIIFQAIADEDSHPSQGASEEALGLTSQSAKAPLIFRRVVLPLHLKEDCVDIPFRFVEQYFEPNVQKLILQVADRTWPVEITSNPRIRIAKLTSGWIKFARENSLREGDICVYELDTVDNNLLKVSISKYAS >KJB43598 pep chromosome:Graimondii2_0_v6:7:22014176:22017016:-1 gene:B456_007G209200 transcript:KJB43598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDGKLWVQNGWRKFTEHYSLELGHFLVFRYQRNCRFHVLIFDRSASEIHYPYANNISTDMTKKPVLQCPRPPKIMRASNNGFSATKGNEKSKALERASSAIKSGNPFFLVFMQPTYVGLHSKSIPKEFSRKYLMDQGDVILCDSSGNTWSAQYRATLGMNGQPYVKLLNGWDAFVRDNNLQVGDVCAFELIDCIDISFQVVIYSSKKADFHGSPAQMEAGMTLTRSECLEPVKARETAFHREMKVREKALQRALAFTSENPFFVVVLRPSYVQSHALCISNDFTRKHFKTTLTNIGIALRLSNGKSWPAEYHQRSIGNPNARICNGWRAFVNDNKLKVGDVCVFELVSDTQISVKVIIFQAIADEDSHPSQGASEEALGLTSQSAKAPLIFRRVVLPLHLKEDCVDIPFRFVEQYFEPNVQKLILQVADRTWPVEITSNPRIRIAKLTSGWIKFARENSLREGDICVYELDTVDNNLLKVSISKYAS >KJB44378 pep chromosome:Graimondii2_0_v6:7:39039686:39040837:1 gene:B456_007G249000 transcript:KJB44378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITVQCCKQSKNDPFDDNDSENGTLLPGLPDDLAQRCLSSLSPSLLFSVCHSWRRLLYSPSFPPFFSLYALLSPLHNPTMALHREVVPQSTIVFFSFDPISSSWRPLPSPPQNPSFHLLRRHPSFLSRNLPIQSLTVSNHLIVIAATTQNLFPALSSPLVFHPESNIWFYGPQISAPRRWCAAGSAQDVVYMASGFGSHYQGDVARSLEQWDLNKKRENWGWENKAGLKDGRFSREAVEAVGCRGKLCMVNVKGNALKEGAVYNVGLDKWEDMPVGMVAGWNGPAASMDEDEIYVIDEVKGRLSKYDGEKDCWVKVIELEQLKRAEHIAAGRGKICAVSAKGERIIVVDVRDKPTRFWEVEPPCGLEVVAVHVLPRMISRQH >KJB42255 pep chromosome:Graimondii2_0_v6:7:12372209:12374100:-1 gene:B456_007G146400 transcript:KJB42255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRENINKAKESGKVQDQNMANLFDYEIALQVQEGHEKGCPSQTNSDQETDEETFEEPPSVREDRLNVAMRMKERKFKALVEFRCRVEDAILGDYLFGKPSNKVGGEDNAKAIEQLKEITLWGVPLMPSKRHGGTDIVLLKFLEAKDYKVLEALEMLQRTLKWRKEFKADEILEEILVPGFENLTYQNSRDKDGHPVYYNVYGALKDQQTQYRILGSEEKREKFLRWRVQYMEKAIKEIRFQPGGTNSIVQIIDMKHAQGPTTKELRSVCRKSWKLFQDHYPHLIHLNIIINVPLWYYISHTFSSRLKTQRKKSKIVIARPGKVTSTLLKFIPPENLPVEYGGFKRDNDDDFSPEDKVSEVTVRANAFEHIRIPAPQAGVTMVWDLTVVGSCDVSYKEEFIPDDEGSYKVLLQNEKEKKKGEGVRNSFYISEPGKIVITFDNPLLKKKKVLYRYKTKPTVPIYVLNKK >KJB39595 pep chromosome:Graimondii2_0_v6:7:1538393:1539126:1 gene:B456_007G021100 transcript:KJB39595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLMIMAVLLVAVSTAATVTDAQATCAAKLVSCSRYILNTTIKPQDDCCNPIKEEVANDLSCLCNLYKDPKVFASLNISTAQALNVTRECGVTTDLTACNSNSTSTSSATSPPGNKIIRLNKN >KJB39594 pep chromosome:Graimondii2_0_v6:7:1538306:1540469:1 gene:B456_007G021100 transcript:KJB39594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLMIMAVLLVAVSTAATVTDAQATCAAKLVSCSRYILNTTIKPQDDCCNPIKEEVANDLSCLCNLYKDPKVFASLNISTAQALNVTRECGVTTDLTACNSNSTSTSSATSPPGGRSADQNGGADRISLTGVTIVFFFSISMAL >KJB42026 pep chromosome:Graimondii2_0_v6:7:10799153:10801720:-1 gene:B456_007G133000 transcript:KJB42026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVDTCSPTGVLEDFFRTEEFETSCSSKPSTADSANEGSSKQSSRWREFAQLFGYKLKKPLSNFRPLGSLRLSLRRSSSMRDNVTVSPDFLANNTNSYNLKSPVKVFTLSELQIATKNFSSENLIGKGGYAEVYKGSLQNGQLVAIKRLTKGTPDDIIGDFLAELGVMAHVNHPNTAKLIGYGIEGGMHLVLDLSPNGSLASLLYGSKEKLKWDIRFKIAQGAAEGLRYLHEGCKRKIIHRDIKAANILLTKDFEPQICDFGLAKWLPENWTHHTVSKFEGTFGYLAPEYLMHGIVDEKTDVFAFGVLLLELVSGRRALDYSQQSLVLWAKPLLKKNDIRELIDPAIGEEYNLRQMNLVLLAASLCIHRSSIRRPRMNQVVQLLNGNLNSLKSMRKCRIPFFRKAFPEEALNAEEISLAKT >KJB43918 pep chromosome:Graimondii2_0_v6:7:39370194:39374120:-1 gene:B456_007G250300 transcript:KJB43918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVFKGLRALLASPASSSSSAAAAAKFSSSSAFTATAGKSATSKAVQKTPKKPIASKPKTEKPATPRTTTRPSGIFKLTPVSPALARFLGAPEASRSDAVKQIWSYIKSQNLQVIRYEKFINKSKIT >KJB43919 pep chromosome:Graimondii2_0_v6:7:39371503:39373832:-1 gene:B456_007G250300 transcript:KJB43919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVFKGLRALLASPASSSSSAAAAAKFSSSSAFTATAGKSATSKAVQKTPKKPIASKPKTEKPATPRTTTRPSGIFKLTPVSPALARFLGAPEASRSDAVKQIWSYIKSQNLQNPANKKEIFCDEKLKTIFDGKEKVGFLEIGKMLSRHFVKSS >KJB43920 pep chromosome:Graimondii2_0_v6:7:39370194:39374061:-1 gene:B456_007G250300 transcript:KJB43920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVFKGLRALLASPASSSSSAAAAAKFSSSSAFTATAGKSATSKAVQKTPKKPIASKPKTEKPATPRTTTRPSGIFKLTPVSPALARFLGAPEASRSDAVKQIWSYIKSQNLQNPANKKEIFCDEKLKTIFDGKEKVGFLEIGKMLSRHFVKSS >KJB40229 pep chromosome:Graimondii2_0_v6:7:3661463:3665986:1 gene:B456_007G052400 transcript:KJB40229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHLRTTVRHRSTIILWHHHNHYHRSAKYNPPKTANPPSPPKPPKAPQKPQTFTFHDVTWEDPYSWMSSLQDKVAMRHMDMYMEQEEKYTEAVMSDTERLQTKLQSEMASRLNFDLSTPPLRWGPWLYYRRVEEGKQYPVLCRRLASLNEEFISLKSPSSGFDFTSGKRIEQKLLDYNQEAERFGGYAYEELSEISPDHKFLAYTMYDKDNDYFKLSVRNLNSGALCSKPHANRVSNLAWVKDGQALLYVVTDENKRPYRIYCSMIGSTDEDVLLLEEQDENVYVNIRHTKDFHFVTANTFSPTFSKVFLINAADPFSGMNLVWESEGIVHCVLEHHQGYLYLFTDAPKDGQIVDSHYLLRSPVDSSSNPRIWENVFIGDQNLVIEDGDFCNSHLVLLTREGRKYGICSVALPLPGWKQAVHLRELQPHFLPLPKHVCNISPGPNYDYYSKTMRFTISAPVMPDAVVDYDLSNGKWNIVQQQNMLHERTRILYGTALSSAIAEKTTNVKFSSMNDVKSEDRNLWNDLSEFYACEHHYVSSYDGAMVPLTIVYSRKNRKDSQSPGLLHGHGAYGEILDKRWRSELKSLLDRGWIVAYADVRGGGGGGKKWHHDGRRTKKQNSIKDYISCAKYLVEKEIVQENKLAGWGYSVGGLLVASAINCCPDLFRAAVLKVPFLDATNTLLYPILPLTAADYEEFGYPGDIDEFHAIRKFSPYDNIQKNALYPAVLVSTSFNTRFGVWEAAKWVARVREQTIYDPKHPILLNLTIDVVEENRYLQCKESALETAFLLKTVGS >KJB45627 pep chromosome:Graimondii2_0_v6:7:53223721:53225557:-1 gene:B456_007G316900 transcript:KJB45627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organelle RRM domain-containing protein 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G54580) UniProtKB/Swiss-Prot;Acc:Q9FIU6] MALRAAATRAIFAGAAPQGFRRMCSTATANSFMPPPITAMGNGEGTPGREQAPPRLNKRTQSEKLREAFSQFGRVIDARVVTDRVSGYSKGFGFVRYATVEEAEKGRVGMDGKFLDGWVIFAEYARPRPERTPPQSNMSNSNGYNSYGRE >KJB45626 pep chromosome:Graimondii2_0_v6:7:53223645:53225612:-1 gene:B456_007G316900 transcript:KJB45626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organelle RRM domain-containing protein 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G54580) UniProtKB/Swiss-Prot;Acc:Q9FIU6] MALRAAATRAIFAGAAPQGFRRMCSTATANSFMPPPITAMGNGEGTPGREQAPPSTNLFVSGLNKRTQSEKLREAFSQFGRVIDARVVTDRVSGYSKGFGFVRYATVEEAEKGRVGMDGKFLDGWVIFAEYARPRPERTPPQSNMSNSNGYNSYGRE >KJB39427 pep chromosome:Graimondii2_0_v6:7:1016559:1018077:1 gene:B456_007G0129001 transcript:KJB39427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DESEWPHLPVLPSYGRGRDAPNGRFSSLIFGTNLTDVVITGNNGTIDGQGAYWWKKFKEEKLNVTRPYLIEIMYSSQVQISNLTLVNSPSWNVHPIYSSDIIIQHLTILAPVDSPNTDGIDPDSCTNTKIEDSFVVSGDDCIAVKSGWDQYGIKFNMPTKNLVIRRFTCISPDSATIALGSEMSGGIEDVRAEDITAINTQSGVRIKTAVGRGAYVKDIYVRRITLNTMKYVFWMTGSYGSHPDPGFDPKALPVIKGINYRDVVADNVTYSARLDGIENDPFTDICISNVNIKLTSKPKELQWNCTHIQGVTNQVTPEPCNLLPEKEIDCPFPEDRLPIDNVKLKTCSISGNF >KJB41706 pep chromosome:Graimondii2_0_v6:7:9428069:9430895:1 gene:B456_007G119900 transcript:KJB41706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLAISSSSLRLTHVFPTNGNAILFNAISSCRVSSLKPPSLNSIFIRIPFGSFKKASFFTSSRPFSPVMEWQDCTAKMEIDVPASVAYKCYSDREAIPNWMPFISSVQVLEDKPDLSRWSLKYNAFGRDLEYSWLARNMQPIPNQKIHWRSLEGLPNRGAVRFYPKGPSSCLIELTVSYEVPQLLAPVASALQPFLENLLKSGLDRFAKYAKSSGSTR >KJB39786 pep chromosome:Graimondii2_0_v6:7:2102083:2102606:-1 gene:B456_007G030700 transcript:KJB39786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGDSRNHFQSNKQNGFFSRFLCYTRNSGSNGSNNSSSNVSSSSRCRSTRFYDTRIRTRDTPFCSEVCRYKQIVIDELNEKLKFPVTVKALRNKDQMESTSNKAKSHGYPFHISTTAAA >KJB38874 pep chromosome:Graimondii2_0_v6:7:6088228:6091229:1 gene:B456_007G0851001 transcript:KJB38874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRTVKRGAAAGGPKRTPRSTRGASKSENPPAEPVHEAVNSKEASVPVEEVVEAVKAVEKSTPEQKVVIEEKGVVEEENTGLNLNSNGLVAMKKENDSKESIEEYEKDERLELDDNEPEYEAEEYGGVDYDEKEMDPDEVEDEVEEVIEEEQDGEDEDIHEIEVEGDADDDEHPGEEAEHADLDDATEHEERHEVVQERRKRKEFEVFVGGLDKDATEDDIRKVFNQVGEIAEVRLMMNPQTKKNKGFAFLRFATIEQAKRAYTELRNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVDNVEDLTLVEDSNNEGMNRGFAFLEFASRSDAMDAFKRLQRRDVLFGVDRPAKVSFADSFIDPGDEIMAQVRTIFIDCLPPSWDEDRVRELLKKYGEIEKIELARNMPSADRKDYGFVTFDTHDAAVTCAKSINNTELGEGDIKAKVRARLSRPHQRGRGKNVGRDSFRSGRGSGRVVRGSWGRPDSRGFAPRGMRGISSRAPPPSLKRPVGLRDRRPLMSAPARGRPLSPPPSRSYDRRAPVMPYPKGSLKRDYSQRDELPPPRSREVMDYGSRVFPDRRSTYREEYSSRNSCYFDLPRTTSRTAARRPYGDDAYAQRFERPPPSYREGRGRDYDTISGSKRPYPVMVGPTVPSSNYVIIGI >KJB38877 pep chromosome:Graimondii2_0_v6:7:6087851:6094469:1 gene:B456_007G0851001 transcript:KJB38877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRTVKRGAAAGGPKRTPRSTRGASKSENPPAEPVHEAVNSKEASVPVEEVVEAVKAVEKSTPEQKVVIEEKGVVEEENTGLNLNSNGLVAMKKENDSKESIEEYEKDERLELDDNEPEYEAEEYGGVDYDEKEMDPDEVEDEVEEVIEEEQDGEDEDIHEIEVEGDADDDEHPGEEAEHADLDDATEHEERHEVVQERRKRKEFEVFVGGLDKDATEDDIRKVFNQVGEIAEVRLMMNPQTKKNKGFAFLRFATIEQAKRAYTELRNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVDNVEDLTLVEDSNNEGMNRGFAFLEFASRSDAMDAFKRLQRRDVLFGVDRPAKVSFADSFIDPGDEIMAQVRTIFIDCLPPSWDEDRVRELLKKYGEIEKIELARNMPSADRKDYGFVTFDTHDAAVTCAKSINNTELGEGDIKAKVRARLSRPHQRGRGKNVGRDSFRSGRGSGRVVRGSWGRPDSRGFAPRGMRGISSRAPPPSLKRPVGLRDRRPLMSAPARGRPLSPPPSRSYDRRAPVMPYPKGSLKRDYSQRDELPPPRSREVMDYGSRVFPDRRSTYREEYSSRNSCYFDLPRTTSRTAARRPYGDDAYAQRFERPPPSYREGRGRDYDTISGSKRPYPVMDDVPPRYADDGPRHSRARLDYELAGGAPPYVDAYGDRLGRSNLGYGGSRSSISSQDSHGLYASRQGMGYGGGSFSSSDIGGMYSSSGYGGDYMPRGSDVGGSSYSSMYSSRGMGGSSYMGGGGGSGSYY >KJB38873 pep chromosome:Graimondii2_0_v6:7:6087914:6091805:1 gene:B456_007G0851001 transcript:KJB38873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRTVKRGAAAGGPKRTPRSTRGASKSENPPAEPVHEAVNSKEASVPVEEVVEAVKAVEKSTPEQKVVIEEKGVVEEENTGLNLNSNGLVAMKKENDSKESIEEYEKDERLELDDNEPEYEAEEYGGVDYDEKEMDPDEVEDEVEEVIEEEQDGEDEDIHEIEVEGDADDDEHPGEEAEHADLDDATEHEERHEVVQERRKRKEFEVFVGGLDKDATEDDIRKVFNQVGEIAEVRLMMNPQTKKNKGFAFLRFATIEQAKRAYTELRNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVDNVEDLTLVEDSNNEGMNRGFAFLEFASRSDAMDAFKRLQRRDVLFGVDRPAKVSFADSFIDPGDEIMAQVRTIFIDCLPPSWDEDRVRELLKKYGEIEKIELARNMPSADRKDYGFVTFDTHDAAVTCAKSINNTELGEGDIKAKVRARLSRPHQRGRGKNVGRDSFRSGRGSGRVVRGSWGRPDSRGFAPRGMRGISSRAPPPSLKRPVGLRDRRPLMSAPARGRPLSPPPSRSYDRRAPVMPYPKGSLKRDYSQRDELPPPRSREVMDYGSRVFPDRRSTYREEYSSRNSCYFDLPRTTSRTAARRPYGDDAYAQRFERPPPSYREGRGRDYDTISGSKRPYPVMDDVPPRYADDGPRHSRARLDYELAGGAPPYVDAYGDRLGRSNLGYGGSRSSISSQDSHGLYASRQGMGYGGGVF >KJB38878 pep chromosome:Graimondii2_0_v6:7:6087914:6092885:1 gene:B456_007G0851001 transcript:KJB38878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRTVKRGAAAGGPKRTPRSTRGASKSENPPAEPVHEAVNSKEASVPVEEVVEAVKAVEKSTPEQKVVIEEKGVVEEENTGLNLNSNGLVAMKKENDSKESIEEYEKDERLELDDNEPEYEAEEYGGVDYDEKEMDPDEVEDEVEEVIEEEQDGEDEDIHEIEVEGDADDDEHPGEEAEHADLDDATEHEERHEVVQERRKRKEFEVFVGGLDKDATEDDIRKVFNQVGEIAEVRLMMNPQTKKNKGFAFLRFATIEQAKRAYTELRNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVDNVEDLTLVEDSNNEGMNRGFAFLEFASRSDAMDAFKRLQRRDVLFGVDRPAKVSFADSFIDPGDEIMAQVRTIFIDCLPPSWDEDRVRELLKKYGEIEKIELARNMPSADRKDYGFVTFDTHDAAVTCAKSINNTELGEGDIKAKVRARLSRPHQRGRGKNVGRDSFRSGRGSGRVVRGSWGRPDSRGFAPRGMRGISSRAPPPSLKRPVGLRDRRPLMSAPARGRPLSPPPSRSYDRRAPVMPYPKGSLKRDYSQRDELPPPRSREVMDYGSRVFPDRRSTYREEYSSRNSCYFDLPRTTSRTAARRPYGDDAYAQRFERPPPSYREGRGRDYDTISGSKRPYPVMDDVPPRYADDGPRHSRARLDYELAGGAPPYVDAYGDRLGRSNLGYGGSRSSISSQDSHGLYASRQGMGYGGGSFSSSDIGGMYSSSGYGGDYMPRGSDVGGSSYSSMYSSRGMGGSSYMGGGGGSGSYY >KJB38875 pep chromosome:Graimondii2_0_v6:7:6087914:6092885:1 gene:B456_007G0851001 transcript:KJB38875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRTVKRGAAAGGPKRTPRSTRGASKSENPPAEPVHEAVNSKEASVPVEEVVEAVKAVEKSTPEQKVVIEEKGVVEEENTGLNLNSNGLVAMKKENDSKESIEEYEKDERLELDDNEPEYEAEEYGGVDYDEKEMDPDEVEDEVEEVIEEEQDGEDEDIHEIEVEGDADDDEHPGEEAEHADLDDATEHEERHEVVQERRKRKEFEVFVGGLDKDATEDDIRKVFNQVGEIAEVRLMMNPQTKKNKGFAFLRFATIEQAKRAYTELRNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVDNVEDLTLVEDSNNEGMNRGFAFLEFASRSDAMDAFKRLQRRDVLFGVDRPAKVSFADSFIDPGDEIMAQVRTIFIDCLPPSWDEDRVRELLKKYGEIEKIELARNMPSADRKDYGFVTFDTHDAAVTCAKSINNTELGEGDIKAKVRARLSRPHQRGRGKNVGRDSFRSGRGSGRVVRGSWGRPDSRGFAPRGMRGISSRAPPPSLKRPVGLRDRRPLMSAPARGRPLSPPPSRSYDRRAPVMPYPKGSLKRDYSQRDELPPPRSREVMDYGSRVFPDRRSTYREEYSSRNSCYFDLPRTTSRTAARRPYGDDAYAQRFERPPPSYREGRGRDYDTISGSKRPYPVMDDVPPRYADDGPRHSRARLDYELAGGAPPYVDAYGDRLGRSNLGYGGSRSSISSQDSHGLYASRQGMGYGGGVRLAAVILVECTRPLVMVVITCLGDLMLVVAPTHPCTLAAVWVVAVTWVVGVVLGHTTDVSQIVFIPLLLVLYSWRCNNYLQQ >KJB38872 pep chromosome:Graimondii2_0_v6:7:6087914:6094027:1 gene:B456_007G0851001 transcript:KJB38872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRTVKRGAAAGGPKRTPRSTRGASKSENPPAEPVHEAVNSKEASVPVEEVVEAVKAVEKSTPEQKVVIEEKGVVEEENTGLNLNSNGLVAMKKENDSKESIEEYEKDERLELDDNEPEYEAEEYGGVDYDEKEMDPDEVEDEVEEVIEEEQDGEDEDIHEIEVEGDADDDEHPGEEAEHADLDDATEHEERHEVVQERRKRKEFEVFVGGLDKDATEDDIRKVFNQVGEIAEVRLMMNPQTKKNKGFAFLRFATIEQAKRAYTELRNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVDNVEDLTLVEDSNNEGMNRGFAFLEFASRSDAMDAFKRLQRRDVLFGVDRPAKVSFADSFIDPGDEIMAQVRTIFIDCLPPSWDEDRVRELLKKYGEIEKIELARNMPSADRKDYGFVTFDTHDAAVTCAKSINNTELGEGDIKAKVRARLSRPHQRGRGKNVGRDSFRSGRGSGRVVRGSWGRPDSRGFAPRGMRGISSRAPPPSLKRPVGLRDRRPLMSAPARGRPLSPPPSRSYDRRAPVMPYPKGSLKRDYSQRDELPPPRSREVMDYGSRVFPDRRSTYREEYSSRNSCYFDLPRTTSRTAARRPYGDDAYAQRFERPPPSYREGRGRDYDTISGSKRPYPVMDDVPPRYADDGPRHSRARLDYELAGGAPPYVDAYGDRLGRSNLGYGGSRSSISSQDSHGLYASRQGMGYGGGSFSSSDIGGMYSSSGYGGDYMPRGSDVGGSSYSSMYSSRGMGGSSYMGGGGGSGSYY >KJB38876 pep chromosome:Graimondii2_0_v6:7:6087914:6092885:1 gene:B456_007G0851001 transcript:KJB38876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRTVKRGAAAGGPKRTPRSTRGASKSENPPAEPVHEAVNSKEASVPVEEVVEAVKAVEKSTPEQKVVIEEKGVVEEENTGLNLNSNGLVAMKKENDSKESIEEYEKDERLELDDNEPEYEAEEYGGVDYDEKEMDPDEVEDEVEEVIEEEQDGEDEDIHEIEVEGDADDDEHPGEEAEHADLDDATEHEERHEVVQERRKRKEFEVFVGGLDKDATEDDIRKVFNQVGEIAEVRLMMNPQTKKNKGFAFLRFATIEQAKRAYTELRNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVDNVEDLTLVEDSNNEGMNRGFAFLEFASRSDAMDAFKRLQRRDVLFGVDRPAKVSFADSFIDPGDEIMAQVRTIFIDCLPPSWDEDRVRELLKKYGEIEKIELARNMPSADRKDYGFVTFDTHDAAVTCAKSINNTELGEGDIKAKVRARLSRPHQRGRGKNVGRDSFRSGRGSGRVVRGSWGRPDSRGFAPRGMRGISSRAPPPSLKRPVGLRDRRPLMSAPARGRPLSPPPSRSYDRRAPVMPYPKGSLKRDYSQRDELPPPRSREVMDYGSRVFPDRRSTYREEYSSRNSCYFDLPRTTSRTAARRPYGDDAYAQRFERPPPSYREGRGRDYDTISGSKRPYPVMDDVPPRYADDGPRHSRARLDYELAGGAPPYVDAYGDRFAWEIQSRIWWQQELYF >KJB38871 pep chromosome:Graimondii2_0_v6:7:6087899:6094469:1 gene:B456_007G0851001 transcript:KJB38871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRTVKRGAAAGGPKRTPRSTRGASKSENPPAEPVHEAVNSKEASVPVEEVVEAVKAVEKSTPEQKVVIEEKGVVEEENTGLNLNSNGLVAMKKENDSKESIEEYEKDERLELDDNEPEYEAEEYGGVDYDEKEMDPDEVEDEVEEVIEEEQDGEDEDIHEIEVEGDADDDEHPGEEAEHADLDDATEHEERHEVVQERRKRKEFEVFVGGLDKDATEDDIRKVFNQVGEIAEVRLMMNPQTKKNKGFAFLRFATIEQAKRAYTELRNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVDNVEDLTLVEDSNNEGMNRGFAFLEFASRSDAMDAFKRLQRRDVLFGVDRPAKVSFADSFIDPGDEIMAQVRTIFIDCLPPSWDEDRVRELLKKYGEIEKIELARNMPSADRKDYGFVTFDTHDAAVTCAKSINNTELGEGDIKAKVRARLSRPHQRGRGKNVGRDSFRSGRGSGRVVRGSWGRPDSRGFAPRGMRGISSRAPPPSLKRPVGLRDRRPLMSAPARGRPLSPPPSRSYDRRAPVMPYPKGSLKRDYSQRDELPPPRSREVMDYGSRVFPDRRSTYREEYSSRNSCYFDLPRTTSRTAARRPYGDDAYAQRFERPPPSYREGRGRDYDTISGSKRPYPVMDDVPPRYADDGPRHSRARLDYELAGGAPPYVDAYGDRLGRSNLGYGGSRSSISSQDSHGLYASRQGMGYGGGSFSSSDIGGMYSSSGYGGDYMPRGSDVGGSSYSSMYSSRGMGGSSYMGGGGGSGSYY >KJB38879 pep chromosome:Graimondii2_0_v6:7:6087914:6092885:1 gene:B456_007G0851001 transcript:KJB38879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LMMNPQTKKNKGFAFLRFATIEQAKRAYTELRNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVDNVEDLTLVEDSNNEGMNRGFAFLEFASRSDAMDAFKRLQRRDVLFGVDRPAKVSFADSFIDPGDEIMAQVRTIFIDCLPPSWDEDRVRELLKKYGEIEKIELARNMPSADRKDYGFVTFDTHDAAVTCAKSINNTELGEGDIKAKVRARLSRPHQRGRGKNVGRDSFRSGRGSGRVVRGSWGRPDSRGFAPRGMRGISSRAPPPSLKRPVGLRDRRPLMSAPARGRPLSPPPSRSYDRRAPVMPYPKGSLKRDYSQRDELPPPRSREVMDYGSRVFPDRRSTYREEYSSRNSCYFDLPRTTSRTAARRPYGDDAYAQRFERPPPSYREGRGRDYDTISGSKRPYPVMDDVPPRYADDGPRHSRARLDYELAGGAPPYVDAYGDRLGRSNLGYGGSRSSISSQDSHGLYASRQGMGYGGGSFSSSDIGGMYSSSGYGGDYMPRGSDVGGSSYSSMYSSRGMGGSSYMGGGGGSGSYY >KJB44862 pep chromosome:Graimondii2_0_v6:7:47268167:47271411:-1 gene:B456_007G276400 transcript:KJB44862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNGFLDFSNFLSLFFFNGWSFLETSSTCHGINSQIIWFSTLNSSTMVARTVDLRSDTVTKPTETMRAAMATAEVDDDVLGADPTAFRLESEVAKITGKEAGLFVSSGTMGNLISVLVHCDIRGSEVILGDNSHIHIYENGGISTIGGVHPRPVKNNEDGTMDIDSIEVAIRDPRGELVFPTTKLICLENSHAKCLSAEYTDRVGELAKKHGLKLHIDGARIFNASVALGVPVQRLVQAADSVSVCLSKGLGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGFICAAAFVALKENVEKLDGDHKKAKELAEGLNQIKGLKVDIAAVETNIIYVDIVEGSKITAEKLYKNLEEHGVLVMPKGLSRMRIVLHHQISSSDVHYTLSCFQQAFSGMHQENGSYSISTSRDVSYS >KJB44861 pep chromosome:Graimondii2_0_v6:7:47268582:47271197:-1 gene:B456_007G276400 transcript:KJB44861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNGFLDFSNFLSLFFFNGWSFLETSSTCHGINSQIIWFSTLNSSTMVARTVDLRSDTVTKPTETMRAAMATAEVDDDVLGADPTAFRLESEVAKITGKEAGLFVSSGTMGNLISVLVHCDIRGSEVILGDNSHIHIYENGGISTIGGVHPRPVKNNEDGTMDIDSIEVAIRDPRGELVFPTTKLICLENSHANSGGRCLSAEYTDRVGELAKKHGLKLHIDGARIFNASVALGVPVQRLVQAADSVSVCLSKGLGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGFICAAAFVALKENVEKLDGDHKKAKELAEGLNQIKGLKVDIAAVETNIIYVDIVEGSKITAEKLYKNLEEHGVLVMPKGLSRMRIVLHHQISSSDVHYTLSCFQQAFSGMHQENGSYSISTSRDVSYS >KJB44860 pep chromosome:Graimondii2_0_v6:7:47268167:47270989:-1 gene:B456_007G276400 transcript:KJB44860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARTVDLRSDTVTKPTETMRAAMATAEVDDDVLGADPTAFRLESEVAKITGKEAGLFVSSGTMGNLISVLVHCDIRGSEVILGDNSHIHIYENGGISTIGGVHPRPVKNNEDGTMDIDSIEVAIRDPRGELVFPTTKLICLENSHANSGGRCLSAEYTDRVGELAKKHGLKLHIDGARIFNASVALGVPVQRLVQAADSVSVCLSKGLGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGFICAAAFVALKENVEKLDGDHKKAKELAEGLNQIKGLKVDIAAVETNIIYVDIVEGSKITAEKLYKNLEEHGVLVMPKGLSRMRIVLHHQISSSDVHYTLSCFQQAFSGMHQENGSYSISTSRDVSYS >KJB44863 pep chromosome:Graimondii2_0_v6:7:47268986:47270989:-1 gene:B456_007G276400 transcript:KJB44863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARTVDLRSDTVTKPTETMRAAMATAEVDDDVLGADPTAFRLESEVAKITGKEAGLFVSSGTMGNLISVLVHCDIRGSEVILGDNSHIHIYENGGISTIGGVHPRPVKNNEDGTMDIDSIEVAIRDPRGELVFPTTKLICLENSHANSGGRCLSAEYTDRVGELAKKHGLKLHIDGARIFNASVALGVPVQRLVQAADSVSVCLSKGLGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGFICAAAFVALKENVEKLDGDHKKAKELAEGLNQIKGLKVDIAAVETNIALFQQIYVDIVEGSKITAEKLYKNLEEHGVLVMPKGLSRSVFSLKKPPP >KJB41225 pep chromosome:Graimondii2_0_v6:7:7019405:7021220:-1 gene:B456_007G095600 transcript:KJB41225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANISGAMVDRDEGGSPLKPRLGDIPESCAALVLALLDPPEICKLARVNRSFHGASSADFIWESKLPSNYIFIVEKVLRDTTLLNLQKKQLYAGLCRPNPFDAGRKEIWLDKNTGGVCLSISSKALTITGIDDRRYWTHISTEESRYPTVAYLQQIWWFQVDGEVEFGFPVGTYSLFFRLQLGKSSKRLGRRVCNSKHIHGWEIKPVRFQLTTSEGQSVESRCHLDNPGNWVLYRVGDFVVQNPNAFTNIKFSLTQIDCTHTKGGLCVDAALIYPTTVAKEIRSCS >KJB41224 pep chromosome:Graimondii2_0_v6:7:7019412:7021220:-1 gene:B456_007G095600 transcript:KJB41224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANISGAMVDRDEGGSPLKPRLGDIPESCAALVLALLDPPEICKLARVNRSFHGASSADFIWESKLPSNYIFIVEKVLRDTTLLNLQKKQLYAGLCRPNPFDAGRKEIWLDKNTGGVCLSISSKALTITGIDDRRYWTHISTEESRYPTVAYLQQIWWFQVDGEVEFGFPVGTYSLFFRLQLGKSSKRLGRRVCNSKHIHGWEIKPVRFQLTTSEGQSVESRCHLDNPGNWVLYRVGDFVVQNPNAFTNIKFSLTQIDCTHTKGGLCVDAALIYPTTVAKEIRSCS >KJB40442 pep chromosome:Graimondii2_0_v6:7:4447937:4464103:-1 gene:B456_007G063600 transcript:KJB40442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ACT1 MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KJB40443 pep chromosome:Graimondii2_0_v6:7:4453355:4464103:-1 gene:B456_007G063600 transcript:KJB40443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ACT1 MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KJB40444 pep chromosome:Graimondii2_0_v6:7:4453355:4463235:-1 gene:B456_007G063600 transcript:KJB40444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ACT1 MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KJB44967 pep chromosome:Graimondii2_0_v6:7:48409680:48411322:1 gene:B456_007G282600 transcript:KJB44967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQQRIQIPRIKLGTQGLEVSKLGFGCMGLTGGYSSPVSEEVGISIIKHAFHRGITFFDTSDIYGPKTNEILVGKALKQLPREKVQLATKFGFEKLDSTGIKINGTPEYVRASIEASLKRLDVDYIDLYYQHRVDTNTPIEDTMSELKKLVEEGKIKYIGLSEASPETIKRAHAVHPISAFQTEWSLWTRDIEDEIVPLCRELGIGIVPYSPLGRGFFGGKGVVETVPANSHLLYFPRFQGEMLKLVKMLYLKVEKLAEKHGCSPAQLALAWVLFVHFVIFSC >KJB44968 pep chromosome:Graimondii2_0_v6:7:48409894:48411646:1 gene:B456_007G282600 transcript:KJB44968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQQRIQIPRIKLGTQGLEVSKLGFGCMGLTGGYSSPVSEEVGISIIKHAFHRGITFFDTSDIYGPKTNEILVGKALKQLPREKVQLATKFGFEKLDSTGIKINGTPEYVRASIEASLKRLDVDYIDLYYQHRVDTNTPIEDTMSELKKLVEEGKIKYIGLSEASPETIKRAHAVHPISAFQTEWSLWTRDIEDEIVPLCRELGIGIVPYSPLGRGFFGGKGVVETVPANSHLLYFPRFQGEMLKLVKMLYLKVEKLAEKHGCSPAQLALAWVLFVHFVIFRTTKIKNLDSNIDSVKVKLTAEDLKEISDAVPINEVAGDVLPDRFSQLHWKFGNTPPKGSKVST >KJB41297 pep chromosome:Graimondii2_0_v6:7:7533538:7534700:1 gene:B456_007G101500 transcript:KJB41297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDLITRVDAICKKYDKYDIDKQKAVNVAGDDAFARLYGVVDSEIDAALQKSEAAATEKNRATAVAMNAEIRRTKARLLEELPKLQRLALKKVKGISKEELEARNDLVYSLKDRVDSIPDGSSTATKQSTGGWATSTSSTGIKFDSSSGNKIHK >KJB41298 pep chromosome:Graimondii2_0_v6:7:7533538:7534700:1 gene:B456_007G101500 transcript:KJB41298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDLITRVDAICKKYDKYDIDKQKAVNVAGDDAFARLYGVVDSEIDAALQKSEAAATEKNRATAVAMNAEIRRTKARLLEELPKLQRLALKKVKGISKEELEARNDLVYSLKDRVDSIPDGSSTATKQSTGGWATSTSSTGIKFDSSSDERFTSEYFQQTEESDRFRQEYEMRRMRQVVIPFLVVDVIVCLTNAMRFSASLCLLATPMLRLLFHYVKHL >KJB41301 pep chromosome:Graimondii2_0_v6:7:7533538:7536418:1 gene:B456_007G101500 transcript:KJB41301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDLITRVDAICKKYDKYDIDKQKAVNVAGDDAFARLYGVVDSEIDAALQKSEAAATEKNRATAVAMNAEIRRTKARLLEELPKLQRLALKKVKGISKEELEARNDLVYSLKDRVDSIPDGSSTATKQSTGGWATSTSSTGIKFDSSSDERFTSEYFQQTEESDRFRQEYEMRRMRQDQGLEVIAEGLDTLKDMAHDMNEELDRQVPLMDEIDDKVILYFSYPSLLVMHMENSISLLIAF >KJB41299 pep chromosome:Graimondii2_0_v6:7:7533538:7536418:1 gene:B456_007G101500 transcript:KJB41299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAEIRRTKARLLEELPKLQRLALKKVKGISKEELEARNDLVYSLKDRVDSIPDGSSTATKQSTGGWATSTSSTGIKFDSSSDERFTSEYFQQTEESDRFRQEYEMRRMRQDQGLEVIAEGLDTLKDMAHDMNEELDRQVPLMDEIDDKVDRATSDLKSTNVRLKDTVHKLRSSRNFCIDIILLCIILGIAAYLYNALK >KJB41300 pep chromosome:Graimondii2_0_v6:7:7533538:7536418:1 gene:B456_007G101500 transcript:KJB41300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAEIRRTKARLLEELPKLQRLALKKVKGISKEELEARNDLVYSLKDRVDSIPDGSSTATKQSTGGWATSTSSTGIKFDSSSDERFTSEYFQQTEESDRFRQEYEMRRMRQDQGLEVIAEGLDTLKDMAHDMNEELDRQVPLMDEIDDKVDRATSDLKSTNVRLKDTVHKLRSSRNFCIDIILLCIILGIAAYLYNALK >KJB41296 pep chromosome:Graimondii2_0_v6:7:7533442:7536436:1 gene:B456_007G101500 transcript:KJB41296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDLITRVDAICKKYDKYDIDKQKAVNVAGDDAFARLYGVVDSEIDAALQKSEAAATEKNRATAVAMNAEIRRTKARLLEELPKLQRLALKKVKGISKEELEARNDLVYSLKDRVDSIPDGSSTATKQSTGGWATSTSSTGIKFDSSSDERFTSEYFQQTEESDRFRQEYEMRRMRQDQGLEVIAEGLDTLKDMAHDMNEELDRQVPLMDEIDDKVDRATSDLKSTNVRLKDTVHKLRSSRNFCIDIILLCIILGIAAYLYNALK >KJB43237 pep chromosome:Graimondii2_0_v6:7:18484376:18486481:1 gene:B456_007G189800 transcript:KJB43237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAAAATAHSKKLMKLTLSLFGNGFNSSKCKTAAKMAVARIKLLRNKRQVVVKQMRRDIALLLQSGQDATARIRVEHVMREQNVLAANEFIELFCELVVARLSIIKKRRECPADLKEGIASLIFAAPRCAEIPELIEIRNIFEKKYGRDFVAAATDLRPNCGVNRLLIDKLSVKTPTGETKLKVMKEIAKDHNIEWDTTESEKELLKPPEELIGPRKFVSATSLPVKPATNHCPEPKESMTRGEEGHNRFKDTVAAAEAAEESAKKAIAAAQAAAYLANRESNLFSEASGCVGNAARGSVPTSAPGARRMYESRSFGTFYPPSTEDVRPGNNGGGKTCRRHSYNHAPAAHSDIKFDESDCDEEIEIEDYPVGSTDLPPPVPSLEKQDSSIHRVHPKLPDYDDLAARFEALKYRKSLP >KJB43236 pep chromosome:Graimondii2_0_v6:7:18484283:18486481:1 gene:B456_007G189800 transcript:KJB43236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLPIQPLQIDTLLLLLRSSARPRVQAMTVAAAATAHSKKLMKLTLSLFGNGFNSSKCKTAAKMAVARIKLLRNKRQVVVKQMRRDIALLLQSGQDATARIRVEHVMREQNVLAANEFIELFCELVVARLSIIKKRRECPADLKEGIASLIFAAPRCAEIPELIEIRNIFEKKYGRDFVAAATDLRPNCGVNRLLIDKLSVKTPTGETKLKVMKEIAKDHNIEWDTTESEKELLKPPEELIEGPRKFVSATSLPVKPATNHCPEPKESMTRGEEGHNRFKDTVAAAEAAEESAKKAIAAAQAAAYLANRESNLFSEASGCVGNAARGSVPTSAPGARRMYESRSFGTFYPPSTEDVRPGNNGGGKTCRRHSYNHAPAAHSDIKFDESDCDEEIEIEDYPVGSTDLPPPVPSLEKQDSSIHRVHPKLPDYDDLAARFEALKYRKSLP >KJB43238 pep chromosome:Graimondii2_0_v6:7:18484617:18486481:1 gene:B456_007G189800 transcript:KJB43238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREQNVLAANEFIELFCELVVARLSIIKKRRECPADLKEGIASLIFAAPRCAEIPELIEIRNIFEKKYGRDFVAAATDLRPNCGVNRLLIDKLSVKTPTGETKLKVMKEIAKDHNIEWDTTESEKELLKPPEELIEGPRKFVSATSLPVKPATNHCPEPKESMTRGEEGHNRFKDTVAAAEAAEESAKKAIAAAQAAAYLANRESNLFSEASGCVGNAARGSVPTSAPGARRMYESRSFGTFYPPSTEDVRPGNNGGGKTCRRHSYNHAPAAHSDIKFDESDCDEEIEIEDYPVGSTDLPPPVPSLEKQDSSIHRVHPKLPDYDDLAARFEALKYRKSLP >KJB43590 pep chromosome:Graimondii2_0_v6:7:21862681:21866101:-1 gene:B456_007G208300 transcript:KJB43590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPCYAKLPFSIIITFLFVIYRANSVNSENNKCQGSCETSNFSSPLPFPFGFTPGCPIQLNCNSTGVGIGEFHVLNITSNDIIIDLPAKCYRPLASLAVLSGENYALSVDNTLLLQNCSNPLPGPCEIRPMFLERSFQAGPCAARSDNISCFSSGGDGVRLLSFEEVNKTQCRFLFSSTAIVVDPARNSAVSVDLERVKLEWWVKDCNCDPNANRTDVKNGNKSVGCKCFCKKGFEGDGFKEGGGCRRVPSCNASKYISGNCGGTTRVGVLVGGLVGGALLMGIVALVCFYLRRRSNNINKQMSAKRLISEAAGNSSVPCYAYREIERATNGFCDKQRLGTGAYGTVYAGKLHSDDWVAIKRFRYRDPDSIDQVMNEIKLLSSVSHPNLVRLLGCCMEEGEPMLVYEFMPNGTLSQHLQRERGSGLPWTVRLTIAAETAKAIAYLHSVNPPIFHRDIKSSNILLDYNYRSKVADFGLSRLGMAESSHISTAPQGTPGYLDPQYHQYFHLSDKSDVYSFGVVLVEIITALKVVDFSRAHNEVNLAALAIDRIGRGCVDEIIDPYLDPHRDAWTLSSIHNVAELAFRCLASHRDMRPTMIEVAEELEHIRLSAWVPGMCIESPAASSDEESEKSFNKSTKMSDVGSRRLMKLKQREGECLTTSLEEVKNRSPISVQDHWSSGQSSPSTNSLLGNSSRRE >KJB44989 pep chromosome:Graimondii2_0_v6:7:48634529:48640985:-1 gene:B456_007G284000 transcript:KJB44989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRCGVSSLKPNCYFHCKRNYLSLSKSNLTKHPITKYSNYSSLTMTTITESDVGILCFISNLPGFRGILKQRYSDFIVNEVDTEGNVVHLTSLDAPPEEGETKISDQLNKNYASEIEKFRSLACEIDVDQLEAFINQITSGSEEDISPIVLSPDSDKSHRTAMHNFFKENLRFLVTDTVDGPDSSSKCIRVRLNSGNKNKGRNSNKRKRGDRPYDSRGSSHWPAHLGNFLRFHLFKENKDTQEALGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQYASRLAALNDRLIGIKVGDFCYVKDDLLLGQLLGNRFTIVLRGVVADSEDTIQASAESLGRHGFINYFGLQRFGSGSIPTHHIGATLLRGEWKAAASTILDPREGERNIISKAREYYKQTGDIDGTLKQLPRHLVAERAMLQCLKKSPGNYLQALKAIPRTLRMMYVHSYQSYLWNHAASVRVQKYGSSEVVLGDLVYSKGDDAEKTEVVPPEHEDNSLEDAYDCGQLDEISGKDLPLEKNNLVKVITASDISTGNYNIEDVVLPMPGSRIIYPMNEVADVYHDMAKKDGISLTESVHNIKEFSITSMTGSYRRVFQKPIDFRWDLLSYTDGNLALSETDIDKIAKTKPVNPVKEENPTNGTEGEEPFNHLEQLESFEDEKKFSTDDNEVEVSREAEHPQVHSPPDLNSLEPQKALKLAFTLPASCYATMAIRELLKTSTSVAFHKTLNQ >KJB44990 pep chromosome:Graimondii2_0_v6:7:48634807:48640950:-1 gene:B456_007G284000 transcript:KJB44990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRCGVSSLKPNCYFHCKRNYLSLSKSNLTKHPITKYSNYSSLTMTTITESDVGILCFISNLPGFRGILKQRYSDFIVNEVDTEGNVVHLTSLDAPPEEGETKISDQLNKNYASEIEKFRSLACEIDVDQLEAFINQITSGSEEDISPIVLSPDSDKSHRTAMHNFFKENLRFLVTDTVDGPDSSSKCIRVRLNSGNKNKGRNSNKRKRGDRPYDSRGSSHWPAHLGNFLRFHLFKENKDTQEALGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQYASRLAALNDRLIGIKVGDFCYVKDDLLLGQLLGNRFTIVLRGVVADSEDTIQASAESLGRHGFINYFGLQRFGSGSIPTHHIGATLLRGEWKAAASTILDPREGERNIISKAREYYKQTGDIDGTLKQLPRHLVAERAMLQCLKKSPGNYLQALKAIPRTLRMMYVHSYQSYLWNHAASVRVQKYGSSEVVLGDLVYSKGDDAEKTEVVPPEHEDNSLEDAYDCGQLDEISGKDLPLEKNNLVKVITASDISTGNYNIEDVVLPMPGSRIIYPMNEVADVYHDMAKKDGISLTESVHNIKEFSITSMTGSYRRVFQKPIDFR >KJB42997 pep chromosome:Graimondii2_0_v6:7:16622782:16624654:-1 gene:B456_007G178700 transcript:KJB42997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRRFYKFEHADKDNVSDSSSSSSDSELEVEASEESESEPEQEVAAQVNQNNQACSSSSGYESEDSSANEVGVDSAGLIDDDNDESEDDKQIFITNRLHAEHGAQIRGKKWDVPCNKEPLPDDFPACILKCKSVFKCKLCPRIVCLNEETVRAHFNSKVRHLAC >KJB42994 pep chromosome:Graimondii2_0_v6:7:16622072:16624654:-1 gene:B456_007G178700 transcript:KJB42994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRRFYKFEHADKDNVSDSSSSSSDSELEVEASEESESEPEQEVAAQVNQNNQACSSSSGYESEDSSANEVGVDSAGLIDDDNDESEDDKQIFITNRLHAEHGAQIRGKKWDVPCNKEPLPDDFPACILKCKSVFKCKLCPRIVCLNEETVRAHFNSKRHARSEKLLKEGRLKTMLNSDGEIENQETAAEAHSPVVAIEQDKQKTKHKGGRHRRGKGSKRKRMRDEKQSTKDGAKRGRKDEN >KJB42996 pep chromosome:Graimondii2_0_v6:7:16622391:16624280:-1 gene:B456_007G178700 transcript:KJB42996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRRFYKFEHADKDNVSDSSSSSSDSELEVEASEESESEPEQEVAAQVNQNNQACSSSSGYESEDSSANEVGVDSAGLIDDDNDESEDDKQIFITNRLHAEHGAQIRGKKWDVPCNKEPLPDDFPACILKCKSVFKCKLCPRIVCLNEETVRAHFNSKRHARSEKLLKEGRLKTMLNSDGEIENQETAAEAHSPVVAIEQDKQKTKHKGGRHRRGKGSKRKVKSLSFHVFLQCLS >KJB42992 pep chromosome:Graimondii2_0_v6:7:16621768:16624654:-1 gene:B456_007G178700 transcript:KJB42992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRRFYKFEHADKDNVSDSSSSSSDSELEVEASEESESEPEQEVAAQVNQNNQACSSSSGYESEDSSANEVGVDSAGLIDDDNDESEDDKQIFITNRLHAEHGAQIRGKKWDVPCNKEPLPDDFPACILKCKSVFKCKLCPRIVCLNEETVRAHFNSKRHARSEKLLKEGRLKTMLNSDGEIENQETAAEAHSPVVAIEQDKQKTKHKGGRHRRGKGSKRKRMRDEKQSTKDGAKRGRKDEN >KJB42995 pep chromosome:Graimondii2_0_v6:7:16622039:16624760:-1 gene:B456_007G178700 transcript:KJB42995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRRFYKFEHADKDNVSDSSSSSSDSELEVEASEESESEPEQEVAAQVNQNNQACSSSSGYESEDSSANEVGVDSAGLIDDDNDESEDDKQIFITNRLHAEHGAQIRGKKWDVPCNKEPLPDDFPACILKCKSVFKCKLCPRIVCLNEETVRAHFNSKRHARSEKLLKEGRLKTMLNSDGEIENQETAAEAHSPVVAIEQDKQKTKHKGGRHRRENER >KJB42993 pep chromosome:Graimondii2_0_v6:7:16621882:16624789:-1 gene:B456_007G178700 transcript:KJB42993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRRFYKFEHADKDNVSDSSSSSSDSELEVEASEESESEPEQEVAAQVNQNNQACSSSSGYESEDSSANEVGVDSAGLIDDDNDESEDDKQIFITNRLHAEHGAQIRGKKWDVPCNKEPLPDDFPACILKCKSVFKCKLCPRIVCLNEETVRAHFNSKRHARSEKLLKEGRLKTMLNSDGEIENQETAAEAHSPVVAIEQKTKHKGGRHRRGKGSKRKRMRDEKQSTKDGAKRGRKDEN >KJB42734 pep chromosome:Graimondii2_0_v6:7:14686329:14692167:1 gene:B456_007G166300 transcript:KJB42734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMSTNKSNCSRSSSARSKQNARVIAQTTIDAKLHVDFEESKRLFDYSTSIDFNISSSTSNVPSSTVSAYLQKMQRGSLIQPFGCLIAVDEQNFTVLAYSENAPEMLDLAPHAVPNIEQQEALTYGSDVRTLFSSPGATALQKAANFGEVNLLNPILVHCKTSGKPFYAILHRIEAALVIDLEPVNPAEVPVTAAGALKSYKLAAKAISRLQSLPSGNISLLCDVLVKEVSDLTGYDRIMVYKFHEDEHGEVIAESRRPDLEPYLGLHYPATDIPQASRFLFMKNKIRMICDCSAQPVKVIQDKGLAQPLSLCGSTLRSPHGCHAQYMASMGSIASLVMSVTINENDDEMDSEQDKGRKLWGLVVCHHTSPRFVPFPLRYACEFLIQVFGVQINKEVDLAAQMREKHILQTQTVLCDMLLRDSPVGIVTKSPNVMDLVKCDGAALYYRQKFWLLGVTPTKAQIRDIAEWLLEYHSSSTGLSTDSLMEAGYPGASVLGEAVCGMAAVKITSKDFLFWFRSHTAKEIKWGGAKHDPGGKDDGRKMHPRSSFKAFLEVVKWRSLPWEDIEMDAIHSLQLILKGSLQDEVADDSKMIVNVPSIDDRIQRVDELRIVTNEMVRLIETAAVPIFAVDSSGNINGWNSKAAELTDLTIEQAIGMPLFDLVEDDSVDVVKNMLSLALEGIEERSIEIKLRTFGCQENNGPIILVVNACCSRDLKENVVGICFVGQDLTSQKMAMNKYTRVQGDYVGIMRNPSALIPPIFMIDEVGRCLEWNDAMQKLTGMKREEAIDRMLLGEVFTVDKFGCRVKDHDTFTKLRILFNGITAGEDADKLLFGFFDQEGKFVEVLLSASRRTDANGRITGILCFLHVASPELQYALQVQKISEQAAASSLNKLAYIRQELRKPLKGIVLMQGLMGDSDLSSNQRQLLRTSVMCREQMAKIVDDTDIESIEECYMEMDSGEFNLGEALEAVLKQVMLMSQERQVQVIQDLPPEVSSMYLYGDNLRLQQVLSDFLTNALLFTPVFEESSVSFRVIPRKERIGTKIQIVYLEFRITHPAPGIPEDLIREMFHQRQGVSREGLGLYISQKLVKIMNGTVQYLREAERSSFIIFLEFPLARLLGHH >KJB42733 pep chromosome:Graimondii2_0_v6:7:14686442:14703215:1 gene:B456_007G166300 transcript:KJB42733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMSTNKSNCSRSSSARSKQNARVIAQTTIDAKLHVDFEESKRLFDYSTSIDFNISSSTSNVPSSTVSAYLQKMQRGSLIQPFGCLIAVDEQNFTVLAYSENAPEMLDLAPHAVPNIEQQEALTYGSDVRTLFSSPGATALQKAANFGEVNLLNPILVHCKTSGKPFYAILHRIEAALVIDLEPVNPAEVPVTAAGALKSYKLAAKAISRLQSLPSGNISLLCDVLVKEVSDLTGYDRIMVYKFHEDEHGEVIAESRRPDLEPYLGLHYPATDIPQASRFLFMKNKIRMICDCSAQPVKVIQDKGLAQPLSLCGSTLRSPHGCHAQYMASMGSIASLVMSVTINENDDEMDSEQDKGRKLWGLVVCHHTSPRFVPFPLRYACEFLIQVFGVQINKEVDLAAQMREKHILQTQTVLCDMLLRDSPVGIVTKSPNVMDLVKCDGAALYYRQKFWLLGVTPTKAQIRDIAEWLLEYHSSSTGLSTDSLMEAGYPGASVLGEAVCGMAAVKITSKDFLFWFRSHTAKEIKWGGAKHDPGGKDDGRKMHPRSSFKAFLEVVKWRSLPWEDIEMDAIHSLQLILKGSLQDEVADDSKMIVNVPSIDDRIQRVDELRIVTNEMVRLIETAAVPIFAVDSSGNINGWNSKAAELTDLTIEQAIGMPLFDLVEDDSVDVVKNMLSLALEGIEERSIEIKLRTFGCQENNGPIILVVNACCSRDLKENVVGICFVGQDLTSQKMAMNKYTRVQGDYVGIMRNPSALIPPIFMIDEVGRCLEWNDAMQKLTGMKREEAIDRMLLGEVFTVDKFGCRVKDHDTFTKLRILFNGITAGEDADKLLFGFFDQEGKFVEVLLSASRRTDANGRITGILCFLHVASPELQYALQVQKISEQAAASSLNKLAYIRQELRKPLKGIVLMQGLMGDSDLSSNQRQLLRTSVMCREQMAKIVDDTDIESIEECYMEMDSGEFNLGEALEAVLKQVMLMSQERQVQGNSSEGTYWDEDTNCLS >KJB42732 pep chromosome:Graimondii2_0_v6:7:14687150:14703215:1 gene:B456_007G166300 transcript:KJB42732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMSTNKSNCSRSSSARSKQNARVIAQTTIDAKLHVDFEESKRLFDYSTSIDFNISSSTSNVPSSTVSAYLQKMQRGSLIQPFGCLIAVDEQNFTVLAYSENAPEMLDLAPHAVPNIEQQEALTYGSDVRTLFSSPGATALQKAANFGEVNLLNPILVHCKTSGKPFYAILHRIEAALVIDLEPVNPAEVPVTAAGALKSYKLAAKAISRLQSLPSGNISLLCDVLVKEVSDLTGYDRIMVYKFHEDEHGEVIAESRRPDLEPYLGLHYPATDIPQASRFLFMKNKIRMICDCSAQPVKVIQDKGLAQPLSLCGSTLRSPHGCHAQYMASMGSIASLVMSVTINENDDEMDSEQDKGRKLWGLVVCHHTSPRFVPFPLRYACEFLIQVFGVQINKEVDLAAQMREKHILQTQTVLCDMLLRDSPVGIVTKSPNVMDLVKCDGAALYYRQKFWLLGVTPTKAQIRDIAEWLLEYHSSSTGLSTDSLMEAGYPGASVLGEAVCGMAAVKITSKDFLFWFRSHTAKEIKWGGAKHDPGGKDDGRKMHPRSSFKAFLEVVKWRSLPWEDIEMDAIHSLQLILKGSLQDEVADDSKMIVNVPSIDDRIQRVDELRIVTNEMVRLIETAAVPIFAVDSSGNINGWNSKAAELTDLTIEQAIGMPLFDLVEDDSVDVVKNMLSLALEGIEERSIEIKLRTFGCQENNGPIILVVNACCSRDLKENVVGICFVGQDLTSQKMAMNKYTRVQGDYVGIMRNPSALIPPIFMIDEVGRCLEWNDAMQKLTGMKREEAIDRMLLGEVFTVDKFGCRVKDHDTFTKLRILFNGITAGEDADKLLFGFFDQEGKFVEVLLSASRRTDANGRITGILCFLHVASPELQYALQVQKISEQAAASSLNKLAYIRQELRKPLKGIVLMQGLMGDSDLSSNQRQLLRTSVMCREQMAKIVDDTDIESIEECYMEMDSGEFNLGEALEAVLKQVMLMSQERQVQVIQDLPPEVSSMYLYGDNLRLQQVLSDFLTNALLFTPVFEESSVSFRVIPRKERIGTKIQIVYLEFRITHPAPGIPEDLIREMFHQRQGVSREGLGLYISQKLVKIMNGTVQYLREAERSSFIIFLEFPLARLLGHH >KJB42735 pep chromosome:Graimondii2_0_v6:7:14687150:14703215:1 gene:B456_007G166300 transcript:KJB42735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMSTNKSNCSRSSSARSKQNARVIAQTTIDAKLHVDFEESKRLFDYSTSIDFNISSSTSNVPSSTVSAYLQKMQRGSLIQPFGCLIAVDEQNFTVLAYSENAPEMLDLAPHAVPNIEQQEALTYGSDVRTLFSSPGATALQKAANFGEVNLLNPILVHCKTSGKPFYAILHRIEAALVIDLEPVNPAEVPVTAAGALKSYKLAAKAISRLQSLPSGNISLLCDVLVKEVSDLTGYDRIMVYKFHEDEHGEVIAESRRPDLEPYLGLHYPATDIPQASRFLFMKNKIRMICDCSAQPVKVIQDKGLAQPLSLCGSTLRSPHGCHAQYMASMGSIASLVMSVTINENDDEMDSEQDKGRKLWGLVVCHHTSPRFVPFPLRYACEFLIQVFGVQINKEVDLAAQMREKHILQTQTVLCDMLLRDSPVGIVTKSPNVMDLVKCDGAALYYRQKFWLLGVTPTKAQIRDIAEWLLEYHSSSTGLSTDSLMEAGYPGASVLGEAVCGMAAVKITSKDFLFWFRSHTAKEIKWGGAKHDPGGKDDGRKMHPRSSFKAFLEVVKWRSLPWEDIEMDAIHSLQLILKGSLQDEVADDSKMIVNVPSIDDRIQRVDELRIVTNEMVRLIETAAVPIFAVDSSGNINGWNSKAAELTDLTIEQAIGMPLFDLVEDDSVDVVKNMLSLALEGIEERSIEIKLRTFGCQENNGPIILVVNACCSRDLKENVVGICFVGQDLTSQKMAMNKYTRVQGDYVGIMRNPSALIPPIFMIDEVGRCLEWNDAMQKLTGMKREEAIDRMLLGEVFTVDKFGCRVKDHDTFTKLRILFNGITAGEDADKLLFGFFDQEGKFVEVLLSASRRTDANGRITGILCFLHVASPELQYALQVQKISEQAAASSLNKLAYIRQELRKPLKGIVLMQGLMGDSDLSSNQRQLLRTSVMCREQMAKIVDDTDIESIEECYMEMDSGEFNLGEALEAVLKQVMLMSQERQVQVIQDLPPEVSSMYLYGDNLRLQQVLSDFLTNALLFTPVFEESSVSFRDHSSSTRYPRRFNSGDVSPEAGCLKGRSWPIHQSEACKDYEWYCAISKRG >KJB40066 pep chromosome:Graimondii2_0_v6:7:3142135:3148316:1 gene:B456_007G045000 transcript:KJB40066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSDVGGGMTAIGGTAPSTSTSNQNDAADWYFKSHGIHGIFSQIELSFSATNLRDRDVFSKSDPMVVVYIQERDGAFTEVFRTEVVLNSLNPTWITKYTITYQFEIVQILLFRVFDVDTQFHNVEVKMLKLEEQQSLGEASCALSEIVSKPNRSLTLDLVQREESVPSTHSQHRGKFTVHAEECFSSKTTAEMTLKCLNLESKDLFSKSDPFLVISKVVESGMSIPVCKTEVSKNDLNPTWKPLFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKLHSGREGENLFLPTPVGHDNQNKALRSKLFVEKYSETVQYTFLDYLAAGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRLNAYQKAIYEVGEVLQFYDADKRFPAWGFGARPIDGPVSHCFNLNGSSNYCEVEGIRGIMMAYTSALFNVSLAGPTLFGHVINRAAMIASQSLANDAKKYFVLLIITDGVITDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESSTGRVASRDIVQFVPFRDVQNGPSVVQELLAELPSQFLAYMRSREIKPCS >KJB40069 pep chromosome:Graimondii2_0_v6:7:3142284:3148011:1 gene:B456_007G045000 transcript:KJB40069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCSYRFLLRICETGMCSPRYSDPMVVVYIQERDGAFTEVFRTEVVLNSLNPTWITKYTITYQFEIVQILLFRVFDVDTQFHNVEVKMLKLEEQQSLGEASCALSEIVSKPNRSLTLDLVQREESVPSTHSQHRGKFTVHAEECFSSKTTAEMTLKCLNLESKDLFSKSDPFLVISKVVESGMSIPVCKTEVSKNDLNPTWKPLFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKLHSGREGENLFLPTPVGHDNQNKALRSKLFVEKYSETVQYTFLDYLAAGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRLNAYQKAIYEVGEVLQFYDADKRFPAWGFGARPIDGPVSHCFNLNGSSNYCEVEGIRGIMMAYTSALFNVSLAGPTLFGHVINRAAMIASQSLANDAKKYFVLLIITDGVITDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESSTGRVASRDIVQFVPFRDVQNGPSVVQELLAELPSQFLAYMRSREIKPCS >KJB40067 pep chromosome:Graimondii2_0_v6:7:3142284:3148011:1 gene:B456_007G045000 transcript:KJB40067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVYIQERDGAFTEVFRTEVVLNSLNPTWITKYTITYQFEIVQILLFRVFDVDTQFHNVEVKMLKLEEQQSLGEASCALSEIVSKPNRSLTLDLVQREESVPSTHSQHRGKFTVHAEECFSSKTTAEMTLKCLNLESKDLFSKSDPFLVISKVVESGMSIPVCKTEVSKNDLNPTWKPLFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKLHSGREGENLFLPTPVGHDNQNKALRSKLFVEKYSETVQYTFLDYLAAGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRLNAYQKAIYEVGEVLQFYDADKRFPAWGFGARPIDGPVSHCFNLNGSSNYCEVEGIRGIMMAYTSALFNVSLAGPTLFGHVINRAAMIASQSLANDAKKYFVLLIITDGVITDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESSTGRVASRDIVQFVPFRDVQNGPSVVQELLAELPSQFLAYMRSREIKPCS >KJB40068 pep chromosome:Graimondii2_0_v6:7:3142284:3148011:1 gene:B456_007G045000 transcript:KJB40068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSDVGGGMTAIGGTAPSTSTSNQNDAADWYFKSHGIHGIFSQIELSFSATNLRDRDVFSKSDPMVVVYIQERDGAFTEVFRTEVVLNSLNPTWITKYTITYQFEIVQILLFRVFDVDTQFHNVEVKMLKLEEQQSLGEASCALSEIVSKPNRSLTLDLVQREESVPSTHSQHRGKFTVHAEECFSSKTTAEMTLKCLNLESKDLFSKSDPFLVISKVVESGMSIPVCKTEVSKNDLNPTWKPLFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKLHSGREGENLFLPTPVGHDNQNKALRSKLFVEKYSETVQYTFLDYLAAGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRLNAYQKAIYEVGEVLQFYDADKRFPAWGFGARPIDGPVSHCFNLNGSSNYCEVEGIRGIMMAYTSALFNVSLAGPTLFGHVINRAAMIASQSLANDAKKYFVLLIITDGVITDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESSTGRVASRDIVQFVPFRDVQSKSSTHSDISEMFRIWDYITIDFHFADGPSVVQELLAELPSQFLAYMRSREIKPCS >KJB43573 pep chromosome:Graimondii2_0_v6:7:21543246:21544397:-1 gene:B456_007G206900 transcript:KJB43573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAVIYYLSQHPSIVTFRWSHTQSWGSTWSFLVISIAVYLALSSLLHLILSLFVKRGSRPAPLAPVQALHSLIMSIISAVIFAGILFSAAAEINETRWFWRRSKTPFQWLLCFPLGTRPSGRVFFWSYIFYLSRFLHMLRTFFVIFQNRKLTVFHLFNNSVLTIMSFLWLEFSQSFQVLAILFTTLVYSAAYGYRFWTEIGLPRACFPFVLNCQIVLLSCNVVCHVGVLMLHVMKGGCNGIGAWVLNSVLNGISLLLFLKFHVQSRKQAPVKEIVKVL >KJB44850 pep chromosome:Graimondii2_0_v6:7:47096517:47098347:1 gene:B456_007G275900 transcript:KJB44850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLFSTELIPHGLPFHPQQLKPVSNSSSHHTSLVSCLSHEGTKDSIRKSRNNQKVRVSAETRPTHLSSFDFKESHLMKLLNRSCKSGKYHEAFYFLECMVGKGYKPDVVLCTKMIKGFFNGRNVEKAIRVMEMLETYGEPDVFAYNALISGFCKMNRLDFANKVLDRMRSRGFSPDVVTYNIMIGSLCSRGKLDSAYKVLNQLLKDNCKPSVITYTILIEATILQGGINEAMKLLDEMLANGLRPDMFTYNAIIRGMCKDGMVGRAFEFVRGLNARGCQPDVISYNILLRALLNQGKWIEGEKLVTEMVSRGCEPNVVTYSILISCLCREGKVEEAVNVLKMMKERGLTPDAYSYDPLISAFCKERRLDLAIQFLDYMISDGCLPDIVNYNTILSTLCKNGKADQALEIFEKLSEVGCPPNVSSYNTMFTALWSTGDKFKALEMILEMLNKRIGPDEITYNSLISCLCRDGMVDEAIELLIDMGRSKIQPTVISYNIVLLGLCKVHRIDDAIEVLAAMVEKGCQPNETTYVLLTEGIGFAGWRSQAMELANALFRMEAISEDTFKRLTKTFPLLDVYKEFALSDSDK >KJB45389 pep chromosome:Graimondii2_0_v6:7:51740558:51742503:-1 gene:B456_007G303800 transcript:KJB45389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADPWTLCLSSTARRYQSALQSRSDMFMGFEEMDGEDDIREEFPCPFCSEYFDIVGLCCHIDDEHPVEANNGVCPVCAMRVGVDMVAHITQQHGHIFKRKRRSRRSGSHSTLSLLRKELRDGNLRSLFGGSSCIAPSSNSAPDPLLSSFILPIVDDSVSVQPRLSSETNTATKSSDVNKSESRNVQSSPLSVKDQEEKAKRCEFVKGLLLSTILDDVL >KJB45390 pep chromosome:Graimondii2_0_v6:7:51740062:51742722:-1 gene:B456_007G303800 transcript:KJB45390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGVDMVAHITQQHGHIFKRKRRSRRSGSHSTLSLLRKELRDGNLRSLFGGSSCIAPSSNSAPDPLLSSFILPIVDDSVSVQPRLSSETNTATKSSDVNKSERNVQSSPLSVKDQEEKAKRCEFVKGLLLSTILDDVL >KJB45393 pep chromosome:Graimondii2_0_v6:7:51741148:51742722:-1 gene:B456_007G303800 transcript:KJB45393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADPWTLCLSSTARRYQSALQSRSDMFMGFEEMDGEDDIREEFPCPFCSEYFDIVGLCCHIDDEHPVEANNGVCPVCAMRVGVDMVAHITQQHGHIFKRKRRSRRSGSHSTLSLLRKELRDGNLRSLFGGSSCIAPSSNSAPDPLLSSFILPIVDDSVSVQPRLSSETNTATKSSDVNKSER >KJB45391 pep chromosome:Graimondii2_0_v6:7:51740062:51742722:-1 gene:B456_007G303800 transcript:KJB45391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADPWTLCLSSTARRYQSALQSRSEMDGEDDIREEFPCPFCSEYFDIVGLCCHIDDEHPVEANNGVCPVCAMRVGVDMVAHITQQHGHIFKRKRRSRRSGSHSTLSLLRKELRDGNLRSLFGGSSCIAPSSNSAPDPLLSSFILPIVDDSVSVQPRLSSETNTATKSSDVNKSERNVQSSPLSVKDQEEKAKRCEFVKGLLLSTILDDVL >KJB45392 pep chromosome:Graimondii2_0_v6:7:51740062:51742778:-1 gene:B456_007G303800 transcript:KJB45392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADPWTLCLSSTARRYQSALQSRSDMFMGFEEMDGEDDIREEFPCPFCSEYFDIVGLCCHIDDEHPVEANNGVCPVCAMRVGVDMVAHITQQHGHIFKRKRRSRRSGSHSTLSLLRKELRDGNLRSLFGGSSCIAPSSNSAPDPLLSSFILPIVDDSVSVQPRLSSETNTATKSSDVNKSERNVQSSPLSVKDQEEKAKRCEFVKGLLLSTILDDVL >KJB45397 pep chromosome:Graimondii2_0_v6:7:51789383:51791193:-1 gene:B456_007G304100 transcript:KJB45397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREGGSHGGSCYYSVLGIRKDASFSDIRTAYRKLAMKWHPDRYARNPGIAGEAKRRFQQIQEAYSVLSDESKRSMYDAGLYDPLEEEDQDFCDFMQEMMSMMNNVKDEGDSFEDLQRMFAEMVDGISFDINTDPTVTKTARVTASKGNAARRNSPRC >KJB43010 pep chromosome:Graimondii2_0_v6:7:16822661:16823427:-1 gene:B456_007G179500 transcript:KJB43010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQEEGTEKLNVNASANQLFFESQSRADEVGQKWFDRPGDLSAGAIPISPTPQILKPTSAPTRPYVRSLSFG >KJB43095 pep chromosome:Graimondii2_0_v6:7:17663671:17669248:-1 gene:B456_007G184100 transcript:KJB43095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGFCCPTNSFLFSRSSLVNHRSNLQTRFIVSSSTSSSELVTQSAVSGSTERPRTSLGLKNLTEIFWVDVHCAEGRPLNVGLQEPVTVGCSRLEKVENVAVRVELSNGCVGWGEVSVFPWVNWNQATALEEVRKACEFLSQGSPVTLNLVLHQISEMFPGSEFASVRAGLEMALVDAVANSIDVPLWRLFGGVSNSLSTAATIPTTSSAKAFDLAAKYYKLGFKTLEIKLGRNVNADIEVLQAVRAAHPHCLFILDANEGYTSKEAIEVLHKLNEKGVIPTVFEQPVHRDDWRGLGDVSNVARHKYGVSVAADESCRDLTDIKKLMEENLVDVINIKLSKFGVLGILEIVEMVRKSGLELMIDSVAETRLATGVAGHLAAGLGCFRYVNISAPSLLSGDPVVGGYEVAGSKFKFVNSRGQGGFLKWDIFS >KJB43097 pep chromosome:Graimondii2_0_v6:7:17665201:17669016:-1 gene:B456_007G184100 transcript:KJB43097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGFCCPTNSFLFSRSSLVNHRSNLQTRFIVSSSTSSSELVTQSAVSGSTERPRTSLGLKNLTEIFWVDVHCAEGRPLNVGLQEPVTVGCSRLEKVENVAVRVELSNGCVGWGEVSVFPWVNWNQATALEEVRKACEFLSQGSPVTLNLVLHQISEMFPGSEFASVRAGLEMALVDAVANSIDVPLWRLFGGVSNSLSTAATIPTTSSAKAFDLAAKYYKLGFKTLEIKLGRNVNADIEVLQAVRAAHPHCLFILDANEGYTSKEAIEVLHKLNEKGVIPTVFEQPVHRDDWRGLGDVSNVARHKYGVSVAADESCRDLTDIKKLMEENLVDVINIKLSKFGVLGILEIVEMVRKSGLELMIDSVAETRLATGVAGHLAAGLGCFRYVNISAPSLLSGDPVVGGYEGTITLIPIHIWIHT >KJB43096 pep chromosome:Graimondii2_0_v6:7:17663752:17669016:-1 gene:B456_007G184100 transcript:KJB43096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGFCCPTNSFLFSRSSLVNHRSNLQTRFIVSSSTSSSELVTQSAVSGSTERLEKVENVAVRVELSNGCVGWGEVSVFPWVNWNQATALEEVRKACEFLSQGSPVTLNLVLHQISEMFPGSEFASVRAGLEMALVDAVANSIDVPLWRLFGGVSNSLSTAATIPTTSSAKAFDLAAKYYKLGFKTLEIKLGRNVNADIEVLQAVRAAHPHCLFILDANEGYTSKEAIEVLHKLNEKGVIPTVFEQPVHRDDWRGLGDVSNVARHKYGVSVAADESCRDLTDIKKLMEENLVDVINIKLSKFGVLGILEIVEMVRKSGLELMIDSVAETRLATGVAGHLAAGLGCFRYVNISAPSLLSGDPVVGGYEVAGSKFKFVNSRGQGGFLKWDIFS >KJB43279 pep chromosome:Graimondii2_0_v6:7:18737022:18738342:1 gene:B456_007G191500 transcript:KJB43279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLQYLISTPPLFSSYFDPSLPSSLAFTSSPPLLSIARNLSNFPTIRHKAKAVPQPQDSEVSLVADAFTHFKHLLLPITDRNPYLSEGTRQAAATTAALAKNYGADITVVVIDEKQKEELPEHGTQLSSIRWRLSQDYLKKLLERLGEGSKATAIIAEVADDLNLDLVVMSMEAIRSKHVDANLLVEFIPCPVLFLPL >KJB43463 pep chromosome:Graimondii2_0_v6:7:20486789:20488148:-1 gene:B456_007G201400 transcript:KJB43463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPELLLCYSESVGQNPIVLPDDRGKAVGVMSKALQHFIKKAQADGTGGTSLLSTPFRSLPLGVPKIIVSTIASGQIEPYVKTSDLVLFPSIVDICVINNVSRVVLSNAGAAFAGMVIGRLERFQESCNEGKKGTVGITMYGVTTPCVNVVNERLKKEGYETLIFHATGVGGKAMESLVREGYIQGVLDITTTEVADYVVGGVVPCDSSCFDVIIEKKIPFVLSVRALDMVTFGLKHTVPTNFQQRNIHIHNAQISLMRTTVDENKKFAGFIADKLNNLQQRSVFACHKLKGVSALDASGKPFYDPEATGTLLNELQRLIQINEDRQGTKLWFIIYIMHVKMYPYHINDPEFANALVDSFMAICS >KJB45983 pep chromosome:Graimondii2_0_v6:7:56714741:56715930:-1 gene:B456_007G341700 transcript:KJB45983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRPLEIKVISAKDLKDVNLFTKMDVYVIVSINGDSRTAQRTPVDKNGGSNPEWNYTVRFTVDEAAARQNGLTVVFSLKSQRQLGDKDIGTVQVPVKELLDNGDGNGKGQNNLISYAVRLPNGKAKGVLHFSYKFGDKFTQPPVTVAGAMNVDKRWGNEKPVMAYPPPGSGYMDKPAMAYPPPATGYPGPSSAYPPSHGAYPPPPQTASYPYPQPGGGYPPYGYQQAPVQGYGYPGQGGYSGYPPVQKPQKPKKGGGGMGAGLGLGLAGGLLGGMLIGDMVGDAYEAGLEDGFDFDF >KJB41591 pep chromosome:Graimondii2_0_v6:7:8435879:8441480:1 gene:B456_007G110700 transcript:KJB41591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERAFPAWSWSVEHCLKEYDVRLDKGLSSYKVEKQREKYGWNELAKEKGKPLWRLVLEQFDDMLVKILLVAAFISFLLAYMHGSESEESGFEAYVEPFVIVLILFLNAIVGVWQETNAEKALEALKEMQCESGKVLRDGFLVPDLPARELVPGDIVELQVGDKVPADMRIAALKTSTLRLEQSALTGEAMPVLKGTSPIFQKECELQAKENIVFAGTTVVNGCCVCIVVCTGMNTEIGKIQRQIHEASLEESDTPLKKKLDEFGSRLTTAIGIVCLIVWLINYKNFLSYDMVDGWPANFRFSFEKCTYYFKIAVALAVAAIPEGLPAVITTSLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAEFFTLGGKTTTSRIFHVKGTTYDPKDGGIVDWTCYNMDANLQVMAEICAVCNDAGIFCDGRLFRATGLPTEAALKVLAEKMGVPDAKMRNKIRDSELVANYLIDRSTVKLGCCEWWIKRSKRVATLEFDRVRKSSSIIVREAAGQNRLLAKGAVESLLERSTHVQLADGSLAPMDEPCRQLLLSRQTEMSSKGLRCLGLAYKEDLGEFSDYYSENHPAHKKLLDPACYCSIENDLVFVGVVGLRDPPRDEVHKAIEDCKGAGIRVMVITGDNKSTAEAICREIKLFSDGEDLRGKSFTGKEFMALSPSQQIETLSKPGGKVFSRAEPRHKQEIVRMLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRSIYNNMKAFIRYMISSNVGEVISIFLTAALGLPECMIPVQLLWVNLVTDGPPATALGFNPPDIGIMHKPPRRSDDALINSWVLFRYLIIGSYVGIATVGIFILWYTRASFMGINLVSDGHTLVELSQLHNWGECSTWSNFTVAPYMVGGGQLITFSNPCDYFTAGKVKAMTLSLSVLVAIEMFNSLNALSEDSSLLTLPPWRNPWLLVAMSVSFGLHCLILYVPFLADIFAVAPLSLNEWFLVILVSVPVILIDEILKFVGRSQRYRVKEKTA >KJB41592 pep chromosome:Graimondii2_0_v6:7:8435879:8441479:1 gene:B456_007G110700 transcript:KJB41592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERAFPAWSWSVEHCLKEYDVRLDKGLSSYKVEKQREKYGWNELAKEKGKPLWRLVLEQFDDMLVKILLVAAFISFLLAYMHGSESEESGFEAYVEPFVIVLILFLNAIVGVWQETNAEKALEALKEMQCESGKVLRDGFLVPDLPARELVPGDIVELQVGDKVPADMRIAALKTSTLRLEQSALTGEAMPVLKGTSPIFQKECELQAKENIVFAGTTVVNGCCVCIVVCTGMNTEIGKIQRQIHEASLEESDTPLKKKLDEFGSRLTTAIGIVCLIVWLINYKNFLSYDMVDGWPANFRFSFEKCTYYFKIAVALAVAAIPEGLPAVITTSLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAEFFTLGGKTTTSRIFHVKGTTYDPKDGGIVDWTCYNMDANLQVMAEICAVCNDAGIFCDGRLFRATGLPTEAALKVLAEKMGVPDAKMRNKIRDSELVANYLIDRSTVKLGCCEWWIKRSKRVATLEFDRVRKSSSIIVREAAGQNRLLAKGAVESLLERSTHVQLADGSLAPMDEPCRQLLLSRQTEMSSKGLRCLGLAYKEDLGEFSDYYSENHPAHKKLLDPACYCSIENDLVFVGVVGLRDPPRDEVHKAIEDCKGAGIRVMVITGDNKSTAEAICREIKLFSDGEDLRGKSFTGKEFMALSPSQQIETLSKPGGKVFSRAEPRHKQEIVRMLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRSIYNNMKAFIRYMISSNVGEVISIFLTAALGLPECMIPVQLLWVNLVTDGPPATALGFNPPDIGIMHKPPRRSDDALINSWVLFRYLIIGSYVGIATVGIFILWYTRASFMGINLVSDGHTLVELSQLHNWGECSTWSNFTVAPYMVGGGQLITFSNPCDYFTAGKVKAMTLSLSVLVAIEMFNSLNALSEDSSLLTLPPWRNPWLLVAMSVSFGLHCLILYVPFLADIFAVAPLSLNEWFLVILVSVPVILIDEILKFVGRSQRYRVKEKTA >KJB41739 pep chromosome:Graimondii2_0_v6:7:9662955:9666502:1 gene:B456_007G122200 transcript:KJB41739 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:Projected from Arabidopsis thaliana (AT1G02560) UniProtKB/TrEMBL;Acc:A0A178WMF0] MAHTCISTSASSLRLTSLPFSSKSSTNLDSHNLSLPFHPLPPRKLKKLFSNQKNARGSQPKAVYTGEFWAPEKSSRHGIWSIRDDLQIPSSPYFPAYAQGQGAPPMVQERFQSVITQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPEKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASSMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYHTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLASTADSNE >KJB41741 pep chromosome:Graimondii2_0_v6:7:9662955:9666502:1 gene:B456_007G122200 transcript:KJB41741 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:Projected from Arabidopsis thaliana (AT1G02560) UniProtKB/TrEMBL;Acc:A0A178WMF0] MAHTCISTSASSLRLTSLPFSSKSSTNLDSHNLSLPFHPLPPRKLKKLFSNQKNARGSQPKAVYTGEFWAPEKSSRHGIWSIRDDLQIPSSPYFPAYAQGQGAPPMVQERFQSVITQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPEKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQLQSCSVVVQHPDCYTRVVRRMKCCTIRQI >KJB41740 pep chromosome:Graimondii2_0_v6:7:9663161:9666085:1 gene:B456_007G122200 transcript:KJB41740 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:Projected from Arabidopsis thaliana (AT1G02560) UniProtKB/TrEMBL;Acc:A0A178WMF0] MAHTCISTSASSLRLTSLPFSSKSSTNLDSHNLSLPFHPLPPRKLKKLFSNQKNARGSQPKAVYTGEFWAPEKSSRHGIWSIRDDLQIPSSPYFPAYAQGQGAPPMVQERFQSVITQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPEKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQHVASILFSCCTTP >KJB41737 pep chromosome:Graimondii2_0_v6:7:9662876:9666552:1 gene:B456_007G122200 transcript:KJB41737 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:Projected from Arabidopsis thaliana (AT1G02560) UniProtKB/TrEMBL;Acc:A0A178WMF0] MAHTCISTSASSLRLTSLPFSSKSSTNLDSHNLSLPFHPLPPRKLKKLFSNQKNARGSQPKAVYTGEFWAPEKSSRHGIWSIRDDLQIPSSPYFPAYAQGQGAPPMVQERFQSVITQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPEKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYHTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLASTADSNE >KJB41738 pep chromosome:Graimondii2_0_v6:7:9662955:9665297:1 gene:B456_007G122200 transcript:KJB41738 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:Projected from Arabidopsis thaliana (AT1G02560) UniProtKB/TrEMBL;Acc:A0A178WMF0] MAHTCISTSASSLRLTSLPFSSKSSTNLDSHNLSLPFHPLPPRKLKKLFSNQKNARGSQPKAVYTGEFWAPEKSSRHGIWSIRDDLQIPSSPYFPAYAQGQGAPPMVQERFQSVITQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPEKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAARKEPYPACCGLTFLK >KJB43388 pep chromosome:Graimondii2_0_v6:7:19892287:19894903:1 gene:B456_007G197500 transcript:KJB43388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNREEERKQNLEKENKEGNENENGTVKSCKGFLFYSSALKSHNRDPLCIGIPRSLPQVKSHNVGLAEVKANRNSAVVEDFSFVCAGYSLFMSKNEGSITKDGTKPQLPHCRGLAYLQHLAPPNPNPASAPAHVHSKGDHGVHQHQTRKSTYAVEDDFLNRFTRKANLIASRVARDMCRVGNNIKNNVEDILFSSRRPPK >KJB43390 pep chromosome:Graimondii2_0_v6:7:19892289:19894898:1 gene:B456_007G197500 transcript:KJB43390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNEGSITKDGTKPQLPHCRGLAYLQHLAPPNPNPASAPAHVHSKGDHGVHQHQTRKSTYAVEDDFLNRFTRKANLIASRVARDMCRVGNNIKNNVEDILFSSRRPPK >KJB43394 pep chromosome:Graimondii2_0_v6:7:19892289:19894898:1 gene:B456_007G197500 transcript:KJB43394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNREEERKQNLEKENKEGNENENGTVKSCKGFLFYSSALKSHNRDPLCIGIPRSLPQVKSHNVGLAEVKANRNSAVVEDFSFVCAGYSLFMSKNEGSITKDGTKPQLPHCRGLAVYLQHLAPPNPNPASAPAHVHSKGDHGVHQHQTRKSTYAVEDDFLNRFTRKANLIASRVARDMCRVGNNIKNNVEDILFSSRRPPK >KJB43393 pep chromosome:Graimondii2_0_v6:7:19892289:19894898:1 gene:B456_007G197500 transcript:KJB43393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNREEERKQNLEKENKEGNENENGTVKSCKGFLFYSSALKSHNRDPLCIGIPRSLPQDFSFVCAGYSLFMSKNEGSITKDGTKPQLPHCRGLAYLQHLAPPNPNPASAPAHVHSKGDHGVHQHQTRKSTYAVEDDFLNRFTRKANLIASRVARDMCRVGNNIKNNVEDILFSSRRPPK >KJB43389 pep chromosome:Graimondii2_0_v6:7:19892289:19894898:1 gene:B456_007G197500 transcript:KJB43389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNREEERKQNLEKENKEGNENENGTVKSCKGFLFYSSALKSHNRDPLCIGIPRSLPQVKSHNVGLAEYLQHLAPPNPNPASAPAHVHSKGDHGVHQHQTRKSTYAVEDDFLNRFTRKANLIASRVARDMCRVGNNIKNNVEDILFSSRRPPK >KJB43391 pep chromosome:Graimondii2_0_v6:7:19892442:19893141:1 gene:B456_007G197500 transcript:KJB43391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNREEERKQNLEKENKEGNENENGTVKSCKGFLFYSSALKSHNRDPLCIGIPRSLPQVKSHNVGLAEVKANRNSAVVEDFSFVCAGYSLFMSKNEGSITKDGTKPQLPHCRGLAVAISLSPFSMKFALNSSTMPLCSCIRSLGSFDSLCKLGLVVQKCLYLVFS >KJB43392 pep chromosome:Graimondii2_0_v6:7:19892289:19894898:1 gene:B456_007G197500 transcript:KJB43392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNREEERKQNLEKENKEGNENENGTVKSCKGFLFYSSALKSHNRDPLCIGIPRSLPQVKSHNVGLAEVKANRNSAVVEDFSFVCAGYSLFMSKNEGSITKDGTKPQLPHCRGLAHLAPPNPNPASAPAHVHSKGDHGVHQHQTRKSTYAVEDDFLNRFTRKANLIASRVARDMCRVGNNIKNNVEDILFSSRRPPK >KJB39488 pep chromosome:Graimondii2_0_v6:7:1251117:1251985:-1 gene:B456_007G016100 transcript:KJB39488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSGNPLYRCRNCKNPLALIDDLLSKNFIAKSGKAYMFEHAMNIVLGPKYDKQLITGRFSIADVFCSKCGEELGWKYVQSYDLKNRYKEGKFILEELKMFQEY >KJB39487 pep chromosome:Graimondii2_0_v6:7:1251126:1251851:-1 gene:B456_007G016100 transcript:KJB39487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSGNPLYRCRNCKNPLALIDDLLSKNFIAKSGKAYMFEHAMNIVLGPKYDKQLITGRFSIADVFCSKCGEELGWKYVQSYDLKNRYKEGKFILEELKMFQEY >KJB39568 pep chromosome:Graimondii2_0_v6:7:1474333:1478731:1 gene:B456_007G020000 transcript:KJB39568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAFIRVADREKKKQKGRQNSNRDFSLDLTVVFPYIAIFMLFFSTGDGIEGLRKWILFAEASTAGGGGGGGFWSYYSVVSPSGIAIAVTAMAGIALAATIVYSRRGSLKSPWALRKRKYALQPKQWKSLFTLDGKLGDGGVKFLKKVRSGGVDPSIRAEVWPFLLGVYDLNSSKEERDSIRRQKRKEFERLRKWCRQILKRIENSSTVKETAGNYCNEDSEDLSQVVDSSGLEDVVSGRRSTEGESPMVDDSENSSKVKETAGNNCNEDSEDLSQVVDSSGLEDVVSGRRSTKGESPMVDDSDRPPRDQSHQTLVSSDSDSEGGIDKSEITCEDDSPGETESSGSDTSDEDENIPLFASEITEENNIDKDDNSSASRIKSRSKSHADEDFATWQRIIRVDAVRANDEWIAYSSTQALVSEMKAYQLAESVGLKEYDHLEPCRIYHAARLVAILEAYTLYDPEIGYCQGMSDLLSPIISVVEDDSEAFWCFAGFMKKARHNFRLDEVGIRRQLNIVSKIIKCKDSHLYRHLEELQAEDCFFVYRMVVVLFRRELSFEQTLCLWEVVWADQAAIRAGITKTSWGRMRLRAPPTDDLLLYAIAACVLQRRKLIIEMYSSMDEIMRECHSMAGRLDVWKLLDDAHGLVVNLHDKI >KJB39571 pep chromosome:Graimondii2_0_v6:7:1476401:1478731:1 gene:B456_007G020000 transcript:KJB39571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRYDLNSSKEERDSIRRQKRKEFERLRKWCRQILKRIENSSTVKETAGNYCNEDSEDLSQVVDSSGLEDVVSGRRSTEGESPMVDDSENSSKVKETAGNNCNEDSEDLSQVVDSSGLEDVVSGRRSTKGESPMVDDSDRPPRDQSHQTLVSSDSDSEGGIDKSEITCEDDSPGETESSGSDTSDEDENIPLFASEITEENNIDKDDNSSASRIKSRSKSHADEDFATWQRIIRVDAVRANDEWIAYSSTQALVSEMKAYQLAESVGLKEYDHLEPCRIYHAARLVAILEAYTLYDPEIGYCQGMSDLLSPIISVVEDDSEAFWCFAGFMKKARHNFRLDEVGIRRQLNIVSKIIKCKDSHLYRHLEELQAEDCFFVYRMVVVLFRRELSFEQTLCLWEVVWADQAAIRAGITKTSWGRMRLRAPPTDDLLLYAIAACVLQRRKLIIEMYSSMDEIMRECHSMAGRLDVWKLLDDAHGLVVNLHDKI >KJB39569 pep chromosome:Graimondii2_0_v6:7:1474348:1478731:1 gene:B456_007G020000 transcript:KJB39569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAFIRVADREKKKQKGRQNSNRDFSLDLTVVFPYIAIFMLFFSTGDGIEGLRKWILFAEASTAGGGGGGGFWSYYSVVSPSGIAIAVTAMAGIALAATIVYSRSLKSPWALRKRKYALQPKQWKSLFTLDGKLGDGGVKFLKKVRSGGVDPSIRAEVWPFLLGVYDLNSSKEERDSIRRQKRKEFERLRKWCRQILKRIENSSTVKETAGNYCNEDSEDLSQVVDSSGLEDVVSGRRSTEGESPMVDDSENSSKVKETAGNNCNEDSEDLSQVVDSSGLEDVVSGRRSTKGESPMVDDSDRPPRDQSHQTLVSSDSDSEGGIDKSEITCEDDSPGETESSGSDTSDEDENIPLFASEITEENNIDKDDNSSASRIKSRSKSHADEDFATWQRIIRVDAVRANDEWIAYSSTQALVSEMKAYQLAESVGLKEYDHLEPCRIYHAARLVAILEAYTLYDPEIGYCQGMSDLLSPIISVVEDDSEAFWCFAGFMKKARHNFRLDEVGIRRQLNIVSKIIKCKDSHLYRHLEELQAEDCFFVYRMVVVLFRRELSFEQTLCLWEVVWADQAAIRAGITKTSWGRMRLRAPPTDDLLLYAIAACVLQRRKLIIEMYSSMDEIMRECHSMAGRLDVWKLLDDAHGLVVNLHDKI >KJB39570 pep chromosome:Graimondii2_0_v6:7:1474348:1478731:1 gene:B456_007G020000 transcript:KJB39570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAFIRVADREKKKQKGRQNSNRDFSLDLTVVFPYIAIFMLFFSTGDGIEGLRKWILFAEASTAGGGGGGGFWSYYSVVSPSGIAIAVTAMAGIALAATIVYSRSNFVSFCRGSLKSPWALRKRKYALQPKQWKSLFTLDGKLGDGGVKFLKKVRSGGVDPSIRAEVWPFLLGVYDLNSSKEERDSIRRQKRKEFERLRKWCRQILKRIENSSTVKETAGNYCNEDSEDLSQVVDSSGLEDVVSGRRSTEGESPMVDDSENSSKVKETAGNNCNEDSEDLSQVVDSSGLEDVVSGRRSTKGESPMVDDSDRPPRDQSHQTLVSSDSDSEGGIDKSEITCEDDSPGETESSGSDTSDEDENIPLFASEITEENNIDKDDNSSASRIKSRSKSHADEDFATWQRIIRVDAVRANDEWIAYSSTQALVSEMKAYQLAESVGLKEYDHLEPCRIYHAARLVAILEAYTLYDPEIGYCQGMSDLLSPIISVVEDDSEAFWCFAGFMKKARHNFRLDEVGIRRQLNIVSKIIKCKDSHLYRHLEELQAEDCFFVYRMVVVLFRRELSFEQTLCLWEVVWADQAAIRAGITKTSWGRMRLRAPPTDDLLLYAIAACVLQRRKLIIEMYSSMDEIMRECHSMAGRLDVWKLLDDAHGLVVNLHDKI >KJB43863 pep chromosome:Graimondii2_0_v6:7:25454604:25455227:1 gene:B456_007G220500 transcript:KJB43863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRHTFHSYSAMSHFLIAHNMFPQARFLLNFVVSRKGKGSASSVWALVLETKGTHQCNFVFDSLMIAMMKISSLIVSLGFYMEILKYGFPPNVYSFNILMNKLCREDLIKDVQMVFDEIERRGLNASIVSFNTFFNRYCKSMNLDEGFRLRTV >KJB45471 pep chromosome:Graimondii2_0_v6:7:52170186:52171215:1 gene:B456_007G307900 transcript:KJB45471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAYSFVHEPSSSYGGLVLNQDFSIIWDTAGQERFQSLGVAFYCGAHCCVLVHDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSETKGPSMVCLETSAKEGVNVEEAFQCIAKDALKSGEEEDMLIKNHKVVLQTRHSALSNGKPFSCFKQRLSPVSSAPFSCF >KJB39409 pep chromosome:Graimondii2_0_v6:7:947644:949731:-1 gene:B456_007G011900 transcript:KJB39409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRKRDGSRGIGSETIGIGSFGKVKVAEHNLDLTKIKTKAMEEKVRREINISRLFMHPHIIRLYEVIETTTDIFMVTEYAESGELFDYIVLKRRLHEDEARKLFQQIISGVEYCHKNMVVHRDLKPENLLLDSNYNVKIADFGLSNVMQKGHLLKTCCGSPNYAAPELWSYLYALLCGTLPFEDDNFPNLYKKIKAGVYTIPSHLSPGARDLIARILIVDPTKRLTIPGIRQHPWFQPYLPRYLAVSPTDTTQQAQNIDDDIFQHVVRVGFERNHLVESLCNRVQNEGTVVYHLLLDNRLGVSSDYLGGAEFQAFLFQIMMEVLLKALRAIHVHWENIRNYNIKWETTMVTLRLNHRSPS >KJB40779 pep chromosome:Graimondii2_0_v6:7:5446205:5447479:1 gene:B456_007G076900 transcript:KJB40779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRESERKSFFSLPSRTLNRNFLTVSSLLHKMPPQNKAEEAAVCSDDNIQVAKFANGGCCFWMPCNSAVGPIWWQHLAVYNNDIDSESSMPSSDNEAWWTRGWRRFREWSELVAGPKWKTFVRRFKNNRTGNGGGKFHYDPLSYALNFDEGPGGNGNYDDDYFKRNFSYRPKKKKTITFTSTRKRSVVCSEAVNIKNYIILMVFVEYYFY >KJB40778 pep chromosome:Graimondii2_0_v6:7:5446322:5446903:1 gene:B456_007G076900 transcript:KJB40778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRESERKSFFSLPSRTLNRNFLTVSSLLHKMPPQNKAEEAAVCSDDNIQVAKFANGGCCFWMPCNSAVGPIWWQHLAVYNNDIDSESSMPSSDNEAWWTRGWRRFREWSELVAGPKWKTFVRRFKNNRTGNGGGKFHYDPLSYALNFDEGPGGNGNYDDDYFKRNFSYRYATLPLSTKPSLDFDKEEPLLV >KJB39755 pep chromosome:Graimondii2_0_v6:7:2032887:2034689:1 gene:B456_007G029500 transcript:KJB39755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIGVGLTLPAHNLNVFNARRASFKCQKSPLNPTSRTHVSSASSTELTRETLSNLEKLLQKSNQPDPERVVKTSTSNGSIETKGKGLLEGLNLSRIWPEMKAAEEMSPRHLNRLQRLLSKTMEYSPRNNLGSRWREYHGCNDWSGLLDPLDENLRREVVRYGEFVQAAYHGFHSNPAMSTDEAPLPRHVALPDRSYKVTKSLYTTSSVGLPKWVDDVAPDLGWMTQRSSWIGYVAVCDDKREIHRMGRRDIVIALRGTATCMEWAENFRAQLDQIPESDDPTQRVERGFLSLHKTRGAHVPSLAELVVEEVQRLIEMYKGEPLSITITGHSLGAALSLLVADEISSCAPHVPPVAVFSFGGPRVVPGIFIGEKVQQQQQQDNPWTYSHVGTELRVDTKMSPYLKSNVDIACCHDLEAYLHLVDGYLSSKCPFRSNAKRSLAKLLHDQGSNVKQLYTHKALSLNLERDRFSFPMPSCLPSPSQ >KJB39754 pep chromosome:Graimondii2_0_v6:7:2032887:2034689:1 gene:B456_007G029500 transcript:KJB39754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIGVGLTLPAHNLNVFNARRASFKCQKSPLNPTSRTHVSSASSTELTRETLSNLEKLLQKSNQPDPERVVKTSTSNGSIETKGKGLLEGLNLSRIWPEMKAAEEMSPRHLNRLQRLLSKTMEYSPRNNLGSRWREYHGCNDWSGLLDPLDENLRREVVRYGEFVQAAYHGFHSNPAMSTDEAPLPRHVALPDRSYKVTKSLYTTSSVGLPKWVDDVAPDLGWMTQRSSWIGYVAVCDDKREIHRMGRRDIVIALRGTATCMEWAENFRAQLDQIPESDDPTQRVERGFLSLHKTRGAHVPSLAELVVEEVQRLIEMYKGEPLSITITGHSLGAALSLLVADEISSCAPHVPPVAVFSFGGPRVGNKGFVERLNKKNVKVLRIVNNQDLITKVPGIFIGEKVQQQQQQDNPWTYSHVGTELRVDTKMSPYLKSNVDIACCHDLEAYLHLVDGYLSSKCPFRSNAKRSLAKLLHDQGSNVKQLYTHKALSLNLERDRFSFPMPSCLPSPSQ >KJB42001 pep chromosome:Graimondii2_0_v6:7:10716496:10722413:1 gene:B456_007G132300 transcript:KJB42001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKQEKNTTSEESVKLFVGQVPKHMTEAQVLSIFEEFALVDEVNIIKDKATLSSRGCCFVICPSREEADKAVDACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVKEDEVADLFSKYGTIKDLQIIRGSQQTSKGCAFLKYETKEQALDALQAVNGKHRMEGSSVPLVVKWADTEKERLARRAQKAQFQALNKPNVDSQHPSLFGALPMGYVPPYNGYGYQAPGSYGLMQYRMPPMQNQSAFHSMIPPVNQASGLRGITPDLAPRAGPRNYAVPPGSYVGSAYPAVQGVQYPMAYPGGIISHHPLTSSPGSLPQANTSSNSSSASSVGTSSGSQIEGPPGANLFIYHIPQEFGDQELTNAFQGYGRVLSAKVFVDKATGASKCFGFVSYDSPAAAQNAINMMNGCQLGGKKLKVQLKRDNKQNKPY >KJB42004 pep chromosome:Graimondii2_0_v6:7:10716598:10722318:1 gene:B456_007G132300 transcript:KJB42004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKNVKEDEVADLFSKYGTIKDLQIIRGSQQTSKGCAFLKYETKEQALDALQAVNGKHRMEGSSVPLVVKWADTEKERLARRAQKAQFQALNKPNVDSQHPSLFGALPMGYVPPYNGYGYQAPGSYGLMQYRMPPMQNQSAFHSMIPPVNQASGLRGITPDLAPRAGPRNYAVPPGSYVGSAYPAVQGVQYPMAYPGGIISHHPLTSSPGSLPQANTSSNSSSASSVGTSSGSQIEGPPGANLFIYHIPQEFGDQELTNAFQGYGRVLSAKVFVDKATGASKCFGFVSYDSPAAAQNAINMMNGCQLGGKKLKVQLKRDNKQNKPY >KJB42002 pep chromosome:Graimondii2_0_v6:7:10716598:10722318:1 gene:B456_007G132300 transcript:KJB42002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKQEKNTTSEESVKLFVGQVPKHMTEAQVLSIFEEFALVDEVNIIKDKATLSSRGCCFVICPSREEADKAVDACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVKEDEVADLFSKYGTIKDLQIIRGSQQTSKGCAFLKYETKEQALDALQAVNGKHRMEGSSVPLVVKWADTEKERLARRAQKAQFQALNKPNVDSQHPSLFGALPMGYVPPYNGYGYQAPGSYGLMQYRMPPMQNQSAFHSMIPPVNQASGLRGITPDLAPRAGPRNYAVPPGSYVGSAYPAVQGVQYPMAYPGGIISHHPLTSSPGSLPQANTSSNSSSASSVGTSSGSQIEGPPGANLFIYHIPQEFGDQELTNAFQGYGRVLSAKVFVDKATGASKCFGKCIFFLFLDLI >KJB42003 pep chromosome:Graimondii2_0_v6:7:10717963:10722318:1 gene:B456_007G132300 transcript:KJB42003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKNVKEDEVADLFSKYGTIKDLQIIRGSQQTSKGCAFLKYETKEQALDALQAVNGKHRMEGSSVPLVVKWADTEKERLARRAQKAQFQALNKPNVDSQHPSLFGALPMGYVPPYNGYGYQAPGSYGLMQYRMPPMQNQSAFHSMIPPVNQASGLRGITPDLAPRAGPRNYAVPPGSYVGSAYPAVQGVQYPMAYPGGIISHHPLTSSPGSLPQANTSSNSSSASSVGTSSGSQIEGPPGANLFIYHIPQEFGDQELTNAFQGYGRVLSAKVFVDKATGASKCFGFVSYDSPAAAQNAINMMNGCQLGGKKLKVQLKRDNKQNKPY >KJB40887 pep chromosome:Graimondii2_0_v6:7:5825677:5828192:-1 gene:B456_007G081600 transcript:KJB40887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGQSLVLSKGKQCKSLLKHYIAAKSLTETTQLHALIITSGLLSPHLCSDLSCSYACCGHIDTARKLFDEIPHPTLFPYNMMLKMYTKNGFYLETLNLFLEMVNLGKCMADNYTYPFVFKAIGEEKLVDFGRVVHGRVLMGGFEKDSFVMNSLLAMYMNCGKKEEGGKVFDSMLKPNVVSWNSMISGYFKNGRAKEALEIFNKMVDDEVVVDCATVVSVLPVCGFLKELEVGRRVHELVKEKGWEKEVVVRNALVDMFAKCGSMEEARLVFDGMVQRDVVTWTSLINVYISNGDLRAALRLCFLMVLEGVKPNSVTLASLLSACGESNNLMDGRCLHGWAIRQKLEADVMVETSLIDMYAKCNRFDLSFQVFKRTSKRKTVPWNAILAGCIHNRLGNEAIKLFKEMLIEGMKPDGATLKSFLPAYAIHADLQQAMNMHSYLVRSGLLSNSEIATAVVDIYSKCGHLESAYKIFSGIPDKKKDIYLWSVIIAGFGAHGHGEIAVSLFKEMVRAGVKPNEVTFTSVLHACSHAGLVDEGLDLFKFMLINHQISPADDHYTCIVDLLGRSGRLDEAYDIIRTMPYTPSHAVWGALLGACVIHENAELGEKAAKWLFELEPENTGNYVLMAKIYSAVGRWKDAENMRRIINDIGLRKAPAHSLIQSKMEAKLNQENPVIYVARAQIEVLDIDT >KJB44388 pep chromosome:Graimondii2_0_v6:7:39296973:39300547:-1 gene:B456_007G249900 transcript:KJB44388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMDPQAFIRLSIGSLGLRIPGSALKSSKTGIRAFSSPCSCEIRLRGFPVQTTSIPLVSSPEATPDIHSIASSFYLEDSDVKALLTPGCFYNPHAYLEITVFTGWKGSHCGVGVKRQQIGTFKLEVGPEWGQGKPVILFNGWIGIGKNKHEGGKPGAELHLRVQLDPDPRYVFQFEDVTMLSPQIVQLRGSIKQPIFSCEFSRDRVAKVDPLGTYWTGSADSSDIETERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGQRVGCLGESLRRGVSVALETLFAVGFTCCPKPKMELRFSCPRCSLVPKRVGNFSLILTDKCGRDQLQYPVRKAVETFQH >KJB44387 pep chromosome:Graimondii2_0_v6:7:39296973:39300584:-1 gene:B456_007G249900 transcript:KJB44387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMDPQAFIRLSIGSLGLRIPGSALKSSKTGIRAFSSPCSCEIRLRGFPVQTTSIPLVSSPEATPDIHSIASSFYLEDSDVKALLTPGCFYNPHAYLEITVFTGWKGSHCGVGVKRQQIGTFKLEVGPEWGQGKPVILFNGWIGIGKNKHEGGKPGAELHLRVQLDPDPRYVFQFEDVTMLSPQIVQLRGSIKQPIFSCEFSRDRVAKVDPLGTYWTGSADSSDIETERRERKGWKARELAALGKA >KJB44389 pep chromosome:Graimondii2_0_v6:7:39297803:39298853:-1 gene:B456_007G249900 transcript:KJB44389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMDPQAFIRLSIGSLGLRIPGSALKSSKTGIRAFSSPCSCEIRLRGFPVQTTSIPLVSSPEATPDIHSIASSFYLEDSDVKALLTPGCFYNPHAYLEITVFTGWKGSHCGVGVKRQQIGTFKLEVGPEWGQGKPVILFNGWIGIGKNKHEGGKPGAELHLRVQLDPDPRYVFQFEDVTMLSPQIVQLRGSIKQPIFSCEFSRDRVAKVDPLGTYWTGSADSSDIETERRERKGWKVVIGLPSPTQELG >KJB44386 pep chromosome:Graimondii2_0_v6:7:39297330:39298853:-1 gene:B456_007G249900 transcript:KJB44386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMDPQAFIRLSIGSLGLRIPGSALKSSKTGIRAFSSPCSCEIRLRGFPVQTTSIPLVSSPEATPDIHSIASSFYLEDSDVKALLTPGCFYNPHAYLEITVFTGWKGSHCGVGVKRQQIGTFKLEVGPEWGQGKPVILFNGWIGIGKNKHEGGKPGAELHLRVQLDPDPRYVFQFEDVTMLSPQIVQLRGSIKQPIFSCEFSRDRVAKVDPLGTYWTGSADSSDIETERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWLIVRPDICRPESWLPWGKLEAWRERGIRDSICCRFHLLSEAQDGAEVLMSEMLISAEKGGEFFIDTDRQMRQGPTPIPSPQSSGDFSALSPIAGGFVMSCRVQGEGKSSKPLVQLAMRHVTCVEDAAIFMALAAAVDLSIEACKPFRRKIRIGSRHSL >KJB44390 pep chromosome:Graimondii2_0_v6:7:39298068:39300584:-1 gene:B456_007G249900 transcript:KJB44390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMDPQAFIRLSIGSLGLRIPGSALKSSKTGIRAFSSPCSCEIRLRGFPVQTTSIPLVSSPEATPDIHSIASSFYLEDSDVKALLTPGCFYNPHAYLEITVFTGWKGSHCGVGVKRQQIGTFKLEVGPEWGQGKPVILFNGWIGIGKNKHEGGKPGAELHLRVQLDPDPRYVFQFEDVTMLSPQIVQLRGSIKQPIFSCEFSRDRLCKTI >KJB41007 pep chromosome:Graimondii2_0_v6:7:6239359:6240121:1 gene:B456_007G087100 transcript:KJB41007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDHTNIENDAHHNMLISSSSVTSTTATASPSSMIYSFSDSDHQNERSCSKNSDNEKKKRQRSSDIGEGKKHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTYHTAEMAARAHDVAALAIKGRSAYLNFPQLAKLLPRPASTSPKDVQAAASLAAASTFLDTRRCNIEAEAEASKEDEVPPSNLSQASSSPSIDDDDTLFDLPDLMIDVTDRSDGFGSYSSTWQICAVDAGFRIEEPFSWDYY >KJB39590 pep chromosome:Graimondii2_0_v6:7:1529417:1532981:-1 gene:B456_007G020900 transcript:KJB39590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWKDHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVIQLPVGAEDNFKGVIDLVKMKAVLWSGEELGAKFEYADIPADLQELAEEYRSQMIETIVELDDQAMENYLEGVEPDEETIKKLIRKGTIGISFVPVLCGSAFKNKGVQPLLDAVMDYLPSPLELPAMKGTDPENPEVIIERTASDEEPFSGLAFKIMTDPFVGSLTFVRVYSGKLAAGSYVLNANKGKKERIGRLLEMHANSREDVKVALAGDIVALAGLKDTITGETLSDPDHPIVLERMDFPDPVIKVAIEPKTKADVDKMANGLIKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKVSEVKYVHKKQSGGQGQFADITVRFEPMEAGSGYEFKSEIKGGAVPKEYIPGVMKGLEECMCNGVLAGFPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFREGIRKAGPRMLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDALVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNELATKQQEVVA >KJB39591 pep chromosome:Graimondii2_0_v6:7:1530484:1532928:-1 gene:B456_007G020900 transcript:KJB39591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETALRVSSSSSTVCNLNGFQRRPTPLSSSTRFLGLRPRASSSSISSSLSQFMGSVRIGSRLPISRQQKGKRRNFSLFAMAADESKRAVPLKDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWKDHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVIQLPVGAEDNFKGVIDLVKMKAVLWSGEELGAKFEYADIPADLQELAEEYRSQMIETIVELDDQAMENYLEGVEPDEETIKKLIRKGTIGISFVPVLCGSAFKNKGVQPLLDAVMDYLPSPLELPAMKGTDPENPEVIIERTASDEEPFSGLAFKIMTDPFVGSLTFVRVYSGKLAAGSYVLNANKGKKERIGRLLEMHANSREDVKVALAGDIVALAGLKDTITGETLSDPDHPIVLERMDFPDPVIKVAIEPKTKADVDKMANGLIKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVCSNI >KJB39589 pep chromosome:Graimondii2_0_v6:7:1529375:1533057:-1 gene:B456_007G020900 transcript:KJB39589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETALRVSSSSSTVCNLNGFQRRPTPLSSSTRFLGLRPRASSSSISSSLSQFMGSVRIGSRLPISRQQKGKRRNFSLFAMAADESKRAVPLKDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWKDHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVIQLPVGAEDNFKGVIDLVKMKAVLWSGEELGAKFEYADIPADLQELAEEYRSQMIETIVELDDQAMENYLEGVEPDEETIKKLIRKGTIGISFVPVLCGSAFKNKGVQPLLDAVMDYLPSPLELPAMKGTDPENPEVIIERTASDEEPFSGLAFKIMTDPFVGSLTFVRVYSGKLAAGSYVLNANKGKKERIGRLLEMHANSREDVKVALAGDIVALAGLKDTITGETLSDPDHPIVLERMDFPDPVIKVAIEPKTKADVDKMANGLIKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKVSEVKYVHKKQSGGQGQFADITVRFEPMEAGSGYEFKSEIKGGAVPKEYIPGVMKGLEECMCNGVLAGFPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFREGIRKAGPRMLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDALVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNELATKQQEVVA >KJB42109 pep chromosome:Graimondii2_0_v6:7:11266441:11273882:1 gene:B456_007G137400 transcript:KJB42109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHSFLSLPSSSPSSIISFSNNQYQPPLPFAGIWPLWGKDKGHNVDIRPLCRAISKPRTQEYAGVFQNGLPVIKWKEIVDDDIEEEEALKVYESNKVKERVDTIKSMLGSMEDGEISSSAYDTAWVALVEDVSGRGAPQFPSSLEWIANNQLPDGSWGDRQIFMAHDRLINTLACVIALKTWGIHPDKCQKGVSFFKDNISKLENESEEHMPIGFEVAFPSLLEIARSLDIEVPYDSPVFIDIYAKRDLKLTRIPKEIMHNVPTTLLHSLEGMPDLDWEKLLKLQCIDGSFLFSPSSTAFALMQTKDENCLRYLMKTVQRFNGGVPNVYPVDLFEHIWTVDRLQRLGISRYFHPEIKECLDYVYRYWTEDGISWARNTRVYDIDDTAMGFRLLRLHGYEVSADVFRHFEKGGEFFCFVGQSNQAITGIFNLYRASQVLFPGEKILEDAKRFSSTFLTQKQAADELLDKWIITKDLPGEVGLALKLPWYASLPRVETRFYIEQYGGEDDVWIGKTLYRMRYVNNNVFLDLAKQDYNNCQALHRMEWDSMQKWYSEMGLADFGVTRRSLLLTYFMAAASIFEPEKSQERLAWAKTAFLVDTISSSFDNARKPKDLRNSFLLVFRTVVDARFGHINASKLDSNRTIQKMIDILLRTLNHLSLDALVAHGRDISCSIRRAWEKWMLMWVEDGDRHRGLAELVVQTINLSSGRWSLDEVLSHPQYDPLSSLTNSVCHQLYHRQMLKVHVNGCYTNETENSITREIDSNMQELVQLVLQNPSAVDDQTSEFKQTFLTVARSFYYAAHCDLDTITFHIAKVLFEKVR >KJB42110 pep chromosome:Graimondii2_0_v6:7:11266825:11273882:1 gene:B456_007G137400 transcript:KJB42110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEFKLPVLCFLLSFVSLGFWFLQQGSFILFGSSGKIHGIWPLWGKDKGHNVDIRPLCRAISKPRTQEYAGVFQNGLPVIKWKEIVDDDIEEEEALKVYESNKVKERVDTIKSMLGSMEDGEISSSAYDTAWVALVEDVSGRGAPQFPSSLEWIANNQLPDGSWGDRQIFMAHDRLINTLACVIALKTWGIHPDKCQKGVSFFKDNISKLENESEEHMPIGFEVAFPSLLEIARSLDIEVPYDSPVFIDIYAKRDLKLTRIPKEIMHNVPTTLLHSLEGMPDLDWEKLLKLQCIDGSFLFSPSSTAFALMQTKDENCLRYLMKTVQRFNGGVPNVYPVDLFEHIWTVDRLQRLGISRYFHPEIKECLDYVYRYWTEDGISWARNTRVYDIDDTAMGFRLLRLHGYEVSADVFRHFEKGGEFFCFVGQSNQAITGIFNLYRASQVLFPGEKILEDAKRFSSTFLTQKQAADELLDKWIITKDLPGEVGLALKLPWYASLPRVETRFYIEQYGGEDDVWIGKTLYRMRYVNNNVFLDLAKQDYNNCQALHRMEWDSMQKWYSEMGLADFGVTRRSLLLTYFMAAASIFEPEKSQERLAWAKTAFLVDTISSSFDNARKPKDLRNSFLLVFRTVVDARFGHINASKLDSNRTIQKMIDILLRTLNHLSLDALVAHGRDISCSIRRAWEKWMLMWVEDGDRHRGLAELVVQTINLSSGRWSLDEVLSHPQYDPLSSLTNSVCHQLYHRQMLKVHVNGCYTNETENSITREIDSNMQELVQLVLQNPSAVDDQTSEFKQTFLTVARSFYYAAHCDLDTITFHIAKVLFEKVR >KJB43380 pep chromosome:Graimondii2_0_v6:7:19767023:19771566:1 gene:B456_007G197000 transcript:KJB43380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMRLLKPLVVSVSSLFFVALSGFAHGFVMTPRSLINRLSQSSYYLTTKELWFDQTLDHYSPYDHRQFKQRYYEFLDYFQVTDGPIFLKICGESSCNGISNDYLGVLAKKFGAAVVSLEHRYYGKSSPFKSHTTENLKYLSSKQALFDLAVFRQWYQESLNLKRNRTGAENSWFVFGVSYSGALSAWFRLKFPHLTCGSLASSAVVHAVYNYTDYDKQVGESAGPECKAVLQEITELVDRSLETNKKELKEQFGAAELEIDGDFLYFLADAAVVVFQYGHPDALCTPLVEAKKAGVDLVAAYAKYVKEYFVGTFCVSVETYNQKHLKNTAVKEGSSDRLWWFQVCTEVAYFQVAPSNDTVRSSKIDTKYHLDLCKNVFGEGIYPEVDVTNIYYGGTNIAGSKIIFTNGSQDPWRHASKQTSSPGSNAQSCNIPDAVNKVRQKMIEHINLWLSECKGSGWHSM >KJB43381 pep chromosome:Graimondii2_0_v6:7:19767038:19771566:1 gene:B456_007G197000 transcript:KJB43381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMRLLKPLVVSVSSLFFVALSGFAHGFVMTPRSLINRLSQSSYYLTTKELWFDQTLDHYSPYDHRQFKQRYYEFLDYFQVTDGPIFLKICGESSCNGISNDYLGVLAKKFGAAVVSLEHRYYGKSSPFKSHTTENLKYLSSKQALFDLAVFRQWYQESLNLKRNRTGAENSWFVFGVSYSGALSAWFRLKFPHLTCGSLASSAVVHAVYNYTDYDKQVGESAGPECKAVLQEITELVDRSLETNKKELKEQFGAAELEIDGDFLYFLADAAVVVFQYGHPDALCTPLVEAKKAGVDLVAAYAKYVKEYFVGTFCVSVETYNQKHLKNTAVKEGSSDRLWWFQVCTEVAYFQVAPSNDTVRSSKIDTKYHLDLCKNVFGEGIYPEVDVTNIYYGGTNIAGSKIIFTNGSQDPWRHASKQTSSPGMPSYIITCHNCGHGIDMRECPQSVSSIEGKNCIANGI >KJB43379 pep chromosome:Graimondii2_0_v6:7:19766949:19771566:1 gene:B456_007G197000 transcript:KJB43379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMRLLKPLVVSVSSLFFVALSGFAHGFVMTPRSLINRLSQSSYYLTTKELWFDQTLDHYSPYDHRQFKQRYYEFLDYFQVTDGPIFLKICGESSCNGISNDYLGVLAKKFGAAVVSLEHRYYGKSSPFKSHTTENLKYLSSKQALFDLAVFRQWYQESLNLKRNRTGAENSWFVFGVSYSGALSAWFRLKFPHLTCGSLASSAVVHAVYNYTDYDKQVGESAGPECKAVLQEITELVDRSLETNKKELKEQFGAAELEIDGDFLYFLADAAVVVFQYGHPDALCTPLVEAKKAGVDLVAAYAKYVKEYFVGTFCVSVETYNQKHLKNTAVKEGSSDRLWWFQVCTEVAYFQVAPSNDTVRSSKIDTKYHLDLCKNVFGEGIYPEVDVTNIYYGGTNIAGSKIIFTNGSQDPWRHASKQTSSPGMPSYIITCHNCGHGIDMRECPQSVSSIEGNAQSCNIPDAVNKVRQKMIEHINLWLSECKGSGWHSM >KJB44056 pep chromosome:Graimondii2_0_v6:7:29508594:29513247:-1 gene:B456_007G232400 transcript:KJB44056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWASHIRTKQSKWMEQNLKDIEEKVSIVIKLIDEDGDSFAKRAEMYYKKRPELIQFVEEFYKAYRALAERYDHISTELQNANNTIASVCPEQAQFAMVDDEEYGSPKFTKSPVNYKGDVPKVPDLPVKDLKSLITSATKKTEPKKPTKVTTDAVPKSGLTKAEGLEEIHKLQKRILALQTEKEFVKGSYESGLAKYWEIEDEIKALQEKVYSLEDEFGEGKVIEDDEARTLMTAKALQSCKETLAQMQEKQERSVVEAEVEQKRIKDAREKLDSLKNKFHLTEVGWERPSDVHNSGIVAERSKKFEQEASDTTQKRKEMETSIPKIKEQFEVGLCESLTVAEMVEKVDELVNKVISLETAFSSQEALIQRLRTEADELQAQIQTLEDVKATLIHGKNDLRNKLMEMEEKFHGIQDLNQRVEDQNNNLQTHFTEAHSNIDHLSEKVHSMKPGEKLEIEKSSSGEAKSSKEEEIGDYGKMPKEVKAGKEFVVEHASERENSPAEVKSSKESEEQERRNLDASDGCKSLQSAKQAKVVVSDSLSREEDCVVKVSSTKEPEERGEKLDHSDGCTKGGDVESEVREDLKLEKGEEAEEHDSVRTSTDKGGIHHEISKPSEKCEDLIVENKVDKQAPLLTVDNTLVKVESKEQERRLEDASKGGDTEPKAREDLKREEREEAEEHDSVRTSTNEGGVHHETSKPSEKREDLVAEDKVDKQAPLLTVDAVAKVGSKDQERGQEDESKGGDTEPKAREDLKLEEEEDAKELDSVRTSANEGGVHHETSEPSEKHEDQVAEDEVDKQAPLLTVDAVAKVGSKDQERGQEDESKGGDTEPKAREDLKLEEEDAKEHDSVRTSTNEGGVHHETSKPLEKYEDLIAEDKVDKQTPFLTMDTVAKVGSKDQERGEEDEPDWKQLFSKGMGDRERTLLIEYTMALRKYKEVKKKLVEVEANNQNMLFDIMLQLKELKSHNAMKDEEIRSLRQKLNLLQTSIAEINSTDQYVDPRISTEKLVVTETSIAPAHKEEGNEPTVMNPPSTMSAIEAKFRMNIDALLEENLEFWFRFGTAFHEVQKFENGVKDLVGEVSKLGERQKQEGSSTKKYSLKSDVRPLYEHLKEIQHEVTLWVENSASLKEELKKRFSSLCEIQEEITKALKASAEDDDFSFTSYQAVKFQGEILNMKQENNKVADELQAGYNRVTALQIEIERNLAKLSDDWGLSGSKSHQSSEPQQSHSRSGVPLRSFIFGAKLKKQKASIFSFVQPTLHRKFSSSRSGNQ >KJB44058 pep chromosome:Graimondii2_0_v6:7:29508599:29513236:-1 gene:B456_007G232400 transcript:KJB44058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWASHIRTKQSKWMEQNLKDIEEKVSIVIKLIDEDGDSFAKRAEMYYKKRPELIQFVEEFYKAYRALAERYDHISTELQNANNTIASVCPEQAQFAMVDDEEYGSPKFTKSPVNYKGDVPKVPDLPVKDLKSLITSATKKTEPKKPTKVTTDAVPKSGLTKAEGLEEIHKLQKRILALQTEKEFVKGSYESGLAKYWEIEDEIKALQEKVYSLEDEFGEGKVIEDDEARTLMTAKALQSCKETLAQMQEKQERSVVEAEVEQKRIKDAREKLDSLKNKFHLTEVGWERPSDVHNSGIEFVVEHASERENSPAEVKSSKESEEQERRNLDASDGCKSLQSAKQAKVVVSDSLSREEDCVVKVSSTKEPEERGEKLDHSDGCTKGGDVESEVREDLKLEKGEEAEEHDSVRTSTDKGGIHHEISKPSEKCEDLIVENKVDKQAPLLTVDNTLVKVESKEQERRLEDASKGGDTEPKAREDLKREEREEAEEHDSVRTSTNEGGVHHETSKPSEKREDLVAEDKVDKQAPLLTVDAVAKVGSKDQERGQEDESKGGDTEPKAREDLKLEEEEDAKELDSVRTSANEGGVHHETSEPSEKHEDQVAEDEVDKQAPLLTVDAVAKVGSKDQERGQEDESKGGDTEPKAREDLKLEEEDAKEHDSVRTSTNEGGVHHETSKPLEKYEDLIAEDKVDKQTPFLTMDTVAKVGSKDQERGEEDEPDWKQLFSKGMGDRERTLLIEYTMALRKYKEVKKKLVEVEANNQNMLFDIMLQLKELKSHNAMKDEEIRSLRQKLNLLQTSIAEINSTDQYVDPRISTEKLVVTETSIAPAHKEEGNEPTVMNPPSTMSAIEAKFRMNIDALLEENLEFWFRFGTAFHEVQKFENGVKDLVGEVSKLGERQKQEGSSTKKYSLKSDVRPLYEHLKEIQHEVTLWVENSASLKEELKKRFSSLCEIQEEITKALKASAEDDDFSFTSYQAVKFQGEILNMKQENNKVADELQAGYNRVTALQIEIERNLAKLSDDWGLSGSKSHQSSEPQQSHSRSGVPLRSFIFGAKLKKQKASIFSFVQPTLHRKFSSSRSGNQ >KJB44057 pep chromosome:Graimondii2_0_v6:7:29508599:29512608:-1 gene:B456_007G232400 transcript:KJB44057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYKKRPELIQFVEEFYKAYRALAERYDHISTELQNANNTIASVCPEQAQFAMVDDEEYGSPKFTKSPVNYKGDVPKVPDLPVKDLKSLITSATKKTEPKKPTKVTTDAVPKSGLTKAEGLEEIHKLQKRILALQTEKEFVKGSYESGLAKYWEIEDEIKALQEKVYSLEDEFGEGKVIEDDEARTLMTAKALQSCKETLAQMQEKQERSVVEAEVEQKRIKDAREKLDSLKNKFHLTEVGWERPSDVHNSGIEFVVEHASERENSPAEVKSSKESEEQERRNLDASDGCKSLQSAKQAKVVVSDSLSREEDCVVKVSSTKEPEERGEKLDHSDGCTKGGDVESEVREDLKLEKGEEAEEHDSVRTSTDKGGIHHEISKPSEKCEDLIVENKVDKQAPLLTVDNTLVKVESKEQERRLEDASKGGDTEPKAREDLKREEREEAEEHDSVRTSTNEGGVHHETSKPSEKREDLVAEDKVDKQAPLLTVDAVAKVGSKDQERGQEDESKGGDTEPKAREDLKLEEEEDAKELDSVRTSANEGGVHHETSEPSEKHEDQVAEDEVDKQAPLLTVDAVAKVGSKDQERGQEDESKGGDTEPKAREDLKLEEEDAKEHDSVRTSTNEGGVHHETSKPLEKYEDLIAEDKVDKQTPFLTMDTVAKVGSKDQERGEEDEPDWKQLFSKGMGDRERTLLIEYTMALRKYKEVKKKLVEVEANNQNMLFDIMLQLKELKSHNAMKDEEIRSLRQKLNLLQTSIAEINSTDQYVDPRISTEKLVVTETSIAPAHKEEGNEPTVMNPPSTMSAIEAKFRMNIDALLEENLEFWFRFGTAFHEVQKFENGVKDLVGEVSKLGERQKQEGSSTKKYSLKSDVRPLYEHLKEIQHEVTLWVENSASLKEELKKRFSSLCEIQEEITKALKASAEDDDFSFTSYQAVKFQGEILNMKQENNKVADELQAGYNRVTALQIEIERNLAKLSDDWGLSGSKSHQSSEPQQSHSRSGVPLRSFIFGAKLKKQKASIFSFVQPTLHRKFSSSRSGNQ >KJB44395 pep chromosome:Graimondii2_0_v6:7:44097028:44098034:1 gene:B456_007G265500 transcript:KJB44395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLSLDSSPYKLSDDVYAGDDVFKIGLSLEEKATMDAIVAAVNTGGSFGGDNGGFSGEYGEDVPEIKLGLNEKGTMDTSVTMVNNYGCGSGKGGFAGDDEHGGATVEGTNGDGDGDRNSGGYGGSCHENRRQLGDGVSC >KJB45568 pep chromosome:Graimondii2_0_v6:7:52669080:52670716:-1 gene:B456_007G312800 transcript:KJB45568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPLFHSHLCLFILASILWIIATVEAQANVTVGKSLAADDTNTTWQSPSGVFAFGFHPITSKKDRFLLAIWYANIPEITIVWYANRDNPETDRGSTVELTETGFLVLNDSKGKELWRSERATDDFQLSHAAMLDTGNFVIDTGNYHFPTDCLTERLLIEQLYLRRLGELKGAHSRRDLETNLQFFTYKDLEHATNGFKEELGRGAFGTVYKGELPSSYGDRIAVKKLDKFAQDGEREFKTEVKVIGQTHHKNLVRLIGYCDEAEHRLLVYEFMENGSLSSFLFGILRPSWQQRLQIASGIAKGLTYLHEECSKQIIHCDIKPQNILLDESLTAKISDFGLAKLLMNDKTRTKTGIRGTKGYVAPEWFRKIPVTVKVDVYSFGVMLLEIICCRRCVEVEIEEAAILTDWAFQCYSEGMIEKLVEDDEEARNDVGKLEKLLKVGIWCVQEEPMLRPSMRIVTMMLDGAIQVPDPPCPFSLNSISTSTKMD >KJB45399 pep chromosome:Graimondii2_0_v6:7:51812294:51818365:-1 gene:B456_007G304300 transcript:KJB45399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEKEEIKSFKDLGLCDELVEACDSLGWNTPTKIQVEAIPHALGGKDLIGLAQTGSGKTGAFALPILHALLESHSKQGYKSAPVFFALVLSPTRELAIQIAEQFEALGSGINLKCAVLVGGVDIMQQQIALGKRPHIIVGTPGRLVDHLTNTKGFSLRMLKYLVLDEADRLLNEDFEKALDDILNVIPRDRHTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGCTSMVFTRTCDATRLLAFILRNLNIRAIPISGQMTQAKRLGALNKFKSGECNVLVCTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLQIEKLIGKKLPEYPAQEEEVLQYLESVTEAKRLSQMKLKEIGGTKKRRGGDDEDEDIERYLGVKGKSSKKVKRK >KJB45401 pep chromosome:Graimondii2_0_v6:7:51812182:51818395:-1 gene:B456_007G304300 transcript:KJB45401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEKEEIKSFKDLGLCDELVEACDSLGWNTPTKIQVEAIPHALGGKDLIGLAQTGSGKTGAFALPILHALLESHSKQGYKSAPVFFALVLSPTRELAIQIAEQFEALGSGINLKCAVLVGGVDIMQQQIALGKRPHIIVGTPGRLVDHLTNTKGFSLRMLKYLVLDEADRLLNEDFEKALDDILNVIPRDRHTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGCTSMVFTRTCDATRLLAFILRNLNIRAIPISGQMTQAKRLGALNKFKSGECNVLVCTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLQIEKLIGKKLPEYPAQEEEVLQYLESVTEAKRLSQMKLKEIGGTKKRRGGDDEDEDIERYLGVKGKSSKKVKRK >KJB45400 pep chromosome:Graimondii2_0_v6:7:51812296:51817767:-1 gene:B456_007G304300 transcript:KJB45400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEKEEIKSFKDLGLCDELVEACDSLGWNTPTKIQVEAIPHALGGKDLIGLAQTGSGKTGAFALPILHALLESHSKQGYKSAPVFFALVLSPTRELAIQIAEQFEALGSGINLKCAVLVGGVDIMQQQIALGKRPHIIVGTPGRLVDHLTNTKGFSLRMLKYLVLDEADRLLNEDFEKALDDILNVIPRDRHTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGCTSMVFTRTCDATRLLAFILRNLNIRAIPISGQMTQAKRLGALNKFKSGECNVLVCTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLQIEKLIGKKLPEYPAQEEEVLQYLESVTEAKRLSQMKLKEIGGTKKRRGGDDEDEDIERYLGVKGKSSKKVKRK >KJB45402 pep chromosome:Graimondii2_0_v6:7:51812604:51817596:-1 gene:B456_007G304300 transcript:KJB45402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEKEEIKSFKDLGLCDELVEACDSLGWNTPTKIQVEAIPHALGGKDLIGLAQTGSGKTGAFALPILHALLESHSKQGYKSAPVFFALVLSPTRELAIQIAEQFEALGSGINLKCAVLVGGVDIMQQQIALGKRPHIIVGTPGRLVDHLTNTKGFSLRMLKYLVLDEADRLLNEDFEKALDDILNVIPRDRHTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGCTSMVFTRTCDATRLLAFILRNLNIRAIPISGQMTQAKRLGALNKFKSGECNVLVCTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLQIEKLIGKKLPEYPAQEEEVLQYLESVTEAKRLSQMKLKEIGGTKKRRGGDDEDEDIERYLGVKGKSSKKVKRK >KJB41502 pep chromosome:Graimondii2_0_v6:7:8109525:8111561:1 gene:B456_007G107600 transcript:KJB41502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHQDIVPRAFSCKYPNHVAVVLKRLPGSLRSHPCLLRNKLLYAPLGKLFILQPSEKSSPPHPLIPPGNALYALDKTHFEYFMQALKAFLNCPHPLDTLSDLTAYGSEGTILRDHNSSNYLKAVNGVLRL >KJB41886 pep chromosome:Graimondii2_0_v6:7:10041240:10043067:-1 gene:B456_007G125800 transcript:KJB41886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEFPAGLKILIVDDDRTCLLVLERMLRKFSYQVTKCQLAREALALLRQDKNRFDIVLCDLHMPDINGFELLQIIEVEMDLPVVMMSSDDGKGVVMKGIVHGACDYLVKPVRMEAIGLIWQHVVRKKKKRFSGEITRSLPLQRADNAVPAMDKRSLKYRKRTSEDEDVAEDGESSEGKKPRMVWTQELHDLFVAAVNELGRGNAVPKKILERMQAMNVTFLTRANIASHLQKYRMHLQKEGAVPSSDSRDVNAYIDHRNLQFQPSPTTPYQLPMQNLITERANENILSIAPSHVDGGSNIFNSNIASESSCSLPTQVTLYDLYRANLLYQNDFPPISNGVAISNDNEFPPNDGVANSNDESLYCNVVDGTELSNPFSAVAVDGTELSNPLSAVAVDGTELSNPLSAVEDLIHEPSFLVGQYDQQAFFRGPI >KJB41309 pep chromosome:Graimondii2_0_v6:7:7267320:7268064:-1 gene:B456_007G098500 transcript:KJB41309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQVLFSVFEFIIFLCFHFLFISLGIEAQTCDPSGTIPGTTPPPGQCNQDNNADCCVEGEVYTTYTCSPPVSDNTPATLTINSFQEGGDGGGASKCDNQYHSDDEPVVALSTGWFSQSSRCNKFININGNGKSARALVVDECDSQEGCNDEHAYQPPCRNNIVDASKAVWTALGVPESEQGELDITWSDA >KJB41424 pep chromosome:Graimondii2_0_v6:7:7796948:7798999:1 gene:B456_007G104000 transcript:KJB41424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPHIPEVHLPEEPFLQVASALTLELNQALKLLRDIASGRNPKKFLMVIAASWVLSIVGSWCNFLTLFYIAFLLLHMVPVFYEKYEEKVDLFAEKAMVEIKKQCAVFNVKVLSKIPIGPLKTKKV >KJB41425 pep chromosome:Graimondii2_0_v6:7:7797946:7798668:1 gene:B456_007G104000 transcript:KJB41425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPFIHKTPPHIPEVHLPEEPFLQVASALTLELNQALKLLRDIASGRNPKKFLMVIAASWVLSIVGSWCNFLTLFYIAFLLLHMVPVFYEKYEEKVDLFAEKAMVEIKKQCAVFNVKVLSKIPIGPLKTKKV >KJB41426 pep chromosome:Graimondii2_0_v6:7:7796948:7798999:1 gene:B456_007G104000 transcript:KJB41426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESENHDHDSSSDSDHKNPSSPSSVEAKIYRVLGRERPVHHVLGGGKMTPPHIPEVHLPEEPFLQVASALTLELNQALKLLRDIASGRNPKKFLMVIAASWVLSIVGSWCNFLTLFYIAFLLLHMVPVFYEKYEEKVDLFAEKAMVEIKKQCAVFNVKVLSKIPIGPLKTKKV >KJB44692 pep chromosome:Graimondii2_0_v6:7:44622869:44628200:-1 gene:B456_007G267000 transcript:KJB44692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNEPHWRTNTSFSPPPLRIWDCRLHSDGLSHGSHAAGLHGSSLSSNSRGSRSKVPRWTSPIPRFNPEELLGSNVGGPVPQTSWFPCSTERRYAVKAATGSPSFGSPSSFSESSHWESTSKQPFSFPNRNFSGRRSYMTKAVYPLVFRNPVSDSEGFGDADINSIGKLTPNEDRFSPFHWHGNSSSVEHRFHKTLSELQRSEASPDLSASSRREGFRWSSASSYDLGLDGEKFDIAEHVDVENLRSPIGPVVDHKCGVCGKLLWQKSPWSSHRIIRGSDMPTAGILPCSHVFHAECLEQVTPKSQIHDPPCPLCLKTIGPLEESASVSEPLQVALRSLRRSRGAMISEDREDDEFSNHIKEKLRSRSRSGPRGNDNGSSIKNRLKKHFTFKGKSKDIFSTKVFQRIGSSSSSSREAVRRQVSIP >KJB44693 pep chromosome:Graimondii2_0_v6:7:44622917:44628177:-1 gene:B456_007G267000 transcript:KJB44693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNEPHWRTNTSFSPPPLRIWDCRLHSDGLSHGSHAAGLHGSSLSSNSRGSRSKVGSEGYINHHHSVSDGALSYSGSPPYNAQVPRWTSPIPRFNPEELLGSNVGGPVPQTSWFPCSTERRYAVKAATGSPSFGSPSSFSESSHWESTSKQPFSFPNRNFSGRRSYMTKAVYPLVFRNPVSDSEGFGDADINSIGKLTPNEDRFSPFHWHGNSSSVEHRFHKTLSELQRSEASPDLSASSRREGFRWSSASSYDLGLDGEKFDIAEHVDVENLRSPIGPVVDHKCGVCGKLLWQKSPWSSHRIIRGSDMPTAGILPCSHVFHAECLEQVTPKSQIHDPPCPLCLKTIGPLEESASVSEPLQVALRSLRRSRGAMISEDREDDEFSNHIKEKLRSRSRSGPRGNDNGSSIKNRLKKHFTFKGKSKDIFSTKVFQRIGSSSSSSREAVRRQVSIP >KJB44691 pep chromosome:Graimondii2_0_v6:7:44622903:44627589:-1 gene:B456_007G267000 transcript:KJB44691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCHMGRMLLVYTVHHCLQIAEVAEASPPYNAQVPRWTSPIPRFNPEELLGSNVGGPVPQTSWFPCSTERRYAVKAATGSPSFGSPSSFSESSHWESTSKQPFSFPNRNFSGRRSYMTKAVYPLVFRNPVSDSEGFGDADINSIGKLTPNEDRFSPFHWHGNSSSVEHRFHKTLSELQRSEASPDLSASSRREGFRWSSASSYDLGLDGEKFDIAEHVDVENLRSPIGPVVDHKCGVCGKLLWQKSPWSSHRIIRGSDMPTAGILPCSHVFHAECLEQVTPKSQIHDPPCPLCLKTIGPLEESASVSEPLQVALRSLRRSRGAMISEDREDDEFSNHIKEKLRSRSRSGPRGNDNGSSIKNRLKKHFTFKGKSKDIFSTKVFQRIGSSSSSSREAVRRQVSIP >KJB44690 pep chromosome:Graimondii2_0_v6:7:44623299:44626283:-1 gene:B456_007G267000 transcript:KJB44690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCHMGRMLLVYTVHHCLQIAEVAEASPPYNAQVPRWTSPIPRFNPEELLGSNVGGPVPQTSWFPCSTERRYAVKAATGSPSFGSPSSFSESSHWESTSKQPFSFPNRNFSGRRSYMTKAVYPLVFRNPVSDSEGFGDADINSIGKLTPNEDRFSPFHWHGNSSSVEHRFHKTLSELQRSEASPDLSASSRREGFRWSSASSYDLGLDGEKFDIAEHVDVENLRSPIGPVVDHKCGVCGKLLWQKSPWSSHRIIRGSDMPTAGILPCSHVFHAECLEQVTPKSQIHDPPCPLCLKTIGPLEESASVSEPLQVALRSLRRSRGAMISEDREDDEFSNHIKEKLRSRSRSGPRGNDNGSSIKNRLKKHFTFKGKSKDIFSTKVFQRIGSSSSSSREAVRRQVSIP >KJB38885 pep chromosome:Graimondii2_0_v6:7:17617599:17618321:1 gene:B456_007G1836001 transcript:KJB38885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSLPISSLLALFFLVFLTRVPTILSADDPRACRETLTCGSVSSIGYPFWGMNRPSYCGQPGFELRCENNVTEILMNENTLRVLDIDPERQILKVAREDYWNGYCSTKFINTSIDFDHFNYGSNIRNLTLFYGCNPLPTSTFLPNCSMNSTLIDVSYAVRNMLGDPRYGICREIVVVPVYEAAAKDLEVNPMIMQAALRGGFELQWEADNDQCRRCRDSDGICGYNHSSNSFTCFPTTE >KJB38886 pep chromosome:Graimondii2_0_v6:7:17618083:17623422:1 gene:B456_007G1836001 transcript:KJB38886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDPRYGICREIVVVPVYEAAAKDLEVNPMIMQAALRGGFELQWEADNDQCRRCRDSDGICGYNHSSNSFTCFPTTEEKKDGKALKLALGLGAAGVIILVGIGALCVRQHRRKKIVAQLISRDLPTSPSSKGPTTSTTNYSQSNSSYSTSKYDIERGSTYFGAHVFNYEELEEATDNFNPSKQLGEGGFGTVYYGVLRDGRVVAVKRLYENNLKRVDQYMNEIEILTLILHPYLVKLYGCTSRRSRELLLVYEYIPNGTVADHLHGKRSNSGLLTWHVRLRIAIETATALAYLHRKEIIHRDVKSNNILLDKNFHVKVADFGLSRLFPNDVTHVSTAPQGTPGYVDPEYHQCYHLTEKSDVYSFGVVLVELISAKKAVDISRHRHDINLANMAISRIQNQALHELVDPSLGFENDFVVKNTVTAVAGLAFRCLQQERDMRPSMEEVLEALEEIKGVRSGSDVVDIRSGTEVVDIKSDDVGLLKSIPPPFSPDSATEKWVQIKSM >KJB38887 pep chromosome:Graimondii2_0_v6:7:17621443:17623454:1 gene:B456_007G1836001 transcript:KJB38887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKDGKALKLALGLGAAGVIILVGIGALCVRQHRRKKIVAQLISRDLPTSPSSKGPTTSTTNYSQSNSSYSTSKYDIERGSTYFGAHVFNYEELEEATDNFNPSKQLGEGGFGTVYYGVLRDGRVVAVKRLYENNLKRVDQYMNEIEILTLILHPYLVKLYGCTSRRSRELLLVYEYIPNGTVADHLHGKRSNSGLLTWHVRLRIAIETATALAYLHRKEIIHRDVKSNNILLDKNFHVKVADFGLSRLFPNDVTHVSTAPQGTPGYVDPEYHQCYHLTEKSDVYSFGVVLVELISAKKAVDISRHRHDINLANMAISRIQNQALHELVDPSLGFENDFVVKNTVTAVAGLAFRCLQQERDMRPSMEEVLEALEEIKGVRSGSDVVDIRSGTEVVDIKSDDVGLLKSIPPPFSPDSATEKWVQIKSM >KJB41907 pep chromosome:Graimondii2_0_v6:7:10175268:10178998:1 gene:B456_007G127400 transcript:KJB41907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTRPRRKMAPAAADAGDSGEKLEQLLISSAICNGEDLGPFVRKAFSSGRPETLLHHLRHFSRSKESEIEEVCKAHYQDFILAVDDLRSLLSDVDSLKSSLYDSNSRLQSVGGPLLSSLDSFVEAQNVSKNVDYALQSVTLCIKLMELCSRANHHLSNGSFYMALKCLDSIENDFQDKTPSSTLKKMLESKIPEIRSHIERKISKEFGDWLVEIRVVSRNLGQLAIGQASAARQRAEDLRTKQRQAEEQSRLSLRDCVYALEDDEEEEGLGGYENEGYSYGNNSVLGFDLTPLYRAYHIHQTLGLEDRFKQYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDRILRTGGGLISKTEVENLWETAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRYGYTVGALLDVLSKHRDKYHELLLSDCRKQISEALAADKFEQMLMKKEYEYSMNVLSFQIQTSDIIPAFPYVAPFSSTVPDCCRIVRSFIEDSVSFMSYGEQLDFYNVKKYLDRLLSEVLDGALLKLISSSVHGVSQAMQVAANMAVFERACDFFFRHAAQLSGIPLRMVEKGKRQFPLNKSRDAAEEMLSGMLKTKVDGFMTLIENVNWMTDEPSQGGNEYVNEVLIYLETLVSTAQQILPPQVLKRVLQDVLSHISEKIVDTLLGDLVKRFNVNAIIGLDVDIRLLESFADNLAPLFSEGDANQLKNALAESRQLVNLLLSSHPENFLNPVIRERSYNALDYRKVMTISEKLRDPSDRLFGTFGSRGAKQNSKKKSLDALIKRLRDVS >KJB42682 pep chromosome:Graimondii2_0_v6:7:14301587:14304726:-1 gene:B456_007G163700 transcript:KJB42682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMAAIGDLRLPSTASFNASSVCSSRKSSAPRSLSFSASALSGDKLVFKIATGCSRTERTASIVSPKAVSDSKNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYERFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIKFAEKPKGDQLKAMQVDTTILGLDDERAKEMPFIASMGIYVVSKDVMLNLLRDQFPGANDFGSEIIPGATSIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADRRFLSAKGSVPIGIGKNSHIKRAIIDKNARIGDNVKVDHKQ >KJB42681 pep chromosome:Graimondii2_0_v6:7:14301490:14304751:-1 gene:B456_007G163700 transcript:KJB42681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMAAIGDLRLPSTASFNASSVCSSRKSSAPRSLSFSASALSGDKLVFKIATGCSRTERTASIVSPKAVSDSKNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYERFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIKFAEKPKGDQLKAMQVDTTILGLDDERAKEMPFIASMGIYVVSKDVMLNLLRDQFPGANDFGSEIIPGATSIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADRRFLSAKGSVPIGIGKNSHIKRAIIDKNARIGDNVKIINSENVQEAARETDGYFIKSGIVTVVKDALIPSGTVI >KJB42683 pep chromosome:Graimondii2_0_v6:7:14303147:14304726:-1 gene:B456_007G163700 transcript:KJB42683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMAAIGDLRLPSTASFNASSVCSSRKSSAPRSLSFSASALSGDKLVFKIATGCSRTERTASIVSPKAVSDSKNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYERFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIKFAEKPKGDQLKAMQVDTTILGLDDERAKEMPFIASMGIYVVSKDVMLNLLRDQFPGANDFGSEIIPGATSIGMRVSILFIDPSL >KJB44135 pep chromosome:Graimondii2_0_v6:7:31785791:31787016:-1 gene:B456_007G236400 transcript:KJB44135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVVQGIIETQYVEALEILLQGLCGVNKERLRVHEICLKSGPNLGFVASEVRLLCDLEQSEPTWTVKHVGGAMRGAGAEQISVLVRSMVESKASKNVLRLFYALGYKLDHELLRVGITFHFQRGAQITVTVSSVNKMLKLHATDEAVPVTPGIQLVEVTAPATSENYNEVVAAVSSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDGGGTTL >KJB44136 pep chromosome:Graimondii2_0_v6:7:31785537:31794332:-1 gene:B456_007G236400 transcript:KJB44136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCSRNYRDTDLQYVEALEILLQGLCGVNKERLRVHEICLKSGPNLGFVASEVRLLCDLEQSEPTWTVKHVGGAMRGAGAEQISVLVRSMVESKASKNVLRLFYALGYKLDHELLRVGITFHFQRGAQITVTVSSVNKMLKLHATDEAVPVTPGIQLVEVTAPATSENYNEVVAAVSSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDGGGTTL >KJB44132 pep chromosome:Graimondii2_0_v6:7:31785023:31794336:-1 gene:B456_007G236400 transcript:KJB44132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVVQGIIETQYVEALEILLQGLCGVNKERLRVHEICLKSGPNLGFVASEVRLLCDLEQSEPTWTVKHVGGAMRGAGAEQISVLVRSMVESKASKNVLRLFYALGYKLDHELLRVGITFHFQRGAQITVTVSSVNKMLKLHATDEAVPVTPGIQLVEVTAPATSENYNEVVAAVSSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDEVLFARFQLFILIER >KJB44134 pep chromosome:Graimondii2_0_v6:7:31785504:31794379:-1 gene:B456_007G236400 transcript:KJB44134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVVQGIIETQYVEALEILLQGLCGVNKERLRVHEICLKSGPNLGFVASEVRLLCDLEQSEPTWTVKHVGGAMRGAGAEQISVLVRSMVESKASKNVLRLFYALGYKLDHELLRVGITFHFQRGAQITVTVSSVNKMLKLHATDEAVPVTPGIQLVEVTAPATSENYNEVVAAVSSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDGGGTTL >KJB44133 pep chromosome:Graimondii2_0_v6:7:31785791:31787016:-1 gene:B456_007G236400 transcript:KJB44133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVVQGIIETQYVEALEILLQGLCGVNKERLRVHEICLKSGPNLGFVASEVRLLCDLEQSEPTWTVKHVGGAMRGAGAEQISVLVRSMVESKASKNVLRLFYALGYKLDHELLRVGITFHFQRGAQITVTVSSVNKMLKLHATDEAVPVTPGIQLVEVTAPATSENYNEVVAAVSSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDGGGTTL >KJB45197 pep chromosome:Graimondii2_0_v6:7:50544243:50545622:1 gene:B456_007G295000 transcript:KJB45197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTTYHGVLSFFFLLFLLLSSPPSFAQNFHQDKENYAHFSPSMAIIIVILIATFFFVGLFAIYIWNCSNVYTNRQSICPVARRSMRGTYGLDTSVIETFPIMVYSEVKVLKIGKEALECAVCLNKFEDDETLRLIPKCDHVFHPECIDVWLTSHPTCPVCRANLIPQPGDLMSQLTMLNNTTPELDLKAQNNGSNSEPEEERSINNNVVNCQVEAQVASKVEVNNLNVTLNRNRTRRSRSGRSSELSFLRSHSTGHSLVQLGENTDRFTLRLPIYVRKQLVNQKLNQATTLVLPRERSSRRGYRALEDGESSRGKLDHGAKSDRWVFSMTPPFFNRASSMKSPKVATHDGEGTSSNLWVGPVADSSHLPV >KJB38920 pep chromosome:Graimondii2_0_v6:7:6062242:6063672:1 gene:B456_007G084800 transcript:KJB38920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVTFHPKALLGVKVLFNQIKERGLHLSITQNAVVFGFSAPSGKQTKPPEFPTFSLIDYYIEEEIIL >KJB40722 pep chromosome:Graimondii2_0_v6:7:5291557:5293762:-1 gene:B456_007G075000 transcript:KJB40722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWNSIPLEITYDTFGWLAFFSWSISFYPQVILNFRRKSVVGLNFDFVLLNLTKHSSYTIYNVCLYFSPVIQRQYFEKYGSGEMIPVAANDVAFSIHAVLLTAITLFQIVIFDRGTQKVSKISVGIVIAVWLIAAICFFIALPSQSWLWLISIFNSIQVFMTVVKYIPQAVMNFARKSTDGFSIGNILLDFVGGLANYAQMAVQSIDQNSWVNFYGNIGKTLLSLVSIFFDIIFMCQHYLLYPAKKATFRSKLEREGKEPLVKSLEETASENV >KJB40724 pep chromosome:Graimondii2_0_v6:7:5291234:5293937:-1 gene:B456_007G075000 transcript:KJB40724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWNSIPLEITYDTFGWLAFFSWSISFYPQVILNFRRKSVVGLNFDFVLLNLTKHSSYTIYNVCLYFSPVIQRQYFEKYGSGEMIPVAANDVAFSIHAVLLTAITLFQIVIFDRGTQKVSKISVGIVIAVWLIAAICFFIALPSQSWLWLISIFNSIQVFMTVVKYIPQAVMNFARKSTDGFSIGNILLDFVGGLANYAQMAVQSIDQNSWVNFYGNIGKTLLSLVSIFFDIIFMCQHYLLYPAKKATFRSKLEREGKEPLVKSLEETASENVKKIIHLNSL >KJB40723 pep chromosome:Graimondii2_0_v6:7:5291303:5293876:-1 gene:B456_007G075000 transcript:KJB40723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWNSIPLEITYDTFGWLAFFSWSISFYPQVILNFRRKSVVGLNFDFVLLNLTKHSSYTIYNMIPVAANDVAFSIHAVLLTAITLFQIVIFDRGTQKVSKISVGIVIAVWLIAAICFFIALPSQSWLWLISIFNSIQVFMTVVKYIPQAVMNFARKSTDGFSIGNILLDFVGGLANYAQMAVQSIDQNSWVNFYGNIGKTLLSLVSIFFDIIFMCQHYLLYPAKKATFRSKLEREGKEPLVKSLEETASENV >KJB40342 pep chromosome:Graimondii2_0_v6:7:4202385:4204491:-1 gene:B456_007G059400 transcript:KJB40342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWTTVAESKSSSPAEEPNRKESDPEMDADEFVIVPTTEPEKEEEKEAQNPKENEVQSERSPNQTSTGSRKSVRWSAELVSESPAADHSVTMSAVNGSNPYIAQSPAPESFSTSFKEKMDTVKDVLGRWGRKVGEATRKAEDLAGNTWQHLKTSPSLAEAAMGRIAQGTKVLAEGGYEKIFRHTFVTDPEEQLGNSFVCYLSTSAGPVMGILYVSTAKLAYCSDTPLPYKNGTQTEWSYYKVVITLHQLKAVNPSTSRLNCAEKYIQVITVDSHEFWFMGFLNYDGAVTCLKEALQLHS >KJB40343 pep chromosome:Graimondii2_0_v6:7:4202517:4204428:-1 gene:B456_007G059400 transcript:KJB40343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWTTVAESKSSSPAEEPNRKESDPEMDADEFVIVPTTEPEKEEEKEAQNPKENEVQSERSPNQTSTGSRKSVRWSAELVSESPAADHSVTMSAVNGSNPYIAQSPAPESFSTSFKEKMDTVKDVLGRWGRKVGEATRKAEDLAGNTWQHLKTSPSLAEAAMGRIAQGTKVLAEAGPVMGILYVSTAKLAYCSDTPLPYKNGTQTEWSYYKVVITLHQLKAVNPSTSRLNCAEKYIQVITVDSHEFWFMGFLNYDGAVTCLKEALQLHS >KJB40364 pep chromosome:Graimondii2_0_v6:7:4263440:4267288:-1 gene:B456_007G060300 transcript:KJB40364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKCFSFTATRDSCFRCSFSRAGLRSSTTDLGDGTVMHVWIPKLHVQSKPTLVLIHGFGANAMWQWNDFISPLISRFNVYVPDLLFFGESYTTGPERSEQFQAECVVRVMEAHGVVSGMNVVGISYGGFVGYRMAAQFKERIEKVVLCCTGVCLEEKDMEEGMFKVKSVDEAVSILLPQTSDKMRELMKLSFSKPTQRVPSCFLNDFIHVMCTEYLQERKDLILALHKDRRLSDLPKITQPTLIIWGEHDQIFPLELGHRLKRHLGDNAELVIIKNAGHAINAEKPKELFKHLKSFLIDPLSRAKPGN >KJB40366 pep chromosome:Graimondii2_0_v6:7:4265627:4267288:-1 gene:B456_007G060300 transcript:KJB40366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKCFSFTATRDSCFRCSFSRAGLRSSTTDLGDGTVMHVWIPKLHVQSKPTLVLIHGFGANAMWQWNDFISPLISRFNVYVPDLLFFGESYTTGPERSEQFQAECVVRVMEAHGVVSGMNVVGISYGGFVGYRMAAQFKERIEKVVLCCTGVCLEEKDMEEGMFKVKSVDEAVSILLPQTSDKMRELMKLSFSKPTQRVPSCFLNDFIHVMCTEYLQERKDLILALHKDRRLSDLPKITQPTLIIWGEHDQIFPLELGHRLKRHLGDNAELVIIKNAGHAINAEKPKELFKHLKSFLIDPLSRAKPGN >KJB40365 pep chromosome:Graimondii2_0_v6:7:4264903:4267457:-1 gene:B456_007G060300 transcript:KJB40365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCYQKKRNKCLIFIGLPAFAKQQPPTNSFNSFPPTNPHRILEQTNNFCSMVKCFSFTATRDSCFRCSFSRAGLRSSTTDLGDGTVMHVWIPKLHVQSKPTLVLIHGFGANAMWQWNDFISPLISRFNVYVPDLLFFGESYTTGPERSEQFQAECVVRVMEAHGVVSGMNVVGISYGGFVGYRMAAQFKERIEKVVLCCTGVCLEEKDMEEGMFKVKSVDEAVSILLPQTSDKMRELMKLSFSKPTQRVPSCFLNDFIHVMCTEYLQERKDLILALHKDRRLSDLPKITQPTLIIWGEHDQIFPLELGHRLKRHLGDNAELVIIKNAGHAINAEKPKELFKHLKSFLIDPLSRAKPGN >KJB45093 pep chromosome:Graimondii2_0_v6:7:49612256:49614980:1 gene:B456_007G289800 transcript:KJB45093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLYAFPEGSIKSLKRGIEIPHQFPILFSFFCPHPLPTFPNFFPSRFLLLLLVRPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSWYGP >KJB45092 pep chromosome:Graimondii2_0_v6:7:49612256:49614874:1 gene:B456_007G289800 transcript:KJB45092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLYAFPEGSIKSLKRGIEIPHQFPILFSFFCPHPLPTFPNFFPSRFLLLLLVRPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSV >KJB43658 pep chromosome:Graimondii2_0_v6:7:22839550:22855855:1 gene:B456_007G211000 transcript:KJB43658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVAYAEGLIRAYNIHTYAVLYTLQLDYTIKLLGAGAFAFHPMLEWIFVGDRRGTLLAWDVSTERPIMIGITQVGSQPIASVAWLSMLRLLIILSKDGTLHVWKTRLEVNPNKPPTQVNFFEPASIESLDIPRLLFQKGGEAVYPLPRIKALEVHPKLNLAALLFANMTSADMKNRAAYTREGRKQLFAVLQSARGSSASFLKEKLSSMGSSGILADHHLQAQLQEQHNKGQSHLTISDIARKAFLYSHFMEGHAKTVPISRLPLITIMDSKNQLKDIPVCQPFHLELNFFNKENRVLHYPIRAFSVEGMNLMAYNLCSGAESIYKKLFTSIPGNVEYYPKHMVYSKKRHLFLVVYEFSGSTNEVVLYWENTDLKLSNSKGSTIKGFDAAFIGPNENHFAILDEDKSGLALYILPGAVLQEANGKKGAVEPNLLSDEPVDAKLNSVQGPIPFMFDTEVDHIFSTPIESTLIFACNGKQIGLAKLIQGSWLPNSDGHYISTKTEGKKYARLKANEIVLQVHWQQTLRGYVAGVLTTQRVLIVSADLDVLASSSSKFDKGNPPFRSLLWVGPALLFSTATAVCILGWDGKVRTILSISMPNAALVGALNDRLLLANPTDINPRQKRGVEIKSCLVGLLEPLLIGFATMQQNFEQKLDLSEILYQITSRFDSLRITPRSLDILARGPPVCGDLAVSLSQAGPQFTQVLRGVYAIRALRFSTALSVLKDEFVRSRDYPKCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQRLEEEGADSELRRYCERILRIRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPMTLKSIPQWELAAEVMPYMKTDNGAIPSIISDHIGVYLGVIKGRGNVIEVREGSLVIPGATDSKPNGVHTSMAKSIDKSLGVAGGGTKADSFMGLETLIKPHDSSTTSDEQAKAAEEFKKTMYGTANNGSSSDEEGVSKTKKLQIRIRDKPTSGTVDVNKIKEATKRLGDGLGLPKTRAKSLSGIFQDLGQSQQQPYPATCGAVTNPTVSAPGDLFGTEPWAQPTFLSKPTPAPTKGVGIAAGPIPEDFFQNTIPSLQVAAALPPPGTLISKLDHTSQPAEVGRKVPPDQVNAPVASAGLPDGGVPPQATEQSIPPESFALPDGGVPPQYSAPAAGMPRPQVQPAQTQLSTQPLDLSALGVPVTTESGKPTTPASVRPGQVPRGAPASICFKTGLAHLELNQLPDSLSCFNEAFLALAKDNSRGADIKAQATICAQYKIAVTLLQEISRLQKVQGPRALSAKDEMARLSRHLGSLPLQAKHRINCIRTAIKRNMDVQNYGYAKQMLELLLSKAPQGKQEELRSLIDICVQRGLTNKSIDPLEDPSQFCGATLSRLSTIGYDVCDLCGAKFSALSTSGCIICGMGSIKRSDALGGAGPVPSPFG >KJB43659 pep chromosome:Graimondii2_0_v6:7:22839550:22855855:1 gene:B456_007G211000 transcript:KJB43659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVAYAEGLIRAYNIHTYAVLYTLQLDYTIKLLGAGAFAFHPMLEWIFVGDRRGTLLAWDVSTERPIMIGITQVGSQPIASVAWLSMLRLLIILSKDGTLHVWKTRLEVNPNKPPTQVNFFEPASIESLDIPRLLFQKGGEAVYPLPRIKALEVHPKLNLAALLFANMTSADMKNRAAYTREGRKQLFAVLQSARGSSASFLKEKLSSMGSSGILADHHLQAQLQEQHNKGQSHLTISDIARKAFLYSHFMEGHAKTVPISRLPLITIMDSKNQLKDIPVCQPFHLELNFFNKENRVLHYPIRAFSVEGMNLMAYNLCSGAESIYKKLFTSIPGNVEYYPKHMVYSKKRHLFLVVYEFSGSTNEVVLYWENTDLKLSNSKGSTIKGFDAAFIGPNENHFAILDEDKSGLALYILPGAVLQEANGKKGAVEPNLLSDEPVDAKLNSVQGPIPFMFDTEVDHIFSTPIESTLIFACNGKQIGLAKLIQGSWLPNSDGHYISTKTEGKKYARLKANEIVLQVHWQQTLRGYVAGVLTTQRVLIVSADLDVLASSSSKFDKGNPPFRSLLWVGPALLFSTATAVCILGWDGKVRTILSISMPNAALVGALNDRLLLANPTDINPRQKRGVEIKSCLVGLLEPLLIGFATMQQNFEQKLDLSEILYQITSRFDSLRITPRSLDILARGPPVCGDLAVSLSQAGPQFTQVLRGVYAIRALRFSTALSVLKDEFVRSRDYPKCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQRLEEEGADSELRRYCERILRIRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPMTLKSIPQWELAAEVMPYMKTDNGAIPSIISDHIGVYLGVIKGRGNVIEVREGSLVIPGATDSKPNGVHTSMAKSIDKSLGVAGGGTKADSFMGLETLIKPHDSSTTSDEQAKAAEEFKKTMYGTANNGSSSDEEGVSKTKKLQIRIRDKPTSGTVDVNKIKEATKRLGDGLGLPKTRAKSLSGIFQDLGQSQQQPYPATCGAVTNPTVSAPGDLFGTEPWAQPTFLSKPTPAPTKGVGIAAGPIPEDFFQNTIPSLQVAAALPPPGTLISKLDHTSQPAEVGRKVPPDQVNAPVASAGLPDGGVPPQATEQSIPPESFALPDGGVPPQYSAPAAGMPRPQVQPAQTQLSTQPLDLSALGVPVTTESGKPTTPASVRPGQVPRGAPASICFKTGLAHLELNQLPDSLSCFNEAFLALAKDNSRGADIKAQATICAQYKIAVTLLQEISRLQKVQGPRALSAKDEMARLSRHLGSLPLQAKHRINCIRTAIKRNMDVQNYGYAKQMLELLLSKAPQGKQEELRSLIDICVQRGLTNKSIDPLEDPSQFCGATLSRLSTIGYDVCDLCGAKFSALSTSGCIICGMGSIKRSDALGGAGPVPSPFG >KJB39356 pep chromosome:Graimondii2_0_v6:7:640152:645222:1 gene:B456_007G008100 transcript:KJB39356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MWNFIKNREPNIHMKRLFEPFLSYRHFRTRAVDRQNTSSTGLYGFDHLKSPKGFQRFVDEAIERSSELIDYISGMPSSAEIIRAMDEISDTVCSVVDSAELCRQTHPARGFVEEAIKASMKINVYLHFLNTNHTLYNAVKKAEQDGHLLTEEARRAALHLRIDFEKGGIHLPSGKLDRVNQLNMDAHKLCREYGENIINDPGYVDIFPASRIPRQIQHLLKPIYRLTSGVSKESLGSYEDEQEKGFRIITEPRTLFSVLQLTPDEQVRKMAYLKGSSIPHANHEVLDKLINSRHELAQIMGCKSYAEFVMQLNMASSPEVVVSFLQEMSNMVKEKADEEFNTIRNLKRDLCGQRCVDLEPWDEAYYTTMMKSSAYNLDSAVVASYFSLPQCIEGLKLLVKSLFGASFDSVPMAPGESWHPDVLKMCIHHPEEGDLGFLYLDLFSRKGKYPGCATFAIKGGRKISETEYQLPVCK >KJB39353 pep chromosome:Graimondii2_0_v6:7:640007:646142:1 gene:B456_007G008100 transcript:KJB39353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MWNFIKNREPNIHMKRLFEPFLSYRHFRTRAVDRQNTSSTGLYGFDHLKSPKGFQRFVDEAIERSSELIDYISGMPSSAEIIRAMDEISDTVCSVVDSAELCRQTHPARGFVEEAIKASMKINVYLHFLNTNHTLYNAVKKAEQDGHLLTEEARRAALHLRIDFEKGGIHLPSGKLDRVNQLNMDAHKLCREYGENIINDPGYVDIFPASRIPRQIQHLLKPIYRLTSGVSKESLGSYEDEQEKGFRIITEPRTLFSVLQLTPDEQVRKMAYLKGSSIPHANHEVLDKLINSRHELAQIMGCKSYAEFVMQLNMASSPEVVVSFLQEMSNMVKEKADEEFNTIRNLKRDLCGQRCVDLEPWDEAYYTTMMKSSAYNLDSAVVASYFSLPQCIEGLKLLVKSLFGASFDSVPMAPGESWHPDVLKMCIHHPEEGDLGFLYLDLFSRKGKYPGCATFAIKGGRKISETEYQLPVMALVFNFSRSHDSSIVRLNHSELETLFHEFGHALHALLSRTDYQHFAGTRVALDFAETPSNLFEYYARDYRVLKKFARHYSTGEVIPEKLVKSLNGARDMFAATELQRQIFYALVDQTLFGEQLSVPRDTNSIVAHLKRQHSNEKHVEGTHMHIRFSHFLTYGAGYYSYLYAKCFAATIWKKLCQEDPLSPTTGTLLRTKLLQYGGAKEPADLLTDLVGDGIVRYHNGGIVPDVTSCLEEMKLLDDKNRHISK >KJB39357 pep chromosome:Graimondii2_0_v6:7:640152:645335:1 gene:B456_007G008100 transcript:KJB39357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MWNFIKNREPNIHMKRLFEPFLSYRHFRTRAVDRQNTSSTGLYGFDHLKSPKGFQRFVDEAIERSSELIDYISGMPSSAEIIRAMDEISDTVCSVVDSAELCRQTHPARGFVEEAIKASMKINVYLHFLNTNHTLYNAVKKAEQDGHLLTEEARRAALHLRIDFEKGGIHLPSGKLDRVNQLNMDAHKLCREYGENIINDPGYVDIFPASRIPRQIQHLLKPIYRLTSGVSKESLGSYEDEQEKGFRIITEPRTLFSVLQLTPDEQVRKMAYLKGSSIPHANHEVLDKLINSRHELAQIMGCKSYAEFVMQLNMASSPEVVVSFLQEMSNMVKEKADEEFNTIRNLKRDLCGQRCVDLEPWDEAYYTTMMKSSAYNLDSAVVASYFSLPQCIEGLKLLVKSLFGASFDSVPMAPGESWHPDVLKMCIHHPEEGDLGFLYLDLFSRKGKYPGCATFAIKGGRKISETEYQLPVMALVFNFSRSHDSSIVRLNHSELETLFHEFGHALHALLSRTDYQHFAGTRVALDFAETPSNLFEYYARDYRVLKKFARHYSTGEVIPEKLVKSLNGARDMFAATELQRQVYLIF >KJB39355 pep chromosome:Graimondii2_0_v6:7:640152:643856:1 gene:B456_007G008100 transcript:KJB39355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MWNFIKNREPNIHMKRLFEPFLSYRHFRTRAVDRQNTSSTGLYGFDHLKSPKGFQRFVDEAIERSSELIDYISGMPSSAEIIRAMDEISDTVCSVVDSAELCRQTHPARGFVEEAIKASMKINVYLHFLNTNHTLYNAVKKAEQDGHLLTEEARRAALHLRIDFEKGGIHLPSGKLDRVNQLNMDAHKLCREYGENIINDPGYVDIFPASRIPRQIQHLLKPIYRLTSGVSKESLGSYEDEQEKGFRIITEPRTLFSVLQLTPDEQVRKMAYLKGSSIPHANHEVLDKLINSRHELAQIMGCKSYAEFVMQLNMASSPEVVVSFLQEMSNMVKEKADEEFNTIRNLKRDLCGQRCVDLEPWDEAYYTTMMKSSAYNLDSAVVASYFSLPQCIEGLKLLVKSLFGASFDSVPMAPGESWHPDVLKMCIHHPEEVCVCM >KJB39354 pep chromosome:Graimondii2_0_v6:7:640037:646142:1 gene:B456_007G008100 transcript:KJB39354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MWNFIKNREPNIHMKRLFEPFLSYRHFRTRAVDRQNTSSTGLYGFDHLKSPKGFQRFVDEAIERSSELIDYISGMPSSAEIIRAMDEISDTVCSVVDSAELCRQTHPARGFVEEAIKASMKINVYLHFLNTNHTLYNAVKKAEQDGHLLTEEARRAALHLRIDFEKGGIHLPSGKLDRVNQLNMDAHKLCREYGENIINDPGYVDIFPASRIPRQIQHLLKPIYRLTSGVSKESLGSYEDEQEKGFRIITEPRTLFSVLQLTPDEQVRKMAYLKGSSIPHANHEVLDKLINSRHELAQIMGCKSYAEFVMQLNMASSPEVVVSFLQEMSNMVKEKADEEFNTIRNLKRDLCGQRCVDLEPWDEAYYTTMMKSSAYNLDSAVVASYFSLPQCIEGLKLLVKSLFGASFDSVPMAPGESWHPDVLKMCIHHPEEGDLGFLYLDLFSRKGKYPGCATFAIKGGRKISETEYQLPDYQHFAGTRVALDFAETPSNLFEYYARDYRVLKKFARHYSTGEVIPEKLVKSLNGARDMFAATELQRQIFYALVDQTLFGEQLSVPRDTNSIVAHLKRQHSNEKHVEGTHMHIRFSHFLTYGAGYYSYLYAKCFAATIWKKLCQEDPLSPTTGTLLRTKLLQYGGAKEPADLLTDLVGDGIVRYHNGGIVPDVTSCLEEMKLLDDKNRHISK >KJB42587 pep chromosome:Graimondii2_0_v6:7:13867607:13868346:1 gene:B456_007G158800 transcript:KJB42587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFYTLMVQIFAGSRTTQVILPFLQGVSFSPPWDLQSSNEAKMKRNSRRLMIGSTAPTCTYNECRGCKYKCRAEQVPVEGNDPINSAYHYKCVCHRP >KJB42585 pep chromosome:Graimondii2_0_v6:7:13867717:13868019:1 gene:B456_007G158800 transcript:KJB42585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLADFLTETFLKQQSSNEAKMKRNSRRLMIGSTAPTCTYNECRGCKYKCRAEQVPVEGNDPINSAYHYKCVCHRP >KJB42584 pep chromosome:Graimondii2_0_v6:7:13867133:13868346:1 gene:B456_007G158800 transcript:KJB42584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNLSCLHMLFFTFFLAAHAIQGSRTTQVILPFLQGVSFSPPWDLQSSNEAKMKRNSRRLMIGSTAPTCTYNECRGCKYKCRAEQVPVEGNDPINSAYHYKCVCHRP >KJB42586 pep chromosome:Graimondii2_0_v6:7:13867436:13868346:1 gene:B456_007G158800 transcript:KJB42586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNLSCLHMLFFTFFLAAHAIQGSRTTQVILPFLQGVSFSPPWDLQQQSSNEAKMKRNSRRLMIGSTAPTCTYNECRGCKYKCRAEQVPVEGNDPINSAYHYKCVCHRP >KJB46458 pep chromosome:Graimondii2_0_v6:7:60299019:60304248:1 gene:B456_007G370000 transcript:KJB46458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDYSFLNDEDLSIFKNVYDPISEVAKVRPLLSEEINPSMSSGSKKRNRDLDPGNSQEANGKVGKKQRRCPRTFYAGESSTSRLQECLKGKTVAGMAKVYKDRISRRIKNDKEADKDVIIRIVRNMMAINASHLLPRVFGSLEQITTQWPIRPDLQRMKQKIQEIEKDDSSTDEAKRRIFVEVEYELERIDQERLKDSTKAGNLDAVFTLSSACLWLMSKKLQQLIILLVLMKIKISIMEVC >KJB46457 pep chromosome:Graimondii2_0_v6:7:60299019:60304248:1 gene:B456_007G370000 transcript:KJB46457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDYSFLNDEDLSIFKNVYDPISEVAKVRPLLSEEINPSMSSGSKKRNRDLDPGNSQEANGKVGKKQRRCPRTFYAGESSTSRLQECLKGKTVAGMAKVYKDRISRRIKNDKEADKDVIIRIVRNMMAINASHLLPRVFGSLEQITTQWPIRPDLQRMKQKIQEIEKDDSSTDEAKRRIFVEVEYELERIDQERLKDSTKAGNLDAVFTDFNMGTLQKELSSACLWLMSKKLQQLIILLVLMKIKISIMEVC >KJB43350 pep chromosome:Graimondii2_0_v6:7:19349254:19352498:-1 gene:B456_007G195500 transcript:KJB43350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGREGDWECSGCKNRNYAFRSFCNRCKQPRLLVDTKTPADSKWLPRIGDWICSGCTNNNYASREKCKKCGQPKEIAAMPAIAIPGASLPTYSHYFARALGALEQKINVGVVGSGAPRRSLPLSSNWSVVGADNCGLQSASTWPLAGNQTSGFPCNQSLSVPKGWRNGDWMCNCGFHNYSSRSQCKNCNASIPQALGTKRLASEEFVHNWDNKRLNSGHGTEQPQLYPGFDQMIEANTDPKSGAYPPYSALNPGAASNWQLPIPFPQLAAAPTLLGKGAKQWRSGDWMCTKCNNHNYASRAQCNRCRTQRDTVAGPVNAA >KJB43351 pep chromosome:Graimondii2_0_v6:7:19349259:19351664:-1 gene:B456_007G195500 transcript:KJB43351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIAIPGASLPTYSHYFARALGALEQKINVGVVGSGAPRRSLPLSSNWSVVGADNCGLQSASTWPLAGNQTSGFPCNQSLSVPKGWRNGDWMCNCGFHNYSSRSQCKNCNASIPQALGTKRLASEEFVHNWDNKRLNSGHGTEQPQLYPGFDQMIEANTDPKSGAYPPYSALNPGAASNWQLPIPFPQLAAAPTLLGKGAKQWRSGDWMCTKCNNHNYASRAQCNRCRTQRDTVAGPVNAA >KJB44896 pep chromosome:Graimondii2_0_v6:7:47659058:47662744:-1 gene:B456_007G278600 transcript:KJB44896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELRGVQVDNKPNGVVKSNSAVNKKLSPPALKSPGAGNTQAHLTFSKTAANGIANGDSPPFPTKDSETNSPKTPLISRKHTDEEDNWSVASSTAASVRTARSRVTIGTAPTFRSAERAEKRREFHQKLEEKHQALEAKRSQYEARLREEQEAAIKQLRKGLFVKANPVPSFYYEGPPPKVELKKLPLTRPKSPNLTRRKSCSDVVHSTEDEKAKSCCRAHRHSFGNLRERSTTVNEEKNKGQVSGERGGKLKDRAKQVKDATKSSPAKLTEQQSNANISVQS >KJB43707 pep chromosome:Graimondii2_0_v6:7:23278155:23282267:-1 gene:B456_007G212700 transcript:KJB43707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Red chlorophyll catabolite reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37000) UniProtKB/Swiss-Prot;Acc:Q8LDU4] MAVVFPHFPRPSLSPSPSPSSSSFSQPSPRPQFFASSASPMDNSPKKFMDFPFVTAPRRNLMIDLVSTIENRLHSQLKPCTLPSDLQHYYNPSKTSHGSLYIRSGHTSSQVDFMLGSWLHCELPTGGALDITSLSAYLNASTDAPNFLIELIQNSPTSLVLILDLPPRKDPVLYPEYLQTFYENTRLESLRQTLEKLPEVRPYYSSALYIRCLTSPTSIMIRINTEGTEGDGPGRMEEIIKDHIVPVAKEALGIWLDQCACGNRNVDEAEKAYLEKRDGLVRNKTIEIDIGSSFPRLFGPDVANRILEAYGRASQKGKPKGRHRGLSQQKRQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEEIKQMIADVDRDGSGAIDFDEFVHMMTAKIGERDTNEELMKAFQIIDQDNNGKISVQDINRISKDLGELLSQKEIQDMIEEADRDCDGEVNIDEFMRVMKRTTFGY >KJB44812 pep chromosome:Graimondii2_0_v6:7:46811592:46814191:1 gene:B456_007G274500 transcript:KJB44812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRMPSMFGMLLSFCTFLFITHKNSLVAVSVEVASSYEPTDISILDCSSSDLPFLIDRDDIGSLHVPRTNFSVVSKYYPLSPVYHQKPKLCIHKKPFTYTFPVSSGPKFIRLHFNPFSFSGFHMSKALFSVSVAHFTLLKTSVASYSKLQLHDSYTVKEFCTNVDDGVLNVTFTPSPDVSDAFAFVNKIEVVSMPSNLYIQEAVSLPLIGQASPYYIKNSKALEMMHRLNIGGEFIPALEDTGMFRKWIPDASFLTTDGSNSGIVISDVQIKQSSRIPAYVGPTQVYASARTVVADGSNQSRATWLLPVESGFYYLAKGVGYRVFHVYIKDQTAEDQADIFLWSHGAGIPVYRDYIVNFSEHTKRRKNLSLSIHNGNGSIRTFKPAILNGLEIFKLSDSNNSLAGTFSFGMAKYSNPWRKEGASYKALKICAQIMSCILLLFYLPTLWQIVAAIDWKGQRKAFMQSQSSDHCQNFTFDEVKVATNNFSDALLLGAGGYGKVYKGSINGGTNLVAIKRANPCSHQGLNEFQTEIFLLSQLRHRHIVSLIGCCKERKEMILVYDYMANGTLRDHLYKMKKPPLSWTRRLTICIGAARGLHYLHTGLKHSVIHRDVKSTNILLDQNWVAKVSDFGLSKIGPNMLTQSNTHVTTMVKGSFGYLDPEYYKRQKLTEKSDVYSFGVVLFEVLCARPAVLQLTENMEEEQEKVNLAEWVMHCYQSGRVDQIIDPYLQGKIDPTSLRTFTDIARKCLGEKGGERPTMGEVLWNLEQAWLQQQESDCFQNDGNYGVADKTTANGLSVIVYADGVPLHGASDPTPGVEFSEIVAPIGR >KJB40933 pep chromosome:Graimondii2_0_v6:7:5958874:5961452:1 gene:B456_007G083300 transcript:KJB40933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAEKATCRSVDNFNPLITTIFQVSCILVISHMFHILLKPLGQPGPFAGVVVGPTLLSRIRRVEDFFIQASSAEYYMFFSFLCRMLFMFSIGLETDIPYLKRNIRVVSIVAGGGIILSSICAAPLLWLLIKVFTVTKSRFPFYLLIFTVLANSASPVVIRMIAESKFDNADLGRLAIYSSLISEMSCVAFVATLTACSSTIRLVGAIIATLVTVLLIFLNNYLPFVFNKRNRHNRFLTNSELFLIIFILLAISLLVESAGYTAITCCFLAGLMFPREGKTCRTLLHKLTYAVNTFVLPVYFGYTGFQFNISKIFNKLTLILTVLIILLSAGTKIVATLAACYYLKIPRNESLILSFLLNMKGNYDLIIINSPPVPKMLWENDIHDLFLSVVVLKTLILAPVVAIWLNRGEFCGHYPTTLEILNPESELRMMACAYIPRHVSGYLSLISALSGCPNATLNPYVAHLVELRKKKKSKLMYHQLEDGDQYSDEEEYGGNDVVQITNALDSFISETKIPVHEAKIVSSFLTINQDVCNGAVDLRVSIIFLPFHKHQRVDGKMENSMEGIRTINQKVIRHAPCSVGIFLDRGQTGFQQPHGSLSVQNIATFFFGGPDDREALACSKRILMHSQVSLTVFRFIQANSSIQNSWISDASHKDEEVVMAISSIGTENEMDNVFVDSFYNRYVAQGKASLIEKYVSDGAETLVALREILDNMYSLVIVGKGGRENSSLTIGMSDWEECPELGLVGDLLASSEMNFSGSLLVIQQHRHSEEDEAFITP >KJB44474 pep chromosome:Graimondii2_0_v6:7:41353694:41367903:1 gene:B456_007G255300 transcript:KJB44474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANPSGVRSTACYAQPSHFAFSGRCKLLSSFPNRPRFLSPKKTSKFCSLSLLLSRNGVRCNSFAVKALVEPEVSESVAAAAGGAGAGGSGLLPPVSVKIPFGDREILIETGHIGRQASGSVVATDGETIVYTSVCLSDVPSEPSDFFPLSVTYQERFSAAGRTSGGFFKREGKTKDNEVLICRMIDRPLRPTMLKGFYHETQLLSWVLSYDGLHCPDALAVTAAGIAVALSEVPHSEAIAGVRIGLLGDKFVVNPTTKEMEGSTLDLFLAGTDNAILMIEGYCDFLPEEKLLEAVQVGQGAVRQICSAVKALVTKCGKPKMLDAIRLPPPELYRLVEKIAGDELHNVLQIKSKIPRRKAISLLEEKVINRLTDKGYISIEGLSGSGETIQDLIDEEDEDEEIVVDGEVDEGDVHIKPVSRKSTPLGGKRSDGRSPEDIRPITSSCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQKLDNLANVEEFKRFYLQYSFPPSSVGEVGRMGAPSRREIGHGTLAERALERILPTEDAFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKCSIAGIAMGLVLDTHEFGGDGTPLILSDITGAEDASGDMDFKVAGNEDGITAFQMDIKVEGITLPVMREALLQARDGRRRILAEMMKCSPPPAKSLSKYAPLIHIMKVAPEKVNMIIGSGGKKVKSIIEETGVEAIDTQDDGIVKITAKDLSSLEKSKSIISSLTMVPTVGDIYRNCEIKSIVPYGVFVEIAPGREGLCHISELTSDWLAKAEDAFKVGDRVDVKLIEVNDKGQLRLSRRALLPVPETSPEDPSSNPDVVVIADSGKASDEGAPQKYVSVPKAEGLAEEKIDRAKVKSSATKVASSSKSNSAETTLLPRKKVFKRVKKSGSKAVTGVSSNDGE >KJB44470 pep chromosome:Graimondii2_0_v6:7:41353595:41367915:1 gene:B456_007G255300 transcript:KJB44470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANPSGVRSTACYAQPSHFAFSGRCKLLSSFPNRPRFLSPKKTSKFCSLSLLLSRNGVRCNSFAVKALVEPEVSESVAAAAGGAGAGGSGLLPPVSVKIPFGDREILIETGHIGRQASGSVVATDGETIVYTSVCLSDVPSEPSDFFPLSVTYQERFSAAGRTSGGFFKREGKTKDNEVLICRMIDRPLRPTMLKGFYHETQLLSWVLSYDGLHCPDALAVTAAGIAVALSEVPHSEAIAGVRIGLLGDKFVVNPTTKEMEGSTLDLFLAGTDNAILMIEGYCDFLPEEKLLEAVQVGQGAVRQICSAVKALVTKCGKPKMLDAIRLPPPELYRLVEKIAGDELHNVLQIKSKIPRRKAISLLEEKVINRLTDKGYISIEGLSGSGETIQDLIDEEDEDEEIVVDGEVDEGDVHIKPVSRKSTPLFTEVDVKLVFKEVSSKILRRRIVEGGKRSDGRSPEDIRPITSSCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQKLDNLANVEEFKRFYLQYSFPPSSVGEVGRMGAPSRREIGHGTLAERALERILPTEDAFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKCSIAGIAMGLVLDTHEFGGDGTPLILSDITGAEDASGDMDFKVAGNEDGITAFQMDIKVEGITLPVMREALLQARDGRRRILAEMMKCSPPPAKSLSKYAPLIHIMKVAPEKVNMIIGSGGKKVKSIIEETGVEAIDTQDDGIVKITAKDLSSLEKSKSIISSLTMVPTVGDIYRNCEIKSIVPYGVFVEIAPGREGLCHISELTSDWLAKAEDAFKVGDRVDVKLIEVNDKGQLRLSRRALLPVPETSPEDPSSNPDVVVIADSGKASDEGAPQKYVSVPKAEGLAEEKIDRAKVKSSATKVASSSKSNSAETTLLPRKKVFKRVKKSGSKAVTGVSSNDGE >KJB44471 pep chromosome:Graimondii2_0_v6:7:41353694:41363113:1 gene:B456_007G255300 transcript:KJB44471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANPSGVRSTACYAQPSHFAFSGRCKLLSSFPNRPRFLSPKKTSKFCSLSLLLSRNGVRCNSFAVKALVEPEVSESVAAAAGGAGAGGSGLLPPVSVKIPFGDREILIETGHIGRQASGSVVATDGETIVYTSVCLSDVPSEPSDFFPLSVTYQERFSAAGRTSGGFFKREGKTKDNEVLICRMIDRPLRPTMLKGFYHETQLLSWVLSYDGLHCPDALAVTAAGIAVALSEVPHSEAIAGVRIGLLGDKFVVNPTTKEMEGSTLDLFLAGTDNAILMIEGYCDFLPEEKLLEAVQVGQGAVRQICSAVKALVTKCGKPKMLDAIRLPPPELYRLVEKIAGDELHNVLQIKSKIPRRKAISLLEEKVINRLTDKGYISIEGLSGSGETIQDLIDEEDEDEEIVVDGEVDEGDVHIKPVSRKSTPLFTEVDVKLVFKEVSSKILRRRIVEGGKRSDGRSPEDIRPITSSCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQKLDNLANVEEFKRFYLQYSFPPSSVGEVGRMGAPSRREIGHGTLAERALERILPTEDAFPYTIRVESTITESNGSSRCF >KJB44473 pep chromosome:Graimondii2_0_v6:7:41353694:41367494:1 gene:B456_007G255300 transcript:KJB44473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANPSGVRSTACYAQPSHFAFSGRCKLLSSFPNRPRFLSPKKTSKFCSLSLLLSRNGVRCNSFAVKALVEPEVSESVAAAAGGAGAGGSGLLPPVSVKIPFGDREILIETGHIGRQASGSVVATDGETIVYTSVCLSDVPSEPSDFFPLSVTYQERFSAAGRTSGGFFKREGKTKDNEVLICRMIDRPLRPTMLKGFYHETQLLSWVLSYDGLHCPDALAVTAAGIAVALSEVPHSEAIAGVRIGLLGDKFVVNPTTKEMEGSTLDLFLAGTDNAILMIEGYCDFLPEEKLLEAVQVGQGAVRQICSAVKALVTKCGKPKMLDAIRLPPPELYRLVEKIAGDELHNVLQIKSKIPRRKAISLLEEKVINRLTDKGYISIEGLSGSGETIQDLIDEEDEDEEIVVDGEVDEGDVHIKPVSRKSTPLFTEVDVKLVFKEVSSKILRRRIVEGGKRSDGRSPEDIRPITSSCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQKLDNLANVEEFKRFYLQYSFPPSSVGEVGRMGAPSRREIGHGTLAERALERILPTEDAFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKCSIAGIAMGLVLDTHEFGGDGTPLILSDITGAEDASGDMDFKVAGNEDGITAFQMDIKVEGITLPVMREALLQARDGRRRILAEMMKCSPPPAKSLSKYAPLIHIMKVAPEKVNMIIGSGGKKVKSIIEETGVEAIDTQDDGIVKITAKDLSSLEKSKSIISSLTMVPTVGDIYRNCEIKSIVPYGVFVEIAPGREGLCHISELTSDWLAKAEDAFKVGDRVDVKLIEVNDKGQLRLSRRALLPVPETSPEDPSSNPDVVVIADSGKASDEGAPQKYVSVPKAEGLAEEKIDRAKVKSSATKVASSSKSNSAETTLLPRKKVFKRVKKSGSKAVTGVSSNDGE >KJB44475 pep chromosome:Graimondii2_0_v6:7:41353694:41367903:1 gene:B456_007G255300 transcript:KJB44475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANPSGVRSTACYAQPSHFAFSGRCKLLSSFPNRPRFLSPKKTSKFCSLSLLLSRNGVRCNSFAVKALVEPEVSESVAAAAGGAGAGGSGLLPPVSVKIPFGDREILIETGHIGRQASGSVVATDGETIVYTSVCLSDVPSEPSDFFPLSVTYQERFSAAGRTSGGFFKREGKTKDNEVLICRMIDRPLRPTMLKGFYHETQLLSWVLSYDGLHCPDALAVTAAGIAVALSEVPHSEAIAGVRIGLLGDKFVVNPTTKEMEGSTLDLFLAGTDNAILMIEGYCDFLPEEKLLEAVQVGQGAVRQICSAVKALVTKCGKPKMLDAIRLPPPELYRLVEKIAGDELHNVLQIKSKIPRRKAISLLEEKVINRLTDKGYISIEGLSGSGETIQDLIDEEDEDEEIVVDGEVDEGDVHIKPVSRKSTPLFTEVDVKLVFKEVSSKILRRRIVEGGKRSDGRSPEDIRPITSSCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQKLDNLANVEEFKRFYLQYSFPPSSVGEVGRMGAPSRREIGHGTLAERALERILPTEDAFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKCSIAGIAMGLVLDTHEFGGDGTPLILSDITGAEDASGDMDFKVAGNEDGITAFQMDIKVEGITLPVMREALLQARDGRRRILAEMMKCSPPPAKSLSKYAPLIHIMKVAPEKVNMIIGSGGKKVKSIIEETGVEAIDTQDDGIVKITAKDLSSLEKSKSIISSLTMVPTVGDIYRNCEIKSIVPYGVFVEIAPGREGLCHISELTSDWLAKAEDVNDKGQLRLSRRALLPVPETSPEDPSSNPDVVVIADSGKASDEGAPQKYVSVPKAEGLAEEKIDRAKVKSSATKVASSSKSNSAETTLLPRKKVFKRVKKSGSKAVTGVSSNDGE >KJB44472 pep chromosome:Graimondii2_0_v6:7:41353694:41366271:1 gene:B456_007G255300 transcript:KJB44472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANPSGVRSTACYAQPSHFAFSGRCKLLSSFPNRPRFLSPKKTSKFCSLSLLLSRNGVRCNSFAVKALVEPEVSESVAAAAGGAGAGGSGLLPPVSVKIPFGDREILIETGHIGRQASGSVVATDGETIVYTSVCLSDVPSEPSDFFPLSVTYQERFSAAGRTSGGFFKREGKTKDNEVLICRMIDRPLRPTMLKGFYHETQLLSWVLSYDGLHCPDALAVTAAGIAVALSEVPHSEAIAGVRIGLLGDKFVVNPTTKEMEGSTLDLFLAGTDNAILMIEGYCDFLPEEKLLEAVQVGQGAVRQICSAVKALVTKCGKPKMLDAIRLPPPELYRLVEKIAGDELHNVLQIKSKIPRRKAISLLEEKVINRLTDKGYISIEGLSGSGETIQDLIDEEDEDEEIVVDGEVDEGDVHIKPVSRKSTPLFTEVDVKLVFKEVSSKILRRRIVEGGKRSDGRSPEDIRPITSSCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQKLDNLANVEEFKRFYLQYSFPPSSVGEVGRMGAPSRREIGHGTLAERALERILPTEDAFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKCSIAGIAMGLVLDTHEFGGDGTPLILSDITGAEDASGDMDFKVAGNEDGITAFQMDIKVEGITLPVMREALLQARDGRRRILAEMMKCSPPPAKSLSKYAPLIHIMKVAPEKVNMIIGSGGKKVKSIIEETGVEAIDTQDDGIVKITAKDLSSLEKSKSIISSLTMVPTVGDIYRNCEIKSIVPYGVFVEIAPGREGLCHISELTSDWLAKAEDVSIFFCFFYAQLLDALL >KJB44499 pep chromosome:Graimondii2_0_v6:7:41535959:41539611:-1 gene:B456_007G255900 transcript:KJB44499 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 4 [Source:Projected from Arabidopsis thaliana (AT3G03740) UniProtKB/Swiss-Prot;Acc:Q9SRV1] MPSPLGSPTSSRSVTQTVNGSHRFTIKGYSLAKGMGVGKHIASESFTVGGYQWAIYFYPDGKNPEDHSTYVSVFVALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRAMLETSDFLKDDCLKINCTVGVVVSETDCPRLHSIQVPESDIGSHFGILLENEEGSDITFNVFGEKFHAHKLVLAARSPVFEAEFSDRMEDDNEIVVTDMEPKVFKALLHFIYRDSLIDDEEFVGTSSSSMPSVSDALAAKLLAAADKYDLPRLRLMCESVLCKDISVNSVANILALADRHYAMDLKSVCLKFAAENLVGKFSVLFFCFSKFYVI >KJB44498 pep chromosome:Graimondii2_0_v6:7:41534804:41539841:-1 gene:B456_007G255900 transcript:KJB44498 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 4 [Source:Projected from Arabidopsis thaliana (AT3G03740) UniProtKB/Swiss-Prot;Acc:Q9SRV1] MPSPLGSPTSSRSVTQTVNGSHRFTIKGYSLAKGMGVGKHIASESFTVGGYQWAIYFYPDGKNPEDHSTYVSVFVALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRAMLETSDFLKDDCLKINCTVGVVVSETDCPRLHSIQVPESDIGSHFGILLENEEGSDITFNVFGEKFHAHKLVLAARSPVFEAEFSDRMEDDNEIVVTDMEPKVFKALLHFIYRDSLIDDEEFVGTSSSSMPSVSDALAAKLLAAADKYDLPRLRLMCESVLCKDISVNSVANILALADRHYAMDLKSVCLKFAAENLVAVMRSDGFEYLKENCPSLQSELLKTVAGCEEEFSGGGKSRSVWAHLSDGGDTINRSVRQQTWENGGEQNQGMWVQLDGGGDGEGSPRQEE >KJB44500 pep chromosome:Graimondii2_0_v6:7:41534805:41539744:-1 gene:B456_007G255900 transcript:KJB44500 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 4 [Source:Projected from Arabidopsis thaliana (AT3G03740) UniProtKB/Swiss-Prot;Acc:Q9SRV1] MPSPLGSPTSSRSVTQTVNGSHRFTIKGYSLAKGMGVGKHIASESFTVGGYQWAIYFYPDGKNPEDHSTYVSVFVALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRAMLETSDFLKDDCLKINCTVGVVVSETDCPRLHSIQVPESDIGSHFGILLENEEGSDITFNVFGEKFHAHKLVLAARSPVFEAEFSDRMEDDNEIVVTDMEPKVFKALLHFIYRDSLIDDEEFVGTSSSSMPSVSDALAAKLLAAADKYDLPRLRLIGGGKSRSVWAHLSDGGDTINRSVRQQTWENGGEQNQGMWVQLDGGGDGEGSPRQEE >KJB44501 pep chromosome:Graimondii2_0_v6:7:41535959:41539611:-1 gene:B456_007G255900 transcript:KJB44501 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 4 [Source:Projected from Arabidopsis thaliana (AT3G03740) UniProtKB/Swiss-Prot;Acc:Q9SRV1] MPSPLGSPTSSRSVTQTVNGSHRFTIKGYSLAKGMGVGKHIASESFTVGGYQWAIYFYPDGKNPEDHSTYVSVFVALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRAMLETSDFLKDDCLKINCTVGVVVSETDCPRLHSIQVPESDIGSHFGILLENEEGSDITFNVFGEKFHAHKLVLAARSPVFEAEFSDRMEDDNEIVVTDMEPKVFKALLHFIYRDSLIDDEEFVGTSSSSMPSVSDALAAKLLAAADKYDLPRLRLMCESVLCKDISVNSVANILALADRHYAMDLKSVCLKFAAENLVGKFSVLFFCFSKFYVI >KJB41838 pep chromosome:Graimondii2_0_v6:7:9839252:9844458:-1 gene:B456_007G123700 transcript:KJB41838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRSKLLLLLFALSFAIVAIAGKSYYDILQVPKGASDEQIKRAYRKLALKYHPDKNPGNEEANKRFADINNAYEVLSDSEKRGIYDRYGEEGLKQHATSGGRGGMGVNIQDIFSSFFGGGSVEEEERIVKGDDVIVELDATLEDLYMGGTLKVWREKNILKPAPGKRRCNCRNEVYHKQIGPGMFQQMTEQVCEQCQNVKYEREGYFVTVDIEKGMQDGQEVVFYEDGEPIIDGEPGDLKFRIRTAPHDRFRREGNDLHTTVTITLVQALVGFDKTIKHLDDHLVEIGSKGITKPKEVRKFKGEGMPLHFSNKKGDLFVTYEVLFPTSLAEDQKAKIKSILG >KJB41840 pep chromosome:Graimondii2_0_v6:7:9840713:9844292:-1 gene:B456_007G123700 transcript:KJB41840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRSKLLLLLFALSFAIVAIAGKSYYDILQVPKGASDEQIKRAYRKLALKYHPDKNPGNEEANKRFADINNAYEVLSDSEKRGIYDRYGEEGLKQHATSGGRGGMGVNIQDIFSSFFGGGSVEEEERIVKGDDVIVELDATLEDLYMGGTLKVWREKNILKPAPGKRRCNCRNEVYHKQIGPGMFQQMTEQVCEQCQNVKYEREGYFVTVDIEKGMQDGQVGF >KJB41839 pep chromosome:Graimondii2_0_v6:7:9839271:9844292:-1 gene:B456_007G123700 transcript:KJB41839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRSKLLLLLFALSFAIVAIAGKSYYDILQVPKGASDEQIKRAYRKLALKYHPDKNPGNEEANKRFADINNAYEVLSDSEKRGIYDRYGEEGLKQHATSGGRGGMGVNIQDIFSSFFGGGSVEEEERIVKGDDVIVELDATLEDLYMGGTLKVWREKNILKPAPGKRRCNCRNEVYHKQIGPGMFQQMTEQVCEQCQNVKYEREGYFVTVDIEKGMQDGQEVVFYEDGEPIIDGEPGDLKVSL >KJB46642 pep chromosome:Graimondii2_0_v6:7:32348876:32349282:-1 gene:B456_007G2373003 transcript:KJB46642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTIERYRRHTKDNETNKPIEQNLQHLKAESANMLKTVEDLEVSR >KJB40426 pep chromosome:Graimondii2_0_v6:7:4385025:4391426:1 gene:B456_007G062700 transcript:KJB40426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSTRLLYKSRQLYVSQAVLRQEVAIPVRFYSKEASPPGLKGDEILKNIFVEVKNKFETALGIFRKEKITIDPDDPAAVSQYANVMKTAREKGGLFSESQRIKYTIETRTQGIPDVRTYLLTLKEIRSKRGLTDELGAEAMMMGALDIVEKEIKKPLMRDDKKSMALLTAEFDKINKKLGIRKEDLPKYEEQLELKIAKAQLEELKKDALEAMETQKKREEFKDEAMPDVKSLDIRNFI >KJB40428 pep chromosome:Graimondii2_0_v6:7:4385237:4390937:1 gene:B456_007G062700 transcript:KJB40428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSTRLLYKSRQLYVSQAVLRQEVAIPVRFYSKEASPPGLKGDEILKNIFVEVKNKFETALGIFRKEKITIDPDDPAAVSQYANVMKTAREKGGLFSESQRIKYTIETRTQGIPDVRTYLLTLKEIRSKRGLTDELGAEAMMMGALDIVEKEIKKPLMRDDKKSMALLTAEFDKINKKLGIRKEDLPKYEEQLELKIAKAQLEELKKDALEAMETQKKRYGGIQG >KJB40429 pep chromosome:Graimondii2_0_v6:7:4385299:4391391:1 gene:B456_007G062700 transcript:KJB40429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQKLYVSQAVLRQEVAIPVRFYSKEASPPGLKGDEILKNIFVEVKNKFETALGIFRKEKITIDPDDPAAVSQYANVMKTAREKGGLFSESQRIKYTIETRTQGIPDVRTYLLTLKEIRSKRGLTDELGAEAMMMGALDIVEKEIKKPLMRDDKKSMALLTAEFDKINKKLGIRKEDLPKYEEQLELKIAKAQLEELKKDALEAMETQKKREEFKDEAMPDVKSLDIRNFI >KJB40427 pep chromosome:Graimondii2_0_v6:7:4385084:4391386:1 gene:B456_007G062700 transcript:KJB40427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSTRLLYKSRQLYVSQAVLRQEVAIPVRFYSKEASPPGLKGDEILKNIFVEVKNKFETALGIFRKEKITIDPDDPAAVSQYANVMKTAREKGGLFSESQRIKYTIETRTQGIPDVRTYLLTLKEIRSKRGLTDELGAEAMMMGALDIVEKEIKKPLMRDDKKSMALLTAEFDKINKKLGIRKEDLPKYEEQLELKIAKAQLEELKKDALEAMETQKKRYEFKDEAMPDVKSLDIRNFI >KJB44333 pep chromosome:Graimondii2_0_v6:7:37935702:37942091:1 gene:B456_007G246500 transcript:KJB44333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQGLLEEQQEMPTPMGFFSTFPPNNNITSCPPLGCHPLKAFNIAAPDHHHALPTETLLSSLSSPKHREHLASDFGGPRLLSLQRSSAKFWAWGELNECLGSKKNGVDDHLGVSAMKMKRIKARRKVREPRFCFKTMSEVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSHDLDDSQPTDSQLNNFF >KJB44332 pep chromosome:Graimondii2_0_v6:7:37935680:37941173:1 gene:B456_007G246500 transcript:KJB44332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQGLLEEQQEMPTPMGFFSTFPPNNNITSCPPLGCHPLKAFNIAAPDHHHALPTETLLSSLSSPKHREHLASDFGGPRLLSLQRSSAKFWAWGELNECLGSKKNGVDDHLGVSAMKMKRIKARRKVREPRFCFKTMSEVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSHDLDDSQPTDSQLNNFFW >KJB42855 pep chromosome:Graimondii2_0_v6:7:15401216:15402068:1 gene:B456_007G1707002 transcript:KJB42855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYRTDDVPQDMVDRRVEVVARLKALEDAAAPLVTFLQNANAVQELRADKQYNLQMLNDRYQIGPDQIEALYQYAKFQF >KJB46289 pep chromosome:Graimondii2_0_v6:7:58865587:58868366:1 gene:B456_007G357000 transcript:KJB46289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSATESQSDRNQGSSTSSKKKKKNSDFHEKKLLKKVIFLEWKREGGHRENLILHRYHVTGHDDYKKYSSLCRMVQKYNMGVIPTRKSLALCDRLSVSSFCRRRLSTVLVHLKFAEHLKEAVTYVERDTFVLVQRWNMEDFITWVNTSKIRRKVLEYNEKLDDYDAMN >KJB43362 pep chromosome:Graimondii2_0_v6:7:19514738:19521287:1 gene:B456_007G196200 transcript:KJB43362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRNQGTAEPATTRKTPPTRKSAAKTSSGCTDAAAESTALKTAGTKQASAAKAKQMDKNEISTVIIDGSDSNLERSAVEEVKSSPEATRLTPESKATPGTKLVSKRTPGRPKRAVSAKGKAVSVVNSEGTANEKVLESPNKVEMEHQKVKEREKIEETGKSKLEEEVPCDGNIEEYVAKEDSLLVSGTDRKIVDLNVKEGRKGKLSGEDVQMEQDRYGSFDDEFEYGDRVDFGDHDDEVLADDDVDDPIEETEALEEECKELTAIAKEHKIKKECEIFVGGLDRDAVEEDLRQVFEKIGEVVEVRLHKNPITNKNKGYAFVKFSNKEHAKHALLEMKNPVICGKRCGTAPSEDNDTLFLGNICNTWTKEAIKQNLKEYGIEGVENITLVPDVQHEGLSRGFAFLEFSCHADAMLAYKRLQKPDVVFGHPERTAKVAFAEPLREPDPEIMATVKTVFLDSLPPHWGEDRVREKLKGYGEIVQIVLARNMSTAKRKDFGFVDFSTHKAATACVDGVNNKQLGDGNSKTKVRARLSNPMPKTQAIKGGMSGGFRIGSGGNGTFSRFGRTFGQVGHRFNSKGFQHGRYYHQNERGRTSTNEHDFDNRYSEFHRQQVMGGRRGSYGDGYRTSSRAASFVSPSRYNLSRSWYDGPERAWREHAPLRRQPFSPQRAFDQPYGGQQYDDPYYYDESAHSMKRPFYMTDHDPEYMEPPRFRPRMDHPYPEVTFHETRHRDPHHAAGSGLYSHDYFGSDYDAYPPYYRDHSYGGSY >KJB43360 pep chromosome:Graimondii2_0_v6:7:19514738:19519458:1 gene:B456_007G196200 transcript:KJB43360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLLMLDCEMFLFKFIFLFMIFKFEIVEEVKSSPEATRLTPESKATPGTKLVSKRTPGRPKRAVSAKGKAVSVVNSEGTANEKVLESPNKVEMEHQKVKEREKIEETGKSKLEEEVPCDGNIEEYVAKEDSLLVSGTDRKIVDLNVKEGRKGKLSGEDVQMEQDRYGSFDDEFEYGDRVDFGDHDDEVLADDDVDDPIEETEALEEECKELTAIAKEHKIKKECEIFVGGLDRDAVEEDLRQVFEKIGEVVEVRLHKNPITNKNKGYAFVKFSNKEHAKHALLEMKNPVICGKRCGTAPSEDNDTLFLGNICNTWTKEAIKQNLKEYGIEGVENITLVPDVQHEGLSRGFAFLEFSCHADAMLAYKRLQKPDVVFGHPERTAKVAFAEPLREPDPEIMATVKTVFLDSLPPHWGEDRVREKLKGYGEIVQIVLARNMSTAKRKDFGFVDFSTHKAATACVDGVNNKQLGDGNSKTKVRARLSNPMPKTQAIKGGMSGGFRIGSGGNGTFSRFGRTFGQVGHRFNSKGFQHGRYYHQNERGRTSTNEHDFDNRYSEFHRQQVMGGRRGSYGDGYRTSSRAASFVSPSRYNLSRSWYDGPERAWREHAPLRRQPFSPQRAFDQPYGGQQYDDPYYYDESAHSMKRPFYMTDHDPEYMEPPRFRPRMDHPYPEVTFHETRHRDPHHAAGSGLYSHDYFGSDYDAYPPYYRDHSYGGSY >KJB43361 pep chromosome:Graimondii2_0_v6:7:19514738:19519458:1 gene:B456_007G196200 transcript:KJB43361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRNQGTAEPATTRKTPPTRKSAAKTSSGCTDAAAESTALKTAGTKQASAAKAKQMDKNEISTVIIDGSDSNLERSAVEEVKSSPEATRLTPESKATPGTKLVSKRTPGRPKRAVSAKGKAVSVVNSEGTANEKVLESPNKVEMEHQKVKEREKIEETGKSKLEEEVPCDGNIEEYVAKEDSLLVSGTDRKIVDLNVKEGRKGKLSGEDVQMEQDRYGSFDDEFEYGDRVDFGDHDDEVLADDDVDDPIEETEALEEECKELTAIAKEHKIKKECEIFVGGLDRDAVEEDLRQVFEKIGEVVEVRLHKNPITNKNKGYAFVKFSNKEHAKHALLEMKNPVICGKRCGTAPSEDNDTLFLGNICNTWTKEAIKQNLKEYGIEGVENITLVPDVQHEGLSRGFAFLEFSCHADAMLAYKRLQKPDVVFGHPERTAKVAFAEPLREPDPEIMATVKTVFLDSLPPHWGEDRVREKLKGYGEIVQIVLARNMSTAKRKDFGFVDFSTHKAATACVDGVNNKQLGDGNSKTKVRARLSNPMPKTQAIKGGMSGGFRIGSGGNGTFSRFGRTFGQVGHRFNSKGFQHGRYYHQNERGRTSTNEHDFDNRYSEFHRQQVMGKGGRRGSYGDGYRTSSRAASFVSPSRYNLSRSWYDGPERAWREHAPLRRQPFSPQRAFDQPYGGQQYDDPYYYDESAHSMKRPFYMTDHDPEYMEPPRFRPRMDHPYPEVTFHETRHRDPHHAAGSGLYSHDYFGSDYDAYPPYYRDHSYGGSY >KJB43363 pep chromosome:Graimondii2_0_v6:7:19514738:19521287:1 gene:B456_007G196200 transcript:KJB43363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRNQGTAEPATTRKTPPTRKSAAKTSSGCTDAAAESTALKTAGTKQASAAKAKQMDKNEISTVIIDGSDSNLERSAVEEVKSSPEATRLTPESKATPGTKLVSKRTPGRPKRAVSAKGKAVSVVNSEGTANEKVLESPNKVEMEHQKVKEREKIEETGKSKLEEEVPCDGNIEEYVAKEDSLLVSGTDRKIVDLNVKEGRKGKLSGEDVQMEQDRYGSFDDEFEYGDRVDFGDHDDEVLADDDVDDPIEETEALEEECKELTAIAKEHKIKKECEIFVGGLDRDAVEEDLRQVFEKIGEVVEVRLHKNPITNKNKGYAFVKFSNKEHAKHALLEMKNPVICGKRCGTAPSEDNDTLFLGNICNTWTKEAIKQNLKEYGIEGVENITLVPDVQHEGLSRGFAFLEFSCHADAMLAYKRLQKPDVVFGHPERTAKVAFAEPLREPDPEIMATVKTVFLDSLPPHWGEDRVREKLKGYGEIVQIVLARNMSTAKRKDFGFVDFSTHKAATACVDGVNNKQLGDGNSKTKVRARLSNPMPKTQAIKGGMSGGFRIGSGGNGTFSRFGRTFGQVGHRFNSKGFQHGRYYHQNERGRTSTNEHDFDNRYSEFHRQQVMGGRRGSYGDGYRTSSRAASFVSPSRYNLSRSWYDGPERAWREHAPLRRQPFSPQRAFDQPYGGQQYDDPYYYDESAHSMKRPFYMTDHDPEYMEPPRFRPRMDHPYPEVTFHETRHRDPHHAAGSGLYSHDYFGSDYDAYPPYYRDHSYGGSY >KJB45790 pep chromosome:Graimondii2_0_v6:7:54799120:54800259:-1 gene:B456_007G328200 transcript:KJB45790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDENNLEGTIPKCMGNLSSSLIAVDLGNNNFHGQIPENFAKGCMLRSLGINNNELEGSLPRSLRNCKGLNLLDVGNNNLNDTFPSWLGNLDQLQVLILRSNRFYGQIESFDITVSLTKLRIIDLSHNNFSGYLPTPFFEHMHAIRDEYGKKVEPNYMREVKPTKDAFGVFWVDYASGLSVTAKGLEIKFESLLSIWTVIDLSSNQFRGEIPKILGELHLLVVLNLSHNCLIGPIPSSLGNLSELESLDLSSNKLEGRIPTELKNLGFLEVLNLSQNNLKGPIPQGKQFDTFTNDSYMGNSDLCGLPLSKNCGTDEETPVKFDRDDDGDELNWKFSILVGYGCGLVLGMSMAYIVFTTGKPWWLIRIVERVRQRFAKR >KJB43877 pep chromosome:Graimondii2_0_v6:7:25725846:25729427:1 gene:B456_007G221300 transcript:KJB43877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase 13 [Source:Projected from Arabidopsis thaliana (AT2G23096) UniProtKB/Swiss-Prot;Acc:F4ILF8] MKNSRPVSCNPTNNNVAFSLSEMSTSDYTPKAKAKGKAYWHWNTTKTKLDFPAVIVFCCFFFVFGFLCSSLRSQVSGVRQRGRQLLNSVEYELMGHGKTGDDSISVIPFQVISWRPRAFYFPKFATLEQCQHIINLAKPYLAPSKLALRKGESEGPQDVRTSTGTFLSVSDDPTGVLDAIEEKIAKATKLPRTHYEHFNVLRYELGQKYDSHLDAFPVEQYGPQKSQRVATFLVYLSEVEGGGETAFPFENGLNMDGSYDFKKCIGLKVKPRIGDGFLFYSLFPNNTIDTASLHTSCPVIKGEKWVVTKWIRDQKDFF >KJB45896 pep chromosome:Graimondii2_0_v6:7:56022765:56035998:1 gene:B456_007G335800 transcript:KJB45896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATMTTPLPISLFPFLLLILAICFTICHANSNLLCIQSEREALLKFKNHLIDPSNRLSSWVEGGDCCEWTGVVCHNSTGHVKQLHLAAPLSDPDGFATNAEREAYYNSWLGGKINPSLLELKHLSSLDFSNNNFSSIHIPKFFGLLQSLTYLNLSQAQFQGIIPHNLGNLSKLQYLDLGGNDLRSKSLQWVSGLSSLQYLDLSYLHLSEATDWVQVTFKLPSLLELHLSVCGLEDDPSFNSINSSKSLVVLDLSWNRFSSVPKWIFSLHGLVSIDLSNNDLEGPIPAYFGNISFLEVLDLSGNDLNSSISNSLYSLNRLQFLSLGHNQLQGTISSAIGNLSSVTHLDLSENQLNGQIPLSIGQLSSLEEFEVSENQLNGQIPLSIGELSSLKLFDVSRNQLNGQIPLSIGQLSSLEEFDVSENQLNGQIPLSIGELSSLKLFDVSENQLNGTFPLSFGRLESLETLDCGYNLLEGVVSETHFSNLKRLTTLAASHNRLRFEPNSSWIPPFQCEWIDLGHWHLGPKFPQWLKFQKKLSYLDISYAGISDVMPTWFFNHPTQFESLNLSSNQLRGEISYLNVRYCVDLSSNRFIGPLPRVFPTLRFLFLSNNSFSGSLFELVCNTSSWKWVEVLYIDKNLISGDIPDCWNHWQGLVLFLNLGSNNLTGKIPPSLWHLNLRMLNLRNNTMFGELPSTLQNSPNLVMLDLSENHFSGSVPAWIGDKLSNLVILSLRSNNFDGHIPYKICDLQFLQNLDLAHNNISGVIPKCFNNLTAMATTNKTNNSVLQEYVYAVSLFLNALLVLKGREDEYGRTLSLVTTWTFQLTVSQERSPKKLVVSLDYCL >KJB41014 pep chromosome:Graimondii2_0_v6:7:6264040:6267911:-1 gene:B456_007G087300 transcript:KJB41014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQGQGRCSFDIFCNKIPDSITYFISWCYGSVFSSVHWRYRSLLITLRPDLTCLERQKQQPYFQAENDGLGNDGVIPQLFTSLPALNEAASYLAQTTNYLAGYFSGYSEKLAFRDSRDSIVRVQEISTSSSGRTKDSVINDHPFSSGSSSTLSASSCHVTTASANNPVVRTSTGDPSEINGAIAQSNHTRRNGISIFQGLIERARSTVRGSANDIGWLKRAPEMPSVEDGTGRFTEILENIRHGLHKLPSSMVYLLVPGLFSNHGPLYFVSTKTSFSKMGLTCHIAKIHSEASVEKNAKEIKDYIEEIYWGSGKRVLLLGHSKGGVDLAAALSMYWSDLKDKVAGLALAQSPYGGSPIASDILREGQLGDYVNLRKLMEILICKVLKGDMQAMEDLTYKRRKEFLQKHHLPKELPVVSFHTEASISPAVLATLSRVAHAELPMMAPLSDGQPARLPVVMPLGAAMAACAQLLLVRYKEQSDGLVARCDAEVPGSIVVRPKRKLDHAWMVYSSLRDDPSEADAAQVCEALLTLLVEVGQKKRHELSMKDE >KJB41016 pep chromosome:Graimondii2_0_v6:7:6263672:6268528:-1 gene:B456_007G087300 transcript:KJB41016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAERCRNGESRTGSVAENDGLGNDGVIPQLFTSLPALNEAASYLAQTTNYLAGYFSGYSEKLAFRDSRDSIVRVQEISTSSSGRTKDSVINDHPFSSGSSSTLSASSCHVTTASANNPVVRTSTGDPSEINGAIAQSNHTRRNGISIFQGLIERARSTVRGSANDIGWLKRAPEMPSVEDGTGRFTEILENIRHGLHKLPSSMVYLLVPGLFSNHGPLYFVSTKTSFSKMGLTCHIAKIHSEASVEKNAKEIKDYIEEIYWGSGKRVLLLGHSKGGVDLAAALSMYWSDLKDKVAGLALAQSPYGGSPIASDILREGQLGDYVNLRKLMEILICKVLKGDMQAMEDLTYKRRKEFLQKHHLPKELPVVSFHTEASISPAVLATLSRVAHAELPMMAPLSDGQPARLPVVMPLGAAMAACAQLLLVRYKEQSDGLVARCDAEVPGSIVVRPKRKLDHAWMVYSSLRDDPSEADAAQVCEALLTLLVEVGQKKRHELSMKDE >KJB41013 pep chromosome:Graimondii2_0_v6:7:6263672:6268413:-1 gene:B456_007G087300 transcript:KJB41013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAERCRNGESRTGSVAENDGLGNDGVIPQLFTSLPALNEAASYLAQTTNYLAGYFSGYSEKLAFRDSRDSIVRVQEISTSSSGRTKDSVINDHPFSSGSSSTLSASSCHVTTASANNPVVRTSTGDPSEINGAIAQSNHTRRNGISIFQGLIERARSTVRGSANDIGWLKRAPEMPSVEDGTGRFTEILENIRHGLHKLPSSMVYLLVPGLFSNHGPLYFVSTKTSFSKMGLTCHIAKIHSEASVEKNAKEIKDYIEEIYWGSGKRVLLLGHSKGGVDLAAALSMYWSDLKDKVAGLALAQSPYGGSPIASDILREGQLGDYVNLRKLMEILICKVLKGDMQAMEDLTYKRRKEFLQKHHLPKELPVVSFHTEASISPAVLATLSRVAHAELPMMAPLSDGQPARLPVVMPLGAAMAACAQLLLVRYKEQSDGLVARCDAEVPGSIVVRPKRKLDHAWMVYSSLRDDPSEADAAQVCEALLTLLVEVGQKKRHELSMKDE >KJB41015 pep chromosome:Graimondii2_0_v6:7:6264040:6267911:-1 gene:B456_007G087300 transcript:KJB41015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQGQGRCSFDIFCNKIPDSITYFISWCYGSVFSSVHWRYRSLLITLRPDLTCLERQKQQPYFQAENDGLGNDGVIPQLFTSLPALNEAASYLAQTTNYLAGYFSGYSEKLAFRDSRDSIVRVQEISTSSSGRTKDSVINDHPFSSGSSSTLSASSCHVTTASANNPVVRTSTGDPSEINGAIAQSNHTRRNGISIFQGLIERARSTVRGSANDIGWLKRAPEMPSVEDGTGRFTEILENIRHGLHKLPSSMVYLLVPGLFSNHGPLYFVSTKTSFSKMGLTCHIAKIHSEASVEKNAKEIKDYIEEIYWGSGKRVLLLGHSKGGVDLAAALSMYWSDLKDKVAGLALAQSPYGGSPIASDILREGQLGDYVNLRKLMEILICKVLKGDMQAMEDLTYKRRKEFLQKHHLPKELPVVSFHTEASISPAVLATLSRVAHAELPMMAPLSDGQPARLPVVMPLGAAMAACAQLLLVRYKEQSDGLVARCDAEVPGSIVVRPKRKLDHAWMVYSSLRDDPSEADAAQVCEALLTLLVEVGQKKRHELSMKDE >KJB41012 pep chromosome:Graimondii2_0_v6:7:6263672:6267067:-1 gene:B456_007G087300 transcript:KJB41012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYGRLHCNSFGNIAEKLAFRDSRDSIVRVQEISTSSSGRTKDSVINDHPFSSGSSSTLSASSCHVTTASANNPVVRTSTGDPSEINGAIAQSNHTRRNGISIFQGLIERARSTVRGSANDIGWLKRAPEMPSVEDGTGRFTEILENIRHGLHKLPSSMVYLLVPGLFSNHGPLYFVSTKTSFSKMGLTCHIAKIHSEASVEKNAKEIKDYIEEIYWGSGKRVLLLGHSKGGVDLAAALSMYWSDLKDKVAGLALAQSPYGGSPIASDILREGQLGDYVNLRKLMEILICKVLKGDMQAMEDLTYKRRKEFLQKHHLPKELPVVSFHTEASISPAVLATLSRVAHAELPMMAPLSDGQPARLPVVMPLGAAMAACAQLLLVRYKEQSDGLVARCDAEVPGSIVVRPKRKLDHAWMVYSSLRDDPSEADAAQVCEALLTLLVEVGQKKRHELSMKDE >KJB41626 pep chromosome:Graimondii2_0_v6:7:8749810:8750842:1 gene:B456_007G112800 transcript:KJB41626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGEAACSYAALILYDDGIPITIATLVKAANVSVESYWPSLFAKLFEKCNIEDLITNVGAAGAGAAVAAAAPVAAGAGGGAAAPPPAEEKKKEEPEEESDDDMGFSLFD >KJB41623 pep chromosome:Graimondii2_0_v6:7:8749792:8750862:1 gene:B456_007G112800 transcript:KJB41623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGEAACSYAALILYDDGIPITAEKIATLVKAANVSVESYWPSLFAKLFEKCNIEDLITNVGAAGAGAAVAAAAPVAAGAGGGAAAPPPAEEKKKSQRKRAMMIWDSVYLIRSSFQCMNWLITGSLQ >KJB41622 pep chromosome:Graimondii2_0_v6:7:8749807:8750881:1 gene:B456_007G112800 transcript:KJB41622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGEAACSYAALILYDDGIPITAEKIATLVKAANVSVESYWPSLFAKLFEKCNIEDLITNVGAAGAGAAVAAAAPVAAGAGGGAAAPPPAEEKKKEEPEEESDDDMGFSLFD >KJB41624 pep chromosome:Graimondii2_0_v6:7:8750015:8750635:1 gene:B456_007G112800 transcript:KJB41624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGEAACSYAALILYDDGIPITAEKIATLVKAANVSVESYWPSLFAKLFEKCNIEDLITNVGAAGAGAAVAAAAPVAAGAGGGAAAPPPAEEKKKEEPEEESDDDMGFSLFD >KJB41625 pep chromosome:Graimondii2_0_v6:7:8749810:8750842:1 gene:B456_007G112800 transcript:KJB41625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGEAACSYAALILYDDGIPITCNIEDLITNVGAAGAGAAVAAAAPVAAGAGGGAAAPPPAEEKKKEEPEEESDDDMGFSLFD >KJB45207 pep chromosome:Graimondii2_0_v6:7:50641907:50643255:1 gene:B456_007G295400 transcript:KJB45207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLYFLLIFLSISSFTLLSQSQTSSKLNKFVLPIHQDNKTNLYVANIYKRTPLLQIPFVVDLNGRLLWVTCEQNYLSSTYHAPRCYSTQCARANTHYCHTCFSKARPGCHNNTCGLMSVNPVTGLTAMSELAQDVLSIYSTQGSNPGPLVKIPRFLFTCAPSLLLQPVLPGNVQGVAGLGHSPISLPTQLASHFGYAGFAPTFALCLAPNGVIFFGDSPYYMLPGLDISLPVGYTPLIISPLGEYYIEVKSIKINNKDVPLNTTLLSIDRRGIGGTKLSTINPYTILEHSIFKSVIEFFTKELSGIPLVKLVEPFEVCFNSKSIDKRTRVGPKVPNIDLVLHDQHVIWRIYGSNSLVEATPGVSCLAFVDGGLNTRAAIVIGAYQMENNLIQFDMARSRLGFSSSLLFFRTSCNNLNFTAIP >KJB41993 pep chromosome:Graimondii2_0_v6:7:10659173:10659635:1 gene:B456_007G131600 transcript:KJB41993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILTLKTILKHQNPCRVIGSRFKTSSVQYVISRFRDPTFEKLMDKYKNLLKVIAIQDLILANPTNNPPSVSLDFLSRLSQKLHLNRGAASF >KJB39605 pep chromosome:Graimondii2_0_v6:7:1553878:1556045:1 gene:B456_007G021500 transcript:KJB39605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKKSVESDNAEDILTKIENKSLKIESLIKQYKFIEAIKTALEGYPPRDERCKSANWIGVHKALMAIKDVEGMLRSLDPQYYDILMKYIYRGLSTGNRTTCDQCLKIHEKLTEKAGFGCILRSLADTIECI >KJB39606 pep chromosome:Graimondii2_0_v6:7:1553878:1556045:1 gene:B456_007G021500 transcript:KJB39606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKKSVESDNAEDILTKIENKSLKIESLIKQYKFIEAIKTALEGYPPRDERCKSANWIGVHKALMAIKDVEGMLRSLDPQYYDILMKYIYRGLSTGNRTTCDQCLKIHEKLTEKAGFGCILRSLADTVNTV >KJB42635 pep chromosome:Graimondii2_0_v6:7:14155493:14159201:1 gene:B456_007G161900 transcript:KJB42635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGFGPNGGLSYRMEHLEENLDEWLAEELDNYLDDDYLVFDCPGQIELFSHVAMLRNFVEHLNRKNFNVCGVYWLDSQFITDVTKFVSGCMASLSAMVQLELPHVNILSKMDLVTNKRDVENYLDPEPRFLLSELNEWMAPWFKKLNKSSIEEVVEYSMVSLIPLDLRKESSIQYALAQIGNCIQYGEDADVKIRDFDADNDDDA >KJB42629 pep chromosome:Graimondii2_0_v6:7:14155498:14159164:1 gene:B456_007G161900 transcript:KJB42629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAQLVIGPAGSGKSTYCSSLYQHCETLERSIHIVNLDPAAENFNYPVAMDIRELISLDDVMEELGFGPNGGLSYRMEHLEENLDEWLAEELDNYLDDDYLVFDCPGQIELFSHVAMLRNFVEHLNRKNFNVCGVYWLDSQFITDVTKFVSGCMASLSAMVQLELPHVNILSKMDLVTNKRDVENYLDPEPRFLLSELNEWMAPWFKKLNKSSIEEVVEYSMVSLIPLDLRKESSIQYALAQIGNCIQYGEDADVKIRDFDADNDDDA >KJB42634 pep chromosome:Graimondii2_0_v6:7:14155493:14159201:1 gene:B456_007G161900 transcript:KJB42634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAQLVIGPAGSGKSTYCSSLYQHCETLERSIHIVNLDPAAENFNYPVAMDIRELISLDDVMEELGFGPNGGLSYRMEHLEENLDEWLAEELDNYLDDDYLVFDCPGQIELFSHVAMLRNFVEHLNRKNFNVCGVYWLDSQFITDVTKFVSGCMASLSAMVQLELPHVNILSKMDLVTNKRDVENYLDPEPRFLLSELNEWMAPWFKKLNKSSIEEVVEYSMVSLIPLDLRKESSIQYALAQIGNCIQYGEDADVKIRDFDADNDDDA >KJB42631 pep chromosome:Graimondii2_0_v6:7:14155493:14159201:1 gene:B456_007G161900 transcript:KJB42631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGFGPNGGLSYRMEHLEENLDEWLAEELDNYLDDDYLVFDCPGQIELFSHVAMLRNFVEHLNRKNFNVCGVYWLDSQFITDVTKFVSGCMASLSAMVQLELPHVNILSKMDLVTNKRDVENYLDPEPRFLLSELNEWMAPWFKKLNKSSIEEVVEYSMVSLIPLDLRKESSIQYALAQIGNCIQYGEDADVKIRDFDADNDDDA >KJB42632 pep chromosome:Graimondii2_0_v6:7:14156279:14158425:1 gene:B456_007G161900 transcript:KJB42632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAQLVIGPAGSGKSTYCSSLYQHCETLERSIHIVNLDPAAENFNYPVAMDIRELISLDDVMEELGFGPNGGLSYRMEHLEENLDEWLAEELDNYLDDDYLVFDCPGQIELFSHVAMLRNFVEHLNRKNFNVCGVYWLDSQFITDVTKFVSGCMASLSAMVQLELPHVNILSKMDLVTNKRDVENYLDPEPRFLLSELNEWMAPWFKKLNKSSIEEVKYLLVQVPIRCDHTKLQWMDFEWLNIAWLALFHST >KJB42633 pep chromosome:Graimondii2_0_v6:7:14155498:14159164:1 gene:B456_007G161900 transcript:KJB42633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNWLLVLQAVSTYCSSLYQHCETLERSIHIVNLDPAAENFNYPVAMDIRELISLDDVMEELGFGPNGGLSYRMEHLEENLDEWLAEELDNYLDDDYLVFDCPGQIELFSHVAMLRNFVEHLNRKNFNVCGVYWLDSQFITDVTKFVSGCMASLSAMVQLELPHVNILSKMDLVTNKRDVENYLDPEPRFLLSELNEWMAPWFKKLNKSSIEEVVEYSMVSLIPLDLRKESSIQYALAQIGNCIQYGEDADVKIRDFDADNDDDA >KJB42630 pep chromosome:Graimondii2_0_v6:7:14156060:14159164:1 gene:B456_007G161900 transcript:KJB42630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGFGPNGGLSYRMEHLEENLDEWLAEELDNYLDDDYLVFDCPGQIELFSHVAMLRNFVEHLNRKNFNVCGVYWLDSQFITDVTKFVSGCMASLSAMVQLELPHVNILSKMDLVTNKRDVENYLDPEPRFLLSELNEWMAPWFKKLNKSSIEEVVEYSMVSLIPLDLRKESSIQYALAQIGNCIQYGEDADVKIRDFDADNDDDA >KJB42636 pep chromosome:Graimondii2_0_v6:7:14156060:14159164:1 gene:B456_007G161900 transcript:KJB42636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGWLKNWTITWMMTIWFLIAQFITDVTKFVSGCMASLSAMVQLELPHVNILSKMDLVTNKRDVENYLDPEPRFLLSELNEWMAPWFKKLNKSSIEEVVEYSMVSLIPLDLRKESSIQYALAQIGNCIQYGEDADVKIRDFDADNDDDA >KJB39938 pep chromosome:Graimondii2_0_v6:7:2754225:2755316:1 gene:B456_007G039500 transcript:KJB39938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSEQSDPATPNPQTAAAMEQPAEDSSNRLPSYVFARTTTAGPTDWSMCSSESLFSIQMGNMSYTREQLNWMSKSGEHNYSHNLTPPETPDNNQITAKITEQRSDSKEGSNGVIEANAAETMEKESQHKDNVPPDLPHSTSVSRNSDASLKSFAFPILTGEADKNGTEAPGTKNKTQPSLPSTPETIPEIPSETASKQQTPPESPKEASESPKETPKSPAPNAPKSGGPRKWFGCFSCCSSGS >KJB43166 pep chromosome:Graimondii2_0_v6:7:18038666:18044682:1 gene:B456_007G187500 transcript:KJB43166 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37630) UniProtKB/TrEMBL;Acc:F4K790] MKEQEMADTQLIRKIAKIVDEAKASNATHLRKLKELSAVRSKSPSVHQFSVAFTKTLTPLFQILKRTATVERVVRFVSAFSSARDPNDTSASDEFLEEFLKFLLVGATAANKTARFRACQIISEIILRLPDDSEVSDELWDEVIELMKFRVVDKVPLIRTLAVRALSRFVNDSENSDILDLFLEVLPLEQNSEVRKTIVLSLPPSNATSQVIIDCTMDVSESVRKAAYCVIANKFPLQSLSIKHRTAILQRGLADRSLAVSKECLKLMTDQWLVKCCNGDPVQLLKYLDVETYESVGESVMESLLKADLVKLHKVESIQQYILRTSANEGSEGDSADCSVSIKLMEPEVSLYWRMVCKHLQMEAQGKGSDAAATMGTEAAIYAAEASDNNDLLDRILPETVSDYIDLVKAHIDAGVNYHFASRQLLLLGEMLDFSDATIRKIASSFVQDLLHMPLEHEVDDEGNKVAIGDGINLGGDRDWAIAVARLARKVHSAAGEFEEVILGVVQELARPCRERTADFINWMHCLAVTGLLLENAKSFHWAVEPTELLQSLLLPGAKHVHLDVQRVSVRCLGLFGLLENKPSEELIKQLRISYVKGPSPISTVACKALFDIGMWHGPQEVDRALGLNLSSQLEVDAMPSDPVNFSETDGASNMKLVDLLYAGFTTDNRARALENDENESVQAVLGEGFAKILLLSEKYPSIPASSHPLLLSKLISLYFSNESKDLQRLKQCLSVFFEHYASLSENHKKRLSKAFIPVMRSMWPGIDDNPGGSSYTVSNMRKRAIQASRFMLQMMQTPLYAKGTEAEDDNGCNGSPEIIDGPSQPSVECGEEGLAIRIATEVLRFPAKKTSAERSYVAALCRILASLHFCLSEQVPVKIMRRLLSRVCESVLSEKDILKELKLMAERLEGLDRNPDQDLSEDEVKYIFGKLELEFNLDVDGSTAVPQTPAPCSARPNRSRRRVRREEVSSGEENSPPCVKSVVPSNGGTIGPRSQRASKTAAMTKITRSKAVRIEEEGFHEDDDEDSEVTAEDSDESDELTE >KJB40070 pep chromosome:Graimondii2_0_v6:7:3157573:3159729:1 gene:B456_007G045100 transcript:KJB40070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQALTHVIGNDNDNKNPLPGQLHHHNQYQYQSQHQPQGNVRRIHYRGVRQRPWGKWAAEIRDPNKAARVWLGTFATAEAAALAYDEAALRFKGSKAKLNFPERVQRSSGSGYLTISHVVERAPPPLPPPHSQPTFPNISDDQLLSGGSNGGEAVSRPAFTSQYPTILSSSSSSPTLTSKLQQEDLGGFLLKNIGGSSPSSDPPKNKRQCYDYYYSGE >KJB45321 pep chromosome:Graimondii2_0_v6:7:51393823:51396132:-1 gene:B456_007G301000 transcript:KJB45321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVTMEGSMDKGVLDDIITRLLEGKGSKQVQLSEGEIRQLCINSRQIFLSQPNLLQIHAPIRICGDIHGQYQDLLRLFEFGGYPPSSNYLFLGDYVDRGKQSLETICLLLAYKIRYPDNIFLLRGNHEDAKINRIYGFYDECKRRFNVRLWRVFTDCFNSLPVAALIDGKILCMHGGLSPELENLDQINNIRRPTEIPDNGLLCDLLWSDPDSKVKGWADSDRGISCTFGADVVAEFLQKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVNEALMCSFEILKPAGAQASPGSSNKLPLKKPPKLF >KJB45319 pep chromosome:Graimondii2_0_v6:7:51393823:51395849:-1 gene:B456_007G301000 transcript:KJB45319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSMDKGVLDDIITRLLEGKGSKQVQLSEGEIRQLCINSRQIFLSQPNLLQIHAPIRICGDIHGQYQDLLRLFEFGGYPPSSNYLFLGDYVDRGKQSLETICLLLAYKIRYPDNIFLLRGNHEDAKINRIYGFYDECKRRFNVRLWRVFTDCFNSLPVAALIDGKILCMHGGLSPELENLDQINNIRRPTEIPDNGLLCDLLWSDPDSKVKGWADSDRGISCTFGADVVAEFLQKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVNEALMCSFEILKPAGAQASPGSSNKLPLKKVSTNPTQAVLSNLILVLLKL >KJB45320 pep chromosome:Graimondii2_0_v6:7:51394421:51395849:-1 gene:B456_007G301000 transcript:KJB45320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSMDKGVLDDIITRLLEGKGSKQVQLSEGEIRQLCINSRQIFLSQPNLLQIHAPIRICGDIHGQYQDLLRLFEFGGYPPSSNYLFLGDYVDRGKQSLETICLLLAYKIRYPDNIFLLRGNHEDAKINRIYGFYDECKRRFNVRLWRVFTDCFNSLPVAALIDGKILCMHGGLSPELENLDQINNIRRPTEIPDNGLLCDLLWSDPDSKVKGWADSDRGISCTFGADVVAEFLQKNDLDLICRGHQVSYSFLYFRIYNGAFFF >KJB42709 pep chromosome:Graimondii2_0_v6:7:14483584:14489122:1 gene:B456_007G164600 transcript:KJB42709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCGDQPSLVYCRSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPAFVRCAEEKVSLCQNCDWMGHDASTSNSTHKRQTINSYSGCPSSGELSSIWSFFSQSDSAGESACEQELGLMSISENIESTSWDPTVNTISHNSAGVAEVNGDCNVDKGSGWTRTSIPEHRPAPQLLDQPAGSTDTSLPKFCPQTKYPGLSEDDLYDDFNIDEVDLNLENYEELFGVTLNHSEELLENGGIDSLFGTKDMSAADSTCQGAVAAEGSSIGLVNAIQPACSNAASADSVMSNKTDSILYFTTKHAHSSLSFSGLTGESSAGDYQDCGASSMLLMGEPPWCPPCTESSIPSATRSDAVMRYKEKKKTRKFEKRVRYASRKARADVRKRVKGRFVKAGDAYDYDPLNQTRSC >KJB42708 pep chromosome:Graimondii2_0_v6:7:14484909:14488142:1 gene:B456_007G164600 transcript:KJB42708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCGDQPSLVYCRSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPAFVRCAEEKVSLCQNCDWMGHDASTSNSTHKRQTINSYSGCPSSGELSSIWSFFSQSDSAGESACEQELGLMSISENIESTSWDPTVNTISHNSAGVAEVNGDCNVDKGSGWTRTSIPEHRPAPQLLDQPAGSTDTSLPKFCPQTKYPGLSEDDLYDDFNIDEVDLNLENYEELFGVTLNHSEELLENGGIDSLFGTKDMSAADSTCQGAVAAEGSSIGLVNAIQPACSNAASADSVMSNKTDSILYFTTKHAHSSLSFSGLTGESSAGDYQDCGASSMLLMGEPPWCPPCTESSIPSATRSDAVMRYKEKKKTRKSLLDCGAR >KJB42711 pep chromosome:Graimondii2_0_v6:7:14483656:14489122:1 gene:B456_007G164600 transcript:KJB42711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCGDQPSLVYCRSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPAFVRCAEEKVSLCQNCDWMGHDASTSNSTHKRQTINSYSGCPSSGELSSIWSFFSQSDSAGESACEQELGLMSISENIESTSWDPTVNTISHNSAGVAEVNGDCNVDKGSGWTRTSIPEHRPAPQLLDQPAGSTDTSLPKFCPQTKYPGLSEDDLYDDFNIDEVDLNLENYEELFGVTLNHSEELLENGGIDSLFGTKDMSAADSTCQGAVAAEGSSIGLVNAIQPACSNAASADSVMSNKTDSILYFTTKHAHSSLSFSGLTGESSAGDYQDCGASSMLLMGEPPWCPPCTESSIPSATRSDAVMRYKEKKKTRNFILVAYDRFEKRVRYASRKARADVRKRVKGRFVKAGDAYDYDPLNQTRSC >KJB42710 pep chromosome:Graimondii2_0_v6:7:14483584:14489122:1 gene:B456_007G164600 transcript:KJB42710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCGDQPSLVYCRSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPAFVRCAEEKVSLCQNCDWMGHDASTSNSTHKRQTINSYSGCPSSGELSSIWSFFSQSDSAGESACEQELGLMSISENIESTSWDPTVNTISHNSAGVAEVNGDCNVDKGSGWTRTSIPEHRPAPQLLDQPAGSTDTSLPKFCPQTKYPGLSEDDLYDDFNIDEVDLNLENYEELFGVTLNHSEELLENGGIDSLFGTKDMSAADSTCQGAVAAEGSSIGLVNAIQPACSNAASADSVMSNKTDSILYFTTKHAHSSLSFSGLTGESSAGDYQDCGASSMLLMGEPPWCPPCTESSIPSATRSDAVMRYKEKKKTRKFEKRVRYASRKARADVRKRVKGRFVKAGDAYDYDPLNQTRSC >KJB46466 pep chromosome:Graimondii2_0_v6:7:60367578:60368087:-1 gene:B456_007G370800 transcript:KJB46466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSDLVAVGGKVALLPIPLGTANFLVHHIHAFTIHVTVLILLKGVLFARSSRLMPNKANLGFCFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGTISDQGVVIHITGGNFAQSSITINRRLRGFLWAQASQVIQSYSSSLSTYDLIFLGAHFV >KJB45956 pep chromosome:Graimondii2_0_v6:7:56522864:56525806:-1 gene:B456_007G340000 transcript:KJB45956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIFRVEGGDCCEWIGVICQNSTGHVNQLHLTSPLSEPDFFAPYVEWEAYYNSMLGGNINPSLLELKHLSSLDLSNNNFSNIHIPKFLGVLGSLTHLNLSQAGFQGAIPQNLGNLSKLQYLDLRGDTMYRWDIKVKSLQWVSGLSSLQYLDLSGADLSKATDWLQVTFKHPSLLELHLSNCGLEDDPSPISVNSTKSLVILDLSLNRFSSVPKSIFSLHGLMSIDISENSLEGPIPDYFGNISFLKVLDLRSNSLNSSIPNSMYSLYHLQFLSLGDNQLQGTISSAIGNFSSVTHLDLSHNDMLEGRLPTSLEYLCKLKEMDLSYNKIEGGISEILQSLSRCRSGSLESLDMADNQLSGHLTDQLGQFKNLSYLSLAQNKISGPIPSSIGELWSLKFFDVSKNQLNGQIPLSIGELSSLKFFDVSENQLNGTFPLCFGQLESLETLDFGYNLLEGVVLEPHFCHLTRLTTLKASHNRLKFEPNSRISDVMPTWFLNLPTLFEYLNLSSNQLTGEISYLNVGDIVDLSSNSFTGPLPRVLSSLRFLFLSNNSFSGSLHQLICNPSLTGMITLYIDTNLLNGEIPDCWNHWDVLAYLNLGNNNLTGKIPLTLGQTNPSTLNLRNNRMFGELPSTMQNSTNLIMLDLSENHFNGSVPAWIGDKLSNLVVLSLRSNNFDGHFPHKICDLQFLQNLDLAHNNISGVIPKCFNNLSAMATTNKTKNELSTLYFINYPFYLSALLVLKGREDEYGHTLGLVTNLDLSVNSFTGEIPKEIGSLVGLLSLNFSGNHLTGNIPDNIGNMKFLESLDLAMNRLNGEIPPSFSKLNFLNHFNVSYNNLTGQIPTSTQLQSFANLSYVGNHLCGPPLTKNCTTKGIPTDVANNGSSSEGSKVNWLYVSIVLGFVMGFWGVVAPLFFIRSWRHAYYRKLDNVYGKLYVFWATMGM >KJB41735 pep chromosome:Graimondii2_0_v6:7:9740074:9741349:1 gene:B456_007G122700 transcript:KJB41735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSSVSLVSLRAVANVRDSKKGGPVKVATLSQPWKRVSQSQRRMQVMKPVRAAPDSISEKVEKSVKEAQEACSDDPASGECVAAWDEVEELSAAASHARDKKKDNDPLENYCKDNPETDECRTYDN >KJB43364 pep chromosome:Graimondii2_0_v6:7:20210796:20212570:1 gene:B456_007G198800 transcript:KJB43364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEGQVISCHTPDSWKEQFQKGNQSKKLVVVDFTASWCGPCRFISPFLAELAKKFPNVMFLKVDVDELKEVAAEWDVDAMPTFLFLKEGKAVEKVVGAKKEELQQAVTKHMATGSTSASW >KJB43366 pep chromosome:Graimondii2_0_v6:7:20211788:20212570:1 gene:B456_007G198800 transcript:KJB43366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVVDFTASWCGPCRFISPFLAELAKKFPNVMFLKVDVDELKEVAAEWDVDAMPTFLFLKEGKAVEKVVGAKKEELQQAVTKHMATGSTSASW >KJB43365 pep chromosome:Graimondii2_0_v6:7:20211085:20212570:1 gene:B456_007G198800 transcript:KJB43365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEGQVISCHTPDSWKEQFQKGNQSKKLVVVDFTASWCGPCRFISPFLAELAKKFPNVMFLKVDVDELKVAAEWDVDAMPTFLFLKEGKAVEKVVGAKKEELQQAVTKHMATGSTSASW >KJB42168 pep chromosome:Graimondii2_0_v6:7:11608208:11610465:1 gene:B456_007G140600 transcript:KJB42168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPSNLNPDEASPPWLNKGDNSWQLTAATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVLVCWVGWGYRMSFGDKFIHFLGMPNVSLDAQYLIEQAFLGYLPNATMIYFQFVFAAITLILVAGALLGRMNFLAWMLFVPLWLTFSYTITAYSIWCPEGWLSKKGIIDYSGGYVIHLSSGVAGFTAAYWVGPRTTKDKERFPPNNILLMLAGAGLLWMGWTGFNGGDPYTVSTDASLAVLNTHVCTATSLLTWLMLDIIFFGKPSVIGATQGMITGLVCITPAAGVVQGWAAILMGMMSGSIPWYTMMVLHKKIGLLKQVDDTMAVFHTHAVAGSLGGILTGLFAVPKLNRLFYLVDNWQHYIGFFYGLHTGHTEAGFKQLGIQLLGIVFVVLLNIFTTSVICLLIRLIIPLRLAEDELQTGDDAIHGEEAYALWGDGEKYESKLNSIYEEFPPPSKGGEVQMNQMA >KJB44650 pep chromosome:Graimondii2_0_v6:7:43625055:43631687:-1 gene:B456_007G263300 transcript:KJB44650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDIDDISADLILLESQWKGLVNTLEKLQCEGGSSSVSLLALQCRKIQELSSSIQSGIRTRFDVLRYKEIEIGNRLKDLELRENELGKRSKFKLDEVLIGEGSSLLGLKFIVSDDGERLLMFLNAHENEHGKLADEVYNVLKMSNNPGKLVWQAVKRVFMEQRNVGVETNVERRSCLVLLEALMRARPGIKKGVQKQAIFAAKAWKIKKGMQGEDDMEILLFLMLVGAFGLLGQFKSNEIRSLFKRVAQHKQASLLGHSLGLFEKAAPDSCILHSQVKMEQLGEVSNVMSEAIDDTKINSSSPSSADLRFIASTDADRLLMFLTEHENDDRIGNDVYNALKTSGKPAKLVLNVVKAGISERANIGVQRGVVKNSCVILLEQLMRLRPVISQKLRKNALGVAQQWKGNIKDNGIYDKEILVFLMLVGAYGLTSEFNIKEIESLFKSVSLHKQAPILSPILGFVDQTFVRDIYHSQVKIEQSEADNFQLDSSIPSEPKFEQHVDSSSTRSWPELKFLSINMNARGLTLFLSERVEDHNLMRGEISNALLLAPDPAKLVLDASSFFYRSKSGDGFKGIALSNARKSCILLLEQLVTCSVQIEPHVNEEALKLAVEWKGRMDEKYPQGVMAYGFLQFIITYRLKSSYNVDELLFLLVTGSEYRQSPDLCLALGLADKTSILIETLINKNLRLEAITYICAFDLADKFPPALLLNAHLKYSKMRKYRKGKKSNMKLNETIDQEIAIMRRVIRCIADHKLESLYPPQDLENYILHLERQKELGNDTAQREKQKAEKKKDLPIPLTKAKMQQDGELNRPCINMSAEAAPSLFAGAGGNLHLKPSPLEQPIRPIADQSVPCSLSVSAAFCGDTSSFNWQYGCEIGDPWSLEHFTSTRAVNKAAPESSIHHAQVMIDQCQSDNFHSDAFVPSEAKFKHYTTCSSTSYGVDLQSYSTSMDAMGLILFLCKHVEDHNFMRCEISDALQLAPDPARLVLDAISTFNIPELSNTHEKKWNGSNSGNLCHVRKSCILLLEQLRTFPFQIDPHVNEEVLKLAFDWKERALKGVVAYGFLQLIVTYSLIFAYEADELFGLLVIASEYHQSPALCLALGLTDKIHVLIETLVKKNLRLEAIGYICALDLVDKFPPAQILKAHLEYLMQSVHQEAQKSHWKPRQIRDKKKGAVGKVIGCIADHKLEKLFPRKKLENYIRHLEKQNADANVAARKEKQKTGRKKTPKAPSANTKPQHESGTKLPSPTTSSTTGSTTKLSPLQLLETFFADQAVSHGLRDSATFSATSNLVSDNQQTDVAAINDDGNSD >KJB44651 pep chromosome:Graimondii2_0_v6:7:43625065:43631687:-1 gene:B456_007G263300 transcript:KJB44651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDIDDISADLILLESQWKGLVNTLEKLQCEGGSSSVSLLALQCRKIQELSSSIQSGIRTRFDVLRYKEIEIGNRLKDLELRENELGKRSKFKLDEVLIGEGSSLLGLKFIVSDDGERLLMFLNAHENEHGKLADEVYNVLKMSNNPGKLVWQAVKRVFMEQRNVGVETNVERRSCLVLLEALMRARPGIKKGVQKQAIFAAKAWKIKKGMQGEDDMEILLFLMLVGAFGLLGQFKSNEIRSLFKRVAQHKQASLLGHSLGLFEKAAPDSCILHSQVKMEQLGEVSNVMSEAIDDTKINSSSPSSADLRFIASTDADRLLMFLTEHENDDRIGNDVYNALKTSGKPAKLVLNVVKAGISERANIGVQRGVVKNSCVILLEQLMRLRPVISQKLRKNALGVAQQWKGNIKDNGIYDKEILVFLMLVGAYGLTSEFNIKEIESLFKSVSLHKQAPILSPILGFVDQTFVRDIYHSQVKIEQSEADNFQLDSSIPSEPKFEQHVDSSSTRSWPELKFLSINMNARGLTLFLSERVEDHNLMRGEISNALLLAPDPAKLVLDASSFFYRSKSGDGFKGIALSNARKSCILLLEQLVTCSVQIEPHVNEEALKLAVEWKGRMDEKYPQGVMAYGFLQFIITYRLKSSYNVDELLFLLVTGSEYRQSPDLCLALGLADKTSILIETLINKNLRLEAITYICAFDLADKFPPALLLNAHLKYSKMRKYRKGKKSNMKLNETIDQEIAIMRRVIRCIADHKLESLYPPQDLENYILHLERQKELGNDTAQREKQKAEKKKDLPIPLTKAKMQQDGELNRPCINMSAEAAPSLFAGAGGNLHLKPSPLEQPIRPIADQSVPCSLSVSAAFCGDTSSFNWQYGCEIGDPWSLEHFTSTRAVNKAAPESSIHHAQVMIDQCQSDNFHSDAFVPSEAKFKHYTTCSSTSYGVDLQSYSTSMDAMGLILFLCKHVEDHNFMRCEISDALQLAPDPARLVLDAISTFNIPELSNTHEKKWNGSNSGNLCHVRKSCILLLEQLRTFPFQIDPHVNEEVLKLAFDWKERALKGVVAYGFLQLIVTYSLIFAYEADELFGLLVIASEYHQSPALCLALGLTDKIHASDDEA >KJB45200 pep chromosome:Graimondii2_0_v6:7:50573329:50574910:-1 gene:B456_007G295100 transcript:KJB45200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNIADVKGNCLYGGSMRKPASAYRGVQVFTYKELEFATDNFSEANVIGNGGSSVVYKGVLADGTVAAIKRLHRDRKQGERAFRMEVDLLSRLNSPYLVELLGYCADQHHRLLIFEFMPNGTLQHHLHHPSSEYRPLDWGSRLRIALDCARALEFLHEHATPTVIHRDFKCTNVLLDQHLRAKVSDFGLAKMGSDKTSGQISTRVPRTTGYIAPEYASTGKLTTKSDVYSYGVVLLQLLTGRVPLDIKRPPGEHVLVSWALPRLTNRDKVDEMVDPAIQGQYSKKDLIQVAAIAAMCVQPEADYRPLMVDVVQSLIPLAKNFNSVNSPGFLRFHRQSSGLKY >KJB45199 pep chromosome:Graimondii2_0_v6:7:50572788:50575468:-1 gene:B456_007G295100 transcript:KJB45199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSSNTSATTAMPQKNHSYDDHIHHHFHSNISQRHQHSTTTILPLNSIIIIIISIISLVLILSIFLIIVMLRRLKSVKKHGSCKENSGIHNTSSRFIAPTTIDFNASPADVKGNCLYGGSMRKPASAYRGVQVFTYKELEFATDNFSEANVIGNGGSSVVYKGVLADGTVAAIKRLHRDRKQGERAFRMEVDLLSRLNSPYLVELLGYCADQHHRLLIFEFMPNGTLQHHLHHPSSEYRPLDWGSRLRIALDCARALEFLHEHATPTVIHRDFKCTNVLLDQHLRAKVSDFGLAKMGSDKTSGQISTRVPRTTGYIAPEYASTGKLTTKSDVYSYGVVLLQLLTGRVPLDIKRPPGEHVLVSWALPRLTNRDKVDEMVDPAIQGQYSKKDLIQVAAIAAMCVQPEADYRPLMVDVVQSLIPLAKNFNSVNSPGFLRFHRQSSGLKY >KJB45203 pep chromosome:Graimondii2_0_v6:7:50573966:50575345:-1 gene:B456_007G295100 transcript:KJB45203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSSNTSATTAMPQKNHSYDDHIHHHFHSNISQRHQHSTTTILPLNSIIIIIISIISLVLILSIFLIIVMLRRLKSVKKHGSCKENSGIHNTSSRFIAPTTIDFNASPDVKGNCLYGGSMRKPASAYRGVQVFTYKELEFATDNFSEANVIGNGGSSVVYKGVLADGTVAAIKRLHRDRKQGERAFRMEVDLLSRLNSPYLVELLGYCADQHHRLLIFEFMPNGTLQHHLHHPSSEYRPLDWGSRLRIALDCARALEFLHEHATPTVIHRDFKCTNVLLDQHLRAKVSDFGLAKMGSDKTSGQISTRVPRTTGYIAPE >KJB45198 pep chromosome:Graimondii2_0_v6:7:50572689:50575493:-1 gene:B456_007G295100 transcript:KJB45198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSSNTSATTAMPQKNHSYDDHIHHHFHSNISQRHQHSTTTILPLNSIIIIIISIISLVLILSIFLIIVMLRRLKSVKKHGSCKENSGIHNTSSRFIAPTTIDFNASPDVKGNCLYGGSMRKPASAYRGVQVFTYKELEFATDNFSEANVIGNGGSSVVYKGVLADGTVAAIKRLHRDRKQGERAFRMEVDLLSRLNSPYLVELLGYCADQHHRLLIFEFMPNGTLQHHLHHPSSEYRPLDWGSRLRIALDCARALEFLHEHATPTVIHRDFKCTNVLLDQHLRAKVSDFGLAKMGSDKTSGQISTRVPRTTGYIAPEYASTGKLTTKSDVYSYGVVLLQLLTGRVPLDIKRPPGEHVLVSWALPRLTNRDKVDEMVDPAIQGQYSKKDLIQVAAIAAMCVQPEADYRPLMVDVVQSLIPLAKNFNSVNSPGFLRFHRQSSGLKY >KJB45202 pep chromosome:Graimondii2_0_v6:7:50572815:50575345:-1 gene:B456_007G295100 transcript:KJB45202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNIADVKGNCLYGGSMRKPASAYRGVQVFTYKELEFATDNFSEANVIGNGGSSVVYKGVLADGTVAAIKRLHRDRKQGERAFRMEVDLLSRLNSPYLVELLGYCADQHHRLLIFEFMPNGTLQHHLHHPSSEYRPLDWGSRLRIALDCARALEFLHEHATPTVIHRDFKCTNVLLDQHLRAKVSDFGLAKMGSDKTSGQISTRVPRTTGYIAPEYASTGKLTTKSDVYSYGVVLLQLLTGRVPLDIKRPPGEHVLVSWRQSR >KJB45201 pep chromosome:Graimondii2_0_v6:7:50572815:50575345:-1 gene:B456_007G295100 transcript:KJB45201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSSNTSATTAMPQKNHSYDDHIHHHFHSNISQRHQHSTTTILPLNSIIIIIISIISLVLILSIFLIIVMLRRLKSVKKHGSCKENSGIHNTSSRFIAPTTIDFNASPDVKGNCLYGGSMRKPASAYRGVQVFTYKELEFATDNFSEANVIGNGGSSVVYKGVLADGTVAAIKRLHRDRKQGERAFRMEVDLLSRLNSPYLVELLGYCADQHHRLLIFEFMPNGTLQHHLHHPSSEYRPLDWGSRLRIALDCARALEFLHEHATPTVIHRDFKCTNVLLDQHLRAKVSDFGLAKMGSDKTSGQISTRVPRTTGYIAPEYASTGKLTTKSDVYSYGVVLLQLLTGRVPLDIKRPPGEHVLVSWVSK >KJB46029 pep chromosome:Graimondii2_0_v6:7:57306876:57307832:1 gene:B456_007G344900 transcript:KJB46029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSLSFLRLCGFFRVAKMRDFPSCFGENGVQVADSSSSNTSKNGQNIVTCVYQCQILGRSCLITVTWNKSLMGQGLSVGIDDSTNQCLCKVDIKPWLFSKRKGSKSLEAYCCKLDIFWDLSTAKFSSGPEPSEGFYVCVVANKQMVLLLGDMKKEAYKKTNASPVPLDALFVAKKEHVFGRRVFGTKAQFCDTGRIHDLVIECDTIGVNDPCLVIRVDGKSLMQVKRLRWKFRGNYTILVDGMAVEVYWDVHNWLFGSSVGNAVFMFKALPSSEEKLWISQSITNPSTLQWSFSQRFQDSKTRNLGFSLVLYAWKNE >KJB41619 pep chromosome:Graimondii2_0_v6:7:8693392:8695198:-1 gene:B456_007G112500 transcript:KJB41619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENMSISVNGQSQVPPGFRFHPTEEELLQYYLRKKVSYEKIDLDVIRDVDLNKLEPWDIQESCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSNCRRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNIVETTVSNAMGEGTQEEGWVVCRIFKKKNHHKTLDNPNSSSLGSESRNHMLSACNEGELEQILEHMRRNCNEEGVANNSWRLMRPIETAISNSYPDSFMKLPSLESPNSTSSQNCYQPMMVDNEGSITNQVSGDPNSRLTNWAALDRLVASQLNGQTETSRQLACFNDHSISMGYGNPSADHHHHDLQSPALRYNRSYNGTQDYNSEMDLWSLTRSSSSSDPLCHVVNASV >KJB44238 pep chromosome:Graimondii2_0_v6:7:34799614:34800435:1 gene:B456_007G241500 transcript:KJB44238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRLSSGKRSGNSMKRTTSVTGLSMDVRGPIISNGSPSRLSPLPEFPKDNFVFDENFLSLLSPTSADSGRSPVAETAPFLRFCCLCNRRLAPARDIYMYRGDTAFCSENCREQQMKLDERQRRLNMVAAKKQDLHSSSTTTTSTTAAPPSIETIVAA >KJB44237 pep chromosome:Graimondii2_0_v6:7:34799467:34800435:1 gene:B456_007G241500 transcript:KJB44237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRLSSGKRSGNSMKRTTSVTGLSMDVRGPIISNDSGRSPVAETAPFLRFCCLCNRRLAPARDIYMYRGDTAFCSENCREQQMKLDERQRRLNMVAAKKQDLHSSSTTTTSTTAAPPSIETIVAA >KJB41974 pep chromosome:Graimondii2_0_v6:7:10543505:10546095:1 gene:B456_007G131000 transcript:KJB41974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFNFNMQAGKRRAKTTTMAEGEELIELRFRIYDGIDIAHGTYEASMTVSTLKQRIIAEWPQGKTVTPKSIHDLKLIHAGKVLENNKTLADSRITVGDLPLGVITMHVVVQPSKAKQKTEKSKQEMQKLNPCGCIIL >KJB46505 pep chromosome:Graimondii2_0_v6:7:60828883:60830601:1 gene:B456_007G377500 transcript:KJB46505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVLDDAEFWLPSQFLTDDEFWFGLNFPVESVVGSTETDSDEEDYLAELTRLMAHSTLRNNHAFASQYSKGRVLPSSPQSTLCALRSGCSCKQGPHGSFNCQSRVSSPLGRWDYAAVAGEVASMRENRESSYGGFTNRGLLGPPARKSIDVSGFYPFHQSLSHRNLQVQQLKQQQLMKQLKHQHQYQQNYSLVFQNKGRNSNNNRPLGMSPSAWPPLQPQNGSGMRAVFLGNPNGKKECTGTGVFLPSHIGTPSESNKKPACSTVLLPARVVQALNLNLDEIGARPNLHPGFNTSFTADNDAAALKLRSGGTGNVFSSKKQHNSRPHQGINREVQLPQDWTY >KJB46504 pep chromosome:Graimondii2_0_v6:7:60828883:60830601:1 gene:B456_007G377500 transcript:KJB46504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVLDDAEFWLPSQFLTDDEFWFGLNFPVESVVGSTETDSDEEDYLAELTRLMAHSTLRNNHAFASQYSKGRVLPSSPQSTLCALRSGCSCKQGPHGSFNCQSRVSSPLGRWDYAAVAGEVASMRENRESSYGGFTNRGLLGPPARKSIDVSGFYPFHQSLSHRNLQASQVQQLKQQQLMKQLKHQHQYQQNYSLVFQNKGRNSNNNRPLGMSPSAWPPLQPQNGSGMRAVFLGNPNGKKECTGTGVFLPSHIGTPSESNKKPACSTVLLPARVVQALNLNLDEIGARPNLHPGFNTSFTADNDAAALKLRSGGTGNVFSSKKQHNSRPHQGINREVQLPQDWTY >KJB40255 pep chromosome:Graimondii2_0_v6:7:3762234:3766511:-1 gene:B456_007G054100 transcript:KJB40255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQEESRECDKGFSCSFMLLKPEEVKFIDLFRILFSSNLEDRKFVDSSSETEESFRYRWLIFISILAQKMLMLTSKPMAWMGSKIEMLLNLLAINNFLVLLRGKTKKPDKDSATFISFIGNMDKRMKLDSKIKPEHGCHYYSALSMMASKASYENRAYIETIVKDHWKMEYLGFFDHWNDYQEKATTQLFFMRDKSENHDTIVVAFRGTEPFDADAWCSDFDLSWYELQGMGKIHGGFMKALGLQKNVGWPMEYKANETRKEPLAYYFVRDKLKALLSESENTKYILTGHSLGGALAILFPSILFLHEEKLLLQRLEGVYTYGQPRVGDEKFGKYMESKLEEHKIRYFRIVYCNDMVPRLPYDDKDLLFKHFGTCVYYNRHYQGKVVAEIPNKNYFSPLSAIPMMINAICELIRSFTICYSKGAEYKEGWFLRVFRIIGLVIPGVSAHSTQDYVNSTRLGSSDVFLPSEETIP >KJB43034 pep chromosome:Graimondii2_0_v6:7:17034765:17037906:-1 gene:B456_007G180600 transcript:KJB43034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIPVRFKLPYKDQNCDCKCNGWQSRQAEIGYKNGGFNGHQWRIWTFTSVHPRPFSMKALPKENSHLISLDSYFRKLQEDSNKGSTMVLVDRSGESSIKKGLLESLEAYLGKLDEDSNSKIQEKTPEDNQTVLPFSVGEDAIDEKAKFRSDIGFRLRDVNSVTKKSEALQYSDEASHLYLVSIVASINIAVFIFEIATPVKISELQLFSIPSLYGAKINDLILVGEWWRLVTPMFLHSGILHVSLGCWALLSFGPQVCRYYGSFTFFLIYLLGGFAGNLISFLHTPQPTVGGTGPVFAVISAWLIYQIQNKGVIAKDASERMFQKAILVTALSCILSNFGPIDDW >KJB43036 pep chromosome:Graimondii2_0_v6:7:17034435:17037906:-1 gene:B456_007G180600 transcript:KJB43036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIPVRFKLPYKDQNCDCKCNGWQSRQAEIGYKNGGFNGHQWRIWTFTSVHPRPFSMKALPKENSHLISLDSYFRKLQEDSNKGSTMVLVDRSGESSIKKGLLESLEAYLGKLDEDSNSKIQEKTPEDNQTVLPFSVGEDAIDEKAKFRSDIGFRLRDVNSVTKKSEALQYSDEASHLYLVSIVASINIAVFIFEIATPVKISELQLFSIPSLYGAKINDLILVGEWWRLVTPMFLHSGILHVSLGCWALLSFGPQVCRYYGSFTFFLIYLLGGFAGNLISFLHTPQPTGPVFAVISAWLIYQIQNKGVIAKDASERMFQKAILVTALSCILSNFGPIDDWTHLGAAFSGIAYGFVICPTLQVDDTSSRTGREEQIRLVGRFADPCKSLLVFAIFILAFASLLFFVEPPINTTIYGF >KJB43035 pep chromosome:Graimondii2_0_v6:7:17034250:17038862:-1 gene:B456_007G180600 transcript:KJB43035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIPVRFKLPYKDQNCDCKCNGWQSRQAEIGYKNGGFNGHQWRIWTFTSVHPRPFSMKALPKENSHLISLDSYFRKLQEDSNKGSTMVLVDRSGESSIKKGLLESLEAYLGKLDEDSNSKIQEKTPEDNQTVLPFSVGEDAIDEKAKFRSDIGFRLRDVNSVTKKSEALQYSDEASHLYLVSIVASINIAVFIFEIATPVKISELQLFSIPSLYGAKINDLILVGEWWRLVTPMFLHSGILHGPVFAVISAWLIYQIQNKGVIAKDASERMFQKAILVTALSCILSNFGPIDDWTHLGAAFSGIAYGFVICPTLQVDDTSSRTGREEQIRLVGRFADPCKSLLVFAIFILAFASLLFFVEPPINTTIYGF >KJB43033 pep chromosome:Graimondii2_0_v6:7:17034105:17038936:-1 gene:B456_007G180600 transcript:KJB43033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIPVRFKLPYKDQNCDCKCNGWQSRQAEIGYKNGGFNGHQWRIWTFTSVHPRPFSMKALPKENSHLISLDSYFRKLQEDSNKGSTMVLVDRSGESSIKKGLLESLEAYLGKLDEDSNSKIQEKTPEDNQTVLPFSVGEDAIDEKAKFRSDIGFRLRDVNSVTKKSEALQYSDEASHLYLVSIVASINIAVFIFEIATPVKISELQLFSIPSLYGAKINDLILVGEWWRLVTPMFLHSGILHVSLGCWALLSFGPQVCRYYGSFTFFLIYLLGGFAGNLISFLHTPQPTVGGTGPVFAVISAWLIYQIQNKGVIAKDASERMFQKAILVTALSCILSNFGPIDDWTHLGAAFSGIAYGFVICPTLQVDDTSSRTGREEQIRLVGRFADPCKSLLVFAIFILAFASLLFFVEPPINTTIYGF >KJB42433 pep chromosome:Graimondii2_0_v6:7:13078245:13080938:1 gene:B456_007G152900 transcript:KJB42433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHNPKEPNINSLSLDLTQLIFSSLPLPSLLRASAVCKLWNSLISSPSFTSPCLSYPWFFLFGLHNTSSRNNQSFAFDPLSNAWFLLPRLDDPSSSSAFLGSNGFFFTTTPNFSYTPVLKSAWRFTSPLKFSRLNPLLGVFYDGSSGGFGFKFIVVGGVRFIGGLVDIEDRLAVEIYDPNRDSWELCPALPADFRSGNSSQSLSSALFKGKFYVSGIYSCFVSSFDLRNRVWSEVQTLRPPGVIFSFLIPCNDMLVLAGMCNAPRGPSFNLWKIDETTLEFSEISIMPQSLLHSLVESEEDENFASLKCVGMGNLIYVFNEEYHQKYPSCLCEISAENGKCSWRRVPRLPLPVNKFHKVISFCSTVSLTHSFPQQ >KJB42430 pep chromosome:Graimondii2_0_v6:7:13078325:13080932:1 gene:B456_007G152900 transcript:KJB42430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHNPKEPNINSLSLDLTQLIFSSLPLPSLLRASAVCKLWNSLISSPSFTSPCLSYPWFFLFGLHNTSSRNNQSFAFDPLSNAWFLLPRLDDPSSSSAFLGSNGFFFTTTPNFSYTPVLKSAWRFTSPLKFSRLNPLLGVFYDGSSGGFGFKFIVVGGVRFIGGLVDIEDRLAVEIYDPNRDSWELCPALPADFRSGNSSQSLSSALFKGKFYVSGIYSCFVSSFDLRNRVWSEVQTLRPPGVIFSFLIPCNDMLVLAGMCNAPRGPSFNLWKIDETTLEFSEISIMPQSLLHSLVESEEDENFASLKCVGMGNLIYVFNEEYHQKYPSCLCEISAENGKCSWRRVPRLPLPVNKFHKVISFCSTVSLTHSFPQQ >KJB42432 pep chromosome:Graimondii2_0_v6:7:13078325:13080932:1 gene:B456_007G152900 transcript:KJB42432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHNPKEPNINSLSLDLTQLIFSSLPLPSLLRASAVCKLWNSLISSPSFTSPCLSYPWFFLFGLHNTSSRNNQSFAFDPLSNAWFLLPRLDDPSSSSAFLGSNGFFFTTTPNFSYTPVLKSAWRFTSPLKFSRLNPLLGVFYDGSSGGFGFKFIVVGGVRFIGGLVDIEDRLAVEIYDPNRDSWELCPALPADFRSGNSSQSLSSALFKGKFYVSGIYSCFVSSFDLRNRVWSEVQTLRPPGVIFSFLIPCNDMLVLAGMCNAPRGPSFNLWKIDETTLEFSEISIMPQSLLHSLVESEEDENFASLKCVGMGNLIYVFNEEYHQKYPSCLCEISAENGKCSWRRVPRLPLPVNKFHKVISFCSTVSLTHSFPQQ >KJB42431 pep chromosome:Graimondii2_0_v6:7:13078245:13080938:1 gene:B456_007G152900 transcript:KJB42431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHNPKEPNINSLSLDLTQLIFSSLPLPSLLRASAVCKLWNSLISSPSFTSPCLSYPWFFLFGLHNTSSRNNQSFAFDPLSNAWFLLPRLDDPSSSSAFLGSNGFFFTTTPNFSYTPVLKSAWRFTSPLKFSRLNPLLGVFYDGSSGGFGFKFIVVGGVRFIGGLVDIEDRLAVEIYDPNRDSWELCPALPADFRSGNSSQSLSSALFKGKFYVSGIYSCFVSSFDLRNRVWSEVQTLRPPGVIFSFLIPCNDMLVLAGMCNAPRGPSFNLWKIDETTLEFSEISIMPQSLLHSLVESEEDENFASLKCVGMGNLIYVFNEEYHQKYPSCLCEISAENGKCSWRRVPRLPLPVNKFHKVISFCSTVSLTHSFPQQ >KJB39280 pep chromosome:Graimondii2_0_v6:7:395657:397276:-1 gene:B456_007G005100 transcript:KJB39280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLLDILEFYSWNIFSLLFSFLLSARSITASVTSISETIKSQTVIQMDKENIYLLLNQFVKKIPIMVVMKAMGMESDQEVMQMVGADPCYSALLLPSIELACYRGKRLGSGINFSTPVEILCR >KJB41636 pep chromosome:Graimondii2_0_v6:7:8806932:8808411:1 gene:B456_007G113400 transcript:KJB41636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFIFLKTLLQAAPPSLLLSSSSFYAISLKRWVWFSKGHGRQASAGGTKEEDFGTSYSPTVAHPQGYHLF >KJB39952 pep chromosome:Graimondii2_0_v6:7:2727533:2732975:1 gene:B456_007G039200 transcript:KJB39952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLMELGALKDMPDIRKKASLKLFKQQEFHGSKLLSSYKDMVAVVVNMVNASQSMRCYLKGGNSSSLVHFSSSSEDVNDTGDCGGVAVFGFWSISSFEKLAEELIRMFKLELSLKRLLVSEFLSISCEVSQGNQFCWSEELYPGEFGDLKACNLFSDVTFEPLCPRLRDRKSDVPTLRGNHQPDHEILQVYITTWLAEVNIDVHRVDEIIAVVGEEIHVSLS >KJB39951 pep chromosome:Graimondii2_0_v6:7:2727533:2732975:1 gene:B456_007G039200 transcript:KJB39951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFYHLTRTCYQQVAVVVNMVNASQSMRCYLKGGNSSSLVHFSSSSEDVNDTGDCGGVAVFGFWSISSFEKLAEELIRMFKLELSLKRLLVSEFLSISCEVSQGNQFCWSEELYPGEFGDLKACNLFSDVTFEPLCPRLRDRKSDVPTLRGNHQPDHEILQVYITTWLAEVNIDVHRVDEIIAVVGEEIHVSLS >KJB39949 pep chromosome:Graimondii2_0_v6:7:2727465:2733075:1 gene:B456_007G039200 transcript:KJB39949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPEPKPQSLSSRSSPFPLSSSSERLWRPAAQRNMRNQWSKIASYRQQWLSSSSSARTHATSLVNVYLSQKYMPLMELGALKDMPDIRKKASLKLFKQQEFHGSKLLSSYKDMVAVVVNMVNASQSMRCYLKGGNSSSLVHFSSSSEDVNDTGDCGGVAVFGFWSISSFEKLAEELIRMFKLELSLKRLLVSEFLSISCEVSQGNQFCWSEELYPGEFGDLKACNLFSDVTFEPLCPRLRDRKSDVPTLRGNHQPDHEILQVYITTWLAEVNIDVHRVDEIIAVVGEEIHVSLS >KJB39950 pep chromosome:Graimondii2_0_v6:7:2727533:2730851:1 gene:B456_007G039200 transcript:KJB39950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPEPKPQSLSSRSSPFPLSSSSERLWRPAAQRNMRNQWSKIASYRQQWLSSSSSARTHATSLVNVYLSQKYMPLMELGALKDMPDIRKKASLKLFKQQEFHGSKLLSSYKDMVAVVVNMVNASQSMRCYLKGGNSSSLVHFSSSSEDVNDTGDCGGVAVFGFWSISSFEKLAEELIRMFKLELSLKRLLVSEFLSISCEVSQGNQFCWSEELYPGEFGDLKACNLFSDVTFEPLCPRLRDRKSDVPTLRGNHQPDHEILQVLLLFTFLSTHVQRILGHVYSI >KJB43862 pep chromosome:Graimondii2_0_v6:7:25453255:25454007:-1 gene:B456_007G220400 transcript:KJB43862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HFFFPPQICDREVLVKSPAKVFDEGIQQWKYSLVGQFIEDVLNFGAMQKIINTLWYKYGEISVHIAGNSLYIFQFSSSEACSWVLKHGPWHIQNKPLILCRWESNLKKLEFSLDYMPVCIHLSAIPLELYTRSGLSYIASPIGNSLYMDRIIVDRTRHSYAKLCIEVSTTVVILRFVNVELLDGLIVSIVISMTWLLAHCIDYYSFDHSVKVYPKKASILAKVWNPKQSGFIGATVVITKPDKSCITSFV >KJB41736 pep chromosome:Graimondii2_0_v6:7:9213576:9217884:-1 gene:B456_007G117800 transcript:KJB41736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:Projected from Arabidopsis thaliana (AT4G01690) UniProtKB/TrEMBL;Acc:A0A178UVN5] MTALIDLSLLRSSPSVSPFSIPHHQHPPRFRKPFKLRCSLAEGPTISSSKIDGGESSIADCVIVGGGISGLCIAQALATKHRDVASNVIVTEARDRVGGNITTVERDGYLWEEGPNSFQPSDPILTMAVDSGLKDDLVLGDPNAPRFVLWEGKLRPVPSKPTDLPFFDLMSIAGKLRAGFGAIGIRPPPPGYEESVEEFVRRNLGAEVFERFIEPFCSGVYAGDPSKLSMKAAFGRVWKLEEIGGSIIGGTFKTIQERNKTPKPPRDPRLPKPKGQTVGSFRKGLTMLPEAIANSLGSNVKLSWKLSSITKLGNGGYNLQFETPEGMVSLQSRSVVMTIPSHVASNLLHPLSAAAADALSQFYYPPVASVTVSYPKEAIRKECLIDGELKGFGQLHPRSQGIETLGTIYSSSLFPNRAPSGRVLLLNYIGGATNTGILSKTEGELVEAVDRDLRKMLINPNAKDPLVLGVRVWPKAIPQFLVGHLDLLDSAKMALRDSGFHGLFLGGNYVSGVALGRCVEGAYEVAAEVKEFLSQYAYK >KJB40251 pep chromosome:Graimondii2_0_v6:7:3737032:3737463:-1 gene:B456_007G053700 transcript:KJB40251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRPMHKFAVFVVAVIVCSHLVFSVNARPMKLLIDDSAMHKADHPQPNAEQVLPPSIPLPAKTSGLGESHAQDFKRTSPGHSPGVGHVFSSKNSKKNV >KJB39417 pep chromosome:Graimondii2_0_v6:7:995068:996240:-1 gene:B456_007G012500 transcript:KJB39417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRSFADERSESRLYVGNLDLRITEAALIKMFSPYGKIISEDFLWHTRGPKRGEPRGFAFIQYSTKEEAKLAKEKMHGRLACGRPLMVRLASEKYLEEAAAQNSSKAGCNTIKSGTTSTISGQVSRSAKIAAIKNKLKALDEERDGAKKPKAS >KJB39419 pep chromosome:Graimondii2_0_v6:7:994716:997601:-1 gene:B456_007G012500 transcript:KJB39419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLQDPRSFADERSESRLYVGNLDLRITEAALIKMFSPYGKIISEDFLWHTRGPKRGEPRGFAFIQYSTKEEAKLAKEKMHGRLACGRPLMVRLASEKYLEEAAAQNSSKAGCNTIKSGTTSTISGQVSRSAKIAAIKNKLKALDEERDGAKKPKAS >KJB39418 pep chromosome:Graimondii2_0_v6:7:994665:997601:-1 gene:B456_007G012500 transcript:KJB39418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRSFADERSESRLYVGNLDLRITEAALIKMFSPYGKIISEDFLWHTRGPKRGEPRGFAFIQYSTKEEAKLAKEKMHGRLACGRPLMVRLASEKYLEEAAAQNSSKAGCNTIKSGTTSTISGQVSRSAKIAAIKNKLKALDEERDGAKKPKAS >KJB46420 pep chromosome:Graimondii2_0_v6:7:59982646:59985045:-1 gene:B456_007G367000 transcript:KJB46420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPLNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGLVESKKFGILANWRREYTMEDILTQLKKEMAASHNRKLVQPPEGTYF >KJB46421 pep chromosome:Graimondii2_0_v6:7:59983320:59985045:-1 gene:B456_007G367000 transcript:KJB46421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPLNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGLVYN >KJB41871 pep chromosome:Graimondii2_0_v6:7:10006649:10007764:1 gene:B456_007G125200 transcript:KJB41871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFYRMQMLLPAYALLLISFTLASSATITSSSKEEIKCDTCTPVPSPPPPPPVVTECPPPPSPPSPPPPSPPPPPNPSPPPPACPSCASPCSSCRPPPCSECPSPPKQVVPYFIYSYKNPPPSESAATNAHSLLVSATLVFLWGTLSLFSN >KJB43091 pep chromosome:Graimondii2_0_v6:7:17629877:17631522:1 gene:B456_007G183800 transcript:KJB43091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHKFKLLATQCAVAGSPGRSPTTSPVVHLRRRKTLRMLLNRSSATDRRRFHRRQTDNLDPPPSPPPQPNTSNADSKKNSNGKKSRRKLKELFVASPPFEERESDDKICEEVTEDLLPVTSSSSERFSDRRSGTLTPVTTSFRYRLLRRAWRPMLLTIPE >KJB45982 pep chromosome:Graimondii2_0_v6:7:56711744:56713375:1 gene:B456_007G341600 transcript:KJB45982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLDELGRYTGTFSTFALCGFVRAQGDADSALDRLWQKKKAEVKQQ >KJB45981 pep chromosome:Graimondii2_0_v6:7:56711631:56713375:1 gene:B456_007G341600 transcript:KJB45981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLDELGRYTGTFSTFALCGFVRAQGDADSALDRLWQKKKAEVKQQ >KJB46645 pep chromosome:Graimondii2_0_v6:7:59923398:59923422:-1 gene:B456_007G3662003 transcript:KJB46645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFIKQLNQ >KJB43348 pep chromosome:Graimondii2_0_v6:7:19336618:19340534:-1 gene:B456_007G195400 transcript:KJB43348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLERVKKEDPFLVKYQPSELRIASEFLTTWLPFLSRDLCRRCTRILSRRIRSLDPDAELANNDLNAIHSTPNNTESEDLNENCLDNCAVNSIGSSKDEDTNSLGSWKDEGNGFSEPIGEASTSSGVASASPLFQTPVPRQSWADMAQEDELEEVEEEEEEEQLVSESSKRIVSLTASTGELRISKVVEKPKLSRDQRESIRFMNVKRKKGFICFERVNGKFVNILEGLELHEGIFSAAEQKRIVDHVYMLQEMGRKGELKERTYTAPHKWMRGKGRITIQFGCCYNYAMDKNGNPPGILQNEVVDPIPDLFKVIIRRLVRWHVLPPTCVPDSCIVNIYEEGDCIPPHIDNHDFVRPFCTVSFLSECNIVFGSNLKVVDAGVFSGPIAIPLPVGSVLVLNGNGADVAKHCVPAVPTKRISITFRRMDELKRPIGYAPESDLQGIEPLSYDADRPKEFSSPKSERPVRQPFRREDKREAKGLTENNEPSEWRSSTRPRRGPSYRRRFTVNQSR >KJB43347 pep chromosome:Graimondii2_0_v6:7:19336618:19340480:-1 gene:B456_007G195400 transcript:KJB43347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLERVKKEDPFLVKYQPSELRIASEFLTTWLPFLSRDLCRRCTRILSRRIRSLDPGDAELANNDLNAIHSTPNNTESEDLNENCLDNCAVNSIGSSKDEDTNSLGSWKDEGNGFSEPIGEASTSSGVASASPLFQTPVPRQSWADMAQEDELEEVEEEEEEEQLVSESSKRIVSLTASTGELRISKVVEKPKLSRDQRESIRFMNVKRKKGFICFERVNGKFVNILEGLELHEGIFSAAEQKRIVDHVYMLQEMGRKGELKERTYTAPHKWMRGKGRITIQFGCCYNYAMDKNGNPPGILQNEVVDPIPDLFKVIIRRLVRWHVLPPTCVPDSCIVNIYEEVFGSNLKVVDAGVFSGPIAIPLPVGSVLVLNGNGADVAKHCVPAVPTKRISITFRRMDELKRPIGYAPESDLQGIEPLSYDADRPKEFSSPKSERPVRQPFRREDKREAKGLTENNEPSEWRSSTRPRRGPSYRRRFTVNQSR >KJB43346 pep chromosome:Graimondii2_0_v6:7:19337647:19340314:-1 gene:B456_007G195400 transcript:KJB43346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLERVKKEDPFLVKYQPSELRIASEFLTTWLPFLSRDLCRRCTRILSRRIRSLDPGDAELANNDLNAIHSTPNNTESEDLNENCLDNCAVNSIGSSKDEDTNSLGSWKDEGNGFSEPIGEASTSSGVASASPLFQTPVPRQSWADMAQEDELEEVEEEEEEEQLVSESSKRIVSLTASTGELRISKVVEKPKLSRDQRESIRFMNVKRKKGFICFERVNGKFVNILEGLELHEGIFSAAEQKRIVDHVYMLQEMGRKGELKERTYTAPHKWMRGKGRITIQFGCCYNYAMDKNGNPPGILQNEVVDPIPDLFKVIIRRLVRWHVLPPTCVPDSCIVNIYEEGDCIPPHIDNHDFVRPFCTVSFLSECNIVFGSNLKVVDAGVFSGPIAIPLPVG >KJB43349 pep chromosome:Graimondii2_0_v6:7:19336618:19340561:-1 gene:B456_007G195400 transcript:KJB43349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLERVKKEDPFLVKYQPSELRIASEFLTTWLPFLSRDLCRRCTRILSRRIRSLDPGDAELANNDLNAIHSTPNNTESEDLNENCLDNCAVNSIGSSKDEDTNSLGSWKDEGNGFSEPIGEASTSSGVASASPLFQTPVPRQSWADMAQEDELEEVEEEEEEEQLVSESSKRIVSLTASTGELRISKVVEKPKLSRDQRESIRFMNVKRKKGFICFERVNGKFVNILEGLELHEGIFSAAEQKRIVDHVYMLQEMGRKGELKERTYTAPHKWMRGKGRITIQFGCCYNYAMDKNGNPPGILQNEVVDPIPDLFKVIIRRLVRWHVLPPTCVPDSCIVNIYEEGDCIPPHIDNHDFVRPFCTVSFLSECNIVFGSNLKVVDAGVFSGPIAIPLPVGSVLVLNGNGADVAKHCVPAVPTKRISITFRRMDELKRPIGYAPESDLQGIEPLSYDADRPKEFSSPKSERPVRQPFRREDKREAKGLTENNEPSEWRSSTRPRRGPSYRRRFTVNQSR >KJB39367 pep chromosome:Graimondii2_0_v6:7:722746:728497:-1 gene:B456_007G009200 transcript:KJB39367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTIRSLTQAFNQLQHVACLLRSHQLSRHRRNVFYPKGQKNRKSEAVWKYLESGYPKCHWPCLSAAQLSMMFKDKRWQVVRIESDQSSDHQTYNSGSSSEEDECKWEAEKRWCNN >KJB43922 pep chromosome:Graimondii2_0_v6:7:26690272:26690568:1 gene:B456_007G223800 transcript:KJB43922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCCDKVKKYDKVNNSWSVVKRLPVRADSSYGWGLAFKACGNSLLVIGAGDHGGHDDGVIVLHSWNPDEENRDGQEWNVLAVKARAGTFVYNCAVMGC >KJB45730 pep chromosome:Graimondii2_0_v6:7:54182716:54185093:-1 gene:B456_007G323700 transcript:KJB45730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEEENILILMLVVGLIQLWVAKGNLRCMQTLDLRLDDVNIFEYIHVPNVICKMEQLRHLYLPEECNPKTKWKLGTLRNLQTLVNFNTKNYVKDFMNMTNLRELEIRWPFNIEGFNTEELDKNPPIIQSEYLHSLSIINNIINDERRIDPKHLAHLLLSCKNISKLSLDVEIRRLPEYHYLSSNLAYIKLRRMLDLHEKAFIRKEMFCSAQGFPKLESLSLIELNNLEEWKVGEGAMPSLQRLEIQSCIQLKKLPDGLRFIATLQEVKIGSMRKTFKDKVEEEEEEEEEDFCKVRHGIEAIAIFFILLLLFMRTM >KJB45531 pep chromosome:Graimondii2_0_v6:7:52413266:52415951:1 gene:B456_007G310400 transcript:KJB45531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTISSTLFPSLTIPNTSSPKPRFTILSHSSPLSFNPLKLPNSSLKHSQSTTNIFAAPEALEETPEVGGSEVPTSSVSVEADKVAPKQKIRIKLRSYWVPLIEDSCKQILDAARTTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >KJB41858 pep chromosome:Graimondii2_0_v6:7:9962899:9963441:-1 gene:B456_007G124800 transcript:KJB41858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSIPARSSSPVKPSSSLKLFGFSLTDQPDEVLEKAEGFGESRKFECPFCHRVFANSQALGGHQNAHKRERQKARRAQFHSQQRLIASAPVLSSHSLRPTFPRGFTGNAAAKIVSQPFYCPSRPLLLPSTPSQYPPRIYVAQPLHFATAAPALTDFSGQLPEADIGLDLHLKLSPSGC >KJB41857 pep chromosome:Graimondii2_0_v6:7:9962518:9964027:-1 gene:B456_007G124800 transcript:KJB41857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSIPARSSSPVKPSSSLKLFGFSLTDQPDEVLEKAEGFGESRKFECPFCHRVFANSQALGGHQNAHKRERQKARRAQFHSQQRLIASAPVLSSHSLRPTFPRGFTGNAAAKIVSQPFYCPSRPLLLPSTPSQYPPRIYVAQPLHFATAAPALTDFSGQLPEADIGLDLHLKLSPSEIKNVKWDNMTKEASFQD >KJB43654 pep chromosome:Graimondii2_0_v6:7:22722908:22724699:-1 gene:B456_007G210800 transcript:KJB43654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMVSSISAKGIAAIVGVGPKLGRSIARKFAHEGYTVAILARDLGRLSRFADEIAREEKAQVFAIRIDCSDPRSVREAFEGVLSLGFVEVLVYNAYQSVSWHPTNFTDIKIDSFEKSLAVSSIGAFLCVQKVPSSDFPHPVLLQMENIPSIFVTSFVSLVFINYLFFSLFFGGIYAFCCNMLMNE >KJB43655 pep chromosome:Graimondii2_0_v6:7:22723886:22724529:-1 gene:B456_007G210800 transcript:KJB43655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMVSSISAKGIAAIVGVGPKLGRSIARKFAHEGYTVAILARDLGRLSRFADEIAREEKAQVFAIRIDCSDPRSVREAFEGVLSLGFVEVLVYNAYQSVSWHPTNFTDIKIDSFEKSLAVSSIGAFLCVQKVPSSDFPHPVLLQMENIPSIFVTSFVSLVFINYLFFSLFFGGIYAFCCNMLMNE >KJB43653 pep chromosome:Graimondii2_0_v6:7:22722903:22724821:-1 gene:B456_007G210800 transcript:KJB43653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMVSSISAKGIAAIVGVGPKLGRSIARKFAHEGYTVAILARDLGRLSRFADEIAREEKAQVFAIRIDCSDPRSVREAFEGVLSLGFVEVLVYNAYQSVSWHPTNFTDIKIDSFEKSLAVSSIGAFLCVQKVLPGMVERGKGTILFTGCSASLNGIAGFSELCCGKFALRALSQCLAREFQPLGVHVAHVIIDGVIGSPRGASASQRGLVGEQQQSGGVGGEVGAVMMMDPDALAQTYWHLHVQDRTAWTQEIDLRPSITTFY >KJB39398 pep chromosome:Graimondii2_0_v6:7:864671:866414:1 gene:B456_007G011000 transcript:KJB39398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLQLSMLLLSLVLAALLSFSMGALPHEDFLQCLSLRSNDSSTISSVIYTRNNPSYSTVLESTIRNLRFNSTNTPKPLVIVTPSRTSHFQATIYCSRKHGLQIRTRSGGHDYEGLSYVAKVPFVVVDLVNFRSVDVDVENRVAWVQAGAILGEVYYRIAEKSRTLSFAGGVFHSIGVGGFISGGGFGLLFRKYGTGGDNVIDAQFIDVNGRILDRKSMGEDLFWAIRGGGGGSFGIVLAWKLKLVPVPATVTVFSVSRTLEQNATQLILRWQEIAHQLPDEMNPDVSMFSVNSTQDGRKTIIASFSSLFLGTIDELLPIMQQRFPELGLSRQDCSEMSWIESILYFNQLQNQPLEILLNRTFRNPVGGQYYKIKSDYVKEPISETALNGLFSRLSDEEASSAIIIFMAYGGIMDRIPEDATPFPHRAGNLYKIYYNVNWQEQDNVNSQKYIDWSRRVYNYMTPFVSKSPREAYANYRDLDIGSNNVGITSYTQASVWGRKYFKNNFDRLVQVKTKIDPENFFKHEQSIPPLH >KJB43564 pep chromosome:Graimondii2_0_v6:7:21201603:21205727:-1 gene:B456_007G205800 transcript:KJB43564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQENYKKEASAMEGRERDELELSLPYPLQYIGDTLFKLTSMYYNNNVEEVEADDDSDNSFVFQIDESSLIDPRLVLLDKMIGEGSYSTVHKGFYKSKPVAVKMIQPSNPSAVIREHKEKFQREVLLLSRMDHENVVKFVGCSLEPTMMIVTELMKGDTLQRYLWNMRPKRLELDFALRLALGISRAMEYLHANGIIHRDLKPSNLLLSEDRTCIKLADFGLAREEVLGGMTCEAGTYRWMAPEIYSRDPLPIGAKKHYDHKVDVYSFSIVLWEILTNKAPFKGRDNVTAAYAAAKNERPSVEGLPTEIVSLLQSCWAEDPKIRPEFKEITASLTNFLRNCSPPETTPPKQMEIDRSGSHAEEESVNSGHVTNKCNDKGKKSKSYTSFFICFDDCLSN >KJB43562 pep chromosome:Graimondii2_0_v6:7:21202151:21205612:-1 gene:B456_007G205800 transcript:KJB43562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQENYKKEASAMEGRERDELELSLPYPLQYIGDTLFKLTSMYYNNNVEEVEADDDSDNSFVFQIDESSLIDPRLVLLDKMIGEGSYSTVHKGFYKSKPVAVKMIQPSNPSAVIREHKEKFQREVLLLSRMDHENVVKFVGCSLEPTMMIVTELMKGDTLQRYLWNMRPKRLELDFALRLALGISRAMEYLHANGIIHRDLKPSNLLLSEDRTCIKLADFGLAREEVLGGMTCEAGTYRWMAPEIYSRDPLPIGAKKHYDHKVDVYSFSIVLWEILTNKAPFKGRDNVTAAYAAAKAS >KJB43563 pep chromosome:Graimondii2_0_v6:7:21201603:21205695:-1 gene:B456_007G205800 transcript:KJB43563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQENYKKEASAMEGRERDELELSLPYPLQYIGDTLFKLTSMYYNNNVEEVEADDDSDNSFVFQIDESSLIDPRLVLLDKMIGEGSYSTVHKGFYKSKPVAVKMIQPSNPSAVIREHKEKFQREVLLLSRMDHENVVKFVGCSLEPTMMIVTELMKGDTLQRYLWNMRPKRLELDFALRLALGISRAMEYLHANGIIHRDLKPSNLLLSEDRTCIKLADFGLAREEVLGGMTCEAGTYRWMAPEIYSRDPLPIGAKKHYDHKVDVYSFSIVLWEILTNKAPFKGRDNVTAAYAAAKAKRKAFCGRSSNRDCISPAVLLGRGPEDSTRI >KJB46185 pep chromosome:Graimondii2_0_v6:7:58178798:58186999:-1 gene:B456_007G351800 transcript:KJB46185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKISPDIDETMLKESIVAVSADVSFASDHFPKYKLGPDNQILEEPRGDNSGPSLKEVVERETTQLSKQHKRLSVRDLASKFDKNLTAAAKFADEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALDEQEKNPENSQKTQEVEELVEEVQEARRIKFMHQPSKVMDMEHELRALRAQIREKTIFSVKLQKELAINKRAEENKSCMFVLHGSETLGSCLQLKPRSDNAPSLSQSSIQWYRLSSDENRKEVISGANKTMYAPEPLDVGRILQADILSNGQKISVTTANPIDSAAGLASYVETLLRKSSSEFNVVISRINGQDHSLRSTHSFNIGKMRIKLCRGWITKSRENYSTSMLLCGARGDANAPAKSLFWQPRKGHSYVLTFESERDRNAAIMVARKHALDCSVMLGGPDDEM >KJB46187 pep chromosome:Graimondii2_0_v6:7:58179320:58186259:-1 gene:B456_007G351800 transcript:KJB46187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKISPDIDETMLKESIVAVSADVSFASDHFPKYKLGPDNQILEEPRGDNSGPSLKEVVERETTQLSKQHKRLSVRDLASKFDKNLTAAAKFADEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALDEQEKNPENSQKTQEVEELVEEVQEARRIKFMHQPSKVMDMEHELRALRAQIREKTIFSVKLQKELAINKRAEENKSCMFVLHGSETLGSCLQLKPRSDNAPSLSQSSIQWYRLSSDENRKEVISGANKTMYAPEPLDVGRILQADILSNGQKISVTTANPIDSAAGLASYVETLLRKSSSEFNVVISRINGQDHSLRSTHSFNIGKMRIKLCRGWITKSRENYSTSMLLCGARGDANAPAKSLFWQPRKGHSYVLTFESERDRNAAIMVARKHALDCSVMLGGPDDEM >KJB46188 pep chromosome:Graimondii2_0_v6:7:58179320:58186942:-1 gene:B456_007G351800 transcript:KJB46188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKISPDIDETMLKESIVAVSADVSFASDHFPKYKLGPDNQILEEPRGDNSGPSLKEVVERETTQLSKQHKRLSVRDLASKFDKNLTAAAKFADEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALDEQEKNPENSQKTQEVEELVEEVQEARRIKFMHQPSKVMDMEHELRALRAQIREKTIFSVKLQKELAINKRAEENKSCMFVLHGSETLGSCLQLKPRSDNAPSLSQSSIQWYRLSSDENRKEVISGANKTMYAPEPLDVGRILQADILSNGQKISVTTANPIDSGLASYVETLLRKSSSEFNVVISRINGQDHSLRSTHSFNIGKMRIKLCRGWITKSRENYSTSMLLCGARGDANAPAKSLFWQPRKGHSYVLTFESERDRNAAIMVARKHALDCSVMLGGPDDEM >KJB46190 pep chromosome:Graimondii2_0_v6:7:58180245:58186942:-1 gene:B456_007G351800 transcript:KJB46190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKISPDIDETMLKESIVAVSADVSFASDHFPKYKLGPDNQILEEPRGDNSGPSLKEVVERETTQLSKQHKRLSVRDLASKFDKNLTAAAKFADEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALDEQEKNPENSQKTQEVEELVEEVQEARRIKFMHQPSKVMDMEHELRALRAQIREKTIFSVKLQKELAINKRAEENKSCMFVLHGSETLGSCLQLKPRSDNAPSLSQSSIQWYRLSSDENRKEVISGANKTMYAPEPLDVGRILQADILSNGQKISVTTANPIDSAAGLASYVETLLRKSSSEFNVVISRINGQDHSLRSTHSFNIGKMRIKLCRGWITKSRENYSTSMLLCGARGDANAPAKSLFWQPRKGHSYVLTFESERDRNAAIMVARKHALDCSVCF >KJB46189 pep chromosome:Graimondii2_0_v6:7:58179320:58186942:-1 gene:B456_007G351800 transcript:KJB46189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKISPDIDETMLKESIVAVSADVSFASDHFPKYKLGPDNQILEEPRGDNSGPSLKEVVERETTQLSKQHKRLSVRDLASKFDKNLTAAAKFADEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALDEQEKNPENSQKTQEVEELVEEVQEARRIKFMHQPSKVMDMEHELRALRAQIREKTIFSVKLQKELAINKRAEENKSCMFVLHGSETLGSCLQLKPRSDNAPSLSQSSIQWYRLSSDENRKEVISGANKTMYAPEPLDVGRILQADILSNGQKISVTTANPIDSAAGLASYVETLLRKSSSEFNVFISRINGQDHSLRSTHSFNIGKMRIKLCRGWITKSRENYSTSMLLCGARGDANAPAKSLFWQPRKGHSYVLTFESERDRNAAIMVARKHALDCSVMLGGPDDEM >KJB46186 pep chromosome:Graimondii2_0_v6:7:58178798:58187013:-1 gene:B456_007G351800 transcript:KJB46186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKISPDIDETMLKESIVAVSADVSFASDHFPKYKLGPDNQILEEPRGDNSGPSLKEVVERETTQLSKQHKRLSVRDLASKFDKNLTAAAKFADEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALDEQEKNPENSQKTQEVEELVEEVQEARRIKFMHQPSKVMDMEHELRALRAQIREKTIFSVKLQKELAINKRAEENKSCMFVLHGSETLGSCLQLKPRSDNAPSLSQSSIQWYRLSSDENRKEVISGANKTMYAPEPLDVGRILQADILSNGQKISVTTANPIDSAAGLASYVETLLRKSSSEFNVVISRINGQDHSLRSTHSFNIGKMRIKLCRGWITKSRENYSTSMLLCGARGDANAPAKSLFWQPRKGHSYVLTFESERDRNAAIMVARKHALDCSVMLGGPDDEM >KJB39731 pep chromosome:Graimondii2_0_v6:7:1922287:1926374:1 gene:B456_007G028000 transcript:KJB39731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASGFFTPSLRTKIQPSIGVSRSGFCLSEGIFKRVVCSSGIESAGTHVSSSQSRIPKLVNKGCKLVGCGSAVPSLSVSNDDLAKIVDTSDEWISVRTGIRNRRVISGKENLRNLAVEAAKKALKMANVEPDDLDLILLCTSTPEDIFGDAPKVQRELGCTKSQLAHDITAACSGFMLGLFSASCYIKGGGFRNVLVIGADVMSRFVDWTDRGTCILFGDAAGAVVLQACDAEEDGLLSFDLHSDGEGARHLGAPINDNENGSVLEFPPNRPSYACIQMNGKEVFRFAVRCVPQSIESALEKAGLTASNIDWLLLHQANQRIIDAVATRLEFPPEKVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTITAAGFGAGLTWGSAVIRWG >KJB39732 pep chromosome:Graimondii2_0_v6:7:1922362:1926374:1 gene:B456_007G028000 transcript:KJB39732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASGFFTPSLRTKIQPSIGVSRSGFCLSEGIFKRVVCSSGIESAGTHVSSSQSRIPKLVNKGCKLVGCGSAVPSLSVSNDDLAKIVDTSDEWISVRTGIRNRRVISGKENLRNLAVEAAKKALKMANVEPDDLDLILLCTSTPEDIFGDAPKVLILLDAYLNGNLFMLWQSCKVQRELGCTKSQLAHDITAACSGFMLGLFSASCYIKGGGFRNVLVIGADVMSRFVDWTDRGTCILFGDAAGAVVLQACDAEEDGLLSFDLHSDGEGARHLGAPINDNENGSVLEFPPNRPSYACIQMNGKEVFRFAVRCVPQSIESALEKAGLTASNIDWLLLHQANQRIIDAVATRLEFPPEKVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTITAAGFGAGLTWGSAVIRWG >KJB44594 pep chromosome:Graimondii2_0_v6:7:42717098:42721516:1 gene:B456_007G260300 transcript:KJB44594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 131 [Source:Projected from Arabidopsis thaliana (AT3G03800) UniProtKB/TrEMBL;Acc:A0A1I9LQP3] MNNLLTESCEIPRGGSQGRDVELGAPMNSGELCLQEFFVKVQEIDKQYEKLDKLLKMLQDAHEESRTVTKAPAMKSIKQRMEKDIDEVLRVARFIKGKIDELDKDNLANRQKRGCRKGSGVDRSRVATTLAVKKKLKDKMAEFQILKERIQQEYREVIERRVFTVTGTRPDEETIDRLIDTGDSEQIFQKAIQQQGRGQIMSTVSEIQERHDAVKDMEKKLLDLQQVFLDMAVLVNAQGDMLDNIETQVAEPFHRIFDQRHEQSR >KJB44596 pep chromosome:Graimondii2_0_v6:7:42717434:42721353:1 gene:B456_007G260300 transcript:KJB44596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 131 [Source:Projected from Arabidopsis thaliana (AT3G03800) UniProtKB/TrEMBL;Acc:A0A1I9LQP3] MNNLLTESCEIPRGGSQGRDVELGAPMNSGELCLQEFFVKVQEIDKQYEKLDKLLKMLQDAHEESRTVTKAPAMKSIKQRMEKDIDEVLRVARFIKGKIDELDKDNLANRQKRGCRKGSGVDRSRVATTLAVKKKLKDKMAEFQILKERIQQEYREVIERRVFTVTGTRPDEETIDRLIDTGDSEQIFQKAIQQQGRGQIMSTVSEIQERHDAVKDMEKKLLDLQQMHENTDHHRTEVALKITYGRGRIFGIHY >KJB44595 pep chromosome:Graimondii2_0_v6:7:42717098:42721915:1 gene:B456_007G260300 transcript:KJB44595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 131 [Source:Projected from Arabidopsis thaliana (AT3G03800) UniProtKB/TrEMBL;Acc:A0A1I9LQP3] MNNLLTESCEIPRGGSQGRDVELGAPMNSGELCLQEFFVKVQEIDKQYEKLDKLLKMLQDAHEESRTVTKAPAMKSIKQRMEKDIDEVLRVARFIKGKIDELDKDNLANRQKRGCRKGSGVDRSRVATTLAVKKKLKDKMAEFQILKERIQQEYREVIERRVFTVTGTRPDEETIDRLIDTGDSEQIFQKAIQQQGRGQIMSTVSEIQERHDAVKDMEKKLLDLQQVFLDMAVLVNAQGDMLDNIETQVSNAVDHVQSGHKVLVKAREKQKSNRKWRCIAVILVLIIFVIIALAVLKPWNSKKEKEKGA >KJB45105 pep chromosome:Graimondii2_0_v6:7:49545172:49551745:-1 gene:B456_007G289200 transcript:KJB45105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATADSILANFSPLEVADSLENLSMDSQAKTTKVPEPVKKDFYSDNGSYMYQQAYGYMPYGAYSMPGSPLPLMGHDGQLHALQEYYYPSTYYQPPQQTSKTDASQVEVSTVNADDQASLSTETNTGNLSTVESGAGLSGNNGSGSQKSTFKVSSLNPNASYKRESFPTGNLSEGHQNSRFSYEGVQPTIPCLDMSVSSNGQSKHTANNGFSSYAKNLSSGRNENLHPFPHFMHLHNARPSSGVAQAFGYMNHIYPNNMTYGHYGNTNGGGSGSGFGSYGYSAWKKGQGCYNVGNNNKSRGLGYGKENMDGLNELNKGPRVKGSSNKDGFGTATLAVKDQNLPLTESNKENSVSLVPDLGQYNKEDFPESYSNAKFFVIKSYSEDDVHKSVKYNVWASTSNGNKKLDAAFHEAKEEPDGCPVFLLFSVNTSGQFVGVAEMVDQVDFNKTVEYWQQDKWTGCFPVKWHIIKDVPNTLLRHITLENNENKPVTNSRDTQEVNFEQGIHILKIFKDHPSKTCILDDFEFYEARQKTIQEKKAKHQLLQEKVLSGEPNDAVMEKKENVAIAKNASEKSVESALIKEPTVASTTEVVKANVDVKPVQENGSVTATEDGPNSVCVASAC >KJB42914 pep chromosome:Graimondii2_0_v6:7:16037343:16047594:1 gene:B456_007G174000 transcript:KJB42914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDGSNVAKPSPNQGDESTTIERSLSNPGRELLEAQEKMKELELELERVTGALKDFESENSRLKDEALLAKEKLDEVGKQYDELDLSNKKLQEQIIEAEQRYSLELANLKEAFQAQEAKQKELAEVKEAFDGLNIEIENSRKRMQELEQDLRSSVEEARKLEELQKQSGLHAESEMQRALESERLLETAKLGAKEMEDQMASLKEEIEGLYEKVAENQKVNAALQSTTAELSAAQEELAISKSLVLDLEQRLASKEALISELANELDLKKASESKVRENISTLENIFAATKEDLQVKVSELEDIKLKLEEEVKAREFVENEMKDQEIQLSVVKEELSKVLKEKEALEIDMSDLSSNAARLKESCSELEEKLKLSNENFCKTDSLLSQALSNNQELEQKLKSLEELHSESGVAAATATEKNLELEDIIKASNETEEVAKSKLRELEARFIAAEQRNVELEQQLNLVELKGFEAEKELKEFSGKISELTTKLGEAEEEKELLNKQMQEYQEKVNLLESALNQSTIKNTELLKELKVSAERSAQHEDRANMSHQRSLELEDLFQNSHSKLEGADKKVNELELLLEAEKYRIQELEEQISKLEKECGDTEAESSRYSDKASELTHELEAFQARASSLEIAVHMANEKEKELTECLNLATDEKKKLEEASRGSSEKLAEAENLVEILRDDLNMTQRKLESIENDLKAAGLRESEVMEKLKAAEEELEQHVKVLEQASARNSELESLHESLSRDSEVKLQELMESFTNKDSEAKSLFEKLKSFEDQIKVYEEQVAQASGQSATLKEELDQSLKKLASLESTNEQLRKEMLEVENKALQSTSESELLVQTNIQLKGRVDELQELLNSAVSEKEVTPQEIASHVYTIRELSDQPTKALEHRDQAESRIVEAEARLHEAIEKYTKKESEANDLIEKLNVLENQIKTYEEQTHEASEIAVSCEVEAEETLVKLKQLESLDEELQTKSSHFEKESGGLAEANLELTEELATCESKLSDLEGKLAAALAEKDETADQLHSSKKAIEDLTQQIASEGRRFQSQISSLMEENNLLNKTHQDTKKELQSAILQLQEQLENEKEKEQSLILELKNLKDKIAESSGTQTRAKELEEQLVKLETQLKQAVQIAAQRQADHAQDLGYRDAIDKQKEAEREAALNCCHEELEAKNKETLLLEKKVKELEDKLQVAAKGGGSSAELKDGVEVKSKDIDGLTFSAPSKRKSKKKLEAASVQAASSSSTPTNHEVASPLTTLKFIFGVAFVSVIIGVILGKRY >KJB42912 pep chromosome:Graimondii2_0_v6:7:16037343:16047594:1 gene:B456_007G174000 transcript:KJB42912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDGSNVAKPSPNQGDESTTIERSLSNPGRELLEAQEKMKELELELERVTGALKDFESENSRLKDEALLAKEKLDEVGKQYDELDLSNKKLQEQIIEAEQRYSLELANLKEAFQAQEAKQKELAEVKEAFDGLNIEIENSRKRMQELEQDLRSSVEEARKLEELQKQSGLHAESEMQRALESERLLETAKLGAKEMEDQMASLKEEIEGLYEKVAENQKVNAALQSTTAELSAAQEELAISKSLVLDLEQRLASKEALISELANELDLKKASESKVRENISTLENIFAATKEDLQVKVSELEDIKLKLEEEVKAREFVENEMKDQEIQLSVVKEELSKVLKEKEALEIDMSDLSSNAARLKESCSELEEKLKLSNENFCKTDSLLSQALSNNQELEQKLKSLEELHSESGVAAATATEKNLELEDIIKASNETEEVAKSKLRELEARFIAAEQRNVELEQQLNLVELKGFEAEKELKEFSGKISELTTKLGEAEEEKELLNKQMQEYQEKVNLLESALNQSTIKNTELLKELKVSAERSAQHEDRANMSHQRSLELEDLFQNSHSKLEGADKKVNELELLLEAEKYRIQELEEQISKLEKECGDTEAESSRYSDKASELTHELEAFQARASSLEIAVHMANEKEKELTECLNLATDEKKKLEEASRGSSEKLAEAENLVEILRDDLNMTQRKLESIENDLKAAGLRESEVMEKLKAAEEELEQHVKVLEQASARNSELESLHESLSRDSEVKLQELMESFTNKDSEAKSLFEKLKSFEDQIKVYEEQVAQASGQSATLKEELDQSLKKLASLESTNEQLRKEMLEVENKALQSTSESELLVQTNIQLKGRVDELQELLNSAVSEKEVTPQEIASHVYTIRELSDQPTKALEHRDQAESRIVEAEARLHEAIEKYTKKESEANDLIEKLNVLENQIKTYEEQTHEASEIAVSCEVEAEETLVKLKQLESLDEELQTKSSHFEKESGGLAEANLELTEELATCESKLSDLEGKLAAALAEKDETADQLHSSKKAIEDLTQQIASEGRRFQSQISSLMEENNLLNKTHQDTKKELQSAILQLQEQLENEKEKEQSLILELKNLKDKIAESSGTQTRAKELEEQLVKLETQLKQAVQIAAQRQADHAQDLGYRDAIDKQKEAEREAALNCCHEELEAKNKETLLLEKKVKELEDKLQVAAKGGGSSAELKDGVEVKSKDIDGLTFSAPSKRKSKKKLEAASVQAASSSSTPTNHEVASPLTTLKFIFGVAFVSVIIGVILGKRY >KJB42913 pep chromosome:Graimondii2_0_v6:7:16037343:16047594:1 gene:B456_007G174000 transcript:KJB42913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGENLASTEIPVKEALKDTENIAEPVNVGKEGNKDEEETALDGEFIKVEKEALDMKDGSNVAKPSPNQGDESTTIERSLSNPGRELLEAQEKMKELELELERVTGALKDFESENSRLKDEALLAKEKLDEVGKQYDELDLSNKKLQEQIIEAEQRYSLELANLKEAFQAQEAKQKELAEVKEAFDGLNIEIENSRKRMQELEQDLRSSVEEARKLEELQKQSGLHAESEMQRALESERLLETAKLGAKEMEDQMASLKEEIEGLYEKVAENQKVNAALQSTTAELSAAQEELAISKSLVLDLEQRLASKEALISELANELDLKKASESKVRENISTLENIFAATKEDLQVKVSELEDIKLKLEEEVKAREFVENEMKDQEIQLSVVKEELSKVLKEKEALEIDMSDLSSNAARLKESCSELEEKLKLSNENFCKTDSLLSQALSNNQELEQKLKSLEELHSESGVAAATATEKNLELEDIIKASNETEEVAKSKLRELEARFIAAEQRNVELEQQLNLVELKGFEAEKELKEFSGKISELTTKLGEAEEEKELLNKQMQEYQEKVNLLESALNQSTIKNTELLKELKVSAERSAQHEDRANMSHQRSLELEDLFQNSHSKLEGADKKVNELELLLEAEKYRIQELEEQISKLEKECGDTEAESSRYSDKASELTHELEAFQARASSLEIAVHMANEKEKELTECLNLATDEKKKLEEASRGSSEKLAEAENLVEILRDDLNMTQRKLESIENDLKAAGLRESEVMEKLKAAEEELEQHVKVLEQASARNSELESLHESLSRDSEVKLQELMESFTNKDSEAKSLFEKLKSFEDQIKVYEEQVAQASGQSATLKEELDQSLKKLASLESTNEQLRKEMLEVENKALQSTSESELLVQTNIQLKGRVDELQELLNSAVSEKEVTPQEIASHVYTIRELSDQPTKALEHRDQAESRIVEAEARLHEAIEKYTKKESEANDLIEKLNVLENQIKTYEEQTHEASEIAVSCEVEAEETLVKLKQLESLDEELQTKSSHFEKESGGLAEANLELTEELATCESKLSDLEGKLAAALAEKDETADQLHSSKKAIEDLTQQIASEGRRFQSQISSLMEENNLLNKTHQDTKKELQSAILQLQEQLENEKEKEQSLILELKNLKDKIAESSGTQTRAKELEEQLVKLETQLKQAVQIAAQRQADHAQDLGYRDAIDKQKEAEREAALNCCHEELEAKNKETLLLEKKVKELEDKLQVAAKGGGSSAELKDGVEVKSKDIDGLTFSAPSKRKSKKKLEAASVQAASSSSTPTNHEVASPLTTLKFIFGVAFVSVIIGVILGKRY >KJB42911 pep chromosome:Graimondii2_0_v6:7:16037343:16047594:1 gene:B456_007G174000 transcript:KJB42911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGENLASTEIPVKEALKDTENIAEPVNVGKEGNKDEEETALDGEFIKVEKEALDMKDGSNVAKPSPNQGDESTTIERSLSNPGRELLEAQEKMKELELELERVTGALKDFESENSRLKDEALLAKEKLDEVGKQYDELDLSNKKLQEQIIEAEQRYSLELANLKEAFQAQEAKQKELAEVKEAFDGLNIEIENSRKRMQELEQDLRSSVEEARKLEELQKQSGLHAESEMQRALESERLLETAKLGAKEMEDQMASLKEEIEGLYEKVAENQKVNAALQSTTAELSAAQEELAISKSLVLDLEQRLASKEALISELANELDLKKASESKVRENISTLENIFAATKEDLQVKVSELEDIKLKLEEEVKAREFVENEMKDQEIQLSVVKEELSKVLKEKEALEIDMSDLSSNAARLKESCSELEEKLKLSNENFCKTDSLLSQALSNNQELEQKLKSLEELHSESGVAAATATEKNLELEDIIKASNETEEVAKSKLRELEARFIAAEQRNVELEQQLNLVELKGFEAEKELKEFSGKISELTTKLGEAEEEKELLNKQMQEYQEKVNLLESALNQSTIKNTELLKELKVSAERSAQHEDRANMSHQRSLELEDLFQNSHSKLEGADKKVNELELLLEAEKYRIQELEEQISKLEKECGDTEAESSRYSDKASELTHELEAFQARASSLEIAVHMANEKEKELTECLNLATDEKKKLEEASRGSSEKLAEAENLVEILRDDLNMTQRKLESIENDLKAAGLRESEVMEKLKAAEEELEQHVKVLEQASARNSELESLHESLSRDSEVKLQELMESFTNKDSEAKSLFEKLKSFEDQIKVYEEQVAQASGQSATLKEELDQSLKKLASLESTNEQLRKEMLEVENKALQSTSESELLVQTNIQLKGRVDELQELLNSAVSEKEVTPQEIASHVYTIRELSDQPTKALEHRDQAESRIVEAEARLHEAIEKYTKKESEANDLIEKLNVLENQIKTYEEQTHEASEIAVSCEVEAEETLVKLKQLESLDEELQTKSSHFEKESGGLAEANLELTEELATCESKLSDLEGKLAAALAEKDETADQLHSSKKAIEDLTQQIASEGRRFQSQISSLMEENNLLNKTHQDTKKELQSAILQLQEQLENEKEKEQSLILELKNLKDKIAESSGTQTRAKELEEQLVKLETQLKQAVQIAAQRQADHAQDLGYRDAIDKQVRQF >KJB43978 pep chromosome:Graimondii2_0_v6:7:27456478:27458089:-1 gene:B456_007G227500 transcript:KJB43978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDAKLKAYIEENGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGAFSEEEDNIICSLYINIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEQQARRASWLTVKQEMKREGGDYMLPGMVSQLPYWPQQPPVIPFTTTTNQDPPLPNDQESIKNLLIKLGGRFSDDHHPQSSTSTPIPNSMNSSRYPLDVSFAQDQLYENSMNNTVSPASSISPINSTCSQVTNGTHFNTNEVAVPNDMFQGLDGFTDELRELTYSNNQQIMNWLEGFYGTDNMVDGSSTTGSSSVESSSWGPIYSLGFPQLVTGFEPCQQNMPQASTYGEQYCTWAV >KJB44421 pep chromosome:Graimondii2_0_v6:7:40072940:40075700:1 gene:B456_007G251800 transcript:KJB44421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATETLCGQAFGAKHYHMLGIYLQRSWIINFVTSAVLLPVFIFASPIFKLLGEDEEIATAAGYISLWFIPILYFFVFNFTTQKYLQCQLKNMIVGWISAASFILHVLLSWILVSKLNWGIPGAMSSMIISSWLVVIGELIYVFVGWCPETWKGFTFACFLDLFPVLKLSISSGVMICLELWYYAILVLLGGYMKNAAVAIDALSICLNIIAWQLMVFLGFLTAASVRVSNELGRGNAKAAKFSMKVLLCTSLSIGVLFWALCLIFGHRIGYLFTSDEEVANSVADLSLLLSFSVLLNSVQPILSGIAIGAGRQKMVAYVNICSYYVVGVPLGILLGYVAKMEVKGIWIGMITGVATQTFVLAYITSRTDWEEEVNKASERLNKWLLEPSETSYGKLSGETVNE >KJB44420 pep chromosome:Graimondii2_0_v6:7:40072853:40075770:1 gene:B456_007G251800 transcript:KJB44420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERLLGSEEPKENSNNLRRRVLEESGKLWKVGFPSMLARVTAFGMFVVTQAFIGHIGERQLAAYALIQVITVRFANGILLGMSSATETLCGQAFGAKHYHMLGIYLQRSWIINFVTSAVLLPVFIFASPIFKLLGEDEEIATAAGYISLWFIPILYFFVFNFTTQKYLQCQLKNMIVGWISAASFILHVLLSWILVSKLNWGIPGAMSSMIISSWLVVIGELIYVFVGWCPETWKGFTFACFLDLFPVLKLSISSGVMICLELWYYAILVLLGGYMKNAAVAIDALSICLNIIAWQLMVFLGFLTAASVRVSNELGRGNAKAAKFSMKVLLCTSLSIGVLFWALCLIFGHRIGYLFTSDEEVANSVADLSLLLSFSVLLNSVQPILSGIAIGAGRQKMVAYVNICSYYVVGVPLGILLGYVAKMEVKGIWIGMITGVATQTFVLAYITSRTDWEEEVNKASERLNKWLLEPSETSYGKLSGETVNE >KJB44422 pep chromosome:Graimondii2_0_v6:7:40073404:40074750:1 gene:B456_007G251800 transcript:KJB44422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATETLCGQAFGAKHYHMLGIYLQRSWIINFVTSAVLLPVFIFASPIFKLLGEDEEIATAAGYISLWFIPILYFFVFNFTTQKYLQCQLKNMIVGWISAASFILHVLLSWILVSKLNWGIPGAMSSMIISSWLVVIGELIYVFVGWCPETWKGFTFACFLDLFPVLKLSISSGVMICLELWYYAILVLLGGYMKNAAVAIDALSICLNIIAWQLMVFLGFLTAASVRVSNELGRGNAKAAKFSMKVLLCTSLSIGVLFWALCLIFGHRIGYLFTSDEEVANSVADLSLLLSFSVLLNSVQPILSGKYIYIYILPEYVWEGKRMTWLKSAMQG >KJB45613 pep chromosome:Graimondii2_0_v6:7:53032690:53036627:-1 gene:B456_007G315900 transcript:KJB45613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQICSSKLPPSTHRSMSNHNRPLANFHLCVWGNTFLSPPSKMKEDATIQQQHGELKEEIRRMVKIEEALHNIYEHDCNDDQTLEATSLRFRLLRENGFSFHCDTFYKFKDDEGNFDKSLTSDVKGLLELYEAAHLRVHGEDILEEALGFTTTHLDLAKATGTIEFPLSVLVSRARDRPICKCLPRLEARRFIDIYKDDGSHDKTLLKFAELDFNLLQNLHKEELSKISKWWKDFDFAKKLPFIRNRLVEGYFWILGVYFEPQYSLAREILTKVLAMASIMDDIYDAYGTLEELKLLTHAIQRWDVDCMDKLPEYMKFFYKSLLDVYGEVEKAMAKEEKSCCVEYSKNTFKQLSEAYMVEANWCHENYLPTMEEYMRNAVLTAGYIMLTLTSFIGMGDLVTPEIFNWASTNPKIIAASSIICRLMDDIASHKFEQEREHCASAVECYMREYGVSEQEACIELKKQVENAWKDINHELMFSETSKVVPMPVLMRSLNLTRVIDFLYKDGEDQYTHVGKNSKDGITSLLINPISLST >KJB41911 pep chromosome:Graimondii2_0_v6:7:10188797:10194492:1 gene:B456_007G127600 transcript:KJB41911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G02390) UniProtKB/Swiss-Prot;Acc:Q11207] MLNQTNVGQNNNKFFVIQLLESDDSKTYMVHNRWGRVGVKGQIKLHGPFTSRQAAIDEFQTKFFNKTKNYWYNRKDFVCHPKCYTLLEMDYDEKEKESDVKRKANSSIGAQLRETKLEQRVAKFISIICNISMMKQQMMEIGYNADKLPLGKLSKSTILKGYDVLKKIADVIDQSNRSKLEQLSSEFYTVIPHDFGFRKMRDFVIDTPQKLKKKLEMVEALGEIEVASKLLMDDITMEEDPLYYRYQQLHCELFPLDNDTEEFAMIVKYIQNTHAQTHSNYTVDVVQIFAVTRDGESERFEKFSGTKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPATGYMFGKGVYFADMFSKSANYCYTNSAFTTGVLLLCEVALGDMAELLQAKSDADKLPDGKLSTKGVGATAPDPSEAQSLDDGVVVPLGKPKEQKRKGALLYNEYVVYNVDQIRMRYLIQVSFKYTK >KJB41910 pep chromosome:Graimondii2_0_v6:7:10188681:10194649:1 gene:B456_007G127600 transcript:KJB41910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G02390) UniProtKB/Swiss-Prot;Acc:Q11207] MARKLKVGQLRDELAQRGLDTIGTKPLLVLRLEDALLKERKKEEENGGKAYNAIGNNKRKRGRESDVCNNEDSDKVNAVEEFRQMNVKQLREQATLRRLSTVGSKKELPERLCEDADKNPLPVKEEEEEEEEEEEEEEEKESSKEEKIVTATKKGVAVLDQGIPDDIKAHYHVLQKGDDIYDAMLNQTNVGQNNNKFFVIQLLESDDSKTYMVHNRWGRVGVKGQIKLHGPFTSRQAAIDEFQTKFFNKTKNYWYNRKDFVCHPKCYTLLEMDYDEKEKESDVKRKANSSIGAQLRETKLEQRVAKFISIICNISMMKQQMMEIGYNADKLPLGKLSKSTILKGYDVLKKIADVIDQSNRSKLEQLSSEFYTVIPHDFGFRKMRDFVIDTPQKLKKKLEMVEALGEIEVASKLLMDDITMEEDPLYYRYQQLHCELFPLDNDTEEFAMIVKYIQNTHAQTHSNYTVDVVQIFAVTRDGESERFEKFSGTKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPATGYMFGKGVYFADMFSKSANYCYTNSAFTTGVLLLCEVALGDMAELLQAKSDADKLPDGKLSTKGVGATAPDPSEAQSLDDGVVVPLGKPKEQKRKGALLYNEYVVYNVDQIRMRYLIQVSFKYTK >KJB41909 pep chromosome:Graimondii2_0_v6:7:10187944:10194492:1 gene:B456_007G127600 transcript:KJB41909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G02390) UniProtKB/Swiss-Prot;Acc:Q11207] MMKNVKIEEFGVRVIGADSCGRRQCLAFVYPSISFSHSQTISPALCSSKNMARKLKVGQLRDELAQRGLDTIGTKPLLVLRLEDALLKERKKEEENGGKAYNAIGNNKRKRGRESDVCNNEDSDKVNAVEEFRQMNVKQLREQATLRRLSTVGSKKELPERLCEDADKNPLPVKEEEEEEEEEEEEEEEKESSKEEKIVTATKKGVAVLDQGIPDDIKAHYHVLQKGDDIYDAMLNQTNVGQNNNKFFVIQLLESDDSKTYMVHNRWGRVGVKGQIKLHGPFTSRQAAIDEFQTKFFNKTKNYWYNRKDFVCHPKCYTLLEMDYDEKEKESDVKRKANSSIGAQLRETKLEQRVAKFISIICNISMMKQQMMEIGYNADKLPLGKLSKSTILKGYDVLKKIADVIDQSNRSKLEQLSSEFYTVIPHDFGFRKMRDFVIDTPQKLKKKLEMVEALGEIEVASKLLMDDITMEEDPLYYRYQQLHCELFPLDNDTEEFAMIVKYIQNTHAQTHSNYTVDVVQIFAVTRDGESERFEKFSGTKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPATGYMFGKGVYFADMFSKSANYCYTNSAFTTGVLLLCEVALGDMAELLQAKSDADKLPDGKLSTKGVGATAPDPSEAQSLDDGVVVPLGKPKEQKRKGALLYNEYVVYNVDQIRMRYLIQVSFKYTK >KJB41087 pep chromosome:Graimondii2_0_v6:7:6550230:6550733:1 gene:B456_007G089900 transcript:KJB41087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIRGFKIGKRLVRISRCFIREPRNPPGYPHLSHAGSFCNLKPFSKLISWGRRLTERAKSLCSVKPGSGYVLIDQDPNKEERMEVPKGHLAVYVGKKDGDFHRVLVPVIYFNHPLFGELLRKAEEEYGFSHQGGITIPCRFSEFEKVQTRIAAGTGGRKISSKGHH >KJB41600 pep chromosome:Graimondii2_0_v6:7:8481161:8483358:1 gene:B456_007G111200 transcript:KJB41600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGWRDGVLTIGTFGFDPLKSLAEEQNDYLASGSYEDDDEERYSDNNDDEDVDGDDDDEEEEVNPLMLSSFEPSLEDVDSNVDNSKYRKKEVMMMVDGSTDHEIKFNLDATEDHSGKLRRRTTLADLFSEDTDIKKKPSSPLHLDTDSCKKPSSLPAKNGLSFAKKLIPQVGVGEDSRPIKMLHQMMRRMLKRKIHPELEGKGNQLEGRCKASVIDAVASSTLQANESVSLLQSPDIAEV >KJB41599 pep chromosome:Graimondii2_0_v6:7:8480530:8483406:1 gene:B456_007G111200 transcript:KJB41599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFNWVQRRFHHNVLKDGLARNVKKTDSIAIDSNTKALVEQVALVDMLDGWRDGVLTIGTFGFDPLKSLAEEQNDYLASGSYEDDDEERYSDNNDDEDVDGDDDDEEEEVNPLMLSSFEPSLEDVDSNVDNSKYRKKEVMMMVDGSTDHEIKFNLDATEDHSGKLRRRTTLADLFSEDTDIKKKPSSPLHLDTDSCKKPSSLPAKNGLSFAKKLIPQVGVGEDSRPIKMLHQMMRRMLKRKIHPELEGKGNQLEGRCKASVIDAVASSTLQANESVSLLQSPDIAEV >KJB41170 pep chromosome:Graimondii2_0_v6:7:6837887:6840264:-1 gene:B456_007G093600 transcript:KJB41170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase complex subunit SAP18 [Source:Projected from Arabidopsis thaliana (AT2G45640) UniProtKB/TrEMBL;Acc:A0A178VW39] MAGAAEPQKRQSGRPFPPSARGPPPPPRPRFEPVDREKTCPLLLRVFTKIGGHHSKEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPAARRRDARLSFAFVYPDKNGRFVVGKTFSYGNVRRLDDSKTLGELSFEIGDYLDVAIMSSELSSVR >KJB41169 pep chromosome:Graimondii2_0_v6:7:6837885:6840334:-1 gene:B456_007G093600 transcript:KJB41169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase complex subunit SAP18 [Source:Projected from Arabidopsis thaliana (AT2G45640) UniProtKB/TrEMBL;Acc:A0A178VW39] MAGAAEPQKRQSGRPFPPSARGPPPPPRPRFEPVDREKTCPLLLRVFTKIGGHHSKEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPAARRRDARLSFAFVYPDKNGRFVVREVGKTFSYGNVRRLDDSKTLGELSFEIGDYLDVAIMSSELSSVR >KJB43843 pep chromosome:Graimondii2_0_v6:7:25069900:25071662:-1 gene:B456_007G219100 transcript:KJB43843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESTLFYASLALLFLFLAFNFLFQSKHRHENLPPSPLSLPIIGHLHLLINPPLHRPFLQLSKKLGPVFSLRLGSRLAVVVSSLSVIQECLTKNDIVLANRPNLLLSKHLGYNHTTVVSAPYGDYWRNLRRICTIEIFSPNRLNKFHGIRKDEVRRLLLKLSHNSREAFAKVELKSMFTDLILNNLMRMMAGKIYFGEDVSDDGEAKEFRELIAEVVEYSGAGNPADYLPILNWAGNYEKKLLELFKRMDGFLQGLIDERRNANGGNMMIDHLLSLQESEPENYTDQTIKGLIVVLLFAGTDTSAVTLEWAMSNLLNNPEVLKKAKAEIDAQVGEERLIDESDIAKLPYLQNMMSETLRLYPAAPLLVPHKTSDDCTIGGYNVPSNTIVLINAWAIQRDPELWDDPSSFKPERFESESKDHGHKYLPFGMGRRACPGASMAHRMVNLTLGSLIQCFEWKRVGVEEIDMTEGKGVTMPKVEPLEAMCKARAILHKDLYRAA >KJB44039 pep chromosome:Graimondii2_0_v6:7:29136600:29141960:1 gene:B456_007G231600 transcript:KJB44039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVAHRSHLGQLVLWLLMIVELSLFYSACSAEIPVRKILNLGDCNPHVRLQISHHNVVIDNGLIEVTIENPSGHVTGIKYMGMDNVLECGNPNSNRGYWDFVWDNSTFDKMETKHVKVIAHTDELVEISFTKNWDFRADHGKTVPLNIDKRFIVRRGVPGVYMYGIFERQEDFPDAQMYQLRIVFKLKEDKFRFMAISDTRQGVMPRGEDRDNTRSQTLAFKEAVLMTNPSNPQFRGEVDDKYQYSCENKDNKLHGWISDDEAVGFWIITPSNEFRTGGPHKQDLTSHVGPIALSMFVSTHYTGIDIDVTYKKGEAWRKAFGPVLIFLNSASSDDDCRKTLWNDAKRQLSEEIESWPYNFTRSEDLPHAGDRGEVCGQLLVRDRCVDEELMQAQSAFVGLAVPGNVGSWQTEGKGYQFWTETDNTGRFNIKNVRPGEYNLYAWVHGFIGDYKLDLSITIQPGNKVNLGTLIYDPPRNGPTLWEIGIPDRTAAEFFIPEPDPTFVNPLCLDAADKFRQYGLWDRYSDFYRHGDVVYTVGVSNYSRDWFFAHVLRCQFQHANSLNIIEYFSGCLIFLFYFYFYVLNYRNTGNITDLSTTTWQIKYNLQDVNEKGNYTLQLALAAASYAELQV >KJB44040 pep chromosome:Graimondii2_0_v6:7:29137512:29142052:1 gene:B456_007G231600 transcript:KJB44040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDNVLECGNPNSNRGYWDFVWDNSTFDKMETKHVKVIAHTDELVEISFTKNWDFRADHGKTVPLNIDKRFIVRRGVPGVYMYGIFERQEDFPDAQMYQLRIVFKLKEDKFRFMAISDTRQGVMPRGEDRDNTRSQTLAFKEAVLMTNPSNPQFRGEVDDKYQYSCENKDNKLHGWISDDEAVGFWIITPSNEFRTGGPHKQDLTSHVGPIALSMFVSTHYTGIDIDVTYKKGEAWRKAFGPVLIFLNSASSDDDCRKTLWNDAKRQLSEEIESWPYNFTRSEDLPHAGDRGEVCGQLLVRDRCVDEELMQAQSAFVGLAVPGNVGSWQTEGKGYQFWTETDNTGRFNIKNVRPGEYNLYAWVHGFIGDYKLDLSITIQPGNKVNLGTLIYDPPRNGPTLWEIGIPDRTAAEFFIPEPDPTFVNPLCLDAADKFRQYGLWDRYSDFYRHGDVVYTVGVSNYSRDWFFAHVLRNTGNITDLSTTTWQIKYNLQDVNEKGNYTLQLALAAASYAELQIRFNNPDAIQPCFTTTRIGYDNAVARHGIHGLYRLYSINIPGNRFIRGNNTIFLTQTRSHALFDAVMYDYIRLEAPAV >KJB44038 pep chromosome:Graimondii2_0_v6:7:29136546:29142052:1 gene:B456_007G231600 transcript:KJB44038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVAHRSHLGQLVLWLLMIVELSLFYSACSAEIPVRKILNLGDCNPHVRLQISHHNVVIDNGLIEVTIENPSGHVTGIKYMGMDNVLECGNPNSNRGYWDFVWDNSTFDKMETKHVKVIAHTDELVEISFTKNWDFRADHGKTVPLNIDKRFIVRRGVPGVYMYGIFERQEDFPDAQMYQLRIVFKLKEDKFRFMAISDTRQGVMPRGEDRDNTRSQTLAFKEAVLMTNPSNPQFRGEVDDKYQYSCENKDNKLHGWISDDEAVGFWIITPSNEFRTGGPHKQDLTSHVGPIALSMFVSTHYTGIDIDVTYKKGEAWRKAFGPVLIFLNSASSDDDCRKTLWNDAKRQLSEEIESWPYNFTRSEDLPHAGDRGEVCGQLLVRDRCVDEELMQAQSAFVGLAVPGNVGSWQTEGKGYQFWTETDNTGRFNIKNVRPGEYNLYAWVHGFIGDYKLDLSITIQPGNKVNLGTLIYDPPRNGPTLWEIGIPDRTAAEFFIPEPDPTFVNPLCLDAADKFRQYGLWDRYSDFYRHGDVVYTVGVSNYSRDWFFAHVLRNTGNITDLSTTTWQIKYNLQDVNEKGNYTLQLALAAASYAELQIRFNNPDAIQPCFTTTRIGYDNAVARHGIHGLYRLYSINIPGNRFIRGNNTIFLTQTRSHALFDAVMYDYIRLEAPAV >KJB45693 pep chromosome:Graimondii2_0_v6:7:53682623:53687449:-1 gene:B456_007G321200 transcript:KJB45693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPLGAVIGRYPSSDGTTEIGGIIRHNRKCRDIVFLVIFIAFWVAMIVNSSFGFNQGNPLRLTYGLDYKGNLCGDKHAHPGLRKLELKYWLNPNQVYQSGVKDSQFKLSNARSICLWDCPIPSEDSLNWVCDYPEGDIRLSMRDWINRNYDYYEFLTPEMRNTSLQLQGPCYPVIFPSVNVYWSCQFIARASNISLRHWQQMGGVNINEDMVIDKSIHRSINSRSSVLKRYMADIGKSWPVLIVCGGMLPLFLSVIWLLMIRHFVSAMPWITVALFNILIITVTMFYYLKAGWIGNDAISPIIGEHDPYINVFGRELHHLRAVAILMTFIMVVSILTSIAIVRRILMATSVLKVAAKVIGEVQALIIFPIIPYAILAIFYMFWISAALHLFSSGQVVKNNCNTNCCAYDLVAKKVNCDGCCGYSIHYTPHIAVAIFFHLFGGYWATQFFIACSSTVVAGSVASYYWARGETSPEIPFLPVFASMKRLIRYNLGSVALGSLVVSLVESIRFILESIRRKLKVAGVTSDGWFGKIGNHTSQGCLRCVEWTIKSVNRNAYIMIAITGKSFFKASEIATELIMNNILRIGKVNVIGDVILFLGKLCVSLSSAIFGFLMLDTYKYRAAHNKISSPLLPVLICWALGYVVATLFFAVVEMSIDTIILSFCQDSEEHQGTAQYAPPLLIETLGDQNEMQRLTQ >KJB45853 pep chromosome:Graimondii2_0_v6:7:55575847:55576429:-1 gene:B456_007G333100 transcript:KJB45853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELSFKDLLVCCLAFLLTGWGLILVAQAVRPIIENTGLWQFTEVLAKAYDYGMGSILFSPIAILAWLPIISAFQTRFLLNQAFNWHLQIQPILEGKKKQK >KJB42476 pep chromosome:Graimondii2_0_v6:7:13596814:13602061:1 gene:B456_007G156900 transcript:KJB42476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFWTSSHFKQLLDPEEVDVVHQQDKDKGITLEEFKLIKMHMANYIMKLAQNVKVRQRVVATAVTYMRRVYTRRSMSEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLSSDEKYRYEIKDILEMEMKILEALNYYLVVFHPYRTLSPLLQDAGMNDMTCIYIASVYREKDITTWFEELRVDMNVVKNISMEILDFYENKMIADERISTAFLKLALKP >KJB42475 pep chromosome:Graimondii2_0_v6:7:13596814:13602061:1 gene:B456_007G156900 transcript:KJB42475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFWTSSHFKQLLDPEEVDVVHQQDKDKGITLEEFKLIKMHMANYIMKLAQNVKVRQRRSMSEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLSSDEKYRYEIKDILEMEMKILEALNYYLVVFHPYRTLSPLLQDAGMNDMSMTQLSWGLVNDTYKMDLILTHPPYLIALACIYIASVYREKDITTWFEELRVDMNVVKNISMEILDFYENKMIADERISTAFLKLALKP >KJB42477 pep chromosome:Graimondii2_0_v6:7:13596814:13602061:1 gene:B456_007G156900 transcript:KJB42477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFWTSSHFKQLLDPEEVDVVHQQDKDKGITLEEFKLIKMHMANYIMKLAQNVKVRQRVVATAVTYMRRVYTRRSMSEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLSSDEKYRYEIKDILEMEMKILEALNYYLVVFHPYRTLSPLLQDAGMNDMSMTQLSWGLVNDTYKMDLILTHPPYLIALACIYIASVYREKDITTWFEELRVDMNVKNISMEILDFYENKMIADERISTAFLKLALKP >KJB42471 pep chromosome:Graimondii2_0_v6:7:13596735:13602061:1 gene:B456_007G156900 transcript:KJB42471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFWTSSHFKQLLDPEEVDVVHQQDKDKGITLEEFKLIKMHMANYIMKLAQNVKVRQRVVATAVTYMRRVYTRRSMSEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLSSDEKYRYEIKDILEMEMKILEALNYYLVVFHPYRTLSPLLQDAGMNDMSMTQLSWGLVNDTYKMDLILTHPPYLIALACIYIASVYREKDITTWFEELRVDMNVVKNISMEILDFYENKMIADERISTAFLKLALKP >KJB42473 pep chromosome:Graimondii2_0_v6:7:13596982:13601609:1 gene:B456_007G156900 transcript:KJB42473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFWTSSHFKQLLDPEEVDVVHQQDKDKGITLEEFKLIKMHMANYIMKLAQNVKVRQRVVATAVTYMRRVYTRRSMSEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLSSDEKYRYEIKDILEMEMKILEALNYYLVVFHPYRTLSPLLQDAGMNDMSMTQLSWGLVNDTYKMDLILTHPPYLIALACIYIASVYREKDITTWFEELRVDMNVVSEKHLHGDPRLL >KJB42478 pep chromosome:Graimondii2_0_v6:7:13597075:13602061:1 gene:B456_007G156900 transcript:KJB42478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMANYIMKLAQNVKVRQRVVATAVTYMRRVYTRRSMSEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLSSDEKYRYEIKDILEMEMKILEALNYYLVVFHPYRTLSPLLQDAGMNDMSMTQLSWGLVNDTYKMDLILTHPPYLIALACIYIASVYREKDITTWFEELRVDMNVVKNISMEILDFYENKMIADERISTAFLKLALKP >KJB42474 pep chromosome:Graimondii2_0_v6:7:13596814:13602061:1 gene:B456_007G156900 transcript:KJB42474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFWTSSHFKQLLDPEEVDVVHQQDKDKGITLEEFKLIKMHMANYIMKLAQNVKVRQRVVATAVTYMRRVYTRSMSEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLSSDEKYRYEIKDILEMEMKILEALNYYLVVFHPYRTLSPLLQDAGMNDMSMTQLSWGLVNDTYKMDLILTHPPYLIALACIYIASVYREKDITTWFEELRVDMNVVKNISMEILDFYENKMIADERISTAFLKLALKP >KJB42472 pep chromosome:Graimondii2_0_v6:7:13597905:13601673:1 gene:B456_007G156900 transcript:KJB42472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAQNVKVRQRVVATAVTYMRRVYTRRSMSEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLSSDEKYRYEIKDILEMEMKILEALNYYLVVFHPYRTLSPLLQDAGMNDMSMTQLSWGLVNDTYKMDLILTHPPYLIALACIYIASVYREKDITTWFEELRVDMNVVKNISMEILDFYENKMIADERISTAFLKLALKP >KJB46184 pep chromosome:Graimondii2_0_v6:7:58173935:58176206:-1 gene:B456_007G351700 transcript:KJB46184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPIVPQQPRGEAVVHKQTKKNVAAGDGKSRRALGDIGNVVNVRAVADGKPAQIQTHRPLTRSFCAQLLANAQAAAVAENNKKNVCVNVEKAPAAAAVPPKRSVAAPAAAPPKAVQKKPTAKPASPPVEVTEISPDVEEILEVKEKKEKKDKAEVINNNKKVTQKEGSPKKKHTFSSALTARSKAAAHGISNKPKEDIVDIDGADTDNHLAGVEYVDEIYKFYKSAEVRKLKKLQNFHRFCVFCNDFVLKLMKMLLNLQHESMPNDYMHLQTDINEKMRAILIDWLIDVHQKFELSPEALYLTINLIDRFLSVKVVPRRELQLLGMSAMLISTKYEEIWPPEVNDLVCIADRAYTHEQILIMEKTILGRLEWTLTVPTHYVFLARFIKASIPDPKMENMVYFLAELGIMHYETIRYCPSMVAASAVYAARCTLKKTPAWTDTLKFHTGYTEQQLMECAKLMACFHSKAVDSRLQVVYRKYSSSLRGAVALIPACQNLLSGVVSSA >KJB46183 pep chromosome:Graimondii2_0_v6:7:58173824:58176275:-1 gene:B456_007G351700 transcript:KJB46183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPIVPQQPRGEAVVHKQTKKNVAAGDGKSRRALGDIGNVVNVRAVADGKPAQIQTHRPLTRSFCAQLLANAQAAAVAENNKKNVCVNVEKAPAAAAVPPKRSVAAPAAAPPKAVQKKPTAKPASPPVEVTEISPDVEEILEVKEKKEKKDKAEVINNNKKVTQKEGSPKKKHTFSSALTARSKAAAHGISNKPKEDIVDIDGADTDNHLAGVEYVDEIYKFYKSAEHESMPNDYMHLQTDINEKMRAILIDWLIDVHQKFELSPEALYLTINLIDRFLSVKVVPRRELQLLGMSAMLISTKYEEIWPPEVNDLVCIADRAYTHEQILIMEKTILGRLEWTLTVPTHYVFLARFIKASIPDPKMENMVYFLAELGIMHYETIRYCPSMVAASAVYAARCTLKKTPAWTDTLKFHTGYTEQQLMECAKLMACFHSKAVDSRLQVVYRKYSSSLRGAVALIPACQNLLSGVVSSA >KJB44139 pep chromosome:Graimondii2_0_v6:7:31914275:31920712:1 gene:B456_007G236500 transcript:KJB44139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLGILIFTYLLSFVSADLQGDALFTLRSSLQASPTQLADWNQNQVNPCTWSYVMCDSSYNVISVTLPSMNFSGTLSPKIGVLSTLSSLTLKGCGITGEIPKELGNLSSLTNLDLENNRLSGEIPSSLGNLKNLQFLILSKNNLSGTVPGSISDLPKLINLRLDSNDLSGQVPEHLLHIAIYNFTGNKLNCGKNFSYSCVSSGNGSGSSRNPKVGIIIGIVVGFIILLCGSLLFFLFRCRHKRYKGEVFVDVAGEVDRRIAFGQLKRFAWRELQLATDNFSEKNILGQGGFGKVYKGVLSDNTKVAVKRLTDFESPGGDAAFQREVEMIGVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLRELKPGEPVLAWPARKRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDVDFEAVVGDFGLAKLVDVRRTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEDEDDVLLLDHVKNLEREKMLDAIVDRNLNKNYIMQEVEAMIQVALLCTQSSPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVMRRQEYERMQRRFQWGEDSMYNQDAIELSGGR >KJB44138 pep chromosome:Graimondii2_0_v6:7:31913672:31920789:1 gene:B456_007G236500 transcript:KJB44138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLGILIFTYLLSFVSADLQGDALFTLRSSLQASPTQLADWNQNQVNPCTWSYVMCDSSYNVISVTLPSMNFSGTLSPKIGVLSTLSSLTLKGCGITGEIPKELGNLSSLTNLDLENNRLSGEIPSSLGNLKNLQFLILSKNNLSGTVPGSISDLPKLINLRLDSNDLSGQVPEHLLHIAIYNFTGNKLNCGKNFSYSCVSSGNGSGSSRNPKVGIIIGIVVGFIILLCGSLLFFLFRCRHKRYKGEVFVDVAGEVDRRIAFGQLKRFAWRELQLATDNFSEKNILGQGGFGKVYKGVLSDNTKVAVKRLTDFESPGGDAAFQREVEMIGVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLRELKPGEPVLAWPARKRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDVDFEAVVGDFGLAKLVDVRRTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEDEDDVLLLDHVKNLEREKMLDAIVDRNLNKNYIMQEVEAMIQVALLCTQSSPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVMRRQEYERMQRRFQWGEDSMYNQDAIELSGGR >KJB44137 pep chromosome:Graimondii2_0_v6:7:31912568:31920789:1 gene:B456_007G236500 transcript:KJB44137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLGILIFTYLLSFVSADLQGDALFTLRSSLQASPTQLADWNQNQVNPCTWSYVMCDSSYNVISVTLPSMNFSGTLSPKIGVLSTLSSLTLKGCGITGEIPKELGNLSSLTNLDLENNRLSGEIPSSLGNLKNLQFLILSKNNLSGTVPGSISDLPKLINLRLDSNDLSGQVPEHLLHIAIYNFTGNKLNCGKNFSYSCVSSGNGSGSSRNPKVGIIIGIVVGFIILLCGSLLFFLFRCRHKRYKGEVFVDVAGEVDRRIAFGQLKRFAWRELQLATDNFSEKNILGQGGFGKVYKGVLSDNTKVAVKRLTDFESPGGDAAFQREVEMIGVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLRELKPGEPVLAWPARKRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDVDFEAVVGDFGLAKLVDVRRTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEDEDDVLLLDHVKNLEREKMLDAIVDRNLNKNYIMQEVEAMIQVALLCTQSSPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVMRRQEYERMQRRFQWGEDSMYNQDAIELSGGR >KJB44855 pep chromosome:Graimondii2_0_v6:7:47143772:47153658:1 gene:B456_007G276200 transcript:KJB44855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCVKEENDDIPPVPPGFESYASFTLTRGAQENVKHENDNVKYCSASATTISSVASPVQKETELGNGESTKITRSVRRRPWINYGRYDNSPEDELDCEKLDQNQRLRHNLPKGVIRGCPECNDCQKVIARWHPEEACRPGVEDAPVFYPTEKEFEDTLNYIASIRPKAEKYGICRIVPPSSWKPPCPLKEKNIWENARFATRVQRVDKLQNRNSMRKMSKVNNSMRRKRRRYMRMAVDCSSDSGSSWSADAGFCEVERFGFEPGPEFTLDKFQKYADDFKAQYFRRKENDVDMEGKVSVLPDLHEASVENIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKKSSQVGLASNEKYINSGWNLNNFPRLPGSVLNYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNFMHWGAPKIWYGVPGKDAPKLEEAMKKHLPDLFDEQPDLLHKLVTQLSPSILKSEGVPVYRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQIAIKLYREQGRKTSISHDKLLLGAAREAVKAHWELSLLKKHTSDNLRWKGVCGKDGILAKTLKERVEIERLSREYFCSSSHIAKMESNFDATSERECSICFFDLHLSAAGCHCSPDRYACLKHAKQFCSCARGSKIFLFRYDINELNILVEAVEGKLSAIYRWARLDLGLALSSYVTKDNIGGRLSHTLEGVPKEVPQPSVISSGELPGEDMSKKKPLILAQISAQMLLLQRNKQSEAALPSKDPNSKLKKGESVLSALNLSMPGSQTSMTSGVKKPSAPAVVNTILLSDDEGDEPEKPVSEIPKEHSMKEHPEASVRVAPAAGEKASTCNYKNEAILTTPLTDAAFTNQKDANSPDVQRNNHSSHYSEVKDEHSGNGITLLGSSRQNDFCHLESATAESGRNVQDSSNTIEMDNNKNNLLTGESSLQHLLPWGSEKVDKDKHEKVGAIASANLVDHTRTNVGGPSCSQNNVDRNVRQKGPRIAKVVRRINCNVEPLEFGVVLSGKLWCNSQAIFPKGFKSRVRYISVLDPTNMAYYVSEILDAERDGPLFMVSVEHCPSEVFVHVSAARCWEMVREKVNQEITKQHRLGRTNLPPLQPPGSLDGFEMFGFSSPEIVQAVEAMDRNRVCMEYWDSRPYSRLQVQFLQHSHTPGNGGNFLRTSGEQNNAGAPQNKCLPGEVDTLLGLFKKASSEELSSLCSILSDKRSPKDVDRVAQLLKEEIRSRRPT >KJB44858 pep chromosome:Graimondii2_0_v6:7:47145045:47153081:1 gene:B456_007G276200 transcript:KJB44858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLNCHLLSCVAILMGTELMRVCVKEENDDIPPVPPGFESYASFTLTRGAQENVKHENDNVKYCSASATTISSVASPVQKETELGNGESTKITRSVRRRPWINYGRYDNSPEDELDCEKLDQNQRLRHNLPKGVIRGCPECNDCQKVIARWHPEEACRPGVEDAPVFYPTEKEFEDTLNYIASIRPKAEKYGICRIVPPSSWKPPCPLKEKNIWENARFATRVQRVDKLQNRNSMRKMSKVNNSMRRKRRRYMRMAVDCSSDSGSSWSADAGFCEVERFGFEPGPEFTLDKFQKYADDFKAQYFRRKENDVDMEGKVSVLPDLHEASVENIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKKSSQVGLASNEKYINSGWNLNNFPRLPGSVLNYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNFMHWGAPKIWYGVPGKDAPKLEEAMKKHLPDLFDEQPDLLHKLVTQLSPSILKSEGVPVYRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQIAIKLYREQGRKTSISHDKLLLGAAREAVKAHWELSLLKKHTSDNLRWKGVCGKDGILAKTLKERVEIERLSREYFCSSSHIAKMESNFDATSERECSICFFDLHLSAAGCHCSPDRYACLKHAKQFCSCARGSKIFLFRYDINELNILVEAVEGKLSAIYRWARLDLGLALSSYVTKDNIGGRLSHTLEGVPKEVPQPSVISSGELPGEDMSKKKPLILAQISAQMLLLQRNKQSEAALPSKDPNSKLKKGESVLSALNLSMPGSQTSMTSGVKKPSAPAVVNTILLSDDEGDEPEKPVSEIPKEHSMKEHPEASVRVAPAAGEKASTCNYKNEAILTTPLTDAAFTNQKDANSPDVQRNNHSSHYSEVKDEHSGNGITLLGSSRQNDFCHLESATAESGRNVQDSSNTIEMDNNKNNLLTGESSLQHLLPWGSEKVDKDKHEKVGAIASANLVDHTRTNVGGPSCSQNNVDRNVRQKGPRIAKVVRRINCNVEPLEFGVVLSGKLWCNSQAIFPKGFKSRVRYISVLDPTNMAYYVSEILDAERDGPLFMVSVEHCPSEVFVHVSAARCWEMVREKVNQEITKQHRLGRTNLPPLQPPGSLDGFEMFGFSSPEIVQAVEAMDRNRVCMEYWDSRPYSRLQVQFLQHSHTPGNGGNFLRTSGEQNNAGAPQNKCLPGEVDTLLGLFKKASSEELSSLCSILSDKRSPKDVDRVAQLLKEEIRSRRPT >KJB44854 pep chromosome:Graimondii2_0_v6:7:47143637:47153658:1 gene:B456_007G276200 transcript:KJB44854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCVKEENDDIPPVPPGFESYASFTLTRGAQENVKHENDNVKYCSASATTISSVASPVQKETELGNGESTKITRSVRRRPWINYGRYDNSPEDELDCEKLDQNQRLRHNLPKGVIRGCPECNDCQKVIARWHPEEACRPGVEDAPVFYPTEKEFEDTLNYIASIRPKAEKYGICRIVPPSSWKPPCPLKEKNIWENARFATRVQRVDKLQNRNSMRKMSKVNNSMRRKRRRYMRMAVDCSSDSGSSWSADAGFCEVERFGFEPGPEFTLDKFQKYADDFKAQYFRRKENDVDMEGKVSVLPDLHEASVENIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKKSSQVGLASNEKYINSGWNLNNFPRLPGSVLNYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNFMHWGAPKIWYGVPGKDAPKLEEAMKKHLPDLFDEQPDLLHKLVTQLSPSILKSEGVPVYRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQIAIKLYREQGRKTSISHDKLLLGAAREAVKAHWELSLLKKHTSDNLRWKGVCGKDGILAKTLKERVEIERLSREYFCSSSHIAKMESNFDATSERECSICFFDLHLSAAGCHCSPDRYACLKHAKQFCSCARGSKIFLFRYDINELNILVEAVEGKLSAIYRWARLDLGLALSSYVTKDNIGGRLSHTLEGVPKEVPQPSVISSGELPGEDMSKKKPLILAQISAQMLLLQRNKQSEAALPSKDPNSKLKKGESVLSALNLSMPGSQTSMTSGVKKPSAPAVVNTILLSDDEGDEPEKPVSEIPKEHSMKEHPEASVRVAPAAGEKASTCNYKNEAILTTPLTDAAFTNQKDANSPDVQRNNHSSHYSEVKDEHSGNGITLLGSSRQNDFCHLESATAESGRNVQDSSNTIEMDNNKNNLLTGESSLQHLLPWGSEKVDKDKHEKVGAIASANLVDHTRTNVGGPSCSQNNVDRNVRQKGPRIAKVVRRINCNVEPLEFGVVLSGKLWCNSQAIFPKGFKSRVRYISVLDPTNMAYYVSEILDAERDGPLFMVSVEHCPSEVFVHVSAARCWEMVREKVNQEITKQHRLGRTNLPPLQPPGSLDGFEMFGFSSPEIVQAVEAMDRNRVCMEYWDSRPYSRLQVQFLQHSHTPGNGGNFLRTSGEQNNAGAPQNKCLPGEVDTLLGLFKKASSEELSSLCSILSDKRSPKDVDRVAQLLKEEIRSRRPT >KJB44856 pep chromosome:Graimondii2_0_v6:7:47143455:47153658:1 gene:B456_007G276200 transcript:KJB44856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCVKEENDDIPPVPPGFESYASFTLTRGAQENVKHENDNVKYCSASATTISSVASPVQKETELGNGESTKITRSVRRRPWINYGRYDNSPEDELDCEKLDQNQRLRHNLPKGVIRGCPECNDCQKVIARWHPEEACRPGVEDAPVFYPTEKEFEDTLNYIASIRPKAEKYGICRIVPPSSWKPPCPLKEKNIWENARFATRVQRVDKLQNRNSMRKMSKVNNSMRRKRRRYMRMAVDCSSDSGSSWSADAGFCEVERFGFEPGPEFTLDKFQKYADDFKAQYFRRKENDVDMEGKVSVLPDLHEASVENIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKKSSQVGLASNEKYINSGWNLNNFPRLPGSVLNYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNFMHWGAPKIWYGVPGKDAPKLEEAMKKHLPDLFDEQPDLLHKLVTQLSPSILKSEGVPVYRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQIAIKLYREQGRKTSISHDKLLLGAAREAVKAHWELSLLKKHTSDNLRWKGVCGKDGILAKTLKERVEIERLSREYFCSSSHIAKMESNFDATSERECSICFFDLHLSAAGCHCSPDRYACLKHAKQFCSCARGSKIFLFRYDINELNILVEAVEGKLSAIYRWARLDLGLALSSYVTKDNIGGRLSHTLEGVPKEVPQPSVISSGELPGEDMSKKKPLILAQISAQMLLLQRNKQSEAALPSKDPNSKLKKGESVLSALNLSMPGSQTSMTSGVKKPSAPAVVNTILLSDDEGDEPEKPVSEIPKEHSMKEHPEASVRVAPAAGEKASTCNYKNEAILTTPLTDAAFTNQKDANSPDVQRNNHSSHYSEVKDEHSGNGITLLGSSRQNDFCHLESATAESGRNVQDSSNTIEMDNNKNNLLTGESSLQHLLPWGSEKVDKDKHEKVGAIASANLVDHTRTNVGGPSCSQNNVDRNVRQKGPRIAKVVRRINCNVEPLEFGVVLSGKLWCNSQAIFPKGFKSRVRYISVLDPTNMAYYVSEILDAERDGPLFMVSVEHCPSEVFVHVSAARCWEMVREKVNQEITKQHRLGRTNLPPLQPPGSLDGFEMFGFSSPEIVQAVEAMDRNRVCMEYWDSRPYSRLQVQFLQHSHTPGNGGNFLRTSGEQNNAGAPQNKCLPGEVDTLLGLFKKASSEELSSLCSILSDKRSPKDVDRVAQLLKEEIRSRRPT >KJB44857 pep chromosome:Graimondii2_0_v6:7:47143774:47151689:1 gene:B456_007G276200 transcript:KJB44857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCVKEENDDIPPVPPGFESYASFTLTRGAQENVKHENDNVKYCSASATTISSVASPVQKETELGNGESTKITRSVRRRPWINYGRYDNSPEDELDCEKLDQNQRLRHNLPKGVIRGCPECNDCQKVIARWHPEEACRPGVEDAPVFYPTEKEFEDTLNYIASIRPKAEKYGICRIVPPSSWKPPCPLKEKNIWENARFATRVQRVDKLQNRNSMRKMSKVNNSMRRKRRRYMRMAVDCSSDSGSSWSADAGFCEVERFGFEPGPEFTLDKFQKYADDFKAQYFRRKENDVDMEGKVSVLPDLHEASVENIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKKSSQVGLASNEKYINSGWNLNNFPRLPGSVLNYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNFMHWGAPKIWYGVPGKDAPKLEEAMKKHLPDLFDEQPDLLHKLVTQLSPSILKSEGVPVYRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQIAIKLYREQGRKTSISHDKLLLGAAREAVKAHWELSLLKKHTSDNLRWKGVCGKDGILAKTLKERVEIERLSREYFCSSSHIAKMESNFDATSERECSICFFDLHLSAAGCHCSPDRYACLKHAKQFCSCARGSKIFLFRYDINELNILVEAVEGKLSAIYRWARLDLGLALSSYVTKDNIGGRLSHTLEGVPKEVPQPSVISSGELPGEDMSKKKPLILAQISAQMLLLQRNKQSEAALPSKDPNSKLKKGESVLSALNLSMPGSQTSMTSGVKKPSAPAVVNTILLSDDEGDEPEKPVSEIPKEHSMKEHPEASVRVAPAAGEKASTCNYKNEAILTTPLTDAAFTNQKDANSPDVQRNNHSSHYSEVKDEHSGNGITLLGSSRQNDFCHLESATAESGRNVQDSSNTIEMDNNKNNLLTGESSLQHLLPWGSEKVDKDKHEKVGAIASANLVDHTRTNVGGPSCSQNNVDRNVRQKGPRIAKVVRRINCNVEPLEFGVVLSGKLWCNSQAIFPKG >KJB44853 pep chromosome:Graimondii2_0_v6:7:47143187:47153658:1 gene:B456_007G276200 transcript:KJB44853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCVKEENDDIPPVPPGFESYASFTLTRGAQENVKHENDNVKYCSASATTISSVASPVQKETELGNGESTKITRSVRRRPWINYGRYDNSPEDELDCEKLDQNQRLRHNLPKGVIRGCPECNDCQKVIARWHPEEACRPGVEDAPVFYPTEKEFEDTLNYIASIRPKAEKYGICRIVPPSSWKPPCPLKEKNIWENARFATRVQRVDKLQNRNSMRKMSKVNNSMRRKRRRYMRMAVDCSSDSGSSWSADAGFCEVERFGFEPGPEFTLDKFQKYADDFKAQYFRRKENDVDMEGKVSVLPDLHEASVENIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKKSSQVGLASNEKYINSGWNLNNFPRLPGSVLNYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNFMHWGAPKIWYGVPGKDAPKLEEAMKKHLPDLFDEQPDLLHKLVTQLSPSILKSEGVPVYRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQIAIKLYREQGRKTSISHDKLLLGAAREAVKAHWELSLLKKHTSDNLRWKGVCGKDGILAKTLKERVEIERLSREYFCSSSHIAKMESNFDATSERECSICFFDLHLSAAGCHCSPDRYACLKHAKQFCSCARGSKIFLFRYDINELNILVEAVEGKLSAIYRWARLDLGLALSSYVTKDNIGGRLSHTLEGVPKEVPQPSVISSGELPGEDMSKKKPLILAQISAQMLLLQRNKQSEAALPSKDPNSKLKKGESVLSALNLSMPGSQTSMTSGVKKPSAPAVVNTILLSDDEGDEPEKPVSEIPKEHSMKEHPEASVRVAPAAGEKASTCNYKNEAILTTPLTDAAFTNQKDANSPDVQRNNHSSHYSEVKDEHSGNGITLLGSSRQNDFCHLESATAESGRNVQDSSNTIEMDNNKNNLLTGESSLQHLLPWGSEKVDKDKHEKVGAIASANLVDHTRTNVGGPSCSQNNVDRNVRQKGPRIAKVVRRINCNVEPLEFGVVLSGKLWCNSQAIFPKGFKSRVRYISVLDPTNMAYYVSEILDAERDGPLFMVSVEHCPSEVFVHVSAARCWEMVREKVNQEITKQHRLGRTNLPPLQPPGSLDGFEMFGFSSPEIVQAVEAMDRNRVCMEYWDSRPYSRLQVQFLQHSHTPGNGGNFLRTSGEQNNAGAPQNKCLPGEVDTLLGLFKKASSEELSSLCSILSDKRSPKDVDRVAQLLKEEIRSRRPT >KJB44979 pep chromosome:Graimondii2_0_v6:7:48568088:48574491:1 gene:B456_007G283500 transcript:KJB44979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transglutaminase [Source:Projected from Arabidopsis thaliana (AT5G49570) UniProtKB/TrEMBL;Acc:A5PHD1] MVARTFLVRHDDSTFTVDYDTDDGFEVFQFQLFSLTSIPPHEQKIVGEDNDRIVSDDSDLAALSEKLRLVSITSEEARKPEKQEETTSSTVGAGNFDAGTSVMSDEELARMLQAEEEALLLQQYVAGDNGAQFEEKVRPYISKVLLYEDPVRQEVARKTVPLDNLEEKALVSLAKEGNFKPSKVEEDHAFLLQLLFWFKRSFSWVNAPPCDGCGNETTAQGMGDALPSEIQYGATRVELYRCNSCSRVTRFPRYNDPLKLVETRKGRCGEWANCFTLYCRAFGYESRLVLDFTDHAWTECYSEALGRWMHLDPCEAIYDRPLLYEKGWGKKLNYVIAIAKDGVYDVTKRYTRKWNEVLSRRTITTESSVVSVLTSITKECRRNCTSQVLSILEEHDNIEREALERDLRSTDDAPISLPGRQSGDKQWRIARSEFGTDSLSSSSCTVRICCDEHVTKIYNAFSSILHKFVEDSLTASKGVEVLKILRATVVDLKKLPYKKRRASLKPNSIVGTSLVHQLLPSFKELLNALTLKSELDSNGILSVCLAGNPVQTALALPVALHALDELISDLSKCDNFSKGSLSFPLLRLNRICSGAVLASGEELPFGIATAAFDGTRMSKWEEHNGAKGCWIMYKRSANMQELVAYELMSANDAPERDPMDWVVEGSNDGGSSWHVLDERRSEMFDKRFQRKTYNVKSAGFLSNMFRFRFLAVRDVKSTSQLQVGSIDLYAKQN >KJB44981 pep chromosome:Graimondii2_0_v6:7:48568124:48574277:1 gene:B456_007G283500 transcript:KJB44981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transglutaminase [Source:Projected from Arabidopsis thaliana (AT5G49570) UniProtKB/TrEMBL;Acc:A5PHD1] MVARTFLVRHDDSTFTVDYDTDDGFEVFQFQLFSLTSIPPHEQKIVGEDNDRIVSDDSDLAALSEKLRLVSITSEEARKPEKQEETTSSTVGAGNFDAGTSVMSDEELARMLQAEEEALLLQQYVAGDNGAQFEEKVRPYISKVLLYEDPVRQEVARKTVPLDNLEEKALVSLAKEGNFKPSKVEEDHAFLLQLLFWFKRSFSWVNAPPCDGCGNETTAQGMGDALPSEIQYGATRVELYRCNSCSRVTRFPRYNDPLKLVETRKGRCGEWANCFTLYCRAFGYESRLVLDFTDHAWTECYSEALGRWMHLDPCEAIYDRPLLYEKGWGKKLNYVIAIAKDGVYDVTKRYTRKWNEVLSRRTITTESSVVSVLTSITKECRRNCTSQVLSILEEHDNIEREALERDLRSTDDAPISLPGRQSGDKQWRIARSEFGTDSLSSSSCTVRICCDEHVTKIYNAFSSILHKFVEDSLTASKGVEVLKILRATVVDLKKLPYKKRRASLKPNSIVGTSLVHQLLPSFKELLNALTLKSELDSNGILSVCLAGNPVQTALALPVALHALDELISDLSKCDNFSKGSLSFPLLRLNRICSGAVLASGEELPFGIATAAFDGTRMSKWEEHNGAKGCWIMYKRSANMQELVAYELMSANDAPERDPMDWVVEGSNDGGSSWHVLDERRSEMFDKRFQRKTYNVKSAGFLSNMFR >KJB44980 pep chromosome:Graimondii2_0_v6:7:48568124:48573687:1 gene:B456_007G283500 transcript:KJB44980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transglutaminase [Source:Projected from Arabidopsis thaliana (AT5G49570) UniProtKB/TrEMBL;Acc:A5PHD1] MVARTFLVRHDDSTFTVDYDTDDGFEVFQFQLFSLTSIPPHEQKIVGEDNDRIVSDDSDLAALSEKLRLVSITSEEARKPEKQEETTSSTVGAGNFDAGTSVMSDEELARMLQAEEEALLLQQYVAGDNGAQFEEKVRPYISKVLLYEDPVRQEVARKTVPLDNLEEKALVSLAKEGNFKPSKVEEDHAFLLQLLFWFKRSFSWVNAPPCDGCGNETTAQGMGDALPSEIQYGATRVELYRCNSCSRVTRFPRYNDPLKLVETRKGRCGEWANCFTLYCRAFGYESRLVLDFTDHAWTECYSEALGRWMHLDPCEAIYDRPLLYEKGWGKKLNYVIAIAKDGVYDVTKRYTRKWNEVLSRRTITTESSVVSVLTSITKECRRNCTSQVLSILEEHDNIEREALERDLRSTDDAPISLPGRQSGDKQWRIARSEFGTDSLSSSSCTVRICCDEHVTKIYNAFSSILHKFVEDSLTASKGVEVLKILRATVVDLKKLPYKKRRASLKPNSIVGTSLVHQLLPSFKELLNALTLKSELDSNGILSVCLAGNPVQTALALPVALHALDELISDLSKCDNFSKGSLSFPLLRLNRICSGAVLASGEELPFGIATAAFDGTRMSKWEEHNGAKGCWIMYKRSANMQELVAYELMSANDAPERDPMDWYDLLMSLSVLFAFKYHVPFMMVLLYAYVRSLV >KJB43204 pep chromosome:Graimondii2_0_v6:7:18148823:18151975:-1 gene:B456_007G188400 transcript:KJB43204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGKLDAFLSQGKEYVFVANSDNLGAIVDLKILNHLVQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKEVNGIKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNKDRANPTNPSIELGPEFKKVGNFLSRFKSIPSIIELDSLKVTGDVWFGAGIVLKGKVSIAAKPGVKLEIPDGAVIENKEINGPEDI >KJB43212 pep chromosome:Graimondii2_0_v6:7:18148823:18154007:-1 gene:B456_007G188400 transcript:KJB43212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLEHLKSAVAALSEVSENEKNGFINLVSRYLSGEAQHIEWTKIQTPTDEVVVPYDTLSPSPDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIENLNSKYGCNVPLVLMNSFNTHDDTLKIVDKYSNSNIEIHTFNQSQYPRLVVEDFAPLPSKGQHGKDGWYPPGHGDVFPSLMNSGKLDAFLSQGKEYVFVANSDNLGAIVDLKILNHLVQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKEVNGIKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNKDRANPTNPSIELGPEFKKVIDSSQSRASLSLIALR >KJB43211 pep chromosome:Graimondii2_0_v6:7:18148823:18154007:-1 gene:B456_007G188400 transcript:KJB43211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLEHLKSAVAALSEVSENEKNGFINLVSRYLSGEAQHIEWTKIQTPTDEVVVPYDTLSPSPDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIENLNSKYGCNVPLVLMNSFNTHDDTLKIVDKYSNSNIEIHTFNQSQYPRLVVEDFAPLPSKGQHGKDGWYPPGHGDVFPSLMNSGKLDAFLSQGKEYVFVANSDNLGAIVDLKILNHLVQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKEVNGIKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNKDRANPTNPSIELGPEFKKVGNFLSRFKSIPSIIELDSLKVTGDVWFGAGIVLK >KJB43210 pep chromosome:Graimondii2_0_v6:7:18149169:18153743:-1 gene:B456_007G188400 transcript:KJB43210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLEHLKSAVAALSEVSENEKNGFINLVSRYLSGEAQHIEWTKIQTPTDEVVVPYDTLSPSPDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIENLNSKYGCNVPLVLMNSFNTHDDTLKIVDKYSNSNIEIHTFNQSQYPRLVVEDFAPLPSKGQHGKDGWYPPGHGDVFPSLMNSGKLDAFLSQVGKEYVFVANSDNLGAIVDLKILNHLVQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKEVNGIKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNKDRANPTNPSIELGPEFKKVGNFLSRFKSIPSIIELDSLKVTGDVWFGAGIVLKGKVSIAAKPGVKLEIPDGAVIENKEINGPEDI >KJB43213 pep chromosome:Graimondii2_0_v6:7:18149169:18153743:-1 gene:B456_007G188400 transcript:KJB43213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLEHLKSAVAALSEVSENEKNGFINLVSRYLSGEAQHIEWTKIQTPTDEVVVPYDTLSPSPDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIENLNSKYGCNVPLVLMNSFNTHDDTLKIVDKYSNSNIEIHTFNQSQYPRLVVEDFAPLPSKGQHGKDGWYPPGHGDVFPSLMNSGKLDAFLSQGKEYVFVANSDNLGAIVDLKILNHLVQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLSDLINTLPFSSVIQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKEVNGIKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNKDRANPTNPSIELGPEFKKVGNFLSRFKSIPSIIELDSLKVTGDVWFGAGIVLKGKVSIAAKPGVKLEIPDGAVIENKEINGPEDI >KJB43215 pep chromosome:Graimondii2_0_v6:7:18149259:18153743:-1 gene:B456_007G188400 transcript:KJB43215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLEHLKSAVAALSEVSENEKNGFINLVSRYLSGEAQHIEWTKIQTPTDEVVVPYDTLSPSPDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIENLNSKYGCNVPLVLMNSFNTHDDTLKIVDKYSNSNIEIHTFNQSQYPRLVVEDFAPLPSKGQHGKDGWYPPGHGDVFPSLMNSGKLDAFLSQGKEYVFVANSDNLGAIVDLKILNHLVQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKEVNGIKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNKDRANPTNPSIELGPEFKKVGNFLSRFKSIPSIIELDSLKVTGDVWFGAGIVLKGKVSIAAKPGVKLEIPDGAVIENKGSGWFFKNMSPLGGRGQCGVV >KJB43205 pep chromosome:Graimondii2_0_v6:7:18149169:18153207:-1 gene:B456_007G188400 transcript:KJB43205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTGPKSVIEVRNGLTFLDLIVIQIENLNSKYGCNVPLVLMNSFNTHDDTLKIVDKYSNSNIEIHTFNQSQYPRLVVEDFAPLPSKGQHGKDGWYPPGHGDVFPSLMNSGKLDAFLSQGKEYVFVANSDNLGAIVDLKILNHLVQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKEVNGIKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNKDRANPTNPSIELGPEFKKVGNFLSRFKSIPSIIELDSLKVTGDVWFGAGIVLKGKVSIAAKPGVKLEIPDGAVIENKEINGPEDI >KJB43209 pep chromosome:Graimondii2_0_v6:7:18148823:18154007:-1 gene:B456_007G188400 transcript:KJB43209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLEHLKSAVAALSEVSENEKNGFINLVSRYLSGEAQHIEWTKIQTPTDEVVVPYDTLSPSPDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIENLNSKYGCNVPLVLMNSFNTHDDTLKIVDKYSNSNIEIHTFNQSQYPRLVVEDFAPLPSKGQHGKDGWYPPGHGDVFPSLMNSGKLDAFLSQGKEYVFVANSDNLGAIVDLKILNHLVQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKEVNGIKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNKDRANPTNPSIELGPEFKKVGNFLSRFKSIPSIIELDSLKVTGDVWFGAGIVLKGKVSIAAKPGVKLEIPDGAVIENKEINGPEDI >KJB43207 pep chromosome:Graimondii2_0_v6:7:18148823:18154031:-1 gene:B456_007G188400 transcript:KJB43207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLEHLKSAVAALSEVSENEKNGFINLVSRYLSGEAQHIEWTKIQTPTDEVVVPYDTLSPSPDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIENLNSKYGCNVPLVLMNSFNTHDDTLKIVDKYSNSNIEIHTFNQSQYPRLVVEDFAPLPSKGQHGKDGWYPPGHGDVFPSLMNSGKLDAFLSQGKEYVFVANSDNLGAIVDLKILNHLVQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKEVNGIKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNKDRANPTNPSIELGPEFKKVGNFLSRFKSIPSIIELDSLKVTGDVWFGAGIVLKGKVSIAAKPGVKLEIPDGAVIENKEINGPEDI >KJB43214 pep chromosome:Graimondii2_0_v6:7:18148826:18154007:-1 gene:B456_007G188400 transcript:KJB43214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLEHLKSAVAALSEVSENEKNGFINLVSRYLSGEAQHIEWTKIQTPTDEVVVPYDTLSPSPDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIENLNSKYGCNVPLVLMNSFNTHDDTLKIVDKYSNSNIEIHTFNQSQYPRLVVEDFAPLPSKGQHGKDGWYPPGHGDVFPSLMNSGKLDAFLSQGKEYVFVANSDNLGAIVDLKILNHLVQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKEVNGIKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNKDRANPTNPSIELGPEFKKVGNFLSRFKSIPSIIELDSLKVTGDVWFGAGIVLKGKVSIAAKPGVKLEIPDGAVIENKEINGPEDI >KJB43208 pep chromosome:Graimondii2_0_v6:7:18148823:18153812:-1 gene:B456_007G188400 transcript:KJB43208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLEHLKSAVAALSEVSENEKNGFINLVSRYLSGEAQHIEWTKIQTPTDEVVVPYDTLSPSPDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIENLNSKYGCNVPLVLMNSFNTHDDTLKIVDKYSNSNIEIHTFNQSQYPRLVVEDFAPLPSKGQHGKDGWYPPGHGDVFPSLMNSGKLDAFLSQGKEYVFVANSDNLGAIVDLKILNHLVQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKEVNGIKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNKDRANPTNPSIELGPEFKKVGNFLSRFKSIPSIIELDSLKVTGDVWFGAGIVLKGKVSIAAKPGVKLEIPDGAVIENKEINGPEDI >KJB43206 pep chromosome:Graimondii2_0_v6:7:18148823:18154007:-1 gene:B456_007G188400 transcript:KJB43206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLEHLKSAVAALSEVSENEKNGFINLVSRYLSGEAQHIEWTKIQTPTDEVVVPYDTLSPSPDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIENLNSKYGCNVPLVLMNSFNTHDDTLKIVDKYSNSNIEIHTFNQSQYPRLVVEDFAPLPSKGQHGKDGWYPPGHGDVFPSLMNSGKLDAFLSQGKEYVFVANSDNLGAIVDLKILNHLVQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKVNGIKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNKDRANPTNPSIELGPEFKKVGNFLSRFKSIPSIIELDSLKVTGDVWFGAGIVLKGKVSIAAKPGVKLEIPDGAVIENKEINGPEDI >KJB42154 pep chromosome:Graimondii2_0_v6:7:11459869:11464699:-1 gene:B456_007G139400 transcript:KJB42154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNADYVYPSTNEYRQCAHPVAVPPPQPFFKSFKNSLKETFFPDDPLRQFKNKTPSRKFFLGLQYFLPILEWGPRYTFQFLKSDLISGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLIYAMMGSSRDLAVGTVAVASLLIGSMLGDEVNATENPALYLHLAFTATFFAGLLQASLGLLRLGFIVDFLSHATIVGFMGGAATVVILQQLKGILGLQHFTQSTDIISVLRSVFSQIHEWRWESGVLGAIFLFFLLLSRYFSKKRPKFFWISAMAPLTTVILGSLLVYLTHAEKHGVDVIGNLKKGLNPPSFGDFVFTSPYFTTAVKTGMITGIIALAEGIAVGRSFAMFKNYNIDGNKEMVAIGTMNIVGSCFSCYLTTGPFSRSAVNFNAGCKTAMSNVVMAIAVMFTLLFLTPLFHYTPLVVLSAIIISAMLGLIDYEAAIHLWKVDKFDFVVCMGAYIGVVFASVEVGLVIAVAISVLRLLLFVARPKTFILGNLPNSTIYRSVEQYPTTNDVPGILILQIDAPIYFANSSYLRERISRWIDEEEDKLKSTGETSLQYVIFNMSAVGNIDTSGISMLEEVKKITDRRGLKLVLANPGAEVMKKLNKSKFIETIGKEWIYLTVGEAVEACNYKLHTCKPDATTEDSQPWNNV >KJB42155 pep chromosome:Graimondii2_0_v6:7:11459951:11464608:-1 gene:B456_007G139400 transcript:KJB42155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSSRDLAVGTVAVASLLIGSMLGDEVNATENPALYLHLAFTATFFAGLLQASLGLLRLGFIVDFLSHATIVGFMGGAATVVILQQLKGILGLQHFTQSTDIISVLRSVFSQIHEWRWESGVLGAIFLFFLLLSRYFSKKRPKFFWISAMAPLTTVILGSLLVYLTHAEKHGVDVIGNLKKGLNPPSFGDFVFTSPYFTTAVKTGMITGIIALAEGIAVGRSFAMFKNYNIDGNKEMVAIGTMNIVGSCFSCYLTTGPFSRSAVNFNAGCKTAMSNVVMAIAVMFTLLFLTPLFHYTPLVVLSAIIISAMLGLIDYEAAIHLWKVDKFDFVVCMGAYIGVVFASVEVGLVIAVAISVLRLLLFVARPKTFILGNLPNSTIYRSVEQYPTTNDVPGILILQIDAPIYFANSSYLRERISRWIDEEEDKLKSTGETSLQYVIFNMSAVGNIDTSGISMLEEVKKITDRRGLKLVLANPGAEVMKKLNKSKFIETIGKEWIYLTVGEAVEACNYKLHTCKPDATTEDSQPWNNV >KJB42425 pep chromosome:Graimondii2_0_v6:7:13021921:13022772:-1 gene:B456_007G152200 transcript:KJB42425 gene_biotype:protein_coding transcript_biotype:protein_coding description:15.7 kDa heat shock protein, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G37670) UniProtKB/Swiss-Prot;Acc:Q9FHQ3] MADGILGHPFRRLFWSPPIFREWSGSPALMDWLESPTAHIFKFNVPGYNKEDIKVQIQDGNIMHLKGEGIKEESHTKDTVWHVTERGTGKAEFSREIELPENVKIEQIKAQVENGVLTIVAPKDSTPKPSKVRSVNITSKL >KJB42054 pep chromosome:Graimondii2_0_v6:7:11344285:11345996:-1 gene:B456_007G138200 transcript:KJB42054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQRQPIRPQAADQAVDYGDVFGVTGATPSSPTPTGAGDQSGITIGEALEATAISVGDKPVDRGDAAAIRAAEARAAGGNVTQRSGLGAKAQAAVNFNDRVAYDYNKITISDVLSDASAKLPHDKAVTSEDADGVRGAELSNNTEAMPTPGGVADTMATAARVNRDDKP >KJB42053 pep chromosome:Graimondii2_0_v6:7:11344872:11346243:-1 gene:B456_007G138200 transcript:KJB42053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQRQPIRPQAADQAVDYGDVFGVTGATPSSPTPTGAGDQSGITIGEALEATAISVGDKPVDRGDAAAIRAAEARAAGGNVTQRSGLGAKAQAAVNFNDRVAYDYNKITISDVLSDASAKLPHDKAVTSEDADGVRGAELSNNTEAMPTPGGVADTMATAARVNRDDKP >KJB39138 pep chromosome:Graimondii2_0_v6:7:20475:21279:-1 gene:B456_007G000300 transcript:KJB39138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRRLKTLLKPLSDPHPPSQLSNPSNCLNPITPINQPTLLKVCTILYQQQNSPDSRLHSSLSSYNPSFNPEFFLQVCNTYPYSWRPIYRFFLYTQKVPHFTHNSVTINKMLDVVGKSKNIDLFWETCQEMGKLGLVNDKTFRIALKTLALARELKTCVGFFHLMNGFGVGYKLETLNTVVESLCEDKLVEEAKFVTFKLKECVEPNGVTYKWLIWGFCDLGNLIEASKIWNLMVDEGFEPDVEVVETMMEALFKTNKYDEAMK >KJB42056 pep chromosome:Graimondii2_0_v6:7:10950233:10954043:-1 gene:B456_007G134600 transcript:KJB42056 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISA2 [Source:Projected from Arabidopsis thaliana (AT1G03310) UniProtKB/TrEMBL;Acc:A0A178WGA8] MATLSPFHTIGSHCLNLGANELSKSAITSCVCKSKVGQSLQRIDVGRKLLPGEVVHNVAQSPRWSLDLRCFAAARVSVEQTEESVTKTSQVDELQKQSTYLFRTETGGQVKVFVCKRSVKYVVDIEVSSLQLSSDDSQLKLSGGLYRSNHDIINQIIETPFIARSSSELALELEFEAKEVPCYLSFLLKASSGASSSGSEIRSHRKSSFCVPIGLDQGYPAPLGLSFSTDGSMNFAVYSRNAESLVLCLYDDNASEKPALELDLDPYVNRTGNIWHASIEGAWTFVSYGYRCKGNRDTFHAEHVLLDPYAKIIGSSIPNHHESGLLPKHLGRLCKEPAFDWSGDLSPNIPLEQLLVYRLNVMQFTKDKSSKLPADVAGTFSGVTEKVQHLKDLGINAVLLEPILTFSEQKGPYFPCHFFSPANLYGPSNVSVSAINSMKGMVKNLHANGIEVFLEVVFTHTAEGGALQGLDDLSYYHNSVEDLEARNALNCNYPVVQQFILDSLRHWVTEFHIDGFCFINASCLLRGVHGERLSRPPLVEAIAFEPVLSMTKIIADCWDPYDKMPKEIRFPHWKKWAEMNTKFCSDIRNFLRGQGALSSLATRLCGSGDIFSDGRGPAFSFNFIARNFGLPLVDLVSYSNAELASEVSWNCGEEGPTINTAVLERRLKQIRNFLFVLYISLGIPVLNMGDECGHSSSGSPSCGSRKHLDWNTMTTGFGIQTTKFISFLISLRKSRSDLLQKRNFLKEENIEWHGSNRSPPGWEDPSCKFLAMRLKADKAEGQLSSEASQLKGDLFIAFNAADRAETIILPPPPDGMAWRQLVDTALPYPGFFSTDGKPICEQMMGLVAYKMKSRSCILFEACTDGS >KJB42057 pep chromosome:Graimondii2_0_v6:7:10950233:10954043:-1 gene:B456_007G134600 transcript:KJB42057 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISA2 [Source:Projected from Arabidopsis thaliana (AT1G03310) UniProtKB/TrEMBL;Acc:A0A178WGA8] MATLSPFHTIGSHCLNLGANELSKSAITSCVCKSKVGQSLQRIDVGRKLLPGEVVHNVAQSPRWSLDLRCFAAARVSVEQTEESVTKTSQVDELQKQSTYLFRTETGGQVKVFVCKRSVKYVVDIEVSSLQLSSDDSQLKLSGGLYRSNHDIINQIIETPFIARSSSELALELEFEAKEVPCYLSFLLKASSGASSSGSEIRSHRKSSFCVPIGLDQGYPAPLGLSFSTDGSMNFAVYSRNAESLVLCLYDDNASEKPALELDLDPYVNRTGNIWHASIEGAWTFVSYGYRCKGNRDTFHAEHVLLDPYAKIIGSSIPNHHESGLLPKHLGRLCKEPAFDWSGDLSPNIPLEQLLVYRLNVMQFTKDKSSKLPADVAGTFSGVTEKVQHLKDLGINAVLLEPILTFSEQKGPYFPCHFFSPANLYGPSNVSVSAINSMKGMVKNLHANGIEVFLEVVFTHTAEGGALQGLDDLSYYHNSVEDLEARNALNCNYPVVQQFILDSLRHWVTEFHIDGFCFINASCLLRGVHGERLSRPPLVEAIAFEPVLSMTKIIADCWDPYDKMPKEIRFPHWKKWAEMNTKFCSDIRNFLRGQGALSSLATRLCGSGDIFSDGRGPAFSFNFIARNFGLPLVDLVSYSNAELASEVSWNCGEEGPTINTAVLERRLKQIRNFLFVLYISLGIPVLNMGDECGHSSSGSPSCGSRKHLDWNTMTTGFGIQTTKFISFLISLRKSRSDLLQKRNFLKEENIEWHGSNRSPPGWEDPSCKFLAMRLKADKAEGQLSSEASQLKGDLFIAFNAADRAETIILPPPPDGMAWRQLVDTALPYPGFFSTDGKPICEQMMGLVAYKMKSRSCILFEACTDGS >KJB42058 pep chromosome:Graimondii2_0_v6:7:10950442:10953042:-1 gene:B456_007G134600 transcript:KJB42058 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISA2 [Source:Projected from Arabidopsis thaliana (AT1G03310) UniProtKB/TrEMBL;Acc:A0A178WGA8] MATLSPFHTIGSHCLNLGANELSKSAITSCVCKSKVGQSLQRIDVGRKLLPGEVVHNVAQSPRWSLDLRCFAAARVSVEQTEESVTKTSQVDELQKQSTYLFRTETGGQVKVFVCKRSVKYVVDIEVSSLQLSSDDSQLKLSGGLYRSNHDIINQIIETPFIARSSSELALELEFEAKEVPCYLSFLLKASSGASSSGSEIRSHRKSSFCVPIGLDQGYPAPLGLSFSTDGSMNFAVYSRNAESLVLCLYDDNASEKPALELDLDPYVNRTGNIWHASIEGAWTFVSYGYRCKGNRDTFHAEHVLLDPYAKIIGSSIPNHHESGLLPKHLGRLCKEPAFDWSGDLSPNIPLEQLLVYRLNVMQFTKDKSSKLPADVAGTFSGVTEKVQHLKDLGINAVLLEPILTFSEQKGPYFPCHFFSPANLYGPSNVSVSAINSMKGMVKNLHANGIEVFLEVVFTHTAEGGALQGLDDLSYYHNSVEDLEARNALNCNYPVVQQFILDSLRHWVTEFHIDGFCFINASCLLRGVHGERLSRPPLVEAIAFEPVLSMTKIIADCWDPYDKMPKEIRFPHWKKWAEMNTKFCSDIRNFLRGQGALSSLATRLCGSGDIFSDGRGPAFSFNFIARNFGLPLVDLVSYSNAELASEVSWNCGEEGPTINTAVLERRLKQIRNFLFVLYISLGIPVLNMGDECGHSSSGSPSCGSRKHLDWNTMTTGFGIQTTKFISFLISLRKSRSDLLQKRNFLKEENIEWHGSNRSPPGWEDPSCKFLAMRLKADKAEGQLSSEASQLKGDLFIAFNAADRAETIILPPPPDGMAWRQLVDTALPYPGFFSTDGKPICEQMMGLVAYKMKSRSCILFEACTDGS >KJB44547 pep chromosome:Graimondii2_0_v6:7:42178961:42179678:1 gene:B456_007G258800 transcript:KJB44547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHRSLVIFAIVAFMAPTISLAMDYVVGDDNGWKLEVNYTDWAKDKQFYVGDTLLFKYNNASHNVYKVTGDDFNSCNVPSNNSLGLFTGNDKINLAAAGKKWYICGFTGHCNQGMKLKITVLDGAAPAPPPNAASTLLAKATNFQIMLGMTLSIAAALIMV >KJB45101 pep chromosome:Graimondii2_0_v6:7:49512146:49514161:1 gene:B456_007G289000 transcript:KJB45101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDGIGKENRSTEEKQSFKDGSICGYNSLHRILSVNPKPQLCQEVSRLFLGLNYGTTLETIVPPESAKALSSKHEFDLQAFKFSIDKELLREPRVRVGLIQNSIALPTTAPFSDQKKAIFEKLGPIIDAAGASGVNILCLQEAWMMSFAFCTRENRWCEFAKPVNRESTQFL >KJB43923 pep chromosome:Graimondii2_0_v6:7:26709188:26712130:1 gene:B456_007G223900 transcript:KJB43923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRSVSAIVYVVDAADPDNLSISRSELHDLLSKPSLNGIPLLVLGNKIDKPESLSKQALTEEMGLKSITDREVCCYMISCKNSTNIDSVIDWLVKHSKSKS >KJB43924 pep chromosome:Graimondii2_0_v6:7:26710070:26712130:1 gene:B456_007G223900 transcript:KJB43924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DKEIYVKRKIFKDKEELSGCLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRSVSAIVYVVDAADPDNLSISRSELHDLLSKPSLNGIPLLVLGNKIDKPESLSKQALTEEMGLKSITDREVCCYMISCKNSTNIDSVIDWLVKHSKSKS >KJB40940 pep chromosome:Graimondii2_0_v6:7:6003704:6005338:1 gene:B456_007G084000 transcript:KJB40940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKKHLSDLSSSAGVCATCLRERLLVLVAAQAQSASSAAASEDSRPPPLIFPCSVSPYISRRKSDDNSATSIHHKRFYAPPQVGLTTTAAEFGTATSFKKKHRFSLFSSLFRARSDKFNLDPGVHHRRDSCDELFTSSSSPSWFSAIFTVGRKNQQSSRTSHVEYFSQFGPRDRRSCIERGMSPAVEANPGDECYLSPPGSSQEVSPRWKRIPAEARRVKTGSKNMSKIALCLSPLVRASPNRQWSGLPADMWFASEGRPPMKPHLATASGFCGNRSKKLADFGRVNYKR >KJB43432 pep chromosome:Graimondii2_0_v6:7:20278508:20280127:-1 gene:B456_007G199700 transcript:KJB43432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSMGFITVLSLLAIVPLCLSGKTYGGGGYLYPQFYDHSCPKAQEIVKHSVAKAVAEDPRMAASLLRLHFHDCFVKGCDASVLLDSSGTIISEKRSNPNRDSARGFEVIDEIKAAIEKECPHTVSCADIMALAARDSTVLTGGPNWDVPLGRRDSRDASLSGSNNNIPAPNNTFQTILTKFKLQGLDIVDLVALSGSHTIGNARCTSFRQRLYNQAGNGKPDYTFDQSYAAHLRTHCPKSGGDQNLFFLDFVSPVKFDNSYFKNLLAYKGLLSSDEVLFTKNAESRELVKTYAYNQELFFQQFAKSMIKMGNISPLTGYKGEIRKYCRKVNAY >KJB46287 pep chromosome:Graimondii2_0_v6:7:58785596:58795303:-1 gene:B456_007G356800 transcript:KJB46287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVITGTASNLVAGVVGYLFQKVKRNFSYVCRYRRMVSVFEKKFETLKDKRDGVLLDVDAAEKNGENIYPEVNSWLAKADKMTDSELKEVKGLENEAKNNKKAEEDAAAVDELLQQGGFDKVSYRDVPQPIVVVPPKDFEDFDSRKLMFNNIMEAVKDPNLNIIGVYGMPGVGKTTLVKEVVRQVKEDKLFDSVVMAVVTHIPDVQKIQDEIADMLGLKFEEQSISGRASRLHQRLKKEKKILVDMDAKKNFPIGVLEHEEAWHFFKKIMGDGVESSDLLPIATEVAKKCGGLPIAIRTLATFLKNEPPFVWEDALRQLSKPSSSNFKGVPATVYSTIEWSYDRLQSEEHKQTFLLCSVMGHNASLDLLLVFAMGLGLFRGVSTIKETRNKLLTVVSHLKASCLLLDGCTNTHFDMHDLISDVAKSIASKGNRMFDLRCKHDLNDWPDDETMKECDKIVCFGMSELPDQLKCPKLTVLCMGSQDPWMKIPTTFFKETKNLKVLYLAGMSLPSSISLLGNLRVLRLVNCVLGDIALIGELKNLEILNIVSSDIEMLPKEIGQLTKLKQLDLRYCSKLRRIPPGVLCKLSRLEELYMDNSCDEWGAEGHSSLQSNSSLAELKGLIPLTALEIRIPNAKIIPKDFSFEKLQRYIIFIGEESHWDWNWDWVREYSRTLKLNLQTSIRFLNNGVKVLLKKAENLYIDEVKDVEILLHESEVGNYFQQLRNLHIQNGAMVQYILKDVQKIEFLQLESLTLQGLPNLISFCSQNEGSTSISPQEIALFKQKILFPKLEKLKLSSISIGRIWSPQAFCSTQNLASLIIKGCANLKHVLSDSMPGYLQHLKCLEISDCKCIQEIISTDKMIQETFKSRTLIRFPRLNLLKLKGLQKLIGFCHEDHTVEFPVLTILEIENCPELKGFIHNSTKKDIPTHGVLFNNVAFPNLERITISHLRNAKRIWYNQLHTNSFSMLKELIVKECDVLLNIFPPFLLRVFQRLEKLIVTDCASLEQVFQLQVQELDIEETYVVHSQLREVNLVRLPKVKHVWTKYRKRNISFGNLRHVIICECWSLKTLFPFSIAKDLQQLERLTIDRCGLEEIVAKSVEESDEQDIFFAFNQLSFLKLWCLPYLTCFYQGMHRTTWPALKQLTISGCGRIKIFGHEESQIRHSLFLVEKVIPQLEEVSLSHGDIAMISDGQFDAGLFCNVKFLRISCYSDVSDVFPISFIRRFYNLEWLEVVSCNFKELATFKSAACEDKDMISTISKIKKLKLNMVSNIRHLWKQDSPLDHICASLECLEIMLCGNLINLGLDLSSFENLTTLDIWKCNKMSELITSFKAQSLVCLVTMKIRECEMMKEVVASDRDNTSYEIIFKTLKRLELHFLQSLTSFCSGNYTLKFPSLEQVILNHCPRMKKFSQGALSTPKLHKVQLTETDFEGRWAGDLNATIEQLNTEGCCGSQLWQKCDWEDLWNLKATPKIKLFIRDACCNAIASTENLGKRHIIENSSCPRCEEGKETIEHILFFCPPAQATWRASTFNHTPSPKDFQSLSDWWLKIKQLLQNSGANTDMSLIAWTCWGIWKAHNEWMLEGRDEDPVNTWNNALKGYHNFMENMLPPKHQPLN >KJB46286 pep chromosome:Graimondii2_0_v6:7:58788995:58795303:-1 gene:B456_007G356800 transcript:KJB46286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVITGTASNLVAGVVGYLFQKVKRNFSYVCRYRRMVSVFEKKFETLKDKRDGVLLDVDAAEKNGENIYPEVNSWLAKADKMTDSELKEVKGLENEAKNNKKAEEDAAAVDELLQQGGFDKVSYRDVPQPIVVVPPKDFEDFDSRKLMFNNIMEAVKDPNLNIIGVYGMPGVGKTTLVKEVVRQVKEDKLFDSVVMAVVTHIPDVQKIQDEIADMLGLKFEEQSISGRASRLHQRLKKEKKILVDMDAKKNFPIGVLEHEEAWHFFKKIMGDGVESSDLLPIATEVAKKCGGLPIAIRTLATFLKNEPPFVWEDALRQLSKPSSSNFKGVPATVYSTIEWSYDRLQSEEHKQTFLLCSVMGHNASLDLLLVFAMGLGLFRGVSTIKETRNKLLTVVSHLKASCLLLDGCTNTHFDMHDLISDVAKSIASKGNRMFDLRCKHDLNDWPDDETMKECDKIVCFGMSELPDQLKCPKLTVLCMGSQDPWMKIPTTFFKETKNLKVLYLAGMSLPSSISLLGNLRVLRLVNCVLGDIALIGELKNLEILNIVSSDIEMLPKEIGQLTKLKQLDLRYCSKLRRIPPGVLCKLSRLEELYMDNSCDEWGAEGHSSLQSNSSLAELKGLIPLTALEIRIPNAKIIPKDFSFEKLQRYIIFIGEESHWDWNWDWVREYSRTLKLNLQTSIRFLNNGVKVLLKKAENLYIDEVKDVEILLHESEVGNYFQQLRNLHIQNGAMVQYILKDVQKIEFLQLESLTLQGLPNLISFCSQNEGSTSISPQEIALFKQKILFPKLEKLKLSSISIGRIWSPQAFCSTQNLASLIIKGCANLKHVLSDSMPGYLQHLKCLEISDCKCIQEIISTDKMIQETFKSRTLIRFPRLNLLKLKGLQKLIGFCHEDHTVEFPVLTILEIENCPELKGFIHNSTKKDIPTHGVLFNNVAFPNLERITISHLRNAKRIWYNQLHTNSFSMLKELIVKECDVLLNIFPPFLLRVFQRLEKLIVTDCASLEQVFQLQVQELDIEETYVVHSQLREVNLVRLPKVKHVWTKYRKRNISFGNLRHVIICECWSLKTLFPFSIAKDLQQLERLTIDRCGLEEIVAKSVEESDEQDIFFAFNQLSFLKLWCLPYLTCFYQGMHRTTWPALKQLTISGCGRIKIFGHEESQIRHSLFLVEKVIPQLEEVSLSHGDIAMISDGQFDAGLFCNVKFLRISCYSDVSDVFPISFIRRFYNLEWLEVVSCNFKELATFKSAACEDKDMISTISKIKKLKLNMVSNIRHLWKQDSPLDHICASLECLEIMLCGNLINLGLDLSSFENLTTLDIWKCNKMSELITSFKAQSLVCLVTMKIRECEMMKEVVASDRDNTSYEIIFKTLKRLELHFLQSLTSFCSGNYTLKFPSLEQVILNHCPRMKKFSQGALSTPKLHKVQLTETDFEGRWAGDLNATIEQLNTEGCCGSQLWQKCDWEDLWNLKATPKIKLFIRDACCNAIASTENLGKRHIIENSSCPRCEEGKETIEHILFFCPPAQATWRASTFNHTPSPKDFQSLSDWWLKIKQLLQNSGANTDMSLIAWTCWGIWKAHNEWMLEGRDEDPVNTWNNALKGYHNFMENMLPPKHQPLN >KJB45484 pep chromosome:Graimondii2_0_v6:7:52240040:52243587:-1 gene:B456_007G308900 transcript:KJB45484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDLNAEMSKKTAIFGLKVWEVIGIVVALFIIIILSLLSFCLTSRKKKSRARNKLPLTQIPSISKEIKEVRVEQVSANDFVPRDGILLTIHDKSSDKDSDRVMVHLGMGKSRNGDNSSQSGSFHHLERDGAGSQSGEEGSSGTVTVYRQSSLYPVTAPSPLVGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYRGHLINGTPVAVKKILNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRMLVYEYVNNGNLEQWLHGAMRQHGYLTWEARIKVLLGTAKALAYLHEAIEPKVVHRDINHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPAHEVNLVDWLKMMVGSRRSEEVVDPNIEVRPSTRTLKRALLTALRCVDPDSEKRPKMGQVARMLESEEYPIPREDRRHRRTHGNNSEIDSQKDNSDTDKSDYPASRSESKRTQS >KJB45483 pep chromosome:Graimondii2_0_v6:7:52240014:52243620:-1 gene:B456_007G308900 transcript:KJB45483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDLNAEMSKKTAIFGLKVWEVIGIVVALFIIIILSLLSFCLTSRKKKSRARNKLPLTQIPSISKEIKEVRVEQVSANDFVPRDGILLTIHDKSSDKDSDRVMVHLGMGKSRNGDNSSQSGSFHHLERDGAGSQSGEEGSSGTVTVYRQSSLYPVTAPSPLVGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYRGHLINGTPVAVKKILNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRMLVYEYVNNGNLEQWLHGAMRQHGYLTWEARIKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPAHEVNLVDWLKMMVGSRRSEEVVDPNIEVRPSTRTLKRALLTALRCVDPDSEKRPKMGQVARMLESEEYPIPREDRRHRRTHGNNSEIDSQKDNSDTDKSDYPASRSESKRTQS >KJB40915 pep chromosome:Graimondii2_0_v6:7:5880267:5882140:1 gene:B456_007G082200 transcript:KJB40915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADISMGLALDVAAELGIPTVGLWPAAVLQLAVLLRVPKLIDDGLIDENGTPLMKHKMIQLSPMTPSIHPMNLVWLNIDDSPSTPKFMFDFQLRNYRVAETTNWVLCNSSLELELQGFKLVPKALPIGPFLATNRLGNFSGNFWPEDPTCLQWLDQQLPGSVIYVAFGSFIVFDQIQFQELALGLEITEKPFIWVVREDITKGKHHNYPKWLNERVGNQCRIVSWAPQAAVLGHSSIACFISHCGWNSTMEGVSNGVPFLCWPYFADQFLNESYISDIWKIGLKLQKDEGGIIRKEEIKSKVEQLVGDENFKTRAIELKQMVTKSVGDGGSSDKVFKNFVQWLKS >KJB40914 pep chromosome:Graimondii2_0_v6:7:5880004:5882329:1 gene:B456_007G082200 transcript:KJB40914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPHVVVIPYPAQGHVIPLMELSQNLAKQGIKISFVNTVFNHKRVLDAFGVDENGLLHLLSVPDGLEDGEDRNQLGKLTESLCQVIPTQLKELIHKVNGSNDDKISCVLADISMGLALDVAAELGIPTVGLWPAAVLQLAVLLRVPKLIDDGLIDENGTPLMKHKMIQLSPMTPSIHPMNLVWLNIDDSPSTPKFMFDFQLRNYRVAETTNWVLCNSSLELELQGFKLVPKALPIGPFLATNRLGNFSGNFWPEDPTCLQWLDQQLPGSVIYVAFGSFIVFDQIQFQELALGLEITEKPFIWVVREDITKGKHHNYPKWLNERVGNQCRIVSWAPQAAVLGHSSIACFISHCGWNSTMEGVSNGVPFLCWPYFADQFLNESYISDIWKIGLKLQKDEGGIIRKEEIKSKVEQLVGDENFKTRAIELKQMVTKSVGDGGSSDKVFKNFVQWLKS >KJB40913 pep chromosome:Graimondii2_0_v6:7:5880004:5882329:1 gene:B456_007G082200 transcript:KJB40913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPHVVVIPYPAQGHVIPLMELSQNLAKQGIKISFVNTVFNHKRVLDAFGVDENGTPLMKHKMIQLSPMTPSIHPMNLVWLNIDDSPSTPKFMFDFQLRNYRVAETTNWVLCNSSLELELQGFKLVPKALPIGPFLATNRLGNFSGNFWPEDPTCLQWLDQQLPGSVIYVAFGSFIVFDQIQFQELALGLEITEKPFIWVVREDITKGKHHNYPKWLNERVGNQCRIVSWAPQAAVLGHSSIACFISHCGWNSTMEGVSNGVPFLCWPYFADQFLNESYISDIWKIGLKLQKDEGGIIRKEEIKSKVEQLVGDENFKTRAIELKQMVTKSVGDGGSSDKVFKNFVQWLKS >KJB41620 pep chromosome:Graimondii2_0_v6:7:8729416:8730645:-1 gene:B456_007G112600 transcript:KJB41620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVFKGFGEVEDMVKVVTSSGGIMELFAPITAECITNEFPGHAIYPTPDFLSPPLLHNEELHAGHLYYLLPTNNSFAKHHDQNNVGDVDIRNSNKNIISTSSSINTPYRMSFDQQRVLKRTEAEVLPRYNSSGVWKVKLVISPDQLAEILAQESRTEALIESVRTVAKCGNGVSSVANSDQFSVSSSWKTPW >KJB40745 pep chromosome:Graimondii2_0_v6:7:5354921:5357195:1 gene:B456_007G075800 transcript:KJB40745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRASLSALNQSLVNSANFYGNFITKLPFSSSSASSLQTIENSQCKPLLNPLYNLLPETRNPNKIVDLISSSLKQNNSQLTLLQNHIKPLIPHLGCHEITRVLLRFQSDCSSALTFFNWVKNDLGIKLSSHNYCYIVHILAWSKNFSLAMNLLCELIDFVKDCSNCEDLFESLVSCSKDCNFDPVVFDMLIKGYVRKGMVKEAIRTFTNVLEVGYLPSVITCNCLLNGLLRLNFIDQCWLVYEEMGRVGIRPNSYTFNILTNVFCKDGNVDKVNEFLERMEEEGFDPDLVTYNTLISSYCRKERLNDAFYLYRIMYRRGVVPDLVSYTALMNGLCKEGRVREAHQLFHRMVHRGLNPDIVSYNTLISGYCKEGRMQESKYLVHEMIGNGISPDSFTCRVLVEGYGKQGRLVSALNLVVELRRFGVSISSSIYDFLIVSLCREDRPFAAKNILGRISQDGYVPKPDIYNELIETFCRCNNVADALLVKAEMVRKRIKVNLVAYRALICSSCRIGCLSSATATKWILIKQSQY >KJB40744 pep chromosome:Graimondii2_0_v6:7:5354836:5357205:1 gene:B456_007G075800 transcript:KJB40744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEAIRTFTNVLEVGYLPSVITCNCLLNGLLRLNFIDQCWLVYEEMGRVGIRPNSYTFNILTNVFCKDGNVDKVNEFLERMEEEGFDPDLVTYNTLISSYCRKERLNDAFYLYRIMYRRGVVPDLVSYTALMNGLCKEGRVREAHQLFHRMVHRGLNPDIVSYNTLISGYCKEGRMQESKYLVHEMIGNGISPDSFTCRVLVEGYGKQGRLVSALNLVVELRRFGVSISSSIYDFLIVSLCREDRPFAAKNILGRISQDGYVPKPDIYNELIETFCRCNNVADALLVKAEMVRKRIKVNLVAYRALICSSCRIGKTHEAESLMEEMLKSDILPDPHICRVLIQCYCDQMDIDKAESILSFFAKKFRIFDTESYNVLVSTYTESGDMEKLMELQDRMMKLGFAPNSLTCKYVIHGLLKAKRLYEQKLLGS >KJB42236 pep chromosome:Graimondii2_0_v6:7:12020441:12023184:1 gene:B456_007G143800 transcript:KJB42236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH3 [Source:Projected from Arabidopsis thaliana (AT4G16430) UniProtKB/Swiss-Prot;Acc:O23487] MGEMFWANEEDKAKVESVLGTEACDYLILLASKNMLSDFISPPPSYLGLQQKLCEVVERSNWNYAIYWQVSSLKSGGSILIWGDGHCRDLKLGGVGDASCSADAKLEGVEKINEVRKQVLQKLRACFGGSEEDNYPAKLDGVSDMEMFYLTSMFFKFHCDASYGPAESYKSSKPIWSSDSNCCSDHYQSRSFLARSAGLQTVVFVPVKSGVVELGSINLIPEDQNAVEMVSAMFGGSSSVQAKTVPKIFGRELSLGGSKSQSISINFSPKMEDESGFMLESYDVQALGSNQVYGNSSNGCQGDSEAKLFPQLLVGGLNTQARISGVEHPKDDSPSLPDERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQMKIRVLETEKEMVNNGQKQLSMPDIDFQQRHEDVVVTMRYPLDAHPVSKVLKALKENQIAARESDVSTTDNDKIVHTFTIGAPGGAAEQLKEKLLDALSK >KJB42611 pep chromosome:Graimondii2_0_v6:7:14035603:14039013:1 gene:B456_007G160000 transcript:KJB42611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNFLYFCLFCSLVVLTQSAPEEALVTQLPGFSGTFPSKHYSGYVNVDQNHGKNMFYYFVESERNPSEDALVLWLNGGPGCSSFDGFVYEHGPFNFEAAKANESLPQLHLNPYSWSKVSNIIYLDSPVGVGFSYSKNKSDYETGDVQTAIDTHAFLLKWFELYSEFLPNPFFIAGESYAGVYVPTLSSEVVKGIVAQKKPIPNFKGYLVGNGVADDEFDGNALVPFAHGMGLISDELYEEVNSECKGNFYIPLSETCESKLEKVEKNIEDLNIYDILEPCYHAPETLENTDIKIRLPSSFRKLGETNRPLAVRTRMFGRAWPLRAPVRDGIVPTWPQLLDAESVPCTDDTVATQWLNDPAVRKAIHAEEVSTLEVITPFFKIILIL >KJB42610 pep chromosome:Graimondii2_0_v6:7:14035602:14040069:1 gene:B456_007G160000 transcript:KJB42610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNFLYFCLFCSLVVLTQSAPEEALVTQLPGFSGTFPSKHYSGYVNVDQNHGKNMFYYFVESERNPSEDALVLWLNGGPGCSSFDGFVYEHGPFNFEAAKANESLPQLHLNPYSWSKVSNIIYLDSPVGVGFSYSKNKSDYETGDVQTAIDTHAFLLKWFELYSEFLPNPFFIAGESYAGVYVPTLSSEVVKGIVAQKKPIPNFKGYLVGNGVADDEFDGNALVPFAHGMGLISDELYEEVNSECKGNFYIPLSETCESKLEKVEKNIEDLNIYDILEPCYHAPETLENTDIKIRLPSSFRKLGETNRPLAVRTRMFGRAWPLRAPVRDGIVPTWPQLLDAESVPCTDDTVATQWLNDPAVRKAIHAEEETAIGKWELCTDKILYDHDAGSMIVYHKNLTSGGYRALIYSGDHDMCVPFTGSQAWTRSIGYEIVDEWRPWMSNGQVAGYLQGYANNLTFLTVKGAGHTVPEYKPEEALDFYSRFLAGKAI >KJB45396 pep chromosome:Graimondii2_0_v6:7:51756244:51758282:-1 gene:B456_007G304000 transcript:KJB45396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RCGAISVTMYNFGSPRVGNRRFAEVYNEKVKDSWRIVNHRDIIPTVPRLMGYCHVAQPVYLAAGELKDALENMELWKDGYQGDVIGEYTPDVLVTEFQWFTGVEG >KJB40247 pep chromosome:Graimondii2_0_v6:7:3712725:3716994:1 gene:B456_007G053300 transcript:KJB40247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWLQNIPFYYSSSSSSSSTIAGSSEASSGKHFQDNHNYHKRTHKQHHSYLGFRIAGSKLTKQKEVTRLSDRELLAAPLSPSNDTPLSSSAPTSRTTSSSLAVPLPLPLPVPEGDGEQRLPSLSEVGHGRGLEDKDREKADGTPSHSSMFASRESRKTAEHWDIRSSSKVFQQEVNRGDSSQDEFRVNAPVRSAPASPFSSPALFSAHRKSTSEMFPHHMVPTGKQVWSAPEMPTFDVPGLPPPAFYDCSILSPDYTPLHSPPNRSPRRNFRSQSGPPSPIHQEMSREFSSSRPESNCPISVHPLPLPPGAAMASSSASVPQVTTKPEPLPMNCQWQKGKLIGRGTFGSVYVASNRETGALCAMKEVEIFPDDPKSAECIKQLEQEIKVLSQLKHQNIVQYYGSEIVEDKFYIYLEYVHPGSINKYVHDHCGAITESVVRNFTRHILSGLAYLHSTKTIHRDIKGANLLVDASGVVKLADFGMSKHLSGQRADLSLKGSPYWMAPELMQAVMQKDNSSDLALAVDVWSLGCTIIEMFTGKAPWSEYEGAAAMFKVMKDTPPIPEALSPEGKDFLRCCFQRNPAERPSASMLLEHRFVKCSSHSGSSSSNGLKSTDMPLSPRERSEFKLDQLPVQQSLRSSKSITPDREITQRSHYKSSDLRLASRNSPRSTLETLPSLSPPRSGQNTHHPSPSSSMNRYINQEPRKLHIFR >KJB42927 pep chromosome:Graimondii2_0_v6:7:16060260:16066636:-1 gene:B456_007G174200 transcript:KJB42927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSDGKLHNNFSSLSSSDNALFDASQYEFFGQNAMEEVELGGLEDDEQDAPVFASPEDDEYHLFDKGEVVGLGSLSDVDDLATTFAKLNRVVTGPRNPGVIGDRSGSFSRESSSAADWAQDGEYVNWMDQHLFDADDAQDGKRWSSQPQPYARVSDSKPLYRTSSYPEQQPQLHRFSSEPIFGPKSNFISFPPPGSKCQQSSPAHLNISALSSGSQPPFSAASLSPLSNPSLHLAGLSHGLHCGGNVPQLTSPGLSFSSRSHNHWVNHSGLLHGDHAGLLHNMLQQQIPHQNGLISPQLMSPQQQRLHHSVQPSLAHFAALQSQLYNAHPPSHKLMLGLADLRDQRTKSSQRKRQSSRISQQNSDTGSQKSESGLVQFRSKYMSAEEIESILKMQHAATHSNDPYVDDYYHQACLVKRSFGSRGKHNFCPSHLKDLHSRSRNSGEQHLHLHADALGKAPLSSIRRPRPLLEVDPPLGSGDGGSEQKTERPLEEEPMLAARITIEDGLSLLLDVDDIDRLIQFSQPQDGGIQLRRRRQILLEGMAASLQLVDPLSKGAHAVKCVAKDDIVFLRLVSLAKGRKLVTRFLQLLIPGSELVRVVCMAIFRHLRFLFGGLSSDLEAAETTTNLAKTVSTCVNGMDLRALSACLVAIVCSSEQPPLRPLGSPAGDGASVILKSVLERATQLLSHPSGNCSMPNYAFWRASFDEFFTLLTKYCVNKYETIKQSIQNQSPTMEVIGSEAMRQEMPCELLRSSLPHTNEAQRKLLMDFSQRSVPMNGANSHAGSTSQINSESVRG >KJB42926 pep chromosome:Graimondii2_0_v6:7:16059424:16067108:-1 gene:B456_007G174200 transcript:KJB42926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSDGKLHNNFSSLSSSDNALFDASQYEFFGQNAMEEVELGGLEDDEQDAPVFASPEDDEYHLFDKGEVVGLGSLSDVDDLATTFAKLNRVVTGPRNPGVIGDRSGSFSRESSSAADWAQDGEYVNWMDQHLFDADDAQDGKRWSSQPQPYARVSDSKPLYRTSSYPEQQPQLHRFSSEPIFGPKSNFISFPPPGSKCQQSSPAHLNISALSSGSQPPFSAASLSPLSNPSLHLAGLSHGLHCGGNVPQLTSPGLSFSSRSHNHWVNHSGLLHGDHAGLLHNMLQQQIPHQNGLISPQLMSPQQQRLHHSVQPSLAHFAALQSQLYNAHPPSHKLMLGLADLRDQRTKSSQRKRQSSRISQQNSDTGSQKSESGLVQFRSKYMSAEEIESILKMQHAATHSNDPYVDDYYHQACLVKRSFGSRGKHNFCPSHLKDLHSRSRNSGEQHLHLHADALGKAPLSSIRRPRPLLEVDPPLGSGDGGSEQKTERPLEEEPMLAARITIEDGLSLLLDVDDIDRLIQFSQPQDGGIQLRRRRQILLEGMAASLQLVDPLSKGAHAVKCVAKDDIVFLRLVSLAKGRKLVTRFLQLLIPGSELVRVVCMAIFRHLRFLFGGLSSDLEAAETTTNLAKTVSTCVNGMDLRALSACLVAIVCSSEQPPLRPLGSPAGDGASVILKSVLERATQLLSHPSGNCSMPNYAFWRASFDEFFTLLTKYCVNKYETIKQSIQNQSPTMELMELTVVAVVEVADGCVLMMGVVGSFILVLCCETPVQVEA >KJB42872 pep chromosome:Graimondii2_0_v6:7:15850526:15858704:1 gene:B456_007G172700 transcript:KJB42872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEPFNRLVKLAARAFYDDVTTKGDNQPKTGRGDNRGIAVVVLDALTRRQWVREEDLAKELKLHSKQLRRILRLFEEEKLVTRDHRRETAKGAKIYSAAVAATADTQTGGKEGEEKIKLHTHSYCCLDYAQIYDVVRYRMHRMKKKIKDELEDKNTVQEYVCPGCGKRYNALDALRLVSLEDEYFHCERCNGELVAESDKLAVQDVGDGDDNARRRRREKLKDMLQKMEVQLKPLMEQLNRVKDMPIPEFGSLQAWEARASAAGRAVNGDSGSNDPSKNSQGYGGTPMPFLGETKVEVAFSGLDGKEDVKSESASTSLKVLPPWMIKQGMNLTKEQRGEVKQESKPDGSSAQPEFSDDKKSTVENDDKKKIQDEYLKAYYAALYKKQQELEEAAKKQQELSDIPLATGLSDSSNRQVGMKAKRDDNEGEDDIDWEEAPVAGNTTESYKVNDLNVEAEESGDDEDDVDWEEG >KJB41077 pep chromosome:Graimondii2_0_v6:7:6482529:6485307:-1 gene:B456_007G089100 transcript:KJB41077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRRISNSESEESGSVSEEEEDDDNEVILKQEQQGISEYEKQRLARIAENRARMEALGLPKIASSLMGLSPNTSRTSSKIKGKRKVIDDDEDYRPNDNEDDHDEDDDDKLDGDDEEEFPGSKTPQTQSRKKKMKNKGSRSKKKASVQKHLSSSDFIDDGDDELMKAIALSLKDSGEVLGAVPTVVQDATFTESKGNARSKRKKSFTSRMQMTEDEMVVHFFHFDALLAIRSWKRKHFYERFTKSSYCT >KJB41078 pep chromosome:Graimondii2_0_v6:7:6482529:6485790:-1 gene:B456_007G089100 transcript:KJB41078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRRISNSESEESGSVSEEEEDDDNEVILKQEQQGISEYEKQRLARIAENRARMEALGLPKIASSLMGLSPNTSRTSSKIKGKRKVIDDDEDYRPNDNEDDHDEDDDDKLDGDDEEEFPGSKTPQTQSRKKKMKNKGSRSKKKASVQKHLSSSDFIDDGDDELMKAIALSLKDSGEVLGAVPTVVQDATFTESKGNARSKRKKSFTSRMQMTEDEMVVHFFHFDEAGKGSISMRDLRRVAIAHDFMWTDKELAEMIQCFDTDGDGKLNLDDFRKIVSRCNMLRTSDNS >KJB42379 pep chromosome:Graimondii2_0_v6:7:12702665:12706005:1 gene:B456_007G150000 transcript:KJB42379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGVVGDGGSESGSVSTVSREENMVVSSEDSSCPDQSELELGLGLSLGGGGGGFKMHHVSKGGQFARILTAKDLPSLVFSAASPSSSSSSSSSLSRADVTAKTKRTADSMAAANGFSQLVGWPPVQTHRINSMGNQAKELPTEGFNSKMENPKNETSMVEKSTIGSYQNNGNAKLRKSLFVKVNMDGIPIGRKVDLNAHGSYEKLAKTLEDMFLETSPSVSQVGSRALEHGTTNKLTRPSKLLDVSSDFALTYKDKEGDWMLVGDVPWEYAVR >KJB42378 pep chromosome:Graimondii2_0_v6:7:12702472:12706098:1 gene:B456_007G150000 transcript:KJB42378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGVVGDGGSESGSVSTVSREENMVVSSEDSSCPDQSELELGLGLSLGGGGGGFKMHHVSKGGQFARILTAKDLPSLVFSAASPSSSSSSSSSLSRADVTAKTKRTADSMAAANGFSQLVGWPPVQTHRINSMGNQAKELPTEGFNSKMENPKNETSMVEKSTIGSYQNNGNAKLRKSLFVKVNMDGIPIGRKVDLNAHGSYEKLAKTLEDMFLETSPSVSQVGSRALEHGTTNKLTRPSKLLDVSSDFALTYKDKEGDWMLVGDVPWELFASSVKRLRIMRTSEATGLAPMLQGRNQRQRSKPN >KJB45942 pep chromosome:Graimondii2_0_v6:7:56474252:56477128:-1 gene:B456_007G339300 transcript:KJB45942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVAITLFPFLLAIVAICFSFCDANSNVLCIESERNALLKFKNDLVDPSNRFASWVEGGDCCKWLGVVCHNSTGHINQLHLAAPLSVPHFDAPVTEWEAYHRSKNNSSLRGKINSSLLELKHLSSLDLSNNNFRSNIPKFLGRLGSLTYLNLSHAQFQGGIPHNLGNLSKLQYLDLGGNYLKPKSLQWVSGLSFLQYLDLSYADLRKATDWLLVTFQHPSLLELHLSACSLEDDPSPINVNSTKSLVVLDLSENSFSSVPTSIFGLQGLLSIDLSSNSLEGPIPDYFRNISFLEVLDLSGNSLNSSTPNSLFSLNHLQFLNLSSNEIDQDVSEILLSLSRCCLDCLESLDMAHNHLFGHLIDQLGHFKNLAHLSLAGNNISGPIPLSIGELSSLKFFDVSENQLNGTFPQCFGQLKSLETLDLGCNQLEGVVSETHFSNLTRLTTLAASQNRLRFQPNSSWILPFQCRIIKLGQWHLGPKFPRWLKFQKNLSVLDMSDAGISDILPTWFLNLSTQFEYLNLSYNQLTGGISHLNVREFVDLASNRFTGPLPRVFPTLKFLMLSHNSFSGPLFELVCNPLRKGPMECLSIKGNLLSGEIPDRWNHWRGLVYLNLENNNLTGKIPPSLGHLNLSVLNLRNNDMFGELPSTLQLSTSLIILDLSDNHFSGSVPTWIGDKLSKHEILSLRSNNFDGHIPQKICQLQSLRILDLGNNNISGSIPKCFSNLSAMANKSNQNSYMFQWSITLTNLFCMRTLLVLKGRVDEYSTTLGLVVSICLSTNRLVGEIPKELGSLVELQSLNLSRNLLIGNIPDEIGNMELESLDLSMNQLNGEIPSSFSNLNFINYFNVSYNNLTGRIPTSTQLQSFENLSYMSNHLCGPPLSKDCSTNSTPTDVANNGSRSEGSNVNWLYVSIVIGFVMGFWGVVAPLFFIRSWRNAYYQKLDHVGRKLYMSWATPGR >KJB45190 pep chromosome:Graimondii2_0_v6:7:50454072:50454953:1 gene:B456_007G294500 transcript:KJB45190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSGGDNGEMERVMIELSLDGGEKGWKVDLGGSQRAVEDDLCMILLVFVDFWVQIHDFPSGLVLEVMARQFGNFIGTFLDNYMKMMGTGYRGFIQIGVRIDVRGLLKRRKKIILSQNHSLYARFQYK >KJB45933 pep chromosome:Graimondii2_0_v6:7:56844682:56847352:1 gene:B456_007G343100 transcript:KJB45933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADINDQKQATLSSGSIGSDERPEENFEDMVVQASHRRQEAMVSSYGSSHEFRGPNIEKEKQDRSSPKGVIEACFEGSESNKNSPDNSPHAVVSCTGSVALSNWKKLFQLWKRRSKKHFASIPILSASKRSRKSSKRVEEDPMMQELYNYKSSLQNFSLPELRAATDNFNRENIIGMGGYSIVYKGCLKDGRFVAIKRATKGTSDEMTAAFLSELGIIAHVNHPNTATLIGCGVEGGMHLVFLLSPFGSLASVLHGPKGILDWSKRYKIALGTADGLKYLHENCERRIIHRDIKAENILLTENFEPQVRLKS >KJB45934 pep chromosome:Graimondii2_0_v6:7:56844682:56849099:1 gene:B456_007G343100 transcript:KJB45934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADINDQKQATLSSGSIGSDERPEENFEDMVVQASHRRQEAMVSSYGSSHEFRGPNIEKEKQDRSSPKGVIEACFEGSESNKNSPDNSPHAVVSCTGSVALSNWKKLFQLWKRRSKKHFASIPILSASKRSRKSSKRVEEDPMMQELYNYKSSLQNFSLPELRAATDNFNRENIIGMGGYSIVYKGCLKDGRFVAIKRATKGTSDEMTAAFLSELGIIAHVNHPNTATLIGCGVEGGMHLVFLLSPFGSLASVLHGPKGILDWSKRYKIALGTADGLKYLHENCERRIIHRDIKAENILLTENFEPQICDFGLAKWLPKQWTHHNVSKFEGTFGFLLGS >KJB45932 pep chromosome:Graimondii2_0_v6:7:56844632:56849218:1 gene:B456_007G343100 transcript:KJB45932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQASHRRQEAMVSSYGSSHEFRGPNIEKEKQDRSSPKGVIEACFEGSESNKNSPDNSPHAVVSCTGSVALSNWKKLFQLWKRRSKKHFASIPILSASKRSRKSSKRVEEDPMMQELYNYKSSLQNFSLPELRAATDNFNRENIIGMGGYSIVYKGCLKDGRFVAIKRATKGTSDEMTAAFLSELGIIAHVNHPNTATLIGCGVEGGMHLVFLLSPFGSLASVLHGPKGILDWSKRYKIALGTADGLKYLHENCERRIIHRDIKAENILLTENFEPQICDFGLAKWLPKQWTHHNVSKFEGTFGYLAPEYFMHGLVNEKTDVYAFGVLLLELIAGRRALDDQQQSIVIWAKPLLDKNDIKGLIDPSLGDDYEPKEANRMVSTASLCIEHSPILRPQMSQVTVRLRCDGHIANCCKKSWNRSIRRTYSNELLDAQEYNSTKHLNSVDRLREIDLSS >KJB45931 pep chromosome:Graimondii2_0_v6:7:56844409:56849234:1 gene:B456_007G343100 transcript:KJB45931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADINDQKQATLSSGSIGSDERPEENFEDMVVQASHRRQEAMVSSYGSSHEFRGPNIEKEKQDRSSPKGVIEACFEGSESNKNSPDNSPHAVVSCTGSVALSNWKKLFQLWKRRSKKHFASIPILSASKRSRKSSKRVEEDPMMQELYNYKSSLQNFSLPELRAATDNFNRENIIGMGGYSIVYKGCLKDGRFVAIKRATKGTSDEMTAAFLSELGIIAHVNHPNTATLIGCGVEGGMHLVFLLSPFGSLASVLHGPKGILDWSKRYKIALGTADGLKYLHENCERRIIHRDIKAENILLTENFEPQICDFGLAKWLPKQWTHHNVSKFEGTFGYLAPEYFMHGLVNEKTDVYAFGVLLLELIAGRRALDDQQQSIVIWAKPLLDKNDIKGLIDPSLGDDYEPKEANRMVSTASLCIEHSPILRPQMSQVTVRLRCDGHIANCCKKSWNRSIRRTYSNELLDAQEYNSTKHLNSVDRLREIDLSS >KJB45061 pep chromosome:Graimondii2_0_v6:7:49461159:49463337:-1 gene:B456_007G288200 transcript:KJB45061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESAIFCLDSMSMASTTSTNLPLKDRVAIVTGSSRGIGRVIATHLAGLGAKLVINYTSSADQADLVATQINSLYPGDSPRAVTVKADVSDPAQVKLLFDSAEQAFGSPIHILVNSAGVLDPKYPKIADTALEDFDRIFSVNTRGAFLCAKEAANRLKRGGGGRIILLSSSMAAALRPGFGAYAASKAAVEAMVKILAKELKGTGITANCVAPGPIATEMFFAGKSEEAVQRCIDESPHNRLGQSEDVAPVVGFLASDASEWVNGQTIRVNGGYV >KJB44484 pep chromosome:Graimondii2_0_v6:7:41410696:41413446:1 gene:B456_007G255500 transcript:KJB44484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTAGVSDTVIAIRDKLRGKIGQTKVKRYWPGKAPEWADDADEDGDIRMARAVALEKAFPTHEDSGIVIKDDPRLRRLAESRVDNRDEIRADHRRIRQAEIVSTEEEGNRRNEGADAEEEDEDALEERRRRIREKMLQRKQEETPLLEEEEEEEVEEEEEEESEYETDSEEEHMGIAMAKAVFVPKSERETIAERKRLEEEERAMEEAVKRKLEHRKIETRQIVVEKIREDTEIQKNMELEANIEDVDTDDELNEAEEYEAWKAREIARIKRDREEREAMIKEREEIEKVRNMTEEERREWERKNPKPAPPPKQKWRFMQKYYHKGAFFQTDADDPAATAGAQNIYHRDFSAPTGDDKMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRAKYNAKMAAVNVPIAKPKGSKKLKDWEMK >KJB44485 pep chromosome:Graimondii2_0_v6:7:41410602:41413238:1 gene:B456_007G255500 transcript:KJB44485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTAGVSDTVIAIRDKLRGKIGQTKVKRYWPGKAPEWADDADEDGDIRMARAVALEKAFPTHEDSGIVIKDDPRLRRLAESRVDNRDEIRADHRRIRQAEIVSTEEEGNRRNEGADAEEEDEDALEERRRRIREKMLQRKQEETPLLEEEEEEEVEEEEEEESEYETDSEEEHMGIAMAKAVFVPKSERETIAERKRLEEEERAMEEAVKRKLEHRKIETRQIVVEKIREDTEIQKNMELEANIEDVDTDDELNEAEEYEAWKAREIARIKRDREEREAMIKEREEIEKVRNMTEEERREWERKNPKPAPPPKQKWRFMQKYYHKGAFFQTDADDPAATAGAQNIYHRDFSAPTGDDKMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRAKYNAKMAAVNVPIAKPKGSKKLKDWEMK >KJB39548 pep chromosome:Graimondii2_0_v6:7:1406201:1409130:1 gene:B456_007G018700 transcript:KJB39548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVSVFLEILRKPTIGDVLKELMIFIAPLWIAVIVGVLVGWAWKPKWANIGREMMIDCSVSKDSTPSSSDSSSKSFNLISSLNSIKFLLPSCISWIIDDEAQSDPFSLPPTVDSNCSSSQIPKGKTSFVTNEDLEHFVNLVEEKDGGPAWIHMMDCSTPTMFYQAWQRDLEAGPTEYRSRTVFEDTTPETVRDFFWDDEFRPKWDNMLVSAATMEECPTTGTMVVHWVRKFPFFCSDREYVFGRRIWESGRAYYCVTKGVPYGSVPRKNKPRRVDLYYSSWLIRAVESRKGDGQLTACEVLLFHHEDMGIPWKIAKVGVRQGMWGTVKKIDPGLRAYQKERTSGNTLSHCAFMAQINSKISADYLRSFESKSNDSSELETHNSSEKPSGKNIPKLLVVGGAIALACSFDRGLLTKAVIFGVARRFANIGKRL >KJB41217 pep chromosome:Graimondii2_0_v6:7:6991292:6994016:1 gene:B456_007G095300 transcript:KJB41217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALVVLYLNKAEARDKICRAIQYGSKFLSDGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPQGTPVPLVLLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERAELIGRISLFCWMGSSICTTLVELGELGRLSASMKKLEKKLKDSDKYKNEEYRAKLQNSNERTLALVKAGMDIVVAVGLLQLAPKKVTPRVTGAFGFVSSLISCYQLLPSPPKSKTP >KJB41219 pep chromosome:Graimondii2_0_v6:7:6990982:6994039:1 gene:B456_007G095300 transcript:KJB41219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALVVLYLNKAEARDKICRAIQYGSKFLSDGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPQGTPVPLVLLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERAELIGRISLFCWMGSSICTTLVELGELGRLSASMKKLEKKLKDSDKYKNEEYRAKLQNSNERTLALVKAGMDIVVAVGLLQLAPKKVTPRVTGAFGFVSSLISCYQLLPSPPKSKTP >KJB41216 pep chromosome:Graimondii2_0_v6:7:6991631:6994016:1 gene:B456_007G095300 transcript:KJB41216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALVVLYLNKAEARDKICRAIQYGSKFLSDGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPQGTPVPLVLLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERAELIGRISLFCWMGSSICTTLVELGELGRLSASMKKLEKKLKDSDKYKNEEYRAKLQNSNERTLALVKAGMDIVVAVGLLQLAPKKVTPRVTGAFGFVSSLISCYQLLPSPPKSKTP >KJB41218 pep chromosome:Graimondii2_0_v6:7:6991261:6994016:1 gene:B456_007G095300 transcript:KJB41218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALVVLYLNKAEARDKICRAIQYGSKFLSDGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPQGTPVPLVLLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERAELIGRISLFCWMGSSICTTLVELGELGRLSASMKKLEKKLKDSDKYKNEEYRAKLQNSNERTLALVKAGMDIVVAVGLLQLAPKKVTPRVTGAFGFVSSLISCYQLLPSPPKSKTP >KJB44679 pep chromosome:Graimondii2_0_v6:7:44300414:44301824:1 gene:B456_007G266100 transcript:KJB44679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRTGAKVPVIDFSNQNLKPSSPEWDLLKFQVREALEEYGCFVASFDQLLELRQPVLGALKQLFDLPFQTKQLCVSDKPLRAYHGSQSRLYDSISTDDAHIAENIEQCLTTTLWPQGNINFSKTLASFTQLALGLEKTILRMILESFGLEKYMDELSDSTNNHLRAMKYGRPNTSEPTLGIPPHCDNTILTLLSQLNEVQGLEIQNKNGEWMNLIPLPNSFVVMLGESFSIWLNGRLSSCYHRVLMEGNEARYSIGLFARPRGGYLVKVPKELVDDKNPMRFKPFDLEEFLKTFSPQIVQGRGKSALKAYCSV >KJB40475 pep chromosome:Graimondii2_0_v6:7:4618331:4621223:-1 gene:B456_007G065700 transcript:KJB40475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable indole-3-pyruvate monooxygenase YUCCA10 [Source:Projected from Arabidopsis thaliana (AT1G48910) UniProtKB/Swiss-Prot;Acc:Q9FVQ0] MEEIVVLIVGAGPSGLATSACLSVHSIPHIILEKEDIYASLWKKRAYDRLKLHLAKEFCSLPFKPHSPDSPTYIPKDMFVDYLDDYVKTFNIQPKYQRHVESASYDEADGKWRIEAKNVLTGGVEVYVAEFLVVASGENSGKYIPELPGLDSFSGETLHSSEYKSGAKYENKEVLVVGCGNSGMEIAYDLSNYGVQTAIVIRNPVHVVSKEIVRVGMIFSKYLPIFIVDIMAVLMSKILYGDLSKYGICRPTKGPFYLKATAGRAPVIDVGTVAKIKSKEIKVVPAISSIDGKKVLFEDGAEREFDVIVFATGYRSVANNWLKDFKHVLNETGMPKNDFPHHWKGEKNLYCCGLSRRGLFGVSMDASAIADDIKKVVTEKLNK >KJB46405 pep chromosome:Graimondii2_0_v6:7:59889131:59889692:-1 gene:B456_007G3661001 transcript:KJB46405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIASDIAAELIIKLSSRALSQVGLWWNLKHDIHDLRRTVCQIKALLLDAEEKSVTDNLVKVWLEELKHVLYDADDLLDDFSTEALRKNLSGGNKLTKEVRLFFSTSNQFAYGLKMGRKMKAIKARLASIESGANTFGFIPRDRPAETSFMTKRRQQTHSFEREDDIIGRDDDKAALLKLVLEFQ >KJB42842 pep chromosome:Graimondii2_0_v6:7:15666530:15675740:1 gene:B456_007G171600 transcript:KJB42842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATATMMMSRMMNMPPSSSSSTPSSSSSPLATQSLSTPSSPSSSRQASSLPLRTIPGSYGWPLVGPISDRLDYFWFQGPDIFFRSRMEKHKSTVFRTNVPPSFPFFLRVNPNVVAIVDCKSFAHLFDMEIVEKKDVLLGDFMPSVGYTGNTRVCAYLDTSEPKHAQLKNFSLDILKRSSKVWVAELQANLDKFFDTVESEISTNGTSSFFSHLQTFLFYFLSKSLLGADPALDPAVANSGPLTINLWLGLQLLPTVPVLAFQPLVEVFLHSYTYPSALVSGGYQKLYSFIERHGKEVVQRGEQEFGLSASDSINNLIFTLGFNAFGGFSLFLPTLIGTIASDKTGLQARLRDEVKRVCGSSSSGLSFDSLKDMELVNSVVYETLRMNPPVQLQYARARKDFQLASHDSAFDIKKGELLCGYQPLAMRDSNVFDEPESFKPDRFVGKGKELLSYLFWSNGPQTGSPTESNKQCAAKDFVTMSASLIVARIFQRYDSISGDSGKITAVEKAN >KJB42843 pep chromosome:Graimondii2_0_v6:7:15666530:15676004:1 gene:B456_007G171600 transcript:KJB42843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATATMMMSRMMNMPPSSSSSTPSSSSSPLATQSLSTPSSPSSSRQASSLPLRTIPGSYGWPLVGPISDRLDYFWFQGPDIFFRSRMEKHKSTVFRTNVPPSFPFFLRVNPNVVAIVDCKSFAHLFDMEIVEKKDVLLGDFMPSVGYTGNTRVCAYLDTSEPKHAQLKNFSLDILKRSSKVWVAELQANLDKFFDTVESEISTNGTSSFFSHLQTFLFYFLSKSLLGADPALDPAVANSGPLTINLWLGLQLLPTVPVLAFQPLVEVFLHSYTYPSALVSGGYQKLYSFIERHGKEVVQRGEQEFGLSASDSINNLIFTLGFNAFGGFSLFLPTLIGTIASDKTGLQARLRDEVKRVCGSSSSGLSFDSLKDMELVNSVVYETLRMNPPVQLQYARARKDFQLASHDSAFDIKKGELLCGYQPLAMRDSNVFDEPESFKPDRFVGKGKELLSYLFWSNGPQTGSPTESNKQCAAKDFVTMSASLIVARIFQRTDRTCRKALNSGKEKKERNTFSTNFLPIRPCWMV >KJB43079 pep chromosome:Graimondii2_0_v6:7:17435167:17442155:-1 gene:B456_007G182800 transcript:KJB43079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCNNCSRNVTGERVDDGLLSCTFCGKVLEDCIFATEPQFVKDSSGQSKLSGNFVKSTQSISDSRQHTIAKALDGIRSLKTGLLIDEYSDDVANVALRFYEVGLERNFTKGRRSELVQAACLYLACRQKGKPFLLIDFSHYLHVNVYELGSVYLQLCYVLYLADSKDLPKLIDPSIFIHKFTNALIPEGNDEVVKTARDILASMKRDWMQTGRKPSGLCGAALYISALSHGLKFSKSKIIEVVHICEATLSKRLIEFENTNSGALTMEEFTEKERELRTSSLTEKQPNIGSKETSLDEVLCRHVGRKPFAYGLCNECYEEFMKVSGGIDGGSDPPAFQRAEKERMAKLSIEENNKSISSSTFASGSEIPENSGFLEDATNKAAIREGDNDKLPGVDDSDDGSDNFSDIDDIEVDGYLHNEEEKHFKKIIWEEMNREYVEEQAAKEAAAAAAKEACMANYDKCPEDLQAAQKLAADVAELVAKSRKVILEYQEKLEVS >KJB43077 pep chromosome:Graimondii2_0_v6:7:17432905:17442465:-1 gene:B456_007G182800 transcript:KJB43077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCNNCSRNVTGERVDDGLLSCTFCGKVLEDCIFATEPQFVKDSSGQSKLSGNFVKSTQSISDSRQHTIAKALDGIRSLKTGLLIDEYSDDVANVALRFYEVGLERNFTKGRRSELVQAACLYLACRQKGKPFLLIDFSHYLHVNVYELGSVYLQLCYVLYLADSKDLPKLIDPSIFIHKFTNALIPEGNDEVVKTARDILASMKRDWMQTGRKPSGLCGAALYISALSHGLKFSKSKIIEVVHICEATLSKRLIEFENTNSGALTMEEFTEKERELRTSSLTEKQPNIGSKETSLDEVLCRHVGRKPFAYGLCNECYEEFMKVSGGIDGGSDPPAFQRAEKERMAKLSIEENNKSISSSTFASGSEIPENSGFLEDATNKAAIREGDNDKLPGVDDSDDGSDNFSDIDDIEVDGYLHNEEEKHFKKIIWEEMNREYVEEQAAKEAAAAAAKEACMANYDKCPEDLQAAQKLAADVAELVAKSRKERQQKRAAEEKDAGPAQTAAEATRRMLVRKRLSSKINYDALEKLFDDPVTEKPKKQRIESNSDEKEEKASKIGKEGDLEDEYNDNDDDGYGGIFYGDTEYEYEDNYDYDGY >KJB43081 pep chromosome:Graimondii2_0_v6:7:17433688:17442155:-1 gene:B456_007G182800 transcript:KJB43081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCNNCSRNVTGERVDDGLLSCTFCGKVLEDCIFATEPQFVKDSSGQSKLSGNFVKSTQSISDSRQHTIAKALDGIRSLKTGLLIDEYSDDVANVALRFYEVGLERNFTKGRRSELVQAACLYLACRQKGKPFLLIDFSHYLHVNVYELGSVYLQLCYVLYLADSKDLPKLIDPSIFIHKFTNALIPEGNDEVVKTARDILASMKRDWMQTGRKPSGLCGAALYISALSHGLKFSKSKIIEVVHICEATLSKRLIEFENTNSGALTMEEFTEKERELRTSSLTEKQPNIGSKETSLDEVLCRHVGRKPFAYGLCNECYEEFMKVSGGIDGGSDPPAFQRAEKERMAKLSIEENNKSISSSTFASGSEIPENSGFLEDATNKAAIREGDNDKLPGVDDSDDGSDNFSDIDDIEVDGYLHNEEEKHFKKIIWEEMNREYVEEQAAKEAAAAAAKEACMANYDKCPEDLQAAQKLAADVAELVAKSRKERQQKRAAEEKDAGPAQTAAEATRRMLVRKRLSSKINYDALEKLFDDPVCLCLICFNVSN >KJB43082 pep chromosome:Graimondii2_0_v6:7:17433022:17442307:-1 gene:B456_007G182800 transcript:KJB43082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCNNCSRNVTGERVDDGLLSCTFCGKVLEDCIFATEPQFVKDSSGQSKLSGNFVKSTQSISDSRQHTIAKALDGIRSLKTGLLIDEYSDDVANVALRFYEVGLERNFTKGRRSELVQAACLYLACRYELGSVYLQLCYVLYLADSKDLPKLIDPSIFIHKFTNALIPEGNDEVVKTARDILASMKRDWMQTGRKPSGLCGAALYISALSHGLKFSKSKIIEVVHICEATLSKRLIEFENTNSGALTMEEFTEKERELRTSSLTEKQPNIGSKETSLDEVLCRHVGRKPFAYGLCNECYEEFMKVSGGIDGGSDPPAFQRAEKERMAKLSIEENNKSISSSTFASGSEIPENSGFLEDATNKAAIREGDNDKLPGVDDSDDGSDNFSDIDDIEVDGYLHNEEEKHFKKIIWEEMNREYVEEQAAKEAAAAAAKEACMANYDKCPEDLQAAQKLAADVAELVAKSRKERQQKRAAEEKDAGPAQTAAEATRRMLVRKRLSSKINYDALEKLFDDPVCLCLICFNVSN >KJB43080 pep chromosome:Graimondii2_0_v6:7:17433022:17442307:-1 gene:B456_007G182800 transcript:KJB43080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCNNCSRNVTGERVDDGLLSCTFCGKVLEDCIFATEPQFVKDSSGQSKLSGNFVKSTQSISDSRQHTIAKALDGIRSLKTGLLIDEYSDDVANVALRFYEVGLERNFTKGRRSELVQAACLYLACRQKGKPFLLIDFSHYLHVNVYELGSVYLQLCYVLYLADSKDLPKLIDPSIFIHKFTNALIPEGNDEVVKTARDILASMKRDWMQTGRKPSGLCGAALYISALSHGLKFSKSKIIEVVHICEATLSKRLIEFENTNSGALTMEEFTEKERELRTSSLTEKQPNIGSKETSLDEVLCRHVGRKPFAYGLCNECYEEFMKVSGGIDGGSDPPAFQRAEKERMAKLSIEENNKVDGYLHNEEEKHFKKIIWEEMNREYVEVI >KJB43078 pep chromosome:Graimondii2_0_v6:7:17435834:17442155:-1 gene:B456_007G182800 transcript:KJB43078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCNNCSRNVTGERVDDGLLSCTFCGKVLEDCIFATEPQFVKDSSGQSKLSGNFVKSTQSISDSRQHTIAKALDGIRSLKTGLLIDEYSDDVANVALRFYEVGLERNFTKGRRSELVQAACLYLACRQKGKPFLLIDFSHYLHVNVYELGSVYLQLCYVLYLADSKDLPKLIDPSIFIHKFTNALIPEGNDEVVKTARDILASMKRDWMQTGRKPSGLCGAALYISALSHGLKFSKSKIIEVVHICEATLSKRLIEFENTNSGALTMEEFTEKERELRTSSLTEKQPNIGSKETSLDEVLCRHVGRKPFAYGLCNECYEEFMKVSGGIDGGSDPPAFQRAEKERMAKLSIEENNKSISSSTFASGSEIPENSGFLEDATNKAAIREGDNDKLPGVDDSDDGSDNFSDIDDIEVQNKSAFLYFDIALSIGTLTNKS >KJB41986 pep chromosome:Graimondii2_0_v6:7:10626747:10627730:1 gene:B456_007G131400 transcript:KJB41986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGGPGGGPGGPGGPGGWGGGPGGWGPGPGGPGGFGPGGPGWGPGPGGPGWGPGPGGPWGPGFGGPGFWPGGFFGGFADGLCNMISSCFYCLCCCWLLQDCFGRRPGYGPPPF >KJB41988 pep chromosome:Graimondii2_0_v6:7:10626809:10627730:1 gene:B456_007G131400 transcript:KJB41988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGGPGGGPGGPGGPGGWGGGPGGWGPWGPGFGGPGFWPGGFFGGFADGLCNMISSCFYCLCCCWLLQDCFGRRPGYGPPPF >KJB41987 pep chromosome:Graimondii2_0_v6:7:10626807:10627730:1 gene:B456_007G131400 transcript:KJB41987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGGPGGGPGGPGGPGGWGGGPGGWGPGPGGPGGFGPGGPGWGPGPGGPWGPGFGGPGFWPGGFFGGFADGLCNMISSCFYCLCCCWLLQDCFGRRPGYGPPPF >KJB42582 pep chromosome:Graimondii2_0_v6:7:13858455:13858861:1 gene:B456_007G158600 transcript:KJB42582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVGGKDCSSCIQDTSKQIRQHCLNQGNARIWFDHCFLQFSNKMGGRVDKLSFGMDFISFEKVADIESSHKEIELVMDKFRRRGCAKLETVVSKFVAGYNGTGHVGLISEGLCSIPGNCCWRSLHNFSSNLKGGI >KJB41765 pep chromosome:Graimondii2_0_v6:7:9388601:9391741:1 gene:B456_007G119100 transcript:KJB41765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASHWFNSFIVLLVLILTMDFRHGIYYARADAGGTGFVQTTATKFVINGKPFYLNGFNAYWMMMFASDNSTRSKVTDAFREASKYGMNIARTWAFNDGDYKPLQNSPGSYNEDVFKGLDFVVAEANKYGIHLILSLANNFKDFGGKDKYVQWAKQRGRNLSKEDDFFTDSVVKKYYKNHITNWVKEMAAHVKSIDNYHLLEIGLEGFYGESMPEKKQYNPNSYSIGTDFISNNQIPEVDFATIHIYPEQWLPSTNSSEEAQLGFVDKWIEAHTMDCNSVLKKPLVIGEFGKSFKLPGYSLEKRNEYFQRIYKAIYSSARNGGSCDGGLFWQLLSLGMDNMGDGYQVVLEQSPSTASVIAQQSRLLSSLT >KJB41764 pep chromosome:Graimondii2_0_v6:7:9388484:9391784:1 gene:B456_007G119100 transcript:KJB41764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASHWFNSFIVLLVLILTMDFRHGIYYARADAGGTGFVQTTATKFVINGKPFYLNGFNAYWMMMFASDNSTRSKVTDAFREASKYGMNIARTWAFNDGDYKPLQNSPGSYNEDVFKGLDFVVAEANKYGIHLILSLANNFKDFGGKDKYVQWAKQRGRNLSKEDDFFTDSVVKKYYKNHITAVLTRINTITGVAYKDDPIIFAWELMNEPRCPSDPSGANLQNWVKEMAAHVKSIDNYHLLEIGLEGFYGESMPEKKQYNPNSYSIGTDFISNNQIPEVDFATIHIYPEQWLPSTNSSEEAQLGFVDKWIEAHTMDCNSVLKKPLVIGEFGKSFKLPGYSLEKRNEYFQRIYKAIYSSARNGGSCDGGLFWQLLSLGMDNMGDGYQVVLEQSPSTASVIAQQSRLLSSLT >KJB41766 pep chromosome:Graimondii2_0_v6:7:9388601:9391741:1 gene:B456_007G119100 transcript:KJB41766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASHWFNSFIVLLVLILTMDFRHGIYYARADAGGTGFVQTTATKFVINGKPFYLNGFNAYWMMMFASDNSTRSKVTDAFREASKYGMNIARTWAFNDGDYKPLQNSPGSYNEDVFKGLDFVVAEANKYGIHLILSLANNFKDFGGKDKYVQWAKQRGRNLSKEDDFFTDSVVKKYYKNHITVLLTRINTITGVAYKDDPIIFAWELMNEPRCPSDPSGANLQNWVKEMAAHVKSIDNYHLLEIGLEGFYGESMPEKKQYNPNSYSIGTDFISNNQIPEVDFATIHIYPEQWLPSTNSSEEAQLGFVDKWIEAHTMDCNSVLKKPLVIGEFGKSFKLPGYSLEKRNEYFQRIYKAIYSSARNGGSCDGGLFWQLLSLGMDNMGDGYQVVLEQSPSTASVIAQQSRLLSSLT >KJB43661 pep chromosome:Graimondii2_0_v6:7:22856716:22860792:-1 gene:B456_007G211100 transcript:KJB43661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRVRTALHSMKASPNHEKNKETKMEKSKDSRALGTTKAVTNRRRSNRERKMALLQDVDKLKRKLRHEENVHKALERAFTRPLGALPRLPPYLPPYTLELVAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYASSKRNVENLNESIQQSPLRSSKHQRSRSFSVNETSSVTIIARLQQSLSRGVSSRKLLPPDTIYDGSGKSVSRPTNERQASTKPNSASGDVRGKENQSFGNAVKDKQSSEKAISKVVTPVKILPTPHKSADKCLDPLKLQLDSRLIDQERAQESPSGSSEDKVSEADNTPNQISEDIVRCLSSIFTRLSTSKGKAVESGTLPSRPFVNSHERNRESECQDPYEICSDLKSRDIGPYKYLCAIEANTIDLNRKTNVLFLIHRLKCLLGKLASVDLEGLSHQQKLAFWINTYNSCMMNAILEHGTPESPETVVALMQKATIVVGGYLLKAITIEHFILRLPFHLKFTCPEAAKNDEMKARNKFGLEWSEPLITFALACGSWSSPAVRVYTASEVEDELETAKREYLQAAIGISRTNKLIIPKLLDWYLLDFAKDLESFLDWVCLQLPNEVRNEAVKCLERKGKEPLSKLVQVMPYDFSFRLLLHH >KJB43662 pep chromosome:Graimondii2_0_v6:7:22857063:22860458:-1 gene:B456_007G211100 transcript:KJB43662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRVRTALHSMKASPNHEKNKETKMEKSKDSRALGTTKAVTNRRRSNRERKMALLQDVDKLKRKLRHEENVHKALERAFTRPLGALPRLPPYLPPYTLELVAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYASSKRNVENLNESIQQSPLRSSKHQRSRSFSVNETSSVTIIARLQQSLSRGVSSRKLLPPDTIYDGSGKSVSRPTNERQASTKPNSASGDVRGKENQSFGNAVKDKQSSEKAISKVVTPVKILPTPHKSADKCLDPLKLQLDSRLIDQERAQESPSGSSEDKVSEADNTPNQISEDIVRCLSSIFTRLSTSKGKAVESGTLPSRPFVNSHERNRESECQDPYEICSDLKSRDIGPYKYLCAIEANTIDLNRKTNVLFLIHRLKCLLGKLASVDLEGLSHQQKLAFWINTYNSCMMNAILEHGTPESPETVVALMQKATIVVGGYLLKAITIEHFILRLPFHLKFVSKIVHLFQGRD >KJB43660 pep chromosome:Graimondii2_0_v6:7:22856716:22860040:-1 gene:B456_007G211100 transcript:KJB43660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKDSRALGTTKAVTNRRRSNRERKMALLQDVDKLKRKLRHEENVHKALERAFTRPLGALPRLPPYLPPYTLELVAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYASSKRNVENLNESIQQSPLRSSKHQRSRSFSVNETSSVTIIARLQQSLSRGVSSRKLLPPDTIYDGSGKSVSRPTNERQASTKPNSASGDVRGKENQSFGNAVKDKQSSEKAISKVVTPVKILPTPHKSADKCLDPLKLQLDSRLIDQERAQESPSGSSEDKVSEADNTPNQISEDIVRCLSSIFTRLSTSKGKAVESGTLPSRPFVNSHERNRESECQDPYEICSDLKSRDIGPYKYLCAIEANTIDLNRKTNVLFLIHRLKCLLGKLASVDLEGLSHQQKLAFWINTYNSCMMNAILEHGTPESPETVVALMQKATIVVGGYLLKAITIEHFILRLPFHLKFTCPEAAKNDEMKARNKFGLEWSEPLITFALACGSWSSPAVRVYTASEVEDELETAKREYLQAAIGISRTNKLIIPKLLDWYLLDFAKDLESFLDWVCLQLPNEVRNEAVKCLERKGKEPLSKLVQVMPYDFSFRLLLHH >KJB46057 pep chromosome:Graimondii2_0_v6:7:57419364:57421615:1 gene:B456_007G346300 transcript:KJB46057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFGIFLSYILKPRFQLEGTIFISVHYYFLHFKVMEDQAPDPNGPSHGSERSEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGSVGDANVFYWFQNRRSRSRRRQRQQLLAAGAREQRNNQLQILGNGGGGGAIQYENNEVSTGFANSPPNFASFLIGPSSSYGVMNDDGMGNLLSMSSQMGFQGNGESSNITSILCPSETSNLQYQSGFITVFINGVPTEVPRGPLDIKAMFGEDVMLVHSSGLPLPMNDFGFLLESLLHGESYFLVSRTS >KJB45741 pep chromosome:Graimondii2_0_v6:7:54404074:54408928:1 gene:B456_007G324700 transcript:KJB45741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSAVSSALKVIGELTQEVTSLWGVDEQVERLASELRWMQSFLKVADARKVDHEVIRTSVVEIRELAYDAEDVIEMFALKVASKRKGGFSNCIKRSACFLKEGYLLHQIKSEIEKITARIEVLTRQLKTYDVSKLGVDGEGPSSSTERREARRPFPHVMDDNVVGLDKDIEKLVSVLVDEGSECKVLSICGMGGLGKTTLAKKIYRQSQVVGHFEHLAWAFVSQNCQIRKIWEDILSDLNILTEVDKKMKVEKLAEKLSSFLEENKCLVILDDIWNTKAWDSLKPAFSARETKSKILLTSRNKEIVAHADKNGFLYELQELDYNQSWELFQKIAFPQSNSPGYKIDAKMKELGEDMVKHCAGLPLAIIILGGILATKYPSLTEWLRVSANVKSYLNNDKGEVLRDVLALSYDDLPPYLRPCFLYLSHFPEDYEISADRLIQLWVAEGIVSSKQEEGDDGQIAEDVAEGYLLELAERCMIQVRERDIATLKIRSFQMHDLMRDVCLSKAKQQKFLYIADQSNECQLSTIGRVRRVSAHKFIPLQCIKSPRLRSLLLFDELLPNEEQENILPLTMRSYFENHEYDPDNPLFCFVALLMLSVLVTKFRGIWKYMFNNFNFLRVLDYERGDAGCKLPNDIGKLIHLRFLRLRGLYFLSSKLPSSLGNLRCLQTLDLRIKSECSNSIHVPNVLWRMQQLRHLYLPKRCSPKTKLKLGTLRSLQTLVNFNTKSCYIKDLISMTNIRELEIRGPFNIEDFNTEELGKNPLIVQSKYLHSLSIINYEEGIDTRHLAHLLSSCDSISKLSLDAEIRRLPEYHYLSSNLAYIKLRRCMLEEDPMPTLAKLPYLSMLELHEEAFIGKEMFCCGQAFAKLESLSLKELDFLEEWKVSEGAMPCLRRLEIENCRQLKKLPDGLRFIATLQELKIESMPKTFKDKVEEGEDFCKVQHVPSIIFQNCEGY >KJB45209 pep chromosome:Graimondii2_0_v6:7:50646108:50652379:1 gene:B456_007G295500 transcript:KJB45209 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCX5 [Source:Projected from Arabidopsis thaliana (AT1G08960) UniProtKB/TrEMBL;Acc:A0A178W3U3] MIISTQESRKSEDLQEFPSPFTIPLSFISIPARTESTERPKLSRNKESQNTQSLDLNGMALSCPSFLKSTLLCLTLLSSLIFFFFLQAPRKPPRIPQRSLLSTSCYSRQSSDSTLINYLSLHYCLFNEKFFLSIPFLSLLLLLDFYILIKTAQSHFSVVTTKLSSLLNLSPSMAAVTLLALGNGAPDVFASLHAVRSGHYRTGFGAILSAGTFVSAFVVGFVAIYAAPFGVKPAPFIRDVLFYLTGALFLFYVYLSGEIFVWQAVSFVAFYIFFVGFVFWMDFGTEREEEKLSLQKEMVTEESHLLDKNGEVGDVERGVKVDKKAFGIAGVCEVISKAWEVPITFLLKLTIPQSSPSEWSRFYLSANIVLCPLALLFACNSFMPLDHPIVFLLPNTRFPLWVVVLFGSFSLATLHFMLEKEPPKNDQIPVVVLAFVMSVFWISTVAGELLNCLAAIGSLLELPPALLGLTVLAWGNSVGDLVADVAVAKAGHPAMAMAGCFAGPMFNMLVGLGSALVMQTTNVYPEAYQLNFHIGIIIAFVFLLLSLMGSLLVITWSRFRVPRFWGFCLVGLYIIFTLVSLIIAKFSG >KJB45208 pep chromosome:Graimondii2_0_v6:7:50648931:50652368:1 gene:B456_007G295500 transcript:KJB45208 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCX5 [Source:Projected from Arabidopsis thaliana (AT1G08960) UniProtKB/TrEMBL;Acc:A0A178W3U3] MIISTQESRKSEDLQEFPSPFTIPLSFISIPARTESTERPKLSRNKESQNTQSLDLNGMALSCPSFLKSTLLCLTLLSSLIFFFFLQAPRKPPRIPQRSLLSTSCYSRQSSDSTLINYLSLHYCLFNEKFFLSIPFLSLLLLLDFYILIKTAQSHFSVVTTKLSSLLNLSPSMAAVTLLALGNGAPDVFASLHAVRSGHYRTGFGAILSAGTFVSAFVVGFVAIYAAPFGVKPAPFIRDVLFYLTGALFLFYVYLSGEIFVWQAVSFVAFYIFFVGFVFWMDFGTEREEEKLSLQKEMVTEESHLLDKNGEVGDVERGVKVDKKAFGIAGVCEVISKAWEVPITFLLKLTIPQSSPSEWSRFYLSANIVLCPLALLFACNSFMPLDHPIVFLLPNTRFPLWVVVLFGSFSLATLHFMLEKEPPKNDQIPVVVLAFVMSVFWISTVAGELLNCLAAIGSLLELPPALLGLTVLAWGNSVGDLVADVAVAKAGHPAMAMAGCFAGPMFNMLVGLGSALVMQTTNVYPEAYQLNFHIGIIIAFVFLLLSLMGSLLVITWSRFRVPRFWGFCLVGLYIIFTLVSLIIAKFSG >KJB41723 pep chromosome:Graimondii2_0_v6:7:10089629:10091776:-1 gene:B456_007G126400 transcript:KJB41723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTRSLWQTPVRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLADQFEAKRAKNKASRERKHARREERLAQGPGGVEKPVAAPPAATAQPAGGSKKSKK >KJB41725 pep chromosome:Graimondii2_0_v6:7:10089622:10091898:-1 gene:B456_007G126400 transcript:KJB41725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLSASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLADQFEAKRAKNKASRERKHARREERLAQGPGGVEKPVAAPPAATAQPAGGSKKSKK >KJB41721 pep chromosome:Graimondii2_0_v6:7:10089629:10091546:-1 gene:B456_007G126400 transcript:KJB41721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLADQFEAKRAKNKASRERKHARREERLAQGPGGVEKPVAAPPAATAQPAGGSKKSKK >KJB41720 pep chromosome:Graimondii2_0_v6:7:10089629:10090867:-1 gene:B456_007G126400 transcript:KJB41720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLADQFEAKRAKNKASRERKHARREERLAQGPGGVEKPVAAPPAATAQPAGGSKKSKK >KJB41719 pep chromosome:Graimondii2_0_v6:7:10089629:10091886:-1 gene:B456_007G126400 transcript:KJB41719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLSASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLADQFEAKRAKNKASRERKHARREERLAQGPGGVEKPVAAPPAATAQPAGGSKKSKK >KJB41724 pep chromosome:Graimondii2_0_v6:7:10089629:10091776:-1 gene:B456_007G126400 transcript:KJB41724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLADQFEAKRAKNKASRERKHARREERLAQGPGGVEKPVAAPPAATAQPAGGSKKSKK >KJB41722 pep chromosome:Graimondii2_0_v6:7:10089629:10091778:-1 gene:B456_007G126400 transcript:KJB41722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLADQFEAKRAKNKASRERKHARREERLAQGPGGVEKPVAAPPAATAQPAGGSKKSKK >KJB43243 pep chromosome:Graimondii2_0_v6:7:18486482:18490498:-1 gene:B456_007G189900 transcript:KJB43243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGMCRSFRDRALDRCYHCFPCLSDPARRSSLVLKVTLVMLHLVYVGILFLFDSDLIDKTKKEPWYTALYLLLFFATLVQYFITSGSSPGYVLDAMRAVNETNVICKKSSMAKQRQTASSKNGSLPVEGCQSGRNFQGTNSTSWTKLVMDMYPPGTSIRSCICPYCNVEQPPRAKHCYDCDKCVLHFDHHCVWLGTCIGQGNHCKFWWYICEESALCLWTGILYITYLRANISRAWWNDAIAILLLIPLSIFIVFLLLLLFFHSYLILTNQTTYELVRRRRIPYLRGIPERVYPFSKGVCHNLYDFCCDGSNIYSLEPLPSAQELEEKSRPYTCLDVLTCRCC >KJB43240 pep chromosome:Graimondii2_0_v6:7:18486482:18489416:-1 gene:B456_007G189900 transcript:KJB43240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVNETNVICKKSSMAKQRQTASSKNGSLPVEGCQSGRNFQGTNSTSWTKLVMDMYPPGTSIRSCICPYCNVEQPPRAKHCYDCDKCVLHFDHHCVWLGTCIGQGNHCKFWWYICEESALCLWTGILYITYLRANISRAWWNDAIAILLLIPLSIFIVFLLLLLFFHSYLILTNQTTYELVRRRRIPYLRGIPERVYPFSKGVCHNLYDFCCDGSNIYSLEPLPSAQELEEKSRPYTCLDVLTCRCC >KJB43239 pep chromosome:Graimondii2_0_v6:7:18486482:18488347:-1 gene:B456_007G189900 transcript:KJB43239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSNHPAIFLCNEFYEELFLIVHITWHVAVTYTVIAQLQPPRAKHCYDCDKCVLHFDHHCVWLGTCIGQGNHCKFWWYICEESALCLWTGILYITYLRANISRAWWNDAIAILLLIPLSIFIVFLLLLLFFHSYLILTNQTTYELVRRRRIPYLRGIPERVYPFSKGVCHNLYDFCCDGSNIYSLEPLPSAQELEEKSRPYTCLDVLTCRCC >KJB43241 pep chromosome:Graimondii2_0_v6:7:18486666:18489164:-1 gene:B456_007G189900 transcript:KJB43241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVNETNVICKKSSMAKQRQTASSKNGSLPVEGCQSGRNFQGTNSTSWTKLVMDMYPPGTSIRSCICPYCNVEQPPRAKHCYDCDKCVLHFDHHCVWLGTCIGQGNHCKFWWYICEESALCLWTGILYITYLRANISRAWWNDAIAILLLIPLSIFIVFLLLLLFFHSYLILTNQTTYELVRRRRIPYLRGIPERVYPFSKGVCHNLYDFCCDGSNIYSLEPLPSAQELEEKSRPYTCLDVLTCRCC >KJB43242 pep chromosome:Graimondii2_0_v6:7:18486482:18490432:-1 gene:B456_007G189900 transcript:KJB43242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGMCRSFRDRALDRCYHCFPCLSDPARRSSLVLKVTLVMLHLVYVGILFLFDSDLIDKTKKEPWYTALYLLLFFATLVQYFITSGSSPGYVLDAMRAVNETNVICKKSSMAKTASSKNGSLPVEGCQSGRNFQGTNSTSWTKLVMDMYPPGTSIRSCICPYCNVEQPPRAKHCYDCDKCVLHFDHHCVWLGTCIGQGNHCKFWWYICEESALCLWTGILYITYLRANISRAWWNDAIAILLLIPLSIFIVFLLLLLFFHSYLILTNQTTYELVRRRRIPYLRGIPERVYPFSKGVCHNLYDFCCDGSNIYSLEPLPSAQELEEKSRPYTCLDVLTCRCC >KJB40691 pep chromosome:Graimondii2_0_v6:7:5212736:5216038:1 gene:B456_007G073600 transcript:KJB40691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVLSSFCFPSQKSCSFTSSSLRFRIRAPTDSRFGGPLFVGFGSVKKRNGRIEASVDSTAHPLVFRDLDADDFRHPLDKQNTLLLRAIPGLNEIGRAILAGTVTEQIMLLENIGTSILVSKDQLPELHKMMIEAAGILNIEPPDLYVRQSPIPNAYTLAISGKKPFVIIHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTFANLLTLGSYRLPGLGGFIAQRLEEQLIRWLRAAELTCDRAALLVAQDPKVAISVLMKLTGGCPSMADQLNVDAFLEQAHSYEKASSSPMGWYIRNAQTRQLSHPLPVLRAREIDEWSRSREYRSLLERATQMSM >KJB40690 pep chromosome:Graimondii2_0_v6:7:5212618:5215668:1 gene:B456_007G073600 transcript:KJB40690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVLSSFCFPSQKSCSFTSSSLRFRIRAPTDSRFGGPLFVGFGSVKKRNGRIEASVDSTAHPLVFRDLDADDFRHPLDKQNTLLLRAIPGLNEIGRAILGTVTEQIMLLENIGTSILVSKDQLPELHKMMIEAAGILNIEPPDLYVRQSPIPNAYTLAISGKKPFVIIHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTFANLLTLGSYRLPGLGGFIAQRLEEQLIRWLRAAELTCDRAALLVAQDPKVAISVLMKLTGGCPSMADQLNVDAFLEQAHSYEKASSSPMGWYIRNAQTRQLSHPLPVLRAREIDEWSRSREYRSLLERATQMSM >KJB40689 pep chromosome:Graimondii2_0_v6:7:5212618:5216471:1 gene:B456_007G073600 transcript:KJB40689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVLSSFCFPSQKSCSFTSSSLRFRIRAPTDSRFGGPLFVGFGSVKKRNGRIEASVDSTAHPLVFRDLDADDFRHPLDKQNTLLLRAIPGLNEIGRAILGTVTEQIMLLENIGTSILVSKDQLPELHKMMIEAAGILNIEPPDLYVRQSPIPNAYTLAISGKKPFVIIHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTFANLLTLGSYRLPGLGGFIAQRLEEQLIRWLRAAELTCDRAALLVAQDPKVAISVLMKLTGGCPSMADQLNVDAFLEQAHSYEKASSSPMGWYIRNAQTRQLSHPLPVLRAREIDEWSRSREYRSLLERATQMSM >KJB44477 pep chromosome:Graimondii2_0_v6:7:41576227:41581336:-1 gene:B456_007G256200 transcript:KJB44477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLSLFLLLLYYFFFSSCASQAISQFKGIDLGSPALDVNPAPLYGHSSVHGSKDVLSCDRIRVSGHSRLKLRSYASSVRVTLAPSVLIPERLHSKIHVCFHRNASLGLCKCEHENWKTVEKGIWLSVMSPYDDRFIDVKFMGDVSGSVTIALEEDFQQWRLVFLALGFVLLLLAPFASKWVPFYYSSSMAVGIILVIIILLFQGMKLLPTGRKNIFYLGIYVPVLGAGSFLLHHFSGLVNSILVNFGLSEEMHNPVAIFVLVVIILLGAALGYWMVRKFVISNDGSVDVGVAQFVKWAMRIIAATFIFQSTLDTRLAVVVLASSAICSLITSTRRNTHMNQPRSWDEGPWVQRSRPGIINQGRAEFFDRSPRMYPNRTLWNGHTPLTSLTWNKFPARGGICDQNYYSSFHKTRNRKKFTKQEWKDFTRESTRHAIADLAATPEFTDWMIEHADRIKLLPCDTGSDYLTCSLLYENGYL >KJB44476 pep chromosome:Graimondii2_0_v6:7:41576646:41581212:-1 gene:B456_007G256200 transcript:KJB44476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLSLFLLLLYYFFFSSCASQAISQFKGIDLGSPALDVNPAPLYGHSSVHGSKDVLSCDRIRVSGHSRLKLRSYASSVRVTLAPSVLIPERLHSKIHVCFHRNASLGLCKCEHENWKTVEKGIWLSVMSPYDDRFIDVKFMGDVSGSVTIALEEDFQQWRLVFLALGFVLLLLAPFASKWVPFYYSSSMAVGIILVIIILLFQGMKLLPTGRKNIFYLGIYVPVLGAGSFLLHHFSGLVNSILVNFGLSEEMHNPVAIFVLVVIILLGAALGYWMVRKFVISNDGSVDVGVAQFVKWAMRIIAATFIFQSTLDTRLAVVVLASSAICSLITSTRRNTHMNQPRSWDEGPWVQRSRPGIINQGRAEFFDRSPRMYPNRTLWNGHTPLTSLTWNKFPARGGICDQNYYSSFHKTRNRKKFTKQEWKDFTRESTRHAIADLAATPEFTDWMIEHADRIKLLPCDSSEESVGSKSNSSDYDEEGSSSRFRLFNL >KJB43456 pep chromosome:Graimondii2_0_v6:7:20454454:20455076:1 gene:B456_007G201100 transcript:KJB43456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIHIEKAQVCSETHYAVCKEPFELRLKAREMPCKHIYHEDCITPWLALWIMCPPCRHELPLDGSESNGDASEIVGLSIWRLSGGIFAMGFNFFSL >KJB43038 pep chromosome:Graimondii2_0_v6:7:17087162:17087566:1 gene:B456_007G180800 transcript:KJB43038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILIPTIPQDLIFLSSNKKTPKFLINGQDMGGKIGYLFLVLTHAKGALFCFGSAPGREEKSKTKSKSKSILQKRKEKKNREKI >KJB43510 pep chromosome:Graimondii2_0_v6:7:20995567:20997395:-1 gene:B456_007G203900 transcript:KJB43510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFQYFKDKFRSKGKRSAPELTEERKSEEYSGLDRTTKSLSSESFPRSIPKAHNLRVFSFSELRRATRDFDPLLAIGRSSFEDVYKGTIKPADAKGEPLVVAIKKLHKDSIFSFHIHLGTKEWLAEVQFLGVVEHPNLIKLIGHCAVNGKREIPRLLVYEFMQNKSLDYHLFQSAFPPLPWKTRLQIIPGAAQGLAYLHEGLAVIYRDFKPSNVLLDDKFNPKLSGFGLATEGPMAGDTHVSTDLKSHIWGFGVVLYEILSGRRSIDRELPQAKELLLHWVKRSPAGSKKFISIMDPGLGNQYSIGAAREIARLADAGLLTSPEGRPKMSEVVERLKQIIQVSEEGSADKMESHPEASESEIKAGEPETNSNTAEIMTPDP >KJB40639 pep chromosome:Graimondii2_0_v6:7:5099131:5100051:1 gene:B456_007G072200 transcript:KJB40639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDPSLLPLNKLVEGHALITSFQRLQIGNRMAGEVMSHSFDDMDHVSVYHFLSDAYILIEQRLYHQL >KJB44112 pep chromosome:Graimondii2_0_v6:7:30775665:30781940:-1 gene:B456_007G234900 transcript:KJB44112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDVVPETFRVLVESADRKFARVRDLPSYGRAQGQHYFQKVFKAYMRLWKYQQEHRPELVKAGLNRWEIGEIAGRIGQLYFGQYMRTSEARFLVEAYIFYEAILKRRYFEGCGVKDLRVRFKELRFYARFLLVSLILNRTEMVKVVVEKLRALIDDCKANFRETNFKEWKLVEQEILRFINIDTTFTIPSSRPFRYCAMLNCHPNSVPYVARFHAKKVLKFRDAILMSYHRNEVKFAELTLDVYRMLQCLEWEPSGSFYQKHPAEPKENGVAVDYSGASGLIDMNLAADMTDPALPPNPRKAILYRPSLTHLIAVMATICEELPPESIMLVYLSASGKPGQINTSHVETSGGSRRTRKSMVTSHSSLEQNCSATESHINGVKGPSDYYNDYLWLGPKGNGGSSNLYPGDIIPFTRRPLFLIINSDSSHAFKVLHGAERGEKAALLLSPLRPTFKGPSSADITQNGSQFTLFLTAPLLAFCQMVGFSLSDSDTEVLNSAENILSTAFSKWEVILCKSPSLDLVWAQVLSDPFLRRLIVRFIFCRAVLSAIWPPEGSDQYLPLCLPQLPNSLSPKSDVVQSCVSQLADHLKVSNYFHFGDS >KJB44111 pep chromosome:Graimondii2_0_v6:7:30777156:30781613:-1 gene:B456_007G234900 transcript:KJB44111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDVVPETFRVLVESADRKFARVRDLPSYGRAQGQHYFQKVFKAYMRLWKYQQEHRPELVKAGLNRWEIGEIAGRIGQLYFGQYMRTSEARFLVEAYIFYEAILKRRYFEGCGVKDLRVRFKELRFYARFLLVSLILNRTEMVKVVVEKLRALIDDCKANFRETNFKEWKLVEQEILRFINIDTTFTIPSSRPFRYCAMLNCHPNSVPYVARFHAKKVLKFRDAILMSYHRNEVKFAELTLDVYRMLQCLEWEPSGSFYQKHPAEPKENGVAVDYSGASGLIDMNLAADMTDPALPPNPRKAILYRPSLTHLIAVMATICEELPPESIMLVYLSASGKPGQINTSHVETSGGSRRTRKSMVTSHSSLEQNCSATESHINGVKGPSDYYNDYLWLGPKGNGGSSNLYPGDIIPFTRRPLFLIINSDSSHAFKAGLTWCRKGRESCSSAFTIETNF >KJB44113 pep chromosome:Graimondii2_0_v6:7:30775742:30781800:-1 gene:B456_007G234900 transcript:KJB44113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDVVPETFRVLVESADRKFARVRDLPSYGRAQGQHYFQKVFKAYMRLWKYQQEHRPELVKAGLNRWEIGEIAGRIGQLYFGQYMRTSEARFLVEAYIFYEAILKRRYFEGCGVKDLRVRFKELRFYARFLLVSLILNRTEMVKVVVEKLRALIDDCKANFRETNFKEWKLVEQEILRFINIDTTFTIPSSRPFRYCAMLNCHPNSVPYVARFHAKKVLKFRDAILMSYHRNEVKFAELTLDVYRMLQCLEWEPSGSFYQKHPAEPKENGVAVDYSGASGLIDMNLAADMTDPALPPNPRKAILYRPSLTHLIAVMATICEELPPESIMLVYLSASGKPGQINTSHVETSGGSRRTRKSMWEVILCKSPSLDLVWAQVLSDPFLRRLIVRFIFCRAVLSAIWPPEGSDQYLPLCLPQLPNSLSPKSDVVQSCVSQLADHLKVSNYFHFGDS >KJB44114 pep chromosome:Graimondii2_0_v6:7:30778035:30781800:-1 gene:B456_007G234900 transcript:KJB44114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDVVPETFRVLVESADRKFARVRDLPSYGRAQGQHYFQKVFKAYMRLWKYQQEHRPELVKAGLNRWEIGEIAGRIGQLYFGQYMRTSEARFLVEAYIFYEAILKRRYFEGCGVKDLRVRFKELRFYARFLLVSLILNRTEMVKVVVEKLRALIDDCKANFRETNFKEWKLVEQEILRFINIDTTFTIPSSRPFRYCAMLNCHPNSVPYVARFHAKKVLKFRDAILMSYHRNEVKFAELTLDVYRMLQCLEWEPSGSFYQKHPAEPKENGVAVDYSGASGLIDMNLAADMTDPALPPNPRKAILYRPSLTHLIAVMATICEELPPESIMLVYLSASGKPGQINTSHVETSGGSRRTRKSMVTSHSSLEQNCSATESHINGVKGPSDYYNDYLWLGPKGNGGR >KJB44941 pep chromosome:Graimondii2_0_v6:7:48090551:48091231:1 gene:B456_007G280900 transcript:KJB44941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFFQYPNLHFSSESSSSHISQENFSPRSSFRYAQNQALPFNENDSQEMLLLGVLNQAPEAHSFDTTSVTSFRDDHEVNSEPVEEKTAKEISYRGVRKRPWGKYAAEIRDSTRNGVRVWLGTFDTAESAALAYDQAALAMRGPMAILNFPMDKVYESLQEMNYGFEEGCSPVLTMKKKYSMKTRRSGKKKMMKKDEKENRLVLEDLGADYLEELLCLSETATPYW >KJB38959 pep chromosome:Graimondii2_0_v6:7:39255341:39271064:1 gene:B456_007G249800 transcript:KJB38959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIELEPRVKPLSYKVKATSRESPSQKASHVLDTDLRTHWSTATNTKEWILLELDEPCLLSHIRIYNKSVLEWEIAVGLCYKPETFVKVRPRCEAPRRDMMYPMNYTPCRYVRISCLRGNPIAIFFIQLIGISVTGLEPEFQPVVNHLLPYIMSNKQDAHDMYLQLLQDMTDRLHVFLPHLEADFASFSDGSDSNLSFLAMLAGPFYPILNIVNERDTARSSGNIADSEVPRNTQSLSSLTVSSNFEPRRSRNTSSFVLSTSSSVVFRPEAIFLLLRKAYKDYNLGTVCRMACRMLQKLIEPVMTADESNSSTEVTSVLDESSKSELLNPLPMSDYSKLFGEEFRLIDDQWDTRILNVLDVGAVEEGILHVLYACASQPQLCSKLADSTSELWSALPLVQALLPALRPVVSSPSDHVDDTFSLWKQPFVQQALSQVALALHLNIVVTASSSLYHPLLQACAGYLSSYSPSHAKAACVLIDLCCGVLAPWITQVIAKVDLTVELMEDLLGIIQGARHSTAHARARARAALKYIVLGLSGHMDDILGKYKEVKHDILFLVEMLEPFLDPAIYTSTSKIAFGDVSFAFMEKQEQACLIALNIIHTATRKPAVLPSLESEWRSQSVAPSVLLSILEPRIQLPPEIDMCKSSISKDVEHESSSVSSVHHSDSDGKIDVSDSATKMDVLEDVSLLFAPSELRSINLTNVCSSPKENVLEFNQAKLEQKDIEKNNSTQFQNSLVLDSGFTAEYYNLQADYFQLMNFRDCELKASEFQRLASDLHSQPEISIESHDAAIDALLLAAECYVNPFFVISLKASSNIMNPSLSGVKIPKVFEISELRKIPTKTNSSLQTIAHLEKNRDKVVLKVLLEAAELDRKYHQKFSDGDDCQSYYAESDEQVIEMSHFDIQAVDAVTLVRQNQALLCNFLIKRLQGEQHSLHEILIHCLLFLLHSATKLYCTPTHVIDVILKSASHLNGMLTSLYCQLKEGKCQLNPEKVHGIQRRWILLQRLVIASSGGGVASDFAVNINNGFRHGNLIPPSAWMQKISTFSHSTSPLVRFLGWMAVSRNAKQFIEERLFLTSEMSELTYLLSIFADELAVVDKCVYRNHEDRKVQNSGGKQESPTSNGIELADGQHGEQSFRVIYPDLYKFFPNMKKQFEAFGEIIVEAVGLQLKSLPTAVVPDILCWFSDLCSWPFVQKDQATFQSSNHLKGYVAKNAKAIILYILEAIVVEHMEALVPEIPRVVQVLVSLCRASYCDVSFLDSVLHLLKPIITYSLHKVSDEEQLLVGDSCHNFESLCFDELFSNIRQKNENEDSSIEKVFSRALTFFILASVFSDLSFQRRREILQSLTSWADFTAFEPTTTFHDYLCAFNGVMGSCKVFLLQNLRAYNFIPLQLPGSSDSRTLGESGSESFSWFLNDILPCSSLNETSEKVESNNTDAAVLNEKDYHLSEEEIKEFTKDLEGLIPKLYPTIEQCWSLHLQLAKKLAITLARCFIYSRCLSSVAPGIHNAEGDISEKSLASTSIDQLPAQWKTGLEGLAGMILLLQENTCWQVASVMLDCLLGVPLSFPLNDVIDPICTALKNFCCKAPKISWRLQTDKWLSILSFRGFQNLHESEIAPLVNLLVTMLGHPEPEQRFIVLQHLGRLVGQDVDGGKSMQSSNFCSKIVSPGLIHSIPEKILSLLVSSTWGQVAVLASSDVSLPLRACAMALLVDFIPFVDRPQLQSFLAAADTLLYGLGRLVYPICEGPLLKLSLALIISACLYSPAEDISLIPQKVWENIETLGFSKAEYRLPDLEKKACQVLCRLRNEGDDAKEVLKEVLSSSCTKQFDPEFGSTRESVLQVLANLTSVQSYFDIFAKKMDEEAMELEEAEMELDLIRKEPALQESLKDSEGRQLPHLATPVRDENRLQQIKECIHSLEKNKIQEDIVARRQQKLLMRHARRKYLEEAALRESELLQELDRERTAEAEKEIERQRLLELERAKTRELRHNLDMEKERQTQRELQRELEQAESGLRSSRRDFPSSHSRPRERYRERENGRSSNEGGTRTSSSLQSETASMAAMPMVLSGSRSFSGQPPTILQSRDRTDECSSSYEENLDGSKDSGDTGSVGDPELVSSFDGGQPGGFGPSQRHGSRGSKSRQVLERRDRDGRRESKWERKHS >KJB38960 pep chromosome:Graimondii2_0_v6:7:39261441:39271064:1 gene:B456_007G249800 transcript:KJB38960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLTVELMEDLLGIIQGARHSTAHARARARAALKYIVLGLSGHMDDILGKYKEVKHDILFLVEMLEPFLDPAIYTSTSKIAFGDVSFAFMEKQEQACLIALNIIHTATRKPAVLPSLESEWRSQSVAPSVLLSILEPRIQLPPEIDMCKSSISKDVEHESSSVSSVHHSDSDGKIDVSDSATKMDVLEDVSLLFAPSELRSINLTNVCSSPKENVLEFNQAKLEQKDIEKNNSTQFQNSLVLDSGFTAEYYNLQADYFQLMNFRDCELKASEFQRLASDLHSQPEISIESHDAAIDALLLAAECYVNPFFVISLKASSNIMNPSLSGVKIPKVFEISELRKIPTKTNSSLQTIAHLEKNRDKVVLKVLLEAAELDRKYHQKFSDGDDCQSYYAESDEQVIEMSHFDIQAVDAVTLVRQNQALLCNFLIKRLQGEQHSLHEILIHCLLFLLHSATKLYCTPTHVIDVILKSASHLNGMLTSLYCQLKEGKCQLNPEKVHGIQRRWILLQRLVIASSGGGVASDFAVNINNGFRHGNLIPPSAWMQKISTFSHSTSPLVRFLGWMAVSRNAKQFIEERLFLTSEMSELTYLLSIFADELAVVDKCVYRNHEDRKVQNSGGKQESPTSNGIELADGQHGEQSFRVIYPDLYKFFPNMKKQFEAFGEIIVEAVGLQLKSLPTAVVPDILCWFSDLCSWPFVQKDQATFQSSNHLKGYVAKNAKAIILYILEAIVVEHMEALVPEIPRVVQVLVSLCRASYCDVSFLDSVLHLLKPIITYSLHKVSDEEQLLVGDSCHNFESLCFDELFSNIRQKNENEDSSIEKVFSRALTFFILASVFSDLSFQRRREILQSLTSWADFTAFEPTTTFHDYLCAFNGVMGSCKVFLLQNLRAYNFIPLQLPGSSDSRTLGESGSESFSWFLNDILPCSSLNETSEKVESNNTDAAVLNEKDYHLSEEEIKEFTKDLEGLIPKLYPTIEQCWSLHLQLAKKLAITLARCFIYSRCLSSVAPGIHNAEGDISEKSLASTSIDQLPAQWKTGLEGLAGMILLLQENTCWQVASVMLDCLLGVPLSFPLNDVIDPICTALKNFCCKAPKISWRLQTDKWLSILSFRGFQNLHESEIAPLVNLLVTMLGHPEPEQRFIVLQHLGRLVGQDVDGGKSMQSSNFCSKIVSPGLIHSIPEKILSLLVSSTWGQVAVLASSDVSLPLRACAMALLVDFIPFVDRPQLQSFLAAADTLLYGLGRLVYPICEGPLLKLSLALIISACLYSPAEDISLIPQKVWENIETLGFSKAEYRLPDLEKKACQVLCRLRNEGDDAKEVLKEVLSSSCTKQFDPEFGSTRESVLQVLANLTSVQSYFDIFAKKMDEEAMELEEAEMELDLIRKEPALQESLKDSEGRQLPHLATPVRDENRLQQIKECIHSLEKNKIQEDIVARRQQKLLMRHARRKYLEEAALRESELLQELDRERTAEAEKEIERQRLLELERAKTRELRHNLDMEKERQTQRELQRELEQAESGLRSSRRDFPSSHSSRPRERYRERENGRSSNEGGTRTSSSLQSETASMAAMPMVLSGSRSFSGQPPTILQSRDRTDECSSSYEENLDGSKDSGDTGSVGDPELVSSFDGGQPGGFGPSQRHGSRGSKSRQVLERRDRDGRRESKWERKHS >KJB38958 pep chromosome:Graimondii2_0_v6:7:39255341:39268468:1 gene:B456_007G249800 transcript:KJB38958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIELEPRVKPLSYKVKATSRESPSQKASHVLDTDLRTHWSTATNTKEWILLELDEPCLLSHIRIYNKSVLEWEIAVGLCYKPETFVKVRPRCEAPRRDMMYPMNYTPCRYVRISCLRGNPIAIFFIQLIGISVTGLEPEFQPVVNHLLPYIMSNKQDAHDMYLQLLQDMTDRLHVFLPHLEADFASFSDGSDSNLSFLAMLAGPFYPILNIVNERDTARSSGNIADSEVPRNTQSLSSLTVSSNFEPRRSRNTSSFVLSTSSSVVFRPEAIFLLLRKAYKDYNLGTVCRMACRMLQKLIEPVMTADESNSSTEVTSVLDESSKSELLNPLPMSDYSKLFGEEFRLIDDQWDTRILNVLDVGAVEEGILHVLYACASQPQLCSKLADSTSELWSALPLVQALLPALRPVVSSPSDHVDDTFSLWKQPFVQQALSQVALALHLNIVVTASSSLYHPLLQACAGYLSSYSPSHAKAACVLIDLCCGVLAPWITQVIAKVDLTVELMEDLLGIIQGARHSTAHARARARAALKYIVLGLSGHMDDILGKYKEVKHDILFLVEMLEPFLDPAIYTSTSKIAFGDVSFAFMEKQEQACLIALNIIHTATRKPAVLPSLESEWRSQSVAPSVLLSILEPRIQLPPEIDMCKSSISKDVEHESSSVSSVHHSDSDGKIDVSDSATKMDVLEDVSLLFAPSELRSINLTNVCSSPKENVLEFNQAKLEQKDIEKNNSTQFQNSLVLDSGFTAEYYNLQADYFQLMNFRDCELKASEFQRLASDLHSQPEISIESHDAAIDALLLAAECYVNPFFVISLKASSNIMNPSLSGVKIPKVFEISELRKIPTKTNSSLQTIAHLEKNRDKVVLKVLLEAAELDRKYHQKFSDGDDCQSYYAESDEQVIEMSHFDIQAVDAVTLVRQNQALLCNFLIKRLQGEQHSLHEILIHCLLFLLHSATKLYCTPTHVIDVILKSASHLNGMLTSLYCQLKEGKCQLNPEKVHGIQRRWILLQRLVIASSGGGVASDFAVNINNGFRHGNLIPPSAWMQKISTFSHSTSPLVRFLGWMAVSRNAKQFIEERLFLTSEMSELTYLLSIFADELAVVDKCVYRNHEDRKVQNSGGKQESPTSNGIELADGQHGEQSFRVIYPDLYKFFPNMKKQFEAFGEIIVEAVGLQLKSLPTAVVPDILCWFSDLCSWPFVQKDQATFQSSNHLKGYVAKNAKAIILYILEAIVVEHMEALVPEIPRVVQVLVSLCRASYCDVSFLDSVLHLLKPIITYSLHKVSDEEQLLVGDSCHNFESLCFDELFSNIRQKNENEDSSIEKVFSRALTFFILASVFSDLSFQRRREILQSLTSWADFTAFEPTTTFHDYLCAFNGVMGSCKVFLLQNLRAYNFIPLQLPGSSDSRTLGESGSESFSWFLNDILPCSSLNETSEKVESNNTDAAVLNEKDYHLSEEEIKEFTKDLEGLIPKLYPTIEQCWSLHLQLAKKLAITLARCFIYSRCLSSVAPGIHNAEGDISEKSLASTSIDQLPAQWKTGLEGLAGMILLLQENTCWQVASVMLDCLLGVPLSFPLNDVIDPICTALKNFCCKAPKISWRLQTDKWLSILSFRGFQNLHESEIAPLVNLLVTMLGHPEPEQRFIVLQHLGRLVGQDVDGGKSMQSSNFCSKIVSPGLIHSIPEKILSLLVSSTWGQVAVLASSDVSLPLRACAMALLVDFIPFVDRPQLQSFLAAADTLLYGLGRLVYPICEGPLLKLSLALIISACLYSPAEDISLIPQKVWENIETLGFSKAEYRLPDLEKKACQVLCRLRNEGDDAKEVLKEVLSSSCTKQFDPEFGSTRESVLQVLANLTSVQSYFDIFAKKMDEEAMELEEAEMELDLIRKEPALQESLKDSEGRQLPHLATPVRDENRLQQIKECIHSL >KJB38957 pep chromosome:Graimondii2_0_v6:7:39255310:39271064:1 gene:B456_007G249800 transcript:KJB38957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIELEPRVKPLSYKVKATSRESPSQKASHVLDTDLRTHWSTATNTKEWILLELDEPCLLSHIRIYNKSVLEWEIAVGLCYKPETFVKVRPRCEAPRRDMMYPMNYTPCRYVRISCLRGNPIAIFFIQLIGISVTGLEPEFQPVVNHLLPYIMSNKQDAHDMYLQLLQDMTDRLHVFLPHLEADFASFSDGSDSNLSFLAMLAGPFYPILNIVNERDTARSSGNIADSEVPRNTQSLSSLTVSSNFEPRRSRNTSSFVLSTSSSVVFRPEAIFLLLRKAYKDYNLGTVCRMACRMLQKLIEPVMTADESNSSTEVTSVLDESSKSELLNPLPMSDYSKLFGEEFRLIDDQWDTRILNVLDVGAVEEGILHVLYACASQPQLCSKLADSTSELWSALPLVQALLPALRPVVSSPSDHVDDTFSLWKQPFVQQALSQVALALHLNIVVTASSSLYHPLLQACAGYLSSYSPSHAKAACVLIDLCCGVLAPWITQVIAKVDLTVELMEDLLGIIQGARHSTAHARARARAALKYIVLGLSGHMDDILGKYKEVKHDILFLVEMLEPFLDPAIYTSTSKIAFGDVSFAFMEKQEQACLIALNIIHTATRKPAVLPSLESEWRSQSVAPSVLLSILEPRIQLPPEIDMCKSSISKDVEHESSSVSSVHHSDSDGKIDVSDSATKMDVLEDVSLLFAPSELRSINLTNVCSSPKENVLEFNQAKLEQKDIEKNNSTQFQNSLVLDSGFTAEYYNLQADYFQLMNFRDCELKASEFQRLASDLHSQPEISIESHDAAIDALLLAAECYVNPFFVISLKASSNIMNPSLSGVKIPKVFEISELRKIPTKTNSSLQTIAHLEKNRDKVVLKVLLEAAELDRKYHQKFSDGDDCQSYYAESDEQVIEMSHFDIQAVDAVTLVRQNQALLCNFLIKRLQGEQHSLHEILIHCLLFLLHSATKLYCTPTHVIDVILKSASHLNGMLTSLYCQLKEGKCQLNPEKVHGIQRRWILLQRLVIASSGGGVASDFAVNINNGFRHGNLIPPSAWMQKISTFSHSTSPLVRFLGWMAVSRNAKQFIEERLFLTSEMSELTYLLSIFADELAVVDKCVYRNHEDRKVQNSGGKQESPTSNGIELADGQHGEQSFRVIYPDLYKFFPNMKKQFEAFGEIIVEAVGLQLKSLPTAVVPDILCWFSDLCSWPFVQKDQATFQSSNHLKGYVAKNAKAIILYILEAIVVEHMEALVPEIPRVVQVLVSLCRASYCDVSFLDSVLHLLKPIITYSLHKVSDEEQLLVGDSCHNFESLCFDELFSNIRQKNENEDSSIEKVFSRALTFFILASVFSDLSFQRRREILQSLTSWADFTAFEPTTTFHDYLCAFNGVMGSCKVFLLQNLRAYNFIPLQLPGSSDSRTLGESGSESFSWFLNDILPCSSLNETSEKVESNNTDAAVLNEKDYHLSEEEIKEFTKDLEGLIPKLYPTIEQCWSLHLQLAKKLAITLARCFIYSRCLSSVAPGIHNAEGDISEKSLASTSIDQLPAQWKTGLEGLAGMILLLQENTCWQVASVMLDCLLGVPLSFPLNDVIDPICTALKNFCCKAPKISWRLQTDKWLSILSFRGFQNLHESEIAPLVNLLVTMLGHPEPEQRFIVLQHLGRLVGQDVDGGKSMQSSNFCSKIVSPGLIHSIPEKILSLLVSSTWGQVAVLASSDVSLPLRACAMALLVDFIPFVDRPQLQSFLAAADTLLYGLGRLVYPICEGPLLKLSLALIISACLYSPAEDISLIPQKVWENIETLGFSKAEYRLPDLEKKACQVLCRLRNEGDDAKEVLKEVLSSSCTKQFDPEFGSTRESVLQVLANLTSVQSYFDIFAKKMDEEAMELEEAEMELDLIRKEPALQESLKDSEGRQLPHLATPVRDENRLQQIKECIHSLEKNKIQEDIVARRQQKLLMRHARRKYLEEAALRESELLQELDRERTAEAEKEIERQRLLELERAKTRELRHNLDMEKERQTQRELQRELEQAESGLRSSRRDFPSSHSSRPRERYRERENGRSSNEGGTRTSSSLQSETASMAAMPMVLSGSRSFSGQPPTILQSRDRTDECSSSYEENLDGSKDSGDTGSVGDPELVSSFDGGQPGGFGPSQRHGSRGSKSRQVLERRDRDGRRESKWERKHS >KJB38961 pep chromosome:Graimondii2_0_v6:7:39261570:39271064:1 gene:B456_007G249800 transcript:KJB38961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLLGIIQGARHSTAHARARARAALKYIVLGLSGHMDDILGKYKEVKHDILFLVEMLEPFLDPAIYTSTSKIAFGDVSFAFMEKQEQACLIALNIIHTATRKPAVLPSLESEWRSQSVAPSVLLSILEPRIQLPPEIDMCKSSISKDVEHESSSVSSVHHSDSDGKIDVSDSATKMDVLEDVSLLFAPSELRSINLTNVCSSPKENVLEFNQAKLEQKDIEKNNSTQFQNSLVLDSGFTAEYYNLQADYFQLMNFRDCELKASEFQRLASDLHSQPEISIESHDAAIDALLLAAECYVNPFFVISLKASSNIMNPSLSGVKIPKVFEISELRKIPTKTNSSLQTIAHLEKNRDKVVLKVLLEAAELDRKYHQKFSDGDDCQSYYAESDEQVIEMSHFDIQAVDAVTLVRQNQALLCNFLIKRLQGEQHSLHEILIHCLLFLLHSATKLYCTPTHVIDVILKSASHLNGMLTSLYCQLKEGKCQLNPEKVHGIQRRWILLQRLVIASSGGGVASDFAVNINNGFRHGNLIPPSAWMQKISTFSHSTSPLVRFLGWMAVSRNAKQFIEERLFLTSEMSELTYLLSIFADELAVVDKCVYRNHEDRKVQNSGGKQESPTSNGIELADGQHGEQSFRVIYPDLYKFFPNMKKQFEAFGEIIVEAVGLQLKSLPTAVVPDILCWFSDLCSWPFVQKDQATFQSSNHLKGYVAKNAKAIILYILEAIVVEHMEALVPEIPRVVQVLVSLCRASYCDVSFLDSVLHLLKPIITYSLHKVSDEEQLLVGDSCHNFESLCFDELFSNIRQKNENEDSSIEKVFSRALTFFILASVFSDLSFQRRREILQSLTSWADFTAFEPTTTFHDYLCAFNGVMGSCKVFLLQNLRAYNFIPLQLPGSSDSRTLGESGSESFSWFLNDILPCSSLNETSEKVESNNTDAAVLNEKDYHLSEEEIKEFTKDLEGLIPKLYPTIEQCWSLHLQLAKKLAITLARCFIYSRCLSSVAPGIHNAEGDISEKSLASTSIDQLPAQWKTGLEGLAGMILLLQENTCWQVASVMLDCLLGVPLSFPLNDVIDPICTALKNFCCKAPKISWRLQTDKWLSILSFRGFQNLHESEIAPLVNLLVTMLGHPEPEQRFIVLQHLGRLVGQDVDGGKSMQSSNFCSKIVSPGLIHSIPEKILSLLVSSTWGQVAVLASSDVSLPLRACAMALLVDFIPFVDRPQLQSFLAAADTLLYGLGRLVYPICEGPLLKLSLALIISACLYSPAEDISLIPQKVWENIETLGFSKAEYRLPDLEKKACQVLCRLRNEGDDAKEVLKEVLSSSCTKQFDPEFGSTRESVLQVLANLTSVQSYFDIFAKKMDEEAMELEEAEMELDLIRKEPALQESLKDSEGRQLPHLATPVRDENRLQQIKECIHSLEKNKIQEDIVARRQQKLLMRHARRKYLEEAALRESELLQELDRERTAEAEKEIERQRLLELERAKTRELRHNLDMEKERQTQRELQRELEQAESGLRSSRRDFPSSHSSRPRERYRERENGRSSNEGGTRTSSSLQSETASMAAMPMVLSGSRSFSGQPPTILQSRDRTDECSSSYEENLDGSKDSGDTGSVGDPELVSSFDGGQPGGFGPSQRHGSRGSKSRQVLERRDRDGRRESKWERKHS >KJB43773 pep chromosome:Graimondii2_0_v6:7:23713751:23716147:-1 gene:B456_007G215000 transcript:KJB43773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQGNGSNSFPFRNFYSNGDLGSDHRNVVPDALVHDGDEHTSMRWIGQPNYSLDTQNHVGFSQFLGNDFGLSPLGDQVGTNQRSEPTFNPFFENNSGVTVNGHTSSENGSIGSSLFLGREAGSGLLQLQRNLDLNDVVHEGSGVDAGQGNGPYLSLDLFRAGTRTTEGDHDHIQTFDGSSSSPVMISSGIAGYILEENINSDGLPVNGQTRLLCKRKAPEYATGGSASSARQAANSHQLGTNIAQHNVRNCSSAVNPFNRIHSGHGAALPTPSNFYQVPTEARQADNFQRNTRLRRTASQPIPTSANLAPMPVLANPAMQQPTVQVSNSLQAPQPSQYWNGTTVSWIVPSISILRQEDNLRNNRRHMMMSLANMQENLNLANENSNFIENVASSSRIQFGPGMHLSCSSIRSPHPNMVEQYRQSVRDIANPSEFWWQGNHYPIHFGVFLAVQDMDIPERGGNPRPTQVPLRLGPRAERQVGDNSGLSSTVLSQTAAQSSRLVSEVRNALGLVGGHGGLRLEDVMVIDGSCLYGIPEVPNFLEHMRLDELLDLEEQVGNVCIGLSEEAILGNLRRRKYQSLTMGPLIETEPCCICQEDYANGEELGLLDCGHDFHFNCIKQWLVEKNSCPICRKTALAI >KJB39061 pep chromosome:Graimondii2_0_v6:7:53990671:53990898:1 gene:B456_007G322200 transcript:KJB39061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLACCFVLGCRRHPRSPRMGSQFLNGFSLVPSQTGVGLSYPPWLGSIYIFFFFSFSVYDIFPCFLT >KJB41994 pep chromosome:Graimondii2_0_v6:7:10662606:10664944:1 gene:B456_007G131700 transcript:KJB41994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTMKMETPRCWWFDTPQNGSKRSPWLQSTISELDHKTKAMLKLIEEDADSFAQRAEMYYKKRPELICLVEDLYRAQRSLAERYDQVKTDPGTRLLTTSVSPFSSMKTINVMDKTYDSYSESFGSYAASEVDDPEHETEGEAAKGDGTLLDEQVMDEVSNEVSEDELTKLREEIERLKEENEIQKAQLMQKDEEKMEVIRQLSLAVQVLKDEKVDLKKCIMKQTTTKKWSPFEFSSASFIAL >KJB40586 pep chromosome:Graimondii2_0_v6:7:4950844:4954540:-1 gene:B456_007G070200 transcript:KJB40586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGKCCRDKVKMSAKFMYPLSDENPDLQKQIGCMNGLFHHFLGSRRFATPSHKCLPPGSIGKHGTEAKIASQRIKENNLKKTAKENQRLSFESPRTSSSSYSSADCTKASRLDQHRSSSSQTSFPEIPKQEISNEQSTVSLQSCQHSVNLRNVVKDCIYREARGLSVKTGMKADAGQHQTLKYIDSPRPSQSPKPAMTRKINLEVPRTANGRNDGSLTFVAKDARRFSYDGRGSHDAVKVKLKDQPRLSLDSREISMKRSTNHMNCNEMRSQQQEPGSGKGPSSIVAKLMGLEILKDDLPSFSLRIDENSKNRVSCSPRNSKMEPSSPDLTNADSKKSIATRCSNEPAPWKHMDGSIVQTSASKCRETLPKSPNSSLTVYGEIEKRLAELEFQKSGKDLRALKQILEAMQKSKQMVGTRKDDQASIFVSQANSVLGHSSEAPNLRKLQSSNSVSAIVKGTSSPTRLKSAVKITKPANCIENASNSSSSVVATSSLSRIRTRSSPAEVGNEKVDKRPYKELTLRPNSPKHPSGRPQPSTTPRTLTLNQTSKELSPIAGENPKLAMSSETTCLKKKLELEKKPRRTRPASEQSRSRRQSSRIQAESGLPHWMSRDKSHDLQRRDDQTSDISSDMTDLSHQGDACSMQFKSNSSAATYGDIEVTSMNRSHNGSVSQKQEKNHKISTTEPPNTIMEQPSPVSVLDATFYGDESPSPVKKKSNPFEDYEGLIADEAEWSLNHKQLTFDEVNEIRVRKLVKESSETDKPCVVEDRKPKRQDVVRDSCLDIDKLQTVSSTRLDDEINSVQSILMRDLKVGAMDWSKCKSEIPWVVLDVERLIFKDLICEIISGEAANYRRLFVK >KJB40972 pep chromosome:Graimondii2_0_v6:7:6113679:6116742:-1 gene:B456_007G085600 transcript:KJB40972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFAWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLSEIDRYASDNVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFLETSAKDATNVEQAFMAMAASVKDRMASQPTANNAKPPTVQIRGQPVAQQSGCCSS >KJB40967 pep chromosome:Graimondii2_0_v6:7:6113627:6116753:-1 gene:B456_007G085600 transcript:KJB40967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYVESYISTIGVDFKIRTVEQDGKSIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLSEIDRYASDNVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFLETSAKDATNVEQAFMAMAASVKDRMASQPTANNAKPPTVQIRGQPVAQQSGCCSS >KJB40968 pep chromosome:Graimondii2_0_v6:7:6114157:6116487:-1 gene:B456_007G085600 transcript:KJB40968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYVESYISTIGVDFKIRTVEQDGKSIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLSEIDRYASDNVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFLETSAKDATNVEQAFMAMAASVKDRYPYAISFN >KJB40971 pep chromosome:Graimondii2_0_v6:7:6113679:6116742:-1 gene:B456_007G085600 transcript:KJB40971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYVESYISTIGVDFKIRTVEQDGKSIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLSEIDRYASDNVNKLLVGNKCDLTANKVVSYETAKVFANEIGIPFLETSAKDATNVEQAFMAMAASVKDRMASQPTANNAKPPTVQIRGQPVAQQSGCCSS >KJB40969 pep chromosome:Graimondii2_0_v6:7:6113679:6116742:-1 gene:B456_007G085600 transcript:KJB40969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYVESYISTIGVDFKIRTVEQDGKSIKLQIWDTAGQERFRTITSSYYRGAHGIIWLSEIDRYASDNVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFLETSAKDATNVEQAFMAMAASVKDRMASQPTANNAKPPTVQIRGQPVAQQSGCCSS >KJB40970 pep chromosome:Graimondii2_0_v6:7:6114366:6116487:-1 gene:B456_007G085600 transcript:KJB40970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYVESYISTIGVDFKIRTVEQDGKSIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLSEIDRYASDNVNKLLVGNKCDLTANKVVSYETAKVFASLFLGRVV >KJB39246 pep chromosome:Graimondii2_0_v6:7:299161:302987:-1 gene:B456_007G003600 transcript:KJB39246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQNFLSSSLTYNLPKKMSSSAFLHLSTSIIRARNPLFLPFSSPPALSLRFHRYTSSTAALDTLNANTVAAEPQSHPWPEWVTFIDRLKCKGYFVEANNTVTSGAGNDYKDMNFVKDACISFARDRFDLFKLLSTDDIETVVGSGCPNVLRKSVNSAKRLRAHVHLDEGEVCGACNLRGSCDRAYVILKESEAAARTVDIVRILLSYALDPLVISEREKPPGREHIDASARNLLSDLTKLSETSCDAEIPKLAAKARPRKEKQISGNDDAEFKNVEMKRGDWMCPKCNFLNFSRNLQCLKCKEGGPKNVRGEEIEMKKGDWLCSECSFMNFSRNTRCLKCKAEGPKRVATDDVQMKKGDWNCPGCGFMNFASNRKCLRCKVARPKRQLNPGEWECPSCDFLNYRRNQVCLKCKHERPEEATNEYDEHTWKRPQRS >KJB39248 pep chromosome:Graimondii2_0_v6:7:300365:302987:-1 gene:B456_007G003600 transcript:KJB39248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQNFLSSSLTYNLPKKMSSSAFLHLSTSIIRARNPLFLPFSSPPALSLRFHRYTSSTAALDTLNANTVAAEPQSHPWPEWVTFIDRLKCKGYFVEANNTVTSGAGNDYKDMNFVKDACISFARDRFDLFKLLSTDDIETVVGSGCPNVLRKSVNSAKRLRAHVHLDEGEVCGACNLRGSCDRAYVILKESEAAARTVDIVRILLSYALDPLVISEREKPPGREHIDASARNLLSDLTKLSETSCDAEIPKLAAKARPRKEKQISGNDDAEFKNVEMKRGDWMCPKCNFLNFSRNLQCLKCKEGGPKNVRGEEIEMKKGDWLCSECSFMNFSRNTRCLKCKAEGPKRVATDDVQMKKGDWNCPG >KJB39245 pep chromosome:Graimondii2_0_v6:7:299480:302911:-1 gene:B456_007G003600 transcript:KJB39245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQNFLSSSLTYNLPKKMSSSAFLHLSTSIIRARNPLFLPFSSPPALSLRFHRYTSSTAALDTLNANTVAAEPQSHPWPEWVTFIDRLKCKGYFVEANNTVTSGAGNDYKDMNFVKDACISFARDRFDLFKLLSTDDIETVVGSGCPNVLRKSVNSAKRLRAHVHLDEGEVCGACNLRGSCDRAYVILKESEAAARTVDIVRILLSYALDPLVISEREKPPGREHIDASARNLLSDLTKLSETSCDAEIPKLAAKARPRKEKQISGNDDAEFKNVEMKRGDWMCPKCNFLNFSRNLQCLKCKEGGPKNVRGEEIEMKKGDWLCSDFMNFSRNTRCLKCKAEGPKRVATDDVQMKKGDWNCPGCGFMNFASNRKCLRCKVARPKRQLNPGEWECPSCDFLNYRRNQVCLKCKHERPEEATNEYDEHTWKRPQRS >KJB39247 pep chromosome:Graimondii2_0_v6:7:299161:302987:-1 gene:B456_007G003600 transcript:KJB39247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQNFLSSSLTYNLPKKMSSSAFLHLSTSIIRARNPLFLPFSSPPALSLRFHRYTSSTAALDTLNANTVAAEPQSHPWPEWVTFIDRLKCKGYFVEANNTVTSGAGNDYKDMNFVKDACISFARDRFDLFKLLSTDDIETVVGSGCPNVLRKSVNSAKRLRAHVHLDEGEVCGACNLRGSCDRAYVILKESEAAARTVDIVRILLSYALDPLVISEREKPPGREHIDASARNLLSDLTKLSETSCDAEIPKLAAKARPRKEKQISGNDDAEFKNVEMKRGDWMCPKCNFLNFSRNLQCLKCKEGGPKNVRGEEIEMKKGDWLCSDNRKCLRCKVARPKRQLNPGEWECPSCDFLNYRRNQVCLKCKHERPEEATNEYDEHTWKRPQRS >KJB39244 pep chromosome:Graimondii2_0_v6:7:297949:303015:-1 gene:B456_007G003600 transcript:KJB39244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQNFLSSSLTYNLPKKMSSSAFLHLSTSIIRARNPLFLPFSSPPALSLRFHRYTSSTAALDTLNANTVAAEPQSHPWPEWVTFIDRLKCKGYFVEANNTVTSGAGNDYKDMNFVKDACISFARDRFDLFKLLSTDDIETVVGSGCPNVLRKSVNSAKRLRAHVHLDEGEVCGACNLRGSCDRAYVILKESEAAARTVDIVRILLSYALDPLVISEREKPPGREHIDASARNLLSDLTKLSETSCDAEIPKLAAKARPRKEKQISGNDDAEFKNVEMKRGDWMCPKCNFLNFSRNLQCLKCKEGGPKNVRGEEIEMKKGDWLCSECSFMNFSRNTRCLKCKAEGPKRVATDDVQMKKGDWNCPGCGFMNFASNRKCLRCKVARPKRQLNPGEWECPSCDFLNYRRNQVCLKCKHERPEEATNEYDEHTWKRPQRS >KJB43278 pep chromosome:Graimondii2_0_v6:7:18733010:18736401:1 gene:B456_007G191400 transcript:KJB43278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELSSYSCVLLLVVLALSAGSCYGFGTFGFDIHHRYSDPVKQILAVDELPAKGSPEFYSAMVHRDKIIKGRRLATANDQTPVTFLDGNETYRLDDLGFLHYANVSIGTPAVSFLVALDTGSDLFWLPCDCSKCVRGLKTSDNQMIEFNIYSLNSSNTSSKVPCSSALCEQQKQCSSPQSNCPYEVLYLSNGTSSTGVLVEDVLHLTTDEDKTKAVEAKITFGCGQTQTGSFLNGAAPNGLFGLGMDNVSVPSILANENLASNSFSMCFGVDGVGRITFGDRGSSGQGETPFNLRQSHPTYNVSITQVNVGGNTADLDFNAIFDSGTSFTYLNDPAYTLISENFNNFATEKRHTSNSSGLPFEYCYDLSANQTSFNYPIVNLTMKGGDYFFVNDPIIVISLQGGDVYCLGIVKSDNVNIIGQNFMTGYRIVFDRERMVLGWKASDCYDIEASNTLPVNPPTAVPPAIAVNPEATSGNANNTNISGASPSITSPSRHLKTLFYALTFALIPFFALI >KJB43355 pep chromosome:Graimondii2_0_v6:7:19403363:19406678:1 gene:B456_007G195800 transcript:KJB43355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGEIKIFLGKDMAAEEALDLSTLQSQLSETHEMWNQEMEKHQCQVDFLQDKIMEVKACLQGPEEESKEVDVLWRRVKTTATLLAYLKSKARVMAVPDLAHKSCGIKLLEGVGLVDKEGTPLSGWSRSADLASFDCVDEETWTGISRQQDSLDEQDGAYIGELIKSVQMVTDVMEVLVKRVIMAESETAMEKEKVTLGQEEIKRKAFQIENMSMKLEEMESFALGTNNILNEMRQRVEDLVEETSRQRQRAAENEQELSRVKQDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLEGEKKQKEDEVQKLMEENVRLTALLDKKEAQLLAMNEQCKVMALSSSNI >KJB43354 pep chromosome:Graimondii2_0_v6:7:19402882:19406678:1 gene:B456_007G195800 transcript:KJB43354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECMRLGYPPFDQRAYECRVQLTPLTYKGHMRKTDFPQKRKTKLFFWNEDDQRYQKHFPSLLELSSAVNSTIFLSFSLKLFAMPKGEIKIFLGKDMAAEEALDLSTLQSQLSETHEMWNQEMEKHQCQVDFLQDKIMEVKACLQGPEEESKEVDVLWRRVKTTATLLAYLKSKARVMAVPDLAHKSCGIKLLEGVGLVDKEGTPLSGWSRSADLASFDCVDEETWTGISRQQDSLDEQDGAYIGELIKSVQMVTDVMEVLVKRVIMAESETAMEKEKVTLGQEEIKRKAFQIENMSMKLEEMESFALGTNNILNEMRQRVEDLVEETSRQRQRAAENEQELSRVKQDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLEGEKKQKEDEVQKLMEENVRLTALLDKKEAQLLAMNEQCKVMALSSSNI >KJB43955 pep chromosome:Graimondii2_0_v6:7:27135331:27136798:1 gene:B456_007G225900 transcript:KJB43955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFGLTSYGFYRVYHFPAIAQKRNRVLKLLGALVSITEAVSDCAETIRVVSNDLNGFLQSELDQIPNSLKQVSKITRSNEFSQSIARVTQGLTVGILRGYQSEARSGDTLNAKSSSMDQVMDKLFTEDGAGFASAVVGSFARNLVMAACKELQSEGDSNSNSTPFWLNLVCGDEFRELIGDCIQVFVSSMVGVYLEKTKDVNTFDEFFAGLTNPNHATQLRNLLVTTSNGAMEALVKTSHQVLTNSNGSKDSPDDVNDDADDDDGWVRKVSSTLSEPRNKKFVVDMSGRIAFETVRAFLEALLDIVCQGMKKCVKVAHEAVVEGGLEIVRYVSAKSSVVATICLSLCLHILGGVWILVPAQVVSPSHVIL >KJB40511 pep chromosome:Graimondii2_0_v6:7:4745172:4746602:-1 gene:B456_007G067200 transcript:KJB40511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYSLCCVLFLALAIVALYVSPAVSTSRRGFERRNEVEDGFRVMLKHVDSGKNLTKWERIQRGIKRGQRRLQRLSVMFSTAISSSSVVKAPVAAGNGEFLMYLSIGTPPKSYSAVMDTGSDLIWTQCKPCSQCFKQSTPIFDPKLSSTFSKLSCSSHLCAALPEQTCGDGCEYVYSYGDYSSTQGVMATETFTFGKVSVPNIGFGCGEENDGEGFSQGAGLVGLGRGPLSLVSQLKEPKFSYCLTPFDETQKSTLLMGSIASVNRTLGAIKTTPLIHNPLQPSFYYLSLQGITVGGTRLPFNESTFAFQDDGSGGLIIDSGTTITYLEESVFNVLSKRFIKQMKLPVDNSGSTGLELCFILPSDASEVKVPKLIFHFDGADLDLPGENYVIADSNMGLICLAMGRSNGISILGNIQQQNMLVLHDLKKKTLSFVQTQCHKL >KJB40762 pep chromosome:Graimondii2_0_v6:7:5402783:5406756:-1 gene:B456_007G076400 transcript:KJB40762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYSLLSTLSSPFSRSPNRTPKLPPLSLTSTSKPSFLFPTRKLSLPSLHSLIFNQSKNSSNNNSSNNYIVSSAFDSFFILCTSLALSFSLFVTDVGAASAFVVTPPRKLQSDELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDTDGHIVTNYHVIRGASDLKVTFADQTTYDAKVVGFDQDKDVAVLRVDAPKDKLRPIPIGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGANSGVGFSIPVDTVSGIVDQLVKFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPANGPAGKAGLRPTKRDAYGRLVLGDIITSVNGKKVTNGSDLYRILDQCKVGDKVTVEVLRGDHKEKIPVILEPTPDET >KJB40764 pep chromosome:Graimondii2_0_v6:7:5403284:5406746:-1 gene:B456_007G076400 transcript:KJB40764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYSLLSTLSSPFSRSPNRTPKLPPLSLTSTSKPSFLFPTRKLSLPSLHSLIFNQSKNSSNNNSSNNYIVSSAFDSFFILCTSLALSFSLFVTDVGAASAFVVTPPRKLQSDELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDTDGHIVTNYHVIRGASDLKVTFADQTTYDAKVVGFDQDKDVAVLRVDAPKDKLRPIPIGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGANSGVGFSIPVDTVSGIVDQLVKFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPANGPAGKALTFNI >KJB40766 pep chromosome:Graimondii2_0_v6:7:5403636:5406746:-1 gene:B456_007G076400 transcript:KJB40766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYSLLSTLSSPFSRSPNRTPKLPPLSLTSTSKPSFLFPTRKLSLPSLHSLIFNQSKNSSNNNSSNNYIVSSAFDSFFILCTSLALSFSLFVTDVGAASAFVVTPPRKLQSDELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDTDGHIVTNYHVIRGASDLKVTFADQTTYDAKVVGFDQDKDVAVLRVDAPKDKLRPIPIGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGANSGVGFSIPVDTVSGIVDQLVKFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPANGPAGKAGLRPTKRDAYGRLVLGDIITSVNGKKVTNGSDLYRILDQCKVGDKVYNQILPVYS >KJB40763 pep chromosome:Graimondii2_0_v6:7:5403284:5406746:-1 gene:B456_007G076400 transcript:KJB40763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYSLLSTLSSPFSRSPNRTPKLPPLSLTSTSKPSFLFPTRKLSLPSLHSLIFNQSKNSSNNNSSNNYIVSSAFDSFFILCTSLALSFSLFVTDVGAASAFVVTPPRKLQSDELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDTDGHIVTNYHVIRGASDLKVTFADQTTYDAKVVGFDQDKDVAVLRVDAPKDKLRPIPIGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGANSGVGFSIPVDTVS >KJB40765 pep chromosome:Graimondii2_0_v6:7:5403284:5406758:-1 gene:B456_007G076400 transcript:KJB40765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYSLLSTLSSPFSRSPNRTPKLPPLSLTSTSKPSFLFPTRKLSLPSLHSLIFNQSKNSSNNNSSNNYIVSSAFDSFFILCTSLALSFSLFVTDVGAASAFVVTPPRKLQSDELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDTDGHIVTNYHVIRGASDLKVTFADQTTYDAKVVGFDQDKDVAVLRVDAPKDKLRPIPIGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGANSGVGFSIPVDTVSGIVDQLVKFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPANGPAGKAGLRPTKRDAYGRLVLGDIITSVNGKKVTNGSDLYRILDQCKVGDKVTVEVLRGDHKEKIPVILEPTPDET >KJB45709 pep chromosome:Graimondii2_0_v6:7:54075982:54081941:1 gene:B456_007G322800 transcript:KJB45709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGCICCKPSAFDDNKDCPRDRPSSKASSDVRVPRATSSRREEAYRAKDRHENTMLIDKQVTDSVRLHSENLDRKREKMEYVVVQHPGMGSVPKATQGEQVAAGWPAWLAAVAGEAIQGWLPRRADSFEKLDKIGQGTYSNVYRARDLDQKKFVALKKVRFDNLEPESVRFMAREIHILRRLDHQNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPGLKFSESQVKCYIQQLLCGLDHCHSRGVLHRDIKGSNLLIDNNGILKIADFGLASFYDPHQSQAMTSRVVTLWYRPPELLLGATYYGTAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWSKSKLPHATIFKPQRPYRRCVAETFKEFPAPALALMETLLSIDPAGRGSAASALESEFFTTEPLPCDPSSLPKYPPSKELDAKLRDEEARRRVAGTKCLRPDLDRRATRESRAVPAPDANAELVMSMQKRQSNSKSRSEKFNPHPEEVASGFPIDPPRPSQAIESNADSQGNHPKRASHSGPLAHRAAWAKSGKNLDDAPKISTGADLSMMSGLVAPRTSLASDDCTEKSGFPQSKAPKMIARFPGSFKESSESGIQQDPKNNEQEKEDGRNNNKDPVLLGYGSKGHKIHYSGPLLVPSGNMDQMLKDHDRQIQEAVRRARVDKAKMRKNLLEGNQISTKSLFVSGR >KJB45710 pep chromosome:Graimondii2_0_v6:7:54076110:54081885:1 gene:B456_007G322800 transcript:KJB45710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGCICCKPSAFDDNKDCPRDRPSSKASSDVRVPRATSSRREEAYRAKDRHENTMLIDKQVTDSVRLHSENLDRKREKMEYVVVQHPGMGSVPKATQGEQVAAGWPAWLAAVAGEAIQGWLPRRADSFEKLDKIGQGTYSNVYRARDLDQKKFVALKKVRFDNLEPESVRFMAREIHILRRLDHQNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPGLKFSESQVKCYIQQLLCGLDHCHSRGVLHRDIKGSNLLIDNNGILKIADFGLASFYDPHQSQAMTSRVVTLWYRPPELLLGATYYGTAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWSKSKLPHATIFKPQRPYRRCVAETFKEFPAPALALMETLLSIDPAGRGSAASALESEFFTTEPLPCDPSSLPKYPPSKELDAKLRDEEARRRVAGTKCLRPDLDRRATRESRAVPAPDANAELVMSMQKRQSNSKSRSEKFNPHPEEVASGFPIDPPRPSQAIESNADSQGNHPKRASHSGPLAHRAAWAKSGKNLDDAPKISTGADLSMMSGLVAPRTSLASDDCTEKSGFPQSKAPKMIARFPGSFKESSESGIQQDPKNNEQEKEDGRNNNKDPVLVTWLWFKGTQNSLFWSFISPIRQHGSDAQGS >KJB41235 pep chromosome:Graimondii2_0_v6:7:7078234:7083244:1 gene:B456_007G096100 transcript:KJB41235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLETVASTSYVTSVARTEKPDVDLLPNEMHEMRIRDEKTADPDEKDMEAPVINGNGTETGQIISATIGGRDGKPKQTISYMAERVIGRGSFGVVFQAKCLERGESVAIKKVPQDKRYKNRELQIMRILDHPNVVQLKHCFFSNTDKDELYLNLVLEYVPETVYQVSKHYTKVNYHVPILHVQLYIYQICRALNYLHHVVGVCHRDIKPQNLLVNPHTHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATVYSTAIDMWSVGCVMAELLLGHPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKQFPPAAVDLVSRLLQYSPNIRCTSLEACAHPFFDNLRDPNVCLPNGQALPPLFNFTAKELAGASDELRQRLIPEHART >KJB41237 pep chromosome:Graimondii2_0_v6:7:7078274:7083244:1 gene:B456_007G096100 transcript:KJB41237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLPVSLYSGDTGTKRAKVDQESECKVNEASNFVERSTTDREKHMASSSLETVASTSYVTSVARTEKPDVDLLPNEMHEMRIRDEKTADPDEKDMEAPVINGNGTETGQIISATIGGRDGKPKQTISYMAERVIGRGSFGVVFQAKCLERGESVAIKKVPQDKRYKNRELQIMRILDHPNVVQLKHCFFSNTDKDELYLNLVLEYVPETVYQVSKHYTKVNYHVPILHVQLYIYQICRALNYLHHVVGVCHRDIKPQNLLVNPHTHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATVYSTAIDMWSVGCVMAELLLGHPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKQFPPAAVDLVSRLLQYSPNIRCTSLEACAHPFFDNLRDPNVCLPNGQALPPLFNFTAKELAGASDELRQRLIPEHART >KJB41234 pep chromosome:Graimondii2_0_v6:7:7076952:7083244:1 gene:B456_007G096100 transcript:KJB41234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVMRRLKSIASGRSSSSSDPSGDTGTKRAKVDQESECKVNEASNFVERSTTDREKHMASSSLETVASTSYVTSVARTEKPDVDLLPNEMHEMRIRDEKTADPDEKDMEAPVINGNGTETGQIISATIGGRDGKPKQTISYMAERVIGRGSFGVVFQAKCLERGESVAIKKVPQDKRYKNRELQIMRILDHPNVVQLKHCFFSNTDKDELYLNLVLEYVPETVYQVSKHYTKVNYHVPILHVQLYIYQICRALNYLHHVVGVCHRDIKPQNLLVNPHTHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATVYSTAIDMWSVGCVMAELLLGHPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKQFPPAAVDLVSRLLQYSPNIRCTSLEACAHPFFDNLRDPNVCLPNGQALPPLFNFTAKELAGASDELRQRLIPEHART >KJB41236 pep chromosome:Graimondii2_0_v6:7:7076952:7083244:1 gene:B456_007G096100 transcript:KJB41236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLETVASTSYVTSVARTEKPDVDLLPNEMHEMRIRDEKTADPDEKDMEAPVINGNGTETGQIISATIGGRDGKPKQTISYMAERVIGRGSFGVVFQAKCLERGESVAIKKVPQDKRYKNRELQIMRILDHPNVVQLKHCFFSNTDKDELYLNLVLEYVPETVYQVSKHYTKVNYHVPILHVQLYIYQICRALNYLHHVVGVCHRDIKPQNLLVNPHTHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATVYSTAIDMWSVGCVMAELLLGHPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKQFPPAAVDLVSRLLQYSPNIRCTSLEACAHPFFDNLRDPNVCLPNGQALPPLFNFTAKELAGASDELRQRLIPEHART >KJB44392 pep chromosome:Graimondii2_0_v6:7:39362139:39364255:-1 gene:B456_007G250000 transcript:KJB44392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGREGESSDKGLFSHLAGYAAGHYPPPGSYPPQGYPPQGYPPQGYPPAGYPPPAGYPPHGGYPPAGYPPHGGYPHPPHGGYPPAGYPGHGSHGPGMGGLLAGAGAAAAAVYGAHHLSHGAHHVAHGGYYGHGHGKFKHGKFKHGKFGKRWKHGMFGKHKGKFFGRKWK >KJB44394 pep chromosome:Graimondii2_0_v6:7:39362139:39364363:-1 gene:B456_007G250000 transcript:KJB44394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGREGESSDKGLFSHLAGYAAGHYPPPGSYPPQGYPPQGYPPQGYPPAGYPPPAGYPPHGGYPPAGYPPHGGYPHPPHGGYPPAGYPGHGSHGPGMGGLLAGAGAAAAAVYGAHHLSHGAHHVAHGGYYGHGHGKFKHGKFKHGKFGKRWKHGMFGKHKGKFFGRKWK >KJB44391 pep chromosome:Graimondii2_0_v6:7:39362139:39363897:-1 gene:B456_007G250000 transcript:KJB44391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGREGESSDKGLFSHLAGYAAGHYPPPGSYPPQGYPPQGYPPQGYPPAGYPPPAGYPPHGGYPPAGYPPHGGYPHPPHGGYPPAGYPGHGSHGPGMGGLLAGAGAAAAAVYGAHHLSHGAHHVAHGGYYGHGHGKFKHGKFKHGKFGKRWKHGMFGKHKGKFFGRKWK >KJB44393 pep chromosome:Graimondii2_0_v6:7:39362139:39364361:-1 gene:B456_007G250000 transcript:KJB44393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGREGESSDKGLFSHLAGYAAGHYPPPGSYPPQGYPPQGYPPQGYPPAGYPPPAGYPPHGGYPPAGYPPHGGYPHPPHGGYPPAGYPGHGSHGPGMGGLLAGAGAAAAAVYGAHHLSHGAHHVAHGGYYGHGHGKFKHGKFKHGKFGKRWKHGMFGKHKGKFFGRKWK >KJB38893 pep chromosome:Graimondii2_0_v6:7:53833391:53834554:1 gene:B456_007G3219001 transcript:KJB38893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YSDSMVANERYVVRVPDGMPLDSAAPLLCAGITVYSPLKYFGLGEPGKHIGIVGLGGLGHVAVKFAKALGSKVTVISTSPSKKAEALEHLGADSFLVSRDQDELQAAMGTFDGIIDTVSATHPIMPLLSLLKSHGKLIMVGAPCEPLEVPAFSLIIGRKTTAGSCIGGMKETQEMIDFAAKHNIKADIEVISMDYVNKAMERLEQADVRYRFVIDIGNTLAATKPSS >KJB38892 pep chromosome:Graimondii2_0_v6:7:53833431:53834557:1 gene:B456_007G3219001 transcript:KJB38892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANERYVVRVPDGMPLDSAAPLLCAGITVYSPLKYFGLGEPGKHIGIVGLGGLGHVAVKFAKALGSKVTVISTSPSKKAEALEHLGADSFLVSRDQDELQAAMGTFDGIIDTVSATHPIMPLLSLLKSHGKLIMVGAPCEPLEVPAFSLIIGRKTTAGSCIGGMKETQEMIDFAAKHNIKADIEVISMDYVNKAMERLEQADVRYRFVIDIGNTLAATKPSS >KJB38891 pep chromosome:Graimondii2_0_v6:7:53833465:53834320:1 gene:B456_007G3219001 transcript:KJB38891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANERYVVRVPDGMPLDSAAPLLCAGITVYSPLKYFGLGEPGKHIGIVGLGGLGHVAVKFAKALGSKVTVISTSPSKKAEALEHLGADSFLVSRDQDELQAAMGTFDGIIDTVSATHPIMPLLSLLKSHGKLIMVGAPCEPLEVPAFSLIIGRKTTAGSCIGGMKETQEMIDFAAKHNIKADIEVISMDYVNKAMERLEQADVRYRFVIDIGNTLAATKPSS >KJB44497 pep chromosome:Graimondii2_0_v6:7:41928095:41930171:-1 gene:B456_007G257900 transcript:KJB44497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRRGKWRHQRRFSRKPLQSIYKFLIIILIIFQKRELIFENPKQKEEIEVRCATASAPPPAMKFNIANLSTGCQKKLEIDDDQKLCDVNLLLATSCTRTIQTREGSIVKALDFNAAVASRDALAKTVYARLFDWLVDKINISVGQDPNSHVQIGVLDIYGFECFKHNR >KJB44493 pep chromosome:Graimondii2_0_v6:7:41928095:41928507:-1 gene:B456_007G257900 transcript:KJB44493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNCSFGRCDVNLLLATSCTRTIQTREGSIVKALDFNAAVASRDALAKTVYARLFDWLVDKINISVGQDPNSHVQIGVLDIYGFECFKHNR >KJB44496 pep chromosome:Graimondii2_0_v6:7:41928095:41930121:-1 gene:B456_007G257900 transcript:KJB44496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANLSTGCQKKLEIDDDQKLGMQMWNLFSTAWPCSNTFFYAHRCDVNLLLATSCTRTIQTREGSIVKALDFNAAVASRDALAKTVYARLFDWLVDKINISVGQDPNSHVQIGVLDIYGFECFKHNR >KJB44495 pep chromosome:Graimondii2_0_v6:7:41928095:41929994:-1 gene:B456_007G257900 transcript:KJB44495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNSRGMQMWNLFSTAWPCSNTFFYAHRCDVNLLLATSCTRTIQTREGSIVKALDFNAAVASRDALAKTVYARLFDWLVDKINISVGQDPNSHVQIGVLDIYGFECFKHNR >KJB44494 pep chromosome:Graimondii2_0_v6:7:41928095:41929787:-1 gene:B456_007G257900 transcript:KJB44494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANLSTGCQKKLEIDDDQKLSTQYLIIIARGMQMWNLFSTAWPCSNTFFYAHRCDVNLLLATSCTRTIQTREGSIVKALDFNAAVASRDALAKTVYARLFDWLVDKINISVGQDPNSHVQIGVLDIYGFECFKHNR >KJB42133 pep chromosome:Graimondii2_0_v6:7:11371199:11372273:-1 gene:B456_007G138600 transcript:KJB42133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSAMVAMLFIIATNLWTGSMAQSSCTNVLISMSPCLDYIQGNSSKPSSSCCSQLANVVRSNPQCLCQVLNGGASSLGVSVNQTQAMALPTACNVKTPPASQCNGASSPSGSPPSGGGGSKSNVPTTDDSTSAGNSTKLSFSVVCLFFLLFIASA >KJB42134 pep chromosome:Graimondii2_0_v6:7:11371217:11372193:-1 gene:B456_007G138600 transcript:KJB42134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSAMVAMLFIIATNLWTGSMAQSSCTNVLISMSPCLDYIQGNSSKPSSSCCSQLANVVRSNPQCLCQVLNGGASSLGVSVNQTQAMALPTACNVKTPPASQCNASSPSGSPPSGGGGSKSNVPTTDDSTSAGNSTKLSFSVVCLFFLLFIASA >KJB46079 pep chromosome:Graimondii2_0_v6:7:57773633:57776550:1 gene:B456_007G347500 transcript:KJB46079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQAFIRLSIGSLGLRIPGPALKSSKAGIHAFSAPCSCEIRLRGFPVQTTPIPLVSSSEVTPDIHSIATSFYLEESDLKALLTPGCFYNHHAYLEITVFMGRKGTHFGVGVKRQQIGTFKLAVGPEWGEGKPVILFNGWIGIGKNKHENGKPGAELHLRVQLDPDPRYVFQFEDVTMLSPQIVQLQGSVKQPIFSCKFSRDRASQVDLLNAYWPGSADNLDIETGRRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWLILRPDVVRPESWLPWGKLEAWRERGIRDAVCCRFHLLSEAQDGAEVLMSEIRISAEKGGEFFIDTDRLMRQAPTPIPSPQSSGDFSALSPISGGFVMSCRVQGEGKNSKPLVQLAMRHVTCIEDAAIFMALAAAVDLSIEACKPFRRKFRRGSRGSRHSL >KJB46076 pep chromosome:Graimondii2_0_v6:7:57772722:57776558:1 gene:B456_007G347500 transcript:KJB46076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQAFIRLSIGSLGLRIPGPALKSSKAGIHAFSAPCSCEIRLRGFPVQTTPIPLVSSSEVTPDIHSIATSFYLEESDLKALLTPGCFYNHHAYLEITVFMGRKGTHFGVGVKRQQIGTFKLAVGPEWGEGKPVILFNGWIGIGKNKHENGKPGAELHLRVQLDPDPRYVFQFEDVTMLSPQIVQLQGSVKQPIFSCKFSRDRASQVDLLNAYWPGSADNLDIETGRRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWLILRPDVVRPESWLPWGKLEAWRERGIRDAVCCRFHLLSEAQDGAEVLMSEIRISAEKGGEFFIDTDRLMRQAPTPIPSPQSSGDFSALSPISGGFVMSCRVQGEGKNSKPLVQLAMRHVTCIEDAAIFMALAAAVDLSIEACKPFRRKFRRGSRGSRHSL >KJB46078 pep chromosome:Graimondii2_0_v6:7:57772808:57776493:1 gene:B456_007G347500 transcript:KJB46078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQAFIRLSIGSLGLRIPGPALKSSKAGIHAFSAPCSCEIRLRGFPVQTTPIPLVSSSEVTPDIHSIATSFYLEESDLKALLTPGCFYNHHAYLEITVFMGRKGTHFGVGVKRQQIGTFKLAVGPEWGEGKPVILFNGWIGIGKNKHENGKPGAELHLRVQLDPDPRYVFQFEDVTMLSPQIVQLQGSVKQPIFSCKFSRDRASQVDLLNAYWPGSADNLDIETGRRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWLILRPDVVRPESWLPWGKLEAWRERGIRDAVCCRFHLLSEAQDGAEVLMSEIRISAEKGGEFFIDTDRLMRQAPTPIPSPQSSGDFSALSPISGGFVMSCRVQGEGKNSNR >KJB46077 pep chromosome:Graimondii2_0_v6:7:57772596:57776550:1 gene:B456_007G347500 transcript:KJB46077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQAFIRLSIGSLGLRIPGPALKSSKAGIHAFSAPCSCEIRLRGFPVQTTPIPLVSSSEVTPDIHSIATSFYLEESDLKALLTPGCFYNHHAYLEITVFMGRKGTHFGVGVKRQQIGTFKLAVGPEWGEGKPVILFNGWIGIGKNKHENGKPGAELHLRVQLDPDPRYVFQFEDVTMLSPQIVQLQGSVKQPIFSCKFSRDRASQVDLLNAYWPGSADNLDIETGRRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWLILRPDVVRPESWLPWGKLEAWRERGIRDAVCCRFHLLSEAQDGAEVLMSEIRISAEKGGEFFIDTDRLMRQAPTPIPSPQSSGDFSALSPISGGFVMSCRVQGEGKNSKPLVQLAMRHVTCIEDAAIFMALAAAVDLSIEACKPFRRKFRRGSRGSRHSL >KJB46403 pep chromosome:Graimondii2_0_v6:7:59828219:59832604:-1 gene:B456_007G365900 transcript:KJB46403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIAFDLALELITKLSSRALSQVGMWWNLKHDLDDLKRTVRTIKAVLLDAEEKSVTDNLVKVWLEELKDVLYDADDLLDDFSTEALRKDLMGGNKLTKEVRLFFSSSNQFAYGLKMGRKIKTIKARLFSIASEAKVFNLVERHRPMETSFMTKKRQQTHSFVPKDKIIGRDDDKAALLKLVLEFESEETVYIIPIVGFGGLGKTALAQFVYNDEMVKNHFELMMWVCVSDVFDVKIIVENMIKSATGQAPDQNLEMDQLQKQLREKIGGKKYLLVLDDIWNEKWENWVSLKELLVGGAKGSRIIVTTRSLGVAKITSKCQPYVLKGLSDNDAWSLFKEIAFEQRSADSTDSGFVEIGKLILERCCGVPLVIRTIAGTLSFKETKSEWLSFKDKELARISQNEGEILPTLKLSYDHLSSHLKHCFAYCRLYPKDHEIDVRTLVQFWIAQGFVKQLNPSQSLEEIGFGYFKDLVERSFFQEVEEYGIGDMRCKMHDLMHDLAESVAGMESSIVDSNNIASDGGEKCRHISINPSLIPLFKGKKLRTLLRYPNKFSAHLSEETWEFVITNCRCLRVLDFRWLGIQMISPSIYKLKHLRYLDLSANYNIKILPESICKIQNLLALKLDSCHRLKELPKKIEKLVNLTHLACYFCDRLTHMPRGIGKLTSLETLSMFVVDKDGSHGGADLSELRLLNNLRGRLTITNLGFVKNAKEKFKAANLNEKQHLRWLGLEWGGGNHDDEKSLEDLQPHPNLKQLCIGGWRGDAKFPSWISFLTNLVKIFISGGNFKYFPSFAQLPRLELLDISFCTKLEYIDDNSLKGSQGEPQSFFPSLKHLRLSQCLHMKSWWRMTKPINDDSNQDDTTVMGTSTMAFPCLSSLWIQNCPLTLMPLYPSLDEDLILLNTSSRPLKQTIKMNINAKAPSTSTSSLPLSKLKSFHVHTIEGLDTHTLYECLQHLTSLKSLTIRDCKEVDLEGMQWEPLKNLSHLEIDNIPKLVSLPIGLQHLLQLKTLKIHNCNGLRSLLPVFQHLTFLEEFEVKDCKEVDLEGMQWEPLKNLSHLEIDNIPTLVSLPIGLQHLLQLKTLKIHNCNGLRSLLPVFQHLTFLEEFEVKDCKEVDLEGMQWEPLKNLSHLKIDNIPKLVSLPIGLQHLVQLKRLKIHNCNGLRSLFPVFQHLTFLEEFEVEDCKELELSGAGIQIFQDHTSLHSLRLQNIPKCRHLPDWLQHLTNLQRLYLVNLPNLTSLLDEMRCLTSLEYLHIREIPQLEERCRKDIGADWQKIAHVPNIVLYQ >KJB41214 pep chromosome:Graimondii2_0_v6:7:6986133:6989733:1 gene:B456_007G095200 transcript:KJB41214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGTGNGVLADGKSAEEWLWHAQELVPMALDKARKVKGFPGRWKIIIFKLEQVPSRLSDLSSHPFFSKNALCKEQLQAVSKTLKEAIELADLCLKEKYEGKLKMQSDLDALSGKLDLNLRDCGLLIKTGVLGEATLPVSLVGSSSESETAAHGHLKELLARLQIGHLEAKHKAIDSLVEIMKEDEKGVLSVMGRSNIAALVELLTATSHRIREKTVTVICSLAESGSCESWLVSEGVLPPLIRLVESGSTVGKEKAIISLQRLSMTTETARAIIGHGGVRPLIEICRTGGSVSQAAAASTLKNISAVPEVRQVLAEEGIIKVMINLLDCGILLGSKEYAAECLQNLTASNENLRRSVVSEGGIRSLLVYLDGPLPQESAVRALRNLVGSVSMEVLVSLGFLPRLVHVLKSGSLGAQQAAASTICQVCRTNETKKLVGEAGCIPLLIRMLEAKSNSAREVAAQALSSLVTVSQNCREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLASLSSSKKCKKLMISYGAIGYLKKLFEMETPGAKKLLERLERGKLRCLFSRK >KJB41212 pep chromosome:Graimondii2_0_v6:7:6987279:6989711:1 gene:B456_007G095200 transcript:KJB41212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGTGNGVLADGKSAEEWLWHAQELVPMALDKARKVKGFPGRWKIIIFKLEQVPSRLSDLSSHPFFSKNALCKEQLQAVSKTLKEAIELADLCLKEKYEGKLKMQSDLDALSGKLDLNLRDCGLLIKTGVLGEATLPVSLVGSSSESETAAHGHLKELLARLQIGHLEAKHKAIDSLVEIMKEDEKGVLSVMGRSNIAALVELLTATSHRIREKTVTVICSLAESGSCESWLVSEGVLPPLIRLVESGSTVGKEKAIISLQRLSMTTETARAIIGHGGVRPLIEICRTGGSVSQAAAASTLKNISAVPEVRQVLAEEGIIKVMINLLDCGILLGSKEYAAECLQNLTASNENLRRSVVSEGGIRSLLVYLDGPLPQESAVRALRNLVGSVSMEVLVSLGFLPRLVHVLKSGSLGAQQAAASTICQVCRTNETKKLVGEAGCIPLLIRMLEAKSNSAREVAAQALSSLVTVSQNCREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLASLSSSKKCKKLMISYGAIGYLKKLFEMETPGAKKLLERLERGKLRCLFSRK >KJB41213 pep chromosome:Graimondii2_0_v6:7:6985858:6989793:1 gene:B456_007G095200 transcript:KJB41213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGTGNGVLADGKSAEEWLWHAQELVPMALDKARKVKGFPGRWKIIIFKLEQVPSRLSDLSSHPFFSKNALCKEQLQAVSKTLKEAIELADLCLKEKYEGKLKMQSDLDALSGKLDLNLRDCGLLIKTGVLGEATLPVSLVGSSSESETAAHGHLKELLARLQIGHLEAKHKAIDSLVEIMKEDEKGVLSVMGRSNIAALVELLTATSHRIREKTVTVICSLAESGSCESWLVSEGVLPPLIRLVESGSTVGKEKAIISLQRLSMTTETARAIIGHGGVRPLIEICRTGGSVSQAAAASTLKNISAVPEVRQVLAEEGIIKVMINLLDCGILLGSKEYAAECLQNLTASNENLRRSVVSEGGIRSLLVYLDGPLPQESAVRALRNLVGSVSMEVLVSLGFLPRLVHVLKSGSLGAQQAAASTICQVCRTNETKKLVGEAGCIPLLIRMLEAKSNSAREVAAQALSSLVTVSQNCREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLASLSSSKKCKKLMISYGAIGYLKKLFEMETPGAKKLLERLERGKLRCLFSRK >KJB41215 pep chromosome:Graimondii2_0_v6:7:6986110:6989733:1 gene:B456_007G095200 transcript:KJB41215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGTGNGVLADGKSAEEWLWHAQELVPMALDKARKVKGFPGRWKIIIFKLEQVPSRLSDLSSHPFFSKNALCKEQLQAVSKTLKEAIELADLCLKEKYEGKLKMQSDLDALSGKLDLNLRDCGLLIKTGVLGEATLPVSLVGSSSESETAAHGHLKELLARLQIGHLEAKHKAIDSLVEIMKEDEKGVLSVMGRSNIAALVELLTATSHRIREKTVTVICSLAESGSCESWLVSEGVLPPLIRLVESGSTVGKEKAIISLQRLSMTTETARAIIGHGGVRPLIEICRTGGSVSQAAAASTLKNISAVPEVRQVLAEEGIIKVMINLLDCGILLGSKEYAAECLQNLTASNENLRRSVVSEGGIRSLLVYLDGPLPQESAVRALRNLVGSVSMEVLVSLGFLPRLVHVLKSGSLGAQQAAASTICQVCRTNETKKLVGEAGCIPLLIRMLEAKSNSAREVAAQALSSLVTVSQNCREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLASLSSSKKCKKLMISYGAIGYLKKLFEMETPGAKKLLERLERGKLRCLFSRK >KJB45410 pep chromosome:Graimondii2_0_v6:7:51832879:51835223:1 gene:B456_007G304600 transcript:KJB45410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKRELDALLSDEALANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLGDSNVRPLEQTHLTDRACTL >KJB45409 pep chromosome:Graimondii2_0_v6:7:51832680:51835003:1 gene:B456_007G304600 transcript:KJB45409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKRELDALLSDEALANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLGDSNVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >KJB43934 pep chromosome:Graimondii2_0_v6:7:26816428:26818082:1 gene:B456_007G224600 transcript:KJB43934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSLNLPTLPNLFCNEPASEVVSWEADEDECEIFTSSSSDSFIDYNVDSLTNMFDSEVDQKLESNLLSRFYHLPDIVHARQEAVQWILKVHSFYRLRPETAYLSINYLDRFLSARALPQGKGWPMQLLSVSCLSLAAKMEETTVPILLDLQIIKPRFLFKPKTVQRMEVLVMKTLKWRLRTITPFDFLHYFISCINNSQHNSLCHLFCCATDLIINTCKATDSLDYPPSAIAAAVTLRLTNHSVNDQDLAQLGCMHNRINKEIVKKIYENIKGRSFGLEPLMMPPSPTGVLDAARHGTCKLHKIGNNNMNSSDEGFNV >KJB43935 pep chromosome:Graimondii2_0_v6:7:26816515:26817839:1 gene:B456_007G224600 transcript:KJB43935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSLNLPTLPNLFCNEPASEVVSWEADEDECEIFTSSSSDSFIDYNVDSLTNMFDSEVDQKLESNLLSRFYHLPDIVHARQEAVQWILKVHSFYRLRPETAYLSINYLDRFLSARALPQGKGWPMQLLSVSCLSLAAKMEETTVPILLDLQIIKPRFLFKPKTVQRMEVLVMKTLKWRLRTITPFDFLHYFISCINNSQHNSLCHLFCCATDLIINTCKAATDSLDYPPSAIAAAVTLRLTNHSVNDQDLAQLGCMHNRINKEIVKKIYENIKGRSFGLEPLMMPPSPTGVLDAARHGTCKLHKIGNNNMNSSDEGFNV >KJB38901 pep chromosome:Graimondii2_0_v6:7:58755430:58766112:-1 gene:B456_007G3563001 transcript:KJB38901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVITGTASNLVPGVVGYVFQKIRRNFSYVYRYRRMVSGFEKKVETLKDKRDRVLLDVDAARKNDENIYPEVNSWLAKADKMIDLELKEVKDLEDEAKNKCFIGLCPNFKARYQLSKKAEEDAGAVDELLQQDGLDIVSYRDVPQPVVVVPPKDFDDLDSRKLVFNKIMEAVKDPNLNIIGVYGMPGVGKTTLVKEVSRQVKEDKLFDSVVMAVVTHTPDIKKIQDQIADTLGLTFKEQSISGRASRLCQRLKKEKKIFVVLDDIWAKLDLMEVGIPFGDEHQGCTMLLTSRDLNVLSKDMNAKMRYSIGVLEHEEAWEFFKKIAGDGVESSDLLPIATEVAKKCGGLPIAIRTLATSLRNEPPFVWEDALRQLNRPSSSNFIEVSAAAYSSIEWSYDRLQSEEHKQIFLLCSLLGHNVFFEVLLVCAMGLGLFRGVNTVEETRNRLLTVVSRLKASCLLLDGYNNLHVDMHDLICDVAMSIAANHVFVLRDEDVLNDWPDDEAMKEFDKILLDCPSINKLPDQLKCPKLTFLGMGSKDPLMEIPENFFKEMKNLQVLILSDMNLSSLPSSISLLPNLRTLGLVECALGDIALIGELKNLEILSFDGSDIEMLPEEIGQLNKLKWLDLTNCSKLKRIPPGVFCKLSRLEELYVDDSFVGWGVEGNFSQESNCSVAELNALSCLTTLEIHFPNAKIIPKGFSFEKLRRYIIFIGEGSDWDWDWGWVREYSRTLKLSLQTSIRFLNNGVKVLLKKAENLYIDEVKGVEILLHESEVGDYFQQLKNLHIQNGAMIQYILKENGDDHKIEFQLETLTLQDLPKLISFCSENEGSTSISPQGTTLFNQKTLFPKLKDLVLRSISSERIWHPQAFCSTRNLTKLIIKGCTNLKYVLSDSMVEYLQQLEYLEISECKCIQEITSKENKIKEAFRNMYLICFPRLNSLRLKRLEKLIGFCHEDYTVEFPTLKILEIESCPKLQGFIHNSKSKEIPIDAVFFNNKVDFPNLEKITISHLRNAKRIWYNQLHTSSFSMLKELTVKDCDALLNIFPPFLLGVFQRLEKLIVIDCASLEEVFQFQVQGLDTEETDVVASQLREVNLFRLPSLKHVWTKYHKGNISFESLRQVCIRECWSLKTLFPYSIAKGLQRLEGLTISRCGVEEIVSKNDEGSDKQEIWFAFNQLSFLMLWHLPYLTCVYPGIHRTTWSALKKLKMAGCWRIKIFGHEESQIQNSLFLIEKVIPQLEEVSFTGDCIKMISDGQYESDLFCNIKFLRISSYSDVSVVFLISFLRRFYNLERLELGSCSFKELASFENDACEDQDMIITIPKVKKLRLDLVNNIRHLWKQDSPLGHICASLECLELWNCGNLINAGLDLSFSENLTTLDVFKCHEMLELITSSKARSMTCLVTMRIRECERMREVVASDGDETSYEIVFRALKCLELHCLQSLTSFCSRKFALRFPSLEQVTLSQCPRMKNFSQGVLTTPKLQKVQLTQTDFTGRWAGDFNATVEQLYQEQVGYRGLKHLKFSEFPELVNIWSRNPQEMLDFTTLEFLEFCDSNNLRYIFNFSMAFGLGQLRQMEIKRCGNLEQVIKEEGPITMVEEAIPDSSNIISIFPRLRSIIVESCPDMTSFYMGSKGLECPCLVEIQVADCSNMTTFVSTFSRDEDKEVIIGDEVDKVATLFSDKLEKLTISHLRNVKRMWYKQLCSKSFSNLKELEVKHCDSLLNIFPHFFLGVFQRLEILRVTDCASLEEVFQLQLQIQMLDIEEACIVTSKLRQVGLFRLPKLKHVWNKDPNENISFENLREVHVQECWSLKTLFPISMAKDFQQLESLIVDSCGVEEIVSKSFEESDQHEMLFEFNQLSFLALWTLPNLVCFYPGMHNITCPMLKRLTTSWPTKTKMFGNVVSQLLPVGKIIPQLEHISLTADDIAMITDGQFAIDLFSHIKVLQITEYIKDSVVVPFHFFRRFSNLQKLKMVGCNFKEFSPYEGDVGEERDVVTMLPRIKKLTLQGVDNMAHLWKQGSPFHHICANLETLKVSECDSVISLSCASSSFQNLTTLDVWNCKEMVELITSSKAQCLEQLVTLKIGGCEMMREVIASDGDEATHHEIIFKELKYLELYDLQNLKSFCSGNYTLKFPSLDEVDVSFCPAMENFCNGALSTPKLQEVETERGVRRCWDLNATIEQLNKE >KJB38900 pep chromosome:Graimondii2_0_v6:7:58754983:58766112:-1 gene:B456_007G3563001 transcript:KJB38900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVITGTASNLVPGVVGYVFQKIRRNFSYVYRYRRMVSGFEKKVETLKDKRDRVLLDVDAARKNDENIYPEVNSWLAKADKMIDLELKEVKDLEDEAKNKCFIGLCPNFKARYQLSKKAEEDAGAVDELLQQDGLDIVSYRDVPQPVVVVPPKDFDDLDSRKLVFNKIMEAVKDPNLNIIGVYGMPGVGKTTLVKEVSRQVKEDKLFDSVVMAVVTHTPDIKKIQDQIADTLGLTFKEQSISGRASRLCQRLKKEKKIFVVLDDIWAKLDLMEVGIPFGDEHQGCTMLLTSRDLNVLSKDMNAKMRYSIGVLEHEEAWEFFKKIAGDGVESSDLLPIATEVAKKCGGLPIAIRTLATSLRNEPPFVWEDALRQLNRPSSSNFIEVSAAAYSSIEWSYDRLQSEEHKQIFLLCSLLGHNVFFEVLLVCAMGLGLFRGVNTVEETRNRLLTVVSRLKASCLLLDGYNNLHVDMHDLICDVAMSIAANHVFVLRDEDVLNDWPDDEAMKEFDKILLDCPSINKLPDQLKCPKLTFLGMGSKDPLMEIPENFFKEMKNLQVLILSDMNLSSLPSSISLLPNLRTLGLVECALGDIALIGELKNLEILSFDGSDIEMLPEEIGQLNKLKWLDLTNCSKLKRIPPGVFCKLSRLEELYVDDSFVGWGVEGNFSQESNCSVAELNALSCLTTLEIHFPNAKIIPKGFSFEKLRRYIIFIGEGSDWDWDWGWVREYSRTLKLSLQTSIRFLNNGVKVLLKKAENLYIDEVKGVEILLHESEVGDYFQQLKNLHIQNGAMIQYILKENGDDHKIEFQLETLTLQDLPKLISFCSENEGSTSISPQGTTLFNQKTLFPKLKDLVLRSISSERIWHPQAFCSTRNLTKLIIKGCTNLKYVLSDSMVEYLQQLEYLEISECKCIQEITSKENKIKEAFRNMYLICFPRLNSLRLKRLEKLIGFCHEDYTVEFPTLKILEIESCPKLQGFIHNSKSKEIPIDAVFFNNKVDFPNLEKITISHLRNAKRIWYNQLHTSSFSMLKELTVKDCDALLNIFPPFLLGVFQRLEKLIVIDCASLEEVFQFQVQGLDTEETDVVASQLREVNLFRLPSLKHVWTKYHKGNISFESLRQVCIRECWSLKTLFPYSIAKGLQRLEGLTISRCGVEEIVSKNDEGSDKQEIWFAFNQLSFLMLWHLPYLTCVYPGIHRTTWSALKKLKMAGCWRIKIFGHEESQIQNSLFLIEKVIPQLEEVSFTGDCIKMISDGQYESDLFCNIKFLRISSYSDVSVVFLISFLRRFYNLERLELGSCSFKELASFENDACEDQDMIITIPKVKKLRLDLVNNIRHLWKQDSPLGHICASLECLELWNCGNLINAGLDLSFSENLTTLDVFKCHEMLELITSSKARSMTCLVTMRIRECERMREVVASDGDETSYEIVFRALKCLELHCLQSLTSFCSRKFALRFPSLEQVTLSQCPRMKNFSQGVLTTPKLQKVQLTQTDFTGRWAGDFNATVEQLYQEQVGYRGLKHLKFSEFPELVNIWSRNPQEMLDFTTLEFLEFCDSNNLRYIFNFSMAFGLGQLRQMEIKRCGNLEQVIKEEGPITMVEEAIPDSSNIISIFPRLRSIIVESCPDMTSFYMGSKGLECPCLVEIQVADCSNMTTFVSTFSRDEDKEVIIGDEVDKVATLFSDKLEKLTISHLRNVKRMWYKQLCSKSFSNLKELEVKHCDSLLNIFPHFFLGVFQRLEILRVTDCASLEEVFQLQLQIQMLDIEEACIVTSKLRQVGLFRLPKLKHVWNKDPNENISFENLREVHVQECWSLKTLFPISMAKDFQQLESLIVDSCGVEEIVSKSFEESDQHEMLFEFNQLSFLALWTLPNLVCFYPGMHNITCPMLKRLTTSWPTKTKMFGNVVSQLLPVGKIIPQLEHISLTADDIAMITDGQFAIDLFSHIKVLQITEYIKDSVVVPFHFFRRFSNLQKLKMVGCNFKEFSPYEGDVGEERDVVTMLPRIKKLTLQGVDNMAHLWKQGSPFHHICANLETLKVSECDSVISLSCASSSFQNLTTLDVWNCKEMVELITSSKAQCLEQLVTLKIGGCEMMREVIASDGDEATHHEIIFKELKYLELYDLQNLKSFCSGNYTLKFPSLDEVDVSFCPAMENFCNGALSTPKLQEVETERGVRRCWDLNATIEQLNKEECEPFEETDEDSL >KJB38899 pep chromosome:Graimondii2_0_v6:7:58754870:58766112:-1 gene:B456_007G3563001 transcript:KJB38899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVITGTASNLVPGVVGYVFQKIRRNFSYVYRYRRMVSGFEKKVETLKDKRDRVLLDVDAARKNDENIYPEVNSWLAKADKMIDLELKEVKDLEDEAKNKCFIGLCPNFKARYQLSKKAEEDAGAVDELLQQDGLDIVSYRDVPQPVVVVPPKDFDDLDSRKLVFNKIMEAVKDPNLNIIGVYGMPGVGKTTLVKEVSRQVKEDKLFDSVVMAVVTHTPDIKKIQDQIADTLGLTFKEQSISGRASRLCQRLKKEKKIFVVLDDIWAKLDLMEVGIPFGDEHQGCTMLLTSRDLNVLSKDMNAKMRYSIGVLEHEEAWEFFKKIAGDGVESSDLLPIATEVAKKCGGLPIAIRTLATSLRNEPPFVWEDALRQLNRPSSSNFIEVSAAAYSSIEWSYDRLQSEEHKQIFLLCSLLGHNVFFEVLLVCAMGLGLFRGVNTVEETRNRLLTVVSRLKASCLLLDGYNNLHVDMHDLICDVAMSIAANHVFVLRDEDVLNDWPDDEAMKEFDKILLDCPSINKLPDQLKCPKLTFLGMGSKDPLMEIPENFFKEMKNLQVLILSDMNLSSLPSSISLLPNLRTLGLVECALGDIALIGELKNLEILSFDGSDIEMLPEEIGQLNKLKWLDLTNCSKLKRIPPGVFCKLSRLEELYVDDSFVGWGVEGNFSQESNCSVAELNALSCLTTLEIHFPNAKIIPKGFSFEKLRRYIIFIGEGSDWDWDWGWVREYSRTLKLSLQTSIRFLNNGVKVLLKKAENLYIDEVKGVEILLHESEVGDYFQQLKNLHIQNGAMIQYILKENGDDHKIEFQLETLTLQDLPKLISFCSENEGSTSISPQGTTLFNQKTLFPKLKDLVLRSISSERIWHPQAFCSTRNLTKLIIKGCTNLKYVLSDSMVEYLQQLEYLEISECKCIQEITSKENKIKEAFRNMYLICFPRLNSLRLKRLEKLIGFCHEDYTVEFPTLKILEIESCPKLQGFIHNSKSKEIPIDAVFFNNKVDFPNLEKITISHLRNAKRIWYNQLHTSSFSMLKELTVKDCDALLNIFPPFLLGVFQRLEKLIVIDCASLEEVFQFQVQGLDTEETDVVASQLREVNLFRLPSLKHVWTKYHKGNISFESLRQVCIRECWSLKTLFPYSIAKGLQRLEGLTISRCGVEEIVSKNDEGSDKQEIWFAFNQLSFLMLWHLPYLTCVYPGIHRTTWSALKKLKMAGCWRIKIFGHEESQIQNSLFLIEKVIPQLEEVSFTGDCIKMISDGQYESDLFCNIKFLRISSYSDVSVVFLISFLRRFYNLERLELGSCSFKELASFENDACEDQDMIITIPKVKKLRLDLVNNIRHLWKQDSPLGHICASLECLELWNCGNLINAGLDLSFSENLTTLDVFKCHEMLELITSSKARSMTCLVTMRIRECERMREVVASDGDETSYEIVFRALKCLELHCLQSLTSFCSRKFALRFPSLEQVTLSQCPRMKNFSQGVLTTPKLQKVQLTQTDFTGRWAGDFNATVEQLYQEQVGYRGLKHLKFSEFPELVNIWSRNPQEMLDFTTLEFLEFCDSNNLRYIFNFSMAFGLGQLRQMEIKRCGNLEQVIKEEGPITMVEEAIPDSSNIISIFPRLRSIIVESCPDMTSFYMGSKGLECPCLVEIQVADCSNMTTFVSTFSRDEDKEVIIGDEVDKVATLFSDKVVFPKLEKLTISHLRNVKRMWYKQLCSKSFSNLKELEVKHCDSLLNIFPHFFLGVFQRLEILRVTDCASLEEVFQLQLQIQMLDIEEACIVTSKLRQVGLFRLPKLKHVWNKDPNENISFENLREVHVQECWSLKTLFPISMAKDFQQLESLIVDSCGVEEIVSKSFEESDQHEMLFEFNQLSFLALWTLPNLVCFYPGMHNITCPMLKRLTTSWPTKTKMFGNVVSQLLPVGKIIPQLEHISLTADDIAMITDGQFAIDLFSHIKVLQITEYIKDSVVVPFHFFRRFSNLQKLKMVGCNFKEFSPYEGDVGEERDVVTMLPRIKKLTLQGVDNMAHLWKQGSPFHHICANLETLKVSECDSVISLSCASSSFQNLTTLDVWNCKEMVELITSSKAQCLEQLVTLKIGGCEMMREVIASDGDEATHHEIIFKELKYLELYDLQNLKSFCSGNYTLKFPSLDEVDVSFCPAMENFCNGALSTPKLQEVETERGVRRCWDLNATIEQLNKEECEPFEETDEDSL >KJB41257 pep chromosome:Graimondii2_0_v6:7:7162602:7165675:1 gene:B456_007G097000 transcript:KJB41257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKKVLVVGGTGYLGQHLLQGFSEIQATTPFALAFTYNSFPPQPLLLAFPTSFAFHVDLKTGLGFDSISQQFGQPDVVVNCAALSVPRACENDPDAAITINVPSSLVNWLSSFAQSDNTLVIHISTDQVYEGVKSFYKEEDEALPVNVYGKSKVAAEQFISEKWSNFAILRSSIIFGPQTVSPVPKSLPIQWIDGVLSKGDKVEFFYDEYRCPVYVKDVVAIIRTLIDKWLSEGKKMQLLLNVGGPDRVSRVQMAEAVAQIRGYDSSLIKPVSASSVDHGVKSPADISMDITKLVQKLNICPTPFKDGVKLTLAAEASM >KJB41259 pep chromosome:Graimondii2_0_v6:7:7162940:7164630:1 gene:B456_007G097000 transcript:KJB41259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKKVLVVGGTGYLGQHLLQGFSEIQATTPFALAFTYNSFPPQPLLLAFPTSFAFHVDLKTGLGFDSISQQFGQPDVVVNCAALSVPRACENDPDAAITINVPSSLVNWLSSFAQSDNTLVIHISTDQVYEGVKSFYKEEDEALPVNVYGKSKVAAEQFISEKWSNFAILRSSIIFGPQTVSPVPKSLPIQWIDGVLSKGDKVEFFYDEYRCPVYVKDVVAIIRTLIDKWLSEGKKMQLLLNVGGPDRVSRVQMAEAVAQIRGYDSSLIKPVSASSVSIHYESRRK >KJB41260 pep chromosome:Graimondii2_0_v6:7:7162644:7165601:1 gene:B456_007G097000 transcript:KJB41260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKKVLVVGGTGYLGQHLLQGFSEIQATTPFALAFTYNSFPPQPLLLAFPTSFAFHVDLKTGLGFDSISQQFGQPDVVVNCAALSVPRACENDPDAAITINVPSSLVNWLSSFAQSDNTLVIHISTDQGVKSFYKEEDEALPVNVYGKSKVAAEQFISEKWSNFAILRSSIIFGPQTVSPVPKSLPIQWIDGVLSKGDKVEFFYDEYRCPVYVKDVVAIIRTLIDKWLSEGKKMQLLLNVGGPDRVSRVQMAEAVAQIRGYDSSLIKPVSASSVDHGVKSPADISMDITKLVQKLNICPTPFKDGVKLTLAAEASM >KJB41258 pep chromosome:Graimondii2_0_v6:7:7162644:7165601:1 gene:B456_007G097000 transcript:KJB41258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKKVLVVGGTGYLGQHLLQGFSEIQATTPFALAFTYNSFPPQPLLLAFPTSFAFHVDLKTGLGFDSISQQFGQPDVVVNCAALSVPRACENDPDAAITINVPSSLVNWLSSFAQSDNTLVIHISTDQVYEGVKSFYKEEDEALPVNVYGKSKVAAEQFISEKWSNFAILRSSIIFGPQTVSPVPKSLPIQEIKWSSFMMSTVVQYMSRMLWLSSGL >KJB45107 pep chromosome:Graimondii2_0_v6:7:49569953:49573080:1 gene:B456_007G289400 transcript:KJB45107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPRKRREALRVTYGDDDSSDFDSDHSPTLSLPANSNPETEETLSSPLPPPPVSLLHPPNSLGSLDYLQTGQPSRVRSFPHVEGNYALHVYIPVFIPSISKKEMGQFLKRVSSVVPNLHVVDIDVPLNTLCKEEHKLEQVALGREFQISLGRTVPIRVHQIDSIVTMLRQKLQFQKRYWIDFNKWEVFINDDRTRTFLSLEVVTEGLPEITKQIQAVNEVYKFHNLPEFYKDPRPHISLAWALGDVSGSLKKVVEQETKSSVFRGSLQSRICTSKVGGIECKIGNRTHIICKSPDQ >KJB41144 pep chromosome:Graimondii2_0_v6:7:6778931:6798851:-1 gene:B456_007G092900 transcript:KJB41144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEEKKFGETSVKSFDTHEIGAVEDRIGGSHQDNATGGEESILKNNNAVSQEVHSATPIGDEDQFEPVSLENQDKAAGEFQDDQVDSNRSSSSDYQRQFSVMEYDSSSMSGPRHDSSASSPGPERQTDYQIKQSISETGLDSVFYADSRGSPLGSPTTSKPKAAMPNVSPELLHLVDSAIMGKSEGLDKLKNIVSGAETFESVEDMESIPFLVVDSLIATMGGVESFEENEDDNPPSVMLNSRAAIVAGELIPWLPWEGDSDILMSARTRMVRGLLVILRACTRNRAMCSTAGLLGVLLRSAENIFAQDVGSIEPMKWDGTPLCYCIQHLAGHSLSVSDLHRWLQVITKTLTTIWAPRLMLALEKAVSGKESRGPACTFEFDGESSGLLGPGESRWPFTNGYAFSTWIYIESFADTLNAATAAAAIAAAAAAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGIEAYFHAQFLVVECVSGKGKKASLHFTHAFKPQCWYFIGLEHVCRQGLIGKAESELKLYIDGSLYESRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMARMASRGGDMLPSFGNGAGLPWLATNDHVQKMAEESSLLDAEIGGCIHLLYHPCLLSGRFCPDSSPSGAAGIIRRPAEVLGHVHVAMRMRPVEALWALAYGGPMSLLPLAVSNVCKDNLELEQGSLPLSLATAALAAPIFRIISVAIHHPGNSEELCRMSGPEILSRILNYLLQNLSYLCSGKHDGVGDEELVAAVVSLCQSQKHNHALKVQLFSTLLLDIKIWSLCSYGLQKKLLSSLADMVFTESSVMRDANAMQMLFDGCRRCYWTIREKDSLDAFSLNDAMRPMGEVNALVDELLVVIELLIGASPPSLAADDVRCLLGFMVDCPQPNQVARVLHLIYRLVVQPNTTRAQTFAQAFMGSGGIETLLVLLQREAKAGDHHIPETSTKTEESLAVERSEPELDTCVPEGSQDEGSLKEKDQISQKRDFHGISGLVASSSLKVERVSSVSENAFMKNLGGISLSISADNARNNVYNVDNDDGIVVGIIGLLGALVAYGHLKFGSRVSSEMTSNLFGGALNDAAGSMFEDKVSILLFALQKAFQAAPNRLMTSNVYTALLGASINASSPEDGLNFYDSGHRFEHLQLLLVLLRSLPYASRSFQSRALQDLLFLACSHPENRNSLTKMEEWPEWILEVLISNYEMDARKKSDSASLGDIEDFIHSFLIIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTGDQRIRREESLPIFKRRLLGGLMDFAARELQAQTQVIAAVAAGVAAEGLSPEDAKAETENAAQLSVFLVENAIVILMLVEDHLRLQSKLSCASCKVDGNKSPLSFASAPNSQSSSAASISRESTEAVDDSRSGNSGGLALDVLASMADANGQISATVMERLTAAAAAEPFDSVSSAFVSYGSCAMDLAEGWKYRSRLWYGVGLPSKPIDIGGGGFGWESWNAALQKDANGNWIELPLVKKSVSMLQALLLDDSGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREEDNGEDNMIMRNVGVEDGMSEGLYRGNIISLDNSGRMAARKPRSALLWSVLSPVLNMPISDSKRQRVLVASCVLYSEVWHAVGRDRKPLRKQYLEAIVPPFVAVLRRWRPLLAGIHELATADGLNPLNVDDRALAADALPLEAALAMISPSWAAAFASPPAAMALAMIAAGASGGEIPAPTATQLKRDSSILERKTTKLQHFSSFHKPLDMPNNSPSLPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRHDSDVERVKRWNDSEAMAVAWLECLQPVDTKSVYGKDFNALSYKFIAALVASFALARNIQRSEIDRRAQVDLVARHRLFTGIRAWRKLIHCLLDTSCLFGPLVDQIPSKVFWKLDFMESSSRMRPFLRRNYTGTDHFGAAADFEEQNDVKKIQEDVISSSNTPILAAEAISTVFVNEDDEHPETDNVDSRGYVNNQSEEGQQRLSGITEQTLEKSIEPKDTKLASEQNLVQSSTIVAPGYVPSELDERILFELPSSMVRPLRVIRGTFQVTSKKINFVVDKTECNISMDGSEDNSEAKNDEKDRSWLMTSLHQMYSRRYLLRRSALELFMVDRSNFFFDFRSSEGRRNAYRAIVQARPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSKSLDLSDPSAFRDLSKPVGALNPERLKKFQERYTSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLSIQLQGGKFDHADRMFSDVGATWNGVLEDMSDVKELVPELFYLPEMLTNENSIDFGTTQLGGKLDSVKLPSWAQSSVDFIHKHRMALESEHVSAHLHEWIDLIFGYKQRGKEAISANNMFFYITYEGTVDIDKISDPVQQRATQDQIAYFGQTPSQLLTAPHMKKIPLSEVLHLQTIFRNPREVKSYAVPSPDRCNLPAAAIHASSDSVIIVDTDAPAAHIAQHKWQPNTPDGQGAPFLFQHGKAMTSSAGGALRRMFRGPAAAGSGSVDWHFPQALAFSSSGIRNSSIVSITCDKEIITGGHADNSIKLLSSDGAKTIEMAFGHSAPVTCLALSPDSNYLVTGSRDSTVILWRIHRAFTSRPSSTSEPTAGTGTPTSTSSGTLANTLADKSRKHRIEGPIYVLRGHQREILCCCVSSDLGVVVSCSHSSDVLLHSIRRGRLMRRFAGVEADAVCLSSEGVILTWNQSQHTLSTFTLNGVLVARAQLPSLGGVSCMEISVDGENALIGMNSSLGNNGMSNSNQDLSLKKPVADNLDLESEETNKSNKLDIPSPSICFLNLHTLKVFHVLELGEGQDITAFALNEDNTNLLVSTADKQLIIFTDPALSLKVVDQMLKLGWEGEGLSPLIKS >KJB43180 pep chromosome:Graimondii2_0_v6:7:18100560:18103994:-1 gene:B456_007G188200 transcript:KJB43180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIRACLVAVALASLFCVAHVRSSASDHRYKAGDEVPLYANKVGPFGNPSETYRYFDFPFCSPVPLKEKKEALGEVLNGDRLVSGPYRIDFLSEKDAEIACKRKLSKEEVARFRTAISKDYYFQMYFDDLPFWGFFGKVYNVGKADPSDCKYYLYKHPVFQIFYNKDRVIEINVRMDTRAVVDVTGDEPVDVDFMYTVKWKEIDVPFEKRLDKYKSSSSLRQIQWFSTLNSCVTLLLLTAFLATILMRILKNDFIKYAHDEESADQEEETGWKSIHGDVFRYPKHKSLFAAALGCGTQLFTITIFIFILAVVGVFYPYNRGALLTALVVIYALTSGIAGYTAASFYCQLEGTNWVGNLLLTGSLLCGPLFVTFCFLNTVAVAYKVTAALPFGTIVVIFLIWALVSSPFLVLGGIGGKDSKAEFQAPCRTTKCPRDIPPLPWYRKTLPQIAMAGLLPFSAIYIEIYYLFASVWGHRIYTTYVILFIVFIILLIITAFITVALTYFQLAAEDHGWWWRSFLCGGSTGLFMYAYCFYYYSAQSDMSGFMQTSFFFGYMACICYGFFLMLGAIGFCASLFFVRHIYRSIKCE >KJB43178 pep chromosome:Graimondii2_0_v6:7:18100531:18104036:-1 gene:B456_007G188200 transcript:KJB43178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLFMLIKSVPSAIPVPLKEKKEALGEVLNGDRLVSGPYRIDFLSEKDAEIACKRKLSKEEVARFRTAISKDYYFQMYFDDLPFWGFFGKVYNVGKADPSDCKYYLYKHPVFQIFYNKDRVIEINVRMDTRAVVDVTGDEPVDVDFMYTVKWKEIDVPFEKRLDKYKSSSSLRQIQWFSTLNSCVTLLLLTAFLATILMRILKNDFIKYAHDEESADQEEETGWKSIHGDVFRYPKHKSLFAAALGCGTQLFTITIFIFILAVVGVFYPYNRGALLTALVVIYALTSGIAGYTAASFYCQLEGTNWVGNLLLTGSLLCGPLFVTFCFLNTVAVAYKVTAALPFGTIVVIFLIWALVSSPFLVLGGIGGKDSKAEFQAPCRTTKCPRDIPPLPWYRKTLPQIAMAGLLPFSAIYIEIYYLFASVWGHRIYTTYVILFIVFIILLIITAFITVALTYFQLAAEDHGWWWRSFLCGGSTGLFMYAYCFYYYSAQSDMSGFMQTSFFFGYMACICYGFFLMLGAIGFCASLFFVRHIYRSIKCE >KJB43179 pep chromosome:Graimondii2_0_v6:7:18100531:18104151:-1 gene:B456_007G188200 transcript:KJB43179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIRACLVAVALASLFCVAHVRSSASDHRYKAGDEVPLYANKVGPFGNPSETYRYFDFPFCSPVPLKEKKEALGEVLNGDRLVSGPYRIDFLSEKDAEIACKRKLSKEEVARFRTAISKDYYFQMYFDDLPFWGFFGKVYNVGKADPSDCKYYLYKHPVFQIFYNKDRVIEINVRMDTRAVVDVTGDEPVDVDFMYTVKWKEIDVPFEKRLDKYKSSSSLRQIQWFSTLNSCVTLLLLTAFLATILMRILKNDFIKYAHDEESADQEEETGWKSIHGDVFRYPKHKSLFAAALGCGTQLFTITIFIFILAVVGVFYPYNRGALLTALVVIYALTSGIAGYTAASFYCQLEGTNWVGNLLLTGSLLCGPLFVTFCFLNTVAVAYKVTAALPFGTIVVIFLIWALVSSPFLVLGGIGGKDSKAEFQAPCRTTKCPRDIPPLPWYRKTLPQIAMAGLLPFSAIYIEIYYLFASVWGHRIYTTYVILFIVFIILLIITAFITVALTYFQLAAEDHGWWWRSFLCGGSTGLFMYAYCFYYYSAQSDMSGFMQTSFFFGYMACICYGFFLMLGAIGFCASLFFVRHIYRSIKCE >KJB39511 pep chromosome:Graimondii2_0_v6:7:1327112:1329581:-1 gene:B456_007G017500 transcript:KJB39511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISLNSLPIGIRFRPTDEELIDFYLRAKVNGKRKDEIGVIREVDVCKCEPWDLPHLSAVKGRDPEWFFFCPLDRKYPNGNRLNRATEAGYWKATGKDRKIKSGSCLIGMKKTLVFYTGRAPKGKRTNWVMHEYRTTLDELDGTKPGQNPFVICRLFKKQDVTIEDINADDVDPGASSHTEEVQSEFDGPSVEGGAGKVPDETRPVGLCNEAVAPIFDCNNGGFNACELEEMAPAQVDLLGEALVQFCDPMMEPLDWKLFSPLHSQIEAEGAPWMFDHVGNSFGGVKFEHGTNENDAEFMNSILNNLDDYYSDDSSSRRNSVIETETPKSMAFGTNGGLYCKPDAEPAQVLLGTGTANGGAFYNVLNSNGEPSNHVNTACNVDTAPTIRIRSRAHRIQPDTENFDTQGIASRRCKLVVHSPRFEAKEHDSKPILPKGVKAMEEYISVDSDAARRTMDEPQIFETSKRDVSRLKSKVPVSEAVSYRCLKRFSARRQHKPFSVIMFRVVAVMLILFVALVSTLNVL >KJB39512 pep chromosome:Graimondii2_0_v6:7:1327621:1329399:-1 gene:B456_007G017500 transcript:KJB39512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISLNSLPIGIRFRPTDEELIDFYLRAKVNGKRKDEIGVIREVDVCKCEPWDLPHLSAVKGRDPEWFFFCPLDRKYPNGNRLNRATEAGYWKATGKDRKIKSGSCLIGMKKTLVFYTGRAPKGKRTNWVMHEYRTTLDELDGTKPGQNPFVICRLFKKQDVTIEDINADDVDPGASSHTEEVQSEFDGPSVEGGAGKVPDETRPVGLCNEAVAPIFDCNNGGFNACELEEMAPAQVDLLGEALVQFCDPMMEPLDWKLFSPLHSQIEAEGAPWMFDHVGNSFGGVKFEHGTNENDAEFMNSILNNLDDYYSDDSSSRRNSVIETETPKSMAFGTNGGLYCKPDAEPAQVLVSSRTKLVTYLFTVSIFIEIMKCLIELLFKIHTAWDRNCQRRSIL >KJB39513 pep chromosome:Graimondii2_0_v6:7:1327208:1329399:-1 gene:B456_007G017500 transcript:KJB39513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISLNSLPIGIRFRPTDEELIDFYLRAKVNGKRKDEIGVIREVDVCKCEPWDLPHLSAVKGRDPEWFFFCPLDRKYPNGNRLNRATEAGYWKATGKDRKIKSGSCLIGMKKTLVFYTGRAPKGKRTNWVMHEYRTTLDELDGTKPGQNPFVICRLFKKQDVTIEDINADDVDPGASSHTEEVQSEFDGPSVEGGAGKVPDETRPVGLCNEAVAPIFDCNNGGFNACELEEMAPAQVDLLGEALVQFCDPMMEPLDWKLFSPLHSQIEAEGAPWMFDHVGNSFGGVKFEHGTNENDAEFMNSILNNLDDYYSDDSSSRRNSVIETETPKSMAFGTNGGLYCKPDAEPAQVLVSSRTKLVTYLFTVSIFIEIMKCLIELLFKIHTAWDRNCQRRSIL >KJB42614 pep chromosome:Graimondii2_0_v6:7:14099321:14103339:-1 gene:B456_007G161100 transcript:KJB42614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQGKPPTLFSHQFQSSLCSPTSSLSLVNGGSFKSKAQRKPLTLRLSRSTPNANDPQDDYLIDAPVSAGDGFSFSGGKYSDGPNPSDEWFKQGKFVKAYPVGGTGEKAKDPIFGLTMGAGSQASGDVFRWFSVESGNADNPTVILIHGFPSQAYSYRKVLPVLSKNYHAIAFDWLGFGFSDKPQPRYGFDYTLSEYVSALESFVNEVATNKVSLVVQGYFSPVVAKYASKNQEKLNDLILLNPPLTAKHANLPSTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYAMKEDDAMVYRRPYLTSGSSGFALNAISRAMKKELKAYVEATKVILMDKNWKVRTTVCWGQRDRWLNYDEVEDFCKNSNHKLIELPMAGHHVQEDSGEELGGIISGLISRRIVT >KJB44489 pep chromosome:Graimondii2_0_v6:7:41830489:41831607:-1 gene:B456_007G2577002 transcript:KJB44489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLKTSKMLMVRQLALLNRFFSSLLVPFLSTRLIFLNVVK >KJB44464 pep chromosome:Graimondii2_0_v6:7:41272363:41272904:1 gene:B456_007G254700 transcript:KJB44464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FQGEAFEPVSLDEAFEIFCQGVIQFGPFFDHYEDLKEDINSHLKKLAMFLGVPFTEEEEKQEVVEEITKICSFDNMKDLEVNKKGEKTFGYPQETFSGKTNTIEIPQKQKTGDWSNYLTPSMVERLEKLIQEKLENFGLTFKLFSKTSKDITST >KJB43864 pep chromosome:Graimondii2_0_v6:7:25457932:25461104:1 gene:B456_007G220600 transcript:KJB43864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSEPPCSMLNCFFCTMNEPEPSVRRAKLSQCFKEMPLRDDQEHVLVLSGLWNISMAQPDDPEFPALGIFECMAKLIHRGIIDQNWLLRGQNIYIPYYAAHIIGSYTMDNPQFAEKAVKSGVILPLMELLRGKMSWVEQRVAVRALGHLASHEKTFEAVVVHEVEVISLAMEIASNCLEVVYKEFVGIKARKRPKYHCDLLTRGVGELELQSRKAEEWASQLQCWSLYLLNCFASKERCLNLICNTEFLHNLCGMWGGLVNLTSPAGIGLLRTLCSSKTGRENVANSRQVMESICNVSRSSDDWQNMAIDCLLLLLKDPETRYGVIDIAASSLVDLVELRSLGESKMVGETISQTLLQDYYKIKFGFLKLKSQEAEKALEELWELRVENIKRDKLMSEQDMKERQVLVGKLKKQGNQKFWTGKIEKACKIYSKALELCPLNFRKERIVLYSNRAQCYLLLKNPAAAISDTTRALCLSGTVSPHSKSLWRRSQAYDMKGLAKESLMDCLMFINSRIKSEHTRRVRIPYYAARMINKQMNATWLFANAKSKLCIKKEKTVDEYESKGEYQLQEMMDAKNMGFPDMPTIVEDAKVEKRWKKR >KJB39834 pep chromosome:Graimondii2_0_v6:7:2245751:2246935:1 gene:B456_007G033000 transcript:KJB39834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVILRGGSIPVTSPALTTSSPKVSLSRQSSFAGLYSADQRTLSSPLISLLSPMDKRKPKGTHPHIRRVLSDSDIARSVSRSPVGSRCISAGIPEEECASEDEVDRELQALVTGNGSDRGASFAPVWPESSIPKEEIGFSGDGLGKGGKHGGSHGDDSFDHKSKISDYYRGMLNLNPADSLLLRNYGKFLHEVEKDTERAEEYYGRAILVSPGDGEVLSLYAKLIWERHRDKSRARSYFDRAMTASPDDCMVLGSYAHFMWETEEDDGDNEEDELDDIFGISAPLVPVF >KJB41383 pep chromosome:Graimondii2_0_v6:7:7582702:7584123:-1 gene:B456_007G102000 transcript:KJB41383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLTTLGFSFFFLYFLVSCDLSLSFSPFSLCAGTLLPGLPQIYHTARVFKAPFKYVFDVKDPGTHMLRLHFHRFSSPQLNLADSKFHVLVNGLVALTNFSGGRSVGPKVIEYLLWFNSEKVEITFLPAEKSKFAFVSAIEVISAPKDLILETAQSVNGDKVKNFEGGPKVTPFNDSLWRTWLPDDDYFRSKEGSNRVYFSGRIKYQDGGASREVGPDNVYNSARLIESKNASIPNVIEGYQYLVRLHFCDIASISLGLLFFNVYDGHLAYKDLDPSAVTNYLLASSFYVDLVVDADHSGIVNVTVGPSNKSMAYAVDAILNGVEIMKMSNWMGSFDGTLPAESVLKCLTRKRRVGFLLPLIALVCLLLSLSAIIRRRKEKVESVLRSKLPMEVHEISPKQGKQQLSSINTWLWVHWVL >KJB39253 pep chromosome:Graimondii2_0_v6:7:319855:323219:1 gene:B456_007G003800 transcript:KJB39253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISITDFYHVMTAMVPLYVAMVLAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFIASNDPYSMNFRFIAADTLQKLIVLAVLAVWTKVSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFLFEYRAAKMLISEQFPGTAGSIVSIHVDSDVVSLDGRQPIETEAEIKEDGKLHVTVRKSNASRSDIFSRRSHGFTSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAGGRNSNFGSADVYGLSASRGPTPRPSNYEEDGAAAGKPRFHYQAPGGGGGGGGATHYPVPNPGMFSPTGSKPLGGGNANANAKRPNGHPQQKSEDGGRDLPVFVWSSSASPVSDVFGGTGHDYGAADQKDVRLAVSPGKVEGHRENREEYMEREDLSFAKGGMNGEMNKHEGDKLGDGNGKPNTMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLISFRWNVEMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVAAFAMAVRFLTGPAVMAAASIAVGLRGVLLRVAIVQAALPQGIVPFVFAKEYSLHPDILSTAVIFGMLIALPITLVYYILLGI >KJB39710 pep chromosome:Graimondii2_0_v6:7:1831092:1831562:-1 gene:B456_007G026500 transcript:KJB39710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRSLCNRVTIMARRYQPSFAYVLHEEEHMLINKKETNLICVNPAGNEAKPGGNVEVVGKQGCEFPVGG >KJB43914 pep chromosome:Graimondii2_0_v6:7:26672271:26674462:1 gene:B456_007G223500 transcript:KJB43914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLFRLVSLQSSDQSFNSSRTSSSSRSSKQNFHIHYQQEDQECFNCFMDEDDYSSSSSQHCYPYHHHQPHLSSTTKNTSTATAHHVFRTSGSDFSTFSLAWDLNFDFSGKWATDILLETATAISDRNGGRVQQLMWMLNELSSPYGDTEQKLASYFLQALFRRITDTGERCYRTLDTVSDKTRSFESTRKMVLKFQEVSPWTTFGHVACNGAVMEAFEGERKLHIIDISNTYCTQWPTLLEALATRTDETPKLRLTTIVPSKKGGVSGVSVKKVMKEIGNRMVKFARLMGVPFKFKVIHHPGDLCDLDLSELDINEDEALAINFVGTLHSITAVDNRRDLVISNFRRLQPRIITVIEEEADLDVGVDGLEFVKGFHECLRWFRVYFEALDDCFERTSNVRLMLEQAAGRAIIDLVACSPSMSIEMREPATRWSWRFKASGFNPVVLSDEVCDDVRALLRRYKEGWSMAQCPDAGIFLSWKDQPVVWASAWRP >KJB39789 pep chromosome:Graimondii2_0_v6:7:2347214:2348339:-1 gene:B456_007G034400 transcript:KJB39789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH79 [Source:Projected from Arabidopsis thaliana (AT5G62610) UniProtKB/Swiss-Prot;Acc:Q9LV17] MDPPLINESSFSAANPSAYSLAEIWPFPINPGSDPTAVTGGGLGLRIGNLGGFGETSGLRDGSMEESTVTEQSVGCGGGRKRKELSSEDESSKIVSTTTSANELNDSDGKRMKTPVSKNGNTGSKEAEVEASSADGSKPDKNSKPAEPPKQDYIHVRARRGQATDSHSLAERARRERISERMKILQDLVPGCNKVFIVV >KJB39787 pep chromosome:Graimondii2_0_v6:7:2345245:2348717:-1 gene:B456_007G034400 transcript:KJB39787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH79 [Source:Projected from Arabidopsis thaliana (AT5G62610) UniProtKB/Swiss-Prot;Acc:Q9LV17] MDPPLINESSFSAANPSAYSLAEIWPFPINPGSDPTAVTGGGLGLRIGNLGGFGETSGLRDGSMEESTVTEQSVGCGGGRKRKELSSEDESSKIVSTTTSANELNDSDGKRMKTPVSKNGNTGSKEAEVEASSADGSKPDKNSKPAEPPKQDYIHVRARRGQATDSHSLAERARRERISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQQQVEFLSMKLEAVNSRINVNPSFEGFHSKDLGLQPIDGAGMIFGSQTAREYAQGLHPEWLQMHVGGNFERAT >KJB39788 pep chromosome:Graimondii2_0_v6:7:2345231:2348740:-1 gene:B456_007G034400 transcript:KJB39788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH79 [Source:Projected from Arabidopsis thaliana (AT5G62610) UniProtKB/Swiss-Prot;Acc:Q9LV17] MDPPLINESSFSAANPSAYSLAEIWPFPINPGSDPTAVTGGGLGLRIGNLGGFGETSGLRDGSMEESTVTEQSVGCGGGRKRKELSSEDESSKIVSTTTSANELNDSDGKRMKTPVSKNGNTGSKEAEVEASSADGSKPDKNSKPAEPPKQDYIHVRARRGQATDSHSLAERARRERISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQQQVEFLSMKLEAVNSRINVNPSFEGFHSKDPIDGAGMIFGSQTAREYAQGLHPEWLQMHVGGNFERAT >KJB45539 pep chromosome:Graimondii2_0_v6:7:52500160:52505928:1 gene:B456_007G310900 transcript:KJB45539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALESSIFSRPTFIYSTKSSSFMFFNRNLKNQSKFLPKTNHYPTPVISTCSNPQAFKAFGIPKNGSFGHVGSLREENEGVGNDQKLVLVKRGILVAMVCGVLVFGCKRVFAVDGVANAGYGVIGQCILLLRNAWPKASMILKVFKEQGLVLTALLGLSAFFSMAETAITTLWPWKVRELADKDSEDGVFKMLSSDVTRFLTTILIGTTVVNIGATALVTDAATAIFGEAGVTAATGVMTVAILLLTEITPKSIAVHNPTEVARFVVRPVAWLSVILYPVGRVVTYLSMGMLKILGLKGKSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASSTLVEFHNLWLTHQYSRVPAFEQRIDNIVGIAYAMDLLDYVPKGELLESTTVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRAEGIFDVDANTSIDQLSEDLNIKMPEEHQYETVSGFVCEAFGYIPRTGESVKVELERGNQEEEDENSEAASDRQDLKERRQIYKLEILAGNARKVSAVRFERVNNEEALLDAMSVTPMVPKIMKKWSKDEDSNNGKHNEDTFENKQEDNLLDDHYVIADHKDDNESSNGQ >KJB45538 pep chromosome:Graimondii2_0_v6:7:52500160:52504666:1 gene:B456_007G310900 transcript:KJB45538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALESSIFSRPTFIYSTKSSSFMFFNRNLKNQSKFLPKTNHYPTPVISTCSNPQAFKAFGIPKNGSFGHVGSLREENEGVGNDQKLVLVKRGILVAMVCGVLVFGCKRVFAVDGVANAGYGVIGQCILLLRNAWPKASMILKVFKEQGLVLTALLGLSAFFSMAETAITTLWPWKVRELADKDSEDGVFKMLSSDVTRFLTTILIGTTVVNIGATALVTDAATAIFGEAGVTAATGVMTVAILLLTEITPKSIAVHNPTEVARFVVRPVAWLSVILYPVGRVVTYLSMGMLKILGLKGKSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASSTLVEFHNLWLTHQYSRVPAFEQRIDNIVGIAYAMDLLDYVPKGELLESTTVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRAEGIFDVDANTSIDQLSEDLNIKMPEVYY >KJB46399 pep chromosome:Graimondii2_0_v6:7:59777385:59778167:1 gene:B456_007G365600 transcript:KJB46399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSKDCGGHHKFPRKNFRRVISCILILLLLILITILLIWAILRPSKPNFTLLDTTVYAFNATTFNFLTSNFQITVRSENPNDDIGIYYDQLDVYATYRNQEITLRTRFPPTYQGHNEVNIWSPIIYGTMVPISPEYSVALGAEQMAGSVFLVIKIDGRLRWKVGTFVTERYHIHARCPAYITFGEQSDGVLVGENVVKFQFYSRCSVSL >KJB42027 pep chromosome:Graimondii2_0_v6:7:10815000:10816907:1 gene:B456_007G133100 transcript:KJB42027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNLHLLFFLFFILPSFPSLLAQSVDVSSCPLNVSILRPVFSDSSRPRSSMECHHIRQGLRLLLSDYLRRTGYFFPPANTSESCWQSYQSLVPDFDIRSSCGFQTAWISQGCMNLTTKVEFEALIPNTTLDDVVSNCNQSLQGSACASCTTSLSNLQTSYLTDNSIANVSDCSAYPSIYAAAVANYLGPTDEGTASCIFSIQLSDNHGSKGKSEQRGVILGVLIGVGVGLVVLIGGSWFVYRKYQDSKRQKGRDRIRSLEMGSLGGSGLASFSESTHLVKFTFDEIKEATRNFSRDNMIGKGGYGNVYKGYLPDGSEVAFKRFKNCSAAGDANFTHEVEVIASVRHVNLVALRGYCTATPTTPLEGHQRIIVCDLMKNGSLHDHLFDSMERRLSWPLRQKIALGTARGLAYLHYGAQPAIIHRDIKASNILLDEKFEAKVADFGLAKFTPEGMTHLSTRVAGTKGYVAPEYALYGQLTERSDVYSFGVVLLEMLSGKKALTMSDDNQPSLVADWAWSLVRNGKTLDVIEDGMPELGPPEVLEKYVLIAVLCSHPELLCRPSMDQVVKMLETDISVHSIPERPIPLVAPISDIEKSISSNGSSQLSSSAGYRTFTYESSHHSTSKEEGISSGFFE >KJB40002 pep chromosome:Graimondii2_0_v6:7:2915532:2916421:-1 gene:B456_007G041700 transcript:KJB40002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVMSSLKMAGNILCSASSFIRKCIFGVLRVGPIPNHIAFIMDGNRRYAKKQKLSHDGAAGGGGRYDAGFWALMVMLLYCQELGVKYITAYAFSIDNFKRKPEEVESLMASMLEKFHLLDILVKRLAVRVHFAGNLELLSNELRASAMELTEATAAYSKSVLTICIAYTSRDEILHAVRESCEQKRIDLEFDNDDDNEIKLMKVEKNMYMAVAPDPDIIIRTGGENRLSNFLLWQSSRSHLHSMWVLWPEIGVWNLVFAILNFQRNKTYHLEMKKKEKEL >KJB45569 pep chromosome:Graimondii2_0_v6:7:52679409:52681607:1 gene:B456_007G312900 transcript:KJB45569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALNVVQTSHSFGDLMLLMKAAELQQERPWSYMVEMLYHISSLEAVDFLDKFLSMNSDTSGCVKLHDFLDVEKNGSITFKQFLFGIAHVMKKPLLMQACELAFAECDVTNGMQAPWLVEWLVEIGICKA >KJB42573 pep chromosome:Graimondii2_0_v6:7:13791461:13794100:-1 gene:B456_007G158100 transcript:KJB42573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMRFALIFIFLVLLLTKVALASNSTAIPIAKPGCNDTCGQVRVPYPFGIGPDCYRDSWFEVLCNETLSPPTTLVKKINMEVLNFSYVPEYTSIEFVRVKSPVISKNCSPRETEQRVNLTGSPFFYSETRNKFVAAGCNNRALMAGINPTIVGCESDCINGDTLFGSPGPDKTCNSGTCCETVIPSDLKTFNATFERNEPEGCQLAFLVDQQWFDFSIENSSRLQNTEYVPALLDWSLPPEDYGTSNYSGRLYCSYGYWGNPYLPYGCHDIDECLEDKRRCGDDTCVNKPGHFVCEGSRTWIIALGLGLGFGILCLVVGGWLLYKFLKKRREVKLKEKFFKRSGGFLLQQQMFSHKGSLEKTKIFTSKELDKATDNFNKNRVLGQGGQGTVYKGMLVDGRIVAVKKSKAMVAETVEEFINEVVILSQINHRNVVKLLGCCLETEVPLLVYEFIPNGTLFQYLHDQSEEFPLPWGTRLRIAKETAEAISYLHFSASIPIYHRDIKSTNILLDEKFKAKVSDFGTSRSISVDQTHLTTRASQFTEKSDVYSFGVVLVELLTGEKPILSLKMADKSRSLATHFIASMEENRVLEIIDGRVEKQAKVEELMMVAKLAYRCLSLSGKKRPTMKEVAMQLEQIMPLPKQNDSNVDHHHGREEINYLKLDLPFPLESSSLTDSSLFSGTSCSTEKEPLV >KJB40253 pep chromosome:Graimondii2_0_v6:7:3751613:3754628:-1 gene:B456_007G053900 transcript:KJB40253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQRCVPAPFLTKTYKLVDDPITDDVISWNENGTSFVVWNIADFAKDLLPKYFKHDNFSSFVRQLNTYGFRKVVQDKWEFANENFKRGQKELLSEMRRRKAVTPSPANGKTPAAVPSSPTKSGEDQGSTSTSSLDSKNPGSVETKQETMNVFSNLSNENEKLKKHNELLSSELAQAKKQCDELVAFLTERVKVSPDQFNRIMRQGSYDSTRDDDDHGRRYGAGDPDDHDHDDDDENGSQDWNGSLKLFGVWLKGAGKKRLPKEKKIVYGEPYAKQMKTVDFNHAGMRMKSGKVCN >KJB43375 pep chromosome:Graimondii2_0_v6:7:19715070:19720301:-1 gene:B456_007G196800 transcript:KJB43375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDGGEEEQMQESTECHERIFVSVRLRPLSEKEIVRHDVSDWECINDNTIIYRSKLSVSERSMYPTAYKFDNVFGSDCPNRQVYETGAKEVVLSVVSGINSSVFAYGQTSSGKTYTMTGITEYAMADIYDYIQRHKEREFILKFSAIEIYNESVRDLLSADSSPLRLLDDPERGIVVEKLTEETLRDWNHFKDLLSVCEAQRQIGETSLNEASSRSHQILRVTIESSAREFLGNDKSSMLAATVSFVDLAGSERASQSLSAGARLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQSRNTLLFASCAKEVTTNAQVNVVMSDKALVKQLQRELARLENELKSAGTASISFDSAMLLREKDLEIEKLKKQVILLTQQIDYAQSEVEDLRQVVNNESPADERPVKIWAADPDPQYPKLQVQNSWDYESSIMARHALAVGLRSSPPDRQSYSSEESFLQLPDFKLNIPRHSSSPWLSPKIPNFVAINHRLEENDDHVDENSEAVCKEVRCIDSGRSSTNTYSNSNLSVSSPKIYQNYNMSSPRESSAISGLIDVGNEARLKRESSSLHWKNSSNHLDVAIPSPEKPCLWRLQEEISSHRSLQLTRSRSCKASFMTDLTSKWIERVEKDESTPPIGNEKYFTGRPESIRRKLSALKYDLQNKGLSSNGSQTSATSATVYKVKAQISINESQSSLTSATDETSNLKHEKKLANQAVQVTEPVLHGKTVTDIGLDPISDNYGSPRTWSSEFKRLQREIIELWHACNVSLVHRTYFLMLFKGDPKDYIYMEVEHRRLSFLNNVISHGNQTVENGLVLTRASSLKSLRRERHMLSQQMNKRFSKAERKNLFLKWGIGLNTKHRRLQLAHCLWIDSKDMDHITESAAIVAKLVGLTDPEKTFKEMLGLNFTPGKCSNKRSYGLKCSAMSIL >KJB43376 pep chromosome:Graimondii2_0_v6:7:19715070:19720626:-1 gene:B456_007G196800 transcript:KJB43376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDGGEEEQMQESTECHERIFVSVRLRPLSEKEIVRHDVSDWECINDNTIIYRSKLSVSERSMYPTAYKFDNVFGSDCPNRQVYETGAKEVVLSVVSGINSSVFAYGQTSSGKTYTMTGITEYAMADIYDYIQRHKEREFILKFSAIEIYNESVRDLLSADSSPLRLLDDPERGIVVEKLTEETLRDWNHFKDLLSVCEAQRQIGETSLNEASSRSHQILRVTIESSAREFLGNDKSSMLAATVSFVDLAGSERASQSLSAGARLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQSRNTLLFASCAKEVTTNAQVNVVMSDKALVKQLQRELARLENELKSAGTASISFDSAMLLREKDLEIEKLKKQVILLTQQIDYAQSEVEDLRQVVNNESPADERPVKIWAADPDPQYPKLQVQNSWDYESSIMARHALAVGLRSSPPDRQSYSSEESFLQLPDFKLNIPRHSSSPWLSPKIPNFVAINHRLEENDDHVDENSEAVCKEVRCIDSGRSSNEARLKRESSSLHWKNSSNHLDVAIPSPEKPCLWRLQEEISSHRSLQLTRSRSCKASFMTDLTSKWIERVEKDESTPPIGNEKYFTGRPESIRRKLSALKYDLQNKGLSSNGSQTSATSATVYKVKAQISINESQSSLTSATDETSNLKHEKKLANQAVQVTEPVLHGKTVTDIGLDPISDNYGSPRTWSSEFKRLQREIIELWHACNVSLVHRTYFLMLFKGDPKDYIYMEVEHRRLSFLNNVISHGNQTVENGLVLTRASSLKSLRRERHMLSQQMNKRFSKAERKNLFLKWGIGLNTKHRRLQLAHCLWIDSKDMDHITESAAIVAKLVGLTDPEKTFKEMLGLNFTPGKCSNKRSYGLKCSAMSIL >KJB40916 pep chromosome:Graimondii2_0_v6:7:5886628:5887037:1 gene:B456_007G082300 transcript:KJB40916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHSDIEMIKDEGGIIRKEEIKSKVEQLVGDENFKTRAVELKQMVTKSVGDGGSSDKVFKNFVQWLKS >KJB42906 pep chromosome:Graimondii2_0_v6:7:16016226:16018260:1 gene:B456_007G173600 transcript:KJB42906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSLTLNNKEKGAQQPPSINSQNPGSNFFNNLLHFFLILLFDRPFSSEKKTQTSSMSLLHLFQVSKKAFGFVSRQESQPNSTLPEELCIRQFSLAEIKASTANFDEGFIIGISNFGSVYKGVIDDGTFTVAIRRMKFSLSAFRTEVVFLSQLNHLNVESLIGFCNEKGETILVYEYLSNGSLFDCLHGNGISCNPIAWEKRLQICIGAARGLHYLHTGVKYIVLHRNVTSNTILLDHELVPKLSGFFLSRLGPHSMSNASSKKQSLDVMATFGYLYSENLLGKNDVYAFGVVLLEVICGKTPVFEANGQERSLAAWANWCFKNGTIYHNIDPYLKGRVAPECFNKYVEIAMSCISYSADERPSMGEVESTLQDALELQKKADSEMKSIIPHSEVMYEDEQFCAP >KJB42905 pep chromosome:Graimondii2_0_v6:7:16014522:16018624:1 gene:B456_007G173600 transcript:KJB42905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSLTLNNKEKGAQQPPSINSQNPEKKTQTSSMSLLHLFQVSKKAFGFVSRQESQPNSTLPEELCIRQFSLAEIKASTANFDEGFIIGISNFGSVYKGVIDDGTFTVAIRRMKFSLSAFRTEVVFLSQLNHLNVESLIGFCNEKGETILVYEYLSNGSLFDCLHGNGISCNPIAWEKRLQICIGAARGLHYLHTGVKYIVLHRNVTSNTILLDHELVPKLSGFFLSRLGPHSMSNASSKKQSLDVMATFGYLYSENLLGKNDVYAFGVVLLEVICGKTPVFEANGQERSLAAWANWCFKNGTIYHNIDPYLKGRVAPECFNKYVEIAMSCISYSADERPSMGEVESTLQDALELQKKADSEMKSIIPHSEVMYEDEQFCAP >KJB38854 pep chromosome:Graimondii2_0_v6:7:31603119:31605811:-1 gene:B456_007G2361001 transcript:KJB38854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine biosynthesis bifunctional protein hisIE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31860) UniProtKB/Swiss-Prot;Acc:O82768] MAISSLPCIQPVRVPFKACSFFPASVCGGFNNKKRINAVVFSSLRKISNDINIESKIIYLGKPDGPTCHTGSETCYFTSIGDLFKEQEVEETNLALTTLYSLEATISKRELELAGKHGKPSWTKQLLLDENLLCSKIREEADELCRTLEEKEDSSCTVSEMADVIYHAMVLLRHKDVKIENVLEVLGEDSLNRV >KJB38855 pep chromosome:Graimondii2_0_v6:7:31602033:31605819:-1 gene:B456_007G2361001 transcript:KJB38855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine biosynthesis bifunctional protein hisIE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31860) UniProtKB/Swiss-Prot;Acc:O82768] MAISSLPCIQPVRVPFKACSFFPASVCGGFNNKKRINAVVFSSLRKISNDINIESKIIYLGKPDGPTCHTGSETCYFTSIGDLFKEQEVEETNLALTTLYSLEATISKRELELAGKHGKPSWTKQLLLDENLLCSKIREEADELCRTLEEKEDSSCTVSEMADVIYHAMVLLRHKDVKIENVLEVLGEDSLNRV >KJB38851 pep chromosome:Graimondii2_0_v6:7:31602033:31605811:-1 gene:B456_007G2361001 transcript:KJB38851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine biosynthesis bifunctional protein hisIE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31860) UniProtKB/Swiss-Prot;Acc:O82768] MAISSLPCIQPVRVPFKACSFFPASVCGGFNNKKRINAVVFSSLRKISNDINIESKIIYLGKPDGPTCHTGSETCYFTSIGDLFKEQEVEETNLALTTLYSLEATISKRELELAGKHGKPSWTKQLLLDENLLCSKIREEADELCRTLEEKEDSSCTVSEMADVIYHAMVLLRHKDVKIENVLEVLGEDSLNRV >KJB38852 pep chromosome:Graimondii2_0_v6:7:31603156:31604560:-1 gene:B456_007G2361001 transcript:KJB38852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine biosynthesis bifunctional protein hisIE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31860) UniProtKB/Swiss-Prot;Acc:O82768] MNPGFFATTHAHLMLILLPIIYLGKPDGPTCHTGSETCYFTSIGDLFKEQEVEETNLALTTLYSLEATISKRELELAGKHGKPSWTKQLLLDENLLCSKIREEADELCRTLEEKEDSSCTVSEMADVIYHAMVLLRHKDVKIENVLEVLGEDSLNRV >KJB38853 pep chromosome:Graimondii2_0_v6:7:31602896:31605781:-1 gene:B456_007G2361001 transcript:KJB38853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine biosynthesis bifunctional protein hisIE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31860) UniProtKB/Swiss-Prot;Acc:O82768] MAISSLPCIQPVRVPFKACSFFPASVCGGFNNKKRINAVVFSSLRKISNDINIESKIIYLGKPDGPTCHTGSETCYFTSIGDLFKEQEVEETNLALTTLYSLEATISKRELELAGKHGKPSWTKQLLLDENLLCSKIREEADELCRTLEEKEDSSCTVSEMADVIYHAMVLLRHKDVKIENVLEVLGEDSLNRV >KJB38850 pep chromosome:Graimondii2_0_v6:7:31602896:31605920:-1 gene:B456_007G2361001 transcript:KJB38850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine biosynthesis bifunctional protein hisIE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31860) UniProtKB/Swiss-Prot;Acc:O82768] MAISSLPCIQPVRVPFKACSFFPASVCGGFNNKKRINAVVFSSLRKISNDINIESKIIYLGKPDGPTCHTGSETCYFTSIGDLFKEQEVEETNLALTTLYSLEATISKRELELAGKHGKPSWTKQLLLDENLLCSKIREEADELCRTLEEKEDSSCTVSEMADVIYHAMVLLRHKDVKIENVLEVLGEDSLNRV >KJB46644 pep chromosome:Graimondii2_0_v6:7:55543392:55544770:1 gene:B456_007G3326003 transcript:KJB46644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NIIDVEMKKLGENMVKDCAGLPLAIVVLGGILATKNNSLNEWQKISDNLKSYLKRGKNQGPEDVLALSYDDLPPYLRPCFLYLSLFPEDYMIDVDRLIQLWVAEGIVSSKQEERDGGEIAEDVAESYLMELVERCMIQVRERDVATLKVKTIQMHDLMRDLCLSKAKQENFVFIVDQSNASSLSMIRKRYMFNNFQLLRALNYERTTGDRFAGFKLPSDIGNLIHLRFLSLKDLEFLWPKLPSSLGNLRCLQTLDLRVDRDKIHVPNVIWRMEQLRHLYLPSRCESSTKLKLGTLRKLLTLVNFNTKNCYLKDLINMTNLRELGINLPFNIENFNEELGENPPIIGSKYLHSLSIITSGYESIDPRHLAHLLSNCTSICKLSIAAEISKLP >KJB46381 pep chromosome:Graimondii2_0_v6:7:59564949:59570569:-1 gene:B456_007G364000 transcript:KJB46381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPAMNNGRQTPAMETAADSSSSAFSFISKGWREVRDSADADLRLMKDRANSFKNIAASFDREIENLIYSATPTFTVPPIRQSSEIEFMKKLHPKMSEFRRVYSAPEISRKVMEKCGPRANLGIELSRIRSTIAAEVFGTVEEDMKGIIEFNKVRSEKLNEEGQFGEWEPIRVLKTRFREFEKKNSTVEIFAGFKKNEFVEKVKSSLKAICKEPQESKEVPPLDVPELLAVLVRQSGPFLDQFGIRRNLCDKIVENLCSKRKNQLVLRSLVAGEPPAVDPDKISDELDLRIASVLQSTGHCSERGGSWTDFMKPDVTDGKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQKVTLLVPWLCRSDQELVYPNNLTFGSPEEQENYIRNWLEESVGFKADFRISFYPGKFSRERRSIIPAGDTSQFIPSKNADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFFVKHINNLVTRAHCHRVLRLSAATQDMPKSVICNVHGVNPKFLKIGEKVAAERELGQQAFSKGAYFLGKMVWAKGYKELIDLLAKHKNDLNGFKLDVYGNGEDAHEVQSTAKRLDLNLNFQKGRDHADDSLQGYKVFINPSVSDVLCTATAEALAMGKFVVCADHPSNEFFSSFPNCLTYKTPEDFVAKVKEALANEPQPLTPEQRYNLSWEAATKRFIEYSELDRILNNEYSATTIRKSNGKAIARSVSMPTLSEMVDGGIAFAHYCLTGNEFLRLCTGAIPGTRDYGRQHCKDLHLLPPQVESPIYGW >KJB46378 pep chromosome:Graimondii2_0_v6:7:59564560:59570648:-1 gene:B456_007G364000 transcript:KJB46378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPAMNNGRQTPAMETAADSSSSAFSFISKGWREVRDSADADLRLMKDRANSFKNIAASFDREIENLIYSATPTFTVPPIRQSSEIEFMKKLHPKMSEFRRVYSAPEISRKVMEKCGPRANLGIELSRIRSTIAAEVFGTVEEDMKGIIEFNKVRSEKLNEEGQFGEWEPIRVLKTRFREFEKKNSTVEIFAGFKKNEFVEKVKSSLKAICKEPQESKEVPPLDVPELLAVLVRQSGPFLDQFGIRRNLCDKIVENLCSKRKNQLVLRSLVAGEPPAVDPDKISDELDLRIASVLQSTGHCSERGGSWTDFMKPDVTDGKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQKVTLLVPWLCRSDQELVYPNNLTFGSPEEQENYIRNWLEESVGFKADFRISFYPGKFSRERRSIIPAGDTSQFIPSKNADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFFVKHINNLVTRAHCHRVLRLSAATQDMPKSVICNVHGVNPKFLKIGEKVAAERELGQQAFSKGAYFLGKMVWAKGYKELIDLLAKHKNDLNGFKLDVYGNGEDAHEVQSTAKRLDLNLNFQKGRDHADDSLQGYKVFINPSVSDVLCTATAEALAMGKFVVCADHPSNEFFSSFPNCLTYKTPEDFVAKVKEALANEPQPLTPEQRYNLSWEAATKRFIEYSELDRILNNEYSATTIRKSNGKAIARSVSMPTLSEMVDGGIAFAHYCLTGNEFLRLCTGAIPGTRDYGRQHCKDLHLLPPQVESPIYGCYL >KJB46379 pep chromosome:Graimondii2_0_v6:7:59564949:59570569:-1 gene:B456_007G364000 transcript:KJB46379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPAMNNGRQTPAMETAADSSSSAFSFISKGWREVRDSADADLRLMKDRANSFKNIAASFDREIENLIYSATPTFTVPPIRQSSEIEFMKKLHPKMSEFRRVYSAPEISRKVMEKCGPRANLGIELSRIRSTIAAEVFGTVEEDMKGIIEFNKVRSEKLNEEGQFGEWEPIRVLKTRFREFEKKNSTVEIFAGFKKNEFVEKVKSSLKAICKEPQESKEVPPLDVPELLAVLVRQSGPFLDQFGIRRREPPAVDPDKISDELDLRIASVLQSTGHCSERGGSWTDFMKPDVTDGKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQKVTLLVPWLCRSDQELVYPNNLTFGSPEEQENYIRNWLEESVGFKADFRISFYPGKFSRERRSIIPAGDTSQFIPSKNADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFFVKHINNLVTRAHCHRVLRLSAATQDMPKSVICNVHGVNPKFLKIGEKVAAERELGQQAFSKGAYFLGKMVWAKGYKELIDLLAKHKNDLNGFKLDVYGNGEDAHEVQSTAKRLDLNLNFQKGRDHADDSLQGYKVFINPSVSDVLCTATAEALAMGKFVVCADHPSNEFFSSFPNCLTYKTPEDFVAKVKEALANEPQPLTPEQRYNLSWEAATKRFIEYSELDRILNNEYSATTIRKSNGKAIARSVSMPTLSEMVDGGIAFAHYCLTGNEFLRLCTGAIPGTRDYGRQHCKDLHLLPPQVESPIYGW >KJB46380 pep chromosome:Graimondii2_0_v6:7:59564565:59570648:-1 gene:B456_007G364000 transcript:KJB46380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPAMNNGRQTPAMETAADSSSSAFSFISKGWREVRDSADADLRLMKDRANSFKNIAASFDREIENLIYSATPTFTVPPIRQSSEIEFMKKLHPKMSEFRRVYSAPEISRKVMEKCGPRANLGIELSRIRSTIAAEVFGTVEEDMKGIIEFNKVRSEKLNEEGQFGEWEPIRVLKTRFREFEKKNSTVEIFAGFKKNEFVEKVKSSLKAICKEPQESKEVPPLDVPELLAVLVRQSGPFLDQFGIRRREPPAVDPDKISDELDLRIASVLQSTGHCSERGGSWTDFMKPDVTDGKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQKVTLLVPWLCRSDQELVYPNNLTFGSPEEQENYIRNWLEESVGFKADFRISFYPGKFSRERRSIIPAGDTSQFIPSKNADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFFVKHINNLVTRAHCHRVLRLSAATQDMPKSVICNVHGVNPKFLKIGEKVAAERELGQQAFSKGAYFLGKMVWAKGYKELIDLLAKHKNDLNGFKLDVYGNGEDAHEVQSTAKRLDLNLNFQKGRDHADDSLQGYKVFINPSVSDVLCTATAEALAMGKFVVCADHPSNEFFSSFPNCLTYKTPEDFVAKVKEALANEPQPLTPEQRYNLSWEAATKRFIEYSELDRILNNEYSATTIRKSNGKAIARSVSMPTLSEMVDGGIAFAHYCLTGNEFLRLCTGAIPGTRDYGRQHCKDLHLLPPQVESPIYGCYL >KJB39060 pep chromosome:Graimondii2_0_v6:7:53898699:53898926:1 gene:B456_007G322100 transcript:KJB39060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLACCFVLGCRRHPRSPRMGSQFLNGFGLVPSQTGVGLSYPPWLGCIYIFFFFSFSVYDIFPCFLT >KJB46629 pep chromosome:Graimondii2_0_v6:7:60913767:60915769:-1 gene:B456_007G379100 transcript:KJB46629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRATWGLKHAYGELETAETQPKEHNTTRVKFQLKKECSFGEHFFIVGDHPMLGSWDPESCIPLTWSEGHVWAVELDIPVGISVQFKFILKTTTGDILWQPGPDRIFKSLETENMIVVSEDWEAADYQEVLKEELQALSEELATGNGVPSLDKPFAIVAENPSYPTEDFMANKNAEALGMNRTKYPNNEAMAIANKNVVVAEDLTPLSTVATEEEMLDEDDKNSTSDTSVGVDEAKCDELSELVEKQEPEGEPWEEKITAVTYNGLEQLDSCTFN >KJB46630 pep chromosome:Graimondii2_0_v6:7:60913767:60916346:-1 gene:B456_007G379100 transcript:KJB46630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLTSPCSKPIFGNNRDRGFSSFQHLSLTRAQVCLLPSKKLARIRFLHLVSVQRRRLQPLFSSSSFSPDLQGELETAETQPKEHNTTRVKFQLKKECSFGEHFFIVGDHPMLGSWDPESCIPLTWSEGHVWAVELDIPVGISVQFKFILKTTTGDILWQPGPDRIFKSLETENMIVVSEDWEAADYQEVLKEELQALSEELATGNGVPSLDKPFAIVAENPSYPTEDFMANKNAEALGMNRTKYPNNEAMAIANKNVVVAEDLTPLSTVATEEEMLDEDDKNSTSDTSVGVDEAKCDELSELVEKQEPEGEPWEEKITAVTYNGLEQLDSCTFN >KJB46631 pep chromosome:Graimondii2_0_v6:7:60914345:60916346:-1 gene:B456_007G379100 transcript:KJB46631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLTSPCSKPIFGNNRDRGFSSFQHLSLTRAQVCLLPSKKLARIRFLHLVSVQRRRLQPLFSSSSFSPDLQGELETAETQPKEHNTTRVKFQLKKECSFGEHFFIVGDHPMLGSWDPESCIPLTWSEGHVWAVELDIPVGISVQFKFILKTTTGDILWQPGPDRIFKSLETENMIVVSEDWEAADYQEVLKEELQALSEELATGNGVPSLDKPFAIVAENPSYPTEDFMANKNAEALGMNRTKYPNNEAMAIANKNVVVAEDLTPLSTVATEEEMLDEDDKNSTSDTSVGVDEAKCDELSEVMA >KJB41581 pep chromosome:Graimondii2_0_v6:7:8404300:8407062:1 gene:B456_007G110500 transcript:KJB41581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLATSMLLCLSLSMSYYLQAFHAMAHEWPGGGSTRFYDFKVQTLRVTKLCNTKEIVTINRMFPGPVIYAQEDDRIIVKVTNVTPYNATIHWHGVRQKLSCWFDGPSYITQCPIQAGQTFTYEFTLVKQKGTFFWHAHVSWLRATAHGAIVVYPKTGIPYPFKQPYEEHIIILGEYWLRDVVQLERQVLASGGAAPPADAFTINGHPGPNYNCSRNDVYRIEVVPGKTYLLRLINAGLNMENFFAIAYHNLTVVEVDAEYTKSFTTDSVMLGPGQTMNVLVTADQPIGKYSMAMGPYMSAQNVSFQNISAIAYFQYLGAAPNCLSLPAKLPRFNDSLAVMTVMDGLRSLNPVDVPKEIDASLFVTVGLNINKCQSKTPQWNCQGTNNGTFAASMNNISFVNPTVSVLEAYYKKIGGHFTEDFPDSPLKFYDFVNGAPNNAPNNTQATNGTRTKVLEYGSRVQVIFQDTGTVTTENHPIHLHGYSFYVVGYGTGNFNPQTADFNLIDPPYMNTIGVPVGGWAAIQFVADNPGVWFMHCHLDIHQSWGLGAVLIVENGKGELETLPHPPADLPRC >KJB45433 pep chromosome:Graimondii2_0_v6:7:51904378:51906221:1 gene:B456_007G305600 transcript:KJB45433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVLAKDRKILVAVDEGEESMYALSWCLKNIISQCCKDTLFLLYVRSAQAFHSSLDGTGYLFSSDVLATVDKYSNDVANCIIEKAKRMCREKGDDEVKVEVIIESGDPRDVICRVAENINADVLVMGSHGYGLIQRAFLGSVSNHCAQNVKCPVLIVKKPKSSSSATGTK >KJB42467 pep chromosome:Graimondii2_0_v6:7:13201784:13204081:-1 gene:B456_007G154500 transcript:KJB42467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEGKVYTLAEVSQHNNAKDCWLIIEGKVYDVTKFLEDHPGGDEVLLSATGKDASDDFEDVGHSSSARAMMDEFYVGDVDTSTIPTKTKYTPPKQPHYEQDKTSDFVIKLLQFLVPLLILGLAFGVRFYTKSPA >KJB42468 pep chromosome:Graimondii2_0_v6:7:13201840:13203146:-1 gene:B456_007G154500 transcript:KJB42468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEQVYDVTKFLEDHPGGDEVLLSATGKDASDDFEDVGHSSSARAMMDEFYVGDVDTSTIPTKTKYTPPKQPHYEQDKTSDFVIKLLQFLVPLLILGLAFGVRFYTKSPA >KJB44880 pep chromosome:Graimondii2_0_v6:7:47527318:47530599:1 gene:B456_007G277500 transcript:KJB44880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRLRKPSEPTMHRQVEPSKSSVRRWRVAVTAISVTRFLVGLTKKVAEKNAELLRSLSFVTIDVEGSGDERVPILDVDPQGLAKMVKDKSFQSLNDQYGGVKQVATLLQTDFKTGIPGDDNDLALRTKVFGANKYQKQPAKSFFSFVLEAFKDTIIIILLVCAVLSLAFGIKQHGLKEGWYDGGSIIVAVVLVVVVSAVSNYRQSKQFEELSHETNDIRVQVVRNRRYQPVSIFELVVGDIVSLKTGDQIPADGLFVEGHSLKVDESSMTGESDHVEVNEKKNPFLLSGTKVTDGHGYMLVTAVGMNTAWGEMMSSIRRDLNEETPLQVRLSKLTSYIGNIGLSVAVLVLLVLLIRYFTGHTKAENGRSAFNGSRTKFDDVMNSVVSIIAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMRDHAMVRKLSACETMGSATIICTDKTGTLTLNEMKVTEFWLGKEPIDNSMSSEIAPNVLQLLSEGVGLNTTGTVYKPEPTSVPEIYGSPTEKAILSWALNDMGLNIDESKQSCEIIHVEAFNSEKKRSGVLIRRSNNKRVLATHWKGAAEMLLAMCSCYCDKKGVLKFMNEDERAHIGMVIESMAAKSLRCIAFATSDVTVTDGNEENHTKLEETGLTWLGLVGLKDPCRPGVKQAVESCKKAGVSIKMITGDNMHTARAIAFECGILNSESSLHNEAVVEGVQFRNYSEEERRQKIETIRVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFTSVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSSGDVPLTAVQLLWVNLIMDTLGALALATEQPTNDLMDKRPVGRTEPLITKVMWRNLIAQALYQVAILLILQFKGKSIFGVPEEVKDTLIFNTFVLCQIFNEFNARNMDKKNIFKGIHKNRLFLAIIGITLVLQAIMVEFLQRFANTERLSWEQWGACIGIAALTWPIGWIVKCIPVDKKVQTRSSAAS >KJB39297 pep chromosome:Graimondii2_0_v6:7:1030117:1031647:-1 gene:B456_007G013200 transcript:KJB39297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKMVFLVSLFFVVYISLVLFRFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKSGTSEHLPPPRPKRKAAHPYPQKASKNAVLGQPQVSEPLQSPAALLDTGYVLRSDPSLMLADPVTRAAASPQTNNAQTISFAQDKKGPGMANNSCSSTESTRKGTEVGDTTDQGNHGHALRVLPDFAQVYSFIGSVFDPNTKGHMQKLKKMDPIDVETVLLLMRNLSINLTSPDFEDHRRLLSSYEIDTETNNINSGACKAVDNSQSKRIT >KJB39294 pep chromosome:Graimondii2_0_v6:7:1030117:1032407:-1 gene:B456_007G013200 transcript:KJB39294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPGLGPLSTAETAASTVSSSEDPNKKIRKPYTISKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKSGTSEHLPPPRPKRKAAHPYPQKASKNAVLGQPQVSEPLQSPAALLDTGYVLRSDPSLMLADPVTRAAASPQTNNAQTISFAQDKKGPGMANNSCSSTESTRKGTEVGDTTDQGNHGHALRVLPDFAQVYSFIGSVFDPNTKGHMQKLKKMDPIDVETVLLLMRNLSINLTSPDFEDHRRLLSSYEIDTETNNINSGACKAVDNSQSKRIT >KJB39296 pep chromosome:Graimondii2_0_v6:7:1030117:1031647:-1 gene:B456_007G013200 transcript:KJB39296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKMVFLVSLFFVVYISLVLFRFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKSGTSEHLPPPRPKRKAAHPYPQKASKNVLGQPQVSEPLQSPAALLDTGYVLRSDPSLMLADPVTRAAASPQTNNAQTISFAQDKKGPGMANNSCSSTESTRKGTEVGDTTDQGNHGHALRVLPDFAQVYSFIGSVFDPNTKGHMQKLKKMDPIDVETVLLLMRNLSINLTSPDFEDHRRLLSSYEIDTETNNINSGACKAVDNSQSKRIT >KJB39293 pep chromosome:Graimondii2_0_v6:7:1029607:1032533:-1 gene:B456_007G013200 transcript:KJB39293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPGLGPLSTAETAASTVSSSEDPNKKIRKPYTISKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKSGTSEHLPPPRPKRKAAHPYPQKASKNVLGQPQVSEPLQSPAALLDTGYVLRSDPSLMLADPVTRAAASPQTNNAQTISFAQDKKGPGMANNSCSSTESTRKGTEVGDTTDQGNHGHALRVLPDFAQVYSFIGSVFDPNTKGHMQKLKKMDPIDVETVLLLMRNLSINLTSPDFEDHRRLLSSYEIDTETNNINSGACKAVDNSQSKRIT >KJB39295 pep chromosome:Graimondii2_0_v6:7:1029607:1032533:-1 gene:B456_007G013200 transcript:KJB39295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPGLGPLSTAETAASTVSSSEDPNKKIRKPYTISKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKSGTSEHLPPPRPKRKAAHPYPQKASKNAVLGQPQVSEPLQSPAALLDTGYVLRSDPSLMLADPVTRAAASPQTNNAQTISFAQDKKGPGMANNSCSSTESTRKGTEVGDTTDQGNHGHALRVLPDFAQVYSFIGSVFDPNTKGHMQKLKKMDPIDVETVLLLMRNLSINLTSPDFEDHRRLLSSYEIDTETNNINSGACKAVDNSQSKRIT >KJB39292 pep chromosome:Graimondii2_0_v6:7:1029626:1032501:-1 gene:B456_007G013200 transcript:KJB39292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPGLGPLSTAETAASTVSSSEDPNKKIRKPYTISKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKSGTSEHLPPPRPKRKAAHPYPQKASKNVLGQPQVSEPLQSPAALLDTGYVLRSDPSLMLADPVTRAAASPQTNNAQTISFAQDKKGPGMANNSCSSTESTRKGTEVGDTTDQGNHGHALRVLPDFAQVYSFIGSVFDPNTKGHMQKLKKMDPIDVETVLLLMRNLSINLTSPDFEDHRRLLSSYEIDTETNNINSGACKAVDNSQSKRIT >KJB44159 pep chromosome:Graimondii2_0_v6:7:32411935:32415159:1 gene:B456_007G238000 transcript:KJB44159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIIASSFTFLLLLIINIALVLATTSTAISGAKDWCKDSCGNVSVPYPFGIGPDCFLNSWFEVSCNESSTPPTILKKINMEVFNFSYDLGYGWEYLRVKSPVILHNCSGRERETKNQTVHLTGSPFFYSGRNKFIAAGCNNKALIAGIKPRIVGCESTCNGDTLFGTSNPNKTCNGSTCCETVIPSYLQTFNASFESKTSSGPQGCKLAFVVDEEWLNFNITNPSDLLNMDSVPALIDWALPNGSTPLISSESVQSYVMYTSESSNISWRYVCSDGYRGNPYLPIGCQDIDECEEERHKISCGDATCVNVPGFYRCEGSKTWIIALGVGVGCGVLSLVMGGWWLYKLLKKRRNVKLKKKFFKRNGGLLLQQQMYSHEGSLEKTKIFTSKELDKATNNFNNNRVVGQGGQGTVYKGMLADGRIVAVKKSIAMVVEEVEHFINEVVILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLFQYLHDKSEEFPLSWETRLRIAKETAEALWYLHSSASIPIYHRDIKSSNILLDEKFKAKVSDFGTSRSISIDQTHLTTHVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGERPIFSLEREKEGRSLATHFISSMEENRVMEIVDARVVGQAKDDELMMVAKLAYRCLSLSGKKRPTMKEVAIQLDQILPLLKDSNVDHHHNQEEIVDLKLDLPFPWDSTSSSINSSFFSRNICSIEKEPLEGTK >KJB45004 pep chromosome:Graimondii2_0_v6:7:48706376:48709942:-1 gene:B456_007G284500 transcript:KJB45004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSDSVSIAIDMVPFGGKEFLVKTSRGSLSVFVCGDQEKPALITYPDVALNYMSCFQGLFFCPDAASLLLHNFCIYHIDAPGHELGANVISPDVPLLSVDDLADQVAEVLDFFGLTRVLCLGVTAGAYILTLFAMKYNERVLGLILVSPVCKAPTWTEWLYNKVLMNLLYFYGMCGVLKECLLQRYFSKELRYGMHGAESEVIQACLRLLDERQSPNVMRFLQAINRRHDLTDDLKKLQCKTLIFVGESSPFHAESVHMSDKIGRKNCTLVEVKACGSLVTEEHPLAMLVPIEFFLMGFGFQRQPHFASSSSNGSNPSSPSSHSCIAPELLSPEGLGIKLKPIKTRADIEI >KJB41157 pep chromosome:Graimondii2_0_v6:7:6824418:6829425:1 gene:B456_007G093300 transcript:KJB41157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGGDAPPPLTANGGEFLLSLLQKPQSHHPPQQSPLPPGVAPMTVPQPQAQSLAIDPAVQAVGPTLPFSPSWSPNGRDLPSPWPHNLSPPFYPNLIGFRQNPWFSPGNQIAFNQEALVDDLRRIGISRIDSNNNHVIPNLTQLKHREQKLVFGSFPSDIQTLPKPDGVLQNSNLSFSNQQSNSRLNANPNSSPYFFQRRNSDERGKQQQHGGNCRSTPSAETPRPPPGFSGQPRRGGGSRDFGKNTRHVAHSVNKLKAELGHLSYDNETRLRGQLDHPVPPAGSNPQSVSVTEIEGPLLELHMDGGANGFSRRDKPRREDGGEVDEIEEKFVEPLLVEDESDDKNDKKRHHREKEYRKDNRGQRLLSQRERMLKRRTDCRSDILRLNSPLLAIYESLIPPVEEKAKQKQLLALLDKLVCKEWPEARLYLYGSCENSFGVSKSDIDICLAFNEDIHDKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPLTGISCDICVNNVLAVVNTRLLRDYAKIDVRLRQLAFIVKHWAKSRGVNATYQGTLSSYANVDLLSFHACSKGEKDWTRRIDNDRHLICIEDPFVVSHDLGRVVDKFSIKVLRAEFERAADVMHYDPNPWITLFEPYVPE >KJB41153 pep chromosome:Graimondii2_0_v6:7:6824418:6829431:1 gene:B456_007G093300 transcript:KJB41153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGGDAPPPLTANGGEFLLSLLQKPQSHHPPQQSPLPPGVAPMTVPQPQAQSLAIDPAVQAVGPTLPFSPSWSPNGRDLPSPWPHNLSPPFYPNLIGFRQNPWFSPGNQIAFNQEALVDDLRRIGISRIDSNNNHVIPNLTQLKHREQKLVFGSFPSDIQTLPKPDGVLQNSNLSFSNQQSNSRLNANPNSSPYFFQRRNSDERGKQQQHGGNCRSTPSAETPRPPPGFSGQPRRGGGSRDFGKNTRHVAHSVNKLKAELGHLSYDNETRLRGQLDHPVPPAGSNPQSVSVTEIEGPLLELHMDGGANGFSRRDKPRREDGGEVDEIEEKFVEPLLVEDESDDKNDKKRHHREKEYRKDNRGQRLLSQRERMLKRRTDCRSDILRLNSPLLAIYESLIPPVEEKAKQKQLLALLDKLVCKEWPEARLYLYGSCENSFGVSKSDIDICLAFNEDIHDKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPLTGISCDICVNNVLAVVNTRLLRDYAKIDVRLRQLAFIVKHWAKSRGVNATYQGTLSSYAYVLMCIHFLQQRRPAILPCLQGMETTFSVTVDDVECAYFDKVENLCNFGSSNQETIAQLVWAFFNYWAYIHDYANSVISVRTGSLICKGEKDWTRRIDNDRHLICIEDPFVVSHDLGRVVDKFSIKVLRAEFERAADVMHYDPNPWITLFEPYVPE >KJB41161 pep chromosome:Graimondii2_0_v6:7:6824429:6829056:1 gene:B456_007G093300 transcript:KJB41161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGGDAPPPLTANGGEFLLSLLQKPQSHHPPQQSPLPPGVAPMTVPQPQAQSLAIDPAVQAVGPTLPFSPSWSPNGRDLPSPWPHNLSPPFYPNLIGFRQNPWFSPGNQIAFNQEALVDDLRRIGISRIDSNNNHVIPNLTQLKHREQKLVFGSFPSDIQTLPKPDGVLQNSNLSFSNQQSNSRLNANPNSSPYFFQRRNSDERGKQQQHGGNCRSTPSAETPRPPPGFSGQPRRGGGSRDFGKNTRHVAHSVNKLKAELGHLSYDNETRLRGQLDHPVPPAGSNPQSVSVTEIEGPLLELHMDGGANGFSRRDKPRREDGGEVDEIEEKFVEPLLVEDESDDKNDKKRHHREKEYRKDNRGQRLLSQRERMLKRRTDCRSDILRLNSPLLAIYESLIPPVEEKAKQKQLLALLDKLVCKEWPEARLYLYGSCENSFGVSKSDIDICLAFNEDIHDKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPLTGISCDICVNNVLAVVNTRLLRDYAKIDVRLRQLAFIVKHWAKSRGVNATYQGTLSSYAEWRQPSLSLWMMLSVLTLIKSKTFAILDLLTRKLLLNWCGHSLTIGHIFMIMQIQ >KJB41162 pep chromosome:Graimondii2_0_v6:7:6824540:6828252:1 gene:B456_007G093300 transcript:KJB41162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGGDAPPPLTANGGEFLLSLLQKPQSHHPPQQSPLPPGVAPMTVPQPQAQSLAIDPAVQAVGPTLPFSPSWSPNGRDLPSPWPHNLSPPFYPNLIGFRQNPWFSPGNQIAFNQEALVDDLRRIGISRIDSNNNHVIPNLTQLKHREQKLVFGSFPSDIQTLPKPDGVLQNSNLSFSNQQSNSRLNANPNSSPYFFQRRNSDERGKQQQHGGNCRSTPSAETPRPPPGFSGQPRRGGGSRDFGKNTRHVAHSVNKLKAELGHLSYDNETRLRGQLDHPVPPAGSNPQSVSVTEIEGPLLELHMDGGANGFSRRDKPRREDGGEVDEIEEKFVEPLLVEDESDDKNDKKRHHREKEYRKDNRGQRLLSQRERMLKRRTDCRSDILRLNSPLLAIYESLIPPVEEKAKQKQLLALLDKLVCKEWPEARLYLYGSCENSFGVSKSDIDICLAFNEDIHDKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPLTGISCDICVNNVLAVVNTRLLRDYAKIDVRLRQLAFIVKHWAKSRGVNATYQGTLSSYAYVLMCIHFLQQRRPAILPCLQVWNGDNLLCHCG >KJB41163 pep chromosome:Graimondii2_0_v6:7:6824418:6829425:1 gene:B456_007G093300 transcript:KJB41163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGGDAPPPLTANGGEFLLSLLQKPQSHHPPQQSPLPPGVAPMTVPQPQAQSLAIDPAVQAVGPTLPFSPSWSPNGRDLPSPWPHNLSPPFYPNLIGFRQNPWFSPGNQIAFNQEALVDDLRRIGISRIDSNNNHVIPNLTQLKHREQKLVFGSFPSDIQTLPKPDGVLQNSNLSFSNQQSNSRLNANPNSSPYFFQRRNSDERGKQQQHGGNCRSTPSAETPRPPPGFSGQPRRGGGSRDFGKNTRHVAHSVNKLKAELGHLSYDNETRLRGQLDHPVPPAGSNPQSVSVTEIEGPLLELHMDGGANGFSRRDKPRREDGGEVDEIEEKFVEPLLVEDESDDKNDKKRHHREKEYRKDNRGQRLLSQRERMLKRRTDCRSDILRLNSPLLAIYESLIPPVEEKAKQKQLLALLDKLVCKEWPEARLYLYGSCENSFGVSKSDIDICLAFNEDIHDKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPLTGISCDICVNNVLAVVNTRLLRDYAKIDVRLRQLAFIVKHWAKSRGVNATYQGTLSSYANVDLLSFHACSKGEKDWTRRIDNDRHLICIEDPFVVSHDLGRVVDKFSIKVLRAEFERAADVMHYDPNPWITLFEPYVPE >KJB41158 pep chromosome:Graimondii2_0_v6:7:6824428:6829250:1 gene:B456_007G093300 transcript:KJB41158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGGDAPPPLTANGGEFLLSLLQKPQSHHPPQQSPLPPGVAPMTVPQPQAQSLAIDPAVQAVGPTLPFSPSWSPNGRDLPSPWPHNLSPPFYPNLIGFRQNPWFSPGNQIAFNQEALVDDLRRIGISRIDSNNNHVIPNLTQLKHREQKLVFGSFPSDIQTLPKPDGVLQNSNLSFSNQQSNSRLNANPNSSPYFFQRRNSDERGKQQQHGGNCRSTPSAETPRPPPGFSGQPRRGGGSRDFGKNTRHVAHSVNKLKAELGHLSYDNETRLRGQLDHPVPPAGSNPQSVSVTEIEGPLLELHMDGGANGFSRRDKPRREDGGEVDEIEEKFVEPLLVEDESDDKNDKKRHHREKEYRKDNRGQRLLSQRERMLKRRTDCRSDILRLNSPLLAIYESLIPPVEEKAKQKQLLALLDKLVCKEWPEARLYLYGSCENSFGVSKSDIDICLAFNEDIHDKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPLTGISCDICVNNVLAVVNTRLLRDYAKIDVRLRQLAFIVKHWAKSRGVNATYQGTLSSYANVDLLSFHACREWRQPSLSLWMMLSVLTLIKSKTFAILDLLTRKLLLNWCGHSLTIGHIFMIMQIQ >KJB41160 pep chromosome:Graimondii2_0_v6:7:6824429:6829056:1 gene:B456_007G093300 transcript:KJB41160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGGDAPPPLTANGGEFLLSLLQKPQSHHPPQQSPLPPGVAPMTVPQPQAQSLAIDPAVQAVGPTLPFSPSWSPNGRDLPSPWPHNLSPPFYPNLIGFRQNPWFSPGNQIAFNQEALVDDLRRIGISRIDSNNNHVIPNLTQLKHREQKLVFGSFPSDIQTLPKPDGVLQNSNLSFSNQQSNSRLNANPNSSPYFFQRRNSDERGKQQQHGGNCRSTPSAETPRPPPGFSGQPRRGGGSRDFGKNTRHVAHSVNKLKAELGHLSYDNETRLRGQLDHPVPPAGSNPQSVSVTEIEGPLLELHMDGGANGFSRRDKPRREDGGEVDEIEEKFVEPLLVEDESDDKNDKKRHHREKEYRKDNRGQRLLSQRERMLKRRTDCRSDILRLNSPLLAIYESLIPPVEEKAKQKQLLALLDKLVCKEWPEARLYLYGSCENSFGVSKSDIDICLAFNEDIHDKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPLTGISCDICVNNVLAVVNTRLLRDYAKIDVRLRQLAFIVKHWAKSRGVNATYQGTLSSYAYVLMCIHFLQQRRPAILPCLQVEWRQPSLSLWMMLSVLTLIKSKTFAILDLLTRKLLLNWCGHSLTIGHIFMIMQIQ >KJB41155 pep chromosome:Graimondii2_0_v6:7:6824418:6829425:1 gene:B456_007G093300 transcript:KJB41155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGGDAPPPLTANGGEFLLSLLQKPQSHHPPQQSPLPPGVAPMTVPQPQAQSLAIDPAVQAVGPTLPFSPSWSPNGRDLPSPWPHNLSPPFYPNLIGFRQNPWFSPGNQIAFNQEALVDDLRRIGISRIDSNNNHVIPNLTQLKHREQKLVFGSFPSDIQTLPKPDGVLQNSNLSFSNQQSNSRLNANPNSSPYFFQRRNSDERGKQQQHGGNCRSTPSAETPRPPPGFSGQPRRGGGSRDFGKNTRHVAHSVNKLKAELGHLSYDNETRLRGQLDHPVPPAGSNPQSVSVTEIEGPLLELHMDGGANGFSRRDKPRREDGGEVDEIEEKFVEPLLVEDESDDKNDKKRHHREKEYRKDNRGQRLLSQRERMLKRRTDCRSDILRLNSPLLAIYESLIPPVEEKAKQKQLLALLDKLVCKEWPEARLYLYGSCENSFGVSKSDIDICLAFNEDIHDKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPLTGISCDICVNNVLAVVNTRLLRDYAKIDVRLRQLAFIVKHWAKSRGVNATYQGTLSSYAYVLMCIHFLQQRRPAILPCLQ >KJB41159 pep chromosome:Graimondii2_0_v6:7:6824428:6829250:1 gene:B456_007G093300 transcript:KJB41159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGGDAPPPLTANGGEFLLSLLQKPQSHHPPQQSPLPPGVAPMTVPQPQAQSLAIDPAVQAVGPTLPFSPSWSPNGRDLPSPWPHNLSPPFYPNLIGFRQNPWFSPGNQIAFNQEALVDDLRRIGISRIDSNNNHVIPNLTQLKHREQKLVFGSFPSDIQTLPKPDGVLQNSNLSFSNQQSNSRLNANPNSSPYFFQRRNSDERGKQQQHGGNCRSTPSAETPRPPPGFSGQPRRGGGSRDFGKNTRHVAHSVNKLKAELGHLSYDNETRLRGQLDHPVPPAGSNPQSVSVTEIEGPLLELHMDGGANGFSRRDKPRREDGGEVDEIEEKFVEPLLVEDESDDKNDKKRHHREKEYRKDNRGQRLLSQRERMLKRRTDCRSDILRLNSPLLAIYESLIPPVEEKAKQKQLLALLDKLVCKEWPEARLYLYGSCENSFGVSKSDIDICLAFNEDIHDKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPLTGISCDICVNNVLAVVNTRLLRDYAKIDVRLRQLAFIVKHWAKSRGVNATYQGTLSSYA >KJB41154 pep chromosome:Graimondii2_0_v6:7:6824540:6827216:1 gene:B456_007G093300 transcript:KJB41154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGGDAPPPLTANGGEFLLSLLQKPQSHHPPQQSPLPPGVAPMTVPQPQAQSLAIDPAVQAVGPTLPFSPSWSPNGRDLPSPWPHNLSPPFYPNLIGFRQNPWFSPGNQIAFNQEALVDDLRRIGISRIDSNNNHVIPNLTQLKHREQKLVFGSFPSDIQTLPKPDGVLQNSNLSFSNQQSNSRLNANPNSSPYFFQRRNSDERGKQQQHGGNCRSTPSAETPRPPPGFSGQPRRGGGSRDFGKNTRHVAHSVNKLKAELGHLSYDNETRLRGQLDHPVPPAGSNPQSVSVTEIEGPLLELHMDGGANGFSRRDKPRREDGGEVDEIEEKFVEPLLVEDESDDKNDKKRHHREKEYRKDNRGQRLLSQRERMLKRRTDCRSDILRLNSPLLAIYESLIPPVEEKAKQKQLLALLDKLVCKEWPEARLYLYGSCENSFGVSKSDIDICLAFNEDIHDKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPLTGISCDICVNNVLAVVNTRLLRDYAKIDVRLRQLAFIVKHWAKSRGVNATYQGTLSSYA >KJB41156 pep chromosome:Graimondii2_0_v6:7:6824540:6828770:1 gene:B456_007G093300 transcript:KJB41156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGGDAPPPLTANGGEFLLSLLQKPQSHHPPQQSPLPPGVAPMTVPQPQAQSLAIDPAVQAVGPTLPFSPSWSPNGRDLPSPWPHNLSPPFYPNLIGFRQNPWFSPGNQIAFNQEALVDDLRRIGISRIDSNNNHVIPNLTQLKHREQKLVFGSFPSDIQTLPKPDGVLQNSNLSFSNQQSNSRLNANPNSSPYFFQRRNSDERGKQQQHGGNCRSTPSAETPRPPPGFSGQPRRGGGSRDFGKNTRHVAHSVNKLKAELGHLSYDNETRLRGQLDHPVPPAGSNPQSVSVTEIEGPLLELHMDGGANGFSRRDKPRREDGGEVDEIEEKFVEPLLVEDESDDKNDKKRHHREKEYRKDNRGQRLLSQRERMLKRRTDCRSDILRLNSPLLAIYESLIPPVEEKAKQKQLLALLDKLVCKEWPEARLYLYGSCENSFGVSKSDIDICLAFNEDIHDKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPLTGISCDICVNNVLAVVNTRLLRDYAKIDVRLRQLAFIVKHWAKSRGVNATYQGTLSSYAYVLMCIHFLQQRRPAILPCLQGMETTFSVTVDDVECAYFDKVENLCNFGSSNQETIAQLVWAFFNYWAYIHDYANSVISVRTGSLIWCDANYYLSGLLIKFE >KJB46082 pep chromosome:Graimondii2_0_v6:7:57788882:57790696:1 gene:B456_007G347800 transcript:KJB46082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWFLFLLTISISLLLKAFFNLLFSSKKLPYPLPPSPPPTIPFIGNLLWIRKSFFHIEQSLRHHSRSLGPIVTLYIGRRPSIFVFDRSLAHQALIQNGSLFSDRPKALPTSKILTSNQHSINSASYGPTWRLFRRNLTSEILHPSRIKSYSHARKWVLDILIDALQQKAKSGESVEVLVHFRYAMLCLLAFMCFGDKLSQQQIKEIEALQRRAVNGFGRFGVLNFWPRVTKILLRKRWDQLFQLRKEREDVLIPLLRARKKGKDERLSNKESDDYVLAYVDTLLDLELPEEKRKLDEAEMVTLASEFINAGTDTTSTALQWVMANLVKYPHIQERLLDEIKQVVGDGVEDIKEEDLQKMPHLKAVILEGLRRHPPAHFVVPHCVTEDTILGGYLIPKNSNINFMVADMGWDPKVWEDPMAFKPERFIGEEVFDITGSREIKMMPFGVGRRICPGLGLALLHLEYFVANLIWKFEWKAMDGDEISLEEKQEFTIVMKTPLMAHISPRKC >KJB40997 pep chromosome:Graimondii2_0_v6:7:6211684:6215179:1 gene:B456_007G086800 transcript:KJB40997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRSVVFPSISERKRNSNRSKTDTVMASSSSSSALKSQPLHNFQLHDLKWAMNHTSNHRLRKLSDSLHKSPQRGESGSESDNNRKVNPVCEARSKIGHSSGFSPDHRTGKSERKFIDGSDVLVDCNSDKKVDPSDARSKIYIRFPAKNDKSVDEVADVGDQSLITEDIEELVPKTWNLRPRKPIYKPLNHNGSSLKIGAAAHENKTHRSEATRSRNVTESKAAEKKEKKQKFSVSLSRDEIDDDIFAMTGSKASRRPKKRAKSVQKQLDCVFPGLWLASVTPDCYRVTEAPVKG >KJB40994 pep chromosome:Graimondii2_0_v6:7:6211684:6214928:1 gene:B456_007G086800 transcript:KJB40994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRSVVFPSISERKRNSNRSKTDTVMASSSSSSALKSQPLHNFQLHDLKWAMNHTSNHRLRKLSDSLHKSPQRGESGSESDNNRKVNPVCEARSKIGHSSGFSPDHRTGKSERKFIDGSDVLVDCNSDKKVDPSDARSKIYIRFPAKNDKSVDEVADVGDQSLITEDIEELVPKTWNLRPRKPIYKPLNHNGSSLKIGAAAHENKTHRSEATRSRNVTESKAAEKKEKKQKFSVSLSRDEIDDDIFAMTGSKASRRPKKRAKSVQKQLDCVFPGLWLASVTPDCYRVTEAPVKG >KJB40995 pep chromosome:Graimondii2_0_v6:7:6211885:6212882:1 gene:B456_007G086800 transcript:KJB40995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRSVVFPSISERKRNSNRSKTDTVMASSSSSSALKSQPLHNFQLHDLKWAMNHTSNHRLRKLSDSLHKSPQRGESGSESDNNRKVNPVCEARSKIGHSSGFSPDHRTGKSERKFIDGSDVLVDCNSDKKVDPSDARSKIYIRFPAKNDKSVDEVADVGDQSLITEDIEELVPKTWNLRPRKPIYKPLNHNGSSLKIGAAAHENKTHRSEATRSRNVTESKAAEKKEKKQKFSVSLSRDEIDDDIFAMTGSKASRRPKKRAKSVQKQLDCVFPGLWLASVTPDCYRVTEAPVKV >KJB40993 pep chromosome:Graimondii2_0_v6:7:6211684:6215179:1 gene:B456_007G086800 transcript:KJB40993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRSVVFPSISERKRNSNRSKTDTVMASSSSSSALKSQPLHNFQLHDLKWAMNHTSNHRLRKLSDSLHKSPQRGESGSESDNNRKVNPVCEARSKIGHSSGFSPDHRTGKSERKFIDGSDVLVDCNSDKKVDPSDARSKIYIRFPAKNDKSVDEVADVGDQSLITEDIEELVPKTWNLRPRKPIYKPLNHNGSSLKIGAAAHENKTHRSEATRSRNVTESKAAEKKEKKQKFSVSLSRDEIDDDIFAMTGSKASRRPKKRAKSVQKQLDCVFPGLWLASVTPDCYRVTEAPVKG >KJB40996 pep chromosome:Graimondii2_0_v6:7:6211684:6215179:1 gene:B456_007G086800 transcript:KJB40996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRSVVFPSISERKRNSNRSKTDTVMASSSSSSALKSQPLHNFQLHDLKWAMNHTSNHRLRKLSDSLHKSPQRGESGSESDNNRKVNPVCEARSKIGHSSGFSPDHRTGKSERKFIDGSDVLVDCNSDKKVDPSDARSKIYIRFPAKNDKSVDEVADVGDQSLITEDIEELVPKTWNLRPRKPIYKPLNHNGSSLKIGAAAHENKTHRSEATRSRNVTESKAAEKKEKKQKFSVSLSRDEIDDDIFAMTGSKASRRPKKRAKSVQKQLDCVFPGLWLASVTPDCYRVTEAPVKG >KJB38889 pep chromosome:Graimondii2_0_v6:7:46923138:46924096:1 gene:B456_007G2750001 transcript:KJB38889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWAFKTLKRYRERFCMFSDDVQGTAGVALAGLLGTVRAQGRSLDDFPNHKIVVVGAGSAGLGVLSMAVQAVVRMKGIADTAAQNFFLLDKDVQFCTSFLAFFILFV >KJB38890 pep chromosome:Graimondii2_0_v6:7:46923138:46924096:1 gene:B456_007G2750001 transcript:KJB38890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWAFKTLKRYRERFCMFSDDVQGTAGVALAGLLGTVRAQGRSLDDFPNHKIVVVGAGSAGLGVLSMAVQAVVRMKGIADTAAQNFFLLDKDVQFCTSFLAFFILFV >KJB39824 pep chromosome:Graimondii2_0_v6:7:2204469:2205778:-1 gene:B456_007G032200 transcript:KJB39824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHKVFGAVLFVLLGVGICSATRALLTLNDVGSHLPGIGHGGATIGVYGGVGLGGGGGGGSGGGGGSAVVGGGVSAGHGGGGGSGEGGGVGNGGAGGHGGGGGSGGGGGSAGGASGYGSGVGEGGGAGSGAGNGGGGGGGGKGGGGGGGSAGGAGGYGSGSGSGEGGGAGSGAGGYGRYGSGSGGGSGSGYGSGSGGSGGGGGGGSGGGGGSGGGAHGGGYGSGEGVGGGSGGGGSSAGGAHE >KJB39823 pep chromosome:Graimondii2_0_v6:7:2204469:2205778:-1 gene:B456_007G032200 transcript:KJB39823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHKVFGAVLFVLLGVGICSATRALLTLNDVGSHLPGIGHGGATIGVYGGVGLGGGGGGGSGGGGGSAVVGGGVSAGHGGGGGSGEGGGVGNGGAGGHGGGGGSGGGGGSAGGASGYGSGVGEGGGAGSGAGNGGGGGGGGKGGGGGGGSAGGAGGYGSGSGSGEGGGAGSGAGNGGGGHGGGGGGAGGYGSGSGGGSGSGYGSGSGGSGGGGGGGSGGGGGSGGGAHGGGYGSGEGVGGGSGGGGSSAGGAHE >KJB39822 pep chromosome:Graimondii2_0_v6:7:2204793:2205716:-1 gene:B456_007G032200 transcript:KJB39822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHKVFGAVLFVLLGVGICSATRALLTLNDVGSHLPGIGHGGATIGVYGGVGLGGGGGGGSGGGGGSAVVGGGVSAGHGGGGGSGEGGGVGNGGAGGHGGGGGSGGGGGSAGGASGYGSGVGEGGGAGSGAGNGGGGGGGGKGGGGGGGSAGGAGGYGSGSGSGEGGGAGSGAGNGGGGHGGGGGGGSGGGGGGGAGGYGSGSGSGSGSGSGEGGGAGGYGSGSGGGSGSGYGSGSGGSGGGGGGGSGGGGGSGGGAHGGGYGSGEGVGGGSGGGGSSAGGAHE >KJB39897 pep chromosome:Graimondii2_0_v6:7:2504557:2506080:-1 gene:B456_007G036500 transcript:KJB39897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELRLVGEYGLRCKRELWRVQYALSRIRNAARDLLTLDEKNPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQRAAAKKAAGGDGDEEDEE >KJB41394 pep chromosome:Graimondii2_0_v6:7:7626826:7631326:-1 gene:B456_007G102500 transcript:KJB41394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPYMEAVVGFMILMYLFETYLDLRQHAALKLPTLPKTLEGVISQEKFEKSRAYSLDKSHFHFVHEFVTILIDSAILFFGILPWFWKKSGTFLPLVGLNEENEILHTLSFLAGVMIWSQITDLPFSLYSTFVIEARHGFNKQTIWLFFRDLIKGICLAIVLGPPIVSAIIVIVQNGGPYLAIYLWAFMFVLSLVMMTIYPVLIAPLFNKFTPLPEGELRLKIEKLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNDEEIVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSTDLFRSFGFDTQPVLIGLIIFQVQKYLNNVLIVEIPSLIMRCTL >KJB41393 pep chromosome:Graimondii2_0_v6:7:7625661:7631391:-1 gene:B456_007G102500 transcript:KJB41393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPYMEAVVGFMILMYLFETYLDLRQHAALKLPTLPKTLEGVISQEKFEKSRAYSLDKSHFHFVHEFVTILIDSAILFFGILPWFWKKSGTFLPLVGLNEENEILHTLSFLAGVMIWSQITDLPFSLYSTFVIEARHGFNKQTIWLFFRDLIKGICLAIVLGPPIVSAIIVIVQNGGPYLAIYLWAFMFVLSLVMMTIYPVLIAPLFNKFTPLPEGELRLKIEKLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNDEEIVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSTDLFRSFGFDTQPVLIGLIIFQHTVIPLQHLVSFGLNLVSRSFEFQADAFAKKLGYGSALRAGLVKLQKICRL >KJB41392 pep chromosome:Graimondii2_0_v6:7:7625569:7631443:-1 gene:B456_007G102500 transcript:KJB41392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPYMEAVVGFMILMYLFETYLDLRQHAALKLPTLPKTLEGVISQEKFEKSRAYSLDKSHFHFVHEFVTILIDSAILFFGILPWFWKKSGTFLPLVGLNEENEILHTLSFLAGVMIWSQITDLPFSLYSTFVIEARHGFNKQTIWLFFRDLIKGICLAIVLGPPIVSAIIVIVQNGGPYLAIYLWAFMFVLSLVMMTIYPVLIAPLFNKFTPLPEGELRLKIEKLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNDEEIVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSTDLFRSFGFDTQPVLIGLIIFQHTVIPLQHLVSFGLNLVSRSFEFQADAFAKKLGYGSALRAGLVKLQEENLSAMNTDPWYSAYHYSHPPLVERLAAIDAADKKEE >KJB45264 pep chromosome:Graimondii2_0_v6:7:50851306:50857758:-1 gene:B456_007G298100 transcript:KJB45264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASGPETGHENRGVSRPLLVPMRFIWPYGGSRVFLTGSFTRWSEHIPMSPMEGCPTVFQVICSLSPGYHQFKFFVDGEWRHDDRQPFVNGNYGVVNTVFIARELDVVSPSVSPEAPSRSHMDVDDVFICSEPVPTISDADLEVSRQRAATFLSRHTAYELLPDSSKVIALDVNIAVKQAFHILHEQGIPMAPLWDSFKGQFVGVLSALDFILILRELGNHGSNLTEEELETHTISAWKEGKVHLSRQIDGNASSYPRCLVHAGPYDSLKDVVLKVLRSKVAMVPIIHSASQDGSFPQLLNLATLSEVLKCILRHFKHSSSSLPILQQPICSIPLGTWVPKIGELNGQSLAMLRPNASLGAALSLLVQADVSSIPIVDENDSLVDVYSRSDITALAKDKAYAQIRLDEMSIHQMQILSMDKDVRCVYGQILCTK >KJB45263 pep chromosome:Graimondii2_0_v6:7:50851227:50857813:-1 gene:B456_007G298100 transcript:KJB45263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASGPETGHENRGVSRPLLVPMRFIWPYGGSRVFLTGSFTRWSEHIPMSPMEGCPTVFQVICSLSPGYHQFKFFVDGEWRHDDRQPFVNGNYGVVNTVFIARELDVVSPSVSPEAPSRSHMDVDDVFICSEPVPTISDADLEVSRQRAATFLSRHTAYELLPDSSKVIALDVNIAVKQAFHILHEQGIPMAPLWDSFKGQFVGVLSALDFILILRELGNHGSNLTEEELETHTISAWKEGKVHLSRQIDGNASSYPRCLVHAGPYDSLKDVVLKVLRSKVAMVPIIHSASQDGSFPQLLNLATLSEVLKCILRHFKHSSSSLPILQQPICSIPLGTWVPKIGELNGQSLAMLRPNASLGAALSLLVQADVSSIPIVDENDSLVDVYSRSDITALAKDKAYAQIRLDEMSIHQALQLCQDANSINGQRCQMCLRSDTLHKVMERLASPGVRRLVIVEAGSKRVEGIISLSDVFRLLLGV >KJB45265 pep chromosome:Graimondii2_0_v6:7:50852360:50857758:-1 gene:B456_007G298100 transcript:KJB45265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASGPETGHENRGVSRPLLVPMRFIWPYGGSRVFLTGSFTRWSEHIPMSPMEGCPTVFQVICSLSPGYHQFKFFVDGEWRHDDRQPFVNGNYGVVNTVFIARELDVVSPSVSPEAPSRSHMDVDDVFICSEPVPTISDADLEVSRQRAATFLSRHTAYELLPDSSKVIALDVNIAVKQAFHILHEQGIPMAPLWDSFKGQFVGVLSALDFILILRELGNHGSNLTEEELETHTISAWKEGKVHLSRQIDGNASSYPRCLVHAGPYDSLKDVVLKVLRSKVAMVPIIHSASQDGSFPQLLNLATLSEVLKCILRHFKHSSSSLPILQQPICSIPLGTWVPKIGELNGQSLAMLRPNASLGAALSLLVQADVSSIPIVDENDSLVDVYSRRYSVSFSSGK >KJB39864 pep chromosome:Graimondii2_0_v6:7:2341876:2344349:-1 gene:B456_007G034300 transcript:KJB39864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHGDLDRQIEHLMQCKPLSVAEVKTLCEQARAILVEEWNVQPVKCPVTVCGDIHGQFHDLVELFRIGGHAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISGQFNHTNGLTLISRAHQLVMEGYNWSQVCGSLIKIEAYVE >KJB39863 pep chromosome:Graimondii2_0_v6:7:2341249:2344633:-1 gene:B456_007G034300 transcript:KJB39863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHGDLDRQIEHLMQCKPLSVAEVKTLCEQARAILVEEWNVQPVKCPVTVCGDIHGQFHDLVELFRIGGHAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISGQFNHTNGLTLISRAHQLVMEGYNWSQDKNVVTVFSAPNYCYRCGNMAAILEIGENMEQSFLQFDPAPRQIEPETTRRTPDYFL >KJB39865 pep chromosome:Graimondii2_0_v6:7:2341160:2344633:-1 gene:B456_007G034300 transcript:KJB39865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHGDLDRQIEHLMQCKPLSVAEVKTLCEQARAILVEEWNVQPVKCPVTVCGDIHGQFHDLVELFRIGGHAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISGQFNHTNGLTLISRAHQLVMEGYNWSQDKNVVTVFSAPNYCYRCGNMAAILEIGENMEQSFLQFDPAPRQIEPETTHVFYNNQRVLASS >KJB40509 pep chromosome:Graimondii2_0_v6:7:4737488:4744647:1 gene:B456_007G067100 transcript:KJB40509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQSVSLPPASRRNSYRRGPSAPEKSHTSDNAKPTSPSSVGGERTVKKLRLSKALTIPEGTTVSEACRRMAARRMDAVLLTDANGLLSGIITGKDIATRVIAEGLRPEQTVVSKIMTCSPIFVTSDSLAIEALQKMVRGKFRHLPVVENGEVIAMLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQWGSNAPYAFIETLRERMFKPSLSTIISENPKVAIVSSSDPVRVAAKKMRELRVNSVVMMTGNKIQGILTSKDILMRVVAQNLSPELTMVEKVMTPNPECAKVETSILDALHIMHDGKFLHLPVLDKDGTVAACVDVLQITHAAISMVESSSGAVNDIASTMMQKFWDSALSLQPPDDYDTQSEMSAILTSEGADAGRLSSYPSLGLGNSFCFKFEDHKGRVHRFNFGIENLDELSLAVMQRIGSSNDHSHPQLLYEDDEGDKVLLITDSDLIAAVNHTRSRGLKVLRLYLDFPDSSQQITSQSSVTAAKTRWASFHTGLLAGAVVLTSIGVLVYLKRSKL >KJB40510 pep chromosome:Graimondii2_0_v6:7:4737488:4744649:1 gene:B456_007G067100 transcript:KJB40510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQSVSLPPASRRNSYRRGPSAPEKSHTSDNAKPTSPSSVGGERTVKKLRLSKALTIPEGTTVSEACRRMAARRMDAVLLTDANGLLSGIITGKDIATRVIAEGLRPEQTVVSKIMTCSPIFVTSDSLAIEALQKMVRGKFRHLPVVENGEVIAMLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQWGSNAPYAFIETLRERMFKPSLSTIISENPKVAIVSSSDPVRVAAKKMRELRVNSVVMMTGNKIQGILTSKDILMRVVAQNLSPELTMVEKVMTPNPECAKVETSILDALHIMHDGKFLHLPVLDKDGTVAACVDVLQITHAAISMVESSSGAVNDIASTMMQKFWDSALSLQPPDDYDTQSEMSAILTSEGADAGRLSSYPSLGLGNSFCFKFEDHKGRVHRFNFGIENLDELSLAVMQRIGSSNDHSHPQLLYEDDEGDKVLLITDSDLIAAVNHTRSRGLKVLRLYLDFPDSSQQITSQSSVTAAKTRWASFHTGLLAGAVVLTSIGVLVYLKRSKL >KJB40508 pep chromosome:Graimondii2_0_v6:7:4737488:4744647:1 gene:B456_007G067100 transcript:KJB40508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQSVSLPPASRRNSYRRGPSAPEKSHTSDNAKPTSPSSVGGERTVKKLRLSKALTIPEGTTVSEACRRMAARRMDAVLLTDANGLLSGIITGKDIATRVIAEGLRPEQTVVSKIMTCSPIFVTSDSLAIEALQKMVRGKFRHLPVVENGEVIAMLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQWGSNAPYAFIETLRERMFKPSLSTIISENPKVAIVSSSDPVRVAAKKMRELRVNSVVMMTGNKIQGILTSKDILMRVVAQNLSPELTMVEKVMTPNPECAKVETSILDALHIMHDGKFLHLPVLDKDGTVAACVDVLQITHAAISMVESSSGAVNDIASTMMQKFWDSALSLQPPDDYDTQSEMSAILTSEGADAGRLSSYPSLGLGNSFCFKFEDHKGRVHRFNFGIENLDELSLAVMQRIGSSNDHSHPQLLYEDDEGDKVLLITDSDLIAAVNHTRSRGLKITSQSSVTAAKTRWASFHTGLLAGAVVLTSIGVLVYLKRSKL >KJB40507 pep chromosome:Graimondii2_0_v6:7:4737630:4743128:1 gene:B456_007G067100 transcript:KJB40507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQSVSLPPASRRNSYRRGPSAPEKSHTSDNAKPTSPSSVGGERTVKKLRLSKALTIPEGTTVSEACRRMAARRMDAVLLTDANGLLSGIITGKDIATRVIAEGLRPEQTVVSKIMTCSPIFVTSDSLAIEALQKMVRGKFRHLPVVENGEVIAMLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQWGSNAPYAFIETLRERMFKPSLSTIISENPKVAIVSSSDPVRVAAKKMRELRVNSVVMMTGNKIQGILTSKDILMRVVAQNLSPELTMVEKVMTPNPECAKVETSILDALHIMHDGKFLHLPVLDKDGTVAACVDVLQITHAAISMVESSSGAVNDIASTMMQKFWDSALSLQPPDDYDTQSEMSAILTSEGADAGRLSSYPSLGLGNSFCFKFEDHKGRVHRFNFGT >KJB40506 pep chromosome:Graimondii2_0_v6:7:4737488:4744662:1 gene:B456_007G067100 transcript:KJB40506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQSVSLPPASRRNSYRRGPSAPEKSHTSDNAKPTSPSSVGGERTVKKLRLSKALTIPEGTTVSEACRRMAARRMDAVLLTDANGLLSGIITGKDIATRVIAEGLRPEQTVVSKIMTCSPIFVTSDSLAIEALQKMVRGKFRHLPVVENGEVIAMLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQWGSNAPYAFIETLRERMFKPSLSTIISENPKVAIVSSSDPVRVAAKKMRELRVNSVVMMTGNKIQGILTSKDILMRVVAQNLSPELTMVEKVMTPNPECAKVETSILDALHIMHDGKFLHLPVLDKDGTVAACVDVLQITHAAISMVESSSGAVNDIASTMMQKFWDSALSLQPPDDYDTQSEMSAILTSEGADAGRLSSYPSLGLGNSFCFKFEDHKGRVHRFNFGIENLDELSLAVMQRIGSSNDHSHPQLLYEDDEGDKVLLITDSDLIAAVNHTRSRGLKVLRLYLDFPDSSQQITSQSSVTAAKTRWASFHTGLLAGAVVLTSIGVLVYLKRSKL >KJB43892 pep chromosome:Graimondii2_0_v6:7:26096476:26099599:1 gene:B456_007G222100 transcript:KJB43892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYGAKVVVPIDLKKKPWEQKHPLHNRWHPDIPVVAEVKDGEVFRVEMVDFSGGGITSDYSAEDVKHADQSIVHYLSGPIRVVDKKETPAMPGDLLAVEICNLGPLPGDEWGYTATFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPHIPGVRFPGLTHPGIIGTAPSMELLNIWNEREREVEENGHKSLKLCEVLHSRPLANLPSTKGCHLGKIKKGTAEWEKIAKEAARTIPGRENGGNCDIKNLSRGSKIYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHFLDASVAYKRAVLNAIDYLSKFGYSKEQVYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDIRPKAGKVPIGPRLVRKPDVLRCSYDGNLPTTKNPAASM >KJB43893 pep chromosome:Graimondii2_0_v6:7:26096549:26099687:1 gene:B456_007G222100 transcript:KJB43893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTPRLVVSVDLKKKPWEQALPLHNRWHPEIPPVAHVKVSEVFRVEMVDWTGSVIKDDDSAADVKFIDISTVHYLSGPIRVVDKKETPAMPGDLLAVEICNLGPLPGDEWGYTATFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPHIPGVRFPGLTHPGIIGTAPSMELLNIWNEREREVEENGHKSLKLCEVLHSRPLANLPSTKGCHLGKIKKGTAEWEKIAKEAARTIPGRENGGNCDIKNLSRGSKIYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHFLDASVAYKRAVLNAIDYLSKFGYSKEQVYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDIRPKAGKVPIGPRLVRKPDVLRCSYDGNLPTTKNPAASM >KJB43894 pep chromosome:Graimondii2_0_v6:7:26096587:26099583:1 gene:B456_007G222100 transcript:KJB43894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTPRLVVSVDLKKKPWEQALPLHNRWHPEIPPVAHVKVSEVFRVEMVDWTGSVIKDDDSAADVKFIDISTVHYLSGPIRVVDKKETPAMPGDLLAVEICNLGPLPGDEWGYTATFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPHIPGVRFPGLTHPGIIGTAPSMELLNIWNEREREVEENGHKSLKLCEVLHSRPLANLPSTKGCHLGKIKKGTAEWEKIAKEAARTIPGRENGGNCDIKNLSRGSKIYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHFLDASVAYKRAVLNAIDYLSKFGYSKEQVYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDIRPKAGKVPIGPRLVRKPDVLRCSYDGNLPTTKNPAASM >KJB43285 pep chromosome:Graimondii2_0_v6:7:18788718:18790528:1 gene:B456_007G191800 transcript:KJB43285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVWKEINLASLHDNSSSREGLNPHNPHFILQDFLARPFSKDPPANRVSANGDTTFLVSPPPPPPATLLSLNSGPGFDFLDNSYPLRSKPRLQMQNNPISDLPNMNCPFEALASPSGLACFDKKRFQDPDNNSGDRRHKRMIKNRESAARSRARKQAYTNELELEVAHLMEENARLKRQQEQLRVAAATPLSGKRTLQRTSTAPF >KJB43284 pep chromosome:Graimondii2_0_v6:7:18788650:18790038:1 gene:B456_007G191800 transcript:KJB43284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTIFSMLGIGERRSDTCCLNTLSSVSSSSSSSSSSSSSSSSSPLSTPSHLHNQTHTPKTMEEVWKEINLASLHDNSSSREGLNPHNPHFILQDFLARPFSKDPPANRVSANGDTTFLVSPPPPPPATLLSLNSGPGFDFLDNSYPLRSKPRLQMQNNPISDLPNMNCPFEALASPSGLACFDKKRFQDPDNNSGDRRHKRMIKNRESAARSRARKQAYTNELELEVAHLMEENARLKRQQEQLRVAAATPLSGKRTLQRTSTAPF >KJB43286 pep chromosome:Graimondii2_0_v6:7:18788718:18790528:1 gene:B456_007G191800 transcript:KJB43286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVWKEINLASLHDNSSSREGLNPHNPHFILQDFLARPFSKDPPANRVSANGDTTFLVSPPPPPPATLLSLNSGPGFDFLDNSYPLRSKPRLQMQNNPISDLPNMNCPFEALASPSGLACFDKKRFQDPDNNSGDRRHKRMIKNRESAARSRARKQAYTNELELEVAHLMEENARLKRQQEQLRVAAATPLSGKRTLQRTSTAPF >KJB38992 pep chromosome:Graimondii2_0_v6:7:21875210:21895983:-1 gene:B456_007G208400 transcript:KJB38992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNNFIKRILSSLLRPWLEQDLELDLQLGFINSTAVAKNIRLDTSTLNRLIIDGSSSSRFFFKEFVIEEFVVRFSNWSDSAFVFEARGVKVTMSLEEMEEEGTAKVRNPSNAALESLKKDLSLIDPEGSALHDILEAILATTCGRNRFQSSFLNLILQHCRLQILGINLQVQFPTLDDSFVYLLDLEKLNAESLHFVHGCLCKGLVNVLFLPLKEGSLVVSGSSFKIGYKKSNQINHVCSSSALVTCIKLNDLELVEFNLRASELSFSFSPVDFPVFMELSKVPSKEFKRVRNGRYLWRIAAIKIGHVISSPKLSWYKLVSLTSLWMHYVNHYEYLLSLIQYSPNHLLERPDIKMLRDKVNLKSAKHYWEVIFDIEKELPAEAIAQARKIARYKALSSGEQPENYSEPSVSAHFKTFVQKVMQKIHPLEHLLFWRRSAKQDEMFARHLGNVSENSYSCFRFILSLGKIYITLSSMSAVEPVNEKVKSRIGISYSDGFLFRLSIKVFLLMYTKDIFKQTLSFSCGKVKVKYFISSVGEENERVKNLKVILHGEPAKIFLFSESNKSSTINHAEGGCDPCLENFIGKMCLDWIRDCEQFEESEIKCPQSPQLLFEMKSFLRHPDLKKLGSGLWKCNLTVGKFNIALQYPSVISVVMLLRQIQHALHWTQGNESARDLPYSPQNTTVHQPEDSWENKYECYSSKIKKFFTIMLPGKCIQIGVLIAGPCIQLSSRKTGTRNANEGVNNHVVNEDDFHLGFDIHDIEVVVWPSSKSGLAPIHAFTEQDDEYPECLRLQEPKILDMPKLENNKYTSKDANSLHFCLKLNGLLAYLEDTVDRHKNQIFVLDPITFRFSSFRQCAHSFSTSSIAFSTAFYGLAMGFALLLFMDELCVCFQALTGLSSDLSHICNNFGSPANESFQMLRHYRVSGATKDEELSIATPLMCNNTFLINGIIKLKSMDIFLCGSRIRNKARGSKMVFGAASRTNSAADNLSDCGIWISLPQMCFDILYEEMKLELLIDLSGIQSVIVRYQEYIKKRFNRSAFRAFLLCSHNCLYEVFLSHCIFTLLLSMPQNSTSASVNEMLDISTSEVSTSNMAEDTSFSSELQPSVQSPDFLKKLGFTSNISVPASSHWIFMKMEVAEVFVTRCSVKNILIGAQKVNKLRSSLHVGAKSQAITWGIQDGFLCLETEALAMFIQCSASYLHHIKNALSIVKSTVRSKPRPAHDHFVGGHAQEMLLTSQQVKWELPEAFNFDVSQFSLALVVESESCHIREFVLELDLILNLDLDNMQQKFMLKLSRLSVFSQDIRQSGEDEIQVFNFSSAQSNLPSQRLSGESAVAFQRDGSFRVDDSYPRASVSEGAFCLRHQGYILKHLTASLSVEKGKVIPLDPEQVWVGSGSVSGFDMKISLSELEMILSMVSSFSGLSLKGSSGQSVQRNWSYNTPDDNDFEARIPNGAIVAIQDVHQHLYFTVEGGENKYAVGGSVHYSFVGERALFRVKYHKQRKWNSSVLWFSLISLHAKDNSGEPLRLNSKPGSGFVELSSTSDNAWSLWRVLFYQPTYDGDIDWEPYNCVLRNSFYLVNKKNDCAVAFNDRVPVFVKKPGNPLKFKVLSDMSVAQDVAETEINLGAHEDGKRSYGQRGNLPCIGISIDKVSLTIFHELSDANDRFPLLHACIFDTQITLQILSTKTRVISTSKALLQHFDAHANFWRDFLHPVEICTFYRSSPQNQHGVPVHVYCRTKELEISLTELSLDILLFVVGKLNLAGPFSLRSSMILANCCKVENQTGLNLLCRFSGKQSVTVGRKQSSSIFLRLSAFESQPPETESVVSIQLSVPGSFTTSPVHLSLLGAQVLSWRTRILSLQDSKSYPGPFIVVDISRKQEDGLSIVVSPLIRIQNETKLSIELRIRRPERMEDEFASMSLKAGDTFDDSMASFDAIHFSGGFRKALMSLNVGNFLFSFRPEMSHDLIQSDTSLSVEWSDEIKGGKAIRLSGIFDKLSYEVRKALSVGSVKCSFSTASCTVKSAAGHVSNMHFLIQSIGREVPIMKPDKSKDGLENRNAPISLQEQKEICILPTVRVSNLLHSEIHVLLTETNSCTPTGHDNIGKEATLPCRSTVDFYANPAIMYFLVSLTAFSSTSKPVNSGEWVKKLLKHKTGVRCLDIDLDFCGGKYFASLRLSRGYKGILEATVYTPYILKNETDFSMFFFASGQKPPFRNEMEGIRPELGLFLPPKSTGSWFLRSCKMHLRLFENPASEPQIDLDTLSGPTEVSLEIAERSGVKYIAKFGVSIAPSLNSVVPSQTITIAPRHVVLNESEENITVRQCNLEVDTAGMISINSRQSAALLLQKEIGKRAEYSLFENIIKKHRNDFDSSLIYIQFRLNESQLDWSGPLCITSLGRFFLKFRKHSSQLTAEDKKIAEFAEVHVVEEGSTIVVRFQKPPSSKLPYRIENYLHGASLTYYQKNSSESEFLGSECFVDYTWDDVTLPRKLVVILNDMNLPREINLDKVRTWKPFYKLTQERLASHILFDKKSKGKRSRFGEHQGMNAVRVGYEVYADGPTRVLRICEFSDSHKQDKAFHSCAKIRMRVSQFAIQLLEKAKEDLNQSGTLCYTPFAVARLHNISLDSLFMDQQKYNQIAVQSLTVDVKWMGAPVASMLRRHRLDDSDANDSILKIIFVLLSAGADVKQVKYASVILQPIDLNIDEDTLMKIVSFWRTSLSDSSSPSQQFYFDNFEIHPIKIIATFVPGESYSSYNSAQEALRTLLHSVVKVPPIKKVAVELNGVSVTHALVTIRELLIRCAQHYSWYAMRSISIAKGSQLLPPAFASIFDDLASSSLDIFFDPSQGLMNLPGFKWGTFKFISRCIDRKGFSGTKRYFGDLGKTLKTAGSNVIFAAVTEISDSVLKGAEASGFNGMVSGFHQGILKLAMEPSVLGTALMGSGPDRKIKLDRSPGVDELYIEGYLQAMLDSMYRQEYLRVRVIDDQVTD >KJB38993 pep chromosome:Graimondii2_0_v6:7:21873790:21895985:-1 gene:B456_007G208400 transcript:KJB38993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNNFIKRILSSLLRPWLEQDLELDLQLGFINSTAVAKNIRLDTSTLNRLIIDGSSSSRFFFKEFVIEEFVVRFSNWSDSAFVFEARGVKVTMSLEEMEEEGTAKVRNPSNAALESLKKDLSLIDPEGSALHDILEAILATTCGRNRFQSSFLNLILQHCRLQILGINLQVQFPTLDDSFVYLLDLEKLNAESLHFVHGCLCKGLVNVLFLPLKEGSLVVSGSSFKIGYKKSNQINHVCSSSALVTCIKLNDLELVEFNLRASELSFSFSPVDFPVFMELSKVPSKEFKRVRNGRYLWRIAAIKIGHVISSPKLSWYKLVSLTSLWMHYVNHYEYLLSLIQYSPNHLLERPDIKMLRDKVNLKSAKHYWEVIFDIEKELPAEAIAQARKIARYKALSSGEQPENYSEPSVSAHFKTFVQKVMQKIHPLEHLLFWRRSAKQDEMFARHLGNVSENSYSCFRFILSLGKIYITLSSMSAVEPVNEKVKSRIGISYSDGFLFRLSIKVFLLMYTKDIFKQTLSFSCGKVKVKYFISSVGEENERVKNLKVILHGEPAKIFLFSESNKSSTINHAEGGCDPCLENFIGKMCLDWIRDCEQFEESEIKCPQSPQLLFEMKSFLRHPDLKKLGSGLWKCNLTVGKFNIALQYPSVISVVMLLRQIQHALHWTQGNESARDLPYSPQNTTVHQPEDSWENKYECYSSKIKKFFTIMLPGKCIQIGVLIAGPCIQLSSRKTGTRNANEGVNNHVVNEDDFHLGFDIHDIEVVVWPSSKSGLAPIHAFTEQDDEYPECLRLQEPKILDMPKLENNKYTSKDANSLHFCLKLNGLLAYLEDTVDRHKNQIFVLDPITFRFSSFRQCAHSFSTSSIAFSTAFYGLAMGFALLLFMDELCVCFQALTGLSSDLSHICNNFGSPANESFQMLRHYRVSGATKDEELSIATPLMCNNTFLINGIIKLKSMDIFLCGSRIRNKARGSKMVFGAASRTNSAADNLSDCGIWISLPQMCFDILYEEMKLELLIDLSGIQSVIVRYQEYIKKRFNRSAFRAFLLCSHNCLYEVFLSHCIFTLLLSMPQNSTSASVNEMLDISTSEVSTSNMAEDTSFSSELQPSVQSPDFLKKLGFTSNISVPASSHWIFMKMEVAEVFVTRCSVKNILIGAQKVNKLRSSLHVGAKSQAITWGIQDGFLCLETEALAMFIQCSASYLHHIKNALSIVKSTVRSKPRPAHDHFVGGHAQEMLLTSQQVKWELPEAFNFDVSQFSLALVVESESCHIREFVLELDLILNLDLDNMQQKFMLKLSRLSVFSQDIRQSGEDEIQVFNFSSAQSNLPSQRLSGESAVAFQRDGSFRVDDSYPRASVSEGAFCLRHQGYILKHLTASLSVEKGKVIPLDPEQVWVGSGSVSGFDMKISLSELEMILSMVSSFSGLSLKGSSGQSVQRNWSYNTPDDNDFEARIPNGAIVAIQDVHQHLYFTVEGGENKYAVGGSVHYSFVGERALFRVKYHKQRKWNSSVLWFSLISLHAKDNSGEPLRLNSKPGSGFVELSSTSDNAWSLWRVLFYQPTYDGDIDWEPYNCVLRNSFYLVNKKNDCAVAFNDRVPVFVKKPGNPLKFKVLSDMSVAQDVAETEINLGAHEDGKRSYGQRGNLPCIGISIDKVSLTIFHELSDANDRFPLLHACIFDTQITLQILSTKTRVISTSKALLQHFDAHANFWRDFLHPVEICTFYRSSPQNQHGVPVHVYCRTKELEISLTELSLDILLFVVGKLNLAGPFSLRSSMILANCCKVENQTGLNLLCRFSGKQSVTVGRKQSSSIFLRLSAFESQPPETESVVSIQLSVPGSFTTSPVHLSLLGAQVLSWRTRILSLQDSKSYPGPFIVVDISRKQEDGLSIVVSPLIRIQNETKLSIELRIRRPERMEDEFASMSLKAGDTFDDSMASFDAIHFSGGFRKALMSLNVGNFLFSFRPEMSHDLIQSDTSLSVEWSDEIKGGKAIRLSGIFDKLSYEVRKALSVGSVKCSFSTASCTVKSAAGHVSNMHFLIQSIGREVPIMKPDKSKDGLENRNAPISLQEQKEICILPTVRVSNLLHSEIHVLLTETNSCTPTGHDNIGKEATLPCRSTVDFYANPAIMYFLVSLTAFSSTSKPVNSGEWVKKLLKHKTGVRCLDIDLDFCGGKYFASLRLSRGYKGILEATVYTPYILKNETDFSMFFFASGQKPPFRNEMEGIRPELGLFLPPKSTGSWFLRSCKMHLRLFENPASEPQIDLDTLSGPTEVSLEIAERSGVKYIAKFGVSIAPSLNSVVPSQTITIAPRHVVLNESEENITVRQCNLEVDTAGMISINSRQSAALLLQKEIGKRAEYSLFENIIKKHRNDFDSSLIYIQFRLNESQLDWSGPLCITSLGRFFLKFRKHSSQLTAEDKKIAEFAEVHVVEEGSTIVVRFQKPPSSKLPYRIENYLHGASLTYYQKNSSESEFLGSECFVDYTWDDVTLPRKLVVILNDMNLPREINLDKVRTWKPFYKLTQERLASHILFDKKSKGKRSRFGEHQGMNAVRVGYEVYADGPTRVLRICEFSDSHKQDKAFHSCAKIRMRVSQFAIQLLEKAKEDLNQSGTLCYTPFAVARLHNISLDSLFMDQQKYNQIAVQSLTVDVKWMGAPVASMLRRHRLDDSDANDSILKIIFVLLSAGADVKQVKYASVILQPIDLNIDEDTLMKIVSFWRTSLSDSSSPSQQFYFDNFEIHPIKIIATFVPGESYSSYNSAQEALRTLLHSVVKVPPIKKVAVELNGVSVTHALVTIRELLIRCAQHYSWYAMRSISIAKGSQLLPPAFASIFDDLASSSLDIFFDPSQGLMNLPGFKWGTFKFISRCIDRKGFSGTKRYFGDLGKTLKTAGSNVIFAAVTEISDSVLKGAEASGFNGMVSGFHQGILKLAMEPSVLGTALMGSGPDRKIKLDRSPGVDELYIEGYLQAMLDSMYRQEYLRVRVIDDQVFLKNLPPNSSLINEIMDSVKGFLISKALLKGDPATASRPFRNVQGESEWRIGPTIITLCEHLFVSFAIRKLRSQADKYIAIIKSKKEAEKDEAKEIVPADTGEVQKVRFIWKWGIAKFVLSGILAYIDGRLCRSIPNPVARRIVSGFLLSFLDQS >KJB38991 pep chromosome:Graimondii2_0_v6:7:21873790:21895983:-1 gene:B456_007G208400 transcript:KJB38991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNNFIKRILSSLLRPWLEQDLELDLQLGFINSTAVAKNIRLDTSTLNRLIIDGSSSSRFFFKEFVIEEFVVRFSNWSDSAFVFEARGVKVTMSLEEMEEEGTAKVRNPSNAALESLKKDLSLIDPEGSALHDILEAILATTCGRNRFQSSFLNLILQHCRLQILGINLQVQFPTLDDSFVYLLDLEKLNAESLHFVHGCLCKGLVNVLFLPLKEGSLVVSGSSFKIGYKKSNQINHVCSSSALVTCIKLNDLELVEFNLRASELSFSFSPVDFPVFMELSKVPSKEFKRVRNGRYLWRIAAIKIGHVISSPKLSWYKLVSLTSLWMHYVNHYEYLLSLIQYSPNHLLERPDIKMLRDKVNLKSAKHYWEVIFDIEKELPAEAIAQARKIARYKALSSGEQPENYSEPSVSAHFKTFVQKVMQKIHPLEHLLFWRRSAKQDEMFARHLGNVSENSYSCFRFILSLGKIYITLSSMSAVEPVNEKVKSRIGISYSDGFLFRLSIKVFLLMYTKDIFKQTLSFSCGKVKVKYFISSVGEENERVKNLKVILHGEPAKIFLFSESNKSSTINHAEGGCDPCLENFIGKMCLDWIRDCEQFEESEIKCPQSPQLLFEMKSFLRHPDLKKLGSGLWKCNLTVGKFNIALQYPSVISVVMLLRQIQHALHWTQGNESARDLPYSPQNTTVHQPEDSWENKYECYSSKIKKFFTIMLPGKCIQIGVLIAGPCIQLSSRKTGTRNANEGVNNHVVNEDDFHLGFDIHDIEVVVWPSSKSGLAPIHAFTEQDDEYPECLRLQEPKILDMPKLENNKYTSKDANSLHFCLKLNGLLAYLEDTVDRHKNQIFVLDPITFRFSSFRQCAHSFSTSSIAFSTAFYGLAMGFALLLFMDELCVCFQALTGLSSDLSHICNNFGSPANESFQMLRHYRVSGATKDEELSIATPLMCNNTFLINGIIKLKSMDIFLCGSRIRNKARGSKMVFGAASRTNSAADNLSDCGIWISLPQMCFDILYEEMKLELLIDLSGIQSVIVRYQEYIKKRFNRSAFRAFLLCSHNCLYEVFLSHCIFTLLLSMPQNSTSASVNEMLDISTSEVSTSNMAEDTSFSSELQPSVQSPDFLKKLGFTSNISVPASSHWIFMKMEVAEVFVTRCSVKNILIGAQKVNKLRSSLHVGAKSQAITWGIQDGFLCLETEALAMFIQCSASYLHHIKNALSIVKSTVRSKPRPAHDHFVGGHAQEMLLTSQQVKWELPEAFNFDVSQFSLALVVESESCHIREFVLELDLILNLDLDNMQQKFMLKLSRLSVFSQDIRQSGEDEIQVFNFSSAQSNLPSQRLSGESAVAFQRDGSFRVDDSYPRASVSEGAFCLRHQGYILKHLTASLSVEKGKVIPLDPEQVWVGSGSVSGFDMKISLSELEMILSMVSSFSGLSLKGSSGQSVQRNWSYNTPDDNDFEARIPNGAIVAIQDVHQHLYFTVEGGENKYAVGGSVHYSFVGERALFRVKYHKQRKWNSSVLWFSLISLHAKDNSGEPLRLNSKPGSGFVELSSTSDNAWSLWRVLFYQPTYDGDIDWEPYNCVLRNSFYLVNKKNDCAVAFNDRVPVFVKKPGNPLKFKVLSDMSVAQDVAETEINLGAHEDGKRSYGQRGNLPCIGISIDKVSLTIFHELSDANDRFPLLHACIFDTQITLQILSTKTRVISTSKALLQHFDAHANFWRDFLHPVEICTFYRSSPQNQHGVPVHVYCRTKELEISLTELSLDILLFVVGKLNLAGPFSLRSSMILANCCKVENQTGLNLLCRFSGKQSVTVGRKQSSSIFLRLSAFESQPPETESVVSIQLSVPGSFTTSPVHLSLLGAQVLSWRTRILSLQDSKSYPGPFIVVDISRKQEDGLSIVVSPLIRIQNETKLSIELRIRRPERMEDEFASMSLKAGDTFDDSMASFDAIHFSGGFRKALMSLNVGNFLFSFRPEMSHDLIQSDTSLSVEWSDEIKGGKAIRLSGIFDKLSYEVRKALSVGSVKCSFSTASCTVKSAAGHVSNMHFLIQSIGREVPIMKPDKSKDGLENRNAPISLQEQKEICILPTVRVSNLLHSEIHVLLTETNSCTPTGHDNIGKEATLPCRSTVDFYANPAIMYFLVSLTAFSSTSKPVNSGEWVKKLLKHKTGVRCLDIDLDFCGGKYFASLRLSRGYKGILEATVYTPYILKNETDFSMFFFASGQKPPFRNEMEGIRPELGLFLPPKSTGSWFLRSCKMHLRLFENPASEPQIDLDTLSGPTEVSLEIAERSGVKYIAKFGVSIAPSLNSVVPSQTITIAPRHVVLNESEENITVRQCNLEVDTAGMISINSRQSAALLLQKEIGKRAEYSLFENIIKKHRNDFDSSLIYIQFRLNESQLDWSGPLCITSLGRFFLKFRKHSSQLTAEDKKIAEFAEVHVVEEGSTIVVRFQKPPSSKLPYRIENYLHGASLTYYQKNSSESEFLGSECFVDYTWDDVTLPRKLVVILNDMNLPREINLDKVRTWKPFYKLTQERLASHILFDKKSKGKRSRFGEHQGMNAVRVGYEVYADGPTRVLRICEFSDSHKQDKAFHSCAKIRMRVSQFAIQLLEKAKEDLNQSGTLCYTPFAVARLHNISLDSLFMDQQKYNQIAVQSLTVDVKWMGAPVASMLRRHRLDDSDANDSILKIIFVLLSAGADVKQVKYASVILQPIDLNIDEDTLMKIVSFWRTSLSDSSSPSQQFYFDNFEIHPIKIIATFVPGESYSSYNSAQEALRTLLHSVVKVPPIKKVAVELNGVSVTHALVTIRELLIRCAQHYSWYAMRSISIAKGSQLLPPAFASIFDDLASSSLDIFFDPSQGLMNLPGFKWGTFKFISRCIDRKGFSGTKRYFGDLGKTLKTAGSNVIFAAVTEISDSVLKGAEASGFNGMVSGFHQGILKLAMEPSVLGTALMGSGPDRKIKLDRSPGVDELYIEGYLQAMLDSMYRQEYLRVRVIDDQVFLKNLPPNSSLINEIMDSVKGFLISKALLKGDPATASRPFRNVQGESYRDL >KJB40267 pep chromosome:Graimondii2_0_v6:7:3850779:3852575:1 gene:B456_007G054800 transcript:KJB40267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGFFGSVTGLGFTTVFQAEASALLEGLRLALAWDKGYRKMEVERDNALLIQFICSGYASRNRLSELRQIQVMYSRNWQLTSKHISREQNRTADLMTRLGRATTSGLCVFYQPPSVLETTLEQERV >KJB45163 pep chromosome:Graimondii2_0_v6:7:50653371:50655122:1 gene:B456_007G295600 transcript:KJB45163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQGHGQPPAMGIIGGGAQMPYGTNPYPNQVTGAPNSGSVGGIQPSSQPTGAQLAQHQLAYQQIHQQQQQQLQQQLQTFWANQYQEVEKVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTVPVGGPADALPYYMPAQHAPQVGTPGMIMGKPVMDPALYAQQSHPYMAQPQQMWPPPGPEQQQSSSDH >KJB45160 pep chromosome:Graimondii2_0_v6:7:50652777:50655122:1 gene:B456_007G295600 transcript:KJB45160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQGHGQPPAMGIIGGGAQMPYGTNPYPNQVTGAPNSGSVGGIQPSSQPTGAQLAQHQLAYQQIHQQQQQQLQQQLQTFWANQYQEVEKVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTVPVGGPADALPYYMPAQHAPQVGTPGMIMGKPVMDPALYAQQSHPYMAQPQQMWPPPGPEQQQSSSDH >KJB45162 pep chromosome:Graimondii2_0_v6:7:50653016:50655122:1 gene:B456_007G295600 transcript:KJB45162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQGHGQPPAMGIIGGGAQMPYGTNPYPNQVTGAPNSGSVGGIQPSSQPTGAQLAQHQLAYQQIHQQQQQQLQQQLQTFWANQYQEVEKVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTVPVGGPADALPYYMPAQHAPQVGTPGMIMGKPVMDPALYAQQSHPYMAQPQQMWPPPGPEQQQSSSDH >KJB45161 pep chromosome:Graimondii2_0_v6:7:50652943:50655130:1 gene:B456_007G295600 transcript:KJB45161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQGHGQPPAMGIIGGGAQMPYGTNPYPNQVTGAPNSGSVGGIQPSSQPTGAQLAQHQLAYQQIHQQQQQQLQQQLQTFWANQYQEVEKVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTVPVGGPADALPYYMPAQHAPQVGTPGMIMGKPVMDPALYAQQSHPYMAQPQQMWPPPGPEQQQSSSDH >KJB45164 pep chromosome:Graimondii2_0_v6:7:50653016:50655122:1 gene:B456_007G295600 transcript:KJB45164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQGHGQPPAMGIIGGGAQMPYGTNPYPNQVTGAPNSGSVGGIQPSSQPTGAQLAQHQLAYQQIHQQQQQQLQQQLQTFWANQYQEVEKVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTVPVGGPADALPYYMPAQHAPQVGTPGMIMGKPVMDPALYAQQSHPYMAQPQQMWPPPGPEQQQSSSDH >KJB46607 pep chromosome:Graimondii2_0_v6:7:60813243:60818044:-1 gene:B456_007G377200 transcript:KJB46607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQKLDPHSPIFHSVRNQALSPMDTDPAACIKNVKRLVVKVGTAVVTRHDGRLAVGRLGALCEQLKELNSQGYEVVLVTSGAVGLGRQRLRYRKLVNSSLADLQNPQVELDGKACAAVGQNSLMALYDTLFSQLDVTSSQHLVTDSDFRNDSFREQLSETVKSLLALKVIPVFNENDAVSTRRTPYEDSSGIFWDNDSLAGLLAMEVKADLLVLLSDVEGLYSGPPSDPNSKLIHTYIKEKHQAEITFGDKSRLGRGGMTAKVDAAVCAASSGIPVVITSGYATDNIIKLLQGKPVGTLFHKDAHLWTSVNEVDAREMAVAARECSRRLQAMSSEDRRKLLLDVADALEANESLIMAENEADVAAAKADGYEKALISRLALKPGKIAGLAKSIRVLADMEEPISRIAKRTELADGLILEKTSCPLGVLLIVFESRPDALVQIASLAIRTGNGLLLTGGKEARRSNAILHKIITSAIPDCVGDKLIGLVASREDIPDLLKLDDVIDLVIPRGSNSLVSQIKNSTKIPVLGHADGVCHVYIDKSANPDMAKKIVRDAKVDYPAACNTMETLLVHQDLSNNDLLKELLAELRREGVTLYGGPRASSLLNLPRAQSLHREYSSLACTVEFVDDVGAAIDHIHQHGSSHTDCIVTEDHEVAEMFLHRVDSAAVFHNASTRFCDGARFGLGAEVGVCTSRVHARGPVGVEGLLTTRWILRGSGQVVDGDKGVTYSHKDLPLQTQI >KJB46606 pep chromosome:Graimondii2_0_v6:7:60813243:60817129:-1 gene:B456_007G377200 transcript:KJB46606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIRAFLIDVKRLIVKVGTAVVTRHDGRLAVGRLGALCEQLKELNSQGYEVVLVTSGAVGLGRQRLRYRKLVNSSLADLQNPQVELDGKACAAVGQNSLMALYDTLFSQLDVTSSQHLVTDSDFRNDSFREQLSETVKSLLALKVIPVFNENDAVSTRRTPYEDSSGIFWDNDSLAGLLAMEVKADLLVLLSDVEGLYSGPPSDPNSKLIHTYIKEKHQAEITFGDKSRLGRGGMTAKVDAAVCAASSGIPVVITSGYATDNIIKLLQGKPVGTLFHKDAHLWTSVNEVDAREMAVAARECSRRLQAMSSEDRRKLLLDVADALEANESLIMAENEADVAAAKADGYEKALISRLALKPGKIAGLAKSIRVLADMEEPISRIAKRTELADGLILEKTSCPLGVLLIVFESRPDALVQIASLAIRTGNGLLLTGGKEARRSNAILHKIITSAIPDCVGDKLIGLVASREDIPDLLKLDDVIDLVIPRGSNSLVSQIKNSTKIPVLGHADGVCHVYIDKSANPDMAKKIVRDAKVDYPAACNTMETLLVHQDLSNNDLLKELLAELRREGVTLYGGPRASSLLNLPRAQSLHREYSSLACTVEFVDDVGAAIDHIHQHGSSHTDCIVTEDHEVAEMFLHRVDSAAVFHNASTRFCDGARFGLGAEVGVCTSRVHARGPVGVEGLLTTRWILRGSGQVVDGDKGVTYSHKDLPLQTQI >KJB45620 pep chromosome:Graimondii2_0_v6:7:53102910:53106513:1 gene:B456_007G316300 transcript:KJB45620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKLPSSTHGSISNQKRPTAKFHPCVWGDIFLPSPTTNVDAKTKLQHEELKEEVRRMIKVVMDDELLYKLRLIDTIKRLGVSYHFEREIEEVLLNIYEHDYKDDQTLETTSLQFRLLRENGLGVPCEWFHKFKDDDGNFNMSLTSDVKGLLELYEASHLRVHGEDILEEALGFTTTHLGLAKASGTIEYPLSALVSHALYQSIRKGLPRLEAKRFISIYQGDASHNKTLLKFAELDFNLLQISHKEELSKISSWKKGLDLTTKLPFVRDRLPQYSFAREILVKTMVIASIMDDISDAYDYYREKEETVIKQGKSYCIKYAKDVFKQLCESFFVDAKWYNQNYVPTMEEYMRNGVLSAGYIMLTVTSFVEMEDFVTPEIFNWASNNPKIIDASSIVIRLMNDVTSYKFEQERGHSASAVECYMREHAVLKEEACSELKKRVENAWKDINQELIFQGQVTEFIYKNGDEYTHVGKNTKEGITSLLIDPISVSY >KJB39821 pep chromosome:Graimondii2_0_v6:7:2203510:2203864:1 gene:B456_007G032100 transcript:KJB39821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTHLNAANNNGAQELMCIRVIRDSNCRYAHIGLKRDNGMIIRYDHNAAVVIDQEGHPKGTRIFGAIARELRQLNSTKIVSLAPEVL >KJB42873 pep chromosome:Graimondii2_0_v6:7:15858765:15858971:1 gene:B456_007G172800 transcript:KJB42873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSFSYNYVLFQRYEAPSKCSLVTISFIYHFSDIVPTVAEGSPKDDFYLVLINGFGEVVVNYGQSKR >KJB46315 pep chromosome:Graimondii2_0_v6:7:59119431:59127181:1 gene:B456_007G360100 transcript:KJB46315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNCYGFRSTAAAAAREYIRRHHRHGSGENQCSSALVKHFKAPFSLFARKAAITFPGASARDLSSVGPSLFKSYGSAHLLNNFWSSIPATLRFCTFAVSENGVSKPNSKTLEEATLANQKSIDFTKLDINLLPTVMIIGRPNVGKSALFNRLIRRREALVYNTPDDHVTRDIREGLAKLGDLRFRVLDSAGLETEATSGSILNRTAAMTANVLARTQFAIFLIDVRTGLHPLDQEVGKWLRKHAPGINPIVAMNKSESLHKDPNSFAEAATEALKLGFGEPIAISAETGLGMTALHESLQPMLENYMAKVLDGKSSQDENLSQDNDSSKDDESKLPLQLAIVGRPNVGKSTLLNVLLQEDRVLVGPEAGLTRDSVRAQFQYQGRTVYLVDTAGWLQRGDRHKGPNSLSVMQSRKNLMRAHIVALVLDAEEIAKARRSMTHAEVVIARQAVEEGRGLVVVVNKMDLLKGPRNSALYKRVKEAVPQEIQMVIPQITGIPVLFISAIDGRGRAAVMSQVIDAYEKWCLRLSTARLNRWLRKVASRHSWKDQGSQTKIKYFTQVKARPPTFVAFVSGNTKLSDTYVRFLTKSLKEDFDMGGIPIRIMQRSVPRMASGSSSKTGQSTGKTVERTPSDKRSSVV >KJB46314 pep chromosome:Graimondii2_0_v6:7:59119431:59125855:1 gene:B456_007G360100 transcript:KJB46314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNCYGFRSTAAAAAREYIRRHHRHGSGENQCSSALVKHFKAPFSLFARKAAITFPGASARDLSSVGPSLFKSYGSAHLLNNFWSSIPATLRFCTFAVSENGVSKPNSKTLEEATLANQKSIDFTKLDINLLPTVMIIGRPNVGKSALFNRLIRRREALVYNTPDDHVTRDIREGLAKLGDLRFRVLDSAGLETEATSGSILNRTAAMTANVLARTQFAIFLIDVRTGLHPLDQEVGKWLRKHAPGINPIVAMNKSESLHKDPNSFAEAATEALKLGFGEPIAISAETGLGMTALHESLQPMLENYMAKVLDGKSSQDENLSQDNDSSKDDESKLPLQLAIVGRPNVGKSTLLNVLLQEDRVLVGPEAGLTRDSVRAQFQYQGRTVYLVDTAGWLQRGDRHKGPNSLSVMQSRKNLMRAHIVALVLDAEEVYVAPNFFLKTLFPTLVSNAWIYMKN >KJB46316 pep chromosome:Graimondii2_0_v6:7:59119432:59127181:1 gene:B456_007G360100 transcript:KJB46316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGRPNVGKSALFNRLIRRREALVYNTPDDHVTRDIREGLAKLGDLRFRVLDSAGLETEATSGSILNRTAAMTANVLARTQFAIFLIDVRTGLHPLDQEVGKWLRKHAPGINPIVAMNKSESLHKDPNSFAEAATEALKLGFGEPIAISAETGLGMTALHESLQPMLENYMAKVLDGKSSQDENLSQDNDSSKDDESKLPLQLAIVGRPNVGKSTLLNVLLQEDRVLVGPEAGLTRDSVRAQFQYQGRTVYLVDTAGWLQRGDRHKGPNSLSVMQSRKNLMRAHIVALVLDAEEIAKARRSMTHAEVVIARQAVEEGRGLVVVVNKMDLLKGPRNSALYKRVKEAVPQEIQMVIPQITGIPVLFISAIDGRGRAAVMSQVIDAYEKWCLRLSTARLNRWLRKVASRHSWKDQGSQTKIKYFTQVKARPPTFVAFVSGNTKLSDTYVRFLTKSLKEDFDMGGIPIRIMQRSVPRMASGSSSKTGQSTGKTVERTPSDKRSSVV >KJB39329 pep chromosome:Graimondii2_0_v6:7:550724:554108:-1 gene:B456_007G006800 transcript:KJB39329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid phosphate phosphatase 4 [Source:Projected from Arabidopsis thaliana (AT3G18220) UniProtKB/Swiss-Prot;Acc:Q0WNG6] MTDILLGTHTIKSHGVKVVKAHIHNWLILVILVVIDGLLNYVEPFHRFVGQEMMTDLKFPFHENTVPVLVVPVIAVLVPFIIFGVYYYLRKDIYDFHHAILGILYSVLLSGVITDSIKDAVGRPRPNFFFRCFPDGKAVFDQVTGDVICHGDAKIVKEGYKSFPSGHTSWSFAGLCFLSLYLSGKLRAFNRGGNVSKLCIVIFPVLAAVLVGISRVDDYWHHWTDVFAGALIGTSMAAFCYLQFFPCPHYEDGWAPHAYFKMIAERQSDESPESTVRSPSKNESNDIETQPM >KJB39283 pep chromosome:Graimondii2_0_v6:7:423907:425618:-1 gene:B456_007G005300 transcript:KJB39283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAGLQFGKVRGEDRFYIPVKARRNQNQKQQQKPKQEAVKEDNEKSNSKSSASLTKSKDLASGNNNNKNINPKKTLASSTIPSSEESRVSRSNLERFLESTTPSVPAQYFSKTTVRGWRTCDVEFQPYFVLGDLWESFKEWSAYGAGVPLVLDGNDGVVQYYVPYLSGIQLYGESAKQRLAGEESENDYYRDSSSDGSSDYEIGKGIKFSREQFSRFSLTNEIPFRVRSLSISDENSMLQEGFSSDDCETRNSRDHLLFEFFEHKTPYSRESLADKANI >KJB39285 pep chromosome:Graimondii2_0_v6:7:421899:426113:-1 gene:B456_007G005300 transcript:KJB39285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAGLQFGKVRGEDRFYIPVKARRNQNQKQQQKPKQEAVKEDNEKSNSKSSASLTKSKDLASGNNNNKNINPKKTLASSTIPSSEESRVSRSNLERFLESTTPSVPAQYFSKTTVRGWRTCDVEFQPYFVLGDLWESFKEWSAYGAGVPLVLDGNDGVVQYYVPYLSGIQLYGESAKQSLSISDENSMLQEGFSSDDCETRNSRDHLLFEFFEHKTPYSRESLADKIFDLSCKYPGLNTLRSCDLLPISWMSVAWYPIYRIPTGSTLKDLDACFLTYHSLCTPMEGNGNGQTPFLVYPDDANGIPKISLPVFGMGCYKLKGSIWTQNGVSECQHANSLMQATENWLKLLQSN >KJB39282 pep chromosome:Graimondii2_0_v6:7:421899:426087:-1 gene:B456_007G005300 transcript:KJB39282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAGLQFGKVRGEDRFYIPVKARRNQNQKQQQKPKQEAVKEDNEKSNSKSSASLTKSKDLASGNNNNKNINPKKTLASSTIPSSEESRVSRSNLERFLESTTPSVPAQYFSKTTVRGWRTCDVEFQPYFVLGDLWESFKEWSAYGAGVPLVLDGNDGVVQYYVPYLSGIQLYGESAKQRLAGEESENDYYRDSSSDGSSDYEIGKGIKFSREQFSRFSLTNEIPFRVRSLSISDENSMLQEGFSSDDCETRNSRDHLLFEFFEHKTPYSRESLADKIFDLSCKYPGLNTLRSCDLLPISWMSVAWYPIYRIPTGSTLKDLDACFLTYHSLCTPMEGNGNGQTPFLVYPDDANGIPKISLPVFGMGCYKLKGSIWTQNGVSECQHANSLMQATENWLKLLQSN >KJB39286 pep chromosome:Graimondii2_0_v6:7:422429:425618:-1 gene:B456_007G005300 transcript:KJB39286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAGLQFGKVRGEDRFYIPVKARRNQNQKQQQKPKQEAVKEDNEKSNSKSSASLTKSKDLASGNNNNKNINPKKTLASSTIPSSEESRVSRSNLERFLESTTPSVPAQYFSKTTVRGWRTCDVEFQPYFVLGDLWESFKEWSAYGAGVPLVLDGNDGVVQYYVPYLSGIQLYGESAKQSLSISDENSMLQEGFSSDDCETRNSRDHLLFEFFEHKTPYSRESLADKIFDLSCKYPGLNTLRSCDLLPISWMSVAWYPIYRIPTGSTLKDLDACFLTYHSLCTPMEGNGNGQTPFLVYPDDANGIPKISLPVFGMGCYKLKGSIWTQNGVSECQHANSLMQATENWLKLLQVYHPDFQFFASHGMYLG >KJB39284 pep chromosome:Graimondii2_0_v6:7:422429:425618:-1 gene:B456_007G005300 transcript:KJB39284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAGLQFGKVRGEDRFYIPVKARRNQNQKQQQKPKQEAVKEDNEKSNSKSSASLTKSKDLASGNNNNKNINPKKTLASSTIPSSEESRVSRSNLERFLESTTPSVPAQYFSKTTVRGWRTCDVEFQPYFVLGDLWESFKEWSAYGAGVPLVLDGNDGVVQYYVPYLSGIQLYGESAKQRLAGEESENDYYRDSSSDGSSDYEIGKGIKFSREQFSRFSLTNEIPFRVRSLSISDENSMLQEGFSSDDCETRNSRDHLLFEFFEHKTPYSRESLADKIFDLSCKYPGLNTLRSCDLLPISWMSVAWYPIYRIPTGSTLKDLDACFLTYHSLCTPMEGNGNGQTPFLVYPDDANGIPKISLPVFGMGCYKLKGSIWTQNGVSECQHANSLMQATENWLKLLQVYHPDFQFFASHGMYLG >KJB46240 pep chromosome:Graimondii2_0_v6:7:58445972:58447543:1 gene:B456_007G353600 transcript:KJB46240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 subunit beta [Source:Projected from Arabidopsis thaliana (AT5G20920) UniProtKB/Swiss-Prot;Acc:Q41969] MADDEVKDEVPEITSFDPTKKKKKKKVVIQDPADESVDKLAEKTEALSVSEGLDFSNLKKKKKKPVEGNTFTDESGDAGDDFDGHIDGDEEGEGIVLQQRYPWDGTDRDYIYDELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTYVTVLFSLLCTLINLYMRARAHTHVSIFAFQSV >KJB46241 pep chromosome:Graimondii2_0_v6:7:58445685:58450135:1 gene:B456_007G353600 transcript:KJB46241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 subunit beta [Source:Projected from Arabidopsis thaliana (AT5G20920) UniProtKB/Swiss-Prot;Acc:Q41969] MADDEVKDEVPEITSFDPTKKKKKKKVVIQDPADESVDKLAEKTEALSVSEGLDFSNLKKKKKKPVEGNTFTDESGDAGDDFDGHIDGDEEGEGIVLQQRYPWDGTDRDYIYDELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTYVTVLFSLLCTLINLYMRARAHTHNASTTGPCHGLLAC >KJB46242 pep chromosome:Graimondii2_0_v6:7:58445686:58450105:1 gene:B456_007G353600 transcript:KJB46242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 subunit beta [Source:Projected from Arabidopsis thaliana (AT5G20920) UniProtKB/Swiss-Prot;Acc:Q41969] MADDEVKDEVPEITSFDPTKKKKKKKVVIQDPADESVDKLAEKTEALSVSEGLDFSNLKKKKKKPVEGNTFTDESGDAGDDFDGHIDGDEEGEGIVLQQRYPWDGTDRDYIYDELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTYNASTTGPCHGLLAC >KJB46239 pep chromosome:Graimondii2_0_v6:7:58445613:58450135:1 gene:B456_007G353600 transcript:KJB46239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 subunit beta [Source:Projected from Arabidopsis thaliana (AT5G20920) UniProtKB/Swiss-Prot;Acc:Q41969] MADDEVKDEVPEITSFDPTKKKKKKKVVIQDPADESVDKLAEKTEALSVSEGLDFSNLKKKKKKPVEGNTFTDESGDAGDDFDGHIDGDEEGEGIVLQQRYPWDGTDRDYIYDELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMAFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNAGT >KJB45151 pep chromosome:Graimondii2_0_v6:7:50193613:50197485:1 gene:B456_007G292700 transcript:KJB45151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRNEATERLLSRQGHHNLVYNEENGGVGVGDEGLVTVSNFTSILGLSTLTAACITFGFGCAIGYSSPTQSSIMEDLGLSVAEFSLFGSILSIGSLIGAAISGKITDLFGRKMTMWILNLFYIGGWLAIAFTKAPLLLNLGRLSLGFTNGISNYLASIYVAEITTKNVRGRFTIIVQFLTGWGASFMFVVGSFVHWRTLALLATIPGLLQLLLLFFIPESPQWLAKVGREKELEAALLCLRGDKADISHEATEIKDYVESLKSFSSEGILDIFQKQYVRPLLTVAGVLVLMNLGGINALAYYSGAIFVSAGVSSMVGLITLAATQVCFTVILDRKFLH >KJB45150 pep chromosome:Graimondii2_0_v6:7:50193613:50197537:1 gene:B456_007G292700 transcript:KJB45150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRNEATERLLSRQGHHNLVYNEENGGVGVGDEGLVTVSNFTSILGLSTLTAACITFGFGCAIGYSSPTQSSIMEDLGLSVAEFSLFGSILSIGSLIGAAISGKITDLFGRKMTMWILNLFYIGGWLAIAFTKAPLLLNLGRLSLGFTNGISNYLASIYVAEITTKNVRGRFTIIVQFLTGWGASFMFVVGSFVHWRTLALLATIPGLLQLLLLFFIPESPQWLAKVGREKELEAALLCLRGDKADISHEATEIKDYVESLKSFSSEGILDIFQKQYVRPLLTVAGVLVLMNLGGINALAYYSGAIFVSAGVSSMVGLITLAATQTVIGILGATLIDKLGRRPLLLVSSAGLCFSSFLTGVSFFLKDFNWWDQGSPIVAFIGVLMYMGSYALGGVLPLLLLSELFPINVKGSAGSICNVMGNFTGWLVAYYFNFLIEWSSTGIFFIFSAFSFANFILTATMVPETKGRTLEEIRASITHSSD >KJB45152 pep chromosome:Graimondii2_0_v6:7:50193688:50196569:1 gene:B456_007G292700 transcript:KJB45152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRNEATERLLSRQGHHNLVYNEENGGVGVGDEGLVTVSNFTSILGLSTLTAACITFGFGCAIGYSSPTQSSIMEDLGLSVAEFSLFGSILSIGSLIGAAISGKITDLFGRKMTMWILNLFYIGGWLAIAFTKAPLLLNLGRLSLGFTNGISNYLASIYVAEITTKNVRGRFTIIVQFLTGWGASFMFVVGSFVHWRTLALLATIPGLLQLLLLFFIPESPQWLAKVGREKELEAALLCLRGDKADISHEATEIKDYVESLKSFSSEGILDIFQKQYVRPLLTVAGVLVLMNLGGINALAYYSGAIFVSAGVSSMVGLITLAATQTVIGILGATLIDKLGRRPLLLVSSAGLCFSSFLTGVSFFLKDFNWWDQGSPIVAFIGVLASHPFSPSILFFSPFPLAILNIG >KJB45153 pep chromosome:Graimondii2_0_v6:7:50193613:50197537:1 gene:B456_007G292700 transcript:KJB45153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRNEATERLLSRQGHHNLVYNEENGGVGVGDEGLVTVSNFTSILGLSTLTAACITFGFGCAIGYSSPTQSSIMEDLGLSVAEFSLFGSILSIGSLIGAAISGKITDLFGRKMTMWILNLFYIGGWLAIAFTKAPLLLNLGRLSLGFTNGISNYLASIYVAEITTKNVRGRFTIIVQFLTGWGASFMFVVGSFVHWRTLALLATIPGLLQLLLLFFIPESPQWLAKVGREKELEAALLCLRGDKADISHEATEIKDYVESLKSFSSEGILDIFQKQYVRPLLTVAGVLVLMNLGGINALAYYSGAIFVSAGVSSMVGLITLAATQTVIGILGATLIDKLGRRPLLLVSSAGLCFSSFLTGVSFFLKDFNWWDQGSPIVAFIGVLANVHGLICTWRSTTIAITIRVISNKCKRFSRKHL >KJB45154 pep chromosome:Graimondii2_0_v6:7:50193441:50197537:1 gene:B456_007G292700 transcript:KJB45154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRNEATERLLSRQGHHNLVYNEENGGVGVGDEGLVTVSNFTSILGLSTLTAACITFGFGCAIGYSSPTQSSIMEDLGLSVAEFSLFGSILSIGSLIGAAISGKITDLFGRKMTMWILNLFYIGGWLAIAFTKAPLLLNLGRLSLGFTNGISNYLASIYVAEITTKNVRGRFTIIVQFLTGWGASFMFVVGSFVHWRTLALLATIPGLLQLLLLFFIPESPQWLAKVGREKELEAALLCLRGDKADISHEATEIKDYVESLKSFSSEGILDIFQKQYVRPLLTVAGVLVLMNLGGINALAYYSGAIFVSAGVSSMVGLITLAATQTVIGILGATLIDKLGRRPLLLVSSAGLCFSSFLTGVSFFLKDFNWWDQGSPIVAFIGVLMYMGSYALGGVLPLLLLSELFPINVKGSAGSICNVMGNFTGWLVAYYFNFLIEWSSTGIFFIFSAFSFANFILTATMVPETKGRTLEEIRASITHSSD >KJB40710 pep chromosome:Graimondii2_0_v6:7:5268148:5270780:-1 gene:B456_007G074400 transcript:KJB40710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKIHNKCKEESVEQRIDVKAERNTEVNEPLEQTPDVSCSSPSPALQCMDKLREELSCAICLDICYEPSTTPCGHSFCKKCLRSAADKCGKRCPKCRQIISNGRSCTVNTVLWNTIQLLFPNEVSEARKSAAGALNSQEVERGSPESGRRSNRRARSVRPPMVISTRDAALAFRQQSEDLSRLVSTRTRRGTPSQSEDVALALRLQREQSMESFRRIRQQTRIPTPLSLARANLRAMASRAINIHR >KJB40709 pep chromosome:Graimondii2_0_v6:7:5268148:5270780:-1 gene:B456_007G074400 transcript:KJB40709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNRFSFFLIKPRKKSKKMAIEEPKQRSQDSKQENPNENIQNVDALLFSPRFKSAAAMAGWDEEALIVASLVVEDTPGRQPKHKKRSDFVFKTPPSTNSRRVQRRSPISIPVAPLKLDEEENSQQGCKEESVEQRIDVKAERNTEVNEPLEQTPDVSCSSPSPALQCMDKLREELSCAICLDICYEPSTTPCGHSFCKKCLRSAADKCGKRCPKCRQIISNGRSCTVNTVLWNTIQLLFPNEVSEARKSAAGALNSQEVERGSPESGRRSNRRARSVRPPMVISTRDAALAFRQQSEDLSRLVSTRTRRGTPSQSEDVALALRLQREQSMESFRRIRQQTRIPTPLSLARANLRAMASRAINIHR >KJB40713 pep chromosome:Graimondii2_0_v6:7:5268868:5270780:-1 gene:B456_007G074400 transcript:KJB40713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKIHNKCKEESVEQRIDVKAERNTEVNEPLEQTPDVSCSSPSPALQCMDKLREELSCAICLDICYEPSTTPCGHSFCKKCLRSAADKCGKRCPKCRQIISNGRSCTVNTVLWNTIQLLFPNEVSEARKSAAGALNSQEVERGSPESGRRSNRRARSVRPPMVISTRDAALAFRQQSEDLSRLVSTRTRRGTPSQSEDVALALRLQREQSMESFRRIRQQTRIPTPLSLARANLRAMASRAINIHR >KJB40715 pep chromosome:Graimondii2_0_v6:7:5268912:5270724:-1 gene:B456_007G074400 transcript:KJB40715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNRFSFFLIKPRKKSKKMAIEEPKQRSQDSKQENPNENIQNVDALLFSPRFKSAAAMAGWDEEALIVASLVVEDTPGRQPKHKKRSDFVFKTPPSTNSRRVQRRSPISIPVAPLKLDEEENSQQGCKEESVEQRIDVKAERNTEVNEPLEQTPDVSCSSPSPALQCMDKLREELSCAICLDICYEPSTTPCGHSFCKKCLRSAADKCGKRCPKCRQIISNGRSCTVNTVLWNTIQLLFPNEVSEARKSAAGALNSQEVERGSPESGRRSNRRARSVRPPMVISTRDAALAFRQQSEDLSRLVSTRTRRGTPSQSEDVALALRLQREQSMESFRRIRQQTRIPTPLSLARANLRAMASRAINIHR >KJB40712 pep chromosome:Graimondii2_0_v6:7:5269107:5270252:-1 gene:B456_007G074400 transcript:KJB40712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKIHNKCKEESVEQRIDVKAERNTEVNEPLEQTPDVSCSSPSPALQCMDKLREELSCAICLDICYEPSTTPCGHSFCKKCLRSAADKCGKRCPKCRQIISNGRSCTVNTVLWNTIQLLFPNEVSEARKSAAGALNSQEVERGSPESGRRSNRRARSVRPPMVISTRDAALAFRQQSEDLSRLVSTRTRRGTPSQSEDVALALRLQREQSMESFRRIRQQTRIPTPLSLARANLRAMASRAINIHR >KJB40708 pep chromosome:Graimondii2_0_v6:7:5268671:5270724:-1 gene:B456_007G074400 transcript:KJB40708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNRFSFFLIKPRKKSKKMAIEEPKQRSQDSKQENPNENIQNVDALLFSPRFKSAAAMAGWDEEALIVASLVVEDTPGRQPKHKKRSDFVFKTPPSTNSRRVQRRSPISIPVAPLKLDEEENSQQGCKEESVEQRIDVKAERNTEVNEPLEQTPDVSCSSPSPALQCMDKLREELSCAICLDICYEPSTTPCGHSFCKKCLRSAADKCGKRCPKCRQIISNGRSCTVNTVLWNTIQLLFPNEVSEARKSAAGALNSQEVERGSPESGRRSNRRARSVRPPMVISTRDAALAFRQQSEDLSRLVSTRTRRGTPSQSEDVALALRLQREQSMESFRRIRQQTRIPTPLSLARANLRAMASRAINIHSFNQLLVTYMANT >KJB40714 pep chromosome:Graimondii2_0_v6:7:5269107:5270724:-1 gene:B456_007G074400 transcript:KJB40714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNRFSFFLIKPRKKSKKMAIEEPKQRSQDSKQENPNENIQNVDALLFSPRFKSAAAMAGWDEEALIVASLVVEDTPGRQPKHKKRSDFVFKTPPSTNSRRKRRVQRRSPISIPVAPLKLDEEENSQQGCKEESVEQRIDVKAERNTEVNEPLEQTPDVSCSSPSPALQCMDKLREELSCAICLDICYEPSTTPCGHSFCKKCLRSAADKCGKRCPKCRQIISNGRSCTVNTVLWNTIQLLFPNEVSEARKSAAGALNSQEVERGSPESGRRSNRRARSVRPPMVISTRDAALAFRQQSEDLSRLVSTRTRRGTPSQSEDVALALRLQREQSMESFRRIRQQTRIPTPLSLARANLRAMASRAINIHR >KJB40711 pep chromosome:Graimondii2_0_v6:7:5268671:5270252:-1 gene:B456_007G074400 transcript:KJB40711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKIHNKCKEESVEQRIDVKAERNTEVNEPLEQTPDVSCSSPSPALQCMDKLREELSCAICLDICYEPSTTPCGHSFCKKCLRSAADKCGKRCPKCRQIISNGRSCTVNTVLWNTIQLLFPNEVSEARKSAAGALNSQEVERGSPESGRRSNRRARSVRPPMVISTRDAALAFRQQSEDLSRLVSTRTRRGTPSQSEDVALALRLQREQSMESFRRIRQQTRIPTPLSLARANLRAMASRAINIHSFNQLLVTYMANT >KJB43087 pep chromosome:Graimondii2_0_v6:7:17508639:17511230:-1 gene:B456_007G183300 transcript:KJB43087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNCGNDSSGTFSCLTGDCGTSILECSGGDATAPVTLAEFKLGPDWTLVYYDVSLVDGYNLPLMISPHNETGGNCNSTGCAADLNGDCPSELEVVSGSETVACKSACTAFGAPQYCCDTTDTTNGSAAKRVENKTGCHKCNASGGTCGSDSNNRTICHCPSGFYNSPELKQCLGLAPLPGPVLAPTYSPRPGSAIVGILLIFAVCCFVPRFKRKLLSNYLHQIKMNDNARIEAFIIKFGSFAPKRYSYGEIKKMTNQFNDKLGQGGFGSVYKGKLPDGRLVAVKSLNETKSNGEDFMNEVASISRTSHVNIVTLLGFCFERSKRALIYEFMPKGSLDKFIYSQGTDNQSRQLEWKTLYDIALGIARGLEYLHQGCNTRILHFDIKPHNVLLDENFCPKISDFGLSKLCERKKSVVSMTGARGTAGYIAPEVFFRNLGGVSHKSDVYSYGMMVLEMVGGRKNIDVEVSQTSEIYFPSWIYKHLDQSKNLNLNEEITGEEEEITRKLIAVSLWCIQTNSLDRPSMTRVIEMLQGSLQSLELPLRPT >KJB45655 pep chromosome:Graimondii2_0_v6:7:53320902:53326641:-1 gene:B456_007G318500 transcript:KJB45655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTGKTTLAEAVFHHISNGFQSCYFLANVRESDEHGRLFQLRQEFLSTIMEDENLNISTPTIGAGFLKDRLSRKMVLIVCDDVSNSSQLEFLFKGINQLCPGSRVIVTTRNKQVLIQNDIDLIYEMEKLDEDESFQLFCQRAFRSNYPTAYRLELSKMVLSVADGNPLAIKVVGSSLYGKDKTYQESTVKKLKQVPNPDIHKLLICSFDALDCEEKDIFLDIACFFKGENRDYVTRIMDACYASAHSGIENLIDRSLIYVSQNQIAMHDLLQQMGWNVVCKESPLEPKRRSRLWISNDIYDVLIENTGAKTLKSMLLDMSRISELELKPEAFVKMRKLKFLKFYHSCGTNSKILLPHGLLSLPDELRYLCWEGYPLKTLPTKFHPRNLVELDMSYSHVEKLWEGKQDLVNLKVMTLNHSRNLVKIADLSSATNLETMNLVNCTKLLELPSSLQHLEKLTHLNLRMCENLRFLPSLYKATSLTTLILSDCSILCSFPEVSSNLKELDLEGTAIEQVPSSMESLSRLVLLSMEDCVRLNNFPTAICSLRSLEVFSLNGSLKITTFPEISGNITELNLGGTAIEEVPSSIECFSNLRILNLGCCRRLKGVSTSIHKLKSLKTFYLEDCSRLEIFPEILDTMERLRYLELSGTALKELSPSMENLIGLEELRLNNCENLACLPDNFYKLKSLQFLCLYGCSNLVTWPDNLFSAIGGASLTEMQRDLHRLSSLENLDLTGSNLENLPKSIKQLPRLKQLILRKCKRLKSLPELPPSLKHLDAHDCTSLEEISSIKKLFEQAVLCKDRPYGFLEWIFSNCFQLDQKAVRNARTPKLQMLFGHMVTLLKDYHQEFPKINKVITCVPGSEIPEWFDFKSSGYSINIQLPSEWYYNSSKNFPTFVVSTVVSFPDYSGDREILIRCECRLKSRNGDCHDLSCSFLTWTKRIPGSELIGSDHLFLLYKTCFCDDDAEDRWFVETQASNKRMYNEAWFKFYPLVINVDGSQNTCCEVKHCGVHISFAKEEAELQPSKRFKYQS >KJB45656 pep chromosome:Graimondii2_0_v6:7:53320943:53326541:-1 gene:B456_007G318500 transcript:KJB45656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASGSSSSSSATAADMIQARTYDVFLSFRGQDTRDGFVSHLYKDLSRKNIETFIDSEKLRRGDEISEALLTAIQGSRVSVIVFSKDYASSKWCLAELVKIMDCNTWVVPVFYSVDPRDVRNQTGSFAEAFAKHEENFKHELEKVKSWRSALTAAGKLSGWDSQVTRPESRLIDEIVNDILKKLNRGTSNACLKGLVGIERRMEQVISLIQVGFPDVRRLGIWGMGGTGKTTLAEAVFHHISNGFQSCYFLANVRESDEHGRLFQLRQEFLSTIMEDENLNISTPTIGAGFLKDRLSRKMVLIVCDDVSNSSQLEFLFKGINQLCPGSRVIVTTRNKQVLIQNDIDLIYEMEKLDEDESFQLFCQRAFRSNYPTAYRLELSKMVLSVADGNPLAIKVVGSSLYGKDKTYQESTVKKLKQVPNPDIHKLLICSFDALDCEEKDIFLDIACFFKGENRDYVTRIMDACYASAHSGIENLIDRSLIYVSQNQIAMHDLLQQMGWNVVCKESPLEPKRRSRLWISNDIYDVLIENTGAKTLKSMLLDMSRISELELKPEAFVKMRKLKFLKFYHSCGTNSKILLPHGLLSLPDELRYLCWEGYPLKTLPTKFHPRNLVELDMSYSHVEKLWEGKQDLVNLKVMTLNHSRNLVKIADLSSATNLETMNLVNCTKLLELPSSLQHLEKLTHLNLRMCENLRFLPSLYKATSLTTLILSDCSILCSFPEVSSNLKELDLEGTAIEQVPSSMESLSRLVLLSMEDCVRLNNFPTAICSLRSLEVFSLNGSLKITTFPEISGNITELNLGGTAIEEVPSSIECFSNLRILNLGCCRRLKGVSTSIHKLKSLKTFYLEDCSRLEIFPEILDTMERLRYLELSGTALKELSPSMENLIGLEELRLNNCENLACLPDNFYKLKSLQFLCLYGCSNLVTWPDNLFSAIGGASLTEMQRDLHRLSSLENLDLTGSNLENLPKSIKQLPRLKQLILRKCKRLKSLPELPPSLKHLDAHDCTSLEEISSIKKLFEQAVLCKDRPYGFLEWIFSNCFQLDQKAVRNARTPKLQMLFGHMVTLLKDYHQEFPKINKVITCVPGSEIPEWFDFKSSGYSINIQLPSEWYYNSSKNFPTFVVSTVVSFPDYSGDREILIRCECRLKSRNGDCHDLSCSFLTWTKRIPGSELIGSDHLFLLYKTCFCDDDAEDRWFVETQASNKRMYNEAWFKFYPLVINVDGSQNTCCEVKHCGVHISFAKEEAELQPSKRFKYQS >KJB44103 pep chromosome:Graimondii2_0_v6:7:30540331:30540912:1 gene:B456_007G234500 transcript:KJB44103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANTNTLSLRSIIEKDKLNGLNFLDWFRNLRIVLKQEQKLYVIEQPPPNEPPANASRADRDAYKKHLDDMVDVGCLMLVTMKTELQKKHEDMVAYEMIEHLKELYQGQARQEWFDISKALFQCKLAEGSPVGPHVLKMIGYIESLFKLGFPLSQELATDVILQSLPDSYSQFVLNFNMNEIDKTLSQLLSML >KJB44206 pep chromosome:Graimondii2_0_v6:7:32698810:32717061:-1 gene:B456_007G239200 transcript:KJB44206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTDRGRNLLASRRKAVLLASGIVVAGGTAAYLQSRFSSKKPYSYGHSNGVQDDRENSDEVLKRNNNVKGTTRKRGGIKSLQVLTAILLSKMGQTGARDLLALVGIVVLRTALTNRLAKVQGFLFRAAFLQRVPSFFLLISENILLCFLLSTFHSTSKYITGTLSLSFRKILTKLIHTHYFENMAYYKISHVDGWIRNPEQRIASDVPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGESREESHIQQKFKNLVRHLRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGNLRPDTSTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELMLISRELSAVDKKPSFQRAASRNYLTEANYVEFSNVKVVTPSGNVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHDGMVDLEYLLNRYQPDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLNGEGGWTVHYKSEDSPVQSENGIELTEVSETNRQTDAIAVQRAFTAAKQDSAFSNPKTQSYVSDVIAASPSVNHDVKLPVVPQLQRDPRVLPLRVAAMFKVLVPTLFDKQGAQLLAVALLVVSRTWVSDRIASLNGTTVKHVLEQDKAAFIRLIGISVLQSSASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPFVDILWFTWRMKLLTGQRGVTILYAYMLLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESIAFFGGGAREKAMVESRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALVSTQGELAHALRFLASVVSQSFLAFGDILELHKKFLELSGSINRIFELEELLEAAQSGDLNIDKLSQSRSTSLYAEDVISFANVDIISPAQKLLAKQLTCNVVPGKSLLVTGPNGSGKSSLFRVLRGLWPIVTGRLYKPIHYFDEEAGSSCGIFYVPQRPYTCLGTLRDQIIYPLSREEAEMRELKFYGKDAINILDARLKTILENVRLNYLLQREDGGWDSNLNWEDTLSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYRVAKDLGITVITSSQRPALIPFHALELRLVDGEGQWELRSIRQ >KJB44207 pep chromosome:Graimondii2_0_v6:7:32698818:32715358:-1 gene:B456_007G239200 transcript:KJB44207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTDRGRNLLASRRKAVLLASGIVVAGGTAAYLQSRFSSKKPYSYGHSNGVQDDRENSDEVLKRNNNVKGTTRKRGGIKSLQVLTAILLSKMGQTGARDLLALVGIVVLRTALTNRLAKVQGFLFRAAFLQRVPSFFLLISENILLCFLLSTFHSTSKYITGTLSLSFRKILTKLIHTHYFENMAYYKISHVDGWIRNPEQRIASDVPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGESREESHIQQKFKNLVRHLRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGNLRPDTSTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELMLISRELSAVDKKPSFQRAASRNYLTEANYVEFSNVKVVTPSGNVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHDGMVELLKNVDLEYLLNRYQPDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLNGEGGWTVHYKSEDSPVQSENGIELTEVSETNRQTDAIAVQRAFTAAKQDSAFSNPKTQSYVSDVIAASPSVNHDVKLPVVPQLQRDPRVLPLRVAAMFKVLVPTLFDKQGAQLLAVALLVVSRTWVSDRIASLNGTTVKHVLEQDKAAFIRLIGISVLQSSASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPFVDILWFTWRMKLLTGQRGVTILYAYMLLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESIAFFGGGAREKAMVESRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALVSTQGELAHALRFLASVVSQSFLAFGDILELHKKFLELSGSINRIFELEELLEAAQSGDLNIDKLSQSRSTSLYAEDVISFANVDIISPAQKLLAKQLTCNVVPGKSLLVTGPNGSGKSSLFRVLRGLWPIVTGRLYKPIHYFDEEAGSSCGIFYVPQRPYTCLGTLRDQIIYPLSREEAEMRELKFYGKDAINILDARLKTILENVRLNYLLQREDGGWDSNLNWEDTLSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYRVAKDLGITVITSSQGCLG >KJB44201 pep chromosome:Graimondii2_0_v6:7:32698794:32716981:-1 gene:B456_007G239200 transcript:KJB44201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTDRGRNLLASRRKAVLLASGIVVAGGTAAYLQSRFSSKKPYSYGHSNGVQDDRENSDEVLKRNNNVKGTTRKRGGIKSLQVLTAILLSKMGQTGARDLLALVGIVVLRTALTNRLAKVQGFLFRAAFLQRVPSFFLLISENILLCFLLSTFHSTSKYITGTLSLSFRKILTKLIHTHYFENMAYYKISHVDGWIRNPEQRIASDVPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGESREESHIQQKFKNLVRHLRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGNLRPDTSTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELMLISRELSAVDKKPSFQRAASRNYLTEANYVEFSNVKVVTPSGNVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHDGMVELLKNVDLEYLLNRYQPDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLNGEGGWTVHYKSEDSPVQSENGIELTEVSETNRQTDAIAVQRAFTAAKQDSAFSNPKTQSYVSDVIAASPSVNHDVKLPVVPQLQRDPRVLPLRVAAMFKVLVPTLFDKQGAQLLAVALLVVSRTWVSDRIASLNGTTVKHVLEQDKAAFIRLIGISVLQSSASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPFVDILWFTWRMKLLTGQRGVTILYAYMLLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESIAFFGGGAREKAMVESRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALVSTQGELAHALRFLASVVSQSFLAFGDILELHKKFLELSGSINRIFELEELLEAAQSGDLNIDKLSQSRSTSLYAEDVISFANVDIISPAQKLLAKQLTCNVVPGKSLLVTGPNGSGKSSLFRVLRGLWPIVTGRLYKPIHYFDEEAGSSCGIFYVPQRPYTCLGTLRDQIIYPLSREEAEMRELKFYGKDAINILDARLKTILENVRLNYLLQREDGGWDSNLNWEDTLSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYRVAKDLGITVITSSQRPALIPFHALELRLVDGEGQWELRSIRQ >KJB44203 pep chromosome:Graimondii2_0_v6:7:32698818:32716781:-1 gene:B456_007G239200 transcript:KJB44203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTDRGRNLLASRRKAVLLASGIVVAGGTAAYLQSRFSSKKPYSYGHSNGVQDDRENSDEVLKRNNNVKGTTRKRGGIKSLQVLTAILLSKMGQTGARDLLALVGIVVLRTALTNRLAKVQGFLFRAAFLQRVPSFFLLISENILLCFLLSTFHSTSKYITGTLSLSFRKILTKLIHTHYFENMAYYKISHVDGWIRNPEQRIASDVPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGESREESHIQQKFKNLVRHLRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGNLRPDTSTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELMLISRELSAVDKKPSFQRAASRNYLTEANYVEFSNVKVVTPSGNVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHDGMVELLKNVDLEYLLNRYQPDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLNGEGGWTVHYKSEDSPVQSENGIELTEVSETNRQTDAIAVQRAFTAAKQDSAFSNPKTQSYVSDVIAASPSVNHDVKLPVVPQLQRDPRVLPLRVAAMFKVLVPTLFDKQGAQLLAVALLVVSRTWVSDRIASLNGTTVKHVLEQDKAAFIRLIGISVLQSSASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPFVDILWFTWRMKLLTGQRGVTILYAYMLLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESIAFFGGGAREKAMVESRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALVSTQGELAHALRFLASVVSQSFLAFGDILELHKKFLELSGSINRIFELEELLEAAQSGDLNIDKLSQSRSTSLYAEDVISFANVDIISPAQKLLAKQLTCNVVPGKSLLVTGPNGSGKSSLFRVLRGLWPIVTGRLYKPIHYFDEEAGSSCGIFYVPQRPYTCLGTLRDQIIYPLSREEAEMRELKFYGKDAINILDARLKTILENVRLNYLLQREDGGWDSNLNWEDTLSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYRVAKDLGITVITSSQRPALIPFHALELRLVDGEGQWELRSIRQ >KJB44202 pep chromosome:Graimondii2_0_v6:7:32698794:32717061:-1 gene:B456_007G239200 transcript:KJB44202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTDRGRNLLASRRKAVLLASGIVVAGGTAAYLQSRFSSKKPYSYGHSNGVQDDRENSDEVLKRNNNVKGTTRKRGGIKSLQVLTAILLSKMGQTGARDLLALVGIVVLRTALTNRLAKVQGFLFRAAFLQRVPSFFLLISENILLCFLLSTFHSTSKYITGTLSLSFRKILTKLIHTHYFENMAYYKISHVDGWIRNPEQRIASDVPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGESREESHIQQKFKNLVRHLRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGNLRPDTSTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELMLISRELSAVDKKPSFQRAASRNYLTEANYVEFSNVKVVTPSGNVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHDGMVELLKNVDLEYLLNRYQPDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLNGEGGWTVHYKSEDSPVQSENGIELTEVSETNRQTDAIAVQRAFTAAKQDSAFSNPKTQSYVSDVIAASPSVNHDVKLPVVPQLQRDPRVLPLRVAAMFKVLVPTLFDKQGAQLLAVALLVVSRTWVSDRIASLNGTTVKHVLEQDKAAFIRLIGISVLQSSASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPFVDILWFTWRMKLLTGQRGVTILYAYMLLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESIAFFGGGAREKAMVESRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALVSTQGELAHALRFLASVVSQSFLAFGDILELHKKFLELSGSINRIFELEELLEAAQSGDLNIDKLSQSRSTSLYAEDVISFANVDIISPAQKLLAKQLTCNVVPGKSLLVTGPNGSGKSSLFRVLRGLWPIVTGRLYKPIHYFDEEAGSSCGIFYVPQRPYTCLGTLRDQIIYPLSREEAEMRELKFYGKDAINILDARLKTILENVRLNYLLQREDGGWDSNLNWEDTLSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYRVAKDLGITVITSSQRPALIPFHALELRLVDGEGQWELRSIRQ >KJB44204 pep chromosome:Graimondii2_0_v6:7:32699348:32714707:-1 gene:B456_007G239200 transcript:KJB44204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTDRGRNLLASRRKAVLLASGIVVAGGTAAYLQSRFSSKKPYSYGHSNGVQDDRENSDEVLKRNNNVKGTTRKRGGIKSLQVLTAILLSKMGQTGARDLLALVGIVVLRTALTNRLAKVQGFLFRAAFLQRVPSFFLLISENILLCFLLSTFHSTSKYITGTLSLSFRKILTKLIHTHYFENMAYYKISHVDGWIRNPEQRIASDVPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGESREESHIQQKFKNLVRHLRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGNLRPDTSTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELMLISRELSAVDKKPSFQRAASRNYLTEANYVEFSNVKVVTPSGNVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHDGMVDLEYLLNRYQPDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLNGEGGWTVHYKSEDSPVQSENGIELTEVSETNRQTDAIAVQRAFTAAKQDSAFSNPKTQSYVSDVIAASPSVNHDVKLPVVPQLQRDPRVLPLRVAAMFKVLVPTLFDKQGAQLLAVALLVVSRTWVSDRIASLNGTTVKHVLEQDKAAFIRLIGISVLQSSASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPFVDILWFTWRMKLLTGQRGVTILYAYMLLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESIAFFGGGAREKAMVESRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALVSTQGELAHALRFLASVVSQSFLAFGDILELHKKFLELSGSINRIFELEELLEAAQSGDLNIDKLSQSRSTSLYAEDVISFANVDIISPAQKLLAKQLTCNVVPGKSLLVTGPNGSGKSSLFRVLRGLWPIVTGRLYKPIHYFDEEAGSSCGIFYVPQRPYTCLGTLRDQIIYPLSREEAEMRELKFYGKDAINILDARLKTILENVRLNYLLQREDGGWDSNLNWEDTLSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYRVAKDLGITVITSSQRPALIPFHALELRLVDGEGQWELRSIRQ >KJB44208 pep chromosome:Graimondii2_0_v6:7:32699348:32714707:-1 gene:B456_007G239200 transcript:KJB44208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTDRGRNLLASRRKAVLLASGIVVAGGTAAYLQSRFSSKKPYSYGHSNGVQDDRENSDEVLKRNNNVKGTTRKRGGIKSLQVLTAILLSKMGQTGARDLLALVGIVVLRTALTNRLAKVQGFLFRAAFLQRVPSFFLLISENILLCFLLSTFHSTSKYITGTLSLSFRKILTKLIHTHYFENMAYYKISHVDGWIRNPEQRIASDVPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGESREESHIQQKFKNLVRHLRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGNLRPDTSTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELMLISRELSAVDKKPSFQRAASRNYLTEANYVEFSNVKVVTPSGNVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHDGMVELLKNVDLEYLLNRYQPDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLNGEGGWTVHYKSEDSPVQSENGIELTEVSETNRQTDAIAVQRAFTAAKQDSAFSNPKTQSYVSDVIAASPSVNHDVKLPVVPQLQRDPRVLPLRVAAMFKVLVPTLFDKQGAQLLAVALLVVSRTWVSDRIASLNGTTVKHVLEQDKAAFIRLIGISVLQSSASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPFVDILWFTWRMKLLTGQRGVTILYAYMLLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESIAFFGGGAREKAMVESRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALVSTQGELAHALRFLASVVSQSFLAFGDILELHKKFLELSGSINRIFELEELLEAAQSGDLNIDKLSQSRSTSLYAEDVISFANVDIISPAQKLLAKQLTCNVVPGKSLLVTGPNGSGKSSLFRVLRGLWPIVTGRLYKPIHYFDEEAGSSCGIFYVPQRPYTCLGTLRDQIIYPLSREEAEMRELKFYGKDAINILDARLKTILENVRLNYLLQREDGGWDSNLNWEDTLSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYRVAKDLGITVITSSQRPALIPFHALELRLVDGEGQWELRSIRQ >KJB44205 pep chromosome:Graimondii2_0_v6:7:32698810:32716981:-1 gene:B456_007G239200 transcript:KJB44205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTDRGRNLLASRRKAVLLASGIVVAGGTAAYLQSRFSSKKPYSYGHSNGVQDDRENSDEVLKRNNNVKGTTRKRGGIKSLQVLTAILLSKMGQTGARDLLALVGIVVLRTALTNRLAKVQGFLFRAAFLQRVPSFFLLISENILLCFLLSTFHSTSKYITGTLSLSFRKILTKLIHTHYFENMAYYKISHVDGWIRNPEQRIASDVPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGESREESHIQQKFKNLVRHLRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGNLRPDTSTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELMLISRELSAVDKKPSFQRAASRNYLTEANYVEFSNVKVVTPSGNVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHDGMVDLEYLLNRYQPDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLNGEGGWTVHYKSEDSPVQSENGIELTEVSETNRQTDAIAVQRAFTAAKQDSAFSNPKTQSYVSDVIAASPSVNHDVKLPVVPQLQRDPRVLPLRVAAMFKVLVPTLFDKQGAQLLAVALLVVSRTWVSDRIASLNGTTVKHVLEQDKAAFIRLIGISVLQSSASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPFVDILWFTWRMKLLTGQRGVTILYAYMLLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESIAFFGGGAREKAMVESRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALVSTQGELAHALRFLASVVSQSFLAFGDILELHKKFLELSGSINRIFELEELLEAAQSGDLNIDKLSQSRSTSLYAEDVISFANVDIISPAQKLLAKQLTCNVVPGKSLLVTGPNGSGKSSLFRVLRGLWPIVTGRLYKPIHYFDEEAGSSCGIFYVPQRPYTCLGTLRDQIIYPLSREEAEMRELKFYGKDAINILDARLKTILENVRLNYLLQREDGGWDSNLNWEDTLSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYRVAKDLGITVITSSQRPALIPFHALELRLVDGEGQWELRSIRQ >KJB41282 pep chromosome:Graimondii2_0_v6:7:7177288:7180677:1 gene:B456_007G097300 transcript:KJB41282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSMGGEVEPQKPGFFVAVHVGAGYHAPSNEKALRSAMKRACLAAASVLRKGPGVSVDAVAAAIQVLEDDPSTNAGRGSNLTEDGNVECDASLMDGQSGAFGAVGAVPGVPNAIQIAALLVKEQTNGSSLLGRIPPMFLVGEGARLWAKSNGIALPESMVKADQWLVTPKARAQWKHYKAMLLDAKAEIGISSEGKSCNAQHNASIQGSHAQTCDTLEDNSGGQSCMLSPSAEDNIMDTVGVICVDTEGNIASGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGAPFIVGCCVSGAGEHLMKGFAARECCVSSSLSQAGPASACMKVLRSVAQDSNQADTDKSAGILIVQADAPIRVPGNPPKLKAIEIAAAYSSLSFGIGYFGSGMERPKVSILRRSKQQNRSGINHFEARVDVST >KJB41279 pep chromosome:Graimondii2_0_v6:7:7177350:7180645:1 gene:B456_007G097300 transcript:KJB41279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSMGGEVEPQKPGFFVAVHVGAGYHAPSNEKALRSAMKRACLAAASVLRKGPGVSVDAVAAAIQVLEDDPSTNAGRGSNLTEDGNVECDASLMDGQSGAFGAVGAVPGVPNAIQIAALLVKEQTNGSSLLGRIPPMFLVGEGARLWAKSNGIALPESMVKADQWLVTPKARAQWKHYKAMLLDAKAEIGISSEGKSCSHAQTCDTLEDNSGGQSCMLSPSAEDNIMDTVGVICVDTEGNIASGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGAPFIVGCCVSGAGEHLMKGFAARECCVSSSL >KJB41276 pep chromosome:Graimondii2_0_v6:7:7178072:7180426:1 gene:B456_007G097300 transcript:KJB41276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVGEGARLWAKSNGIALPESMVKADQWLVTPKARAQWKHYKAMLLDAKAEIGISSEGKSCNAQHNASIQGSHAQTCDTLEDNSGGQSCMLSPSAEDNIMDTVGVICVDTEGNIASGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGAPFIVGCCVSGAGEHLMKGFAARECCVSSSLSQAGPASACMKVLRSVAQDSNQADTDKSAGILIVQADAPIRVPGNPPKLKAIEIAAAYSSLSFGIGYFGSGMERPKVSILRRSKQQNRSGINHFEARVDVST >KJB41278 pep chromosome:Graimondii2_0_v6:7:7177474:7179374:1 gene:B456_007G097300 transcript:KJB41278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSMGGEVEPQKPGFFVAVHVGAGYHAPSNEKALRSAMKRACLAAASVLRKGPGVSVDAVAAAIQVLEDDPSTNAGRGSNLTEDGNVECDASLMDGQSGAFGAVGAVPGVPNAIQIAALLVKEQTNGSSLLGRIPPMFLVGEGARLWAKSNGIALPESMVKADQWLVTPKARAQWKHYKAMLLDAKAEIGISSEGKSCNAQHNASIQGSHAQTCDTLEDNSGGQSCMLSPSAEDNIMDTVGVICVDTEGNIASGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGAPFIVGCCVSGAGEHLMKGFAARECCVSSSL >KJB41280 pep chromosome:Graimondii2_0_v6:7:7177350:7180724:1 gene:B456_007G097300 transcript:KJB41280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSMGGEVEPQKPGFFVAVHVGAGYHAPSNEKALRSAMKRACLAAASVLRKGPGVSVDAVAAAIQVLEDDPSTNAGRGSNLTEDGNVECDASLMDGQSGAFGAVGAVPGVPNAIQIAALLVKEQTNGSSLLGRIPPMFLVGEGARLWAKSNGIALPESMVKADQWLVTPKARAQWKHYKAMLLDAKAEIGISSEGKSCSHAQTCDTLEDNSGGQSCMLSPSAEDNIMDTVGVICVDTEGNIASGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGAPFIVGCCVSGAGEHLMKGFAARECCVSSSLSQAGPASACMKVLRSVAQDSNQADTDKSAGILIVQADAPIRVPGNPPKLKAIEIAAAYSSLSFGIGYFGSGMERPKVSILRRSKQQNRSGINHFEARVDVST >KJB41277 pep chromosome:Graimondii2_0_v6:7:7177350:7179977:1 gene:B456_007G097300 transcript:KJB41277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSMGGEVEPQKPGFFVAVHVGAGYHAPSNEKALRSAMKRACLAAASVLRKGPGVSVDAVAAAIQVLEDDPSTNAGRGSNLTEDGNVECDASLMDGQSGAFGAVGAVPGVPNAIQIAALLVKEQTNGSSLLGRIPPMFLVGEGARLWAKSNGIALPESMVKADQWLVTPKARAQWKHYKAMLLDAKAEIGISSEGKSCNAQHNASIQGSHAQTCDTLEDNSGGQSCMLSPSAEDNIMDTVGVICVDTEGNIASGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGAPFIVGCCVSGAGEHLMKGFAARECCVSSSL >KJB41281 pep chromosome:Graimondii2_0_v6:7:7177350:7180645:1 gene:B456_007G097300 transcript:KJB41281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSMGGEVEPQKPGFFVAVHVGAGYHAPSNEKALRSAMKRACLAAASVLRKGPGVSVDAVAAAIQVLEDDPSTNAGRGSNLTEDGNVECDASLMDGQSGAFGAVGAVPGVPNAIQIAALLVKEQTNGSSLLGRIPPMFLVGEGARLWAKSNGIALPESMVKADQWLVTPKARAQWKHYKAMLLDAKAEIGISSEGKSCNAQHNASIQGSHAQTCDTLEDNSGGQSCMLSPSAEDNIMDTVGVICVDTEGNIASGASSGGIALKVSGRVGLAAMYGAGCWASSKGPFGAPFIVGCCVSGAGEHLMKGFAARECCVSSSLQVLPLLA >KJB39062 pep chromosome:Graimondii2_0_v6:7:59372120:59373946:-1 gene:B456_007G362100 transcript:KJB39062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRGKVKKQAVVVSSHEDPESGDDEIIEELKVEKTEEDSGDVKGSVPIKEKKNQAVTENGRKRKRSMQAKENGITTKLSTDDSIKPVGYRQNGSRRKNKPQRAAEAIVECK >KJB39064 pep chromosome:Graimondii2_0_v6:7:59372120:59374634:-1 gene:B456_007G362100 transcript:KJB39064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRGKVKKQAVVVSSHEDPESGDDEIIEELKVEKTEEDSGDVKGSVPIKEKKNQAVTENGRKRKRSMQAKENGITTKLSTDDSIKPVGYRQNGSRRKNKPQRAAEAIVECK >KJB39063 pep chromosome:Graimondii2_0_v6:7:59372016:59374701:-1 gene:B456_007G362100 transcript:KJB39063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRGKVKKQAVVVSSHEDPESGDDEIIEELKVEKTEEDSGDVKGSVPIKEKKNQAVTENGRKRKRSMQAKENGITTKLSTDDSIKPVGYRQNGSRRKNKPQRAAEAIVECK >KJB39066 pep chromosome:Graimondii2_0_v6:7:59372564:59372905:-1 gene:B456_007G362100 transcript:KJB39066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRGKVKKQAVVVSSHEDPESGDDEIIEELKVEKTEEDSGDVKGSVPIKEKKNQAVTENGRKRKRSMQAKENGITTKLSTDDSIKPVGYRQNGSRRKNKPQRAAEAIVECK >KJB39065 pep chromosome:Graimondii2_0_v6:7:59372564:59372905:-1 gene:B456_007G362100 transcript:KJB39065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRGKVKKQAVVVSSHEDPESGDDEIIEELKVEKTEEDSGDVKGSVPIKEKKNQAVTENGRKRKRSMQAKENGITTKLSTDDSIKPVGYRQNGSRRKNKPQRAAEAIVECK >KJB39583 pep chromosome:Graimondii2_0_v6:7:1510418:1515148:-1 gene:B456_007G020500 transcript:KJB39583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANHSPSSPYSCAKDSGPVIPTTSLVTFLERVQETAFQTYERSKFDHKDFIDLSLKFDLSTTVKSFDEISKTENGSVSTKDFEEFIGKWFKGAGEDLVYVEPMDFETEPYGFLPKVENPEVRAWALEVHGLWKKLSREVSSSVHDHPELHTLLPLPVPGMIPGSRFREVYYWDSYWVIRGLLASKMHETAKAIVTNLISLLDTYGYVLNGARAYYTNRSQPPLLSAMVYEIYNRTGDVDLAKKALPALLKEYQFWNSEIHTMIIHDAENCNHSLNRYYAMWNKPRPEASAIDKRFASKFLNVNEKQKFYRELASTAESGWDFSTRWMRNPSEFTSLSTTTILPVDLNAFMLRMELDIAFFAKIVGDDAIAEDFLTASHTRKKAFNCVFWNENMGQWVDYWLNYGAEPKEPQTWEAQNQNQNVFASNFVPLWIDLFNSDTPLVEKVTRSLQSSGLLCAAGIATSLTNSGHQWDFPNGWAPIQHMIVEGLWRSASTEARKVARDIAERWIRANYVAYKKTGTMHEKYNVEKCGEYGAGGIYRPQTGFGWSNGVVLAFLEEFGWPKDQNIDCN >KJB39582 pep chromosome:Graimondii2_0_v6:7:1510610:1514990:-1 gene:B456_007G020500 transcript:KJB39582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANHSPSSPYSCAKDSGPVIPTTSLVTFLERVQETAFQTYERSKFDHKDFIDLSLKFDLSTTVKSFDEISKTENGSVSTKDFEEFIGKWFKGAGEDLVYVEPMDFETEPYGFLPKVENPEVRAWALEVHGLWKKLSREVSSSVHDHPELHTLLPLPVPGMIPGSRFREVYYWDSYWVIRGLLASKMHETAKAIVTNLISLLDTYGYVLNGARAYYTNRSQPPLLSAMVYEIYNRTGDVDLAKKALPALLKEYQFWNSEIHTMIIHDAENCNHSLNRYYAMWNKPRPEASAIDKRFASKFLNVNEKQKFYRELASTAESGWDFSTRWMRNPSEFTSLSTTTILPVDLNAFMLRMELDIAFFAKIVGDDAIAEDFLTASHTRKKAFNCVFWNENMGQWVDYWLNYGAEPKEPQTWEAQNQNQNVFASNFVPLWIDLFNSDTPLVEKVTRSLQSSGLLCAAGIATSLTNSGHQCRDFPNGWAPIQHMIVEGLWRSASTEARKVARDIAERWIRANYVAYKKTGTMHEKYNVEKCGEYGAGGIYRPQTGFGWSNGVVLAFLEEFGWPKDQNIDCN >KJB39350 pep chromosome:Graimondii2_0_v6:7:1449786:1450085:1 gene:B456_007G019600 transcript:KJB39350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPVVVWCQTISSSQIATARGISKIAFAPTSLNSTLIKSSCHLLLASLMSMLTLPLGCIFVAANNTKKDIRGHLLV >KJB40100 pep chromosome:Graimondii2_0_v6:7:3261901:3265731:-1 gene:B456_007G046900 transcript:KJB40100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLVIKVKYGDTLRRFTACIDDGERRGLNMAGLRAKISGLFNFPVDTELTLTYIDEDGDVVTLVDDDDLYDVMRQRLKFLRIDVQPNNDKLGEPCAASSQSSTPLRSPSFQPPSEGFNTVAADILKSAPDPLRGTLSEVLSNLSSDVALIVASASPVLGDLVECLSKTGQSYLGPVSNSGAAADSSMQPVASSVSHCTTVGATDPDPLGDGGLRVVLPKSTDVNSTCKANKEGNTGNATRGVNVPAVPDPDSIDLNVDPLSDANLTGCAPMASEPSSSNIFACNDQKNAKEIMGRKKGKSVSFDTSASFIDATVKFYPINVMGKDPSNECPFSGVPVANDPVAPPSFSPSKRVFSSTAGNVMFGTFHKGIQCDGCGVLPIAGPRFKSKVKDDYDLCSICFSKMGNEADYIRMDRPVHSSNPWFCRASNDPPKLESRFVLDVNVIDGTVMMPSTPFTKIWRMRNNGTVPWFHGMQLVWIGGDKLRNTISVDIEIPPDGVPVDGELDIAVDFTAPQLPGRFVSYWRMASQAGIKFGQHVWVLIHVDASLKDMNTDNAQGLNLNLPPENSGSRDTEVCNSNVGPALVKPLINEQSTKEQSLNNRDVTTPIHTLSSFAAHSPIIDHQDISLLAFPETPSPSSLSSYPIIDLSEAALDEPVPLSPLPAPCEQAPSEEESENAVEQTLLKELEDMGFKQVDLNKEILRMNEYDLEKSIDDLCGVAEWDPVLEELQEMGFCDAEMNKMLLKKNNGSITAVVMDLLGGEGA >KJB40101 pep chromosome:Graimondii2_0_v6:7:3261901:3265802:-1 gene:B456_007G046900 transcript:KJB40101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLVIKVKYGDTLRRFTACIDDGERRGLNMAGLRAKISGLFNFPVDTELTLTYIDEDGDVVTLVDDDDLYDVMRQRLKFLRIDVQPNNDKLGEPCAASSQSSTPLRSPSFQPPSEGFNTVAADILKSAPDPLRGTLSEVLSNLSSDVALIVASASPVLGDLVECLSKTGQSYLGPVSNSGAAADSSMQPVASSVSHCTTVGATDPDPLGDGGLRVVLPKSTDVNSTCKANKEGNTGNATRGVNVPAVPDPDSIDLNVDPLSDANLTGCAPMASEPSSSNIFACNDQKNAKEIMGRKKGKSVSFDTSASFIDATVKFYPINVMGKDPSNECPFSGVPVANDPVAPPSFSPSKRVFSSTAGNVMFGTFHKGIQCDGCGVLPIAGPRFKSKVKDDYDLCSICFSKMGNEADYIRMDRPVHSSNPWFCRASNDPIPSALPHVLRNRALMSAQPKLESRFVLDVNVIDGTVMMPSTPFTKIWRMRNNGTVPWFHGMQLVWIGGDKLRNTISVDIEIPPDGVPVDGELDIAVDFTAPQLPGRFVSYWRMASQAGIKFGQHVWVLIHVDASLKDMNTDNAQGLNLNLPPENSGSRDTEVCNSNVGPALVKPLINEQSTKEQSLNNRDVTTPIHTLSSFAAHSPIIDHQDISLLAFPETPSPSSLSSYPIIDLSEAALDEPVPLSPLPAPCEQAPSEEESENAVEQTLLKELEDMGFKQVDLNKEILRMNEYDLEKSIDDLCGVAEWDPVLEELQEMGFCDAEMNKMLLKKNNGSITAVVMDLLGGEGA >KJB40102 pep chromosome:Graimondii2_0_v6:7:3262046:3265731:-1 gene:B456_007G046900 transcript:KJB40102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLVIKVKYGDTLRRFTACIDDGERRGLNMAGLRAKISGLFNFPVDTELTLTYIDEDGDVVTLVDDDDLYDVMRQRLKFLRIDVQPNNDKLGEPCAASSQSSTPLRSPSFQPPSEGFNTVAADILKSAPDPLRGTLSEVLSNLSSDVALIVASASPVLGDLVECLSKTGQSYLGPVSNSGAAADSSMQPVASSVSHCTTVGATDPDPLGDGGLRVVLPKSTDVNSTCKANKEGNTGNATRGVNVPAVPDPDSIDLNVDPLSDANLTGCAPMASEPSSSNIFACNDQKNAKEIMGRKKGKSVSFDTSASFIDATVKFYPINVMGKDPSNECPFSGVPVANDPVAPPSFSPSKRVFSSTAGNVMFGTFHKGIQCDGCGVLPIAGPRFKSKVKDDYDLCSICFSKMGNEADYIRMDRPVHSSNPWFCRASNDPIPSALPHVLRNRALMSAQPKLESRFVLDVNVIDGTVMMPSTPFTKIWRMRNNGTVPWFHGMQLVWIGGDKLRNTISVDIEIPPDGVPVDGELDIAVDFTAPQLPGRFVSYWRMASQAGIKFGQHVWVLIHVDASLKDMNTDNAQGLNLNLPPENSGSRDTEVCNSNVGPALVKPLINEQSTKEQSLNNRDVTTPIHTLSSFAAHSPIIDHQDISLLAFPETPSPSSLSSYPIIDLSEAALDEPVPLSPLPAPCEQAPSEEESENAVEQTLLKELEDMGFKQVDLNKEILRMNEYDLEKSIDDLCGVAEWDPVLEELQEMVNCLHKLWSPFYVFYLVD >KJB46056 pep chromosome:Graimondii2_0_v6:7:57796474:57797323:-1 gene:B456_007G348000 transcript:KJB46056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVRDTDGCKCFREGINTQPARVWCNSIDFTMHEPARPNGNSAPPCTVALLERSKQRLRRQGTFQSQAKRENPRESNALPLVRKRKLAQKEPKTKTKGMDQPQWLEM >KJB41697 pep chromosome:Graimondii2_0_v6:7:8960181:8960646:-1 gene:B456_007G115500 transcript:KJB41697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLILSELRTIWWLQCCVVPHFGIGLGFDASITIGYQIWSCLKLRAAMLSDRRYSSELEREKRNEL >KJB45535 pep chromosome:Graimondii2_0_v6:7:52465675:52468038:1 gene:B456_007G3107002 transcript:KJB45535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFASQSSLLLSSATSFDFLLKPIFLHGFSASLHLVLLLLLLILWMVSRIKKVSREGSKERQILWYKQTLACCFVVSVSNVVLCLLSYFYWYTNGWSEDKLVSLIDYALKVLAWAATCVCLQCQFSNPGEQKRFPVVLRIWWGFYFSISCYCLVIDIVLFKKHVSFPTQYLVSDVLSVVTGLFLCIVGFFVRNEGEDILLEEPLLSGDSSVSNGVELSKEKGGDTVTPYSNASIFSVLTFSWMGPLIAAGNKKPLDLEHVPQLDSHDSVIGAFPKFKNRLESADSEGNGVTSLKLVKALFFSAWKDILWTALFAFTYTVASYVGPYLIDTFVQYLNGQREFKAEGYLLVAAFFVAKLVECLSQRRWFFKLQQVGLRQRAVLVAMIYNKGLTLSCQSKQSHTSGEIINFMTVDAERVGDFSWYMHDPWKVVLQVALALLILYKTLGIASIAAFVATVLVMLANIPLGKMLEKFQDKLMESKDTRMKATSEILRNMRILKLQGWEMKFLSRIVGLRSVEEGWLKRFVYTNAMTAFVFWVAPSFVSVATFGACMFLGVPLESGKILSALATFRILQVPIYNLPDTISMIVQTKVSLDRIAAFLRLDDLQPDAIEKLPSGSSNTAIEIADGNFSWDTSSPTATLKDINLKVSHGMSVAVCGTVGSGKSSLLSCLLGELPKISGTLKLCGTTAYVAQSPWIQSGKIVDNILFGKEMDRDKYDKVLEACTLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFK >KJB42138 pep chromosome:Graimondii2_0_v6:7:11377785:11379853:1 gene:B456_007G138900 transcript:KJB42138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKRVIRSQNRVIVEGKNLVKKHIKQGPGHEGGIFTVEAPFHASNVQVLDPVTGKPCKVGVKYLEDGTKVRVSRGIGASGSIIPRPEILKMRTTPRPTVAGPKDTPMNLVLEKTYDPKSGKGMPEL >KJB40016 pep chromosome:Graimondii2_0_v6:7:2944380:2947514:-1 gene:B456_007G042300 transcript:KJB40016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLLFESSSGYALFHAQGLDEIGQNTEAVRNSVADLNRFGKVVQLTAFQPFESAIDGLNQCNSVSEGLMTDELRSFLELNLPKVKEGKKSKFSLGVAEPKLGSHISETTKIPCQSGEFVLELLRGVRLHFDKFIKDLKSGDLEKAQLGLAHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYLYARVAKLIEDKSKLSEEHVPALTEILGDEDKAKEVVEAGKASMGQDLTPVDLINVQLFAQRVMDLAEYRKNLYDYLVTKMNDIAPNLASLIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASSKNKGRMARYLANKCSIASRIDCFSERGSTVFGEKLREQVEERLEFYDKGVAPRKNIDVMKSAIESTQNKDTEMEVEPEEASAKKSKKKKSKTEDAPAAATNGDALEDGKSEKKKKKEKRKKEQEADEEDGKTNGVNEQDGTAKKKKKKSKDEAATNETTKKKKKKKSQE >KJB43875 pep chromosome:Graimondii2_0_v6:7:25626407:25629758:-1 gene:B456_007G221100 transcript:KJB43875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVKCFMIVLILGLCHVSMAAPLEEKKSHRKTYIVHMAKSEMPPSFQHHTHWYDSSLKSVSGSAAMLYTYDNVIHGFSTQLTDKEAEQLESQPGILAVLPEVRYELHTTRTPEFLGLSQAAALFPESESASEVVIGVLDTGVWPESKSFADTGLGPIPSSWKGACESGTNFTSANCNKKLIGAKYFAKGYEAALGAIDETKESRSPRDDDGHGTHTASTAAGSVVEGASLFGYAQGTARGMATRARVAVYKVCWIGGCFSSDILAAMEKAIDDNVNVLSMSLGGGMSDYYRDSVAIGSFAAMEKGILVSCSAGNAGPAPYSLSNVAPWITTVGAGTLDRDFPAFVSLGNGKNFSGVSLYRGSPLPGKMLPFVYAGNASNATNGNLCMMDTLIPEKVAGKIVLCDRGMNARVQKGAVVKAAGGIGMVLSNTAANGEELVADAHLLPATAVGQKSGDAIRDYLFSNPNPTVTILFEGTKVGIEPSPVVAAFSSRGPNSITSEILKPDMIAPGVNILAGWSGAVGPTGLATDTRRVDFNIISGTSMSCPHVSGLAGLLKAAHPDWSPAAIRSALMTTAYTEYKNKQKMQDIATGKPSTPFDHGAGHVDPVSALNPGLVYDLTAEDYLGFLCALNYTEFQIRSLARRNFSCDASKRYRVTDLNYPSFAVNFDSVMGGSNVVKHTRTLTNVGSPGTYKVSVSPETPGVKISVEPQTLSFSQANEKKSYTVTFSGSSQPTGTNVFARLEWSDGKYTVGSPIAISWT >KJB45505 pep chromosome:Graimondii2_0_v6:7:52282522:52285748:-1 gene:B456_007G309300 transcript:KJB45505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHSNNTQICPNPTALNGGSAADGNSQISKKPRLYADPPPLFIPSDIISEFSHHDPAVARINNGSFGCCPSSVLSAQNQLQLQWLRQPDDFYFNQLQPKIIQSRTIIKDIINADHVDEVSIVDNATTAAAIVLQQIAWGFAEGKFCRGDAAVMLHYAYGAVKKSVEAYVTRAGGYVIEVPLPFPVSSVDGIVKEFRQALRRGKQNGRKVRLAVIDHVTSMPTVVIPVKELVTICREEGVDQVFVDAAHGIGCVDVDMKQIGADFYTSNLHKWFFCPPSVAFLYCKKSTNNSDLHHPVVSHEYGNGLAIESAWIGTRDYSSYLVVPKVLEFINRFEGGIEGIKKRNHEYVVEMGQMLVKAWGTRLGCPPEMCSSMVMVGLPACFGISSDHDALELRTCLRDKFGVEVPIYYRPPKDGEEGGVVTGYARISYQVYNKVEDYYKFRDAINQLVDNAFTCASLPIS >KJB45507 pep chromosome:Graimondii2_0_v6:7:52281570:52285850:-1 gene:B456_007G309300 transcript:KJB45507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHSNNTQICPNPTALNGGSAADGNSQISKKPRLYADPPPLFIPSDIISEFSHHDPAVARINNGSFGCCPSSVLSAQNQLQLQWLRQPDDFYFNQLQPKIIQSRTIIKDIINADHVDEVSIVDNATTAAAIVLQQIAWGFAEGKFCRGDAAVMLHYAYGAVKKSVEAYVTRAGGYVIEVPLPFPVSSVDGIVKEFRQALRRGKQNGRKVRLAVIDHVTSMPTVVIPVKELVTICREEGVDQVFVDAAHGIGCVDVDMKQIGADFYTSNLHKWFFCPPSVAFLYCKKSTNNSDLHHPVVSHEYGNGLAIESAWIGTRDYSSYLVVPKVLEFINRFEGGIEGIKKRNHEYVVEMGQMLVKAWGTRLGCPPEMCSSMVMVGLPACFGISSDHDALELRTCLRDKFGVEVPIYYRPPKDGEEGGVVTGYARISYQVYNKVEDYYKFRDAINQLVDNAFTCASLPIS >KJB45506 pep chromosome:Graimondii2_0_v6:7:52281570:52285800:-1 gene:B456_007G309300 transcript:KJB45506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHSNNTQICPNPTALNGGSAADGNSQISKKPRLYADPPPLFIPSDIISEFSHHDPAVARINNGSFGCCPSSVLSAQNQLQLQWLRQPDDFYFNQLQPKIIQSRTIIKDIINADHVDEVSIVDNATTAAAIVLQQIAWGFAEGKFCRGDAAVMLHYAYGAVKKSVEAYVTRAGGYVIEVPLPFPVSSVDGIVKEFRQALRRGKQNGRKVRLAVIDHVTSMPTVVIPVKELVTICREEGVDQVFVDAAHGIGCVDVDMKQIGADFYTSNLHKWFFCPPSVAFLYCKKSTNNSDLHHPVVSHEYGNGLAIESAWIGTRDYSSYLVVPKVLEFINRFEGGIEGIKKRNHEYVVEMGQMLVKAWGTRLGCPPEMCSSMVMVGLPACFGISSDHDALELRTCLRDKFGVEVPIYYRPPKDGEEGGVVTGYARISYQVYNKVEDYYKFRDAINQLVDNAFTCASLPIS >KJB45103 pep chromosome:Graimondii2_0_v6:7:49531873:49535021:1 gene:B456_007G289100 transcript:KJB45103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRNLSPILRRELANLDKDADSRKSAMKALKSYVRDLDSKAIPVFLAQVSETKETGCVSGEYTISLYEVLARVHGVKIVPQIDSIMSTIIKTLASSAGSFPLQQACSKVVPAIARYGIDPTTPEDKKRHIIHSLCKPLSESLLGSLESLSSGAALCLKALVESDNWRFASDEMVNNVCQNVAGALEEKSTQTNAHMGLVMALAKHNALIVEAYARLLIKSGLRISNAGLAEGNSQKRFSAIQMINFLMKWLDPRSVFSEVELIMEEMEKCQSDQMAYVKGAAYEASQTAKKIAQEKGSKFENSSGSVTGSNFGRRDRNRRRNLGTASDGSPATASPESQTLDSFMEYDSLLESPISMTQISRNMEYDQRSVTRKLWKYENGGVDVSLKDGLFSAVAQGRSICDSPSDLHELSNNGSEFTEEFSGFLYRSPRNVLPRSATPSPQRSRSRINVETLFTTPRKLIHSLQDPNELNSDYSEKQFRRFRSPCSEKFGGSPTTNRNTFCRGMINEVKRKARSYTDGEDHGVSESVSSTDDSPAELDVPGSTDAAPKNKKETLEYHNEKVGKNMAPKLFLGLFLIIIAVLTCLWWTEGEDESFYVVPT >KJB45102 pep chromosome:Graimondii2_0_v6:7:49531873:49535021:1 gene:B456_007G289100 transcript:KJB45102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRNLSPILRRELANLDKDADSRKSAMKALKSYVRDLDSKAIPVFLAQVSETKETGCVSGEYTISLYEVLARVHGVKIVPQIDSIMSTIIKTLASSAGSFPLQQACSKVVPAIARYGIDPTTPEDKKRHIIHSLCKPLSESLLGSLESLSSGAALCLKALVESDNWRFASDEMVNNVCQNVAGALEEKSTQTNAHMGLVMALAKHNALIVEAYARLLIKSGLRISNAGLAEGNSQKRFSAIQMINFLMKWLDPRSVFSEVELIMEEMEKCQSDQMAYVKGAAYEASQTAKKIAQEKGSKFENSSGSVTGSNFGRRDRNRRRNLGTASDGSPATASPESQTLDSFMEYDSLLESPISMTQISRNMEYDQRSVTRKLWKYENGGVDVSLKDGLFSAVAQGRSICDSPSDLHELSNNGSEFTEEFSGFLYRSPRNVLPRSATPSPQRSRSRINVETLFTTPRKLIHSLQDPNELNSDYSEKQFRRFRSPCSEKFGGSPTTNRNTFCRGMINEVKRKARSYTDGEDHGVSESVSSTDDSPAELDVPGSTDAAPKNKKETLEYHNEKVGKNMAPKLFLGLFLIIIAVLTCLWWTEGEDESFYVVPT >KJB45104 pep chromosome:Graimondii2_0_v6:7:49531470:49535021:1 gene:B456_007G289100 transcript:KJB45104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRNLSPILRRELANLDKDADSRKSAMKALKSYVRDLDSKAIPVFLAQVSETKETGCVSGEYTISLYEVLARVHGVKIVPQIDSIMSTIIKTLASSAGSFPLQQACSKVVPAIARYGIDPTTPEDKKRHIIHSLCKPLSESLLGSLESLSSGAALCLKALVESDNWRFASDEMVNNVCQNVAGALEEKSTQTNAHMGLVMALAKHNALIVEAYARLLIKSGLRISNAGLAEGNSQKRFSAIQMINFLMKWLDPRSVFSEVELIMEEMEKCQSDQMAYVKGAAYEASQTAKKIAQEKGSKFENSSGSVTGSNFGRRDRNRRRNLGTASDGSPATASPESQTLDSFMEYDSLLESPISMTQISRNMEYDQRSVTRKLWKYENGGVDVSLKDGLFSAVAQGRSICDSPSDLHELSNNGSEFTEEFSGFLYRSPRNVLPRSATPSPQRSRSRINVETLFTTPRKLIHSLQDPNELNSDYSEKQFRRFRSPCSEKFGGSPTTNRNTFCRGMINEVKRKARSYTDGEDHGVSESVSSTDDSPAELDVPGSTDAAPKNKKETLEYHNEKVGKNMAPKLFLGLFLIIIAVLTCLWWTEGEDESFYVVPT >KJB45058 pep chromosome:Graimondii2_0_v6:7:49414363:49421991:1 gene:B456_007G287600 transcript:KJB45058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKQIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTKPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVDELYNSLALDKVDALEDLVAIGPLSKAAPILGLKPSLASSTSQGPVSSSLEHAEDSASQDSNSDIARTPPSKSCAITSATATPSGSHPIPAPLNLSPHSLSGASTPPIVLPGSSSARSVLENTGTTNPSSPVNLPNATKEEDITSFPGRRPSPSLTDTGVRGIARGGLSGQPSSSIPLVSGSVASGNGALGAVPSVSDMAKRTILGTDERPGNSSMVQSLVSPLSNRMMLPQTAKANDGSASVDSSNPSESAGIPGRVFSPSMVSGMQWRPGSSFQNQNDPGQFRGRTEIAPDMREKYLQRLQQVQQQGHSNLLGVPLAGGNLKQFSAQQAPLMQQFNSQSSSLSLQSGLGLGGQAPGFNSVTAASLQQQQNPMQQQSSQQALATSVPKDGDVGNAKVEEQQPHNLPDDSSSEAVPTSGLAKNLMNEDEMKTQYAIDSPAAVSGSLTEPAPVIRDVDLFPGQPLQSNHSSSGLGVIGWRSVTDFGAIGDNLSGSMNTGGMHDQLYNLQMLEASYYKIPQPKDSERARSYTPVWDFQ >KJB45059 pep chromosome:Graimondii2_0_v6:7:49414363:49422204:1 gene:B456_007G287600 transcript:KJB45059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKQIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTKPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVDELYNSLALDKVDALEDLVAIGPLSKAAPILGLKPSLASSTSQGPVSSSLEHAEDSASQDSNSDIARTPPSKSCAITSATATPSGSHPIPAPLNLSPHSLSGASTPPIVLPGSSSARSVLENTGTTNPSSPVNLPNATKEEDITSFPGRRPSPSLTDTGVRGIARGGLSGQPSSSIPLVSGSVASGNGALGAVPSVSDMAKRTILGTDERPGNSSMVQSLVSPLSNRMMLPQTAKANDGSASVDSSNPSESAGIPGRVFSPSMVSGMQWRPGSSFQNQNDPGQFRGRTEIAPDMREKYLQRLQQVQQQGHSNLLGVPLAGGNLKQFSAQQAPLMQQFNSQSSSLSLQSGLGLGGQAPGFNSVTAASLQQQQNPMQQQSSQQALATSVPKDGDVGNAKVEEQQPHNLPDDSSSEAVPTSGLAKNLMNEDEMKTQYAIDSPAAVSGSLTEPAPVIRDVDLFPGQPLQSNHSSSGLGVIGWRSVTDFGAIGDNLSGSMNTGGMHDQLYNLQMLEASYYKIPQPKDSERARSYTPKHPAATPASYPQVQAPIVNNPAFWERISLDGYGTGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKIATDEFEQGTYVYFDFHIANDDHQHGWCQRIKTEFTFEYNYLEDELIC >KJB45056 pep chromosome:Graimondii2_0_v6:7:49414363:49421991:1 gene:B456_007G287600 transcript:KJB45056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTKPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVDELYNSLALDKVDALEDLVAIGPLSKAAPILGLKPSLASSTSQGPVSSSLEHAEDSASQDSNSDIARTPPSKSCAITSATATPSGSHPIPAPLNLSPHSLSGASTPPIVLPGSSSARSVLENTGTTNPSSPVNLPNATKEEDITSFPGRRPSPSLTDTGVRGIARGGLSGQPSSSIPLVSGSVASGNGALGAVPSVSDMAKRTILGTDERPGNSSMVQSLVSPLSNRMMLPQTAKANDGSASVDSSNPSESAGIPGRVFSPSMVSGMQWRPGSSFQNQNDPGQFRGRTEIAPDMREKYLQRLQQVQQQGHSNLLGVPLAGGNLKQFSAQQAPLMQQFNSQSSSLSLQSGLGLGGQAPGFNSVTAASLQQQQNPMQQQSSQQALATSVPKDGDVGNAKVEEQQPHNLPDDSSSEAVPTSGLAKNLMNEDEMKTQYAIDSPAAVSGSLTEPAPVIRDVDLFPGQPLQSNHSSSGLGVIGWRSVTDFGAIGDNLSGSMNTGGMHDQLYNLQMLEASYYKIPQPKDSERARSYTPKHPAATPASYPQVQAPIVNNPAFWERISLDGYGTGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKIATDEFEQGTYVYFDFHIANDDHQHGWCQRIKTEFTFEYNYLEDELIC >KJB45060 pep chromosome:Graimondii2_0_v6:7:49414363:49422204:1 gene:B456_007G287600 transcript:KJB45060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKQIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTKPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVDELYNSLALDKVDALEDLVAIGPLSKAAPILGLKPSLASSTSQGPQVSSSLEHAEDSASQDSNSDIARTPPSKSCAITSATATPSGSHPIPAPLNLSPHSLSGASTPPIVLPGSSSARSVLENTGTTNPSSPVNLPNATKEEDITSFPGRRPSPSLTDTGVRGIARGGLSGQPSSSIPLVSGSVASGNGALGAVPSVSDMAKRTILGTDERPGNSSMVQSLVSPLSNRMMLPQTAKANDGSASVDSSNPSESAGIPGRVFSPSMVSGMQWRPGSSFQNQNDPGQFRGRTEIAPDMREKYLQRLQQVQQQGHSNLLGVPLAGGNLKQFSAQQAPLMQQFNSQSSSLSLQSGLGLGGQAPGFNSVTAASLQQQQNPMQQQSSQQALATSVPKDGDVGNAKVEEQQPHNLPDDSSSEAVPTSGLAKNLMNEDEMKTQYAIDSPAAVSGSLTEPAPVIRDVDLFPGQPLQSNHSSSGLGVIGWRSVTDFGAIGDNLSGSMNTGGMHDQLYNLQMLEASYYKIPQPKDSERARSYTPKHPAATPASYPQVQAPIVNNPAFWERISLDGYGTGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKIATDEFEQGTYVYFDFHIANDDHQHGWCQRIKTEFTFEYNYLEDELIC >KJB45057 pep chromosome:Graimondii2_0_v6:7:49414363:49421991:1 gene:B456_007G287600 transcript:KJB45057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTKPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVDELYNSLALDKVDALEDLVAIGPLSKAAPILGLKPSLASSTSQGPVSSSLEHAEDSASQDSNSDIARTPPSKSCAITSATATPSGSHPIPAPLNLSPHSLSGASTPPIVLPGSSSARSVLENTGTTNPSSPVNLPNATKEEDITSFPGRRPSPSLTDTGVRGIARGGLSGQPSSSIPLVSGSVASGNGALGAVPSVSDMAKRTILGTDERPGNSSMVQSLVSPLSNRMMLPQTAKANDGSASVDSSNPSESAGIPGRVFSPSMVSGMQWRPGSSFQNQNDPGQFRGRTEIAPDMREKYLQRLQQVQQQGHSNLLGVPLAGGNLKQFSAQQAPLMQQFNSQSSSLSLQSGLGLGGQAPGFNSVTAASLQQQQNPMQQQSSQQALATSVPKDGDVGNAKVEEQQPHNLPDDSSSEAVPTSGLAKNLMNEDEMKTQYAIDSPAAVSGSLTEPAPVIRDVDLFPGQPLQSNHSSSGLGVIGWRSVTDFGAIGDNLSGSMNTGGMHDQLYNLQMLEASYYKIPQPKDSERARSYTPKHPAATPASYPQVQAPIVNNPAFWERISLDGYGTGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKIATDEFEQGTYVYFDFHIANDDHQHGWCQRIKTEFTFEYNYLEDELIC >KJB41053 pep chromosome:Graimondii2_0_v6:7:6391875:6395851:1 gene:B456_007G088300 transcript:KJB41053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCVPSWDLDDNHVTARHSLRSNSNSTAPDVHMSDYEVAELTWENGQLAMHGLGPARVPAKPLVSNPPSKYTWDKPRANGTLESIVNQATRVPYLKVSLDDGRDELVPCLNQHREAAASSATIAMDALVPCSKRTEGRTAHAMESIPGLGRTCLVGGSTRVGSCSGRAGTHDDEVLVSGKRTRAARAPLMPEWSSKEQSASASATFGRERDSRCVTLDTYEKDFGMGFTSTSLGSPENASSTKPCTKATTTADDHDSVCHSRPQREEFEEDKKETGKSSVSNKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVQMMNRMNIPQMMLPMAMQQPLQMSMLAPAMGMGMGMGMGMGVMDINTIGRPNITGISPVMPNPFMAMTSWDGSGERLQQAASAAAMMPDPLSTFLACQSQVTFVSHHVCVYRLSILLSKINRTLLQPMTMDAYSRLAAMYQQMQQPPASGSKS >KJB41052 pep chromosome:Graimondii2_0_v6:7:6391776:6395888:1 gene:B456_007G088300 transcript:KJB41052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCVPSWDLDDNHVTARHSLRSNSNSTAPDVHMSDYEVAELTWENGQLAMHGLGPARVPAKPLVSNPPSKYTWDKPRANGTLESIVNQATRVPYLKVSLDDGRDELVPCLNQHREAAASSATIAMDALVPCSKRTEGRTAHAMESIPGLGRTCLVGGSTRVGSCSGRAGTHDDEVLVSGKRTRAARAPLMPEWSSKEQSASASATFGRERDSRCVTLDTYEKDFGMGFTSTSLGSPENASSTKPCTKATTTADDHDSVCHSRPQREEFEEDKKETGKSSVSNKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVQMMNRMNIPQMMLPMAMQQPLQMSMLAPAMGMGMGMGMGMGVMDINTIGRPNITGISPVMPNPFMAMTSWDGSGERLQQAASAAAMMPDPLSTFLACQSQPMTMDAYSRLAAMYQQMQQPPASGSKS >KJB41054 pep chromosome:Graimondii2_0_v6:7:6391875:6395851:1 gene:B456_007G088300 transcript:KJB41054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCVPSWDLDDNHVTARHSLRSNSNSTAPDVHMSDYEVAELTWENGQLAMHGLGPARVPAKPLVSNPPSKYTWDKPRANGTLESIVNQATRVPYLKVSLDDGRDELVPCLNQHREAAASSATIAMDALVPCSKRTEGRTAHAMESIPGLGRTCLVGGSTRVGSCSGRAGTHDDEVLVSGKRTRAARAPLMPEWSSKEQSASASATFGRERDSRCVTLDTYEKDFGMGFTSTSLGSPENASSTKPCTKATTTADDHDSVCHSRPQAKEEFEEDKKETGKSSVSNKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVQMMNRMNIPQMMLPMAMQQPLQMSMLAPAMGMGMGMGMGMGVMDINTIGRPNITGISPVMPNPFMAMTSWDGSGERLQQAASAAAMMPDPLSTFLACQSQPMTMDAYSRLAAMYQQMQQPPASGSKS >KJB40824 pep chromosome:Graimondii2_0_v6:7:5583952:5585793:-1 gene:B456_007G078600 transcript:KJB40824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITKAQLVFLFSFATLIPTLWGGGGNIADFDDVWKRRADQAWKNTLAAYEPSPENVTTKFNENVHKALVANKSNKTKDLEGGDDRRNLRGKHKKYTGPCMATNPIDRCWRCRPDWAENRKRLTQCVIGFGHRTEGGEKGKYYEVTDNSDDDPVNPKPGTLRFAVIQKRPLWIIFAHDMHIKLSRELIVQSKKTIDGRGANVHIAHGAGITLQFVDDVIIHSIHIHHIGPSKAGLIRDSVDHIGLRTTGDGDGINIFGSTNIWLDHLSMSEGQDGLIDAIQGSTAITISNCHFTHHNDVILLGASDTYERDKLMQVTVAFNHFGKELIQRMPRCRWGFFHVVNNDYTHWKMYAIGGSMHPTIISQGNRFIAPDDPKAKEITNRNYAPQSEWSKWVWRSEGDLLLNGARFTKSGPDKSPHFDFTKMQMIKAKPATFVRRLTRFAGALDCEKGNKC >KJB43977 pep chromosome:Graimondii2_0_v6:7:27437658:27439621:1 gene:B456_007G227400 transcript:KJB43977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSRKVTCNSHGQDSSYFLGWQEYEKNPYDEVKNPKGIIQMGLAENQLCFDLLESWLAKNPDAVSFKREEQSIFKELALFQDYHGLPAFKKALVDFMAEIRGNKVSFDTDHLVLTAGATSANETLMFCLAEKGDAILLPTPYYPGFDRDLKWRTGAEIVPIQCSSSNGFQITKSALEAAYQEAQRKNLRVKGVLVTNPSNPLGTTMSRNELDLLVNFVTDKEIHLISDEIYSGTVFSSPAFVSIMEVLKDRKLENTQVWERVHVVYSLSKDLGLPGFRVGAIYSNDPIVVAAATKMSSFGLVSSQTQYLLSAMLSDKKFTKEYISKNHKRLQKRQNKLVSGLEKAGISCLESNAGLFCWVDMRHLLSSNTFEAEMELWKRIVYDVKLNISPGSSCHCTEPGWFRVCFANMSEDTLKLAMQRLKSFVSSITIDNQTHQDLKNMRKKNRTKWVFRLSFQSFHDREQGER >KJB43520 pep chromosome:Graimondii2_0_v6:7:21009021:21011214:-1 gene:B456_007G204200 transcript:KJB43520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGKKLTNFYGNKNSKIYMDLKDIVRENALRYLPAKSLFRCFGVCRDWKHLISTPFFAHNQSNSFQSVSGFFYQSQAGVPSFMSLDPVAYGVPDPSLKFLPEPVDIRSSCKGLLCCQGRTGYKAYYVCNPVTKQWEKLPKPDADHGSDPAVVLVFEPSLLNFTADYKLVCAFPSELDGYEFEIYSSEKRSWIISREICFSERKLMPKSGIHVNGTVYWQMMGFGITAFDLISERFQLLDSAVGTLGMRNGKLCSAYVHAQNLVVNMLSNTHSNTMQMNSHAKMWEEMQPKIHVDISLPASSNNNASGRYGYGHGGVVFIGGDVVLLSNGNKFYSYDMKKRASKDLGELDIDTKAGIVGYVNSLVEL >KJB43519 pep chromosome:Graimondii2_0_v6:7:21009021:21011439:-1 gene:B456_007G204200 transcript:KJB43519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGKKLTNFYGNKNSKIYMDLKDIVRENALRYLPAKSLFRCFGVCRDWKHLISTPFFAHNQSNSFQSVSGFFYQSQAGVPSFMSLDPVAYGVPDPSLKFLPEPVDIRSSCKGLLCCQGRTGYKAYYVCNPVTKQWEKLPKPDADHGSDPAVVLVFEPSLLNFTADYKLVCAFPSELDGYEFEIYSSEKRSWIISREICFSERKLMPKSGIHVNGTVYWQMMGFGITAFDLISERFQLLDSAVGTLGMRNGKLCSAYVHAQNLVVNMLSNTHSNTMQMNSHAKMWEEMQPKIHVDISLPASSNNNASGRYGYGHGGVVFIGGDVVLLSNGNKFYSYDMKKRASKDLGELDIDTKAGIVGYVNSLVEL >KJB43521 pep chromosome:Graimondii2_0_v6:7:21009103:21011391:-1 gene:B456_007G204200 transcript:KJB43521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGKKLTNFYGNKNSKIYMDLKDIVRENALRYLPAKSLFRCFGVCRDWKHLISTPFFAHNQSNSFQSVSGFFYQSQAGVPSFMSLDPVAYGVPDPSLKFLPEPVDIRSSCKGLLCCQGRTGYKAYYVCNPVTKQWEKLPKPDADHGSDPAVVLVFEPSLLNFTADYKLMMGFGITAFDLISERFQLLDSAVGTLGMRNGKLCSAYVHAQNLVVNMLSNTHSNTMQMNSHAKMWEEMQPKIHVDISLPASSNNNASGRYGYGHGGVVFIGGDVVLLSNGNKFYSYDMKKRASKDLGELDIDTKAGIVGYVNSLVEL >KJB45268 pep chromosome:Graimondii2_0_v6:7:50940251:50942037:-1 gene:B456_007G298400 transcript:KJB45268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFVSLSFSIPPCSLPNPLKVRYPKKSASCRLPGLGITKTASYFLVLCCSNKTIYSVNGEHYLTTMSKPFDELVSCNLNASSSTRIVIMGYWVGPDDDDDWGFVEASICRPG >KJB45064 pep chromosome:Graimondii2_0_v6:7:49446200:49450508:-1 gene:B456_007G287900 transcript:KJB45064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFTPQGRLKLLFHGDGVEPKDPFHYKLVSNVFGGSGGLKSLQTHKGMLRFLGSTTRRSHFSSSLKKGSKFNATASLNVSDEGLYDEEDYDSEFGTDELSCFRGLVLDISYRPINVVCWKRAICLEFMEKADVLEYYDQTVNSPSGSFNIPAVLRIPHLLQVVKRRRININLSRKNILFRDKFTCQYCSARDNLTIDHVLPVARGGEWKWENLVAACAECNSKKGRKTLEEANMKLIKVPKAPKDYDILAIPLTSAAIMMLRKRNGTPEEWRQYLSSSIEP >KJB45066 pep chromosome:Graimondii2_0_v6:7:49446396:49450521:-1 gene:B456_007G287900 transcript:KJB45066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFTPQGRLKLLFHGDGVEPKDPFHYKLVSNVFGGSGGLKSLQTHKGMLRFLGSTTRRSHFSSSLKKGSKFNATASLNVSDEGLYDEEDYDSEFGTDELSCFRGLVLDISYRYCSARDNLTIDHVLPVARGGEWKWENLE >KJB45068 pep chromosome:Graimondii2_0_v6:7:49448646:49450521:-1 gene:B456_007G287900 transcript:KJB45068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFTPQGRLKLLFHGDGVEPKDPFHYKLVSNVFGGSGGLKSLQTHKGMLRFLGSTTRRSHFSSSLKKGSKFNATASLNVSDEGLYDEEDYDSEFGTDELSCFRGLVLDISYRPINVVCWKRAICLEFMEKADVLEYYDQTVNSPSGSFNIPAVLRIPHLLQVVKRRRININLSRKNILFRDKFTCQ >KJB45067 pep chromosome:Graimondii2_0_v6:7:49447750:49450521:-1 gene:B456_007G287900 transcript:KJB45067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFTPQGRLKLLFHGDGVEPKDPFHYKLVSNVFGGSGGLKSLQTHKGMLRFLGSTTRRSHFSSSLKKGSKFNATASLNVSDEGLYDEEDYDSEFGTDELSCFRGLVLDISYRLMF >KJB45065 pep chromosome:Graimondii2_0_v6:7:49446332:49450508:-1 gene:B456_007G287900 transcript:KJB45065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFTPQGRLKLLFHGDGVEPKDPFHYKLVSNVFGGSGGLKSLQTHKGMLRFLGSTTRRSHFSSSLKKGSKFNATASLNVSDEGLYDEEDYDSEFGTDELSCFRGLVLDISYRYCSARDNLTIDHVLPVARGGEWKWENLVAACAECNSKKGRKTLEEANMKLIKVPKAPKDYDILAIPLTSAAIMMLRKRNGTPEEWRQYLSSSIEP >KJB45003 pep chromosome:Graimondii2_0_v6:7:48699868:48701413:1 gene:B456_007G284400 transcript:KJB45003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEAKLIENRVEKPVSTDGLFRFIKSVISDEQQEETGPFYVLDLGATRSLVETWFHNLPMVQPFYAVKSNPNPAFLKEMAALGTGFDCASLPEIETILSLGVSPDRIVFANTCKPESHIKYAAKVGVNLATFDSNCELEKIKKWHPKCELLIRIKVPEASGSAFMFGSKFGALPEEIVPLLKAAQEAKLQVVGVSFHIGTGAINFHSIQGAIEAAKTTFDFAAQLGLPKMHILDIGGGFTSGPKFTDAASAVKVALQKYFPGELADSSLKIIAEPGYFFANSPFTLATSIIGKRERGDVKEYWINDGVWGSMNILKDDHDEVICTPLTIKNPTCEGLKTWNSTVFGPTCDPNDIVLKGFKLPELDVNDWLVFQNMGAYTSSRGNDFNGFKTSAIPTYILVLMPPLRQEK >KJB44295 pep chromosome:Graimondii2_0_v6:7:36491096:36491903:1 gene:B456_007G244900 transcript:KJB44295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSRSSLMFLLVWLIFVSHQIVSVVCETGQYIDDEEEKTSFFHVVSSTISSLKKSHLTSWEKIKTLLHGLQLKFTPPNLEAPGTGTTGVSESAGEDTKKGIKQTGTTGGSESAGENIKEAAKKSVEAGTETVEKTAKSAADAVHHTAEKLKETVSDNKGSRDDL >KJB44294 pep chromosome:Graimondii2_0_v6:7:36491044:36491907:1 gene:B456_007G244900 transcript:KJB44294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSRSSLMFLLVWLIFVSHQIVSVVCETGQYIDDEEEKTSFFHVVSSTISSLKKSHLTSWEKIKTLLHGLQLKFTPPNLDFRAPGTGTTGVSESAGEDTKKGIKQTGTTGGSESAGENIKEAAKKSVEAGTETVEKTAKSAADAVHHTAEKLKETVSDNKGSRDDL >KJB42693 pep chromosome:Graimondii2_0_v6:7:14411419:14412562:-1 gene:B456_007G164100 transcript:KJB42693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKMVVKVTMKGEKSRSKALKIVVGLSGVESASLKGDDKSQIEVTGDGVDAVKLTSLLRKGVGYAELVSVSAADKKDDKKDETKLLPPFYYYQCQPVPPYGYVENYGPSCSIL >KJB39281 pep chromosome:Graimondii2_0_v6:7:401632:419972:-1 gene:B456_007G005200 transcript:KJB39281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKQEDIFLNNNTNNLYVSEIPVDKQKLAAPIKSVVDKFQILPEFLKVRGLVKQHFDSFNYFVNTGIKKVVSANDRIVSHIDPAIYLRFNDVRIGNPSMTTYEKINPHTCRLADMTYAAPIFADIEYMQESHGQRTRLEKKNVVMGRMPIMLRSCRCVLYGKDEAELARLGECPLDPGGYFIINGAEKVIPIREQLAKNRIIIDADNKGNITASVTSISETIKSRTVIKMDKEKIYLLLNQFVKKIPIMVVMKAMGIESDQEVMQMVGADPCYSALLLPSIEECARIDIYTQEQALAYLNTKVQYSSELGAFLILRDIFLANVPVRCNNLRPKCLYVAVMLRRMMEATLNKHAMDDKDYMGNKHLELSGQLISLLFECYCLGVEDMELLSGEELHTPNSFLVMLNGLILGKHRRPQHFANALRKLRRAGKIGEFVSVFVNEKQRCVYISSDGGRVCRPLVIVDKGISRIKEHHMKELLDGVRTFNDFLGDGLVEYLDVNEENNALIALYEGEVTPETTHIEIEPFTILGCAMGKQAMGNIAYNQLCRMDTLLNILVYPQRPLVTTRTIELVGYDKLGAGQNATVAVMSCSGYDIEDAIVMNKASLDRGFGRCNVMKKYSNIIQKSRTGASDSILRPQRTGPGSERMQILDDDGIAAPGEIIRPNDILLNKVSIHTRGIPISSEPLMDSACRPAKQLYKGPEGESCVLDRVALSSDQNGNLSIKFLIRHTRRPELGDKFSSRHGQKGVCGIIIQQEDLPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADKVETISETLIKHGFCYNGKDFIYSGITGFPLQAYIFMGPIYYQKLKHMVLDKMRARGNGPRVMLTRQPTEGRTRKGGLRVGEMERDCLIAYGASMLIYERMMLSSDPYDVQVCRKCGLIGYYSHKLKIGFCSSCKIGENVSSMKLPYACKLLIQELQSMNIVPRLKLVEP >KJB45926 pep chromosome:Graimondii2_0_v6:7:56352819:56353493:-1 gene:B456_007G338500 transcript:KJB45926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKSLSLFSISFIALCFTVASAASFDIRNNCPYKVWAAAVPGGGRELSRGAVWNLQVNPGTAGARIWGRTNCQFDRAGRGRCQTGDCGGLLQCKAYGAPPNTLAEFALNQFNNLDFYDISLIDGFNVPMEFSPTSGRCSKVIRCTADIIGQCPNQLKAPGGCNNPCTVYKTDQYCCNSGHCGPTDLSRFFKARCPDAYSYPKDDQTSLFACPGGTNYRVVFCP >KJB40347 pep chromosome:Graimondii2_0_v6:7:4220038:4220918:1 gene:B456_007G059700 transcript:KJB40347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSEMGNGCKDHPNHQQKQGVCASCLRERLSRLCSASYTETNSTLFLSCSSSLSFSPAPDYSSPSSSTSASPDARHRKRNGSGVTSIMKPVSSSSSFMLKFGSTKGLKKSRSIACVARNLDDEEVKNGKNKKKGFWSRLLSFKGKKNVLSHSMSMRLMIGRVN >KJB39689 pep chromosome:Graimondii2_0_v6:7:1780919:1783501:-1 gene:B456_007G025600 transcript:KJB39689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSPPPKRRRKGQTVDTESPSKSALTQDNSSPLIVFAHGAGAPSSSDWMIRWKEMLKKALNAVEVVTFDYPYMSEGKRRSPPKAEKLVDFHTNVVKNAVSKYPGHPLILAGKSMGSRISCMVAGREDISPLLIVCLGYPLKGMNGAVRDETLLQLKVPVMFVQGTKDGLCPLEKLEAVRKKMKTMSGLHEIESGDHSFKISKKHLQTKGSTQEEAEDAAVQAIASFFTRSLR >KJB39688 pep chromosome:Graimondii2_0_v6:7:1777963:1783569:-1 gene:B456_007G025600 transcript:KJB39688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSPPPKRRRKGQTVDTESPSKSALTQDNSSPLIVFAHGAGAPSSSDWMIRWKEMLKKALNAVEVVTFDYPYMSEGKRRSPPKAEKLVDFHTNVVKNAVSKYPGHPLILAGKSMGSRISCMVAGREDISPLLIVCLGYPLKGMNGAVRDETLLQLKVPVMFVQGTKDGLCPLEKLEAVRKKMKTMSGLHEIESGDHSFKISKKHLQTKGSTQEEAEDAAVQAIASFFTRSLR >KJB39361 pep chromosome:Graimondii2_0_v6:7:663866:665459:-1 gene:B456_007G008500 transcript:KJB39361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTKINPPLPPGPLGLPIIGNLPFIQPQLHRYFSDLSRIYGPIIKLRMGRMIVIVINSPSLANEVLKVQDAIFANRDIPAAAVVGTFGGLNIAWRPKSPGYNRLRKLVVCEIMSKQSLDACYVLHRREVRRMVTEIHGKVGSLVNIDERLSATALRLMTSTLWGDDPSQDLIELRKRLDEFIITFATPNVSDLFPILAPFDLQGIESKAKEQLSWFYGVFESMIKNRRNIGDDGKKKEKISKDFMQQLLELHQRGDDNSSLSLNEVKALLLDLVVAGTDTIPTTVEWTMTELLRHPDKMTKLIEELNMVVGNQNTMEESYIPQLVYLDAVIKETLRLHPVAPLLLPHVPSETTIIGGYTIPKGCRVFINAWAMQRDLELWDDPLRFQPERFLETDINYRDNNFKYLPFGSGRRMCVGISMAKKMAALLVGSLVHSFEWRLSEETKLNLEDKFGLVLKKTESLVAIPIARLPNLEQYK >KJB44934 pep chromosome:Graimondii2_0_v6:7:48002161:48002729:1 gene:B456_007G280300 transcript:KJB44934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLWAIILLEEMMPCNCLHYRSYLRLDLLVALNCASTGILSYANILRDKLQLLEQDEIGNWMFSCYLSMEHLTKDDARQKFLLLWTLLIGFRFLSCLQN >KJB41627 pep chromosome:Graimondii2_0_v6:7:8753214:8755527:1 gene:B456_007G112900 transcript:KJB41627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSASCAAKISTQPFSLNPTSPTSHDRPLVSPKPTSLFLGSTRKLRLSSSSKLNLHRRSGTVVAVSDVVKQKKSKSTHSLLITKEEGLELYEDMILGRAFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKQQDSVVSTYRDHVHALSKGVPARAVMSELFGKTTGCCRGQGGSMHMFSREHNLLGGFAFIGEGIPVATGAAFTSKYKREVLKEADCDHVTLAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHLRATSDPQIYKKGPAFGMPGIHVDGMDVLKVREVAKDAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPAEKAHYAARDPITALKKYLIENSLASEADLKAIDKKIDEVVEDAVEFADESPVPSQSQLLENVFADPKGFGIGPDGRYRCEDPKFTEGTAHV >KJB41628 pep chromosome:Graimondii2_0_v6:7:8753299:8755492:1 gene:B456_007G112900 transcript:KJB41628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGRAFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKQQDSVVSTYRDHVHALSKGVPARAVMSELFGKTTGCCRGQGGSMHMFSREHNLLGGFAFIGEGIPVATGAAFTSKYKREVLKEADCDHVTLAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHLRATSDPQIYKKGPAFGMPGIHVDGMDVLKVREVAKDAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPAEKAHYAARDPITALKKYLIENSLASEADLKAIDKKIDEVVEDAVEFADESPVPSQSQLLENVFADPKGFGIGPDGRYRCEDPKFTEGTAHV >KJB40433 pep chromosome:Graimondii2_0_v6:7:4422885:4424057:1 gene:B456_007G063000 transcript:KJB40433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKEKLMSRSNIGQEKLFQWILGQQEEGSRVTTADIVAYLQNELEYGAEESPMSPRLSFQQQQQQHPQTAMHMNTLSAPFSSTPISASTDGQGVRSGDYRGSLQHYHSVHHQVGFHSNNVVASSFAANRPRNHETNCSHQQNGGGNSSISNDCMDMPMHAESPVHALPF >KJB39397 pep chromosome:Graimondii2_0_v6:7:856416:858164:-1 gene:B456_007G010900 transcript:KJB39397 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSFB2A [Source:Projected from Arabidopsis thaliana (AT5G62020) UniProtKB/TrEMBL;Acc:A0A384KDZ5] MAPPPVEQNGDATTGTAESQRSIPTPFLTKTYQLVDDHTIDDVISWNDDGSTFIVWNPMVFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNDYFRRGEKRLLCEIQRRKISSPTAAAVTVAPVTVAAIPMAKPIISPSNSGDEQSPVISSASSPSRLNQAGTVMAELMKENEKLRKENVQLNKQLSEMKSLCNNIFSLMSNYASSQSENISPVHKPLDFLPAKRLSWGESVEEETSPRLFGVPIGGAAKRAREEGEGVGTEAATAADETQLQLQQPGGSEIIKLEPLDCQNRGRDDDRGIQDAPWLRQVHRANQRVCN >KJB46369 pep chromosome:Graimondii2_0_v6:7:59465029:59468603:1 gene:B456_007G363200 transcript:KJB46369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLVKFQHFHSQSVIRLHFSRLKLRPLRFSTLSKSSKPTKKAHLKSQAETCSIPSLFQEITDILGAVHVASDETEFGFSITPRTNAKELEFIEQSLARRPGVCQNAEEKSELVEEEGSEITDILRAVNITSDETPTTSRVNDGEAEFKEKSLYRSLAVCQNAQKENVMVLGDTQMGNSVEFDVSPVVHEITKIVRAENVLVSMEEQLEKSGLSFEPEIVEKVLKRCFKVPHLAFRFLNWVKLREGFCYTTEIFNTMLYIGGEANEHGLVEKLLEEMEEKSCKKDIKTWTILISQYGKSKLIGKALEVFENMKKCGFETDTTAYMMMIRVLCNADKGDLAMEFYKEMVEKEMSLDLNLYKMLLNCVAKSGDNNAVHLVADNMTRVSEIPEQEVYGYVLRSLCISGRIKEALELIRDLKKKELSLDPRYFEILIKGLCRADRIADALEILDIMKRRQLVSEKVYEIIINGHLRRNDLSKALDLFQSMKESGYLPTASTYTDLMQRLFRLKEYKKGCDLYNEMMEKGVEPDTVAIMAMVAGHVGQNRISEAWKVFNSMEERGIRPSWKAYLVFIKELGKVGRTDEIFRVLCEMKEAKIGIRDEIFCLAVSCMERSRETDNVEKVKQMRRISELRSLTEEVSGSGFKGQELLLDLGDKHLEYERTDCHQVHSLSNAFNKQDMEEVHRILSSSKDWAIIEEGLERCNIKFTPELVLEILRKSSLHGKNALNFFSWVGKQAGYQHTSETYNMAIKISGCGKDFMNMRRLFYEMKRRGCLVSDAWAIMIMQYGRTGLTEIALRTFTEMKTDGFNPTASTYKYLIISLCGRKGRKVDEAIKIFQEMIRSGYTPDKELLETYLGCLCEVGKLLEARNCAESLSKIGFSAPLSYSLYIRALCRAERLEEALEILDDVRTQQSSLDQHVYGSLVHALLRKGLFEQALAKVDSMKQANIHPTVHVYTSLIVHFFKERQTRSALGIFVKMEKEGCQPTTITYSAMIHGYMNMGKVADAWNVFHHMKINGPEPDFKTYSMFINCLCKASKSEEAMQLLSEMRNSGIVPSTVNFRTVFHGLNREGKQCLAQTVLQQKSALKSERKCSTLI >KJB40250 pep chromosome:Graimondii2_0_v6:7:3733673:3735076:1 gene:B456_007G053600 transcript:KJB40250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKSVIKRQSGSFVSYNTYIKDIKLQSSHQIRNWLPSIHGREKKRKFFLNPKTNLQLCLEKWLYMEITVEDEQGLGFI >KJB39081 pep chromosome:Graimondii2_0_v6:7:5203458:5205121:1 gene:B456_007G073400 transcript:KJB39081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFTKVALRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >KJB39082 pep chromosome:Graimondii2_0_v6:7:5203485:5205091:1 gene:B456_007G073400 transcript:KJB39082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFTKVALRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >KJB39080 pep chromosome:Graimondii2_0_v6:7:5203485:5205091:1 gene:B456_007G073400 transcript:KJB39080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFTKVALRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >KJB44450 pep chromosome:Graimondii2_0_v6:7:40924338:40924744:1 gene:B456_007G253800 transcript:KJB44450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNESHWRWLWHVRCPQRIRFCGEVETVSHVLRGCLEALAIWVRLVKRDELDEFLSMELQQWFVLNLSDASHFSNEVTDWDTRFAIICWCLWGRRIRDFFTRSLRK >KJB44761 pep chromosome:Graimondii2_0_v6:7:45632517:45636779:-1 gene:B456_007G271300 transcript:KJB44761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMQFLDMGRSSLCRLVIVFIVIHVLLIHQSKGLNSEGQYLLDIKSNLGDKFNHLGNWDPNDPTPCGWKGVNCSTIDVYNPVVQSLNLSALNLSGFLSPSIGGLVHLTTLDLSFNGLSRDIPKEIGNCSSLEVLNLNDNKFEAQIPKELGTLSFLTTLNIYNNRLSGPFPDEIGNLSSLSQFLAYSNNISGSLPSSLGNLKRLTSFRAGQNLLTGGLPSIIGSCESLEYLGLAQNALTGELPKEIGKLKNLKELILWENQLSGLIPVELSNCTNLSILALYDNKFVGRVPKELGNLINLTKLYLYRNQLNGTIPKEIGNLSFAEQIDFSENMLSGEIPVELSKIKGLSLLYLFENQLTGVIPVELTTLKNLTKLDLSINYLTGPIPMGFQYLTELIMFQLFDNKLSGGIPQKLGAYSPLWVLDLSDNQLMGRIPRHLCRNSNLIFLNLGLNKLSGGIPSGITNCKSLVQLLLVGNSLTGSLPSDLCKLVNLSAVDLGQNKFNGPIPPEIGNCRSLQRLQLSYNYFTSELPREIGNLSRLAIFNISSNNLTGRIPPEIFNCKMLQRLDLSRNRFMENLPGEVGTLSQLELLKLSDNSLSGTIPPAMGNLLRLTELQMGGNSFNGNIPAQLGALSSLQIALNLSYNNLSGAIPSELGNIVLLEYLVLNNNHLSGQIPGSFANLSSLLGCNFSYNDLTGPIPSLPRLDNMAVSSFIENNGLCGGPLGGCNPTPSSLSLQPNAKDKGTGLGKVVAIVAAAIGGVSLVLIVVILYFMRRPVEIVAPLQEKPSTARVSDIYFSPKEGFTFQDLLEATDNFDESFVVGRGACGTVYKAVLPSGHVIAVKKLASNREGNNNVDNSFRAEILTLGNIRHRNIVKLYGFCYHQGSNLLLYEYMSRGSLGELLHGASCNLDWRTRFLIALGAAQGLAYLHHYCKPRIFHRDIKSNNILLDEKFEAHVGDFGLAKVIDMPQSKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQPLDQGGDLVTWVRNYIRDQSLSPGILDTRLNQQDETTISHMITVLKIALICTSMSPSDRPTMPEVVSMLIESNRRECHFDTSPSHETD >KJB44760 pep chromosome:Graimondii2_0_v6:7:45632483:45636923:-1 gene:B456_007G271300 transcript:KJB44760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMQFLDMGRSSLCRLVIVFIVIHVLLIHQSKGLNSEGQYLLDIKSNLGDKFNHLGNWDPNDPTPCGWKGVNCSTIDVYNPVVQSLNLSALNLSGFLSPSIGGLVHLTTLDLSFNGLSRDIPKEIGNCSSLEVLNLNDNKFEAQIPKELGTLSFLTTLNIYNNRLSGPFPDEIGNLSSLSQFLAYSNNISGSLPSSLGNLKRLTSFRAGQNLLTGGLPSIIGSCESLEYLGLAQNALTGELPKEIGKLKNLKELILWENQLSGLIPVELSNCTNLSILALYDNKFVGRVPKELGNLINLTKLYLYRNQLNGTIPKEIGNLSFAEQIDFSENMLSGEIPVELSKIKGLSLLYLFENQLTGVIPVELTTLKNLTKLDLSINYLTGPIPMGFQYLTELIMFQLFDNKLSGGIPQKLGAYSPLWVLDLSDNQLMGRIPRHLCRNSNLIFLNLGLNKLSGGIPSGITNCKSLVQLLLVGNSLTGSLPSDLCKLVNLSAVDLGQNKFNGPIPPEIGNCRSLQRLQLSYNYFTSELPREIGNLSRLAIFNISSNNLTGRIPPEIFNCKMLQRLDLSRNRFMENLPGEVGTLSQLELLKLSDNSLSGTIPPAMGNLLRLTELQMGGNSFNGNIPAQLGALSSLQIALNLSYNNLSGAIPSELGNIVLLEYLVLNNNHLSGQIPGSFANLSSLLGCNFSYNDLTGPIPSLPRLDNMAVSSFIENNGLCGGPLGGCNPTPSSLSLQPNAKDKGTGLGKVVAIVAAAIGGVSLVLIVVILYFMRRPVEIVAPLQEKPSTARVSDIYFSPKEGFTFQDLLEATDNFDESFVVGRGACGTVYKAVLPSGHVIAVKKLASNREGNNNVDNSFRAEILTLGNIRHRNIVKLYGFCYHQGSNLLLYEYMSRGSLGELLHGASCNLDWRTRFLIALGAAQGLAYLHHYCKPRIFHRDIKSNNILLDEKFEAHVGDFGLAKVIDMPQSKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQPLDQGGDLVTWVRNYIRDQSLSPGILDTRLNQQDETTISHMITVLKIALICTSMSPSDRPTMPEVVSMLIESNRRECHFDTSPSHETD >KJB41651 pep chromosome:Graimondii2_0_v6:7:8859894:8864800:-1 gene:B456_007G114000 transcript:KJB41651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase ATG1a [Source:Projected from Arabidopsis thaliana (AT3G61960) UniProtKB/Swiss-Prot;Acc:Q94C95] MMTTRVDYGGPGPGQVRLVGDYILGPRIGSGSFAVVWRSRHRQHGLEVAVKEIDKKLLSSKVSESLLKEISILSTINHPNIIQLFEAIETEDRIFLVLEYCDGGDLAAYIQRYGKVSEEVARHLMRQLAAGLQVLQEKHLIHRDLKPQNLLLTKGSTPQLKIGDFGFARSLTPENLADTLCGSPLYMAPEIIQNKKYDAKADLWSVGAILFQLVTGKPPFDGNNQLQLFQNILRSTELQFPEGALEKLHPDCVNLCRSLLRHDPVERLTFREFFDHKFLGERSKKVGLELDSSRLQSEAMVEQFDSSASENKSPLPYRDVINISSINQKSVSSFECGTVSQTKEYECSSSVKGALGAVALTVCDSKGKSVDNQCSPAQLRVADSLEGIEKEYVLVNSHSTSMETFSYYLETSLQDYSTLKCQAKKSDQEPAVSLEKETAESSAASAKSPQFQGLDVQTSSESAMLREVQRLNILHPSTRLQLLHQYARAIAEIAQGKVIFYCFLLNKYSLSVVDRQLHDLEEMDIVFLVAEILSVIEE >KJB41650 pep chromosome:Graimondii2_0_v6:7:8859610:8864875:-1 gene:B456_007G114000 transcript:KJB41650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase ATG1a [Source:Projected from Arabidopsis thaliana (AT3G61960) UniProtKB/Swiss-Prot;Acc:Q94C95] MMTTRVDYGGPGPGQVRLVGDYILGPRIGSGSFAVVWRSRHRQHGLEVAVKEIDKKLLSSKVSESLLKEISILSTINHPNIIQLFEAIETEDRIFLVLEYCDGGDLAAYIQRYGKVSEEVARHLMRQLAAGLQVLQEKHLIHRDLKPQNLLLTKGSTPQLKIGDFGFARSLTPENLADTLCGSPLYMAPEIIQNKKYDAKADLWSVGAILFQLVTGKPPFDGNNQLQLFQNILRSTELQFPEGALEKLHPDCVNLCRSLLRHDPVERLTFREFFDHKFLGERSKKVGLELDSSRLQSEAMVEQFDSSASENKSPLPYRDVINISSINQKSVSSFECGTVSQTKEYECSSSVKGALGAVALTVCDSKGKSVDNQCSPAQLRVADSLEGIEKEYVLVNSHSTSMETFSYYLETSLQDYSTLKCQAKKSDQEPAVSLEKETAESSAASAKSPQFQGLDVQTSSESAMLREVQRLNILHPSTRLQLLHQYARAIAEIAQGKYNAGLFVESFSVELVVLAIWKKALQICSSWKTSAPDNESPGSNSGNQPTTTIQSSADLAPNSGDNVDLNKPSSACIWAEQGFIVAYDRAEKLSCHLRDMDATAQMPDAMEIIYQTALTIGTNGAVDEYMRNKGSAAALYSKAMVLLSFIVGEAASLPLNPPFSLTPANKKRIQAYINNLQTHQSQFLTSAPFPKLSADFHTK >KJB41652 pep chromosome:Graimondii2_0_v6:7:8859894:8864800:-1 gene:B456_007G114000 transcript:KJB41652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase ATG1a [Source:Projected from Arabidopsis thaliana (AT3G61960) UniProtKB/Swiss-Prot;Acc:Q94C95] MMTTRVDYGGPGPGQVRLVGDYILGPRIGSGSFAVVWRSRHRQHGLEVAVKEIDKKLLSSKVSESLLKEISILSTINHPNIIQLFEAIETEDRIFLVLEYCDGGDLAAYIQRYGKVSEEVARHLMRQLAAGLQVLQEKHLIHRDLKPQNLLLTKGSTPQLKIGDFGFARSLTPENLADTLCGSPLYMAPEIIQNKKYDAKADLWSVGAILFQLVTGKPPFDGNNQLQLFQNILRSTELQFPEGALEKLHPDCVNLCRSLLRHDPVERLTFREFFDHKFLGERSKKVGLELDSSRLQSEAMVEQFDSSASENKSPLPYRDVINISSINQKSVSSFECGTVSQTKEYECSSSVKGALGAVALTVCDSKGKSVDNQCSPAQLRVADSLEGIEKEYVLVNSHSTSMETFSYYLETSLQDYSTLKCQAKKSDQEPAVSLEKETAESSAASAKSPQFQGLDVQTSSESAMLREVQRLNILHPSTRLQLLHQYARAIAEIAQGKYNAGLFVESFSVELVVLAIWKKALQICSSWKTSAPDNESPGSNSGNQPTTTIQSSADLAPNSGDNVDLNKPSSACIWAEQGFIVAYDRAEKLSCHLRDMDG >KJB41653 pep chromosome:Graimondii2_0_v6:7:8859894:8864845:-1 gene:B456_007G114000 transcript:KJB41653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase ATG1a [Source:Projected from Arabidopsis thaliana (AT3G61960) UniProtKB/Swiss-Prot;Acc:Q94C95] MMTTRVDYGGPGPGQVRLVGDYILGPRIGSGSFAVVWRSRHRQHGLEVAVKEIDKKLLSSKVSESLLKEISILSTINHPNIIQLFEAIETEDRIFLVLEYCDGGDLAAYIQRYGKVSEEVARHLMRQLAAGLQVLQEKHLIHRDLKPQNLLLTKGSTPQLKIGDFGFARSLTPENLADTLCGSPLYMAPEIIQNKKYDAKADLWSVGAILFQLVTGKPPFDGNNQLQLFQNILRSTELQFPEGALEKLHPDCVNLCRSLLRHDPVERLTFREFFDHKFLGERSKKVGLELDSSRLQSEAMVEQFDSSASENKSPLPYRDVINISSINQKSVSSFECGTVSQTKEYECSSSVKGALGAVALTVCDSKGKSVDNQCSPAQLRADSLEGIEKEYVLVNSHSTSMETFSYYLETSLQDYSTLKCQAKKSDQEPAVSLEKETAESSAASAKSPQFQGLDVQTSSESAMLREVQRLNILHPSTRLQLLHQYARAIAEIAQGKYNAGLFVESFSVELVVLAIWKKALQICSSWKTSAPDNESPGSNSGNQPTTTIQSSADLAPNSGDNVDLNKPSSACIWAEQGFIVAYDRAEKLSCHLRDMDATAQMPDAMEIIYQTALTIGTNGAVDEYMRNKGSAAALYSKAMVLLSFIVGEAASLPLNPPFSLTPANKKRIQAYINNLQTHQSQFLTSAPFPKLSADFHTK >KJB45577 pep chromosome:Graimondii2_0_v6:7:52710972:52717890:1 gene:B456_007G313400 transcript:KJB45577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHSPPPPDTIVEEREEHMVSPNGGHITIRKAHFLKPTDTSSNGKVSELPQHCLSPKPFIYDLKDLSEKGVWETWVHNMHGKYQALWEQVGIYKAIPSSRYHIKQHKELVLGIVEKWCLETYFCFSMGPLKTKQPVEVEEKLIKGRKEAARGRCMFASPKDWMDYFMGTGHKLEHEAFLSLWLSNFVFVTSTSIHYVGRHVFPIAIHLARGNRVALAPAVLSRIYRGLCWFKDRIFCSLMVQTNELVNVYIPFQLVLVWVWERFLGSRPMPNSISYDEPRIARWHRLKVNVSDIKLPINSVGKSFQWRPYAIAINGWSVPKFYGDDTHLNEDIHSFARCLRVNELVGLESIEQYLPQRVSMQFEMDQDLPGFGAYISIMLNLLTRKSKIVLESPLASMSTICCFEGTNDMHTWPSSTFSLIKLFQSDVTLQYSNWWKQSMLSHCDVIKLFLKRPRGPRTTCEVNMESFFKDEKDGTLKNIRDPLPIGECQKVPTLKDRGEGSKTLDLLTLGLELEAQICRLEKHFAKLKK >KJB39144 pep chromosome:Graimondii2_0_v6:7:24359231:24361858:-1 gene:B456_007G216300 transcript:KJB39144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLAEERKAWRKNHPHGFVAKPETLPDGTVNLMVWHCTIPGKAGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDRLETSHNCEADSYWNPRSSGCSKPC >KJB39146 pep chromosome:Graimondii2_0_v6:7:24358421:24361955:-1 gene:B456_007G216300 transcript:KJB39146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLAEERKAWRKNHPHGFVAKPETLPDGTVNLMVWHCTIPGKAGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGLETSHNCEADSYWNPRSSGCSKPC >KJB39143 pep chromosome:Graimondii2_0_v6:7:24359338:24361858:-1 gene:B456_007G216300 transcript:KJB39143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLAEERKAWRKNHPHGFVAKPETLPDGTVNLMVWHCTIPGKAGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSVRIFFSSIMVTCLNSCISVYPT >KJB39145 pep chromosome:Graimondii2_0_v6:7:24358421:24361955:-1 gene:B456_007G216300 transcript:KJB39145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILIGIQDLLDAPNPADPAQTEGYHLFIQDANEYKRRVRQQAKQYPPLV >KJB39147 pep chromosome:Graimondii2_0_v6:7:24358421:24361955:-1 gene:B456_007G216300 transcript:KJB39147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLAEERKAWRKNHPHVRGGFVAKPETLPDGTVNLMVWHCTIPGKAGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILIGIQDLLDAPNPADPAQTEGYHLFIQDANEYKRRVRQQAKQYPPLV >KJB39142 pep chromosome:Graimondii2_0_v6:7:24358421:24361024:-1 gene:B456_007G216300 transcript:KJB39142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILIGIQDLLDAPNPADPAQTEGYHLFIQDANEYKRRVRQQAKQYPPLV >KJB39148 pep chromosome:Graimondii2_0_v6:7:24358421:24362088:-1 gene:B456_007G216300 transcript:KJB39148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLAEERKAWRKNHPHGFVAKPETLPDGTVNLMVWHCTIPGKAGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILIGIQDLLDAPNPADPAQTEGYHLFIQDANEYKRRVRQQAKQYPPLV >KJB46618 pep chromosome:Graimondii2_0_v6:7:60873416:60875627:1 gene:B456_007G378300 transcript:KJB46618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKGTKWSAEENKCFENALALYDTDTPDRWFKVAAMVPGKTVEDVIKQYRELEEDVSDIEAGLIPIPGYTTDSFRLEWVNDSQGFDGIRNYYTPGGKRGSGSRPSDHERKKGVPWTEEEHRQFLMGLKKYGKGDWRNISRNFVMTRTPTQVASHAQKYFIRQLTGGKDKKRTSIHDITTVNIPDTPSSSPDHSKPLSPNNSSAVIQSQQQSKVPSVTTKELFDFEWKQHNEGVAAMVFNQTSTGNALLSPLCGISSYGTKLEEKQLPRGTLPRSQFGSYNTLFQMQSMQHQ >KJB46617 pep chromosome:Graimondii2_0_v6:7:60874023:60875145:1 gene:B456_007G378300 transcript:KJB46617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRGLQVLSQTSYLQTWNWLFQESKGTKWSAEENKCFENALALYDTDTPDRWFKVAAMVPGKTVEDVIKQYRELEEDVSDIEAGLIPIPGYTTDSFRLEWVNDSQGFDGIRNYYTPGGKRGSGSRPSDHERKKGVPWTEEEHRQFLMGLKKYGKGDWRNISRNFVMTRTPTQVASHAQKYFIRQLTGGKDKKRTSIHDITTVNIPDTPSSSPDHSKPLSPNNSSAVIQSQQQSKVPSVTTKELFDFEWKQHNEGVAAMVFNQTSTGNALLSPLCGISSYGTKLEEKQLPRGTLPRSQFGSYNTLFQMQSMQHQ >KJB46616 pep chromosome:Graimondii2_0_v6:7:60873390:60875619:1 gene:B456_007G378300 transcript:KJB46616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGKTVEDVIKQYRELEEDVSDIEAGLIPIPGYTTDSFRLEWVNDSQGFDGIRNYYTPGGKRGSGSRPSDHERKKGVPWTEEEHRQFLMGLKKYGKGDWRNISRNFVMTRTPTQVASHAQKYFIRQLTGGKDKKRTSIHDITTVNIPDTPSSSPDHSKPLSPNNSSAVIQSQQQSKVPSVTTKELFDFEWKQHNEGVAAMVFNQTSTGNALLSPLCGISSYGTKLEEKQLPRGTLPRSQFGSYNTLFQMQSMQHQ >KJB45324 pep chromosome:Graimondii2_0_v6:7:51414993:51418009:-1 gene:B456_007G301300 transcript:KJB45324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPHVVEDCFGLLKLYSDGSISRNPEVPCPTPLLDDDSVLYKDLLFDANRNLHLRLYKPSSSTSLEKLPILFYFHGGGFCFGSRTFPHFHNLCVRLTTALNMLVVAPDHRLAPEHRLPAASDDACLALKWLQGQAAMHGKNVEDVDTWLTGVDFDRVFVLGDSSGGNLAHHLAVKLKAGSMELAPVRVRGYVLVAPFFGGSVRTKSELEQPCEAFWNLEMYDRFWRLSIPVGSTLDDPLVNPFGPCSQNLAEVPLDPILVVVGGGEILRDRLEDYATRLKQLGKKVEFVEVEGQQHGFFTDHPFSDVAEKVIQRIGDFILDNSN >KJB45325 pep chromosome:Graimondii2_0_v6:7:51415531:51418009:-1 gene:B456_007G301300 transcript:KJB45325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPHVVEDCFGLLKLYSDGSISRNPEVPCPTPLLDDDSVLYKDLLFDANRNLHLRLYKPSSSTSLEKLPILFYFHGGGFCFGSRTFPHFHNLCVRLTTALNMLVVAPDHRLAPEHRLPAASDDACLALKWLQGQAAMHGKNVEDVDTWLTGVDFDRVFVLGDSSGGNLAHHLAVKLKAGSMELAPVRVRGYVLVAPFFGGSVRTKSELEQPCEAFWNLEMYDRMKLMNQL >KJB45230 pep chromosome:Graimondii2_0_v6:7:50696100:50698990:-1 gene:B456_007G296500 transcript:KJB45230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLESLSEATSGALGSLLSTTILYPLDTCKTKYQVEVQDHGQRKYRNLSDVLWEAISNRQVISLYQGLGTKNLQSFIAQFVYFYGYSYFKRLYLEKSGFKSIGTKANLILAAAAGACTAIVTQPLDTASSRMQTSAYGKSKGLWKTLTEGTLSDAFDGLGISLLLTSNPAIQFTVFDQLKQRLLKQKLKEADHDSSPVVLSAFTAFLVGAISKSVATILTYPAIRCKVMIQASDPDEDDDKTKRAQRKPRKTVSSVFCNIWKKEGIPGFFKGIEAQITKTVLSSALLLMIKEKISATTWVLILAIQRYLLLTRGKLKKA >KJB45761 pep chromosome:Graimondii2_0_v6:7:54566144:54566359:1 gene:B456_007G326200 transcript:KJB45761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVKMWTTRTWTLRPYEASLFLGIGFGLFLGSLGGRRRRPPFGGPKPSVGYHSGRARILTLCQRPMDQGTVS >KJB44043 pep chromosome:Graimondii2_0_v6:7:29322796:29327314:1 gene:B456_007G231800 transcript:KJB44043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYSNKKSNFIDSLCFLVRNELRCDVMCHHTKSFKRVLSICYYHYPSKHPGTEALTFSLWLTNLGSEMAKSPEEEHPVKTFGWAATDPSGHLSPFTFSRRVTGEEDVRFKVLYCGICHSDLHSIKNEWGSSNYPMVPGHEIVGEVTEVGSKVEKVKVGDKVGVGCMVGACHSCDSCANDLENYCPKLILTYNGIYYDGTITYGGYSDSMVANERYVVRIPDGMPLDSAAPLLCAGITVYSPLKYFGLGEPGKHIGIVGLGGLGHVAVKFAKALGSKVTVISTSPNKKAEALEHLGADSFLVSRDQDELQVIVCTYSYFY >KJB44042 pep chromosome:Graimondii2_0_v6:7:29322721:29327314:1 gene:B456_007G231800 transcript:KJB44042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKESLLELPRFYNNQRKMQYSNKKSNFIDSLCFLVRNELRCDVMCHHTKSFKRVLSICYYHYPSKHPGTEALTFSLWLTNLGSEMAKSPEEEHPVKTFGWAATDPSGHLSPFTFSRRVTGEEDVRFKVLYCGICHSDLHSIKNEWGSSNYPMVPGHEIVGEVTEVGSKVEKVKVGDKVGVGCMVGACHSCDSCANDLENYCPKLILTYNGIYYDGTITYGGYSDSMVANERYVVRIPDGMPLDSAAPLLCAGITVYSPLKYFGLGEPGKHIGIVGLGGLGHVAVKFAKALGSKVTVISTSPNKKAEALEHLGADSFLVSRDQDELQAAMGTFDGIIDTVSATHPIMPLLGLLKSHGKLIMVGAPYEPLEVPAFSLIIGRKTMAGSGIGGMKETQEMIDFAAKHNIKADIEVISMDYVNKAMERLEQADVRYRFVIDIGNTLAATKPSS >KJB44403 pep chromosome:Graimondii2_0_v6:7:39516938:39521680:-1 gene:B456_007G250900 transcript:KJB44403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEPHNLKPRRRGKKEKKRKMAEDTLYLQLHKLSSVEQILDQILTTLWKTRRSGLRPPDKSRFQSLLSLPSLPDLDPVLACLRLLIRKSVHENFNGDDLLKLFPPDLSLDLQSLLVLLLQKYQSQWKEELAKEQHPHSLPRTSVSCQIKANTPPSFTPLPSSDIPNSLWPRQDDPSTSTNLGDFGASPIIADAAGFRLAPLLMQQDAGPPDNLEVLPWLKSMTWTMENLNSAPANKAAIIHLKLQDYIKSPSGEREVKFQLTKDTLEALLRSLTYISEQLSTMTGTSSEPAQKKQKQ >KJB44405 pep chromosome:Graimondii2_0_v6:7:39518559:39521673:-1 gene:B456_007G250900 transcript:KJB44405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEPHNLKPRRRGKKEKKRKMAEDTLYLQLHKLSSVEQILDQILTTLWKTRRSGLRPPDKSRFQSLLSLPSLPDLDPVLACLRLLIRKSVHENFNGDDLLKLFPPDLSLDLQSLLVLLLQKYQSQWKEELAKEQHPHSLPRTSVSCQIKANTPPSFTPLPSSDIPNSLWPRQDDPSTSTNLGDFGASPIIADAAGFRLAPLLMQQDAGPPDNLEVLPWLKSMTWTMENLNSAPANKAAIIHLKVCESCMATTI >KJB44406 pep chromosome:Graimondii2_0_v6:7:39520452:39521673:-1 gene:B456_007G250900 transcript:KJB44406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEPHNLKPRRRGKKEKKRKMAEDTLYLQLHKLSSVEQILDQILTTLWKTRRSGLRPPDKSRFQSLLSLPSLPDLDPVLACLRLLIRKSVHENFNGDDLLKLFPPDLSLDLQSLLVLLLQKYQSQWKEELAKEQVSSNLFHNCSKKKKILHACLLLC >KJB44402 pep chromosome:Graimondii2_0_v6:7:39517681:39521673:-1 gene:B456_007G250900 transcript:KJB44402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEPHNLKPRRRGKKEKKRKMAEDTLYLQLHKLSSVEQILDQILTTLWKTRRSGLRPPDKSRFQSLLSLPSLPDLDPVLACLRLLIRKSVHENFNGDDLLKLFPPDLSLDLQSLLVLLLQKYQSQWKEELAKEQHPHSLPRTSVSCQIKANTPPSFTPLPSSDIPNSLWPRQDDPSTSTNLGDFGASPIIADAAGFRLAPLLMQQDAGPPDNLEVLPWLKSMTWTMENLNSAPANKAAIIHLKLQDYIKSPSGEREVKFQLTKDTLEALLRSLTYISEQLSTMVRMPFCILLWT >KJB44404 pep chromosome:Graimondii2_0_v6:7:39516938:39521673:-1 gene:B456_007G250900 transcript:KJB44404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEPHNLKPRRRGKKEKKRKMAEDTLYLQLHKLSSVEQILDQILTTLWKTRRSGLRPPDKSRFQSLLSLPSLPDLDPVLACLRLLIRKSVHENFNGDDLLKLFPPDLSLDLQSLLVLLLQKYQSQWKEELAKEQHPHSLPRTSVSCQIKANTPPSFTPLPSSDIPNSLWPRQDDPSTSTNLGDFGASPIIADAAGFRLAPLLMQQDAGPPDNLEVLPWLKSMTWTMENLNSAPANKAAIIHLKLQDYIKSPSGEREVKFQLTKDTLEALLRSLTYISEQLSTMFVFVFLFGRLGLPQSRHRKSKSSRTIRP >KJB44401 pep chromosome:Graimondii2_0_v6:7:39516938:39521673:-1 gene:B456_007G250900 transcript:KJB44401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEPHNLKPRRRGKKEKKRKMAEDTLYLQLHKLSSVEQILDQILTTLWKTRRSGLRPPDKSRFQSLLSLPSLPDLDPVLACLRLLIRKSVHENFNGDDLLKLFPPDLSLDLQSLLVLLLQKYQSQWKEELAKEQHPHSLPRTSVSCQIKANTPPSFTPLPSSDIPNSLWPRQDDPSTSTNLGDFGASPIIADAAGFRLAPLLMQQDAGPPDNLLPLGLLSLSLQ >KJB46153 pep chromosome:Graimondii2_0_v6:7:58054598:58055779:1 gene:B456_007G350400 transcript:KJB46153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSTRCCLNMSPPTPNPQLDNSCSNTKGSQVAWPRNDKWKTGCVIGLACMIIGLEASDVSKTSAVAEEIPTVIVLESNSRVARWSDKRMCPPWQANSLETIVPENLPRPSARRKWEGIGFSNNAPATKVTIARETRAGCFSM >KJB38956 pep chromosome:Graimondii2_0_v6:7:28855214:28856145:1 gene:B456_007G230100 transcript:KJB38956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPNYAYPYPAQGYYQRPPVMAPPQYAAPPPRREPGFLEGCLAALCCCCLIDECCCDPSIIFVS >KJB43437 pep chromosome:Graimondii2_0_v6:7:20339047:20340006:-1 gene:B456_007G199900 transcript:KJB43437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFQNQYSAPEVTQTDAYGNPTRRTDEYGNPIPTQETGRGILGIGGHHHGGHHGLHRTGSSSSSSSSSEDEGTGKKKKGLKQRLKEKIPGNKEHQSQATSTTTPGQGPTYHQQHHEKKGVMDKIKEKLPGHHNP >KJB43408 pep chromosome:Graimondii2_0_v6:7:20097709:20099948:1 gene:B456_007G198200 transcript:KJB43408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHAHMIMVHELHFVFTEYELFTLLMKTASPYYVRISRATVKADCWTCYEVEKKRLNGLLKTVDRISITTDMWKSGQKIQYMVLTAHFVDSNWNLQKRDLNFIDVPPLHSGVFVYDALYKFLQDWVIEGKLCLISVDNASYNDAAVRMLKDSLSFHKRLSLNGKLFHVRCCAHILNLLVHDGLSKIEDVIDNVRESVKHIIASTMHLTMFNASYKYLPSDEDWVRVEDVCYFLALFNDITNIILDPRSKMKLIDFSFYVIYFEEEAPRQIRIVHHTTNVGTSMENDVQESGVNNASTTSRIGKGNVMNGRRSFETVGNVKSKLDIDLEEGVFICNENYGDFDALEWWKNSTIFMLKFNIHEHK >KJB45787 pep chromosome:Graimondii2_0_v6:7:54739278:54743017:-1 gene:B456_007G327900 transcript:KJB45787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSFLPPFMFGLLFAIFGVILSAKYSSITVDQSALLALKSHITHDPHNFLTTNCKLQRLSLYLNNFIGVIPSTLGNLSKLERLSRNQISGRIPNSLFKCKELRFLSLFNNSLEGTIPTEIGNLTLLDSLNLGNNHLKGEISSIIGNLISLTDIDLSVNYLTGEIPSTIGNLTTLEVIELSNNNLS >KJB41527 pep chromosome:Graimondii2_0_v6:7:8212249:8214507:-1 gene:B456_007G108800 transcript:KJB41527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KIENSSTLFLLFFSLSAALSSPLQLQTLVLRPFPSAPTLSWTDAEPESDSLVEIFELNAVSFNTTLEMHLELHHLDAFSSEETLERLFDLCLQRDMLRAETIYSLVSKAIACNRPRATGYLGFSSSIIFGLAQGSGEYFTRLGVSTLPRYLYMVLDTGDVVWVQCSPCKNCYSQSDPIFYPTKSHSFSGIPCGSPLYRSLDSSGCNKRRKCLYQVSNSDGSVTFGNFSTETLTFRRTKVGRVVLGCGHDNEGLFVGAAGLLDLSRGRLSFPTQARHRFNRKSAYYLVDRSVSSKPSSMIFGDAPIPRTTMFTSLLTNPKLDTFYYIELLGISVGRTCVRGIMPSLFKMDPAGNGGVIIDSGMSVTRLTRPAYIAMRDVFRIRASNLKRVPNFSLFDTCFDLSDKTSVKVPTVVLHFRGADVSLPVTNYLKQRNLLLCFGGYHEWFVHNWKHSAAKFPGGF >KJB41985 pep chromosome:Graimondii2_0_v6:7:10604172:10607800:-1 gene:B456_007G131300 transcript:KJB41985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKEMAYSFPDEVLKHVFSFIQSDKDRNAVSLVCKSWYEIERWCRRKVFIGNCYAVSPRMVIRRFPEVRSIELKGKPHFADFNLVPDGWGGYVLQWIAEMAGAYPWLGEIRLKRMVVTDESLELIAKSFKNFKVLVLFSCEGFSTDGLAAIAASCKNLRELDLRESEVDDLSGHWLAQFPETCTSLVSLNISCLGSDEVSFSALERLVDRCPNLRSLQLNRAVTLDKIANILRRAPQLVDFGTGNYAAELQPDVFSNLAGAFSSCKELKSLSGFWDVVPAYLPALYSICPRLTSLNLSYATIQSPDLIKLVSHCPRLQRLLVLDYVEDSGLEELASSCKDLQELRVFPSDPFGVEPNVSLTEQGLVAVSLGCPKLQSVLYFCRRMSNAALVTIAQNCPNLTRFRLCIIEPKTPDYLTLEPLDAGFGAIVEHCKDLRRLSLSGLLTDHVFEYIGTYGKKLEMLSVAFAGDSDLGLHHVLSGCESLRKLEIRDCPFGDKALLANAAKLETMRSLWMSSCAVSFGACKLLGQKMPKLNVEVIDETEPPDSRPESYPVDKLYIYRSVAGPRFDMPPFVWSMDEAVKILH >KJB43558 pep chromosome:Graimondii2_0_v6:7:21194007:21196405:-1 gene:B456_007G205600 transcript:KJB43558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDVNLRKGDVYRLPEGSAFYVQSSLEAEREKLRIYAIFTNSEDDIYEPSIGAYSSITDVVLGFDTRVLQAAFKVPEDLIEIMRASKPPAIIHAVQKEGKMLVEWQARLLKAFLGGNRDGFFHSINGKKTKKKMRAYNVLEADPDFENCNGWSLTVDRKDLHILKHSNIGLFMVNLTKGSMMAPHWNPRASEIAIVLQGQGMVRVVCSSNSKECECRDMRYRVREGDIFAVPRFHPMAQMSFNNDSFVFMGFSTLTTKRNHPQFLVGKKSALRIMDKQILALSFNVSNATIDQLLKPQRESVILDCTSCAEEEERLMVEEIERERKEEEEEARRREEEEEARREEEEEARRREEEDARRREEEEGATRRETKKRREEEARREQEEAWKQEEERQRRAEEAAAKGWREREKQRGQPEEKETEEGGDEGPNRRRAAKKVWLI >KJB43556 pep chromosome:Graimondii2_0_v6:7:21193900:21196632:-1 gene:B456_007G205600 transcript:KJB43556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLKGTLVSPFSFFAVFSIILASPTFLSVESYSHRHASTVGQLVLKHDRKLLTQTEHGEISAAIVTDGTKGPYHLQFITLEPNSVFLPVLLHANMVFFVHTGSGTLSWTDDDDMRDVNLRKGDVYRLPEGSAFYVQSSLEAEREKLRIYAIFTNSEDDIYEPSIGAYSSITDVVLGFDTRVLQAAFKVPEDLIEIMRASKPPAIIHAVQKEGKMLVEWQARLLKAFLGGNRDGFFHSINGKKTKKKMRAYNVLEADPDFENCNGWSLTVDRKDLHILKHSNIGLFMVNLTKGSMMAPHWNPRASEIAIVLQGQGMVRVVCSSNSKECECRDMRYRVREGDIFAVPRFHPMAQMSFNNDSFVFMGFSTLTTKRNHPQFLVGKKSALRIMDKQILALSFNVSNATIDQLLKPQRESVILDCTSCAEEEERLMVEEIERERKEEEEEARKRREEEEAKRKEEEEAQKRREEEEEARRREEEEEAKRREEEEARRREEEEEAKRREEKEARRREEEEEARREEEEEARRREEEDARRREEEEGATRRETKKRREEEARREQEEAWKQEEERQRRAEEAAAKGWREREKQRGQPEEKETEEGGDEGPNRRRAAKKVWLI >KJB43557 pep chromosome:Graimondii2_0_v6:7:21194007:21196427:-1 gene:B456_007G205600 transcript:KJB43557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLKGTLVSPFSFFAVFSIILASPTFLSVESYSHRHASTVGQLVLKHDRKLLTQTEHGEISAAIVTDGTKGPYHLQFITLEPNSVFLPVLLHANMVFFVHTGSGTLSWTDDDDMRDVNLRKGDVYRLPEGSAFYVQSSLEAEREKLRIYAIFTNSEDDIYEPSIGAYSSITDVVLGFDTRVLQAAFKVPEDLIEIMRASKPPAIIHAVQKEGKMLVEWQARLLKAFLGGNRDGFFHSINGKKTKKKMRAYNVLEADPDFENCNGWSLTVDRKDLHILKHSNIGLFMVNLTKGSMMAPHWNPRASEIAIVLQGQGMVRVVCSSNSKECECRDMRYRVREGDIFAVPRFHPMAQMSFNNDSFVFMGFSTLTTKRNHPQFLVGKKSALRIMDKQILALSFNVSNATIDQLLKPQRESVILDCTSCAEEEERLMVEEIERERKEEEEEARRREEEEEARREEEEEARRREEEDARRREEEEGATRRETKKRREEEARREQEEAWKQEEERQRRAEEAAAKGWREREKQRGQPEEKETEEGGDEGPNRRRAAKKVWLI >KJB46498 pep chromosome:Graimondii2_0_v6:7:60476101:60480911:-1 gene:B456_007G372200 transcript:KJB46498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSYLAPSSFTFLSFPSQKPINKTIPFQSFTIKSSIDKTPTTKPKPTTSSKTTSWVSPNWLTSLTKSLTLGSNDDSGIPIASAQLEDVSELLGGALFLPLFKWMNEYGPIYRLAAGPRNFVVVSNPAIAKHVLRNYGKYAKGLVSEVSEFLFGSGFAIAEGSLWTVRRRAVVPSLHKKYLSVMVDRVFCKCAERLVEKLQPFALDGTAVNMEEKFSQLTLDVIGLSVFNYNFDSLTTDSPVIDAVYTALKEAELRSTDILPYWKISALCKIVPRQIKAEKAVTVIRKAVEELIVKCKEIVEKEGERINEEEYVNDADPSILRFLLASREEVSSLQLRDDLLSMLVAGHETTGSVLTWTLYLLSKDPSALLKAQQEVDRVLEGRNPTYEDIKDLKFLTRCITESLRLYPHPPVLIRRAQVDDVLPGDYKVKAGQDIMISVYNIHHSSQVWERAEEFVPERFDLESSVPNESNTDYRFIPFSGGPRKCVGDQFALLEAIVALAIFLQRLNFELVPDQNISMTTGATIHTTNGLYMKLSQRMPDISSSTSK >KJB46497 pep chromosome:Graimondii2_0_v6:7:60476101:60480905:-1 gene:B456_007G372200 transcript:KJB46497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSYLAPSSFTFLSFPSQKPINKTIPFQSFTIKSSIDKTPTTKPKPTTSSKTTSWVSPNWLTSLTKSLTLGSNDDSGIPIASAQLEDVSELLGGALFLPLFKWMNEYGPIYRLAAGPRNFVVVSNPAIAKHVLRNYGKYAKGLVSEVSEFLFGSGFAIAEGSLWTVRRRAVVPSLHKKYLSVMVDRVFCKCAERLVEKLQPFALDGTAVNMEEKFSQLTLDVIGLSVFNYNFDSLTTDSPVIDAVYTALKEAELRSTDILPYWKISALCKIVPRQIKAEKAVTVIRKAVEELIVKCKEIVEKEGERINEEEYVNDADPSILRFLLASREEVSSLQLRDDLLSMLVAGHETTGSVLTWTLYLLSKDPSALLKAQQEVDRVLEGRNPTYEDIKDLKFLTRCITESLRLYPHPPVLIRRAQVDDVLPGDYKVKAGQDIMISVYNIHHSSQQ >KJB43532 pep chromosome:Graimondii2_0_v6:7:21114423:21114735:-1 gene:B456_007G204700 transcript:KJB43532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGTDAHYFRTGLRGHHSVWDSRLFNYGSWEVLRYLLSYARWWLEEYKFDGYRFDGVTSMMYKISLIK >KJB44251 pep chromosome:Graimondii2_0_v6:7:35237194:35239057:1 gene:B456_007G242200 transcript:KJB44251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTTIPETETPSSSTGSLLIRAPLTLDSNSDYELETASTVGRSSVYNYENNSELGGSEEGFLSGNEEFETGSEKDRPLDGDPDEGIELGGENNGGVSERYKIYVANKDDDIWIAWRIQWRMRKRAVVLMFLLLRCQWMMKCYSYNLAPKLLV >KJB46272 pep chromosome:Graimondii2_0_v6:7:58636296:58639648:-1 gene:B456_007G355700 transcript:KJB46272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYDLTPRIGPNLDRHLVFPLLEFLQERQLYPDEQILKAKIELLNKTNMVDYAMDIHKSLYRTDDVPQDMVERRVEVVARLKALEDAAAPLVTFLQNPNAVQELRSDKQYNLQMLNDRYQIGPDQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFSSPLNQVQSRIWLMHWSLFIFFNHDNGRTQVIDLFNQDKYLNAIQTSAPHLLRYLATAFIVNKRRRPQFKEFIKVIQQDQCSYKDPITEFLACVYVNYEFDGAQKKMKECEEVILNDPFLGKRVEEGNFNTVPLKDEFLENARLFIFETYCRIHQRIDMGVLFEKLNLNYEEGERWVVNLIRNSKLDAKIDSKTGTVIMEPNRPNVYEQLIDHTKALQGRTYKLVGQLLEHAQAQPAR >KJB41742 pep chromosome:Graimondii2_0_v6:7:9222565:9229787:1 gene:B456_007G117900 transcript:KJB41742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVRQSLREDQMSDCRSFGSNFHPSSQSRKISIGVMVDYLAKRKPGCTKEDQDKRANAERIKPSIGISLEGKNKGDAVTTSEGKQTEDAGQVKSPWITPRSLHRKALAPETASLREESSNPRQTKLNTAEDVPETYSAPCSPNQNFNAHNVGSKQNKFGLINGFAYQRKVWKDGNSQRVEELNFANASKGKVELKHKAGKTQNIQTETLKQKLQELFGTVSSPKSLQSSLQSHKVTANNSKQERSADHVVDTAVKPRQNSVTVAIVSDNPNKTVKRPVTCPLIQKRSPAKVKFANTKIGLSSKQKHGDNIFSFGEGRSAKLDGTVNGGSLLPRKRKPAKKSSKIDPHQVCFPEENAADEIKQTTYRTETPPPAKLAGTVNGGSLLPQKKGTEKKSSKIDPHQFCFPEENNADEIKQTTCRSETPLPAEKTSILGNKIESLPDSYSEKGRENFEKVQEKDTFHSPLTNETHRQSNFDNPPSPEKGDKQEDKGNTSLRNFVQTQDDFQSPTFGFITPILNTSASPTPKTVEIEQGTCSPVPFERGLTIGNFRSFRTFKTSGPFSTKSNAEAQSPEDTVKYTNSSLREPMPGKETIDAVNEHSESSSTSEQQWSGNFEEGSPIIKNYDCHRENLISPETVISDKPNFVHCPIKRLRNEDVKLSQFSPTSSSPKEGGGIGESYWFQEHLEQDQEDELTRAITLLPLALETFKRKIDSSTTKKSTEILMSISEKMKSMLLNAEAQIESDVGKLTSLSKTKRKRLETRLQEQQELLKLILEKFKEDIHRHLLDCSSILEGMEAHQNELKGIMKKQKVSHQKLLMHAEETAKIKLSNAEKRIKAVRESAREKMLQLKHVIAECLNGIC >KJB41743 pep chromosome:Graimondii2_0_v6:7:9222739:9229787:1 gene:B456_007G117900 transcript:KJB41743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVRQSLREDQMSDCRSFGSNFHPSSQSRKISIGVMVDYLAKRKPGCTKEDQDKRANAERIKPSIGISLEGKNKGDAVTTSEGKQTEDAGQVKSPWITPRSLHRKALAPETASLREESSNPRQTKLNTAEDVPETYSAPCSPNQNFNAHNVGSKQNKFGLINGFAYQRKVWKDGNSQRVEELNFANASKGKVELKHKAGKTQNIQTETLKQKLQELFGTVSSPKSLQSSLQSHKVTANNSKQERSADHVVDTAVKPRQNSVTVAIVSDNPNKTVKRPVTCPLIQKRSPAKVKFANTKIGLSSKQKHGDNIFSFGEGRSAKLDGTVNGGSLLPRKRKPAKKSSKIDPHQVCFPEENAADEIKQTTYRTETPPPAKLAGTVNGGSLLPQKKGTEKKSSKIDPHQFCFPEENNADEIKQTTCRSETPLPAEKTSILGNKIESLPDSYSEKGRENFEKVQEKDTFHSPLTNETHRQSNFDNPPSPEKGDKQEDKGNTSLRNFVQTQDDFQSPTFGFITPILNTSASPTPKTVEIEQGTCSPVPFERGLTIGNFRSFRTFKTSGPFSTKSNAEAQSPEDTVKYTNSSLREPMPGKETIDAVNEHSESSSTSEQQWSGNFEEGSPIIKNYDCHRENLISPETVISDKPNFVHCPIKRLRNEDVKLSQFSPTSSSPKEGGGIGESYWFQEHLEQDQEDELTRAITLLPLALETFKRKIDSSTTKKSTEILMSISEKMKSMLLNAEAQIESDVGKLTSLSKTKRKRLETRLQD >KJB46518 pep chromosome:Graimondii2_0_v6:7:60821223:60822027:-1 gene:B456_007G377400 transcript:KJB46518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMKFMCLVGLVVLVSILGLQNVDAAGECGKSSPDNEAMKMIPCAEAAQDENAPVSAACCTQVRQIGHNPSCLCAVMLSNTAKSSGIKPEIAITIPKRCNIANRPIGYKCGAYTLP >KJB45559 pep chromosome:Graimondii2_0_v6:7:52570517:52573405:-1 gene:B456_007G312000 transcript:KJB45559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSRAFSRVPRTVVARSLLLYAPKNLHTPTISTQFHSLVPEYHSKLVTSDVSLLHHSSLNFYALQRLHISSFASPKPSEKEHEGDVENNGQEPIKPGSESDGELSMADMVKLVQEKEGLLEVKQMEIEQMKDKVVRTLAEMENVMARTRREAENSKKFAIQNFAKGLLDVADNLGRASTHVKGSFAKVDESKDTAGAVPLLKTLLEGVEMTEKQLGEVFRKFGVEKFDPTNEPFDPHRHNAVFQVPDNAKPPGTVAHVLKAGYMLHDRVIRPAEVGVTQALNNDSN >KJB42756 pep chromosome:Graimondii2_0_v6:7:14801842:14806840:1 gene:B456_007G166700 transcript:KJB42756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQWRKFEFFEEKLGGGKCKIPEEISGKIECASSGRGKLVIGCDDGTVSLLDRGLNFNFGFQAHSSSALFLQMLKQRNFLVSIGEDEQISPQQSGMCLKVFDLDKMQPEGSSTTSPDCIGILRIFTNQFPQAKITSFLVLEEAPPILLIAIGLDNGCIYCIKGDIARERITRFKLQVDSSSGEGNSSVTGLGFRLDGQALLLFAVTPNSVSLFSMQNQPPRRQLLDQIGCNVNSVAMSDRSELIIGRPEAVYFYEVDGRGPCWAFEGEKKFLGWYRGYLLCVIADQRNGKNTFNIYDLKNRLIAHSLVVKEVSHMLCEWGNIILIMTDKSALCIGEKDMESKLDMLFKKNLYTVAINLVQTQQADASATAEVLRKYGDHLYSKQDYDEAMAQYIHTIGHLEPSYVIQKFLDAQRIYNLTNYLENLHEKGLASKDHTTLLLNCYTKLKDVEKLNVFIKSEDGVGEHKFDVETAIRVCRAANYHEHAMYVAKKAGRHEWYLKILLEDLGRYDEALQYISSLEPSQAGVTVKEYGKILIEHKPAETINILMRLCTEDIELAKRVTSNGGYLSMLPSPVDFLNIFIHHPQSLMDFLEKYTDKVKDSPAQVEIHNTLLELYLSIDLNFPSISQVNNGTDFNIKARTVPNGKLAVDGKNLSIEKDTLERREKGLRLLKSAWPADLEHPLYDVDLAIILCEMNAFKEGLLYLYEKMKLFKEVIACYMQVHDHEGLIACCKRLGDSGKGGDPTLWADLLKYFGELGEDCSKEVKEVLTYIERDDILPPIIVLQTLSRNPCLTLSVIKDYIARKLEQESKLIEEDRRAIEKYQEDTMAMRKEIQDLRTNARIFQLSKCTACTFTLDLPAVHFMCMHSFHQRCLGDNEKECPECAPEYRSVMEMKRSLEQNSKDQDQFFQQVKSSKDGFSVIAEYFGKGVISKTSNGSTGTARSDSISSSSGF >KJB42757 pep chromosome:Graimondii2_0_v6:7:14801889:14805206:1 gene:B456_007G166700 transcript:KJB42757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQWRKFEFFEEKLGGGKCKIPEEISGKIECASSGRGKLVIGCDDGTVSLLDRGLNFNFGFQAHSSSALFLQMLKQRNFLVSIGEDEQISPQQSGMCLKVFDLDKMQPEGSSTTSPDCIGILRIFTNQFPQAKITSFLVLEEAPPILLIAIGLDNGCIYCIKGDIARERITRFKLQVDSSSGEGNSSVTGLGFRLDGQALLLFAVTPNSVSLFSMQNQPPRRQLLDQIGCNVNSVAMSDRSELIIGRPEAVYFYEVDGRGPCWAFEGEKKFLGWYRGYLLCVIADQRNGKNTFNIYDLKNRLIAHSLVVKEVSHMLCEWGNIILIMTDKSALCIGEKDMESKLDMLFKKNLYTVAINLVQTQQADASATAEVLRKYGDHLYSKQDYDEAMAQYIHTIGHLEPSYVIQKFLDAQRIYNLTNYLENLHEKGLASKDHTTLLLNCYTKLKDVEKLNVFIKSEDGVGEHKFDVETAIRVCRAANYHEHAMYVAKKAGRHEWYLKILLEDLGRYDEALQYISSLEPSQAGVTVKEYGKILIEHKPAETINILMRLCTEDIELAKRVTSNGGYLSMLPSPVDFLNIFIHHPQSLMDFLEKYTDKVKDSPAQVEIHNTLLELYLSIDLNFPSISQVNNGTDFNIKARTVPNGKLAVDGKNLSIEKDTLERREKGLRLLKSAWPADLEHPLYDVDLAIILCEMNAFKEGLLYLYEKMKLFKEVIACYMQVHDHEGLIACCKRLGDSGKGGDPTLWADLLKYFGELGEDCSKEVKEVLTYIERDDILPPIIVLQTLSRNPCLTLSVIKDYIARKLEQESKLIEEDRRAIEKYQVGTKLF >KJB46140 pep chromosome:Graimondii2_0_v6:7:58080360:58087913:-1 gene:B456_007G350700 transcript:KJB46140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDDFDMHDANNESGEDDFYSGGEDDAGAMAVYDDSDADVADYEFIDNDSDDSDDLISHRHQQNYTILSEVDIRQHQEDDIMRISTVLSISKVEASILLRYYSWSVSKVHDEWFADEEKVRRSVGLLEKPVVPFPDGREMTCGICFENHSYDRLHAAACGHPFCKSCWAGYISTAINDGPGCLMLRCPDPSCAAVVGQDMVNALASVEDRQKYFRYFIRSYVEDNRKTKWCPAPGCDYAVEFILGSGSYDVTCHCSYSFCWKCAEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGSWSEHGERTGGFYACNRYETAKQDGVYDEAEKRREMAKNSLERYTHYYERWATNQSSRQKALADLQQMQSVHLEKLSDIQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQVQTFSNKAYLTRRLLWRTFLYGSAKKHTFSF >KJB46143 pep chromosome:Graimondii2_0_v6:7:58079030:58087989:-1 gene:B456_007G350700 transcript:KJB46143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDDFDMHDANNESGEDDFYSGGEDDAGAMAVYDDSDADVADYEFIDNDSDDSDDLISHRHQQNYTILSEVDIRQHQEDDIMRISTVLSISKVEASILLRYYSWSVSKVHDEWFADEEKVRRSVGLLEKPVVPFPDGREMTCGICFENHSYDRLHAAACGHPFCKSCWAGYISTAINDGPGCLMLRCPDPSCAAVVGQDMVNALASVEDRQKYFRYFIRSYVEDNRKTKWCPAPGCDYAVEFILGSGSYDVTCHCSYSFCWKCAEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGSWSEHGERTGGFYACNRYETAKQDGVYDEAEKRREMAKNSLERYTHYYERWATNQSSRQKALADLQQMQSVHLEKLSDIQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLNADGPSKDFNEFRTKLAGLTSVTRNYFENLVRALENGLSDVDSRGACSRMGNSKGLGGGSSRGRSGKGKGSTSRPSSSSRNIDDSGHWSCEYCTFANVKSATTCLMCQQRR >KJB46141 pep chromosome:Graimondii2_0_v6:7:58079030:58087913:-1 gene:B456_007G350700 transcript:KJB46141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDDFDMHDANNESGEDDFYSGGEDDAGAMAVYDDSDADVADYEFIDNDSDDSDDLISHRHQQNYTILSEVDIRQHQEDDIMRISTVLSISKVEASILLRYYSWSVSKVHDEWFADEEKVRRSVGLLEKPVVPFPDGREMTCGICFENHSYDRLHAAACGHPFCKSCWAGYISTAINDGPGCLMLRCPDPSCAAVVGQDMVNALASVEDRQKYFRYFIRSYVEDNRKTKWCPAPGCDYAVEFILGSGSYDVTCHCSYSFCWKCAEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGSWSEHGERTGGFYACNRYETAKQDGVYDEAEKRREMAKNSLERYTHYYERWATNQSSRQKALADLQQMQSVHLEKLSDIQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLNADGPSKDFNEFRTKLAGLTSVTRNYFENLVRALENGLSDVDSRGACSRMGNSKGLGGGSSRGRSGKGKGSTSRPSSSSRNIDDSGHWSCEYCTFANVKSATTCLMCQQRR >KJB46144 pep chromosome:Graimondii2_0_v6:7:58080511:58087633:-1 gene:B456_007G350700 transcript:KJB46144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDDFDMHDANNESGEDDFYSGGEDDAGAMAVYDDSDADVADYEFIDNDSDDSDDLISHRHQQNYTILSEVDIRQHQEDDIMRISTVLSISKVEASILLRYYSWSVSKVHDEWFADEEKVRRSVGLLEKPVVPFPDGREMTCGICFENHSYDRLHAAACGHPFCKSCWAGYISTAINDGPGCLMLRCPDPSCAAVVGQDMVNALASVEDRQKYFRYFIRSYVEDNRKTKWCPAPGCDYAVEFILGSGSYDVTCHCSYSFCWKCAEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGSWSEHGERTGGFYACNRYETAKQDGVYDEAEKRREMAKNSLERYTHYYERWATNQSSRQKALADLQQMQSVHLEKLSDIQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGNNSPFIIYSFIFLFYLLLAICTSVVKVCAFALCLEQKQFRPFQTRHI >KJB46145 pep chromosome:Graimondii2_0_v6:7:58080511:58087633:-1 gene:B456_007G350700 transcript:KJB46145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDDFDMHDANNESGEDDFYSGGEDDAGAMAVYDDSDADVADYEFIDNDSDDSDDLISHRHQQNYTILSEVDIRQHQEDDIMRISTVLSISKVEASILLRYYSWSVSKVHDEWFADEEKVRRSVGLLEKPVVPFPDGREMTCGICFENHSYDRLHAAACGHPFCKSCWAGYISTAINDGPGCLMLRCPDPSCAAVVGQDMVNALASVEDRQKYFRYFIRSYVEDNRKTKWCPAPGCDYAVEFILGSGSYDVTCHCSYSFCWKCAEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGSWSEHGERTGGFYACNRYETAKQDGVYDEAEKRREMAKNSLERYTHYYERWATNQSSRQKALADLQQMQSVHLEKLSDIQCQPESQLKFITEAWLQVISVIHLALSSLLLELITATLIVQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGNNSPFIIYSFIFLFYLLLAICTSVVKVCAFALCLEQKQFRPFQTRHI >KJB46142 pep chromosome:Graimondii2_0_v6:7:58079030:58087913:-1 gene:B456_007G350700 transcript:KJB46142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDDFDMHDANNESGEDDFYSGGEDDAGAMAVYDDSDADVADYEFIDNDSDDSDDLISHRHQQNYTILSEVDIRQHQEDDIMRISTVLSISKVEASILLRYYSWSVSKVHDEWFADEEKVRRSVGLLEKPVVPFPDGREMTCGICFENHSYDRLHAAACGHPFCKSCWAGYISTAINDGPGCLMLRCPDPSCAAVVGQDMVNALASVEDRQKYFRYFIRSYVEDNRKTKWCPAPGCDYAVEFILGSGSYDVTCHCSYSFCWKCAEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGSWSEHGERTGGFYACNRYETAKQDGVYDEAEKRREMAKNSLERYTHYYERWATNQSSRQKALADLQQMQSVHLEKLSDIQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLNADGPSKDFNEFRTKLAGLTSVTRNYFENLVRALENGLSDVDSRGACSRMGNSKGLGGGSSRGRSGKGKGSTSRPSSSSRNIDDSGHWSCEYCTFANVKSATTCLMCQQRR >KJB40304 pep chromosome:Graimondii2_0_v6:7:3980847:3984504:-1 gene:B456_007G056400 transcript:KJB40304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLEMITNTSPRKGVNNVHPTPPPLPAKAPEYGRKGIFMSKRSAVTRYSSASSTARDLWDSLFDGGYRADVTIKTDDGGIIYAHANVLGMASPVLRGMLKRAKGFGKKRSISIHGVHQDAVRVFIRFLYSSRYKYEEMKEFVVPLLVLSHAYAVSSLKRVCEQQLEHGLLNLENVVDIFQLSLLCNAPRLTLISHRMILSNFKAVSATEGWKSMRNSHPGLEKELLESVIEEENNQKEKIRKSKERKIYLELFEAMEALVHICRDGCRTIGPHDKDFNQNQTPCKYRACKGLELLVRHFAGCKLRVPGGCIHCKRMWQLLELHSRLCADSTSCRVPLCSNFKEKVKKQSKKDEIKWKILVKKILRTKRIGGSVSFIASSTSMSS >KJB45541 pep chromosome:Graimondii2_0_v6:7:52505929:52507821:-1 gene:B456_007G311000 transcript:KJB45541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNWEESLNHFERMIDSGTESMRIKAVIKLSKLSNQAPEYILSQTIPILSNLIEDDSSNNSSPHQGLVVHCLKCIARQGDDGRLATEIGQSGALCSILRLLPQSNASVQKICLKCIWCLVNLCNENRLIVAMNGGLEIIVNMLSSSMDGVRRYLLEILSALSLVRVVRRGLVSLGGLRFLVEAARVGNMLSRERACQAIGLLGITRRVRRMLVDLGVIDVLMELLRVGDGALKVVAGNTLGVILANIDYIDLVAQSGAIPLFAKLIQGSESVGQEIAEDAFCLLAVGEANAVVIAEHLVRILREGNADSKAAAANVFWDLSGYKHTVPVIRNSGAIPLLVELLSSQSNEVRETVSGAIAQLSYSQADREALNESGAVPLLLDLLHDDSEELKDNAAEALINFFDDTLQHETISQVVDHPSFRSMQNRLGRIRGASDNQTVSSMRQMNIDQAEPTRD >KJB45542 pep chromosome:Graimondii2_0_v6:7:52505929:52507749:-1 gene:B456_007G311000 transcript:KJB45542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNWEESLNHFERMIDSGTESMRIKAVIKLSKLSNQAPEYILSQTIPILSNLIEDDSSNNSSPHQGLVVHCLKCIARQGDDGRLATEIGQSGALCSILRLLPQSNASVQKICLKCIWCLVNLCNENRLIVAMNGGLEIIVNMLSSSMDGVRRYLLEILSALSLVRVVRRGLVSLGGLRFLVEAARVGNMLSRERACQAIGLLGITRRVRRMLVDLGVIDVLMELLRVGDGALKVVAGNTLGVILANIDYIDLVAQSGAIPLFAKLIQGSESVGQEIAEDAFCLLAVGEANAVVIAEHLVRILREGNADSKAAAANVFWDLSGYKHTVPVIRNSGAIPLLVELLSSQSNEVRETVSGAIAQLSYSQADREALNESGAVPLLLDLLHDDSEELKDNAAEALINFFDDTLQHETISQVVDHPSFRSMQNRLGRIRGASDNQTVSSMRQMNIDQAEPTRD >KJB45540 pep chromosome:Graimondii2_0_v6:7:52505375:52507616:-1 gene:B456_007G311000 transcript:KJB45540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNWEESLNHFERMIDSGTESMRIKAVIKLSKLSNQAPEYILSQTIPILSNLIEDDSSNNSSPHQGLVVHCLKCIARQGDDGRLATEIGQSGALCSILRLLPQSNASVQKICLKCIWCLVNLCNENRLIVAMNGGLEIIVNMLSSSMDGVRRYLLEILSALSLVRVVRRGLVSLGGLRFLVEAARVGNMLSRERACQAIGLLGITRRVRRMLVDLGVIDVLMELLRVGDGALKVVAGNTLGVILANIDYIDLVAQSGAIPLFAKLIQGSESVGQEIAEDAFCLLAVGEANAVVIAEHLVRILREGNADSKAAAANVFWDLSGYKHTVPVIRNSGAIPLLVELLSSQSNEVRETVSGAIAQLSYSQADREALNESGAVPLLLDLLHDDSEELKDNAAEALINFFDDTLQHETISQVVDHPSFRSMQNRLGRIRGASDNQTVSSMRQMNIDQAEPTRDYDAGIYRRSSFGLIEASMQTCSMTIFLKVVHFHFDSSLIKSKNTYI >KJB45112 pep chromosome:Graimondii2_0_v6:7:49635276:49636650:1 gene:B456_007G290100 transcript:KJB45112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLSDNGFLRPGYLICDTIQVSRGFTCWTVVKPVPWISFLLTSFTIAFLEITLFKGIIGKPEGMLIVVVRNSLWQQHAADMQIKVAIVASVTNRMAKTTTSRTSKLIIVRQWHH >KJB40937 pep chromosome:Graimondii2_0_v6:7:5995137:5996529:-1 gene:B456_007G083700 transcript:KJB40937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRKTQSFKRRCVFSYLSGSKKICIPCLFFCFSKYQGFTAQLLQTVKMKNLKLRPLMMLLVPSRLSSVSL >KJB46660 pep chromosome:Graimondii2_0_v6:7:6077915:6079255:1 gene:B456_007G0851002 transcript:KJB46660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRMVKRGAVAGGSKRTPRNTRGASKSENPPAEPAQEAVNAKEASIPVEEVVEAVKVDEKPTPEQKEVIEEGNTGLNLNSNGSVSMKKENDSQESMEEYEKDERLELDDNEPEYEAEEYGGVDYDEKEMDPDEVEDEVEEVIEEEQDGEDEDIHEVEVEGDADDDEHPGEEAEHADLDDATEHEERHEVVQERRKRKEFEVFVGGLDKDATEDDIRKVFNQVGEIVEV >KJB39322 pep chromosome:Graimondii2_0_v6:7:536754:538635:1 gene:B456_007G006400 transcript:KJB39322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTAAQPRSSKTESYVDNKRKEDIRQANIKAARAVADAVRTSLGPKGMDKMISTANGEVIITNDGATILNKMEVLQPAAKMLVDLSKSQDSAAGDGTTTVVVIAGALLKQCLLLLTNGIHPTVISDSLHKASTKAVDVLTAMAVPLEISDRESLIKSASTSLNSKVVSQYSTLLAPLAVDSVLSVVDPAKPDMVDLRDIKIVKKLGGTVDDTELVKGLVFDKKASHAAGGPTRMENAKIAVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERNYILGMIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKIMVIKDVEREDIEFITKTLNCLPIANIEHFRAEKLGHADLVEEVSLGDGKIVKVTGIKDMGRTTTVLIRGSNQLVIDEAERSLHDALCVVRCLVSKRFLIAGGGAPEIELSRQLGAWSKVLHGMEGYCVRSFAEALEVIPYTLAENAGLNPIAIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVNVRIMKWQSTAKYLLSSRILYGGFFLNFLFCLFVFFSKLCFLVNNETIHMQHAHLTIQALL >KJB42044 pep chromosome:Graimondii2_0_v6:7:10904668:10904970:-1 gene:B456_007G134000 transcript:KJB42044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSKLISSSYLSQFFGLDLEDWMTANTRNMVVVLSEIVDWGVLFAVICWYLWKSRNKAIFQQDNLNSDKILGKVESLAINICNTTRIEGLNSTIRSVHVK >KJB39016 pep chromosome:Graimondii2_0_v6:7:49266706:49267731:1 gene:B456_007G2867001 transcript:KJB39016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETFCLWQGFLFNFHFKTFSTLCWDKVRCWDKQEVSLSAFAFLFSKLVQYNQTRVDNIAELERRLEDAGY >KJB46211 pep chromosome:Graimondii2_0_v6:7:58287131:58293702:-1 gene:B456_007G352600 transcript:KJB46211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTLKNGVENNKKDLSAMPSYVPSVPTSTSSGAEANAIRSSQLPDFGNLDQFRIEDAIDLTRNPLFNQIKSSSQALADAQFGALSKTLASADNNLSAAVVGSQTLPLQKDNQPNLPHISVGRENWGDSNMADGSPRTDTSTDDTEEKNQRFGRGQTNAIVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSTGDQSHSMSGNGALAFDVEYSRWLEEHNKHINELRAAVNSHAGDAELRTIVDSVTAHFDDIFRLKGIAAKADVFHILSGMWKTPAERCFLWIGGFRSSELLKLLASQLEPLTEQQFMGIQNLQQSSQQAEDALSQGMEALQQSLAETLANGSPAPSGPSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >KJB46205 pep chromosome:Graimondii2_0_v6:7:58287131:58293258:-1 gene:B456_007G352600 transcript:KJB46205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTLKNGVENNKKDLSAMPSYVPSVPTSTSSGAEANAIRSSQLPDFGNLDQFRIEDAIDLTRNPLFNQIKSSSQALADAQFGALSKTLASADNNLSAAVVGSQTLPLQKDNQPNLPHISVGRENWGDSNMADGSPRTDTSTDDTEEKNQRFGRGQTNAIVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSTGDQSHSMSGNGALAFDVEYSRWLEEHNKHINELRAAVNSHAGDAELRTIVDSVTAHFDDIFRLKGIAAKADVFHILSGMWKTPAERCFLWIGGFRSSELLKLLASQLEPLTEQQFMGIQNLQQSSQQAEDALSQGMEALQQSLAETLANGSPAPSGPSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >KJB46210 pep chromosome:Graimondii2_0_v6:7:58287504:58292937:-1 gene:B456_007G352600 transcript:KJB46210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTLKNGVENNKKDLSAMPSYVPSVPTSTSSGAEANAIRSSQLPDFGNLDQFRIEDAIDLTRNPLFNQIKSSSQALADAQFGALSKTLASADNNLSAAVVGSQTLPLQKDNQPNLPHISVGRENWGDSNMADGSPRTDTSTDDTEEKNQRFGRGQTNAIVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSTGDQSHSMSGNGALAFDVEYSRWLEEHNKHINELRAAVNSHAGDAELRTIVDSVTAHFDDIFRLKGIAAKADVFHILSGMWKTPAERCFLWIGGFRSSELLKLLASQLEPLTEQQFMGIQNLQQSSQQAEDALSQGMEALQQSLAETLANGSPAPSGPSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >KJB46207 pep chromosome:Graimondii2_0_v6:7:58287131:58291098:-1 gene:B456_007G352600 transcript:KJB46207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSPRTDTSTDDTEEKNQRFGRGQTNAIVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSTGDQSHSMSGNGALAFDVEYSRWLEEHNKHINELRAAVNSHAGDAELRTIVDSVTAHFDDIFRLKGIAAKADVFHILSGMWKTPAERCFLWIGGFRSSELLKLLASQLEPLTEQQFMGIQNLQQSSQQAEDALSQGMEALQQSLAETLANGSPAPSGPSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >KJB46209 pep chromosome:Graimondii2_0_v6:7:58287131:58293411:-1 gene:B456_007G352600 transcript:KJB46209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTLKNGVENNKKDLSAMPSYVPSVPTSTSSGAEANAIRSSQLPDFGNLDQFRIEDAIDLTRNPLFNQIKSSSQALADAQFGALSKTLASADNNLSAAVVGSQTLPLQKDNQPNLPHISVGRENWGDSNMADGSPRTDTSTDDTEEKNQRFGRGQTNAIVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSTGDQSHSMSGNGALAFDVEYSRWLEEHNKHINELRAAVNSHAGDAELRTIVDSVTAHFDDIFRLKGIAAKADVFHILSGMWKTPAERCFLWIGGFRSSELLKQSSQQAEDALSQGMEALQQSLAETLANGSPAPSGPSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >KJB46206 pep chromosome:Graimondii2_0_v6:7:58287131:58289135:-1 gene:B456_007G352600 transcript:KJB46206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFDMARTFMDCYTGALAFDVEYSRWLEEHNKHINELRAAVNSHAGDAELRTIVDSVTAHFDDIFRLKGIAAKADVFHILSGMWKTPAERCFLWIGGFRSSELLKLLASQLEPLTEQQFMGIQNLQQSSQQAEDALSQGMEALQQSLAETLANGSPAPSGPSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >KJB46208 pep chromosome:Graimondii2_0_v6:7:58287057:58293498:-1 gene:B456_007G352600 transcript:KJB46208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTLKNGVENNKKDLSAMPSYVPSVPTSTSSGAEANAIRSSQLPDFGNLDQFRIEDAIDLTRNPLFNQIKSSSQALADAQFGALSKTLASADNNLSAAVVGSQTLPLQKDNQPNLPHISVGRENWGDSNMADGSPRTDTSTDDTEEKNQRFGRGQTNAIVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSTGDQSHSMSGNGALAFDVEYSRWLEEHNKHINELRAAVNSHAGDAELRTIVDSVTAHFDDIFRLKGIAAKADVFHILSGMWKTPAERCFLWIGGFRSSELLKLLASQLEPLTEQQFMGIQNLQQSSQQAEDALSQGMEALQQSLAETLANGSPAPSGPSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >KJB45766 pep chromosome:Graimondii2_0_v6:7:54607928:54610268:-1 gene:B456_007G326600 transcript:KJB45766 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC35 [Source:Projected from Arabidopsis thaliana (AT1G78870) UniProtKB/TrEMBL;Acc:A0A178WFI9] MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGRVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >KJB45767 pep chromosome:Graimondii2_0_v6:7:54607556:54610404:-1 gene:B456_007G326600 transcript:KJB45767 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC35 [Source:Projected from Arabidopsis thaliana (AT1G78870) UniProtKB/TrEMBL;Acc:A0A178WFI9] MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >KJB45765 pep chromosome:Graimondii2_0_v6:7:54607508:54610434:-1 gene:B456_007G326600 transcript:KJB45765 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC35 [Source:Projected from Arabidopsis thaliana (AT1G78870) UniProtKB/TrEMBL;Acc:A0A178WFI9] MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >KJB45297 pep chromosome:Graimondii2_0_v6:7:51082328:51088099:-1 gene:B456_007G299200 transcript:KJB45297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNDVSSDQVIKKLIEMGFEHSNAIEAVKAVGPSVDDAVEYVLNGCGRNPLSGTTSFQCSSRDVKNLGKRVMTSSQSNGEIRQSRIWEHFQPTSKPKRSRGNDVSDGVMSGSKVLPSPVEEHKEPNLLIDNQFENVAEPSQVCCSEELNIGTNWELKVNGLLRKHFGYSSLKSFQKEALAALLAHEDCLVLAATGSGKSLCFQIPALLTGKVVVVVSPLISLMHDQCLKLSEHGVSACFLGSGQPDSSVEQKAMRGMYSIIYVCPETILRLIKPLQRLAESRGITLFAIDEAHCISKWGHDFRPDYRRLSVLRENFSARNLKFLKYDIPIMALTATATVQVRQDILDSLCMSKETKTVVTSFFRPNLRFSVKHSRKSVSSYGTDFCQLIDVYARKKKDDGMKQPLVSHESHCPSDSDKFSGSGFNDIQNSDIEESDDESSEKENGSIPSRGRQMSVEYLENEVDVFQSAEDWDVAYGEFSGQVHCEEWNSHGSIEKIDPPNKPEERLRLLQEPLEQGPTIIYVPTRKETLSIAKYLCKFGVKAAAYNAALPKSHLRQVHKEFHEDSLQVVVATMAFGMGIDKLNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCILYVNLSRMPTLLPSKRSEDQAKRAYKMLSDFFRYGMNTSCCRAKILVEYFGEDFGDKKCLLCDICVDGPPKMLDLKEEANILMQMIAARYAESGFMDYSYDDSLCSDTERQKFLVKPNLRAFVNKIREQSQKFITTDLLWWKGLARIMEAKGYIREGDDKIHVQIKFPEPTKRGLEFLQSESDEGFHVYPEADMLYSLRKPRAYSSFSDWGKGWANPEIRRQRLDKIRSSNRKPRKPRIRKSRKHFSDTSTSRGRISAKISQKK >KJB42312 pep chromosome:Graimondii2_0_v6:7:12510943:12512806:-1 gene:B456_007G147900 transcript:KJB42312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRVALVNYGKDYGKLVVIVDVVDQNRALVDAPDTVRSQMNFKRLTLTDIKIDINRVPKKKTLIDAMEKADVKNKWENSSWGRKLIVQKRRASLNDFDRFKLMLAKIKKAGIVRQELAKLKKETAA >KJB41768 pep chromosome:Graimondii2_0_v6:7:9396646:9398290:1 gene:B456_007G119300 transcript:KJB41768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:Projected from Arabidopsis thaliana (AT3G15580) UniProtKB/TrEMBL;Acc:A0A178VBL7] MGKIPSFKDEFTFDQRLEESRDIIAKYPNRVPVIVERYSKADLPEMEKKKYLVPRDMSVGQFIHILSLRLRLSPGKALFVFVKNTLPQTATLMDSLYESFKEDDGFLYMCYSSEKTFGCATNQITQV >KJB46281 pep chromosome:Graimondii2_0_v6:7:58748721:58748975:-1 gene:B456_007G3565001 transcript:KJB46281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAMPLLKLPCLCSSPPSLAPLPPLFLLIRRPRVVASVKAMLTATPVSPPPTSSPSALTAALVPRD >KJB45196 pep chromosome:Graimondii2_0_v6:7:50535915:50537768:1 gene:B456_007G294900 transcript:KJB45196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPSLPLWLPSMKHRRNQLHIWNWAHPFFFFFFLSFKTPLPCFSLTLSSLSNAPFLTMSYTTHHGVFSLFFLLFLLLSPPPSVAQNFNQDEENYARFSPSMAVIIVVLIAALFFVGLFAIYIRNCSDVYTNRQSIRPVAGRSMRGTRGLDASVIETFPIMVYSEVKGHKIGKGALECAVCLNEFEDDETLRLIPKCDHVFHPECIDAWLASHTTCPVCRANLVPQPGEPVSQLTALNDTAVESDLEAQNNGSNSEPEEERSINNNAVNCQVEAQVASEVEVNNLNVTLNRNRTRGSRSGRPRKLSFLRSHSTGHSLVQPGENTDRFTLRLPVDVRKQLMNRKLNRATSLVLPRERSSRRGYRATEDGGSNRGKLDRGAKSDSV >KJB45195 pep chromosome:Graimondii2_0_v6:7:50535719:50537350:1 gene:B456_007G294900 transcript:KJB45195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPSLPLWLPSMKHRRNQLHIWNWAHPFFFFFFLSFKTPLPCFSLTLSSLSNAPFLTMSYTTHHGVFSLFFLLFLLLSPPPSVAQNFNQDEENYARFSPSMAVIIVVLIAALFFVGLFAIYIRNCSDVYTNRQSIRPVAGRSMRGTRGLDASVIETFPIMVYSEVKGHKIGKGALECAVCLNEFEDDETLRLIPKCDHVFHPECIDAWLASHTTCPVCRANLVPQPGEPVSQLTALNDTAVESDLEAQNNGSNSEPEEERSINNNAVNCQVEAQVASEVEVNNLNVTLNRNRTRGSRSGRPRKLSFLRSHSTGHSLVQPGENTDRFTLRLPVDVRKQLMNRKLNRATSLVLPRERSSRRGYRATEDGGSNRGKLDRGAKSDRWVFSMTPPFFNRASSVKSLKVAAHDGEGTSSNLPVGPMANSSRPPV >KJB40959 pep chromosome:Graimondii2_0_v6:7:6069013:6069574:-1 gene:B456_007G085000 transcript:KJB40959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KIRTVEQDGKTIKLQIWDTAGQERFRTITSNYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTENKVVSYEIAKVYVMFGCEI >KJB42405 pep chromosome:Graimondii2_0_v6:7:13057287:13060959:1 gene:B456_007G152600 transcript:KJB42405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAINRQQVLLNHLQPSSQSHQSSAAILFPSNCAAGDSAAYHRTAAFGDDVVIVAACRTAICKAKRGGFKDTLADDLLAPVLRAVIDRTKLNPSEVGDIVVGTVLAPGSQRAMECRMAAFYAGFPDTVPIRTVNRQCSSGLQAVADVAACIKAGFYDIGIGAGLESMTTNGSAPDQSKVNPKVKSFAQARDCLLPMGITSENVAQRYGVTRQEQDQAAVESHRRAAAATASGKFKEEIVPVSTKIVDPKTGEEKAVTISVDDGIRPDTNTAGLAKLKPAFKKDGTTTAGNASQVSDGAGAVLLMKRSLALQKGLPILGVFRSFAAVGVDPAVMGIGPAVAIPAAVKSAGLEIDDIDLFEINEAFASQFVYSCKKLGLDREKVNVNGGAIALGHPLGVTGARCVGTLLYEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDCVDELCNARAVKN >KJB42404 pep chromosome:Graimondii2_0_v6:7:13057287:13060959:1 gene:B456_007G152600 transcript:KJB42404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAINRQQVLLNHLQPSSQSHQSSAAILFPSNCAAGDSAAYHRTAAFGDDVVIVAACRTAICKAKRGGFKDTLADDLLAPVLRAVIDRTKLNPSEVGDIVVGTVLAPGSQRAMECRMAAFYAGFPDTVPIRTVNRQCSSGLQAVADVAACIKAGFYDIGIGAGLESMTTNGSAPDQSKVNPKVKSFAQARDCLLPMGITSENVAQRYGVTRQEQDQAAVESHRRAAAATASGKFKEEIVPVSTKIVDPKTGEEKAVTISVDDGIRPDTNTAGLAKLKPAFKKDGTTTAGNASQVSDGAGAVLLMKRSLALQKGLPILGVFSFAAVGVDPAVMGIGPAVAIPAAVKSAGLEIDDIDLFEINEAFASQFVYSCKKLGLDREKVNVNGGAIALGHPLGVTGARCVGTLLYEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDCVDELCNARAVKN >KJB42403 pep chromosome:Graimondii2_0_v6:7:13058076:13060740:1 gene:B456_007G152600 transcript:KJB42403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVIDRTKLNPSEVGDIVVGTVLAPGSQRAMECRMAAFYAGFPDTVPIRTVNRQCSSGLQAVADVAACIKAGFYDIGIGAGLESMTTNGSAPDQSKVNPKVKSFAQARDCLLPMGITSENVAQRYGVTRQEQDQAAVESHRRAAAATASGKFKEEIVPVSTKIVDPKTGEEKAVTISVDDGIRPDTNTAGLAKLKPAFKKDGTTTAGNASQVSDGAGAVLLMKRSLALQKGLPILGVFRSFAAVGVDPAVMGIGPAVAIPAAVKSAGLEIDDIDLFEINEAFASQFVYSCKKLGLDREKVNVNGGAIALGHPLGVTGARCVGTLLYEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDCVDELCNARAVKN >KJB46249 pep chromosome:Graimondii2_0_v6:7:58462865:58469010:-1 gene:B456_007G353900 transcript:KJB46249 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLDH [Source:Projected from Arabidopsis thaliana (AT3G47930) UniProtKB/TrEMBL;Acc:A0A178VN92] MFRSLSLKRSFKTHLKTLIHCPQHPPPPPPSSPVLFSSIRALSTASSPPSSSSDSELRKYLGYTALLAFCGVATYYSFPFSENAKHKKAQLFRYAPLPEDLHTVSNWSGTHEVQTRHFHQPENLKQLEELVKESNEKRVKLRPVGSGLSPNGIGLARGGMVNLALMDKVLEVDKEKKRVKVQAGIRVQQLVDEIKDYGLTLQNFASIREQQIGGILQVGAHGTGAKLPPIDEQIISMKLVTPAKGTIELSKEKDPELFYLARCGLGGLGVVAEVTIQCVERQELVEHTTVSNLKDLKKNHKKMLSENKHVKYLYIPYTDTVVVVTCNPVSKWRGPPKFKPKHTTDEAMQDIRELYKESLKKYRARDITTKSSDSNEPNINDFSFTELRDKLLSLDPLNKDHVMKVNHAEAEFWRKSEGYRVGWSDDILGFDCGGQQWVSETCFPAGTLSKPSMKDLEYIEELKKLIETNELPAPAPIEQRWTARSQSPMSPASSSAEDDIFSWVGIIMYLPTMDARQRKEITEEFFHYRHLTQSQLWDKYSAYEHWAKIEVPKDKEELEALQARLKTRFPVDAYNKARRELDPNRILSNNILEKLFPLSDNV >KJB46250 pep chromosome:Graimondii2_0_v6:7:58462926:58467162:-1 gene:B456_007G353900 transcript:KJB46250 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLDH [Source:Projected from Arabidopsis thaliana (AT3G47930) UniProtKB/TrEMBL;Acc:A0A178VN92] MKLVTPAKGTIELSKEKDPELFYLARCGLGGLGVVAEVTIQCVERQELVEHTTVSNLKDLKKNHKKMLSENKHVKYLYIPYTDTVVVVTCNPVSKWRGPPKFKPKHTTDEAMQDIRELYKESLKKYRARDITTKSSDSNEPNINDFSFTELRDKLLSLDPLNKDHVMKVNHAEAEFWRKSEGYRVGWSDDILGFDCGGQQWVSETCFPAGTLSKPSMKDLEYIEELKKLIETNELPAPAPIEQRWTARSQSPMSPASSSAEDDIFSWVGIIMYLPTMDARQRKEITEEFFHYRHLTQSQLWDKYSAYEHWAKIEVPKDKEELEALQARLKTRFPVDAYNKARRELDPNRILSNNILEKLFPLSDNV >KJB46251 pep chromosome:Graimondii2_0_v6:7:58463821:58468921:-1 gene:B456_007G353900 transcript:KJB46251 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLDH [Source:Projected from Arabidopsis thaliana (AT3G47930) UniProtKB/TrEMBL;Acc:A0A178VN92] MFRSLSLKRSFKTHLKTLIHCPQHPPPPPPSSPVLFSSIRALSTASSPPSSSSDSELRKYLGYTALLAFCGVATYYSFPFSENAKHKKAQLFRYAPLPEDLHTVSNWSGTHEVQTRHFHQPENLKQLEELVKESNEKRVKLRPVGSGLSPNGIGLARGGMVNLALMDKVLEVDKEKKRVKVQAGIRVQQLVDEIKDYGLTLQNFASIREQQIGGILQVGAHGTGAKLPPIDEQIISMKLVTPAKGTIELSKEKDPELFYLARCGLGGLGVVAEVTIQCVERQELVEHTTVSNLKDLKKNHKKMLSENKHVKYLYIPYTDTVVVVTCNPVSKWRGPPKFKPKHTTDEAMQDIRELYKESLKKYRARDITTKSSDSNEPNINDFSFTELRDKLLSLDPLNKDHVMKVNHAEAEFWRKSEGYRVGWSDDILGFDCGGQQWVSETCFPAGTLSKPSMKDLEYIEELKKLIETNELPAPAPIEQRWTARSQSPMSPASSSAEDDIFSWVGIIMYLPTMDARQRKEITEEFFHYRHLTQSQLWDKYSAYEHWAKIEVMNISQKIFVFGIVYEYTVTRIAHSP >KJB45600 pep chromosome:Graimondii2_0_v6:7:52876630:52879576:1 gene:B456_007G315000 transcript:KJB45600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIEHALHIIYHHHCNNAQIDDDLYTASVRFRLLREHGCNTFNKFIDEKGEFKESLISDVKGMLELYKAAHFQLHGETILEEALAWTMFHLKLAETILPRLVARSYIPYICEGSATLDKNLMKFAKLDFNMVQHLHKEELSKINRWWRLYFEPVYTIAITFMIKVISLTSILDDIYDAYGTYEELEIFMEAIQRWDIDQLPDYMKQSQVYHAEAKWLHENYIPTMEEYMPIALVSCGYPLLTIASCVGMDDSITKETFIWAFNDPKICRASNTIFRLMSDIVNHKFEQERGHVSSAVECYMKQHGVSMQEAYNEFYKQINNAWKDINEECLKPTAATPRSALNRILNLARVMDLFHKGEDAYTHVSDAAKTSINSPLVDSRIQTT >KJB39598 pep chromosome:Graimondii2_0_v6:7:1544588:1547580:1 gene:B456_007G021300 transcript:KJB39598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTMDEAKLKELKEFIDQCKANPSILSDPSLSFFRDYLQSLGANLPSSACGHEAGDSKSVTSYVMEESDEEVADDGEKINLEEEEEEIIESDIELEGDVVEPDNDPPQKMGDSSVEVNDENRDAAQLAKAKAMDAISEGKLEEAIENLTQAILLNPTSAIMYGTRASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGQWEDAAKDLRLASKLDHDEEINSALKKVEPNAHRIEEHRRKYDRLRKEKEDRIIERERRHRRAQAQAEYEKAKKQEQSSSSTKPSEMPGGFPGGMPGGMPGGFPGSMPGGMPGNVDFSKILNDPELMAAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIANMMSKFGGPK >KJB39599 pep chromosome:Graimondii2_0_v6:7:1544613:1546493:1 gene:B456_007G021300 transcript:KJB39599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTMDEAKLKELKEFIDQCKANPSILSDPSLSFFRDYLQSLGANLPSSACGHEAGDSKSVTSYVMEESDEEVADDGEKINLEEEEEEIIESDIELEGDVVEPDNDPPQKMGDSSVEVNDENRDAAQLAKAKAMDAISEGKLEEAIENLTQAILLNPTSAIMYGTRASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGQWEDAAKDLRLASKLDHDEEINSALKKVEPNAHRIEEHRRKYDRLRKEKEDRIIERERRHRRAQAQVILLLFILC >KJB39597 pep chromosome:Graimondii2_0_v6:7:1544537:1547711:1 gene:B456_007G021300 transcript:KJB39597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTMDEAKLKELKEFIDQCKANPSILSDPSLSFFRDYLQSLGANLPSSACGHEAGDSKSSYVMEESDEEVADDGEKINLEEEEEEIIESDIELEGDVVEPDNDPPQKMGDSSVEVNDENRDAAQLAKAKAMDAISEGKLEEAIENLTQAILLNPTSAIMYGTRASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGQWEDAAKDLRLASKLDHDEEINSALKKVEPNAHRIEEHRRKYDRLRKEKEDRIIERERRHRRAQAQAEYEKAKKQEQSSSSTKPSEMPGGFPGGMPGGMPGGFPGSMPGGMPGNVDFSKILNDPELMAAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIANMMSKFGGPK >KJB42764 pep chromosome:Graimondii2_0_v6:7:14829185:14837712:1 gene:B456_007G166900 transcript:KJB42764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNGKLSGSNCRLSANFRLKKAKETMHGPNSFRKWKRNLLFLWLLGFVSTGIIWFLLSFNSVALDSNKKTTDSCEEKARILLQHFNVSKNQFLALASFFYEPDQIAFLECGKHSGLEKPWSDDVTCALKVLCPEKQDSRMQQVQIVKTTELKDQCPVQVENIHSEHDFSLLDHYTYVSQKSVSSISREHHSGGKNILQGSALGVQPKDNFKNLSFCMGKGCWLLLVGVILSCKIPGVCLKLRWKRKNEPAPLQPATQQLQLLLQQKQQQQLDQSPPKGAGKWRKKLLTVFVLLGILTSIWLFWHLNQKIILRREHTLANMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKHPSAIDQKTFGEYTERTAFERPLTSGVAYALKVLHSEREQFEKQHGWTIKKMETEDQTLVQDCLTENLDPAPIKDEYAPVIFSQETVSHIVSIDMMSGQQDLENILRARATGKGVLTSPFKLLKSNHLGVVLTFAVYNKDLPPDAIPEQRTEATVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNASAPISMYGTDITDTGLLHVSSLDFGDPLRKHEMHCRFKQKPPLPWMAINASVGVLVITLLVGHIFHAAICRIAKVENDCREMMELKARAEAADVAKSQFLATVSHEIRTPMNGVLGMLKMLMDTDLDAIQRDYAETAHASGKDLISLINEVLDQAKIESGRLELEDVPFDLRNLLDSILSLSSDKSNDKGIESAVYVSDRVPEVVVGDPGRFRQIITNLVGNSIKFTQDKGHIFVSVHLVDEMKGTSDVGDKVLQQGLNLVQDMSSKTYNTLSGFPVVDRWRSWENFKMLNGKDAKDDPEKIRLLVTVEDTGVGIRLDAQDQIFTPFVQADSSTSRHYGGTGIGLSISKHLVELMHGEIGFASEPDVGSTFSFTGAFGKGEVSSLDSKWKQYDPVVSEFQGLGALIIDNRSIRAEVTKYHLRRLGISVDITSSLESACTYVSSIFTTSTFAHLAMILIDKDVWNQETVLQLRSLLEQHRKNCKLNVSTNLPKIFLLGTSMSPVERSKLKTSGFVDNVLMKPLRLSVLIACFQEALGGTKGKVRGKKMSTLGSLLREKRILVVDDNKVNRRVAEGALKKYGAIVTCVERGHDALNKLKPPHTFDACFMDLQMPEMDGFEATRQIRSAENEVNEKLASGEVSIEMYGNVSHWHIPILAMTADVIQATYEKCLKCGMDDYVSKPFEEEQLYLAVARFFESGL >KJB42765 pep chromosome:Graimondii2_0_v6:7:14830271:14837712:1 gene:B456_007G166900 transcript:KJB42765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQVQIVKTTELKDQCPVQVENIHSEHDFSLLDHYTYVSQKSVSSISREHHSGGKNILQGSALGVQPKDNFKNLSFCMGKGCWLLLVGVILSCKIPGVCLKLRWKRKNEPAPLQPATQQLQLLLQQKQQQQLDQSPPKGAGKWRKKLLTVFVLLGILTSIWLFWHLNQKIILRREHTLANMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKHPSAIDQKTFGEYTERTAFERPLTSGVAYALKVLHSEREQFEKQHGWTIKKMETEDQTLVQDCLTENLDPAPIKDEYAPVIFSQETVSHIVSIDMMSGQQDLENILRARATGKGVLTSPFKLLKSNHLGVVLTFAVYNKDLPPDAIPEQRTEATVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNASAPISMYGTDITDTGLLHVSSLDFGDPLRKHEMHCRFKQKPPLPWMAINASVGVLVITLLVGHIFHAAICRIAKVENDCREMMELKARAEAADVAKSQFLATVSHEIRTPMNGVLGMLKMLMDTDLDAIQRDYAETAHASGKDLISLINEVLDQAKIESGRLELEDVPFDLRNLLDSILSLSSDKSNDKGIESAVYVSDRVPEVVVGDPGRFRQIITNLVGNSIKFTQDKGHIFVSVHLVDEMKGTSDVGDKVLQQGLNLVQDMSSKTYNTLSGFPVVDRWRSWENFKMLNGKDAKDDPEKIRLLVTVEDTGVGIRLDAQDQIFTPFVQADSSTSRHYGGTGIGLSISKHLVELMHGEIGFASEPDVGSTFSFTGAFGKGEVSSLDSKWKQYDPVVSEFQGLGALIIDNRSIRAEVTKYHLRRLGISVDITSSLESACTYVSSIFTTSTFAHLAMILIDKDVWNQETVLQLRSLLEQHRKNCKLNVSTNLPKIFLLGTSMSPVERSKLKTSGFVDNVLMKPLRLSVLIACFQEALGGTKGKVRGKKMSTLGSLLREKRILVVDDNKVNRRVAEGALKKYGAIVTCVERGHDALNKLKPPHTFDACFMDLQMPEMDGFEATRQIRSAENEVNEKLASGEVSIEMYGNVSHWHIPILAMTADVIQATYEKCLKCGMDDYVSKPFEEEQLYLAVARFFESGL >KJB42355 pep chromosome:Graimondii2_0_v6:7:12644274:12645904:-1 gene:B456_007G149400 transcript:KJB42355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KJB44127 pep chromosome:Graimondii2_0_v6:7:31534084:31536837:-1 gene:B456_007G236000 transcript:KJB44127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPYRSSQGLSARPVGNSDEIQLRIDPVHADLDEKISGLHKQVTQLKHVAQEIETEARIQNEFVSDLQMLMSRAQAGVKSGMTKLNRTVAQQRSNHILQVIIFGLTCFSIVYLWSKHFKR >KJB43374 pep chromosome:Graimondii2_0_v6:7:19709698:19714224:-1 gene:B456_007G196700 transcript:KJB43374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGLIFFLSLLLCSPFLSQAEKNQVEIQALTSFKLNLYDPLGALNWWDPSTPAAPCDWQGVACSNNRVTELRLPQLQLSGRLTDRLSDLKFLTRLSLRSNSFNGTIPSTLSQCKLLRAVFLQYNSLTGTLPSGLSNLTDLAVLNLAQNHLSGEISGDLPRNIKYLDLSSNSFSGSIPTSIANLSQLQLINLSYNHFSGQIPATFGELQQLQYLWLDYNLLQGTLPSALANCSSLVHFSAEGNLLGGVIPAAIGALPKLQVVSLSHNNLSGTVPVSLFCNVTVYPPSIRIVQLGFNLFTHVVGPESEKCYSVLQVLDLSGNQIGGVFPLWLTRLTTLSMLDVSDNLFSGEIPVQVGNLSRLEELKMANNSFTGTVPIEIRQCGSLHVLDLGGNRFSGDIPAILGDMTGLKVLSLGGNLFSGSVPGSFGNLTELETLNLGHNNLTGSLPEEIMGLSNLSTLDLSGNMFYGEIPASIGNLSRIAVLNLSANGFSGKMPASFGNLFKLTTLDLSKQNLSGKLPIELSGLPNLQVIALQENMLSGDVPEGFGSLTSLRYVNLSSNSFSGQIPETLSFLHSLVVLSLSNNHITGVIPPEIGNCTGLEVLELGSNSLTGHIPTDLSRLLQLNLLDLGGNNLTGQIPEEISKCSSLTTLLLDANQLSGSIPDSLSKLSNLTVLDISSNNLSGGIPSNLGLISVLIYFNVSRNDLSGEVPVTLGFRFDNPSVFAENRDLCGKPLDRECGDVNDKGKRKRLIVLIVVVVCAACLLLLCCCFYVFSLFRWRKKLKAAAGEKKRSPARASSGSSRGRGSTDNGGPKLVMFNNKITLAETIEATRQFAEENVLSRSRYGLVFKACYNDGMVLSIRRLPDGPLDENMFRKEAEFVGKVKHRNLTVLRGYYAGPPDLRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHASNTVHGDVKPQNVLFDADFEAHLSDFGLDRLTITTPAEASTSTSVGTLGYVSPEAVLRGEATKESDVYSFGIVMLELLTGKRALMFTEDEDIVKWVKKQLQRGQIAELLEPGLLELDPESSEWEEFLLGIKVGLLCTAPDPLDRPTMSDVVFMLEGCRVGPDIPSSADPTSKPSPA >KJB43373 pep chromosome:Graimondii2_0_v6:7:19709672:19714278:-1 gene:B456_007G196700 transcript:KJB43373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGLIFFLSLLLCSPFLSQAEKNQVEIQALTSFKLNLYDPLGALNWWDPSTPAAPCDWQGVACSNNRVTELRLPQLQLSGRLTDRLSDLKFLTRLSLRSNSFNGTIPSTLSQCKLLRAVFLQYNSLTGTLPSGLSNLTDLAVLNLAQNHLSGEISGDLPRNIKYLDLSSNSFSGSIPTSIANLSQLQLINLSYNHFSGQIPATFGELQQLQYLWLDYNLLQGTLPSALANCSSLVHFSAEGNLLGGVIPAAIGALPKLQVVSLSHNNLSGTVPVSLFCNVTVYPPSIRIVQLGFNLFTHVVGPESEKCYSVLQVLDLSGNQIGGVFPLWLTRLTTLSMLDVSDNLFSGEIPVQVGNLSRLEELKMANNSFTGTVPIEIRQCGSLHVLDLGGNRFSGDIPAILGDMTGLKVLSLGGNLFSGSVPGSFGNLTELETLNLGHNNLTGSLPEEIMGLSNLSTLDLSGNMFYGEIPASIGNLSRIAVLNLSANGFSGKMPASFGNLFKLTTLDLSKQNLSGKLPIELSGLPNLQVIALQENMLSGDVPEGFGSLTSLRYVNLSSNSFSGQIPETLSFLHSLVVLSLSNNHITGVIPPEIGNCTGLEVLELGSNSLTGHIPTDLSRLLQLNLLDLGGNNLTGQIPEEISKCSSLTTLLLDANQLSGSIPDSLSKLSNLTVLDISSNNLSGGIPSNLGLISVLIYFNVSRNDLSGEVPVTLGFRFDNPSVFAENRDLCGKPLDRECGDVNDKGKRKRLIVLIVVVVCAACLLLLCCCFYVFSLFRWRKKLKAAAGEKKRSPARASSGSSRGRGSTDNGGPKLVMFNNKITLAETIEATRQFAEENVLSRSRYGLVFKACYNDGMVLSIRRLPDGPLDENMFRKEAEFVGKVKHRNLTVLRGYYAGPPDLRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHASNTVHGDVKPQNVLFDADFEAHLSDFGLDRLTITTPAEASTSTSVGTLGYVSPEAVLRGEATKESDVYSFGIVMLELLTGKRALMFTEDEDIVKWVKKQLQRGQIAELLEPGLLELDPESSEWEEFLLGIKVGLLCTAPDPLDRPTMSDVVFMLEGCRVGPDIPSSADPTSKPSPA >KJB44825 pep chromosome:Graimondii2_0_v6:7:46964994:46969428:1 gene:B456_007G275200 transcript:KJB44825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTNQKFLERVVSQKALQMGSSFPCQICVVGFLCGVCLTSLFLAVLTSVGTFGFTGISFSSLSMGNSPLNSSSEISNVITSSDYQSKVKETARWVDSKGREPESDDDERVSLLTEAWGALLADREPEESEFSKRFGLSKSSLPNTPHLENCKLSAQVNKRLDTRSGAGRFPPWTTWKGSLNMYPATETDENLRSFKNQPVSDGAYPPWIVGSDEENYPLTRKVQSDIWIHQHPVNCHDPNIKFLVADWEKLPGFGIGAQLAGMAGLLAIAINEKRVLVTGYFNRADHDGCKASRGSWSCYFFLETSQECRDRAFELITNKEAWEKGTIKGKDSYKSKEIWTAKVPRVWGDPWSYLQPTTDINGSLIAVHHKMDRRWWRAQAVRYLMRFQTEYTCGLLNVARHAAFGKEAAKMVLASIDRDWPKVITNQPKSEIEEFVWSNHRPWVPRPLLSMHVRMGDKACEMKVVKFEEYMELAHRIQMHFPHLKNVWLSTEMQEVIDKTRSYPHWNFYYTNVTRQVGNVSMATYEASLGRKTSTNYPLVNFLMAVESDFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >KJB44826 pep chromosome:Graimondii2_0_v6:7:46965106:46969428:1 gene:B456_007G275200 transcript:KJB44826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTNQKFLERVVSQKALQMGSSFPCQICVVGFLCGVCLTSLFLAVLTSVGTFGFTGISFSSLSMGNSPLNSSSEISNVITSSDYQSKVKETARWVDSKGREPESDDDERVSLLTEAWGALLADREPEESEFSKRFGLSKSSLPNTPHLENCKLSAQVNKRLDTRSGAGRFPPWTTWKGSLNMYPATETDENLRSFKNQPVSDGAYPPWIVGSDEENYPLTRKVQSDIWIHQHPVNCHDPNIKFLVADWEKLPGFGIGAQLAGMAGLLAIAINEKRVLVTGYFNRADHDGCKASRGSWSCYFFLETSQECRDRAFELITNKEAWEKGTIKGKDSYKSKEIWTAKVPRVWGDPWSYLQPTTDINGSLIAVHHKMDRRWWRAQAVRYLMRFQTEYTCGLLNVARHAAFGKEAAKMVLASIDRDWPKVITNQPKSEIEEFVWSNHRPWVPRPLLSMHVRMGDKACEMKVVKFEEYMELAHRIQMHFPHLKNVWLSTEMQEVIDKTRSYPHWNFYYTNVTRQVGNVSMATYEASLGRKTSTNYPLVNFLMAVESDFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >KJB42243 pep chromosome:Graimondii2_0_v6:7:12122468:12123304:-1 gene:B456_007G144500 transcript:KJB42243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGAPCGACKFLRRKCVRGCVFAPYFCHEQGATHFAAIHKVFGASNVSKLLAHLPVSDRCEAAVTISYEAQARLQDPIYGCVSHIFALQQQVVNLQSQLASLKEQASQSIVNGSVTANPNGKLPSQFQDVQSWFHSDNSSMALKFNPNIPNHPSTNSYCENGFLNPNPLGNYENSFTSPGEDHVSSYTTTTTFGEASHAMSSLDLHTNNRQWGFQEVDDLQSMAFGYAQQYS >KJB45769 pep chromosome:Graimondii2_0_v6:7:54626314:54628085:-1 gene:B456_007G326800 transcript:KJB45769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEDKISALPDDILLTILSLLTLKQAVATSILSPRWRYLWTSLHTLNFRYEEILHRNDDDTDNEWGCKIYEADYMERFMQVVNQVLRSHKAPKLHEFGIHYPLDASRGDLIDIWVAFAIAFKVSKLELNFSTNQVPIWVCSFKNYSFPLYFFDKTKRIEPYLVQLDRVFSVCTPPLNVDNGFECLRELILKSVDLTDEQFETILSSCTFLEFLHVLYSSRLVNVKHAVPHMKLKSLEMYRCFQLKKLEIFAPNLVSFKYLGPKINISVKDAKQLVHACMRSNWETWEFSRTNSLGLPNMQRGDFVFGQFAAYLPQIEHLVMDASSFGRTKVLDNGPLLYNLRHLSLSSLNFADGPFGILGCYEMVASFVKVSPFLHRLELHFELYPLQDPELRRIAVSPHNYLKEVLVSGFSGNKLVVDLIMVVFDFAIELEKIEISTAYLGDPSKCTISLKPDDDFLVRKSIEQLHGRMPAKAQLYILDDGL >KJB45901 pep chromosome:Graimondii2_0_v6:7:56057334:56058238:1 gene:B456_007G3361002 transcript:KJB45901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CHANSNLLCIQSEREALLKFKNHLIDPSNRLSSWVEGGDCCEWTGVVCHNSTGHVNQLHLAAPLSEPDGFATNAEMEAYYNSRLGGKINPSLLELKHLSSLDLSYNDFNSIHIPKFFGLLESLTYLNLCRAQFQGAIPHNLGNLSKLQYLDLGGNNLKSKTLQWVSGLSSLQYLDLSYADLHTANDWVQVTLKLPSLLELHFSRCSLDNDPSSINVNSSKSLVVLDLFRNRFSSVPKWIFSLHGLVSIDLSGNSLEGPIPDYFGNISFLEVLDLSWNHLNSSIPNSLYSLNRLQFLSLRDT >KJB42623 pep chromosome:Graimondii2_0_v6:7:14065660:14068045:1 gene:B456_007G160600 transcript:KJB42623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKAFGPQQAFSPTYDRKRFLLERHVWIHSAPSLNASENVVGTVNHSEGVSSIKDAYSGNLKAKRKKLKGKRAVVRWLKFFRWKKKKDYERMTAEEKILYKLRKARKKEERLATALNKIEPAESSETTHDPEILTPEEHFFFLKMGLKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLKVVVKTFSPEEVKEIAAELARLTGGIVLDIHEDNTIIMYRGKNYSQPPTEIMSPRVTLSRKKALDKSKYRDGLRAVRKYIPKLEQDLELLRAQAANNFNPVQHVPNADDQNVGSGKSSSTPLEGSNKLKEFLDESKELTENESKTDLLLASDSEDLSDIFETESDTETEEREEQPLFLDEFEKFPVESDGEPEDFEEHLRQITMGSKVPVASEEDVNSPNFDEVDRMFLRAASLLKKKRS >KJB42621 pep chromosome:Graimondii2_0_v6:7:14064727:14068149:1 gene:B456_007G160600 transcript:KJB42621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLSRDPDGVKKRDIEMAGRVLFSASVGLRKSHSLLILFSNSFISIPNESLPKASLVDVMCKAFGPQQAFSPTYDRKRFLLERHVWIHSAPSLNASENVVGTVNHSEGVSSIKDAYSGNLKAKRKKLKGKRAVVRWLKFFRWKKKKDYERMTAEEKILYKLRKARKKEERLATALNKIEPAESSETTHDPEILTPEEHFFFLKMGLKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLKVVVKTFSPEEVKEIAAELARLTGGIVLDIHEDNTIIMYRGKNYSQPPTEIMSPRVTLSRKKALDKSKYRDGLRAVRKYIPKLEQDLELLRAQAANNFNPVQHVPNADDQNVGSGKSSSTPLEGSNKLKEFLDESKELTENESKTDLLLASDSEDLSDIFETESDTETEEREEQPLFLDEFEKFPVESDGEPEDFEEHLRQITMGSKVPVASEEDVNSPNFDEVDRMFLRAASLLKKKRS >KJB42622 pep chromosome:Graimondii2_0_v6:7:14064902:14068096:1 gene:B456_007G160600 transcript:KJB42622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEEKILYKLRKARKKEERLATALNKIEPAESSETTHDPEILTPEEHFFFLKMGLKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLKVVVKTFSPEEVKEIAAELARLTGGIVLDIHEDNTIIMYRGKNYSQPPTEIMSPRVTLSRKKALDKSKYRDGLRAVRKYIPKLEQDLELLRAQAANNFNPVQHVPNADDQNVGSGKSSSTPLEGSNKLKEFLDESKELTENESKTDLLLASDSEDLSDIFETESDTETEEREEQPLFLDEFEKFPVESDGEPEDFEEHLRQITMGSKVPVASEEDVNSPNFDEVDRMFLRAASLLKKKRS >KJB46561 pep chromosome:Graimondii2_0_v6:7:60777574:60778750:-1 gene:B456_007G376500 transcript:KJB46561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENQYRLTPRPKYDCLLFDLDDTLYPVSSGLQRECGKNIKDYMVEKLGIEKDKIVELSNALYKNYGTTMAGLRAIGYDFDDDEYHSYVHGRLPYENLRQDPLLRSLLLSLPVRKIIFTNADKDHAKKALKKLGLEDCFEGIICFETLNPIHKNTVSDDEDDIEFLGSSVTRNDSLKTPEIFDIIGHFANPNPDVTLPKTPIVCKPQESAIERALKIAKINPQRTVG >KJB46560 pep chromosome:Graimondii2_0_v6:7:60776974:60778929:-1 gene:B456_007G376500 transcript:KJB46560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENQYRLTPRPKYDCLLFDLDDTLYPVSSGLQRECGKNIKDYMVEKLGIEKDKIVELSNALYKNYGTTMAGLRAIGYDFDDDEYHSYVHGRLPYENLRQDPLLRSLLLSLPVRKIIFTNADKDHAKKALKKLGLEDCFEGIICFETLNPIHKNTVSDDEDDIEFLGSSVTRNDSLKTPEIFDIIGHFANPNPDVTLPKTPIVCKPQESAIERALKIAKINPQRTLFFDDSVRNIQAGKRVGLHTVLVGSSQRPKGADYALESIHNIKQALPELWETDMKSEVNYTGQVAVETSVTA >KJB46562 pep chromosome:Graimondii2_0_v6:7:60777574:60778750:-1 gene:B456_007G376500 transcript:KJB46562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENQYRLTPRPKYDCLLFDLDDTLYPVSSGLQRECGKNIKDYMVEKLGIEKDKIVELSNALYKNYGTTMAGLRAIGYDFDDDEYHSYVHGRLPYENLRQDPLLRSLLLSLPVRKIIFTNADKDHAKKALKKLGLEDCFEGIICFETLNPIHKNTVSDDEDDIEFLGSSVTRNDSLKTPEIFDIIGHFANPNPDVTLPKTPIVCKPQESAIERALKIAKINPQRTVG >KJB46563 pep chromosome:Graimondii2_0_v6:7:60776937:60779163:-1 gene:B456_007G376500 transcript:KJB46563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENQYRLTPRPKYDCLLFDLDDTLYPVSSGLQRECGKNIKDYMVEKLGIEKDKIVELSNALYKNYGTTMAGLRAIGYDFDDDEYHSYVHGRLPYENLRQDPLLRSLLLSLPVRKIIFTNADKDHAKKALKKLGLEDCFEGIICFETLNPIHKNTVSDDEDDIEFLGSSVTRNDSLKTPEIFDIIGHFANPNPDVTLPKTPIVCKPQESAIERALKIAKINPQRTLFFDDSVRNIQAGKRVGLHTVLVGSSQRPKGADYALESIHNIKQALPELWETDMKSEVNYTGQVAVETSVTA >KJB39213 pep chromosome:Graimondii2_0_v6:7:234762:242151:-1 gene:B456_007G002400 transcript:KJB39213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSSIDVILDFLTRNHFTRAEAALRSELNNHPDLNGFLQKLTLEEKDSGKVLEEEIGKKIVTGSSGSGSQNSGEVSKELIVEEIECGASRNGSERKWRNATSTGECNKPDEAKATSGKSFTFSKSSEDTLLDLQSWNCRTSNGPCTNKNDGFVSSTSFSELEKPDQSRYRTAKAPDTDKGNVKHGEEIAFPGEMKSSWLGNTSKANVSSKYDQFETSDTKDLVQESKTCSVYLKENFPDKSRWSRTDKPTSSSSEIWKDCSVKTVFPFPKVDVSISYDGASASDTRQGNVKADVLDTRTAVKDQVDEVGRFLFVGNFQEQTCTNGLAIVLASDNPRGELPRLPPVKLKSEEKALNVNWELNGSGAKVTSADNTFLIGSYVDVPVGQEINSSVYDDPGKMHAGSNWLSISQGIAEDASDLVSGFATIGDGLSESVDFPNEYWDSDEYDDDDDIGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVRDLQERGQTKSEDDQSFLEEDSCFSGEQYCQAKNVEPVAAPDDPVELSVTEIYGRTHENNLIAQYGQPMDEEELNFMRPEPVWQGFVTHRNELIMLGDRNVLNEFGRSRLDDISIDNNQHCSVRSIGVGINSDTAEFGNEVHESLVGGSSAGDLEYCHDRDVALGGYRQSHHETDGNYIDKLVRDNSVTGKIDSSKYVTGNDKVPSPKMKKNLADWGFSFPPSLLRDGQLVQAGSSKSLWSSNCYAVADEHDDCFSALVGSDDMLATRRRKSSDSSTGKISGDENKAYAVRSANSSPSTLPDYGYGGREPTKKEEDEKISGVREEDPGASLEDEEVDVVQDQVRQIKKQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHAGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDQLCSYVQSRSYRAPEVILRLPYDKKIDVWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIEQGMLAKGQDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRMPMEDQGFVDFVAHLLEVNPKKRPTATEALKHPWLSYPYEPISA >KJB39212 pep chromosome:Graimondii2_0_v6:7:234918:242758:-1 gene:B456_007G002400 transcript:KJB39212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSSIDVILDFLTRNHFTRAEAALRSELNNHPDLNGFLQKLTLEEKDSGKVLEEEIGKKIVTGSSGSGSQNSGEVSKELIVEEIECGASRNGSERKWRNATSTGECNKPDEAKATSGKSFTFSKSSEDTLLDLQSWNCRTSNGPCTNKNDGFVSSTSFSELEKPDQSRYRTAKAPDTDKGNVKHGEEIAFPGEMKSSWLGNTSKANVSSKYDQFETSDTKDLVQESKTCSVYLKENFPDKSRWSRTDKPTSSSSEIWKDCSVKTVFPFPKVDVSISYDGASASDTRQGNVKADVLDTRTAVKDQVDEVGRFLFVGNFQEQTCTNGLAIVLASDNPRGELPRLPPVKLKSEEKALNVNWELNGSGAKVTSADNTFLIGSYVDVPVGQEINSSVYDDPGKMHAGSNWLSISQGIAEDASDLVSGFATIGDGLSESVDFPNEYWDSDEYDDDDDIGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVRDLQERGQTKSEDDQSFLEEDSCFSGEQYCQAKNVEPVAAPDDPVELSVTEIYGRTHENNLIAQYGQPMDEEELNFMRPEPVWQGFVTHRNELIMLGDRNVLNEFGRSRLDDISIDNNQHCSVRSIGVGINSDTAEFGNEVHESLVGGSSAGDLEYCHDRDVALGGYRQSHHETDGNYIDKLVRDNSVTGKIDSSKYVTGNDKVPSPKMKKNLADWGFSFPPSLLRDGQLVQAGSSKSLWSSNCYAVADEHDDCFSALVGSDDMLATRRRKSSDSSTGKISGDENKAYAVRSANSSPSTLPDYGYGGREPTKKEEDEKISGVREEDPGASLEDEEVDVVQDQVRQIKKQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHAGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDQLCSYVQSRSYRAPEVILRLPYDKKIDVWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIEQGMLAKGQDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRMPMEDQGFVDFVAHLLEVNPKKRPTATEALKHPWLSYPYEPISA >KJB43021 pep chromosome:Graimondii2_0_v6:7:16868160:16868736:-1 gene:B456_007G179900 transcript:KJB43021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEGIKERELTLVTSTRPSQRLQRANTAIFFDAAFDSQYNRSASGLIVKEQEGKIVVAKSILHENVASPFAAEAYVGYQAIMLGIQLGYHTLDILGDSKTVTTKCQSENRDRSEIGAIISYIQGLKGFFQNISFYFIPRTGNIEAHRIAKETLKKGEEQYLEGETLKALCEEQEPNRLGYSEQRERR >KJB39567 pep chromosome:Graimondii2_0_v6:7:1471575:1472570:-1 gene:B456_007G019900 transcript:KJB39567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNNNNTKEDDRRAGAEIVYGAEDCYRHSVELLQELGFPKGVLPLKDLEECGRVRETGFVWMKQKAPYEHFFEGTNSRVSYSKEVTAYVEKFKMKKMTGVKSKQVFLWVPITEMSIEDGSGDKIYFKTPMGIGKSFPATAFMIEEEKNKYLEDKKSDD >KJB41695 pep chromosome:Graimondii2_0_v6:7:8955951:8958767:1 gene:B456_007G115300 transcript:KJB41695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQKICLWKSGVSSCQGHIPGSLKGEAKEKEDDPLYGADSGDDLDDFDFKGISSELGMVEGQVCSIPYELFDLPDLRDILSLDTWNSCLTDEERFSLSAYLPDMDEWTFWLTMKDLFSGSDMYFGNPMDTFFKRLKGGFYPPKISCLRETLQSLERRKYYHAIRSYHYRMVQMFTDMRRLWDECDMSAGVSERLYMWRTRRKCGDVNADLLDLNAVPNDEYLLNEDVKSDALMSHLPKRIKTWESVKEKTNVVSPSANGMNFIAPNCSTRGVLKVKTTGNATHNHNQKMIVGDISEQCRPVPKGLLKVVPKVPSVLPQVSKVFSRRSQKGLLAGAQDLQDRTVPNSATPTYSENAGSFSRTPFLWQKVAGGKMNPEQSQSMLVYQDSALGSSRYVQNSSENRSKEVGIADSGKHKLFELDEESVIGSKRYKFGQNLWQNLDRGKKGLFSYPFTDQYHEGERQTRNLQKECVTILPRVAEAVSSSGIEGGLQEKLIVFPSQMKNPSDFEAENSEKPSKPSGSERLKYDLTLPLTYKRRKSQVKNSSGFTNSLITGTDIRPGNPKEPNQALGENAKALKIKFMGWENIPLNRDS >KJB42792 pep chromosome:Graimondii2_0_v6:7:16973089:16977006:-1 gene:B456_007G180300 transcript:KJB42792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAISPPSTAAIIIHSHHHYLNHRRWFLSLPPPSNRQFHPAASITRPHSLKLTFITKAADSTSQPTSAKTIVTDDGFSLSKLSFGVVGLGVGISLLSYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVPCLTYSDAQMLRETAATPILKQVRNDVTRYRYGDEQHLDEALKRIFQFGLGGGIPRRNAPILQMIREEVTEDGKYCLVLVFEAKALELSDFEKRQGRERITYMKLDLFQIPPTMLHQVHHDFFLCL >KJB42790 pep chromosome:Graimondii2_0_v6:7:16973639:16976815:-1 gene:B456_007G180300 transcript:KJB42790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAISPPSTAAIIIHSHHHYLNHRRWFLSLPPPSNRQFHPAASITRPHSLKLTFITKAADSTSQPTSAKTIVTDDGFSLSKLSFGVVGLGVGISLLSYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVPCLTYSDAQMLRETAATPILKQVRNDVTRYRYGDEQHLDEALKRIFQFGLGGGIPRRNAPILQMIREEVTEDGKYCLVLVFEAKALELSDFEKRQAKFASFFGPGISAEVGKGENNLYEVRLISNSTYNASSSTS >KJB42793 pep chromosome:Graimondii2_0_v6:7:16973914:16976958:-1 gene:B456_007G180300 transcript:KJB42793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAISPPSTAAIIIHSHHHYLNHRRWFLSLPPPSNRQFHPAASITRPHSLKLTFITKAADSTSQPTSAKTIVTDDGFSLSKLSFGVVGLGVGISLLSYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVPCLTYSDAQMLRETAATPILKQVRNDVTRYRYGDEQHLDEALKRIFQFGLGGGIPRRNAPILQMIREEVTEDGKYCLVLVFEAKALELSDFEKRQVN >KJB42791 pep chromosome:Graimondii2_0_v6:7:16973507:16976958:-1 gene:B456_007G180300 transcript:KJB42791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAISPPSTAAIIIHSHHHYLNHRRWFLSLPPPSNRQFHPAASITRPHSLKLTFITKAADSTSQPTSAKTIVTDDGFSLSKLSFGVVGLGVGISLLSYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVPCLTYSDAQMLRETAATPILKQVRNDVTRYRYGDEQHLDEALKRIFQFGLGGGIPRRNAPILQMIREEVTEDGKYCLVLVFEAKALELSDFEKRQGSLLK >KJB42450 pep chromosome:Graimondii2_0_v6:7:13135316:13137013:1 gene:B456_007G153700 transcript:KJB42450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCFSCFSSEKKAAKRQNSSTKNNQAGDNATTEAFLPQQHPPENIPKPKTTATEPAKTTADGTKDNGNNNIAVETFTFRELAAATRNFRKECLIGEGGFGRVYKGKLEKTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCSDGDQRLLVYEYMPLGSLDDHLLDISPSQKPLDWYARMKIARGAAKGLEYLHDKANPPVIYRDLKSANILLDHEYNAKLSDFGLAKLGPQGDKTHVSSRVMGTYGYCAPEYQRTGQLTVKSDVYGFGVVLLELITGRRAIDTTRPNNEQNLVSWAQPMFKEPSKFSELADPLLEGNFPARGLQQALAVAAMCLQEEGEVRPLISDVVTALSCLGNDPDANMNAAAYKESDDKAGYSFSESGSYGEDSRAERQRAVAEAIEWGSNSEHNSAQTHSASL >KJB45814 pep chromosome:Graimondii2_0_v6:7:55245873:55248335:1 gene:B456_007G330400 transcript:KJB45814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLRHVLGSIFIVCCLTTLTNGATLANDEVEALKWIGKTLGKTGWNFDSDPCSQRHSWVDQSTRYYVNNVTCDCSFNNNTIYHVVRIVLKAQNLSGTLPLNLNNLPFLQEIDLTRNYLNGTIPPEWGSSTGLVSISLLGNRLTGQIPAELANLRNLTSLVLENNGLSGTLPAALGNLPNIERL >KJB39695 pep chromosome:Graimondii2_0_v6:7:1802467:1804508:-1 gene:B456_007G026000 transcript:KJB39695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLCCDEDTWTWPLVAPLPSYGRGRERPGGRYMSFIHGDGLRDVVITGENGTIDGQGGIWWNMWRQGNLQFTRPNLVEFMNSRGIIISNVIFKNSPFWNIHPVYCSNVVIRYVTILAPTDSPNTDGIDPDSSSNVCIEDSFISTGDDLVAVKSGWDEYGIAYGRPSSHITIRRVTGSSPFAGIAVGSETSGGVEHVLAENIVIYNSGIGVNIKTNIGRGGFIKNITVSQVFMENVRTGIKITGDTGDHPDNQFNPNALPYVKGVTLKNIWGVKVQKAGSIQGLKNAPFTNICLSKINLHGMTGPRSPPWKCSDVSGAAIEVSPSPCAQLSSPQQSGSCANHF >KJB39696 pep chromosome:Graimondii2_0_v6:7:1802467:1806220:-1 gene:B456_007G026000 transcript:KJB39696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRQGNLQFTRPNLVEFMNSRGIIISNVIFKNSPFWNIHPVYCSNVVIRYVTILAPTDSPNTDGIDPDSSSNVCIEDSFISTGDDLVAVKSGWDEYGIAYGRPSSHITIRRVTGSSPFAGIAVGSETSGGVEHVLAENIVIYNSGIGVNIKTNIGRGGFIKNITVSQVFMENVRTGIKITGDTGDHPDNQFNPNALPYVKGVTLKNIWGVKVQKAGSIQGLKNAPFTNICLSKINLHGMTGPRSPPWKCSDVSGAAIEVSPSPCAQLSSPQQSGSCANHF >KJB39694 pep chromosome:Graimondii2_0_v6:7:1802267:1806220:-1 gene:B456_007G026000 transcript:KJB39694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTQPLVSVLLCCFTFWFHISFGYLITCSGIVPTRYRNDKVSITDFGGVGDGKTLNTKAFREAIYRIQHLRRRGGTLLYVPPGVYLTESFNLTSHMTLYLARGAVIKATQDTWTWPLVAPLPSYGRGRERPGGRYMSFIHGDGLRDVVITGENGTIDGQGGIWWNMWRQGNLQFTRPNLVEFMNSRGIIISNVIFKNSPFWNIHPVYCSNVVIRYVTILAPTDSPNTDGIDPDSSSNVCIEDSFISTGDDLVAVKSGWDEYGIAYGRPSSHITIRRVTGSSPFAGIAVGSETSGGVEHVLAENIVIYNSGIGVNIKTNIGRGGFIKNITVSQVFMENVRTGIKITGDTGDHPDNQFNPNALPYVKGVTLKNIWGVKVQKAGSIQGLKNAPFTNICLSKINLHGMTGPRSPPWKCSDVSGAAIERPC >KJB39697 pep chromosome:Graimondii2_0_v6:7:1802467:1806234:-1 gene:B456_007G026000 transcript:KJB39697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTQPLVSVLLCCFTFWFHISFGYLITCSGIVPTRYRNDKVSITDFGGVGDGKTLNTKAFREAIYRIQHLRRRGGTLLYVPPGVYLTESFNLTSHMTLYLARGAVIKATQDTWTWPLVAPLPSYGRGRERPGGRYMSFIHGDGLRDVVITGENGTIDGQGGIWWNMWRQGNLQFTRPNLVEFMNSRGIIISNVIFKNSPFWNIHPVYCSNVVIRYVTILAPTDSPNTDGIDPDSSSNVCIEDSFISTGDDLVAVKSGWDEYGIAYGRPSSHITIRRVTGSSPFAGIAVGSETSGGVEHVLAENIVIYNSGIGVNIKTNIGRGGFIKNITVSQVFMENVRTGIKITGDTGDHPDNQFNPNALPYVKGVTLKNIWGVKVQKAGSIQGLKNAPFTNICLSKINLHGMTGPRSPPWKCSDVSGAAIEVSPSPCAQLSSPQQSGSCANHF >KJB43878 pep chromosome:Graimondii2_0_v6:7:25732138:25734387:-1 gene:B456_007G221500 transcript:KJB43878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLLEFLFTLSLSFVLSFIIAKLLSLSSVIDQHLEAVSRCMEVPNKSEKCVLECEKGIGFVSEVVKFDALGESVEEKGTLEECLNDSHGPTKISEVTVEEISSGEENFGDKTTEIGLAEEDEEEIEVLEDCLNKGLSDDDWEGIERSELEKDFGAAVCFLESRNNADQILKLGDNLKMQLYGLQKVATEGPCLETHPMAFKLSARAKWNAWKRLGNMSPEAAMEQYITLLSRSIPGYGESKQHSADVKAPWKLPLDAKTMPANQAISVDSYRTFNEGTMSAVYTS >KJB39340 pep chromosome:Graimondii2_0_v6:7:578007:582668:1 gene:B456_007G007200 transcript:KJB39340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWVSNGVVGSPAFAAFDQGYDVFLGNFRGLVSREHVDKNISLRQYWRFSINEHGTEDIPAMIEKIHEVKTAELKLGHPNEETNDEQPYKLCAISHSMGGAAMLMYVIIRRIEEKPHRLSRLVLLSPAGFHDETSFLFTVAHYICLLLAPILALFVPALYIPTRFFRMLFNKLVRDFHNYPAVGGLVQTILSYGFGGDSSNWVGVLGLPHYNMNDMPGLSFRVIHHLAQIKRTKKFRMYDFGSKAVNMEVYGSPEPIDLGEYYSLIDIPVDLVAGKKDQIITSSMVKKHYRLMKDSGVDVSYNEFEYGHLDFTFAHHEELLAFVMSRLLLVEPDLKLQSSPKKALKLKKTGQARSGVSSD >KJB39339 pep chromosome:Graimondii2_0_v6:7:576611:582777:1 gene:B456_007G007200 transcript:KJB39339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLVDNALAVTKESVKTFTYESLNNIARLINGVSALLLAILLGKSNILEGAQGWELRPTFHGPRFPRWMENGVSSFNQFIHELSVDSDSSSVDYASAEDEPDEIYRSTPSSPLSDGSGTCRTSAHINHDPHWTNWVAYIFSWILLPARFLLRIPFLLFRLLSSQELKASLDTGSPRPRTFHPFRKVHSSKDHVVQRTIDRRRGVIEDLHLAIEIFIEAIFDMFHKAAYFVFSPFAAFRIFGKWFSSPSAGDKDIDDNVSNASVPTATLGANDPSPRERNLTLHHTLNTDARTCRDVITELGYPYEAIHVITSDGYVILLERLPRRDARKAVFLQHGILDSSMGWVSNGVVGSPAFAAFDQGYDVFLGNFRGLVSREHVDKNISLRQYWRFSINEHGTEDIPAMIEKIHEVKTAELKLGHPNEETNDEQPYKLCAISHSMGGAAMLMYVIIRRIEEKPHRLSRLVLLSPAGFHDETSFLFTVAHYICLLLAPILALFVPALYIPTRFFRMLFNKLVRDFHNYPAVGGLVQTILSYGFGGDSSNWVGVLGLPHYNMNDMPGLSFRVIHHLAQIKRTKKFRMYDFGSKAVNMEVYGSPEPIDLGEYYSLIDIPVDLVAGKKDQIITSSMVKKHYRLMKDSGVDVSYNEFEYGHLDFTFAHHEELLAFVMSRLLLVEPDLKLQSSPKKALKLKKTGQARSGVSSD >KJB46591 pep chromosome:Graimondii2_0_v6:7:60744887:60747248:1 gene:B456_007G376200 transcript:KJB46591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 9 [Source:Projected from Arabidopsis thaliana (AT5G02270) UniProtKB/TrEMBL;Acc:F4KCB8] MAVMESSKPTVEINGLSFTYPGIDGHPPPGSKPLIDGFSLTLNAGDRCLLVGSNGAGKTTILKILGGKHMVEPHMVRVLGRSAFHDTVLASSGDLCYLGGEWRRDVAFAGFEVPIQMDISAEKMIFGVAGIDPKRRYELIKVLDIDLSWRMHKVSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARADLLNFLRKECEERGATIIYATHIFDGLEKWPSHIVYVAHGKLQLAMPMDKVKEISNSSLMRTVESWLRKERDEERKKRKERKSQGLPELENQTEGSRVTGDPARAAVRSLNNGWAAGRLNSTVAGEENFVFSSNRVLRQ >KJB46589 pep chromosome:Graimondii2_0_v6:7:60744887:60747245:1 gene:B456_007G376200 transcript:KJB46589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 9 [Source:Projected from Arabidopsis thaliana (AT5G02270) UniProtKB/TrEMBL;Acc:F4KCB8] MAVMESSKPTVEINGLSFTYPGIDGHPPPGSKPLIDGFSLTLNAGDRCLLVGSNGAGKTTILKILGGKHMVEPHMVRVLGRSAFHDTVLASSGDLCYLGGEWRRDVAFAGFEVPIQMDISAEKMIFGVAGIDPKRRYELIKVLDIDLSWRMHKVSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARADLLNFLRKECEERGATIIYATHIFDGLEKWPSHIVYVAHGKLQLAMPMDKVKEISNSSLMVSYPENSGELVEEGTRRRKKEKKRAEITGSPGIGKPNRGKPCDRGSCPSRRSFTKQWLGCRTVELDGCR >KJB46590 pep chromosome:Graimondii2_0_v6:7:60744887:60747245:1 gene:B456_007G376200 transcript:KJB46590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 9 [Source:Projected from Arabidopsis thaliana (AT5G02270) UniProtKB/TrEMBL;Acc:F4KCB8] MAVMESSKPTVEINGLSFTYPGIDGHPPPGSKPLIDGKTTILKILGGKHMVEPHMVRVLGRSAFHDTVLASSGDLCYLGGEWRRDVAFAGFEVPIQMDISAEKMIFGVAGIDPKRRYELIKVLDIDLSWRMHKVSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARADLLNFLRKECEERGATIIYATHIFDGLEKWPSHIVYVAHGKLQLAMPMDKVKEISNSSLMRTVESWLRKERDEERKKRKERKSQGLPELENQTEGSRVTGDPARAAVRSLNNGWAAGRLNSTVAGEENFVFSSNRVLRQ >KJB45800 pep chromosome:Graimondii2_0_v6:7:55040507:55041751:-1 gene:B456_007G329100 transcript:KJB45800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIPTPPPSLQWYGVSNNNLIGEIPFSICNWTSLKGIALDENNLEGTIPKCIGNLNSSLIAVDLGNNNFHGQIPKNFAKGCMLRSLRINNNELEGSLPRSLSNCKGLNLLNVGNNNLNDTFPSWLGNLDQLQVLILRSNRFYGQIESSDITVSLTKMRIIDLSHNNFSGYLPTLFFEHMHAIRDEYGKKVEPNYMREVKPTKDALGAFLVNYASGLSVTAKGLELKFESLLSIWMVIDLSSNQFRGEIPKILGNLSELESLDLSSNKLEGRIPTELKNLGFLEVLNLSQNNLKGPIPQGKQFDTFTNDSYMGNLDLCGLPLSKNCGTDEETPAKFDRDDDGDELNWKFSILMGYGCGLVLGMSMAYIVFTTGKPWWLIRIVERVRQRFAKR >KJB45757 pep chromosome:Graimondii2_0_v6:7:54544114:54548016:1 gene:B456_007G325800 transcript:KJB45757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQRLLLLFIFLFYFSSFPSTARFITGPSSPTDLVSDGISTVKNPPFLSLKPLFSAEESCEQTYGFLPCTTTVLGNLFLIIVYGYLMFLAATYLSNGSELLLEILGPGIVGGLFLPILGALPDAMLILVSGLSGTAETAQDQVSVGMGLLAGSTVMLLTVIWGSCVVVGRCDIRDSVAVDGTITKGFHLTETGVSTDIWTCYAASIMAISVIPFVIVQLPQLLNSTSGRHLAVLIALIISVSMLVSYCVYQVFQPWIQRRRLAFAKHKHVISGILRHLKKQAFGKLLTEDGEPNTEIIRKLFETIDENRDGHLSPSELKALIIGIRFEEIDFDKDDAVMKVMADFDTSLDSYIQEEEFVKGITKWINEAKQTGGAYLEPNAGTFKFIDQFHQQTKREHALLGSEEQSDEVVEHVENPRWISFKAVLMLLVGTLIAAAFADPLVDAVDNFSTATSIPSFFISFIALPLATNSSEAVSAIIFATRKKQRTASLTFSEVSFLLPHLSDHQAAV >KJB45756 pep chromosome:Graimondii2_0_v6:7:54543999:54548357:1 gene:B456_007G325800 transcript:KJB45756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQRLLLLFIFLFYFSSFPSTARFITGPSSPTDLVSDGISTVKNPPFLSLKPLFSAEESCEQTYGFLPCTTTVLGNLFLIIVYGYLMFLAATYLSNGSELLLEILGPGIVGGLFLPILGALPDAMLILVSGLSGTAETAQDQVSVGMGLLAGSTVMLLTVIWGSCVVVGRCDIRDSVAVDGTITKGFHLTETGVSTDIWTCYAASIMAISVIPFVIVQLPQLLNSTSGRHLAVLIALIISVSMLVSYCVYQVFQPWIQRRRLAFAKHKHVISGILRHLKKQAFGKLLTEDGEPNTEIIRKLFETIDENRDGHLSPSELKALIIGIRFEEIDFDKDDAVMKVMADFDTSLDSYIQEEEFVKGITKWINEAKQTGGAYLEPNAGTFKFIDQFHQQTKREHALLGSEEQSDEVVEHVENPRWISFKAVLMLLVGTLIAAAFADPLVDAVDNFSTATSIPSFFISFIALPLATNSSEAVSAIIFATRKKQRTASLTFSELYGAVTMNNVLCLSVFLALVYVRGLTWDFSSEVLVILIVCIVMGAFASFRTTFPLWTCSIAYILYPFSLALVYVLDYVFGWS >KJB45007 pep chromosome:Graimondii2_0_v6:7:48711813:48713635:-1 gene:B456_007G284600 transcript:KJB45007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFPSCFGENGVQVADSSSSSSNSSKNAQNLVTCVYQCRIRGRPCLITVTWTKNLMGQGLSVGIDDSANQCLCKVDIKPWLFSKRKGSKSLEAYSCKIDVYWDLSSAKFGSGPEPFEGFYVGVVANKQMVLLLGDMSKEACKKTGATHIPCNASLVAKKEHVFGKRVFGTKAQFCDNGRIHDLIIECDAVCMNDPCLIIRVDGKALMQVKRLRWKFRGNHTILVDGMAVEVYWDVHNWLFGTSLGGSAVFMFKTSIVAEEKLWFSQNIPSPSSLQWSFSQRFQDSKSQNLGFSLILYAWKNE >KJB45005 pep chromosome:Graimondii2_0_v6:7:48712084:48712995:-1 gene:B456_007G284600 transcript:KJB45005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFPSCFGENGVQVADSSSSSSNSSKNAQNLVTCVYQCRIRGRPCLITVTWTKNLMGQGLSVGIDDSANQCLCKVDIKPWLFSKRKGSKSLEAYSCKIDVYWDLSSAKFGSGPEPFEGFYVGVVANKQMVLLLGDMSKEACKKTGATHIPCNASLVAKKEHVFGKRVFGTKAQFCDNGRIHDLIIECDAVCMNDPCLIIRVDGKALMQVKRLRWKFRGNHTILVDGMAVEVYWDVHNWLFGTSLGGSAVFMFKTSIVAEEKLWFSQNIPSPSSLQWSFSQRFQDSKSQNLGFSLILYAWKNE >KJB45008 pep chromosome:Graimondii2_0_v6:7:48710875:48713650:-1 gene:B456_007G284600 transcript:KJB45008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFPSCFGENGVQVADSSSSSSNSSKNAQNLVTCVYQCRIRGRPCLITVTWTKNLMGQGLSVGIDDSANQCLCKVDIKPWLFSKRKGSKSLEAYSCKIDVYWDLSSAKFGSGPEPFEGFYVGVVANKQMVLLLGDMSKEACKKTGATHIPCNASLVAKKEHVFGKRVFGTKAQFCDNGRIHDLIIECDAVCMNDPCLIIRVDGKALMQVKRLRWKFRGNHTILVDGMAVEVYWDVHNWLFGTSLGGSAVFMFKTSIVAEEKLWFSQNIPSPSSLQWSFSQRFQDSKSQNLGFSLILYAWKNE >KJB45006 pep chromosome:Graimondii2_0_v6:7:48710784:48713650:-1 gene:B456_007G284600 transcript:KJB45006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFPSCFGENGVQVADSSSSSSNSSKNAQNLVTCVYQCRIRGRPCLITVTWTKNLMGQGLSVGIDDSANQCLCKVDIKPWLFSKRKGSKSLEAYSCKIDVYWDLSSAKFGSGPEPFEGFYVGVVANKQMVLLLGDMSKEACKKTGATHIPCNASLVAKKEHVFGKRVFGTKAQFCDNGRIHDLIIECDAVCMNDPCLIIRVDGKALMQVKRLRWKFRGNHTILVDGMAVEVYWDVHNWLFGTSLGGSAVFMFKTSIVAEEKLWFSQNIPSPSSLQWSFSQRFQDSKSQNLGFSLILYAWKNE >KJB42937 pep chromosome:Graimondii2_0_v6:7:16303115:16306114:1 gene:B456_007G175500 transcript:KJB42937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTSFCLQSLLFLMFPCFFFFFIGYLSVPVAAYTPIENITIDCGSSGGQSIDRRLWDGDVNGKFTPSEQQSNNNKSSMPSTAPIQNSVEQVPYITARLSRSEFTYSIPLTAGPYTLLKNFSALLHAQRQVTLIKEFCVNVDGSQRLNLTFTPSPDISDSFAFINGIEVVSMPTNLYYTAGSEDEVPFLGQAEGRSYFLENNTALERVYRLNVGGSQISPGDDTGMFRSWSSDDDYLTIANPSALPVNNTVNLTFSTISSFSAPKNVYVTARTMGQNKTENDKYNLTWEFPVDSGFSYYVRLHFCEFQIEITKEGDRVFEIFLANLTAETQADVITWSTGNGFPVYRDYVVTIGRNGNQKQQNLSVALHPAPAWRTLYSDAILNGLEIFKLSNGVDLSGPNPDPIPLSPPGNSPPAPTKSNNTSKTIIGIVVGVIAGLVVLSLICFLIFRRKRRVKDSGSNDGASWWSQFSFTTKTTKSTKSTKSRGSSLPSDLCRYFSLAEIKGATNNFDSVFIIGVGGFGNVYKGFIDGGATPVAIKRLNPESQQGAHEFKTEIEMLSQLRHLHLVSLIGYCNDEGEMILVYDYMAHGTLRDHLYNTENAPLAWKQRLQICIGAARGLHYLHSGAKHIIIHRDVKTTNILLDEKWVAKVSDFGLSRIGPTNMSKAHVSTVVKGSFGYLDPEYYRRQQLTEKSDVYSFGVVLCEILCARPPISRTVEKAQISLAAWAQQCHRRGTLYKIIDPFLRGKIAPECLKKFAEVTMSCLLDEGIERPSMGDVVWGLEFALQLQESGEAKLKSVNGGAATEADIDEEAPFKSQSLELEDDSGDVFSSLGDHVLNSKSTSTFSLTTSDDQSFASKDSGRLLSKAVFSEIRNPEGR >KJB42460 pep chromosome:Graimondii2_0_v6:7:36258346:36261520:-1 gene:B456_007G244300 transcript:KJB42460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNTSGEIAGTKMNKKGDSANASYYHIVNPSTNIAVVGAEMTHSFFTNVTTITVGTQHALDPLTTIKARANNAGKASALIQHEWRPKSLFTISGEMDTKSIHKSPKVGLALALKP >KJB43426 pep chromosome:Graimondii2_0_v6:7:20230350:20234818:-1 gene:B456_007G199100 transcript:KJB43426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGFVLMMSLLMGIVVAGWFWYKHKEEKKMKSGIPKGSLGWPFLGETLDFIACGYTSRPVSFMDKRKLLHGNVFKTHILGTPIIVSTDPDVNKVVLQNHGNTFVPAYPKSIRLLLGPSSILQMNGNLQKRVHALIAGFLRSPQLKTSITTNIENSVRQTLGSWQHMQLVHVQQETKKITFQVLVKVLMSVDPGEDLEFMKREFEEFIKGLICLPIKFPGTRLYKSLKAKERLVKMVEKILKERKMAMAKTGENSVVNDAVDVLLRDISEEGDDDEQGETKEKQSLPLDYISGNIIEMMIPGEETVPMAMTLAVKFLGDSPVALHQLMEENMELKKQKMNCGEDYSWTDYLSLPFTQNVISETLRLANIINGVWRKAVKDIDIKGYLIPQGWCVLASFISVHMDEENYEQPYRFHPWRWERLGTAVNNNGFTPFGGGQRLCPGIELSRLEISIFLHHLITSYRWVAEEDHIIYFPTVRMKRKLPISVTRL >KJB42712 pep chromosome:Graimondii2_0_v6:7:14489395:14492397:-1 gene:B456_007G164700 transcript:KJB42712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEEGSFTAGKRKRNLGKKKGTKAKNKKLKMLEGKGKKFRVSKKMRNLFEKRARDYNSDDEEEEEKEDTAEEAVLHDTRRGRGGDISFEGSDDSEGEEQEGGEIQPGIMRFTEGVRAFRLAFKNIIKRHVADDSLGPVLSGHKQLVAKKLAEEEAERKVKGEAKKEKHLVAEKGHVKPANYLDSQEKFLIGIATKGVVKLFNAVNKAQKAQKGLDPSRSKDAKVIRKRRKEAFFSELGKTSLPARDSSSKGNTSDSRNDEGPAWAPLRDNYMLTNPKLKDWDKMADSAIVDDVGMMSEDSGSDDD >KJB44614 pep chromosome:Graimondii2_0_v6:7:42948032:42955316:-1 gene:B456_007G261000 transcript:KJB44614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVISIHIGQAGIQVGNACWELYCLEHGIQPDGMMPGDTSVGACHDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRSGAFRQLFHPEQLISGKEDAANNFARGHYTVGREIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIFPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYNNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVPEITSAVFEPSSMMAKCDPRHGKYMACCLMYRGDIVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLARVQRAVCMISNNTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGEDEEEPEDY >KJB46350 pep chromosome:Graimondii2_0_v6:7:59364411:59366553:-1 gene:B456_007G361900 transcript:KJB46350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRKTPIKTRNPDLIRGVGKYSRSKMYHKRGLWAIKAKNGGVFPRHEPNPKPATAVEKPPKFYPADDVKKPLLNKRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLTSGLLLVTGPFKINGVPLRRVNQSYVIATSTKIDISGVNLDKFDDKYFAKEVENKKKKTEGEFFEAEKEDKKKLPEDKKEDQKAVDAFLIKSIEGVPELKAYLAARFSLKSGMKPHELVF >KJB40155 pep chromosome:Graimondii2_0_v6:7:3426723:3433028:1 gene:B456_007G049200 transcript:KJB40155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEMTEKSPTTSCESSSIVASPMGTPLRKVLNRFSGLASSCGRKTAPGGQVRRFFHRDVEQEEFQYASVRCLSSYYSVFVVRLAIMVMLAILIGLLTVLTWHFTKMYTTKSLNTLAFGLRYELLQRPILRMWGILNSTSEITTAQVQLSQYVIRRYSKPTTQAEQVELYQMMKDITWALFASRKAFNAITINYKNGFVQAFHRDHKNNNTFYIYSDLSNYSISATQSHGSKMLSSRQGWNDQFIRGNVSAIWYREPLDPVTGEKTGKALPIPPDELINIAGPSQVPDGVASWHVSVSKYTDSPLLSAALPVWDSTNTSIVAVVGVTTALYSVGQLMKELVEVHSGYIYLTSQEGYLLATSTNAPLLKNTTKGPKLMMAVDSEDHVIRMGAQWLRETYGNKYPPGNVVHVEKANLGGQNYYIDSFFLNLKRLPMVGVIIIPRKYIMGKVDERSLKTLVILISASVCILVIGCVCILILTNGVSKEMKLRAELISHLDARRRAEASSNYKSQFLANMSHELRTPMAAVIGLLDILICDDRLSNEQYAMVTQIRKCSTALLRLLNNILDLSKVESGKLVLEEAEFDLGRELEGLVDMFSVQCINHNVETVLDLSDDIPKFVRGDSARVVQVFANLISNSIKFTTSGHIILRGWCENPSVSNDSAKFSRVQKKPLSALKTKLKQHGNHMKADNKRDKKIILWFEVDDTGSGIDPSKWESVFESFEQADPSTTRTHGGTGLGLCIVRTLVNKMGGEIKVVKKDGPGTLIRLFLLLTTPADSTEQHGQMDFSKHNVAVILAIQGNMGRLIMSKWLSRNGVLALEASDWNELTQILHELFHVRTRNCGFDNHYSLGEPLRSKIHGLQDMRNPVYIIVVDLGLLDLSTDIWKEQLNFLDRFSGRVKFAWMLNHDTSNAIKMELRRKGHILMVNKPLYKAKMLHILEAVVKERYVELHKRNPNGTEGGSHECLEIDSTHFESCSSDDSDSSEKGGANSISCVRTGDKPGGEGAIKTNTLNCQTTKKCLVELTRLDSEVNNLKAEEDECNGITPKLHDPEDAKCENSNSPEQHHVGSSTKDGDNSYTSKAANGDRSLEGLRILLAEDTPVLQRVATIMLEKMGATVIAVGDGLQAVDALNCKLVGKDYRTESSLQERRNRSQTDISDSPPYDLILMDCQMPKMDGYEATKAIRKSEAGMGWHIPIVALTAHAMPSDEAKCLEVGMDAYLTKPIDYKFMVSTILSLTKRSA >KJB46002 pep chromosome:Graimondii2_0_v6:7:56768528:56775763:1 gene:B456_007G342300 transcript:KJB46002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYAGLDVASKLSSLRAELVGTGASAIVISMLDEVAWLLNLRGSDGPHSPVMYAYLIVEVDGAKLFVDNSKVTKEVMDHLKNASVELRPYDSILSEIRRLAAQGAQLWLDTSSVNAAIAETYKSALDKYRSNHGSKGKIKNKRYDESNGLSEGPSGVYMRSPISLAKALKNPAELEGMQNCHLRDAAALAQFWCWLEEEIHNNVELTEVDVADKLLEFRAKKEGFLDTSFDTISGSGANGAIIHYRAEIGSCSVVDPNKLFLLDSGAQYIDGTTDITRTVHFGEPTAREKECFTRVLKGHIALDQAVFPENTPGFVLDAFARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNTTPLQKGMIVSNEPGYYEDHAFGIRIENLLHVQEINTPNRYGGIEYLGFEKLTFFPIQVSPLVEGSARQWLWNNTRVIHKQ >KJB46000 pep chromosome:Graimondii2_0_v6:7:56766067:56775785:1 gene:B456_007G342300 transcript:KJB46000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVPSQAIRPLSLNASLSSSRLPFFSFSLPIFHKFKINPSIYNKSQKVPLFLTLRCCSSGSVTAKPSSEDRRNRDVQEPDEKVVALRRLFSKPGIGIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAVVTKDKAALWTDGRYFLQAEKQLSSSWILMRAGSLGVPTTSEWLNDVLSPGGRVGIDPFLFSHDAAKELKEAISKKNLELVYLYDLNLVDEIWKESRPKPPNNPVRVHDMKYAGLDVASKLSSLRAELVGTGASAIVISMLDEVAWLLNLRGSDGPHSPVMYAYLIVEVDGAKLFVDNSKVTKEVMDHLKNASVELRPYDSILSEIRRLAAQGAQLWLDTSSVNAAIAETYKSALDKYRSNHGSKGKIKNKRYDESNGLSEGPSGVYMRSPISLAKALKNPAELEGMQNCHLRDAAALAQFWCWLEEEIHNNVELTEVDVADKLLEFRAKKEGFLDTSFDTISGSGANGAIIHYRAEIGSCSVVDPNKLFLLDSGAQYIDGTTDITRTVHFGEPTAREKECFTRVLKGHIALDQAVFPENTPGFVLDAFARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNTTPLQKGMIVSNEPGYYEDHAFGIRIENLLHVQEINTPNRYGGIEYLGFEKLTFFPIQARLVDISLISDDEIEWLNNYHSQVWEKVSPLVEGSARQWLWNNTRVIHKQ >KJB46003 pep chromosome:Graimondii2_0_v6:7:56768528:56775763:1 gene:B456_007G342300 transcript:KJB46003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYAGLDVASKLSSLRAELVGTGASAIVISMLDEVAWLLNLRGSDGPHSPVMYAYLIVEVDGAKLFVDNSKVTKEVMDHLKNASVELRPYDSILSEIRRLAAQGAQLWLDTSSVNAAIAETYKSALDKYRSNHGSKGKIKNKRYDESNGLSEGPSGVYMRSPISLAKALKNPAELEGMQNCHLRDAAALAQFWCWLEEEIHNNVELTEVDVADKLLEFRAKKEGFLDTSFDTISGSGANGAIIHYRAEIGSCSVVDPNKLFLLDSGAQYIDGTTDITRTVHFGEPTAREKECFTRVLKGHIALDQAVFPENTPGFVLDAFARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNTTPLQKGMIVSNEPGYYEDHAFGIRIENLLHVQEINTPNRYGGIEYLGFEKLTFFPIQARLVDISLISDDEIEWLNNYHSQVWEKVSPLVEGSARQWLWNNTRVIHKQ >KJB46001 pep chromosome:Graimondii2_0_v6:7:56766128:56775756:1 gene:B456_007G342300 transcript:KJB46001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVPSQAIRPLSLNASLSSSRLPFFSFSLPIFHKFKINPSIYNKSQKVPLFLTLRCCSSGSVTAKPSSEDRRNRDVQEPDEKVVALRRLFSKPGIGIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAVVTKDKAALWTDGRYFLQAEKQLSSSWILMRAGSLGVPTTSEWLNDVLSPGGRVGIDPFLFSHDAAKELKEAISKKNLELVYLYDLNLVDEIWKESRPKPPNNPVRVHDMKYAGLDVASKLSSLRAELVGTGASAIVISMLDEVAWLLNLRGSDGPHSPVMYAYLIVEVDGAKLFVDNSKVTKEVMDHLKNASVELRPYDSILSEIRRLAAQGAQLWLDTSSVNAAIAETYKSALDKYRSNHGSKGKIKNKRYDESNGLSEGPSGVYMRSPISLAKALKNPAELEGMQNCHLRDAAALAQFWCWLEEEIHNNVELTEVDVADKLLEFRAKKEGFLDTSFDTISGSGANGAIIHYRAEIGSCSVVDPNKLFLLDSGAQYIDGTTDITRTVHFGEPTAREKECFTRVLKGHIALDQAVFPENTPGFVLDAFARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNTTPLQKGMIVSNEPGYYEDHAFGIRIEARLVDISLISDDEIEWLNNYHSQVWEKVSPLVEGSARQWLWNNTRVIHKQ >KJB40040 pep chromosome:Graimondii2_0_v6:7:3011234:3016792:-1 gene:B456_007G043600 transcript:KJB40040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGGKVVVPAKKKQEKVVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKLLLKYRPEDKAAKKDRLLKKAQAEAEGKAPESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSIVHKKTASVLCLTTVKNEDKLDFSKILEAIKANFNDKYDEYRKKWGGGIMGSKSQARTKAKEKLLAKEAAQRMT >KJB40039 pep chromosome:Graimondii2_0_v6:7:3010945:3013953:-1 gene:B456_007G043600 transcript:KJB40039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGGKVVVPAKKKQEKVVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKLLLKYRPEDKAAKKDRLLKKAQAEAEGKAPESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSIVHKKTASVLCLTTVKNEDKLDFSKILEAIKANFNDKYDEYRKKWGGGIMGSKSQARTKAKEKLLAKEAAQRMT >KJB45748 pep chromosome:Graimondii2_0_v6:7:54509490:54510028:-1 gene:B456_007G325400 transcript:KJB45748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFRLCLFTISFITLTFTLQPFHVLSDEAMIINVCNKTPDPSLCQTCLNSDPKSKTADFRGLAMISITCGTRDADKLYNDTYNLYTSRNDTALHNLIDNCWTRFIGARNGIDGAGRKNPEVAVPKYIIDETNVVSTDCQIILGILNNF >KJB46041 pep chromosome:Graimondii2_0_v6:7:57337657:57339844:1 gene:B456_007G345300 transcript:KJB46041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQIDHAKYFVATLLPPIIVSFILLSSNCRLIHAAVEVAVSSSSSEAVISRFLNNVSRRLKEINSRPPAPRFNVPPHYRFRAPPNYKAPPPPTVVPTPAPQPQTPSG >KJB39375 pep chromosome:Graimondii2_0_v6:7:742818:743911:-1 gene:B456_007G009600 transcript:KJB39375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLPKVTWFRLDLYNWEGFWYVGDHLPGAMDAKSNFQAKDDDVLLTSSVKTGTTWLKAIIPFPTIMNPKGRKDDGSDDPSLKHHPNELTPSLELGFYKVNPNPDLSHITLTGPFHDHVLGSWRESIKRPEMIYFMRNEDMKKDPKGEVKKLACFLGRPFEKEEEVEKVLWRCSLDRLKNLENHFTDEMKGKLDQLTAMKFEGSGLDFGY >KJB44412 pep chromosome:Graimondii2_0_v6:7:39712450:39719475:-1 gene:B456_007G251300 transcript:KJB44412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKEEERNEKIIRGLMKLPPNRRCINCNSVGPQYVCTNFWTFVCMACSGIHREFTHRVKSVSMSKFISQEVEALQNGGNQRARDIYLKDWDMQKQRLPDSSNPNKIREFIKNVYVDRKYTSDKPPRDMQSLGNQEDKRRRPSSYHSYSESPPYDYQYEDRRYGKQVAAMLSRKPGSDRGFYVRKASSFVYSPGRSSGHIFEDRFANEASAPRVSDYSVSSGGDTFKSGTGSPNFQKEVVFGSPNSQPQTDILSEDARHQTSNLFVDPNSKKDAAGISHPQRTKSLGSFGSIDKNSMSVKSCNSDIGLDVVSEPEQNVGSPHNKASSFSQSSVPVNYGGPDLFNACNTSASPPIYLFQLPATSPVSSENVFHPAASLMQHGNSYQPSPSIPSVDLFAGISEQPPATSFGRKLPESPVPKTEEWAMFYIPQHAASGPVTKNLSPAVMPSHGDLSMKFDQLQSLNTTMQCPRFENSSSLSSSVKCSQWQEGLHDGRSSTAANCTQEPLVAYNHSATTDLHLGVGVSESLDNDGFPTAIYGDGIAPPYVPMVNPPLGENQLHAAGLKSTNPFDLPYDSELERSDMFLDMSSLQTALPNAQLSSTFLGGVSQPWFPQNPVTPYIPGTQGGLAVFMSGQAPSSQLSNVSTQGPIASIGGNPFA >KJB44411 pep chromosome:Graimondii2_0_v6:7:39713310:39719007:-1 gene:B456_007G251300 transcript:KJB44411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKEEERNEKIIRGLMKLPPNRRCINCNSVGPQYVCTNFWTFVCMACSGIHREFTHRVKSVSMSKFISQEVEALQNGGNQRARDIYLKDWDMQKQRLPDSSNPNKIREFIKNVYVDRKYTSDKPPRDMQSLGNQEDKRRRPSSYHSYSESPPYDYQYEDRRYGKQVAAMLSRKPGSDRGFYVRKASSFVYSPGRSSGHIFEDRFANEASAPRVSDYSVSSGGDTFKSGTGSPNFQKEVVFGSPNSQPQTDILSEDARHQTSNLFVDPNSKKDAAGISHPQRTKSLGSFGSIDKNSMSVKSCNSDIGLDVVSEPEQNVGSPHNKASSFSQSSVPVNYGGPDLFNACNTSASPPIYLFQLPATSPVSSENVFHPAASLMQHGNSYQPSPSIPSVDLFAGISEQPPATSFGRKLPESPVPKTEEWAMFYIPQHAASGPVTKNLSPAVMPSHGDLSMKFDQLQSLNTTMQCPRFENSSSLSSSVKCSQWQEGLHDGRSSTAANCTQEPLVAYNHSATTDLHLGVGVSESLDNDGFPTAIYGDGIAPPYVPMVNPPLGENQLHAAGLKSTNPFDLPYDSELERSDMFLDMSSLQTALPNAQLSSTFLGGVSQPWFPQNPVTPYIPGTQGGLAVFMSGQAPSSQLSPYQQPHIY >KJB46398 pep chromosome:Graimondii2_0_v6:7:59764153:59769095:-1 gene:B456_007G365500 transcript:KJB46398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIAFDVAGGLASKLSSLALSQIGLWWNFKDDIEDLNNTISTIKAVLLDAEEQSMTSNLVKNWLEKLKDALYDADDLLDDFSTEALRKDLLSGNKLTKEVRLFFSSSNQFVYGLKMGRQIKAIKARLTSIGSEAKMFNLVERDRLVETSFMSKKRQRTHSFAHKDEIIGREDDKAALLKLMLEFQSEENVCIIPIVGFGGLGKTALAQFVYEMVKDHFELRIWVCVSNIFDLKTIVENIIKSVANHAPDQNLEMDQLQKQLRDEIRGKKYLLVLDDIWNEEWEQWVSLKKLLMGGAKGSRIIVTTRSLKVAKITSKCQPHVLKGLSDDDAWSLFKEIAFEQISTDSLSSEFVEIGKQILERCGGVPLVIRTIAGTLSFKNSKNDWLSFKDNELARISQNEGEILPTLNLSYDHLPSHLKHCFAYCRLYPKDQKIDVRTLVQFWIAQGFVKQSNPSQSLEEIGLGYFKDLVERSFFQEVEDDETWFTTCKMHDLMHDLAEVVAGTESSLVDSNSSASEVSEKCRHVSISPLLIPLLKGKKLRTLLCFPKESEQSQIMSEDETWDLIISRCRCLRVLKLNNLNLSTIPRSIHKLKHLRYLDLSDNPNLKILPKSICNMQNLHALKLDWCVGLEELPKKIEKLVNISHIGCVHCYGLTHMPRGIGKLTSLEMLSRFVVDKDGSHGGADLSELSGLINLKGELTISNLGFVKNAKEMFRAANLKEKQHLGALVLEWGDGNNDDEKLLEDLQPHPNLKMLKVDGWRGDAKFPSWLSLLKKLVDITIWGPSNFKHLSSIAQLPCLQQLKISNLTELEYMEDHGPNGRQGDTESFFPSLKVLRLWNCPNMKSWWRTTKPIDDDSNEDDTTVIRASTMAFPCLSSLDIKYCPLTSMPLYPSLNEKLKLVNTSSRPLKQTIKMSITSTTPSTSTSSLPLSKLKSFHAENIEGLDTHMLDDCLQNMTSLERCFIDSCHLLESLSGWQQHLIGLKTLIIKDCNEVDLEGMQWEPLKNLSCLVIDNIPKLVSLPIGIQHLVQLKSLEIRNCSGLRSLFPVFQHLTSLEEFSIRNCNELELSGAGMQIFQGYTSLRALWLETIPKCQRLPEWLQHLTNLQQLYLVDLPNLTSLPDEMRCLTSLEELCIRQTPQLEERCRKDIGADWHKIAHIATVTIEDF >KJB41226 pep chromosome:Graimondii2_0_v6:7:7035500:7038764:1 gene:B456_007G095700 transcript:KJB41226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMACIPRRLSQITLFNTKNLTLYHITLVVILCTTFYLIGIWQHSVGTTFSSSSAAAAFLSSVPCSSLKTTQLDFLPHHLPPDPPSETTRAAQFPPCDPSFSEYTPCEDVQRSLKFDRDMLIYRERHCPEKDELLKCRIPAPYGYKVPFRWPQGREFAWFANVPHKELTVEKKNQNWIKVEGDRFRFPGGGTMFPHGADAYIDDIDKLISLRDGSIRTAIDTGCGVASWGAYLLSRNILAMSFAPRDTHEAQVQFALERGVPAMIGVMASIRLPYPSRAFDMAHCSRCLIPWGQYDGLYLIEVDRVLRAGSYWILSGPPINWQKHWKGWNRTTDDLKSEQSRIETVAKSLCWKKLVQKDDLAIWQKPTNHVHCKANRKVFKRPPICYTQNPDMAWYTKLETCLTPLPRVSNIKEIAGGQLAKWPERLNAIPPRISSGSLPGITETVFVENSELWKKRVEYYKTIDHQLAETGRYRNLLDMNAYLGGFAAALVDDPVWVMNIVPVEADQINTLGVVYERGLIGAYQNWCEAMSTYPRTYDFIHGDAIFSLYKDRCEMEDIVLEMDRILRPESSVIIRDDVDVLMKLKNMMDGMQWDGRIVDHEDGPHERTKILFAVKQYWVASPLSQNQ >KJB45961 pep chromosome:Graimondii2_0_v6:7:56581112:56583062:1 gene:B456_007G340400 transcript:KJB45961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETIVLYPSPGLGHVVSMVELGKLILHHYNNRYSITILLTTGFWDTPTIISYINSVSQAFPSISFFRFPSISIDLSQNCSCAAIAFHFISLHAPNVLHSLQQISKSHKISAFIIDIFCTSALSMGKDLNIPTFYFFTSGASTLAAFLQIPKLDKQTFGRSFKDLPNMVFHFEGVPSLRAVHMPEPLLDRDDPAYHDCMYFMSSLQQSDGIIVNTFEDLEPISIKAIANGLCLLDAPSTPPTFYIGPLISPSKHEAENDYCLSWLEKQPSQSVVFLCFGSRGTFYPPQVKEIAKGLENSGQRFLWVVKDPPNHEKAKQAEDNPDVDLDSLLPEGFMERTRDRGLVVKSFAPQVAVLNKDSIGGFVTHCGWNSVLEAVMAGVPMIAWPLYAEQHLNRNILVEDMKMAIPVEQMEGDGFVSGTELEKRVRELMESEKGEELREKSRKMKEKALAAMGPSGSSTKALTKVVELWK >KJB46414 pep chromosome:Graimondii2_0_v6:7:59947266:59951976:1 gene:B456_007G366600 transcript:KJB46414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGAGASDGPTLPYQPSPTASTAPASTVPSVVPPIRRHLAFASTKPPFVHPDDYHRFSSSNSRGIVADQEVEAIVVRFPQLKRKSAADKNEVESSQWTSSPGVTSISNSPFQTPVSAKGGRIDNRSKTSKANKSMPQTPVSNAGCPSPLTPAGSCRYDSSLGLLTKKFVNLMKHAEDGILDLNKAAETLEVQKRRIYDITNVLEGIGLIEKKFKNRIQWKGVGASKPGETDGDVSVIQEEIENLSMEERRLDDQIREMQERLRDLSENENNQKLLFVTEEDIKGIPCFQNETLIAIKAPHGTTLEVPDPDEDVDYRQRRYRIILRSTMGPIDVYLVSQFEEKFEEMNGVEPPLRVPLASSSGSNENQVELVNTVSIQKEIELQAQQTHQICSDINATQESVGGMMRIVPSDIDNDADYWLLSDADVSITDMWKTDCVEWSGVDMLHSDFGMAEVGTPRPESPPSRMTEVPSSDFKSTR >KJB46415 pep chromosome:Graimondii2_0_v6:7:59947290:59951683:1 gene:B456_007G366600 transcript:KJB46415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGAGASDGPTLPYQPSPTASTAPASTVPSVVPPIRRHLAFASTKPPFVHPDDYHRFSSSNSRGIVADQEVEAIVVRFPQLKRKSAADKNEVESSQWTSSPGVTSISNSPFQTPVSAKGGRIDNRSKTSKANKSMPQTPVSNAGCPSPLTPAGSCRYDSSLGLLTKKFVNLMKHAEDGILDLNKAAETLEVQKRRIYDITNVLEGIGLIEKKFKNRIQWKGVGASKPGETDGDVSVIQEEIENLSMEERRLDDQIREMQERLRDLSENENNQKLLFVTEEDIKGIPCFQNETLIAIKAPHGTTLEVPDPDEDVDYRQRRYRIILRSTMGPIDVYLVSQFEEKFEEMNGVEPPLRVPLASSSGSNENQVELVNTVSIQKEIELQAQQTHQICSDINATQESVGGMMRIVPSDIDNDADYWLLSDADVSITDMWKTDCVEWSGVDMLHSDFGMAEVGTPRPESPPSRMTEVPSSDFKSTR >KJB43244 pep chromosome:Graimondii2_0_v6:7:18510541:18512437:1 gene:B456_007G190000 transcript:KJB43244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSISCFLLVLVSLLAVALAEATTESPAVYIFGDSILDVGTNNYIPECLAKADFYFNGIDFPYSEPTGRFSNGLNTADEIVRLLGLRRSPPPFLQLVNDPLTFRKNILKGANFASGGSGILNSTGQYQYIRVISMGDQVQQFSTVRSNITNMTASDAATDAILSKAFFLISVGSNDIFEYLLNITRPPMTIPEFNATLVSTYEYHLKTLYELGARKIGILTVPPIGCTPIARARANGNCSEPAQRFAQAFYTEAVALLEKLSSQVPDLRYSLANTYLMTTGMMENMFAFGLRDITSACCGNGTYACNQTASFCSNRDEYLFWDQFHPTQRVSELAALTLFGGSESFVAPMNFSQLLGVNI >KJB45462 pep chromosome:Graimondii2_0_v6:7:52073889:52075489:1 gene:B456_007G307000 transcript:KJB45462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASCLLTGSYGSTLRYKSVERMKPIGKHSLLGASGEISDFQEILKYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKKGEKYLGTVSMIGVNFEDNHVATGFGNHLARPILRQEWHENLSFEDGVKLLEKCMRVLLYRDRSAINKLQIAKITEEGMTISPPYSLKTYWEFAAFENPTQGAVGSW >KJB45460 pep chromosome:Graimondii2_0_v6:7:52071748:52075491:1 gene:B456_007G307000 transcript:KJB45460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTASKQPENCLLGPESDSQRTLYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERMKPIGKHSLLGASGEISDFQEILKYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKKGEKYLGTVSMIGVNFEDNHVATGFGNHLARPILRQEWHENLSFEDGVKLLEKCMRVLLYRDRSAINKLQIAKITEEGMTISPPYSLKTYWEFAAFENPTQGAVGSW >KJB45461 pep chromosome:Graimondii2_0_v6:7:52071832:52075489:1 gene:B456_007G307000 transcript:KJB45461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFSLMQFTASKQPENCLLGPESDSQRTLYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERMKPIGKHSLLGASGEISDFQEILKYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKKGEKYLGTVSMIGVNFEDNHVATGFGNHLARPILRQEWHENLSFEDGVKLLEKCMRVLLYRDRSAINKLQIAKITEEGMTISPPYSLKTYWEFAAFENPTQGAVGSW >KJB45910 pep chromosome:Graimondii2_0_v6:7:56171561:56173684:-1 gene:B456_007G3372001 transcript:KJB45910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPLPFSFFPCLLLIPAICFTICHANSNLLCIQSEREALLKFKNHLIDPSNRLSSWVEGGDCCEWTGVVCHNSTGHVNQLHLAAPLSEPDGFATNAEWEAYNNSPLGGKINASLLELKHLSSLDLSNNDFSSIHIPKFFGLLESLTYLNLSGALFQGAIPHTLGNLSNLQYLDLGGNDLKSKSLQWVSGLSSLQYLDLSSANLSKATDWVQVTFKLPSLLELHLLGCGLEDDPSPTSVNSTKSLVVLDLSGNSLSSVPKWIFSLHGLVSIDLSGNSLEGPIPDYFGNISFLEVLDLSINFLNSPIPNSLYSLNRLQFLSLRGTELQGTISSAIGNLSSVTHLDLSDSQLSGQIPLSIGELSSLKLFDVSNNQLNGQIPLSIGQLSSLEEFDVSENQLNGQIPLSIGELSSLKLFDVSKNQLNGQIPLSFGQLSSLEVFDVSENQLNGTFPLSFGRLESLETLDCGYNLLGVVSETHFSNLTRLTTLAASHNRLRFEPNSSWIPHFNVNGSNWVTGILAQRISDVMPTWFLNLPTPFESLNLSSNQLRGEISYLNVRSSVDLSSNRFIGPLPRVFPTLVFLMLSNNSFSGSLFELLCNSSSGKLTEVLYIDKNLISGDIPDCWNHWQSLVLLNLGSNNLTGKIPPSLWRLNLIMLNLRTNTMFGELPSTLQNSPYLVMFDLSENHFSG >KJB45833 pep chromosome:Graimondii2_0_v6:7:55451823:55454680:-1 gene:B456_007G331700 transcript:KJB45833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQCNVCEVAEAKVLCCSDEAALCLECDEKVHAANKLASKHQRVPLSSSSSHMPTCDICQETSGFFFCLQDRALLCRKCDIAIHTANPYVSSHQRFVLTGVKVGLDTTTDPVGSSSYIKSPSSEKTSETKSDSMSKRDAPMSFISECNEVLPSIIGVENSVPTKVSYGGGSTAGGIQSWHMDDLFGLTGLNQSFGYMDNELSKADSGKCGDSDGSPFLRPAEEEVFDDEYMGQVPESHWAVPQVPSPPTASGLYWPKDSHNQSDSAVFVPDISWSSVENPFH >KJB43567 pep chromosome:Graimondii2_0_v6:7:21376696:21378933:1 gene:B456_007G206100 transcript:KJB43567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGGCVPSKKRQPSSAEGSAAATATATTATINAPIEADEETHETASVAVNSTNAQSVTATLKIFIVYYSMYGHVEKLAKRMKKGVDGVEGVEAVLYRVPETLPADVLDHMKAPSKNPEVPEIKAAELAEADGVLFGFPTRFGCMAAQMKAFFDSSGQLWKEQTLAGKPAGFFVSTGTQGGGQEATAWTAITQLAHHGMLFVPIGYTFGAGMFKMDSIRGGSPYGAGVYAGDGTREPTETELGLAEHQGKYMAGVVKRLCQA >KJB43568 pep chromosome:Graimondii2_0_v6:7:21376706:21378914:1 gene:B456_007G206100 transcript:KJB43568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGGCVPSKKRQPSSAEGSAAATATATTATINAPIEADEETHETASVAVNSTNAQSVTATLKIFIVYYSMYGHVEKLAKRMKKGVDGVEGVEAVLYRVPETLPADVLDHMKAPSKNPEVPEIKAAELAEADGVLFGFPTRFGCMAAQMKAFFDSSGQLWKEQTLAGKPAGFFVSTGTQGGGQEATAFMHFLCFPLVQLDGNNPVGTPWNAVCSYWLHFWSWYVQDGFHTRRFSVWCWSLCG >KJB43569 pep chromosome:Graimondii2_0_v6:7:21376730:21378914:1 gene:B456_007G206100 transcript:KJB43569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHVEKLAKRMKKGVDGVEGVEAVLYRVPETLPADVLDHMKAPSKNPEVPEIKAAELAEADGVLFGFPTRFGCMAAQMKAFFDSSGQLWKEQTLAGKPAGFFVSTGTQGGGQEATAWTAITQLAHHGMLFVPIGYTFGAGMFKMDSIRGGSPYGAGVYAGDGTREPTETELGLAEHQGKYMAGVVKRLCQA >KJB44957 pep chromosome:Graimondii2_0_v6:7:48929639:48932591:1 gene:B456_007G285600 transcript:KJB44957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKVLEAKEGTISVASAFPGHQEAVRDRDHKFLTQAIGEAYKGVECKDGGPFGAVVVRNDEVVVSCHNMVLRNTDPTAHAEVTAIREACKKLNQIELSDCEIYASCEPCPMCFGAIHLSRIKVRCQTLLFLNVYNLCH >KJB44959 pep chromosome:Graimondii2_0_v6:7:48930773:48932591:1 gene:B456_007G285600 transcript:KJB44959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKMLLFLFIVQPAVRDRDHKFLTQAIGEAYKGVECKDGGPFGAVVVRNDEVVVSCHNMVLRNTDPTAHAEVTAIREACKKLNQIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANLEIKQADGTGAVIAEQVFEKTKEKFTLY >KJB44956 pep chromosome:Graimondii2_0_v6:7:48929583:48932750:1 gene:B456_007G285600 transcript:KJB44956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKVLEAKEGTISVASAFPGHQEAVRDRDHKFLTQAIGEAYKGVECKDGGPFGAVVVRNDEVVVSCHNMVLRNTDPTAHAEVTAIREACKKLNQIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANLEIKQADGTGAVIAEQVFEKTKEKFTLY >KJB44958 pep chromosome:Graimondii2_0_v6:7:48929913:48931608:1 gene:B456_007G285600 transcript:KJB44958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKVLEAKEGTISVASAFPGHQEAVRDRDHKFLTQAIGEAYKGVECKDGGPFGAVVVRNDEVVVSCHNMVLRNTDPTAHAEVTAIREVRSKELNKPTKQFNQIYRSCPYVYLK >KJB40042 pep chromosome:Graimondii2_0_v6:7:3023940:3025406:-1 gene:B456_007G043800 transcript:KJB40042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISADNPWVFISGLLGNISSLVVFLAPLPTFIRVCKKKSTEGFQSIPYVVSLFSAMLWIYYAYVKTGAFLLITINSFGCVIETLYIALYITYAPKEARIFTLRILLMLDFGVFCAILLITHFLAKGSNRVQLLGWISVVFATSVFAAPLSIIRQVIRTKSVEFMPFYLSIMLTLSAIMWLLYGIFLKDLYIAIPNVLGFIFGMLQMVLHAVYRKHKTVSEDVKLPEHCVDVTNVSTVTDSDDHRVPETVSSSEPPQVHDHRMIGMTCKSQNYQRDQRDKIMDSPNPNPNLLGTCEA >KJB39736 pep chromosome:Graimondii2_0_v6:7:1949334:1961019:-1 gene:B456_007G028500 transcript:KJB39736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDFQSPNLHLAGEGNNKFPPVLRPYALSKFDFDDNLHGRLRFDSLVETEAFLGIESGEDNQWIEDFSRGSSGIAFSSSAAEPCSISRHNNVWSEAASSESVEMLLKSVGQDESVIGQSISKDSDACDELGGIIRKMEPSLEHGDSGLSKVGLQPALQTGEIPGKLSGLEGDVLGDHGDVAQTHKFDPSVDGALKAPNIRNTDISERERSKDGEEIVVNENQVEVSVDEFVDNREQVDNFASGSQTDIVIPSVKNTCASSKDSDASDEQGGIIKQMEPSLKHGDCGLSEVDGGLQPALQTGEIPGKFSGLKGDVSGDHLLVEDVSQSHEFELSIDRALEVPNMRNTDLPERDESKDGEQIVANQNQVEASVDQLVDNREQADKFASGSQVDTVIPSVQNTRSSSAFLESQDKIPFKNDVIDETVVSLARENVDSSQEVHIDSENLIGNAGASVTLHVQKHSALDIQSKEEGHAIGNIIPTVGKPSDRILKENSDLHMVEGCSEALGVESPPRTGISKDIVLSVGKLHNISPMPFVGDTNLKEKESETSNTDAQISVSRESKLDNLDSMVQVACDAIEKDLSESHCHSDSKILSSKPEKYLLSVQDVKGSKGEGDGAHNTLGAERTRIDEEFTVSEHNDDYKFDQSVSAAAKQNTQLPSDCSKTDHGEGGSPVVIKGVDSSSFGTGDNVAISGKSVDCVLLPYGKSLPSAAVFDQKEVQVSSPEASLSIMKSTEMKTVKGAPCETGEQSSCKKVDQSLSSEDTSNAVGQSGDQTVHGVSLEAVKNMHAPSNVSDSIVRETDGAEAEVVSKRGSSVSSGAVSIQDNNKTLTNSEPSTSKELSHNADQNHPEDGDHKLPSEQISGQIVVHHADGDHVKTHNSTFPSAPSSESQTKIHIMECGSSSADLDNPSCGSPILVRISEQPESEIGTPTVKGSKDLGASVSGVTNGEGNKEMSISQDTKGHVASSGDGSFTFEVSPLASLSEKAAGKNWQPFSTVQHDKTSSVVERIPSTSKRENLGGGSKGTSERKTRRAGSKSTGKEAAKKGVVAKDTTPARQSERSGRTSNVSLSKSEIGQLVKSNEMQYLGHMEVFNQPFTDLQQVQLRSQIFVYGALIQGIAPDEAYMISAFGGPDGGRTIWEKAWQACMDRVHCKKSLVSPETPLQTHIGAKTPDQSIKQNALQTKTTSSPASQSNSRGTSTTIVKPMIPLSSPLWTIPTPSSDALQPASIPRGAVADYQQALSPLHTPPIRNFVGHNAPWMSQSPFRGPWVPQSSAFDNNTHFPVSTVPMVQSGSPANVLAGTPLLDNKNATFRTGQHSADPKPRKRKISTVSEDHGQIILHSQTETLLDTVVNSHASTPAAITTPAAIVSKLATDKFITSVSTDYLEKGDRDSDPKATLSEETLGKLKEAQKQAEVAAALAAAAVSHSQEIWNQLDKQKNTGLAPDVETKLTSAAVAIAAAAAVAKAAAAAANVASNAALQAKMMADEVLVPSGCRDPIPINALSSDSVKKLGRATTASILRGEDASTSSNSVIVAAKEASKRRVEAASAASKQAENMDAVVKAAELAAEAVSQAGKIVMGEPFPLTELVEAGPEAYWKVSHASPEPNAAIREHLDKGGNVEAPGSVVGHSEEVPTDKNENQSNNHEISLVLREMARDSVQDHSRLTDGILGSAATSGNDKKGQKGRKASDIAKSKEVSTNTEHGKAKETSNDNNVKEGSHVEVLRDGDGGGLKVAWFPADILELKDGKAYVCYNELRSEDGDRLKEWVEVEGEGDRAPWIRSARPITAMPFEGTRKRRRAAMGDYNWSTGDRVDAWIQDSWWEGVVNEKSKKDETSFTIHFPAQGETSVVKAWLLRPSLMWKDGNWVEWSCCGDNDGSSHEGDTPLEKRPRIGSPVIQNKEDSTKCFDSKESEKPDNTRLLDLTAGEKIFNIGKSTRDESKPGSLRMKRSGLKKEGSRVIFGVPKPGKKRKFMEVSKHYVADRSSRTLGTSDSAKFTKYSMPRGSEPGTKNKTEPKEKRNVISKPKVLKSGKPPSVSSRTIPQKDSLSSIVGSEPDDAVTADVSKFKDSASGAENISGEHNLELRSSSSDGAAEGQVLFSCAALPSDAPPEKASTSNAKSESISKGKLAPVSGKLAKVEEEMKIVSEAVEPRRSNRKIQPTSRLLEGIQSSLIISKVPVSHDKGQKGQSRSTRGSNQG >KJB39738 pep chromosome:Graimondii2_0_v6:7:1949675:1960042:-1 gene:B456_007G028500 transcript:KJB39738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDFQSPNLHLAGEGNNKFPPVLRPYALSKFDFDDNLHGRLRFDSLVETEAFLGIESGEDNQWIEDFSRGSSGIAFSSSAAEPCSISRHNNVWSEAASSESVEMLLKSVGQDESVIGQSISKDSDACDELGGIIRKMEPSLEHGDSGLSKVGLQPALQTGEIPGKLSGLEGDVLGDHGDVAQTHKFDPSVDGALKAPNIRNTDISERERSKDGEEIVVNENQVEVSVDEFVDNREQVDNFASGSQTDIVIPSVKNTCASSKDSDASDEQGGIIKQMEPSLKHGDCGLSEVDGGLQPALQTGEIPGKFSGLKGDVSGDHLLVEDVSQSHEFELSIDRALEVPNMRNTDLPERDESKDGEQIVANQNQVEASVDQLVDNREQADKFASGSQVDTVIPSVQNTRSSSAFLESQDKIPFKNDVIDETVVSLARENVDSSQEVHIDSENLIGNAGASVTLHVQKHSALDIQSKEEGHAIGNIIPTVGKPSDRILKENSDLHMVEGCSEALGVESPPRTGISKDIVLSVGKLHNISPMPFVGDTNLKEKESETSNTDAQISVSRESKLDNLDSMVQVACDAIEKDLSESHCHSDSKILSSKPEKYLLSVQDVKGSKGEGDGAHNTLGAERTRIDEEFTVSEHNDDYKFDQSVSAAAKQNTQLPSDCSKTDHGEGGSPVVIKGVDSSSFGTGDNVAISGKSVDCVLLPYGKSLPSAAVFDQKEVQVSSPEASLSIMKSTEMKTVKGAPCETGEQSSCKKVDQSLSSEDTSNAVGQSGDQTVHGVSLEAVKNMHAPSNVSDSIVRETDGAEAEVVSKRGSSVSSGAVSIQDNNKTLTNSEPSTSKELSHNADQNHPEDGDHKLPSEQISGQIVVHHADGDHVKTHNSTFPSAPSSESQTKIHIMECGSSSADLDNPSCGSPILVRISEQPESEIGTPTVKGSKDLGASVSGVTNGEGNKEMSISQDTKGHVASSGDGSFTFEVSPLASLSEKAAGKNWQPFSTVQHDKTSSVVERIPSTSKRENLGGGSKGTSERKTRRAGSKSTGKEAAKKGVVAKDTTPARQSERSGRTSNVSLSKSEIGQLVKSNEMQYLGHMEVFNQPFTDLQQVQLRSQIFVYGALIQGIAPDEAYMISAFGGPDGGRTIWEKAWQACMDRVHCKKSLVSPETPLQTHIGAKTPDQSIKQNALQTKTTSSPASQSNSRGTSTTIVKPMIPLSSPLWTIPTPSSDALQPASIPRGAVADYQQALSPLHTPPIRNFVGHNAPWMSQSPFRGPWVPQSSAFDNNTHFPVLPITEAVNLTPVREASVPNSSIMKQVSTVPMVQSGSPANVLAGTPLLDNKNATFRTGQHSADPKPRKRKISTVSEDHGQIILHSQTETLLDTVVNSHASTPAAITTPAAIVSKLATDKFITSVSTDYLEKGDRDSDPKATLSEETLGKLKEAQKQAEVAAALAAAAVSHSQEIWNQLDKQKNTGLAPDVETKLTSAAVAIAAAAAVAKAAAAAANVASNAALQAKMMADEVLVPSGCRDPIPINALSSDSVKKLGRATTASILRGEDASTSSNSVIVAAKEASKRRVEAASAASKQAENMDAVVKAAELAAEAVSQAGKIVMGEPFPLTELVEAGPEAYWKVSHASPEPNAAIREHLDKGGNVEAPGSVVGHSEEVPTDKNENQSNNHEISLVLREMARDSVQDHSRLTDGILGSAATSGNDKKGQKGRKASDIAKSKEVSTNTEHGKAKETSNDNNVKEGSHVEVLRDGDGGGLKVAWFPADILELKDGKAYVCYNELRSEDGDRLKEWVEVEGEGDRAPWIRSARPITAMPFEGTRKRRRAAMGDYNWSTGDRVDAWIQDSWWEGVVNEKSKKDETSFTIHFPAQGETSVVKAWLLRPSLMWKDGNWVEWSCCGDNDGSSHEGDTPLEKRPRIGSPVIQNKEDSTKCFDSKESEKPDNTRLLDLTAGEKIFNIGKSTRDESKPGSLRMKRSGLKKEGSRVIFGVPKPGKKRKFMEVSKHYVADRSSRTLGTSDSAKFTKYSMPRGSEPGTKNKTEPKEKRNVISKPKVLKSGKPPSVSSRTIPQKDSLSSIVGSEPDDAVTADVSKFKDSASGAENISGEHNLELRSSSSDGAAEGQVLFSCAALPSDAPPEKASTSNAKSESISKGKLAPVSGKLAKVEEEMKIVSEAVEPRRSNRKIQPTSRLLEGIQSSLIISKVPVSHDKGQKGQSRSTRGSNQG >KJB39737 pep chromosome:Graimondii2_0_v6:7:1949334:1961239:-1 gene:B456_007G028500 transcript:KJB39737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDFQSPNLHLAGEGNNKFPPVLRPYALSKFDFDDNLHGRLRFDSLVETEAFLGIESGEDNQWIEDFSRGSSGIAFSSSAAEPCSISRHNNVWSEAASSESVEMLLKSVGQDESVIGQSISKDSDACDELGGIIRKMEPSLEHGDSGLSKVGLQPALQTGEIPGKLSGLEGDVLGDHGDVAQTHKFDPSVDGALKAPNIRNTDISERERSKDGEEIVVNENQVEVSVDEFVDNREQVDNFASGSQTDIVIPSVKNTCASSKDSDASDEQGGIIKQMEPSLKHGDCGLSEVDGGLQPALQTGEIPGKFSGLKGDVSGDHLLVEDVSQSHEFELSIDRALEVPNMRNTDLPERDESKDGEQIVANQNQVEASVDQLVDNREQADKFASGSQVDTVIPSVQNTRSSSAFLESQDKIPFKNDVIDETVVSLARENVDSSQEVHIDSENLIGNAGASVTLHVQKHSALDIQSKEEGHAIGNIIPTVGKPSDRILKENSDLHMVEGCSEALGVESPPRTGISKDIVLSVGKLHNISPMPFVGDTNLKEKESETSNTDAQISVSRESKLDNLDSMVQVACDAIEKDLSESHCHSDSKILSSKPEKYLLSVQDVKGSKGEGDGAHNTLGAERTRIDEEFTVSEHNDDYKFDQSVSAAAKQNTQLPSDCSKTDHGEGGSPVVIKGVDSSSFGTGDNVAISGKSVDCVLLPYGKSLPSAAVFDQKEVQVSSPEASLSIMKSTEMKTVKGAPCETGEQSSCKKVDQSLSSEDTSNAVGQSGDQTVHGVSLEAVKNMHAPSNVSDSIVRETDGAEAEVVSKRGSSVSSGAVSIQDNNKTLTNSEPSTSKELSHNADQNHPEDGDHKLPSEQISGQIVVHHADGDHVKTHNSTFPSAPSSESQTKIHIMECGSSSADLDNPSCGSPILVRISEQPESEIGTPTVKGSKDLGASVSGVTNGEGNKEMSISQDTKGHVASSGDGSFTFEVSPLASLSEKAAGKNWQPFSTVQHDKTSSVVERIPSTSKRENLGGGSKGTSERKTRRAGSKSTGKEAAKKGVVAKDTTPARQSERSGRTSNVSLSKSEIGQLVKSNEMQYLGHMEVFNQPFTDLQQVQLRSQIFVYGALIQGIAPDEAYMISAFGGPDGGRTIWEKAWQACMDRVHCKKSLVSPETPLQTHIGAKTPDQSIKQNALQTKTTSSPASQSNSRGTSTTIVKPMIPLSSPLWTIPTPSSDALQPASIPRGAVADYQQALSPLHTPPIRNFVGHNAPWMSQSPFRGPWVPQSSAFDNNTHFPVLPITEAVNLTPVREASVPNSSIMKQVSTVPMVQSGSPANVLAGTPLLDNKNATFRTGQHSADPKPRKRKISTVSEDHGQIILHSQTETLLDTVVNSHASTPAAITTPAAIVSKLATDKFITSVSTDYLEKGDRDSDPKATLSEETLGKLKEAQKQAEVAAALAAAAVSHSQEIWNQLDKQKNTGLAPDVETKLTSAAVAIAAAAAVAKAAAAAANVASNAALQAKMMADEVLVPSGCRDPIPINALSSDSVKKLGRATTASILRGEDASTSSNSVIVAAKEASKRRVEAASAASKQAENMDAVVKAAELAAEAVSQAGKIVMGEPFPLTELVEAGPEAYWKVSHASPEPNAAIREHLDKGGNVEAPGSVVGHSEEVPTDKNENQSNNHEISLVLREMARDSVQDHSRLTDGILGSAATSGNDKKGQKGRKASDIAKSKEVSTNTEHGKAKETSNDNNVKEGSHVEVLRDGDGGGLKVAWFPADILELKDGKAYVCYNELRSEDGDRLKEWVEVEGEGDRAPWIRSARPITAMPFEGTRKRRRAAMGDYNWSTGDRVDAWIQDSWWEGVVNEKSKKDETSFTIHFPAQGETSVVKAWLLRPSLMWKDGNWVEWSCCGDNDGSSHEGDTPLEKRPRIGSPVIQNKEDSTKCFDSKESEKPDNTRLLDLTAGEKIFNIGKSTRDESKPGSLRMKRSGLKKEGSRVIFGVPKPGKKRKFMEVSKHYVADRSSRTLGTSDSAKFTKYSMPRGSEPGTKNKTEPKEKRNVISKPKVLKSGKPPSVSSRTIPQKDSLSSIVGSEPDDAVTADVSKFKDSASGAENISGEHNLELRSSSSDGAAEGQVLFSCAALPSDAPPEKASTSNAKSESISKGKLAPVSGKLAKVEEEMKIVSEAVEPRRSNRKIQPTSRLLEGIQSSLIISKVPVSHDKGQKGQSRSTRGSNQG >KJB42719 pep chromosome:Graimondii2_0_v6:7:14542372:14550077:1 gene:B456_007G165100 transcript:KJB42719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E/G-like protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04270) UniProtKB/Swiss-Prot;Acc:F4IV66] MDVLEAWPRPPPPPRPCTLFLPRTPSCLIRSFNFLSPFPGHHIPLGSVFRFTLCAANHNSLTRSPVMSAKKGLSTATFEGLCEVVWTVEADLAEGQLLYISGEPVALGCWKPETAILMSPTEHANIWMAEVKIAGGVNFKYNYFIKGEKQPLSDITWRPGPQFSLSVPPRKKPERKIIVRDSWMSPKSETYLPHAWGSWIEEISTPIKPSVSQAEDEDKIMKHHESDLSEAKPFLNDLIGMDEIEPSDMVAISDAEEGLYSTISERDQPVEEPWFLHSPLSFLSYGDGMEANSAKDEKTRLEANNQHDQITEKFLSEENSRLIFKDSVSTVILINSSICTMQRIAVLEDGKLVELLLEPVKSHVQCDSVYLGVVTKLVPHMGGAFVNIGSSRHSLMDIKHNREPFIFPPFRQRKKKQAKDFASGSLSEPSAANEIEPSSEDVFFEDAAEDDFEDEDMQFMHNNSDGNDVGDDFDVLGVLKENVNGSVVDYGEVDADFEDLLDGEHHLEGNLIGASSLEMSNSCSVSHSQDIEGADENKWHHVRKGTKIIVQVVKEGLGTKGPTLTPYPKLRSRFWILLACCDRIGVSKKITGVERTRLKVIAKTLQPQGFGLTVRTVATGRSLEELQKDLEGLLSTWKNIVEHAKSAALAADEGVEGATPVLLHRAMGQTLSVVQDYFNDNVNKMVVDSPRTYHEVTNYLQDIAPDLCDRVELYDKRIPLFDAFNIEEEINSILSKRVPLPNGGSLVIEQTEALVSIDVNGGHGMFGHGTSQEKATLDVNLAAAKQIARELRLRDIGGIIVVDFIDMADDSNKRLVYEEVKKAVERDRSMVKVSELSKHGLMEITRKRVRPSVTFMVSEPCTCCHGTGRVEALETSFSKIEQEIGRLLAVMKQKTHPENPKSWPRFILRVDQHMCNYLTSGKRTRLAILSSSLKVWILLKVARGFTRGAFELKPFTEEKAGKNQHQVAISMLRTAEAGTSKSGKKLTLVPVKRAKVDRK >KJB45235 pep chromosome:Graimondii2_0_v6:7:50713982:50715774:-1 gene:B456_007G296800 transcript:KJB45235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQGNHQLASFHPLQEVLEQCSSLDKCCFEFVIHWIVSIFNVGEWRRMSLPAMLVGETVGEILQASQFAREILAAVDNKTKKITVEDPKTPLAEHRKQKQQPENTELKARRKREKQNKLQLIRTESDSPSLQRARSRINFKVSSPKFKELDKENNRLMANRVSPRNRPWAKKTVLFPNPLFSSTPASKQQKFCKTRSPVIARNRQTPHKFLIKSPPSASKFQVKIKSPAVVSLSPTRSMNMSKKSPKMSTASKLRRSFSPSRLANRLVSPLKSRKSLQRSDGRSFSPSHLANRLVSPLKSRKGLQKSDGPMSGMKQRPVLMPKRFSTGRI >KJB45234 pep chromosome:Graimondii2_0_v6:7:50713212:50715855:-1 gene:B456_007G296800 transcript:KJB45234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARTPPKQRKLVVAPLDPVLLRETVKKVEKCMARLQELQYTVAGGTKVISGVKLSPRSTRAYLKTSLRCKQESLRMKNASPRKSPIGKFPSTARGEWRRMSLPAMLVGETVGEILQASQFAREILAAVDNKTKKITVEDPKTPLAEHRKQKQQPENTELKARRKREKQNKLQLIRTESDSPSLQRARSRINFKVSSPKFKELDKENNRLMANRVSPRNRPWAKKTVLFPNPLFSSTPASKQQKFCKTRSPVIARNRQTPHKFLIKSPPSASKFQVKIKSPAVVSLSPTRSMNMSKKSPKMSTASKLRRSFSPSRLANRLVSPLKSRKSLQRSDGRSFSPSHLANRLVSPLKSRKGLQKSDGPMSGMKQRPVLMPKRFSTGRI >KJB38880 pep chromosome:Graimondii2_0_v6:7:16202403:16213152:-1 gene:B456_007G1750001 transcript:KJB38880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] MAGVVKAPGAFAITPHKVSVCILVNIYASPSQISVPFPFSSVSQHNRLGLYLLALTKSCDDILESKLDQLINQLREVGGLLDHWLTDHVTSRLSSLSSPDDLFNFFNELRETLGGPDSGVMEDDQVILDPNSNLGMFLRRCILAFNLLTFEGICHLLTNIGIYCKEAISSCSSYELRRVDDSGNDLESLSEYENMDLNLVFKKINEEMEARKRATEQVSFHLHLPKELSTLVEDIEVFADPKSEHNDKGRESSSYASGELLRDVDPNGGVFLRTNWQIQGYLMEQADTIEKHGSSFTLNAFELTLRQLQKLAPELHRVHFLRYLNNLYHDDYFSALENLHRYFDYSAGTEGFDFVPPAGCNSFGRYEIALLCLGMMHFHFGHPKKALEVLTEAVRVSQQHSNDTCLAYTLAAICNLLSEIGFSTTSGILGSPFSPMISVGTSLSVQQQLFVLLKGSLKRAESLKLKQLVAANHLAMAKFDLTHVQRPLLSFGPKTSMKLRTCPIIVCKELRSGYHLISEFCCEGSTMTADGAFSTAWLKNLQKPMGSLVLSQDNGSRNNSNPFLFFTQPSSIPGSVMQLVGSSYLHRATAWEIYGSAPLARVNALVYATCFADASSSSDAALVHVKLIQHLAVFKGYKEAFAALKTAEEKFLCVSKSRILILKLQLLHERALHRGHLKLAQQVCDELGVLASSVTAVDMELKTEASLRHARTLLAAKQFSQAAAVAHSLFCMCYKFNLQVESATVLLLLAEIHMNSGNAVVGLPYALASLSYCQTFNLDLLRASATLTLAELWLSLGSNHAKTALTLLHGAFPMILGHGGLELCARAYLTEAKCYLSDPSFSGIIFIILLRHLYLILLISRAGVPSSSFKVSQDWGCRKGIS >KJB38883 pep chromosome:Graimondii2_0_v6:7:16200990:16213301:-1 gene:B456_007G1750001 transcript:KJB38883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] MAGVVKAPGAFAITPHKVSVCILVNIYASPSQISVPFPFSSVSQHNRLGLYLLALTKSCDDILESKLDQLINQLREVGGLLDHWLTDHVTSRLSSLSSPDDLFNFFNELRETLGGPDSGVMEDDQVILDPNSNLGMFLRRCILAFNLLTFEGICHLLTNIGIYCKEAISSCSSYELRRVDDSGNDLESLSEYENMDLNLVFKKINEEMEARKRATEQVSFHLHLPKELSTLVEDIEVFADPKSEHNDKGRESSSYASGELLRDVDPNGGVFLRTNWQIQGYLMEQADTIEKHGSSFTLNAFELTLRQLQKLAPELHRVHFLRYLNNLYHDDYFSALENLHRYFDYSAGTEGFDFVPPAGCNSFGRYEIALLCLGMMHFHFGHPKKALEVLTEAVRVSQQHSNDTCLAYTLAAICNLLSEIGFSTTSGILGSPFSPMISVGTSLSVQQQLFVLLKGSLKRAESLKLKQLVAANHLAMAKFDLTHVQRPLLSFGPKTSMKLRTCPIIVCKELRSGYHLISEFCCEGSTMTADGAFSTAWLKNLQKPMGSLVLSQDNGSRNNSNPFLFFTQPSSIPGSVMQLVGSSYLHRATAWEIYGSAPLARVNALVYATCFADASSSSDAALVHVKLIQHLAVFKGYKEAFAALKTAEEKFLCVSKSRILILKLQLLHERALHRGHLKLAQQVCDELGVLASSVTAVDMELKTEASLRHARTLLAAKQFSQAAAVAHSLFCMCYKFNLQVESATVLLLLAEIHMNSGNAVVGLPYALASLSYCQTFNLDLLRASATLTLAELWLSLGSNHAKTALTLLHGAFPMILGHGGLELCARAYLTEAKCYLSDPSFSVSRNPELVLDPLRQAADELQALEVS >KJB38881 pep chromosome:Graimondii2_0_v6:7:16200445:16213301:-1 gene:B456_007G1750001 transcript:KJB38881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] MAGVVKAPGAFAITPHKVSVCILVNIYASPSQISVPFPFSSVSQHNRLGLYLLALTKSCDDILESKLDQLINQLREVGGLLDHWLTDHVTSRLSSLSSPDDLFNFFNELRETLGGPDSGVMEDDQVILDPNSNLGMFLRRCILAFNLLTFEGICHLLTNIGIYCKEAISSCSSYELRRVDDSGNDLESLSEYENMDLNLVFKKINEEMEARKRATEQVSFHLHLPKELSTLVEDIEVFADPKSEHNDKGRESSSYASGELLRDVDPNGGVFLRTNWQIQGYLMEQADTIEKHGSSFTLNAFELTLRQLQKLAPELHRVHFLRYLNNLYHDDYFSALENLHRYFDYSAGTEGFDFVPPAGCNSFGRYEIALLCLGMMHFHFGHPKKALEVLTEAVRVSQQHSNDTCLAYTLAAICNLLSEIGFSTTSGILGSPFSPMISVGTSLSVQQQLFVLLKGSLKRAESLKLKQLVAANHLAMAKFDLTHVQRPLLSFGPKTSMKLRTCPIIVCKELRSGYHLISEFCCEGSTMTADGAFSTAWLKNLQKPMGSLVLSQDNGSRNNSNPFLFFTQPSSIPGSVMQLVGSSYLHRATAWEIYGSAPLARVNALVYATCFADASSSSDAALVHVKLIQHLAVFKGYKEAFAALKTAEEKFLCVSKSRILILKLQLLHERALHRGHLKLAQQVCDELGVLASSVTAVDMELKTEASLRHARTLLAAKQFSQAAAVAHSLFCMCYKFNLQVESATVLLLLAEIHMNSGNAVVGLPYALASLSYCQTFNLDLLRASATLTLAELWLSLGSNHAKTALTLLHGAFPMILGHGGLELCARAYLTEAKCYLSDPSFSVSRNPELVLDPLRQAADELQALEHHELMAEAFYLMAIVFDKLGQPEQREEAASSFKNHVMSLDHPHDVEDPIQSDTLLNA >KJB38882 pep chromosome:Graimondii2_0_v6:7:16200445:16213447:-1 gene:B456_007G1750001 transcript:KJB38882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] MAGVVKAPGAFAITPHKVSVCILVNIYASPSQISVPFPFSSVSQHNRLGLYLLALTKSCDDILESKLDQLINQLREVGGLLDHWLTDHVTSRLSSLSSPDDLFNFFNELRETLGGPDSGVMEDDQVILDPNSNLGMFLRRCILAFNLLTFEGICHLLTNIGIYCKEAISSCSSYELRRVDDSGNDLESLSEYENMDLNLVFKKINEEMEARKRATEQVSFHLHLPKELSTLVEDIEVFADPKSEHNDKGRESSSYASGELLRDVDPNGGVFLRTNWQIQGYLMEQADTIEKHGSSFTLNAFELTLRQLQKLAPELHRVHFLRYLNNLYHDDYFSALENLHRYFDYSAGTEGFDFVPPAGCNSFGRYEIALLCLGMMHFHFGHPKKALEVLTEAVRVSQQHSNDTCLAYTLAAICNLLSEIGFSTTSGILGSPFSPMISVGTSLSVQQQLFVLLKGSLKRAESLKLKQLVAANHLAMAKFDLTHVQRPLLSFGPKTSMKLRTCPIIVCKELRSGYHLISEFCCEGSTMTADGAFSTAWLKNLQKPMGSLVLSQDNGSRNNSNPFLFFTQPSSIPGSVMQLVGSSYLHRATAWEIYGSAPLARVNALVYATCFADASSSSDAALVHVKLIQHLAVFKGYKEAFAALKTAEEKFLCVSKSRILILKLQLLHERALHRGHLKLAQQVCDELGVLASSVTAVDMELKTEASLRHARTLLAAKQFSQAAAVAHSLFCMCYKFNLQVESATVLLLLAEIHMNSGNAVVGLPYALASLSYCQTFNLDLLRASATLTLAELWLSLGSNHAKTALTLLHGAFPMILGHGGLELCARAYLTEAKCYLSDPSFSVSRNPELVLDPLRQAADELQALEHHELMAEAFYLMAIVFDKLGQPEQREEAASSFKNHVMSLDHPHDVEDPIQSDTLLNA >KJB44457 pep chromosome:Graimondii2_0_v6:7:41103863:41105714:1 gene:B456_007G254200 transcript:KJB44457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTGSNMQIQGQRTQSTAIVKVQQEPSIKIEPTVGGQLLRKLFQIIFFVQLFLVSILVIVLTIRGLIYAGSTDRFHPKKWYPPLLVSTASAGIVSFIWQSITFHCPSKAIKTVFWFTPLLTCAVGVLHILIGSPLSLAAGTIAILSGVIQSLYACWVNSRFDYASKILTVSTSAPPYNTTTFVIVSIITCLVYSSFSVTGIGGATATGTSLDIAFIVVILLSFLWSMQVIKNMLYVTISRIRYMNFACGVDINTRATFHDTVKHFVGSVCIGSAIVPVIGTIRGSARAINLVAGDNDEFLFSCADCYSGFASTLITYGNRWGFVHVGVYNKGFIQASADTWEMFKRVELISLIDSDLTGVFCFLSGVAVGSICGIVGGTWELIIHKGYATEVSIYAFLIGYFMVKKDYNL >KJB44456 pep chromosome:Graimondii2_0_v6:7:41103863:41105714:1 gene:B456_007G254200 transcript:KJB44456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTGSNMQIQGQRTQSTAIVKVQQEPSIKIEPTVGGQLLRKLFQIIFFVQLFLVSILVIVLTIRGLIYAGSTDRFHPKKWYPPLLVSTASAGIVSFIWQSITFHCPSKAIKTVFWFTPLLTCAVGVLHILIGSPLSLAAVYSSFSVTGIGGATATGTSLDIAFIVVILLSFLWSMQVIKNMLYVTISRIRYMNFACGVDINTRATFHDTVKHFVGSVCIGSAIVPVIGTIRGSARAINLVAGDNDEFLFSCADCYSGFASTLITYGNRWGFVHVGVYNKGFIQASADTWEMFKRVELISLIDSDLTGVFCFLSGVAVGSICGIVGGTWELIIHKGYATEVSIYAFLIGYFMCRIALAWQQASVSAYYVSYAENPQSLRFDATIPVRIEQLHRFQV >KJB44455 pep chromosome:Graimondii2_0_v6:7:41103682:41105780:1 gene:B456_007G254200 transcript:KJB44455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTGSNMQIQGQRTQSTAIVKVQQEPSIKIEPTVGGQLLRKLFQIIFFVQLFLVSILVIVLTIRGLIYAGSTDRFHPKKWYPPLLVSTASAGIVSFIWQSITFHCPSKAIKTVFWFTPLLTCAVGVLHILIGSPLSLAAGTIAILSGVIQSLYACWVNSRFDYASKILTVSTSAPPYNTTTFVIVSIITCLVYSSFSVTGIGGATATGTSLDIAFIVVILLSFLWSMQVIKNMLYVTISRIRYMNFACGVDINTRATFHDTVKHFVGSVCIGSAIVPVIGTIRGSARAINLVAGDNDEFLFSCADCYSGFASTLITYGNRWGFVHVGVYNKGFIQASADTWEMFKRVELISLIDSDLTGVFCFLSGVAVGSICGIVGGTWELIIHKGYATEVSIYAFLIGYFMCRIALAWQQASVSAYYVSYAENPQSLRFDATIPVRIEQLHRFQV >KJB40430 pep chromosome:Graimondii2_0_v6:7:4395340:4398924:-1 gene:B456_007G062800 transcript:KJB40430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFFALGTYFCPLTLSFIATFLVYVEAVGVSSSYYNSKDSQLLLNFKASLADPSLLQNWVPNGDPCSFDGIKCQDSKVSSIQLSYIPLSTDFHYVAAFLLALENLESISLFKANISGNISFPSGSKCSSSLNTLDLSQNTLSSSLSTVSSLASCSNLKVLNLSSNSLEFAGDESRGLQLSLQVLDMSFNKISGANVVPWILYGGCSELKVLALKGNKITGEIDVSNCKELEFLDLSSNNFSTGIPSFGDCSALEHLDISGNKFSGDIGRAISSCVNINFLNLSSNQFSGQFPTLPASNLQRLYLAENDFQGEIPQYLTQACSYLVELDLSFNNLSGLIPSGFASCTSLESFDVSSNNFTGNLPIGIFQNMSSLKELGLAFNHFSGPLPESLSTLSNLEVLDLSSNNFSGQIPDSLCENPTNRLQVLYLQDNILSGSIPASLSNCSQLVSLHLSVNYLTGTIPSSLGSLSKLKDLKLWLNQLHGGIPQELSKIQTLETLILDFNELTGTIPSGLSNCTKSNWVSLSNNRLTGEIPAWFGKFSSLAILKLSNNSFYGRIPPELGDCKSLIWLDLNTNNLNGTIPNVLFKQSGKIAVNFIAGKRYMYIKNDGSRECHGSGNLLEFAGIRSEDLNRISDRNPCNFTRVYGGHTQPTFNNNGSMIFLDLSYNLLSGTIPKEVGSMSNLFILNLGHNNLSGAIPQEIGNLKGLGILDLSYNRLEGSIPQSMTGISMLSEINLSNNLLSGMIPDEGQLETFPANNFLNNSGLCGVPLQPCEKDQAASSNAEHRKSNRRQASLAGSVAMGLLFSLFCIFCLIVAIVETKKRSKKDSDLDVYIDGLTHSGTANTSWKLTSAREALSINLAAFEKPLRRLTFADLLEATNGFHNDSLVGSGGFGDVYKAQLKDGSVVAIKKLIHISGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKYGSLEDVLHNQKRTGIKLQWAARRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAMDTHLSVSTLAGTPGYVPPEYYHSFRCSTKGDVYSYGVVLLELLTGKRPTGSADFGDNNLVGWVKQQAKLRETAVFDPELINEDPSLEMELSQHLKIASACLDDRPSKRPTMIRVMAMFKEIQAGSGLDSESTIGTDDGCFNAVEMVDMTIKEVPEGM >KJB43187 pep chromosome:Graimondii2_0_v6:7:18089853:18093554:-1 gene:B456_007G187900 transcript:KJB43187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase TMK1 [Source:Projected from Arabidopsis thaliana (AT1G66150) UniProtKB/Swiss-Prot;Acc:P43298] MNYKPRLGFCFKLFAFIIGFSSIFISVKSQTTASDDAAAMLALKKTLGPPESLGWTDPDPCKWKHVFCSEDKRVTRIQIGHQNLQGTLPSDIQILTELERLEVQWNNISGPVPSLNGLSSLQVLMLSNNHFTSFPTDFFSGLSSLQSVEMDNNPFSAWEIPQSLQNASALQNFSANSANITGKIPDIFGSDAFPGLTILHLAFNSLEGELPSSFSGSSIQSLWVNGQLSNSKLNGTVAVLQNMTFLKEVWLHSNSFSGPLRDFSGLKDLQSLSLRDNSFTGPVPVSLMKLESLKTVNLTNNLLQGPVPEFKDSVAVDMVKDSNRFCLPSPGDCDPRVTSLLNVVKTMDYPQRLADNWKGNDPCMDWLGITCSNGNITVINFEKMGVTGTISPDFASLKSLQRLILAGNNLTGTIPEELTALVALKELDVSNNQLYGKVPTFKSNIILNTNGNPDIGKEKSTTSPGSESGNPSAGSGSKSSGNSGNGSKKSSAFIGIIIASIFGGLLVVGLLGLLVFCLYKKKQKRFSRVQSPNAMVIHPRHSGSDNESVKITVAGSSVSVGAVSEAHTFPNSEPGGDIQMVEAGNMVISIQVLRNVTNNFSEENILGQGGFGVVYKGELHDGTKIAVKRMESGVISGKGSTEFMSEIAVLTKVRHRHLVALLGYCLDGNEKLLVYEYMPQGTLSRHIFNWEEEGLKPLEWTKRLTIALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKASIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRKALDESQPEESIHLVTWFKRMHINKDSFRKAIDPTIDLNEETLASISTVAELAGHCCAREPYQRPDMGHAVNVLSSLVELWKPTDQCSEDIYGIDLEMSLPQALKKWQAYEGRSNLESSSSSLLPSLDNTQTSIPTRPYGFAESFTSADGR >KJB46550 pep chromosome:Graimondii2_0_v6:7:60616934:60618081:1 gene:B456_007G373900 transcript:KJB46550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEEEQRLYQCLKKYQTIIKQFDELVESMDVRTRPLRKSGRGSNSSSNEAATTLTGIQPRDENNGGALVHTITRFLHELKSNPTSSTDPNRSST >KJB45170 pep chromosome:Graimondii2_0_v6:7:50367947:50370268:1 gene:B456_007G293500 transcript:KJB45170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGWIYWHSQYTPNQWAPLNHHLICCLVGCITITSQICSFPNTPVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPHGRKVSSASIFFESLPYKVNPQTGYIDYEKLEERALDFRPKILICGGSSYSREWDYGRFRQIADKCGAVLLCDMAQISGLIAAKAAELEDFSPTSIISTDAGQES >KJB46327 pep chromosome:Graimondii2_0_v6:7:59182349:59183764:-1 gene:B456_007G360600 transcript:KJB46327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSAGAAVTNTVNGGNNENKAMGFLLVFFPEAIHNKIIGNSINNTTTNNKTSSSRFIKRTNPNLLFTKARFTISMCAILVSLTLLFFTLSTLEPSSSSMWDFKPLHVLKKKPNVISSSSSFALHGMGTLYRRGTTAMDELIICHVVEDIAEDELKLFLRVLHRSGITSRADIVFLFGSSLLSSKFSFLIHEENESFSKLIRYYNELNTTSSRDSVFRFNSTQFWKSGKKDMGEPIWGKKGRGNYSSSNEDESESTRLIYGSVVGFDVNELDPENSLGGFLDYIPLSLRRWACYPMLLGKLRRNFNYVMLLDVKSLLLLSDPLGRVRSRSSESIYLFSSCSNNSKSNSEKTQSHCRVNSAILMGGVRGTRRLANAMLTQVVRATIRQHKNKNPVSESGIFSQLVGNGYIMKNVELTTLTESFQEAGSLSGLSSDSVEGYSIIQRGNGNRDSSSMITNLICLCEADSSVYRDC >KJB41977 pep chromosome:Graimondii2_0_v6:7:10601403:10602867:1 gene:B456_007G131200 transcript:KJB41977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRNPKGNGNGEGSTMDGPKPMTMKKKKNMNRLGGSGTGLSLQAFANAKSTSNHYNPALIKKKKEFYKNAKYVNKYKKSLKQQNQGKNSLPSAQRTIEDENEDINGSRANNMNNKKKKNGSQSLRQVYEKQWEEKEKARMEREAAMQLKKEQREKAEAQRKVERKEMFKKTRHGQPVMKYRIQHLLQSIQGSSSASS >KJB41976 pep chromosome:Graimondii2_0_v6:7:10601272:10602867:1 gene:B456_007G131200 transcript:KJB41976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRNPKGNGNGEGSTMDGPKPMTMKKKKNMNRLGGSGTGLSLQAFANAKSTSNHYNPALIKKKKEFYKNAKYVNKYKKSLKQQNQGKNSLPSAQRTIEDENEDINGSRANNMNNKKKKNGSQSLRQVYEKQWEEKEKARMEREAAMQLKKEQREKAEAQRKVERKEMFKKTRHGQPVMKYRIQHLLQSIQGSSSASS >KJB40038 pep chromosome:Graimondii2_0_v6:7:3006402:3008819:-1 gene:B456_007G043500 transcript:KJB40038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNLKATSGDFTEFVQETVSNADTDIINGNESNSYKLLNSIGDFRVDLKLGQVGNSGELPSNKWKEPRVLKMESSSSSSKKFRPTNIGTHPVVCLVDECNTDLSNCRDYHRRHKVCELHSKTAEVMINGLKQRFCQQCSRFHSLEEFDNGKRSCRARLDRHNRRRRKPQPDPLSHSRSYFSNYQGSQMLPFSGLQVYPSTSVVKTTWPESRYLNLKQPPAKQNLLLGSSSSNYREGKQQCSFLQGKNQTPVKASICQPVLGGVAPFSEGNGGCQSMLCDRLTTQVRDSDCALSLLSSPLLHTSGIGLSNMVQPQSFPLVQSLGPSLQDHIIEPMGSVIANGRETTVHGSGMFHMGSGGSSASEPPENLGFHWQ >KJB40036 pep chromosome:Graimondii2_0_v6:7:3006402:3008906:-1 gene:B456_007G043500 transcript:KJB40036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNLKATSGDFTEFVQETVSNADTDIINGNESNSYKLLNSIGDFRVDLKLGQVGNSGELPSNKWKEPRVLKMESSSSSSKKFRPTNIGTHPVVCLVDECNTDLSNCRDYHRRHKVCELHSKTAEVMINGLKQRFCQQCSRFHSLEEFDNGKRSCRARLDRHNRRRRKPQPDPLSHSRSYFSNYQGSQMLPFSGLQVYPSTSVVKTTWPESRYLNLKQPPAKQNLLLGSSSSNYREGKQQCSFLQGKNQTPVKASICQPVLGGVAPFSEGNGGCQSMLCDRLTTQVRDSDCALSLLSSPLLHTSGIGLSNMVQPQSFPLVQSLGPSLQDHIIEPMGSVIANGRETTVHGSGMFHMGSGGSSASEPPENLGFHWQ >KJB40037 pep chromosome:Graimondii2_0_v6:7:3006763:3008593:-1 gene:B456_007G043500 transcript:KJB40037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSVIQWLEKRKQLFLFVCNCKSFILGENSRKKLNKQGVEVKGFFILMDWNLKATSGDFTEFVQETVSNADTDIINGNESNSYKLLNSIGDFRVDLKLGQVGNSGELPSNKWKEPRVLKMESSSSSSKKFRPTNIGTHPVVCLVDECNTDLSNCRDYHRRHKVCELHSKTAEVMINGLKQRFCQQCSRFHSLEEFDNGKRSCRARLDRHNRRRRKPQPDPLSHSRSYFSNYQGSQMLPFSGLQVYPSTSVVKTTWPESRYLNLKQPPAKQNLLLGSSSSNYREGKQQCSFLQGKNQTPVKASICQPVLGGVAPFSEGNGGCQSMLCDRLTTQVRDSDCALSLLSSPLLHTSGIGLSNMVQPQSFPLVQSLGPSLQDHIIEPMGSVIANGRETTVHGSGMFHMGSGGSSASEPPENLGFHWQ >KJB46326 pep chromosome:Graimondii2_0_v6:7:59152156:59162200:1 gene:B456_007G360500 transcript:KJB46326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVKLLDPAFQGAGQKPGIEIWRIENFQAVPLPKSNYGEFYMGDSYIVLQTTPGKGGSNLYDIHFWIGKDTSQDEALTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGIASGFKKPEEEEYETRLYVCRGKRVVKLKQVPFARSSLNHDDVFILDTRNKIYQFNGVNSNIQERAKALEVVQFLKEKYHDGTCSVAIVEDGELYTRSNSGEFWVLLGGFAPLNKKTTGEDDVISETTPTKLYSITDGEMKPVEGELSKGLLGNNKCYLLDCGAEVFVWVGRATQVEVRKAASRVAEDFVTGENRAKTARITRVIQGYENNSFKSKFDSWPEGSTAPGGEEVKGKGKGKVAAFLKQQGVGAKGTIKSVQVVEEVPALLEDGGKTEVWYINDGAKLPLPEADFGKFYSGDCYIVLYSYHSGERKEDNFLCCWIGKDSIEEDQKTAYQLATKISNSYKGRPVQGRVFEGKEPPQFITLFQPMVVLKGGLSTGYKKSIADKGLTDETNKADRVALFRISGTSTHNNKVLQVDAVATSLNSAECFLLKSGSSIYLWHGNQSTRKQEQLAAKVAAFLEPGVALKRAREGKESNTFWLALGGKKSYTSEIESSESVRDPHLFTFSLNKKGKFEVEEVYNFSQDDLLTEDILILDTRAEVFVWVGQCVNVKEKENVFKIGQEYIDRASSLDGLSPKVPLYKVTEGNEPCFFTTFFSWDPNRATVHGNSYQKKLALLFGPSQVAELESMLPKEEKTTGNQGAPTQRASALAALTSAFNKSPSKSPPPEKSTANQGGPTQRASALAALTNAFKSATRKSSTVERSPSNQSGSTQRAAALAALAASFNPSAETKTSAPKPVRSNPGGSQRAAAIAALSAVLTAEKKKQSPDVSPSISTSGTPAVTSPPTDADSSEVNPSEADDSVGVAKTNKEDLSEANPSGADDSQEVAKTNKED >KJB41694 pep chromosome:Graimondii2_0_v6:7:24576355:24579303:-1 gene:B456_007G217200 transcript:KJB41694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGWGMSSLTLKGKASDQALALANIQAAQKRPVSQPVSQPKPQASLNLRYFFTFGLGSNIWEENLSESILSSFFFFFFVRLLKFEPMINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDVLKSLI >KJB44270 pep chromosome:Graimondii2_0_v6:7:36357435:36362491:-1 gene:B456_007G244500 transcript:KJB44270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVACKLNYPTWIRFGRKSTGSLRFDRIAKQEHKTRVVVSAHFPVQTPSNRHRSVSLEVSCSSYTNFSASTMETGSIHAPYDEALMLKNKSLEVEPYLNGHSIYLVGLMGSGKTTVGKILSHVLGYSFCDSDTLIEQEVNGMSVAEIFKLHGESFFRKKETEVLQRLSSKKQLVVSTGGGAVVRDVNWDYMQKKGIVVWLDVPLEALAQRIAAVGTHSRPLLHYEDGDPYTKALKRLSYLLEQRGKNYAKANARVSLEEIAGKLGYRDVSDLTPTEIAIEALEQIEVYLKEEDGMAIAGL >KJB44269 pep chromosome:Graimondii2_0_v6:7:36357935:36362779:-1 gene:B456_007G244500 transcript:KJB44269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVACKLNYPTWIRFGRKSTGSLRFDRIAKQEHKTRVVVSAHFPVQTPSNRHRSVSLEVSCSSYTNFSASTMETGSIHAPYDEALMLKNKSLEVEPYLNGHSIYLVGLMGSGKTTVGKILSHVLGYSFCDSDTLIEQEVNGMSVAEIFKLHGESFFRKKETEVLQRLSSKKQLVVSTGGGAVVRDVNWDYMQKKGIVVWLDVPLEALAQRIAAVGTHSRPLLHYEDGDPYTKALKRLSYLLEQRGKNYAKANARVSLEEIAGKLGYRDVSDLTPTEIAIEALEQIEVYLKEEDGMAIAGL >KJB44271 pep chromosome:Graimondii2_0_v6:7:36358036:36362653:-1 gene:B456_007G244500 transcript:KJB44271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGSIHAPYDEALMLKNKSLEVEPYLNGHSIYLVGLMGSGKTTVGKILSHVLGYSFCDSDTLIEQEVNGMSVAEIFKLHGESFFRKKETEVLQRLSSKKQLVVSTGGGAVVRDVNWDYMQKKGIVVWLDVPLEALAQRIAAVGTHSRPLLHYEDGDPYTKALKRLSYLLEQRGKNYAKANARVSLEEIAGKLGYRDVSDLTPTEIAIEALEQIEVYLKEEDGMAIAGL >KJB44944 pep chromosome:Graimondii2_0_v6:7:48214073:48219373:1 gene:B456_007G281100 transcript:KJB44944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSLAFACFLFIVTLFQFTAFSFSSEQPAVLCHSDERLALLQLKDSFIIDKQALAAGFCAYPKVDSWDSQSVDCCSWDGIECDKITGVVIGLDLSSSCLYGSIKSTSSLFRLLRLQKLNLANNHFNYSLIPYALGNLSMLTYLNLSSSVFSGQIPSEISKLYRLSSLDFSNNWDTNLSQRLLVLEKPDMKSLIQNLTNLKYLSLSYVVVASPIPSVLANLSSLTSLYLEFCGLQGMFPLAIFRLPNLETVWLVDNLDLTGYLLEFNFSNKLKKLALWNTSFSGELPASIDNLNSLEFLGLGHCNFSGSVPSTLGNLPNLKFLDLTTNSFTGSVPPTLGNLPNLKFLDLATNYFTDNSNATRPQAQLVDIGLRHCHLREFPYFLRNQRRLQLLDLSSNNIEGQIPQWLSKVSVETLLFLDLSNNSLIGFDDFPLVLPWSKLQYLKLDSNILRGSLPVPPLSTVFYSISNNSLNGEIPQLLCNLSSLSILDFSYNNMSGGIPVCLSNFSKSLLVLKVRSNQLDGPIPSGWATGNRLKMIDLSKNKLQEKIPKSLMECKMLEYLDLGNNQIRDAFPSWLGSLPELNILILSSNAFYGRMENPKLNLIVFPKLRIIDLSHNRFNGTLPWGYFERWISMKNLDGKNSPPKYMHESLDMRINIMHVPRDYDYSMTITNKGMEMKYPKIIRTLVAIDFSSNRFDGEIPKLIGKLKELHLLNFSNNNLVGGIPIAIAKLTNLESLDLSQNKLVGRIPMELSTKLTFLSFLNVSHNRLTGLIPGGGQFETFQSSSFDGNLGLCGKPLLKECSNNSGSLPPPSLTSSGEFGLDWKVVLLGYGCGFLFGVVIGHVVIKKKPDWFADTFSKFPTRGRRRHVL >KJB44943 pep chromosome:Graimondii2_0_v6:7:48214073:48219373:1 gene:B456_007G281100 transcript:KJB44943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSLAFACFLFIVTLFQFTAFSFSSEQPAVLCHSDERLALLQLKDSFIIDKQALAAGFCAYPKVDSWDSQSVDCCSWDGIECDKITGVVIGLDLSSSCLYGSIKSTSSLFRLLRLQKLNLANNHFNYSLIPYALGNLSMLTYLNLSSSVFSGQIPSEISKLYRLSSLDFSNNWDTNLSQRLLVLEKPDMKSLIQNLTNLKYLSLSYVVVASPIPSVLANLSSLTSLYLEFCGLQGMFPLAIFRLPNLETVWLVDNLDLTGYLLEFNFSNKLKKLALWNTSFSGELPASIDNLNSLEFLGLGHCNFSGSVPSTLGNLPNLKFLDLTTNSFTGSVPPTLGNLPNLKFLDLATNYFTGSVPPTLGNLTKLDTLDLKYNYFTDNSNATRPQAQLVDIGLRHCHLREFPYFLRNQRRLQLLDLSSNNIEGQIPQWLSKVSVETLLFLDLSNNSLIGFDDFPLVLPWSKLQYLKLDSNILRGSLPVPPLSTVFYSISNNSLNGEIPQLLCNLSSLSILDFSYNNMSGGIPVCLSNFSKSLLVLKVRSNQLDGPIPSGWATGNRLKMIDLSKNKLQEKIPKSLMECKMLEYLDLGNNQIRDAFPSWLGSLPELNILILSSNAFYGRMENPKLNLIVFPKLRIIDLSHNRFNGTLPWGYFERWISMKNLDGKNSPPKYMHESLDMRINIMHVPRDYDYSMTITNKGMEMKYPKIIRTLVAIDFSSNRFDGEIPKLIGKLKELHLLNFSNNNLVGGIPIAIAKLTNLESLDLSQNKLVGRIPMELSTKLTFLSFLNVSHNRLTGLIPGGGQFETFQSSSFDGNLGLCGKPLLKECSNNSGSLPPPSLTSSGEFGLDWKVVLLGYGCGFLFGVVIGHVVIKKKPDWFADTFSKFPTRGRRRHVL >KJB44945 pep chromosome:Graimondii2_0_v6:7:48214073:48219415:1 gene:B456_007G281100 transcript:KJB44945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSLAFACFLFIVTLFQFTAFSFSSEQPAVLCHSDERLALLQLKDSFIIDKQALAAGFCAYPKVDSWDSQSVDCCSWDGIECDKITGVVIGLDLSSSCLYGSIKSTSSLFRLLRLQKLNLANNHFNYSLIPYALGNLSMLTYLNLSSSVFSGQIPSEISKLYRLSSLDFSNNWDTNLSQRLLVLEKPDMKSLIQNLTNLKYLSLSYVVVASPIPSVLANLSSLTSLYLEFCGLQGMFPLAIFRLPNLETVWLVDNLDLTGYLLEFNFSNKLKKLALWNTSFSGELPASIDNLNSLEFLGLGHCNFSGSVPSTLGNLPNLKFLDLTTNSFTGSVPPTLGNLPNLKFLDLATNYFTGSVPPTLGNLTKLDTLDLKYNYFTGFIPSELTNLTQLTYLNLLGNMLHGSVPSSISRLEKLNFFDCDFNRLGGILEMDPFLELKDLQYLFLSLNNFYFVSPDNSNATRPQAQLVDIGLRHCHLREFPYFLRNQRRLQLLDLSSNNIEGQIPQWLSKVSVETLLFLDLSNNSLIGFDDFPLVLPWSKLQYLKLDSNILRGSLPVPPLSTVFYSISNNSLNGEIPQLLCNLSSLSILDFSYNNMSGGIPVCLSNFSKSLLVLKVRSNQLDGPIPSGWATGNRLKMIDLSKNKLQEKIPKSLMECKMLEYLDLGNNQIRDAFPSWLGSLPELNILILSSNAFYGRMENPKLNLIVFPKLRIIDLSHNRFNGTLPWGYFERWISMKNLDGKNSPPKYMHESLDMRINIMHVPRDYDYSMTITNKGMEMKYPKIIRTLVAIDFSSNRFDGEIPKLIGKLKELHLLNFSNNNLVGGIPIAIAKLTNLESLDLSQNKLVGRIPMELSTKLTFLSFLNVSHNRLTGLIPGGGQFETFQSSSFDGNLGLCGKPLLKECSNNSGSLPPPSLTSSGEFGLDWKVVLLGYGCGFLFGVVIGHVVIKKKPDWFADTFSKFPTRGRRRHVL >KJB45519 pep chromosome:Graimondii2_0_v6:7:52340615:52345841:-1 gene:B456_007G309900 transcript:KJB45519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGESSDQMHLLRSNIHKHENGDELESPLPNKNNTGVVDLLKHSRLDKGFSSRRLSFKRLDRYRDRDNHHDHDHHGTYLVDGSDELGDGAPPEWALLLIGCLLGLASGLLVAAFNKGVHVIHEWAWAGTPNEGAAWLRSQKLADTWHRILLIPVAGGVIVGMMHGLLEVLSQIRQSSSSQQQGFDLVAGVFPTIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFSLTMENNRERRIALVAAGAASGISSGFNAAVAGCVFAIETVLRPLRAENSPPFTTAMIILASVISSTVSSALLGTEPAFTVPSYDLKSAAGAGMIALKYPGILYWGFTNVNEILHTGKTLSAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMMGAAVGAVFGGSAAKLTNSAIPGIAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMVSEAMSKKYLKVSMAVTVKEAMKCMHDSHQNCALVVDKDDLLEGILTYGDIRRYLSKKQSDVSIDDSTALDVNTCVVSSVCTRGISYRGQERALLICYPETNLAIAKVLMEAKEIKQLPVVKSSGEPRQGRKRRIVGVLYYESIWNCLREEINHRKSVHQQRKENNVEEVVNSH >KJB45524 pep chromosome:Graimondii2_0_v6:7:52341995:52345799:-1 gene:B456_007G309900 transcript:KJB45524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGESSDQMHLLRSNIHKHENGDELESPLPNKNNTGVVDLLKHSRLDKGFSSRRLSFKRLDRYRDRDNHHDHDHHGTYLVDGSDELGDGAPPEWALLLIGCLLGLASGLLVAAFNKGVHVIHEWAWAGTPNEGAAWLRSQKLADTWHRILLIPVAGGVIVGMMHGLLEVLSQIRQSSSSQQQGFDLVAGVFPTIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFSLTMENNRERRIALVAAGAASGISSGFNAAVAGCVFAIETVLRPLRAENSPPFTTAMIILASVISSTVSSALLGTEPAFTVPSYDLKSAAELPLYLILGMLCGIVSVVFTRLVSWFTKAFEFIKEKYGLPSVICPALGGLGAGMIALKYPGILYWGFTNVNEILHTGKTLSAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMMGAAVGAVFGGSAAKLTNSAIPGIAAVAQPQAYALVNYSWELLHKYLLNLENTN >KJB45520 pep chromosome:Graimondii2_0_v6:7:52340615:52345841:-1 gene:B456_007G309900 transcript:KJB45520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGESSDQMHLLRSNIHKHENGDELESPLPNKNNTGVVDLLKHSRLDKGFSSRRLSFKRLDRYRDRDNHHDHDHHGTYLVDGSDELGDGAPPEWALLLIGCLLGLASGLLVAAFNKGVHVIHEWAWAGTPNEGAAWLRSQKLADTWHRILLIPVAGGVIVGMMHGLLEVLSQIRQSSSSQQQGFDLVAGVFPTIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFSLTMENNRERRIALVAAGAASGISSGFNAAVAGCVFAIETVLRPLRAENSPPFTTAMIILASVISSTVSSALLGTEPAFTVPSYDLKSAAGGLGAGMIALKYPGILYWGFTNVNEILHTGKTLSAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMMGAAVGAVFGGSAAKLTNSAIPGIAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMVSEAMSKKYLKVSMAVTVKEAMKCMHDSHQNCALVVDKDDLLEGILTYGDIRRYLSKKQSDVSIDDSTALDVNTCVVSSVCTRGISYRGQERALLICYPETNLAIAKVLMEAKEIKQLPVVKSSGEPRQGRKRRIVGVLYYESIWNCLREEINHRKSVHQQRKENNVEEVVNSH >KJB45522 pep chromosome:Graimondii2_0_v6:7:52340758:52345716:-1 gene:B456_007G309900 transcript:KJB45522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGESSDQMHLLRSNIHKHENGDELESPLPNKNNTGVVDLLKHSRLDKGFSSRRLSFKRLDRYRDRDNHHDHDHHGTYLVDGSDELGDGAPPEWALLLIGCLLGLASGLLVAAFNKGVHVIHEWAWAGTPNEGAAWLRSQKLADTWHRILLIPVAGGVIVGMMHGLLEVLSQIRQSSSSQQQGFDLVAGVFPTIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFSLTMENNRERRIALVAAGAASGISSGFNAAVAGCVFAIETVLRPLRAENSPPFTTAMIILASVISSTVSSALLGTEPAFTVPSYDLKSAAELPLYLILGMLCGIVSVVFTRLVSWFTKAFEFIKEKYGLPSVICPALGGLGAGMIALKYPGILYWGFTNVNEILHTGKTLSAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMMGAAVGAVFGGSAAKLTNSAIPGIAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVANQNKETEISITRNLGRGYSSLYAAEELSDIEKAANHDVIDEDLLLEDLGVSEAMSKKYLKVSMAVTVKEAMKCMHDSHQNCALVVDKDDLLEGILTYGDIRRYLSKKQSDVSIDDSTALDVNTCVVSSVCTRGISYRGQERALLICYPETNLAIAKVLMEAKEIKQLPVVKSSGEPRQGRKRRIVGVLYYESIWNCLREEINHRKSVHQQRKENNVEEVVNSH >KJB45523 pep chromosome:Graimondii2_0_v6:7:52340745:52345841:-1 gene:B456_007G309900 transcript:KJB45523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGESSDQMHLLRSNIHKHENGDELESPLPNKNNTGVVDLLKHSRLDKGFSSRRLSFKRLDRYRDRDNHHDHDHHGTYLVDGSDELGDGAPPEWALLLIGCLLGLASGLLVAAFNKGVHVIHEWAWAGTPNEGAAWLRSQKLADTWHRILLIPVAGGVIVGMMHGLLEVLSQIRQSSSSQQQGFDLVAGVFPTIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFSLTMENNRERRIALVAAGAASGISSGFNAAVAGCVFAIETVLRPLRAENSPPFTTAMIILASVISSTVSSALLGTEPAFTVPSYDLKSAAELPLYLILGMLCGIVSVVFTRLVSWFTKAFEFIKEKYGLPSVICPALGGLGAGMIALKYPGILYWGFTNVNEILHTGKTLSAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMMGAAVGAVFGGSAAKLTNSAIPGIAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMVSEAMSKKYLKVSMAVTVKEAMKCMHDSHQNCALVVDKDDLLEGILTYGDIRRYLSKKQSDVSIDDSTALDVNTCVVSSVCTRGISYRGQERALLICYPETNLAIAKVLMEAKEIKQLPVVKSSGEPRQGRKRRIVGVLYYESIWNCLREEINHRKSVHQQRKENNVEEVVNSH >KJB45518 pep chromosome:Graimondii2_0_v6:7:52340758:52345716:-1 gene:B456_007G309900 transcript:KJB45518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGESSDQMHLLRSNIHKHENGDELESPLPNKNNTGVVDLLKHSRLDKGFSSRRLSFKRLDRYRDRDNHHDHDHHGTYLVDGSDELGDGAPPEWALLLIGCLLGLASGLLVAAFNKGVHVIHEWAWAGTPNEGAAWLRSQKLADTWHRILLIPVAGGVIVGMMHGLLEVLSQIRQSSSSQQQGFDLVAGVFPTIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFSLTMENNRERRIALVAAGAASGISSGFNAAVAGCVFAIETVLRPLRAENSPPFTTAMIILASVISSTVSSALLGTEPAFTVPSYDLKSAAGGLGAGMIALKYPGILYWGFTNVNEILHTGKTLSAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMMGAAVGAVFGGSAAKLTNSAIPGIAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVANQNKETEISITRNLGRGYSSLYAAEELSDIEKAANHDVIDEDLLLEDLGVSEAMSKKYLKVSMAVTVKEAMKCMHDSHQNCALVVDKDDLLEGILTYGDIRRYLSKKQSDVSIDDSTALDVNTCVVSSVCTRGISYRGQERALLICYPETNLAIAKVLMEAKEIKQLPVVKSSGEPRQGRKRRIVGVLYYESIWNCLREEINHRKSVHQQRKENNVEEVVNSH >KJB45521 pep chromosome:Graimondii2_0_v6:7:52340758:52345716:-1 gene:B456_007G309900 transcript:KJB45521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGESSDQMHLLRSNIHKHENGDELESPLPNKNNTGVVDLLKHSRLDKGFSSRRLSFKRLDRYRDRDNHHDHDHHGTYLVDGSDELGDGAPPEWALLLIGCLLGLASGLLVAAFNKGVHVIHEWAWAGTPNEGAAWLRSQKLADTWHRILLIPVAGGVIVGMMHGLLEVLSQIRQSSSSQQQGFDLVAGVFPTIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFSLTMENNRERRIALVAAGAASGISSGFNAAVAGCVFAIETVLRPLRAENSPPFTTAMIILASVISSTVSSALLGTEPAFTVPSYDLKSAAGAGMIALKYPGILYWGFTNVNEILHTGKTLSAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMMGAAVGAVFGGSAAKLTNSAIPGIAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVANQNKETEISITRNLGRGYSSLYAAEELSDIEKAANHDVIDEDLLLEDLGVSEAMSKKYLKVSMAVTVKEAMKCMHDSHQNCALVVDKDDLLEGILTYGDIRRYLSKKQSDVSIDDSTALDVNTCVVSSVCTRGISYRGQERALLICYPETNLAIAKVLMEAKEIKQLPVVKSSGEPRQGRKRRIVGVLYYESIWNCLREEINHRKSVHQQRKENNVEEVVNSH >KJB45781 pep chromosome:Graimondii2_0_v6:7:54680184:54681428:-1 gene:B456_007G327600 transcript:KJB45781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIPTPPPLLQLYGVSTNNLIGEIPSSICNLTSLMSISLDGKILEGTIPKCIGNLSSSLTAVDLRNNNFHGQIPENFAKGCMLRSLRINNNELEGSLPRSLGNCKALNLLNVGNNNLNDTFPSWLGNLDQLQVLILRSNRLYGQIESFDTTVSLTKLRIIDLSHNNFSGYLPTLFFEHMHAIRDEYGKKVEPNYMREVKITKDAIGSFWVDYASGLSVTAKGLEIQFESLLSIWTVIDLSSNQFRGEIPKILGELHLLIVLNLSHNCLIGPIPSSLGNLSELESLDLSSNKLEGRIPTELKNLGFLEVLNLSQNNLKGPVPQGKQFDTFTNDSYMGNLDLCGLPLSKNCGTDEETPAKFDRDDDGDELNWKFSILMGYGCGLVLGMSMAYIVFTTGKPWWLIRIVERARQRFAKR >KJB40092 pep chromosome:Graimondii2_0_v6:7:3233584:3237062:-1 gene:B456_007G046400 transcript:KJB40092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFGGGGKGMGGGARGANMLRAVVGRTAVSGTGNPTSSLQETLPSSTSSASRRHSNNNSNGYLSVSASSSLGSYSNNSGVPISANSGLPSNWFVSPASSATPCFDDFEWVSVDAIEEDNKQQPHDFDFVLGPVPSIAEVQNAISALQREIAYPSPSSGSMHRVHSVGSELDWMEPSMQLYNTRAFQPYVSNSVYDAFHLLQTDPAIQKMVLSLSSDEAVWNAVLNNEVVRELRETYYAAEDSSPMSFDESSVEDSDQSDKTTNIVEWIFYNTKEKVLDLFEKLTKLVNELFKLPVDNDTRTPGTPDPFDQRLRTSFLLSVLVLLIVVASRAKIT >KJB40091 pep chromosome:Graimondii2_0_v6:7:3233956:3236696:-1 gene:B456_007G046400 transcript:KJB40091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFGGGGKGMGGGARGANMLRAVVGRTAVSGTGNPTSSLQETLPSSTSSASRRHSNNNSNGYLSVSASSSLGSYSNNSGVPISANSGLPSNWFVSPASSATPCFDDFEWVSVDAIEEDNKQQPHDFDFVLGPVPSIAEVQNAISALQRVSGVSSCPPLTRDRFFHNAGREIAYPSPSSGSMHRVHSVGSELDWMEPSMQLYNTRAFQPYVSNSVYDAFHLLQTDPAIQKMVLSLSSDEAVWNAVLNNEVVRELRETYYAAEDSSPMSFDESSVEDSDQSDKTTNIVEWIFYNTKEKVLDLFEKLTKLVNELFKLPVDNDTRTPGTPDPFDQRLRTSFLLSVLVLLIVVASRAKIT >KJB40872 pep chromosome:Graimondii2_0_v6:7:5808879:5811294:-1 gene:B456_007G081100 transcript:KJB40872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGTSKKVQKPISSYLLMLEVFGKEMVRRKVWRNRNLANRNIKSWPHSGQNFWIQRRSLSNKRLSWRK >KJB44727 pep chromosome:Graimondii2_0_v6:7:44984516:44987889:-1 gene:B456_007G268700 transcript:KJB44727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIPPHKRHSKDAESPTPTPESLIPKFERNVQLRASNTKADRSGRIIYSNYAISRWFAVGLDDGNGDTSSVHLKPVSVESVERKTGEKPAILVKSNLNKENNEVKGSPWSSIAENILPDLLSSFEKVRAETECKDLKDVKPVMVARFGKILFQGSPSMNLGSVSKSCVTETILSKLRRTFYTSLPAAYTGNIMAEVVSKIGVDFAEVKDVFQVKLSDSTQPDSTISCKCSVKDDKKLRLYKVELSPVRDMFIDISCLDMGLDLRLALSHKRILTSMTEDEMQSIKNLIDSAVLDPDVKGGLRWPFGKATSGDRYSVVGIWHTMSTAYKNSSIRLKVRYADRFDFISTYGEDSKDVVLKLKGIVSGLLEQDVATHAISDMLKDNLSLIWRHFLRCEPFLT >KJB44729 pep chromosome:Graimondii2_0_v6:7:44984569:44987847:-1 gene:B456_007G268700 transcript:KJB44729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIPPHKRHSKDAESPTPTPESLIPKFERNVQLRASNTKADRSGRIIYSNYAISRWFAVGLDDGNGDTSSVHLKPVSVESVERKTGEKPAILVKSNLNKENNEVKGSPWSSIAENILPDLLSSFEKVRAETECKDLKDVKPVMVARFGKILFQGSPSMNLGSVSKSCVTETILSKLRRTFYTSLPAAYTGNIMAEVVSKIGVDFAEVKDVFQVKLSDSTQPDSTISCKCSVKDDKKLRLYKAKLSPVRDMFIDISCLDMGLDLRLALSHKRILTSMTEDEMQSIKNLIDSAVLDPDVKGGLRWPFGKATSGDRYSVVGIWHTMSTAYKNSSIRLKVRYADRFDFISTYGEDSKDVVLKLKGIVSGLLEQDVATHAISDMLKDNLSLIWRHFLRCEPFLT >KJB44728 pep chromosome:Graimondii2_0_v6:7:44984569:44987847:-1 gene:B456_007G268700 transcript:KJB44728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIPPHKRHSKDAESPTPTPESLIPKFERNVQLRASNTKADRSGRIIYSNYAISRWFAVGLDDGNGDTSSVHLKPVSVESVERKTGEKPAILVKSNLNKENNEVKGSPWSSIAENILPDLLSSFEKVRAETECKDLKDVKPVMVARFGKILFQGSPSMNLGSVSKSCVTETILSKLRRTFYTSLPAAYTGNIMAEVVSKIGVDFAEVKDVFQVKVELSPVRDMFIDISCLDMGLDLRLALSHKRILTSMTEDEMQSIKNLIDSAVLDPDVKGGLRWPFGKATSGDRYSVVGIWHTMSTAYKNSSIRLKVRYADRFDFISTYGEDSKDVVLKLKGIVSGLLEQDVATHAISDMLKDNLSLIWRHFLRCEPFLT >KJB41038 pep chromosome:Graimondii2_0_v6:7:6308372:6310417:-1 gene:B456_007G087900 transcript:KJB41038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGSMYLGSKGQTGNNSINLAWDLGELNPTSTRFDWGGNDNINAFNLYASTTSTTSSSRAEMISSPSSTLPVANTAAPALMFLPHHVNATLTHHLNQEHSLYAGDGSHMHPDPHLVCLKLGKRHYFEDSTSLTERHLVGGFSIGKKGNPYYNNNIGCGSGGVSGGVEPSSPIAVMGSPASTVPRCQVEGCDVALVNAKEYHRRHKVCEMHSKAPKVVVLGIEQRFCQQCSRFHVVSEFDDSKRSCRRRLAGHNERRRKGSHDSASRNSAQDAKLKTGRFPYHLSLPKGRALSLLSSRADSWISPSDLSSRSSTALQELIAENRAAVLARQLVLDRGWQLNHRGMEDLGVFSSTTAEQHSLLPEPPQGWEKFQETGAELTLNLMQASSSASGMFVRGKSEDEEQECSELWNSLQGTHVV >KJB41037 pep chromosome:Graimondii2_0_v6:7:6308097:6310813:-1 gene:B456_007G087900 transcript:KJB41037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGSMYLGSKGQTGNNSINLAWDLGELNPTSTRFDWGGNDNINAFNLYASTTSTTSSSRAEMISSPSSTLPVANTAAPALMFLPHHVNATLTHHLNQEHSLYAGDGSHMHPDPHLVCLKLGKRHYFEDSTSLTERHLVGGFSIGKKGNPYYNNNIGCGSGGVSGGVEPSSPIAVMGSPASTVPRCQVEGCDVALVNAKEYHRRHKVCEMHSKAPKVVVLGIEQRFCQQCSRRRLAGHNERRRKGSHDSASRNSAQDAKLKTGRFPYHLSLPKGRALSLLSSRADSWISPSDLSSRSSTALQELIAENRAAVLARQLVLDRGWQLNHRGMEDLGVFSSTTAEQHSLLPEPPQGWEKFQETGAELTLNLMQASSSASGMFVRGKSEDEEQECSELWNSLQGTHVV >KJB41956 pep chromosome:Graimondii2_0_v6:7:10413591:10418155:1 gene:B456_007G129700 transcript:KJB41956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRGAKRPSNKMKGTLGKHFQVQFHHHQSSSATSSSIFTRNLLDFGSQDDPSTSVPDLDAADDKDFILSQDFFCTPDYITPDNQNLMNGFDCNKENIPCPKSPEKLNTLKSKRPRQDGILVNPLSPTLSGSQQIVELASDNFDADEGNLEKAIIPGVQKTKNYVSQSAVALRCRVMPPPCIKNPYLKDASEVDTDPFGNQRSKCAGFFPAIIGGDGLSRYHTDFHEIEQIGTGHFSRVFKVLKRIDGCLYAVKHSTRPLHQETERRKALMEVQALAALGSHENTVGYYSSWFENEQLYIQMELCDYSLSRKSSTQLFTEGEFLRAMHQMAKALQFIHEKGIVHLDVKPDNVYVKNGVYKLGDFGCATLLNKNLPVEEGDARYMPQEILNENYDHLDKVDIFSLGVTIYELIRGSPLPESGPQFLREGKLPLLAGYSLQFQNLLKVMVDHDPVRRPSAKELVENPIFDKASKNTKA >KJB41957 pep chromosome:Graimondii2_0_v6:7:10414331:10418155:1 gene:B456_007G129700 transcript:KJB41957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFVTGLEMIQDSDGILVNPLSPTLSGSQQIVELASDNFDADEGNLEKAIIPGVQKTKNYVSQSAVALRCRVMPPPCIKNPYLKDASEVDTDPFGNQRSKCAGFFPAIIGGDGLSRYHTDFHEIEQIGTGHFSRVFKVLKRIDGCLYAVKHSTRPLHQETERRKALMEVQALAALGSHENTVGYYSSWFENEQLYIQMELCDYSLSRKSSTQLFTEGEFLRAMHQMAKALQFIHEKGIVHLDVKPDNVYVKNGVYKLGDFGCATLLNKNLPVEEGDARYMPQEILNENYDHLDKVDIFSLGVTIYELIRGSPLPESGPQFLREGKLPLLAGYSLQFQNLLKVMVDHDPVRRPSAKELVENPIFDKASKNTKA >KJB41958 pep chromosome:Graimondii2_0_v6:7:10415033:10417991:1 gene:B456_007G129700 transcript:KJB41958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPCIKNPYLKDASEVDTDPFGNQRSKCAGFFPAIIGGDGLSRYHTDFHEIEQIGTGHFSRVFKVLKRIDGCLYAVKHSTRPLHQETERRKALMEVQALAALGSHENTVGYYSSWFENEQLYIQMELCDYSLSRKSSTQLFTEGEFLRAMHQMAKALQFIHEKGIVHLDVKPDNVYVKNGVYKLGDFGCATLLNKNLPVEEGDARYMPQEILNENYDHLDKVDIFSLGVTIYELIRGSPLPESGPQFLREGKLPLLAGYSLQFQNLLKVMVDHDPVRRPSAKELVENPIFDKASKNTKA >KJB42506 pep chromosome:Graimondii2_0_v6:7:13391524:13393262:-1 gene:B456_007G155900 transcript:KJB42506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDNKEKPKTIQLFCPSVSKLIRFVAWDSQKLDIGSISRMFGLDPLTVKLNGHFISRGVDLVSSSVTWRSLLSFFSSKGLCIGTDGHKGALIVDGKLCKVGSKRAHEPQDCVSWSRDSAGKPGINDVGLTAKLQRKDIYLFENKKLRESSLTAYSPWHTGSHDGGDRSVSEINIGVGLKRQCSIDHASLLKKLKINHTNSDIKGNSKASNVSSTPFKCSYLRGPMKRRREDEVIVGVPCKRISSG >KJB42507 pep chromosome:Graimondii2_0_v6:7:13391524:13393521:-1 gene:B456_007G155900 transcript:KJB42507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDNKEKPKTIQLFCPSVSKLIRFVAWDSQKLDIGSISRMFGLDPLTVKLNGHFISRGVDLVSSSVTWRSLLSFFSSKGLCIGTDGHKGALIVDGKLCKVGSKRAHEPQDCVSWSRDSAGKPGINDVGLTAKLQRKDIYLFENKKLRESSLTGSHDGGDRSVSEINIGVGLKRQCSIDHASLLKKLKINHTNSDIKGNSKASNVSSTPFKCSYLRGPMKRRREDEVIVGVPCKRISSG >KJB42505 pep chromosome:Graimondii2_0_v6:7:13392454:13393234:-1 gene:B456_007G155900 transcript:KJB42505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDNKEKPKTIQLFCPSVSKLIRFVAWDSQKLDIGSISRMFGLDPLTVKLNGHFISRGVDLVSSSVTWRSLLSFFSSKGLCIGTDGHKGALIVDGKLCKVGSKRAHEPQDCVSWSRDSAGKPGINDVGLTAKLQRKDIYLFENKKLRESSLTGKIQTTLFKNNGELAWLKQLIRLGIQDPMMEVIVQFPKSILGLASKDSVR >KJB45211 pep chromosome:Graimondii2_0_v6:7:50655828:50657073:1 gene:B456_007G295700 transcript:KJB45211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRLDYGAFMEKFSLQLSPSQHQLPLSGLTFAVKDIFDIEGYVTGFGNPDWAKTHSAATSTAPAVMDLLTAGATCLGKTAMDEMAYCMYGVNKHYGTPTNPCAPDRVPGGSSSGSAVAVAAKLVDFSLGTDTGASVRVPASYCGILGFRPSLGAVSTVGVLPMSQSYDTVGWLARDPMILNRIGRVLLHLPDVDPIKPSQIIIAEDCFRLSTIPSDRTVQVLVKSIEKLFGGK >KJB45213 pep chromosome:Graimondii2_0_v6:7:50655828:50658475:1 gene:B456_007G295700 transcript:KJB45213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRLDYGAFMEKFSLQLSPSQHQLPLSGLTFAVKDIFDIEGYVTGFGNPDWAKTHSAATSTAPAVMDLLTAGATCLGKTAMDEMAYCMYGVNKHYGTPTNPCAPDRVPGGSSSGSAVAVAAKLVDFSLGTDTGASVRVPASYCGILGFRPSLGAVSTVGVLPMSQSYDTVGWLARDPMILNRIGRVLLHLPDVDPIKPSQIIIAEDCFRLSTIPSDRTVQVLVKSIEKLFGAQCVKHAILGDLVKDKVPSLQHFMDKGNEDQVDDIPPSLAALSSAMRLLQRNI >KJB45215 pep chromosome:Graimondii2_0_v6:7:50656250:50658475:1 gene:B456_007G295700 transcript:KJB45215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAYIFSFHNTCYMCTFFLFSLFSMYGVNKHYGTPTNPCAPDRVPGGSSSGSAVAVAAKLVDFSLGTDTGASVRVPASYCGILGFRPSLGAVSTVGVLPMSQSYDTVGWLARDPMILNRIGRVLLHLPDVDPIKPSQIIIAEDCFRLSTIPSDRTVQVLVKSIEKLFGAQCVKHAILGDLVKDKVPSLQHFMDKGNEDQVDDIPPSLAALSSAMRLLQRYEFKNYHAKWVTKVNPDFGPGISERIWDAIKATGENIDFCHSVRTELRAALTALLGDHGVLALPTTPGEPPKVQANPSTSDIFFSRPFSLLSVAGSSGFCQVSIPLGRYDNVPLAISLVAKHGSDAFLLNLVETLYDTLQETI >KJB45212 pep chromosome:Graimondii2_0_v6:7:50655828:50657487:1 gene:B456_007G295700 transcript:KJB45212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRLDYGAFMEKFSLQLSPSQHQLPLSGLTFAVKDIFDIEGYVTGFGNPDWAKTHSAATSTAPAVMDLLTAGATCLGKTAMDEMAYCMYGVNKHYGTPTNPCAPDRVPGGSSSGSAVAVAAKLVDFSLGTDTGASVRVPASYCGILGFRPSLGAVSTVGVLPMSQSYDTVGWLARDPMILNRIGRVLLHLPDVDPIKPSQIIIAEDCFRLSTIPSDRTVQVLVKSIEKLFGAQCVKHAILGDLVKDKVPSLQHFMDKGNEDQVDDIPPSLAALSSAMRLLQRC >KJB45214 pep chromosome:Graimondii2_0_v6:7:50655828:50658475:1 gene:B456_007G295700 transcript:KJB45214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRLDYGAFMEKFSLQLSPSQHQLPLSGLTFAVKDIFDIEGYVTGFGNPDWAKTHSAATSTAPAVMDLLTAGATCLGKTAMDEMAYCMYGVNKHYGTPTNPCAPDRVPGGSSSGSAVAVAAKLVDFSLGTDTGASVRVPASYCGILGFRPSLGAVSTVGVLPMSQSYDTVGWLARDPMILNRIGRVLLHLPDVDPIKPSQIIIAEDCFRLSTIPSDRTVQVLVKSIEKLFGAQCVKHAILGDLVKDKVPSLQHFMDKGNEDQVDDIPPSLAALSSAMRLLQRYEFKNYHAKWVTKVNPDFGPGISERIWDAIKATGENIDFCHSVRTELRAALTALLGDHGVLALPTTPGEPPKVQANPSTSDIFFSRPFSLLSVAGSSGFCQVSIPLGRYDNVPLAISLVAKHGSDAFLLNLVETLYDTLQETI >KJB46324 pep chromosome:Graimondii2_0_v6:7:59132895:59140536:1 gene:B456_007G360200 transcript:KJB46324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWFMGEHSHISVEFDNMVSVVLENYGGPMKNLEENNSGQSRWVQEVQKIESRMSPLPNFLTKVPSWRTIVNEKGELNVATEDARNPSFWSRVCLHNMAKLAKEATTARRIFESMFRYFDNEKLWSVHNGLALPVLREMQLLIDSSGQNAHYLLSILVKHLDHKNVLKQPDMQLQIVEVTTSLARDSKVEPSVAILGAVSDVMRHLRKSIHCSLNDSNMGTEVINWNKYFKEAVDKCLVQLSHNVGDAAPILDVMAMMLENITNITAIARTTICVVYRTAQIVASIPNPSYLNKAFPEALFHQLLPAMVHPDHEVRVGAHRIFSVVLVPSSVCPHPSSTRGVKLKASSIPRTLSRTVSVFSSSAALFEKLRREKSFSRENASLENKENIASEEQLEISQNEILIRLKSSYSKAYSSRSPPVSSEADENSLSNSNTEYEANSLRLSSTQISLLLSSIWAQSVSPQNTPQNYEAIAHTYSLVLLFSRAKNSSNETLVRSFQLAFSLQSIAFNEGGPLPPSRRRSLFTLATSMILFSSKAFNIIPIADYAKVDITEITVDPFLRLVDDRKLQAVNTGLNQPKNVYGSKEDDTLALKTLSEVQLPSEQHRETLASEIVRSLGHLSEPELSSIRARLLREFLPDDVCPLGSQFPTDAPHKVYQASAEENEFIIKEGAPIFSFDDDDDDAFVEPFEGQIMDNSEFSGEISNLMDVNQLLESVLETAYQFGRSSVCTGPNMSYKEIAHHCEALVTGKQQKMSHLLSAQLRQDNMTNQAGPIVEQTGNTNLFKQLTGTLPMPCATEYHNHPSFFSLPASTPYDNFLKAASC >KJB46322 pep chromosome:Graimondii2_0_v6:7:59129587:59140536:1 gene:B456_007G360200 transcript:KJB46322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFISGVISRQVMPACGSLCFFCPSLRTRSRQPVKRYKALIADIFPRKKEDGPNDRKIGKLCEYASKNPLRIPKITESLEQRCYKELRNENFQSVKIVICIYKRLLVSCHDQMTLFSSSLQSIIQTLLDQTRQDEMQILGCQTLFDFVNNQKNLRAAGLQAISSMIWFMGEHSHISVEFDNMVSVVLENYGGPMKNLEENNSGQSRWVQEVQKIESRMSPLPNFLTKVPSWRTIVNEKGELNVATEDARNPSFWSRVCLHNMAKLAKEATTARRIFESMFRYFDNEKLWSVHNGLALPVLREMQLLIDSSGQNAHYLLSILVKHLDHKNVLKQPDMQLQIVEVTTSLARDSKVEPSVAILGAVSDVMRHLRKSIHCSLNDSNMGTEVINWNKYFKEAVDKCLVQLSHNVGDAAPILDVMAMMLENITNITAIARTTICVVYRTAQIVASIPNPSYLNKAFPEALFHQLLPAMVHPDHEVRVGAHRIFSVVLVPSSVCPHPSSTRGVKLKASSIPRTLSRTVSVFSSSAALFEKLRREKSFSRENASLENKENIASEEQLEISQNEILIRLKSSYSKAYSSRSPPVSSEADENSLSNSNTEYEANSLRLSSTQISLLLSSIWAQSVSPQNTPQNYEAIAHTYSLVLLFSRAKNSSNETLVRSFQLAFSLQSIAFNEGGPLPPSRRRSLFTLATSMILFSSKAFNIIPIADYAKVDITEITVDPFLRLVDDRKLQAVNTGLNQPKNVYGSKEDDTLALKTLSEVQLPSEQHRETLASEIVRSLGHLSEPELSSIRARLLREFLPDDVCPLGSQFPTDAPHKVYQASAEENEFIIKEGAPIFSFDDDDDDAFVEPFEGQIMDNSEFSGEISNLMDVNQLLESVLETAYQFGRSSVCTGPNMSYKEIAHHCEALVTGKQQKMSHLLSAQLRQDNMTNQAGPIVEQTGNTNLFKQLTGTLPMPCATEYHNHPSFFSLPASTPYDNFLKAASC >KJB46323 pep chromosome:Graimondii2_0_v6:7:59132619:59140526:1 gene:B456_007G360200 transcript:KJB46323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILGCQTLFDFVNNQKNLRAAGLQAISSMIWFMGEHSHISVEFDNMVSVVLENYGGPMKNLEENNSGQSRWVQEVQKIESRMSPLPNFLTKVPSWRTIVNEKGELNVATEDARNPSFWSRVCLHNMAKLAKEATTARRIFESMFRYFDNEKLWSVHNGLALPVLREMQLLIDSSGQNAHYLLSILVKHLDHKNVLKQPDMQLQIVEVTTSLARDSKVEPSVAILGAVSDVMRHLRKSIHCSLNDSNMGTEVINWNKYFKEAVDKCLVQLSHNVGDAAPILDVMAMMLENITNITAIARTTICVVYRTAQIVASIPNPSYLNKAFPEALFHQLLPAMVHPDHEVRVGAHRIFSVVLVPSSVCPHPSSTRGVKLKASSIPRTLSRTVSVFSSSAALFEKLRREKSFSRENASLENKENIASEEQLEISQNEILIRLKSSYSKAYSSRSPPVSSEADENSLSNSNTEYEANSLRLSSTQISLLLSSIWAQSVSPQNTPQNYEAIAHTYSLVLLFSRAKNSSNETLVRSFQLAFSLQSIAFNEGGPLPPSRRRSLFTLATSMILFSSKAFNIIPIADYAKVDITEITVDPFLRLVDDRKLQAVNTGLNQPKNVYGSKEDDTLALKTLSEVQLPSEQHRETLASEIVRSLGHLSEPELSSIRARLLREFLPDDVCPLGSQFPTDAPHKVYQASAEENEFIIKELQFFHLMMMMMMLL >KJB43577 pep chromosome:Graimondii2_0_v6:7:21779548:21785533:1 gene:B456_007G207200 transcript:KJB43577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIATPPLVASILSQESQPSKKIHHQIPIQHKSNNSTNSMSQDYHQAAGIFSFSNGFERSAVSHQEHQQQQQQHLEQQIRRDKLRVQGFEPPPPPLVGIEEEESTSLPVYETAGMLSEMFNFPSGAAAAAAAATASTELLDQHVRPNYRAHRPPGNTNEWYSNRQGAVGGLGQLGESKSHITRDSLAQQQQQLPSINADSAAAMNLFLMNPQQRSPSPPPPPPATSSNTLHMLLPNPSTSLQGFNVSGPGGGFGTSTVLSPPQFTWVPGSAHEGGNNTDSQLSSLNEIGSVVEGQGLSLSLSSSLQHLEAAKAEELRMGDGGLLYYNQGGGSAPQFHQYRNLGSHHHQTMHLQGGVGQNQQVHHVGFGSSLGMVNVLRNSKYVKAAQELLEEFCSVGRGQFKKNKFGRNNTNPSSDPGSSGGAGGGGSSSSTKDLPPLSAADRIEHQRRKVKLLSMLDEVERRYNHYCEQMQMVVNSFDLVMGFGAAVPYTALAQKAMSRHFRCLKDAISAQLKHSCEMLGEKDGAGSSGITKGETPRLRMLEQSLRQQRAFNQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQETKEEGDHKNNNNNNHTERERNPNNNNNAQTSTPSTTAAPTTTTTTSVGKGSQINATENDPSLIAINTPQCFSENQANPNAAEVAPPISQPFTTSIPHDSDIHHQRIAGTTVAAADYGTTAGGNTDIGSSLIRLGTTTAGDVSLTLGLRHAGNMPENTSSFSVRDFGGR >KJB43578 pep chromosome:Graimondii2_0_v6:7:21779641:21785549:1 gene:B456_007G207200 transcript:KJB43578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIATPPLVASILSQESQPSKKIHHQIPIQHKSNNSTNSMSQDYHQAAGIFSFSNGFERSAVSHQEHQQQQQQHLEQQIRRDKLRVQGFEPPPPPLVGIEEEESTSLPVYETAGMLSEMFNFPSGAAAAAAAATASTELLDQHVRPNYRAHRPPGNTNEWYSNRQGAVGGLGQLGESKSHITRDSLAQQQQQLPSINADSAAAMNLFLMNPQQRSPSPPPPPPATSSNTLHMLLPNPSTSLQGFNVSGPGGGFGTSTVLSPPQFTWVPGSAHEGGNNTDSQLSSLNEIGSVVEGQGLSLSLSSSLQHLEAAKAEELRMGDGGLLYYNQGGGSAPQFHQYRNLGSHHHQTMHLQGGVGQNQQVHHVGFGSSLGMVNVLRNSKYVKAAQELLEEFCSVGRGQFKKNKFGRNNTNPSSDPGSSGGAGGGGSSSSTKDLPPLSAADRIEHQRRKVKLLSMLDEVERRYNHYCEQMQMVVNSFDLVMGFGAAVPYTALAQKAMSRHFRCLKDAISAQLKHSCEMLGEKDGAGSSGITKGETPRLRMLEQSLRQQRAFNQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQETKEEGDHKNNNNNNHTERERNPNNNNNAQTSTPSTTAAPTTTTTTSVGKGSQINATENDPSLIAINTPQCFSENQANPNAAEVAPPISQPFTTSIPHDSDIHHQRIAGTTVAAADYGTTAGGNTDIGSSLIRLGTTTAGDVSLTLGLRHAGNMPENTSSFSVRDFGGR >KJB41367 pep chromosome:Graimondii2_0_v6:7:7519240:7524301:1 gene:B456_007G101300 transcript:KJB41367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKCRTPLISTVHFKRQLSSSPTLPRETTQLRALKLEPNPSLSLSTLGNTVSALHPGSTGFYPHALKISAKLGLLKEGKQLHSRMIKLGFNNVLPLQTQMLNLYVKCKQFSDAEKLFDQMRVRNLVTWNTMICKSNLCLGFSYFKKMLINKVGFDHITLNTLLHASSEVKGVVFGRELHCFIVKCGFLYDSFVSSALVHLYGECGLVEEARWVFDQVFCRDLVLWNVMVSCYALNSLTKEAFEVFDLMKKEGVNGDGYTFCSLLKSCCIWGFYELGRQVHGLIIKLCFDLDVPVASALRGDMEKVMELLREMRLQNFCPDELTMASIFQSCGVSSGSAELLQVHTYVIKNGFESFLSVTNALIHAYSKCGNIDGALQYFVSVSEPDLVTWTSIIGAYSFLGHSKRSVIAFEKMLVAGVKPDQIAFLAILSACSHGGLVNEGLHYFNIMMNDYRIIPDSKHYTCLVDLLGRAGLLNEAFSFITSHPVACTPDTLGAFIGACSIHGNITLAKWAAEKLVVLEPNKPVNYTLISNIYASKGRWLDVERVRKMMTDCCDYKIPGCSWVSTSSSRSRNPSSGIRSTPDRSAYSPSFSSYTASTVSRSGRNPVKVAARSIAGAFVACFTPPETDDSNNLKVSNEFGAPSAASETSRMRGQNRGIYSNSTKERAPGSMKFTIEEIFKATRNFSPAFKIGQGGFGTVYKGKLDDGTLVAIKRAKKVWIWNDEVTYL >KJB41368 pep chromosome:Graimondii2_0_v6:7:7519240:7525152:1 gene:B456_007G101300 transcript:KJB41368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKCRTPLISTVHFKRQLSSSPTLPRETTQLRALKLEPNPSLSLSTLGNTVSALHPGSTGFYPHALKISAKLGLLKEGKQLHSRMIKLGFNNVLPLQTQMLNLYVKCKQFSDAEKLFDQMRVRNLVTWNTMICKSNLCLGFSYFKKMLINKVGFDHITLNTLLHASSEVKGVVFGRELHCFIVKCGFLYDSFVSSALVHLYGECGLVEEARWVFDQVFCRDLVLWNVMVSCYALNSLTKEAFEVFDLMKKEGVNGDGYTFCSLLKSCCIWGFYELGRQVHGLIIKLCFDLDVPVASALRGDMEKVMELLREMRLQNFCPDELTMASIFQSCGVSSGSAELLQVHTYVIKNGFESFLSVTNALIHAYSKCGNIDGALQYFVSVSEPDLVTWTSIIGAYSFLGHSKRSVIAFEKMLVAGVKPDQIAFLAILSACSHGGLVNEGLHYFNIMMNDYRIIPDSKHYTCLVDLLGRAGLLNEAFSFITSHPVACTPDTLGAFIGACSIHGNITLAKWAAEKLVVLEPNKPVNYTLISNIYASKGRWLDVERVRKMMTDCCDYKIPGCSWVSTSSSRSRNPSSGIRSTPDRSAYSPSFSSYTASTVSRSGRNPVKVAARSIAGAFVACFTPPETDDSNNLKVSNEFGAPSGMPVSRFTASETSRMRGQNRGIYSNSTKERAPGSMKFTIEEIFKATRNFSPAFKIGQGGFGTVYKGKLDDGTLVAIKRAKKSVYDKHLGVEFQSEVTTLAQVEHLNLVRFYGYLEHGDERVVVVEYVPNGTLREHLDGVNGKELDFASRLDIAIDVAHAITYLHMYTDHPIIHRDIKSSNILLTEKLRAKVTDFGFARLAADTDSGATHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELVTGRRPIEPKREIKERITPKWAMKKFTDGDAISTLDPRLELTAGINLALEKILELALQCLAPRRQSRPSMRRCGEVLWSIRKDFREQSALDFRSLSSNSQRSASVKEQ >KJB40202 pep chromosome:Graimondii2_0_v6:7:3576505:3579466:-1 gene:B456_007G050700 transcript:KJB40202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRKHTQKDDSEQRDAKVIELRTAIGPLSGRCSLFCTDACLMRYLEARNWNVDKARKMLEETLKWRSTYKPEEIRWHEVAHEGETGKVSRASFHDRQGRTVLILRPGMQNTTSAENNIRHLVYLLENAIINLSEGQEQMSWLIDFTGFSLNTSVPIRTARDIIYILQSHYPERLAIAFLYNPPRFFEAFYKAVRYFLDPKTAQKVKFVYPKNKGSVEMMQLYFDIENLPNEFGGNATLKYDHEEFSRLMAQDDVKTAKFWGIDEKPYQIGNGHSVAPEPAPISQQAG >KJB40201 pep chromosome:Graimondii2_0_v6:7:3576505:3579706:-1 gene:B456_007G050700 transcript:KJB40201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRKHTQKDDSEQRDAKVIELRTAIGPLSGRCSLFCTDACLMRYLEARNWNVDKARKMLEETLKWRSTYKPEEIRWHEVAHEGETGKVSRASFHDRQGRTVLILRPGMQNTTSAENNIRHLVYLLENAIINLSEGQEQMSWLIDFTGFSLNTSVPIRTARDIIYILQSHYPERLAIAFLYNPPRFFEAFYKAVRYFLDPKTAQKVKFVYPKNKGSVEMMQLYFDIENLPNEFGGNATLKYDHEEFSRLMAQDDVKTAKFWGIDEKPYQIGNGHSVAPEPAPISQQAG >KJB42418 pep chromosome:Graimondii2_0_v6:7:12996923:12999705:-1 gene:B456_007G151800 transcript:KJB42418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLHCLPSLFYPSHWLPHCVSSSFFCPHPLPTFPNFFPSKFLLLLLVRPSGKLVQIEHALTAVGSGQTSLGIKSANGVVIATEKKLPSILVDETSVQKIQCLTPNIGVVYSGMGLDFRVLVRKSRKQAEQYHRLYKWCKAFWCVSTGCWIR >KJB42419 pep chromosome:Graimondii2_0_v6:7:12996928:12999705:-1 gene:B456_007G151800 transcript:KJB42419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLHCLPSLFYPSHWLPHCVSSSFFCPHPLPTFPNFFPSKFLLLLLVRPSGKLVQIEHALTAVGSGQTSLGIKSANGVVIATEKKLPSILVDETSVQKIQCLTPNIGVVYSGMGLDFRVLVRKSRKQAEQYHRLYKLKVEYEKGTSEKNGNVVDHYFSHAL >KJB42417 pep chromosome:Graimondii2_0_v6:7:12997537:12999705:-1 gene:B456_007G151800 transcript:KJB42417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLHCLPSLFYPSHWLPHCVSSSFFCPHPLPTFPNFFPSKFLLLLLVRPSGKLVQIEHALTAVGSGQTSLGIKSANGVVIATEKKLPSILVDETSVQKIQCLTPNIGVVYSGMGLDFRVLVRKSRKQAEQYHRLYKWNMRRELQRKMAML >KJB40794 pep chromosome:Graimondii2_0_v6:7:5483903:5484879:1 gene:B456_007G077400 transcript:KJB40794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLSHHIISQALLLLIIQSLFVNSESHSRSLQLFEGAQKGYTFKGLNHVKQYLKAFGYYYSINDVNFTDHFDSLLESALKAYQQYYRLEVTGKINSDTMKKMSTPRCGVRDVFNDSNDGFKFRMVANYTFFNGMPRWNKRQLTYKLRSSAYVISDRQLRPIIARAFGKWADVSNFTFREAWVFNPSDIVVGFHRRFHWDNYPFDGPGNILAHAFAPEDGRLHYDADENWSTNNLTRLDQIDVESVSIHEIGHILGLGHSRDPNAIMYPYYRLKRNLGQDDIDGIRALYFGR >KJB40011 pep chromosome:Graimondii2_0_v6:7:2940465:2943931:-1 gene:B456_007G042200 transcript:KJB40011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNPSLSNAGFCYETRIYSSLRQPVPLPPADQPLSVAEYCLSIFSSISTSGATPFAVNATTGQTLSYSQFVSQVRSLAYCLKKRYSLSQNDVAFVLSPTSLHIPVLYFALMSLGIIVSPANPLGSNSEISHQVQLSKPVIAFATSQTSHKIPTLKHETILLDSPEFLSFLTLSNIDGNVMKRIKVNQSDSAAILYSSGTTGRVKGVMMSHRNLIAMMAAIHHHNTIEADGSDNPPRSVTFFTVPLFHVFGFFMLLGAVLSADTVVLTERFEFAEMLRAIEKYKVLGCGGAPLGREVAQLFNEKFPNVLLLQGYGLTETGGGATNVFGPEEAARYGTVGRLAENMEAKIVDPETGQSLPPGQRGELWLRGPTVMKGYLGDEKATAETLDSEGWLKTGDICYFDTEGFLYILDRLKELIKYKAYQVPPAELEHLLHSHPQIADAAVVPYPDEEAGQIPIAYVVRNPGSSLTAAQTMDFIAKQVAPYKKIRRVAFIDSIPKNPAGKILRRELVNYTGGLSRL >KJB40013 pep chromosome:Graimondii2_0_v6:7:2940494:2943931:-1 gene:B456_007G042200 transcript:KJB40013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNPSLSNAGFCYETRIYSSLRQPVPLPPADQPLSVAEYCLSIFSSISTSGATPFAVNATTGQTLSYSQFVSQVRSLAYCLKKRYSLSQNDVAFVLSPTSLHIPVLYFALMSLGIIVSPANPLGSNSEISHQVQLSKPVIAFATSQTSHKIPTLKHETILLDSPEFLSFLTLSNIDGNVMKRIKVNQSDSAAILYSSGTTGRVKGVMMSHRNLIAMMAAIHHHNTIEADGSDNPPRSVTFFTVPLFHVFGFFMLLGAVLSADTVVLTERFEFAEMLRAIEKYKVTFMPVSPPLVLAFVKSILTKKFDLSSLQVLGCGGAPLGREVAQLFNEKFPNVLLLQGYGLTETGGGATNVFGPEEAARYGTVGRLAENMEAKIVDPETGQSLPPGQRGELWLRGPTVMKGDEKATAETLDSEGWLKTGDICYFDTEGFLYILDRLKELIKYKAYQVPPAELEHLLHSHPQIADAAVVPYPDEEAGQIPIAYVVRNPGSSLTAAQTMDFIAKQVAPYKKIRRVAFIDSIPKNPAGKILRRELVNYTGGLSRL >KJB40014 pep chromosome:Graimondii2_0_v6:7:2941132:2943793:-1 gene:B456_007G042200 transcript:KJB40014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNPSLSNAGFCYETRIYSSLRQPVPLPPADQPLSVAEYCLSIFSSISTSGATPFAVNATTGQTLSYSQFVSQVQLSKPVIAFATSQTSHKIPTLKHETILLDSPEFLSFLTLSNIDGNVMKRIKVNQSDSAAILYSSGTTGRVKGVMMSHRNLIAMMAAIHHHNTIEADGSDNPPRSVTFFTVPLFHVFGFFMLLGAVLSADTVVLTERFEFAEMLRAIEKYKVTFMPVSPPLVLAFVKSILTKKFDLSSLQVLGCGGAPLGREVAQLFNEKFPNVLLLQGYGLTETGGGATNVFGPEEAARYGTVGRLAENMEAKIVDPETGQSLPPGQRGELWLRGPTVMKGYLGDEKATAETLDSEGWLKTGDICYFDTEGFLYILDRLKELIKYKAYQVPPAELEHLLHSHPQIADAAVVPYVFCFSFVFFFSLLNGICAEYCTFCFDIFLSENIREDISQGNIYWKE >KJB40012 pep chromosome:Graimondii2_0_v6:7:2940776:2943793:-1 gene:B456_007G042200 transcript:KJB40012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNPSLSNAGFCYETRIYSSLRQPVPLPPADQPLSVAEYCLSIFSSISTSGATPFAVNATTGQTLSYSQFVSQVRSLAYCLKKRYSLSQNDVAFVLSPTSLHIPVLYFALMSLGIIVSPANPLGSNSEISHQVQLSKPVIAFATSQTSHKIPTLKHETILLDSPEFLSFLTLSNIDGNVMKRIKVNQSDSAAILYSSGTTGRVKGVMMSHRNLIAMMAAIHHHNTIEADGSDNPPRSVTFFTVPLFHVFGFFMLLGAVLSADTVVLTERFEFAEMLRAIEKYKVTFMPVSPPLVLAFVKSILTKKFDLSSLQVLGCGGAPLGREVAQLFNEKFPNVLLLQGYGLTETGGGATNVFGPEEAARYGTVGRLAENMEAKIVDPETGQSLPPGQRGELWLRGPTVMKGYLGDEKATAETLDSEGWLKTGDICYFDTEGFLYILDRLKELIKYKAYQVPPAELEHLLHSHPQIADAAVVPYPDEEAGQIPIAYVVRNPGSSLTAAQTMDFIAKQVAPYKKIRRVAFIDSIPKNPAGKILRRELVNYTGGLSRL >KJB40015 pep chromosome:Graimondii2_0_v6:7:2940714:2943856:-1 gene:B456_007G042200 transcript:KJB40015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNPSLSNAGFCYETRIYSSLRQPVPLPPADQPLSVAEYCLSIFSSISTSGATPFAVNATTGQTLSYSQFVSQVQLSKPVIAFATSQTSHKIPTLKHETILLDSPEFLSFLTLSNIDGNVMKRIKVNQSDSAAILYSSGTTGRVKGVMMSHRNLIAMMAAIHHHNTIEADGSDNPPRSVTFFTVPLFHVFGFFMLLGAVLSADTVVLTERFEFAEMLRAIEKYKVTFMPVSPPLVLAFVKSILTKKFDLSSLQVLGCGGAPLGREVAQLFNEKFPNVLLLQGYGLTETGGGATNVFGPEEAARYGTVGRLAENMEAKIVDPETGQSLPPGQRGELWLRGPTVMKGYLGDEKATAETLDSEGWLKTGDICYFDTEGFLYILDRLKELIKYKAYQVPPAELEHLLHSHPQIADAAVVPYPDEEAGQIPIAYVVRNPGSSLTAAQTMDFIAKQVAPYKKIRRVAFIDSIPKNPAGKILRRELVNYTGGLSRL >KJB43427 pep chromosome:Graimondii2_0_v6:7:20250548:20251326:-1 gene:B456_007G199200 transcript:KJB43427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLSPNITMTDQGHAISKAIGEVFPDSCHKLCFWHISRNAHSHIGNLNENVDFHALFHKCFEKEFRDGVLLIWREIAQNGTSYTFEVMMNENSSRVRTVHFNTTTMEIRCTCKKFNFCGYLCSHAIRILSV >KJB42729 pep chromosome:Graimondii2_0_v6:7:15675741:15678235:-1 gene:B456_007G171700 transcript:KJB42729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNQTAALKVGLCLLGLCLFGYIVGPPLYWHFMEGLVAVSHTSNTCPPCLCDCSSQPLLTIPEGLSNASFTDCAKHDPVVNEDTEKHFAELLTEELKLREAEALESRQRADMALLEAKKLASQYQKEADKCNSGMETCEEAREKAEEALSAQMKLTAMWEIRARQKGWREKVAKSNAQTQGNLQFV >KJB42868 pep chromosome:Graimondii2_0_v6:7:15602011:15603875:1 gene:B456_007G171400 transcript:KJB42868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAITIKALKEQQHIIQQQSESAGESTQSLPSLDEVEQILGYEFNNKRLLEEAFTHASLGLGFSNERLEYVGDSVLNLLFTKQQFFEYPDLPPGPLTRLRAANVDTEKLARAAVKHGLHRYLRHKKPLLKEQIRQFSEEIQRYPLHSNGLVDVPKALADLVESTIGAVFIDTNSLHVVWKVFKDLLEPIIRRETLKIHPVTQLYEVCQKRNLKVKFVDLWKESTGFDVFVDDQLVGRGKCSLKKEIAHNRAAKDALDNILRILDEKDTNINIVEERNV >KJB42869 pep chromosome:Graimondii2_0_v6:7:15602064:15603613:1 gene:B456_007G171400 transcript:KJB42869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAITIKALKEQQHIIQQQSESAGESTQSLPSLDEVEQILGYEFNNKRLLEEAFTHASLGLGFSNERLEYVGDSVLNLLFTKQQFFEYPDLPPGPLTRLRAANVDTEKLARAAVKHGLHRYLRHKKPLLKEQIRQFSEEIQRYPLHSNGLVDVPKALADLVESTIGAVFIDTNSLHVVWKVPISYTYFYLGRTFFIVRIWYVVICIILLLNTIIGV >KJB42088 pep chromosome:Graimondii2_0_v6:7:11139906:11145265:1 gene:B456_007G136400 transcript:KJB42088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPPSNRLRNMLQAAVQSVQWTYSLFWQICPQQGILVWSDGYYNGAIKTRKTLQPMEVSAEEAALQRSQQLRELYDSLSAGESNQTVRRPSAALSPEDLTESEWFYLMCVSFSFPPGVGLPGKAYARRQHVWLTGASEVDSKIFSRAILAKSARIQTVLCIAVHDGVVELGTTEKLQEDLELVQHVKTFFTDDIIRNPKPALSEHSTSNPADYTRFHSPALPPTYAAANPNLDGNEEEEEEEEEEEEEEEEEEEGPESDCAETDRNSRRMVRVAAEPSELMMQLEMSEDMRLGSPDDASNNLDTDIHMAGVSQAEKQRRAESFKAELCRRWEMVQEEEAANSGLESRYSGLLPMDGLAQENTHYSQTVSAILQGQPTRWADSLPTYSTESAFSKWTIRSDHHQLPVALEGASSQWLLKYILFSVPLLHSKYRDENSPKARDADAAARFRKGTPQEELSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLRKKIQELETRNKEIEAHNERPRSADSLQRSGVTVSERARSLGPEPGREKRKMRIVTESRGEARPKKTQPETALEVSIIENDALLELQCEYREGLLVDIMRMLREQLRIEITTVQSSLNNGVLAAELRAKVKDNVNGKKVSITEVKWAINQMIPSF >KJB42866 pep chromosome:Graimondii2_0_v6:7:15553615:15561269:1 gene:B456_007G171300 transcript:KJB42866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKLFPIPLFVILTFSISVESRCTRSCTALASYYVPPNSSLTFVSELFNSTLVPSSTLNFDSILSYNKQVANKDSVEIGTRLNVPFPCDCINGSLPAHEFSYRVRSHDTYDKIATEYYSNLTTVNWLQPFNTYPPTNIPDTGTVRVVVNCSCGDATISKDYGLFVTYPLRPGENLSYVLTQANLSSDLSGLVQRYNPGVNFSSGAGLVFIPGRDANGNFPPIQSSAGNSGGVIVGISIAAIVVLLLLGIGVYIGFFRKKVKGETLSSTDSQDLLAQVGNAFGSKAAESTPAVAASPGLTGISIDKSVAFSYEELALATDNFSMAKKIGEGGFGAVYYANLRGEEAAIKKMDMQATKEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGSGREPLPWSTRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKKFRAKVADFGLTKLTEVGNSSLPTRLVGTFGYMPPEYAQYGDVSPKIDVFAFGVVLYELISAKEAIVKANSSLAESKGLVALFEDGLDEPDPKAGLCKLIDPTLGDNYPLDSVFKMAQLAKACTQENPQLRPSMRSIVVALMTLSSTTEDWDVGTFYENQAVVNLMSGR >KJB42865 pep chromosome:Graimondii2_0_v6:7:15553613:15562638:1 gene:B456_007G171300 transcript:KJB42865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKLFPIPLFVILTFSISVESRCTRSCTALASYYVPPNSSLTFVSELFNSTLVPSSTLNFDSILSYNKQVANKDSVEIGTRLNVPFPCDCINGSLPAHEFSYRVRSHDTYDKIATEYYSNLTTVNWLQPFNTYPPTNIPDTGTVRVVVNCSCGDATISKDYGLFVTYPLRPGENLSYVLTQANLSSDLSGLVQRYNPGVNFSSGAGLVFIPGRDANGNFPPIQSSAGNSGGVIVGISIAAIVVLLLLGIGVYIGFFRKKVKGETLSSTDSQDLLAQVGNAFGSKAAESTPAVAASPGLTGISIDKSVAFSYEELALATDNFSMAKKIGEGGFGAVYYANLRGEEAAIKKMDMQATKEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGSGREPLPWSTRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKKFRAKVADFGLTKLTEVGNSSLPTRLVGTFGYMPPEYAQYGDVSPKIDVFAFGVVLYELISAKEAIVKANSSLAESKGLVALFEDGLDEPDPKAGLCKLIDPTLGDNYPLDSVFKMAQLAKACTQENPQLRPSMRSIVVALMTLSSTTEDWDVGTFYENQAVVNLMSGR >KJB42867 pep chromosome:Graimondii2_0_v6:7:15555493:15561269:1 gene:B456_007G171300 transcript:KJB42867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKIGEGGFGAVYYANLRGEEAAIKKMDMQATKEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGSGREPLPWSTRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKKFRAKVADFGLTKLTEVGNSSLPTRLVGTFGYMPPEYAQYGDVSPKIDVFAFGVVLYELISAKEAIVKANSSLAESKGLVALFEDGLDEPDPKAGLCKLIDPTLGDNYPLDSVFKMAQLAKACTQENPQLRPSMRSIVVALMTLSSTTEDWDVGTFYENQAVVNLMSGR >KJB41795 pep chromosome:Graimondii2_0_v6:7:9622676:9623494:1 gene:B456_007G121800 transcript:KJB41795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRSNSFPRSSRQHPLATEVNEHLNRLRTSIEASTSSSSISHKLNGFQDLYDCVVKFLQLHLSHHALTHECADELLDGSFRLLDLCSTTKDIVLQTKESTNELQSALRRRKIGESEIASEVRKYISSRKVSKKTIHKALGNLKVVQRKNTVSPSETVSMLKEIEAVTCSMFEDLLSLITGPKPRSWLSVSKLLHQRRIACEDASRNVNEFGKVDVALKSFGTTKSEIINLEMQNQLKDLELFIQDLEDGLECLFRCMIKARVSLLNILTL >KJB40661 pep chromosome:Graimondii2_0_v6:7:5190767:5193796:-1 gene:B456_007G073200 transcript:KJB40661 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIN domain-like family protein [Source:Projected from Arabidopsis thaliana (AT2G34570) UniProtKB/TrEMBL;Acc:Q8L8C2] MRFKKQKRHRKTVRFFCVCFGFRQPFKVLCDGTFVHHLLHNDLTPADKALSNCLSAPVKLFTTSCVLAELKKLGAAQSASFQAARKLAIARCDHEKVVSADACIAEVIGENNSEHFFVATQDADLRKKLQKVPNVPLIFGLRNALFLEQPSKFQRDFVKSSEEKRLHLTEKEYKALEKRTTSVSANADEEDSGDEEGLGHHNPGLQPHDTRNYSGKERDVKDRVRFKRKKAKGPNPLSVKKKKGHENLNRASGKEVKGDDGNGENKRKRKRSRRGKTVAGADV >KJB44818 pep chromosome:Graimondii2_0_v6:7:47985038:47990127:1 gene:B456_007G280000 transcript:KJB44818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMQQSKRELCILSASGTISNASLRQPATSGGNIAYEGRFEIISLSGSYVRTEIGGRTGGLSVCLSSADGQIIGGGVGGPLKAAGPVQVIVGTFMVDNKKDGSANVKGDASGSKLPSPVAGTSVSNIGFRPAFEASGRNPIDGNDDHQSFGGSHFMMQPQGLHLAPRPTDWRTGLDDRTGFELTGKTGHGAHQSPENGDYD >KJB44815 pep chromosome:Graimondii2_0_v6:7:47983758:47990035:1 gene:B456_007G280000 transcript:KJB44815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNEAQQHYFTTNTSTTVNTTPSPSNGLLPPNESGGSHHMVYPHSVPSAVTSPLEPARRKRGRPRKYGTPEQAMAAKKTASSTSKERREHQQLQQLALGGAGASLSGSSRKSQLVALGNAGQGFTPHVINVVAGEDVGQKIMLFMQQSKRELCILSASGTISNASLRQPATSGGNIAYEGRFEIISLSGSYVRTEIGGRTGGLSVCLSSADGQIIGGGVGGPLKAAGPVQVIVGTFMVDNKKDGSANVKAFEASGRNPIDGNDDHQSFGGSHFMMQPQGLHLAPRPTDWRTGLDDRTGFELTGKTGHGAHQSPENGDYD >KJB44817 pep chromosome:Graimondii2_0_v6:7:47984351:47990123:1 gene:B456_007G280000 transcript:KJB44817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSCGNAGQGFTPHVINVVAGEDVGQKIMLFMQQSKRELCILSASGTISNASLRQPATSGGNIAYEGRFEIISLSGSYVRTEIGGRTGGLSVCLSSADGQIIGGGVGGPLKAAGPVQVIVGTFMVDNKKDGSANVKGDASGSKLPSPVAGTSVSNIGFRPAFEASGRNPIDGNDDHQSFGGSHFMMQPQGLHLAPRPTDWRTGLDDRTGFELTGKTGHGAHQSPENGDYD >KJB44814 pep chromosome:Graimondii2_0_v6:7:47983653:47990127:1 gene:B456_007G280000 transcript:KJB44814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNEAQQHYFTTNTSTTVNTTPSPSNGLLPPNESGGSHHMVYPHSVPSAVTSPLEPARRKRGRPRKYGTPEQAMAAKKTASSTSKERREHQQLQQLALGGAGASLSGSSRKSQLVALGNAGQGFTPHVINVVAGEDVGQKIMLFMQQSKRELCILSASGTISNASLRQPATSGGNIAYEGRFEIISLSGSYVRTEIGGRTGGLSVCLSSADGQIIGGGVGGPLKAAGPVQVIVGTFMVDNKKDGSANVKGDASGSKLPSPVAGTSVSNIGFRPAFEASGRNPIDGNDDHQSFGGSHFMMQPQGLHLAPRPTDWRTGLDDRTGFELTGKTGHGAHQSPENGDYD >KJB44816 pep chromosome:Graimondii2_0_v6:7:47984351:47990123:1 gene:B456_007G280000 transcript:KJB44816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSCGNAGQGFTPHVINVVAGEDVGQKIMLFMQQSKRELCILSASGTISNASLRQPATSGGNIAYEGRFEIISLSGSYVRTEIGGRTGGLSVCLSSADGQIIGGGVGGPLKAAGPVQVIVGTFMVDNKKDGSANVKAFEASGRNPIDGNDDHQSFGGSHFMMQPQGLHLAPRPTDWRTGLDDRTGFELTGKTGHGAHQSPENGDYD >KJB44022 pep chromosome:Graimondii2_0_v6:7:28866053:28866466:1 gene:B456_007G230300 transcript:KJB44022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIIKRVFFVIFTICFFFFSSFIEEQLLFVRKTSHPAYRPQFTINSVSLFRMKLLLRTVIQAFIAWKANGLPWPFNVLFIAVDHFYLSTKIDPFYKENACSKLLLVPYEVSYVDIFAFIARFCLFTWLVII >KJB44153 pep chromosome:Graimondii2_0_v6:7:32350209:32350405:-1 gene:B456_007G2373001 transcript:KJB44153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKILIMVRGKAQMRHIENDTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLFEFASS >KJB45017 pep chromosome:Graimondii2_0_v6:7:48893102:48896688:1 gene:B456_007G285300 transcript:KJB45017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLLHLEEPDCSEFVEVDPTGRYGRYNEILGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKHWCRQILRGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVISGRKPDALYKVKDPEVRQFVEKCLATVSLRLSARELLNDPFLQFDDCEYDLRQLEYGRELDEVGPLIRLPYLEFHHNSNSYSNGYKNGYGYETPNEWGYHPAEIEPSGIGLFEYQEDEHAANVDISIKGKMGNDGGIFLRLRIVDKEGRIRNIYFPFDVETDTALSVATEMVSELDITDQDVTKIADMIDGEIASLVPGWRRGPGIDESPRFSNQNFCHNCASNRTSTGSLLDFLTHNGGVKDSRVLQCCRTGCASMHGRFEEITFQVEESEHCVTDGAPNESSQSDNLHYQEIWDQHENHELRQRNSDKEFDKSDQSFLANGDKEVREEKKIQSPNRNSIQCLTSSRSFSEVHSLYCDLSDNYENEVQQELRWLKAKYQMELRELRDQQQLGIVSDSSNCSNKDKNRNNDIPPLSTFAPNKHFNSDFHYNKNKRCPDSANRGGRYHKPAESPRVKEMVTAQNFCTGSLLPQSLHRTISLPVDAVDI >KJB44150 pep chromosome:Graimondii2_0_v6:7:32176264:32179501:-1 gene:B456_007G237100 transcript:KJB44150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVSIASPATLTLPPKPKSSIPKTSFTGVRIQHLCPQRLPSQATFFRQPSPSSSVVMMAKREEEMKEIRAKTTEEINDEVVELKGELLMLRLQKSVRNEFKSSEFGRMRKRIARMLTVKRERELEEGINKRLSRKLDRQWKKSIVVKPPPSLIKLREQEAAEEAEKSAA >KJB43254 pep chromosome:Graimondii2_0_v6:7:18622867:18627058:1 gene:B456_007G190400 transcript:KJB43254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENNDNFEKETGKGFSQRQLKNRWDALRKEWKAWKKLKGEDTGLGWNPIKRTVDASDDWWESRLQVVSEAQKFRTSGIDPEFKGKLDQMFMGIVATGDKAWAPSSGTLRSDFFEDVTNEIPEENEEENRRNDVHISNDVHISNDVQIDGNGQKRKKNLEISSSHFKTGRKKSSKQIGGAARLSGQIEKLCNAADNMSQATSSLTPVMDPYGIPQVVKVLDSMSEEVPEASPLYFFALKLLLNKDKRIMFLSINPKIRALWLKSEMEDS >KJB41963 pep chromosome:Graimondii2_0_v6:7:10461586:10467856:1 gene:B456_007G130200 transcript:KJB41963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKTIELDQGWDYMHKGIKKLRRILEGLPEPPFTSEEYMMLYTTIYNMCTQKPPYDYSQQLYDKYREAFEEYITSTVLPSLREKHDEFMLRELVKRWGNHKVMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYQEVCAKVKDAVIALIDKEREGDQIDRALLKNVLDIFVEIGMGQMDRYENDFEEDLLQDTSAYYSRKASSWIEEDSCPEYMLKSEECLRKERERVSHYLHSSSETKLLEKVQHELLVTYANRLLEKEHSGCRALLRDDKVEDLSRMYRLYCKIPRGLEPVANVFKQHVTAEGTALVQQAEDAASNAPGVQEQVLIRKIIKLHDKYMAYVTDCFQNHTLFHKALKEAFEVFCNKTVTGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQASFEDYLRSNSAAHPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFKGFYETKTKHRKLTWIYSLGTCQINGKFDQKSIELIVSTYQAAVLLLFNSSDRLSYSEIMAQLNLNHDDLVRLLHSLSCAKYKILSKEPNTKTISQSDYFEFNSKFTDKLRRIKVPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQHLVSECVEQLSRMFKPDIKAIKKRIEDLITRDYLERDKENPNTFRYLA >KJB41964 pep chromosome:Graimondii2_0_v6:7:10461705:10467764:1 gene:B456_007G130200 transcript:KJB41964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKTIELDQGWDYMHKGIKKLRRILEGLPEPPFTSEEYMMLYTTIYNMCTQKPPYDYSQQLYDKYREAFEEYITSTVLPSLREKHDEFMLRELVKRWGNHKVMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYQEVCAKVKDAVIALIDKEREGDQIDRALLKNVLDIFVEIGMGQMDRYENDFEEDLLQDTSAYYSRKASSWIEEDSCPEYMLKSEECLRKERERVSHYLHSSSETKLLEKVQHELLVTYANRLLEKEHSGCRALLRDDKVEDLSRMYRLYCKIPRGLEPVANVFKQHVTAEGTALVQQAEDAASNAPGVQEQVLIRKIIKLHDKYMAYVTDCFQNHTLFHKALKEAFEVFCNKTVTGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQASFEDYLRSNSAAHPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFKGFYETKTKHRKLTWIYSLGTCQINGKFDQKSIELIVSTYQAAVLLLFNSSDRLSYSEIMAQLNLNHDDLVRLLHSLSCAKYKILSKEPNTKTISQSDYFEFNSKFTDKLRRIKVWDSFSAVFFLMLFSLLVVCIYWDEKDLNFVNLSLC >KJB40050 pep chromosome:Graimondii2_0_v6:7:3087310:3089851:-1 gene:B456_007G044400 transcript:KJB40050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYSFQSHPFLLDSIFLPNTSTTKVSGLVEEGNIINPNCFPQFYQDSPVGSMLHQSSCLDHSSNEPSTVTKKQSTEDDSSTAVDKLESGEQVTQKKRTRNGTTSNSAQFKGAKEGKTKKQKKCNDGLKNEKKGCNSKADKKDQEKSTEQPPTGYIHVRARRGQATDSHSLAERVRREKISERMKTLQRLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASLSPTFYDFGVDLETLMIRPERVSSTETSPLPMPCPQQCNPIQTTIAPSHINYPLLDVSTALFLQQGLRPNLFSHSQDNGSVLWDVEDQRQKIFNSSQLNDNLCFFH >KJB42465 pep chromosome:Graimondii2_0_v6:7:13196608:13200163:1 gene:B456_007G154300 transcript:KJB42465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQQHHQLSLAKSSRQRCNEWIFRDVKSDITIEVNGGTFALHKFPLVSRSGRIRKLVAEHRDSDISRVELLNLPGGAETFELAAKFCYGINFEITSSNVAQLCCVSDYLEMTEEFSKDNLGSRAEEYLESIVCKNLEMCVEVLQQCENLLPLADELKIVSRCIDAIASKACAEQIASSFSRLEYSSSGRLHMNRQAKCDGDWWIEDLSVIRIDLYQRVMTAMKCRGVRPESIGASLVNYAQKELTKKSSLWNPSGHTKIDLLSTDHERLVVETIVSLLPVEKLAVPITFLFGLLRSAVMLDCSIACRLDLERRIGSQLDIATLDDLLIPSFRHAGDTLFDVDTVQRILVNFSQQDDSEDDMDDASVFESDSPHSPSQGALFKVSKLVDNYLAEIAPDANLKLAKFMAIAETLPTHARTIHDGLYRAIDIYLKAHQGLPDADKKKLCKLIDFQKLSQEAGAHAAQNERLPLQSIVQVLYFEQLRLRNALCCSYADDDRKPVNHQSWRISSSALSAAMSPKDNYASLRRENRELKLELARMRMRLNDLEKEHVCMKRDMAKSSSRKFMSSFTKKIGGLSLFGHSSSRGSSSPSRQSYRTDSKVIERTCASTD >KJB41479 pep chromosome:Graimondii2_0_v6:7:7967990:7970448:1 gene:B456_007G106200 transcript:KJB41479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFRQIGEALGSLKALMVFRDNIQINQRQCILLLDIFYSAYKSIADEMKDNLKFEERNLKWKVLEMPLRELHRIFKEGEAYIKESLESKDWWVKAITLYQNTDCVELHISNLLSCIPVVIEAIESAAQLSGWEQDEMQKKKRVYSNKYHKEWIDPELFQWKFAKQYLVTQDFCNRIDNVWKEDRWILKNKIQEKENSRLRKQERKLADLLLRNLDSSKSLKDKLLPSSILLGWKDYQVRRRLGNGSQYKEVYWLGESFILRHIIGDVEAVASDISSLLSLSHPNILHFLCGFTDEEKKECLLVMELAHKSLCDCIKETCGPRKRTSFCLPVTVDLMLQIARGMEYLHSNKIYHGDLNPSSIYVKLRGTSSEGYMQVKVSGFGLSSIPQRGAANQNETQSFIWHAPEVLEEQEQSGSKVKLKFTGKADVYSFGMICFQLLTGKVPFEDGHLQGDKMSRNIRAGERPLFPFKPPKSITSLIKRCWHADPDLRPSFLSICRILRYIKRSLLMNPDYNSQSELPQPLVDYCDIDMRLQRIFPTWETPNSLSTSQIPFQMFVYRVLEKDKTGVPLKDTSESGSDRNSASGDENVTTDELYTSATDRSMPSPEPLPRINTTMKKSADIRTKHPVTPKARSTRPPMNQRVRSFRMSSESQLLLVSPRLRRSSSGHVSDSELS >KJB39976 pep chromosome:Graimondii2_0_v6:7:2882173:2882987:1 gene:B456_007G041100 transcript:KJB39976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALRVEQLKQLKDIFARFDMDSDGSLTVLELAALLRSLGLKPSGDQIHALLAKMDSNGNGAVEFDELANTILPTMKDEILVNQEQLTEVFRLFDRDGNGYITAAELAGCMAKMGHPLTYKELTDMIKEADADGDGVISFNEFSSVMAKSALEFLGISLSSS >KJB45504 pep chromosome:Graimondii2_0_v6:7:52275678:52279944:-1 gene:B456_007G309200 transcript:KJB45504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRVIAVCQSGGEFETDKSGVMLYKGGDAHAIDLDDQTKFDDFKKELAEMFNLNMSTMSVKYFLPGNKKTLISISNDKDLKRLIKFHGNSTTVDVFILMEENVPHDVSNMPASRSSRTTLSEAVAPAPDPAPAPAPVLASLDPPLDVMDDTTRSNIPLAASLDIVDATNHIDPHIDLAPEFSSILPLAKTTQQWQNTITGVGQRFTGVQEFRETLRKYAIAHQFAFRYKKNDSHRVTVKCRAEGCPWRIHASRLSTTQLICIKKMNPTHTCEGAALTTGHQATRSWVASIIKERLKVFPTYKPKDIVQDIKQEFGIQLNYFQAWRGKEIAREQLQGSYKDAYSQLPLFCERIMETNPGSLATFSTKEDSSFHRLFIAFHASLSGFLQGCRPLLFLDSIPLNSKYQGTLLAATAADGNDDVFPVAFSVVDAETDENWYWFLLQLKSALSTSCPITFVADIQKGLRESISEIFKGSFHGYCLRYLTEQLIRDLKGQFSHEMKRLMIDDLYNAALAPRPEAFHSYINSIKKISLEAYNWIIQSEPQYWANSYFQGARYNHMASNFGELFYSWVSDAHELPITQMVDVIRQKVMELIYSRRAESDHWLTRLTPCMEEKLEKESLKVRTLQVLLTSGSIFEVRGDSTTEMVDMDRWDCSCKGWQLTALPCSHAIAVISCIGRSPYDFCSRYFTTESYRLTYAESIQPIPDVERHLQKDTSSALVTVTPPPTRRPPGRPTTKKVGTPEVMKRQLQCSRCKGLGHNKSTCKELL >KJB45503 pep chromosome:Graimondii2_0_v6:7:52275861:52279852:-1 gene:B456_007G309200 transcript:KJB45503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRVIAVCQSGGEFETDKSGVMLYKGGDAHAIDLDDQTKFDDFKKELAEMFNLNMSTMSVKYFLPGNKKTLISISNDKDLKRLIKFHGNSTTVDVFILMEENVPHDVSNMPASRSSRTTLSEAVAPAPDPAPAPAPVLASLDPPLDVMDDTTRSNIPLAASLDIVDATNHIDPHIDLAPEFSSILPLAKTTQQWQNTITGVGQRFTGVQEFRETLRKYAIAHQFAFRYKKNDSHRVTVKCRAEGCPWRIHASRLSTTQLICIKKMNPTHTCEGAALTTGHQATRSWVASIIKERLKVFPTYKPKDIVQDIKQEFGIQLNYFQAWRGKEIAREQLQGSYKDAYSQLPLFCERIMETNPGSLATFSTKEDSSFHRLFIAFHASLSGFLQGCRPLLFLDSIPLNSKYQGTLLAATAADGNDDVFPVAFSVVDAETDENWYWFLLQLKSALSTSCPITFVADIQKGLRESISEIFKGSFHGYCLRYLTEQLIRDLKGQFSHEMKRLMIDDLYNAALAPRPEAFHSYINSIKKISLEAYNWIIQSEPQYWANSYFQGARYNHMASNFGELFYSWVSDAHELPITQMVDVIRQKVMELIYSRRAESDHWLTRLTPCMEEKLEKESLKVRTLQVLLTSGSIFEVRGDSTTEMVDMDRWDCSCKGWQLTALPCSHAIAVISCIGRSPYDFCSRYFTTESYRLTYAESIQPIPDVERHLQKDTSSALVTVTPPPTRRPPGRPTTKKVGTPEVMKRQLQCSRCKGLGHNKSTCKELL >KJB45241 pep chromosome:Graimondii2_0_v6:7:50758717:50765707:-1 gene:B456_007G297300 transcript:KJB45241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDEVAWLLNLRGSDVPHSPVMYAYLIVEIDAAKLFVDDSKVTSEVMYHLKKAGVELRPYDSILSEIRRLAVEGAHLWLDTSSVNAAIVETYKAACDKYLMNRGSRGKTKNKQYFESDSLPVGPSGVYMQSPVSLAKALKNPSELEGMQNSHLRDAAALAQFWCWLEEEIHKNMKLTEVDLADKLLEFRSKQDGFLDTSFDTISGSGANGAIIHYTPEAESCSVVDPNKLFLLDSGAQYVDGTTDITRTVHFGEPTAREKECFTRVLKGHIALDEAVFPENTPGFVLDAFARSALWKIGLDYRHGTGHGVGAALNVHEGPQSISFRYGNVTPLQKGMIVSNEPGYYEDHAFGIRIENLLCVQEINTPNRYGGIEYLGFEKLTFFPIQTKLVDLSLLSIEEMEWLNNYHSQVWEKVSPLLEGSARQWLWKNTRPITKQ >KJB45244 pep chromosome:Graimondii2_0_v6:7:50758901:50766627:-1 gene:B456_007G297300 transcript:KJB45244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLPSHAMRPLCLNPSLCSSRFPFFSFSIPIFHKFKNNPTIYKKSQKGPPFFSIRCCGSGSVTAKPSWELRRNRAAVQEPDEKVVALRQLFTKPGIGIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAIVTKDKAALWTDGRYFLQAEKQLNSSWKLMRAGNFGVPQASEWLTDVLAPGGRVGIDPFLFSYDAAEELKEVIYKKNLELVYLYDLNLVDEIWKESRPKPPNKPIRVHDLKYAGIDVVSKLSSLRAELVAAGSSAIVISMLDEVAWLLNLRGSDVPHSPVMYAYLIVEIDAAKLFVDDSKVTSEVMYHLKKAGVELRPYDSILSEIRRLAVEGAHLWLDTSSVNAAIVETYKAACDKYLMNRGSRGKTKNKQYFESDSLPVGPSGVYMQSPVSLAKALKNPSELEGMQNSHLSRDAAALAQFWCWLEEEIHKNMKLTEVDLADKLLEFRSKQDGFLDTSFDTISGSGANGAIIHYTPEAESCSVVDPNKLFLLDSGAQYVDGTTDITRTVHFGEPTAREKECFTRVLKGHIALDEAVFPENTPGFVLDAFARSALWKIGLDYRHGTGHGVGAALNVHEGPQSISFRYGNVTPLQKGMIVSNEPGYYEDHAFGIRIENLLCVQEINTPNRYGGIEYLGFEKLTFFPIQTKLVDLSLLSIEEMEWLNNYHSQVWEKVSPLLEGSARQWLWKNTRPITKQ >KJB45242 pep chromosome:Graimondii2_0_v6:7:50758717:50765984:-1 gene:B456_007G297300 transcript:KJB45242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAYISGFTGSAGTAIVTKDKAALWTDGRYFLQAEKQLNSSWKLMRAGNFGVPQASEWLTDVLAPGGRVGIDPFLFSYDAAEELKEVIYKKNLELVYLYDLNLVDEIWKESRPKPPNKPIRVHDLKYAGIDVVSKLSSLRAELVAAGSSAIVISMLDEVAWLLNLRGSDVPHSPVMYAYLIVEIDAAKLFVDDSKVTSEVMYHLKKAGVELRPYDSILSEIRRLAVEGAHLWLDTSSVNAAIVETYKAACDKYLMNRGSRGKTKNKQYFESDSLPVGPSGVYMQSPVSLAKALKNPSELEGMQNSHLRDAAALAQFWCWLEEEIHKNMKLTEVDLADKLLEFRSKQDGFLDTSFDTISGSGANGAIIHYTPEAESCSVVDPNKLFLLDSGAQYVDGTTDITRTVHFGEPTAREKECFTRVLKGHIALDEAVFPENTPGFVLDAFARSALWKIGLDYRHGTGHGVGAALNVHEGPQSISFRYGNVTPLQKGMIVSNEPGYYEDHAFGIRIENLLCVQEINTPNRYGGIEYLGFEKLTFFPIQTKLVDLSLLSIEEMEWLNNYHSQVWEKVSPLLEGSARQWLWKNTRPITKQ >KJB45245 pep chromosome:Graimondii2_0_v6:7:50762339:50766697:-1 gene:B456_007G297300 transcript:KJB45245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLPSHAMRPLCLNPSLCSSRFPFFSFSIPIFHKFKNNPTIYKKSQKGPPFFSIRCCGSGSVTAKPSWELRRNRAAVQEPDEKVVALRQLFTKPGIGIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAIVTKDKAALWTDGRYFLQAEKQLNSSWKLMRAGNFGVPQASEWLTDVLAPGGRVGIDPFLFSYDAAEELKEVIYKKNLELVYLYDLNLVDEIWKESRPKPPNKPIRVHDLKYAGIDVVSKLSSLRAELVAAGSSAIVISMLDEVAWLLNLRGSDVPHSPVMYAYLIVEIDAAKLFVDDSKVTSEVMYHLKKAGVELRPYDSILSEIRRLAVEGAHLWLDTSSVNAAIVETYKAACDKYLMNRGSRGKTKNKQYFESDSLPVGPSGVYMQSPVSLAKALKNPSELEGMQNSHLRDAAALAQFWCWLEEEIHKNMKLTEVDLADKLLEFRSKQDGFLDTSFDTISGSGANGAIIHYTPEAESCSVVDPNKLFLLDSGAQYVDGTTDITRTVHFGEPTAREKECFTRVLKGHIALDEAVFPENTPGFVLDAFARSALWKIGLDYRHGTGHGVGAALNVHEGPQSISFRYGNVTPLQKGMIVSNEPGYYEDHAFGIRIENLLCVQEINTPNRYGGIEYLGFEKLTFFPIQVYYLSFFVNLPLYVKIRFSTHFSVH >KJB45243 pep chromosome:Graimondii2_0_v6:7:50758717:50766846:-1 gene:B456_007G297300 transcript:KJB45243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLPSHAMRPLCLNPSLCSSRFPFFSFSIPIFHKFKNNPTIYKKSQKGPPFFSIRCCGSGSVTAKPSWELRRNRAAVQEPDEKVVALRQLFTKPGIGIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAIVTKDKAALWTDGRYFLQAEKQLNSSWKLMRAGNFGVPQASEWLTDVLAPGGRVGIDPFLFSYDAAEELKEVIYKKNLELVYLYDLNLVDEIWKESRPKPPNKPIRVHDLKYAGIDVVSKLSSLRAELVAAGSSAIVISMLDEVAWLLNLRGSDVPHSPVMYAYLIVEIDAAKLFVDDSKVTSEVMYHLKKAGVELRPYDSILSEIRRLAVEGAHLWLDTSSVNAAIVETYKAACDKYLMNRGSRGKTKNKQYFESDSLPVGPSGVYMQSPVSLAKALKNPSELEGMQNSHLRDAAALAQFWCWLEEEIHKNMKLTEVDLADKLLEFRSKQDGFLDTSFDTISGSGANGAIIHYTPEAESCSVVDPNKLFLLDSGAQYVDGTTDITRTVHFGEPTAREKECFTRVLKGHIALDEAVFPENTPGFVLDAFARSALWKIGLDYRHGTGHGVGAALNVHEGPQSISFRYGNVTPLQKGMIVSNEPGYYEDHAFGIRIENLLCVQEINTPNRYGGIEYLGFEKLTFFPIQTKLVDLSLLSIEEMEWLNNYHSQVWEKVSPLLEGSARQWLWKNTRPITKQ >KJB43356 pep chromosome:Graimondii2_0_v6:7:19409462:19410253:1 gene:B456_007G195900 transcript:KJB43356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKKSNKLTQTAAIKQILKRCSSFGKKQGYDHEGGHPDDVPKGHFVVYVGEHRSRYVVPISWLTHPEFQSLLQRAEEEFGFNHDMGLTIPCEEVVFRSLTAMIR >KJB40985 pep chromosome:Graimondii2_0_v6:7:6178399:6180343:-1 gene:B456_007G086400 transcript:KJB40985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISVHIGQAGIQVGNSCWELYCLEHEIHPDGTMPSDTSMGVAHDAFNTFFSETGSGKHVPRAVFVDLEPTVIDEVRTGPYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRVRKLADNCTGLQGFMVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQTISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQISVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPAVVPGGDLAKVQRAVCMISNNTAVAEVFARIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEEEGEEY >KJB46265 pep chromosome:Graimondii2_0_v6:7:58610874:58611406:1 gene:B456_007G355400 transcript:KJB46265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQYNFFPTDFFYPRPAQSTPSPAVDSTPPAALTTPIEEKNIVDDDNKKPRIVVYRGNKMSVSIRKQGEQRGRIYVRNRVEQIKLSRN >KJB43667 pep chromosome:Graimondii2_0_v6:7:23039692:23044588:-1 gene:B456_007G211400 transcript:KJB43667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPVNWEALEALIIDFAKSENLIEDSSPPSSPSLSSSPSPSSSSYLSRLIIRQIRSSLEAGDVDAAIDLFRAHAPFVLEDHRLLFRLQKQKFIELLREGTTEDRGPAIDYLRTFLAPCALNAYPEAYEEFKHVLLAFIYDKDDQTSPVANEWAEKRRYEIAGLMSSVLRAHLHAYNPIFSMTLRYLISIHKGFCFRQGILSPISDLIERLLLLERDPPAIPQDSLYEAQPFDEVDIQALAHAVELTRQGAIDSLRFAKGDLFQAFQNEICRMRLDAAMLDELVREYCIYRGIVESGSLIPSRVQTLSEPLKGNPSESGCYSTQDGSLDVDYSSAKWSNSETSATTDMSSMRGTNVELRYASDPANNLEDCSTSGSHQSENLRLPRNRSHGAGERSKRKRWRGRHDELDHISDIHFNRCSKQEVSTAMQVASATISKAQQGVENINGEEKYEIVLGMKELASRGMAAEVVEEINALDPNFFAQNPVLLFQLKQVEFLKLVGLGDHSGALKVACSHLGPLAASDPNLLKPLKETLLSLLRPSEDALVTGLPLHALATSLQVAFGKRLGIEEPQLVKIMRATLYTHTEWFKLQMCKDRFESLLRIDSLKENSTPVLTSLASSKSNTESCNLGSSQVTMSSTTRVSDDGGSPNQASSRDVICDENAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >KJB43666 pep chromosome:Graimondii2_0_v6:7:23039692:23044499:-1 gene:B456_007G211400 transcript:KJB43666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPVNWEALEALIIDFAKSENLIEDSSPPSSPSLSSSPSPSSSSYLSRLIIRQIRSSLEAGDVDAAIDLFRAHAPFVLEDHRLLFRLQKQKFIELLREGTTEDRGPAIDYLRTFLAPCALNAYPEAYEEFKHVLLAFIYDKDDQTSPVANEWAEKRRYEIAGLMSSVLRAHLHAYNPIFSMTLRYLISIHKGFCFRQGILSPISDLIERLLLLERDPPAIPQDSLYEAQPFDEVDIQALAHAVELTRQGAIDSLRFAKGDLFQAFQNEICRMRLDAAMLDELVREYCIYRGIVESGVQTLSEPLKGNPSESGCYSTQDGSLDVDYSSAKWSNSETSATTDMSSMRGTNVELRYASDPANNLEDCSTSGSHQSENLRLPRNRSHGAGERSKRKRWRGRHDELDHISDIHFNRCSKQEVSTAMQVASATISKAQQGVENINGEEKYEIVLGMKELASRGMAAEVVEEINALDPNFFAQNPVLLFQLKQVEFLKLVGLGDHSGALKVACSHLGPLAASDPNLLKPLKETLLSLLRPSEDALVTGLPLHALATSLQVAFGKRLGIEEPQLVKIMRATLYTHTEWFKLQMCKDRFESLLRIDSLKENSTPVLTSLASSKSNTESCNLGSSQVTMSSTTRVSDDGGSPNQASSRDVICDENAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >KJB43664 pep chromosome:Graimondii2_0_v6:7:23039692:23044498:-1 gene:B456_007G211400 transcript:KJB43664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPVNWEALEALIIDFAKSENLIEDSSPPSSPSLSSSPSPSSSSYLSRLIIRQIRSSLEAGDVDAAIDLFRAHAPFVLEDHRLLFRLQKQKFIELLREGTTEDRGPAIDYLRTFLAPCALNAYPEAYEEFKHVLLAFIYDKDDQTSPVANEWAEKRRYEIAGLMSSVLRAHLHAYNPIFSMTLRYLISIHKGFCFRQGILSPISDLIERLLLLERDPPAIPQDSLYEAQPFDEVDIQALAHAVELTRQGAIDSLRFAKGDLFQAFQNEICRMRLDAAMLDELVREYCIYRGIVESGSLIPSRVQTLSEPLKGNPSESGCYSTQDGSLDVDYSSAKWSNSETSATTDMSSMRGTNVELRYASDPANNLEDCSTSGSHQSENLRLPRNRSHGAGERSKRKRWRGRHDELDHISDIHFNRCSKQEVSTAMQVASATISKAQQGVENINGEEKYEIVLGMKELASRGMAAEVVEEINALDPNFFAQNPVLLFQLKQVEFLKLVGLGDHSGALKVACSHLGPLAASDPNLLKPLKETLLSLLRPSEDALVTGLPLHALATSLQAWD >KJB43665 pep chromosome:Graimondii2_0_v6:7:23039692:23044498:-1 gene:B456_007G211400 transcript:KJB43665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPVNWEALEALIIDFAKSENLIEDSSPPSSPSLSSSPSPSSSSYLSRLIIRQIRSSLEAGDVDAAIDLFRAHAPFVLEDHRLLFRLQKQKFIELLREGTTEDRGPAIDYLRTFLAPCALNAYPEAYEEFKHVLLAFIYDKDDQTSPVANEWAEKRRYEIAGLMSSVLRAHLHAYNPIFSMTLRYLISIHKGFCFRQGILSPISDLIERLLLLERDPPAIPQDSLYEAQPFDEVDIQALAHAVELTRQGAIDSLRFAKGDLFQAFQNEICRMRLDAAMLDELVREYCIYRGIVESGSLIPSRVQTLSEPLKGNPSESGCYSTQDGSLDVDYSSAKWSNSETSATTDMSSMRGTNVELRSHGAGERSKRKRWRGRHDELDHISDIHFNRCSKQEVSTAMQVASATISKAQQGVENINGEEKYEIVLGMKELASRGMAAEVVEEINALDPNFFAQNPVLLFQLKQVEFLKLVGLGDHSGALKVACSHLGPLAASDPNLLKPLKETLLSLLRPSEDALVTGLPLHALATSLQVAFGKRLGIEEPQLVKIMRATLYTHTEWFKLQMCKDRFESLLRIDSLKENSTPVLTSLASSKSNTESCNLGSSQVTMSSTTRVSDDGGSPNQASSRDVICDENAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >KJB44198 pep chromosome:Graimondii2_0_v6:7:32931016:32933860:1 gene:B456_007G239700 transcript:KJB44198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESRTKVRYARIAGNIGYVTLNTQFATKEGHEPSCLEKFRFQHLWKDVSDKLSSEVAEQVYDEACKRVKDSMPTPKSSFAP >KJB44195 pep chromosome:Graimondii2_0_v6:7:32931016:32932504:1 gene:B456_007G239700 transcript:KJB44195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESRTKVRYARIAGNIGYVTLNTQFATKEGHEPSCLEKFRFQHLWKDVSDKLSSEVAEQVYDEACKRVKDSMPTPKSSFAP >KJB44196 pep chromosome:Graimondii2_0_v6:7:32931016:32932250:1 gene:B456_007G239700 transcript:KJB44196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESRTKVRYARIAGNIGYVTLNTQFATKEGHEPSCLEKFRFQHLWKDVSDKLSSEVAEQVYDEACKRVKDSMPTPKSSFAP >KJB44197 pep chromosome:Graimondii2_0_v6:7:32931016:32932504:1 gene:B456_007G239700 transcript:KJB44197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESRTKVRYARIAGNIGYVTLNTQFATKEGHEPSCLEKFRFQHLWKDVSDKLSSEVAEQVYVRNKWDEACKRVKDSMPTPKSSFAP >KJB46074 pep chromosome:Graimondii2_0_v6:7:57798231:57800653:-1 gene:B456_007G348100 transcript:KJB46074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQGNGKEVKLLGTWFSPYVQRVVWVLKLKGIDYEWVEQGFRDPKNRSQLLLKYNPVMKTVPVLVHHGRPVLESLIILEYIDETWKNNPILPSDPYERAMARFWENLIDQKITKILSKLLSSMDKKQMEEEMKQVSNTIEILDRELKAKGNKFFGATEEVLGINIFDSQNYPFIAQWKTNLLEFIQQCLPPKHRLLRFYRKYRQSKL >KJB42304 pep chromosome:Graimondii2_0_v6:7:12497094:12502613:1 gene:B456_007G147700 transcript:KJB42304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARNWLSKLQQKDKVRALRRNEETSNVEGGGNDEANTDEEALSKITKQKAAAAKQYIENHYREQMKNLQERRERRIILEQKLAEADVSEEDQTNLLKYLEKKETEYMRRQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGQVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEDFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRHGHLRLSDFGLCKPLDCSTIQESDFIVGGNSNESGESDERPAALKRTQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYAMECDWWSLGAIMFEMLIGYPPFYSDDPMSTCRKIVNWKTHLKFPEEAKLSAEATDLISKLLCDVSHRLGSKGAGEIKEHPWFQGVDWDRIYEMEAAFIPEVNDELDTQNFEKFDESDDQCPRPSKSGPWRKMLSSKDVNFVGYTYKNFEIVNDFQVPGMAELKKKSSKSSRPSVKTLFDSGAEASDASDSTPGAQPVQGSFLNLLPPELEATEKQCGSQ >KJB42302 pep chromosome:Graimondii2_0_v6:7:12496792:12502613:1 gene:B456_007G147700 transcript:KJB42302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARNWLSKLQQKDKVRALRRNEETSNVEGGGNDEANTDEEALSKITKQKAAAAKQYIENHYREQMKNLQERRERRIILEQKLAEADVSEEDQTNLLKYLEKKETEYMRRQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGQVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEDFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRHGHLRLSDFGLCKPLDCSTIQESDFIVGGNSNESGESDERPAALKRTQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYAMECDWWSLGAIMFEMLIGYPPFYSDDPMSTCRKIVNWKTHLKFPEEAKLSAEATDLISKLLCDVSHRLGSKGAGEIKEHPWFQGVDWDRIYEMEAAFIPEVNDELDTQNFEKFDESDDQCPRPSKSGPWRKMLSSKDVNFVGYTYKNFEIVNDFQVPGMAELKKKSSKSSRPSVKTLFDSGAEASDASDSTPGAQPVQGSFLNLLPPELEATEKQCGSQ >KJB42303 pep chromosome:Graimondii2_0_v6:7:12497094:12502613:1 gene:B456_007G147700 transcript:KJB42303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARNWLSKLQQKDKVRALRRNEETSNVEGGGNDEANTDEEALSKITKQKAAAAKQYIENHYREQMKNLQERRERRIILEQKLAEADVSEEDQTNLLKYLEKKETEYMRRQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGQVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEDFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRHGHLRLSDFGLCKPLDCSTIQESDFIVGGNSNESGESDERPAALKRTQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYAMECDWWSLGAIMFEMLIGYPPFYSDDPMSTCRKIVNWKTHLKFPEEAKLSAEATDLISKLLCDVSHRLGSKGAGEIKEHPWFQGVDWDRIYEMEAAFIPEVNDELDTQNFEKFDESDDQCPRPSKSGPWRKPS >KJB42305 pep chromosome:Graimondii2_0_v6:7:12497102:12501842:1 gene:B456_007G147700 transcript:KJB42305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGQVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEDFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRHGHLRLSDFGLCKPLDCSTIQESDFIVGGNSNESGESDERPAALKRTQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYAMECDWWSLGAIMFEMLIGYPPFYSDDPMSTCRKIVNWKTHLKFPEEAKLSAEATDLISKLLCDVSHRLGSKGAGEIKEHPWFQGVDWDRIYEMEAAFIPEVNDELDTQNFEKFDESDDQCPRPSKSGPWRKMLSSKDVNFVGYTYKNFEIVNDFQVPGMAELKKKSSKSSRPSVKTLFDSGAEASDASDSTPGAQPVQGSFLNLLPPELEATEKQCGSQ >KJB45906 pep chromosome:Graimondii2_0_v6:7:56128141:56130129:1 gene:B456_007G336700 transcript:KJB45906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASYGQSNNRLLSGSVLVAKDDTDNQDLLIRPVDYNLIWTSESLGIKQDTNGYIWLPVAPGGYRALGHVVTNTEHKPPIDKIRCVRSDFTDEIENQTWIWGLGKESNANELNCFTIMPMNRGPQQMGVCVGSFIAQNPNNPSLSRTLLACLRNKKSNYLSSMPNIQQIETLFQAYSPLIYFHPKETYLPSSVNWFFINGGLLYTKGDESNPVPIEPTGSNLPQGGSNDGNYWLDLPIDKPSKEKVKKGDLKETQVYLHVKPMLGGTYTDIQIWVFYPFNGGAKAKAVFIDIPLGKIGEHVGDWEHLTLRISNFTGELQSVYFSAHSGGSWVTVSELEFKDGNKPCTYSSLHGHAMYSKPGLVLQGKGTIGIRNDTAKSKILMDTGVGFELVAGEYLGNSVVEPPWLNYCREWGPKVSYDLGDEIKKVGKLLPGFEKFMKSLPKEVLGEEGPTGPKMKRSWDGDEV >KJB43467 pep chromosome:Graimondii2_0_v6:7:20528616:20534719:-1 gene:B456_007G201800 transcript:KJB43467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPSHSRKPNRDFAQATLTKRTTSCNAATNFSSKTMYDDVFGGPLSFGTCSGPALSPRPEDYTEIFSGFHASRGASIPVLDLPPVDDGVEVMFDVRDPRFDYGDVFGGFDGLEFVASYEELMRSANGGDDSHDLDGDSSEEAWMQAETESLSGGSDRSGKYQYVSDGGCYEPVDSSMGFNISYHKANLRSNGGMSNGDRQVAWLHADPESACIIETPLQKADNKNPPLHVTDDIDFAITGGITKKKQLRRIASHPSSRTTGEQIFTNNLTQRECGRNGTCSKEMFVTISDINLRTVPLHVPPPSRPPPPVNVKSGGCQYDPSGGRLGDSSPPFLDVEVDVSSAAVSSASAVKEAMDKAQVKLKNAKEVLDRKRDGIKSSTKLGSKSDGKVKKERVGKAVDGSRDIKDERAEGICGKEDSGMKIPVREESQKALKSQVLESLEGKKLCNVPKRFAVEVHGKDSLSTQEFNGTDEWQEATQSSEFFRTDKSRMGFKQTNNEEVLVQCTKSHELRHKAKKASIRELEHQLKSGMKVEAVREDHELEKAEKDMKTAKESHGRGEFPAITKPAKEACRHKEQEKKVKAAQNVTELEENGQFITARNPLENCEQSTRADELGKCEKGVYAQQKDNELEVGLAVEQKENGRQEKETGKSNENLKRVHKRQEREDEKKSWTESFGQERTETKHKEVLVKTEPEKRLREGVDQEEKEKKLKETCEREEKEKKEKEVCELDESEIWRMALEQLENEKRLKQAHLQEENESGMRNALEEEDTVNKQREASKKDETNKRAKKVTEQGKDERQLKEETVNRLKEAYEKEAVDKGLKAACENENIEKMLEEAIKQKDYSKQAKEVPDTEDRLKQKVVEQEEIEELKGESYVYQQIERDESGKKQKIAQGTLQHVEGEHHGAFDVLNKLDYSKKHQENQLLRNNDDQNCDELEETEEFILLENGKMETVFRCSEKNPEARGKGDVDGKFKASGMSPSDLEFEVNQFRKDDISILCPEDESVKKAGEARIGIGQRNAKNINNAPGRDSESDKQGLKFAYEWRERARNIKEAQVPSHLEEDKDEYVSAQAVKESFETGRKSEAAKASVIAKGSIHGTFHQVKISQSIESKDKNIDDSLTPEEEVERLKRERALENERLRTIEEREREREREKDRMAIDRTVLEAHEKAERAALERATAEARQRAMAEAHDRLEKACAEAREKSSMEARLRAERVAVERATAEARERAVEKAMAERAAFEARERVERSMSHKFSTSRNSGMRTSSSSSDLQDQQFQSANTFGGLQVPYASAYSGVEGEPAQRCKARMERYQRTAERAAKALEEKSMRDLLAQREQAERNRLAEILDADVKRWSSGKEGNLRALLSTLQYILGPDSGWHPIPLTEVITSAAVKKAYRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKEAWNKFNSEER >KJB44102 pep chromosome:Graimondii2_0_v6:7:30529349:30533491:1 gene:B456_007G234400 transcript:KJB44102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKENGVALITQSAKPKPGKKQVFHRSQGEKKKKKLCFSVGKNYSGRVQTWFDRKEFSTIDRRLWRLKGKSKATNGLLGLLVVFLIDLCSSLGWFDDGGDMMQFSMI >KJB44101 pep chromosome:Graimondii2_0_v6:7:30529349:30530039:1 gene:B456_007G234400 transcript:KJB44101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKENGVALITQSAKPKPGKKQVFHRSQGEKKKKKLCFSVGKNYSGRVQTWFDRKEFSTIDRRLWRLKGKSKATNGEICLKKVKILFKLNFLFEEIYG >KJB44468 pep chromosome:Graimondii2_0_v6:7:41290128:41291396:1 gene:B456_007G255100 transcript:KJB44468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSRLVSTSHDVEESWDDEFQQLVQTFPKDKSFTRMNLYFFQGFWCPSIVLKAVISCQKHFQAFDSDIISATLPKCGTTWLKLKALTFSTLYRNQFARENNPLLTYNPHSLVIFIDYDFYFNDTCPDLETLHQNSHLVFQPLNFSLSLTPRSH >KJB43779 pep chromosome:Graimondii2_0_v6:7:23836775:23839018:-1 gene:B456_007G215300 transcript:KJB43779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVRVISNSTVKTANHRASGGRIELNPWDLRALPLGYTQMGLLFSKPKEPMREKETGNTLIHHFKTSLSHTLDHFPLLAGRLATTQHEDDTVSFFVDCNNGGALFRHAAADGVTISDIIKPVYVPPIVHSFFSLNGLRGYEGITNPLLGIQITDLADGIFIGCTINHVVADGTSLWHFFNTWSEISKGSIQLSKPPVFQRWFPDGMDIPIRIPQSCVNFKQSNEDFIPPPVQDRVFHFSKETVAKLKAKANAEIGTDKISSLQALLSHIWRCVIRNRRVDPNQQTSYRLVVGARQRLQELPDNFFGNALMPVIVTMKAKELMEQGIGNPAWQMNRKIAAMTEESFKNMLRVLASKP >KJB42219 pep chromosome:Graimondii2_0_v6:7:11891268:11893955:1 gene:B456_007G142800 transcript:KJB42219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEEANKAAIESSHRVLSILSQPQDEVSYRHLMAETGEAVFRFKRVVSLLNSGLGHARVRKLRKLQAPFPQSTLLDTPHCNSSASDYPSKNLHLGCHESSVQELTSHAKKSLYLGNPSLELSSNGKHPLQLSQKASLGHYHFLQKQHQMKQQAEMMFRKSNSSGMNLNFDSSSCTPTMSSTRSFLSSLSMDGSVTNLSGGGSAAFHLIGAHRSSDQSSQQKKCYGKAEDGSVKCGTSGRCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSIRGCPARKHVERCLEEPSMLIVTYEGEHNHPRLPSQSTT >KJB42220 pep chromosome:Graimondii2_0_v6:7:11891564:11893955:1 gene:B456_007G142800 transcript:KJB42220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEEANKAAIESSHRVLSILSQPQDEVSYRHLMAETGEAVFRFKRVVSLLNSGLGHARVRKLRKLQAPFPQSTLLDTPHCNSSASDYPSKNLHLGCHESSVQELTSHAKKSLYLGNPSLELSSNGKHPLQLSQKASLGHYHFLQKQHQMKQQAEMMFRKSNSSGMNLNFDSSSCTPTMSSTRSFLSSLSMDGSVTNLSGGGSAAFHLIGAHRSSDQSSQQKKCYGKAEDGSVKCGTSGRCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSIRGCPARKHVERCLEEPSMLIVTYEGEHNHPRLPSQSTT >KJB41570 pep chromosome:Graimondii2_0_v6:7:8335150:8337306:-1 gene:B456_007G109800 transcript:KJB41570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAAWQRTANRCFVQEDAKRAPKLACCQSSSSTKQADSNPSGVADKHNHPAIGFMPLNRNPSYSNLPPDTRWWLQLQPNYGPQMGLTNEQLNALEDEVESLKAEINSSKVSSDLQQDAHDSSITDRKKNNSYSLDSKETMESFEFLEMESVECCASMKTNDFCSEPESPWSGGGKAEPWWRTTDKDELTSLVAQKSLDFIENCDLPPPQKVHVRGYSHVCSGSFDSGEVSSLAWKSETVAIRSPMVNHAQMSPDSVRKHGRQMSSVGEGKMQCASNSLSSTSTTEKDMLEQVTESDPTKAQLLEALCHSQTRAREAEKAAQKAYEEKEHVIKLLFKQASQLFAYKQWFQMLQLEPVYHQIKNNEQPVVFPWTPYKNQKFRKSWLKTGKERRGKRGQLRPDITKYAVALALGLSLVGAGLLLGWTVGWMLPF >KJB41571 pep chromosome:Graimondii2_0_v6:7:8335139:8338028:-1 gene:B456_007G109800 transcript:KJB41571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAAWQRTANRCFVQEDAKRAPKLACCQSSSSTKQADSNPSGVADKHNHPAIGFMPLNRNPSYSNLPPDTRWWLQLQPNYGPQMGLTNEQLNALEDEVESLKAEINSSKVSSDLQQDAHDSSITDRKKNNSYSLDSKETMESFEFLEMESVECCASMKTNDFCSEPESPWSGGGKAEPWWRTTDKDELTSLVAQKSLDFIENCDLPPPQKVHVRGYSHVCSGSFDSGEVSSLAWKSETVAIRSPMVNHAQMSPDSVRKHGRQMSSVGEGKMQCASNSLSSTSTTEKDMLEQVTESDPTKAQLLEALCHSQTRAREAEKAAQKAYEEKEHVIKLLFKQASQLFAYKQWFQMLQLEPVYHQIKNNEQPVVFPWTPYKNQKFRKSWLKTGKERRGKRGQLRPDITKYAVALALGLSLVGAGLLLGWTVGWMLPF >KJB39758 pep chromosome:Graimondii2_0_v6:7:2046489:2048371:1 gene:B456_007G029700 transcript:KJB39758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHGNSLVPKVSIKGFHSVTPMRITEPRQTRRVLSRDLVGPEMFQRCWVVAGWIKESLGRALLEQPMISGRLQKRDRNDGELEIVSNDSGVRIIEATIQTTLSEFLDLKQREEAEAQLVFWNDIDEHNPQFSPLFYVQVTNFQCGGYSIGISCSILLVDFFLRTEFIKTWASIHNNIVDHNNERKLPLFYLPGVNGTTDSSPNLFSASTPNKNESKTMVFNINAESKNMEIEWCQQIALACVEDAENGLGSEMGAEFCLFVNESFEVIKVESCSKHGMLKPKLKANMEVIYANWDDLGTNDVSFRLGNKPVHVSHWFRSILRGVVVVIPSPEEEQGAYTVNIFVTVPNEKF >KJB39757 pep chromosome:Graimondii2_0_v6:7:2046745:2047903:1 gene:B456_007G029700 transcript:KJB39757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGRLQKRDRNDGELEIVSNDSGVRIIEATIQTTLSEFLDLKQREEAEAQLVFWNDIDEHNPQFSPLFYVQVTNFQCGGYSIGISCSILLVDFFLRTEFIKTWASIHNNIVDHNNERKLPLFYLPGVNGTTDSSPNLFSASTPNKNESKTMVFNINAESKNMEIEWCQQIALACVEDAENGLGSEMGAEFCLFVNESFEVIKVESCSKHGMLKPKLKANMEVIYANWDDLGTNDVSFRLGNKPVHVSHWFRSILRGVVVVIPSPEEEQGAYTVNIFVTVPNEKF >KJB39756 pep chromosome:Graimondii2_0_v6:7:2046489:2048289:1 gene:B456_007G029700 transcript:KJB39756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGRLQKRDRNDGELEIVSNDSGVRIIEATIQTTLSEFLDLKQREEAEAQLVFWNDIDEHNPQFSPLFYVTNFQCGGYSIGISCSILLVDFFLRTEFIKTWASIHNNIVDHNNERKLPLFYLPGVNGTTDSSPNLFSASTPNKNESKTMVFNINAESKNMEIEWCQQIALACVEDAENGLGSEMGAEFCLFVNESFEVIKVESCSKHGMLKPKLKANMEVIYANWDDLGTNDVSFRLGNKPVHVSHWFRSILRGVVVVIPSPEEEQGAYTVNIFVTVPNEKF >KJB42662 pep chromosome:Graimondii2_0_v6:7:14182844:14185624:-1 gene:B456_007G162400 transcript:KJB42662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSISIIFFFATLQALSVLQVNSQSTDSCNSNLNLDLPFDTSLLNCLPVWSRHDFILRYVRNLSNVWNFVLSAPDTNSFVAMGFSTTGQMVGSSAVVGWVSADGSGTVKQYFLGGQRPNLVVADQGNLTIVENSTSITSRSSRVYLAFQLNTSQPLSRVLYSVGQIRVIPSAPGFALAEHRDKVSTLLNYRTGTSASDSQHSRLRKSHGILNMLSWGILMIIGAMAGRYFKQWDPMWFYSHAAIQSCAFLLGLAGIISGFVLEDRLNAEVDTHKALGILILVLGCLQVMAVFARPGKESKVRKYWNWYHHNGGRIVILIAIANVFYGIHLGEDDGTSWNAAYAVVISILFLLSIILEVKLWRQN >KJB42663 pep chromosome:Graimondii2_0_v6:7:14182980:14185419:-1 gene:B456_007G162400 transcript:KJB42663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSTTGQMVGSSAVVGWVSADGSGTVKQYFLGGQRPNLVVADQGNLTIVENSTSITSRSSRVYLAFQLNTSQPLSRVLYSVGQIRVIPSAPGFALAEHRDKVSTLLNYRTGTSASDSQHSRLRKSHGILNMLSWGILMIIGAMAGRYFKQWDPMWFYSHAAIQSCAFLLGLAGIISGFVLEDRLNAEVDTHKALGILILVLGCLQVMAVFARPGKESKVRKYWNWYHHNGGRIVILIAIANVFYGIHLGEDDGTSWNAAYAVVISILFLLSIILEVKLWRQN >KJB42012 pep chromosome:Graimondii2_0_v6:7:11342077:11343081:-1 gene:B456_007G138100 transcript:KJB42012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGQPPKPHMDKYFDPEPITIGEVLETAAVSVGDAPIESSDADAIQAAERRASCGDEGESGGLGDTAQAAASFNATAAQNVHKINISDVLTNAASKLPHDKAVTCEDAEAVKGAELRGRLETVVRPGGVADTMSKAAEVNLQD >KJB40274 pep chromosome:Graimondii2_0_v6:7:3878876:3882372:1 gene:B456_007G055400 transcript:KJB40274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSFFFAIFLLLLSTVHPKVIAVEQLSDVKLNSRILQDSIVKQVNQNPKAGWEAALNPRFSNYTIGEFKHLLGVKPTPKKELLGVPILTHDKSLKLPTSFDARTAWPQCTSIGRILDQGHCGSCWAFGAVESLSDRFCIHFDMNISLSVNDLLACCGFLCGDGCDGGYPISAWRYFVRSGVVTEECDPYFDDIGCSHPGCEPAFPTPKCVRKCVKGNLLWKQSKHYSVGAYRIKSNPADIMAEVYKNGPVEVSFTVYEDFAHYKSGVYKHLTGDVMGGHAVKLIGWGTSDDGEDYWLLANQWNRGWGDDGYFKIKRGVDECGIESDVVAGLPSTKNLVRQVTDTGIVEDASF >KJB40275 pep chromosome:Graimondii2_0_v6:7:3878876:3882372:1 gene:B456_007G055400 transcript:KJB40275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSFFFAIFLLLLSTVHPKQVIAVEQLSDVKLNSRILQDSIVKQVNQNPKAGWEAALNPRFSNYTIGEFKHLLGVKPTPKKELLGVPILTHDKSLKLPTSFDARTAWPQCTSIGRILDQGHCGSCWAFGAVESLSDRFCIHFDMNISLSVNDLLACCGFLCGDGCDGGYPISAWRYFVRSGVVTEECDPYFDDIGCSHPGCEPAFPTPKCVRKCVKGNLLWKQSKHYSVGAYRIKSNPADIMAEVYKNGPVEVSFTVYEDFAHYKSGVYKHLTGDVMGGHAVKLIGWGTSDDGEDYWLLANQWNRGWGDDGYFKIKRGVDECGIESDVVAGLPSTKNLVRQVTDTGIVEDASF >KJB40078 pep chromosome:Graimondii2_0_v6:7:3194784:3195065:-1 gene:B456_007G045700 transcript:KJB40078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RELLILIATSNIHVFKKNNEINFKRRKRGLDRSVQRGGRHAKFSDEKPAFRSVELEFHEVITAILYTINFSDGKNIKLKQNNRKFFKKFKGDT >KJB41524 pep chromosome:Graimondii2_0_v6:7:8190907:8194343:-1 gene:B456_007G108500 transcript:KJB41524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDSIDCMTSSDVIDDDDEIHHHNQLSSLLKSHSNNGSSNSIVSPAVHSSTTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYTSLGCPEIFPYYSKLKHEALCNFRPYNCPYAGSECTVVGGIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCYGQYFCLHFEAFQLGMAPVYMAFLRFMGDEVESRNYSYSLEVGGNGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPEGGACIPNLCS >KJB46192 pep chromosome:Graimondii2_0_v6:7:58207099:58209366:1 gene:B456_007G351900 transcript:KJB46192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYIGSSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEDLDEEHM >KJB46191 pep chromosome:Graimondii2_0_v6:7:58206049:58209366:1 gene:B456_007G351900 transcript:KJB46191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYIGSSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEDLDEEHM >KJB44075 pep chromosome:Graimondii2_0_v6:7:30577347:30580341:1 gene:B456_007G234600 transcript:KJB44075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYPSVLFVLLVTMAAAETLRPGFYAQTCPEAEAIVRYEMMKAMIREPRSVASVMRFQFHDCFVNGCDASLLLDDTPNMLGEKLALSNINSLRSFEVVDEVKEALEKACPGVVSCADVIIMAARDAVALAGGPDWVVRLGRKDSLTASQEDSDNIMPSPRANATSLIDLFTKFNLSVKDLVALSGSHSIGEARCFSIMFRLYNQSGSGKPDPAIEPWFREKLDKLCPLGGDENVTGDLDATPKLFDNQYFKDLVAGRGFLNSDQTLFTFPQTREYVKLFSKDENEFFKAFVEGMLKMGELQSGKGGEIRTNCRVVNSQALDV >KJB44290 pep chromosome:Graimondii2_0_v6:7:36467734:36471664:1 gene:B456_007G244600 transcript:KJB44290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCTKLHRLDLRSTFFTSLRPSFTPNPSSSVSPKTFKFRPTKITAQVSTLSVETSVKDPESDIESLFSSNTEEIDRKRSNKQSNTGASGISSGVKLENITKSYKGVTVLKDVSWEVKKGEKVGLVGVNGAGKTTQMRIITGQEEPDSGNVIKAKSNMKIAFLNQEFEVSMSRTVREEFMSAFKEEMDISDRLERVQKAIEGATEDLELMGRLLDEFDLLQRRAQAVDLDEVDAKVSKLMPELGFSPEDSDRLVASFSSGWQMRMSLGKILLQEPDLLLLDEPTNHLDLDTIEWLEGYLNKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFEGNYSQFVEAKAAWVESQYAAWEKQQQEIEQTKDLINRLGAGANSGRASSAEKKLERLQEEGQIEKPFQRKQMKIRFPERGRSGRSVVTIKNLEFGYEDELLVNRANLSIERGEKIAIIGPNGCGKSTLLKLIMGLENPSGGEVLLGEHNVLPNYFEQNQAEALDLDKTVLQTVEEVAEDWRIDDIKGLLGRCNFKADMLDRKVSLLSGGEKVILM >KJB44291 pep chromosome:Graimondii2_0_v6:7:36467734:36472548:1 gene:B456_007G244600 transcript:KJB44291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCTKLHRLDLRSTFFTSLRPSFTPNPSSSVSPKTFKFRPTKITAQVSTLSVETSVKDPESDIESLFSSNTEEIDRKRSNKQSNTGASGISSGVKLENITKSYKGVTVLKDVSWEVKKGEKVGLVGVNGAGKTTQMRIITGQEEPDSGNVIKAKSNMKIAFLNQEFEVSMSRTVREEFMSAFKEEMDISDRLERVQKAIEGATEDLELMGRLLDEFDLLQRRAQAVDLDEVDAKVSKLMPELGFSPEDSDRLVASFSSGWQMRMSLGKILLQEPDLLLLDEPTNHLDLDTIEWLEGYLNKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFEGNYSQFVEAKAAWVESQYAAWEKQQQEIEQTKDLINRLGAGANSGRASSAEKLERLQEEGQIEKPFQRKQMKIRFPERGRSGRSVVTIKNLEFGYEDELLVNRANLSIERGEKIAIIGPNGCGKSTLLKLIMGLENPSGGEVLLGEHNVLPNYFEQNQAEALDLDKTVLQTVEEVAEDWRIDDIKGLLGRCNFKADMLDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAIREYSGTVITVSHDRFFIKQIVNRVVEVKDGHLQDYVGDYNYFLEKNLEAREKELEREAELDEKAPKVKAKSKMSKAEKEAQKKQKRQAFQAAKQKSKGQKNAKRWN >KJB44289 pep chromosome:Graimondii2_0_v6:7:36467661:36472612:1 gene:B456_007G244600 transcript:KJB44289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCTKLHRLDLRSTFFTSLRPSFTPNPSSSVSPKTFKFRPTKITAQVSTLSVETSVKDPESDIESLFSSNTEEIDRKRSNKQSNTGASGISSGVKLENITKSYKGVTVLKDVSWEVKKGEKVGLVGVNGAGKTTQMRIITGQEEPDSGNVIKAKSNMKIAFLNQEFEVSMSRTVREEFMSAFKEEMDISDRLERVQKAIEGATEDLELMGRLLDEFDLLQRRAQAVDLDEVDAKVSKLMPELGFSPEDSDRLVASFSSGWQMRMSLGKILLQEPDLLLLDEPTNHLDLDTIEWLEGYLNKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFEGNYSQFVEAKAAWVESQYAAWEKQQQEIEQTKDLINRLGAGANSGRASSAEKKLERLQEEGQIEKPFQRKQMKIRFPERGRSGRSVVTIKNLEFGYEDELLVNRANLSIERGEKIAIIGPNGCGKSTLLKLIMGLENPSGGEVLLGEHNVLPNYFEQNQAEALDLDKTVLQTVEEVAEDWRIDDIKGLLGRCNFKADMLDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAIREYSGTVITVSHDRFFIKQIVNRVVEVKDGHLQDYVGDYNYFLEKNLEAREKELEREAELDEKAPKVKAKSKMSKAEKEAQKKQKRQAFQAAKQKSKGQKNAKRWN >KJB41961 pep chromosome:Graimondii2_0_v6:7:10438189:10443128:1 gene:B456_007G130000 transcript:KJB41961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMDTTLLDKDMEGNEEGSAEQLPASCSFLNEIFGDPEVVPRVGYQYQAQVPPLVEDWRGLQVVKESLDSKDIVNVPNPIPMGLPIPIFWTKTEVERLNGAFEFENSKERCFTSCHGCAEYKVESLYSALGDQKDKEGYMELHPTTRSRMDVDLLFLQEPNSKLKRLDRGFCPLPDSSNEVWKDIECDSFLLGLYIFGKNLILVKDFVGSKEMGEILSFYYGKFYGSDGYRRWSECRKLRSKRCIHGQKLFTGWRQQELLSRLFSYLSKECQDMLSEVSKTFGEGKVSFEEYVFIIKNAVGLGMLIEAIGIGKGKRDLTTMEPVKANHVVSLRPEIPIGKACSALTSADIIKFLTGNFRLSKARSSDLFWEAVWPRLLARGWHSEQPKDQVFAGLKNSLVFLIPGVKKFSRRRLVKGNHYFDSVTDVLNKVASEPGLLELEIKVPEASRENKENKWEPVINQAPDFVVNKHNRYLKPRNSGCNRDVMKFMIVDTSLVQRDEQSKVRELRSLPLEATSLSHPTDTSSGSEEDTSDDSEDEAEETSTSNVAEAIVDGGECVDFSDCVNSNSNIGIPRASDSIISLENHGSHDTGLLVDDEENVMKYNSRQKVPSSSSKYTTPLTRQQSSTDGQSSCGVGNISSYRMSNEEYSHCRFNQPDACQDVVFQTGSVNLLPATSLSKGDADEGKEGIVTESCLHRDEYSTKTQSHTLIDLNVPQVSIGFESDGPLITETVQNSDNSCPHVLFFQSEIMVQPELLRRPDKVAEINQHTTMHNRRQSTRNRPLTTKALEALECGFFSPVRKRKAAGAPQNNLRRVRGRPVVSSLFRNGANNPNMEES >KJB43829 pep chromosome:Graimondii2_0_v6:7:24986678:24988196:-1 gene:B456_007G218500 transcript:KJB43829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMGKSDLVPVTHKSNNMSPFIIVGVVLGGLVLVCIFGGLLFIGLRRRKPKPVETSEWSPLPIFKESSHGNSKTPSREGTITASPVPNLNLGLRIPLDEIKLATNNFDKKLQIVKGGFGTVYQGTLRNGLKVAVKRSQPGLEMILVYELMEKGTLRDHLYNAKIPCLSWKQRLDICISAARGLHYLHKGASGGIIHRDVKSTNILLDENLVAKVADFGLSRSGPSDQSHVSTDIKGTFGYLDPEYFKTQQLTEKSDVYSFGVVLLEGMRCKNKGLLDQIVDPSIRDHINPNSLRKFAEITEKCLQEDACDKPGMGDVAWDLEYALQLQQTAVVQELHEDSASNASGMLSLHVIQRLLSTNIEVEGDDTSIIKEDGSDSIASASVLPIENG >KJB44530 pep chromosome:Graimondii2_0_v6:7:41948020:41948514:-1 gene:B456_007G258100 transcript:KJB44530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGDESLEPLSLDEAFDKFYHGIYAYGPFFDYVLGYWKASQENPNKILFLKYEDLKEDINSHLKNLAMFLGVPFTDDEENQGVVEEIEKICSFEKLREVEVNKKGVHISGIAHTSFFRKGKVRDWSNYLTPFMVERLEKFTQEKMDSSGLTFNLSSKTSKDITSA >KJB39018 pep chromosome:Graimondii2_0_v6:7:52113074:52113317:1 gene:B456_007G307300 transcript:KJB39018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLKTYLSVASILSTLWFDSLAGLLIEINRFFSDALTFLFF >KJB46226 pep chromosome:Graimondii2_0_v6:7:58390670:58394945:-1 gene:B456_007G3531001 transcript:KJB46226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSATEPGVIPRAVHDLFDIIQQDVDREFLLRMSYMEIYNEEINDLLAPEHRKLQIHESIERGIYVAGLREEIVASPQQVLDLMEFGESHRHIGETNMNLHSSRSHTIFRMIIESRDRTEDGGGDSVSSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQ >KJB46227 pep chromosome:Graimondii2_0_v6:7:58390670:58394643:-1 gene:B456_007G3531001 transcript:KJB46227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVTVRSRPLSSEDAKTSPWRISANSIFIPNHSTKFEFDRIFGEDCKTGEVYEARTKEIVAAAVRGFNGTVFAYGQTNSGKTHTMRGSATEPGVIPRAVHDLFDIIQQDVDREFLLRMSYMEIYNEEINDLLAPEHRKLQIHESIERGIYVAGLREEIVASPQQVLDLMEFGESHRHIGETNMNLHSSRSHTIFRMIIESRDRTEDGGGDSVSSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQ >KJB46224 pep chromosome:Graimondii2_0_v6:7:58390670:58393393:-1 gene:B456_007G3531001 transcript:KJB46224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLWFLKLIVFLLGTVFAYGQTNSGKTHTMRGSATEPGVIPRAVHDLFDIIQQDVDREFLLRMSYMEIYNEEINDLLAPEHRKLQIHESIERGIYVAGLREEIVASPQQVLDLMEFGESHRHIGETNMNLHSSRSHTIFRMIIESRDRTEDGGGDSVSSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQ >KJB46225 pep chromosome:Graimondii2_0_v6:7:58390670:58394643:-1 gene:B456_007G3531001 transcript:KJB46225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVTVRSRPLSSEDAKTSPWRISANSIFIPNHSTKFEFDRIFGEDCKTGEVYEARTKEIVAAAVRGFNGTVFAYGQTNSGKTHTMRGSATEPGVIPRAVHDLFDIIQQDVDREFLLRMSYMEIYNEEINDLLAPEHRKLQIHESIERGIYVAGLREEIVASPQQVLDLMEFGESHRHIGETNMNLHSSRSHTIFRMIIESRDRTEDGGGDSVSSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQ >KJB39112 pep chromosome:Graimondii2_0_v6:7:16425899:16426668:1 gene:B456_007G176500 transcript:KJB39112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRFLFIKLSTLRFVNRPSLNSIFPLFFVLLFHTININKLQSSTIIDFMVSCFTRFLENSMSIILVR >KJB43733 pep chromosome:Graimondii2_0_v6:7:23458957:23461233:-1 gene:B456_007G214100 transcript:KJB43733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLHTLSTVLFIVSIHPFTRAKPDTYIIHMDPSAMPKAFSSHHSWYFSMLSSISDTSEAASASSTVTSKHLYTYTHSINGFSATLTLSELESLKKSFGYLSFTRDRPLTVHTTHTSQFLGLNSVSGAWTAPNYGEDVIIGIVDSGIWPESESYSDEGMAQVPTRWKGKCESGTNFNSSFCNKKLIGARFYNKGLLSSHPKLTIPMNSPRDINGHGTHTSSIAAGNSAKGASYFGYASGTANGMAPRAHIAMYKVVWRYGTYTSDVLAAIDQAIQDGVDILSLSLGLSVDNNVLDDDPIAVATFAAMEKGIFIAASAGNDGPLYWSLVNGAPWMLTVAAGSIDREFDGILTLGNGVQITFESLYPGNFSRNQMPLIVMDECASLEELRQVRNNIIVCKDHLSISDQVENAESAMVSAAVFISNYSYLSELHTRSSFPAAFIGLDDGQTVIDYIKQNSDPRGRFQFRKTSIGTKPAPKVDAYSSRGPFLSCPNVLKPDILAPGSLVLASWSPVSEVTKVGSHPLFSKFNLLSGTSMAAPHAAGVAALVKKAHPDWSPAAIRSAIMTTANPFDNNLSPIKDVSNFNQPASPLDIGAGHINPIKALDPGLVYDATPEDYMKLLCAMNYTSKKIRMFTKLSHDCMNRSLDLNYPTFIAFFNDDGLSTSADKFEQEFQRTVTNVGKEGMAYTAKVTGMDGIKVVVEPPKLVFKQKYEKQSYKLRLEGPKLLKKDVIFGALSWRDDAGKYMVTSPIMATTITSQ >KJB45558 pep chromosome:Graimondii2_0_v6:7:52561747:52568217:1 gene:B456_007G311800 transcript:KJB45558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAPAKHVFDFDDDDDDDGPVVFKRNNTLSSKQNQLNSEIKKASSQRSDGHSGSRAFYVQALNGQSSSSQKNKAFPSSKSLPVRSPILSPKASTSSARTSPVKSPVANFKTPASLNDHSKQALKQNNCNVVNEGKSPIKGATEANSDDDDDLQPLSARLKGISNQGTKGASTSSTAQSHRLVSKTEIKGSTKDPDDEAPLSVRFNMKSSAGTSSSKLYDSDEKKPLASNSEQKCSTVKGKQEKSSMLSGKRPLDKGNCSDQSSAKKPKISDTPTAMKSKQLTVKAEKEKADEDDDDDIPISQRIKKSPPSNSKTSSMKQKAAKVVSSSLKKLNKKSKKEFKNSKYIKSTKVSPSSGDGQKKWSTLVHNGVIFPPPYKPHGIKLLYDGRPIDLTPEQEEVATMFAVMKDTDYMNKPQFKKNFWEDWSKLLGKNHTIKDLDKCDFTPIYEWHLQEKEKKKQMSSEEKKALKEEKLKQEEKYMWAIVDRVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPSDITINIGKNAPIPECPIPGESWKDIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARMLKEYIKNIRAAYTKDFTSKDVTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVELPVYNAIGQFHTGKSKSDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEMLNKETKDGDVAEKVVIYQRANKEVAIICNHQRSISKSHSAQMSRLTEKITELKGVLKELKIDLDRAKKGKPPLKDADGKQKRNLTPEVYYNSFLAFLKL >KJB45555 pep chromosome:Graimondii2_0_v6:7:52562720:52566854:1 gene:B456_007G311800 transcript:KJB45555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAPAKHVFDFDDDDDDDGPVVFKRNNTLSSKQNQLNSEIKKASSQRSDGHSGSRAFYVQALNGQSSSSQKNKAFPSSKSLPVRSPILSPKASTSSARTSPVKSPVANFKTPASLNDHSKQALKQNNCNVVNEGKSPIKGATEANSDDDDDLQPLSARLKGISNQGTKGASTSSTAQSHRLVSKTEIKGSTKDPDDEAPLSVRFNMKSSAGTSSSKLYDSDEKKPLASNSEQKCSTVKGKQEKSSMLSGKRPLDKGNCSDQSSAKKPKISDTPTAMKSKQLTVKAEKEKADEDDDDDIPISQRIKKSPPSNSKTSSMKQKAAKVVSSSLKKLNKKSKKEFKNSKYIKSTKVSPSSGDGQKKWSTLVHNGVIFPPPYKPHGIKLLYDGRPIDLTPEQEEVATMFAVMKDTDYMNKPQFKKNFWEDWSKLLGKNHTIKDLDKCDFTPIYEWHLQEKEKKKQMSSEEKKALKEEKLKQEEKYMWAIVDRVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPSDITINIGKNAPIPECPIPGESWKDIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARMLKEYIKNIRAAYTKDFTSKDVTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVELPVYNAIGQFHTGKSKSDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEMLNKETKDGDVAEKVVIYQRANKEVRTIPY >KJB45556 pep chromosome:Graimondii2_0_v6:7:52561747:52567066:1 gene:B456_007G311800 transcript:KJB45556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAPAKHVFDFDDDDDDDGPVVFKRNNTLSSKQNQLNSEIKKASSQRSDGHSGSRAFYVQALNGQSSSSQKNKAFPSSKSLPVRSPILSPKASTSSARTSPVKSPVANFKTPASLNDHSKQALKQNNCNVVNEGKSPIKGATEANSDDDDDLQPLSARLKGISNQGTKGASTSSTAQSHRLVSKTEIKGSTKDPDDEAPLSVRFNMKSSAGTSSSKLYDSDEKKPLASNSEQKCSTVKGKQEKSSMLSGKRPLDKGNCSDQSSAKKPKISDTPTAMKSKQLTVKAEKEKADEDDDDDIPISQRIKKSPPSNSKTSSMKQKAAKVVSSSLKKLNKKSKKEFKNSKYIKSTKVSPSSGDGQKKWSTLVHNGVIFPPPYKPHGIKLLYDGRPIDLTPEQEEVATMFAVMKDTDYMNKPQFKKNFWEDWSKLLGKNHTIKDLDKCDFTPIYEWHLQEKEKKKQMSSEEKKALKEEKLKQEEKYMWAIVDRVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPSDITINIGKNAPIPECPIPGESWKDIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARMLKEYIKNIRAAYTKDFTSKDVTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVELPVYNAIGQFHTGKSKSDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEMLNKETKDGDVAEKVVIYQRANKEVRTIPY >KJB45554 pep chromosome:Graimondii2_0_v6:7:52561626:52568276:1 gene:B456_007G311800 transcript:KJB45554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAPAKHVFDFDDDDDDDGPVVFKRNNTLSSKQNQLNSEIKKASSQRSDGHSGSRAFYVQALNGQSSSSQKNKAFPSSKSLPVRSPILSPKASTSSARTSPVKSPVANFKTPASLNDHSKQALKQNNCNVVNEGKSPIKGATEANSDDDDDLQPLSARLKGISNQGTKGASTSSTAQSHRLVSKTEIKGSTKDPDDEAPLSVRFNMKSSAGTSSSKLYDSDEKKPLASNSEQKCSTVKGKQEKSSMLSGKRPLDKGNCSDQSSAKKPKISDTPTAMKSKQLTVKAEKEKADEDDDDDIPISQRIKKSPPSNSKTSSMKQKAAKVVSSSLKKLNKKSKKEFKNSKYIKSTKVSPSSGDGQKKWSTLVHNGVIFPPPYKPHGIKLLYDGRPIDLTPEQEEVATMFAVMKDTDYMNKPQFKKNFWEDWSKLLGKNHTIKDLDKCDFTPIYEWHLQEKEKKKQMSSEEKKALKEEKLKQEEKYMWAIVDRVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPSDITINIGKNAPIPECPIPGESWKDIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARMLKEYIKNIRAAYTKDFTSKDVTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVELPVYNAIGQFHTGKSKSDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEMLNKETKDGDVAEKVVIYQRANKEVAIICNHQRSISKSHSAQMSRLTEKITELKGVLKELKIDLDRAKKGKPPLKDADGKQKRNLTPEVLEKKIAQTNAKIEKMERDMQTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPDFRF >KJB45557 pep chromosome:Graimondii2_0_v6:7:52561747:52568217:1 gene:B456_007G311800 transcript:KJB45557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAPAKHVFDFDDDDDDDGPVVFKRNNTLSSKQNQLNSEIKKASSQRSDGHSGSRAFYVQALNGQSSSSQKNKAFPSSKSLPVRSPILSPKASTSSARTSPVKSPVANFKTPASLNDHSKQALKQNNCNVVNEGKSPIKGATEANSDDDDDLQPLSARLKGISNQGTKGASTSSTAQSHRLVSKTEIKGSTKDPDDEAPLSVRFNMKSSAGTSSSKLYDSDEKKPLASNSEQKCSTVKGKQEKSSMLSGKRPLDKGNCSDQSSAKKPKISDTPTAMKSKQLTVKAEKEKADEDDDDDIPISQRIKKSPPSNSKTSSMKQKAAKVVSSSLKKLNKKSKKEFKNSKYIKSTKVSPSSGDGQKKWSTLVHNGVIFPPPYKPHGIKLLYDGRPIDLTPEQEEVATMFAVMKDTDYMNKPQFKKNFWEDWSKLLGKNHTIKDLDKCDFTPIYEWHLQEKEKKKQMSSEEKKALKEEKLKQEEKYMWAIVDRVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPSDITINIGKNAPIPECPIPGESWKDIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARMLKEYIKNIRAAYTKDFTSKDVTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVELPVYNAIGQFHTGKSKSDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEMLNKETKDGDVAEKVVIYQRANKEVAIICNHQRSISKSHSAQMSRLTEKITELKG >KJB46016 pep chromosome:Graimondii2_0_v6:7:56865170:56871587:-1 gene:B456_007G343500 transcript:KJB46016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLRSGARRSKRIDDLQPPPPAHPQPVEQPENCVLLAQNRTRRRVGGRGRGNAAGVAKGPSAVVPTRPTAAGRGRGIRLIDLDPEPCQVLPGALPLVAAEPAAFNRVEVVADKDIAMEGNSGDKIVGVDEEASTTPVPERIQVGNSPVYKVERKLGKGGFGQVYVGRRVSGGSGRTGPDAIEVALKLEHRNSKGCNYGPPYEWQVYNTLNGCYGVPWVHHKGIQGDFYVLVMDMLGPSLWDVWNSLGQSMSPNMVACVAVESISILEKLHLKGFVHGDVKPENFLLGRPGSADEKKLYLIDLGLASRWKDARSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLVFLLKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVSNMKFDEEPNYAKLISFFESLIEPCTPLQPIRIDGALKIGQKRGRILINLEEDEQPKKKVRIGNAATQWISIYNAHRPMKQRYHYNVADLRLSQHVSKGNEDGLFISCVASAANLWALIMDAGTGFSSQVYELSTVFLHKDWIMEQWEKNYYITSVAGANNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFYVTSMTTAGTRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWESGYRITSMAATVDQAAFILSIPKRKTTDDTQETLRTTAFPSTHVKEKWAKNLYIASICYGRTVR >KJB46017 pep chromosome:Graimondii2_0_v6:7:56865710:56871515:-1 gene:B456_007G343500 transcript:KJB46017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLRSGARRSKRIDDLQPPPPAHPQPVEQPENCVLLAQNRTRRRVGGRGRGNAAGVAKGPSAVVPTRPTAAGRGRGIRLIDLDPEPCQVLPGALPLVAAEPAAFNRVEVVADKDIAMEGNSGDKIVGVDEEASTTPVPERIQVGNSPVYKVERKLGKGGFGQVYVGRRVSGGSGRTGPDAIEVALKLEHRNSKGCNYGPPYEWQVYNTLNGCYGVPWVHHKGIQGDFYVLVMDMLGPSLWDVWNSLGQSMSPNMVACVAVESISILEKLHLKGFVHGDVKPENFLLGRPGSADEKKLYLIDLGLASRWKDARSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLVFLLKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVSNMKFDEEPNYAKLISFFESLIEPCTPLQPIRIDGALKIGQKRGRILINLEEDEQPKKKVRIGNAATQWISIYNAHRPMKQRYHYNVADLRLSQHVSKGNEDGLFISCVASAANLWALIMDAGTGFSSQVYELSTVFLHKDWIMEQWEKNYYITSVAGANNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFYVTSMTTAGTRWGVVMSRNAGFSDQVFLSFDLYMYLQFSTVLHFRSIYLCVVLHLFQPFKVVELDFLYPSEGIHRRWESGYRITSMAATVDQAAFILSIPKRKTTDDTQETLRTTAFPSTHVKVGIPYFRTSISF >KJB46526 pep chromosome:Graimondii2_0_v6:7:60589862:60593560:-1 gene:B456_007G373700 transcript:KJB46526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEYRKPLVKLGGETLTISQVAAIAAHDSGVKVELSDDARAAVKASADWVLDGMNKGTDSYGVTTGFGSTSHRRTKQGAALQKELIRFLNAGIFGNGTESCNTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLLNHNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPDGKSLNAEEAFRVAGIDSGFFMLRPKEGFALVNGTAVGSGLASMVLFEANVLVVLSEILSAVFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYVKAAKKLHEMDPLQKPKQDRYALRTSPQWLGPQVEVIRFATKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNVRLAIAAIGKLMFAQFSELVNDFYNGGLPSNLSGGPNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDIIKLMSSTYLVALCQAIDLRHLEENLKNTVKNIVSQVAKKTLTTGPNGELHPSRFCEKDLLKAVDHEYVFSYIDDPCSATYPLMQKLRQVLVEHALSNGNNENNASTSIFQKIAAFEEELKTLLPKEVENSRVSIENGNPAIPNKITKCRSYPLYKFVREELGTGLLTGEKVKSPGEEFDKVFIAMCQGKVIDPMLECLKEWNGAPLPIC >KJB43311 pep chromosome:Graimondii2_0_v6:7:19015943:19017535:-1 gene:B456_007G193500 transcript:KJB43311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALYLSFNFLNKFHHLHTSFSWPIHFKEESGKGAEPMPMKKIKRDKSLIYTPHPAHLWRSHSQHDVVDQSKGKRTHPFKPTSTFCDKLLN >KJB42051 pep chromosome:Graimondii2_0_v6:7:10937076:10940196:1 gene:B456_007G134400 transcript:KJB42051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPDPPKPKTPRHKCPACYKQFNRKLHLIEHMKISYHSVHQPRCWVCQKHCKSFESVREHINSPLSKTNCLKIFVEQGCNLCLKVLDSPSALKEHKQMCCLVAPVPLGTKITPCIESNFTMSGSIMDEMHNGKAQKAVAMDCEMVGGGSDGSIDLCARVCLVDEAENIILHTYVQPQIPVTNYRYEVTGLTEDHLRDAIPLNEVQDKIKKILYNGESVGRMPPDGVKARLLVGHDIQHDLNCLRMKYPGFLLRDTAKYRPLMKTNLVSHSLKHLTKTYLGQVAISGSTLQF >KJB42048 pep chromosome:Graimondii2_0_v6:7:10937059:10940323:1 gene:B456_007G134400 transcript:KJB42048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPDPPKPKTPRHKCPACYKQFNRKLHLIEHMKISYHSVHQPRCWVCQKHCKSFESVREHINSPLSKTNCLKIFVEQGCNLCLKVLDSPSALKEHKQMCCLVAPVPLGTKITPCIESNFTMSGSIMDEMHNGKAQKAVAMDCEMVGGGSDGSIDLCARVCLVDEAENIILHTYVQPQIPVTNYRYEVTGLTEDHLRDAIPLNEVQDKIKKILYNGESVGRMPPDGVKARLLVGHDIQHDLNCLRMKYPGFLLRDTAKYRPLMKTNLVSHSLKHLTKTYLGYNIQSGIHDPYEDCISVMRLYKRMRGQDHRQVFRLGDEKANSGFDSFRSMELEKKAPDELYEISTSDYKCWCLDLTKIATLAADYPFSF >KJB42050 pep chromosome:Graimondii2_0_v6:7:10937067:10940323:1 gene:B456_007G134400 transcript:KJB42050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPDPPKPKTPRHKCPACYKQFNRKLHLIEHMKISYHSVHQPRCWVCQKHCKSFESVREHINSPLSKTNCLKIFVEQGCNLCLKVLDSPSALKEHKQMCCLVAPVPLGTKITPCIESNFTMSGSIMDEMHNGKAQKAVAMDCEMVGGGSDGSIDLCARVCLVDEAENIILHTYVQPQIPVTNYRYEVTGLTEDHLRDAIPLNEVQDKIKKILYNGESVGRMPPDGVKARLLVGHDIQHDLNCLRMKYPGFLLRYNIQSGIHDPYEDCISVMRLYKRMRGQDHRQVFRLGDEKANSGFDSFRSMELEKKAPDELYEISTSDYKCWCLDLTKIATLAADYPFSF >KJB42049 pep chromosome:Graimondii2_0_v6:7:10937063:10940240:1 gene:B456_007G134400 transcript:KJB42049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSIMDEMHNGKAQKAVAMDCEMVGGGSDGSIDLCARVCLVDEAENIILHTYVQPQIPVTNYRYEVTGLTEDHLRDAIPLNEVQDKIKKILYNGESVGRMPPDGVKARLLVGHDIQHDLNCLRMKYPGFLLRDTAKYRPLMKTNLVSHSLKHLTKTYLGYNIQSGIHDPYEDCISVMRLYKRMRGQDHRQVFRLGDEKANSGFDSFRSMELEKKAPDELYEISTSDYKCWCLDLTKIATLAADYPFSF >KJB44384 pep chromosome:Graimondii2_0_v6:7:39228859:39231680:-1 gene:B456_007G249600 transcript:KJB44384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQSRKWMILIATTWIQAFTGTNFDFSSYSSTLKSVLGISQLQLNYLSVASDMGKAFGWCSGVSLMCLPLWVVLFMAAFLGVFGYGVQWLVIKQVITLPYFLVFVLCLVAGCSICWFNTVCFVLCIRNFPTNRALALSLTISFNGVSAALYTLIANAINPDDETLYLFLNALVPLLASSLALVPILRQPPLQLLSTDTTSWDSFVFFVLNVLAVFTGLYLLLLNSLSSKASRARILLGGALILLLLPLCLPGIVCGRSWARRTVHTPAFCLEGPTFSSLDPDDFDQLHKELIGNDRNNNSSSVNPFSETNKEGLFGMIMEKGRLTVLGEEHPARLLVRRLDFWLYYVAYFCGGTIGLVYSNNLGQIAQSLGYYSQISAIVTLYSSFSFFGRLLSAAPEFMRDKLYFARTGWLAIAVVPTPIAFFLLAASGGEAALLASTAMIGLSSGFIFAAAVSITSELFGPNSVSVNHNILITNIPIGSFLYGLLAALVYDSNVKSSNQENLLEEAMVCMGRDCYQQTFIWWGCISLLGLISSLLLFFRTRLAYYGYQRNRSRTQVS >KJB45902 pep chromosome:Graimondii2_0_v6:7:56090877:56094334:1 gene:B456_007G336200 transcript:KJB45902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATMTTPLPISFFPILLLIPAVCFTICHANSNLLCIQSEREALLKFKHHLIDPSNRLSSWVEGGDCCEWTGVVCHNSTGHVNKLHLAAPLSEPDGFATNAEMEAYYNSRLGGKINPSLLELKHLSSLDLSYNDFNSIHIPKFFGLLESLTYLNLSGALFQGAIPHKLGNLSKLQYLDLRGNDLEPKSLQWVSGLSSLQYLDLSYANLSKAKDWIQVTFKHPTLLVLHLSGCGLEDDPSFNSINSSKSLVVLDLFRNRFSSVPKWIFSLHGLVSIDLSGNSLEGPIPDYFGNISFLEVLDLSWNHLNSSIPNSLYSLNRLQFLSLRETQLQGTISSSIGNLSSLTQLDLSENQLNGQIPLSIGELSSLKLFDVSRNQLNGQIPLSIGQLSSLEEFHVSENQLNGQVPLSIGELSSLKLFAVSKNQLNGQIPLSIGQLSSLEVFDVSENQLNGTFPLSFGRLESLEILDCGYNLLEGVDEYGSTLGLVTSIDLSANSLTGEIPKEIGSLVGLLSLNFSGNLLTGNIPDSIGSMELMESLDLSMNRLNGEIPLSFSKLNFLNHFNVSYNNLTGQIPTSTQLQSFENLSYFGNHLCGPPLTKNCTSKGMPIDVANNGSSREGSKWLPCFSSGLGGMHTIGSWTIPSYPGPIKTQ >KJB42067 pep chromosome:Graimondii2_0_v6:7:11116202:11118481:-1 gene:B456_007G136100 transcript:KJB42067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTVIDIPDGEGVVPGSKEKKKKVGDICCQFPIDLFFKEMKSNKHMTRKVIHSIKVGVALVFVSLLYLLDPLYKQVGENAMWAIMTVVVVFEFFAGATLSKGINRGIGTVLGGGLGCLAAIFAQAVGGVGKAIIVGITVFIFGAAATYTRQVPNVKKKFDYGAMIFILTFSLVVVSGLRAEQVLEIARDRLSTIAMGFAICLFISLLVFPIWASDELHDSLVARFEDLAVSLEGFLIISFNNLVLEFIPYIKPKERSQISWVLPRLVISGSPRSTLKMSMRRRSLVLISVVNANQSCIPRQRMNHWYIILSFLFIVNFASWEPWHAKFGFCYPWGIYLKLGEDLRDLATTILSLKGCLKSPTQPSESNTCRQPVKEPCEAIVASLAWTLRELGESIKKMKKCGSEDTIVPKLKTVRQELSQIPGPSTLGTNNLERTDGLGLATFMYSMVEMVEKMEELAKHVEQLGQRGGFS >KJB41024 pep chromosome:Graimondii2_0_v6:7:6295423:6301408:1 gene:B456_007G087600 transcript:KJB41024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFPRSAVPRLLYTFFSSKTRRFYPSPTAALLLGNFEVRQFSAGNVARAKDAKEPWWKESMERLRNIGISAHIDSGKTTLTERILYYTGRIHEIHEVRGKDGVGAKMDSMDLEREKGITIQSAATYCTWKDYQINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEENFQGLIDLVQLKAYYFHGSSGEKVVAEEIPADMDAIVAEERRELIEMVSEVDDKLAEAFLNDEPISSVDLEEAVRRATIARKFVPVFMGSAFKNKGVQPLLDGVLSYLPCPIEVSNYALDQTKNEVKVMLPGTPDGPLVALAFKLEEGRFGQLTYLRVYEGVIRKGDFIVNINTGKKIKVPRLVRMHSDEMEDIQEAHAGQIVAVFGVDCASGDTFTNGSVKYTMTSMSVPEPVMSLAVQPVSKDSGGQFSKALNRFQREDPTFHVGLDAESGQTIISGMGELHLDIYVERIRREYKVDATVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYVEPIPPGSPIKIEFENMIVGQAVPSNFIPAIEKGFKEAANSGSLIGHPVENIRIVLTDGASHAVDSSELAFKLAAIYAFRQCYSAARPVILEPIMLVELKVPTEFQGTVAGDINKRKGVIVGNDQDGDDSIITVNVSETCFLLKGSA >KJB41025 pep chromosome:Graimondii2_0_v6:7:6295423:6300932:1 gene:B456_007G087600 transcript:KJB41025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFPRSAVPRLLYTFFSSKTRRFYPSPTAALLLGNFEVRQFSAGNVARAKDAKEPWWKESMERLRNIGISAHIDSGKTTLTERILYYTGRIHEIHEVRGKDGVGAKMDSMDLEREKGITIQSAATYCTWKDYQINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEENFQGLIDLVQLKAYYFHGSSGEKVVAEEIPADMDAIVAEERRELIEMVSEVDDKLAEAFLNDEPISSVDLEEAVRRATIARKFVPVFMGSAFKNKGVQPLLDGVLSYLPCPIEVSNYALDQTKNEVKVMLPGTPDGPLVALAFKLEEGRFGQLTYLRVYEGVIRKGDFIVNINTGKKIKVPRLVRMHSDEMEDIQEAHAGQIVAVFGVDCASGDTFTNGSVKYTMTSMSVPEPVMSLAVQPVSKDSGGQFSKALNRFQREDPTFHVGLDAESGQTIISGMGELHLDIYVERIRREYKVDATVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYVEPIPPGSPIKIEFENMIVGQAVPSNFIPAIEKGFKEAANSGSLIGHPVENIRIVLTDGASHAVDSSELAFKLAAIYAFRQCYSAARPVILEPIMLVELKVPTEFQGTVAGDINKRKGVIVGNDQDGDDSIITVNVSETCFLLKGSA >KJB41022 pep chromosome:Graimondii2_0_v6:7:6295227:6301408:1 gene:B456_007G087600 transcript:KJB41022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFPRSAVPRLLYTFFSSKTRRFYPSPTAALLLGNFEVRQFSAGNVARAKDAKEPWWKESMERLRNIGISAHIDSGKTTLTERILYYTGRIHEIHEVRGKDGVGAKMDSMDLEREKGITIQSAATYCTWKDYQINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEENFQGLIDLVQLKAYYFHGSSGEKVVAEEIPADMDAIVAEERRELIEMVSEVDDKLAEAFLNDEPISSVDLEEAVRRATIARKFVPVFMGSAFKNKGVQPLLDGVLSYLPCPIEVSNYALDQTKNEVKVMLPGTPDGPLVALAFKLEEGRFGQLTYLRVYEGVIRKGDFIVNINTGKKIKVPRLVRMHSDEMEDIQEAHAGQIVAVFGVDCASGDTFTNGSVKYTMTSMSVPEPVMSLAVQPVSKDSGGQFSKALNRFQREDPTFHVGLDAESGQTIISGMGELHLDIYVERIRREYKVDATVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYVEPIPPGSPIKIEFENMIVGQAVPSNFIPAIEKGFKEAANSGSLIGHPVENIRIVLTDGASHAVDSSELAFKLAAIYAFRQCYSAARPVILEPIMLVELKVPTEFQGTVAGDINKRKGVIVGNDQDGDDSIITVNVPLNNMFGYSTMLRSMTQGKGEFTMEYKEHSPVSQDVQMQLVSTHKADKAE >KJB41023 pep chromosome:Graimondii2_0_v6:7:6295227:6301408:1 gene:B456_007G087600 transcript:KJB41023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERVHGTTPKHRNFCSHRLWQDHSNGADSLLYGPDSRDPRGSWQRWRRSKDGFHGFRERERDYYPICCHVLHLEGLPVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEENFQGLIDLVQLKAYYFHGSSGEKVVAEEIPADMDAIVAEERRELIEMVSEVDDKLAEAFLNDEPISSVDLEEAVRRATIARKFVPVFMGSAFKNKGVQPLLDGVLSYLPCPIEVSNYALDQTKNEVKVMLPGTPDGPLVALAFKLEEGRFGQLTYLRVYEGVIRKGDFIVNINTGKKIKVPRLVRMHSDEMEDIQEAHAGQIVAVFGVDCASGDTFTNGSVKYTMTSMSVPEPVMSLAVQPVSKDSGGQFSKALNRFQREDPTFHVGLDAESGQTIISGMGELHLDIYVERIRREYKVDATVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYVEPIPPGSPIKIEFENMIVGQAVPSNFIPAIEKGFKEAANSGSLIGHPVENIRIVLTDGASHAVDSSELAFKLAAIYAFRQCYSAARPVILEPIMLVELKVPTEFQGTVAGDINKRKGVIVGNDQDGDDSIITVNVPLNNMFGYSTMLRSMTQGKGEFTMEYKEHSPVSQDVQMQLVSTHKADKAE >KJB46437 pep chromosome:Graimondii2_0_v6:7:60095390:60095698:-1 gene:B456_007G368600 transcript:KJB46437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCLVDNFSKLTVNVEAKTPPPADDSLFDPEICRTPTSEEHRIPELLSCPPAPKKRKGSFSTDVNESKKIVEDKEIIQIIFPPHQDSSSSTLPPKPSSSME >KJB39974 pep chromosome:Graimondii2_0_v6:7:2829487:2833513:1 gene:B456_007G040500 transcript:KJB39974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSVEGIGKKGGMRIGVIKGGGGGASGGERVEKLKKSSRMKVWIIRATTSVLLWTCIVQLTTLGETWGPRVLKGCPSCFSHQDSSVSAIEDKVPSVPARVIPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFQDIFDVNHFITSLRDEVRILKELPPRLKRRVEMGFVYSMPPISWSDISYYHNQILPLIQKYKVIHLNRTDARLANNGQSLDVQKLRCRVNFNALRFTPQIEELGKRVIKLLRQNGPFIVLHLRYEMDMLAFSGCTQGCNNDEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALILRALDIDKNYQIYIAAGEIYGGDKRMASLAAAYPKLVRKETLLGPSDLGFFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVQGHRRYLGFKQTILLDRRLLVDLIDQYNNGSLSWIQFSDAVKETHERRRGQPSKRLVIPDRPKEEDYFYANPEECLQQPDGQLSST >KJB39975 pep chromosome:Graimondii2_0_v6:7:2829569:2833460:1 gene:B456_007G040500 transcript:KJB39975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSVEGIGKKGGMRIGVIKGGGGGASGGERVEKLKKSSRMKVWIIRATTSVLLWTCIVQLTTLGETWGPRVLKGCPSCFSHQDSSVSAIEDKVPSVPARVIPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFQDIFDVNHFITSLRDEVRILKELPPRLKRRVEMGFVYSMPPISWSDISYYHNQILPLIQKYKVIHLNRTDARLANNGQSLDVQKLRCRVNFNALRFTPQIEELGKRVIKLLRQNGPFIVLHLRYEMDMLAFSGCTQGCNNDEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALILRALDIDKNYQIYIAAGEIYGGDKRMASLAAAYPKLVS >KJB40331 pep chromosome:Graimondii2_0_v6:7:4163885:4166077:1 gene:B456_007G058400 transcript:KJB40331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDIIIQTAILLLTLAIVFAIQYVPKQTLTKHRTDHRATTQTQRHLSRATQLLCRAKSNPQKDQSQTLAKTAITEIEKALSLFPKDPTPHILKSLALDLLGHKGSALRSLDLALAFPRVKSLSEKERVEALVRRAELKLAVNKRRRVDSAVSDLEEAVKVSDKEGETKTKAFCLLGVCYELKGMREESRKAFVEALKLEPASTLARQGLERLPWYTSTQKPSRPIICEVGAKSFSQVRSLSSRPVDLVATGNKGENPDDALMLACAKSADEPLCFFCIVTALLQW >KJB42384 pep chromosome:Graimondii2_0_v6:7:12719689:12726443:-1 gene:B456_007G150300 transcript:KJB42384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFTLLLNSITVSSSSSSSPAGEPPHFSLLRAIHGLPVLELSSICINLTLFLVFLLIISAKQISVCAGRIRLHKDDSVANSSPIRRSITVGGEVQDVIVGTGFKLSVSCCFYVLLVQVVVLGFDGFGLIREAVDGKVVDWSAVALPATQVLAWFVLSFSALHCKFKVSERFPLLLRVWWSISFVICFCTLYVDGKSFLVDGSSYFSSHVAANFAVTPALAFLCFVAIRGVTGIQVCRNSDLQEPLLLEEEEAGCLKVTPYSDAGLFSLATLSWLNSLLSLGAKRPLELKDIPLLAPKDRAKSNYKVLNSNWEKLKAENQSKQPSLAWVILKSFWKEAACNAVFALLNTLVSYVGPYMITYFVDYLGGRETFPHEGYVLAGIFFVSKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLKLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASVATLIATIISIVVTVPLAKVQEDYQDKLMSAKDERMRKTSECLRNMRILKLQAWEDKYRVRLEEMRGVEFKWLRKALYSQAFVTFIFWSSPIFVAAVTFATSILLGGQLTAGSVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATIVLPRGMSKVAIEIKDGEFCWDPSSSRPTLSGIQMKVERGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVRVCGTAAYVSQSAWIQSGNIEENVLFGSPMDKAKYKNVINACSLKKDFELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTSSELFKEYIMTALANKTVVFVTHQVEFLPTADLILVLKEGRIIQAGKYDELLQAGTDFKTLVSAHHEAIEAMDIPTHSSEESDENLLLDGQAILNKKSDLAGNNIDSLAKEVQDGASASDTKAIKEKKKAKRRKKQLVQEEERVKGRVSMKVYLSYMAAAYKGLLIPLIVLAQTLFQFLQIASNWWMAWANPQTEGDQAKVGPMVLLVVYMALAFGSSWFIFMRAVLVATFGLAAAQKLFLSMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTKVTWQVLLLVVPMAIACLWMQKYYMASSRELVRIVSIQKSPVIHLFGESISGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPPVIENSRPPSSWPECGTIELLDLKVRYGENLPVVLHGVTCAFPGGKKIGIVGRTGSGKSTLIQALFRLIEPAGGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDHEIWEALDKSQLGDIVREKEQRLDTPGLHRPSQASLMHH >KJB42383 pep chromosome:Graimondii2_0_v6:7:12719689:12726443:-1 gene:B456_007G150300 transcript:KJB42383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFTLLLNSITVSSSSSSSPAGEPPHFSLLRAIHGLPVLELSSICINLTLFLVFLLIISAKQISVCAGRIRLHKDDSVANSSPIRRSITVGGEVQDVIVGTGFKLSVSCCFYVLLVQVVVLGFDGFGLIREAVDGKVVDWSAVALPATQVLAWFVLSFSALHCKFKVSERFPLLLRVWWSISFVICFCTLYVDGKSFLVDGSSYFSSHVAANFAVTPALAFLCFVAIRGVTGIQVCRNSDLQEPLLLEEEEAGCLKVTPYSDAGLFSLATLSWLNSLLSLGAKRPLELKDIPLLAPKDRAKSNYKVLNSNWEKLKAENQSKQPSLAWVILKSFWKEAACNAVFALLNTLVSYVGPYMITYFVDYLGGRETFPHEGYVLAGIFFVSKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLKLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASVATLIATIISIVVTVPLAKVQEDYQDKLMSAKDERMRKTSECLRNMRILKLQAWEDKYRVRLEEMRGVEFKWLRKALYSQAFVTFIFWSSPIFVAAVTFATSILLGGQLTAGSVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATIVLPRGMSKVAIEIKDGEFCWDPSSSRPTLSGIQMKVERGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVRVCGTAAYVSQSAWIQSGNIEENVLFGSPMDKAKYKNVINACSLKKDFELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTSSELFKEYIMTALANKTVVFVTHQVEFLPTADLILVLKEGRIIQAGKYDELLQAGTDFKTLVSAHHEAIEAMDIPTHSSEESDENLLLDGQAILNKKSDLAGNNIDSLAKEVQDGASASDTKAIKEKKKAKRRKKQLVQEEERVKGRVSMKVYLSYMAAAYKGLLIPLIVLAQTLFQFLQIASNWWMAWANPQTEGDQAKVGPMVLLVVYMALAFGSSWFIFMRAVLVATFGLAAAQKLFLSMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTKVTWQVLLLVVPMAIACLWMQKYYMASSRELVRIVSIQKSPVIHLFGESISGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPPVIENSRPPSSWPECGTIELLDLKVRYGENLPVVLHGVTCAFPGGKKIGIVGRTGSGKSTLIQALFRLIEPAGGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDHEIWEALDKSQLGDIVREKEQRLDTPGLHRPSQASLMHH >KJB42381 pep chromosome:Graimondii2_0_v6:7:12718620:12727121:-1 gene:B456_007G150300 transcript:KJB42381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFTLLLNSITVSSSSSSSPAGEPPHFSLLRAIHGLPVLELSSICINLTLFLVFLLIISAKQISVCAGRIRLHKDDSVANSSPIRRSITVGGEVQDVIVGTGFKLSVSCCFYVLLVQVVVLGFDGFGLIREAVDGKVVDWSAVALPATQVLAWFVLSFSALHCKFKVSERFPLLLRVWWSISFVICFCTLYVDGKSFLVDGSSYFSSHVAANFAVTPALAFLCFVAIRGVTGIQVCRNSDLQEPLLLEEEEAGCLKVTPYSDAGLFSLATLSWLNSLLSLGAKRPLELKDIPLLAPKDRAKSNYKVLNSNWEKLKAENQSKQPSLAWVILKSFWKEAACNAVFALLNTLVSYVGPYMITYFVDYLGGRETFPHEGYVLAGIFFVSKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLKLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASVATLIATIISIVVTVPLAKVQEDYQDKLMSAKDERMRKTSECLRNMRILKLQAWEDKYRVRLEEMRGVEFKWLRKALYSQAFVTFIFWSSPIFVAAVTFATSILLGGQLTAGSVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATIVLPRGMSKVAIEIKDGEFCWDPSSSRPTLSGIQMKVERGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVRVCGTAAYVSQSAWIQSGNIEENVLFGSPMDKAKYKNVINACSLKKDFELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTSSELFKEYIMTALANKTVVFVTHQVEFLPTADLILVLKEGRIIQAGKYDELLQAGTDFKTLVSAHHEAIEAMDIPTHSSEESDENLLLDGQAILNKKSDLAGNNIDSLAKEVQDGASASDTKAIKEKKKAKRRKKQLVQEEERVKGRVSMKVYLSYMAAAYKGLLIPLIVLAQTLFQFLQIASNWWMAWANPQTEGDQAKVGPMVLLVVYMALAFGSSWFIFMRAVLVATFGLAAAQKLFLSMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTKVTWQVLLLVVPMAIACLWMQKYYMASSRELVRIVSIQKSPVIHLFGESISGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPPVIENSRPPSSWPECGTIELLDLKVRYGENLPVVLHGVTCAFPGGKKIGIVGRTGSGKSTLIQALFRLIEPAGGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDHEIWEALDKSQLGDIVREKEQRLDTPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDTATDNLIQKIIRTEFMNCTVCTIAHRIPTVIDSDLVLVLNDGRVAEFDTPARLLEDKSSMFLKLVTEYSSRSSGIPDF >KJB42385 pep chromosome:Graimondii2_0_v6:7:12718571:12726597:-1 gene:B456_007G150300 transcript:KJB42385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFTLLLNSITVSSSSSSSPAGEPPHFSLLRAIHGLPVLELSSICINLTLFLVFLLIISAKQISVCAGRIRLHKDDSVANSSPIRRSITVGGEVQDVIVGTGFKLSVSCCFYVLLVQVVVLGFDGFGLIREAVDGKVVDWSAVALPATQVLAWFVLSFSALHCKFKVSERFPLLLRVWWSISFVICFCTLYVDGKSFLVDGSSYFSSHVAANFAVTPALAFLCFVAIRGVTGIQVCRNSDLQEPLLLEEEEAGCLKVTPYSDAGLFSLATLSWLNSLLSLGAKRPLELKDIPLLAPKDRAKSNYKVLNSNWEKLKAENQSKQPSLAWVILKSFWKEAACNAVFALLNTLVSYVGPYMITYFVDYLGGRETFPHEGYVLAGIFFVSKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLKLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASVATLIATIISIVVTVPLAKVQEDYQDKLMSAKDERMRKTSECLRNMRILKLQAWEDKYRVRLEEMRGVEFKWLRKALYSQAFVTFIFWSSPIFVAAVTFATSILLGGQLTAGSVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATIVLPRGMSKVAIEIKDGEFCWDPSSSRPTLSGIQMKVERGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVRVCGTAAYVSQSAWIQSGNIEENVLFGSPMDKAKYKNVINACSLKKDFELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTSSELFKEYIMTALANKTVVFVTHQVEFLPTADLILVLKEGRIIQAGKYDELLQAGTDFKTLVSAHHEAIEAMDIPTHSSEESDENLLLDGQAILNKKSDLAGNNIDSLAKEVQDGASASDTKAIKEKKKAKRRKKQLVQEEERVKGRVSMKVYLSYMAAAYKGLLIPLIVLAQTLFQFLQIASNWWMAWANPQTEGDQAKVGPMVLLVVYMALAFGSSWFIFMRAVLVATFGLAAAQKLFLSMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTKVTWQVLLLVVPMAIACLWMQKYYMASSRELVRIVSIQKSPVIHLFGESISGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPPVIENSRPPSSWPECGTIELLDLKVRYGENLPVVLHGVTCAFPGGKKIGIVGRTGSGKSTLIQALFRLIEPAGGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDHEIWEALDKSQLGDIVREKEQRLDTPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDTATDNLIQKIIRTEFMNCTVCTIAHRIPTVIDSDLVLVLNDGRVAEFDTPARLLEDKSSMFLKLVTEYSSRSSGIPDF >KJB42382 pep chromosome:Graimondii2_0_v6:7:12718618:12727121:-1 gene:B456_007G150300 transcript:KJB42382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFTLLLNSITVSSSSSSSPAGEPPHFSLLRAIHGLPVLELSSICINLTLFLVFLLIISAKQISVCAGRIRLHKDDSVANSSPIRRSITVGGEVQDVIVGTGFKLSVSCCFYVLLVQVVVLGFDGFGLIREAVDGKVVDWSAVALPATQVLAWFVLSFSALHCKFKVSERFPLLLRVWWSISFVICFCTLYVDGKSFLVDGSSYFSSHVAANFAVTPALAFLCFVAIRGVTGIQVCRNSDLQEPLLLEEEEAGCLKVTPYSDAGLFSLATLSWLNSLLSLGAKRPLELKDIPLLAPKDRAKSNYKVLNSNWEKLKAENQSKQPSLAWVILKSFWKEAACNAVFALLNTLVSYVGPYMITYFVDYLGGRETFPHEGYVLAGIFFVSKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLKLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASVATLIATIISIVVTVPLAKVQEDYQDKLMSAKDERMRKTSECLRNMRILKLQAWEDKYRVRLEEMRGVEFKWLRKALYSQAFVTFIFWSSPIFVAAVTFATSILLGGQLTAGSVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATIVLPRGMSKVAIEIKDGEFCWDPSSSRPTLSGIQMKVERGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVRVCGTAAYVSQSAWIQSGNIEENVLFGSPMDKAKYKNVINACSLKKDFELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTSSELFKEYIMTALANKTVVFVTHQVEFLPTADLILVLKEGRIIQAGKYDELLQAGTDFKTLVSAHHEAIEAMDIPTHSSEESDENLLLDGQAILNKKSDLAGNNIDSLAKEVQDGASASDTKAIKEKKKAKRRKKQLVQEEERVKGRVSMKVYLSYMAAAYKGLLIPLIVLAQTLFQFLQIASNWWMAWANPQTEGDQAKVGPMVLLVVYMALAFGSSWFIFMRAVLVATFGLAAAQKLFLSMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTKVTWQVLLLVVPMAIACLWMQKYYMASSRELVRIVSIQKSPVIHLFGESISGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPPVIENSRPPSSWPECGTIELLDLKVRYGENLPVVLHGVTCAFPGGKKIGIVGRTGSGKSTLIQALFRLIEPAGGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDHEIWEALDKSQLGDIVREKEQRLDTPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDTATDNLIQKIIRTEFMNCTVCTIAHRIPTVIDSDLVLVLNDGRVAEFDTPARLLEDKSSMFLKLVTEYSSRSSGIPDF >KJB45455 pep chromosome:Graimondii2_0_v6:7:52026781:52031588:-1 gene:B456_007G306700 transcript:KJB45455 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR5 [Source:Projected from Arabidopsis thaliana (AT1G56440) UniProtKB/TrEMBL;Acc:A0A178WG02] MPDAASEKELGNEYFKQKKFKEAIDCYSRSIALSPTAVAYANRAMAYLKIRKFQEAEDDCSEALNLDDRYIKAYSRRATARKELGKLKESIEDAEFGLRLEPNNQDMKKQHAEFKALYEKEILQKASGVIKKSMQGAQEVGKSATIDNGLGMHTMSDSTQRKGIAAVQGSQTKVSECDKQKKPEKGSVTLEEIGKRNTSAGNTADGTQLDAEIAGPENIKRDTKSRKQELKASVQDLASRAASRAMAEAAKSISPPSTAYQFEVSWRALSGDRSLQAHLLKVTSPSALPQIFKNAMSASMLVDIVKCVATFFREDVDLAIKYLENLTKVPRFDMLILFLSPTDKADLLKVWDEVFCNEASAIECAEILDYLRSVYGLKK >KJB45457 pep chromosome:Graimondii2_0_v6:7:52027271:52031858:-1 gene:B456_007G306700 transcript:KJB45457 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR5 [Source:Projected from Arabidopsis thaliana (AT1G56440) UniProtKB/TrEMBL;Acc:A0A178WG02] MACKHSRDQAMDFQGFLNDLQDWELSLKDKGKNKKMTSQASGKEQLNITEKGRPTGKRSLNNSSSTTSSEQYDYLKNYDKRSNLSTSFVTEENMPDAASEKELGNEYFKQKKFKEAIDCYSRSIALSPTAVAYANRAMAYLKIRKFQEAEDDCSEALNLDDRYIKAYSRRATARKELGKLKESIEDAEFGLRLEPNNQDMKKQHAEFKALYEKEILQKASGVIKKSMQGAQEVGKSATIDNGLGMHTMSDSTQRKGIAAVQGSQTKVSECDKQKKPEKGSVTLEEIGKRNTSAGNTADGTQLDAEIAGPENIKRDTKSRKQELKASVQDLASRAASRAMAEAAKSISPPSTAYQFEVSWRALSGDRSLQAHLLKVTSPSALPQIFKNAMSASMLVDIVKCVATFFREDVDLAIKYLENLTKVPRFDMLILFLSPTDKADLLKVWDEVFCNEASAIECAEILDYLRSVYGLKK >KJB45456 pep chromosome:Graimondii2_0_v6:7:52026781:52032048:-1 gene:B456_007G306700 transcript:KJB45456 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR5 [Source:Projected from Arabidopsis thaliana (AT1G56440) UniProtKB/TrEMBL;Acc:A0A178WG02] MACKHSRDQAMDWELSLKDKGKNKKMTSQASGKEQLNITEKGRPTGKRSLNNSSSTTSSEQYDYLKNYDKRSNLSTSFVTEENMPDAASEKELGNEYFKQKKFKEAIDCYSRSIALSPTAVAYANRAMAYLKIRKFQEAEDDCSEALNLDDRYIKAYSRRATARKELGKLKESIEDAEFGLRLEPNNQDMKKQHAEFKALYEKEILQKASGVIKKSMQGAQEVGKSATIDNGLGMHTMSDSTQRKGIAAVQGSQTKVSECDKQKKPEKGSVTLEEIGKRNTSAGNTADGTQLDAEIAGPENIKRDTKSRKQELKASVQDLASRAASRAMAEAAKSISPPSTAYQFEVSWRALSGDRSLQAHLLKVTSPSALPQIFKNAMSASMLVDIVKCVATFFREDVDLAIKYLENLTKVPRFDMLILFLSPTDKADLLKVWDEVFCNEASAIECAEILDYLRSVYGLKK >KJB41184 pep chromosome:Graimondii2_0_v6:7:6980228:6982618:1 gene:B456_007G095100 transcript:KJB41184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHVGLISLSVSLLSMPCLPRIGFTKRRPFPMAALSDDPVQEWILTEGKATRITGIRPVGGGCINLANRYDTDAGSFFVKTNRSIGPPMFEAEAIGLDAMYETRTIRVPKPFKVGPLRNGGSYIIMEYIEFGSSRSNQSVLGRKLAEMHKAGKSDKGFGFPVNNTVGSTPQINTWCSDWIQFYGEHRLGYQLKLAQDQYGDSTIYQRGQRLIKNMAPLFEDIVIEPCLLHGDLWSGNITSDKNGEPVILDPACY >KJB41187 pep chromosome:Graimondii2_0_v6:7:6979983:6984238:1 gene:B456_007G095100 transcript:KJB41187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHVGLISLSVSLLSMPCLPRIGFTKRRPFPMAALSDDPVQEWILTEGKATRITGIRPVGGGCINLANRYDTDAGSFFVKTNRSIGPPMFEAEAIGLDAMYETRTIRVPKPFKVGPLRNGGSYIIMEYIEFGSSRSNQSVLGRKLAEMHKAGKSDKGFGFPVNNTVGSTPQINTWCSDWIQFYGEHRLGYQLKLAQDQYGDSTIYQRGQRLIKNMAPLFEDIVIEPCLLHGDLWSGNITSDKNGEPVILDPACYYGHNEAEFGMSWCAGFGGSFYTSYFEVMPKRPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAISIIDDYLRMLNA >KJB41186 pep chromosome:Graimondii2_0_v6:7:6980228:6981871:1 gene:B456_007G095100 transcript:KJB41186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHVGLISLSVSLLSMPCLPRIGFTKRRPFPMAALSDDPVQEWILTEGKATRITGIRPVGGGCINLANRYDTDAGSFFVKTNRSIGPPMFEAEAIGLDAMYETRTIRVPKPFKVGPLRNGGSYIIMEYIEFGSSRSNQSVLGRKLAEMHKAGKSDKGFGFPVNNTVGSTPQINTWCSDWIQFYGEHRLGYQLKLAQDQYGDSTIYQRGYSFPH >KJB41183 pep chromosome:Graimondii2_0_v6:7:6980114:6982554:1 gene:B456_007G095100 transcript:KJB41183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHVGLISLSVSLLSMPCLPRIGFTKRRPFPMAALSDDPVQEWILTEGKATRITGIRPVGGGCINLANRYDTDAGSFFVKTNRSIGPPMFEAEAIGLDAMYETRTIRVPKPFKVGPLRNGGSYIIMEYIEFGSSRSNQSVLGRKLAEMHKAGKSDKGFGFPVNNTVGSTPQINTWCSDWIQFYGEHRLGYQLKLAQDQYGDSTIYQRGYSFPH >KJB41182 pep chromosome:Graimondii2_0_v6:7:6980114:6984238:1 gene:B456_007G095100 transcript:KJB41182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHVGLISLSVSLLSMPCLPRIGFTKRRPFPMAALSDDPVQEWILTEGKATRITGIRPVGGGCINLANRYDTDAGSFFVKTNRSIGPPMFEAEAIGLDAMYETRTIRVPKPFKVGPLRNGGSYIIMEYIEFGSSRSNQSVLGRKLAEMHKAGKSDKGFGFPVNNTVGSTPQINTWCSDWIQFYGEHRLGYQLKLAQDQYGDSTIYQRGQRLIKNMAPLFEDIVIEPCLLHGDLWSGNITSDKNGEPVILDPACYYGHNEAEFGMSWCAGFGGSFYTSYFEVMPKRPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAISIIDDYLRMLNA >KJB41185 pep chromosome:Graimondii2_0_v6:7:6980114:6984238:1 gene:B456_007G095100 transcript:KJB41185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHVGLISLSVSLLSMPCLPRIGFTKRRPFPMAALSDDPVQEWILTEGKATRITGIRPVGGGCINLANRYDTDAGSFFVKTNRSIGPPMFEAEAIGLDAMYETRTIRVPKPFKVGPLRNGGSYIIMEYIEFGSSRSNQSVLGRKLAEMHKAGKSDKGFGFPVNNTVGSTPQINTWCSDWIQFYGEHRLGYQLKLAQDQYGDSTIYQRGQRLIKNMAPLFEDIVIEPCLLHGDLWSGNITSDKNGEPVILDPACYLYLCASYRWTQ >KJB45874 pep chromosome:Graimondii2_0_v6:7:55888971:55890227:-1 gene:B456_007G3348002 transcript:KJB45874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYDLFRRSYQSSMAYVLITYSVWFMTMTWLFAPFLFNPSGFEWDEIVDDWKGWNKWIKEKGGIGIQQNKSWQSWWNDEQAHLRRSGYGARLFEILLSIRFFLYQYGLVYHLDISQQSKNFLVYVLSWVVILAVFLTVKAVNIGRQLFSARYHLMFRFFKAFLFLSVFTIVITLSVICELSSKDLLVCCLAFLPTGWGLILVAQAVRPIIENTGLWQFTEVLAKAYDYGMGSILFFPIAILAWIPIFSEFQTQFLFNPAFNRHLQIQPILEGKKKQK >KJB45875 pep chromosome:Graimondii2_0_v6:7:55888992:55890227:-1 gene:B456_007G3348002 transcript:KJB45875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYDLFRRSYQSSMAYVLITYSVWFMTMTWLFAPFLFNPSGFEWDEIVDDWKGWNKWIKEKGGIGIQQNKSWQSWWNDEQAHLRRSGYGARLFEILLSIRFFLYQYGLVYHLDISQQSKNFLVYVLSWVVILAVFLTVKVKFIQVS >KJB44848 pep chromosome:Graimondii2_0_v6:7:47080027:47084873:-1 gene:B456_007G275800 transcript:KJB44848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIELLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKKLFRDLFIREGYQFDYVYDWTVLKYPQLTSSSKQRPSSGKAAVNTGASAERTKKPSGRETRDRVLGAAEAFTRKNASGSGHLSDHSKQKVSEKASPSKDTDNDSGRVRTSSNRPSSMSRRAVASSSRPASSLGPSDSRSSWLLSGSSRLSTSQRVHSGSEQKSSLSRATTSKGVREDQNQSSEHRLRFMEKRKG >KJB44849 pep chromosome:Graimondii2_0_v6:7:47082142:47085791:-1 gene:B456_007G275800 transcript:KJB44849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVVGGKFKVGRKIGAGSFGELFLGVNVQTGEEVAIKMEPVKTKHPQLHYESKLYILLQGGTGIPYLKWFGVEGEHNIMVIELLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKKLFRDLFIREGKNQTL >KJB44847 pep chromosome:Graimondii2_0_v6:7:47080026:47086069:-1 gene:B456_007G275800 transcript:KJB44847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVVGGKFKVGRKIGAGSFGELFLGVNVQTGEEVAIKMEPVKTKHPQLHYESKLYILLQGGTGIPYLKWFGVEGEHNIMVIELLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKKLFRDLFIREGYQFDYVYDWTVLKYPQLTSSSKQRPSSGKAAVNTGASAERTKKPSGRETRDRVLGAAEAFTRKNASGSGHLSDHSKQKVSEKASPSKDTDNDSGRVRTSSNRPSSMSRRAVASSSRPASSLGPSDSRSSWLLSGSSRLSTSQRVHSGSEQKSSLSRATTSKGVREDQNQSSEHRLRFMEKRKG >KJB39347 pep chromosome:Graimondii2_0_v6:7:624029:625181:1 gene:B456_007G007700 transcript:KJB39347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVPEHQVAGRQAIDGKLGPLIDNSGHFYKPLQDDDERGTRELTFYHSFSSNTRIPHHIRRFFPVFHGTQLLKASDRSGLRPHLVLQDITSDHLNPSILDIKIGSRTWYLEASNDYIQNCFHRDKTTTTVSLGFRICGLQIYNESGFWKPGRKLVRNFTADDVRSVLRKFVSSNVGPEPDCCFAGSVYGGCDGILERLLELKTWFEDQTMYHFRSCSVLLLFDKESFLRGKTPFAEVKLIDFAHVVDGNGVIDHNFLGGLCSFIKFVSQVLADSKGSTMEDKI >KJB42345 pep chromosome:Graimondii2_0_v6:7:12596625:12598762:1 gene:B456_007G148800 transcript:KJB42345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLACFGSSKDRKNRKQRHKVQHRSQRNAGYIAQSTVSLEQSSLETPIKPVEEVRDGKAEEQLGSGSGSGSSTRKKVTFDTNIKTYEHVLVDESNDFELHDEEEGGKKGKVKEENLAKPRESQASSESSSSSYPPNHRYQNCRESDDEEEDEFDYDEESDLGDDEDDIEDYVGVDDGALQSSDRSIHGLGRVTEEEESNPIGSIRGVRGVGDGNVLKPVENLAQWKAVKAKGSTPLKPQKENLSLEQEEPRLSSSFRRSSKEHSFSFSEEVSVDASLSNWLSSREATPVKKSSTFNACTPERSVSPASVSMKMSPEDRPILGALTLEEINKFSASSLTSRRSPSRSPTPDEMPIIGTVGTYWNHESTTTKDSNSAASFKGIPNTTSKYREDKKVNWHSTPFEKRLERALNRGSEA >KJB42343 pep chromosome:Graimondii2_0_v6:7:12596692:12598624:1 gene:B456_007G148800 transcript:KJB42343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLACFGSSKDRKNRKQRHKVQHRSQRNAGYIAQSTVSLEQSSLETPIKPVEEVRDGKAEEQLGSGSGSGSSTRKKVTFDTNIKTYEHVLVDESNDFELHDEEEGGKKGKVKEENLAKPRESQASSESSSSSYPPNHRYQNCRESDDEEEDEFDYDEESDLGDDEDDIEDYVGVDDGALQSSDRSIHGLGRVTEEEESNPIGSIRGVRGVGDGNVLKPVENLAQWKAVKAKGSTPLKPQKENLSLEQEEPRLSSSFRRSSKEHSFSFSEEVSVDASLSNWLSSREATPVKKSSTFNACTPERSVSPASVSMKMSPEDRPILGALTLEEINKFSASSLTSRRSPSRSPTPDEMPIIGTVGTYWNHESTTTKDSNSAASFKGIPNTTSKYREDKKVNWHSTPFEKRLERALNRGSEA >KJB42344 pep chromosome:Graimondii2_0_v6:7:12596880:12598278:1 gene:B456_007G148800 transcript:KJB42344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLACFGSSKDRKNRKQRHKVQHRSQRNAGYIAQSTVSLEQSSLETPIKPVEEVRDGKAEEQLGSGSGSGSSTRKKVTFDTNIKTYEHVLVDESNDFELHDEEEGGKKGKVKEENLAKPRESQASSESSSSSYPPNHRYQNCRESDDEEEDEFDYDEESDLGDDEDDIEDYVGVDDGALQSSDRSIHGLGRVTEEEESNPIGSIRGVRGVGDGNVLKPVENLAQWKAVKAKGSTPLKPQKENLSLEQEEPRLSSSFRRSSKEHSFSFSEEVSVDASLSNWLSSREATPVKKSSTFNACTPERSVSPASVSMKMSPEDRPILGALTLEEINKFSASSLTSRRSPSRSPTPDEMPIIGTVGTYWNHESTTTKDSNSAASFKGIPNTTSKYREVNVK >KJB44446 pep chromosome:Graimondii2_0_v6:7:40775832:40779312:-1 gene:B456_007G253500 transcript:KJB44446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGDPAFKLFGRKIPMPQSQIHAGDSTIVEIETSQANTCQSITVENDTEECRTSLKSNGIRMNCIVKDEQVETNGTDQEKVFKKPDKILPCPRCNSFDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRKNKHLASQYRQIIASSGGVPVTRIETPDSTTQQLLSPGETTTAMGNGTVLKFGTEAPLCESMETVLSLGDQKRCVETSTVSSGEIREEPSLCGSSMTPCGMPGNVMQKERIGSAGPNELNTKHPTQCYPVSPCIFPWNTGLSNVASMATGQCSSEHIGAPNGTTSNTVQWCPAPMVAVPGFCPSNIQLQFVPAYWGCIPLWAPGGGNVSISNSSGCLSPSSSTSNSCGSGNGLPTLGKHYREANVVEEEQSEKCILVPKTLRIDNPNEASRSPIWATLGIKPGQKDPLRGGKIFNAFESKAEGKDHVSDGSYILEANPAALSRSHTFQEST >KJB44447 pep chromosome:Graimondii2_0_v6:7:40772428:40779311:-1 gene:B456_007G253500 transcript:KJB44447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGDPAFKLFGRKIPMPQSQIHAGDSTIVEIETSQANTCQSITVENDTEECRTSLKSNGIRMNCIVKDEQVETNGTDQEKVFKKPDKILPCPRCNSFDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRKNKHLASQYRQIIASSGGVPVTRIETPDSTTQQLLSPGETTTAMGNGTVLKFGTEAPLCESMETVLSLGDQKRCVETSTVSSGEIREEPSLCGSSMTPCGMPGNVMQKERIGSAGPNELNTKHPTQCYPVSPCIFPWNTGLSNVASMATGQCSSEHIGAPNGTTSNTVQWCPAPMVAVPGFCPSNIQLQFVPAYWGCIPLWAPGGGNVSISNSSGCLSPSSSTSNSCGSGNGLPTLGKHYREANVVEEEQSEKCILVPKTLRIDNPNEASRSPIWATLGIKPGQKDPLRGGKIFNAFESKAEGKDHVSDGSYILEANPAALSRSHTFQEST >KJB43265 pep chromosome:Graimondii2_0_v6:7:18696506:18699919:-1 gene:B456_007G190900 transcript:KJB43265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLVNFLKACWWPSLDRYAHKGSDASGWQDGLLWYKDNGQHFNGEFSMAVVQANNLLEDQSQIESGSLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFTTEQQSMSVDVIKKAYQATEDGFFSLVTKQWPMNPQIAAVGSCCLVGVVCNGTLYIANVGDSRAVLGRLVKATGEVLAIQLSSEHNVAIESVRQEMHSLHPDDSHIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNREPLYQKFRLRQPFRMPILSSEPSVSMHELQPHDQFLIFASDGLWEHLSNQGAVDIVQNHPRNGSARRLVKVALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSAKGPSLSLRGGGIKLPAKTKAPC >KJB43266 pep chromosome:Graimondii2_0_v6:7:18696516:18698529:-1 gene:B456_007G190900 transcript:KJB43266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDVIKKAYQATEDGFFSLVTKQWPMNPQIAAVGSCCLVGVVCNGTLYIANVGDSRAVLGRLVKATGEVLAIQLSSEHNVAIESVRQEMHSLHPDDSHIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNREPLYQKFRLRQPFRMPILSSEPSVSMHELQPHDQFLIFASDGLWEHLSNQGAVDIVQNHPRNGSARRLVKVALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSAKGPSLSLRGGGIKLPAKTKAPC >KJB43267 pep chromosome:Graimondii2_0_v6:7:18696516:18699821:-1 gene:B456_007G190900 transcript:KJB43267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLVNFLKACWWPSLDRYAHKGSDASGWQDGLLWYKDNGQHFNGEFSMAVVQANNLLEDQSQIESGSLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFTTEQQSMSVDVIKKAYQATEDGFFSLVTKQWPMNPQIAAVGSCCLVGVVCNGTLYIANVGDSRAVLGRLVKATGEVLAIQLSSEHNVAIESVRQEMHSLHPDDSHIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNREPLYQKFRLRQPFRMPILSSEPSVSMHELQPHDQFLIFASDGLWEHLSNQGAVDIVQNHPRNGSARRLVKVALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSAKGPSLSLRGGGIKLPAKTKAPC >KJB40629 pep chromosome:Graimondii2_0_v6:7:5028621:5033487:-1 gene:B456_007G071600 transcript:KJB40629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGDGVLTSAIAVMSSLPGLQYSGKKLTKGALLLLACVILISLFALQHIGTHKVAFMFAPIVMLWLISIFCIGLYNIIHWNPKVVSAIYPYYIIKFFRETGRDGWISLGGILLSITGTEAMYADLGHFTAVSIRLAFVFAIYPCLVVQYMGQAAFLSRNLHSIPNSFFESIPDPVFWPIFVVTTLSAVVGSQATITATFSVIKQCQALGCFPRVKIVHTSKHIYGQIYIPEINWMLMILTLSITIGFQDTTLIGNAYGLATATLMFITTFLVALVITFVWQKSIWISGMFLLFFGIIEGAYLSAALMKVPQGGWVPLVLSFIFMMIMYIWHYGMCLKYNFDMHNKVPLQWLLGLGPSLGIVRVPGIGLIYTELATGIPAIFSHFVTNLPAFHKVLVFICVKSVPVPYVSSDERFVVGRVCPRPYRMYRCIVRYGYKDIQRDDGDFENQLIQSLAEFIHMEAGEPEFCTSEGSFDGRMMVTRSSSIQSGSTIIATEIEDCSESSSVQSSKSSALLSLRSVYDDENPPVRKQHIRFHLPANQIMDSAIREELMDLIVAKEAGFAYIMGHSYVKARRSSSCFKKFVIDVGYTFLRKNCRGPSVDLNIPHINLIEVGMTYYV >KJB40073 pep chromosome:Graimondii2_0_v6:7:3166869:3168657:-1 gene:B456_007G045300 transcript:KJB40073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRALLNAQRLVKSSAIANRTTTIIRPQYGWVSRFSSTNISNAQSLEMDLSTGESKRRLFNRLLYRSQQRGFLELDLVLGKWVSTPWMKMELKL >KJB40074 pep chromosome:Graimondii2_0_v6:7:3167824:3168657:-1 gene:B456_007G045300 transcript:KJB40074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRALLNAQRLVKSSAIANRTTTIIRPQYGWVSRFSSTNISNAQSLEMDLSTGESKRRLFNRLLYRSQQRGFLELDLVLGKWVSTPWMKMELKL >KJB40093 pep chromosome:Graimondii2_0_v6:7:3239606:3241352:1 gene:B456_007G046500 transcript:KJB40093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWINEDSTYKYFEVILVDTAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHLHHKARPSRRANWKRNNTLSLRRYR >KJB44660 pep chromosome:Graimondii2_0_v6:7:43691060:43699706:-1 gene:B456_007G263800 transcript:KJB44660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRLAGERRQSVPAIPGAPSSPLQRHTRSGSSYGGTGNPRKAQTKAAAQRLAAVMAHQQNDDDGNDYDQLDHSSVSSTGSIGFSGARPTRPLSPMTKNVAQRRVPQAMTPQADDHNDEDDLIVSGRPSIGLGGARQMQARTPVIKTIPQRRPSPVIERQPSDDDNADDVPVSNTASTSVAPARSIRSPSPAKKKSLAQTRAPPPPADDDENEEDDLLVSGTTRIGLGGRAVRPRSPATRSIAQKRMPPAATQQTSDKESDEEEVLVSGRPSIGLARGRAMQPRPAMVKTMAQRPVQQVPQQPSDEDNDEDELANSAVSGKATIGLGGRARPSSSPLSVRIHQDQSSSTRSTPGSHTSLSVNTKEQPVSAHSINSVEQSTSPSAGRSSLQSSVEQLHTRQAPSLLEQPVSPCSTGPGRQQLRIKTAQAVPKPTSSGTTPEVSADSRREKRLNSDFASMGGVKDRGRQQSASALQDELDMLQDENESLLEKLHLAEERFEEAEARVRLLEKQIADLGEGATLEARLLSRKEAAQQEREAASRGGAQAQATLEQIAALQTEAEIARDETNSVLEKLSEAEFEIKALQTVTQRMMLTEEEMEEVVLKRCWLARYWSLCVDHGIQADIARVKHEYWSSFAPLPVEIVLAAGQRAREEDISTGDDLEERGKVLQHTNELSGERNVESMLLVEKGLRELALLKVEDAVAFAMAKQRRQNMLKTEEVKLPTEGQFEVFELSQEESEDVRFKQAWLTYFWRRAMNHGVEADIADERLQSWIQCSSQCITSQEAVDVERGLMEIRRLGLESQLWKTSRRGLELGATARLHIETGF >KJB44659 pep chromosome:Graimondii2_0_v6:7:43691060:43698867:-1 gene:B456_007G263800 transcript:KJB44659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQADDHNDEDDLIVSGRPSIGLGGARQMQARTPVIKTIPQRRPSPVIERQPSDDDNADDVPVSNTASTSVAPARSIRSPSPAKKKSLAQTRAPPPPADDDENEEDDLLVSGTTRIGLGGRAVRPRSPATRSIAQKRMPPAATQQTSDKESDEEEVLVSGRPSIGLARGRAMQPRPAMVKTMAQRPVQQVPQQPSDEDNDEDELANSAVSGKATIGLGGRARPSSSPLSVRIHQDQSSSTRSTPGSHTSLSVNTKEQPVSAHSINSVEQSTSPSAGRSSLQSSVEQLHTRQAPSLLEQPVSPCSTGPGRQQLRIKTAQAVPKPTSSGTTPEVSADSRREKRLNSDFASMGGVKDRGRQQSASALQDELDMLQDENESLLEKLHLAEERFEEAEARVRLLEKQIADLGEGATLEARLLSRKEAAQQEREAASRGGAQAQATLEQIAALQTEAEIARDETNSVLEKLSEAEFEIKALQTVTQRMMLTEEEMEEVVLKRCWLARYWSLCVDHGIQADIARVKHEYWSSFAPLPVEIVLAAGQRAREEDISTGDDLEERGKVLQHTNELSGERNVESMLLVEKGLRELALLKVEDAVAFAMAKQRRQNMLKTEEVKLPTEGQFEVFELSQEESEDVRFKQAWLTYFWRRAMNHGVEADIADERLQSWIQCSSQCITSQEAVDVERGLMEIRRLGLESQLWKTSRRGLELGATARLHIETGF >KJB41888 pep chromosome:Graimondii2_0_v6:7:10061677:10064792:1 gene:B456_007G126000 transcript:KJB41888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDTWSFAFSASSRSYQSTVKSQSDLCIDFEEIEEDDELMTEYPCPYCSEDFDLLGLCCHIDEEHHLEAGYGVCPVCAQRVGMNMVDHITAQHGNIFRSNHKLDFGKGDSYSTLSSLRKELHDAHYQSLLSRSWSSLSSSNTAPDPLLSFLYSAPPADSSESVQPVTPTEVTMEEKGSNENILEKLMLSSAVNGTGIWFYLPVFLPVVITLKAFFPFTEYKFMIVLWCAEMLTRRHCQIRSTRRRQIGVNLYKGYYYPPSLMVAYNQDRKE >KJB41887 pep chromosome:Graimondii2_0_v6:7:10061677:10064792:1 gene:B456_007G126000 transcript:KJB41887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDTWSFAFSASSRSYQSTVKSQSDLCIDFEEIEEDDELMTEYPCPYCSEDFDLLGLCCHIDEEHHLEAGYGVCPVCAQRVGMNMVDHITAQHGNIFRSNHKLDFGKGDSYSTLSSLRKELHDAHYQSLLSRSWSSLSSSNTAPDPLLSFLYSAPPADSSESVQPVTPTEVTMEEKGSNENILEKDAHPSPLSDKEHSEKANRCEFVQGLLLSTIIDGGL >KJB41889 pep chromosome:Graimondii2_0_v6:7:10061713:10064760:1 gene:B456_007G126000 transcript:KJB41889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDTWSFAFSASSRSYQSTVKSQSDLCIDFEEIEEDDELMTEYPCPYCSEDFDLLGLCCHIDEEHHLEAGYGVCPVCAQRVGMNMVDHITAQHGNIFRSNHKLDFGKGDSYSTLSSLRKELHDAHYQSLLSRSWSSLSSSNTAPDPLLSFLYSAPPADSSESVQPVTPTEVTMEEKGSNENILEK >KJB44746 pep chromosome:Graimondii2_0_v6:7:45393303:45394128:-1 gene:B456_007G270300 transcript:KJB44746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVQFLVAFVLLALASKFVSASDPSPLQDFCVAINDTKDGVFVNGKFCKDPKLATAEDFFLPGLNIPGNTSNQVGSMVTPANVQQIPGLNTLGISLVRIDYAPYGGLNPPHTHPRATEILVVVEGTLSVGFVTSNTDNRLFTKVLYPGDVFVFPEGMIHFQFNIGSTNAVAFAALSSQNPGVITIANAVFGSDLAINPDVLAKAFQLDQNIVKQLQSRFWWDNN >KJB39386 pep chromosome:Graimondii2_0_v6:7:807159:808842:1 gene:B456_007G010300 transcript:KJB39386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQFTSSNDTCTCNPNVPYLLPIKANEGSNMYPSFTPKSPIWNQDEKLERAMPSVSLAIEEAISIAKIALPMILTGLVLYSRSLISMLFLGQLGELALAGGSLAIGFANITGYSILSGLAMGMESICGQAFGARKYTLLGITLQRTVLLLLVTSLPISLLWINMKKILTLCGQDEAIAIEAQSYLIYSILDLLAQSLLHPLRIYLRTQSITLPLTCCAILSILLHVPINYLLVYHLKLGTKGVALSGVWTNFNLVASLIIYILYFKVHKKTWGGFSMECFKEWKSLLNLAIPSCISVCLEWWWYEIMILLCGLLLNPKATVASMGILIQTTALIYIFPSSLSFSVSTRVGNELGANQPKRAKLAAFVGLHCGFMLGFSALVFAVTVRKIWATMFSADKEIIALTSLVLPIIGLCELGNCPQTTGCGVLRGTARPKVGANINLGCFYLVGMPVAIWLAFFAGFDFKGLWLGLMAAQGSCVLTMMVVLVRTDWDLEAAKAKQLTGTVLVVYDSDKAEFEEESISLLSDSNHYCLV >KJB45406 pep chromosome:Graimondii2_0_v6:7:51824034:51824836:-1 gene:B456_007G304400 transcript:KJB45406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDVVAKDRKILVAVDEGEESTNALSWCLKNVISHTSKDTLVLLYAKPPRAVYTSLDGTGYLFSSDILATMDKYSNDVADCVIEKAKRVCREQGDPEVKVEVIIESGDPRDVICQVADKINADVLVMGSHGYGLIKR >KJB45405 pep chromosome:Graimondii2_0_v6:7:51823442:51824764:-1 gene:B456_007G304400 transcript:KJB45405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDVVAKDRKILVAVDEGEESTNALSWCLKNVISHTSKDTLVLLYAKPPRAVYTSLDGTGYLFSSDILATMDKYSNDVADCVIEKAKRVCREQGDPEVADKINADVLVMGSHGYGLIKRAFLGSVSNHCAQNVKCPVLIVKKPTCSSSASGTK >KJB45404 pep chromosome:Graimondii2_0_v6:7:51823415:51824924:-1 gene:B456_007G304400 transcript:KJB45404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDVVAKDRKILVAVDEGEESTNALSWCLKNVISHTSKDTLVLLYAKPPRAVYTSLDGTGYLFSSDILATMDKYSNDVADCVIEKAKRVCREQGDPEVKVEVIIESGDPRDVICQVADKINADVLVMGSHGYGLIKRAFLGSVSNHCAQNVKCPVLIVKKPTCSSSASGTK >KJB45168 pep chromosome:Graimondii2_0_v6:7:50338720:50339547:-1 gene:B456_007G293300 transcript:KJB45168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQASQERMQTLKENNKQMMKTISKLPYPKDYTSPKFKQFNGKTSDAREHVMKFVQILKVPRLEGNLKLKEFSKSLTEKIYTYQMCCMIREKFFSTPEKVTFIDLRKEKKVLNIQEDHYEKELIKVCF >KJB43395 pep chromosome:Graimondii2_0_v6:7:19895322:19897677:1 gene:B456_007G197600 transcript:KJB43395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMAACKRVGQFGPNSYSWMIGGGVSRFEGLVVSPTIPPSAIRLLASPTLFSQWRHCSQLVKSNGKRLFLIDTLALVRRLEAEGLPSKQAEAITAAMTEVLNDSLENVSLSVVSKFEMQKSEMTQESNLSKLKSEVQSSQELHFSVLQHENEKLRNDIEKMRSELRHEIDKVTAGQRLDLNLERGRIRDELSNQSAGTSNLTNKLDREIHALKAHLEAAKYDLIKYCIGTLVSISAVGLAVVRVLL >KJB39910 pep chromosome:Graimondii2_0_v6:7:2576395:2578078:1 gene:B456_007G037100 transcript:KJB39910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKIGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEEEEKTIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKGQNQDGVSYCQSVPKGQWERRLQTDIRMAKQALTEALSLRKQNPSTGLNDFNDLLNSAQPHQPPTYASSADNISRLLQNWMKNTPKPASATATTNSAETMTRSSFNSNDEGALSDKGLDSFFSFNSCSTCSDNDVSHESENSVVFQVESKPNMGDQIPLTLIEKWLLDDVLF >KJB40782 pep chromosome:Graimondii2_0_v6:7:5458566:5461205:1 gene:B456_007G077000 transcript:KJB40782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWGSWRKYYKDVVTFTAMVTMECVIVGINTLFKAATLKGISFHVFLVYDYAVAALLLLPTPFFSYRSRVLPPLSLRILCKIGLLGFIGISCQIMGYTGIIYGSPTLSSAISNLTPAFTFILAILFRMEKLVLKRRSSQAKVMGTIISITGAFVVTLYKGPAIVKASMSLHQPLNSSSTNWVIGGVFLTVQNILIPFWYIFLTQIMEEYPAELTVVFFYNLCVCFIALVVGLVVERNASAWRIRPDIALASVICSVRTRDCI >KJB40780 pep chromosome:Graimondii2_0_v6:7:5458339:5461205:1 gene:B456_007G077000 transcript:KJB40780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWGSWRKYYKDVVTFTAMVTMECVIVGINTLFKAATLKGISFHVFLVYDYAVAALLLLPTPFFSYRSRVLPPLSLRILCKIGLLGFIGISCQIMGYTGIIYGSPTLSSAISNLTPAFTFILAILFRMEKLVLKRRSSQAKVMGTIISITGAFVVTLYKGPAIVKASMSLHQPLNSSSTNWVIGGVFLTVQNILIPFWYIFLTQIMEEYPAELTVVFFYNLCVCFIALVVGLVVERNASAWRIRPDIALASVICSGVFSSCLNNTVHSWALRLKGPVFVAMFKPFSIVIAFTMGIVFLAETPHIGSLIGATTISIGFYTLMWGKVKEATAEDNGSGSTIDSSSSHKDPLLQSYKNGQV >KJB40781 pep chromosome:Graimondii2_0_v6:7:5458566:5461205:1 gene:B456_007G077000 transcript:KJB40781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWGSWRKYYKDVVTFTAMVTMECVIVGINTLFKAATLKGISFHVFLVYDYAVAALLLLPTPFFSYRSRVLPPLSLRILCKIGLLGFIGISCQIMGYTGIIYGSPTLSSAISNLTPAFTFILAILFRMEKLVLKRRSSQAKVMGTIISITGAFVVTLYKGPAIVKASMSLHQPLNSSSTNWVIGGVFLTVQNILIPFCSRCWFSC >KJB42449 pep chromosome:Graimondii2_0_v6:7:13122853:13130324:1 gene:B456_007G153600 transcript:KJB42449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVKLGYHYQPSRRLLNSVASSSSHLLQQCNGIDTRSSERFSGPNHCWLNKVDENKEFLKKDGTFIVVAGRFFQNSEKVGCNPAVIFEKVKLLQQRFPSIQVIGFQDCISVCSADDRSQLIQLIMEEYISFPVLFSNKNFSKLTEEACFILSKDFKNSLVFHEKDLDIAMLNKAIEELSVQYHVNNIALHKSSWSKEAEIIKEPHFCTVLQNLLLYFPACISTDESGKRLFLSDSNHHRIIIFDGDGKILDCIGSCPGFEDGEFESAKLLRPAASFYHETEDCLYIVDSENHAIRRADLERRVLETVYPTSSIHKKSTGLWTWIRSKLGFTSDDDVKFEEHDSPSLMCPWHLIKTEDNFLIISRSFETLWVMDFELGEVKEVVKGFPNTLEFCRHFILEKVSLLKKMPDYLLQQQRDANLAREGLPYAGLISCVTTFENHIIMCDTVSQGVLKLNRESGISSSFQFSNLGMLELPYWLSFPLESFYAVATGLSVRQTDHIQQFSLLPGRVDIRLSIDIPTDTELVEPLHESCIWCQARGAATQLSVVENVAGSSEKVGVAQQWYDELDNLAFWAPESKLVVEDENATMDTNPEDERMHIDCVVNTSPGTSEVIIYAALYLKLRRNHALQDDNDQEKFAARIANILNPKENERFDGKSCTKFLLKSNRDLRDLFFIKPLHVRIKLNSQDHPKADNSKDIVLTDSSLNIDVSLN >KJB42448 pep chromosome:Graimondii2_0_v6:7:13122853:13128654:1 gene:B456_007G153600 transcript:KJB42448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVKLGYHYQPSRRLLNSVASSSSHLLQQCNGIDTRSSERFSGPNHCWLNKVDENKEFLKKDGTFIVVAGRFFQNSEKVGCNPAVIFEKVKLLQQRFPSIQVIGFQDCISVCSADDRSQLIQLIMEEYISFPVLFSNKNFSKLTEEACFILSKDFKNSLVFHEKDLDIAMLNKAIEELSVQYHVNNIALHKSSWSKEAEIIKEPHFCTVLQNLLLYFPACISTDESGKRLFLSDSNHHRIIIFDGDGKILDCIGSCPGFEDGEFESAKLLRPAASFYHETEDCLYIVDSENHAIRRADLERRVLETVYPTSSIHKKSTGLWTWIRSKLGFTSDDDVKFEEHDSPSLMCPWHLIKTEDNFLIISRSFETLWVMDFELGEVKEVVKGFPNTLEFCRHFILEKVSLLKKMPDYLLQQQRDANLAREGLPYAGLISCVTTFENHIIMCDTVSQGVLKLNRESGISSSFQFSNLGMLELPYWLSFPLESFYAVATGLSVRQTDHIQQFSLLPVNAQDVLCTIVAHVVSTEL >KJB41458 pep chromosome:Graimondii2_0_v6:7:7909528:7912229:-1 gene:B456_007G105300 transcript:KJB41458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLNSTPLLDLNYIEDSAGGPDVTVGILPKLDKVTLLQMDAKLPVDIFENVMGLAIEGCKAIANYIREVLLENTKQLEYRRGL >KJB41461 pep chromosome:Graimondii2_0_v6:7:7910167:7912119:-1 gene:B456_007G105300 transcript:KJB41461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVSPEGLRLDGRRPMEMRQLRAEIGTVAKANGSAVFEMGNTKVIAAVYGPREVQNRSQQINDQALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLNSTPLLDLNYIEDSAGGPDVTVGILPKLDKVTLLQVCNHAFILFFLWKWKSMLQSGCFSLHCSNAI >KJB41457 pep chromosome:Graimondii2_0_v6:7:7909472:7912322:-1 gene:B456_007G105300 transcript:KJB41457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVSPEGLRLDGRRPMEMRQLRAEIGTVAKANGSAVFEMGNTKVIAAVYGPREVQNRSQQINDQALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLNSTPLLDLNYIEDSAGGPDVTVGILPKLDKVTLLQMDAKLPVDIFENVMGLAIEGCKAIANYIREVLLENTKQLEYRRGL >KJB41460 pep chromosome:Graimondii2_0_v6:7:7909834:7912229:-1 gene:B456_007G105300 transcript:KJB41460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVSPEGLRLDGRRPMEMRQLRAEIGTVAKANGSAVFEMGNTKVIAAVYGPREVQNRSQQINDQALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLNSTPLLDLNYIEDSAGGPDVTVGILPKLDKVTLLQMDAKLPVDIFENVMGLAIEGCKAIANYIREVRTVVCFLLSAKSSISFP >KJB41459 pep chromosome:Graimondii2_0_v6:7:7909805:7912119:-1 gene:B456_007G105300 transcript:KJB41459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVSPEGLRLDGRRPMEMRQLRAEIGTVAKANGSAVFEMGNTKVIAAVYGPREVQNRSQQINDQALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLNSTPLLDLNYIEDSAGGPDVTVGILPKLDKVTLLQMDAKLPVDIFENVMGLAIEGCKAIANYIREFKH >KJB39231 pep chromosome:Graimondii2_0_v6:7:271091:271729:1 gene:B456_007G003200 transcript:KJB39231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSKDDIKHATAQAKQSEDESLRVAYKHGTPLEAGKIADSSPVDLFSSAQSVQNSSSPSPPPPQSNTSTATNADSTSSANT >KJB42962 pep chromosome:Graimondii2_0_v6:7:16450997:16451697:-1 gene:B456_007G177100 transcript:KJB42962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSKSKFSFLQEKLQIYEPGDNKIESRAERAYPSSYPKNQKQPFLMHFFCHQTAKNSQKVRKKKPNFLLLCHLSPSAPS >KJB44226 pep chromosome:Graimondii2_0_v6:7:34010233:34014569:1 gene:B456_007G240800 transcript:KJB44226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSQKVEDKSQPSIATVTRRIQRLSLHLIPLPPLQCSPQLDMLTCAKANKQEVDVASLSSYMRGKHREIQEKIFDFFNSRPDLQTPTEISMKEHRELCLRQLLGLVREGKIKPFRYVVEDPAKYFAISEAVGSIDISLGIKFGVQYSLWGGSVLNLGTKKHRDKYFDGIDNLDYLGCFAMTELHHGSNVQGLQTVATFDPITDEFIIDTPNDGAIKWWIGNAAVHGKFATVFARLVLPTHDSKGVSDMGVHAFIVPIRDLKTHQPLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVTRDGKYTSSLPTINKRFAATLGELVGGRVGLAHSSVGILKIAVTIAVRYSLLRQQFGPPKQPEVSILDYQSQQHKLMPMLASTYAFHFATQYLVEKYSEMKKTHDEQLVGDVHALSAGLKSYVTSFTAKSLSTCREACGGHGYAAVNRFGTLRNDHDIFQTFEGDNTVLLQQVAADLLKQYKDKFQGGALSVTWSYLRESMNTYLSQPNPVTARWESEDHLRDPKFQLDAFRYRTSRLLQSVAARLQKHTKTLGSFGAWNRCLNHLLTLAESHIESVILAKFIEAVQNCPDASSQAALKLLCDLYALDRIWKDIGTYRNVDYVAPNKAKAINKLTQYLSFQVRNIAGEFIDAFDLPPYILRAPIAMQSEAYSQYTQLVGF >KJB44224 pep chromosome:Graimondii2_0_v6:7:34010233:34014040:1 gene:B456_007G240800 transcript:KJB44224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSQKVEDKSQPSIATVTRRIQRLSLHLIPLPPLQCSPQLDMLTCAKANKQEVDVASLSSYMRGKHREIQEKIFDFFNSRPDLQTPTEISMKEHRELCLRQLLGLVREGKIKPFRYVVEDPAKYFAISEAVGSIDISLGIKFGVQYSLWGGSVLNLGTKKHRDKYFDGIDNLDYLGCFAMTELHHGSNVQGLQTVATFDPITDEFIIDTPNDGAIKWWIGNAAVHGKFATVFARLVLPTHDSKGVSDMGVHAFIVPIRDLKTHQPLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVTRDGKYTSSLPTINKRFAATLGELVGGRVGLAHSSVGILKIAVTIAVRYSLLRQQFGPPKQPEVSILDYQSQQHKLMPMLASTYAFHFATQYLVEKYSEMKKTHDEQLVGDVHALSAGLKSYVTSFTAKSLSTCREACGGHGYAAVNRFGTLRNDHDIFQTFEGDNTVLLQQVAADLLKQYKDKFQGGALSVTWSYLRESMNTYLSQPNPVTARWESEDHLRDPKFQLDAFRYRTSRLLQSVAARLQKHTKTLGSFGAWNRCLNHLLTLAESHIESVILAKFIEAVQKYFLNSATKFIPFLSTCHIYKFEFLNCFECNFQLS >KJB44225 pep chromosome:Graimondii2_0_v6:7:34010233:34014557:1 gene:B456_007G240800 transcript:KJB44225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSQKVEDKSQPSIATVTRRIQRLSLHLIPLPPLQCSPQLDMLTCAKANKQEVDVASLSSYMRGKHREIQEKIFDFFNSRPDLQTPTEISMKEHRELCLRQLLGLVREGKIKPFRYVVEDPAKYFAISEAVGSIDISLGIKFGVQYSLWGGSVLNLGTKKHRDKYFDGIDNLDYLGCFAMTELHHGSNVQGLQTVATFDPITDEFIIDTPNDGAIKWWIGNAAVHGKFATVFARLVLPTHDSKGVSDMGVHAFIVPIRDLKTHQPLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVTRDGKYTSSLPTINKRFAATLGELVGGRVGLAHSSVGILKIAVTIAVRYSLLRQQFGPPKQPEVSILDYQSQQHKLMPMLASTYAFHFATQYLVEKYSEMKKTHDEQLVGDVHALSAGLKSYVTSFTAKSLSTCREACGGHGYAAVNRFGTLRNDHDIFQTFEGDNTVLLQQVAADLLKQYKDKFQGGALSVTWSYLRESMNTYLSQPNPVTARWESEDHLRDPKFQLDAFRYRTSRLLQSVAARLQKHTKTLGSFGAWNRCLNHLLTLAESHIESVILAKFIEAVQNQAALKLLCDLYALDRIWKDIGTYRNVDYVAPNKAKAINKLTQYLSFQVRNIAGEFIDAFDLPPYILRAPIAMQSEAYSQYTQLVGF >KJB42857 pep chromosome:Graimondii2_0_v6:7:15439718:15444527:1 gene:B456_007G170900 transcript:KJB42857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MSPAIAASNGLPVSRNEEGPSRFLLPSDYGDFQTNRLNHTPPLPSILKNPFQVVDGHPTTAAGNPNEIANLFPNLYGQPSVSLVPGQSEVKGQSLKVGVVFSGGQAPGGHNVITGIFDYLQERARGSTLYGFKGGPAGIMSCKYVKLTADLIYPYRNQGGFHMICSSRDKIETPEQLKQAEETVKKLDLDGLVIIGGDDSNTNACLLAEYFRSKNMKTQVIGCPKTIDGDLKCKDVPISFGFDTACKIYSEMIGNVMVDARSTGKYYHFIRLMGRAASHITLECALQTHPNIAIIGEEVAAKKLTMKNVTNYIADIICKRMELGFNYGVIILPEGLIDFIPEVKQLIAELNEILAHDVVDEAGMWKRKLRSHSHDLFEFLPQSIQEQLLLERDPHGNVQVAKIETEKMLIQMVEAELDQRKQKGAYKGQFQGKPHFFGYEGRCGFPTNFDANYCNALGYATGILLHSGKTGLISSVGNLTAPVDEWTVGGTALTSLMDVERRHGKFKPVIKKALVDLEGAPMLKFASLRDDWALKNRYLSSGPIQFVGPYANDINYTLMLELGAQFHF >KJB40929 pep chromosome:Graimondii2_0_v6:7:5919098:5928342:1 gene:B456_007G082900 transcript:KJB40929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLRSLLICFFWKQLSGQCLLLLLLLFNYNAEESKMGVFVLPSSAFGVLLPHFPVVSLGTPRGQFHLVLGGSSNRKRKNLLTGNWQCRPRIVVASNRDDSKDNVTDDEDGSLLGSYEMLEMKEDELVEARKALSEVKARQAALEKERDQLLEDFASSEAKQKEYVASVLHDKELAVSELESTKSLFHQKLQESVKEKFALESKLVLARQDAVELAVQVEKLAEVAFRQATSHILEDAKLRVSAAETLAAESAFQIDEQIRKSTEGTIFSIIVESKDAINKALDVAENAIDEATQAVAVFTDAVNPIDVIASAQSENIKLQGAVSDLEAQLLVSESELDRLKLELQQAQVQANAAELRSSNAEKALLEFQELSRKKALEQEEEIRSLLEKIKKEAVERKKVLSKAFKAELESIKAAVDASKEITCSRENAYMRRCEALQRSLRTSESALKLWRQRAEMAQSLLLKERSEKEDDEDVIYIANGGRIDLLTDDDSQKWKLLSYGPRKEIPQWMARRIRSIRPKFPPRKTDISKALNSNFKSLELPKLDEVWSIAQEKLREGDMLTEHVIEKEVIEKKRKALERALQRKTVKWKRIPEETKIEPGTGTGREIVFQGFNWESWRRQWYQELAFKAADLSHSGITAVWLPPPTQSVAPQGYMPSDLYNLNSSYGSVEDLKSCIEEMHSQELLALGDIVLNHRCAHKQSPNGVWNIFGGKLAWGPEAIVCDDPNFQGRGNPSSGDIFHAAPNVDHSQHFVRKDVKEWLYWLRNDIGYDGWRLDFVRGFSGTFVKEYIEASNPAFAIGEYWDSMAYEHGNLCYNQDAHRQRIVNWINATGGTSSAFDVTTKGILHSALHDQYWRLIDPQGKPTGVMGWWPSRACTFLENHDTGSTQGHWPFPRDKLTQGYAYILTHPGTPVIFYDHFYEFGIRDVLTELIEARRRAGIHCRSSVKIYHANTEGYVAQVSNMLVIKLGHFDWNPSKENQLDGSWQKFIDKGADYQIWLRQ >KJB40926 pep chromosome:Graimondii2_0_v6:7:5918522:5928342:1 gene:B456_007G082900 transcript:KJB40926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFVLPSSAFGVLLPHFPVVSLGTPRGQFHLVLGGSSNRKRKNLLTGNWQCRPRIVVASNRDDSKDNVTDDEDGSLLGSYEMLEMKEDELVEARKALSEVKARQAALEKERDQLLEDFASSEAKQKEYVASVLHDKELAVSELESTKSLFHQKLQESVKEKFALESKLVLARQDAVELAVQVEKLAEVAFRQATSHILEDAKLRVSAAETLAAESAFQIDEQIRKSTEGTIFSIIVESKDAINKALDVAENAIDEATQAVAVFTDAVNPIDVIASAQSENIKLQGAVSDLEAQLLVSESELDRLKLELQQAQVQANAAELRSSNAEKALLEFQELSRKKALEQEEEIRSLLEKIKKEAVERKKVLSKAFKAELESIKAAVDASKEITCSRENAYMRRCEALQRSLRTSESALKLWRQRAEMAQSLLLKERSEKEDDEDVIYIANGGRIDLLTDDDSQKWKLLSYGPRKEIPQWMARRIRSIRPKFPPRKTDISKALNSNFKSLELPKLDEVWSIAQEKLREGDMLTEHVIEKEVIEKKRKALERALQRKTVKWKRIPEETKIEPGTGTGREIVFQGFNWESWRRQWYQELAFKAADLSHSGITAVWLPPPTQSVAPQGYMPSDLYNLNSSYGSVEDLKSCIEEMHSQELLALGDIVLNHRCAHKQSPNGVWNIFGGKLAWGPEAIVCDDPNFQGRGNPSSGDIFHAAPNVDHSQHFVRKDVKEWLYWLRNDIGYDGWRLDFVRGFSGTFVKEYIEASNPAFAIGEYWDSMAYEHGNLCYNQDAHRQRIVNWINATGGTSSAFDVTTKGILHSALHDQYWRLIDPQGKPTGVMGWWPSRACTFLENHDTGSTQGHWPFPRDKLTQGYAYILTHPGTPVIFYDHFYEFGIRDVLTELIEARRRAGIHCRSSVKIYHANTEGYVAQVSNMLVIKLGHFDWNPSKENQLDGSWQKFIDKGADYQIWLRQ >KJB40928 pep chromosome:Graimondii2_0_v6:7:5919319:5927389:1 gene:B456_007G082900 transcript:KJB40928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFVLPSSAFGVLLPHFPVVSLGTPRGQFHLVLGGSSNRKRKNLLTGNWQCRPRIVVASNRDDSKDNVTDDEDGSLLGSYEMLEMKEDELVEARKALSEVKARQAALEKERDQLLEDFASSEAKQKEYVASVLHDKELAVSELESTKSLFHQKLQESVKEKFALESKLVLARQDAVELAVQVEKLAEVAFRQATSHILEDAKLRVSAAETLAAESAFQIDEQIRKSTEGTIFSIIVESKDAINKALDVAENAIDEATQAVAVFTDAVNPIDVIASAQSENIKLQGAVSDLEAQLLVSESELDRLKLELQQAQVQANAAELRSSNAEKALLEFQELSRKKALEQEEEIRSLLEKIKKEAVERKKVLSKAFKAELESIKAAVDASKEITCSRENAYMRRCEALQRSLRTSESALKLWRQRAEMAQSLLLKERSEKEDDEDVIYIANGGRIDLLTDDDSQKWKLLSYGPRKEIPQWMARRIRSIRPKFPPRKTDISKALNSNFKSLELPKLDEVWSIAQEKLREGDMLTEHVIEKEVIEKKRKALERALQRKTVKWKRIPEETKIEPGTGTGREIVFQGFNWESWRRQWYQELAFKAADLSHSGITAVWLPPPTQSVAPQGYMPSDLYNLNSSYGSVEDLKSCIEEMHSQELLALGDIVLNHRCAHKQSPNGVWNIFGGKLAWGPEAIVCDDPNFQGRGNPSSGDIFHAAPNVDHSQHFVRKDVKEWLYWLRNDIGYDGWRLDFVRGFSGTFVKEYIEASNPAFAIGEYWDSMAYEHGNLCYNQDAHRQRIVNWINATGGTSSAFDVTTKGILHSALHDQYWRLIDPQGKPTGVMGWWPSRACTFLENHDTGSTQGHWPFPRDKLTQGYAYILTHPGTVSCHQNLYMILHMGTTRTLRNICSFTVCFFSLFLQMSNNGASKDGHDLDFILL >KJB40925 pep chromosome:Graimondii2_0_v6:7:5918572:5928342:1 gene:B456_007G082900 transcript:KJB40925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFVLPSSAFGVLLPHFPVVSLGTPRGQFHLVLGGSSNRKRKNLLTGNWQCRPRIVVASNRDDSKDNVTDDEDGSLLGSYEMLEMKEDELVEARKALSEVKARQAALEKERDQLLEDFASSEAKQKEYVASVLHDKELAVSELESTKSLFHQKLQESVKEKFALESKLVLARQDAVELAVQVEKLAEVAFRQATSHILEDAKLRVSAAETLAAESAFQIDEQIRKSTEGTIFSIIVESKDAINKALDVAENAIDEATQAVAVFTDAVNPIDVIASAQSENIKLQGAVSDLEAQLLVSESELDRLKLELQQAQVQANAAELRSSNAEKALLEFQELSRKKALEQEEEIRSLLEKIKKEAVERKKVLSKAFKAELESIKAAVDASKEITCSRENAYMRRCEALQRSLRTSESALKLWRQRAEMAQSLLLKERSEKEDDEDVIYIANGGRIDLLTDDDSQKWKLLSYGPRKEIPQWMARRIRSIRPKFPPRKTDISKALNSNFKSLELPKLDEVWSIAQEKLREGDMLTEHVIEKEVIEKKRKALERALQRKTVKWKRIPEETKIEPGTGTGREIVFQGFNWESWRRQWYQELAFKAADLSHSGITAVWLPPPTQSVAPQGYMPSDLYNLNSSYGSVEDLKSCIEEMHSQELLALGDIVLNHRCAHKQSPNGVWNIFGGKLAWGPEAIVCDDPNFQGRGNPSSGDIFHAAPNVDHSQHFVRKDVKEWLYWLRNDIGYDGWRLDFVRGFSGTFVKEYIEASNPAFAIGEYWDSMAYEHGNLCYNQDAHRQRIVNWINATGGTSSAFDVTTKGILHSALHDQYWRLIDPQGKPTGVMGWWPSRACTFLENHDTGSTQGHWPFPRDKLTQGYAYILTHPGTPVIFYDHFYEFGIRDVLTELIEARRRAGIHCRSSVKIYHANTEGYVAQVSNMLVIKLGHFDWNPSKENQLDGSWQKFIDKGADYQIWLRQ >KJB40927 pep chromosome:Graimondii2_0_v6:7:5918572:5928342:1 gene:B456_007G082900 transcript:KJB40927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFVLPSSAFGVLLPHFPVVSLGTPRGQFHLVLGGSSNRKRKNLLTGNWQCRPRIVVASNRDDSKDNVTDDEDGSLLGSYEMLEMKEDELVEARKALSEVKARQAALEKERDQLLEDFASSEAKQKEYVASVLHDKELAVSELESTKSLFHQKLQESVKEKFALESKLVLARQDAVELAVQVEKLAEVAFRQATSHILEDAKLRVSAAETLAAESAFQIDEQIRKSTEGTIFSIIVESKDAINKALDVAENAIDEATQAVAVFTDAVNPIDVIASAQSENIKLQGAVSDLEAQLLVSESELDRLKLELQQAQVQANAAELRSSNAEKALLEFQELSRKKALEQEEEIRSLLEKIKKEAVERKKVLSKAFKAELESIKAAVDASKEITCSRENAYMRRCEALQRSLRTSESALKLWRQRAEMAQSLLLKERSEKEDDEDVIYIANGGRIDLLTDDDSQKWKLLSYGPRKEIPQWMARRIRSIRPKFPPRKTDISKALNSNFKSLELPKLDEVWSIAQEKLREGDMLTEHVIEKEVIEKKRKALERALQRKTVKWKRIPEETKIEPGTGTGREIVFQGFNWESWRRQWYQELAFKAADLSHSGITAVWLPPPTQSVAPQGYMPSDLYNLNSSYGSVEDLKSCIEEMHSQELLALGDIVLNHRCAHKQSPNGVWNIFGGKLAWGPEAIVCDDPNFQGRGNPSSGDIFHAAPNVDHSQHFVRKDVKEWLYWLRNDIGYDGWRLDFVRGFSGTFVKEYIEASNPAFAIGEYWDSMAYEHGNLCYNQDAHRQRIVNWINATGGTSSAFDVTTKGILHSALHDQYWRLIDPQGKPTGVMGWWPSRAWSLAFSP >KJB42943 pep chromosome:Graimondii2_0_v6:7:16344967:16350143:1 gene:B456_007G175800 transcript:KJB42943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVVSFLVERLGDLLIEEAAQLLGVKKEVKQLQIELKRMQCFLKDADKRLDEEESVKNWVSEIREAAYDVEDVIDNYIVKFASKKGGIIRNSITHSKELRNLASEIDKIKSRITDLTRSLQTYGITARKEGEGSRILSQRQRQLRWSYSHIVEEHIVGFEENIKELMVKLIHEEERCRVVSICGMGGLGKTTLAKTLYHHADIRRHFEAFAWAYISQQCRPRDVWEGILLKLITPSKEEKEEILRMRDEELAKKLYKVQQEKKCLIVIDDIWTGEAWETLSPAFPDETTVGSKILLTTRNRKVALDADQNGFLHEPECLNEEQSWELFQLKAFPRKDKSGFVVEKDMENLGREMVGSCAGLPLAIIVLGGLLATKETVNEWDKVHRNIKLHLARSKESGRQAKLSEVLALSYHELPYQLKPCFLHLSQFPEDFEIPTKKLVRQWVSEGFVSSQDEVEVDERYETMEEVAQGYLHDLINRSMVQVGVKGSTGTIKTCRLHDLMRDLCLSKAKQENFMHIIGSLPSSTYSRPTPVSKIRRCAIHLDQNNQDPGLPEYQKNPNLRSLFFFRPKKHRIHNERLLKSVFDKFKLLKVLDLEGIKGLEEKLPEDIGFLVQIRFLSLKKTRIRELPTSLVNLVGLQTLNLQTIDKVSWESTVQVPNILWKMAQLRHLYLPKWCGDVTDNLQLACLSNLQTLVNFPANKCNVRDLLLLTNLRKLVLNDSRHFESFVQIFEPPNKILPYLTSLSLKTDLLSFPDTVVDLRKLLLGCPRLCKLHVEGRINNLPRDIEFPSSLTKLTLWGSRLVEDPMEALGKLPHLKYLSGWEVFTGKKMTCSRNSFPQLKTLLLRGLSNFDEWKIEEGAMPTLSRLGISDCYKLKMVPDGLRFVTTLREVEIRWMSRAFKSSIEEDGEDFYKVQHVPSIVFLN >KJB44684 pep chromosome:Graimondii2_0_v6:7:44424174:44427148:1 gene:B456_007G266500 transcript:KJB44684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLQFLLPKSAVKFERYQIREIVSTGVEVRKVKPRKKVIFSDINAYEVDLGIDTRHVFCKESDLLAEVD >KJB45703 pep chromosome:Graimondii2_0_v6:7:54048227:54052639:-1 gene:B456_007G322500 transcript:KJB45703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEVIKIPPPIPLSVKSKNKQVATHEVIDVDNNEDSTDTMILDEVVDIRSKGKAIKSSSGVCPVIKAEDFVAKSLGLINKVTPPKHSIQGSQNISNLDCNLASSDELFYTNYLNDFMDAGKYAMLQEHFNGVELPAGEDTSIPWFENMTIEVPDGLEASIPWFENISESKKKTSHGTISLTTNANDNLHFLWLQDPAHINMNAASVSNSSSLTPDDPLSHSPGVANLSSPSLFSQILQSQNSATSQTISSIQDLPVVHLPDLTNGNNASHHIEMILSHAIMSPVDAPNHTVVAEPPSPFWLPVVTPEQFFNKHSIYSNFPDLVHGAYITPEEVADIRNQKNVDEEAILSKLRLFKQFDTVEDFSDHHYASSGASTKQPPKNWSKKIQEEWKILEKDLPDTIFVRVYESRMDLLRAVIIGAEGTPYHDGLFFFDVFFPASYPKEPPHVYYHSGGLRLNPNLYDCGKVCLSLLNTWSGKKNERWIPGMSTMLQVLVSIQALILNQKPYFNEPGWERLSGTPKGELMSRQYNEETFILSLKTMIYSMRRPPKHFEDFVVGHFYTCAHDILVACKAYMDGAQVGCPVKGGIQDVEEGFCKNQSGRSLYRSLP >KJB45704 pep chromosome:Graimondii2_0_v6:7:54048634:54052719:-1 gene:B456_007G322500 transcript:KJB45704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEVIKIPPPIPLSVKSKNKQVATHEVIDVDNNEDSTDTMILDEVVDIRSKGKAIKSSSGVCPVIKAEDFVAKSLGLINKVTPPKHSIQGSQNISNLDCNLASSDELFYTNYLNDFMDAGKYAMLQEHFNGVELPAGEDTSIPWFENMTIEVPDGLEASIPWFENISESKKKTSHGTISLTTNANDNLHFLWLQDPAHINMNAASVSNSSSLTPDDPLSHSPGVANLSSPSLFSQILQSQNSATSQTISSIQDLPVVHLPDLTNGNNASHHIEMILSHAIMSPVDAPNHTVVAEPPSPFWLPVVTPEQFFNKHSIYSNFPDLVHGAYITPEEVADIRNQKNVDEEAILSKLRLFKQFDTVEDFSDHHYASSGASTKQPPKNWSKKIQEEWKILEKDLPDTIFVRVYESRMDLLRAVIIGAEGTPYHDGLFFFDVFFPASYPKEPPHVYYHSGGLRLNPNLYDCGKVCLSLLNTWSGKKNERWIPGMSTMLQVLVSIQALILNQKPYFNEPGWERLSGTPKGELMSRQYNEETFILSLKTMIYSMRRPPKHFEDFVVGHFYTCAHDILVACKAYMDGAQVGCPVKGGIQDVEEGEKSCSPKFKVSMAGCVNMLVKEFTVLGVKDCEKFLVAPESRNNGVDSMPMAAANMN >KJB42092 pep chromosome:Graimondii2_0_v6:7:11189555:11192100:1 gene:B456_007G136800 transcript:KJB42092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKPIARKRQGKGTTAMPKDPSGGVEKQPESSNPLSQNAILQPKKRNPPFETVESQPKTQTNSADLSSLTKVKKHGILYAVRRSERLQAVISPSQDKEIERLIDEITLSEGEKDEVPLDHEDGELPQPIQTQMTMEEKFDYLFQQIEELQKTLETLKFKATRDSSPTGSPRAADVRYRNLYFESQKKIEALTNENHQLALKLERALGKLEAYDNGACAASEGLQKMKEMILVANLTRSTETAVNFSTQTFPSMDGGAEAMASLRRKKPRTGK >KJB42093 pep chromosome:Graimondii2_0_v6:7:11189264:11191816:1 gene:B456_007G136800 transcript:KJB42093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKPIARKRQGKGTTAMPKDPSGGVEKQPESSNPLSQNAILQPKKRNPPFETVESQPKTQTNSADLSSLTKVKKHGILYAVRRSERLQAVISPSQDKEIERLIDEITLSEGEKDEVPLDHEDGELPQPIQTQMTMEEKFDYLFQQIEELQKTLETLKFKATRDSSPTGSPRAADVRYRNLYFESQKKIEALTNENHQLALKLERALGKLEAYDNGACAASEGLQKMKEMILVANLTRSTETAVNFSTQTFPSMDGGAEAMASLRRKKPRTGK >KJB40979 pep chromosome:Graimondii2_0_v6:7:6160924:6164372:1 gene:B456_007G085900 transcript:KJB40979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQNQAELIDNFVKQASAQKGTALGSVILEATSHPSLFAFSEILAVPTIAELEGTENSVYLKVLQLFAHGTWSDYKSNCSSLPQLVPDQVLKLKQLTVLTLAETNKVLPYDELMMELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEIQFAAGRDLRPGQLGSMIQTLSNWLGTSDNLLVSIQEEIKWADTMSELDKKHRKEVEDRVEEVKKSLSVKKLQTVSRPKLSSEGSRISTLNLVE >KJB40978 pep chromosome:Graimondii2_0_v6:7:6160444:6164867:1 gene:B456_007G085900 transcript:KJB40978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQNQAELIDNFVKQASAQKGTALGSVILEATSHPSLFAFSEILAVPTIAELEGTENSVYLKVLQLFAHGTWSDYKSNCSSLPQLVPDQVLKLKQLTVLTLAETNKVLPYDELMMELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEIQFAAGRDLRPGQLGSMIQTLSNWLGTSDNLLVSIQEEIKWADTMSELDKKHRKEVEDRVEEVKKSLSVKQAEVEFRGLEDIYSEPGGVMDYEEDRSRPKRRRHPRS >KJB40977 pep chromosome:Graimondii2_0_v6:7:6160444:6164867:1 gene:B456_007G085900 transcript:KJB40977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQNQAELIDNFVKQASAQKGTALGSVILEATSHPSLFAFSEILAVPTIAELEGTENSVYLKVLQLFAHGTWSDYKSNCSSLPQLVPDQVLKLKQLTVLTLAETNKVLPYDELMMELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEIQFAAGRDLRPGQLGSMIQTLSNWLGTSDNLLVSIQEEIKWADTMSELDKKHRKEVEDRVEEVKKSLSVKLQTVSRPKLSSEGSRISTLNLVE >KJB40976 pep chromosome:Graimondii2_0_v6:7:6160354:6164867:1 gene:B456_007G085900 transcript:KJB40976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQNQAELIDNFVKQASAQKGTALGSVILEATSHPSLFAFSEILAVPTIAELEGTENSVYLKVLQLFAHGTWSDYKSNCSSLPQLVPDQVLKLKQLTVLTLAETNKVLPYDELMMELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEIQFAAGRDLRPGQLGSMIQTLSNWLGTSDNLLVSIQEEIKWADTMSELDKKHRKEVEDRVEEVKKSLSVKAEVEFRGLEDIYSEPGGVMDYEEDRSRPKRRRHPRS >KJB40123 pep chromosome:Graimondii2_0_v6:7:3327606:3330941:1 gene:B456_007G047800 transcript:KJB40123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANINTANFNTSAAVTAVNHSPSSPNQSHPVTNPVSSQRNQVVRGELEPIAAVPLSSSSYSSSSPPTAMIEPTVTTMGEEEGAEHGNVGPNGNAAKRPAWNKPSNLTSESESESVMGARAWPPLPQSARAPSKSPSDSSRASSDGSDSPFVPGSQGSRPASSSSSSQKQVRNNANFSSNSSANHTMPARQRSMKQNSNISASNGGLSQPPPQGPMVEAPLNSPSRDHIQRTGFLPYSGGPDQQHPRNSFRHRNNGPHPRGNGSHHLNHRGRRNQDHGNQDWNGRNFISRDGHMMPRVAPRFMRHPPPPLPANTGPLFAPPYVRPFGTPFGFPEISSQFYLVPAPYPDSLRGVPFIQPMPPMFPPPQEPQDHQLHARIVNQIDYYFSNENLIKDTYLRQNMDDQGWVPIKLIAGFRKVSLLTANIQLILDALQNSTVVEVQGDKVRKRMDWMRWIMLPSFQFPTKSGQDMLVAGVQNISLDQGTANNQTG >KJB40125 pep chromosome:Graimondii2_0_v6:7:3327622:3330849:1 gene:B456_007G047800 transcript:KJB40125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANINTANFNTSAAVTAVNHSPSSPNQSHPVTNPVSSQRNQVVRGELEPIAAVPLSSSSYSSSSPPTAMIEPTVTTMGEEEGAEHGNVGPNGNAAKRPAWNKPSNLTSESESESVMGARAWPPLPQSARAPSKSPSDSSRASSDGSDSPFVPGSQGSRPASSSSSSQKQVRNNANFSSNSSANHTMPARQRSMKQNSNISASNGGLSQPPPQGPMVEAPLNSPSRDHIQRTGFLPYSGGPDQQHPRNSFRHRNNGPHPRGNGSHHLNHRGRRNQDHGNQDWNGRNFISRDGHMMPRVAPRFMRHPPPPLPANTGPLFAPPYVRPFGTPFGFPEISSQFYLVPAPYPDSLRGVPFIQPMPPMFPPPQEPQDHQLHARIVNQIDYYFSNENLIKDTYLRQNMDDQGWVPIKLIAGFRKVSLLTANIQLILDALQNSTVVEVQVCSRMKS >KJB40124 pep chromosome:Graimondii2_0_v6:7:3327622:3330849:1 gene:B456_007G047800 transcript:KJB40124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANINTANFNTSAAVTAVNHSPSSPNQSHPVTNPVSSQRNQVVRGELEPIAAVPLSSSSYSSSSPPTAMIEPTVTTMGEEEGAEHGNVGPNGNAAKRPAWNKPSNLTSESESESVMGARAWPPLPQSARAPSKSPSDSSRASSDGSDSPFVPGSQGSRPASSSSSSQKQVRNNANFSSNSSANHTMPARQRSMKQNSNISASNGGLSQPPPQGPMVEAPLNSPSRDHIQRTGFLPYSGGPDQQHPRNSFRHRNNGPHPRGNGSHHLNHRGRRNQDHGNQDWNGRNFISRDGHMMPRVAPRFMRHPPPPLPANTGPLFAPPYVRPFGTPFGFPEISSQFYLVPAPYPDSLRGVPFIQPMPPMFPPPQEPQDHQLHARIVNQIDYYFR >KJB40081 pep chromosome:Graimondii2_0_v6:7:3210482:3211248:-1 gene:B456_007G046000 transcript:KJB40081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEISLCEYSFSHQYPRSPSFTCLNSCLTERWGDLPLKVDDSEDMLIYNSLHEALNFGWSPSDSTLPTAIKDEPEVLTPVIKVNSIGSTQPVAAATTQMLFGTAFMGISSENETAFFMGKQEKCFRNGNQRVAKGRHYRGVRQRPWGKFAAEIRDSARNGARVWLGTYETAEEAALAYDRAAFKMRGSRALLNFPHRQKSLVTKQTELERDMELTVFQLGHQMGLMPLGEQLLVN >KJB43987 pep chromosome:Graimondii2_0_v6:7:27501824:27508301:-1 gene:B456_007G227800 transcript:KJB43987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPITPGSGRALSIMPGSRVVKSPLSDETIWKRLKEAGFDEESIKKRDKAALIAYIAKLEAELFEHQHHMGLLILERKELASKYEQIKASAEASEIMQMRDQAAHASALAEAKKREDGLKKSLGVEKECIASIEKALHEMRAESAETKVAAESRLAEARIMIEDAQKKFAEAEVKFHAAKSLQTEASLFQRTAERKLQEVEAREEDLSRRIVLFKNDCDTKEKEITLERQSLSERQKIIQQEHERLLDGQASLNQREEYIFSRSQELNQLEKELEASRVDIEREHKALKDEKSKLELTLASLSKREEAITEREVLLSKKEQQLLVSQEKLANKESDEIRKAIASHETVLRTKKSEFEAELEIKRKMAEDEIEMKRRAWELKEMDNNQREDLIREREHDFDVRSRILAEKEKDVTEKSNLIEEREKSLSGFEKELELNKVLLENEKEEIKKMKLELQKSLSSLEDKRNQVDFAKEKLQAMRSETHELSNLESKLKEELDLVRAQKLELMANADRLQVEKAKFETEWELIDEKREELKKEAMRVHEEREAVLKFLKDERDSLRRERDVMREKHNKDVESLNREREDFMNKMVSEHSDWFNRIQQERAELLLGIETQKRELENFIEKRREELESSLKEREEAFEREKRTQFQHINALKERAEKELEQATLEMKRLDAERIEIKLDRERREREWAELNKSIEELKLQRHKLKQQRELLHADRKEIHAEIEELKKLGDLKAAVDNMMVAQMQCSIVELSRQKASERKTLKEQTVMQNSGSGSVKNRVVADNGNGFNSPMSKPDSASPSSARFSWIKRCRELIFKNAPDMAQMKPEERSLISDHEDVFLTSAGKLVLSHGCDGQKYKQYGRKPLGFDGEPKVTVEVPSEDEVLKGIHHLESGFEKSNAGKSLVSEEGIQAGRKRRVDSSPSRGTKKRRQTKDASVIQEEDCAHSVNSTEPNSLPDQPVSLSYDQSQGGADETNALVVDKITEILEETFEKKVVVDSSNLGNTDHLQDIVAESMQGIPQSGGMCSLASASGENGGSGDPVIVQEAHLGKVSQVTKPYQPMKDVSEGGTKLEDNVVPKLDENEKMGMRTRSKQKL >KJB43988 pep chromosome:Graimondii2_0_v6:7:27501824:27508301:-1 gene:B456_007G227800 transcript:KJB43988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPITPGSGRALSIMPGSRVVKSPLSDETIWKRLKEAGFDEESIKKRDKAALIAYIAKLEAELFEHQHHMGLLILERKELASKYEQIKASAEASEIMQMRDQAAHASALAEAKKREDGLKKSLGVEKECIASIEKALHEMRAESAETKVAAESRLAEARIMIEDAQKKFAEAEVKFHAAKSLQTEASLFQRTAERKLQEVEAREEDLSRRIVLFKNDCDTKEKEITLERQSLSERQKIIQQEHERLLDGQASLNQREEYIFSRSQELNQLEKELEASRVDIEREHKALKDEKSKLELTLASLSKREEVCIFHLTIEAITEREVLLSKKEQQLLVSQEKLANKESDEIRKAIASHETVLRTKKSEFEAELEIKRKMAEDEIEMKRRAWELKEMDNNQREDLIREREHDFDVRSRILAEKEKDVTEKSNLIEEREKSLSGFEKELELNKVLLENEKEEIKKMKLELQKSLSSLEDKRNQVDFAKEKLQAMRSETHELSNLESKLKEELDLVRAQKLELMANADRLQVEKAKFETEWELIDEKREELKKEAMRVHEEREAVLKFLKDERDSLRRERDVMREKHNKDVESLNREREDFMNKMVSEHSDWFNRIQQERAELLLGIETQKRELENFIEKRREELESSLKEREEAFEREKRTQFQHINALKERAEKELEQATLEMKRLDAERIEIKLDRERREREWAELNKSIEELKLQRHKLKQQRELLHADRKEIHAEIEELKKLGDLKAAVDNMMVAQMQCSIVELSRQKASERKTLKEQTVMQNSGSGSVKNRVVADNGNGFNSPMSKPDSASPSSARFSWIKRCRELIFKNAPDMAQMKPEERSLISDHEDVFLTSAGKLVLSHGCDGQKYKQYGRKPLGFDGEPKVTVEVPSEDEVLKGIHHLESGFEKSNAGKSLVSEEGIQAGRKRRVDSSPSRGTKKRRQTKDASVIQEEDCAHSVNSTEPNSLPDQPVSLSYDQSQGGADETNALVVDKITEILEETFEKKVVVDSSNLGNTDHLQDIVAESMQGIPQSGGMCSLASASGENGGSGDPVIVQEAHLGKVSQVTKPYQPMKDVSEGGTKLEDNVVPKLDENEKMGMRTRSKQKL >KJB43986 pep chromosome:Graimondii2_0_v6:7:27501816:27508449:-1 gene:B456_007G227800 transcript:KJB43986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPITPGSGRALSIMPGSRVVKSPLSDETIWKRLKEAGFDEESIKKRDKAALIAYIAKLEAELFEHQHHMGLLILERKELASKYEQIKASAEASEIMQMRDQAAHASALAEAKKREDGLKKSLGVEKECIASIEKALHEMRAESAETKVAAESRLAEARIMIEDAQKKFAEAEVKFHAAKSLQTEASLFQRTAERKLQEVEAREEDLSRRIVLFKNDCDTKEKEITLERQSLSERQKIIQQEHERLLDGQASLNQREEYIFSRSQELNQLEKELEASRVDIEREHKALKDEKSKLELTLASLSKREEAITEREVLLSKKEQQLLVSQEKLANKESDEIRKAIASHETVLRTKKSEFEAELEIKRKMAEDEIEMKRRAWELKEMDNNQREDLIREREHDFDVRSRILAEKEKDVTEKSNLIEEREKSLSGFEKELELNKVLLENEKEEIKKMKLELQKSLSSLEDKRNQVDFAKEKLQAMRSETHELSNLESKLKEELDLVRAQKLELMANADRLQVEKAKFETEWELIDEKREELKKEAMRVHEEREAVLKFLKDERDSLRRERDVMREKHNKDVESLNREREDFMNKMVSEHSDWFNRIQQERAELLLGIETQKRELENFIEKRREELESSLKEREEAFEREKRTQFQHINALKERAEKELEQATLEMKRLDAERIEIKLDRERREREWAELNKSIEELKLQRHKLKQQRELLHADRKEIHAEIEELKKLGDLKAAVDNMMVAQMQCSIVELSRQKASERKTLKEQTVMQNSGSGSVKNRVVADNGNGFNSPMSKPDSASPSSARFSWIKRCRELIFKNAPDMAQMKPEERSLISDHEDVFLTSAGKLVLSHGCDGQKYKQYGRKPLGFDGEPKVTVEVPSEDEVLKGIHHLESGFEKSNAGKSLVSEEGIQAGRKRRVDSSPSRGTKKRRQTKDASVIQEEDCAHSVNSTEPNSLPDQPVSLSYDQSQGGADETNALVVDKITEILEETFEKKVVVDSSNLGNTDHLQDIVAESMQGIPQSGGMCSLASASGENGGSGDPVIVQEAHLGKVSQVTKPYQPMKDVSEGGTKLEDNVVPKLDENEKMGMRTRSKQKL >KJB41223 pep chromosome:Graimondii2_0_v6:7:7017596:7018057:1 gene:B456_007G095500 transcript:KJB41223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELFFKKGDDKTLYNFIPNSESDFVEYAELISHRLYPYEKSYHYIALLKAVMRLSLTSLKVADAKDIASSVTTILARFVKYIYFSIEWEDCS >KJB40234 pep chromosome:Graimondii2_0_v6:7:3682372:3685512:-1 gene:B456_007G052900 transcript:KJB40234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKCFIPHLVFGVLAVLGVSLVNAEDPYLYFTWTVTYGTRSILGVPQQVILINGQFPGPKLDVVTNNNIILNLMNKLDQPFLMTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQAKDQIGSYTYFPSTLMHRAAGGFGALNIIHRSVIPIPYANPDGDFTLLLGDWFKTNHKTLQQSLESGKSMPFPDGVLINGQTQATFTGDQGKTYMFRISNVGLSTSLNFRIQGHKMKLVEVEGSHVIQNLYDSLDVHVGQSITILVTLDQPPKDYYIVASTRFTKTVLTATAVLHYANSNAPVSGPVPAAPANGFDWSMEQARTYRWNLTSNAARPNPQGSFHYGTITPTKTIVLANSAPLINGKLRYAVNGVSYINPDTPLKLADYFNIPGVFSMNSLSELPSGRAATLATSVMATSLHDFIEVVFQNNENTMQAWHLDGYDFWVVGFGSGQWTPDKRKSYNLVDALTRHTTQVYPNSWTAILVSLDNQGMWNVRSTLWDRQYLGQQFYLRVWNPVRSLANEYDIPSNVLLCGQAVGRHP >KJB40236 pep chromosome:Graimondii2_0_v6:7:3682379:3685656:-1 gene:B456_007G052900 transcript:KJB40236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKCFIPHLVFGVLAVLGVSLVNAEDPYLYFTWTVTYGTRSILGVPQQVILINGQFPGPKLDVVTNNNIILNLMNKLDQPFLMTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQAKDQIGSYTYFPSTLMHRAAGGFGALNIIHRSVIPIPYANPDGDFTLLLGDWFKTNHKTLQQSLESGKSMPFPDGVLINGQTQATFTGDQGKTYMFRISNVGLSTSLNFRIQGHKMKLVEVEGSHVIQNLYDSLDVHVGQSITILVTLDQPPKDYYIVASTRFTKTVLTATAVLHYANSNAPVSGPVPAAPANGFDWSMEQARTYRWNLTSNAARPNPQGSFHYGTITPTKTIVLANSAPLINGKLRYAVNGVSYINPDTPLKLADYFNIPGVFSMNSLSELPSGRAATLATSVMATSLHDFIEVVFQNNENTMQAWHLDGYDFWVVG >KJB40235 pep chromosome:Graimondii2_0_v6:7:3682379:3685512:-1 gene:B456_007G052900 transcript:KJB40235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKCFIPHLVFGVLAVLGVSLVNAEDPYLYFTWTVTYGTRSILGVPQQVILINGQFPGPKLDVVTNNNIILNLMNKLDQPFLMTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQAKDQIGSYTYFPSTLMHRAAGGFGALNIIHRSVIPIPYANPDGDFTLLLGDWFKTNHKTLQQSLESGKSMPFPDGVLINGQTQATFTGDQGKTYMFRISNVGLSTSLNFRIQGHKMKLVEVEGSHVIQNLYDSLDVHVGQSITILVTLDQPPKDYYIVASTRFTKTVLTATAVLHYANSNAPVSGPVPAAPANGFDWSMEQARTYRY >KJB41435 pep chromosome:Graimondii2_0_v6:7:7825466:7827588:-1 gene:B456_007G104500 transcript:KJB41435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAKKAPKFAGMKKIVTQKAIKHYKDQVLNPNKKDLSKEKLPRNVPNVSSALFFTHNTSLGPPYRVLVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCVHQGTYADDCIVERVTQHKCFIVATCDRDLKRRIRKVCMIS >KJB41436 pep chromosome:Graimondii2_0_v6:7:7824898:7827731:-1 gene:B456_007G104500 transcript:KJB41436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAKKAPKFAGMKKIVTQKAIKHYKDQVLNPNKKDLSKEKLPRNVPNVSSALFFTHNTSLGPPYRVLVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCVHQGTYADDCIVERVTQHKCFIVATCDRDLKRRIRKVCSWCANYVCHSAQVLN >KJB41434 pep chromosome:Graimondii2_0_v6:7:7824893:7827782:-1 gene:B456_007G104500 transcript:KJB41434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAKKAPKFAGMKKIVTQKAIKHYKDQVLNPNKKDLSKEKLPRNVPNVSSALFFTHNTSLGPPYRVLVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCVHQGTYADDCIVERVTQHKCFIVATCDRDLKRRIRKVPGVPIMYVTQHKYSIERLPEATIGGAPRF >KJB45923 pep chromosome:Graimondii2_0_v6:7:56271880:56274852:-1 gene:B456_007G338100 transcript:KJB45923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATMTTPLPISLFPFLLLIPAICFTICHANSNLLCIQSEREALLKFKNHLIDPSNRLSSWVEGGDCCEWTGVVCHNSTGHVNQLHLASPPFSPSALGGKINPSLLELKHLSSLDLSNNDFSSIHIPIFLGMLGSLTHLNLSRAGFQGAIPHNLGNLSKLQYLDLRGNDLKSKSLQWVSGLSSLQYLDLSYADLHKATDWVQVTFKLPSLLELHLSKCSLDNDPSPTSVNSTKSLVVLDLSWNSLSSVPKWIFSLHGLVSIDLSVNSLEGPIPDYFRNISFLEVLDLSGNHLNSSIPNSLYSLNRLQFLSLGYNQLQGTISSAIGNLSSVTQLDLSVNQLNGQIPLSIGELSSLKLFDVSENQLNGQIPLSIGQLSSLEEFDVSENQLNGQIPLSIGELSSLKWFDVSKNQLNGQIPLSIGQLSSLEVFDVSENQFNGTFPLSFGRLESLETLDCGYNLLEGVVSETHFSNLTRLTTLAASHNRLRFESNSSWIPPFQCESIELGHWHLGPKFPQWLKFQNKLSYLDISYAGISDVMPTWFLNLPTPFEYLNLSSNQLRGEISYLNVSSFVDLSSNRFIGPLPRVFPSLRFLILSNNSFSGSLFELVCNSSSGEFMEILYIDKNLISGDIPDCWNHWQVLGLLNLGSNNLTGKIPPSLWHLNFIMLNLRNNTMFGELPSTLQNSPNFIMFDLSENHFSGSVPAWIGDKLSNLVTLSLRSNNFDGHIPHKICDLQFLQNLDLAHNNISGVIPKCFNNLSAMATTNKTNNFVFVKYVNADSFFLNALLVLKGREDEYGSTLGLVTSMDLSDNSLTGEIPKEIGSLVGLLSLNFSGNLLTGNIPDSIGNIELMESLDLSMNRLNGEIPPSFSNLNFLNHFNNCTSKGIPIDVANNGSSREGSKVNWLYVSIVLGFVMGFWGVVAPLFFIRSWRHAYYRKLDHVGRKLYVSWATMGM >KJB45894 pep chromosome:Graimondii2_0_v6:7:56016816:56020029:-1 gene:B456_007G335700 transcript:KJB45894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGLPSLGRVKLTDLIPSEGIPSDSYKLSVSTLSQSFAQYSAAIIQFPASDGALLRSGLDSARLYFQQKAAYPPEELIHTNDSREWCKTSGYCADPQLWQETYDYRPGLTPTDPINGLEFPPGGLPDIFGLLSKAARDVLDAISYYLNLRSSPFTEILDNVPLRSREISSSVLSVCCHARPSFPGAQHNNLTTQDDGQLIMVPDHEHQVDKSLISVVKSDKAGFHVRDFQGRWILLDGDLGPQEAVVYPGLALYQATAGYVNPALHRTEINNMSGNMYGKCSLVFKLMPKSMTSLSCSEMRAAGHGVEAQFQLPIPVDDFMQRSHPTDQLFNRNTFPNFSYPPAHEDQLNAGTGSMKPLMRRRKNNTRCKPLPPSKRLRLEAQRVLKERVLEIADKKGIKLRFCNLKECENHIHALDSPCANIRMEIGWPHGVPFVHPHDLPNKAKIGFLEAYEPGWTATHDMDLSLMEPAQTSQQYCN >KJB45895 pep chromosome:Graimondii2_0_v6:7:56017836:56018903:-1 gene:B456_007G335700 transcript:KJB45895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGLPSLGRVKLTDLIPSEGIPSDSYKLSVSTLSQSFAQYSAAIIQFPASDGALLRSGLDSARLYFQQKAAYPPEELIHTNDSREWCKTSGYCADPQLWQETYDYRPGLTPTDPINGLEFPPGGLPDIFGLLSKAARDVLDAISYYLNLRSSPFTEILDNVPLRSREISSSVLSVCCHARPSFPGAQHNNLTTQDDGQLIMVPDHEHQVDKSLISVVKSDKAGFHVRDFQGRWILLDGDLGPQEAVVYPGLALYQATAGYVNPALHRTEINNMSGNMYGKCSLVFKLMPKSMTSLSCSEMRAAGHGVEAQFQLPIPVDDFMQRSHPTDQLFNRNTFPNFSYPPAHEGRQYFLI >KJB45893 pep chromosome:Graimondii2_0_v6:7:56016573:56020124:-1 gene:B456_007G335700 transcript:KJB45893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGLPSLGRVKLTDLIPSEGIPSDSYKLSVSTLSQSFAQYSAAIIQFPASDGALLRSGLDSARLYFQQKAAYPPEELIHTNDSREWCKTSGYCADPQLWQETYDYRPGLTPTDPINGLEFPPGGLPDIFGLLSKAARDVLDAISYYLNLRSSPFTEILDNVPLRSREISSSVLSVCCHARPSFPGAQHNNLTTQDDGQLIMVPDHEHQVDKSLISVVKSDKAGFHVRDFQGRWILLDGDLGPQEAVVYPGLALYQATAGYVNPALHRTEINNMSGNMYGKCSLVFKLMPKSMTSLSCSEMRAAGHGVEAQFQLPIPVDDFMQRSHPTDQLFNRNTFPNFSYPPAHEGSMKPLMRRRKNNTRCKPLPPSKRLRLEAQRVLKERVLEIADKKGIKLRFCNLKECENHIHALDSPCANIRMEIGWPHGVPFVHPHDLPNKAKIGFLEAYEPGWTATHDMDLSLMEPAQTSQQYCN >KJB44789 pep chromosome:Graimondii2_0_v6:7:46607203:46608439:1 gene:B456_007G273400 transcript:KJB44789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFRVSHPILYLAFDILFSILGSFRVLIGTCWMKEREMMNMELPRGIEGRFQGQVFNGNIIAQSKNGFAYEPWA >KJB44788 pep chromosome:Graimondii2_0_v6:7:46607203:46607921:1 gene:B456_007G273400 transcript:KJB44788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFRVSHPILYLAFDILFSILGSFRVLIGTCWMKEREMMNMELPRGIEGRFQGQVFNGNIIAQSKNGFAYEPWA >KJB46010 pep chromosome:Graimondii2_0_v6:7:56818373:56822660:-1 gene:B456_007G342800 transcript:KJB46010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTARAPGKIILAGEHAVVHGYTAVASSIDLYTVFSIRLRSDDDIVKLKLNDIGLDFSWSVRRIKEVLSHLGSPFSSTPTSCSLETIKSLATLVDEQSFPETKIGLASGVYAFLWLYTSILGFKPATVTVSSELPVGAGLGSSAAYCVSLSAALLALSDSVKLDVSQKGWSTFNESEAELVNKWAFEGEKIIHGKPSGVDNSVSTFGNVIKFKSGVMTRVNSNMPLRMLITNTKVGRDTKALVANVSARKSRHPDAMCSVLNAIEAISDEWSTIIQSHAVDDLYLSANEEKLGELMEMNQGLLLSIGVSHASIENVLQTSMKYKLASKLTGAGGGGCVLTLLPTHISGEVIDKLVEELGSFGFQSFTAGIGGKGVQISFDHSS >KJB46011 pep chromosome:Graimondii2_0_v6:7:56818431:56822455:-1 gene:B456_007G342800 transcript:KJB46011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTARAPGKIILAGEHAVVHGYTAVASSIDLYTVFSIRLRSEDDDIVKLKLNDIGLDFSWSVRRIKEVLSHLGSPFSSTPTSCSLETIKSLATLVDEQSFPETKIGLASGVYAFLWLYTSILGFKPATVTVSSELPVGAGLGSSAAYCVSLSAALLALSDSVKLDVSQKGWSTFNESEAELVNKWAFEGEKIIHGKPSGVDNSVSTFGNVIKFKSGVMTRVNSNMPLRMLITNTKVGRDTKALVANVSARKSRHPDAMCSVLNAIEAISDEWSTIIQSHAVDDLYLSANEEKLGELMEMNQGLLLSIGVSHASIENVLQTSMKYKLASKLTGAGGGGCVLTLLPTHISGEVIDKLVEELGSFGFQSFTAGIGGKGVQISFDHSS >KJB46012 pep chromosome:Graimondii2_0_v6:7:56819255:56822272:-1 gene:B456_007G342800 transcript:KJB46012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTARAPGKIILAGEHAVVHGYTAVASSIDLYTVFSIRLRSEDDDIVKLKLNDIGLDFSWSVRRIKEVLSHLGSPFSSTPTSCSLETIKSLATLVDEQSFPETKIGLASGVYAFLWLYTSILGFKPATVTVSSELPVGAGLGSSAAYCVSLSAALLALSDSVKLDVSQKGWSTFNESEAELVNKWAFEGEKIIHGKPSGVDNSVSTFGNVIKFKSGVMTRVNSNMPLRMLITNTKVGRDTKALVANVSARKSRHPDAMCSVLNAIEAISDEWSTIIQSHAVDDLYLSANEEKLGELMEMNQGLLLSIGVSHASIENVLQTSMKYKLASKLTGAGGGGCVLTLLPTRILFTVLLNLFIYAPANSFITYD >KJB42786 pep chromosome:Graimondii2_0_v6:7:14953380:14954567:1 gene:B456_007G167800 transcript:KJB42786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLVKTSEQQTSNMMEKLPQEIILGILSRLPPTSLLQSMLVCRAWLRLIRDPLLVATHFSHMADKDGPSFIFQSNRPSSSYQLFFIDFSDFHSQGKVMFKKLPDLMSIYLVDSCNGLLCMRDARWIYICNPFTRVYLQLPKLVNYPAQVGRIAFGFHQTTKQYKVIQVAYLRQLVLLGGRIDVATSTLVQSQVHVLTIGDPSWRHIGTLPYDLTRPMPKALVNGRLHWLSKPNNDTTASILISFDLETEQFQEVAKPDCCGSNRCFHHLMVLRGFLSAGAYHDNDELEVWVMKEYGLKESWIKEFTIGNHLPPTLQQNDLLHFNMAKARFPNSSVRVLCILRNDEILLEYLNRVVVVFDPRHGTFKELTFDAMPHWYKIVVHVGSLNWIHIPVC >KJB41445 pep chromosome:Graimondii2_0_v6:7:7875057:7879195:1 gene:B456_007G104800 transcript:KJB41445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDQLNSDLFDPRTEMVPDFSRGASSSDGDFGFAFNDSNFSDRLLRIEIMGGPPQCRPDGEGCTSIADWARHRKRRREDIKKETVLDLSLCPEEQMLNDIQPDMDGGGGFENQDEEPVAMVEEIQSGDEDANSNESSWSMDCSTVLRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMELLNFMYSNNLSVTTAPELLDVLMAADKFEVASCMRYCSRLLRNLPMTPESALLYLDLPSSVLMAEAVQPLTDAAKQYLAARYKDITKCQEEVMALPLAGIEAILSSDDLQIASEDAVYDFVLKWARAQYPKLEERREVVGSRLARFIRFPYMTCRKLKKVLTSNDFDHEVSSKLVLEALFFKAEAPHRQRSLAAEESASLNRRFIERAYKYRPVKVVEFELPRQQCVVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGILHLRAELTIRH >KJB41767 pep chromosome:Graimondii2_0_v6:7:9393287:9395599:-1 gene:B456_007G119200 transcript:KJB41767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRSIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYNNDNGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCLVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILQIVKENFDFRPGMITNNLDLKRGGNNRFLKTAAYGHFGRDDPDFTWEVVKPLKWDKSQS >KJB44358 pep chromosome:Graimondii2_0_v6:7:38499261:38501297:1 gene:B456_007G247700 transcript:KJB44358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTVQPTSLAFKVRRSEPELVVPVKPTPREYKPLSDIDDRHGHRFLHPVILLYRYNPSMEGKDPAKVIRDAIAKTLVFYYPFAGRLKEGPNGKLSVDCTGEGVLFIEGDADVTLQQFGDNPLPPFPCMDELLFDVKGYGEMLNCPLFLIQVTRLKCGGFIFTIRHNHTMGDATGLLQFLKAVGEMARGALSPTISPVWERHLLNARTPPRVTYAHLEFDQEFMDRNDDEITQPNNMVNLSFFFGSNEISTLRKLIPPHYQCTTHDILTACLWRCHTKALQPDPDQHIRLICIVNTRSKFNPPLPLGYYGNTIAYPTTVTTADKLCRSPLEYAIELVKHTKGKATEEYMKSTADFLVTNGRPSLTLNRWSFLLSNLTRIKFQDVDFGWGKAVYGGDANNTDGKHLIPFTNTKGEDGIVVPLYLPALIMERFVNELNSLLKNENPNGNHNYIKSNM >KJB46349 pep chromosome:Graimondii2_0_v6:7:59360115:59362657:1 gene:B456_007G361800 transcript:KJB46349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESRDRLGRAVDIAEVFARRRSGPLGILSDESMDLLGSPVRQSVTRRSMGVVGVTTSTGRGVGLRRGGSFGTPRIGIRRSRNLYRSTGRENASVTPSPLGRGRGRGTASVLPSWYPRTPLRDITAVVRAIERRRARLGDGEGQIVETPIPPHDETILGSNISSVAQLEHNFSTPASTTRLKPCPPSVRNVSKILLNVTNQKPEESSEELLTPQKKLLNSIDTVEKAVMEELSKMKKTPSAKKAERQHKVRTLMSMR >KJB46348 pep chromosome:Graimondii2_0_v6:7:59359914:59362613:1 gene:B456_007G361800 transcript:KJB46348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSLQIGTNPIQIFNHFLLFFSIFLGSFRYPFACFSAMAESRDRLGRAVDIAEVFARRRSGPLGILSDESMDLLGSPVRQSVTRRSMGVVGVTTSTGRGVGLRRGGSFGTPRIGIRRSRNLYRSTGRENASVTPSPLGRGRGRGTASVLPSWYPRTPLRDITAVVRAIERRRARLGDGEGQIVETPIPPHDETILGSNISSVAQLEHNFSTPASTTRLKPCPPSVRNVSKILLNVTNQKPEESSEELLTPQKKLLNSIDTVEKAVMEELSKMKKTPSAKKAERQHKVRTLMSMR >KJB41482 pep chromosome:Graimondii2_0_v6:7:7971514:7976479:-1 gene:B456_007G106300 transcript:KJB41482 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1 [Source:Projected from Arabidopsis thaliana (AT2G46340) UniProtKB/TrEMBL;Acc:A0A178VSM9] MERDGQEMAANELPETAEFKRKDLIFPLKSEGHILMESLMNGLSRFAPQYPGTSMNETETETGIIVEELTVENYKSSTLSLTKNWNNLRQGQRERMYHNQRLEHEFLDDENANHVLLRAKEQLARMSYENHKSKDIDQTTGGMALHLKATDDHLGTSSNSLSVAAARLKMSSRPSFSQLFVKKGMKGKDIIRRDPHDQPCLLGIDRSTTDPCPNAISLREWLKLGSRKEDKVESLIIFRQIVELVDSAHLQGVVLQDLRPSCFCLLPPNRVIYTGQSVKQGLESAVNNDLKRKRDLEQGMNASNCRRGTKKLKHNENMQPPGYKTEFASPHGSKTEMQKNIGFYTSIKQHSTSFLNQPPTFHYATPSVVQSISAAIQMEERWYACPGELNGRSLTFSSNIYSLGVFLFELLYCFESMEQHSAMMSDLSQRILPPNFLSESPKEAAFCLWLLHPGPLSRPTTREILQSDLFCGSQEKISGNNLSESPGNDVAVSEILLHFLTKLEEQKQKRASKLMEEIRFLEEDIKEAKRRQALRTSSVFPQIQNGFPDAGKKWMHSENPGTSVAHCVPNLKSDVNDGWLSKNIWQLEHAYFSMRSQIHSSETAAAAVSDKDLLKKRGKLSESQSENGKLRMNQKSIDPLGSFFKGLCKFACYSKFEACGTIRNRDLLNSANVICTLSFDRNEDYIATAGISKRIKIFEFDAFMNDSIDIHYPVVEMSNKSKISCVCWNNYVKNYLASTDYDGVVQTWDAGTGQGLCQYNEHQKRAWSVDFSQADPTKFASGSDDCSVKLWSINEQKSSLGTLWSPANVCCVQFSSFSPHLLAFGSADYKVYCYDLRHSRIPLCTLAAHEKAVSYVKFLDSNTLLSASTDNTLKSWDLKKTCSDGSTTNTCCLTFSGHKNEKNFVGLTVLDGYIACGSETNEVYCYYRSLPMPITSYKFGSVDPISGHQTGDENGQFVSSVCWRQKSNMLVAANSTGSIELLKLV >KJB41480 pep chromosome:Graimondii2_0_v6:7:7970544:7977377:-1 gene:B456_007G106300 transcript:KJB41480 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1 [Source:Projected from Arabidopsis thaliana (AT2G46340) UniProtKB/TrEMBL;Acc:A0A178VSM9] MERDGQEMAANELPETAEFKRKDLIFPLKSEGHILMESLMNGLSRFAPQYPGTSMNETETETGIIVEELTVENYKSSTLSLTKNWNNLRQGQRERMYHNQRLEHEFLDDENANHVLLRAKEQLARMSYENHKSKDIDQTTGGMALHLKATDDHLGTSSNSLSVAAARLKMSSRPSFSQLFVKKGMKGKDIIRRDPHDQPCLLGIDRSTTDPCPNAISLREWLKLGSRKEDKVESLIIFRQIVELVDSAHLQGVVLQDLRPSCFCLLPPNRVIYTGQSVKQGLESAVNNDLKRKRDLEQGMNASNCRRGTKKLKHNENMQPPGYKTEFASPHGSKTEMQKNIGFYTSIKQHSTSFLNQPPTFHYATPSVVQSISAAIQMEERWYACPGELNGRSLTFSSNIYSLGVFLFELLYCFESMEQHSAMMSDLSQRILPPNFLSESPKEAAFCLWLLHPGPLSRPTTREILQSDLFCGSQEKISGNNLSESPGNDVAVSEILLHFLTKLEEQKQKRASKLMEEIRFLEEDIKEAKRRQALRTSSVFPQIQNGFPDAGKKWMHSENPGTSVAHCVPNLKSDVNDGWLSKNIWQLEHAYFSMRSQIHSSETAAAAVSDKDLLKKRGKLSESQSENGKLRMNQKSIDPLGSFFKGLCKFACYSKFEACGTIRNRDLLNSANVICTLSFDRNEDYIATAGISKRIKIFEFDAFMNDSIDIHYPVVEMSNKSKISCVCWNNYVKNYLASTDYDGVVQTWDAGTGQGLCQYNEHQKRAWSVDFSQADPTKFASGSDDCSVKLWSINEKSSLGTLWSPANVCCVQFSSFSPHLLAFGSADYKVYCYDLRHSRIPLCTLAAHEKAVSYVKFLDSNTLLSASTDNTLKSWDLKKTCSDGSTTNTCCLTFSGHKNEKNFVGLTVLDGYIACGSETNEVYCYYRSLPMPITSYKFGSVDPISGHQTGDENGQFVSSVCWRQKSNMLVAANSTGSIELLKLV >KJB41481 pep chromosome:Graimondii2_0_v6:7:7970615:7977377:-1 gene:B456_007G106300 transcript:KJB41481 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1 [Source:Projected from Arabidopsis thaliana (AT2G46340) UniProtKB/TrEMBL;Acc:A0A178VSM9] MERDGQEMAANELPETAEFKRKDLIFPLKSEGHILMESLMNGLSRFAPQYPGTSMNETETETGIIVEELTVENYKSSTLSLTKNWNNLRQGQRERMYHNQRLEHEFLDDENANHVLLRAKEQLARMSYENHKSKDIDQTTGGMALHLKATDDHLGTSSNSLSVAAARLKMSSRPSFSQLFVKKGMKGKDIIRRDPHDQPCLLGIDRSTTDPCPNAISLREWLKLGSRKEDKVESLIIFRQIVELVDSAHLQGVVLQDLRPSCFCLLPPNRVIYTGQSVKQGLESAVNNDLKRKRDLEQGMNASNCRRGTKKLKHNENMQPPGYKTEFASPHGSKTEMQKNIGFYTSIKQHSTSFLNQPPTFHYATPSVVQSISAAIQMEERWYACPGELNGRSLTFSSNIYSLGVFLFELLYCFESMEQHSAMMSDLSQRILPPNFLSESPKEAAFCLWLLHPGPLSRPTTREILQSDLFCGSQEKISGNNLSESPGNDVAVSEILLHFLTKLEEQKQKRASKLMEEIRFLEEDIKEAKRRQALRTSSVFPQIQNGFPDAGKKWMHSENPGTSVAHCVPNLKSDVNDGWLSKNIWQLEHAYFSMRSQIHSSETAAAAVSDKDLLKKRGKLSESQSENGKLRMNQKSIDPLGSFFKGLCKFACYSKFEACGTIRNRDLLNSANVICTLSFDRNEDYIATAGISKRIKIFEFDAFMNDSIDIHYPVVEMSNKSKISCVCWNNYVKNYLASTDYDGVVQTWDAGTGQGLCQYNEHQKRAWSVDFSQADPTKFASGSDDCSVKLWSINEKSSLGTLWSPANVCCVQFSSFSPHLLAFGSADYKVYCYDLRHSRIPLCTLAAHEKAVSYVKFLDSNTLLSASTDNTLKSWDLKKTCSDGSTTNTCCLTFSGHKNEKNFVGLTVLDGYIACGSETNEVYCYYRSLPMPITSYKFGSVDPISGHQTGDENGQFVSSVCWRQKSNMLVAANSTGSIELLKLV >KJB44927 pep chromosome:Graimondii2_0_v6:7:47896628:47898016:-1 gene:B456_007G279900 transcript:KJB44927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECEVLTEIVGKILESKLGELSKKASSPSFIFKAPRELRRVNESAFEPQIISIGPYHHGKPHLEAMESQKLHYLDSIRQQPEGLELFIDVYMSIEQFVWDSYAEHDLKKRDEFGAIIVLDGLFFVQLFRKVMDPKLREENDALFKQNLNLSIVAEDLLLLENQLPFRVLEMFGNKLGIDGATLRKEALIFFSETEPRQIGMLYEDDLIPMLYKEEIIIRNDEHLLGLVHDNWIPRQPQPKSELVPFHIIETSGLEETNSQTSLSKKRQQAEGNRKKAPVRKVKRRVPRLVIRSATELSERGIKFRKISDSCLFNIQFKRGILYIPTLIVDHDTDRMFRNLIAYEQFLDCLINYANDVALLCDCGVIENRLGSNEDVAKMINKLNEYVYLCTENFHYSPIFIEVNRHCGRQLTLWTVKLRQKYFNVPWGWVLASISAATLLLILSFLQTIFSALSYFNQSAR >KJB44154 pep chromosome:Graimondii2_0_v6:7:32347910:32348377:-1 gene:B456_007G2373002 transcript:KJB44154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PCFYIWSLLYY >KJB43909 pep chromosome:Graimondii2_0_v6:7:26628632:26630444:1 gene:B456_007G223200 transcript:KJB43909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKVVWPAISCSSSGLDSGLSVPLPKAGFVKLPLPAPLTSLGLSSGNNARLSSVQATEESTVVITDDHVAVISGENLHQMATNIVWHKSSVGKLHRQELLQQKGCVIWVTGLSGSGLWH >KJB43910 pep chromosome:Graimondii2_0_v6:7:26628632:26630669:1 gene:B456_007G223200 transcript:KJB43910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKVVWPAISCSSSGLDSGLSVPLPKAGFVKLPLPAPLTSLGLSSGNNARLSSVQATEESTVVITDDHVAVISGENLHQMATNIVWHKSSVGKLHRQELLQQKGCVIWVTGLSGSGKSTLACALCQALYSRGKLTYILDGDNVRHGLNRDLTFKAEDQAENIRRMGKCT >KJB41229 pep chromosome:Graimondii2_0_v6:7:7044019:7048198:1 gene:B456_007G095900 transcript:KJB41229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLNLPASKRNPRQWKLLDIITAIFFGLVLLFFLLVFTPLGDSMAASGRQALLLSTSDPRQRHRLVSLVELGHHHKPIEACPANSVDHMPCEDPRRNSQLSREMNLYRERHCPLPDEMPLCLIPPPPGYKIPVQWPESLHKIWHSNMPHNKIADRKGHQGWMKEQGPHFIFPGGGTMFPDGAAPYIEKLGQYIPLTGGTLRTALDMGCGVASFGGSLLSEGILALSFAPRDSHKAQIQFALERGIPAFVLMLGTRRLPFPAFAFDFIHCSRCLIPFTAYNATYFIEVDRLLRPGGYLVISGPPVQWPKQDKEWADLQAVARALCYELIAVDGNTVIWKKPDGDSCLPNQNEFGLESCDESNDPSNAWYFKLRRCVTSTSSVNGEHPVGIIPKWPDRLTRAPSRALVVKNGIDLFRADTRRWTRRVAYYKNTLNLKLGSPAVRNVMDMNAFFGGFAAALVSDPVWVMNVVPARKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDFIHVAGIESLIKLPGSSKSRCNLVDLMVEMDRMLRPEGTVVIRDSPEVIDKVARIAHAVRWTATINDKEPESHGREKILVATKTFWKLTSSH >KJB41230 pep chromosome:Graimondii2_0_v6:7:7044158:7046873:1 gene:B456_007G095900 transcript:KJB41230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLNLPASKRNPRQWKLLDIITAIFFGLVLLFFLLVFTPLGDSMAASGRQALLLSTSDPRQRHRLVSLVELGHHHKPIEACPANSVDHMPCEDPRRNSQLSREMNLYRERHCPLPDEMPLCLIPPPPGYKIPVQWPESLHKIWHSNMPHNKIADRKGHQGWMKEQGPHFIFPGGGTMFPDGAAPYIEKLGQYIPLTGGTLRTALDMGCGVASFGGSLLSEGILALSFAPRDSHKAQIQFALERGIPAFVLMLGTRRLPFPAFAFDFIHCSRCLIPFTAYNATYFIEVDRLLRPGGYLVISGPPVQWPKQDKEWADLQAVARALCYELIAVDGNTVIWKKPDGDSCLPNQNEFGLESCDESNDPSNAWYFKLRRCVTSTSSVNGEHPVGIIPKWPDRLTRAPSRALVVKNGIDLFRADTRRWTRRVAYYKNTLNLKLGSPAVRNVMDMNAFFGGFAAALVSDPVWVMNVVPARKPLTLGVIYDRGLIGVYHDW >KJB41231 pep chromosome:Graimondii2_0_v6:7:7044158:7047462:1 gene:B456_007G095900 transcript:KJB41231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLNLPASKRNPRQWKLLDIITAIFFGLVLLFFLLVFTPLGDSMAASGRQALLLSTSDPRQRHRLVSLVELGHHHKPIEACPANSVDHMPCEDPRRNSQLSREMNLYRERHCPLPDEMPLCLIPPPPGYKIPVQWPESLHKIWHSNMPHNKIADRKGHQGWMKEQGPHFIFPGGGTMFPDGAAPYIEKLGQYIPLTGGTLRTALDMGCGVASFGGSLLSEGILALSFAPRDSHKAQIQFALERGIPAFVLMLGTRRLPFPAFAFDFIHCSRCLIPFTAYNATYFIEVDRLLRPGGYLVISGPPVQWPKQDKEWADLQAVARALCYELIAVDGNTVIWKKPDGDSCLPNQNEFGLESCDESNDPSNAWYFKLRRCVTSTSSVNGEHPVGIIPKWPDRLTRAPSRALVVKNGIDLFRADTRRWTRRVAYYKNTLNLKLGSPAVRNVMDMNAFFGGFAAALVSDPVWVMNVVPARKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDFIHVAGIESLIKLPGSSKSR >KJB41232 pep chromosome:Graimondii2_0_v6:7:7044158:7048109:1 gene:B456_007G095900 transcript:KJB41232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLNLPASKRNPRQWKLLDIITAIFFGLVLLFFLLVFTPLGDSMAASGRQALLLSTSDPRQRHRLVSLVELGHHHKPIEACPANSVDHMPCEDPRRNSQLSREMNLYRERHCPLPDEMPLCLIPPPPGYKIPVQWPESLHKIWHSNMPHNKIADRKGHQGWMKEQGPHFIFPGGGTMFPDGAAPYIEKLGQYIPLTGGTLRTALDMGCGVASFGGSLLSEGILALSFAPRDSHKAQIQFALERGIPAFVLMLGTRRLPFPAFAFDFIHCSRCLIPFTAYNATYFIEVDRLLRPGGYLVISGPPVQWPKQDKEWADLQAVARALCYELIAVDGNTVIWKKPDGDSCLPNQNEFGLESCDESNDPSNAWYFKLRRCVTSTSSVNGEHPVGIIPKWPDRLTRAPSRALVVKNGIDLFRADTRRWTRRVAYYKNTLNLKLGSPAVRNVMDMNAFFGGFAAALVSDPVWVMNVVPARKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDFIHVAGIESLIKLPGSSKSRCNLVDLMVEMDRMLRPEGTVVIRDSPEVIDKVARIAHAVRWTATINDKEPESHGREKILVATKTFWKLTSSH >KJB44125 pep chromosome:Graimondii2_0_v6:7:31360881:31364028:-1 gene:B456_007G235800 transcript:KJB44125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGALKEAKVPLVEDLGPAEGLKGEQDDQNQSLRRRVWIESRKLWQIVGPAIFSRLASYSMLVITQAFAGHLGDLELAAISIANNVIVGFDFGLLLGMASALETLCGQAFGAKKYYMLGVYMQRSWIVLFLCCILLLPLYLFASPALKLLGQPQDVAELSGIVSMWMIPLHFSFAFQFPLQRFLQSQLKNMVIAWVSLVALLVHIFISWVFVYRLQLGVVGTAVTLNFSWWILVLGLFAYTVCGGCPLTWTGFTIEAFSGLWDFIKLSAASGVMLCLENWYYRILILMTGNLQNAEIAVDALSICMSINGWELMIPFAFFAGIGVRVANELGAGNGEGAKFATIVSVVTSVIIGIFFWLLIMIFHDKFALIFSTSQPVLEAVSKLSLLLAFTILLNSVQPILSGVAVGSGWQSYVAYINLGCYYLIGVPLGFLMGWVFHLGVMGIWAGMIFGGAAVQTAILAFITMRCDWEKEAEKARLHVRKWSAIN >KJB43984 pep chromosome:Graimondii2_0_v6:7:27475654:27475887:-1 gene:B456_007G227700 transcript:KJB43984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHYIMCSPLLNEDKYSNWKMRMKFFVQTFDYGAWKVTTRGPFEVPKEEERRDANDKAKAQQTTNATHILFCGLNG >KJB43537 pep chromosome:Graimondii2_0_v6:7:21138831:21139794:1 gene:B456_007G205100 transcript:KJB43537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKISRVFFPSFQSCRSKNPSDFPNPMFNSLHLPPPTAQTICSSETDCCNDSPPRPTPEFHWEREDRRHVITKVHDDDHTPRRKIYNISENDDDFFISRSKPLNMEMKRKRRYKKKKTTPSFDDEETETVVSSSGSFSADSFSEFNANLESIRETMPMRHGKRRKKNKKVKKSTRRNEMMMQEMSESPARLWSFLQWVVVPCTVEGKVRESFAVVKKSEDPYKDFNRSMMEMILQKQLFEDEDLEQLLHCFLSLNSRHHHGTIVQAFTENWEALFSRRSTSVGVSCALN >KJB45969 pep chromosome:Graimondii2_0_v6:7:56663244:56666938:-1 gene:B456_007G341200 transcript:KJB45969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMVEDSSFEEDQLASMTTEDIVRASRLLDNEIRILKEEMQRTNLELDSYKEKVKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGVRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >KJB45970 pep chromosome:Graimondii2_0_v6:7:56663401:56666923:-1 gene:B456_007G341200 transcript:KJB45970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMVEDSSFEEDQLASMTTEDIVRASRLLDNEIRILKEEMQRTNLELDSYKEKVKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGVRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKV >KJB40295 pep chromosome:Graimondii2_0_v6:7:4148669:4152731:-1 gene:B456_007G058200 transcript:KJB40295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIAIRRIDNSASRQVTFSKRRKGLIKKAKELAILCDAEVGLVIFSSSGKLYEFASTSMKSVIERYNTLKEEHQQLSNPSSEVKFWQREAAILKQQLQNLQDNHRQLMGEQLYGLRVEDLQNLENQLEMSLKGVRMKKERILTNEIEELNRRGNLIHQENVELFKKVNLIRKENMELHKKVYGTRYENGNAVSSYVFDSGEGSNVPIHLQLSQPERYKD >KJB44674 pep chromosome:Graimondii2_0_v6:7:43916885:43917832:-1 gene:B456_007G264700 transcript:KJB44674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAMVGRYWCYICSQMVNPTTEPEIKCPLCESGFVEEMSSIRHYPNTNGIDLASVNNLSLLVPILLGSIGSLGGLQLRIAGRDQINDNNSSQDALGDEFGSEFEALLRRRRSSALENPENGRERSNIMILSDPFNDEALIAQASFGDYLIGQGWDLLLQYFSENNLSRYGTPPAVKEAIEAMPNVTMDDNLQCSICLEDIKIGCEAKEMPCKHKFHNGCITPWLEHHSSCPVCRFQLPWDDSRLEVNFTIDSEGRVGIVDVRSGNRLGTGRRYWIPIPLPYDRLLALPGSQSGSASASSSEEPGSESSPQTDGI >KJB41709 pep chromosome:Graimondii2_0_v6:7:9098479:9102482:1 gene:B456_007G116300 transcript:KJB41709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSRGLILCMNSLRQIQVAKSNPHPRNQRSFLLPAIPNIFLSFCRYYSTELQPQLSTDLLKIMEQRLVAIEHRSAFLENFMNQPEASPTEYSKANKELHKLRDSMDLINELRTKQKEIDSLRSLMAECPNDKDMLEMATEDLGKALEEEKRLQNLLLKSLLPRDDADERDCILEVRAGTGGEEASLFAMDVFKMYERYSQKKGWKFEVVDIAESDLKGYKEASAAISGAGVYGKLKFESGIHRVQRVPVTEKSGRIHTSAVSIAVLPQADEVDVRLRNEDLRIDTYRSGGSGGQHANTTNSAVRVTHFPTGITVTIQDERSQHMNKAKALKVLCAKLYEMERSRIQTSRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITYHAIDDVMQGENLDVFVDALLLQQEMDAIATFSSDQ >KJB43012 pep chromosome:Graimondii2_0_v6:7:16833098:16836517:-1 gene:B456_007G179700 transcript:KJB43012 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 4, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G21740) UniProtKB/Swiss-Prot;Acc:Q9LSZ0] MPMAFRGHWRSYSSKIDLKKLRPMILKRIENRAKDYPVPGMIPVAQEVLKAREMLFQGVLTLIKLFPVVACKFCAEVYIGEKGHLIQTCHGYRRIGKNRVHEWVRGDLTDILVPVETFHLHNMFQGVINHQKRFDFDRVPAVVELCMQAGAAVTDEILRSGSLTTHEFSGSISGIESLSDDDIRVIANGTLRAWETLRSGVKKLLLVYPAKVCKYCSEVHVGPSGHLARHCGVFRYESWRGTHYWMKARVDNLVPPKIVWRRRPQDPKVLLDEGRNYYGHAPAVVDLCSQAGAIVPSKYLCMMKVSGLPGPIGVKPKSFANLNLSGGGVKG >KJB43440 pep chromosome:Graimondii2_0_v6:7:20404615:20406645:1 gene:B456_007G200200 transcript:KJB43440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVWNELPSFSTLLSAYASISAMAMLIRTILNEMVPERMRNYITSKIYDLTAAYFSPEFTFVIEDRWHASDNQMFRAAELYLPTIIGPSSDSILVGFDESSDPTEPPKRSIPVDCTITDDFQGIRLKWTLSSIETKKYYVPNKRFFSLTCKKSDRERVEQRYFPYINKTAQAILSKSESLNIYTYDQDCSKWEPAVFKHPATFDTLAMEPDLKEFIMKDLDSFVERKEFFENVGRAWKRGYLLYGPPGTGKSSLVAAIANYMRYNVYDLQFQSVRNDSDLRRVLTSTTNRSILLIEDIDCSTKVTNDRTKVKENCEEEENDELKHPYGTDPGVTLSGLLNFIDGLWSSCGNERIIIFTTNHKEKLDPALLRPGRMDVHIYMGYCSPAGFRKLAASYLGIKDDKLFDRIDDMIKSVEVTPAEVAQQLMISNEPKVALESLIEFLNTKKDIEEAAVEEKEKSNND >KJB46657 pep chromosome:Graimondii2_0_v6:7:58714012:58719479:-1 gene:B456_007G3561001 transcript:KJB46657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITMGSASNLVTGVVGYLFQKIKRNFSYVFHHRTMVLDFEKKVEMLKDKRDRVLLDVDAAQNNGETIYPDVNNWLMKVDDMIISELNKVKGLEDEAKNKCFIGLCPNFKAFYQLSKKAEEDAGAVDELLQQGGFDKISYRDVPQPIVVVPPKDFEDFNSRKLVFNKIMEAVKDPNVNIIGVHGMPGVGKTTLVKEVVRQVKEDKLFDSVVMAVVTYTPDVQKIQDQIADMLGLKFEEQSMSGRASRLCQRLKKEKKILVVLDDIWAKLDLMEVCTILLTSRDRNVLRKDMDAKESFPLGVLTHEEAWNFFKNIARDGVESHDLPPIAIEVAKRCGGLPIAIRTLATPLKNEPPFAWEDALQQLNGPPSSNFKGVPAAVCSSIEWSYDRLPNEEHKQTFLLCGLIGHNALFDELLMYAMGLGLFHGLNTVEKTWIRLLTVVSHLKACCLLVDSYSNQRFDMHDLISDVAISIDSKGNHVFSLRPQDVLDDWPDDETMKEYDKIYLPYGSISELPDQLKCPKLSFFGIGSKNPLLKIPTNFFKETKNLKVLILACLNLPSLPSSISLLANLRTLCLMYCALGDIALIGELKNLEILSFEGSEIEMLPEEIGQLTKLKWLGLIDCSKLKRIPPGVFCKLSRLEELYMSNSFNEWEAEGQSSQQSNFSLAELKALSCLTSLEIHIPNANTIPKDFSFEKLQRYIFFIGEASHWDWNWGWVRENSRTLKLSLQTSISFLNNGVKVLLKKAENLYIDEVKDVEILLQESEVGDYFRQLKNLHIQNGAMIQYIFKDNDDVDKIEFQLEILELHGLPKLISFCSKNKGSTSTSPQETTLFEQKTQFPKLKELVLSSISIERIWLLQGFCSTQNLTTLIIEGCDNLKHVLSDSMVEYLQQLECLEISECKGIHEIISKEKIIKEAFRTRYLICFPRLNSLKLKGLQNLIGFCHEDYTVEFPTLKILEIENCPQLKGFIHNSTNFKGRWAGDLDSTVEQLYKEQ >KJB42399 pep chromosome:Graimondii2_0_v6:7:12810349:12812068:1 gene:B456_007G150700 transcript:KJB42399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFTCSAADLRPLLGTAANATAAAEYICNAFDTVSNKLVAATYAIDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAATGSLFYYLFGFALAFGSPSNGFVGRHFFGLEKYPSPSGDYSFFLYQWSFAIAAAGITSGSIAERTQFVAYLIYSSFLTGLVYPIVSHWFWSTDGWASPVREGHLLFGSGVIDFAGSGVVHMVGGIAGLWGALVEGPRMGRFDGDGKPVLLRGHSGTLVVLGTFLLWFGWYGFNPGSFLSILKTYEHTNYSSYYGQWSAIGRTAVTTTISGSSAALTTLFGKRLLTGHWNVTDVCNGLLGGFAAITGGCSVVDPWAAIICGFIAAWVLIGCNKLAEMLKYDDPLEAAQLHGGCGAWGIIFTALFAKKDYVNEIYPGKPGRPHGLLMGGGARLLAAHLIQILVVIGWVSVTMGSVFWLLKVFGLLRISADEEMAGLDVTSHGGLAYAYHDENNDQSEKNSFPIANMDGSNA >KJB41844 pep chromosome:Graimondii2_0_v6:7:9921835:9926093:1 gene:B456_007G124100 transcript:KJB41844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESRFRVSLHHLVTGYAGLVSARKIVLSLTCIQPKGFESLKRSVHTSNNDDDFAELGLPVERVGGVIAKLMTVRPQHFVKINGTKKKLNGSPFKEDSCLNIKDVSLKVGNSFVPSLDLENNNSGRSTFRTSSSVTIKNAPSIIDFLELKEAISVFGKVIKVSRRPGTYGLDNWDIEFKRLKSSKKALSVGYITVKKMHLRIWPLQSLETVIVRISNISLETADSTIHSACKLCGSLKGLVRMKEGVVDALFSLKGETDTKSILKKLNSTVVDESKWSAHLQQSESPSMTMTENGNAEGDLGFKIG >KJB41846 pep chromosome:Graimondii2_0_v6:7:9921844:9926084:1 gene:B456_007G124100 transcript:KJB41846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRPQHFVKINGTKKKLNGSPFKEDSCLNIKDVSLKVGNSFVPSLDLENNNSGRSTFRTSSSVTIKNAPSIIDFLELKEAISVFGKVIKVSRRPGTYGLDNWDIEFKRLKSSKKALSVGYITVKKMHLRIWPLQSLETVIVRISNISLETADSTIHSACKLCGSLKGLVRMKEGVVDALFSLKGETDTKSILKKLNSTVVDESKWSAHLQQSESPSMTMTENGNAEGDLGFKIG >KJB41845 pep chromosome:Graimondii2_0_v6:7:9922653:9926052:1 gene:B456_007G124100 transcript:KJB41845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRPQHFVKINGTKKKLNGSPFKEDSCLNIKDVSLKVGNSFVPSLDLENNNSGRSTFRTSSSVTIKNAPSIIDFLELKEAISVFGKVIKVSRRPGTYGLDNWDIEFKRLKSSKKALSVGYITVKKMHLRIWPLQSLETVIVRISNISLETADSTIHSACKLCGSLKGLVRMKEGVVDALFSLKGETDTKSILKKLNSTVVDESKWSAHLQQSESPSMTMTENGNAEGDLGFKIG >KJB41181 pep chromosome:Graimondii2_0_v6:7:6904287:6907752:1 gene:B456_007G094000 transcript:KJB41181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVRFICSTLTYQLLLLFLIILKTVSSKEEETKNFYIVYLGDGPLSQASAARKHLSLLSSVKASEHDAKESMIYSYTKSFNAFAAKLSKDEAEMLMEVDEVVCVLPNRYHKLHTTKSWDFIGFPQTAKRNLKLEKNIVVGMLDTGITPQSDSFKDDGFGPPPHNWKGTCHHFQNFSGCNNKLVGAKYFKLDGNPDPADILSPIDVDGHGTHTSSTLAGNLVHNASLFGLAKGTARGAVPSARIAIYKVCWVSSGCADMDILAAMDDAITDGVDVISISIGGATEDFVTDSISVGAFHALKKGIITVASAGNDGPSLGSLSNNSPWLLTVAATGIDRQFRSAVKLGNGQSFSGIGINLFGANEKFYPIVSGADVSMNAGSKELARFCYGNTLDPAKVKGRLVYCMLGQFDADSVVKGIGGIGTVVESEQYLDTARIFMAPATVVNMTVGETIDKYIHSARSASAVIYGSHEVKVSAPFAASFSSRGPNPGSQHLLKPDIAAPGVDILAAYTLMNSLTGLKGDTQHSKFTLMSGTSMACPHVAGVAAYVKSFHPTWTPAAIRSAIMTTAKPMSRRVNKDAEFAYGAGQLNPTKAINPGLIYDMDEMSYIQFLCHEGYSGSSIAHLVGTKSINCSSLLPGFGYDALNYPSMQLNMKNGKQQSVGVFMRRVTNVGPALVYNATIKAPKGVEITVKPKSLIFSRSLQKRSFKVVVKAKPVASTAFTVLSASLVWKSTRHTVRSPIVIYTLQD >KJB43163 pep chromosome:Graimondii2_0_v6:7:18001090:18004051:-1 gene:B456_007G187300 transcript:KJB43163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLTDLVNQNLSDCTDKIIAEYIWVGGSGIDLRSKARTLSGPVSDPSKLPKWNYDGSSTGQASGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGDPIPTNKRCAAAKIFSHPDVVAEEPWYGIEQEYTLLQKDVKWPIGWPVGGFPGPQGPYYCGVGVDKAFGRDIVDSHYKACLYAGISISGINGEVMPGQWEFQVGPTVGISAGDELWVARYVLERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRCDGGYEVIKKAIEKLGARHTQHIAAYGEGNERRLTGRHETADINTFIWGVANRGISIRVGRDTEKAGKGYFEDRRPASNMDPYIVTSMIAETTILWKP >KJB45040 pep chromosome:Graimondii2_0_v6:7:49289637:49293488:-1 gene:B456_007G286800 transcript:KJB45040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14U [Source:Projected from Arabidopsis thaliana (AT5G27950) UniProtKB/Swiss-Prot;Acc:Q8W0Y9] MLISSETEEITLPSTEVKECSTSSPVGSNPDSLNGLPPPPDLPDSPSLSTIFCDVNVVPEHEKSQLEQSIHKLQGEIAELRLMLRSIDEKRRETLNKIIDIKGSIRVFCRVRPFLWTDKRRTPEPILSELDRVIVTSSGSRKEFGFDKIFHQEANQDDVFVDVEPILRSALDGHNVSILAYGQTGTGKTFTMDGTTVQPGIVPRALKELFQQVSSDKSFSYTFSMSMLEVYMGNLRDLLASKPALRTNETVSRCNLNIQTDPKGSVEIEGLTQVEIPDFAKARWWYTKGRRARSTSWTNVNEASSRSHCLTRIVISRRGDTPEAKPKISKLWMVDLGGSERLLKTGATGQTLDEGRAINLSLSALGDVIAALRRKKGHVPYRNSKLTQILKDSLGVGSKVLMLVHISPCQEDLEETICSLSFAKRAKAVDSSRGLPEDLKKLREKRISELEENMREVEEECQKLANQISKAEFLLSENRKLYSTAYGVPDESAEKNPENHEEDAKTHVIETPRVTQKPIQQSIHRSLPRFMSSTVASRERQSAAEKDIVTRARTFRPRARSSTQLSGFQSLSFSDNRFGSLLRHSVKNSRFKDTNGPAIESPKCNDSSSLKTTSLSRSKVVTSSDPNLRVKLGHHRRRMSDFV >KJB42715 pep chromosome:Graimondii2_0_v6:7:14529614:14531378:1 gene:B456_007G165000 transcript:KJB42715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSGLPAPSEGVLCVVQSILHIVGIASSSPSSDSVENPSESFEFSPTTCDSYMEEFRNRTPAMRFDAICSCKQPEYECSVCLTRFEPESEVNRLTCGHLFHKVCLEKWLDYQKVTCPDCRTPLLHEQEASCIW >KJB42718 pep chromosome:Graimondii2_0_v6:7:14529856:14531344:1 gene:B456_007G165000 transcript:KJB42718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSGLPAPSEGVLCVVQSILHIVGIASSSPSSDSVENPSESFEFSPTTCDSYMEEFRNRTPAMRFDAICSCKQPEYECSVCLTRFEPESEVNRLTCGHLFHKVCLEKWLDYQKVTCPDCRTPLLHEQEASCIW >KJB42717 pep chromosome:Graimondii2_0_v6:7:14529594:14531416:1 gene:B456_007G165000 transcript:KJB42717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSGLPAPSEGVLCVVQSILHIVGIASSSPSSDSVENPSESFEFSPTTCDSYMEEFRNRTPAMRFDAICSCKQPEYECSVCLTRFEPESEVNRLTCGHLFHKVCLEKWLDYQKVTCPDCRTPLLHEQEASCIW >KJB42716 pep chromosome:Graimondii2_0_v6:7:14529856:14531344:1 gene:B456_007G165000 transcript:KJB42716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSGLPAPSEGVLCVVQSILHIVGIASSSPSSDSVENPSESFEFSPTTCDSYMEEFRNRTPAMRFDAICSCKQPEYECSVCLTRFEPESEVNRLTCGHLFHKVCLEKWLDYQKVTCPDCRTPLLHEQEASCIW >KJB46407 pep chromosome:Graimondii2_0_v6:7:60014741:60015371:1 gene:B456_007G367600 transcript:KJB46407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWSYPPTAKQLTVTIGFCLTGASFIAAGAYLSFVNIGPQQERVKARSQYVKDRLRKMLDD >KJB46301 pep chromosome:Graimondii2_0_v6:7:58921218:58925345:1 gene:B456_007G357500 transcript:KJB46301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTGEAALSAFLELLGGKLLDSALNFVADHKQLHPQLKQWQSILPDIQAVLDDAEEKQIKIEGVKKWLNDLQDLAYDVDDILDEFAYEQLRLKLHKPHTQASTSKVRKLIPSCFTSCNFTPTSFQFKNSMIPKVKEITDRLNSLTTRRSSLGLSEILSQAPTSKGKQPRLQPTSVMDEAVEYVGRHKEKHEMVELLKGDNSNGVSVLSIVGMGGMGKTTLAQLVYNDATINESFDHKAWVCVSDNFDAVYITRTILKSIDCDSPDDNDLNLLQVKLKEKLSGKRFLLVLDDIWNENYDDWTILRSPFGARTKIIVTTRLQIVSSIVDSLKVFHLDKLSDDDCLSIFTHHALKARNFDGHIQFKKIGEKIVRRCNGLPLAAKAIGSLLRTVKDYAEWERIYESEIWNLPEEQCGIIPALRLSYHHLPSYLKRCFAYCSILPKDYEFEEEEIILLWRAEGLLQQKAMPQIKDTGNQYFQDLVSRSFFQISSKDKFRFVMHDLINDLAQVVAGDTCSKLEGDKQQRFSNRTRHSSYIVGRFGIFEAFNQVKSLRTFLPLQLSCFRWPYLTDVLLVDLLPRLGYLRVLSLSGYEIFELPDVFENLIHLRYLNFSNTNIKCLPDSLCTLYHLETLLLKGCFKLQKLPSKMGNLVNLHYLDIRGANSIERMPFGFDKLTNLQRLSDFIIREGDSCHMRDLKYLSNLKGDFRLSGLENVNCQDAREAKLNEKKGIDRLVLHWSKKFEKDSRNKEDEERVLDSLCPPIKLEQLVIENYGGAKFSTWIADSSFKNMVSLGLHNCKNCKSLPSIARLSFLKDLSICGLDEVHKIGVELFGANQSNAFASLETLYFCDLPNWEEWDPCECDEQTSKFPSLRELSILECPKLLGRLPTLLQSLQILIICECKRLVVSISSFSSLCDLRIYGCEELVDEGSSSVQEVTSLKSVTLKNISKFDISAEKAMLRFANSEAFDISGWKELESLSQNGLSLVGHRFIAIADCPQLVSLETEEESLQLDKIPGVESLEIRDCERLNRLPEILHAFPFITRIKLENCPGLVCFAKRNFPPALKELEICECENLQYLVDENVNNKIMSSNTCLLEHLEIRDCPSLIWLSSRGDICNRLQHLEIESGSKLRSLFLNSKLPVMLKRLVILDCPLLECIAQNFHETVDLESIRISNAENIKSLLQEIEVDGCLSDHCENFRALPKCINNFTSLRELKVRESSADLSFTEEGFPTNLASLEILNAPKIYTSLVEWGLNRFTSLQELQISGEGCSSLMSFPEEGIGMTLPPSLTSICIENFKNLEYMCSKGFQHLTSLRKLRFYACPKLTSLPEKDMLLSLDSLYIWGCPLLEEVCSRGKGREWSKIAHIPFVFIQGKRV >KJB39052 pep chromosome:Graimondii2_0_v6:7:5817097:5818665:-1 gene:B456_007G081400 transcript:KJB39052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVQDQLEIKFRLTDGSDIGPKTFPAATSVATLKESVLAQWPKEKENGPRTVKDVKLISAGKILENNKTLGECQSPLCDIPGGLTTMHVVVQPPSEKEKKTTNQAKQNKCVCVIL >KJB39050 pep chromosome:Graimondii2_0_v6:7:5816486:5819542:-1 gene:B456_007G081400 transcript:KJB39050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVQDQLEIKFRLTDGSDIGPKTFPAATSVATLKESVLAQWPKEKENGPRTVKDVKLISAGKILENNKTLGECQSPLCDIPGGLTTMHVVVQPPSEKEKKTTNQAKQNKCVCVIL >KJB39051 pep chromosome:Graimondii2_0_v6:7:5816486:5819542:-1 gene:B456_007G081400 transcript:KJB39051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVQDQLEIKFRLTDGSDIGPKTFPAATSVATLKESVLAQWPKGKILENNKTLGECQSPLCDIPGGLTTMHVVVQPPSEKEKKTTNQAKQNKCVCVIL >KJB39049 pep chromosome:Graimondii2_0_v6:7:5815970:5819549:-1 gene:B456_007G081400 transcript:KJB39049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVQDQLEIKFRLTDGSDIGPKTFPAATSVATLKESVLAQWPKEKENGPRTVKDVKLISAGKILENNKTLGECQSPLCDIPGGLTTMHVVVQPPSEKEKKTTNQAKQNKCVCVIL >KJB44504 pep chromosome:Graimondii2_0_v6:7:41654898:41659151:-1 gene:B456_007G256500 transcript:KJB44504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35220) UniProtKB/Swiss-Prot;Acc:Q949Y5] MGTLTSFSFNAVNLRFTSSSIFRQRVGVQVFGSRKLNTKLCYLIPKEVSREKFLKFRCFCATNNSNNDADYTQNDGEIKEDSSNTASSTESTVTTASPPEDKAVQEKRTSNELPPSLSSRPPNIAPLGSVYNDFQIDSFKLMELLGPEKVDPADVKLIKDKLFGYSTFWVTKEEPFGDLGEGILFLGNLRGKREDVFTKLQTQLAEIVGDKYNLFMVEEPDSEAPDPRGGPRVSFGLLRKEVSEPGPTTLWQYVIAILLFLLTIGSSVELGIASQINRLPPEVVKYFTDPNAVDPPDMELLYPFVESALPLAYGVLGVLLFHELGHFLAAFPKKVKLSIPFFIPNITLGSFGAITQFKSILPDRSTQVDISLAGPFAGAALSFSMFAVGLLLSSNPDAAGDLVQVPSMLFQGSLLLGLISRATLGYAAMHAATVSIHPLVIAGWCGLTTTAFNMLPVGCLDGGRAVQGAYGKGALVGFGLTTYTLLGLGVIGGPLSLPWGLYVLICQRTPEKPCLNDVTEVGTWRKTAVTVAVFLVVLTLLPVWDELAEELGIGLVSTF >KJB40841 pep chromosome:Graimondii2_0_v6:7:5681458:5683324:1 gene:B456_007G079900 transcript:KJB40841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEWYFFCVRDRKYPTGLRTNRATDAGYWKATGKDKEIFKGKSLVGMKKTLVFYKGRAPKGQKTNWVMHEFRLEGQYSVYNLPKTAKNEWVICRVFQKSPNGKKVHISGLPPLMDPSPHTSETRTVVGAGETSNVTCFSDPIEDRKAVEEMMDSFDTSLVPCSSSSVNSLQKASYTTNQIKSNMGNLQYPDCFWIQEPSLLKTLIQSQGGRPKQNLKLEFSQDSAVSNPEMIQLPACSAGAIDLGYFWGY >KJB40842 pep chromosome:Graimondii2_0_v6:7:5681458:5683324:1 gene:B456_007G079900 transcript:KJB40842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVSSFRNEDEQMELPPGFRFHPTDEELITHYLSKKVVDSFFSAIAIGEVDLNKCEPWDLPWRAKMGEKEWYFFCVRDRKYPTGLRTNRATDAGYWKATGKDKEIFKGKSLVGMKKTLVFYKGRAPKGQKTNWVMHEFRLEGQYSVYNLPKTAKNEWVICRVFQKSPNGKKVHISGLPPLMDPSPHTSETRTVVGAGETSNVTCFSDPIEDRKAVEEMMDSFDTSLVPCSSSSVNSLQKASYTTNQIKSNMGNLQYPDCFWIQEPSLLKTLIQSQGGRPKQNLKLEFSQDSAVSNPEMIQLPACSAGAIDLGYFWGY >KJB41954 pep chromosome:Graimondii2_0_v6:7:10410682:10410987:1 gene:B456_007G1296001 transcript:KJB41954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFTMQPLPLRAAQDLIRFLHQPVYHSQRFKLWLSYFEIYGGKLFDLLSDRK >KJB42314 pep chromosome:Graimondii2_0_v6:7:12517958:12523187:1 gene:B456_007G148000 transcript:KJB42314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKKAGTEELEAFYPIRPECVAEIPKTRFKPRAGKTLSARRWQAAFSETGCLDIAKVLRRIQRGGIHPSIKGLVWEFLLGCYNPNSTLEDRNQLRQQRRERYSMWKTECQNMVSVIGSGNFITTPIITDDGQPIEVEGCRVTSAVSDKKVAQWMLILHQIGLDVVRTDRALAFYEDKANQAKLWDVLSIYSWVDDDIGYVQGMNDICSPMVILLENESDAFWCFERAMRRLRENFRCSTTSIGVQSQLGTLSQVIKTVDPQLHKHLEDLDGGEYLFAFRMLMVLFRREFSFVDALYLWEVMWAMEYNPNIFWLYEQPNGASDSNSGQLNQKMLKQYGKFQRKNLETGCADKNNALAVFLVASVLETKNKQILKEAKGLDDVVSILGDITGNLDAKKACQEALKLQNKYLKKAKRP >KJB42315 pep chromosome:Graimondii2_0_v6:7:12518706:12523187:1 gene:B456_007G148000 transcript:KJB42315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTECQNMVSVIGSGNFITTPIITDDGQPIEVEGCRVTSAVSDKKVAQWMLILHQIGLDVVRTDRALAFYEDKANQAKLWDVLSIYSWVDDDIGYVQGMNDICSPMVILLENESDAFWCFERAMRRLRENFRCSTTSIGVQSQLGTLSQVIKTVDPQLHKHLEDLDGGEYLFAFRMLMVLFRREFSFVDALYLWEVMWAMEYNPNIFWLYEQPNGASDSNSGQLNQKMLKQYGKFQRKNLETGCADKNNALAVFLVASVLETKNKQILKEAKGLDDVVSILGDITGNLDAKKACQEALKLQNKYLKKAKRP >KJB42313 pep chromosome:Graimondii2_0_v6:7:12518207:12523165:1 gene:B456_007G148000 transcript:KJB42313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKKAGTEELEAFYPIRPECVAEIPKTRFKPRAGKTLSARRWQAAFSETGCLDIAKVLRRIQRGGIHPSIKGLVWEFLLGCYNPNSTLEDRNQLRQQRRERYSMWKTECQNMVSVIGSGNFITTPIITDDGQPIEVEGCRVTSAVSDKKVAQWMLILHQIGLDVVRTDRALAFYEDKANQAKLWDVLSIYSWVDDDIGYVQGMNDICSPMVILLENESDAFWCFERAMRRLRENFRCSTTSIGVQSQLGTLSQVIKTVDPQLHKHLEDLDGGEYLFAFRMLMVLFRREFSFVDALYLWEVMWAMEYNPNIFWLYEQPNGASDSNSGQLNQKMLKQYGKFQRKNLETGCADKNNALAVFLVASVLETKNKQILKEAKGLDDVVSILGDITGNLDAKKACQEALKLQNKYLKKAKRP >KJB45106 pep chromosome:Graimondii2_0_v6:7:49552820:49555609:-1 gene:B456_007G289300 transcript:KJB45106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPPTRSPELRKDPVTNQWVIFSPARAKRPSDFKSKSPENPNNNSSSCPFCIGNEHQCAPEIFRVPPDPNWKLRVIENLYPALSRKLDHPNGQNDDSSSELSGLGWVVAGFGFHDVVIETPVHSVQLSDLDPSKIGDVLIAYKRRIEQIKQFDSIKYIQVFKNHGASAGASMSHSHSQMLSLPIVPPSVSTRLNSMKEHFNQTGKCSLCQVQSKDLLINETSHFFSIAPYASSFPFEIWIIPRDHSSHFDELDNKKAVDLGGLLKLILKKMALQLNNPPFNFMIHTSPIQVTDSELPYSHWFLQIIPQLTGVGGFELGSGCYINPVFPDDAAKILRELNVPI >KJB44465 pep chromosome:Graimondii2_0_v6:7:41278759:41279981:1 gene:B456_007G254800 transcript:KJB44465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDMCNSSLVSTSHDVEESWDDEFQQLVQTFPKDKSFTGMTLYFFQGFWCPSIILKDVISCQKHFQAFDSNIIIATLPKCGTTWLKALTFSTLYRNQFARENNPLLTYNPHSLVRFIGYDFYFNDSCPDLENCTLYQPRLFSIHLPYASLPTSIKDSNYKIVYLCRNPMDTLISFWASQERSSKILFLQYEYLKEDINSHLKKLAMFLGVPFTEEEEKQGVVEEIAKICSFENMKDLEVNKKGMQTFGYPQETFSRKTKTFEVPCEAFFRKAKMGDWSNYLTPFMVERLEKLIKEKLENSGLTFKLFSKTSKDITST >KJB40294 pep chromosome:Graimondii2_0_v6:7:3929399:3936886:1 gene:B456_007G055800 transcript:KJB40294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITSIELNYLVFRYLQESGFTHSAFTLGYEAGINKCTIDGNLIPPGALITFVQKGLQYLEMEANMSNNDVEMDEDFSFLQPLDIITKDVNQLRQMIKEKRKNIQKDKDKDKNKDKDKNKDRVVDREHEAERGRVREKERHEKEEREKEREKAESNKEPEKQPEELADGDMVMDQEDKDAIGHEENGTSIVGPEPMDIATTSTQASQEACEIPGSDVTILEGHTSEVCACAWSPTGSLLASGSGDSTARIWTIADGLCKSGAQNSPLNVLVLKHVKGRTNEKSKDVTTLDWNGEGSLLATGSYDGQARIWTTNGELRSTLSKHKGPIFSLKWNKKGDYLLTGSFDKTAIVWDVKAEEWKQQFEFHTGPTLDVDWCNNVSFATSSTDHMIYVCKIGEIRPIKTFAGHQGEVNCVKWDPTGSLLASCSDDITAKIWCMKQDKYVHDLREHSKEIYAIRWSPTGPGTNNPNQQLTLASASFDSTVKLWDVELGKLLYSLNGHKDPVYSVAFSPNGEYLASGSLDKSMHIWSLKDGKIVKTYTGNGGIFEVCWNKEGDKLAACFANNTLCVLDFRM >KJB40293 pep chromosome:Graimondii2_0_v6:7:3929322:3936118:1 gene:B456_007G055800 transcript:KJB40293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITSIELNYLVFRYLQESGFTHSAFTLGYEAGINKCTIDGNLIPPGALITFVQKGLQYLEMEANMSNNDVEMDEDFSFLQPLDIITKDVNQLRQMIKEKRKNIQKDKDKDKNKDKDKNKDRVVDREHEAERGRVREKERHEKEEREKEREKAESNKEPEKQPEELADGDMVMDQEDKDAIGHEENGTSIGPEPMDIATTSTQASQEACEIPGSDVTILEGHTSEVCACAWSPTGSLLASGSGDSTARIWTIADGLCKSGAQNSPLNVLVLKHVKGRTNEKSKDVTTLDWNGEGSLLATGSYDGQARIWTTNGELRSTLSKHKGPIFSLKWNKKGDYLLTGSFDKTAIVWDVKAEEWKQQFEFHTGPTLDVDWCNNVSFATSSTDHMIYVCKIGEIRPIKTFAGHQGEVNCVKWDPTGSLLASCSDDITAKIWCMKQDKYVHDLREHSKEIYAIRWSPTGPGTNNPNQQLTLASASFDSTVKLWDVELGKLLYSLNGHKDPVYSVAFSPNGEYLASGSLDKSMHIWSLKDGKIVKTYTGNGGIFEVCWNKEGDKLAACFANNTLCVLDFRM >KJB40292 pep chromosome:Graimondii2_0_v6:7:3929399:3936886:1 gene:B456_007G055800 transcript:KJB40292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITSIELNYLVFRYLQESGFTHSAFTLGYEAGINKCTIDGNLIPPGALITFVQKGLQYLEMEANMSNNDVEMDEDFSFLQPLDIITKDVNQLRQMIKEKRKNIQKDKDKDKNKDKDKNKDRVVDREHEAERGRVREKERHEKEEREKEREKAESNKEPEKQPEELADGDMVMDQEDKDAIGHEENGTSIGPEPMDIATTSTQASQEACEIPGSDVTILEGHTSEVCACAWSPTGSLLASGSGDSTARIWTIADGLCKSGAQNSPLNVLVLKHVKGRTNEKSKDVTTLDWNGEGSLLATGSYDGQARIWTTNGELRSTLSKHKGPIFSLKWNKKGDYLLTGSFDKTAIVWDVKAEEWKQQFEFHTGPTLDVDWCNNVSFATSSTDHMIYVCKIGEIRPIKTFAGHQGEVNCVKWDPTGSLLASCSDDITAKIWCMKQDKYVHDLREHSKEIYAIRWSPTGPGTNNPNQQLTLASASFDSTVKLWDVELGKLLYSLNGHKDPVYSVAFSPNGEYLASGSLDKSMHIWSLKDGKIVKTYTGNGGIFEVCWNKEGDKLAACFANNTLCVLDFRM >KJB42637 pep chromosome:Graimondii2_0_v6:7:14108244:14111934:-1 gene:B456_007G161300 transcript:KJB42637 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing protein ZIP4 [Source:Projected from Arabidopsis thaliana (AT5G48390) UniProtKB/Swiss-Prot;Acc:B0M1H3] MRIAEISTPEHFQAAAAPDSQSPSQNQHSQLLSQIQSKIKQTESHPPGTPLPDSLPSELRQLLTNLTQLAPFPAANNSLKLHLWKLSYRLWNACVDFSNAAAIRSPSSNRSSQNVTKLRHVAADMLSLAVDVVGVPSPAIKSASFYYKTGLAWHDHKIFDLASTCFERATDLLSKLDVSKILDAGERKLLLDLNLARSRTAWEISDKNLALTLLNRSKTLLFGSSAHFKALANQFLAFAKAVLSRNENNGSFNDVLKLLNEALNLCEKGLSISRTREETVEIKELKSKTMRFISAVHLQNGEFESVIKCVKVLRENGGEKGDHHASLPVLAMKAWLGLGRYSEAEQELKGMVVNKGIPEGVWVSAVETYFHAVGNAGVETTKGVFLGLLGRCHVSARAALRVVHRVVGDGNGIEGLRTRGKVVAELASDERVVALFASESVAKERTAMHAVLWNCGSGNFELKDYETSAEMFEKSMLYIPHDLENRVIRAKGYRVLCLCYLGLTQLDRAQEYINEAEKLDPNIICAFLKFKICLQKNDHCGATNQIQKMISCLDFTPDFLSLAAHEAVASRALPVAVAALSNLLNFYNSGKPMPTTEVVVLRTLVTILSQDLDKEAEVLKFLKQAHKRASELGAESFFGKGEVGRRERNWFAVTSWNFGAKCGKEKNYELCAEFLRLVSGFYSRMGDGQMEENNVTICKSLIMTVSAMIASENQNTTPLPDAEVKHAVELLERAGKILTSLSMGNIEGDIHFIYVLNAYDMHGRLNNLESQHHIVKNFAGTKTCSPQYLLQIGLNASQGPRFNAEVATFALSECLSGFLSSPSPNYQDVALIVRRLIAIASIHKGNTDDDAVLGMYKQAYRIMVGLKEGEYPTDEGKWLAMTAWNRAAIPVRMGEINVAKKWMNAGLELARKVAGMETYQAYMEDYVADFEKKFHTQIAGEIQPQQVQ >KJB39762 pep chromosome:Graimondii2_0_v6:7:2055174:2056956:1 gene:B456_007G029900 transcript:KJB39762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSFALVLLILQQKRVSLLMASAVFEGASIGPLIDLAIQIDPSVLVASFVGTALAFACFSGAAMLARRREYLYLGGLLSSGVSVLLWLHFASSIFGGSTALFMTEIYLGLLVFVGYMIVDTQDIIEKAHLGDLDYVKHALTLFTDFVAVFVRILIIMLKNSAEKSEKKKKRRD >KJB39761 pep chromosome:Graimondii2_0_v6:7:2055058:2056956:1 gene:B456_007G029900 transcript:KJB39761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFTSFFDSQSRNIWSYDTLKNFRQISPIVQTHLKQVYLTLCCALIASAVGAYLHILWNIGGYLTTFACLGTIIWLLSTPPCEEQKRVSLLMASAVFEGASIGPLIDLAIQIDPSVLVASFVGTALAFACFSGAAMLARRREYLYLGGLLSSGVSVLLWLHFASSIFGGSTALFMTEIYLGLLVFVGYMIVDTQDIIEKAHLGDLDYVKHALTLFTDFVAVFVRILIIMLKNSAEKSEKKKKRRD >KJB45664 pep chromosome:Graimondii2_0_v6:7:53406597:53406890:1 gene:B456_007G319100 transcript:KJB45664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGRQTRFLQLFIFKRVRPIRFPIEDGNSFKAIPLKSSSYNLSLVSMISGKISNLEQPLRLNISRDFNL >KJB43115 pep chromosome:Graimondii2_0_v6:7:17719971:17721428:1 gene:B456_007G184600 transcript:KJB43115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQHVIHDHRLSVIENWTTEGSCHAFGDLLDGPPIYGCNRCTFFVHKSCLDRLKPEVQSFFHPCPLVLSDNCYHEKCHVCFGQIYSNYRYQCKLGCYFSMHVACAQKPMVEYSDEEHTVQHFTHFHPLKPVVDSTLQKHEVVCAICEKLCSSSSSTYGCTECKFFLHKSCMTSIPRQLINHLIHPCTLLFLTTPLSRCCDKCGEDIISRIEFSCQSCFIHLHVKCALLPTVDSEDAKETQHFSHPHPLALIENHKDFNNEPRCVACVETCLAPTPTFRCSRRSCNHFFLHKSCALKLPYLPADMVEPPSHPQHYLLTITSLPYNDEIRTCGACNRGFDSCLIAYSCQEDRCGFNLHLDCSKLEPSFVLDGPDHFLTLIEKVADMTCHFCGANCCNFILRCLECDINIHIQCLPSAPKTISHKAHLHPLQLTKSPLEDELNSEEEAYNSEDEFYCDVCEQKRNKRELIYFCKECRFIAEAKCVISA >KJB41522 pep chromosome:Graimondii2_0_v6:7:8185870:8190737:-1 gene:B456_007G108400 transcript:KJB41522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLNPPMSKTPQLFSSFSSFTPRLSIKTSNKKPLRRLHIIPSFPLGLPSRGTNVFRVSAHFSRKTSRRNSLRKKLLDHQKVRENPIPLNPSPDFQNPNGGSENFEKFNSGSTKQIEIDNDTLKSKRLGESVLLSKLENWVDQYKKDAEFWGIGSSPVFTVLQDLEGNVKGVIVHEDEILKRLEFEDMEKVNSKVLYARNLAREMERGENVIPRTSSVAKFVVTGEESGFISGIRGVIHRPGFIPKLSSFGTLVLGGLILLWAVKLFALGKKVVEYTELEKEMMRRKIRSRKGKDILEKGSVEVVQAFEEPPSSSFQRPLLDKQELMNNILKAKAAMDKLAFPDSSGSQSSKSEDFEGEIQEIKLMANEARGIEGREQFVVAKDERECQAANKEFSDEMQPTKEGRKDGASFLSNLSTEDDSEQGEVSYKAVEPISSNEPKDDGVKFLNGVASLDSRVRLVTDASSVQLPKDEQSTNEDLKNTGSTLPVLVKGECNQSPVITDNESYSAKSNAFGKKPRVILSVKEAREFLSTKSNKEKLNQEPVEEAVQKSTPDLILLSDKRSGTSTKQIIHAKDKMFPYGMSRGDSEPTASENACQSAIQGDKESMLKKENDDENSDEECREEAHQQPLSSSQESIGMSREQGQSVMRGNWIENNFHEVEPVLKKIGDGFRENYMVAREKVGEQLNVQAEIKQLGSNEDENELEWMKDDRLRDIVFQVRENELAGRDPFYLMDAEEKLAFFQGLEKKVEKENEKLSHLHEWLHSNIENLDYGTDGISLHDPPEKIIPRWKGPPLEKSPEFLSNFQEQRKALFTGKVGMTYPAKRDGQSFLQKPTESPINEDLAISSSESDLTRKVHDTDKKDPKIVIESSDGSVKPGKKSGKEYWQHTKKWSRGFLECYNAETDPEVKSIMKDMGKDLDRWITEKEVQEAADLMKKLPERNKKFMEKKLNKLKREMELFGPQAVVSKYQEYAEEKEEDYLWWLDLPHVLCIELYTFENEGQRIGFYALEMAADLELEPKPHHVIAFEDTGDCKSFCYIMQAHLDMLGNGRAFIVPQPPKVGMLSNCS >KJB41523 pep chromosome:Graimondii2_0_v6:7:8185870:8190787:-1 gene:B456_007G108400 transcript:KJB41523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLNPPMSKTPQLFSSFSSFTPRLSIKTSNKKPLRRLHIIPSFPLGLPSRGTNVFRVSAHFSRKTSRRNSLRKKLLDHQKVRENPIPLNPSPDFQNPNGGSENFEKFNSGSTKQIEIDNDTLKSKRLGESVLLSKLENWVDQYKKDAEFWGIGSSPVFTVLQDLEGNVKGVIVHEDEILKRLEFEDMEKVNSKVLYARNLAREMERGENVIPRTSSVAKFVVTGEESGFISGIRGVIHRPGFIPKLSSFGTLVLGGLILLWAVKLFALGKKVVEYTELEKEMMRRKIRSRKGKDILEKGSVEVVQAFEEPPSSSFQRPLLDKQELMNNILKAKAAMDKLAFPDSSGSQSSKSEDFEGEIQEIKLMANEARGIEGREQFVVAKDERECQAANKEFSDEMQPTKEGRKDGASFLSNLSTEDDSEQGEVSYKAVEPISSNEPKDDGVKFLNGVASLDSRVRLVTDASSVQLPKDEQSTNEDLKNTGSTLPVLVKGECNQSPVITDNESYSAKSNAFGKKPRVILSVKEAREFLSTKSNKEKLNQEPVEEAVQKSTPDLILLSDKRSGTSTKQIIHAKDKMFPYGMSRGDSEPTASENACQSAIQGDKESMLKKENDDENSDEECREEAHQQPLSSSQESIGMSREQGQSVMRGNWIENNFHEVEPVLKKIGDGFRENYMVAREKVGEQLNVQAEIKQLGSNEDENELEWMKDDRLRDIVFQVRENELAGRDPFYLMDAEEKLAFFQGLEKKVEKENEKLSHLHEWLHSNIENLDYGTDGISLHDPPEKIIPRWKGPPLEKSPEFLSNFQEQRKALFTGKVGMTYPAKRDGQSFLQKPTESPINEDLAISSSESDLTRKVHDTDKKDPKIVIESSDGSVKPGKKSGKEYWQHTKKWSRGFLECYNAETDPEVKSIMKDMGKDLDRWITEKEVQEAADLMKKLPERNKKFMEKKLNKLKREMELFGPQAVVSKYQEYAEEKEEDYLWWLDLPHVLCIELYTFENEGQRIGFYALEMAADLELEPKPHHVIAFEDTGDCKSFCYIMQAHLDMLGNGRAFIVPQPPKYAFREAKANGFGVTVIKKGELQLNVDQTLEEVEEQICEIGSKMYHDKIMRERSVDISSLMKGMLGVGDKPRRRRSKNKLKKPSKN >KJB41416 pep chromosome:Graimondii2_0_v6:7:7693723:7696417:-1 gene:B456_007G103200 transcript:KJB41416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTRKNRQLLSRIATNDRHGENSPYFDGWKAYDSNPFHPIHNPDGVIQMGLAENPLCFDLIEKWIRENPDASICTAEGLDKFKDIAIYQDYHGLREFREAVAKFMGRVGGNRVTFDPNRIVMSGGATGANETAMFCLADPGDAFLVPSPYYAGFARDLRWRTGVEIVPVHCKSSNNFRITRAALEEAYEKAQRSNINIKGVIITNPSNPLGTVLDKETLRSLVSFVTDKKIHLVSDEIYAATVFSSPRFISVAEVMQDMDCNRDLIHIVYSLSKDMGFPGFRVGIVYSFNDDVTNCARKMSSFGLVSSQTQFLLASMLSDDEFVGNFLRESSKRLAKRHHVFTKGLEEVGISTIKSNAGLFFWMDMRPLLKEQTTKAETELWRVIINKVKLNVSPGSSFLCSEPGWFRVCFANMDDKTVEVALDRIREFVLQGKEENNHEADKSQARAQRWRKQNLRLSFSSSRLYDESLMSPHMISPHSPIPHSPLVRART >KJB43788 pep chromosome:Graimondii2_0_v6:7:24150436:24152061:-1 gene:B456_007G216000 transcript:KJB43788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVVNKEPVTCFRDIENACQALDNGDNSGNLNMTIFQQMEEFTEKERELRTSSLTRKQPNTGSKETSLDEVLCRHKERKPFAYRLYNECYEEFMKVSGGLDGG >KJB43787 pep chromosome:Graimondii2_0_v6:7:24150436:24152061:-1 gene:B456_007G216000 transcript:KJB43787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVVNKEPVTCFRDIENACQALDNGDNSGNLNMTIFQQIKHVYICQATLSKRLIEFENTNAGALTMEEFTEKERELRTSSLTRKQPNTGSKETSLDEVLCRHKERKPFAYRLYNECYEEFMKVSGGLDGG >KJB45672 pep chromosome:Graimondii2_0_v6:7:53490904:53494294:1 gene:B456_007G319600 transcript:KJB45672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKHSETQTAEPKKKDEAAPERPKRSLFGWKDKEEVQQQTESNTDPPQVFRNKEKVMVTCSRRINYRYRHLMLNMVSLLPHCKKDNKVESRSSKGATLNELIELRGCSSALFFECRKHQDLYLWMARCPNGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSSNFEKDAHWKLLKEMIIQIFGTPKEHRKSKPYHDHVFVFSIADDHIWFRNYQISVPHNESDKMVRGGLDKMTLVEVGPRFCLNPIKIFAGSFGGPTLYENPFYVSPNQIRALEKRQKAGKYAKKVKAKTRRKMHELSNPLEPDEFADMWRE >KJB45673 pep chromosome:Graimondii2_0_v6:7:53490992:53494268:1 gene:B456_007G319600 transcript:KJB45673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTCSRRINYRYRHLMLNMVSLLPHCKKDNKVESRSSKGATLNELIELRGCSSALFFECRKHQDLYLWMARCPNGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSSNFEKDAHWKLLKEMIIQIFGTPKEHRKSKPYHDHVFVFSIADDHIWFRNYQISVPHNESDKMVRGGLDKMTLVEVGPRFCLNPIKIFAGSFGGPTLYENPFYVSPNQIRALEKRQKAGKYAKKVKAKTRRKMHELSNPLEPDEFADMWRE >KJB45674 pep chromosome:Graimondii2_0_v6:7:53490992:53494268:1 gene:B456_007G319600 transcript:KJB45674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKHSETQTAEPKKKDEAAPERPKRSLFGWKDKEEVQQQTESNTDPPQVFRNKEKVMVTCSRRINYRYRHLMLNMVSLLPHCKKDNKVESRSSKGATLNELIELRGCSSALFFECRKHQDLYLWMARCPNGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSSNFEKDAHWKLLKEMIIQFSDTFFSIIFFQIFGTPKEHRKSKPYHDHVFVFSIADDHIWFRNYQISVPHNESDKMVRGGLDKMTLVEVGPRFCLNPIKIFAGSFGGPTLYENPFYVSPNQIRALEKRQKAGKYAKKVKAKTRRKMHELSNPLEPDEFADMWRE >KJB43844 pep chromosome:Graimondii2_0_v6:7:25116564:25118088:-1 gene:B456_007G219200 transcript:KJB43844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEATIFYSSLALVFLFLGFKFLFQSKPRQENFSPSPLSLPIIGHFQLLINPSLHRPFLELLKKLGLVFSLQLGSRLAVVILSLSLVEECFTKNDIVLANRPNLLLSKHLCYNHTTLITVLYGDYWRNLCRICTIEIFSPNRINKFYGIRKDEMRRLLLKLSRNSHEVFAKVEFKSMFADLTFNNLMRMMAGKRYYGEDVTDDSEAKDFREFIVEVAENGGAGNLADYLPILNWAGLIDERRNANGENMMIDHLLTLQESEPESYTDQIIKGLIHVLLLVGSDTSAVAVEWAMSNLLNNPEVLKKAKEEIDAQVGEERFIDESDIAKLPYLRNIIDPELWDDPTSFKPKRFENEGKYHGHKYLPFGMGRRASLGALIQCFEWKRLGDEEIDMTEGKGITMPKVEH >KJB41905 pep chromosome:Graimondii2_0_v6:7:10127698:10129456:1 gene:B456_007G127100 transcript:KJB41905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLLYLLTISFFFLSPSLADASPSDPVSPGTICDSTPYPSYCKSMLPNRTTNVYGYGRFSIRKALSQSRKFLDLVNEYLHKYRSSLSISAIRALEDCCYLASLNMDFLSSSFKTVNGTSETLPPVEAEDVQTLLSSILTNQQTCLDGKQSTASARGIRENITVPMSNDTKLYSVSLALFTKGWVPKEKKSASRHPSSKQIGFKHRRLLMKLSSQTLSTIYESLGQRKLLQTSGSDNEVLISNIVTVSQDGSGNFTTINDAISAAPNNTNGVNGYFLIYIPAGVYQEYVSIPKNKKYLMMIGDGINQTIITGNRSVVDGWTTFNSATFAVVASNFVAVNITFQNTAGAIKHQAVALRSGADLSAFYSCSFEGYQDTLYTHSMRQFYRECDIYGTVDFIFGNAAVVLQNCNIYPRQPMSGQFNAITAQGRTDPNQNTGTSIHNCNIMAADDLASSNTSFKTYLGRPWKEYSRTVYMQSFMDNLIDPAGWREWDGDFALSTLYYAEYDNNGLGSNTSNRVTWPGYHVINATDAINFTVSSFLLGDDWLPDTGVPYNATLI >KJB46156 pep chromosome:Graimondii2_0_v6:7:58139987:58140767:1 gene:B456_007G351100 transcript:KJB46156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSENLANIETSTFRSLFDDTWISESFSRDTQTLTIALQKSISDSCTGSIASPVPEPAPKQYRTAGPPPTGKVSKRKPRASKKSRTTFIAADPANFRQMVQQVTGIGFGDGKMTTVSPILKPEPQRPGNRLPNGTVPGYLPTLDTSALLLDHHQLHEQPSFDTFPNFPTLESWKV >KJB44960 pep chromosome:Graimondii2_0_v6:7:48334085:48340871:1 gene:B456_007G282100 transcript:KJB44960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQQNQSGVIPRTKLGTQGLEVSMLGFGCMGLTGIYNYPVPEDVDISIIKQAFDIGITFFDTSDIYGPKSNELLVGKALKQLPRENVQLATEFDIVKSDPATGIAINGISEHVRASVEASLKRLDVDYIDLYYQHRVDTKTPIEDIMGELTKLVEEGKIKYIGLSGASPETIKRAHVVHPVSAIKMKWPLWTRDSADEIIPLCRELGIGIVPYSPLGRGFFGGRTVAETVPGISFLMEKFDQKYGCTPALLSLAWVLHQGDDVAPIPEGKRRNTRLAVREKLYKSSILFVAGFE >KJB44961 pep chromosome:Graimondii2_0_v6:7:48334014:48341145:1 gene:B456_007G282100 transcript:KJB44961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQQNQSGVIPRTKLGTQGLEVSMLGFGCMGLTGIYNYPVPEDVDISIIKQAFDIGITFFDTSDIYGPKSNELLVGKALKQLPRENVQLATEFDIVKSDPATGIAINGISEHVRASVEASLKRLDVDYIDLYYQHRMGELTKLVEEGKIKYIGLSGASPETIKRAHVVHPVSAIKMKWPLWTRDSADEIIPLCRELGIGIVPYSPLGRGFFGGRTVAETVPGISFLMEKFDQKYGCTPALLSLAWVLHQGDDVAPIPEGKRRNTRLAVREKLYKSSILKAKEILQFKMMKTGLANKIEEKGVMVESLMATKRDAAESVASLERLKMRRKKLTKKLKRKIKDFQKLQALEAELTLEMSSS >KJB44962 pep chromosome:Graimondii2_0_v6:7:48334014:48341145:1 gene:B456_007G282100 transcript:KJB44962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQQNQSGVIPRTKLGTQGLEVSMLGFGCMGLTGIYNYPVPEDVDISIIKQAFDIGITFFDTSDIYGPKSNELLVGKALKQLPRENVQLATEFDIVKSDPATGIAINGISEHVRASVEASLKRLDVDYIDLYYQHRVDTKTPIEDIMGELTKLVEEGKIKYIGLSGASPETIKRAHVVHPVSAIKMKWPLWTRDSADEIIPLCRELGIGIVPYSPLGRGFFGGRTVAETVPGISFLMEKFDQKYGCTPALLSLAWVLHQGDDVAPIPEGKRRNTRLAVREKLYKSSILKAKEILQFKMMKTGLANKIEEKGVMVESLMATKRDAAESVASLERLKMRRKKLTKKLKRKIKDFQKLQALEAELTLEMSSS >KJB41206 pep chromosome:Graimondii2_0_v6:7:6943268:6943782:1 gene:B456_007G094500 transcript:KJB41206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWALKILPASIIQHLWAILIQAGSLWIAWINACMLRGRHVMQLPLAQNSSWDWKKLMGLRNEAFKVFQVRDWLVQGQRYQVSKVWQEIRPKTSKVP >KJB46306 pep chromosome:Graimondii2_0_v6:7:58986367:58987219:1 gene:B456_007G358000 transcript:KJB46306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPSSSGASTGTKQKSNSGPTTFKTFFECWLVEQNQHLQDLVAISQTRTEEQSWCPVQKVLDHYEHYYKAKAEWSKQDVLAILSPSSWTSTFEAAFLWIGGWRPTMAFQLLYSKSGIQLENQLYQLIHGLGKGDLGDLSPSQIVRIDKLQAKTVKEERNISEKLAKHEGTLADSCMVKLTHMVSEMMRRGDGYEEGVGVEVEIEVEVELAMELKKEGLKEMLRRADDLRLKTLKALIDIMTPSQGVHYLIAAAELHLRIHEWGKVRDGKQVN >KJB40261 pep chromosome:Graimondii2_0_v6:7:3798691:3802431:-1 gene:B456_007G054600 transcript:KJB40261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTPGRKQFYSNYMLLNPKKASFFDLTALLFSKNLKKRKFIETSIETEDSFWYRLVIFLSTLLQKFLLSIKMPMALAGQTFEDMLNLFTNNGGLFGLIKKVMTGKVVIPSREAATYVSAVGYTDLRMDLDKQIIYGNSMYYPALAIMTCKAVYNNAAYNKSIIKNNWGMEVIGFYNYWNDYLRQPDTQVVMFRNKTSEHDTIVVSFRGTQPFCADDWCSDADLSWYEFPNIGKIHSGFLKALGMQKLVGWPKFVVPDLTRKAPLAYYDIRDMLKDLLKNNPQAKVIVTGHSLGGALAALFPAILFYHDEQLVLERMEGVYTFGQPRVGDEAFAGYMEKNLNKNGIQFYRYVYCNDIVPRVPFDGMFKHFGTCVYYNSKYQAKIVEEVPYLNYFSLFGFFPMHMNAIYEVIRSFTMKVKYGADYTDGWLMFGVRLFGMLIPGVANHCPQDYVNSTRLGKPDDVLFLPLHTKKALGFEVPLLAA >KJB40262 pep chromosome:Graimondii2_0_v6:7:3799326:3802370:-1 gene:B456_007G054600 transcript:KJB40262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTPGRKQFYSNYMLLNPKKASFFDLTALLFSKNLKKRKFIETSIETEDSFWYRLVIFLSTLLQKFLLSIKMPMALAGQTFEDMLNLFTNNGGLFGLIKKVMTGKVVIPSREAATYVSAVGYTDLRMDLDKQIIYGNSMYYPALAIMTCKAVYNNAAYNKSIIKNNWGMEVIGFYNYWNDYLRQPDTQVVMFRNKTSEHDTIVVSFRGTQPFCADDWCSDADLSWYEFPNIGKIHSGFLKALGMQKLVGWPKFVVPDLTRKAPLAYYDIRDMLKDLLKNNPQAKVIVTGHSLGGALAALFPAILFYHDEQLVLERMEGVYTFGQPRVGDEAFAGYMEKNLNKNGIQFYRYVYCNDIVPRVPFDGMFKHFGTCVYYNSKYQAKVNFFLQHYIKFKIK >KJB40103 pep chromosome:Graimondii2_0_v6:7:3268271:3272716:1 gene:B456_007G047000 transcript:KJB40103 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 30 [Source:Projected from Arabidopsis thaliana (AT5G63120) UniProtKB/Swiss-Prot;Acc:Q8W4R3] MTPYDRRYGDPQSYRQRRSDFMGQPPPVGPPTMGPGMVMPSSYPRGGQIPYGGPPTAHPPSFHGRVHGPVRGQGDFDSYSSFRPPAGRFEMGRGGDIGHSHADRRSDGIRVREAGRGGGGRGGGGRGGGGRGYGGRHGGSSRGDLDNVSLPRQNFGKLVPFEKNFYIESPAVREMTEQEVMFYRRTRDITVQGHNVPKPIRMFRDANFPDYCLEVIANLGFVEPTPIQAQGWPMALKGKDLIGIAETGSGKTLAYLLPALVHVKAQPPLVHGEGPIVLILAPTRELAVQIQEEAAKFANNASIRSTCIYGGAPKGPQTRDLKRGVEIVIATPGRLIDMLEAQNTNLKRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVESLARQFLSNPYKVIIGSPDLKANQSINQVVEVITDLEKYNRLIKLLKDLMDGNRILIFMETKKGCDQVTRHLRMDGWPALSIHGDKNQAERDWVLAEFKSGRSPIMTATDVAARGLDVKDIKCVINYDFPSSLEDYVHRIGRTGRAGAKGTAFTFFTQANAKYARDLIKLLQDAGQVVSPALSTLARSAGSKFGGSGGNFRARGRGSYGNRAMISGSNAVSLGAKKRPW >KJB40105 pep chromosome:Graimondii2_0_v6:7:3268477:3271082:1 gene:B456_007G047000 transcript:KJB40105 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 30 [Source:Projected from Arabidopsis thaliana (AT5G63120) UniProtKB/Swiss-Prot;Acc:Q8W4R3] MTPYDRRYGDPQSYRQRRSDFMGQPPPVGPPTMGPGMVMPSSYPRGGQIPYGGPPTAHPPSFHGRVHGPVRGQGDFDSYSSFRPPAGRFEMGRGGDIGHSHADRRSDGIRVREAGRGGGGRGGGGRGGGGRGYGGRHGGSSRGDLDNVSLPRQNFGKLVPFEKNFYIESPAVREMTEQEVMFYRRTRDITVQGHNVPKPIRMFRDANFPDYCLEVIANLGFVEPTPIQAQGWPMALKGKDLIGIAETGSGKTLAYLLPALVHVKAQPPLVHGEGPIVLILAPTRELAVQIQEEAAKFANNASIRSTCIYGGAPKGPQTRDLKRGVEIVIATPGRLIDMLEAQNTNLKRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVESLARQFLSNPYKVIIGSPDLKANQSINQVVEVITDLEKYNRLIKLLKDLMDGNRILIFMETKKGCDQVTRHLRMDGWPALSIHGDKNQAERDWVLAEFKSGRSPIMTATDVAARGLGRITVF >KJB40106 pep chromosome:Graimondii2_0_v6:7:3268403:3272693:1 gene:B456_007G047000 transcript:KJB40106 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 30 [Source:Projected from Arabidopsis thaliana (AT5G63120) UniProtKB/Swiss-Prot;Acc:Q8W4R3] MTPYDRRYGDPQSYRQRRSDFMGQPPPVGPPTMGPGMVMPSSYPRGGQIPYGGPPTAHPPSFHGRVHGPVRGQGDFDSYSSFRPPAGRFEMGRGGDIGHSHADRRSDGIRVREAGRGGGGRGGGGRGGGGRGYGGRHGGSSRGDLDNVSLPRQNFGKLVPFEKNFYIESPAVREMTEQEVMFYRRTRDITVQGHNVPKPIRMFRDANFPDYCLEVIANLGFVEPTPIQAQGWPMALKGKDLIGIAETGSGKTLAYLLPALVHVKAQPPLVHGEGPIVLILAPTRELAVQIQEEAAKFANNASIRSTCIYGGAPKGPQTRDLKRGVEIVIATPGRLIDMLEAQNTNLKRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVESLARQFLSNPYKVIIGSPDLKANQSINQVVEVITDLEKYNRLIKLLKDLMDGNRILIFMETKKGCDQVTRHLRMDGWPALSIHGDKNQAERDWVLAEFKSGRSPIMTATDVAARGLGRITVF >KJB40104 pep chromosome:Graimondii2_0_v6:7:3268403:3272693:1 gene:B456_007G047000 transcript:KJB40104 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 30 [Source:Projected from Arabidopsis thaliana (AT5G63120) UniProtKB/Swiss-Prot;Acc:Q8W4R3] MTPYDRRYGDPQSYRQRRSDFMGQPPPVGPPTMGPGMVMPSSYPRGGQIPYGGPPTAHPPSFHGRVHGPVRGQGDFDSYSSFRPPAGRFEMGRGGDIGHSHADRRSDGIRVREAGRGGGGRGGGGRGGGGRGYGGRHGGSSRGDLDNVSLPRQNFGKLVPFEKNFYIESPAVREMTEQEVMFYRRTRDITVQGHNVPKPIRMFRDANFPDYCLEVIANLGFVEPTPIQAQGWPMALKGKDLIGIAETGSGKTLAYLLPALVHVKAQPPLVHGEGPIVLILAPTRELAVQIQEEAAKFANNASIRSTCIYGGAPKGPQTRDLKRGVEIVIATPGRLIDMLEAQNTNLKRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVESLARQFLSNPYKVIIGSPDLKANQSINQVVEVITDLEKYNRLIKLLKDLMDGNRILIFMETKKGCDQVTRHLRMDGWPALSIHGDKNQAERDWVLAEFKSGRSPIMTATDVAARGLGRITVF >KJB42298 pep chromosome:Graimondii2_0_v6:7:13174071:13174965:-1 gene:B456_007G154200 transcript:KJB42298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKKASQIKASRPDKTMNLSSWFRRSVSRNSKNNKNPPDQPQPHHQEQEELLGITQQLIDHVKSFTLETFKNFPIQDDGDIEAQTSYNVRKDLSDWQERHAVLVLSKVKELSQLRFKLCPRHLKEEKFWRIYFMLVNSYVAE >KJB42297 pep chromosome:Graimondii2_0_v6:7:13172313:13175136:-1 gene:B456_007G154200 transcript:KJB42297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSSWFRRSVSRNSKNNKNPPDQPQPHHQEQEELLGITQQLIDHVKSFTLETFKNFPIQDDGDIEAQTSYNVRKDLSDWQERHAVLVLSKVKELSQLRFKLCPRHLKEEKFWRIYFMLVNSYVAEYELHAIQRAKLQSIAMKDEKTPDTCAYEVEMAERNQADSVAPSTP >KJB41714 pep chromosome:Graimondii2_0_v6:7:9114310:9116015:1 gene:B456_007G116600 transcript:KJB41714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCVVRSRRSLVTPSQQTPSGKLDLSFIDKVPVLRCYTRTLHVYKHGPEASKVIREALSKALVPYYPLAGRLKESDNNQLQVECSGEGAWFVEASADSSLHAFNYFDDANFDIPYDELLPDQVPNSEGMEPLVQMQVTQFACGGFVIGLIFCHTICDGLGSAQFLNAVGEFARGMEHLSVEPVWKRDFFPTPTQEANVIQLANLPVPPPPMPGKPLEHVSIDISMDEINQLKKQFHESTGQTCSAFEIVAANFWSLRTRAINLKPGTEVRLLFFANCRQLVEPPLPKGFYGNCFFPITITAPCDLLKQASAIEVIKLIREAKTKLPSEFGKFKNGDYLRNGKDPFLAPLGYTTLFISEWGRLGFNQVDYGWGPPVHMVPIPGSSIIPVGIMGSLPLPRKGVRLMTWCVEKDHTQPFVDLMTKLV >KJB42135 pep chromosome:Graimondii2_0_v6:7:11375139:11376272:-1 gene:B456_007G138700 transcript:KJB42135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGVEMGLILVLMAMVWAGANAQSCASALTSLSPCLNYITGNSSTPSSTCCSQMQTVVQSSPQCLCSVLNNGASLGITINQTLATQLPGACQVQTPPISQCNGSPAGSPSDSSDDTPEGAITPSASDIPSGTGSKTVPSIDGGSSGASIEKASLHLVFFLLSIAVVAKF >KJB42136 pep chromosome:Graimondii2_0_v6:7:11375139:11376322:-1 gene:B456_007G138700 transcript:KJB42136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGVEMGLILVLMAMVWAGANAQSCASALTSLSPCLNYITGNSSTPSSTCCSQMQTVVQSSPQCLCSVLNNGASLGITINQTLATQLPGACQVQTPPISQCNGGTPGTPSAGSPAGSPSDSSDDTPEGAITPSASDIPSGTGSKTVPSIDGGSSGASIEKASLHLVFFLLSIAVVAKF >KJB43585 pep chromosome:Graimondii2_0_v6:7:21856276:21857831:-1 gene:B456_007G208000 transcript:KJB43585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLQAAATLMQPIKVAAPSRTNVLLRSSQSVSKAFGLEPVGARLTCSLQTDLKDLAQKCVDATKLAGFALATSALVVSGASAEGVPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGVDSFAFKPGKYYAKKFCLEPTSFTVKLMTRLTYTLDEIEGPFEVSTDGTVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPDSFGGDFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENNKSAASSSGKITLSVTKSKPETGEVIGVFESLQPSDTDLGAKTPKDVKITGVWYAQLDS >KJB43587 pep chromosome:Graimondii2_0_v6:7:21856276:21857855:-1 gene:B456_007G208000 transcript:KJB43587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLQAAATLMQPIKVAAPSRTNVLLRSSQSVSKAFGLEPVGARLTCSLQTDLKDLAQKCVDATKLAGFALATSALVVSGASAEGVPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGVDSFAFKPGKYYAKKFCLEPTSFTVKQLVASGKPDSFGGDFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENNKSAASSSGKITLSVTKSKPETGEVIGVFESLQPSDTDLGAKTPKDVKITGVWYAQLDS >KJB43586 pep chromosome:Graimondii2_0_v6:7:21856542:21857746:-1 gene:B456_007G208000 transcript:KJB43586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLQAAATLMQPIKVAAPSRTNVLLRSSQSVSKAFGLEPVGARLTCSLQTDLKDLAQKCVDATKLAGFALATSALVVSGASAEGVPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGVDSFAFKPGKYYAKKFCLEPTSFTVKAEGVNKNAPPEFQNTKLMTRLTYTLDEIEGPFEVSTDGTVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPDSFGGDFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENNKSAASSSGKITLSVTKSKPETGEVIGVFESLQPSDTDLGAKTPKDVKITGVWYAQLDS >KJB39428 pep chromosome:Graimondii2_0_v6:7:1014214:1014818:1 gene:B456_007G0129002 transcript:KJB39428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSRKSLVIKTLISAIFLLGLSSWSVAEFRVAGHATTSTTIEFPALNCRKHSAVLTEFGGVGDGKTSNTEAFKAAIANLSQLAADGGGAQLVVPPGKWLTGSFNLTSHFTLFIHKDAVILGTQ >KJB41962 pep chromosome:Graimondii2_0_v6:7:10445702:10448802:1 gene:B456_007G130100 transcript:KJB41962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKETDKLSTLSLYFLVSSQFQMSKISGDDGSLSSGNTGEEVHQLLLKNNSPPALVSNTNSSSSQHPQPQPQPPVKRKRNLPGTPDPNAEVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTTTEVKKRVYICPEPTCVHHNPARALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHQKTCGTKEYKCDCGTIFSRRDSFITHRAFCDAIGAEENIKVNQGLMNNAGSNLQNQMPDLLMSSMPMCNGNTSMGISDFNNFDSKSTPLKSLPQELVPMPFKSMNIGGGTFSSSSGTLFGTTRSISSASSSLQLSSNGSSGFNYLQDTKKGCQIAGSPHMSATALLQKAAQMGATASNSINSPIMQTSFASSMAGPDQAIRPPTFGGIQQQNTSYDQFPSQTDQSSMVGISEGFSNQLMQKSPNEFAQLFQGSSAMNEMGMLTNMLLNGVDRNQGLMKNMEHEGSGSSYNLFQGRKPTGPSIYGTSSGGGNMTTLDFMGIGGLRPTNLHEQQHLQQRLELEAISQQRLPMMNPFQQQYSHGDSTIEKPIWDV >KJB45635 pep chromosome:Graimondii2_0_v6:7:53252881:53255028:-1 gene:B456_007G317600 transcript:KJB45635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLNPSSLLLPSKPFLPTRFQLTAHPVIRVNSLIHHLPSSSTSRRRVIKAAALDSDYSSKRSSSNETRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIETYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVSEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCKYPTYQPKQRKESKSVNKRYERRKDGPPAGQFRPKQSASQSESSS >KJB45636 pep chromosome:Graimondii2_0_v6:7:53253465:53254992:-1 gene:B456_007G317600 transcript:KJB45636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLNPSSLLLPSKPFLPTRFQLTAHPVIRVNSLIHHLPSSSTSRRRVIKAAALDSDYSSKRSSSNETRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIETYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVSEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCKYPTYQPKQRKESKSVNKRYERRKDGPPAGQFRPKQSASQSESSS >KJB39388 pep chromosome:Graimondii2_0_v6:7:835884:841459:1 gene:B456_007G010500 transcript:KJB39388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPASPMSFSSNNITVSGSSVVDGSSVGHHGSHQDPSVQQMQQSQQLQQGASSAASRPQSQTGQVSVPLGPRVPGPFLQDPGNLSQVQKKPRLDIKQEDIMQQQMLQQLLQRQDSMQLHGQNPQLQALMQQQRLRQQQQILQSLPPLQRAHLQQQQQQQQQQQLQLRQQLQQQGMQQITGMKRPFDGGVCARRLMQYLYHQRQRPPDNSIAYWRKFVAEYYAPRAKKKWCLSMYDNVGSHALGVFPQAAIDAWQCDICGSKSGRGFEATFDVLPRLNEIKFGSGVIDELLYLDMPRECRFPSGIMMLEYGRAVQESVYEQLRVVREGQLRIIFTQDLKILSWEFCARRHEQLFPRHLVAPQVNQIVQVAQKCQSTISEGGAEGVSQQDLQTNSNMVLTAGRQLVKSLEVQSLNDLGFSKRYVRCLQIAEVVNSMKDLIGFCRENKSGAIEGLKNYPRHPSSTKLQMQKMQEMEQITNVRGLPTDRNTLNKLMALHPGINNNPMRNNSHQMAAGRGTLSGSAQAALALSNYQNLLVRQNSINSNPNSLHQEASSSFNNSNQSPSSSFQGPAASLPAQQHTLSVTANNLIQQNHMQSSQGNPALQQQMIQQLLQEMSNNNTGVQLLQEMSNNNTGVQQQSVNGQNENGSAGRNTSALATATSTVSGSVAGPALSQSNSFKATSNDNSLAAGGDNRFNRGQPDLPQNLHSQDDTVPDIANDFMDNGFFNNDLDYNIGYGWKA >KJB39389 pep chromosome:Graimondii2_0_v6:7:836098:841459:1 gene:B456_007G010500 transcript:KJB39389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSRMAGGLTQSSSSSGIFLQGNGQSQAVVSSRLSSPYENSSNSIPGTGVPNLGPVSDSVVLNSVANSGPSVGASSLVTDANSAFSGGPHLQRSASINTDSYMRLPASPMSFSSNNITVSGSSVVDGSSVGHHGSHQDPSVQQMQQSQQLQQGASSAASRPQSQTGQVSVPLGPRVPGPFLQDPGNLSQVQKKPRLDIKQEDIMQQQMLQQLLQRQDSMQLHGQNPQLQALMQQQRLRQQQQILQSLPPLQRAHLQQQQQQQQQQQLQLRQQLQQQGMQQITGMKRPFDGGVCARRLMQYLYHQRQRPPDNSIAYWRKFVAEYYAPRAKKKWCLSMYDNVGSHALGVFPQAAIDAWQCDICGSKSGRGFEATFDVLPRLNEIKFGSGVIDELLYLDMPRECRFPSGIMMLEYGRAVQESVYEQLRVVREGQLRIIFTQDLKILSWEFCARRHEQLFPRHLVAPQVNQIVQVAQKCQSTISEGGAEGVSQQDLQTNSNMVLTAGRQLVKSLEVQSLNDLGFSKRYVRCLQIAEVVNSMKDLIGFCRENKSGAIEGLKNYPRHPSSTKLQMQKMQEMEQITNVRGLPTDRNTLNKLMALHPGINNNPMRNNSHQMAAGRGTLSGSAQAALALSNYQNLLVRQNSINSNPNSLHQEASSSFNNSNQSPSSSFQGPAASLPAQQHTLSVTANNLIQQNHMQSSQGNPALQQQMIQQLLQEMSNNNTGVQLLQEMSNNNTGVQQQSVNGQNENGSAGRNTSALATATSTVSGSVAGPALSQSNSFKATSNDNSLAAGGDNRFNRGQPDLPQNLHSQDDTVPDIANDFMDNGFFNNDLDYNIGYGWKA >KJB39390 pep chromosome:Graimondii2_0_v6:7:836731:841425:1 gene:B456_007G010500 transcript:KJB39390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSRMAGGLTQSSSSSGIFLQGNGQSQAVVSSRLSSPYENSSNSIPGTGVPNLGPVSDSVVLNSVANSGPSVGASSLVTDANSAFSGGPHLQRSASINTDSYMRLPASPMSFSSNNITVSGSSVVDGSSVGHHGSHQDPSVQQMQQSQQLQQGASSAASRPQSQTGQVSVPLGPRVPGPFLQDPGNLSQVQKKPRLDIKQEDIMQQQMLQQLLQRQDSMQLHGQNPQLQALMQQQRLRQQQQILQSLPPLQRAHLQQQQQQQQQQQLQLRQQLQQQGMQQITGMKRPFDGGVCARRLMQYLYHQRQRPPDNSIAYWRKFVAEYYAPRAKKKWCLSMYDNVGSHALGVFPQAAIDAWQCDICGSKSGRGFEATFDVLPRLNEIKFGSGVIDELLYLDMPRECRFPSGIMMLEYGRAVQESVYEQLRVVREGQLRIIFTQDLKILSWEFCARRHEQLFPRHLVAPQVNQIVQVAQKCQSTISEGGAEGVSQQDLQTNSNMVLTAGRQLVKSLEVQSLNDLGFSKRYVRCLQIAEVVNSMKDLIGFCRENKSGAIEGLKNYPRHPSSTKLQMQKMQEMEQITNVRGLPTDRNTLNKLMALHPGINNNPMRNNSHQMAAGRGTLSGSAQAALALSNYQNLLVRQNSINSNPNSLHQEASSSFNNSNQSPSSSFQGPAASLPAQQHTLSVTANNLIQQNHMQSSQGNPALQQQMIQQLLQEICLITTREFNSSP >KJB45508 pep chromosome:Graimondii2_0_v6:7:52298362:52301667:1 gene:B456_007G309400 transcript:KJB45508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PIF3 [Source:Projected from Arabidopsis thaliana (AT1G09530) UniProtKB/Swiss-Prot;Acc:O80536] MPLSELYRMARGKLDSSQDKNPSSSTDPSIVPEDDFVELVWENGQISMQGQSSVARKVPACNSLQSHSFKIRDKYIGNGGNSSKMGKFGVVDAVSSEVLMSAPSHDDDVVPWLKYSENECSDMLPGLSGLTTNKIPTDGSLASFNQRRQSISDSFTVSLNAAADFKQGKLAKVPKPADDEARLRSGTSEWPQLCQVSSSYLRSRNLESIGNNPSHAFFRDTMGVQLSDETLRGVKMQKQDPVAPCNNTVLMNFSHFSRPAALVKASLQNIGAMARIESKETGFAASTRGPVDSKAIDSNIKLQKENFLHCHSTIVPMKTDIKQSEAKSPDEPVAAEPNDAICEEYVLKSDKISSQVIDENASKCLPESGKAVESVLAASVFCENSVERASDDPVVHNLKKKNHDNEEFECPSEDAEEESVGVKKAVPTRGGKGFKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIDYLKTLQLQVQIMSMRAGLSVPPMMLPTGMQHMHATQMAYFSSMGFGMGLGMGFGMPFPETNTIASAFPMVQVPPVCGAPFSGSGPHLSGSTAFHEMPGANLPLYGLHGQGLPMSMPGAPLFPIPGGHLMKSAIGLSACGLGGPMDNMDSATASSSKDPIQNINSQVAQNTNINSSMNQTSTQYQTANESFQQPAEVQENGRASEITGSVPFRSTDGDKKLPDRL >KJB41835 pep chromosome:Graimondii2_0_v6:7:9824655:9828498:-1 gene:B456_007G123600 transcript:KJB41835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLCLKPGIHGMAPAISVSVPLECRTHTAQVSAVGRSSVDHKSASSSSSAAGVPPQRTAFSRFSFRHPLRSLWPGGGGEGGNNKRYNGMAVDDAVLVENNSGEARMVHEENVSGGATAEGWNENWVLKILHVKSLWREGEEEKISADEIRDTEEEEENNGNGVVNEDEEICEFCRVDTADDDENEKNEIEIDKDSFSKMLRRVSLAEAKLYAQLSYLGNLAYDIPNIKPQNLLKYRGLRMVTSSKEKRELGTKAEKIGLSSENLKTQRDEKDDEEGQEQKNLGSRISASAAYQIAASAASYLHSHTRTILPFISSSPENSKGPSKDSSGSASSSDMINSDVASLMATTDSVTAVVAAKEEVKQAVADDLNSTHSSPCEWFICDDDQSATRFFVIQGSETLASWQANLLFEPVQFEVIS >KJB41836 pep chromosome:Graimondii2_0_v6:7:9825441:9828176:-1 gene:B456_007G123600 transcript:KJB41836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLCLKPGIHGMAPAISVSVPLECRTHTAQVSAVGRSSVDHKSASSSSSAAGVPPQRTAFSRFSFRHPLRSLWPGGGGEGGNNKRYNGMAVDDAVLVENNSGEARMVHEENVSGGATAEGWNENWVLKILHVKSLWREGEEEKISADEIRDTEEEEENNGNGVVNEDEEICEFCRVDTADDDENEKNEIEIDKDSFSKMLRRVSLAEAKLYAQLSYLGNLAYDIPNIKPQNLLKYRGLRMVTSSKEKRELGTKAEKIGLSSENLKTQRDEKDDEEGQEQKNLGSRISASAAYQIAASAASYLHSHTRTILPFISSSPENSKGPSKDSSGSASSSDMINSDVASLMATTDSVTAVVAAKEEVKQAVADDLNSTHSSPCEWFICDDDQSATRFFVIQGSETLASWQANLLFEPVQFEGLDVLVHRGIYEAAKGMYEQMLPEVRSHLKLHGKRATFRFTGHSLGGSLSLLINLMLLIRGEVPASSLLPVVTFGSPSIMCGGDSLLRKLGLPRSHIQAIIMHRDIVPRAFSCNYPDHVAELLKAINGNFRHLPCLKNQVMFLKYSRSICNYIRIWNQLFFSYYRNYCMHQWGKF >KJB41837 pep chromosome:Graimondii2_0_v6:7:9824655:9828498:-1 gene:B456_007G123600 transcript:KJB41837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLCLKPGIHGMAPAISVSVPLECRTHTAQVSAVGRSSVDHKSASSSSSAAGVPPQRTAFSRFSFRHPLRSLWPGGGGEGGNNKRYNGMAVDDAVLVENNSGEARMVHEENVSGGATAEGWNENWVLKILHVKSLWREGEEEKISADEIRDTEEEEENNGNGVVNEDEEICEFCRVDTADDDENEKNEIEIDKDSFSKMLRRVSLAEAKLYAQLSYLGNLAYDIPNIKPQNLLKYRGLRMVTSSKEKRELGTKAEKIGLSSENLKTQRDEKDDEEGQEQKNLGSRISASAAYQIAASAASYLHSHTRTILPFISSSPENSKGPSKDSSGSASSSDMINSDVASLMATTDSVTAVVAAKEEVKQAVADDLNSTHSSPCEWFICDDDQSATRFFVIQGSETLASWQANLLFEPVQFEGLDVLVHRGIYEAAKGMYEQMLPEVRSHLKLHGKRATFRFTGHSLGGSLSLLINLMLLIRGEVPASSLLPVVTFGSPSIMCGGDSLLRKLGLPRSHIQAIIMHRDIVPRAFSCNYPDHVAELLKAINGNFRHLPCLKNQKLLYAPMGQVLILQPEEKFSPHHHLLPSGTGLYCLTCQVSDNDSEEKLLLAAQRVLFNSPHPLEILSDRSAYGSEGTIQRDHDMNSYLKCVRGVIRQELKRIRKTKREQRRKIWWPLVLPHDINAGGIILGRSVATMNAGQDQFNFAGVLQTGRESLKRFSRLVASQHMHLFVVLLLPAKLLLVGAFSLISLR >KJB44767 pep chromosome:Graimondii2_0_v6:7:45743081:45745239:-1 gene:B456_007G271700 transcript:KJB44767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSIVLVSWMVLGLVMATSTTQVEAARAFFVFGDSLVDNGNNNFLATTARADSPPYGIDTPSRRATGRFSNGKNIPDFISDALGSEPTLPYLSPELKGDRLLVGANFASAGIGILNDTGVQFINIIRMYKQLKYFQQYQTRLADLVGYEEAQRIVNDALVLITVGGNDFVNNYYLVPFSPRSRQFSLPDYVRYLISEYRKLLMRLYDLGARKVLVTGTGPMGCVPAELAMRSPSGQCVTELQRAAALYNPQLVQMINELNSQYGANIFIAANTEQKTNDFITNPTAYGFTTSKVACCGQGPYNGLGLCTMLSNLCPNRDEYAFWDPFHPSERANGIIVDMILSGSSNYMSPMNLSSLLALDSNTRT >KJB44766 pep chromosome:Graimondii2_0_v6:7:45743935:45745097:-1 gene:B456_007G271700 transcript:KJB44766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSIVLVSWMVLGLVMATSTTQVEAARAFFVFGDSLVDNGNNNFLATTARADSPPYGIDTPSRRATGRFSNGKNIPDFISDALGSEPTLPYLSPELKGDRLLVGANFASAGIGILNDTGVQFINIIRMYKQLKYFQQYQTRLADLVGYEEAQRIVNDALVLITVGGNDFVNNYYLVPFSPRSRQFSLPDYVRYLISEYRKLLMRLYDLGARKVLVTGTGPMGCVPAELAMRSPSGQCVTELQRAAALYNPQLVQMINELNSQYGANIFIAANTEQKTNDFITNPTAYGK >KJB45084 pep chromosome:Graimondii2_0_v6:7:49491833:49495735:-1 gene:B456_007G288800 transcript:KJB45084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSMQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPEGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIISGYTKSISKAIEVLGELVEKGSETMDVRNKEQVVTRMKAAVASKQHGQEDVLCSLIADACIQVCPKNPANFDVDNVRVSKLVGGGLHNCTIVRGMVLKGDAVGSIKRMEKAKVAVFASGVDSSATETKGTVLIHSADQLENYSKTEEAKVEELIKAVADSGAKVIVSGGAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGTSALLKLSQPKPDDLGFVDSISVEEIGGSRVTVVRSEEGGNKIATVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRMVPGAAATEIELARRLKEFSFKETGLDQYAIAKFAESFEMVPRTLAENAGLNPMDIISKLYEKHASGNTKVGIDLGGGDSEDGVCKDVSTLNIWDLYVTKFFALKYAADAACTVLRVDQIIMAKPAGGPGRREQPAGMDED >KJB45086 pep chromosome:Graimondii2_0_v6:7:49491872:49495702:-1 gene:B456_007G288800 transcript:KJB45086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSMQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPEGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIISGYTKSISKAIEVLGELVEKGSETMDVRNKEQVVTRMKAAVASKQHGQEDVLCSLIADACIQVCPKNPANFDVDNVRVSKLVGGGLHNCTIVRGMVLKGDAVGSIKRMEKAKVAVFASGVDSSATETKGTVLIHSADQLENYSKTEEAKVEELIKAVADSGAKVIVSGGAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGTSALLKLSQPKPDDLGFVDSISVEEIGGSRVTVVRSEEGGNKIATVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRMVPGAAATEIELARRLKEFSFKETGTLAENAGLNPMDIISKLYEKHASGNTKVGIDLGGGDSEDGVCKDVSTLNIWDLYVTKFFALKYAADAACTVLRVDQIIMAKPAGGPGRREQPAGMDED >KJB45088 pep chromosome:Graimondii2_0_v6:7:49491872:49495702:-1 gene:B456_007G288800 transcript:KJB45088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSMQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPEGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIISGYTKSISKAIEVLGELVEKGSETMDVRNKEQVVTRMKAAVASKQHGQEDVLCSLIADLVGGGLHNCTIVRGMVLKGDAVGSIKRMEKAKVAVFASGVDSSATETKGTVLIHSADQLENYSKTEEAKVEELIKAVADSGAKVIVSGGAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGTSALLKLSQPKPDDLGFVDSISVEEIGGSRVTVVRSEEGGNKIATVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRMVPGAAATEIELARRLKEFSFKETGLDQYAIAKFAESFEMVPRTLAENAGLNPMDIISKLYEKHASGNTKVGIDLGGGDSEDGVCKDVSTLNIWDLYVTKFFALKYAADAACTVLRVDQIIMAKPAGGPGRREQPAGMDED >KJB45087 pep chromosome:Graimondii2_0_v6:7:49492547:49495470:-1 gene:B456_007G288800 transcript:KJB45087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSMQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPEGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIISGYTKSISKAIEVLGELVEKGSETMDVRNKEQVVTRMKAAVASKQHGQEDVLCSLIADACIQVCPKNPANFDVDNVRVSKLVGGGLHNCTIVRGMVLKGDAVGSIKRMEKAKVAVFASGVDSSATETKGTVLIHSADQLENYSKTEEAKVEELIKAVADSGAKVIVSGGAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGTSALLKLSQPKPDDLGFVDSISVEEIGGSRVTVVRSEEGGNKIATVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRMVPGAAATEIELARRLKEFSFKETGLDQYAIAKFAESFEMVPRTLAENAGLNPMDIISKLYEKHASGNTKVGIDLGGGDSEDGVCKDVSTLNIWDLYVTK >KJB45085 pep chromosome:Graimondii2_0_v6:7:49493002:49495470:-1 gene:B456_007G288800 transcript:KJB45085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSMQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPEGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIISGYTKSISKAIEVLGELVEKGSETMDVRNKEQVVTRMKAAVASKQHGQEDVLCSLIADACIQVCPKNPANFDVDNVRVSKLVGGGLHNCTIVRGMVLKGDAVGSIKRMEKAKVAVFASGVDSSATETKGTVLIHSADQLENYSKTEEAKVEELIKAVADSGAKVIVSGGAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGTSALLKLSQPKPDDLGFVDSISVEEIGGSRVTVVRSEEGGNKIATVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRMVPGAAATEIELARRLKEFSFKETG >KJB44279 pep chromosome:Graimondii2_0_v6:7:36214492:36215531:-1 gene:B456_007G243700 transcript:KJB44279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQRLSFVFIAGWLFVNTGLANDVFGSPHLDEYFTESRQGIPLITGRFDSLEQLDEFRFLLDDRHGNWYSCDRFNRYFLLWFIFGI >KJB40946 pep chromosome:Graimondii2_0_v6:7:6026940:6027893:-1 gene:B456_007G084400 transcript:KJB40946 gene_biotype:protein_coding transcript_biotype:protein_coding description:TL4 [Source:Projected from Arabidopsis thaliana (AT3G60220) UniProtKB/TrEMBL;Acc:A0A178VKV8] MASPPPFSYGVMGGPVTAAEFDTTQHSHPSSSIDNVKPSLILLLLILSVALLVSVSLCRLLHRRCLRHLPPSSTSTITVGSSHRISPEQSSMTSLLDSLPLFTFSSISRGSNNGDCAVCLSKFEAQDQLRLLPLCCHAFHAHCIDTWLTSNQTCPLCRSQPFASDSHLRKVLLQSSNTSSAIGIGGSDSFRLEIGSISRRHPGSDSGEQRRSYSIGAFDYIIEEESEVSRNQTHRNVTDKEASLPADVSTGRSWLKEYDRLSFYLSSRASSFRSSGRYLMGSSRRSGSISSVTGDYDVEANRIGEEISEMFRWFSEI >KJB43622 pep chromosome:Graimondii2_0_v6:7:22388163:22389171:1 gene:B456_007G209800 transcript:KJB43622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVVSSLCFCVLVVPKLCIFCTRSLPDASFLSCTIWFRELHITHRAQQNIRHCSLVPFLKTQASHVLIF >KJB43623 pep chromosome:Graimondii2_0_v6:7:22388163:22392989:1 gene:B456_007G209800 transcript:KJB43623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVVSSLCFCVLVVPKLCIFCTRSLPDASFLSCTIWFRELHITHRAQQNIRHCSLVPFLKTQGIAEDMEAVLFPGPTSRASHICGYSLASEID >KJB46602 pep chromosome:Graimondii2_0_v6:7:60799768:60801458:-1 gene:B456_007G376900 transcript:KJB46602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTISLPLLFFLIVSPSSSQPTEFFFSGFKHLIDPNNLTLADEAEIEKNGVLRLTNHTHFLQGHTFYSSPFRFKNSTDGRAFSFSTTFVFGIVPDLISGDGFAFIINPSKTLKASSGQYLGMLNAADPGNLSNHLVGIEFDTVQNLEFKDIDDNHVGIDINSLVSNASVAAGYYRQGSSTKQNLSLKSGKPIQAWIDYDSIDNVINVTIAPSSKRPTTPILSFHVDLSPFLNEFMYVGFSASTGQLASSHYVLGWSLKINGQAQTLDLSSLPSFSRPPKKHTALTVDWELEIGPQRYTYHELKQATDGFSDKTFLGQGGFGRVYKGTLKNSKPDVAVKRVSHESKQGLREFMSEISSLGRLRHRNLVQLLGWCRRRGDLLLVYDFMANGSLDKLLFDNPKTILNWDHRFRIVKGVAPGLLYLHEGYDQIVIHRDIKASNVLLDDQLDGRLGDFGLAKFYEHGSNPGTTRVVGTLGYLAPELFKTGKATTSSDVYAFGALLLEVACERRPVEHKALPEEMVLVDWVWEKYRQGRMVGAH >KJB43490 pep chromosome:Graimondii2_0_v6:7:20795518:20799189:-1 gene:B456_007G202900 transcript:KJB43490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPCSCGLYHNQSNSSWLFSIPNHRSCDETNMYPFMPSSSSSVDCTLSLGTPSTRLCEDDDKHIRHERSNPCISNYYWDMLQNKSAPYSHQTTKASRGSNGNSSNGSGNDPLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRATAANANNSSSATASMLEQQHHGYQYHHNNSWVHQNQKMPCFSPLNEFRFIEDTDRDSDTGIPYLSWRLNVTDRPTSLVHDFTR >KJB43489 pep chromosome:Graimondii2_0_v6:7:20795494:20797630:-1 gene:B456_007G202900 transcript:KJB43489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRCSSSQGNMVGPCSCGLYHNQSNSSWLFSIPNHRSCDETNMYPFMPSSSSSVDCTLSLGTPSTRLCEDDDKHIRHERSNPCISNYYWDMLQNKSAPYSHQTTKASRGSNGNSSNGSGNDPLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRATAANANNSSSATASMLEQQHHGYQYHHNNSWVHQNQKMPCFSPLNEFRFIEDTDRDSDTGIPYLSWRLNVTDRPTSLVHDFTR >KJB45675 pep chromosome:Graimondii2_0_v6:7:53495750:53498922:1 gene:B456_007G319700 transcript:KJB45675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKDDDYGEEEDSEYVLLDLEAVRGQIDIPPNAPYTLSGLDTMNPILIIDKKVKLIGEYEETIGTCFVFSEDEASPVVHEETGPSEANLFSGKYIIDPNQAPRKQVKPVARLQKILKFRLLLDEDVQVETNSQNNSIL >KJB45762 pep chromosome:Graimondii2_0_v6:7:54588654:54598361:1 gene:B456_007G326300 transcript:KJB45762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSADTEDLHDDGFEGSHDEHGIFSKVFFENGVGSTSKRCPVAGVDNFECKHSKKLDASLCSNSANSAVTSWSCSKNLCQEDTNAVIESYGGVCALGSFLDRNALAERDDLDASVKRIKFSAAEVSRDKAERRMVLHAPLQQNEIVSGLYSKSLGSVYQKVTPDLIESSAQGFTSSGHLLKQYVKGGRGTKTKDKDITKSRIQDLDASDRKAVIGKAIASPVSQESFASKLVVSSPAAVVEKFESPFSVEEQLSGFQSSGVGSSDISGVIDSKKDPRPLLQTHVFRILRSAGWSIERRKRSCRTYVETVYRSPEGRLFREFPNVWRYFGQVLFSDSCNFVLDNDGKKWTDMSQFWSDLLDTLANIEKELNQPNVSYTLAHYWAILDPFVNVIFVNRKIGSLRKGAEVKAGSSLATQINKKNGVVLARRKNVTKEKFCSQGHMSTQLHDSSLAAESSLTASERSYNTCNGLSGSGSLSRAVRRLKGVRVPQADQVSMCLEDTANRPETFDCKVKGQRIASSYACGSDSTHGLLGNFKYIDCVASGDVSDILQGSVSAAPYQYSNISSPSSNKQRSECNVKTPSELPGDLSFESLEENDKSSGAQDTGKVGKLPQHLLYDHPSYLSDGLLQSGCDEYQFDKLTDALKFETKDEGSALGVILKKKACRRSRKISEIRLTALNQSGFLWSYPPGMNDHRDTNICQAELNSKEAQESFVTKGNLQKSSSLGSCLHQVKKKGSKFKRSFGTRDGSKYRQKKSTECQIQDDDLLVSAIIRNKDLGLGATRSKLKGPKKRPRTKFKSKKSRCKLLPRSIGKGGKHITEIKLYNIGSRTVLSWLILAGVISLNDVIQYRDPEDDSIVKDGLVSWDGIVCNCCNRVLSVSEFKTHAGFKFNRPCMNLFMESGKPFTLCQLQAWSAEYKTRKNGIQKVEADDNDQNDDSCGLCGDVGELICCDNCPSTFHLSCLFMKELPEGNWYCSNCTCPICGNFVNDKDASSSFDSFKCSQCEHKYHKACLNDKSELEEKVSDTLFCGGSCKELHLGLSSRLGMINHLSNGFSWTLLRCIHEDQKIHSAQRLALKAECNSKLAVALSIMEECFLSMVDPRTGVDMRPHLMYNWGSEFARLNFSGFYSLVLEKEDVVICVASIRIHGVTVAEMPLIATCSKYRRQGMCRSLVNVIEELLISFKVEKLVITAIPNVVETWTKGFGFKLVEEEERKALNKINLMVFPGTVLLSKPLYQSQKTDGQSETGNTSCLQQDNSIEHLRREELTNVGIQAVGDQLADSLQHTIYAKEACAKIVTEFVGDKTLQESEINGTKEIANAGGEELCDNLALRVIEETTRLVICTKGKSADESVQCADCNFLSEEVRIKLEERPKVRSCQEYPAGETNSVSQTDGCCGDNEADTVMKVESVQQSDPVCVDNHSAKIDEGVVVTPEQFLEVSCEEQEMALASSSVKESCICNGTQVSVGETAAERL >KJB44994 pep chromosome:Graimondii2_0_v6:7:48641087:48645136:1 gene:B456_007G284100 transcript:KJB44994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCCKGLHKGFAQEISGEQKGRLDCMLLEAKGSWAEAEKAYSSLLEDNPLDQVIHKRRVAMAKAQGNISVAIEWLNKYLEIFMADHDAWRELADIYLSLQMYKQAAFCYEELLLSHPTVPLYHLTYADVLYTLGGLENLQTAKKYYASTIDLTGGKNTRALLGICLCTSAIARLSKGRSKEDKESPELQSLAAKVLEKEYKQRAADKLGLLTSALRSLKI >KJB44992 pep chromosome:Graimondii2_0_v6:7:48641087:48645136:1 gene:B456_007G284100 transcript:KJB44992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTEETQLNRLENQVDNGGGGAWEYLCLVRKLKVRRSEKVLKYGLSILNDPKKRSALGPEEWTLYEQLAIAAMDCQCLDVAKDCIKVLHKKFPESKRVGRLDCMLLEAKGSWAEAEKAYSSLLEDNPLDQVIHKRRVAMAKAQGNISVAIEWLNKYLEIFMADHDAWRELADIYLSLQMYKQAAFCYEELLLSHPTWFLEFQVL >KJB44996 pep chromosome:Graimondii2_0_v6:7:48641337:48643946:1 gene:B456_007G284100 transcript:KJB44996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTEETQLNRLENQVDNGGGGAWEYLCLVRKLKVRRSEKVLKYGLSILNDPKKRSALGPEEWTLYEQLAIAAMDCQCLDVAKDCIKVLHKKFPESKRVGRLDCMLLEAKGSWAEAEKAYSSLLEDNPLDQVIHKRRVAMAKAQGNISVAIEWLNKYLEIFMADHDAWRELADIYLSLQMYKQAAFCYEELLLSHPTVPLYHLTYADVSVY >KJB44993 pep chromosome:Graimondii2_0_v6:7:48641087:48645136:1 gene:B456_007G284100 transcript:KJB44993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTEETQLNRLENQVDNGGGGAWEYLCLVRKLKVRRSEKVLKYGLSILNDPKKRSALGPEEWTLYEQLAIAAMDCQCLDVAKDCIKVLHKKFPESKRVGRLDCMLLEAKGSWAEAEKAYSSLLEDNPLDQVIHKRRVAMAKAQGNISVAIEWLNKYLEIFMADHDAWRELADIYLSLQMYKQAAFCYEELLLSHPTVPLYHLTYADVSVY >KJB44995 pep chromosome:Graimondii2_0_v6:7:48641087:48645136:1 gene:B456_007G284100 transcript:KJB44995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTEETQLNRLENQVDNGGGGAWEYLCLVRKLKVRRSEKVLKYGLSILNDPKKRSALGPEEWTLYEQLAIAAMDCQCLDVAKVIHKRRVAMAKAQGNISVAIEWLNKYLEIFMADHDAWRELADIYLSLQMYKQAAFCYEELLLSHPTVPLYHLTYADVLYTLGGLENLQTAKKYYASTIDLTGGKNTRALLGICLCTSAIARLSKGRSKEDKESPELQSLAAKVLEKEYKQRAADKLGLLTSALRSLKI >KJB44997 pep chromosome:Graimondii2_0_v6:7:48641087:48645172:1 gene:B456_007G284100 transcript:KJB44997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTEETQLNRLENQVDNGGGGAWEYLCLVRKLKVRRSEKVLKYGLSILNDPKKRSALGPEEWTLYEQLAIAAMDCQCLDVAKDCIKVLHKKFPESKRVGRLDCMLLEAKGSWAEAEKAYSSLLEDNPLDQVIHKRRVAMAKAQGNISVAIEWLNKYLEIFMADHDAWRELADIYLSLQMYKQAAFCYEELLLSHPTVPLYHLTYADVLYTLGGLENLQTAKKYYASTIDLTGGKNTRALLGICLCTSAIARLSKGRSKEDKESPELQSLAAKVLEKEYKQRAADKLGLLTSALRSLKI >KJB44991 pep chromosome:Graimondii2_0_v6:7:48641087:48645136:1 gene:B456_007G284100 transcript:KJB44991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTEETQLNRLENQVDNGGGGAWEYLCLVRKLKVRRSEKVLKYGLSILNDPKKRSALGPEEWTLYEQLAIAAMDCQCLDVAKDCIKVLHKKFPESKRVGRLDCMLLEAKGSWAEAEKAYSSLLEDNPLDQVIHKRRVAMAKAQGNISVAIEWLNKYLEIFMADHDAWRELADIYLSLQMYKQAAFCYEELLLSHPTVPLYHLTYADVLYTLGGLENLQTAKKYYASTIDLTGGKNTRALLGICLVSSFSLA >KJB44346 pep chromosome:Graimondii2_0_v6:7:38788994:38803351:-1 gene:B456_007G248600 transcript:KJB44346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQENKMSEHSMNGINGDGFKAQSSDFFRRHNSETHLAPSKLKDGNFDFQSPEAKELHLRVSAQKEEIRRLREQIAVECVKELQLLNEKCALERKFSDLRMAIHEKQNESITSASNELASRKADLEENLKLTHDLKAAEDERYIFMSSLLGLLAEHGLWPHVVNASAITSSVKHLHDQLEWKIRTSHDRIRELTGVLGTHAGGGSHEKDRPNSGILKNQNPHRSTASHGFSPTNHHMDEQHHMPPETMLRYMHDSDHTVKNLGFNDLGQQRLSNGNSQEFLFHSDRGGAGPNPDRAFDKGFVRVGPEDMTNDALYQPDEMASQGSEDWPGIEGFQIIGDATPGEKLLGCGFPVRGTTLCMFQWVRHLQDGTRQYIEGATNPEYVVTADDVDKLIAVECIPMDDQGRQGELVRLFANDQNKIKCDPEMQNEIDSFISGGQAIFTVLLLMDSSENWEPATLTLRRASYQIKINSTEAVEISEKYSKELSIKVPSGLSTQFVLTCSDGSSRPFSTYNVRMRDTLVLTMRMFQSKALDDKRKGRA >KJB44344 pep chromosome:Graimondii2_0_v6:7:38788994:38803351:-1 gene:B456_007G248600 transcript:KJB44344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQENKMSEHSMNGINGDGFKAQSSDFFRRHNSETHLAPSKLKDGNFDFQSPEAKELHLRVSAQKEEIRRLREQIAVECVKELQLLNEKCALERKFSDLRMAIHEKQNESITSASNELASRKADLEENLKLTHDLKDRIRELTGVLGTHAGGGSHEKDRPNSGILKNQNPHRSTASHGFSPTNHHMDEQHHMPPETMLRYMHDSDHTVKNLGFNDLGQQRLSNGNSQEFLFHSDRGGAGPNPDRAFDKGFVRVGPEDMTNDALYQPDEMASQGSEDWPGIEGFQIIGDATPGEKLLGCGFPVRGTTLCMFQWVRHLQDGTRQYIEGATNPEYVVTADDVDKLIAVECIPMDDQGRQGELVRLFANDQNKIKCDPEMQNEIDSFISGGQAIFTVLLLMDSSENWEPATLTLRRASYQIKINSTEAVEISEKYSKELSIKVPSGLSTQFVLTCSDGSSRPFSTYNVRMRDTLVLTMRMFQSKALDDKRKGRA >KJB44345 pep chromosome:Graimondii2_0_v6:7:38789639:38802309:-1 gene:B456_007G248600 transcript:KJB44345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQENKMSEHSMNGINGDGFKAQSSDFFRRHNSETHLAPSKLKDGNFDFQSPEAKELHLRVSAQKEEIRRLREQIAVECVKELQLLNEKCALERKFSDLRMAIHEKQNESITSASNELASRKADLEENLKLTHDLKDRIRELTGVLGTHAGGGSHEKDRPNSGILKNQNPHRSTASHGFSPTNHHMDEQHHMPPETMLRYMHDSDHTVKNLGFNDLGQQRLSNGNSQEFLFHSDRGGAGPNPDRAFDKGFVRVGPEDMTNDALYQPDEMASQGSEDWPGIEGFQIIGDATPGEKLLGCGFPVRGTTLCMFQWVRHLQDGTRQYIEGATNPEYVVTADDVDKLIAVECIPMDDQGRQGELVRLFANDQNKIKCDPEMQNEIDSFISGGQAIFTVLLLMDSSENWEPATLTLRRASYQIKINSTEAVEISEKYSKELSIKVPSGLSTQFVLTCSDGSSRPFSTYNVRMRDTLVLTMRMFQSKDFHEIYLEFQGKVVLLLPRLLVFASFLSQFLLNFGAGLG >KJB42277 pep chromosome:Graimondii2_0_v6:7:12392339:12397544:1 gene:B456_007G146800 transcript:KJB42277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGLIFDFFFSGLCHYSNVHQDPCFLRFTSLNPLQETGQMETTSVRYLINSICRFIHLTSCQKIKVVPMEKNYRNMVVVLKILKPLLDDIVDCKIPSDETLYKECEELDLLVNEAREFVEKWCYNMSKIHRVLQSESFLIKMQSSSLQICQVLHRSLQSSLSTSSITTVQHCMREIKHLQQERPLENVGEALRSLRDDAIPCTDHLIKVFKSLNLSSTQELFKKTVAVEKERMNVQVNSAKGKLDQINQIVDLISCIRDYMLKTQHFVPTCGILIPPRFLCPLSLELMMDPVIVASGQTYDRTSIQKWLDSGLSICPKTHQTLTHTKLIPNDIVKAMVASWCEENNLQLSSNSGRAKLVSISPSNHLSSQDLTHTDSTCFANGSCSTSRSSPEGGNGLEKQKIDTSSKYNQVCYGYQSRDIDKYDQQSSNQSFFHSRTESASSEVSSIDCASSSSKKHERNDLAEISSEGLGTSSLTKESGLSSWITGKQLQASGAKIEKSVNGNHNYNSPYFIESGSGCDDLTTSSHVKTLVDNLKSLSNEVQTAAAAELRFLAKHNMENRIIIGQCGAIAPLLSLLYSKVKLTQEHAVTALLNLSINEDNKARIAKSGAIEPLIHVLKSGNAGAKENSAAALFSLSVLEEYKARIGRSGAVKALVNLLGSGTLRGRKDAATALFNLSIFHENKARIVQAGTVKYLVKFLDPDSGMVDKAVALLSNLSTIAEGRLAIVQEGGIPVLMEVVESGSPRGKENAASALLQLCLNSSKFCTLVLQEGAIPSLVALSQSGTPRAKEKAQQLLSHFRNQREGATGKGRM >KJB42278 pep chromosome:Graimondii2_0_v6:7:12392321:12397155:1 gene:B456_007G146800 transcript:KJB42278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGLIFDFFFSGLCHYSNVHQDPCFLRFTSLNPLQETGQMETTSVRYLINSICRFIHLTSCQKIKVVPMEKNYRNMVVVLKILKPLLDDIVDCKIPSDETLYKECEELDLLVNEAREFVEKWCYNMSKIHRVLQSESFLIKMQSSSLQICQVLHRSLQSSLSTSSITTVQHCMREIKHLQQERPLENVGEALRSLRDDAIPCTDHLIKVFKSLNLSSTQELFKKTVAVEKERMNVQVNSAKGKLDQINQIVDLISCIRDYMLKTQHFVPTCGILIPPRFLCPLSLELMMDPVIVASGQTYDRTSIQKWLDSGLSICPKTHQTLTHTKLIPNDIVKAMVASWCEENNLQLSSNSGRAKLVSISPSNHLSSQDLTHTDSTCFANGSCSTSRSSPEGGNGLEKQKIDTSSKYNQVCYGYQSRDIDKYDQQSSNQSFFHSRTESASSEVSSIDCASSSSKKHERNDLAEISSEGLGTSSLTKESGLSSWITGKQLQASGAKIEKSVNGNHNYNSPYFIESGSGCDDLTTSSHVKTLVDNLKSLSNEVQTAAAAELRFLAKHNMENRIIIGQCGAIAPLLSLLYSKVKLTQEHAVTALLNLSINEDNKARIAKSGAIEPLIHVLKSGNAGAKENSAAALFSLSVLEEYKARIGRSGAVKALVNLLGSGTLRGRKDAATALFNLSIFHENKARIVQAGTVKYLVKFLDPDSGMVDKAVALLSNLSTIAEGRLAIVQEGGIPVLMEVVESGSPRGKENAASALLQLCLNSSKFCTLVLQEGAIPSLVALSQSGTPRAKEKAQQLLSHFRNQREGATGKGRM >KJB42276 pep chromosome:Graimondii2_0_v6:7:12392451:12397155:1 gene:B456_007G146800 transcript:KJB42276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGLIFDFFFSGLCHYSNVHQDPCFLRFTSLNPLQETGQMETTSVRYLINSICRFIHLTSCQKIKVVPMEKNYRNMVVVLKILKPLLDDIVDCKIPSDETLYKECEELDLLVNEAREFVEKWCYNMSKIHRVLQSESFLIKMQSSSLQICQVLHRSLQSSLSTSSITTVQHCMREIKHLQQERPLENVGEALRSLRDDAIPCTDHLIKVFKSLNLSSTQELFKKTVAVEKERMNVQVNSAKGKLDQINQIVDLISCIRDYMLKTQHFVPTCGILIPPRFLCPLSLELMMDPVIVASGQTYDRTSIQKWLDSGLSICPKTHQTLTHTKLIPNDIVKAMVASWCEENNLQLSSNSGRAKLVSISPSNHLSSQDLTHTDSTCFANGSCSTSRSSPEGGNGLEKQKIDTSSKYNQVCYGYQSRDIDKYDQQSSNQSFFHSRTESASSEVSSIDCASSSSKKHERNDLAEISSEGLGTSSLTKESGLSSWITGKQLQASGAKIEKSVNGNHNYNSPYFIESGSGCDDLTTSSHVKTLVDNLKSLSNEVQTAAAAELRFLAKHNMENRIIIGQCGAIAPLLSLLYSKVKLTQEHAVTALLNLSINEDNKARIAKSGAIEPLIHVLKSGNAGAKENSAAALFSLSVLEEYKARIGRSGAVKALVNLLGSGTLRGRKDAATALFNLSIFHENKARIVQAGTVKYLVKFLDPDSGMVDKAVALLSNLSTIAEGRLAIVQEGGIPVLMEVVESGSPRGKENAASALLQLCLNSSKFCTLVLQEGAIPSLVALSQSGTPRAKEKAQQLLSHFRNQREGATGKGRM >KJB45634 pep chromosome:Graimondii2_0_v6:7:53244614:53245895:-1 gene:B456_007G317500 transcript:KJB45634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRISSMAVPSCTIKTRPYSTKQHLYSFFFIIIFSFLFLPSSFSSSSPSIHDLLVSRGLPAGLLPKEVKSYTLSDNGTLEVLLDEPCLTKYENRVFFDSVVRANLSYGSLIGVVGLSQEELFLWLPVKDIIVNDPTSGLILFDIGLAHKQLSLSLFEDPPLCKPQGILKNNVRKEKGFEGIR >KJB44951 pep chromosome:Graimondii2_0_v6:7:48272792:48273387:1 gene:B456_007G281700 transcript:KJB44951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVFFVSLVGATMAKQCGRQTGGALCPNNLCCSQYGWSKNCQSNCRSGLPPLIGEGATLWSTYHFYNPKQNGWDLRAYGWTTFCGLVGLSFLAACGRCLRVRSTRTGAQEIVRILDRCNNGGLDLDVGVFNRLNTDGVGHDQGHLTIRYDFVDCGNGFNPLLALVVDN >KJB43621 pep chromosome:Graimondii2_0_v6:7:22381643:22384164:-1 gene:B456_007G209700 transcript:KJB43621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEATLLQFLLGIIVCSTLFFCTNAEDPYLFYTWEVTYGTRAPLGVPQQVILINNQFPGPPIEAVTNNNIVVNVINHLDEPFLITWHGIMQRRTSWQDGVLGTNCPIPPHSNWTYKFQVKDQIGTFMYYPSTLMHRASGGFGAFNINQRSVISIPYPAFDEDVTLLVGDWYIKGHKALQKQLDSGLDLPLPDGLLINGVSNFTFTGQKGKTYKFRVTNVGISTSINFRIQGHPMTLVEVEGCHTLQEVYESIDIHAGQSIAVLVTLHGSVKDYFIVASTRFTKPILTTTGILLYQGSQTPPSLPLPVAPTYHVHWSMKHARTIRMNLTANAARPNPQGSFHYGAIKVVRTILLANEKVEINDKLRYAVNGISYVDPATPLKLADWFNIPGVFVLNGTKDAPPSGPPTLGVSVFGLTLHDFVEIVFQNTEKTIQSWHLDGYNFFVAGYGGGNWTTDVRRRKRYNLVDAVSRSTVQVYPKSWTSILVSLDNKGMWNLRSQTWSKRYLGQEVYLRVWNDEKSLYTETDIPPNALFCGKAVQS >KJB45850 pep chromosome:Graimondii2_0_v6:7:55546500:55550609:-1 gene:B456_007G332700 transcript:KJB45850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRMISRSLLSSVKSSYPQNFPSLFQHPMTTKISSLKSLSFFSSLSSYHQPTHTTSPPLFKLTHKDWLSPTEILKIFDNLKDPNSLISVLAQYSARKDYKPTEPLFTLLINKLAYAQDFDSIENIMEKLKREKACRLSDEFFQHVIKKYGHVGGRIKRAIEILFSMPEYGTWPSVKTFNIVLSLLVSNKLFDVVHEVYGKAPNLGVEIEACTLNILIKGLCENGKLEFAFQLLDEFPKQRCKPNVRTYSTLMHGLCDKGKVDEAFELMGRMETEGIDADAVSFNILISGLRKQGRTDEGVKLLEIMKKKGCYPNAGSYQEVLYGLLDAARFMEAKEIIGRMVFERVNPSFDSYKKLIHGFCKGKMVKEVDWALKQMVRHGFVPKMGMWIQIVDCVFARNKNNTCDCSLLGGIINS >KJB46026 pep chromosome:Graimondii2_0_v6:7:57255731:57257066:1 gene:B456_007G344300 transcript:KJB46026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKFVSFAIFVLFFFVSISSSNGDAGLVFQHYTNINLTKVIDGDGGVLFENYSQINLNRVTGPESIAFDCKGEGPYVGISDGRILKWKPKFGWQEYAIPSPFRERKLCDGTRDSNVEPICGRPLGLKFDTRTCHLYIADAYFGLLVVGPNGGTAIRLAISAANGAPFKFTNGLDIDASTGMVYFTDSSTLFQRRTGRLLKYNPYNGDVSVLYDGLAFPNGVALSANNSFLLVNESIKRRILKFNVHDPKAAPKVFLELPRVPDNIKRNEKGEFWVALNSGRLGTLGNGVPDPIGMRFNEEAKVLEILDGKGAPTFNSISEVQEHGGKLYIGSVLKSYVGILNA >KJB46559 pep chromosome:Graimondii2_0_v6:7:60637916:60638845:-1 gene:B456_007G374400 transcript:KJB46559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLETTKEMAEKFLMAASMAALVCHPSSSINCFKPKPQTSQRTTSKSAKKNTDIQTDSSLSKTSSSCSSFSDTNTTSTPRSHVPNRVPLTTSCKDLYLVSSLFEKDPAFRVVETIFKSGWDVKTGLEIEKILKINHTIDVLKRFEEYREIVKSKSSNIERLAVDGNEVLKFYGTIVTCSLGIDEFSRICYRESCGVCRMIGLRLSEVEESVGLSDDSRCAHRKVTKECGVDNKVRGRKVVVVCRVIAGRVARCRGQGVGLVEGREGGFDSVVSSCTDRSEELVVLDARAVLPCFVIVYNVKHSKGYKV >KJB41080 pep chromosome:Graimondii2_0_v6:7:6499713:6502007:1 gene:B456_007G089300 transcript:KJB41080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSYKVAVLGGGFAGLVTARELKREGLLVTVFEKADHVGGIWLYNSGVETDLLGLDPNREIVHSSLYRSLRVNLPRQIMGFTDYPFMKKEGGDPRTFPGHEEVLKFLEDFVRDFRLMELIRFGHEVVRVELTDEARHKWVVESRTRETESRWESKEELFEAVVICNGKHTEPKIAEFPGRDAWPGLQMHSHSYRTPEQFENKTVTYQQIECARKDGKVVFQDGSIVDADVIIHCTGSNGTVTVDDNRVGPLYKHVFPPSLAPSLSFVALPYKFEYENWLATQLDIRPPERWKEIMFFSMEKIKSYYGDKYRDAWDVDKWIQEVDCSN >KJB45114 pep chromosome:Graimondii2_0_v6:7:49794217:49797795:-1 gene:B456_007G290500 transcript:KJB45114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAGSDIKIVPKETGQLLKLKLLDVSGCTKLKTISADVLPSLSKLEELYMGGTSIQWGQPNASLAELNTLSHLSTLEVQIPNAEAAPEDFFQKLQKLERYKIFIGKEWERFGNYQYSRTLKLRLNTSFDDLDHGIKKLVKRTQDLQLDELKGVKIALKELSDEERLSHLQNLHIQNGLDIESITNDRNEFLELQSLTLQGLPQLVSFCSQDKIDATSLPQRELPLFGEKISFPSLEKLQLSSLNVTRVWQNQLSNVSFYTHEKLTTLKIEGCGNIIYLLSFSMAKYLVHLKYFEVTKCNCLEEIIFWEDIEEETQVAMTLSLFPQLESLELKDLQHLRGFCFNSQNKVIEFPFMKSMTIYNCSNLEGFIFRYTREGNQRISSQGDLFSEKKNIFLFIHFLVQLKMRGMKMKFLKNVAFPKLQQLTVGGCDKLLTIFPSNMHEEKETALLATAQLRKLHIFRLPKLKYIWKNDPKGIFSFKKICAISVLGCRSLKNVFPASVAKDLPQLGFLAISDCGVEEIVSKLEAGSDSETAHTAKWPMLNKLEVVECEKMKIFGTQLNSNNGQLDSPIHPPLFLVEKVIPKLQLLTLDTDYIAMTSDGQFSSSLFHEIKSFRVVGHGAKSIDFRISFLERFYTLANLAISYCEIKELFCTEGDTSNEETYAGTLSTIRNLKLVALGNLKDYLWKQDVQVDHILPKLETLQVHRCCNLICLGSSSASFQNLTTLDVQFCYTMKYLDTCLAVQGVAQLKKLIVRNCFSMKEIVATEGDEATCDIIFSRLKSLELVNLPRLKSFCSGNHTFGFPCLEELIVSGCPELEIFCKGVLTHPPLLAKCGKDNSHWCSDLNNTIQEMYSIKAEFSKSIEIWKNIHGSLNFKKLKVLEVNCEGLRK >KJB45905 pep chromosome:Graimondii2_0_v6:7:56121834:56122752:1 gene:B456_007G336600 transcript:KJB45905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPLPPYYLTLFLLLFISFNNVGHRNLVFADDALIEAQCHNAEVPETCIKCVKSDPRSQSANKVGIAAIIITCLSNKATTLINNMTTLASGARDKNLKVALRGCEKGFYYTKTNLIAATSRLKGKEYDQTNLLVKQALEEEFVCKMKVKALRFNFPSSVTFDMGVYEELSTAVMRIVDRFV >KJB44314 pep chromosome:Graimondii2_0_v6:7:36874442:36875934:-1 gene:B456_007G245500 transcript:KJB44314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSDAEVKVLGTWASPFVMRVRIALNIKSVAYEFLQERLWEGKSELLLKSNPVHRKVPVLIHGDDTICESLIIVQYIDEVWPSAPILPSDPHERATARFWAAYLDDKWFPSLRAIGMAEGEDARKAAIGQVEEGLMLLEEAFGKCSQGQAFFGKDQIGYLDITFGCFLGWLRVTEKMSGIKLLNEINTPALLKWANRFCNDAAVKDVMPETEKLAEFGKMLRGRVRATPTS >KJB44315 pep chromosome:Graimondii2_0_v6:7:36874666:36875934:-1 gene:B456_007G245500 transcript:KJB44315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSDAEVKVLGTWASPFVMRVRIALNIKSVAYEFLQERLWEGKSELLLKSNPVHRKVPVLIHGDDTICESLIIVQYIDEWFPSLRAIGMAEGEDARKAAIGQVEEGLMLLEEAFGKCSQGQAFFGKDQIGYLDITFGCFLGWLRVTEKMSGIKLLNEINTPALLKWANRFCNDAAVKDVMPETEKLAEFGKMLRGRVRATPTS >KJB39362 pep chromosome:Graimondii2_0_v6:7:671128:672281:-1 gene:B456_007G008600 transcript:KJB39362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLCNKHTILACEGWSWGCHAPEFAPLTAAALVIFCCAWWCWGMKFTKINPHLPLGLLGLPIIGNLPFIKVELHRYFSDLSRIYGPIFKLRMESVFAIVINSPSLANEVLKIQDAIFANHDVPAAAVVGTFGGLNILWTPNGPRYNRPRKLVICEIMSKQSLDACYVLHRREVRRMVKEIYGKVDLIEMRKRLDELIRTFAAANVFDLFPILAPFDLQGIESKAKEQLSWFYGVFESMIKNRRNIGDDGKEKEKISKDFMQQLLELHYRGDDKNSLFINEVKALLLDLMVASIDTIPTTVEWTITELLRHPDKMTKLIEELDTVVGN >KJB42605 pep chromosome:Graimondii2_0_v6:7:13983422:13985159:1 gene:B456_007G159800 transcript:KJB42605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQHLNPSSLKSRFTARFLRALIKINAQKPISSSSPREIFQRYRRIKVAADKSMAYSVRSRRTWSRATLWKLRSQSRRRLASSGRRSSIKTRTTTTTTITTTSTNHRAAIVEKTSHEKENKEDHVGLAVQAEELRELVPGGESMDLCNLLDETAHYIKCLTTQVQVMKKIANLYSLE >KJB40454 pep chromosome:Graimondii2_0_v6:7:4519584:4522573:1 gene:B456_007G064500 transcript:KJB40454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPAAAGAGSGYREFRGKDEFPSNMLHGILAIAMWIGSVHFDIFLLLFSFLFLPFSKFLMVLGFLLIFMVLPIDPYSKFGRKLSRYICKHLCSYFPITLYVEDFNAFNPDRAYVFGYEPHSVLPIGVVTLAGHTSFMPLPKIKVLASSAVFHTPFLRHIWTWLDVAPASRKKFYSLLDAGYSCIVVPGGVQETFHMDHDSEIAFLKARRGFVRIAIEMGCPLVPVFAFGQSCVYDWWKPGGKLFLQVSRALKFTPMIFWGIFGTHLPYRRPMHVVVGKPIELKQNPKPTAEEVL >KJB40453 pep chromosome:Graimondii2_0_v6:7:4519514:4523214:1 gene:B456_007G064500 transcript:KJB40453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPAAAGAGSGYREFRGKDEFPSNMLHGILAIAMWIGSVHFDIFLLLFSFLFLPFSKFLMVLGFLLIFMVLPIDPYSKFGRKLSRYICKHLCSYFPITLYVEDFNAFNPDRAYVFGYEPHSVLPIGVVTLAGHTSFMPLPKIKVLASSAVFHTPFLRHIWTWLDVAPASRKKFYSLLDAGYSCIVVPGGVQETFHMDHDSEIAFLKARRGFVRIAIEMGCPLVPVFAFGQSCVYDWWKPGGKLFLQVSRALKFTPMIFWGIFGTHLPYRRPMHVVVGKPIELKQNPKPTAEEVQEVHDQFVKALEDLFERHKARVGYADLPLKIL >KJB40455 pep chromosome:Graimondii2_0_v6:7:4519584:4523192:1 gene:B456_007G064500 transcript:KJB40455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYICKHLCSYFPITLYVEDFNAFNPDRAYVFGYEPHSVLPIGVVTLAGHTSFMPLPKIKVLASSAVFHTPFLRHIWTWLDVAPASRKKFYSLLDAGYSCIVVPGGVQETFHMDHDSEIAFLKARRGFVRIAIEMGCPLVPVFAFGQSCVYDWWKPGGKLFLQVSRALKFTPMIFWGIFGTHLPYRRPMHVVVGKPIELKQNPKPTAEEVQEVHDQFVKALEDLFERHKARVGYADLPLKIL >KJB40720 pep chromosome:Graimondii2_0_v6:7:5288461:5289275:1 gene:B456_007G074800 transcript:KJB40720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIPLTRPESAGVGRKSTPRKANNHFPIKSLHLRSKFQLQWLLITFDKIKHNFGCLKLDGNRRLSGNSLLILFKSCLYAHLLVGYLIEHCFI >KJB45434 pep chromosome:Graimondii2_0_v6:7:51907097:51910087:1 gene:B456_007G305700 transcript:KJB45434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSYYNDEDDQKLAVFCNTSFSSNWYSMLVNRDTGVLYGKAITLNATNRINETWFQEALNRTEGYFSLGKGWNDDQDSLFLTSVTMDGSGVISVGFPVQVVIDHFAALNFSGGYFHLATVDGDVIVQSELPNAEITVNNNTVLIRASELDGSTIRSISNCSCEPVDGNRVSFHGRIMGEKYTFYCSTVEIAGVQAVSLLASMEKTLLAAKREMYLCAAFVKQMNATQQAERKSMFKTRTYLRANHDIRSSLAAISTLLDLCHADAHPHPELAANLVQIKSCNKDLLDILNSVLNIGKIEAGKMDLEEEEFNLAQLLENVVDVEYPSGIKKGVDVVLDPCDGSIGKLSLVRGDRVKLKQILFNLLRNAIKFTSDGHVSIRAVVKKRSFEKEIIASNGNLVSKCLSLLFCKKEDFEDMDALHRAEQNLNKMEFEFEVDDTGKGIPKDKQASIYEEFIQVKDTTVGEGRLEEEGCGLGLGIVQSIVRLMDGEIGIVDKEPGERGTCFRFTVMLMVCQPEPTLEPLEDSVNGGEPRCSISRSPAASSHVILYITGEERKRVLKKYMESLNIKVTLVKQGKCIHRLLEKIKCKLDYSYSNSSRRPESSSANFPAKSASSSSTAGTNDTFAGIKGGSDSSWGQYTKNNSRNCSTFILVVIESSLGNLSELCCAVANFRACIPDNLCKFVLLDNPVTRPRGGKEEDKLVSHFHERISEPLHGSRLIKVLHLLPEREEFQQNCLGTNRHRTSSSHPPLLEQVAINENTEMRIKKSLNEKTILKQMVINENIETMIIKKPNEKTILEQVVINKNTEKPLNEKTILLVEDSPLNRRITSERLKKLGAEVEVCTNGEEAVDKVCKMLKENNKRKAQPYDFILMDCQVL >KJB42252 pep chromosome:Graimondii2_0_v6:7:12215478:12221723:1 gene:B456_007G145200 transcript:KJB42252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRGQKAANSLGIFKWRWGGESSLSTGLLGDVPPEIELSGYGTVPPSPGSESPSGLLNGETLNVEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSLGFTICFSGFFLLFVDWNGLRNAKCGMDAFESGTKQCDLSKEALHQHPLTPLTLSKAIIVGYLGLFSIYWIFCFLRFFAQLKDTLGIRHFYYNSLHVTDNEIQTMPWAEILERVVRLQISQQLCVVKDLSAHDVVMRLMRKENYLIGMLNKGLLAFPLSPWVPGAGPTVKFGPAGMQHRLILTKTLEWTLNWCILQSMFDRNFCVRRDFISNPRTLKKRLMVVGLAMLLLSPFLVIFMLVYLFLKHAEQFYNHPSTASSRRWSNLAKWMFREFNEVDHLFKHRINSSVMHASEYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAITDELLVLDPEGAMSMVVQHTHYMPKRWRGKENTEMVRIEFETLFQYTGMMLLEEMASIFLTPFLLLFIVPKRVDDILQFIADFTVDVEGVGHVCSFSVFDFQNHGNGNYGSPHNAPCAQRSSQGKMEKSFLSFKSSYPSWEPDAQGKHFLSNIRTFRDQMLQRQGARHVYSSDRLWRGSPLRAYSDRNDFLSKEMQQNIPGGYNMDSLWLIDANQKNHPYLLDWYYTSRAQQMPSYRRDTAARPFEPAEEQHKNYWGPINTTHDEARDKEYRPHHFDDGSLSRLEASTSAPFFHESVLQHHDTNVSVHHTRSCWWLRDGSDSAQPQTSFLEPPDFNRHSSNHPYDNFSERNVEDEDQFLDWRDSWRLSRTTYLDDLEAGEDVNLHFADIYSGPPETPTLNLRPPSFN >KJB42251 pep chromosome:Graimondii2_0_v6:7:12215478:12221723:1 gene:B456_007G145200 transcript:KJB42251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRGQKAANSLGIFKWRWGGESSLSTGLLGDVPPEIELSGYGTVPPSPGSESPSGLLNGETLNVEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSLGFTICFSGFFLLFVDWNGLRNAKCGMDAFESGTKQCDLSKEALHQHPLTPLTLSKAIIVGYLGLFSIYWIFCFLRFFAQLKDTLGIRHFYYNSLHVTDNEIQTMPWAEILERVVRLQISQQLCVVKDLSAHDVVMRLMRKENYLIGMLNKGLLAFPLSPWVPGAGPTVKFGPAGMQHRLILTKTLEWTLNWCILQSMFDRNFCVRRDFISNPRTLKKRLMVVGLAMLLLSPFLVIFMLVYLFLKHAEQFYNHPSTASSRRWSNLAKWMFREFNEVDHLFKHRINSSVMHASEYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAITDELLVLDPEGAMSMVVQHTHYMPKRWRGKENTEMVRIEFETLFQYTGMMLLEEMASIFLTPFLLLFIVPKRVDDILQFIADFTVDVEGVGHVCSFSVFDFQNHGNGNYGSPHNAPCAQRSSQGKMEKSFLSFKSSYPSWEPDAQGKHFLSNIRTFRDQMLQRQGARHVYSSDRLWRGSPLRAYSDRNDFLSKEMQQNIPGGYNMDSLWLIDANQKNHPYLLDWYYTSRAQQMPSYRRDTAARPFEPAEEQHKNYWGPINTTHDEARDKEYRPHHFDDGSLSRLEASTSAPFFHESVLQHHDTNVSVHHTRSCWWLRDGSDSAQPQTSFLEPPDFNRHSSNHPYDNFSERNVEDEDQFLDWRDSWRLSRTTYLDDLEAGEDVNLHFADIYSGPPETPTLNLRPPSFN >KJB42253 pep chromosome:Graimondii2_0_v6:7:12215478:12221752:1 gene:B456_007G145200 transcript:KJB42253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRGQKAANSLGIFKWRWGGESSLSTGLLGDVPPEIELSGYGTVPPSPGSESPSGLLNGETLNVEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSLGFTICFSGFFLLFVDWNGLRNAKCGMDAFESGTKQCDLSKEALHQHPLTPLTLSKAIIVGYLGLFSIYWIFCFLRFFAQLKDTLGIRHFYYNSLHVTDNEIQTMPWAEILERVVRLQISQQLCVVKDLSAHDVVMRLMRKENYLIGMLNKGLLAFPLSPWVPGAGPTVKFGPAGMQHRLILTKTLEWTLNWCILQSMFDRNFCVRRDFISNPRTLKKRLMVVGLAMLLLSPFLVIFMLVYLFLKHAEQFYNHPSTASSRRWSNLAKWMFREFNEVDHLFKHRINSSVMHASEYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAITDELLVLDPEGAMSMVVQHTHYMPKRWRGKENTEMVRIEFETLFQYTGMMLLEEMASIFLTPFLLLFIVPKRVDDILQFIADFTVDVEGVGHVCSFSVFDFQNHGNGNYGSPHNAPCAQRSSQGKMEKSFLSFKSSYPSWEPDAQGKHFLSNIRTFRDQMLQRQGARHVYSSDRLWRGSPLRAYSDRNDFLSKEMQQNIPGGYNMDSLWLIDANQKNHPYLLDWYYTSRAQQMPSYRRDTAARPFEPAEEQHKNYWGPINTTHDEARDKEYRPHHFDDGSLSRLEASTSAPFFHESVLQHHDTNVSVHHTRSCWWLRDGSDSAQPQTSFLEPPDFNRHSSNHPYDNFSERNVEDEDQFLDWRDSWRLSRTTYLDDLEAGEDVNLHFADIYSGPPETPTLNLRPPSFN >KJB42254 pep chromosome:Graimondii2_0_v6:7:12215478:12221723:1 gene:B456_007G145200 transcript:KJB42254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRGQKAANSLGIFKWRWGGESSLSTGLLGDVPPEIELSGYGTVPPSPGSESPSGLLNGETLNVEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSLGFTICFSGFFLLFVDWNGLRNAKCGMDAFESGTKQCDLSKEALHQHPLTPLTLSKAIIVGYLGLFSIYWIFCFLRFFAQLKDTLGIRHFYYNSLHVTDNEIQTMPWAEILERVVRLQISQQLCVVKDLSAHDVVMRLMRKENYLIGMLNKGLLAFPLSPWVPGAGPTVKFGPAGMQHRLILTKTLEWTLNWCILQSMFDRNFCVRRDFISNPRTLKKRLMVVGLAMLLLSPFLVIFMLVYLFLKHAEQFYNHPSTASSRRWSNLAKWMFREFNEVDHLFKHRINSSVMHASEYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAITDELLVLDPEGAMSMVVQHTHYMPKRWRGKENTEMVRIEFETLFQYTGMMLLEEMASIFLTPFLLLFIVPKRVDDILQFIADFTVDVEGVGHVCSFSVFDFQNHGNGNYGSPHNAPCAQRSSQGKMEKSFLSFKSSYPSWEPDAQGKHFLSNIRTFRDQMLQRQGARHVYSSDRLWRGSPLRAYSDRNDFLSKEMQQNIPGGYNMDSLWLIDANQKNHPYLLDWYYTSRAQQMPSYRRDTAARPFEPAEEQHKNYWGPINTTHDEARDKEYRPHHFDDGSLSRLEASTSAPFFHESVLQHHDTNVSVHHTRSCWWLRDGSDSAQPQTSFLEPPDFNRHSSNHPYDNFSERNVEDEDQFLDWRDSWRLSRTTYLDDLEAGEDVNLHFADIYSGPPETPTLNLRPPSFN >KJB43013 pep chromosome:Graimondii2_0_v6:7:16848377:16865981:-1 gene:B456_007G179800 transcript:KJB43013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPMESIGSEEESGLESTIKEDLCMEIDPPFKENVATAEDWRKALKKVVPAVVVLRTTACRAFDTESASAGSATGFVVDKRRGIILTNRHVVKPGPVVAEAMFLNREEITVHPLYRDPVHDFGFFHYNPNAIQFLDYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTIARLDRDAPQYTKDGYNDFNTFYLQAASGTKGGSSGSPVIDWQGRAVALNAGGKTTTASAFFLPLERVVRALQFFQKGGESYTSKWEVVSIPRGTLQATFLHKGFDEIRRLGLQSETEQMARHASAQGETGMLVVDSVVPGGPAHNHLEPGDVLVRVNREVITQFLRLETLLDDSVEQNIELEIERGGTPLSVQLVVQDLHSITPAHFLEVSGAVIHPLSYQQARNFRFQCGLVYVSEPGYMLSRAAVPRHAIIKKLAGEEISKLEDLISVLSKLSQGSRVPLEYISYTDRHRRKFVLVTVDRHEWYAPPQIYTRDDSSGLWTVKPAFQLEFMLPSGVNVEATHMEHIHEDNHQGLTDGATSMETSSEHASAVLHSQNETVMLEDSIAKENVLLRNGQGAAAVANASIAERVIEPTLVMLEVHVPPSCMLDGVHLQHFSGTGVIIYHSHSMGLVAVDKNTVAVSASDVMLSFAAYPIEIPGEVVFLHPVHNFALVAYDSSVLGPVGVSAVQAAELLPDPALRRGDSVYLVGLNRSLQATSRKSVVTNPCATLNIGSADCPRYRATNMEVVELDTDFGSAFSGVLTDEHGRVRAIWASFSTQVSTLNKKYKKKRKTKLKVGSNTSEDHHFVRGIPVYAVSQILHNIISGGNGPHLLINGVKRPMPLIRILEVELYRTLLSKARSFGLSDDWIQALIKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAVNKEPVTCFRDIENVCHALDVGESGGELNMTIFRQGRELDLVVGTDVRDGNGTTRVINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCRGSPVHRYGLYALQWIVEVNGKPTPDLDALVNVTKELEHGEFVRVRTIHLNSKPRVLTLKQDLHYWPTWELRFDPETAIWHRQTIKALDCQNL >KJB43017 pep chromosome:Graimondii2_0_v6:7:16848138:16866174:-1 gene:B456_007G179800 transcript:KJB43017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNREEITVHPLYRDPVHDFGFFHYNPNAIQFLDYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTIARLDRDAPQYTKDGYNDFNTFYLQAASGTKGGSSGSPVIDWQGRAVALNAGGKTTTASAFFLPLERVVRALQFFQKGGESYTSKWEVVSIPRGTLQATFLHKGFDEIRRLGLQSETEQMARHASAQGETGMLVVDSVVPGGPAHNHLEPGDVLVRVNREVITQFLRLETLLDDSVEQNIELEIERGGTPLSVQLVVQDLHSITPAHFLEVSGAVIHPLSYQQARNFRFQCGLVYVSEPGYMLSRAAVPRHAIIKKLAGEEISKLEDLISVLSKLSQGSRVPLEYISYTDRHRRKFVLVTVDRHEWYAPPQIYTRDDSSGLWTVKPAFQLEFMLPSGVNVEATHMEHIHEDNHQGLTDGATSMETSSEHASAVLHSQNETGTGSKIKRVEEYMSSDGFVADCSLNTTVEVMLEDSIAKENVLLRNGQGAAAVANASIAERVIEPTLVMLEVHVPPSCMLDGVHLQHFSGTGVIIYHSHSMGLVAVDKNTVAVSASDVMLSFAAYPIEIPGEVVFLHPVHNFALVAYDSSVLGPVGVSAVQAAELLPDPALRRGDSVYLVGLNRSLQATSRKSVVTNPCATLNIGSADCPRYRATNMEVVELDTDFGSAFSGVLTDEHGRVRAIWASFSTQLKVGSNTSEDHHFVRGIPVYAVSQILHNIISGGNGPHLLINGVKRPMPLIRILEVELYRTLLSKARSFGLSDDWIQALIKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAVNKEPVTCFRDIENVCHALDVGESGGELNMTIFRQGRELDLVVGTDVRDGNGTTRVINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCRGSPVHRYGLYALQWIVEVNGKPTPDLDALVNVTKELEHGEFVRVRTIHLNSKPRVLTLKQDLHYWPTWELRFDPETAIWHRQTIKALDCQNL >KJB43016 pep chromosome:Graimondii2_0_v6:7:16848138:16866174:-1 gene:B456_007G179800 transcript:KJB43016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPMESIGSEEESGLESTIKEDLCMEIDPPFKENVATAEDWRKALKKVVPAVVVLRTTACRAFDTESASAGSATGFVVDKRRGIILTNRHVVKPGPVVAEAMFLNREEITVHPLYRDPVHDFGFFHYNPNAIQFLDYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTIARLDRDAPQYTKDGYNDFNTFYLQAASGTKGGSSGSPVIDWQGRAVALNAGGKTTTASAFFLPLERVVRALQFFQKGGESYTSKWEVVSIPRGTLQATFLHKGFDEIRRLGLQSETEQMARHASAQGETGMLVVDSVVPGGPAHNHLEPGDVLVRVNREVITQFLRLETLLDDSVEQNIELEIERGGTPLSVQLVVQDLHSITPAHFLEVSGAVIHPLSYQQARNFRFQCGLVYVSEPGYMLSRAAVPRHAIIKKLAGEEISKLEDLISVLSKLSQGSRVPLEYISYTDRHRRKFVLVTVDRHEWYAPPQIYTRDDSSGLWTVKPAFQLEFMLPSGVNVEATHMEHIHEDNHQGLTDGATSMETSSEHASAVLHSQNETGTGSKIKRVEEYMSSDGFVADCSLNTTVEVMLEDSIAKENVLLRNGQGAAAVANASIAERVIEPTLVMLEVHVPPSCMLDGVHLQHFSGTGVIIYHSHSMGLVAVDKNTVAVSASDVMLSFAAYPIEIPGEVVFLHPVHNFALVAYDSSVLGPVGVSAVQAAELLPDPALRRGDSVYLVGLNRSLQATSRKSVVTNPCATLNIGSADCPRYRATNMEVVELDTGVLTDEHGRVRAIWASFSTQLKVGSNTSEDHHFVRGIPVYAVSQILHNIISGGNGPHLLINGVKRPMPLIRILEVELYRTLLSKARSFGLSDDWIQALIKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAVNKEPVTCFRDIENVCHALDVGESGGELNMTIFRQGRELDLVVGTDVRDGNGTTRVINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCRGSPVHRYGLYALQWIVEVNGKPTPDLDALVNVTKELEHGEFVRVRTIHLNSKPRVLTLKQDLHYWPTWELRFDPETAIWHRQTIKALDCQNL >KJB43019 pep chromosome:Graimondii2_0_v6:7:16852692:16866181:-1 gene:B456_007G179800 transcript:KJB43019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPMESIGSEEESGLESTIKEDLCMEIDPPFKENVATAEDWRKALKKVVPAVVVLRTTACRAFDTESASAGSATGFVVDKRRGIILTNRHVVKPGPVVAEAMFLNREEITVHPLYRDPVHDFGFFHYNPNAIQFLDYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTIARLDRDAPQYTKDGYNDFNTFYLQAASGTKGGSSGSPVIDWQGRAVALNAGGKTTTASAFFLPLERVVRALQFFQKGGESYTSKWEVVSIPRGTLQATFLHKGFDEIRRLGLQSETEQMARHASAQGETGMLVVDSVVPGGPAHNHLEPGDVLVRVNREVITQFLRLETLLDDSVEQNIELEIERGGTPLSVQLVVQDLHSITPAHFLEVSGAVIHPLSYQQARNFRFQCGLVYVSEPGYMLSRAAVPRHAIIKKLAGEEISKLEDLISVLSKLSQGSRVPLEYISYTDRHRRKFVLVTVDRHEWYAPPQIYTRDDSSGLWTVKPAFQLEFMLPSGVNVEATHMEHIHEDNHQGLTDGATSMETSSEHASAVLHSQNETGTGSKIKRVEEYMSSDGFVADCSLNTTVEVMLEDSIAKENVLLRNGQGAAAVANASIAERVIEPTLVMLEVHVPPSCMLDGVHLQHFSGTGVIIYHSHSMGLVAVDKNTVAVSASDVMLSFAAYPIEIPGEVVFLHPVHNFALVAYDSSVLGPVGVSAVQAAELLPDPALRRGDSVYLVGLNRSLQATSRKSVVTNPCATLNIGSADCPRYRATNMEVVELDTDFGSAFSGVLTDEHGRVRAIWASFSTQVSTLNKKYKKKRKTKVSSSNLPFWINSNVPKYSDACFIDFS >KJB43014 pep chromosome:Graimondii2_0_v6:7:16848067:16866181:-1 gene:B456_007G179800 transcript:KJB43014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPMESIGSEEESGLESTIKEDLCMEIDPPFKENVATAEDWRKALKKVVPAVVVLRTTACRAFDTESASAGSATGFVVDKRRGIILTNRHVVKPGPVVAEAMFLNREEITVHPLYRDPVHDFGFFHYNPNAIQFLDYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTIARLDRDAPQYTKDGYNDFNTFYLQAASGTKGGSSGSPVIDWQGRAVALNAGGKTTTASAFFLPLERVVRALQFFQKGGESYTSKWEVVSIPRGTLQATFLHKGFDEIRRLGLQSETEQMARHASAQGETGMLVVDSVVPGGPAHNHLEPGDVLVRVNREVITQFLRLETLLDDSVEQNIELEIERGGTPLSVQLVVQDLHSITPAHFLEVSGAVIHPLSYQQARNFRFQCGLVYVSEPGYMLSRAAVPRHAIIKKLAGEEISKLEDLISVLSKLSQGSRVPLEYISYTDRHRRKFVLVTVDRHEWYAPPQIYTRDDSSGLWTVKPAFQLEFMLPSGVNVEATHMEHIHEDNHQGLTDGATSMETSSEHASAVLHSQNETVMLEDSIAKENVLLRNGQGAAAVANASIAERVIEPTLVMLEVHVPPSCMLDGVHLQHFSGTGVIIYHSHSMGLVAVDKNTVAVSASDVMLSFAAYPIEIPGEVVFLHPVHNFALVAYDSSVLGPVGVSAVQAAELLPDPALRRGDSVYLVGLNRSLQATSRKSVVTNPCATLNIGSADCPRYRATNMEVVELDTDFGSAFSGVLTDEHGRVRAIWASFSTQLKVGSNTSEDHHFVRGIPVYAVSQILHNIISGGNGPHLLINGVKRPMPLIRILEVELYRTLLSKARSFGLSDDWIQALIKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAVNKEPVTCFRDIENVCHALDVGESGGELNMTIFRQGRELDLVVGTDVRDGNGTTRVINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCRGSPVHRYGLYALQWIVEVNGKPTPDLDALVNVTKELEHGEFVRVRTIHLNSKPRVLTLKQDLHYWPTWELRFDPETAIWHRQTIKALDCQNL >KJB43018 pep chromosome:Graimondii2_0_v6:7:16848138:16866181:-1 gene:B456_007G179800 transcript:KJB43018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPMESIGSEEESGLESTIKEDLCMEIDPPFKENVATAEDWRKALKKVVPAVVVLRTTACRAFDTESASAGSATGFVVDKRRGIILTNRHVVKPGPVVAEAMFLNREEITVHPLYRDPVHDFGFFHYNPNAIQFLDYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTIARLDRDAPQYTKDGYNDFNTFYLQAASGTKGGSSGSPVIDWQGRAVALNAGGKTTTASAFFLPLERVVRALQFFQKGGESYTSKWEVVSIPRGTLQATFLHKGFDEIRRLGLQSETEQMARHASAQGETGMLVVDSVVPGGPAHNHLEPGDVLVRVNREVITQFLRLETLLDDSVEQNIELEIERGGTPLSVQLVVQDLHSITPAHFLEVSGAVIHPLSYQQARNFRFQCGLVYVSEPGYMLSRAAVPRHAIIKKLAGEEISKLEDLISVLSKLSQGSRVPLEYISYTDRHRRKFVLVTVDRHEWYAPPQIYTRDDSSGLWTVKPAFQLEFMLPSGVNVEATHMEHIHEDNHQGLTDGATSMETSSEHASAVLHSQNETGTGSKIKRVEEYMSSDGFVADCSLNTTVEVMLEDSIAKENVLLRNGQGAAAVANASIAERVIEPTLVMLEVHVPPSCMLDGVHLQHFSGTGVIIYHSHSMGLVAVDKNTVAVSASDVMLSFAAYPIEIPGEVVFLHPVHNFALVAYDSSVLGPVGVSAVQAAELLPDPALRRGDSVYLVGLNRSLQATSRKSVVTNPCATLNIGSADCPRYRATNMEVVELDTDFGSAFSGVLTDEHGRVRAIWASFSTQVSTLNKKYKKKRKTKLKVGSNTSEDHHFVRGIPVYAVSQILHNIISGGNGPHLLINGVKRPMPLIRILEVELYRTLLSKARSFGLSDDWIQALIKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAVNKEPVTCFRDIENVCHALDVGESGGELNMTIFRQGRELDLVVGTDVRDGNGTTRVINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCRGSPVHRYGLYALQWIVEVNGKPTPDLDALVNVTKELEHGEFVRVRTIHLNSKPRVLTLKQDLHYWPTWELRFDPETAIWHRQTIKALDCQNL >KJB43015 pep chromosome:Graimondii2_0_v6:7:16848067:16866181:-1 gene:B456_007G179800 transcript:KJB43015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPMESIGSEEESGLESTIKEDLCMEIDPPFKENVATAEDWRKALKKVVPAVVVLRTTACRAFDTESASAGSATGFVVDKRRGIILTNRHVVKPGPVVAEAMFLNREEITVHPLYRDPVHDFGFFHYNPNAIQFLDYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTIARLDRDAPQYTKDGYNDFNTFYLQAASGTKGGSSGSPVIDWQGRAVALNAGGKTTTASAFFLPLERVVRALQFFQKGGESYTSKWEVVSIPRGTLQATFLHKGFDEIRRLGLQSETEQMARHASAQGETGMLVVDSVVPGGPAHNHLEPGDVLVRVNREVITQFLRLETLLDDSVEQNIELEIERGGTPLSVQLVVQDLHSITPAHFLEVSGAVIHPLSYQQARNFRFQCGLVYVSEPGYMLSRAAVPRHAIIKKLAGEEISKLEDLISVLSKLSQGSRVPLEYISYTDRHRRKFVLVTVDRHEWYAPPQIYTRDDSSGLWTVKPAFQLEFMLPSGVNVEATHMEHIHEDNHQGLTDGATSMETSSEHASAVLHSQNETGTGSKIKRVEEYMSSDGFVADCSLNTTVEVMLEDSIAKENVLLRNGQGAAAVANASIAERVIEPTLVMLEVHVPPSCMLDGVHLQHFSGTGVIIYHSHSMGLVAVDKNTVAVSASDVMLSFAAYPIEIPGEVVFLHPVHNFALVAYDSSVLGPVGVSAVQAAELLPDPALRRGDSVYLVGLNRSLQATSRKSVVTNPCATLNIGSADCPRYRATNMEVVELDTDFGSAFSGVLTDEHGRVRAIWASFSTQLKVGSNTSEDHHFVRGIPVYAVSQILHNIISGGNGPHLLINGVKRPMPLIRILEVELYRTLLSKARSFGLSDDWIQALIKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAVNKEPVTCFRDIENVCHALDVGESGGELNMTIFRQGRELDLVVGTDVRDGNGTTRVINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCRGSPVHRYGLYALQWIVEVNGKPTPDLDALVNVTKELEHGEFVRVRTIHLNSKPRVLTLKQDLHYWPTWELRFDPETAIWHRQTIKALDCQNL >KJB39523 pep chromosome:Graimondii2_0_v6:7:1354737:1358088:-1 gene:B456_007G018100 transcript:KJB39523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVKESESETASSSSAGKLEEEVGRVMDQARELHESGASLLWKISNEEQSLRQKAISLESSIRRVRSSINSLISKKLLDPEFARKLEEDLQRASSILTDGEAAAFLPAEAQGRFLRMFLGPINVRASRKEVQLKVKEEYNSYRDRTAFLFLLFPLTLLILKSWIWEGCLPAFPVQLYEAWLLFLYTGLAMRENILRANGSDIRPWWIYHHYCAMLMALVSLTWEIKRQPNCAQKQRGVELFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKANRMDVVWGETSGVDGQLWVLCPILFAMQGFEAYVGLLLLKTAFVGVVTEWQVICCGILLVLMAVGNFINTIQTLMTKSRFKAKMKRSKSKPELD >KJB39525 pep chromosome:Graimondii2_0_v6:7:1354799:1357888:-1 gene:B456_007G018100 transcript:KJB39525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVKESESETASSSSAGKLEEEVGRVMDQARELHESGASLLWKISNEEQSLRQKAISLESSIRRVRSSINSLISKKLLDPEFARKLEEDLQRASSILTDGEAAAFLPAEAQGRFLRMFLGPINVRASRKEVQLKVKEEYNSYRAWLLFLYTGLAMRENILRANGSDIRPWWIYHHYCAMLMALVSLTWEIKRQPNCAQKQRGVELFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKANRMDVVWGETSGVDGQLWVLCPILFAMQGFEAYVGLLLLKTAFVGVVTEWQVICCGILLVLMAVGNFINTIQTLMTKSRFKAKMKRSKSKPELD >KJB39524 pep chromosome:Graimondii2_0_v6:7:1355343:1357810:-1 gene:B456_007G018100 transcript:KJB39524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVKESESETASSSSAGKLEEEVGRVMDQARELHESGASLLWKISNEEQSLRQKAISLESSIRRVRSSINSLISKKLLDPEFARKLEEDLQRASSILTDGEAAAFLPAEAQGRFLRMFLGPINVRASRKEVQLKVKEEYNSYRDRTAFLFLLFPLTLLILKSWIWEGCLPAFPVQLYEAWLLFLYTGLAMRENILRANGSDIRPWWIYHHYCAMLMALVSLTWEIKRQPNCAQKQRGVELFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKANRMDVVWGETSGVDGQLWVLCPILFAMQLL >KJB40768 pep chromosome:Graimondii2_0_v6:7:5417479:5423651:1 gene:B456_007G076600 transcript:KJB40768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYCDENLSFSPACNLSPSHDLRLSKHVHDNVHGNIYLDPLSLKFIDTEQFQRLRELKQLGLAHLVYPGAVHSRFEHSLGVYWLAGEAMQKLKTYQGLELGIDRFDVQAVKVAGLLHDVGHGPFSHLFEREFLPKVLNSFKWAHEQMSADLIDHIVDVHHIDVESEMIKRVKEMVLASSEFALPKSAKEKQFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCSFDFHRLMETMRVLGDEICYRAKDYLSIHKLFATRADLYRTVYTHSKVKAVELMIVDALLKANSYLEISSSIQDPSEYWKLDDTIIKTIETAPDEELRESRDLILRIRRRNLYQFCNEYSVPKDQLEHFKDVTAQDIACSQKNGGVLLQEDDIAVSNVRIDLTRGRQNPLESINFFKDYESQEKFPIPHERISHLLPTSYQDMIVRVYSKKPELVAAVSEAFENFQLKIYGVKAQVHATPEKKKRRF >KJB40769 pep chromosome:Graimondii2_0_v6:7:5417442:5423091:1 gene:B456_007G076600 transcript:KJB40769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYCDENLSFSPACNLSPSHDLRLSKHVHDNVHGNIYLDPLSLKFIDTEQFQRLRELKQLGLAHLVYPGAVHSRFEHSLGVYWLAGEAMQKLKTYQGLELGIDRFDVQAVKVAGLLHDVGHGPFSHLFEREFLPKVLNSFKWAHEQMSADLIDHIVDVHHIDVESEMIKRVKEMVLASSEFALPKSAKEKQFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCSFDFHRLMETMRVLGDEICYRAKDYLSIHKLFATRADLYRTVYTHSKVKAVELMIVDALLKANSYLEISSSIQDPSEYWKLDDTIIKTIETAPDEELRESRDLILRIRRRNLYQFCNEYSVPKDQLEHFKDVTAQDIACSQKNGGVLLQEDDIAVSNVRIDLTRGRQNPLESINFFKDYESQEKFPIPHERISHLLPTSYQDMIVRVYSKKPELVAAVSEAFENFQLKIYGVKAQVHATPEKKKRRF >KJB40771 pep chromosome:Graimondii2_0_v6:7:5417905:5423091:1 gene:B456_007G076600 transcript:KJB40771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLKTYQGLELGIDRFDVQAVKVAGLLHDVGHGPFSHLFEREFLPKVLNSFKWAHEQMSADLIDHIVDVHHIDVESEMIKRVKEMVLASSEFALPKSAKEKQFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCSFDFHRLMETMRVLGDEICYRAKDYLSIHKLFATRADLYRTVYTHSKVKAVELMIVDALLKANSYLEISSSIQDPSEYWKLDDTIIKTIETAPDEELRESRDLILRIRRRNLYQFCNEYSVPKDQLEHFKDVTAQDIACSQKNGGVLLQEDDIAVSNVRIDLTRGRQNPLESINFFKDYESQEKFPIPHERISHLLPTSYQDMIVRVYSKKPELVAAVSEAFENFQLKIYGVKAQVHATPEKKKRRF >KJB40770 pep chromosome:Graimondii2_0_v6:7:5417395:5423617:1 gene:B456_007G076600 transcript:KJB40770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYCDENLSFSPACNLSPSHDLRLSKHVHDNVHGNIYLDPLSLKFIDTEQFQRLRELKQLGLAHLVYPGAVHSRFEHSLGVYWLAGEAMQKLKTYQGLELGIDRFDVQAVKVAGLLHDVGHGPFSHLFEREFLPKVLNSFKWAHEQMSADLIDHIVDVHHIDVESEMIKRVKEMVLASSEFALPKSAKEKQFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCSFDFHRLMETMRVLGDEICYRAKDYLSIHKLFATRADLYRTVYTHSKVKAVELMIVDALLKANSYLEISSSIQDPSEYWKLDDTIIKTIETAPDEELRESRDLILRIRRRNLYQFCNEYSVPKDQLEHFKDVTAQDIACSQKNGGVLLQEDDIAVSNVRIDLTRGRQNPLESINFFKDYESQEKFPIPHERISHLLPTSYQDMIVRVYSKKPELVAAVSEAFENFQLKIYGVKAQVHATPEKKKRRF >KJB41117 pep chromosome:Graimondii2_0_v6:7:6613161:6616526:1 gene:B456_007G091200 transcript:KJB41117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKSKKEYEKSKPGSKRKRSESKKYEHAVSNVLKENEDKITKPDKCTPKIEEKKDDYVLVEYESPILGIVDDEEFWFPPGKKSMVEYRSASRIGNFDFDANRKRIKLMCFIDLEIDYINHYDSAVRINKVVMPEFIIQAVFCLVCLITGHYFLFFPSLPYSYYNFILYRRRKHLVDVTEIFNQLEWEKKQRLIKLGYLIILLIVFIFWLIWTVGKDDY >KJB43801 pep chromosome:Graimondii2_0_v6:7:24560108:24563419:1 gene:B456_007G216800 transcript:KJB43801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSALHQSYINRRTNSFRSSGPLDSSSDSAIKSPAAIFWLVFHGLCCLISLLLGYRFSRLVFFFLFSTSSTNFYTSPFRSTAELVKTLDVHSVLSNNPVANLDLPLLNKTVTNSRVVVGRHGIRIRPWPHPDPVEVMKAHRIIEIVQKEQRSQFGVKNPRTVIVVTPTYVRTFQALHLTGVMHSLMLVPYDLVWIVVEAGGVSNETASLIAKSGLKTIHVGFNQRMPNSWEERHKLESKMRLRALRIIREKKLDGIVMFADDSNMHSMELFDEIQNVKWFGAVSVGILTHSVNTDEMAGRKKDEEENPRMPVQGPACNASDMLAGWHTFNTLPFAGKSAVYIDDRATVLPRKLEWSGFVLNTRLLWKDSSDKPKWIKDIDMLNGDIESPLGLVNDPSVVEPLGNCGRQVLLWWIRVEARADSKFPPRWIIDPPLEITVPSKRTPWPDAPPELPANEKPAMGIQDPIVKHSTKRTSRSKHRSKRKHEPKTDTQVSTRHSEQN >KJB43800 pep chromosome:Graimondii2_0_v6:7:24560040:24563333:1 gene:B456_007G216800 transcript:KJB43800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTKRIRRRWACHKPKSSNLAVTLNQFNRNSKELRRRQISKRMKLSALHQSYINRRTNSFRSSGPLDSSSDSAIKSPAAIFWLVFHGLCCLISLLLGYRFSRLVFFFLFSTSSTNFYTSPFRSTAELVKTLDVHSVLSNNPVANLDLPLLNKTVTNSRVVVGRHGIRIRPWPHPDPVEVMKAHRIIEIVQKEQRSQFGVKNPRTVIVVTPTYVRTFQALHLTGVMHSLMLVPYDLVWIVVEAGGVSNETASLIAKSGLKTIHVGFNQRMPNSWEERHKLESKMRLRALRIIREKKLDGIVMFADDSNMHSMELFDEIQNVKWFGAVSVGILTHSVNTDEMAGRKKDEEENPRMPVQGPACNASDMLAGWHTFNTLPFAGKSAVYIDDRATVLPRKLEWSGFVLNTRLLWKDSSDKPKWIKDIDMLNGDIESPLGLVNDPSVVEPLGNCGRQVLLWWIRVEARADSKFPPRWIIDPPLEITVPSKRTPWPDAPPELPANEKPAMGIQDPIVKHSTKRTSRSKHRSKRKHEPKTDTQVSTRHSEQN >KJB43802 pep chromosome:Graimondii2_0_v6:7:24560405:24563419:1 gene:B456_007G216800 transcript:KJB43802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSALHQSYINRRTNSFRSSGPLDSSSDSAIKSPAAIFWLVFHGLCCLISLLLGYRFSRLVFFFLFSTSSTNFYTSPFRSTAELVKTLDVHSVLSNNPVANLDLPLLNKTVTNSRVVVGRHGIRIRPWPHPDPVEVMKAHRIIEIVQKEQRSQFGVKNPRTVIVVTPTYVRTFQALHLTGVMHSLMLVPYDLVWIVVEAGGVSNETASLIAKSGLKTIHVGFNQRMPNSWEERHKLESKMRLRALRWIIDPPLEITVPSKRTPWPDAPPELPANEKPAMGIQDPIVKHSTKRTSRSKHRSKRKHEPKTDTQVSTRHSEQN >KJB44533 pep chromosome:Graimondii2_0_v6:7:42015325:42016417:-1 gene:B456_007G258300 transcript:KJB44533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIACVTDGLPLAEGLDDGRDLTDAEMYKQQVKALFKNLPKGHNEASRMSVETGPYVFHYIIEGHVCYLTMCDHSYHCSGNFCS >KJB44532 pep chromosome:Graimondii2_0_v6:7:42014962:42015768:-1 gene:B456_007G258300 transcript:KJB44532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIACVTDGLPLAEGLDDGRDLTDAEMYKQQVKALFKNLPKGHNEASRMSVETGPYVFQYPCPGRARVF >KJB44551 pep chromosome:Graimondii2_0_v6:7:42355661:42355908:1 gene:B456_007G2592001 transcript:KJB44551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FQYPKGYHNVFKVNGTAFKNCDIPPANQALSSGNDTVVLNTPGRKWYICGVSNHCSAYAQKLFITVQYQYGWAPAPTPQILQ >KJB39759 pep chromosome:Graimondii2_0_v6:7:2049843:2054312:1 gene:B456_007G029800 transcript:KJB39759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HVPDSRPFHYRLKISSKLVNYLHFSNLNISPLSTSIIEMKSNLDQLTESLSLSATESQKAWHVFSLIIFIGKPAPISDLASQCTLFPVSPDIIFSLCSIPNSPITLSDDKHLVAISSIGLSFFAAYIARNFTVADAFTSLPVPSRNFPEIRVCKRDRYASDFSEDENERELIPSVKRIRNYCTKVQYLLTDDMNRMSSARELSYREEGIEDVESKVNVEDYMLGNVSKELVGSKPEVETKAVILNGINFPECAETSNIGVALGGKFYVDFTKRSFRTVDNEVAVEDNKKEEKLDLVSRRQGVEDLSPPFNICLSDKPPERDLKSLDEGNCNRKMPSLGEELEIVPAASSVMPPVFQTPNKSLGDTNVVSTYKRKRYRVKDNLSARTAQKSTQNRKDIYVNERRANSTSISLQDQAKPKVLPDFESYIVEEEEGSGGYGTVYRARRKNDGALVAIKCPHANAHKNYVNNEQKMLERFGGKNFIIRYEGCIKSENSDCFVLQYVEHDRPEVLKREIDVFQLKWYAFCLFKALANLHKQGIVHRDVKPGNFLFSRKTNKGYLIDFNLAMEMHQKYRSMDKSKSGYDVTFHHNIVPAKATHLTNSSKFLNIKSREGINIEATKGSRLTLEPKNMRKTAIQRKALHNDLSSWNKINSQGVDGSGITSTKDLSARTPSAERLREPLPCQGRKELIGLAQEAMQSPKPGVLHVPASMRKRVAASPGKWDRQILHPTPMPLCSTSLALSGVGFAKNKGEHLLGDGKHKTKGPCAGTKGFRAPEVLFRSQHQGSKIDIWSAGVTLLYLMIGKSPFISDPEQSINDIAKLRGSEDLWEVAKLHNRDSSFPEELYGKQSLTTMNLRQWCRINTKRRDFLTEIPSSLYDLVDKCLTVNPRLRITAEDALKHEFLASIHENLGKQRTRTL >KJB39760 pep chromosome:Graimondii2_0_v6:7:2049843:2054494:1 gene:B456_007G029800 transcript:KJB39760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HVPDSRPFHYRLKISSKLVNYLHFSNLNISPLSTSIIEMKSNLDQLTESLSLSATESQKAWHVFSLIIFIGKPAPISDLASQCTLFPVSPDIIFSLCSIPNSPITLSDDKHLVAISSIGLSFFAAYIARNFTVADAFTSLPVPSRNFPEIRVCKRDRYASDFSEDENERELIPSVKRIRNYCTKVQYLLTDDMNRMSSARELSYREEGIEDVESKVNVEDYMLGNVSKELVGSKPEVETKAVILNGINFPECAETSNIGVALGGKFYVDFTKRSFRTVDNEVAVEDNKKEEKLDLVSRRQGVEDLSPPFNICLSDKPPERDLKSLDEGNCNRKMPSLGEELEIVPAASSVMPPVFQTPNKSLGDTNVVSTYKRKRYRVKDNLSARTAQKSTQNRKDIYVNERRANSTSISLQDQAKPKVLPDFESYIVEEEEGSGGYGTVYRARRKNDGALVAIKCPHANAHKNYVNNEQKMLERFGGKNFIIRYEGCIKSENSDCFVLQYVEHDRPEVLKREIDVFQLKWYAFCLFKALANLHKQGIVHRDVKPGNFLFSRKTNKGYLIDFNLAMEMHQKYRSMDKSKSGYDVTFHHNIVPAKATHLTNSSKFLNIKSREGINIEATKGSRLTLEPKNMRKTAIQRKALHNDLSSWNKINSQGVDGSGITSTKDLSARTPSAERLREPLPCQGRKELIGLAQEAMQSPKPGVLHVPASMRKRVAASPGKWDRQILHPTPMPLCSTSLALSGVGFAKNKGDGKHKTKGPCAGTKGFRAPEVLFRSQHQGSKIDIWSAGVTLLYLMIGKSPFISDPEQSINDIAKLRGSEDLWEVAKLHNRDSSFPEELYGKQSLTTMNLRQWCRINTKRRDFLTEIPSSLYDLVDKCLTVNPRLRITAEDALKHEFLASIHENLGKQRTRTL >KJB39059 pep chromosome:Graimondii2_0_v6:7:53851407:53854114:1 gene:B456_007G322000 transcript:KJB39059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGFGVYCFANGHQYEGAWHEGRRQGLGMYTFRSGETQFGHWQNGILDVPSTRNNTYPISPVAVYRSKVLNVAKVDERVNKAVASQPMQQE >KJB44272 pep chromosome:Graimondii2_0_v6:7:36001897:36005487:1 gene:B456_007G243200 transcript:KJB44272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHHFEHAFCSYKYCLTKQNIKFSLDPIWFVLHSKLSIALDINSTCAKVAFVIISKSMNSPTPQFVPSRLSIYEPIHQIGIWGENFKRNGNPNTSTSLIVEVDNKLENESETTSHEMLAPTNNYEQEASKPIDKIQRRLAQNREAARKSRLRKKAYVQQLENSRLKLIQLEQELQRATQQGLYMGGVLEGSHIGFSGTVNSGIAAFEMEYGRWVDVQHRQICELRTALNAHISDIELRILVKSGMGHYFELFRMKSTVAKADVFYVISGVWKTSAERFFSWIGGFRPSELLKVLVPQLDPLTEQQILEVCNLKQSCQQAEDALSQGLEKLQETVSSTVAGGPVAEVSYIPQVATAMEKLEALVSFVNQADHLRQETLQQMSRILTTRQAARGLLALGEYFHRLRALSTLWVTRPPEPSLP >KJB42464 pep chromosome:Graimondii2_0_v6:7:13910870:13911783:1 gene:B456_007G159000 transcript:KJB42464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRGSITVSMIPNSTLLMGWCRGRKRVKRRRGSTVRLGNKAKRRGFWLGSRSVVQWGVMVGPLRMLKKIITEITVKEKFIEAYYMYLPLLRPQLFPLC >KJB44443 pep chromosome:Graimondii2_0_v6:7:40638426:40640763:1 gene:B456_007G253200 transcript:KJB44443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPNLNNDFDCCSGVVKEMNFLAPPPILSPSVERDSTNPQMGFQIPSSLMEQHNKCLSFEPLESRGTMRAAACYGKDGVLGDEKKGLALNLGVEVDDVSNKNSGAVGGTKIGHTKLCSRGHWRPAEDAKLKELVAQYGAQNWNLIAEHLEGRSGKSCRLRWFNQLDPRINRRAFSDEEEERLLSAHRVYGNKWAMISRLFPGRTDNAVKNHWHVIMARKHREQSSFYRRRKPCSSSFASQAVPKGLDVTFQNNACSDQSTISSNIDESASTCTDLCLTPSPAEVPLGFFSSFSPVLQTGPSRTKENGDFGKLYGSGNVLYQQQGPMGVANGVDQSTHSSDSEVTAGEPVGTNKTNHSIESEDGNEKKIHNMRFIDFLGVGAS >KJB41039 pep chromosome:Graimondii2_0_v6:7:6339284:6340921:1 gene:B456_007G088000 transcript:KJB41039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloendoproteinase 4-MMP [Source:Projected from Arabidopsis thaliana (AT2G45040) UniProtKB/Swiss-Prot;Acc:Q8GWW6] MRLPSTHPLSLLFIFFLHNLPPYMAARLVPEFPFRELTVSTVDKYYRYNRFLSSERGKHVSGMSEIKKYLRYLGYLTSPDYNVSDDIFDAQFESAIKHYQSRLGLLVTGKLDYGMLSQILAPRCGIPDGESSSPTLHVKKNYVFFPGKPRWARPVPMTLTYGISPDNLIHYLSLSDIRKTFKRAFSRWASVIPVSFVETNDYGFADIKIGFYTGDHGDGEPFDGVLGILAHSFSPESGRFHLDAAETWAVDFGVEKSTVAVDLESVAIHEIGHLLGLAHSPVKNAVMYPSLKPREKKVDLSIDDIQGVQSLYGSNPNFTFGSLLESDTSANQAIGLRFEPSFWAISMFLAASFFCI >KJB46418 pep chromosome:Graimondii2_0_v6:7:59964390:59965154:-1 gene:B456_007G366800 transcript:KJB46418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKPKLPFFSKHNNNGRHSKPSWRWPSCHQPKTHSFRTDETPESWFSNSSESPSFSTASDESRTGAGTGGVDSVETVIQGVRLRSERLFYEPSEPKLGAESETESPYKETTVLSMESMDPFVDFRDSMEAMVESHGLKDWESLEELLGWYLKANGESSHGYILGAFIDLLLKKKMKLVDAGSSLTPSSPLSFCNSSSSSLSSSSCYEDTLMVTPCESSLKGNGNNNNNDNNSNHCLTEKKIIVDDDGVSSCLS >KJB46333 pep chromosome:Graimondii2_0_v6:7:60026451:60028296:-1 gene:B456_007G367800 transcript:KJB46333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSDDNASIDVESSAESKGKAPLIGNGKEKPNKLKKIMGVVDFVLRLAAIIAALAAAATMGTSDETATFFTQVFRIEASYDDVPTFTFLVIAMSIVAGYLVLSLMFSVITIIRPNFAIARILLFIFDIVVLALATASAAAAAAIVYLAHNGNRNTNWQAICQQFGHFCQKVSGAVVTSFVAVVLLMLLVLLSGFNFILKKK >KJB45039 pep chromosome:Graimondii2_0_v6:7:49257376:49259372:-1 gene:B456_007G286600 transcript:KJB45039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSQKGIVITIPVLVLSVSAAAIFLFFLLSSLSSSPCTCPPSIPDSTFTTTAAVVTGGTVVAEVVDRRISVSRDDIEWVKDQIRANGLHMQDNVLRKGINPRTRAQQLEDLNNFKGISHYEGSEANNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLAESSLLTANSHVLEIGCGTLRVGLHFIRYLKPEHFHCLERDELSLMAAFRYELPSQGLLHKRPLIVRGEDMDFAKFGSDVMYDLIYASAVFLHMPDKLVWVGLERLVSRLKPYDGRIFVSHNIKFCSRLGGDECSKRLGSLGIEYLGKHTHDSLLFNHYEIWFEFRRSKA >KJB41643 pep chromosome:Graimondii2_0_v6:7:8768520:8771627:1 gene:B456_007G113200 transcript:KJB41643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLQMLQSVESPQLFAFKEPNFQTLLRLQHMKKPWELNTNPFIPEMETQVQALELESCVTHETVLDLHSPVKSETKELKKSPPSSSCVEVLSSGSNQHQPKSDNCSREPNLGSSSQKIFTKSPPITRERRKRKRTKAAKNKEEVESQRMTHIAVERNRRRQMNDYLNSLRSLMPPCYIQRGDQASIIAGAIDFVKELEQLLQSLEAQKRTRKVHESNNSMSNPAMEIHQQEYENGSEDGHCGEEVKAESKTGAAEVEVNVIHNHVNLKIQCSRRAGQLIQAIVTLETLRLTVLHLNITTSRASVLYSFNLKMEDDSALRSADEIAAAVHQIFS >KJB41644 pep chromosome:Graimondii2_0_v6:7:8768742:8771459:1 gene:B456_007G113200 transcript:KJB41644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTERGTQKEKKNEFRAFTSTTAKLFMERPQGPINPYFLGEHLEVECLEQGFISCERLKLGEEEEEAHFSIPSFEEKMPFLQMLQSVESPQLFAFKEPNFQTLLRLQHMKKPWELNTNPFIPEMETQVQALELESCVTHETVLDLHSPVKSETKELKKSPPSSSCVEVLSSGSNQHQPKSDNCSREPNLGSSSQKIFTKSPPITRERRKRKRTKAAKNKEEVESQRMTHIAVERNRRRQMNDYLNSLRSLMPPCYIQRGDQASIIAGAIDFVKELEQLLQSLEAQKRTRKVHESNNSMSNPAMEIHQQEYENGSEDGHCGEEVKAESKTGAAEVEVNVIHNHVNLKIQCSRRAGQLIQAIVTLETLRLTVLHLNITTSRASVLYSFNLKVILFVLF >KJB41642 pep chromosome:Graimondii2_0_v6:7:8768491:8771627:1 gene:B456_007G113200 transcript:KJB41642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTERGTQKEKKNEFRAFTSTTAKLFMERPQGPINPYFLGEHLEVECLEQGFISCERLKLGEEEEEAHFSIPSFEEKMPFLQMLQSVESPQLFAFKEPNFQTLLRLQHMKKPWELNTNPFIPEMETQVQALELESCVTHETVLDLHSPVKSETKELKKSPPSSSCVEVLSSGSNQHQPKSDNCSREPNLGSSSQKIFTKSPPITRERRKRKRTKAAKNKEEVESQRMTHIAVERNRRRQMNDYLNSLRSLMPPCYIQRGDQASIIAGAIDFVKELEQLLQSLEAQKRTRKVHESNNSMSNPAMEIHQQEYENGSEDGHCGEEVKAESKTGAAEVEVNVIHNHVNLKIQCSRRAGQLIQAIVTLETLRLTVLHLNITTSRASVLYSFNLKMEDDSALRSADEIAAAVHQIFS >KJB46273 pep chromosome:Graimondii2_0_v6:7:58640968:58644483:-1 gene:B456_007G355800 transcript:KJB46273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYDLTPRIGPNLDRHLVFPLLEFLQERQLYPDEQILKAKIELLNKTNMVDYAMDIHKSLYRTDDVPQDMVDRRVEVVARLKALEDAAAPLVTFLQNPNAVQELRADKQYNLQMLNDRYQIGPDQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFSSPLNQVQSRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTSAPHLLRYLATAFIVNKRRRPQFKEFIKVIQQDQCSYKDPITEFLACVYVNYEFDGAQKKMKECEEVILNDPFLGKRVEEGNFSTVPLKDEFLENARLFIFETYCRIHQRIDMGVLFEKLNLNYEEGERWIVNLIRNSKLDAKIDSETGTVIMEPNQPNVYEQLIDHTKALQGRTYKLVGQLLEPAQAQPAR >KJB40767 pep chromosome:Graimondii2_0_v6:7:5408838:5411646:-1 gene:B456_007G076500 transcript:KJB40767 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE329 [Source:Projected from Arabidopsis thaliana (AT3G55250) UniProtKB/TrEMBL;Acc:A0A178VJJ9] MYKRAAKNTAIQIPNSNKTSMAAAKPLPSSLHTHKILSSCNQILPSLLHFRQHYSNPKSQQPQRRRKHSSSLDGAYGLAIKAYMENPNSISGFANKVIGSLPIVGLVARIFSDEGGVGGDIIDFAEFRRRVGKKCTVTDSRAFYEFQERRGRAGDPLYVLLSCWLAAVGAGLLKSEEILEGVARLRISNDIEFEEQNFIAMMNEARERRAKLNADAPTLPMEIRAEKAVDAIYVCCFGRDPIEEEDESLLNIMLCAVFPTVKRSMIQRIIKDKAIKVAEGGDDADIVPEPKPLSKEAVQMQMKDLEFLKQNTET >KJB45019 pep chromosome:Graimondii2_0_v6:7:49006116:49008049:1 gene:B456_007G285700 transcript:KJB45019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQYGAVFEVSQDDDDEPSSSDNEINENDDVLNGNEDVLNDEEDEVLLEEEEDINSPSLLPQPIPSQVSFASVPAVPLAVPSAAAVTIAAISTDELPPDSKSQRVDSVVAEKKTPPPQQLDDSRRLFQRLWTDEDEIELLQGFLDYTMLKTSSNPSSTSHHHHQDTALFYDQIKSKLQLDFNKNQLVEKLRRLKKKYRNVISKISSGKEFSFKSPHDQATFEISRRIWSDGVSKVEDNVLDDDENNNNNNASLIDESGEKKNLTQKPAATKKRSRNKGVKMEEKIDVNDGIVHIDSNSNDRVNNDNGNVEALGGGSSGGGANTGAAGVIEETVRSCVTPLFKELLGSVLGGGGGYGGRGISGLVMNAIPLCLGGGGGNGEWMDERWRKLQIMELEVYSKRVELVQDEIKAALEELRSMNR >KJB40999 pep chromosome:Graimondii2_0_v6:7:7774705:7775779:1 gene:B456_007G103700 transcript:KJB40999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLLEKAKDFVVDKVANIKKPEASVSDVDLKHVSRECVEYGAKVSVSNPYSHSIPICEISYNFKSAGRGIASGTIPDPGSLKAGDTTMLDVPVKDKEDINTMLRACLPTNKSRLIQPFSLTLKLRHIH >KJB40998 pep chromosome:Graimondii2_0_v6:7:7774697:7775705:1 gene:B456_007G103700 transcript:KJB40998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLLEKAKDFVVDKVANIKKPEASVSDVDLKHVSRECVEYGAKVSVSNPYSHSIPICEISYNFKSAGRGIASGTIPDPGSLKAGDTTMLDVPVKVPYNILVSLAKDIGADWDIDYELELGLTIDLPIVGNFTIPLSQKGEIKLPTLSDIF >KJB42365 pep chromosome:Graimondii2_0_v6:7:12654688:12663461:-1 gene:B456_007G149700 transcript:KJB42365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTSAAYNSTGSGAYPSEVTGDLTASGTAADGNYAASGIDLKPAGQEGQISSAYYSMPAGVSTDESAANVGNVTAEAPKAAGYSYLNGNVVNEAGNATTVENGNAVDNVGGASAAPEFVDGSAPPMSGEEDRLWSILRANSLDFNAWTALIEETEKVAENNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCSFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSRLAAIYTRILENPNQQLDRYFNSFKDLAASRPLSELRTVEEAAAPASDMVSGADGQVNEVVVHSDAAEQTPKPISAGSTDAEELEKYVAIREELYKKAKEFNSKILGFETAIRRPYFHARPLNVAELENWHNYLDFIESEGDLNKVVKLYERCLIACASYPEYWIRYVLCMEASGSMDLADNALARATQVFVKRQPEIHLFAARFKEQNGDIPGARAAYQLVHNEISPGFLEAIIKHANMERRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMMYAQYSRFSYLVSGNAEKAREILIGALDQVQLSKPFLEAIIYFETILPPPKQIGYLESSVDKFIAPNSDGSAADREDVSSIFLEFLSLFGDAQSIKKAEDRHAKLFLPRRPMSDSRKRYAEDFLSSDKMKLARSYSSDPSPGQSLMSAYPNAQNQWPAGYGAQPQTWPSTTQAQTWTPGYSQQAAYGAYSSYGSNYATPQVPTSVPQSAGYGAYPTTYPVQTFPQQSFAVPTAGTMLTPAQQPAAAVPQTTYYGTY >KJB42359 pep chromosome:Graimondii2_0_v6:7:12654688:12659982:-1 gene:B456_007G149700 transcript:KJB42359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGADGQVNEVVVHSDAAEQTPKPISAGSTDAEELEKYVAIREELYKKAKEFNSKILGFETAIRRPYFHARPLNVAELENWHNYLDFIESEGDLNKVVKLYERCLIACASYPEYWIRYVLCMEASGSMDLADNALARATQVFVKRQPEIHLFAARFKEQNGDIPGARAAYQLVHNEISPGFLEAIIKHANMERRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMMYAQYSRFSYLVSGNAEKAREILIGALDQVQLSKPFLEAIIYFETILPPPKQIGYLESSVDKFIAPNSDGSAADREDVSSIFLEFLSLFGDAQSIKKAEDRHAKLFLPRRPMSDSRKRYAEDFLSSDKMKLARSYSSDPSPGQSLMSAYPNAQNQWPAGYGAQPQTWPSTTQAQTWTPGYSQQAAYGAYSSYGSNYATPQVPTSVPQSAGYGAYPTTYPVQTFPQQSFAVPTAGTMLTPAQQPAAAVPQTTYYGTY >KJB42360 pep chromosome:Graimondii2_0_v6:7:12654688:12660542:-1 gene:B456_007G149700 transcript:KJB42360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQEWSRLAAIYTRILENPNQQLDRYFNSFKDLAASRPLSELRTVEEAAAPASDMVSGADGQVNEVVVHSDAAEQTPKPISAGSTDAEELEKYVAIREELYKKAKEFNSKILGFETAIRRPYFHARPLNVAELENWHNYLDFIESEGDLNKVVKLYERCLIACASYPEYWIRYVLCMEASGSMDLADNALARATQVFVKRQPEIHLFAARFKEQNGDIPGARAAYQLVHNEISPGFLEAIIKHANMERRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMMYAQYSRFSYLVSGNAEKAREILIGALDQVQLSKPFLEAIIYFETILPPPKQIGYLESSVDKFIAPNSDGSAADREDVSSIFLEFLSLFGDAQSIKKAEDRHAKLFLPRRPMSDSRKRYAEDFLSSDKMKLARSYSSDPSPGQSLMSAYPNAQNQWPAGYGAQPQTWPSTTQAQTWTPGYSQQAAYGAYSSYGSNYATPQVPTSVPQSAGYGAYPTTYPVQTFPQQSFAVPTAGTMLTPAQQPAAAVPQTTYYGTY >KJB42361 pep chromosome:Graimondii2_0_v6:7:12654688:12663338:-1 gene:B456_007G149700 transcript:KJB42361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTSAAYNSTGSGAYPSEVTGDLTASGTAADGNYAASAEAPKAAGYSYLNGNVVNEAGNATTVENGNAVDNVGGASAAPEFVDGSAPPMSGEEDRLWSILRANSLDFNAWTALIEETEKVAENNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCSFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSRLAAIYTRILENPNQQLDRYFNSFKDLAASRPLSELRTVEEAAAPASDMVSGADGQVNEVVVHSDAAEQTPKPISAGSTDAEELEKYVAIREELYKKAKEFNSKILGFETAIRRPYFHARPLNVAELENWHNYLDFIESEGDLNKVVKLYERCLIACASYPEYWIRYVLCMEASGSMDLADNALARATQVFVKRQPEIHLFAARFKEQNGDIPGARAAYQLVHNEISPGFLEAIIKHANMERRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMMYAQYSRFSYLVSGNAEKAREILIGALDQVQLSKPFLEAIIYFETILPPPKQIGYLESSVDKFIAPNSDGSAADREDVSSIFLEFLSLFGDAQSIKKAEDRHAKLFLPRRPMSDSRKRYAEDFLSSDKMKLARSYSSDPSPGQSLMSAYPNAQNQWPAGYGAQPQTWPSTTQAQTWTPGYSQQAAYGAYSSYGSNYATPQVPTSVPQSAGYGAYPTTYPVQTFPQQSFAVPTAGTMLTPAQQPAAAVPQTTYYGTY >KJB42362 pep chromosome:Graimondii2_0_v6:7:12655948:12662677:-1 gene:B456_007G149700 transcript:KJB42362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTSAAYNSTGSGAYPSEVTGDLTASGTAADGNYAASGIDLKPAGQEGQISSAYYSMPAGVSTDESAANVGNVTAEAPKAAGYSYLNGNVVNEAGNATTVENGNAVDNVGGASAAPEFVDGSAPPMSGEEDRLWSILRANSLDFNAWTALIEETEKVAENNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCSFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSRLAAIYTRILENPNQQLDRYFNSFKDLAASRPLSELRTVEEAAAPASDMVSGADGQVNEVVVHSDAAEQTPKPISAGSTDAEELEKYVAIREELYKKAKEFNSKILGFETAIRRPYFHARPLNVAELENWHNYLDFIESEGDLNKVVKLYERCLIACASYPEYWIRYVLCMEASGSMDLADNALARATQVFVKRQPEIHLFAARFKEQNGDIPGARAAYQLVHNEISPGFLEAIIKHANMERRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMMYAQYSRFSYLVSGNAEKAREILIGALDQVQLSKPFLEAIIYFETILPPPKQIGYLESSVDKFIAPNSDGSAADREDVSSIFLEFLSLFGDAQSIKKAEDRHAKLFLPRRPMSDSRKRYAEDFLSSDKMKLARSYSSDPSPGQSLMSAYPNAQNQWPAGYGAQPQTWPSTTQAQTWTPGYSQQVDCNFLYIF >KJB42364 pep chromosome:Graimondii2_0_v6:7:12654688:12663015:-1 gene:B456_007G149700 transcript:KJB42364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTSAAYNSTGSGAYPSEVTGDLTASGTAADGNYAASAEAPKAAGYSYLNGNVVNEAGNATTVENGNAVDNVGGASAAPEFVDGSAPPMSGEEDRLWSILRANSLDFNAWTALIEETEKVAENNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCSFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSRLAAIYTRILENPNQQLDRYFNSFKDLAASRPLSELRTVEEAAAPASDMVSGADGQVNEVVVHSDAAEQTPKPISAGSTDAEELEKYVAIREELYKKAKEFNSKILGFETAIRRPYFHARPLNVAELENWHNYLDFIESEGDLNKVVKLYERCLIACASYPEYWIRYVLCMEASGSMDLADNALARATQVFVKRQPEIHLFAARFKEQNGDIPGARAAYQLVHNEISPGFLEAIIKHANMERRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMMYAQYSRFSYLVSGNAEKAREILIGALDQVQLSKPFLEAIIYFETILPPPKQIGYLESSVDKFIAPNSDGSAADREDVSSIFLEFLSLFGDAQSIKKAEDRHAKLFLPRRPMSDSRKRYAEDFLSSDKMKLARSYSSDPSPGQSLMSAYPNAQNQWPAGYGAQPQTWPSTTQAQTWTPGYSQQAAYGAYSSYGSNYATPQVPTSVPQSAGYGAYPTTYPVQTFPQQSFAVPTAGTMLTPAQQPAAAVPQTTYYGTY >KJB42367 pep chromosome:Graimondii2_0_v6:7:12655137:12662677:-1 gene:B456_007G149700 transcript:KJB42367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTSAAYNSTGSGAYPSEVTGDLTASGTAADGNYAASGIDLKPAGQEGQISSAYYSMPAGVSTDESAANVGNVTAEAPKAAGYSYLNGNVVNEAGNATTVENGNAVDNVGGASAAPEFVDGSAPPMSGEEDRLWSILRANSLDFNAWTALIEETEKVAENNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCSFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSRLAAIYTRILENPNQQLDRYFNSFKDLAASRPLSELRTVEEAAAPASDMVSGADGQVNEVVVHSDAAEQTPKPISAGSTDAEELEKYVAIREELYKKAKEFNSKILGFETAIRRPYFHARPLNVAELENWHNYLDFIESEGDLNKVVKLYERCLIACASYPEYWIRYVLCMEASGSMDLADNALARATQVFVKRQPEIHLFAARFKEQNGDIPGARAAYQLVHNEISPGFLEAIIKHANMERRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMMYAQYSRFSYLVSGNAEKAREILIGALDQVQLSKPFLEAIIYFETILPPPKQIGYLESSVDKFIAPNSDGSAADREDVSSIFLEFLSLFGDAQSIKKAEDRHAKLFLPRRPMSDSRKRYAEDFLSSDKMKLARSYSSDPSPGQSLMSAYPNAQNQWPAGYGAQPQTWPSTTQAQTWTPGYSQQAAYGAYSSYGSNYATPQVPTSVPQSAGYGAYPTTYPVQTFPQQSFAVPTAGTMLTPAQQPAAAVPQTTYYGTY >KJB42366 pep chromosome:Graimondii2_0_v6:7:12655137:12662677:-1 gene:B456_007G149700 transcript:KJB42366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTSAAYNSTGSGAYPSEVTGDLTASGTAADGNYAASGIDLKPAGQEGQISSAYYSMPAGVSTDESAANVGNVTAEAPKAAGYSYLNGNVVNEAGNATTVENGNAVDNVGGASAAPEFVDGSAPPMSGEEDRLWSILRANSLDFNAWTALIEETEKVAENNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCSFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSRLAAIYTRILENPNQQLDRYFNSFKDLAASRPLSELRTVEEAAAPASDMVSGADGQVNEVVVHSDAAEQTPKPISAGSTDAEELEKYVAIREELYKKAKEFNSKILGFETAIRRPYFHARPLNVAELENWHNYLDFIESEGDLNKVVKLYERCLIACASYPEYWIRYVLCMEASGSMDLADNALARATQVFVKRQPEIHLFAARFKEQNGDIPGARAAYQLVHNEISPGFLEAIIKHANMERRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMMYAQYSRFSYLVSGNAEKAREILIGALDQVQLSKPFLEAIIYFETILPPPKQIGYLESSVDKFIAPNSDGSAADREDVSSIFLEFLSLFGDAQSIKKAEDRHAKLFLPRRPMSDSRKRYAEDFLSSDKMKLARSYSSDPSPGQSLMSAYPNAQNQWPAGYGAQPQTWPSTTQAQTWTPGYSQQAAYGAYSSYGSNYATPQVPTSVPQSAGYGAYPTTYPVQTFPQQSFAVPTAGTMLTPAQQPAAAVPQTTYYGTY >KJB42363 pep chromosome:Graimondii2_0_v6:7:12654688:12663338:-1 gene:B456_007G149700 transcript:KJB42363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLSMKQEMPQQLRMETLLIMWVELLLHLSLWMVPNNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCSFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSRLAAIYTRILENPNQQLDRYFNSFKDLAASRPLSELRTVEEAAAPASDMVSGADGQVNEVVVHSDAAEQTPKPISAGSTDAEELEKYVAIREELYKKAKEFNSKILGFETAIRRPYFHARPLNVAELENWHNYLDFIESEGDLNKVVKLYERCLIACASYPEYWIRYVLCMEASGSMDLADNALARATQVFVKRQPEIHLFAARFKEQNGDIPGARAAYQLVHNEISPGFLEAIIKHANMERRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMMYAQYSRFSYLVSGNAEKAREILIGALDQVQLSKPFLEAIIYFETILPPPKQIGYLESSVDKFIAPNSDGSAADREDVSSIFLEFLSLFGDAQSIKKAEDRHAKLFLPRRPMSDSRKRYAEDFLSSDKMKLARSYSSDPSPGQSLMSAYPNAQNQWPAGYGAQPQTWPSTTQAQTWTPGYSQQAAYGAYSSYGSNYATPQVPTSVPQSAGYGAYPTTYPVQTFPQQSFAVPTAGTMLTPAQQPAAAVPQTTYYGTY >KJB46305 pep chromosome:Graimondii2_0_v6:7:58976705:58981904:-1 gene:B456_007G357900 transcript:KJB46305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPNFDENMDFPLSPIPFPWSPQLVPSLEDVNIAPIDNGGLDNLCLQNNGVSPNMSQPNYGPNGEGNSFGNQIPDPTSVVQNPAELPYNVMQEMMDSLIPLEANGEGETSKEKSKRKPRNTSKKQEQGAEDARSNCKRKRQARQEPRTFGETSSIYRGVSRYTCRYEAFLWDNSDQGQKSRTGGYDDEESAARAYDIAALKLWGEAAPLNFPISNYEKELEEMKSYSKSEYLHYLRRNSDFKKWQARIGKGKEMKGIYLGTFDTEEEAARAYDVAAIRLKGANAITNFDIFEYDLMNILQSSKLPIGKGASKLLMKSSMEDVIRKKKNLIGKTSFACFEDDDGSANPEIAQGFNSFGNDMNIDFNGIQAMEPVGFPMDLSGMDNNSQHQTSSFFPVINGCQNPVEFQGNSSGIFNGGISFPGNGDVETDDFQTNFDNFQSLLGLEGQDCFNMNQIEDVVANQDPNNFQTNPIPVNPSSGCYNGDVSWNGVLQGVPSSLEIENNANGGCHGSDKFSDNGAAMVENPVQENGVNLCEDSEMDNLSRCFELLNELGPLCL >KJB45441 pep chromosome:Graimondii2_0_v6:7:51944682:51947235:1 gene:B456_007G305900 transcript:KJB45441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSVITPEDVLESLMNDGTIDALRLKIINQLKANEDLKNTTIKMAEQSKVLNTSGAEKQTKRELFDALRQELETPVLERASKAVWELILDSNGLGKEISETVERVFCKLSGREPPLFPHSNDIVLQPEKAVGNEKRKGKEKEYENERENSKSKSKKRSFNDMNAEGNATDITGNSSDTPAALHDAN >KJB45442 pep chromosome:Graimondii2_0_v6:7:51944682:51947235:1 gene:B456_007G305900 transcript:KJB45442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSVITPEDVLESLMNDGTIDALRLKIINQLKANEDLKNTTIKMAEQSKVLNTSGAEKQTKRELFDALRQELETPVLERASKAVWELILDSNGLGKEISETVERVFCKLSGREPPLFPHSNDIVLQPEKAVGNEKRKGKEKEYENERENSKSKSKKRSFNDMNAEGNATDITGNSSDTPAALHDAN >KJB40151 pep chromosome:Graimondii2_0_v6:7:3410030:3411748:1 gene:B456_007G048900 transcript:KJB40151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSSSHHSFITFLSFILVLFLEGVTAATFTFINRCDHTVWPGILANPGSPNLESTGFELKKGSSRSFQGPTGWSGRFWGRTGCKFDDSGHGSCATGDCGSGQVECNGAGVTPPATLAEFTLGSGAQDFYDVSLVNGYNLPLTVEGNGGSGECATTGCVTNLNKKCPSELRIDGGGCKSACDAFGKPEYCCNGAYNSPAACKPSMYSEMFKSACPRSYSYAFDDASSTFTCTGADYTITFCPSGPSLKSLKEAGTTVESGLDHDPMKAAAMASQWLANLATGDSPRIQPFSPTHFGFAVTIFLVLSLFL >KJB46655 pep chromosome:Graimondii2_0_v6:7:48756003:48758663:1 gene:B456_007G284700 transcript:KJB46655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNARILTVEVPRGRSNEKIKAVWDRNLTMIFCDLCIKEKDHNCRRGTYFKKEGWSRLVANFNKETGKEYEKSQLKNRWDLLKKEWKLWKQLKGNDTCLGWDPNKNNIDTSEAWWEKKLKLMPEATKFKNGGIDPELENKLDWMFQGINDDVTFTPTFGLPSIDGTDDDEPPKVEVEEVVAVEDIQMSKKAMGEHFNEMDNHCMEQNPQAHSESEHQSEPPHQKKRSPESDSSHLNKGKEKVPKLIGRVSKLSRQIDRLCSAAESMSTATSAKVTFEPYNIPEAIRMLDNMEEEVPKMSKLYFFATKLFIDKDKRTVFMSLSSDIRAWWLKMEMEESFKAA >KJB39444 pep chromosome:Graimondii2_0_v6:7:1107891:1109447:-1 gene:B456_007G014100 transcript:KJB39444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKTRPLQSMFRSFHNYKPILRFSSLTHQQQPTFPPLSNLVNEISRVLSDHRSPHHDLDHSLTTFSSHISSQLVEQVLKRCKNLGFSAHRFFLWAAKIPGFQHSDTSYRILVDVLGSNQQFAILWDFLVEIRDSKQFESNPEIFWLVFKAYCRANLPGDAIRAFDRMVEFGIKPSVDDVDKLLFLLCKKKFVKHAQGFFDRAKHEFEVSAKSYSILLRGWADIGEVGQARKVFDEMLQRGCSIDVLAYNSLLEALCKGGCVDEAYKMFREMGSNGIEPNACSYSVFIRAYCEANDIHAAFKVLDRMRRYNLVPNLFTYNCIIKKLCKNDKIDEAYQLLTEMIERNINPDTWSYNVILAYHCEHSEVNRALRLISRMQKNDCLPDKHTYNMSLKLFIRIGRFDKATELWESMADRGFYPSVSTYAVMVHGLCKKRGKLEEACKYFEMMVDEGIPPYFSTVELLRNRLLRFGLSESVEILANKMARSTSCSIQELENAMRGKTTYRRTRSEETELESE >KJB41345 pep chromosome:Graimondii2_0_v6:7:7364517:7369892:-1 gene:B456_007G099700 transcript:KJB41345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINRGVLIVVLIVVSSAGLGLAGDIVHQDDVAPKRPGCANNFVLVKVPTWINGLEDNEYVGVGARFGPTLESKEKHANHTRLALADPPDCCSKPRNQLTGEVILVHRGNCSFTMKANVAEEAGASAILIINNQTELFKMVCESDADVDIKIPALMLPQDAGSRLEKYISNNTMVSVALYSPKRPAVDIAEVFLWLMAVGTILCASYWSAWTAREVAIEQDKLLKDASEEILEVRGAGSSGFVDINTMSAIFFVVAASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQTCLVTLLSCFRCFQRFAESFIKVPLFGAVSHLTLAVCPFCITFAVVWAVYRRISFAWIGQDILGIALIITVLQIVRVPNLKVARGDKSGEDGIPMLLKIPRIYDPWGGYSVIGFGDIILPGLLVAFSLRYDWLTKKTLRAGYFIWAMTAYGLGLLVTYVALNLMDGHGQPALLYIVPFILGTFITLGKKRGDLKTLWTRGEPERPCPHVQLQPLEQRE >KJB41340 pep chromosome:Graimondii2_0_v6:7:7364517:7368126:-1 gene:B456_007G099700 transcript:KJB41340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWILKSYAPFYLNVVSVALYSPKRPAVDIAEVFLWLMAVGTILCASYWSAWTAREVAIEQDKLLKDASEEILEVRGAGSSGFVDINTMSAIFFVVAASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQTCLVTLLSCFRCFQRFAESFIKVPLFGAVSHLTLAVCPFCITFAVVWAVYRRISFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLGCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRIYDPWGGYSVIGFGDIILPGLLVAFSLRYDWLTKKTLRAGYFIWAMTAYGLGLLVTYVALNLMDGHGQPALLYIVPFILGTFITLGKKRGDLKTLWTRGEPERPCPHVQLQPLEQRE >KJB41338 pep chromosome:Graimondii2_0_v6:7:7364459:7370130:-1 gene:B456_007G099700 transcript:KJB41338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINRGVLIVVLIVVSSAGLGLAGDIVHQDDVAPKRPGCANNFVLVKVPTWINGLEDNEYVGVGARFGPTLESKEKHANHTRLALADPPDCCSKPRNQLTGEVILVHRGNCSFTMKANVAEEAGASAILIINNQTELFKMVCESDADVDIKIPALMLPQDAGSRLEKYISNNTMVSVALYSPKRPAVDIAEVFLWLMAVGTILCASYWSAWTAREVAIEQDKLLKDASEEILEVRGAGSSGFVDINTMSAIFFVVAASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQTCLVTLLSCFRCFQRFAESFIKVPLFGAVSHLTLAVCPFCITFAVVWAVYRRISFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLGCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRIYDPWGGYSVIGFGDIILPGLLVAFSLRYDWLTKKTLRAGYFIWAMTAYGLGLLVTYVALNLMDGHGQPALLYIVPFILGTFITLGKKRGDLKTLWTRGEPERPCPHVQLQPLEQRE >KJB41344 pep chromosome:Graimondii2_0_v6:7:7364493:7369986:-1 gene:B456_007G099700 transcript:KJB41344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANVAEEAGASAILIINNQTELFKMVCESDADVDIKIPALMLPQDAGSRLEKYISNNTMVSVALYSPKRPAVDIAEVFLWLMAVGTILCASYWSAWTAREVAIEQDKLLKDASEEILEVRGAGSSGFVDINTMSAIFFVVAASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQTCLVTLLSCFRCFQRFAESFIKVPLFGAVSHLTLAVCPFCITFAVVWAVYRRISFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLGCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRIYDPWGGYSVIGFGDIILPGLLVAFSLRYDWLTKKTLRAGYFIWAMTAYGLGLLVTYVALNLMDGHGQPALLYIVPFILGTFITLGKKRGDLKTLWTRGEPERPCPHVQLQPLEQRE >KJB41341 pep chromosome:Graimondii2_0_v6:7:7364791:7367506:-1 gene:B456_007G099700 transcript:KJB41341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYAQDASEEILEVRGAGSSGFVDINTMSAIFFVVAASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQTCLVTLLSCFRCFQRFAESFIKVPLFGAVSHLTLAVCPFCITFAVVWAVYRRISFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLGCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRIYDPWGGYSVIGFGDIILPGLLVAFSLRYDWLTKKTLRAGYFIWAMTAYGLGLLVTYVALNLMDGHGQPALLYIVPFILGTFITLGKKRGDLKTLWTRGEPERPCPHVQLQPLEQRE >KJB41342 pep chromosome:Graimondii2_0_v6:7:7365517:7369813:-1 gene:B456_007G099700 transcript:KJB41342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINRGVLIVVLIVVSSAGLGLAGDIVHQDDVAPKRPGCANNFVLVKVPTWINGLEDNEYVGVGARFGPTLESKEKHANHTRLALADPPDCCSKPRNQLTGEVILVHRGNCSFTMKANVAEEAGASAILIINNQTELFKMVCESDADVDIKIPALMLPQDAGSRLEKYISNNTMVSVALYSPKRPAVDIAEVFLWLMAVGTILCASYWSAWTAREVAIEQDKLLKDASEEILEVRGAGSSGFVDINTMSAIFFVVAASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQTCLVTLLSCFRCFQRFAESFIKVPLFGAVSHLTLAVCPFCITFAVVWAVYRRISFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLGCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRIYDPWGGYSVIGFGDIILPGLLVAFSLRTLSSLKCYGVWICSNDMIG >KJB41339 pep chromosome:Graimondii2_0_v6:7:7364517:7369892:-1 gene:B456_007G099700 transcript:KJB41339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANVAEEAGASAILIINNQTELFKMVCESDADVDIKIPALMLPQDAGSRLEKYISNNTMVSVALYSPKRPAVDIAEVFLWLMAVGTILCASYWSAWTAREVAIEQDKLLKDASEEILEVRGAGSSGFVDINTMSAIFFVVAASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQTCLVTLLSCFRCFQRFAESFIKVPLFGAVSHLTLAVCPFCITFAVVWAVYRRISFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLGCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRIYDPWGGYSVIGFGDIILPGLLVAFSLRYDWLTKKTLRAGYFIWAMTAYGLGLLVTYVALNLMDGHGQPALLYIVPFILGTFITLGKKRGDLKTLWTRGEPERPCPHVQLQPLEQRE >KJB41343 pep chromosome:Graimondii2_0_v6:7:7364517:7369892:-1 gene:B456_007G099700 transcript:KJB41343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINRGVLIVVLIVVSSAGLGLAGDIVHQDDVAPKRPGCANNFVLVKVPTWINGLEDNEYVGVGARFGPTLESKEKHANHTRLALADPPDCCSKPRNQLTGEVILVHRGNCSFTMKANVAEEAGASAILIINNQTELFKMVCESDADVDIKIPALMLPQDAGSRLEKYISNNTMVSVALYSPKRPAVDIAEVFLWLMAVGTILCASYWSAWTAREVAIEQDKLLKDASEEILEVRGAGSSGFVDINTMSAIFFVVAASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQTCLVTLLSCFRCFQRFAESFIKVPLFGAVSHLTLAVCPFCITFAVVWAVYRRISFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLGCAFLYDIFWVFVSKWWFHESVMIVATRGDKSGEDGIPMLLKIPRIYDPWGGYSVIGFGDIILPGLLVAFSLRYDWLTKKTLRAGYFIWAMTAYGLGLLVTYVALNLMDGHGQPALLYIVPFILGTFITLGKKRGDLKTLWTRGEPERPCPHVQLQPLEQRE >KJB41346 pep chromosome:Graimondii2_0_v6:7:7366288:7369892:-1 gene:B456_007G099700 transcript:KJB41346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINRGVLIVVLIVVSSAGLGLAGDIVHQDDVAPKRPGCANNFVLVKVPTWINGLEDNEYVGVGARFGPTLESKEKHANHTRLALADPPDCCSKPRNQLTGEVILVHRGNCSFTMKANVAEEAGASAILIINNQTELFKMVCESDADVDIKIPALMLPQDAGSRLEKYISNNTMVSVALYSPKRPAVDIAEVFLWLMAVGTILCASYWSAWTAREVAIEQDKLLKDASEEILEVRGAGSSGFVDINTMSAIFFVVAASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQTCLVTLLSCFRCFQRFAESFIKVPLFGAVSHLTLAVCPFCITFAVVWAVYRRISFAWIGQDILGIALIITVLQIVRVPNLKVSLLLAFFRLLLRDACI >KJB44490 pep chromosome:Graimondii2_0_v6:7:41468351:41474330:-1 gene:B456_007G255700 transcript:KJB44490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLVDSQKEGFGSSWGGLKSLVRRKQVDSAHSKRSGHHQLAKELTVPHLIAIGVGSTIGAGVYILVGTVAREHSGPALAISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGAAVARGISPNLALLFGGEDSLPIFLSRQYIPGLDVVVDPCAAILVFIVTGLLCVGIKESTFAQGIVTTANVCAMIFVIVAGGYLGYNTGWAGYKLPTGYFPFGVDGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIATALSICCGLYMLVSIVIVGLVPYYAMDPDTPISSAFASHGMQWAAYIITIGAVTALCSTLMGSLLPQPRILLAMARDGLLPSFFSEVNKSSQVPIKSTLATGLVAATLSFFMDVSQLAGMVSVGTLLAFTMVAISVLILRYVPPDEVPFPSSLQESIDSVTLRYSQRISGKNPETNPETSTFVDSSQPLLGNKNVAVDCLVIEKQEAQAYWTLTEQNRRKIAGWTIMLLCVGAFGLTFAASNVWIPSLIRLTCCGVGGVLLLSGLIVLTCIDQDDARHNFGHTGGFICPFVPLLPIVCILINVYLLINLGAATWARVSVWLLIGVVVYVFYGRSHSSLLDAVYVPAAHVDEIYRSSGDSLA >KJB44491 pep chromosome:Graimondii2_0_v6:7:41468435:41474243:-1 gene:B456_007G255700 transcript:KJB44491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLVDSQKEGFGSSWGGLKSLVRRKQVDSAHSKRSGHHQLAKELTVPHLIAIGVGSTIGAGVYILVGTVAREHSGPALAISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGAAVARGISPNLALLFGGEDSLPIFLSRQYIPGLDVVVDPCAAILVFIVTGLLCVGIKESTFAQGIVTTANVCAMIFVIVAGGYLGYNTGWAGYKLPTGYFPFGVDGMLAGSATVFFAYIGFDSVASTAEENPQRDLPLGIATALSICCGLYMLVSIVIVGLVPYYAMDPDTPISSAFASHGMQWAAYIITIGAVTALCSTLMGSLLPQPRILLAMARDGLLPSFFSEVNKSSQVPIKSTLATGLVAATLSFFMDVSQLAGMVSVGTLLAFTMVAISVLILRYVPPDEVPFPSSLQESIDSVTLRYSQRISGKNPETNPETSTFVDSSQPLLGNKNVAVDCLVIEKQEAQAYWTLTEQNRRKIAGWTIMLLCVGAFGLTFAASNVWIPSLIRLTCCGVGGVLLLSGLIVLTCIDQDDARHNFGHTGGFICPFVPLLPIVCILINVYLLINLGAATWARVSVWLLIGVVVYVFYGRSHSSLLDAVYVPAAHVDEIYRSSGDSLA >KJB44492 pep chromosome:Graimondii2_0_v6:7:41469282:41474243:-1 gene:B456_007G255700 transcript:KJB44492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLVDSQKEGFGSSWGGLKSLVRRKQVDSAHSKRSGHHQLAKELTVPHLIAIGVGSTIGAGVYILVGTVAREHSGPALAISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGAAVARGISPNLALLFGGEDSLPIFLSRQYIPGLDVVVDPCAAILVFIVTGLLCVGIKESTFAQGIVTTANVCAMIFVIVAGGYLGYNTGWAGYKLPTGYFPFGVDGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIATALSICCGLYMLVSIVIVGLVPYYAMDPDTPISSAFASHGMQWAAYIITIGAVTALCSTLMGSLLPQPRILLAMARDGLLPSFFSEVNKSSQVPIKSTLATGLVAATLSFFMDVSQLAGMVSVGTLLAFTMVAISVLILRYVPPDEVPFPSSLQESIDSVTLRYSQRISGKNPETNPETSTFVDSSQPLLGNKNVAVDCLVIEKQEAQAYWTLTEQNRRKIAGWTIMLLCVGAFGLTFAASNVWIPRWFRTFPMY >KJB40030 pep chromosome:Graimondii2_0_v6:7:2984522:2990000:-1 gene:B456_007G043100 transcript:KJB40030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQSGSSRRSLSIVSVSSGRKKMLENGGSDSFRKLVPSSHSMSLSGERTVKRLRLSKALTVPDSTSIYEACRRMAARKVDALLLTDSNALLCGILTDKDITARVIARELNLETPVSKVMTKNPVFVLSDSLAVEALQKMVQGKFRHLPVVQNGEVIALLDIAKCLYDAIARMERAAEKGKAIVAAVEGVEKNWGTSISGQNTFIETLRERMFRPSLSTIITDNPKIVTVSPDDTVLAAAKKMLESQLSSAVVTVDNKPQGILTSKDILMRVITQNLPPETTPVEKVMTPNPECATVDTSIVDALHQMHVGKFLHLPVLNRDGEIVAIVDVIHITHAAIATVGSTSGINNEATTTMMQRFWDSAIALPPNEDDDEARSESSLKLASETGRCLPYPSSYLPNTFGFKIQDRRGRMHRFICDTRSMTDVITAILQRLEDDIDRNRVPQILYEDEDHDKVVLASDSDLAAAVEHAKLLGWKGLKLHLDYSGKQNRRRGSGSGSLDYAQSDAWAAAYSAVAAGAAVVAGLSLLAYLRKAGN >KJB43952 pep chromosome:Graimondii2_0_v6:7:27125988:27129055:1 gene:B456_007G225700 transcript:KJB43952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLSRSIVNSLKLDEDDDDRTDAAPNPKAEPDLDPDQSSPSQSYPSSDPNTPRGVKEDISELTKTLTRQFWGVASFLAPPPLGSSNQQLDHTEDEQSLRPPSDGWDEASDEALISGIRSDLSEIGGKFKTEISKLSKNIAVSEFTKMASNFLQLGSDEENLEKYESGNVVGVTEDVVAFARDIAMHPRTWLDFPVPDNDDFDDFDMSDAQQEHALAIERLAPRLAALRIEICPGYMSEGCFWKIYFILLHPQLNEHDAELLSTPQQVVAAREMLMPGLQYRAKVKVVEDHSERDTSKITADLPHEESLSLPCSSQSEAVLLKATGSGEGDTAIVVEIETEQHPVQSGEMQVVDKSVIEEEPMKVIKHQHSTSGSSGVSIEKFEDDADDWLEEETSEAFGRSATTIPLGNDEDVSFSDLENDDDDSVPISYKQVTSGSDSSTKDSRDWVQLGRSSTDSVKDVSCVGDKLLGSEEVSARNPETKESNDWLDIEEIM >KJB43951 pep chromosome:Graimondii2_0_v6:7:27125917:27129362:1 gene:B456_007G225700 transcript:KJB43951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSAINPFLFPPLFVPPNCTSIIMSWLSRSIVNSLKLDEDDDDRTDAAPNPKAEPDLDPDQSSPSQSYPSSDPNTPRGVKEDISELTKTLTRQFWGVASFLAPPPLGSSNQQLDHTEDEQSLRPPSDGWDEASDEALISGIRSDLSEIGGKFKTEISKLSKNIAVSEFTKMASNFLQLGSDEENLEKYESGNVVGVTEDVVAFARDIAMHPRTWLDFPVPDNDDFDDFDMSDAQQEHALAIERLAPRLAALRIEICPGYMSEGCFWKIYFILLHPQLNEHDAELLSTPQVVAAREMLMPGLQYRAKVKVVEDHSERDTSKITADLPHEESLSLPCSSQSEAVLLKATGSGEGDTAIVVEIETEQHPVQSGEMQVVDKSVIEEEPMKVIKHQHSTSGSSGVSIEKFEDDADDWLEEETSEAFGRSATTIPLGNDEDVSFSDLENDDDDSVPISYKQVTSGSDSSTKDSRDWVQLGRSSTDSVKDVSCVGDKLLGSEEVSARNPETKESNDWLDIEEIM >KJB44522 pep chromosome:Graimondii2_0_v6:7:41821224:41825367:1 gene:B456_007G257500 transcript:KJB44522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVAAGLRSSIWKQMADAGIKYIPSNTFSYYDQVLDTTALLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVRFSYASHKAVDEYKEAKALGVNTVPVLVGPVSYLLLSKPAKGVEKTFSLLSLLPKILPIYKEVISELKAAGASWIQFDEPTLVLDLDAHQLQAFTAAYADLESTLSGLNVLIETYFADLTSGAYKTLIELKGVTAYGLDLVRGTKTIDLIKTNFPKGKFLFAGVVDGRNIWANDLASSLGTLQALEAIVGKDNLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFASNATAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREFKANKISEDNYVKAIKEEIKKVVDLQEELDIDVLVHGEPEVSTPLCFGLLKLVGYLEAS >KJB44525 pep chromosome:Graimondii2_0_v6:7:41821224:41826280:1 gene:B456_007G257500 transcript:KJB44525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVAAGLRSSIWKQMADAGIKYIPSNTFSYYDQVLDTTALLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVRFSYASHKAVDEYKEAKALGVNTVPVLVGPVSYLLLSKPAKGVEKTFSLLSLLPKILPIYKEVISELKAAGASWIQFDEPTLVLDLDAHQLQAFTAAYADLESTLSGLNVLIETYFADLTSGAYKTLIELKGVTAYGLDLVRGTKTIDLIKTNFPKGKFLFAGVVDGRNIWANDLASSLGTLQALEAIVGKDNLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFASNATAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREFKANKISEDNYVKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYLKWAVHSFRITNCGVKDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVKPALNNMVAAAKLLRTQLASAK >KJB44524 pep chromosome:Graimondii2_0_v6:7:41821224:41826280:1 gene:B456_007G257500 transcript:KJB44524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVAAGLRSSIWKQMADAGIKYIPSNTFSYYDQVLDTTALLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVRFSYASHKAVDEYKEAKALGVNTVPVLVGPVSYLLLSKPAKGVEKTFSLLSLLPKILPIYKEVISELKAAGASWIQFDEPTLVLDLDAHQLQAFTAAYADLESTLSGLNVLIETYFADLTSGAYKTLIELKGVTAYGLDLVRGTKTIDLIKTNFPKGKFLFAGVVDGRNIWANDLASSLGTLQALEAIVGKDNLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFASNATAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREFKANKISEDNYVKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYLKWAVHSFRITNCGVKDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVKPALNNMVAAAKLLRTQLASAK >KJB44523 pep chromosome:Graimondii2_0_v6:7:41822312:41825718:1 gene:B456_007G257500 transcript:KJB44523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVAAGLRSSIWKQMADAGIKYIPSNTFSYYDQVLDTTALLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVRFSYASHKAVDEYKEAKALGVNTVPVLVGPVSYLLLSKPAKGVEKTFSLLSLLPKILPIYKEVISELKAAGASWIQFDEPTLVLDLDAHQLQAFTAAYADLESTLSGLNVLIETYFADLTSGAYKTLIELKGVTAYGLDLVRGTKTIDLIKTNFPKGKFLFAGVVDGRNIWANDLASSLGTLQALEAIVGKDNLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFASNATAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREFKANKISEDNYVKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYLKWAVHSFRITNCGVKDTTQVLYLLLSTFGTFLYTNSLGSL >KJB44554 pep chromosome:Graimondii2_0_v6:7:42452645:42453728:1 gene:B456_007G259400 transcript:KJB44554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEGRYESLYDDFEPCCEWKKQNGASILDEVDILEIHLQGFKKEDVKSEMRKDGMLYISGEHPMGKNQIKRFNKKIDVSKYEIKAIEAQFEGGKLQLRLPCTYPPITFLTIGKYPNYKALILRLSHKFVKGTTSSAMALALLLLLGVFMYKYLECISIQN >KJB39800 pep chromosome:Graimondii2_0_v6:7:2141042:2144903:1 gene:B456_007G031000 transcript:KJB39800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32440) UniProtKB/Swiss-Prot;Acc:Q93Z53] MAAITTILTDASAVAPADLSSFRTISDHSLFDSVTNWTKRRLFSSKGDSSFPIRSMHNTQTGVASSTNGHFNADKLKSTVELLNGGALGQVKMNSSHRRKTKIVCTIGPSTSSREMIWKLAEAGMNVARLNMSHGDHASHKKTIDLVKEYNAQFEDKVIAIMLDTKGPEVRSGDVPRPIQLKEGQEFKFTIRRGVSTEDTVSVNYDDFVNDVEVGDILLVDGGMMSLAVKSKTKDLVTCVVVDGGELKSRRHLNVRGKSATLPSITDKDWEDIKFGVDNQVDFYAVSFVKDAKVVHELKDYLKGCNADIHVIVKIESADSIPNLHSIISASDGAMVARGDLGAELPIEEVPLLQEDIIRRCRDMHKTVIVATNMLESMINHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKYPIKAAKVMHTVALRTESSLPLNVMPPVRFNAYKSHMGEMFAFHSTTMANTLNTPIVVFTRTGSMAILLSHYRPSSSIFAFTNKERIKQRLALYQGVIPIYMQFSDDAEETFSRALKLLTGKNLVEEGEFITLVQSGAQPIWRRESTHHIQVRKVEL >KJB39802 pep chromosome:Graimondii2_0_v6:7:2141302:2144891:1 gene:B456_007G031000 transcript:KJB39802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32440) UniProtKB/Swiss-Prot;Acc:Q93Z53] MAAITTILTDASAVAPADLSSFRTISDHSLFDSVTNWTKRRLFSSKGDSSFPIRSMHNTQTGVASSTNGHFNADKLKSTVELLNGGALGQVKMNSSHRRKTKIVCTIGPSTSSREMIWKLAEAGMNVARLNMSHGDHASHKKTIDLVKEYNAQFEDKVIAIMLDTKGPEVRSGDVPRPIQLKEGQEFKFTIRRGVSTEDTVSVNYDDFVNDVEVGDILLVDGGMMSLAVKSKTKDLVTCVVVDGGELKSRRHLNVRGKSATLPSITDKDWEDIKFGVDNQVDFYAVSFVKDAKVVHELKDYLKGCNADIHVIVKIESADSIPNLHSIISASDGAMVARGDLGAELPIEEVPLLQEDIIRRCRDMHKTVIVATNMLESMINHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKYPIKAAKVMHTVALRTESSLPLNVMPPVRFNAYKSYG >KJB39801 pep chromosome:Graimondii2_0_v6:7:2141302:2144891:1 gene:B456_007G031000 transcript:KJB39801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32440) UniProtKB/Swiss-Prot;Acc:Q93Z53] MAAITTILTDASAVAPADLSSFRTISDHSLFDSVTNWTKRRLFSSKGDSSFPIRSMHNTQTGVASSTNGHFNADKLKSTVELLNGGALGQVKMNSSHRRKTKIVCTIGPSTSSREMIWKLAEAGMNVARLNMSHGDHASHKKTIDLVKEYNAQFEDKVIAIMLDTKGPEVRSGDVPRPIQLKEGQEFKFTIRRGVSTEDTVSVNYDDFVNDVEVGDILLVDGGMMSLAVKSKTKDLVTCVVVDGGELKSRRHLNVRGKSATLPSITDKDWEDIKFGVDNQVDFYAVSFVKDAKVVHELKDYLKGCNADIHVIVKIESADSIPNLHSIISASDGAMVARGDLGAELPIEEVPLLQEDIIRRCRDMHKTVIVATNMLESMINHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKYPIKAAKVMHTVALRTESSLPLNVMPPVRFNAYKSHMGEMFAFHSTTMANTLNTPIVVFTRTGSMAILLSHYRPSSSIFAFTNKERIKQRLALYQGVIPIYMQFSDDAEETFSRALKLLTVKYTI >KJB40787 pep chromosome:Graimondii2_0_v6:7:5465726:5467423:-1 gene:B456_007G077200 transcript:KJB40787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILGKLPPFFLSCLLLTIIFIVFILCSPNPFNPISKSDLHQTLALPNSTSHGYDDDEILKCDLFKGEWVPDLQGSLYTNWSCSTIPTSKNCFHHGRKDKEFLKWRWKPHQCQLPRFDPKTFLEFVQGKKLGFIGDSVARNHMDSLLCLLSMVKTPVDHYKDSEDRQRVWYFPGHDFTLMILWTKFLVDGEERVINGSSSGIFDLHLHKIDKKWTTDLPVLDYIIISDAHWFFRTIYLHNDTGVVGCVYCDHPNVTDFGVGFALRMAFRSALNHINRCRECKARVTLVRTFSPAHFENGAWDTGGRCNRTSPLSEREIKLTSNEWELRSLQMEEIEKANIEGNKKGTKFAALDVTRAMLMRPDGHPGEFWGNKWMNGYNDCVHWCLPGPIDTWNDFLFEVLRRKVI >KJB40789 pep chromosome:Graimondii2_0_v6:7:5465726:5467473:-1 gene:B456_007G077200 transcript:KJB40789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILGKLPPFFLSCLLLTIIFIVFILCSPNPFNPISKSDLHQTLALPNSTSHGYDDDEILKCDLFKGEWVPDLQGSLYTNWSCSTIPTSKNCFHHGRKDKEFLKWRWKPHQCQLPRFDPKTFLEFVQGKKLGFIGDSVARNHMDSLLCLLSMIETPVDHYKDSEDRQRVWYFPGHDFTLMILWTKFLVDGEERVINGSSSGIFDLHLHKIDKKWTTDLPVLDYIIISDAHWFFRTIYLHNDTGVVGCVYCDHPNVTDFGVGFALRMAFRSALNHINRCRECKARVTLVRTFSPAHFENGAWDTGGRCNRTSPLSEREIKLTSNEWELRSLQMEEIEKANIEGNKKGTKFAALDVTRAMLMRPDGHPGEFWGNKWMNGYNDCVHWCLPGPIDTWNDFLFEVLRRKVI >KJB40788 pep chromosome:Graimondii2_0_v6:7:5465838:5467324:-1 gene:B456_007G077200 transcript:KJB40788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILGKLPPFFLSCLLLTIIFIVFILCSPNPFNPISKSDLHQTLALPNSTSHGYDDDEILKCDLFKGEWVPDLQGSLYTNWSCSTIPTSKNCFHHGRKDKEFLKWRWKPHQCQLPRFDPKTFLEFVQGKKLGFIGDSVARNHMDSLLCLLSMVSTFMSYTYSSPTCTQNRIILLFIITGHCFFTCGMIETPVDHYKDSEDRQRVWYFPGHDFTLMILWTKFLVDGEERVINGSSSGIFDLHLHKIDKKWTTDLPVLDYIIISDAHWFFRTIYLHNDTGVVGCVYCDHPNVTDFGVGFALRMAFRSALNHINRCRECKARVTLVRTFSPAHFENGAWDTGGRCNRTSPLSEREIKLTSNEWELRSLQMEEIEKANIEGNKKGTKFAALDVTRAMLMRPDGHPGEFWGNKWMNGYNDCVHWCLPGPIDTWNDFLFEVLRRKVI >KJB43369 pep chromosome:Graimondii2_0_v6:7:19626720:19630759:-1 gene:B456_007G196400 transcript:KJB43369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQSEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKSKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENGPPQEEGWVVCRAFKKKTTGQTKSIDVWDSSYDEPSGISSVVDPLDYLSRQPQNLLPPSYLCKQETEAENLNFVHSDQFVQLPQLESPSLPLIKKPTSISLVPENNINNNTNHKEEEDHLLKIMCNNNNNEKVTDWRTLDKFVASQLSHEDRYHGEVAVSCFDAADNNTNNSDMALLLLQSSGREEANKLNEFLNSSSDCDIGICIFDK >KJB43368 pep chromosome:Graimondii2_0_v6:7:19626720:19630480:-1 gene:B456_007G196400 transcript:KJB43368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQSEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKSKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENGPPQEEGWVVCRAFKKKTTGQTKSIDVWDSSYDEPSGISSVVDPLDYLSRQPQNLLPPSYLCKQETEAENLNFVHSDQFVQLPQLESPSLPLIKKPTSISLVPENNINNNTNHKEEEDHLLKIMCNNNNNEKVTDWRTLDKFVASQLSHEDRYHGEVAVSCFDAADNNTNNSDMALLLLQSSGREEANKLNEFLNSSSDCDIGICIFDK >KJB44410 pep chromosome:Graimondii2_0_v6:7:46205737:46206154:-1 gene:B456_007G272900 transcript:KJB44410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKKIMVLWIFSLVISSMVMEEGANATPISYPGLGKNLQVPCKLGGGNCLPPPSNGYDRGCSHQKRCRSHYTTPIFH >KJB46492 pep chromosome:Graimondii2_0_v6:7:60472571:60475806:1 gene:B456_007G372100 transcript:KJB46492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFWNSHEQQAQPHPQEGSSCSWYPPSVVGSTNSSRPATPSSSASNSFNSQRPAEWSHSPSPVSPAEAAGVIALLKDKSVDELRKLLSDKDAYNQFLLSVDQVKIQNKIRDELRNETLQLARNNLDKEPQIMELRNQDYPHNRIGCRSGKTKRA >KJB46495 pep chromosome:Graimondii2_0_v6:7:60472679:60474970:1 gene:B456_007G372100 transcript:KJB46495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFWNSHEQQAQPHPQEGSSCSWYPPSVVGSTNSSRPATPSSSASNSFNSQRPAEWSHSPSPVSPAEAAGVIALLKDKSVDELRKLLSDKDAYNQFLLSVDQVKIQNKIRDELRNETLQLASEFLFHEYYDNAINFHT >KJB46496 pep chromosome:Graimondii2_0_v6:7:60472679:60474970:1 gene:B456_007G372100 transcript:KJB46496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFWNSHEQQAQPHPQEGSSCSWYPPSVVGSTNSSRPATPSSSASNSFNSQRPAEWSHSPSPVSPAEAAGVIALLKDKSVDELRKLLSDKDAYNQFLLSVDQVKIQNKIRDELRNETLQLASEFLFHEYYDNAINFHT >KJB46493 pep chromosome:Graimondii2_0_v6:7:60472571:60475806:1 gene:B456_007G372100 transcript:KJB46493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFWNSHEQQAQPHPQEGSSCSWYPPSVVGSTNSSRPATPSSSASNSFNSQRPAEWSHSPSPVSPAEAAGVIALLKDKSVDELRKLLSDKDAYNQFLLSVDQVKIQNKIRDELRNETLQLARNNLDKEPQIMELRNQCRIIRTTELAAAQEKLNELDRQKEETLKFYSPASFMHRLQGTY >KJB46491 pep chromosome:Graimondii2_0_v6:7:60472538:60475806:1 gene:B456_007G372100 transcript:KJB46491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFWNSHEQQAQPHPQEGSSCSWYPPSVVGSTNSSRPATPSSSASNSFNSQRPAEWSHSPSPVSPAEAAGVIALLKDKSVDELRKLLSDKDAYNQFLLSVDQVKIQNKIRDELRNETLQLARNNLDKEPQIMELRNQCRIIRTTELAAAQEKLNELDRQKEETLKFYSPASFMHRLQDAMNVTEEESEIVNRQLLDREIDLGTFVQKYKKLRISYHQRALIHLAAKTSPIG >KJB46494 pep chromosome:Graimondii2_0_v6:7:60472571:60475806:1 gene:B456_007G372100 transcript:KJB46494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNSHEQQAQPHPQEGSSCSWYPPSVVGSTNSSRPATPSSSASNSFNSQRPAEWSHSPSPVSPAEAAGVIALLKDKSVDELRKLLSDKDAYNQFLLSVDQVKIQNKIRDELRNETLQLARNNLDKEPQIMELRNQCRIIRTTELAAAQEKLNELDRQKEETLKFYSPASFMHRLQDAMNVTEEESEIVNRQLLDREIDLGTFVQKYKKLRISYHQRALIHLAAKTSPIG >KJB45818 pep chromosome:Graimondii2_0_v6:7:55287347:55307259:1 gene:B456_007G330700 transcript:KJB45818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLRLVFGSIFIVCCLTTLSNEASLPNAEVEALESIGRTLGKTKWNFNETCIQGNGRLDQPSPKFKESYVDNVTCDCSFNNNTICHVIHILLKGQGLSGTLPLNLTSLPFLQEFDLTRNYLNGTIPRGWGSSTRLIKISLLGNRLTGLIPEELANLRNLTSLVLENNGLSGTLPAALRDLPKMERLHLSSNNFTGEIPLSFAGLTSLKEFRISDNNFTGQIPDFIFRNWTNLEEIYMEGSGLSGPIPSINATLLNLTYIIIGDLNGAETNFTRQLIDASLPKLERLMLRSCNLIGEIPASFGTFTSIKILDLSFNRLGGKIPDELSNLNFDTTFLNGNNFTGSIPQWVRKTNEKVDLSYNNFNDTGESSCQISKTVSIARLNNTGIVPCLTSQITCSSEPLHFVHINCGGREITVNDTTYEADFDGAGPSTFYQSTNWAFSSTGIFLSDDRPNDILVLDNRQVSVDGDEKQLYESARLAPSSLTYYAFCLANATYIVSLHFAEIQFTNDRNYSSLGRRIFDVYIQGKQELKDFNIEEEARGAGIPKVKKITVNVTDSTLEIRFQWAGKGTTSIPKKSIYGPLISAISILDPTYKPPSESDGGVATAAVVSIVGGVVFATLLILGILWWKGCLKWKSTLEQGIELQTTSFTLRQIKAATKYFHASNKIGEGGFGPVYKGTLADGIVIAVKQLSARSKQGNREFVTEIGMISALQNPHLVKLYGCCIEGNQLMLIYEYLENNSLARALFGPQESQLTLDWPTRMKICIGIARGLAYLHEESRLKIVHRDIKATNVLLDKNLNPKISDFGLAKLDEEDNTHISTRIAGNYGYMAPEYALHGYLTEKVDVYSFGIVALEIVSGRCNTRIRPKQEPFILL >KJB44214 pep chromosome:Graimondii2_0_v6:7:32813901:32815127:-1 gene:B456_007G239400 transcript:KJB44214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPIYFSLGKIHVFCLALFMLISMLLCASIENHELQTNGVGARRRLLELKEQDDQHLSVKKTPSLSTKNNQTKLIKSSTLSTKNQTKLITSKLSTKNQTKLSKPIKSTKATTLSSTLSKSKLSPPKNNVTTKTLQGKKMTDAHEPSKPINNLSNVKNPTSQKPKQPSSIHQEYDPDLVAEFKDLPTKIQGTLLPDLEKITTTSKAYITKYNKQITKQFKPYVGNKCAPTIATIYSCAVLLIPLLLVSLIFNRVKAYFSLQKILISIQVYLSIYFSILCLTSLVTGLEPLKFFYATSPSTYVWLQVVQTLGYVVYLLLLLMHLVLVFSTVSGLGSRMLGLGQTFVGFTVGLHYYVAVFHRVVLRQPPKTNWKVHGIYATSFLVICLCARNDGRKKAYLEEGGEEGKNN >KJB46150 pep chromosome:Graimondii2_0_v6:7:58016419:58020296:-1 gene:B456_007G350200 transcript:KJB46150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSKNSENGVLDEKVGTDSIGTNLGFDPAGLGVLDAFFASFSMIIVSEIGDETFIIAALMAMRHPKSTVLSGALAALFVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRADTKGSQKKEMEEVEEKLETGQGKTYYRRFLTRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAVGVAVGAIIGHTICTSLAVVGGSMLAAKISQRTVATIGGFLFLGFSLSSYFYPPL >KJB46149 pep chromosome:Graimondii2_0_v6:7:58016419:58020296:-1 gene:B456_007G350200 transcript:KJB46149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTPIRFLFIVSFFFFVFASVSFSQDSGFQAEKEESDGSIKDLGRRGMIVSKNSENGVLDEKVGTDSIGTNLGFDPAGLGVLDAFFASFSMIIVSEIGDETFIIAALMAMRHPKSTVLSGALAALFVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRADTKGSQKKEMEEV >KJB46151 pep chromosome:Graimondii2_0_v6:7:58016419:58020402:-1 gene:B456_007G350200 transcript:KJB46151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTPIRFLFIVSFFFFVFASVSFSQDSGFQAEKEESDGSIKDLGRRGMIVSKNSENGVLDEKVGTDSIGTNLGFDPAGLGVLDAFFASFSMIIVSEIGDETFIIAALMAMRHPKSTVLSGALAALFVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRADTKGSQKKEMEEVEEKLETGQGKTYYRRFLTRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAVGVAVGAIIGHTICTSLAVVGGSMLAAKISQRTVATIGGFLFLGFSLSSYFYPPL >KJB46148 pep chromosome:Graimondii2_0_v6:7:58016419:58020296:-1 gene:B456_007G350200 transcript:KJB46148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTPIRFLFIVSFFFFVFASVSFSQDSGFQAEKEESDGSIKDLGRRGMIVSKNSENGVLDEKVGTDSIGTNLGFDPAGLGVLDAFFASFSMIIIGDETFIIAALMAMRHPKSTVLSGALAALFVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRADTKGSQKKEMEEVEEKLETGQGKTYYRRFLTRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAVGVAVGAIIGHTICTSLAVVGGSMLAAKISQRTVATIGGFLFLGFSLSSYFYPPL >KJB46147 pep chromosome:Graimondii2_0_v6:7:58016419:58019717:-1 gene:B456_007G350200 transcript:KJB46147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQIVSKNSENGVLDEKVGTDSIGTNLGFDPAGLGVLDAFFASFSMIIVSEIGDETFIIAALMAMRHPKSTVLSGALAALFVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRADTKGSQKKEMEEVEEKLETGQGKTYYRRFLTRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAVGVAVGAIIGHTICTSLAVVGGSMLAAKISQRTVATIGGFLFLGFSLSSYFYPPL >KJB41220 pep chromosome:Graimondii2_0_v6:7:6994525:6997015:-1 gene:B456_007G095400 transcript:KJB41220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGLDFLNLLNCDVSLKILTNLEDPSDLVRFSAVSRSWRQFVIENGLLKHLCRRMFPQLSRVDHVNELCGTAKGHAEAGSSNFMEWEALKREHRVYAFFARGCLSFAPRECIYEAIIASSTDNDPEEGIHNTLEPRDRVARRASYWSSKGQSNPAVPETLTYRLCADLCVITEINVRPFQAYFQIGKPIYSANSVRFRMGHVKSCMDNIVKESCQDCGNEKFAWTYTSQEFPMAQENCLQNFRLPEPVLCIGGILQIELLGRVQRQEMDSLYYICVSHVQVVGRPLSPAFGIQTFEHSEKFVLEALSYKQPTSPAQSSSAPTLQMRVRDLEQILNLLRGNVVDVVEYGYEWEIEDEESDEEDEDEDEEDRE >KJB41222 pep chromosome:Graimondii2_0_v6:7:6994220:6997557:-1 gene:B456_007G095400 transcript:KJB41222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGLDFLNLLNCDVSLKILTNLEDPSDLVRFSAVSRSWRQFVIENGLLKHLCRRMFPQLSRVDHVNELCGTAKGHAEAGSSNFMEWEALKREHRVYAFFARGCLSFAPRECIYEAIIASSTDNDPEEGIHNTLEPRDRVARRASYWSSKGQSNPAVPETLTYRLCADLCVITEINVRPFQAYFQIGKPIYSANSVRFRMGHVKSCMDNIVKESCQDCGNEKFAWTYTSQEFPMAQENCLQNFRLPEPVLCIGGILQIELLGRVQRQEMDSLYYICVSHVQVVGRPLSPAFGIQTFEHSEKFVLEALSYKQPTSPAQSSSAPTLQMRVRDLEQILNLLRGNVVDVVEYGYEWEIEDEESDEEDEDEDEEDRE >KJB41221 pep chromosome:Graimondii2_0_v6:7:6994176:6997873:-1 gene:B456_007G095400 transcript:KJB41221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGLDFLNLLNCDVSLKILTNLEDPSDLVRFSAVSRSWRQFVIENGLLKHLCRRMFPQLSRVDHVNELCGTAKGHAEAGSSNFMEWEALKREHRVYAFFARGCLSFAPRECIYEAIIASSTDNDPEEGIHNTLEPRDRVARRASYWSSKGQSNPAVPETLTYRLCADLCVITEINVRPFQAYFQIGKPIYSANSVRFRMGHVKSCMDNIVKESCQDCGNEKFAWTYTSQEFPMAQENCLQNFRLPEPVLCIGGILQIELLGRVQRQEMDSLYYICVSHVQVVGRPLSPAFGIQTFEHSEKFVLEALSYKQPTSPAQSSSAPTLQMRVRDLEQILNLLRGNVVDVVEYGYEWEIEDEESDEEDEDEDEEDRE >KJB43086 pep chromosome:Graimondii2_0_v6:7:17490340:17494868:-1 gene:B456_007G183200 transcript:KJB43086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCGRLGLELRCDNKNTTTIVISDIEYRVLAIHRDRHILRIAREDLIKYDGLCSPQIIPTRNSVLNSELFSPGLGYANVTLFYDCQSSISSRSTLGFFPCDNAGSTYSNVSVATRNNIRPKRCSANVTVPILRSSLEGSLNSLLGLKEALKRGVEVQWYWKDSEACGKCNDSGGACGFFGPAENQTVFCHCPFMFDNSHDDRQCIRIVSSPSPTTARESKAKSKSRLNLIFIGLTVAVTITVVLVSAICFFVLRLKGKSPSSHLWKGKKNDNARIEEFITKFGSLAPNRYFYGDIKKMTNKFKDKLGEGGYGSVYKGKLPDGRLVAVKILSEFKDNAEEFMNEVASISRTSHVNIVTLLGFCFERSKRALVYDFMHNGSLDGFIYNKGPHSQSCQLEWKTLYDIAMGIARGLEYLHQGCSTRILHFDIKPHNILLDENFCPKISDFGLSKLCERQESTIANTGARGTVGFIAPEVFYRNFGGVSHKSDVYSYGMMLLEMIGGRKNKNIEGSQASETYFPLWIYNHLDQPMNCNPNEVLSIEEEEITRKLIIVSLWCTQTNPVDRPSMTKVLEMLQGNLQSLALPSQPT >KJB42078 pep chromosome:Graimondii2_0_v6:7:11061836:11063592:-1 gene:B456_007G135400 transcript:KJB42078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSLSLCKQTMSLLDIPSGVLKSNFFRPRLEKEKVVILMGATGTGKSRLSVDLATRLPAEIINSDKIQVHAGLDIATNKIPEEERCGIPHHMLGVISPYTDFTATDFVDMASHAMDSILSRSQVPIIAGGSNSYIEALVDDEDFRFRSRYECCFLCVDVAMPVLHQYVSERVDKMVEMGMIDEVRSFFDANANYAVGIRKAIGVPEFDRYFRAEPYLDKQQRGKLLQEAIQEIKRNTCKLACRQLEKIDRLRNKKNWKIHMVDATEVFRRRGKDADEAWEKLVAGPSTEIVAEFLYNFSPQTLVTKPNLRVPSLPCGIML >KJB41406 pep chromosome:Graimondii2_0_v6:7:7648362:7648673:-1 gene:B456_007G102700 transcript:KJB41406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPIADAEKTMHPKLITSDTGQQIRELNCKAEKEKDKKRAEVKKHQKFKVGQVLFVFDDKISIVQRRIQNACTNRHMRKPDSMAVFHLFHQRSDYRRILDVLA >KJB41405 pep chromosome:Graimondii2_0_v6:7:7648202:7649333:-1 gene:B456_007G102700 transcript:KJB41405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEGERGIQLKIPLQKKLAEIREYSDTLLVDHTTRILQLHLITSDTGQQIRELNCKAEKEKDKKRAEVKKHQKFKVGQVLFVFDDKISIVQRRIQNACTNRHMRKPDSMAVFHLFHQRSDYRRILDVLA >KJB41407 pep chromosome:Graimondii2_0_v6:7:7648362:7648643:-1 gene:B456_007G102700 transcript:KJB41407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKLITSDTGQQIRELNCKAEKEKDKKRAEVKKHQKFKVGQVLFVFDDKISIVQRRIQNACTNRHMRKPDSMAVFHLFHQRSDYRRILDVLA >KJB41408 pep chromosome:Graimondii2_0_v6:7:7648237:7649333:-1 gene:B456_007G102700 transcript:KJB41408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEGERGIQLKIPLQKKLAEIREYSDTLLVDHTTRILQLHCCGTNLYMRFNQTFRTADAEKTMHPKLITSDTGQQIRELNCKAEKEKDKKRAEVKKHQKFKVGQVLFVFDDKISIVQRRIQNACTNRHMRKPDSMAVFHLFHQRSDYRRILDVLA >KJB42489 pep chromosome:Graimondii2_0_v6:7:13800643:13802888:-1 gene:B456_007G158300 transcript:KJB42489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQELELLMMHNRTYCAEIAHDVSTKKRKEIVERAAQLDVVVTNKLARLRSQEDE >KJB42488 pep chromosome:Graimondii2_0_v6:7:13800724:13802868:-1 gene:B456_007G158300 transcript:KJB42488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQELELLMMHNRTYCAEIAHDVSTKKRKEIVERAAQLDVVVTNKLARLRSQEDE >KJB42490 pep chromosome:Graimondii2_0_v6:7:13800824:13802787:-1 gene:B456_007G158300 transcript:KJB42490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQELELLMMHNRTYCAEIAHDVSTKKRKEIVERAAQLDVVVTNKLARLRSQEDE >KJB42861 pep chromosome:Graimondii2_0_v6:7:15446480:15450995:1 gene:B456_007G171000 transcript:KJB42861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQIFGKLPRKPSKSSQHEPNGDALNGSHLNGGTVNSHSSSSANSNQGKKTDPLASQAGLMLVSGIYEALPGFRDVPSTEKQILLLKKLNMCCVVFDFSDPTKNLREKDIKKQTLLELVDYISSVTSKFNELAVQEMTRMVSANLFRTFPSPNHDNKLLEIYDLEDEEPAMDPAWPHLQIVYELLLRFVVSPETDAKLAKRYMDHSFVLKLLDLFDSDDHRERDYLKTILHQIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCASTYHQQLSYCITQFVEKDYKLADTVIRGLLKYWPVINSSKEVMFVGELEEVLEATQAAEFQRCVVPLFRQIGRCLNSSHFQVAERALFLWNNDHIRNLIIQNRSVILPIIFPALERNTSGHWNQAVQSFTLNVRKMFTDVDHMLFDECLSRFQEDELKEKEMQERRELTWKHLEDVVSSTTAASNEAVLVSRFVSSIAIATATATSPTPMVGS >KJB42862 pep chromosome:Graimondii2_0_v6:7:15445542:15450995:1 gene:B456_007G171000 transcript:KJB42862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQIFGKLPRKPSKSSQHEPNGDALNGSHLNGGTVNSHSSSSANSNQGKKTDPLASQAGLMLVSGIYEALPGFRDVPSTEKQILLLKKLNMCCVVFDFSDPTKNLREKDIKKQTLLELVDYISSVTSKFNELAVQEMTRMVSANLFRTFPSPNHDNKLLEIYDLEDEEPAMDPAWPHLQIVYELLLRFVVSPETDAKLAKRYMDHSFVLKLLDLFDSDDHRERDYLKTILHQIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCASTYHQQLSYCITQFVEKDYKLADTVIRGLLKYWPVINSSKEVMFVGELEEVLEATQAAEFQRCVVPLFRQIGRCLNSSHFQVAERALFLWNNDHIRNLIIQNRSVILPIIFPALERNTSGHWNQAVQSFTLNVRKMFTDVDHMLFDECLSRFQEDELKEKEMQERRELTWKHLEDVVSSTTAASNEAVLVSRFVSSIAIATATATSPTPMVGS >KJB44433 pep chromosome:Graimondii2_0_v6:7:40360237:40362000:1 gene:B456_007G252700 transcript:KJB44433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKVGIKKGPWTPEEDIILVSYIQQHGAGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLNKFQSALDPHPSIERKSSLEFASTSSSTSAVGLNQASSTYASSTENISRLLQGWMRSSPKTTNDNNSSLLLKDTSQYQKWDQNTSLLDADSSAGYKPKAEQEGGNLISHEEFESILSFENINNVVWDKSTCESTSKGACQDSGNDDDGDDDDDDGDDKVNVAMAPENYKKQKVDHHNNNNNNKNNPPLSFLEKWLFDESSSGQVEEMNQMMELSSVF >KJB46367 pep chromosome:Graimondii2_0_v6:7:59454918:59457043:1 gene:B456_007G363000 transcript:KJB46367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g06540 [Source:Projected from Arabidopsis thaliana (AT5G06540) UniProtKB/Swiss-Prot;Acc:Q9FG16] MNSSINISNKVLNTLRLKNPKLLLLESCNNLSQLKIIHGYMIRTHIIFDIFAASRLISLCINNPSFGPFSLNYAHNVFSQIETPNLFMYNALIKGFSGENPIKGFEFYVRMLRANIVPDNLSFPFLVRACAQLEGIDMGIQAHGQIVKHGFENDVYVQNSLVYMYSTCGDIKAANEVFSRMLSPNVVSWTSIIAGFNKIGDVEMARELFDRMPERNLVTWSTMINGYAKNNCFEKAVELFQILKDKGIKANETVMVSVISSYAHLGAIELGEKAHDYVVKNNLSLNVILGTALVDMYARCGSIEKAIKVFDQMPERDVLSWTALIAGLAMHGYAEKAIWFFSKMVNSGLKPRDITFTYLLSACSHGGLVEKGLELFESMKRDYGIEPRLEHYGCVVDLLGRAGKLAEAEKFVLEMPVKPNAPIWGALLGACRIHRNAEIAERVGNILIPLLPEHSGYYVLLSNIYARTNRWENVETMRQMMKEKGVKKPPGYSLIEVDGKVHNFKMGDKSHPEIEMIERKWDEILRKIRLAGYTGNTSDALFDIDEEEKENALHRHSEKLAIAYGIMRTEAPVPIRIVKNLRVCEDCHTATKLISKVFGRELIVRDRNRFHHFREGSCSCMDYW >KJB45723 pep chromosome:Graimondii2_0_v6:7:54113871:54117701:-1 gene:B456_007G323100 transcript:KJB45723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVGQILEVIKFIGRPARKYLKYQRKFTKYVADFKQAQDDLLAKNEDIQRQLDDECGYGKMPKQEVERWLKKVEEKLAHAQHVEVKVSKGKCLFRSSLGKLVDETTQALKEVHAEGHFSGRLVVNDHSIVAVKLPTQNLVGHQVSVRDEIYGYLMGDDVGMIGVCGMGGIGKTTIMKDVYNRLIPEAKFKKLIWITVSRNIDIRSIQKDIVSQLQKELPDHENTIVRAGKLSKMLREMLRKQGRYALILDDVWSSFPLEDIGIVEPTKDNGCKVVLTTRSEEVIRSMGCTKVQVTCLSMHEAIDLFLSKVGQDISENPTLKSSMRLVVGECDGLPLALVTLAACMKGISNPRVWKNAVNELRGYVRNIRDMEDKVFGSLKFSYDRLNTGDQDCFSYCALYPEDHQIEKEEIIQYWMEEGLLDEMGSREAMEDNGHSILEKFEQNSLLERVENSAYVKMHDVFRDMALHIKRKRFMVKAGKQLKELPNEEEWGEDLEKVSLMYNSISAIPQHMKCPKFPKLTTLLLSRNYLREIPESFFEHFPNLKILDLSHNPFESLPSSISALEKLTALLLIGCYYLESLPSVLKLQALKKLRLRGSGIKEIPQGLEMLVNLRYLDLLAMHPILRNPEQMREFNKLEVFEVWFCNMGDWNMYVGQRKRLHKYSILVCPLYRSKDIPSASSKLVLFERIEIIIGDAVILPYDIQQLHLKHCYGARSLNDITLRDAIHLKGCTVEDCFDLESIFSSKCDQLKKLASLTLRNLPDLIVLVGVGESSLSTFSSLKEITLCSSHKIQKLFEADRALHNLEKIEVNYCLQLEEIITEPEGEGMGTNNDSIKYNFPRLTTLILSNLPKLKGICSEMQ >KJB44615 pep chromosome:Graimondii2_0_v6:7:43021117:43024908:1 gene:B456_007G261100 transcript:KJB44615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 3 [Source:Projected from Arabidopsis thaliana (AT5G18100) UniProtKB/Swiss-Prot;Acc:Q9FK60] MEGVSKATLKAVALVTGDTNVRGFLHFTQIPNGITHVQGKITGLSPGLHGFHIHALGDTTNGCNSTGPHFNPLKKDHGAPSDGERHAGDLGNIIAGPDGVAEVSIKDWQIPLSGQHSILGRAVVVHADPDDLGKGGHELSKTTGNAGARVGCGIIGLQSSV >KJB44616 pep chromosome:Graimondii2_0_v6:7:43021235:43024360:1 gene:B456_007G261100 transcript:KJB44616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 3 [Source:Projected from Arabidopsis thaliana (AT5G18100) UniProtKB/Swiss-Prot;Acc:Q9FK60] MEGVSKATLKAVALVTGDTNVRGFLHFTQIPNGITHVQGKITGLSPGLHGFHIHALGDTTNGCNSTGPHFNPLKKDHGAPSDGERHAGDLGVAEVSIKDWQIPLSGQHSILGRAVVVHADPDDLGKGGHELSKTTGNAGARVGCGIIGLQSSV >KJB41919 pep chromosome:Graimondii2_0_v6:7:24617422:24619289:-1 gene:B456_007G217300 transcript:KJB41919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCPLLLVLDSSFPLRSVPIPKEHSLFHYESFCRRCPLPQFKTYLRNSSQSSSLAFCFPVPIDRTTYSSTIPYSASPSITNIANPMLSSNCTA >KJB41918 pep chromosome:Graimondii2_0_v6:7:24618169:24619133:-1 gene:B456_007G217300 transcript:KJB41918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCPLLLVLDSSFPLRSVPIPKEHSLFHYESFCRRCPLPQFKTYLRNSSQSSSLAFCFPVPIDRTTYSSTIPYSASPSITNIANPMLSSNCTA >KJB44151 pep chromosome:Graimondii2_0_v6:7:32259859:32265672:1 gene:B456_007G237200 transcript:KJB44151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYSSNKNGVDAEDNLLTCLADLFTQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEAQAAKNDAETSSPSEKTANGPKNPQANGVKKEPLVTWVHKNFQGILTNETRCLRCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADLEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEFSSNTDHGYILFYESLGAGNKS >KJB44152 pep chromosome:Graimondii2_0_v6:7:32260071:32265643:1 gene:B456_007G237200 transcript:KJB44152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYSSNKNGVDAEDNLLTCLADLFTQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQKEAQAAKNDAETSSPSEKTANGPKNPQANGVKKEPLVTWVHKNFQGILTNETRCLRCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADLEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEFSSNTDHGYILFYESLGAGNKS >KJB45739 pep chromosome:Graimondii2_0_v6:7:54360255:54369269:-1 gene:B456_007G324500 transcript:KJB45739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHITKCSINSIKNINFNCSNTYNFRRSIISYQNNAFRHYFNDTDLIHLGLILSRRLSFFLTNLTIGLSLSLELLPQLSSPLNSNRPTRQIPTPPPSLLWYFASRNKLVGDIPSSICNSSSLKVLSLWNNSFNGTIPECIGNLSSSLSHIDLWNNNFHGKIPESFAKYCTLQSFRINNNQIGGSLPRSLGNCKDLNLLDVGNNKLNDTFPNWLGNLDHLQVLVLRSNKFFGQMDNSDVTVSFSRLRIIDLSRNNFSGYLPTNFFKNLHSVRKGHENKLEPEYMEDVSDYVAFNFAYGLSFTVKGSATEFQSLLTKWMVIDFSDNQFFGELPKTLGELHSLIIVNLSHNCLTGPIPSSLGDLSELESLDLSSNKFQGRIPTELTNLGFLEVLNLSQNNLEGPIPQGKQFDTFTNDSYKENLGLCGLPLSKRCDNYRGTLVKFDRDDDELNWKFSILMGYGCGLVLGLSMGYIVFATGKPWWLIRIVERVQQRFTKR >KJB46063 pep chromosome:Graimondii2_0_v6:7:57710008:57710206:-1 gene:B456_007G3468001 transcript:KJB46063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFDNISSSSLESRVRDAGMLVKLLPLRLSSSKEDRPEISRGISPSQRLQSIRLSLLNELKPDNE >KJB42037 pep chromosome:Graimondii2_0_v6:7:10884261:10891018:-1 gene:B456_007G133700 transcript:KJB42037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIIVTISGRVGSETPINCRHSGLRGVIVEGTAEQHFLKHNDAGSWIQDSALMLSMCKEVPWYLDDETGRVYVVGARGAVGFALTVGSEVFEESGRSIVRGTLDYLQGLKMLGVKRIEQVLPTGSSLTVVGEAVKDDIGTIRIQKPQKGPFYVSPKSIDQLVSNLGKWARWYKYASFGLTIFGVFLITKHAIHYYLERRRRWELRRRVLAAAAKRTGSDNEDSTLKAENGTGTNQDCVMPDLCVICLEQEYNAVFIQL >KJB42042 pep chromosome:Graimondii2_0_v6:7:10884787:10890978:-1 gene:B456_007G133700 transcript:KJB42042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIIVTISGRVGSETPINCRHSGLRGVIVEGTAEQHFLKHNDAGSWIQDSALMLSMCKEVPWYLDDETGRVYVVGARGAVGFALTVGSEVFEESGRSIVRGTLDYLQGLKMLGVKRIEQVLPTGSSLTVVGEAVKDDIGTIRIQKPQKGPFYVSPKSIDQLVSNLGKWARWYKYASFGLTIFGVFLITKHAIHYYLERRRRWELRRRVLAAAAKRTGSDNEGTNQDCVMPDLCVICLEQEYNAVFIQL >KJB42041 pep chromosome:Graimondii2_0_v6:7:10884248:10891018:-1 gene:B456_007G133700 transcript:KJB42041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIIVTISGRVGSETPINCRHSGLRGVIVEGTAEQHFLKHNDAGSWIQDSALMLSMCKEVPWYLDDETGRVYVVGARGAVGFALTVGSEVFEESGRSIVRGTLDYLQGLKMLGVKRIEQVLPTGSSLTVVGEAVKDDIGTIRIQKPQKGPFYVSPKSIDQLVSNLGKWARWYKYASFGLTIFGVFLITKHAIHYYLERRRRWELRRRVLAAAAKRTGSDNEDSTLKAENGTGTNQDCVMPDLCVICLEQEYNAVFIQCRVKLEA >KJB42038 pep chromosome:Graimondii2_0_v6:7:10884730:10891018:-1 gene:B456_007G133700 transcript:KJB42038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIIVTISGRVGSETPINCRHSGLRGVIVEGTAEQHFLKHNDAGSWIQDSALMLSMCKEVPWYLDDETGRVYVVGARGAVGFALTVGSEVFEESGRSIVRGTLDYLQGLKMLGVKRIEQVLPTGSSLTVVGEAVKDDIGTIRIQKPQKGPFYVSPKSIDQLVSNLGKWARWYKYASFGLTIFGVFLITKHAIHYYLERRRRWELRRRVLAAAAKRTGSDNEDSTLKAENGTGTNQDCVMPDLCVICLEQEYNAVFIQL >KJB42036 pep chromosome:Graimondii2_0_v6:7:10885779:10889487:-1 gene:B456_007G133700 transcript:KJB42036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIIVTISGRVGSETPINCRHSGLRGVIVEGTAEQHFLKHNDAGSWIQDSALMLSMCKEVPWYLDDETGRVYVVGARGAVGFALTVGSEVFEESGRSIVRGTLDYLQGLKMLGVKRIEQVLPTGSSLTVVGEAVKDDIGTIRIQKPQKGPFYVSPKSIDQLVSNLGKWARWYKYASFGLTIFGVFLITKHAIHYYLERRRRWELRRRVLAAAAKRTGSDNEDSTLKAENGTGTNQDCVMPDLCVICLEQEYNAVFIQL >KJB42039 pep chromosome:Graimondii2_0_v6:7:10884787:10890826:-1 gene:B456_007G133700 transcript:KJB42039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPWGGPSCCLSAAALYLLGRSSGSKMIPIIVTISGRVGSETPINCRHSGLRGVIVEGTAEQHFLKHNDAGSWIQDSALMLSMCKEVPWYLVRTYFSLPVYFDFYAEITYDDETGRVYVVGARGAVGFALTVGSEVFEESGRSIMLGVKRIEQVLPTGSSLTVVGEAVKDDIGTIRIQKPQKGPFYVSPKSIDQLVSNLGKWARWYKYASFGLTIFGVFLITKHAIHYYLERRRRWELRRRVLAAAAKRTGSDNEDSTLKAENGTGTNQDCVMPDLCVICLEQEYNAVFIQRIEQVVKVFRH >KJB42040 pep chromosome:Graimondii2_0_v6:7:10885779:10889487:-1 gene:B456_007G133700 transcript:KJB42040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIIVTISGRVGSETPINCRHSGLRGVIVEGTAEQHFLKHNDAGSWIQDSALMLSMCKEVPWYLDDETGRVYVVGARGAVGFALTVGSEVFEESGRSIVRGTLDYLQGLKMLGVKRIEQVLPTGSSLTVVGEAVKDDIGTIRIQKPQKGPFYVSPKSIDQLVSNLGKWARWYKYASFGLTIFGVFLITKHAIHYYLERRRRWELRRRVLAAAAKRTGSDNEDSTLKAENGTGTNQDCVMPDLCVICLEQEYNAVFIQL >KJB43253 pep chromosome:Graimondii2_0_v6:7:18579104:18581989:-1 gene:B456_007G190300 transcript:KJB43253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAQLYVRTIATVSISSYQYTAASPYPTASSSCLRSSSLCSHFTTKRRRPSPKPVVVSCHSAYGSFRPQPSNGDNRDHQFLEASLLVSETILHYRMWRHGFQQDVKGVFPMSRAKITSIGQAFLSRFPSPTIFLKIPCDGEFLLPIVVGEFSVEKLIAAFWGDDKGDTPDQFQLVNNVVEKLGYEVKMVRITERVVNTYFAKLYLSKPGENDVIIVDARPSDAINVANRCKAPIYVNKQIVLADAIRIGYGMGRVRDKKSTYDVSLDSAADGPDLLLEELDLVRNMDLAVKEERYTDAAKLREKLMKLRDHGQ >KJB43252 pep chromosome:Graimondii2_0_v6:7:18579104:18581942:-1 gene:B456_007G190300 transcript:KJB43252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAQLYVRTIATVSISSYQYTAASPYPTASSSCLRSSSLCSHFTTKRRRPSPKPVVVSCHSAYGSFRPQPSNGDNRDHQFLEASLLVSGAETILHYRMWRHGFQQDVKGVFPMSRAKITSIGQAFLSRFPSPTIFLKIPCDGEFLLPIVVGEFSVEKLIAAFWGDDKGDTPDQFQLVNNVVEKLGYEVKMVRITERVVNTYFAKLYLSKPGENDVIIVDARPSDAINVANRCKAPIYVNKQIVLADAIRIGYGMGRVRDKKSTYDVSLDSAADGPDLLLEELDLVRNMDLAVKEERYTDAAKLREKLMKLRDHGQ >KJB39202 pep chromosome:Graimondii2_0_v6:7:6103006:6103683:-1 gene:B456_007G0854002 transcript:KJB39202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQNQAYLKNE >KJB39200 pep chromosome:Graimondii2_0_v6:7:6103362:6103683:-1 gene:B456_007G0854002 transcript:KJB39200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >KJB39201 pep chromosome:Graimondii2_0_v6:7:6103362:6103683:-1 gene:B456_007G0854002 transcript:KJB39201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQCFVFSLISLHFKIKPI >KJB41673 pep chromosome:Graimondii2_0_v6:7:9422358:9423697:-1 gene:B456_007G119800 transcript:KJB41673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPRLEGKVALITGGASGIGEETARFFVQHGALVVIVDVQDDLGNQVAASIGLDKVSYRHCDVREEKQVEEAVSFTVEKYGKLDILFSNAGTLGSLTGILEIDLDDFDNTIATNVRGVAATIKHAARAMVAKNTRGSIICTGSVASFVGGTGPNGYTTSKHAIVGLVRGACSELGAHGIRVNCVSPYGVATPLACRAYNFEPSQLEANSYSASNLKGIVLKARHVAETVLFLASDESAYISGQNLAVDGGFTVVTHSLSTFTD >KJB41674 pep chromosome:Graimondii2_0_v6:7:9422432:9423523:-1 gene:B456_007G119800 transcript:KJB41674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPRYVHLCLLDLLSLINIAVASQELKPANVCMLLSRLEGKVALITGGASGIGEETARFFVQHGALVVIVDVQDDLGNQVAASIGLDKVSYRHCDVREEKQVEEAVSFTVEKYGKLDILFSNAGTLGSLTGILEIDLDDFDNTIATNVRGVAATIKHAARAMVAKNTRGSIICTGSVASFVGGTGPNGYTTSKHAIVGLVRGACSELGAHGIRVNCVSPYGVATPLACRAYNFEPSQLEANSYSASNLKGIVLKARHVAETVLFLASDESAYISGQNLAVDGGFTVVTHSLSTFTD >KJB41238 pep chromosome:Graimondii2_0_v6:7:7083723:7086152:-1 gene:B456_007G096200 transcript:KJB41238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLNFKFLDLSFKSFHQCIHVRTMHFHFANSSQSKIDSNRVLNELSKSGRINEARKLFDKMPERDEFTWNTLIAAYATSGKLTEAIQLFKETPIKSSITWNLLISGYCLHGMETEAFHLFSRMQFEGQRPNQYTMGSILRLCSTLGLLQRGKQVHGYVIKTQFESNDYVVTGLVDMYAKCNCILEAEYLFKMMPNKRNHVMWTAMVAGYSQNGEAFKAIECYRDMVVEGVASNQFTFPSVLTACAAVQARNFGTQVHSFIVRSGFEANVFVQSALIDMYAKCRDLDSALIVLENMEVDDVVSWNSMLVGCVRQGCEEEALSLFRKMHARDMKLGNFTYPSVLNCFASTKDMNNAMSVHCLIIKTGFEAYKLVNNALVDMYAKQGNMDCAFQVFNHMPNKDVVSWTSLVTGYAHNNHHEEALKLFCDMRSAGIHPDHVVLASSLSACAELTVLELGQQVHADFVKSGLQSSTSVDNSLVTMYAKCGCIDNASRVFDSMQIRDAVTWTALIVGYARNGKGKDSVRFYDQMIASGTKPDYITFIGLLFACSHAGLLERGRLYFASMEKEYGIKPGPEHYACMIDLLGRSGKLVEAEMLLNEMDVEPDATVWKALLAACRVQGNLELGERAAKNLFELESKNAVPYIMLSNMYSAAGKWEDAATIRRTMKWKGISKEPGCSWIEVNSRVHTFMSEDRGHSRTTEIYSKIDEIMVLIKEAGYEADISFALHNMDKEGKELGLAYHSEKLAVAFGLLSLPRGAPVRIFKNLRVCGDCHTAMKYISRVFHRHIILRDSNCFHHFKGGQCSCGDYW >KJB45803 pep chromosome:Graimondii2_0_v6:7:55126400:55127560:-1 gene:B456_007G329400 transcript:KJB45803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNLVGEIPSSICNLSSLMILSLYKNSLRGRIPECIGDWSSFLNNYVHGKIPKGFAQGCTLQNFQINNNQLEGSLPRSLANCKDLKFIDVENNFLNDTFPDWLGNLDHLQVLILRSNRFYGQVVNSNVIVSFPRLHVIDLSHNNLSGYLPIKFFENLHAIRKGNEKKGKPEYMMNSPVNWTRYSYVRGLSFMAKGLEMEFESLLTSWMVIDFSNNKFLGEIPKTLGELHSLIVLNLSHNSLTDLSSNKLQGRIPTELTNLGFLAVLNLSQNNLTGPIPQGKQFNTFNNDSYIGNLGLCGLPLSNRCDNDEKTPAKFDGDDDEELNWRYSILMGYGCGLVLGVSLGYIVLTTGKPWWLVKIIERVQQRFVKR >KJB44824 pep chromosome:Graimondii2_0_v6:7:46945135:46945773:1 gene:B456_007G275100 transcript:KJB44824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTEYESISVALIEESKERIKKFEYVRFRVVPRTKNQAAHKMAEARKHFDSPMFWIEEAPEKVTRIVDLHRGSMGREEVLNFSE >KJB46389 pep chromosome:Graimondii2_0_v6:7:59698348:59698590:-1 gene:B456_007G364800 transcript:KJB46389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FEPTMAEAIAFDIAAELIIKLSSRALSQVGLWWNLKHDIHDLKRTVCQIKAVLLDAEEKSVTDNLVKVWLEELKSRENSV >KJB46180 pep chromosome:Graimondii2_0_v6:7:58162739:58165048:-1 gene:B456_007G351500 transcript:KJB46180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIEDSSNLFGKWEEELPSPEELMPLSQTLITPHLALAFDISNPNHRQRKHPPPPPPPPAEFAGDSGDLGSGAGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGSGGGGANGGAASDPATDHLFASSPVPPHFLHPSKGSSEPFAPFVSVPGLQHHHYQQQQHQLAAAAAVGHPHLLTQYQKQIGHFGSSPNGQLEHPFLAKQPPPSIRRMGGSLMHGYLGNQLMFTYI >KJB46181 pep chromosome:Graimondii2_0_v6:7:58163728:58164700:-1 gene:B456_007G351500 transcript:KJB46181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIEDSSNLFGKWEEELPSPEELMPLSQTLITPHLALAFDISNPNHRQRKHPPPPPPPPAEFAGDSGDLGSGAGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGSGGGGANGGAASDPATDHLFASSPVPPHFLHPSKGSSEPFAPFVSVPGLQHHHYQQQQHQLAAAAAVGHPHLLTQYQKQIGHFGSSPNGQLEHPFLAKQPPPSIRRMGGTPSHNQVPNNYVEDLESANGNGGMKGL >KJB44974 pep chromosome:Graimondii2_0_v6:7:48452679:48453301:-1 gene:B456_007G283100 transcript:KJB44974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPWYRVHTIVLNYPGRLLSVHIMHTALVASWSGSMALYELVVFDPSDPVLDPMWRQYMFVIPFLTYLGIINSWGDWSITGWTITNLSIWCYEGVARAHIVFFGLCFLAAIWHWIVGIHLFLSREACFGFGAFHVIGLSGLGIWVSDSYGLTGKVQPVNPTWGVEGFDPFVSGGIASHHIAAGI >KJB46448 pep chromosome:Graimondii2_0_v6:7:60160758:60161781:1 gene:B456_007G369100 transcript:KJB46448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENQDIPCPIGVVSDAESDPPENEPDDTQMNLSMSTGSKKRSREIDDSVEAKGKAPKKWTSGKEASNSQQQPKPKSEKNCGEAVQNRLKNYFGLDLSLDLVILCSGCFKFRPS >KJB39739 pep chromosome:Graimondii2_0_v6:7:1962673:1964605:-1 gene:B456_007G028600 transcript:KJB39739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPAIVRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWINEDSTYKYFEVILVDVAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKNRGLHGKGHLHHKNRPSRRATWKRNNTLSLRRYR >KJB39740 pep chromosome:Graimondii2_0_v6:7:1962791:1964367:-1 gene:B456_007G028600 transcript:KJB39740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLQRVRCWEYRQHPAIVRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWINEDSTYKYFEVILVDVAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKNRGLHGKGHLHHKNRPSRRATWKRNNTLSLRRYR >KJB41391 pep chromosome:Graimondii2_0_v6:7:7620492:7623013:-1 gene:B456_007G102400 transcript:KJB41391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYDVAFLNAELSKPTPIFGVRLWVLVGLLFASFILFTLFLLSLCLTARRKSDRHHHLHPSPSISKEVQQIIHHPSAADHHPISQSAELGKMEHGMVFSSEESRGPTSAGATDTASLGSGSTGPEVSHLGWGKWYTLRELELATNGLCEENVIGEGGYGIVFIGVLTDGAKVAVKNLLNNRGQAEKEFKVEVEAIGRARHKNLVRLHGYCVEGAYRMLVYEYVDNGNLEQWLHGDVGDVSPLTWDIRMNIILGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRQWNPKVSDFGLAKLLRSERTYVTTRVMGTFGYVAPEYACTGMLNEKSDVYSFGVLIMEIISGRSPVDYSRPQGEVNLVEWLKIMVGDRKSKEVVDPKLPEMPASKALKRVLLVALRCVDPDATKRPKMGHVIHMLESDDLLFHDERRNAREHSNGQQTNSNATKLGGSRFDRASASHTYEGNSERNHHQPTRWR >KJB41390 pep chromosome:Graimondii2_0_v6:7:7621273:7622862:-1 gene:B456_007G102400 transcript:KJB41390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYDVAFLNAELSKPTPIFGVRLWVLVGLLFASFILFTLFLLSLCLTARRKSDRHHHLHPSPSISKEVQQIIHHPSAADHHPISQSAELGKMEHGMVFSSEESRGPTSAGATDTASLGSGSTGPEVSHLGWGKWYTLRELELATNGLCEENVIGEGGYGIVFIGVLTDGAKVAVKNLLNNRGQAEKEFKVEVEAIGRARHKNLVRLHGYCVEGAYRMLVYEYVDNGNLEQWLHGDVGDVSPLTWDIRMNIILGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRQWNPKVSDFGLAKLLRSERTYVTTRVMGTFGYVAPEYACTGMLNEKSDVYSFGVLIMEIISGRSPVDYSRPQGEVRLIKFHPWLV >KJB41389 pep chromosome:Graimondii2_0_v6:7:7620492:7622988:-1 gene:B456_007G102400 transcript:KJB41389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGMVFSSEESRGPTSAGATDTASLGSGSTGPEVSHLGWGKWYTLRELELATNGLCEENVIGEGGYGIVFIGVLTDGAKVAVKNLLNNRGQAEKEFKVEVEAIGRARHKNLVRLHGYCVEGAYRMLVYEYVDNGNLEQWLHGDVGDVSPLTWDIRMNIILGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRQWNPKVSDFGLAKLLRSERTYVTTRVMGTFGYVAPEYACTGMLNEKSDVYSFGVLIMEIISGRSPVDYSRPQGEVNLVEWLKIMVGDRKSKEVVDPKLPEMPASKALKRVLLVALRCVDPDATKRPKMGHVIHMLESDDLLFHDERRNAREHSNGQQTNSNATKLGGSRFDRASASHTYEGNSERNHHQPTRWR >KJB42140 pep chromosome:Graimondii2_0_v6:7:11380592:11382773:-1 gene:B456_007G139000 transcript:KJB42140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRSERSTALVVTDDASYARNALDAIWSSENEDPKRLDPLIIFQTSSLARLPLQSSYVDQVIFFTRSVEFPVDELYAEIFRVMTPGGKLVVYRSFQIEISEVETALSALERKLLLAGFLEGERFQLKKIAHTVGIKAKKPSWKIGSSFAIKKTVKSPLKIQMDDDSDLIDEDSLLTEEDLKKPQLPTVGDCEVGSTRKACKNCTCGRAEQEEKVQKLELSADQLNNPQSACGNVKLVICFSL >KJB42139 pep chromosome:Graimondii2_0_v6:7:11379920:11382810:-1 gene:B456_007G139000 transcript:KJB42139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRSERSTALVVTDDASYARNALDAIWSSENEDPKRLDPLIIFQTSSLARLPLQSSYVDQVIFFTRSVEFPVDELYAEIFRVMTPGGKLVVYRSFQIEISEVETALSALERKLLLAGFLEGERFQLKKIAHTVGIKAKKPSWKIGSSFAIKKTVKSPLKIQMDDDSDLIDEDSLLTEEDLKKPQLPTVGDCEVGSTRKACKNCTCGRAEQEEKVQKLELSADQLNNPQSACGNCGLGDAFRCSTCPYKGLPPFKLGEKVSLSGNFLAADI >KJB42679 pep chromosome:Graimondii2_0_v6:7:14286291:14287673:1 gene:B456_007G163500 transcript:KJB42679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKSRAVKGRAIDPIPPSNFPLLYQDEECRINGNDSGVSEDAGFNQDKVNNDDDVGGKDDEFDDEDEEEADGDGDGSGGGISGHYDNDVIPDDVDKGDEDEANEEYHIDGNDNGLSEDAGFNQDNVNNNDDVGKDDDFDGEDEDEEGDDDGTAGGVSGHYDNDVIPDDVDMKDKDDNVVVQNFVEKVDESAGVNCMITDLLGGEFGYSCNGRSGQVLVCSENGCSISIHKMCMNIEPQFDDTGKFYCPYCWYKREVARTEELRKRAMLAKRELSKFMHFKWDGGNEEKLETGAENMKAASLSTMAEEKRLVSVEIG >KJB41366 pep chromosome:Graimondii2_0_v6:7:7508385:7509736:-1 gene:B456_007G101100 transcript:KJB41366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEAPIPISSKLSDFNNIFRRIVNAAEHPRLSIPETEAGRSRKQYHRLINRSLMVVSVGAAVAIVGFTAYRVYAARKNASS >KJB46608 pep chromosome:Graimondii2_0_v6:7:60834163:60837765:1 gene:B456_007G377600 transcript:KJB46608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYIGREASKLWKRICAEATTEINLLLDNWKYVLAGLIFQYIHGLAAHGVHYLHRPGPTLQDLGYFLLPELGQDKAYISEMVFTFVFLSFVLWTLHPFIFKSKKIYTVLIWCRVLAFLVASQILRIITFYSTQLPGPNYHCREGSKLARLPKPSNVQEILLINFPQGVVYGCGDLIFSSHMIFTLVFVLTYQKYGTRRCIKQCAWLLAIIQSLLIVASRKHYTVDIAVAWYTVNLVVFFVNKKLPELPDRSNGNSPVLLPLSTKDKDSKTKEENHKLLNGNSVDHADRP >KJB46292 pep chromosome:Graimondii2_0_v6:7:58872496:58876845:1 gene:B456_007G357100 transcript:KJB46292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKVVCRKLYDYIRYDLKEIAFPSSLPDPPHIKKCRKLTWHERFLVLKEASRLYTASWVRDIGPDLRPNDYKKDDGIKGKSHGDKSRSTEIEPSTLEDIAIAARGGMETLRPALQRLYMTRASAYRDALKSFIEGYQEGIQQIMEKKEDSSKAHQEGNTDKNST >KJB46290 pep chromosome:Graimondii2_0_v6:7:58872496:58876877:1 gene:B456_007G357100 transcript:KJB46290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKVVCRKLYDYIRYDLKEIAFPSSLPDPPHIKKCRKLTWHERFLVLKEASRLYTASWVRDIGPDLRPNDYKKDDGIKGKSHGDKSRSTEIEPSTLEDIAIAARGGMETLRPALQRLYMTRASAYRDALKSFIEGYQEGIQQIMEKKEDSSKAHQEGNTDKNST >KJB46291 pep chromosome:Graimondii2_0_v6:7:58872496:58876877:1 gene:B456_007G357100 transcript:KJB46291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKVVCRKLYDYIRYDLKEIAFPSSLPDPPHIKKCRKLTWHERFLVLKEASRLYTASWVRDIGPDLRPNDYKKDDGIKGKSHGDKSRSTEIEPSTLEDIAIAARGGMETLRPALQRLYMTRASAYRDALKSFIEGYQEGIQQIMEKKEDSSKAHQEGNTDKNST >KJB42177 pep chromosome:Graimondii2_0_v6:7:11802768:11807617:1 gene:B456_007G141800 transcript:KJB42177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPNESPADDFLEQILGLSHFAPTETGLAGPDGRLSGNATTAGAPMLLQLSSGGGTGHIGAIGGGGGGAFHGQVFPLGLSLEQGKGGFLKPEEASGSSKRFRNEVVDGRAFSVKNVFHGQPVPATVAAGPHPPAMRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGAAGAVAPLVTDLPLSSVEQDESGEGGRSQPAWEKWSNDGTERQVAKLMEEDVGAAMQFLQSKALCVMPISLATAIYHTQSPDTSSVVKPETNPPS >KJB42176 pep chromosome:Graimondii2_0_v6:7:11802672:11807811:1 gene:B456_007G141800 transcript:KJB42176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPNESPADDFLEQILGLSHFAPTETGLAGPDGRLSGNATTAGAPMLLQLSSGGGTGHIGAIGGGGGGAFHGQVFPLGLSLEQGKGGFLKPEEASGSSKRFRNEVVDGRAFSVKNVFHGQPVPATVAAGPHPPAMRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGAAGAVAPLVTDLPLSSVEDESGEGGRSQPAWEKWSNDGTERQVAKLMEEDVGAAMQFLQSKALCVMPISLATAIYHTQSPDTSSVVKPETNPPS >KJB43580 pep chromosome:Graimondii2_0_v6:7:21801457:21805955:1 gene:B456_007G207300 transcript:KJB43580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFQGSGESKMLGLIVTSSRHKRSKSFPGKKRVEEDGFGSSVEASNRTKLDTGHLKDSVKTKKKQSPTSSAGVQSSLKQEILELEERLQDQFEVRRALETVLGYRTSSNYDTSEAPVSRSKLATELVKEIAVLELEVVYLEQYLLSLYRKAFGQQVSSLSPSKRDERLKTPVDTPKMRFSEVSGPDNESKVENSAILSDNHDNSWKEPNGIGEEKLLDSSVHRCHSSLSQHSEFSGRTSPVETSAKAIRACHSQPLSMMEYAQNAPNIISLAEHLGTRISDHVLETPNKLSEDMIKCMSAIYCKLTDPPLVRNSFSSPNSPMFSANAFSPQDHHEMWSPGFRNNSSFDVRLDNPFHVEGLKEYSGPCSTMVEVPWIFRDSQKLGDVEHLLQNFRSLVCQLEEVNPKKLKHEEKLAFWINIHNSLVMHAFLAYGIPQNNVKRFLLLLRAAYNIGGHTISADTIQNSILGCRMSRPGQG >KJB43582 pep chromosome:Graimondii2_0_v6:7:21801457:21805955:1 gene:B456_007G207300 transcript:KJB43582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFQGSGESKMLGLIVTSSRHKRSKSFPGKKRVEEDGFGSSVEASNRTKLDTGHLKDSVKTKKKQSPTSSAGVQSSLKQEILELEERLQDQFEVRRALETVLGYRTSSNYDTSEAPVSRSKLATELVKEIAVLELEVVYLEQYLLSLYRKAFGQQVSSLSPSKRDERLKTPVDTPKMRFSEVSGPDNESKVENSAILSDNHDNSWKEPNGIGEEKLLDSSVHRCHSSLSQHSEFSGRTSPVETSAKAIRACHSQPLSMMEYAQNAPNIISLAEHLGTRISDHVLETPNKLSEDMIKCMSAIYCKLTDPPLVRNSFSSPNSPMFSANAFSPQDHHEMWSPGFRNNSSFDVRLDNPFHVEGLKEYSGPCSTMVEVPWIFRDSQKLGDVEHLLQNFRSLVCQLEEVNPKKLKHEEKLAFWINIHNSLVMHAFLAYGIPQNNVKRFLLLLRAAYNIGGHTISADTIQNSILGCRMSRPGQVDLNPHLSCHQF >KJB43579 pep chromosome:Graimondii2_0_v6:7:21800973:21805955:1 gene:B456_007G207300 transcript:KJB43579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFQGSGESKMLGLIVTSSRHKRSKSFPGKKRVEEDGFGSSVEASNRTKLDTGHLKDSVKTKKKQSPTSSAGVQSSLKQEILELEERLQDQFEVRRALETVLGYRTSSNYDTSEAPVSRSKLATELVKEIAVLELEVVYLEQYLLSLYRKAFGQQVSSLSPSKRDERLKTPVDTPKMRFSEVSGPDNESKVENSAILSDNHDNSWKEPNGIGEEKLLDSSVHRCHSSLSQHSEFSGRTSPVETSAKAIRACHSQPLSMMEYAQNAPNIISLAEHLGTRISDHVLETPNKLSEDMIKCMSAIYCKLTDPPLVRNSFSSPNSPMFSANAFSPQDHHEMWSPGFRNNSSFDVRLDNPFHVEGLKEYSGPCSTMVEVPWIFRDSQKLGDVEHLLQNFRSLVCQLEEVNPKKLKHEEKLAFWINIHNSLVMHAFLAYGIPQNNVKRFLLLLRAAYNIGGHTISADTIQNSILGCRMSRPGQWLRLLLPSRAKFKPGDERQAYAIEHPVPLLHFALCSGNHSDPSIRAYTPKRVFQELETAKEEYIRATFSVHKDKKILLPKIVESFAKDSNLCTTGVIEMVQQSLPESLHRSIRKCEQGKSHKGIEWIPHNFTFRYLISKELVR >KJB43581 pep chromosome:Graimondii2_0_v6:7:21801457:21805955:1 gene:B456_007G207300 transcript:KJB43581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFQGSGESKMLGLIVTSSRHKRSKSFPGKKRVEEDGFGSSVEASNRTKLDTGHLKDSVKTKKKQSPTSSAGVQSSLKQEILELEERLQDQFEVRRALETVLGYRTSSNYDTSEAPVSRSKLATELVKEIAVLELEVVYLEQYLLSLYRKAFGQQVSSLSPSKRDERLKTPVDTPKMRFSEVSGPDNESKVENSAILSDNHDNSWKEPNGIGEEKLLDSSVHRCHSSLSQHSEFSGRTSPVETSAKAIRACHSQPLSMMEYAQNAPNIISLAEHLGTRISDHVLETPNKLSEDMIKCMSAIYCKLTDPPLVRNSFSSPNSPMFSANAFSPQDHHEMWSPGFRNNSSFDVRLDNPFHVEGLKEYSGPCSTMVEVPWIFRDSQKLGDVEHLLQNFRSLVCQLEEVNPKKLKHEEKLAFWINIHNSLVMHAFLAYGIPQNNVKRFLLLLRAAYNIGGHTISADTIQNSILGCRMSRPGQWLRLLLPSRAKFKPGDERQAYAIEHPVPLLHFALCSGNHSDPSVLHHL >KJB40088 pep chromosome:Graimondii2_0_v6:7:3287942:3289164:1 gene:B456_007G047300 transcript:KJB40088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQATDAATLVLQHPCGKVERFYWPMSAREVMKTNPGHYVALLISTTLYHSHPNNNDKAPQGTGSKTNVNPVRLTRIKLLRPTDTLLLGHVYRLITTQEVMKGLYAKKHAKMKKSQQESDPNEKADQRSEPDNQNKVSKHDRQRARSTTSSNTGSRSKTWQPSLQSISEAAS >KJB45528 pep chromosome:Graimondii2_0_v6:7:52370866:52373565:-1 gene:B456_007G310200 transcript:KJB45528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKTGGKPESLEKADTHGKPGADPNKPQSVKKMALLRSSPAGGILKNSCRYKSFHCMMKPPPYILNKIGMNGGHLIKLFWDWI >KJB44488 pep chromosome:Graimondii2_0_v6:7:41637437:41641631:-1 gene:B456_007G256300 transcript:KJB44488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >KJB41500 pep chromosome:Graimondii2_0_v6:7:8060718:8063303:-1 gene:B456_007G107300 transcript:KJB41500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGALLNELKEGREVTNLLMKHLHLPSSYQTRLVLIEKILGSYENALSILNCNGLVVETRPSLSTMESLPSIDHSSPDKRDVFKKRKTSSGWTEQLRVCSGTSLDNPVDDGFCWRKYGQKAILGSNFPRGYYRCTHRHSQGCLAIKQVQKSNDDPTIFEVKYKGRHTCNQTSHFAATYVSVSTESENEGNYSPKRQHQLEVKQKQAQQAFSSFGSGIKVKTEDLDNREDIFPSFPFPVESEQVKNGSFVEALMENEIMGNLSPAFISPATSESNYFSMSPCHMGSFESDLTDIISAPTSVTNSPIGDLDISSLDKLEFDPSFPFDNPEFFY >KJB46230 pep chromosome:Graimondii2_0_v6:7:58374300:58385153:-1 gene:B456_007G3531002 transcript:KJB46230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHADETKSSLQFASRALRVTNCARVNEILTDAALLKRQKKEIEELRAKLQGSRSEHLEEEILNLRNTLLQSEVERERIALELEEEKKAQVERERVLQEQAKKIKNLSSMVLYSSRDESRDQVKKEKRRDTWCPGNLAREALKEACSSVQSNSSALKPTESKRYMGPLLAFEELVNENETEDDYPCKQDEDCKASVLEDCTLPDPCALLHVTNRRKGQPRKKSSFVDDSELMELQTEYEDLLLKYETQRTMSDIQIDCLMRKLVEAESLHNMKHSESSDHSAFHANKTNYADKNTGLRESEAILVIKQLQEKIEILETEKSSSQENLNCLVELATEQNISAREKFDEICKELLNAREETRVAREELAYNESGGRKNGDCDFVIQLSKEVEDLISEAQGSKEVAQKLSSLVDEAFQSFSATIKEFLDFKDMMRQSSEQQKIIITNTKELQNRTHQRTLKLENDKLLLHNQSIDLQKQVQELREKAKNHEAFLTELFEKHDMEKLEYLSHIQSLEKEISYLSSGSMARENQSLSKDLEKTKLKLKDTESKLKNIIQEKTKLEGEKAIAEREIKRLLGQKTLLERDINKRESLAGRRRDSVFDRNAKVFDPKKAKAEQIMQEDYKKLEVLAFEMETTIASLEEELAAACRDREEAISRSEDLALEFEVLTEKLDISSSEINALQEELSRLKLSLEQSNSSQQGMEASIKSLLAEKEELAMQLTNSLLEMEEEKAIQCAREKASIEAIEEKRKLYDSQITSLSEKLSEVTEELELCRKECNDLRERLTDCDERAELEKKCSIEKSSEIDQLKSDIENIYAESKQTQQTLKSKVEKLSLELQHAQEELSIIKRERDNLSAKIEQLVSEPQLSDELQILQNQLLDISTERDELKTQIEELTSKLSCLEKENLKNDSNDVNLKDQLLDISTERDKLETQIEELTSRLSCVEAGNLKNDSNDMLVEAKVRVEELASRLSCMEVKMHNDHVNNGKEMAKLRMRLRGTQAQLDAFRYRYKKAMDESDIMNRNFVEASTNLKERLASKAIEVLNLKKQLASAASSQ >KJB46231 pep chromosome:Graimondii2_0_v6:7:58374300:58385153:-1 gene:B456_007G3531002 transcript:KJB46231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHADETKSSLQFASRALRVTNCARVNEILTDAALLKRQKKEIEELRAKLQGSRSEHLEEEILNLRNTLLQSEVERERIALELEEEKKAQVERERVLQEQAKKIKNLSSMVLYSSRDESRDQVKKEKRRDTWCPGNLAREALKEACSSVQSNSSALKPTESKRYMGPLLAFEELVNENETEDDYPCKQDEDCKASVLEDCTLPDPCALLHVTNRRKGQPRKKSSFVDDSELMELQTEYEDLLLKYETQRTMSDIQIDCLMRKLVEAESLHNMKHSESSDHSAFHANKTNYADKNTGLRESEAILVIKQLQEKIEILETEKSSSQENLNCLVELATEQNISAREKFDEICKELLNAREETRVAREELAYNESGGRKNGDCDFVIQLSKEVEDLISEAQGSKEVAQKLSSLVDEAFQSFSATIKEFLDFKDMMRQSSEQQKIIITNTKELQNRTHQRTLKLENDKLLLHNQSIDLQKQVQELREKAKNHEAFLTELFEKHDMEKLEYLSHIQSLEKEISYLSSGSMARENQSLSKDLEKTKLKLKDTESKLKNIIQEKTKLEGEKAIAEREIKRLLGQKTLLERDINKRESLAGRRRDSVFDRNAKVFDPKKAKAEQIMQEDYKKLEVLAFEMETTIASLEEELAAACRDREEAISRSEDLALEFEVLTEKLDISSSEINALQEELSRLKLSLEQSNSSQQGMEASIKSLLAEKEELAMQLTNSLLEMEEEKAIQCAREKASIEAIEEKRKLYDSQITSLSEKLSEVTEELELCRKECNDLRERLTDCDERAELEKKCSIEKSSEIDQLKSDIENIYAESKQTQQTLKSKVEKLSLELQHAQEELSIIKRERDNLSAKIEQLVSEPQLSDELQILQNQLLDISTERDELKTQIEELTSKLSCLEKENLKNDSNDVNLKDQLLDISTERDKLETQIEELTSRLSCVEAGNLKNDSNDMLVEAKVRVEELASRLSCMEVKMHNDHVNNGKEMAKLRMRLRGTQAQLDAFRYRYKKAMDESDIMNRNFVEASTNLKERLASKAIEVLNLKKQLASAASSQ >KJB46229 pep chromosome:Graimondii2_0_v6:7:58374300:58385153:-1 gene:B456_007G3531002 transcript:KJB46229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHADETKSSLQFASRALRVTNCARVNEILTDAALLKRQKKEIEELRAKLQGSRSEHLEEEILNLRNTLLQSEVERERIALELEEEKKAQVERERVLQEQAKKIKNLSSMVLYSSRDESRDQVKKEKRRDTWCPGNLAREALKEACSSVQSNSSALKPTESKRYMGPLLAFEELVNENETEDDYPCKQDEDCKASVLEDCTLPDPCALLHVTNRRKGQPRKKSSFVDDSELMELQTEYEDLLLKYETQRTMSDIQIDCLMRKLVEAESLHNMKHSESSDHSAFHANKTNYADKNTGLRESEAILVIKQLQEKIEILETEKSSSQENLNCLVELATEQNISAREKFDEICKELLNAREETRVAREELAYNESGGRKNGDCDFVIQLSKEVEDLISEAQGSKEVAQKLSSLVDEAFQSFSATIKEFLDFKDMMRQSSEQQKIIITNTKELQNRTHQRTLKLENDKLLLHNQSIDLQKQVQELREKAKNHEAFLTELFEKHDMEKLEYLSHIQSLEKEISYLSSGSMARENQSLSKDLEKTKLKLKDTESKLKNIIQEKTKLEGEKAIAEREIKRLLGQKTLLERDINKRESLAGRRRDSVFDRNAKVFDPKKAKAEQIMQEDYKKLEVLAFEMETTIASLEEELAAACRDREEAISRSEDLALEFEVLTEKLDISSSEINALQEELSRLKLSLEQSNSSQQGMEASIKSLLAEKEELAMQLTNSLLEMEEEKAIQCAREKASIEAIEEKRKLYDSQITSLSEKLSEVTEELELCRKECNDLRERLTDCDERAELEKKCSIEKSSEIDQLKSDIENIYAESKQTQQTLKSKVEKLSLELQHAQEELSIIKRERDNLSAKIEQLVSEPQLSDELQILQNQLLDISTERDELKTQIEELTSKLSCLEKENLKNDSNDVNLKDQLLDISTERDKLETQIEELTSRLSCVEAGNLKNDSNDMLVEAKVRVEELASRLSCMEVKMHNDHVNNGKEMAKLRMRLRGTQAQLDAFRYRYKKAMDESDIMNRNFVEASTNLKERLASKAIEVLNLKKQLASAASSQ >KJB46228 pep chromosome:Graimondii2_0_v6:7:58374076:58385153:-1 gene:B456_007G3531002 transcript:KJB46228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHADETKSSLQFASRALRVTNCARVNEILTDAALLKRQKKEIEELRAKLQGSRSEHLEEEILNLRNTLLQSEVERERIALELEEEKKAQVERERVLQEQAKKIKNLSSMVLYSSRDESRDQVKKEKRRDTWCPGNLAREALKEACSSVQSNSSALKPTESKRYMGPLLAFEELVNENETEDDYPCKQDEDCKASVLEDCTLPDPCALLHVTNRRKGQPRKKSSFVDDSELMELQTEYEDLLLKYETQRTMSDIQIDCLMRKLVEAESLHNMKHSESSDHSAFHANKTNYADKNTGLRESEAILVIKQLQEKIEILETEKSSSQENLNCLVELATEQNISAREKFDEICKELLNAREETRVAREELAYNESGGRKNGDCDFVIQLSKEVEDLISEAQGSKEVAQKLSSLVDEAFQSFSATIKEFLDFKDMMRQSSEQQKIIITNTKELQNRTHQRTLKLENDKLLLHNQSIDLQKQVQELREKAKNHEAFLTELFEKHDMEKLEYLSHIQSLEKEISYLSSGSMARENQSLSKDLEKTKLKLKDTESKLKNIIQEKTKLEGEKAIAEREIKRLLGQKTLLERDINKRESLAGRRRDSVFDRNAKVFDPKKAKAEQIMQEDYKKLEVLAFEMETTIASLEEELAAACRDREEAISRSEDLALEFEVLTEKLDISSSEINALQEELSRLKLSLEQSNSSQQGMEASIKSLLAEKEELAMQLTNSLLEMEEEKAIQCAREKASIEAIEEKRKLYDSQITSLSEKLSEVTEELELCRKECNDLRERLTDCDERAELEKKCSIEKSSEIDQLKSDIENIYAESKQTQQTLKSKVEKLSLELQHAQEELSIIKRERDNLSAKIEQLVSEPQLSDELQILQNQLLDISTERDELKTQIEELTSKLSCLEKENLKNDSNDNLKDQLLDISTERDKLETQIEELTSRLSCVEAGNLKNDSNDMLVEAKVRVEELASRLSCMEVKMHNDHVNNGKEMAKLRMRLRGTQAQLDAFRYRYKKAMDESDIMNRNFVEASTNLKERLASKAIEVLNLKKQLASAASSQ >KJB41950 pep chromosome:Graimondii2_0_v6:7:10352294:10352919:-1 gene:B456_007G129000 transcript:KJB41950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSCFLLPVSLIFVALIMALSTVDTSAEHHLSWSPNKSGCQGSMAECMAEDEFDMDSEINRRILQTTQYISYGALQRNTVPCSQRGASYYNCQPGAQANPYNRGCSAITRCRS >KJB44517 pep chromosome:Graimondii2_0_v6:7:41766126:41770808:-1 gene:B456_007G257000 transcript:KJB44517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERKHLSSIANHVLQRSAEELGSSVDHLVKEFEAKWTPEVGDYSRKLVEFCSSKALIKFCQNIKEKISDGSYSRFTYDMMLAWDNPRAVDEESSTEESIGKEKEDRKIQVKEQTDQDDISLFYSDQMPLLVNHEPSVEEDAFMWLSSLVPLAADIVNRRFTFETLTAPTGKRLFYPAYDRFLKEIDNCMRHLQKQAKPKGIELADDEFILHVEGTATSQRVVRHIGGSSWPGRLTLTNCALYFEASGVINYEDALKIDLSRKIDHSVKPVATGPWGAPLFDKAIIYESPDLQEGVLLEFPEITSSTRRDHWLALIKEILLMHNFLSDFKVECPIQAWEMHARTILSIIRLHAAREMLRIAPPSPTEFLIFSLYEELPKGDYVLEQLAQSLKRVNSGQPCSASSILRKLNLPEPNVLSLEAKIESEVSETIAVGKDDDNKTSLETAINQARKEGRGVAKARAVMEGLKEEGIGENATILTELLKPLRSVFLWFREILSWERPATTLLVFAAIILIVYKEWIGKALSAGLLLVVANMIRARKERLKDKQKEIVVCTGSDHTPSTRENIVSAQYGFLTVREIIKEANVTILKLHSVLVSRAHKHANTVMLAMIGLAIMFAVIPFKYLIIAVVFHSMITTSPLAKHMVNNQGGDRDRRLKEWWESIPPTPIRVINEAPVSPK >KJB46481 pep chromosome:Graimondii2_0_v6:7:60432969:60435092:-1 gene:B456_007G371400 transcript:KJB46481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYEILGLSIALLLWVAWAITTERCHRRLEELGQLPPGPTWWPVVGNIFQLGLAPPHVSFAKLACRHGPIMTLWLGSMSTVVISSNEVAREMFKNHDVVLAGRKIYEAMKGDFGHEGSLITSQYGPHWRMLRRLCTTEFFVTSRLDAMRGVRRRCIDQMLQSVQDASANGTNPIDVGRFVFLMAFNLIGNLIFSKDLLDHKSEKGVKFFYHAGKVMELAGKPNVADFLPILKRVDPQGIRRKTQFHVKKAFEIAEGFIKERMKNIGYGENEGKRKDFLDVLLEFRGDGLEEPSKFSSRTINVIVFEMFTAGTDTTTSTIEWAMAELLHNPRTLKTVQAELRNHLGHHGRSLEEKDIENLPYLKAIIKETLRLHPPIPFLVPHMAMDSCKMLGYHIPKETQVLVNVWAIGRDPKTWENPSEFRPERFLEPNTMDYKGHHFEFIPFGSGRRMCPAVPLASRLLPMALGSLLHCFDWSLADGVKPEDLDMSERMGITLRKSVPLKALAIPCTDFRH >KJB44071 pep chromosome:Graimondii2_0_v6:7:29599949:29602226:-1 gene:B456_007G232900 transcript:KJB44071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPVNWVLLNALSADVKDGSILATENRIRSQTSSRLVSAQIRSSLENESCTTGVSNDSLEPAKKKAAELPTSRRMCLTCLCSSLALISSSSSSASAVSAMAMDGNERAVCRNCSGSGAVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDAQKLLDKMYNGRLLPSS >KJB44070 pep chromosome:Graimondii2_0_v6:7:29599697:29602917:-1 gene:B456_007G232900 transcript:KJB44070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSPNLSSIPHLPSFTLKPSPPHSSSRLVSAQIRSSLENESCTTGVSNDSLEPAKKKAAELPTSRRMCLTCLCSSLALISSSSSSASAVSAMAMDGNERAVCRNCSGSGAVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDAQKLLDKMYNGRLLPSS >KJB42672 pep chromosome:Graimondii2_0_v6:7:14207582:14217413:1 gene:B456_007G162700 transcript:KJB42672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKSQFQLIELEYIYKDNKYPTQKEIEGYAASLGLTLKQVQQWFASKRKRDKGTILPIHSMRSLSASTKMNAAAISVARKNQKSTSSVGANGFGKKKKKMLLPQDFFSPQYILSKVFRKDGPPLGVEFDSLPSQTFHCKGSKESHPADEECQRAAAKKRKVFELTSIDHQNNSNESASVKKHGMGKGLMTVWRVVNPEGGDIPTGIDISNRQIVAPSQTSPVVRRQPPQNKRRQPLVSQMKQRSLEKKLQEKKRASIKRREVQSNKNDNQRQPRNEKCELALDGTISNERLDQLAMLVDDEELELRELQAGPNPLTCADHLGSSGLLGCSLCKDLLAKFPPDSVKMKQPFSMQPWDSSPETVKKLFKVFHFLYTYSVTLDTCSFTLDEFAQAFHDKDSLLLGKIHVALLKLLLSDVEVELSGAVLPHFSLSCKFLALLHSVENQEFVVEVWKTSLNPLTWTEILRQVLVAAGFGSKQGLLRREALNKEMSLMVRFGLRPGSLKSELFKILSERGNNGLKVSDLALSLPVTELNLTSTTEELEELICSTLSSDITLFEKISPSAYRLRCSSVAKDSNNCHSDTEDSGSVDDDSDDSSDDSDHDPGNYYQRKFKHNNHHKGRNNMLTVHTEIDESHPGEVWLLGLMEGEYSDLSIEEKLNALVALIDLLSDGSSIRMENPGKAIVEYVPSIPYYGSGAKIKRSSSNRQNFPRPSWVYGGQRNGVQVSHTSSDSRPVDSSAITKSFEKERCSSSGQDAKQTEVGVYIHPMQSIFLGSDRRYNRYWLFLGPCNAYDPGHRRIYFESSEDGHWEVIDTEEALRALLAVLDDRGKREALLIESLEKRGTSLCQEMSSRHLHDAEIRHTPSYSPEMDAVREDSCSPVSDVDNLSLTVAMNESLTSCGAIVLHAGKKGEEQNRMWRSLQEFDVWIWDCFYLNLNAVKHNKRSYLDSLTRCESCHDLYWRDEKHCRICHKTFEIDFDLEERYAIHVATCREKGDNNTFPKFKVLPSQLQSLKAAVHAIESFMPKDALVGAWTKSAHRLWVKRLRRTSSLSELLQVIADFVAAINENWLNQCHIEQGGCTVIEEIIAFFPTMPQTSSALALWLVKLDEFIASYLKKIHSEKELENGSRSDIRAAKE >KJB41705 pep chromosome:Graimondii2_0_v6:7:9026964:9036453:1 gene:B456_007G116000 transcript:KJB41705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHEVGNHDMEELGETERNLRSPFRHLSSSFRSTTSDLAVSSSLRENNDDEMELQWAAIQRLPTFKRVRTSLFDFQLLNDTTKEEEDIKIEGKRKVIDVTKLGALERSVFIEKLITKIEYDNLRLLEKLKERIGRVGLEFPTIDVRFQNLSVEADCEVVQGKPIPTLWNTITTVFSSQSHKIKILKDISGIIKPSRMTLLLGHPGCGKTTLLQALSGKLNPSLKVTGEISYNGYKFTEFVPQNTSAYISQYDLHISEMTVRETLDFSARCQGIGDRADMLKEISRREKQSGIVPEPDIDTYMKAISVEGLKGTLQTDYILKILGLDNCADTIVGDAMNRGISGGQKRRLTTGEMIIGPNKALFMDEISTGLDSSTTFQIVTCLQQLTHITEATLLVSLLQPAPETFDLFDDIILMAEGKIVYQGPRSSVQEFFEHCGFRCPERKGVADFLQELLSERDQAQYWYRKDQPHSFVSVDNFIVAFKKFQAAQKLNEELCTPFNKRESHKSALSFNKYSMGKWELLKTCMAREWLLMKRNSFVHIFKSSQLVVIALITMTIFIRTRMKLDLVHASYYQGSLFYALIRLMTTGITELALTVSRLSVFYKQRDFYFYPAWAYSIPAAILKIPFSLMDAFLWTALTYYVIGYSPEPESGFIIPRPFLPAWVKWGFWLSPLAYSEIGVAVNEFLAPRWQQVSSSNATLGKQVLEKRGLNFSDYYYWISVGALIGFWMIFNIGFTFALSLLKPPGSSRAIISHERFSYLKAKEDLSDKALQKELPSVDSLTERKVKEMVLPFKPITISFKDVQYFVDTPKKLREQGYPQRLQLLQDITGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGYIEGDIRIGGYPKVQETYARISAYCEQTDIHSPMITVEESVVYSAWLRLPTEINKHQRLEFVAAVLQMIELDEIKDALVGIPHVSGISPEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRVVKNIVNTKRTIVCTIHQPSIDIFESFDELILMKRGGQMIYSGELGQHSSRLIEYFEGIPGVPKIKENHNPATWMLEVTSPSVEAQLGIDFACIYKESHLYKRNKEIVKSQSLPTQGSEKLQFSTPFPQNGWEQLKACLWKQHLSYWRSPKYNLVRLAFIILSSLLYGVLLRQKGQNLHDEQDFFNIMGSMYVFMIFTGISSCSSVLPFVSTQRTIVYRERFSRMYSSWAYSLAQVIIEIPYIFLEAVLFLTITYPAVNFYGSAYKVFWYFYTVFCTLLYYKYLGMMLVSLTPTFQVATIFASLCYTLFSLFSGYLIPGPQFPKWWVWGYWISPSSWSLKGLLTSQYGDIEEEIKAFGEQKALNTFLDSQYGYKHRDLPIIAVVLLAFPLVFASVFTYGIAKLNYQRR >KJB39426 pep chromosome:Graimondii2_0_v6:7:1006061:1010999:-1 gene:B456_007G012800 transcript:KJB39426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLAIKYTEFKPFPSSATFTAENQCSTKNMSTSPSTSVSDSRYFQPNFLEKVKIKVHLTGLRYKNTVLEKCILASKKNRRISLLKTRATKREQHCNIEHLKDRNQQNWVHFVGVGGCGLSALALIAVKQGFEVSGSDIQWSSFMDGLQQAGVRLHIGHSTLNIQSENGSRFPNCIVVSSAISEDNAEVLHAKSIGIPVYKRDYWLAKLTENHTLIAVSGTHGKSTTSALLAYVLKAMGDDLMAVVGASMPQFPGGNVIWGDGPHFVLEADEYDGCFLGLSPYIAVVTNVEWEHVDFFQDEEAVKTFFRRFLKRIRVGGHLIICGDDVGARSLLDYTSEGSKPERASGTMSVTSSDVDGYNIITYGISSTNEWHASSICPNSKGGTDYVLYHKGQPLANISLQIPGAHNVLNSLAVIATVTVLLQGRRQVHELINSLKLHLLDFIGLSRRFERIGKIHGCDIYDDYAHHPTEVYFVLQAARQRFPSMRLVVVFQPHTYSRLAVLKDDFAVALSHADHVVVTAVYSVREAGPRNVCGKDLATSIISSTSEYIPSLDDVVDKLALEICKDPLREIVILTLGAGDINTVGPKLLHELQKRLCIA >KJB45984 pep chromosome:Graimondii2_0_v6:7:56720687:56721580:-1 gene:B456_007G341800 transcript:KJB45984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECGHLEIDVISVHDLKVDDLSSTMAVYAVVSIDGDHPSRQRTPIGEGRGSNRQWNHTVKFNFDEAAVRRDLLTVAFCLKSDCELGVIEIGTVNVSVKELLYIDHRNVISAVPISLYGITKGMLNFKYKFSEKCNKVVPPPPFTADGAKNFGKNRRKPPTMMYPPLPMMYQMAAMGYLPMYPPHANGNPGWSFWYPPMGDYPYPPPPGSGYPPYGYQQPPFRGHGYGGMRGGDYFEDAHEDFEDYFEDAYQNFADYFAAAHKDFQDYIRRLFVLIILCAILCLFFSCLAFASSFSRT >KJB43950 pep chromosome:Graimondii2_0_v6:7:27116141:27118562:-1 gene:B456_007G225600 transcript:KJB43950 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein At2g22870 [Source:Projected from Arabidopsis thaliana (AT2G22870) UniProtKB/Swiss-Prot;Acc:O81004] VNQSFPIKKMLLRNRLLSLNLTSFLSPPSPLPKSASFLFSFNKTIAHSTHKRPESKPDVSASRAAKQPMSERARFAKTVLFVPPGVDPEDVTDEMILPGSNIVVGPYAGHSQIKEVEFVKSSGRAKDCPKDDRPEFAILGRSNVGKSSLINALVRKKKLLLLLRNQVGKTQLINHFLVNKSWYIVDLPGYGFARASDAARTDWSSFTKGYFLNRDTLVAVLLLIDASVPPQRIDLDCANWLGRNNIPMTFVFTKCDKLKASKGKRPDENIRDFQELIRENYKQHPAWIMTSSVTGLGRDELLLHLSQLRNYWDQ >KJB43235 pep chromosome:Graimondii2_0_v6:7:18481997:18483100:1 gene:B456_007G189700 transcript:KJB43235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKYHSRSISLPSRSHPTTLRIEDELNRLKAWDASSPLTTCESIFTCLSGLEDLYRCMNDLLSMPSTQQVLSQYHQHEKCIDELLDGSVRLLDICGIARDNMYEIKEHVHTLQSALRRRKGDLSIEDNIVNYIKFRKQMKKKGKKLITELKQMGNKLGASPLLLDQHQDEDQYHHFSAVIRVLTQVNAISASILQPFFSFLSSPVSSKQTRWSVVSKLMMHKGVISCEENVNELESVDAALRRHTCDVEKLQMAHKRLVELESGIEGLENRLECVFRHLIKARTSLLNIISQ >KJB43826 pep chromosome:Graimondii2_0_v6:7:24853334:24859571:-1 gene:B456_007G218200 transcript:KJB43826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cadmium/zinc-transporting ATPase HMA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37270) UniProtKB/Swiss-Prot;Acc:Q9M3H5] METHSISLTNFSPLTRPLRPSRLRRLNSFHFKPLFFSPLSTRYKSLFLPLNSHTIRIRCVANHGHHHHHEHDLDHGHGHDHDHDHHHHHHHHHHHHGSGQLNGPQKAVIGFAKAIRWMDLANFLREHLHLCCCATALFIAAAAFPYLVPKPAVKPLQNSFLVLAFPLVGVSAALDAITDIAGGKVNIHVLMALAAFASVFMGNALEGGLLLAMFNLAHIAEEFFTSRSMIDVKELKENYPDSALVLNLDDDNLPNVSDLSYRSIPVHDVEVGSYILVTTGEAVPVDCEVFHGSATITIEHLTGEIKPLEAKAGDRIPGGARNLDGRMIVKVLKTWKESTLSRIVQLTEEAQLNKPKLQRWLDEFGEQYSKVVVVLSVAIAVLGPFLFKWPFISTAVCRGSIYRALGLMVAASPCALAVAPLAYATAVSSCARKGILLKGGQVLDALASCHTVAFDKTGTLTTGGLMFKAIEPIYGHIIGNKKTNFTSCCVPNCEVEALAVAAAMEKGTTHPIGRAVVDHSIGKDLPSVSVESFEYFPGKGLIATLNSAESGTRGGKMLKASLGSIEFITSLCKSEVKSRMIRAAVNASSYGTDFVHAALSVDEKVTLIHLEDRPRPGVLDVISELKDKAKVRVMMLTGDHKLSAWRVANAVGINEVYCSLKPEDKLNHVKRISGDMGGGLIMVGEGINDAPALAAATVGIVLAHRASATAIAVADVLLLQDNISGVPFSIAKARQTTSLVKQNVALALTCIILASLPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNDPSWSWGQDLRNLIGKLKSKLALLRHNATSSTIQTAPL >KJB43825 pep chromosome:Graimondii2_0_v6:7:24853334:24859504:-1 gene:B456_007G218200 transcript:KJB43825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cadmium/zinc-transporting ATPase HMA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37270) UniProtKB/Swiss-Prot;Acc:Q9M3H5] MMIICQMFLICHTEASLFMMWKLAPTFWSQLAVPVDCEVFHGSATITIEHLTGEIKPLEAKAGDRIPGGARNLDGRMIVKVLKTWKESTLSRIVQLTEEAQLNKPKLQRWLDEFGEQYSKVVVVLSVAIAVLGPFLFKWPFISTAVCRGSIYRALGLMVAASPCALAVAPLAYATAVSSCARKGILLKGGQVLDALASCHTVAFDKTGTLTTGGLMFKAIEPIYGHIIGNKKTNFTSCCVPNCEVEALAVAAAMEKGTTHPIGRAVVDHSIGKDLPSVSVESFEYFPGKGLIATLNSAESGTRGGKMLKASLGSIEFITSLCKSEVKSRMIRAAVNASSYGTDFVHAALSVDEKVTLIHLEDRPRPGVLDVISELKDKAKVRVMMLTGDHKLSAWRVANAVGINEVYCSLKPEDKLNHVKRISGDMGGGLIMVGEGINDAPALAAATVGIVLAHRASATAIAVADVLLLQDNISGVPFSIAKARQTTSLVKQNVALALTCIILASLPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNDPSWSWGQDLRNLIGKLKSKLALLRHNATSSTIQTAPL >KJB42268 pep chromosome:Graimondii2_0_v6:7:12310292:12312644:-1 gene:B456_007G146100 transcript:KJB42268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGLGRPNATSGMCAAGHAKDTFLELKRKKIYRYLVFRIDEKKRKVVVEKTGDPAETYDDFTASLPENDCRYAVYDFDFVTSDNCQKSKIFFIAWSPSSSRIRSKVLYATSKHGLREELDGIHYEIQATDPTEMDLEVLKDRAN >KJB42269 pep chromosome:Graimondii2_0_v6:7:12310619:12311925:-1 gene:B456_007G146100 transcript:KJB42269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAAGHAKDTFLELKRKKIYRYLVFRIDEKKRKVVVEKTGDPAETYDDFTASLPENDCRYAVYDFDFVTSDNCQKSKIFFIAWSPSSSRIRSKVLYATSKHGLREELDGIHYEIQATDPTEMDLEVLKDRAN >KJB41126 pep chromosome:Graimondii2_0_v6:7:6688094:6689861:1 gene:B456_007G091800 transcript:KJB41126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVTAHGRHLPPFLARDLHLNPHHQFQHLLQQQNSEDEQNRGQKRDREETATTAAATGTTADTGGGGNDLAVAPGTEGELTRRPRGRPAGSKNKPKPPIIITRDSANALRSHVMEIANGCDIMESVSTFARRKQRGVCILSGSGTVTNVTLKQPGAPGAVVNLQGRFEILSLSGSFLPPPAPAAASGLAIYLAGGQGQVVGGTVVGQLVASGPVVIMAASFGNAAYERLPLEEEEQPLDPIPGSGSLESPGSMAAQQQQQQQQLLQDPNGSFAQGLPPNLLNSVQMPAEAYWGTGHPPY >KJB42834 pep chromosome:Graimondii2_0_v6:7:15231361:15232725:1 gene:B456_007G169400 transcript:KJB42834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKQMAPSIHILWLLSIQFLVLVNGGKIPAIIVFGDSSVDSGNNNYIPTVARSNFQPYGRDFNGGRPTGRFSNGKITTDFISDAFGLKPAIPAYLDPSYSISDFATGVTFASAATGYDNATSNVLSVIPLWKQLEYYKNYQKKLRAYLGDRKANGVISGALYLISVGTNDFLENYYAIPGRSSEYTIEEYENFLVGIAASFTEKLYELGARKISLGGLPPMGCMPLERTGNLMGGSECVDSFNNLAADFNGKLNALVIKQNKKFHGMQMVFSDPYGILMKIIQKPAVYGMVFALILLSCVLSKLIADLMLFI >KJB42833 pep chromosome:Graimondii2_0_v6:7:15231348:15232874:1 gene:B456_007G169400 transcript:KJB42833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKQMAPSIHILWLLSIQFLVLVNGGKIPAIIVFGDSSVDSGNNNYIPTVARSNFQPYGRDFNGGRPTGRFSNGKITTDFISDAFGLKPAIPAYLDPSYSISDFATGVTFASAATGYDNATSNVLSVIPLWKQLEYYKNYQKKLRAYLGDRKANGVISGALYLISVGTNDFLENYYAIPGRSSEYTIEEYENFLVGIAASFTEKLYELGARKISLGGLPPMGCMPLERTGNLMGGSECVDSFNNLAADFNGKLNALVIKQNKKFHGMQMVFSDPYGILMKIIQKPAVYGFEVTAVACCATGMFEMGYACSRRNPFTCSNADKFVFWDSFHPTQKTCSIIATYIVQNALTKFLSH >KJB40249 pep chromosome:Graimondii2_0_v6:7:3719900:3725617:-1 gene:B456_007G053500 transcript:KJB40249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKTLTIIKARKQLVFMFNSLRGMIQPVKAFQFFLVLSCTLFCLITCEPCAVNGMPKRDEYEGCEYYGDAHHVGFQETIIDSTHSQTDMGTSTTRLSVERVCSDSHSFCFPSTLPGFLTEESTLEVGGLEVSRSQSDSASSFAEQSNLRVQASNRSWLSDHSMFKLLNGRTVSCSVYSRAGIHEFSSINTGGANQNDISCKGPLLSQKSTSVRMKNNKEVTKLSSFDGLSSPNVEINPPIMDWGHKYLFLPSVAYLTVANTCNDSILHIHEPFSTNIQFYPCNFSEVLLGPGEVASICFVFLPRWVGLSSAHLILQTSSGGFLVQARGFAVESPYEIQPLVNLDIPSSRQLSKNLSLFNPFDETLYVEEITSWISVSLGNSAHHTEAVCSVENFKGYNGQSLLGAEDWLVMNSDKYGFPIMAMRPSRTWEINPLSRETIVEIDLSPESEGKVFGAFCMQLQRSSQDSSDIIMVPLEVELGSKASYIDHASSLSVSLDGLVPNDGSGTVFVAISLKNSAPYVLSIVKIDEVADAKVFHIKYVKGLLLYPGAVTRVAVIACTKLSSEVHDSSFEVSNMINSCKLLLMTNESISPQIEVSCEEIIHVCVEHQENLSMAYEHQSEIVKSGNTSTGTLRAGMQLASGAKVLQTAEVDELILGNWKSQGASGGMSVLDDQEVLFPMVQVGSHCSKWITVKNPSMQPVVMQLILNSGEIIDECMSQDIFVKPPSGNLVHNSSTIPMRAGFSLGESAQTEAYIHPNGRASFGPILFHPSNRCGWTSSALIRNNLSGVEWLSLRGYGGSISLVLFEGSEPIQGVEFILNLPTSLNISPLQMLFHMEETSYACSQPFSKELYAKNTGDLPLEIKSIEVSGRKCVGYGFMVHSCEGFSLEPGESTKLLISYQPDFSAGMVHRDLELALATGIFVIPMKATLPLHMLNLCKKSAFWMRLKKLSIAILLSASLLFLLFCFVFHQAMILGSQDCFYKSEKNPISTTRTGGKCSRDQRNGRFSMSAEVDSLLSSVEGAKSLKEASNGRFPNDHVRNKEERFTNQNAKLTPENDREVNSFLDPQREISLPSLPSKSAGAVNPDTKEAPQTGNLTVRIGKEKGRRRRKRKGGFKELIEVSSSQSGNSTPSSPHSPTSVTSNRTWPLSPDVEQPIESRNPFTHLANQIREKGKVPQPISKANMLGPKVSVEHVSNNWYSSQEQPRIPRQNVSQPVLSYSATFPCASRATTSTRSSSSPLASMSVIAPCARAPGSKLSDQKIIKAERKGRMGDEYTYDIWGDHFSGLHLNGSSRDVVAMNSSPTENNSDSFFVRGPQTLMEKSQPRSVSSFSRDG >KJB44370 pep chromosome:Graimondii2_0_v6:7:39368757:39371637:1 gene:B456_007G250200 transcript:KJB44370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLPPLNFKPHCSSTSTFSSSSTNYRPAVILPGLGNNTGDYKKLEFTLQEYGVPTVVAKVSRIDWLRNAAGLVDPNYWKGTLQPRPVLDWYLKRVDDAVQEAEELAQGGKLSVIGHSAGGWLARVYMEEFGLSHISLLLTLGTPHLPPPKGLPGVIDQTRGLLYYVQQHCKKAVYTPELKYVCIAGRYLQGARFVNDSKVAVESMATIDDDQQVSDVAVVKNKTDSTPVSTTFQARFVGQGYKQVCGEADVWGDGVVPEVSAHLEGALNISLDGVYHSPVGSDDVSRPWYGSPAVVEQWIHHLLN >KJB44373 pep chromosome:Graimondii2_0_v6:7:39368918:39371637:1 gene:B456_007G250200 transcript:KJB44373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLPPLNFKPHCSSTSTFSSSSTNYRPAVILPGLGNNTGDYKKLEFTLQEYGVPTVVAKVSRIDWLRNAAGLVDPNYWKGTLQPRPVLDWYLKRVDDAVQEAEELAQACDCRWEIVSDWPLSWRVASSCLHGRIWTLPHLLASHSWPPPKGLPGVIDQTRGLLYYVQQHCKKAVYTPELKYVCIAGRYLQGARFVNDSKVAVESMATIDDDQQVSDVAVVKNKTDSTPVSTTFQARFVGQGYKQVCGEADVWGDGVVPEVSAHLEGALNISLDGVYHSPVGSDDVSRPWYGSPAVVEQWIHHLLN >KJB44371 pep chromosome:Graimondii2_0_v6:7:39368918:39371637:1 gene:B456_007G250200 transcript:KJB44371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLPPLNFKPHCSSTSTFSSSSTNYRPAVILPGLGNNTGDYKKLEFTLQEYGVPTVVAKVSRIDWLRNAAGLVDPNYWKGTLQPRPVLDWYLKRVDDAVQEAEELAQGGKLSVIGHSAGGWLARVYMEEFGLSHISLLLTLGLLRKVCQGSLIKQGAYYIMFNNTAKKPFILLS >KJB44372 pep chromosome:Graimondii2_0_v6:7:39368918:39371637:1 gene:B456_007G250200 transcript:KJB44372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLPPLNFKPHCSSTSTFSSSSTNYRPAVILPGLGNNTGDYKKLEFTLQEYGVPTVVAKVSRIDWLRNAAGLVDPNYWKGTLQPRPVLDWYLKRVDDAVQEAEELAQGGKLSVIGHSAGGWLARVYMEEFGLSHISLLLTLGTPHLPPPKGLPGVIDQTRGLLYYVQQHCKKAVYTPELKYVCIAGRYLQGARFVNDSKVAVESMATIDDDQQVSDVAVVKNKTDSTPVSTTFQARFVGQGYKQP >KJB40312 pep chromosome:Graimondii2_0_v6:7:4009554:4010518:1 gene:B456_007G056900 transcript:KJB40312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTVPTVFSSGLMFDNDQYLALESGFTPWDCSELLSISQTIEPAILGSVSDEPLQGHTNSNSGSDEPNQPDSSVIDERKRRRMISNRESARRSRMRKQKHLENLRSQVNRLRLENRELTNQLRLVLCHSHRVATDNDRLQSEHSILRRKLSDIHQILLLKQLQQFSSAWPCNNVVTVMSDQTPPLIT >KJB44923 pep chromosome:Graimondii2_0_v6:7:47860381:47870445:1 gene:B456_007G279700 transcript:KJB44923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVNITVGSHVWVEDPDESWIDGVVSKITGKDVAINTTNGKTVTEKLSKIYPKDLEAPPGGVDDMTKLSYLHEPGVLQNLKARYELNEIYTYTGNILIAINPFQKLPHIYDSHMMQQYKGAPFGDLSPHVFAVADVAYRAMINERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRVATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPQEEVDKYKLGNPKSFHYLNQSRCYELVGVSDAQDYLATRRAMDIVGISDKEQEAIFRVVAAILHLGNICFTKGKEVDSSVPKDDQAKFHLKTTAELLMCDVNALEDALCKRVMVTPEEVIKRSLDPQSATISRDGLAKTLYSRLFDWLVDKINKSIGQDPNSKFLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEKINWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFANKLYQTFKNHKRFIKPKLSRTDFTIAHYAGDVLYQSDQFLDKNKDYVVPEHQDLLSASKCSFVSGLFPPLPEETSKSSKFSSIGSRFKLQLQSLMDTLNSTEPHYIRCVKPNNQLKPAIFENVNIMQQLRCGGVLEAIRISMAGYPTRKPFFEFINRFGLLHPEALEGNYDEKVACQKILEKAGLQGYQIGLTKIFLRAGQMAELDARRAEVLNTAAKTIQRRIRTHISRKRFLAIQKASINLQAICRGRLACKVYSNKREQAAALKIQKNIRRHQSRQAYKKLHVSALVLQTGMRAMAAHNELRFRKLNKAATLVQARWRCRRAATYYKKLKRGCIVTQTRWRGRVARRELRKLKMAARETGALKEAKDKLEKNVEELTWRLQLEKRLRTDLEEAKAQEVAKLQNTLQEMQKTIDETNQLLEKERESAKKAIEEAPPVVQEKEVLVEDTKQIESLTEELETLKTSLDSEKQRADSAEEKYNELKESSEETGKKLDETEKKLKQLQDTLRGLEEKLTNLESENKVLRQQAVSMTPNKFLSGRSRSILQRGSESGHLEPRVPIDLHSSSINHREPHEVEEKPQKSLNEKQQENQDLLIRCIAQHLGFAGNRPIAACIIYKCLLQWRSFEVERTSVFDRIIQTIGNAIETQDNNDVLAYWLSNASTLLLLLQRTLKASGAAGMTPQRRRSSSATLFGRMTQSFRGAPQGVSLALINGGVTAGVDTLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGASRSVANTAGQQALIAHWQGIVKSLGNFLNTLKLNFVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYEATDEYAGLAWDELKHIRQAIGFLVIHQKSKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPDVIANMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDLSKSMEQIDIADIEPPPLIRENIGFSFLLPRSD >KJB41780 pep chromosome:Graimondii2_0_v6:7:9498776:9500828:-1 gene:B456_007G120900 transcript:KJB41780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPELVQPSDKTNVFAKQVTLRNRAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAVLPDVPEEHRRILENQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVYDNIDHLFDLPDGHFHAVMDCFCEKTWSHTPQYRIGYCQQCPDKVKWPAEMGNPPSLYFNAGMFVFEPSLVTYESLLKTLKITQPTPFAEQVSLELGAVYDLKNLRKMSVCGVKQ >KJB41779 pep chromosome:Graimondii2_0_v6:7:9499658:9500717:-1 gene:B456_007G120900 transcript:KJB41779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPELVQPSDKTNVFAKQVTLRNRAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAVLPDVPEEHRRILENQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVYDNIDHLFDLPDGHFHAVMDCFCEKTWSHTPQYRIGYCQQCPDKVKWPAEMGNPPSLYFNAGMFVFEPSLVTYESLLKTLKITQPTPFAEQVSLELGAVYDLKNLRKMSVCGVKQ >KJB41778 pep chromosome:Graimondii2_0_v6:7:9498761:9500904:-1 gene:B456_007G120900 transcript:KJB41778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPELVQPSDKTNVFAKQVTLRNRAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAVLPDVPEEHRRILENQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVYDNIDHLFDLPDGHFHAVMDCFCEKTWSHTPQYRIGYCQQCPDKVKWPAEMGNPPSLYFNAGMFVFEPSLVTYESLLKTLKITQPTPFAEQDFLNMFFKDIYKPIPLIYNLVLAMLWRHPENVELEKVKVVHYCAAGSKPWRYTGKEANMQREDIKMLVQKWWDIYNDESLDYRTSAAEGGTETVNLQPFLVALSEVGAVHFVAAPSAA >KJB43930 pep chromosome:Graimondii2_0_v6:7:26771578:26776272:-1 gene:B456_007G224300 transcript:KJB43930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSTAHVPPFSSARNELFSSCHGFGNSVVRLKPQLKFQTQSLKHKTRPKRGESIKRDVINEDDSVDETYNSVDDKQFVWWFREAWPYLWAHRGGTFVVIISGEIVASPFLDVILKDIAFLHHLGIRFVLVPGTDVMIDKLLAEKGREPKYVGSYRITDSESLDAAMKAAGGIRLTIEAKLSPGPSIFNIRRHGDTSRLHEVGVSVAGGNFLAAKRRGVVEGVDYGASGEVKKVDVARMYERLDGGCIVILSNLGYSSSGEVLNCNAYEVATACALAIGADKLICVIDGPILDEYGRLINFLPLHEADMLIRQRAKQSEIATKYVKAVGEYLTSIGRTGSTAVVHCSQNGKAPNSTHYATFQNGIGFDNGTGLRSGEQGFAIGGLERLSRLNGYLPELAAAAFVCRGGVERVHLLDGTIGGVLLLELFKRDGMGTMVASDLYEGARMAKETDVAGIKQILQPSEESGTLVRRSVEELVKTLDSFIVMEREGQIIACAALFPFFKDKCGEVACIAVSPECRGQGQGDKLLDYIEKKASSLGLDMLFLLTTRTADWFERRGFKECSIDMIPDKRRLRINLSRKSKYYMKKFLADRSGIIADKAFE >KJB43929 pep chromosome:Graimondii2_0_v6:7:26771578:26775570:-1 gene:B456_007G224300 transcript:KJB43929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAGGIRLTIEAKLSPGPSIFNIRRHGDTSRLHEVGVSVAGGNFLAAKRRGVVEGVDYGASGEVKKVDVARMYERLDGGCIVILSNLGYSSSGEVLNCNAYEVATACALAIGADKLICVIDGPILDEYGRLINFLPLHEADMLIRQRAKQSEIATKYVKAVGEYLTSIGRTGSTAVVHCSQNGKAPNSTHYATFQNGIGFDNGTGLRSGEQGFAIGGLERLSRLNGYLPELAAAAFVCRGGVERVHLLDGTIGGVLLLELFKRDGMGTMVASDLYEGARMAKETDVAGIKQILQPSEESGTLVRRSVEELVKTLDSFIVMEREGQIIACAALFPFFKDKCGEVACIAVSPECRGQGQGDKLLDYIEKKASSLGLDMLFLLTTRTADWFERRGFKECSIDMIPDKRRLRINLSRKSKYYMKKFLADRSGIIADKAFE >KJB44602 pep chromosome:Graimondii2_0_v6:7:42922536:42926431:1 gene:B456_007G260700 transcript:KJB44602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVIGGESLDVLQHWVVELFSDVRQGSQGKPEFKVEGPVWRAGKLYRLEAVKDVHILELRWALPCLLQAYLQKPEDYLAHLLGHELRWISSLEDV >KJB44604 pep chromosome:Graimondii2_0_v6:7:42922536:42926431:1 gene:B456_007G260700 transcript:KJB44604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVIGGESLDVLQHWVVELFSDVRQGSQGKPEFKVEGPVWRAGKLYRLEAVKDVHILELRWALPCLLQAYLQKPEDYLAHLLGHDFRIEMDFQLGGCIEPGEGYDK >KJB44603 pep chromosome:Graimondii2_0_v6:7:42922964:42926419:1 gene:B456_007G260700 transcript:KJB44603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVIGGESLDVLQHWVVELFSDVRQGSQGKPEFKVEGPVWRAGKLYRLEAVKDVHILELRWALPCLLQAYLQKPEDYLAHLLGHDFRIEMDFQLGGCIEPGEGYDK >KJB41696 pep chromosome:Graimondii2_0_v6:7:8958895:8961404:1 gene:B456_007G115400 transcript:KJB41696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHNNSPYLSISPVPSSGSRPMGTLCNALNRCARKAEALADDFWNHLRVSPSITDAAVARIAQGTKLLAEGGHDRLFRQTFQILPGEKLLHSYVCYLSTSSGPVIGTLYISNKRIAFCSDYPLCYYDAPGYQHWMYYKVVIELDKLATVDPSANRLNPSEKYIHMITRDGYEFWFMGFISYDKALKCINEALQHSCRTLSLF >KJB45323 pep chromosome:Graimondii2_0_v6:7:51399605:51401748:-1 gene:B456_007G301200 transcript:KJB45323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLITIFGLLGNITTGLVYLAPAKTFWYIMQRRSTEEFDCLPYVVKLLNGYMWVYYGIVKPNSILVATINGFGAVLELVYVTIFLIFAPPRTRAITATLFGVLDVVFPIGAVLVTQIFCNREMQIDVSGFLSLLFSVATYGSPLSIMKTVVSTKSVEYMPFLLSFILFINGLTWTVYAVLTNDWFIGIPNGSGFVLGTTQLVLYAIYWKPKQSKTTSDHVDDGWQNESLMLNN >KJB44115 pep chromosome:Graimondii2_0_v6:7:30874491:30880568:1 gene:B456_007G235000 transcript:KJB44115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFYLISLPLTLGMVVFTLRYFAGPDVPRYVLFTVGYAWFCSLSIIILVPADIWTTISKPGNYNENGGISFFWSWSYWGTFLLTWAVVPLIQGFEDAGDFTVTERLKTSVHVNLVFYSVVGSIGLVGLILLITMNRNWSGGILGLAMALSNTFGLVTGAFLLGFGLSEIPKSLWRNADWTIRQKVLSHKVAKMAVKLDEAHQELSNAIVVAQATSNQMSKRDPLRPYMDVIDNMLAQMFREDPSFKPQGGRLGENDMDYDSDEKSMATLRRHLRLAREEYYRYKSEYMAYVSEALQLEDTIRNYERRSSTGWKYVSSFRPGRSGQTGTLLDTIEFIWRCILWKQLKKGLAIILGIMSAAILLAEATLLPRGVDLSLFSILINSVKKDEVLVQAFAFVPLMYMCMCTYYSLFKVGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLISLGGKKTIFEKRMGNIDDAVPFFGEGFNNIYPLIMVVYTLLVASNFFDRVVGFFGNWKRLRFQTEADDMDGFDPSGLIILQKERSWLEQGRQVGEQVIPLARNFNGADIEYGHNITDRTVEMKAATTSATGGVKGSPSRPAEEETRKYGTSREVMSNKYAAMREQSRQISNPKPVENNITSAKVSLLEVGNSHLDNLKGGPSAGLASTWRSMKSGFQNFKANIEARKFLPVHQNQEATLISHVNSSDSESLDEIFQRLKRASVDHSDENENENDTETKSTR >KJB44713 pep chromosome:Graimondii2_0_v6:7:44888308:44890550:-1 gene:B456_007G267900 transcript:KJB44713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKHPQSSLPPGFRFHPTDEELILHYLKKKITSSPFPVSIIADVDIYKFDPWDLPDKAAFGEKEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKVIVTSSMADRRGEVQENIGVKKALVFYKGRPPKGMKTNWIMHEYRLADNPNSNFNNRPLKSKDSSMRLDDWVLCRIYKKSNALSSTTAIGAATSDQDLEEEEAEQFIKDALLPAAIKSPPTTNALLPQKSCSFSNLLDAMDYSMLSSFLADNQCNGSGYDPTSFTCEQPLVNNYTIGNNSSSSSSMMVQKLPQLSPSVPNMENKLKRQYSSIDEDHPSKKLNSSCSYSNSSSQSDMTHYTFLNQSLFNQSLLLSPHIQFQG >KJB44712 pep chromosome:Graimondii2_0_v6:7:44888308:44890480:-1 gene:B456_007G267900 transcript:KJB44712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKHPQSSLPPGFRFHPTDEELILHYLKKKITSSPFPVSIIADVDIYKFDPWDLPGQNPFSCLSSCHVSKLLFFNVVFFFLSDKAAFGEKEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKVIVTSSMADRRGEVQENIGVKKALVFYKGRPPKGMKTNWIMHEYRLADNPNSNFNNRPLKSKDSSMRLDDWVLCRIYKKSNALSSTTAIGAATSDQDLEEEEAEQFIKDALLPAAIKSPPTTNALLPQKSCSFSNLLDAMDYSMLSSFLADNQCNGSGYDPTSFTCEQPLVNNYTIGNNSSSSSSMMVQKLPQLSPSVPNMENKLKRQYSSIDEDHPSKKLNSSCSYSNSSSQSDMTHYTFLNQSLFNQSLLLSPHIQFQG >KJB44281 pep chromosome:Graimondii2_0_v6:7:36216326:36216439:1 gene:B456_007G243900 transcript:KJB44281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVFLFGIILGQIPITLARLFVTTCLQYRRGDQLDL >KJB46176 pep chromosome:Graimondii2_0_v6:7:58155898:58162194:1 gene:B456_007G351400 transcript:KJB46176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHFHNENPVPHVLLHEQEIATQKIIQAQSQREAAAGPPCKDGTDLFSERPDPNALKEHLLKMASEHRAELASKRGKPNPPEQGNIDIGNGYGVPGGGSYSYGTPGNLGHVNNDTSEKNSEAASKDLPEYLKQKLKARGILKAGLTKHDLSRTDKNLETDAPQLMETAKLPPGWVEAKDPSTGVSYYYNESKGKSQWERPVETSSSTHFPFATRHVEDWVEAVDETTGRKYYYNTKTNISQWECPDVLKPFASQHPGSRVSENTVNENSTVWSSNLDKCFGCGGWGVSLVQAWGYCNHCTRVLNLPQAQSLPTTLGYQQHNSDENKASKPRSGGRPPSGKGNRKDFGKRKHSYNDDDELDPMDPSSYSDAPRGGWIVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQTKKSSSHWTPVSKKGDGSDGLGDAD >KJB46179 pep chromosome:Graimondii2_0_v6:7:58155929:58162139:1 gene:B456_007G351400 transcript:KJB46179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHFHNENPVPHVLLHEQEIATQKIIQAQSQREAAAGPPCKDGTDLFSERPDPNALKEHLLKMASEHRAELASKRGKPNPPEQGNLGHVNNDTSEKNSEAASKDLPEYLKQKLKARGILKAGLTKHDLSRTDKNLETDAPQLMETAKLPPGWVEAKDPSTGVSYYYNESKGKSQWERPVETSSSTHFPFATRHVEDWVEAVDETTGRKYYYNTKTNISQWECPDVLKPFASQHPGSRVSENTVNENSTVWSSNLDKCFGCGGWGVSLVQAWGYCNHCTRVLNLPQAQSLPTTLGYQQHNSDENKASKPRSGGRPPSGKGNRKDFGKRKHSYNDDDELDPMDPSSYSDAPRGGWIVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQTKKSSSHWTPVSKKGDGSDGLGDAD >KJB46177 pep chromosome:Graimondii2_0_v6:7:58155900:58162186:1 gene:B456_007G351400 transcript:KJB46177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHFHNENPVPHVLLHEQEIATQKIIQAQSQREAAAGPPCKDGTDLFSERPDPNALKEHLLKMASEHRAELASKRGKPNPPEQGNIDIGNGYGVPGGGSYSYGTPGSNIATPRNLGHVNNDTSEKNSEAASKDLPEYLKQKLKARGILKAGLTKHDLSRTDKVEAKDPSTGVSYYYNESKGKSQWERPVETSSSTHFPFATRHVEDWVEAVDETTGRKYYYNTKTNISQWECPDVLKPFASQHPGSRVSENTVNENSTVWSSNLDKCFGCGGWGVSLVQAWGYCNHCTRVLNLPQAQSLPTTLGYQQHNSDENKASKPRSGGRPPSGKGNRKDFGKRKHSYNDDDELDPMDPSSYSDAPRGGWIVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQTKKSSSHWTPVSKKGDGSDGLGDAD >KJB46178 pep chromosome:Graimondii2_0_v6:7:58155900:58162186:1 gene:B456_007G351400 transcript:KJB46178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHFHNENPVPHVLLHEQEIATQKIIQAQSQREAAAGPPCKDGTDLFSERPDPNALKEHLLKMASEHRAELASKRGKPNPPEQGNIDIGNGYGVPGGGSYSYGTPGSNIATPRNLGHVNNDTSEKNSEAASKDLPEYLKQKLKARGILKAGLTKHDLSRTDKNLETDAPQLMETAKLPPGWVEAKDPSTGVSYYYNESKGKSQWERPVETSSSTHFPFATRHVEDWVEAVDETTGRKYYYNTKTNISQWECPDVLKPFASQHPGSRVSENTVNENSTVWSSNLDKCFGCGGWGVSLVQAWGYCNHCTRVLNLPQAQSLPTTLGYQQHNSDENKASKPRSGGRPPSGKGNRKDFGKRKHSYNDDDELDPMDPSSYSDAPRGGWIVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQTKKSSSHWTPVSKKGDGSDGLGDAD >KJB42954 pep chromosome:Graimondii2_0_v6:7:16433100:16438293:-1 gene:B456_007G176800 transcript:KJB42954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDLNDYTIIKEGEAEILMHAKNEVFFNKTQVNNRDMSIAVLRTFISKRKQEHEALLSKRNKKNGSSSDVEDKPNDCDINIEKSNDQGLEEKPNEDPCTASEEPVKIEGKVRGKLKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDKGAVEACQRNIKFNGSVACSKVESHLADARVYMLTHPKEFDVVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLACIESHANRYKRYIVPVLSVQMDFYVRVFVRVYTSASAMKNTPLKLSYVYQCIGCDSFHLQPIGRTVSKNTSVRYLPGFAPVVPQECSDCGKKFNMGGPIWSAPIHDQDWVTSILADVKSMKNCYPAYERISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYQISGTHVNPLGLKSDAPMDVIWDIMRCWVKNHPVKAQPADLPGSVILAKEPILQANFARAVASLSKAQAKKVARFLPNPERHWGPKLRAGRQITSKHISLLGEEAVNGCLNDQDSERDAKRQKIEKSNDAIAES >KJB42955 pep chromosome:Graimondii2_0_v6:7:16433140:16438194:-1 gene:B456_007G176800 transcript:KJB42955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDLNDYTIIKEGEAEILMHAKNEVFFNKTQVNNRDMSIAVLRTFISKRKQEHEALLSKRNKKNGSSSDVEDKPNDCDINIEKSNDQGLEEKPNEDPCTASEEPVKIEGKVRGKLKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDKGAVEACQRNIKFNGSVACSKVESHLADARVYMLTHPKEFDVVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLACIESHANRYKRYIVPVLSVQMDFYVRVFVRVYTSASAMKNTPLKLSYVYQCIGCDSFHLQPIGRTVSKNTSVRYLPGFAPVVPQECSDCGKKFNMGGPIWSAPIHDQDWVTSILADVKSMKNCYPAYERISAVLTTISEDIKFLELM >KJB43506 pep chromosome:Graimondii2_0_v6:7:20904018:20908011:-1 gene:B456_007G203700 transcript:KJB43506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSEPIFLLLPSILSLILFFILIKRKQRRYNLPPGNMGWPFLGETIGYLRPYSATSVGEFMHQHISRYGNIYKSNLFGEKTIVSADAGLNKFILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRDMRIISLNFLSNARLRTHLLREVEKHTLLVLNTWKEKCIFSAQDEAKKFTFNLMAKNIMSMDPGHPETEQLKKEYVTFMKGVVSAPLNLPGTAYRRALQSRSTILKFIEKKMEVRIRKMKEGKENLEEDDLLEWVLKHSNLSTEQILDLILSLLFAGHETSSVAITLAIYFLPGCPLAIQQLREEHLEIARAKNQSGETELNWDDYKKMEFTQCVINETLRLGNVVRFLHRKALKDVRYKGYDIPCGWKVLPVIAAVHLDPCLFDRPQLFNPWRWQQNNGSRGAGTTTSSASSSNYFMPFGGGPRLCAGTELAKLEMAVFIHHLVLNYQWELADTDEAFAFPFVDFPKGLPIRVFKS >KJB43507 pep chromosome:Graimondii2_0_v6:7:20904021:20907664:-1 gene:B456_007G203700 transcript:KJB43507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSEPIFLLLPSILSLILFFILIKRKQRRYNLPPGNMGWPFLGETIGYLRPYSATSVGEFMHQHISRQAFKFHPFFFLSLLEKQNLSLSFELNSTFFRYGNIYKSNLFGEKTIVSADAGLNKFILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRDMRIISLNFLSNARLRTHLLREVEKHTLLVLNTWKEKCIFSAQDEAKKFTFNLMAKNIMSMDPGHPETEQLKKEYVTFMKGVVSAPLNLPGTAYRRALQSRSTILKFIEKKMEVRIRKMKEGKENLEEDDLLEWVLKHSNLSTEQILDLILSLLFAGHETSSVAITLAIYFLPGCPLAIQQLREEHLEIARAKNQSGETELNWDDYKKMEFTQCVINETLRLGNVVRFLHRKALKDVRYKGYDIPCGWKVLPVIAAVHLDPCLFDRPQLFNPWRWQQNNGSRGAGTTTSSASSSNYFMPFGGGPRLCAGTELAKLEMAVFIHHLVLNYQWELADTDEAFAFPFVDFPKGLPIRVFKS >KJB45509 pep chromosome:Graimondii2_0_v6:7:52301888:52304049:-1 gene:B456_007G309500 transcript:KJB45509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYFSLGLLALGAGGVKGALPALGGDQFDHKDPKEAKALARYFNWLLLSTTLGACVGVTGIVYLTTEKHKWYWGFFISTVATFIGFTVLALGKPFYRLREPKMADSPIIRITQVIVVAINNSKLALPDSPDELYEIRKTENDFREEIISHTNQFRFLDKAAIVPKDSKTTPWTVCTVTQVEEVKILTRMLPILFSTVIMNTCLAQLQTFSVMQGNFMNRKIGNFNVPAASIPIIPLVFMSILVPIYEFFFVPFARKITKHPSGITQLQRVGVGLVLSAISMAIAGIVEVKRRDQAHRDIMKPISLFWLSFQYGIFGIADMFTLVGLLEFFYREAPIGMRSLSTSFTWLTLSFGYFLSTVFVNVINAITKRTSSSKQGWIHGLDLDSSHLNLFYWFLAILSCLNFLNYLYWASWYKYKTEEPDHSEPKPIDMTTEQLPMEEVPDPTEAKGEDLSTRPLVAEEEPEHTEAKAKDLSTGPLLVKEGSTVDGGVTEVHEGPSSVQTEDSKQQPTS >KJB41797 pep chromosome:Graimondii2_0_v6:7:9644728:9645412:1 gene:B456_007G122000 transcript:KJB41797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINHFILALISFTCLLSFSTSTNAPPSLSDASSPTFSELYDMIEVMSESPSPFAFDGITLESIDNLLSILPSGVNPTLQQICGNTDHPVECIIATMPFLDEKTPIEPLSVLKAGIEAMDNQTKDALAENVVPILQTCIDVYNNILNSDQKSFEAISNHNLVQLSTELGTNVENILGCDNAFKQAKLESPMKEMDAMLGKIISNTLTIGLDMVHF >KJB43703 pep chromosome:Graimondii2_0_v6:7:23266238:23267054:-1 gene:B456_007G212400 transcript:KJB43703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLSFIEFKYGAFPRKLSRKPTTQLSINKDSLKSNVSSRTYQPNVEEMKWVFDKFDTNKDGKISKEEYKSALKVLGKGMTGAEVTKAFTAIDTDGDGFIDYKEFMEMMQNMGEGINVNDIQSAFRVYDLDGNGKISAEELMAVLKKMGERCNLETCRKMIRGVDADGDGLIDITDFTTMMTRTMKVCQR >KJB42434 pep chromosome:Graimondii2_0_v6:7:13081429:13084954:1 gene:B456_007G153000 transcript:KJB42434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQKVEKPKPESPINENEIRITSQGAIRNYINYAIALLQDKHVKEIVLKAMGQAISKTVAIAEILKKRIPRLHQDTSISSVSITDVWEPIEEGLVPVEMTRHVSMISITLSTRELNKNSVGYQAPHYAEQPKPQYHYQQQQLPKQGRIPYNAVNEDSYGRGRGRGRGRGRGRSWGRGGYGNYQDNGGYSNWGRGGGRGRGWGYRGAGYERGRGGGGRGFSRGRGRMGGGGRSRGGGY >KJB44021 pep chromosome:Graimondii2_0_v6:7:28861792:28864697:1 gene:B456_007G230200 transcript:KJB44021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRYGYPPATVIDIGEETLNFTGGLQYVDLTYTVTKQKEVEGKWLKQEVDLLNRITGSAPKGCITAVMGPSGAGKSTFLDGLAGRIATGSLKGRVFLDGKPMSPSLIKRTSAYIMQDDRLFPMLTVYETLMFAADFRLGPISRVEKRQRVEKLIDQLGLTSSWNTYIGDAGTRGVSGGERRRVSIGVDIIHGPSLLFLDEPTSGLDSTSAYSVIEKVRDIARSGSTVILTIHQPSSRIQLLLDHMIVLARGQLMFQGSPQNVTLHLSRMGRKVPKGESAIEFLIDVIQEYDQSEFGVEVVAEFARSGRKPPQLTEEEMSVSTAAPTPPPANRGHDWKNNRRLALKASEDSDNGFDRSVRSPYNNTSRSWSASHIGVVHQLRFTPTRQRTDQKAPNPMSSSPGYYTYSSDILPGTPTPHSSDYTVNENDYLTPDVAPKTVQHLGPKFANSIFPEIWILMRRNFKNIRRTPELFLSRLVVLTVMGVMMATMFMNPKISVQGITNRLSFVIFTVCLFFFSSNDAVPAFIQERFIFVRETSHNAYRASTYTIAGLITYLPFLALQAGVYACIVWKALELRGPFYYFFIVLYASLLSTNSFVMFVSSVVPNYILGYAAVIAFTALFFLFCGYFMNSHDIPVYWKWMNKVSTMTYPYEGLLMNQYQTNQTFGYDPNMKPVTGFGILSSLEISTVEFKKWENVIIMIGWAAFYRLCFYIVLRFGSKNKRT >KJB45463 pep chromosome:Graimondii2_0_v6:7:52103051:52106808:-1 gene:B456_007G307200 transcript:KJB45463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTVMESFGAQMAKRKAMWLYPKVSGFNPSERWGHSACYSNGVVYVFGGCCGGLHFSDVLMLNLNTMIWKTLETTGQGPGPRDSHSVVLVGTKMMVFGGTNGSKKVNDLHVLDLVSKEWIRAECQGVAPSPRESHTATLIGEDKVVIFGGSGEGGANYLNDLHVLDLRTMRWTSPQVRGHIPVPRDSHSAVAIGNKLVVHGGDCGDRYLGDVDIFDMDTSTWSRLAVQGSLPGVRAGHAAVNIGAKVFIIGGVGDKHYYNDVWVLDVIACCWTQLDICGQQPQGRFSHTAILAESDIAIYGGCGEDERPINELLVLQLGKQHPNGRYNISMCKTFGSHWNQEKRRFLRVAPGNLKSIYFADIEVAKHGDNEAEQEAKHSSRFGSDTSNPKRRRTATAKAWEVEFEQEEHSLSLSQHSSPSQSDQEQAPLQKPPDSTTPQGLNLFKQFHHVPSNCQPYNVSNNHKQTIYTVHRTQQDPQFTRELQNPRKPEQYLHVGDTGRQGSGTQYSIVEQRHLEAGPIHNLLGAEVRGKVDGAFDSGFLVTANVNGKIFRGVLFAPGSGVISRGPMLAQSPSSTCQVSGAQPFLNSSNLEPLNPSQPPPTMRVTPESGHSSRHLATSAASLATAKDPKLRSDLRDVVLTLGGPGTGPV >KJB40127 pep chromosome:Graimondii2_0_v6:7:3336714:3338764:-1 gene:B456_007G047900 transcript:KJB40127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >KJB40128 pep chromosome:Graimondii2_0_v6:7:3336770:3338753:-1 gene:B456_007G047900 transcript:KJB40128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >KJB45897 pep chromosome:Graimondii2_0_v6:7:56038062:56039830:-1 gene:B456_007G335900 transcript:KJB45897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGLPSLGRVKLTDLVPSEGIPSDSYKLSVSTLSQSFAQYSAAIIQFPASDGALLRFGLDSARLYFQQKAAYPPEELIHTNDSREWCKTSGYYADPQLWQEMYDYRPGLTPTDPINGMEFPPGGLPDRFGLLGKAARDVSYYLNLHSSPFAEILDNVPLRSREISSSVLFIV >KJB44741 pep chromosome:Graimondii2_0_v6:7:45233917:45234727:1 gene:B456_007G269600 transcript:KJB44741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVQFLVGFVLLALASKFVSASDASPLQDFCVAINDTIDGVFVNGKFCKDPKLATAEDFFLPGLNIPGNTSNQVGSMVTPANVQQIPGLNTLGISLVRIDYAPYGGLNPPHTHPRATEILLVVDGTLSVGFVTSNTDNRLFTKVLYPGDVFVFPEGMIHFQFNIGSTNAVAFAALSSQNPGVITIANAVFGSDPAINPDVLAKAFQLDQNIVKQLQSRFWWDNN >KJB39896 pep chromosome:Graimondii2_0_v6:7:2503205:2503927:-1 gene:B456_007G036400 transcript:KJB39896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVNFFKGYGKLSHLESQNPRRRSASAAITISAILLFTLVIGFTLAALLLQPINDKDPTDSASASSLSSNLVESIKTICNVTRYPDSCFTALSSLNASTKPDLEAFLDLSLQVAITHLSDLSSSFKSLNDLHSQPALKDCLTLFDDALSRLNDSVSAMKVGTGKELVLTKEKISDIQTWISAAMTDQDTCNDGLEEMGWTAADEVVKSQSQSCKESISNSLAIVANMQNLLQKCGRTMH >KJB43318 pep chromosome:Graimondii2_0_v6:7:19058024:19060415:-1 gene:B456_007G193900 transcript:KJB43318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIVKQILAKPIQLADQVTKAADEASSFKQECAELKSKTEKLVGLLRQAARASSDLYERPTCRIIDDTEQVLDKALSLVLKCRANGLMKRVFTIIPAAAFRKMSSQLENSIGDVSWLLRVSASGDDRDDEYLGLPPIAANEPILCLIWEQIAILYTGSLENRSDAAASLVSLARDNDRYGKLIIEEGGVGPLLKLLKEGKMEGQENAAKAIGLLGRDPESVEHMIHAGVCTVFAKILKEGPMKVQAVTAWAVSELAANYPKCQDLFAQHNIIRLLVSHLAFETIQEHSKYAIASHKATSIHAVVMASSNNSTVKVAVDEDHQSQISHPMGNQTPNQMHNVVTSTMAMNGGVKLPQKLGNNHVRSNSQGNVKQFHHIYYQPQQNGSMSGVNMKGRELEDPATKAYMKAMAARALWHLAKGNSSICRSITESRALLCFAVLLEKGSDDVQFNSAMALMEITAVAERDTDLRRSAFKPNSHACKLVVDQLLKIIEKADSELLIPCIKAIGNLARTFRATETRMIAPLVKLLDEREAEVSKEAAIALTKFACTDNYLHLDHSKAIITAGGAKHLIQLVYFGEQIVQLRALVLLCYIALHVPDSEELAQAEVLTVLEWASKQSYMTQDETIDTLLQEAKSRLELYQSRGSRGFH >KJB39306 pep chromosome:Graimondii2_0_v6:7:504093:505669:1 gene:B456_007G006000 transcript:KJB39306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEDNEGNKKRAREESEANSVPNTKLARVDSDDNKGPDSDSPEATRVEPDPDDGEDNLPETRHIPEELLNILDDSDPVSGPVPAIQGLDSVIRSFEEEILIPEQVALPEMVLDSGESRPELGFLFEASDDELGLPPSLPAVEVEQRFETAFDVDEGGGSGGVGFGEMMGNEFPIPIYEPFEFGIGVDSDTNNSFHSNNTGDFVALGGLFEPAADISELTWRPESLSAL >KJB39914 pep chromosome:Graimondii2_0_v6:7:2601115:2604222:-1 gene:B456_007G037600 transcript:KJB39914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEVSAQLVETNPPTDDMNPETQVPPSPIFDDSVDAGLAYNDSSKSKRQREEDGGESDEVSKKQKAETSVIEEIIEKNSVPLVSSRVWLGPNEFGSSMEMFDYFYNLLLLEIDPIKQKLYCWATHLNLSKYEHMVLLELLKKGHEEPDKKIGKGIKGFQVQIHLVWKSKCFFVIKDDDTFDDFSFRKCVDHILPLSDEMKQPDTKKASNGSRGGKGSGQGRGKRQ >KJB39035 pep chromosome:Graimondii2_0_v6:7:59010842:59011078:-1 gene:B456_007G358900 transcript:KJB39035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKISTAIIVAAASMSAVMAAGAPSPAPSAGGSSPSFSPGSTPASGPDSSVAAATLPVLGSLVGASIVSLFSYMLQ >KJB44019 pep chromosome:Graimondii2_0_v6:7:28706991:28708659:-1 gene:B456_007G229900 transcript:KJB44019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSQHAFLEELLAPRRDSWTTFSAGVTEFLPNGSWNFDSFDENPTLATSNLSFVAFSPPPPPDQTPSFECPFSDQPYPFVDGFTVRDMDSSYTNVNVVHPHTSPFSATQQDFPSMVDDEDQFGLLTTDHQQHRLEETKSSCKVEIEQTSNIQGFNMGDLLLGRKRAKTKKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERINKLQEEDTKEGIIINQQSLLKNLKEQKPDEVLVRNSPKFDVERRDTDTRIDICCATKPGLLLSTVNTLEALGLEIQQCVISCFNEFSMQASCSEVEEQRTLISSEDIKQALFRNAGYGGRCL >KJB45837 pep chromosome:Graimondii2_0_v6:7:55468917:55471686:1 gene:B456_007G331900 transcript:KJB45837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVANESTAKKPKRLTSVVWNHFERVKKADICYAVCVHCNKKLSGSSNSGTTHLRNHLMRCLKRSNYDVSQLLAVKRRKKENTLTIANISYDEGQRKEDYMKPTIVKYEQDQRKDEAFNLGSSWFDPERSRLDLARMIILHGYPLAMVEHVGFKVFVKNMQPLFDVVHNSTIELSCVEIYMKEKQRIYDMFSKLQGRINLAIEMWSSPENSKYVCLTAHYVDDEWKLQKKILNFLTLDSSHTEDMLSDVIIKCLMDWDIDCKLFSVTFDDCSTNDDIVLRIKDQISESRPRLSNGQLLDVRSAAHVLNSIAQDAIEALQVVIQKIRGSVKYVKSSQSILGKFNEIAQQQGINNHKIVVLDYPIRWNSTYMMLETAVEYRNVFHHLPELDPDFALSDEEWKRASSIVSYLKLLIEIINVFSSNKCPTANIYFPEICHVHIQLIEWCKSSDAFLSSLATKMKAKFDKYWSKCSLGLAVAAILDPRFKMKLVEYYYSQIYGSTALERIKEASDGIKELFNAYSICSTLIDQGSALPGSSLPSSSNDTRDKLKGFDKFLHETSQSQTAISDLEKYLDEPMFPRNCDFNILNWWRVHTPRYPILSMMARDVLGTPMSTVAQEFAFNAGGRILDSNQSSLPPDTRQALICTRDWLRTQSDDATPSSSHYALPLYVEAN >KJB45838 pep chromosome:Graimondii2_0_v6:7:55468917:55471686:1 gene:B456_007G331900 transcript:KJB45838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVANESTAKKPKRLTSVVWNHFERVKKADICYAVCVHCNKKLSGSSNSGTTHLRNHLMRCLKRSNYDVSQLLAVKRRKKENTLTIANISYDEGQRKEDYMKPTIVKYEQDQRKDEAFNLGSSWFDPERSRLDLARMIILHGYPLAMVEHVGFKVFVKNMQPLFDVVHNSTIELSCVEIYMKEKQRIYDMFSKLQGRINLAIEMWSSPENSKYVCLTAHYVDDEWKLQKKILNFLTLDSSHTEDMLSDVIIKCLMDWDIDCKLFSVTFDDCSTNDDIVLRIKDQISESRPRLSNGQLLDVRSAAHVLNSIAQDAIEALQVVIQKIRGSVKYVKSSQSILGKFNEIAQQQGINNHKIVVLDYPIRWNSTYMMLETAVEYRNVFHHLPELDPDFALSDEEWKRASSIVSYLKLLIEIINVFSSNKCPTANIYFPEICHVHIQLIEWCKSSDAFLSSLATKMKAKFDKYWSKCSLGLAVAAILDPRFKMKLVEYYYSQIYGSTALERIKEASDGIKELFNAYSICSTLIDQGSALPGSSLPSSSNDTRDKLKGFDKFLHETSQSQTAISDLEKYLDEPMFPRNCDFNILNWWRVHTPRYPILSMMARDVLGTPMSTVAQEFAFNAGGRILDSNQSSLPPDTRQALICTRDWLRTQSDDATPSSSHYALPLYVEAN >KJB45835 pep chromosome:Graimondii2_0_v6:7:55464030:55471686:1 gene:B456_007G331900 transcript:KJB45835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVANESTAKKPKRLTSVVWNHFERVKKADICYAVCVHCNKKLSGSSNSGTTHLRNHLMRCLKRSNYDVSQLLAVKRRKKENTLTIANISYDEGQRKEDYMKPTIVKYEQDQRKDEAFNLGSSWFDPERSRLDLARMIILHGYPLAMVEHVGFKVFVKNMQPLFDVVHNSTIELSCVEIYMKEKQRIYDMFSKLQGRINLAIEMWSSPENSKYVCLTAHYVDDEWKLQKKILNFLTLDSSHTEDMLSDVIIKCLMDWDIDCKLFSVTFDDCSTNDDIVLRIKDQISESRPRLSNGQLLDVRSAAHVLNSIAQDAIEALQVVIQKIRGSVKYVKSSQSILGKFNEIAQQQGINNHKIVVLDYPIRWNSTYMMLETAVEYRNVFHHLPELDPDFALSDEEWKRASSIVSYLKLLIEIINVFSSNKCPTANIYFPEICHVHIQLIEWCKSSDAFLSSLATKMKAKFDKYWSKCSLGLAVAAILDPRFKMKLVEYYYSQIYGSTALERIKEASDGIKELFNAYSICSTLIDQGSALPGSSLPSSSNDTRDKLKGFDKFLHETSQSQTAISDLEKYLDEPMFPRNCDFNILNWWRVHTPRYPILSMMARDVLGTPMSTVAQEFAFNAGGRILDSNQSSLPPDTRQALICTRDWLRTQSDDATPSSSHYALPLYVEAN >KJB45836 pep chromosome:Graimondii2_0_v6:7:55467131:55471686:1 gene:B456_007G331900 transcript:KJB45836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVANESTAKKPKRLTSVVWNHFERVKKADICYAVCVHCNKKLSGSSNSGTTHLRNHLMRCLKRSNYDVSQLLAVKRRKKENTLTIANISYDEGQRKEDYMKPTIVKYEQDQRKDEAFNLGSSWFDPERSRLDLARMIILHGYPLAMVEHVGFKVFVKNMQPLFDVVHNSTIELSCVEIYMKEKQRIYDMFSKLQGRINLAIEMWSSPENSKYVCLTAHYVDDEWKLQKKILNFLTLDSSHTEDMLSDVIIKCLMDWDIDCKLFSVTFDDCSTNDDIVLRIKDQISESRPRLSNGQLLDVRSAAHVLNSIAQDAIEALQVVIQKIRGSVKYVKSSQSILGKFNEIAQQQGINNHKIVVLDYPIRWNSTYMMLETAVEYRNVFHHLPELDPDFALSDEEWKRASSIVSYLKLLIEIINVFSSNKCPTANIYFPEICHVHIQLIEWCKSSDAFLSSLATKMKAKFDKYWSKCSLGLAVAAILDPRFKMKLVEYYYSQIYGSTALERIKEASDGIKELFNAYSICSTLIDQGSALPGSSLPSSSNDTRDKLKGFDKFLHETSQSQTAISDLEKYLDEPMFPRNCDFNILNWWRVHTPRYPILSMMARDVLGTPMSTVAQEFAFNAGGRILDSNQSSLPPDTRQALICTRDWLRTQSDDATPSSSHYALPLYVEAN >KJB44219 pep chromosome:Graimondii2_0_v6:7:39383449:39387057:1 gene:B456_007G250400 transcript:KJB44219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRLLGIVENSSAVLRRVRNFGVKPTIESIVNKGVRYKLFNHGLSLENSSCDSLISLLKLSLSSTLPSPPLVPPILLYNP >KJB43566 pep chromosome:Graimondii2_0_v6:7:21357705:21358633:-1 gene:B456_007G206000 transcript:KJB43566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDYEMMTSQMNEHEDHIALISQIYPGFYTQIATHQGEPKPRRRRKKNKGGENSQWAVAKKRKLNQEQLTLLELNFGNEHKLESERKDRIASELGLDPRQVAVWFQNRRARWKNKKLEEEYSKLKSLHETVVLDKCRLESEVCKYTYIFYFPNEIILTCKKQIIIWF >KJB43565 pep chromosome:Graimondii2_0_v6:7:21356968:21358666:-1 gene:B456_007G206000 transcript:KJB43565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDYEMMTSQMNEHEDHIALISQIYPGFYTQIATHQGEPKPRRRRKKNKGGENSQWAVAKKRKLNQEQLTLLELNFGNEHKLESERKDRIASELGLDPRQVAVWFQNRRARWKNKKLEEEYSKLKSLHETVVLDKCRLESEVVKLKEQLSEAEKEIQQLTERVDGVSSKCTSSSVLSMEAIDPVFHGEFGYEDVFYIGENNYVLGMEWMNLFM >KJB41517 pep chromosome:Graimondii2_0_v6:7:8172641:8177001:1 gene:B456_007G108000 transcript:KJB41517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIQSTQDKKPSTQASHEPKTEPQNSQTADGPLADSGSLSTSSNDGKKVSRQDIELVQNLIERCLQLYMSRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLEHQYHMMKYPVPPKVPLAPIQNGIHPMPVNNLPMGYPVLQQPQFPAAGQPHMDSMGISSCHVVNGVPAPSNFQPMRMNSGNDMVMDSNASDVMPAVPPTTAMSSMSEMPLSCTSVASSGSFPFSASDMSGMGVDTSALDSAFTTDVASSVGLQLGQDNEAGNSRDSFRPLDQIQWNFSLTDLTADLSNLGDLGALGNYPGSPFLPSDSEILLDSSDQENIVEEFFVDSVPEQPCSPSDEDKS >KJB41516 pep chromosome:Graimondii2_0_v6:7:8172641:8177941:1 gene:B456_007G108000 transcript:KJB41516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIQSTQDKKPSTQASHEPKTEPQNSQTADGPLADSGSLSTSSNDGKKVSRQDIELVQNLIERCLQLYMSRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLEHQYHMMKYPVPPKVPLAPIQNGIHPMPVNNLPMGYPVLQQPQFPAAGQPHMDSMGISSCHVVNGVPAPSNFQPMRMNSGNDMVMDSNASDVMPAVPPTTAMSSMSEMPLSCTSVASSGSFPFSASDMSGMGVDTSALDSAFTTDVASSVGLQLGQDNEAGNSRDSFRPLDQIQWNFSLTDLTADLSNLGDLGALGNYPGSPFLPSDSEILLDSSDQENIVEEFFVDSVPEQPCSPSDEDKS >KJB41518 pep chromosome:Graimondii2_0_v6:7:8172641:8179021:1 gene:B456_007G108000 transcript:KJB41518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIQSTQDKKPSTQASHEPKTEPQNSQTADGPLADSGSLSTSSNDGKKVSRQDIELVQNLIERCLQLYMSRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLEHQYHMMKYPVPPKVPLAPIQNGIHPMPVNNLPMGYPVLQQPQFPAAGQPHMDSMGISSCHVVNGVPAPSNFQPMRMNSGNDMVMDSNASDVMPAVPPTTAMSSMSEMPLSCTSVASSGSFPFSASDMSGMGVDTSALDSAFTTDVASSVGLQLGQDNEAGNSRDSFRPLDQIQWNFSLTDLTADLSNLGDLGALGNYPGSPFLPSDSEILLDSSDQENIVEEFFVDSVPEQPCSPSDEDKS >KJB43292 pep chromosome:Graimondii2_0_v6:7:18826611:18829738:1 gene:B456_007G192100 transcript:KJB43292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVSPKDRDLKTAKKNRRLKSSSSKYLKPGTLAQLRHSKVSAARLCTDLGKKRVAVLSSKKKEDDNLLIENMVAEKIPLMLSPMDLHKQSSMTRTPKTPRPEDFESESRLESLPMDLLVKILCHLHHDQLRAVFHVSQRIRRAVCLARQFHFNYTTPDRSRQEMLSTMTPRVTEHWPFLSKGDGKSNLMGSPHTPKAPRQGPRPPSRIKVTELRQIAAVLFQDSGFPSRCMVPSVLPKPLCKSLASNRVLFYEDELCQAVAQNKLR >KJB46018 pep chromosome:Graimondii2_0_v6:7:56878437:56882866:1 gene:B456_007G343600 transcript:KJB46018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISMQPHGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPDGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQNAEELVRTGLHPSEIISGYTKAITKTLEILEELVEKGSETMDVRNKEQVVSRMKAAVASKQYGLEDILSSHIADACIQVCPKNPVNFDVDNVRVSKLVGGVLHNCTVIRGMVLKGDAVGSIKRVEKAKVAVFATGVDSSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGGAVGEMALHFCDRYKLMVLKISSKFELRRFCRTTGTSALLKLSQPKPDDLGFVDSISVEEIGGSRVTVVRSEDGGNKVATVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELARRLKEFSFKETGLDQYAIAKFAESFELVPRTLAENAGLNPMDIISKLYEKHASGNAKVGIDLRGDDPEDGVCKDVSTVNVWDLYVTKFLALKYAADAACTVLRVDQIIMAKPAGGPARRDQPAGMDED >KJB46019 pep chromosome:Graimondii2_0_v6:7:56878414:56882877:1 gene:B456_007G343600 transcript:KJB46019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISMQPHGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPDGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQNAEELVRTGLHPSEIISGYTKAITKTLEILEELVEKGSETMDVRNKEQVVSRMKAAVASKQYGLEDILSSHIADACIQVCPKNPVNFDVDNVRVSKLVGGVLHNCTVIRGMVLKGDAVGSIKRVEKAKVAVFATGVDSSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGGAVGEMALHFCDRYKLMVLKISSKFELRRFCRTTGTSALLKLSQPKPDDLGFVDSISVEEIGGSRVTVVRSEDGGNKVATVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELARRLKEFSFKETGLDQYAIAKFAESFELVPRTLAENAGLNPMDIISKLYEKHASGNAKVGIDLRGDDPEDGVCKDVSTVNVWDLYVTKFLALKYAADAACTVLRVDQIIMAKPAGGPARRDQPAGMDED >KJB46055 pep chromosome:Graimondii2_0_v6:7:57403628:57405741:-1 gene:B456_007G346200 transcript:KJB46055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPQCHGLSETCRKGAGKRTTMPVEAKPVVASEVAPIPTAPCGACKFLRRKCVSGCIFAPHFGSDQGAAKFAAVHKVFGASNVSKLLLHIPMNRRHDAVVTISYEAQARLSDPVYGCVSTILSLQQQVASLQAELVMVQNQLINSRFAMANALQSSQQQQHHHQHHHQQQQQQHLALLQPAYSNNSSASNNLINISNFASNFDLVAETTTAPNSSQSMDHLQLSRPCHDDEDDEQDSRIPPVFVNPIIHRT >KJB41969 pep chromosome:Graimondii2_0_v6:7:10470665:10477750:-1 gene:B456_007G130300 transcript:KJB41969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAELEEGEACSYSNNYDDYDAENDLSSLSYIDEKIQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYTRSPSWPHPKSPPKVQSSDAPRSLNNMSLEDGRHNSAYRVSGSESLRPGPPLSNFVTLPALKASSVNDSIQQEVSLTSTHDDELASKCDFASNKAANLPDLKTLKVRIKVGSDNLTQKSAAIYSGLGLDISPSSSLEESPSESEGMYRQIQDQIIFESPTGILQSMTSFPVPGDTLLSPLPDHLLNLIVKKKIPEENKSDAGKDDGTFFVDNKAKSVEKKDFPVERKSSSSRETRIKNGLMLKKEVDIVDTLACEELVSKTLKLPLLSNSYSSVDKVKSKGMTRKKGVHDVAMEDSPGPILTQEIEWENPRAGSARKVLEEQKTSVLDDISGYARKDGYDKADKTCDSVKADSNTVKGGKALNSELVDPPKQKICLGATSHEQDNMKLSPTKEHTSSGGKRKPTGSQGHGSLTTEVQKESSRVESSSIIKHKQTVNLNNYTNKRDSGNKKLERPFQKAEDRDRDILGDIGESEQEENRTSSLEIHSEDQLKEAHETEKNTSSINSAHNDRLSGKKTEYLLATKSYTRTTVDGASNSANASVAGTSLATAAPTLIIENWVRCDKCQKWRLLPININPADLPEKWLCSMLDWLPAMNRCNVDEDKTTKAVFALYNVPAVESRTNLQSNSGNIMSRLPSANALQPEQNQQSFGSHVMPPAGRKKYSLKEISNATDKDGPTPMKKNMQSSIQSGSLNGVIQSPVVGESGLQHPSKCDLPVKKHKNKSKEKHKLVEHSSDGGDARTSNMKGKRTTEQDSLRASKKIKVESSRLADEDWMFEHAGKSTSNGLPNTSVGKDQPKNSEGSSCKDSKSDKDRQQVSGKRPKTKVGVPLTDGSLDLANCDGGAVSRKREVDDCINSQLYTDSFQSMGNHLQENRVFVKEEFCENDYRREKKARASKSGGKDSSASKSSGTLEKKGRHTKNRQSGQDLDISLSQQRLDGMDSLKRDFGSAEPSLAATSSSSKVSGSHKSKPGFHETKGSPVESVSSSPMRIANPDKLPLTKMNVAGKDESRNACLFVAGSPRRRSDGEDNGGSERSGTIRKKKPSAAVQQGYLESSVLDIQDKDVDQFGVSKAKAPIESSHDIRKGEFINASVDYLGQEVQCAGKSIIMDERHNDESQNDNRGNPNVSYPRKSGKGLSRSKDRNHNFKSGSADEQPDCAPSCEVKSMDGRNKFQELPGVKSNESVNRLDDDKEALRKLSGESSKRENNSSVGQSDAKPDASGGQDLMSTMKQNLLQESNSEGYTKRFHTEKYDRAEIASGRGNTLSLLPAGGTQNEMLTGRPRPVSGSQKGNRADRPQADDALKVQKQVKKADHQNGTQHNSSRNTSGGCRIRDVDAPSPMRKDSSSQAATNALKEAKDLKHLADRLKNSGSNVESTALYFQAALKFLHSASLLESCNSESNKHGDMIQSMQMYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHANASRDRRELQTALQMVPPGMCSI >KJB41965 pep chromosome:Graimondii2_0_v6:7:10467508:10477739:-1 gene:B456_007G130300 transcript:KJB41965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAELEEGEACSYSNNYDDYDAENDLSSLSYIDEKIQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYTRSPSWPHPKSPPKVQSSDAPRSLNNMSLEDGRHNSAYRVSGSESLRPGPPLSNFVTLPALKASSVNDSIQQEVSLTSTHDDELASKCDFASNKAANLPDLKTLKVRIKVGSDNLTQKSAAIYSGLGLDISPSSSLEESPSESEGMYRQIQDQIIFESPTGILQSMTSFPVPGDTLLSPLPDHLLNLIVKKKIPEENKSDAGKDDGTFFVDNKAKSVEKKDFPVERKSSSSRETRIKNGLMLKKEVDIVDTLACEELVSKTLKLPLLSNSYSSVDKVKSKGMTRKKGVHDVAMEDSPGPILTQEIEWENPRAGSARKVLEEQKTSVLDDISGYARKDGYDKADKTCDSVKADSNTVKGGKALNSELVDPPKQKICLGATSHEQDNMKLSPTKEHTSSGGKRKPTGSQGHGSLTTEVQKESSRVESSSIIKHKQTVNLNNYTNKRDSGNKKLERPFQKAEDRDRDILGDIGESEQEENRTSSLEIHSEDQLKEAHETEKNTSSINSAHNDRLSGKKTEYLLATKSYTRTTVDGASNSANASVAGTSLATAAPTLIIENWVRCDKCQKWRLLPININPADLPEKWLCSMLDWLPAMNRCNVDEDKTTKAVFALYNVPAVESRTNLQSNSGNIMSRLPSANALQPEQNQQSFGSHVMPPAGRKKYSLKEISNATDKDGPTPMKKNMQSSIQSGSLNGVIQSPVVGESGLQHPSKCDLPVKKHKNKSKEKHKLVEHSSDGGDARTSNMKGKRTTEQDSLRASKKIKVESSRLADEDWMFEHAGKSTSNGLPNTSVGKDQPKNSEGSSCKDSKSDKDRQQVSGKRPKTKVGVPLTDGSLDLANCDGGAVSRKREVDDCINSQLYTDSFQSMGNHLQENRVFVKEEFCENDYRREKKARASKSGGKDSSASKSSGTLEKKGRHTKNRQSGQDLDISLSQQRLDGMDSLKRDFGSAEPSLAATSSSSKVSGSHKSKPGFHETKGSPVESVSSSPMRIANPDKLPLTKMNVAGKDESRNACLFVAGSPRRRSDGEDNGGSERSGTIRKKKPSAAVQQGYLESSVLDIQDKDVDQFGVSKAKAPIESSHDIRKGEFINASVDYLGQEVQCAGKSIIMDERHNDESQNDNRGNPNVSYPRKSGKGLSRSKDRNHNFKSGSADEQPDCAPSCEVKSMDGRNKFQELPGVKSNESVNRLDDDKEALRKLSGESSKRENNSSVGQSDAKPDASGGQDLMSTMKQNLLQESNSEGYTKRFHTEKYDRAEIASGRGNTLSLLPAGGTQNEMLTGRPRPVSGSQKGNRADRPQADDALKVQKQVKKADHQNGTQHNSSRNTSGGCRIRDVDAPSPMRKDSSSQAATNALKEAKDLKHLADRLKNSGSNVESTALYFQAALKFLHSASLLESCNSESNKHGDMIQSMQMYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHANASRDRRELQTALQMVPPGESPSSSASDVDNLNHPTTAGKVAFPKGVSSPQVAGNHVISARNRPNFVRLLNFAQDVNHAMEASRKSRSTFAAANFSSGGAESGEAITFVKKALDYNFQEVEGVLRLVRVAMEALNH >KJB41967 pep chromosome:Graimondii2_0_v6:7:10470665:10475573:-1 gene:B456_007G130300 transcript:KJB41967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFPVPGDTLLSPLPDHLLNLIVKKKIPEENKSDAGKDDGTFFVDNKAKSVEKKDFPVERKSSSSRETRIKNGLMLKKEVDIVDTLACEELVSKTLKLPLLSNSYSSVDKVKSKGMTRKKGVHDVAMEDSPGPILTQEIEWENPRAGSARKVLEEQKTSVLDDISGYARKDGYDKADKTCDSVKADSNTVKGGKALNSELVDPPKQKICLGATSHEQDNMKLSPTKEHTSSGGKRKPTGSQGHGSLTTEVQKESSRVESSSIIKHKQTVNLNNYTNKRDSGNKKLERPFQKAEDRDRDILGDIGESEQEENRTSSLEIHSEDQLKEAHETEKNTSSINSAHNDRLSGKKTEYLLATKSYTRTTVDGASNSANASVAGTSLATAAPTLIIENWVRCDKCQKWRLLPININPADLPEKWLCSMLDWLPAMNRCNVDEDKTTKAVFALYNVPAVESRTNLQSNSGNIMSRLPSANALQPEQNQQSFGSHVMPPAGRKKYSLKEISNATDKDGPTPMKKNMQSSIQSGSLNGVIQSPVVGESGLQHPSKCDLPVKKHKNKSKEKHKLVEHSSDGGDARTSNMKGKRTTEQDSLRASKKIKVESSRLADEDWMFEHAGKSTSNGLPNTSVGKDQPKNSEGSSCKDSKSDKDRQQVSGKRPKTKVGVPLTDGSLDLANCDGGAVSRKREVDDCINSQLYTDSFQSMGNHLQENRVFVKEEFCENDYRREKKARASKSGGKDSSASKSSGTLEKKGRHTKNRQSGQDLDISLSQQRLDGMDSLKRDFGSAEPSLAATSSSSKVSGSHKSKPGFHETKGSPVESVSSSPMRIANPDKLPLTKMNVAGKDESRNACLFVAGSPRRRSDGEDNGGSERSGTIRKKKPSAAVQQGYLESSVLDIQDKDVDQFGVSKAKAPIESSHDIRKGEFINASVDYLGQEVQCAGKSIIMDERHNDESQNDNRGNPNVSYPRKSGKGLSRSKDRNHNFKSGSADEQPDCAPSCEVKSMDGRNKFQELPGVKSNESVNRLDDDKEALRKLSGESSKRENNSSVGQSDAKPDASGGQDLMSTMKQNLLQESNSEGYTKRFHTEKYDRAEIASGRGNTLSLLPAGGTQNEMLTGRPRPVSGSQKGNRADRPQADDALKVQKQVKKADHQNGTQHNSSRNTSGGCRIRDVDAPSPMRKDSSSQAATNALKEAKDLKHLADRLKNSGSNVESTALYFQAALKFLHSASLLESCNSESNKHGDMIQSMQMYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHANASRDRRELQTALQMVPPGMCSI >KJB41968 pep chromosome:Graimondii2_0_v6:7:10470665:10477739:-1 gene:B456_007G130300 transcript:KJB41968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFNMFLDIFKRILKVEFLQRIWVAKFGGYGSFLPTYTRSPSWPHPKSPPKVQSSDAPRSLNNMSLEDGRHNSAYRVSGSESLRPGPPLSNFVTLPALKASSVNDSIQQEVSLTSTHDDELASKCDFASNKAANLPDLKTLKVRIKVGSDNLTQKSAAIYSGLGLDISPSSSLEESPSESEGMYRQIQDQIIFESPTGILQSMTSFPVPGDTLLSPLPDHLLNLIVKKKIPEENKSDAGKDDGTFFVDNKAKSVEKKDFPVERKSSSSRETRIKNGLMLKKEVDIVDTLACEELVSKTLKLPLLSNSYSSVDKVKSKGMTRKKGVHDVAMEDSPGPILTQEIEWENPRAGSARKVLEEQKTSVLDDISGYARKDGYDKADKTCDSVKADSNTVKGGKALNSELVDPPKQKICLGATSHEQDNMKLSPTKEHTSSGGKRKPTGSQGHGSLTTEVQKESSRVESSSIIKHKQTVNLNNYTNKRDSGNKKLERPFQKAEDRDRDILGDIGESEQEENRTSSLEIHSEDQLKEAHETEKNTSSINSAHNDRLSGKKTEYLLATKSYTRTTVDGASNSANASVAGTSLATAAPTLIIENWVRCDKCQKWRLLPININPADLPEKWLCSMLDWLPAMNRCNVDEDKTTKAVFALYNVPAVESRTNLQSNSGNIMSRLPSANALQPEQNQQSFGSHVMPPAGRKKYSLKEISNATDKDGPTPMKKNMQSSIQSGSLNGVIQSPVVGESGLQHPSKCDLPVKKHKNKSKEKHKLVEHSSDGGDARTSNMKGKRTTEQDSLRASKKIKVESSRLADEDWMFEHAGKSTSNGLPNTSVGKDQPKNSEGSSCKDSKSDKDRQQVSGKRPKTKVGVPLTDGSLDLANCDGGAVSRKREVDDCINSQLYTDSFQSMGNHLQENRVFVKEEFCENDYRREKKARASKSGGKDSSASKSSGTLEKKGRHTKNRQSGQDLDISLSQQRLDGMDSLKRDFGSAEPSLAATSSSSKVSGSHKSKPGFHETKGSPVESVSSSPMRIANPDKLPLTKMNVAGKDESRNACLFVAGSPRRRSDGEDNGGSERSGTIRKKKPSAAVQQGYLESSVLDIQDKDVDQFGVSKAKAPIESSHDIRKGEFINASVDYLGQEVQCAGKSIIMDERHNDESQNDNRGNPNVSYPRKSGKGLSRSKDRNHNFKSGSADEQPDCAPSCEVKSMDGRNKFQELPGVKSNESVNRLDDDKEALRKLSGESSKRENNSSVGQSDAKPDASGGQDLMSTMKQNLLQESNSEGYTKRFHTEKYDRAEIASGRGNTLSLLPAGGTQNEMLTGRPRPVSGSQKGNRADRPQADDALKVQKQVKKADHQNGTQHNSSRNTSGGCRIRDVDAPSPMRKDSSSQAATNALKEAKDLKHLADRLKNSGSNVESTALYFQAALKFLHSASLLESCNSESNKHGDMIQSMQMYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHANASRDRRELQTALQMVPPGMCSI >KJB41966 pep chromosome:Graimondii2_0_v6:7:10468414:10477309:-1 gene:B456_007G130300 transcript:KJB41966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAELEEGEACSYSNNYDDYDAENDLSSLSYIDEKIQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYTRSPSWPHPKSPPKVQSSDAPRSLNNMSLEDGRHNSAYRVSGSESLRPGPPLSNFVTLPALKASSVNDSIQQEVSLTSTHDDELASKCDFASNKAANLPDLKTLKVRIKVGSDNLTQKSAAIYSGLGLDISPSSSLEESPSESEGMYRQIQDQIIFESPTGILQSMTSFPVPGDTLLSPLPDHLLNLIVKKKIPEENKSDAGKDDGTFFVDNKAKSVEKKDFPVERKSSSSRETRIKNGLMLKKEVDIVDTLACEELVSKTLKLPLLSNSYSSVDKVKSKGMTRKKGVHDVAMEDSPGPILTQEIEWENPRAGSARKVLEEQKTSVLDDISGYARKDGYDKADKTCDSVKADSNTVKGGKALNSELVDPPKQKICLGATSHEQDNMKLSPTKEHTSSGGKRKPTGSQGHGSLTTEVQKESSRVESSSIIKHKQTVNLNNYTNKRDSGNKKLERPFQKAEDRDRDILGDIGESEQEENRTSSLEIHSEDQLKEAHETEKNTSSINSAHNDRLSGKKTEYLLATKSYTRTTVDGASNSANASVAGTSLATAAPTLIIENWVRCDKCQKWRLLPININPADLPEKWLCSMLDWLPAMNRCNVDEDKTTKAVFALYNVPAVESRTNLQSNSGNIMSRLPSANALQPEQNQQSFGSHVMPPAGRKKYSLKEISNATDKDGPTPMKKNMQSSIQSGSLNGVIQSPVVGESGLQHPSKCDLPVKKHKNKSKEKHKLVEHSSDGGDARTSNMKGKRTTEQDSLRASKKIKVESSRLADEDWMFEHAGKSTSNGLPNTSVGKDQPKNSEGSSCKDSKSDKDRQQVSGKRPKTKVGVPLTDGSLDLANCDGGAVSRKREVDDCINSQLYTDSFQSMGNHLQENRVFVKEEFCENDYRREKKARASKSGGKDSSASKSSGTLEKKGRHTKNRQSGQDLDISLSQQRLDGMDSLKRDFGSAEPSLAATSSSSKVSGSHKSKPGFHETKGSPVESVSSSPMRIANPDKLPLTKMNVAGKDESRNACLFVAGSPRRRSDGEDNGGSERSGTIRKKKPSAAVQQGYLESSVLDIQDKDVDQFGVSKAKAPIESSHDIRKGEFINASVDYLGQEVQCAGKSIIMDERHNDESQNDNRGNPNVSYPRKSGKGLSRSKDRNHNFKSGSADEQPDCAPSCEVKSMDGRNKFQELPGVKSNESVNRLDDDKEALRKLSGESSKRENNSSVGQSDAKPDASGGQDLMSTMKQNLLQESNSEGYTKRFHTEKYDRAEIASGRGNTLSLLPAGGTQNEMLTGRPRPVSGSQKGNRADRPQADDALKVQKQVKKADHQNGTQHNSSRNTSGGCRIRDVDAPSPMRKDSSSQAATNALKEAKDLKHLADRLKNSGSNVESTALYFQAALKFLHSASLLESCNSESNKHGDMIQSMQMYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHANASRDRRELQTALQMVPPGESPSSSASDVDNLNHPTTAGKVAFPKGVSSPQVAGNHVISARNRPNFVRLLNFAQDVNHAMEASRKSRSTFAAANFSSGGAESGEAITFVKKALDYNFQEVEGVLRLVRVAMEALNH >KJB39825 pep chromosome:Graimondii2_0_v6:7:2216629:2217687:-1 gene:B456_007G032300 transcript:KJB39825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYVDEEEVWKCPKHPSKRRRSGVCPFCLRDKLASLCPDCAHYRPCACSATSSSSSFYRFLTPAAEDTSGIGSFGRGSNLTGREPTFRRSRSLAVRFLLSKPERLSEKNESASGKCKTPSFWSMFKASNKSKRYESEDRRREEDKARIAEEERMRMMRKSRSVLVTSHSGIGISKLSPSTKAKSWYFRSPMKVFRQTRGLVFQERSPLYRG >KJB41350 pep chromosome:Graimondii2_0_v6:7:7410329:7415092:1 gene:B456_007G100100 transcript:KJB41350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKAGKTFPSITECDGLKYESIAADLDGTLLISRSSFPYFMLIAVEAGSLLRGLILLLSLPLVIISYLFISEAIGIQILIFISFAGLKIRDIELVSRAVLPRFYAANVRKESFEVFDRCKRKVVVTANPTFMVEPFVKDFLGGDKVLGTEIEVNPKTKKATGFVKKPGVLVGKFKRLAILKEFGDESPDLGIGDRESDHDFMSICKEGYMVHPSKSASPVPLDRLKSRIIFHDGRFVQRPDPLNALLTYLWLPFGFILSIIRVYFNLPLPERIVRYTYEMLGIHLVIRGKRPPPPSPGTPGNLYVCNHRTALDPIVIAIALGRKVSCVTYSVSRLSRLLSPIPAIALTRDRAADAARISELLQKGDLVVCPEGTTCREQFLLRFSALFAEMSDRIVPVAVNCKQSMFYGTTVRGVKFWDPYFFFMNPRPTYEVTFLDRLPEEMTVKAGGKSAIEVANHVQKVLGDVLGFECTGLTRKDKYMLLGGNDGKVESMYNGKK >KJB46336 pep chromosome:Graimondii2_0_v6:7:59223291:59230611:-1 gene:B456_007G361000 transcript:KJB46336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGEAALSGFLDLLVGKSLDSALNFVADHYQLHQQLKQWQSILPDIQAVLDDAEGKQIKNMGVKKWLEDLQDLAYDVDDILDEFAYEELRLNLQDTQAQARPSKIRKFIPERIIRSIFPPTSFLFQNSMIPKVKEITARLNSLTTRRSSLGLSEILSQAPTPKGKQPRLQPTSVLDGVVDYVGRHKEKTEMIEFLKGDNSNGVSVLSIVGMGGMGKTTLAQLVYNDATINQSFHHKAWVCVSDKFNAVNITRTILKSIDPDSRDENDLNLLQVKLKEKLSGKRFLLVLDDIWNENYNDWTILRSPFGAGTHIIVTTRLQIVSSIVDPLKAFHLDKLSDDDCLSIFTQHALKERNFDRDLQFKEIGEKIVRRCSGLPLAAKAIGSLLRTVKDYAGWERIYESEIWNLPEEQCGIIPALRLSYHHLPSYLKRCFAYCSILPKGYEFEEEEIILLWGAEGLLQQKAMPQIKDLGNQYFQDLVSRSFFQTSSKDKSRFVMHDLINDLAQVVAGEICSKLEGDKKWKFSNRTRHSSYIAGTYDTVKKFEAFDQVNSLRTFLPLMFSSDYRGPFLTNVVLVDLLPRLGYLRMLSLSGYWITELPDVFENLKHLRYLNFSNTHIKCLPDSLCTLYHLETLLLRGCYWLQRLPSKMGNLVNLHYLDIRGADSIERIPFRIDKLTKLQRLTDFIIGEGDGCYIRGLKYLSNLKGDFRLSGLENVNGEDAGETKLNEKRGIRRLVLHWSEKFEKASRNKEVEEWVLDSLRPPKKLEQLVIQNYGGAKFSTWIADSSFENMLSLELRNCKNCKSLPSIGRLLWLKDLSISGLDQVHKIGAELFGENQSNAFASLESLCFDNMLNWEEWNLCEDDEQVSKFPSLRKLSIKRCPLLLGRLPTILQSLQTLEIYECKRLVVSISCFPLLRKIWVEGCEELVDEGSLSVQKVTSLKDVFVSNISNFNISAERIMLRFANSETFKISGWKELGSLSQIGLRLVGHRFITIAGCPQLVCLETEERLQLDKIPGVESLLIYNCERLRLPEALHAFPLITRMQLQKCPGLVCFAESNFPPALKELWIRNCRNLQYLVDEKENNNKSMSSNTCLLERLEIRCCPSLIWLSSRGDICNRLQRLNIYRCSKLSRLFLNAKLPVMLKQLLIWDCPVLECIAQDFLETTDLEIIRINGAGKIKSLSRGLDKLSHLQEIQLCWCPNLVSFEESGLPTTNLRVFAIEDCENFGVLPKWINNFTSLRELKVSYCSVDFFPEEGFPANLTSLAISNAPKIYTSLVQWGFNRLTSLQKLKINGEGCSNVVSFPEEGIGMTLPPSLTSISIDNFENLEFMCSKGFQYLTSLQKLRVDDCPKLKSLPEKDMLLSLERLSIHDCPLLEKGCSRGKGREWSKIAHIPFAQIDGEIVNPRELH >KJB42498 pep chromosome:Graimondii2_0_v6:7:13369628:13374672:-1 gene:B456_007G155600 transcript:KJB42498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTMTFRWFFVFSVSIAVAAIDDDLIPSTLDGPFEPVTVPYDVSLRGNAVDLPDTDPRVRRCVEGFEPEQISVSLSVNYDSVWISWITGEFQIGNNIKPLNPNTVASVVRYGRSRVPLTDEASGYSLVYNQLYPFEGLQNYTSGIIHHVRLTGLKPSTLYYYRCGDPSISAMSGIYHFRAMPVSGPRSYPNRIAVVGDLGLTYNTTDTIHNLKSNKPDLVLLVGDVSYANLYRTNGTGSDCYDCSFPETPIHETYQPRWDYWGRFMQSLISRTPIMVIEGNHEIEEQAGKQSFVAYRSRFAFPSEESKSSSPFYYSFNAGGIHFIMLGAYVDYSKSAKQYKWLERDLANVDRSMTPWLVAAWHPPWYNTYTAHYKEAECMRLEMEELLYSYGVDIVFNGHVHAYERSNRVYNYTLDPCGPVHITVGDGGNREKMAIEHADEAANCPEPSSTHDEDMGGFCATNFTSGPAAGKFCWDQQPDYSAFRESSFGHGILEVKNETWALWTWYRNQDSSGKVGDQVYIVRQPELCLGSIKLPKSSFADS >KJB42500 pep chromosome:Graimondii2_0_v6:7:13369619:13374746:-1 gene:B456_007G155600 transcript:KJB42500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YHISDHPIKRKIYSFLHILAISLAGKSKMFLTMTFRWFFVFSVSIAVAAIDDDLIPSTLDGPFEPVTVPYDVSLRGNAVDLPDTDPRVRRCVEGFEPEQISVSLSVNYDSVWISWITGEFQIGNNIKPLNPNTVASVVRYGRSRVPLTDEASGYSLVYNQLYPFEGLQNYTSGIIHHVRLTGLKPSTLYYYRCGDPSISAMSGIYHFRAMPVSGPRSYPNRIAVVGDLGLTYNTTDTIHNLKSNKPDLVLLVGDVSYANLYRTNGTGSDCYDCSFPETPIHETYQPRWDYWGRFMQSLISRTPIMVIEGNHEIEEQAGKQSFVAYRSRFAFPSEESKSSSPFYYSFNAGGIHFIMLGAYVDYSKSAKQYKWLERDLANVDRSMTPWLVAAWHPPWYNTYTAHYKEAECMRLEMEELLYSYGVDIVFNGHVHAYERSNRVYNYTLDPCGPVHITVGDGGNREKMAIEHADEAANCPEPSSTHDEDMGGFCATNFTSGPAAGKFCWDQQPDYSAFRESSFGHGILEVKNETWALWTWYRNQDSSGKVGDQVYIVRQPELCLGSIKLPKSSFADS >KJB42501 pep chromosome:Graimondii2_0_v6:7:13371458:13374672:-1 gene:B456_007G155600 transcript:KJB42501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YHISDHPIKRKIYSFLHILAISLAGKSKMFLTMTFRWFFVFSVSIAVAAIDDDLIPSTLDGPFEPVTVPYDVSLRGNAVDLPDTDPRVRRCVEGFEPEQISVSLSVNYDSVWISWITGEFQIGNNIKPLNPNTVASVVRYGRSRVPLTDEASGYSLVYNQLYPFEGLQNYTSGIIHHVRLTGLKPSTLYYYRCGDPSISAMSGIYHFRAMPVSGPRSYPNRIAVVGDLGLTYNTTDTIHNLKSNKPDLVLLVGDVSYANLYRTNGTGSDCYDCSFPETPIHETYQPRWDYWGRFMQSLISRTPIMVIEGNHEIEEQAGKQSFVAYRSRFAFPSEESKSSSPFYYSFNAGGIHFIMLGAYVDYSKSAKQYKWLERDLANVDRSMTPWLVAAWHPPWYNTYTAHYKEAECMRLEMEELLYSYGVDIVFNGHVSHENQLWYLGNMVSRLKQ >KJB42499 pep chromosome:Graimondii2_0_v6:7:13369628:13374672:-1 gene:B456_007G155600 transcript:KJB42499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YHISDHPIKRKIYSFLHILAISLAGKSKMFLTMTFRWFFVFSVSIAVAAIDDDLIPSTLDGPFEPVTVPYDVSLRGNAVDLPDTDPRVRRCVEGFEPEQISVSLSVNYDSVWISWITGEFQIGNNIKPLNPNTVASVVRYGRSRVPLTDEASGYSLVYNQLYPFEGLQNYTSGIIHHVRLTGLKPSTLYYYRCGDPSISAMSGIYHFRAMPVSGPRSYPNRIAVVGDLGLTYNTTDTIHNLKSNKPDLVLLVGDVSYANLYRTNGTGSDCYDCSFPETPIHETYQPRWDYWGRFMQSLISRTPIMVIEGNHEIEEQAGKQSFVAYRSRFAFPSEESKSSSPFYYSFNAGGIHFIMLGAYVDYSKSGSICP >KJB42502 pep chromosome:Graimondii2_0_v6:7:13371644:13374672:-1 gene:B456_007G155600 transcript:KJB42502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YHISDHPIKRKIYSFLHILAISLAGKSKMFLTMTFRWFFVFSVSIAVAAIDDDLIPSTLDGPFEPVTVPYDVSLRGNAVDLPDTDPRVRRCVEGFEPEQISVSLSVNYDSVWISWITGEFQIGNNIKPLNPNTVASVVRYGRSRVPLTDEASGYSLVYNQLYPFEGLQNYTSGIIHHVRLTGLKPSTLYYYRCGDPSISAMSGIYHFRAMPVSGPRSYPNRIAVVGDLGLTYNTTDTIHNLKSNKPDLVLLVGDVSYANLYRTNGTGSDCYDCSFPETPIHETYQPRWDYWGRFMQSLISRTPIMVIEGNHEIEEQAGKQSFVAYRSRFAFPSEESKSSSPFYYSFNAGGIHFIMLGAYVDYSKSAKQYKWLERDLANVDRSMTPWLVAAWHPPWYNTYTAHYKEAECMRLEMEELLYSYGVDIVFNGHVSHENQLWYLGNMVSRLKQ >KJB44735 pep chromosome:Graimondii2_0_v6:7:45123588:45124095:-1 gene:B456_007G269000 transcript:KJB44735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVNANLKTINKIFCVVGNQKFKRISKCTTRKEAWLILETSHEGTNTMKQLKLQILTTKFKTYRILGNETISDFYARMCDMSNQSFDLENEYLNSKLVRKVF >KJB41849 pep chromosome:Graimondii2_0_v6:7:9933202:9935746:-1 gene:B456_007G124400 transcript:KJB41849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVKNPDNNIASPETGTATHHSSSASYNDGDCTETPRFSEDFDSTCSTPYVSAPSSPGRGPTSGYFFSAPASPMHFILSSSPSPTYHLKSESLAISGQSDASSFEFEFSSRFSSNGSVTVGSMSSADELFLNGQIRPMKLSSHLQRPQNLAPLLDLDVEEDDDGLNKPEASRGRDLKLRSRSLHRKARSLSPLRNPEFQWEEEEEECIREERKEVSKLILEGLKETDVSKETTPSSSASSSRSSSSGRNSKKWIFLKDLLYRSKSEGRGNGKEKFWTSISFSSSKEKKIQMEHEKPPPLKRKQKENKQGAGKKVSSGKPANGVAKRRIPPSPHELHYTTNRAQAEEMKKRTYLPYRQGLFGCLSFSSKSYGALNGLARSLNPVSSR >KJB42789 pep chromosome:Graimondii2_0_v6:7:15009883:15011073:-1 gene:B456_007G168100 transcript:KJB42789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIPQELALDILSRLPIPSLVQSKSVCQDWSTLTHDQLLVNKHFKQMVENDPSFILQIMGYSIQNQLYFGDLSSDPNDGNVTMIAKKLTIPPLLNFHLVSSCNGLLLLRATHPSFELCIYNPFTRDYIELPKLSRHDGVRGFGFDPTTKKYKVVEISYKRTTPFTFSHRVVRFGMRRSHQTETSSSSLIESEVHILTVGSPTWRNLGCFPFRFMWQKSQVLVNRKLHWRTTTNLIMSFDLATEQFKEVPRPDVITSNSDRRFHELVILRGCLSAVSFDGNNQELEIWVMKEYAVKDSWVKQFNIGAYVPKIFQSNYQCHSSSNSRFYLPKNCIQVLCLLRNGGILLQYGNKAIVFYDSHCRTFQDIQVTFEGISSCFTAVAHVASLNSTDTFVDA >KJB41755 pep chromosome:Graimondii2_0_v6:7:9433502:9436218:1 gene:B456_007G120000 transcript:KJB41755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSRLSLSIFCMSFVCFFLSAQGRWHHHHTKHKHHTHHHKISEISVPPFPAPEPSSPFAPEPASGPFAPEPSSPSAPHPTVPFAPEPSSPSNDGNANISTGVFDVRNFGAVGDGVTDDTEAFKMAWDSACQVNASVLHVPSGFSFMIQSTIFTGPCQGGLVFQVDGTLMPPDGPEDWPKNSSKRQWLVFYRINGMSLQGGGLIDGRGQKWWDLPCKPHKGINRTTLSGPCDSPIAIRFFMSSNLTVQGLKVKDSPQFHFRFDGCKNVHVESLHITAPALSPNTDGIHIENTNGVEIYNSVISNGDDCISIGSGCYDVDIKNITCGPGHGISIGSLGNHNSRACVSNITVRDSVIKVSDNGVRIKTWQGGSGAVSGITFSNIHMESVRNPIIIDQFYCLTKGCANQTSAVYVSDILYESIKGTYDIRSPPMHLACSDSVPCTNITLSDIELLPAQGDIVLDPFCWNAYGDMETLTIPPVSCLMEGIPRRILDNNDMGYCG >KJB42946 pep chromosome:Graimondii2_0_v6:7:16390481:16393431:-1 gene:B456_007G1761001 transcript:KJB42946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYPLWSLLTFFVSKKTAPQLQGSELPEDICRQFSLAEIEAATNNFHPDSIIGIGRYGSLYKGTIDDGTVVAVRRCRSSLRAVRELQNEVRLLCQLRHPHLVSLIGFCLVENELFAVLEYMSRGSLADFLFGIGKDYVPLSWKHRLHLCIGAARGLHYLHTGAKHAVIHRHINSSNILLDEEWCCKLSDFGLSKLGPRSMSKVLVQQQNQADSATLLGLHGLYGIECWLHADQLQHSSLVSMEHFVLAQLGLLIQDPIALLNSAVAHQKTRSSPFRQFVPFNS >KJB41913 pep chromosome:Graimondii2_0_v6:7:10199006:10205695:1 gene:B456_007G127700 transcript:KJB41913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFNSKFYILLLKFNQQLLERIEQISHEFSLIVFISQKRLQRRGEMLHELLLALVGYTGDLIIDEREHHKSLGICLSPDAPISEQRSFKLASDISFIDPSERDLIEKLITLGFYYRELDRFATKSRNLSWIRAADVSPLDRASELSNPKSGKPSVYRRAIANGLVEILSVYKSAVLQLEQKLLSETMPILATVTQGLNKFFVILPPLYELILEIERDDIRGGQLLNLLHKRCHCGVPELQACIQRLLWHGHQVLYNQLSSWMIYGILQDQHGEFFIRRQEDRDVEYGLSISDTSEKLARLSTVDTSLTDWHLGFHIFLDMLPEYIHMRVAESILFAGKAIRVLRNPSPAIQFQDALSNQQTKKGSQKFHGSAVGVPFQKEVFLDVKTIEEELLPQSVADKIETMLLDLKESSEFHKRSFECSVDSIRAIAASHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLAAIKTIGEEDKYFSRVSLQMPSFGITVKSSQRDIPKTKAYTDGSSETSVGGWDGIALEYSVDWPLQLFFTQEVLSKYRRIFQYLLRLKRTQMELEKSWASVMHQEHTYFAKHRKDQMNCSISQPPQQCFRPMWRVREHMAFLIRNLQFYIQVDVIESQWNVLQSHIQDSRDFTELVGFHQEYLSALISQSFLDIGSVSRILDSIMTLCLQFCWNIENQESSQNTSELERITEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRMNFNSFFEATARGVLNVVRPRPSLPVLNQQ >KJB41914 pep chromosome:Graimondii2_0_v6:7:10198987:10205716:1 gene:B456_007G127700 transcript:KJB41914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFNSKFYILLLKFNQQLLERIEQISHEFSLIVFISQKRLQRRGEMLHELLLALVGYTGDLIIDEREHHKSLGICLSPDAPISEQRSFKLASDISFIDPSERDLIEKLITLGFYYRELDRFATKSRNLSWIRAADVSPLDRASELSNPKSGKPSVYRRAIANGLVEILSVYKSAVLQLEQKLLSETMPILATVTQGLNKFFVILPPLYELILEIERDDIRGGQLLNLLHKRCHCGVPELQACIQRLLWHGHQVLYNQLSSWMIYGILQDQHGEFFIRRQEDRDVEYGLSISDTSEKLARLSTVDTSLTDWHLGFHIFLDMLPEYIHMRVAESILFAGKAIRVLRNPSPAIQFQDALSNQQTKKGSQKFHGSAVGVPFQKEVFLDVKTIEEELLPQSVADKIETMLLDLKESSEFHKRSFECSVDSIRAIAASHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLAAIKTIGEEDKYFSRVSLQMPSFGITVKSSQRDIPKTKAYTDGSSETSVGGWDGIALEYSVDWPLQLFFTQEVLSKYRRIFQYLLRLKRTQMELEKSWASVMHQEHTYFAKHRKDQMNCSISQPPQQCFRPMWRVREHMAFLIRNLQFYIQVDVIESQWNVLQSHIQDSRDFTELVGFHQEYLSALISQSFLDIGSVSRILDSIMTLCLQFCWNIENQESSQNTSELERITEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRMNFNSFFEATARGVLNVVRPRPSLPVLNQQ >KJB41912 pep chromosome:Graimondii2_0_v6:7:10199006:10205695:1 gene:B456_007G127700 transcript:KJB41912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHELLLALVGYTGDLIIDEREHHKSLGICLSPDAPISEQRSFKLASDISFIDPSERDLIEKLITLGFYYRELDRFATKSRNLSWIRAADVSPLDRASELSNPKSGKPSVYRRAIANGLVEILSVYKSAVLQLEQKLLSETMPILATVTQGLNKFFVILPPLYELILEIERDDIRGGQLLNLLHKRCHCGVPELQACIQRLLWHGHQVLYNQLSSWMIYGILQDQHGEFFIRRQEDRDVEYGLSISDTSEKLARLSTVDTSLTDWHLGFHIFLDMLPEYIHMRVAESILFAGKAIRVLRNPSPAIQFQDALSNQQTKKGSQKFHGSAVGVPFQKEVFLDVKTIEEELLPQSVADKIETMLLDLKESSEFHKRSFECSVDSIRAIAASHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLAAIKTIGEEDKYFSRVSLQMPSFGITVKSSQRDIPKTKAYTDGSSETSVGGWDGIALEYSVDWPLQLFFTQEVLSKYRRIFQYLLRLKRTQMELEKSWASVMHQEHTYFAKHRKDQMNCSISQPPQQCFRPMWRVREHMAFLIRNLQFYIQVDVIESQWNVLQSHIQDSRDFTELVGFHQEYLSALISQSFLDIGSVSRILDSIMTLCLQFCWNIENQESSQNTSELERITEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRMNFNSFFEATARGVLNVVRPRPSLPVLNQQ >KJB43595 pep chromosome:Graimondii2_0_v6:7:21994575:21995184:-1 gene:B456_007G208900 transcript:KJB43595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDLDISDNQIRVLPDSFRLLSKLRVFRANETPLEVPSREVIKLGAQVVVEFMSDLIAKRDTKAAPLKKEKGFWFRICSICWPFRTANTDDNM >KJB40317 pep chromosome:Graimondii2_0_v6:7:4080530:4084362:-1 gene:B456_007G057300 transcript:KJB40317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METENQNPFQLDVINLLAFNPFHNFPSLPTSRLYVRGTILGYKSFAGGMRVYICEHNTSPPGNCKLQLILDFQYVLRETYSLLLS >KJB40316 pep chromosome:Graimondii2_0_v6:7:4080510:4084362:-1 gene:B456_007G057300 transcript:KJB40316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METENQNPFQLDVINLLAFNPFHNFPSLPTSRLYVRGTILGYKSFAGGMRVYICEHNTSPPESQHIKTDQQNILIRSLMLNNNKGGSSSKDVKAAAEGPRKRH >KJB40707 pep chromosome:Graimondii2_0_v6:7:5265220:5267956:1 gene:B456_007G074300 transcript:KJB40707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIAVKLYSVFFKFLLKHRLQNRIQTHVDESSNQYGVTTRPEESVSAANPSFTDGIATKDIHIDPSTALSVRIFLPESSLSPPEPKSKPGSSQQDESDSLNHRRNSYPNIGARTNDSRRSSLEGSKLRSDNNVYRGYSPLPQNCRRLPIMLQFHGGGWVSGSNDSVANDIFCRRIAKLCDVIIVAVGYRLAPENKYPAAFEDGLKVLYWLAKQANLAECSKSMGSGALGVGAEFTKTQVQRHLVDAFGASMVEPWLAAHGDPSRCVLLGVSCGANIADYVARKAVEAGRLLDPVKVVAQVLMYPFFIGNVPTRSEINLANSYFYDKPMCLLAWKLFLPEEEFRLDHPAANPLVPDRGPPLKFMPPTLTVVAEHDWMRDRAIAYSEALRKVNVDAPVLEYKDAVHEFATLDMLLNTPQAQACAEDIAIWVKKYISLRGHEFSY >KJB40706 pep chromosome:Graimondii2_0_v6:7:5265196:5268054:1 gene:B456_007G074300 transcript:KJB40706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIAVKLYSVFFKFLLKHRLQNRIQTHVDESSNQYGVTTRPEESVSAANPSFTDGIATKDIHIDPSTALSVRIFLPESSLSPPEPKSKPGSSQQDESDSLNHRRNSYPNIGARTNDSRRSSLEGSKLRSDNNVYRGYSPLPQNCRRLPIMLQFHGGGWVSGSNDSVANDIFCRRIAKLCDVIIVAVGYRLAPENKYPAAFEDGLKVLYWLAKQANLAECSKSMGSGALGVGAEFTKTQVQRHLVDAFGASMVEPWLAAHGDPSRCVLLGVSCGANIADYVARKAVEAGRLLDPVKVVAQVLMYPFFIGNVPTRSEINLANSYFYDKPMCLLAWKLFLPEEEFRLDHPAANPLVPDRGPPLKFMPPTLTVVAEHDWMRDRAIAYSEALRKAQACAEDIAIWVKKYISLRGHEFSY >KJB40307 pep chromosome:Graimondii2_0_v6:7:4292073:4295174:-1 gene:B456_007G060700 transcript:KJB40307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIAYDVANRQSFLNTSKWIEEVRTERGTDVIIVLVGNKTDLVDKRQVSIEEGDSKARELGVMFIETSAKAGFNIKPLFRKIAAALPGMGSLSSTKQDDMVDVNLKSSGNSYQNPDQQGGGCAC >KJB40308 pep chromosome:Graimondii2_0_v6:7:4292073:4295203:-1 gene:B456_007G060700 transcript:KJB40308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIAYDVANRQSFLNTSKWIEEVRTERGTDVIIVLVGNKTDLVDKRQVSIEEGDSKARELGVMFIETSAKAGFNIKPLFRKIAAALPGMGSLSSTKQDDMVDVNLKSSGNSYQNPDQQGGGCAC >KJB40309 pep chromosome:Graimondii2_0_v6:7:4292066:4295264:-1 gene:B456_007G060700 transcript:KJB40309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIAYDVANRQSFLNTSKWIEEVRTERGTDVIIVLVGNKTDLVDKRQVSIEEGDSKARELGVMFIETSAKAGFNIKPLFRKIAAALPGMGSLSSTKQDDMVDVNLKSSGNSYQNPDQQGGGCAC >KJB40310 pep chromosome:Graimondii2_0_v6:7:4292853:4295057:-1 gene:B456_007G060700 transcript:KJB40310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIAYDVANRQSFLNTSKWIEEVRTERGTDVIIVLVGNKTDLVDKRQVSIEEGDSKARELGVMFIETSAKAGFNIKFENE >KJB42884 pep chromosome:Graimondii2_0_v6:7:15817865:15818716:-1 gene:B456_007G172300 transcript:KJB42884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYSLPNLIVLLLNLGALLASFACPLCPRPTPPPNCPPTFPPKHPPIVKPPFHPKPPKHPPHHPPNPPVVKPPHVPKPPVVNPPPPHYPKPPVISPPPKPPVYPSPPIVKPPAPKPPVYPSPPIVKPPVPKPPVYPSPPIVKPPVPKPPVYPSPPIVKPPTPTPPVYPSPPVVKPPPVETPCPPPPPVLYPPPPAQQTCPIDTLKLGACVDVLGGLVHIGIGSSAKDTCCPVLQGLLDLDAAICLCTTIKAKLLNINIIIPIGLQVLIDCGKTPPPGFQCPA >KJB45755 pep chromosome:Graimondii2_0_v6:7:54529488:54532361:1 gene:B456_007G325700 transcript:KJB45755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMANFISSSTTSTMMKDSNHQPSWADEFLDFSSMRRGAKRRSMSDFIAFLDQPLEEENRAGNAMMMEPNMFDRLDDEQLKSMFSDDVSLTLPATTMGSSSSTPLMSDQNSNNDEKPTPGEVESSYKLNETQASEPPSTSNGGDPITDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSTLSPRVAFLDHQRVVLTVENSALKQRIAALAQDKIFKDAHQEALKKEIERLTQVYQQQQSLKNMNDNHPPPPPQQQQPLLPPPPNEGQLIS >KJB45965 pep chromosome:Graimondii2_0_v6:7:56614845:56619871:1 gene:B456_007G340800 transcript:KJB45965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERITYNGQKKRIIIIAVCSVILVGIVVTVSIGFTEKSSKKESTNTKKNYQISDSLKAIKSICQPTDFKQTCEEQLRKEAGNSTDVKELMQAAFKAGVNFATQAADNSATLREVEQDPKSKMALENCKNLLTFSIYEFQKSISKINELDAVVFNKIYGDLKIWLSATITNQQTCLDGFQNTMTVTGGKMEKVLNMSMKLSRIGLAIVGELSDAFNQLELQGISRRLLEERETHVLGHSYWPSMMDKNPWKHHLVKEKEAYVLGHANWFTMLDENNPLERRLLQEKEPPVLDRADRSSMLDEISRKHRLLQEKEPPLLGHIDWSSMIENRRFLQEKEPPVAGHEEPSEMLNKRSLLQEKEPPVAGHKEPSEMLDQLPLLQGKEPHVPGDEEPSEMLDKQGRNKENELHVAGHEEPSEVLDKRRRLQENELHVDGHEEPSEILDQQRQLQENELHVAGNELDKRSRLQENKLRVSGHEEPSEMLDKRRRLLENELQVDGHEQPVEMLDKWLRLQEHVNGHEEPSKMLNKRGRIQDKELQVDGHQEPSEMLDKRRQLKEHIDGHEEPWQMLDKRRQLQEKKLQVDGHEEPSEMLDKRRRLKEYIDGHEGLSKKLDKIRRLQEKELQVDGHEEPSEMLDKRRRLKEHIDGHEEPLEMLDKRRQLEENVDGHREPSKMLDKRHRLQENELQVDGHEEPSEMLDKRRRLQENVHGHEEPSEMLDKRLRLQEIELRVARHRELFEMLDKRRRLQKTKLHVVRHEEPSKMLENELHVAGHEEPSEMLDKRHRLRENGLHVSGHEEPSEMLDKRRRLQEVEHPIFGHEEPSKGLDNLRLLQEKESTVAGHEGPSEMPDKPLLLQEKEPPVAGHEEPSEILDKQHLLQGKQSPGFGHKEPPELLDKRHVLQEKEPHILEHADWSYMVKNDPRKHRIIGNDPSNLRPNIVVAKDGSGNFNTIKDAMSCIPLNAQKHFVIYIKEGTYEENLIFSYNMTNVALIGDGKEKTRITGHLNAADGINTFNTATVAVNGDFFFAKNIGFENTAGAIKLQAVALMVISDFAVLYNCSIDGYQYTLYVHSKRQFYRDCTISGTIDFVFGDAAAVFQNCKFVVRKPLKGQQNVITAQGRSDERQPTGIVIQNSTIVADDELVPFKIEHPTFLGRPWGNFSRTIIMETFIDDLVKHEGWAVWDGYWGLTTSYYSEYNNHGPGSATTHRVSWPAIKKLSPMTALGFTPARFFFGDDWIKPKGVPYTSGFSNISNEGYTMKSSI >KJB45412 pep chromosome:Graimondii2_0_v6:7:51862440:51863602:1 gene:B456_007G304800 transcript:KJB45412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTQLPTITLFKSRWSTLLVSFPLLFFFLGGGCYQSLEYQIKPVSPPSYLVTAAATMAAPTSSNLKCFKFIFIAIFCCNVNSGNSQNFPAQSLAKAFFCFNNKYIYTGCDEAYRLNESGNLNVPREATDIFCNGPCFAETQLVLKCVDNILSDFIFYNKATIGDVTNVLHAGCSYTNRRGNFDVGDYFQGEISEAPRLRSFIISLSTLTLIIGSFMF >KJB42836 pep chromosome:Graimondii2_0_v6:7:15271240:15273708:-1 gene:B456_007G169600 transcript:KJB42836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNLKVIGEHTNHIFKQNTQITQLGKSGQIHEAVKLFSEMTRKNTVTYNSMISALNKNGKFNDARHLFDNMPRRNLVSWNTMIAGYLHSDRIDEAYRLFVKMPKRDRFSWTLMISYFTRKGELEKARELFDLFPHKRDVAFWNVMIRGYGEKGRIVEARRLFDEMPLRVVVSWNLMLSCYTRNGEMRLGREFFEGMALRDVVSWNLMVDGFIEVGDLDSAWEYFEKTPYPNVVSWVTMLCGLARSGKILEARRLFDQMQNKNVVSWNAMISAYVKDYQIEEAARLFSDMANRDSFSWTTMIDGYVHVGRLDKARELLNQMPYKDIAAQTAMLSGYIKNKRMDEACQVFNEIAARDIVCWNTMIVGYSQMGRMDKALDLFKEMKDKDLVTWNTMIIGYAQIGEMEEAVKIFNGMKIKNVVSWNSLITGFLQNGLSMDALTSFKLMVHEGITPDHSTFACSLSACANLAALQVGKQMHNKVLKSGHLNDLFVGNALITMYAKCGRILCAQLIFDDLDEVDVISWNSLITGYALNGYGKQAVQLFEQMVSKGRAGMLSEAFEVVRGLKIRANAGIWGALLAACKTRGNLKLGKIAAEQLSEFEPRKTSNFVLLANMQAEAGSWDEVENTRLSMKYTEAEKQPGCSWIEVRHQLHCFQSNMPMQPETAEVYSNLKTLTSQISNLDCVSKSSLLDNL >KJB40049 pep chromosome:Graimondii2_0_v6:7:3076212:3077096:-1 gene:B456_007G044300 transcript:KJB40049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVPPSDGQVNAGGGTDPLASSETIGTKRQRRPSVRLGDIGGDQAYDSHVRRQSSSAAASVAKQWKHQSRHHSVNPSVAAASIKLSKTRALTNLNTDFNAKSQTLDDVREANNDTNNLDGVAVSSWRVKDSKKRGSASKRVRSNWVSNSNVDAEEKYSGGEDNDDFDMENSESPLKEQSHVHSLDNLGIDGKERQVLYHENNRRRPIRTRVSDRTEFSGPSDTNLRRCEEDSVRTWLDTLGLGRYAPIFEIHEVDDEILPLLTLEDLKDMGITAVGSRRKLFCAIQKLGKGFS >KJB43164 pep chromosome:Graimondii2_0_v6:7:18027424:18031702:-1 gene:B456_007G187400 transcript:KJB43164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSEEEKIVIVGGGICGLATALALHRKGLESIVLEKSEKLRATGACIIMQPNGWRALHQLGIASKLRQTALPIQSGHYITVKDGKHTGLPVGNGGECRCLRRSDLMKTLAEELPPNTVRLGCKVVSIKVDANTSAYSILHLHDGTMLMPRVIIGCDGVNSVVASIVGLNSSRHFSTCAIRGVTHYQTLHPFGTAFYLFDKDGVRLGLLPINHNDVYWFLTRKLTSTDSMVSKDQRLIKESTVEAIKGFPNHITEMINNSDVDSLHLTDQMRYRAPWDLLRTKFCKGTVTLAGDALHAMAPFLAQGGSASLEDAVVLARCLSQNMGISLDPTGMKAARAALDQYAKERKMRVFWLSLETFVVGTMHDTSALLVELRSWIILTDQ >KJB43165 pep chromosome:Graimondii2_0_v6:7:18028183:18031778:-1 gene:B456_007G187400 transcript:KJB43165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSEEEKIVIVGGGICGLATALALHRKGLESIVLEKSEKLRATGACIIMQPNGWRALHQLGIASKLRQTALPIQSGHYITVKDGKHTGLPVGNGGECRCLRRSDLMKTLAEELPPNTVRLGCKVVSIKVDANTSAYSILHLHDGTMLMPRVIIGCDGVNSVVASIVGLNSSRHFSTCAIRGVTHYQTLHPFGTAFYLFDKDGVRLGLLPINHNDVYWFLTRKLTSTDSMVSKDQRLIKESTVEAIKGFPNHITEMINNSDVDSLHLTDQMRYRAPWDLLRTKFCKGTVTLAGDALHAMAPFLAQGGSASLEDAVVLARCLSQNMGISLDPTGMKAARAALDQYAKERKMRVFWLSLETFVVGTMHDTSALLVRGLCIITLIILFRDKIANTRYDCGRL >KJB45361 pep chromosome:Graimondii2_0_v6:7:51596519:51597671:-1 gene:B456_007G302500 transcript:KJB45361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEDCLSIILSFTSPEDALRASLVSSCFRLASDSDLVWERFLPSDYAEIVSNSVTPLMFCSKKELFQCLSDSVLIDGGNKLEKSSGKKCYILSAKELSITWSSNPLYWSWISMAESRFCRVAVLRTTDWLEIGGKIRTKMLTPNTTYGAYLIMKISERAYGLDLMASEITLEVGNQVCSSNVFLKHGEGSKEMGNLGHKKEGSVREREDGWMEVELGEFYSGEKDEQVKMSLMEVKGCHLKGGLLIEGIEFRPKH >KJB45362 pep chromosome:Graimondii2_0_v6:7:51596601:51597532:-1 gene:B456_007G302500 transcript:KJB45362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEDCLSIILSFTSPEDALRASLVSSCFRLASDSDLVWERFLPSDYAEIVSNSVTPLMFCSKKELFQCLSDSVLIDGGNKVFKLEKSSGKKCYILSAKELSITWSSNPLYWSWISMAESRFCRVAVLRTTDWLEIGGKIRTKMLTPNTTYGAYLIMKISERAYGLDLMASEITLEVGNQVCSSNVFLKHGEGSKEMGNLGHKKEGSVREREDGWMEVELGEFYSGEKDEQVKMSLMEVKGCHLKGGLLIEGIEFRPKH >KJB45903 pep chromosome:Graimondii2_0_v6:7:56115718:56116427:1 gene:B456_007G336400 transcript:KJB45903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINLPLFLFLSISFNYMGHRNLVSADDTLIKTQCHNAKVPKACIQCVKSDPHSQSADKVGIATIVITCISNKAVTLESNMTVLASSVHDKKLKLVLQDCQKEFSDAKTNLTTAMDRLKNKDYNQTNYLVNHALQEEFYCKNNVGDLQYTLPTTILNDMTLYEELSEAAMRIIDRFLWV >KJB40134 pep chromosome:Graimondii2_0_v6:7:3353304:3354707:-1 gene:B456_007G048100 transcript:KJB40134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTAANQVRASHILIKHQGSRRKASWKDPEGRVISTTTRDAAVSQLKLLRGDIVSNKAKFDEVASRYSDCSSAKRGGDLGESVPQLNSYC >KJB40135 pep chromosome:Graimondii2_0_v6:7:3352853:3354861:-1 gene:B456_007G048100 transcript:KJB40135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTAANQVRASHILIKHQGSRRKASWKDPEGRVISTTTRDAAVSQLKLLRGDIVSNKAKFDEVASRYSDCSSAKRGGDLGPFGRGQMQKPFEDATYNLNIGEISDIVDTDSGVHIIMRTG >KJB42331 pep chromosome:Graimondii2_0_v6:7:12583265:12586554:1 gene:B456_007G148600 transcript:KJB42331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVMIMKTMMMMMMIRKMVLELWLVLYLVRSFLSRSRLRKTSLRRWKEKLLGCVEEDLNGQMEPEVKFHSIGIISNDLEEVSYPLPLDLNRAGLVLFTLKEGSRYQLKLTFSVLHNIVSGLTYSNTVWKAGLKVDQNKGMLGTFAPQREPYVHVLDEETTPSGVLARGIYSANLKFEDDDRRCHMELKYAFEIKKNS >KJB42330 pep chromosome:Graimondii2_0_v6:7:12583155:12586562:1 gene:B456_007G148600 transcript:KJB42330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGKRAEAGPSAGVSEDKQQREEREMSEKQLADEVCDDHEDDDDDDDDKEDGAGALAGFVPGPLLSLKEQIEKDKDDDSLRRWKEKLLGCVEEDLNGQMEPEVKFHSIGIISNDLEEVSYPLPLDLNRAGLVLFTLKEGSRYQLKLTFSVLHNIVSGLTYSNTVWKAGLKVDQNKGMLGTFAPQREPYVHVLDEETTPSGVLARGIYSANLKFEDDDRRCHMELKYAFEIKKNS >KJB42332 pep chromosome:Graimondii2_0_v6:7:12583265:12586554:1 gene:B456_007G148600 transcript:KJB42332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGKRAEAGPSAGVSEDKQQREEREMSEKQLADEVCDDHEDDDDDDDDKEDGAGALAGFVPGPLLSLKEQIEKDKDDDSLRRWKEKLLGCVEEDLNGIISNDLEEVSYPLPLDLNRAGLVLFTLKEGSRYQLKLTFSVLHNIVSGLTYSNTVWKAGLKVDQNKGMLGTFAPQREPYVHVLDEETTPSGVLARGIYSANLKFEDDDRRCHMELKYAFEIKKNS >KJB43710 pep chromosome:Graimondii2_0_v6:7:23297503:23300241:-1 gene:B456_007G213000 transcript:KJB43710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEKTGHPDSNQLIPQGLLSLTHSPPAPHHLISHLTITLTSSHPQFIPNTHTPPIELPTPAGEVPRDLLGAAISMSLPHHAPPPPPPEKAVQVLESPPPTMTMTPSQLPALYKHRSLSPDIFRDEAWLRRKGNSKNRGSKSVTDEDLDELKACIELGFGFEFDSPEVDQRLSDTLPALGLYYAVNKNYNDIVSKSFSVASDCDSIPSPIGSPNSIFGPGDNPQTVKIRLRQWAQVVACSKAVSMTWVRKLRHALCQK >KJB43712 pep chromosome:Graimondii2_0_v6:7:23299344:23300131:-1 gene:B456_007G213000 transcript:KJB43712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLSLTHSPPAPHHLISHLTITLTSSHPQFIPNTHTPPIELPTPAGEVPRDLLGAAISMSLPHHAPPPPPPEKAVQVLESPPPTMTMTPSQLPALYKHRSLSPDIFRDEAWLRRKGNSKNRGSKSVTDEDLDELKACIELGFGFEFDSPEVDQRLSDTLPALGLYYAVNKNYNDIVSKSFSVASDCDSIPSPIGSPNSIFGPGDNPQTVKIRLRQWAQVVACSVRQCS >KJB43711 pep chromosome:Graimondii2_0_v6:7:23298500:23300131:-1 gene:B456_007G213000 transcript:KJB43711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPHHAPPPPPPEKAVQVLESPPPTMTMTPSQLPALYKHRSLSPDIFRDEAWLRRKGNSKNRGSKSVTDEDLDELKACIELGFGFEFDSPEVDQRLSDTLPALGLYYAVNKNYNDIVSKSFSVASDCDSIPSPIGSPNSIFGPGDNPQTVKIRLRQWAQVVACSAILDCCLKYGVKRYSQVFEKLAYFLNNGNEDFCFEYSSRACFGWWQTD >KJB43713 pep chromosome:Graimondii2_0_v6:7:23298936:23300131:-1 gene:B456_007G213000 transcript:KJB43713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLSLTHSPPAPHHLISHLTITLTSSHPQFIPNTHTPPIELPTPAGEVPRDLLGAAISMSLPHHAPPPPPPEKAVQVLESPPPTMTMTPSQLPALYKHRSLSPDIFRDEAWLRRKGNSKNRGSKSVTDEDLDELKACIELGFGFEFDSPEVDQRLSDTLPALGLYYAVNKNYNDIVSKSFSVASDCDSIPSPIGSPNSIFGPDGEDKAETMGAGGCLFGEAMLMMDIRICCVI >KJB44277 pep chromosome:Graimondii2_0_v6:7:36209494:36210423:1 gene:B456_007G243500 transcript:KJB44277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFNSFPSIFVPLLGLVYPAIAMASLSLIAGLFISSYLWCTIFWNVSNGYDQFDRKEGIVCIFCWGFPGKNRHIFLRFLIKDIQSIRIEVKEGIYAHRVLYMEIRG >KJB44675 pep chromosome:Graimondii2_0_v6:7:43918663:43919114:1 gene:B456_007G264800 transcript:KJB44675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVRAFVKQATVVSFPPKKINLISCGPLAHVSDIKLIRTDTTLDLSQKAEKGMLVPSQARSFYKKSPASYCHPSLMWEK >KJB45945 pep chromosome:Graimondii2_0_v6:7:56484578:56487163:-1 gene:B456_007G339600 transcript:KJB45945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGIGVVCLNLTGHVHQLHLAAPLSPYEQSALGGKINPSLLELNHLSTLDLSNNNFSSIHIPKLFGLLESLTYLNLSRAQFQGAIPHNLGNLSKLQYLDLRGNDLISESLQWVSGLSSLQYLDLSYANLSKATDWLQVTFKHPSLLELHLSACILEDDPHLISVNSSKSLAVLDLSWNSLSSVPKSIFSLHGLVSIDLRFNSLDGRIPDYFGNISFLEVLDLHQNSLNSSIPNSLFCLNHLRFLHLSSNKIQQDISEILQNNQLSGHLTYQLGQFKNLSYLSLAQNNISGPIPSSIGELSSLKYFDLSENQLNGTFPLCFGQLESLETLDFGYNLLEGVVIETHFSELTRLTTLKASHNRLRFEPNSSWIPPFQCQIIELGHWHLGSKFPLWLKFQKELSSLDISHVGISDVMPTWFVNLPTQFEYLNLSYNQLTGGISYLNVTNSIDLSSNRFTGPLPRVLSTLRYLFLSNNSFSGSLSELICNPSLKEMVALYIDINLLIGEIPDCWNHWENLAYLNLGNNNLTGKIPPTLGYTNPCMLNLRNNSMFGELPSTLRNSWSLVMLDLSENHFSGSVPTWIGDKLSNLVVLSLRSNNFDGYIPRKICDLQFLQNLDFGHNNISGVIPKCFSNLSEMATKNETNNELSTPYFIDYSFYLKALLVLKGREGEYGSTLGLVTSLDLSANRLRGEIPKEIGSLVGLLSLNFSGNLLTGNIPDNIGNMELMESLDLSMNRLNGEIPPSFSNLNFLNHFNVSYNNLTGHIPTSTQLQSFENLSYVGNHLCGPPLTKNCTSKNIPTDVGNKGRKVNWLYVSIVFGFVLGFWSVVAPLFFIRSWRIAYYQKLDQIC >KJB46009 pep chromosome:Graimondii2_0_v6:7:56811192:56813083:1 gene:B456_007G342700 transcript:KJB46009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSISVTQCLVRISVFVLLACLSSNGESTQVSHSSIKRSDFPNDFLFGASTAAAQTEGSAKSGGKGPSVWDQFIREYPDRIIDKSNLDVAADSYNRYKEDVSILKDLGVNSYRFSIAWTRILPDGTLSGGINQEGIDHYNRFIDELIENDIEPVVTLLHFDPPEALEKKYESFLSHRIVNDFKDYAEICFKTFGDRVKYWITINEPLIMAKMGYAMGVAPPVRCSDRKMCVNGNSGTEPYIVSHNLLLTHATAADLYKKKYQETQGGQIGITLNSHYCEPYTNTSLDIEAAKRAMDFELGWYMEPLTQGEYPETMRSLVKDRLPVFTAEQKKLVKGSFDFIGINYYTSRYAKNIPPNPNEPVSYLVDPNVNVSIDKDGVLIGPNAGGSIFIYIYPEGLYKLLNFIQENYSKNLAIYITENGYTERSNISVPFSESQTDSIRIEFVRKHLRQLEIAIKNGVNVKGYFYYSLLDSFEWGEGYTVRYGLYHVDFKTLVRSPKDSAKWYRAFIKDVKE >KJB39331 pep chromosome:Graimondii2_0_v6:7:560471:566237:1 gene:B456_007G007000 transcript:KJB39331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFACGSLLHPFISITMASPTLSQSLPKPFSFKPTIAISSSMVSPTSSSSSSSTGSYSPHWDNSILRQPTTSYDSPPEILRQWIELQQPLASHDRFTVASYNILGDRNASKHKDLYLNVPSNYIRWGYRKRVLCEEIMRWNPDIICMQEVDKYFDLRNTMEKAGYVGSYKRRTGDNVDGCATFWKPDKFRLLERESIEFKGFGLRDNVAQLSVFEMCRVESRRLVVGNIHVLYNPSRGEVKLGQIRFLSSRAQLLSNRWGNAPVVLGGDFNSTPQSAIYKFLSTSELNVKLYNRRELSGQRSCYPSEVLGGNRESRSSISVMDRVLNDCWTDEEVKAATGTANSRLVMHPLQLNSSYATVKGSTNTRHSNGEPLATSYHSKFLGTVDYLWYSEGVLPIRVLDTLPIDILTRTGGLPCKKIGSDHLALVSEFAFSKSTIEDDNLTTPAVLCLD >KJB44797 pep chromosome:Graimondii2_0_v6:7:46822106:46825088:1 gene:B456_007G274700 transcript:KJB44797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSFLALTSFKDKYSTSPSSFQVSSGREVMENSASLQESSLAPSNGTLGNSSLSPSIVTDHMCASALPHVQYSHNPAFISQSSRDLASLPPLGASFSQSTASLIHTQGNKDVSWSLDRLQMFLDLPENTPAPNQLVESSTGVLASEDHNKKTNWQEWADDLINVVDPDWSKILDNTNVLNPRVEVPKPSGDISKQQPQFHQNQLVPHGEFSSHAYPSSTAPPTKPRMRWTPELHEAFLEAVNQLGGSERATPKGILKLMNVEGLTIYHVKSHLQKYRTARYMPETSEGTSEKRITSIEEMKSIDLKT >KJB44794 pep chromosome:Graimondii2_0_v6:7:46822077:46826363:1 gene:B456_007G274700 transcript:KJB44794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSFLALTSFKDKYSTSPSSFQVSSGREVMENSASLQESSLAPSNGTLGNSSLSPSIVTDHMCASALPHVQYSHNPAFISQSSRDLASLPPLGASFSQSTASLIHTQGNKDVSWSLDRLQMFLDLPENTPAPNQLVESSTGVLASEDHNKKTNWQEWADDLINVVDPDWSKILDNTNVLNPRVEVPKPSGDISKQQPQFHQNQLVPHGEFSSHAYPSSTAPPTKPRMRWTPELHEAFLEAVNQLGGSERATPKGILKLMNVEGLTIYHVKSHLQKYRTARYMPETSEGTSEKRITSIEEMKSIDLKTSIGITEALRLQVEVQKQLHEQLEIQRNLQLRIEEQGRYLQMMFEKQKKVEDEKGGVPSSASDDLLAPLPGSKHPPCNNGKSDSEALEQDHSRTGIGPGNAGTNEDKSSSGVSTKQKMLETESTVHDESGFSVSKRARTEK >KJB44799 pep chromosome:Graimondii2_0_v6:7:46822106:46826198:1 gene:B456_007G274700 transcript:KJB44799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMCFFPVSSGREVMENSASLQESSLAPSNGTLGNSSLSPSIVTDHMCASALPHVQYSHNPAFISQSSRDLASLPPLAPNQLVESSTGVLASEDHNKKTNWQEWADDLINVVDPDWSKILDNTNVLNPRVEVPKPSGDISKQQPQFHQNQLVPHGEFSSHAYPSSTAPPTKPRMRWTPELHEAFLEAVNQLGGSERATPKGILKLMNVEGLTIYHVKSHLQKYRTARYMPETSEGTSEKRITSIEEMKSIDLKTSIGITEALRLQVEVQKQLHEQLEIQRNLQLRIEEQGRYLQMMFEKQKKVEDEKGGVPSSASDDLLAPLPGSKHPPCNNGKSDSEALEQDHSRTGIGPGNAGTNEDKSSSGVSTKQKMLETESTVHDESGFSVSKRARTEK >KJB44795 pep chromosome:Graimondii2_0_v6:7:46823446:46825967:1 gene:B456_007G274700 transcript:KJB44795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSFLALTSFKDKYSTSPSSFQVSSGREVMENSASLQESSLAPSNGTLGNSSLSPSIVTDHMCASALPHVQYSHNPAFISQSSRDLASLPPLGASFSQSTASLIHTQGNKDVSWSLDRLQMFLDLPENTPAPNQLVESSTGVLASEDHNKKTNWQEWADDLINVVDPDWSKILDNTNVLNPRVEVPKPSGDISKQQPQFHQNQLVPHGEFSSHAYPSSTAPPTKPRMRWTPELHEAFLEAVNQLGGSERATPKGILKLMNVEGLTIYHVKSHLQKYRTARYMPETSEGTSEKRITSIEEMKSIDLKTSIGITEALRLQVEVQKQLHEQLEIQRNLQLRIEEQGRYLQMMFEKQKKVEDEKGGVPSSASDDLLAPLPGSKHPPCNNGKSDSEALEQDHSRTGIGPGNAGTNEDKSSSGVSTKQKMLETESTVHDESGFSVSKRARTEK >KJB44793 pep chromosome:Graimondii2_0_v6:7:46822066:46826363:1 gene:B456_007G274700 transcript:KJB44793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMCFFPVSSGREVMENSASLQESSLAPSNGTLGNSSLSPSIVTDHMCASALPHVQYSHNPAFISQSSRDLASLPPLAPNQLVESSTGVLASEDHNKKTNWQEWADDLINVVDPDWSKILDNTNVLNPRVEVPKPSGDISKQQPQFHQNQLVPHGEFSSHAYPSSTAPPTKPRMRWTPELHEAFLEAVNQLGGSERATPKGILKLMNVEGLTIYHVKSHLQKYRTARYMPETSEGTSEKRITSIEEMKSIDLKTSIGITEALRLQVEVQKQLHEQLEIQRNLQLRIEEQGRYLQMMFEKQKKVEDEKGGVPSSASDDLLAPLPGSKHPPCNNGKSDSEALEQDHSRTGIGPGNAGTNEDKSSSGVSTKQKMLETESTVHDESGFSVSKRARTEK >KJB44798 pep chromosome:Graimondii2_0_v6:7:46822077:46826284:1 gene:B456_007G274700 transcript:KJB44798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMCFFPVSSGREVMENSASLQESSLAPSNGTLGNSSLSPSIVTDHMCASALPHVQYSHNPAFISQSSRDLASLPPLGASFSQSTASLIHTQGNKDVSWSLDRLQMFLDLPENTPAPNQLVESSTGVLASEDHNKKTNWQEWADDLINVVDPDWSKILDNTNVLNPRVEVPKPSGDISKQQPQFHQNQLVPHGEFSSHAYPSSTAPPTKPRMRWTPELHEAFLEAVNQLGGSERATPKGILKLMNVEGLTIYHVKSHLQKYRTARYMPETSEGTSEKRITSIEEMKSIDLKTSIGITEALRLQVEVQKQLHEQLEIQRNLQLRIEEQGRYLQMMFEKQKKVEDEKGGVPSSASDDLLAPLPGSKHPPCNNGKSDSEALEQDHSRTGIGPGNAGTNEDKSSSGVSTKQKMLETESTVHDESGFSVSKRARTEK >KJB44800 pep chromosome:Graimondii2_0_v6:7:46823380:46826198:1 gene:B456_007G274700 transcript:KJB44800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSASLQESSLAPSNGTLGNSSLSPSIVTDHMCASALPHVQYSHNPAFISQSSRDLASLPPLGASFSQSTASLIHTQGNKDVSWSLDRLQMFLDLPENTPAPNQLVESSTGVLASEDHNKKTNWQEWADDLINVVDPDWSKILDNTNVLNPRVEVPKPSGDISKQQPQFHQNQLVPHGEFSSHAYPSSTAPPTKPRMRWTPELHEAFLEAVNQLGGSERATPKGILKLMNVEGLTIYHVKSHLQKYRTARYMPETSEGTSEKRITSIEEMKSIDLKTSIGITEALRLQVEVQKQLHEQLEIQRNLQLRIEEQGRYLQMMFEKQKKVEDEKGGVPSSASDDLLAPLPGSKHPPCNNGKSDSEALEQDHSRTGIGPGNAGTNEDKSSSGVSTKQKMLETESTVHDESGFSVSKRARTEK >KJB44796 pep chromosome:Graimondii2_0_v6:7:46822077:46826363:1 gene:B456_007G274700 transcript:KJB44796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSASLQESSLAPSNGTLGNSSLSPSIVTDHMCASALPHVQYSHNPAFISQSSRDLASLPPLAPNQLVESSTGVLASEDHNKKTNWQEWADDLINVVDPDWSKILDNTNVLNPRVEVPKPSGDISKQQPQFHQNQLVPHGEFSSHAYPSSTAPPTKPRMRWTPELHEAFLEAVNQLGGSERATPKGILKLMNVEGLTIYHVKSHLQKYRTARYMPETSEGTSEKRITSIEEMKSIDLKTSIGITEALRLQVEVQKQLHEQLEIQRNLQLRIEEQGRYLQMMFEKQKKVEDEKGGVPSSASDDLLAPLPGSKHPPCNNGKSDSEALEQDHSRTGIGPGNAGTNEDKSSSGVSTKQKMLETESTVHDESGFSVSKRARTEK >KJB42660 pep chromosome:Graimondii2_0_v6:7:14162375:14164772:-1 gene:B456_007G162100 transcript:KJB42660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSQQPATGVSPPKPWEQAGGSTGSGPFKPPSPGSTSDVVEASGTARPGELVSTADRTAAVNRNAVGRPLPSRPWEQQNYGSTYGGYGSGLNYNSAYGSGMYGSSSYGGMGSYGSGLYGNSMYRGGYGGLYGNSGMYGGGMYGGGLGGGFGGPMGGYGMGMGPYGEQDPNNPFGAPPSPPGFWMSFLRVMQGVVNCFGRISILIDQNTQAFHMFMTALLQVLLAHLFCPKVHNS >KJB42659 pep chromosome:Graimondii2_0_v6:7:14162375:14164772:-1 gene:B456_007G162100 transcript:KJB42659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSQQPATGVSPPKPWEQAGGSTGSGPFKPPSPGSTSDVVEASGTARPGELVSTADRTAAVNRNAVGRPLPSRPWEQQNYGSTYGGYGSGLNYNSAYGSGMYGSSSYGGMGSYGSGLYGNSMYRGGYGGLYGNSGMYGGGMYGGGLGGGFGGPMGGYGMGMGPYGEQDPNNPFGAPPSPPGFWMSFLRVVIYFDSYCLLSD >KJB42661 pep chromosome:Graimondii2_0_v6:7:14162375:14164849:-1 gene:B456_007G162100 transcript:KJB42661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSQQPATGVSPPKPWEQAGGSTGSGPFKPPSPGSTSDVVEASGTARPGELVSTADRTAAVNRNAVGRPLPSRPWEQQNYGSTYGGYGSGLNYNSAYGSGMYGSSSYGGMGSYGSGLYGNSMYRGGYGGLYGNSGMYGGGMYGGGLGGGFGGPMGGYGMGMGPYGEQDPNNPFGAPPSPPGFWMSFLRVMQGVVNCFGRISILIDQNTQAFHMFMTALLQLFDRTGLLYGELARFVLRLLGIKSKPRKINQPGPGGLPGPHNPHGNQNYIEGPKAAPSGAWDNVWGENGSA >KJB42658 pep chromosome:Graimondii2_0_v6:7:14162375:14164212:-1 gene:B456_007G162100 transcript:KJB42658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCLTFSTAATGVSPPKPWEQAGGSTGSGPFKPPSPGSTSDVVEASGTARPGELVSTADRTAAVNRNAVGRPLPSRPWEQQNYGSTYGGYGSGLNYNSAYGSGMYGSSSYGGMGSYGSGLYGNSMYRGGYGGLYGNSGMYGGGMYGGGLGGGFGGPMGGYGMGMGPYGEQDPNNPFGAPPSPPGFWMSFLRVMQGVVNCFGRISILIDQNTQAFHMFMTALLQLFDRTGLLYGELARFVLRLLGIKSKPRKINQPGPGGLPGPHNPHGNQNYIEGPKAAPSGAWDNVWGENGSA >KJB44347 pep chromosome:Graimondii2_0_v6:7:38311369:38315651:1 gene:B456_007G247300 transcript:KJB44347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYQEMERFGMENDFEDAQWIDGEFYYKKRKEKRTQTKDDVIYGVFASDTDSEDGGSSSSRKRRKEFGKKADLTKPVNFVSTGTVMPTQEIDNNSKVENDNSVPDDNGNDSRPGLGSGVGLGFGGNDSLPKSDGNIVADEDGDTSFLPTAFGRKIKEGAQRREKERERLKMEKKSLGGRREAGGGNGDVGSFEKHTKGIGMKLLEKMGYKGGGLGKNEQGIVAPIEAKMRPKNMGMGFNDFKEAKLPGLQQLEEKKSVSQQPVGKAKERLWSKNAKGRKKEEYITVEELLAKKQEEGVEIVQKVIDMRGPQVRVLTNLENLDAEEKARENDVPMPELQHNLKLIVDLAELDIQKIDRDLRNEKETALSLQKEKEKLEIEASHQKQHLANMEQIVSVLGQIEEENSSGKLTLESLANSFRDLQKNYADDYKLCNLSCIACSLALPLFIRMFQGWDPLVNPSYRMEVISAWKDLLQIDGSYDIWEDAATPYCQLISEVVLPAVRISGINTWEPRNPEPMLGFLESWEKLLPSPILQIILDTVVMPKLSRAVDSWNPRKETVPIHVWVHPWLPMLGPKLEGLYQTIRMKLSNVLDAWHPSDPSAYAILSPWKTVFDSVSWEQLMRQYIVPKLQIALQEFQINPADQKLDQFYWVMSWASAIPIHLMVDLMEKFFFVKWLQVLYHWLCSKPDFEEIKNWYMGWKGLLPQELLANESIRNQLNCGLEMMVQAADHVPVVQPGLRENVTYLKVREQRQFEAQQRAAAHAQQPAAAQMDGVPEMSLKEVVEAYAQQHELLFKPKPGRMHNGQQIYGFGNISVIVDSLNQKIFAQKDNGWSLVSLDDLLKMHYNSLARRR >KJB43131 pep chromosome:Graimondii2_0_v6:7:17822828:17825924:1 gene:B456_007G186000 transcript:KJB43131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIRCIISFSLLLWATWLVTVGSDLAADRAAMVALRRAVGGRTLLWNLSSSPCTWTGVNCSQNRVVELRLPGMGLSGQLPSGIGNLTQLQTLSLRFNALSGSIPADFAKLTSLRNLYLQGNRYSGEIPLFLFSLQNLIRLNLASNNFTGSIPESVNNLTRLGTLYLENNHLSGSIPDIKVPSLVQFNVSFNQLNGSIPKGLSNKPQSAFLGNSLCGKPLVPCNRTESSGSKLSGGAIAGIVIGCVLGILLILILLICLCRRKSGKKMEERDVAPPKQAVVEIPRDKPAGESGNRSSGLSGVVNKEAKSSGIKNLVFFGKASRVFDLEDLLRASAEVLGKGTFGTAYKATLEMGVVVAVKRLKDVTVSEKEFKEKIEVVGSMDHQNLVPLRAYYFSADEKLLVYDYMPMGSLSSLLHGNRGSGRTPLNWDTRSGIALGAARGIEYLHSKGPGISHGNIKSSNVLLTTSYEARVSDFGLAQLAGPTSTPNRVDGYRAPEVTDTNKVSQKADVYSFGILLLELLTGKAPTHALLNEEGIDLPRWVQSIVREDWTSEVFDVELLRYQNVEDDMVQLLQLAINCTAQYPDKRPSMAEVMNQIEDLCRSNSEKETH >KJB43073 pep chromosome:Graimondii2_0_v6:7:17399830:17405494:-1 gene:B456_007G182500 transcript:KJB43073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAKEKGIPASTNVSSMKSHLSLSDKDQQGFVDITINRTTCNYFLNPRAHILANRLPLVLSSDDFIHRRSAVLGSNSKLQLNLIIGLTVGVTVVTIVLVSFIVLRFKRKSWSNHPRQGDKATIEAFIKNFGSSAPKRYSYREIKKITNKFQDNLGQGGFGKVYKGKMSDGRFVAVKVLNESKGNGEDFMNEVASISRTSHVNIVTLLGFCFERSKRALIYEFMPHGSLDKFIYSQGSNNQSRRSEWKTLYDIALGIARGLEYLHQGCNTRILHFDIKPHNILLDKKFCPKISDFGLSKLCERKESIISMACARGTVGYIAPKVFCRNFGGVSYKSDVYSYGMMVLEMVGGKKNIDVGVSQTSEVYFPSWIYEHIDQSMNLNLKRVIAEEEEEITRKLIIVSLWCIQHDPCDRASMTKVLEMLQGSLQSLVIPPKPFVSSLLRSPVINE >KJB43989 pep chromosome:Graimondii2_0_v6:7:27619697:27621375:1 gene:B456_007G228000 transcript:KJB43989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDDACNTGLVLGLGFSSTLGTPSKANNNQTPKKSSMSMAAASVEPSLTLALSGEIYLVNDNSKKIDVNKGVGCLHNHEEPGSGDLYRQASPHSAVSSFSSGRVKRERDLSCEEVEVEKNSSRVSEEDEDGVNARKKLRLTKDQSALLEESFKQHSTLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLQKELQELKALKLAQPFYMHMPAATLTMCPSCERIGGVSDGSSKNPFSVLPSKPHFYNRFTNPSAAC >KJB44364 pep chromosome:Graimondii2_0_v6:7:38576210:38580217:-1 gene:B456_007G248100 transcript:KJB44364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKASCLFCGNIPSTTTKALALTVRKSSFLSSLPFSSSPSSLKSICLFVGRRYSFPSTKFQSKQLVCNGGGESSGSHGSSKFATTTKKKPRSKSYIGSNPKISKSENKSTSKPNDSVTRTNILVEELGLFKKQKEQKVQKTKALNVRTLYQNGDPLGRRDLGKRVVWWISEGMKAMASDFASAELQGEFLELRQRMGPGLTFVIQAQPYLNSVPMPLGLEAICLKACTHYPTLFDHFQRELRNVLQELQQNSMVQDWKETESWKLLKELANSAQHRAIARKVTPPKPVQGVLGMDLEKAKAMQGRIDEFTKQMSELLRIERDAELEFTQEELDAVPTLDEGSDSSKPIEFLVSHGQAQQELCDTICNLNAVSTSTGLGGMHLVLFRVEGNHRLPPTTLSPGDMVCVRISDSRGAGATSCIQGFVDNLGDDGCSISVALESRHGDPTFSKLFGKSVRIDRIHGLADALTYERNCEALMLLQKNGLQKKNPSIAVVATLFADKEDVEWLEENDLADWSPAELDGLLQNGTFDDSQQRAIALGLNKKRPVMVVQGPPGTGKTGMLKEVIALAAQQGERVLVTAPTNAAVDNLVEKLSNTGLNIVRVGNPARISSAVASKSLVEIVNSKLADYRAEFERKKSDLRKDLRHCLKDDSLAAGIRQLLKQLGKALKKKEKETVREVLSNAQVVLSTNTGAADPLIRRLDTFDLVVIDEAGQAIEPSCWIPILQGKRCILAGDQCQLAPVILSRKALEGGLGISLLERAATLHEGVLATMLATQYRMNDAIASWASKEMYDGELKSSPLVASHLLVDSPFVKV >KJB44363 pep chromosome:Graimondii2_0_v6:7:38572721:38580481:-1 gene:B456_007G248100 transcript:KJB44363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKASCLFCGNIPSTTTKALALTVRKSSFLSSLPFSSSPSSLKSICLFVGRRYSFPSTKFQSKQLVCNGGGESSGSHGSSKFATTTKKKPRSKSYIGSNPKISKSENKSTSKPNDSVTRTNILVEELGLFKKQKEQKVQKTKALNVRTLYQNGDPLGRRDLGKRVVWWISEGMKAMASDFASAELQGEFLELRQRMGPGLTFVIQAQPYLNSVPMPLGLEAICLKACTHYPTLFDHFQRELRNVLQELQQNSMVQDWKETESWKLLKELANSAQHRAIARKVTPPKPVQGVLGMDLEKAKAMQGRIDEFTKQMSELLRIERDAELEFTQEELDAVPTLDEGSDSSKPIEFLVSHGQAQQELCDTICNLNAVSTSTGLGGMHLVLFRVEGNHRLPPTTLSPGDMVCVRISDSRGAGATSCIQGFVDNLGDDGCSISVALESRHGDPTFSKLFGKSVRIDRIHGLADALTYERNCEALMLLQKNGLQKKNPSIAVVATLFADKEDVEWLEENDLADWSPAELDGLLQNGTFDDSQQRAIALGLNKKRPVMVVQGPPGTGKTGMLKEVIALAAQQGERVLVTAPTNAAVDNLVEKLSNTGLNIVRVGNPARISSAVASKSLVEIVNSKLADYRAEFERKKSDLRKDLRHCLKDDSLAAGIRQLLKQLGKALKKKEKETVREVLSNAQVVLSTNTGAADPLIRRLDTFDLVVIDEAGQAIEPSCWIPILQGKRCILAGDQCQLAPVILSRKALEGGLGISLLERAATLHEGVLATMLATQYRMNDAIASWASKEMYDGELKSSPLVASHLLVDSPFVKPTWITQCPLLLLDTRMPYGSLSVGCEEHLDLAGTGSFFNEGEADIVVQHVLYLIYAGVSPTAIAVQSPYVAQVQLLRDRLDEFPEADGIEVATIDSFQGREADAVIISMVRSNTLGAVGFLGDSRRMNVAITRARKHVAVVCDSSTICHNTFLARLLRHIRYVGRVKHAEPGASGGSGLGMDPMLPSIS >KJB39916 pep chromosome:Graimondii2_0_v6:7:2612485:2614370:-1 gene:B456_007G037800 transcript:KJB39916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GQFFIYCSVYFLSYLSLYSDLKKCEMAAPSTATFLSFRSRGNALPQTRPSAVRFGSRYSLVSFSGLKEVTSVNCETESSFIGKESSTALRGSFALKARKANRGSRYGLQLVASYKVAILGAAGGIGQPLALLIKMSPLVSALNLYDIANVKGVAADLSHCNTPSQVLDFTGASELGDCLKGANVVVIPAGVPRKPGMTRDDLFNINANIVMTLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVIGGHAGITILPLLSKTKPTVSFTDEEVEQLTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECSFVQSDLTNLPFFASRIKLGRNGVEALIPSDLIGLSEYEDKTLEALKPELKASIEKGIAFVQKQPVTA >KJB39917 pep chromosome:Graimondii2_0_v6:7:2612485:2614471:-1 gene:B456_007G037800 transcript:KJB39917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSTATFLSFRSRGNALPQTRPSAVRFGSRYSLVSFSGLKEVTSVNCETESSFIGKESSTALRGSFALKARKANRGSRYGLQLVASYKVAILGAAGGIGQPLALLIKMSPLVSALNLYDIANVKGVAADLSHCNTPSQVLDFTGASELGDCLKGANVVVIPAGVPRKPGMTRDDLFNINANIVMTLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVIGGHAGITILPLLSKTKPTVSFTDEEVEQLTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECSFVQSDLTNLPFFASRIKLGRNGVEALIPSDLIGLSEYEDKTLEALKPELKASIEKGIAFVQKQPVTA >KJB41491 pep chromosome:Graimondii2_0_v6:7:12365973:12366515:-1 gene:B456_007G146300 transcript:KJB41491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLKIRLPLAKKVWKSFTSKLQTRLHKLHKSKTIKKLDNTHLQTTILKTTRPSLFLGQHLRNKRCCVLLFGFQHYYAPLIILVGYIPQQPPEKKKKLVDETVADPRTSKELEKQGDDLCDSRSLVLASLMINEIDARDEQFIASVQAEIEHQEIIARHL >KJB39642 pep chromosome:Graimondii2_0_v6:7:1652616:1653153:-1 gene:B456_007G022900 transcript:KJB39642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIISTPKFLFIFFTIILSNINLSSCRRHTLKTLKPQEPTPMAAAEQHFPRPSVAEKGQPIYEVSYRAVPGGPDPLHN >KJB43503 pep chromosome:Graimondii2_0_v6:7:20827670:20833497:-1 gene:B456_007G203400 transcript:KJB43503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSAYDSFQLLTDCPTKIDAIESYGSKLLLGCSDGSLRIYVSDSSGADRSPPSDPHALRKEPYALERTVAGFSKKPLISMEVLESRELLLSLSESIAFHRLPNLETIAVITKAKGANVYSWDDRRGFLCFARQKRVCIFRHDGGRGFVEVKDFGVPDTVKSMSWCGENICLGIRKEYMILNATNGALSEVFSSGKIAPPLVVALPSGELILGKENIGVFVDQNGKLLQADRICWSEAPAVVVIQKPYAIALFPRRVEIRSLRVPYPLIQTIVLPGARRLTKSNNAVVVALEKSVYGLFPVPLGAQIVQLTASGNFEEALALCKLLPPEDASLRAAKEGSIHIRYAHYLFDNGCYEEAMEHFLASQVDITYVLSLYQSIVLPKTTAVPEPEKLADLSLDAPQLSRGSSGMSDDLETFLPQLAESDENSALEFKKMSHNTLMALIKFLQKKRYSIVEKAAAEGTEEVVLDAVGDSFTSSRFKKSNKGRGNVPINSAAREMAAILDTALLQALLLTGQSLAAVELLKGLNYCDAKICEEILHKGHHYAALLELYRSNSMHREALTLLHQLVEESKSNQLQAEPIQKFSPEAIIEYLKPLCGTDPMLVLEFSMLVLESCPTQTIELFLSGNIPADLVNSYLKQHAPNMQGRYLELMLAMNENGISGNLQNEMVQIYLSEVLEWYSELSAQQIWDEKAYSPTRKKLLSALESISGYSPEALLKRLPPDALFEERASLLGKMNQHELALSLYVHKLNVPELALAYCDRVYESSVHQPPAKSSGNIYLTLLQIYLNPQKTTKNFEKRITNLVSSPNASIPKISSATSFKGRGGRKKIASIEGAEDMRISPSNTDSSRSDGDADAEESNEEGGSSIMLDQVLDLLSRRWDRINGAQALRLLPRETKLQNLLPFLGPLLKKSSEAYRNFSVIKSLRQSENLQVKDELYNQRKAVVKISSDSMCSLCNKKLGTSVFAVYPNGTTLVHFVCFRDSQSMKAVSKVSQLRKR >KJB43501 pep chromosome:Graimondii2_0_v6:7:20827670:20833497:-1 gene:B456_007G203400 transcript:KJB43501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSAYDSFQLLTDCPTKIDAIESYGSKLLLGCSDGSLRIYVSDSSGADRSPPSDPHALRKEPYALERTVAGFSKKPLISMEVLESRELLLSLSESIAFHRLPNLETIAVITKAKGANVYSWDDRRGFLCFARQKRVCIFRHDGGRGFVEVKDFGVPDTVKSMSWCGENICLGIRKEYMILNATNGALSEVFSSGKIAPPLVVALPSGELILGKENIGVFVDQNGKLLQADRICWSEAPAVVVIQKPYAIALFPRRVEIRSLRVPYPLIQTIVLPGARRLTKSNNAVVVALEKSVYGLFPVPLGAQIVQLTASGNFEEALALCKLLPPEDASLRAAKEGSIHIRYAHYLFDNGCYEEAMEHFLASQVDITYVLSLYQSIVLPKTTAVPEPEKLADLSLDAPQLSRGSSGMSDDLETFLPQLAESDENSALEFKKMSHNTLMALIKFLQKKRYSIVEKAAAEGTEEVVLDAVGDSFTSSRFKKSNKGRGNVPINSAAREMAAILDTALLQALLLTGQSLAAVELLKGLNYCDAKICEEILHKGHHYAALLELYRSNSMHREALTLLHQLVEESKSNQLQAEPIQKFSPEAIIEYLKPLCGTDPMLVLEFSMLVLESCPTQTIELFLSGNIPADLVNSYLKQHAPNMQGRYLELMLAMNENGISGNLQNEMVQIYLSEVLEWYSELSAQQIWDEKAYSPTRKKLLSALESISGYSPEALLKRLPPDALFEERASLLGKMNQHELALSLYVHKLNVPELALAYCDRVYESSVHQPPAKSSGNIYLTLLQIYLNPQKTTKNFEKRITNLVSSPNASIPKISSATSFKGRGGRKKIASIEGAEDMRISPSNTDSSRSDGDADAEESNEEGGSSIMLDQVLDLLSRRWDRINGAQALRLLPRETKLQNLLPFLGPLLKKSSEAYRNFSVIKSLRQSENLQVR >KJB43502 pep chromosome:Graimondii2_0_v6:7:20827714:20833497:-1 gene:B456_007G203400 transcript:KJB43502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSAYDSFQLLTDCPTKIDAIESYGSKLLLGCSDGSLRIYVSDSSGADRSPPSDPHALRKEPYALERTVAGFSKKPLISMEVLESRELLLSLSESIAFHRLPNLETIAVITKAKGANVYSWDDRRGFLCFARQKRVCIFRHDGGRGFVEVKDFGVPDTVKSMSWCGENICLGIRKEYMILNATNGALSEVFSSGKIAPPLVVALPSGELILGKENIGVFVDQNGKLLQADRICWSEAPAVVVIQKPYAIALFPRRVEIRSLRVPYPLIQTIVLPGARRLTKSNNAVVVALEKSVYGLFPVPLGAQIVQLTASGNFEEALALCKLLPPEDASLRAAKEGSIHIRYAHYLFDNGCYEEAMEHFLASQVDITYVLSLYQSIVLPKTTAVPEPEKLADLSLDAPQLSRGSSGMSDDLETFLPQLAESDENSALEFKKMSHNTLMALIKFLQKKRYSIVEKAAAEGTEEVVLDAVGDSFTSSRFKKSNKGRGNVPINSAAREMAAILDTALLQALLLTGQSLAAVELLKGLNYCDAKICEEILHKGHHYAALLELYRSNSMHREALTLLHQLVEESKSNQLQAEPIQKFSPEAIIEYLKPLCGTDPMLVLEFSMLVLESCPTQTIELFLSGNIPADLVNSYLKQHAPNMQGRYLELMLAMNENGISGNLQNEMVQIYLSEVLEWYSELSAQQIWDEKAYSPTRKKLLSALESISGYSPEALLKRLPPDALFEERASLLGKMNQHELALSLYVHKLNVPELALAYCDRVYESSVHQPPAKSSGNIYLTLLQIYLNPQKTTKNFEKRITNLVSSPNASIPKISSATSFKGRGGRKKIASIEGAEDMRISPSNTDSSRSDGDADAEESNEEGGSSIMLDQVLDLLSRRWDRINGAQALRLLPRETKLQNLLPFLGPLLKKSSEAYRNFSVIKSLRQSENLQVKDELYNQRKAVVKISSDSMCSLCNKKLGTSVFAVYPNGTTLVHFVCFRDSQSMKAVSKVSQLRKR >KJB43500 pep chromosome:Graimondii2_0_v6:7:20827670:20831505:-1 gene:B456_007G203400 transcript:KJB43500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMFRRYAHYLFDNGCYEEAMEHFLASQVDITYVLSLYQSIVLPKTTAVPEPEKLADLSLDAPQLSRGSSGMSDDLETFLPQLAESDENSALEFKKMSHNTLMALIKFLQKKRYSIVEKAAAEGTEEVVLDAVGDSFTSSRFKKSNKGRGNVPINSAAREMAAILDTALLQALLLTGQSLAAVELLKGLNYCDAKICEEILHKGHHYAALLELYRSNSMHREALTLLHQLVEESKSNQLQAEPIQKFSPEAIIEYLKPLCGTDPMLVLEFSMLVLESCPTQTIELFLSGNIPADLVNSYLKQHAPNMQGRYLELMLAMNENGISGNLQNEMVQIYLSEVLEWYSELSAQQIWDEKAYSPTRKKLLSALESISGYSPEALLKRLPPDALFEERASLLGKMNQHELALSLYVHKLNVPELALAYCDRVYESSVHQPPAKSSGNIYLTLLQIYLNPQKTTKNFEKRITNLVSSPNASIPKISSATSFKGRGGRKKIASIEGAEDMRISPSNTDSSRSDGDADAEESNEEGGSSIMLDQVLDLLSRRWDRINGAQALRLLPRETKLQNLLPFLGPLLKKSSEAYRNFSVIKSLRQSENLQVKDELYNQRKAVVKISSDSMCSLCNKKLGTSVFAVYPNGTTLVHFVCFRDSQSMKAVSKVSQLRKR >KJB42581 pep chromosome:Graimondii2_0_v6:7:13849953:13857883:1 gene:B456_007G158500 transcript:KJB42581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIQSRVETWIKDQRAKILKVSWGPLQWRMRWHWPPWNNGDMEQRQKLHQEYERRKRQLQELCRAVKADSLSDLQDILCCMVLSECVYKKPATEMIRAVNKFKADFGGQIVSIERVQPSSDHVPHRYLLAEAGDTLFASFIGTKQYKDVMADANILQGAIFHEDVAEEIGRIELTEANRGERQKGNEENQFNPLESKPKQIKDRPKPAAHRGFLARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAALATLAILRVIAVSSSSKESERVQVKCITFSQPAVGNAALRDYVNRKGWQHYFKSYCIPEDLVPRLLSPAYFHHYNAQSLLMPSGMENNSLPTSKNEQGLQKGKPERLKDNEGEQLVIGVGPVQGPFWRLSRLVPLEGVRRQFKKYSRKQIDPIEPSATDSKTASSIEDVVVGPQSLEIQEGTDGISLKPIANTDNCESDTGSGKLTDKNNGSGDNKRWHSVPSLPSYVPFGQLYLLENSSVESLSGAEYSKLTSVRSVIVELRERLQSHSMKSYRSRFQRIYDLCMNDNASSFFGIEQVQQFPHLQKWLGLAVAGAVELGQIVESPIIRTATSIVPLGWNGIPGEKNAEPLKVDISGFRLHLCTLFHAQVNGKWCSTTVESFPSAPVYSSGNGEPPELQKIRVLVGAPLRQPPKHQIVADTVNFNREHNIVSSHQEKYIRPDGLNDFFIFCTSDFTTASKEVHVRTRRVRLLGLEGAGKTSLFKAILGQGKLSAITNIENLQEADFRDGIAGGLCYSDSPGVNLQELAMEASRFKDELWRGIRDLSKKTDLIVLVHNLSHKIPRYNHPDALQQYPALSLLLDEAKALGIPWVLAITNKFSVSAHQQRAAINTVVQAYQASPSTAEVINSCPYVMPGAASASLPWGVISSEDSDGRMGVQKLLSAPIDLVSRPFQRKDIVFPVERVNSLCHLVHRVLRSHEEASLEELVRDTLSLELAQDHAMGAIDGKKDSQAKALFSLTSAAVGASFGAGVGLILAVVMGAASALRKP >KJB45227 pep chromosome:Graimondii2_0_v6:7:50688510:50689011:-1 gene:B456_007G296300 transcript:KJB45227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKGLIGYVLLIMLSISALKNGVLGARLLAEKVEDEEKTERSWKDQNLDNGSDGFFATINREVPSCPDPLHNK >KJB45330 pep chromosome:Graimondii2_0_v6:7:51479004:51480582:1 gene:B456_007G301700 transcript:KJB45330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLIEFMKGKEDKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVKHFGPAPGVPHSHTKPYVRSKGRKYERARGRRNSKGFRV >KJB45331 pep chromosome:Graimondii2_0_v6:7:51479084:51480112:1 gene:B456_007G301700 transcript:KJB45331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLIEFMKGKEDKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVWGSLVSFIFECFMTLISHWSFFCCFKCMYVDLFIDSVGVFKLMFSSNFISNFVHLSKAR >KJB39385 pep chromosome:Graimondii2_0_v6:7:796046:797211:-1 gene:B456_007G010200 transcript:KJB39385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQISAILHTNMTESDSSSTNVPPKDQPPPPTVPIPDAPPQEQSPKPSSTPLVSSKEGVSWNSTSRKLPAVYRGVRSRSGKWVSEIREPRKTTRIWLGTYPTPEMAATAYDVAALALKGPDAELNFPDMVHSYPKVGSTSATDIRAAAASAAASRLLPKAVTNTGSSSKNEDTTSTTAMEITCSGQEFIDEEELLNFPNLMVDMAGGMLVSPPNWINSPPSDDSPDNSDVDTLWTYT >KJB42494 pep chromosome:Graimondii2_0_v6:7:13359276:13361920:-1 gene:B456_007G155400 transcript:KJB42494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCSHPHTFLPAVNRPPSPSLKPLRVPPVSASKSETSAPNKDEEEETTSLTVSERGREKGRLVIAEELKQRETRGRREEVSRKIASRKAISVILRREATKAFIEKKRGPNNSKKLLPRTVLESLHERITALRWESALKVFELLREQLWYRPNAAIYIKLIVLLGKCKQPDKAYELFQAMSDEGCVMNHEAYTALLSAYSRSGLFDKAFSLLEEMKDTPICHPDVQTYSILIKSCLQVFAFDEVRALLSDMASQGIRPNTVTYNTLIDAYGKAKMFQEMEMTLVEMLRGKDCEPDVWTMNSTIRAFGSSGQIETMEKCYEKFQSAGIQPNIKTFNILLDSYGKTGNYEKMSAVMEYMQKYHYSWTIVTYNVVIDAFGRAGDLKQMEYLFRLMRSERIKPSCVTLCSLVRAYGQAGKAEKIAGVLRIIENSDVTLDIVFFNCLVDAYGRMGCFAEMKGVLEMMKQKGYKPDKITYRTMIKAYSISGMTSHAKELRNLVESAAGSSLGMPKPDFRQPEYYRSYS >KJB42493 pep chromosome:Graimondii2_0_v6:7:13358800:13361920:-1 gene:B456_007G155400 transcript:KJB42493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCSHPHTFLPAVNRPPSPSLKPLRVPPVSASKSETSAPNKDEEEETTSLTVSERGREKGRLVIAEELKQRETRGRREEVSRKIASRKAISVILRREATKAFIEKKRGPNNSKKLLPRTVLESLHERITALRWESALKVFELLREQLWYRPNAAIYIKLIVLLGKCKQPDKAYELFQAMSDEGCVMNHEAYTALLSAYSRSGLFDKAFSLLEEMKDTPICHPDVQTYSILIKSCLQVFAFDEVRALLSDMASQGIRPNTVTYNTLIDAYGKAKMFQEMEMTLVEMLRGKDCEPDVWTMNSTIRAFGSSGQIETMEKCYEKFQSAGIQPNIKTFNILLDSYGKTGNYEKMSAVMEYMQKYHYSWTIVTYNVVIDAFGRAGDLKQMEYLFRLMRSERIKPSCVTLCSLVRAYGQAGKAEKIAGVLRIIENSDVTLDIVFFNCLVDAYGRMGCFAEMKGVLEMMKQKGYKPDKITYRTMIKAYSISGMTSHAKELRNLVESAAGSSLGMPKPDFRQPEYYRL >KJB42495 pep chromosome:Graimondii2_0_v6:7:13359779:13361099:-1 gene:B456_007G155400 transcript:KJB42495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPRSPHFFFQVFELLREQLWYRPNAAIYIKLIVLLGKCKQPDKAYELFQAMSDEGCVMNHEAYTALLSAYSRSGLFDKAFSLLEEMKDTPICHPDVQTYSILIKSCLQVFAFDEVRALLSDMASQGIRPNTVTYNTLIDAYGKAKMFQEMEMTLVEMLRGKDCEPDVWTMNSTIRAFGSSGQIETMEKCYEKFQSAGIQPNIKTFNILLDSYGKTGNYEKMSAVMEYMQKYHYSWTIVTYNVVIDAFGRAGDLKQMEYLFRLMRSERIKPSCVTLCSLVRAYGQAGKAEKIAGVLRIIENSDVTLDIVFFNCLVDAYGRMGCFAEMKGVLEMMKQKGYKPDKITYRTMIKAYSISGMTSHAKELRNLVESAAGSSLGMPKPDFRQPEYYRSYS >KJB41774 pep chromosome:Graimondii2_0_v6:7:9485104:9487022:1 gene:B456_007G120600 transcript:KJB41774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB41775 pep chromosome:Graimondii2_0_v6:7:9485404:9487022:1 gene:B456_007G120600 transcript:KJB41775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHW >KJB44430 pep chromosome:Graimondii2_0_v6:7:40161356:40172356:-1 gene:B456_007G252400 transcript:KJB44430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDVFDGQILTEKLSKLNNSQQSIESLSRWCITHRKKAKQIVETWDKLFNSSQKERCVSFLYLANDILQNSRRKGSEFVNEFWKVLPVALKHVYDDGDEYGKKAVARLVDIWEERKVFGSRGQNLKDEMLGKNPAPPPPAPVAVNNGKGSNPIKIVKRDAHAVRIKLAVGGLPEKILTAYQSVLEDNPSEDTVLNKCNAAVQNLSKLEENAESCLAQGNQNGSALLDELQLQENALRQCVEQLESIETTRAALIFQLKEALQEQESKLELICSQLQVARGQIEQGSNLRKRLTLPSVHGHVTTTSIPTGEAVAVSERNLPSAQPSGTLPQPLLPQPMISFAPSKTTEEDNKKAAAAAVAAKLAASTSSAQMLTSVLSSLVAEEAASMNGSLKSGGFTSGLSMFPPEKRPKLEKPMPVSDASDIPSTTHFNPLQQQAINNMPLAPSTGMQPMSQGNQIQAPFASAPPPPLSPANPSGSQYMQSTGMMMGVMPYGYGANTLPPPPPLPPHIAMNLARPASQPLQPPQPPQSQSQSSQQPQPQPQPQSQPQQQPQSQPQQQQPATGGFYWPPGMGFYGQNPQSTPAVPRQ >KJB44431 pep chromosome:Graimondii2_0_v6:7:40161375:40172265:-1 gene:B456_007G252400 transcript:KJB44431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDVFDGQILTEKLSKLNNSQQSIESLSRWCITHRKKAKQIVETWDKLFNSSQKERCVSFLYLANDILQNSRRKGSEFVNEFWKVLPVALKHVYDDGDEYGKKAVARLVDIWEERKVFGSRGQNLKDEMLGKNPAPPPPAPVAVNNGKGSNPIKIVKRDAHAVRIKLAVGGLPEKILTAYQSVLEDNPSEDTVLNKCNAAVQNLSKLEENAESCLAQGNQNGSALLDELQLQENALRQCVEQLESIETTRAALIFQLKEALQEQESKLELICSQLQVARGQIEQGSNLRKRLTLPSVHGHVTTTSIPTEEAASMNGSLKSGGFTSGLSMFPPEKRPKLEKPMPVSDASDIPSTTHFNPLQQQAINNMPLAPSTGMQPMSQGNQIQAPFASAPPPPLSPANPSGSQYMQSTGMMMGVMPYGYGANTLPPPPPLPPHIAMNLARPASQPLQPPQPPQSQSQSSQQPQPQPQPQSQPQQQPQSQPQQQQPATGGFYWPPGMGFYGQNPQSTPAVPRQ >KJB41439 pep chromosome:Graimondii2_0_v6:7:7843419:7846670:1 gene:B456_007G104600 transcript:KJB41439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGETSWISHCFDDMSKEVGGLDSFSELIDEGNKETTAVSVDLILPDDLLERILAFLPVASIFRAGSVCRRWHEIVSSKRFLWNFSNVLSQKPWYFMFTSSDEPVGYAYDPILRKWYGIELPCIQTPNWFIASSCGLVCFMDNDSRSELHVCNPVTKHCKKLEDPPGPKFPDYSALSISVNRMSHNYTVSVVKSKQVPGNFFQWDLSIHIYDSETRMWATSLTEVLTGWRGGDESVICDGILYFLIYSTGGGTPENRHGLVTYSLSGRSSPLLRSFIPVPGPLSCGRLMNLKEKLVVVGGMGKQDRPDIIKGIGIWVLEGRNWNEVARMPHKYFQGFGELDDVFASSGTDDLIYIQSYGAPALLVFDMNQKLWKWAQKCPVSKKFPLQLFTGFCFEPRLEFAP >KJB41438 pep chromosome:Graimondii2_0_v6:7:7843353:7846999:1 gene:B456_007G104600 transcript:KJB41438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGETSWISHCFDDMSKEVGGLDSFSELIDEGNKETTAVSVDLILPDDLLERILAFLPVASIFRAGSVCRRWHEIVSSKRFLWNFSNVLSQKPWYFMFTSSDEPVGYAYDPILRKWYGIELPCIQTPNWFIASSCGLVCFMDNDSRSELHVCNPVTKHCKKLEDPPGPKFPDYSALSISVNRMSHNYTVSVVKSKQVPGNFFQWDLSIHIYDSETRMWATSLTEVLTGWRGGDESVICDGILYFLIYSTGGGTPENRHGLVTYSLSGRSSPLLRSFIPVPGPLSCGRLMNLKEKLVVVGGMGKQDRPDIIKGIGIWVLEGRNWNEVARMPHKYFQGFGELDDVFASSGTDDLIYIQSYGAPALLVFDMNQKLWKWAQKCPVSKKFPLQLFTGFCFEPRLEFAP >KJB41440 pep chromosome:Graimondii2_0_v6:7:7843419:7846670:1 gene:B456_007G104600 transcript:KJB41440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGETSWISHCFDDMSKEVGGLDSFSELIDEGNKETTAVSVDLILPDDLLERILAFLPVASIFRAGSVCRRWHEIVSSKRFLWNFSNVLSQKPWYFMFTSSDEPVGYAYDPILRKWYGIELPCIQTPNWFIASSCGLVCFMDNDSRSELHVCNPVTKHCKKLEDPPGPKFPDYSALSISVNRMSHNYTVSVVKSKQVPGNFFQWDLSIHIYDSETRMWATSLTEVLTGWRGGDESVICDGILYFLIYSTGGGTPENRHGLVTYSLSGRSSPLLRSFIPVPGPLSCGRLMNLKEKLVVVGGMGKQDRPDIIKGIGIWVLEGRNWNEVARMPHKYFQGFGELDDVFASSGTDDLIYIQSYGAPALLVFDMNQKLWKWAQKCPVSKKFPLQLFTGFCFEPRLEFAP >KJB41437 pep chromosome:Graimondii2_0_v6:7:7843335:7846999:1 gene:B456_007G104600 transcript:KJB41437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGETSWISHCFDDMSKEVGGLDSFSELIDEGNKETTAVSVDLILPDDLLERILAFLPVASIFRAGSVCRRWHEIVSSKRFLWNFSNVLSQKPWYFMFTSSDEPVGYAYDPILRKWYGIELPCIQTPNWFIASSCGLVCFMDNDSRSELHVCNPVTKHCKKLEDPPGPKFPDYSALSISVNRMSHNYTVSVVKSKQVPGNFFQWDLSIHIYDSETRMWATSLTEVLTGWRGGDESVICDGILYFLIYSTGGGTPENRHGLVTYSLSGRSSPLLRSFIPVPGPLSCGRLMNLKEKLVVVGGMGKQDRPDIIKGIGIWVLEGRNWNEVARMPHKYFQGFGELDDVFASSGTDDLIYIQSYGAPALLVFDMNQKLWKWAQKCPVSKKFPLQLFTGFCFEPRLEFAP >KJB42697 pep chromosome:Graimondii2_0_v6:7:14657605:14659318:-1 gene:B456_007G165900 transcript:KJB42697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFLVLHLLYVKLRGPNDVANLAYLLGLSMERAKAVISKNCRSLLTNALRRKHFFKEAIRVEAVSSSINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRHQLCVILMPNCKCFTVFSFFCLSLQVFNK >KJB42696 pep chromosome:Graimondii2_0_v6:7:14657527:14660847:-1 gene:B456_007G165900 transcript:KJB42696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNCCCWIGLEEKMSYFLVLHLLYVKLRGPNDVANLAYLLGLSMERAKAVISKNCRSLLTNALRRKHFFKEAIRVEAVSSSINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRHQLCVILMPNCKCFTVFSFFCLSLQVFNK >KJB39830 pep chromosome:Graimondii2_0_v6:7:2238037:2239404:1 gene:B456_007G032600 transcript:KJB39830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKDCSQGFCSLYCPQWCYIVFSPPPPMGFPHDDSASNFSPLMITIIGILACAFILLSYYTIIYKYCGKSVRREVHGSGGEMLDDNHNPSTHEPWQASSTGLDEALINCITVCKYRKGDNSVEGTDCSVCLNEFQQDESLRLLPKCSHAFHVHCIDAWLSSHSNCPLCRASITFVSASPVTEPPSSNELLQNENVEAAANDVGNAQGRNAVIEIRDEGHQHMRRSVSMDHLHQTQLSVADILLMNQDEACQFSSVIAGSSKQSTEASKNSNKTRVLHCVMNPVAMKRSFSSGRFFLANQGRSRDPSIPL >KJB39643 pep chromosome:Graimondii2_0_v6:7:1654231:1654757:-1 gene:B456_007G023000 transcript:KJB39643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIICNPKFIFIFFTIIFSTINLSSCRRYTLKTINPEEPTTMAAELSTTWFHFPAAKSPQPSVAEKISRPIYEVSYRTVPGGPNPLHN >KJB40108 pep chromosome:Graimondii2_0_v6:7:3273020:3276978:-1 gene:B456_007G047100 transcript:KJB40108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQSLKRSDTIAESMPDALMQSTSHMKICFSRLVESGKRLLKRQQLMDEVENSIEDKAERSKILEGLIGFILVSTQEAAVIPPYVAFAVRPNPGFWEFVKVNSENLLVDEIKVSEYLKCKEMVFDQNWAKDENALEIDFASINHSTPHLTLPSSIGNGASYISKFMFSKLYESCDGEKQVLDYLLSLNHQGEDLLINGNLNTVDKLKTALRAAISIISELPKTTPYENFEPRLKELDFEKGWGDNAERAKESMMTLYEVLQMPEPANFESLFSWLPAVLRIVILSPHGYFGQSDVLGLPDTGGQVVYILDQVRALEEALLRRIKSRGMTVKPQIVVVTRLIPDARGTKCNQEIEPIINTKHSHILRVPFRTDKGVLQQWVSRFDIYPYLETFAQDAQAKVLQHMGCKPDLIIGNYSDGNLVASLMASNLGITQGTIAHALEKTKYEDSDVKWKEVDAKYHFSCQYTADILAMNAADFVITSTYQEIAGSTEKPGQYESHTAFTMPGLCRVVSGINIFDPKFNIVAPGADQSVYFPYTEKNRRLSSFYPAIEELLYSQDDNNEHIGYLADRRKPIIFSMARLDTVKNITGLTEWYGKNKRLRDLVNLVVVAGFFDPSKSNDREEQAEIKKMHSLMEQYQLRGQFRWIAAQTDRHRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNKGGPAEIIVDGVSGFHIDPNNGDQSSNTIADFFEKCKMDAQHWNRVSTQGLHRIHECYTWEIYANKLLNMGSMYGFWRQLNKEQNLAKQRYIQLLFNLQFRKLSKTVPVPREQALVSVPVPPETPKPDTVPAPAPDAGQPKSQPAVPGPKRSPSLRNMDGSLMELCVIVGFFYLVYYFIKKLFYGLMW >KJB44884 pep chromosome:Graimondii2_0_v6:7:47559601:47560021:-1 gene:B456_007G277800 transcript:KJB44884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITVSNFAAVLTKANLKGSNKVQSSKVLGLPVMATNGRARCSLEQKSSSNRLSSNPSMVASMMATAAAAMTTAAAPAMGLVDERLSMEGT >KJB44885 pep chromosome:Graimondii2_0_v6:7:47559323:47560049:-1 gene:B456_007G277800 transcript:KJB44885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITVSNFAAVLTKANLKGSNKVQSSKVLGLPVMATNGRARCSLEQKSSSNRLSSNPSMVASMMATAAAAMTTAAAPAMGNNLLGWILFGVFGLVWALYFIYVSSLEKDEESGLSI >KJB45806 pep chromosome:Graimondii2_0_v6:7:55194649:55195075:1 gene:B456_007G329700 transcript:KJB45806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIHRLLFASVFIVCCLTTLTNGATLPNDEVEALRSIGKILGRTNWNFDIDPCSRGNSWLDQPTDYYANNVTCDCSFNNNTVMSSTCKYIYIL >KJB43856 pep chromosome:Graimondii2_0_v6:7:25416061:25416666:-1 gene:B456_007G220300 transcript:KJB43856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLIYIVVAGVGGQSPSATPTKAPPASTTPSTTPATAPMSKSKSLAPTVAPTASPPTFSPPDAALSKSAAILAPSKSSPASSPPTIALVSTPPAPIPVSPPPAKSPLAVAPTTPPKSLASPPAPIAAPTTAEVPAPAPSKSKSKKKSKKHSAPVPSPNMLRPSAPPTSALGPSLDALSPGPSVTTDEVHSAFFSHISIISLS >KJB40615 pep chromosome:Graimondii2_0_v6:7:5011765:5016395:-1 gene:B456_007G071300 transcript:KJB40615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDWVIGAFINLFGSIAINFGTNLLKLGHNERERHSMMDGEGMAGKVPLKPIIYFQTWRVGILFFIIGNCLNFISFGYAAQSLLAALGSVQFISNIAFAYFVLNKMVTVKVLAATAFIVLGNFFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILILVVALHHYIYRRGEIVLAVSGQDLRPYWNMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMILLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSIFTGFIYFQEYQVFDALRTTMFILGMVSVFAGISLLAPDEPRGGEVKDGSHPTSVLSSSNSTETDRFIFESEDAENKEMKSFTQLMVVKLTDILAKAKTTCSLSLGFGDDSINASAVLVMPMMSSKITGFRGSGFDRSRIFSLRNSSWSRVAMDEESAKMLNGDGVLPQSP >KJB40617 pep chromosome:Graimondii2_0_v6:7:5012382:5015877:-1 gene:B456_007G071300 transcript:KJB40617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDWVIGAFINLFGSIAINFGTNLLKLGHNERERHSMMDGEGMAGKVPLKPIIYFQTWRVGILFFIIGNCLNFISFGYAAQSLLAALGSVQFISNIAFAYFVLNKMVTVKVLAATAFIVLGNFFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILILVVALHHYIYRRGEIVLAVSGQDLRPYWNMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMILLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSIFTGFIYFQEYQVFDALRTTMFILGMVSVFAGISLLAPDEPRGGEVKDGSHPTSVLSSSNSTETDRFIFESEDAENKEMKSFTQLMVVKLTDILAKAKVLQIGFGEL >KJB40616 pep chromosome:Graimondii2_0_v6:7:5011765:5016358:-1 gene:B456_007G071300 transcript:KJB40616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWYSIFHYWKLSKLHFLWLCCSALGSVQFISNIAFAYFVLNKMVTVKVLAATAFIVLGNFFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILILVVALHHYIYRRGEIVLAVSGQDLRPYWNMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMILLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSIFTGFIYFQEYQVFDALRTTMFILGMVSVFAGISLLAPDEPRGGEVKDGSHPTSVLSSSNSTETDRFIFESEDAENKEMKSFTQLMVVKLTDILAKAKTTCSLSLGFGDDSINASAVLVMPMMSSKITGFRGSGFDRSRIFSLRNSSWSRVAMDEESAKMLNGDGVLPQSP >KJB40614 pep chromosome:Graimondii2_0_v6:7:5011765:5014937:-1 gene:B456_007G071300 transcript:KJB40614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCLWVKESLLAALGSVQFISNIAFAYFVLNKMVTVKVLAATAFIVLGNFFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILILVVALHHYIYRRGEIVLAVSGQDLRPYWNMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMILLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSIFTGFIYFQEYQVFDALRTTMFILGMVSVFAGISLLAPDEPRGGEVKDGSHPTSVLSSSNSTETDRFIFESEDAENKEMKSFTQLMVVKLTDILAKAKTTCSLSLGFGDDSINASAVLVMPMMSSKITGFRGSGFDRSRIFSLRNSSWSRVAMDEESAKMLNGDGVLPQSP >KJB40618 pep chromosome:Graimondii2_0_v6:7:5011765:5016462:-1 gene:B456_007G071300 transcript:KJB40618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDWVIGAFINLFGSIAINFGTNLLKLGHNERERHSMMDGEGMAGKVPLKPIIYFQTWRVGILFFIIGNCLNFISFGYAAQSLLAALGSVQFISNIAFAYFVLNKMVTVKVLAATAFIVLGNFFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILILVVALHHYIYRRGEIVLAVSGQDLRPYWNMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMILLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSIFTGFIYFQEYQVFDALRTTMFILGMVSVFAGISLLAPDEPRGGEVKDGSHPTSVLSSSNSTETDRFIFESEDAENKEMKSFTQLMVVKLTDILAKAKTTCSLSLGFGDDSINASAVLVMPMMSSKITGFRGSGFDRSRIFSLRNSSWSRVAMDEESAKMLNGDGVLPQSP >KJB40613 pep chromosome:Graimondii2_0_v6:7:5011765:5016120:-1 gene:B456_007G071300 transcript:KJB40613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVKVLAATAFIVLGNFFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILILVVALHHYIYRRGEIVLAVSGQDLRPYWNMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMILLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSIFTGFIYFQEYQVFDALRTTMFILGMVSVFAGISLLAPDEPRGGEVKDGSHPTSVLSSSNSTETDRFIFESEDAENKEMKSFTQLMVVKLTDILAKAKTTCSLSLGFGDDSINASAVLVMPMMSSKITGFRGSGFDRSRIFSLRNSSWSRVAMDEESAKMLNGDGVLPQSP >KJB40619 pep chromosome:Graimondii2_0_v6:7:5011765:5016410:-1 gene:B456_007G071300 transcript:KJB40619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDWVIGAFINLFGSIAINFGTNLLKLGHNERERHSMMDGEGMAGKVPLKPIIYFQTWRVGILFFIIGNCLNFISFGYAAQSLLAALGSVQFISNIAFAYFVLNKMVTVKVLAATAFIVLGNFFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILILVVALHHYIYRRGEIVLAVSGQDLRPYWNMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMILLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSIFTGFIYFQEYQVFDALRTTMFILGMVSVFAGISLLAPDEPRGGEVKDGSHPTSVLSSSNSTETDRFIFESEDAENKEMKSFTQLMVVKLTDILAKAKTTCSLSLGFGDDSINASAVLVMPMMSSKITGFRGSGFDRSRIFSLRNSSWSRVAMDEESAKMLNGDGVLPQSP >KJB42261 pep chromosome:Graimondii2_0_v6:7:12243378:12245143:1 gene:B456_007G145500 transcript:KJB42261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSSWCYDGSLPESYVMPPERRPGNLVVPLEKSIPVIDLQCHDPKDTIQQILKASQDYGFFQVINHGVSEELMDETRNVAEEFHAMPGLDKERECGKDPNGSCKLYTSSYAYPREDFHYWRDAVTHPCRPLDERIQYWPEKPTRYREVVGTYSVEMWKLSCRILEFICEGLGLSVDYFSNDLTQVPKIMINYYPPCPAPSLTLGLSKHCDPTIITILLQGQINGLQVFKDGRWIGVQPLPHAFVVNIGYLLQQRETKRS >KJB42260 pep chromosome:Graimondii2_0_v6:7:12243539:12244598:1 gene:B456_007G145500 transcript:KJB42260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSSWCYDGSLPESYVMPPERRPGNLVVPLEKSIPVIDLQCHDPKDTIQQILKASQDYGFFQVINHGVSEELMDETRNVAEEFHAMPGLDKERECGKDPNGSCKLYTSSYAYPREDFHYWRDAVTHPCRPLDERIQYWPEKPTRYREVVGTYSVEMWKLSCRILEFICEGLGLSVDYFSNDLTQVPKIMINYYPPCPAPSLTLGLSKHCDPTIITILLQGQINGLQVFKDGRWIGVQPLPHAFVVNIGYLLQVPPPPIISFLINFYFF >KJB42259 pep chromosome:Graimondii2_0_v6:7:12243378:12245143:1 gene:B456_007G145500 transcript:KJB42259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSSWCYDGSLPESYVMPPERRPGNLVVPLEKSIPVIDLQCHDPKDTIQQILKASQDYGFFQVINHGVSEELMDETRNVAEEFHAMPGLDKERECGKDPNGSCKLYTSSYAYPREDFHYWRDAVTHPCRPLDERIQYWPEKPTRYREVVGTYSVEMWKLSCRILEFICEGLGLSVDYFSNDLTQVPKIMINYYPPCPAPSLTLGLSKHCDPTIITILLQGQINGLQVFKDGRWIGVQPLPHAFVVNIGYLLQIISNGKLKGAEHRVVTNSRYARTTICFFVYPRDESLIEPAKALVNASNPAIYKAFKFVDFVTAFVLNPDDTGEAVKELITLNP >KJB42456 pep chromosome:Graimondii2_0_v6:7:13148871:13155909:1 gene:B456_007G154000 transcript:KJB42456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYMLKRKLHASAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSVAAAAYIEAQQIKAREQQQLQMQQLQLMQHRNVQLQRRDPNHPALGGSVNTNSEGMMGHPSASILAMKLYEERVKNPQSVDSETSSALIDANRMALLKTQANPHSQLVQSSRGNKSAALQQIQSQTPLATATAQDIKSEVNFGGNQKSLPMDPSSIYGQAILQPKSGLGGLNQGVSSLSLRGWPLTGIDQLRPSLGIQMQKPNLQTQNQFLLASQQHNVLAQAQIQGNLGNSANFGNTDPCRFGQLSRGNLNAKDGQSARNNGSTCSPVHSSSPKKKMSQMSHSSSQQQEQLQQQQPSQQLHQNNRKRKQPSSSGAANSTGTGNTVGPSPNSPPSTHLPGDAITTASSLQHVNNASKSMICGEDSTAHLSSSSNLLEDIERFDPLDENTETLLSHDGSDVRGIYGTVKQSPNEHQKESVKGFTFAEVGCIRTRNSKVTCCHFSSDGKFLASSGHDKKVVLWNMDTLQTVSSPGEHKLVITDVRFRPNSTQLATASVDKSVRIWDAANPSYCVQAYNGHSSPVMSLDFHPRKTELFCFCDNDNEIHYWNLNLFSCMRMSKGGMAQVRFQPRLGHFLAAASDKVVSIFDVETDRQILTFQGHSEIVNYICWDVNGDYLASVSHDLVKLWSVATGECIQELSSGGNQFHSCVFHPSYSTLLVIGGISSLELWNMAENKSMTIPAHENIISALAQSPVGGIVATASHDGSVKLWK >KJB42458 pep chromosome:Graimondii2_0_v6:7:13148871:13155909:1 gene:B456_007G154000 transcript:KJB42458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYMLKRKLHASAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSVAAAAYIEAQQIKAREQQQLQMQQLQLMQHRNVQLQRRDPNHPALGGSVNTNSEGMMGHPSASILAMKLYEERVKNPQSVDSETSSALIDANRMALLKTQANPHSQLVQSSRGNKSAALQQIQSQTPLATATAQDIKSEVNFGGNQKSLPMDPSSIYGQAILQPKSGLGGLNQGVSSLSLRGWPLTAQIQGNLGNSANFGNTDPCRFGQLSRGNLNAKDGQSARNNGSTCSPVHSSSPKKKMSQMSHSSSQQQEQLQQQQPSQQLHQNNRKRKQPSSSGAANSTGTGNTVGPSPNSPPSTHLPGDAITTASSLQHVNNASKSMICGEDSTAHLSSSSNLLEDIERFDPLDENTETLLSHDGSDVRGIYGTVKQSPNEHQKESVKGFTFAEVGCIRTRNSKVTCCHFSSDGKFLASSGHDKKVVLWNMDTLQTVSSPGEHKLVITDVRFRPNSTQLATASVDKSVRIWDAANPSYCVQAYNGHSSPVMSLDFHPRKTELFCFCDNDNEIHYWNLNLFSCMRMSKGGMAQVRFQPRLGHFLAAASDKVVSIFDVETDRQILTFQQGHSEIVNYICWDVNGDYLASVSHDLVKLWSVATGECIQELSSGGNQFHSCVFHPSYSTLLVIGGISSLELWNMAENKSMTIPAHENIISALAQSPVGGIVATASHDGSVKLWK >KJB42459 pep chromosome:Graimondii2_0_v6:7:13149276:13155909:1 gene:B456_007G154000 transcript:KJB42459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYMLKRKLHASAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSVAAAAYIEAQQIKAREQQQLQMQQLQLMQHRNVQLQRRDPNHPALGGSVNTNSEGMMGHPSASILAMKLYEERVKNPQSVDSETSSALIDANRMALLKTQANPHSQLVQSSRGNKSAALQQIQSQTPLATATAQDIKSEVNFGGNQKSLPMDPSSIYGQAILQPKSGLGGLNQGVSSLSLRGWPLTGIDQLRPSLGIQMQKPNLQTQNQFLLASQQHNVLAQAQIQGNLGNSANFGNTDPCRFGQLSRGNLNAKDGQSARNNGSTCSPVHSSSPKKKMSQMSHSSSQQQEQLQQQQPSQQLHQNNRKRKQPSSSGAANSTGTGNTVGPSPNSPPSTHLPGDAITTASSLQHVNNASKSMICGEDSTAHLSSSSNLLEDIERFDPLDENTETLLSHDGSDVRGIYGTVKQSPNEHQKESVKGFTFAEVGCIRTRNSKVTCCHFSSDGKFLASSGHDKKVVLWNMDTLQTVSSPGEHKLVITDVRFRPNSTQLATASVDKSVRIWDAANPSYCVQAYNGHSSPVMSLDFHPRKTELFCFCDNDNEIHYWNLNLFSCMRMSKGGMAQVRFQPRLGHFLAAASDKVVSIFDVETDRQILTFQGHSEIVNYICWDVNGDYLASVSHDLVKLWSVATGECIQELSSGGNQFHSCVFHPSYSTLLVIGGISSLELWNMAENKSMTIPAHENIISALAQSPVGGIVATASHDGSVKLWK >KJB42457 pep chromosome:Graimondii2_0_v6:7:13148871:13155909:1 gene:B456_007G154000 transcript:KJB42457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYMLKRKLHASAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSVAAAAYIEAQQIKAREQQQLQMQQLQLMQHRNVQLQRRDPNHPALGGSVNTNSEGMMGHPSASILAMKLYEERVKNPQSVDSETSSALIDANRMALLKTQANPHSQLVQSSRGNKSAALQQIQSQTPLATATAQDIKSEVNFGGNQKSLPMDPSSIYGQAILQPKSGLGGLNQGVSSLSLRGWPLTGIDQLRPSLGIQMQKPNLQTQNQFLLASQQHNVLAQAQIQGNLGNSANFGNTDPCRFGQLSRGNLNAKDGQSARNNGSTCSPVHSSSPKKKMSQMSHSSSQQQEQLQQQQPSQQLHQNNRKRKQPSSSGAANSTGTGNTVGPSPNSPPSTHLPGDAITTASSLQHVNNASKSMICGEDSTAHLSSSSNLLEDIERFDPLDENTETLLSHDGSDVRGIYGTVKQSPNEHQKESVKGFTFAEVGCIRTRNSKVTCCHFSSDGKFLASSGHDKKVVLWNMDTLQTVSSPGEHKLVITDVRFRPNSTQLATASVDKSVRIWDAANPSYCVQAYNGHSSPVMSLDFHPRKTELFCFCDNDNEIHYWNLNLFSCMRMSKGGMAQVRFQPRLGHFLAAASDKVVSIFDVETDRQILTFQQGHSEIVNYICWDVNGDYLASVSHDLVKLWSVATGECIQELSSGGNQFHSCVFHPSYSTLLVIGGISSLELWNMAENKSMTIPAHENIISALAQSPVGGIVATASHDGSVKLWK >KJB42455 pep chromosome:Graimondii2_0_v6:7:13148871:13155909:1 gene:B456_007G154000 transcript:KJB42455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYMLKRKLHASAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSVAAAAYIEAQQIKAREQQQLQMQQLQLMQHRNVQLQRRDPNHPALGGSVNTNSEGMMGHPSASILAMKLYEERVKNPQSVDSETSSALIDANRMALLKTQANPHSQLVQSSRGNKSAALQQIQSQTPLATATAQDIKSEVNFGGNQKSLPMDPSSIYGQAILQPKSGLGGLNQGVSSLSLRGWPLTAQIQGNLGNSANFGNTDPCRFGQLSRGNLNAKDGQSARNNGSTCSPVHSSSPKKKMSQMSHSSSQQQEQLQQQQPSQQLHQNNRKRKQPSSSGAANSTGTGNTVGPSPNSPPSTHLPGDAITTASSLQHVNNASKSMICGEDSTAHLSSSSNLLEDIERFDPLDENTETLLSHDGSDVRGIYGTVKQSPNEHQKESVKGFTFAEVGCIRTRNSKVTCCHFSSDGKFLASSGHDKKVVLWNMDTLQTVSSPGEHKLVITDVRFRPNSTQLATASVDKSVRIWDAANPSYCVQAYNGHSSPVMSLDFHPRKTELFCFCDNDNEIHYWNLNLFSCMRMSKGGMAQVRFQPRLGHFLAAASDKVVSIFDVETDRQILTFQGHSEIVNYICWDVNGDYLASVSHDLVKLWSVATGECIQELSSGGNQFHSCVFHPSYSTLLVIGGISSLELWNMAENKSMTIPAHENIISALAQSPVGGIVATASHDGSVKLWK >KJB40221 pep chromosome:Graimondii2_0_v6:7:3649210:3650803:-1 gene:B456_007G052000 transcript:KJB40221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFTMLVKHWERKMHTFHFSWEECMIASEDITLQLSFPIDGQLMIGNYVVERNIYQICQMFLGMVPESKYTKGLHLKLT >KJB40222 pep chromosome:Graimondii2_0_v6:7:3649567:3649809:-1 gene:B456_007G052000 transcript:KJB40222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFTMLVKHWERKMHTFHFSWEECMIASEDITLQLSFPIDGQLMIGNYVVERNIYQICQMFLGMVPESKYTKGLHLKLT >KJB38924 pep chromosome:Graimondii2_0_v6:7:7468915:7480108:1 gene:B456_007G100800 transcript:KJB38924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGGGGGGGPSRNPGAGPVGRTASTSSAASPSSSSSAVSAPHFGYDSVQQQQQQQQQQQQIASRQSLQQQLFRKPEGNEAILAYQARGLQGMMGGDNFPSSPGSMQPSQQSRKFFDLAQPHASAQDSQQRSQGVDQQMLNPVQQAYYQYAFQAAQHQKTLLAQQQAKMAMLGSASLKDQDTRIGNLKMQELISMQVAKQAQASSSKNTSEQLDCVEKQIEQGPESASEQRNELKAPAQVTVGGKSMPGNVLRTMQAQAQQTVQNSGNNQLVMAAQLQAWARERNIDLSQPANANLMAQLIPLMQSRMAAQKKTNESNMAPVPVSKPQVSSPSVLSESSPCGNSSNDISAQSGPAKSRPTVIPSPFGSTSSAGAVDDAKNIAMQQLAINGRDNQVPPRQTVVHGNGMPPMHPPQSSANINQSFDPSFPAKTSLGGTETLQMQNIKQLSRPSQQPAAPNNNGGSVNNTPSQGGAASKMAQQCFGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLQAIIPPALEQQQLQFPPLGGNNQDRNGGKSIEDKGKHLESNEKVLQAGPSTDGQNVIKEEAYAGDEKATASTADMQGVSATAKDFSSALPAAKEEQKSSVFPAKSDQEVEPGLPKIPVRNDSSVERGKSVAPQVAASDGGQIKKPVEANSAPQKDLGPARKYHGPLFDFPFFTRKHDSYGSAMPNSNNNLTLAYDVKDLLFEEGMEVLSKKRSENLRKIGGLLAVNLERKRIRPDLVLRLQIEERKLRLVDLQARLRDEVDQQQQEIMAMPDRPYRKFVRLCERQRMELARQVQATQKALREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLIEQQTNIPGDAAERYEVLSSFLTQTEEYLHKLGSKITAAKNQQEVEEAANAAAVAARLQGLSEEEVRVAAACAGEEVMIRNRFMEMNAPRDGSSVSKYYNLAHAVNERVVRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGGKDQRSKLFSQEVLAMKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSQPFQKEGPTHNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLKCRMSAIQSAIYDWIKSTGTLRADPEDEKIRVSKNPLYQARVYKTLNNRCMELRKTCNHPLLNYPYFNDLSKNFLVRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPDSECFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSQQKEDELRNGGTVDFEDDFAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLHQVNRMIARSEEEVELFDQMDEELDWTDEMTCHEEVPKWLRASTKEVNATVATLSKKPSKNILFTAGVVGVSNEMESERKRGRPKGKKHPNYKEIDDDNGEYSEASSDERNGYSVHDEDGETGEFEDEEFSGAVGAPPLNKDQSEEDGPLGDNGNEYAQASEHIRNNRILEEGGSSGSSLDSRRPTQMVSPVSPQKFGSLSALDARPGSVAKKLHDELEEGEIALSGDSHMDHQLSESWIHDREEGEDEQVVQPKIKRKRSIRLRPRHTVERAEEKSVIEVPYLLRGESSLLPSQLDQKYQSQLRIDTETKPTLERNAFRLDPNDSSSKTRRNLPPRKIANTTKFHASLKSGRVNMASPAENACEPTRESWDGKLVNTSGSSNFGVKMSDGIQRKCKNVISKLRRRIDKEGQQIIPLLTDLWKRIENSGYMGGSGSNHLDLWKIDQRVDRLEYSGVTELVFDVQLVLKSAMQFYGFSHEVRSEARKVHDLFFDLLKIVFPDNDFREARNALSFSSPVSTSTSGPSARQVAVSKRQKPINEVESDPDLTQKTLQRGFPHAGEETRVRVHMPQKESRLGKGSGITKEHQQDDSPLTHPGELVICKKKRKEREKSIAKPRSGSVGPVSPPSIGRNMRSQGPGSVSKDARLTQQSSHQQGLPNRPGHPSKGCGGSVGWANPVKKLRTDAGKRRPSLL >KJB38922 pep chromosome:Graimondii2_0_v6:7:7468905:7480135:1 gene:B456_007G100800 transcript:KJB38922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGGGGGGGPSRNPGAGPVGRTASTSSAASPSSSSSAVSAPHFGYDSVQQQQQQQQQQQQIASRQSLQQQLFRKPEGNEAILAYQARGLQGMMGGDNFPSSPGSMQPSQQSRKFFDLAQPHASAQDSQQRSQGVDQQMLNPVQQAYYQYAFQAAQHQKTLLAQQQAKMAMLGSASLKDQDTRIGNLKMQELISMQVAKQAQASSSKNTSEQLDCVEKQIEQGPESASEQRNELKAPAQVTVGGKSMPGNVLRTMQAQAQQTVQNSGNNQLVMAAQLQAWARERNIDLSQPANANLMAQLIPLMQSRMAAQKKTNESNMAPVPVSKPQVSSPSVLSESSPCGNSSNDISAQSGPAKSRPTVIPSPFGSTSSAGAVDDAKNIAMQQLAINGRDNQVPPRQTVVHGNGMPPMHPPQSSANINQSFDPSFPAKTSLGGTETLQMQNIKQLSRPSQQPAAPNNNGGSVNNTPSQGGAASKMAQQCFGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLQAIIPPALEQQQLQFPPLGGNNQDRNGGKSIEDKGKHLESNEKVLQAGPSTDGQNVIKEEAYAGDEKATASTADMQGVSATAKDFSSALPAAKEEQKSSVFPAKSDQEVEPGLPKIPVRNDSSVERGKSVAPQVAASDGGQIKKPVEANSAPQKDLGPARKYHGPLFDFPFFTRKHDSYGSAMPNSNNNLTLAYDVKDLLFEEGMEVLSKKRSENLRKIGGLLAVNLERKRIRPDLVLRLQIEERKLRLVDLQARLRDEVDQQQQEIMAMPDRPYRKFVRLCERQRMELARQVQATQKALREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLIEQQTNIPGDAAERYEVLSSFLTQTEEYLHKLGSKITAAKNQQEVEEAANAAAVAARLQGLSEEEVRVAAACAGEEVMIRNRFMEMNAPRDGSSVSKYYNLAHAVNERVVRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGGKDQRSKLFSQEVLAMKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSQPFQKEGPTHNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLKCRMSAIQSAIYDWIKSTGTLRADPEDEKIRVSKNPLYQARVYKTLNNRCMELRKTCNHPLLNYPYFNDLSKNFLVRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPDSECFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSQQKEDELRNGGTVDFEDDFAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLHQVNRMIARSEEEVELFDQMDEELDWTDEMTCHEEVPKWLRASTKEVNATVATLSKKPSKNILFTAGVVGVSNEMESERKRGRPKGKKHPNYKEIDDDNGEYSEASSDERNGYSVHDEDGETGEFEDEEFSGAVGAPPLNKDQSEEDGPLGDNGNEYAQASEHIRNNRILEEGGSSGSSLDSRRPTQMVSPVSPQKFGSLSALDARPGSVAKKLHDELEEGEIALSGDSHMDHQLSESWIHDREEGEDEQVVQPKIKRKRSIRLRPRHTVERAEEKSVIEVPYLLRGESSLLPSQLDQKYQSQLRIDTETKPTLERNAFRLDPNDSSSKTRRNLPPRKIANTTKFHASLKSGRVNMASPAENACEPTRESWDGKLVNTSGSSNFGVKMSDGIQRKCKNVISKLRRRIDKEGQQIIPLLTDLWKRIENSGYMGGSGSNHLDLWKIDQRVDRLEYSGVTELVFDVQLVLKSAMQFYGFSHEVRSEARKVHDLFFDLLKIVFPDNDFREARNALSFSSPVSTSTSGPSARQVAVSKRQKPINEVESDPDLTQKTLQRGFPHAGEETRVRVHMPQKESRLGKGSGITKEHQQDDSPLTHPGELVICKKKRKEREKSIAKPRSGSVGPVSPPSIGRNMRSQGPGSVSKDARLTQQSSHQQGLPNRPGHPSKGCGGSVGWANPVKKLRTDAVPIREKSGNRYQWRGKDLFT >KJB38923 pep chromosome:Graimondii2_0_v6:7:7468915:7480108:1 gene:B456_007G100800 transcript:KJB38923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGDNFPSSPGSMQPSQQSRKFFDLAQPHASAQDSQQRSQGVDQQMLNPVQQAYYQYAFQAAQHQKTLLAQQQAKMAMLGSASLKDQDTRIGNLKMQELISMQVAKQAQASSSKNTSEQLDCVEKQIEQGPESASEQRNELKAPAQVTVGGKSMPGNVLRTMQAQAQQTVQNSGNNQLVMAAQLQAWARERNIDLSQPANANLMAQLIPLMQSRMAAQKKTNESNMAPVPVSKPQVSSPSVLSESSPCGNSSNDISAQSGPAKSRPTVIPSPFGSTSSAGAVDDAKNIAMQQLAINGRDNQVPPRQTVVHGNGMPPMHPPQSSANINQSFDPSFPAKTSLGGTETLQMQNIKQLSRPSQQPAAPNNNGGSVNNTPSQGGAASKMAQQCFGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLQAIIPPALEQQQLQFPPLGGNNQDRNGGKSIEDKGKHLESNEKVLQAGPSTDGQNVIKEEAYAGDEKATASTADMQGVSATAKDFSSALPAAKEEQKSSVFPAKSDQEVEPGLPKIPVRNDSSVERGKSVAPQVAASDGGQIKKPVEANSAPQKDLGPARKYHGPLFDFPFFTRKHDSYGSAMPNSNNNLTLAYDVKDLLFEEGMEVLSKKRSENLRKIGGLLAVNLERKRIRPDLVLRLQIEERKLRLVDLQARLRDEVDQQQQEIMAMPDRPYRKFVRLCERQRMELARQVQATQKALREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLIEQQTNIPGDAAERYEVLSSFLTQTEEYLHKLGSKITAAKNQQEVEEAANAAAVAARLQGLSEEEVRVAAACAGEEVMIRNRFMEMNAPRDGSSVSKYYNLAHAVNERVVRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGGKDQRSKLFSQEVLAMKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSQPFQKEGPTHNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLKCRMSAIQSAIYDWIKSTGTLRADPEDEKIRVSKNPLYQARVYKTLNNRCMELRKTCNHPLLNYPYFNDLSKNFLVRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPDSECFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSQQKEDELRNGGTVDFEDDFAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLHQVNRMIARSEEEVELFDQMDEELDWTDEMTCHEEVPKWLRASTKEVNATVATLSKKPSKNILFTAGVVGVSNEMESERKRGRPKGKKHPNYKEIDDDNGEYSEASSDERNGYSVHDEDGETGEFEDEEFSGAVGAPPLNKDQSEEDGPLGDNGNEYAQASEHIRNNRILEEGGSSGSSLDSRRPTQMVSPVSPQKFGSLSALDARPGSVAKKLHDELEEGEIALSGDSHMDHQLSESWIHDREEGEDEQVVQPKIKRKRSIRLRPRHTVERAEEKSVIEVPYLLRGESSLLPSQLDQKYQSQLRIDTETKPTLERNAFRLDPNDSSSKTRRNLPPRKIANTTKFHASLKSGRVNMASPAENACEPTRESWDGKLVNTSGSSNFGVKMSDGIQRKCKNVISKLRRRIDKEGQQIIPLLTDLWKRIENSGYMGGSGSNHLDLWKIDQRVDRLEYSGVTELVFDVQLVLKSAMQFYGFSHEVRSEARKVHDLFFDLLKIVFPDNDFREARNALSFSSPVSTSTSGPSARQVAVSKRQKPINEVESDPDLTQKTLQRGFPHAGEETRVRVHMPQKESRLGKGSGITKEHQQDDSPLTHPGELVICKKKRKEREKSIAKPRSGSVGPVSPPSIGRNMRSQGPGSVSKDARLTQQSSHQQGLPNRPGHPSKGCGGSVGWANPVKKLRTDAGKRRPSLL >KJB40727 pep chromosome:Graimondii2_0_v6:7:5310728:5312226:-1 gene:B456_007G075300 transcript:KJB40727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRSWLIDSRSIAKKVKNAIQITDPDCGANRECPNCHCRIDNSDVSPQWPGLPIGLKFDPSDIELLEHLAAKCGIGDLKPHPFIDEFIPTLNEDQGICYTHPQNLPGVKKDGSSIHFFHQTINAYATGQRKRRRIQNRHSTIEEHVRWHKTGKTKPVIENGVRKGWKKIMVLYKRSNRAHKPEKSNWVMHQYHLGAEEVEVGGEYVVSKISYQQPKQTDKNDGVTRMEDSDNSMIRASPRTPKTMTQSPPRPWESMIYEDDIDEKVHQESKFAAQGSNLPIQGVECEDHLEYPLWLAGESQAAVNSEMNCLDNSSLQVKNSVKDRVSYAVHDPGTMEMSAGNKDTCTCGISELENLEFDTPPDVQLSDLQFDSQESISSWLDQV >KJB41843 pep chromosome:Graimondii2_0_v6:7:9892396:9894830:1 gene:B456_007G124000 transcript:KJB41843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKVGLKKGRWTAEEDEILTKYIQTHGEGSWRLLPKNAGLLRCGKSCRLRWINYLRADLKRGNFTGEEDELLIKLHQSLGNRWSLIASHLPGRTDNEIKNYWNSNLSTKMYCFRRLSNQSLPVILNVTGRKGMKDNRGHSTKKENNGNSSSNKPMEVFIDEVVPFLSTPSLEKETFPSAVEDSMHLDPYEEDNERRIGVIPSSCQHTGDGDRYVENSMLCPAATDSVVEKETDIFSLFGSTESSGMLCFDNILDNELLQTNGDSTLSRWGLNQVSVDLENSGDLCPNKPAAINKQVESGDCGTGDLTSYFCTTSCFFDDCEVNNILDWDWERNELWDENECIMPSWLWELDYNDKDDRLTLENNDLELHGSAVA >KJB39194 pep chromosome:Graimondii2_0_v6:7:184538:188655:-1 gene:B456_007G001700 transcript:KJB39194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNMVYPSEMIESTSFFHPTNSVSLPNLSPDGFVWKRKGYGTAIGRIRRLQCSAQAPHPPPAWPGLAFPHPGRKTWDGPKPISIVGSTGSIGSQTLDIIAENPDKFRIVGLAAGSNATLLAHQVKTFKPQLVAVRNESLVNELKDALADMEPKPEIIPGEQGVIEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLPEGALWRIILTASGGAFRDWPVDKLKDVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMVETQDSSVLAQLRWPDMRLPILYTLSWPERIYCSEITWPRLDLCKLGSLAFKAPDNVKYPSMSLAYAAGRAGGTMTGVLSAANEKAVELFIKEKISYLDIFKVVELTCEKHQEELVATPSLEEIVHYDSWARDYTSALQCSSSG >KJB39193 pep chromosome:Graimondii2_0_v6:7:184892:188435:-1 gene:B456_007G001700 transcript:KJB39193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNMVYPSEMIESTSFFHPTNSVSLPNLSPDGFVWKRKGYGTAIGRIRRLQCSAQAPHPPPAWPGLAFPHPGRKTWDGPKPISIVGSTGSIGSQTLDIIAENPDKFRIVGLAAGSNATLLAHQVKTFKPQLVAVRNESLVNELKDALADMEPKPEIIPGEQGVIEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLPEGALWRIILTASGGAFRDWPVDKLKDVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMVETQDSSVLAQLRWPDMRLPILYTLSWPERIYCSEITWPRLDLCKLGSLAFKAPDNVKYPSMSLAYAAGRAGGTMTGVLSAANEKAVELFIKEKLNWYCFYSG >KJB40207 pep chromosome:Graimondii2_0_v6:7:3606890:3609134:-1 gene:B456_007G051100 transcript:KJB40207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLEDQMAFISQIYLGGYPQVAPQQAESKPRRRRNKNKGGENNLSMTKKRKLSQEQVDLLEHHFGNEHKLESERKDRLASELGLDPRQVAVWFQNRRARWKNKKLEEGFSKLKSDHHEVVLEKCRLESEVTKLKEKLCEAKKEIQRLGERVDRGSSNSPSSSLTMEAMDTPFLGEFGLEGYDNVFYIAENSYNNIPGMEWMNMYM >KJB40208 pep chromosome:Graimondii2_0_v6:7:3606956:3608739:-1 gene:B456_007G051100 transcript:KJB40208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKRKLSQEQVDLLEHHFGNEHKLESERKDRLASELGLDPRQVAVWFQNRRARWKNKKLEEGFSKLKSDHHEVVLEKCRLESEVTKLKEKLCEAKKEIQRLGERVDRGSSNSPSSSLTMEAMDTPFLGEFGLEGYDNVFYIAENSYNNIPGMEWMNMYM >KJB41576 pep chromosome:Graimondii2_0_v6:7:8387191:8388366:-1 gene:B456_007G110000 transcript:KJB41576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLESLIVTRPRTHQPCAACRMLRRRCDSNCILAPYFPCDEMDKFAKVHKVFGASNVIKMIQMVEETNREDAVKALVYEATARMRDPVYGSTGAICQLQKMVQELKMQLESTKARVLELQQQKDQLWSVLMNVNHLDLLSPINGGDNFCLGYDDSIAYDPDKFPVVGDWIF >KJB42141 pep chromosome:Graimondii2_0_v6:7:11399702:11402412:-1 gene:B456_007G139100 transcript:KJB42141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISWPKTLIKKWLNIKSKAEDFHANDIDYEDVDEHWRRNFSEREACTIKKSRTERLSKRYSDRVPLSKIDLDGSQAIDVHNYRVFVATWNVAGKSPPSYLNLEDWLHTSPPADIYVLGFQEIIPLNAGNVLGTEDNGPARKWLALIRKTLNSLPSTSGGCHTPSPSLIPDPPVELDADFEGSTRQRASSFFHRQSFQSLSHSKMEMSQARLDHRFSVCDRVIFGHRPSDYDPSFRWGSSISDDENEHGDSPGNTQYSQHSPMSCGGFFSVEESNRQMGHSRYSLVASKQMVGIFLTVWVKSDLRDDVHSMKVSCVGRGLMGYLGNKGSISISMCLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGMGDDNSPQMILEHDRIIWLGDLNYRIALSYRFAKALVEMCNWKALLENDQLRIEQRRGHVFKGWSEGRIYFPPTYKYSNNSDRYAGEDQHPREKRRTPAWCDRILWYGRGLCQLSYVRGESKFSDHRPVYSVFSAEVVSINQSRIWKNSSCSSARIEVEELLPPSHGYTELSFF >KJB42142 pep chromosome:Graimondii2_0_v6:7:11398818:11403118:-1 gene:B456_007G139100 transcript:KJB42142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLRRSKISWPKTLIKKWLNIKSKAEDFHANDIDYEDVDEHWRRNFSEREACTIKKSRTERLSKRYSDRVPLSKIDLDGSQAIDVHNYRVFVATWNVAGKSPPSYLNLEDWLHTSPPADIYVLGFQEIIPLNAGNVLGTEDNGPARKWLALIRKTLNSLPSTSGGCHTPSPSLIPDPPVELDADFEGSTRQRASSFFHRQSFQSLSHSKMEMSQARLDHRFSVCDRVIFGHRPSDYDPSFRWGSSISDDENEHGDSPGNTQYSQHSPMSCGGFFSVEESNRQMGHSRYSLVASKQMVGIFLTVWVKSDLRDDVHSMKVSCVGRGLMGYLGNKGSISISMCLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGMGDDNSPQMILEHDRIIWLGDLNYRIALSYRFAKALVEMCNWKALLENDQLRIEQRRGHVFKGWSEGRIYFPPTYKYSNNSDRYAGEDQHPREKRRTPAWCDRILWYGRGLCQLSYVRGESKFSDHRPVYSVFSAEVVSINQSRIWKNSSCSSARIEVEELLPPSHGYTELSFF >KJB43794 pep chromosome:Graimondii2_0_v6:7:24434976:24445123:1 gene:B456_007G216400 transcript:KJB43794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAENCSVKVAVHIRPFIGDERTQGCKECVTVTPGKPQVQIGTHSFTFDQVYGNGGSPSSSMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTATKDDCQTGLIPQVMNELFKKIETLKRRTEFQLHVSFIEILREEVRDLLNSEPVGKLVTPKRNAVKTTVPGRLPLQIRESSNGIITLAGSTEVAVSTLQEMATCLEHGSISRSTGSTNMNNQSSRSHAIFTITLEQMHKTQSISTVNNTTDEDMSEEYLCAKLHLVDLAGSERAKRTGSNGLRLKEGIHINRGLLALGNVISALGNEKKRKEGMHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEESLNTLKYANRARNIQNKPVVNRDLISTEMQKMCQQLQYLRAELCARVGGPTSDIQVLKERITWLEATNKDLRRELHEYCSRHAVLECRESGAQDGHACFMKSDVHKRAFQSMDSSYCQMDEVVSDEKPGEIDVAAKELEHALRQNTMDRELNELNKHLEQKESEMKLVGGADTEALKQHFQKKIMELEEEKRIEQHDRDRLLAAFENRAANSDAQRQKRQEINVQKIKALEAQISDVKKKQENQVELLKQKKRSDAAAKRLQAEIQYIKAQKVQLQRKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLEALNQRQKLVLQRKTEEATIATKRLKELLEAHKCSVRDNSVNHNGHSPNGQSNEKSLRRWVDHELEVMVKVREVRFEYEKQIQVQSALAEELTLLKEVDQFSLNGDIPHRVNNGHSRLLSMSPNARMERIASLEYMLSMSSNALKAMVSQLSDAEEQECGLVGRRQWNQVHSLSEAKSLLQYLFNATAESRSQLRENDLEIKDLKQQLKDLTALLWKSEAEKKELVKEQKMREQAVVIALATSATGNSRSSSKHLADVLSPSLSPMSLPVPKQLKFTPGIVNGSITDTVAFLDQRRKMVPVEHLSIQKVTAMGQTGKLWRWKRSHHQWLLQFRWKWQKPWKLSEWIKHSDETIMRSRPHAQALIELI >KJB43795 pep chromosome:Graimondii2_0_v6:7:24434976:24446331:1 gene:B456_007G216400 transcript:KJB43795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAENCSVKVAVHIRPFIGDERTQGCKECVTVTPGKPQVQIGTHSFTFDQVYGNGGSPSSSMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTATKDDCQTGLIPQVMNELFKKIETLKRRTEFQLHVSFIEILREEVRDLLNSEPVGKLVTPKRNAVKTTVPGRLPLQIRESSNGIITLAGSTEVAVSTLQEMATCLEHGSISRSTGSTNMNNQSSRSHAIFTITLEQMHKTQSISTVNNTTDEDMSEEYLCAKLHLVDLAGSERAKRTGSNGLRLKEGIHINRGLLALGNVISALGNEKKRKEGMHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEESLNTLKYANRARNIQNKPVVNRDLISTEMQKMCQQLQYLRAELCARVGGPTSDIQVLKERITWLEATNKDLRRELHEYCSRHAVLECRESGAQDGHACFMKSDVHKRAFQSMDSSYCQMDEVVSDEKPGEIDVAAKELEHALRQNTMDRELNELNKHLEQKESEMKLVGGADTEALKQHFQKKIMELEEEKRIEQHDRDRLLAAFENRAANSDAQRQKRQEINVQKIKALEAQISDVKKKQENQVELLKQKKRSDAAAKRLQAEIQYIKAQKVQLQRKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLEALNQRQKLVLQRKTEEATIATKRLKELLEAHKCSVRDNSVNHNGHSPNGQSNEKSLRRWVDHELEVMVKVREVRFEYEKQIQVQSALAEELTLLKEVDQFSLNGDIPHRVNNGHSRLLSMSPNARMERIASLEYMLSMSSNALKAMVSQLSDAEEQECGLVGRRQWNQVHSLSEAKSLLQYLFNATAESRSQLRENDLEIKDLKQQLKDLTALLWKSEAEKKELVKEQKMREQAVVIALATSATGNSRSSSKHLADVLSPSLSPMSLPVPKQLKFTPGIVNGSITDTVAFLDQRRKMVPVEHLSIQKVTAMGQTGKLWRWKRSHHQWLLQFRWKWQKPWKLSEWIKHSDETIMRSRPHAQALIELI >KJB46413 pep chromosome:Graimondii2_0_v6:7:59939476:59944023:-1 gene:B456_007G366500 transcript:KJB46413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIAFDIAAELIIKLSSRALSQVGLWWNLEHDIHDLKRTVCQIKAVLLDAEEKSVTDNLVKVWLEELKDVLYDADDLLDDFSTEALRKDVSGGNKLTTEVRLFFSSSNQFPYGLKMGRKMKAIKARLASIESGTNTFHFIPRDRPAETSFMTKRRQQTHSFEREDDIIGRDDDKAALLKLVLEFQSEENVYIIPIGKTALAQLVYNHEMVKNHFALMMFASVSDDFDVKVIVANIIKSVTNQAPDQNLEMDQLQKQLRDKIDGKKYLLVLDDIWDDDPEKWSRLKKLLMGGAKGSRIIVTTRSLRVAEITNKCPSHVLKLKGLSDDDAWSLFKNIAFEQGYADSTNSAFVEVGRQISERCGGVPLAIRTIAGTLSLKKTANEWHSFKENELAKISQIEGELLPILKLSYDHLPSHLKHCFAYCRLYPKDYRIEVEELVQFWIAQGFIKQLNQGQSLEEIGFGYFKDLVERSFFQEVEGDLMEEMTSESVAGMESSIVDSNKIASDVGEKCRHISIKPSLIPLFKGKKLRTLLHFHDNIDRELSDDFSYETWDLIIANCRCLRVLKLTNLLDIQMISPSIYKLKHLRYLDLSWNDNIKILPKSICKIQNLLALKLDRCYRLKELPKKIEKLVNLTHLGCKDCWDLTHMPRGIGKLTSLEKLSMFVVDKDGSHGGADLSELRLLNNLRGHLQITNLGFVKNAKEKFKAANLKEKQHLRSLLLEWKFDSDDDYDDDDYDDDEKSLENLQPHSNLKELCIQGWRGDAEFPSWLPLLRNLVKILLSGDNFKYLPSFAQLPCLERLDIRFCTMLRYMDDNSLKGSQGEPQSFFPALKHLSLWDCPNMKSWWRTTKPIDDDSNEDDTTVMGTSTMAFPCLSSLTIQNCPLTLMPLYPSLDDKLELRNTSSRPLKQTIKMNINAKAPSTSTSSLPLSKLKSFDVHNIEGLYTHTLDECLQHLTSLNRLTIGDCKEVDLEGMQWEPLKNLSHLEIDNIPKLVSLSIWLQHLVQLQTLKIHNCNGLRSLLPVFQHLTFLEEFEVKDCKKLELSGAGIQIFQDHTSLRSLRLEHIPKCRHLPKWLQHLTNLQGLYLVNLPNLTSLPDEMRCLTKLQILYINGIPQLEERCRKDIGADWHKIAHIPHIW >KJB40355 pep chromosome:Graimondii2_0_v6:7:4240597:4242699:-1 gene:B456_007G060000 transcript:KJB40355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGYGDPNQKIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIEHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDISKRQTFDHIPRWLEELRGHADKNIVIILIGNKSDLENQRAVSTEDAKEFAQKEGLFFLETSALEATNVEVAFLTVLNEIFNIVNKKNLVAAENQGNDNPATLAGKKIVVPGPAQDIPAKNNMCCRS >KJB40356 pep chromosome:Graimondii2_0_v6:7:4240645:4242564:-1 gene:B456_007G060000 transcript:KJB40356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGYGDPNQKIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIEHKSVKAQIWDTAGQERAVTSAYYRGAVGAMLVYDISKRQTFDHIPRWLEELRGHADKNIVIILIGNKSDLENQRAVSTEDAKEFAQKEGLFFLETSALEATNVEVAFLTVLNEIFNIVNKKNLVAAENQGNDNPATLAGKKIVVPGPAQDIPAKNNMCCRS >KJB39423 pep chromosome:Graimondii2_0_v6:7:1001673:1005341:-1 gene:B456_007G012700 transcript:KJB39423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGNGCCWVQERILSGNGCGVFLTPRSRSSTAILPLKSTALSFSSSFQFQGFVVDRKQSSSSIQTAAVRHLVGSVTKTQGLRFAVVVARFNEIVTKPLLEGALDTFRKYSVNEEDIDVVWVPGSFEIGIVAERLGKSRNYHAILCIGAVIRGDTTHYDAVASSVASGVLSAGLNSGQSSCLMLFCINPFRVKCYHGFKSKLLLASQL >KJB39422 pep chromosome:Graimondii2_0_v6:7:1001664:1005355:-1 gene:B456_007G012700 transcript:KJB39422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGNGCCWVQERILSGNGCGVFLTPRSRSSTAILPLKSTALSFSSSFQFQGFVVDRKQSSSSIQTAAVRHLVGSVTKTQGLRFAVVVARFNEIVTKPLLEGALDTFRKYSVNEEDIDVVWVPGSFEIGIVAERLGKSRNYHAILCIGAVIRGDTTHYDAVASSVASGVLSAGLNSGVPCIFGVLTCENMDQALNRAGGKSGNKGAECALTAIEMASLFDHHLK >KJB39424 pep chromosome:Graimondii2_0_v6:7:1002000:1005341:-1 gene:B456_007G012700 transcript:KJB39424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGNGCCWVQERILSGNGCGVFLTPRSRSSTAILPLKSTALSFSSSFQFQGFVVDRKQSSSSIQTAAVRHLVGSVTKTQGLRFAVVVARFNEIVTKPLLEGALDTFRKYSVNEEDIDVVWVPGSFEIGIVAERLGKSRNYHAILCIGAVIRGDTTHYDAVASSVASGVLSAGLNSGVPCIFGVLTCENMDQALNRAGGKSGNKGAECALTAVS >KJB39425 pep chromosome:Graimondii2_0_v6:7:1002915:1005341:-1 gene:B456_007G012700 transcript:KJB39425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGNGCCWVQERILSGNGCGVFLTPRSRSSTAILPLKSTALSFSSSFQFQGFVVDRKQSSSSIQTAAVRHLVGSVTKTQGLRFAVVVARFNEIVTKPLLEGALDTFRKYSVNEEDIDVVWVPGSFEIGIVAERLGKSRNYHAILCIGAVIRGDTTHYDAVASSVASGVLSAGLNSGVPCIFGVLTCENMDQV >KJB45145 pep chromosome:Graimondii2_0_v6:7:50093898:50097847:1 gene:B456_007G292300 transcript:KJB45145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRTEATESLLVRQGNDNLVYNEEDSGVGVGDGGSVAVSDVTTILGLSIFVAACIAFGSGCALGYSSPTQSSIMEDLGLSVAEFSFFGSILSIGSLLGAAISGKITDLLGRKMTMWILNLFYIGGWLAIAFTKTPWLLDLGRLSLGFTIGNFTYLMPIYISEITTKNVRGRFSAIPALTLGWGISFMYVIGSFVSWRTLALIATIPGLLQLLPLFFIPESPRWLAKVGRDEEIEEVLLCLRGNKAHIFNEAAEIKDFVESLKSFSKEGMLNIFQKKYVRQLLTVAGMIILMNLGGVNAFAFYSGVIFASAGLSSMVGLITLAATQTIVGIAGILVIDKLGRRPLLLVSTAVLCFSSFLTGLSFFLKEFNLWDQGSPVLALIGLLMYTGSTIVGAGIPSLLLSELFPINVKGSAGSICNFMGSFTGWVVAYYFNFLREWSSTGTFFIFSAFCCANFILSATMVPETKGRTLEEIQASVSHSSV >KJB45144 pep chromosome:Graimondii2_0_v6:7:50093898:50097847:1 gene:B456_007G292300 transcript:KJB45144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRTEATESLLVRQGNDNLVYNEEDSGVGVGDGGSVAVSDVTTILGLSIFVAACIAFGSGCALGYSSPTQSSIMEDLGLSVAEFSFFGSILSIGSLLGAAISGKITDLLGRKMTPWLLDLGRLSLGFTIGNFTYLMPIYISEITTKNVRGRFSAIPALTLGWGISFMYVIGSFVSWRTLALIATIPGLLQLLPLFFIPESPRWLAKVGRDEEIEEVLLCLRGNKAHIFNEAAEIKDFVESLKSFSKEGMLNIFQKKYVRQLLTVAGMIILMNLGGVNAFAFYSGVIFASAGLSSMVGLITLAATQTIVGIAGILVIDKLGRRPLLLVSTAVLCFSSFLTGLSFFLKEFNLWDQGSPVLALIGLLMYTGSTIVGAGIPSLLLSELFPINVKGSAGSICNFMGSFTGWVVAYYFNFLREWSSTGTFFIFSAFCCANFILSATMVPETKGRTLEEIQASVSHSSV >KJB39382 pep chromosome:Graimondii2_0_v6:7:772867:775357:-1 gene:B456_007G009900 transcript:KJB39382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEFWMFLSLVYVSHFHFHGLSLAEKAPYYSFVHEAAMAPEVSFYDYIIIGGGTAGCPLAATLSESANVLVLERGGSPYENPTKPDKGNFFPNLLDVSPSSYAQEFTSEDGVYNARARVLGGGSVINAGFYSHAEPEFLKQAGLNEALVNYSYQWVEKKIAFEPPMLQWQSALRDGLLEAGVLPNNGFTFDHIYGTKIGGTIFDGDDHRHTAADLLEYANPYRIKVYLHATVEKIIFETKVFSRTRAQGVVFEDELGKKHWALLTKDYKSEVILSAGALGSPQLLMLSGIGPSQQLKKFGIKVVKDQPMVGQGLVDNPLNVLLVPSPSPVELSLVSFVGITRFGSYIEACSGISFTPSWSRSVAKALAAILNQTEQSSTKLFQEGILNPESLLDTRIRGGIIFEKVKDPISSGYLELRNMNPRDNPKVTFNYFQAPEDLMKCVHGVKIAIDAVYSKSFSNFRYEILSAKALLELIVNLPLNQRPRHLTSAFSLEKFCMDTVMTIWHYHGGCRLGKVVDRDYKVLGVEALRIVDGSTFTSSPGTNPQATVMMLGRYMGLKILQERYFGKYRKK >KJB45612 pep chromosome:Graimondii2_0_v6:7:53010996:53015186:1 gene:B456_007G315800 transcript:KJB45612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPISTNLVPSQHGNTSKENRHLSNFKASFWGDVFLSSPSQMDMDAGTQHEYEEVKQEVRRILVANMDKSSQKLHIIDAVQRLGVAYHFEKEIEEALQIIYHHHCNHIEIDGDDLYTTAVRFRLLREHGFHVHCATFNKFKDENGNFKESLIGDVKGMLELYEATDFQLHGENILEEVLSFTTFHLKLAETTVDYPLYTQIANALKRPLRKSLPRLIARSYISIYEGYGTQDENLMKFAKLDFKILQHLHKEINKIIRWDTNCIDQLPNYMKLWYSEILNVYKDMEDSISKEGKSYRVQVAIEAVYMIFTFFS >KJB44754 pep chromosome:Graimondii2_0_v6:7:45534361:45535438:1 gene:B456_007G271000 transcript:KJB44754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVQHFLVFFSLLILGVTLASASDPSPLQDFCVALNSTKHAVFVNGKLCKDPKLATADDFFFSGLDKPGNTSNAVGSRVTPVNVDQIPGLNTLGISLVRIDYAPNGGQNPPHTHPRATEILVVTKGTLYVGFVTSNPDNRLFTKVLKTGDVFVFPVGLIHFQFNIGKTNAIAFAGLSSQNPGVITIANAVFGSNPAINSEVLAKAFKLDKKMVEYLQTQF >KJB39998 pep chromosome:Graimondii2_0_v6:7:2906176:2914052:1 gene:B456_007G041600 transcript:KJB39998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLNMSWKPWLRFGSSFQAFLVLKCGFTMSQSEEAPISDTTALADSALNTLGHLSPDSDPTPNPDASPTPSDLNRAAEGTGHDESNLEQQLQDLDLKEEVAAAVAAAETKDDGERKGDNDYNEADAGAAEEKEDEEEKNENENDGENGKRFEGVRSHFPVRPDAENCAYYMKTGLCKFGSNCKFNHPVRRKNQENLKENDESTEKPGQTECKYYLRTGGCKFGKACRYNHSRAKSSTDPILELNFLGLPIRQGEKECPYYMRNGSCKYGANCRFNHPDPTTTGACDPPAAYGNGGSVSSQAASQVNIASWSSPRALNETATATFMPIMFSPTPGVPPPNPEWNGYQATIYPPPERILHPTPAYVMSSPSTETTVYTQNQPQMVVDEFPVRPGQPECSYFLKTGDCKFKSNCKYHHPKNRLAKPAPCALSDKGLPLRPDQSICSHYSRYGICKFGPACKFDHSMQAAPSTTVSELEQPPPFSHSAPLEQTGIAGSNGTDTAVQQHV >KJB40001 pep chromosome:Graimondii2_0_v6:7:2909371:2914193:1 gene:B456_007G041600 transcript:KJB40001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSEEAPISDTTALADSALNTLGHLSPDSDPTPNPDASPTPSDLNRAAEGTGHDESNLEQQLQDLDLKEEVAAAVAAAETKDDGERKGDNDYNEADAGAAEEKEDEEEKNENENDGENGKRFEGVRSHFPVRPDAENCAYYMKTGLCKFGSNCKFNHPVRRKNQGDQENLKENDESTEKPGQTECKYYLRTGGCKFGKACRYNHSRAKSSTDPILELNFLGLPIRQGEKECPYYMRNGSCKYGANCRFNHPDPTTTGACDPPAAYGNGGSVSSQAASQVNIASWSSPRALNETATATFMPIMFSPTPGVPPPNPEWNGYQATIYPPPERILHPTPAYVMSSPSTETTVYTQNQPQMVVDEFPVRPGQPECSYFLKTGDCKFKSNCKYHHPKNRLAKPAPCALSDKGLPLRPDQSICSHYSRYGICKFGPACKFDHSMQAAPSTTVSELEQPPPFSHSAPLEQTGIAGSNGTDTAVQQHV >KJB39997 pep chromosome:Graimondii2_0_v6:7:2906176:2914052:1 gene:B456_007G041600 transcript:KJB39997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLNMSWKPWLRFGSSFQAFLVLKCGFTMSQSEEAPISDTTALADSALNTLGHLSPDSDPTPNPDASPTPSDLNRAAEGTGHDESNLEQQLQDLDLKEEVAAAVAAAETKDDGERKGDNDYNEADAGAAEEKEDEEEKNENENDGENGKRFEGVRSHFPVRPDAENCAYYMKTGLCKFGSNCKFNHPVRRKNQGDQENLKENDESTEKPGQTECKYYLRTGGCKFGKACRYNHSRAKSSTDPILELNFLGLPIRQGEKECPYYMRNGSCKYGANCRFNHPDPTTTGACDPPAAYGNGGSVSSQAASQVNIASWSSPRALNETATATFMPIMFSPTPGVPPPNPEWNGYQATIYPPPERILHPTPAYVMSSPSTETTVYTQNQPQMVVDEFPVRPGQPECSYFLKTGDCKFKSNCKYHHPKNRLAKPAPCALSDKGLPLRPVSSSFNNCI >KJB39999 pep chromosome:Graimondii2_0_v6:7:2906176:2914193:1 gene:B456_007G041600 transcript:KJB39999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLNMSWKPWLRFGSSFQAFLVLKCGFTMSQSEEAPISDTTALADSALNTLGHLSPDSDPTPNPDASPTPSDLNRAAEGTGHDESNLEQQLQDLDLKEEVAAAVAAAETKDDGERKGDNDYNEADAGAAEEKEDEEEKNENENDGENGKRFEGVRSHFPVRPDAENCAYYMKTGLCKFGSNCKFNHPVRRKNQGDQENLKENDESTEKPGQTECKYYLRTGGCKFGKACRYNHSRAKSSTDPILELNFLGLPIRQGEKECPYYMRNGSCKYGANCRFNHPDPTTTGACDPPAAYGNGGSVSSQAASQVNIASWSSPRALNETATATFMPIMFSPTPGVPPPNPEWNGYQATIYPPPERILHPTPAYVMSSPSTETTVYTQNQPQMVVDEFPVRPGQPECSYFLKTGDCKFKSNCKYHHPKNRLAKPAPCALSDKGLPLRPDQSICSHYSRYGICKFGPACKFDHSMQAAPSTTVSELEQPPPFSHSAPLEQTGIAGSNGTDTAVQQHV >KJB40000 pep chromosome:Graimondii2_0_v6:7:2909501:2914052:1 gene:B456_007G041600 transcript:KJB40000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSEEAPISDTTALADSALNTLGHLSPDSDPTPNPDASPTPSDLNRAAEGTGHDESNLEQQLQDLDLKEEVAAAVAAAETKDDGERKGDNDYNEADAGAAEEKEDEEEKNENENDGENGKRFEGVRSHFPVRPDAENCAYYMKTGLCKFGSNCKFNHPVRRKNQGDQENLKENDESTEKPGQTECKYYLRTGGCKFGKACRYNHSRAKSSTDPILELNFLGLPIRQGEKECPYYMRNGSCKYGANCRFNHPDPTTTGACDPPAAYGNGGSVSSQAASQVNIASWSSPRALNETATATFMPIMFSPTPGVPPPNPEWNGYQATIYPPPERILHPTPAYVMSSPSTETTVYTQNQPQMVVDEFPVRPGQPECSYFLKTGDCKFKSNCKYHHPKNRLAKPAPCALSDKGLPLRPDQSICSHYSRYGICKFGPACKFDHSMQAAPSTTVSELEQPPPFSHSAPLEQTGIAGSNGTDTAVQQHV >KJB46221 pep chromosome:Graimondii2_0_v6:7:58369735:58370042:-1 gene:B456_007G3530001 transcript:KJB46221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALFPVCEWPLDAPIGHQHIISGAFAGFESFDDFHHFTFPDDLPRIQLDRPMLSAGDTGDENPTTVEKKLNHNASECVRRRKMNDLYSSLRSMLPPSEQT >KJB46220 pep chromosome:Graimondii2_0_v6:7:58365770:58366335:-1 gene:B456_007G3530001 transcript:KJB46220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAGDTGDENPTTVDKKLNHNASERVRRRKMNDLYSSLRSMLPPSEQT >KJB40892 pep chromosome:Graimondii2_0_v6:7:5867684:5869716:-1 gene:B456_007G082000 transcript:KJB40892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEEVLKVVFPFLDGVDLAACMVVCKQWRHIAKDDYFWKCVCAKRWPSICKRPNPPTVTYYKLYQTFYKRKHQRTLLPPRLSFDDLEFFIDIWTEDKLIFSEVVPGPVLQRGIKIPPAGICDMLKFHLQGPEYKMILPVDPRFTIPWSQTVSVSVLVGRKDSNKVACIINKSMFDYIDRTASRALAFDYLVFSPYYPFISGIRAWISLLFMEDRSNGVIDVFGIEMDFCDAANSQEEVLWLLDMLDWK >KJB40893 pep chromosome:Graimondii2_0_v6:7:5867646:5870029:-1 gene:B456_007G082000 transcript:KJB40893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEEVLKVVFPFLDGVDLAACMVVCKQWRHIAKDDYFWKCVCAKRWPSICKRPNPPTVTYYKLYQTFYKRKHQRTLLPPRLSFDDLEFFIDIWTEDKLIFSEVVPGPVLQRGIKIPPAGICDMLKFHLQGPEYKMILPVDPRFTIPWSQTVSVSVLVGRKDSNKVACIINKSMFDYIDRTASRALAFDYLVFSPYYPFISGIRAWISLLFMEDRSNGVIDVFGIEMDFCDAANSQEEVLWLLDMLDWK >KJB41066 pep chromosome:Graimondii2_0_v6:7:6469398:6471337:1 gene:B456_007G088800 transcript:KJB41066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKRLKQQIEESLPAWRDKFLSYKELKKLVRQISSAESCVEYGKADAEAEFVHLLNNEIDKFNVFFMEQEEDFIIRHKELQQRIKRVIETWGPNGTKPSEAEYKDEMAKIRKDIVNFHGEMVLLVNYSNINYTGLAKILKKYDKRTGGLLRLPFIQKVLQQPFFITDLVSKLVKECENTIDEVFPVEQEDEEEIVKEQREAITIAGKGIFRNTVAALLSMQEIRRGSSTYGHFSLPPMDLPDSDLIHSFQLNSPIPIV >KJB38934 pep chromosome:Graimondii2_0_v6:7:8076660:8077659:-1 gene:B456_007G107400 transcript:KJB38934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRHRKQAETGSCCFEEVSSIEWEFINMSEQEEDLIYRMYKLVGDRWGLIAGRIPGRKAEEIERFWIMRHGEVFAKRRRELKKRHGSS >KJB42738 pep chromosome:Graimondii2_0_v6:7:14721791:14725210:1 gene:B456_007G166500 transcript:KJB42738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35790) UniProtKB/Swiss-Prot;Acc:Q43727] MAAQITPPPSSSSSSLAFSSEFNVWKPMRCCFFKWVSQVCPRIRPTNHFRIKSSNGHPLNAVSMQGGMDGSPLAKGINPEEQEEFFIDILDKEEALSTLSITVVGASGDLAKKKIFPALFALYYEDCLPKNFIVFGYARTKLTDEELRNIISTTLTCRIDKRENCKDKMEQFLKRCFYHSGEYNSEENFAELDSKLKKKEAGKLSNRLFYLSIPPNIFVDVVRCASMMASSANGWTRVIVEKPFGRDSESSAELTRCLKKYLTEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRNYIRNVQFIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMKPLELEDVIIGQYKGHNKGGRAYPGYTDDSTVPKNSITPTFAAAALFINNARWDGVPFLMKAGKALHRKRAEIRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYRAR >KJB42740 pep chromosome:Graimondii2_0_v6:7:14722151:14725932:1 gene:B456_007G166500 transcript:KJB42740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35790) UniProtKB/Swiss-Prot;Acc:Q43727] MDGSPLAKGINPEEQEEFFIDILDKEEALSTLSITVVGASGDLAKKKIFPALFALYYEDCLPKNFIVFGYARTKLTDEELRNIISTTLTCRIDKRENCKDKMEQFLKRCFYHSGEYNSEENFAELDSKLKKKEAGKLSNRLFYLSIPPNIFVDVVRCASMMASSANGWTRVIVEKPFGRDSESSAELTRCLKKYLTEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRNYIRNVQFIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMKPLELEDVIIGQYKGHNKGGRAYPGYTDDSTVPKNSITPTFAAAALFINNARWDGVPFLMKAGKALHRKRAEIRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYRARYPTEIPDAYERLLLDAIAGERRLFIRSDELDAAWSLFTPLLKELEAKKISPELYPYGSRGPVGAHYLAAKYNVRWGDVGGEDD >KJB42739 pep chromosome:Graimondii2_0_v6:7:14721791:14725932:1 gene:B456_007G166500 transcript:KJB42739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35790) UniProtKB/Swiss-Prot;Acc:Q43727] MAAQITPPPSSSSSSLAFSSEFNVWKPMRCCFFKWVSQVCPRIRPTNHFRIKSSNGHPLNAVSMQGGMDGSPLAKGINPEEQEEFFIDILDKEEALSTLSITVVGASGDLAKKKIFPALFALYYEDCLPKNFIVFGYARTKLTDEELRNIISTTLTCRIDKRENCKDKMEQFLKRCFYHSGEYNSEENFAELDSKLKKKEAGKLSNRLFYLSIPPNIFVDVVRCASMMASSANGWTRVIVEKPFGRDSESSAELTRCLKKYLTEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRNYIRNVQFIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMKPLELEDVIIGQYKGHNKGGRAYPGYTDDSTVPKNSITPTFAAAALFINNARWDGVPFLMKAGKALHRKR >KJB42737 pep chromosome:Graimondii2_0_v6:7:14721694:14725935:1 gene:B456_007G166500 transcript:KJB42737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35790) UniProtKB/Swiss-Prot;Acc:Q43727] MAAQITPPPSSSSSSLAFSSEFNVWKPMRCCFFKWVSQVCPRIRPTNHFRIKSSNGHPLNAVSMQGGMDGSPLAKGINPEEQEEFFIDILDKEEALSTLSITVVGASGDLAKKKIFPALFALYYEDCLPKNFIVFGYARTKLTDEELRNIISTTLTCRIDKRENCKDKMEQFLKRCFYHSGEYNSEENFAELDSKLKKKEAGKLSNRLFYLSIPPNIFVDVVRCASMMASSANGWTRVIVEKPFGRDSESSAELTRCLKKYLTEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRNYIRNVQFIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMKPLELEDVIIGQYKGHNKGGRAYPGYTDDSTVPKNSITPTFAAAALFINNARWDGVPFLMKAGKALHRKRAEIRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYRARYPTEIPDAYERLLLDAIAGERRLFIRSDELDAAWSLFTPLLKELEAKKISPELYPYGSRGPVGAHYLAAKYNVRWGDVGGEDD >KJB46138 pep chromosome:Graimondii2_0_v6:7:58005555:58014212:-1 gene:B456_007G350000 transcript:KJB46138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MALSNLTLAPIVPPSSSSSCCCFFIRSSSYCEVSGFETLIKSNGYRFPTVRSRFQSGAIDTRGVGIVENNNNAAPVSSSPSSSVVGNAVVDIPVSCYQLIGVSSQAEKDEIVKSVMNLKGSEVDDGYTSDVVVSRQEILMDVRDKLLFETEYAGNVKEKIPPKSSLRIPWRWLPAAVCLLQEVGEEELVLEVGRAAIQRTDAKPYIHDLLLSMALAECSIAKIGFEKNKVSEGFEALARAQCLLRSTKSLKQMMLLSQIEESLEELAPACTLELLGLPRSPENADRRRGAIAALRELLRQGLDVESSCQVQDWSSFLSQALNRLLASEVVDILPWDNLAMARKNKKSIESQNQRVVIDFTCFYMALIAHIALGFSSRQTDLIIKAKTICECLITSEGTDLKLEEAFCLFLLGQGSEAEIIEKLQQLESDSNRAPQNSITGKEKLSSSSTNSSLEIWLKDAVLSRFPDTRDCSPSLANYFGGERRAPRSKKIKGSPQTIPNLGHRSLPTALASERKDFEDSLPRMKSSLHIVSAVKQLGPTDLQSPLVMGDNSGGSNVSASSVQLERKFGVNQNKTWESWFSQSNVTERVTFVAILGCIVLTSCKLSGMNLSGVRRMSIWASSKPHMNTSSLTSKGDSFLDYNIGSPRNKASGIGGRIKKLLDLAKVQFMNPSEARNSRTSCLPASLSTSITTVDTKQMSVEEAEALVRQWQAIKAEALGPNHQVDTLSEALDESMLIQWQALADMAKARCCYWRFVLLQLTILRADILLDIHRGEIAEIEALLEEAAELVDESQPKNPNYYSTYKIRYILRRQDDGSWKFCGGDIEMPS >KJB46139 pep chromosome:Graimondii2_0_v6:7:58006720:58013983:-1 gene:B456_007G350000 transcript:KJB46139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MALSNLTLAPIVPPSSSSSCCCFFIRSSSYCEVSGFETLIKSNGYRFPTVRSRFQSGAIDTRGVGIVENNNNAAPVSSSPSSSVVGNAVVDIPVSCYQLIGVSSQAEKDEIVKSVMNLKGSEVDDGYTSDVVVSRQEILMDVRDKLLFETEYAGNVKEKIPPKSSLRIPWRWLPAAVCLLQEVGEEELVLEVGRAAIQRTDAKPYIHDLLLSMALAECSIAKIGFEKNKVSEGFEALARAQCLLRSTKSLKQMMLLSQIEESLEELAPACTLELLGLPRSPENADRRRGAIAALRELLRQGLDVESSCQVQDWSSFLSQALNRLLASEVVDILPWDNLAMARKNKKSIESQNQRVVIDFTCFYMALIAHIALGFSSRQTDLIIKAKTICECLITSEGTDLKLEEAFCLFLLGQGSEAEIIEKLQQLESDSNRAPQNSITGKEKLSSSSTNSSLEIWLKDAVLSRFPDTRDCSPSLANYFGGERRAPRSKKIKGSPQTIPNLGHRSLPTALASERKDFEDSLPRMKSSLHIVSAVKQLGPTDLQSPLVMGDNSGGSNVSASSVQLERKFGVNQNKTWESWFSQSNVTERVTFVAILGCIVLTSCKLSGMNLSGVRRMSIWASSKPHMNTSSLTSKGDSFLDYNIGSPRNKASGIGGRIKKLLDLAKVQFMNPSEARNSRTSCLPASLSTSITTVDTKQMSVEEAEALVRQWQAIKAEALGPNHQVDTLSEALDESMLIQWQALADMAKARCCYWRFVLLQLTILRADILLDIHRGEIAEIEALLEEAAELVDESQPKNPNYYSTYKIRYILRRQDDGSWKFCGGDIEMPS >KJB46137 pep chromosome:Graimondii2_0_v6:7:58006544:58014148:-1 gene:B456_007G350000 transcript:KJB46137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MALSNLTLAPIVPPSSSSSCCCFFIRSSSYCEVSGFETLIKSNGYRFPTVRSRFQSGAIDTRGVGIVENNNNAAPVSSSPSSSVVGNAVVDIPVSCYQLIGVSSQAEKDEIVKSVMNLKGSEVDDGYTSDVVVSRQEILMDVRDKLLFETEYAGNVKEKIPPKSSLRIPWRWLPAAVCLLQEVGEEELVLEVGRAAIQRTDAKPYIHDLLLSMALAECSIAKIGFEKNKVSEGFEALARAQCLLRSTKSLKQMMLLSQIEESLEELAPACTLELLGLPRSPENADRRRGAIAALRELLRQGLDVESSCQVQDWSSFLSQALNRLLASEVVDILPWDNLAMARKNKKSIESQNQRVVIDFTCFYMALIAHIALGFSSRQTDLIIKAKTICECLITSEGTDLKLEEAFCLFLLGQGSEAEIIEKLQQLESDSNRAPQNSITGKEKLSSSSTNSSLEIWLKDAVLSRFPDTRDCSPSLANYFGGERRAPRSKKIKGSPQTIPNLGHRSLPTALASERKDFEDSLPRMKSSLHIVSAVKQLGPTDLQSPLVMGDNSGGSNVSASSVQLERKFGVNQNKTWESWFSQSNVTERVTFVAILGCIVLTSCKLSGMNLSGVRRMSIWASSKPHMNTSSLTSKGDSFLDYNIGSPRNKASGIGGRIKKLLDLAKVQFMNPSEARNSRTSCLPASLSTSITTVDTKQMSVEEAEALVRQWQAIKAEALGPNHQVDTLSEALDESMLIQWQALADMAKARCCYWRFVLLQLTILRADILLDIHRGEIAEIEALLEEAAELVDESQPKNPNYYSTYKIRYILRRQDDGSWKFCGGDIEMPS >KJB42609 pep chromosome:Graimondii2_0_v6:7:13989673:13993163:-1 gene:B456_007G159900 transcript:KJB42609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLVPSIFLHFIFIFFVVVHGSKNDSIYEILKLHGLPTGLLPKGITRFEYDDTGRFEVHLDQACNAKFESEFHYDINVSGTLSYGKIMELSGILAQDLFLWFPVKGIWVDVPSSGLIYFDILFVYKQYPLSFFETPKDCLAISNSESGDSIRDGKLLAEAISKSQSAILGYEPGEENFGRNEM >KJB42606 pep chromosome:Graimondii2_0_v6:7:13990319:13993152:-1 gene:B456_007G159900 transcript:KJB42606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLVPSIFLHFIFIFFVVVHGSKNDSIYEILKLHGLPTGLLPKGITRFEYDDTGRFEVHLDQACNAKFESEFHYDINVSGTLSYGKIMELSGILAQDLFLWFPVKGIWVDVPSSGLIYFDILFVYKQYPLSFFETPKDCLAISNSESGDSIRDGKLLAEAISKSQSAILGYEPGEENFGRNEM >KJB42608 pep chromosome:Graimondii2_0_v6:7:13991755:13993090:-1 gene:B456_007G159900 transcript:KJB42608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLVPSIFLHFIFIFFVVVHGSKNDSIYEILKLHGLPTGLLPKGITRFEYDDTGRFEVHLDQACNAKFESEFHYDINVSGTLSYGKIMELSGILAQDLFLWFPVKGIWVDVPSSGLIYFDILFVYKQYPLSFFETPKDCLAISNSESGDSIRDGKLLAEAISKSQSAILGYEPGEENFGRNEM >KJB42607 pep chromosome:Graimondii2_0_v6:7:13989929:13993160:-1 gene:B456_007G159900 transcript:KJB42607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLVPSIFLHFIFIFFVVVHGSKNDSIYEILKLHGLPTGLLPKGITRFEYDDTGRFEVHLDQACNAKFESEFHYDINVSGTLSYGKIMELSGILAQDLFLWFPVKGIWVDVPSSGLIYFDILFVYKQYPLSFFETPKDCLAISNSESGDSIRDGKLLAEAISKSQSAILGYEPGEENFGRNEM >KJB45796 pep chromosome:Graimondii2_0_v6:7:54927716:54930142:-1 gene:B456_007G3288001 transcript:KJB45796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIPLVIGNLTYLQSLYLSNNKLTCIISCEISLTIGDLTTLKAIDLSNNNLSSIISCRIPTPPPLLQWYAVSNNNLTGEIPSSICNWTSPMGISLDGNNLEGTIPKCIGNLSSSLTAVYLGNNNFHGQMPENFAKGCMLKSFSVINNELEGSLPRSLGNCKGLNLLNVGNNNLNDTFPSWLGNLDQLQVLILRSNRFYGQIESFDTTVSLTKLRIIDLSHNNF >KJB41088 pep chromosome:Graimondii2_0_v6:7:6552094:6554329:1 gene:B456_007G090000 transcript:KJB41088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSFESHPTHKTIKALGLPTLFILLLSLSCFGHLVKAYVLIYAGCSQEKYAPNSPFEGNLNSFLSSVVSSSSQVSYDAYALGNGSSTPPEGAIYGLYQCRGDLPMFECSRCMQSAVNQIGLVCSNSYGASVQLEGCYLRYEHSNFLGSPDTTLMFKKCSRSVNNDVEFFKRRDDVLADLQSAIGFKVSSSGLVEGFSQCLGDLSSSDCSACLSDAVAKLKSLCGSAAAAGVYLGQCYARYWASGYYAELTPDSSHEDDVGKTVAIIVGVLAGVVVLIVLLSVCRKTKH >KJB40161 pep chromosome:Graimondii2_0_v6:7:3534974:3535914:1 gene:B456_007G050100 transcript:KJB40161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKPLHEPLPVLNPHLEVSAMKAASFNAPHFPSIITRRTSTQPCPTRFARTLFLKLPQSFQNNPFFGPSANSFASSFSSVFSSSNAFIESTANGTYLGWNKAPEIEIDGGGQAKALGNKDKIITVVLLGWLGATNKHLNRYVEWYNSRGIHAVTFLVELKDLLCLDPVSMLDRRIAELANGLATWGSEKEDDGRERCFIFHTFSNTGWLVYGSVIERFQRREGLKEMIKGVIFDSGSADPLNPKVIIYDVLNVLRRLISVHSKLLSFEMKVLYQIMSRVL >KJB40162 pep chromosome:Graimondii2_0_v6:7:3534884:3537225:1 gene:B456_007G050100 transcript:KJB40162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKPLHEPLPVLNPHLEVSAMKAASFNAPHFPSIITRRTSTQPCPTRFARTLFLKLPQSFQNNPFFGPSANSFASSFSSVFSSSNAFIESTANGTYLGWNKAPEIEIDGGGQAKALGNKDKIITVVLLGWLGATNKHLNRYVEWYNSRGIHAVTFLVELKDLLCLDPVSMLDRRIAELANGLATWGSEKEDDGRERCFIFHTFSNTGWLVYGSVIERFQRREGVVSRR >KJB40166 pep chromosome:Graimondii2_0_v6:7:3534884:3537225:1 gene:B456_007G050100 transcript:KJB40166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKPLHEPLPVLNPHLEVSAMKAASFNAPHFPSIITRRTSTQPCPTRFARTLFLKLPQSFQNNPFFGPSANSFASSFSSVFSSSNAFIESTANGTYLGWNKAPEIEIDGGGQAKALGNKDKIITVVLLGWLGATNKHLNRYVEWYNSRGIHAVTFLVELKDLLCLDPVSMLDRRIAELANGLATWGSEKEDDGRERCFIFHTFSNTGWLVYGSVIERFQRREGLKEMIKGVIFDSGSADPLNPKVWAAGFAVAILKKLNSKNGSENGGTDSTLQKAEPQMVEAVVLASLEKFFKSVLNMPEVESLERWLMQLWRPIRTVRSYIFIAQLIRSFHISQLSVVSRR >KJB40160 pep chromosome:Graimondii2_0_v6:7:3534805:3537225:1 gene:B456_007G050100 transcript:KJB40160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKPLHEPLPVLNPHLEVSAMKAASFNAPHFPSIITRRTSTQPCPTRFARTLFLKLPQSFQNNPFFGPSANSFASSFSSVFSSSNAFIESTANGTYLGWNKAPEIEIDGGGQAKALGNKDKIITVVLLGWLGATNKHLNRYVEWYNSRGIHAVTFLVELKDLLCLDPVSMLDRRIAELANGLATWGSEKEDDGRERCFIFHTFSNTGWLVYGSVIERFQRREGLKEMIKGVIFDSGSADPLNPKVWAAGFAVAILKKLNSKNGSENGGTDSTLQKAEPQMVEAVVLASLEKFFKSVLNMPEVERKFRAVVDAALEAHPHCPQLYLYSTADKVVPYKSVECCIEEMSKKGIKVSSFNFGTSPHVDHYRNFPNLYSSELHTFFKECFPLSKQK >KJB40165 pep chromosome:Graimondii2_0_v6:7:3534884:3537225:1 gene:B456_007G050100 transcript:KJB40165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKPLHEPLPVLNPHLEVSAMKAASFNAPHFPSIITRRTSTQPCPTRFARTLFLKLPQSFQNNPFFGPSANSFASSFSSVFSSSNAFIESTANGTYLGWNKAPEIEIDGGGQAKALGNKDKIITVVLLGWLGATNKHLNRYVEWYNSRGIHAVTFLVELKDLLCLDPVSMLDRRIAELANGLATWGSEKEDDGRERCFIFHTFSNTGWLVYGSVIERFQRREGLKEMIKGVIFDSGSADPLNPKVWAAGFAVAILKKLNSKNGSENGGTDSTLQKAEPQMVEAVVLASLEKFFKSVLNMPEVER >KJB40163 pep chromosome:Graimondii2_0_v6:7:3534884:3536630:1 gene:B456_007G050100 transcript:KJB40163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKPLHEPLPVLNPHLEVSAMKAASFNAPHFPSIITRRTSTQPCPTRFARTLFLKLPQSFQNNPFFGPSANSFASSFSSVFSSSNAFIESTANGTYLGWNKAPEIEIDGGGQAKALGNKDKIITVVLLGWLGATNKHLNRYVEWYNSRGIHAVTFLVELKDLLCLDPVSMLDRRIAELANGLATWGSEKEDDGRERCFIFHTFSNTGWLVYGSVIERFQRREGLKEMIKGVIFDSGSADPLNPKVIIYDVLNVLRRLISVHSKLLSFEMKVLYQIMSRVL >KJB40164 pep chromosome:Graimondii2_0_v6:7:3534884:3537225:1 gene:B456_007G050100 transcript:KJB40164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKPLHEPLPVLNPHLEVSAMKAASFNAPHFPSIITRRTSTQPCPTRFARTLFLKLPQSFQNNPFFGPSANSFASSFSSVFSSSNAFIESTANGTYLGWNKAPEIEIDGGGQAKALGNKDKIITVVLLGWLGATNKHLNRYVEWYNSRGIHAVTFLVELKDLLCLDPVSMLDRRIAELANGLATWGSEKEDDGRERCFIFHTFSNTGWLVFGQLVLLLLY >KJB40436 pep chromosome:Graimondii2_0_v6:7:4434041:4436480:-1 gene:B456_007G063300 transcript:KJB40436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNLCEIVERMKVVIVLLVVQAALAGVIVFYKLALVEGMSMRVLIAYRFIFATACITPLAFIFERESKANLTWKVVFQGVLSGFFGLERLGMKTLAGQAKLWGTLLSIGGAMILTFYKGKELNLWSTNINVVKHGGHHHTSTKHAAVGDQVLGSLLALASCLAFAIWYIIHAKMGENYPFTYSASALFCITASIEATIYTIITDRNWSDWKLGWNLRLLSVVYTGAVGSGLTVVLMSWCLRLRGPLFVSIFNPLTLVYVAIVGSLIFDEKLSIGRIIGSVIIIIGVYVVLWGKAKEKKTWEQLAAQPERPSEPQTVDVVIQDADHCCDPSKQISS >KJB40437 pep chromosome:Graimondii2_0_v6:7:4434041:4436480:-1 gene:B456_007G063300 transcript:KJB40437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNLCEIVERMKVVIVLLVVQAALAGVIVFYKLALVEGMSMRVLIAYRFIFATACITPLAFIFERESKANLTWKVVFQGVLSGFFGGALGPNLFIASLSLTFSTYATAMSNLVPIATFILAAILRLERLGMKTLAGQAKLWGTLLSIGGAMILTFYKGKELNLWSTNINVVKHGGHHHTSTKHAAVGDQVLGSLLALASCLAFAIWYIIHAKMGENYPFTYSASALFCITASIEATIYTIITDRNWSDWKLGWNLRLLSVVYTGAVGSGLTVVLMSWCLRLRGPLFVSIFNPLTLVYVAIVGSLIFDEKLSIGRIIGSVIIIIGVYVVLWGKAKEKKTWEQLAAQPERPSEPQTVDVVIQDADHCCDPSKQISS >KJB43624 pep chromosome:Graimondii2_0_v6:7:22418181:22421381:-1 gene:B456_007G209900 transcript:KJB43624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPVMKLHLIIGVLAVLAVSLVNAEDPYLYYTWTVTYGTRSILGAPQQVILINNQFPGPKLDVVTNNNIILNLINKLDQPFLLTWNGVKQRKNSWQDGVLGTNCPIPPNSNYTYKFQAKDQIGSYTYFPSTLMHRAAGGFGALNIYHRSVIPIPYANPDGDFTLLIGDWYKTSHKALQQTLDSGKSLPFPHGVLINGQTKTTFTGEQGKTYMFRISNVGLSTSLNFRIQGHSMKLVEVEGSHVIQNLYDSLDVHVGQSVTVLVTLNQPPKDYYIVASTRFTRTVLTATAVLHYSNSQTAVSGPLPAAPAYKYHWSMQQARTYRWNLTSNAARPNPQGSFHYGKITPTKTIVLANSASYINGKLRYAVNGVSYVNADTPLKLADYFNIPGVFSMNAVQGVPSGVAATVATSVMPTNLHDFLEVVFQNNENAMQSWHLDGYDFWVVGFGSGQWSPKKRRTYNLVDALTRHTTQVYPNSWTAILVSLDNQGMWNMRSASWERQYLGQQFYLRVWNPVRSLANEYDIPSNVLLCGKVVGRHP >KJB43625 pep chromosome:Graimondii2_0_v6:7:22418224:22421490:-1 gene:B456_007G209900 transcript:KJB43625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPVMKLHLIIGVLAVLAVSLVNAEDPYLYYTWTVTYGTRSILGAPQQVILINNQFPGPKLDVVTNNNIILNLINKLDQPFLLTWNGVKQRKNSWQDGVLGTNCPIPPNSNYTYKFQAKDQIGSYTYFPSTLMHRAAGGFGALNIYHRSVIPIPYANPDGDFTLLIGDWYKTSHKALQQTLDSGKSLPFPHGVLINGQTKTTFTGEQGKTYMFRISNVGLSTSLNFRIQGHSMKLVEVEGSHVIQNLYDSLDVHVGQSVTVLVTLNQPPKDYYIVASTRFTRTVLTATAVLHYSNSQTAVSGPLPAAPAYKYHWSMQQARTYRWNLTSNAARPNPQGSFHYGKITPTKTIVLANSASYINGKLRYAVNGVSYVNADTPLKLADYFNIPGVFSMNAVQGVPSGVAATVATSVMPTNLHDFLEVVFQNNENAMQSWHLDGYDFWVVGFGSGQWSPKKRRTYNLVDALTRHTTQVYPNSWTAILVSLDNQGMWNMRSASWERQYLGQQFYLRVWNPVRSLANEYDIPSNVLLCGKVVGRHP >KJB46358 pep chromosome:Graimondii2_0_v6:7:59429333:59434244:-1 gene:B456_007G362700 transcript:KJB46358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSWADSVSNSAAEIAISDKNSLPRPTRSTYVPPHLRSGSISDLSGPVSGTNGGSRWTGSDGGGTKPELRRQGQANSYATAGRDAAAGGGGGGRNNRSGGWDRREREVNPFGNEDKIYDIKQNFSEQENSGINFDAYEDIPVEVSGENVPPPVNTFAEIDLGEILNQNIRRCKYLKPTPVQRHAIPIALAGKDLMACAQTGSGKTAAFCFPIISGIMREPYAQRSHVGRTVYPLGLILSPTRELSCQIHDEAKKFSYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQAVRYLALDEADRMLDMGFEPQIRKIVEQMNMPNRGVRQTMLFSATFPREIQRLASDFLSNHIFLAVGRVGSSTDLIVQRVEFVLESDKRSHLMDLLHAQRENGNHGKQALTLVFVETKKGADSLEHWLCMNGFPSTTIHGDRTQQERELALRSFKSGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNESNLSLARPLAELMQEASQEVPAWLTHYASRAPYGGNKNRRSGGRFGGSDFRREESIGKNLDYYSGGNSGNAYGGLVDIAGGYAPGVTSAWD >KJB46356 pep chromosome:Graimondii2_0_v6:7:59429333:59434032:-1 gene:B456_007G362700 transcript:KJB46356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSWADSVSNSAAEIAISDKNSLPRPTRSTYVPPHLRSGSISDLSGPVSGTNGGSRWTGSDGGGTKPELRRQGQANSYATAGRDAAAGGGGGGRNNRSGGWDRREREVNPFGNEDKIYDIKQNFSEQENSGINFDAYEDIPVEVSGENVPPPVNTFAEIDLGEILNQNIRRCKYLKPTPVQRHAIPIALAGKDLMACAQTGSGKTAAFCFPIISGIMREPYAQRSHVGRTVYPLGLILSPTRELSCQIHDEAKKFSYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQAVRYLALDEADRMLDMGFEPQIRKIVEQMNMPNRGVRQTMLFSATFPREIQRLASDFLSNHIFLAVGRVGSSTDLIVQRVEFVLESDKRSHLMDLLHAQRENGNHGKVSLTLQQALTLVFVETKKGADSLEHWLCMNGFPSTTIHGDRTQQERELALRSFKSGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNESNLSLARPLAELMQEASQEVPAWLTHYASRAPYGGNKNRRSGGRFGGSDFRREESIGKNLDYYSGGNSGNAYGGLVDIAGGYAPGVTSAWD >KJB46357 pep chromosome:Graimondii2_0_v6:7:59429336:59433772:-1 gene:B456_007G362700 transcript:KJB46357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSWADSVSNSAAEIAISDKNSLPRPTRSTYVPPHLRSGSISDLSGPVSGTNGGSRWTGSDGGGTKPELRRQGQANSYATAGRDAAAGGGGGGRNNRSGGWDRREREVNPFGNEDKIYDIKQNFSEQENSGINFDAYEDIPVEVSGENVPPPVNTFAEIDLGEILNQNIRRCKYLKPTPVQRHAIPIALAGKDLMACAQTGSGKTAAFCFPIISGIMREPYAQRSHVGRTVYPLGLILSPTRELSCQIHDEAKKFSYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQAVRYLALDEADRMLDMGFEPQIRKIVEQMNMPNRGVRQTMLFSATFPREIQRLASDFLSNHIFLAVGRVGSSTDLIVQRVEFVLESDKRSHLMDLLHAQRENGNHGKQALTLVFVETKKGADSLEHWLCMNGFPSTTIHGDRTQQERELALRSFKSGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNESNLSLARPLAELMQEASQEVPAWLTHYASRAPYGGNKNRRSGGRFGGSDFRREESIGKNLDYYSGGNSGNAYGGLVDIAGGYAPGVTSAWD >KJB45609 pep chromosome:Graimondii2_0_v6:7:52943963:52948202:1 gene:B456_007G315500 transcript:KJB45609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQISTNLVPSQHGNTSKESRHLSKFKPSFWGDIFLSSPSQMEMGAGTQQEYEELKQEVRRMLVANTDKSSQKLPIIDAVQRLGVAYHFEKEIEEALEIIYHHHCNHIEIDGDDLYTTAVRFRLLREHGFNVDCETFNKFKDENGNFKESLIGDVKGMLELYEAAHFQLHGENILEEALSFTTVHLKLAESTVDYPLSTQIANALMRPLRKSLPRLIARSYISIYEGYGTQDENLMKFAKLDFKILQHLHKKEINKINRWWKDLDVATNFPFIRDRFVECYFWMLSVYFEPHYAIARSFATKVICLISILDDIYDAYGTYEELEIFTKAIQRWDTNCIDQLPDYMKLWYSETLNVYKDMEDLMSKEGKSYRVQVAIEAMKRQSQVYYVEAKWLHENYIPTMEEYMPIALVSCGYWTLTMSSFVGMEDSITKETFNWAFNDPKIVRASSTICRLMSDIVGHKVERERGHVSSAVECYMKQYGVSMQEAYDELYKQINNAWKDINEEFLKPTAAPTSALNRILNLARVIDLLYTGEDNYTQVGESAKTSITALLIDSIPV >KJB45036 pep chromosome:Graimondii2_0_v6:7:49453207:49455621:1 gene:B456_007G288100 transcript:KJB45036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATIPELNRYKGDKHEVATAWLKILPKQSNIKWSHLQACCNLLGASNKQTPNEGHTSSTGLPRKEHFLFRLHREKQEPCSSVVQLLKYCMVADTIVPKQS >KJB45226 pep chromosome:Graimondii2_0_v6:7:50680705:50686626:1 gene:B456_007G296200 transcript:KJB45226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGDDVGFGDFMFFSSSSSHSINGPQFSAKDMTTTAAAANDDDDDDWGDFINSSNNISRTESLPVNDFQFDSSPGSPPLTQSDSAPSRVESVKAQWDKLTGALPLSIFGEEEKEDGGSDAVDAGFNGVNSSFSFPKQDVNLKEKGSNLNDVLADLYKEKEKGNEANGFRSGVDVKKAIDLNSKAETWNWNGLNSGLNGSGLKVDALDLSINGPASVKKEENLGSNGYAFGMERKEVNMGLSGLDLSSNGSSWGQEGLNLDSNAGNSVLVDEEDDDGWEFKGAEPKAEAAAENLKSNPNVRMSNCNMSTSSWDPLGTNTSVLSSNINGVNANASRLDSNLVDENDKTSVDDDDDDDDDDGWEFKAAEPETRFTTGDAKVEDQDREKPQGAEFSFGFGHGANGSSDFFGTSDGISKKPGEWDLGFSFSPSFESQSKQNDTKDGEISSSAGINIGSGGMSWAFKDATFGHESKAKEEPRVADASSSAVEGFSFDGHIQGNEETSKKNKAALPLSIFGDEEIETEDPLKHEDVSILKPTTTNAGLKDTRSNISINDLISSLYSQSETNTSLNPISNPSENGLLSSQIDVGSSLVNGDSFDDDSWEFKGAVSGTGGENQNSSFSFGDSYEKYTIKTELNDYLDLYSKLTTELCFVALSHLENMKKDKSTAAPSGEDAEVKAIEEEILGLYNELQKDGIISEEVTSENLQSRSIHLGEYAKVLLEKKFQVLESEYQLSEKLSLAEKNMASTIELLKHAASTLKVLKLGSAEDQSYYVSTWLRILTVCALELKHGSMIWKQSLQKNIHRQLLSKPQGRQYILALGEIHRVVKIVGSLSAKLYKPWILFSSENPTNFPALVRECSSVWSSSGLEEALQNLTDLTDLKYDVEALLGSIQSIHDPDAHELYKQVFSEQESTCCLSGLTAGAVPGMKMVLWDGRHYFVTIVNLWANLISRDPPNLLLIHARK >KJB45225 pep chromosome:Graimondii2_0_v6:7:50680820:50685470:1 gene:B456_007G296200 transcript:KJB45225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGDDVGFGDFMFFSSSSSHSINGPQFSAKDMTTTAAAANDDDDDDWGDFINSSNNISRTESLPVNDFQFDSSPGSPPLTQSDSAPSRVESVKAQWDKLTGALPLSIFGEEEKEDGGSDAVDAGFNGVNSSFSFPKQDVNLKEKGSNLNDVLADLYKEKEKGNEANGFRSGVDVKKAIDLNSKAETWNWNGLNSGLNGSGLKVDALDLSINGPASVKKEENLGSNGYAFGMERKEVNMGLSGLDLSSNGSSWGQEGLNLDSNAGNSVLVDEEDDDGWEFKGAEPKAEAAAENLKSNPNVRMSNCNMSTSSWDPLGTNTSVLSSNINGVNANASRLDSNLVDENDKTSVDDDDDDDDDDGWEFKAAEPETRFTTGDAKVEDQDREKPQGAEFSFGFGHGANGSSDFFGTSDGISKKPGEWDLGFSFSPSFESQSKQNDTKDGEISSSAGINIGSGGMSWAFKDATFGHESKAKEEPRVADASSSAVEGFSFDGHIQGNEETSKKNKAALPLSIFGDEEIETEDPLKHEDVSILKPTTTNAGLKDTRSNISINDLISSLYSQSETNTSLNPISNPSENGLLSSQIDVGSSLVNGDSFDDDSWEFKGAVSGTGGENQNSSFSFGDSYEKYTIKTELNDYLDLYSKLTTELCFVALSHLENMKKDKSTAAPSGEDAEVKAIEEEILGLYNELQKDGIISEEVTSENLQSRSIHLGEYAKVLLEKKFQVLESEYQLSEKLSLAEKNMASTIELLKHAASTLKVLKLGSAEDQSYYVSTWLRILTVCALELKHGSMIWKQSLQKNIHRQLLSKPQGRQYILALGEIHRVVKIVGSLSAKLYKPWILFSSENPTNFPALVRECSSVWSSSGLEEALQNLTDLTDLKYDVEALLGSIQSIHDPDAHELYKQVFSEQESTCCLSGLTAGAVPGMKFFGFKLRTG >KJB43047 pep chromosome:Graimondii2_0_v6:7:17226957:17228149:-1 gene:B456_007G181300 transcript:KJB43047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS MASSMISSATIATASPAQANMVAPFTGLKSASAFPVTRKANNDITSLASNGGRVQCMQVWPPTGKKKFETLSYLPDLTPVQLAKEVDYLLRSKWVPCLEFELEEGFVHRKYSNLPTYYDGRYWTMWKLPMFGCTDSAQVLKELEECKKEYPNAFIRIIGFDNVRQVQCISFIAYKPPGF >KJB43046 pep chromosome:Graimondii2_0_v6:7:17226957:17228037:-1 gene:B456_007G181300 transcript:KJB43046 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS MASSMISSATIATASPAQANMVAPFTGLKSASAFPVTRKANNDITSLASNGGRVQCMQVWPPTGKKKFETLSYLPDLTPVQLAKEVDYLLRSKWVPCLEFELEGFVHRKYSNLPTYYDGRYWTMWKLPMFGCTDSAQVLKELEECKKEYPNAFIRIIGFDNVRQVQCISFIAYKPPGF >KJB45599 pep chromosome:Graimondii2_0_v6:7:52861453:52865035:1 gene:B456_007G314900 transcript:KJB45599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKMPANLVPSMSKENRHLADFVPSFWGDIFLSTPPGMDMDARTQQEYEELKQKVRRMLVANMDKPSQKLHIIDAVKRLGVAYHFEKEIEDALEVIYDHYCNHIQIDDDEDLYTTAVRFRLLREHGFNVQCETFNKFKNEKGKFKESLISDVKGMLELYEAAHFQLHEENLLEEALSFTTFHLKLAETTGDYPLSTQIANALKRPLLKSLPRLVAWSYISIYEGYGTQDKNLMKFAKLDFKMVQHLHMKEISEIYRWWKGLDVETNFPFIRDRLVECYLWILGVYFEPHYSVARTFMTKVISLTSIVDDTYDAYATYEELEIFTKAIQRWDINCPDQLPDCMKLCYSELLKIFKDMEDLMSGQGKSYRVQLAKEAMKQVCQAYFVEAKWLHEHYMPTVEEYLSVAFVSTCYPMLTIVSFVGMEDSITKETFTWAFNTPKILRASTIICRLMDDVVSHQFEQEREHVPSAVECYTKQYGVSAQEAYDEFYKRINNAWKDMNEAFLKPTVVPTSALNRILNLTRVIDLLYKDEDAYTRVGDSAKTSITALLIDPISI >KJB41131 pep chromosome:Graimondii2_0_v6:7:6725385:6730174:-1 gene:B456_007G092200 transcript:KJB41131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKEDEEKPETPIPSKPSPNSKDIVSQPKQNDVSPPSKLSLSPKELIVSAAANISSHPLPTNDPNVWGVLTAISNNARKRQQGMNMLLTADEHCIGRSVKETSFRIDSNSVSAVHCKIYRKRVTNEDTQQSPNCYTSVFLKDTSTNGTYLNWERLRKNSPEFKVQHGDIISFSAPPQHELAFAFVYREVLTSIHSAEGACAKRKADCADELTCENKRLKGIGIGAPEGPISLDDFRSLQRSNRELRKQLEDQVLTIDTLHNENRATVERHENEIKEVKESVATSYLDQIKELQILLDGKQKELVEVNRISAEQKHAIEDLNERLSASLQSCTEANERMKSQKASIAELKVQLDEERDQRREEREKATADLKAAVQRAQFEAQEELQRLSDVYLKRETEKKEVINKLEESLRKSSAQVEDLVSKLEDTRQKLVDSDNKVRQLETQVCEEQKTSANTRKKVEELEHEIKGLRKELETEKQAAREEAWAKVSALELEINATMRDLDYERRRLKGARERIMLRETQLRAFYSTTEEISILFAKQQEQLKAMQRTLEDEENYENTSVDIDLNVPYQNVNGTRVREKALTGHHENSTMREGSTASAQRVNISSDEASVTEKHDCGMRSQDVGENTQEAEFTSADRSVKGIFGFYVDGVGTTRILEGDPIGTEHVLETESLGIEVEPNIDLNRCGTLGGDTMQFDYETNAHESDERVQTTCLDTSVQSQLNKPHETQKCTEDKEAGGTIRTTDLLVSEGFESQANGTNPSVHGENVLPKTRDNDKNRAMVGENQSRSSSEATTSMRNDERQALSEMIGIVAPDLKEQFVGAATSDSGTEDCADSEDGDHNNYRVAAKSGSISDAETEGSDQADMDQKHNDKMDDDDETTSEDSVG >KJB41132 pep chromosome:Graimondii2_0_v6:7:6725223:6730310:-1 gene:B456_007G092200 transcript:KJB41132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKEDEEKPETPIPSKPSPNSKDIVSQPKQNDVSPPSKLSLSPKELIVSAAANISSHPLPTNDPNVWGVLTAISNNARKRQQGMNMLLTADEHCIGRSVKETSFRIDSNSVSAVHCKIYRKRVTNEDTQQSPNCYTSVFLKDTSTNGTYLNWERLRKNSPEFKVQHGDIISFSAPPQHELAFAFVYREVLTSIHSAEGACAKRKADCADELTCENKRLKGIGIGAPEGPISLDDFRSLQRSNRELRKQLEDQVLTIDTLHNENRATVERHENEDTRQKLVDSDNKVRQLETQVCEEQKTSANTRKKVEELEHEIKGLRKELETEKAAREEAWAKVSALELEINATMRDLDYERRRLKGARERIMLRETQLRAFYSTTEEISILFAKQQEQLKAMQRTLEDEENYENTSVDIDLNVPYQNVNGTRVREKALTGHHENSTMREGSTASAQRVNISSDEASVTEKHDCGMRSQDVGENTQEAEFTSADRSVKGIFGFYVDGVGTTRILEGDPIGTEHVLETESLGIEVEPNIDLNRCGTLGGDTMQFDYETNAHESDERVQTTCLDTSVQSQLNKPHETQKCTEDKEAGGTIRTTDLLVSEGFESQANGTNPSVHGENVLPKTRDNDKNRAMVGENQSRSSSEATTSMRNDERQALSEMIGIVAPDLKEQFVGAATSDSGTEDCADSEDGDHNNYRVAAKSGSISDAETEGSDQADMDQKHNDKMDDDDETTSEDSVG >KJB41130 pep chromosome:Graimondii2_0_v6:7:6725084:6730310:-1 gene:B456_007G092200 transcript:KJB41130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKEDEEKPETPIPSKPSPNSKDIVSQPKQNDVSPPSKLSLSPKELIVSAAANISSHPLPTNDPNVWGVLTAISNNARKRQQGMNMLLTADEHCIGRSVKETSFRIDSNSVSAVHCKIYRKRVTNEDTQQSPNCYTSVFLKDTSTNGTYLNWERLRKNSPEFKVQHGDIISFSAPPQHELAFAFVYREVLTSIHSAEGACAKRKADCADELTCENKRLKGIGIGAPEGPISLDDFRSLQRSNRELRKQLEDQVLTIDTLHNENRATVERHENEIKEVKESVATSYLDQIKELQILLDGKQKELVEVNRISAEQKHAIEDLNERLSASLQSCTEANERMKSQKASIAELKVQLDEERDQRREEREKATADLKAAVQRAQFEAQEELQRLSDVYLKRETEKKEVINKLEESLRKSSAQVEDLVSKLEDTRQKLVDSDNKVRQLETQVCEEQKTSANTRKKVEELEHEIKGLRKELETEKAAREEAWAKVSALELEINATMRDLDYERRRLKGARERIMLRETQLRAFYSTTEEISILFAKQQEQLKAMQRTLEDEENYENTSVDIDLNVPYQNVNGTRVREKALTGHHENSTMREGSTASAQRVNISSDEASVTEKHDCGMRSQDVGENTQEAEFTSADRSVKGIFGFYVDGVGTTRILEGDPIGTEHVLETESLGIEVEPNIDLNRCGTLGGDTMQFDYETNAHESDERVQTTCLDTSVQSQLNKPHETQKCTEDKEAGGTIRTTDLLVSEGFESQANGTNPSVHGENVLPKTRDNDKNRAMVGENQSRSSSEATTSMRNDERQALSEMIGIVAPDLKEQFVGAATSDSGTEDCADSEDGDHNNYRVAAKSGSISDAETEGSDQADMDQKHNDKMDDDDETTSEDSVG >KJB44567 pep chromosome:Graimondii2_0_v6:7:42699861:42703879:1 gene:B456_007G260100 transcript:KJB44567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPRIVGILLMGILVWCCMATSANADTKMIYKDSNQPYNVRIKDLMGRMTLEEKIGQMIQIERNVSSPEVMRKYFIGSLLSGGGSEPTPQASPKDWIDMVNEFQKGSLSSRLGIPMIYGIDAVHGHNNVYKATIFPHNIGLGATRDPELVNRIGVVTALEVRATGIHYVFAPCIAVCRDPRWGRCYESYSEDPKIVQDMTEIIPGLQGQIPSSYPKGVPFVGGMESVAACAKHYIGDGGTTKGINENNTVIDNKGLLSIHMPGYVSSIRKGVATVMISYSSLNGVKMHANYEMVTNFLKKNLRFKGFVISDWEGIDRITSPPHANYTYSIEASVNAGLDMIMLPYNYTEFIDGLTYLVKNNFVPMSRIDDAVKRILLVKFTMGLFENPLADEKIVEQLGCEAHRELAREAVRKSLVLLKNGKSADAPLLPLPKKASNILVAGSHADNLGYQCGGWTIKWMGFSGNNLTTGTTILTAIKNTVEPTTKVVYNENPDAEFVKSGGFSYAIVVVGEHPYAETKGDNLNLTIPEPGPSTITNVCGTVKCVVVVVSGRPVVIQPYVASIEALVAAWLPGSEGQGVADVLFGDFGFTGKLSFTWFKTVDQLPMHVGDPHYDPLFPLGFGLTTQPAKAQ >KJB44566 pep chromosome:Graimondii2_0_v6:7:42700010:42703146:1 gene:B456_007G260100 transcript:KJB44566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPRIVGILLMGILVWCCMATSANADTKMIYKDSNQPYNVRIKDLMGRMTLEEKIGQMIQIERNVSSPEVMRKYFIGSLLSGGGSEPTPQASPKDWIDMVNEFQKGSLSSRLGIPMIYGIDAVHGHNNVYKATIFPHNIGLGATRDPELVNRIGVVTALEVRATGIHYVFAPCIAVCRDPRWGRCYESYSEDPKIVQDMTEIIPGLQGQIPSSYPKGVPFVGGMESVAACAKHYIGDGGTTKGINENNTVIDNKGLLSIHMPGYVSSIRKGVATVMISYSSLNGVKMHANYEMVTNFLKKNLRFKGFVISDWEGIDRITSPPHANYTYSIEASVNAGLDMIMLPYNYTEFIDGLTYLVKNNFVPMSRIDDAVKRILLVKFTMGLFENPLADEKIVEQLGCEAHRELAREAVRKSLVLLKNGKSADAPLLPLPKKASNILVAGSHADNLGYQCGGWTIKWMGFSGNNLTTGTTILTAIKNTVEPTTKVVYNENPDAEFVKSGGFSYAIVVVGEHPYAETKGDNLNLTIPEPGPSTITNVCGTVKCVVVVVSGRPVVIQPYVASIEALVAAWLPGSEGQGVADVLFGDFGFTGKLSFTWFKTVDQLPMHVGDPHYDPLFPLGFGLTTQPAKAQ >KJB39647 pep chromosome:Graimondii2_0_v6:7:1666137:1670873:1 gene:B456_007G023400 transcript:KJB39647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRRFAQVSTSDDEDEVPIRTRRSRPEDTKQERKRKKMKLLEEDDEEEEERALKKTKKNKKKRDDDTEEEEEEPEEEEEPEEEGVQEDAKPIGEAVRVSGKGRGRRSHYEAFEFDGNRYDLEDPVLLVPEDQEQKPYVAIIKDISQTKDGSIMVTGQWFYRPEEAEKKGGGSWQSRDTRELFYSFHRDEVPAESVMHKCVVHFVPIHKQHPNRKQHPGFIVQRVYDTVERKLWKLTDKDYEDSKQHEIDLLVQKTLSRLGDLPDLEMDAAAAVVDQEEQLKAKRTLRKKNISPLDVTRNDEGTTRSDQHMKAETPGSCTSNTTEYYTILSKANVLTGVTHRDKWMERLLQAVQYMCSSPDSVHNDDKVKGGSDSLEPERGAKSSGTANGSQEKSSNAGKSFQWPDAAVAAVTALEKASHDAFSPDMKYNQKLRQLMFNLKNNPLLARRLLNGELEPSTILNMTPAELKEGLTAEETAKKEPDESERMQMTDARCSRCNEFKVCLRDIIQAGHGDRYQLECIACGNSWYASRDEASSLTIEPSSSSAKGAGLGQPAMAKPEIPEKKSVSPRESESTKNAE >KJB39648 pep chromosome:Graimondii2_0_v6:7:1666234:1669747:1 gene:B456_007G023400 transcript:KJB39648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRRFAQVSTSDDEDEVPIRTRRSRPEDTKQERKRKKMKLLEEDDEEEEERALKKTKKNKKKRDDDTEEEEEEPEEEEEPEEEGVQEDAKPIGEAVRVSGKGRGRRSHYEAFEFDGNRYDLEDPVLLVPEDQEQKPYVAIIKDISQTKDGSIMVTGQWFYRPEEAEKKGGGSWQSRDTRELFYSFHRDEVPAESVMHKCVVHFVPIHKQHPNRKQHPGFIVQRVYDTVERKLWKLTDKDYEDSKQHEIDLLVQKTLSRLGDLPDLEMDAAAAVVDQEEQLKAKRTLRKKNISPLDVTRNDEGTTRSDQHMKAETPGSCTSNTTEYYTILSKANVLTGVTHRDKWMERLLQAVQYMCSSPDSVHNDDKVKGGSDSLEPERGAKSSGTANGSQEKSSNAGKSFQWPDAAVAAVTALEKASHDAFSPDMKYNQKLRQLMFNLKNNPLLARRLLNGELEPSTILNMTPAELKEGLTAEETAKKEPDESERMQMTDARCSRCNEFKVCLRDIIQAGHGDRYQVISYFLLHFHHRE >KJB40531 pep chromosome:Graimondii2_0_v6:7:4813177:4820157:-1 gene:B456_007G068300 transcript:KJB40531 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 5 [Source:Projected from Arabidopsis thaliana (AT5G63640) UniProtKB/Swiss-Prot;Acc:Q9FFQ0] MAAELVNFATSEKLAEMDWAKNIEICELVARDQRQAKDVVKAIKKRLGSKNPNTQLYSVLLLEMLMNNIGENVHKLVIDTGILPILVKIVKKKSDLPVRERIFLLLDATQTSLGGASGKFPQYYSAYYDLVSAGVEFPQRPHATPSNPPTSQPIKSNTLNGELASARQEAVAKEAEPQIVPESSIIQKASNALEVLREVLDAVDAQNPEAAKDEFTLDLVEQCSFQKQRVMHLVMSSRDEKVVSRAIELNEQLQNVLIRHDALLSGRTTVSSRPASTLNHFNHVEEEEEPEQLFRRIRKGKACARPEDEECSREQPHLGLYGSNILGEKERLNRPLIRPLPSEPSYENNAHPSAVAIPPPPAKHIERERYFQEKKVDGSAVAGHMRGLSLHSRNASSSRSSDFSD >KJB39446 pep chromosome:Graimondii2_0_v6:7:1521264:1522858:1 gene:B456_007G020700 transcript:KJB39446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QWGFSHLLNQYKSPILSPPFSDSTTMAKVKGLDAGATSADSGINEDMVEVKMRKLKLDVDIVKDYTPVIPNPKHEPPPRGKPGTSAGTGGGGS >KJB39445 pep chromosome:Graimondii2_0_v6:7:1521409:1522452:1 gene:B456_007G020700 transcript:KJB39445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYAKTMILLLLVLYLVPFIAKVKGLDAGATSADSGINEDMVEVKMRKLKLDVDIVKDYTPVIPNPKHEPPPRGKPGTSAGTGGGGS >KJB39056 pep chromosome:Graimondii2_0_v6:7:12024026:12024514:1 gene:B456_007G143900 transcript:KJB39056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSNRKNLFRTLLVLSLLVAWIQVGAMRPLDGELVVQSLPRGPVTPSGPNPCTNIPGRGGGVCKLGVINVAGNVMHSPAVFPGVVAEFGVASTAKRSHDEDMSS >KJB42780 pep chromosome:Graimondii2_0_v6:7:14878501:14881897:1 gene:B456_007G167300 transcript:KJB42780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAASTNMSDREGESFVEVDPTGRFGRYNDLLGAGAVKKVYRAFDQEEGIEVAWNQVKLTNFSEDPVLINRLQSEVQLLRTLKNKYIIVCYSVWRDREHNTLNFITEVCTSGNLRSYRKKHRHVSIKALKKWSKQVLEGLEYLHTHDPCIIHRDLNCSNIFINGNIGQVKIGDLGLAAIVGRSHCAHSIIGTPEYMAPELYEEDYTEMVDIYSFGMCLLEMVTMEIPYSECDSVAKIYKKVTSGVRPRALNTVPDPEVKAFIEKCIAQPRARPSASELLKDPFFSDLKDDEIDSVST >KJB42781 pep chromosome:Graimondii2_0_v6:7:14879318:14881897:1 gene:B456_007G167300 transcript:KJB42781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPAASTNMSDREGESFVEVDPTGRFGRYNDLLGAGAVKKVYRAFDQEEGIEVAWNQVKLTNFSEDPVLINRLQSEVQLLRTLKNKYIIVCYSVWRDREHNTLNFITEVCTSGNLRSYRKKHRHVSIKALKKWSKQVLEGLEYLHTHDPCIIHRDLNCSNIFINGNIGQVKIGDLGLAAIVGRSHCAHSIIGTPEYMAPELYEEDYTEMVDIYSFGMCLLEMVTMEIPYSECDSVAKIYKKVTSGVRPRALNTVPDPEVKAFIEKCIAQPRARPSASELLKDPFFSDLKDDEIDSVST >KJB45318 pep chromosome:Graimondii2_0_v6:7:51386721:51389031:1 gene:B456_007G300900 transcript:KJB45318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDQLWDDTVAGPRPDNGLGKLRKHSTFTFRPNSAKESDGGSLRSYGDEAPEEATRVTRSIMIVKPPGYQSGSPPVSPAGSTPPVSPFSGGRESYRFRRRSTSDAYEKANEVGPRSPCPPYDV >KJB40043 pep chromosome:Graimondii2_0_v6:7:3033324:3035348:1 gene:B456_007G043900 transcript:KJB40043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLRLNGLRKLPPGFRFQPTEEELVFQYLKCKAFSFPLPASIIPDLNICNFDPWDLPGELGEERYFFSMKEAKYKTGNRINRATASGYWKATGSDKKIISRRNQVAGMRKTLVFHMGKPPHGLRTDWIMHEYRLVNVPNNDFNLANNPMMQNYLNHEMEKWVLCHVFLKKTSKKSSEEEIMQSFCNKNRNKALGHRMEPKVYNFMREKDAEPSSSSSASSRSDITEVSSSACSRQ >KJB46593 pep chromosome:Graimondii2_0_v6:7:60751553:60753752:-1 gene:B456_007G376300 transcript:KJB46593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKFSLALLCFTSLLPFFTSVNAKIPGVYSTGSWETAHATFYGGSDASGTMGISQITLPSPPSKSHIFMTLHLIVFFFCCFLGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCASDPRWCHPGSPSIFVTATNFCPPNFALPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSFRRVPCRKHGGIRFTVNGFRYFNLVLITNVAGAGDLVKVSIKGTKTGWMSMSRNWGQNWQSNAVLIGQALSFRVTGSDKRTSTSWNVAPPNWQFGQTFTGKNFRI >KJB46592 pep chromosome:Graimondii2_0_v6:7:60751539:60753816:-1 gene:B456_007G376300 transcript:KJB46592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKFSLALLCFTSLLPFFTSVNAKIPGVYSTGSWETAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCASDPRWCHPGSPSIFVTATNFCPPNFALPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSFRRVPCRKHGGIRFTVNGFRYFNLVLITNVAGAGDLVKVSIKGTKTGWMSMSRNWGQNWQSNAVLIGQALSFRVTGSDKRTSTSWNVAPPNWQFGQTFTGKNFRI >KJB42100 pep chromosome:Graimondii2_0_v6:7:11215630:11216831:-1 gene:B456_007G137200 transcript:KJB42100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTKKTLAFLLMLVFVGVSLGAVHKVGDSTGWTSLGNIDYLKWASTKNFHVGDSLLFQYNPQFHNVMQVTHDDFQSCNGTSAIASYTSGSDSVTLKRPGHFYFLCGVPGHCQAGQKVDVLVKSSSKAPIASPSPSTLGSSPANPPSEMLGAPGPAQSSALSLISSKNSLAWSLVAVGGVFGFAFYF >KJB42194 pep chromosome:Graimondii2_0_v6:7:11749845:11753142:-1 gene:B456_007G141500 transcript:KJB42194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVFSVDDFSDSFWAAPASDDALGMTRSHSEWALERFLEEFSGAGVAISGSHAGENVIGPSLMAPQSSVSKVEEGDVVEIKRPNSQNHNHPPSDQTPTVPTASDDYHTILKSKLELECAAALAVKAEDSSAEAENHGLPSGSKVQGSSKVQGQGETDVAHCGTLTGSTTQKKSGVQVRQATSGSSREDSDSDELEGDTETADNMDPADAKRARRMRSNRESARRSRRRKQAQLNELEAQVGQLRVEHSTLLKRLTNMNHKYDEAAVDNRIMKADIETLRAKVKMAEETVKRVTGFNPALLSRPNVPSVGMPFVSNPLEASTVAPLPFQSNANQFFNQPVPNIVASIHHQRVDNSFRGNTLVPPDVNSQTKGVKNVNETSVLQHGPSLDCVPDQIGPGVSPCGPMPGWEPGLLPHAGDRNNKQC >KJB42193 pep chromosome:Graimondii2_0_v6:7:11749737:11753142:-1 gene:B456_007G141500 transcript:KJB42193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVFSVDDFSDSFWAAPASDDALGMTRSHSEWALERFLEEFSGAGVAISGSHAGENVIGPSLMAPQSSVSKVEEGDVVEIKRPNSQNHNHPPSDQTPTVPTASDDYHTILKSKLELECAAVALSRALAVKAEDSSAEAENHGLPSGSKVQGSSKVQGQGETDVAHCGTLTGSTTQKKSGVQVRQATSGSSREDSDSDELEGDTETADNMDPADAKRARRMRSNRESARRSRRRKQAQLNELEAQVGQLRVEHSTLLKRLTNMNHKYDEAAVDNRIMKADIETLRAKVKMAEETVKRVTGFNPALLSRPNVPSVGMPFVSNPLEASTVAPLPFQSNANQFFNQPVPNIVASIHHQRVDNSFRGNTLVPPDVNSQTKGVKNVNETSVLQHGPSLDCVPDQIGPGVSPCGPMPGWEPGLLPHAGDRNNKQC >KJB44879 pep chromosome:Graimondii2_0_v6:7:47521631:47522998:-1 gene:B456_007G277400 transcript:KJB44879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGGSWILGKLTVKSLLRFKCVSKQWFRLITHPHFTDQYLSNQRKKDPRFLTAYFKIDKATKHIVIASMVINGISLPDTRIPYRHQRMGDVPHDGYHMLNSCDGILCFLGRFKILVLNPSTTHRILHQSDVDSFSPVTNPFQVAINFPQQQQQLGFDRDLVTKRLKIVKVFNPYPNIGPPLHHHDYCEIFTLRPNPKVFWNYIGKIPYKIDVSSPCVYVNGVIYWSTDDIYHLEKTEVIIMLDLNMEKFQSIPHPSCSNRQRRTMQLGSLRESLCLAQQVSDCELNIWIMEKEKSPLVTWEKLYCIRLLSNDLQFGVGFAFAEDKNGRFVVCGGDKVYVFNENGEKGYLVHDQQDVPISFTESLERL >KJB46464 pep chromosome:Graimondii2_0_v6:7:60361412:60363850:-1 gene:B456_007G370600 transcript:KJB46464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKHFEYLMNPLAVVALMYCLSVNVTGFVHVGFQAYTLAYRLVTKKHVISPPWIRLSSFHMPSHPICL >KJB43942 pep chromosome:Graimondii2_0_v6:7:26914636:26915879:-1 gene:B456_007G225000 transcript:KJB43942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREEKRKRFHEAVLRTLYPPPSPPESEEEEEKKQLITSQRAVDFGLGNPDDFEEDKSSSPKTADEDDGSQSETQKLSRAQRKRLRKKKLKEDAFRRGKIIGPLLPSSKKDGVGSLQCEPEGVRENARQEQVASSDKPGDQQEGDCSTKKKLKQRRIAKRLAKEGLKSTEGENSGQDKEHQVI >KJB45571 pep chromosome:Graimondii2_0_v6:7:52685744:52688218:-1 gene:B456_007G313100 transcript:KJB45571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPLFYSHLSLLILASILWILATVEAQRNVSVGDSLSANDKTMAWQSPSGDFAFGFQSIPTKQDRFLLAIWYAKIQERTIVWYANRDNPETDRDSTIELDNSGHLVLKDPKGKELWRSQRLTDGSQVSHAAMLDTGNFVIASKNSTNIWESFKYPTDTILPSQELDMGDHLLSTLVETSYSKGKYQLRFNSESFILNQIDMFTGKPYEAYFNFGNGSRLVFTESGYIRIQNLNGTLVEVAPINAVPKPDLYYYRATLDFYGVFNLYYYPRNPSGGGSWSVLWFRPMNICRRFINSTITSGRATFGTGPCGYNSICEPIQGRPNCTCPPGFSFLDDNNQYAGCKQDYASYPADCNPDGSTIGEDRFEFKPMSFADFPLGDYGVIKPATELECKQSCLLDCSCAVAILQDPTLSKDGNGTCWKKKIPLSNGWFDRESVDRTALFKVLKSDASTKSPTKSNRSDDNQNEVVLILAVLLGTSAIFNFFSLAAISMIFFCLFRRRLGELKGAHSRRDLETNLQFFTYKDLEHATNGFKEELGRGAFGTVYKGELPSSYGDRIAVKKLDKFAQDGEREFKTEVKVIGQTHHKNLVRLTGYCDEAEHRLLVYEFMENGSLSSFLFGILRPSWQQRLQIASGIAKGLTYLHEECSKQIIHCDIKPQNILLDESLTAKISDFGLAKLLMNDKTRTKTGIRGTKGYVAPEWFRNIPVTVKVDVYSFGVMLLEIICCRRCVEVEMEEAAILTDWVFQCYSEGMIEKLVEDDEEARNDVGKLEKLLKVGIWCVQEEPMLRPSMRIVTMMLDGAIQVPDPPCPFSLNSISTSTKTD >KJB45224 pep chromosome:Graimondii2_0_v6:7:50724959:50735681:-1 gene:B456_007G297100 transcript:KJB45224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLCLYSSVSPVLPLPATGLDFNGQIFSKFTVRSPGRRFSSRLTVNSRGRTGEEPLPPILSSSSAYAVLGVDPNCSAAELKAAFRAKVKQYHPDVNKDGGDSDTMIRRVIQAYEMLCDYSRSEIIERECLDPFDSPESEAFDVFVNEVLCVGKGCPYSCVKRAPHAFSYDPSTGTARATSQGHGEDYRVQLAVGQCPRNCIHFVTPSQRIILEELLDSILNVPFDISAEADLLYSLIVKAKFENNRYQKPKKQPKTSTKNVDWF >KJB45223 pep chromosome:Graimondii2_0_v6:7:50732947:50735728:-1 gene:B456_007G297100 transcript:KJB45223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLCLYSSVSPVLPLPATGLDFNGQIFSKFTVRSPGRRFSSRLTVNSRGRTGEEPLPPILSSSSAYAVLGVDPNCSAAELKAAFRAKVKQYHPDVNKDGGDSDTMIRRVIQAYEMLCDYSRSEIIERECLDPFDSPESEAFDVFVNEVLCVGKGCPYSCVKRAPHAFSYDPSTGTARATSQGHGEDYRVQLAVGQCPRNCIHFVTPSQRIILEELLDSILNVPFDISAEADLLYSLIVKAKFENNRYQKPKKQPKTSTKNVDWF >KJB41208 pep chromosome:Graimondii2_0_v6:7:6944756:6946091:1 gene:B456_007G094600 transcript:KJB41208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYCVNTKRHLVKSRLGFLEFLQNLFKMHLTLEFGGGLELLCESVKIHNVNVDLPKGADKLSMRDLLAWVRTNLIKERPEMFMKGESETWCSCPCK >KJB41207 pep chromosome:Graimondii2_0_v6:7:6945022:6945817:1 gene:B456_007G094600 transcript:KJB41207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTLEFGGGLELLCESVKIHNVNVDLPKGADKLSMRDLLAWVRTNLIKERPEMFMKGESVRPGVLVLVNDCDWELSGQLDTTLEEKDLVVFISTLHGG >KJB42238 pep chromosome:Graimondii2_0_v6:7:12033464:12035226:-1 gene:B456_007G144100 transcript:KJB42238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRRDMRNNRVALFDGIEEGGIRATSSYSHEIDEHDNERAMEGLQDRVNLLKRATYMRRWIATIACLIGWEMIWIHQEEYSQEPWTSLRWYSRPNQAGECLHLWHLLWLFSLLYTILLGN >KJB38910 pep chromosome:Graimondii2_0_v6:7:60970023:60972368:1 gene:B456_007G3801001 transcript:KJB38910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSMIRYFSTKPKPKMKPIELKTSLEQTQTISRVTFDILKEHGPLTVGDTWERVKEVGLRGLTSKRHMKIVLRWMRGRQNIRLICNHVGPHKQFL >KJB38909 pep chromosome:Graimondii2_0_v6:7:60969539:60972374:1 gene:B456_007G3801001 transcript:KJB38909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSMIRYFSTKPKPKMKPIELKTSLEQTQTISRVTFDILKEHGPLTVGDTWERVKEVGLRGLTSKRHMKIVLRWMRGRQNIRLICNHVGPHKQFL >KJB38911 pep chromosome:Graimondii2_0_v6:7:60971621:60972443:1 gene:B456_007G3801001 transcript:KJB38911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSMIRYFSTKPKPKMKPIELKTSLEQTQTISRVTFDILKEHGPLTVGDTWERVKEVGLRGLTSKRHMKIVLRWMRGRQNIRLICNHVGPHKQFL >KJB39197 pep chromosome:Graimondii2_0_v6:7:198615:200503:-1 gene:B456_007G001900 transcript:KJB39197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTITTASASISPPTPPNLQLINRRHLLLLTSLSLSSFSVSDSVATARGLLQMPPPRLTNRYFLVRAGESQYESLGIINTNPVAKTSVDSGLSDKGKKQTLKSALELKAMGACERNCWIWPSITQRAYQAAEIIAAVNGVSRSYIVPEYSFLDARGLGAYEGKELEAISQVYESDSISSTIKPPPIDDGTPNESVADVFVRVTQLMSILETQYSEDTVIIVSPDSDNLTILQAGLVGLDLRRHSELSFGPGEVRYVDPSSIPTYKQPASAVYKCLNPPNCN >KJB39196 pep chromosome:Graimondii2_0_v6:7:199132:200431:-1 gene:B456_007G001900 transcript:KJB39196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTITTASASISPPTPPNLQLINRRHLLLLTSLSLSSFSVSDSVATARGLLQMPPPRLTNRYFLVRAGESQYESLGIINTNPVAKTSVDSGLSDKGKKQTLKSALELKAMGACERNCWIWPSITQRAYQAAEIIAAVNGVSRSYIVPEYSFLDARGLGAYEGKELEAISQVYESDSISSTIKPPPIDDGTPNESVADVFVRVTQLMSILETQYSEDTVIIVSPDSDNLTILQAGLVGLDLRR >KJB42035 pep chromosome:Graimondii2_0_v6:7:10882940:10883766:1 gene:B456_007G133600 transcript:KJB42035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAAASSVVVGLGYCGDAIWVLTGFCNSGVRAWLMVDCCWGIVILWVVRKAGHKVIALNSFSHTPSGEESIDGNMN >KJB40452 pep chromosome:Graimondii2_0_v6:7:4510203:4511985:-1 gene:B456_007G064400 transcript:KJB40452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKNSPILITKKPDLNDLVLRAKLAKGMGHNYYGEPTWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGELMDPFAIPLEILPEWYFFPVFQILRRRPWGDHVVPTGSDQPLILLF >KJB42091 pep chromosome:Graimondii2_0_v6:7:11183735:11184607:-1 gene:B456_007G136700 transcript:KJB42091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVCQGLQSCLEVVESRVSRLKLAPTKSNFPPSIVTTDPKPTVYNEEKNTTITNTNDMGGWSCIQSLSNAKASTENDKVYVHPLVKRSASRLSEKSLEMCTERLGSETGSEVSDCSDDISLFSMDTRSQTVACNIPPKPRAIRKMSRSSSFPPPLTSISGSNCVQVKSHREGGRLVLQAVSFPPSRTYFHAERSYGRLRLSLYKDASPVFQDQDGQEEDQEEEEEEEEEEETVVEEEEFYGETEIKEANSGNVGGEIGTGKLPIPSSCKENGCGHKGLLHWKPFLVAT >KJB41579 pep chromosome:Graimondii2_0_v6:7:8399398:8400187:1 gene:B456_007G110300 transcript:KJB41579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNCSFMSCDKLDRMANWVGTSMASAFFASLERCSCINLSTTDFDDEEEAYDRPLVLTKPLIHDEPETEPQPRPAA >KJB43377 pep chromosome:Graimondii2_0_v6:7:19745978:19748915:1 gene:B456_007G196900 transcript:KJB43377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTICDACESAAAIVFCAADEAALCSACDEKVHLCNKLASRHVRVGLANPSDVPRCDICENAPAFFYCEIDGTSLCLQCDMIVHVGGKRTHGRYLVLRQRVEFPGYKPGNIEDPASQPLDPSETMRGQNHAAKRTVGENQQNDKACPVLRMDANADGHLETGTKMIDLNMKPHRIHGQASNNQEQ >KJB43378 pep chromosome:Graimondii2_0_v6:7:19746492:19747976:1 gene:B456_007G196900 transcript:KJB43378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTICDACESAAAIVFCAADEAALCSACDEKVHLCNKLASRHVRVGLANPSDVPRCDICENAPAFFYCEIDGTSLCLQCDMIVHVGGKRTHGRYLVLRQRVEFPGYKPGNIEDPASQPLDPSETMRGQNHAAKRTVGENQQNDKACPVLRMDANADGHLETGTKMIDLNMKPHRIHGQASNNQVRALLLCKFLLFFHCI >KJB40476 pep chromosome:Graimondii2_0_v6:7:4624600:4634134:-1 gene:B456_007G065800 transcript:KJB40476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSRPGNSIGGAQSGSPALMRSNSAILGSQGGSMPQQATFSSLVSPRVQYNMNLLGSTANVSSLHNQTFGNGGSNSDLSGASGLQQRGFNATAESDPLTAVANEIGFNAPPSSFTPSNIANFGSSGQIPNQQIANYAGNPEMLDQQQSQVRQFEPQKFHQGQQSMQQFPLSQSQSLQQFQSTRGEFGGKSAVKLEPQTINDQVGPQQQLQSFRNLGPVKLEPQQNQAGRGVGPVKLERQQSDQAMLLQQQQQQQQQQQQQQQQQQFLQLSRQSSQAALAQMNLLQQQRILQMQYHHQLLKSLPQQRPQLQPQFLQQNLPIRSAVRPAYEPGTCARRLTQYIYQQQCRPNDNNIEFWRKFVAEYFAPNSKKRWCVSLYGNSRQTNGVFPQILQDIWHCEICNRKPGRGFETTVEVLPRLFKIKYDSGTLEELLYVDMPREYLNGNDQIVLDYAKAIQESVFEHLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLIIPQVSQLGAAAQKYQASAQNSSSNLSAPDLQTNCNMFVASARQLAKALDVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRMGPIESLAKFPTRSSPSSAQHSSAPQIEKQQHVTGENTNNDPHSIQSTVLQPYTSNAVASVNNSQGAKSTSSASTIVGLLRQNSMNSRIENQMNNPNSPYAGTPVPIPSAGSSTSLPLTQPNPSSPFSSPMPSSSSLPPQSSHNALGTSTTAKSVNSANPSAQIPPQQSSQTSEVDPNESQSSVEKIIQEMMISSQFSETGSMVSVSSMENNLNNNNGLPQVSGSCLMGNGFVNNNSGIGSGGGFGNMNGGMGLSPNPTAMRSTMGNNSMNYTGRVSMPLMPQDAMNHQQQELANRLLNGLGAVNGFSNLQFDWKSP >KJB40477 pep chromosome:Graimondii2_0_v6:7:4624600:4634379:-1 gene:B456_007G065800 transcript:KJB40477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSRPGNSIGGAQSGSPALMRSNSAILGSQGGSMPQQATFSSLVSPRVQYNMNLLGSTANVSSLHNQTFGNGGSNSDLSGASGLQQRGFNATAESDPLTAVANEIGFNAPPSSFTPSNIANFGSSGQIPNQQIANYAGNPEMLDQQQSQVRQFEPQKFHQGQQSMQQFPLSQSQSLQQFQSTRGEFGGKSAVKLEPQTINDQVGPQQQLQSFRNLGPVKLEPQQNQAGRGVGPVKLERQQSDQAMLLQQQQQQQQQQQQQQQQQQFLQLSRQSSQAALAQMNLLQQQRILQMQYHHQLLKSLPQQRPQLQPQFLQQNLPIRSAVRPAYEPGTCARRLTQYIYQQQCRPNDNNIEFWRKFVAEYFAPNSKKRWCVSLYGNSRQTNGVFPQDIWHCEICNRKPGRGFETTVEVLPRLFKIKYDSGTLEELLYVDMPREYLNGNDQIVLDYAKAIQESVFEHLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLIIPQVSQLGAAAQKYQASAQNSSSNLSAPDLQTNCNMFVASARQLAKALDVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRMGPIESLAKFPTRSSPSSAQHSSAPQIEKQQHVTGENTNNDPHSIQSTVLQPYTSNAVASVNNSQGAKSTSSASTIVGLLRQNSMNSRIENQMNNPNSPYAGTPVPIPSAGSSTSLPLTQPNPSSPFSSPMPSSSSLPPQSSHNALGTSTTAKSVNSANPSAQIPPQQSSQTSEVDPNESQSSVEKIIQEMMISSQFSETGSMVSVSSMENNLNNNNGLPQVSGSCLMGNGFVNNNSGIGSGGGFGNMNGGMGLSPNPTAMRSTMGNNSMNYTGRVSMPLMPQDAMNHQQQELANRLLNGLGAVNGFSNLQFDWKSP >KJB46165 pep chromosome:Graimondii2_0_v6:7:58232460:58239454:-1 gene:B456_007G352100 transcript:KJB46165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAARRLDKISRLMGSRATPSAFLKAFNKESLLWTESLNPKVRLLGNDDGDAVRFSTYHYSSNSNHSPTNKVLRNLPAHVKIVEVGPRDGLQNEKEIVPTSTKVQLIKMLVSSGLTVVEATSFVSPKWVPQLADAKDVMDAIRNIQGARFPVLTPNLKGFEAAVSAGANEVAIFASASESFSKSNINCSIEDSLARFRDVVSAAREISLPVRGYVSCVVGCPVEGIVPPSKVAYVAKQLFDMGCSEISLGDTIGVGTPGTVIPMLENVMNVVPIDNLAVHFHDTYGQALSNILTSLQMGIRTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGIETNVDLEKLLLAGDFICKHLRRPPSSKTAVALSSKKANSKL >KJB46168 pep chromosome:Graimondii2_0_v6:7:58232460:58239425:-1 gene:B456_007G352100 transcript:KJB46168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAARRLDKISRLMGSRATPSAFLKAFKLLGNDDGDAVRFSTYHYSSNSNHSPTNKVLRNLPAHVKIVEVGPRDGLQNEKEIVPTSTKVQLIKMLVSSGLTVVEATSFVSPKWVPQLADAKDVMDAIRNIQGARFPVLTPNLKGFEAAVSAGANEVAIFASASESFSKSNINCSIEDSLARFRDVVSAAREISLPVRGYVSCVVGCPVEGIVPPSKVAYVAKQLFDMGCSEISLGDTIGVGTPGTVIPMLENVMNVVPIDNLAVHFHDTYGQALSNILTSLQMGIRTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGIETNVDLEKLLLAGDFICKHLRRPPSSKTAVALSSKKANSKL >KJB46170 pep chromosome:Graimondii2_0_v6:7:58232085:58239571:-1 gene:B456_007G352100 transcript:KJB46170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAARRLDKISRLMGSRATPSAFLKAFNKESLLWTESLNPKVRLLGNDDGDAVRFSTYHYSSNSNHSPTNKVLRNLPAHVKIVEVGPRDGLQNEKEIVPTSTKVQLIKMLVSSGLTVVEATSFVSPKWVPQLADAKDVMDAIRNIQGARFPVLTPNLKGFEAAVSAGANEVAIFASASESFSKSNINCSIEDSLARFRDVVSAAREISLPVRGYVSCVVGCPVEGIVPPSKVAYVAKQLFDMGCSEISLGDTIGVGTPGTVIPMLENVMNVVPIDNLAVHFHDTYGQALSNILTSLQMGIRTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGIETNVDLEKLLLAGDFICKHLRRPPSSKTAVALSSKKANSKL >KJB46172 pep chromosome:Graimondii2_0_v6:7:58234055:58239425:-1 gene:B456_007G352100 transcript:KJB46172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAARRLDKISRLMGSRATPSAFLKAFNKESLLWTESLNPKVRLLGNDDGDAVRFSTYHYSSNSNHSPTNKVLRNLPAHVKIVEVGPRDGLQNEKEIVPTSTKVQLIKMLVSSGLTVVEATSFVSPKWVPQLADAKDVMDAIRNIQGARFPVLTPNLKGFEAAVSAGANEVAIFASASESFSKSNINCSIEDSLARFRDVVSAAREISLPVRGYVSCVVGCPVEGIVPPSKVAYVAKQLFDMGCSEISLGDTIGVGTPGNFQVTFC >KJB46166 pep chromosome:Graimondii2_0_v6:7:58232842:58238485:-1 gene:B456_007G352100 transcript:KJB46166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAARRLDKISRLMGSRATPSAFLKAFNKESLLWTESLNPKVRLLGNDDGDAVRFSTYHYSSNSNHSPTNKVLRNLPAHVKIVEVGPRDGLQNEKEIVPTSTKVQLIKMLVSSGLTVVEATSFVSPKWVPQLADAKDVMDAIRNIQGARFPVLTPNLKGFEAAVSAGANEVAIFASASESFSKSNINCSIEDSLARFRDVVSAAREISLPVRGYVSCVVGCPVEGIVPPSKVAYVAKQLFDMGCSEISLGDTIGVGTPGTVIPMLENVMNVVPIDNLAVHFHDTYGQALSNILTSLQMGIRTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGIETNVDLEKLLLAGDFICKHLRRPPSSKTAVALSSKKANSKL >KJB46169 pep chromosome:Graimondii2_0_v6:7:58232460:58239425:-1 gene:B456_007G352100 transcript:KJB46169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAARRLDKISRLMGSRATPSAFLKAFNKESLLWTESLNPKVRLLGNDDGDAVRFSTYHYSSNSNHSPTNKVLRNLPAHVKIVEVGPRDGLQNEKEIVPTSTKVQLIKMLVSSGLTVVEATSFVSPKWVPQLADAKDVMDAIRNIQGARFPVLTPNLKGFEAAVSAGANEVAIFASASESFSKSNINCSIEDSLARFRDVVSAAREISLPVRGYCHSNA >KJB46171 pep chromosome:Graimondii2_0_v6:7:58232596:58239425:-1 gene:B456_007G352100 transcript:KJB46171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAARRLDKISRLMGSRATPSAFLKAFNKESLLWTESLNPKVRLLGNDDGDAVRFSTYHYSSNSNHSPTNKVLRNLPAHVKIVEVGPRDGLQNEKEIVPTSTKVQLIKMLVSSGLTVVEATSFVSPKWVPQLADAKDVMDAIRNIQGARFPVLTPNLKGFEAAVSAGANEVAIFASASESFSKSNINCSIEDSLARFRDVVSAAREISLPVRGYVSCVVGCPVEGIVPPSKVAYVAKQLFDMGCSEISLGDTIGVGTPGTVIPMLENVMNVVPIDNLAVHFHDTYGQALSNILTSLQMGIRTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGIETNVDLEKLLLAGDFICKHLRRPPSSKTAVALSSKKANSKL >KJB46167 pep chromosome:Graimondii2_0_v6:7:58232460:58238349:-1 gene:B456_007G352100 transcript:KJB46167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSSGLTVVEATSFVSPKWVPQLADAKDVMDAIRNIQGARFPVLTPNLKGFEAAVSAGANEVAIFASASESFSKSNINCSIEDSLARFRDVVSAAREISLPVRGYVSCVVGCPVEGIVPPSKVAYVAKQLFDMGCSEISLGDTIGVGTPGTVIPMLENVMNVVPIDNLAVHFHDTYGQALSNILTSLQMGIRTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGIETNVDLEKLLLAGDFICKHLRRPPSSKTAVALSSKKANSKL >KJB45623 pep chromosome:Graimondii2_0_v6:7:53190325:53193650:1 gene:B456_007G316700 transcript:KJB45623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKLPSSTHGSMSNPKRPTAKFHPSVWGDIFLSSPTTNVDAKTKLQHEELKEEVRRMIKVVMDDELLYKLRLIDTIKRLGVSYHFEREIEEVLLNIYEHDYKDDQTPETTSLQFRLLRENGLGVPCEWFHKFKDDDGNFNMSLTSDVKGLLELYEASHLRVHGEDILEEALGFTTTHLGLAKASGTIEYPLSALVSHALYQSIRKGLPRLEAKRFISIYQGDASHNKTLLKFAELDFNLLQISHKEELSKISRWKKGLDLTTKLPFVRDRLVESYFWILGVYFEPQYSFAREILVKTMVIASIMDDIYDAYDQLPKYMKSFYKPFLDYYREKEETMIKQGKSYRIKYAKDVEYMRNGVLSAGYIMLTVTSFVGMEDFVTPEIFNWASNKPKIIDASSIAIRLMNDVTSHKRAVECYMREHAVSKEEACSELKKRVENAWKDINQELIFSEISKVVPRPVLTRILNFTRVTEFIYKNGDEYTHVGKNTKEGITSLLIDPISVSY >KJB40931 pep chromosome:Graimondii2_0_v6:7:5946712:5948514:1 gene:B456_007G083100 transcript:KJB40931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCIVKILETTEIKPSPEFPNFASESTLPLTLFDTFWFQIPPVERLFFYHLNDLTPADFSSEILPKLKHSLSLTLHHYLPIAGSLKWPSHAPKPFISYTPNNGVSLTVAESNADFHRLTGNGIYEAVELHPLIPHLKSSDDSASILALQITLFPGKGFSIGITAHHTVLDGKTTTMFMKSWAYLCKQRNIQNSHQLPPELTPVFDRDVIRDPTGFDLDMLYLNQWLTYTISNKSLKVSTDKGAAPNLVRATVTITPEDFKKMREKVFSKSPDTSKTLHLSTFALTLGYVSSCLVKARGGAGDRSVCLAFTADCRSRLDPPISETYFGNCNVVLSDISKARGYMDFEHGFAFGAEKVSNMVKGLKEKGVFEGAKDMLRPFFEMAKEAAGSVQAITVAGSPRFDLYETDFGWGRAWKVVVVSIDKNEAISMAESRDEKRGIEVGLALKKPEMERLLNVFLKDVV >KJB39915 pep chromosome:Graimondii2_0_v6:7:2611419:2612484:1 gene:B456_007G037700 transcript:KJB39915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGVSAEPVETNPPTEDMNPETQVPPSPNFDDSVDAGLVYNGGSKSKRQREEDGGESDEVSKKQKAESSVDDEIIEKNSVPSVSSRVRLGPKEFGSSVEMFDYFYNLLHYWATHLNLNKYEHMVLLELLKKGHEEPDKKIGKGIKGFQVRIHPVWKSKCFFVIKDDDTFDDFSFRKCVDHILPLPDEMKQPDTNKASNGSRGGKGSGRGRGKRR >KJB44045 pep chromosome:Graimondii2_0_v6:7:29389289:29390298:-1 gene:B456_007G232100 transcript:KJB44045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDKRLTEIFCDIFIKEILKGNRPGTHFTRDGWLKIMTNFEKETGKSFSQRQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRIVVLEAKKFRTSGIDLEFEGKLDQMFMGIVAIGDKAWAPSSGTLLTDFFEDVNNKIPEENEEENMRNDVHIYMIQKRKDPEMSSSHFKTGRKKSPKQIGGAARLSSQIKKLCNAADNMSQATSIKMLDSMSEEVPEASPLYFFALRLFLNKDKRIMFLSINPKIRALWLKMEMEDS >KJB45173 pep chromosome:Graimondii2_0_v6:7:50406560:50408899:1 gene:B456_007G293800 transcript:KJB45173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENNHKNNHQSCKTRLLPKRIILVRHGESEGNLDTSAYSTTPDYKISLTEGGRAQARLAGSHLRDLVSSHGPCPDWRVYFYVSPYERTRSTLREIGKSFSKKRVIGVREECRIREQDFGNFQVEERMKIIKETRERFGRFFYRFPEGESAADVFDRVSSFLESLWRDIDLNRLHSDSSQDLNLIIISHGLASRVFLMKWFKWTVEQFERLNNLGNCEIRVMELGHGGEYSLAINHSDEELLEWGLSPEMIKDQKWRIDGNKADWNDHCTWYLRSFFDYESDSEDDVERS >KJB46352 pep chromosome:Graimondii2_0_v6:7:59420741:59422859:1 gene:B456_007G362400 transcript:KJB46352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEETSDTMNLDLNLGPAPETGSGSLLNEGVNLNDLVENPFDRIRDAVRRRRWRWHQVQLPVATQSLSVEFDQFTGNSDGPNTLQAGEGSVTAEERTSDVPKVCETTNGFLEDEVLEDKKDDIEKGVSNDGSFFDCNICLDLAREPVVTCCGHLFCWSCLYRWLHMHSDANECPVCKGEVTIKTLTPIYGRGKVIYEPEEDSGFKIPPRPTAGRVDSWRQTIQRTPLNLPVEEMIRRIGNRFDLVRDLTPPREASGSRETAERTNSVLNRILTSRGLRGEQNAVVPLDDVDLTPSSTTSTDVVSSRIHSLYLQRQSHLRRAARLTSLSSALNSAERMVEAIFRGNPVGRNQEQAPAAVVDDRDSFSSIAAVINSESQMDTAVEIDSVVSLSASSSRRRNDVLRVSDVDSGDSRAHRRRRLN >KJB46353 pep chromosome:Graimondii2_0_v6:7:59420691:59422859:1 gene:B456_007G362400 transcript:KJB46353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEETSDTMNLDLNLGPAPETGSGSLLNEGVNLNDLVENPFDRIRDAVRRRRWRWHQVQLPVATQSLSVEFDQFTGNSDGPNTLQAGEGSVTAEERTSDVPKVCETTNGFLEDEVLEDKKDDIEKGVSNDGSFFDCNICLDLAREPVVTCCGHLFCWSCLYRWLHMHSDANECPVCKGEVTIKTLTPIYGRGKVIYEPEEDSGFKIPPRPTAGRVDSWRQTIQRTPLNLPVEEMIRRIGNRFDLVRDLTPPREASGSRETAERTNSVLNRILTSRGLRGEQNAVVPLDDVDLTPSSTTSTDVVSSRIHSLYLQRQSHLRRAARLTSLSSALNSAERMVEAIFRGNPVGRNQEQAPAAVVDDRDSFSSIAAVINSESQMDTAVEIDSVVSLSASSSRRRNDVLRVSDVDSGDSRAHRRRRLN >KJB44379 pep chromosome:Graimondii2_0_v6:7:39049186:39053389:-1 gene:B456_007G249100 transcript:KJB44379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKFSEGDEVVKPLKDILRKVGISRRMIKWSVELSEFGLEYLPRKVVKAQALTDFVDDCSFSQPSKPFQII >KJB45948 pep chromosome:Graimondii2_0_v6:7:56511509:56514391:-1 gene:B456_007G339900 transcript:KJB45948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVTITLFPFLLVILANCFSFCDANSNVLCFESERKALLKFKNDLVDPSNRLSSWVEGGGCCKWLGVVCHNSTGHINQLHLAAPLSVAHFDAPVAEWEAYHRSKNNSLLGGKINPSLLELKHLSSLDLSNNNFSGIQIPKFFGLLESLSYLNLSQARFQGAIPHNLGNLSKLQYLDLGGNDLKPKSLQWVSGLSSLQYLDLSHADLSKATDWLQVTFQHPSLLELHLSACSLEDDASPISVNSTKSLVVLDLSENNFSSVPMSIFGLHDLVSIDLSGNSLKGPIPDYFTNISLLEVLDLSGNSLNSSTPTSLFSLYHLQFLNLSSNEIDQDISQILLSLSRCCLDCLESLDMAHNHLFGHLIDQLGHFKNLAHLSLAGNNISGPIPLSIGELSSLKLFNVSENQLNGTFPLCFGQLKSLETLDLGCNQLEGVVSETHFSNLRRLTTLAASQNRLRFEPNSSWIPPFQCRIIRLGQWHLGPKFPRWLKFQKNLSVLDISDAGISDILPTWLLNLSTQFEYVNLSCNQLTGGISYLNVTEIVDLSSNRFTGPLPRVFTTLQILILSNNSFSGRLVELVCYSLRKGPMRILAIETNLLSGEIPDCWDHWRGLGYLNLENNKLTGKIPPSLGHLNLLVLNLRSNGMSGELPSTLQHSTRLIMLDLSDNHFSGSVPTWIGDKLSKLEILSLRSNNFDGHLPQKICQLQSLRILDLGHNNISGAIPKCFSNFSAMANKSNQHCYMFQWSSISTNFLYLSALLVLKGREDEYGATLGLVTSMCLSTNRLIGEIPKELGSLVELRSLNLSGNLLIGNIPDEIGNMKLMESLDLSRNQLNGEIPPSFSKLNFLNHFNVSYNNLIGQIPTSTQLQSFESLSYVGNHLCGPPLTKNCSSKGFSTDVANNGSNSEGSKVNWLYVSIVLGFVMGFWGVVAPLFFIRSWRHAYYRKLDNVYGKLYVFWATMGI >KJB40198 pep chromosome:Graimondii2_0_v6:7:3555575:3557859:1 gene:B456_007G050400 transcript:KJB40198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNFLNNSRCSSSSWTPKQNKTFEKALAKYDQDTPDRWHNVAKAVGGKSAEEVKLHYDALVRDLKDIESGRYPYPYPSGSNS >KJB44310 pep chromosome:Graimondii2_0_v6:7:36552367:36556177:-1 gene:B456_007G245200 transcript:KJB44310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFCPTDHSNPNPNSIFFPENPDPMADFELSDYLMLDAGVFEDDTSEKGMGVANESATPKHSNIRCKSGEKKSKLGLGHRIAFRMKSEIEAMDDGYKWRKYGKKSVKNSPNPRNYYKCVSGGCNVKKRIERDRDDKSYVITTYEGVHNHESPYTSYCNQMPLMAPNACISQPSPFSSSFST >KJB42627 pep chromosome:Graimondii2_0_v6:7:14093220:14099454:1 gene:B456_007G161000 transcript:KJB42627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRMSTSISTKTEPKRQRLFTHCFLPMILLLSAAFFIGSAFIITDYKEKILGWGSVMVLQYSRSKMCETQCRAYGSEALPRGIISETSDLEMRPLWGAQNKKKPKLSMNLLAIAVGIKQKENVNKMVKKFLESDFVVMLFHYDGIVDQWKDLEWNDRAIHVSAVNQTKWWFAKRFLHPDIVSEYGYIFLWDEDLGVDHFNAKRYLSIIKKEGLEISQPALDPEKSELHHPITARDKNSTVHRRTYKVIGRTKCNENNTGPPCTGFVEMMAPVFSRASWRCSWHMIQSDLVFGWGLDFQLGYCAQGDRTQKIGIVDSEYLIHDALPTLGGSAENKVSSPSSESGGRSEVKKQSYIELEIFKSRWKRAVNQDNCWSDPFEQSTKKQK >KJB42628 pep chromosome:Graimondii2_0_v6:7:14093472:14099454:1 gene:B456_007G161000 transcript:KJB42628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRMSTSISTKTEPKRQRLFTHCFLPMILLLSAAFFIGSAFIITDYKEKILGWGSVMVLQYSRSKMCETQCRAYGSEALPRGIISETSDLEMRPLWGAQNKKKPKLSMNLLAIAVGIKQKENVNKMVKKFLESDFVVMLFHYDGIVDQWKDLEWNDRAIHVSAVNQTKWWFAKRFLHPDIVSEYGYIFLWDEDLGVDHFNAKRYLSIIKKEGLEISQPALDPEKSELHHPITARDKNSTVHRRTYKVIGRTKCNENNTGPPCTGASWRCSWHMIQSDLVFGWGLDFQLGYCAQGDRTQKIGIVDSEYLIHDALPTLGGSAENKVSSPSSESGGRSEVKKQSYIELEIFKSRWKRAVNQDNCWSDPFEQSTKKQK >KJB42626 pep chromosome:Graimondii2_0_v6:7:14093221:14099454:1 gene:B456_007G161000 transcript:KJB42626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRMSTSISTKTEPKRQRLFTHCFLPMILLLSAAFFIGSAFIITDYKEKILGWGSVMVLQYSRSKMCETQCRAYGSEALPRGIISETSDLEMRPLWGAQNKKKPKLSMNLLAIAVGIKQKENVNKMVKKFLESDFVVMLFHYDGIVDQWKDLEWNDRAIHVSAVNQTKWWFAKRFLHPDIVSEYGYIFLWDEDLGVDHFNAKRYLSIIKKEGLEISQPALDPEKSELHHPITARDKNSTVHRRTYKVIGRTKCNENNTGPPCTGFVEMMAPVFSRASWRCSWHMIQSDLVFGWGLDFQLGYCAQGDRTQKIGIVDSEYLIHDALPTLGGSAENKVSSPSSESGGRSEVKKQSYIELEIFKSRWKRAVNQDNCWSDPFEQSTKKQK >KJB40851 pep chromosome:Graimondii2_0_v6:7:5749281:5752444:1 gene:B456_007G080400 transcript:KJB40851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLFIASSSPASFSVNGTTKLSRPSFPLTSQTREFPIFKLHCSARLSKTAIQSLHASTNSIGSTRIKPRVDKSENLTLEAVRYSLIRQEDSIIFSLLERAQYCYNKDTYDPDAFSMDGFHGSLVEYMLRESEKLHAKIGRYRSPDEHPFFPDELPEPLLPPLQYPQVLHPAADSININPKVWQMYFRNLIPRLVKAGDDGNCGSTAVCDTVCLQSCFQRMRWDQDFLTANNICSDSGSFVALLFFHCLKLTANCILIHPQN >KJB40853 pep chromosome:Graimondii2_0_v6:7:5748810:5753553:1 gene:B456_007G080400 transcript:KJB40853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFHGSLVEYMLRESEKLHAKIGRYRSPDEHPFFPDELPEPLLPPLQYPQVLHPAADSININPKVWQMYFRNLIPRLVKAGDDGNCGSTAVCDTVCLQALSKRMHYGKFVAECKYQAYPDAYRAAIREQDRDWLMKLLTYPSVEESIKKRVEMKARTYGQVVPDNMNMKDGDPVYKINPSLVADLYGDWIMPLTKEVQVEYLLRRLDGSE >KJB40850 pep chromosome:Graimondii2_0_v6:7:5748713:5753553:1 gene:B456_007G080400 transcript:KJB40850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQSIVYIKEAFIFLVDSHPPITISTTTTRNPRSRSTRIKPRVDKSENLTLEAVRYSLIRQEDSIIFSLLERAQYCYNKDTYDPDAFSMDGFHGSLVEYMLRESEKLHAKIGRYRSPDEHPFFPDELPEPLLPPLQYPQVLHPAADSININPKVWQMYFRNLIPRLVKAGDDGNCGSTAVCDTVCLQALSKRMHYGKFVAECKYQAYPDAYRAAIREQDRDWLMKLLTYPSVEESIKKRVEMKARTYGQVVPDNMNMKDGDPVYKINPSLVADLYGDWIMPLTKEVQVEYLLRRLDGSE >KJB40849 pep chromosome:Graimondii2_0_v6:7:5749034:5753553:1 gene:B456_007G080400 transcript:KJB40849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLFIASSSPASFSVNGTTKLSRPSFPLTSQTREFPIFKLHCSARLSKTAIQSLHASTNSIGSTRIKPRVDKSENLTLEAVRYSLIRQEDSIIFSLLERAQYCYNKDTYDPDAFSMDGFHGSLVEYMLRESEKLHAKIGRYRSPDEHPFFPDELPEPLLPPLQYPQVLHPAADSININPKVWQMYFRNLIPRLVKAGDDGNCGSTAVCDTVCLQALSKRMHYGKFVAECKYQAYPDAYRAAIREQDRDWLMKLLTYPSVEESIKKRVEMKARTYGQVVPDNMNMKDGDPVYKINPSLVADLYGDWIMPLTKEVQVEYLLRRLDGSE >KJB40852 pep chromosome:Graimondii2_0_v6:7:5749034:5753553:1 gene:B456_007G080400 transcript:KJB40852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLFIASSSPASFSVNGTTKLSRPSFPLTSQTREFPIFKLHCSARLSKTAIQSLHASTNSIGSTRIKPRVDKSENLTLEAVRYSLIRQEDSIIFSLLERAQYCYNKDTYDPDAFSMDGFHGSLVEYMLRESEKLHAKIGRYRSPDEHPFFPDELPEPLLPPLQYPQVLHPAADSININPKVWQMYFRNLIPRLVKAGDDGNCGSTAVCDTVCLQALSKRMHYGKFVAECKYQAYPDAYRAAIREQGLADEVAHISVCGRIDQEKSRNES >KJB41872 pep chromosome:Graimondii2_0_v6:7:10019060:10020034:1 gene:B456_007G125300 transcript:KJB41872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAKPAVASTNKDKASHPPYLEMICEAISTLKERTGSSQPAIAKFMEEKYGKMLPPNFKKLLSVQLKKLVKSEKLVKLKNSYKISSTEKLKLAVKETQERKGSAKNKSSNPKEKAAKKVSEKSVKTKRLSQVKTPEALKKAKKDVKKAVSGSKLKRLSQVKTPEGLKRKTSK >KJB44546 pep chromosome:Graimondii2_0_v6:7:42174494:42174759:-1 gene:B456_007G258700 transcript:KJB44546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSCPCFFKLRRGRENALSQCLSTRRYDIEVTHAILLGKARTTFNKRVHVPETDIGG >KJB43905 pep chromosome:Graimondii2_0_v6:7:26713890:26718956:1 gene:B456_007G224000 transcript:KJB43905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] MDASIVPSPKLPPPHFEPNTENIKRRLLRKGVYPTPKIVRTLRKREILKHNRKTKQPQPETPSLSASDLQSLAEESHFLTLKREYRRFSEALNPKRERQSPSLAGKPWERIEGPKLRDLVSENEELHGESLKRQNLKELREMFEEDLRWVLEDDVDVEDDDCLLPTEKQMQDLDTSKRWRNEKEAIRFLVDRLSEREITERHWKFVRIMKQSGLQFTEWQLLRIVEGLGKNGKWRQAMAIVQWLYGNKERKDFKSRFVYTKLLSVLGKARRPQEALQIFKLMLGDCLIYPDLAAYHSIAVTLGQAGLLKELLNIIECMRQKPSKRIKNMRRKNWDPVLEPDLVVYNAVLNACVPLHQWKGVSWVFEQLRKSGLRPNGATYGLAMEVMLQSGKYDLVHEFFRKMKRSGEAPRALTYKVLVKAFWEEGKINEAVEAVQDMERRGVIGTASVYYELACCLCRNGRWQDAMIEVDKIKRLSTRKPLEITFTGLIMASLDGGYVDDCISIFQYMKDHCAPNIGTINAMLKVYGQNDMFSKAKELFEETNKAKSGPYSSLNDKFGNLIPDGFTYSLMLEASASAHQWEYFEYVYKEMALSGYQLDQSKLPILLVEASRAGKWYLLEHAFDASLEGGEIPHPLIFTEMLIQATWRSNYEKAVTLVNTMAHAPFQVSEKQWTDLFLKNRDRITQGCLVKLFDALSSSELSSEITVSNLLRSLQSLCGSAPSTSSMSFGNFGESYGSERLNIPSISGNEKGKAATYPPLKATDTSFAMLSLTNAGKNEEGGVDADDSASKKHSCTGDFANDVTSGEPTNGSGKQVPLLNLDEYTEDTDEAETDLPVDGNTDMDLLIDEDSDSLTSKLPSAQEILESWKKSRESDGIYFPFHLGQK >KJB44108 pep chromosome:Graimondii2_0_v6:7:30718958:30721333:-1 gene:B456_007G234800 transcript:KJB44108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEILKDIGSGNFGVAKLVRDKRTGELYAVKYIERGPKIDEHVQREIMNHRSLKHPNIIKFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFGEDEARFFFQQLISGVSYCHAMSSVLHSQPKSTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPQDPRNFRKTIQRILSVHYSIPDYVRLSKDCRHLLSRIFVADPEKRITIPEIKQHPWFLKHLPKEFMGGEGGNLEKEEDEQFQSIEEVLSIIEEAREPGEGPKIGSQLLGGSMELDDLDTDADIDDIETSGDFVCALQV >KJB44110 pep chromosome:Graimondii2_0_v6:7:30719050:30721111:-1 gene:B456_007G234800 transcript:KJB44110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEILKDIGSGNFGVAKLVRDKRTGELYAVKYIERGPKIDEHVQREIMNHRSLKHPNIIKFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFGEDEARFFFQQLISGVSYCHAMKICHRDLKLENTLLDGSSTPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPQDPRNFRKTIQRILSVHYSIPDYVRLSKDCRHLLSRIFVADPEKRITIPEIKQHPWFLKHLPKEFMGGEGGNLEKEEDEQFQSIEEVLSIIEEAREPGEGPKIGSQLLGGSMELDDLDTDADIDDIETSGDFVCALQV >KJB44109 pep chromosome:Graimondii2_0_v6:7:30718959:30721308:-1 gene:B456_007G234800 transcript:KJB44109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEILKDIGSGNFGVAKLVRDKRTGELYAVKYIERGPKIDEHVQREIMNHRSLKHPNIIKFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFGEDEARFFFQQLISGKICHRDLKLENTLLDGSSTPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPQDPRNFRKTIQRILSVHYSIPDYVRLSKDCRHLLSRIFVADPEKRITIPEIKQHPWFLKHLPKEFMGGEGGNLEKEEDEQFQSIEEVLSIIEEAREPGEGPKIGSQLLGGSMELDDLDTDADIDDIETSGDFVCALQV >KJB41152 pep chromosome:Graimondii2_0_v6:7:6821901:6823375:1 gene:B456_007G093200 transcript:KJB41152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 8 [Source:Projected from Arabidopsis thaliana (AT2G45600) UniProtKB/Swiss-Prot;Acc:O64640] MEDQSSTSAPSIDPFKLLKIVQNPDGSLTRQSLFPSVSITEEESTGSNASQLAFFKDIPLNPQNKTFFRLYRPPTPPPNTNHRLPLLIHFHGGGFILFSATSRPFHDACSVKAVKLPAVVLSLEYRLAPEHRLPAAYDDAVETIMWVRDQAMDVNGCDPWLKEYVDFSKCFLIGSSAGGNMVFHAALRALDIDTSPVKIIGLIMNQPYFSGVERTESEKRFVNDRILPLPANDLMWSLALPEGADRDHEFCNPMTADGFLKEKMGRLTRCLVTGHGGDPLIDKQRELVKVLEARGVDVVAEFAEGGCHGIEIFDPLKAEALLKSIKEFVDTCCRCVNYESAAAKSTL >KJB46384 pep chromosome:Graimondii2_0_v6:7:59599967:59604852:1 gene:B456_007G364300 transcript:KJB46384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIAFDLAVGLITKLSSFTLSQIGLWWNLKDDLDDLKSTVSTVKALLLDAEERSVTSRPVKEWFKSLKDVLYDADDLLNDFSTEALRKDNKLTKEVRLFFSCSNQFDYGLKMGRKIKTIKARLISIGNDAKVFNLVERPMETSFMTKKRQQEHSFVPKDKIIGRDNDKAALLKLVLEFESDETVYIIPIVGLGGLGKTALAQFVYNDEMVKNHFELMMWVCVSDVFDVKIIVENMIKSATGKAPDQNLEMDQLQKQLREKIGGRKYLLVLDDIWNDEWEKWVRLKELLVGGAKGSRIIVTTRSSKVAKITSKCQPYVLNGLSDNDAWSLFKEIAFEQGSADSTDSGFVEIGKLILERCCGVPLVIRTIAGTLSFKETKSEWLSFKDNELARISQNEGEILPTLKLSYDHLPSHLKHCFAYCRLYPKDHEIDVRTLVQFWIAQGFVKQLNPSQSLEEIGFGYFKDLVERSFFQEVVEYGFWFMGCKMHDLMHDLAESVAGMESSIIDSNNIASDVGENCRHISIKPSLIPLFKGKKLRTLLHFHDKMDQKLSDESWDLIIANCRCLRVLKLDDIGIQKISPSIYKLKHLRYLDLSYNSDLKILPKSICKIQNLLSLKLDECPKLKELPKKIEKLVNLTHLACYFCDRLTHMPRGIGKLTSLETLSMFVVDKDGSHGGADLSELRLLNNLRGRLTIRNLGSVKNAKEKFKAANLKEKQHLRSLDLEWGGRNHDDEKSLEDLQPHPNLKELWIRGWRADAKFPSWLHLLTSLVKIKINYGNFKRLPSFAQFPCLQHLVIRHLTELEYMDNNSPKGSQGEPQSFFPSLKHLNLEDCPNMKSWWRTTKPIDDDSNEDDTTVMGTSTMAFPCLSSLTI >KJB41920 pep chromosome:Graimondii2_0_v6:7:10220894:10224559:1 gene:B456_007G128100 transcript:KJB41920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMTVEYKEEQIKNSRGALLFTCRWLPFSPTKALVFLCHGYGMECSGFMKECGTRLANAGYAVFGIDYEGHGKSKGDRCYIKKFDNIVNDCSEFFKSICAQEEYRGKSRFLYGESMGGAVALLLHRKDPSFWNGAVLVAPMCKISEKLKPHPVVINILTKMEQVIPRWKIVPSKDVIDSAFKDPVKREAVRNNKLIYQDKPRLKTALEVLRTSMSIENGLNEVRLPFFVLHGEADTVTDPEVSKALYEKARSTDKTIKLYPGMWHGLTAGEPDENVEMVFADIIGWLDKRCNAVTLDQSLGPFDQGSEECSDNIVISMATASGGTQANGRYLCGLKGPRARPHSAM >KJB41921 pep chromosome:Graimondii2_0_v6:7:10220932:10224501:1 gene:B456_007G128100 transcript:KJB41921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMTVEYKEEQIKNSRGALLFTCRWLPFSPTKALVFLCHGATSSLKTEFQCGLWHGVQWIHERLANAGYAVFGIDYEGHGKSKGDRCYIKKFDNIVNDCSEFFKSICAQEEYRGKSRFLYGESMGGAVALLLHRKDPSFWNGAVLVAPMCKISEKLKPHPVVINILTKMEQVIPRWKIVPSKDVIDSAFKDPVKREAVRNNKLIYQDKPRLKTALEVLRTSMSIENGLNEVRLPFFVLHGEADTVTDPEVSKALYEKARSTDKTIKLYPGMWHGLTAGEPDENVEMVFADIIGWLDKRCNAVTLDQSLGPFDQGSEECSDNIVISMATASGGTQANGRYLCGLKGPRARPHSAM >KJB45501 pep chromosome:Graimondii2_0_v6:7:52271852:52276399:1 gene:B456_007G309100 transcript:KJB45501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRRHIATSSLLCRFKHCSVIPRLLIGSALGFFNCSSVIFLAWTLVHRFSGQSSRPLYLSLFPSLFICCNIPPIPMVLKLSTLKLKFPNKIPPWLNFPGRYFSLSYAATLRPKPETDTDPQSVDNYEEKIGFLKHNLHPDNLIRILDKTQDSNSALKIFKWAALQKSFNHTPDTYYHISLKLGLAGNVKEMDNFCLNLARDKCVGSREALASLVHTFVRHSRLNEAIRVLGNMTLCGLNPSVDVFNDLLCALVKKTDFQNVLFVYKEMVKGGIVPTVGTLNSLLEVLFETNRVESGLNQFRRMNKKGCSPNVRTVEIVIKGLVLNNRVDDAVLILHEMLELKFQPDVCFYISIIPLFCQENRLEQGMQLFKQMRAANLVPNSVICRELVHCLCMNLHLDDAITILEEMIEIGEIPPLDSFVDVMNGFCEAKRYNEAMCFLENNCGNLVSPHKVLLEGCCKADNFFLGISLLEKMSERGIADCDCWNILLGWICENASIKKAYELLGRMIVRSVVPDCSTYAALVVGNCNLNKYEDALELFHYIRSKFWVLDSKCYSRLVEGLCRLKRIEEAVVVYYYMSKSKCPLEVVTFNLLIEATCDAGKVDEAVKLRSLAYYSGTSCSSVTHITIMLAFLKSERAKDVLVMFSQMVIGGCKVHAEVYCILIRSMCALNRFKDCALFCKLMINESLMPDSGTIHDLLSCLTNHSQLRLVSKDIDKIISGMDTLDSTMFNLLINGLWKEGCRREARNLLDLMLERGWVPDATTHTLFVGSNVEGVTSRGRPTYENSMDEDNVSNILAEALGK >KJB45502 pep chromosome:Graimondii2_0_v6:7:52271852:52275674:1 gene:B456_007G309100 transcript:KJB45502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRRHIATSSLLCRFKHCSVIPRLLIGSALGFFNCSSVIFLAWTLVHRFSGQSSRPLYLSLFPSLFICCNIPPIPMVLKLSTLKLKFPNKIPPWLNFPGRYFSLSYAATLRPKPETDTDPQSVDNYEEKIGFLKHNLHPDNLIRILDKTQDSNSALKIFKWAALQKSFNHTPDTYYHISLKLGLAGNVKEMDNFCLNLARDKCVGSREALASLVHTFVRHSRLNEAIRVLGNMTLCGLNPSVDVFNDLLCALVKKTDFQNVLFVYKEMVKGGIVPTVGTLNSLLEVLFETNRVESGLNQFRRMNKKGCSPNVRTVEIVIKGLVLNNRVDDAVLILHEMLELKFQPDVCFYISIIPLFCQENRLEQGMQLFKQMRAANLVPNSVICRELVHCLCMNLHLDDAITILEEMIEIGEIPPLDSFVDVMNGFCEAKRYNEAMCFLENNCGNLVSPHKVLLEGCCKADNFFLGISLLEKMSERGIADCDCWNILLGWICENASIKKAYELLGRMIVRSVVPDCSTYAALVVGNCNLNKYEDALELFHYIRSKFWVLDSKCYSRLVEGLCRLKRIEEAVVVYYYMSKSKCPLEVVTFNLLIEATCDAGKVDEAVKLRSLAYYSGTSCSSVTHITIMLAFLKSERAKDVLVMFSQMVIGGCKVHAEVYCILIRSMCALNRFKDCALFCKLMINESLMPDSGTIHDLLSCLTNHSQLRLVSKDIDKIISGMDTLDSTMFNLLINGLWKEGCRREARNLLDLMLERGWVPDATTHTLFVGSNVEGVTSRGRPTYENSMDEDNVSNILAEALGK >KJB45186 pep chromosome:Graimondii2_0_v6:7:50429832:50432344:1 gene:B456_007G294200 transcript:KJB45186 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP18.3 [Source:Projected from Arabidopsis thaliana (AT1G54780) UniProtKB/TrEMBL;Acc:A0A178WHT4] METILSSHSLHPILNPKASISKNLLLPSQQPRPASLFLSKPITCTPNKPTSQLVKPSLAEPRNWFVHAQQGLAALAISLALNFSPVLYTGNAIASEFDVINEGPPKESYVVDDANVLSRVTKSDLKRLLSDLESRKNFHINFITVRKLTSKADAFEYADQVLERWYPTLEEGSNKGIVVLVTSQKEGAVTGGPAFVQAVGENILDATVTENLPVLATEEKYNEAVYSSAKRLVAAIDGLPDPGGPQVKDNKRESNFKSREETEEKRGQFSLVVGGLLVIAFVVPMAQYYAYVSKK >KJB42897 pep chromosome:Graimondii2_0_v6:7:15961149:15965477:-1 gene:B456_007G173400 transcript:KJB42897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR-RED IMPAIRED RESPONSE 1 [Source:Projected from Arabidopsis thaliana (AT4G15090) UniProtKB/Swiss-Prot;Acc:Q9SWG3] MIKIGENQNAISNMVDCRDAMPCNDGVNENMVDIVDETARSRDSGIVDTSKRAVVGFEGDAEFEPHNGIEFESHEAAYSFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESDVGSSRRSSVKKTDCKASMHVKRRPDGKWIIHEFVKEHNHELLPALAYHFRIYRNVKLAEKNNIDILNAVSERTRKMYVEMSRQSGGYQNVNMLQSDVKNQFDKGRHLVVDEGDAQIMLEYFKRIKKENPDFFYAIDLNEEQRLRNLFWVDAKSRNDYPSFNDVVSFDTTYVKFNEKLPFAPFVGVNHHFQSMLLGCALLADETKPTFVWLMKTWLRAMGGQAPKVIITDQDKELKAAVEEVFPNTRHCFALWHILEKIPKSLAHVIGPHENFLPKFNKCIFKSWTDEEFDMRWSKMITRFELQDDEWVQSLYEDRKRWVPAFMDNVFLAGMATSQRSESMNSFFDKYIHKKITLKEFVKQYGAILQNRYEEEAVADFDTWQKQPALRSPSPWEKQMSIVYTHAIFKRFQVEVLGVVGCHPKRENENQGTIPFRVQDCEKNENFMVIWNEEMSEVSCSCRLFEYKGFLCRHAMIVLQMCGRTSIPPRYILKRWTKDAKSGQSTTEGTDRVQTRVQRYNDLCKHAIELSEEGSLSEESYNIAFCVLVEALKNCVNVNNSCISAVESTGHAQGLREAEEKNQGSLASKSSKKKSTNRKRKGQSEPALMFVDTQDSLQPMENLSSDGITLNGYYGAQQNVQGLVQLNLMEPPHDGYYVNQQSMQGLGQLNSMAPGHDSFFGTQQSMHGLGQLDYRPSASFTYALQDESQLRSTQLHGGVSRHP >KJB42898 pep chromosome:Graimondii2_0_v6:7:15961149:15965974:-1 gene:B456_007G173400 transcript:KJB42898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR-RED IMPAIRED RESPONSE 1 [Source:Projected from Arabidopsis thaliana (AT4G15090) UniProtKB/Swiss-Prot;Acc:Q9SWG3] MIKIGENQNAISNMVDCRDAMPCNDGVNENMVDIVDETARSRDSGIVDTSKRAVVGFEGDAEFEPHNGIEFESHEAAYSFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESDVGSSRRSSVKKTDCKASMHVKRRPDGKWIIHEFVKEHNHELLPALAYHFRIYRNVKLAEKNNIDILNAVSERTRKMYVEMSRQSGGYQNVNMLQSDVKNQFDKGRHLVVDEGDAQIMLEYFKRIKKENPDFFYAIDLNEEQRLRNLFWVDAKSRNDYPSFNDVVSFDTTYVKFNEKLPFAPFVGVNHHFQSMLLGCALLADETKPTFVWLMKTWLRAMGGQAPKVIITDQDKELKAAVEEVFPNTRHCFALWHILEKIPKSLAHVIGPHENFLPKFNKCIFKSWTDEEFDMRWSKMITRFELQDDEWVQSLYEDRKRWVPAFMDNVFLAGMATSQRSESMNSFFDKYIHKKITLKEFVKQYGAILQNRYEEEAVADFDTWQKQPALRSPSPWEKQMSIVYTHAIFKRFQVEVLGVVGCHPKRENENQGTIPFRVQDCEKNENFMVIWNEEMSEVSCSCRLFEYKGFLCRHAMIVLQMCGRTSIPPRYILKRWTKDAKSGQSTTEGTDRVQTRVQRYNDLCKHAIELSEEGSLSEESYNIAFCVLVEALKNCVNVNNSCISAVESTGHAQGLREAEEKNQGSLASKSSKKKSTNRKRKGQSEPALMFVDTQDSLQPMENLSSDGITLNGYYGAQQNVQGLVQLNLMEPPHDGYYVNQQSMQGLGQLNSMAPGHDSFFGTQQSMHGLGQLDYRPSASFTYALQDESQLRSTQLHGGVSRHP >KJB42896 pep chromosome:Graimondii2_0_v6:7:15961590:15965182:-1 gene:B456_007G173400 transcript:KJB42896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR-RED IMPAIRED RESPONSE 1 [Source:Projected from Arabidopsis thaliana (AT4G15090) UniProtKB/Swiss-Prot;Acc:Q9SWG3] MIKIGENQNAISNMVDCRDAMPCNDGVNENMVDIVDETARSRDSGIVDTSKRAVVGFEGDAEFEPHNGIEFESHEAAYSFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESDVGSSRRSSVKKTDCKASMHVKRRPDGKWIIHEFVKEHNHELLPALAYHFRIYRNVKLAEKNNIDILNAVSERTRKMYVEMSRQSGGYQNVNMLQSDVKNQFDKGRHLVVDEGDAQIMLEYFKRIKKENPDFFYAIDLNEEQRLRNLFWVDAKSRNDYPSFNDVVSFDTTYVKFNEKLPFAPFVGVNHHFQSMLLGCALLADETKPTFVWLMKTWLRAMGGQAPKVIITDQDKELKAAVEEVFPNTRHCFALWHILEKIPKSLAHVIGPHENFLPKFNKCIFKSWTDEEFDMRWSKMITRFELQDDEWVQSLYEDRKRWVPAFMDNVFLAGMATSQRSESMNSFFDKYIHKKITLKEFVKQYGAILQNRYEEEAVADFDTWQKQPALRSPSPWEKQMSIVYTHAIFKRFQVEVLGVVGCHPKRENENQGTIPFRVQDCEKNENFMVIWNEEMSEVSCSCRLFEYKGFLCRHAMIVLQMCGRTSIPPRYILKRWTKDAKSGQSTTEGTDRVQTRVQRYNDLCKHAIELSEEGSLSEESYNIAFCVLVEALKNCVNVNNSCISAVESTGHAQGLREAEEKNQGSLASKSSKKKSTNRKRKGQSEPALMFVDTQDSLQPMENLSSDGITLNGYYGAQQNVQGLVQLNLMEPPHDGYYVNQQSMQGLGQLNSMAPGHDSFFGTQQSMHGLGQLDYRPSASFTYALQDESQLRSTQLHGGVSRHP >KJB44964 pep chromosome:Graimondii2_0_v6:7:48348714:48350254:-1 gene:B456_007G282300 transcript:KJB44964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ICNICLSLNNFYLVSPNNSNATHHQAQLVDIALRYYHRKEFPYFLRNQHRLQLLDLSLNNIQGQIPQWMSKVSTETLLFLDLSYNSLTDFDDFPLVLPWSKLQYLKLDSNIIRGSLPVPPLSTVFYCISNNFLKGEIPQLLCNLNFLSILDFSYNNMSVLKVRSNQLEGPIPNAWESGNRLKVIDLSKNKLQEKIPKSLMEYKMLEYLDLGNNQIRDAFPSWLGSLPELNILSLSSNAFYGRMENPNLTVIVFPKLRIIRSFSQQIQWNIVLGDYDYSMTITHEGMEMNYPKIIRTLTAIDFSGNRFDGEIPESIGKLKMLHLLNFSNNNLVGGIPVAIAKLTNLESSDLSQNKVVGRIPMELSIQLTFLSILNVSHNRLTGLIPGGGQFETFQSSSFDGNLGLCGKPLLKECSSNSGSLPPPSSTSSEEFGLDWKVVLLGYGCEFLFGVVIGHVVVKKKLD >KJB41332 pep chromosome:Graimondii2_0_v6:7:7332484:7335998:1 gene:B456_007G099300 transcript:KJB41332 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:bHLH123D MSFVFTPGQGLPGKAFANGETIWLCNAQYADSKIFSRSLLAKSASIQTVVCFPYLGGVIELGVTELVPEDPNLLQHIKASLLDFSKPVCSEKSTPAPHNADDDKDPICAKVDHEIVDLLDLENLYSPAKEIKFDHERFNELHESIKEDFNISSPDECSNGFEQNHQMDDSFMLEDVNGVASQVQSWHFMDEDFSIQEKAAISSPKRGSVSHSHLKEFQQGNHTILSSLDLEVDDDLHYKRTVSAILSTSNWLIESPSFTTCGYKSSFIGWKKEGMENFHRPRLHQNIFKKILFAVPLMHGGKCSLGKLENNIDATGHVLPEKRREEEKFRVLRSIVPFIDEIDKESILKDTIKYLKELEARIEELESCKNSIEFEARPRRNCLDVVEQTSDNYENRKVDSVKKPWINKRKACDIDEGLHESGSELNRTILKDGLAPAVKVSIKELEVIIEIKCPCREFLLLDIMEAINNLHLDARTIQSCTLDGLVTLTLKSKFRGAAIAPAGMIKQALEKVAAK >KJB41334 pep chromosome:Graimondii2_0_v6:7:7332543:7336007:1 gene:B456_007G099300 transcript:KJB41334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:bHLH123D MANVVKNQVGVPENLRKQLAVAVRSIQWSYAIFWSLSATQQGEIQWGDGYYNGDIKTRKTVQALELKADKIGLQRSEQLRELYESLLEGETDQNKRPSAALSPEDLSDTEWYYLVCMSFVFTPGQGLPGKAFANGETIWLCNAQYADSKIFSRSLLAKSASIQTVVCFPYLGGVIELGVTELVPEDPNLLQHIKASLLDFSKPVCSEKSTPAPHNADDDKDPICAKVDHEIVDLLDLENLYSPAKEIKFDHERFNELHESIKEDFNISSPDECSNGFEQNHQMDDSFMLEDVNGVASQVQSWHFMDEDFSIQEKAAISSPKRGSVSHSHLKEFQQGNHTILSSLDLEVDDDLHYKRTVSAILSTSNWLIESPSFTTCGYKSSFIGWKKEGMENFHRPRLHQNIFKKILFAVPLMHGGKCSLGKLENNIDATGHVLPEKRREEEKFRVLRSIVPFIDEIDKESILKDTIKYLKELEARIEELESCKNSIEFEARPRRNCLDVVEQTSDNYENRKVDSVKKPWINKRKACDIDEGLHESGNSCCWISWRL >KJB41333 pep chromosome:Graimondii2_0_v6:7:7332543:7335998:1 gene:B456_007G099300 transcript:KJB41333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:bHLH123D MANVVKNQVGVPENLRKQLAVAVRSIQWSYAIFWSLSATQQGEIQWGDGYYNGDIKTRKTVQALELKADKIGLQRSEQLRELYESLLEGETDQNKRPSAALSPEDLSDTEWYYLVCMSFVFTPGQGLPGKAFANGETIWLCNAQYADSKIFSRSLLAKSASIQTVVCFPYLGGVIELGVTELVPEDPNLLQHIKASLLDFSKPVCSEKSTPAPHNADDDKDPICAKVDHEIVDLLDLENLYSPAKEIKFDHERFNELHESIKEDFNISSPDECSNGFEQNHQMDDSFMLEDVNGVASQVQSWHFMDEDFSIQEKAAISSPKRGSVSHSHLKEFQQGNHTILSSLDLEVDDDLHYKRTVSAILSTSNWLIESPSFTTCGYKSSFIGWKKEGMENFHRPRLHQNIFKKILFAVPLMHGGKCSLGKLENNIDATGHVLPEKRREEEKFRVLRSIVPFIDEIDKESILKDTIKYLKELEARIEELESCKNSIEFEARPRRNCLDVVEQTSDNYENRKVDSVKKPWINKRKACDIDEGLHESGSELNRTILKDGLAPAVKVSIKELEVIIEIKCPCREFLLLDIMEAINNLHLDARTIQSCTLDGLVTLTLKSKFRGAAIAPAGMIKQALEKVAAK >KJB45799 pep chromosome:Graimondii2_0_v6:7:54972980:54974590:-1 gene:B456_007G329000 transcript:KJB45799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSFLPPFMFGLLFAIFGVILSAKYSSITVDQSALLALKSHITHDPHIFLTTNWSTSTSVCSWIGVTCGSKHYRVTALNLSSMDLTGTISSQLGNLSFLAWLDIHQNSFQGSLPIELTNLRRMKYLEFGNNSFNGEIPSWFGCFTELQGLYLYLNNFIGVIPSTLGNLSKLEMLGLGWNQISGRIPNSLFKCKELKFLSLFNNSLEGSIPTEIGNLTLLETLSLSDNYFKGEIPSVIGNLTSLVII >KJB41594 pep chromosome:Graimondii2_0_v6:7:8443152:8445609:1 gene:B456_007G110800 transcript:KJB41594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEMNGVEVENHQEHAPEKIDYVFKVVVIGDSAVGKTQILSRFTKNEFCFDSKSTIGVEFQTRTVTIKNKVIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRQSFDHVARWVEELRAHADSSIAIMLIGNKADLVDLRAVPTEDAVEFAEDQGLFFAETSALSGDNVDKAFFKLLGEIYGVLCKKSLECGNGKLNGADHATALKGSKIEVIAGPDLEISEMKKLSTCSC >KJB41593 pep chromosome:Graimondii2_0_v6:7:8443325:8445609:1 gene:B456_007G110800 transcript:KJB41593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEMNGVEVENHQEHAPEKIDYVFKVVVIGDSAVGKTQILSRFTKNEFCFDSKSTIGVEFQTRTVTIKNKVIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRQSFDHVARWVEELRAHADSSIAIMLIGNKADLVDLRAVPTEDAVEFAEDQGLFFAETSALSGDNVDKAFFKLLGEIYGVLCKKSLECGNGKLNGADHATALKGSKIEVIAGPDLEISEMKKLSTCSC >KJB38955 pep chromosome:Graimondii2_0_v6:7:20407031:20407388:-1 gene:B456_007G200300 transcript:KJB38955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHVQVLVKSKKMDSIPMEVQEDLMLDTNKNLENVGLIVFLNNDYSLVMVYDKFHYSALNIINEMIMVCICI >KJB45315 pep chromosome:Graimondii2_0_v6:7:51349037:51354300:1 gene:B456_007G300600 transcript:KJB45315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVCCNYISHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYKDQRDRPDLSAPESLQLYLDTRFEQLKIATELGLWQEAFRSVEDIHGLMSIVKKTPKASLMVVYYAKLTEIFWISSSHLYHAYAWLNLFSLQKSFNKNLSQKDLQLIASSVVLAALSVTPYNQTRGASHLELENEKERNLRMSNLIGFNLESKLENREVLSRSAVLTELVSKGVLSCATQEVKDLYHLLENDFLPLDVASKIHPSLMKISKLGGKLTSASSVPEVQLSQYVPSLERLATLRLLKQVSQLYQTMKIESLSQMIPFYEFSMVEKISVDAVKHNFIAMKVDHMKGAVLFGNMGLESDKLQDHLTVFAESLNKSRAMIYPAPKKASKLCEVLPGLGEIVDKEHRRLLARKSIIEKRKEEQERQLLEMEREEESKRQHLLKKTEEAEKKRLAAMFEQQRAERIRKEIEERELEEAQALLQETEKHLKRGKKKPILDGEKLTKQTLIERALSEQLKERQEQEKRLQKVAKTMDHLERAKREEAAPLIEAAFQRRLVEEKVLHEREQQLEVELSRQRHDGDLKEKNRLARMLENKMIFQERVISRRQAEFDQRRVEREERIKQIIQARKQERDIKRKKIFYVRSEEEKIKKMREEEEARKREEAERRRKEEAEHKAKMDEIAEKQRQRERELEEKERLRREALLGRAPEGISRPSELPAGSHTTEPVVAAPAAAAAAPTPGKYVPRFRRERPESSGPAPPSEPDRWGKPAPSEPDRRAAGSRAPPLQSDRWTSGSRAPPQDSDRLAGGGSRATPPDSNRLGGGSGSSKAEPWRSSRVRNPQRG >KJB45314 pep chromosome:Graimondii2_0_v6:7:51347802:51354347:1 gene:B456_007G300600 transcript:KJB45314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFAKPENALKRAEELINVGQKQDALQALHNLITSKRYRAWQKPHEKIMFKYVELCVDMRKGRFAKDGLIQYRIVCQHVNVSSLEEVIKHFMHLSTQKAEQARSQAEALEEALDVDDLEADKRPQDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYKDQRDRPDLSAPESLQLYLDTRFEQLKIATELGLWQEAFRSVEDIHGLMSIVKKTPKASLMVVYYAKLTEIFWISSSHLYHAYAWLNLFSLQKSFNKNLSQKDLQLIASSVVLAALSVTPYNQTRGASHLELENEKERNLRMSNLIGFNLESKLENREVLSRSAVLTELVSKGVLSCATQEVKDLYHLLENDFLPLDVASKIHPSLMKISKLGGKLTSASSVPEVQLSQYVPSLERLATLRLLKQVSQLYQTMKIESLSQMIPFYEFSMVEKISVDAVKHNFIAMKVDHMKGAVLFGNMGLESDKLQDHLTVFAESLNKSRAMIYPAPKKASKLCEVLPGLGEIVDKEHRRLLARKSIIEKRKEEQERQLLEMEREEESKRQHLLKKTEEAEKKRLAAMFEQQRAERIRKEIEERELEEAQALLQETEKHLKRGKKKPILDGEKLTKQTLIERALSEQLKERQEQEKRLQKVAKTMDHLERAKREEAAPLIEAAFQRRLVEEKVLHEREQQLEVELSRQRHDGDLKEKNRLARMLENKMIFQERVISRRQAEFDQRRVEREERIKQIIQARKQERDIKRKKIFYVRSEEEKIKKMREEEEARKREEAERRRKEEAEHKAKMDEIAEKQRQRERELEEKERLRREALLGRAPEGISRPSELPAGSHTTEPVVAAPAAAAAAPTPGKYVPRFRRERPESSGPAPPSEPDRWGKPAPSEPDRRAAGSRAPPLQSDRWTSGSRAPPQDSDRLAGGGSRATPPDSNRLGGGSGSSKAEPWRSSRVRNPQRG >KJB42698 pep chromosome:Graimondii2_0_v6:7:14457188:14459100:-1 gene:B456_007G164400 transcript:KJB42698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISPHNDKAQHTHISSFRSSPSLNLGLILVIPSVVLLVLVSTLVPHPSTFSPLSSPWKWRYGGHWYSSIFNGSSTDSPFMEAETDELAPNMSFSDALIDKDVDNVTSTTRVVKRSSKLEMLEAYMARARSSIKEAARVRNLTSIHQDPDYVPHGPIYRNANAFHRSYLEMEKLFKIYVYQEGEPPMFHNGPCKSIYSSEGRFIHEVEKGKFYTTQDPDEALVYFLPFSVAMLVRYLYESESLKTDAIGRIVIDYIHVISGKYPYWNRSLGADHFMLSCHDWSIRVLCNANTSEGFTPAKDASFPEINLLKGEADIGGLPPSRRSILAFFAGHLHGYIRYLLLNEWKDKNDPDVQVYDQLPKGVSYMSKLKNSRFCLCPSGYEVASPRIVEAIYAECVPVLISDGYVAPFSDVLNWNSFSVQITVKDIPNIKRILMGISHRQYLRMQRRVKQVQRHFVVNPTPKRYDVFHMINHSIWLRRLNVHIQDFGVP >KJB46646 pep chromosome:Graimondii2_0_v6:7:59335002:59336341:-1 gene:B456_007G361500 transcript:KJB46646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNMLRRRLFFRSFSSHDGPSRWTTPGHQERPNGYLFNRTPPPPGQSRKWEDWELPCYVTSFLTIVILGVGLNAKPDLTIETWAHQKALEKLAAEESSSSSD >KJB42590 pep chromosome:Graimondii2_0_v6:7:13921349:13924292:1 gene:B456_007G159200 transcript:KJB42590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFSKRLPTLTQCLRSTTHQLRFARTDPASSKRRSKPSGSGFSFNKTGDKSEWWVVDGEMHEIGDHVPPRERFVIPRDNIPNKRRKQLREQFMRRTRLVIKESEHEPWCKRYMELYNELRENWERLYWDEGYSKKIAKDHAKYESAEDDDQDFNPYRSRGTRADLTKDQGFGRTRQADNSGKVNQLRDKFEYDRENRMREKAFAPINGQDTSSSYNTNSKNQPFDTQRYFSDSD >KJB42591 pep chromosome:Graimondii2_0_v6:7:13921481:13922428:1 gene:B456_007G159200 transcript:KJB42591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFSKRLPTLTQCLRSTTHQLRFARTDPASSKRRSKPSGSGFSFNKTGDKSEWWVVDGEMHEIGDHVPPRERFVIPRDNIPNKRRKQLREQFMRRTRLVIKESEHEPWCKRYMELYNELRENWERLYWDEGYSKKIAKDHAKYESAEDDDQDFNPYRSRGTRADLTKV >KJB43751 pep chromosome:Graimondii2_0_v6:7:23771218:23771513:1 gene:B456_007G215100 transcript:KJB43751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEFTFPTEADDPPNRYTPTATFAGAPPGAFLKAGASARETPPTVGTKSINNSPKQTTRGLFFAALPFVIAVRSSAPPTICSFSIPMIFPDIV >KJB45737 pep chromosome:Graimondii2_0_v6:7:54330157:54331183:1 gene:B456_007G3243002 transcript:KJB45737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSAVSYALETIDNLTQEVTSLWGVDEQVEGLASELRWMQSFLKVADARKVDHEVIRTTVVEIRESAYDAEDVIEMFSLKEGCLLHQIKSEIEKITARIEVLTRQLKTYDVSKLGVDGEGPSSSTERREARRPYPHVMDDNIVGLGKDIEKLVSVLVDEESECKVLSICGMGGLGKTTLAKKIYSQSQVVGHFKHLAWAYVSQNCQKRKVWEDILSDFNLLSETDKKMKVEKLAEKLSSFLEENKCLVVLDDIWNTESWDSLKPAFSARETRSKILLTSRNKEIVSHADSKGFLYELQYLNYKQSWELFQKIAFPPTNSP >KJB40252 pep chromosome:Graimondii2_0_v6:7:3746883:3749319:1 gene:B456_007G053800 transcript:KJB40252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARLSSVQIQPPKYGNLITVLSIDGGGIRGIIPGVILAALESELQKLDGEDVRLADYFDVMAGTSTGGLITAMLAAPDESGRPLFAAKDIVPFYVKNGPQIFPQPSGILAWASTITKALTGPRYDGKYLYKLIRDLLGNTKLHQTLTSIAIPTFDIKKLQPTIFSTYQIPQRPEIDALLSDICISTSAAPTYFPSYYFKNNDEEFNLIDGGIAANNPTLVAIREVTKELMKENPDFAAMDPLDYGRYLVISLGAGSNRHEKKYDAKTASKWGLISWLFENNASPILDFYGEASKDMIDYHNSVIFRALHSEDMYLRIDDDTLTGDMASVDISTKENLDSLVDKGHKLLTKTVSRINLDTGFYEPVENGGSNAEALQRFAKLLSDENKLRCSNCKSP >KJB45474 pep chromosome:Graimondii2_0_v6:7:52180751:52183193:-1 gene:B456_007G308200 transcript:KJB45474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSQPPVENYANPKTCFFHVLFKAAALAFYILSALFFNSFVIIFVVTVLLSALDFWVVKNVSGRILVGLRWWNEINDLGESVWRFECLDQESLARMNKKDSWLFWWTLYLSAVAWIVLGIFSLIRFQADYLLVIGVCLTLNIANIVGFTKCRKDAKKQIQQFATQTIASRFSSTLQSAFSVV >KJB45475 pep chromosome:Graimondii2_0_v6:7:52180784:52183033:-1 gene:B456_007G308200 transcript:KJB45475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSQVIENYANPKTCFFHVLFKAAALAFYILSALFFNSFVIIFVVTVLLSALDFWVVKNVSGRILVGLRWWNEINDLGESVWRFECLDQESLARMNKKDSWLFWWTLYLSAVAWIVLGIFSLIRFQADYLLVIGVCLTLNIANIVGFTKCRKDAKKQIQQFATQTIASRFSSTLQSAFSVV >KJB40149 pep chromosome:Graimondii2_0_v6:7:3392464:3395487:1 gene:B456_007G048700 transcript:KJB40149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIVKQILAKPIQLADQVTKAADEASSFKQECAELKSKTEKLAGLLRQAARASSDLYVRPTRRIIDDTEQVLDKALSLVLKCRANGIMKRVFTINPAAAFRKMSSQLENSIGDVSWLLRVSASADDRDDEYLGLPPIAANEPILHLIWEQIAILYNGSLENRSEAAASLVSLASDNDRYGQLIIEEGGVGPLLKLVKEGKVEGQENAAKAIGLLGRDPESVEHMIHAGVCTVFAKILKEGPMKVQAVSAWAVSELAANYPKCQDLFAQNNVIRLLVSHLAFETIEEHSKYAIASNKASSIHAVVMASSNNNSNVKIVVEEDHQTQIPHPMGNREPNQMHHVVRNTMAMQGGAKLPQKPSNNHVRSNSQGNVIAKQAHQLSYQYHQNGLITGASTKGRESEDPATKSYMKAMAARALWHLAKENSLICKSITESRALLCFAVLLEKGTEEVRFNSAMALMEITAVAEQDPDLRRSAFKPNSHACKLIVDQLLKITEQADSELLIPCIKAIGNLARTFRATETRMIAPLVKLLDEREAEVSKEAAIALAKFACTDNYLHLDHSKAIINAGGAKHLIQLVYFGEHIVQLPALLLLCYISHHVPDSEELAEAKVLTVLEWASRQSNMTQNATGKLLQEAKSRLELYQSRGSTGFH >KJB39268 pep chromosome:Graimondii2_0_v6:7:368045:371722:1 gene:B456_007G004600 transcript:KJB39268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQTPCGHNFCLKCFQKWIGQGKHTCAKCRSTIPSKMASQPRINSTLVSVIRMAKLSKSNVAAGPLKVYHFIHNQDRPDKAFTTERAQKAGKANAASGKIFVTVPPDHFGPITAENDPARNQGVLVGECWEDRLECRQWGAHLPHVAGIAGQSNHGSQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTSKEQSFDQKFEKMNEALRVSCKHGYPVRVVRSHKEKRSSYAPEKGVRYDGVYRIEKCWRKVGIQGFKVCRYLFVRCDNEPAPWTSDEHGDRPRPLPAIPELKKATDVFERKESPSWDFDEEDSRWKWKKSPPPSKKPVNAADLEERKRARKTIRKAHNTSIRERLLKEFSCQICRQVMNLPVTTPCAHNFCKSCFEGAFAGKTAVRERNKGGRTLRSQKNVLNCPSCPTDISEFLQNLQVNRELMDVIESLKEKSEENQETAEELSEEQINGSDENADLDSGDGETGKKNENVDPEGDSQNPPLDCETERSSKRRKVDTAQVTNDENDSPSSILQVQSSEGDIE >KJB39267 pep chromosome:Graimondii2_0_v6:7:367033:371873:1 gene:B456_007G004600 transcript:KJB39267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHVFQLPCDGDGACMRCKVTPPTEETLTCSTCATPWHVACLASPPETLASTLQWHCPDCSGDPLPSASVAIDGSSSELFAAIKAIEADESLTEKEKARKRQELLSGRVEEDGDKEKGKEKEKEKESSVLDVLDGSINCSFCMQLPDRPVTTPCGHNFCLKCFQKWIGQGKHTCAKCRSTIPSKMASQPRINSTLVSVIRMAKLSKSNVAAGPLKVYHFIHNQDRPDKAFTTERAQKAGKANAASGKIFVTVPPDHFGPITAENDPARNQGVLVGECWEDRLECRQWGAHLPHVAGIAGQSNHGSQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTSKEQSFDQKFEKMNEALRVSCKHGYPVRVVRSHKEKRSSYAPEKGVRYDGVYRIEKCWRKVGIQGFKVCRYLFVRCDNEPAPWTSDEHGDRPRPLPAIPELKKATDVFERKESPSWDFDEEDSRWKWKKSPPPSKKPVNAADLEERKRARKTIRKAHNTSIRERLLKEFSCQICRQVMNLPVTTPCAHNFCKSCFEGAFAGKTAVRERNKGGRTLRSQKNVLNCPSCPTDISEFLQNLQVNRELMDVIESLKEKSEENQETAEELSEEQINGSDENADLDSGDGETGKKNENVDPEGDSQNPPLDCETERSSKRRKVDTAQVTNDENDSPSSILQVQSSEGDIE >KJB44819 pep chromosome:Graimondii2_0_v6:7:46826722:46832070:1 gene:B456_007G274800 transcript:KJB44819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQVGGQGARPTFFEMAAAQQLPASLRGALTYSIGVLALRRPFLHKVLDYEDEFFALLMLVLETHSLRNTDASFSESLYGLRRRAAKIRTKQADIRSKSNDGIQHSSLEKRQRVLSVVFLVVLPYLKSKLHSIYNKEREARLQASLWGPGDERFEDVDFDEAEASIASRTSTETEATIRTRLAKNIQKIIGVCYPWLHATTEGLTFTYQLLYLLDATGFYSVGLHALGIHVCRATGQELMDTSSRISKIRNRERERLPGPPWLKAVQGALLKCTYAVLDYAQTGLIAAVFIFKMMEWWYQSAEERMSAPTVYPPPPPPPPPKVAKDGIPLPPDRKICPLCSQKRANPSVVTVSGFVFCYACIHKYVSQYKRCPVTLMPADIDQIRRLFHDM >KJB44820 pep chromosome:Graimondii2_0_v6:7:46826754:46832003:1 gene:B456_007G274800 transcript:KJB44820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLETHSLRNTDASFSESLYGLRRRAAKIRTKQADIRSKSNDGIQHSSLEKRQRVLSVVFLVVLPYLKSKLHSIYNKEREARLQASLWGPGDERFEDVDFDEAEASIASRTSTETEATIRTRLAKNIQKIIGVCYPWLHATTEGLTFTYQLLYLLDATGFYSVGLHALGIHVCRATGQELMDTSSRISKIRNRERERLPGPPWLKAVQGALLKCTYAVLDYAQTGLIAAVFIFKMMEWWYQSAEERMSAPTVYPPPPPPPPPKVAKDGIPLPPDRKICPLCSQKRANPSVVTVSGFVFCYACIHKYVSQYKRCPVTLMPADIDQIRRLFHDM >KJB44821 pep chromosome:Graimondii2_0_v6:7:46826789:46831609:1 gene:B456_007G274800 transcript:KJB44821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQVGGQGARPTFFEMAAAQQLPASLRGALTYSIGVLALRRPFLHKVLDYEDEFFALLMLVLETHSLRNTDASFSESLYGLRRRAAKIRTKQADIRSKSNDGIQHSSLEKRQRVLSVVFLVVLPYLKSKLHSIYNKEREARLQASLWGPGDERFEDVDFDEAEASIASRTSTETEATIRTRLAKNIQKIIGVCYPWLHATTEGLTFTYQLLYLLDATGFYSVGLHALGIHVCRATGQELMDTSSRISKIRNRERERLPGPPWLKAVQGALLKCTYAVLDYAQTGLIAAVFIFKMMEWWYQSAEERMSAPTVYPPPPPPPPPKVAKDGIPLPPDRKICPLCSQKRANPSVVTVSGFVFCYACIHKYVSQVRPSNTIW >KJB39442 pep chromosome:Graimondii2_0_v6:7:1101383:1102720:-1 gene:B456_007G013900 transcript:KJB39442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 6 [Source:Projected from Arabidopsis thaliana (AT5G52900) UniProtKB/Swiss-Prot;Acc:Q84JK8] MCWSHCCAFSLTIQNSISFFVLFFLHHLIECKKPWKLLNPSSPSKAFHIVASFIEMDPTMPPSKRFFSNPQDFMFDFPIPQPPPSALALVHADELFSNGYIAPFFVNPLKMEAYKEVSRSSPTGERSVQHELIPDSKPNRLVCLRKCRIRLSKRMFLKYLGFLITLCRRIRRFNTSKKVETVVGVSVVYNEWRKPFDFENSIYEAVLHCKQSNGK >KJB39441 pep chromosome:Graimondii2_0_v6:7:1101383:1102692:-1 gene:B456_007G013900 transcript:KJB39441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 6 [Source:Projected from Arabidopsis thaliana (AT5G52900) UniProtKB/Swiss-Prot;Acc:Q84JK8] METAQPIISIESFSYSWLVNLRPSLDSLDRASFDIASCDETASFIEMDPTMPPSKRFFSNPQDFMFDFPIPQPPPSALALVHADELFSNGYIAPFFVNPLKMEAYKEVSRSSPTGERSVQHELIPDSKPNRLVCLRKCRIRLSKRMFLKYLGFLITLCRRIRRFNTSKKVETVVGVSVVYNEWRKPFDFENSIYEAVLHCKQSNGK >KJB46303 pep chromosome:Graimondii2_0_v6:7:58960505:58964982:1 gene:B456_007G357700 transcript:KJB46303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGEAALSAFLELLGRKLLDSALNFVADHKQLHPQLKQWQSILPDIQAVLDDAEEKQIKNEGVKKWLEDLQDLAYDVDDTLDEFAYEELRLKLNKSQAQASTGKVRKLIPTCFTGTSLTPTSFLFKNSMIPKVKEITDRLNSLTTRRSSLGLTEILSQAPTSKGKQPRLQPTSILDGVMEYVGRHKEKTEMIELLKGDNSRGVSVLSIVGMGGMGKTTLAQLVYNDATINQSFDHKAWVCVSDNFDAVNITRTILKSIDPNSSDENDLNLLQVKLKEKLSGKRFLLVLDDIWNENYDDWTILRSPFGARTKIIVTTRLQIVSSIVDSLKVFHLDKLSDDDCLSIFTQHALKARNFGGHLPFKEIGEKIVRRCNGLPLAAKAVGSLLRTVKYHQEWEKIYESEIWDLPEDRCGIIPALRLSYHHLPSHLKRCFAYCSILPKDYEFEEEEMILLWRAEGFLQQEVKLRTKDLGNQYFQDLVSRSFFQISNKDKSRFVMHDLINDLAQLVAGEVCSKLEGDRKWKFSIRTRHSSYVASEYETVKKFEAFDKVNSLRTFLPFVFSSYYQEFYLTDVMLVDLLPRLGYLRVLSLCGYHITELPDVLEKLKQLRYLNFSYTKIKCLPDSLCTLYHLETLLLKNCYKLQTLPSKMENLVNLQYLDISGASLIERMPVGIGSLINLQRLSDFVIGEGDGHHIGELKYLLSLGGSFRLSGLENVNGEDAVDAKLNEKQGIDRLVLQWGTDFKKDTRINEIEEWVLNSLCPSKKLEHLVIQNYSGAKFCTWITDSSFKNMLSLEIRNCKNCKSVPAIGRLPFLKDLLIGGLDEVNNIGVELFGENQSNAFPSLETLCFEGMSNLEEWDPCEDDEQASKFPNLHKLSIRECPQLLGRLPTILQSLQKLEIRKCRRLIVSISSFPLLLELRVEGCGELIDEGSSTEEVTSLKSVSLSDISKFNISTERMMLRFANSEDFNIFGWKKLESLSQNGLGLVGHRFIEIYDCPQLVCLETEDERLQLDKIPDVESLSIRKCKRLKRLPKVLHAFTYLTRMRLERCSSFVSFGESNFPPALKKLEIWGCMNLQYLVDKKENNKSMSSDTCLLEHLDILFCPSLIWLSSRGDICNRLQHLEVSSCSKLSSLFFNAKLPVMLKHLHIWKCPMLECIAQDFHETTDLESIFIWGGQNIKSLPQGLDKLTHLEKIQLDGFSSLVSFEESGLPTTNLKAFSISNCENFKALPDCINNFPFLQKLKVCECSADIPFPEKGFPTNLTSLEISNAPKIFTSLVGWGLNRLSSLQQLTISGAGCPNVVSFPEEGMGKALPPSLTFICIREFENLEFMCSEGFHHLTSLQELQFSNCPKLASLPEKDKLLSLERLYIWGCPLLEEGCGRGKGREWSKISHIPFVFIQYKTVIPRQLD >KJB45807 pep chromosome:Graimondii2_0_v6:7:55199441:55203920:1 gene:B456_007G329800 transcript:KJB45807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLHFVHINCGGRITTVNDTTYEADYDQAGPSTFYRSTNWAFSSTGIFLSDDRPDDILVLNNGQVSVDGDEEELYTNARLAPSSLTYYAFCLANATYTVNLHFAEIQFTDDQTYSSLGRRIFDVYIQGKQELKDFNIEEEAGGAGIPIVKKFTVNVSDGTLEIHFRWAGKGTTSMPERSIYGPLISAISVLDPGYKPPSKSGGRISTATMAGIVAGATSATLLIVGIFWWNCCYKLDDEVSGVLFGDEFQNGMELRKFSLVQIAKMTNNFNGEKLGKGGFGVVYKGYLRDSDTYVAVKRISKASKQGIKEYASEVKIISQLRHKNLVKLIGQCHEKGELILVYELMANSSLDSYLFKGKTLLTWEVRFKIVQDLASALFYLHEEGDHCVLHRDIKASNIMLDSSFNAKLGDFGLARLVDHEKASQTTHLAGTLGYLAPECVSSGKASKESDVYSFGVVALEIACGRRSIESKYEESQVPLVAWVWDSYGSQRLLDVADPKLSMNFDAKQMECLLMIGLWCVHPDQHFRPSIRQTIQVLNFEAPWPKLPSTRPTATYNAQITSEIQTSEPCFSDMSIMVPR >KJB40486 pep chromosome:Graimondii2_0_v6:7:4673279:4675539:-1 gene:B456_007G066500 transcript:KJB40486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGKRKDGEGLASDENEDHAPPNKVSKISSAVDSDDSDDVVVCEISKNRRVSVRNWNGKIWVDIREFYVKDGKQLPGKKGISLSLDQWNVLCDHAEAIDKALAENS >KJB40487 pep chromosome:Graimondii2_0_v6:7:4673390:4675485:-1 gene:B456_007G066500 transcript:KJB40487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGKRKDGEGLASDENEDHAPPNKVSKISSAVDSDDSDDVVVCEISKNRRVSVRNWNGKIWVDIREFYVKDGKQLPGKKGISLSLDQV >KJB41848 pep chromosome:Graimondii2_0_v6:7:9926574:9929341:1 gene:B456_007G124200 transcript:KJB41848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQVWLALGILALLLASAWADDVLVLTEENFEKEVGQDRGAVVEFYAPWCGHCQKLAPEYEKLGASFKKAKSVLIGKVDCDEHKSVCGKYGVEGYPTIKWFPKGSLDPKQYEGPRTAESLAEFVNTEGGTNVKIATLPSNVVVLNADNFDEVVLDETKNMFVEFYAPWCGYCKNLAPTYEKVATAFKMEEDVVIANIDTDKYKDLAEKYGVSGYPTLKFFPKGNKAGEDYDGGQSLDEFVAFINVQCGTNRDAKGQLTSKAGILSSLDALGKQFVAASIDERKTVFSKIEEVEKLKGSSASYGKIYLKAAKSYLEKGADYPKKEIERLQRILDKSISPAKADELTLKKNILSTFA >KJB41847 pep chromosome:Graimondii2_0_v6:7:9926574:9928873:1 gene:B456_007G124200 transcript:KJB41847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQVWLALGILALLLASAWADDVLVLTEENFEKEVGQDRGAVVEFYAPWCGHCQKLAPEYEKLGASFKKAKSVLIGKVDCDEHKSVCGKYGVEGYPTIKWFPKGSLDPKQYEGPRTAESLAEFVNTEGGTNVKIATLPSNVVVLNADNFDEVVLDETKNMFVEFYAPWCGYCKNLAPTYEKVATAFKMEEDVVIANIDTDKYKDLAEKYGVSGYPTLKFFPKGNKAGEDYDGGQSLDEFVAFINVQCGTNRDAKGQLTSKFFCKGWYLVKFGCIGEAVCGC >KJB45851 pep chromosome:Graimondii2_0_v6:7:55563265:55571789:1 gene:B456_007G332900 transcript:KJB45851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAVTSAVTTIGNLLTQEAIYLWGVEEQVDRLQTELKWMQSSLMVAETKQSTDERIRLWVAEIRELAYDAEDIVEEFALKIGSKNKGGLPSCIKRSACCLKEGWVLHETRSKIEKIIERVSDLVRRLQAYGVKELNPRGEGSSFSTEIRESRRPYPHIMDDNIVGLVDDTKGLVEVLTKESGCKVVTIWGMGGLGKTTLAKKIYHHGEVIDYFDHLAFVYVSQPCRKRNVWEDILSGFKTLDKEDRKITDAELAGKLSKTLKDKKCLVILDDVWTSEAWDSLKPAFPVDSKSKILLTSRNRGIVSYADIKELKCLNDEESWELFQKIVFPQTENIIDVEMKKLGENMVKHCAGLPLAIVVLGGILATKNNSLNEWRKISDNIKSYLKKGKNQRPEDVLTLSYDDLPPYLRPCFLYLSHFPEDYMIDVDRLIRLWVAEGIVSSKQEERDDGEIAEDVAESYLMELVERCMIQVRERDVATLKVKTIQMHDLMRDLCLSKAKQENFVFIVDQSNASSLSMIRNVRRALEKSLPLEVLNYVEEHEDEVCNPLVWILMISRTGTSFLKARGIWRYMFNNFKLLRALNYERTTCDRFVGFKLPSDIGNLIHLRFLSLKDLEFTWPKLPSSLGNLRCLQTLDLRVDSYKIHVPNVIWRMEQLRHLYLPWRCKSRTKLKLGTLRKLLTLVNFNTKNCYLKDLINMTNLRELGINLPFNFENFNEKELGENPFIIGSKYLHSLSIITRRLKISIDPRHLAHLLSTCTSICKLSIAAEISKLPEYHYFSSHLTYIRLRWCKFEEDPMPTLEKLPNLRILEFECSLKGK >KJB43872 pep chromosome:Graimondii2_0_v6:7:25549484:25552811:-1 gene:B456_007G220800 transcript:KJB43872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEMCTRPLEMCFGGGGGGGDELLWHMDLKPYVSGDYSIAVVQANSSLEDQGQVFTSPSATYVGVYDGHGGPEASRFITQHLFPFLHKFASEHGGLSAEVIKKAFDATEEEFLHLVKRSWPARPQIASVGSCCLVGAIANDVLYVANLGDSRAVLGRRVSPNGPNNQVVAERLSTDHNVAVEEVRKEVVALHPDDSHVVVYNHGVWRIKGIIQVSRSIGDMYLKKPEFIQQFGLPIPLKRPVMTAEPSILSRKLKPQDLFLIFASDGLWEQLSDQVACEIVLKSPRVGIARRLVRAAVQEAAKKREMRYDDIKRIEKGVRRHFHDDITVIVIYLDHPLSSSNGRFKEDDFIDCTSAPVDIFSLNVDEADD >KJB43492 pep chromosome:Graimondii2_0_v6:7:20807333:20814468:1 gene:B456_007G203100 transcript:KJB43492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METETCIDSMDFKVQELLKEARLECSSLLTKLVDDTVSAIKSAIDKIPEGLQVTADWARGFVRDIGADKAEFKFKKPKSIEIGGSYSIGCIAKPDVNIDLLLRLPKECFHEKDYLNYRYHAKRCLYLCVIKKHLKSSSSIQKVEWSALQNEARKPVLVVYPAANLGEVPGLFIRIIPTATSLFDLSKLNMKRNNVRALNAGDDPQPTPIYNCSILEDMYLEEKSNFIKKTFSGWKELGEALILLKVWARLRSSIYVHDCLNGFLISIIVSYLVAKNKINRDMMPMGIFRATLKFIETHPLWKHGLYFPMSDQNVSSKGNEQLNSLTRFNLAFRISSVAYPELQDEVALTLRCLEKCRDGGCEEIFTTKIDNAAKYDYCIRLNLKGNSEVYSLGFCLDEECWRVYEQDVHSLLNQGLTDRAKFIRVIWRNTYSDFNVENGLSALDSEPLFVGISVSSVEKAFRVVDIGPNAEKKDEALMFRKFWGEKAELRRFQDGKIAESTVWESKQGTRHLILKRIIEFLLGRHLSLSKKDIVPVVDQLDFCLLHDGKDPVSHSAKLLGTFEELSKRLRSIEDVPLKISSVQPLDSAFRFTSVFPPEPHPLASKKVDVARLHNLTPFCVQSLEVMIQLEGSGNWPMDDVLIEKTKSVFLLKIAESLQDNWGITCTATEEDVDVFMDGYAFRLRILHERGLSLVNKEIGGDQMKRVSSADKMLFVRSQHASMINGLQFRYPIFGPVVRIAKRWLASHLFSACLAEEAAELLVAYLFLKPLPFNVPCSRITGFLRFLRLLAEHDWAFSPLVVDINGDLSQNDEKEIDDNFTQSRKAYEENTQNESKAMFLATAYDKASEAWTRCSPNPLELKRLAAYARSSASLLTKLILQNQTDSRGWECLLRTPLSLYDAVILLHGDRLPYPKRLLFTSELDQGRHVAHGNASTCFHPFLLPSDMKGSSLEQLKTKLMVNFDPLRCFVGDVEKGFSNRLKLWYDSLGGDAIGLTWERSKKREREEEETDRKYPVDLLRNIGELGKGFVRDVYLLKAPRLSS >KJB43493 pep chromosome:Graimondii2_0_v6:7:20807408:20814374:1 gene:B456_007G203100 transcript:KJB43493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METETCIDSMDFKVQELLKEARLECSSLLTKLVDDTVSAIKSAIDKIPEGLQVTADWARGFVRDIGADKAEFKFKKPKSIEIGGSYSIGCIAKPDVNIDLLLRLPKECFHEKDYLNYRYHAKRCLYLCVIKKHLKSSSSIQKVEWSALQNEARKPVLVVYPAANLGEVPGLFIRIIPTATSLFDLSKLNMKRNNVRALNAGDDPQPTPIYNCSILEDMYLEEKSNFIKKTFSGWKELGEALILLKVWARLRSSIYVHDCLNGFLISIIVSYLVAKNKINRDMMPMGIFRATLKFIETHPLWKHGLYFPMSDQNVSSKGNEQLNSLTRFNLAFRISSVAYPELQDEVALTLRCLEKCRDGGCEEIFTTKIDNAAKYDYCIRLNLKGNSEVYSLGFCLDEECWRVYEQDVHSLLNQGLTDRAKFIRVIWRNTYSDFNVENGLSALDSEPLFVGISVSSVEKAFRVVDIGPNAEKKDEALMFRKFWGEKAELRRFQDGKIAESTVWESKQGTRHLILKRIIEFLLGRHLSLSKKDIVPVVDQLDFCLLHDGKDPVSHSAKLLGTFEELSKRLRSIEDVPLKISSVQPLDSAFRFTSVFPPEPHPLASKKVDVARLHNLTPFCVQSLEVMIQLEGSGNWPMDDVLIEKTKSVFLLKIAESLQDNWGITCTATEEDVDVFMDGYAFRLRILHERGLSLVNKEIGGDQMKRVSSADKMLFVRSQHASMINGLQFRYPIFGPVVRIAKRWLASHLFSACLAEEAAELLVAYLFLKPLPFNVPCSRITGFLRFLRLLAEHDWAFSPLVVDINGDLSQNDEKEIDDNFTQSRKAYEENTQNESKAMFLATAYDKASEAWTRCSPNPLELKRLAAYARSSASLLTKLILQNQTDSRGWECLLRTPLSLYDAVILLHGDRLPYPKRLLFTSELDQGIFLGGKGRHVAHGNASTCFHPFLLPSDMKGSSLEQLKTKLMVNFDPLRCFVGDVEKGFSNRLKLWYDSLGGDAIGLTWERSKKREREEEETDRKYPVDLLRNIGELGKGFVRDVYLLKAPRLSS >KJB43494 pep chromosome:Graimondii2_0_v6:7:20807408:20814374:1 gene:B456_007G203100 transcript:KJB43494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METETCIDSMDFKVQELLKEARLECSSLLTKLVDDTVSAIKSAIDKIPEGLQVTADWARGFVRDIGADKAEFKFKKPKSIEIGGSYSIGCIAKPDVNIDLLLRLPKECFHEKDYLNYRYHAKRCLYLCVIKKHLKSSSSIQKVEWSALQNEARKPVLVVYPAANLGEVPGLFIRIIPTATSLFDLSKLNMKRNNVRALNAGDDPQPTPIYNCSILEDMYLEEKSNFIKKTFSGWKELGEALILLKVWARLRSSIYVHDCLNGFLISIIVSYLVAKNKINRDMMPMGIFRATLKFIETHPLWKHGLYFPMSDQNVSSKGNEQLNSLTRFNLAFRISSVAYPELQDEVALTLRCLEKCRDGGCEEIFTTKIDNAAKYDYCIRLNLKGNSEVYSLGFCLDEECWRVYEQDVHSLLNQGLTDRAKFIRVIWRNTYSDFNVENGLSALDSEPLFVGISVSSVEKAFRVVDIGPNAEKKDEALMFRKFWGEKAELRRFQDGKIAESTVWESKQGTRHLILKRIIEFLLGRHLSLSKKDIVPVVDQLDFCLLHDGKDPVSHSAKLLGTFEELSKRLRSIEDVPLKISSVQPLDSAFRFTSVFPPEPHPLASKKVDVARLHNLTPFCVQSLEVMIQLEGSGNWPMDDVLIEKTKSVFLLKIAESLQDNWGITCTATEEDVDVFMDGYAFRLRILHERGLSLVNKEIGGDQMKRVSSADKMLFVRSQHASMINGLQFRYPIFGPVVRIAKRWLASHLFSACLAEEAAELLVAYLFLKPLPFNVPCSRITGFLRFLRLLAEHDWAFSPLVVDINGDLSQNDEKEIDDNFTQSRKAYEENTQNESKAMFLATAYDKASEAWTRCSPNPLELKRLAAYARSSASLLTKLILQNQTDSRGWEVHYTCLLRTPLSLYDAVILLHGDRLPYPKRLLFTSELDQGRHVAHGNASTCFHPFLLPSDMKGSSLEQLKTKLMVNFDPLRCFVGDVEKGFSNRLKLWYDSLGGDAIGLTWERSKKREREEEETDRKYPVDLLRNIGELGKGFVRDVYLLKAPRLSS >KJB44617 pep chromosome:Graimondii2_0_v6:7:43046851:43047619:-1 gene:B456_007G261200 transcript:KJB44617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGKLESKSYLPLFETRPARGRQLFQLFSASISITIFFIWVYRLIQFKHKINTSYDRRDPGIEPPIMVINTVLSVMAYEYPSEKLSVHPSDDGCSDLTFYALLEAASFSRIWLPFCRKLKVEPRLPEAYFRKTVKHADDSAMAKECLCNIQIQPKSYDQILKNYINSFKKFLFNQI >KJB40743 pep chromosome:Graimondii2_0_v6:7:5350559:5352229:1 gene:B456_007G075700 transcript:KJB40743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHSSNFPCAACKFLRRKCMPDCVFAPYFPPEEPQKFINVHKIFGASNVSKLINEVAPHQREDAVNSLAYEAEARLKDPVYGCVGAISILQRQVLRLQRELDAIHADLIRYATATCSCNEMPPPMGRTCFHHNSSGNIYYPNPHWNEPYEGNGRSDGGSL >KJB45872 pep chromosome:Graimondii2_0_v6:7:55890335:55905985:-1 gene:B456_007G3348001 transcript:KJB45872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEIVPVDDPIEEPKPNKKPVVYDEDEGSEGVIKSLPYYGESSSSGSGGYVPEVFNSESLPPTLTSEIQRFLRVANMLEWKAPRVAYLCRFHAFEIAHNLDRNSTGRKVRQFKTVLLQRLERDEETSKTTRLEQSDSRELKRVYDENRRYINQHAEAFDLENSHGEKLLDACRIASVLYEVLKTVIAGPQALADRESIQARSELFAYNILPLDHGGIQQAIMKFPEIKVAIQVIRNVRGLPSTQNLPKRGTFVDCFEFLQYSFGFQKENVANQREHLVLLLANVLSRHSRKQALALKTIDASIDELMRKFFKNYTNWCKFLVRKSNIRLPCVKQDAQQYKILYIGLYLLIWGEAANLRFMPECLCYIFHHMAYELHGMLTGAVSMTTGDTIEPAYGGSRESFLSNVVTPIYEVIREEAEKNKCGTADHTTWRNYDDLNEFFWSPDCFQVGWPMRTKHDFFCTSSPKKSKVKNSRSAREQRKEKETEDEEQGLNDVTSEENSEPKWLGKTNFVEIRSFWQVFRSFDRMWSFFILSLQAMIIMACHDVGSPLEVFDAVILEDVMSIFITSAILKLIQAILDITFTWKARNTMELSQKRIQLLRLAYAVIWTIVLPVVYAQSRRKYTCYSTQYESWIGKWCYSPFMVVVVIYLITNAVDLVLFFVPAVSKYIEISNWSICRTISRWTQPRLYVGRGMQETQISLFKYTFFWMLVLSTKLVFSYSFEIKPLIAPTREIMKIGVQTYDWHELFPKEHLYSLPVRSNVGAIVAVWAPIIVVYFMDTQIWYSVYCTVCGGLYGILHHLGEVSKSEVLDLKFVLVWNQIISNFRSEDLISNREMDLMTIPTSGLFPEFIRWPIFLLANKFSTALSIARDFVGKDEKLFKRIRKDEYMYFCVKECYESVKYILEVLIVGDLEKRVVSNIVNEIERSIAESTFLQDFKMSALPALQNKFVELLELIAEGDKNQNGKVVQVLQDIFELVTNDMMANGHRVVDLIECSQEAVDDFTVFSRKIEPQLFESAASKTSICFPLPDDGPLTEQIKRLHLLLTVKDKAMDIPANLEARRRISFFATSLFMDMPSAPEVCSMMSFSVMTPHYMEDVNFSMKELQSSKGQVSIIFYMQKIFPDEWKNFLERMGYQNFNELIDDGKEEEIRKKINELIDDGKEEEIRKWASFRGQTLSRTVRGIMYYRQALKLQALLEMPEYKDVLEDVNVFERNNPKSSAELDALVDMKFTYVVSCQMFGSHKSSGDPRAEDVKDLMIRYPALRVAYIEEKEEIIGDKPQKVYFSILAKAVGTFDQVIYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKVRNLLQEFHHNRGRRPPTILGLREYIFTESVSTLAWFMSYQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHITRGGISKASKTINLSEDVFAGFNSTLRRGCITYHEYLQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTIGFYFSSLISVLGIYVFLYGQLYLVLSGLEKALLIEARMKNIESLETALASQSFIQLGLLTGLPMVMEIGLEKGFLTALKDFVLMQLQFAAVFFTFSLGTKTHHFGRTIMYGGAKYIPTG >KJB45873 pep chromosome:Graimondii2_0_v6:7:55890335:55906278:-1 gene:B456_007G3348001 transcript:KJB45873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEIVPVDDPIEEPKPNKKPVVYDEDEGSEGVIKSLPYYGESSSSGSGGYVPEVFNSESLPPTLTSEIQRFLRVANMLEWKAPRVAYLCRFHAFEIAHNLDRNSTGRKVRQFKTVLLQRLERDEETSKTTRLEQSDSRELKRVYDENRRYINQHAEAFDLENSHGEKLLDACRIASVLYEVLKTVIAGPQALADRESIQARSELFAYNILPLDHGGIQQAIMKFPEIKVAIQVIRNVRGLPSTQNLPKRGTFVDCFEFLQYSFGFQKENVANQREHLVLLLANVLSRHSRKQALALKTIDASIDELMRKFFKNYTNWCKFLVRKSNIRLPCVKQDAQQYKILYIGLYLLIWGEAANLRFMPECLCYIFHHMAYELHGMLTGAVSMTTGDTIEPAYGGSRESFLSNVVTPIYEVIREEAEKNKCGTADHTTWRNYDDLNEFFWSPDCFQVGWPMRTKHDFFCTSSPKKSKVKNSRSAREQRKEKETEDEEQGLNDVTSEENSEPKWLGKTNFVEIRSFWQVFRSFDRMWSFFILSLQAMIIMACHDVGSPLEVFDAVILEDVMSIFITSAILKLIQAILDITFTWKARNTMELSQKRIQLLRLAYAVIWTIVLPVVYAQSRRKYTCYSTQYESWIGKWCYSPFMVVVVIYLITNAVDLVLFFVPAVSKYIEISNWSICRTISRWTQPRLYVGRGMQETQISLFKYTFFWMLVLSTKLVFSYSFEIKPLIAPTREIMKIGVQTYDWHELFPKVRSNVGAIVAVWAPIIVVYFMDTQIWYSVYCTVCGGLYGILHHLGEIRTLGMLRSRFHSLPSAFNRLIPPPSKKRTKSFLQNIFCKVSKSEVLDLKFVLVWNQIISNFRSEDLISNREMDLMTIPTSGLFPEFIRWPIFLLANKFSTALSIARDFVGKDEKLFKRIRKDEYMYFCVKECYESVKYILEVLIVGDLEKRVVSNIVNEIERSIAESTFLQDFKMSALPALQNKFVELLELIAEGDKNQNGKVVQVLQDIFELVTNDMMANGHRVVDLIECSQEAVDDFTVFSRKIEPQLFESAASKTSICFPLPDDGPLTEQIKRLHLLLTVKDKAMDIPANLEARRRISFFATSLFMDMPSAPEVCSMMSFSVMTPHYMEDVNFSMKELQSSKGQVSIIFYMQKIFPDEWKNFLERMGYQNFNELIDDGKEEEIRKKINELIDDGKEEEIRKWASFRGQTLSRTVRGIMYYRQALKLQALLEMPEYKDVLEDVNVFERNNPKSSAELDALVDMKFTYVVSCQMFGSHKSSGDPRAEDVKDLMIRYPALRVAYIEEKEEIIGDKPQKVYFSILAKAVGTFDQVIYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKVRNLLQEFHHNRGRRPPTILGLREYIFTESVSTLAWFMSYQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHITRGGISKASKTINLSEDVFAGFNSTLRRGCITYHEYLQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTIGFYFSSLISVLGIYVFLYGQLYLVLSGLEKALLIEARMKNIESLETALASQSFIQLGLLTGLPMVMEIGLEKGFLTALKDFVLMQLQFAAVFFTFSLGTKTHHFGRTIMYGGAKYIPTG >KJB44382 pep chromosome:Graimondii2_0_v6:7:39181754:39185030:1 gene:B456_007G249400 transcript:KJB44382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKEVEERFVAKKDDDLKTRVWVESKTIWRIAFPSILSRVTSFGMVVVTQSFLGHIGEVELATYALIQSILVRFMYGILIGMSSATETLCGQAFGAGHHHMMGIYLQRSWIVDGITATILAPVFIFATPILRLLGQEEELAVAAGPISLWFIPMIYSFVVSMTISMFLQAQLKNLIVGWLSMAAFVVHVSLSWIFVNKFKWGVNGAMAAMNMSGWIMVMGQLVYVFGGWCPNTWRGFSKAAFFDLLPVVKLSISSGVMICLELWYYSILVLMAGYMKNATVAIAAFSICLNINAWEFMVCLGFLVAACVRVSNELGRGNAKAVKFAIKTILGTSTSIGIVLWILCMVFRNQISYLFSSDEEVAETVSSLSIFFAFAILLNSVQPVLSGIATGAGLQSIVAYVNLGCYYIIGIPMGILVGYIADLQVKGLWIGLMSGVVMQSLILGWFVWKTDWDEQVRKASERLNRWLLKPPEEDNESPFDA >KJB43407 pep chromosome:Graimondii2_0_v6:7:20048942:20051473:-1 gene:B456_007G198100 transcript:KJB43407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFSLGGRGSNSQEDQRNNPPPTDIQPESWFWYKNEDASFKGFELWQQQEAFQRQYQSIGSNSNNNQQGQQLQDLYSSAAGLGVGPSRSSINIADDSSSRSAFMIMGGGGGGVSCQDCGNQAKKDCLHMRCRTCCKSRGFDCQTHVKSTWVPASKRRERQQQLASLQQQQQQLRGENPKRQRENPTSSPLAFTRLPTNASGLEVGDFPAEVNSEAMFRCVRVSGIEDGDDQYAYQTAVNIGGHVFKGILYNQGPESGYNMAAAVGESSSGDGIQQLDLITAGPTAAASNAGTVAGNASCSSVTAAASSSTVAFLDPSSLYAAPLNTFMAGTQFFPNPRS >KJB43406 pep chromosome:Graimondii2_0_v6:7:20048932:20051550:-1 gene:B456_007G198100 transcript:KJB43406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFSLGGRGSNSQEDQRNNPPPTDIQPESWFWYKNEDASFKGFELWQQQEAFQRQYQSIGSNSNNNQQGQQLQDLYSSAAGLGVGPSRSSINIADDSSSRSAFMIMGGGGGGVSCQDCGNQAKKDCLHMRCRTCCKSRGFDCQTHVKSTWVPASKRRERQQQLASLQQQQQQLRGENPKRQRENPTSSPLAFTRLPTNASGLEVGDFPAEVNSEAMFRCVRVSGIEDGDDQYAYQTAVNIGGHVFKGILYNQGPESGYNMAAAVGESSSGDGIQQLDLITAGPTAAASNAGTVAGNASCSSVTAAASSSTVAFLDPSSLYAAPLNTFMAGTQFFPNPRS >KJB40542 pep chromosome:Graimondii2_0_v6:7:4835423:4836235:-1 gene:B456_007G068500 transcript:KJB40542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKILNPWWFRLLRNHFSCLHRHLHHHHHHHHHEPPRTIKVINCDGVVKIYDRPVHVSELLIEFPKHMICRSDSFYIGQKIPPLSMDDKLHFGHDYFLLPQQAFQSALSFVTIASFANARYSSSRESGNAMLKKAAACQPFHIEKSASGCLRLRVSDEFIRQLMEESNVKEGSGDEGCSRICTTPQLQKQYASLVGSRHWKPTLETIKEKKKKRRRISFGMKRKNKSVLKNNVKSHRSSSQQHHGDVITCAKPQSKPKIKSKIKSSRKL >KJB42267 pep chromosome:Graimondii2_0_v6:7:12308019:12309911:1 gene:B456_007G146000 transcript:KJB42267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGNKLQVLDALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAKSPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKLGRKKVYGMTLMLMVICSIASGLSFSSNPKAVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILGGGIFAIIISAAFMASFHAPPYEVDPVGSTVPEADYVWRIVLMVGALPAALTYYWRLKMPETARYTALVAKNAKQAASDMSKVLQMDIEAEPQKIEQEKIRYGLFSKEFAKRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPSAKTMNALEEVYKIARAQTLIALCSTVPGYWFTVAFIDIMGRFAIQLMGFFFMTVFMFALAIPYDHWIHKDNRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKLGAMVGAFGFLYLAQNKDKAKADAGYPAGIGVKNSLIVLGVINALGFLFTFLVPESKGKSLEEMSGENENNGEGEAGESSSSSSNH >KJB40302 pep chromosome:Graimondii2_0_v6:7:3972907:3974008:-1 gene:B456_007G056300 transcript:KJB40302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSESCILRSCLQWIANPESQGFATLFVAKFFGRAGLMSFISAVPEPQRPACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTLRPIPELPAPTESNEASEAAGTADMWKLQETATSLNSNCRFSSHKRRTIGELKKLQPSDLDLCLTPSFKGNRVLDNRRPGTPSVISDESVTTATCSESGFAGQGKLLNLFV >KJB40303 pep chromosome:Graimondii2_0_v6:7:3973095:3973843:-1 gene:B456_007G056300 transcript:KJB40303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSESCILRSCLQWIANPESQGFATLFVAKFFGRAGLMSFISAVPEPQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTLRPIPELPAPTESNEASEAAGTADMWKLQETATSLNSNCRFSSHKRRTIGELKKLQPSDLDLCLTPSFKGNRVLDNRRPGTPSVISDESVTTATCSESGFAGQGKLLNLFV >KJB43090 pep chromosome:Graimondii2_0_v6:7:17619028:17620013:1 gene:B456_007G183700 transcript:KJB43090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKFSFTIIIISLVLILSPKPVFSNNYLSCSVRSSCGNISDIGYPFWGLDRPESCGYPGFKLSCSESELEITISSATYRVLAINKESQTLHVSRTDYSENLCPTHLINSTFESETSPFRQNGDSQDIRLYYGCQPLTAPQNLTSILGISNQFDCTINNTKIVGYYVTREFAGTVTGNFLRSCSNSVIIPVPNSQVPSLEEGRDPDDLEEAAKIGFQLWWSADDTRCNNCVNKGGQCGRNLVSGGFECYCSDGDVCSPGTIFVVCCTCSNISCSGI >KJB40817 pep chromosome:Graimondii2_0_v6:7:5533875:5537221:-1 gene:B456_007G078100 transcript:KJB40817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHWYFIGFLLLILLHLCLVSAEINGSVLEMKRGTSSVPFDPTRVTQLSWHPRAFLYKGFLSSEECDHLITLAKDKLEKSMVADNESGDSIESEVRTSSGMFLQKAQDEVVADIEARIAAWTFLPVENGESMQILHYENGQKYEPHFDYFHDKANQELGGHRIATVLMYLSDVDSGGETVFPNAEGKLSQPKDDSWSDCAKNGYAVKPRKGDALLFFSLHLDATTDSDSLHGSCPVIKGEKWSATKWIHVRSFDTAKRQSVNGDCVDENENCATWASAGECEKNPSYMIGSEDYYGYCRKSCKVCSS >KJB40815 pep chromosome:Graimondii2_0_v6:7:5533875:5535903:-1 gene:B456_007G078100 transcript:KJB40815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILHYENGQKYEPHFDYFHDKANQELGGHRIATVLMYLSDVDSGGETVFPNAEGKLSQPKDDSWSDCAKNGYAVKPRKGDALLFFSLHLDATTDSDSLHGSCPVIKGEKWSATKWIHVRSFDTAKRQSVNGDCVDENENCATWASAGECEKNPSYMIGSEDYYGYCRKSCKVCSS >KJB40816 pep chromosome:Graimondii2_0_v6:7:5533875:5537093:-1 gene:B456_007G078100 transcript:KJB40816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADNESGDSIESEVRTSSGMFLQKAQDEVVADIEARIAAWTFLPVENGESMQILHYENGQKYEPHFDYFHDKANQELGGHRIATVLMYLSDVDSGGETVFPNAEGKLSQPKDDSWSDCAKNGYAVKPRKGDALLFFSLHLDATTDSDSLHGSCPVIKGEKWSATKWIHVRSFDTAKRQSVNGDCVDENENCATWASAGECEKNPSYMIGSEDYYGYCRKSCKVCSS >KJB39898 pep chromosome:Graimondii2_0_v6:7:2507596:2515409:-1 gene:B456_007G036600 transcript:KJB39898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLQRNRLSDREHEQAISTLKKGMYLLKYGRWGKPKFCPFRLSNDEKSLIWISDKAEKQLKLSQVSRIVSGQRTAVFQRSPQPDKEHQSFSLIYNNGSLDLICKDRDEAEIWFTALRVLISEGDSSRRKSDATSDNTSTESQCSCTQRNSISNASSASSDIVNKDPRDIQIVSLPHESSPQRRLGKAFSEVLAYTSIINSLTQKETVANHFSSESLGGLEISSVFDSFRSSLSSRVSSSSHRSSLEDFNALGGVFIWGEGTANGLLGGSHKTQTTVTRIDALSPKALESTVLLDAHNISCGYKHAVLVTKQGQIFSWGEGRGGRLGHGVEADVSQPRLIGALSGSAIGLVACGEFHTCAVTLSGDLYTWGDGCHNLGLLGHGTEVSKWIPKMVRGDIEGMRVSYISCGAWHTAAVTSTGKLFTFGDGAFGALGHGDRSSTSLPREVHALRGLRTVRASCGVWHTAAVVEMPPELSGEFSSGKLVTWGDGEKWQLGHGDKEPRLVPSLVALSGTTNFSQVACGYSITVALTDTGKVYFMGSDGRGNLGSSGCSKTPTCVKGNIKNSRIEEIACGSYHIAVRSSDAKIYTWGKGANGQLGHGDNEDRNIPTLVEALKNKQAMRVVCGSNFTAAICLHDWALGADHLNCSGCRNPFTFIRKRHNCYNCGLAFCKPCSSKKSLKAALAPKKNKPCRVCDDCFSKLSWKKESRSALESAKNSREVIHLNGNELTDKDIINRASFPKLARLPSFDSFKSRNCNHDRGVFKSQCYPSFLLGNSTRKSTSGLRMVSRGSSPPSRKSSPVSSFTRNSIHTDLANRELLLDDSKHSVESLTQEISLLRTQVEDLTAKSQVLEAKLERTSKRWKEAMAAASEEAEKNKASKEVIRSLTAQLNQGRGKGPEQSTLPRN >KJB45738 pep chromosome:Graimondii2_0_v6:7:54344159:54348738:1 gene:B456_007G324400 transcript:KJB45738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSAVSSALKAIGELTQEVTSLWGVDEQVEGLASELRWMQSFLKVADARKVDHEVIRTSVVEIRELAYDAEDVIEMFALKVASKRKGGFSNCIKRSACFLKEGCLLHQIKSEIEKITARIEVLTRQLKTYDVSKLGVDGEGPSSSTERREARRPYPHVMDDNVVGLDKDIEKLVSVLVDEESECKVLSICGMGGLGKTTLAKKIYRQSQVVGHFEHLAWAFVSQNCQIRKIWEDILSDLNILTEVDKKMKVEKLAVKLSSFLEENKCLVILDDIWNTKAWDSLKPAFSARETKSKILLTSRNKEIVAHADKNGFFYELQELDYNQSWELFQKIAFPQSNSLGYKIDAKMKELGEDIVKHCAGLPLAIIILGGILATKYPSLTEWLKVSANVKSYLNNDKGEVLRDVLALSYDDLPPYLRPCFLYLSHYPEDYEIPADRLIQLWVAEGIVSSKQEEGDEGQKAEDVAEGHLLELAERCMIQVRERDIATLKIRSFQMHDLMRDVCLSKAKQQKFLYIADQSNVCQLSTIGRVRRVSAHKFFWIQCIKSPHLRSLLFFDKFLLREEEKKVLPFQSYTNNRRSEESFNALDCFVAVLIGIVIVTKRRGIWKYMFNNFNFLRVLDYESGGEAGCMLPNDIGKLIHLRFLRLRDLEFMSAKLPSSLGNLRCLQTLDLRIEGRCSDSMHVPNVLWRMQQLRHLYLPEECNRKTKLKLGTLRSLQTLVNFNTKSCYIKDLINMTNIRELEIRGPFNIEDFNTEELGKNPLIVQSKYLHSLSIINYEEGIDPRHLAHLLSSCDSISKLSLDAEIRRLPEYHYLSSNLAYIKLRRCMLEEDPMPTLAKLPYLSMLELHEEAFIGKEMFCCGQAFAKLESLSLKKLNFLEEWKVSEGAMPCLRRLEIQRCRQLKKLPDGLRFIATLQELKVVTKIKTFKDKVEEGGEDFYKVRHVPSIILQYTLW >KJB41514 pep chromosome:Graimondii2_0_v6:7:8134802:8139693:-1 gene:B456_007G107800 transcript:KJB41514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKFIPHLRVLSPSLPVTGFPSMKPARTICFNGSIPVLNRVFPFKLKFLGLSPRFNGKLITRSLSTRPSRPRSRASSEFAPKIRGDVRASKSLIEDEAELSDWVGELRTDSFRGRLTSEDEELDADRQRNRVTSSDRKRNGVSVKWRRESEFDNFRESSKRETRGNFGDSISRKSRFSKRFGGELEDKDSDDDGDEDDESYSSRKSRGVKREKTKMDSRRGKRNERGLGSGNAGSGRRMDLGRKSNFHEEEDTDASEEEKGREMKGLENFLSGEDSDINAAAEDDYIILRKKASYALGLEKDADQTVTSRSSQGKSESYLSETRFDQISISPLSLKGIKDAGYEKMTVVQEATLPVILKGKDVLAKAKTGTGKTVAFLLPSIEIVSKLPPVDRDVKRPPIHVLVICPTRELAIQAASEAKKLLKYHPSIGVQVVIGGTRLALEQKNLQANPCQILVATPGRLRDHLENTAGFATKLMGVKVLVLDEADRLLAMGFRKDIERIIAAVPKQRQTLLFSATVPEEVHQICHVALRRDHEFINTVQEGTEDTHSLVRQVHMVAPLDMQFSLLYVLLKEHIADDVDYKVLVFCTTAMVTKLVADVLRELNLNVREIHSRKSQSYRTRVSDEFRKSKGLILVTSDVSARGVDYPDVTLVLQVGMPSDREQYIHRLGRTGRKGKEGQGILLLAPWEEKFLSCVKDLPITKAPLPSLDPETKKKVGRALSNVEMKSKESAYQAWLGYYNSDKNIGRDKYRLVELANEFSRSMGLDNPPAIPKLVLSKMGLRNIPGLRVR >KJB41515 pep chromosome:Graimondii2_0_v6:7:8134906:8139664:-1 gene:B456_007G107800 transcript:KJB41515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKFIPHLRVLSPSLPVTGFPSMKPARTICFNGSIPVLNRVFPFKLKFLGLSPRFNGKLITRSLSTRPSRPRSRASSEFAPKIRGDVRASKSLIEDEAELSDWVGELRTDSFRGRLTSEDEELDADRQRNRVTSSDRKRNGVSVKWRRESEFDNFRESSKRETRGNFGDSISRKSRFSKRFGGELEDKDSDDDGDEDDESYSSRKSRGVKREKTKMDSRRGKRNERGLGSGNAGSGRRMDLGRKSNFHEEEDTDASEEEKGREMKGLENFLSGEDSDINAAAEDDYIILRKKASYALGLEKDADQTVTSRSSQGKSESYLSETRFDQISISPLSLKGIKDAGYEKMTVVQEATLPVILKGKDVLAKAKTGTGKTVAFLLPSIEIVSKLPPVDRDVKRPPIHVLVICPTRELAIQAASEAKKLLKYHPSIGVQVVIGGTRLALEQKNLQANPCQILVATPGRLRDHLENTAGFATKLMGVKVLVLDEADRLLAMGFRKDIERIIAAVPKQRQTLLFSATVPEEVSFFNLSVYCLFMFHKFEKKILNCYWCEGPSNLPRCT >KJB41526 pep chromosome:Graimondii2_0_v6:7:8203958:8209348:1 gene:B456_007G108700 transcript:KJB41526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEVMAIMGPSGAGKSTFLDALAGRIARGSLQGSVRIDGKPVTTSYMKMISSYVMQEDQLFPMLTVQETFMFAAEVRLPPSISRVEKKKRVNELLSQLGLETAAHTYIGDEGRRGVSGGERRRVSIGIDIIHKPPLLFLDEPTSGLDSTSAYSVVEKVKDIARGGSIILMTIHQPSFRIQLLLDRITVLARGRLIYMGSPASLPAHLSSFGRPVPDGENSLEYLLDVIKEYDESTVGLDPLVVYQRDGIKPDQVARTPIPKTPKTPKTPKNMKTPGSRHAISLRSNAFSVTNQSQSGRFDYNEDEKEEEEEDFDYSLKRKSSRWQPQTPMSTSHSGVYPRLASQFYKDFSVWVYRGVTGAPRRAPSWTPATTPGVTPATTPGVRSYVSSRQHTMAQPQTPSHYWKTPVAYSPSYEEFNMEEVLDEPEHGPKFANPWLREVAVLSWRTALNVVRTPELFLSREIVLTVMAVILSSLFQNLSHHDFQTINRLLNFYIFAICLVFFSSNDAVPTFIQERFIFIRETSHNAYRASSYVISSLIVYLPFFAIQGFTFAAITKYWLRLQSSVLNFWLILYASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKGNKIPIYWRWLHYISAIKYPFEALLVNEFKDKEICISGNPSDLSPGPLGELKPSDLHLKNKALADCTMIGEDVISSMGIKLENLWYDILILLAWGVLYRLFFYVVLRFYSKNERK >KJB45878 pep chromosome:Graimondii2_0_v6:7:56139364:56144147:1 gene:B456_007G336900 transcript:KJB45878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSLFRSTAAAAASASLIEAASDRSDLSLSPSDRLKVSSLGFGGNFNSFFGASVSTRSSSLQKCNSRSIQPIQATATEIPPTIPKSRSSGKTKIGINGFGRIGRLVLRIATSRDDIDVVAVNDPFIDAKYMAYMFKYDSTHGPFKGTIRVVDESTLEINGKQIQVVSKRDPAEIPWGEYGAEFVVESSGVFTTLAKASAHMKGGAKKVVISAPSADAPMFVVGVNENTYNPSMDIVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLQKSASYEDVKAVIKYAAEGPLKGILGYTEEDVVSNDFVGDSSIFDAKAGIGLSKSFMKLVSWYDNEWGYSNRVLDLIEHIALVGATRD >KJB45876 pep chromosome:Graimondii2_0_v6:7:56139359:56144147:1 gene:B456_007G336900 transcript:KJB45876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSLFRSTAAAAASASLIEAASDRSDLSLSPSDRLKVSSLGFGGNFNSFFGASVSTRSSSLQKCNSRSIQPIQATATEIPPTIPKSRSSGKTKIGINGFGRIGRLVLRIATSRDDIDVVAVNDPFIDAKYMAYMFKYDSTHGPFKGTIRVVDESTLEINGKQIQVVSKRDPAEIPWGEYGAEFVVESSGVFTTLAKASAHMKGGAKKVVISAPSADAPMFVVGVNENTYNPSMDIVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLQKSASYEDVKAVIKYAAEGPLKGILGYTEEDVVSNDFVGDSRSSIFDAKAGIGLSKSFMKLVSWYDNEWGYSNRVLDLIEHIALVGATRD >KJB45877 pep chromosome:Graimondii2_0_v6:7:56139523:56143712:1 gene:B456_007G336900 transcript:KJB45877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSLFRSTAAAAASASLIEAASDRSDLSLSPSDRLKVSSLGFGGNFNSFFGASVSTRSSSLQKCNSRSIQPIQATATEIPPTIPKSRSSGKTKIGINGFGRIGRLVLRIATSRDDIDVVAVNDPFIDAKYMAYMFKYDSTHGPFKGTIRVVDESTLEINGKQIQVVSKRDPAEIPWGEYGAEFVVESSGVFTTLAKASAHMKGGAKKVVISAPSADAPMFVVGVNENTYNPSMDIVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLQKSASYEDVKAVIKYAAEGPLKGILGYTEEDVVSNDFVGDSRSSIFDAKAGIGLSKSFMKLVSWYDNEWGYRLTEYLT >KJB39328 pep chromosome:Graimondii2_0_v6:7:548289:548960:-1 gene:B456_007G006700 transcript:KJB39328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSTLISLLQNMETSKVPMCLNMKRYKRRKKYQRLKHTILKHEDEDKETVSTLEESCRRGTGEAMVRKVPSLPVESLRKWRDAYVEMMLCFAAHVKQLNNNGNVYLFKRFPKPKSQCLVDN >KJB39580 pep chromosome:Graimondii2_0_v6:7:1496981:1501024:1 gene:B456_007G020300 transcript:KJB39580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSWRARGSLVVLAIVLFGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFDDKEVQKDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDHRVMEYFIKLIKKKHGKDISKDNKALGKLRREAERAKRALSSQHQVRVEIESLFDGIDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKRQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDKGRLSQEEIERMVREAEEFAEEDKKVKEKIDARNSLETYVYNMKNQINDKDKLADKLESDEKEKVETAVKEALEWLDDNQNAEKEDFEEKLKEVEAVCNPIITAVYQRSGGGPGGAASNEEEDDSHDEL >KJB39579 pep chromosome:Graimondii2_0_v6:7:1496981:1500976:1 gene:B456_007G020300 transcript:KJB39579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSWRARGSLVVLAIVLFGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFDDKEVQKDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDHRVMEYFIKLIKKKHGKDISKDNKALGKLRREAERAKRALSSQHQVRVEIESLFDGIDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKRQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLTGIPPAPRFAPLFHQLTFMFSSNLRLNLLTHIV >KJB41807 pep chromosome:Graimondii2_0_v6:7:9699632:9700957:1 gene:B456_007G122400 transcript:KJB41807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYLCSFVTQRGELYRSLQFGIGKMWNCKNLYQTLTLKESFHLLTLNLLSLLLPLSFLLLARLSCVNYILTTTASNPSHSSPPFLSSFYLYTSPAVVVSVVSIAALFHGLTGKITLASNSPDAVYRPRLLVAWIVLCIMQVSVGLGIEGSIAWGIEGGGFGVERSLLSRIIFFLGLHEITFLWFRTVVKPVVDDTIFGAATPEKWIQRAAIALSVGTLWWWKLRDEVESLVVVAEAKKQLLMEIEMADFVGWWLYYLTATIGMVRVVKALLWLGILLLTKSTTAETSQGDQDKV >KJB40233 pep chromosome:Graimondii2_0_v6:7:3677772:3679145:-1 gene:B456_007G052800 transcript:KJB40233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHFVLIHGMCHGAWCWYKIVSLLKSGGHRVTPLDLGASGINPKTITDLASLSDYAEPLMALMASLPQDEKVILVGHSYGGVIISLAMESFPMKVLAAVYLTAFMPNHDSPIATAVAESFRRAMAEPLMDFQLLFEDGSENPPTHALFGPKYMEAMVYQLSPKEDIELANTLLRKGKWFMKDLSKESLLSKEKFGSVDRAYIVCKDDLLIKESLQKWYIENSPTDDVKVIAGADHMAMLSKPQEVCKCLQEVAEKYN >KJB42944 pep chromosome:Graimondii2_0_v6:7:16362145:16363354:-1 gene:B456_007G175900 transcript:KJB42944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGTIVAVRRCGGSSGGGVSELQLNEVKLLCQLRHPHLVSLIGFCLSEKEMFVVLEYMSRGSLAHVLYGKDHVPLAWKHRLHICIGAARGLHYLHTGAKRAVIHHNIKSSNIFLDEEWCSKLSDFGLSKLLPLSTSKALTRIDTDHAVGTSGYMAPEHRVRLLKEKSDVFSFGIVLYEVLFGRPQYDSTLPEEK >KJB43084 pep chromosome:Graimondii2_0_v6:7:17457668:17458387:-1 gene:B456_007G183000 transcript:KJB43084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSQLYEKCRDVVFKCRNISNRYPFSGGDREPECGHPNLELRCDDFTNTTKIEIVGIKYKVLDIHHESRILIIAREDFINNGSYRPQIPIQDSILNSELFVPGPRNTNLTLLYDCQSSSSLRIFPCNSSNNNNVSITTDNIRGDGCSANARVPVLQSCWGRFRNKTLDLEEALETRFEVQWEEDMEACWKCNASGGGCGFNDSNYQTVCYCPSRFESSSDPNECHQSHLPPSSAYTKKQQ >KJB44037 pep chromosome:Graimondii2_0_v6:7:29091705:29093675:1 gene:B456_007G231500 transcript:KJB44037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHSCCLWSFLPFISFLFLFPCVNSVHFKIPRFNPEATGILYEGDARPFVGYVEFNYISYLCRVGRVSYSRDVPIWDSRTNQVADFTSSFSFNIDTQGKTLSNYAAGLAFFLAPAGFEIPPNSAGGFLGLFNTTTSDSSRNQIVLVEFDTYSNSEWDPPVQHIGINTNSIASAKYTPWNFSLYDGKTADAWISYNATAQILSVTLNYKNTSISKNNFTLSHHVDLKEILPEWVKVGISAATSHKSERHRLLSWEFSSSLEIKTTDEGKKRKRNKIIVVLLVLLSILMIVVTISFVFFRRWKKMKEEREERVNLTSINDDLERGAGPRRFSYNDLVVATNNFSNQRKLGEGGFGAVYKGYFNDSDTTVAVKKISRGSRQGRKEYITEIKIISRLRHRNLVQLLGWCHDGGEFLLVYDFMPNGSLDKHLFGKKTPINWPCRYKIARGLASALLYLHEEWEQCVVHRDIKSSNVMLDSSFNVKLGDFGLARLMDHELGPLTTGLAGTLGYMAPEYIRTGRASKASDVYSFGIVALEIATGRRSVDPIEENSQIGLVEWDWHLYGTGNLLSAVDERLHAEFDEEQIECLMIVGLWCAHPDSSLRPSIRQAIQVLDFDVALPNLPLEMPVPTYQYHQRTATRTSSTIETLVTDSNLRIEP >KJB46100 pep chromosome:Graimondii2_0_v6:7:57838245:57842050:1 gene:B456_007G348400 transcript:KJB46100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCDTKNQALDHADGATKIAEINAEKVEILSAELVRLKSLLDSKREREVNEQNEMVSKLMEEIESLKHKLGKAKVYEEELVEKEAFIEQLNVDLGAARMAESYAHNIIEEWGNRIEELEMQIEEAKKLERFTLESLDSVMKQLESKNNSLQDAESEIVALKEKVRLLEMTIGTERRDLEESEHQIIMAKKENVEMANLVESLKSELETLKEEKTRALNNEKVAVSSVQTLLEEKNKLINELEDSRDEEEKSKKAMESLASALHEVSAEAREAKEKLLSRETDNENYETQLEELRLFLKATDGKYETMLDNAKNEIDLLTNTIEQSKNQYQNSKIEWEEKELHLVDCVKKSDEEKTCLEKEINRLVNLLKQSEEEASVSEEEAQLKENLKEVESNVIYLQEAVKEVKIESMKMKESMLDKETELQSVIQENEELRAREVASLKKVEELSKLLEEAMIKKQSEENGELTDSDKDYDLLPKVVEFSEENGHGSKEKPVLEILSERPEEPKNENSQKLNNGLKDEALQANEMENVNGKVKKEDERKVKDDPVEFKMWESCKIEKKEFSPEGEPEQEESFDEEGESKVDGSEGFDEINISTEIMDNGGNSPSKQQQHKKKKPLLHKFGSLLKKKGSSNQK >KJB46098 pep chromosome:Graimondii2_0_v6:7:57838245:57842050:1 gene:B456_007G348400 transcript:KJB46098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSDTPSKTSPATPRVASKVSRGLVKPEPGSPSPLQSTSHSVDRSQRLSLNSKPTVDHRSPKVATPPEKLQPRVIRGSQLQFQLNLVQEDLKKAEEKISLIEKEKAQAIDELKEAQKVAEEANAKLREALVARKQAEESSEIEKFRSVELEQVGTEAAQKKDEEWLEIESVRNQHALDLAVLASTTYELQRVKQELAMTCDTKNQALDHADGATKIAEINAEKVEILSAELVRLKSLLDSKREREVNEQNEMVSKLMEEIESLKHKLGKAKVYEEELVEKEAFIEQLNVDLGAARMAESYAHNIIEEWGNRIEELEMQIEEAKKLERFTLESLDSVMKQLESKNNSLQDAESEIVALKEKVRLLEMTIGTERRDLEESEHQIIMAKKENVEMANLVESLKSELETLKEEKTRALNNEKVAVSSVQTLLEEKNKLINELEDSRDEEEKSKKAMESLASALHEVSAEAREAKEKLLSRETDNENYETQLEELRLFLKATDGKYETMLDNAKNEIDLLTNTIEQSKNQYQNSKIEWEEKELHLVDCVKKSDEEKTCLEKEINRLVNLLKQSEEEASVSEEEAQLKENLKEVESNVIYLQEAVKEVKIESMKMKESMLDKETELQSVIQENEELRAREVASLKKVEELSKLLEEAMIKKQSEENGELTDSDKDYDLLPKVVEFSEENGHGSKEKPVLEILSERPEEPKNENSQKLNNGLKDEALQANEMENVNGKVKKEDERKVKDDPVEFKMWESCKIEKKEFSPEGEPEQEESFDEEGESKVDGSEGFDEINISTEIMDNGGNSPSKQQQHKKKKPLLHKFGSLLKKKGSSNQK >KJB46099 pep chromosome:Graimondii2_0_v6:7:57838245:57842050:1 gene:B456_007G348400 transcript:KJB46099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKSKTSLSDTPSKTSPATPRVASKVSRGLVKPEPGSPSPLQSTSHSVDRSQRLSLNSKPTVDHRSPKVATPPEKLQPRVIRGSQLQFQLNLVQEDLKKAEEKISLIEKEKAQAIDELKEAQKVAEEANAKLREALVARKQAEESSEIEKFRSVELEQVGTEAAQKKDEEWLEIESVRNQHALDLAVLASTTYELQRVKQELAMTCDTKNQALDHADGATKIAEINAEKVEILSAELVRLKSLLDSKREREVNEQNEMVSKLMEEIESLKHKLGKAKVYEEELVEKEAFIEQLNVDLGAARMAESYAHNIIEEWGNRIEELEMQIEEAKKLERFTLESLDSVMKQLESKNNSLQDAESEIVALKEKVRLLEMTIGTERRDLEESEHQIIMAKKENVEMANLVESLKSELETLKEEKTRALNNEKVAVSSVQTLLEEKNKLINELEDSRDEEEKSKKAMESLASALHEVSAEAREAKEKLLSRETDNENYETQLEELRLFLKATDGKYETMLDNAKNEIDLLTNTIEQSKNQYQNSKIEWEEKELHLVDCVKKSDEEKTCLEKEINRLVNLLKQSEEEASVSEEEAQLKENLKEVESNVIYLQEAVKEVKIESMKMKESMLDKETELQSVIQENEELRAREVASLKKVEELSKLLEEAMIKKQSEENGELTDSDKDYDLLPKVVEFSEENGHGSKEKPVLEILSERPEEPKNENSQKLNNGLKDEALQANEMENVNGKVKKEDERKVKDDPVEFKMWESCKIEKKEFSPEGEPEQEESFDEEGESKVDGSEGFDEINISTEIMDNGGNSPSKQQQHKKKKPLLHKFGSLLKKKGSSNQK >KJB45898 pep chromosome:Graimondii2_0_v6:7:56154532:56154686:-1 gene:B456_007G3371001 transcript:KJB45898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPLPISFFPFLLLIPAVCFTICHANSNLLCIQSEREALLKFKHHLIDPC >KJB46661 pep chromosome:Graimondii2_0_v6:7:30930:31770:-1 gene:B456_007G0004003 transcript:KJB46661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRFSVTGVTTNISLNIPLGLYYILAGNVMSCHFSLCLTSVLRAFSALLLHFGRKIAVTRPCHRFIFSESIVLFGFAEKQRN >KJB39001 pep chromosome:Graimondii2_0_v6:7:45704944:45705129:-1 gene:B456_007G271500 transcript:KJB39001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSFKQIGMQMASGLGPRVVHSACFSALGYFAFETARLAILHQYLEHKEKELSKINVAPA >KJB39002 pep chromosome:Graimondii2_0_v6:7:45704642:45706794:-1 gene:B456_007G271500 transcript:KJB39002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSFKQIGMQMASGLGPRVVHSACFSALGYFAFETARLAILHQYLEHKEKELSKINVAPA >KJB40553 pep chromosome:Graimondii2_0_v6:7:4885966:4891453:1 gene:B456_007G069000 transcript:KJB40553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEEPFSQVQARLAVLASHLAAPDCSSNNLNSCVLEPWCVSAQNGGSVGLSLTIIDERTGKKYQVPVSSEGTIRATDLKKITTGKGDKGLKVYDPGYLNTAPVRSSISYIDGDEGILRYRGYPIEELAESSTFLEVAYLLMYGNLPSESQLADWEFAVSQHSAVPQGILDIIQSMPHDAHPMGVLVSAMSALSVFHPDANPALRGQEIYKSKQVRDKQIARIIGKAPTIAAAAYLRMAGRPPVLPSSTLSYAENFLYMLDSMGNRSYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASGGVDVYTALAGAVGALYGPLHGGANEAVLKMLGEIGTVENIPEFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIKKLAEEVFSIVGRDPLIEVAISLEKAALSDEYFIKRKLYPNVDFYSGLIYRAMGFPPEFFTVLFAIPRMAGYLAHWRESLDDPDTKIIRPQQVYTGVWLRHYMPLKERMALNDADKLTQVSISNASRRRLAGSGV >KJB40555 pep chromosome:Graimondii2_0_v6:7:4886111:4891453:1 gene:B456_007G069000 transcript:KJB40555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEEPFSQVQARLAVLASHLAAPDCSSNNLNSCVLEPWCVSAQNGGSVGLSLTIIDERTGKKYQVPVSSEGTIRATDLKKITTGKGDKGLKVYDPGYLNTAPVRSSISYIDGDEGILRYRGYPIEELAESSTFLEVAYLLMYGNLPSESQLADWEFAVSQHSAVPQGILDIIQSMPHDAHPMGVLVSAMSALSVFHPDANPALRGQEIYKSKQVRDKQIARIIGKAPTIAAAAYLRMAGRPPVLPSSTLSYAENFLYMLDSMGNRSYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASGGVDVYTALAGAVGALYGPLHGGANEAVLKMLGEIGTVENIPEFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIKKLAEEVFSIVGRDPLIEV >KJB40554 pep chromosome:Graimondii2_0_v6:7:4886111:4890320:1 gene:B456_007G069000 transcript:KJB40554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEEPFSQVQARLAVLASHLAAPDCSSNNLNSCVLEPWCVSAQNGGSVGLSLTIIDERTGKKYQVPVSSEGTIRATDLKKITTGKGDKGLKVYDPGYLNTAPVRSSISYIDGDEGILRYRGYPIEELAESSTFLEVAYLLMYGNLPSESQLADWEFAVSQHSAVPQGILDIIQSMPHDAHPMGVLVSAMSALSVFHPDANPALRGQEIYKSKQVRDKQIARIIGKAPTIAAAAYLRMAGRPPVLPSSTLSYAENFLYMLDSMGNRSYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASGGVDVYTALAGAVGALYGPLHGGANEAVLKMLGEIGTVENIPEFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIKKLAEEVFSIVGRDPLIEVCINNPPNYFLLHEATNLFPHLICFKYFMIELECFHGM >KJB44620 pep chromosome:Graimondii2_0_v6:7:43106762:43110688:1 gene:B456_007G261700 transcript:KJB44620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKEYVPLFETRQFKGRILFRCIAASILLGICFIIMYRIRYFPVGGKAERWTWIGLFLSELWFSFYWLLTTVCRWNAVIRIPFIHRLSQRFGKELPGIDIFVCTADPLIEPPSLVVNTVLSMMAYDYPPEKLSVYLSDDGGSNLLFYAMLEAANFSKTWLPFCKKFQVESTSPEAYFRTASELVNVQEWLSVKKLYEDMKMRIETTTKLNQIPEYIQKQHKGFREWDFVSSKHDHQTILQILIDGRDTNAVDIEGNPLPTLVYLAREKRPQYHHHFKAGSMNALIRVSSKITNAPIILNVDCDMYSNNSNTIKSSLCIFMDEEKGDEIGYVQFPQCFYNLTKNEIYEYCYNYMGSGCFHRRETLCGKKYEKNYKVDWKKINDKKVDETASFLEETCKVLASCTFEHNTPWGKEMGLKYGTPVEDVVTGISIQCNGWKSIYLNPEREGFLGVAPITLLQNLVQHKRWAEGELQLFFSRYCPLLYGHRKIPLKLQLIYSTYKLWAANCLATWYIVVVPCLCLLKGISLFPKISSPWVLPFVYVTFVHRAYSLAEFLWCGGTFRGWCNDQRIWLFKRTTSYLFAFFETILKLLGHSQLNFVVTAKVADEDVSKRYDQELIEFGAASPMFDILATLAMLNLFGSLGASKKATMDADQDSKVLDQFGLQILLCLVLVTINLPVYQALFFRKDNGKMPTSVMYKSIVFALLACMLA >KJB39029 pep chromosome:Graimondii2_0_v6:7:58991191:58991427:-1 gene:B456_007G358200 transcript:KJB39029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKISTAIIVAAASMSAVMAASAPATSPSAGGAEHISSPDSGPAPGPDSSVAAAALPVLGSLVGASIVSLFSYILQ >KJB39991 pep chromosome:Graimondii2_0_v6:7:2978377:2979978:1 gene:B456_007G042900 transcript:KJB39991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNPAVDVFFLLLLFHYLFFLFPLSTFKKKSLLRKLEENSTALQSCLVVHQSYDPSTTYINGPSLSNNSVLGNGDSTPDGSHHLEMSGTGSSGNCALDEARLRL >KJB39992 pep chromosome:Graimondii2_0_v6:7:2978377:2979216:1 gene:B456_007G042900 transcript:KJB39992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNPAVDVFFLLLLFHYLFFLFPLSTFKKKSLLRKLEENSTALQSCLVVHQSYDPSTTYINGPSLSNNSVLGNGDSTPDGSHHLEMSGTGSSGNCALDEARLRL >KJB39993 pep chromosome:Graimondii2_0_v6:7:2978377:2979931:1 gene:B456_007G042900 transcript:KJB39993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNPAVDVFFLLLLFHYLFFLFPLSTFKKKSLLRKLEENSTALQSCLVVHQSYDPSTTYINGPSLSNNSVLGNGDSTPDGSHHLEMSGTGSSGNCALDEARLRL >KJB40411 pep chromosome:Graimondii2_0_v6:7:4353863:4359820:1 gene:B456_007G062100 transcript:KJB40411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGAKRKAASQKQEENEVKASQESQKENLKPLPKAKRAKTSKPQSQPEFFEDKRNLEDLWKAAFPVGTEWDQLDSVYQFNWNFSNLEDAFEEGGKLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQRETEEIVSMKQMKMDWVPYIPLEKRDSQVDRLIFQIFILSCTQRRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAEPKPVFCEFDWELDELEEFTDKLIEEDELDKDQKNAFKEFVKEKVREAKKANRQAREARKKALEEMSQETKAAFEKMRFYKFYPVQTPDTPDVSNVKAPFINRYYGKAHEIL >KJB40412 pep chromosome:Graimondii2_0_v6:7:4353890:4359569:1 gene:B456_007G062100 transcript:KJB40412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGAKRKAASQKQEENEVKASQESQKENLKPLPKAKRAKTSKPQSQPEFFEDKRNLEDLWKAAFPVGTEDAFEEGGKLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQRETEEIVSMKQMKMDWVPYIPLEKRDSQVDRLIFQIFILSCTQRRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAEPKPVFCEFDWELDELEEFTDKLIEEDELDKDQKNAFKEFVKEKVREAKKANRQAREARKKALEEMSQETKAAFEKMRFYKFYPVQTPDTPDVSNVKAPFINRYYGKAHEIL >KJB45920 pep chromosome:Graimondii2_0_v6:7:56269713:56271582:-1 gene:B456_007G338000 transcript:KJB45920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKKRVQLLLFILGLTLLSKTADKCRKLAGEEKVVLSQTGQFSLWDCFDMGVGSIACAVKEGVKLYVYNIRAAHVEKSKNLAMQKALQDAMSQGLSSKEAAKQATKAGKKAAKLATQKAERVTGPIISSGWDFFEVVYYGGTMSEGFFRGKGTLLGAYGGGFFGEQVLGKFGYLVGSHLGGYMGGRIGLMVYDVANGIHYVLRIIEGKELDKKLTDSEF >KJB43056 pep chromosome:Graimondii2_0_v6:7:17317129:17323725:1 gene:B456_007G181600 transcript:KJB43056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVLSIQHLPKMTLNPIAVLNEPRKTRVPYELKQGQSRVFHQLPSGLNMEVIVQKSVKEKNPDSGKGPPLVFVHGSYHSAWCWAEHWLPFFSNSGFDCFALSLLGQGESDTPSGTVAGSLQTHAGDVADFIERNLSLPPVLLGHSFGGLIIQYYLANMRNELHYEMQNLYPKLTGAALICSVPPSGNSGLVWRYLFSKPIAAFKVTRSLAAKAFQTSLSLCRETFFSATMEDNLVLRYQELMKESSRVPLFDLRKLNESLPVPKMTDCSTEILVLGAKDDFIVDAEGLNETGRFYNVKPICIEGVAHDMMLDCSWGEGANVILSWLNGLNR >KJB43057 pep chromosome:Graimondii2_0_v6:7:17317294:17322608:1 gene:B456_007G181600 transcript:KJB43057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVLSIQHLPKMTLNPIAVLNEPRKTRVPYELKQGQSRVFHQLPSGLNMEVIVQKSVKEKNPDSGKGPPLVFVHGSYHSAWCWAEHWLPFFSNSGFDCFALSLLGQGESDTPSGTVAGSLQTHAGDVADFIERNLSLPPVLLGHSFGGLIIQYYLANMRNELHYEMQNLYPKLTGAALICSVPPSGNSGLVWRYLFSKPIAAFKVTRSLAAKAFQTSLSLCRETFFSATMEDNLVLRYQELMKESSRVPLFDLRKLNESLPVPKMTDCSTEILVLGAKDDFIVVNPV >KJB43058 pep chromosome:Graimondii2_0_v6:7:17317263:17323260:1 gene:B456_007G181600 transcript:KJB43058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVLSIQHLPKMTLNPIAVLNEPRKTRVPYELKQGQSRVFHQLPSGLNMEVIVQKSVKEKNPDSGKGPPLVFVHGSYHSAWCWAEHWLPFFSNSGFDCFALSLLGQGESDTPSGTVAGSLQTHAGDVADFIERNLSLPPVLLGHSFGGLIIQYYLANMRNELHYGAALICSVPPSGNSGLVWRYLFSKPIAAFKVTRSLAAKAFQTSLSLCRETFFSATMEDNLVLRYQELMKESSRVPLFDLRKLNESLPVPKMTDCSTEILVLGAKDDFIVDAEGLNETGRFYNVKPICIEGVAHDMMLDCSWGEGANVILSWLNGLNR >KJB41347 pep chromosome:Graimondii2_0_v6:7:7372054:7372438:-1 gene:B456_007G099800 transcript:KJB41347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFSWELFISYGIWLDVVGLQFKGDIRKFMLLYNLEVKCSISDWNLWGLLVQILVFFFSLYFSPLRLTYYLY >KJB42398 pep chromosome:Graimondii2_0_v6:7:12807300:12812872:1 gene:B456_007G150600 transcript:KJB42398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWMETGSEPKTETEIADLEAISALKESTALELKEKGNELVKKGKKHYPEAIHCYGRAIDQKALNDHDTSVLFSNRAHVNLLLGNYRRGLSDSQDAIKLFPANVKAYYRAAKACLSLNLLAEAKSYCESGVGKDPSNEELKKLAKQIDLKKLEQEQREAQVSKALVEAKDLVSAIEDRRLKLGNAMYRELTGLRKPVLDKNGILHWPVLLLYAEFMSSSYKWVKVNEKRTLHDVLKEPNFIIPMIPAHGGDFDALKLSLQERAF >KJB39412 pep chromosome:Graimondii2_0_v6:7:963236:966105:1 gene:B456_007G012200 transcript:KJB39412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRGRGGGGGGFRGRGDGGRGRGRGGGGRGGDRGGSAMKSRGGGRGGGRGGGRGRGGMKGGSKVIVEPHRHEGVFVAKGKEDALVTKNMVPGEAVYNEKRIAVQNEDGTKIEYRVWNPFRSKLAAAILGGVDNIWIKPGAKVLYLGAASGTTVSHVSDVVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKAGGHFVISIKANCIDSTVPAEAVFQSEVKKLQQEQFKPFEQVTLEPFERDHACVVGGYRMPKKQKAAT >KJB43441 pep chromosome:Graimondii2_0_v6:7:20408209:20408876:1 gene:B456_007G200400 transcript:KJB43441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMELIFLSCFRTNCKEQPIRNPYRHDSSPRLHICHLNVCLGNFQAVFPIPISSSISHLMSSSSLLETDELI >KJB39927 pep chromosome:Graimondii2_0_v6:7:2655660:2659615:1 gene:B456_007G038400 transcript:KJB39927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGEGGDQMDQFHRNEAISAVADEGFLGEEDDDYEDLYNDVNVGEGFLQSLRKNEDLGFRNEETKNSANNVVNNNDIGEKVGGAPMDAAPEPGVSNPGVADGVGRGDSRVSHESQGFRSGAICDVKGPSAGSDGGGNGLRVELERASSKLNDMAAEQSRNNINNNLSGVGGMAQQGHGVGNMGSVENESLMRHGGVGAGNVNGGGVSGPMIGNGGGNVGVAGAVPGLGPGPGVGASGCGSGGGGSGTILFVGDLHWWTTDAELESELCKYGPVKEVKFFDEKASGKSKGYCQVEFYDPAAATACKEGMNGHIFNGRPCVVAFASPFTVKKMGEAQLNRNQQMAQSALSQARRGPNDAGGKTVGNNIQTGGNYQGGDNNRGYGRGNLGRGNAQGMGNRAPGGPMRNRPGGMGGRGIMGNGGNGFGQGIGATPPLLHPQSMMGQGFGPAFGGAMGRMGGYGGFPGALTPPFSGMLNSFPPVGGVGLPGVAPHVNPAFFGRGMPMNGMGMMPTGGVDGPNMGMWSDPSMGGWGGDEHGGGRAGESSFGEEADHQYGEATHDRGGWQNPMKEKDRASEKEWSGSSERRYRDDREPGYDRDMPGEKDTGHGHDWPERGHQDDRDIGRERDREHDRDRERSRDRDRNRDRERDRDRDRDRHREDKDRYADHHRYRDREPEHDDDWDRGRSSRIHSKSRLSQEEEHRSRSRDADYGKRRRLTSD >KJB46383 pep chromosome:Graimondii2_0_v6:7:59585182:59591007:1 gene:B456_007G364200 transcript:KJB46383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIAFDLALELITKLSSFTLSQIGLCWNVKDDLDDLKSIVSTIKAVLLNAEERSMTSHLVKDWLEKLKDVLYDADDLLDDFSTEALQKYLLGGDKLTKKATASTHGNVFHD >KJB46485 pep chromosome:Graimondii2_0_v6:7:60450535:60456680:-1 gene:B456_007G371700 transcript:KJB46485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSAVTLPASTVSLYVGDLHPDVTDGTLFDAFNEFKGISSVRVCRDSSTGRSLCYGYVNFSSIQDAHFAMEKMNHSMLNGKMIRVTWSLRDPDARKSGVGNVFVKNLSESIDSVGLQELFQKFGNVVSCKVATFEDGKSMGYGFVQFESEESANAAIERLDNTMIGDKEIYVGKFMKKCDRVLPSPDVKYTNLYVKNLDPDINEEVLQEKFSEFGKIACLVVARDENGTSRGFGFVNFECPEDAKKAMESMNGSQLGSKVLYVARAQKKAEREQILRNQFEERRKEQIMKYKASNVYVKNIDDDVTDDELRDLFSLCGTITSAKLMRDDKGINKGFGFVCFSAPEEAAKAVGTFHGYMFHRKPLYVAIAQRKEDRQAQLQLQYAQRMPGLAGPSTVVLPGGYPPLYYASPTGIVSQVPLRPGMMHQPLGLRPGWRANGFAPPTRPVFQYSSLPLFPTAPRQTRPNRRQMNGNTLGGSHSVTYALQLQPPNQTVTSSKDQSNQQTGQAKSVPNGQAREVNKGPGGGAAAAALTQGTEMLSSMLAAASPEQQKTILGERLYPLIQKHQPDLVPKITGMLLEMDNSELLLLLESPESLAAKVEEAVGVLKLSNAKVTGQDALHPNFLSAGVAVN >KJB46486 pep chromosome:Graimondii2_0_v6:7:60450759:60455129:-1 gene:B456_007G371700 transcript:KJB46486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPQLYLLFLSLVEILNVDLLVFIQNLSESIDSVGLQELFQKFGNVVSCKVATFEDGKSMGYGFVQFESEESANAAIERLDNTMIGDKEIYVGKFMKKCDRVLPSPDVKYTNLYVKNLDPDINEEVLQEKFSEFGKIACLVVARDENGTSRGFGFVNFECPEDAKKAMESMNGSQLGSKVLYVARAQKKAEREQILRNQFEERRKEQIMKYKASNVYVKNIDDDVTDDELRDLFSLCGTITSAKLMRDDKGINKGFGFVCFSAPEEAAKAVGTFHGYMFHRKPLYVAIAQRKEDRQAQLQLQYAQRMPGLAGPSTVVLPGGYPPLYYASPTGIVSQVPLRPGMMHQPLGLRPGWRANGFAPPTRPVFQYSSLPLFPTAPRQTRPNRRQMNGNTLGGSHSVTYALQLQPPNQTVTSSKDQSNQQTGQAKSVPNGQAREVNKGPGGGAAAAALTQGTEMLSSMLAAASPEQQKTILGERLYPLIQKHQPDLVPKITGMLLEMDNSELLLLLESPESLAAKVEEAVGVLKLSNAKVTGQDALHPNFLSAGVAVN >KJB39135 pep chromosome:Graimondii2_0_v6:7:6100429:6101116:-1 gene:B456_007G085300 transcript:KJB39135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELENPNVMPNLITFLSSLLQKLAESNDVNRRFKAQKVSVFHGLSRPTISIQNYLDRIYKYANCSPCCFIVAYVYLDRFAQRRPSLPINSFNVHRLLITSVMVAAKFMDDMYYNNAYYAKVGGISTTEMNFLEVDFLFGLGFQLNVTPNTFNTYYSYLKRETMLQQCPPSIVESSLSLGRSLNLHLCFNEEDSTHQNQQLAV >KJB39137 pep chromosome:Graimondii2_0_v6:7:6099725:6101133:-1 gene:B456_007G085300 transcript:KJB39137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELENPNVMPNLITFLSSLLQKLAESNDVNRRFKAQKVSVFHGLSRPTISIQNYLDRIYKYANCSPCCFIVAYVYLDRFAQRRPSLPINSFNVHRLLITSVMVAAKFMDDIRRNQHNRNELPRSGFSVWIGFPVKCNPQYL >KJB39136 pep chromosome:Graimondii2_0_v6:7:6099375:6101402:-1 gene:B456_007G085300 transcript:KJB39136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELENPNVMPNLITFLSSLLQKLAESNDVNRRFKAQKVSVFHGLSRPTISIQNYLDRIYKYANCSPCCFIVAYVYLDRFAQRRPSLPINSFNVHRLLITSVMVAAKFMDDICR >KJB42613 pep chromosome:Graimondii2_0_v6:7:14041524:14044813:1 gene:B456_007G160100 transcript:KJB42613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLIRRRFFGTKISPNSHRHKLQWSVKQVTKSNFSDSLQEFKSHLSASDFVAVSLQNTGSFSAPWNRVSSFDSPETAYLKVRRAAERFQLLQFAICPFTISGYKVTAHPYNFHLFPRDELNTGMPSYSFSCQTSYLTAMARQGFDFNACIYDGISYLSRAQELAAKVRMANPINLNHVVEASSSPPTVADTVFVERVKSRIKHWKKSCTDSTSKKIDDALVKSLQKLVLGGEQYGSRPCMTIDVCSERQVQLVLEGGGTQAVRVVLTSSKEDKSLLERELQNAEEEQNKKMRGFREVIELVSASQKPVVSHNTLNDFSVIHSKFIAPLPLDVNEFLNSLQSIFPHIFDVNHMMKGIGPLENVTSIPAAVSYLKNRFFAPIEMELSHGALLDESKIHGRTVVRICYLFAKLCSVLKITPGSIQSSDGKVLDGYANAFRSCSGNSEESLDGGIRIWTNSPRKVDCKDLVFLWGFRNRLSAGMLKSLLQGSHDVFSEEFDVCLVDKSCAILVFFHPNLSQAFLDVMNSEEISGSLSELVSEGLRAADYDTYKRACSLGLWETDLASSLDKASATPDRLSQSDPETKPAEIYWCNDLIINLDDL >KJB42612 pep chromosome:Graimondii2_0_v6:7:14041384:14044906:1 gene:B456_007G160100 transcript:KJB42612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLIRRRFFGTKISPNSHRHKLQWSVKQVTKSNFSDSLQEFKSHLSASDFVAVSLQNTGSFSAPWNRVSSFDSPETAYLKVRRAAERFQLLQFAICPFTISGYKVTAHPYNFHLFPRDELNTGMPSYSFSCQTSYLTAMARQGFDFNACIYDGISYLSRAQELAAKVRMANPINLNHVVEASSSPPTVADTVFVERVKSRIKHWKKSCTDSTSKKIDDALVKSLQKLVLGGEQYGSRPCMTIDVCSERQVQLVLEMLREFFDDLVALKIPTKGGGTQAVRVVLTSSKEDKSLLERELQNAEEEQNKKMRGFREVIELVSASQKPVVSHNTLNDFSVIHSKFIAPLPLDVNEFLNSLQSIFPHIFDVNHMMKGIGPLENVTSIPAAVSYLKNRFFAPIEMELSHGALLDESKIHGRTVVRICYLFAKLCSVLKITPGSIQSSDGKVLDGYANAFRSCSGNSEESLDGGIRIWTNSPRKVDCKDLVFLWGFRNRLSAGMLKSLLQGSHDVFSEEFDVCLVDKSCAILVFFHPNLSQAFLDVMNSEEISGSLSELVSEGLRAADYDTYKRACSLGLWETDLASSLDKASATPDRLSQSDPETKPAEIYWCNDLIINLDDL >KJB41751 pep chromosome:Graimondii2_0_v6:7:9322090:9327374:-1 gene:B456_007G118500 transcript:KJB41751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABC transporter 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G01660) UniProtKB/Swiss-Prot;Acc:Q9SBB2] MSSLNQLGRLVNGLSLIAREVAKRSQTIEHAKNGDLETLISSSLKNALVSATDLTGLTKGKVREFSKPRPKDSVLYFNSTNDGGGEDVVNSSSNDIARASLTNDETVDSDKGVSNNGQTIPQERIVNDGLETQCESISDNKRYVDGGEVVKTAAEATVPVKRRRPRERKVPATTFSRAFGFAALGAGLAWGTVQESAKRLVYGSPNSNEKQSAVSPFLSEKNAERLALALCRMRGAALKLGQMLSIQDESLVPAPILAALDIVRQGADVMPRSQLNQVLDAELGPGWSTKLTSFDYEPLAAASIGQVHRAVMKDGMDVAMKIQYPGVADSIESDIENVKLLLDYTNLIPEGLYLDRAMKVAKEELSQECDYQLEASNQKRFRDMLSGHDGFYVPLVVDDISSKRVLTTELISGVPIDKVALLDQGTRNYVGRNLLELTLMELFVFRFMQTDPNWSNFLYDEATKTINLIDFGAARDYPKRFVDDYLRMVSYGLRK >KJB41750 pep chromosome:Graimondii2_0_v6:7:9322081:9327512:-1 gene:B456_007G118500 transcript:KJB41750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABC transporter 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G01660) UniProtKB/Swiss-Prot;Acc:Q9SBB2] MSSLNQLGRLVNGLSLIAREVAKRSQTIEHAKNGDLETLISSSLKNALVSATDLTGLTKGKVREFSKPRPKDSVLYFNSTNDGGGEDVVNSSSNDIARASLTNDETVDSDKGVSNNGQTIPQERIVNDGLETQCESISDNKRYVDGGEVVKTAAEATVPVKRRRPRERKVPATTFSRAFGFAALGAGLAWGTVQESAKRLVYGSPNSNEKQSAVSPFLSEKNAERLALALCRMRGAALKLGQMLSIQDESLVPAPILAALDIVRQGADVMPRSQLNQVLDAELGPGWSTKLTSFDYEPLAAASIGQVHRAVMKDGMDVAMKIQYPGVADSIESDIENVKLLLDYTNLIPEGLYLDRAMKVAKEELSQECDYQLEASNQKRFRDMLSGHDGFYVPLVVDDISSKRVLTTELISGVPIDKVALLDQGTRNYVGRNLLELTLMELFVFRFMQTDPNWSNFLYDEATKTINLIDFGAARDYPKRFVDDYLRMVMACANNDRAAVIEMSQRLGFLTGKESEVMLEAHVQAGFVVGLPFSKSGGYDFRSTNITQSISNLGATMLRHRLTPPPDEAYSLHRKLSGAFLACIKLGAVVPCRELLLDVYEHYHFGEDEGTLSTASVS >KJB40025 pep chromosome:Graimondii2_0_v6:7:2974779:2977277:-1 gene:B456_007G042800 transcript:KJB40025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTLIAQLHLVPPFPSPSKQSSISQPQIKIPNNPNPKPKPIVFSKFLSLALTLTLNSPLPSLAIPSLTSQSSPQAPLTTTPFTQSKFLQLGLEDGKIRPCPSTNPGCVSTNAKSSSFAFPWVVPETSKENAELQEAILKTQKNAKIEVVEDTPNGKYIQAEVDGGFGPDVMEFLVKGDVVTYRSMAMKVTYIYPFTTAIGDSKGQLERLKKIVDQLGCNFLSNATPVVDSESGGRHEPTPAATAANATLLTPKEFMVLSKLVTRGITVFLEDDPLKISEIKADFNGTRIYNVKYQIAAKKAYNLLKHARKNPACSPTINLLNQSSCKLALRNLPEDVYKLKWDVVVVDGPIGDTPEAPGRMPTIYTAGMLARTDGIGKKTTTDVVVHDVHRTIEKWFSWEFFCEQNLVSARGKLWVFRIPVHQSNSTSFCSSETVVNGGSI >KJB40026 pep chromosome:Graimondii2_0_v6:7:2974803:2976911:-1 gene:B456_007G042800 transcript:KJB40026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVRTCVCVCVWLVNVLIVFLMVMIDDRKIRPCPSTNPGCVSTNAKSSSFAFPWVVPETSKENAVQELQEAILKTQKNAKIEVVEDTPNGKYIQAEVDGGFGPDVMEFLVKGDVVTYRSMAMKVTYIYPFTTAIGDSKGQLERLKKIVDQLGCNFLSNATPVVDSESGGRHEPTPAATAANATLLTPKEFMVLSKLVTRGITVFLEDDPLKISEIKADFNGTRIYNVKYQIAAKKAYNLLKHARKNPACSPTINLLNQSSCKLALRNLPEDVYKLKWDVVVVDGPIGDTPEAPGRMPTIYTAGMLARTDGIGKKTTTDVVVHDVHRTIEKWFSWEFFCEQNLVSARGKLWVFRIPVHQSNSTSFCSSETVVNGGSI >KJB40022 pep chromosome:Graimondii2_0_v6:7:2974597:2977299:-1 gene:B456_007G042800 transcript:KJB40022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTLIAQLHLVPPFPSPSKQSSISQPQIKIPNNPNPKPKPIVFSKFLSLALTLTLNSPLPSLAIPSLTSQSSPQAPLTTTPFTQSKFLQLGLEDGKIRPCPSTNPGCVSTNAKSSSFAFPWVVPETSKENAVQELQEAILKTQKNAKIEVVEDTPNGKYIQAEVDGGFGPDVMEFLVKGDVVTYRSMAMKVTYIYPFTTAIGDSKGQLERLKKIVDQLGCNFLSNATPVVDSESGGRHEPTPAATAANATLLTPKEFMVLSKLVTRKAPCNLLVFGLQSQYYNLSSINAGGITVFLEDDPLKISEIKADFNGTRIYNVKYQIAAKKAYNLLKHARKNPACSPTINLLNQSSCKLALRNLPEDVYKLKWDVVVVDGPIGDTPEAPGRMPTIYTAGMLARTDGIGKKTTTDVVVHDVHRTIEKWFSWEFFCEQNLVSARGKLWVFRIPVHQSNSTSFCSSETVVNGGSI >KJB40023 pep chromosome:Graimondii2_0_v6:7:2974779:2977277:-1 gene:B456_007G042800 transcript:KJB40023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTLIAQLHLVPPFPSPSKQSSISQPQIKIPNNPNPKPKPIVFSKFLSLALTLTLNSPLPSLAIPSLTSQSSPQAPLTTTPFTQSKFLQLGLEDGKIRPCPSTNPGCVSTNAKSSSFAFPWVVPETSKENAVQKNAKIEVVEDTPNGKYIQAEVDGGFGPDVMEFLVKGDVVTYRSMAMKVTYIYPFTTAIGDSKGQLERLKKIVDQLGCNFLSNATPVVDSESGGRHEPTPAATAANATLLTPKEFMVLSKLVTRGITVFLEDDPLKISEIKADFNGTRIYNVKYQIAAKKAYNLLKHARKNPACSPTINLLNQSSCKLALRNLPEDVYKLKWDVVVVDGPIGDTPEAPGRMPTIYTAGMLARTDGIGKKTTTDVVVHDVHRTIEKWFSWEFFCEQNLVSARGKLWVFRIPVHQSNSTSFCSSETVVNGGSI >KJB40024 pep chromosome:Graimondii2_0_v6:7:2974803:2976669:-1 gene:B456_007G042800 transcript:KJB40024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLALRALLHLQELQEAILKTQKNAKIEVVEDTPNGKYIQAEVDGGFGPDVMEFLVKGDVVTYRSMAMKVTYIYPFTTAIGDSKGQLERLKKIVDQLGCNFLSNATPVVDSESGGRHEPTPAATAANATLLTPKEFMVLSKLVTRGITVFLEDDPLKISEIKADFNGTRIYNVKYQIAAKKAYNLLKHARKNPACSPTINLLNQSSCKLALRNLPEDVYKLKWDVVVVDGPIGDTPEAPGRMPTIYTAGMLARTDGIGKKTTTDVVVHDVHRTIEKWFSWEFFCEQNLVSARGKLWVFRIPVHQSNSTSFCSSETVVNGGSI >KJB45622 pep chromosome:Graimondii2_0_v6:7:53176638:53177160:1 gene:B456_007G316600 transcript:KJB45622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIASFVGMGDSVTPEIFNWASNNPKIIYASSIVGRLMDDVASHKFEQERGHCASAVECYMREHAVSEEEACSELKKQVGNAWKDINQELIFSEISKVVPGPVLTRILNFTRVIHFLYKNGDGFTDVGKNTKDGITSLLIDPISVSY >KJB45744 pep chromosome:Graimondii2_0_v6:7:54466669:54467153:-1 gene:B456_007G325000 transcript:KJB45744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVIFAISLCSIVLKLSIFFYSAHRYGQAIDLYTQAIELNSQNAVYWANRSLAHTKLEEYGSSIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVLGL >KJB45157 pep chromosome:Graimondii2_0_v6:7:50235950:50241073:1 gene:B456_007G292800 transcript:KJB45157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRQSHSSNNSGRSRHSTRVIAQTTLDAKLHAEFEESSSSFDFSSSVRVSGDQQPRSNKVTTAYLHNIQKGKFIQPFGCLLALDEKTYKVVAYSENAPDMLTMVSHAVPSVGDYPVLGIGTDIKTIFTAPSSSALLKALGIGEVSLLNPILVHCKTSGKPFYAIIHRVTGSLIIDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGSMERLCDTMVQEVFELTGYDRVMAYKFHDDDHGEVVSEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMIVDCRAKHVKVYQDDKLPFDLTFCGSTLRAPHCCHLQYMENMNSIASLVMAVIVNDGDEEDDNTDSPQPQQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKELELESQMIEKNILRTQTLLCDMLMRDAPVGIVSQSPNIMDLVKCDGAALLYKDKIWKLGVTPSDFQLHEIAAWLSEYHMDSTGLSTDSLHDAGFPGALGLGDVVCGMAAVRITPKDMLFWFRSHTAAEIRWGGAKQELGEKDDDRKMHPRSSFKAFLEVVKTRSLPWKDYEMDAIHSLQLILRNAFKDVVTADTNTNVIYSKLTDLKIEGMQELEAVTSEMVRLIETATVPILAVDVDGLINGWNMKIAELTGLPVDKAIGRHLLTLLEDSSVETVKKMLSLALQGKEEKNIHFEIKTHGLRSEVGPVSLVVNACANRDLHENVVGVCFVAQDITGQKIVMDKFTRIEGDYKAIVQNPNPLIPPIFGTDEFGWCSEWNPAMTKITGWNRDEVVDKMLLGEVFGTQNACCRLKNQESYVNLGVVLNNAVAGNEPEKVPFGFFGRSGKYVECLLCVNKKLDREGAVTGVFCFLQLASHELQQALHVQRLTEQTAMKRLKALAYLKRQIHNPLSGIIFSTQMMEGTELGPEQKRLLQTSTLCQHQLRKILDDSDLHTIIDGYVDLEMIEFTLHEVLTASISQVMVMSNGKRIQIVNDTAEDVLSETLYGDSVRLQQVLADFLLISVNFTPNGGQLVVVANLTKDQLGQSVHLAHLELRITHAGGGVPEAMLNQMFGNEEDASEEGISLLISRKLVKLMNGDIQYLREAGRSTFIVTVELAAANGPDSRT >KJB45155 pep chromosome:Graimondii2_0_v6:7:50235296:50241155:1 gene:B456_007G292800 transcript:KJB45155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRQSHSSNNSGRSRHSTRVIAQTTLDAKLHAEFEESSSSFDFSSSVRVSGDQQPRSNKVTTAYLHNIQKGKFIQPFGCLLALDEKTYKVVAYSENAPDMLTMVSHAVPSVGDYPVLGIGTDIKTIFTAPSSSALLKALGIGEVSLLNPILVHCKTSGKPFYAIIHRVTGSLIIDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGSMERLCDTMVQEVFELTGYDRVMAYKFHDDDHGEVVSEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMIVDCRAKHVKVYQDDKLPFDLTFCGSTLRAPHCCHLQYMENMNSIASLVMAVIVNDGDEEDDNTDSPQPQQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKELELESQMIEKNILRTQTLLCDMLMRDAPVGIVSQSPNIMDLVKCDGAALLYKDKIWKLGVTPSDFQLHEIAAWLSEYHMDSTGLSTDSLHDAGFPGALGLGDVVCGMAAVRITPKDMLFWFRSHTAAEIRWGGAKQELGEKDDDRKMHPRSSFKAFLEVVKTRSLPWKDYEMDAIHSLQLILRNAFKDVVTADTNTNVIYSKLTDLKIEGMQELEAVTSEMVRLIETATVPILAVDVDGLINGWNMKIAELTGLPVDKAIGRHLLTLLEDSSVETVKKMLSLALQGKEEKNIHFEIKTHGLRSEVGPVSLVVNACANRDLHENVVGVCFVAQDITGQKIVMDKFTRIEGDYKAIVQNPNPLIPPIFGTDEFGWCSEWNPAMTKITGWNRDEVVDKMLLGEVFGTQNACCRLKNQESYVNLGVVLNNAVAGNEPEKVPFGFFGRSGKYVECLLCVNKKLDREGAVTGVFCFLQLASHELQQALHVQRLTEQTAMKRLKALAYLKRQIHNPLSGIIFSTQMMEGTELGPEQKRLLQTSTLCQHQLRKILDDSDLHTIIDGYVDLEMIEFTLHEVLTASISQVMVMSNGKRIQIVNDTAEDVLSETLYGDSVRLQQVLADFLLISVNFTPNGGQLVVVANLTKDQLGQSVHLAHLELRITHAGGGVPEAMLNQMFGNEEDASEEGISLLISRKLVKLMNGDIQYLREAGRSTFIVTVELAAANGPDSRT >KJB45156 pep chromosome:Graimondii2_0_v6:7:50235503:50241073:1 gene:B456_007G292800 transcript:KJB45156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRQSHSSNNSGRSRHSTRVIAQTTLDAKLHAEFEESSSSFDFSSSVRVSGDQQPRSNKVTTAYLHNIQKGKFIQPFGCLLALDEKTYKVVAYSENAPDMLTMVSHAVPSVGDYPVLGIGTDIKTIFTAPSSSALLKALGIGEVSLLNPILVHCKTSGKPFYAIIHRVTGSLIIDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGSMERLCDTMVQEVFELTGYDRVMAYKFHDDDHGEVVSEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMIVDCRAKHVKVYQDDKLPFDLTFCGSTLRAPHCCHLQYMENMNSIASLVMAVIVNDGDEEDDNTDSPQPQQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKELELESQMIEKNILRTQTLLCDMLMRDAPVGIVSQSPNIMDLVKCDGAALLYKDKIWKLGVTPSDFQLHEIAAWLSEYHMDSTGLSTDSLHDAGFPGALGLGDVVCGMAAVRITPKDMLFWFRSHTAAEIRWGGAKQELGEKDDDRKMHPRSSFKAFLEVVKTRSLPWKDYEMDAIHSLQLILRNAFKDVVTADTNTNVIYSKLTDLKIEGMQELEAVTSEMVRLIETATVPILAVDVDGLINGWNMKIAELTGLPVDKAIGRHLLTLLEDSSVETVKKMLSLALQGKEEKNIHFEIKTHGLRSEVGPVSLVVNACANRDLHENVVGVCFVAQDITGQKIVMDKFTRIEGDYKAIVQNPNPLIPPIFGTDEFGWCSEWNPAMTKITGWNRDEVVDKMLLGEVFGTQNACCRLKNQESYVNLGVVLNNAVAGNEPEKVPFGFFGRSGKYVECLLCVNKKLDREGAVTGVFCFLQLASHELQQALHVQRLTEQTAMKRLKALAYLKRQIHNPLSGIIFSTQMMEGTELGPEQKRLLQTRRYLMIQTCTPSLMAMWILK >KJB44699 pep chromosome:Graimondii2_0_v6:7:44797208:44798829:-1 gene:B456_007G267300 transcript:KJB44699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGDSSATGAETTSMAEKETPSMTEKQASSATGEETSSMAEKETSSMAAERKSSAVGEETDLEKVVERVVTTIPEDDATYKIDIMNESRRLLSLLKEMKLNQTVGLGRGDGDRAFLDEQLVILGSGQNWLNDGNLKCAMVDRVLVELLLEKLSSSLPDQNEAAKDLQMLTKAKPSCREAFSKLNGAISRLLSPLSLTKVESNPELQEDLITTVLNILTDDRNKHLVGEHPRAIPLLTESMKYGTDETRRNAIAALVSLSALDLNKFIIGSSGALAPLLEVMCVGHPLAIKEAASAIRSLCKVYENQDKFTKLGAVKIILQKIKEGILVDELLGVLAVLSTHNDAVEELGDPDTFHYLIDIKRNTASEIARKKCIEILFNVSMLEKPSFGDEKKKSRRLV >KJB44984 pep chromosome:Graimondii2_0_v6:7:48589907:48592863:1 gene:B456_007G283800 transcript:KJB44984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGVPDQLHQFIGASSASARTTTTLLLPLSFTSSNGITSFDPLFTSSSNSHHQQVPPQLHHHHQQQQQPQFLQSLHQQKNNEESTTSLVGMNMEINGRDQRSIAEPIDNLHRHHHHHHPWSNDEVLALLTVRSSIENWFPEFTWEHVSRKLADLGFKRSADKCKEKFEEENRYFNSINCSKNYRIFSELEELYQAENPPPPPPHHHHHSQQQQVAVVADENNKNVEKSREDEDNMGQNLEADSRNIDELYQTSPANNTAISSDQDNKKVVENKANYDDNNAAAAATNKKRKRVKKLELFKGFCEDIVNKLMIQQEEMHNKLIEDMVKRDEEKVAREEAWKKQELDRINQELELRAKEQAIAGDRQATIIKFLSKFSQTGSSKKQCFGRVNEDVVKVPSECSNPPIASSSPLVAVAENPNPIVTDQNKVDQVSTTSPSSMILAHQNKQSMPISMTESQAPQNPNPETPDTSSLAPQNPNSVSAESNPLPPTSPLTVNKAPQNPTSNEKEDLGKRWPRDEVLALINLRCSLYNNGDHEKEGTAIKAPLWERISQGMLELGYKRSAKRCKEKWENINKYFRKTKDINKKRSLDSRTCPYFHQLSTLYSQGTLIAPSDGPENRSPLAENHPKLPETGKDSSQRGDKDSTVHVSGGNETNMVQVPGFEFEF >KJB45042 pep chromosome:Graimondii2_0_v6:7:49323130:49332926:1 gene:B456_007G286900 transcript:KJB45042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNNTNSNRPRKEKRLTYVLNDTADTKHCAGINCLAVLKSSASDGCDYLFTGSRDGTLKRWALGENAATCSATFESHVDWVNDTVIAGDSTLVSCSSDTTLKTWNCLSEGTCTRTFRQHSDYVTCLAAAEKNTNVVASGGLGGEVFVWDIEAAATPVSKSSDVVEDDCSNSMNGSANLLPISSPRTISSSNSIRTHATQCHGYVPIAVKGHKESVYALAMNGSGSLLVSGGTEKVVRVWDPRTGSKNMKLRGHADNIRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLTTRESLLLCTKEQPILQLALHDDSIWVATTDSSVCRWPAEGRTPQNVFQRGGSFLAGNLSFSRARVSLEGSTPAPVYKEPIFTIPGTPAIVQHEILNNRRHVLTKDAAGSVKLWEITRGVVIEDYGQVSFDKKKEQLFEMVSIPAWFTADTRLGCLSVHLDTPQCFTAEMYSVDLNITGKPEDDKVNLARETLKGLLVHWLAKRRQRHGTQASANGDVVSGKDMTARSSAHSRIEVDGNAENDSLVYPPFEFSTVSPPSIITEGSQGGPWRKKITELDATEDEKDFPLWVLDCVLNNRLPPREKTKCSFYLHPCEGSAVRILTQGKLSAPRILRMHKVYIVVLAKGNFFCMTFICTGICF >KJB45041 pep chromosome:Graimondii2_0_v6:7:49323037:49332926:1 gene:B456_007G286900 transcript:KJB45041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNNTNSNRPRKEKRLTYVLNDTADTKHCAGINCLAVLKSSASDGCDYLFTGSRDGTLKRWALGENAATCSATFESHVDWVNDTVIAGDSTLVSCSSDTTLKTWNCLSEGTCTRTFRQHSDYVTCLAAAEKNTNVVASGGLGGEVFVWDIEAAATPVSKSSDVVEDDCSNSMNGSANLLPISSPRTISSSNSIRTHATQCHGYVPIAVKGHKESVYALAMNGSGSLLVSGGTEKVVRVWDPRTGSKNMKLRGHADNIRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLTTRESLLLCTKEQPILQLALHDDSIWVATTDSSVCRWPAEGRTPQNVFQRGGSFLAGNLSFSRARVSLEGSTPAPVYKEPIFTIPGTPAIVQHEILNNRRHVLTKDAAGSVKLWEITRGVVIEDYGQVSFDKKKEQLFEMVSIPAWFTADTRLGCLSVHLDTPQCFTAEMYSVDLNITGKPEDDKVNLARETLKGLLVHWLAKRRQRHGTQASANGDVVSGKDMTARSSAHSRIEVDGNAENDSLVYPPFEFSTVSPPSIITEGSQGGPWRKKITELDATEDEKDFPLWVLDCVLNNRLPPREKTKCSFYLHPCEGSAVRILTQGKLSAPRILRMHKVVNYVIEKLVLDKPIDSLNIDGTYAAGLGGQPQHSAGGEGSLRSGLKPWQKLRPSIEILCNNQVLSPEMSLATVRAYIWKRPEDLVLNYRMIQGK >KJB45963 pep chromosome:Graimondii2_0_v6:7:56590351:56592734:-1 gene:B456_007G340600 transcript:KJB45963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAGNPRNKCAACYRQFNRMEHLVEHMRTSFHSVHEPTCGVCKKHCRSFESLREHLIGPLPKQECRNVFNIRGCKFCLAILDSPYALRVHQDRCQLSGVNHGISAYMANLGLRDSLTIDNGYSRGPQVVALACKTVGGGSDRSLDLCARVCIIDENENIIFHTFVKPPIPVTNYRYETTGIRPEHLRDAMPLRQVQRKVQDFLCNGEPTWKIRSPKGGKARILVGHGLDHDLDKMQVEYPPIMIRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIQNGIQDPYEDCVATMRLYVRMRRQVHRRQDYPLASDPQNRNNFASWRQNELERMSPEEMLAISRSDYYCWCLDSA >KJB40220 pep chromosome:Graimondii2_0_v6:7:3646574:3647168:-1 gene:B456_007G051900 transcript:KJB40220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSLLVPYIFFSLPSGLFRFLRGDVGKWIAFIAVVLRLFFPRHFPDWLEMPGSLILLLVVAPNFFAVTLKDSLVGVFICLLIGCYLLQEHIRASGGFRNSFTQSNGISNTIGIILLLVYPVWALVLHFV >KJB40219 pep chromosome:Graimondii2_0_v6:7:3646216:3648470:-1 gene:B456_007G051900 transcript:KJB40219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMRQYLAMKTDPVAQELISSDIKELKLAAIKLMDDATKLGGLGFGTSFLKWVASFSAMGDVGKWIAFIAVVLRLFFPRHFPDWLEMPGSLILLLVVAPNFFAVTLKDSLVGVFICLLIGCYLLQEHIRASGGFRNSFTQSNGISNTIGIILLLVYPVWALVLHFV >KJB40217 pep chromosome:Graimondii2_0_v6:7:3646168:3648624:-1 gene:B456_007G051900 transcript:KJB40217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMRQYLAMKTDPVAQELISSDIKELKLAAIKLMDDATKLGGLGFGTSFLKWVASFSAIYLLILDRTNWRTNMLTSLLVPYIFFSLPSGLFRFLRGDVGKWIAFIAVVLRLFFPRHFPDWLEMPGSLILLLVVAPNFFAVTLKDSLVGVFICLLIGCYLLQEHIRASGGFRNSFTQSNGISNTIGIILLLVYPVWALVLHFV >KJB40218 pep chromosome:Graimondii2_0_v6:7:3646574:3647168:-1 gene:B456_007G051900 transcript:KJB40218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSLLVPYIFFSLPSGLFRFLRGDVGKWIAFIAVVLRLFFPRHFPDWLEMPGSLILLLVVAPNFFAVTLKDSLVGVFICLLIGCYLLQEHIRASGGFRNSFTQSNGISNTIGIILLLVYPVWALVLHFV >KJB45747 pep chromosome:Graimondii2_0_v6:7:54507799:54508488:-1 gene:B456_007G325300 transcript:KJB45747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFRLCLFTLPLITLTFILQPFHVMSDEAMIINVCSKTPNPSLCETCLHSDPKSATADVKGLATISITCGTRDADKLYTDTDNLYTNTKDPALHNLLDNCWWRFLGARDNIDSAGRMLSDKGSDAAKLAITRDAMPMITYCSDLFKKSPTVAVPKNITDEMNVVSTDCQIILGILSNF >KJB46368 pep chromosome:Graimondii2_0_v6:7:59458297:59461684:1 gene:B456_007G363100 transcript:KJB46368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSLRLGLMAVVAVSGSVVFIANELHKRLLSDFMKKFEFEFAGNGKAKKKVRFADNVREPSSNNKEYRKRNHSIAIVKQAKGRGE >KJB44736 pep chromosome:Graimondii2_0_v6:7:45134393:45135210:-1 gene:B456_007G269100 transcript:KJB44736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHCSFLAVFALLALASILVTSAYDPSPLQDTCVAINDPKTGIFVNGKFCKDPKFVTADDFFYSGLNIPRDTSNPVGSVVTPVNVDQIPGLNTMGISLVRIDYAPYGGLNAPHTHPRATEILVVLEGTLYVGFVTSNPDNRLISKVLYPGDVFVFPIGLIHFQQNVGKTNAVAFAGLSSQNPGVITIANAVFGSNPPINPDVLARAFQLDNNVVKYLQSRFGWKNN >KJB44426 pep chromosome:Graimondii2_0_v6:7:40087514:40088116:-1 gene:B456_007G252200 transcript:KJB44426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEGTCITRAKSEKIPHEYSTIVGIQESVHEILMNLEEIVLRGNLYGTCNAFICAKGPKYRNRGYGIKMPKNFHDGSYPIDVVFMPVRKATHSIHCYGNDNEKHEILFLEIWTNGCLTLKEALHEASHNLIDLFIPFLHAEEENLHLENNQHDATLPFFRFMID >KJB42774 pep chromosome:Graimondii2_0_v6:7:14847826:14851727:1 gene:B456_007G167000 transcript:KJB42774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASQQHRSSVMASRLYHQIKQEVEPEPYWFPQLPPTDPSLCYSDGFHFSVESSNENFCTLESSSANGSYTAYNSTSLVGFSPNGSPMSQQDSQSYPSDLHHSPDNNGGSPISGSCVTDDVSDLRDKLKELETVMLGPDSDVIDSKTSLEMGTWRLVTDAISRGDLKQVLVFCAKALSDNDLLMAQWLMDELRRMVSVSGEPIQRLGAYMLEGLVARLASSGSSIYKALRCKEPKSADLLSYMHILYEVCPYLKFGYMSANGAIAEAMKDEDRVHIIDFQIAQGSQWITLIQAFAARPGGPPHIRITGIDDSTSAYARGGGLNIVGKRLSKLAEYFKVPFEFHAAAMSGCEVQREHLQVRPGETVAVNFAFMLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFFPRFLETLNYYTAMFESIDVTLPREHKERIDVEQQCLARDVVNIIACEGAERVERHELLGKWRSRFRMAGFTPYPLSSLVNATIKTLLENYCDRYRLEERDGALYLGWMNRDLVASCAWK >KJB42776 pep chromosome:Graimondii2_0_v6:7:14848207:14851727:1 gene:B456_007G167000 transcript:KJB42776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASQQHRSSVMASRLYHQIKQEVEPEPYWFPQLPPTDPSLCYSDGFHFSVESSNENFCTLESSSANGSYTAYNSTSLVGFSPNGSPMSQQDSQSYPSDLHHSPDNNGGSPISGSCVTDDVSDLRDKLKELETVMLGPDSDVIDSKTSLEMGTWRLVTDAISRGDLKQVLVFCAKALSDNDLLMAQWLMDELRRMVSVSGEPIQRLGAYMLEGLVARLASSGSSIYKALRCKEPKSADLLSYMHILYEVCPYLKFGYMSANGAIAEAMKDEDRVHIIDFQIAQGSQWITLIQAFAARPGGPPHIRITGIDDSTSAYARGGGLNIVGKRLSKLAEYFKVPFEFHAAAMSGCEVQREHLQVRPGETVAVNFAFMLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFFPRFLETLNYYTAMFESIDVTLPREHKERIDVEQQCLARDVVNIIACEGAERVERHELLGKWRSRFRMAGFTPYPLSSLVNATIKTLLENYCDRYRLEERDGALYLGWMNRDLVASCAWK >KJB42775 pep chromosome:Graimondii2_0_v6:7:14848139:14851727:1 gene:B456_007G167000 transcript:KJB42775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASQQHRSSVMASRLYHQIKQEVEPEPYWFPQLPPTDPSLCYSDGFHFSVESSNENFCTLESSSANGSYTAYNSTSLVGFSPNGSPMSQQDSQSYPSDLHHSPDNNGGSPISGSCVTDDVSDLRDKLKELETVMLGPDSDVIDSKTSLEMGTWRLVTDAISRGDLKQVLVFCAKALSDNDLLMAQWLMDELRRMVSVSGEPIQRLGAYMLEGLVARLASSGSSIYKALRCKEPKSADLLSYMHILYEVCPYLKFGYMSANGAIAEAMKDEDRVHIIDFQIAQGSQWITLIQAFAARPGGPPHIRITGIDDSTSAYARGGGLNIVGKRLSKLAEYFKVPFEFHAAAMSGCEVQREHLQVRPGETVAVNFAFMLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFFPRFLETLNYYTAMFESIDVTLPREHKERIDVEQQCLARDVVNIIACEGAERVERHELLGKWRSRFRMAGFTPYPLSSLVNATIKTLLENYCDRYRLEERDGALYLGWMNRDLVASCAWK >KJB45338 pep chromosome:Graimondii2_0_v6:7:51522861:51524749:-1 gene:B456_007G302000 transcript:KJB45338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDPQRAKRITIIAVCSCLLVAMVIVVAVGVNIDDDDSNEKNDKDNKNSRAYVSKKAIESICQPTDFKETCEEEIGAEAGNATDTNDLIQAAFKAAMKFLSRAAQNSTTLRNLQKDPRTKKALDICIQLMTYSINELQKSFNKIDRFELPMLHEILADIRIWLSATITNQETCIEGFKNTTTDAGEKMKKALNISMQLSRNGLAIVTELSKGFHELNVEGRQRRRRLLGKKEVTVIGGKPKSDLSSIFSRRLLQANQDTQNGVKADVVVAKDGSGGFNNIKDAINRIPLNATKPFVIYIKEGVYEENLEFGYRMINVMLIGDGKEKTRITGHVNNADGIPTFRTATVAVNGDNFFAKNIGFENSAGAAKLQAVALMVTADFSVFYNCSMDGYQDTLYVHSKRQFYRDCTVTGTIDFVFGDSASIFQNCTFLVRKPLDGQQNAVTAQGRSDVRQPTGIVLQNSRIMAASELVSLKNKYPTYLGRPWGNFSRTIIMETYIDDLVKPDGWAIWDGSWGLSTCFYAEFNNDGPGSNTTSRVKWPGIKNFSMENAVDFTPGSFFIGGDSWIRARNVPYTVGFFKNNVQQKAQ >KJB41906 pep chromosome:Graimondii2_0_v6:7:10136099:10136863:-1 gene:B456_007G127200 transcript:KJB41906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYTDFQTKHINYALNGKVMLCTGVLLFIVLFILLCFHNYAPFFFGNRRRRYLRRRRAQHLLSISAAITPPPSAAAASEGLDLSVIKTIPTLIYSDIAKASDFTPLTCAVCLSEFENDEKARVLPNCNHAFHVDCIDMWFYTHSNCPLCRAPVRVDVPVNPPKTLEQAAVAVPEPAGSEPPITVIGMSSLSATASSSSSSSSSLKTESCPMKRLELVGVGILVEVPPGENRLTGGPDAGSGNRILSLKRIWSA >KJB45561 pep chromosome:Graimondii2_0_v6:7:52587613:52588808:-1 gene:B456_007G312200 transcript:KJB45561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRVAAAVAEKPAVENNGSLKEPRYRGVRKRPWGRFAAEIRDPVKKTRVWLGTFDSAEDAARAYDAAARQLRGPKAKTNFPVNSSNIPAFGDDGRRLYPMGDFEDPEVNPQRPTRSSMSSTVESFSGPRPAQLPPQKSTDFAAVSTRKYRPRTPPLAPEDCHSDCDSSSSVVDDGVIASSSRRRMLPFDLNFPPLDDADDLHCTALCL >KJB39149 pep chromosome:Graimondii2_0_v6:7:1895:2742:1 gene:B456_007G000100 transcript:KJB39149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMILSLTIIRVFSPHVYINNHTKLPIQQIYRDTMGLPKTTNQNPFANHTQENSNNPNYFKENIPNTYRLMQAPSSMKKKTYMHTRIMTISTVYNHETQIDKNLCN >KJB40120 pep chromosome:Graimondii2_0_v6:7:3316848:3320589:-1 gene:B456_007G047600 transcript:KJB40120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLVNFLRACWRTSSDRGSDAAGRQDGLLWYKDIGQHLNGEFSMAVVQANNLLEDQSQIESGSLSTLESDPLGTFVGIYDGHGGPETSRYINDHLFQHLKRFATEQQSMSVDVIKKAFQATEEGFFSVVAKQWPMKPQIAAVGSCCLVGVVCNGTLYIANLGDSRAVLGRRVKATGEVLAIQLSSEHNVGIESVRQEMRSLHPDDSHIVVLKYNVWRVKGLIQISRSIGDVYLKKPEFNREPLYQRFRLRETFRKPILSSEPSISMHELQPYDQFIVFASDGLWEHLSNQDAVDIVQKHPRNGSARRLVKAALLEAAKKREMRYTDLKKIERGVRRHFHDDITVVVVFLDSNLVSKASSANSHSLSLRGGGVNLPAKTLAPCAPT >KJB40119 pep chromosome:Graimondii2_0_v6:7:3317014:3319837:-1 gene:B456_007G047600 transcript:KJB40119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLVNFLRACWRTSSDRGSDAAGRQDGLLWYKDIGQHLNGEFSMAVVQANNLLEDQSQIESGSLSTLESDPLGTFVGIYDGHGGPETSRYINDHLFQHLKRFATEQQSMSVDVIKKAFQATEEGFFSVVAKQWPMKPQIAAVGSCCLVGVVCNGTLYIANLGDSRAVLGRRVKATGEVLAIQLSSEHNVGIESVRQEMRSLHPDDSHIVVLKYNVWRVKGLIQISRSIGDVYLKKPEFNREPLYQRFRLRETFRKPILSSEPSISMHELQPYDQFIVFASDGLWEHLSNQDAVDIVQKHPRNGSARRLVKAALLEAAKKREMRYTDLKKIERGVRRHFHDDITVVVVFLDSNLVSKASSANSHSLSLRGGGVNLPAKTLAPCAPT >KJB39831 pep chromosome:Graimondii2_0_v6:7:2239509:2240274:-1 gene:B456_007G032700 transcript:KJB39831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGLDPELIQAFPTFYYSTVKEFRREKYGLECAICLGEFNDNDMLRLLTICCHVFHKECVDLWLESHKTCPFCRGELDEPRQSLDKSPMIVRSNSMHEIGASQSPLQDAVCIDIREDDDDKDTVGERENEAQTSSNTNQEHSRIQKFSRWNSTGHSIPRTREEDRYTLRLPEHIKKQFVRGHKIAKSCITFGEFTSPSDYRNQGSGKPSETLQGDIDKV >KJB45604 pep chromosome:Graimondii2_0_v6:7:52898654:52901698:-1 gene:B456_007G315100 transcript:KJB45604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQKSANLVATQDNKMSKENRHFADFNPNFWGDIFLSSLTEMVCFLYNIDAKAHLQYEALKQQVRRMLVISTDKPYQNLDIIDAIQRLGVAYHFEKEIEDALHIIYHHHCNNAQIDDDLYTASVRFRLLREHGFNVCCETFNKFIDEKGKFKESLISDVKGMLELYEAAHFQLHGETILKEALVFTMFHLKLAETTMDYPLSAQIANALKRPLRKSLPRLVARSYIPIYEEYATHDKNLMKLVKLDFNMYNFEPHYTIARIFMTKVISLTSILDDIYDAYGTYEQLEIFTEAIQRWDINCIDQLPDYMKVWYSEVLNVYEEMEDLMSKEGKSYRVQFAIKAMKQQSQVYHAEAKWLHENYIPTMEEYMPIALVSCGYRLLTIASCVGMDDSITEETFIWAFNDPKICRASNTICRLMSDIVSHKFEQERGHVSSAVECYMKQHGVSMQEAYNEFYKQINNAWKDINEECLKPTAAAPRSALNRILNLARVMDLFHKGEDAYTHVGDAAKTSINALLIDSIPI >KJB45573 pep chromosome:Graimondii2_0_v6:7:52829687:52831833:-1 gene:B456_007G314500 transcript:KJB45573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQKIETGHQDTVHDVAMDFYGKRIATASSDSTIKIVGVSNNTHQHLATLTGHQGPVWQVAWAHPKFGSLLASCCYDGRVIIWKEGNQNEWAQAHVFDDHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARADGGWDVSRIDQAHPVGVTSVSWAPSTAPGALVGSGLLDPVQKLCSGGCDNTVKVWKLYNGIWKMDCFPALQMHTDWVRDVAWAPNLGLPKSTIASASQDGKVIIWTVAKEGDQWEGKVLHDFGTPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGEWQQVTVVEP >KJB45574 pep chromosome:Graimondii2_0_v6:7:52829687:52831966:-1 gene:B456_007G314500 transcript:KJB45574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQKIETGHQDTVHDVAMDFYGKRIATASSDSTIKIVGVSNNTHQHLATLTGHQGPVWQVAWAHPKFGSLLASCCYDGRVIIWKEGNQNEWAQAHVFDDHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARADGGWDVSRIDQAHPVGVTSVSWAPSTAPGALVGSGLLDPVQKLCSGGCDNTVKVWKLYNGIWKMDCFPALQMHTDWVRDVAWAPNLGLPKSTIASASQDGKVIIWTVAKEGDQWEGKVLHDFGTPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGEWQQVTVVEP >KJB42930 pep chromosome:Graimondii2_0_v6:7:16136349:16139106:1 gene:B456_007G174500 transcript:KJB42930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSHFFQVSKKAFGFVSRRGSQPNFTLPEELCIRQFSLAEIKAATANFDEGLILGISNFGSVYKGVIDNGTFAVAIRRMKFSLSAFRTEVVFLSQLNHLNVESLIGFCNEKGETILVYEYLSNESLFDCLHGNGISCNPIPWEKRLKICIGAARGLHYLHTGAKYIVLHRNVTSNTILLDHELVPKLSGFFLSRLGPHSMSKASIKKESLDVGATFGYLDLENLSGKNDVYAFGVVLLEVICGKISLPACANWCIENGTFYHNIDPYLKGRIAPECFNNYVEIAMSCVSYSAEERPSMGEVEATLQDALELQKKADSEMKSIIPHSGVMYEDELFCAP >KJB40752 pep chromosome:Graimondii2_0_v6:7:5369154:5373155:-1 gene:B456_007G076000 transcript:KJB40752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLVNFYWYQLNFLVVKRYSWVSSTALLFTCIFPCFGQKYGSLDSIEPVKDILEKDQKGFDTSLVAAIDRTMKKHTDNLMHMLEGVGDRLTQLESRTSNLETSVDDLKMSVGNNHGSIDGKMRQLENILKEVQTEVHVVKEKQESVEALLQHAKLQETKMDQPSETHNTAHIGSMQQAASAPFQSHQQLPLAASFPQSLSSVPPPPPPTVPPPALPQQNLPPPVQHPDQFRQSQVPSVPQRDAYYTPPAQTQEIPSQQFSMPPTQQVPSVPQRDAYYPPPGQTQEAPSQHFPMPPTQQQHPPPAAPTHQPYQPAPPLQYSQPPQPLQGQPSMGHHPDEAAYVPSQTYPPNLRQPPSQQPSGPPSSQQYFGTPPQMHEPPSRRPGPGFSTGYVPQSGPSEQYAYGGSPPQYGSASPMKLQQLPSSTGSGYPQLPTARVLPHALPTVSGGGGESAPSGPGNRVPIDDVVDKVTGMGFPRDHVRATVRKLTENGQSVDLNVVLDKLMNDSDIQPPRAWFGR >KJB40753 pep chromosome:Graimondii2_0_v6:7:5370518:5375671:-1 gene:B456_007G076000 transcript:KJB40753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTSSSSSPPQNSSKDFVNMINHHQNEDIRNQGSGFSSGNNGINNKEEILPSYDFQPLRPVSASLDALGVNNNSRSCSSIDAKIKYGSLDSIEPVKDILEKDQKGFDTSLVAAIDRTMKKHTDNLMHMLEGVGDRLTQLESRTSNLETSVDDLKMSVGNNHGSIDGKMRQLENILKEVQTEVHVVKEKQESVEALLQHAKLQETKMDQPSETHNTAHIGSMQQAASAPFQSHQQLPLAASFPQSLSSVPPPPPPTVPPPALPQQNLPPPVQHPDQFRQSQVPSVPQRDAYYTPPAQTQEIPSQQFSMPPTQQVPSVPQRDAYYPPPGQTQEAPSQHFPMPPTQQQHPPPAAPTHQPYQPAPPLQYSQPPQPLQGQPSMGHHPDEAAYVPSQTYPPNLRQPPSQQPSGPPSSQQYFGTPPQMHEPPSRRPGPGFSTGYVPQSGPSEQYAYGGSPPQYGSASPMKLQQLPSSTGSGYPQLPTARVLPHALPTVSGGGGESAPSGPGNRVPIDDVVDKVTGMGFPRDHVRATVRKLTENGQSVDLNVVLDKLMNDSDIQPPRAWFGR >KJB40754 pep chromosome:Graimondii2_0_v6:7:5370518:5375832:-1 gene:B456_007G076000 transcript:KJB40754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTSSSSSPPQNSSKDFVNMINHHQNEDIRNQGSGFSSGNNGINNKEEILPSYDFQPLRPVSASLDALGVNNNSRSCSSIDAKIKKYGSLDSIEPVKDILEKDQKGFDTSLVAAIDRTMKKHTDNLMHMLEGVGDRLTQLESRTSNLETSVDDLKMSVGNNHGSIDGKMRQLENILKEVQTEVHVVKEKQESVEALLQHAKLQETKMDQPSETHNTAHIGSMQQAASAPFQSHQQLPLAASFPQSLSSVPPPPPPTVPPPALPQQNLPPPVQHPDQFRQSQVPSVPQRDAYYTPPAQTQEIPSQQFSMPPTQQVPSVPQRDAYYPPPGQTQEAPSQHFPMPPTQQQHPPPAAPTHQPYQPAPPLQYSQPPQPLQGQPSMGHHPDEAAYVPSQTYPPNLRQPPSQQPSGPPSSQQYFGTPPQMHEPPSRRPGPGFSTGYVPQSGPSEQYAYGGSPPQYGSASPMKLQQLPSSTGSGYPQLPTARVLPHALPTVSGGGGESAPSGPGNRVPIDDVVDKVTGMGFPRDHVRATVRKLTENGQSVDLNVVLDKLMNDSDIQPPRAWFGR >KJB40384 pep chromosome:Graimondii2_0_v6:7:4316820:4318989:-1 gene:B456_007G061300 transcript:KJB40384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSETLTLVLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLYRSIVQSSCYPLAAYLAMHHNRAHVIALGAFLWAAATFLVAISTTFLQVALSRGLNGIGLAIVIPAIQSLVADSTDDSNRGMAFGWLQLTGNIGSILGGLCSVLIASKTIIGIPGWRFAFHLVGIISVVVGISVCFFTNDPRYSGPDNVAKDHAACKSFSLRVKDMINEAKSVMRIPTFQVIVAQGVSGSFAGSALAFAPMWLELIGFSHETTALIMTLFVISGSIGGLFGGWMGDILAKRFPNAGRIILSQISSGSAIPIAAVLMLALPDNPSTAVAHGLVFSILGLWMSWNAPATNNPIFAEIVPEKSRTSIYALDNSFESILASFAPPIVGILAQLVFGYKPIPEGSSDSIEIETDRENAASLAKALYTAIGIPMAICCFIYSFLYCTYPRNRETARMQALIESELQDREENDSISSCGKPGFHVVNSKKLDDEERSESYIEFGGEKRLDFDNNDDKKSLLHHDRSSSPA >KJB40383 pep chromosome:Graimondii2_0_v6:7:4316633:4318431:-1 gene:B456_007G061300 transcript:KJB40383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGWLQLTGNIGSILGGLCSVLIASKTIIGIPGWRFAFHLVGIISVVVGISVCFFTNDPRYSGPDNVAKDHAACKSFSLRVKDMINEAKSVMRIPTFQVIVAQGVSGSFAGSALAFAPMWLELIGFSHETTALIMTLFVISGSIGGLFGGWMGDILAKRFPNAGRIILSQISSGSAIPIAAVLMLALPDNPSTAVAHGLVFSILGLWMSWNAPATNNPIFAEIVPEKSRTSIYALDNSFESILASFAPPIVGILAQLVFGYKPIPEGSSDSIEIETDRENAASLAKALYTAIGIPMAICCFIYSFLYCTYPRNRETARMQALIESELQDREENDSISSCGKPGFHVVNSKKLDDEERSESYIEFGGEKRLDFDNNDDKKSLLHHDRSSSPA >KJB42376 pep chromosome:Graimondii2_0_v6:7:12686785:12692100:1 gene:B456_007G149800 transcript:KJB42376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSYPGPVQVGSYFVGQYYKVLGQQPDLLHQFYSDASTMIRVDGDSSESASSMLQIHTLAMSLNFTAIEIETINSLDSWNGGVLVMVSGSVKIKDFNGRRKFVQTFFLAPQDKGYFVLNDIFQFIDDETIYQHPASTLPEDKLDSKLNVSSPVAEPQAVSDYALEEDFSEYAHIEDNPVDNYSIPEQPEQEAVEDEDPVEEAPTEEETLVSHHSVVNTVQEPPSIPLEEPVGEPPRRTYASILSVPKQQSASSAQVQPSYNKIPSSTSDWDQTPEYTNQQSRPAWSDVAESAAEIAVEEALVSEEGEYTGEYKSVYVRNLPSTVTATEIEQEFRNFGRIKPDGVFIRNRKDVVGVCYAFVEFEDILAVHNAIKASPIQFAGRQVYIEERRPNSTGTRGGRRGRGRGSYQPDASRGRIGSRSLGRGNNQESGDYRSRSNGFYQRGSR >KJB42375 pep chromosome:Graimondii2_0_v6:7:12686633:12692157:1 gene:B456_007G149800 transcript:KJB42375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSYPGPVQVGSYFVGQYYKVLGQQPDLLHQFYSDASTMIRVDGDSSESASSMLQIHTLAMSLNFTAIEIETINSLDSWNGGVLVMVSGSVKIKDFNGRRKFVQTFFLAPQDKGYFVLNDIFQFIDDETIYQHPASTLPEDKLDSKLNVSSPVAEPQVSDYALEEDFSEYAHIEDNPVDNYSIPEQPEQEAVEDEDPVEEAPTEEETLVSHHSVVNTVQEPPSIPLEEPVGEPPRRTYASILSVPKQQSASSAQVQPSYNKIPSSTSDWDQTPEYTNQQSRPAWSDVAESAAEIAVEEALVSEEGEYTGEYKSVYVRNLPSTVTATEIEQEFRNFGRIKPDGVFIRNRKDVVGVCYAFVEFEDILAVHNAIKASPIQFAGRQVYIEERRPNSTGTRGGRRGRGRGSYQPDASRGRIGSRSLGRGNNQESGDYRSRSNGFYQRGSR >KJB42469 pep chromosome:Graimondii2_0_v6:7:13204374:13205706:1 gene:B456_007G154600 transcript:KJB42469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGVCAKRVVVDARHHMLGRLASIVAKELLNGQKLVVVRCEEICMSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKIFWRTVRGMIPHKTKRGAAALARLKAYEGIPSPYDKVKRMVIPDALKVLRLLKGHKYCLLGRLSSEVGWNHYDTIKELEKKRKERAQVAYERKKELNKLRVKAEKAAEEKLGSQLDILAPVKY >KJB40296 pep chromosome:Graimondii2_0_v6:7:3949918:3952344:1 gene:B456_007G055900 transcript:KJB40296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMAVESTLPTPVRTPASDKDAKVLQFIEEMTKNVDSVQERVLREILGRNAETEYLERFSLNGATDRETFKSRIPVVTYEDIQPEIQRIANGDKSPIFSVHPISEFLTSSGTSAGERKLMPTIHEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKAETKTPGGLLARPVLTSYYNSDHFKTRPYDPFNVYTSPNEAILCVDSFQSMYAQMLCGLIMRDEVLRVGAVFASGLLRAIHFLQNNWKQLAHDIATGTLNPKITDAPVRECMRKILKPNPELAQLVTMECYEENWECIIKRIWPRTKYLDVIVTGAMAQYIPTLEYYSGGLPMACTMYASSECYFGLNLNPMCKPSEVTYTIMPNMAYFEFLPHGSSTSCLVDLADVEVGKEYELILTTYAGLCRYRVGDILRVTGFHNAAPQFRFIRRKNVLLSIESDKTDEAELQNAIENASLLLKEFNTSVVEYTSYADTKQIPGHYVIYWELLVKDSAIAPTDDVLNRCCLQMEESLNSVYRQSRVADNSIGPLEIRVVENGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLNSRVVSKHFSPDLPHWTPERRR >KJB45733 pep chromosome:Graimondii2_0_v6:7:54232262:54234361:1 gene:B456_007G324000 transcript:KJB45733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIVKTLEKKEDDHRIEDEIVANIVKIIIPGGFEDILWWDFILDSLNDMRLPKSLIWNEFKPFQVLEKTTPFTFSFCHCHRAFKISMKQVKVTTGSPSNCLETGHKFRICFSPMTFFSSIKQT >KJB44316 pep chromosome:Graimondii2_0_v6:7:36975668:36977402:-1 gene:B456_007G245600 transcript:KJB44316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSATINSFKSSNLISWKTTGKLQQTLTGCIELSGKTRQSGKVSKVKIWPGFTSQGRYFEFHSNLIPASIDFVRESLLCTSLCKDGYKIRTVEHLLSALEAKGIDNCRIQIQSLDSEDTEVEGLWDVENDNDGQQEGCVLRVISQF >KJB44618 pep chromosome:Graimondii2_0_v6:7:43064997:43065760:-1 gene:B456_007G261400 transcript:KJB44618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSNYVPLFKTKQVRGRIFFRCIAASIFLGICFIVMYRVMFFPVGGKAERWTWIGLFLSELWFCIYWFFTTVSRWNSVYRLPYIDRLSQRFGKELPGIDIFVCTADPLMEPPSMVVNTVLSVMAYDYPPEKLSIYLSDDGGSDLTFYAMLEAANFSKTWLPFCKKLEVEPTSPEAYFRTASEPVNAEWLSVKVNLILISCTHIV >KJB45869 pep chromosome:Graimondii2_0_v6:7:55795269:55796396:1 gene:B456_007G334500 transcript:KJB45869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHAIAEPQTPHPEESTTTTTGQPPIFSPDNRWKPWLLIALNILFLLAGQSAAVLLTKFYFNNGGKSKWMASLMQTAAIDNFMYTVGVKPLSISTYSLLCASQLVFNAIFLVVINCEKLGILTLNLIIFITVSASMVAIHPDSSETKRDEKNPVRKNEHSIGFISIVGASAGYALLLSLTQFSFDKILKKDTFSVVFEMQIYTSLVSSFVCLLGLFLSLEFMDLKSEMEKFDEGKVIYVVSLIGIALAWQICTVGVVGLIYLVSSLFSNVVSMLSLPFVPVIGVLLYKEKMDASKVLAMLFTLWGFASYIYQQYLDDKKSKKKESQEIEASKSEV >KJB43476 pep chromosome:Graimondii2_0_v6:7:20624323:20629094:1 gene:B456_007G202400 transcript:KJB43476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRLSSCVALAATAAAVAASTLQNPAYADSPFRFNPFSSSSSSIPPGSQAEQSSNAKEESEEPKGAGFDPEALERGAKALREINNSPNAKQVFNLMREQERSRLAELAAEKAHHEAIQSQADIERQRKLAEEQRNLMQQQAQAKAQMLRYEDELARKRMQTDHEAQRKHNAELVKMQEESSIRKEQARRATEEQIQAQQRQTEKERAEIERETIRVKAMAEAEGRAHEAKLTEDHNRRMLIERVNGEREKWLAAINTTFSHIEGGFRTLLTDRNKLVMTVGGVTALAAGVYTTREGARVTWGYINRILGQPSLIRESSIAKFPWSGMMSQARNRILNYSTAATVESKQSLQNVVLHPSLKRRIEHLARATANTKTHQAPFRNMLFYGPPGTGKTLVAREIAQKSGLDYAMMTGGDVAPLGAQAVTKIHEIFDWAKKSKKGLLLFIDEADAFLCERNSIHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAITDRIDDVIEFPLPGEEERFQLLKLYLNKYLGGESDNTSKWGALLNKKPQKITIEDLPEDVIREAAKMTEGFSGREIAKLVASIQAAVYGRSDCRLDSQLFKEIVNYKVTEHHQRIRLAAEGGVPS >KJB43066 pep chromosome:Graimondii2_0_v6:7:17367380:17367877:-1 gene:B456_007G182100 transcript:KJB43066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRQRGNRRSSYYRQRITHPKPEPLPTWEKKFCIEVGAMPWERFVEAKKNLYENDKVFEWDDSAGLTAFQEAKQRFWEIYHGFPCENKLPSNVADLYIDNIDWNSKIDPELFSEIKSLTDNENEEKDNTKEIDWFSIPLEEIQATGWDEYEEPSPRLPSIVGSP >KJB45834 pep chromosome:Graimondii2_0_v6:7:55461752:55464126:1 gene:B456_007G331800 transcript:KJB45834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNACWRELEGRAVSTKCGNEDASKILSNDAACPICDEVLSKSLMKPVDINPNDEWINMAMAGISPQILMKSAYRSVMFYVGQKDLEMQYKMNKIVAQCRQKCEAMQEKFSEKLEQVHTAYQKMAKRCQMMEQENQSLSKDKLELQEKFSEKSRQKRKLDEMYDQLRSEYESLKRSAIQPSKNFYARNEPDLFSNPAANVVDSREPIRKDWSIFSPGTPGPREYIWPVKQTSSNSSPFDISSDSPSKPAAIPGDVGNRRATGHPGGFEPTAAANPSTILRNFIISPIKRPQPSRNRTNLFTL >KJB44681 pep chromosome:Graimondii2_0_v6:7:44344770:44345085:-1 gene:B456_007G266300 transcript:KJB44681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFPSIVLLSSIENPDSRAGLYQVSDVIRRGQDSNLHSTDYKPDELTITLPRFFPFTFFHYSHLSSWLWLLGRDRTSA >KJB45376 pep chromosome:Graimondii2_0_v6:7:51682199:51688154:1 gene:B456_007G303300 transcript:KJB45376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFAKPENALKRAEAVNQKPLERIMFKYVELCVDMRKGRFAKDGLVQYRIVCQQVNVSSLEEVIKHFHLSTEKAEQARTQAQALEEALDKAKDRSDRELVTPWFKFLWEAYRTVLEILRNNSKMEVLYATTAHWAFQFCKQYKRTTEFRRLCEIIKNHLVNLNKYKDQRDRPDLSAPESLQLYLHTRFEQLKIATELGLWQEAFHSVEDIHGLMSIVKKTRKASLRVVYYAKLTEIFWISGSHLYHGYAWLKLFTLQKSFNKNLSQKDLQLIASLVILAALSVLPYDQIHGASHLELENEKARNIRMANLIGFNLETKLENREVFSRSLLLTELDSKGVLNCATQEVKDLYHLLEHDFLPLDSASKIQPLLPKISKLGGKLASASSVPEVQLSKYVPALEKLSTLRLLKQMSHVYQTMKIDSMSQIIPFFELFKVEKISIDAVKHKFIAMKVDHVKGVVLFGNMRLESDKLHDHLTLFAESLNKARAMIYPSTEKASKLSEVLPGLEEIVDKEQKILLARKSIIEKRKEEQERQLLEMEREEESKRQMLQKKTEEAEKKRLAAERELEEAQVLLQETEKHLKRGKRKPILDGEKLTKQTLLEQAMSEQLKERQEQEKRLQKVAKTMDHLERAKREEAAPLIEAAFQRRLVEEKVLHEREQQLEVELSRQHHDGDLKEKNRLARMLENKMIFQERVISRRQAEEALLGRSTEGQPRPSEPLAGSRITEPGNAAPATGKYVPRFGQQRLESSGPPPSSEPDRRAASSRDRWSSGSRAPVQDSDGFGSTYQMRILQRVGPPNVKFLHLPICFLGFALHLIIWI >KJB43071 pep chromosome:Graimondii2_0_v6:7:17376808:17379411:-1 gene:B456_007G182300 transcript:KJB43071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQKQEEEDNRRETAIASTGSLQPNFKPVGVTPQQLSKFQELHRRRLQIKAKSKIHKKPKDQAKRFCAKYMNSACSQESDSNTKVEDESVPNSKSHSEDDNPFTLQDNDVVQLATKKRQKLHWGLDTKERWERKANM >KJB40585 pep chromosome:Graimondii2_0_v6:7:4946691:4948298:-1 gene:B456_007G070100 transcript:KJB40585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g42920, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42920) UniProtKB/Swiss-Prot;Acc:Q9SJG6] MLQSFSCLKPFPNSITKFISDQPCLSLLETKCTTMNDLKKIHAQLIKTGLFNDIIAASRVLAFSASPAGDINYACSVFTRIQNPNLFAWNVIIRGFSRSSNPQIAISLFIDMLVYSSVEPGRLTYPSVFKAYAQLGLASDGRQLHGRVIKQGLDCDQFIRNTMVYMYANCGLLSEAWRMFDEEEMELDVVAWNSMVMGLAKCGEIDESRRLFDKMATRNTVSWNSMISGYVRNGKFLEALDLFQEMQGENVRPSEFTMVSLLNACACLGAITQGKWVHDYVLSQNFELNVILVTAIIDMYCKCGDVEKALQVFRTYPKGGLSCWNAMILGLATNGCEQEAIRLFSKLESSSLEPDYVSFIGVLMACNHGGMVDRARQYFSLMTEKYKIKPSIKHYSCMVDVLGNAGFLEEAEQLITSMPINGDAIIWGSLLSACRKHGNVEIAKRAVKHVIELDPDESSGYVLMSNVYAANKQFEEAIKQRLLVKEKQLEKEPGCSLIEVNGEVHEFVSGGRLHPQAKEIYPVLNELKLILQYKE >KJB45572 pep chromosome:Graimondii2_0_v6:7:52692434:52695471:-1 gene:B456_007G313200 transcript:KJB45572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDTGNFVIVSKNSTNIWESFNYPTHTILPSQELGVDGSLVSAQTNYGKGKYQLRFKTNGSLVLNQIDMFTGKPYEEYFSFPSGNGSRLAFDNDTGDILIWNSNGTLVDNVTKDVEPRPDLYYYRATLDLYGVFTLYSYPRNPSGGASWSVSKAWPPNICRAFVGSALSRGQNRYGIGPCGCNGICELIDARPNCSCLPGFSFLDDNNHYAGCKQDYAGDPGDCSPDGSTIGEDRFEFQNISFVNFPLSDYGIFYPATELECQQSCLRDCSCAVAIYPTTKNNGNATCFKKQLPLSNGHREDGLDSTVLVKVLKSDASKKQPDASKKKPATPSNQAIVILSVLLGTFGVLAAISLIFFCLFRRRVHDLNGVRSPSRRDLETNLQFFTYKDLEHATNRFSEELGRGAFGTVYKGELPSIYGNCVAVKKLDKFAQDGEREFTTEVKVIGQTHHKNLVRLIGYCDEAEHRLLVYEFMQNGSLSSFLFGVPRPSWQQRLQIASMIAKGLAYLHEECSKQIIHCDIKPQNILLDESFTAKISDFGLAKLLMTDKTRTKTGIRGTKGYVAPEWFRNTPVTVKVDVYSFGVMLLEIICCRRCVEVEMEEAAILTDWAFQCYSEGMIGKLVENDEEARNDVETLEMLLKVAIWCVQEDPLLRPSMRIVAMMLEGVVQVPNPPCLFPLNSMSMSVSTKMI >KJB42198 pep chromosome:Graimondii2_0_v6:7:11788377:11790162:1 gene:B456_007G141700 transcript:KJB42198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAWLLRSQSFLPCFRQRRWLECLRCLGFTPLRLGLGLLGLVLTVLLLWALSLSVMYSLMFYRMREEEFWTIPHIMKMELIQIKLMLNLNSNK >KJB43533 pep chromosome:Graimondii2_0_v6:7:21116626:21116850:-1 gene:B456_007G204800 transcript:KJB43533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVDQENEEFQDCEFRAEGHEGTPHEALILALGYLGVRDLFVIENVCTSLRSVVQNDPLLWRDIHINPPIIQS >KJB39455 pep chromosome:Graimondii2_0_v6:7:1119128:1122702:1 gene:B456_007G014300 transcript:KJB39455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase HPGT1 [Source:Projected from Arabidopsis thaliana (AT5G53340) UniProtKB/Swiss-Prot;Acc:Q94F27] MMQSKGSNSKVSNMVFRTRISSLLISMFAIFASFYVAGRLWQDAQNRAYLIKELDRVTGLGQSAISVDDTLKIIACREQHKTLSALEMDLAIARQEGFTSKRSSDTSGAVSKRKPLVVIGVLTRFGRKNNRDAIRKAWMGSGETLKKMEKEKGVVSRFVIGRSADREDNLDRSIDDENRQTNDFIILDQVEAPGELPKKVKMFFALAADKWDADFYAKVNDDVYVNIDALGATLSSHLDKPRVYIGCMKSGLVFSEPSHKWYEPDWWKFGDKKSYFRHASGEMYVISGALAKFISINRSLLRTYAHDDVSAGSWFIGLDVKHVDEGKFCCSSWSTGAICSGV >KJB39456 pep chromosome:Graimondii2_0_v6:7:1119128:1122667:1 gene:B456_007G014300 transcript:KJB39456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase HPGT1 [Source:Projected from Arabidopsis thaliana (AT5G53340) UniProtKB/Swiss-Prot;Acc:Q94F27] MDLAIARQEGFTSKRSSDTSGAVSKRKPLVVIGVLTRFGRKNNRDAIRKAWMGSGETLKKMEKEKGVVSRFVIGRSADREDNLDRSIDDENRQTNDFIILDQVEAPGELPKKVKMFFALAADKWDADFYAKVNDDVYVNIDALGATLSSHLDKPRVYIGCMKSGLVFSEPSHKWYEPDWWKFGDKKSYFRHASGEMYVISGALAKFISINRSLLRTYAHDDVSAGSWFIGLDVKHVDEGKFCCSSWSTGAICSGV >KJB39454 pep chromosome:Graimondii2_0_v6:7:1119086:1122788:1 gene:B456_007G014300 transcript:KJB39454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase HPGT1 [Source:Projected from Arabidopsis thaliana (AT5G53340) UniProtKB/Swiss-Prot;Acc:Q94F27] MMQSKGSNSKVSNMVFRTRISSLLISMFAIFASFYVAGRLWQDAQNRAYLIKELDRVTGLGQSAISVDDTLKIIACREQHKTLSALEMDLAIARQEGFTSKRSSDTSGAVSKRKPLVVIGVLTRFGRKNNRDAIRKAWMGSGETLKKMEKEKGVVSRFVIGRSADREDNLDRSIDDENRQTNDFIILDQVEAPGELPKKVKMFFALAADKWDADFYAKVNDDVYVNIDALGATLSSHLDKPRVYIGCMKSGLVFSEPSHKWYEPDWWKFGDKKSYFRHASGEMYVISGALAKFISINRSLLRTYAHDDVSAGSWFIGLDVKHVDEGKFCCSSWSTGAICSGV >KJB43607 pep chromosome:Graimondii2_0_v6:7:22317404:22318835:-1 gene:B456_007G209600 transcript:KJB43607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylesterase 10 [Source:Projected from Arabidopsis thaliana (AT3G50440) UniProtKB/Swiss-Prot;Acc:Q8S9K8] MERKQHFVLIHGSCHGAWCWYKVVNLLKTAGHQVTALDLGASGVDPKRLEEVTSFSDYLQPLMDFFASLPDEQDRKVILVGHSYAGLCISLAMERFPKKISVAVFIAAYMPHHSSPPGTLIQEYFKRTKVEFLMDCEFTFGNGLDKPPTSALFGPNFMKAIAYQHCPLEDLELGKMLVRPSGLFVEDLVSGNLLTEEKFGSVDRVFIKLEGDKVMMEEFQQLMIQNSPKDVKVISEAGHMVMLSKPHELYRLLQDIGDNFS >KJB43725 pep chromosome:Graimondii2_0_v6:7:23333218:23334713:1 gene:B456_007G213400 transcript:KJB43725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDRRMSGLNPAHVAGLRRLSARASAPSTPTSLPLRNGLQSLSSLADKVITHLRDSGFQVQPGLLDAEFARAEAEFGFVFPPDLRAILSAGLPVGPGFPDWRSVGARLHLRASFDLPIAAISFQIARNTLWSKSWGPRPSDPEKALRVARNALKRAPLLIPIFNHCYIPCNPSLAGNPIFFIDETRVFCCGFDLSDFFERESLFRGSEPDPGSLKKQRSVSEKSAGSSTHFSRRSLDAGLVTGTRTPRWVEFWSDAAVDRRRRFSSSSSSNSSPERYLDMPRTKIPKWVDDYIDQIGCVLREGGWGECDVAEIRHVSASGFFEEEMVLLDNQAVLDALLLKVDRFSDSLRKAGWSSEEVSDALGFDYRLEKEKKPAKKLSPQLVEKIGKLAESVTRS >KJB41287 pep chromosome:Graimondii2_0_v6:7:7208213:7208899:-1 gene:B456_007G097500 transcript:KJB41287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLWDLINPGTDSSIERKDSLAVLTAMISGWSFLLSTIDGWRLSHKNWQGAITYFSNILDSNDEALCAAACEALALVVESNCLEKFSSKTKDSNKEFKDNIIKQLRSRLSETGNERISSQDPRTGFNSASAALDFLK >KJB45408 pep chromosome:Graimondii2_0_v6:7:51829027:51829759:-1 gene:B456_007G304500 transcript:KJB45408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKERKILVAVDEGLESMYALSWSLHNLISQTSNDTIILIYAKPPRTVYTSPDGYLFSPDMLASIDKCRNDLASSIIEKAKKMCREQGDNEVKVEVIIETGDPRDVICQAADKIHADVLVMGSHGYGLIKR >KJB45407 pep chromosome:Graimondii2_0_v6:7:51828335:51829861:-1 gene:B456_007G304500 transcript:KJB45407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKERKILVAVDEGLESMYALSWSLHNLISQTSNDTIILIYAKPPRTVYTSPDGYLFSPDMLASIDKCRNDLASSIIEKAKKMCREQGDNEVKVEVIIETGDPRDVICQAADKIHADVLVMGSHGYGLIKRAFLGSVSNHCAQNVKCPVLIVKKPKSSSSATGTK >KJB41415 pep chromosome:Graimondii2_0_v6:7:7669250:7671954:-1 gene:B456_007G103100 transcript:KJB41415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQLHDRSSILAQKTSNSYDLINHSSVWLEIRLFYVRIAPCVVDNVPDHLTLCHLRREIGVSLEINGSRVPASDSAVLTLRCDRLNRESSEVTYLSTDSVRVTGGFEFEAYEDEKKVLLCGSLERMEGEWSMDCYLAAAAKEPGNSAFFKPKMGVSAPSIEVYIAGCCAGTPVILTKTILGSPKRKGASRLKWMLDAIPENEEFGKGNDKGGNGFIRHRKLQVTDAEVEDYDSDGKIGLSHYAEEMYAGEDGQLSWFNAGVRVGVGIGLGVCLGVGVGVGLLMRSYQATTRNIRRRFF >KJB45015 pep chromosome:Graimondii2_0_v6:7:48799922:48801033:-1 gene:B456_007G285100 transcript:KJB45015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPACFSQPSNLSSTSQVPQNLITCIYHTQLWDSPTYLTLTWSKTHFSHSLTVYSADSFSITISLYPSTFSFFRNRPGSKSVYLTHHHRQRIKLYWDFTRADFAENSAEPESSFYIGISCNGKLEFILGDLMDELAKRCSGLSMARQVLLEPKLLSRREHVFGHKSYVSRANFLGSKHEIGIDCNGGVLKVKVDGQTSLVIKRLAWKFRGNERIYVNGIEVEFFWDVFNWVNGENNNNNNTNGHGVFIFQVGDGGVWPEMVGPEKRLMRKSLSSLAAGGSSSAPMASASLPPSPSCSSVLQWAEESNDGGRSSCSSSTRSCGSNGGFSLLLYAWKKD >KJB46639 pep chromosome:Graimondii2_0_v6:7:60962672:60965404:1 gene:B456_007G380000 transcript:KJB46639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLAFRDIAPQAPTHILIIPKSKDGLTGLSKMHIAMQTKNERFNQSEFRVW >KJB41601 pep chromosome:Graimondii2_0_v6:7:8609421:8613389:1 gene:B456_007G111700 transcript:KJB41601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLFRSKSCGLVGITEFNHAPPSPFFHQPETNNYETSDEEEEGYDGNPIATTPFISPKSRFGSSQRRSGHSTSKDNNQYPFLDILAALLRKSLVTCSVDTDDVSSMDISLPTEVRHVSHVTFDRFNGFLGLPTELQHDVPRRVPSASASVFGVSAESMQCSYDDRGNSVPTILLMMQKRLYAGGGLKAEGIFRINAENSQEEYVRDKLNKGVVPRGIDVHCLAGLIKAWLRELPSGVLDSLTPEQVMHCNTEDDCNELVKLLPPTEAALLDWAINLMADVVQHEEYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLSEREESASKDRLLSSYSPTTHSATADGGISNEQVLGSSPSKEASAAKLLRAATLSRLECDPEEKLWSSWSGDGEEEFESISGNSTPNASEMATVEHERGGGGGHDSKEWQSLRKGVRQLCRHPVFQLSKSTKKSRNLGIVNTRGGG >KJB41464 pep chromosome:Graimondii2_0_v6:7:7935277:7936170:1 gene:B456_007G105600 transcript:KJB41464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPGSPLRVKYREQRTVTKKLVKPSSEWFCMESKAKAPKIVRICVTDEDATDSSSDECEGETYHTVKRHVNEIRIQDCSTVNFTKPTNHQIINKSNHVDIRSKKQQQQQCLSMGVKYRGVRQRPWGRWAAEIRDPTSRTRVWLGTYDTAEEAALVYDRAAIQIKGPDAVTNFAQPPLRPDIDLDIIPGYDCGQESQSLCSPTFVLRFQTNEEAELQIQSKDDYFEHGWKLEEDLPGQPTSLSDEYLLTDTTVALCDYFNSGNPEPIFFDEMRLAEENISVKLDIDFGACTWEVDDYY >KJB40494 pep chromosome:Graimondii2_0_v6:7:4704837:4708632:1 gene:B456_007G066800 transcript:KJB40494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGQVNVFFLLLFLSLSSASDQKWRKAMMSAYNGSSSSSSMMMNRVGSSILFPIHGNVYPTGYYNVTINIGHPPKPYFLDLDTGSDLTWLQCNAPCVHCIEAPHPLYQPSNDLVACRHPLCAALHPPDYKCESPDQCDYEVEYADGGSSLGVLVRDVFSLNYTNGVRLSPRLALGCGYDQIPGTSYHPLDGILGLGRGKSSIVSQLQSQGLVRNVVGHCLSGRGGGFLFFGDGLYDSSHVTWTSMSQEFTKYYSPGSAELHFGGKATGIKNLIVIFDSGSSYTYLNSQAYQALTLLLKKELSGRSLKEAPEDQTLPLCWKGRKPFRSVHDAKKYFKTSLALAFANSGRRKTQFELHPEAYLIISNKGNVCLGILNGTQVGLQNLNVIGDISMQDRMVVYDNEKQVIGWSPANCDHLPRFKSAYYM >KJB42714 pep chromosome:Graimondii2_0_v6:7:14523122:14524171:1 gene:B456_007G164900 transcript:KJB42714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLASKLNFVRDRLTECFFWTVRVVSQPQFRHCRKVLTKVASFVTTIDDIYDVHGTLDELESFTDAVQRWDLGTEENLPDYMELCFLALYNSINEMAYETLRDHGQNILPYLRKVVRIANWANSKHIPTFQEYLENAWLSVSGHLCLVHTYFLQRTNITIEALHSLEHYHDVIRCPSIIFRLCNDLGTAKDELERGESVNAITCYMNETGCSEAMACQYINDLIEDYWKKLNKCYVDGSPFSKHNIETAINLARISQCIYQH >KJB45919 pep chromosome:Graimondii2_0_v6:7:56263091:56266637:-1 gene:B456_007G337900 transcript:KJB45919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYKSVERMKPIGKHSLLGASGEISDFQEILRYLNELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKNGNKYLGTVSMIGVNFKDNHVATGFGNHLAQPILRQEWHENLSFEDGVKLLEKCMRVLLYCDRSAVNKLQIAKITEEGVTIHQPYSLKTYWEFSTFENPAQGAIGSW >KJB43294 pep chromosome:Graimondii2_0_v6:7:18830116:18831309:-1 gene:B456_007G192200 transcript:KJB43294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELRELQRDPPTSCSAGPVAEDMFQWQATILGPGDSPYCGGVFIVNIHFPTDYPFKPPKVVFRTKVFHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNADDPLVPEIAHIYKTDKVKYETIARSWTYKYAMG >KJB43295 pep chromosome:Graimondii2_0_v6:7:18830116:18831754:-1 gene:B456_007G192200 transcript:KJB43295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELRELQRDPPTSCSAGPVAEDMFQWQATILGPGDSPYCGGVFIVNIHFPTDYPFKPPKVVFRTKVFHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNADDPLVPEIAHIYKTDKVKYETIARSWTYKYAMG >KJB43293 pep chromosome:Graimondii2_0_v6:7:18830116:18831267:-1 gene:B456_007G192200 transcript:KJB43293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELRELQRDPPTSCSAGPVAEDMFQWQATILGPGDSPYCGGVFIVNIHFPTDYPFKPPKVLLSICSLLTDPNADDPLVPEIAHIYKTDKVKYETIARSWTYKYAMG >KJB43941 pep chromosome:Graimondii2_0_v6:7:26911744:26914603:-1 gene:B456_007G224900 transcript:KJB43941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKLSESRDLTRIERIGAHSHIRGLGLDSSLEPRDVSEGMVGQAQARKAAGVILQMIKDGKIAGRAILLAGQPGTGKTAIAMGMAKSLGLETPFSMLSGSEIFSLEMSKTEALMQAFRKSIGVRIKEETEIIEGEVVEIQIDRPAVSGAASKTGKLTLKTTDMETVYDLGAKMIEALGKEKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPQTKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITSIRGTNYKSPHGIPIDLLDRLLIITTQPYSEDEIRKILDIRCQEEDVEMSEDAKQLLTKIGHETSLRYAIHLITASALNCQKRKGMVVEVQDITRVYSLFLDVRRSTQYLMEYQKEYMFNEASIVDGGDADSMHD >KJB40150 pep chromosome:Graimondii2_0_v6:7:3397628:3398743:1 gene:B456_007G048800 transcript:KJB40150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTHQLSIVLAMFFLQLFLSSQSHSSVFTMVNKCRYTVWPGVLSGAGTTQSSPTGFILRRGESTSVSVPTSWSGRLWCQTLCTEDSSGKFSCLTGDCGSSTLECSSSGASPPATLAEFTLNGAGEVDFYDVSLVDGYNLPMMVSPNGGTGGNRTLAFIGGAITILAAMRQLWHLF >KJB40783 pep chromosome:Graimondii2_0_v6:7:5611037:5612058:1 gene:B456_007G079000 transcript:KJB40783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTHHGIGIKVYTTATPPPEEDPTPLSSLPRLPPEPGRKRKVVAKGVQKTISKTSMLVNFLPTGTLLTFEMVLPSVYRHGDCSHVTTIMIYSLLCLCALSCFFFHFTDSFRGPDGTVYYGFVTPNGLALFKPGLEVESPKDDKYKVGLTDFVHAVMSVLVFVAIAFSDHRVTNCVFPGHEKEMDQVMEGFPLMVGIICSGLFLVFPKTRFGVGCMAA >KJB44622 pep chromosome:Graimondii2_0_v6:7:43165660:43173366:-1 gene:B456_007G261800 transcript:KJB44622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEYVPLFETRQVKGRILFRYIAASILLGICFIVMYRIRYFPVRGKAERWTWIGLFLSELWFSFYWLLTTVCRWNAVIRIPFIHRLSQRFGKELPGIDIFVCTANPLLEPPSMVVNTVLSMMAYDYPPEKLSVYLSDDGGSNLTFYAMLEAANFSKTWLPFCKKFKVEPMSPEAYFRTASEPLNVQEWPSVKRLYEEMKMRIETTTKLNQIPEHIYKQHYKGFREWNFVTSKLDHQTILQILIDGRDTNAVDIEGNPLPTLVYLAREKRPQYHHHFKAGAMNALIRTSSKISNGPIILNVDCDMYSNNSESIKYSLCVFMDEEKGDEFGYVQFPQSFDNLTKNDIYGCSFRVIQKLEVHGLDANGGPCFIGTGCFHRREALCGKKYEKNFRFDLKKLNNTKVNERASLLEETCKVLASCTFEHNTTWGKEMGLIYGFPAEDIVTGLSVQCRGWKSMFLDPERDGFLGVAPITLLQLLVQHKRWTEGHLQVFLSKYCPLLYGYKKIPLKLRLAYCAYNLWAANCLATLYYVVVPCLCLLKGITLFPKISSPWVLPFAYVAFSHHAYSLGEFLWCGGTFLGWCNDQRMWLFKRTTSYLFASFETILKLLGYSQLAFVITTKVADEDISKRYDQEMIEFGVASPMFDILATLAILNLLGSFGAIKKVTMHADKGFKVLDQFGLQILLCLVLVTINLPVYQALFFRMDKGKMPSSVTYKSIIFALLACTLAVY >KJB44621 pep chromosome:Graimondii2_0_v6:7:43165532:43173411:-1 gene:B456_007G261800 transcript:KJB44621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEYVPLFETRQVKGRILFRWNAVIRIPFIHRLSQRFGKELPGIDIFVCTANPLLEPPSMVVNTVLSMMAYDYPPEKLSVYLSDDGGSNLTFYAMLEAANFSKTWLPFCKKFKVEPMSPEAYFRTASEPLNVQEWPSVKRLYEEMKMRIETTTKLNQIPEHIYKQHYKGFREWNFVTSKLDHQTILQILIDGRDTNAVDIEGNPLPTLVYLAREKRPQYHHHFKAGAMNALIRTSSKISNGPIILNVDCDMYSNNSESIKYSLCVFMDEEKGDEFGYVQFPQSFDNLTKNDIYGCSFRVIQKLEVHGLDANGGPCFIGTGCFHRREALCGKKYEKNFRFDLKKLNNTKVNERASLLEETCKVLASCTFEHNTTWGKEMGLIYGFPAEDIVTGLSVQCRGWKSMFLDPERDGFLGVAPITLLQLLVQHKRWTEGHLQVFLSKYCPLLYGYKKIPLKLRLAYCAYNLWAANCLATLYYVVVPCLCLLKGITLFPKISSPWVLPFAYVAFSHHAYSLGEFLWCGGTFLGWCNDQRMWLFKRTTSYLFASFETILKLLGYSQLAFVITTKVADEDISKRYDQEMIEFGVASPMFDILATLAILNLLGSFGAIKKVTMHADKGFKVLDQFGLQILLCLVLVTINLPVYQALFFRMDKGKMPSSVTYKSIIFALLACTLAVY >KJB42082 pep chromosome:Graimondii2_0_v6:7:11072726:11077202:-1 gene:B456_007G135600 transcript:KJB42082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQPGHENPLMSLNSGGSVNEFPYDYVDDTNPVLLTPTGRNRNQPSLQSLSQWIDCPKTPHSYVEFLTENLEKVPTADVLESIGSPIGGIHTVDGASEIQRELLHSNEGKAFSSGPDGYSLESEQWNLKLSAYPTEINNHEASASERFLRQHDRIPMSRISSSEYEFHAQQNHASWMQKPKAEDGNVSHLQSKETISAPSFQSQPLYHAPKSRAAAIDRQRRLRIDESIKALQELLPSSSERGLGNALDDIIDYVKFLQLQVKELSRSRLGGEPTSNPFVFFEGYGHYVLHEQMINEPLEEMMAKLLEINPSAATQLLESRGLYMMPRALVDDFCTESHR >KJB42083 pep chromosome:Graimondii2_0_v6:7:11074002:11075655:-1 gene:B456_007G135600 transcript:KJB42083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQPGHENPLMSLNSGGSVNEFPYDYVDDTNPVLLTPTGRNRNQPSLQSLSQWIDCPKTPHSYVEFLTENLEKVPTADVLESIGSPIGGIHTVDGASEIQRELLHSNEGKAFSSGPDGYSLESEQWNLKLSAYPTEINNHEASASERFLRQHDRIPMSRISSSEYEFHAQQNHASWMQKPKAEDGNVSHLQSKETISAPSFQSQPLYHAPKSRAAAIDRQRRLRIDESIKALQELLPSSSERGLGNALDDIIDYVKFLQLQVKLG >KJB42081 pep chromosome:Graimondii2_0_v6:7:11072726:11077202:-1 gene:B456_007G135600 transcript:KJB42081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQPGHENPLMSLNSGGSVNEFPYDYVDDTNPVLLTPTGRNRNQPSLQSLSQWIDCPKTPHSYVEFLTENLEKVPTADVLESIGSPIGGIHTVDGASEIQRELLHSNEGKAFSSGPDGYSLESEQWNLKLSAYPTEINNHEASASERFLRQHDRIPMSRISSSEYEFHAQLCVFQQNHASWMQKPKAEDGNVSHLQSKETISAPSFQSQPLYHAPKSRAAAIDRQRRLRIDESIKALQELLPSSSERGLGNALDDIIDYVKFLQLQVKELSRSRLGGEPTSNPFVFFEGYGHYVLHEQMINEPLEEMMAKLLEINPSAATQLLESRGLYMMPRALVDDFCTESHR >KJB46263 pep chromosome:Graimondii2_0_v6:7:58573383:58576508:-1 gene:B456_007G355200 transcript:KJB46263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTEKGGRAVGAPGGAVSTDQTITKTTNNPQETIVPMPGTELTLSNGELENFRSLDEAFDGSQLDPKAKPLIRRVPSTLGSHEDFRKYFKPKVISIGPLHHADPTLHESKKLKCKLTTLLVKNIGVDRGTLYNNIKTEIDDLKRCYDPKELDKYTNDNENLAWMFFVDGCTILQAVYMRYGNDDVDGQDYEHLSNELSIKNELLTFEYSDLFLLENQLPFRVLELLTRSNDDRKFMEATVGFTNNTVKKPESHQQDSSSKNGEKFMMAIKRYIDDTVITPAYMKEPQSHQQDSEWWQQQKGERIHLLNLLRVRLLFEKEKRKNHGGILGFALDFSCAR >KJB41320 pep chromosome:Graimondii2_0_v6:7:7299159:7301405:-1 gene:B456_007G098700 transcript:KJB41320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPKAAQNPPPSQDPNAPTATVNAAQTTAPPPQPPPTAPEQPASRKRPLENSNDQYQNSPYFKMRLVLKDLRPHFIEVLRTPDFRNCKGAHEIKEKMKHLVDLYKQMIVSIEKSNNGAGNQTMQGESGTKQKPQEQAQFVKPAPAVSSKNKTFQSSSVTGKPQSEDGEASGTYIVGGSAFGWNFITFAGNKPVYYGVTKEAFRSAQANLGLGGE >KJB45032 pep chromosome:Graimondii2_0_v6:7:49131968:49138664:1 gene:B456_007G286200 transcript:KJB45032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESEETEKVKKMKKREKMKHIFKKLHIGSSNDTADNQRAASENSPASPPTTTSASSSPVTATTVPVTSSTGLITSSSTVTATNRASDYMLSEEEFQVQLALAISASNSEDPKKDQIRETAILSLGSHHRMDLGVGRDKDDVAAEVLARQYWEYDVLDYEERVVDGFYDVYGLSSESGTQGKMPSLTDLEASLGNSSFEVVIVNRTIDPALEELFQIAHCIALDCPSTNVGILVQRLAELVTGHMGGPVKDANIILSKWMERSTELRTSLHTSVLPIGSLNTGLSRHRALLFKVLADSIKLPCKLVKGSHFTGVEDDAVNIVKLEEEREFLVDLMAAPGTLIPADILSAKDTTFKSYNPISNNIPTLQSSDDVYAREKPLKGEGSSQHPMIDSSMPVDWGSTSTGAEPLPSLSGQSRDSGVGSSGLSNRVTPNQLDHLPSTAIGTLVYKGSRGANVVGDGLRMNVNVVPYGQSSQDDPKNLFADLNPFQIKGAGKTSLQNKSTENKVDEFQRQPNNVVGRPPVPLMWKNRPVYNEVPQKKYNYMEGHFPKINRESNDFNQSSAASTSSNKPEKVYYHGYRSPGDFDMLNRDNKIWNSLSDTSSSLASPATQFSSSQLAEDASTKFKEDYLRNEHDLQNNTGDLAKEQDNEIGSHNCRKNTQDRYAGNNLKLKDPESPSSSLDSGVGSYGLSNRVTPIQLDHQLFDDVDVGECEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGAALAEFKREVRIMRRLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYKILHRPHPQIDEKRRIKMALDVARGMNCLHTSIPTIVHRDLKSPNLLVDKNWTVKVADFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNENFGIILWELATLRLPWSGMNPMQVVGAVGFQNRRLDIPKELDPLVARIIWQCWQTDPSLRPSFADLTVALKPLQRLVIPLHQDQSSSPMPQGISVNSTP >KJB45030 pep chromosome:Graimondii2_0_v6:7:49131968:49138664:1 gene:B456_007G286200 transcript:KJB45030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESEETEKVKKMKKREKMKHIFKKLHIGSSNDTADNQRAASENSPASPPTTTSASSSPVTATTVPVTSSTGLITSSSTVTATNRASDYMLSEEEFQVQLALAISASNSEDPKKDQIRETAILSLGSHHRMDLGVGRDKDDVAAEVLARQYWEYDVLDYEERVVDGFYDVYGLSSESGTQGKMPSLTDLEASLGNSSFEVVIVNRTIDPALEELFQIAHCIALDCPSTNVGILVQRLAELVTGHMGGPVKDANIILSKWMERSTELRTSLHTSVLPIGSLNTGLSRHRALLFKVLADSIKLPCKLVKGSHFTGVEDDAVNIVKLEEEREFLVDLMAAPGTLIPADILSAKDTTFKSYNPISNNIPTLQSSDDVYAREKPLKGEGSSQHPMIDSSMPVDWGSTSTGAEPLPSLSGQSRDSGVGSSGLSNRVTPNQLDHLPSTAIGTLVYKGSRGANVVGDGLRMNVNVVPYGQSSQDDPKNLFADLNPFQIKGAGKTSLQNKSTENKVDEFQRQPNNVVGRPPVPLMWKNRPVYNEVPQKKYNYMEGHFPKINRESNDFNQSSAASTSSNKPEKVYYHGYRSPGDFDMLNRDNKIWNSLSDTSSSLASPATQFSSSQLAEDASTKFKEDYLRNEHDLQNNTGDLAKEQDNEIGSHNCRKNTQDRYAGNNLKLKDPESPSSSLDSGVGSYGLSNRVTPIQLDHQLFDDVDVGECEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGAALAEFKREVRIMRRLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYKILHRPHPQIDEKRRIKMALDVARGMNCLHTSIPTIVHRDLKSPNLLVDKNWTVKVADFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGIILWELATLRLPWSGMNPMQVVGAVGFQNRRLDIPKELDPLVARIIWQCWQTDPSLRPSFADLTVALKPLQRLVIPLHQDQSSSPMPQGISVNSTP >KJB45031 pep chromosome:Graimondii2_0_v6:7:49132037:49137609:1 gene:B456_007G286200 transcript:KJB45031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESEETEKVKKMKKREKMKHIFKKLHIGSSNDTADNQRAASENSPASPPTTTSASSSPVTATTVPVTSSTGLITSSSTVTATNRASDYMLSEEEFQVQLALAISASNSEDPKKDQIRETAILSLGSHHRMDLGVGRDKDDVAAEVLARQYWEYDVLDYEERVVDGFYDVYGLSSESGTQGKMPSLTDLEASLGNSSFEVVIVNRTIDPALEELFQIAHCIALDCPSTNVGILVQRLAELVTGHMGGPVKDANIILSKWMERSTELRTSLHTSVLPIGSLNTGLSRHRALLFKVLADSIKLPCKLVKGSHFTGVEDDAVNIVKLEEEREFLVDLMAAPGTLIPADILSAKDTTFKSYNPISNNIPTLQSSDDVYAREKPLKGEGSSQHPMIDSSMPVDWGSTSTGAEPLPSLSGQSRDSGVGSSGLSNRVTPNQLDHLPSTAIGTLVYKGSRGANVVGDGLRMNVNVVPYGQSSQDDPKNLFADLNPFQIKGAGKTSLQNKSTENKVDEFQRQPNNVVGRPPVPLMWKNRPVYNEVPQKKYNYMEGHFPKINRESNDFNQSSAASTSSNKPEKVYYHGYRSPGDFDMLNRDNKIWNSLSDTSSSLASPATQFSSSQLAEDASTKFKEDYLRNEHDLQNNTGDLAKEQDNEIGSHNCRKNTQDRYAGNNLKLKDPESPSSSLDSGVGSYGLSNRVTPIQLDHQLFDDVDVGECEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGAALAEFKREVRIMRRLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYKILHRPHPQIDEKRRIKMALDVARGMNCLHTSIPTIVHRDLKSPNLLVDKNWTVKVADFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEK >KJB39928 pep chromosome:Graimondii2_0_v6:7:2661472:2662716:1 gene:B456_007G038500 transcript:KJB39928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKETSNILRTSIYTFFQSYQHLTTMAAILAFPYSSYLLLSQLFIPSSHVLPSIHSQLKAISQAMGFPLSSQYLFTLLISKLSQTISSSIFVLPFTFSFFLITKSYVFHLLNQQKPDLVSVLSLYYKPLLITHVCNFIFLISANSTAFSLLFFAFNLFEGFGFSSSSQWILFVLVSGFLLYSFIVANAFIICNLALVSSAMEEHSGFLAILKACVLLRGRTLTGLTLAVVVNLALAAIEALFHCRVVRAYDGAGGLTGFPMALEGILIAYLYSVFVVVDTIVSFMFFKSCKTGWLIDQQGTCSYRIEIMEE >KJB41850 pep chromosome:Graimondii2_0_v6:7:9936675:9939781:1 gene:B456_007G124500 transcript:KJB41850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTVAAANAEISRYVAASSRPIFDKPTIATQPPLVIRPYRLFSQRFPLLSLSLLERNSISSSRLRSLPNGSDASGGLTYKDAGVDIDAGSELVRRIAKMAPGIGGFGGLYPLGDSFLVAGTDGVGTKLKLAFETGIHETIGIDLVAMSVNDIVTSGAKPLFFLDYFATSHLDVDLAEKVIKGIVDGCQQSDCALLGGETAEMPDFYAKGEYDLSGFAVGIVKKDSVIDGKNIVAGDVLIGLPSSGVHSNGFSLVRRVLAHSGLSLKDQLPGAAVTLGEALMAPTVIYVKQVLDIIGKGGVKGIAHITGGGFTDNIPRVFPEGLGAVIYKDSWNVPAVFKWIQQAGKIEDAEMSRTFNMGIGMVLVVSKEASQRILEDANGAYTAYRIGEVVNGEGVSYH >KJB41851 pep chromosome:Graimondii2_0_v6:7:9936583:9939854:1 gene:B456_007G124500 transcript:KJB41851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTVAAANAEISRYVAASSRPIFDKPTIATQPPLVIRPYRLFSQRFPLLSLSLLERNSISSSRLRSLPNGSDASGGLTYKDAGVDIDAGSELVRRIAKMAPGIGGFGGLYPLGDSFLVAGTDGVGTKLKLAFETGIHETIGIDLVAMSVNDIVTSGAKPLFFLDYFATSHLDVDLAEKVIKGIVDGCQQSDCALLGGETAEMPDFYAKGEYDLSGFAVGIVKKDSVIDGKNIVAGDVLIGLPSSGVHSNGFSLVRRVLAHSGLSLKDQLPGAAVTLGEALMAPTVIYVKQVLDIIGKGGVKGIAHITGGGFTDNIPRVFPEGLGAVIYKDSWNVPAVFKWIQQAGKIEDAEMSRTFNMGIGMVLVVSKEASQRILEDANGAYTAYRIGEVVNGEGVSYH >KJB39003 pep chromosome:Graimondii2_0_v6:7:48226905:48228991:1 gene:B456_007G281300 transcript:KJB39003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHFHFLFVLFLCLSSIAIFSKPDSVNKKVHFSPQLQTDPRENYLHAKSCLQPSGLLRTSKMLMVRQLALLNSRII >KJB44664 pep chromosome:Graimondii2_0_v6:7:43769743:43773252:1 gene:B456_007G264100 transcript:KJB44664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIHLFLLWIGDKISLSPPCSVSRHQFPHLVSSFVKAVSSCLYYYYMLDHPLSFPNSFSFYISCHREYVNTLIMGIRYITQHNCLTNLKGEVLWFN >KJB45192 pep chromosome:Graimondii2_0_v6:7:50479817:50480503:-1 gene:B456_007G294700 transcript:KJB45192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPICYLTSCKAWDVDLQCPEDHLWASKILDINVKATFIASQKTEREDEEGKKSGMARIIVQQESHKLRLEQLLNDVVTKDWNDTNIYDVLDSMQIPIGRSTVDEIVGCALGMVAKESYKNRNVLRMRVEIEVLVDEQPNLAEGDAYCIDAEADDFWETAEAFRKLRKVVVEEPVENLCSICLVGFLEGSEISATPCSHVFHDRCIRAWLKKCSKKFCPNCVTNLA >KJB39862 pep chromosome:Graimondii2_0_v6:7:2338099:2340568:1 gene:B456_007G034200 transcript:KJB39862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSFKQFVEQQLGAVPNFFIYALLEWIMIFMLFIDGFIALFANEFAKFFELPIPCLLCSRLDHLLAGRTTGFYYNDSICDNHKKNVSCLAFCHAHKKLSDIRSLCESCLLSFATERETDCDTYKSLLGILHKDIDQLLVDEDNEVQLSLPGGAKKDEEVVFEKSNDHRCTCCGQPLKVKSTGSNGSKGKNSSMSSLAPSPSPRAPAIKYTELKLKPDEPEVQEDNDRSKGLEKPLKDDGKGGTMPSMPEGDEEDKTPNFMKGNRFFGIPLSDSASNSPRWTRTPRKLLLQKTEFASEIGEGQVPSSPRMDRKSLMALYMELDEERSASAVAAYNAMAMITRLQTEKAAVQMEALQYQRMMEEQAEYDQEALEEMINLVAKREDELNELEAELEAYRKKYGRLQEGDFEKQGEVNVEGDQVLKTSSLSSSSGKPESTVPAGDKPETQNQSESNPINQEKIGAEAMNKPKKKAMRQMDRLKILEKKMHISSMGRFQRNTSEINDDDMDEEQERS >KJB44116 pep chromosome:Graimondii2_0_v6:7:30880592:30881290:-1 gene:B456_007G235100 transcript:KJB44116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIYTQTNQNLFTVGGQKKTYEPHMEYCEAEHGCKKHSNHQEKQGVCPSCLRERLSCLTLSYKEASRVTSSLYFCPAHYSLASNPDSPARHSHSHMRNSSGVMGIMGMSSSFGVKLGASNGLKKSRSIAFVPRNLDDDDEETKKGKKKKGFWSKLVHFKGKKDAFNKYEINDWKSELVS >KJB44338 pep chromosome:Graimondii2_0_v6:7:38198299:38199510:-1 gene:B456_007G246700 transcript:KJB44338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDDLCITIPNFFRCPISLDVMKSPVSLCTGVTYDRTSIQRWLDSGNNTCPATMQVLQSKEFVPNRNLQRLIQIWSDSVARRQHDAELAANSVVLPSHDQVKVLVKQLDNNSFSALTKIVRFARESEENREFLARMDGFLNAVFDFMRNAESDIKLIEQVVHILDLMLSKISEKKPLMESNCLSTILVILQRGSSDSQIQSVQLLESLAVDGESKLKIAQKEGLLLELVKSLSKEKDPRLIEASLSCLISIAMPKRVKAKLIQSRTIPELKILLSEPNMTPSIIEKSLKLLETLSSCKEGRVEIWHDTILLQAIVQKVLKASSKATEHAVTILWIVCYLFRDEKALEAVVSGNGMTKILLLIQSNCSPAVRQMSADLLKIFGVNSKPCLSSYDTKTTHIMPF >KJB46374 pep chromosome:Graimondii2_0_v6:7:59533080:59534051:-1 gene:B456_007G363700 transcript:KJB46374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVTLAWISNDSARKVSLEKRRLGLMKKMNELTTLCGIRACLIIYSPNESEPMVWPSHAEVQLQLEEYWKTSELDRLKKMVNQETYLKERITKAKEQLGKLQRKNNEVEMGHLMHQIEQGKGIDEFNNGELHGLIRLVEEKMDEIKKRIEFFHQLPHGDLTAQTVGGGHKPLMMNQRFTNMVNNNEHTFGDIVAQDHLGLLPGHKLGGNSDVGVPLYGDLRGTTTDMGQQLLGFRPYGDGATEMGLPHGSTIGSNNIFGAFGNDIGMGGHPFECIGSSYNVSEQGVAWPLGGDGGENCGGTSNGIDMQFDGQSWPDNFSA >KJB39576 pep chromosome:Graimondii2_0_v6:7:1491457:1495286:1 gene:B456_007G020200 transcript:KJB39576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYFEEEEDDDQFFDSRSVYSDECCSSPDFGQVNGFSDNFDHYKFWSVFPESVDNRRHRLWTWMGLSFVRSSFTGEDPGGPCRDALEVSQDSEVALSTLALKDGVLSNQSNDGQEPVEMFSMPNHFTHQDKNLDDQMELVVIEKSCSSKSVSSLEFKTSPMPSSPQLDPHLRGKLEGRPRIDPQMKVKKSWLSKLGAIAHVVDRHVEVGSKQSDYDSVLGERTKRVRVHPCNKHSKELSSLYCGQEFLAHEGSISTMKFSLDGKFLATAGEDCMVRIWKIIEDENLDGFNIQDLDSSCLYFRMNHLSQLTPLNMDKDHIDKIKKLGRLSDSTCVIFPPKVFRISEKPLHEFQGHSGEILALSWSKKGFLLSSSVDKTVRLWQVGSDRCLRVFSHNNYVTSVAFNPMDDNYFISGSIDGKVRIWEVLHCRVIDYTDVRDIVTAVCYRPDGKGGIVGSMTGNCRFYDIIGNRLQIDVPVYLQSKKKLPGKKITGFEFSPCDPSKVIITSADSLVRVLSGRDVVYKLKAPGFRIATSQISATFSQDGKQIISASEDSNVYIWNYTNPEKNCSKAKNISSRESFLSHNASIAIPWCGIKTDPGTLMSSESNDNMRENSLTNGKKQLNPKVELEQLAPLASPNGFSLKRVLMESLTRGSATWPEETLPNTSPAAIASDLWKFELKVLKSAYQSMLSSHKWGLVIVTASFDGRIRTYLNYGLPIRV >KJB39578 pep chromosome:Graimondii2_0_v6:7:1491994:1494179:1 gene:B456_007G020200 transcript:KJB39578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYFEEEEDDDQFFDSRSVYSDECCSSPDFGQVNGFSDNFDHYKFWSVFPESVDNRRHRLWTWMGLSFVRSSFTGEDPGGPCRDALEVSQDSEVALSTLALKDGVLSNQSNDGQEPVEMFSMPNHFTHQDKNLDDQMELVVIEKSCSSKSVSSLEFKTSPMPSSPQLDPHLRGKLEGRPRIDPQMKVKKSWLSKLGAIAHVVDRHVEVGSKQSDYDSVLGERTKRVRVHPCNKHSKELSSLYCGQEFLAHEGSISTMKFSLDGKFLATAGEDCMVRIWKIIEDENLDGFNIQDLDSSCLYFRMNHLSQLTPLNMDKDHIDKIKKLGRLSDSTCVIFPPKVFRISEKPLHEFQGHSGEILALSWSKKGFLLSSSVDKTVRLWQVGSDRCLRVFSHNNYVTSVAFNPMDDNYFISGSIDGKVRIWEVLHCRVIDYTDVRDIVTAVCYRPDGKGGIVGSMTGNCRFYDIIGNRLQIDVPVYLQSKKKLPGKKITGFEFSPCDPSKVIITSADSLVRVLSGRDVVYKLKAYFISFSTWISDCNESDFCNF >KJB39577 pep chromosome:Graimondii2_0_v6:7:1491457:1495286:1 gene:B456_007G020200 transcript:KJB39577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYFEEEEDDDQFFDSRSVYSDECCSSPDFGQVNGFSDNFDHYKFWSVFPESVDNRRHRLWTWMGLSFVRSSFTGEDPGGPCRDALEVSQDSEVALSTLALKDGVLSNQSNDGQEPVEMFSMPNHFTHQDKNLDDQMELVVIEKSCSSKSVSSLEFKTSPMPSSPQLDPHLRGKLEGRPRIDPQMKVKKSWLSKLGAIAHVVDRHVEVGSKQSDYDSVLGERTKRVRVHPCNKHSKELSSLYCGQEFLAHEGSISTMKFSLDGKFLATAGEDCMVRIWKIIEDENLDGFNIQDLDSSCLYFRMNHLSQLTPLNMDKDHIDKIKKLGRLSDSTCVIFPPKVFRISEKPLHEFQGHSGEILALSWSKKGFLLSSSVDKTVRLWQVGSDRCLRVFSHNNYVTSVAFNPMDDNYFISGSIDGKVRIWEVLHCRVIDYTDVRDIVTAVCYRPDGKGGIVGSMTGNCRFYDIIGNRLQIDVPVYLQSKKKLPGKKITGFEFSPCDPSKVIITSADSLVRVLSGRDVVYKLKAPGFRIATSQISATFSQDGKQIISASEDSNVYIWNYTNPEKNCSKAKNISSRESFLSHNASIAIPWCGIKTDPGTLMSSESNDNMRENSLTNGKKQLNPKVELEQLAPLASPNGFSLKRVLMESLTRGSATWPEETLPNTSPAAIASDLWKFELKVLKSAYQSMLSSHKWGLVIVTASFDGRIRTYLNYGLPIRV >KJB43876 pep chromosome:Graimondii2_0_v6:7:25722001:25724608:-1 gene:B456_007G221200 transcript:KJB43876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPHICSSLLVLFCWCWCSQRLPFFLQILFSAGSLLVLVFLCWCLFFVPLLHFSKASGSLFLFSPPPVVPEAKKFRTSGIDPEFEAKLDQMFMGIVATGDKAWAPSSGTLRSDFFEDVNNEIPEENEEENMRNDVHILNDVHISNDVHISDDVQIDGNGQKRKNPEISSSRFKTGIKKSSKQIGGAARLSSQIEKLCSAADNMSQATSSLTPVMDPYGIPQAVKMLDSMSEEVLEASPLYFFALKLLLNKDKRIMFLSINPKIRALWLKTEMDDS >KJB45122 pep chromosome:Graimondii2_0_v6:7:49926803:49929990:-1 gene:B456_007G291100 transcript:KJB45122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSVCFLNLSSCPRLRLSTFRILSSSEIGVSRRQVLEQVDKELSKGDERAALSLVKDLQGKPGGLRCFAAARQVPQRLYTLDELRLNGIETASLLSPVDSTLGSIERNLQLAAILGGVAAWNAFSFNPQQILFISLGFLFLWTLDLVSFNGGIGSLVLDTIGHTISQKYHNRVIQHEAGHFLIAYLVGILPRGYTLTSLEALKKEGSLNIQAGTAFVDFEFLEEVNAGKVSATTLNRFSCIALAGVATEYLLFGYAEGGLADINKLDGLLKGLGFSQKKADSQVRWAVLNTILLLRRHEAARSKLAEAMSLGKTVGSCIDIIEDNVNDADI >KJB45124 pep chromosome:Graimondii2_0_v6:7:49928205:49929565:-1 gene:B456_007G291100 transcript:KJB45124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSVCFLNLSSCPRLRLSTFRILSSSEIGVSRRQVLEQVDKELSKGDERAALSLVKDLQGKPGGLRCFAAARQVPQRLYTLDELRLNGIETASLLSPVDSTLGSIERNLQLAAILGGVAAWNAFSFNPQQILFISLGFLFLWTLDLVSFNGGIGSLVLDTIGHTISQKYHNRVIQVVPLFCFHVFDFSS >KJB45123 pep chromosome:Graimondii2_0_v6:7:49926902:49929565:-1 gene:B456_007G291100 transcript:KJB45123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSVCFLNLSSCPRLRLSTFRILSSSEIGVSRRQVLEQVDKELSKGDERAALSLVKDLQGKPGGLRCFAAARQVPQRLYTLDELRLNGIETASLLSPVDSTLGSIERNLQLAAILGGVAAWNAFSFNPQQILFISLGFLFLWTLDLVSFNGGIGSLVLDTIGHTISQKYHNRVIQHEAGHFLIAYLVGILPRGYTLTSLEALKKEGSLNIQAGTAFVDFEFLEEVGFSPSICCLLV >KJB44343 pep chromosome:Graimondii2_0_v6:7:38289385:38290710:-1 gene:B456_007G247200 transcript:KJB44343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASEDLKQFGDTDKGGAVFDASQYAFFGNDVLEEVELGGHEDEDLPAAGLDEEEFLFDQEEVNILVSFSFIFPVSVVLASFCSIAQPVTIRSENKCLPSRRHAKK >KJB42941 pep chromosome:Graimondii2_0_v6:7:16336106:16339124:1 gene:B456_007G175600 transcript:KJB42941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFFLFLHLLFSFTSAVNSAAYTPTDYILLDCGAPSSSSLVSEDGRKWITDERSKFATSNSENASSNFRATSAYLALSAEDGQPASLIKEFMVPCFGTEKLIVTFSPSPNSLAFVNGIEVVSMPKELYVKHQEDSVSFVNSKIPFDIPDATAFETVYRLNVGGGAVENVNDTGMFRTWRDDSPHIFGAALGNVPSRSNVRINYTNDTPAYTAPAIVYTTSRTMGREPHINENYNLTWNFQIDGGFRYLLRLHFCETQLEVTKAGQRVFNIFINNQTAQHDADVIYWSGGNNIPVYKDYVLLIPNEGQRKQTLWLALHPSEDVLAIYRDAILNGLEIFRLSNLDGSLAVPNPEPSLSVSPPLPSVPKKKKGCSLVMIITIAVFSGGFALSLIICVFIYKHKVRRVKDLAVSEPKSSWAQLPCPSNSTCATSVSLLPSDLCRRFSIVEIKEATLNFDEQFIIGSGGFGHVYKGCIDGGSTTVAIKRLDSSSRQGIREFQTELELLSKLRHVNLVSLIGFCDDLGEMILVYEYMARGTLRDHLYKTKNPPLPWKRRLEICIGAARGLQYLHAGVKQAIIHRDIKSTNILLDENWVAKVSDFGLSRLGPTDMFQSHVSTVVKGSVGYVDPEYYRKQQLTEKSDVYSFGVVLFEVLCARPAMIPGLPKDQISLARWAKICLKRGSLESIVDPNLMGDISPLCLKKFGELAESCIKDEGIERPIMNEVVWGLEFALQVQESGNMNIVYMEGGDEVMKSFSSQTSPLKPGRGGGGGTTTDDDNEELFSVSGGKASESGSTISSVGRSVTRGDLDRIKSETVFSEIMNAKGR >KJB42940 pep chromosome:Graimondii2_0_v6:7:16336106:16339124:1 gene:B456_007G175600 transcript:KJB42940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFFLFLHLLFSFTSAVNSAAYTPTDYILLDCGAPSSSSLVSEDGRKWITDERSKFATSNSENASSNFRATRQDHSVTRVPYMTARVFHDKFTYSFPVSAGLKFLRFYFYPVQDSGFDGATSFFSVTANNHLLLKNFSAYLALSAEDGQPASLIKEFMVPCFGTEKLIVTFSPSPNSLAFVNGIEVVSMPKELYVKHQEDSVSFVNSKIPFDIPDATAFETVYRLNVGGGAVENVNDTGMFRTWRDDSPHIFGAALGNVPSRSNVRINYTNDTPAYTAPAIVYTTSRTMGREPHINENYNLTWNFQIDGGFRYLLRLHFCETQLEVTKAGQRVFNIFINNQTAQHDADVIYWSGGNNIPVYKDYVLLIPNEGQRKQTLWLALHPSEDVLAIYRDAILNGLEIFRLSNLDGSLAVPNPEPSLSVSPPLPSVPKKKKGCSLVMIITIAVFSGGFALSLIICVFIYKHKVRRVKDLAVSEPKSSWAQLPCPSNSTCATSVSLLPSDLCRRFSIVEIKEATLNFDEQFIIGSGGFGHVYKGCIDGGSTTVAIKRLDSSSRQGIREFQTELELLSKLRHVNLVSLIGFCDDLGEMILVYEYMARGTLRDHLYKTKNPPLPWKRRLEICIGAARGLQYLHAGVKQAIIHRDIKSTNILLDENWVAKVSDFGLSRLGPTDMFQSHVSTVVKGSVGYVDPEYYRKQQLTEKSDVYSFGVVLFEVLCARPAMIPGLPKDQISLARWAKICLKRGSLESIVDPNLMGDISPLCLKKFGELAESCIKDEGIERPIMNEVVWGLEFALQVQESGNMNIVYMEGGDEVMKSFSSQTSPLKPGRGGGGGTTTDDDNEELFSVSGGKASESGSTISSVGRSVTRGDLDRIKSETVFSEIMNAKGR >KJB43074 pep chromosome:Graimondii2_0_v6:7:17409786:17410553:-1 gene:B456_007G182600 transcript:KJB43074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSLPISSLLALFFLVFLTRVPTILFADDPRACRETLTCGSVSSIGYPFWGMNRPSYCGQPGFELRCENNVTEILMNENTLRVLDIDPERQILKVAREDYWNGYCSTEFINTSIDFNHFNYGSNFRNLTLFYGCYPLATSTSLPNCTINSTLTDVSYAVRNMLGDPRYSICREIVVVPVYEAAAKELEVNPMSMQAALSGGFELQWEAVNDQCRRCRDSDGICVYKQTSYSFTCFCSDKLSLTTCFPTTEGTL >KJB40270 pep chromosome:Graimondii2_0_v6:7:44279932:44281020:1 gene:B456_007G266000 transcript:KJB40270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRTGAKVPVIDFSNQNLKPISPEWDLLEFRVREALEEYGCFEASFDQLLELWKHKLQVWLNGRLSSAYHRVMMKGNEARYSIGLFAWPRGGYLVKVPKELVDDKNPMLFKPVDLEEFLKFYSPQIVQGATKSSLKAYCSV >KJB39496 pep chromosome:Graimondii2_0_v6:7:1276232:1279647:-1 gene:B456_007G016600 transcript:KJB39496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALRRSQTSSSSSNSPSSSSSSSSSSSSWTYLRSVLFVVTSSSPAACSSADSGRLKSPWSRRRKKHALSPQQWRSLFNPDGRLRDGGIKFLKKVRSRGVDPGIRAEVWPFLLGVYDLNSSEEERDAVRTQKRKEYEKLRRECSQLPKPSTRSFNLTEIGGTCQNGDSESLNQETDTSSSEEGVSARESLSSEERNPNADYSDEPSNTLMEGDDSSRRTTNADVSALNSESSDSDSSEDPEVIQGSTFLDGEEENDTDVPLKRKFSPSTNEWLSKLHATEDFSTWQRIIRLDAIRANTEWMPYSVSQAAVSDRRARRLAKAVGLKDYDHLEPYVIFHAARLVTILEAYALYDPEIGYCQGMSDLLSPIISVIPDDYEAFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKCKDSHLYRHLAKLKAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTDDLLLYAIAASVLQKRKLIIENYGSTDDIITECNSMSGQLDVWKLLNDAHDLVVTLHDKIESTF >KJB45911 pep chromosome:Graimondii2_0_v6:7:56170325:56171139:-1 gene:B456_007G3372002 transcript:KJB45911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TQIPGHIPHKICDLQFLQNLDLAHNNISGVIPKCFNNLSAMAITNKTNNFVAEYVADNSFFFNALLVLKGREDEYGSTLGLVTSMDLSANSLTGEIPKEISSLAGLLSLNFSRNLLTGNIPDSIGNIELMESLDLSMNRLNGEIPPSFSNLNFLNHFNVSYNNLTGQIPTSTQLQSFENLSYGGNHLCGPPLTKNCTSKGNPIVDVANNGRSREGSKVNWLYVSIVLGFVMGFWVVVAPLFFIRSWRHAYYRKLDHVGRKLYVSWATMGM >KJB46452 pep chromosome:Graimondii2_0_v6:7:60235631:60235990:-1 gene:B456_007G369500 transcript:KJB46452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLNVVSELIDQEQRCWKRDHITKNFSSKEAERILCIPLSKHTQEDRLVWWGEPTGEYIVRSGYKRLLQGEDTSEPRHCNNDHTIFYKKLWQTDLP >KJB45416 pep chromosome:Graimondii2_0_v6:7:51880481:51881626:-1 gene:B456_007G305200 transcript:KJB45416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQNPINKSNSSLASQLQITPNGQTLDPHDEPQVFHEDYDSTCSTPYVSAPSSPGRAPGPGPGSINGYGGFYYSAPASPMHFAMTSVSSSMVASTQPSSPDNNPVPLGFEFEFSARYGSSSGSGQTGSMSSADELFLNGKIRPMKLCTHLERPQVLAPLLDLEHEDEDNEVVDGKVRSRDLRLRDRSLRRRTRSMSPLRTATLGLCIDDQSMCLEQGLDKKADTADSNETSASMSASSSRSSSAGRSSKRWVFLKDFLRSKSEGRSNNHKFWSTISFSPAKEKKPGRKEKLSNNVSNGGSENQRSNKNNNNKPMNGISKRRVPPSPHELHYTANRAQAEEMRKKTFLPYRQGLLGCLGFSSKGYGAMNGLCKALNPVSSR >KJB43043 pep chromosome:Graimondii2_0_v6:7:17216824:17225149:-1 gene:B456_007G181200 transcript:KJB43043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRKRNFFRKQMNTPFKRKGVNNNNSNNNNNNNNNNRKLKLGVNSAPEQFSGNLNPGDTVYRILCPSRKIGGVIGKGGNIVKALRQETRAKITVGDSVLGCDERVVVIYSSPSKVKEHNSDEDYGGDNEKEVPMEPCCAAQDALLKVHDRIVEEDLFGGIVSDDDNGNAVVTARLLVPNNMVGCLLGRGGDVIQRLRSGTGATIRVLPADHLPACAVATDELVQISGKRDVTKRALYEVSTLLHQNPRKDKPPLSFSVPHSSQNFPPSALPPSNSMWSHRNFSPHDSPPMPWMSAHRNRLSGIGPGSFSSIPPAGGAEASAEFSMKILCPAGKIGGVIGKGGFNVKQLQQETGAGIHVEDASTESDERVICVSGIEALGNPRSHTIDAILQLQNKTSESSEKGTITTRLLVPSSKVGCILGQGGHVINEMRRRTQADIRVCSKDDKPKCAYEDEELVQISGNFGVTKDALAEIASRLRVRTLRDVNAGAEPASVGSVRVSGPARNMPDGPPPAATIGPGYSGGIESFRGGGAHVYDYEPQNFSVPPAAARNSNMNSAVEAKVPETVSSSAVGIRGSTVFSSSEVSRAILKLDDPQTGDFEEFRGSSEHTSAQSIFQSFMASSGQSMNAQQDSYPNTNIHQSSYQKMSAQYSPYQNVWKPELQSALMEVW >KJB43045 pep chromosome:Graimondii2_0_v6:7:17217142:17222604:-1 gene:B456_007G181200 transcript:KJB43045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVKWLKKQRMGPYMHERRISGKRDVTKRALYEVSTLLHQNPRKDKPPLSFSVPHSSQNFPPSALPPSNSMWSHRNFSPHDSPPMPWMSAHRNRLSGIGPGSFSSIPPAGGAEASAEFSMKILCPAGKIGGVIGKGGFNVKQLQQETGAGIHVEDASTESDERVICVSGIEALGNPRSHTIDAILQLQNKTSESSEKGTITTRLLVPSSKVGCILGQGGHVINEMRRRTQADIRVCSKDDKPKCAYEDEELVQISGNFGVTKDALAEIASRLRVRTLRDVNAGAEPASVGSVRVSGPARNMPDGPPPAATIGPGYSGGIESFRGGGAHVYDYEPQNFSVPPAAARNSNMNSAVEAKVPETVSSSAVGIRGSTVFSSSEVSRAILKLDDPQTGDFEEFRGSSEHTSAQSIFQSFMASSGQSMNAQQDSYPNTNIHQSSYQKMSAQYSPYQNVSAQQNYSNRQAHQGPYHNLTVQQSPYPLNSQQGPYANFNAPPSAYHNYSAQPGSNPY >KJB43044 pep chromosome:Graimondii2_0_v6:7:17216960:17225136:-1 gene:B456_007G181200 transcript:KJB43044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRKRNFFRKQMNTPFKRKGVNNNNSNNNNNNNNNNRKLKLGVNSAPEQFSGNLNPGDTVYRILCPSRKIGGVIGKGGNIVKALRQETRAKITVGDSVLGCDERVVVIYSSPSKVKEHNSDEDYGGDNEKEVPMEPCCAAQDALLKVHDRIVEEDLFGGIVSDDDNGNAVVTARLLVPNNMVGCLLGRGGDVIQRLRSGTGATIRVLPADHLPACAVATDELVQISGKRDVTKRALYEVSTLLHQNPRKDKPPLSFSVPHSSQNFPPSALPPSNSMWSHRNFSPHDSPPMPWMSAHRNRLSGIGPGSFSSIPPAGGAEASAEFSMKILCPAGKIGGVIGKGGFNVKQLQQETGAGIHVEDASTESDERVICVSGIEALGNPRSHTIDAILQLQNKTSESSEKGTITTRLLVPSSKVGCILGQGGHVINEMRRRTQADIRVCSKDDKPKCAYEDEELVQISGNFGVTKDALAEIASRLRVRTLRDVNAGAEPASVGSVRVSGPARNMPDGPPPAATIGPGYSGGIESFRGGGAHVYDYEPQNFSVPPAAARNSNMNSAVEAKVPETVSSSAVGIRGSTVFSSSEVSRAILKLDDPQTGDFEEFRGSSEHTSAQSIFQSFMASSGQSMNAQQDSYPNTNIHQSSYQKMSAQYSPYQNVSAQQNYSNRQAHQGPYHNLTVQQSPYPLNSQQGPYANFNAPPSAYHNYSAQPGSNPY >KJB45205 pep chromosome:Graimondii2_0_v6:7:50638752:50641734:1 gene:B456_007G295300 transcript:KJB45205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQDVMKFQTWVLKVNIQCSCDGCKQKIRKLLQKVDGVYTTSINAEQGKVTVTGNVDPVILIKKLQKSGKHAQLLGGAQKGPNNFPNQLANQFKNMNLDGGKGGKDNKSQKGGGGGGGGGGGGNNQQKGGQQFTHQQIQQMMMQGFNPSKDQKSVKFQLPEDDLDGSDDDFDDEFDDEFDDEFDDEFDDDFDDEEFGHGHGHGKGNQQMQNKMVAMGGKGPNGMINGLAMNGKKGGGGGGDNGKKGGGIDMPMLMKGMGDFEGGKHGNGGKKGGGEKNKGGKGKNGEGDKKSGKKGGGGGLLGFFKKDKGEKDFSSTGKNEWDGSGGKNKGSHNGNGGNGGGGNNNGNGAKKGGGKNGGGGGHEMMNKIKSGGFQDIDVINNGKGGGGGGGGGAAGGGKNMGQMGQMGGQMGHMGSMGGQMGYNMGQMGNHPMNQMSNFAAVQGLPAAMNNGGGYYQGMGPGNPYNQPQYMAAMMMNQQRANGGMYPPMMYAHQPYPQPNYGPPPMHPPPSESYAHYFSDENANSCSIM >KJB45206 pep chromosome:Graimondii2_0_v6:7:50638905:50641272:1 gene:B456_007G295300 transcript:KJB45206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQDVMKFQTWVLKVNIQCSCDGCKQKIRKLLQKVDGVYTTSINAEQGKVTVTGNVDPVILIKKLQKSGKHAQLLGGAQKGPNNFPNQLANQFKNMNLDGGKGGKDNKSQKGGGGGGGGGGGGNNQQKGGQQFTHQQIQQMMMQGFNPSKDQKSVKFQLPEDDLDGSDDDFDDEFDDEFDDEFDDEFDDDFDDEEFGHGHGHGKGNQQMQNKMVAMGGKGPNGMINGLAMNGKKGGGEKNKGGKGKNGEGDKKSGKKGGGGGLLGFFKKDKGEKDFSSTGKNEWDGSGGKNKGSHNGNGGNGGGGNNNGNGAKKGGGKNGGGGGHEMMNKIKSGGFQDIDVINNGKGGGGGGGGGAAGGGKNMGQMGQMGGQMGHMGSMGGQMGYNMGQMGNHPMNQMSNFAAVQGLPAAMNNGGGYYQGMGPGNPYNQPQYMAAMMMNQQRANGGMYPPMMYAHQPYPQPNYGPPPMHPPPSESYAHYFSDENANSCSIM >KJB46046 pep chromosome:Graimondii2_0_v6:7:57365093:57366280:1 gene:B456_007G345600 transcript:KJB46046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPPTPSRLLEINLISAQDLFSASNNMKTYAVVWVQQENKCTTKVDQSGGTDPTWNEKFMFKVDKDDAVISVEVYAAAWDKDATIGYVNVPLNDIFDSPSAVTRTLALPISRPSGRSQGILNMEVSHKLTTTEDYNSGSIVNGSVVKGNSGSIVNGSSLCNSDVGPSASVVAAAIAKGLYKPQVPQQTQSKEATQITEWTKKEREKEMERRLSVESPAGSIVAPRYSKRITSKERKKKEGTKLFSCLGCEIAITCGGGDDGESRKTYRNKHEGGSNKVCNLSSVDNCSR >KJB41813 pep chromosome:Graimondii2_0_v6:7:9761317:9766525:1 gene:B456_007G123000 transcript:KJB41813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MCM7 MTQRAEDATNNTDGSDPRQKMPPEIKRYYEVYIRAPSKGRPFTIREVKASYIGQLVRISGIVTRCSDVKPLMQVAVYTCEECGCEIYQDVTARAFMPLYECPSRRCSVNRKKGNLILQLRASKFLKFQEAKIQELAEHVPKGHIPRSMTVHFRGEMTRKVAPGDVVELSGIFLPIPYTGFRAMRAGLVADTYLEAMSVTHFKKKYEEYELRGDEEEQIARLAEDGDIYNKLARSLAPEIYGHEDVKKALLLLLVGAPHRKLKDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAILAAANPAWGRYDLRRTPAENINLPPALLSRFDILWLILDRADMDSDLEMARHVVYVHQNKESPALGFTPLEPSVLRAYISAARRLSPYVPKELEEYIATAYSSIRQEEAKSSTPHSYTTVRTLLSILRISAALARLRFSETVAQSDVDEALRLMQMSKFSLYSDDRQKSGLDAISDIYSILRDEAARANKMDVSYAHALNWISRKGYSEAQLKECLEEYAALNVWQIHPHTFDIRFIDA >KJB41812 pep chromosome:Graimondii2_0_v6:7:9760627:9766587:1 gene:B456_007G123000 transcript:KJB41812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MCM7 MKDLNFDADKAIAKDFISNFADANGDAKYMDILQEVANRKIKAVQIDLEDLFNYKDLDEEFLKRVTENTRRYIEIFAGAIDELLPEPTEAFHDDDHDILMTQRAEDATNNTDGSDPRQKMPPEIKRYYEVYIRAPSKGRPFTIREVKASYIGQLVRISGIVTRCSDVKPLMQVAVYTCEECGCEIYQDVTARAFMPLYECPSRRCSVNRKKGNLILQLRASKFLKFQEAKIQELAEHVPKGHIPRSMTVHFRGEMTRKVAPGDVVELSGIFLPIPYTGFRAMRAGLVADTYLEAMSVTHFKKKYEEYELRGDEEEQIARLAEDGDIYNKLARSLAPEIYGHEDVKKALLLLLVGAPHRKLKDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAILAAANPAWGRYDLRRTPAENINLPPALLSRFDILWLILDRADMDSDLEMARHVVYVHQNKESPALGFTPLEPSVLRAYISAARRLSPYVPKELEEYIATAYSSIRQEEAKSSTPHSYTTVRTLLSILRISAALARLRFSETVAQSDVDEALRLMQMSKFSLYSDDRQKSGLDAISDIYSILRDEAARANKMDVSYAHALNWISRKGYSEAQLKECLEEYAALNVWQIHPHTFDIRFIDA >KJB40167 pep chromosome:Graimondii2_0_v6:7:3454492:3459925:1 gene:B456_007G049500 transcript:KJB40167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCEQVESTTAVCKPCLQDDARGGGGDDVSNNIKCSNRDSLQGVEFMSIDQCQKNTDMDDSKLVGNGNVAVRGDGGATVEIGAGDGVQVVEMMRAGKRRRGRPPRNQARIVSSSAPSPQRNKEDEEDVCFICFDGGSLVLCDRRGCPKAYHPPCIKRDEAFFKSKAKWNCGWHICSSCQKASHYMCYTCTYSLCKNCTKGADYVNVRGNKGFCGTCMRTVMLIENSALGNKEMVQVDFDDQASWEYLFKVYWILLKEKLSLNLDELTKAKNPWKEIAIPGPKGESSGELNNSINVNVGNGEKAFRDLGASSSKRRKTMKQQNFSNKVRSLDMNGMPLPEGINWATKELLEFVAHMKNGDTSMVSRFDVQALLLEYITRSNLRDPCHSSYIVCDSRLIKLFRKARVGHFEMLKLLDSHFLSKDHSRLIGRGGATKAFATQLAVDGNSDGQPVITNDKSCKTSKKVDGQPINVNKNGDGPLKGVDVVSDIGSRETSIPPYSTGMELSVNNIETDKIWHYKDPLGKTQGPFSMAMLGKWSMSGVSPSDLRIWRTSEKQADSILLTDAFDIQYSQGKQLFHNSCVPIKGVIASDDGCQDRDSDARENKDLNVNQMENKQVEESSKSTQSDTSGDCFGKNEHVKSKEFGSQSSPCSASVDIVNSNAEQMGSPLPHQESVKGDNYFPGQPQVSSSLPSSTVCQKPHETRSCEVGDGHGVERWDCGSVDMNEDLNKTYEGQIIAENVKQEDNDRKSGKSCRQSGTSSSLNDASNGWDLNSGLISLEKAFEVSEQNHDIDFSTLPTSTSNLNNEDSKRHATEFKQSPPSNVPHQDSGPSWSTASSLVGIGSQLPEVAGEWGGYSSTLVKPSAEEWFSGLVSESSLKPTDLESNHSAIPTSGNGQMTHSSSTDPANNASGWDAIVAGPHEYPLGDESVSDLLAEVEAMESLNGLPSPTSILCSDGELAQGSEHNCFSPVGGLSPVHDPGKSNTLSPRNNLQRSSQSAVTNEQFGVSQSEVLDVHKSSGGHSSTSPEIDSTLYSTWRAGPETTGTNLRTVQGNANFNWGGSGQGNTNFSRGTAEVTFQENGSINASTSAGNPPYWGSQQRYTGPRDQGFEGRDSSFGRDRYSSNRQSSYDGPNGVGSSRPLPKGKRVCKFYESGYCKKGASCSYWHP >KJB45731 pep chromosome:Graimondii2_0_v6:7:54195475:54195885:1 gene:B456_007G323800 transcript:KJB45731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNMKFISGIDNIDSNIYRYSIYLKTVTGVLEAIPVIESPLIELLRGSASVGQSNSTRFYSVHTFVLPLLINVFILMHFLMIR >KJB42974 pep chromosome:Graimondii2_0_v6:7:16533748:16534501:1 gene:B456_007G177700 transcript:KJB42974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCDVNWTGVQKSLWLIAISASCWIVWLARNNKVFDRTLINLDTMLYHSKMRALMWARAVLDECQFSECYWWYWPAKCSSSRSMLSRTEDVAGCGGVLRDNKGVACALFSGRIKARGSGMTKLMAFKGVVEMYIGLNRKAHLLNLFENINYGTRQMAGFQVAVTHRQSNGMADVLAKADISRSSFFKVSW >KJB42284 pep chromosome:Graimondii2_0_v6:7:12443695:12445106:-1 gene:B456_007G147200 transcript:KJB42284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSFTLCKQTMSLPHKPPGELKSNFFRPRLEKEKVVIIMGATGTGKSRLSIDLATRFPAEIINSDGTRHNDQEDPEEERCGVPHHLLGEISPYTDFTATDFVDMASHAMDSVLSGGRVPIIAGGWNSYIEALVDDEDFQFRSRYKCCFLCVHVAMPVLHQCVSERVDKMVEMGMVDEVRTFFDANANYAVGIRKAIGVPELDRYFRAEPYLDKQQRGKLLQEAIQEIKRNTCKLACRQLEKIHRLRNKKNWKIHMVDATEVFGRRGKDADEAWEKLVAGHSTEIVAEFLYNFSSQKSDPGH >KJB41893 pep chromosome:Graimondii2_0_v6:7:10065382:10068523:-1 gene:B456_007G126100 transcript:KJB41893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSGGYAVARTQTRDRFYNPPALRRHQQLQRRQLTHRPLLSELRKPSDKESRVNSVDSVAETRADSDDSTLSRPNSVCYSSPNIAKLTNLDRLMESVTPFVPAHCFSEAQLRGKETREVDLRLYYWLGDLWECFSEWSVYGVGVPLLLNGSDSVKQYYVPYLSGIQLYVDPHQPRRTSDIGDAESSREISSAGTSDSETDRRVKGGIDGAWAHHNSERTNGSPMSTSSDEVEVGKSNGQLVFEYFEQEQPHHRKPLYDKISSLASQFPEIRMYRSCELLPASWISVAW >KJB41890 pep chromosome:Graimondii2_0_v6:7:10065022:10068523:-1 gene:B456_007G126100 transcript:KJB41890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSGGYAVARTQTRDRFYNPPALRRHQQLQRRQLTHRPLLSELRKPSDKESRVNSVDSVAETRADSDDSTLSRPNSVCYSSPNIAKLTNLDRLMESVTPFVPAHCFSEAQLRGKETREVDLRLYYWLGDLWECFSEWSVYGVGVPLLLNGSDSVKQYYVPYLSGIQLYVDPHQPRRTSDIGDAESSREISSAGTSDSETDRRVKGGIDGAWAHHNSERTNGSPMSTSSDEVEVGKSNGQLVFEYFEQEQPHHRKPLYDKISSLASQFPEIRMYRSCELLPASWISVAWYPIYRIPMGPTLQNLDASFLTFHSLSTRSQSKNQLEYSASSSRKACGVDPYSNISLPVFGLASYKLRGSILTPGGIQESQQASSLLQAADNWLQCLQVRHPDFQFFLSHNSQWR >KJB41891 pep chromosome:Graimondii2_0_v6:7:10064876:10068602:-1 gene:B456_007G126100 transcript:KJB41891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSGGYAVARTQTRDRFYNPPALRRHQQLQRRQLTHRPLLSELRKPSDKESRVNSVDSVAETRADSDDSTLSRPNSVCYSSPNIAKLTNLDRLMESVTPFVPAHCFSEAQLRGKETREVDLRLYYWLGDLWECFSEWSVYGVGVPLLLNGSDSVKQYYVPYLSGIQLYVDPHQPRRTSDIGDAESSREISSAGTSDSETDRRVKGGIDGAWAHHNSERTNGSPMSTSSDEVEVGKSNGQLVFEYFEQEQPHHRKPLYDKISSLASQFPEIRMYRSCELLPASWISVAWYPIYRIPMGPTLQNLDASFLTFHSLSTRSQSKNQLEYSASSSRKACGVDPYSNISLPVFGLASYKLRGSILTPGGIQESQQASSLLQAADNWLQCLQVRHPDFQFFLSHNSQWR >KJB41892 pep chromosome:Graimondii2_0_v6:7:10064891:10068523:-1 gene:B456_007G126100 transcript:KJB41892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSGGYAVARTQTRDRFYNPPALRRHQQLQRRQLTHRPLLSELRKPSDKESRVNSVDSVAETRADSDDSTLSRPNSVCYSSPNIAKLTNLDRLMESVTPFVPAHCFSEAQLRGKETREVDLRLYYWLGDLWECFSEWSVYGVGVPLLLNGSDSVKQYYVPYLSGIQLYVDPHQPRRTSDIGDAESSREISSAGTSDSETDRRVKGGIDGAWAHHNSERTNGSPMSTSSDEVEVGKSNGQLVFEYFEQEQPHHRKPLYDKISSLASQFPEIRMYRSCELLPASWISVAWYPIYRIPMGPTLQNLDASFLTFHSLSTRSQSKNQLEYSASSSRKACGVDPYSNISLPVFGLASYKLRGSILTPGGIQESQQASSLLQAADNWLQCLQVRHPDFQFFLSHNSQWR >KJB41894 pep chromosome:Graimondii2_0_v6:7:10066119:10068277:-1 gene:B456_007G126100 transcript:KJB41894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSGGYAVARTQTRDRFYNPPALRRHQQLQRRQLTHRPLLSELRKPSDKESRVNSVDSVAETRADSDDSTLSRPNSVCYSSPNIAKLTNLDRLMESVTPFVPAHCFSEAQLRGKETREVDLRLYYWLGDLWECFSEWSVYGVGVPLLLNGSDSVKQYYVPYLSGIQLYVDPHQPRRTSDIGDAESSREISSAGTSDSETDRRVKGGIDGAWAHHNSERTNGSPMSTSSDEVEVGKSNGQLVFEYFEQEQPHHRKPLYDKASSHGGDLQC >KJB43065 pep chromosome:Graimondii2_0_v6:7:17365397:17366176:-1 gene:B456_007G182000 transcript:KJB43065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTIDSLHQTHLSNPSFQPIFYSLDPISLIHSLNHVMERGPRYQAYADLRESKLRMKFEKLQEWEAMEVKQAPVKKQVKFSSNSGVSTKRSSVLIQSVPDFSSALRKENRKPPVNGGVELTPPKTEKSWSKANGYWSNSKGSQSANAGEKKKARLAMARKSYASIEELNAINGVNRGGKSRARLM >KJB42950 pep chromosome:Graimondii2_0_v6:7:16417508:16419833:1 gene:B456_007G176300 transcript:KJB42950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLLLFITRSFFKLCSFSLLILFLISCSSFPSTEAYDPLDPNGNITIKWDIMSWTSDGYVAVVTIYNFQQYRHIQAPGWKLGWTWAKKEVIWSMMGGETTEQGDCSRFKGNIPHCCNKHPTVVDLLPGTPYNQQIANCCKGGVLNSWAQDPATAASSFQLSVGQSGTTNKTVRVPVNFTLKAPGPGYTCGPAKIVKPSRFVTPDGRRETQAMMTWNVACTYSQFLAQEAPSCCVSFSSFCNDTIVPCSKCACGCQNTSQPGSCVESKASHIAPFVNSYTPLVRCTSHMCPVRVHWHIKLNYKEYWRVKITITNFNYNMNYTQWNLVVQHPNFDNLTQSFSFNYKSITPYTAINDTAMLWGIKFYNDMLLEAGPLGNVQSELLFRKDKATFTFEEGWAFPRRIYFNGDNCVMPTPNVYPGLPNASSHQLTSALGLLVTLLAAMALLFGHA >KJB42949 pep chromosome:Graimondii2_0_v6:7:16417607:16419780:1 gene:B456_007G176300 transcript:KJB42949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGETTEQGDCSRFKGNIPHCCNKHPTVVDLLPGTPYNQQIANCCKGGVLNSWAQDPATAASSFQLSVGQSGTTNKTVRVPVNFTLKAPGPGYTCGPAKIVKPSRFVTPDGRRETQAMMTWNVACTYSQFLAQEAPSCCVSFSSFCNDTIVPCSKCACGCQNTSQPGSCVESKASHIAPFVNSYTPLVRCTSHMCPVRVHWHIKLNYKEYWRVKITITNFNYNMNYTQWNLVVQHPNFDNLTQSFSFNYKSITPYTAINDTAMLWGIKFYNDMLLEAGPLGNVQSELLFRKDKATFTFEEGWAFPRRIYFNGDNCVMPTPNVYPGLPNASSHQLTSALGLLVTLLAAMALLFGHA >KJB43302 pep chromosome:Graimondii2_0_v6:7:18915887:18917647:1 gene:B456_007G192900 transcript:KJB43302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKGHTYKGSWTREEDERLIAYIQAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDVKRGNFTDEEDELIIKLHSLLGNKWSIIAGRLPGRTDNEIKNHWNTHIKRKLVSRGIDPLTHRPVNEQAEIHTIYTVSSTAVLREDGRQKNQELNLELQISPPSLHSHPPQVLQKRNRKVICFYCSLGIRNSKECTCEGSSHSRSIQHVSFCTWRKAFQSEN >KJB45859 pep chromosome:Graimondii2_0_v6:7:55649468:55651165:1 gene:B456_007G333600 transcript:KJB45859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIEAQRDSKSGLLRFKTLVRRYDLPRSWKEMKILQAYLSTTLIPSFNPDNHWKPWLLIELNILFLLAGQSAAVLLTKFYFNNGGKSKWMASLMQTAGFPLLYLPLLFFPSFNKQSPSSSNNITHYVVYFSLGCLLAIDNFMYTVGVKPLSISTYSLLCASQLVFNAIFSVVINCEKLGILTLNSIIFITVSASMVAIHPDSSETKRDEKNPVRKNEHTIGFISTIGASAGYALLLSLTQFSFDKILKKDTFSVVFEMQIYTSLVSSFVCLLGLFLSLEFMDLKSEMEKFDEGKVIYVVSLIGIALAWQICTVGVVGLIYLVSSLFSNVVSMLSLPFVPVVGVLLYKEKMDAIKVLAMLFTLWGFASYIYQQYLDDKKSKKKESQEIEDSKSEV >KJB44983 pep chromosome:Graimondii2_0_v6:7:48580096:48580941:1 gene:B456_007G283700 transcript:KJB44983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSLNLSSLHHTSTNPLILRCTPLNLRTPSQKPFSIRSQAAPVLSQDDLKKLAADKAVESVKSGMILGLGTGSTAAFVVDKIGQLLSTGQLSNIVGIPTSKRTQEQAASLNIPLSTLDLHPRIDLAIDGADEVDPNLDLVKGRGGALLREKMVEAASSSFIVVADDSKLVSGLGGSGLAMPVEVVQFCWKYNLVRLQGLFKELGCEAKLRLVGDGSEKPYVTDNGNYIVDLYFKNPIKDGFGAGKEISALEGVVEHGLFLGMATSVIIAGKTGIEVMTK >KJB43702 pep chromosome:Graimondii2_0_v6:7:23254839:23256093:1 gene:B456_007G212300 transcript:KJB43702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKSVQAKENKDSTLVQSKEPDLEEQPQQSEDSTTEPSSEFTRKDLAKLVASLVVVALTIVFLVLVFTPKHPKFKVRFAAKMTVKNPNFGYFRYGVTNVSFTYRGIQVGEVSIPKARVRAVSTRKMNAVINLNANNVGNDTNLESDVRLGTLTLTVYKLNGRKRTAALNCTLTVNLPKKLVQDIHCS >KJB39165 pep chromosome:Graimondii2_0_v6:7:147996:149974:-1 gene:B456_007G001400 transcript:KJB39165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGCYMRYSTHRFFGPEAETEDNTGFSPWVIIGLASAGVACAVLGAIGTCISCKRFSKKKGLDDIPATRNNTSLNYMYGTLEKATDHFDESRKLGQGGAGTVFKGILPDGKVVAVKRLFFNTRQWVDQFFNEVNLISGIQHKNLVSLLGCSIEGPESLLVYEYVPNRRLDQILFVKNTINILSWSHRFNIICGTAEGLAYLHGGSGVKIIHRDIKSSNILLDENLNPKIADFGLACCVASDKSHVSTAIAGTLGYMAPEYLVRGQLTEKADVYAFGVLMLEIATGRKNNVFSERSSSTLYLVWKQYKAESITQAIDSTLKGRFDEREASIVLRVGLLCTQSSVALRPTMSEVAQTLTDRRCVIPSPKQPPFLNASVLSPEDAMTSSFMGSSALNDPRTQKPGT >KJB39166 pep chromosome:Graimondii2_0_v6:7:147305:151111:-1 gene:B456_007G001400 transcript:KJB39166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSVQHPLNIIMIWMLLMFASCFSPASSALLSFGSRLKCGTNNETSQRFVRSVDKVMGALSKLIAAQGWGTFSDVDPPFTVFGLGQCYQHVNGKGVYQQDFQRELDQVIRKVTNTALENNGYAAKAAKGGVTTVYALAQCWRAIDQETCSECLRHAASGLRKCAPGAEGKAMFSGCYMRYSTHRFFGPEAETEDNTGFSPWVIIGLASAGVACAVLGAIGTCISCKRFSKKKGLDDIPATRNNTSLNYMYGTLEKATDHFDESRKLGQGGAGTVFKGILPDGKVVAVKRLFFNTRQWVDQFFNEVNLISGIQHKNLVSLLGCSIEGPESLLVYEYVPNRRLDQILFVKNTINILSWSHRFNIICGTAEGLAYLHGGSGVKIIHRDIKSSNILLDENLNPKIADFGLACCVASDKSHVSTAIAGTLGYMAPEYLVRGQLTEKADVYAFGVLMLEIATGRKNNVFSERSSSTLYLVWKQYKAESITQAIDSTLKGRFDEREASIVLRVGLLCTQSSVALRPTMSEVAQTLTDRRCVIPSPKQPPFLNASVLSPEDAMTSSFMGSSALNDPRTQKPGT >KJB39164 pep chromosome:Graimondii2_0_v6:7:147305:151111:-1 gene:B456_007G001400 transcript:KJB39164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGTLEKATDHFDESRKLGQGGAGTVFKGILPDGKVVAVKRLFFNTRQWVDQFFNEVNLISGIQHKNLVSLLGCSIEGPESLLVYEYVPNRRLDQILFVKNTINILSWSHRFNIICGTAEGLAYLHGGSGVKIIHRDIKSSNILLDENLNPKIADFGLACCVASDKSHVSTAIAGTLGYMAPEYLVRGQLTEKADVYAFGVLMLEIATGRKNNVFSERSSSTLYLVWKQYKAESITQAIDSTLKGRFDEREASIVLRVGLLCTQSSVALRPTMSEVAQTLTDRRCVIPSPKQPPFLNASVLSPEDAMTSSFMGSSALNDPRTQKPGT >KJB44822 pep chromosome:Graimondii2_0_v6:7:46831320:46834118:-1 gene:B456_007G274900 transcript:KJB44822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLLFACFSASKNEKDQHVVDGTSSIDQKPEANEAVQSSKEDFGIPFNPIIVKKEKLGELLNNSGEEKLSFDLYVKTHEELAVESSEKLLKNDEGKESEETVVFKPQNNRYQNSAESEDELNDLDLEINDLDDGNEDDGIEKAKNESQLVIEESSESLFSLSIESRKQVCEVESDEKEVNSPMPIVLNRNGKDKGGQYVQSVLNPVENLAQWKEVKAKASIPLNLQEKENINVEQGFGIPISAEPSFKLASSKLCSNGKMVGKESAVDTSLSSWLVEPENTPNSKASTNSVGNSAISQKMNSPRSHEDRPILGAITMVELKQRSASSSPRKCGSWSPDEAPIIGTVGSYWSHTGQNIDTDSSSPSKRTPRHIQEERLKLKAIPFGARLERALDTGLQEYSRSHHYERSWEINFLLHSAFP >KJB44823 pep chromosome:Graimondii2_0_v6:7:46832267:46834018:-1 gene:B456_007G274900 transcript:KJB44823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLLFACFSASKNEKDQHVVDGTSSIDQKPEANEAVQSSKEDFGIPFNPIIVKKEKLGELLNNSGEEKLSFDLYVKTHEELAVESSEKLLKNDEGKESEETVVFKPQNNRYQNSAESEDELNDLDLEINDLDDGNEDDGIEKAKNESQLVIEESSESLFSLSIESRKQVCEVESDEKEVNSPMPIVLNRNGKDKGGQYVQSVLNPVENLAQWKEVKAKASIPLNLQEKENINVEQGFGIPISAEPSFKLASSKLCSNGKMVGKESAVDTSLSSWLVEPENTPNSKASTNSVGNSAISQKMNSPRSHEDRPILGAITMVELKQRSASSSPRKCGSWSPDEAPIIGTVGSYWSHTGQNIDTDSSSPSKRTPRHIQRD >KJB46658 pep chromosome:Graimondii2_0_v6:7:48901053:48901958:-1 gene:B456_007G2854002 transcript:KJB46658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDVKRSIDDGENRFNQLSIEERGKFDEETLVNVNNIKRQSTSSQKASGFSNEYIVITILVAAEGMHKLPPINGSGDLKEALQKLASIPSSKILAVEVLWTPQNENDTLSEQELLEDYPLLRPL >KJB45191 pep chromosome:Graimondii2_0_v6:7:50471335:50475391:-1 gene:B456_007G294600 transcript:KJB45191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-1-like protein, Male meiosis and anther dehiscenc [Source: Projected from Oryza sativa (Os08g0117000)] MSNVTVCVRFRPLSSKEKKDLGDNICIQSMDSETVIIKDEKEEGFAFSFDKVFYEESKQADVYEFLVLPIVRDTVNSINGTIITYGQTGAGKTYSMEGPNILESDEKKKGILPRVVDGLFACIKTSADSTKYTVKLSMVEIYMERVRDLFDLSKDNIQIKESKTQGIVLSGATEISLSDTAEALQSLSSGIANRAIGETQMNMASSRSHCVYMFTLNQESISEKRVKSGKLILVDLAGSEKVEKTGAEGRVLEEAKTINKSLSALGNVINALTCGSPAKANHIPYRDSKLTRMLQDALGGNSRTALLCCCSPSHSNASESLSTLRFGARAKHIKTSPLVKVSEEKCSKKNGDASGTRDESFEKILEKMSERLNDEDIKLLEELFIQAGLFVDPDSEEDLESAIQDVVQQTISSLMKAVEELRSTVEMLQRENNAMKGRLAVAERCDALPGENADFLQKIFGFLSSFIPGM >KJB42705 pep chromosome:Graimondii2_0_v6:7:14616987:14626968:1 gene:B456_007G165700 transcript:KJB42705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSTNTLAIEKKMVPGNEVQQVELLAGVEDLYGGIIIDMEKTMDSEAFVPLLRASLSQWKQRGKRAVWIKLPIELANLVEPAVKEGFKYHHAEPDYVMLVNWISKSTNSLPKNASHRVGISAFVMNDKRQVLVVQEKSGKFKGTGVWKFPTGVVDEGEDISMAAIREVKEETGIDTEFVEILAFRQSHKSFFTKSDLLFVCMLRPRSFDIQKQDTEIEAAQWIPVEEYAEQPFMKKHDSFSSVAKVCLTKSEKEYAGFSPIPRTTASGKTSYLYFNTKDLTQL >KJB42706 pep chromosome:Graimondii2_0_v6:7:14619392:14627038:1 gene:B456_007G165700 transcript:KJB42706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSTNTLAIEKKMVPGNEVQQVELLAGVEDLYGGIIIDMEKTMDSEAFVPLLRASLSQWKQRGKRAVWIKLPIELANLVEPAVKEGFKYHHAEPDYVMLVNWISKSTNSLPKNASHRVGISAFVMNDKRQVLVVQEKSGKFKGTGVWKFPTGVVDEGEDISMAAIREVKEETGIDTEFVEILAFRQSHKSFFTKSDLLFVCMLRPRSFDIQKQDTEIEAAQWIPVEEYAEQPFMKKHDSFSSVAKVCLTKSEKEYAGFSPIPRTTASGKTSYLYFNTKDLTQL >KJB44949 pep chromosome:Graimondii2_0_v6:7:48263706:48264333:1 gene:B456_007G281500 transcript:KJB44949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGKPLLKECSNISGGLPLPSSTFSEEYGLDWKVVVLDYGCGFLFGAVIGYVVIKRKPHWFAKAFSKFSTRRQRR >KJB44938 pep chromosome:Graimondii2_0_v6:7:48031968:48034376:-1 gene:B456_007G280600 transcript:KJB44938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMMKVAATEKPSLSSPAALASTIKFIQIPKSETFLQPTLEQTRQTHALMVKTHFNETKFSPSAQFNFLITSYTKNSQPHSALDIYTYLRRMDYEVDNFMVPAVLKACSFVSNTQLGKEIHGFAVKNGLTEDVFVSNALIQMYSECDSVVSARLLFDNMYERDAVSWSTMIRCYVRSKLYMEALEMIRKMQILQIRPSEVAMISMITLFADLMDVEMGKAMHAYVTRNLEKMSFQLTTAFIHMYAKSGNLASARLLFDGLNQKTVVSWTAMIAGYIHCNKLEGGMKLFARMIEERIKPNEITLLCLVVESGFVGALELGKQLHVYILRNGICLSLALATALVDMYGKCGEIWNARAVFDSVKDKDVMIWSAMIAAYAQTHCIDQAFDLFVKMRENGVRPNQVTMTTMLSLCAETGALDMGKWVHTVIDRQAVEMDTILKTALLEMYAKCGDIDGAWKLFREVKDRDIGMWNTMMAGLGMHGCGKEALELFSEMEREGVRPNDITFIGLLNACSHAGLVEEGKLIFEKMVHAFALVPKIEHYGCMVDLLGRAGLLDEAYGIIKSLPIRANSIIWSALLAACKLHHNTVLGEMAARQLVYLEPQNCGYNVSISNIYAVANRWNDVAEVRKAMKNKGIRKEPGLSCIEVNGYVHEFIMGDKAHPEIEKINDMVSEMGNKLKEAGYMADTSAVLRNIDEEEKETALNYHSEKLAIAFGLISTAPGTPIQIVKNLRVCKDCHTATKLLSKIYQRVIIVRDRKRFHHFRDGTCSCGDYW >KJB40141 pep chromosome:Graimondii2_0_v6:7:3360673:3365301:1 gene:B456_007G048300 transcript:KJB40141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 5 [Source:Projected from Arabidopsis thaliana (AT4G36120) UniProtKB/Swiss-Prot;Acc:O65649] MDRRGWPWKKKSSEKTGTDKQAVVSDGTSASLSSLASLGDQEKCKKVNYVQISMESYVHLTGLEDEVKKLKDQVRLCEDEVKNLKGKLSEADTEIKSKDGLISQHAKVAEEAVSGWEKADAEAFALKRQLENLTLLKLSAEDRAAHLDGALKECMRQVRNVKEESEHKLHDVVLAKSIQWDKVKLGLEAKIAELDEGLLRAAAENQAISSSLHERSNLIVQIEVEKSKAEAEMELIKENLMLREKEISSLKYELHMVSKELEIRNEEKNISVRSAEAANKQHLASVSKIAKLEAECQRLRGLVRKRLPGPAAFAQMKQEIDHFGRDFGGPQSKRNAVKNPNPNLSPQMEFSAGNLLQSQKEIDYLNMRLLEMEEETKMLKEALASRNSELQAARDMCAKTSVKVKSLEAQIQALNNQTSSPKSSIGHPAGDLSSQYASNAPSITSTSEDGVDVEGRSAESLLPVTSDISHLGMQKSSHKVHKQKNPNCLELMDDFLEMEKLACLPNDAGGANDVSSHPGNYGNYRAEGNTSRNAVQDQDLFSVQEFGFSPSSKQGSSSARSSTTELQQDVKNLPFLKLRSMISSIFESQTKDLNLGKVLEDIKCAILEIQGSLPQHCLYEGVQAGHSPSDPKDVSGQSLIFLDEENITKKNLASAISQIHQVVLSIGREAVRVQDPPSDGHRLSKTLDDFSAFADKFVFDKKGLVDFILRLSHVFAEADRLNLGFLGYRDYDRNASDNHCIDKVALLENKVVQDNPLKHACGGGCHLCHSCPDSEVIRISDPSFQPSATSCSCLLKELEQLKLDKQNITVDLARSTEDLENVKLLLQETENSLTELKLQLASSQNLHSLAETQLKCMTESYKSLELRAQELEAEVNLLQEKSAKLDDELLGEKRGHQDALARCKDLEDRVQRNVASFFCPSSAIGDSEMKMKQERELKDAAQKLAACQETIYLLGRQLQSLHPQTENHQTHHSDKLSGENSAEGRLNHSGSKAQDIHHIDDFDRIETDSVASADVQSVSEDSLHYSHSTPSPFDNEPNLSSSSLTSLSHLSHRRTKSTFSASTLEREKHLHSFGRLFSLEGKNEQ >KJB40143 pep chromosome:Graimondii2_0_v6:7:3360678:3365253:1 gene:B456_007G048300 transcript:KJB40143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 5 [Source:Projected from Arabidopsis thaliana (AT4G36120) UniProtKB/Swiss-Prot;Acc:O65649] MDRRGWPWKKKSSEKTGTDKQAVVSDGTSASLSSLASLGDQEKCKKVNYVQISMESYVHLTGLEDEVKKLKDQVRLCEDEVKNLKGKLSEADTEIKSKDGLISQHAKVAEEAVSGWEKADAEAFALKRQLENLTLLKLSAEDRAAHLDGALKECMRQVRNVKEESEHKLHDVVLAKSIQWDKVKLGLEAKIAELDEGLLRAAAENQAISSSLHERSNLIVQIEVEKSKAEAEMELIKENLMLREKEISSLKYELHMVSKELEIRNEEKNISVRSAEAANKQHLASVSKIAKLEAECQRLRGLVRKRLPGPAAFAQMKQEIDHFGRDFGGPQSKRNAVKNPNPNLSPQMEFSAGNLLQSQKEIDYLNMRLLEMEEETKMLKEALASRNSELQAARDMCAKTSVKVKSLEAQIQALNNQTSSPKSSIGHPAGDLSSQYASNAPSITSTSEDGVDVEGRSAESLLPVTSDISHLGMQKSSHKVHKQKNPNCLELMDDFLEMEKLACLPNDAGGANDVSSHPGNYGNYRAEGNTSRNAVQDQDLFSVQEFGFSPSSKQGSSSARSSTTELQQDVKNLPFLKLRSMISSIFESQTKDLNLGKVLEDIKCAILEIQGSLPQHCLYEGVQAGHSPSDPKDVSGQSLIFLDEENITKKNLASAISQIHQVVLSIGREAVRVQDPPSDGHRLSKTLDDFSAFADKFVFDKKGLVDFILRLSHVFAEADRLNLGFLGYRDYDRNASDNHCIDKVALLENKVVQDNPLKHACGGGCHLCHSCPDSELKLDKQNITVDLARSTEDLENVKLLLQETENSLTELKLQLASSQNLHSLAETQLKCMTESYKSLELRAQELEAEVNLLQEKSAKLDDELLGEKRGHQDALARCKDLEDRVQRNVASFFCPSSAIGDSEMKMKQERELKDAAQKLAACQETIYLLGRQLQSLHPQTENHQTHHSDKLSGENSAEGRLNHSGSKAQDIHHIDDFDRIETDSVASADVQSVSEDSLHYSHSTPSPFDNEPNLSSSSLTSLSHLSHRRTKSTFSASTLEREKHLHSFGRLFSLEGKNEQ >KJB40142 pep chromosome:Graimondii2_0_v6:7:3360678:3365015:1 gene:B456_007G048300 transcript:KJB40142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 5 [Source:Projected from Arabidopsis thaliana (AT4G36120) UniProtKB/Swiss-Prot;Acc:O65649] MDRRGWPWKKKSSEKTGTDKQAVVSDGTSASLSSLASLGDQCKKVNYVQISMESYVHLTGLEDEVKKLKDQVRLCEDEVKNLKGKLSEADTEIKSKDGLISQHAKVAEEAVSGWEKADAEAFALKRQLENLTLLKLSAEDRAAHLDGALKECMRQVRNVKEESEHKLHDVVLAKSIQWDKVKLGLEAKIAELDEGLLRAAAENQAISSSLHERSNLIVQIEVEKSKAEAEMELIKENLMLREKEISSLKYELHMVSKELEIRNEEKNISVRSAEAANKQHLASVSKIAKLEAECQRLRGLVRKRLPGPAAFAQMKQEIDHFGRDFGGPQSKRNAVKNPNPNLSPQMEFSAGNLLQSQKEIDYLNMRLLEMEEETKMLKEALASRNSELQAARDMCAKTSVKVKSLEAQIQALNNQTSSPKSSIGHPAGDLSSQYASNAPSITSTSEDGVDVEGRSAESLLPVTSDISHLGMQKSSHKVHKQKNPNCLELMDDFLEMEKLACLPNDAGGANDVSSHPGNYGNYRAEGNTSRNAVQDQDLFSVQEFGFSPSSKQGSSSARSSTTELQQDVKNLPFLKLRSMISSIFESQTKDLNLGKVLEDIKCAILEIQGSLPQHCLYEGVQAGHSPSDPKDVSGQSLIFLDEENITKKNLASAISQIHQVVLSIGREAVRVQDPPSDGHRLSKTLDDFSAFADKFVFDKKGLVDFILRLSHVFAEADRLNLGFLGYRDYDRNASDNHCIDKVALLENKVVQDNPLKHACGGGCHLCHSCPDSEVIRISDPSFQPSATSCSCLLKELEQLKLDKQNITVDLARSTEDLENVKLLLQETENSLTELKLQLASSQNLHSLAETQLKCMTESYKSLELRAQELEAEVNLLQEKSAKLDDELLGEKRGHQDALARCKDLEDRVQRNVASFFCPSSAIGDSEMKMKQERELKDAAQKLAACQETIYLLGRQLQSLHPQTENHQTHHSDKLSGENSAEGRLNHSGSKAQDIHHIDDFDRIETDSVASADVQSVSEDSLHYSHSTPSPFDNEPNLSSSSLTSLSHLSHRRTKSTFSASTLEREKHLHSFGRLFSLEGKNEQ >KJB43184 pep chromosome:Graimondii2_0_v6:7:18053457:18065464:-1 gene:B456_007G187700 transcript:KJB43184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKLKSLALVGGGALLGAASTLFLLKLLPRRISNQCDKKAIELNDTTVKCAIGLGNGNIGMTGLSLLADEIVSEQLTRNIQFFGLDSQQKVTSSYVVVIGLGGVGSHAASMLLRSGVGKLLLVDFDQVRHRLRKDHGIEGGIPVVFSLEKPKAKLLPFRGPSGEEDNPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAGLDVQTEPVVNLDVDHYQTLFQRLIEHEESVYGADMQVQVDVEEVQYIVKELWRGRSARELSPKDVGRGMWRSVNELMLVRWDQAKAASVSNLVLLKFKEADEHEQRSLDDIKEKEPEFFNRVTSVLKRAEQDFGL >KJB43183 pep chromosome:Graimondii2_0_v6:7:18058807:18065331:-1 gene:B456_007G187700 transcript:KJB43183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKLKSLALVGGGALLGAASTLFLLKLLPRRISNQCDKKAIELNDTTVKCAIGLGNGNIGMTGLSLLADEIVSEQLTRNIQFFGLDSQQKVTSSYVVVIGLGGVGSHAASMLLRSGVGKLLLVDFDQVSLSSLNRHAVATRADVGIPKAECLKKHFSSIFPECQVDAKVLLYDVSSEEEILSGNPDFVLDCIDNIDTKVALLAACVRRGLKVLSATGAGARADPTRIRVADLRESTNDPLSRSVRHRLRKDHGIEGGIPVVFSLEKPKAKLLPFRGPSGEEDNPSDYQVRMLASYFCSFKVLCLIT >KJB43182 pep chromosome:Graimondii2_0_v6:7:18053383:18065531:-1 gene:B456_007G187700 transcript:KJB43182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKLKSLALVGGGALLGAASTLFLLKLLPRRISNQCDKKAIELNDTTVKCAIGLGNGNIGMTGLSLLADEIVSEQLTRNIQFFGLDSQQKVTSSYVVVIGLGGVGSHAASMLLRSGVGKLLLVDFDQVSLSSLNRHAVATRADVGIPKAECLKKHFSSIFPECQVDAKVLLYDVSSEEEILSGNPDFVLDCIDNIDTKVALLAACVRRGLKVLSATGAGARADPTRIRVADLRESTNDPLSRSVRHRLRKDHGIEGGIPVVFSLEKPKAKLLPFRGPSGEEDNPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAGLDVQTEPVVNLDVDHYQTLFQRLIEHEESVYGADMQVQVDVEEVQYIVKELWRGRSARELSPKDVGRGMWRSVNELMLVRWDQAKAASVSNLVLLKFKEADEHEQRSLDDIKEKEPEFFNRVTSVLKRAEQDFGL >KJB43181 pep chromosome:Graimondii2_0_v6:7:18053383:18062551:-1 gene:B456_007G187700 transcript:KJB43181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSGVGKLLLVDFDQVSLSSLNRHAVATRADVGIPKAECLKKHFSSIFPECQVDAKVLLYDVSSEEEILSGNPDFVLDCIDNIDTKVALLAACVRRGLKVLSATGAGARADPTRIRVADLRESTNDPLSRSVRHRLRKDHGIEGGIPVVFSLEKPKAKLLPFRGPSGEEDNPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAGLDVQTEPVVNLDVDHYQTLFQRLIEHEESVYGADMQVQVDVEEVQYIVKELWRGRSARELSPKDVGRGMWRSVNELMLVRWDQAKAASVSNLVLLKFKEADEHEQRSLDDIKEKEPEFFNRVTSVLKRAEQDFGL >KJB43185 pep chromosome:Graimondii2_0_v6:7:18058675:18065460:-1 gene:B456_007G187700 transcript:KJB43185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKLKSLALVGGGALLGAASTLFLLKLLPRRISNQCDKKAIELNDTTVKCAIGLGNGNIGMTGLSLLADEIVSEQLTRNIQFFGLDSQQKVTSSYVVVIGLGGVGSHAASMLLRSGVGKLLLVDFDQVSLSSLNRHAVATRADVGIPKAECLKKHFSSIFPECQVDAKVLLYDVSSEEEILSGNPDFVLDCIDNIDTKVALLAACVRRGLKVLSATGAGARADPTRIRVADLRESTNDPLSRSVRHRLRKDHGIEGGIPVVFSLEKPKAKLLPFRGPSGEEDNPSDYQWGNSSNILKQNLRIESTFGWS >KJB42283 pep chromosome:Graimondii2_0_v6:7:12421440:12423561:1 gene:B456_007G147100 transcript:KJB42283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPPSLDLPSPPLGKPPHLQLLSETTLLNLFKSQQNHLNYFFQNLDFSQALSFTQTLLNSRGTIFFSGVGKSGFVASKISQTLVSLDIRSSFLCPLDALHGDIGALSSADVLVLFSKSGSTEELLRLLPCARAKGVYLISVTSVSNNALANACDMNVHLPLERELCPFDLAPVTSTAIQMVFGDTVAIALMGARNLTKEQYAANHPAGRIGKSLIFKVKDVMKKKDELPICKEGDLIMEQLVELSSKGCGCLLVIDDEYHLLGTFTDGDLRRTLIKASGEAIFKLRVGDMCNR >KJB42282 pep chromosome:Graimondii2_0_v6:7:12421373:12423578:1 gene:B456_007G147100 transcript:KJB42282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPPSLDLPSPPLGKPPHLQLLSETTLLNLFKSQQNHLNYFFQNLDFSQALSFTQTLLNSRGTIFFSGVGKSGFVASKISQTLVSLDIRSSFLCPLDALHGDIGALSSADVLVLFSKSGSTEELLRLLPCARAKGVYLISVTSVSNNALANACDMNVHLPLERELCPFDLAPVTSTAIQMVFGDTVAIALMGARNLTKEQYAANHPAGRIGKSLIFKVKDVMKKKDELPICKEGDLIMEQLVELSSKGCGCLLVIDDEYHLLGTFTDGDLRRTLIKASGEAIFKLRVGDMCNREPRTIGPDAMAVEAMKKMESPPSPVQFLPVIDHQNVLIGIITLHGLVSAGL >KJB39742 pep chromosome:Graimondii2_0_v6:7:44224383:44225456:1 gene:B456_007G265900 transcript:KJB39742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALERMKADLEKMREEKACMEACLIAVGIELEEKKRVLSEYKAMAEVADGLLGIVMEEDGDNVHIGEDLDEETMKELVEEAMREFTEAMVEAQSRGISPAYGRNDGGYEGSFGGDDSEEAGGINGGHSE >KJB46511 pep chromosome:Graimondii2_0_v6:7:60559592:60564614:1 gene:B456_007G373300 transcript:KJB46511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQAESSDSKGTKRDFSTAILERKKAPNRLVVDEAINDDNSVVSMHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGSLFDAYLKPYFLEAYRPVRKGDFFLARGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVKREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLEKISKETHGYVGADLAALCTEAALQCIREKMDVIDLEDDSIDAEILNSMAVTNEHFATALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPLAKEVDLRALAKYTQGFSGADITEICQRASKYAIREDIEKDIERERRRRENPEAMEEDVDDEVAEIKPAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFKFAETGSRAATSDPFATTAGGADEDDLYS >KJB46512 pep chromosome:Graimondii2_0_v6:7:60559635:60564525:1 gene:B456_007G373300 transcript:KJB46512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQAESSDSKGTKRDFSTAILERKKAPNRLVVDEAINDDNSVVSMHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGSLFDAYLKPYFLEAYRPVRKGDFFLARGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVKREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLEKISKETHGYVGADLAALCTEAALQCIREKMDVIDLEDDSIDAEILNSMAVTNEHFATALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQV >KJB43122 pep chromosome:Graimondii2_0_v6:7:17770088:17773238:-1 gene:B456_007G185300 transcript:KJB43122 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-hydroxyacyl-CoA lyase [Source:Projected from Arabidopsis thaliana (AT5G17380) UniProtKB/Swiss-Prot;Acc:Q9LF46] MADCLDGNVLAAKSFARFGVTHMFGVVGIPVTGLANRAVQLGIRFIAFHNEQSAGYAASAYGYLTGRPGLLLTVSGPGCVHGLAGLSNAMINTWPMVMISGSSDQKDSGRGDFQELDQIEAVKPFSKFSVKAKDIKEIPDCVARVLDHAVSGRPGGCYLDLPTDVLHQMITESEAEKLLTEVEKERVFEATKAPPSSEIAKAVSVLRKAERPLIVFGKGAAYARAEGELKKLVERTGIPFLPTPMGKGLVNDDHELAASAARSLAIGKCDVALVVGARLNWLLHFGESPKWDKDVKFILVDVSEEEIKLRKPHLGLVGDAKKVLEMINKEIKDDPFCLGKSHPWVEAISKKVKDNVSKMEAQLAKDVVPFNFLTPMRIIRDAILGVGSPAPVVVSEGANTMDVGRSVLVQTEPRTRLDAGTWGTMGVGLGYCIAAAVASPERLVVAVEGDSGFGFSAMEVETLVRYKLPVVVIVFNNGGVYGGDRRSPEEVSGPFKDDPAPTSFVPGAAYHTLIEAFGGKGYLVGTPDELKSALSESFSARKPAVINVLIDPFAGAESGRMQHKN >KJB44222 pep chromosome:Graimondii2_0_v6:7:33289543:33291870:-1 gene:B456_007G240600 transcript:KJB44222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVSAQKPPAPHGYISISTKRLLHNLEINAGARASVWVDSMRASSPTHKKSTPDDQGSWNLHHPSALEMFEQIIDASKGKQIVMFLDYDGTLSPIVEDPDRAFMSNKMRRTVRKLAKCFPTAIVSGRCRDKVYKFVKLAELYYAGSHGMDIKGPKKRSKTDKVTEHVLFQPANEFLPMIDEVYKQLVETTKSTPGAKVENNKFCLSVHFRCVDEKKWSELAQQVKSVLKKYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFANCTDVFPVYIGDDRTDEDAFKILRDRGQGFGILVSKYPKDTNASYSLQEPDEVMDFLQRLVEWKQLSMGA >KJB44221 pep chromosome:Graimondii2_0_v6:7:33289840:33291694:-1 gene:B456_007G240600 transcript:KJB44221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFLEERAKNMGGGGQNIMTDAKSVLNMSITVTVSAQKPPAPHGYISISTKRLLHNLEINAGARASVWVDSMRASSPTHKKSTPDDQGSWNLHHPSALEMFEQIIDASKGKQIVMFLDYDGTLSPIVEDPDRAFMSNKMRRTVRKLAKCFPTAIVSGRCRDKVYKFVKLAELYYAGSHGMDIKGPKKRSKTDKVTEHVLFQPANEFLPMIDEVYKQLVETTKSTPGAKVENNKFCLSVHFRCVDEKKWSELAQQVKSVLKKYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFANCTDVFPVYIGDDRTDEDAFKILRDRGQGFGILVSKYPKDTNASYSLQEPDEVMDFLQRLVEWKQLSMGA >KJB39507 pep chromosome:Graimondii2_0_v6:7:1301771:1305241:1 gene:B456_007G017000 transcript:KJB39507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPLRPPCFCFVEFEDPRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGAAAKFGVSRHSDYRVIVRGLPSSASWQDLKISKLDDTEFRNPWARAYIQVRRYESSPSRSRSRSRSRNRSRSLSVKRDRSKSRERPASNSPVKARSVSPVKSYRARSRSRSRSGSPDKAGSVSH >KJB39506 pep chromosome:Graimondii2_0_v6:7:1301771:1305241:1 gene:B456_007G017000 transcript:KJB39506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPLRPPCFCFVEFEDPRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGAAAKFGVSRHSDYRVIVRGLPSSASWQDLKVRRYESSPSRSRSRSRSRNRSRSLSVKRDRSKSRERPASNSPVKARSVSPVKSYRARSRSRSRSGSPDKAGSVSH >KJB39504 pep chromosome:Graimondii2_0_v6:7:1301341:1305403:1 gene:B456_007G017000 transcript:KJB39504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPLRPPCFCFVEFEDPRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGAAAKFGVSRHSDYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDSDGTFGIVDFTNYDDMKYAISKLDDTEFRNPWARAYIQVRRYESSPSRSRSRSRSRNRSRSLSVKRDRSKSRERPASNSPVKARSVSPVKSYRARSRSRSRSGSPDKAGSVSH >KJB39505 pep chromosome:Graimondii2_0_v6:7:1301768:1305403:1 gene:B456_007G017000 transcript:KJB39505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPLRPPCFCFVEFEDPRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGAAAKFGVSRHSDYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDSDGTFGIVDFTNYDDMKYAISKLDDTEFRNPWARAYIQVRRYESSPSRSRSRSRSRNRSRSLSVKRDRSKSRERPASNSPVKARSVSPVKSYRARSRSRSRSGSPDKAGSVSH >KJB45653 pep chromosome:Graimondii2_0_v6:7:53296158:53297170:1 gene:B456_007G318300 transcript:KJB45653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYISRNVSNTDRGLNIGSFLKNLSKFAVDSAINVSLKGFTGGKKLYQTFLQEKLKDEASNVKLMKEETQSTKMEDIANKAVGGTEPVKKKKMVPQDSKEMELEDPKRKTIFIRSRL >KJB43218 pep chromosome:Graimondii2_0_v6:7:18275917:18279255:-1 gene:B456_007G188800 transcript:KJB43218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSLPPGFRFHPTDEELVSYYLKRKINGRKIDLEIIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSQTRGVGVKKTLVYYRGRAPHGSRTDWVMHEYRLDERECESASTGFNDAYALCRVFKKSATTTPKMIGEHYSTTVNNQIQITSEQSNSSLDLYSEGRCEESSDFGKPVDACSSSILNRSSSSIDDICDARDVKWMQCFSEDAFGLINPSFSTYATIPYAPSKVDVALECARLQQQMSLPPLEVEDFSQVGVANHKVMMRTAPMRETQHETDILEEILSVAHASQQLINQDAWGGSSSSNGHDFTFMNTMYQHQNQINDQMNCPLYTNQSLEGSSTRSTMVQGDRMVENLRWVGMSGKDFEQYCFMQENKVVPIQHISSFTDTGVQGESGHDYNSIAINDTGIKDNEIEDISHGFTEGDHFLDEGNIDDLRSSPSYEVVEDIKFNHGMLVSTRQVANTCFHQALPSQTVKVHQNPITATSYLQFQVGKPEPDEARYNIEKSFFRKLYSFTKGQCTESLSFMKWCKDTASGFLCMAMLLLMRTFYLEFEEDTISERLVDIGLNAKDSKKEKKGVAVFGYSLAKSNGRWNDFGLLMTILAFFLIISLVMCTLLASILIHSFL >KJB46218 pep chromosome:Graimondii2_0_v6:7:58350954:58354245:1 gene:B456_007G352800 transcript:KJB46218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQNTSSFGNKKRKEIDSTIERCYKTYFEGKTNWTSATFFRAICDTVEELNKKLGSPQLSAPKITTLNVAFEKYKAAAEGKEISKEGFREVLQEVLIESGFSGLGAKDIFLYLFGVPVTALMIKRQTNLKIHDDIFIPAITSATVFLLAKLNKI >KJB41361 pep chromosome:Graimondii2_0_v6:7:7446682:7450556:1 gene:B456_007G100600 transcript:KJB41361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRSFLRKRCLTCNELMMTRRLISTEPIIDSPSFAQRLRDLPKDLPGTKIKREVSQLIGRTPLVFLNKVTEGCGAYIAVKQEMMQPTSSIKDRPAFAMINDAEKKNLISPGKTTLIEPTSGNMGISMAFMAAMKGYKMVLTMPSYTSLERRVTMRAFGADLILTDPTKGMGGTVKKAYDLLESTPNAFMLQQFSNPANTQVHFETTGPEIWEDTLGKVDIFVMGIGSGGTVSGVGQYLKSQNPNVQIYGVEPAESNVLNGGKPGPHHITGNGVGFKPDILDMDVMEKVLEVSSEDAVNMARELALKEGLMVGISSGANTVAALRLARMPENKGKLIVTVHPSFGERYLSSVLFQELRNEAENMQPVAVD >KJB45349 pep chromosome:Graimondii2_0_v6:7:51530859:51534855:-1 gene:B456_007G302200 transcript:KJB45349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFQSSKNLLSLTEDLLVRIYELLAAESDRKSFRLVCREFRRIDTLTRRHLRVIRIEFLPILLRKYPRLQSLDLSACPRIDDGVVSLLLTRVGPGSNSPILGHWAWGLKSLVLSRATGLRFSGLEMLARACPCLESVDVSYCCGFGDREAAALSSAVGLRELNMDKCLQLTDVGLAKIAVGCSKLEKLSLKWCMEMTDLGIDLLCKKCVDLKYLDVSYLKVTNESLHSIASLLKLEVLGLMACPLIDDVGLHFIERGCPSLKVIDVSRCEGVSSSGLIFVVRGHRNLLELKAGYCLSELSTALLHQMKNLNHLEVIRIDGARISETSFQVISANCKSLVEIGLSKCLGVTNMGIMRLVSACINLRVLNLTCCHSITDAAISAIAYSCRSLVCLKVESCNMITEKGLCQLGSFCLLLEEIDLTDCCGVNDKGLEYLSRCSELSCLKLGLCTNISNKGLSYIGFNCLKIHELDLYRCTGIGDDGLEALSNGCKKLRKLNLSYCNEVSDRGLGYIGRLEELSDLEMRALHKITGVGLEAVAVGCKRLADLDMKHCEKVGDSGFWALAYYSKNLRQINLSYCGISDMALCMVMGNLSRLQEAKLVHLSNCTVEGVELALRACCVRIKKVKLFAPLRFMLSSEILEILHARGCIIRWD >KJB45350 pep chromosome:Graimondii2_0_v6:7:51531178:51534727:-1 gene:B456_007G302200 transcript:KJB45350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFQSSKNLLSLTEDLLVRIYELLAAESDRKSFRLVCREFRRIDTLTRRHLRVIRIEFLPILLRKYPRLQSLDLSACPRIDDGVVSLLLTRVGPGSNSPILGHWAWGLKSLVLSRATGLRFSGLEMLARACPCLESVDVSYCCGFGDREAAALSSAVGLRELNMDKCLQLTDVGLAKIAVGCSKLEKLSLKWCMEMTDLGIDLLCKKCVDLKYLDVSYLKVTNESLHSIASLLKLEVLGLMACPLIDDVGLHFIERGCPSLKVIDVSRCEGVSSSGLIFVVRGHRNLLELKAGYCLSELSTALLHQMKNLNHLEVIRIDGARISETSFQVISANCKSLVEIGLSKCLGVTNMGIMRLVSACINLRVLNLTCCHSITDAAISAIAYSCRSLVCLKVESCNMITEKGLCQLGSFCLLLEEIDLTDCCGVNDKGLEYLSRCSELSCLKLGLCTNISNKGLSYIGFNCLKIHELDLYR >KJB45348 pep chromosome:Graimondii2_0_v6:7:51530859:51534844:-1 gene:B456_007G302200 transcript:KJB45348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFQSSKNLLSLTEDLLVRIYELLAAESDRKSFRLVCREFRRIDTLTRRHLRVIRIEFLPILLRKYPRLQSLDLSACPRIDDGVVSLLLTRVGPGSNSPILGHWAWGLKSLVLSRATGLRFSGLEMLARACPCLESVDVSYCCGFGDREAAALSSAVGLRELNMDKCLQLTDVGLAKIAVGCSKLEKLSLKWCMEMTDLGIDLLCKKCVDLKYLDVSYLKVTNESLHSIASLLKLEVLGLMACPLIDDVGLHFIERGCPSLKVIDVSRCEGVSSSGLIFVVRGHRNLLELKAGYCLSQELSTALLHQMKNLNHLEVIRIDGARISETSFQVISANCKSLVEIGLSKCLGVTNMGIMRLVSACINLRVLNLTCCHSITDAAISAIAYSCRSLVCLKVESCNMITEKGLCQLGSFCLLLEEIDLTDCCGVNDKGLEYLSRCSELSCLKLGLCTNISNKGLSYIGFNCLKIHELDLYRCTGIGDDGLEALSNGCKKLRKLNLSYCNEVSDRGLGYIGRLEELSDLEMRALHKITGVGLEAVAVGCKRLADLDMKHCEKVGDSGFWALAYYSKNLRQINLSYCGISDMALCMVMGNLSRLQEAKLVHLSNCTVEGVELALRACCVRIKKVKLFAPLRFMLSSEILEILHARGCIIRWD >KJB39861 pep chromosome:Graimondii2_0_v6:7:2334532:2334948:-1 gene:B456_007G034100 transcript:KJB39861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEERNERSLCEKSMKMVANIIRLSSFSIAKMSLGEPTTATTKSPVPTTGSDTFTDEPSRFSGSHKQTRSKPYSFMMEPAASDGNESRMVHEEKEHSDGRFAEYIRKVHEKNRRNSHEASKHSSYILPPPPTPLRRRK >KJB46416 pep chromosome:Graimondii2_0_v6:7:59953181:59956127:-1 gene:B456_007G366700 transcript:KJB46416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEFITRVLVLVLGYGFPAIECFKTVEKNKVSIEELRFWCQYWIVVALLTVFESIGDTVFSWLPVYDELKLALLIYLWYPKTKGTGYVYDTVLRPFMARHETEMERKVEEWRARAWDFALYYWQNCTDLGQTKWAEMWQILSGQSSRLKQQGKLTKLEDLQLDFDDDKRSRRKIAGGKNHKGRT >KJB46417 pep chromosome:Graimondii2_0_v6:7:59953226:59955740:-1 gene:B456_007G366700 transcript:KJB46417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYYWFFFSRKLLRRKEEMLGEFITRVLVLVLGYGFPAIECFKTVEKNKVSIEELRFWCQYWIVVALLTVFESIGDTVFSWLPVYDELKLALLIYLWYPKTKGTGYVYDTVLRPFMARHETEMERKVEEWRARAWDFALYYWQNCTDLGQTKWAEMWQILSGQSSRLKQQGKLTKLEDLQLDFDDDKRSRRKIAGGKNHKGRT >KJB43947 pep chromosome:Graimondii2_0_v6:7:27008502:27010652:-1 gene:B456_007G225400 transcript:KJB43947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAYANQHSFSYVPKAVREQRRERKLLNQLENKGVIKSIDPYVCKVCGRRFYTNEKLVNHFKQIHEREHQKRVNQIESARGSRRVKLVGKYSMKMEKYKNAAREVLTPKVGYGLADELKRAGFWVGTVSNRPQAADVALRDHMVDVMDKRKAECLMLVSDDSDFVGVLKEAKLRCLKTVVVGDADDGALKRVADAGFSWTEILKGKAKKEAVSVVGKWKDRDILKKLEWTYDPEVERKLYGSEDMFDDESEDLDFDGSDDGNSSDYIHKEDSGAWWELDTESDPDSSKSQ >KJB43948 pep chromosome:Graimondii2_0_v6:7:27008541:27010645:-1 gene:B456_007G225400 transcript:KJB43948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLFPSINNSVQSIRFKTLNPCISLSRLCHFKAKRGFDSLNQTSSVQNSVAVFWDLDNKPPNAFPPFEAVVKLKTAASSFGVVRSMVAYANQHSFSYVPKAVREQRRERKLLNQLENKGVIKSIDPYVCKVCGRRFYTNEKLVNHFKQIHEREHQKRVNQIESARGSRRVKLVGKYSMKMEKYKNAAREVLTPKVGYGLADELKRAGFWVGTVSNRPQAADVALRDHMVDVMDKRKAECLMLVSDDSDFVGVLKEAKLRCLKTVVVGDADDGALKRVADAGFSWTEILKGKAKKEAVSVVGKWKDRDILKKLEWTYDPEVERKLYGSEDMFDDESEDLDFDGSDDGNSSDYIHKEDSGAWWELDTESDPDSSKSQ >KJB39415 pep chromosome:Graimondii2_0_v6:7:992255:994459:-1 gene:B456_007G012400 transcript:KJB39415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGVSNHQPLMASFILSSSLISFPTSLRLSPLSSRHNLIPASTCRPLYHQNTTSLCKPSLISKTRNLKVHSQLRCPIISPEDRWGTWASLFAIGAFGLWSEKTKIGSMVSAALVSTLVGLAASNLGIIPFEAPAYSAYMRFLLPLAVPLLLFRADLRRVLRSTGTLLLAFLLGSVSTIIGTLVAFLMVPMRSLGSDNWKIASALMGSYIGGSVNYIAISEALGVSPSVLAAGVTADNVICAIYFMVLFALASKVAPESSTSTDDVETNSDSKMESKIPVLQSATALAVSFSICKVASYVTNLYNIEGGILPGVTAIVVILATLFPKQFGELAPAGDALALVLMQVRVYVFDTACVQHKYFLAWWVLVGAYGMLSKQHQVFSCLP >KJB39414 pep chromosome:Graimondii2_0_v6:7:992170:994543:-1 gene:B456_007G012400 transcript:KJB39414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGVSNHQPLMASFILSSSLISFPTSLRLSPLSSRHNLIPASTCRPLYHQNTTSLCKPSLISKTRNLKVHSQLRCPIISPEDRWGTWASLFAIGAFGLWSEKTKIGSMVSAALVSTLVGLAASNLGIIPFEAPAYSAYMRFLLPLAVPLLLFRADLRRVLRSTGTLLLAFLLGSVSTIIGTLVAFLMVPMRSLGSDNWKIASALMGSYIGGSVNYIAISEALGVSPSVLAAGVTADNVICAIYFMVLFALASKVAPESSTSTDDVETNSDSKMESKIPVLQSATALAVSFSICKVASYVTNLYNIEGGILPGVTAIVVILATLFPKQFGELAPAGDALALVLMQVFFGVVGASGSVRNVIETAPSIFLFAMIQVAVHLAVMLGLGKLLGFDLKLLLLASNANIGGPTTACGMATAKGWGSLVVPGILAGIFGIAIATFLGIGFGTMVLRNL >KJB39416 pep chromosome:Graimondii2_0_v6:7:992255:994459:-1 gene:B456_007G012400 transcript:KJB39416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGVSNHQPLMASFILSSSLISFPTSLRLSPLSSRHNLIPASTCRPLYHQNTTSLCKPSLISKTRNLKVHSQLRCPIISPEDRWGTWASLFAIGAFGLCVSLKMSNCIILWDIYQGPRKQRLEACNPCLCFWMTVSTIIGTLVAFLMVPMRSLGSDNWKIASALMGSYIGGSVNYIAISEALGVSPSVLAAGVTADNVICAIYFMVLFALASKVAPESSTSTDDVETNSDSKMESKIPVLQSATALAVSFSICKVASYVTNLYNIEGGILPGVTAIVVILATLFPKQFGELAPAGDALALVLMQVFFGVVGASGSVRNVIETAPSIFLFAMIQVAVHLAVMLGLGKLLGFDLKLLLLASNANIGGPTTACGMATAKGWGSLVVPGILAGIFGIAIATFLGIGFGTMVLRNL >KJB44397 pep chromosome:Graimondii2_0_v6:7:39407018:39413564:-1 gene:B456_007G250600 transcript:KJB44397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHSVVIQKLLTTNAHIGRQVSTHHFKQFTYGFRNRQSIIDSDKTLICLRNALNFLSCLSRDPSSSFLFINTNPLFQPIIDEMTSRVTSLSPERASSLWKMRGFLTNSCSPKKFRSRHKKLVFAPTKMPDCVVIFDTERKSSVFLEAERLGIPIVALVDSSIPWEYYKKVTYPIPANDSVQFVYLICNMITKCLMLEKKKDGAKGTGKKATIKDQVESTSESKGSASDEVLVVPYDNLAPMSCDIADSKQLLDKLVVVKYNGALGKNMGFGGPKSLIEVKNGSTPLDLIVNQIQSLNSKYGCNIPLLLMNPKNTHNDIVKALEKYSTLDVDIHPFEQGERTQHESFVSEGGEDKWQSSKHGTHFLSLMNSGTLDVLLAQGKEYALVVNPDNVAGVVDPKILNHLAQNSIEYCSEVTPTTSTGLINFMASSLQGMFKLEDFTSDHSEKSVKGFKFIDTRSLWLNLKAIKRLVDTSALNLDNLSTLKLFENSIGISIPQSRFLPLNSTSDLFLLQSDLYSFTEGMFVRNDARVSPSDPSIALGPEFEKISDFKRRFETIPSIVKLDSLEVNGDVWFGADIVLKGKVVIDASPGLTLRIPDGVVLEDEEINDPTDI >KJB44398 pep chromosome:Graimondii2_0_v6:7:39407083:39413438:-1 gene:B456_007G250600 transcript:KJB44398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHSVVIQKLLTTNAHIGRQVSTHHFKQFTYGFRNRQSIIDSDKTLICLRNALNFLSCLSRDPSSSFLFINTNPLFQPIIDEMTSRVTSLSPERASSLWKMRGFLTNSCSPKKFRSRHKKLVFAPTKMPDCVVIFDTERKSSVFLEAERLGIPIVALVDSSIPWEYYKKVTYPIPANDSVQFVYLICNMITKCLMLEKKKDGAKGTGKKATIKDQVESTSESKGSASDEVLVVPYDNLAPMSCDIADSKQLLDKLVVVKYNGALGKNMGFGGPKSLIEVKNGSTPLDLIVNQIQSLNSKYGCNIPLLLMNPKNTHNDIVKALEKYSTLDVDIHPFEQGERTQHESFVSEGGEDKWQSSKHGTHFLSLMNSGTLDVLLAQGKEYALVVNPDNVAGVVDPKILNHLAQNSIEYCSEVTPTTSTGLINFMASSLQGMFKLEDFTSDHSEKSVKGFKFIDTRSLWLNLKAIKRLVDTSALNLDNLSTLKSDLYSFTEGMFVRNDARVSPSDPSIALGPEFEKISDFKRRFETIPSIVKLDSLEVNGDVWFGADIVLKGKVVIDASPGLTLRIPDGVVLEDEEINDPTDI >KJB44396 pep chromosome:Graimondii2_0_v6:7:39407018:39413486:-1 gene:B456_007G250600 transcript:KJB44396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHSVVIQKLLTTNAHIGRQVSTHHFKQFTYGFRNRQSIIDSDKTLICLRNALNFLSCLSRDPSSSFLFINTNPLFQPIIDEMTSRVTSLSPERASSLWKMRGFLTNSCSPKKFRSRHKKLVFAPTKMPDCVVIFDTERKSSVFLEAERLGIPIVALVDSSIPWEYYKKVTYPIPANDSVQFVYLICNMITKCLMLEKKKDGAKGTGKKATIKDQVESTSESKGSASDEVLVVPYDNLAPMSCADIADSKQLLDKLVVVKYNGALGKNMGFGGPKSLIEVKNGSTPLDLIVNQIQSLNSKYGCNIPLLLMNPKNTHNDIVKALEKYSTLDVDIHPFEQGERTQHESFVSEGGEDKWQSSKHGTHFLSLMNSGTLDVLLAQGKEYALVVNPDNVAGVVDPKILNHLAQNSIEYCSEVTPTTSTGLINFMASSLQGMFKLEDFTSDHSEKSVKGFKFIDTRSLWLNLKAIKRLVDTSALNLDNLSTLKLFENSIGISIPQSRFLPLNSTSDLFLLQSDLYSFTEGMFVRNDARVSPSDPSIALGPEFEKISDFKRRFETIPSIVKLDSLEVNGDVWFGADIVLKGKVVIDASPGLTLRIPDGVVLEDEEINDPTDI >KJB41776 pep chromosome:Graimondii2_0_v6:7:9487324:9489461:-1 gene:B456_007G120700 transcript:KJB41776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIRVPLPQLSVSRYVSPFSFLPYKFFCSDPNPPLNNDVDTVYRVITSSTSSKHLTQSLKSTGIFLSNDLIDKVLKRVRFSHGNPLQAFEFFNYTGNRKGFYHTAFSLDTMLYVLGRSRRFDKIWEVLIDIKRKDPSLITPRTMQVVLARIAKVCSVRETVVNFWKFKKLVSEFDISCFNALLRTLCQEKSMKDARNVYHSLKHDFRPNLQTFNILLSGWKSSEEAEGFFEEMKELGVKPDVVSYNCLVDVYCKGREMDKAYRVVEKMRDEELWPDVITYTSIIGGLGLVGQPDKARDVLKEMKEHGCYPDVAAYNAAIRNYTIAKRLGDAYSLMDEMDRKGLSPNATTYNLFFRVFYWLNDLSCSWSLYQRMMYSGCLPNTQSCMFLIRLFRKHEQVEMALQLWKDMVEKGFGSYTLVSDVLFDLLCDMGKLVEAEKCFLEMIEKGHKPSNVSFKRIKVLMELANKHEAIKNLIEKMSVFGSSIQLSGGSQNHTETLDLDSLTVNHVRTN >KJB40063 pep chromosome:Graimondii2_0_v6:7:3177580:3180430:1 gene:B456_007G045500 transcript:KJB40063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMMGHFALLVFLAFASMQLLCIAADHDAAIFYDSFEEPFNGRWIVSDKDDYKGIWEHSKSEGHDDYGLLVTEKARKYAIVKELEEPVNLRDGTTVLQYEARFQNGLECGGAYLKYLRPQEAGWKPKEFDNGSPYSIMFGPDICGLTNKVHFILKQKNPKSGEYVEHHLIDPPRVPSDKLSHVYTAILKPDNEISILIDGEVKKKGNFLSAQDFDPPITPAKTIPDPNEKKPEDWDDRVRIPDPNAVKPDDWDENAPMEIEDMEAVKPEGWLDDESEEIDDPEATKPEDWDDEEDGVWEAPKIDNPKCQTAPGCGEWKRPMKRNPAFKGIWNPPLVDNPNYKGIWKPRDIPNPNYFELDKPYFDPIAAIGIEIWTMQEGILFDNILIAKDENVAESYRDTTWKPKFVVEKEKQKAEEEAAGSDDLGGFQTVFDVLYKFADIPFLSKYKLQILDFIEKAEKQPNLTIGVLVSIVVVILTAIFMLIFSGKKQQPRVEKKTEAAADTSNDQGSSGEKPAEEEKEEEKENETGGAAPRQRRRDT >KJB40062 pep chromosome:Graimondii2_0_v6:7:3175782:3180435:1 gene:B456_007G045500 transcript:KJB40062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALCLFIDPIRSRWLCWNQIFYDSFEEPFNGRWIVSDKDDYKGIWEHSKSEGHDDYGLLVTEKARKYAIVKELEEPVNLRDGTTVLQYEARFQNGLECGGAYLKYLRPQEAGWKPKEFDNGSPYSIMFGPDICGLTNKVHFILKQKNPKSGEYVEHHLIDPPRVPSDKLSHVYTAILKPDNEISILIDGEVKKKGNFLSAQDFDPPITPAKTIPDPNEKKPEDWDDRVRIPDPNAVKPDDWDENAPMEIEDMEAVKPEGWLDDESEEIDDPEATKPEDWDDEEDGVWEAPKIDNPKCQTAPGCGEWKRPMKRNPAFKGIWNPPLVDNPNYKGIWKPRDIPNPNYFELDKPYFDPIAAIGIEIWTMQEGILFDNILIAKDENVAESYRDTTWKPKFVVEKEKQKAEEEAAGSDDLGGFQKTVFDVLYKFADIPFLSKYKLQILDFIEKAEKQPNLTIGVLVSIVVVILTAIFMLIFSGKKQPRVEKKTEAAADTSNDQGSSGEKPAEEEKEEEKENETGGAAPRQRRRDT >KJB40064 pep chromosome:Graimondii2_0_v6:7:3177580:3180433:1 gene:B456_007G045500 transcript:KJB40064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMMGHFALLVFLAFASMQLLCIAADHDAAIFYDSFEEPFNGRWIVSDKDDYKGIWEHSKSEGHDDYGLLVTEKARKYAIVKELEEPVNLRDGTTVLQYEARFQNGLECGGAYLKYLRPQEAGWKPKEFDNGSPYSIMFGPDICGLTNKVHFILKQKNPKSGEYVEHHLIDPPRVPSDKLSHVYTAILKPDNEISILIDGEVKKKGNFLSAQDFDPPITPAKTIPDPNEKKPEDWDDRVRIPDPNAVKPDDWDENAPMEIEDMEAVKPEGWLDDESEEIDDPEATKPEDWDDEEDGVWEAPKIDNPKCQTAPGCGEWKRPMKRNPAFKGIWNPPLVDNPNYKGIWKPRDIPNPNYFELDKPYFDPIAAIGIEIWTMQEGILFDNILIAKDENVAESYRDTTWKPKFVVEKEKQKAEEEAAGSDDLGGFQKTVFDVLYKFADIPFLSKYKLQILDFIEKAEKQPNLTIGVLVSIVVVILTAIFMLIFSGKKQQPRVEKKTEAAADTSNDQGSSGEKPAEEEKEEEKENETGGAAPRQRRRDT >KJB40065 pep chromosome:Graimondii2_0_v6:7:3177580:3180435:1 gene:B456_007G045500 transcript:KJB40065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMMGHFALLVFLAFASMQLLCIAADHDAAIFYDSFEEPFNGRWIVSDKDDYKGIWEHSKSEGHDDYGLLVTEKARKYAIVKELEEPVNLRDGTTVLQYEARFQNGLECGGAYLKYLRPQEAGWKPKEFDNGSPYSIMFGPDICGLTNKVHFILKQKNPKSGEYVEHHLIDPPRVPSDKLSHVYTAILKPDNEISILIDGEVKKKGNFLSAQDFDPPITPAKTIPDPNEKKPEDWDDRVRIPDPNAVKPDDWDENAPMEIEDMEAVKPEGWLDDESEEIDDPEATKPEDWDDEEDGVWEAPKIDNPKCQTAPGCGEWKRPMKRNPAFKGIWNPPLVDNPNYKGIWKPRDIPNPNYFELDKPYFDPIAAIGIEIWTMQEGILFDNILIAKDENVAESYRDTTWKPKFVVEKEKQKAEEEAAGSDDLGGFQKTVFDVLYKFADIPFLSKYKLQILDFIEKAEKQPNLTIGVLVSIVVVILTAIFMLIFSGKKQPRVEKKTEAAADTSNDQGSSGEKPAEEEKEEEKENETGGAAPRQRRRDT >KJB40701 pep chromosome:Graimondii2_0_v6:7:5249187:5255089:1 gene:B456_007G074100 transcript:KJB40701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDREKERELELESAMYTNCLLLGLDPSIIGLGTSNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSARDFDRVWPIFDSAQSRDFRKVVQGIISELEAQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFASDVASNPLPAPLTDVAFSHAATLLPVTKARIALERRRFLKNAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSTSSQNSHLVSKATRLWDSILSRKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSQVPCTDVLSVQSGDLDNKEQNDGYHTQVFEERLSRVDDRSGRVHQTVDVTEIIRRWTHALQRIHKQSLQLAKANDGEGPDILRNAHDGGTSGHAESLAATLTEHQQHLASFQVLINQLKEVAPSIQKSISECTEKLNGISSNLPSMAKHRGQTTSPMLAQSSRRTLESSSDDGGDITSKMSAVQLEKNSASPPALKLPQLFSLTPNSSGKVGSMQKRHTLAPQTNQIDTLYKSSSMEQPLANNHLDNPPQDCDNSYVQNLKRSVRKAALSVPSCNSELSQDSQSDESSEHFFVPVLLTNHSRVGPENKLGSIRTKRLFSTQTENSFLNSHPSDGHIRSNYDDLPNMLNNLDSLDNHDQDNGFLSAAASSSAASDWQRSLFDLEEAQDQVFSPPLLMGTSLFVDSYEDLLAPLSETETALMEH >KJB39649 pep chromosome:Graimondii2_0_v6:7:1682736:1685749:1 gene:B456_007G023500 transcript:KJB39649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:bHLH110D MATTGVQNQEKVPMNLKQQFALAVRNIQWSYGIFWSISAKQPGVLEWGDGYYNGDIKTRKTVQSFEPKADDQLGLQRSEQLRELFESLSAGETSPHTKRPSVALSPEDLTATEWYYLVCMSFVFNIDQGLPGRTLSIGQPIWLCNAQYADSKVFSRSLVAKSASIQTVVCFPYAGGVIELGVTDLVLKDLGLIHRVKSLLLDAPETITGNINDVACPGLGPNEIESELSPFLGCEQLERGSPNEISDGFEPNQPAEDPFVNGGASQGENDNDNDFRDVEECDRINRAAFDPISDDMHYRTVVSVLLKSSHQFILGPHFGNSNKESGFISWKMNSSVKYRKAKVEIPQKLLKKMLFEVPRMHDKGLLKSPQGGDGVGDAVWRPEADELCKSHVLSERRRREKINERLMILKSLVPTNSKADKVSILDDTIEYLQDLERRVEELECCRELTESETKTKQKHHRDRAERTSSNKVTNGNKSASSNKRKAYDIEETKQDIDHVASKDGSTENLTVSTNNKDLTIEFKCRWRDGILFEIMDALSVLDLDCHSVQSSTIEGILSVTIKSKYKGSSVAKPGTIKQALLQKVQSLTFV >KJB39650 pep chromosome:Graimondii2_0_v6:7:1682798:1685763:1 gene:B456_007G023500 transcript:KJB39650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:bHLH110D MATTGVQNQEKVPMNLKQQFALAVRNIQWSYGIFWSISAKQPGVLEWGDGYYNGDIKTRKTVQSFEPKADDQLGLQRSEQLRELFESLSAGETSPHTKRPSVALSPEDLTATEWYYLVCMSFVFNIDQGLPGRTLSIGQPIWLCNAQYADSKVFSRSLVAKSASIQTVVCFPYAGGVIELGVTDLVLKDLGLIHRVKSLLLDAPETITGNINDVACPGLGPNEIESELSPFLGCEQLERGSPNEISDGFEPNQPAEDPFVNGGASQVQSWQFMDDHHSLNTSDCISQTFSDHEDVVPLCQGENDNDNDFRDVEECDRINRAAFDPISDDMHYRTVVSVLLKSSHQFILGPHFGNSNKESGFISWKMNSSVKYRKAKVEIPQKLLKKMLFEVPRMHDKGLLKSPQGGDGVGDAVWRPEADELCKSHVLSERRRREKINERLMILKSLVPTNSKADKVSILDDTIEYLQDLERRVEELECCRELTESETKTKQKHHRDRAERTSSNKVTNGNKSASSNKRKAYDIEETKQDIDHVASKDGSTENLTVSTNNKDLTIEFKCRWRDGILFEIMDALSVLDLDCHSVQSSTIEGILSVTIKSKYKGSSVAKPGTIKQALLQKVQSLTFV >KJB41698 pep chromosome:Graimondii2_0_v6:7:8961991:8965482:1 gene:B456_007G115600 transcript:KJB41698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMATPLLFFATFLLLLATVHPKVIAVGQPSEVKLNSRILQDSIVKRVNENPKAGWKAALNPRFSNYTVGEFKHILGVKPTPKKELLGIPIIRHGKSLKMPANFDARTAWPQCSTIGRILDQGHCGSCWAFGAVESLSDRFCIHFGMNISLSVNDLLACCGFLCGSGCDGGVPISAWRYFVRSGVVSEECDPYFDDIGCSHPGCEPAYPTPMCEKKCVKGNQLWSQSKHYSVGAYRINSDPTDIMAEVYKNGPVEVAFTVYEDFAHYKSGVYKHVTGSVMGGHAVKLIGWGTSDDGEDYWLLANQWNKGWGEDGYFKIRRGTNECGIEDDVVAGLPSTKNLVREVADMDNLKAASF >KJB39072 pep chromosome:Graimondii2_0_v6:7:4794020:4796105:1 gene:B456_007G067900 transcript:KJB39072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETVKKYLEKGVGADGDDKNASTINGMPSRFFENFIMQGLHVDQIEKGRVLCSMKVPPRLLNAGNFLHGGATASLVDLVGSAVIYSYGASTSGVSVEISITYLDAAYVGKKRKLIHQSFFGISSTLLWVFMVGILE >KJB39070 pep chromosome:Graimondii2_0_v6:7:4793971:4796112:1 gene:B456_007G067900 transcript:KJB39070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETVKKYLEKGVGADGDDKNASTINGMPSRFFENFIMQGLHVDQIEKGRVLCSMKVPPRLLNAGNFLHGGATASLVDLVGSAVIYSYGASTSGVSVEISITYLDAAYVGEEIEIEAKALRVGKTVAVVTVEFRKKKTGKIIAQGRHTKYLTVQSKM >KJB39073 pep chromosome:Graimondii2_0_v6:7:4794020:4796105:1 gene:B456_007G067900 transcript:KJB39073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETVKKYLEKGVGADGDDKNASTINGMPSRFFENFIMQGLHVDQIEKGRVLCSMKVPPRLLNAGNFLHGGATASLVDLVGSAVIYSYGASTSGVSVEISITYLDAAYVGVRNRDRG >KJB39075 pep chromosome:Graimondii2_0_v6:7:4794020:4796105:1 gene:B456_007G067900 transcript:KJB39075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETVKKYLEKGVGADGDDKNASTINGMPSRFFENFIMQGLHVDQIEKGRVLCSMKVPPRLLNAGNFLHGGATASLVDLVGSAVIYSYGASTSGVSVEISITYLDAAYVGINACCQVVRISASLRSQF >KJB39071 pep chromosome:Graimondii2_0_v6:7:4793937:4796126:1 gene:B456_007G067900 transcript:KJB39071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETVKKYLEKGVGADGDDKNASTINGMPSRFFENFIMQGLHVDQIEKGRVLCSMKVPPRLLNAGNFLHGGATASLVDLVGSAVIYSYGASTSGVSVEISITYLDAAYVGEEIEIEAKALRVGKTVAVVTVEFRKKKTGKIIAQGRHTKYLTVQSKM >KJB39076 pep chromosome:Graimondii2_0_v6:7:4794197:4794711:1 gene:B456_007G067900 transcript:KJB39076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETVKKYLEKGVGADGDDKNASTINGMPSRFFENFIMQGLHVDQIEKGRVLCSMKVPPRLLNAGNFLHGGATASLVDLVGSAVIYSYGASTSGVSVEISITYLDAAYVGVSDFLLLFILFIQFLSSFA >KJB39074 pep chromosome:Graimondii2_0_v6:7:4794020:4796105:1 gene:B456_007G067900 transcript:KJB39074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETVKKYLEKGVGADGDDKNASTINGMPSRFFENFIMQGLHVDQIEKGRVLCSMKVPPRLLNAGNFLHGGATASLVDLVGSAVIYSYGASTSGVSVEISITYLDAAYVGNKSIGWILVWRS >KJB40264 pep chromosome:Graimondii2_0_v6:7:3845352:3849953:-1 gene:B456_007G054700 transcript:KJB40264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 4 [Source:Projected from Arabidopsis thaliana (AT2G23350) UniProtKB/Swiss-Prot;Acc:O22173] MAEVQVQAEVAPVAAASSPPPQQQQVVNGVASNNGVSVTTSLYVGDLDLSVTESHLYDLFSPLGTVVSLRVCKDSRTRRSLGYGYVNYSNTHEAARALEVLNFTLLNGKPIRVMYSNRDPTVRRTGAGNIFIKNLDKTIDNKALHDTFSTFGYILSCKIATDNTGQSKGYGFVQFDNEESAKNAIDKLNGMLLNEKQVFVGPFLRKQERESSIGKAKFNNVYVKNLSESTTDEDLKNVFSEHGPITSAVIMRDVDGKSKGFGFVNFENPDDAARSVDSLNGKKFDDKEWFVGKAQKKSEREMELKGQYEQTLKETADKFAGLNLYVKNLDDSINDDKLRELFSEFGTVTSCKVMLDPNGISRGSGFVAFSTAEEASQALMEMNGKMVVSKPLYVAVAQRKEERRARLQFSQMRPGAMGPTVGPQVPMYPPGAPHFGQQLFYGQGPPAMISPQPGFGYQQQLVPGMMPNFFMPMLQPGQQNQRQGSRRSAGGPMQQTHQPLPFMQPQMIPKGRGYRYPPGRNMPDVPGRVLPVPYNVGGMPFRDAAFSQPMATGALASALANATTVQQRTLLGENLYPLVDQLEHDNAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRNIGPKPQQANSATDRLTSLSLNENLVS >KJB40265 pep chromosome:Graimondii2_0_v6:7:3846203:3849660:-1 gene:B456_007G054700 transcript:KJB40265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 4 [Source:Projected from Arabidopsis thaliana (AT2G23350) UniProtKB/Swiss-Prot;Acc:O22173] MAEVQVQAEVAPVAAASSPPPQQQQVVNGVASNNGVSVTTSLYVGDLDLSVTESHLYDLFSPLGTVVSLRVCKDSRTRRSLGYGYVNYSNTHEAARALEVLNFTLLNGKPIRVMYSNRDPTVRRTGAGNIFIKNLDKTIDNKALHDTFSTFGYILSCKIATDNTGQSKGYGFVQFDNEESAKNAIDKLNGMLLNEKQVFVGPFLRKQERESSIGKAKFNNVYVKNLSESTTDEDLKNVFSEHGPITSAVIMRDVDGKSKGFGFVNFENPDDAARSVDSLNGKKFDDKEWFVGKAQKKSEREMELKGQYEQTLKETADKFAGLNLYVKNLDDSINDDKLRELFSEFGTVTSCKVMLDPNGISRGSGFVAFSTAEEASQALMEMNGKMVVSKPLYVAVAQRKEERRARLQEQFSQMRPGAMGPTVGPQVPMYPPGAPHFGQQLFYGQGPPAMISPQPGFGYQQQLVPGMMPNFFMPMLQPGQQNQRQGSRRSAGGPMQQTHQPLPFMQPQVEGFSFYNCN >KJB40266 pep chromosome:Graimondii2_0_v6:7:3845443:3849853:-1 gene:B456_007G054700 transcript:KJB40266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 4 [Source:Projected from Arabidopsis thaliana (AT2G23350) UniProtKB/Swiss-Prot;Acc:O22173] MAEVQVQAEVAPVAAASSPPPQQQQVVNGVASNNGVSVTTSLYVGDLDLSVTESHLYDLFSPLGTVVSLRVCKDSRTRRSLGYGYVNYSNTHEAARALEVLNFTLLNGKPIRVMYSNRDPTVRRTGAGNIFIKNLDKTIDNKALHDTFSTFGYILSCKIATDNTGQSKGYGFVQFDNEESAKNAIDKLNGMLLNEKQVFVGPFLRKQERESSIGKAKFNNVYVKNLSESTTDEDLKNVFSEHGPITSAVIMRDVDGKSKGFGFVNFENPDDAARSVDSLNGKKFDDKEWFVGKAQKKSEREMELKGQYEQTLKETADKFAGLNLYVKNLDDSINDDKLRELFSEFGTVTSCKVMLDPNGISRGSGFVAFSTAEEASQALMEMNGKMVVSKPLYVAVAQRKEERRARLQPGFGYQQQLVPGMMPNFFMPMLQPGQQNQRQGSRRSAGGPMQQTHQPLPFMQPQMIPKGRGYRYPPGRNMPDVPGRVLPVPYNVGGMPFRDAAFSQPMATGALASALANATTVQQRTLLGENLYPLVDQLEHDNAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRNIGPKPQQANSATDRLTSLSLNENLVS >KJB40263 pep chromosome:Graimondii2_0_v6:7:3845293:3849989:-1 gene:B456_007G054700 transcript:KJB40263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 4 [Source:Projected from Arabidopsis thaliana (AT2G23350) UniProtKB/Swiss-Prot;Acc:O22173] MAEVQVQAEVAPVAAASSPPPQQQQVVNGVASNNGVSVTTSLYVGDLDLSVTESHLYDLFSPLGTVVSLRVCKDSRTRRSLGYGYVNYSNTHEAARALEVLNFTLLNGKPIRVMYSNRDPTVRRTGAGNIFIKNLDKTIDNKALHDTFSTFGYILSCKIATDNTGQSKGYGFVQFDNEESAKNAIDKLNGMLLNEKQVFVGPFLRKQERESSIGKAKFNNVYVKNLSESTTDEDLKNVFSEHGPITSAVIMRDVDGKSKGFGFVNFENPDDAARSVDSLNGKKFDDKEWFVGKAQKKSEREMELKGQYEQTLKETADKFAGLNLYVKNLDDSINDDKLRELFSEFGTVTSCKVMLDPNGISRGSGFVAFSTAEEASQALMEMNGKMVVSKPLYVAVAQRKEERRARLQEQFSQMRPGAMGPTVGPQVPMYPPGAPHFGQQLFYGQGPPAMISPQPGFGYQQQLVPGMMPNFFMPMLQPGQQNQRQGSRRSAGGPMQQTHQPLPFMQPQMIPKGRGYRYPPGRNMPDVPGRVLPVPYNVGGMPFRDAAFSQPMATGALASALANATTVQQRTLLGENLYPLVDQLEHDNAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRNIGPKPQQANSATDRLTSLSLNENLVS >KJB40758 pep chromosome:Graimondii2_0_v6:7:5390845:5396842:-1 gene:B456_007G076300 transcript:KJB40758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTLEKRYPVNPKDYKLYEEIGEGVSATVYRALCIPLNEIVAIKVLDLEKCNSDLDGIRREVHTMSLIDHPNLLWALCSFTTGHSLWIVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILIDSDGAVKLADFGVSASMFDAGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPTSEKLLKHQFFKNARSYDYLARTILDGFASLGERFRVLKTKEADLLVENKALYEDKEQLSQKEYIRGISAWNFNLEDLKSQAALIHDYDDVPNAEDRDGSNGVVGLSPERMSSEMASNSIASSSQEDGLNDLHDLKSSLASFPIKPLQALKGCFDIGEDDEDVNSPNRKGVNQSGSEPFVIKSSRAMDQDASRNEGENSGQSSSLLHQAIPEHKKFLSGPLIPDNAFSAKKVTGNGDRDFPQPKFQSEHNYSGPLLYRQRRETNSPSPEDASEGAVVRRGRFKVTSAELSPKGPTNCILNPVTGGSTNPASLNLSPSAVLPSLQCILQQNTIQREEIVRLIKHLEQTSGKLGDFTEVGINDFLQIAHSSSREKELQFRVLQLQQSIGNIVEELQRQKMKNAQLEKQLNALANNKE >KJB40760 pep chromosome:Graimondii2_0_v6:7:5390586:5398335:-1 gene:B456_007G076300 transcript:KJB40760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTLEKRYPVNPKDYKLYEEIGEGVSATVYRALCIPLNEIVAIKVLDLEKCNSDLDGIRREVHTMSLIDHPNLLWALCSFTTGHSLWIVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILIDSDGAVKLADFGVSASMFDAGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPTSEKLLKHQFFKNARSYDYLARTILDGFASLGERFRVLKTKEADLLVENKALYEDKEQLSQKEYIRGISAWNFNLEDLKSQAALIHDYDDVPNAEDRDGSNGVVGLSPERMSSEMASNSIASSSQEDGLNDLHDLKSSLASFPIKPLQALKGCFDIGEDDEDVNSPNRKGVNQSGSEPFVIKSSRAMDQDASRNEGENSGQSSSLLHQAIPEHKKFLSGPLIPDNAFSAKKVTGNGDRDFPQPKFQSEHNYSGPLLYRQRRETNSPSPEDASEGAVVRRGRFKVTSAELSPKGPTNCILNPVTGGSTNPASLNLSPSAVLPSLQCILQQNTIQREEIVRLIKHLEQTSGKLGDFTEVGINDFLQIAHSSSREKELQFRVLQLQQSIGNIVEELQRQKMKNAQLEKQLNALANNKE >KJB40759 pep chromosome:Graimondii2_0_v6:7:5390587:5397122:-1 gene:B456_007G076300 transcript:KJB40759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTLEKRYPVNPKDYKLYEEIGEGVSATVYRALCIPLNEIVAIKVLDLEKCNSDLDGIRREVHTMSLIDHPNLLWALCSFTTGHSLWIVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILIDSDGAVKLADFGVSASMFDAGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPTSEKLLKHQFFKNARSYDYLARTILDGFASLGERFRVLKTKEADLLVENKALYEDKEQLSQKEYIRGISAWNFNLEDLKSQAALIHDYDDVPNAEDRDGSNGVVGLSPERMSSEMASNSIASSSQEDGLNDLHDLKSSLASFPIKPLQALKGCFDIGEDDEDVNSPNRKGVNQSGSEPFVIKSSRAMDQDASRNEGENSGQSSSLLHQAIPEHKKFLSGPLIPDNAFSAKKVTGNGDRDFPQPKFQSEHNYSGPLLYRQRRETNSPSPEDASEGAVVRRGRFKVTSAELSPKGPTNCILNPVTGGSTNPASLNLSPSAVLPSLQCILQQNTIQREEIVRLIKHLEQTSGKLGDFTEVGINDFLQIAHSSSREKELQFRVLQLQQSIGNIVEELQRQKMKNAQLEKQLNALANNKE >KJB40761 pep chromosome:Graimondii2_0_v6:7:5390561:5398335:-1 gene:B456_007G076300 transcript:KJB40761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTLEKRYPVNPKDYKLYEEIGEGVSATVYRALCIPLNEIVAIKVLDLEKCNSDLDGIRREVHTMSLIDHPNLLWALCSFTTGHSLWIVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILIDSDGAVKLADFGVSASMFDAGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPTSEKLLKHQFFKNARSYDYLARTILDGFASLGERFRVLKTKEADLLVENKALYEDKEQLSQKEYIRGISAWNFNLEDLKSQAALIHDYDDVPNAEDRDGSNGVVGLSPERMSSEMASNSIASSSQEDGLNDLHDLKSSLASFPIKPLQALKGCFDIGEDDEDVNSPNRKGVNQSGSEPFVIKSSRAMDQDASRNEGENSGQSSSLLHQAIPEHKKFLSGPLIPDNAFSAKKVTGNGDRDFPQPKFQSEHNYSGPLLYRQRRETNSPSPEDASEGAVVRRGRFKVTSAELSPKGPTNCILNPVTGGSTNPASLNLSPSAVLPSLQCILQQNTIQREEIVRLIKHLEQTSGKLGDFTEVGINDFLQIAHSSSREKELQFRVLQLQQSIGNIVEELQRQKMKNAQLEKQLNALANNKE >KJB44971 pep chromosome:Graimondii2_0_v6:7:48420970:48422723:-1 gene:B456_007G282900 transcript:KJB44971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQQRIQIPRVKLGTQGLEVSKLGFGCMGLTGGYSSPVSEEALKQLPREKVQLATKFGFEKLDSTGIKINGTPEYVRASIEASLKRLDVDYIDLYYQHRVDTNTPIEDTMSELKKLVEEGKIKYIGLSQASPETIKRAHAVHPISAFQTEWSLWTRDIEDEIVPLCRELGIGIVPYSPLGRGFFGGKGVVETVPANSHLLYFPRFQGENLDKNKMLYLKVEKLAEKHGCSPAQLALAWVLHQGDDVAPIPGTTKIKNLDSNIDSVKVKLTAEDLKEISDVVPINEVAGDVLPDRFSQLHWKFGNTPPKGSKVST >KJB45848 pep chromosome:Graimondii2_0_v6:7:55545028:55545824:1 gene:B456_007G3326001 transcript:KJB45848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CPSLKMLPEGLSSITTLKELKIESMPKAFKERLEKGGEDFYKVEHVPSIIFQNIW >KJB39902 pep chromosome:Graimondii2_0_v6:7:2883608:2884830:-1 gene:B456_007G041200 transcript:KJB39902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSQDSSSSKRHFHWTNKVATEDTEASSTTPNEDDKNGRSGIKTHVSLSTPRKKKLPAAAVSIARLRSAVSSFRKSRSSLPFGLGSRVIGTLFGYRRGHVHFALQKEAGSPPAFLVELTTPISGLVREMASGLVRIALECDKPKAEDHNKKGTTVVRLLEESTWRTYCNGKKCGFATRRDCGDKEWKILKAVEPISTGAGVLPAGNEVEAGPDGGELMYMRAKFERIVGSRDSEAFYMMNPDSNGTPELSIYLLRV >KJB44319 pep chromosome:Graimondii2_0_v6:7:37260475:37263695:1 gene:B456_007G245800 transcript:KJB44319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPYIEQVEYLDVLTKTGKKTGVSKPRGDVHRDGDCHKAVHVWIFAEITQELLLQKRADCKDSWPGLWDISSAGHISTGDSSLITPQRELQEELGVILPKDAFELIFVFLEECVTNNGKFINNEYSDVYLVTTLEPIPREAFTLQDTEVSDVKYISFGEYRSL >KJB41433 pep chromosome:Graimondii2_0_v6:7:7822347:7824508:-1 gene:B456_007G104400 transcript:KJB41433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HSCVNDPSSDPFSNASPISPPSSNSQRSISVFSRTGIIVLLSLMVILGVFTPWSGMSQSMFSNSRKVSSLSKWRDYSMAEAASFVTKNGTIIVCAVSQPYLPFLNNWLISITRQKHQEMVLGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWFGDPFCYLEGNHDVYFSDDMAATLGYCKMDILCCLAAFIIYFFHASSLWLISCVIFLRPTDGAKLVMKKWTEELQAQPWSKAKKANDQPAFNWALNKTAGLVDLYLLPQTEFPTGGLYFKNQTWVQQRANQGKERYNS >KJB42215 pep chromosome:Graimondii2_0_v6:7:11853941:11858955:-1 gene:B456_007G142400 transcript:KJB42215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCHRWQRILILSLLSFSVFAPIVLVSQRLKTLTSFGGKEFAEDLPSVNYMRDVLRLNSIEQEAAEGLKGPKLVVFNDKDISSVVRRSSYEDRDSDQFRNAQDDSKLLEANETNGNGKDEHQIQQTIIQMNSREKGQFNQEKGRDDQRLQSPFNVVDEKVKQMRDQLITAKAYLSFEPPGSNSRLMKELRARIRELERVVGEVSRDSDLPMSASQKMRSMELSLAKASRVFPDCSAMATKLRAMAYNAEEQVQVMRNQESHLLQLAGRTTPKGFHCLSMRLTAEYFLLRPEERQFPNQQNVNDPDLYHYAVLSDNVLAASVVVNSTISSAKEPEKIVFHVMADSLNLPAISMWFLLNPPGKATIHVQSIENFDWLSTKYNSTLNEQKSYDPRYSSALNHLRFYLPDIFPALNKIVLFDHDVVVQRDLTEIWSIDMKGKVNGAVETCLESEASFRSIRMFMYFSDPFLARRFNANVCTWAFGMNLFDLHEWRRKNLTMLYRNYLQLGLKRSLWKGGSLPIGWITFYNQTVALEKRWHTLGLGYNSDVPPGDIENAAVIHYDGVMKPWLETGIAKYKGYWSKHLLHDHPYLQQCNIHE >KJB42281 pep chromosome:Graimondii2_0_v6:7:12417162:12418254:1 gene:B456_007G147000 transcript:KJB42281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMDSFPEMDSFNLENASLNVSNNGATSLATPSSSTSSRYENQKRRDWNTFGQYLKNHRPPLSLSMCSGAHVLEFLRYLDQFGKTKVHTFNCPFYGLPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGIRAVRLYLREVRDLQSKARGISYEKKKRKRPLANQTPTLPVPPPPPPSAS >KJB45632 pep chromosome:Graimondii2_0_v6:7:53236251:53237988:-1 gene:B456_007G317300 transcript:KJB45632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVGKVVGVGIDNANARGAQSTSRSAEHSIIRVAAPPSASSPIAVSSVGVPSVRLTTASANQKASLEHVDDWELSGGIVEHEVALEGSSDASGQGEPIAGVLFGGVPSLEEAKEAIADLKDALDMVYLSPPPYGDTARDSAVSLVSNPEETSKGCASAPKPAIQAFKLLSESADVQSVVSSIVADPNVRNAVLNNSAYMDFIQYQAHCDEFEVEESTEGSESPVKLEEYYEEDSKDPSSGYLHKIKTSVVELASEMVGKAIKPAIQAVKLLSESCCSGDELKVEGFPKKLEEYEDSEDPSSGFMQKMKSSVVEMVKKTIGFKDRCFTDSTEKEGIAGLSVFYDTTVRPFMGFAVIVIIIILLKRHSK >KJB44156 pep chromosome:Graimondii2_0_v6:7:32372700:32373345:-1 gene:B456_007G237500 transcript:KJB44156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSSLSIWIQCCLQLRTSTSNKMGSVGCSQASADCYLWLHTVYVPFQVERKVTCKTCILQICCLHVHLECPRTVCFT >KJB41554 pep chromosome:Graimondii2_0_v6:7:8257978:8261032:1 gene:B456_007G109200 transcript:KJB41554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQETRQKIEETVKDILSKADMEEMTEFKVRVTASERLGIDLSDFSHRKFIRELVESFLLSTVEENVDGKQPNTKPVEEEAKEAIKVKKEIEGDGGRIICKLADKTNVVVHDFRGKSYVSIREFYVKNGKELPSARGVSLVSETWSTLKNSFPAIDEAITKMQSKLRDKLDHQHNRDVSNSGTAFSHEISPIETTRFYGKNYHCWAEHMELFLKQLQIAYVLTDPCPSLNISSEATSEELAQAKVAEKKWMNDDYLCHHCILSALSDNLYYQFSKKAKTAKELWEELKLVYLYEEFGTKRAQVRKYIEFQIVDERPIVEQMQELNIIADSIVATGIMVDENFHVSAIISKLPPSWKDFCVKLMREEHLPFWMLMEQVRVEELSRNRVKQAVHSKSANFDPPNNLGPRIRDIKKTGVPWKKRESEMHGKPIQCNYCGKKGHISKICRNRKIEKAVNGNQNGENSTIPAVAEVNMIDSNV >KJB45083 pep chromosome:Graimondii2_0_v6:7:49489706:49491479:-1 gene:B456_007G288700 transcript:KJB45083 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G64770) UniProtKB/TrEMBL;Acc:F4I890] MASLLSFSLPKPNIIKAVSASSATPTTTTLPAPEALDEKFGRKGIKFSESNNVPFVELTVRNGSSLKLRIPDAHVTSYKPKVYWKDDGLEEILYTVPAAGTDSTKFKGGIGLVINDATEKSSKGSVLSGYDWTVKDADNDAIDALQVELSCTAGTLDISYVVSLYPVSIATAVIVRNNGRKDVSLTSAILSHLNFKKRSRTAIHGLRGCSYCSHPPLSSPFELLSPSEAMKTESSGWFGSDSEEKPGVWTKQDVPITILKDKLSRVYAAPPSERLKPIFDTPPSKYETLDQGRELSFRVIRMGFQDIYLSSPGSLAEKYGKDYFICTGPASMLVPVVIKPGENWRGAQVIEHDNL >KJB44963 pep chromosome:Graimondii2_0_v6:7:48344622:48346630:1 gene:B456_007G282200 transcript:KJB44963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLLLRILLVYVRFCIFLFLRLDPRISDNNPCTQSIILFFKCSVKIDFDIQAVWWPPILISYYVDRGHPIPSLVHTPAAKK >KJB44317 pep chromosome:Graimondii2_0_v6:7:37241942:37259854:-1 gene:B456_007G245700 transcript:KJB44317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSADDAELRRACQAAIEDTKQKVVMSIRVVKSHGMWGKTSVSSVTSKLGPMAMGRQNMAKPRIIAISTKAKGQRTKAFLRVLKYSTGGVIEAAELYKLKHLSKVEVQSNDPSGCTFTLGFDNLRSQSVTPPQWTMRNSDDRNRLLLCILNICRDVLGRIPKIVGIDVVEMALWAKEHTPSVTTQKNQQNGPVPSTVIEGDLDVTVEKELVSQAEEEDMEALLGTYVMGVCEAEAFSERLKRELLALEAANVHAILETEPLVDEVLQGLEVATNCVDDMDEWLGIFNVKLRHMREDIQSIETRNNKLEVQSVNNKALIAELDKLVERFHFPSEYASCLTGSQFDEASVPQYVEACEWLTNALHGLEVPNLDSTFANIRAVREKRAELGILKATFVRKASEFLRICFATLVDFMINDKNYFSQRGQLKKPDHADLRYKCRTYARLLQHLKILDGNCLGPLRKAYCSSLNSLLRREAHEFANELRASTKVSKTPNTWLETFTSGNQSANNADTSAVSDAYAKMLTIFVPLLVDESSFFAHFMCFDVPGLAPPRDESGSYDADDADDDDDDDDEDADDDDDDDDNDDTNNVDQGSRPVHDNDSKAGKNSPDLLALNEALQDLLDGIQEDFYVLVDWAYKIDPLRCISLHGVTERYLSGQKSDAARFVRVLLGDLESKISMQFSRFVDEACHQIERSERNARQTGVLPYIPRFATLATRMEQYIQGQSRDLVDLAYTKFVSIMFVTLERLSQVEPKYAEIFLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACQRHLSMIIYFIPFQLGLSKMDLRKTLKSSLTGVDKSIAAMYKKLQKNLTCEELLPSLWDKCKKEFLDKYDSFAQLCAKIYPTETIPSVTEMRDLLASM >KJB44318 pep chromosome:Graimondii2_0_v6:7:37242157:37259568:-1 gene:B456_007G245700 transcript:KJB44318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSADDAELRRACQAAIEDTKQKVVMSIRVVKSHGMWGKTSVSSVTSKLGPMAMGRQNMAKPRIIAISTKAKGQRTKAFLRVLKYSTGGVIEAAELYKLKHLSKVEVQSNDPSGCTFTLGFDNLRSQSVTPPQWTMRNSDDRNRLLLCILNICRDVLGRIPKIVGIDVVEMALWAKEHTPSVTTQKNQQNGPVPSTVIEGDLDVTVEKELVSQAEEEDMEALLGTYVMGVCEAEAFSERLKRELLALEAANVHAILETEPLVDEVLQGLEVATNCVDDMDEWLGIFNVKLRHMREDIQSIETRNNKLEVQSVNNKALIAELDKLVERFHFPSEYASCLTGSQFDEASVPQYVEACEWLTNALHGLEVPNLDSTFANIRAVREKRAELGILKATFVRKASEFLRICFATLVDFMINDKNYFSQRGQLKKPDHADLRYKCRTYARLLQHLKILDGNCLGPLRKAYCSSLNSLLRREAHEFANELRASTKVSKTPNTWLETFTSGNQSANNADTSAVSDAYAKMLTIFVPLLVDESSFFAHFMCFDVPGLAPPRDESGSYDADDADDDDDDDDEDADDDDDDDDNDDTNNVDQGSRPVHDNDSKAGKNSPDLLALNEALQDLLDGIQEDFYVLVDWAYKIDPLRCISLHGVTERYLSGQKSDAARFVRVLLGDLESKISMQFSRFVDEACHQIERSERNARQTGVLPYIPRFATLATRMEQYIQGQSRDLVDLAYTKFVSIMFVTLERLSQVEPKYAEIFLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACQRHLSMIIYFQFERLFQFAKKIEDLMFTISPEEIPFQLGLSKMDLRKTLKSSLTGVDKSIAAMYKKLQKNLTCEELLPSLWDKCKKEFLDKYDSFAQLCAKIYPTETIPSVTEMRDLLASM >KJB42046 pep chromosome:Graimondii2_0_v6:7:10932596:10934733:1 gene:B456_007G134200 transcript:KJB42046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVPLPPEEVDIELLHDATRREARGG >KJB45681 pep chromosome:Graimondii2_0_v6:7:53567708:53569153:1 gene:B456_007G320200 transcript:KJB45681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLINNCLLEVPTETETENGRCVKMHDLVRDMALHITCGTPRFLVKAGMRLTEPPDLQEWRKGLEKVSLMENWGLQLPYPLEISPPKCPMLTTLLFSGCNIQSIPEGFFKHMHGLKILDLSANPIKNLPDSIANLKNLTALLLRHCRSLEKVPSLSKLKVLKELNLEATSIKEIPCGMENLLKLKYLNLNGIGDLHEIPDRALSKLSCLQDLIVGETLISGEDVGGLKKLEILKGRFYELHNLNAYVQALHGREEPFEYIIRVGERGWVEQINTRKYIELCGCNIYTNQIILPHVEELYIKECNLNCSEGYPLFSRFILISLSTFSSLKFLDIYNCKSMKKLFSPNCLPLNLQELSVSECNKLEEIIAIELGWNQSGKATMEFHLPQLRLFSLGNLPKLKSICSANGVIVCDSLEIIEVRNCPKLKRMPLNLSQLDNIRLQPSGPLSPLIWIKLEEWWESVEWDQPNVKCILEPLLRSCW >KJB44557 pep chromosome:Graimondii2_0_v6:7:42493124:42496385:1 gene:B456_007G259600 transcript:KJB44557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRTVQVKNLSDLATEREIHEFFSFSGEIEHVDIISEAGQSKTAYVKFKDPKALEIALLLSGATIVDKIVTIISVENYVPKLEIHESRAADNIVSIAPAGHSASNDEGRTSPPGSGRMYVSRAQDVVASMLAKGSAIRQDAVNKAKAFDEKHQLRASASAKVMSFDRRVGLTEKLTVGISVVNEKVKSVDQRLQVSDKTMAAIFAAERKINDTGSAVKSSRYITAGTAWLNGAFSKVAKAGQVAGTKTKEKFNLAMSNLTAKDGIAV >KJB44556 pep chromosome:Graimondii2_0_v6:7:42493106:42496386:1 gene:B456_007G259600 transcript:KJB44556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRTVQVKNLSDLATEREIHEFFSFSGEIEHVDIISEAGQSKTAYVKFKDPKALEIALLLSGATIVDKIVTIISVENYVPKLEIHESRAADNIVSIAPAGHSASNDEQGRTSPPGSGRMYVSRAQDVVASMLAKGSAIRQDAVNKAKAFDEKHQLRASASAKVMSFDRRVGLTEKLTVGISVVNEKVKSVDQRLQVSDKTMAAIFAAERKINDTGSAVKSSRYITAGTAWLNGAFSKVAKAGQVAGTKTKEKFNLAMSNLTAKDGIAV >KJB44558 pep chromosome:Graimondii2_0_v6:7:42495023:42496385:1 gene:B456_007G259600 transcript:KJB44558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVSRAQDVVASMLAKGSAIRQDAVNKAKAFDEKHQLRASASAKVMSFDRRVGLTEKLTVGISVVNEKVKSVDQRLQVSDKTMAAIFAAERKINDTGSAVKSSRYITAGTAWLNGAFSKVAKAGQVAGTKTKEKFNLAMSNLTAKDGIAV >KJB41525 pep chromosome:Graimondii2_0_v6:7:8193120:8193758:1 gene:B456_007G108600 transcript:KJB41525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFYLLLLHPPPQKIYIYIFASLSYSWKCCYRLYHYTERILVLYCNSTVKPNYRNFKNQNPKRCNVRLTSSSNPQKGLQLQHLQHNTTQHPTKSPQNSIPANQFSPIYSPNSNTKRAQIQHRRVNLKQTVKANKLILKTKTKKPKLNHSRPQKEKRIKEIGIKD >KJB45119 pep chromosome:Graimondii2_0_v6:7:49884673:49888847:-1 gene:B456_007G290900 transcript:KJB45119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIGTGAAANVSSETAKGIFHQVKRHIRYVIFYQKFVDKFEQKHRTLIAKRTSVQQDVDVAERNGEKIKADVLDWRHRVEKVVTEKEKKVKDLEVKAKTKCFFGLCPNIKSRYQLSRKAEKDAATFDELIKECQFERVGYPDVADPIIHTDFEAFKSREEVFNDIMESLKDATTSMIGVYGMAGVGKTWLVKEVERQLHEVEVKLFDSVVRATVSQIPDIKEIQDQIAYSLGLKLEENSPDVRARKLYGRLRKEKNVLIILDDLWKKLDLEEVGIPFGSQHKGCKILLTSRNQNVLSNGMDATKTFAIVDLDDEEAWEFFKKMARDSFESDEELRSTAIKVAKKCARLPLALATVARALRNKSLFVWRDALQQLQRPYLEKSSNEISAEVHSAIELSINHLSSEDLKQIFLLCSLLRRVVRIEDLLRYALGLGLIKGANSMKAARDRLLKMLSTLKESCLLLDSKSSNEEYFDVHDLTYIVAKSIASKDNQVLTLTEEDEDIVTDWPNGESMKECNKIILQHPSINRLPDQLNCPQLFLFFLFSKDLSLTLPDNFFKEAKNLKVLDLTRMHFSSLPSSIGLLTSLSTLCLDQCNLGDNLTIIGALKNLNVLSLLQSDIKIVPKEIGQLLKLKLLDVSGCTKLKTISADVLPSLSKLEELYMGGTSIQWGQPNASLAELNTLSQLSTLEVQIPDAKAAPEDFFQKLEKLERYKICIGKEWGRFGNYQYSRTLRLRLNTSIDDLDHGIKKLVKRTQALELDELKGVKIALKELTDEERLSHLQNLHIQNGLDIESIINDRNEFPRLQSLTLQGLPQLVSFCSQDKIDATSLPQRELPLFGEKLHMEIYKAGESTNL >KJB45809 pep chromosome:Graimondii2_0_v6:7:55217881:55224223:1 gene:B456_007G330000 transcript:KJB45809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGLSGPIPSINATLLNLTYIIISDLNGAETNFTQPLIDASLPKLDRLMLRSCNLIGEIPASFGTFTSIKILDLSFNRLSGKIRDELSNLDFEYLFLNGNNFNGSVPQWILETREKVDLSYNNFTNTGVSDCRQNSVNLFSSIARVNNTGIVPCLTSQITCPSEPLHFVHINCGGRKITVNGITYEADFGQAGTSTFYRSITNWAFSSTGTFLSDDRPDDVLDLESRQLSSNGGNELYTDARVSPSSLTYYAFCLANATYNVSLHFAEIQFTNGETYSSLGRRMFDIYIQGEREKQDFNIVVAAGGAGLSRVERFNANVTDGTLEIHFRWAGKGTTSLPERSIYGPLISGISIFNPVFKPGYKPPSKSGSGPLPKKVDRISTAAMAGIVAGATFATFLIVGIFWWNCCYKLDDEISDVLFGDEFQNGMELRKFSLVQIAKMTNNFKGEKLGEGGFGVVYKGYLRDLDTYVAVKRISKASKQGIKEYASEVKIISRSRHKNLVKLIGQCHEKGELILVYEFMANGSLDSHLFKGKTLLTWEVRFKIVQDLASALFYLHEEGDHCVLHRDIKASNIMLDSSFNAKLGDFGLARLVDHAKASQTTHLAGTMGYLAPECVSSGKASKESDVYSFGVVALEIACGRRSIEPKYEESQASLVAWVWSAYGSQRLPDVADPELCMNFDAKQMECLLMVGLWCVHPDQHLRPSIRQTIQVFNFEAPLPKLPGTR >KJB45810 pep chromosome:Graimondii2_0_v6:7:55217882:55224223:1 gene:B456_007G330000 transcript:KJB45810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLGSNYFTGKIPETFASLTSLKEFRISDNNFTGQIPDFIFRNWTNLEEIYMEGSGLSGPIPSINATLLNLTYIIISDLNGAETNFTQPLIDASLPKLDRLMLRSCNLIGEIPASFGTFTSIKILDLSFNRLSGKIRDELSNLDFEYLFLNGNNFNGSVPQWILETREKVDLSYNNFTNTGVSDCRQNSVNLFSSIARVNNTGIVPCLTSQITCPSEPLHFVHINCGGRKITVNGITYEADFGQAGTSTFYRSITNWAFSSTGTFLSDDRPDDVLDLESRQLSSNGGNELYTDARVSPSSLTYYAFCLANATYNVSLHFAEIQFTNGETYSSLGRRMFDIYIQGEREKQDFNIVVAAGGAGLSRVERFNANVTDGTLEIHFRWAGKGTTSLPERSIYGPLISGISIFNPVFKPGYKPPSKSGSGPLPKKVDRISTAAMAGIVAGATFATFLIVGIFWWNCCYKLDDEISDVLFGDEFQNGMELRKFSLVQIAKMTNNFKGEKLGEGGFGVVYKGYLRDLDTYVAVKRISKASKQGIKEYASEVKIISRSRHKNLVKLIGQCHEKGELILVYEFMANGSLDSHLFKGKTLLTWEVRFKIVQDLASALFYLHEEGDHCVLHRDIKASNIMLDSSFNAKLGDFGLARLVDHAKASQTTHLAGTMGYLAPECVSSGKASKESDVYSFGVVALEIACGRRSIEPKYEESQASLVAWVWSAYGSQRLPDVADPELCMNFDAKQMECLLMVGLWCVHPDQHLRPSIRQTIQVFNFEAPLPKLPGTR >KJB42280 pep chromosome:Graimondii2_0_v6:7:13098787:13102143:-1 gene:B456_007G153200 transcript:KJB42280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYAFVARGTVVLADYTEFSGNFTSIASQCLQKLPASNNKFTYNCDGHTFNYLVDNGFTYCVVAVESAGRQVPIAFLERIKEDFTKRYGGGKAAAAPANSLSKEPKLKEHMQYCIDHPEEVSKIAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKSENLRSQAQDFRQQGTQMRRKMWLQNMKIKLIVLGILIALVLIIVLSVCHGFNC >KJB42279 pep chromosome:Graimondii2_0_v6:7:13098730:13102184:-1 gene:B456_007G153200 transcript:KJB42279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYAFVARGTVVLADYTEFSGNFTSIASQCLQKLPASNNKFTYNCDGHTFNYLVDNGFTYCVVAVESAGRQVPIAFLERIKEDFTKRYGGGKAAAAPANSLSKEFGPKLKEHMQYCIDHPEEVSKIAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKSENLRSQAQDFRQQGTQMRRKMWLQNMKIKLIVLGILIALVLIIVLSVCHGFNC >KJB40216 pep chromosome:Graimondii2_0_v6:7:3643726:3645573:-1 gene:B456_007G051800 transcript:KJB40216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISFLSHQWQSLQVVFFVLNYVFASYIRAQPSSSNGADFWCSRSSAPCPTYTAYFAQEPDYLDLQNIAKLFGTSPQEIARASNLVSEDTRLFPGQLLLVPIICGCTRNHYFANITYDIKFGDTYYIVSTTVFEHLTNFTTVGDMNPSLDPKSLQVGDKVVFPLFCKCPSKAELKNETEYFISYVWQPNDDIWSVSAKFNASAPAIIDENKLNDYQDISLAVIPPLMIPVSDLPVLLQTQFSGGSKSKHRGGLIVVLSIVGCLLVLAGVVIHKRKKVFRRNGWSPETVGLIPVKDLTKSESFQPKIIQDKLLPGVSGYLGKPIMYEAHVIMGATMNLNEHCRIGGTVYRATIDGKLLAIKKTKDDITKELKILQKVNHANLVKLMGVSADSDGNCYLVYEYAENGSLDKWLHPKSSCSSSRAAVLTWSQRLQVALDVANGLQYMHEHTQPNIVHRDIRTSNILLDSTFKAKISNFSVTETIANAIMPKVDVFAFGVVLLELLSGKKAMTTKENGEIFMLWKQIRQVLEIEEKREKRLRKWMDPNLESFYPLDSALSLAVLAMACTQENPLARPSMAEIVFSLSVLIQSSFEISEGSWGSGIETELDQMIVPVVAR >KJB44528 pep chromosome:Graimondii2_0_v6:7:41931799:41933041:1 gene:B456_007G258000 transcript:KJB44528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWSPLGMMNSKNWCKTSPKREAGQDPIFISIKALTFSTLYRNQFPWDENPLLTFGPHQLVRFFEYDLYLNNPFPDLRNVCAYQPRLFSTHAPYATLPTSIKDSGCKIVYICRNPMDMFISLWLFAAKLRDKNRESLSLDEAFDKFYHGISAHGPFFDHVLGYWKASQENPNKILFLNFEDLKEDMDSHLKNLAMFLGVPFTDDEEKQGVVEKIAKICSFENLKELEVNKKGVHTTGIPHTHFFRKGEVGDWSNYLKPFMIECLEKLIQEKLNGSGLTFKLSSKTSNNIASP >KJB44529 pep chromosome:Graimondii2_0_v6:7:41931799:41933041:1 gene:B456_007G258000 transcript:KJB44529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAEISKARMVSKSHDVESSWDDEFKKLVQNFPKERSWAGSNLYFYQGFWCPSLVLKAFISFQKHFQAFNSDVIVATFPKCGTTWLKALTFSTLYRNQFPWDENPLLTFGPHQLVRFFEYDLYLNNPFPDLRNVCAYQPRLFSTHAPYATLPTSIKDSGCKIVYICRNPMDMFISLWLFAAKLRDKNRESLSLDEAFDKFYHGISAHGPFFDHVLGYWKASQENPNKILFLNFEDLKEDMDSHLKNLAMFLGVPFTDDEEKQGVVEKIAKICSFENLKELEVNKKGVHTTGIPHTHFFRKGEVGDWSNYLKPFMIECLEKLIQEKLNGSGLTFKLSSKTSNNIASP >KJB41043 pep chromosome:Graimondii2_0_v6:7:6360406:6373961:1 gene:B456_007G0881001 transcript:KJB41043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLKANTGVIASTQLLEEMERLHVTIMDSTQKLQNGGTTESSTSDGYGDDIEAEANSYFHQMFSEQLTTDAMVQMLARFKDSSVRREQLIFECMIANLFEEYRFFPKYPERQLKIAAVLFGSVIKHQLVTHLTLGIALRCVLDALRKPADSKMFLFGTKALEQFVDRLIEWPQYCNHILQISHLRATHSELVAFIERALVRISSGHLESDGSNNLSAHHQASSQVTPVNGELNSSGIAQPGPQLSSQLQLPRHDSSHDDRIKAPAASSNDVKPLVSSVGQPSVAPLSDASSIQKVSQSVGSGSSMLSASPGFVRSSRGVTSTRFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNISVANIEAKGKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALTKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILSLLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDISPTSLLKDRKRELEGNPDFSNKDVGVSQAPMVAEVKSGIISPLNHVDLSLEGASPPNSGGPTHLLSQYAGPLRLSSGALMEDEKLAALGLSDQLPSAQGLFQATPSQSPFSVSQLSTSIPNIGTHVIINQKLSSLGLHMHFQRVVPIAMDRAIKEIVAGIIQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRGSISSQLRNSLQGLSVGSDLLEQAVQLVTNDNLDLGCAVIEQAATDKAIQTIDGEIATQLSLRRKHRDPTFFDPSMYGQGSVGVVPEALRPKPGHLSLSQQRVYEDFVRLPWQNQSGQNSHSMSASPSSLSGDAGLTGTFGSTSGQGTPGYTSGPGTLGQLDVTSEAIETSSAALLSASSIHIGAGAALTQQTTENDPLNASFPSTTLAPELHSVDTTDAVKELGPTPQPLPSSAVTERLGSSISEASLSTRDALDKFQLVALKLESLVTTDGREADIQGLISEVPEIILRCVSRDEAALAVAQKVFKGLYENASNSLHVNAYLAILAAVRDVCKLAVKELTSWVIYSDEERKFNKDITVGLIRSELLNLAEYNVLMAKLIDGGRNKAATEFAMSLLQTLVTDESRVISELHNLVDALAKVASKPGSSESLQHLIEMIGNPSASMAVLSSASIGKEDKTRQSRDKKAPGHTPASREDNSNIEALEPDPAGFKEQVSMLFAEWYQICELPGANDGPCNHYIVQLHQNGLLKGDDTTERFFRIIMELSVAHCLSSEVMNSGTLQSPQQVQTLSFLAIDIYAKLVVSILKFCPVEQGSSKLFLMSKILTVTVRLIQKEAEDRKAAFNPRPYFRLFINWLLDLGSLDPVPDGANFQILTAFANAFHALHPLKVPAFSFAWLELVSHRSFMPKLLTWNGQKGWPYIQRLLVDLLQFLEPFLRNAELGVPVHFLYKGTLRVLLVLLHDFPEFLCDCHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIREPPRILSEVDAALKAKQMKADVDEYLKMRPQGGSTFLTELKQRLLLSPSEAASAGTRYNVPLINSLVLYVGMQAIQQLQSRVPHAQSAASTAPMSVFLVSAALDIFQTLIADLDTEGRYLFLNAIANQLRYPNNHTHYFSFILLYLFAEANQEIIQEQITRVLLERLIVNKPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPVDDSIVSGWVSDNAH >KJB41042 pep chromosome:Graimondii2_0_v6:7:6360406:6373695:1 gene:B456_007G0881001 transcript:KJB41042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLKANTGVIASTQLLEEMERLHVTIMDSTQKLQNGGTTESSTSDGYGDDIEAEANSYFHQMFSEQLTTDAMVQMLARFKDSSVRREQLIFECMIANLFEEYRFFPKYPERQLKIAAVLFGSVIKHQLVTHLTLGIALRCVLDALRKPADSKMFLFGTKALEQFVDRLIEWPQYCNHILQISHLRATHSELVAFIERALVRISSGHLESDGSNNLSAHHQASSQVTPVNGELNSSGIAQPGPQLSSQLQLPRHDSSHDDRIKAPAASSNDVKPLVSSVGQPSVAPLSDASSIQKVSQSVGSGSSMLSASPGFVRSSRGVTSTRFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNISVANIEAKGKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALTKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILSLLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDISPTSLLKDRKRELEGNPDFSNKDVGVSQAPMVAEVKSGIISPLNHVDLSLEGASPPNSGGPTHLLSQYAGPLRLSSGALMEDEKLAALGLSDQLPSAQGLFQATPSQSPFSVSQLSTSIPNIGTHVIINQKLSSLGLHMHFQRVVPIAMDRAIKEIVAGIIQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRGSISSQLRNSLQGLSVGSDLLEQAVQLVTNDNLDLGCAVIEQAATDKAIQTIDGEIATQLSLRRKHRDPTFFDPSMYGQGSVGVVPEALRPKPGHLSLSQQRVYEDFVRLPWQNQSGQNSHSMSASPSSLSGDAGLTGTFGSTSGQGTPGYTSGPGTLGQLDVTSEAIETSSAALLSASSIHIGAGAALTQQTTENDPLNASFPSTTLAPELHSVDTTDAVKELGPTPQPLPSSAVTERLGSSISEASLSTRDALDKFQLVALKLESLVTTDGREADIQGLISEVPEIILRCVSRDEAALAVAQKVFKGLYENASNSLHVNAYLAILAAVRDVCKLAVKELTSWVIYSDEERKFNKDITVGLIRSELLNLAEYNVLMAKLIDGGRNKAATEFAMSLLQTLVTDESRVISELHNLVDALAKVASKPGSSESLQHLIEMIGNPSASMAVLSSASIGKEDKTRQSRDKKAPGHTPASREDNSNIEALEPDPAGFKEQVSMLFAEWYQICELPGANDGPCNHYIVQLHQNGLLKGDDTTERFFRIIMELSVAHCLSSEVMNSGTLQSPQQVQTLSFLAIDIYAKLVVSILKFCPVEQGSSKLFLMSKILTVTVRLIQKEAEDRKAAFNPRPYFRLFINWLLDLGSLDPVPDGANFQILTAFANAFHALHPLKVPAFSFAWLELVSHRSFMPKLLTWNGQKGWPYIQRLLVDLLQFLEPFLRNAELGVPVHFLYKGTLRVLLVLLHDFPEFLCDCHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIREPPRILSEVDAALKAKQMKADVDEYLKMRPQGGSTFLTELKQRLLLSPSEAASAGTRYNVPLINSLVLYVGMQAIQQLQSRVPHAQSAASTAPMSVFLVSAALDIFQTLIADLDTEGRYLFLNAIANQLRYPNNHTHYFSFILLYLFAEANQEIIQEQITRVLLERLIVNKPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPVDDSIVSGWVSDNAH >KJB41045 pep chromosome:Graimondii2_0_v6:7:6360406:6373961:1 gene:B456_007G0881001 transcript:KJB41045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLKANTGVIASTQLLEEMERLHVTIMDSTQKLQNGGTTESSTSDGYGDDIEAEANSYFHQMFSEQLTTDAMVQMLARFKDSSVRREQLIFECMIANLFEEYRFFPKYPERQLKIAAVLFGSVIKHQLVTHLTLGIALRCVLDALRKPADSKMFLFGTKALEQFVDRLIEWPQYCNHILQISHLRATHSELVAFIERALVRISSGHLESDGSNNLSAHHQASSQVTPVNGELNSSGIAQPGPQLSSQLQLPRHDSSHDDRIKAPAASSNDVKPLVSSVGQPSVAPLSDASSIQKVSQSVGSGSSMLSASPGFVRSSRGVTSTRFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNISVANIEAKGKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALTKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILSLLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDISPTSLLKDRKRELEGNPDFSNKDVGVSQAPMVAEVKSGIISPLNHVDLSLEGASPPNSGGPTHLLSQYAGPLRLSSGALMEDEKLAALGLSDQLPSAQGLFQATPSQSPFSVSQLSTSIPNIGTHVIINQKLSSLGLHMHFQRVVPIAMDRAIKEIVAGIIQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRGSISSQLRNSLQGLSVGSDLLEQAVQLVTNDNLDLGCAVIEQAATDKAIQTIDGEIATQLSLRRKHRDPTFFDPSMYGQGSVGVVPEALRPKPGHLSLSQQRVYEDFVRLPWQNQSGQNSHSMSASPSSLSGDAGLTGTFGSTSGQGTPGYTSGPGTLGQLDVTSEAIETSSAALLSASSIHIGAGAALTQQTTENDPLNASFPSTTLAPELHSVDTTDAVKELGPTPQPLPSSAVTERLGSSISEASLSTRDALDKFQLVALKLESLVTTDGREADIQGLISEVPEIILRCVSRDEAALAVAQKVFKGLYENASNSLHVNAYLAILAAVRDVCKLAVKELTSWVIYSDEERKFNKDITVGLIRSELLNLAEYNVLMAKLIDGGRNKAATEFAMSLLQTLVTDESRVISELHNLVDALAKVASKPGSSESLQHLIEMIGNPSASMAVLSSASIGKEDKTRQSRDKKAPGHTPASREDNSNIEALEPDPAGFKEQVSMLFAEWYQICELPGANDGPCNHYIVQLHQNGLLKGDDTTERFFRIIMELSVAHCLSSEVMNSGTLQSPQQVQTLSFLAIDIYAKLVVSILKFCPVEQGSSKLFLMSKILTVTVRLIQKEAEDRKAAFNPRPYFRLFINWLLDLGSLDPVPDGANFQILTAFANAFHALHPLKVPAFSFAWLELVSHRSFMPKLLTWNGQKGWPYIQRLLVDLLQFLEPFLRNAELGVPVHFLYKGTLRVLLVLLHDFPEFLCDCHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIREPPRILSEVDAALKAKQMKADVDEYLKMRPQGGSTFLTELKQRLLLSPSEAASAGTRYNVPLINSLVLYVGMQAIQQLQSRVPHAQSAASTAPMSVFLVSAALDIFQTLIADLDTEGRYLFLNAIANQLRYPNNHTHYFSFILLYLFAEANQEIIQEQITRVLLERLIVNKPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPVDDSIVSGWVSDNAH >KJB41044 pep chromosome:Graimondii2_0_v6:7:6360406:6373292:1 gene:B456_007G0881001 transcript:KJB41044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLKANTGVIASTQLLEEMERLHVTIMDSTQKLQNGGTTESSTSDGYGDDIEAEANSYFHQMFSEQLTTDAMVQMLARFKDSSVRREQLIFECMIANLFEEYRFFPKYPERQLKIAAVLFGSVIKHQLVTHLTLGIALRCVLDALRKPADSKMFLFGTKALEQFVDRLIEWPQYCNHILQISHLRATHSELVAFIERALVRISSGHLESDGSNNLSAHHQASSQVTPVNGELNSSGIAQPGPQLSSQLQLPRHDSSHDDRIKAPAASSNDVKPLVSSVGQPSVAPLSDASSIQKVSQSVGSGSSMLSASPGFVRSSRGVTSTRFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNISVANIEAKGKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALTKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILSLLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDISPTSLLKDRKRELEGNPDFSNKDVGVSQAPMVAEVKSGIISPLNHVDLSLEGASPPNSGGPTHLLSQYAGPLRLSSGALMEDEKLAALGLSDQLPSAQGLFQATPSQSPFSVSQLSTSIPNIGTHVIINQKLSSLGLHMHFQRVVPIAMDRAIKEIVAGIIQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRGSISSQLRNSLQGLSVGSDLLEQAVQLVTNDNLDLGCAVIEQAATDKAIQTIDGEIATQLSLRRKHRDPTFFDPSMYGQGSVGVVPEALRPKPGHLSLSQQRVYEDFVRLPWQNQSGQNSHSMSASPSSLSGDAGLTGTFGSTSGQGTPGYTSGPGTLGQLDVTSEAIETSSAALLSASSIHIGAGAALTQQTTENDPLNASFPSTTLAPELHSVDTTDAVKELGPTPQPLPSSAVTERLGSSISEASLSTRDALDKFQLVALKLESLVTTDGREADIQGLISEVPEIILRCVSRDEAALAVAQKVFKGLYENASNSLHVNAYLAILAAVRDVCKLAVKELTSWVIYSDEERKFNKDITVGLIRSELLNLAEYNVLMAKLIDGGRNKAATEFAMSLLQTLVTDESRVISELHNLVDALAKVASKPGSSESLQHLIEMIGNPSASMAVLSSASIGKEDKTRQSRDKKAPGHTPASREDNSNIEALEPDPAGFKEQVSMLFAEWYQICELPGANDGPCNHYIVQLHQNGLLKGDDTTERFFRIIMELSVAHCLSSEVMNSGTLQSPQQVQTLSFLAIDIYAKLVVSILKFCPVEQGSSKLFLMSKILTVTVRLIQKEAEDRKAAFNPRPYFRLFINWLLDLGSLDPVPDGANFQILTAFANAFHALHPLKVPAFSFAWLELVSHRSFMPKLLTWNGQKGWPYIQRLLVDLLQFLEPFLRNAELGVPVHFLYKGTLRVLLVLLHDFPEFLCDCHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIREPPRILSEVDAALKAKQMKADVDEYLKMRPQGGSTFLTELKQRLLLSPSEAASAGTRYNVPLINSLVLYVGMQAIQQLQSRVPHAQSAASTAPMSVFLVSAALDIFQTLIADLDTEGRYLFLNAIANQLRYPNNHTHYFSFILLYLFAEANQVRISVPW >KJB41046 pep chromosome:Graimondii2_0_v6:7:6360406:6373961:1 gene:B456_007G0881001 transcript:KJB41046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLKANTGVIASTQLLEEMERLHVTIMDSTQKLQNGGTTESSTSDGYGDDIEAEANSYFHQMFSEQLTTDAMVQMLARFKDSSVRREQLIFECMIANLFEEYRFFPKYPERQLKIAAVLFGSVIKHQLVTHLTLGIALRCVLDALRKPADSKMFLFGTKALEQFVDRLIEWPQYCNHILQISHLRATHSELVAFIERALVRISSGHLESDGSNNLSAHHQASSQLNSSGIAQPGPQLSSQLQLPRHDSSHDDRIKAPAASSNDVKPLVSSVGQPSVAPLSDASSIQKVSQSVGSGSSMLSASPGFVRSSRGVTSTRFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNISVANIEAKGKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALTKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILSLLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDISPTSLLKDRKRELEGNPDFSNKDVGVSQAPMVAEVKSGIISPLNHVDLSLEGASPPNSGGPTHLLSQYAGPLRLSSGALMEDEKLAALGLSDQLPSAQGLFQATPSQSPFSVSQLSTSIPNIGTHVIINQKLSSLGLHMHFQRVVPIAMDRAIKEIVAGIIQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRGSISSQLRNSLQGLSVGSDLLEQAVQLVTNDNLDLGCAVIEQAATDKAIQTIDGEIATQLSLRRKHRDPTFFDPSMYGQGSVGVVPEALRPKPGHLSLSQQRVYEDFVRLPWQNQSGQNSHSMSASPSSLSGDAGLTGTFGSTSGQGTPGYTSGPGTLGQLDVTSEAIETSSAALLSASSIHIGAGAALTQQTTENDPLNASFPSTTLAPELHSVDTTDAVKELGPTPQPLPSSAVTERLGSSISEASLSTRDALDKFQLVALKLESLVTTDGREADIQGLISEVPEIILRCVSRDEAALAVAQKVFKGLYENASNSLHVNAYLAILAAVRDVCKLAVKELTSWVIYSDEERKFNKDITVGLIRSELLNLAEYNVLMAKLIDGGRNKAATEFAMSLLQTLVTDESRVISELHNLVDALAKVASKPGSSESLQHLIEMIGNPSASMAVLSSASIGKEDKTRQSRDKKAPGHTPASREDNSNIEALEPDPAGFKEQVSMLFAEWYQICELPGANDGPCNHYIVQLHQNGLLKGDDTTERFFRIIMELSVAHCLSSEVMNSGTLQSPQQVQTLSFLAIDIYAKLVVSILKFCPVEQGSSKLFLMSKILTVTVRLIQKEAEDRKAAFNPRPYFRLFINWLLDLGSLDPVPDGANFQILTAFANAFHALHPLKVPAFSFAWLELVSHRSFMPKLLTWNGQKGWPYIQRLLVDLLQFLEPFLRNAELGVPVHFLYKGTLRVLLVLLHDFPEFLCDCHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIREPPRILSEVDAALKAKQMKADVDEYLKMRPQGGSTFLTELKQRLLLSPSEAASAGTRYNVPLINSLVLYVGMQAIQQLQSRVPHAQSAASTAPMSVFLVSAALDIFQTLIADLDTEGRYLFLNAIANQLRYPNNHTHYFSFILLYLFAEANQEIIQEQITRVLLERLIVNKPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPVDDSIVSGWVSDNAH >KJB46609 pep chromosome:Graimondii2_0_v6:7:60837766:60839198:-1 gene:B456_007G377700 transcript:KJB46609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKPLLFLFLSSLLLHASLAEIICEDLPKDACAFSIATSGKRCLLETSVKKDGYECRTSEVVVVSLADYIESDECVRACGVDRTSTGFSSDSLLEPQFTAKLCSPACYQYCPNIVDLYFNLAAGEGVLLADLCEAQRTDPRRTLMELLSSGAAPGPVSSESGSLFGVTPASAPL >KJB44187 pep chromosome:Graimondii2_0_v6:7:32618140:32619777:-1 gene:B456_007G238900 transcript:KJB44187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSRYLSFVKGVVDSDDLPLNVFREILQESRIVRILRKRLVRKIFDMIQEISKSKNNEDYKKLWENFGRFLKLGCIEDSGNHKRIAPLLRFYTSKSKEELTSLDTYVENMSENQKAIYYLATDSLKSAKTALFLEKLVQKDIEVLYLIKPIDEVAIQNLQTYKEKKFVDIIKEDLELGDEDEVKERETKQEYNILCDWVKQQLGDKVAKVQILKHLSSSPCVLVSGKFGWSANMERLMKAQALGDTASLEFMRGRRILEINLDHPIIKDLNVRPC >KJB44897 pep chromosome:Graimondii2_0_v6:7:47671674:47673365:1 gene:B456_007G278700 transcript:KJB44897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEAVAATGGGGGGGGGGGGGGVEAQKKNRIQVSNTKKPLFFYVNLAKRYIQQHNEVELSALGMAITTVVTIAEILKNNGLAIEKKVLTSTVGMKDENKGRVVLKAKIEIVLGKSEKFDLLMNASNVATETDPKDKE >KJB39664 pep chromosome:Graimondii2_0_v6:7:1733602:1738554:1 gene:B456_007G024600 transcript:KJB39664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELSQLHDHRGNGCPLPNPGHDDVNTPRKAGKMLSNSSGYSKKARFSQLEDTIISTGVDDIKDINDKLGCYTTKSNFPDKTQMSRQKNSFSGKRGDRKNFKVPMKPKFDSFSKKAGFASFTMASGGNNFLGLYGLKSDDIHDVTELVEDLSLNELLDGIYEHPILGIEKGRKATNTTENFVHSVKKVFSVLPLRRVQSQNVTDMDSSSHKKMPLCPLSSVSIPACSANGDKEDTYSVDPSPCDKDSSGKPEMPSSPPDFLLCQPKDILERLALPPPKDLDSLLLDATKPSSTRNNSDARPGKQILRRASLPPFPWSHTINGCRTNPDAVKLLSNKSMCQGRWVKIPNTSSSPGTATGCFTNLESLAYDPSLIPSLPKCSSLEGEIASSSCNLRLCEQGASPLTTYSKAFNLPQVGHCPRILAAARTLCDIATKPLRQNPDGITRWPKKPSQKAMKARKTKSIEKPDEIYATPSSLLGSEKLVRCDTDQLILSKRPKLSVVENKKDLNHINGVRKGPIAWSTPRSSRSSPGKSVSEIRHTTANVVKPPCTNLPPTVLDKPCNSQHKLRKLMSVDWKRGRDRLD >KJB39663 pep chromosome:Graimondii2_0_v6:7:1733659:1738544:1 gene:B456_007G024600 transcript:KJB39663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELSQLHDHRGNGCPLPNPGHDDVNTPRKAGKMLSNSSGYSKKARFSQLEDTIISTGVDDIKDINDKLGCYTTKSNFPDKTQMSRQKNSFSGKRGDRKNFKVPMKPKFDSFSKKAGFASFTMASGGNNFLGLYGLKSDDIHDVTELVEDLSLNELLDGIYEHPILGIEKGRKATNTTENFVHSVKKVFSVLPLRRVQSQNVTDMDSSSHKKMPLCPLSSVSIPACSANGDKEDTYSVDPSPCDKDSSGKPEMPSSPPDFLLCQPKDILERLALPPPKDLDSLLLDATKPSSTRNNSDARPGKQILRRASLPPFPWSHTINGCRTNPDAVKLLSNKSMCQGRWVKIPNTSSSPGTATGCFTNLESLAYDPSLIPSLPKCSSLEGEIASSSCNLRLCEQGASPLTTYSKAFNLPQVGHCPRILAAARTLCDIATKPLRQNPDGITRWPKKPSQKAMKARKTKSIEKPDEIYATPSSLLGSEKLVRCDTDQLILSKRPKLSVVENKKDLNHINGVRKGPIAWSTPRSSRSSPGKSVSEIRHTTANVVKPPCTNLPPTVLDKPCNSQHKLRKLMSVDWKRGRDRLD >KJB42999 pep chromosome:Graimondii2_0_v6:7:16647870:16651624:-1 gene:B456_007G178900 transcript:KJB42999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALVSVVVNIILDNLNSLFLEEMGLAGSLKKELQSLESTLTTIQAVLHDAEEKQWKSEAIKNWLGKLKQAAYDLEDVLDDFKTEAQRRRSKVSTFFSLQNPLVFRLNMARKFKNAREKLDAIAEEKSKFHLRECVVEAAIEWNEDRQTSSLVKESEILGRADEKEKIVSMLVSNASHHDDLSVYAICGMGGLGKTTLAQLVYNDKNMAKAFDLRAWVCVSDDFDIKRLTKAIVESIEGKSCDIQELDPLQRRLVEMFVGKRFLLVLDDVWNEYHDKWDRLKQALQCGARGSTVIVTTRLEKVALMMATIPFYRLGCLSDDDSWSLFKQRAFGMGIKEGNVNLDATGRQILQRCGGVPLAITAIGSILHSKSQESEWLRVKDSEIWDLEDEGKRILAVLMLSYEHLPPYMKQCFSFCSIFPKDSVMVKDELIGLWMANGFIPSRRLLDLHDTGCEIFSELTRRSFLQEIKEDVDGTVTCKMHDLIHDIATSIMGHECCVIEPNERLKIPKTARHLFVHNSSSSTNIMDLSKLQPLHSLILGNEISFCGIYNLSNPAQFISKQKNLKVLDFGYSFSNTAFKSLKQLRYLCLHDGNMKTLPESTISLHNLQTLNLQHCHSLEMLPKDTRHLKNLKYLDLRCCSSLTSMPVGLGQLSCLRKLSMFVVGKDIGCEAKNANLKKKKNLSSLSLSWRLDSLETCHHQHRNDEEILNVLQPHSSLKKLCIFGYQGDRFPYWMMDLLLPNLVEISLEDCEICDQLPPLGKLRFLKVLTIKEMGALKYIDSNFYGDMESSFPSLKVLEIAMAPCLEEWTTVNGREQFPLLSSLTIKCCPKLVKMPMLQSLKKLEIGGINVTLLKSLMMNATILTSLWINEVDELTDLPDGLLQNQKHLDSLTIVSSTLKSLSDMLDNLSSLKHLELESCLQLESLPAGLQNISSLKTLDLSQCDSLVSLPANGLQGLLSLSSLRIQNCKKLASLSEGVLYLTSIRDLLINGCPELTSLPESIQHLSSLQSLRIWSCVRLISLPNEIQHLNLLSRLEIKYCCNLMSLPQGVWSLMALETLEIEGCPHLKRQCKKEERIDPT >KJB44893 pep chromosome:Graimondii2_0_v6:7:47596438:47597187:-1 gene:B456_007G278300 transcript:KJB44893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEGEPRREAITSSSSSPSLCDSETEDLERMPLVPLPLTKNNRYLSKQLSLCETRREIAWERRRRQILRQERRKNGIIENGLTDEDLHELKGCIELGFGFNEEEGQKLTSTLPALDLYFAVNRQLSPSPVSTPHSRGSSLSLGDRSSSFGSPTSTESDWKIYSPGEDPQLVKTKLRHWAQAVACSVLQSF >KJB38968 pep chromosome:Graimondii2_0_v6:7:1409275:1409763:-1 gene:B456_007G018800 transcript:KJB38968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASTVARSGPDQFMFPIPFYFHNPNQGTECGYFRSSDEKQGRPAFPFFSLAFAKLIFSSLVSGPFLYFLDLPLN >KJB45662 pep chromosome:Graimondii2_0_v6:7:53371590:53377200:-1 gene:B456_007G318900 transcript:KJB45662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASGSSSSSSSATAADMIQARTYDVFLSFRGQDTRDGFVSHLYKHLSRKNIETFIDSEKLRRGDEISEALLTAIQGSRVSVIVFSKDYASSKWCLAELVKIMDCNKWVVPVFYSVDPGDVRNQTGSFAEAFAKHEENFKHEPEKVKSWRSALTAAGKLAGWDSQVTRPESRLIDEIVNDILKKLNRGTSNAYLKGLVGIERRMEQVISLIQVGFPDVRRLGIWGMGGTGKTTLAEAVFHHISNGFQSCYFLANVRESEEHGRLFQLRQEFLSTIMEDGNLNISTPTIGAGFLKDRLSRKMVLIVCDDVSNLSQFEFLFKGINQLCPGSRVIVTTRNKQVLIQNDIDRIYEMEKLDEGESLQLFCQRAFKSNYPTRYRLELSKMVLSVADGNPLAIKVFGSSLYGKEKTYQESTVKKLKQVPNPDIHKLLICSFDALDCEEKDIFLDIACFFKGENRDYVTRIMDACYVSAHSGIENLIDRSLIYVSQNQLAMHDLLQQMGWNVVCKESPLEPKRRSRLWISNDIYDVLIENTGAKTLKSMLLDMSRISELELKPEAFVKMRKLKFLKFYHSCGTNSKILLPHGLLSLPDELRYLCWEGYPSKTLPTKFHPRNLVELDMSFSHVEKLWEGKQDLVNLKVMTLNHSENLVKIADLSSATNLETMNLVNCTKLLELPSSLQHLEKLTRLNLRMCKNLRFLPSLYKATSLTTLILSDCSILFSFPEVSSNLRELHLEGTTIEQVPSSMESLSQLVLLSMEDCVRLNNFPTAICSLRSLEVFSLNGSPNITTFPEISGNITELNLGGTAIEEVPSSIECLSNLRVLNLSCCRRLKIVSTSVHKLKSLKTFYLHDCSRLEIFPEILDTMERLRFLDLSGTALKELSSSMENLIGLVELRLNNCENLACLPDNFYKLKSLKFLSLYGCSNLVTWPDNLFSAIGGASLTEMQRDLHGLSSLENLDLSGSNLENLPTSIKQLPRLKQLILRKCKRLKSLPELPPSLKHLDAHDCTSLEEVSSIKKLFEQAVLCKDRPYGFLEWIFSNCFQLDQKAVRNTRTPKLQMLFGHMVTLLKDYHQEFPKINKVITCVPGSEIPEWFDFKSSGSSINIQLPSEWYYNSSKNFPTFVVSTVVSFQEYSGVREIRIRCKCRLKSRNGDCHDLSCSFLTWRERIPGSELIGSDHLFLLYKTCFCDDDAEGGWLVETLASNKGMYNEAWFKFYPLLINWDGSENICCEVKHCGVHISFAKEEAEVQPSKRFKYQS >KJB45661 pep chromosome:Graimondii2_0_v6:7:53371445:53377278:-1 gene:B456_007G318900 transcript:KJB45661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTGKTTLAEAVFHHISNGFQSCYFLANVRESEEHGRLFQLRQEFLSTIMEDGNLNISTPTIGAGFLKDRLSRKMVLIVCDDVSNLSQFEFLFKGINQLCPGSRVIVTTRNKQVLIQNDIDRIYEMEKLDEGESLQLFCQRAFKSNYPTRYRLELSKMVLSVADGNPLAIKVFGSSLYGKEKTYQESTVKKLKQVPNPDIHKLLICSFDALDCEEKDIFLDIACFFKGENRDYVTRIMDACYVSAHSGIENLIDRSLIYVSQNQLAMHDLLQQMGWNVVCKESPLEPKRRSRLWISNDIYDVLIENTGAKTLKSMLLDMSRISELELKPEAFVKMRKLKFLKFYHSCGTNSKILLPHGLLSLPDELRYLCWEGYPSKTLPTKFHPRNLVELDMSFSHVEKLWEGKQDLVNLKVMTLNHSENLVKIADLSSATNLETMNLVNCTKLLELPSSLQHLEKLTRLNLRMCKNLRFLPSLYKATSLTTLILSDCSILFSFPEVSSNLRELHLEGTTIEQVPSSMESLSQLVLLSMEDCVRLNNFPTAICSLRSLEVFSLNGSPNITTFPEISGNITELNLGGTAIEEVPSSIECLSNLRVLNLSCCRRLKIVSTSVHKLKSLKTFYLHDCSRLEIFPEILDTMERLRFLDLSGTALKELSSSMENLIGLVELRLNNCENLACLPDNFYKLKSLKFLSLYGCSNLVTWPDNLFSAIGGASLTEMQRDLHGLSSLENLDLSGSNLENLPTSIKQLPRLKQLILRKCKRLKSLPELPPSLKHLDAHDCTSLEEVSSIKKLFEQAVLCKDRPYGFLEWIFSNCFQLDQKAVRNTRTPKLQMLFGHMVTLLKDYHQEFPKINKVITCVPGSEIPEWFDFKSSGSSINIQLPSEWYYNSSKNFPTFVVSTVVSFQEYSGVREIRIRCKCRLKSRNGDCHDLSCSFLTWRERIPGSELIGSDHLFLLYKTCFCDDDAEGGWLVETLASNKGMYNEAWFKFYPLLINWDGSENICCEVKHCGVHISFAKEEAEVQPSKRFKYQS >KJB45307 pep chromosome:Graimondii2_0_v6:7:51207393:51209267:1 gene:B456_007G300000 transcript:KJB45307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGDSNFQQDMAKEEEEQRLKYLEFVQVAAVHAALCFTNLYLYAKERLGPLKPSVETVEGTVKSVVGPVYDKYHDVPVEFLKFVDSKVGESVTKLDRQVPPVIKQVSTEAILAAQKAPEVARGVASEVHRAGVVNTASGLAKSVYTKYEPTAKELYAKYEPKAEQCAVSAWRKLNMLPLFPQVASVVVPTAAYCSDKYNETVVSSAEKGYKVASYLPLVPTEKIAKVFGEQTTEMQPLVSES >KJB40346 pep chromosome:Graimondii2_0_v6:7:4211145:4213354:1 gene:B456_007G059600 transcript:KJB40346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGNRQVVVSTLQFACTDDVPTNLATAESCSLETLSTLKFAQRAKFIKNNAVVNEYASGDVVAMRLQIQQLKVFSYPYYPKFCFSKRSISSTSFC >KJB45816 pep chromosome:Graimondii2_0_v6:7:55249097:55253138:1 gene:B456_007G330500 transcript:KJB45816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSCNLIGEIPSSFGTFTSIKILDLSFNRLGGEISENLSTLDNLNFLFLNGNNFTGKVPSWILNTKEKIDLSYNNFTHTGVTGCQQNNIMNLFSSIARVNNSGIVPCLRSQTTCTAGFSHSVHINCGGEITRFNDTDYDADNNQAGPSTFQQGTRNWAFSTTGVFLDDDHMDDNLAFDNRQVSISGDGAELYRNARLAPTSLTYYLFCLANSTYTVNLHFAEIQFTNDQTYSSLGRRIFDVYIRGKQVLKDFNIKEAAGGAGIPIVKYFTVNVTDGTLEIGFRWAGKGTTSMPQGIVYGPLISAISVLDPKIDDKLSSASTGGFATAAVVGIVGGTVFAASVILGVLWWNGCLREQSTLERDLKGIELQTTSFTLMQIKAATNDFHASNKIGEGGFGPVYKGTLADGTMIAVKQLSARSKQGNREFVTEIGLISALQHPHLVKLYGCCIEGNQLMLIYEYLENNSLARALFGPQESQESQLTLDWPTRMKICIGIARGLAYLHEESRLKIVHRDIKATNVLLDKNLNPKISDFGLAKLDEEDNTHISTRVAGTYGYMAPEYALHGRLTEKADVYSFGIVALEIVSGRRNTRSRPKQEPFILLEWAHVLKENRNLLELVDTRIGSDCNTDEVMAMINIALLCTNPTALARPLMSSVVSMLEGKAEVQEYLTDTSISSNRQMSAETMRKLYRKLDEDDTDISQTKSMLADGPWTNSSTFAADLYRVNLTSG >KJB45815 pep chromosome:Graimondii2_0_v6:7:55249097:55253138:1 gene:B456_007G330500 transcript:KJB45815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSCNLIGEIPSSFGTFTSIKILDLSFNRLGGEISENLSTLDNLNFLFLNGNNFTGKVPSWILNTKEKIDLSYNNFTHTGVTGCQQNNIMNLFSSIARVNNSGIVPCLRSQTTCTAGFSHSVHINCGGEITRFNDTDYDADNNQAGPSTFQQGTRNWAFSTTGVFLDDDHMDDNLAFDNRQVSISGDGAELYRNARLAPTSLTYYLFCLANSTYTVNLHFAEIQFTNDQTYSSLGRRIFDVYIRGKQVLKDFNIKEAAGGAGIPIVKYFTVNVTDGTLEIGFRWAGKGTTSMPQGIVYGPLISAISVLDPTAVVGIVGGTVFAASVILGVLWWNGCLREQSTLERDLKGIELQTTSFTLMQIKAATNDFHASNKIGEGGFGPVYKGTLADGTMIAVKQLSARSKQGNREFVTEIGLISALQHPHLVKLYGCCIEGNQLMLIYEYLENNSLARALFGPQESQESQLTLDWPTRMKICIGIARGLAYLHEESRLKIVHRDIKATNVLLDKNLNPKISDFGLAKLDEEDNTHISTRVAGTYGYMAPEYALHGRLTEKADVYSFGIVALEIVSGRRNTRSRPKQEPFILLEWAHVLKENRNLLELVDTRIGSDCNTDEVMAMINIALLCTNPTALARPLMSSVVSMLEGKAEVQEYLTDTSISSNRQMSAETMRKLYRKLDEDDTDISQTKSMLADGPWTNSSTFAADLYRVNLTSG >KJB39007 pep chromosome:Graimondii2_0_v6:7:49769827:49779990:-1 gene:B456_007G290400 transcript:KJB39007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIFTGAAHEVTTAAAKGIFRQAIRHIRYVIFYQKFVAEFEKEKKNLNIERTSVQEDVAFALANLENIKTNVQEWRHKVDEIFTEEAKKVNDLEVKAKTKCFFGLCPNIKSRYQLSKKAEEDAANFKKLIDDGRFERVGCPYVAEPIIHTDFETFQSREEVFHDIMELLKDATTSMIGVYGMPGVGKSWLVNEVERQLREVKLFDSVVTVIARQNADIKEIVEVASFEACSTRYLLSLLDSIVAPTEIQNQIAYSLRLKLEENSPGVRARKLYERLKNEKNVLIILDDLWKKLDLKEVGIPFGSQYKGCKILLTSRYQNVLNNGEDATKTFAIGDLDGEEAWEFFKKMAGDIVESDEELRSTAIEVAKKCAKLPLALATVARSLRNKPLFFWRDALQQLQRPCLEKSSDDISDKVYSAIKYSIDHLSSEDLKQIFLLCSLLRRDTRIEDLLRYALALGLIKGANSMKAARDRLLKMLSTLKESCLLLDSKSSNKEYFDVHDLTYIVAKSIASKDNQVLALTEEDKDEDEDVVTDWRTGESMKECNKVILRDPSINELPDQLNSPQLFLFLLLSKDLSLTLPDNFFKEAKNLKVLGLTCMHFSPLPSSIGLLTSLSTLCLDHCNLGDNLTIIGALKSLNVLSLAGSDVKIVPKEIGHLLKLKLLDVSGCTKLKTISADVLPSLSKLEELYMGGTSIQWGQPNASLAELNTLSHLSTLEVQIPNAKAAPEDFFQKLQKLERYRICIGKEWERFGNYQYSRTLKLRLNTSIDDLDHGIKKLAKRTQDLQLDELKGVKIALEELTDLERLLHLQNLHIQNGLDIESIINDRNEFPQLQTLTLEGLPQLVSFCCQDKIDGTSLPQRELPLFGEKVAFPSLEEMSVSYLSKMKMLWRNPLPPNSFPKLQELRVEKCDKLLTIFPSNMVTTFQRLQRLTIKTCGSLQQVFEIVHEEKKPALPPITQLKKLHIVGLPKLKYIWKNDPRVIFSFKNICSISVFDCPSLKNVFPASIAQDLPQLGYLHIFLCGVEEIVSKLGEGSDWEATVNFKFDRLCTLALSNLPKLKCFYPGKHIAKWPMLNKLEVVGCGKMKIFGTQVNTNNGQLDSSIPPPLFLVEKIIPKLQHLTLDSDYIAMISDGQFSSSHFHEIKTFEVSGGGAEPIDFRISFLERFYTLENLTISCNIKELFCTEGDTGNEEIYAGTLSTIRNLKLERLNNLKDYLWKQDAQVGQILPKLETLEVHDCYNLISLGSSSASFQNLTTLDVSNCKTMKYLDTCLVFQGMAQLKKLMVRDCISMKEIVATEGDEATSDIIFSSLKSLELVNLPRLKSFCSGNHTFGFPCLEELVVNGCLELEIFCKGVLTNPPLLQKIEYGKGKGHWCSDLNNTIQEMYSIKAGFQAIEYLVLSEFSRSIEIWKENIHGSIDFKKLKVLEVYGCNTMTYIFSVSMALDLSQLVDIKVKQCPMMKQIIKKGAEETEMDTLLLPMLKWITLESCSRLTSFCMGSITLRCSSLLKIVVDDCPKMYAMASTREQEDIEEVGREKTPFFNHKVLCARLQLLKLSSTNIKKLWPDKPDWAISPGVLNLEFLLVKGCHNLEYLFPSFLVKNFEQLDTLILRDCKNMEEIIFTDGLAAATDEEIPQTFLFTKLQILELNRLPKLRTFCHQENSEINTLFNQKVAFPSLVELTIVGMGKCRKIWHDKLTMDSFHELTLLLVEHCDKLSNVFPFNMVERLEKLETLQILECESVEEIIGLADDHGLSSNETIELKSTTKFVFPKIRNLVLYKLPKLKGFYSKVHTTDWPLLKLLEVRECSKVETFAGGYINLRETQGESQPIISVPQPLFWVTKETFPNLEELVLDGNGNMKSFCSCNHAFGFSCLEKFVVRTFEGLRQLSLLDCENLEEIIFTDGLATVAEEGIPQTYLFSKLQKLELNRLPKLRTFCHQENSETNTLFNQKVAFPSLDDLRIVGVGKCRKIWQDKLTMDSFHELTLLLVEHCDKLLRVLPYDMVERLEKLNILEISECESVEEIIGHNSNESIELKSTTKFMFPKIRQLILRKLPKLKGFYSKVHTTDWPLLKQLEVHECSKVETFSGEYINCRETQGESQPVISVH >KJB44784 pep chromosome:Graimondii2_0_v6:7:46428580:46430751:1 gene:B456_007G273100 transcript:KJB44784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 1 member 2 [Source:Projected from Arabidopsis thaliana (AT1G64780) UniProtKB/Swiss-Prot;Acc:Q9ZPJ8] MDSLTCTPSDLVPLLSSSANATALASFLCARFSTISSQLSDATHAIDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALSYYLFGFAFAFGAPSNGFIGRHLFGLKAYPSPDGDYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSRDGWASPIRPNNLLFDSGAIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDRAGRSVALRGHSASLVVLGTFLLWFGWYGFNPGSFLTIMKGYGGGGGYYGQWSAVGRTAVTTTLAGCTAALTTLFSKRLLVGHWNVIDVCNGLLGGFAAITSGCSVVEPWAAIICGFVAAWVLIGFNMLAAKFKYDDPLEAAQLHGGCGAWGLLFTGLFATKAYVNEVYPGLSGRPYGMFMGGGGKLLGAQIIQILVITGWVTATMGPLFYVLKKMNLLRISREDETAGMDLTRHGGFAYVYHDEEDISMRPTFMMTKIEPNNASPSTDGQRSHSNV >KJB46088 pep chromosome:Graimondii2_0_v6:7:57832146:57835657:1 gene:B456_007G348300 transcript:KJB46088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCLAPVSISGGSHLKAREVWFTKFSTFGKKPSLVVRRKPLSSKNQFSVSAEYRNGSRGGGSDFVTGFLLGGAIFGTLGYVFAPQIRRSLLNEDEYGFRKAKRPIYYEGGLEKTRETLNEKISQLNSAIDNVSSRLRGSNNAPTVPAETDPEVEATM >KJB43758 pep chromosome:Graimondii2_0_v6:7:23905711:23907407:-1 gene:B456_007G215500 transcript:KJB43758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVRFISSSIVQAANHSASSERIELTPWDLRFLQIGHIQKGLLFPKPKAPLQENDTENTLIHHLKTSLSHTLRYFPPLAGRLATTQHEDDTISFFIDCNNAGASFTHAVADGVTISDIIKPVYVPTIIHSFFPLNGLANFEGTRNPVLGIQVTDLADGIFIGCTINHVVVDGTSFWHFLNSWSEISKGSIHLSKPPVFQRWFPDDTDIPIRIPRSAVKLKQSNEELESILPGLKERVFHFSKENIAKLKAKANTEMGTNISSLQALLSHIWRSVIRNKRFDPNEETTYRVVVGVRPRLPELPDNYFGNAILGVLVTMKAKELLEQGTGNAAWTMNRKIATVTEEIRSGSGNKFEGKTTLFCGAEEGSIDIEVCLFPETMEALAIDEEIMDAVTL >KJB44407 pep chromosome:Graimondii2_0_v6:7:44142216:44143409:1 gene:B456_007G265600 transcript:KJB44407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEEQDVIKFILYLMLLEVEMRKKREKTREMEEQLRADRAALEAMENECLMLMSERDDKITEKKTVDKLVADFLQADANGDFEAQKAMENQIVALISRNAGNTGPSGGGS >KJB40157 pep chromosome:Graimondii2_0_v6:7:3435685:3439163:1 gene:B456_007G049300 transcript:KJB40157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCWYSRIDREEIVSRCKARKRYMKQLVKARQALGASHSMYLRSLRGTGSALLQFSSNETTTHSHHHTTPPPQQPALPPPMSPSSDTWTSANTASPVLPPPSPPQSSNWDFWDPFVPATESRSATEEEWEASTSVPEVAVTATNPTTRAASMAPPPSVVSGFSKDTGSELAMVVSRNSKELVEIVKEVDEYFLKAADAGSQLSLLLEVSNSNFPAQSKVYNYGCNLTTTTWAWNRNSKMEGTGKLGGDRTGENGNVGGTILNSSHSSTIERLYAWEKKLYEEVKTVETIRMEHEKRVAQLRKLELKRADYVKTEKTKKEVEKLESQMMVSSQAIETISAEIIKLRESELYPQLLDLVNGLMWMWRSMYEIHQVHTHIVQQLKYLNFMPSNEPTSEIHKQSTLQLELEVQQWHSSFCNLVKAQRDYIQSLAGWLRLSLFQFSKDPLLRNNEESKIYSFCEEWHLAIDRIPDKVASEGIKSFLTVIHAIVVQQAEEYKQKKKADSALKDFEKKAAELRSLESKYGPFSSMPEMNKYPVVEKRTKVEMLRAKAEEEKNKHEKSASVTRAMTLNNLQMGFPHVFQAMVGYSSVCMQAFESVYNQAKGIDQQHDVKRILP >KJB40156 pep chromosome:Graimondii2_0_v6:7:3435685:3439163:1 gene:B456_007G049300 transcript:KJB40156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCWYSRIDREEIVSRCKARKRYMKQLVKARQALGASHSMYLRSLRGTGSALLQFSSNETTTHSHHHTTPPPQQPALPPPMSPSSDTWTSANTASPVLPPPSPPQSSNWDFWDPFVPATESRSATEEEWEASTSVPEVAVTATNPTTRAASMAPPPSVVSGFSKDTGSELAMVVSRNSKELVEIVKEVDEYFLKAADAGSQLSLLLEVSNSNFPAQSKVYNYGCNLTTTTWAWNRNSKMEGTGKLGGDRTGENGNVGGTILNSSHSSTIERLYAWEKKLYEEVKTVETIRMEHEKRVAQLRKLELKRADYVKTEKTKKEVEKLESQMMVSSQAIETISAEIIKLRESELYPQLLDLVNGLMWMWRSMYEIHQVHTHIVQQLKYLNFMPSNEPTSEIHKQSTLQLELEVQQWHSSFCNLVKAQRDYIQSLAGWLRLSLFQFSKDPLLRNNEESKIYSFCEEWHLAIDRIPDKVASEGIKSFLTVIHAIVVQQAEEYKQKKKADSALKDFEKKAAELRSLESKYGPFSSMPEMNKYPVVEKRTKVEMLRAKAEEEKNKHEKSASVTRAMTLNNLQMGFPHVFQAMVGYSSVCMQAFESVYNQAKGIDQQHDVKRILP >KJB43193 pep chromosome:Graimondii2_0_v6:7:18098685:18100392:1 gene:B456_007G188100 transcript:KJB43193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQTNGVTLNENQRIRKEETLYDVLHRSVSMILPDASSTESAPLLQRIKISVSENGPRLGEASRNTGQTLLRWTRRGSPLRALLVISIGSVAFLALTGLLTFMLIFLVATVNAIIVSLLISLAAAGGFLFFSLACVTAIYIGAMSIAALVISIATISAIFAAMIAAGWVGFFWVIWLGTRKSVGFAKQSLSKTGSVISAYSFAQHARID >KJB46246 pep chromosome:Graimondii2_0_v6:7:58451090:58461345:-1 gene:B456_007G353800 transcript:KJB46246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLFVFPQNLQALEKDDEEEYQENRLFVQNAIDIARFRPSELEEFVKGVSFDLSDKELYCIEEQDVFDRVYSLVRGFSSLAPSCKFILAESLRSNLSVLLPNVDSLVRVSQVQDDDETTTLDRVTSYRNAFKIYSFFLLHIILTEESNTGSSNNAKVIASSRKKQPVNSWNWESQRGRMLNLIANSLEINLSLLFGSADPDENYLSFIVKNTFSMFEKVTLLKDSETKDALCHIIGACATKYHYTEQSSASIMHLLHKYDFVVTHMADAVAFAEKKYADGTLACSLIREIGRTNPKSYVKDTTGAENIGRFLVELADRLPKLVSTNIGLLIPHFGGESYKIRNALIGVLGKLVAKAFKDVEGEVSAKSIRLQTKQAMLEILLERCRDVSAYTRSRVLQVWADLCEEHSVSIGLWNEVAAVAAGRLEDKSAIVRKSALNLLIMMLQHNPFGPQLRIASFQATLEQYKKKLNELEPVKLTDGMTDGVPSDNDSINGEGDVDNGNAEEITNYQPESLTDSLHTEQEIASKDSSVPDVGNVEQTRALVASLEAGLKFSECIAATMPTLLQLMASSSATDVENTILLLMRCRQFQIDGAEACLRKMLPLVFSQDKSIYEAVENAFVTIYIRKSPVETAKNLLNLAIDSNVGDQAALEFIVGALVSKGDISSIAISALWDFFCFNVNGTTAEQCRGALAIICMAAKSSSAILGSHLQDIIDIGFGRWAKVEPLLARTACIAIQRLSNEDKKKLVLSNGSRIFGILESLITGFGLPDNIWYAAADKAIDAVYILHPTPETLAADLVKKSLSSVFDGTSGDALQNDIASSNSIVLSTIQVVKLSRYLFVASHVAMNQLAYIESCVRKIQKQKGNKGKGDSEGTENAETQKDNGINAELGLAASEDAVLDTLAERAEKEIVCGSYSEKNLIGECAPFLSKLCRNFVLMQKYPILQASAMLALCRFMIIDAKFCDENLQLLFTVVENAPSEIVRSNCTIALGDLAVRFPNLLEPWTENMYARLKDPSVTVRKNAVLVLSHLILNDMMKVKGYINEMAVRVEDNDERISNLAKLFFHELSKKGSNPIYNLLPDILGKLSTQDLQKESFCNIMQFLIGSIKKDKQMESLVEKLCNRFSGVTDVLQWENISYCLSQLSFTEKGVKKLIESFKTYEHALSEDSVMDHFRNIINKGKKFAKPELKVCIEEFEEKLNKFHMEKKEQEVTARNAEIHRQRVGNMEGFVMAGNNGEESAESDIAEGVSDSEVIDTPVEGQTESSNDESTSKLVEPEESSGACSEVTEQEEETEIQSQRVNKKGASRSRVKKSNMKDVKVTLSATTQRVTRLRKKVEE >KJB46248 pep chromosome:Graimondii2_0_v6:7:58456226:58461336:-1 gene:B456_007G353800 transcript:KJB46248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLFVFPQNLQALEKDDEEEYQENRLFVQNAIDIARFRPSELEEFVKGVSFDLSDKELYCIEEQDVFDRVYSLVRGFSSLAPSCKFILAESLRSNLSVLLPNVDSLVRVSQVQDDDETTTLDRVTSYRNAFKIYSFFLLHIILTEESNTGSSNNAKVIASSRKKQPVNSWNWESQRGRMLNLIANSLEINLSLLFGSADPDENYLSFIVKNTFSMFEKVTLLKDSETKDALCHIIGACATKYHYTEQSSASIMHLLHKYDFVVTHMADAVAFAEKKYADGTLACSLIREIGRTNPKSYVKDTTGAENIGRFLVELADRLPKLVSTNIGLLIPHFGGESYKIRNALIGVLGKLVAKAFKDVEGEVSAKSIRLQTKQAMLEILLERCRDVSAYTRSRVLQVWADLCEEHSVSIGLWNEVAAVAAGRLEDKSAIVRKSALNLLIMMLQHNPFGPQLRIASFQATLEQYKKKLNELEPVKLTDGMTDGVPSDNDSINGEGDVDNGNAEEITNYQPESLTDSLHTEQEIASKDSSVPDVGNVEQTRALVASLEAGLKFSECIAATMPTLLQLMASSSATDVENTILLLMRCRQFQIDGAEACLRKMLPLVFSQDKSIYEAVENAFVTIYIRKSPVETAKNLLNLAIDSNVGDQAALEFIVGALVSKGDISSIAISALWDFFCFNVNGTTAEQCRGALAIICMAAKSSSAILGSHLQDIIDIGFGRWAKVEPLLARTACIAIQRLSNEDKKKLVLSNGSRIFGILESLITGFGLPDNIWYAAADKAIDAVYILHPTPETLAADLVKKSLSSVFDGTSGDALQNDIASSNSIVLSTIQVVKLSRYLFVASHVAMNQLAYIESCVRKIQKQKGNKGKGDSEGTENAETQKDNGINAELGLAASEDAVLDTLAERAEKEIVCGSYSEKNLIGECAPFLSKLCRNFVLMQKVHAVVLLLYFISFIF >KJB46247 pep chromosome:Graimondii2_0_v6:7:58451096:58459780:-1 gene:B456_007G353800 transcript:KJB46247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLIANSLEINLSLLFGSADPDENYLSFIVKNTFSMFEKVTLLKDSETKDALCHIIGACATKYHYTEQSSASIMHLLHKYDFVVTHMADAVAFAEKKYADGTLACSLIREIGRTNPKSYVKDTTGAENIGRFLVELADRLPKLVSTNIGLLIPHFGGESYKIRNALIGVLGKLVAKAFKDVEGEVSAKSIRLQTKQAMLEILLERCRDVSAYTRSRVLQVWADLCEEHSVSIGLWNEVAAVAAGRLEDKSAIVRKSALNLLIMMLQHNPFGPQLRIASFQATLEQYKKKLNELEPVKLTDGMTDGVPSDNDSINGEGDVDNGNAEEITNYQPESLTDSLHTEQEIASKDSSVPDVGNVEQTRALVASLEAGLKFSECIAATMPTLLQLMASSSATDVENTILLLMRCRQFQIDGAEACLRKMLPLVFSQDKSIYEAVENAFVTIYIRKSPVETAKNLLNLAIDSNVGDQAALEFIVGALVSKGDISSIAISALWDFFCFNVNGTTAEQCRGALAIICMAAKSSSAILGSHLQDIIDIGFGRWAKVEPLLARTACIAIQRLSNEDKKKLVLSNGSRIFGILESLITGFGLPDNIWYAAADKAIDAVYILHPTPETLAADLVKKSLSSVFDGTSGDALQNDIASSNSIVLSTIQVVKLSRYLFVASHVAMNQLAYIESCVRKIQKQKGNKGKGDSEGTENAETQKDNGINAELGLAASEDAVLDTLAERAEKEIVCGSYSEKNLIGECAPFLSKLCRNFVLMQKYPILQASAMLALCRFMIIDAKFCDENLQLLFTVVENAPSEIVRSNCTIALGDLAVRFPNLLEPWTENMYARLKDPSVTVRKNAVLVLSHLILNDMMKVKGYINEMAVRVEDNDERISNLAKLFFHELSKKGSNPIYNLLPDILGKLSTQDLQKESFCNIMQFLIGSIKKDKQMESLVEKLCNRFSGVTDVLQWENISYCLSQLSFTEKGVKKLIESFKTYEHALSEDSVMDHFRNIINKGKKFAKPELKVCIEEFEEKLNKFHMEKKEQEVTARNAEIHRQRVGNMEGFVMAGNNGEESAESDIAEGVSDSEVIDTPVEGQTESSNDESTSKLVEPEESSGACSEVTEQEEETEIQSQRVNKKGASRSRVKKSNMKDVKVTLSATTQRVTRLRKKVEE >KJB42924 pep chromosome:Graimondii2_0_v6:7:16047650:16049498:-1 gene:B456_007G174100 transcript:KJB42924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKSNKAVIVLQGRYAGRKAVIVRSFDDGTRDRPYGHCLVAGIKKYPRKVIRKDSAKKTAKKSRVKCFVKLVNYQHLMPTRYTLDVDLKDVVTVDALQTKDKKVAACKATKERFEERFKTGKNRKNEELDYMWDSLCSFMVQLEFSLSVGYLTGDPMTMIWFYTVHGFALFV >KJB42923 pep chromosome:Graimondii2_0_v6:7:16046698:16049498:-1 gene:B456_007G174100 transcript:KJB42923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKSNKAVIVLQGRYAGRKAVIVRSFDDGTRDRPYGHCLVAGIKKYPRKVIRKDSAKKTAKKSRVKCFVKLVNYQHLMPTRYTLDVDLKDVVTVDALQTKDKKVAACKATKERFEERFKTGKNRESGSFWIRIMRLHAREVSLAFLLKKERR >KJB42925 pep chromosome:Graimondii2_0_v6:7:16048173:16049412:-1 gene:B456_007G174100 transcript:KJB42925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKSNKAVIVLQGRYAGRKAVIVRSFDDGTRDRPYGHCLVAGIKKYPRKVIRKDSAKKTAKKSRVKCFVKLVNYQHLMPTRYTLDVDLKDVVTVDALQTKDKKVAACKATKERFEERFKTGKNSLSDMLGILMHAIGKMKNWTTCGIHFAVLWCN >KJB43673 pep chromosome:Graimondii2_0_v6:7:23163706:23165500:1 gene:B456_007G211800 transcript:KJB43673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKCRKFGEIAVMELSDVGLRTRAMAAATGTVQKKRRRLNGDGDAEFKATSSRASTTSYIQLRSRRILVDHHRLNENRCLSPNLDHDDDVSCCSSNIGSSDKRIIQLPDLEDESMEVETSTHLNFRERRETTPLSELRAEPEALDSTSRQSEANSRRRSTAEKMPTEAELEEFFAAAEKKVKEQFAEKYFHAHFLKT >KJB43670 pep chromosome:Graimondii2_0_v6:7:23163699:23165650:1 gene:B456_007G211800 transcript:KJB43670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKCRKFGEIAVMELSDVGLRTRAMAAATGTVQKKRRRLNGDGDAEFKATSSRASTTSYIQLRSRRILVDHHRLNENRCLSPNLDHDDDVSCCSSNIGSSDKRIIQLPDLEDESMEVETSTHLNFRERRETTPLSELRAEPEALDSTSRQSEANSRRRSTAEKMPTEAELEEFFAAAEKKVKEQFAEKYNYDIVKDEPLEGRYQWVRLNP >KJB43674 pep chromosome:Graimondii2_0_v6:7:23163706:23165500:1 gene:B456_007G211800 transcript:KJB43674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKCRKFGEIAVMELSDVGLRTRAMAAATGTVQKKRRRLNGDGDAEFKATSSRASTTSYIQLRSRRILVDHHRLNENRCLSPNLDHDDDVSCCSSNIGSSDKRIIQLPDLEDESMEVETSTHLNFRESRRETTPLSELRAEPEALDSTSRQSEANSRRRSTAEKMPTEAELEEFFAAAEKKVKEQFAEKYNYDIVKDEPLEGRYQWVRLNP >KJB43671 pep chromosome:Graimondii2_0_v6:7:23163699:23166690:1 gene:B456_007G211800 transcript:KJB43671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKCRKFGEIAVMELSDVGLRTRAMAAATGTVQKKRRRLNGDGDAEFKATSSRASTTSYIQLRSRRILVDHHRLNENRCLSPNLDHDDDVSCCSSNIGSSDKRIIQLPDLEDESMEVETSTHLNFRERRETTPLSELRAEPEALDSTSRQSEANSRRRSTAEKMPTEAELEEFFAAAEKKVKEQFAEKYNYDIVKDEPLEGRYQWVRLNP >KJB43672 pep chromosome:Graimondii2_0_v6:7:23163900:23164856:1 gene:B456_007G211800 transcript:KJB43672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKCRKFGEIAVMELSDVGLRTRAMAAATGTVQKKRRRLNGDGDAEFKATSSRASTTSYIQLRSRRILVDHHRLNENRCLSPNLDHDDDVSCCSSNIGSSDKRIIQLPDLEDESMEVETSTHLNFRESRRETTPLSELRAEPEALDSTSRQSEANSRRRSTAEKMPTEAELEEFFAAAEKKVKEQFAEKYFHAHFLKT >KJB42851 pep chromosome:Graimondii2_0_v6:7:15366983:15373989:-1 gene:B456_007G170500 transcript:KJB42851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G05760) UniProtKB/Swiss-Prot;Acc:Q9SHZ3] MAEIIHPPMDQLQDLEYCIDSNPPWAETILLAFQNYILMLGTSVMIPSVLVPAMGGSDGDKARVIQTLLFVAGINTLLQALFGTRLPAIVGGSFAYIIPIAYIINDSSLQRISNRHERFIQTMRAIQGALIVASSIQIILGYSQVWGLFSRFFSPLGMAPVVALVGLGLFQRGFPLLGNCVEIGLPMLLLVIGLSQYLKHVRPIRDVPIFERFPVLICVAIIWIYSLILTASGAYRDKPNATQLSCRTDRANLISTAPWFMFPYPLQWGPPTFSAGHSFAMMSAVLVSMVEGIGILLDGLFGTGTGSTVSVENVGLLGLSRVGSRRVVQLSAAFMIIFSILGKFGAVFASIPFPIFAALYCVLFGLVASVGLSFLQFTNMNCMRNLIITGLSLFLGISIPQFFNEYWNLKHRGLVHTNAGWFNAFLNTIFLSPATVGLIVAVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >KJB42850 pep chromosome:Graimondii2_0_v6:7:15366920:15373989:-1 gene:B456_007G170500 transcript:KJB42850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G05760) UniProtKB/Swiss-Prot;Acc:Q9SHZ3] MAEIIHPPMDQLQDLEYCIDSNPPWAETILLAFQNYILMLGTSVMIPSVLVPAMGGSDGDKARVIQTLLFVAGINTLLQALFGTRLPAIVGGSFAYIIPIAYIINDSSLQRISNRHERFIQTMRAIQGALIVASSIQIILGYSQVWGLFSRFFSPLGMAPVVALVGLGLFQRGFPLLGNCVEIGLPMLLLVIGLSQYLKHVRPIRDVPIFERFPVLICVAIIWIYSLILTASGAYRDKPNATQLSCRTDRANLISTAPWFMFPYPLQWGPPTFSAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGLSRVGSRRVVQLSAAFMIIFSILGKFGAVFASIPFPIFAALYCVLFGLVASVGLSFLQFTNMNCMRNLIITGLSLFLGISIPQFFNEYWNLKHRGLVHTNAGWFNAFLNTIFLSPATVGLIVAVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >KJB42895 pep chromosome:Graimondii2_0_v6:7:15926361:15927074:-1 gene:B456_007G173300 transcript:KJB42895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPTDWPQFYDHALSNQEIPNRVRILTSESVFGDQGSDTAVLTTPTVTSSSAPLSSLGSGLGGGSSGGHLSPEGRVGKPVRRRSRASRRTPTTLLNTDTTNFRAMVQQFTGGPSAPFAGGAPHHGGPNFGYGFGTRHQPHNSNPNNPLMLPPTGFHLQYQQQQQHQNQLIHHQNQPLMFSLNSNDNSPAPGELFFQRLGVGGGVNMQGSDASSQVPASRTSTSSSNDNRSNPRLMF >KJB38965 pep chromosome:Graimondii2_0_v6:7:47700108:47700349:-1 gene:B456_007G279000 transcript:KJB38965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCMIITAALGSFRLAEKVHLGSIIGTVIIIFGLYTVLWGKS >KJB44142 pep chromosome:Graimondii2_0_v6:7:31981735:31983257:1 gene:B456_007G236600 transcript:KJB44142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIKLPPGPPKLPPIGNLHLLGNLCHCSLENLSKKYNSIMLLQLGSAPTIDSSPRPNRFSYNGLDVLFSPYSDHWKEMRKVFISELLSMKRVQSFAYAREDEVDKLITSLSQALPKPVNLNKKIFTLVDGIIGTVAFGKIYGTDQFKDQVFHNVLGEAMNMLVSFSAEDFFPRIGRIDALTGLSDHARLKPEQEDFVDFLIRLMKDESNSFKVSENCMKAMLFDEFIDGIVTTSTTILWAMSELIKNPRVMNNTFRLHPPVPILPPREAMREFKVGEFDILPKTRILVNVWAIGRDPNGPDFNLLPFGAGHRICPGLDMGATNVEFTLANMLYWFHWELPNDMKREDISIEEEDGLACQRRTPLWLVLISNSSQVE >KJB40650 pep chromosome:Graimondii2_0_v6:7:5154708:5158730:1 gene:B456_007G072600 transcript:KJB40650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor SCREAM2 [Source:Projected from Arabidopsis thaliana (AT1G12860) UniProtKB/Swiss-Prot;Acc:Q9LPW3] MVLGANGVVWMGGEEEEEDAISSWTRNNNNDGNANNEFETKEDDLAMSAASLSSFKSMLDADWYINPAMNQDLQIRDLGFCSNQVDNSLLLHHQIDSSASCSPSQAFTLDPSQSHPLLPPKSCFSSLLNVSCHNPFDNAFDFASEPAFLAQFQPNPTPNLMAFPQTQMGTPEFSSSSEFQGTRLFAGTENASPLSGGFSAGGFDGSGNVPFVNRAKTLKPLEVFPSVGSQPTLFQKRAAMRQGSGGSDKLGNLDVSELRFGGEASDGKRKRHGELDIEEASIDVSGLNYDSDERNGDCKLEETVNNGGCNSNATSTVTGGGDQKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKVEVRVREGRAVNIHMFCARRPGLLLSTMRALDNLGLDIQQAVISCFNGFALDVFRAEQCREEQELMAEQIKAVLLDSAGFHGIM >KJB40649 pep chromosome:Graimondii2_0_v6:7:5154683:5158829:1 gene:B456_007G072600 transcript:KJB40649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor SCREAM2 [Source:Projected from Arabidopsis thaliana (AT1G12860) UniProtKB/Swiss-Prot;Acc:Q9LPW3] MVLGANGVVWMGGEEEEEDAISSWTRNNNNDGNANNEFETKEDDLAMSAASLSSFKSMLDADWYINPAMNQDLQIRDLGFCSNQVDNSLLLHHQIDSSASCSPSQAFTLDPSQSHPLLPPKSCFSSLLNVSCHNPFDNAFDFASEPAFLAQFQPNPTPNLMAFPQTQMGTPEFSSSSEFQGTRLFAGTENASPLSGGFSAGGFDGSGNVPFVNRAKTLKPLEVFPSVGSQPTLFQKRAAMRQGSGGSDKLGNLDVSELRFGGEASDGKRKRHGELDIEEASIDVSGLNYDSDERNGDCKLEETVNNGGCNSNATSTVTGGGDQKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLRKINDLHTELESTPPGSLMPPSTSIHPLTPTPPTLPCRVKEELCPSSLPSSKSQPAKVEVRVREGRAVNIHMFCARRPGLLLSTMRALDNLGLDIQQAVISCFNGFALDVFRAEQCREEQELMAEQIKAVLLDSAGFHGIM >KJB42888 pep chromosome:Graimondii2_0_v6:7:15831652:15832103:-1 gene:B456_007G1725002 transcript:KJB42888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RISIKDIKSHPWFLKNLPRELTEAAQATYYKKENPTFSLQNVAEIMKIVEEAKVAPRVSWPIGGFG >KJB43958 pep chromosome:Graimondii2_0_v6:7:27191253:27192105:-1 gene:B456_007G226200 transcript:KJB43958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGLCDKFILQNHHFQCIKYKQNNKQMLHFNTDKMSYGRKYTLSWEDKPGVSKSEPKVNHYDQDCPIGLELYDLNQKNYVSDPSGSSSILVLEKKVPPPPPLSVQLKGSPSGKGGLKWWQEDPFLLAYKECTKSGGNMKHLSSEAKESTGSSKLSRKKKITFSCKKSCDVSNDNFVRLSNLPPLPKRRIRGLHEFV >KJB46059 pep chromosome:Graimondii2_0_v6:7:57434574:57436111:-1 gene:B456_007G346400 transcript:KJB46059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLNLSNDFNCYNGGEVQERNFLSLPSIFPHQIGRDTKNSEMGFQIPASLMEQSNKSLSFDPFQTRGTDGVVAAGDGKSGFLGVEKKGLSLNLSVENESNKTPGGSVKNGHTKLCSRGHWRPAEDARLKELVTQYGPQNWNLIAEHLEGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLLTAHKVYGNKWALIARLFPGRTDNAVKNHWHVIMARKHREQSSIYRRRRPSSSASFPKGLDLTVRNNASSDQSTISCSNSTSTDLALTPSSTKKVVPPHQIFTTFSGVHQKGMYVF >KJB46058 pep chromosome:Graimondii2_0_v6:7:57434322:57436192:-1 gene:B456_007G346400 transcript:KJB46058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLNLSNDFNCYNGGEVQERNFLSLPSIFPHQIGRDTKNSEMGFQIPASLMEQSNKSLSFDPFQTRGTDGVVAAGDGKSGFLGVEKKGLSLNLSVENESNKTPGGSVKNGHTKLCSRGHWRPAEDARLKELVTQYGPQNWNLIAEHLEGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLLTAHKVYGNKWALIARLFPGRTDNAVKNHWHVIMARKHREQSSIYRRRRPSSSASFPKGLDLTVRNNASSDQSTISCSNSTSTDLALTPSSTKKVVPPHQIFTTFSGVHQKGEKVKVGSSGNGDFGKYGSQQRPMGVVMGVDQYANSSDSNSEISATESVGTNRTNNSQTAIGDDKINMHFIDFLGVGAS >KJB43729 pep chromosome:Graimondii2_0_v6:7:23429715:23430821:1 gene:B456_007G213800 transcript:KJB43729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVLSKLLLPLFLTHIAVSISKTEAQVEDTRLKLVRDAFEWPLSMSFQGDLHDNEEGDEEVDDEAENGYSGRSLLWWKRMRYYISYGALSANRIPCPPRSGRSYYTHNCFKAHGPVHPYTRGCSRITRCRR >KJB43730 pep chromosome:Graimondii2_0_v6:7:23429715:23431247:1 gene:B456_007G213800 transcript:KJB43730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVLSKLLLPLFLTHIAVSISKTEAQVEDTRLKLVRDAFEWPLSMSFQGDLHDNEEGDEEVDDEAENGYSGRSLLWWKRMRYYISYGALSANRIPCPPRSGRSYYTHNCFKAHGPVHPYTRGCSRITRCRR >KJB41603 pep chromosome:Graimondii2_0_v6:7:8638872:8639458:-1 gene:B456_007G111900 transcript:KJB41603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSMVITEDGALFYWVSSDPHLRCQQLYSLCEKTIVSISAGKYWAATATAIGDVYMWDGKKSMDKPPVATRLHRVKGKKIP >KJB43442 pep chromosome:Graimondii2_0_v6:7:20409045:20412212:-1 gene:B456_007G200500 transcript:KJB43442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLENPKNWRFTWEAQSHSPNLRLFLFDSQAKPSVQCINLEVQLNLSHSHLLVSWLKEGEKEEVSLRVPVPRVLIDSEAPVSFRALDDHIEVKLVLLLPVDHPIVSSFDLMLDSSENGYNAPSLDAAKPLVMDTDLKSLSSMEGVHFYCRKCSTRLTKSPLRNFVEMPSIDWREVADNWFGGCCCSFGGISEKLVTRFANSYRCAKGVCLLNFTTILLFKDDLAAFKLYNGTHEYQPRPDFSSDSGLSEDMLSSQEGTNDLCEKLSSIHLKDNSVSTSALVTKEKASGNEFFSALPLPDFSETETSVRGCCVHTADHIQNHFDEGSQHSVPETCPVDQNTSQLLANQKLFLNGFLGNVFMAKSYNLSMDIDWMEFVCPNCLSLLGAYPFHNGAAPIDGGVRLFKCYVSTSSSAGGSGDLFRKYSLERMFTNQLVENAKDELSFRTLVRDFKTKSPLLQIVLLNPNSWCCSGYCLDTTSAAESILKLDLLPIIKVIFSDCRETSASQPRVHEDWITRNVADVVCMFARQVDELIQSLSSAKDILPPSYNFLQGLPLSSLQR >KJB38897 pep chromosome:Graimondii2_0_v6:7:57314387:57316524:-1 gene:B456_007G3451002 transcript:KJB38897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELTDVHMDDKPNGVVKSNGVVNSNGALRVSEDAESKNYEVKECTEENSIVHNGTVNEDVLGVKSSNFGTDIPDEKNKKIGDQKFTDHVKLSPVASKSGGAGDIRVHHNSKAGANGIASVKSTPSPIATKNFERSSPLTPSMSRKLFQPFERRHPDDEDNWSVASTTVASFRTSRSRVTIGTAPTFKSAERAERRKQFYLKLEEKHQALEAERSQCEARTKEEQQAAIKQLRKSMVVKANPVPSFYYEGPPPKVEPKKLPLTRPKSPNLSRRKSCGDVVHSSLDEKAKTCCRTHRHSLGAHTERSATANEVKSKGRVGGQSSNGAGTVKERSKPVTTTMKAAAPKITKPSNGNITVES >KJB38898 pep chromosome:Graimondii2_0_v6:7:57314387:57316446:-1 gene:B456_007G3451002 transcript:KJB38898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELTDVHMDDKPNGVVKSNGVVNSNGALRVSEDAESKNYEVKECTEENSIVHNGTVNEDVLGVKSSNFGTDIPDEKNKKIGDQKFTDHVKLSPVASKSGGAGDIRVHHNSKAGANGIASVKSTPSPIATKNFERSSPLTPSMSRKLFQPFERRHPDDEDNWSVASTTVASFRTSRSRVTIGTAPTFKSAERAERRKQFYLKLEEKHQALEAERSQCEARTKEEQQAAIKQLRKSMVVKANPVPSFYYEGPPPKVEPKKLPLTRPKSPNLSRRKSCGDVVHSSLDEKAKTCCRTHRHSLGAHTERSATANEVKSKGRVGGQSSNGAGTVKERSKPVTTTMKAAAPKITKPSNGNITVES >KJB42831 pep chromosome:Graimondii2_0_v6:7:15189199:15193252:1 gene:B456_007G169300 transcript:KJB42831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCGVCGHYHKYEEGEVCGICGHRPPISSDRTSLQLSAFPSVIFPDFLYLGSYDNASRSELLKTQGITRVLNTVPACQNLYRNSFIYHCLQDDKILQFDDAIQFLEQCEKDKARVLVHCMSGKNRSPAIVIAYLMRSKGWRLPQSYQWVKERRSSVELSQAVYQQLQEYEQKLFGSSESINPSLPAFPPPAGAPFNFGFSKVNDAVPAPVPIPAFNNLGATSIFARPPLEVPPHGFTFGAGQTQRSMSESLGNPNASDIPMDST >KJB42832 pep chromosome:Graimondii2_0_v6:7:15189458:15193220:1 gene:B456_007G169300 transcript:KJB42832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCGVCGHYHKYEEGEVCGICGHRPPISSDRTSLQLSAFPSVIFPDFLYLGSYDNASRSELLKTQGITRVLNTVPACQNLYRNSFIYHCLQDDKILQFDDAIQFLEQCEKDKARVLVHCMSGKNRSPAIVIAYLMRSKGWRLPQSYQWVKERRSSVELSQAVYQQLQEYEQKLFGSSESINPSLPAFPPPAGAPFNFGFSKKFLRMGSHLVLVRLREVCPKA >KJB41699 pep chromosome:Graimondii2_0_v6:7:8967051:8981813:1 gene:B456_007G115700 transcript:KJB41699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPKEIEQVMKMRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQADSLRVHGVAIPTIVGIQNVLNHIGAQKDGKQAHVLWISLREEPVVYINGHPFVLRDVERPFSNLEYTGINRDRVEQMEARLKEDILMEAARYGNKILVTDELPDGEMVDQWEQVSCNSVKTPLEVYEELQLAGYLVDYERVPITDEKSPKELDFDILVNKISQADISTEVIFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTNSIGRVFNSGSNITNNLPNSEEAICRGEYTLIRSLIRVLEGGVEGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYIHSERAALRSSSFDHTSFSDWMKARPELYSIIHRLLRRDPMGALGYASLNPSLTMVVESADGRPHEVGVVAALRNGEVLGSQTVLKSDHCPGCQNASLPERVEGAPNFREVPGFPVYGVANPTIDGIRSVIQRIGSSKGGRPIFWHNMREEPVIYVNGKPFVLREVERPYKNMLEYTGIDRERVERMEARLKEDILREAKRYEGAIMVIHETDDGQIFDAWEHVNSDSVRTPLEVFKCLEDDGFPIKYARVPITDGKAPKSSDFDTVAKNIASASEHTAFVFNCQMGRGRTTTGTVIACLVKLRIDNGRPIKVLLDEMNHEHPDGSTSSGEEIRSDATRLTSSTVKVRTKNEHGRAFGINDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALNRGAEYLERYFCLIAFAAYLGSEAFDGFCGQGECLMTFEDWLHQRPEILAMKSSIRLRPGRFFTVPEELRASLESQHGDAIMEAIVKARNGSVLGKGSILKMYFFPGQRTSTHIQIRGAPHVFKVDGYPVYSMATPTIIGAKEMLAYLGAKVNAGFSGQKVVVTDLREEAVVYINGTPFVLRELNKPVETLKHVGITGPVVEHMEARLKEDILSEVRQSGGQMLLHREEYNPSSNQSSVVGYWENIFADDVKTPAEVYATLKDEGYNIVYKRIPLTREREALASDVDEIQSCKDDSSGCYLYISHTGFGGVAYGMAIICCRLDAEVNYGTSNVTQSLADAHLHSPPEESMSLQTSEEEARRMGDYRDILSLTRVLIHGPKSKADVDIIIERCAGAGHLRDDILHYSKELEQVPHDDDEHQAYLMDMGIKALRRYFFLITFRSYLYCTSPNETKFTSWVVARPELGHLCNNLRIDK >KJB43443 pep chromosome:Graimondii2_0_v6:7:20826718:20827413:1 gene:B456_007G203300 transcript:KJB43443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQEDDEDYINPPEMILPDEEDEGKSLTMLGDGAVTQQLQQHSIRSIESTVVIRQLPSEGISFQLWPAATTLVTLLENHRRYPNKNLLTDLSTSGGNDDRKLKILKLGSGTGLVGISAAVMFGANVTVTDLPQVVPNLQFNVDANADVVARKGGTVNVAPLRWGEDDDVKVIGREFDIVLASDVVYHAHLFEPLIQTLRFLLNGGCEKMAFIMAHSRRWKKESVFFKKVF >KJB39980 pep chromosome:Graimondii2_0_v6:7:2870351:2872605:1 gene:B456_007G040800 transcript:KJB39980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNLPSSPPLPSSPPSISACHSESSATVPTALVHSQAQLDATATENGTFHVDGRKPQIPDHFAVLDDPEHIEKYKKYETDYTYRLMAKYFSKKNFYGGNIFDEKTTIDSETILSSRWPSTRSFADPVRAFEDPSNGGSVSEPATLTNISNGKLQLKKNG >KJB41864 pep chromosome:Graimondii2_0_v6:7:9994049:9998541:1 gene:B456_007G124900 transcript:KJB41864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRREGLLAQQQQPQQQQLLSSTAGSEKKLESLPAMVAGVWSDDRNMQLEATTQFRKLLSIERSPPINEVVQSGVVPRFVEFLNRDDFPQLQFEAAWALTNIASGTSDNTRVVIDHGAVPIFVKLLGSPTDDVREQAVWALGNVAGDSPKCRDLVLGHGALVPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPSFEQTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLMHPSPTVLIPALRTVGNIVTGDDMQTQCIIGHQALPCLLNLLTNTYKKSIKKEACWTISNITAGNVDQIQAVIEAGIIAPLVHLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEAEKNLGHTGDVNLYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWVEDEDEALPTGDASQPGFQFGGNQLPVPSGGFNFS >KJB41865 pep chromosome:Graimondii2_0_v6:7:9994049:9998541:1 gene:B456_007G124900 transcript:KJB41865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRREGLLAQQQQPQQQQLLSSTAGSEKKLESLPAMVAGVWSDDRNMQLEATTQFRKLLSIERSPPINEVVQSGVVPRFVEFLNRDDFPQLQFEAAWALTNIASGTSDNTRVVIDHGAVPIFVKLLGSPTDDVREQAVWALGNVAGDSPKCRDLVLGHGALVPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPSFEQTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLMHPSPTVLIPALRTVGNIVTGDDMQTQCIIGHQALPCLLNLLTNTYKKSIKKEACWTISNITAGNVDQIQAVIEAGIIAPLVHLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEAEKNLGHTGDVNLYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWVEDEDEALPTGDASQPGFQFGGNQLPVPSGGFNFS >KJB41861 pep chromosome:Graimondii2_0_v6:7:9994049:9998541:1 gene:B456_007G124900 transcript:KJB41861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGVWSDDRNMQLEATTQFRKLLSIERSPPINEVVQSGVVPRFVEFLNRDDFPQLQFEAAWALTNIASGTSDNTRVVIDHGAVPIFVKLLGSPTDDVREQAVWALGNVAGDSPKCRDLVLGHGALVPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPSFEQTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLMHPSPTVLIPALRTVGNIVTGDDMQTQCIIGHQALPCLLNLLTNTYKKSIKKEACWTISNITAGNVDQIQAVIEAGIIAPLVHLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEAEKNLGHTGDVNLYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWVEDEDEALPTGDASQPGFQFGGNQLPVPSGGFNFS >KJB41863 pep chromosome:Graimondii2_0_v6:7:9994252:9997238:1 gene:B456_007G124900 transcript:KJB41863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRREGLLAQQQQPQQQQLLSSTAGSEKKLESLPAMVAGVWSDDRNMQLEATTQFRKLLSIERSPPINEVVQSGVVPRFVEFLNRDDFPQLQFEAAWALTNIASGTSDNTRVVIDHGAVPIFVKLLGSPTDDVREQAVWALGNVAGDSPKCRDLVLGHGALVPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPSFEQTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLMHPSPTVLIPALRTVGNIVTGDDMQTQCIIGHQALPCLLNLLTNTYKKSIKKEACWTISNITAGNVDQIQAVIEAGIIAPLVHLLQNAEFEIKKEAAWAISNATSGGTHEQIKYIFFAITILWVLLGYNLP >KJB41859 pep chromosome:Graimondii2_0_v6:7:9994049:9998541:1 gene:B456_007G124900 transcript:KJB41859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRREGLLAQQQQPQQQQLLSSTAGSEKKLESLPAMVAGVWSDDRNMQLEATTQFRKLLSIERSPPINEVVQSGVVPRFVEFLNRDDFPQLQFEAAWALTNIASGTSDNTRVVIDHGAVPIFVKLLGSPTDDVREQAVWALGNVAGDSPKCRDLVLGHGALVPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPSFEQTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLMHPSPTVLIPALRTVGNIVTGDDMQTQCIIGHQALPCLLNLLTNTYKKSIKKEACWTISNITAGNVDQIQAVIEAGIIAPLVHLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEAEKNLGHTGDVNLYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWVEDEDEALPTGDASQPGFQFGGNQLPVPSGGFNFS >KJB41860 pep chromosome:Graimondii2_0_v6:7:9993813:9999044:1 gene:B456_007G124900 transcript:KJB41860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRREGLLAQQQQPQQQQLLSSTAGSEKKLESLPAMVAGVWSDDRNMQLEATTQFRKLLSIERSPPINEVVQSGVVPRFVEFLNRDDFPQLQFEAAWALTNIASGTSDNTRVVIDHGAVPIFVKLLGSPTDDVREQAVWALGNVAGDSPKCRDLVLGHGALVPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPSFEQTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLMHPSPTVLIPALRTVGNIVTGDDMQTQCIIGHQALPCLLNLLTNTYKKSIKKEACWTISNITAGNVDQIQAVIEAGIIAPLVHLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEAEKNLGHTGDVNLYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWVEDEDEALPTGDASQPGFQFGGNQLPVPSGGFNFS >KJB41862 pep chromosome:Graimondii2_0_v6:7:9994049:9998541:1 gene:B456_007G124900 transcript:KJB41862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRREGLLAQQQQPQQQQLLSSTAGSEKKLESLPAMVAGVWSDDRNMQLEATTQFRKLLSIERSPPINEVVQSGVVPRFVEFLNRDDFPQLQFEAAWALTNIASGTSDNTRVVIDHGAVPIFVKLLGSPTDDVREQAVWALGNVAGDSPKCRDLVLGHGALVPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPSFEQQTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLMHPSPTVLIPALRTVGNIVTGDDMQTQCIIGHQALPCLLNLLTNTYKKSIKKEACWTISNITAGNVDQIQAVIEAGIIAPLVHLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEAEKNLGHTGDVNLYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWVEDEDEALPTGDASQPGFQFGGNQLPVPSGGFNFS >KJB45052 pep chromosome:Graimondii2_0_v6:7:49385367:49390005:1 gene:B456_007G287200 transcript:KJB45052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGREVSSGIVSESRASRNASFESNRKVEHVTVAKTDASVVGVENEGTQKEEKSDGERKQKGERRRSKPNPRLSNLPKHSRGEQVAAGWPSWLSDACGEALNGWIPRKADTFEKIDKIGSGTYSNVYKAKDMVTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFQYMEHDLAGLAASPIVKFSEPQVKCYMHQLLSGLEHCHNRGVLHRDIKGSNLLIDDGGVLKIADFGLATFFDPNRKHPMTSRVVTLWYRAPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRCIKETFKDFPASSLPLIDTLLAIDPAERLTATSALRSEFFMTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRAANKAQGDGARKTRTRDRARAIPAPEANAELQSNLDQRRRLITHANAKSKSEKFPPPHQDGALGFPLGASQHIDPSFVPPDVPFSSTSFTYSKEPIQTWSGPLVDSTSMGAPRRKKHVAGDSREPPKPPTGSEKDKSGDSRVKGKKSIL >KJB45050 pep chromosome:Graimondii2_0_v6:7:49385309:49390143:1 gene:B456_007G287200 transcript:KJB45050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGREVSSGIVSESRASRNASFESNRKVEHVTVAKTDASVVGVENEGTQKEEKSDGERKQKGERRRSKPNPRLSNLPKHSRGEQVAAGWPSWLSDACGEALNGWIPRKADTFEKIDKIGSGTYSNVYKAKDMVTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFQYMEHDLAGLAASPIVKFSEPQVKCYMHQLLSGLEHCHNRGVLHRDIKGSNLLIDDGGVLKIADFGLATFFDPNRKHPMTSRVVTLWYRAPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRCIKETFKDFPASSLPLIDTLLAIDPAERLTATSALRSEFFMTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRAANKAQGDGARKTRTRDRARAIPAPEANAELQSNLDRRRLITHANAKSKSEKFPPPHQDGALGFPLGASQHIDPSFVPPDVPFSSTSFTYSKEPIQTWSGPLVDSTSMGAPRRKKHVAGDSREPPKPPTGSEKDKSGDSRVKGKKSIL >KJB45051 pep chromosome:Graimondii2_0_v6:7:49385319:49390013:1 gene:B456_007G287200 transcript:KJB45051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGREVSSGIVSESRASRNASFESNRKVEHVTVAKTDASVVGVENEGTQKEEKSDGERKQKGERRRSKPNPRLSNLPKHSRGEQVAAGWPSWLSDACGEALNGWIPRKADTFEKIDKIGSGTYSNVYKAKDMVTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFQYMEHDLAGLAASPIVKFSEPQVKCYMHQLLSGLEHCHNRGVLHRDIKGSNLLIDDGGVLKIADFGLATFFDPNRKHPMTSRVVTLWYRAPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRCIKETFKDFPASSLPLIDTLLAIDPAERLTATSALRSEFFMTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRAANKAQGDGARKTRTRDRARAIPAPEANAELQSNLDRRRLITHANAKSKSEKFPPPHQDGALGFPLGASQHIDPSFVPPDVPFSSTSFTYSKEPIQTWSGPLVDSTSMGAPRRKKHVAGDSREPPKPPTGSEKDKSGDSRVKGKKSIL >KJB45570 pep chromosome:Graimondii2_0_v6:7:52681970:52682872:-1 gene:B456_007G313000 transcript:KJB45570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEHATNRFSEELGRGAFGTVYKGELPSIYGNRVAVKKLDKFAQDGEREFTTEVKVIGQTHHKNLVRLIGYCDEAEHRLLVYEFMQNGSLSSFLFGVPGPSWQQRLQIASMIAKGLAYLHEECSKQIIHCDIKPQYILLDESFTAKISDFGLAKLLMNDKTRTKTGIRGTKGYVAPEWFRNTPVTVKVDVYSFGVMLLIICCRRCVEVEMEEAAILTDWAFQCYSEGMIGKLVENDEEARNDVETLEMLLKVAIWRVQEDPLLRPSMRIVAKMLEGVVQVPNPPCLFPLNSMSMSVSTKMI >KJB40144 pep chromosome:Graimondii2_0_v6:7:3366303:3366836:-1 gene:B456_007G048400 transcript:KJB40144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIYEPKPQQQLILKIHSITMSGLNVSCFLTEKRWNVTMLFVNRNGMLEMSIHSFESSLYYNHNNPISCAAVEAMHLGPKKQRLVEMHFNRAQCGEEQPYVNDWVLERIRKDEIKGEMSFVVGVKLRVLYRTGILEWNYNLNPHCPKLHMQLVPSTGNGGIIFEHPKKCLVTPLNS >KJB39639 pep chromosome:Graimondii2_0_v6:7:1647516:1650170:1 gene:B456_007G022800 transcript:KJB39639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQEKLDRFNKQQEKCQSMLSNIAAKSSSSRAAVAPKPAPAPPFSGARPSAPVKFSNDTERLQHINSIRKSPVGAQMKRVIDILFQTRQAFTPEQINEACYVEVNGNRDVFEGLRKNPKVYYDGKRFSYKAKHDVKNKNELLVLIRKFIEGIAVIDLKDAYPNVMEDLQALKAAGQIWLLSNFDSQEDIAFPNDPRVPIKVDDDLKELFRSIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGISAKPKPKQKNKEFSKKAKLTNAHLPELFQNLKNS >KJB39641 pep chromosome:Graimondii2_0_v6:7:1648626:1650170:1 gene:B456_007G022800 transcript:KJB39641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYAIYSWLQTRQAFTPEQINEACYVEVNGNRDVFEGLRKNPKVYYDGKRFSYKAKHDVKNKNELLVLIRKFIEGIAVIDLKDAYPNVMEDLQALKAAGQIWLLSNFDSQEDIAFPNDPRVPIKVDDDLKELFRSIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGISAKPKPKQKNKEFSKKAKLTNAHLPELFQNLKNS >KJB39640 pep chromosome:Graimondii2_0_v6:7:1647139:1650220:1 gene:B456_007G022800 transcript:KJB39640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQEKLDRFNKQQEKCQSMLSNIAAKSSSSRAAVAPKPAPAPPFSGARPSAPVKFSNDTERLQHINSIRKSPVGAQMKRVIDILFQTRQAFTPEQINEACYVEVNGNRDVFEGLRKNPKVYYDGKRFSYKAKHDVKNKNELLVLIRKFIEGIAVIDLKDAYPNVMEDLQALKAAGQIWLLSNFDSQEDIAFPNDPRVPIKVDDDLKELFRSIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGISAKPKPKQKNKEFSKKAKLTNAHLPELFQNLKNS >KJB43994 pep chromosome:Graimondii2_0_v6:7:27803704:27804303:-1 gene:B456_007G228400 transcript:KJB43994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSGKGDRKSKMLTIQATDARGDPFFKRSFKIFKKVIELWTLCALENVFVVLFLGGKAFYIGNPPIYTSKTCRQPYFDEIDIEIDMEKGTLLRCIKKVSDELKKDKAKSIVIEKLKKASIENERQGLNPYKLPNDLSIEEFAIVKEMVLECMAKVWKRQKELGIEVATSTPISGGESNDSLDGSSKNDSSGDDGHEH >KJB45706 pep chromosome:Graimondii2_0_v6:7:54062666:54064766:-1 gene:B456_007G322700 transcript:KJB45706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLPTQNTSTLALPGLQQNQESAKPTTGAESKPKKKICCACPETKKLRDECIVQHGEEACAKWIEAHRICLRAEGFNV >KJB45707 pep chromosome:Graimondii2_0_v6:7:54062911:54063150:-1 gene:B456_007G322700 transcript:KJB45707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLPTQNTSTLALPGLQQNQESAKPTTGAESKPKKKICCACPETKKLRDECIVQHGEEACAKWIEAHRICLRAEGFNV >KJB45708 pep chromosome:Graimondii2_0_v6:7:54062911:54063150:-1 gene:B456_007G322700 transcript:KJB45708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLPTQNTSTLALPGLQQNQESAKPTTGAESKPKKKICCACPETKKLRDECIVQHGEEACAKWIEAHRICLRAEGFNV >KJB46570 pep chromosome:Graimondii2_0_v6:7:60672472:60674685:-1 gene:B456_007G374900 transcript:KJB46570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVGGSMNLPAKSITRDDGHPLFTNSKQTELQKYPKKPTVSPTLAELLMHVDDAQNSPVDHRAVEIGYGCSSLPSSNPFFLCFNNLTYSVKVRKKLGSIPFCGKNSDETESNGINTKILLNEISGEAHEGEIMAVLGASGSGKSTLIDALANRIAKDSLKGTLTLNGEVLESKLLRVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSKSKKKARVQALIDQLGLRSAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSIHQPSYRILSLLDRLIFLSHGNTVFAGSPGMLPSFFAEFGHPIPENENKTEFALDLIRELEETPGGTKSLVEFNKSWQARKNQRNGFSMRSNLSLKDAISASISKGKLVSGATNDSNPTASVPIFANPLWIEMMVIAKRSMTNSRRMPELFGIRLGAVVITGIILATMFWKLDNSPKGIQERLGFFAFAMSTTFYTCAEAIPVFLQERYIFMRETAYNAYRRSSYVLAHSLISIPSLVILSMAFAAITFWAVGLAGGLSGFFFFFLTIFASFWAGSSFVTFLSGIVSHVMLGFTVVVAVLAYFLLFSGFFISRNRIPLYWIWFHYISLVKYPYEAVLQNEFDDPTKCFVRGVQMFDNTPLGEVPLSVKLKLLQSMSGVLGANITGSTCVTTGKDLLVQQGITDISKWNCLWIIIAWGFFFRILFYFTLLLGSKNKRK >KJB40795 pep chromosome:Graimondii2_0_v6:7:5503544:5504202:-1 gene:B456_007G077500 transcript:KJB40795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIAGGVTSMDDFLKEFFPKIYRRKQAHLHETDYCKYDNQLLTLFTSSLYFAGLVSTFGASYFTRNKGRRANILVGAVSFFLGGAINAGIQHLLMHLEIWMILLQWCTCLQC >KJB43099 pep chromosome:Graimondii2_0_v6:7:17691057:17692481:1 gene:B456_007G184300 transcript:KJB43099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGLKKMLASAVVVGVTEARARIFGQILNPTGQRSPHKLLRKKLIGDKVAEWYPYDIKNDDPHVMAREEEERLSKLESLKRRGKGPPKKGQGRRAAKRNK >KJB39287 pep chromosome:Graimondii2_0_v6:7:439610:448683:1 gene:B456_007G005400 transcript:KJB39287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPIPELRRGDEAVVVSTGTVKPPPFGGLSEESEEKSPILIFLLFHKAVRNELDALHRLALAFATGNSVDIQSLFQRYGFFRSIYKQHSVAEDEVIFPALDIRVKNVAKTYSLEHKGESNLFDHLFELLSSYMEDDESFPKELASCTGALRTSISQHMDKEEEQVFPLLIEKFSLEEQASLVWQFLCSIPVNMVAEFLPWLSSFLSPDEYQDMQKCLSKIVPEEKLLQQVIFTWMEGRNDANLLGKYHLDSPDGLSQSLDSRTCPCELPKTGKRKYLEPGSILSETDGTHPLNEILLWHNAIKRELTEIAEEARKIQLSGDFVDLSVFNERLQFIAEVCIFHSIAEDKVIFPAVDEELSFIQEHAEEESQFNDFRCLIESIQNAGAVSTSAAEFYSKLCEHADQIMETIMTHFHNEEVQVLPIAKKNFSFKRQRELLYQSLCVMPLRVIERVLPWLVGSLTDHEARNFLKNMQLAAPATDSALMTLFSGWACKGRNQGMCLSPNGNGCCVKRFSDIEEDFVQSCCACTSSMCMNETCSTIHGDEVKRPVKRNISDSCKTGNAAELSVSVDAHEQPCNERSCCVPGLGVNTNNLGFGSLLTAKSLRSLSFSSSAPSLNSSLFVWETDNNLSDIGSADRPIDTIFKFHKAISKDLEYLDVESGKLGDCDETFLRQFIGRFHLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFEDINSVLSELSHLHESFTVGHIPTDTGTELSGAYNGDCLRKYNELATKLQGMCKSIRVTLDHHIYREELELWPLFGRYFSVEEQDKLVGRIIGTTGAEVLQSMLPWVTAALTQEEQNKMMDTWKQATKNTMFNEWLNECWKKPSESSLQNEMSETGISLKGNDFQDSLEQCDQMFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKAYLVQNLLTSRWIAAQQKLPQAASSEASDGEDVWGCSPSFRDPGKQIFGCEHYKRNCKLRAACCGKLFTCRFCHDNVSDHSMDRKATLEMMCMSCLKIQPVGPICITPSCSGLSMAKYYCSICKFFDDERNVYHCPFCNLCRVGKGLGIDYFHCMTCNCCLGTKLVNHKCLEKGLETNCPICCDFLFTSSATVRALPCGHYMHSACFQAYTCSHYTCPLCSKSLGDMAVYFGMLDALLAAEELPEEYRDRCQDILCNDCDQKGTARFHWLYHKCGYCGSYNTRVIKTSTQTPTTDCSTVNQ >KJB43945 pep chromosome:Graimondii2_0_v6:7:26963093:26964273:-1 gene:B456_007G225200 transcript:KJB43945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIIASSMRTLGPHAMFYLVAAVSNFYVPWKSMELVPLKKQHAIAKNGSLPAKKEKQARISDSFESSSEEDSSSDEEAPAKNEILVVAKKGSVPVAKTKKADSSSDPSDDDGSVEK >KJB43944 pep chromosome:Graimondii2_0_v6:7:26963093:26964812:-1 gene:B456_007G225200 transcript:KJB43944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIIASSMRTLGPHAMFYLVAAVSNFYVPWKSMELVPLKKQHAIAKNGSLPAKKEKQARISDSFESSSEEDSSSDEEAPAKNEILVVAKKGSVPVAKTKKADSSSDPSDDDGSVEK >KJB43943 pep chromosome:Graimondii2_0_v6:7:26963635:26964080:-1 gene:B456_007G225200 transcript:KJB43943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSFSSMELVPLKKQHAIAKNGSLPAKKEKQARISDSFESSSEEDSSSDEEAPAKNEILVVAKKGSVPVAKTKKADSSSDPSDDDGSVEK >KJB39804 pep chromosome:Graimondii2_0_v6:7:2147218:2149374:-1 gene:B456_007G031200 transcript:KJB39804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFSSALDVHFAVSQSDPKRPIFFTKRLKHQNPSQRFCISCKTSKSSSSSKPQQNPLEEQSPKKTQSLSEQLQPLSTTTLPKKDQAARLSSNPKSTWVNPSKPKRSVLSLQRQKRSPHAYNPQLRELKLFAKKLNDCENNEGAFLSVLEQFPHQPNRENSLLILNSLKPWQKAYLFFNWLKTNNFFPMETIFYNVMMKYLRLGGQFEVIEELANEMVSNEIPLDNITYSTIISCARRCYHFDKAVEWFERMYRTGLMPDEVTYSAILDVYAKLGKVEEVISLYERGVASSWKPDMVTFSVLAKMFGVAGDYEGIKYVLQEMKSVEVKPNLVVYNTLIEAMGRAGKPGFARNLFEDLIESGLSPNEKTLTAIAKIYGKARWEKDALKLWEEMKSKNWPVDFILYNTLLTMFADIGLVEEAEQLFIDMKRSENFKPDSWSYTAMLNIYASGGNVDKAMELFKEMFEVGVELNVMGTTCLIQCLGKTRRIDELVRAFTVSIQQEIEPDDRLCGCLLSVVSLCDNREDIDKVLACLHQANPRLVEFVELIEDEKSSLDTVKEEFRRVLSDTKDDARRPFCNCLIDICRSKNLHERAHDLLYLGTLYGLYPRLHNRTADEWSLNVRTLSVGAAKTALEEWMGTLAKIVKRGEALPELFSAQTGTGTHKFAQGLSNSFGSHLKELGAPFKNSEDKVGCFVATREDLLLWLQSKIPSSSTVIS >KJB41902 pep chromosome:Graimondii2_0_v6:7:10102340:10103816:1 gene:B456_007G126800 transcript:KJB41902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRNLLWATSKHDVYLMQNYSVMHWSSLLRNGKKVLNVAKPIVPNLKRQSQQLSRVQISTMAVKENLMVAGGFQGELICKYINQPRVAFSIKVTTDDNAITNAVDVYLNPSGAMRVMAANNDAQIRVFNAETFSTLNRFSFDWSVNVKYLVSPDGKLLAVLGDSTDCLITDAQSGKVTGTLEGHLDYSFASAWHPDGNILATGNQDTTCRLWDVRKPSQSLAVLKGRMGAIRALKFTSDGRFLSMAEPAGFVHVFDTKSEYVKCQEIDFFGEVAGISFSPDTKSLFVGVADRTYGSLLEFNRRRHNQYLYSIL >KJB39041 pep chromosome:Graimondii2_0_v6:7:2491827:2492623:-1 gene:B456_007G036300 transcript:KJB39041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQRSTSSNKITDDELNALILRLQTLLPQLDQSRHGRVSAAKVLNEICSYIRRLQNEVDGLSGRLSQCLDSMDLTGFDAEIVRDLLQQ >KJB43076 pep chromosome:Graimondii2_0_v6:7:17425999:17429379:-1 gene:B456_007G182700 transcript:KJB43076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKFSFTIIIISLVLILSPKPVFSNNYLSCSVRSSCGNISDIGYPFWGLDRPESCGYPGFKLSCSESELEITISSATYRVLAINKESQTLHVSRTDYSENLCPTHLINSTFESETSPFRQNGDSQDIRLYYGCQPLTAPQNLTSILGISNQFDCTINNTKIVGYYVTREFAGTVTGNFLRSCSNSVIIPVPNSQVPSLEEGRDPDDLEEAAKIGFQLWWSADDTRCNNCVNKGGQCGRNLVSGGFECYCSDGDVCSPDSKSKFKFKWKLFIGLAAAITIAICFVVLWLKRKWLPNQGNKNQDGRIEAFIKKFGSLTPRRYSYAEIKKMTNKFNDKLGQGGYGSVYKGKLSEDRFVAVKILSESKGNGEDFMNEVASISRTSHVNIVSLLGFCFERSKRALVYEFMPHGSLDRFIYDRRLHHQSCQLEWRTLYDIALGIARGLDYLHQGCNTRILHFDIKPHNILLDENFCPKIADFGLSKLCERKESIISMTCARGTIGYIAPEVVCRNFGGVSYKSDVYSYGMMVLEMVGGRKNIDVGVSQTSEVYFPSWIYKHLDQSMNLNLNGVILEEEEEEIARKLIIVSLWCIQSYPSDRPSMTKVIEMLQENLQSLIIPPRPFVSSPVRSPKSLEHQQ >KJB42983 pep chromosome:Graimondii2_0_v6:7:16575870:16579121:-1 gene:B456_007G178200 transcript:KJB42983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGDITPKKERKSRRSKHVVDDTAPLLPKRQDEDAGYDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLILGIAMIIFMAFLTDASIEFLLRFSRAGKTTSYGSLMGDAFGKYGRIFLQICVLVNNIGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWFGEHWWNGRTFVLIVTTLGIFSPLACFKRIDSLRFTSALSVALAVVFLVITVGIAIIKLISGTIMMPRLLPDVTDLTSFWRLFTVVPVLVTAYICHYNVHSIDNELEDSTQIKPVVRTALALCSTVYIMTSFFGFLLFGDSTLDDVLANFDTDLGIPYGTLLNDAVRVSYAAHLMLVFPIVFYPLRLNMDGLLFPSARPLALSNMRFAFTTVGLITLIFLGANFIPSIWDAFQFTGATAAVCLGFIFPAAVTLRDRHSIATKKDKILAIFMIVLAVFSNVVAVYSDAYAMFKKNSVTEPTA >KJB42984 pep chromosome:Graimondii2_0_v6:7:16575898:16579153:-1 gene:B456_007G178200 transcript:KJB42984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGDITPKKERKSRRSKHVVDDTAPLLPKRQDEDAGYDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLILGIAMIIFMAFLTDASIEFLLRFSRAGKTTSYGSLMGDAFGKYGRIFLQICVLVNNIGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWFGEHWWNGRTFVLIVTTLGIFSPLACFKRIDSLRFTSALSVALAVVFLVITVGIAIIKLISGTIMMPRLLPDVTDLTSFWRLFTVVPVLVTAYICHYNVHSIDNELEDSTQIKPVVRTALALCSTVYIMTSFFGFLLFGDSTLDDVLANFDTDLGIPYGTLLNDAVRVSYAAHLMLVFPIVFYPLRLNMDGLLFPSARPLALSNMRFAFTTVGLITLIFLGANFIPSIWDAFQFTGATAAVCLGFIFPAAVTLRDRHSIATKKDKILAIFMIVLAVFSNVVAVYSDAYAMFKKNSVTEPTA >KJB42981 pep chromosome:Graimondii2_0_v6:7:16576244:16578462:-1 gene:B456_007G178200 transcript:KJB42981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGDITPKKERKSRRSKHVVDDTAPLLPKRQDEDAGYDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLILGIAMIIFMAFLTDASIEFLLRFSRAGKTTSYGSLMGDAFGKYGRIFLQICVLVNNIGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWFGEHWWNGRTFVLIVTTLGIFSPLACFKRIDSLRFTSALSVALAVVFLVITVGIAIIKLISGTIMMPRLLPDVTDLTSFWRLFTVVPVLVTAYICHYNVHSIDNELEDSTQIKPVVRTALALCSTVYIMTSFFGFLLFGDSTLDDVLANFDTDLGIPYGTLLNDAVRVSYAAHLMLVFPIVFYPLRLNMDGLLFPSARPLALSNMRFAFTTVGLITLIFLGANFIPSIWDAFQFTGATAAVCLGFIFPAAVTLRDRHSIATKKDKILAIFMIVLAVFSNVVAVYSDAYAMFKKNSVTEPTA >KJB42982 pep chromosome:Graimondii2_0_v6:7:16575893:16579110:-1 gene:B456_007G178200 transcript:KJB42982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGDITPKKERKSRRSKHVVDDTAPLLPKRQDEDAGYDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLILGIAMIIFMAFLTDASIEFLLRFSRAGKTTSYGSLMGDAFGKYGRIFLQICVLVNNIGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWFGEHWWNGRTFVLIVTTLGIFSPLACFKRIDSLRFTSALSVALAVVFLVITVGIAIIKLISGTIMMPRLLPDVTDLTSFWRLFTVVPVLVTAYICHYNVHSIDNELEDSTQIKPVVRTALALCSTVYIMTSFFGFLLFGDSTLDDVLANFDTDLGIPYGTLLNDAVRVSYAAHLMLVFPIVFYPLRLNMDGLLFPSARPLALSNMRFAFTTVGLITLIFLGANFIPSIWDAFQFTGATAAVCLGFIFPAAVTLRDRHSIATKKDKILAIFMIVLAVFSNVVAVYSDAYAMFKKNSVTEPTA >KJB40323 pep chromosome:Graimondii2_0_v6:7:4093791:4095956:1 gene:B456_007G057500 transcript:KJB40323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSHSFIVPQRACHILLCFLLILTTTQARYKAEGKPNPISDKRVVNEEKAMVLSGQIGSRPPRCERRCSTCGHCEAIQVPTNPQLRIQNKPSSTSVSDVAYARRDDSSNYKPLSWKCKCGNFIFNP >KJB43640 pep chromosome:Graimondii2_0_v6:7:22574299:22576233:-1 gene:B456_007G210500 transcript:KJB43640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPCAACKFLRRKCTQECVFAPYFPPDNPQKFANVHKVFGASNVAKLLNELNASQREDAVNSLAYEAEARLRDPVYGCVGLISILQHKLKQMQHDLNNAKKELATYIGPQAMLPILQPHVFLQQHVGNASSAVMQHNMMPMMGIPTAAAASASHGGQLVIREPPQQQAQIFEAQQQLVAAVAAREQQEMFRGYEHQHQHQQGQQQHEIVRFNGGGFEGGGSVTATGFNQITPGSSMSSSLALGSFDNPYQIQTQPQQQHGSHSLQPHLLLQTQEGQPQEHPQSQQNQPQMSGSEEGRSIGPSC >KJB45764 pep chromosome:Graimondii2_0_v6:7:54601289:54606488:-1 gene:B456_007G326500 transcript:KJB45764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQNPEPEQSPDPKALKDPEPKQPSEEPPNQESKVEGEGEEEEEEEGECGFCLFMKAGGCKESFVGWENCIREAEENKEDIVEKCFEATSALKKCMEAHADYYEPILRAEKKAEEQAIIELEKEKEEEEFGCSGRFQGFAEEIRWLVSFGHN >KJB45478 pep chromosome:Graimondii2_0_v6:7:52186793:52191336:-1 gene:B456_007G308400 transcript:KJB45478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCCKVTTLKSPPNLPHSKQRRMSVSFSHFSIPFSESSIASSISLSTGAANNFQLLRGSCLVLHGGASDLCQRFRGLKLRILKRLNRRQHVPANDLKRIRNQDKDQPENPSRNDEQISEAASTIPSSNGSTEDHTRTTSQPKKSTLPPLVQTNSSNLNVDDNHERPSLCIAVIGATGELAKNKIFPALFALYYSGFLPENVGIFGYSRKDLTDEDLRSLIASTLTCRIDHQQNCGDKMDAFLSRTYYLNGGYDNKEGMSKLNSRMEQIEAGYPASRIFYLSVPHEALPDVASSLADNAQTKKGWNRIIIEKPFGFDAVSSQLFTKSLLSKFEEKQIYRIDHLLGRNLIENLTVLRFSNLVFEPLWSRTYIRNVQVILSEDLSMPLGRYFDGYGVIRDIVHSHILQTIALLAMEPPISLDGEDIRNEKVKVLRSIRNLEPSDVILGQYKATSGNKVDVSLSSRTPTFFAAALYIDNARWDGVPFMIKAGVGLIKHR >KJB45477 pep chromosome:Graimondii2_0_v6:7:52186789:52191523:-1 gene:B456_007G308400 transcript:KJB45477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCCKVTTLKSPPNLPHSKQRRMSVSFSHFSIPFSESSIASSISLSTGAANNFQLLRGSCLVLHGGASDLCQRFRGLKLRILKRLNRRQHVPANDLKRIRNQDKDQPENPSRNDEQISEAASTIPSSNGSTEDHTRTTSQPKKSTLPPLVQTNSSNLNVDDNHERPSLCIAVIGATGELAKNKIFPALFALYYSGFLPENVGIFGYSRKDLTDEDLRSLIASTLTCRIDHQQNCGDKMDAFLSRTYYLNGGYDNKEGMSKLNSRMEQIEAGYPASRIFYLSVPHEALPDVASSLADNAQTKKGWNRIIIEKPFGFDAVSSQLFTKSLLSKFEEKQIYRIDHLLGRNLIENLTVLRFSNLVFEPLWSRTYIRNVQVILSEDLSMPLGRYFDGYGVIRDIVHSHILQTIALLAMEPPISLDGEDIRNEKVKVLRSIRNLEPSDVILGQYKATSGNKVDVSLSSRTPTFFAAALYIDNARWDGVPFMIKAGVGLIKHRVEIRIQFNSVPGNLYRERIGHNTDLATNELILRDEPDEAILVKINNKIPGLGLNLDASELNLLYKDKYNVEVPDSYEHLLLDVIDGDNHLFLRSDELAAAWNILTPVLQWIDQNNIAPELYELGGRGPVGSYYLWAKHGVWWADD >KJB39341 pep chromosome:Graimondii2_0_v6:7:585043:585654:1 gene:B456_007G007300 transcript:KJB39341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGAMRGSKRRYEQKGNGGFLKEKRGRFYIIRRCIAMLLCWRD >KJB46402 pep chromosome:Graimondii2_0_v6:7:59819618:59824095:-1 gene:B456_007G365800 transcript:KJB46402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIAFDLALELITKLSSRALSQVGLWRNLKHDLDDLKLTVRTIKAVLLDAEEKSVTDNLVKVWLEELKDVLYDADDLLDDFSTEALRKDASDGNKLTKEVRLFFSTSNQFAYGLKMGRKMKAIKARLASIESEANTFRFIPRDRPAETSFMTKRRQQTHSFELEDDIIGRDDDKAALLKLVLEFQSEENVYIIPIVGFGGLGKTALAQLVYNHEMVKNHFDLTMFACVSDDFDVKVIVANIIKSVTHQAPDQNLEMDQLQKQLRDKIDGKKYLIVLDDIWNEDPEKWSRLKKLLMGGAKGSRIIVTTRSLRVAEITNKCQSRVLKLKGLSDDDAWSLFKKIAFEQGYADSTNSAFVEVGRQISERCGGVPLAIRTIAGTLSLKKTANEWHSFKENELAKISQIEGELLPILKLSYDHLPSHLKHCFAYCRLYPKDNKIKEIGFGYFKDLVERSFFQDVQGERYLWEGLTCKMHDLAESVAGMESSIVDSNKIASDVGEKCRHISIKPSLFPLFKGKKLRTLLHFQDRISEDFSYETWDLIIANCRCLCVLKLNSICIQKISPSICKLKHLRYLDLSYNRDLKILPKSICKIQNLLALKLDWCTWLKELPKKIEKLVNLTHLACYSCHSLTHMPRGIGKLASLETLSMSVVDKDGSHGGADLSELRLLNNLRGHLQITNLGFVKNAKEKFKAANLKEKQHLSSLALEWYDDGGGGGDDKSLEDLQPHPNLKELCIRGWRGDAKFPSWLPLLTNLVYMTIDGVNFKQIQSFAQFPCLKGLEIHDCTKLEYMDDNSPKGSQGEPQSFFPSLKHLSLGDCPNMKSWWRTTKPIDDDSNEDDTTVMGTSTMAFPCLSSLTIQNCPLTLMPLYPSLDGKLELRNSSSRPLKQTLKMKITSMSPSTSTSSLPLSKLKSFYVHNIEGLDTHTLDECLQHLISLKTLTIEDCKEVDLEAMQWEPLKNLSHLEIDNIPKLVSLPIWLQHLVQLKTLKIHNCNGLRSLLPVFQHLTFLERFEVKDCKELELSGAGIQIFLDHTSLRSLWLQNIPKCRHLPELLQHLTNLQGLYLINLPNLTSLPDEMRCLTSL >KJB46401 pep chromosome:Graimondii2_0_v6:7:59819618:59824095:-1 gene:B456_007G365800 transcript:KJB46401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIAFDLALELITKLSSRALSQVGLWRNLKHDLDDLKLTVRTIKAVLLDAEEKSVTDNLVKVWLEELKDVLYDADDLLDDFSTEALRKDASDGNKLTKEVRLFFSTSNQFAYGLKMGRKMKAIKARLASIESEANTFRFIPRDRPAETSFMTKRRQQTHSFELEDDIIGRDDDKAALLKLVLEFQSEENVYIIPIVGFGGLGKTALAQLVYNHEMVKNHFDLTMFACVSDDFDVKVIVANIIKSVTHQAPDQNLEMDQLQKQLRDKIDGKKYLIVLDDIWNEDPEKWSRLKKLLMGGAKGSRIIVTTRSLRVAEITNKCQSRVLKLKGLSDDDAWSLFKKIAFEQGYADSTNSAFVEVGRQISERCGGVPLAIRTIAGTLSLKKTANEWHSFKENELAKISQIEGELLPILKLSYDHLPSHLKHCFAYCRLYPKDNKIKEIGFGYFKDLVERSFFQDVQGERYLWEGLTCKMHDLAESVAGMESSIVDSNKIASDVGEKCRHISIKPSLFPLFKGKKLRTLLHFQDRISEDFSYETWDLIIANCRCLCVLKLNSICIQKISPSICKLKHLRYLDLSYNRDLKILPKSICKIQNLLALKLDWCTWLKELPKKIEKLVNLTHLACYSCHSLTHMPRGIGKLASLETLSMSVVDKDGSHGGADLSELRLLNNLRGHLQITNLGFVKNAKEKFKAANLKEKQHLSSLALEWYDDGGGGGDDKSLEDLQPHPNLKELCIRGWRGDAKFPSWLPLLTNLVYMTIDGVNFKQIQSFAQFPCLKGLEIHDCTKLEYMDDNSPKGSQGEPQSFFPSLKHLSLGDCPNMKSWWRTTKPIDDDSNEDDTTVMGTSTMAFPCLSSLTIQNCPLTLMPLYPSLDGKLELRNSSSRPLKQTLKMKITSMSPSTSTSSLPLSKLKSFYVHNIEGLDTHTLDECLQHLISLKTLTIEDCKEVDLEAMQWEPLKNLSHLEIDNIPKLVSLPIWLQHLVQLKTLKIHNCNGLRSLLPVFQHLTFLERFEVKDCKELELSGAGIQIFLDHTSLRSLWLQNIPKCRHLPELLQHLTNLQGLYLINLPNLTSLPDEMRCLTSL >KJB46619 pep chromosome:Graimondii2_0_v6:7:60876795:60878647:-1 gene:B456_007G378400 transcript:KJB46619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQYEDVRLGANKYRERQPIGTAAQTQDDKDYKEPPAAPLFEPGELSSWSFYRAGIAEFVATFLFLYITVLTVMGVAKSSHKCSTVGFQGIAWAFGGMIVALVYCTAGISGGHINPAVTFGLLLARKLSLTRAVFYMIMQCLGAICGAAVVKSFQKTQYERLGGGANTISSGYSKTSGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFVVHLATIPITGTGINPARSLGAALIYNKDQAWDDHWIFWVGPLIGAALAALYHQIVIRAIPFKSK >KJB45117 pep chromosome:Graimondii2_0_v6:7:49855000:49864838:-1 gene:B456_007G290700 transcript:KJB45117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKDNQVLALTEEDNDEDEDAVTDWPNGESMKECNKIILQHPSINKLPDQLNCPQLFLFFLLSKDLSLTLPDNFFKEAKSLQVLDLTRMHFSSLPSSIGLLTSLSTLCLDCCNLGDNLTIIGALKSLNVLSLAGSDIKIVPKEIGQLLKLKLLDVSGCTKLKTISADVLPSLSKLEELYMGGTSIQWGQPNASLAELNTLSHLSTLEVQIPDAKAAPEDFFQKLQKLERYKIFIGKEWERFGNSQYSRTLKLRLNKSIDDLDHGIKKLVKRTQYLELDELKGVKIALKDLIDEERLSHLQNLHIQNGLDIESITNDRNEFPGLQSLTLQGLPQLVSFCSQDKIDATSLPQRELPLFGEKISFPFLEKLQLSSLNVTRVWQNQLSNVSFYTHEKLTTLKIEGCGNIKYLLSFSMAKYLVHLKYFEVTKCNCLEEIILWEDIEEETQVAMTLSLFPQLKSLELKDLQHLRGFCFNSQNKVIEFPFMKSMTIYNCPNLEGFICRYTREGNQRISSQGDLFDNKVAFPNLEKVIISFLRKMKMIWRNPLPPNSFPKLQVLVVNGCDELLTIFPSNMLITFQRLHRLFVGNCGSLQQVFEIMHEENETALPATAQLRELHLIELPKLKYIWKSDPKGIFSFKKICAITVCDCQSLKNVFPASIAKDLPKLGYLAISHSGVEEIVSKLEEGSDSETAVNFEFDQLYDLVLWRLPELKCFYPGKHTAKWPLLSKLEVVECGKMKILGTHLNTNNGQLDSPIHPPLFLVEKVIPKLQHLTLDSDYIAMISDGQFSSSPFHEIKVFEVHGHAAKSIYFRISFLERFHTLESLIITYCEIKELFCSERDTGNEEMYAGRLSTIRNLKLVSLNTLNNYLWKQDVQVDHILPKLETLEVHDCDNLICLGSSSASFQNLTTLDVWNCEAMKYLDTCLAVQGMAQLKKLRVRDCISMKEIVATEGGEATCDIIFSRLRSLELVNLPRLKSFCSGSHTLRFPCLQELIVSGCPELEIFCKGVLSNPPLLQKVVCGKDNGHWCSDLNNTIQEIYSIKAGFQAIEYLVLSDFSRSIEIWKENVHGSLDFKNLKVLEVYECNTMIYIFNVSMALDLVQLQDIKVKQCPMMEQIIKGAEETEMDILLLPELREIRLESCSRLTSFFMGSSTLECPSLLKIAVKDCPKMYAMASTREQEDIEILGREKTPFFNHKVLCAHLKYLKLSSTKIKKLWPDKPDRAISSNVLNLQFLFVKRCHNLEYLFPSFLVKNFERLLLLSLHNCENMEEIIFTDGLAATAEDGIPQIYLFTKLYFLILDGLPKLRTFCHQENSETNTLFNQKVAFPSLCRLIIAGMGKCRKIWHDKLTKDSFHELTILAVKQCDKLSNVLPFDMVERLEKLEALEISECESVEEIIGLADDRGLNSNESIELKSTTKFLFPKIRQLILHKLPKLKGLYSKVHTTDWPLLKQLEVCECSKVETFAGEYINFRETQEENHSVIPVQQPLFWVTKETFPNLEELVLARNGNMKVWHGHGADPKQYCPKLRKFDCPET >KJB45116 pep chromosome:Graimondii2_0_v6:7:49856740:49863146:-1 gene:B456_007G290700 transcript:KJB45116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKDNQVLALTEEDNDEDEDAVTDWPNGESMKECNKIILQHPSINKLPDQLNCPQLFLFFLLSKDLSLTLPDNFFKEAKSLQVLDLTRMHFSSLPSSIGLLTSLSTLCLDCCNLGDNLTIIGALKSLNVLSLAGSDIKIVPKEIGQLLKLKLLDVSGCTKLKTISADVLPSLSKLEELYMGGTSIQWGQPNASLAELNTLSHLSTLEVQIPDAKAAPEDFFQKLQKLERYKIFIGKEWERFGNSQYSRTLKLRLNKSIDDLDHGIKKLVKRTQYLELDELKGVKIALKDLIDEERLSHLQNLHIQNGLDIESITNDRNEFPGLQSLTLQGLPQLVSFCSQDKIDATSLPQRELPLFGEKISFPFLEKLQLSSLNVTRVWQNQLSNVSFYTHEKLTTLKIEGCGNIKYLLSFSMAKYLVHLKYFEVTKCNCLEEIILWEDIEEETQVAMTLSLFPQLKSLELKDLQHLRGFCFNSQNKVIEFPFMKSMTIYNCPNLEGFICRYTREGNQRISSQGDLFDNKVAFPNLEKVIISFLRKMKMIWRNPLPPNSFPKLQVLVVNGCDELLTIFPSNMLITFQRLHRLFVGNCGSLQQVFEIMHEENETALPATAQLRELHLIELPKLKYIWKSDPKGIFSFKKICAITVCDCQSLKNVFPASIAKDLPKLGYLAISHSGVEEIVSKLEEGSDSETAVNFEFDQLYDLVLWRLPELKCFYPGKHTAKWPLLSKLEVVECGKMKILGTHLNTNNGQLDSPIHPPLFLVEKVIPKLQHLTLDSDYIAMISDGQFSSSPFHEIKVFEVHGHAAKSIYFRISFLERFHTLESLIITYCEIKELFCSERDTGNEEMYAGRLSTIRNLKLVSLNTLNNYLWKQDVQVDHILPKLETLEVHDCDNLICLGSSSASFQNLTTLDVWNCEAMKYLDTCLAVQGMAQLKKLRVRDCISMKEIVATEGGEATCDIIFSRLRSLELVNLPRLKSFCSGSHTLRFPCLQELIVSGCPELEIFCKGVLSNPPLLQKVVCGKDNGHWCSDLNNTIQEIYSIKAGFQAIEYLVLSDFSRSIEIWKENVHGSLDFKNLKVLEVYECNTMIYIFNVSMALDLVQLQDIKVKQCPMMEQIIKGAEETEMDILLLPELREIRLESCSRLTSFFMGSSTLECPSLLKIAVKDCPKMYAMASTREQEDIEILGREKTPFFNHKVLCAHLKYLKLSSTKIKKLWPDKPDRAISSNVLNLQFLFVKRCHNLEYLFPSFLVKNFERLLLLSLHNCENMEEIIFTDGLAATAEDGIPQIYLFTKLYFLILDGLPKLRTFCHQENSETNTLFNQKVAFPSLCRLIIAGMGKCRKIWHDKLTKDSFHELTILAVKQCDKLSNVLPFDMVERLEKLEALEISECESVEEIIGLADDRGLNSNESIELKSTTKFLFPKIRQLILHKLPKLKGLYSKVHTTDWPLLKQLEVCECSKVETFAGEYINFRETQEENHSVIPVQQPLFWVTKETFPNLEELVLARNGNMKVWHGHGADPKQYCPKLRKFDCPET >KJB46453 pep chromosome:Graimondii2_0_v6:7:60238461:60241410:-1 gene:B456_007G369600 transcript:KJB46453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTTSESSSLPDAEERSATSNFTAWLGKLKDAPYDADDLLHELSFDVFRRLLFPWSRANNYVYLPTMSSQIQVTKNRLTSSERVLDMLNFFNHLLVKFPLMAAKITTLEAGCPTRMKTTEKLSLWQIKITKFYSKSRNKDKLSLTNEFLCFSCFTHRRRRPFLCLLFTDIDT >KJB44182 pep chromosome:Graimondii2_0_v6:7:32536026:32537286:-1 gene:B456_007G238600 transcript:KJB44182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLGRRVVHFANLPIKLLMPNTFTNITEIALKTIPSASKIEIKRVLESLYGFDVDKVRTLNMDGKKKKRGGFLIARPDYKKAYVTLRTPLSVSENLFPIQVIKEEKDSINKTSKSKSVVEEGEKKKHWLDGKVKDDGADRGKGKGSYASARGGDRRGGGEAKFPWSSMRKAS >KJB42935 pep chromosome:Graimondii2_0_v6:7:16267750:16269544:1 gene:B456_007G175300 transcript:KJB42935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSSYNLKQMQQREKLRFNESMKNSSSSLEQMRQQEKQRRQREKNRLQQRKQRLKRGKQRLQRGKQSSVKSSMNSSSSSRRFSSSMGDGGRILGGFNLAILMVMMLLSTNRHCAADAVKKNKSMSSRWCDDLQIRSACSFRTT >KJB44375 pep chromosome:Graimondii2_0_v6:7:38852031:38854043:1 gene:B456_007G248700 transcript:KJB44375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LYK5 [Source:Projected from Arabidopsis thaliana (AT2G33580) UniProtKB/Swiss-Prot;Acc:O22808] MAVGRLKPCLKFCLPFLLLFFLGFTQAQQGYVNNLQLACEDPTKDNNISRGFSCNGEQRSCQSYITFRSEPPFYNTAVSIAYLLDAQATQISSLNNLSADVSSITPKSMVVVPVNCSCSGTVNDSYYQHNASYTMKFDYETYFSISNDTYQGLTTCQAMKAQNPIDYRNLEVGNKLVVPIRCACPTYNQIRAGAKYLLSYIVTWGDSISSIAETFGADEKSVLEANELSEDDIIFPFTPVLVPLSEEPSMIKPPQSSPPPASVPQIPTSPVGESDSKSSKKWVFIGVGVGIGSLLLLGLAGFLFCFFRRQRQAHKARPIAAASPPPMPPFNLKPFSDSTNYTPNSWSVSISSQGVRHAIESLTRYKFEDLKAATGNFGESNRIKGSVFRGSFQGDDAAVKVMKGDVSSEINLLKKINHTNIIRLSGFCVHEGNTYLVYEYADKGSVSDLLHSNKFQSSFTLSWKQRVQIAYDVADALNYLHNYINPPYIHKNLKSSNILLDVNFRAKVTNFGLARTIEDNDEGGLQLTRHVVGTKGYMAPEYIENGVITPKLDVFALGVIILELLSGEDAANAEKNGGEELLSASIRVVLEGDNVREKLKNFIDPSLGPEYPLDLAFSMAQLAKICVAHDLNARPSMADVLVTLSKILSSSLDWDPSSEFERSTSLTSAR >KJB43601 pep chromosome:Graimondii2_0_v6:7:22019664:22022498:-1 gene:B456_007G209300 transcript:KJB43601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSLWKPISHCAALILDKKSRRRYGSESAAEIKKNPSVLRKLHENKLREALEEASEDGSLFKSQDMEPDSLADQDESLGRSRSLARLHAQREFLRATALAAERTFESEDSIPGALEAFNKFLIMYPKYQSSEKIDQLRSDEYAHLSPKVCLDYCGFGLFSYVQTLHYWESSTFSLSEITANLSNHALYGGAEKGTVEYDIKSRIMDYLNIPEHEYGLVFTVSRGSAFKLLADSYPFHTNKKLLTMFDYESQSVNWMAQSAKEKGAKVHSAWFKWPTLKLCSTDLRKRISNKKRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMGSLQNQFGSTGSGMVKITPEYPLYLSDSIDVLDGLGGIEDNEVGENGDKPSESRSGTQLPAFSGVFTSSQVQDVFETEMDQDNSSERDGASTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPLGSDSAAQLTKQKIASPFPPFWFSGKKNHKRPSPKPTAKIYSSPLYDDKDVNLGHDDHHVLSFDAAVLSVSQELDCVREVPEEEQFSGANITSQNHVKASGRLHVVEIKEEQDTSKPLSVGTVSGSAMNGTCCNNSSVLQNNGPANGSTSEISSEIKESAIRRETEGEFRLLGRREGNRYNGGRFFGLEDEHPSRGRRVSFSMEDSHKEHLSHSLAPGEVPVTSLDDEDYSSDGEYGDGQDWDRREPEIICRHLDHVNMLGLNKTTLRLRFLINWLVTSLLQLKLPNSDGDSRVNLVHIYGPKIKYERGAAVAFNVRDRNRGLINPEIVQKLAEREGISVGIGFLSHIRILDGPRQQRGALNFEDATLCRPTENGQQDRKSGFIRVEVVTASLSFLTNFEDVYKLWAFVAKFLNPSFIREGTLPTVAEEESET >KJB42887 pep chromosome:Graimondii2_0_v6:7:15833278:15834443:-1 gene:B456_007G1725001 transcript:KJB42887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METELQAAEPVK >KJB45517 pep chromosome:Graimondii2_0_v6:7:52334743:52340317:1 gene:B456_007G309800 transcript:KJB45517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DKRQKPVRARWRAAKPFIWEIVWPYLPHSTPPHIYSISTSLHCFSFQNISSSLKAYLLLLLLLLLNFEGEMASIHQTPSLEVSSSAANTRKITPPTPSTSRFSLPFTFKSQFNALVVGGRPLLGGFNHSSVPRAVATPNSVLSEQAFEGLSLHQEDDDVYDSEGEVESGLESESTHDDELDLSKLGLPQRLVDSLLKRGITHLFPIQRAVLVPALQGRDIIARAKTGTGKTLAFGIPIIKQITEDEGQRTRRMSGRLPRVLVLAPTRELAKQVEKEIKESASYLNTVCVYGGVSYNLQRNALSRGVDVVVGTPGRIIDLIESKDLRLGEVEYLVLDEADQMLAVGFEEAVEEILENLPSKRQSMLFSATMPSWVKKLARKYLDNPLNIDLVGDRDEKLAEGIKLYAISATATSKRTILSDLITVYAKGGKTIVFTQTKRDADEVSMALTSSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLVIHYELPNDAETFVHRSGRTGRAGKEGSAILMYTNSQRRTVKSLERDVGCRFEFISPPSMEEVLASSAEQIIATLNGVHPDSIKFFTPTAQRIIEEQGTSALAAAIAHLSGFSSPPSSRSLISHEQGYVTLQLTRDSTYSRRVLTARSVTGFLSDVYPAAADEVGKIHLIADERVQGAVFDLPEEIARELLNKETPPGNTITKITKLPPLQDDGPSSDFYGKFSSRDRFSSRDRFPRGGSKERRGGSRTPRGWGNGRDSDNEFQGGRSSWSRASKSSRDDWLIGSGSRSTRSPARDRNFGGSCFNCGRSGHRASDCPEKVDF >KJB45516 pep chromosome:Graimondii2_0_v6:7:52334743:52340229:1 gene:B456_007G309800 transcript:KJB45516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DKRQKPVRARWRAAKPFIWEIVWPYLPHSTPPHIYSISTSLHCFSFQNISSSLKAYLLLLLLLLLNFEGEMASIHQTPSLEVSSSAANTRKITPPTPSTSRFSLPFTFKSQFNALVVGGRPLLGGFNHSSVPRAVATPNSVLSEQAFEGLSLHQEDDDVYDSEGEVESGLESESTHDDELDLSKLGLPQRLVDSLLKRGITHLFPIQRAVLVPALQGRDIIARAKTGTGKTLAFGIPIIKQITEDEGQRTRRMSGRLPRVLVLAPTRELAKQVEKEIKESASYLNTVCVYGGVSYNLQRNALSRGVDVVVGTPGRIIDLIESKDLRLGEVEYLVLDEADQMLAVGFEEAVEEILENLPSKRQSMLFSATMPSWVKKLARKYLDNPLNIDLVGDRDEKLAEGIKLYAISATATSKRTILSDLITVYAKGGKTIVFTQTKRDADEVSMALTSSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLVIHYELPNDAETFVHRSGRTGRAGKEGSAILMYTNSQRRTVKSLERDVGCRFEFISPPSMEEVLASSAEQIIATLNGVHPDSIKFFTPTAQRIIEEQGTSALAAAIAHLSGFSSPPSSRSLISHEQGYVTLQLTRDSTYSRRVLTARSVTGFLSDVYPAAADEVGKIHLIADERVQGAVFDLPEEIARELLNKETPPGNTITKITKLPPLQDDGPSSDFYGKFSTVGMTG >KJB42603 pep chromosome:Graimondii2_0_v6:7:13965325:13971702:1 gene:B456_007G159700 transcript:KJB42603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYVGILVSDPWLQNQFTQVELRSLKTHFTSMRRESGKLTVEDLASRMSRLKVVGENLSEQERADFIADLYPNLKDEVDFEFFLKVYLKLHAHASARTGSPAKNSSAFLKAATTTLLHTISESEKASYVAHINNYLAQDGFLNKYLPINPSSNDLFEIVKDGVLLCKLINVAVPGTIDERAINTKRLLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSKDVEELMSLPPEKILLRWMNFQLKKSPYKKIVSNFSTDVKDAEAYAHLLNVLAPEHSNPSTLTVKDPFQRAKLVLEHADRMGCKRYLTAKDIVDGSPNLNLAFVAHIFQHRNGLSTQTKQISFLETLPDDAQVSREERVFRFWINSLGNSTYIDNVFEDLRNGWILLETLDKVSPGIVNWKIANRPPIKLPFKKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRYNILQLLKNLRFHSHGKEITDVDILRWANTKVSDSGSQSRMDSFKDKSLSDGIFFLELLGAVQPRSVNWSLVTKGVTDEQKKMNATYIISIARKLGCSIFLLPEDITEVSFLVDANFFLFFHSMAQKLDIVIYPPEK >KJB42600 pep chromosome:Graimondii2_0_v6:7:13965218:13972128:1 gene:B456_007G159700 transcript:KJB42600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYVGILVSDPWLQNQFTQVELRSLKTHFTSMRRESGKLTVEDLASRMSRLKVVGENLSEQERADFIADLYPNLKDEVDFEFFLKVYLKLHAHASARTGSPAKNSSAFLKAATTTLLHTISESEKASYVAHINNYLAQDGFLNKYLPINPSSNDLFEIVKDGVLLCKLINVAVPGTIDERAINTKRLLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSKDVEELMSLPPEKILLRWMNFQLKKSPYKKIVSNFSTDVKDAEAYAHLLNVLAPEHSNPSTLTVKDPFQRAKLVLEHADRMGCKRYLTAKDIVDGSPNLNLAFVAHIFQHRNGLSTQTKQISFLETLPDDAQVSREERVFRFWINSLGNSTYIDNVFEDLRNGWILLETLDKVSPGIVNWKIANRPPIKLPFKKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRYNILQLLKNLRFHSHGKEITDVDILRWANTKVSDSGSQSRMDSFKDKSLSDGIFFLELLGAVQPRSVNWSLVTKGVTDEQKKMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKQPVEEKPSATSDSENGSQVETISNSTTDDSASESSVE >KJB42604 pep chromosome:Graimondii2_0_v6:7:13965325:13972078:1 gene:B456_007G159700 transcript:KJB42604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYVGILVSDPWLQNQFTQVELRSLKTHFTSMRRESGKLTVEDLASRMSRLKVVGENLSEQERADFIADLYPNLKDEVDFEFFLKVYLKLHAHASARTGSPAKNSSAFLKAATTTLLHTISESEKASYVAHINNYLAQDGFLNKYLPINPSSNDLFEIVKDGVLLCKLINVAVPGTIDERAINTKRLLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSKDVEELMSLPPEKILLRWMNFQLKKSPYKKIVSNFSTDVKDAEAYAHLLNVLAPEHSNPSTLTVKDPFQRAKLVLEHADRMGCKRYLTAKDIVDGSPNLNLAFVAHIFQHRNGLSTQTKQISFLETLPDDAQVSREERVFRFWINSLGNSTYIDNVFEDLRNGWILLETLDKVSPGIVNWKIANRPPIKLPFKKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRYNILQLLKNLRFHSHGKEITDVDILRWANTKVSDSGSQSRMDSFKDKSLSDGIFFLELLGAVQPRSVNWSLVTKGVTDEQKKMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKQPVEEKPSATSDSENGSQVETISNSTTDDSASESSVE >KJB42602 pep chromosome:Graimondii2_0_v6:7:13965325:13971693:1 gene:B456_007G159700 transcript:KJB42602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYVGILVSDPWLQNQFTQVELRSLKTHFTSMRRESGKLTVEDLASRMSRLKVVGENLSEQERADFIADLYPNLKDEVDFEFFLKVYLKLHAHASARTGSPAKNSSAFLKAATTTLLHTISESEKASYVAHINNYLAQDGFLNKYLPINPSSNDLFEIVKDGVLLCKLINVAVPGTIDERAINTKRLLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSKDVEELMSLPPEKILLRWMNFQLKKSPYKKIVSNFSTDVKDAEAYAHLLNVLAPEHSNPSTLTVKDPFQRAKLVLEHADRMGCKRYLTAKDIVDGSPNLNLAFVAHIFQHRNGLSTQTKQISFLETLPDDAQVSREERVFRFWINSLGNSTYIDNVFEDLRNGWILLETLDKVSPGIVNWKIANRPPIKLPFKKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRYNILQLLKNLRFHSHGKEITDVDILRWANTKVSDSGSQSRMDSFKMSRKR >KJB42601 pep chromosome:Graimondii2_0_v6:7:13965325:13970332:1 gene:B456_007G159700 transcript:KJB42601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYVGILVSDPWLQNQFTQVELRSLKTHFTSMRRESGKLTVEDLASRMSRLKVVGENLSEQERADFIADLYPNLKDEVDFEFFLKVYLKLHAHASARTGSPAKNSSAFLKAATTTLLHTISESEKASYVAHINNYLAQDGFLNKYLPINPSSNDLFEIVKDGVLLCKLINVAVPGTIDERAINTKRLLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSKDVEELMSLPPEKILLRWMNFQLKKSPYKKIVSNFSTDVKDAEAYAHLLNVLAPEHSNPSTLTVKDPFQRAKLVLEHADRMGCKRYLTAKDIVDGSPNLNLAFVAHIFQHRNGLSTQTKQISFLETLPDDAQVSREERVFRFWINSLGNSTYIDNVFEDLRNGWILLETLDKVSPGIVNWKIANRPPIKLPFKKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRYNILQLLKNLRFHSHGKEITDVDILRWANTKVSDSGSQSRMDSFKVFSL >KJB40581 pep chromosome:Graimondii2_0_v6:7:4937242:4943652:-1 gene:B456_007G069900 transcript:KJB40581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKQAIKNLDAFPRAEEHLLQKTQSGALVSIVGLVIMTTLFFHELTYYLSTYTVHQMSVDLKRGETLPIHINMTFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSHGHIIGTEYLSDLVEKEHAAHKHDDENEHHDDADKKLHALGFNEEAENMIKKVKKALDNGEGCRVYGVLDVQRVAGNFHISVHGLNIYVAQMIFGGATHVNVSHMIHDLSFGPKYPGLHNPLDGTVRILHETSGTFKYYIKIVPTEYRYIWKEVLPTNQFSASEYFSPMKEYDRSWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFALTGMLDRWMYRLIEEVTKASGTRAYR >KJB40583 pep chromosome:Graimondii2_0_v6:7:4937298:4943269:-1 gene:B456_007G069900 transcript:KJB40583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKQAIKNLDAFPRAEEHLLQKTQSGALVSIVGLVIMTTLFFHELTYYLSTYTVHQMSVDLKRGETLPIHINMTFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSHGHIIGTEYLSDLVEKEHAAHKHDDENEHHDDADKKLHALGFNEEAENMIKKVKKALDNGEGCRVYGVLDVQRVAGNFHISVHGLNIYVAQMIFGGATHVNVSHMIHDLSFGPKYPGLHNPLDGTVRILHETSGTFKYYIKIVPTEYRYIWKEVLPTNQFSASEYFSPMKEYDRSWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFALTGMLDRWMYRLIEEVTKASGTRAYR >KJB40582 pep chromosome:Graimondii2_0_v6:7:4937298:4943553:-1 gene:B456_007G069900 transcript:KJB40582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKQAIKNLDAFPRAEEHLLQKTQSGALVSIVGLVIMTTLFFHELTYYLSTYTVHQMSVDLKRGETLPIHINMTFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSHGHIIGTEYLSDLVEKEHAAHKHDDENEHHDDADKKLHALGFNEEAENMIKKVKKALDNGEGCRVYGVLDVQRVAGNFHISVHGLNIYVAQMIFGGATHVNVSHMIHDLSFGPKYPGLHNPLDGTVRILHETSGTFKYYIKIVPTEYRYIWKEVLPTNQFSASEYFSPMKEYDRSWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFALTGMLDRWMYRLIEEVTKASGTRAYR >KJB44276 pep chromosome:Graimondii2_0_v6:7:36176889:36177637:-1 gene:B456_007G243400 transcript:KJB44276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSSLVLVFLSLIWCFYMICVVHGKGETTNELLLGAVGDSVHSGEGNGGAECSKEKNKDSVDCKLQAKGGAAEETVLDEDYIYTNSLP >KJB41108 pep chromosome:Graimondii2_0_v6:7:6600070:6601461:-1 gene:B456_007G090800 transcript:KJB41108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRESSKDLFDRGEPFDAFRRFGSFGSHNGMMSSLFGGKDPFDDPVFSRPFSSIFEPSIFDQSTTSREAPKGNGGKGIVIEELNSDGEEDKEKDEGNTEHDGSGKEPFVEHLDDSDNDGKILNLNIRNEYDKVKGSKAQAPNFSFQTSRVTYGGVDGTYYTSTRSRKTGSDGVVIEERKEADTTTGQATHRISRGIHDKGHSVTRKLSSDGKVDTTQTLHNLNEGLFPGLLTVIIQEHCA >KJB41109 pep chromosome:Graimondii2_0_v6:7:6599292:6602302:-1 gene:B456_007G090800 transcript:KJB41109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRESSKDLFDRGEPFDAFRRFGSFGSHNGMMSSLFGGKDPFDDPVFSRPFSSIFEPSIFDQSTTSREAPKGNGGKGIVIEELNSDGEEDKEKDEGNTEHDGSGKEPFVEHLDDSDNDGKILNLNIRNEYDKVKGSKAQAPNFSFQTSRVTYGGVDGTYYTSTRSRKTGSDGVVIEERKEADTTTGQATHRISRGIHDKGHSVTRKLSSDGKVDTTQTLHNLNEEFEKAWEGNSQGHLTGWSDGFSAPAKAGSGTSEQMGVAVKDSWRLPYREQARNMGNQGANTEARTTSGGRTKKVVRINIE >KJB41107 pep chromosome:Graimondii2_0_v6:7:6599154:6602328:-1 gene:B456_007G090800 transcript:KJB41107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRESSKDLFDRGEPFDAFRRFGSFGSHNGMMSSLFGGKDPFDDPVFSRPFSSIFEPSIFDQSTTSREAPKGNGGKGIVIEELNSDGEEDKEKDEGNTEHDGSGKEPFVEHLDDSDNDGKILNLNIRNEYDKVKGSKAQAPNFSFQTSRVTYGGVDGTYYTSTRSRKTGSDGVVIEERKEADTTTGQATHRISRGIHDKGHSVTRKLSSDGKVDTTQTLHNLNEDELAEFEKAWEGNSQGHLTGWSDGFSAPAKAGSGTSEQMGVAVKDSWRLPYREQARNMGNQGANTEARTTSGGRTKKVVRINIE >KJB42487 pep chromosome:Graimondii2_0_v6:7:13238661:13239997:1 gene:B456_007G154900 transcript:KJB42487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNISPAADPTPGKKRQPLPWTHQETLNLIQAYQEKWYSLQRSKLKAWQWQEVAVTVAVRCGHLDDSPAKTALQCRHKMEKLRRRYRSERQGLASGAHWPYYDAMEALEHEPLTISARPLASLVPTRGLKFYSENGHEAGNNYYRDYYYDDDEENNQFSKSRSINNILRRPSAVNRFSGFLSGGRKRIRGEEEGNNDVAVVAMEEENKGMALAVEIRRFGEKLMWVERKRMQMMRETERLRMEMENTRIEMILDANKKFVDVISASFGSSKVDQKLGS >KJB46373 pep chromosome:Graimondii2_0_v6:7:59517017:59517274:-1 gene:B456_007G363600 transcript:KJB46373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKKVMLTRIPNDSARRACLKKRRLGLMKKMSELTILYGGNTYHVIYCPDESELILWSSHNEVQQKLDEYRKTHKLDQLKKMVN >KJB39348 pep chromosome:Graimondii2_0_v6:7:629464:630498:1 gene:B456_007G007800 transcript:KJB39348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSEHGSTSPKQPLTVGLVVSFKALWAKHAGRVSKKLKPKHNEFGSLSDSPRSLAVKSPGPKRLLTTLSNKAIKLVNRKKAGEKTGKEEEEIGDGGVWQRAILMGDKCQPLDYSGVIYYDSKGNQVEELPFRSPRASPMPAYLTSKKTCY >KJB42357 pep chromosome:Graimondii2_0_v6:7:12646664:12653558:-1 gene:B456_007G149600 transcript:KJB42357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPRIVQAFRAMKEIGIREDKVKPVLKRLLNLYEKNWELIESENYRVLADAIFDEEESKVSEPEKDKKCDEDEDTEGGGLVHDELVRRPLKRLRRLGETSCSHRTGSPNVAGTLLKKPKVEDEPSPASLQQKPLIGKRTEYLPASPGSVSPQPVSPASVSPHHGDRTASPGPVLSQSPSPAHVSPHHGGMNKGKQTVEPRPLAVLGRPQPNSHSSQMHFSSKGKELMSPNVASNGKGPQRVSLSLHVKDLGPEPCVIPKKRVVDTHALIIPKEEPFTDDMPQDEVPIAVIHPDPLSGKDLAIREFSTGKSNWQEPPESLHADEIVGGGASASMSKRHTSCELATVSNEIPSRLEIASSQLGEVKISVSYNNSALGGQKFQLPSIDELRELMAQRCLRSYKLIDPNFDVIKILNDMCECISELATNSSNQSREGDVMPALDVLKRSPGRDALDAESNRENGCMLARLLNGSFIVQPSSNGCVDDVGGKELVVLPQHELTLNELRWLHDASDITKGEEKVEISWVNEINKDFPPPFQYISENLVFQNARVSFNLYGIGDESCPTCFGDCLLAEQPCGCACRAGRKFAYSSAGVVEEDFLGECISMTRDPQQQFLLYCTECPLERSKKDEFPEPCKGHLKRKVIRECWSKCGCHKQCGNRVVQRGLNYKLQVFLTPDEKGWGLRTLEKLPKGAFVCEFVGEILTISELYARNREKHTCPILLDAYWGLKGGARDEEALCLDATCYGNVARFINHRCFDANLIEIPVEVETPDLRYYHLAFFTTREVHALEELTWDYGIDFDDLDHPVKAFRCRCGSKFCRNMKRSTRSKSAIITG >KJB42358 pep chromosome:Graimondii2_0_v6:7:12646664:12654128:-1 gene:B456_007G149600 transcript:KJB42358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPRIVQAFRAMKEIGIREDKVKPVLKRLLNLYEKNWELIESENYRVLADAIFDEEESKVSEPEKDKKCDEDEDTEGGGLVHDELVRRPLKRLRRLGETSCSHRTGSPNVAGTLLKKPKVEDEPSPASLQQKPLIGKRTEYLPASPGSVSPQPVSPASVSPHHGDRTASPGPVLSQSPSPAHVSPHHGGMNKGKQTVEPRPLAVLGRPQPNSHSSQMHFSSKGKELMSPNVASNGKGPQRVSLSLHVKDLGPEPCVIPKKRVVDTHALIIPKEEPFTDDMPQDEVPIAVIHPDPLSGKDLAIREFSTGKSNWQEPPESLHADEIVGGGASASMSKRHTSCELATVSNEIPSRLEIASSQLGEVKISVSYNNSALGGQKFQLPSIDELRELMAQRCLRSYKLIDPNFDVIKILNDMCECISELATNSSNQSREGDVMPALDVLKRSPGRDALDAESNRENGCMLARLLNGSFIVQPSSNGCVDDVGGKELVVLPQHELTLNELRWLHDASDITKGEEKVEISWVNEINKDFPPPFQYISENLVFQNARVSFNLYGIGDESCPTCFGDCLLAEQPCGCACRAGRKFAYSSAGVVEEDFLGECISMTRDPQQQFLLYCTECPLERSKKDEFPEPCKGHLKRKVIRECWSKCGCHKQCGNRVVQRGLNYKLQVFLTPDEKGWGLRTLEKLPKGAFVCEFVGEILTISELYARNREKHTCPILLDAYWGLKGGARDEEALCLDATCYGNVARFINHRCFDANLIEIPVEVETPDLRYYHLAFFTTREVHALEELTWDYGIDFDDLDHPVKAFRCRCGSKFCRNMKRSTRSKSAIITG >KJB44250 pep chromosome:Graimondii2_0_v6:7:35224300:35226456:1 gene:B456_007G242100 transcript:KJB44250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39710) UniProtKB/Swiss-Prot;Acc:Q9SCY3] MATSALAIATAKPLTHRFSNSKHTSSPSFSCSCSSSTPLPPPNEPKLSFNSKPVAKQCVFNVGVGLLAASLLACSPLEADATRIEYFATVGEPQCELNYAKSGLGYCDVIVGSGVEAPRGELVNIHYTARFADGIVFDSSYKRARPLTMRIGLGKVIKGLDQGILGGEGVPPMLVGGKRRLQIPPNLAYGPEPAGCFSGDCNIPANATLLYDINFVGIYSGNAK >KJB44249 pep chromosome:Graimondii2_0_v6:7:35224345:35226343:1 gene:B456_007G242100 transcript:KJB44249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39710) UniProtKB/Swiss-Prot;Acc:Q9SCY3] MATSALAIATAKPLTHRFSNSKHTSSPSFSCSCSSSTPLPPPNEPKLSFNSKPVAKQCVFNVGVGLLAASLLACSPLEADATRIEYFATVGEPQCELNYAKSGLGYCDVIVGSGVEAPRGELVNIHYTARFADGIVFDSSYKRARPLTMRIGLGKVIKGLDQGILGGEGVPPMLVGGKRRLQIPPNLAYGPEPAGCFSGDCNIPANATLLYDINFVGIYSGNAK >KJB45986 pep chromosome:Graimondii2_0_v6:7:56727854:56734832:-1 gene:B456_007G342000 transcript:KJB45986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMARTSTGLAYPDRFYAAASYAGLDGSLNSNNNDVSSRFSNEVALILYALYQQATIGPCDVPKPSSWNPAEQSKWKSWQQLGNMATTEAMRLFVKILEEEDPGWYSRASNFVLEPTIDVQMNTITAENGSLVQTQDKDVISEGLGSVVIYDTWISPPITGQNPKARYQHGAAVLQEKMYIYGGNHNGRYLGDLHVLDFRSWTWSKVGTKAVESPSPVNIAPCAGHSLIPWGNKLLSIAGHMKDPSETIQVKAFDLQTGTWSMLNTYGKPPVSRGGQSVNLVGTTLVIFGGQGANRTLLNDLYILDLETMTWDEIDVAGVAPSPRSDHAAAVHAERYLLVFGGSSHATFFNDLHVLDLQTMEWSKPAQLGELPTPRAGHAGVTIGENWFIAGGGDNKSGASKTIVLNMSSFVWSVVTSVEGTVPLASEGLSLVVGSLKGDDILVSFGGYNGRYNSEVNILNPSCKLNLQSNITEAPSAVHNVTNTTRDLRSEFEIGQGKIREIVMDNVDSKPTISECEKTNERIIATLKVEKQELESSLSEEKLQSLQLKQQLLEAETRNAELYKVLMS >KJB45987 pep chromosome:Graimondii2_0_v6:7:56727854:56734910:-1 gene:B456_007G342000 transcript:KJB45987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMARTSTGLAYPDRFYAAASYAGLDGSLNSNNNDVSSRFSNEVALILYALYQQATIGPCDVPKPSSWNPAEQSKWKSWQQLGNMATTEAMRLFVKILEEEDPGWYSRASNFVLEPTIDVQMNTITAENGSLVQTQDKDVISEGLGSVVIYDTWISPPITGQNPKARYQHGAAVLQEKMYIYGGNHNGRYLGDLHVLDFRSWTWSKVGTKAVESPSPVNIAPCAGHSLIPWGNKLLSIAGHMKDPSETIQVKAFDLQTGTWSMLNTYGKPPVSRGGQSVNLVGTTLVIFGGQGANRTLLNDLYILDLETMTWDEIDVAGVAPSPRSDHAAAVHAERYLLVFGGSSHATFFNDLHVLDLQTMEWSKPAQLGELPTPRAGHAGVTIGENWFIAGGGDNKSGASKTIVLNMSSFVWSVVTSVEGTVPLASEGLSLVVGSLKGDDILVSFGGYNGRYNSEVNILNPSCKLNLQSNITEAPSAVHNVTNTTRDLRSEFEIGQGKIREIVMDNVDSKPTISECEKTNERIIATLKVEKQELESSLSEEKLQSLQLKQQLLEAETRNAELYKELQSVRGQLGTEQSRCFKLEVEVAELRQKLQTMETLEKELELLQRQKAASEQAALNAKQRQGASGVWGWLAGNPPEYTAIDNHA >KJB39954 pep chromosome:Graimondii2_0_v6:7:2733248:2737904:-1 gene:B456_007G039300 transcript:KJB39954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNKVGDRIHNFLGQESLSRGQHQSQVIDSTWPGLNNNLWVGNQRQAGGPLVSSLKNLSVHQLESDRGHSGQSSSLQNKLNFTQSDLRPEIARSQLQNQAPIANAYAQGHESFQARHNETNLLGVEMAHRGLSLLDSPIGNCPNFHKKNSLRLESTESPINYDLIEGLQQFSGKHPGLVQPLSSQQSGMTDMQLLQQQAIMQELQKHQLPKPQLQLPEARQLSSANQVSSVVKQVSDSLSPALINGVPVHDASNYSWHPEHMTPNANFLRHGASPAVQVSSGMFSPEQGHMRLMGLVPQQVDQSFYGISTSGARGNPYQYSSFQMDKPLMQQVPASGYSFLDNQYAMFSDKVGLQDGTSVSRQGDQDNNVFGAAQGLNSIFHSENLQQMVIEPKNAVMQESPWRQEHRSPPETSLEKSAIQVSSAQSVATLDPTEEKILFGSDDSMWDILGKSTNLGSGLDGTDSLGGFPSVQSGSWSALMQSALAETSSNDTRVREKWSGSDMHCCEPLKGNLPASIVNDDSKQQSPWADNNLPDALFLKSNPLSMDNTDVPENNVSQNPASMQRDIKAFGHSVRSNNAEHQNHSLLHQVQAVRNIEVDPSNRSIKRFKGPPADSSLDSQQVSSPGAEQLSYGSNSLMRDGLVNNPSVPSGDSKLLSSLSNIGDNHETQLSANTLAFLQDNSQHFSNSNNSAANIRGEHSQISLQMVLSWFDQYGAIKNGKMFPIHDAQKTALNGTEKAFIGVRSSDSLHVHSSEQLNAAADANPLDKAQQSSKFMPVATEYISPHSQPPDVASQNLDTVRAMKRKIMTFEFLPWHREVTQGSQRPQNISVAEVEWAHAANRLNEKVENEPEMIEDWPPVPGSKRRLVLTTQLLQQLIRAPPRVVLSADASKNYETLAYFVARSVLGDACSTAYIPESDTAVPPHSGSILPEKLREQRNQSILKAAEEFIVRAKMLENGLQSLVKRASILDLRLECQDQEKVSVITRFAKFHSRGQAEGIGTSSFPNFVAKVNRFFGQRYVLAVPMPRNLPDRVQCLSL >KJB39953 pep chromosome:Graimondii2_0_v6:7:2734714:2737904:-1 gene:B456_007G039300 transcript:KJB39953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNKVGDRIHNFLGQESLSRGQHQSQVIDSTWPGLNNNLWVGNQRQAGGPLVSSLKNLSVHQLESDRGHSGQSSSLQNKLNFTQSDLRPEIARSQLQNQAPIANAYAQGHESFQARHNETNLLGVEMAHRGLSLLDSPIGNCPNFHKKNSLRLESTESPINYDLIEGLQQFSGKHPGLVQPLSSQQSGMTDMQLLQQQAIMQELQKHQLPKPQLQLPEARQLSSANQVSSVVKQVSDSLSPALINGVPVHDASNYSWHPEHMTPNANFLRHGASPAVQVSSGMFSPEQGHMRLMGLVPQQVDQSFYGISTSGARGNPYQYSSFQMDKPLMQQVPASGYSFLDNQYAMFSDKVGLQDGTSVSRQGDQDNNVFGAAQGLNSIFHSENLQQMVIEPKNAVMQESPWRQEHRSPPETSLEKSAIQVSSAQSVATLDPTEEKILFGSDDSMWDILGKSTNLGSGLDGTDSLGGFPSVQSGSWSALMQSALAETSSNDTRVREKWSGSDMHCCEPLKGNLPASIVNDDSKQQSPWADNNLPDALFLKSNPLSMDNTDVPENNVSQNPASMQRDIKAFGHSVRSNNAEHQNHSLLHQVQAVRNIEVDPSNRSIKRFKGPPADSSLDSQQVSSPGAEQLSYGSNSLMRDGLVNNPSVPSGDSKLLSSLSNIGDNHETQLSANTLAFLQDNSQHFSNSNNSAANIRGEHSQISLQMVLSWFDQYGAIKNGKMFPIHDAQKTALNGTEKAFIGVRSSDSLHVHSSEQLNAAADANPLDKAQQSSKFMPVATEYISPHSQPPDVASQNLDTVRAMKRKIMTFEFLPWHREVTQGSQRPQNISVAEVEWAHAANRLNEKVENEPEMIEDWPPVPGSKRRLVLTTQLLQQLIRAPPRVVLSADASKNYETLAYFVARSVLGDACSTAYIPESDTAVPPHSGSM >KJB39365 pep chromosome:Graimondii2_0_v6:7:705844:711418:-1 gene:B456_007G009000 transcript:KJB39365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGVLLKKIMRRQELLVMVIAFLLLFLLCSHASSLNDEGKALMSIKASFSNVANVLLDWDDVNNFDFCSWRGVFCDNSSLAVVSLNLSNLILGGEISPAIGDLRSLQSLDLMGNKLTGQVPDEIGNCGSLVYLDLSDNLLYGDIPFSISRLKRLEFLNLKNNQLTGPIPSTLTQIPNLKTLDLARNQLKGEIPRLIYWNEVLQYLGLRGNMLIGTLSPDICQLTGLWYFDVRGNNLSGSIPDSIGNCTSFEILDISYNRITGEIPYNIGFLQVATLSLQGNKLTGNIPEVIGLMQALAVLDLSENELVGHIPPILGNLSFTGKLYLHGNKLTGPIPPELGNMSKLSYLQLNDNQLVGSIPAELGKLEQLFELNLANNHLEGPIPHNISSCTALNKFNVHGNRLNGSIPPGFQNLESLTYLNLSLNNFKGWVPIELGHIINLDTLDLSGNNFSGPLPASIGELEHLLTLNLSDNKLDGQLPAEFGNLRSIQIIDLSFNSITGNIPVEFGQLQNIVSLILKNNKLQGEIPEQLTNCFSLTNLNVSYNNLSGVVPPTRNFSRFSSDSFLGNPMLCGDWLGSICGPSMPKSRVFSRAAVVCMTLGFITLVAMTVLAIYKSNQQKQVMKGPMKSVAHPPKLVVLHMDMAIHTFDDIMRFTDNLSDKYIIGYGASSTVYKCTLKNSRPIAIKRLYTHFPNNLREFETELETIGSIRHRNIVSLHGYSLSPYGNLLFYDYMENGSLWDLLHGLSKKVKLDWETRLKIAVGAAQGLAYLHHDCSPRIIHRDVKSSNILLDENFEAHLSDFGIAKCIPTTKTHTSTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDPEVSVTCMNLTHVRKTFQLALLCTKRHPSERPTMHEVARALVSLLPSAPAPKLCSAPTKPVDYARFIIDKGLQRSQKEQQQRQQVPQETNSSDAQWFARFREVISMNTL >KJB39364 pep chromosome:Graimondii2_0_v6:7:705535:711714:-1 gene:B456_007G009000 transcript:KJB39364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGVLLKKIMRRQELLVMVIAFLLLFLLCSHASSLNDEGKALMSIKASFSNVANVLLDWDDVNNFDFCSWRGVFCDNSSLAVVSLNLSNLILGGEISPAIGDLRSLQSLDLMGNKLTGQVPDEIGNCGSLVYLDLSDNLLYGDIPFSISRLKRLEFLNLKNNQLTGPIPSTLTQIPNLKTLDLARNQLKGEIPRLIYWNEVLQYLGLRGNMLIGTLSPDICQLTGLWYFDVRGNNLSGSIPDSIGNCTSFEILDISYNRITGEIPYNIGFLQVATLSLQGNKLTGNIPEVIGLMQALAVLDLSENELVGHIPPILGNLSFTGKLYLHGNKLTGPIPPELGNMSKLSYLQLNDNQLVGSIPAELGKLEQLFELNLANNHLEGPIPHNISSCTALNKFNVHGNRLNGSIPPGFQNLESLTYLNLSLNNFKGWVPIELGHIINLDTLDLSGNNFSGPLPASIGELEHLLTLNLSDNKLDGQLPAEFGNLRSIQIIDLSFNSITGNIPVEFGQLQNIVSLILKNNKLQGEIPEQLTNCFSLTNLNVSYNNLSGVVPPTRNFSRFSSDSFLGNPMLCGDWLGSICGPSMPKSRVFSRAAVVCMTLGFITLVAMTVLAIYKSNQQKQVMKGPMKSVAHPPKLVVLHMDMAIHTFDDIMRFTDNLSDKYIIGYGASSTVYKCTLKNSRPIAIKRLYTHFPNNLREFETELETIGSIRHRNIVSLHGYSLSPYGNLLFYDYMENGSLWDLLHGLSKKVKLDWETRLKIAVGAAQGLAYLHHDCSPRIIHRDVKSSNILLDENFEAHLSDFGIAKCIPTTKTHTSTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDPEVSVTCMNLTHVRKTFQLALLCTKRHPSERPTMHEVARALVSLLPSAPAPKLCSAPTKPVDYARFIIDKGLQRSQKEQQQRQQVPQETNSSDAQWFARFREVISMNTL >KJB39933 pep chromosome:Graimondii2_0_v6:7:2718717:2722459:-1 gene:B456_007G039100 transcript:KJB39933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKRPFAEKDEFEVSFKQPRQVEHSDPQVLTSEPFFSEALISDGSGEGRFTNTNINSDEKHANAFDTEHPGHADDFEINVPGCIANSSQVTCSASEAVSWPEEPLHITSCGECFNPERPMRIISHLEDIYSILLQYPPRKPVLVGPNYQVDIPEWEDSLVARNICYDTDVSETAAGRYENELMGTCIIPMPALESSVSYDKVGRGRTDCNCEDKDSVRCVRQHILEAREELRESLGHERFIELGFCEMGEVVADKWSEEEEQLFHKVVFSNPVSMGKNFWKDLASVFPYRTKMDIVSYYFNVFMLWKRSVQNRCESVSIDSDNDEWQGTDDSGNNEVFSDEDVCQGDFPYHQNQESGLCVCDEDAADETCDNHSIYFDSAADNIKVSETYSGKLFSNQGPMAQLHENNLKDEQAKHKKLEIQDDSCTSSDTGAAS >KJB39932 pep chromosome:Graimondii2_0_v6:7:2718717:2722738:-1 gene:B456_007G039100 transcript:KJB39932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKRPFAEKDEFEVSFKQPRQVEHSDPQVLTSEPFFSEALISDGSGEGRFTNTNINSDEKHANAFDTEHPGHADDFEINVPGCIANSSQVTCSASEAVSWPEEPLHITSCGECFNPERPMRIISHLEDIYSILLQYPPRKPVLVGPNYQVDIPEWEDSLVARNICYDTDVSETAAGRYENELMGTCIIPMPALESSVSYDKVGRGRTDCNCEDKDSVRCVRQHILEAREELRESLGHERFIELGFCEMGEVVADKWSEEEEQLFHKVVFSNPVSMGKNFWKDLASVFPYRTKMDIVSYYFNVFMLWKRSVQNRCESVSIDSDNDEWQGTDDSGNNEVFSDEDVCQGDFPYHQNQESGLCVCDEDAADETCDNHSIYFDSAADNIKVSETYSGKLFSNQGPMAQLHENNLKDEQAKHKKLEIQDDSCTSSDTGAAS >KJB46136 pep chromosome:Graimondii2_0_v6:7:57996246:57997051:-1 gene:B456_007G349900 transcript:KJB46136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEFEEHAEKVKTLPAAPSNDDMLILYGLYKQATVGPVNTGRPGMFNMRERYKWDAWKAVEGKSKEEAMGDYITKVKQLFEAAGSS >KJB43299 pep chromosome:Graimondii2_0_v6:7:18860679:18862776:-1 gene:B456_007G192600 transcript:KJB43299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDVWNAPPGFRPSKSAPTSPAKPLGVLRTRSESFHAIHKVPVGDTPYVRAKNVQLVEKDPERAIPLFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQIALLKHKLYLIQQGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQSNYIEAEDAYRRALSIAADNNKMCNLGICLMKQGRIGEAKETLRRVKPAVADGPRGVDSHLKAYERAQQMLQDLESEMMNKGGGDRVEQSRLFDSFLGSSSIWQPQPCKDPISLPKSNAVKPQDDFADENINSNVMVSQVVIPQPKPIALPFGNSLNIDAPPFYSSKLMKEVKAPVMNQLHETLKRTRSGNSANAMRVNEMGGDCTKLLSAEPEKPEIKTRRRLSLSTEEKGDKLVDLLPDSQDFEEAIIAAAVLGPASEAVTQRMFPKKTDKRLKVFQDITLSLSPRA >KJB43953 pep chromosome:Graimondii2_0_v6:7:27129344:27131481:-1 gene:B456_007G225800 transcript:KJB43953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVYQPYIDPEFESLIERINAPRVCIDNDTCQHCTVVKVDSANRHGILLEMVQVLTDLDLVISKSYICSDGGWLMDVFHVRDQLGKKITDETLNLYIQQELCDTRRRGGVPKELQTCHKRKLRPCHISTEHTALEITGRDRPGLMSDISAALYELGCHITAAVAWTHNGRMACIIQVEDGLKGGPIMVQKRLALVQEKLESVVEGHHENGDKRSVLLTAPAADRTHTERRLHQMMYADKDYEPCQGCDGSCRHWNGCKKTHVTVETCKEKGYSLVNVRSRDRNKLLFDTVCALTDMQYVVFHAAVSLKGSMANQEYFIRRQDGCSLVSETESRKLAQCLVAAIERRVSHGVRLDICTENKMGLLWYLTRVFRENGLSITRTEIGTHGERASGCFYVTDASGNDANPRTVELVRQQIGGSVLVVNKSPNSSLSVSRSSGEMEERPRFSLGNLLWSKLERLTSSFGH >KJB43954 pep chromosome:Graimondii2_0_v6:7:27128164:27132378:-1 gene:B456_007G225800 transcript:KJB43954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVYQPYIDPEFESLIERINAPRVCIDNDTCQHCTVVKVDSANRHGILLEMVQVLTDLDLVISKSYICSDGGWLMDVFHVRDQLGKKITDETLNLYIQQELCDTRRRGGVPKELQTCHKRKLRPCHISTEHTALEITGRDRPGLMSDISAALYELGCHITAAVAWTHNGRMACIIQVEDGLKGGPIMVQKRLALVQEKLESVVEGHHENGDKRSVLLTAPAADRTHTERRLHQMMYADKDYEPCQGCDGSCRHWNGCKKTHVTVETCKEKGYSLVNVRSRDRNKLLFDTVCALTDMQYVVFHAAVSLKGSMANQEYFIRRQDGCSLVSETESRKLAQCLVAAIERRVSHGVRLDICTENKMGLLWYLTRVFRENGLSITRTEIGTHGERASGCFYVTDASGNDANPRTVELVRQQIGGSVLVVNKSPNSSLSVSRSSGEMEERPRFSLGNLLWSKLERLTSSFGH >KJB43298 pep chromosome:Graimondii2_0_v6:7:18858453:18860295:1 gene:B456_007G192500 transcript:KJB43298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWSNKNELYIPMRSSTTSTQGYFGLGPKTPTVTHTKGQGKEKLVQEAWTITLLDNHFKKKGSSIISLIFHHSYSEFPRSLPRAPWTTFLAKTVADLLVEQVHGGNRHNNSFNKRAWKSMCDDFYKKTSLKWDKEQLKNRYGVLRRQYVLVKSLPDRSEFSCNESTGVIIGTDEAWSDFTKGHPDAETIKASGCSIYKQLCTIFSEPMTNGKHDYSAELGGQVPSSLPSLESLSRIQEESSSSSEEVEDVADDPDAVQLSASGLISSRKRGRRGIDDAIAAAILEMAAASKLRTAAVKQRNARYSIPSCIKELDEIEGLEERVYFAAVELFNNPNAREIFLSLKGDKRLTWLHCKILYFRHGKRAIMCFLTVS >KJB45115 pep chromosome:Graimondii2_0_v6:7:49802766:49804654:-1 gene:B456_007G290600 transcript:KJB45115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIGTGAAANVSSEAAKGIFHHVKRHITYVIFYQKIVDKFEQKHRTLIAKRTSVQQDVDVAEMNGEKIKADVLDWRHRVEKVVTEKEKKLSRKAEEAAATFDELIKDCQFEGVGYHDVPGPIVHPDFEAFKSREEVFDDIMESLKDATTGMIGVYGMAGVGKTSLVKEVERQLHEVKLFDSVVRAIVSRIPDIKEIQDQIAYSLGLKLEENSPVVRARRLFERLRKDKNVLIILDDLWKKLDLEEVGIPFGSRHKGCKILLTSRDQNVLSNGMDATKTFAIGDLENEEAWEFFRKMAGDSFESDEELRSTAIKRPYLEKSSDDISAKVHSATELSINHLSSEDLKQIFLLCSLLRRVVRIEGLLRYALGLGLIKGANSMKAARDRLLKMLSTLKESCLLLDSKSSNKEYFDVHDLTYIVAKSIASKDNQVLALTEDEDIVTDWPNGESMKECNKIILPHSSIYKLPDQLNCPLLFLFLLSSKAMLSDNFFKEAKNLKVLDLTRMHFSSLPSSIGLLTSLSTLCLDCCNLGDNLTVIGALKNLNVLSLCWI >KJB39034 pep chromosome:Graimondii2_0_v6:7:59008766:59009005:-1 gene:B456_007G358800 transcript:KJB39034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKVSTAIIVAAASISAVMAADAPAPSPSAGGSIPSSSPGSAPASGPDSSVAAAALPVLGSLVGASIVSLFSYMLHV >KJB40873 pep chromosome:Graimondii2_0_v6:7:5811468:5813741:1 gene:B456_007G081200 transcript:KJB40873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSFASNCVAGTVGRKNVFLKQTHAALECSDDEGPSVISRDECLECPICCESFNIVENVPYVLWCGHTLCKNCILGLQSAVVKFPTLPIQLPLFVSCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGDRLKSHSSFCEDHQPEWSSNNNSASGNQVAQSNHSRGQYPPHPESSRSNYNHTHLINYSNSERIYSSLRQSLAFFIHLTAKFPLVIIFLLIILYAIPASAAILALYILITILFALPSFLILYFAYPSLDWLVKEIIT >KJB40875 pep chromosome:Graimondii2_0_v6:7:5811514:5813741:1 gene:B456_007G081200 transcript:KJB40875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSFASNCVAGTVGRKNVFLKQTHAALECSDDEGPSVISRDECLECPICCESFNIVENVPYVLWCGHTLCKNCILGLQSAVVKFPTLPIQLPLFVSCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGDRLKSHSSFCEDHQPEWSSNNNSASGNQVAQSNHSRGQYPPHPESSRSNYNHTHLINYSNSERIYSSLRQSLAFFIHLTAKFPLVIIFLLIILYAIPASAAILALYILITILFALPSFLILYFAYPSLDWLVKEIIT >KJB40874 pep chromosome:Graimondii2_0_v6:7:5811294:5813862:1 gene:B456_007G081200 transcript:KJB40874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSFASNCVAGTVGRKNVFLKQTHAALECSDDEGPSVISRDECLECPICCESFNIVENVPYVLWCGHTLCKNCILGLQSAVVKFPTLPIQLPLFVSCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGDRLKSHSSFCEDHQPEWSSNNNSASGNQVAQSNHSRGQYPPHPESSRSNYNHTHLINYSNSERIYSSLRQSLAFFIHLTAKFPLVIIFLLIILYAIPASAAILALYILITILFALPSFLILYFAYPSLDWLVKEIIT >KJB41687 pep chromosome:Graimondii2_0_v6:7:9147468:9149096:1 gene:B456_007G116800 transcript:KJB41687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSGIVCKTVSRLPAARVDFSGRFSRELNHGVGDSRFSDLGHVQYYVSPRGGGSGDATKKDKGKSCEIKQVKKKLKLLKRLSKDLSMLPQIADGEDIRIGLAAEVKATMIHEASGVLLAQLQKLKSEQKELKRKLKEERAKLKATFGKSESSSSSESSDSECGEVVDMKALRNNALKPSLESETPIGNALKRPEEIVIEAPTVAEEATLANSLMELENSDSSPQIRIRVPLTGLDGECCSSNGFKDGQSNTLVEGTSTKKIEVCMGGKCKKMGAAALLEEFERKVGAEGTVVACRCMGKCKTSPNVRVLNSQTETTTRINEDSVRLGINPTCTGVGLQDIDLIVANLLGKNIDDECLMLSS >KJB41686 pep chromosome:Graimondii2_0_v6:7:9147295:9149186:1 gene:B456_007G116800 transcript:KJB41686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSQSILIFSKLNGNRNHLIHAMETSGIVCKTVSRLPAARVDFSGRFSRELNHGVGDSRVSVRPRIKSCRRLSCQFSDLGHVQYYVSPRGGGSGDATKKDKGKSCEIKQVKKKLKLLKRLSKDLSMLPQIADGEDIRIGLAAEVKATMIHEASGVLLAQLQKLKSEQKELKRKLKEERAKLKATFGKSESSSSSESSDSECGEVVDMKALRNNALKPSLESETPIGNALKRPEEIVIEAPTVAEEATLANSLMELENSDSSPQIRIRVPLTGLDGECCSSNGFKDGQSNTLVEGTSTKKIEVCMGGKCKKMGAAALLEEFERKVGAEGTVVACRCMGKCKTSPNVRVLNSQTETTTRINEDSVRLGINPTCTGVGLQDIDLIVANLLGKNIDDECLMLSS >KJB43011 pep chromosome:Graimondii2_0_v6:7:16832159:16832572:1 gene:B456_007G179600 transcript:KJB43011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVEILTGNLFYVQVEDDATIDDLKKEIEGQENLARERMILIVDSKDENQLISKEDDGASLADFGVQDGSHIYLFFNPLNIESPYYHLFTLPESLLG >KJB43022 pep chromosome:Graimondii2_0_v6:7:16897161:16901712:1 gene:B456_007G180000 transcript:KJB43022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINGKGDSVDELKGLRITNLDDDDDENIAVDEDDNGEDEDDDDEEEQESVILGFIEKPEHSWSLLRQQFPSKAGGVPAWLDPDNLPTGMTCVCDACGEPLQFLLQVYAPLVEKDSTFHRTLFVFMCLSMKCLLRDQHEQWKRHPDKQSRSVKVFRCQLPRANSFYSSKPPEGNATDKPLTPGAPLCNWCGTWKGDKFCSSCKVARYCSQKHQVMHWRAGHKLECQQLSLSPQSSDSNACHGGVAQIKAPKVASKSLWPEYEMKNEHESEYDTEMSGDEEHTDNSLIPRNKVDDTMKSLMDTFEGDGDKKSWASFQERIGKAPEQVLRYCRSAGSKPLWPILGGRPSKADIPICGYCGGHLCFEFQILPQLLYYFGVKNDAESLDWATIAVYTCEASCEGVGYKQEFAWVQLGTAINCPS >KJB43023 pep chromosome:Graimondii2_0_v6:7:16897191:16901657:1 gene:B456_007G180000 transcript:KJB43023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINGKGDSVDELKGLRITNLDDDDDENIAVDEDDNGEDEDDDDEEEQESVILGFIEKPEHSWSLLRQQFPSKAGGVPAWLDPDNLPTGMTCVCDACGEPLQFLLQVYAPLVEKDSTFHRTLFVFMCLSMKCLLRDQHEQWKRHPDKQSRSVKVFRCQLPRANSFYSSKPPEGNATDKPLTPGAPLCNWCGTWKGDKFCSSCKVARYCSQKHQVMHWRAGHKLECQQLSLSPQSSDSNACHGGVAQIKAPKVASKSLWPEYEMKNEHESEYDTEMSGDEEHTDNSLIPRNKVDDTMKSLMDTFEGDGDKKSWASFQERIGKAPEQVLR >KJB39486 pep chromosome:Graimondii2_0_v6:7:1247926:1249183:1 gene:B456_007G016000 transcript:KJB39486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSLSYTSIFPCSHNNHFLQPGNSTSRIRCESPSANSNKSSRLEIGSPIIVVEAPKMIKTAASVPCLRANSGLVKPGDVGRIVSRKPKDVWAVRLAIGTYLLDGKYFKALEFDE >KJB39494 pep chromosome:Graimondii2_0_v6:7:1263341:1266491:1 gene:B456_007G016300 transcript:KJB39494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMELQHKVEEEEECPVKQVEITVPKTDDPTLPAVTFRMWVLGLSSCIVLSFVNQFFWYRKMPLTISSISAMIAVVPLGHLMAKTLPHRVFFENTRLEFSMNPGPFNMKEHVLITIFANSGAGSVYATHILSAVKLYYKRKLTFLPALLVMITTQVLGFGWAGIFRKYLVEPGEMWWPSNLVMVSLFRALHEKEERPKGGTTLNQFFLLVLICSFAYYVLPGYLFTTLTSFSWVCWLAPKSVLVQQLGSGLNGLGIGSFGIDWATISSYLGSPLASPWFATANIAVGFFLVMYVMTPLTYWFDVYKAKTFPIYSSELFKSTGESYDILSIVNPSFHLDKEVYGQNGRVHLSTFFAMTYGLGFATLTATLFHVLLFDGRELWQQTKSAFGGNKKIDIHTRLMKKYKSVPTWWFAMILVLNLALILFTCEYYNESLQLPWWGVLLACAIALFFTLPIGIIAATTNQAPGLNIITEYVIGYMYPEHPVANMCFKVYGYISMVQALTFVSDFKLGHYMKIPPRSMFTAQMVGTLVAVFVYTITAWWLMEEIPNLCDTSLLPHDSPWTCPMDRVFFDASVIWGLVGPRRIFGTEGEYGNVNWFFLGGALAPFLVWLAHKAFPDKEWIRLIHMPVLLGATSMMPPASAVNFTSWLLVGFLSGFVVFKYRPEWWKRYNYVLSGGLDAGTAFMTVLLFLTLQSKEIGVSWWGNNGEGCPLAVCPTAKGVIADGCPVT >KJB40434 pep chromosome:Graimondii2_0_v6:7:4426542:4428637:1 gene:B456_007G063100 transcript:KJB40434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPKAEIPIIENEIRITTQGRIRNYITYATTLLLEKGSNEIVLKAMGRAINKTVMIAELIKRRVADLHQITSIGSTDITDMWEPLEEGLLPLEITRHVSMITVTLSKKELDMSSTGYQPPLQADQVKPLNEYEDDGAPEPPPKARGRGRGGRGRIRAKGEYNGDGLGGKGRGRGRGRSFRGRGRGGAYGGGGYYGVYAESDATLTQVRGRGRERGRGGRGRGGGGRGRYSKTEPGPNQAKAA >KJB40337 pep chromosome:Graimondii2_0_v6:7:4183820:4187762:1 gene:B456_007G058900 transcript:KJB40337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEKVYEELGEVKVQNEKLREDFISKAELCEHLKKVQNEQVTKIREASSKIEKLAQELLEKEEEITTVKRSNEELKCILNEKESAVKHLNVVNEKLRAERDERNRKSEQENRRLVLALDEANEVNIDQEQKINVLKAEIEGLKMDLSVSKKKCLEAEKAAKNPRVLREREDLLIKVEEEKSKVEDQLKWKKEQFKHLEEAHEKLRDRFKASKKEWEQEKSALLDEISSLQTRLDSEIRVTRDLQNRLQVCNQALSREETRRKHLEVEVSEFKTHYENIFSECQDAKSQLDCLNSQRDNEVATLRHVLGTKESFYKEMEYRSGKLEQENQELLTSLKELREARIQEAGSSSSLSKLKNKLRSVEQMHKDCSTNLRAKEAEWNSQREEMTRKLNDYSSQLQSKDAALKVLEMELEGCLSSAVQLKLQNEEISVMLLVLKSGMSEARLKLANVEAELGLHEKERIEELSILKQQLEMKDTALADAQKDIEDECERTAILSRRVDTLDQLEDKHQLMEKELNRCKEMLEESSRCQLWLKEQALQVENDSKEKIREVYDALDALNSELAEERENVASLLRRVESLDLIEGQRLLLQKELEKCKEMLEEAAKSQIQFKEQALQMENESREKLREVCDALETTKSELTKEQERTASLTIRVEFLDQIEERWLQTQAELKRYKEMLEEACRRQCQLEEQSVHMKNELGEKLKEVSDALETANIELAEEREKTASLMKRIESSDQLEEQLALKQKELDRYNELLESSRCQLLLEEQISRTDSDSERELKEVRNALVKANSELSEKTREGHELKFELWIWESIAERLKAKLEESQALRKELEASLLAQMDVEESIKKEKEDLVRITEEKDGRIVNLQQQMVSLVQEHTARELEEAANSAEDSVHQQTREHEAELEAKHMEMEALVYELKGENNTKLSTDRENLLGFVTSLGDWISEFSGEDAKLMGILGRIVQSFDNCISDMKGNDELYDTLKENKKSLISSPAARKPDSLVEERSPFRELN >KJB42021 pep chromosome:Graimondii2_0_v6:7:10762098:10768885:1 gene:B456_007G132700 transcript:KJB42021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKPWQSPAPTYRTLETFWDTDEDAPGPRCGHTLTAVAATKTQGPRLILFGGATAIEGGASSSSPGIRLAGVTNSVHSYDVLTKKWTRIRPAGDPPSPRAAHAATVVGTMVVFQGGIGPAGHSTDDLYVLDLTNYKFKWHRVVVQGQGPGPRYGHVMDLVSQRYLITVSGNDGKRVLSDAWALDTGQKPYIWQKLNTEGDRPSARMYATANARSDGMFLLCGGRDSSGTPMADAYGLLMHRNGHWEWTLAPGAAPSPRYQHAAVFVGVQLHVTGGALRGGRAVEGEAAIAVLDTAAGEWLDRNGLAKGHVEYDASLELMRRCRHASASVGARIYVHGGLRGDTLLDDFLVAEYSSFQSDINSPVLTPERVLNFSSPRFNNESNFTSFETTSDDVPDFPSAGGMIMDEYSMERLNEASAAEAEAANAVWQAAQAASAVSSVASVSDENSHAAETASDASEIEADVRLHYRAVVVAKEAVGNLGGMIRQLSLDQFENESRRMIPSSDLSYPAKKFYRQKSPQGLHKKVIYTLLRPRNWKAPANRRFFLDSYEVGELCFAAEQIFMQEPSVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEHPENVHLIRGNHEASDINALFGFRIECIERMGENDGIWAWTRFNQLFNCIPLAALIEKKIICMHGGIGRSIHAVEQIEKLERPITMDAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVADFCKKNRLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPLPPPIHSPEASPERVGDDAWMQELNIQRPPTPTRGRPQPEVDRNSLAYI >KJB40855 pep chromosome:Graimondii2_0_v6:7:5774621:5779181:1 gene:B456_007G080500 transcript:KJB40855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSAPAERIKNLRRPRRRFRKHRGKVSCSITDGTKKRNSDARVTDIAVSEYLHMENGATTTRRRSEVSSSTFNFTQFQWHLSQIDTNACQEDLWFDSVSILESDSDDDFLSIHGDGFPSVSNAIGNISSGQLLQYESSTCFMDGKCKNEEYHEGYLKTDGGKMSKDEPKETDRLSHISSQGNELSRFGKADEMGNRKKKLLGHPYGSFKGLKEDRRNSEEKNLRPGLSRMIPSVSFNEKILTSGLAPQSQRRKSAVFRLSFKRRSYDAEDKLEDCASKRFLYRPKAGYIIPCSKDEKASQGCWSDIPPSTFKLRGETYFKDKRKCPARHFSPYTPIGVDLFICPTKINHIAQHIELPNVKANGKVPPLLIVNIQLPTYPAAMFLGDSDGEGMSLVLYFKVSENFDGLISPQYQESIKKLVDDEMEKVRGFAKDSTVPFRERLKILAGLVNPDDLNLSSTEKKLVNAYNEKPVLSRPQHNFYKGSNYFEIDLDIHRFSYISRKGLESFRDRLKNGILDLGLTIQAQKQEELPEQVLCCLRLNKIDFSDHGQIPTLRTASDA >KJB40854 pep chromosome:Graimondii2_0_v6:7:5772865:5779169:1 gene:B456_007G080500 transcript:KJB40854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSAPAERIKNLRRPRRRFRKHRGKVSCSITDGTKKRNSDARVTDIAVSEYLHMENGATTTRRRSEVSSSTFNFTQFQWHLSQIDTNACQEDLWFDSVSILESDSDDDFLSIHGDGFPSVSNAIGNISSGQLLQYESSTCFMDGKCKNEEYHEGYLKTDGGKMSKDEPKETDRLSHISSQGNELSRFGKADEMGNRKKKLLGHPYGSFKGLKEDRRNSEEKNLRPGLSRMIPSVSFNEKILTSGLAPQSQRRKSAVFRLSFKRRSYDAEDKLEDCASKRFLYRPKAGYIIPCSKDEKASQGCWSDIPPSTFKLRGETYFKDKRKCPARHFSPYTPIGVDLFICPTKINHIAQHIELPNVKANGKVPPLLIVNIQLPTYPAAMFLGDSDGEGMSLVLYFKVSENFDGLISPQYQESIKKLVDDEMEKVRGFAKDSTVPFRERLKILAGLVNPDDLNLSSTEKKLVNAYNEKPVLSRPQHNFYKGSNYFEIDLDIHRFSYISRKGLESFRDRLKNGILDLGLTIQAQKQEELPEQVLCCLRLNKIDFSDHGQIPTLRTASDA >KJB42689 pep chromosome:Graimondii2_0_v6:7:14590469:14594690:-1 gene:B456_007G165600 transcript:KJB42689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAAASSDSIKPRDVCVVGVARTPMGGFLGSLSSLSATKLGSIAIEAALKRANVNPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNVPKYLGEARKGSRLGHDTLVDGMLKDGLWDVYSDCGMGSCAELCAEKHVITREEQDNFAVQSFERGIAAQQGGAFAWEIAPVEVPGGRGKPSIIVDKDEGLGKFDAAKLRKLRPSFKDNGGTVTAGNASSISDGAAALILVSGEKALKLGLQVIAKIAGYADAAQAPEFFTTAPALAIPKAISNAGLDASQVDYYEINEAFAVVALANQKLLDLNPVNVNGGAVSLGHPLGCSGARILVTLLGVLKQKNGKYGVGGVCNGGGGASALVVELL >KJB42687 pep chromosome:Graimondii2_0_v6:7:14591247:14593982:-1 gene:B456_007G165600 transcript:KJB42687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAAASSDSIKPRDVCVVGVARTPMGGFLGSLSSLSATKLGSIAIEAALKRANVNPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNVPKYLGEARKGSRLGHDTLVDGMLKDGLWDVYSDCGMGSCAELCAEKHVITREEQDNFAVQSFERGIAAQQGGAFAWEIAPVEVPGGRGKPSIIVDKDEGLGKFDAAKLRKLRPSFKDNGGTVTAGNASSISDGAAALILVSGEKALKLGLQVIAKIAGYADAAQAPEFFTTAPALAIPKAISNAGLDASQVDYYEINEAFAVVALANQKLLDLNPVKG >KJB42690 pep chromosome:Graimondii2_0_v6:7:14590469:14594754:-1 gene:B456_007G165600 transcript:KJB42690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAAASSDSIKPRDVCVVGVARTPMGGFLGSLSSLSATKLGSIAIEAALKRANVNPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNVPKYLGEARKGSRLGHDTLVDGMLKDGLWDVYSDCGMGSCAELCAEKHVITREEQDNFAVQSFERGIAAQQGGAFAWEIAPVEVPGGRGKPSIIVDKDEGLGKFDAAKLRKLRPSFKDNGGTVTAGNASSISDGAAALILVSGEKALKLGLQVIAKIAGYADAAQAPEFFTTAPALAIPKAISNAGLDASQVDYYEINEAFAVVALANQKLLDLNPEKVNVNGGAVSLGHPLGCSGARILVTLLGVLKQKNGKYGVGGVCNGGGGASALVVELL >KJB42688 pep chromosome:Graimondii2_0_v6:7:14591247:14593982:-1 gene:B456_007G165600 transcript:KJB42688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAAASSDSIKPRDVCVVGVARTPMGGFLGSLSSLSATKLGSIAIEAALKRANVNPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNVPKYLGEARKGSRLGHDTLVDGMLKDGLWDVYSDCGMGSCAELCAEKHVITREEQDNFAVQSFERGIAAQQGGAFAWEIAPVEVPGGRGKPSIIVDKDEGLGKFDAAKLRKLRPSFKDNGGTVTAGNASSISDGAAALILVSGEKALKLGLQVIAKIAGYADAAQAPEFFTTAPALAIPKAISNAGLDASQVDYYEINEAFAVVALANQKLLDLNPVREG >KJB45862 pep chromosome:Graimondii2_0_v6:7:55699386:55704436:1 gene:B456_007G333900 transcript:KJB45862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAVTSAVTTIGNLLTEEAIYLWGVEEQVDRLQTELKWMQRYLMDAETKQSMDEMIRLWVAEIRELAYDAEDVVEEFALKIGSKNKGGPASCIKRSACCLKEGWALHKTRSKIEKIKERINDLVRKLQAYGVKDRGEESSSSTERRESRRPYPHIMDDNIVGLVDDTEGLVKVLTEESGCKVVTIWGMGGLGKTTLAKKIYHHRQVIDYFDHLAFVYVSQPCQKRNVWEDILSGFKTLDKVDRKIRDEALAEKLYNILGVKKCLVILDDIWTTEAWDSLKPAFPVATGRHSNSKILLTSRNRGIVSDADIRELKCLNDEEIWELFQKIVFPQTGNKIGEELKELGENMVKHCAGLPLAIVVLGGILATKNNSLNEWRKISDNVKSYLKRGKNQGPEDVLALSYDDLPPYLRPCFLYLSHFPEDYMIDVDRLIQLWVAEGIVSSKQEKRDGGEIAEDVAESYLMELVERCLIQVQERDVATLKVKTIQMHDLMRDLCLSKAKQENFVFIVDRSNASSLSMIRKVGRVSVHEFFFIKCIKSPNIRSLLFFNEFFPDEAVEKSWPLEVLNYVEEHEDYDCNPLYWILFISGISTMALKIRGIWRYMFNNFKLLRALNYERTGGDPSVGFKLPSNIGNLVHLRFLSLRNLNFHWSKLPSSLGNLRCLQTLDLRVDNVKIHVPNVIWRMEQLRHLYLPLRCKSNTKLKLGTLRKLLTLVNFNTKNCYLKDLINMKNLRELGINFPFNIENFNEKDLGENPPIIGSKYLHSLFIVSIGESGTEIDPRHLAHLLSNCTSICNLSIAVRISELPEYHYFSSHLAYIRLSWFKFRKDPMPTLEKLPNLRILEFESSFKGKEMFCSGFS >KJB39724 pep chromosome:Graimondii2_0_v6:7:1893031:1901355:-1 gene:B456_007G027600 transcript:KJB39724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRSASMTLLLALIILFFSLVSATFPSSSSSGSNLAPSPSAQGSCPIDILKLDVCANLLGVVNVTVGSPPVMPCCSLLNGLVDLEAAACLCTAIRANILGINLNIPISLSLLLNVCSRNVPTGFQC >KJB40944 pep chromosome:Graimondii2_0_v6:7:6024128:6025902:1 gene:B456_007G084300 transcript:KJB40944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQYVELCKGNCSGNSAVNCKPPTDDFTEVFAPNCGVELPTIGTITGHIVGCQSKYTEPSLAFANVLVKDKKSLTVLRNKSHSEVGVGLIGFHKGPFFWCVLFSNGGTNSSFVLEDRGEGIKQKKGCYSGSAFPCNAGHRSAMLFNYIITFSYLFISLLNQI >KJB40945 pep chromosome:Graimondii2_0_v6:7:6023194:6025866:1 gene:B456_007G084300 transcript:KJB40945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQYVELCKGNCSGNSAVNCKPPTDDFTEVFAPNCGVELPTIGTITGHIVGCQSKYTEPSLAFANVLVKDKKSLTVLRNKSHSEVGVGLIGFHKGPFFWCVLFSNGGTNSSFVLEDRGEGIKQKKGCYSGSAFPCNAGHRSAMLFNYIITFSYLFISLLNQI >KJB40943 pep chromosome:Graimondii2_0_v6:7:6023117:6025921:1 gene:B456_007G084300 transcript:KJB40943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKKLCFPDWIPLLLLCHLHLPTLVCSKHHGNPANDLVEIINQNRTAQKLERLNDNPGLGCMALQYVELCKGNCSGNSAVNCKPPTDDFTEVFAPNCGVELPTIGTITGHIVGCQSKYTEPSLAFANVLVKDKKSLTVLRNKSHSEVGVGLIGFHKGPFFWCVLFSNGGTNSSFVLEDRGEGIKQKKGCYSGSAFPCNAGHRSAMLFNYIITFSYLFISLLNQI >KJB40857 pep chromosome:Graimondii2_0_v6:7:5786724:5788503:-1 gene:B456_007G080700 transcript:KJB40857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSKAMMMAVAAVGLALVLMVPQADATRYIVGGGGIGWTTNVNYTVWARGKHFYNGDWLFFVYDRNQMNVLEVNKTDYESCNADHPLHNWTTGAGRDVVPLNVTRHYYFISGKGFCYGGMKLAVRVENPPPPPKAAPLNEKSGSSPSSIVYRGQLVLPAAFAIAALWDAFVRIW >KJB46632 pep chromosome:Graimondii2_0_v6:7:60917649:60920416:-1 gene:B456_007G379200 transcript:KJB46632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACCSTEVKYKGRRHEEDDLEGKEEEGQQEEVTTTGHAGAIVRFQGSSSYTSMYTRKGKKGINQDAMTVWENFMGEKNVFFCAVFDGHGPSGHQVARHVCHTLPYKLSTVFKENDVAVGADKDWEASIIRAFEESDEDLILEESLDSYCSGTTAVTVIKQDEHLIISNLGDSRAILCTRDNENQLIPIQLTVDLKPSIPSEAERILKCGGRVFAMDEESNVPRVWMPDHDCPGLAMARAFGDFCLKDHGVIAIPQVSYRKLTSKDEFVVLATDGVWDVLTNNEVIRIVASVKKQSMAAKILVYYAVQAWRTRYPGSKVDDCAVVCLFLKKRPLLTRSLSDMSKNVASQLDGADSNLISKDRKTEEGETVINCDITVDPKALDELNRVKAFTKSSRLGSLNRRKTSKDFGGTEAN >KJB42429 pep chromosome:Graimondii2_0_v6:7:21809303:21809704:-1 gene:B456_007G207600 transcript:KJB42429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACASSTRDSKSGKAAGGGRSRNRGSSTAAKVVDIDGRVQELRQPVQARNIISQNPNCFLCSSESMAIGTCVPQVPDDEELQPGRVYFLLPLSHSHKPLSLPDLCALAIKASSGLGKYGVDLCSTNSKLIPR >KJB44248 pep chromosome:Graimondii2_0_v6:7:35183972:35191950:-1 gene:B456_007G242000 transcript:KJB44248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLQIKRIENDTNRQVTFSKRRNGLIKKAYELSILCDIEIALIMFSPSGRVSHFSGKKRIEDVLSRYINLPDQDRGCLVRNKEILLSTLKKLQAEHDFVLQLARVYEPEPLTLTSMPEFESCEKNLEQVLTRITQRKMYLDAQEGMPNSFGNDVVGWLPDNGQSQNPTQYCAGSESPCIAVRYTNYTIQFPYKYIIKGNQSSMNTVYDPMGHGTNMSEMGGCHVTTTSSNDGLPSWHHNYSSTELLSAFMSSPTSFPLIKDIGDPSISTKQEQVESGTNFPQMPCRDEDLLKILDEMTKMVNE >KJB40205 pep chromosome:Graimondii2_0_v6:7:3584736:3588666:-1 gene:B456_007G050900 transcript:KJB40205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQFHVNRRGLAMVAWTVFMVLVMMTNVGLAERLLKDERNHQVSKENEKTRGLLLKVVSFLWQGGKSAYEPVWPEMEFGWKIVVGTIVGFLGAALGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAGSTVYYNLRLRHPTLEMPLIDYDLALLFQPMLMLGISIGVTLNIMFADWMVTVLLILLFIGTSTKALFKGVDTWKKETVMKKEAARLEAEVSKLADGAVQDYKMLPSGPSTMPDDDISLIHNIYWKELSLLVYVWIGFLIVQIIKEYLQTCSVMYWIVNSLQIPIAASVTVFEAICLYKGRRVIASKGKEITNWKIYQILFYCSCGIIAGMVGGLLGLGGGFILGPLFLELGIPPQVLLKLVSLIFAYAYIKGIFSMGIHRSLKDLPLAR >KJB40204 pep chromosome:Graimondii2_0_v6:7:3584611:3588846:-1 gene:B456_007G050900 transcript:KJB40204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQFHVNRRGLAMVAWTVFMVLVMMTNVGLAERLLKDERNHQVSKENEKTRGLLLKVVSFLWQGGKSAYEPVWPEMEFGWKIVVGTIVGFLGAALGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAGSTVYYNLRLRHPTLEMPLIDYDLALLFQPMLMLGISIGVTLNIMFADWMVTVLLILLFIGTSTKALFKGVDTWKKETVMKKEAARLEAEVSKLADGAVQDYKMLPSGPSTMPDDDISLIHNIYWKELSLLVYVWIGFLIVQIIKEYLQTCSVMYWIVNSLQIPIAASVTVFEAICLYKGRRVIASKGKEITNWKIYQILFYCSCGIIAGMVGGLLGLGGGFILGPLFLELGIPPQVASATSTFSMAFSSSMSVVQYYLLNRFPIPYAAYFVLVATIAAFTGQHVVRKIITVLGRASIIIFILALTIFISAISLGGVGIADMVEKLEDEEYMGFENLCKLS >KJB45048 pep chromosome:Graimondii2_0_v6:7:49375724:49379379:1 gene:B456_007G287100 transcript:KJB45048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLNKVEDLSKEFLAADSARKVVSLQAFSKFENTAEALEAATKLLESTPSKGLRKFLRAHCDGETLGVADSKLGNAIKEKLKIDCVHNNAVMELLRGVRTQLTELISGLGAQDLAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRIREWYGWHFPELTKIIQDNIQYAKAVKLMGDRANAAKLDFSEILPEEVETEVKEAAVISMGTEINDLDLINIKELCDQVLNLAEYRAQLYDYLKSRMNTVAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKLEARLRALEGKELGRSAGSAKGKPKIEVYDKDRKKGAGLITPAKTYNPAADSLIGQITNSTALEEQDTVPKKKKKAEAKPALAEEAVDVPAHEEKKEKKKKQKKADQEAVLPTNENEPEEEPAPKEKEKKKKKKRQAEDDGENVEVEEKKQKKRKHAEEEEPEVQTKKEKKKKKKKSED >KJB45047 pep chromosome:Graimondii2_0_v6:7:49375724:49379379:1 gene:B456_007G287100 transcript:KJB45047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLNKVEDLSKEFLAADSARKVVSLQAFSKFENTAEALEAATKLLESTPSKGLRKFLRAHCDGETLGVADSKLGNAIKEKLKIDCVHNNAVMELLRGVRTQLTELISGLGAQDLAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRIREWYGWHFPELTKIIQDNIQYAKAVKLMGDRANAAKLDFSEILPEEVETEVKEAAVISMGTEINDLDLINIKELCDQVLNLAEYRAQLYDYLKSRMNTVAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKLEARLRALEGKELGRSAGSAKGKPKIEVYDKDRKKGAGLITPAKTYNPAADSLIGQITNSTALEEQDTVPKKKKKAEAKPALAEEAVDVPAHEEKKEKKKKQKKADQEAVLPTNENEPEEEPAPKEKEKKKKKKRQAEDDGENVEVEEKKQKKRKHAEEEEPEVQTKKEKKKKKKKSED >KJB45049 pep chromosome:Graimondii2_0_v6:7:49375755:49379379:1 gene:B456_007G287100 transcript:KJB45049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLNKVEDLSKEFLAADSARKVVSLQAFSKFENTAEALEAATKLLESTPSKGLRKFLRAHCDGETLGVADSKLGNAIKEKLKIDCVHNNAVMELLRGVRTQLTELISGLGAQDLAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRIREWYGWHFPELTKIIQDNIQYAKAVKLMGDRANAAKLDFSEILPEEVETEVKEAAVISMGTEINDLDLINIKELCDQVLNLAEYRAQLYDYLKSRMNTVAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKVNYKLVFGLFFPSSLPINKCLTCYTF >KJB40297 pep chromosome:Graimondii2_0_v6:7:3953023:3958139:1 gene:B456_007G056000 transcript:KJB40297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALVNLAVGTVVFFLLFLLSQSNPSTSPPRLLPPPHHGARPAMVLPLFPSSKNSSRTFLHSHRHLLRSDSHSSHPNARMRLYDDLLLNGYYTTRLWIGTPPQQFALIVDTGSTVTYVPCATCEQCGRHQDPKFQPDLSSTYQPVKCNLDCNCDSDRVQCIYERQYAEMSSSSGVLGEDIISFGNQSELVPQRAVFGCENEETGDLYSQHADGIMGLGRGDLSVVDQLVEKGVISDSFSLCYGGMDIGGGAMVLGGISAPSDMVFSYADPVRSPYYSIGLKEIHVAGKQLSLNPSVFDGKYGTVLDSGTTYAYLPEPAFLAFKEAILKELNGLKQIRGPDPNYNDICFSTASSDVSELSKTFPTVEMVFGDQQKLLLSPENYLFRHSKVHGAYCLGIFQNEKDPTTLLGGIIVRNTLVTYDREHSKIGFWKTNCSELWERLHITGALSPTPSSSGKGNSTESPTTTASDGSPHYDFPGKIQIGKIILDMSLSTNHSYLKPQINKLTEFIAKELDVNASQVQQIPSLDTLFEYYRIYELLI >KJB40298 pep chromosome:Graimondii2_0_v6:7:3953023:3958315:1 gene:B456_007G056000 transcript:KJB40298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALVNLAVGTVVFFLLFLLSQSNPSTSPPRLLPPPHHGARPAMVLPLFPSSKNSSRTFLHSHRHLLRSDSHSSHPNARMRLYDDLLLNGYYTTRLWIGTPPQQFALIVDTGSTVTYVPCATCEQCGRHQDPKFQPDLSSTYQPVKCNLDCNCDSDRVQCIYERQYAEMSSSSGVLGEDIISFGNQSELVPQRAVFGCENEETGDLYSQHADGIMGLGRGDLSVVDQLVEKGVISDSFSLCYGGMDIGGGAMVLGGISAPSDMVFSYADPVRSPYYSIGLKEIHVAGKQLSLNPSVFDGKYGTVLDSGTTYAYLPEPAFLAFKEAILKELNGLKQIRGPDPNYNDICFSTASSDVSELSKTFPTVEMVFGDQQKLLLSPENYLFRHSKVHGAYCLGIFQNEKDPTTLLGGIIVRNTLVTYDREHSKIGFWKTNCSELWERLHITGALSPTPSSSGKGNSTESPTTTASDGSPHYDFPGKIQIGKIILDMSLSTNHSYLKPQINKLTEFIAKELDVNASQVHLLNFTSEGNSSLVRLAIVPSDSSTYIYKETARNIISRLAEHRVKLPDTFGNYQLVQWKVEPSTKQTWWGRNYMVVVVALIIIVVIGLSVYGVWGMWRRKQQTVNSYKPVGAAAPEQELQPL >KJB45576 pep chromosome:Graimondii2_0_v6:7:52705914:52709302:-1 gene:B456_007G313300 transcript:KJB45576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMMREASLQAMFSGMNPRDVNLKPKTKQRTRNRRCRSVVGRRSRPQTPLFKWNMEEDGGGVEEELEDKEASGEGRRRKGTSTVSARKLAAGLWRLQLPETAIPGGGERKRGQLGIKGGNDFTGVPVLHEDEIHGSTAKDLLRSPASVFGTENGLLHKIESSIPYLNSAMEGATKWDPVCLKPTNEVRQTYSRMKHIDQRVTAVSTVSALEAELEQARVRTDELETECRSSKKKLEHLLRKVSEERAEWRSREHEKIRVFVDDVKANLNREKKNRQRLEIVNSKLVNELAAAKLSAKQYMQNYEKERKSRELIEEVCDELCKEIGEDKAEVEALRRYSMKLRKVVDEERKMLQMVEVWREERVQMKLIDAKVALEKRYSQMNKLVADLENFLRSRTETRDANDMREAESLRQGAASVNVQEIKEFTYEPQNPDDIFAVFEEVASAEATETDIEPCVAYSTASYASEIQMASPRMSMIKKGSILRHSNANVEIEEDESGWETVSDVEYQSSSYSQQGSTASVNKNPWHSYFSGSGTEWEDNTCRDTPTTEFSEVCSLPARQFKKVSSMAKLWRSCPNNGEKYKTISVEGTNCRPSTGRKSNWSIMSLDKWSVKGGFSPSEMLGQWSSPDSTHPPVTKRCSEWSCSAQPTSLKEKLLEARTETQKVQLRHVLKEEI >KJB45575 pep chromosome:Graimondii2_0_v6:7:52705876:52709302:-1 gene:B456_007G313300 transcript:KJB45575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGATKWDPVCLKPTNEVRQTYSRMKHIDQRVTAVSTVSALEAELEQARVRTDELETECRSSKKKLEHLLRKVSEERAEWRSREHEKIRVFVDDVKANLNREKKNRQRLEIVNSKLVNELAAAKLSAKQYMQNYEKERKSRELIEEVCDELCKEIGEDKAEVEALRRYSMKLRKVVDEERKMLQMVEVWREERVQMKLIDAKVALEKRYSQMNKLVADLENFLRSRTETRDANDMREAESLRQGAASVNVQEIKEFTYEPQNPDDIFAVFEEVASAEATETDIEPCVAYSTASYASEIQMASPRMSMIKKGSILRHSNANVEIEEDESGWETVSDVEYQSSSYSQQGSTASVNKNPWHSYFSGSGTEWEDNTCRDTPTTEFSEVCSLPARQFKKVSSMAKLWRSCPNNGEKYKTISVEGTNCRPSTGRKSNWSIMSLDKWSVKGGFSPSEMLGQWSSPDSTHPPVTKRCSEWSCSAQPTSLKEKLLEARTETQKVQLRHVLKEEI >KJB45377 pep chromosome:Graimondii2_0_v6:7:51702977:51704805:1 gene:B456_007G303400 transcript:KJB45377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSHPGAKRVFLEEGQLVVGLNHCTLYLRNVECKVANVSLKTLYFGCHFLYINKHPVLRLFIAQLYSLFLSLSNPELITMVHVIVVLFILVTQVSAHRPCPKCGKIKVPYPLSTDENCGDPRYRIYCNNGALEFMSAPGFYYKILSISPKANKLIIKPPLIQENTCYSSDLDQGGLMLDENLPFNISTRNTVMLFNCSDNILLSPLNCSSSSFCREFEEVGEGCGCKGTLCCHFLKDSSMTSHRIRTRLGGCTAYTCVVDKQPGEPLESWNFGIELQWLPPF >KJB45029 pep chromosome:Graimondii2_0_v6:7:49075623:49077287:1 gene:B456_007G286100 transcript:KJB45029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFLLFSLSFSHVFSIVASQALPSYSGRNGEWQLLHESVGISAMHMQLLHNNKVIIFDRTDFGPSNLSLPNGICRVDSSDTMLQTDCTAHSILYDIVTNGFRPLMIQTDTWCSSGAVLPNGTLVQTGGYNDGERNIRSFTPCSDDEYCNWIEFPQSLIQRRWYASNQVLPDGRIIIVGGRRQFNYEFYPSSWNGVIFLDFLMETNDQGLENNLYPFLHLLPDGNLFIFANTRSILLDYNQNMVLKEFPKIPGDDPRNYPSSGSSILLPLDENDAIIEPEIMVCGGAPRDSFIQAMQGNFIRAISTCGRLKVSVPNPSWNMEDMPMARVMGDMILLPTGDVLIINGAELGTAGWELGRGPVTRPIIYRPSDDVSNWRFSVMSPSPRPRMYHSSAILLTDGRILVSGSNPHVYYNFTNVEYPTDLSMESFSPPYLSPEYDPIRPRILSADEKMGYGGKLFWLSFQVQAYLTANVLSVTIVAPSFATHSFSMNQRMVLLKIAGVTDIAPSTYNLVVAGPSTAEIAPPGYYMMFVVHAHVPSYGRWVKIG >KJB45301 pep chromosome:Graimondii2_0_v6:7:51137164:51142401:1 gene:B456_007G299500 transcript:KJB45301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHHSKHESWSDTESTSSSRVGYSGPLSGPILVNNANKKHSSKKSARFKDGDEYVEITLDIREDSVSVQNIKGGDPETAMLATKLEKRHSFGSQLSFKIRQVSQELKRMTSMKAAAPFNRADRNKSGAARALRGLKFMTKNVGTEGWSEIDSRFDELSVNGSLPKSLFGQCIGMNESKEFAEELFETLARRRGITSSAVNKAQLREFWEQITDQSFDARLQTFFDMVDKDADGRITEEEVKEIITLSASANKLSKIQECAEEYAALIMEELDKDNLGYIEIYNLETLLLQAPSQSTNLVTDSRILSRLLSEKLVPTKEKNPIKRWGRGLAYFSEDNWKRIWVLALWFSICAGLFTWKFIQYRHRAVFDVMGYCVTTAKGAAETTKFNMAIILLPVCRNTITWLRSRTKLGVVVPFDDNINFHKVVAWGIAVGVGLHAGAHLTCDFPRLLHATDDEYEPMEQFFGEERPNNYWWFVKGTEGWTGVTMVVLMAIAYTLAQPWFRRNRLNLPKSIKKLTGFNAFWYSHHLFIIVYALFIVHGYFLYLSKKWYKKTTWMYLAVPMLLYACERLIRAFRSGYKSVKILKVAVYPGNVLSLHMSKPQGFKYTSGQYIFVNCADVSPFQWHPFSITSAPGDDYLSIHIRTLGDWTSQLKALFSKVCQPPSVDQSGLLRADIGKGENKPRLPKLLIDGPYGAPAQDYKKYDVLLLVGLGIGATPLISIVKDVLNNIKQQNEIEEGVKNKRKPFATKRAYFYWVTREQGSFEWFRGVMNEVAEYDRDRMIELHNYCTSVYEEGDARSALITMLQSLQHAKSGVDIVSGTQVKTHFARPNWHKVFKHVAVNHTDKRVGVFYCGAPGLTGELRRLAQEFSRKTSTKFDFHKENF >KJB42346 pep chromosome:Graimondii2_0_v6:7:12622174:12626931:1 gene:B456_007G148900 transcript:KJB42346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFRSPCLVLFHVVLLSLLPLKITCSARTQAEALVRWKNTLSFSPPSLNSWSLSNLNNLCNWTSITCDAATGTVSQINLSNSNVSGSISQFNFTQFANLTRFDLKNNTMDGPIPSAIGTLSRLVFLDLSDNAFDGEIPVEIGRLRELQYLSLFNNSLNGTIPPEVSNLQNVRHLDLGFNYLVSSDWSGFLPMPLLTHLGLAYNVLELEFPQFILNCHNLTFLDLSLNKLIGPIPDSLYTNLSKLEFLNLTDNAFEGPLSSNISKLSKLIDLQLATNQLNGSIPESIGTMSDLETIELFENSFGGEIPSSLGQLIKLKKLDLHSNGLNSTVPSELGSCTNLTFLALAGNKLTGELPMSLSQLTKLTDLGLSENQVSGEIQSSLVSNWTKLISLQLQNNDFIGNIPPEIGLLTELQFLFLYNNNLSGSIPSEIGNLKSMVTLDLSGNQLSGPIPPTIWTLSNLENLLLFYNNLNGTIPPEVGNMTSLLSFDVNTNSLHGELPNTISSLTNLEAFSVFTNNLSGTIPRDFGKNSPQLYYVSFSNNSFHGELPPELCSGYALQNFTVNGNNFTGSLPACLRNCTDLRRVRFDGNRFTGNITNAFGVHPELDFISLSDNQFSGEISPEWGECQNLTNLQMDRNRLSGRIPAELGKLSRLRVLNLGANDLSGDIPLELGNLSLLFNLDLRQNYLTGNIPRLVGNLASLDSLDLSGNQLIGGIPMELENCEKLLSLNLSHNNLTGEIPSELGSLSSLQYLLDLSSNSLSGSIPQDLGRLRSLENLNVSHNDLSGRIPTSLSSMISLNSFDFSYNELTGQIPSDGVFQNASGNAFAGNSGLCGDVDGFTPCSSSSIDKKSNNRRVLIAIIVPICGILILAAIAAGVFVCRRRNRMLDEEIKVSKRTEFSESTIWEREGKFTFGDIERATEGFHDNYCIGKGGFGSVYKAELPSGQVVAVKKLNFADSADIQVVNFKSFENEIRMLTEVRHRNIIKLHGYCFRGSGIYLVYEYVKRGSLGSVLYGTQKGVELEWDTRVKVVQGLAHAVAYLHHDCAAPIIHRDISLNNILLEEDYEPRLSDFGTARLLSPNSSNWTAVAGSYGYMAPELALTMRVTSKCDVYSFGVVALEIMMGKHPGELLNSLSSVKLLSDNKELMVEDLLDQRLPLPSNQIEEEVISVFAIGLACTSSVPESRPTMRSVAQELSTRTQAYLDEPLGRITISKLLALDNRTR >KJB41693 pep chromosome:Graimondii2_0_v6:7:8943516:8945316:1 gene:B456_007G115200 transcript:KJB41693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVIDQMDTHQCIMGADLKKAINEHPTDLTIRSRALSFPLSITSVDRVEAPASKLEARSGWSNKHLFLNHNLPDGYRTVLHPPRCTLLTPTLFYHQIINTKRQSQHA >KJB41692 pep chromosome:Graimondii2_0_v6:7:8943516:8949090:1 gene:B456_007G115200 transcript:KJB41692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVIDQMDTHQCIMGADLKKAINEHPTDLTIRSRALSFPLSITSVDRVEAPASKLEARSGWSNKHLFLNHNLPDGYRTVLHPPRCTLLTPTLFYHQDR >KJB41691 pep chromosome:Graimondii2_0_v6:7:8943516:8949090:1 gene:B456_007G115200 transcript:KJB41691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVIDQMDTHQCIMGADLKKAINEHPTDLTIRSRALSFPLSITSVDRVEAPASKLEARSGWSNKHLFLNHNLPDGYRTVLHPPRCTLLTPTLFYHQIINTKRQSQHA >KJB45915 pep chromosome:Graimondii2_0_v6:7:56206623:56207841:-1 gene:B456_007G337500 transcript:KJB45915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPLPISLFPFLLLIPAFCFTICHANSDVLCIQSEREALLKFKNHIIDPSNRLSSWVEGGDCCKWIGVVCHNSTGHVHQLHLAAPLSPYERSKLRGKINPSLLDLKHLSSLDLSYNDFSSIHIPIFLELHSSECGLDNDPSPTSVNSTKSLVVLDLSGNSLSSVPKWIFSLHGLVSIDLSGNSLEGPIPDYFGNISFLEVLYLSFNFLNSSIPNSLYSLNRLQLLSLSDNQLQGTISSAIRNLSSFTHISF >KJB43871 pep chromosome:Graimondii2_0_v6:7:26173802:26179438:1 gene:B456_007G222200 transcript:KJB43871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSLNFIIISLDHHYHHQHHHHRHHRLSLSLLSSLTSALSLPSPYLLRGIVSKTSYFPFSQQQQQHKKGLCLKELKEAETLAQKIGKSNRRPGAPSKSRVYADVNVIRPKEYWDYELLTVQWGEQDDYEVVRKVGRGKYSEVFEGVHITDNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGTEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLAKIAKVLGTDELNAYLNKYRIELDPHLAALVGRHSRKPWTKFINAENQHLALPEAIDFLDKLLRYDHQERPTAKEAMAHPYFNPVRNAESSRTRP >KJB42592 pep chromosome:Graimondii2_0_v6:7:13926645:13928868:-1 gene:B456_007G159300 transcript:KJB42592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYYTRSHVPAFGSWDWNNDLPFTQCFESARQAGLLRYSYSEDRDLYVAGDLYDNDVVTPAMIVVPRRRKKGRKSNDKEGKRQNWEINDVKESPSPTPLHRPTPKPVDEDLYKISPHLLYAKPRKKRGLSFFASCMVPTCVL >KJB39686 pep chromosome:Graimondii2_0_v6:7:1775809:1777774:1 gene:B456_007G025500 transcript:KJB39686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGGGFEKKRPSPPYILWCKDQWKEIKKENPEADFKEVSNILGAKWKTIMAEEKKPYEEIYRAEKEVYLQAIAKEKRETEAMKLFEDEHKQKTAMELLEQYLQFKEEGEKETKKKTKKERDPSKPKQPMSAFFLFSNERRAALLADTGNKNVLEAAKIAGEEWKNMTEEQKKPYEEIAKKNKEKYMEEMEVYKQKKEEEALNVKKQEEEMMKLQKQEALQLLKKKEKTDHIIKKTKEKRQQKKQQNSDPNKPKKPASSFLLFSKEARKTLVQERQGINNSTLNALISVKWKELSEEERDVWNAKASEAMEVYKKQMEQYNKSAEEEQQH >KJB39687 pep chromosome:Graimondii2_0_v6:7:1775809:1777774:1 gene:B456_007G025500 transcript:KJB39687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTAVVVDVPRKSRNNGRKALKQKNPSSSSPSLSTNEANILAQKLSQQLSPTQAPSPMKSDPSKENHDGDSQPLKGKAAGKGKQSKKSFEKDLQEMQDMLQKLRIEKEKTEELLKEKDEMLKMKDEEIENKGKEQEKLQMELKKLQKMKEFKPNMNFAISMNKGGGFEKKRPSPPYILWCKDQWKEIKKENPEADFKEVSNILGAKWKTIMAEEKKPYEEIYRAEKEVYLQAIAKEKRETEAMKLFEDEHKQKTAMELLEQYLQFKEEGEKETKKKTKKERDPSKPKQPMSAFFLFSNERRAALLADTGNKNVLEAAKIAGEEWKNMTEEQKKPYEEIAKKNKEKYMEEMEVYKQKKEEEALNVKKQEEEMMKLQKQEALQLLKKKEKTDHIIKKTKEKRQQKKQQNSDPNKPKKPASSFLLFSKEARKTLVQERQGINNSTLNALISVKWKVCYHPKITIFAIF >KJB39685 pep chromosome:Graimondii2_0_v6:7:1775756:1778587:1 gene:B456_007G025500 transcript:KJB39685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTAVVVDVPRKSRNNGRKALKQKNPSSSSPSLSTNEANILAQKLSQQLSPTQAPSPMKSDPSKENHDGDSQPLKGKAAGKGKQSKKSFEKDLQEMQDMLQKLRIEKEKTEELLKEKDEMLKMKDEEIENKGKEQEKLQMELKKLQKMKEFKPNMNFAISMNKGGGFEKKRPSPPYILWCKDQWKEIKKENPEADFKEVSNILGAKWKTIMAEEKKPYEEIYRAEKEVYLQAIAKEKRETEAMKLFEDEHKQKTAMELLEQYLQFKEEGEKETKKKTKKERDPSKPKQPMSAFFLFSNERRAALLADTGNKNVLEAAKIAGEEWKNMTEEQKKPYEEIAKKNKEKYMEEMEVYKQKKEEEALNVKKQEEEMMKLQKQEALQLLKKKEKTDHIIKKTKEKRQQKKQQNSDPNKPKKPASSFLLFSKEARKTLVQERQGINNSTLNALISVKWKELSEEERDVWNAKASEAMEVYKKQMEQYNKSAEEEQQH >KJB40097 pep chromosome:Graimondii2_0_v6:7:3246054:3247704:-1 gene:B456_007G046700 transcript:KJB40097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSSKPSTPLPPFLFSLSIRKIRTRNQIKSFQEGYSKLMEKENEGNKISSFQGFLKLTKRVRKSKTNSFTTSIFSLPNELLITEVLARVAATSVCDFLNLILSCNAFHQTANDNYILGHVSLDKVPVIPWRSPKQAFFLEKCKETGHPEALYREGVVQYFSFAKEGEGLNCLNSAAKVGHVGAAYVLGVILLCIEEGGEGRRLLNYVKSKKGIGESRKKFKDVINRLWLNNFLEPKPNACPMQAQHRRKQRWPSDDDDDNDVSCEACGCHLQVIFVCNLLRGIVTY >KJB43434 pep chromosome:Graimondii2_0_v6:7:20320972:20325676:1 gene:B456_007G199800 transcript:KJB43434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHASAAVGWGHHHLQKRWLLALLLMLTVSTVIAFFMRAAFDSCDRNVSVDNLAFLLRSVGAEVNWVTFMKPSETDEVIYSLEHKMLDRGVQVFSAFGKEAIDTALRADLVVLNTAVAGKWLDYVLKEDVHRVLPKVLWWIHEMRGHYFKLEYVKHLPSVAGAMIDSHVTAEYWKNRTQERLKIKMPETYVVHLGNSNELMQVAEDSVAKRVLREHVRESLGVRNDDLLFALINSVSRGKGQDLFLRSFYEALQLIKQKKMQVPPLHAVIVGSDMSAHTKLEMELRDYVTQKKIQDRVHFVNKTLTVAPYLAAVDVLVQNSQGRGECFGRITIEAMAFQLPVLGTAAGGTTEIVVNGTTGLLHPAGKEGVTTLAKHIVKLATHVERRLTIGKRGYERVKERFLEHHMAERIGEVLKEVLRKSKIRSSKH >KJB43433 pep chromosome:Graimondii2_0_v6:7:20320863:20325676:1 gene:B456_007G199800 transcript:KJB43433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHASAAVGWGHHHLQKRWLLALLLMLTVSTVIAFFMRAAFDSCDRNVSVDNVISSARDSVQVADKRAPQIAAAKPSPLSFMKSKIVLLVSHELSLSGGPLLLMELAFLLRSVGAEVNWVTFMKPSETDEVIYSLEHKMLDRGVQVFSAFGKEAIDTALRADLVVLNTAVAGKWLDYVLKEDVHRVLPKVLWWIHEMRGHYFKLEYVKHLPSVAGAMIDSHVTAEYWKNRTQERLKIKMPETYVVHLGNSNELMQVAEDSVAKRVLREHVRESLGVRNDDLLFALINSVSRGKGQDLFLRSFYEALQLIKQKKMQVPPLHAVIVGSDMSAHTKLEMELRDYVTQKKIQDRVHFVNKTLTVAPYLAAVDVLVQNSQGRGECFGRITIEAMAFQLPVLGTAAGGTTEIVVNGTTGLLHPAGKEGVTTLAKHIVKLATHVERRLTIGKRGYERVKERFLEHHMAERIGEVLKEVLRKSKIRSSKH >KJB43435 pep chromosome:Graimondii2_0_v6:7:20320972:20325676:1 gene:B456_007G199800 transcript:KJB43435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRFLVFSAFGKEAIDTALRADLVVLNTAVAGKWLDYVLKEDVHRVLPKVLWWIHEMRGHYFKLEYVKHLPSVAGAMIDSHVTAEYWKNRTQERLKIKMPETYVVHLGNSNELMQVAEDSVAKRVLREHVRESLGVRNDDLLFALINSVSRGKGQDLFLRSFYEALQLIKQKKMQVPPLHAVIVGSDMSAHTKLEMELRDYVTQKKIQDRVHFVNKTLTVAPYLAAVDVLVQNSQGRGECFGRITIEAMAFQLPVLGTAAGGTTEIVVNGTTGLLHPAGKEGVTTLAKHIVKLATHVERRLTIGKRGYERVKERFLEHHMAERIGEVLKEVLRKSKIRSSKH >KJB43436 pep chromosome:Graimondii2_0_v6:7:20320972:20325676:1 gene:B456_007G199800 transcript:KJB43436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHASAAVGWGHHHLQKRWLLALLLMLTVSTVIAFFMRAAFDSCDRNVSVDNVISSARDSVQVADKRAPQIAAAKPSPLSFMKSKIVLLVSHELSLSGGPLLLMELAFLLRSVGAEVNWVTFMKPSETDEVIYSLEHKMLDRGVQVFSAFGKEAIDTALRADLVVLNTAVAGKWLDYVLKEDVHRVLPKVLWWIHEMRGHYFKLEYVKHLPSVAGAMIDSHVTAEYWKNRTQERLKIKMPETYVVHLGNSNELMQVAEDSVAKRVLREHVRESLGVRNDDLLFALINSVSRGKGQDLFLRSFYEALQLIKQKKMQVPPLHAVIVGSDMSAHTKLEMELRDYVTQKKIQDRVHFVNKTLTVAPYLAAVDVLVQNSQGRGECFGRITIEAMAFQLPVLTGNSCRGHNGNSSERHNRFIAPCWERRGDNSGETYCETSYACREEAYNRKERVRKGERKISRTSHGRENW >KJB42676 pep chromosome:Graimondii2_0_v6:7:14227814:14230215:-1 gene:B456_007G163100 transcript:KJB42676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINRLLWKHHQWNKTVVAVSSKALFAQVTTNKPRWMSTVMSFGDGSQGALGLPDSVTGPRGDAYEPTRVLGLPSDITSISAGHYHSLAIDSRGGLWAWGRNQESQLGRDPLAPRDSWNDPKRVEGLDHVNVCAAFASGVISAAIDSNGSVWVWGKSKRGQLGLGKGIIETVVPRRVEALAGEKIVKVSFGWGHALAQTEDGKLLGWGYSADGRIGNVGEALEASPLDSNANISMNDKRFSGSGLDAAELMVLEGMEKEKDMPIIWEPRLVEELQGVEVRDIACGLDHSLVLCRNGTLLSSGSNVYGQLGRTKLDLRLLPVDLTAHPVSIASGLGHSLAICEVPSSDVEGGGMSIFSWGWNQCSQLGREGLENFPSMIEGWEGETPVSVSGGRVHSTALTSHGELWVWGCGKSGRLGLGSSSDEAEPTLLDCLEDFKVLQAVSGFDHNLVLMDE >KJB46456 pep chromosome:Graimondii2_0_v6:7:60289334:60290853:1 gene:B456_007G369900 transcript:KJB46456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVADVEETTATNHLISVDEDQDQHHGSSLTPQVLYSNFSYLKNQPYDKRQLLCHLHITYDRGSPHH >KJB40939 pep chromosome:Graimondii2_0_v6:7:6001424:6001800:1 gene:B456_007G083900 transcript:KJB40939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDNPKGQHLDSALPRLFWFTPTFPTCPTVAEQFLDIKRTSPEGNFNVADFPSFAISFASAPAALVNCPPLPSVISMLCIAVAKGISVEV >KJB40704 pep chromosome:Graimondii2_0_v6:7:5260463:5264237:1 gene:B456_007G074200 transcript:KJB40704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILNRLPRKPSKSSDNREGGTSTSSSNAYTSSRNSDLASNHYANSSGASFSGFHSTNSGLNQGNKISQVVNAKLNGNTVATSFEPLPNFRDVPNSEKQNLFIRKLNICCIVFDFTDPTKNVKEKDIKRQTLLEIMDYVSSANGKFSEIVMQEIVKMVSINLFRALTSAPRENKVLLAFDLEEEEPSMDSAWPHLQVVYEFLLRFVASPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADSVIRGLLKYWPITNSSKEVMFLGELEEVLEATQLAEFQRCMVPLFHQIGRCLSSSHFQVAERALFLWNNDHIETLIKQNRNVILPIIFPSLEKNARSHWNPAVQSLTLNVRKIFSDTDPELFEECLHKFEEDQAQENEVKSKREATWKRLEEIAAMKAASNEPVLVSPKATTRNPSC >KJB40702 pep chromosome:Graimondii2_0_v6:7:5260105:5264307:1 gene:B456_007G074200 transcript:KJB40702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILNRLPRKPSKSSDNREGGTSTSSSNAYTSSRNSDLASNHYANSSGASFSGFHSTNSGLNQGNKISQVVNAKLNGNTVATSFEPLPNFRDVPNSEKQNLFIRKLNICCIVFDFTDPTKNVKEKDIKRQTLLEIMDYVSSANGKFSEIVMQEIVKMVSINLFRALTSAPRENKVLLAFDLEEEEPSMDSAWPHLQVVYEFLLRFVASPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADSVIRGLLKYWPITNSSKEVMFLGELEEVLEATQLAEFQRCMVPLFHQIGRCLSSSHFQVAERALFLWNNDHIETLIKQNRNVILPIIFPSLEKNARSHWNPAVQSLTLNVRKIFSDTDPELFEECLHKFEEDQAQENEVKSKREATWKRLEEIAAMKAASNEPVLVSPKATTRNPSC >KJB40705 pep chromosome:Graimondii2_0_v6:7:5260097:5264307:1 gene:B456_007G074200 transcript:KJB40705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILNRLPRKPSKSSDNREGGTSTSSSNAYTSSRNSDLASNHYANSSGASFSGFHSTNSGLNQGNKISQVVNAKLNGNTVATSFEPLPNFRDVPNSEKQNLFIRKLNICCIVFDFTDPTKNVKEKDIKRQTLLEIMDYVSSANGKFSEIVMQEIVKMVSINLFRALTSAPRENKVLLAFDLEEEEPSMDSAWPHLQVVYEFLLRFVASPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADSVIRGLLKYWPITNSSKEVMFLGELEEVLEATQLAEFQRCMVPLFHQIGRCLSSSHFQVAERALFLWNNDHIETLIKQNRNVILPIIFPSLEKNARSHWNPAVQSLTLNVRKIFSDTDPELFEECLHKFEEDQAQENEVKSKREATWKRLEEIAAMKAASNEPVLVSPKATTRNPSC >KJB40703 pep chromosome:Graimondii2_0_v6:7:5260097:5264237:1 gene:B456_007G074200 transcript:KJB40703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILNRLPRKPSKSSDNREGGTSTSSSNAYTSSRNSDLASNHYANSSGASFSGFHSTNSGLNQGNKISQVVNAKLNGNTVATSFEPLPNFRDVPNSEKQNLFIRKLNICCIVFDFTDPTKNVKEKDIKRQTLLEIMDYVSSANGKFSEIVMQEIVKMVSINLFRALTSAPRENKVLLAFDLEEEEPSMDSAWPHLQVVYEFLLRFVASPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADSVIRGLLKYWPITNSSKEVMFLGELEEVLEATQLAEFQRCMVPLFHQIGRCLSSSHFQVAERALFLWNNDHIETLIKQNRNVILPIIFPSLEKNARSHWNPAVQSLTLNVRKIFSDTDPELFEECLHKFEEDQAQENEVKSKREATWKRLEEIAAMKAASNEPVLVSPKATTRNPSC >KJB43780 pep chromosome:Graimondii2_0_v6:7:23858771:23860081:-1 gene:B456_007G215400 transcript:KJB43780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRLISTSTVHAANDRASSGRIDLNTWDIRNLQIGYIQKGLLFPKPKLPLQYNSSGNMLIHHLKTSLSHTRHCFPLLAAADGVTISDIIKPVYVPSIVHSFFSLNGLHNYKGITHPLLGIQITDLADGIFIGCSINHVVADGASFWHFLNSWSGISKGSIYLSKPPVFQRWFPDGMDIPIRIPQSCVNVEQNNEDFILPPVRERIFHFSKENITKLKAKANAEMGTEKISSLQALLSHIWRSAIHNRSFDPDQETNYRFVVGARQRFQEIPDNYFGNAILGTFVTMKAKELVEQGIGNSSWRMNRIIAATTGEGFKKVFECWPASPSFVTLSNGDALITSSSPRFKMYGNDFGWGKPIAIRSGSSNKFDGMLTLYCGAEEGSIDIEACFFPETLEAMANDQEFMHIVTV >KJB46114 pep chromosome:Graimondii2_0_v6:7:57847768:57848602:-1 gene:B456_007G348500 transcript:KJB46114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHLSHDYLLEVPAAVVWDTYRGLELGKLVNQMFQDVVGMIEVVHGDGGVGTILKITFPPGTLGLSYMKEIFTEADDEQRVKESEIIEGGFKDFGFEVYRYRFQIIEKNGESSIIRSSVEYEIDDKLQEIASLATTKQMEALNEVVGKHLKQKWDSSNKKP >KJB46622 pep chromosome:Graimondii2_0_v6:7:60894830:60901865:1 gene:B456_007G378700 transcript:KJB46622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCRSPAAVAREDVKSNFSGRDHARKDSVSKQKPAITVLAGVPKDSIEEKYLVDRELGRGEFGVTYLCIDRGTRELLACKSISKRKLRTAVDIDDVRREVAIMKHLPKDSSIVSFKEACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIMEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGLIDFKHDPWPNISESAKSLVQQMLEPDPKLRLTAKQVLEHPWLQNAKKAANVPLGDVVKSRLKQFSIMNRFKRKALRVIAEFLSVEEVEGIKEMFKKMDTDNDGIVSIEELKAVFGNVGSQLAESEVQMMLIEAIDANGKGTLDYGEFLAVSLHVQRMANDEHLRKAFSYFDRDGNGFIEPDELRDALMEGADDCTSVANDIFQEVDTDKDGRISYDEFAAMMKTGTDWRKASRHYSRGRFNSLSIKLMKDGSLNLGRE >KJB43328 pep chromosome:Graimondii2_0_v6:7:19220289:19225229:-1 gene:B456_007G194500 transcript:KJB43328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACLSTTKVIGSSSNAAAHHRKHQPSATTVTVNEKKESRKPNNQQGQRQQVRSSQPLKVKGKPSSTRQTGIIPCGKRTDFGYHKDFDQRYTIGKLLGHGQFGYTYVAIDKANGDRVAVKKIDKNKMVLPMAVEDVKREVKILEALKGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTREDSLLKATDFGLSDFIRPGKRFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGKRPFWDKTEDGIFKEVLKKKPDFRRKPWPTISNGAKDFVKKLLVKDPHARLTAAQALSHRWVREGGNASDIPVDISVLNNLRQFVKYSRLKQFALRALASTLNEEEIADLRDQFDAIDVDKNGSISLEEMRQALAKDLPWKMKEPRVLEILQAIDSNTDGLVDFKEFVAAALHVNQMEEHDSDKWQMLSQAAFEKFDVDRDGFITPEELRMHTGLRGSIDPLLEEADIDKDGKISLSEFRRLLRTASISSRNVPCSSDQRNSRKL >KJB43329 pep chromosome:Graimondii2_0_v6:7:19220300:19225151:-1 gene:B456_007G194500 transcript:KJB43329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACLSTTKVIGSSSNAAAHHRKHQPSATTVTVNEKKESRKPNNQQGQRQQVRSSQPLKVKGKPSSTRQTGIIPCGKRTDFGYHKDFDQRYTIGKLLGHGQFGYTYVAIDKANGDRVAVKKIDKNKMVLPMAVEDVKREVKILEALKGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTREDSLLKATDFGLSDFIRPGKRFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGKRPFWDKTEDGIFKEVLKKKPDFRRKPWPTISNGAKDFVKKLLVKDPHARLTAAQALSHRWVREGGNASDIPVDISVLNNLRQFVKYSRLKQFALRALASTLNEEEIADLRDQFDAIDVDKNGSISLEEMRQALAKDLPWKMKEPRVLEILQAVSTGFMFFIRK >KJB43330 pep chromosome:Graimondii2_0_v6:7:19220837:19225151:-1 gene:B456_007G194500 transcript:KJB43330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACLSTTKVIGSSSNAAAHHRKHQPSATTVTVNEKKESRKPNNQQGQRQQVRSSQPLKVKGKPSSTRQTGIIPCGKRTDFGYHKDFDQRYTIGKLLGHGQFGYTYVAIDKANGDRVAVKKIDKNKMVLPMAVEDVKREVKILEALKGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTREDSLLKATDFGLSDFIRPGKRFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGKRPFWDKTEDGIFKEVLKKKPDFRRKPWPTISNGAKDFVKKLLVKDPHARLTAAQALSHRWVREGGNASDIPVDISVLNNLRQFVKYSRLKQFALRALASTLNEEEIADLRDQFDAIDVDKNGSISLEEMRQALAKDLPWKMKEPRVLEILQAIDSNTDGLVDFKEFVAAALHVNQMEEHDSDKWQMLSQAAFEKFDVDRDGFITPEELRMVSVVFGDIFLLKTKEKVFIPAKLNACVCGVPLCCVPRGQCNCLQCQPF >KJB43325 pep chromosome:Graimondii2_0_v6:7:19211897:19215449:-1 gene:B456_007G194300 transcript:KJB43325 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MKIVTYNVNGLRQRVSQFGSLLKLLNSFDADIICIQETKLRRQELSADLAIADGYESFFSCTRTSDKGRTGYSGVATFCRVKSAFSSVEAALPIAAEEGFTGLLGCSRKDEAASAVAEGLVEFSREELLKVDSEGRCIITDHGHFVLFNLYGPRAGDGDSERIQFKLNFFKILEKRWESLLCRGRRIFVVGDLNIAPSAIDRCEAGPDFEKNEFRTWFRSMLVESGGHFFDVFRAKNPNRREAFTCWPSNTGAEQFNYGTRIDHILCAGPCLHEEHDVEGHNLVTCHVKECAILTEYKRWKPGSATRWKGGWNIKLEGSDHAPVYTCLREIPYVSEHSTPSLAARYLPMVHGLQQTLVSVLMRRQATKQVKSDVVSSSFPDESIISGGCSHVKRSIANCDVNGTDISCRSLDQDSRNPILKVVGHSEDFKEEAACSTTIVFNEEYARPLPNKRTRKRARKSQQLSLRSFFQKSHNLDDGIENSTNDISTSQTGVLNSNNQSQEAPVMDDHRCGTMQNDTNGISSSQGQEEPDRPCSPTQNNTNGNASSQGQEEQDGSRYLEKQKKSDALLEWQRIQQLMQNSIPLCKGHREPCVSRVVKKPGPNFGHRFYVCARAEGPSSNPEANCGYFRWASTKSRPK >KJB41975 pep chromosome:Graimondii2_0_v6:7:10568834:10570617:-1 gene:B456_007G131100 transcript:KJB41975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGSNLKVVESSRRIRYCDGLLRVLGLLLTLVAAILTGADKETKTIPISITKTLPTLHVPVTAKWHYMSAFVYFFVSNAIAFSYAAASLVASMAVRTSKDKTGLVVVILDMAIMGLLLSANGAAIAVGILGQYGNSHVQWRKVCNSFGGFCHQMTAAIILSLVGSLVFFWLVAVALLNLHKKSR >KJB40121 pep chromosome:Graimondii2_0_v6:7:3321081:3323191:-1 gene:B456_007G047700 transcript:KJB40121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTISRHFYGDSEKTAFSISIVENMKEDYGLFVWPCSIVLAEYVWQHKLRFSGNNVVELGAGTCLPGLVAAKVGSNVTLTDDANRLEVLGLTWGVWDASIFSLHPKIILGADVLYDARAFDDLFATVTFLLQSNPGSVFITTYHNRSGHHLIEFLMVKWGLKCVKLLDGFSLLPSDKAARLSGNIQLAEIILNHEQIEETSSSGAR >KJB40122 pep chromosome:Graimondii2_0_v6:7:3321467:3322936:-1 gene:B456_007G047700 transcript:KJB40122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTISRHFYGDSEKTAFSISIVENMKEDYGLFVWPCSIVLAEYVWQHKLRFSGNNVVELGAGTCLPGLVAAKVGSNVTLTDDANRLEVLENMRGVCELNNLKCEVLGLTWGVWDASIFSLHPKIILGADVLYDARAFDDLFATVTFLLQSNPGSVFITTYHNRSGHHLIEFLMVKWGLKCVKLLDGFSLLPSDKAARLSGNIQLAEIILNHEQIEETSSSGAR >KJB39873 pep chromosome:Graimondii2_0_v6:7:2396101:2400625:-1 gene:B456_007G035000 transcript:KJB39873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAKHIGNLSNGARTFLFSGSRGTAADGNSCTCPEDESCVSRRQNIRNEALSKSSSRGALKLAIVSQAVDSHEAERVPQLVSNPIPLRRSNIVNSAGSANAIQHDEHASATISDQFVKVGIEAVSFLSDLMNYKLPLSDGGTILGSPGRCVVESTRSLPNIKSPAFRSVKRENIAKVNPKPSSEIAAGDKSTVSYNGTKDRGNNKSNFVRGYKRVSNAEFVDSSETHSSSANTYDRRKPIPQRVKAQSHHFMSNLNSNVIPSHAKVSDPGIDGFRKSFRDMKTATTGVCMTRPLGGMGHVVESVSQILRQLKWGSAAEQALKNLNFSMDAYQANQVLKQIQDPAVALGFFYWLKKQAGFKHDGHTYTTMVGILGRARQFGSINRLLDQMVKDECQPNVVTYNRLIHSYGRANYLNEAMNVFNQMQEIGCEPDRVTYCTLIDIHAKAGFLDVAMDLYQRMQAVGLSPDTFTYSVIINCLGKAGHLPAADRLFCEMVDHGCVPNLVTYNIMIALQAKARNYDSALKLYRDMQNAGFEPDKVTYSIVMEVLGHCGYLDEAEAIFGEMKRKNWVPDEPVYGLLVDLWGKAGNIEKAWRWYQAMLQAGLRPNVPTYNSLLSAFLRVHRLPDAYNLLQSMLDLGLNPSLQTYTLLLSYCTEARSPYDMGICSQLMAVTGHPAHMFLLSMPSAGPDGRNVRDHVDKFLDMMHSEDRESKRGLVDAVVDFLHKSGLKEEAGSVWEVAAEKNVYPDAVREKSSCYWLINLHVMSDGTAVTALSRTLAWFRQQILVSGISPSRIDIVTGWGRRSRVTGSSLVRQAVQDLLSIFSFPFFTENGNSGCFVGCGEPLNRWLLQSYVERMHLL >KJB39874 pep chromosome:Graimondii2_0_v6:7:2396101:2400629:-1 gene:B456_007G035000 transcript:KJB39874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLNSNVIPSHAKVSDPGIDGFRKSFRDMKTATTGVCMTRPLGGMGHVVESVSQILRQLKWGSAAEQALKNLNFSMDAYQANQVLKQIQDPAVALGFFYWLKKQAGFKHDGHTYTTMVGILGRARQFGSINRLLDQMVKDECQPNVVTYNRLIHSYGRANYLNEAMNVFNQMQEIGCEPDRVTYCTLIDIHAKAGFLDVAMDLYQRMQAVGLSPDTFTYSVIINCLGKAGHLPAADRLFCEMVDHGCVPNLVTYNIMIALQAKARNYDSALKLYRDMQNAGFEPDKVTYSIVMEVLGHCGYLDEAEAIFGEMKRKNWVPDEPVYGLLVDLWGKAGNIEKAWRWYQAMLQAGLRPNVPTYNSLLSAFLRVHRLPDAYNLLQSMLDLGLNPSLQTYTLLLSYCTEARSPYDMGICSQLMAVTGHPAHMFLLSMPSAGPDGRNVRDHVDKFLDMMHSEDRESKRGLVDAVVDFLHKSGLKEEAGSVWEVAAEKNVYPDAVREKSSCYWLINLHVMSDGTAVTALSRTLAWFRQQILVSGISPSRIDIVTGWGRRSRVTGSSLVRQAVQDLLSIFSFPFFTENGNSGCFVGCGEPLNRWLLQSYVERMHLL >KJB43858 pep chromosome:Graimondii2_0_v6:7:25262258:25268521:1 gene:B456_007G219900 transcript:KJB43858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLLKALLSNISSFLNLSSFEKINSEPVQKYYQRAEEILKLLKPILNAIIDSEVTSDEVLIKAFEGLGLSIEELREQCDSWQPLLSKVYFVLQVESLISKIRNPSLEIVQFLKCSHLHLPDELSSASLEHCLQKIKHVGYEQTSSVIREAIRDQVDSVGPSSEILAKIAENLSLGSNQEILIEAVALEKLKENAEQAEKTAEAEFVDQIIGLVTRMHERLVLIKHSQTCSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKKWIGLGLIVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPMKSMSPLLLHAESGLSRDSSSVPRSRSSQPISPESQSTSQTDKNLVTSSGLNREGTSPLHPRSTSKGSLPAIDGNGEYLDVARISLSSAEEGSNLEQRGSELVGQPPLSPSINEFHNNGQSSLNHARSDSASSILSNSDFPQGPSGDANESLEASTHLRANSGVNGEVKSDSQPVASSAIPQREAEFSPRFMDPRSRSQRIWRRQSERFIPRIISSPGIENKADLSGTETQVKKLVEDLKSTSVDTQREATAQLRLLAKHNMDNRIIIANCGAISMLVNLLRSPDAKTQENAVTALLNLSINDNNKTAIANANAIEPLIHVLETGTPEAKENSAATLFSLSVIEDNKVKIGRSGAIGPLVNLLGNGTPRGKKDASTALFNLSIFHENKARIVQAGAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRTSIGQEQGIPVLVEVVELGSARGKENAAAALLQLCTNSNRFCSLVLQEGAVPPLVALSQSGTPRAREKAQTLLSVFRNQRHGNSGRG >KJB43860 pep chromosome:Graimondii2_0_v6:7:25261944:25268521:1 gene:B456_007G219900 transcript:KJB43860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLLKALLSNISSFLNLSSFEKINSEPVQKYYQRAEEILKLLKPILNAIIDSEVTSDEVLIKAFEGLGLSIEELREQCDSWQPLLSKVYFVLQVESLISKIRNPSLEIVQFLKCSHLHLPDELSSASLEHCLQKIKHVGYEQTSSVIREAIRDQVDSVGPSSEILAKIAENLSLGSNQEILIEAVALEKLKENAEQAEKTAEAEFVDQIIGLVTRMHERLVLIKHSQTCSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKKWIGLGLIVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPMKSMSPLLLHAESGLSRDSSSVPRSRSSQPISPESQSTSQTDKNLVTSSGLNREGTSPLHPRSTSKGSLPAIDGNGEYLDVARISLSSAEEGSNLEQRGSELVGQPPLSPSINEFHNNGQSSLNHARSDSASSILSNSDFPQGPSGDANESLEASTHLRANSGVNGEVKSDSQPVASSAIPQREAEFSPRFMDPRSRSQRIWRRQSERFIPRIISSPGIENKADLSGTETQVKKLVEDLKSTSVDTQREATAQLRLLAKHNMDNRIIIANCGAISMLVNLLRSPDAKTQENAVTALLNLSINDNNKTAIANANAIEPLIHVLETGTPEAKENSAATLFSLSVIEDNKVKIGRSGAIGPLVNLLGNGTPRGKKDASTALFNLSIFHENKARIVQAGAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRTSIGQEQGIPVLVEVVELGSARGKENAAAALLQLCTNSNRFCSLVLQEGAVPPLVALSQSGTPRAREKAQTLLSVFRNQRHGNSGRG >KJB43859 pep chromosome:Graimondii2_0_v6:7:25262087:25268534:1 gene:B456_007G219900 transcript:KJB43859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLLKALLSNISSFLNLSSFEKINSEPVQKYYQRAEEILKLLKPILNAIIDSEVTSDEVLIKAFEGLGLSIEELREQCDSWQPLLSKVYFVLQVESLISKIRNPSLEIVQFLKCSHLHLPDELSSASLEHCLQKIKHVGYEQTSSVIREAIRDQVDSVGPSSEILAKIAENLSLGSNQEILIEAVALEKLKENAEQAEKTAEAEFVDQIIGLVTRMHERLVLIKHSQTCSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKKWIGLGLIVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPMKSMSPLLLHAESGLSRDSSSVPRSRSSQPISPESQSTSQTDKNLVTSSGLNREGTSPLHPRSTSKGSLPAIDGNGEYLDVARISLSSAEEGSNLEQRDFPQGPSGDANESLEASTHLRANSGVNGEVKSDSQPVASSAIPQREAEFSPRFMDPRSRSQRIWRRQSERFIPRIISSPGIENKADLSGTETQVKKLVEDLKSTSVDTQREATAQLRLLAKHNMDNRIIIANCGAISMLVNLLRSPDAKTQENAVTALLNLSINDNNKTAIANANAIEPLIHVLETGTPEAKENSAATLFSLSVIEDNKVKIGRSGAIGPLVNLLGNGTPRGKKDASTALFNLSIFHENKARIVQAGAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRTSIGQEQGIPVLVEVVELGSARGKENAAAALLQLCTNSNRFCSLVLQEGAVPPLVALSQSGTPRAREKAQTLLSVFRNQRHGNSGRG >KJB39878 pep chromosome:Graimondii2_0_v6:7:2427431:2431340:1 gene:B456_007G035200 transcript:KJB39878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFVWFSSVIFAVTLWNSGSFALTDDGLTLLEIKSTLHDSKNFLGNWLATDESPCNWTGISCFPNLQRVRSINLPYMQLGGSISPSIGKLNRLQRLALHQNNLHGLIPNEISNCTHLRALYLRANYLEGGIPSNIGSLSLLTVLDLSSNLLKGSIPLSIGRLTRLRYLNLSTNFFSGEIPDIGVLNTFGNKSFIGNLDLCGQQVQRPCRTSLGFPAVLPHAESDEAAGQTKRSSHYIKGVLIGAMSTMAVMLVVLLAFLWICLLSKKERAAKRYTEVKKQVHEDSSKKLITFHGDLPYPSCEIIEKLESLDEEDVVGSGGFGTVYRMVMNDCGTFAVKRIDRNHEGSDQAFERELEILGSIKHINLVNLRGYCRLPASKLLIYDYLAMGSLDNFLHESKEDVRPLNWCARLKIALGSARGIAYLHHDCCPKIVHLDIKSSNILLDENLEPHVSDFGLAKLLVDEETHVTTVVAGTFGYLAPEYLQSGRATEKSDIYSFGVLLLELLTGKRPTDPTFVKRGLNVVGWMNTLLRENRLEYIVDRRCNDTDMETLEAILEVAAKCTDANPDERPTMSQVVQLLEQEVMSPCPSDFYDSHSDYC >KJB39842 pep chromosome:Graimondii2_0_v6:7:2272336:2274794:-1 gene:B456_007G033400 transcript:KJB39842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKSNPSACLKTLFAKASALYFQLRFSNSSVPTAAPSFSTSASPSMAATDSPLTTKVCIIGSGPAAHTAAIYAARAELKPILFEGWMANDIAPGGQLTTTSDVENFPGFPDGIMGSELMERCRNQSLRFGTTIFTETVNKVDFSSSPFKIFADSKTVLADSVIVATGAVAKRLNFPGSGDGLGGFWNRGISACAVCDGAAPIFREKPLAVIGGGDSAMEESTFLTKYGSKVYIIHRRDTFRASKIMQNRVISNPKIEVIWNSVVVEAYGDRVLGGLKVKNLVTEEVSDLKVNGLFFAIGHEPATKFLGGQLELDSDGYVVTKPGTTQTSVHGVFAAGDVQDKKYRQAVTAAGTGCMAALEAEHYLQELGSQEGRQKHSFLKSAV >KJB39843 pep chromosome:Graimondii2_0_v6:7:2273163:2274912:-1 gene:B456_007G033400 transcript:KJB39843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKSNPSACLKTLFAKASALYFQLRFSNSSVPTAAPSFSTSASPSMAATDSPLTTKVCIIGSGPAAHTAAIYAARAELKPILFEGWMANDIAPGGQLTTTSDVENFPGFPDGIMGSELMERCRNQSLRFGTTIFTETVNKVDFSSSPFKIFADSKTVLADSVIVATGAVAKRLNFPGSGDGLGGFWNRGISACAVCDGAAPIFREKPLAVIGGGDSAMEESTFLTKYGSKVYIIHRRDTFRASKIMQNRVISNPKIEVIWNSVVVEAYGDRVLGGLKVKNLVTEEVSDLKVNGLFFAIGHEPATKFLGGQLELDSDGYVVTKPGTTQTSVHGVFAAGDVQDKKYRQAVTAAGTGCMAALEAEHYLQELGSQEGKSD >KJB39856 pep chromosome:Graimondii2_0_v6:7:2298937:2302982:-1 gene:B456_007G033800 transcript:KJB39856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGLGRNQRTFRPKKSAPSGSKGAQLKKHIDATLGSGNLREAVRLPPGEDLHEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIESQLDDESIFPQKLAFPSNFNEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDKKELAPLQELIESIIVPC >KJB39855 pep chromosome:Graimondii2_0_v6:7:2298813:2303023:-1 gene:B456_007G033800 transcript:KJB39855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGLGRNQRTFRPKKSAPSGSKGAQLKKHIDATLGSGNLREAVRLPPGEDLHEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIESQLDDESIFPQKLGVAFPSNFNEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDKKELAPLQELIESIIVPC >KJB40936 pep chromosome:Graimondii2_0_v6:7:5985776:5994315:1 gene:B456_007G083600 transcript:KJB40936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIKWVNRSASAALAPDAPYMAAGTMAGAVDLSFSSSANLEIFKLDFQSEDRELPVVGQCPSSERFNRLAWGKNVSGSDEFSLGLIAGGLVDGSIHIWNPLTLILSEASEQALVGHLSRHRGPVRGLEFNAIAPNLLASGADDGEICIWDLAAPAQPTHFPPLKGSGSASQGEISFLSWNSKVQHILASTSYDGTTEAKTSYKINICYLPSENICDLFADSVRRRCSVLQWHPDVATQLVVASDDDGSPTLRLWDMRNMMSPVKEFLGHTKAYAVACVITMAWCPSDSSYLLTCAKDNHAICWDMVTGEIVCELPAGNNWNFDVHWYPKMPGIISASSFDGKIGIYNIEGCSRYSVGELDFGAASLRAPKWYKRPVGASFGFGGKVVSFRPCLSGLGSCASSEVFMHNLVTEESLVSRSSEFESAILNGERNMGLLKVMFEDDGSARTKLLMHLGFSLPAEEKDTVQDDLSWSVNETTHEDKVEEKMGYGGEKEATLFAADNGEDFFNNLPSPKAYTPVSTSENSFAVESTVPSADLIPQESDGLEESADASFDDAVQRALVVGDYKGAVEQCIAANKISDALVIAHVGGSSLWESTRDQYLKMSRLPYLKVVSAMVNNDLTNLVNSKPLNFWKETLALLCTFAQGEEWAVLCDILATKLMIWSSCLTTENDGKSYVDLLQDLMEKTIVLALATGQKRFSASLCKLVENYAENLASQGLLTTAMGYLKLFGSDELSPELVILKDRIAFSTGHEKETSASVFGNSHPASGPVFEPSQQELATSQIHASVPDSAYDENYQHSFSSYGGYAPPALYQPQPPPANTFVPTTAPHFSSPSVRPFVPSNPPVLRNADKYQQPTTLGSQLYPICIATLFSYLMPKFLRLGGAYPTYPLPHGAGSLASVPSPMGSVPGPKVSQVVAPTSTPRGFMPATNPPVVQRPGMGPMQPPSPTQPRPVQPAAAPAAPPPTVQTVDTSNFPAHQKPVITTLTGLFNETSQALGGSRANPAKKRALFAKLNSGDISKNASDKLIQLCQALDNNDFGTALQVQVLLTTSEWDECNFWVGTLKRMIKTRQNVR >KJB40187 pep chromosome:Graimondii2_0_v6:7:3508510:3512207:-1 gene:B456_007G049800 transcript:KJB40187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGGKSRVAKRSSSVSYASTVTTVVFVTLCVLGVWMLTSNSVSSPQTTTTTRTITDTNSDIAFSGSNEEQPSKINEDQKDKAVFEDNPGQLPDEAVKPDDDKVSESDDLDKVKEGAAVEETRHGLQGKESAEEQEKQKMSETQISEESVLTQNQQSKQNGLKEVEDNKQMSNEEPIKVHQEETINNQDPSEDQDQIRVVEKKQINEKPKERRGKKKKKHAESIERPLETTMIGKSKKDDVAEMEDEPRVNKPKIQDQLEEDEPKGTKQLNQDQLEEDESKGTKQLNQDQLEQDEPKGNKLQNHDQQESQVQGIDNATFNDETKDKPEILNATQTDTFQSLLKTKTNQETAEKDTQIEAQQQHESTSEETLGSTIPKESNESKNAWKSQKAQSENEKERRRDESSGKEGLYGYTWHLCNVTAGPDYIPCLDNEEALKKLRSTRHFEHRERHCPEEGPTCLVPLPKGYKRPISWPKSREKIWYNNVPHTKLAEFKGHQNWVKVSGDFLTFPGGGTQFIHGALHYIDFLQQSVPNLKWGKRTRVILDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGSQRLPFPCRVFDVVHCARCRVPWHAENGMLLLELNRVLRPGGYFIWSATPVYQKLPEDVEIWNAMSSLTKAMCWDLLTIKRDKLNSVSAAVYRKPITNECYDKRPDHNPPMCKENDDANAVWHVPLRACMHRVPINPAERGTRWPAHWPNRLQKAPYWLNRTQMGIYGKPAPQDFVKDHEHWTRVVSKLYMSGLGISWSNVRNVMDMRAVYGGFAAALKDIKVWVMNVVNLDSPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKLEKRCKLQPVLAEVDRIVRPGGKLIVRDESDAIGEVENLLKSLHWEVHLTFSKDQEGILSAQKGDWRPTAYQASI >KJB42934 pep chromosome:Graimondii2_0_v6:7:16240650:16241271:1 gene:B456_007G175200 transcript:KJB42934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLMDSEASKMVFEASAAIDFANSKNPTTRALDPSPAACDRNTGKSCEPDRNPGTKVPPNCSSICNKDCH >KJB39723 pep chromosome:Graimondii2_0_v6:7:1888578:1888988:-1 gene:B456_007G027500 transcript:KJB39723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRSASMVLLLALNILFFSLVSACGSCSSPHPKPRPNPNPNTPTPTPSAQGRCPRDALKLGVCANVLNLVNVTVGSPPVMPCCSLLNGLVDLEAAACLCTAIRANILGINLNIPISLSLLLNVCSRNVPTGFQC >KJB39549 pep chromosome:Graimondii2_0_v6:7:1594288:1595863:1 gene:B456_007G022000 transcript:KJB39549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSEKHAFRFSESFFGRVALAIIGKPSVAAVVYRPSPWALAHATFYGDETASETMGGACGYGNLFSNGYGIDTAALSTTLFNNGFACGTCYQIKCVKSPWCYSGVQFTTVTATNLCPPNWAKDTNNGGWCNPPRVHFDMSKPAFMKIAQWKAGIVPIMYRRVPCIRRGGIRFYFQGNGYWLLVYAMNVGGGGDIAKMWVKGSKTGWIGMSHNWGASYQAFATLTGQSLSFKITSYTSKETIICWNVAPANWNVGLTYKSNVNFH >KJB46447 pep chromosome:Graimondii2_0_v6:7:60156040:60156851:1 gene:B456_007G369000 transcript:KJB46447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENQDIPCPIGVVSDAESDPPENEPDDTQMHLSMSTGSKKRSREIDDSVEAKGKAPKKWTSGKEALNSLFILNIFYHTEIISLIS >KJB46446 pep chromosome:Graimondii2_0_v6:7:60156040:60156851:1 gene:B456_007G369000 transcript:KJB46446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENQDIPCPIGVVSDAESDPPENEPDDTQMHLSMSTGSKKRSREIDDSVEAKGKAPKKWTSGKEALNSLFILNIFYHTEIISLIS >KJB43833 pep chromosome:Graimondii2_0_v6:7:25052463:25054997:-1 gene:B456_007G218900 transcript:KJB43833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSLMVMFSLLPLLLFCSLFLLSPAEPAAVDDTYTLPYEYFINCGSKSRVTFGIRNFTQDRNLGPFSCKGSKPVRDTKSSAYTHLLYQTARIFRHPWPYKLRISRSGTYLVRLHFFAFSSSSIILTDAVFNVTAKAESADADASAPWFLLLSKFKMKNSNEPPVVKEFLLRIGAGEFVIYFVPSGISCLAFVNAIEVFLAPTGFIPDNAVRINSSGIKGNYSGLLSKLLQKIYRINVGGPNVTEKTDTLMRHWIADDQFLLLPGSAENKQISYDQLEFERGANGSTKYIAPEDVYGTAKELNPKFSNITWRFKVGENARYFVRAHFCDFINPSLSYPGLNLCINEKFCEWISPNEAVNQLTAPFYADFVVASGKTGNIDITVGPHINGTSETAFLNGLEIMQLMENSYSSFVPPKPRKKILVVIAVSLGLTFAFIIMAVVMVRLVCMKEDRDTTPRLPSSLALLGYGSGNDMSTGRTAGLSLCLELRIPFAEIQQATQDFDLKCFVGEGGFGKVYEGTLRGEKVAVKRREPGHKQGLAEFQTEIMVLSQARHRNLVSLIGYCDEKSEMILVYEFMEKGNLKDNLYDKDGCSKQNGLSWEKRLEICIDSAAALHHLHTGSPVKIIHRDVKSTNILLDENYTAKVSDFGISKSRSPDHALDNTGVKGSIGYIDPDYFMSLELTEKSDVYSFGVVLLEVLCARPAIINSPQKEEVNLADWGLHCLKKRQLEKIIDPFLVDKINSNSLRKFGEAVQKCLEAKGSERPTMSDVMWDLKYALTLHTGITREEPDETTTNASLQFGLPVLLHLPTTSFPGEENEQSIVANSGSEKSASEVFSQEKFAGAK >KJB46525 pep chromosome:Graimondii2_0_v6:7:60581608:60585520:-1 gene:B456_007G373600 transcript:KJB46525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITQNGSLDSFCTTTANGSTAFAADPLNWGVVAESLKGSHLDEVKRMVMEYRKPLVKLGGETLTISQVAAIAAHDSGVKVELSDDARAAVKASADWVLDGMNKGTDSYGVTTGFGATSHRRTKQGAALQKELIRFLNAGIFGNGTESCNTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLLNHNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPDGKSLNAEEAFRVAGIDSGFFMLQPKEGLALVNGTAVGSGLASMVLFEANVLVVLSEILSAVFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYVKAAKKLHEMDPLQKPKQDRYALRTSPQWLGPQVEVIRFATKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNVRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGPNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTYLVALCQAIDLRHLEENLKNTVKNIVSQVAKKTLTTGPNGELHPSRFCEKDLLKAVDHEYVFSYIDDPCSATYPLMQKLRQVLVEHALSNGNNENNASTSIFQKIAAFEEELKTLLPKEVENSRVSIQNGNPAIPNKITECRSYPLYKFVREELGTGLLTGEKVKSPGEEFDKVFIAMCQGKVIDPMLECLKEWNGAPLPIC >KJB40029 pep chromosome:Graimondii2_0_v6:7:2980061:2982140:-1 gene:B456_007G043000 transcript:KJB40029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESKTSPPPSFSFIFSLFLTVACLFTPLGAQQQSPIKTIVVLVMENRSFDHMLGWMKQHVNPSINGVTGDECNPVSTKNPNLESICFTDDAEFVDPDPGHSFEAVEQQVFGSSTIPSMSGFVEQALSMSKNLSETVMKGFRPESVPVYAALVKEFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKKQLAHGYPQKTIFDSLHENGKDFGVYFQNIPTTLFYRSLRKLKYVFKFHQFDLKFKKDARKGKLPSLTVIEPRYFDLKGLPANDDHPSHDVANGQKLVKEVYEILRASPQWNQTLLVITYDEHGGFYDHVHTPYINVPSPDGNTGPAPSFFKFDRLGVRVPTIMVSPWIKKGTVISGPKGPFPNSEFEHSSIPATIKKMFNLSSNFLTHRDAWAGTFEHVVGELSSPRTDCPGIFFFNFMLQGLLNE >KJB40027 pep chromosome:Graimondii2_0_v6:7:2980014:2982453:-1 gene:B456_007G043000 transcript:KJB40027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESKTSPPPSFSFIFSLFLTVACLFTPLGAQQQSPIKTIVVLVMENRSFDHMLGWMKQHVNPSINGVTGDECNPVSTKNPNLESICFTDDAEFVDPDPGHSFEAVEQQVFGSSTIPSMSGFVEQALSMSKNLSETVMKGFRPESVPVYAALVKEFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKKQLAHGYPQKTIFDSLHENGKDFGVYFQNIPTTLFYRSLRKLKYVFKFHQFDLKFKKDARKGKLPSLTVIEPRYFDLKGLPANDDHPSHDVANGQKLVKEVYEILRASPQWNQTLLVITYDEHGGFYDHVHTPYINVPSPDGNTGPAPSFFKFDRLGVRVPTIMVSPWIKKGTVISGPKGPFPNSEFEHSSIPATIKKMFNLSSNFLTHRDAWAGTFEHVVGELSSPRTDCPEKLPEAALLRTTEANEDAGLSEFQSEVVQLASVLNGDHFLSSFAEEMRTKMSVKGAHEYVKGAVSRFIRASKEAIKLGANESTIVDMRSSLTTRSSSIHN >KJB40028 pep chromosome:Graimondii2_0_v6:7:2980061:2982140:-1 gene:B456_007G043000 transcript:KJB40028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESKTSPPPSFSFIFSLFLTVACLFTPLGAQQQSPIKTIVVLVMENRSFDHMLGWMKQHVNPSINGVTGDECNPVSTKNPNLESICFTDDAEFVDPDPGHSFEAVEQQVFGSSTIPSMSGFVEQALSMSKNLSETVMKGFRPESVPVYAALVKEFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKKQLAHGYPQKTIFDSLHENGKDFGVYFQNIPTTLFYRSLRKLKYVFKFHQFDLKFKKDARKGKLPSLTVIEPRYFDLKGLPANDDHPSHDVANGQKLVKEVYEILRASPQWNQTLLVITYDEHGGFYDHVHTPYINVPSPDGNTGPAPSFFKFDRLGVRVPTIMVSPWIKKGTGKLNVDAFRCMRLLY >KJB46462 pep chromosome:Graimondii2_0_v6:7:60339921:60342529:1 gene:B456_007G370400 transcript:KJB46462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKVRHPWRFLLFRNYPRRSPLRISAISQVLIPSPPIVHSFNNIASRSHFTSPFNLMKGLSILNYNNQSNSFSSEAQKDPDHVLVSSVTDIFTKFSGKDDIKRELELNSVVFSHEMVLKVLKNVESSPDVAIRFFDWVLETNGEKLSSKSYNRMLGILGVNGLIEEFWGLVDVMKKKGYGISGAVRDRVALKFEKEGLESDLERLKGVFASGSVDNSIEKVCSRVCKIIKSELWGDDVENRLLNLNVTFSNDLVKMVLEYLASEPAKSLIFFRWIEERGLVKHDGQSYNAMARTLGREDCIDRFWKVVDEMRSLGYEMEMETYTKVFEHFCKKKMIKEAVDLYEFAMAGSNKPSMSSCTFLLKKLAVSKQLDMRLFSRVVKTFVENGNVLTDSMVDSVLKSLTSVGRLREYNKVLKVMQENGFITSGDLQSKITFRLASAGKKDEASEFIASNTDLDHKAWASLIEGCCAAGDLETASTYFENVVEKNGVSHASYAFNWLVYSYCSRKRAKDACKLLHKYVSENQLKPWHDTYKELIRKLLAQDGFKDALSLFDLMKNDGFPPFIDPFIEYVSRRSGSSDDAIAFLKSMTSQRFPSMSVVLRVFEAFFKASRQNEAQDLLSKCPSFVRNNPDVLNLFCSMKSGKVVAAPSMVA >KJB45450 pep chromosome:Graimondii2_0_v6:7:51996509:51997468:1 gene:B456_007G306400 transcript:KJB45450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEKDPLVQKITDLYDQISTLDSLKPSKDVNMLFTQLVLTCMPPTHIDVTKLCKKVQQMRSKLIRLCGEAEGLLETHFSTILASYDNPLHHLNIFPYYTNYLKLSQLEFNILTKHCSNVPNKVAFVGSGPLPLTSIVLASFHLKTTSFHNYDIDPSAKSKALRLVSSDPDLSRRMFFHTTDIMDVTNGLKEYDVVFLAALVGMDKDEKVRVVEHLAKYMNPGAVLMLRSAHGARGFLYPVVDPCDLRGFQVLSIFHPTDEVINSVIIARKFPMPKHCSAEHPVGPMKLPNKCFDIDMLNPLLNHVNLMEELDIEDQLS >KJB45360 pep chromosome:Graimondii2_0_v6:7:51590679:51592657:-1 gene:B456_007G302400 transcript:KJB45360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLPESCVAVILSLTSPSDACKSSSVSTVVGSAADSDLVWDKFLPSDYHEIVSKACNTTFLFASKKQLYHLLCNPVLIADGKMSFKLDRPSGRKSYILSARQLSISSSNDPMFWTWKSIPESRFSEVAELISSSRLEINGNIGSKKLSPNTKYGAYLLLKITERAYGLDLIPSETSIEIGNQSFKNTAYLRCQDDKKQRLENLFYSNRKQMMKSRVVKGDDRVMSRREDGWMEMELGEFFNGESDEEVKMSLMEIKGQQLKGGVIVEGIEIRPKA >KJB45537 pep chromosome:Graimondii2_0_v6:7:52491129:52495809:1 gene:B456_007G3108002 transcript:KJB45537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFASQSSLLMSSATSFDFLLKPIFLHGFSASLHLILLLLLLILWMVSRIKKVSREGSKERQILWYKQTLACCFVVSVSNVVLCLLSYFYWYTNGWSEDKLVSLIDYALKALAWGACATCVCLQCQFSNPGEQKRFPVVLRIWWGFYFSISCYCLVIDIVLFKKHVSFPTQYLVSDVLSVVTGLFLCIVGFFVRNEGEDTLLEEPLLNGDSSVSNGVELSKEKGGDTVTPYSNAGIFSILTFSWMGPLIAAGNKKPLDLEDVPQLDSHDSVIGAFPKFKNRLESADSEGNGVTSLKLVKVLFFSAWKDILWTALFAFTYTVASYVGPFLIDTFVQYLNGQREFKAEGYLLVAAFFVAKLVECLSQRRWFFKLQQVGLRQRAVLVAVIYNKGLTLSCQSKRSHTSGEIINFMTVDAERVGDFSWYMHDPWKVVLQVALALLILYKTLGIASIAAFVATVLVMLANIPLGKMLEKFQDKLMESKDTRMKATSEILRNMRILKLQGWEMKFLSRIVGLRSVEEGWLKRFVYTNAMTAFVFWVAPSFVSVATFGACMFLGVPLESGKILSALATFRILQEPIYNLPDTISMMVQTKVSLDRIAAFLRLDDLQPDAIEKLPSGSSNTAIEIADGNFSWDTSSPTATLKDINLKVSHGMSVAVCGTVGSGKSSLLSCLLGELPKISGTLKLCGTTAYVAQSPWIQSGKIVDNILFGKEMDRDKYDKVLEACTLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLNNLRSKTVIYVTHQVEFLPAADLILVMKDGRIVQAGKYNDILNSGTDFMELVGAHKKALSALDTVEASSVSEQTTSEGESDIGTSNGKVQKQENQDKQSFKVDDVGPKGQLVQEEEREKGQVGLSVYWKYITTAYGGALVPLILLAQILFQIFQIGSNYWMAWGSPVSADIKPPVGSLTLIMVYLALAIASAICVFARSIVVRIAGYKTATLLFKKMHLCIFRAPMSFFDSTPSGRILNRASTDQSAVDMNIPYQVASFAFSVIQLLGIIAVMSQVAWQIFVIFIPVIATCIWYQQYYISSARELSRLVGVCKAPVIQNFAETILGATTIRSFDQEKRFQDTNMVLTDSYSRPKFHVAGAMEWLCFRLDLLSSVTFAFSLFFLISIPEGIIDPAIAGLAVTYGLNLNMLQAWVVWNLCNMENKIISVERILQYCSIPSEPALVVETNRPDHCWPYHGEVHIRDLQVRYAPHMPLVLRGLTCTFPGGLKTGIVGRTGSGKSTLIQTLFRIVEPAAGQIIIDGVNISSIGLHDLRSRLSIIPQEPT >KJB45779 pep chromosome:Graimondii2_0_v6:7:54656503:54663379:-1 gene:B456_007G327400 transcript:KJB45779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSFLPPFMFGLLFATFGVILSAKYSSITVDQSALLALKSHITHDPHNFLTTNWSTSTSVCSWIGVTCGSKHYRVTALNLSSMDLIGTISSQLGNLSFLAWLDIHQNSFQGSLPVELTNLRRMKYLDFGNNSFNGKIPLWFGCFSKLQTLSLYLNNFIGVIPSTLSNLSKLEMLSLGGNQISGRIPNSLFKCKELKFLSLFNNSLEGNIPTEIGNLTLLKTLHLGINHFKGKIPSTIGNLTTLKVIDLAYNNLSGEFPLVIGNLTSLRELYLSYNKLTGEIPLVIGNLTSLRVLSFGNNKLTGEIPLVIENLTSLKILYLSNNKLTGEIPSIIGNLISLTEIDLGLNYFTGEIPSTIGNLTTLEAINFSYNNLSGEIPPVIGNLTSLLMISLFDNNLTAKKFVTP >KJB46279 pep chromosome:Graimondii2_0_v6:7:58734649:58742729:-1 gene:B456_007G3563002 transcript:KJB46279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFKCHEILELITSSKARSLACLVTMRIRECERMREVVASDGDETSYEIVFRALKRLELHCLQNLTSFCSRNYSLRFPSLEQVTLSQCPRMKNFNQGELTTPKLQKVQLTQTDFTGRWAGDLNATVEQLYQEQVGYRGLKHLKLSEVPELVDIWSRNPQEMLDFTTLEFLEVCDSNNLRYIFNLSMAFGLGQLRQMEIKRCGNLEQVIKEEGPITMVEEAITDSSKIISIFPRLRSVIVESCPDMTSFYMGSKGLECPSLVEIKVADCSNMTTFVSTLSGDEDKKDIIGVEVDNVATFFSNKLEKLTISHLRNVKRMWYKQLCSKSFSNLKELEVEHCDSLLNIFPHFFLGVFQRLEKLRVTDCASLEEVFQLQIQMLDIEAACIVTSKLRQVELFRLPKLKHVWNKYRNENISFENLREVHVQECWSLKTLFHFQWPKIFSNLRALLWMVVGWRRLFQKVLKNQTNMKCCLNLISYPSLHFGLYQTSLRTYWPTKTKMFGNVVLQLLLSGKIIPQLEHISLTIDDIATINSGQFAIDLFSHIKVLEITEYLNDSTVFSFRFLQRFSNLEKIEMVDCNFKELSPYEGDVGEERDMTMLLPRINQLTLKGVDKMTYLWKQGSPLHHICANLETLQVNLQVWQLNQYNTCFLIFAKSYNFGEMVELITSSKAQCLEQLVTLKIDGCRMMKEVIASDGDETTYDEIIFKELKCLELYDLQNLKSFCSGNYTLKFPSLDELYVSKCPAMENFCNGALSTPKLQEVQTRWDVRRCWDLNATIEQLNKEECEMSEETDKNGGHKEDHVP >KJB42989 pep chromosome:Graimondii2_0_v6:7:16612872:16616820:1 gene:B456_007G178500 transcript:KJB42989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDAAHKTLKEKEDDINNRLTKLTLKEKEWSVVREKLEMKEKELLIIEEKLNAREKTEIQKLLDEHNAILDETKRAFELEIDGKRKSLDLELKSKVIDVEKKEVEVKHMEEKISKREQALDKKLEKFKAKEKEFELKVKSLKEREQVIRSEEKNLEIKKKHMDADKEELLTLKAETEKLRIANEEQLSKMHEEKDRLRVSEEERSEYLRLQLELKEEIEKCRLQEELLLKEAEDLKRQKEKFEREWEELDGKKLEVEKELKNINLQKEKFEKEKLAEDERLKNEKQVAEDCIKRELEALEVAKETFAATMEHERSVVAEKAESERSQRLYDLELLKSKLESDMQDKFEEMEKEFGERKKSFEEEKERELDNINYLREVARREMEELKQERLKIEKERQEVNASKSHLEGQQIEIRKDIDDLVDLSKKLKDQREQLIKERNRFISFLEKQKSCKNCGEITSEFLLSDLKYLQEIENEGVPLLPSLADNYTSGNIFGNFVASERQMMSPSVASGSPISAGTMSWLRKCTSKIFKFSPAKNIEPHALKKLNVGPSLSSQQVNMKGMSTTENEPELTSVAATESLEIDRFQSDTSTRDVEAGQDLSVDNQNNMDCKELEALEDSQNCDLNHGKQVHRRSRPRAKVRRSAKAVVNDAEAILGKALEPNELEHPNGSVDSVHANALSRGESGLADGGTSRNERKRNHAQTSQISDSKQDVSEGHSDSIAAGQRRKRHQKVVSAIPTGQKRYNLRRPKNGVTVAKTTSDMNRETEGAKDAVDQVNYSSMPASETGDASENSGAHFLQQGETGPDTKDGNAGATKTFDANMALSEEVNGTPQGVGEYGDGNDYHSESHSEGHKDEDEDETDEEEENNLEHPSEVSIGKKLWSFLTT >KJB42987 pep chromosome:Graimondii2_0_v6:7:16609695:16617188:1 gene:B456_007G178500 transcript:KJB42987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPQRKVWSGWSFTPGKKADGSGSDLNSNGVSVGKGKGAAFAEPLTPNCKDVGSEDQEEGLREKVLRLENELFEYQYNMGLLLIEKKEWTSKYEELNEALIEAKDALKQEQAANLIAINDVEKREEILRKALGVEKQCVLDLEKALRDIRSENAEIKFTADAKLSEANAVIASVEEKSLEVEAKLRADDAKLAEISRKNSEIERKLQELESRENALRRERQSFISEREAHETTLSKQREDLREWEKKLQDVEERLAKGQTYVYQREERANENDSLFKQKEQHLEETQKMIDAAHKTLKEKEDDINNRLTKLTLKEKEWSVVREKLEMKEKELLIIEEKLNAREKTEIQKLLDEHNAILDETKRAFELEIDGKRKSLDLELKSKVIDVEKKEVEVKHMEEKISKREQALDKKLEKFKAKEKEFELKVKSLKEREQVIRSEEKNLEIKKKHMDADKEELLTLKAETEKLRIANEEQLSKMHEEKDRLRVSEEERSEYLRLQLELKEEIEKCRLQEELLLKEAEDLKRQKEKFEREWEELDGKKLEVEKELKNINLQKEKFEKEKLAEDERLKNEKQVAEDCIKRELEALEVAKETFAATMEHERSVVAEKAESERSQRLYDLELLKSKLESDMQDKFEEMEKEFGERKKSFEEEKERELDNINYLREVARREMEELKQERLKIEKERQEVNASKSHLEGQQIEIRKDIDDLVDLSKKLKDQREQLIKERNRFISFLEKQKSCKNCGEITSEFLLSDLKYLQEIENEGVPLLPSLADNYTSGNIFGNFVASERQMMSPSVASGSPISAGTMSWLRKCTSKIFKFSPAKNIEPHALKKLNVGPSLSSQQVNMKGMSTTENEPELTSVAATESLEIDRFQSDTSTRDVEAGQDLSVDNQNNMDCKELEALEDSQNCDLNHGKQVHRRSRPRAKVRRSAKAVVNDAEAILGKALEPNELEHPNGSVDSVHANALSRGESGLADGGTSRNERKRNHAQTSQISDSKQDVSEGHSDSIAAGQRRKRHQKVVSAIPTGQKRYNLRRPKNGVTVAKTTSDMNRETEGAKDAVDQVNYSSMPASETGDASENSGAHFLQQGETGPDTKDGNAGATKTFDANMALSEEVNGTPQGVGEYGDGNDYHSESHSEGHKDEDEDETDEEEENNLEHPSEVSIGKKLWSFLTT >KJB42988 pep chromosome:Graimondii2_0_v6:7:16609699:16617106:1 gene:B456_007G178500 transcript:KJB42988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPQRKVWSGWSFTPGKKADGSGSDLNSNGVSVGKGKGAAFAEPLTPNCKDVGSEDQEEGLREKVLRLENELFEYQYNMGLLLIEKKEWTSKYEELNEALIEAKDALKQEQAANLIAINDVEKREEILRKALGVEKQCVLDLEKALRDIRSENAEIKFTADAKLSEANAVIASVEEKSLEVEAKLRADDAKLAEISRKNSEIERKLQELESRENALRRERQSFISEREAHETTLSKQREDLREWEKKLQDVEERLAKGQTYVYQREERANENDSLFKQKEQHLEETQKMIDAAHKTLKEKEDDINNRLTKLTLKEKEWSVVREKLEMKEKELLIIEEKLNAREKTEIQKLLDEHNAILDETKRAFELEIDGKRKSLDLELKSKVIDVEKKEVEVKHMEEKISKREQALDKKLEKFKAKEKEFELKVKSLKEREQVIRSEEKNLEIKKKHMDADKEELLTLKAETEKLRIANEEQLSKMHEEKDRLRVSEEERSEYLRLQLELKEEIEKCRLQEELLLKEAEDLKRQKEKFEREWEELDGKKLEVEKELKNINLQKEKFEKEKLAEDERLKNEKQVAEDCIKRELEALEVAKETFAATMEHERSVVAEKAESERSQRLYDLELLKSKLESDMQDKFEEMEKEFGERKKSFEEEKERELDNINYLREVARREMEELKQERLKIEKERQEVNASKSHLEGQQIEIRKDIDDLVDLSKKLKDQREQLIKERNRFISFLEKQKSCKNCGEITSEFLLSDLKYLQEIENEGVPLLPSLADNYTSGNIFGNFVASERQMMSPSVASGSPISAGTMSWLRKCTSKIFKFSPAKNIEPHALKKLNVGPSLSSQQVNMKGMSTTENEPELTSVAATESLEIDRFQSDTSTRDVEAGQDLSVDNQNNMDCKELEALEDSQNCDLNHGKQVHRRSRPRAKVRRSAKAVVNDAEAILGKALEPNELEHPNGSVDSVHANALSRGESGLADGGTSRNERKRNHAQTSQISDSKQDVSEGHSDSIAAGQRRKRHQKVVSAIPTGQKRYNLRRPKNC >KJB42990 pep chromosome:Graimondii2_0_v6:7:16611138:16617106:1 gene:B456_007G178500 transcript:KJB42990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEWFVQCPTVSSERSVLFLFLLQLFEYQYNMGLLLIEKKEWTSKYEELNEALIEAKDALKQEQAANLIAINDVEKREEILRKALGVEKQCVLDLEKALRDIRSENAEIKFTADAKLSEANAVIASVEEKSLEVEAKLRADDAKLAEISRKNSEIERKLQELESRENALRRERQSFISEREAHETTLSKQREDLREWEKKLQDVEERLAKGQTYVYQREERANENDSLFKQKEQHLEETQKMIDAAHKTLKEKEDDINNRLTKLTLKEKEWSVVREKLEMKEKELLIIEEKLNAREKTEIQKLLDEHNAILDETKRAFELEIDGKRKSLDLELKSKVIDVEKKEVEVKHMEEKISKREQALDKKLEKFKAKEKEFELKVKSLKEREQVIRSEEKNLEIKKKHMDADKEELLTLKAETEKLRIANEEQLSKMHEEKDRLRVSEEERSEYLRLQLELKEEIEKCRLQEELLLKEAEDLKRQKEKFEREWEELDGKKLEVEKELKNINLQKEKFEKEKLAEDERLKNEKQVAEDCIKRELEALEVAKETFAATMEHERSVVAEKAESERSQRLYDLELLKSKLESDMQDKFEEMEKEFGERKKSFEEEKERELDNINYLREVARREMEELKQERLKIEKERQEVNASKSHLEGQQIEIRKDIDDLVDLSKKLKDQREQLIKERNRFISFLEKQKSCKNCGEITSEFLLSDLKYLQEIENEGVPLLPSLADNYTSGNIFGNFVASERQMMSPSVASGSPISAGTMSWLRKCTSKIFKFSPAKNIEPHALKKLNVGPSLSSQQVNMKGMSTTENEPELTSVAATESLEIDRFQSDTSTRDVEAGQDLSVDNQNNMDCKELEALEDSQNCDLNHGKQVHRRSRPRAKVRRSAKAVVNDAEAILGKALEPNELEHPNGSVDSVHANALSRGESGLADGGTSRNERKRNHAQTSQISDSKQDVSEGHSDSIAAGQRRKRHQKVVSAIPTGQKRYNLRRPKNGVTVAKTTSDMNRETEGAKDAVDQVNYSSMPASETGDASENSGAHFLQQGETGPDTKDGNAGATKTFDANMALSEEVNGTPQGVGEYGDGNDYHSESHSEGHKDEDEDETDEEEENNLEHPSEVSIGKKLWSFLTT >KJB40751 pep chromosome:Graimondii2_0_v6:7:11600617:11601607:-1 gene:B456_007G140300 transcript:KJB40751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTLTEDQISEFREAFCLIDKDSDGFITMGELAAVIRTLDVNPTKDVQGMFSEANVEGNGKTIDFKDFLNIMASKMKENLMDELQEAFKVFDRDEDGFISANELRQVMMNLGERLTMEEAEQMIREADVDGDGVVSYEEFARMMMMAF >KJB40611 pep chromosome:Graimondii2_0_v6:7:5002013:5004184:1 gene:B456_007G071000 transcript:KJB40611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMVELEFFKMEYANARLECNAADEHANILASEVIGLEEKACRLRSNELKLERQLENSQAEISSFKKPAANQHADQHPS >KJB46460 pep chromosome:Graimondii2_0_v6:7:60315776:60316505:1 gene:B456_007G370200 transcript:KJB46460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSKRSIGIKRSLDAREKGTEEQCNFHQSLEPKNKKLTLEQLGEKDEKQINKIMTDRLNRQLEQDKKSDMELVHTKQWPARPDVDEIRQYWKEIVKQCGSRRG >KJB40932 pep chromosome:Graimondii2_0_v6:7:5955256:5956644:1 gene:B456_007G083200 transcript:KJB40932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSCTVNILEIAQIKPSLESSNFTIEFSLPLTFFDSFWFTYPPVEGLLFYNLNDLTPADFGSEILPKLKQSLSLTLRHYLPLAGSLKWPSDAPRPFILYAPDDGVSVIVAESDADFHRLSSNGVYEAVELHLLIPHLRSSDDSASILAIQITFFPSQGFSIGITAHHTVLEGKTTSMFMKSWAYLCRLGNKENPGLPLELIPSFDREIIKDPTGLDLDILYLNQRLICSSGNKSLKVPTNKRATPNLVRATVTLSQEDFKKMREKVLSKSPDSSKTPHLSDFALTLGYVASSIVKARGGAGDRSVCLGFTADCRHRLDPPVPETYFGNCNVILADISKARGYMDFENGFAFGAVKVSNMVKGLKEKGVFEGAKDRLTPLFKIAKEPPGSVQKLIVAGSPRFDLYKTDFGWGRPWKVVLVSIDKNEAISMAESRDGNRGIEVGLALKKPEMERFLSMFLKDV >KJB40975 pep chromosome:Graimondii2_0_v6:7:6133903:6134263:1 gene:B456_007G085800 transcript:KJB40975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAGATNPRVQWKQMGYEEKTITVHEEMKNLNQLPTTNSYVIHRMRVLNKILQLLSIQVIALQEEELELLFAGLSL >KJB42022 pep chromosome:Graimondii2_0_v6:7:10773406:10775736:1 gene:B456_007G132800 transcript:KJB42022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNEVNLNESKRVVPLNTWVLISNFKLSYNLQRRPDGTFNRDLSEFLDRRVPANINPVDGVFSFDHVDGATGLLNRVYQPSPKNEAQWGIVDLEKPLSTTEVVPVIVFFHGGSFTHSSANSAIYDTFCRRLVSICKAVVVSVNYRRSPEHRYPCAYDDGWAALKWVKSRTWLQSGKYSKVHVYLAGDSSGGNIAHHVAARAAEADVEVLGNILLHPMFGGQMRTESEKRLDGKYFVTLHDRDWYWRAYLPEGEDRDHPACNPFGPRGGTLEGLKFPKSLVVVAGLDLIQDWQLAYVEGLKKSGQEVKLLFLEKATIGFYFLPNNDHFYCLMEEMNNFVHSNC >KJB42023 pep chromosome:Graimondii2_0_v6:7:10773175:10775736:1 gene:B456_007G132800 transcript:KJB42023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNEVNLNESKRVVPLNTWVLISNFKLSYNLQRRPDGTFNRDLSEFLDRRVPANINPVDGVFSFDHVDGATGLLNRVYQPSPKNEAQWGIVDLEKPLSTTEVVPVIVFFHGGSFTHSSANSAIYDTFCRRLVSICKAVVVSVNYRRSPEHRYPCAYDDGWAALKWVKSRTWLQSGKYSKVHVYLAGDSSGGNIAHHVAARAAEADVEVLGNILLHPMFGGQMRTESEKRLDGKYFVTLHDRDWYWRAYLPEGEDRDHPACNPFGPRGGTLEGLKFPKSLVVVAGLDLIQDWQLAYVEGLKKSGQEVKLLFLEKATIGFYFLPNNDHFYCLMEEMNNFVHSNC >KJB42310 pep chromosome:Graimondii2_0_v6:7:12504471:12510942:1 gene:B456_007G147800 transcript:KJB42310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVIGGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEADYNVMVIDLLGPSLEDLFNYCSRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFQYCRSLRFEDKPDYSYLKRLFRDLFIREGYSFDYVFDWTVLKYPQIGGSSRSRHSSGRAGLAAGPAIERPERISVGREVRDRFSGAVEAFSKRNISSTSPHHDHSKNKAAEDATLSKHAHPDSGKRRSSSRYGSTSRRAVVTSRPSSSGEPNDIPQNRLSSTGGRLSTTQRIQHALEAKTSSRATTVRGSRDDHPLRSFELLSIRK >KJB42311 pep chromosome:Graimondii2_0_v6:7:12506086:12510942:1 gene:B456_007G147800 transcript:KJB42311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQGGTGIPHLKWFGVEADYNVMVIDLLGPSLEDLFNYCSRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFQYCRSLRFEDKPDYSYLKRLFRDLFIREGYSFDYVFDWTVLKYPQIGGSSRSRHSSGRAGLAAGPAIERPERISVGREVRDRFSGAVEAFSKRNISSTSPHHDHSKNKAAEDATLSKHAHPDSGKRRSSSRYGSTSRRAVVTSRPSSSGEPNDIPQNRLSSTGGRLSTTQRIQHALEAKTSSRATTVRGSRDDHPLRSFELLSIRK >KJB38985 pep chromosome:Graimondii2_0_v6:7:9858730:9860255:1 gene:B456_007G123800 transcript:KJB38985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEGSAFRSKFLDTDELMSYCSWFATFSSMIPKWLRKTKIVKKISQMLVNHLGLNLTKDLQNVVDLMEPYGQISNGIEYLNPPIDVSN >KJB44715 pep chromosome:Graimondii2_0_v6:7:44936313:44941972:1 gene:B456_007G268100 transcript:KJB44715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTLEMEPVEPQSLKKLSLKSLKRTLDLFSPIHGQFAAPNPERAIEHPTFSALTRPLCDLYSLIPPLLVVTHKKLRFNMGNDVLSFGDLNVYGSWVLLVMSLRALMEVIKGKLLVFMVLKIGVKLVALIPCLLIMG >KJB43956 pep chromosome:Graimondii2_0_v6:7:27155798:27156752:-1 gene:B456_007G226000 transcript:KJB43956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLASLFILTLLLVSTLSLSFAARSGPAFANDSPAKTQSQATTTSTTTDGIEQSEDRCEGVGEDECLMRRTLAAHLDYIYTQKQKP >KJB43283 pep chromosome:Graimondii2_0_v6:7:18765501:18770333:1 gene:B456_007G191700 transcript:KJB43283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANINMDNFSTSAVLTAVNHSPSCPDQSHPATRPISASWSQIVLGESEPLAGVPLSPSSSSSSSTAVIEPPVPTAVEGEGVDNVSAGPNSNAGKRLAWNKPSDGATEFAPVIEADAWPALSKSARVSLKPSSDSSRALPDGSPFVPFSQGSGSTSLLSSSQKEAKKVEKLNLNSTPNHAMPARQRSMKRNSNNSASSSGLLQPPPQGALVEAPVNGPSSRDHIQRSGFVSQAGNDHPRNSFRHRNAGPRPRGDGSHHQNYGGRRNPSLGNQDWNGRNFNSRDAHMQPRVVPRLMRHQPPAPPPNAAPFIAPPPVRPFGTPVGYPELASQFYFIPAPPPESLRGVPFVAPMPPVLFPAPEPPDRQLHANIVNQIDYYFSDENLIKDTYLRQNMDDQGWVPIKLIAGFRKVSLLTDNIQLIRDALRSSTVVEVEVSSRLKSWVVSSSLLGQ >KJB43281 pep chromosome:Graimondii2_0_v6:7:18765452:18770472:1 gene:B456_007G191700 transcript:KJB43281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANINMDNFSTSAVLTAVNHSPSCPDQSHPATRPISASWSQIVLGESEPLAGVPLSPSSSSSSSTAVIEPPVPTAVEGEGVDNVSAGPNSNAGKRLAWNKPSDGATEFAPVIEADAWPALSKSARVSLKPSSDSSRALPDGSPFVPFSQGSGSTSLLSSSQKEAKKVEKLNLNSTPNHAMPARQRSMKRNSNNSASSSGLLQPPPQGALVEAPVNGPSSRDHIQRSGFVSQAGNDHPRNSFRHRNAGPRPRGDGSHHQNYGGRRNPSLGNQDWNGRNFNSRDAHMQPRVVPRLMRHQPPAPPPNAAPFIAPPPVRPFGTPVGYPELASQFYFIPAPPPESLRGVPFVAPMPPVLFPAPEPPDRQLHANIVNQIDYYFSDENLIKDTYLRQNMDDQGWVPIKLIAGFRKVSLLTDNIQLIRDALRSSTVVEVEGEKVRKRIDWMKWILPPSVKFPAMSGQDMLVARVQDISLDQRTADVSGSRNQEDACAGLSDRSSSGEFNNRSQVFSSEGTAVSAQSVAASN >KJB43282 pep chromosome:Graimondii2_0_v6:7:18765501:18768495:1 gene:B456_007G191700 transcript:KJB43282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANINMDNFSTSAVLTAVNHSPSCPDQSHPATRPISASWSQIVLGESEPLAGVPLSPSSSSSSSTAVIEPPVPTAVEGEGVDNVSAGPNSNAGKRLAWNKPSDGATEFAPVIEADAWPALSKSARVSLKPSSDSSRALPDGSPFVPFSQGSGSTSLLSSSQKEAKKVEKLNLNSTPNHAMPARQRSMKRNSNNSASSSGLLQPPPQGALVEAPVNGPSSRDHIQRSGFVSQAGNDHPRNSFRHRNAGPRPRGDGSHHQNYGGRRNPSLGNQDWNGRNFNSRDAHMQPRVVPRLMRHQPPAPPPNAAPFIAPPPVRPFGTPVGYPELASQFYFIPAPPPESLRGVPFVAPMPPVLFPAPEPPDRQLHANIVNQIDYYFSDENLIKDTYLRQNMDDQGWVPIKLIAGFRKVSF >KJB42244 pep chromosome:Graimondii2_0_v6:7:12151045:12151087:-1 gene:B456_007G144600 transcript:KJB42244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLEVVMRQRLN >KJB41487 pep chromosome:Graimondii2_0_v6:7:8002951:8006032:1 gene:B456_007G106500 transcript:KJB41487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKMEILDVEKLIGDFEVMTKDAENVQRETLKMILEENGCAEYLQNMGLNGRTDPESFKACVPLVTHKDLEPYIQRVADGAFSPILTGKPITTISLSSGTTQGKPKFVPFNDELMESTLQIYHTSFAFRNREFPIENGKALQFIYSSKHSKTKGGLFAGTATTNVFRNSQFKKAMTAMQSECCSPDEVIVGPDFHQSLYCHLLCGLIFHEEIQLVSSTFAHSIVLAFRTFEQVWEELCDDIREGVLTSRITFPSVRSAMAKLLKPNPELADLIEKKCSRLSNWYGLIPELFPNVKYIYGIMTGSMEPYLKKLRHYAADVPLISADYGSSEGWIGANINPSLPPESTTYAVLPNIGYFEFIPLKENVEEHMQENGNVSFLSTEPKPVGLTEVKVGEEYEVIITSFAGLYRYRLGDVVKVMGFHNSTPELKFVCRRNLMLTINIDKNTEKDLQLAVEEAAKLIAEEKREVIDFSSNVDVSADPGHYVIFWEISGEVSDEVLKECCNCLDRSFVDAGYVSSRKVNAIGPLELRVVWKGTFHKILDHYLGLGAVVSQFKTPRYVGPTNNKVLQILCDNVAKNYFSTAF >KJB44738 pep chromosome:Graimondii2_0_v6:7:45158536:45159736:-1 gene:B456_007G269300 transcript:KJB44738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKHETVFLKLIKIESFEESTKAEAMGEMTQEPGFEIPNQEEDDLKLQEPINLSLLHLDNFKNNQQNRHSCTTCACNVTASNPMKRPSPESIAEHKSKKPLLENQYSLSGFSKIPLPLLQRSTSDPYTPTKPNLSDTAKTLEDTPLSKGSASSSLPPRAPVLSRSISDPIFSPAKSLSRSSSSYEMGVELIKEESPSAKRLKRMKERMKEMSNWWGEAMREVEDVLCTTEAIKDNGEPDCEEAVRVEKIGECLDLHFKCPCGKGYKILLSGKNCYYKLI >KJB44400 pep chromosome:Graimondii2_0_v6:7:39509881:39515917:1 gene:B456_007G250800 transcript:KJB44400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKLEFGVRVWKFMVVSVKTSYRLVWNHPIFVGLVGFIIYLYRSFPFMFSILVTASPVLVCTAVLLGLLLSFGSPNIPEIDEKEKEKEEKISHKVSPLKTGATEGNYVVERDVDHGDFVVERHVGERRGIVENAYGKVSLADNEVTEVEEDDSSISYKMLVDERMGIDCDNGVIQGMSNDLLSQKREILPEMLGTEDVFSKREPVADGVRDRNLEVDHSKFAGAFSDVLKGDELESSLMSSWKHDVANEDDDDDKYLDSGSDGTENSSPDVSVTDIIPALDELHPLLGSEAPQPALLSVDCSDAVSERSHGSSNGSVDSYELENQREEEGKGSKTDNEDESKSAIKWTEDDQKNLIDLGTSELERNQRLETLIARRRAHKNMRSMNEKNLIDLDGANIPSHITAISTRQNPFELPYDPCEDLGLPPIPGSAPSISRPRNPFDLPCDSSQEKPDPEGVNFQEDFSGFNQRETVPQRESMFRRHESFHVGPSSFVVPRQELNWKPYFVPERLVTEGASFQRQSSEASESKMSSVPDTESVSSILDEDKQPNVSQETEPILNEDHSSVHDEQESQSSGEVESVNILLAENRDVHHDVVEIILGDGENQLGTESNLSGATPISLMEFNSSEIHSRTEMVTEDSSSSSSSSSSSSSSSSSETDEEISDVKEEGFASFEPRDHETEEFGFSMQPSFEESESHSTSRAVDDNQRREPVYDSSPPSLEKFLSFSSVPSNTLAEISKMVSPLMLVESTDEGLKRHGETTEPSTTTFQEMHAASSDLCSENERRATDMLENSINVVKSVDSPRVSSSSSDHNVVEYFSTDAGSSSSDEELGKDVGRLAIDKENTQLEQVHISSSSEGSFMPKEDQMHSSNSFASLDVDHHHYKSGELSSTGLTHEHTPSGDASSSTAAGSGHVSVAQVSQVHSSKANIREEHKKESKMDQAQSPSSDSGIDAGLNRGITAEEISSESSYQDVPYREGSSPESDKQLLWHDTDMDEHDKVKEDMKAKTNAVLPVLEARSAEDIYLSFKQLHEGVDIEEATVLSMIEKPPDHGGNKSKLPVFEARSLEDIHKAVRQLPESNPAEPPHSSGSKNESSKDTKTETNLVLPILEARSAEDIDLAFKQLHEGVDIEEVIVPSMIEKLTDHGDNKSKLPIVEARSLEDIHKAVRQLPESNPAEPPHSSGLRNESSTDMNTETNVVLPVLETRSAEDIDLAFKQLHEGVNVEEVIVPSMIEKLPDLGDNKSKLPVVEARSLEDLDKSIQQLAKPNPAYSSGSGSKNESSKDAKTETNVVLPVLEARSAEDIDLAFKQLHDGVDIEEVIVPSMIEKLPDHGDNKSKLRVVEARSLEDIDKAIQQLPKSNPAELPHSSVSRNEPSKDMKTETNVVLPVLEARSAEDIDLAFKQLHEGADVEEVIVPSMIVEPQDDTDNKSKLPVVEARSLKELHNAVQQGLESDPAKLPHSSGVNNAGGSRP >KJB45536 pep chromosome:Graimondii2_0_v6:7:52496197:52496939:1 gene:B456_007G3108001 transcript:KJB45536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQTTLREHFSDCTVITIAHRITSVLDSDMVLLLSHGLIEEYDSPSSLLENKSSSFAQLVAEYTVRSNSGF >KJB41412 pep chromosome:Graimondii2_0_v6:7:7762198:7764080:1 gene:B456_007G103400 transcript:KJB41412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDWDLHAVVRGCATVTATSNGGVGSGYSMADLHPPQSGVSSFGSEEAFEGHALSSPNQLEELHELYKPFFPKSQPLSPQSTPLSSLSSISFSKEARIEEQQQQSKLSLAASVTTAITAPTNNSATSTSHNSRSKRRKNQLKKVCQVPTEGISSDVWAWRKYGQKPIKGSPYPRGYYRCSTSKGCLARKQVERSRTDPAMFIVTYTAEHNHPAPTHRNSLAGSTRQKPCTSQTPTAGDSVKPFSAKPAGSSSLTTSVDEELMVQNTKAGSREDLVEDEGEDDFGMSDTAVSDDFFEGLEGIADMVTGNCFSDRFQPSFDLPWIASNAATAAGGV >KJB41645 pep chromosome:Graimondii2_0_v6:7:8817591:8819902:-1 gene:B456_007G113700 transcript:KJB41645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLLTDLVSTVNSIPQNFIRPESDRPNLDEVTFDHSIPLVDLQHLDDGPNRSTVVKAIGDACRNCGFFQVKNHGVSKEVIGKMLHVSKQFFHLPESERLKNYSDDPMKTTRLSTSFNVRTENVSSWRDYLRLHCYPLEDYVHEWPTNPPSFREDTSEYCKNTRRLAVRLLEAISESLDLERDYINSALGKHAQHMAINYYPPCPEPGLTYGLPGHADPNAITILLQDEVPGLQVLKDGKWITVNPIPYTFIVNIGDQIQVVSNDSYKSVLHRAVVNCKEERISVPTFYCPSPDAVMGPAPKLIDPLHHPPLYRNFSYGEYYRSFWKRGLNSETCLDMFKI >KJB46634 pep chromosome:Graimondii2_0_v6:7:60937072:60938539:1 gene:B456_007G379500 transcript:KJB46634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCGSKGSCRGGASSLSGGRKGISIGKNRVFQSSCLGAISGSADSHFDRQVVVVDHHNKDYGSNFTNQNSRERESVSDQVKRECSRKVEAESASDYEMPLPCISSNGVDIDASRSGSSSGRATTALRRANSLGSSRAYPVSPPSLTILNRDDNFETLEHRQLSPNSSSINLYSPLTFNDSDTYRERDRRVGVGSRESVERNRVLRRPSLSNFTLCPLQQSSHVGSQTHAFRGDRDTRQFATSPSKSTSVSGSAHTTTVLSHTPVFNIQGHEHRSNFLERRRRIRSQVSDLLAPVSQLHNLEKPGIMIYSSTTARASLYRIVKLAEALFELISMHFNYVLDEIHQQSVVLSSRASVSSIGSVPAPNEVVELLPVKIYNKSHKLHNDEAGH >KJB46152 pep chromosome:Graimondii2_0_v6:7:58039148:58042027:1 gene:B456_007G350300 transcript:KJB46152 gene_biotype:protein_coding transcript_biotype:protein_coding description:AS2 [Source:Projected from Arabidopsis thaliana (AT1G65620) UniProtKB/TrEMBL;Acc:A0A178WIA0] MASSSSSLSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFVNVHKVFGASNVTKLLNELHPSQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLSCAKSELSKYQSLGITGHAGLIAAAAAATATTHQNLGINLIGSGGGGGGGGREHHFHHHQFFPRDHHHHHQQQMLMSFDAGSNCDANLLAMNVSSGIGQLSQFQSPRAAAGDDRRTIHPS >KJB42422 pep chromosome:Graimondii2_0_v6:7:13008541:13010821:1 gene:B456_007G151900 transcript:KJB42422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVPDFKMEDDYAIFLSPSSLTRVEKPPSRPEDEIMELLWQNGQVVMQSQNQRSMKKLPSFKLLDADHSAPREIRSSSPSHKHRRHHHQEQQQLLTDHLFMQEDEMASWLHYPISDANFDHDFCTDLLYPPSSSPTAAVAPCMSSTVATSTPPILKRVSQVSVSASAKESVPRPPVPPARRNELEPARIHNFSHFSRHITARTEQSELSNSKNVMGESTVVDSSNTPAAAPESRAAQVMPSNTEAASGGNNNNNNNANANMSAAGVPYLQSADVRLGANKDSLATCEVTVTSSPGGSSASAEPTAQKAASAEDRKRKGRELDEAECHSENAEFESADTKKQTRGSTSAKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDDAIDYLKSLQMQVQSCGSSIMT >KJB42420 pep chromosome:Graimondii2_0_v6:7:13008145:13012197:1 gene:B456_007G151900 transcript:KJB42420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVPDFKMEDDYAIFLSPSSLTRVEKPPSRPEDEIMELLWQNGQVVMQSQNQRSMKKLPSFKLLDADHSAPREIRSSSPSHKHRRHHHQEQQQLLTDHLFMQEDEMASWLHYPISDANFDHDFCTDLLYPPSSSPTAAVAPCMSSTVATSTPPILKRVSQVSVSASAKESVPRPPVPPARRNELEPARIHNFSHFSRHITARTEQSELSNSKNVMGESTVVDSSNTPAAAPESRAAQVMPSNTEAASGGNNNNNNNANANMSAAGVPYLQSADVRLGANKDSLATCEVTVTSSPGGSSASAEPTAQKAASAEDRKRKGRELDEAECHSENAEFESADTKKQTRGSTSAKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDDAIDYLKSLQMQVQMMSMGCGMVPMFPGVQQYISPMGIGMSMGMDMGIIRPMMSFPNVVAGSALPTSAAAAHLGPRIPMPAFHMPPSVPAPDPSGFQPSNQSDPIPNPLVMQNLNQAQQYIGLHQTMQIPHPQGQAMAQPSSRRGAEKVENHPTG >KJB42421 pep chromosome:Graimondii2_0_v6:7:13008239:13011900:1 gene:B456_007G151900 transcript:KJB42421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCVPDFKMEDDYAIFLSPSSLTRVEKPPSRPEDEIMELLWQNGQVVMQSQNQRSMKKLPSFKLLDADHSAPREIRSSSPSHKHRRHHHQEQQQLLTDHLFMQEDEMASWLHYPISDANFDHDFCTDLLYPPSSSPTAAVAPCMSSTVATSTPPILKRVSQVSVSASAKESVPRPPVPPARRNELEPARIHNFSHFSRHITARTEQSELSNSKNVMGESTVVDSSNTPAAAPESRAAQVMPSNTEAASGGNNNNNNNANANMSAAGVPYLQSADVRLGANKDSLATCEVTVTSSPGGSSASAEPTAQKAASAEDRKRKGRELDEAECHSENAEFESADTKKQTRGSTSAKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDDAIDYLKSLQMQVQMMSMGCGMVPMFPGVQQYISPMGIGMSMGMDMGIIRPMMSFPNVVAGSALPTSAAAAHLGPRIPMPAFHMPPSVPAPDPSGFQPSNQSDPIPNPLVMQNLNQAQQYIGLHQTMQIPHPQGQAMAQPSSRRGAEKVENHPTGRSISF >KJB40948 pep chromosome:Graimondii2_0_v6:7:6039561:6040589:1 gene:B456_007G084500 transcript:KJB40948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYYYYAGQADRYEAHYLDACSLCRKPLRDSDIFMYRGNTPFCSKECRQEQMEMDEAREKNWKSGRSLRKSDAQNSTPNKAVRTGTVAVA >KJB40947 pep chromosome:Graimondii2_0_v6:7:6039588:6040589:1 gene:B456_007G084500 transcript:KJB40947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYYYYAGQADRYEAHYLDACSLCRKPLRDSDIFMYRGNTPFCSKECRQEQMEMDEAREKNWKSGRSLRKSDAQNSTPNKAVRTGTVAVA >KJB43438 pep chromosome:Graimondii2_0_v6:7:20367558:20369915:1 gene:B456_007G200000 transcript:KJB43438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKSFMAEQATQKDIGLGTMLKELPSLSTILSAYASFSAMAMLFRTILNEMLPERMQNYIASKIYDFTAAYFSSDFTFIIEDRWRAGDNQMFRAAEIYLPTIIGPSSDSILVGSDDSSDPTAPPKRSIPVDCRIKDNYDGMRLEWTLSSIDPKKFYVPPKRFFSLTCKKRDRERVEQRYFPFINKTAQEIINKSESLSIYTYDQECSMWDPTVFKHPATFETLAMEPHLKEFIMKDLDSFVERKEFFENVGRAWKRGYLLYGPPGTGKSSLVAAIANYMRYDVYDLQFQSVRNDSDLRRILTSTTNRSILLIEDIDCSTKVSHNRTKVKEKSEEEDDDDEDRDETKRPFSIDPGVTLSGLLNFIDGLWSSCGNERIIIFTTNHKEKLDPALLRPGRMDVHIYMGYCSPAGFRKLAASYLGIKDDKLFGRIDDLIKSVEVTPAEVAQQLMISNEPKVALESLIEFLNAKKDIEEAAEKENSIEEEEVTEEMEVERQNSMLAESETRCIYLT >KJB44001 pep chromosome:Graimondii2_0_v6:7:28124788:28132051:-1 gene:B456_007G229100 transcript:KJB44001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8B [Source:Projected from Arabidopsis thaliana (AT3G49650) UniProtKB/Swiss-Prot;Acc:Q9SCJ4] MPTIRAPAKKQTTTLTVAVKCRPLSQRACGRDIVRVKNNKEVVVLDPDLSKDYLDRIQNRTKEKKYCFDHAFGPQSTNLDTYQKCISTIISGVVQGLNATVFAYGSTGSGKTYTMVGTQHDPGLMVLSLHTIFDLIRRDKSSDEFEVTCSYLEVYNEVIYDLLEKSSGSLELREDPEHGIVVAGLRCIKVHSADKILELLNLGNSRRKTESTEANATSSRSHAVLEIIVRRKQRNKYKNQVMRGKLALVDLAGSERASETNSTGQKLRDGANINRSLLALANCINALGKQQKRGLVYVPYRNSKLTRILKDGLSGNSQTVMVATISPADSQYHHTVNTLKYADRAKEIKTHIQKNIGTIDTHVSDYQRMIDSLQIEVCRLKKELADKESQLSVKPTETTADDELSWLNVLSQEISENVQERINLQKALFELEETNLRNRTELQHLDDAIAKQPVSEKDGTVSEALRVRRQDILDNIRDNDEAGINYRKEIEENEKHRCQLQGMIEEAISNNGNKTYLRILSQYRLLGMANTELQFEMAMREQIIHNQREAQRNLWNLIMGLGLDEKQIFDLAAKQGITIEDWTVTRYPGLSNRGKSPNLASGGYAPFSYGLSINQWRRSSCIYQNHQRVASKSFSQDRWDLSPTFCREKHHSFYYLLAHDNSPPCVRFRRSSDNWVSGGHPISWFGTPDKLPRDLRKSYPEITPVSSCNEIYLPTPALGADFGRAKGHYEAKFIQQGSS >KJB44000 pep chromosome:Graimondii2_0_v6:7:28124788:28131718:-1 gene:B456_007G229100 transcript:KJB44000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8B [Source:Projected from Arabidopsis thaliana (AT3G49650) UniProtKB/Swiss-Prot;Acc:Q9SCJ4] MPTIRAPAKKQTTTLTVAVKCRPLSQRACGRDIVRVKNNKEVVVLDPDLSKDYLDRIQNRTKEKKYCFDHAFGPQSTNLDTYQKCISTIISGVVQGLNATVFAYGSTGSGKTYTMVGTQHDPGLMVLSLHTIFDLIRRDKSSDEFEVTCSYLEVYNEVIYDLLEKSSGSLELREDPEHGIVVAGLRCIKVHSADKILELLNLGNSRRKTESTEANATSSRSHAVLEIIVRRKQRNKYKNQVMRGKLALVDLAGSERASETNSTGQKLRDGANINRSLLALANCINALGKQQKRGLVYVPYRNSKLTRILKDGLSGNSQTVMVATISPADSQYHHTVNTLKYADRAKEIKTHIQKNIGTIDTHVSDYQRMIDSLQIEVCRLKKELADKESQLSVKPTETTADDELSWLNVLSQEISENVQERINLQKALFELEETNLRNRTELQHLDDAIAKQPVSEKDGTVSEALRVRRQDILDNIRDNDEAGINYRKEIEENEKHRCQLQGMIEEAISNNGNKTYLRILSQYRLLGMANTELQFEMAMREQIIHNQREAQRNLWNLIMGLGLDEKQIFDLAAKQGITIEDWTVTRYPGLSNRGKSPNLASGGYAPFSYGLSINQWRRSSCIYQNHQRVASKSFSQDRWDLSPTFCREKHHSFYYLLAHDNSPPCVRFRRSSDNWVSGGHPISWFGTPDKLPRDLRKSYPEITPVSSCNEIYLPTPALGADFGRAKGRVQSLFIDSLHYFPDIPHLFYQA >KJB44552 pep chromosome:Graimondii2_0_v6:7:42353689:42353851:1 gene:B456_007G2592002 transcript:KJB44552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKLLMLSVVAIFLPAMAMATDYIVGDDSGWTINFDYQAWAKDKVFYVGDKL >KJB39603 pep chromosome:Graimondii2_0_v6:7:1970738:1971762:-1 gene:B456_007G028800 transcript:KJB39603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTEVPLIAASETQSSSSPPENESAAEIFKSERTRKPETGNERKGKVKNDEIFEGKHQTYRGVRMRQWGKWVSEIREPKKKSRIWLGTFETAEMAARAHDVAALTIKGESAYLNFPDSAHELPRPRSSSPKDIQAAAAKAAAMSFTKSSHKHDDDGADQLIPHRDSSSSSSPLINDDAFIDLPDLLMHMNHRIDEFWVSFPWPVTATDDDIVENGFGYSESRLREYF >KJB46571 pep chromosome:Graimondii2_0_v6:7:60679745:60681613:-1 gene:B456_007G375000 transcript:KJB46571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKGMRSLCFSPETPLFQGSVSPSSSITSPASGFSESMIEQIIDTAAIIVAKWDGETSPYAKVTSMFYESKREAMHFIRSVNDLRKIMHFLVSGQDSGSENLIRAQRLMQIAMKRLQKEFYQILSMNRAHLDPESVSTRSSRTSTQSSLSDYDYDASPDYEIGAAKESISEVEEVSFMAMSDLKSIADCMIGSGYAKECVQIYNMIRKSIINEGIYKLGIEKVSSSKINKMEWDVLNLKIKDWLEAMKISMRTLFTGERILCDFVFSSSDSIRESCFSEITKEGALLLFEFPEVVGKVKKSSPERIFRLLGMYTAISDNWPEIETIFSFESISAVRSQALNSMARLSESVRLLLLDFESMIRKDSSKTTVPGGGIHPLTIYSMNYLTALGDYGNILADIISDWPPPAISSLPEISFYSPVSNESPAAPISDRISRLIIVLLCKLDSKAKYYKDAAVSYLFLANNLQYVISRIHKSNLHSLLSKEWITKHEVKVNQLATSYERFAWGNVLASLPANPTAPMKPAEAKECFRKFSISFEDAYWKQISCVVPNSMLRDQIKVSIGRKLVAVYQNFFDSHKSTIGDEKSITLFVRFYPEDVGNYLSDLFIRTLTSGGGSSPSSSS >KJB44779 pep chromosome:Graimondii2_0_v6:7:46004072:46004550:1 gene:B456_007G272200 transcript:KJB44779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKKMMVVWICAMVVSSMLMENGANAENLNYGAIERGDMPKPCRPGTLNCVPEPANKYDRGCSRLEKCRNGR >KJB41611 pep chromosome:Graimondii2_0_v6:7:8677777:8678536:1 gene:B456_007G112200 transcript:KJB41611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWATSPAASLPYLPAPIPIHTRYPFPLNVRLPRHPAASNQDDGKEISGSDVLWALQRAAAHKKKANRKKKGSASSPEPTQRLEDTIDYTNVKPLQIRTEWSLKLDELEERLHVLQQEGTT >KJB45543 pep chromosome:Graimondii2_0_v6:7:52512247:52512855:1 gene:B456_007G311100 transcript:KJB45543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTYKQGWHLQRLKSLCGNELARHGICVSERCCYVGDPMSFMVLGMAGCLPFAAECNRGVWNVMLLAIVLVHTALQEQH >KJB45870 pep chromosome:Graimondii2_0_v6:7:55846392:55848070:1 gene:B456_007G334600 transcript:KJB45870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIEAQHDSKSGSFSSTSESTTTTADQPPIFSPDNRWKPWLLIALNILFLLSGQSAAVLLTKFYFNNGGKSKWMASLMQTAAIDNFMYTVGVKPLSISTYSLLCASQLVFNAIFLVVINCEKLGILTLNLIIFITVSASMVAIHPDSSETKRDEKNPVRKNEHSIGFISIVGASAGYALLLSLTQFSFDKILKKDTFSVVFEMQIYTSLVSSFVCLLGLFLSLEFMDLKSEMEKFDEGKVIYVVSLIGIALAWQICTVGVVGLIYLVSSLFSNVVSMLSLPFVPVVGVLLYKEKMDAIKVLAMLFTLWGFASYIYQQYLDDKKSKKKESQEIEDSKTEV >KJB42523 pep chromosome:Graimondii2_0_v6:7:14080223:14083890:-1 gene:B456_007G160900 transcript:KJB42523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFERNFHLQFIHVRRQYIFLQNFRSFLCVFGTIYKGLKIVLFGVLLVFGGIKVSSMSILLSMGTNPLCLAIALNSCWLLRVSLLMMFMECKILATLRNVHGCHNGDIYVIFLGIVRIMSCRCISNFHFEIDTHCQLTMRESTLVAIHARAWMYTSVLSVVVI >KJB43120 pep chromosome:Graimondii2_0_v6:7:17759314:17759995:1 gene:B456_007G185100 transcript:KJB43120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLQKSIPGTLRGYWRRRRYQRLHGGEVIKKKNVKLTRIGARSRRFWKIKAIPKLRWRPMKLLTKLKNGYMNMMLRLAGTENQFGGKRIPKARKVALGYSCYEFDQRLVYEIYKNLSATHELYG >KJB39662 pep chromosome:Graimondii2_0_v6:7:1721760:1722251:-1 gene:B456_007G024300 transcript:KJB39662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVGFSAVLFPKLLIPSLTLLLYLRDFISALFLFLGLPDFLEPHIPPTHTADTGVPAARHRDTSITALLLRELLPVVKFSHLVDPPDSCAVCFYDFEREDETRRLMNCRHVFHRSCLDRWMGYDRKTCPLCRMSFVPDDMEETFNERLWAAASIPESFDDY >KJB44520 pep chromosome:Graimondii2_0_v6:7:41794236:41805108:-1 gene:B456_007G257300 transcript:KJB44520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLAKPCAASFAFRVKASPSTNILLKPNSSAKNTVCKHAHTSAMVEFNTCSFGYYWKNEFANRDCAKEVGIRVIMHTVDSFG >KJB43148 pep chromosome:Graimondii2_0_v6:7:17963713:17967621:1 gene:B456_007G186800 transcript:KJB43148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHSVFNPNPVSTFTSSTISTKGDKQWPGYLMLNGKQMHVRLSNGYRARARSAINVNSTAIEIPHQWYNLVADLAIKPPPPLHPKTFEPVKPEDLSPLFADELIKQEVTDERFIDIPEEVIDVYRLWRPTPLIRAKRLEKLLDTPARIYYKYEGVSPAGSHKPNTAVPQVYYNAQQGVKNVVTETGAGQWGSSLAFACSLFGLGCEVWQVRASYDQKPYRKLMMQTWGAKVHPSPSDITHAGRKILQMDPSSPGSLGIAISEAVEIAAANDGTKYCLGSVLNHVLLHQTVIGEECIRQMEAIGETPDLIIGCTGGGSNFAGLSFPFIREKLKGKINPVIRAVEPAACPSLTKGVYAYDFGDTAGMTPLMKMHTLGHDFIPDPIHAGGLRYHGMAPLISHVHELGFMEAISIPQTECFQGAIRFARSEGIIPAPEPTHAIAATIREAVRCRESGESKVILMAMCGHGHFDLASYEKYLQGSLVDLSFEEEKIKESLAKVPQVQA >KJB45603 pep chromosome:Graimondii2_0_v6:7:55551267:55552930:-1 gene:B456_007G332800 transcript:KJB45603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCYFTTIGFYFSSLISVLGIYVFLYGQLYLVLSGLEKALLIEARMKNTESLETALASQSFIQLGLLTGLPMVMEIGLEKGFLTALKDFVLMQLQFAAVFFTFSLGTKTHHFGRTIMYGGAKYIPTGRKVVVFHASFTENYRLYSRSHFVKGFELLLLLVVYDLFRRSYQSSMAYVLITYSVWFMTMTWLFAPFLFNPSGFDWDKIVDDWKGWNKWIKEKGGIGIQQNKSWQSWWNDEQAHLRRSGYGARLFEILLSIRFFLYQYGLVYHLDISQQSKNFLVYMLSWVVILAVFLTVKVKFIQVS >KJB39515 pep chromosome:Graimondii2_0_v6:7:1335402:1335959:-1 gene:B456_007G017600 transcript:KJB39515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLFSIQFHEPSLTLLLLLLLLVVPFSSSLTVGSQRNFTTTAILLPSESADACGSSPRPYSCPVNCFRTDPVCGDDGVTYWCGCADAHCSGAKVAKIGFCNVGNNGGTGSFPGQALLLLHIVWLIVLGFSVFFGLI >KJB45045 pep chromosome:Graimondii2_0_v6:7:49363618:49366506:1 gene:B456_007G287000 transcript:KJB45045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELGGMSFTGEPARTKKKAQKNAAMSAWSALRKLSRYGSSSSSPPSLESRGREEQVQTVIARFLSSLQPSESKQCLQYDCQYGKSRSIPVCIDLTQSTPNLYSMQSQSWPHRFSPEISVPIYQIWQQEHLMQLQNHLFTFPVPPVPLPRPQILPYMQSILQPGCHPPFQVRDQESNVTTPRLGIATSCPPLYLCNPSTSQPLMGRSTVTIQEIHEEIKEEASKYTPSDVVPSQSNVETSVEETKQDVRKQKYVKLESKVENDHRKSGAGSQHAVESNLRPHCSSRASYYRNSRPPSSEAVPMLIRTMSPVSSTRLNTQKPTLTQVPVPPRMRTGAPPFSTRPRFERTNLGGMRPTSMAPPVRIRSVVPVCSAPPPRKTPSFNQDKDKKDNVSEDVSTATSELNKLSM >KJB45043 pep chromosome:Graimondii2_0_v6:7:49363609:49366602:1 gene:B456_007G287000 transcript:KJB45043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKATVNFNGETFESPTFCSTLRQAEHAAAEVALNTLANRGPSKALAARILDETGVYKNLLQETAHRAGLNLPVYTTIRSGPGHVPTFSCMVELGGMSFTGEPARTKKKAQKNAAMSAWSALRKLSRYGSSSSSPPSLESRGREEQVQTVIARFLSSLQPSESKQCLQYDCQYGKSRSIPVCIDLTQSTPNLYSMQSQSWPHRFSPEISVPIYQIWQQEHLMQLQNHLFTFPVPPVPLPRPQILPYMQSILQPGCHPPFQVRDQESNVTTPRLGIATSCPPLYLCNPSTSQPLMGRSTVTIQEIHEEIKEEASKYTPSDVVPSQSNVETSVEETKQDVRKQKYVKLESKVENDHRKSGAGSQHAVESNLRPHCSSRASYYRNSRPPSSEAVPMLIRTMSPVSSTRLNTQKPTLTQVPVPPRMRTGAPPFSTRPRFERTNLGGMRPTSMAPPVRIRSVVPVCSAPPPRKTPSFNQDKDKKDNVSEDVSTATSELNKLSM >KJB45044 pep chromosome:Graimondii2_0_v6:7:49363799:49366602:1 gene:B456_007G287000 transcript:KJB45044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQSWPHRFSPEISVPIYQIWQQEHLMQLQNHLFTFPVPPVPLPRPQILPYMQSILQPGCHPPFQVRDQESNVTTPRLGIATSCPPLYLCNPSTSQPLMGRSTVTIQEIHEEIKEEASKYTPSDVVPSQSNVETSVEETKQDVRKQKYVKLESKVENDHRKSGAGSQHAVESNLRPHCSSRASYYRNSRPPSSEAVPMLIRTMSPVSSTRLNTQKPTLTQVPVPPRMRTGAPPFSTRPRFERTNLGGMRPTSMAPPVRIRSVVPVCSAPPPRKTPSFNQDKDKKDNVSEDVSTATSELNKLSM >KJB45046 pep chromosome:Graimondii2_0_v6:7:49363618:49366552:1 gene:B456_007G287000 transcript:KJB45046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKATVNFNGETFESPTFCSTLRQAEHAAAEVALNTLANRGPSKALAARILDETGVYKNLLQETAHRAGLNLPVYTTIRSGPGHVPTFSCMVELGGMSFTGEPARTKKKAQKNAAMSAWSALRKLSRYGSSSSSPPSLESRGREEQVQTVIARFLSSLQPSESKQCLQYDCQYGKSRSIPVCIDLTQSTPNLYSMQSQSWPHRFSPEISVPIYQIWQQEHLMQLQNHLFTFPVPPVPLPRPQILPYMQSILQPGCHPPFQVRDQESNVTTPRLGIATSCPPLYLCNPSTSQPLMGRSTVTIQEIHEEIKEEASKYTPSDVVPSQSNVETSVEETKQDVRKQKYVKLESKVENDHRKSGAGSQHAVESNLRPHCSSRASYYRNSRPPSSEAVPMLIRTMSPVSSTRLNTQKPTLTQVPVPPRMRTGAPPFSTRPRFERTNLGGMRPTSMAPPVRIRSVVPVCSAPPPRKTPSFNQDKDKKDNVSEDVSTATSELNKLSM >KJB44743 pep chromosome:Graimondii2_0_v6:7:45274215:45275034:-1 gene:B456_007G269800 transcript:KJB44743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAHFILVFCLLALASPFAYASDPSPLQDFCVAINDPKDAVFVNGKFCKDPKLAKAQDFYYSGLNIPRNTSNPVGSTVTPVNVAQIPGLNTLGISLVRIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNTDNRLITKVLYPGDVFVFPIGLIHFQFNVGKTNAVAFAALSSQNPGVITVANAVFGSNPPINPDVLVKAFQLDKNVVKNLQSKFWWANN >KJB41493 pep chromosome:Graimondii2_0_v6:7:8026692:8032593:1 gene:B456_007G106800 transcript:KJB41493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESTKVRLVRCPKCENLLPELADYSVYQCGGCGAVLRAKIRNHEAVTFLDKSKEDSLGRVSTKSQTSSEKGIVDSSDASDADVKSSAGFLMCDQRDPENNDVEGSDRSRSESKVAGDKWSLENPNDISRNKDDIVNSIGREQEDLDSNFVYTGGSQRLGQMSDWQAGKREEMEQFQRIPRVVVEGVRFSTSKHPDEGPSNLNLDSSYGYRESLQNQTDLDGSSRIHLDQDRAVLLRKLDELKEQLSQSCDVADKPKEKAPVDRRVVPPESYGGTDSWFANSSSGLQKPSMPFYGPDKHAAEAGPSYFGFFPEPFAYPVEHDVTQHGLYPPMRNPNHIPAYGDPFGSKMLGRAPHQFPGEYQQPRHPYFSGQYIESNHDPFMPYPRSSVLHQASCSCFHCYEKHRRVPAPIPPSSFGNKRFPDVPSNPFYHIDNPRSFGSHYHSSRTTMPPLNAHARWQNDINSDMGGFVHYRPQRVVLAGGGRHIRPIAGGAPFVTCYNCFELLRVPRKVQLMVKNEHKLRCGACSTVINFIVMDKKLVLLNHAESKGISVDVDDNCNEGRVNRIATNFSSDDYDHSGYDFQSMDREPVASSTGQALNSVRPQEMQSFHSSSPSTSEDENSPDVLTASRQEVSSVQQPAKSTLSSPPAGSPLQEHFDYSSSNHAANRFRKGNRSSRSDQEKVVSIKGATRQNSLKEALPTEMEVSFNEYANTGISQDSGDVTREDDQPKMAKGGESFFANIIKKSFKDFSRFNQTEERGKSNISVNGHPIPERVVKKAEKIAGPVLPGQYWYDFRAGFWGVLGGPCLGIIPPFIEEFNHPMPENCAGGTTGVFVNGRELHQKDLDLLANRGLPPDRDRSYIIEISGRVLDEDTGEELDSLGKLAPTVEKAKRGFGMKVPRAAA >KJB41494 pep chromosome:Graimondii2_0_v6:7:8027208:8031776:1 gene:B456_007G106800 transcript:KJB41494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESTKVRLVRCPKCENLLPELADYSVYQCGGCGAVLRAKIRNHEAVTFLDKSKEDSLGRVSTKSQTSSEKGIVDSSDASDADVKSSAGFLMCDQRDPENNDVEGSDRSRSESKVAGDKWSLENPNDISRNKDDIVNSIGREQEDLDSNFVYTGGSQRLGQMSDWQAGKREEMEQFQRIPRVVVEGVRFSTSKHPDEGPSNLNLDSSYGYRESLQNQTDLDGSSRIHLDQDRAVLLRKLDELKEQLSQSCDVADKPKEKAPVDRRVVPPESYGGTDSWFANSSSGLQKPSMPFYGPDKHAAEAGPSYFGFFPEPFAYPVEHDVTQHGLYPPMRNPNHIPAYGDPFGSKMLGRAPHQFPGEYQQPRHPYFSGQYIESNHDPFMPYPRSSVLHQASCSCFHCYEKHRRVPAPIPPSSFGNKRFPDVPSNPFYHIDNPRSFGSHYHSSRTTMPPLNAHARWQNDINSDMGGFVHYRPQRVVLAGGGRHIRPIAGGAPFVTCYNCFELLRVPRKVQLMVKNEHKLRCGACSTVINFIVMDKKLVLLNHAESKGISVDVDDNCNEGRVNRIATNFSSDDYDHSGYDFQSMDREPVASSTGQALNSVRPQEMQSFHSSSPSTSEDENSPDVLTASRQEVSSVQQPAKSTLSSPPAGSPLQEHFDYSSSNHAANRFRKGNRSSRSDQEKVVSIKGATRQNSLKEALPTEMEVSFNEYANTGISQDSGDVTREDDQPKMAKGGESFFANIIKKSFKDFSRFNQTEERGKSNISVNGHPIPERVVKKAEKIAGPVLPGQYWYDFRAGFWGVLGGPCLGIIPPFIEEFNHPMPENCAGGTTGVFVNGRELHQKDLDLLANRGLPPDRDRSYIIEISGRVLDEDTGEELDSLGKLAPT >KJB46335 pep chromosome:Graimondii2_0_v6:7:59214248:59214972:1 gene:B456_007G360900 transcript:KJB46335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTETPLELSPLRNSIISVFSLCLPTYSTTPSNVIHIVCQVLEILQPFLHALVLDLLLLCIVQHCLDIGQYGLPLLELWAELLMISNEVERRVEQLAPQQLQKHRQSSLSNDRHGEIVRRKQKREMKKPNGSSGTEWRLCIISES >KJB44828 pep chromosome:Graimondii2_0_v6:7:46971027:46976284:-1 gene:B456_007G275300 transcript:KJB44828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPSKYAHSPAHLAVARRDHAALRCIISTLPRLAKAGEVNTEAESLEAEERADALSAVLDRRDVPGRETPLHLAVRLRDPISVEILMLAGADRTLRNEQGWKALEEAVWTREEAIAMIFARHSEPLAWARWCRRLPRFIASLARIRDFYMEISFHFESSVIPFIGRIAPSDTYCIWKRGSNFRADTTLAGFDGFHIKRSHKTVLFLGEGYTSEDDNLSLPAGSLIVIYHKKKEVINALEGAGEQPTESEIAHEVRKMSKTNMYRPRIDVAQAELAPQLNWRRQERSEMVGNWKAKIYDMLHVTFSMKSRGVPGAMTDEERMANSGENNEYDDVLTAEERMQLNSELRKGNSDGFCDDDDDEHGFVDCQENGSFDCQENGSAGAYESVESNVVAAKEKKRWFARNKKGSKNGDNPDDSKIGKFSKSDPGGRNQKQVDNRRSASEFAKEDAIDGKKHKDKSSKKKKKGGNSDDKHGNEIKKGVRPVLWLTPDFPLKTEDLLPLFDILGKKVKPIRRLREILTTKLPPGTFPVKVAIPIVSTIRVLVTFTRFEELLPMEEFTTPPSSPVHFQDAKSKESEGSTSWTSWMRGSRGGQSSDSDSHDEVDPFHIPTNYTWIDANEQKRRMLAKKAEKARRQQQQPKVGMEARSR >KJB44829 pep chromosome:Graimondii2_0_v6:7:46971027:46975255:-1 gene:B456_007G275300 transcript:KJB44829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPSKYAHSPAHLAVARRDHAALRCIISTLPRLAKAGEVNTEAESLEAEERADALSAVLDRRDVPGRETPLHLAVRLRDPISVEILMLAGADRTLRNEQGWKALEEAVWTREEAIAMIFARHSEPLAWARWCRRLPRFIASLARIRDFYMEISFHFESSVIPFIGRIAPSDTYCIWKRGSNFRADTTLAGFDGFHIKRSHKTVLFLGEGYTSEDDNLSLPAGSLIVIYHKKKEVINALEGAGEQPTESEIAHEVRKMSKTNMYRPRIDVAQAELAPQLNWRRQERSEMVGNWKAKIYDMLHVTFSMKSRGVPGAMTDEERMANSGENNEYDDVLTAEERMQLNSELRKGNSDGFCDDDDDEHGFVDCQENGSFDCQENGSAGAYESVESNVVAAKEKKRWFARNKKGSKNGDNPDDSKIGKFSKSDPGGRNQKQVDNRRSASEFAKEDAIDGKKHKDKSSKKKKKGGNSDDKHGNEIKKGVRPVLWLTPDFPLKTEDLLPLFDILGKKVKPIRRLREILTTKLPPGTFPVKVAIPIVSTIRVLVTFTRFEELLPMEEFTTPPSSPVHFQDAKSKESEGSTSWTSWMRGSRGGQSSDSDSHDEVDPFHIPTNYTWIDANEQKRRMLAKKAEKARRQQQQPKVGMEARSR >KJB44827 pep chromosome:Graimondii2_0_v6:7:46970974:46975255:-1 gene:B456_007G275300 transcript:KJB44827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPSKYAHSPAHLAVARRDHAALRCIISTLPRLAKAGEVNTEAESLEAEERADALSAVLDRRDVPGRETPLHLAVRLRDPISVEILMLAGADRTLRNEQGWKALEEAVWTREEAIAMIFARHSEPLAWARWCRRLPRFIASLARIRDFYMEISFHFESSVIPFIGRIAPSDTYCIWKRGSNFRADTTLAGFDGFHIKRSHKTVLFLGEGYTSEDDNLSLPAGSLIVIYHKKKEVINALEGAGEQPTESEIAHEVRKMSKTNMYRPRIDVAQAELAPQLNWRRQERSEMVGNWKAKIYDMLHVTFSMKSRGVPGAMTDEERMANSGENNEYDDVLTAEERMQLNSELRKGNSDGFCDDDDDEHGFVDCQENGSFDCQENGSAGAYESVESNVVAAKEKKRWFARNKKGSKNGDNPDDSKIGKFSKSDPGGRNQKQVDNRRSASEFAKEDAIDGKKHKDKSSKKKKKGGNSDDKHGNEIKKGVRPVLWLTPDFPLKTEDLLPLFDILGKKVKPIRRLREILTTKLPPGTFPVKVAIPIVSTIRVLVTFTRFEELLPMEEFTTPPSSPVHFQDAKSKESEGSTSWTSWMRGSRGGQSSDSDSHDEVDPFHIPTNYTWIDANEQKRRMLAKKAEKARRQQQQPKVGMEARSR >KJB44939 pep chromosome:Graimondii2_0_v6:7:48070844:48073890:1 gene:B456_007G280700 transcript:KJB44939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVTISLLPLLLVITTFCFGFCNGNANVLCIESEREALLKFKNDLIDPSNKLSSWVEGGDCCEWIGVVCHNLTGHVHQLHLAAPSSPPNDDASLAEMEDYERSKLGGKINPSLLELKHLSILDLSKNNFSTMQIPGFSGEIPHSLGNLSKLYYLDLRGDNWWPKDLEANSLQRVSVLFFLQYLDLSHVDLSEATDWLQVTFKHPSLLELHLSDCNLADDSSPISVNSSKSLAVLDLSWNYNCLSSIPMSIFSLHGLVSIDLASSSLKGPIPDYFGNISFLEVLDLSFNKFNSSIPDSLYSLNHLRFLSLSFNYLVGKISSAIGNLSSLIHLDLSYNMLEGRLPTSLEDLCNLKEVNLSYNKIDQDISEILQSLSRCSLNCLESLNLGTNQLSGLLPDKLGQFKNLAYLSLAQNNISGLIPMSIGELSSLKSIDVSENQLNDTFPPRVVSETHFSNLTRLTTLSASGNMLRFEPNSSWVPSFQCETIELHRWHLGPRFPQWLKFQKKLSGLDISHAGISDVIPTWFLNISAQFNYVNLSSNQLIGGIPYLNVRETLDLSSNRLTGPLPRVFPTLEDLILSNNSFLGFLSEFVCNSSEQRWMRILDIDTNLLPEKFQIVGIVGSNNSMSGELPYTLQNSTSLIILDLSEYYFSGSIPEWIGDKLSNLIVLSLRSNNFDGHIPHKICAGRSLQNLDLAQNNISGAIPKCFNNFSAMATKNKSSGDLEIWTTFQSNVFHLSALLVLKGREDEYSTTLGLVTSIDLSVNSLTGEIPKEIGNLIGLRSLNLSRNLLIGNIPDIIGKLELLESLDLSMNRLYGEIPSSFSNLNFLNHFNLQSFENSSYMSNHLCGPPVIKNCSTNGVPTDVTNNGGSNEGSNGRSKVNWLYVSIVLGFVMGFWAVVAPLCFNRSWRHAYYQKLDNVGNKLYVFWATRRM >KJB42235 pep chromosome:Graimondii2_0_v6:7:11999510:11999710:1 gene:B456_007G143700 transcript:KJB42235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKKSWPELVGTNGESAKETIERENRNVKAVVLLDGSPATMDFRSNRVQVWVDGNGLVVRAPTIG >KJB43536 pep chromosome:Graimondii2_0_v6:7:21133492:21136308:1 gene:B456_007G205000 transcript:KJB43536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTLLVRHFVSLLIVGFCCLSSVPVLAQNGPLTDYVKLISGGVNLGAWNNEISELPQAPAPTTYESPSTLVLAAQRTNRPDLLRHFKHYHGGWNITNRHYWASVGFTGAVGFIFAALWFVSFGLVLLAYNYCRWRVNIKGKRMDHSQTICLILLILFTSAAACGKQKVKARHRANYLVLIYAHSHDCVCIGCILLSVGQDEFHGEVLHTLKYVVNQSDYTVQILNNVTQYLSLAKTINVAELFLPSNAITDTDKLNIDLNAAADTLREKTDENAVKIRRVFNAVQLALISVAAVMLILALLGLLLSVLGHQHVIHIFIVSGWLLVAITFILCGVFVILNNAISDT >KJB43535 pep chromosome:Graimondii2_0_v6:7:21133149:21136523:1 gene:B456_007G205000 transcript:KJB43535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFYLKLQMKNTLLVRHFVSLLIVGFCCLSSVPVLAQNGPLTDYVKLISGGVNLGAWNNEISELPQAPAPTTYESPSTLVLAAQRTNRPDLLRHFKHYHGGWNITNRHYWAIGCILLSVGQDEFHGEVLHTLKYVVNQSDYTVQILNNVTQYLSLAKTINVAELFLPSNAITDTDKLNIDLNAAADTLREKTDENAVKIRRVFNAVQLALISVAAVMLILALLGLLLSVLGHQHVIHIFIVSGWLLVAITFILCGVFVILNNAISDTCLAKEE >KJB40077 pep chromosome:Graimondii2_0_v6:7:3181731:3187763:-1 gene:B456_007G045600 transcript:KJB40077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTASGTGWYKGRVKAVPSGDSLVIMALTSNRPGPPPEKTITLASLIAPRLARRGGVDEPFAWDSREYLRKLCIGKEVTFRVEYAVPSIGREFGSVYLGGDKNVAMLVVSKGWAKVREQGQQKGEASPFLAELLRLEEQAKQQGVGRWSKVPGAAEASIRNLPPSAIGDPSNLDAMGLLAANKGSPMQGIVEQVRDGSTVRVYLLPDFQFVQVFVAGIQAPSMGRRAAAETVVETDLTSDEQNGDASAEPRAALTSAQRLAASSTASSEVSSDPFGPEAKYFTEVRCLNREVRIVLEGVDKFSNLIGSVYYPEGDTAKDLALELVENGLAKYVEWSANMMEDDTKRRLKSAELQAKKARLRMWTNYVLPATNSKAIRDQNFTGKVVEVVSGDCIVVADDSIPYGSPLAERRVNLSSIRCPKMGNPRRDEKPTAYAREAREFLRTRLVGKQVSVQMEYSRKVPMADGAPAASADSRVMDFGSVFLLSPVKGDGDEVSAANASAAGSQQPGLNVAELVVGRGFGTVIRHRDFEERSNYYDALLAAESRAISGKKGIHSAKDPPVMHIQDLTMASAKKARDFLPFLNRGRIPAIVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGREEPYSDEAIALMRRKIMQRDVVVEVETVDRTGTFLGSLWESKTNVAVTLLEAGLAKLQTSFGSDRIPDAHLLEQAELSAKRKKLKIWESYVEGEEVSNGPATVENKQKELLQVVVTEILGGGKFYVQTVGDQRVSAIQKQLASLTIQEAPVIGAFNPKKGDIVLAQFSMDNSWNRAMIVNAPRGGVQSPNDNFEVFYIDYGNQEEVPYSQLRPLDPSLSASPGLAQLCSLAFLKVPSLDDEFGTEAAQFLSEQTMGSSLQFNAMIEERDVSGGKVKGKGTGTVLIVTLVAEKSDLSINAAMLQEGLARLEKRKRWESKDRKSVIDNLENFQKEAKTGRRGIWQYGDVDSDDDEDLPTLAAAAAKKTGAGRR >KJB40076 pep chromosome:Graimondii2_0_v6:7:3181731:3187562:-1 gene:B456_007G045600 transcript:KJB40076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTASGTGWYKGRVKAVPSGDSLVIMALTSNRPGPPPEKTITLASLIAPRLARRGGVDEPFAWDSREYLRKLCIGKEVTFRVEYAVPSIGREFGSVYLGGDKNVAMLVVSKGWAKVREQGQQKGEASPFLAELLRLEEQAKQQGVGRWSKVPGAAEASIRNLPPSAIGDPSNLDAMGLLAANKGSPMQGIVEQVRDGSTVRVYLLPDFQFVQVFVAGIQAPSMGRRAAAETVVETDLTSDEQNGDASAEPRAALTSAQRLAASSTASSEVSSDPFGPEAKYFTEVRCLNREVRIVLEGVDKFSNLIGSVYYPEGDTAKDLALELVENGLAKYVEWSANMMEDDTKRRLKSAELQAKKARLRMWTNYVLPATNSKAIRDQNFTGKVVEVVSGDCIVVADDSIPYGSPLAERRVNLSSIRCPKMGNPRRDEKPTAYAREAREFLRTRLVGKQVSVQMEYSRKVPMADGAPAASADSRVMDFGSVFLLSPVKGDGDEVSAANASAAGSQQPGLNVAELVVGRGFGTVIRHRDFEERSNYYDALLAAESRAISGKKGIHSAKDPPVMHIQDLTMASAKKARDFLPFLNRGRIPAIVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGREEPYSDEAIALMRRKIMQRDVVVEVETVDRTGTFLGSLWESKTNVAVTLLEAGLAKLQTSFGSDRIPDAHLLEQAELSAKRKKLKIWESYVEGEEVSNGPATVENKQKELLQVVVTEILGGGKFYVQTVGDQRVSAIQKQLASLTIQEAPVIGAFNPKKGDIVLAQLSMHLEEEFNLLMTTLKCFILITGIKRRFHTVNYDLLILHYQRLLGLLSYVVLHSLKFRAWMMNSVPKLLSF >KJB39392 pep chromosome:Graimondii2_0_v6:7:914916:916578:-1 gene:B456_007G011600 transcript:KJB39392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMVLFRVITPDEAYAAIDLPILGLLFGTMVVSIYLERADMFKYLGKLLSWKSKGAKDLICRICLVSAISSAFFTNDTSCVILTEFVLKIARQHNLPPHPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGDFLVGVLPAMLVGVSVNALILIIMYWRLLSVHKDEEDGTGEIVGEDDVSSHRFSPATMSHISASNSMDHVQSSPNNINGSLATHLDSLRNRVNSGDQLEIQRSPCGSIDSNDISSPAQFSEEKESKTEHWKNKMWKLCVYLVTIGMLVALLMGLNMSWTAITASLALVVLDFKDARPCLEKVSYSLLLFFCGMFITVEGFNKTGIPSTLWNFMEPHAKVDRVSGIAVLAVVILLLSNVASNVPTGKIYSNFFLEWRNIWSLVYPEFDRFLNGLILSSG >KJB39391 pep chromosome:Graimondii2_0_v6:7:913894:916705:-1 gene:B456_007G011600 transcript:KJB39391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAATYKVVLGSIAFAIFWVLAVFPAVPLLPIGRTAGSLLGAMLMVLFRVITPDEAYAAIDLPILGLLFGTMVVSIYLERADMFKYLGKLLSWKSKGAKDLICRICLVSAISSAFFTNDTSCVILTEFVLKIARQHNLPPHPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGDFLVGVLPAMLVGVSVNALILIIMYWRLLSVHKDEEDGTGEIVGEDDVSSHRFSPATMSHISASNSMDHVQSSPNNINGSLATHLDSLRNRVNSGDQLEIQRSPCGSIDSNDISSPAQFSEEKESKTEHWKNKMWKLCVYLVTIGMLVALLMGLNMSWTAITASLALVVLDFKDARPCLEKVSYSLLLFFCGMFITVEGFNKTGIPSTLWNFMEPHAKVDRVSGIAVLAVVILLLSNVASNVPTVLLLGGRVAASAAAISASEEKKAWLILAWVSTVAGNLSLLGSAANLIVCEQARRAPHLGYTLSFWNHLKFGVPSTLIVTAIGLTLIR >KJB40363 pep chromosome:Graimondii2_0_v6:7:4253278:4255025:-1 gene:B456_007G060200 transcript:KJB40363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFYSMGSITKPHAVFVPYPAQGHVNPLMQLAKLLHSRGFYVTFVNTEFNHRRLVRSKGSGFLTSLSDFRFETISDGLPPSDRDATQDIWVLSDSVQKNCLGPFKELLAKLNGSSESPPVSCIVSDGSMSFTIKAAKEFGIPEAQFWTTSACGFMAYLHFRELIEGGIVPFKEHEVLQAIAAKSTNLYTIGPLSLLEKLTPLSRNHYLRSSLWKEDSKCLEWLDKRQANSVLFVSYGSITVMTDQLFEEFAWGLANSNHPFLWVVRPDMVMGNPGILPGEYYKEIEGRGLIVNWCPQDQVLSHPSPVICWPFFDEQPTNCLYLCNQWGIGMEISNDVKRDEVTTLVKMMMEGDVGKEMKLKALEWKKKAEEATNVGGSSYNNLNKFISDIL >KJB44634 pep chromosome:Graimondii2_0_v6:7:43360380:43364730:-1 gene:B456_007G262600 transcript:KJB44634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLPTTFTLSLVSRLNVYKTPPSSPMFHFCHSRFFSIPPPRPLSIMASATQPSSSQAVSPEDVNKETNIFQLIQAHQEKAARLSPVEEIRTVLDQSTRGMLSTFSQKHEGYPSASMVDFACDANGSPILAVSSLAVHTKDLLANFKCSLLVARDPEDRTDLVITLHGDAIAVSEKDQAAIRTAYLAKHPNAFWVDFADFQFMRIEPKVVRYVSGVATALLGSGEFSREEYQDSKVDPIAQFSKPVASHMNRDHAEDTKVIVQFATSIPVDNAYMLDLDSLGFNVKVLITFNPSY >KJB44635 pep chromosome:Graimondii2_0_v6:7:43361238:43364645:-1 gene:B456_007G262600 transcript:KJB44635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLPTTFTLSLVSRLNVYKTPPSSPMFHFCHSRFFSIPPPRPLSIMASATQPSSSQAVSPEDVNKETNIFQLIQAHQEKAARLSPVEEIRTVLDQSTRGMLSTFSQKHEGYPSASMVDFACDANGSPILAVSSLAVHTKDLLANFKCSLLVARDPEDRTDLVITLHGDAIAVSEKDQAAIRTAYLAKHPNAFWVDFADFQFMRIEPKVVRYVSGVATALLGSGEFSREEYQDSKVDPIAQFSKPVASHMNRDHAEDTKVIVQFATSIPVIKNITFWTVSYIKWNILSVLY >KJB44631 pep chromosome:Graimondii2_0_v6:7:43360297:43364432:-1 gene:B456_007G262600 transcript:KJB44631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLPTTFTLSLVSRLNVYKTPPSSPMFHFCHSRFFSIPPPRPLSIMASATQPSSSQAVSPEDVNKETNIFQLIQAHQEKAARLSPVEEIRTVLDQSTRGMLSTFSQKHEGYPSASMVDFACDANGSPILAVSSLAVHTKDLLANFKCSLLVARDPEDRTDLVITLHGDAIAVSEKDQAAIRTAYLAKHPNAFWVDFADFQFMRIEPKVVRYVSGVATALLGSGEFSREEYQDSKVDPIAQFSKPVASHMNRDHAEDTKVIVQFATSIPVDNAYMLDLDSLGFNVKAGYQGNTFKLRVPFPRRAEDRK >KJB44633 pep chromosome:Graimondii2_0_v6:7:43359215:43364824:-1 gene:B456_007G262600 transcript:KJB44633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLPTTFTLSLVSRLNVYKTPPSSPMFHFCHSRFFSIPPPRPLSIMASATQPSSSQAVSPEDVNKETNIFQLIQAHQEKAARLSPVEEIRTVLDQSTRGMLSTFSQKHEGYPSASMVDFACDANGSPILAVSSLAVHTKDLLANFKCSLLVARDPEDRTDLVITLHGDAIAVSEKDQAAIRTAYLAKHPNAFWVDFADFQFMRIEPKVVRYVSGVATALLGSGEFSREEYQDSKVDPIAQFSKPVASHMNRDHAEDTKVIVQFATSIPTMLTCWIWIVLDSMSRLVIKGILSSFEFLFLDVQKIERYCVTVSSNFSRIWTNIYFQNSSNKNTITEDKQLG >KJB44630 pep chromosome:Graimondii2_0_v6:7:43359847:43364432:-1 gene:B456_007G262600 transcript:KJB44630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLPTTFTLSLVSRLNVYKTPPSSPMFHFCHSRFFSIPPPRPLSIMASATQPSSSQAVSPEDVNKETNIFQLIQAHQEKAARLSPVEEIRTVLDQSTRGMLSTFSQKHEGYPSASMVDFACDANGSPILAVSSLAVHTKDLLANFKCSLLVARDPEDRTDLVITLHGDAIAVSEKDQAAIRTAYLAKHPNAFWVDFADFQFMRIEPKVVRYVSGVATALLGSGEFSREEYQDSKVDPIAQFSKPVASHMNRDHAEDTKVIVQFATSIPVDNAYMLDLDSLGFNVKAGYQGNTFKLRVPFPRRAEDRKDVKTLIVDMLQAARSQVN >KJB44632 pep chromosome:Graimondii2_0_v6:7:43359290:43364645:-1 gene:B456_007G262600 transcript:KJB44632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLPTTFTLSLVSRLNVYKTPPSSPMFHFCHSRFFSIPPPRPLSIMASATQPSSSQAVSPEDVNKETNIFQLIQAHQEKAARLSPVEEIRTVLDQSTRGMLSTFSQKHEGYPSASMVDFACDANGSPILAVSSLAVHTKDLLANFKCSLLVARDPEDRTDLVITLHGDAIAVSEKDQAAIRTAYLAKHPNAFWVDFADFQFMRIEPKVVRYVSGVATALLGSGEFSREEYQDSKVDPIAQFSKPVASHMNRDHAEDTKVIVQFATSIPVDNAYMLDLDSLGFNVKAGYQGNTFKLRVPFPRRAEDRKILCYSFFKLLQNMDQHIFPEFIQ >KJB45430 pep chromosome:Graimondii2_0_v6:7:51890852:51895676:-1 gene:B456_007G305400 transcript:KJB45430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHDFKLEKARLLSLALEFGFDERLAKKSLDRLISLYGDDGRDFITVEHCGDDFLVALAETMEDSEDWDDDLQVVESEACGALNNMLDKNALPNTRSNSNTNVGNCINVIDDSPKRKKQTNLMELDSSSDEESLDVWISKKKGNFSTLSSRQDQSSRVGCRSSVTEGSISGQKQFFSTSMGGNGTLSYDELQALDDVELANVVIFGNRSFRALQRQACKASLAKRDCFILMPTGGGKSLCYQLPATLKPGVTLVISPLLSLIQDQIVTLNLKFGIPATFLNSQQTASQAAAVLHELRKDNPSCKLLYVTPERVAGNQSFLEVLKCLHRKGQLAGFAVDEAHCVSQWGHDFRPDYRGLGCLKQHFPNVPVTALTATATHSVREDILKALRIPNALVLKTSFDRPNLKYEVIGKAKDSLKQLGQLLLDRFKNQCGIVYCLSKNECAEVSNFLNEKCKIKTVYYHAGLASQQRVTVQKKWFDGEVQIVCATIAFGMGIDKPDVRFVVHNTMSKSIESYYQESGRAGRDNCSAVCIALYQKKDFSRVVCMLRNGQGCKSQSFKTAMAQAQKMRQYCELKDECRRKILLEHFGESFDRKACKNGSNPCDNCLRTS >KJB45431 pep chromosome:Graimondii2_0_v6:7:51892010:51895625:-1 gene:B456_007G305400 transcript:KJB45431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHDFKLEKARLLSLALEFGFDERLAKKSLDRLISLYGDDGRDFITVEHCGDDFLVALAETMEDSEDWDDDLQVVESEACGALNNMLDKNALPNTRSNSNTNVGNCINVIDDSPKRKKQTNLMELDSSSDEESLDVWISKKKGNFSTLSSRQDQSSRVGCRSSVTEGSISGQKQFFSTSMGGNGTLSYDELQALDDVELANVVIFGNRSFRALQRQACKASLAKRDCFILMPTGGGKSLCYQLPATLKPGVTLVISPLLSLIQDQIVTLNLKFGIPATFLNSQQTASQAAAVLHELRKDNPSCKLLYVTPERVAGNQSFLEVLKCLHRKGQLAGFAVDEAHCVSQWGHDFRPDYRGLGCLKQHFPNVPVTALTATATHSVREDILKALRIPNALVLKTSFDRPNLKYEVIGKAKDSLKQLGQLLLDRFKNQCGIVYCLSKNECAEVSNFLNEKCKIKTVYYHAGLASQQRVTVQKKWFDGEVQIVCATIAFGMGIDKPDVVSPDKIYNLTLSCL >KJB39644 pep chromosome:Graimondii2_0_v6:7:1657050:1658664:-1 gene:B456_007G023100 transcript:KJB39644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSGTTEKCRSCDKTVHFIEMVSVDGVPYHKTCFRCSHCKGLLVMGSHCQREGNLYCKPHFEQFFRGTGSYTSKDKPNGLQRAPSKVASLFSGTQDKCGVCKKTCYPLEKVTVEGEIYHKNCFRCAHGGCFLTTSSYAALDGFLYCKHHFAQLFKEKGSYSHLTKTSSMKRSPSGGKFDAESDSEPKSEDNKTGTEAQPDP >KJB43475 pep chromosome:Graimondii2_0_v6:7:20607614:20609464:1 gene:B456_007G202300 transcript:KJB43475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHSLSSSRSSNSSWTPKQNKMFEKALAKYDQDTPDRWINIAKAVGGKSAEEVKQHYEILVRDVKEIESGRYPYPYPSGSSN >KJB40258 pep chromosome:Graimondii2_0_v6:7:3788749:3790948:-1 gene:B456_007G054400 transcript:KJB40258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKPSKKDLYTSYLLINPKEASLFDIFSVLFSRNVKKRKFIESSLEELESFLYRLLIVISALIQKFLLKLSMPMAMIGRTIVFLLNFFYINGGFFGLIRNIMQVRVVIPDKKAATYLSFVGFTDTRMELDINIKYGNAMYYPALSIMACKAAYNNAAYNQALIEGQWKMEFLGYKDYWNDFLGRADTQVVMFRDKSVDHDTIVVCFRGTQPFNSEDWCSDVDLSWYEFPHIGKVHSGFLKALGMQNNAGWAQQVDHDSAHPPRRAPLAYYDIRDTLRYLLQQNPEAKFIVTGHSLGGALAILFPGILFYHDEELLLERMEGVYTFGQPRVGDEAFGQYMEENFRKNRIEYYRYVYCNDMVPRIPSDGLFKHFGTCVYYNSQYQASIVEEEPYKNYLSIWGSIDMRRNAIYELIRSFIMLTKYGADYKEGWPLFFIRIFGLMIPGIPAHCAQDYVNSTRLGSLRHLHFHPFHHKKNRS >KJB40259 pep chromosome:Graimondii2_0_v6:7:3788786:3790861:-1 gene:B456_007G054400 transcript:KJB40259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKPSKKDLYTSYLLINPKEASLFDIFSVLFSRNVKKRKFIESSLEELESFLYRLLIVISALIQKFLLKLSMPMAMIGRTIVFLLNFFYINGGFFGLIRNIMQDKKAATYLSFVGFTDTRMELDINIKYGNAMYYPALSIMACKAAYNNAAYNQALIEGQWKMEFLGYKDYWNDFLGRADTQVVMFRDKSVDHDTIVVCFRGTQPFNSEDWCSDVDLSWYEFPHIGKVHSGFLKALGMQNNAGWAQQVDHDSAHPPRRAPLAYYDIRDTLRYLLQQNPEAKFIVTGHSLGGALAILFPGILFYHDEELLLERMEGVYTFGQPRVGDEAFGQYMEENFRKNRIEYYRYVYCNDMVPRIPSDGLFKHFGTCVYYNSQYQASIVEEEPYKNYLSIWGSIDMRRNAIYELIRSFIMLTKYGADYKEGWPLFFIRIFGLMIPGIPAHCAQDYVNSTRLGSLRHLHFHPFHHKKNRS >KJB42797 pep chromosome:Graimondii2_0_v6:7:15070038:15071078:-1 gene:B456_007G168600 transcript:KJB42797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEXXXXXXAIHEEKPEEVVKVEEIATEEVVAVAPATEPVAPATEPVAEEPKEAVPEAASEEPQAPETEATVETESKEVVEEPKAVTEEPEVEKKEEETPEETVAEPVAEESKETTEPTVEETKESTESTEAA >KJB42916 pep chromosome:Graimondii2_0_v6:7:16183674:16192767:1 gene:B456_007G174900 transcript:KJB42916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKGRNLLAAFRQTFLTLQNMTPQAEAEAELNAASARYSYNPILRWNPQVEDYFIKAYGSLHFAQISKALTRPSCYSCIRVNTLKSTSDAVIEKLQEIMRKSGSQNDVVGINLKENKASNDIDLDMVEKQSSLQNESITKCQIPGLEYVVFVKGSGPHEIDYDYLPDKPPKEVLVSRKCAEAVLRGAQVYVPGIMACSSHVEEGDVVAVSVAVEQPFPDGGWGLGITRGTVLQGLPTDPYHFERNGLYIGQGTTMLSRAGIFRASQGIAVDMNNRVFKLPSFYDVLEGEIFLQNLPSIIAAHALDPQKGERILDMCAAPGGKTTAIAILMKDEGEVIAADRSHNKVIDIQKLAAELGLTCITTYKLDALKAVRKRNGSSDMTTLCCNKDNNDVVNQRSDSGPLGNGVSSTTIVGSNADTTFKENVSNEKANERTYTSKADIRKNTRRMRNGPGRNQSLGGRVENSKGFLPNSFDRVLLDAPCSALGLRPRLFAGEETIESLRNHGKYQRRMFDQAVQLVRPGGVLVYSTCTINPGENEALVRYALDTYKFLSLAPQHPRIGGAGLVGRCEFPDGYVEEWLRPGEEELVQRFDPSSQLDTIGFFIAKFAVGPKDNI >KJB42915 pep chromosome:Graimondii2_0_v6:7:16183623:16192767:1 gene:B456_007G174900 transcript:KJB42915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKGRNLLAAFRQTFLTLQNMTPQAEAEAEIQLNAASARYSYNPILRWNPQVEDYFIKAYGSLHFAQISKALTRPSCYSCIRVNTLKSTSDAVIEKLQEIMRKSGSQNDVVGINLKENKASNDIDLDMVEKQSSLQNESITKCQIPGLEYVVFVKGSGPHEIDYDYLPDKPPKEVLVSRKCAEAVLRGAQVYVPGIMACSSHVEEGDVVAVSVAVEQPFPDGGWGLGITRGTVLQGLPTDPYHFERNGLYIGQGTTMLSRAGIFRASQGIAVDMNNRVFKLPSFYDVLEGEIFLQNLPSIIAAHALDPQKGERILDMCAAPGGKTTAIAILMKDEGEVIAADRSHNKVIDIQKLAAELGLTCITTYKLDALKAVRKRNGSSDMTTLCCNKDNNDVVNQRSDSGPLGNGVSSTTIVGSNADTTFKENVSNEKANERTYTSKADIRKNTRRMRNGPGRNQSLGGRVENSKGFLPNSFDRVLLDAPCSALGLRPRLFAGEETIESLRNHGKYQRRMFDQAVQLVRPGGVLVYSTCTINPGENEALVRYALDTYKFLSLAPQHPRIGGAGLVGRCEFPDGYVEEWLRPGEEELVQRFDPSSQLDTIGFFIAKFAVGPKDNI >KJB44763 pep chromosome:Graimondii2_0_v6:7:46041039:46043946:1 gene:B456_007G272600 transcript:KJB44763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSSKVVNVGTRSGLISGKSYATSMKLTTLENITVTPTFSWSASMSTTMKPVVEGMSHALFSWIWSAILMDLEPGTMDSVRSGPFGQTFRPDNFVFGQSGAGNNWAKGSYTEGAELIDSVLDVFRKEAENCDCLQDFRATISGANKLQCQQVIEELDVHKRLQLTLELVKKEMEISKIQDSIPKAIEEKISGEQR >KJB44876 pep chromosome:Graimondii2_0_v6:7:47487215:47492182:-1 gene:B456_007G277200 transcript:KJB44876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNQQQEAGLGDDFFEQILAVPPGYGGGATLPMGLQLGSSGGATGASGGDSGGGGFRGIGMMPLGLNLEHGFLRHEDGVVVDNNNNNASCSAASAVSGISERDSMHMVSLFPPFGQMQTQQIRASPPPPQPPPQLHQPFHSQPTSGPVAAAPHPPAVRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPSCNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGAAGAVAQLVADVPLLSVEGDGAGGGTQPAWEKWSNDGTEQQVAKLMEEDIGAAMQFLQSKALCIVPISLASAIFPAHQPDAPTIVKPESNTPS >KJB41602 pep chromosome:Graimondii2_0_v6:7:8627693:8629620:1 gene:B456_007G111800 transcript:KJB41602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLIMSPSSSSSLVSFSQETPSSTLQQRLQFVIQSQQDLWAYAIFWQTLNDDLGNLFLAWGDGHLQCTKDASPRLSSSFHSERTKVMKGIQALIGDHHDVDMSMIDGTDITDVEWLYMMSMTRSFSAGEGIPGKALSTGSLVWLTGAHELQFYNCERAREAQMHGLETLVCIPTSCGVLELGSSEIIRENWGLVQQVKSLFESDLIGLVPKQSTPPNLTPASIQFLDRNISFADIGIIAGVQEEDDASQDIKTKQEHSNNQTKKDSSKLGQPSYVDSEHSDSDFPLLAMNNVEKRTPKKRGRKPGLGRETPLNHVEAERQRREKLNHRFYALRAVVPNVSRMDKASLLSDAVSYITDLKAKIDELESQLQRERKKVKVEMVDTMDNQSTTTTTTSEEEQQATRPSYSSPGTGSGIELEVKIMVNDAMIRVHSENVNYPAARLMGALRDLEFQVHHASMSSVNDLMLQDIVVRLPDGLRTEEGLKSALLRRLDLQ >KJB41734 pep chromosome:Graimondii2_0_v6:7:9208622:9210146:1 gene:B456_007G117600 transcript:KJB41734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSLISFSLLFCFSILFFGSNGVEARRAPPSISYLISENLFNSIFLHKDDNACPARNFYNYTFFIQAAKCFPSFGNTGDIATRKREIAAFLAQISHETTGGWATAPDGPYAWGLCFKEEINPQSNYCDSTNTQWPCYPGKSYHGRGPIQLSWNYNYGPAGKAVGFDGLRNPEIVANNSLIAFKTGLWFWMTEQSPKPSCHDVMVGKYVPTEADLAANRTAGYGLVTNIINGGLECGIPNDARVNDRIGFFERYAQLFKVDTGPNLDCANQKPF >KJB43125 pep chromosome:Graimondii2_0_v6:7:17808655:17812033:1 gene:B456_007G185800 transcript:KJB43125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSNKEEPNTAPKPNHWYNISLGSSFKDQQQPSSKFCTLRYEFKPASIDKSQPGLLHKAKDNRVKVEFENNQHGKPKVTFEGASEDYKDNDAVLFFDGETFRLERLHRAVKRLRHVRQPGESASMAMSAGPAMESYSPPPPKGVKPESSNKVPVPSLPLQVERIDTSDFTSRESRKENSEFPASIPNQPTASPDPKNYESEEQVDIVNDDDDNDGLGAAKEDGASEKVSTGFNFELPHQKDMDDEIADVDLSDDEEKAGGNAAEALRAQVNAEENEEHTSSSSSSSGSESSGSGSGSGSGSGSGSESSSDSESNNDGDSVISV >KJB43126 pep chromosome:Graimondii2_0_v6:7:17808902:17811718:1 gene:B456_007G185800 transcript:KJB43126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSNKEEPNTAPKPNHWYNISLGSSFKDQQQPSSKFCTLRYEFKPASIDKSQPGLLHKAKDNRVKVEFENNQHGKPKVTFEGASEDYKDNDAVLFFDGETFRLERLHRAVKRLRHVRQPGESASMAMSAGPAMESYSPPPPKGVKPESSNKVPVPSLPLQVERIDTSDFTSRESRKENSEFPASIPNQPTASPDPKNYESEEQVDIVNDDDDNDGLGAAKEDGASEKVSTGFNFELPHQKDMDDEIADVDLSDDEEKAGGNAAEALRAQVNAEENEEHTSSSSSSSGSESSGSGSGSGSGSGSGSESSSDSESNNDGDSVISV >KJB39854 pep chromosome:Graimondii2_0_v6:7:2295391:2297886:-1 gene:B456_007G033700 transcript:KJB39854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFSRFSVGRSAHRRSKSAFVETNVMPQNADLVDAATATVHGIEVAVEFKPVECPTEPLDNDRPIQCPLPEPSILNDGRIWKERVSANVRRTELPVMKDGGTLESEATGMKPQATRSNRLILPSLSAPEHNLLNLLEECNASGI >KJB39097 pep chromosome:Graimondii2_0_v6:7:685639:686052:-1 gene:B456_007G008800 transcript:KJB39097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWENLLSSQFDPLITGLFDSFEQLDEFMRWLVVHGLAVPTVSFLGAMTQSNPNEQNVELKSTSLYWGLLLIFVLAVLFSNYFFN >KJB39869 pep chromosome:Graimondii2_0_v6:7:2380089:2381072:-1 gene:B456_007G034800 transcript:KJB39869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKSGTTSACAACKYQRRKCIPECLLAPYFPADQTKVFQNAHKLFGVSNIVKILKSLDPSQHAEAMRSIKYQANVRDKFPVYGCLGVIRQLYYQIQLLEEEFHSVLSQLEVYRQHHHEVPSQLELGMAPPTNGLHFLTAVSDMPVSMQHSYSNSNNIGYCSSYVDSKDHNNNKNNSNSSSNSNSNNNSLWIQHPFLATNNSSSSNSNENNPLMAIQSQLLVSNTQPLVIQHEVVQDYDELHPFFDTIDDRQFFIHSKEPYDSSCEDSAKETTQAIELVPNNELKNAAACFSLTSVH >KJB43966 pep chromosome:Graimondii2_0_v6:7:27348416:27352570:1 gene:B456_007G226900 transcript:KJB43966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVFDNYIIGGTFLVSLFGSVLLFILRDDSYREKKIEKGSRKFEIQTDTVTGTETGKCSPENDSGDDIIIVGAGVAGAALAHTLGKDGRRVRAIERDLTEPDRIVGELLQPGGYLKLAELGLEDCVEEIDAQRVLGYALFKDGRNTKLSYPLEKFHADVAGGSFHNGRFIRRMREKAATLPNVRLEEGTVRGVQYKTKDGQELRAYAPLTIVCDGCFSNLLHSLCNPKVDVPSCFVGLVLENCKLPFPNHGHVILADPSPILFYLISSTEVHCLLDVPGKKVPSLANGEMANYLKTMVAPQVPPELCEAFIAAVDKGNIRTMPNRSTPADPHQTLGALLMGDAFNMRQPLTGGGMTVALSDIVVLRDLLRPLHDLHDAGALAKYLESFYTLRKPVASTINTLAGALYKVFSASPDQASLGGDFSTGPVALLSGLNPCPLSLVLHFFAVAIYGIGLLLLPFPSLGRMLIGARLISAYTMVQRFPLICSASGIIFPIIKAEGVRQMFFPATVPAVYRAPPVD >KJB46361 pep chromosome:Graimondii2_0_v6:7:59436092:59439084:-1 gene:B456_007G362800 transcript:KJB46361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATYPPPPPFYRLYKDYLQNPKSAPEPPPPIEGTYVCFGGNYTTDDVLPSLEDQGVRQLYPKGPNIDFKKELRSLNRELQLHILELADVLVERPSQYARQVEEISLIFKNLHHLLNSLRPHQARATLIHILELQIQRRKEALEDIKRRREEARRLLKESLGTLDGQ >KJB46360 pep chromosome:Graimondii2_0_v6:7:59436101:59438964:-1 gene:B456_007G362800 transcript:KJB46360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATYPPPPPFYRLYKDYLQNPKSAPEPPPPIEGTYVCFGGNYTTDDVLPSLEDQGVRQLYPKGPNIDFKKELRSLNRELQLHILELADVLVERPSQYARQVEEISLIFKNLHHLLNSLRPHQARATLIHILELQIQRRKEALEDIKRRREEARRLLKESLGTLDGQ >KJB46359 pep chromosome:Graimondii2_0_v6:7:59436101:59438951:-1 gene:B456_007G362800 transcript:KJB46359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATYPPPPPFYRLYKDYLQNPKSAPEPPPPIEGTYVCFGGNYTTDDVLPSLEDQGVRQLYPKGPNIDFKKELRSLNRELQLHILELADVLVERPSQYARQVEEISLIFKNLHHLLNSLRPHQARATLIHILELQIQRRKEALEDIKRRREEARRLLKESLGTLDGQ >KJB45644 pep chromosome:Graimondii2_0_v6:7:53267769:53269409:-1 gene:B456_007G317900 transcript:KJB45644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPCYFLFTVTTVKSARQVIDALNAEGPDIDIILTEVDLPMTKGMKLLKYIMRNNELRRIPDIMMSAQDEVSIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRCEG >KJB41981 pep chromosome:Graimondii2_0_v6:7:10519945:10520641:-1 gene:B456_007G130700 transcript:KJB41981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTIKTLISGFGANPTVYELDEIQDGQQVERALQQMGCKPSIPAVFIGQQLIGGPNQIMTLQVKNQLVPMLMRAGAIWI >KJB41982 pep chromosome:Graimondii2_0_v6:7:10520248:10520556:-1 gene:B456_007G130700 transcript:KJB41982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVTRMVADRPVVIFSRTTCCMSHTIKTLISGFGANPTVYELDEIQDGQQVERALQQMGCKPSIPAVFIGQQLIGGPNQIMTLQVKNQLVPMLMRAGAIWI >KJB40742 pep chromosome:Graimondii2_0_v6:7:5328475:5333697:-1 gene:B456_007G075600 transcript:KJB40742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLEELRKKLAPLFDAEKGFSAGSTLDPYDSYMLSDSGTVNLLSRSYGVYNINELGLQKCTSSPVDETDQGEKTYRCASHEMRIFGAIGSGASSVVQRAMHIPSHRILALKKINIFEKEKRQQLLTEIRTLCEAPCSEGLVEFHGAFYSPDSGQISIALEYMDGGSLADILRLKKSIPEPVLSSMFQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLDNSMAMCATFVGTVTYMSPERIRNESYSYPADIWSLGLALFECGTGEFPYMANEGPVNLMLQILDDPSPSPPKHKFSPEFCSFIDACLQKDADARPTAEQLLSHPFIKKHEHDTVDLAAFVRSVFDPTQRLKDLADMLTLHYYLLFDGPDENWQHARTLYNEDSTFSFSGKQFVGPNDIHAKLSSIRGTLAGDWPPEKLVHVVEKLQCRAHGQDGVAIRVSGSFIIGNQFLICGDGLQVEGMPNFKDLSIDIPSKRMGTFQEQFIMEPGNVIGRYFIAKQELYIIQ >KJB40738 pep chromosome:Graimondii2_0_v6:7:5329676:5332968:-1 gene:B456_007G075600 transcript:KJB40738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLEELRKKLAPLFDAEKGFSAGSTLDPYDSYMLSDSGTVNLLSRSYGVYNINELGLQKCTSSPVDETDQGEKTYRCASHEMRIFGAIGSGASSVVQRAMHIPSHRILALKKINIFEKEKRQQLLTEIRTLCEAPCSEGLVEFHGAFYSPDSGQISIALEYMDGGSLADILRLKKSIPEPVLSSMFQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLDNSMAMCATFVGTVTYMSPERIRNESYSYPADIWSLGLALFECGTGEFPYMANEGPVNLMLQILDDPSPSPPKHKFSPEFCSFIDACLQKDADARPTAEQLLSHPFIKKHEHDTVDLAAFVRSVFDPTQRLKDLADMLTLHYYLLFDGPDENWQHARTLYNEDSTFSFSGKQFVGPNDIHAKLSSIRGTLAGDWPPEKLVHVVEKLQCRAHGQDGVAIRVSGSFIIGNQFLICGDGLQVEGMPNFKDLSIDIPSKRMGTFQEQFIMEPGNVIGRYFIAKQELYIIQ >KJB40739 pep chromosome:Graimondii2_0_v6:7:5328475:5333553:-1 gene:B456_007G075600 transcript:KJB40739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLEELRKKLAPLFDAEKGFSAGSTLDPYDSYMLSDSGTVNLLSRSYGVYNINELGLQKCTSSPVDETDQGEKTYRCASHEMRIFGAIGSGASSVVQRAMHIPSHRILALKKINIFEKEKRQQLLTEIRTLCEAPCSEGLVEFHGAFYSPDSGQISIALEYMDGGSLADILRLKKSIPEPVLSSMFQKLLQCATFVGTVTYMSPERIRNESYSYPADIWSLGLALFECGTGEFPYMANEGPVNLMLQILDDPSPSPPKHKFSPEFCSFIDACLQKDADARPTAEQLLSHPFIKKHEHDTVDLAAFVRSVFDPTQRLKDLADMLTLHYYLLFDGPDENWQHARTLYNEDSTFSFSGKQFVGPNDIHAKLSSIRGTLAGDWPPEKLVHVVEKLQCRAHGQDGVAIRVSGSFIIGNQFLICGDGLQVEGMPNFKDLSIDIPSKRMGTFQEQFIMEPGNVIGRYFIAKQELYIIQ >KJB40740 pep chromosome:Graimondii2_0_v6:7:5328475:5333553:-1 gene:B456_007G075600 transcript:KJB40740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLEELRKKLAPLFDAEKGFSAGSTLDPYDSYMLSDSGTVNLLSRSYGVYNINELGLQKCTSSPVDETDQGEKTYRCASHEMRIFGAIGSGASSVVQRAMHIPSHRILALKKINIFEKEKRQQLLTEIRTLCEAPCSEGLVEFHGAFYSPDSGQISIALEYMDGGSLADILRLKKSIPEPVLSSMFQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLDNSMAMCATFVGTVTYMSPERIRNESYSYPADIWSLGLALFECGTGEFPYMANEGPVNLMLQILDDPSPSPPKHKFSPEFCSFIDACLQKDADARPTAEQLLSHPFIKKHEHDTVDLAAFVRSVFDPTQRLKDLADMLTLHYYLLFDGPDENWQHARTLYNEDSTFSFSGKQFVGPNDIHAKLSSIRGTLAGTIYNGTRKCHRSLLYS >KJB40737 pep chromosome:Graimondii2_0_v6:7:5329676:5332968:-1 gene:B456_007G075600 transcript:KJB40737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLEELRKKLAPLFDAEKGFSAGSTLDPYDSYMLSDSGTVNLLSRSYGVYNINELGLQKCTSSPVDETDQGEKTYRCASHEMRIFGAIGSGASSVVQRAMHIPSHRILALKKINIFEKEKRQQLLTEIRTLCEAPCSEGLVEFHGAFYSPDSGQISIALEYMDGGSLADILRLKKSIPEPVLSSMFQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLDNSMAMCATFVGTVTYMSPERIRNESYSYPADIWSLGLALFECGTGEFPYMANEGPVNLMLQILDDPSPSPPKHKFSPEFCSFIDACLQKDADARPTAEQLLSHPFIKKHEHDTVDLAAFVRSVFDPTQRLKDLADMLTLHYYLLFDGPDENWQHARTLYNEDSTFSFSGKQFVGPNDIHAKLSSIRGTLAGDWPPEKLVHVVEKLQCRAHGQDGVAIRVSGSFIIGNQFLICGDGLQVEGMPNFKDLSIDIPSKRMGTFQEQFIMEPGNVIGRYFIAKQELYIIQ >KJB40741 pep chromosome:Graimondii2_0_v6:7:5328475:5333409:-1 gene:B456_007G075600 transcript:KJB40741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLEELRKKLAPLFDAEKGFSAGSTLDPYDSYMLSDSGTVNLLSRSYGVYNINELGLQKCTSSPVDETDQGEKTYRCASHEMRIFGAIGSGASSVVQRAMHIPSHRILALKKINIFEKEKRQQLLTEIRTLCEAPCSEGLVEFHGAFYSPDSGQISIALEYMDGGSLADILRLKKSIPEPVLSSMFQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLDNSMAMCATFVGTVTYMSPERIRNESYSYPADIWSLGLALFECGTGEFPYMANEGPVNLMLQILDDPSPSPPKHKFSPEFCSFIDACLQKDADARPTAEQLLSHPFIKKHEHDTVDLAAFVRSVFDPTQRLKDLADMLTLHYYLLFDGPDENWQHARTLYNEDSTFSFSGKQFVGPNDIHAKLSSIRGTLAGDWPPEKLVHVVEKLQCRAHGQDGVAIRVSGSFIIGNQFLICGDGLQVEGMPNFKDLSIDIPSKRMGTFQEQFIMEPGNVIGRYFIAKQELYIIQ >KJB43094 pep chromosome:Graimondii2_0_v6:7:17653584:17654087:-1 gene:B456_007G184000 transcript:KJB43094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPTILSADDPRACRETLTCGSVSSIGYPFWGMNRPSYCGQPGFELRCENNVTEILMNENTLRVLDIDPERQILKVAREDYWNGYCSTKFINTSIDFDHFNYGSNIRNLTLFYGCNPLPTSTFLPNCSMNSTLIDVSYAVRNMLGDPRYGICREIVVVPVYEAAAKDLE >KJB40032 pep chromosome:Graimondii2_0_v6:7:2995607:2996454:1 gene:B456_007G043300 transcript:KJB40032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSCHVATTSEKDPKWMQKLSHFVVFYSIPQSPSPLLAIPTLAFFSSPSPPIFFTFLFSFWGKKKRERQRQRQQMADWGPVLVATVLFVLLCPGLLFQIPGRNKIVEFGNMHTSGASIVVHAIIYFGLITIFCIAIGVHIYASQ >KJB45646 pep chromosome:Graimondii2_0_v6:7:53288712:53291601:-1 gene:B456_007G318100 transcript:KJB45646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDPIFTMICFLIVVFSHLELSEEVDVLGVEGSISDGETLVSSLETFQLGFFSPGKSRNRYLGIWFKTCPGTVVWVANRNNPIADGQGVLTVSDSGNLVLLNQTKSVVWSSNLSGTAQNPVAQLLDTGNLVLKDNKSTAGSYLWQSFDYPSDTLLPGMKVGWNLKTSEERYLTSWKSADDPSPGNFTFRLDKNGLPQLVIDTESMRTYRTGPWNGFGFEAIPAYLNFLFKHNVVSNENEIFFSYEVANKEITTRLWLNYTGYLQRLIFTHDSKNWEFLYSAPFDKCGIYGFCGANSICSSRRADACKCLKGFISKSQESKNCVRESSLDCQKGDGFTRLVGVKVPDLLKFQLNESLNLKQCEAECLKNCSCTAYVNMNASEGRTSCVMWFGDLFDISEVSDMYRNEVVFIRLSVSGLDDLLHLTRLESGEDEREVPLFHFSTIEIATNYFSFGNVIGEGGFGPVYKGNLPTGQQIAVKRLSKDSGQGVEQFRNEVVLIAKLQHKNLVGLLGCCIQGNERMLIYEFMPKKSLDYFIFDHKKRAQLSWRNRFDIVLGITRGLLYLHQDSKLPIIHRDLKASNILLDSNLTPKISDFGLARIFCGNDVETKTSRVVGTFGYMAPEYAIDGTFSAKSDVFSFGVLLLEIVSGKKNRGYSHPDHLHNLLGHAWLLWNEDRGLEVMDTILEETCVRSEVLRFIHVGLLCVQECPEDRPTMSSVLLKLTNEEATLPRPKAPGFFVQRNPYDNFSSTTVTTDVTISILEAR >KJB44227 pep chromosome:Graimondii2_0_v6:7:34123812:34125668:-1 gene:B456_007G240900 transcript:KJB44227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASLLTTWKVRDVVPVPDQFPTSLRVLVVDDDPTCLRFLEKMLRNCSYDVTKCNRVKTALSKLRENRNGFDIITSDVYMPDMDGFKLLEHIGLDIDLPIIKVVMKGVRHGACDYLIKPVRIEALKNIWKLEKLEKRKDNKDESEDKDDTSTLKTPWVVWSVELHQQFVPAVNQLGIDSTILLPKYVGLAHEDAREHLKSFLLICASFSQKGCNIPK >KJB45663 pep chromosome:Graimondii2_0_v6:7:53404709:53407630:-1 gene:B456_007G319000 transcript:KJB45663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKELPSSIGNLIGLENLHMNNCENLVCLPGSFCKLKSLERFYLKGCLRLEIFPEIMDTMERLYELDFSGTALKELPSSIANLIGLVYLSLNDCENLVCLPDSFCKLKSLTTFRLHGCSRLEIFPEIMDTMERLYELDLSGTALKELPSSIVNLIGLVYLSLNDCENLVCLPDSFCKLKSLERFYLKGCSRLEIFPEIMDTMERLYELDLSGTALKELPSSIGNLIGLTRFNMNNCKNLVCFRDSFYKLKSLEIFNLKGCSRLEIFPEIMDTRERLYELDLSGIALKELPSSIGNLIGLTRLNMNNCKNLVCLPDSFCKLKSLERFYLTGCLRLEIFPEIMDTMERLYELDLSGTALKELPSSIANLIGLKYLGLNNCENLICLPDSFYKLKSLGRFYLKGCSRLEIFPKIMDTMERLFELDLSGTALKELPSSIGNLIGLQDLTLQNCENLVCLPNSFYKLKSLSRFYLTGCSRLEIFPEVMDTMEWLKDLDLSGTALKELPSSIDNLIGLTHLNMNNCKNLVCLPDSFYKLKSLLCLSLCGRSNLIVKNLFTTVGGRLVNQKDPHGLSSMKQLDLSESNLENLPTTIKQFQLHELILRNCKRLKSLPELPPSLEHLDAHDCTSLEDISSIKNLFKQALFCHDRPYQSLVLDFSNCFKLGDKGVGNDIDADDNTSLEEVSGIKKVLKQALFCNSLGWLFTNCFLLDQKAASGPETPKLEMPFEHMVTLLKDYHQATPESKKRNIIVTCVPGSEIPEWFDFKSVGSSMNIQLPSEWCSNNSWINFPSFVASAVVSVPDSSYTGGEFDITCECHLKSRNGDNDCFSSSSSFSFGSRLSDHVFLVYDGFKVREIVKSKASNNRIYIEATFNFYLEELDSSECEVKQCGVHRLFAN >KJB45563 pep chromosome:Graimondii2_0_v6:7:52613645:52615821:1 gene:B456_007G312400 transcript:KJB45563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMGGNNQFTAQNEGGEFLGSNGMNSGGVGGGREGEIPLKKGPWTAIEDAVLVEYVRSHGEGSWNAVQKNTGLARCGKSCRLRWVNHLRPNLKKGSFSPEEEKIIIELHAKMGNKWARMATQLPGRTDNEIKNYWNTRVKRRQRQGLPLYPPHVQPFYSQHQHRQRHSHPPPTTAPNSCFSFQTPIVSPHTSNPMPLHPLHIPHRPPPQNFLYNPHSALTTPPPPLQSPNSASTPPPLPSPSASTPHHISPLHSPHNPPPFPTLPLINYPNTTADDDFFHSNKRFKHDGLQSNNYNNNHLDATSSRFTLPFSPMQHYSNRMTLDLPSSSRTTFNHHPHQDSGDFYPLKMDLRSNQINDDGLLENMLQEAQALAANGNGGNNEIPKEMMYAAQNEEDYSRLINIDGPSSFGTAIPEWCNDNGESSERQPSAIIDNENHLALDMHHIASLHPADISPNHAARSSSVRSWDNFPALEQSRFLVKI >KJB40953 pep chromosome:Graimondii2_0_v6:7:6200682:6203763:-1 gene:B456_007G086700 transcript:KJB40953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKDDGLGLSLSLGCAQNQPSLKLNLMPLASPCMQNLQQRNIWNELFQSSDGQLETRSVAGGIDVNQAPALAECEEEDVVSSPNSTVSSIGGKRNERDPVCDETEAERPSCSRSSDDEDGGGAGDDASRKKLRLSKEQSLVLEETFKEHSTLNPKQKLALAMQLNLRPRQVEVWFQNRRARTKSKQTEVDCEYLKRCCDNLTEENRRLQKEVQELRALKLSPQLYTHINPPTTLTMCPSCERVAVASSSSSSLAASSAPNSKHQRPVPASSWAALPLPVTHRPFNAPASRP >KJB40954 pep chromosome:Graimondii2_0_v6:7:6202205:6203510:-1 gene:B456_007G086700 transcript:KJB40954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKDDGLGLSLSLGCAQNQPSLKLNLMPLASPCMQNLQQRNIWNELFQSSVFVIDRMHADGQLETRSVAGGIDVNQAPALAECEEEDVVSSPNSTVSSIGGKRNERDPVCDETEAERPSCSRSSDDEDGGGAGDDASRKKLRLSKEQSLVLEETFKEHSTLNPKQKLALAMQLNLRPRQVEVWFQNRRARTKSKQTEVDCEYLKRCCDNLTEENRRLQKEVQELRALKLSPQLYTHINPPTTLTMCPSCERVAVASSSSSSLAASSAPNSKHQRPVPASSWAALPLPVTHRPFNAPASRP >KJB42743 pep chromosome:Graimondii2_0_v6:7:43797313:43799571:-1 gene:B456_007G264300 transcript:KJB42743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAGSSISMQPRQTLATSRVSGLKLVSFVNRGRSSLSFRLRPDRLRISCAAKPETVDKVCEIVRKQLALPSDKAVTGESKFAELGADSLDTGAGPRRVCISFSLMNFFPSFSLLYLLSVNYFLLLVAVCV >KJB42742 pep chromosome:Graimondii2_0_v6:7:43798015:43799425:-1 gene:B456_007G264300 transcript:KJB42742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAGSSISMQPRQTLATSRVSGLKLVSFVNRGRSSLSFRLRPDRLRISCAAKPETVDKVCEIVRKQLALPSDKAVTGESKFAELGADSLDTVLNCL >KJB42741 pep chromosome:Graimondii2_0_v6:7:43797191:43799650:-1 gene:B456_007G264300 transcript:KJB42741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAGSSISMQPRQTLATSRVSGLKLVSFVNRGRSSLSFRLRPDRLRISCAAKPETVDKVCEIVRKQLALPSDKAVTGESKFAELGADSLDTVEIVMGLEEEFGITVEEDNAQSISTVHDAAELIDKLCGEKSA >KJB41321 pep chromosome:Graimondii2_0_v6:7:7302745:7305328:1 gene:B456_007G098800 transcript:KJB41321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSPNSPKLSLSSSFTSKPFLHFHSNSSLPAKFKRPPSFKILFSASQPPPPSSKPTTAPKTFNLITLLRAIPDWADRIQERGMQQNRALYNHEKWVHHRSSLRHLRHLLSSLQSRVILSLVPPVLAFTSVAIVVALYNTAVDLHWFPGFFPVLRASSLPYQLTAPALALLLVFRTEASYSRYEEGRKAWTKVIAGTNDFARQVIAGVDNSADDQSIKAALLQYIMAFPVALKCHVMYGSDIGRDLQNLLEVDDLALILNSKHRPRCIIEFISQSLQLLNLEESKRTMLESKISCFHEGIGVCEQLMGIPIPLSYTRLTSRFLVLWHLTLPIILWDDCHWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCIVTQNNIKEAIATEKDIQAMLKGKRKRHSYKHSPNGCPNT >KJB44600 pep chromosome:Graimondii2_0_v6:7:42781479:42783564:-1 gene:B456_007G260500 transcript:KJB44600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELHRAVAPFLTASGGIHNGGIFNLIVCTFNGLSDTDGPSFRRIVVILETIAKYRSRVVMLDLECDDLVNEMFSTFFSIVRDDHLESVLSAMQTIMIVVLEESEDCSKKLEAGMKQILISVMSGDNQLIKSEIDYHEVIYGIYHCAPQILSGVVPYLIGELLADQLDSRLRAVRLVGSLFTLPGADICEAFQPICLEFLKRLTDRVVDVRMSVLEHVKNCLLLDPSRPEAPQIISALCDRLLDYDENVRKQVVDVICDIACHSLVSILVQAVKLVVDH >KJB46610 pep chromosome:Graimondii2_0_v6:7:60840221:60842184:1 gene:B456_007G377800 transcript:KJB46610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAVAATASSQLLVSSSRSLSRLSPFQLCVFDSKTLVSCPSNGNGSNNSIKRRHVRCMAVGTAPEAETKKSGFEIQTLTGWLLKQEQAGTIDAELTIVLSSISMACKQIASLVQRASISNLTGVQGAVNIQGEDQKKLDVVSNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLAEIDDDSTLGSAEQRCVVNVCQPGSNLLAAGYCMYSSSIIFVLTLGNGVYAFTLDPMYGEFVLTQEKIQIPKAGKIYAFNEGNYQLWDDKLKKYIDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIQPVEIHQRVPLYIGSQEEVEKLEKYLA >KJB44780 pep chromosome:Graimondii2_0_v6:7:46027557:46028600:-1 gene:B456_007G272300 transcript:KJB44780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIFNIYFKTPIVHGMDLVSPRFSPPAKRLVLLVVDGLRADKFFEPDLEGNFRAPFLRNVIKNQSRSLGSISCSASNGVKAWKCCYNCWIIRTGMPKLFWII >KJB39394 pep chromosome:Graimondii2_0_v6:7:842318:844408:-1 gene:B456_007G010600 transcript:KJB39394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDYNDMDMGYEDEPPEPEIEEGAEEDLENNNNDDVPLEPIETEDNVDQDAKDKTTRKTSKYMTKYERARILGTRALQISMNAPVMVELEDCHEGASRAENTLYHKTLLA >KJB39393 pep chromosome:Graimondii2_0_v6:7:842734:844165:-1 gene:B456_007G010600 transcript:KJB39393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDYNDMDMGYEDEPPEPEIEEGAEEDLENNNNDDVPLEPIETEDNVDQDAKDKTTRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >KJB41773 pep chromosome:Graimondii2_0_v6:7:9483295:9484986:-1 gene:B456_007G120500 transcript:KJB41773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGEGGEFVYRISTAEEWEALQKNGSAFGGDLDKSSGFIHFSSLHQVKPTLQNFFSNVKLDLYLLQIDAKKLGDGLIYEVVDGSNSFPHFYGPSRSFAPLPLDAVT >KJB39867 pep chromosome:Graimondii2_0_v6:7:2364129:2366022:1 gene:B456_007G034600 transcript:KJB39867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVPSTMTEMWTTMGSTIASFMFIWAIIRQYCPYELRRYFEKYTHTIMTYFSPYIKISIHEFTGDRLKRSEAYVAVEAYLSVNSSKTAKRLKAEMGKDSNNLVLSMDEYEKVTDEFRGAKVWWVASKVVSATRPMAYYPEQEKRYYRLTFHKRYREMITGAYLEHVVKEGKEIKVRNRQRKLYTNSPGYKWPSYKQTMWSHIVFEHPATFGTMALEPDKKKEIIEDLVTFSESKEFYARIGKAWKRGYLLYGPPGTGKSTMIAAMANLLNYDVYDLELTAVKDNTELRKLLIETTSKSIIVIEDIDCSLDLTGQRKKKGGKAMADDEKERKEVKEESSNSGNSKVTLSGLLNFIDGLWSACGGERLIVFTTNYVEKLDPALIRRGRMDKHIELSYCGFEGFKVLAKNYLKLEIHPLFDAIDGLMKEVKITPADVAENLMPKSPLDDAEKCLWSLIQALEEAKEEAEAAAAKKVVEQSQDGGGVCSENCLAEKASE >KJB43170 pep chromosome:Graimondii2_0_v6:7:18045678:18052419:1 gene:B456_007G187600 transcript:KJB43170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDQIPSPAPRSATDLFSDPLDSHPMWFKPSLFLSPDFDSESYIAELRTFVPFDTLRSELQAHLSSLNHELIDLINRDYADFVNLSTKLVDVDSAVLRMRAPLLELRDKIQGFRGAVEGSLTSLKNGLSQRAEAAAAREVLELLLDTFHVVSKVEKLIKELPSVSSDWSNGDVNSMQKSNALSSQHVENGTTNLRETQSMLLERIASEMNRLNFYIAHAQNLPFIQNIEKRIQSASQLLNASLGHCFVDGLEHRDVNAIYNCLRAYAAVDNNGNAEEIFRTTIVAPFIQNVIPHGSSGGVVAASGDELENDYLQIKKHVKNDFKFLLEVASAENSGLHVFDFLANSILKEVLEAIQKGKPGAFSPGRPKEFLKNYKASLDFLAYIEGYCPTSAAVARFRAAPSYVEFMKQWNVGVYFSLRFQEIAGALDSALTAPGLVLVQNSDSGEEYSLNLTLKQSVTLLDSLRSCWNEEVLVLSCSDKFLRLSLQLLSRYSNWLSSGLAARKKGSAGSNPGCEWALSAAPDDFVYVIHDINCLGKEISGAYLDHVLRVLSSCSTEVLDLVKQSILHCGKSLDDLLPLVISTIKEALVQKSVEDLRQLKGITATYRMTNKPLPVRHSPYVAGVLRPLKTFLDGEQATKYLTNDARNSLLLGAATDITIRYYELAADLVSVARKTESSLQRIRQGAQRRAGASSDVLDHNVSDTDKICMQLFLDIQEYGRNLAVLGVEAADIDAYRSLWQCVAPADRQDEIKF >KJB43171 pep chromosome:Graimondii2_0_v6:7:18045678:18052419:1 gene:B456_007G187600 transcript:KJB43171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDQIPSPAPRSATDLFSDPLDSHPMWFKPSLFLSPDFDSESYIAELRTFVPFDTLRSELQAHLSSLNHELIDLINRDYADFVNLSTKLVDVDSAVLRMRAPLLELRDKIQGFRGAVEGSLTSLKNGLSQRAEAAAAREVLELLLDTFHVVSKVEKLIKELPSVSSDWSNGDVNSMQKSNALSSQHVENGTTNLRETQSMLLERIASEMNRLNFYIAHAQNIEKRIQSASQLLNASLGHCFVDGLEHRDVNAIYNCLRAYAAVDNNGNAEEIFRTTIVAPFIQNVIPHGSSGGVVAASGDELENDYLQIKKHVKNDFKFLLEVASAENSGLHVFDFLANSILKEVLEAIQKGKPGAFSPGRPKEFLKNYKASLDFLAYIEGYCPTSAAVARFRAAPSYVEFMKQWNVGVYFSLRFQEIAGALDSALTAPGLVLVQNSDSGEEYSLNLTLKQSVTLLDSLRSCWNEEVLVLSCSDKFLRLSLQLLSRYSNWLSSGLAARKKGSAGSNPGCEWALSAAPDDFVYVIHDINCLGKEISGAYLDHVLRVLSSCSTEVLDLVKQSILHCGKSLDDLLPLVISTIKEALVQKSVEDLRQLKGITATYRMTNKPLPVRHSPYVAGVLRPLKTFLDGEQATKYLTNDARNSLLLGAATDITIRYYELAADLVSVARKTESSLQRIRQGAQRRAGASSDVLDHNVSDTDKICMQLFLDIQEYGRNLAVLGVEAADIDAYRSLWQCVAPADRQDEIKF >KJB43168 pep chromosome:Graimondii2_0_v6:7:18045678:18052419:1 gene:B456_007G187600 transcript:KJB43168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDQIPSPAPRSATDLFSDPLDSHPMWFKPSLFLSPDFDSESYIAELRTFVPFDTLRSELQAHLSSLNHELIDLINRDYADFVNLSTKLVDVDSAVLRMRAPLLELRDKIQGFRGAVEGSLTSLKNGLSQRAEAAAAREVLELLLDTFHVVSKVEKLIKELPSVSSDWSNGDVNSMQKSNALSSQHVENGTTNLRETQSMLLERIASEMNRLNFYIAHAQNLPFIQNIEKRIQSASQLLNASLGHCFVDGLEHRDVNAIYNCLRAYAAVDNNGNAEEIFRTTIVAPFIQNVIPHGSSGGVVAASGDELENDYLQIKKHVKNDFKFLLEVASAENSGLHVFDFLANSILKEVLEAIQKGKPGAFSPGRPKEFLKNYKASLDFLAYIEGYCPTSAAVARFRAAPSYVEFMKQWNVGVYFSLRFQEIAGALDSALTAPGLVLVQNSDSGEEYSLNLTLKQSVTLLDSLRSCWNEEVLVLSCSDKFLRLSLQLLSRYSNWLSSGLAARKKGSAGSNPGCEWALSAAPDDFVYVIHDINCLGKEISGAYLDHVLRVLSSCSTEVLDLVKQSILHCGKSLDDLLPLVISTIKEALVQKSVEDLRQLKGITATYRMTNKPLPVRHSPYVAGVLRPLKTFLDGEQATKYLTNDARNSLLLGAATDITIRYYELAADLVSVARKTESSLQRIRQGAQRRAGASSDVLDHNVSDTDKICMQLFLDIQEYGRNLAVLGVEAADIDAYRSLWQCVAPADRQDEIKF >KJB43167 pep chromosome:Graimondii2_0_v6:7:18045513:18052425:1 gene:B456_007G187600 transcript:KJB43167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDQIPSPAPRSATDLFSDPLDSHPMWFKPSLFLSPDFDSESYIAELRTFVPFDTLRSELQAHLSSLNHELIDLINRDYADFVNLSTKLVDVDSAVLRMRAPLLELRDKIQGFRGAVEGSLTSLKNGLSQRAEAAAAREVLELLLDTFHVVSKVEKLIKELPSVSSDWSNGDVNSMQKSNALSSQHVENGTTNLRETQSMLLERIASEMNRLNFYIAHAQNLPFIQNIEKRIQSASQLLNASLGHCFVDGLEHRDVNAIYNCLRAYAAVDNNGNAEEIFRTTIVAPFIQNVIPHGSSGGVVAASGDELENDYLQIKKHVKNDFKFLLEVASAENSGLHVFDFLANSILKEVLEAIQKGKPGAFSPGRPKEFLKNYKASLDFLAYIEGYCPTSAAVARFRAAPSYVEFMKQWNVGVYFSLRFQEIAGALDSALTAPGLVLVQNSDSGEEYSLNLTLKQSVTLLDSLRSCWNEEVLVLSCSDKFLRLSLQLLSRYSNWLSSGLAARKKGSAGSNPGCEWALSAAPDDFVYVIHDINCLGKEISGAYLDHVLRVLSSCSTEVLDLVKQSILHCGKSLDDLLPLVISTIKEALVQKSVEDLRQLKGITATYRMTNKPLPVRHSPYVAGVLRPLKTFLDGEQATKYLTNDARNSLLLGAATDITIRYYELAADLVSVARKTESSLQRIRQGAQRRAGASSDVLDHNVSDTDKICMQLFLDIQEYGRNLAVLGVEAADIDAYRSLWQCVAPADRQDEIKF >KJB43169 pep chromosome:Graimondii2_0_v6:7:18045513:18052425:1 gene:B456_007G187600 transcript:KJB43169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDQIPSPAPRSATDLFSDPLDSHPMWFKPSLFLSPDFDSESYIAELRTFVPFDTLRSELQAHLSSLNHELIDLINRDYADFVNLSTKLVDVDSAVLRMRAPLLELRDKIQGFRGAVEGSLTSLKNGLSQRAEAAAAREVLELLLDTFHVVSKVEKLIKELPSVSSDWSNGDVNSMQKSNALSSQHVENGTTNLRETQSMLLERIASEMNRLNFYIAHAQNLPFIQNIEKRIQSASQLLNASLGHCFVDGLEHRDVNAIYNCLRAYAAVDNNGNAEEIFRTTIVAPFIQNVIPHGSSGGVVAASGDELENDYLQIKKHVKNDFKFLLEVASAENSGLHVFDFLANSILKEVLEAIQKGKPGAFSPGRPKEFLKNYKASLDFLAYIEGYCPTSAAVARFRAAPSYVEFMKQWNVGVYFSLRFQEIAGALDSALTAPGLVLVQNSDSGEEYSLNLTLKQSVTLLDSLRSCWNEEVLVLSCSDKFLRLSLQLLSRYSNWLSSGLAARKKGSAGSNPGCEWALSAAPDDFVYVIHDINCLGKEISGAYLDHVLRVLSSCSTEVLDLVKQSILHCGKSLDDLLPLVISTIKEALVQKSVEDLRQLKGITATYRMTNKPLPVRHSPYVAGVLRPLKTFLDGEQATKYLTNDARNSLLLGAATDITIRYYELAADLVSVARKTESSLQRIRQGAQRRAGASSDVLDHNVSDTDKICMQLFLDIQEYGRNLAVLGVEAADIDAYRSLWQCVAPADRQDEIKF >KJB45149 pep chromosome:Graimondii2_0_v6:7:50156092:50157474:-1 gene:B456_007G292600 transcript:KJB45149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDVIPICFPINTTWRYARASAANEEATSPWISFLKTSTSPKAFLATTPKTNFSQESSKLILTVKPSGGHQPTHSCLMITHKEQQNTQH >KJB39561 pep chromosome:Graimondii2_0_v6:7:1433632:1434945:-1 gene:B456_007G019300 transcript:KJB39561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSFLLFLLISLFVSFGFAEIRFTKIRSNDRPIIPFDEFWFTHNGRLELNVSQITLSDNNPNLNFNNVGFFLCTRNAWLHVLQQLADAEISCVLHSSLVKIVSNFKTLNGKSSFNSVLPVKNADRYTLLFANCLSQVKVSMTVRSAMYNLKGNQNHRDYLSADETFLPKLYFLLSLVYFTLAGIWIYVLYKKRLTVFRIHFFMLIVVVLKALNLVSKAEDTLYIQQTGTAHGWDVPFYIFSSLKGIMLFTLIILIGTGWSLLKPYLQDKEKMVLTIVIPLQVVANIALVIIDETSPFSPYRVTWKSLFLLVDIICCCAMLLQIIWSIKNLREAAQTDGKAAVNLMKLTLFKQYYVMVICYIYLTLVAVYGLETITWGKYPWSCVLVGELATLVFYVFTGYKFKPETYNPYFAIDDEEEEADDVEQLKLHDEEKIGQ >KJB45957 pep chromosome:Graimondii2_0_v6:7:56530603:56532744:-1 gene:B456_007G340100 transcript:KJB45957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSITITLFPFLIVILAICFSFCDANSNVLCIESERKALLKFKNDLVDPSNRLSSWVEGGDCCKWLGVVCHNSTGHINQLHLAAPLSVPHFDAPVGEWEAYHRSKNNSPLGGKINPSLLELKHLSSLDLSNNNFSGIQIPKFFGLLESLTYLNLSQARFRGTIPHNLGNLSKLQYLDLGCNQLEGVVSESHFSNLTRLTTLAASQNKLRFDPNSSWIPPFQCRIIKLGQWNLGPKFPRWLKFQKNLSVLDISDAGISDILPTWLLNSSTQFEYVNLSCNQLTGGISYLNVTEIVDLSSNQFTGPLPRVFPTLQILILSTNSFSGPLFEFVCNSLRKGPMRILAFETNLLSGEIPDCWDHWRGLGYLNLENNTLTGKIPPSLGHLNLSVLNLRRNGMSGEIPSTLQHSTRLIMLDLSDNHFNGSVPAWIGVKLSKLEILSLRSNNFDGHIPQKICQLQSLRILDLGHNGISGAIPKCFSNFSAMANKSNQQCYMFQWSSISTNFLFLSALLVLKGREDEYGATLGLVTSICLSTNRLIGEIPKEFGSLVALRSLNLSGNLLIGNIPDEIGNMQLVESLDLSRNQLNGEIPPNFSKLNFLNHFNVSYNNLIGQIPTSTQLQSFESLSYVGNHLCGPPLTKNCTSKGISTDAANNGINSEGSKVNWLYVSIVIGFVMGFWGVVAPLFFSRSWRHAYYRKLDHVGRQLYVFWSIMGI >KJB44339 pep chromosome:Graimondii2_0_v6:7:38215232:38216114:1 gene:B456_007G246800 transcript:KJB44339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSKNMNVFYRQKKKSTTSTEKKSTKSPSPKHAATFGSDITQPPALVSHGGSLDLKDDFDEQEQVLRQFDMNMAYGPCVGITRVDRWERAQGMGLNPPKEIESLLKGGKVKLESLFDGRV >KJB39868 pep chromosome:Graimondii2_0_v6:7:2371595:2374613:-1 gene:B456_007G034700 transcript:KJB39868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFLNTKWIATAASIWIQCTSGASYTFGIYSPVLKSSQSYDQSTLDTISVFKDIGANAGILSGLLYATVTSRSTRLLTGPWVVHVAGAVQCFVGYFLIWASVVGLIRQPPVPLMCLFMLVAAHAQTFFNTANVVSGVENFHRFGGTIVGIMKGFLGLSGAILIQVYDTLCEGDPTAYILILAITPTLTSLLLMPLVKIYGTTTVDDKKHLNGFSSSALVVAAYLMVVIILDNVFTFPSWTRIITFMFLLLLLASPFGIAAKAHKDSERPSIETSPLMDDSEPMPTKYGEYHEIPGEPDRVKEVASSSNENLSNDHLEDGIDMNLSRAMLTVNFWLLFVAMICGMGSGLATINNISQIGQSLGYSTIERSSLVALWSIWNFLGRFGAGFLSDIMLHKGWARPLFMVITLATLTFGHLIVASGFPGNLYIGSVMVGICYGSQWSLMPTITSEIFGVRHMGTIFNTIAIASPIGSYIFSVRIIGYIYDKMATGEDNSCYGTQCFMLSFFIMAAVALLGFLIAFALFLRTRRFYRQIVLLRSRDS >KJB40640 pep chromosome:Graimondii2_0_v6:7:5118058:5120075:1 gene:B456_007G072300 transcript:KJB40640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQRVPQDPYPPPGYASPYPPPPPSGYPSAPPYEGYPPPPPPGYPPYPQPQRQPYEGYQGYFAEGYPPPPPPPPGHPQYHHCHYEHHHHHNHSDDGCFSFLKGCLAALCCCCMLEECCF >KJB40641 pep chromosome:Graimondii2_0_v6:7:5118071:5119902:1 gene:B456_007G072300 transcript:KJB40641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQRVPQDPYPPPGYASPYPPPPPSGYPSAPPYEGYPPPPPPGYPPYPQPQRQPYEGYQGYFAEGYPPPPPPPPGHPQYHHCHYEHHHHHNHSDDGCFSFLKGCLAALCCCCMLEECCF >KJB43385 pep chromosome:Graimondii2_0_v6:7:19829527:19830417:-1 gene:B456_007G197300 transcript:KJB43385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDSKGDFQWQILINSWNVWLLVWKFNGPERVCYFIWLLKNERLFIKDERSRRGLADYPLCKICGEAVETNPDVVRSCCSAKALRNQVLPTLASNIKALRNRQWELQFGYSCRETIKAADFIPPKLYFFTDPPQAIRGIL >KJB44571 pep chromosome:Graimondii2_0_v6:7:42645078:42648622:1 gene:B456_007G259900 transcript:KJB44571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQKLVVEVVDARNLVPKDGHGTSSPYVVVDYYGQRKRTRTVTRDINPTWNEVLQFNVEKPSDVFSDMLELDVYHDKNHGPTSRQNSLGRLKLSSGQFVKKGEEALIYYPLEKKYLLSWIRGEIGLKIYYVNDVPPPPPPPVEEAKAEEGKVEPPSDNAAAPVPSNQQPPPAEVKPDDNAASPPADGESVKAVEAAPVGEEKGEAEGEKPAEGYDQVEPEADSKSVQADDHDDDIVLDPTSANWVPPPQSGIMASATSGSIPEIRVTRTTSAPPPLTRPATPASYVSSVDPPDHTRIERSSFDLVEKMHYVFVKVVKAGSLPTNGNPTVKIAIAGCHVTSKPARKAKYFEWDQTFAFARDTPETSSFLEVSVWADAGGANFLGGVCFDATEIPLRDPPDSPLAPQWYRLEGGGAHRGDLMLATWIGTQADEAFPDSWKSDAAGNLVNSRAKIYLSPKLWYLRASVLEAQDILPLTALKEGSFQMKAQLGFQVQKTKASVSRNGNPSWNEDLMFVAAEPFAEHLIFYLEYRQQKGPVTLGVVGIPLTSIERRVDDRKVISRWFNLEDPKSDKKGYKGRVHLSLCFDGGYHVMDEAAHVCSDYRPTARQLWKPPVGTLELGIIGCKNLLPMKTINSKGSTDAYTVAKYGAKWVRTRTVSDSLDPKWNEQYTWKVYDPCTVLTIGMFDSWGALDTDSGKEATRPDFRMGKVRIRVSTLETGKVYKNTYPLVMLGNTGVQKMGELEVAVRFVRAAPTLDFLHVYSQPLLPLMHHIKPLGMPQQEMLRSTSVKILAAHLSRSEPPLRSEVVRYMLDADSHTFSMRKVRANWSRIVNVLSCLIDMVRWIEDTRMWKNPTATILVHALLVMLVWFPDLIIPTLAFYVFAIGVWNYRFRSKEKLPHFCPKMSLADSVDRDELDEEFDTMPSTRSPDIIRTRYDKLRAIGARVQNILGDVATQGERVQALVTWRDPRATAIFVGLCLMVAFVLYLVPPKMIAMAFGFYYFRHPLFRDRMPSPALNFFRRLPSLSDKIM >KJB44385 pep chromosome:Graimondii2_0_v6:7:39231857:39232513:1 gene:B456_007G249700 transcript:KJB44385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRKLASILVHAPLCTCILYPLCKRTHHPLEGFKSKENQIPISEWKFSAPTPCPYTSCPLTKLHHV >KJB39154 pep chromosome:Graimondii2_0_v6:7:83233:84539:-1 gene:B456_007G000900 transcript:KJB39154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEYVEPRSIKAVISASRGEKVLVMTSGAEVVDLIRRAVPLALAPEDDPIRDELKRLQEKKEEIDVLAHKQVRRILWSGLGLIGAQYGLFFRLTFWEFSWDVMEPIAYFTIAIIVGIGYAYFLFTSRDPTYQDLMKTLFLSRQRKLFKKHNFDVGRLKELQNKCKTSLDASTSIRNRVGLKVELDDALHKD >KJB41952 pep chromosome:Graimondii2_0_v6:7:10398983:10400208:-1 gene:B456_007G129400 transcript:KJB41952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLYGAAMSPCTSRVMTCLHEKEADFELIPIDLFAGEHKQLPFLAKNPFGQIPALEDGDLSLFESRAISAYVAEKYKEAGHDLIRCQSLKEAAEVKVWMEVESQQYHPAISPIIFQFFAAPLQGKVPDQAIIDENLEKLGKVLDVYEEKLSRTKYLAGDFYSLADLFHLSFTYYFMKTPCANLINERPHVKAWWEDISSRPAFQKVASAMTFGEKGN >KJB42079 pep chromosome:Graimondii2_0_v6:7:11069630:11073273:1 gene:B456_007G135500 transcript:KJB42079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSICALGGGYLFGLSVGFMADSIGATLGATAAFILGRTIGKSYLISKLRNYPKFQAVANAIEISSFKMVFLLRLVPLLPFSLLNYLLSVTPVNLSVYVLASWLGMTPSIFGLAYIGTTIKDLSDVTHGWNEISTIHQVLMAIGFCASAILLIWITKGAKATLEKALAITNGKTERFFAPAMLPIVPESHSPMDAEKPLLITVDSSRERNQRQESSMSTHS >KJB42080 pep chromosome:Graimondii2_0_v6:7:11070183:11073041:1 gene:B456_007G135500 transcript:KJB42080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGFLFWVKEDLGQWGPFVLFAALMCTLLIFLLIYSNVQALFINDWLNLKSFSFAALMCTLLNIRPYRIFLSRSFPASILTQLGGGYLFGLSVGFMADSIGATLGATAAFILGRTIGKSYLISKLRNYPKFQAVANAIEISSFKMVFLLRLVPLLPFSLLNYLLSVTPVNLSVYVLASWLGMTPSIFGLAYIGTTIKDLSDVTHGWNEISTIHQVLMAIGFCASAILLIWITKGAKATLEKALAITNGKTERFFAPAMLPIVPESHSPMDAEKPLLITVDSSRERNQRQESSMSTHS >KJB45671 pep chromosome:Graimondii2_0_v6:7:53487188:53489884:1 gene:B456_007G319500 transcript:KJB45671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYLPAEVILEILKRLPIKSLVKCRSVCKTWNSLICNPSFISTHLQASLSEPNNTPFILLRCFKKGKENYFLHYDNDDFDEFKQLQFPVFGCLSCSAVVGSCHGLVCLTFLPQDVLNFIFWNPSIQKYITLPQPNICCYTDDVRLNFGFGFDSRTNDYKLLIVGVEKGETLIEPYLFSLNENCWKRVTPASPKYAVEAGISSTFVNGALHWLGYQRGKNGGFSNAILGFDLSSEEFLEISLPETLVWLCPMDLSTMRYGESSIAVLKRDWEDGELFELWVMKEYGVVESWTKVLALHLIDQSGWFPRVLGFRKNGEVLLQVDDGEMASLDLNCQQMEPHGVEVGAELLYVGSYVESLVLLDKAVDVRSVSDAIHAIDSSDSDESSEGECEIT >KJB43234 pep chromosome:Graimondii2_0_v6:7:18469203:18470084:1 gene:B456_007G189600 transcript:KJB43234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKYHVRSISLPSRSHPTTLRIEDELNRLKAWEASSPLTTCESIFTCLSGLEDLYRCMGDLLSMPSTQQVLSQYHQHEKCVDELLDGSVRLLDICGIARDNMYEIKEHVHALQSALRRRKGDSSIEDNIVNYTKFRKQMKKKGKKLITELKQMGNKLGASPLLLDQHQDEDQYHHFLAVIRVLTQVNATTASIFQSFFSFLSAPVSSKQTRWSVVSKLMMHKGVISCEENVNELESVDAALCKHTSDVEKMQMAHKRLVELESGIEGLEKRLECVFRRLIKARTSLLNMISQ >KJB44652 pep chromosome:Graimondii2_0_v6:7:43645253:43645994:-1 gene:B456_007G263400 transcript:KJB44652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CVPSHAGGDRYQVECGLGSQHVVGLVENSCSCRNWDLTGIPCMHALVVIHLKDEFLETYVQTWYTKKTRLDIYSNFIRLVKGPKQWVSFSNMLPILPPTLKRSPGRHIKVRRKEPDEPQATERLSKRGVDIRCSKCKKNRL >KJB44376 pep chromosome:Graimondii2_0_v6:7:38854213:38856049:-1 gene:B456_007G248800 transcript:KJB44376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVLDKFHGKEWKKKQIRKISDKVYERITVQSGSATLTFENLYIAVLLVYNDINKLLPGPHFDPPSKEQVRSMMQECDFNLDGELDHEEFVEFIQRLTTDTLIVVSQGLIVTLVVAPTVAMTTKKATEGVPGVGKVVQKLPNSIYASLVTLAIVLFQTSREEV >KJB42077 pep chromosome:Graimondii2_0_v6:7:11034938:11035727:1 gene:B456_007G135300 transcript:KJB42077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVEIAIICLFSLVFVAMPVKLPQRPQLMAPPRPLCASQFSLVNYACAALPLTPFSPPPPRNRNHSRSRSRSRSRSNSRRRSRKHRRRHGHRETEMQSYCCQWLKQVDTECVCDVLVHLPTFLSRPNHQYTVVVDDTCTVNYSCGGRIEP >KJB44223 pep chromosome:Graimondii2_0_v6:7:33615211:33616269:-1 gene:B456_007G240700 transcript:KJB44223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIDMYNSSSNSSVFSDPFSAELMKALEPFMKSASSTSPLSSSSSYSQSNLYPEFCSPWRNHLCSEQRFCNYQNLMGFEQTGSIGLNQLTPSQILQIQAQVYQQQQQQQVASRPTTASLKNQRFNFLSPKAVPMKHASSTPSKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEAAALAYDKAAYKLRGEFARLNFPHLKHQGAHISGEFGDYKPLHSAVDAKLQAICQSLQKQENSRKACSVHDSKPKVEFDYPVKREEFDQSPRFASPKVEMNSLSSSSSSSSSPSPDLSDESLAGSSSPESDITFFDFSDSKWDDNEIFGLEKYPSVEIDWEAIRELSES >KJB40653 pep chromosome:Graimondii2_0_v6:7:5164849:5167150:1 gene:B456_007G072800 transcript:KJB40653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVATRRLTSCSSAWRSHQATSAIASRPFIDGSDSSDCLRSNFSSSSFSFSFASQFLLPTRGFASESLTPKSDHSVIPDVPATVAAIKNPSSKIVYDEYNHERFPPGDPSKRAFAYFVLTGGRFVYASVIRLLILKLVLSMSASKDVLALASLEVDLSSIEPGSTVTVKWRGKPVFIRRRTDEDIKLANSVDLGSLRDPQEDAARVKNPEWLIVVGVCTHLGCIPLPNAGDYGGWFCPCHGSHYDVSGRIRKGPAPYNLEVPTYTFLDESKLLIG >KJB42788 pep chromosome:Graimondii2_0_v6:7:14973877:14974286:-1 gene:B456_007G168000 transcript:KJB42788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQTIFIGNIHLMNSLGTSIVNGIYRIVINQILQSLGIYYRLELDHNRISVYTGTIISDWGREVRIRD >KJB46385 pep chromosome:Graimondii2_0_v6:7:59633747:59637559:1 gene:B456_007G364400 transcript:KJB46385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIAFDLALELITKLSSFTLSQIGLCWNVKDDLDDLKSTVSTIKAVLLDAEERSATSQLVKDWLEKLKDVLYDADDLLDDFFTEALRKDLLGGNKLTKEVRFFFSSSNQFAYGLKMGRKIKTIKARLISIGSEAKVFNLVERDRPMETSFMTKKRQLTHSFKDKIIGRDDDKTALLKLVLEFESEENVYIIPIVGFGGLGKTALAQFVYNDEMVKNHFELMMWVCVSDVFDVKIIVENIIKSATGKAPDQNLEMDQLQKQLREKIGGKKYLLVLDDIWNDEWEKWVSLKELLVGGAKGSRIIVTTRSSKVAKITSKCQPYVLNGLSDNDAWSLFKEIAFEQRSADSTDSGFVEIGKLILERCCGVPLVIRTIAGTLSFKETKSEWLSFKDNELAGISQNDGEILPTLKLSYDHLPSHLKHCFAYCRLYPKDYRIRVQALVQFWIAQGFIKQLNQSQSLEEIGFGYFKDLVERSFFQEVEGDLMEEMRCKMHDLMHDLAESVAGMESSIIDSNNIASDVGEKCRHISINPLLIPSLIPLFKGKKLRTLLRHPNNFSRNLSEETWDFVIANCRCLRVLDLHLLGIQMIPPSIYKLKHLRYLDLSYNRGLKILPKSICKIQNLLALKLNLCTGLKELPKKIEKLVNLTHLGCEGCFSLTHMPHLSELRLLNNLRGRLTIRNLGSVKNAKEKFKAANLKEKQHLSSLHLEWNVDNDDEDDNVKSLEDLQPHPNLKELYIAGWRGDAKFPSWLSLLTNLVDMRIYGGKFKHLPSFAQFPCLQDLVICDLTELEYMDDNSPKGSQGEPQSFFPSLKHLSLLDCPNMKNWWRTTKPIDDDSNEEDTTVIGTSTMAFPCLSSLKIKNCPLTLMPLYPSVDEDLMLSNTSSRALKQTMKMNITSTTPSTSTSSLPLSKLKSFDVRNIEWLDTHTLDECLQHLASLKRLTIRDCKEVDLEGMQWEPLKNLSHLEIDNIPKLVSLPIWLQHLVQLKTLKIHNCNGLRSLLPVFQHLTFLEEFEVKDCKELELSAAGIQIFQDHTSLRSLRLQNIPKCRHLPEGLQHLTNLQGLYLVNLPNLTSLPDEMRCLTSLKSLQIQEIPQLEETCRKDIGADWQKIAHIPNIRLIQ >KJB41744 pep chromosome:Graimondii2_0_v6:7:9229938:9230477:-1 gene:B456_007G118000 transcript:KJB41744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMKAPNSTNIKCMSIGEPNSIFNAPDFRSLSFSRLACLPEKPQKFPHLVSCNSKKEWWNSVKYEETNSITGPWTQTYFLS >KJB46594 pep chromosome:Graimondii2_0_v6:7:60772927:60775017:1 gene:B456_007G376400 transcript:KJB46594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRFEGFSVFQKENFKEFHYHPSEPPASSLRHEEDLSEDCDFSDSILRYINDILMEEDMEDKSCMLQESLDLQAAEKSFYDVLGKKYPPSPEHNSRSFGDNNGDLLQNQTQSPNVSSISQSSYSSSSMVSLDGMLESPNSTLQVPESIWQFNKGVEEASKFIPSNVDLFGNFESYSKGRKFSNRDDVADEDERTSKQVAVCSETSVRSEMLDMVLLCSSGKPPTRFTALRDSLRDGIGRKVQQKGRGKKQSGKKEVVDLRTLLITCAQAVAAGDRNGTSSELLKQIRQHSSPFGDGNQRLAHYLAEALEARLAGTGSHIYKSLVSKRTSAYDIMKAYLLYVAACPFRKVSHFICNKSINVASRKSMRLHVIDFGILYGFQWPTLIERLSLRPEGPPKLRITGIDFPQPGFKPAERVEETGRRLAAYAEEFKVPFQYKAIAKKWETVRLEELEIEEDEFVVVNCLYRAKNLLDETVAVHSPRNIVLNLIRKINPNLFIHGIINGAFNAPFFVTRFREALFHFSSMFDMLDAIVPREDWERMLIEREILGREALNAIACESWERVERPETVKQWHARILRAGFLQQPFQREIVKEAFERVQTFYHKDFVVDEDKLWLVQGWKGRIIYALSAWKPA >KJB45678 pep chromosome:Graimondii2_0_v6:7:53539562:53541731:-1 gene:B456_007G320000 transcript:KJB45678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKEIGLWENKKQEKLGELKTQRRFMAKSKNVRVTIILEYTSSVRNGFNKESMGISMYITQKNQHNTSNQLELKKFCLYCYKHTIHGEIKK >KJB46443 pep chromosome:Graimondii2_0_v6:7:60130611:60135599:1 gene:B456_007G368700 transcript:KJB46443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPASLLSLSSLYVLLVLLSCFFGGVSSVPTKVGKGYRLISAEETSDGGFLGHLQVKQKNNIYGPDIPLLQLYVKHDTGDRLRVHITDAEKQRWEVPYNLLPREQPPALKQTIGRSRKNLLTVSELAGSELIFSFTADPFSFAVKRKSNGQTLFNSSSGGSDSFGEMVFKDQYLEISTQLPKDASLYGLGENTQPHGIKLYPNDPYTLYTTDVSAINLNTDLYGSHPVYMDLRNVGGEPFAHAVLLLNSNGMDVFYKGNSLTYKIIGGVFDFYFFSGPTPLDVVDQYTSFIGRPAPMPYWSLGFHQCRWGYHNLSVVEDVVENYRKAQIPLDVIWNDDDHMDGHKDFTLNPVNYPRSKLLAFLDKIHNRGMKYIVIIDPGIGVNSSYGVYQRGIANDVFIKYDGEPYLAQVWPGAVNFPDFLNPKTVAWWGDEIRRFHELVPVDGLWIDMNEASNFCSGKCTIPKGKQCPSGTGPGWICCLDCKNITKTRWDDPPYKINASGLQVPIGFKTIATSAVHYNGVLEYDAHSLYGFSQAIATHKALQGLEGKRPFILSRSTYVGSGKYAAHWTGDNKGTWEDLKYSITTVLNFGIFGVPMVGADICGFYPAPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWKTVTKSAQNALGMRYKLLPYLYTLNYLAHKSGAPIARPLFFSFPAYKECYGLSTQFLLGSSLMVSPVLEQGKTSVKALFPPGSWYNLFDMTQTIVSKGQYFMLDAPLHVVNVHLYQNTILPMQQGGMISKEARMTPFTLIVTFPAGASEAQAKGNLYLDDDELPEMKLGNGYSTYVDLYATLKQGSVKIWSEVQEGQILGLGSKSIGLNDQSDEHK >KJB46442 pep chromosome:Graimondii2_0_v6:7:60130510:60135619:1 gene:B456_007G368700 transcript:KJB46442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPASLLSLSSLYVLLVLLSCFFGGVSSVPTKVGKGYRLISAEETSDGGFLGHLQVKQKNNIYGPDIPLLQLYVKHDTGDRLRVHITDAEKQRWEVPYNLLPREQPPALKQTIGRSRKNLLTVSELAGSELIFSFTADPFSFAVKRKSNGQTLFNSSSGGSDSFGEMVFKDQYLEISTQLPKDASLYGLGENTQPHGIKLYPNDPYTLYTTDVSAINLNTDLYGSHPVYMDLRNVGGEPFAHAVLLLNSNGMDVFYKGNSLTYKIIGGVFDFYFFSGPTPLDVVDQYTSFIGRPAPMPYWSLGFHQCRWGYHNLSVVEDVVENYRKAQIPLDVIWNDDDHMDGHKDFTLNPVNYPRSKLLAFLDKIHNRGMKYIVIIDPGIGVNSSYGVYQRGIANDVFIKYDGEPYLAQVWPGAVNFPDFLNPKTVAWWGDEIRRFHELVPVDGLWIDMNEASNFCSGKCTIPKGKQCPSGTGPGWICCLDCKNITKTRWDDPPYKINASGLQVPIGFKTIATSAVHYNGVLEYDAHSLYGFSQAIATHKALQGLEGKRPFILSRSTYVGSGKYAAHWTGDNKGTWEDLKYSITTVLNFGIFGVPMVGADICGFYPAPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWKTVTKSAQNALGMRYKLLPYLYTLNYLAHKSGAPIARPLFFSFPAYKECYGLSTQFLLGSSLMVSPVLEQGKTSVKALFPPGSWYNLFDMTQTIVSKGQYFMLDAPLHVVNVHLYQNTILPMQQGGMISKEARMTPFTLIVTFPAGASEAQAKGNLYLDDDELPEMKLGNGYSTYVDLYATLKQGSVKIWSEVQEGKFALDKGWKIEKITVLGLSGSVDTSGLEINGSPVANGASNIELSSMKQMHLQDVEDGVGKKKSLMVELSGLNLYVGKNFDMSMKMGVQG >KJB45927 pep chromosome:Graimondii2_0_v6:7:56356414:56357088:-1 gene:B456_007G338600 transcript:KJB45927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKSLSLFSISFIALCFTVASAANFDIRNNCPYKVWAAAVPGGGRELSRGAVWNLNVNPGTTGARIWGRTNCQFDGARRGRCQTGDCGGLLQCKAYGAPPNTLAEFALNQFNNLDFFDISLVDGFNVPMEFSPTSGSCSRGIRCTADIIGQCPNQLKAPGGCNNPCTVYKTDQYCCNSGNCGPTDLSRFFKARCPDAYSYPKDDQTSTFTCPGGTNYRVVFCP >KJB46371 pep chromosome:Graimondii2_0_v6:7:59501979:59503159:-1 gene:B456_007G363400 transcript:KJB46371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHECHDEAPKMLVMGQWIPMVKPYPTGFSDSINTTFKSNPNTFPLFSQFLHHFISSHPKIFHLTFPHFLSSQSPLLSFLSFKAMKAMQQGRRFLKKLKFNPTNTKIKGLVFHLNVPESPYVKDRKHKEPETDILDPEEHPSLSDFEEKCPPGGENSVIFYTTSLRGKSYGKSWVVELYLQKCSLKAGASVEPTKSSDYTNEAS >KJB43409 pep chromosome:Graimondii2_0_v6:7:20153919:20158592:-1 gene:B456_007G198300 transcript:KJB43409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRDLCILMLSAFAIFFSLQHEGDFSFKEAWFHLSDEYPIKYEAERLPPPLVADLNGDGKIEILVATHDAKIQLLEPHARRVDEGFSEARLLAEVSLLPDKVRVASGRRPVAMATGVIDRTFKSSGPQKRVLVVVTSGWSVMCFDHNLKKLWENNLQDDFPHNAHHREIAVSISNYTLRHGDSGLVIVGGRMEMQPHIYLDPFEQMGMAERNAEQHRRSANEKEASENSGTVNLRHFAFYAFDGRKGVVRWSRKNENVEEHSSDPSQLIPQHNYKLDAQALNSRHPGEFECRQFRESILRVSPHHWDRREDTLLKLSHFKQHKRKSLKRVPGKARTYPFHKPDEHHLPGKDETKKISKLIGKAAKYAGSSKSKKHLPYIPTITNNTRLWWVPNVVVAHQKEGIEAVHLATGRTICKLHLQEGGLHADINGDGVLDHVQAVGANSGERTAVSGSMEVLRPCWAVATSGVPVREQLFNASICRHSPFNLFQHGEYYRSYGRSTNGGALEVATPILIPRSDGHMHRKKSHGYIIFLTSRGEVTAYSPGLDGHGAVWQWQLVTDAKWSNLPSLSGMMEGGMIVPTLKPISLRVHDHQQMILAGGEQTAVIISPAGNILASVDLPTKPTHALITEDFSNDGLTDLILMTTTGVYGFVQTRHPGALFFSTLVGCLLVVMGVIFISQHLNSIKGKPRTSS >KJB40447 pep chromosome:Graimondii2_0_v6:7:4465944:4469022:1 gene:B456_007G063800 transcript:KJB40447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHPSKNQRERSSNNYRQQQQHEGKLMEPQAMAAMPYLLEPFTIGDYLLKSKLRESSVSIVWKAETKSSGEEVAVKQVFLSKLNKHLANCLDCELNFLSSVNHPNIIRLLQVLQSESCLFLVLEFCAGGNLASYIRRYGRVQEQLARRFMQQLGAGLEVLQSHHIIHRDLKPENILLLGSKDDLVLKIADFGLSRSLDPGNYAQTVCGSPLYMAPEVLQFQSYDEKVDMWSLGAILFELLNGHPPFHGRTSVQLLQNIKSSTCLPFSKLILPRLHPDCVDMCSRLLSVNPVDRLSFQEFYQHRFLRKKGMGNQNK >KJB46470 pep chromosome:Graimondii2_0_v6:7:60377030:60387284:1 gene:B456_007G371000 transcript:KJB46470 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MASSSGDDEFVVLVCSDPNNQIETDISNEEIFISTTDFSTWDYPSTLSFSTFKIQAHRNRLIEESSYFRGLLSGSFSESCLDYISIQWQSETFLNVVRCIFGFRLDITSKNFIPLFQAALYFGVEMLLMQLKSWLSEASLSKDPGLFQIQLEDLIDIWDFGLELDNGFVQEICASYLARNFMWAMSNKIFKDTPYELLLLCIKHPHLTIDGEKHLSDALLIWLHSNTEQLERSSKTECEFFDILKQIRISLLPLWFAAGKRSSISFSELANESVDSIFKLMKVTPKGSMNVLGDGDLSHLRIRLTKYSKKVDLSGCPQITPMILLLSFLPNNHNSYLALRKTIKESASNFEQADGSKCQITQSLLPTLSFEAVLEVDISGCLKLPLEDAIDYFSNSFPFLRRVKAAYLLNFKMTTLYRLIQKCSLVSEVDITVDVNPLISSQLSVISSSSAVISVAPNRAYFVGDKFSMTSLYHLGPSLSNITKLTLEGRSDVCDSHIQYISKFCASLCYLNLKGCISVTDVCMANLIRRCTKLQSLLVCHTSFGMNSVLALCNASPSFSNSQSAQFGKRPLDSLASNLQLLHMGGCKCADEASLLELLSQTQMLKSLCLRDTNLVDSALCSFSGSLLEVLDVSNTMISGAALHHVVCTNPGLKCLNARGCKNLFQPENTKKEAKISSSYSCEELFIELGRTCRLEEIALGWGLSYFSLQALKPAILSLRVMTVGLGGTLPEDSLRLLPTTCPLLESLVLYFQVISDCMIINILTSLRQLQTLALCYCLGDISVSSFNLSMPNLRILKLERVTPWMTNNDLVLLTRNCANLVELSLLGSKLNSDAQCIISHGWPGLISLHLEDCGEVTENGVSSLFNCIALENLLLRHNGSGIQRNFILEAASKMPMLRQVALDVCDAKEGDFDLPDDADRYSLRSVKIARCKSTRCNVGSHFADTTRKPVHRETLVLVWNSTNVVRTVVKERL >KJB46469 pep chromosome:Graimondii2_0_v6:7:60377030:60387266:1 gene:B456_007G371000 transcript:KJB46469 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MASSSGDDEFVVLVCSDPNNQIETDISNEEIFISTTDFSTWDYPSTLSFSTFKIQAHRNRLIEESSYFRGLLSGSFSESCLDYISIQWQSETFLNVVRCIFGFRLDITSKNFIPLFQAALYFGVEMLLMQLKSWLSEASLSKDPGLFQIQLEDLIDIWDFGLELDNGFVQEICASYLARNFMWAMSNKIFKDTPYELLLLCIKHPHLTIDGEKHLSDALLIWLHSNTEQLERSSKTECEFFDILKQIRISLLPLWFAAGKRSSISFSELANESVDSIFKLMKVTPKGSMNVLGDGDLSHLRIRLTKYSKKVDLSGCPQITPMILLLSFLPNNHNSYLALRKTIKESASNFEQADGSKCQITQSLLPTLSFEAVLEVDISVISSSSAVISVAPNRAYFVGDKFSMTSLYHLGPSLSNITKLTLEGRSDVCDSHIQYISKFCASLCYLNLKGCISVTDVCMANLIRRCTKLQSLLVCHTSFGMNSVLALCNASPSFSNSQSAQFGKRPLDSLASNLQLLHMGGCKCADEASLLELLSQTQMLKSLCLRDTNLVDSALCSFSGSLLEVLDVSNTMISGAALHHVVCTNPGLKCLNARGCKNLFQPENTKKEAKISSSYSCEELFIELGRTCRLEEIALGWGLSYFSLQALKPAILSLRVMTVGLGGTLPEDSLRLLPTTCPLLESLVLYFQVISDCMIINILTSLRQLQTLALCYCLGDISVSSFNLSMPNLRILKLERVTPWMTNNDLVLLTRNCANLVELSLLGSKLNSDAQCIISHGWPGLISLHLEDCGEVTENGVSSLFNCIALENLLLRHNGSGIQRNFILEAASKMPMLRQVALDVCDAKEGDFDLPDDADRYSLRSVKIARCKSTRCNVGSHFADTTRKPVHRETLVLVWNSTNVVRTVVKERL >KJB46471 pep chromosome:Graimondii2_0_v6:7:60381084:60387268:1 gene:B456_007G371000 transcript:KJB46471 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MILLLSFLPNNHNSYLALRKTIKESASNFEQADGSKCQITQSLLPTLSFEAVLEVDISGCLKLPLEDAIDYFSNSFPFLRRVKAAYLLNFKMTTLYRLIQKCSLVSEVDITVDVNPLISSQLSVISSSSAVISVAPNRAYFVGDKFSMTSLYHLGPSLSNITKLTLEGRSDVCDSHIQYISKFCASLCYLNLKGCISVTDVCMANLIRRCTKLQSLLVCHTSFGMNSVLALCNASPSFSNSQSAQFGKRPLDSLASNLQLLHMGGCKCADEASLLELLSQTQMLKSLCLRDTNLVDSALCSFSGSLLEVLDVSNTMISGAALHHVVCTNPGLKCLNARGCKNLFQPENTKKEAKISSSYSCEELFIELGRTCRLEEIALGWGLSYFSLQALKPAILSLRVMTVGLGGTLPEDSLRLLPTTCPLLESLVLYFQVISDCMIINILTSLRQLQTLALCYCLGDISVSSFNLSMPNLRILKLERVTPWMTNNDLVLLTRNCANLVELSLLGSKLNSDAQCIISHGWPGLISLHLEDCGEVTENGVSSLFNCIALENLLLRHNGSGIQRNFILEAASKMPMLRQVALDVCDAKEGDFDLPDDADRYSLRSVKIARCKSTRCNVGSHFADTTRKPVHRETLVLVWNSTNVVRTVVKERL >KJB42223 pep chromosome:Graimondii2_0_v6:7:11940307:11941644:1 gene:B456_007G143000 transcript:KJB42223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEPTVAVESAPEPAEENPAEATANPKSGKAKKAKEPKAKKAAAPRKPRAPPAHPPYEEMVKDAIVTLKEKTGSSQYAITKFIEEKQKNLPGNFKKLLLFHLKKLVAAGKLVKVKNSYKLPSAKSKPASKPKEGKRAKTTSKSPAKTKAKAAAKPKAAPKAKSTATKTKAVAAVKPKATASAKPKTVAKSKAKPKEKPVKASRTSTRTSPGKKTAAPKAAPKKAAAPKKAPSKSAKPKSVKSPAKKATTRRGKK >KJB42222 pep chromosome:Graimondii2_0_v6:7:11940292:11941701:1 gene:B456_007G143000 transcript:KJB42222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEPTVAVESAPEPAEENPAEATANPKSGKAKKAKEPKAKKAAAPRKPRAPPAHPPYEEMVKDAIVTLKEKTGSSQYAITKFIEEKQKNLPGNFKKLLLFHLKKLVAAGKLVKVKNSYKLPSAKASKPAITASAPAKKKPAAKSKPASKPKEGKRAKTTSKSPAKTKAKAAAKPKAAPKAKSTATKTKAVAAVKPKATASAKPKTVAKSKAKPKEKPVKASRTSTRTSPGKKTAAPKAAPKKAAAPKKAPSKSAKPKSVKSPAKKATTRRGKK >KJB42508 pep chromosome:Graimondii2_0_v6:7:13395845:13398397:1 gene:B456_007G156000 transcript:KJB42508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREERVESFYARLRESARASSFSPLLIFPSTSDVDSLCTLKIIFHILESDSVRYSCYPVSSFQQIREYVGSDLSSSSEPVTILLINWGCHRDLQKDLKLGRGVRVFVVDSHRPIHLHNLSEQNDQVVVIYTNDDERLADLAYDFEVMELANASYCLHNSELDSEEDEYSESEDEDEANGDEEEGGSRDGSSKRRRMSSEGEEEPAARRFKKFRRDYYRMGTFHGKPSGCLMYDLSHSLRKNTNELLWLACVSLADQFVHERLTDERYEAGVMELQQHINSLGNLDVVTSVTLKDGTKVRAPDSSRIAYEEEPRLMLLREWNLFDSMLCSSYIAPKLKTWSDNGMKKLKLLLARMGFALVDCQQKFQYMNYEVKQKMKDQFELILPEYGLNDFYYKSFLRHHGYTSRVSAADMVYGVTALLESFVQSDGFCALKQFGMAYDALSLSNLDKLTAGMEQAIKIQRAILRQGSAAITKSGCIRSGRKFRWVKVEDSVDAKLLGHPQALTKFCYFLMDALKEKGARLKPLLCACTSEEAAKVLIVGVCGKPRLGALQGNAFGLAFRNAAEETGAEYFHELFESSWIGLNAGAVNSFMVRLTEKL >KJB41578 pep chromosome:Graimondii2_0_v6:7:8395199:8399290:1 gene:B456_007G110200 transcript:KJB41578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFTSLNFLEVTNEAGAAPRPPSSTPFSEDSEKEVEATSGKEVEPVDSLNLIGLQQKEERTKLIEEQLSETLEKESDVVSEKSSDFIVFRKCKFAENSLPSQEKNIFKDDGVSEYVEKPSSGSLETDNVKSIHNEKCQEFVGNGESYTSQEENELTEKPEEKLKKTMRVQSNENISDNTSVAHTSLKSCASVGTGFEKNFELNSILSAIRSNGFLEGRAENGAMVEEAWETLQKSYVYYKGKPVGTLAAMDPTAEALNYNQVFVRDFVPSGLTCLMRSASAGGDPVIVKNFLLKTLHLQGWEKRIDNFTLGEGVMPASFKVLYDSHRQKETLVADFGGSAIGRVAPVDSGFWWIILLRSYTKCTQDYTLSEMPEVQRGMKLILNLCLSDGFDTFPTLLCAAGCSMIDRRMGIYGYPIEIQALFYFALRCSRQMLKPERDGKELIERIDKRITALSYHIQKYYWLDFTQLNNIYRYKTEEYSHTAVNKFNVIPESIPDWVFDFMPLRGGYLIGNVSPARMDFRWFLVGNCIAILSSLATPAQATAIMDLIEERWEDLIGEMPLKIVYPALEGHDWRTVTGFDPKNTRWSYHNGGTWPAALIWLLTAACIKTGRPQIAKRAIELMEQRLSKNGWPEYYDGKAGRYVGKQARKYQTWSISGYLVAKRMIENPANLPIISLEEDKRISMPKLTGSISF >KJB39563 pep chromosome:Graimondii2_0_v6:7:1440398:1444148:1 gene:B456_007G019500 transcript:KJB39563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATLTSIDQDQSKLRSTTDLSLHENDQGMYNKIVGTNGGGGKDELEDSFERDRRKLQELFSKLNPMAEEFVPHSLVNNGLNGGFHTNNIALQNNNNNVSRNGNANCNGGGKRKKIFGQGTRKLNSRTSIAQREEVIRRTVYVSDIDHQVTEEQLAGLFVSCGQVVDCRICGDPNSVLRFAFIEFIDEEGAQAALNLAGTMLGFYPVKVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKMVTQADVKLFFEAVCGEVYRLRLLGDYQHSTRIAFVEFVMAESAIAALNCSGVFLGSLPIRVSPSKTPVRPRAPRLPSY >KJB39564 pep chromosome:Graimondii2_0_v6:7:1440557:1443932:1 gene:B456_007G019500 transcript:KJB39564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATLTSIDQDQSKLRSTTDLSLHENDQGMYNKIVGTNGGGGKDELEDSFERDRRKLQELFSKLNPMAEEFVPHSLVNNGLNGGFHTNNIALQNNNNNVSRNGNANCNGGGKRKIFGQGTRKLNSRTSIAQREEVIRRTVYVSDIDHQVTEEQLAGLFVSCGQVVDCRICGDPNSVLRFAFIEFIDEEGAQAALNLAGTMLGFYPVKVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKMVTQADVKLFFEAVCGEVYRLRLLGDYQHSTRIAFVEFVMAESAIAALNCSGVFLGSLPIRVSPSKTPVRPRAPRLPSY >KJB45585 pep chromosome:Graimondii2_0_v6:7:52742561:52745599:1 gene:B456_007G313900 transcript:KJB45585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGAPAEPADSYYEVRPECTDVPVTKFKIKPGKTLSVRKWQTSFAPDGQLDIGKTLNRIHRGGIHPTIRGEVWEFLLGCFDPKSTYEEREQLRQQRREQYQKWKNDCREIFPVVGSGQFITAPVITEDGQPIQDPLVLLEANNAVGDGPNSTEIVQELINRGPLDKKIIDWLLLLHQIGLDVKRTDRSLVFYEKQENLSKLWDILAVYAWIDTDVGYCQGMSDLCSPMIILLDDEADSFWCFERLMRRLRGNFRCTESSVGVETQLSHLAAVTQVIDPKLHQHLETLGGGDYLFAVRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFYLYEEPTPSLEESKKKAKSMRHYGKFERENMKIKTDEAPLPISVFLVASVLKEKSTKLLHEARGLDDVVKILNDITGSLDAKKACNEAIKLHKKYLKKQQAKN >KJB40045 pep chromosome:Graimondii2_0_v6:7:3119843:3122502:1 gene:B456_007G044800 transcript:KJB40045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRVNSGLVWMEGTKEDEHSSSWNRTNGNNNNNNNNSVIMENKEMMGSSLSSFKSMLDDEWYVPNNGISSHHDIRELSFSPNLGDHQDNLLLHHQQQQRPVDSSSSCSPSSSVFNNIDPSQVQFFLQPKPSLSSFLNVVSNNPLDHGFDLGEIGFLDNQATTNATTLLNREYTRVLGGFTDLSPGNQLDTANSCPETQFSGSRVVQLTENGGGFAGFQGFNENPGNPLFLNRSKLLRPLDSFPSVGAQPTLFQKRAALRKNLADNGGNFGVLSGGNINAPSGTVGDKGKKEMNKENEKKKNYNRDELEDVSIDGSALNYDSDEFTENNKVEEALKNGGNTSNANSTVTGGDQKGKRKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESNPGSSSLTPTTSFHPLTPTPATLPCRIKDELCPSSLPSPNGQPARVEVRLREGKAVNIHMFCGRRPGLLLSTMRALDSLGLDIQQAVISCFNGFAMDIFRAEVQSLTIINFLISFLNKLRSSNKGKA >KJB40044 pep chromosome:Graimondii2_0_v6:7:3119687:3122668:1 gene:B456_007G044800 transcript:KJB40044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRVNSGLVWMEGTKEDEHSSSWNRTNGNNNNNNNNSVIMENKEMMGSSLSSFKSMLDDEWYVPNNGISSHHDIRELSFSPNLGDHQDNLLLHHQQQQRPVDSSSSCSPSSSVFNNIDPSQVQFFLQPKPSLSSFLNVVSNNPLDHGFDLGEIGFLDNQATTNATTLLNREYTRVLGGFTDLSPGNQLDTANSCPETQFSGSRVVQLTENGGGFAGFQGFNENPGNPLFLNRSKLLRPLDSFPSVGAQPTLFQKRAALRKNLADNGGNFGVLSGGNINAPSGTVGDKGKKEMNKENEKKKNYNRDELEDVSIDGSALNYDSDEFTENNKVEEALKNGGNTSNANSTVTGGDQKGKRKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESNPGSSSLTPTTSFHPLTPTPATLPCRIKDELCPSSLPSPNGQPARVEVRLREGKAVNIHMFCGRRPGLLLSTMRALDSLGLDIQQAVISCFNGFAMDIFRAEQCKEGQDIHPEQIKAVLLDSAGFNNMI >KJB42720 pep chromosome:Graimondii2_0_v6:7:14671022:14672458:-1 gene:B456_007G166100 transcript:KJB42720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDNESGGHNNSGGNAHSETSAREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKIYLQKYREMEGEKSSMGRGEKDGASGGSSGGASGSGGGGSGGGGGVGPGGGGGGGFNGGGMYGGMMMMGHHQGHVYGSGGYHHQISMEKRGGTGVAAANDEARGGAAVRSR >KJB44765 pep chromosome:Graimondii2_0_v6:7:45705667:45706473:-1 gene:B456_007G271600 transcript:KJB44765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EINIKPKFKIFQDLGFSTHDVADIVASDPWILTRSVDDRIAPSISDLKTVLGSNDDVVKLLRTSAWFLKSDLQKTMMPNIEFLRNCGICSSQIVSYVFSFPRFFLLKPESIKQFVERADALGFDRKSNMFLAAIRMLSSMSEENWKLKLKLLGNWVSLKMISCLLLGRHPQVFAVSERKIKQVTDFLLNRTNIGISFIISHPMVLICSLERRLKPRLLVIETLESKNSLRRKVSMTTIYKMPDKKFREKYVLPYLKELEEVSMSIVGT >KJB41784 pep chromosome:Graimondii2_0_v6:7:9583660:9587256:-1 gene:B456_007G121300 transcript:KJB41784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPPHCSITASTKSYKNRQYPKNHFKNHQNNHRNHPLPRKFSLSNPLPSPCNAAKHTAAAASASTVRAPLSQVSTPFPSLDPDFSGRRSTRFVSKMHLGRPKTSINTRHTSIAEEVLQLALHNALAGLESILVSFESKLSGSDDYTFLLRELGNRSEYEKAIKCFNFAVRRETRRTEQGKLATAMISILGRLGKVELARGVFHTALNEGYGKTVYAFSALISAFGRSGYCDEALKVFDSMKNYGLKPNLVIYNAVIDACGKGGLEFKRVVEIFDEMLRSGVQPDRITFNSLLAVCSRGGLWEAASNLFSEMVDRGIDRDIFTYNTFLDAVCKGGQMDLAFEIMAEMPAKNIFPNVITYSTMVDGYAKAGRFDDALNLFNEMKFLGIGLDRVSYNTLLSIYAKLGRFEEALDICREMEDSGMRRDVVTYNALLGGYGKQGKYDEVRALFDEMKAQKVSPNLLTYSTVIDVYSKGGLYDEAMDVFREFKRAGLKADVVLYSALIDALCKNGLVEYAVSLLDEMTKEGIRPNVVTYNSIIDAFGRSATSEHVFDAGGIRESLADSSSSLVIKQCIHGRAVDREDNRIVKIFGQLAAEKGGQAKKDFGGKREILCILGIFQKMHELEIRPNVVTFSAILNACSRCDSFEDASMLLEELRLFDNQVYGVAYGLLMGYRENVWIQAQSLFDEVKLMDSSTASAFYNALTDMLWHFGQKRGAQLVVLEGKRRQVWDNVWSNSCLDLHLMSSGAARAMVHSWLLNIHSTVFEGHELPKLLR >KJB41783 pep chromosome:Graimondii2_0_v6:7:9583461:9587588:-1 gene:B456_007G121300 transcript:KJB41783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPPHCSITASTKSYKNRQYPKNHFKNHQNNHRNHPLPRKFSLSNPLPSPCNAAKHTAAAASASTVRAPLSQVSTPFPSLDPDFSGRRSTRFVSKMHLGRPKTSINTRHTSIAEEVLQLALHNALAGLESILVSFESKLSGSDDYTFLLRELGNRSEYEKAIKCFNFAVRRETRRTEQGKLATAMISILGRLGKVELARGVFHTALNEGYGKTVYAFSALISAFGRSGYCDEALKVFDSMKNYGLKPNLVIYNAVIDACGKGGLEFKRVVEIFDEMLRSGVQPDRITFNSLLAVCSRGGLWEAASNLFSEMVDRGIDRDIFTYNTFLDAVCKGGQMDLAFEIMAEMPAKNIFPNVITYSTMVDGYAKAGRFDDALNLFNEMKFLGIGLDRVSYNTLLSIYAKLGRFEEALDICREMEDSGMRRDVVTYNALLGGYGKQGKYDEVRALFDEMKAQKVSPNLLTYSTVIDVYSKGGLYDEAMDVFREFKRAGLKADVVLYSALIDALCKNGLVEYAVSLLDEMTKEGIRPNVVTYNSIIDAFGRSATSEHVFDAGGIRESLADSSSSLVIKQCIHGRAVDREDNRIVKIFGQLAAEKGGQAKKDFGGKREILCILGIFQKMHELEIRPNVVTFSAILNACSRCDSFEDASMLLEELRLFDNQVYGVAYGLLMGYRENVWIQAQSLFDEVKLMDSSTASAFYNALTDMLWHFGQKRGAQLVVLEGKRRQVWDNVWSNSCLDLHLMSSGAARAMVHSWLLNIHSTVFEGHELPKLLSILTGWGKHSKVVGDGALRRAVEALLTGMGAPFQLAKCNLGRFVSTGPVVTAWLRESGTKNLLVLHDNRTQPENTRFEEISNLQTLTL >KJB44287 pep chromosome:Graimondii2_0_v6:7:36248178:36255890:1 gene:B456_007G244200 transcript:KJB44287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGAKRKAASHEQEEKQVKTSSSSQENLNNNNRKPAPKAKRAKTFKPQPEPEYFEDKRNLEDLWKAAFPVGTEWDQLDSVYQFNWNFSNLEDAFEEGGKLYGKKVYLFGCTEPQLVPYKGENKVICIPVIVAVESPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLEKRDSQVDRLKSQIFILSCTQRRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAEPKPVFCEFDWELDELDEFTDKLIEADELDKDQKDAFKDFVKEKVREAKKANRQAREARRKALEEMSEETKAAFQNMRFYKFYPVHTPDTPDVSNVKAPFINRYYGKAHEIL >KJB46572 pep chromosome:Graimondii2_0_v6:7:60685788:60687056:-1 gene:B456_007G375100 transcript:KJB46572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPSASYIHMVHHLIEECLIFNMSKEECMEALSKHANIKPVITSTVWNELQKENNEFFEAYTKGRDQRANELEQRQTQLINASIKENQKN >KJB44381 pep chromosome:Graimondii2_0_v6:7:39164076:39166793:-1 gene:B456_007G249300 transcript:KJB44381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g03580 [Source:Projected from Arabidopsis thaliana (AT3G03580) UniProtKB/Swiss-Prot;Acc:Q9SS60] MKTTTKFSTLHEATNQFLYSSLSKSLSSASTTKQLHRIHSIIITLGLEKSSFFSGKLISKYAQFKDPKSSFSVFHQVSPTANVYQWNSIIRALTHNGLFTKALGFYGKMRKLDVLPDKCTFPSVINSCAALVDIEMGQVVHENVLKMGLGSDLYIGNALVDMYARFGCMDEALKMFDGMPERDVVSWNSLISGYSANGYWVEALEFYNMSRMEGIMPDSFTVSSVLPACGGLVNVKEGELLHCLVEKIGLHGDVVVSNGLLSMYFKFNRLVEARRIFDEMVIRDTVSWNTLICGYSQMELFKESIELFMLMVNRFKPDLLTITSVLRACGHLRDLEFGKFVHEYMKKGGFQSDVTADNILIDMYAKCDDLLASREVFDRMMCKDSVSWNSMINCYIQHLNYDEVLKLAMIMKVDMKVDSVTCVMLLSVSTQLADKELGKEIHCDIIKLGFDSDVIVNNSMVDMYAKCGLIKDSLKVFENMKTHDRVTWNTIVAACVQSGDFTLGLRMINQMRTEGVMPDAATVLGILPMCSFFASKRRGKEIHGCIFRFGFETDVPTGNAVIEMYSKCGSLKNSIQVFDRMKVRDVVTWTAMISAYGMYGEGGKALRAFEDMKATGVVPDHVTFVAIIYACSHSGLVEEGLACFDQMQKDYNLEPRNEHYACVVDLLSRSGLITKAEDFINSMPLKPDASIWGSLLSACRSSGNILVAERVAERILEQKSNDTGYYVLASNVYAILGKWDQVRMIRKSIRARGLKKDPGCSWIEIKRRLYVFGTGDKFFEQFEEVNKLLGIISGLMAKEGYVADLRYVLHDVEEDEKRDMLCGHSERLAIAFGLLNTELGTPLQIMKNLRVCGDCHTVTKYISMIMQREILVRDANRFHLFKDGTCSCGDHW >KJB40786 pep chromosome:Graimondii2_0_v6:7:5461139:5465329:-1 gene:B456_007G077100 transcript:KJB40786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 [Source:Projected from Arabidopsis thaliana (AT5G40200) UniProtKB/Swiss-Prot;Acc:Q9FL12] MGETRKRGRKPKAPASTTETMDFQYTSASNATQTDYVAAQNDVFSAANDSVPTAAAAAKNDANNPPPARRGRGRPRKSEAGHMEDTEPHAAASPERRPYQNGAVVLEPPPQPVAKWESVAARVVPAMDAVVKVFCVHTEPNYSLPWQRKRQYSSSSSGFIIGGKRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVSDDEFWEGVSPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKNGAYTGFPILGVEWQKMENPDLRLAMGMKSDQKGVRIRRIEPTAPESHLLKPSDVILRFDGVKVANDGTVPFRHGERIGFSYLVSQKYTGDTALVKVLRDSKILEFDIKLATHKRLIPAHTSAKPPSYYIIAGFVFTAVTVPYLRSEYGKDYEFDAPVKLLDKHLHAMAESTDEQLVVISQVLVADINIGYEDIVNTQVLAFNGKPVKNLKSLANMVDNCNDEYLRFDLEYQQVSSLSVPLPLSLSLSLSHTHTHS >KJB40785 pep chromosome:Graimondii2_0_v6:7:5460231:5465455:-1 gene:B456_007G077100 transcript:KJB40785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 [Source:Projected from Arabidopsis thaliana (AT5G40200) UniProtKB/Swiss-Prot;Acc:Q9FL12] MGETRKRGRKPKAPASTTETMDFQYTSASNATQTDYVAAQNDVFSAANDSVPTAAAAAKNDANNPPPARRGRGRPRKSEAGHMEDTEPHAAASPERRPYQNGAVVLEPPPQPVAKWESVAARVVPAMDAVVKVFCVHTEPNYSLPWQRKRQYSSSSSGFIIGGKRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVSDDEFWEGVSPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKNGAYTGFPILGVEWQKMENPDLRLAMGMKSDQKGVRIRRIEPTAPESHLLKPSDVILRFDGVKVANDGTVPFRHGERIGFSYLVSQKYTGDTALVKVLRDSKILEFDIKLATHKRLIPAHTSAKPPSYYIIAGFVFTAVTVPYLRSEYGKDYEFDAPVKLLDKHLHAMAESTDEQLVVISQVLVADINIGYEDIVNTQVLAFNGKPVKNLKSLANMVDNCNDEYLRFDLEYQQ >KJB40784 pep chromosome:Graimondii2_0_v6:7:5460184:5465526:-1 gene:B456_007G077100 transcript:KJB40784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 [Source:Projected from Arabidopsis thaliana (AT5G40200) UniProtKB/Swiss-Prot;Acc:Q9FL12] MGETRKRGRKPKAPASTTETMDFQYTSASNATQTDYVAAQNDVFSAANDSVPTAAAAAKNDANNPPPARRGRGRPRKSEAGHMEDTEPHAAASPERRPYQNGAVVLEPPPQPVAKWESVAARVVPAMDAVVKVFCVHTEPNYSLPWQRKRQYSSSSSGFIIGGKRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVSDDEFWEGVSPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKNGAYTGFPILGVEWQKMENPDLRLAMGMKSDQKGVRIRRIEPTAPESHLLKPSDVILRFDGVKVANDGTVPFRHGERIGFSYLVSQKYTGDTALVKVLRDSKILEFDIKLATHKRLIPAHTSAKPPSYYIIAGFVFTAVTVPYLRSEYGKDYEFDAPVKLLDKHLHAMAESTDEQLVVISQVLVADINIGYEDIVNTQVLAFNGKPVKNLKSLANMVDNCNDEYLRFDLEYQQIVVLHAKAAKAATLDILTTHCISSAMSDDLKT >KJB42671 pep chromosome:Graimondii2_0_v6:7:14205653:14207028:1 gene:B456_007G162600 transcript:KJB42671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVYFNNMLDECLKRVLKSLVLLIERQECERGAAKRRKSKGLVGEDKESCTIESNDHCGDDDEVEADTVVSEEKKVDFLGFSILSKHAKYLKIIYQKEATFMDDATKAGFRLCIDFCTSKATNFLFMEANKTQLKTEMKEVTQKIASLQKELELKKVGETELKKQFEAISEFSSNSSSPLSYIVD >KJB40736 pep chromosome:Graimondii2_0_v6:7:5323828:5329242:1 gene:B456_007G075500 transcript:KJB40736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTDSSTFQYFFHSIRSRELSSFRVRKRAWIDELASDFTEIGAIENNVLETPPLAVSFCKASKNSHIFAVSDEAGYVNLFDSRRELSSAASYHENAEKARIDDWLAHHNAIFDVCWIKEDTHILTASGDQTIKVWDAQDKKCAGVLMGHTGSVKCLSSHPTNSDLVLSGSRDGSFAIWDLRCKSNSKSRCDEVCHPSTSMVKGAHLSSQARRGRRGKAAAASITSVIYLKDEISIATSGAADSVVKFWDTRNLKSNVTQACPHPESSTQKGISSLSQDLKGVFLTASCMDNRIYLYNVLQLDKGPIHTFSGCQIESFYVNAAISPDGDHVLSGSSDGDAYIWKVNKPLAEPIILKSHKGEVTAVDWCPSEIGKIATCADDFTVRLWNIEPRYCSSTRLPSSVRRRVMAVPSAESSKLLVNETVEPMDQIKQPGTGSSYSSDEALQIYSSSPATASLLRTPEAQKKKFPSSSDSNETFEKTPEASMRSPTSVLNPPSSLKRKTIRDYFLAIQ >KJB39019 pep chromosome:Graimondii2_0_v6:7:8550730:8552271:1 gene:B456_007G111500 transcript:KJB39019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCEEAFESTYSEPGCVVFFALYGDGAANQGQLFEDLNISALWDLPAILVCENNHYGMGKAEWRAAKSPAY >KJB39320 pep chromosome:Graimondii2_0_v6:7:523949:531890:-1 gene:B456_007G006300 transcript:KJB39320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRSLDKPLIRSNSGGIPAADEVPVNPEPFAQNSVALERILRRRSLQIRDKQQEWQESPEGQKMLEFRRSLPAYKERDALLNAISQNQVVVVSGETGCGKTTQLPQYILESEIEAARGASCSIICTQPRRISAMAVSERVAAERGEKLGESVGYKVRLEGMKGRDTRLLFCTTGILLRRLLVDRDLRGVSHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLNAELFSSYYGGAPTIHIPGFTYPVRAHFLENILEMTGYRLTPYNQIDDYGQEKMWKMQKQAQSFKKRKSQLTSAVEDVLEDADFRGCSLRTRESLSCWNPDSIGFNLIEHVLCHIVRKERPGAILVFMTGWDDINSLKGQLQAHPLLGDPSKVLLLACHGSMPSSEQRLIFEKPEDGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISKAAARQRRGRAGRVQPGECYHLYPKCVYDTFADYQLPELLRTPLQSLCLQIKSLELGGITEFLSRALQPPELLSVQNAVEYLKIIGALDENENLTVLGRNLSMLPVEPKLGKMLILGAIFNCLDPIMTVVAGLSVRDPFLMPFDKKDLAETAKAQFAGQEYSDHIAVIRAYEGWKEAEREQSGYEYCWKNFLSAQTLKAIHSLRKQFFYLLKDAGLVDQNVENCNKWSHDEHLVRAVICAGLFPGICSVVNKEKSIAMKTMEDGQVLLHSNSVNAEVPKVPYPWLVFNEKVKVNAVFLRDSTGVSDSILLLFGGNISRGGLDGHLKMLGGYLEFFMKPALAVMYLSVKRELEELIQRKLLDPTLDMHSSNELLSAVRLLVSEDRCEGRFVFGRQVTLSSKKTATVKTPGKSEADNSKNHLQTVLTRAGHGPPTYKTKQLKNNQFRSTVIFNGLDFVGQPCSSKKLAEKDAAAQALLWLRGEDHSTSRDIDHASLLLKKSKSRRKTSVSDAKWS >KJB39321 pep chromosome:Graimondii2_0_v6:7:523949:533327:-1 gene:B456_007G006300 transcript:KJB39321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYSAIFQGYLRTTAMSLRPASLQLNNSPKILLKPCSFSFVPRTQSPGSFRRLHLRNGLVRCSKSGTAASSSRTIALDWRNVALPYSELQSSNYGRYAYQDVSGDDSDHEFGSPQSQSQMGASTLDNIDEWRWKLTMLLRNKDEQEVVSRERKDRRDFEQLSALATRMGLNSRQYAKVVVFSKLPLPNYRSDLDDKRPQREVVLPFGLQRDVDLHLKAYLSHKAMSSGRSLDKPLIRSNSGGIPAADEVPVNPEPFAQNSVALERILRRRSLQIRDKQQEWQESPEGQKMLEFRRSLPAYKERDALLNAISQNQVVVVSGETGCGKTTQLPQYILESEIEAARGASCSIICTQPRRISAMAVSERVAAERGEKLGESVGYKVRLEGMKGRDTRLLFCTTGILLRRLLVDRDLRGVSHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLNAELFSSYYGGAPTIHIPGFTYPVRAHFLENILEMTGYRLTPYNQIDDYGQEKMWKMQKQAQSFKKRKSQLTSAVEDVLEDADFRGCSLRTRESLSCWNPDSIGFNLIEHVLCHIVRKERPGAILVFMTGWDDINSLKGQLQAHPLLGDPSKVLLLACHGSMPSSEQRLIFEKPEDGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISKAAARQRRGRAGRVQPGECYHLYPKCVYDTFADYQLPELLRTPLQSLCLQIKSLELGGITEFLSRALQPPELLSVQNAVEYLKIIGALDENENLTVLGRNLSMLPVEPKLGKMLILGAIFNCLDPIMTVVAGLSVRDPFLMPFDKKDLAETAKAQFAGQEYSDHIAVIRAYEGWKEAEREQSGYEYCWKNFLSAQTLKAIHSLRKQFFYLLKDAGLVDQNVENCNKWSHDEHLVRAVICAGLFPGICSVVNKEKSIAMKTMEDGQVLLHSNSVNAEVPKVPYPWLVFNEKVKVNAVFLRDSTGVSDSILLLFGGNISRGGLDGHLKMLGGYLEFFMKPALAVMYLSVKRELEELIQRKLLDPTLDMHSSNELLSAVRLLVSEDRCEGRFVFGRQVTLSSKKTATVKTPGKSEADNSKNHLQTVLTRAGHGPPTYKTKQLKNNQFRSTVIFNGLDFVGQPCSSKKLAEKDAAAQALLWLRGEDHSTSRDIDHASLLLKKSKSRRKTSVSDAKWS >KJB46308 pep chromosome:Graimondii2_0_v6:7:59040180:59040739:1 gene:B456_007G359500 transcript:KJB46308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTRKLRRWHRRRQRQELERGSDSGSSTDNTGVRVLQSGHGCCTPKGQRFKIPEILACPPAPMKPKVAPKLSSNRTFFASQDMESFFFLAFQKCH >KJB39300 pep chromosome:Graimondii2_0_v6:7:464566:466729:1 gene:B456_007G005700 transcript:KJB39300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFTFLDIDPTMELLNQFPELKSLNYQSFSPFSTTDNNLFNNKAQKIPTINNWGEEDNNLPGFTHNSVPSVSQPIFTPGNEFHEETHKRKELDFAWETSFWNSSSPHVYETEINIRNNPGRGKRAKSNGKEEEKPKEVVHVRARRGQATNSHCVAERVRRGKINERLRSLQDIVPGCYRNMGMAVMLDEIINYVQFLQNQIEFLSMKLTAASACYDFNSDSDDMETMQRRQKG >KJB39301 pep chromosome:Graimondii2_0_v6:7:464663:466287:1 gene:B456_007G005700 transcript:KJB39301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFTFLDIDPTMELLNQFPELKSLNYQSFSPFSTTDNNLFNNKAQKIPTINNWGEEDNNLPGFTHNSVPSVSQPIFTPGNEFHEETHKRKELDFAWETSFWNSSSPHVYETEINIRNNPGRGKRAKSNGKEEEKPKEVVHVRARRGQATNSHCVAERVIYL >KJB39006 pep chromosome:Graimondii2_0_v6:7:49693623:49703561:-1 gene:B456_007G290300 transcript:KJB39006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVSGFKRYMLKPDSKVQNLKDQIANLRYQRDMVQHFIVVAKEKGQEFDQYGMIWVNRAEEKIKEEEETVKNLEAQAKKRCFLGLCPNLKSLNLLNKKAEEDAQAVLELIQQAKSHKFNNESKVQNLEDQPEADKRNMEVEPEKQTSDKAKTVMEVEAKVSGSAKADTTKAKSKRIEQRRSDRGLYPGDTQETKSRPIQGFEAFESRKATVENIVEALKDPDLKIIGVNGRPGVGKTMLVKEAARRAREENMFDEVVMVTVSWNPNIKRIQREIADALGLKLDEETVFARAMRLQQWLKKQDKRVLLILDDIWDGQRLELEQVGIAIEGDQSIASEEDLGRPLMQNISENGFQKFSAVRFKILLTSTSRQVLIDMKTEEIFDVHVLTDEEAMGWIQKVVGNAANQPGYRQLLTQVVKNCAGFPVAISAIAIALRTQNFNHLVEALRKETKPIAKKEEALKSVYFTIEWSYSMLENPQLQSFFQLCALLPRGSDIHVSDLLRYNSGLRIASNVSTLEEAKKCLNKLKDAGLLLSSDNNEVVKMHDIVRDVAIWIASEEKRMFVIEDENRIEELLKERKLNSCTAISLPYNSNNKLPDNLECPRLKLLVLFNKNPSLEVPDNFFKRMSELLILDFTGMDFASLPSSFTSLRNLQRLLFDECKLNDIAIVGKLKQLDTLKILSSDIQRLPQEIGGLTRLKSLDLSNCSKLKVIPDKIISGLPYLEELLMRNSFDQWGVEGNASLSELKSLSGLIALDVHIHSVQLMPAELFSEKLNRYKILIGEVWDWSRKYEKERTLKLQLTKGIHLDRGIKLLLQKTEDLYLDEMQGIKNLLYELDGTGFPQLENLYIQNGPELLFIINSMEVASRKAFPILKSLVLQNLINLEKICQGKLEEECFNRLQIISVECCDRLKNLFPFSMTKMLVQLQEIKVSKCKSIEEIVTEEREQNAGIATNKTEFGQLRSLTLKLLPELRSFCSKEKSRSIYQLEPVNTWSWLLFDGKVVFPVLEKLQLSCINIERLWLKSSYYSQNLTSLAIEGCGNLKHIFSPSITRCLLRLKSFEIIDCKCIREIIVPDEVKEKEKETETKEKLEHVCNEDSQSNLTFENIRNVYIQDCWCLKSLFPASVAKGLKQLVDLTIDSCGLEVVISEGKVQNQDVNEFEFPEVCSLTLQNLPELKCFYPAEYEAKWPKLKKLKTYHCGHEVLGMEEHQSSNQKPLFFFEKVIHDLEELSLNSKHISVIRNHPFQVGIFSRIKVLQVLGYHDKPVVFLFNLLEKFNNLKKLELIHCDFKGNFIDEGDESEKKTERETVSLLNTGYIGEQNSQLPHVVLNLEALEFRRCDGSISLGLYLSSFQNLITLDLWQCKATALITSSVARNLVQLIKMRIRDCIMVREIVAKEKDDAKDLVRYYEQEHLKLHEFPELGEIWNTVPRGIIDFKRLKCLEVYVCNNLRYMLTVSMAADLVQLQQIKVKNCKVIEEIIRDDKSTTMKIIFPQLKTITIKSCLGLSWFSSGSFALECPNLKEITLVGCPKMVAFASTVSNELHNEIIGGEYLNILVKDASNVSAKPLFSNKVSLPLLKDLTIVDMGNLERIWDDQLEMNSFSKLKHLEVHSCVKLSNIFPLNMLERLQRLKNLQVMECASLEELFEHKFNEAEINTKFVFPQMTYLNLSMLPKLKSFYSGVHTTEWPLLKKLDVYGCDKVEIFASEYSSFHETRGQHPLFWINMN >KJB39004 pep chromosome:Graimondii2_0_v6:7:49693252:49703561:-1 gene:B456_007G290300 transcript:KJB39004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVSGFKRYMLKPDSKVQNLKDQIANLRYQRDMVQHFIVVAKEKGQEFDQYGMIWVNRAEEKIKEEEETVKNLEAQAKKRCFLGLCPNLKSLNLLNKKAEEDAQAVLELIQQAKSHKFNNESKVQNLEDQPEADKRNMEVEPEKQTSDKAKTVMEVEAKVSGSAKADTTKAKSKRIEQRRSDRGLYPGDTQETKSRPIQGFEAFESRKATVENIVEALKDPDLKIIGVNGRPGVGKTMLVKEAARRAREENMFDEVVMVTVSWNPNIKRIQREIADALGLKLDEETVFARAMRLQQWLKKQDKRVLLILDDIWDGQRLELEQVGIAIEGDQSIASEEDLGRPLMQNISENGFQKFSAVRFKILLTSTSRQVLIDMKTEEIFDVHVLTDEEAMGWIQKVVGNAANQPGYRQLLTQVVKNCAGFPVAISAIAIALRTQNFNHLVEALRKETKPIAKKEEALKSVYFTIEWSYSMLENPQLQSFFQLCALLPRGSDIHVSDLLRYNSGLRIASNVSTLEEAKKCLNKLKDAGLLLSSDNNEVVKMHDIVRDVAIWIASEEKRMFVIEDENRIEELLKERKLNSCTAISLPYNSNNKLPDNLECPRLKLLVLFNKNPSLEVPDNFFKRMSELLILDFTGMDFASLPSSFTSLRNLQRLLFDECKLNDIAIVGKLKQLDTLKILSSDIQRLPQEIGGLTRLKSLDLSNCSKLKVIPDKIISGLPYLEELLMRNSFDQWGVEGNASLSELKSLSGLIALDVHIHSVQLMPAELFSEKLNRYKILIGEVWDWSRKYEKERTLKLQLTKGIHLDRGIKLLLQKTEDLYLDEMQGIKNLLYELDGTGFPQLENLYIQNGPELLFIINSMEVASRKAFPILKSLVLQNLINLEKICQGKLEEECFNRLQIISVECCDRLKNLFPFSMTKMLVQLQEIKVSKCKSIEEIVTEEREQNAGIATNKTEFGQLRSLTLKLLPELRSFCSKEKSRSIYQLEPVNTWSWLLFDGKVVFPVLEKLQLSCINIERLWLKSSYYSQNLTSLAIEGCGNLKHIFSPSITRCLLRLKSFEIIDCKCIREIIVPDEVKEKEKETETKEKVKEEEKEEIDKILFPQLNSLKMINLVNLVGFCSESCFLEFPSLKLLEIENCPRLKEFMHKPQSTDITTVIGTLEINKENDHHLGEQALFNAKVAFPKLEKLKISRLGTVKIWHGQLHTDSFSKLKEMKVGYCNDILTIFPSIKEWNFQGLETLIVFNCNSLQHIFESSDIKVGTQLRRLYISHLPKLEHVCNEDSQSNLTFENIRNVYIQDCWCLKSLFPASVAKGLKQLVDLTIDSCGLEVVISEGKVQNQDVNEFEFPEVCSLTLQNLPELKCFYPAEYEAKWPKLKKLKTYHCGHEVLGMEEHQSSNQKPLFFFEKVIHDLEELSLNSKHISVIRNHPFQVGIFSRIKVLQVLGYHDKPVVFLFNLLEKFNNLKKLELIHCDFKGNFIDEGDESEKKTERETVSLLNTGYIGEQNSQLPHVVLNLEALEFRRCDGSISLGLYLSSFQNLITLDLWQCKATALITSSVARNLVQLIKMRIRDCIMVREIVAKEKDDAKDLVRYYEQEHLKLHEFPELGEIWNTVPRGIIDFKRLKCLEVYVCNNLRYMLTVSMAADLVQLQQIKVKNCKVIEEIIRDDKSTTMKIIFPQLKTITIKSCLGLSWFSSGSFALECPNLKEITLVGCPKMVAFASTVSNELHNEIIGGEYLNILVKDASNVSAKPLFSNKVSLPLLKDLTIVDMGNLERIWDDQLEMNSFSKLKHLEVHSCVKLSNIFPLNMLERLQRLKNLQVMECASLEELFEHKFNEAEINTKFVFPQMTYLNLSMLPKLKSFYSGVHTTEWPLLKKLDVYGCDKVEIFASEYSSFHETRGQHPLFWINMGTFPCLEELRLESNGNMKEIWHGQLPEGYFKLKVLELINSPPLTVLPPYFFRSLSNLQNFVLSDASINEIFPYEEPGGDEKLEGARAQLSVLRLSKLHELTHFWKENFKPGAIFCNMRVLEVQDCGKLNVLVPSSVSFENLTTLEVSRCEGLKHLFAHSTAKSLVQLSRMSVTDCKMLEEIVTCPGDEVKEAIVFTQLKYLGLSCLPNIESFCSGNCTFKFPSLETVTMRHCPKMKTSPRERFIAPKLKRVYSREAGGEGHWEGDQNTTIQLLFMETVEYRGIEYVVLSDSSKLMEIRNWNPQGILDFKNLKFLKVHNCRNLRCPFNPSMAMDLVHLEKLEIHDCEMLEEVIIRKGLPKRERMSKKMFPKLVTLLLISLPNLTRFYSGNYLEFPFLKELWIQSCPMLNTFISGSVTRNNSRQNIHTDLTVLIDEKVAFPSLEKLGIMDMGSLRKIYNDQISMDSFSKLKVLKLIGLSKQLDILPSGFFLSLSKLERLVVDDASFTEIFQCKSTEKKMQAWELDSFSDLRLSKLPELLHLWKEEFECQPGTHFRNLRSLKVLECSKLKNLVPSTASFQNLTTLEISRCHGLRNLVTPSTAKSMVQLKRMRITDCKMLEGIVADADDRSIYSIMFKHLEYLRLQSLQALTSFCSGNYRFEFPSLVELVAIECPKFSVFCKGKVSTPSLKRVRPTEGGDRSFTDKDLNSTINVLYSEKAQNDVKELEFLQWTMSDLWRPSAE >KJB39005 pep chromosome:Graimondii2_0_v6:7:49693617:49703561:-1 gene:B456_007G290300 transcript:KJB39005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVSGFKRYMLKPDSKVQNLKDQIANLRYQRDMVQHFIVVAKEKGQEFDQYGMIWVNRAEEKIKEEEETVKNLEAQAKKRCFLGLCPNLKSLNLLNKKAEEDAQAVLELIQQAKSHKFNNESKVQNLEDQPEADKRNMEVEPEKQTSDKAKTVMEVEAKVSGSAKADTTKAKSKRIEQRRSDRGLYPGDTQETKSRPIQGFEAFESRKATVENIVEALKDPDLKIIGVNGRPGVGKTMLVKEAARRAREENMFDEVVMVTVSWNPNIKRIQREIADALGLKLDEETVFARAMRLQQWLKKQDKRVLLILDDIWDGQRLELEQVGIAIEGDQSIASEEDLGRPLMQNISENGFQKFSAVRFKILLTSTSRQVLIDMKTEEIFDVHVLTDEEAMGWIQKVVGNAANQPGYRQLLTQVVKNCAGFPVAISAIAIALRTQNFNHLVEALRKETKPIAKKEEALKSVYFTIEWSYSMLENPQLQSFFQLCALLPRGSDIHVSDLLRYNSGLRIASNVSTLEEAKKCLNKLKDAGLLLSSDNNEVVKMHDIVRDVAIWIASEEKRMFVIEDENRIEELLKERKLNSCTAISLPYNSNNKLPDNLECPRLKLLVLFNKNPSLEVPDNFFKRMSELLILDFTGMDFASLPSSFTSLRNLQRLLFDECKLNDIAIVGKLKQLDTLKILSSDIQRLPQEIGGLTRLKSLDLSNCSKLKVIPDKIISGLPYLEELLMRNSFDQWGVEGNASLSELKSLSGLIALDVHIHSVQLMPAELFSEKLNRYKILIGEVWDWSRKYEKERTLKLQLTKGIHLDRGIKLLLQKTEDLYLDEMQGIKNLLYELDGTGFPQLENLYIQNGPELLFIINSMEVASRKAFPILKSLVLQNLINLEKICQGKLEEECFNRLQIISVECCDRLKNLFPFSMTKMLVQLQEIKVSKCKSIEEIVTEEREQNAGIATNKTEFGQLRSLTLKLLPELRSFCSKEKSRSIYQLEPVNTWSWLLFDGKVVFPVLEKLQLSCINIERLWLKSSYYSQNLTSLAIEGCGNLKHIFSPSITRCLLRLKSFEIIDCKCIREIIVPDEVKEKEKETETKEKLEHVCNEDSQSNLTFENIRNVYIQDCWCLKSLFPASVAKGLKQLVDLTIDSCGLEVVISEGKVQNQDVNEFEFPEVCSLTLQNLPELKCFYPAEYEAKWPKLKKLKTYHCGHEVLGMEEHQSSNQKPLFFFEKVIHDLEELSLNSKHISVIRNHPFQVGIFSRIKVLQVLGYHDKPVVFLFNLLEKFNNLKKLELIHCDFKGNFIDEGDESEKKTERETVSLLNTGYIGEQNSQLPHVVLNLEALEFRRCDGSISLGLYLSSFQNLITLDLWQCKATALITSSVARNLVQLIKMRIRDCIMVREIVAKEKDDAKDLVRYYEQEHLKLHEFPELGEIWNTVPRGIIDFKRLKCLEVYVCNNLRYMLTVSMAADLVQLQQIKVKNCKVIEEIIRDDKSTTMKIIFPQLKTITIKSCLGLSWFSSGSFALECPNLKEITLVGCPKMVAFASTVSNELHNEIIGGEYLNILVKDASNVSAKPLFSNKVSLPLLKDLTIVDMGNLERIWDDQLEMNSFSKLKHLEVHSCVKLSNIFPLNMLERLQRLKNLQVMECASLEELFEHKFNEAEINTKFVFPQMTYLNLSMLPKLKSFYSGVHTTEWPLLKKLDVYGCDKVEIFASEYSSFHETRGQHPLFWINMGTFPCLEELRLESNGNMKEIWHGQLPEGYFKLKVLELINSPPLTVLPPYFFRSLSNLQNFVLSDASINEIFPYEEPGGDEKLEGARAQLSVLRLSKLHELTHFWKENFKPGAIFCNMRVLEVQDCGKLNVLVPSSVSFENLTTLEVSRCEGLKHLFAHSTAKSLVQLSRMSVTDCKMLEEIVTCPGDEVKEAIVFTQLKYLGLSCLPNIESFCSGNCTFKFPSLETVTMRHCPKMKTSPRERFIAPKLKRVYSREAGGEGHWEGDQNTTIQLLFMETVEYRGIEYVVLSDSSKLMEIRNWNPQGILDFKNLKFLKVHNCRNLRCPFNPSMAMDLVHLEKLEIHDCEMLEEVIIRKGLPKRERMSKKMFPKLVTLLLISLPNLTRFYSGNYLEFPFLKELWIQSCPMLNTFISGSVTRNNSRQNIHTDLTVLIDEKVAFPSLEKLGIMDMGSLRKIYNDQISMDSFSKLKVLKLIGLSKQLDILPSGFFLSLSKLERLVVDDASFTEIFQCKSTEKKMQAWELDSFSDLRLSKLPELLHLWKEEFECQPGTHFRNLRSLKVLECSKLKNLVPSTASFQNLTTLEISRCHGLRNLVTPSTAKSMVQLKRMRITDCKMLEGIVADADDRSIYSIMFKHLEYLRLQSLQALTSFCSGNYRFEFPSLVELVAIECPKFSVFCKGKVSTPSLKRVRPTEGGDRSFTDKDLNSTINVLYSEKQLQGMELRT >KJB40858 pep chromosome:Graimondii2_0_v6:7:5796305:5800050:-1 gene:B456_007G080800 transcript:KJB40858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLFIASSSPSSFAVNGTTKLSRPSFPLTSQTREFPIFKLHCSARLSKTVIQSLHASTNSIGSTTTKQRVDESENLTLEAVRYSLIRQEDSIIFSLLERAQYCYNGDTYDPDAFSMDGFHGSLVEYILRGTEKLHAKVGRYKSPDEHPFFPDELPDPLLPPLQYPQVLHPIADSININPKVWQMYFRNLIPGLVKEGDDGNCGSTAICDTMCLQALSKRIHYGKFVAECKYQASPDAYKAAIREQDRDWLMKLLTYPLVEESIKKRVEMKARTYGQVVPDNMNMKDGDPVYKINPSLVADLYGDWIMPLTKEVQVEYLLRRLDGSE >KJB40859 pep chromosome:Graimondii2_0_v6:7:5796485:5799859:-1 gene:B456_007G080800 transcript:KJB40859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLFIASSSPSSFAVNGTTKLSRPSFPLTSQTREFPIFKLHCSARLSKTVIQSLHASTNSIGSTTTKQRVDESENLTLEAVRYSLIRQEDSIIFSLLERAQYCYNGDTYDPDAFSMDGFHGSLVEYILRGTEKLHAKVGRYKSPDEHPFFPDELPDPLLPPLQYPQVLHPIADSININPKVWQMYFRNLIPGLVKEGDDGNCGSTAICDTMCLQALSKRIHYGKFVAECKYQASPDAYKAAIREQGLADEVAHISVGRRIDQEKSRNES >KJB44663 pep chromosome:Graimondii2_0_v6:7:43754310:43758608:1 gene:B456_007G264000 transcript:KJB44663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRICGGKRLMFQVSVPPISIFATIICLTLPFLPPILSLQSAVNVWPKPRLVSWPKPLARSLSTNFTINSPYHQNLQPAISRYLRLFRTEHHHPLITPSVNVSTSSPHLQTLSIDISDPAAPLQHGVNETYSLSISEEGETANLTAETAWGAMRGLETFSQLVCGNPSVVPVGLYIWDAPLFAHRGVMLDTSRNYYGVEDILRTIEAMSANKLNVFHWHVTDSHSFPLMVPSEPELAAKGAYGPDMIYSPSDVAKIVQFGLEHGVRVLPEIDSPGHTGSWAEAYPEIVACANMFWLPAGSDWGDRLASEPGTGHLNPLNPKTYQVLKNVIRDVSILFPETFYHGGADEIVPGCWKADPTIQSFIANGGTLSQLLETFVNSTLPYILSLNRTVVYWEDVLLDGIVKVDSSFLPKEHTILQTWNSGTSNTKMIVEAGYRAIVSSSDFYYLDCGHGDFLGNDSQYDQQAGGESLNGGSWCGPFKTWQTIYDYDITYGLSEDEAKLVLGGEVALWSEQADPTVLDARIWPRTSAMAETLWSGNRDEYGKKRSAEATDRLNEWRYRMVSRGVKAEPIQPLWCVRNPGMCNAVESS >KJB44710 pep chromosome:Graimondii2_0_v6:7:44862863:44865602:-1 gene:B456_007G267800 transcript:KJB44710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKIDSSTACWIKNKALASLFLISLRVSDAASSSSYPFSTKSHRSWDKNKLWYKDDKFGSNVKDEEGFPGFALVNKATGLALKHASGATQLVQLERFKANEFDKSLIWSEGKVIDNEGYRAIRMANDIHLNMDAYLGDRPQVQDGNEIGLWEWNSGNNQIWKISPHSVSGHEKHGGHKFGEGRSDCHGDDCPYSSSHTHHSNHSGHASNLSKEPTVKICCKADPKLNLSVRFDKVVLAKADPYDEHQHWFKDDKFGSNVKDEEGFPGFALVNKATGLALKHACGAAQPVQLACFNSNDLSLMWSESKVIDNEGYRRIRMFNNIHLNMDVYLGDHPDIQEGNKIVLWEWKNGDNQIWKISSHCKF >KJB42952 pep chromosome:Graimondii2_0_v6:7:16427193:16430175:1 gene:B456_007G176600 transcript:KJB42952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYQNLLLLTAISAFLSIASACSDGQCKLLDECSSDGDCEAGLYCFACQQGFSGSRCVRSTVTNQFKLLNNSLPFNKYAFLTTHNAYAIDGYPLHTPVPRVTFTNQEDMITDQLNNGARALMLDTYDFDGDVWMCHSFGGQCHDITAFGPAIDYLKEIEEFLSANTEEIVTLILEDYVGPNGLTKVFTDAGLMKYWFPVSNMPKNGEDWPLVSDMVANNQRLLVFTSIQSKEASEGIAYQWNYMVENQYGDGGMQAGSCPNRAESSGLDDKTKSLVLVNYFHSMSSKEKTCEDNSGDLINMLRTCYAAAGNRWANFVAVDYYKRSEGGGSFQAVDTLNGKLLCGCDDIHACVAGSTSGACTP >KJB42951 pep chromosome:Graimondii2_0_v6:7:16427193:16430175:1 gene:B456_007G176600 transcript:KJB42951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYQNLLLLTAISAFLSIASACSDGQCKLLDECSSDGDCEAGLYCFACQQGFSGSRCVRSTVTNQFKLLNNSLPFNKYAFLTTHNAYAIDGYPLHTPVPRVTFTNQEDMITDQLNNGARALMLDTYDFDGDVWMCHSFGGQCHDITAFGPAIDYLKEIEEFLSANTEEIVTLILEDYVGPNGLTKVFTDAGLMKYWFPVSNMPKNGEDWPLVSDMVANNQRLLVFTSIQSKEASEGIAYQWNYMVENQYGDGGMQAGSCPNRAESSGLDDKTKSLVLVNYFHSMSSKEKTCEDNSGDLINMLRTCYAAAAHFL >KJB44676 pep chromosome:Graimondii2_0_v6:7:43932078:43934758:1 gene:B456_007G264900 transcript:KJB44676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDMHLLSFQGFQKAPHIKLIRTDTTLDLSQRPRKQATVVGIPPKKINLISCEPMAHVSDIKLIRTDTTLDLSQKAEK >KJB42852 pep chromosome:Graimondii2_0_v6:7:15390029:15393368:1 gene:B456_007G170600 transcript:KJB42852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLGGKEGTMEKKMMVKQQLNNNHQNTPRFAPHSTLLLFSAAVFAILATTAAAQPFDYADALTKSLLYFESQRSGRLPYNQRVTWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGIIEFHDEIAIAGELEHALEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKIDQSNPGSDLAGETAAAMAAASIVFKKTNTHYSHLLLHHAQELFEFGDKYRGKYDGSVAVVKNYYASVSGYMDELLWAALWLYEATDKEEYLKYVVNNADAFGGIGWAITEFSWDVKYAGVQIMASKLLEKEKHKQHAHILKQYRSKAEYYLCSCLNKNNDTTTNIDRTPGGLLYIRQWNNMQYVTTAAFLLTIYSEFLQDLNQNLRCPAGTVDHEEILSFAKSQVDYVLGSNPMNMSYLVGYGSKYPTKVHHRGASIVSYRENKGFIGCTQGYDHWYNRAEVNPNVLVGAVVGGPDSEDNFWDQRDNYMQTEACTYNTAPLVGVLAKLLQLEENHELELLASY >KJB42853 pep chromosome:Graimondii2_0_v6:7:15390228:15393237:1 gene:B456_007G170600 transcript:KJB42853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLGGKEGTMEKKMMVKQQLNNNHQNTPRFAPHSTLLLFSAAVFAILATTAAAQPFDYADALTKSLLYFESQRSGRLPYNQRVTWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGIIEFHDEIAIAGELEHALEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKIDQSNPGSDLAGETAAAMAAASIVFKKTNTHYSHLLLHHAQELFEFGDKYRGKYDGSVAVVKNYYASVSGYMDELLWAALWLYEATDKEEYLKYVVNNADAFGGIGWAITEFSWDVKYAGVQIMASKLLEKEKHKQHAHILKQYRSKAEYYLCSCLNKNNDTTTNIDRTPGGLLYIRQWNNMQYVTTAAFLLTIYSEFLQDLNQNLRCPAGTVDHEEILSFAKSQVDYVLGSNPMNMSYLVGYGSKYPTKVHHRGASIVSYRENKGFIGCTQGYDHWYNRAEVNPNVLVGAVVGGPDSEDNFWDQRDNYMQTEACTYNTAPLVGVLAKLLQLEENHELELLASY >KJB44752 pep chromosome:Graimondii2_0_v6:7:45467870:45468364:1 gene:B456_007G2708002 transcript:KJB44752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLQLFVAAFAILASSSLLASASDPSPLQDFCVAINDTKNGVFVNGKFCKDPKLANAEDFFYSGLNIPRNTSNPVGSTVTPVNVAQIPGLNTLGISLVRIDYAPY >KJB40699 pep chromosome:Graimondii2_0_v6:7:5229122:5232303:-1 gene:B456_007G073900 transcript:KJB40699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTYFPLRWESTGDQWWYASPIDWAAANGHYDLVRELLRIDGNHLIKLTSLRRIRRLETVWDDEEQFDDVAKCRSEVARKLFIKCESKKTKNSLIRCGYGGWLMYTAASAGDLGFIQELLQRNPLLVFGEGEYGVTDILYAAARGKNSEVFTLIYNFAVSPRFMTANAEGFKEHIGEIPSVYKWEITNKAVHAAARGGNLKILMELLHGYYKDVLAYRDKRGSTVLHAAAGRGQVEVVEKLVSSFDIIASVDDQGNTALHIAAYRGQAAVVEALIHVSPSLISVRNNAGETFLHFAVSGFQTPTFRRVDLQINLMKRLVHEKKFNMEDIVNAKNNDGRTALHLAIIGNVHTDLVQLLMSAPSINVNTSDANGMTPLDLLRRRPHSASSDMLIRHLISAGGMFGCKDHTARRAIASHLKMQHGSSPGTSFRISDTEIFLHTGVETTWDAYDANDPSSRGRSRSSSIDYDSGDENRKSSVNIKPSSKNKAAQRLKSVLRWPHMKGKKPKRLKKSIEGCSEETPIPLRQRFSKPSSSLPNNKRTLSVRSNQSSPIAKKKLASGIMKGVMQDMPQLTIQGRSRSSSFSRSSLSSPSSLDKQKGIFTEDIGGPSCYIPSTDDEKPSTIEKQGSNKKGLKRQYFCFGGSGLTMKTTVSRQRPNLNLNLNQTTVNPAMASMA >KJB45788 pep chromosome:Graimondii2_0_v6:7:54772423:54773544:-1 gene:B456_007G328000 transcript:KJB45788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLILSENNLDGTILECIGNLSSSLSVVDLRNNNFRGQIPENFAKGCMLKSLRINNNELEGSLPQSLGNCKDLKLLNVGNNNLNDTFPNWLRNLDQLQVLILRWNRFYGQVDSFDVTVSLNHLHVIDLSHNNFSGNLPTHFFEHLHAIREGYGKKVEPEYMVDAIGGITTNYALGLSFTTKGLETKFESLLSIWTMIDLSSNQFSGEIPKMLGELHLLIILNLSHNCLIGPIPSSLGDLSELESLDLSSNKLEGKIPTELKNLGFLEILNLSQNNLKGPIPQGKQFDTFTNDSYLGNLDLCGLPLSKRCGTDEETPAKFDRDGDELNWKFSILMGYGSGLVLGISMAYIVFTTGKPWWLTRIVERVRQRFAER >KJB39604 pep chromosome:Graimondii2_0_v6:7:9448006:9448994:1 gene:B456_007G120200 transcript:KJB39604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRSSTSKKRKISRDDDEEEEENMEKFYALIKSIREARDRLIINNPSSAENMNKGGAADDQRETKKRKLLEEEKQVVAWNPSFQREDFMEEADKLKKLPPNPTSIGSTSQSKQGGDDNDQKEEVKDELDLNLSL >KJB43098 pep chromosome:Graimondii2_0_v6:7:17677901:17679062:-1 gene:B456_007G184200 transcript:KJB43098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVRKDNKQRFSLLEENGELLIRANQGHTVMTVESERLLKQILSADEVQFCVHGTYKRNLESILESRLKRMKRLHVHFSSGLPTDGEVISGMRRDVNVLIYLDVRKALEGFDGVVPVKCFEKIESWPDRKPIPFLNV >KJB41647 pep chromosome:Graimondii2_0_v6:7:8853174:8859270:1 gene:B456_007G113900 transcript:KJB41647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYSSGEELLIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALTKGIPTGQALDIEIPPPRPKRKPRNPYPRKMSAATSVQMGAKDGKSETPVSSLHCKQAFNLEKEPLPERSSRDEKSSNLKEILDDNCSEVFTLLHEANCSSMSSVNKNFIPTSTVLRNSCPLREFVPSVKETINKDTSKPSNLENSCTSYEKPAQVQRKDDMDGAICTDEMQATHNNPQHVAVHVLDGSPGTCAPNPSMDITFQDSIFHPMGDIHGQVNLFANPAASATTAHQNNAPRSTHQAFPTFHTPFMHLQPNQEDYRSFLHVSSAFSSLVVSTLLQNPAAHAAASFAATFWPYANVQNSDDSPACDQEGFPSRQMNSATGMAAIAAATAWWAAHGLLSVCAPLQTGCTCAPASTATVTPMENGEAPAAKMERKMYAGQDPSMQDQRLDPQYAEAMQCQRSASKSPTSSSDREESGEAKANTEVKATAVTEPQDPNKTKNGKQVDRSSCGSNTPSSSDVEMDVLEKNKEDKEQKEDSKAADANHPQVECSNRRGRSSTNLSDSWKEVSEGGRLAFQALFSREVLPQSFSPPHDRENTEKQKGSVGADEQNPDQNNGETSVLHFSSEACRSCSDNQGVEKKALSKAKNIVDESLLTIGLGHEKLKARQTGFKPYKRCSVEAKESRVMNTGSQGEEKDPKRIRLEGEALT >KJB41649 pep chromosome:Graimondii2_0_v6:7:8853174:8859270:1 gene:B456_007G113900 transcript:KJB41649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYSSGEELLIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALTKGIPTGQALDIEIPPPRPKRKPRNPYPRKMSAATSVQMGAKDGKSETPVSSLHCKQAFNLEKEPLPERSSRDEKSSNLKEILDDNCSEVFTLLHEANCSSMSSVNKNFIPTSTVLRNSCPLREFVPSVKETINKDTSKPSNLENSCTSYEKPAQVQRKDDMDGAICTDEMQATHNNPQHVAVHVLDGSPGTCAPNPSMDITFQDSIFHPMGDIHGQVNLFANPAASATTAHQNNAPRSTHQAFPTFHTPFMHLQPNQEDYRSFLHVSSAFSSLVVSTLLQNPAAHAAASFAATFWPYANVQNSDDSPACDQEGFPSRQMNSATGMAAIAAATAWWAAHGLLSVCAPLQTGCTCAPASTATVTPMENGEAPAAKMERKMYAGQDPSMQDQRLDPQYAEAMQCQRSASKSPTSSSDREESGEAKANTEVKATAVTEPQDPNKTKNGKQVDRSSCGSNTPSSSDVEMDVLEKNKEDKEQKEDSKAADANHPQVECSNRRGRSSTNLSDSWKEVSEGGRLAFQALFSREVLPQSFSPPHDRENTEKQKGSVGADEQNPDQNNGETSVLHFSSEACRSCSDNQGVEKKALSKAKNIVDESLLTIGLGHEKLKARQTGFKPYKRCSVEAKESRVMNTGSQGEEKDPKRIRLEGEALT >KJB41648 pep chromosome:Graimondii2_0_v6:7:8854120:8859248:1 gene:B456_007G113900 transcript:KJB41648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYSSGEELLIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALTKGIPTGQALDIEIPPPRPKRKPRNPYPRKMSAATSVQMGAKDGKSETPVSSLHCKQAFNLEKEPLPERSSRDEKSSNLKEILDDNCSEVFTLLHEANCSSMSSVNKNFIPTSTVLRNSCPLREFVPSVKETINKDTSKPSNLENSCTSYEKPAQVQRKDDMDGAICTDEMQATHNNPQHVAVHVLDGSPGTCAPNPSMDITFQDSIFHPMGDIHGQVNLFANPAASATTAHQNNAPRSTHQAFPTFHTPFMHLQPNQEDYRSFLHVSSAFSSLVVSTLLQNPAAHAAASFAATFWPYANVQNSDDSPACDQEGFPSRQMNSATGMAAIAAATAWWAAHGLLSVCAPLQTGCTCAPASTATVTPMENGEAPAAKMERKMYAGQDPSMQDQRLDPQYAEAMQCQRSASKSPTSSSDREESGEAKANTEVKATAVTEPQDPNKTKNGKQVDRSSCGSNTPSSSDVEMDVLEKNKEDKEQKEDSKAADANHPQVECSNRRGRSSTNLSDSWKEVSEGGRLAFQALFSREVLPQSFSPPHDRENTEKQKGSVGADEQNPDQNNGETSVLHFSSEACRSCSDNQGVEKKALSKAKNIVDESLLTIGLGHEKLKARQTGFKPYKRCSVEAKESRVMNTGSQGEEKDPKRIRLEGEALT >KJB41769 pep chromosome:Graimondii2_0_v6:7:9407846:9411856:-1 gene:B456_007G119700 transcript:KJB41769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSGKKVKSMWDKRLTEKFCDICIKEILKGNSPGTHFTKDGWLKIMTNFEKETGKGFSQRQLKNRWDALKKEWKAWKKFKGENTGLGWNLIKRTVDASDDWWESRLQVVSEAQKFQISSIDPEFEGKLDQMFMGIVATGDKAWAPSSGTLRTDFFEDVTNEIPEENEEENMRMMFTF >KJB45924 pep chromosome:Graimondii2_0_v6:7:56298121:56300934:-1 gene:B456_007G338300 transcript:KJB45924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLPISFFPSLLLIPAICFTICHANSNLLCIPSEREALLKFKNHLIDPSNSLSSWVEGGDCCKWIGVVCHNSTGHVHQLHLAALSSYDQSAINPSLLELKHLSFLDLSNNNFGSIPIPKFLGMLESLTYLNLSNAQFQGAIPHNLGNLSKLHYLDLGGNYLKPKSLQWVSGLSSLEYLDLSSADLHKANDWVQVTLKLPSLLELHLSACGLEDYPSPISVNSTKSLVVLDLSSNHFSSVPKWISSLHGLVSIDLSFCFLEGPIPDYFGNTSFLEVLDLSWNHLNSSILNSLYSLNRLQFLSLSSNQLQGTISSAIGNLSSVTHLDLSNNKIEGGISEILQSLSRCCLDSLESLDMTNNQLSGHLTDQLGQFKNLSYLSLAQNKISGPIPSSIGELSSLETLDFGHNLLEGVVFEAHFFNLTRLTTLKASDNRLRFEPNSNWIPPFQCERIELGHWHLGPKFPQWLKFQKKLSYLDIFHVGISDVMPTWFLNLPTQFEYLNLSSNQLTGEISYLNVRDSVDLSSNRFTGSLPRVISTLCILHLSNNSFSGSLFELICNPSLTGMVALYLDTNLLIGEIPDCWNHWEILAYLNLANNNLTGKIPPTLGYTYPFLINLRNNSMFEELPYTLRNSWSLVMLDLSENHFSGSVPAWIGDKLSNLVVLSLRSNNFDGHIPHKICDLQFLQNLDLANNNISGVIPKCFNNLSAMATKIKTNNEFSLSLSNFYKFYLKALLVLKGREDEYGSTLGLVTSMDLSANSLTGEIPKKIGSLVGLLSLNFSGNLLTGNIPNSIGNMELMESLDLSMNRLNGEIPPSFSNLNFLNHFNVSYNNLTGQIPTSTQLQSFENLSYVGNHLCGPPLSKNCTSKGISTDVANNGSSNEGSKVNWLYVSIVLGFVMGFWGVVAPLFFIRSWRIAYYRKLDHICGKLHVFWATMGM >KJB39654 pep chromosome:Graimondii2_0_v6:7:1694751:1699635:1 gene:B456_007G023800 transcript:KJB39654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGERGRPSKKLKLAAKDNKSSAVEDENSFYTDEGDDDSRDGEKEGKNRDFSKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIIAVLNKLSKTKLPKEMIDFIHASTANYGKVKLVLKKNRYFIESPFPEVLKKLLQDEVIARARIVAENAPGSDGFTISKTAGEMETGHDGLLNEAELAAAAEEKETHAFEIDPAQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIRKSCLCLATNAVSVDQWAFQFKLWSTIRDDQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISLTKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQERGDKIIVFADNLFALTEYAMKLRKPMIYGATSHLERTKILQAFKTSRNVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGRLEDRMAGGKEEFNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDAGPELSYYHLDEQLALLGKVLTAGDDVVGLEQLEEDADDIALHKARRTTGSMSSMSGANGMVYMEYGTGKHKLIGQGQNKSKPKDPSKRHHLFKKRYG >KJB39656 pep chromosome:Graimondii2_0_v6:7:1694888:1699599:1 gene:B456_007G023800 transcript:KJB39656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGERGRPSKKLKLAAKDNKSSAVEDENSFYTDEGDDDSRDGEKEGKNRDFSKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIIAVLNKLSKTKLPKEMIDFIHASTANYGKVKLVLKKNRYFIESPFPEVLKKLLQDEVIARARIVAENAPGSDGFTISKTAGEMETGHDGLLNEAELAAAAEEKETHAFEIDPAQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIRKSCLCLATNAVSVDQWAFQFKLWSTIRDDQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISLTKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQERGDKIIVFADNLFALTEYAMKLRKPMIYGATSHLERTKILQAFKTSRNVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGRLEDRMAGGKEEFNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVLS >KJB39655 pep chromosome:Graimondii2_0_v6:7:1694888:1699599:1 gene:B456_007G023800 transcript:KJB39655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGERGRPSKKLKLAAKDNKSSAVEDENSFYTDEGDDDSRDGEKEGKNRDFSKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIIAVLNKLSKTKLPKEMIDFIHASTANYGKVKLVLKKNRYFIESPFPEVLKKLLQDEVIARARIVAENAPGSDGFTISKTAGEMETGHDGLLNEAELAAAAEEKETHAFEIDPAQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIRKSCLCLATNAVSVDQWAFQFKLWSTIRDDQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISLTKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQERGDKIIVFADNLFALTEYAMKLRKPMIYGATSHLERTKILQAFKTSRNVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGRLEDRMAGGKEEFNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDAGPELSYYHLDEQLALLGKVLIIPLSSNVPRDHRTCSCLQVFCLSGLDCWR >KJB44444 pep chromosome:Graimondii2_0_v6:7:40697006:40698050:-1 gene:B456_007G253300 transcript:KJB44444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKVTFVLFLWILPLALANLRVGFYRRSCPNAESIVRAAVRRRLTRDKSITAALLRMHFHDCFIRGCDASILIDSNRKSSSEKDAGPNLTVRGFDLIDEAKKALEATCPSKVSCADIITLATRDAVFLSGGPFYFVPTGRRDGLVSDPDEVNLPGPTLTVSEAFQAFRAKNMSMDDMVTLLGAHTVGVAHCSFFLDRITRPDPTMDRGLAAKLRSICGAASGSNPDPTAFLDQATPFAVDNQFFKQIGLKRGVMKIDQELANDPLSRRIVSGFAANATLFRTRFAQAMVKMGNIQVLVGNAGEIRKNCRVFNPRRRI >KJB44948 pep chromosome:Graimondii2_0_v6:7:48231655:48232572:1 gene:B456_007G281400 transcript:KJB44948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hevein-like preproprotein [Source:Projected from Arabidopsis thaliana (AT3G04720) UniProtKB/Swiss-Prot;Acc:P43082] MGNLSLSLVSLLCLLATAASQQCGRQAGGRTCANNLCCSQFGYCGTTDDYCSPSRGCQSNCRGGGGSGGGGESASNVRATYHYYNPAQNGWNLNAVSAYCSTWDANKPLAWRQKYGWTAFCGPVGPRGQASCGRCLRVTNRATRAQVTVRIVDQCSNGGLDLDVAMFQQIDTDGRGHAQGHLMVDYQFVAC >KJB46508 pep chromosome:Graimondii2_0_v6:7:60541095:60544290:-1 gene:B456_007G373000 transcript:KJB46508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILLIFLLMLSFPSMNAGSPPSPGYWPSTKFRPMSFHYAFKSLWGFSHQSVDQNALTIWLDRTSGSGFKSDRPFRSGYFGASIKVHPGYTAGVITAFYLSNSEVHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGEIIGREMKFHLWFDPTQDFHHYAIFWSPKEIIFLVDDVPIRRYQRKSDATFPLRPMWLYGSIWDASSWATEDGKYKADYNYQPFVAKFTGFKAGGCSAYSSPRCRPVSASPHRSGRLTMQQYKAMKWVQRYHLVYDYCKDPKRNHALTPECWN >KJB46575 pep chromosome:Graimondii2_0_v6:7:60698961:60701668:1 gene:B456_007G375300 transcript:KJB46575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFTNTNNFDNLLLQTLMGRLQIRPPPNTITNSFLTQSLEDLLLDAANLSDSDSDDADKTQLAKEESKLEKEIIRVILSGKTDPLKPNSGQAVNINDHHICITFHQENGSDYRVWEWHGHIMLFDEENGYSPEYIYGNYFERLQGKTVVNEEKEKEAEKEEEEEEKIGNLGLRELIDGGADSGSGRILHRNINAGSPRI >KJB40214 pep chromosome:Graimondii2_0_v6:7:3636223:3640219:1 gene:B456_007G051600 transcript:KJB40214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKVNSFSDLDFGSTGARSAFQWGGTIFALGQFGCWVAFLAVALHLFFPDTFPGLKQHFKLSRFILFVITPDWLADRFRDDIVPGILCLVITILVTLLEIRGVGGLENCECSCYCFSYWFVSRSFPKNQYIHPSPNNSPQSNIRPWILSLVSILTLCLFCCCSLIQAQQPYVGKKTTDCRNPDTSDSVLGYTCNGVNRSCQSYLVFRSQPLFNNVTSISNLLSSDPSQIAAINEVSETATFQTNQMVIVPVNCSCSGDHYQRNTSYIIQSGDGYFLIANSTFQALSTCQAIQNQQPVIPSESLTPGMRITVPVRCACPTRNQTDVGINYLLSYPVAEGETVSSISALFGEDPERTLEANQLPDPSSTVFFETSLLVPLRNPPSRITVPSPPPPPPPSPSPPNSTPSGSSDRTWIYILAGVLGGVGLILVVCMVIFCMFFSKTKKKTDPIISLESFEACEKPLEKSLEDGSQAFLDSMLSIAQSINLKVYKFKELQVATDNFSTSNHIKGSVYRGVINGDFAAIKKVHGDVSKEIQLLNKVYHSNLIRLSGVCINDGNWYLVYEYAANGTLSDWIFNRDDSGKYFCWKDRIRIALDVATGLNYLHSFTNPPHVHKDLKTSNVLLDGDFRAKITNFAMARSTEGREGEFALTRHIVGTKGYMAPEYLENGLVSTKLDVYAFGVLLLEVITGKEATAFYSDEHNNLSDRLSNMVENGKEGLKHLIEPSMLENYPAELAVVVVQLINSCLKQNPTARPAMDEIVQSFSRILTSSSTWDLSSNMSWSQTSTGSS >KJB44331 pep chromosome:Graimondii2_0_v6:7:37669340:37671429:1 gene:B456_007G246400 transcript:KJB44331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSVFEAVLALICFLFLCCLRNKNRSPKSFPIVGMLPELILNIHRIHDWCTETFERCHYTFLFNGPWFAKMNILGTCDPANVHYIMSSNFNNFPKGPEFKQLFDVLGDGIFNTDMDLWRKQRIVAQEFMRNHLFLKFLLMTTRDKVEKGLIHVIDYVAKQGLVVNLEDIFQRFTFDTTCILVTGYDPKCLSLEFPEAPFSKALDDVEEAIFYRHVRPQSFIKLQRWLKMGQEQKYRKAWEVLDDIMTKYICQKRKELDTRTKGLVSENGEDGVDLLTSYITEEKPTGLKCDDKFLRDTILNMMLAGRDTTSSALTWFIWLVSRHPIVENKIIEELQSKLPAEETKKRRLFNAEEVKDLVYLHGALCEALRLYPPVPFQHKEPLKPDILPSGHPVHPTMKILFSLYAMGRIKSFWGEDCLEFKPERWINERGRIKHEPSYKFMSFNAGPRTCLGKDVAFLQMKAVASAIIYNYRIHVSEETPVVPAVSIILHTKNGLMARISTRWD >KJB46275 pep chromosome:Graimondii2_0_v6:7:58647540:58664752:-1 gene:B456_007G356000 transcript:KJB46275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVITGTASNLVAGVAGYVFQKVRRNFSYVCRYRRMVSGFEKKVETLKDKRDGVLLDVDAAEKNGENIYPEVNNWLAKADQMTDSELKEVKGLEDEAKNKCFIGLCPKFKARYQLSKKAEEDAAAVDELLQQGGFDKVSYRDVPQPILFVSPKEFEDFDSRRLMFNKIMEAVKDPNVNIIGVHGMPGVGKTTLVKEVVRQVKEDKLFDSVVMAVVTHTPDVQKIQDQIADMLGLKFEEQSMTGRASRLCERLKKEKKILVVLDDIWAKLDLMEVGIPLGDEDQGCTILLTSRDLNVLLEMDAKKSFQIGVLEHEEAWHFFKKIIGDGVESSDFLPIATEVAKKCGGLPIALRTLATSLRNKPQFVWEDALRQLSKPSSSNFKGVPATVYSTIEWSFDRLQSEDHKQTFLLCSLMGHNAPLELLLLFAMGLGLFHGVNTVEETRNRLLTVVSDLKASCLLLDGNTNKQFDMHDLISDVAMSIASRGNSVFVLRYKHDSNDWPDDETVKECDKISCFGMSELPDQLKCPKLTFLGMGSKDPWMKIPTNFFKETKNLKVLYLLGMNLSSLPSISLLGNLRALCLRNCVLGDMALFGELKNLEILNIINSDIEMLPEEIGQLTKLKRLNLRRCSKLKRISPGVLCKLSRLEELYMRDSLVEWGAEGNSSKESNSSLAELNALSRLTALEIQIPNAKIIPKGFSFEKLQRYIIFIGEASYWDWNWDWVYKYSRTLKLNLQTNISFLNNGVKVLLKKAENLYIDEVKGVEILVHDQSEVGNYFLQLRNLHIQNGEMVQYILKDSDAVQKIEFLQLESLTLQGLPNLISFCSKKESSTSISPQESALFKQKILFPKLEKLKLSSIGIERIWSPQAFCSTQNLTSLIIEGCANLKYVLSDSMPEYLQQLKCLEISECKCIQEIISTDKMIQQTFKNRALIRFPRLNSLKLKGLQKLIGFCHEDYTVEFPVLTILEIENCSELKEFIHNSVRKDIPTHEVLFNNKVAFPNLEKITISHLRNVKRIWFDQLHTNSFSMLKELTVKECDALLNIFSPFLLGLFQRLEKLIVIDCASLEQVFQLQVQDLDIEETYVIDSQLKKVNLVRLPKLKHVWTKNHKGNISFESLQQVTIQECWSLKALFPFSIANNLQQLEALTINRCGLEEIVSKSVEESDEQEICFAFNKLFYLQLWNLPSLTYFYPRMHKTTWTALKQLSIFSCGRIKIFGHEESQIQHPLFLIEKVIPQLESVSVSHGDIEMISDGQYESDLFCNIKFLRISCYSDVSAVFPISFLKRFYNLESLELGSCNFKELASFESDACEDEDMIITIPKIKKLKLVLIKNIRHLWKQDSLLDHICASLKCLEVWQCHNLINLGLDLSFFKTLTTLDVWKCNGMSELITSFKVQSLVCLVTMRIRECEVMREVVASDGDEASYDIVFRVLKRLELHCLQNLTSFCSGNYTLWCPSLEQLTLSQCPRMKNFYQGKLITPKLHKVQLTETDVRGRWAGDLNATVEQLYKEQVGYRGLKHLKFSKFPELIDIWSKNPPEMLDFTTLEFLEICDSNNLRYIFYLSTAFSLGQLRQMEIKRCSNLEQVIQEECQITMAEEAITDSSKIIGIFPRLQSIIVESCPDMTSFYRGSKCLEFPSLVKIKVTGCSNMTTFVSTFSRDEDKEVIIGDEVDNVTTFFSDKVVFPKLENLTISHLRNVKRMWYKQRCSKSFSNLKDLEVEHCDSLLNIFPHFFLGVFQRLEKLRVTDCASLEEVFQLQLQIQMLDIEEACIVTTSKLRQVKLFRLPKLKHVWNKDPNENISFENLREIHVQECWSLKTLFSFSMAKDLHQLKSLIVDSCGVEEIVSKSVEESDQHEVLFEFNQLSFLALWTLPNLVCFYPGMHHITCPMLKRLKTHWPKKITKLSHVVSQLLLVEKIIPQLEHISLTTDDIATITGGQFAIDLFSHIKVLEITEHLNDSTVFSFRFLQRFSNLEKIEMVNCNFKELSPYEGDVGEERDVTMLLPRIKQLTLKGVDKMTHLWKQGSPLHHICTNLETLEVHKCGSLINIERASSSLRNLTTLEVGYCKEMVELITSSKAQCLEQLVTLKIGGCEMMREVIASDGDEATYQEIIFEELKCLELKGLQNLKSFCSGNYTLKFPSLDDITVIDCPAIENFCNGALSTPKLQEVQTGRDVRKCTWDLNSTIEQLNKEGTEY >KJB46276 pep chromosome:Graimondii2_0_v6:7:58653401:58664752:-1 gene:B456_007G356000 transcript:KJB46276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVITGTASNLVAGVAGYVFQKVRRNFSYVCRYRRMVSGFEKKVETLKDKRDGVLLDVDAAEKNGENIYPEVNNWLAKADQMTDSELKEVKGLEDEAKNKCFIGLCPKFKARYQLSKKAEEDAAAVDELLQQGGFDKVSYRDVPQPILFVSPKEFEDFDSRRLMFNKIMEAVKDPNVNIIGVHGMPGVGKTTLVKEVVRQVKEDKLFDSVVMAVVTHTPDVQKIQDQIADMLGLKFEEQSMTGRASRLCERLKKEKKILVVLDDIWAKLDLMEVGIPLGDEDQGCTILLTSRDLNVLLEMDAKKSFQIGVLEHEEAWHFFKKIIGDGVESSDFLPIATEVAKKCGGLPIALRTLATSLRNKPQFVWEDALRQLSKPSSSNFKGVPATVYSTIEWSFDRLQSEDHKQTFLLCSLMGHNAPLELLLLFAMGLGLFHGVNTVEETRNRLLTVVSDLKASCLLLDGNTNKQFDMHDLISDVAMSIASRGNSVFVLRYKHDSNDWPDDETVKECDKISCFGMSELPDQLKCPKLTFLGMGSKDPWMKIPTNFFKETKNLKVLYLLGMNLSSLPSISLLGNLRALCLRNCVLGDMALFGELKNLEILNIINSDIEMLPEEIGQLTKLKRLNLRRCSKLKRISPGVLCKLSRLEELYMRDSLVEWGAEGNSSKESNSSLAELNALSRLTALEIQIPNAKIIPKGFSFEKLQRYIIFIGEASYWDWNWDWVYKYSRTLKLNLQTNISFLNNGVKVLLKKAENLYIDEVKGVEILVHDQSEVGNYFLQLRNLHIQNGEMVQYILKDSDAVQKIEFLQLESLTLQGLPNLISFCSKKESSTSISPQESALFKQKILFPKLEKLKLSSIGIERIWSPQAFCSTQNLTSLIIEGCANLKYVLSDSMPEYLQQLKCLEISECKCIQEIISTDKMIQQTFKNRALIRFPRLNSLKLKGLQKLIGFCHEDYTVEFPVLTILEIENCSELKEFIHNSVRKDIPTHEVLFNNKVAFPNLEKITISHLRNVKRIWFDQLHTNSFSMLKELTVKECDALLNIFSPFLLGLFQRLEKLIVIDCASLEQVFQLQVQDLDIEETYVIDSQLKKVNLVRLPKLKHVWTKNHKGNISFESLQQVTIQECWSLKALFPFSIANNLQQLEALTINRCGLEEIVSKSVEESDEQEICFAFNKLFYLQLWNLPSLTYFYPRMHKTTWTALKQLSIFSCGRIKIFGHEESQIQHPLFLIEKVIPQLESVSVSHGDIEMISDGQYESDLFCNIKFLRISCYSDVSAVFPISFLKRFYNLESLELGSCNFKELASFESDACEDEDMIITIPKIKKLKLVLIKNIRHLWKQDSLLDHICASLKCLEVWQCHNLINLGLDLSFFKTLTTLDVWKCNGMSELITSFKVQSLVCLVTMRIRECEVMREVVASDGDEASYDIVFRVLKRLELHCLQNLTSFCSGNYTLWCPSLEQLTLSQCPRMKNFYQGKLITPKLHKVQLTETDVRGRWAGDLNATVEQLYKEQVGYRGLKHLKFSKFPELIDIWSKNPPEMLDFTTLEFLEICDSNNLRYIFYLSTAFSLGQLRQMEIKRCSNLEQVIQEECQITMAEEAITDSSKIIGIFPRLQSIIVESCPDMTSFYRGSKCLEFPSLVKIKVTGCSNMTTFVSTFSRDEDKEVIIGDEVDNVTTFFSDKVVFPKLENLTISHLRNVKRMWYKQRCSKSFSNLKDLEVEHCDSLLNIFPHFFLGVFQRLEKLRVTDCASLEEVFQLQLQIQMLDIEEACIVTTSKLRQVKLFRLPKLKHVWNKDPNENISFENLREIHVQECWSLKTLFSFSMAKDLHQLKSLIVDSCGVEEIVSKSVEESDQHEVLFEFNQLSFLALWTLPNLVCFYPGMHHITCPMLKRLKTHWPKKITKLSHVVSQLLLVEKIIPQLEHISLTTDDIATITGGQFAIDLFSHIKVLEITEHLNDSTVFSFRFLQRFSNLEKIEMVNCNFKELSPYEGDVGEERDVTMLLPRIKQLTLKGVDKMTHLWKQGSPLHHICTNLETLEVHKCGSLINIERASSSLRNLTTLEVGYCKEMVELITSSKAQCLEQLVTLKIGGCEMMREVIASDGDEATYQEIIFEELKCLELKGLQNLKSFCSGNYTLKFPSLDDITVIDCPAIENFCNGALSTPKLQEVQTGRDVRKCTWDLNSTIEQLNKEEYVVSEETDKIIEVR >KJB43371 pep chromosome:Graimondii2_0_v6:7:19669546:19670421:1 gene:B456_007G196500 transcript:KJB43371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WRRLDHVHVGSTQKFPSSFFNSLSLLSLPFISSLTKSSDQGFPKLPSNPRLNNFCLKPSKMASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRYCYLIYFINLHFQHYINWIQISFFVVVFVDFSDW >KJB43370 pep chromosome:Graimondii2_0_v6:7:19669509:19671132:1 gene:B456_007G196500 transcript:KJB43370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >KJB39459 pep chromosome:Graimondii2_0_v6:7:1126171:1129035:1 gene:B456_007G014500 transcript:KJB39459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQENNNSIRNAQLRPVHPFIKYRHYKRSQILSSFVFRLGFSSSFSPFLDQKPQLSYRQRRIIFSGFAMASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKNKYETTARSWTQKYAMG >KJB39460 pep chromosome:Graimondii2_0_v6:7:1126172:1128444:1 gene:B456_007G014500 transcript:KJB39460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQENNNSIRNAQLRPVHPFIKYRHYKRSQILSSFVFRLGFSSSFSPFLDQKPQLSYRQRRIIFSGFAMASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVIVLEISRYS >KJB42206 pep chromosome:Graimondii2_0_v6:7:11843520:11846421:1 gene:B456_007G142100 transcript:KJB42206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLSKTFLSITHQVFPSEKCRPVSFSGREPQLQRATSMGFATRSLRVPRVSSKTSGSLSSSIDELDKETREERRVADVWREIHGVDDWVGMLDPMDHLLRSELIRYGEMAQACYDAFDYDPFSKYCGSCRFTPRQFFDSLAMADHGYAVSRYIFATSNINLPNFFKKSRWPKVWSKSANWIGYVAVSNDEISKRLGRRDIIVAWRGTVTRPEWIADLMDFLKPISSNKIPCPDSTVKVESGFLDLYTDKDVNCRFCKFSAREQILTEVKRLLEIYQLEELSLTITGHSLGSALAILSAYDIVETGLNVLQDSRAVPVSVFSFSGPRVGNVRFKERMEVLGVKVLRVVNVHDIVPKSPGLFFNENVSPLLMKMAERLPWSFSHVGVELSLDHKNSPFLKETGDLSCAHNLEALLHLLDGP >KJB42205 pep chromosome:Graimondii2_0_v6:7:11843382:11847062:1 gene:B456_007G142100 transcript:KJB42205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLSKTFLSITHQVFPSEKCRPVSFSGREPQLQRATSMGFATRSLRVPRVSSKTSGSLSSSIDELDKETREERRVADVWREIHGVDDWVGMLDPMDHLLRSELIRYGEMAQACYDAFDYDPFSKYCGSCRFTPRQFFDSLAMADHGYAVSRYIFATSNINLPNFFKKSRWPKVWSKSANWIGYVAVSNDEISKRLGRRDIIVAWRGTVTRPEWIADLMDFLKPISSNKIPCPDSTVKVESGFLDLYTDKDVNCRFCKFSAREQILTEVKRLLEIYQLEELSLTITGHSLGSALAILSAYDIVETGLNVLQDSRAVPVSVFSFSGPRVGNVRFKERMEVLGVKVLRVVNVHDIVPKSPGLFFNENVSPLLMKMAERLPWSFSHVGVELSLDHKNSPFLKETGDLSCAHNLEALLHLLDGYHGKGHRFVLASGRDPALVNKASDFLKDHYLVPPYWRQYENKGMVRNEDGRWMQPERPKLDDHPEDTHRYLKQLGLASHH >KJB45169 pep chromosome:Graimondii2_0_v6:7:50341119:50341807:1 gene:B456_007G293400 transcript:KJB45169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSKGALPHYAPKALPGSANQSNLLPTDTVFAFQAIIPSFSNNGKCETTHKYITLGVIVLFSLACFFSSFTDSFVRKDGKLYYEAEKMLKKYRLTAIDFMHACCSLTLFLVLACSSFNRIRYADKPDHGNRNEEKQTQEIANKEPLPNPK >KJB39879 pep chromosome:Graimondii2_0_v6:7:2438885:2440170:1 gene:B456_007G035500 transcript:KJB39879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKLEGKIAIITGGASGIGEAAAHHFAAHGAQMIVIADIQDELGQKVVESIGSHKCSFMHCDVTDEEQVKNLVQSTVQNYGRLDIMFGNAGIFSSSTQTVLELDLAQFDRLFNINVRGMAACVKHAARAMVELNVRGSIVCTASVASSTAGEMNTDYFITKHAVLGLMRSASKQLGVHGIRVNSVSPSAVGTPLLCGYFGKEVEEVEQTYEPYTRLKGVVLKMKHVADAVLFLASQDSELITGHDLVVDAGYHLVY >KJB46382 pep chromosome:Graimondii2_0_v6:7:59574508:59579047:1 gene:B456_007G364100 transcript:KJB46382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIAFDLALQLITKLSSFTLSQIGLCWNVKDDLDDLKSTVSTIKAVLLDAEERSVTSQLVKDWLEKLKDVLYDADDLLDDFSTEALRKDLLGGNKLTKEVRLFFSSSNQFAYGLKMGRKIKTIRARLISIGSEAKVFNLVERDRPMETSFMTKKRQLTHSFKDKIIGRGDDKAALLKLVLEFESEENVYIIPIVGFGGLGKTALAQFVYNDEMVENHFELMMWVCVSDVFDVKIIVENMIKSATGKAPDQNLEMDQLQKQLREIIGGKKYLLVLDDIWNEEWEKWVRLKELLVGGAKGSRIIVTTRSLRVAKITSKCQPYVLKGLSDNDAWSLFKEIAFEQRSADSTDSGFVEIGKLILERCCGVPLVIRTIAGTLSFKETKSEWLSFKDNELARISQNEGEILPTLKLSYDHLPSHLKHCFAYCRLYPKDYEIEVQALVKFWIAQGFIKQLNQSQSLEEIGFGYFKDLVERSFFQEVEGDLMEEMRCKMHDLMHDLAESVAGMESSIVDSNKNASDVGEKCRHISINPSLIPLFKGKKLRTLLHFQDGIIQDFSYETWDLIIANCRCLRVLDLRWLATEMISPSIYKLKHLRYLDLSCNFHLKILPKSICKIQNLLALKLDFCTRLKELPKKIEKLVNLTHIGCGGCYSLTHMPRGIGKLTSLETLSMFVVDKDGSHGGADLSELRLLNNLRGRLQITNLGFVKNAKEKFKAANLKEKQHLRSLVLRWGGGNHDDEKSLEDLQPHPNLKQLFIRGWRGDAKFPSWISFLTNLVKFFISDGNFKYFPSFAQLPCLELLEIWGCTKLEYMDDNSLKGSQGEPQSFFPSLKHLSLLDCPNMKNWWRTTKPIDDDSNEEDTTVIGTSTMAFPCLSSLKIKNCPLTLMPLYPSVDEDLMLSNTSSRALKQTMKMNITSTTPSTSTSSLPLSKLKSFDVRNIEGLDTHTLDECLQHLASLKRLTIRDCKEVDLEGMQWEPLKNLSHLEIDNIPKLVSLPIWLQHLVQLKTLKIHNCNGLRSLLPVFQHLTFLEEFEVKDCKELELSAAGIQIFQDHTSLRSLRLQNIPKCQHLPEELQHLANLQLLYLVNLPNLTSLPDEMRCLTSLKSLQIREIPQLEERCRKDIGADWHKIAHIPHIWL >KJB46387 pep chromosome:Graimondii2_0_v6:7:59655953:59656780:-1 gene:B456_007G364600 transcript:KJB46387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLLKYCKEMQERLRDLSENDNNQKLLFLIEEDIKGIPCFQNETLIAIKALHGTTLEVPDPDEDVDYRQRRYGIFLRSKWVRYLFT >KJB42964 pep chromosome:Graimondii2_0_v6:7:16505852:16507391:1 gene:B456_007G177300 transcript:KJB42964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPYSNLFSGCFNFMPLHPHYATAPTPLNHPNPCSHLHPNSILNSETSGHPLAPSPPGEEALPLINYLSPTRQQENNEPTSSSMEDDKLSTVDDEAASVALHIGLPSHSSDYGFSPSVDLTDNCGVNGNVAASGYPLSCSSKGQYWIPTPTQILVGPTQFSCPVCCKTFNRYNNLQMHMWGHGSQYRKGPDSLRGSQPTGMLRLPCYCCAPGCKHNIDHPRARALKDFRTLQTHYKRKHGIKPFNCRKCEKAFAVKGDWRTHEKNCGKIWYCICGSDFKHKRSLKDHIKAFGAGHGSVGIASFDEDDEPVSEFESSTTT >KJB46067 pep chromosome:Graimondii2_0_v6:7:57754602:57758058:-1 gene:B456_007G347200 transcript:KJB46067 gene_biotype:protein_coding transcript_biotype:protein_coding description:like heterochromatin protein (LHP1) [Source:Projected from Arabidopsis thaliana (AT5G17690) TAIR;Acc:AT5G17690] MKGGRKRVSQSEGGGRGGSVKEKKKGGTEEEIVETEGLGEKKEEEEEQEEEEDDDDEDDEEEEEEEEEEGKEEENGERKEDERTKLDDGFFEIEAIRRKRVRKGQLQYLIKWRGWPETANTWEPLENLQSCSDVIDAFEESLRSGKHSRKRKRKYGGPHTQSKKKQPCSSGSTYNVTGLELGGVDKTPLVPFDNSGIADLSASSTVIVLAREGERNGNSSNVRRAKRVKDNSSANGSKKIDETKDENDYDTKLSELKGALSSKGGNTDKLAIRFQEGKASEGDGPVNGLQKVDLGESVQSDRRTGAKRRKSGSVKRFTQDPASSGPNLTQNATNVHVGYAITDAEMEIVNLGLAADGSSHRSPIDNSLNVPVITKILKPVGFSASVSANTQDVSVTFLALRSDGKEVMVDNQYLKANNPLLLISFYEQHLKYSPPS >KJB43824 pep chromosome:Graimondii2_0_v6:7:24850129:24851061:1 gene:B456_007G218100 transcript:KJB43824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTSTPDRKEMDRIKGPWSPEEDELLQQLVQKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDETIIRAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCLPVGEECNFVANGGYDGNLGGEERQPLKRSVSAGLYMSPGSPSGSDVSDSSVPVLSSSHVYKPIPRTGGVNVDVNVTPAGVEAASSSNDPPTSLSLSLPGVESCEVVSTQPITESTQNRSEERGGGVMGFSVEFMAVMQEMIRVEVRNYMTQMQQQQQQQNGAVSGGAGMGMCLDGGFRNLMAVNRVGVSKIE >KJB44026 pep chromosome:Graimondii2_0_v6:7:28911052:28918572:-1 gene:B456_007G230700 transcript:KJB44026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASVDDNIESNPLLQDFDVPPFDVVEAKHVRPGIRALLKKLESDLDELEKTVEPSWPKLVEPLEKIVDRLTVVWGIVNHLKAVKDTAELRAAVEEVQPEKVKFQLRVGQSKPIYNAFKAIQESPDWKSLSEARKRIVETQIKEAVLNGVSLEDDKREQFNKIEQELERLSHKFSENVLDATKKFEKLITDKKEIEGLPATSLGLAAQTAVSKGHENATAENGPWMITLDAPCLFAVMQHARNRALREEVYRANITRASSGDLDNTPIINQILKLRMEKARLLNYNNYAEVSMATKMATVDKAEELLEKLRSASWNAAVQDIEDLKSYSKSQGALEADNLSHWDINFWSERLRESKYNINEEELRPYFSLSKVMDGLFKLAKTLFGVDIEPADGLAPVWNKDVRFYCVKDSSGSPIAYFYFDPYSRPSEKREGAWMDEVVSRSRVMSPNGTTPRLPIAHMVCNQTPPFGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDAVELPSQFMENWCYHRDTLMSIAKHYETGESLPEEVYLKLLAARTFRAGSLSLRQLRFASVDLELHTKYIPDGSESVFDVDQRVSRKTQVIPPLPEDRFLCGFNHIFAGGYAAGYYSYKWAEVLSADAFSAFEDVGLYNTKAVKETGHKFRETILALGGGKAPSEVFVEFRGREPSPEALLRHNGLLPVAA >KJB44027 pep chromosome:Graimondii2_0_v6:7:28911125:28918528:-1 gene:B456_007G230700 transcript:KJB44027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASVDDNIESNPLLQDFDVPPFDVVEAKHVRPGIRALLKKLESDLDELEKTVEPSWPKLVEPLEKIVDRLTVVWGIVNHLKAVKDTAELRAAVEEVQPEKVKFQLRVGQSKPIYNAFKAIQESPDWKSLSEARKRIVETQIKEAVLNGVSLEDDKREQFNKIEQELERLSHKFSENVLDATKKFEKLITDKKEIEGLPATSLGLAAQTAVSKGHENATAENGPWMITLDAPCLFAVMQHARNRALREEVYRANITRASSGDLDNTPIINQILKLRMEKARLLNYNNYAEVSMATKMATVDKAEELLEKLRSASWNAAVQDIEDLKSYSKSQGALEADNLSHWDINFWSERLRESKYNINEEELRPYFSLSKVMDGLFKLAKTLFGVDIEPADGLAPVWNKDVRFYCVKDSSGSPIAYFYFDPYSRPSEKREGAWMDEVVSRSRVMSPNGTTPRLPIAHMVCNQTPPFGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDAVELPSQFMENWCYHRDTLMSIAKHYETGESLPEEVYLKLLAARTFRAGSLSLRQLRFASVDLELHTKYIPDGSESVFDVDQRVSRKTQVIPPLPEDRFLCGFNHIFAGGYAAGYYSYKWAEVLSADAFSAFEDVGLYNTKVWCLKGLFRIAFLLIYIYFLEELCISNIVKSFHTFQPTHPLLFCVNLTRIYVCAKSWRAIAYCKRFNTLFITLQRHKSLNAYPQLLC >KJB39620 pep chromosome:Graimondii2_0_v6:7:1598802:1602037:1 gene:B456_007G022100 transcript:KJB39620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYFHGTHVCQDYETASAVGSKSYNAIMEELVALEARNMEVLRKSNSGDCVDFAAATTATLGVPSPCLLKTKSFDESPRSLSGQKILRKGDLDEEAELLRVLKLSEAESQNSVSDPGSSHERSCSKNLVSADTLEGEKGVENPNLQRTEPSLSDNCTSLSNDSGSKICSKTLKREESQKTDGINQHQSSYVKSGEINLSNDVAENKGNGAEELIQVEGALPVYLAKDIASNNGNDTEILQGVQKIEIQSDSTTDPHDIPDNVNGCLIIKVSSVALQNAGSDSSSGRIHHANVPQSEPIYEGEECIADSATTATTYENPEPMYEGEAILAKQVDQRAVDDCNVRSKDEITPQQGELIGNFLKNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYNGELYLLATDQGYLNQPNLVWEKLNEVNGDTLFMTGSFKEFKVNDNHVTGTWDEQNAMASTADYIASIDSAVQAGLDVNSDLQLAIALQQQEFEEDPPPRQPPPVVGGSRLVTTQQGQRSSGRSSSSSSSSSSPKEDTKSKDMCIVM >KJB39619 pep chromosome:Graimondii2_0_v6:7:1597499:1602042:1 gene:B456_007G022100 transcript:KJB39619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAASSSSSVYESREAVKEKQPKQEVIKDCTYKTKAIQFLGRTTPIILQNDNGPCPLLAICNVLLLSNNLNLSPDIAEVSQEKLLSLVAERLIDSNSNVDNKDAGYVENQLRNIADAIDLLPRLATGIDVNIKFRRTDDFEFTSECAIFDLLDIPLYHGWIVDPQDYETASAVGSKSYNAIMEELVALEARNMEVLRKSNSGDCVDFAAATTATLGVPSPCLLKTKSFDESPRSLSGQKILRKGDLDEEAELLRVLKLSEAESQNSVSDPGSSHERSCSKNLVSADTLEGEKGVENPNLQRTEPSLSDNCTSLSNDSGSKICSKTLKREESQKTDGINQHQSSYVKSGEINLSNDVAENKGNGAEELIQVEGALPVYLAKDIASNNGNDTEILQGVQKIEIQSDSTTDPHDIPDNVNGCLIIKVSSVALQNAGSDSSSGRIHHANVPQSEPIYEGEECIADSATTATTYENPEPMYEGEAILAKQVDQRAVDDCNVRSKDEITPQQGELIGNFLKNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYNGELYLLATDQGYLNQPNLVWEKLNEVNGDTLFMTGSFKEFKVNDNHVTGTWDEQNAMASTADYIASIDSAVQAGLDVNSDLQLAIALQQQEFEEDPPPRQPPPVVGGSRLVTTQQGQRSSGRSSSSSSSSSSPKEDTKSKDMCIVM >KJB41756 pep chromosome:Graimondii2_0_v6:7:9339398:9345130:1 gene:B456_007G118600 transcript:KJB41756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 32 [Source:Projected from Arabidopsis thaliana (AT2G46920) UniProtKB/Swiss-Prot;Acc:Q8RWN7] MGNGTSRVVGCFVPFNGKGGVDLDFLEPLDEGLGHSFCYVRPPIFDSPAITPSNSERFTVDSSTLDSETLSGSFRHETIEDSLGLQRQSKSFPETTFKTISGASVSANVSTARTGNQIAMFATDVQEPAASFESTSSFAAIPLQPVPRGSGPLNSFMSGPLERGFASGPLDRGGGFMSGPIEKGVMSGPLDTADKSNFSAPLTRGCRRPHFQRFMRSVSGPMKRTFSKHSMGSGWMQRFLLHPLTRLAWHAKEPKLRSEPSRNCLEGGPSEGEYGSSRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYKAIDKELEGLLWDYEDKSRFDPLKPEISTSRNPHASFECSKENQSTSCSDQEILSSIGELLNQENTKGQSSNNEIVEENDDVRDKKEQDLSKCRKSTLGAECECESVPHANLAGQGRKSMRLYELLQMEPWDGQGSLPISQMDRQGKSSCDFQPLSDNLECINPSNSKDDRSNIQGEDPTTSGEDIGVGLDFDNQGAATAATMSGQRHNTRKSLIGSKIRKVYRKQKSLRKKLFPWSYDWHREEICVDERIVESSGPIRRRKSGVVDHDAVLRAMARALESTEEAYMEMVEKALDINPELALMGSCVLVMLMKDQDVYVMNLGDSRVILAQERPNDRHPNPNSVKDDLKYRNRSRELLARMELDRISEESPMHNQHGQVSILNKNRDISICRLKMKALQLSTDHSTSIEEEILRIQAEHPDDDKAISNDRVKGQLKVTRAFGAGFLKKPACNEALLEMFQIDYVGNAPYVSCIPSVVHHRLSPSDRFLVLSSDGLYQYFSNEEVVAHVTWFMENVPEGDPAQYLVAELLFRAAKKNGMDFHELLDIPHGDRRKYHDDVSVMVVSLEGRIWRSSG >KJB41758 pep chromosome:Graimondii2_0_v6:7:9339845:9345014:1 gene:B456_007G118600 transcript:KJB41758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 32 [Source:Projected from Arabidopsis thaliana (AT2G46920) UniProtKB/Swiss-Prot;Acc:Q8RWN7] MGNGTSRVVGCFVPFNGKGGVDLDFLEPLDEGLGHSFCYVRPPIFDSPAITPSNSERFTVDSSTLDSETLSGSFRHETIEDSLGLQRQSKSFPETTFKTISGASVSANVSTARTGNQIAMFATDVQEPAASFESTSSFAAIPLQPVPRGSGPLNSFMSGPLERGFASGPLDRGGGFMSGPIEKGVMSGPLDTADKSNFSAPLTRGCRRPHFQRFMRSVSGPMKRTFSKHSMGSGWMQRFLLHPLTRLAWHAKEPKLRSEPSRNCLEGGPSEGEYGSSRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYKAIDKELEGLLWDYEDKSRFDPLKPEISTSRNPHASFECSKENQSTSCSDQEILSSIGELLNQENTKGQSSNNEIVEENDDVRDKKEQDLSKCRKSTLGAECECESVPHANLAGQGRKSMRLYELLQMEPWDGQGSLPISQMDRQGKSSCDFQPLSDNLECINPSNSKDDRSNIQGEDPTTSGEDIGVGLDFDNQGAATAATMSGQRHNTRKSLIGSKIRKVYRKQKSLRKKLFPWSYDWHREEICVDERIVESSGPIRRRKSGVVDHDAVLRAMARALESTEEAYMEMVEKALDINPELALMGSCVLVMLMKDQDVYVMNLGDSRVILAQERPNDRHPNPNSVKDDLKYRNRSRELLARMELDRISEESPMHNQHGQVSILNKNRDISICRLKMKALQLSTDHSTSIEEEILRIQAEHPDDDKAISNDRVKGQLKVTRAFGAGFLKKLVMKLC >KJB41757 pep chromosome:Graimondii2_0_v6:7:9339845:9342839:1 gene:B456_007G118600 transcript:KJB41757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 32 [Source:Projected from Arabidopsis thaliana (AT2G46920) UniProtKB/Swiss-Prot;Acc:Q8RWN7] MGNGTSRVVGCFVPFNGKGGVDLDFLEPLDEGLGHSFCYVRPPIFDSPAITPSNSERFTVDSSTLDSETLSGSFRHETIEDSLGLQRQSKSFPETTFKTISGASVSANVSTARTGNQIAMFATDVQEPAASFESTSSFAAIPLQPVPRGSGPLNSFMSGPLERGFASGPLDRGGGFMSGPIEKGVMSGPLDTADKSNFSAPLTRGCRRPHFQRFMRSVSGPMKRTFSKHSMGSGWMQRFLLHPLTRLAWHAKEPKLRSEPSRNCLEGGPSEGEYGSSRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYKAIDKELEGLLWDYEDKSRFDPLKPEISTSRNPHASFECSKENQSTSCSDQEILSSIGELLNQENTKGQSSNNEIVEENDDVRDKKEQDLSKCRKSTLGAECECESVPHANLAGQGRKSMRLYELLQMEPWDGQGSLPISQMDRQGKSSCDFQPLSDNLECINPSNSKDDRSNIQGEDPTTSGEDIGVGLDFDNQGAATAATMSGQRHNTRKSLIGSKIRKVYRKQKSLRKKLFPWSYDWHREEICVDERIVESSGPIRRRKSGVVDHDAVLRAMARALESTEEAYMEMVEKALDINPELALMGSCVLVMLMKDQDVYVMNLGDSRVILAQERPNDRHPNPNSVKDDLKYRNRSRELLARMELDRISEESPMHNQHGQVSILNKNRDISICRLKMKALQLSTDHSTSIEEGTAARHYKLMN >KJB40560 pep chromosome:Graimondii2_0_v6:7:4898353:4904849:1 gene:B456_007G069200 transcript:KJB40560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEMVESNFDKETVGCTGTTDTHSRNQGSGLRREPSFSRWVDEDGIIHFEHPSESTAPSIEGSDFELPMLNQSGLDNGFVDGIRYGKFPEQRMHLNGGSTMEDLHGKDRNGKYTPFDVENEYVGDGRSSNISVDGANSTGSPKASSNSISTADVLKTLFFILVWYTFSTFLTLYNKTLLGDELGKFPAPLLMNTIHFAFQALLSNAIRWYWSHRFQPSVPMSYRDYFYRVVPTALSTALDVNLSNVSLVFISVTFATMCKSAAPIFLLLFAFAFRLESPSFKLLGIIVVISVGILLTVARDTEFEFWGFIFVMLAAVMSGFRWCMTQILLQKEVYGLKNPLTFMSYVTPVMAVVTALLSLFLDPWHEFGQNNYFNSSWHLARTCLLLLFGGTLAFFMVLTEYILVSVTSAVTVTIAGVVKEAVTILVAVFYFHDEFTWLKGAGLLTIMFGVGLFNWYKYQRLQESAPTKHAAKYVILEEMEDQDDIR >KJB40559 pep chromosome:Graimondii2_0_v6:7:4898555:4904767:1 gene:B456_007G069200 transcript:KJB40559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEMVESNFDKETVGCTGTTDTHSRNQGSGLRREPSFSRWVDEDGIIHFEHPSESTAPSIEGSDFELPMLNQSGLDNGFVDGIRYGKFPEQRMHLNGGSTMEDLHGKDRNGKYTPFDVENEYVGDGRSSNISVDGANSTGSPKASSNSISTADVLKTLFFILVWYTFSTFLTLYNKTLLGDELGKFPAPLLMNTIHFAFQALLSNAIRWYWSHRFQPSVPMSYRDYFYRVVPTALSTALDVNLSNVSLVFISVTFATMCKSAAPIFLLLFAFAFRLESPSFKLLGIIVVISVGILLTVARDTEFEFWGFIFVMLAAVMSGFRWCMTQILLQKEVYGLKNPLTFMSYVTPVMAVVTALLSLFLDPWHEFGQNNYFNSSWHLARTCLLLLFGGTLAFFMVLTEYILVSVTSAVTVTIAGVVKEAVTILVAVFYFHDEFTWLKGAGLLTIMFGVGLFNWYKYQRLQESAPTKHAAKYVILEEMEDQDDIR >KJB42470 pep chromosome:Graimondii2_0_v6:7:13205685:13208541:-1 gene:B456_007G154700 transcript:KJB42470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLMYILAVSGGSNGRCLEHEKQALLKFKEGLIDYGNLASWAIEKDCCRWRGVECDNRAGHIIRLDLHPVFSHADHDDFTWTPMDGVISSLLLDLRHLSHLDLSRNQFTKIPGFIGSLKELVYLNLSVLQLIDSIVHPSTFPLLLNMSNKLTALHLSKNHLNGIIPISFAHMGSSLGNLRKLRTLLLNGNYINEPLPVILEKPSGCVKDSLQVLDLSVNQIKGSLPEIITRFSSLKELCPDDNKLDGALPDNVGNLSSLAVLNLARNKLTGSLPQSIGLMSGLKVLDVSSNSFNDFTSEIHFLKLSKLKFLTLSFNSLSLNFSSGWIPPFQLNTINLRCNNQLSGNLPDCWMNFKQLVVLNLESNSLSGVIPSSFGSLHKLQTSNEFSGNIPTTLCKLNHLQLLNLSLNHLSSTIPTCLQNLTAMTRNLLSGIIPKEVGQLRAPESLDLSTNRLSGEIPLSLADCSFLSCLNLSNNNPSGKIPLSTQLQSFLVESYMGNVGLCGLPLNAICPEDEKQQDGGVEHSNLDDEKLYKGSGFYVSLIIGFTLGFWGLCWTLLFNWPGKPASFRFFDNMVDWLSLKMALIAAKVKRRLTS >KJB44220 pep chromosome:Graimondii2_0_v6:7:33245234:33247794:-1 gene:B456_007G240500 transcript:KJB44220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLITNLSKSLGSFCNHLQSSCDALKQSIDRRPIPIDSASSTFVQCLNCRVSIATADLNRLDSMSFGTQIFNNNQTHLLHLEDHLKPLGYLPQVEIENEEEEEEVLDSNDICFSVTNSTIKSLDEDPLLLDESMSLKNFGLSDVCLATLASQGLQNLLTFLFPSFPLSLQCLNHYFLLCLWKMN >KJB46386 pep chromosome:Graimondii2_0_v6:7:59648016:59649582:1 gene:B456_007G364500 transcript:KJB46386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPSSVFFGRFFIGFILCAQLLKLFSPTYFNYLYGSTSTNFAQVDVIVESTRSVIIPTSFRCKKKRFWLSSSAEHGIYYKKQRLYMFNYDLFICQNIPTKQK >KJB42353 pep chromosome:Graimondii2_0_v6:7:12639707:12639955:-1 gene:B456_007G149200 transcript:KJB42353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILKRIRFLKWEKKVSNHEHGVKLISVRRRPHCVLKQLVWKVKSQWWRQRQRSSMQFSYDFHSYSLNFDNGFSRNELNPTP >KJB39033 pep chromosome:Graimondii2_0_v6:7:59006750:59011218:-1 gene:B456_007G358700 transcript:KJB39033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKVSTAIIVAAASMSAVMAAGAPAPSPSAGGSSPSSSPASVTASAPDSSVAAATLPVLGSLVGASIVSLFSYMLQ >KJB42427 pep chromosome:Graimondii2_0_v6:7:13034847:13037453:1 gene:B456_007G152400 transcript:KJB42427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFKLYCVCIFFFFVLAKGLSSSSSSPDVELLLGKIKASLQGNTENLLLSSWNSSVPLCQWRGLKWVFSNGTPLSCTDLSSPQWTNLSLSKDPSLHLVSLQLPSANLTGSLPRELGEFSMLQSLYLNINSLSGTIPLELGYSSSLSDIDLSDNLLTGVLAPSIWNLCERLVSLKLHGNSLSGSLPEPALPNSTCKNLQSLDLGNNKFLGDFPEFVTRFQALKELDLSSNLLSGQIPQSLATLNLGKLNLSHNNFTGMLPVFGERKFGPEAFEGNNPGLCGLPLNSCSGRSQLSPGAIAGIVIGLMTGVVVLASLFIGYMQNRKRSSNGDSEEELEEGEGDENGVGGVVSESKLILFQGGEHLTLEDVLNATGQVMEKTNYGTVYKAKLADGGNIALRLLREGSCKDRSSCLPVIKQLGKVRHENLVPLRAFYQGKRGEKLLIYDYLPNRSLHDFLHESRAGKPVLNWARRHKIALGIAKGLAHLHTGLEMPITHGNVRSKNVLVDDFFVARLTEYGLDKLMIPAVADEMVALAKTEGYKAPELQSMKKCNTRTDVYAFGILLLEILIGKKPGKNARSNDVGDLPSIVKAAVLEETTMEVFDVEVLKGIRSPMEDGLVQALKLAMGCCAPVASVRPTMDEVVKQLEDNRPRNRSALYSPSETRSEVGTPF >KJB45882 pep chromosome:Graimondii2_0_v6:7:55952983:55956179:1 gene:B456_007G335100 transcript:KJB45882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLELPLLSSLILIFLLFLCPQSSISRTLTKRCGVTQCGNVNISHPFRLKTQPPECGDRRFELDCEADINQLTFYRRYNKFQVHEIFYENSTLTVSYQNQVTGNCSLPPTGRFNEDFFFCEKLLFPAWLIDDEKLRDRYRFLYIVNCTSPVNSSIYVAADRCRNRSSDSFPTGSFFYFLDWDTTFPRDFDQSCTVVADWIPIKGKKNITALSTAEIYEKLSMGFELTWSNPQGQDLCSGKLSFTQILAKVRDALIDYLNSFTYYIIHRPLISAFNGFPPETDRTYIMCIGITGGVILLRMLVGIFILIVVVTIKFRRRHLSADDTIEEFLQSQNNLMPIRYSYYEVKKMTRDFKDKLGEGGYGSVFKGKLRSNHLVAIKLLGNVKGNGQDFINEVATLGRIHHVNVAKLIGFCVEGTKQALVYDFMPNGSLDRIVFGKDDKALLSWQKMFDIAHGIARGIEYLHQGCDMQILHFDIKPHNILLDENFTPKVSDFGLAKLYSVDDSIVSLTAARGTIGYIAPELIYKNLGGISYKADVYSFGMLLMEIVGRRKNMNALVEQTSQTYFPSWIYDRYHRGEDIDLKDVTDDEKIIVKKMVITASWCIQIKPSERPSMSKVLEMFETDVTLLQMPPRPFQLPFEVSTKDQSYDNSTNSAEDRSHGTTTTGTSKFPSSSSSKESSLNIM >KJB45968 pep chromosome:Graimondii2_0_v6:7:56660904:56662729:-1 gene:B456_007G341100 transcript:KJB45968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPDASAIDGGPEPDSESALLLRTFLKPEDKFNLGYIIYFTLGVGFLLPWNSFITAVDYFSYLYPEASVHRVFAVVYMVVGLACLLVILFYAHKSEAYMRINVGLGIFVVSLLVVPVMDAVYIKGRVGLYDGFYVTVGLLALAGIGDALVQGGLIGAAGELPERYMQAIVAGSDGSGVLVSMLRILTKAVFPQDADGLRKSAYLYFFTSIVFMVICIVLYNVAHKLPIMQYYEELKAEAVKEEKAEKGTVKWYGFGIVLIYVVTLSIFPGYITEDVHSLVLKDWYLVLLITGYNVFDLVGKSLTAVYLLENAKVAISACVVRLLFFPLFIGCLHGPQLFQTEFPVSLLTCLLGLTNGYLTSVLMIMAPKSVQIQHAETSGIVMVLFLVVGLASGSVIAWFWVI >KJB41423 pep chromosome:Graimondii2_0_v6:7:7781781:7783851:1 gene:B456_007G103900 transcript:KJB41423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSPSSPTYLTNLGLGYSIAIAVGFLVLLSTILLASYICFRSSTSPRAFSSNPSAIPASNSDGILLPTIIFVAEDEATDNVVLGLDQAVINSYPKFQFCKDSAASNLNTTCSICLCEYKDLEMLRMMPECKHYFHVFCIDAWLKLNGSCPVCRNSPLPTPLSTPLSEVVPLSQFAADRRIR >KJB39880 pep chromosome:Graimondii2_0_v6:7:2442333:2443193:-1 gene:B456_007G035600 transcript:KJB39880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSWSQRWPSHTAERVFPSWGDWTLDSDGNYRLDIDLPGFAQHEVKIRPDSDGSIRLEAETIVDESKCKYIDQTIQLPENSDIVNLYGRFRGQVLTITVPKKVNADDQSSQEDDHEGEGEDEAEGEDEDERGDIKENRTKPEPENKKSDSDQDCRSLPKENIKKPPKGKESVLAIVMKWFERNKVIVVSNAISFSMGMWVSKLWT >KJB39959 pep chromosome:Graimondii2_0_v6:7:2768802:2775943:1 gene:B456_007G039700 transcript:KJB39959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDRIHNFLGQESFSQGQHQSQVIDGTWPGLSNNLWVGSQRQVGGPLVSSLKNLSVHQLESDRGHGGQSSSLQHGVYFSQSGLRPDFARSQLQNQSPTANGYIQGHRAFSARQNETNFLGASSRGLSVLDSPTENGPDFHKKNLSRLEPTESPKNYDFFGGQQQISGKHPGMIQSLPRQQSGMTDMQLLQQHAIMQELLRQQLPKPQFHLPEARQLSSTNQVSDSLSPAPINGVPVRDVSNHTWQSEHMTPNANWLQHGASPAVQGSSGGFMFSPEQGQKHLMGLVPQQVDQSFYGISTTGARGNPYQYSSAQMDKPLIQQVPASSNSFPGNQSAMYSDQVGLQDGTLISRQHDQGKNVFGAVAVQGLNSVHSQNLQQMVIQPKNAVMQQSPRRQEHCSPPEASLEKSAVQVSSSQNVAMLDPTEEKILFGSDDSMWDIFGKSTNSGSVLDATDSLGGFPSLQSGSWSALMQSAVAETPSNKIGVQEEWSGLGMQNCEPPNGNMPASTVNDVSKQLSPLTDYNLQKALTLNSKPFPMSMDANINFDFCSVPGVQQSGVQTANEQMRMHNDTPQRSVQQLTDGRSKWLYQSPLQKNAAESAQLLENVAQSPDVQVSARNAAESAQLLENVAQSPDVQVSARSISSPQGIAAYDPRGQIHNKPNSWNFVESASRSGGAISKSQDAESSLQSSQNNDHVGSMYERGHGSALDHPESRNVNSSLGSPEVNREGFDQDNVAAITDSRTTRVTKESSQQLPNSHNLTLWRSIDSKVNSGLSRMPANFKENLDKSPQAFDSSGNNYLDKGLSEANMENLNVKENSNDSFRRNLSHHTSTGGNRENVWLDANDPQGAKQKSSVQVSHKPYGTRNFQYHPMGDLNAEVERSYGTKSVTQMQGIPNVSQGSKVHDQGYFGQSKYTCHAAGESTDTEKGCFQGIQVDEVPSRSSNPGSSCERSFGGFMPNKTASNSKNMLELLQKVDQPREHGTATHLSSSERNQSSEMPDAETSDGSVGQFEYNKPSASQGFGLKLGPPSQRFTIPDRVISSQSSLLGVNSLNSVHVSSEVGRKDRTWLGSTASGQSSTHGASHEDITNNVSSVSGLTGNKCSQYNIQGNVSAGFTSDYSYLKSHLQSQHFIGAGRQMTPNESVNAPFVGLASESKQIDDSSERARTSQLGGKSAPRKRKTAPDDDFSSSETSFPISSTGKQNLAQDSGQQFPVLEAMPASQPSATAESSQHGAYTQMPNVWTSVSAPEHLLGTRFSQASQNLLNPHQQSNINSEKTLPGSEKLDDQIAQAGNSGQSEFPAGFAKPKSFVGEEQPEKAQLGLSENNAIQNPLRMQRDIEAFGRSLRPDSAVCQNDSLLHQVQALRNTEVDPRSVKKFKGPPPDSGLDAQQESSQGAEQLSYGSSTTMRDALVNRTSVPSGDSKMLSSLSNTGDNHETQLSADNMLAFVRNDPQHFFNSNNSAPNIRVEHSQISPEMAPSWFNQCGAIKNGKMLPIYDARKIAMMNATEKASIVGWASDRLPVHSSKQINTVADASLMDKARESSNFMPIASEYISPHSLPPDIANQNLVVRAKKRKSMMFEFLPWHREVTQGSQGPQNISVAEMEWAHAANWLIEKVEDESEMIEDWLPVFRSKRRLVLTTQLMQQLLRAPPRVVLSADASKNYETLTYFVSRSVLGDACSTAYIPESNTAVPPGSGSILSKKLREDRNQLILKAAEEFIIRAKKLENDLQSLDKRASILDLGLECQDLEKVSVINRFAKFHSRVQADGAETSLSSNLSPRKFSQRYVIGIPMPRNLPDRDKKHVDLHTL >KJB39958 pep chromosome:Graimondii2_0_v6:7:2768802:2775911:1 gene:B456_007G039700 transcript:KJB39958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDRIHNFLGQESFSQGQHQSQVIDGTWPGLSNNLWVGSQRQVGGPLVSSLKNLSVHQLESDRGHGGQSSSLQHGVYFSQSGLRPDFARSQLQNQSPTANGYIQGHRAFSARQNETNFLGASSRGLSVLDSPTENGPDFHKKNLSRLEPTESPKNYDFFGGQQQISGKHPGMIQSLPRQQSGMTDMQLLQQHAIMQELLRQQLPKPQFHLPEARQLSSTNQVSDSLSPAPINGVPVRDVSNHTWQSEHMTPNANWLQHGASPAVQGSSGGFMFSPEQGQKHLMGLVPQQVDQSFYGISTTGARGNPYQYSSAQMDKPLIQQVPASSNSFPGNQSAMYSDQVGLQDGTLISRQHDQGKNVFGAVAVQGLNSVHSQNLQQMVIQPKNAVMQQSPRRQEHCSPPEASLEKSAVQVSSSQNVAMLDPTEEKILFGSDDSMWDIFGKSTNSGSVLDATDSLGGFPSLQSGSWSALMQSAVAETPSNKIGVQEEWSGLGMQNCEPPNGNMPASTVNDVSKQLSPLTDYNLQKALTLNSKPFPMSMDANINFDFCSVPGVQQSGVQTANEQMRMHNDTPQRSVQQLTDGRSKWLYQSPLQKNAAESAQLLENVAQSPDVQVSARNAAESAQLLENVAQSPDVQVSARSISSPQGIAAYDPRGQIHNKPNSWNFVESASRSGGAISKSQDAESSLQSSQNNDHVGSMYERGHGSALDHPESRNVNSSLGSPEVNREGFDQDNVAAITDSRTTRVTKESSQQLPNSHNLTLWRSIDSKVNSGLSRMPANFKENLDKSPQAFDSSGNNYLDKGLSEANMENLNVKENSNDSFRRNLSHHTSTGGNRENVWLDANDPQGAKQKSSVQVSHKPYGTRNFQYHPMGDLNAEVERSYGTKSVTQMQGIPNVSQGSKVHDQGYFGQSKYTCHAAGESTDTEKGCFQGIQVDEVPSRSSNPGSSCERSFGGFMPNKTASNSKNMLELLQKVDQPREHGTATHLSSSERNQSSEMPDAETSDGSVGQFEYNKPSASQGFGLKLGPPSQRFTIPDRVISSQSSLLGVNSLNSVHVSSEVGRKDRTWLGSTASGQSSTHGASHEDITNNVSSVSGLTGNKCSQYNIQGNVSAGFTSDYSYLKSHLQSQHFIGAGRQMTPNESVNAPFVGLASESKQIDDSSERARTSQLGGKSAPRKRKTAPDDDFSSSETSFPISSTGKQNLAQDSGQQFPVLEAMPASQPSATAESSQHGAYTQMPNVWTSVSAPEHLLGTRFSQASQNLLNPHQQSNINSEKTLPGSEKLDDQIAQAGNSGQSEFPAGFAKPKSFVGEEQPEKAQLGLSENNAIQNPLRMQRDIEAFGRSLRPDSAVCQNDSLLHQVQALRNTEVDPRSVKKFKGPPPDSGLDAQQESSQGAEQLSYGSSTTMRDALVNRTSVPSGDSKMLSSLSNTGDNHETQLSADNMLAFVRNDPQHFFNSNNSAPNIRVEHSQISPEMAPSWFNQCGAIKNGKMLPIYDARKIAMMNATEKASIVGWASDRLPVHSSKQINTVADASLMDKARESSNFMPIASEYISPHSLPPDIANQNLVVRAKKRKSMMFEFLPWHREVTQGSQGPQNISVAEMEWAHAANWLIEKVEDESEMIEDWLPVFRSKRRLVLTTQLMQQLLRAPPRVVLSADASKNYETLTYFVSRSVLGDACSTAYIPESNTAVPPGSGSILSKKLREDRNQLILKAAEEFIIRAKKLENDLQSLDKRASILDLGLECQDLEKVSVINRFAKFHSRVQADGAETSLSSNLSPRKFSQRYVIGIPMPRNLPDRVQCLSL >KJB41949 pep chromosome:Graimondii2_0_v6:7:10350098:10352113:1 gene:B456_007G128900 transcript:KJB41949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHSSEDSKQSTADMTVFVQSLLQQMQSRFQTMSDSIITKIDEMGNRVNELEQSINDLKAEMGVEGSPSPLAPSNQKLDEAKQEEGSA >KJB45949 pep chromosome:Graimondii2_0_v6:7:56859667:56862331:-1 gene:B456_007G343400 transcript:KJB45949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRGGGGFRGGRDGGRGRGGGRGGFGRGGGRGGGGFRDEGPPAEVVEVSTFLHACEGDAVTKLTNEKIPFFNANIYLQNKTQIGKVDEIFGPINESYFSIKLLEGIVATSYTTGDKFYIDPSKLLPLARFLPQPKGQAQGGGRGGRGGGRGGGRGGGRGGGGGFRGRGAPRGGRGGFRGGGRGGGFRGRGRF >KJB46307 pep chromosome:Graimondii2_0_v6:7:59033600:59034608:-1 gene:B456_007G359400 transcript:KJB46307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYKRSPLKPWKKGPTRGKGGPQNASCQYRGVRQRTWGKWVAEIREPKKRTRLWLGSFATAEEAAMAYDDAARRLYGPEAYLNLPHLQRIPTTTTTNLSNKSSTQKFKWIPSNNFVSMFPSSSPGLLNINAQPSVHVIHQRLQELKNNGVLKTKTDTQSKNIADDVQMKEKDVVGVSSDKPQIDLHEFLQQLGIKKDEKKQCEEEAVVNNNDDAESTLTAMDSTMKDYYDELVPFGENSSFNWDAMIEMHGGVDGFHGVEASFQVHDHGYEEDLAFPTIWNF >KJB41151 pep chromosome:Graimondii2_0_v6:7:6815725:6818950:1 gene:B456_007G093100 transcript:KJB41151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRFSPPEFAAETPPQHHRHLHRNIHLLPPLLAAAVTITIILLTVLTILIYRKLSRNRTAPSESSSHRHCRRFSYSLLRRATSSFSPSNRLGHGGFGSVYKGTLPSSPQPLAVKVMNSTDSLQGEREFHNELSLSRALDSPYIVPLIGFSSDSRRRRFVLVYELMENRSVQDALLDRKCEELMGWSRRFCVISDVAKGLEYLHHFCDPPVIHGDIKPSNILLDGDFNAKIGDFGLARLKTEDLIEGLEEGEVLRKKDVEDNGSILEETESVLTGFEEGASLTVADSHRSPESCVLRVLDSEASPALSPEVGLEKGSVLSEGLFDKVSVESGRDLAGHKKGGSRRDWWWKQDPGVGSESGRVKDYVMEWIGNEIKKERPKNEWLTSPSSVDNINDSKVSSSSVEHKKEKIRKKERNRKPREWWKEEFCEELTKKKKKKKKRGLSSSNNGELWWQRDEEMVVRKKKKNSRGSIDWWLDGFSGEFKIGRRNSQDWASGDIPKSGGISSTPSMRGTVCYIAPEYGGGGLLSEKCDVYSFGVLVLVIISGRRPLQVTASPMSEFERANLISWARQLAYNGRLLELVDPSIHSLDKDQALLCITIALLCLQRSPSKRPTMKEIVDMLSGEAEPPHLPFEFSPSPPSNFLFKSRKKAR >KJB46083 pep chromosome:Graimondii2_0_v6:7:57794054:57796315:1 gene:B456_007G347900 transcript:KJB46083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWFLILFTISISLLLKAFFYLFSSSKKPPYTLPPSPSTFPIIGNFLWVRKSFFQIEQSLRRFSRSLGPMVTLYIGPRPSIFVFDRSLTHQALIQSGSLFSDRPKSLPANKIMNSNQHNISSGSYGPNWRLFRRNLTSEILHPSRVKSYSHARKWVLDILFDGLQEKAKSGESVEVLVQFQYAMLSLLVLMCFGDKLSQQQIKEIGDLQRRVFTGFGRFNVLNLWPRVTKILLRKKWDQLFKLRKDLEDSYIPLIRARKKAKDERLSNKGSADYVLAYVDTLLDLELPEEKRKLDEAEMVTLASEFINAGTDTTSTALQWVMANLVKYPHIQDRLLVEIKGVVGDSVEEIKEEDLQKMPYLRAVILEGLRRHPPAHFVVPHCVTEDTVLGGYLIPKNSTINFMVADMGWDPKVWEDPMAFKPERFINNAGGGEVFDITGSREIKMMPFGVGRRICPGLGLALLHLEYFVANLIWKFEWKAMDGDDISLEEKQEFTVVMKTPLMAHISPRKS >KJB45805 pep chromosome:Graimondii2_0_v6:7:55187942:55189505:1 gene:B456_007G329600 transcript:KJB45805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSNFVLLFIVGILATQAMSRTVYESAIAEHEQWMVKHGRNYKNKAEKDKRFKIFKENLEYIQNFNNAGNRTYKLSINKYSDLTHDEFVAARTGYINPGNTMTSMETSFRYAEFTDVPTSLDWKSKGAVTSVKDQGQCGCCWAFAAVAAMEGLNQITNGNLVSLSEQQVLDCSGSSNGCNGGNKIEAFKYVIQNNGLTKEDNYPYQAMQGTCDLQKQASQVAHISNYESVPTNSEQELLKAVSNQPVAVSIEGSGTDFRHYSSGIFNGYCGTNPDHAVTVVGFGTSDDGTDYWLVKNQWGEDWGENGYIRMQRNVADSQGLCGLAIRPAYPTA >KJB46277 pep chromosome:Graimondii2_0_v6:7:58703809:58706515:-1 gene:B456_007G3561002 transcript:KJB46277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAFPNFEKITISNLRNTKRIWYNQLHTNSFSILKKLTVKKCDVLLNIFPLFLLRVFQRLEKLVLIDCVSLEEVFQLQVQGLDIEETYVLNSQLREMNLVRLPKLKHVWTKYRKGNISFENLQVVCIHKCWSLKTLFPFSIAKGLQQLEGLTINRCGVEEIVSKNDEGSNNHEICFVFNQLSFLMLWYLPYLTCFYPGKHRTTWPALKHLRMSWLRIKIFGHEKFQIRRPLFLIEKVIPQLEEVSFSHDDIAMISDGQFEADLFCNVKFLRISCYFDVSVVFPISFLRRFYNLERLDVVSCHFKELASFESDACEDKDLIITIPKIKKLKLDMVDNIRHLWKQDSPLDHICASLECLQLWQCDNLINLGLSLSFFETLTILDVWKCNGMSELITSFKVQSLVCLVTMRIRECEMMREVVASDDDETSYEIVFRALKHLELHCLQRLLTFCSGNYTLRFPSLEQITLSQCPRMKNFNQGELTTPKLHKVQLTETDFKGRWAGDLDSTVEQLYKEQVGYLGLKHLKFSEFPKLIDLWSRNPQEMLNFATLEFLEVCDTNNLRCIFNLSTAFSLRQLRQMEIKRCSNLEQVIKEEGSITMVEEAITESCKINSIFPRLQSIIVESCPDMTSFYLGSKGLECPSVVEIKVVDEIKVVDCLNMTTFVSTFSRDEDKEAIIGDEVVNVATLFSNKLLTRDTIQLKHVFTI >KJB45458 pep chromosome:Graimondii2_0_v6:7:52032868:52037246:-1 gene:B456_007G306800 transcript:KJB45458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLGGLGFSNVSTATRKKRSSVSRRPRSESQPHTEFHDLSSLSSTPPSDNNVVNVEDEAYEDSDEASNDGSFQGSSDRRHGRVDSKRSSEGVLAPTNWKSTSMAGSFGSVSDGLENEKKVKKVKLKVCGVTHTIDAKSVGDGASGAGSSSSKSSRFSDTPRSLKKSSIKDNSDDHSFTSERDSTLHGVRWKDFSRGDLGVRQVDHATGRLPADNISTKEIDKHEPVRKSKRVPKKRSLEGVLDDEEDDDEIRYLEKVKTARLTADYGIEHGEDGGRKQLKISKVATRNIDGLYGLDVGDYGSSRISKEAKKSRSGRTSEDTDYVEEDEESVSDVEIENRRKKTKKEVVDFLGDSKKEMTVTTRQRAQQAGKDHSSNFGIAPIEFPNGLPPAPPKKQKEKLSEVEQQLKKAEAAQKRRVQVEKAARESQAEAIRKILGQDSSRKKREDKIKKRQEEMAQEKATNSMILASDTVRWLMGPSGTVVTFPNEVGLPSIFDPKPCSYPPPREKCAVPSCTNPYKYRDSKSKLPLCSLQCYKTMHEKMSALTAW >KJB40890 pep chromosome:Graimondii2_0_v6:7:5857625:5862612:1 gene:B456_007G081900 transcript:KJB40890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKQLLSLARRLSKPSSSFNISRSSSSAASRLAAAATSDSAEISPPPPTAMIYDRLSLSVKSKLQKLENPDPRFLKYGSPHPAVVSHTHMLSSPETKITTLPNGLRVATESTLSSRTATVGVWIDAGSRFETEETNGTAHFLEHMIFKGTERRSARDLEEEIENMGGHLNAYTSREQTTYYAKVMDKDVFKALDILADILQNSKFEEHRIRRERDVILREMEEVEGQTEEVIFDHLHSTAFQYTPLGRTILGPADNVKTITKGHLLNYIQTHYTAPRMVIAASGAVKHEEIVEQVEKLFTKLSSDPATASQLVVKEPATFTGSEVRIINDDIPLAQFAVAFEGASWTDPDSIALMVMQAMLGSWSKNAGGGKHMGSELAQRVGINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYAIMHETTKLAYRVSEADVIRARNQLKSSLMLHMDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDPSTIKRVADRFIYDKDIAIAAMGPVQGLPDYNWFRRRTYWNRY >KJB40891 pep chromosome:Graimondii2_0_v6:7:5857731:5862612:1 gene:B456_007G081900 transcript:KJB40891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKQLLSLARRLSKPSSSFNISRSSSSAASRLAAAATSDSAEISPPPPTAMIYDRLSLSVKSKLQKLENPDPRFLKYGSPHPAVVSHTHMLSSPETKITTLPNGLRVATESTLSSRTATVGVWIDAGSRFETEETNGTAHFLEHMIFKGTERRSARDLEEEIENMGGHLNAYTSREQTTYYAKVMDKDVFKALDILADILQNSKFEEHRIRRERDVILREMEEVEGQTEEVIFDHLHSTAFQYTPLGRTILGPADNVKTITKGHLLNYIQTHYTAPRMVIAASGAVKHEEIVEQVEKLFTKLSSDPATASQLVVKEPATFTGSEVRIINDDIPLAQFAVAFEGASWTDPDSIALMVMQAMLGSWSKNAGGGKHMGSELAQRVGINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYAIMHETTKLAYRVSEADVIRARNQLKSSLMLHMDGTSPVAEDIGRQD >KJB42319 pep chromosome:Graimondii2_0_v6:7:12545641:12546676:-1 gene:B456_007G148300 transcript:KJB42319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKTMRLPPRRVSMPSNNVKRKERDDFDLQHLHLPPPPAKLPKPAAPLAASQKAARPVLSNQLLAGYLAYEFLTRETLFGQPWDPAQPQQPATDSGRGIKEDAEPSDRSRAGDFESKPREDNHQRYVEVASLLKTDGAHIPGIVNPTQLARFLQM >KJB38966 pep chromosome:Graimondii2_0_v6:7:59141892:59142391:-1 gene:B456_007G360300 transcript:KJB38966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYLELLDVGIRIVTRFHSHCPQTARLYYHPPPSSNPQAHRHPDPRLCGGGDGNGGSDSTTQLQVHDPK >KJB45109 pep chromosome:Graimondii2_0_v6:7:49603046:49605335:1 gene:B456_007G289600 transcript:KJB45109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 46 [Source:Projected from Arabidopsis thaliana (AT4G04220) UniProtKB/Swiss-Prot;Acc:F4JGB6] MMATLSLLSKSYYIVFVLSLSFIGSFPCLDDQRDALLEFKDLLFGELMTDNSHQLNRHVSWWLKDMEFQFRMLSVGSDEILGIGLRNLSELVYLDMGGNSFNGLIPLELFHLANLEFIDLSDNMIEGVLPNDVVGLKSLKQLSLDANFIHGQLSEEIGNLIELKQFTVPGNQISGRIPLSILQLRKLEVLNLQNNSFSLEIPADIGSLTNLTTLDLSKNRVKGQIPSWISNQTDLIYLDLSENDLEGDFPQRLAERNLGTIILSNNKLTGSLPSQLFQSRNLSVLALSRNNFSGELPEINTASIMNSFSGNEFPAFGPNSLIAFVDVSSNTFSGKVPSNFRLFTVMLSLSQNCFYGPLPKNFSNLIMLEHLDLHGNNISGEFPAFFSQMNNSIKGSISNDLSSLSSLRILDLSNNYLKGEIPQSLGNLTGVIETPDAPLTLSEIFKFPVEIHDLIVNCKKAKQGLSIRNRDIYTFLDLSKNRLSGEIPYSLGGLKSLKLLNLSFKELSGKIPISFGDLESVETLDLSHNTLDGEIPVTFSKLLELNYLDLSNNKLGGKIPGGHQMDTLVDPKMYANNSGLCGVQIEVPCEKDLVPPGPPLRKKQEPMYSWIATGVGYPVGFLSSTAV >KJB39547 pep chromosome:Graimondii2_0_v6:7:1390984:1393560:-1 gene:B456_007G018600 transcript:KJB39547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSTFHHLSSSFSPRTIDNAKLSSSFCPSPTTLSSNPNISIQFLNRKQPPFVSSTPRFLTVIAMAPPKPGGKAKKVVGLIKLALEAGKATPAPPVGPALGAKGVNIMAFCKDYNARTADKAGYVIPVEITVYDDRSFTFILKTPPASVLLLKAAGVEKGAKDPKQEKVGKITIDQLRAIATEKLPDLNCTTIESAMRIIAGTAANMGIDIDPPVLEPKKKELVL >KJB45565 pep chromosome:Graimondii2_0_v6:7:52646982:52647728:1 gene:B456_007G312600 transcript:KJB45565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTPKKRPKSKHNSYSKNSSLNSILEPPQSLFPSKGEFLRLINVLAIASAVALSCNYFLTFFTSTSKPFCDSNLDPIDSFSGSRK >KJB45566 pep chromosome:Graimondii2_0_v6:7:52647010:52649287:1 gene:B456_007G312600 transcript:KJB45566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTPKKRPKSKHNSYSKNSSLNSILEPPQSLFPSKGEFLRLINVLAIASAVALSCNYFLTFFTSTSKPFCDSNLDPIDSFSENDIWNDLDRHNLMQNVGSDIPHMLQEFKCPVALAEHYKTLTCRFRELVSQQSIFIMPICAGLIGCAVLFLKVRQRMYISARAEELYNQALHHLRQISQEISQPNVTVWGRRPAALRALSHKLSKGFNEAVNGFTDEGWSMLQNDDVDDVTLLVNSSLGKMMDINFSYSNGFPSMGNAVLCAKASMLLQNVPPAILLRFLQKHRSEWADSGIDAYSAAIKAEPCSLPVPRGGSFGGQVIFP >KJB46643 pep chromosome:Graimondii2_0_v6:7:53617124:53617882:1 gene:B456_007G3205003 transcript:KJB46643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FAIREIDLVHLWIAEGLVEEMNSRQAEYDKGCAIMNRLINNCLLEVPTETENGRCVKMHDLVRDMALHITCGTPRFLVKAGMRLTEPPDIQEWRKDLEKVSLMENWGLQLPYPLEILPPKCPMLTTLLFSGCNIQSIPEGFFKHMHGLKILDLSANPIKNLPDSIANLKNLTALLLRHCRSLEKVPSLSKLKVLKELNLEATSIKEIPCGMENLLKLNYLNLNGIVDLYEIPDRALSKLSCLQDLIVGETVI >KJB41646 pep chromosome:Graimondii2_0_v6:7:8833344:8836595:-1 gene:B456_007G113800 transcript:KJB41646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GAPSNDIGWHFGTLVPNVRGNIVCKLCGKVVKGGITRFKEHIAHKTGNVAPCPNVTDVIRESMTNILKESNTKKIDKKRRKDEFLSQLRGEEDEHEEFIDEKGDLLIDQLENIIEKEQVNPSQQPNFSDSFLKSFRRKIGEAVSKFLIYERLLFQLASSPWLYNLIQMSIEVGQAVKLPIPYEVSDVYLESEYQRVRDWVNGLKTHWKDLGAALMCDGWTNSLNQMHIINFLVYCSKGTIFWKSIDVSSVRSRDAEFYYCLLDSVVEEIGENYIVQIVTDNEAAMKVVGKKLMLNRKHLNWTSCAAHCLDLCLEDIGKKPIVAKVLDEAKKVTCFIYNHIWTVDLMKKCTQGKQILRPAFTRFATHFIQLEEITRQKQANDLIKVYEPLVKVLRLVDSDEKLIMGFIYEAVDRAKRVIQQDCRYFTKYEKIIDNRWNFMHSDLHSAETLEGTRSVIERLKPSLDTQVRMVNQVRFKDKHETFGTRQAQRAWKQMNPAEWWIICVLELQKLAIKVLSQTTSASNCKRNWSTFSYIHTKARIRLKYKKLEKLVFTYYNMRLKMRHQKRMSTDDINASFNPISLDHIFEDVDPLSEWLHEKENPLLDGENDGVLPVDTSDDEIDVDQFQQQILSHSSSSSTPSQSGDGPDGGGLSPIDEDDGYSGDRDEISSSSQYEGEYGVGSSGGHFRDRSEFDGNMFPEPRRDRSELRAPSKGKGKKHTSIGSLSGRGSNSSNLGYSDSSTSTQDFYPPEQPSYFQPSHGYPQPYGCYPPFPNYGVPYQPQMYPPPPMYHPLPPLM >KJB44451 pep chromosome:Graimondii2_0_v6:7:40926521:40927951:-1 gene:B456_007G253900 transcript:KJB44451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLSRFSFLPLLNLQNPISSHHLKQIHAQLITNGLKEPSFFAKLIKKYCLLSSPQNTKYARLIFKHFHTQSLFLFNTLLRCSQPKDSIFTFAYWVSKGLLVFDDFTYIFVLGACARSQSLSTLWLGRQVHVKAFKSGLMSNLLVKTTLIHFYANNKDILLARSVFDEMTERSSATWNAMIKGYTSQRERSKKCCREALVLFRDMLNDVSGQIPTDTTMVCVLSACSQLGEIYSGACVHGFIEKTIWVPESDVFIGTGLVDMYAKCGYIDSALSIFRLMSVKNVLTWTAMGTGLAVHGRGKEALELLDAMEDSGIKPNPVTFTSLFSACCHTGLVEEGLHLFHSMRSRFGLEPQIQHYGCIVDLLGRAGYLNEAYDFIIEMPIKPDAVLWRSLLSACTVHGDLAMAEKVGNILLRLEPSKNSLHMPITSEDYIALSNVYASTGRWRQVEMVRNKMKLKRVETKPGGSYIQTVCSSP >KJB42543 pep chromosome:Graimondii2_0_v6:7:14179217:14179794:-1 gene:B456_007G162300 transcript:KJB42543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQCCDGGDPNGWWFGDNGGDDSSSTTIVWIVVGILIAVLVLVVAYYVAKKGKCSGLCFSCKVECGHGHHCQSKC >KJB39607 pep chromosome:Graimondii2_0_v6:7:1556709:1559351:-1 gene:B456_007G021600 transcript:KJB39607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGRGGRDPFFNFGGDPFGGDLFGGDPFGGSGGFGGFGGPRNLFSNFFGGRNPFDDPFFTRPFGSMFDSSFFGPGQSPFMDMHPTGFIERQAPEPKRPRGPIIEELNSDDEKEEADTGMKGNPRKHGRSNAEPYVEVPDDEAGQSERRNRHLQYMNGYNGLYQNQQQQQPQTSSFVFQSSTVTYGGADGAYHTSSKTRRTGSDGITFEENKEADTTTGQASHRVSRGLHNKGHSVMRKLNSDGRVDTMQTLHNLNEDELPGFEESWKGKAQKRLPGWSGSFIGHDNIGTGSSGQNGQAGRGGWALPSTESSQPKGRMMVDARDRSVPLRSQHTRMKGSADFKDKSSHSQGKRRD >KJB39819 pep chromosome:Graimondii2_0_v6:7:2198972:2202810:1 gene:B456_007G032000 transcript:KJB39819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQTSFPQTLMTADPPPSESIVSQEHVDGFRRVLPLVPDSLSGSSSVSPMAVDSIGTEARENPIEEETAAGPSPPPASSTESEPSKEPENCPQEEEEDERWPHGGYNSPYNYSRRDNEFKSPGSGYSSWTLSWSKHWSPKETSRNEDKPTGVGAGLENLGNTCFINAVLQCFTHTVPFVLGLRSLNHHEKPCDRDMESFCLLCALRDHIELSLHSSGGVVSPTKIFDNLNYFSSFFQRYQQEDAHEFLQCLLDRLESCCSKLKNDLSSIDDCLVKKVFGGRLVSRLCCCNCGHVSSTYEPLNDLSLEIEDADSLSTALESFTKVEKIEDLELNFRCENCKEQVSVEKQLMLDQAPSVATFHLKRFKTEGTYIEKIDKHVDFPLELDLQPYAVGNERNNEELRYQLFAVVKHSGFRPTSGHYVCYIRSSPNMWHKMNDSRVTCVEEEAVLSQEAYILLYAKQGIPWFSTAIEVQKPCADPGISDSSPKSVLDDIDFASNLEVEKGANCSANETKDVADRASTQFSCDTQFEVKVDEPRVVADGISGVPANESEFHVSKSIDPTDDEPMTDASIPPLRSINCSEEATNDCGSPIMPSRSPSLDNCHRNISGCGPQTHLKEEKRGGVCRRAVNKIPEMDQGRIEAMRYAKKMPSARGAKLMALLSPQPGKMKKRMGSSPCKRVSPRTKHNQNLMHPVPVSR >KJB39820 pep chromosome:Graimondii2_0_v6:7:2199327:2202796:1 gene:B456_007G032000 transcript:KJB39820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQTSFPQTLMTADPPPSESIVSQEHVDGFRRVLPLVPDSLSGSSSVSPMAVDSIGTEARENPIEEETAAGPSPPPASSTESEPSKEPENCPQEEEEDERWPHGGYNSPYNYSRRDNEFKSPGSGYSSWTLSWSKHWSPKETSRNEDKPTGVGAGLENLGNTCFINAVLQCFTHTVPFVLGLRSLNHHEKPCDRDMESFCLLCALRDHIELSLHSSGGVVSPTKIFDNLNYFSSFFQRYQQEDAHEFLQCLLDRLESCCSKLKNDLSSIDDCLVKKVFGGRLVSRLCCCNCGHVSSTYEPLNDLSLEIEDADSLSTALESFTKVEKIEDLELNFRCENCKEQVSVEKQLMLDQAPSVATFHLKRFKTEGTYIEKIDKHVDFPLELDLQPYAVGNERNNEELRYQLFAVVKHSGFRPTSGHYVCYIRSSPNMWHKMNDSRVTCVEEEAVLSQEAYILLYAKQGIPWFSTAIEVQKPCADPGISDSSPKSVLDDIDFASNLEVEKGANCSANETKDVADRASTQFSCDTQFEVKVDEPRVVADGISGVPANESEFHVSKSIDPTDDEPMTDASIPPLRSINCSEEATNDCGSPIMPSRSPSLDNCHRNISGKRMP >KJB40456 pep chromosome:Graimondii2_0_v6:7:4527842:4528075:-1 gene:B456_007G064600 transcript:KJB40456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQCRRQLVLLLWLLLIFTFLACHCHGSRLTTNVFKIKPKPQYEGHFLGFLPRRFPIPASGPSRKHNDLGLQSWRSP >KJB44255 pep chromosome:Graimondii2_0_v6:7:35378820:35381775:1 gene:B456_007G242600 transcript:KJB44255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKPIELIEAAFYLFKEEIVRTPMIYASIGLGFSCAAIATWILLLCTNRKCRNPNCRGLRKAAEFDIQLETEECVKNSNTLVKHGAKRGLFELLPDHHKELETELKKMAPVNGRAVLVFQARCGCSVGRLEVPGPKKQRKVKK >KJB42125 pep chromosome:Graimondii2_0_v6:7:11331770:11332543:-1 gene:B456_007G137900 transcript:KJB42125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNTLAKNLNLCFKKIRSPLTSQPSSSSPLTPDDDSRPLTSSAATASSPLFKNYNSLYDNTFDYSTSKSLTHSSLSFDPDPFPESDSEPDFATVFASHRFFFTFPGSSNSIIESTVPSSIATTPESSDTLLPSSSSPNNDANQSSNHGCGDRPSEEHGHPRTVENSVAVPTFSPNPYMDFRTSMQEMVDARHLIDVKANWEYLHELLLCYLALNPKSTHKFIIGAFADLLVSLMAAQGGGNNNDGKIGDGKIPGKCM >KJB45384 pep chromosome:Graimondii2_0_v6:7:52568566:52570427:1 gene:B456_007G311900 transcript:KJB45384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMAAKCGVHYMAVKSISSFYHFLSQAFTFTHQTLRGHKLREIKMADNNSANQTSSAEMVECNQDLLTQILLHFPVKSLLKSRCVSKRWLSLISDPQFAAKISHLNVNLGPSGLYFYGSPFGFLNFDTNHNMLKVPSLSFLNVTGIEILQSCNGLLLLLCSFSSDNDSGFTYCVCNPTTKNFVTVPLPNATANRSIAGINLAFDPSKSTHYKVICVLMNNMQYPNLNEIEHLVSPTYQIEIFSSENKAWTDSGGPFEAPHYTDFEHGVFCNGAIHWLSPTDVSLYFDVETESLKTMAMPRIKTGGPSGYWSSQRFPYFGQCAGCLHLIEVDSISKPRFHILEMKPDHSHWCDKYSVNLNNVASEFPEIARRYMDEFPTLALNNVEEIEVQYYAYSILSVVIGEKDEDVEVVMVIPGKIISHNPRLNTSKLLSSWRASNVYDCMQYKWYNVFHYVESLATL >KJB45383 pep chromosome:Graimondii2_0_v6:7:52568427:52570498:1 gene:B456_007G311900 transcript:KJB45383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMAAKCGVHYMAVKSISSFYHFLSQAFTFTHQTLRGHKLREIKMADNNSANQTSSAEMVECNQDLLTQILLHFPVKSLLKSRCVSKRWLSLISDPQFAAKISHLNVNLGPSGLYFYGSPFGFLNFDTNHNMLKIEIFSSENKAWTDSGGPFEAPHYTDFEHGVFCNGAIHWLSPTDVSLYFDVETESLKTMAMPRIKTGGPSGYWSSQRFPYFGQCAGCLHLIEVDSISKPRFHILEMKPDHSHWCDKYSVNLNNVASEFPEIARRYMDEFPTLALNNVEEIEVQYYAYSILSVVIGEKDEDVEVVMVIPGKIISHNPRLNTSKLLSSWRASNVYDCMQYKWYNVFHYVESLATL >KJB45832 pep chromosome:Graimondii2_0_v6:7:55442126:55446114:-1 gene:B456_007G331600 transcript:KJB45832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAILTVVLQQITTILSDQAVKEMTMWGDREAALKNLETYLKSIRSVLKDAEDLQITDDAIKLLLEDLKIVIYEIEDVLNLWSCKVRKAESVRAKVNCLLEFIAYRRSSDRIKKICEKLSPFELKNKLDLGKSKIISPLRNKESTSYCDLSKIIGRSGIKTELVFRLVYEEEQGSQTISIVGMGGIGKTDLARLIYNDELLKTRFDKMIWVCVSNLFDKKKVARDIILAFEEDNFSFPVYLQDSVTLDFLLGNLKQKIIDTRFFLVLDDVWADDNGDWEDLQAVLKHGKPGSKILVTTRKVSVANTLSCNSGLIYYLSSLPDEDCWSILKQFAFVGKDQLSQQNREPLECIAKRISKKSKGLPLVAKDLGHHLQKSEARLWNDINDKELCNLAVWERVLRSLFLSYHDLPQSRIRDCFLYCVTFPKGLQIRIDNLIRHWIGQGYLAPSGNVKREDEVEATGREFFQHLASCSLFQDFVEEDDAGIVACKMHDIIYDLVQYLTSKDFVMKEVNRSEIMKFQLPSNDARHLTVILEEDHYFPLSIKGGSKLRSLSIFSALGTRVVTCDSLHQLFVQSPCLRVLDLGMNNSCDNLLPKISSKIGDLIHLRHLNLFCCKMIHRLPDTICQLHDLLSLDLHGCSRLEKLPDGVGNLINLRYHCKSGLR >KJB39690 pep chromosome:Graimondii2_0_v6:7:1780137:1780887:1 gene:B456_007G025700 transcript:KJB39690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEQCVRPVEKTLHEGKHEHHPSSGQTQVHKESKGVSQSHGHNQLSHDTTKTHAESKTMSHGVHAQQHVAQGTAVPATCHGKKEKKMKDKKEKDKDKKEKKEKKEKKEKKTESKKKPEKEKSKHKKSKDDSRSDSD >KJB44792 pep chromosome:Graimondii2_0_v6:7:46688065:46691302:1 gene:B456_007G273700 transcript:KJB44792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase PXL1 [Source:Projected from Arabidopsis thaliana (AT1G08590) UniProtKB/Swiss-Prot;Acc:Q9FRS6] MEPKSCLLFFYCCIGLFLVIAEGANSIPVPNDEESTLLLMKRSLIDPLKKLEDWKAVSNAAETRLAHCNWTGVWCNSRGFVEKLDLSNMNLSGIVSDRIQGLRSLLILNLYNNSFDTSLPKSFANLTSLQSIDVSQNNFIGSFPTGLGMASGLTYVNASSNNFSGFLPEDLGNATSLETLDFRGSFLEGTIPTSFKNLQKLKFLGLSGNNLTGKLPRELGQLSALETIILGYNEFVGEIPEEFGNLTNLQYLDLAVGTLSGQIPSSLGRLKQLTTVYLYKNNFTGRIPPELGNATSLVFLDLSDNQISGEIPVELAELKDLQLLNLMTNQLNGSVPVKLGELTKLEVLELWKNSFTGSLPMNLGRNSPLQWLDVSSNSLSGEIPPGLCDSGNLTKLILFNNSFSGPIPVGLSTCKSLVRVRVQNNLISGTIPIGFGNLPLLQRQIPDDIALSTSLSFIDVSWNHLESTLPSSIISLPNLQTIIVSHNYLAGKIPDQFQDCPLLSVLDLSSNHFSGEIPESIASCEKLVTLNLRNNQFSGEIPKALATMPTLAMLDLSNNSLVGSIPLNLGTPPALEMLNLSYNKLEGPVPANGLLITINPEDLAGNAGLCGGILPPCSSSPIKAPEQPRKMHIKHVAAGFIIGALVILSVGITFFAGRWAYQRWYLYNSFLSDLFEKSNNQWPWRLVAFQRLSFTSSDILACIKESNIIGMGGTGVVYKAEVHRPRAVVAVKKLWRSQTDIESSDDLFGEVSLLGRLRHRNIVRLLGYVHNETNLLIVYEYMPNGNLGTALHGKQAGKVLVDWVSRYNIAVGIAQGLNYLHHDCHPPVIHRDIKSNNILLNANLEARIADFGLARMMIHKNETVSMVAGSYGYIAPEFGYTLKVDEKIDIYSFGVVLLELLSGKMPLDPSFGESVDIVEWTRMKIKKNRGFEVLDPAIAGQCKHVQEEMQLVLRIALLCTAKLPKDRPSMRDIITMLAEAKPRRKSVCQNGGHNSSKEAPIFSTSPVTGLM >KJB39015 pep chromosome:Graimondii2_0_v6:7:24158132:24159855:1 gene:B456_007G2161001 transcript:KJB39015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AATIYFLMHFVGLQFMVI >KJB41084 pep chromosome:Graimondii2_0_v6:7:6541309:6545817:-1 gene:B456_007G089800 transcript:KJB41084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLRRIKGNISSMREQAELLSSVRDDISEFKASEGMSPRMQLLRERAAIHGNIAHIDDVINQAQTTRAVLGSQRALFGDVQGKVKVLSDKFPVIRGLLGSIRRRRSRDTLILSAVIAGCTLFLIIYWLSK >KJB41085 pep chromosome:Graimondii2_0_v6:7:6541309:6545993:-1 gene:B456_007G089800 transcript:KJB41085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTNLDLQESGWEELRKEARKIEGDLDVKLSSYAKLGARFTQGDTGSPTLGSSRSWKSMVIEIQSLLEKLLDINDAMSRCAASAAPTTSVTQKLARHRDILHEFTQEFRRIKGNISSMREQAELLSSVRDDISEFKASEGMSPRMQLLRERAAIHGNIAHIDDVINQAQTTRAVLGSQRALFGDVQGKVKVLSDKFPVIRGLLGSIRRRRSRDTLILSAVIAGCTLFLIIYWLSK >KJB41086 pep chromosome:Graimondii2_0_v6:7:6541464:6545768:-1 gene:B456_007G089800 transcript:KJB41086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEIQSLLEKLLDINDAMSRCAASAAPTTSVTQKLARHRDILHEFTQEFRRIKGNISSMREQAELLSSVRDDISEFKASEGMSPRMQLLRERAAIHGNIAHIDDVINQAQTTRAVLGSQRALFGDVQGKVKVLSDKFPVIRGLLGSIRRRRSRDTLILSAVIAGCTLFLIIYWLSK >KJB42126 pep chromosome:Graimondii2_0_v6:7:11338073:11339886:-1 gene:B456_007G138000 transcript:KJB42126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQPQRPGASQEPVKYGDVFNVTGELASKPIGPKDSAAMQSAENKILGETPKGGAAAVMQSAAIANERAGVVSHNQADVAGDQGVAVIKSDADGDVMITEAVAGQIVGQYRQPDVSAVTTPAMLVDPGSITIGEALEAAAISAADKPIDQSDAAAIQAAEMRATGSSEITPGGIASEAQSAATRNARTQRFEDQATLSDVLSDATAMLPKDKAVTREDAERVVAAEVRNNPGMSTTPGGVGAAMAAAAKRNQNSTI >KJB40340 pep chromosome:Graimondii2_0_v6:7:4192449:4193370:-1 gene:B456_007G059200 transcript:KJB40340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATREKKPTVTTAGSSSTITNGGAFTTIQEIVTFEKEIEKTKFISFLSQVKDPRTTHNCWAYKVGDQYRSSDDGEPSGTAGKPIHSSVPFWGLDKVIVVVIRAYGRVTSECLRNAPICLVKSKARKQLKILRFQYIEILIFDWNFRKVPMGVDVPFDLSRVLYRQDIKQDYDTGEDGIAMVSFKVDFDRLVKLEETIKTNCSRELVFYKR >KJB46425 pep chromosome:Graimondii2_0_v6:7:60043206:60044217:1 gene:B456_007G368000 transcript:KJB46425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDALDSSAITTKSVYFYSKLIARAARLALIAEEVCFLDVIPAIRKFLKDTIEPWLDGTFNGNGFLYESKWGGIVSKQGSRDSEADFGFGIDPAWGRRYKPQAYSLVAGFMNLGSRSNSNYPRMRCFDFYKLHSWAGGLAEFADGRNQESTSEAVNGLNSAALMGLAYGDTHLVATGSTLTAHVIQAAQTWWHVKEGDNLYGEEFTRENRVWSWFAPPDWRECRLGIQLLPLLPISEILFSDIEYTRQLANWTLPALGRDVVGDGWKGFVYALEAIYDEESALEKIRNLSGLDDGNSLTNLLWWVHSRGD >KJB39437 pep chromosome:Graimondii2_0_v6:7:1070699:1073416:1 gene:B456_007G013600 transcript:KJB39437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGHEYMSMEKVAGKIAGGFSSSSSSSSSGSDDDTPSHSKSLKPNVYRLFGREKPLHQVLGAGKPADVLLWRNKRISGSVFGCATAVWVVFELLDYHLITLICHILILTLITLFLWSHVSNFIDKPPPNIPDAVFPDKCVLEVASIVRYKMNQALGHLRHVAFGSDVKSFLAVVCGLWILSVIGSCFNFLTLVYIVFVLLHTVLVMYEKYEDKVDSFSEKAIIELKKQYVEFDKKVLSKIHKGKLKEKKKD >KJB39871 pep chromosome:Graimondii2_0_v6:7:2382858:2390217:-1 gene:B456_007G034900 transcript:KJB39871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKKKNVAPRSKQLPALVNVVNDSGEAELSGNNAKVGVSAGVPDESNGSSSSSVVKADCERALTALRRGNHTKALRLMKESCTRHENSAQAALVHRVQGTVCLKVASIMDDPNAKNRHLKSAIDSARKAVELSPNSIEFAHFYANLLYDTANDAKEYEEVVQECERALAIENPVDPSKESLQEESQQQTLTAEARILQVQSELRSLIQKSHIASISTWMKNLGSGEEKFRFIPVRRVTDDPMEVRLVPSRRPNEIKKATKTPEERRKEIEVRVAAARLLQQQKSEAASSSPQLQSKGEKNELDLTSGSGQRGADRRRRKNGSTAERKDWVRSYWNSMSIDLKRDLLRIRVSDIKAYFGLVKDGLASDVLSEALEFAEAYKTWNFWVCCRCSEKFADSESHMQHVVQEHMGNLIPKMQNVLPQSIDSEWIEMLLNCSWNPLDISAAIKMIGDRNEQCNDCFDDAWDSSPAKENVGVSYSCGSVEGNACEKVSSIECKGCDGNKGSVTYPLVDSWPTVADAERAKLLERIHATFELLIRHKYLAASHLNKVIQFTMDELQSLVSGSQLLNHGVDQTPMCICFLGSTQLRKVLKFLQDLSHSCGLARHSEKTTPVDDVNGATKILEVKEKIVLNADASCLLLDEHLLPDAAIEGSALAKSRGSNGNEFVQDADALLSWIFSGSSSQYQLASWVHMKAEKTQQGLEILQMLEKEFYHLQSLCERKCDHMSYEEALQALEDLCLEEGKKRETATESVHRSYESVLRKHREELVETESDDMFLSSRFELDAITNVLQEAEALNINQFGYENTYAGVTSQLCDLESGEDDDWRAKDYLHQADTYIEVAIQRQKEQLSLELSKIDARIMRNVTGMQQLELKLESVSAHDYQSIMLPLVKSYLRAHLEDMAEKNATEKSDAAREAFLAELARDAKKVARGGSGNSRHSQEKVKDKKKNKEFRKSKDSKGYGGNELHMLNDETAEQVSGVADGDHLDSKVVSVNNDDLKLQEEEFRRKIELEEEERMLEETLEYQRWIENGAKPKHLAEQNTRTIQTHAEKAVDGLHDACLDDGNLDIQQHLALRSGVTNKLDSIPMSAANGSAVPVTSITSGTKGAVPDDGFFPSNQWTGRKGRRHKGNNKFLDGKYQVLSSENQNIQGRISHGNVEEQVGYVDGGPIGSVAPVSGEGGTKTLRQLQAEEDDEERFQADLKKAVRQSLDTYQAQQRFPLASSLKAVERVPSEVKTHGVSPNEVSGEGLKETEVFGTGLLNEVGEYNCFLNVIIQSLWHLRRFRDEFLRRSASDHVHVGDPCVICALYEIFTALNIASSDAWREPVAPTSLRIALSNLYPDSNFFQEGQMNDASEVLAVIFDCLHRSFTSASSVSDADSTDSNCTGSWDCANSACTVHSLFGMDIFERMNCYSCGVESRHLKYTTFFHNINASALRTMKVMSEESSFDELLNLVERNHQLACDPEAGGCGKLNYVHHILSNPPHVFTTVLGWQNTCESADDIAATLAALTNEIDISVLYRGIDPKNKHNLVSVVCYYGQHYHCFAYSHDHERWVMYDDQTVKVIGSWADVLTMCERGRLQPQVLFFEAVN >KJB39872 pep chromosome:Graimondii2_0_v6:7:2381992:2390532:-1 gene:B456_007G034900 transcript:KJB39872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKKKNVAPRSKQLPALVNVVNDSGEAELSGNNAKVGVSAGVPDESNGSSSSSVVKADCERALTALRRGNHTKALRLMKESCTRHENSAQAALVHRVQGTVCLKVASIMDDPNAKNRHLKSAIDSARKAVELSPNSIEFAHFYANLLYDTANDAKEYEEVVQECERALAIENPVDPSKESLQEESQQQTLTAEARILQVQSELRSLIQKSHIASISTWMKNLGSGEEKFRFIPVRRVTDDPMEVRLVPSRRPNEIKKATKTPEERRKEIEVRVAAARLLQQQKSEAASSSPQLQSKGEKNELDLTSGSGQRGADRRRRKNGSTAERKDWVRSYWNSMSIDLKRDLLRIRVSDIKAYFGLVKDGLASDVLSEALEFAEAYKTWNFWVCCRCSEKFADSESHMQHVVQEHMGNLIPKMQNVLPQSIDSEWIEMLLNCSWNPLDISAAIKMIGDRNEQCNDCFDDAWDSSPAKENVGVSYSCGSVEGNACEKVSSIECKGCDGNKGSVTYPLVDSWPTVADAERAKLLERIHATFELLIRHKYLAASHLNKVIQFTMDELQSLVSGSQLLNHGVDQTPMCICFLGSTQLRKVLKFLQDLSHSCGLARHSEKTTPVDDVNGATKILEVKEKIVLNADASCLLLDEHLLPDAAIEGSALAKSRGSNGNEFVQDADALLSWIFSGSSSQYQLASWVHMKAEKTQQGLEILQMLEKEFYHLQSLCERKCDHMSYEEALQALEDLCLEEGKKRETATESVHRSYESVLRKHREELVETESDDMFLSSRFELDAITNVLQEAEALNINQFGYENTYAGVTSQLCDLESGEDDDWRAKDYLHQADTYIEVAIQRQKEQLSLELSKIDARIMRNVTGMQQLELKLESVSAHDYQSIMLPLVKSYLRAHLEDMAEKNATEKSDAAREAFLAELARDAKKVARGGSGNSRHSQEKVKDKKKNKEFRKSKDSKGYGGNELHMLNDETAEQVSGVADGDHLDSKVVSVNNDDLKLQEEEFRRKIELEEEERMLEETLEYQRWIENGAKPKHLAEQNTRTIQTHAEKAVDGLHDACLDDGNLDIQQHLALRSGVTNKLDSIPMSAANGSAVPVTSITSGTKGAVPDDGFFPSNQWTGRKGRRHKGNNKFLDGKYQVLSSENQNIQGRISHGNVEEQVGYVDGGPIGSVAPVSGEGGTKTLRQLQAEEDDEERFQADLKKAVRQSLDTYQAQQRFPLASSLKAVERVPSEVKTHGVSPNEVSGEGLKETEVFGTGLLNEVGEYNCFLNVIIQSLWHLRRFRDEFLRRSASDHVHVGDPCVICALYEIFTALNIASSDAWREPVAPTSLRIALSNLYPDSNFFQEGQMNDASEVLAVIFDCLHRSFTSASSVSDADSTDSNCTGSWDCANSACTVHSLFGMDIFERMNCYSCGVESRHLKYTTFFHNINASALRTMKVMSEESSFDELLNLVERNHQLACDPEAGGCGKLNYVHHILSNPPHVFTTVLGWQNTCESADDIAATLAALTNEIDISVLYRGIDPKNKHNLVSVVCYYGQHYHCFAYSHDHERWVMYDDQTVKVIGSWADVLTMCERGRLQPQSHCSLYCKNMQKLVPEESQRCFVMVNPEL >KJB45868 pep chromosome:Graimondii2_0_v6:7:55792418:55794284:1 gene:B456_007G334400 transcript:KJB45868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYLMDLETKQSKDERIRLWVAEIRELAYDAEDVVEEFALKIGSKNKGGLPSCIKRSACCLKEGWALHKTRSKMEKIIERINDLVRRLQAYGVKELKDRGEESSSSTERRESRRPYPHIMDDNTVGLIYIVLECGKRMSK >KJB42580 pep chromosome:Graimondii2_0_v6:7:13813305:13815276:1 gene:B456_007G158400 transcript:KJB42580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFLASLFFTLWALLISPAISLTCSSQKFTKNQVYSNCLDLPSLASYLHFTYDPSNTTLSVAFISTPSKPGGWISWAINPNATGMAGSQALVAYKNSTTGVAVVHTFDISSYSSIVPKDLSFEVPDKTAETRSDGSLAIFATIKVPANLAAKGTINQVWQVGPGVGEGGMLERHDFAAANLRSKGTLDLKNGQSSTNSGGDTTIKKKNIHGILNTVSWGILFPLGAMIARYIRSFESADPAWFYLHVFCQISAYAIGVAGWGTGLKLGSESSGITYSGHRYIGIALFVLATVQVFLKFVYMQYLS >KJB42579 pep chromosome:Graimondii2_0_v6:7:13813202:13815307:1 gene:B456_007G158400 transcript:KJB42579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFLASLFFTLWALLISPAISLTCSSQKFTKNQVYSNCLDLPSLASYLHFTYDPSNTTLSVAFISTPSKPGGWISWAINPNATGMAGSQALVAYKNSTTGVAVVHTFDISSYSSIVPKDLSFEVPDKTAETRSDGSLAIFATIKVPANLAAKGTINQVWQVGPGVGEGGMLERHDFAAANLRSKGTLDLKNGQSSTNSGGDTTIKKKNIHGILNTVSWGILFPLGAMIARYIRSFESADPAWFYLHVFCQISAYAIGVAGWGTGLKLGSESSGITYSGHRYIGIALFVLATVQIFALFLRPKKDHKLRFYWNIYHHSFGYAILVLGIINVFKGFNILKPEHKWKSAYMIVIIAMGGISLLLEAITWIVVLKRKSRKSTKPYDGYNNAQSNQQPLAM >KJB45618 pep chromosome:Graimondii2_0_v6:7:53096902:53099868:1 gene:B456_007G316200 transcript:KJB45618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKLPSSTHGSISNQKRPTAKFHPCVWGDIFLPSPTTNVDAKTKLQHEELKEEVRRMIKVVMDDELLYKLRLIDTIKRLGVSYHFEREIEEVLLNIYEHDYKDDQTLETTSLQFRLLRENGLGVPCEWFHKFKDDDGNFNMSLTSDVKGLLELYEASHLRVHGEDILEEALGFTTTHLGLAKASGTIEYPLSALVSHALYQSIRRGLPRLEAKRFISIYQGDASQNKTLLKFAELDFNLLQILHREELSKISRWKNGLDLATKLPFARDRLVEGYVWILGVYFEPQYSFAREILAKTLVMASIMDDTYDSYGTLEELQLLKNAIQRWDVDYIDQLPEYMKSFYKPLLDFYGEVEEAMIKQEKLYHVKYAKDTFKQLSEAYFVEAKWYNENYVPTMEEYMRNAVVTAGYIMLIVTSFVGMGDFVAPEIFNWASNNPKIIDASSIIARLVNDVTSHKFEQERGHCASAVECYMREHAVSEEEACSELMKQVENAWKDLNQELIFSEISKVVPGPVLTRILNLTRVLDFIYKNGDGYTHVEKNTKDGITSLLIDPISASY >KJB45619 pep chromosome:Graimondii2_0_v6:7:53096902:53099868:1 gene:B456_007G316200 transcript:KJB45619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKLPSSTHGSISNQKRPTAKFHPCVWGDIFLPSPTTNVDAKTKLQHEELKEEVRRMIKVVMDDELLYKLRLIDTIKRLGVSYHFEREIEEVLLNIYEHDYKDDQTLETTSLQFRLLRENGLGVPCEWFHKFKDDDGNFNMSLTSDVKGLLELYEASHLRVHGEDILEEALGFTTTHLGLAKASGTIEYPLSALVSHALYQSIRRGLPRLEAKRFISIYQGDASQNKTLLKFAELDFNLLQILHREELSKISRWKNGLDLATKLPFARDRLVEGYVWILGVYFEPQYSFAREILAKTLVMASIMDDTYDSYGTLEELQLLKNAIQRWDVDYIDQLPEYMKSFYKPLLDFYGEVEEAMIKQEKLYHVKYAKDTFKQLSEAYFVEAKWYNENYVPTMEEYMRNAVVTAGYIMLIVTSFVGMGDFVAPEIFNWASNNPKIIDASSIIARLVNDVTSHKREGTVHRLLNAT >KJB42424 pep chromosome:Graimondii2_0_v6:7:13015606:13017212:-1 gene:B456_007G152100 transcript:KJB42424 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase A complex catalytic subunit NAA10 [Source:Projected from Arabidopsis thaliana (AT5G13780) UniProtKB/Swiss-Prot;Acc:Q9FKI4] MVCIRKATMDDLLAMQACNLLCLPENYQMKYYFYHILSWPQLLYVAEDYNGRIVGYVLAKMEDESNECHGHITSLAVLRTHRKLGLATKLMNAAQAAMEQVFGAEYVSLHVRKSNRAAFNLYTQTLGYKIHDVEAKYYADGEDAYDMRKQLKEKTNHHHGHHHHHHHHHHHGGGCCSGDARSGEAAHTRGDSKSESKASTKSDSKTG >KJB42237 pep chromosome:Graimondii2_0_v6:7:12028698:12029804:1 gene:B456_007G144000 transcript:KJB42237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNHQHEDSKSSSEESDRSEQSNDDVGSGRSYECVFCKRGFTTAQALGGHMNIHRKDRAKSSRPNSVPIVSGKVDDENYASLRSSSSYLPIIQSYQPHYVSYQAFFPASSGWDFRPPHPPHGDELFVNNSSRYLNPFREEDWPRNLNLRIGPSHVDENKKIDHGSSQDDELDLELRLGHDP >KJB41205 pep chromosome:Graimondii2_0_v6:7:6933715:6935480:-1 gene:B456_007G094400 transcript:KJB41205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHLPCSRLDLKIQLNGDRGHFLLHNGRNSCNLEGRALTALSRSGEGARMICDPLPTGGNRSAETSVHISCGSTMEPKKQAGRGHSVPCIVMGQWGHLIALSCHVMWGVGPNREEMGGPKGTVLHCSQGGGPYG >KJB40126 pep chromosome:Graimondii2_0_v6:7:3656950:3657730:-1 gene:B456_007G052300 transcript:KJB40126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMIHKAQPYFAVTDEKMMNTNNPESEHGQQPNNIVTSQLQIRSSPRSSPEALEKEAVLRRIRHHKCKNRVKRAFQALVGGDGQAQEKWMELGDAFTCP >KJB40938 pep chromosome:Graimondii2_0_v6:7:5997773:5999092:-1 gene:B456_007G083800 transcript:KJB40938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSCKADSAVSIISTSTTKGVTNKQEKPIKIQQFEYSDLEAATNGFSDQRLLGKGSHGSVYKAVLRGRHVAIKKPSLRNQETNPEADNEIEILSNIQSPGLVNLLGFSNDAKDRLLVVEFMSNGTLYDVLHTSSSSRPLNWGRRIRLALQVAKALETLHSQKPPIIHRDVKSANVLIDRNSNARLGDFGLALRCGVDDYRLRSTPPAGTIGYLDPCYVTPDNLSTKTDVFSFGILLLEIISGRKAIDIAHSPPSIVDWAIPLIKKGKIVAVYDQRIAPPADPIVRKQLAVIAAKCVRSCRERRPAMKEVVGWLTGLSKLVPLHLWNGFNNPCMMVETMGRPVECRNAEDAMDGKFGRQNLRDSRRVFSDLGFRSNLMELMGMSMSGVEGECGSDLVGIGTKSGSSKRYGEDKNGGFGLRRNRSAGETSQGDVFARLL >KJB44383 pep chromosome:Graimondii2_0_v6:7:39204154:39205435:-1 gene:B456_007G249500 transcript:KJB44383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIVNPPSLLHLVSTILLLSSLTLTLAQTTGFSVELIPRDSPKSPFYNLMETTSDRVTNALRRSFSSVGRFKSSFVLTEWAQSDITVGSGEYLMNISISTPAFDTVPIVDTASDLIWTQCKPCSQCSQQVAPLFDPNQSSTYQLISCNASQCKNLFQTTCSSNNSCQYSINYPDDSYSNGDLAFDTITLATTTSPTVSVLEIVIGCGHNNGGPSKERNSGVIGLGGGELSLISQIGSPIAGKFSYCLLPTSEKNESSKLNFGSNAIVSGDGVVSTPLIKLSSPTFYFLNLEAISVGNKRINYTDVSLGKPGEGNIIIDLGTTLILFPSGIYWELESAVATQINATRVQGPEGLSLCYDAKTEFVIPNITMHFTGANVKLQLYGNLAQINILIGYDIEKKTVSFKPTDFSKN >KJB45616 pep chromosome:Graimondii2_0_v6:7:53083715:53086553:1 gene:B456_007G316100 transcript:KJB45616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFRELMMWRTTFRFVPEQLKSRAYLFPSSLSPEILEGLLGSVGGVMAFLTLHEMLPLVFDYSGQKQAVKAVFFGMAFMSASLYFLELSLPKDMSL >KJB45617 pep chromosome:Graimondii2_0_v6:7:53084025:53086553:1 gene:B456_007G316100 transcript:KJB45617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWRTTFRFVPEQLKSRAYLFPSSLSPEILEGLLGSVGGVMAFLTLHEMLPLVFDYSGQKQAVKAVFFGMAFMSASLYFLELSLPKDMSL >KJB45615 pep chromosome:Graimondii2_0_v6:7:53083733:53086553:1 gene:B456_007G316100 transcript:KJB45615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWRTTFRFVPEQLKSRAYLFPSSLSPEILEGLLGSVGGVMAFLTLHEMLPLVFDYSGQKQAVKAVFFGMAFMSASLYFLELSLPKDMSL >KJB41125 pep chromosome:Graimondii2_0_v6:7:6670479:6679991:1 gene:B456_007G091700 transcript:KJB41125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEADSRMSQVVAPALEKIIKNASWRKHSKLAHQCKSLLEKLTTKSPLFPSDSEPDNSIPGPLHDGGPVEYSLAESESILTPLINACGTAYNKIVDPAVDCIQKLIAYGYLRGEADPTGGPEAQLLSKLIESVCKCHDLGDDAVELLVLKTLLSAVTSISLRIHGDCLLQIVRTCYDIYLGSKNVVNQTTAKASLVQMLVIVFRRMEADSSTVPIQPIVVAELMEPVEKSDADGSMTQFVQGFITKIMQDIDGVLNPVAPSKVSLGGHDGAFETTTVETTNPTDLLDSTDKDMLDAKYWEISMYKTALEGRKGELADGDVERDDDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEAMADPQLMRGKIVALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNSASSLIIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLRFLDKLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPSTATTLLPPQEANMKLEAMKCLVAILKSMGDWMNKQLRIPDPHSTKRFEAVENSPEPVNVPLANGNGDETVEGSDFHSETSSEASDALSIEQRRAYKLELQEGISLFNRKPKKGIEFLIRANKVGDSPEEIAAFLKNASGLNKTLIGDYLGEREDLSLKVMHSYVDSFDFQGMEFDDAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFISADTAYVLAYSVILLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPEEYLRSLFERISRNEIKMKEDDLSVQQKQSVNSSRILGLDSILNIVIRKRDEDQHMETSDSLIKHMQEQFKEKARKSESVYYAATDVVVLRFMVEVCWAPMLAAFSVPLDQSDDEIVIALCLEGFRYAIHVTAVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIRAIVTLADEDGNYLREAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNDSEKSKQAKSTVLPVLRKKGPGKIQYAAAAVMRGSYDSAGIGGNIAGAVTSEQMNNLVSNLNMLEQVGEMNRIFTRSQKLNSEAIVDFVKALCKVSMEELRSTSDPRVFSLTKIVEIAHYNMNRIRLVWSSIWLVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVLLAFEIIEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNKDISLNAIAFLRFCATKLAEGDLGSSSKNKDNEFGKISPSSSNKGKDGRQDNGVLVDKDDHLYFWFPLLAGLSELSFDPRPEIRKSALQVLFETLRNHGHLFSLPLWERVFESVLFPIFDYVRHAIDPSGGESPGQGIVNDIDEHDQDAWLYETCTLALQLVVDLFVNFYNTVNPLLRKVLSLLVSFIKRPHQSLAGIGIAAFVRLMSNAGDLFSEEKWLEVVSSLKEAANATLPDFPFIVSGDIMVGSNDHALNSQSNEVSAGSDISHGDSESSRAQHVYDLLSDAKCRAAVQLLLIQAVMEIYNMYRTHLSAKSIIILYEAMHDVASHAHRINNNTILRSKLQEFGPMTQLQDPPLLRLENESYQFCLTFLQNLILDRPPRYEEAEVESHLVDLCQEVLLFYIESAHSGQASETSANGQTQWLIPLGSGKRRELAARAPLVVATLQAICCLGETLFEKNLPQFFPLISNLVSTEHGSTEVQVALSDMLSSSVGPVLLRSCLSW >KJB39125 pep chromosome:Graimondii2_0_v6:7:15867656:15868744:1 gene:B456_007G172900 transcript:KJB39125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFQGKGIGSFGKRRNKTHTLCVRCGRRSFHFQKSRCFACAFPAARKRTYNWSVKAIRRKTTGTGRMRHLRHVPRRFKTGFREGTEAAPRKKVVAASA >KJB38988 pep chromosome:Graimondii2_0_v6:7:13607652:13608806:1 gene:B456_007G157100 transcript:KJB38988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQRRLPVPRLSLFSLPFSALVLNAPILPRFLALGWGVRAGEPILSGTFVCEYVWEILGEQEANNRLTRYGRDGCNYMFNISSQINDMSRLIEGQA >KJB44891 pep chromosome:Graimondii2_0_v6:7:47573057:47574699:-1 gene:B456_007G278100 transcript:KJB44891 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:Projected from Arabidopsis thaliana (AT1G08770) UniProtKB/TrEMBL;Acc:A0A178W4Z3] MPPTSTTYGTITSNPTTFPSHAKHTRRPWPELFSLSSFCLPISAGDAKARIKLNLSYFRVNYVIIALTILFLTLLWHPTSMIVFLITFIFWWFLYLFNDNPVVIFNRPVDGNVVLGVLSFATVLLLVLTHVGINVLVGLIIGVVVVGIHAAFRGTEDLGFPGEEEENGLLSVVGSQPLRPTSGYHRI >KJB40450 pep chromosome:Graimondii2_0_v6:7:4501368:4505139:1 gene:B456_007G064200 transcript:KJB40450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKEDRKAYTPGPGYREFNGRKEFPSNILHGSLAILLWIGPLHLNFFIVLFSFLFLPFSKFLMVIGSLLVFVVLPIDHNSKLGRWFARYLCRHITTYFPAILHVEDINDFHPDRAYVFGYEPHSVLPIGVIVMAELTGLMTLPKLKCLATSPVFYTPFMRHIWTWMGASPATRKNFYSLLEAGYSCVIVPGGIQETFLMQYDCETAFLKSRRGFVRIAMEMGCPLVPVFAFGQSYSYKWWKPSGNFFLQLARAIKFVPILFWGVLGTPLPYQHPMHVVVGKPIELKKNPHPTAEEVLEVQAQFVKALQDLFARHRARVGYSDLPLKIL >KJB45820 pep chromosome:Graimondii2_0_v6:7:55343090:55356200:-1 gene:B456_007G330900 transcript:KJB45820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIAFDIAAELIIKLSSRALSQVGLWWNLKHDIHDLKRTVCQIKAVLLDAEEKSVTDNLVKVWLEELKDVLYDADDLLDDFSTEALRKDVSGGDKLTKEVRLFFSSSNQLAYGLKMGRKMKAIKARLASIESEANTFGFIPRDRPAETSFMTKRRQQTHSFEREDDIIGRDDDKAALLKLVLEFQSEENVYIIPIVGFGGLGKTALALLVYNHEMVKNHFDLTMFACVSDDFDVKVIVANIIKSVTNQAPDQNLEMDQLQKQLRDKIDGKKYLLVLDDNWNEDPERWSRLKKLLMGGAKGSRIIVTTRSLRVAEITNKCQSHILKLKGLSDDDGWSLFKKIAFEQGYADSTNSAFVEVGRQISERCGGVPLAIRTIAGTLSLKKTANEWHSFKENELAKISQIEGEILPILKLSYDHLPSHLKHCFAYCRLYPKDYRIEVQALVQFWIAQGFIKQLNQSQSLEEIGFGYFKDLVERSFFQEVGERDSWEGLTCKMHDLMHDLAESVAGMESSIVDSNKIASDVGEKCRHISINPLLIPSLLPLFKGKKLRTLLHFQDRKNQDLSYETWDLIIANCRCLRVLKLNSIGIQKISPSICKLKHLRHLDLSYNPGLKILPKSICKIQNLLALKLDWCTGLQELPKKIEKLVNLTHLGCEACFRLTHMPHGIGKLTSLETLSRFVVDKDGSHGGADLSELRLLNNLRGRLEITNLGFVKNAKEKFKAANLKEKQHLRSLVLQWNVNVDIDDEDDDEKSLEDLQPHPNLKELFIAGWRGDAEFPSWISFLTNLVRIKIMGRGGKFKHLPSFAQFRCLKGLEIHDCTKLEYMDDNSPKGSQGEPQSFFPSLKHLLLVNCPNMKSWWRTIKPIDDDSNEDDTIVMGTSTMAFPCLSTLWIVNCPLTLMPLYPSLDDKLELRNTSSRPLKQTIKTNINAKAPSTSTSSLPLSKLKSFDVHNIEGLDTHTLDECLQHLTSLKRLTIGDCKEVDLEGIQWEPLKNLPHLEIDNIPKLVSLPIWLQHLVQLKTLKIRNCNGLRSLLPVFQQLTFLEVFEVKNCKELECLRVLKLNSIGIQKISPSICKLKHLRYLDLSYNPGLKILPKSICKIQNLLALKLDLCDGLQELPKKIEKLVNLTHLGCEAYFRLTHMPRGIGKLISLETLSMFVVDKDGSHCGADLSELRLLNNLRGHLEITNLGFVKNAKEKFNAANLKQKQHLRSLVLEWGFYFDDNKSLEDLQPHPNLKQLCIRG >KJB43505 pep chromosome:Graimondii2_0_v6:7:20854336:20855451:1 gene:B456_007G203600 transcript:KJB43505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFHVDHDDGDDELDHESIPNGAEYQRKNSGKREKRKKKKVKERETPHHTTAEGRTRATPCELPSKTPRK >KJB39430 pep chromosome:Graimondii2_0_v6:7:1018124:1022503:-1 gene:B456_007G013000 transcript:KJB39430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSKKKPNSKRSSSKPASSPMASPKHFHLKRFFILISILLPVCVIVVLGVSSNTSYKISSDHYSVKIYGFELVNEFPHDPRAFTQGLVYAGNESLYESTGLYKQSTVRRVAIQTGKVEVLHKMPDSFFGEGLTLLGERLFQVTWLTKIGFIYDTKNLQKLDRFTHQMEDGWGLATDGKILYGSDGTSTLYQIDPQTLKATRKQVIQFNGREVRYLNELEYINGEIWANVWQTDCIARISPKDGRMLGWILLPTLRQGLIAAGYNGIDVLNGIAWDSNKNRIFVTGKLWPKLYEIKLHPVRRQIDNKDIEQLCVP >KJB39429 pep chromosome:Graimondii2_0_v6:7:1017192:1022513:-1 gene:B456_007G013000 transcript:KJB39429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSKKKPNSKRSSSKPASSPMASPKHFHLKRFFILISILLPVCVIVVLGVSSNTSYKISSDHYSVKIYGFELVNEFPHDPRAFTQGLVYAGNESLYESTGLYKQSTVRRVAIQTGKVEVLHKMPDSFFGEGLTLLGERLFQVTWLTKIGFIYDTKNLQKLDRFTHQMEDGWGLATDGKILYGSDGTSTLYQIDPQTLKATRKQVIQFNGREVRYLNELEYINGEIWANVWQTDCIARISPKDGRMLGWILLPTLRQGLIAAGYNGIDVLNGIAWDSNKNRIFVTGKLWPKLYEIKLHPVRRQIDNKDIEQLCVP >KJB43873 pep chromosome:Graimondii2_0_v6:7:25587576:25588640:-1 gene:B456_007G220900 transcript:KJB43873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSEIICEDDGKWEAEEDEALSLCDLPVNLTKEERTQTKNEEDGEEPQALKTDEDFNFGSLCGSLSTELEMCAADEVFFQGQILPLRLSVSSDSGFTGWCRQDSLNTSRCLSRSESMDHGSLSRFTSASSSSSRSSSYNSITVTPRTFSSSSKPMKIRNNFNTHPSPKPHIRSSRTRPINVSSRTQKSSSMWDFFRLGLVRAPELELKDLKARSNNNNNNGNKNAVSRNSSCNSSNSSSSTKNSSSSKIVNKSGEVVKNPQDSNKGSLGKRIGSFSGCKCSVDAIGTVPLNNVIMTKSSKDNAAMEDKKKLLQELKTKKKRKGKQALSRNRTFEWLTELSHASYVDGALKKHS >KJB45819 pep chromosome:Graimondii2_0_v6:7:55307701:55307952:1 gene:B456_007G330800 transcript:KJB45819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKAEVQEYCTDSMSSSSRQKNVKTMKKLYRKLEDDDDDAYISQTKSMLGDASWTTSSTSAADLYPVSLTSGCWQNRDSTN >KJB46564 pep chromosome:Graimondii2_0_v6:7:60649879:60654076:-1 gene:B456_007G374600 transcript:KJB46564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALAPASPGGGSHESGEQSPKSNVREQDRFLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLSRYREGDAKGSAKGGDASAKKDVQPGPNGQLVHQGSFSQGVNYGNSQAHLMLPMQGTD >KJB46566 pep chromosome:Graimondii2_0_v6:7:60649470:60654432:-1 gene:B456_007G374600 transcript:KJB46566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALAPASPGGGSHESGEQSPKSNVREQDRFLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLSRYREMEGDAKGSAKGGDASAKKDVQPGPNGQLVHQGSFSQGVNYGNSQAHLMLPMQGTD >KJB46565 pep chromosome:Graimondii2_0_v6:7:60649470:60654373:-1 gene:B456_007G374600 transcript:KJB46565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALAPASPGGGSHESGEQSPKSNVREQDRFLPIANISRIMKKALPANGKIAKDAKETVQEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLSRYREGDAKGSAKGGDASAKKDVQPGPNGQLVHQGSFSQGVNYGNSQAHLMLPMQGTD >KJB45193 pep chromosome:Graimondii2_0_v6:7:50490751:50492438:-1 gene:B456_007G294800 transcript:KJB45193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVFQQDLFGYNSKDLYSLLGGNLSYEDIHFPHHNQKSDEANNNFVNGGDSYWVSSSSSPTSMAPPPPPDEATNNNVNGSSSSALDTSTSRPKRRRLKTRKNKEEIENQRMTHIAVERNRRRQMNEYLSVLRSLMPESYVQRGDQASIIGGAINFVKELEHCVQLLSAKKETKERPNGSSSGCSSPFGEFFTFPQYSTSSTRGDNNSIPMNESMVETRSAIADIEVTMVESHANLKIRSKKGPAQLLKLVSGLNSMRLNILHLNVTTVDQTVLYSLSVKVEDDCKLTSVDDIATAVNQLLGRIQEEAVLN >KJB45194 pep chromosome:Graimondii2_0_v6:7:50490805:50492347:-1 gene:B456_007G294800 transcript:KJB45194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVFQQDLFGYNSKDLYSLLGGNLSYEDIHFPHHNQKSDEANNNFVNGGDSYWVSSSSSPTSMAPPPPPDEATNNNVNGSSSSALDTSTSRPKRRRLKTRKNKEEIENQRMTHIAVERNRRRQMNEYLSVLRSLMPESYVQRGDQASIIGGAINFVKELEHCVQLLSAKKETKERPNGSSSGCSSPFGEFFTFPQYSTSSTRGDNNSIPMNESMVETRSAIADIEVTMVESHANLKIRSKKGPAQLLKLVSGLNSMRLNILHLNVTTVDQTVLYSLSVKVSNTIHRYIHTHIYIQFVDL >KJB46339 pep chromosome:Graimondii2_0_v6:7:59254009:59258837:-1 gene:B456_007G361200 transcript:KJB46339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGEAALSGFLDLLVGKSLDSALNFVADHYQLHQQLKQWQSILPDIQAVLDDAEGKQIKNMAVKKWLEDLQDLAYDVDDILDEFAYEKLRLNLQDTQAQARPSKIRKFIPERIIRSIFPPTSFLFQNSMIPKVKEITARLNSLTTRRSSLGLSEILSQAPTSKGKQPRLQPTSVLDGVVDYVGRHKEKTEMIEFLKGDNSNGVSVLSIVGMGGMGKTTLAQLVYNDATINQSFHHKAWVCVSDHFDAVNITRTILKSIDPDSRDEDDLNLLQVKLKEKLCGKRFLLVLDDIWNESYNDWTILRSPFGPGTSIIVTTRLQIVSSIVDPLKAFHLDKLSDDDCLSICTQHALKARNFNGHLQFKEIGEKIVRRCNGLPLAAKAIGSLLRTVKYHGKWERIYESEIWNLPEEQCGIIPALRLSYHHLPSYLKRCFAYCSILPKDYEFGEEEIILLWRAEGLLQQKAMPQIKDLGNQYFQDLVSRSFFQASSKDKSRFVMHDLINDLAQVVAGEICSKLEGDKKWKFSNRTRHSSYIAGRYDTVKKFEAFDQVNSLRTFLPLTFSSDYWRHYLTNVVLVDLLPRLGYLRMLSLSGYKITELPDVFENLKQLRYLNFSDTDIKCLPDSLCALYHLETLLLRRCSKLQRLPSKMENLVNLHYLGIRGAYLIERIPFRNDKLTKLQRLTDFIIGEGDGSYIRGLKYLSNLRGHFRLSGLENVNGEDAGEAKLNEKQGIHRLVFHWSEKFEKASRNKEVEEWVLDSLRPPKKLKKLVIQNYGGAKFSTWIADSSFENMLSLELRNCKNCKSLPSIGRLLLLKDLSISGLDQIHKIGAELFGENQSNAFASLESLCFDNMLNWEEWDLCEDDEQVSKFPSLRFLKIGKCPLLLGRLPTILQSLQTLEIYECKRLVVTISSFPLLCELRVEGCEELVDEGSLSVQKVTSLKGVSVSNISNFNISAERIMLRFANSETFYISGWKELGSLSQIGFRLVGHRFITIAGCPELVSLETEERLQLDKIPGVESLLIYNCERLRLPEALHAFPLITRIQLQNCPGLVCFAESNFPPALKELRIWTCVNLQYLVDEKENNNKSMRSNTCLLERLEISYCPSLIWFSSRGYICNRLQRLNIFHCPKLSRLFLNAKLPVMLKQLFIRDCPVLECIAQDFLETIDLESIGIRGAEKIKALPRGLDKLNHLQEIQLSGCPNMVSFEESGLPTTNLRVLSIEDCENFSALPKCINNFTSLRELKVWECSADISFPEEGFPTNLTSLAISNAPKIYTSLVEWGFNRLTFLQKLKISGEGRSNVVSFPEEGIGMRLPPSLTSISIENFENLEFMCSKGFQYLTSLQELRICDCPKLTSLPEKDMLLSLEWLYISNCPLVEEGFLLLHKDISANRFRHHCRHGIDPCRMNGGIQYTLQHGIRELNLT >KJB46502 pep chromosome:Graimondii2_0_v6:7:60523493:60524455:1 gene:B456_007G372600 transcript:KJB46502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGTAMHNIETTLGRGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSSGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPATPWGYPALGRRSRKRNKYSDNLILRRRSK >KJB41897 pep chromosome:Graimondii2_0_v6:7:10085917:10089621:1 gene:B456_007G126300 transcript:KJB41897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACYCIDSQWPPDELLVKYQYISDVLIALAYFSIPLELIYFVKKSAFFPYRWVLMQFGAFIILCGATHFINLWTFTMHTKAVAVVMTVAKVACAIVSCATALMLVHIIPDLLSVKTRELFLRNKAEQLDREMGLILTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRTGMNLQLSHTLNYQIQVGSTVQINLPIVNEVFNSARAVRIPYNCPLARIRPLVGRYVPPEVVAVRVPLLHLSNFQINDWPDLSAKNYAVMVLILPTDSARKWRDHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVDLDLARQEAEKAIHARNDFLAVMNHEMRTPMHAIIALCSLLLETELTPEQRVMIETVLKSSNLLATLINDVLDLSRLEDNNLELDFGMFDLHGIFREVINLIKPIASVKKLSMTMILAPDLPMFAIGDEKRLMQTILNVVGNAVKFTKEGYISIIASVAKPEALRDWRPPEFYPVSTEGHFYLRVQVLNCFI >KJB41898 pep chromosome:Graimondii2_0_v6:7:10085917:10089621:1 gene:B456_007G126300 transcript:KJB41898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLILTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRTGMNLQLSHTLNYQIQVGSTVQINLPIVNEVFNSARAVRIPYNCPLARIRPLVGRYVPPEVVAVRVPLLHLSNFQINDWPDLSAKNYAVMVLILPTDSARKWRDHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVDLDLARQEAEKAIHARNDFLAVMNHEMRTPMHAIIALCSLLLETELTPEQRVMIETVLKSSNLLATLINDVLDLSRLEDNNLELDFGMFDLHGIFREVINLIKPIASVKKLSMTMILAPDLPMFAIGDEKRLMQTILNVVGNAVKFTKEGYISIIASVAKPEALRDWRPPEFYPVSTEGHFYLRVQVKDSGCGVLPQDIPILFTKFAQPRSGNSSGAGLGLAICRRFVNLMGGHIWIESEGLDKGCTVTFLVKLGICSNPDDSAIHQASSQVRAYHGSADLSGQKPILRDHGHRAISSNPNARYQRSL >KJB41896 pep chromosome:Graimondii2_0_v6:7:10085856:10089626:1 gene:B456_007G126300 transcript:KJB41896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACYCIDSQWPPDELLVKYQYISDVLIALAYFSIPLELIYFVKKSAFFPYRWVLMQFGAFIILCGATHFINLWTFTMHTKAVAVVMTVAKVACAIVSCATALMLVHIIPDLLSVKTRELFLRNKAEQLDREMGLILTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRTGMNLQLSHTLNYQIQVGSTVQINLPIVNEVFNSARAVRIPYNCPLARIRPLVGRYVPPEVVAVRVPLLHLSNFQINDWPDLSAKNYAVMVLILPTDSARKWRDHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVDLDLARQEAEKAIHARNDFLAVMNHEMRTPMHAIIALCSLLLETELTPEQRVMIETVLKSSNLLATLINDVLDLSRLEDNNLELDFGMFDLHGIFREVINLIKPIASVKKLSMTMILAPDLPMFAIGDEKRLMQTILNVVGNAVKFTKEGYISIIASVAKPEALRDWRPPEFYPVSTEGHFYLRVQVKDSGCGVLPQDIPILFTKFAQPRSGNSSGAGLGLAICRRFVNLMGGHIWIESEGLDKGCTVTFLVKLGICSNPDDSAIHQASSQVRAYHGSADLSGQKPILRDHGHRAISSNPNARYQRSL >KJB45645 pep chromosome:Graimondii2_0_v6:7:53283306:53284864:-1 gene:B456_007G318000 transcript:KJB45645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAPICTWICFLTLVFSHLELSDEADVIGAEGSISDGETLVSSLETFQLGFFSPGKSRNRYLGIWYKNSPGAVVWVANRNNPIAEGKGVLTLSDTGNILLNQTNTVVWSTKVSGAARNPVAQLLDTGNLVLKDNKSMPESYLWQSFEHPSDTLLPGMKIGWNLKTGEERYLTSWTSADDPSLGSFTYRLDKNGLPQLFIDRGSVKRYRTGPWNGIGFGGVPAVPNLVFKPIVISNENEVYHTYEPASNSITMRLWLNQSGYLQRLIWEQKSNEWDVLYSAPFDKCGSYGSCGVNSICSSRRADACECIKGFISKSQESKNCLRESPLDCQKGEGFTRLDGVKVPDLLKIELNESLNPTGCEAECLKNCSCTAYANVNVSEGRTGCLMWFGDLFDITEVSDMYRGEDVFIRLSASGLGLTHDSKKKNRMAIILVSIISSAIILGLISFIIWKRFEVKHHRSFMFMNK >KJB40620 pep chromosome:Graimondii2_0_v6:7:5020110:5021125:-1 gene:B456_007G0714001 transcript:KJB40620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIIYQLIASSTLLSLGLYHLICTTRNFLKSPQSYSAKPFYPFPFSSNLRLKHLPLYLLMLCLFIAFLHQSFISSDPDPLVKGRTPVYHFITLNSAAVLFLFLILSLSFFLSESTSLLPLPPDLFFAFASAIFFLHYSVSDGAASVQTSDLQAKCDSLSARVSALASLMCLILACQPKLFIAEVGLGASLCLQGLWVLQTGLSLYVEAFIPEGCHKLLDVVSGVEGSTKCDLDESRLRAVAILDLVFVVHVMFVIIFVMLTYAVVAKSVG >KJB41034 pep chromosome:Graimondii2_0_v6:7:6304440:6308060:1 gene:B456_007G087800 transcript:KJB41034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENMKQLQQSLIELETEAEHLLLARHQLVENDKVRNGNREALTALRKRARTTKTSVPSPFESMMKNIGNPASRPLVKEVCATCGNHDSNEQTWMMFPGTDVFAKIPFHAAHSILETDQARLDHEAQKLQSYVKEKSFFISEKGVLADKISPGVLRSLVALKDKP >KJB41035 pep chromosome:Graimondii2_0_v6:7:6304591:6307152:1 gene:B456_007G087800 transcript:KJB41035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENMKQLQQSLIELETEAEHLLLARHQLVENDKVRNGNREALTALRKRARTTKTSVPSPFESMMKNIGNPASRPLVKEVCATCGNHDSNEQTWMMFPGTDVFAKIPFHAAHSILETDQARLDHEAQKLQSYVKEKSFFISEKGVLADKISPGVLRSLVALKDKPW >KJB41036 pep chromosome:Graimondii2_0_v6:7:6304697:6308063:1 gene:B456_007G087800 transcript:KJB41036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENMKQLQQSLIELETEAEHLLLARHQLVENDKVRNGNREALTALRKRARTTKTSVPSPFESMMKNIGNPASRPLVKEVCATCGNHDSNEQTWMMFPGTDVFAKIPFHAAHSILETDQARLDHEAQKLQSYVKEKSFFISEKGVLADKISPGVLRSLVALKDKP >KJB46116 pep chromosome:Graimondii2_0_v6:7:57893387:57894787:-1 gene:B456_007G348700 transcript:KJB46116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFPIRIISLALFSISFLPSLNNGQLARHSVLTRAKIVYHGGPILIETINVALIWYGNVSLNKKEAIANFLKSLNTDGDFSLQPQVTKWWKMVESYQAMLPGAKHDEVPKIIVNVAKQINDTSYKYGRNLTIGDFIPKIVKEHTKEAKNMLPIIITAKDVNMEGVCTKTCAYNGIVGNITLYIILGNPELECPRKCEWPFTQIDSGPKSILFLQPPNLDKAADAMVIALATTLADTVTNPLNTGFYDGPPKNSIGAGTACKAIFGSGAFPGNPGKVHIDAHTGGSFNAHGIKDQKFLLPAIWNPKTSSCWTLM >KJB43784 pep chromosome:Graimondii2_0_v6:7:23957701:23962142:-1 gene:B456_007G215800 transcript:KJB43784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKTWLWRKKATEKIILATDKLNPSLKDNEDEIQTLKADRRELENELKVLNNKLSSALPDCNSKDEVVKKHEKMAMEAVVGKEKVEAEAVILKQERDEALQKRAACEERLNHLDTALKQCMQQLQFVREEHEQRIHDAIMKASKEFEKLKTILEAQLAESSKRVTKLGVENTNLSRALLAKEKVIDDLNNQRAQMETDFIALMAKVESTEKENASLKYEVRVLEKELDIRNEEREFNRRTAEASHKRHLESVKKIAKLESECQRLRLLVRKRLPGPAALAKMKNEVEMLGKESIEMSRRKLNPSPTSPGRDFVVGNYSDSPRKGTNILNEQLCAMVEENKALKEALNKKMNELHFSRVMYACTASKLSEVESHTEESSKSEVNNEATRNSALPHEVSLASMSDVGSDDKASCAESWASALISELEQCRNRQLRESPLRKTVGSSEINLMDDFVEMEKLALVSADQFSGNSHVSSDDANGTLGPMQTGTSGSSLEVICKEIVPVPDSQPDRSMLNNEMKSESPLLSKECGWLRDILKVILEQNRATQRNPNEILEDIRKSLACMSYPNTSEMFDEREGSDHPNGFNPSCISGYIAWKPSNGSSKMDSSSNGDADVNICSDRKSDPSFHPDLSKSICRIIELIEGISTSSTDNNILESLFKERNSLSYKHSETPSDYMVRVFQWKTSELSAVLQQFVQACYDLLKGKTDLNTFTQELTSALDWIINHCFSLQDVSSMKDAIKKHFNRDESRTESEAEVGIVSQFVEADKSHLAVPNGNDDFLQKKETSVTEENRKLKDESVNKETAEKDLEYKLQSTTDRSDSISNQLEESGKTIANLQAELDTLRRTEEMVEGQVEKHEPTNENPDDELSSTIVELNEACPKSPQDLKSENKNYGHEELEVTRLDSVTEKEIPNPEIKHHENQFRTVSSYHMYAGLGDRSCFRKVGRVPRDYIKLGKAVESIGIYKGSSPFRQHCF >KJB43785 pep chromosome:Graimondii2_0_v6:7:23957701:23962285:-1 gene:B456_007G215800 transcript:KJB43785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKTWLWRKKATEKIILATDKLNPSLKDNEDEIQTLKADRRELENELKVLNNKLSSALPDCNSKDEVVKKHEKMAMEAVVGKEKVEAEAVILKQERDEALQKRAACEERLNHLDTALKQCMQQLQFVREEHEQRIHDAIMKASKEFEKLKTILEAQLAESSKRVTKLGVENTNLSRALLAKEKVIDDLNNQRAQMETDFIALMAKVESTEKENASLKYEVRVLEKELDIRNEEREFNRRTAEASHKRHLESVKKIAKLESECQRLRLLVRKRLPGPAALAKMKNEVEMLGKESIEMSRRKLNPSPTSPGRDFVVGNYSDSPRKGTNILNEQLCAMVEENKALKEALNKKMNELHFSRVMYACTASKLSEVESHTEESSKSEVNNEATRNSALPHEVSLASMSDVGSDDKASCAESWASALISELEQCRNRQLRESPLRKTVGSSEINLMDDFVEMEKLALVSADQFSGNSHVSSDDANGTLGPMQTGTSGSSLEVICKEIVPVPDSQPDRSMLNNEMKSESPLLSKECGWLRDILKVILEQNRATQRNPNEILEDIRKSLACMSYPNTSEMFDEREGSDHPNGFNPSCISGYIAWKPSNGSSKMDSSSNGDADVNICSDRKSDPSFHPDLSKSICRIIELIEGISTSSTDNNILESLFKERNSLSYKHSETPSDYMVRVFQWKTSELSAVLQQFVQACYDLLKGKTDLNTFTQELTSALDWIINHCFSLQDVSSMKDAIKKHFNRDESRTESEAEVGIVSQFVEADKSHLAVPNGNDDFLQKKETSVTEENRKLKDESVNKETAEKDLEYKLQSTTDRSDSISNQLEESGKTIANLQAELDTLRRTEEMVEGQVEKHEPTNENPDDELSSTIVELNEACPKSPQDLKSENKNYGHEELEVTRLDSVTEKEIPNPEIKHHENQFRTDWEIAAASEKLAECQETILNLGKQLKALASTKEAVLFDNIVSSPTLTAIPTPPRKKMTSQRSSLLDQMIAEDNAETNDLASPKSKEKGNPTSSFISNRTVAPPDSIAVLSGEKIQEDNAVVKSLAIVPSKKQGGSLWKKLLWRKTKSKSKKTSLPFAS >KJB45780 pep chromosome:Graimondii2_0_v6:7:54674155:54677135:-1 gene:B456_007G327500 transcript:KJB45780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNSKIFLLIPLFLINSIASSKADSGQKCSNISSLVGFESNFTMVRHQLRGHLKILNGYSFQVTGFDMLSGSSDVIFWGAVSFNFSDLTSGFPISVQLLPDITWDQINILSIWDIASTSDFGHVTLPRNGSDSVSGSMHTVFDNCKNLSDNYRVRWNLNDEGVDVTVASFTEEGRPFVDDFYITAYSECKLSSKDRTAIGVCPDVVYENSKNGMMVNNTRLVYGHRRDGVSLIMFRKPLNSTDKKLHFSHLCLKCYVLVTYVIVLLRSGPFTIELHYLPNGSPTWQFKWVLNANLDKYDLPVYPNEDMRVIWALGLMKPPKENRSHFLPQFHGDPEKKLERGVPVKFLAQAGHAVALYITSDSLGGNATLRNATETIYSGGPEAEGVVANPHELIWVPDRNTPDQVYYQSLYQEKMGWKVQVFDGALSDMYNTSVLLDDQVTFFWTSSEDSITIAARGVNKSGYLAIGFGNGMVNSYAYVGWIGDSGKGHLNTYWIDGKHPLNIHPTNENLTHVRCRSEDGIITLEFTRPLKPSCNQNDKPQCKNIVDPTTPLKVIWAMENMHTVTSQRPVQVLLIRGSSNAEQGLQPVKAVHGFMLFLSWGILIPGGILAARYLKHVKGDGWYQIHIYLQYSGLAIILLGVLFEVAELRGFYVSSLHVKLDRTKPANGEDASTKRLIWEYFHVIIGRGAIAVGIAALFTGMKHLGEKYRVENVHDLSWVLIIWFLIAALTCKSDSMERFNLNTSSQVHI >KJB45980 pep chromosome:Graimondii2_0_v6:7:56786169:56790676:-1 gene:B456_007G342500 transcript:KJB45980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMCSESCDQIVLEKDQTVENESKPSPKSHIEILEENTDSMDEDTISNMNQEISSAQGPTLPILQKVVNLSNSVQNLKKEHEILSDQVKSFPGHDIVGTLQLLNNEYDLLKKKYLDESSERKRLYNEVIELKGNIRVFCRCRPLNQVEIANGSSSVVEFDSSQDTELQIVSSDSSKKQFKFDHVFRPEDGQDAVFARTKPIVTSVLDGYNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLEELFRVAGERGGVMKYELFVSMMEVYNEKIRDLLGESSNQPTKKLEIKQAAEGTQEVPGLVEACVNSTEEVWELLKSGNRVRSVGATNANELSSRSHCLLRVTVRGTNLINSQKTKSHLWLVDLAGSERVGKIEVEGERLKESQFINKSLSALGDVISALASKTAHVPYRNSKLTHMLQSSLGGDCKTVMFVQISPSSADLGETLCSLNFASRVRGIESGPARKQVDLTELYKYKQMAEKLKHDDKETKKLQDNVQSLQLRLSAREHICKSLQEKVRDLENQLAEERKTRLKQESRAFAAAASTRPSLKQATENTRTDKKPPLGPSKLRLPLRRITNFMPPASPMATKGKATRISLVTAPPSDDKENNSETVMAPPTNTKNLLKPRRTSIAVKPPIKTQGFQPKRRVSIATLRPDSHMTTTPLRRSASGFNNVGTMERPSLARDPRKARYSRLFSPLPEFSNPSETSPAAMRSSSKFMGSPPAAQAGSLKPRHTAVVALQRKSLVWSPLKLKGMQSSYRKSSFLPLRHSSDKE >KJB40079 pep chromosome:Graimondii2_0_v6:7:3195823:3199236:-1 gene:B456_007G045800 transcript:KJB40079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAALSFVVERLPDILEEIDFHTDVRKHVERLQEELVRMRCFLKDAEAKQDDDERVRNWVSDIRNVAYDAEDLIDTFLLRMESLKKNNFFKRSASVFKEWKHRSNIANELLQIQGRIANISASRETYGIRNIGEGISTARERLRKLRRSSPRGEEKDIVGLDEDIDKLVKQLVKTDDQWHAISIVGMGGIGKTTLAKKVYNHGDIQARFPSRAWVYVSQEFSRKDLLEAIIKQVGSPRRKLESFGEEELEGILFEHLRRKRYLVVLDDVWSTEAWNSIAKAFPDRSNGSRVMLTTRNKGIALKADAQSIPYHLNFLSEEDGWMLFCKKAFIHNNDSYGSPQLEETGKEIVAKCAGLPLALIVVGGLLSTKKNLGEWKRVLSNMHSLFARDADGVSAILALSYNDLPYYLKSCFLHLGQFPEDRLIPSHKLFRLWIAEGLIPQQGERMEDVAEDYLNELIERNMVQVAKWSVNDRVKQCRLHDLLRDLSLSKAKAETFHEIQGYQNIQPSAKSRRHAIYSTFQWPQCKYSNPQLRSLLFFRVDHNQSQVNYYINDPYKMEVSDLDYIGKSFKLLRILELEGIPCSKVSSIIGVLIHLKYLGLKETNLQELSPSISSLRNLQTLDVAANLHLKIIPNVIWKLIKLRHLYMCGHKYGGPLRVDTLLHLQVLSEINVEKWMQNNPAKLTSLRKLGIRGNFSFKATEIFNSILALVQLQSLYLRAEDADFPPLTQLSALQNLIKLHIRGTVRKLPSSHEFPPNLSQLTLEHTHLKQDSVRVIENLPRLLILRLKAHSYDGQKMTISINGFTQLEFLEFHSLESLEELYLEEGAVLRLRSFRIINCVNLKMLPEGMKSLGALRELDIEEMPKAFVDRVRAEDFYKVQHVPSILFV >KJB42986 pep chromosome:Graimondii2_0_v6:7:16599474:16599932:1 gene:B456_007G178400 transcript:KJB42986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFLGSLEDMRAVFNKFDKNGDGKICRDELKSTLSALGFAFSSDEVDLIMLEMDKDGNGYIDVEEFIAFHGISGIDGDEKQCDNKELKDAFDIYDLNKDGLISANELHAVLKRLGEKYSLSDCQRMISQVDKDGDGSVDFEEFKKMMMNNS >KJB39813 pep chromosome:Graimondii2_0_v6:7:2178231:2179620:1 gene:B456_007G031700 transcript:KJB39813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLTSGTIGGVAQLIVGHPFDTIKVKLQSQPAPLPGQPPKYAGAMDAVKQTLAAEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMEALLRSEPGATLTVGQQVICGAGAGVAVSFLACPTELIKCRLQAQSVLADSASAGVAVKYGGPMDVARHVLRSEGGVRGLFKGMVPTLAREVPGNAVVFGVYEALKQYMAGGPDTSKLGRGSFIVAGGLAGAAFWLTVYPTDVIKSVIQVDDYKNPKYTGSINAFRRIFASESLKGLYKGFGPAMARSIPANAACFLAYEVTRSSLG >KJB39812 pep chromosome:Graimondii2_0_v6:7:2177130:2179583:1 gene:B456_007G031700 transcript:KJB39812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLTSGTIGGVAQLIVGHPFDTIKVKLQSQPAPLPGQPPKYAGAMDAVKQTLAAEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMEALLRSEPGATLTVGQQVICGAGAGVAVSFLACPTELIKCSRLQAQSVLADSASAGVAVKYGGPMDVARHVLRSEGGVRGLFKGMVPTLAREVPGNAVVFGVYEALKQYMAGGPDTSKLGRGSFIVAGGLAGAAFWLTVYPTDVIKSVIQVDDYKNPKYTGSINAFRRIFASESLKGLYKGFGPAMARSIPANAACFLAYEVTRSSLG >KJB39811 pep chromosome:Graimondii2_0_v6:7:2177088:2179620:1 gene:B456_007G031700 transcript:KJB39811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLTSGTIGGVAQLIVGHPFDTIKVKLQSQPAPLPGQPPKYAGAMDAVKQTLAAEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMEALLRSEPGATLTVGQQVICGAGAGVAVSFLACPTELIKCRLQAQSVLADSASAGVAVKYGGPMDVARHVLRSEGGVRGLFKGMVPTLAREVPGNAVVFGVYEALKQYMAGGPDTSKLGRGSFIVAGGLAGAAFWLTVYPTDVIKSVIQVDDYKNPKYTGSINAFRRIFASESLKGLYKGFGPAMARSIPANAACFLAYEVTRSSLG >KJB45964 pep chromosome:Graimondii2_0_v6:7:56606179:56608929:-1 gene:B456_007G340700 transcript:KJB45964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NDLVDPSNRLSSWVEGGDCCKWLGVVCHNTTGHINQLHLAAPLSVPHFDASVAEWETYHTSKNNSTLRGKINSSLLELKHLSSLDLSNNNFRSNIPKFLGMLWSLTYLNLSHAQFQGGIPHNLGNLSKLQYLNLGGNDLKPRIPMSIFCLHGLVSIDLSGNSLEGPIPDYFRNISFLEILDLSRNSLNSSTPNSLFSLNHLQFLNLSSNEIDQDISEILLSLSRCCLDCLESLDMAHNHLFGHLIDQLGHFKNLAHLSLAGNNISGPIPLSIGELSSLKFFDVSENQLNGTFPLCFGQLESLETLNLGFNLLEGVVSETHFSNLTRLTTLEASQNRLRFEPKSSWIPPFQCRIIKLSQWHLGPKFPRWLKFQKNLSVLDISEAGISDILPTWLLNLSTQFEYVNLSCNQLTGGISYLHVREIVDLRSNRFTGPLPRVFPTLQHLILSNNSFSGPLFELVCNSLREGPMECLAIERNLLSGEIPDCWNHWRGLGYLNLEDNNLTGKIPPSLGRLNLLVLSLRNNGMFGELPSTLQLSTSLIMLDLSDNHFSGSVPAWIGDKLSKLEMLSLRSNNFNGHIPQKICQLQSLRILDLGDNNISGAIPKCFSNLSAMANKGNQKSYMFQWSSISTNNFFYLRAFLVLKGRQYVYSTTLGLVTSMCLSTNRLIGEIPKELGSLVELRSLNLSRNLLIGNIPDEIGNMKLESLDLSMNQLNGEIPSSFSNLNFLNCFNVSYNNLTGRIPTSTQLQSFENLSYMSNHLCGPPLSKDCSTNSTPTDVANNGSRSEGSNVYWLYVSIVIGFVMGFWGVVAPLFFIRSWRNAYYQKLDYVGRKLYMSWATPGR >KJB43997 pep chromosome:Graimondii2_0_v6:7:28010101:28013472:1 gene:B456_007G228800 transcript:KJB43997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVATTTTSTAATTETFKPYTLSQTLAVHKNALSSVKFSSDGSLLASSSADKTLRTYSLSPTASTLTPIHEYSGHDQGVSDVAFSSDSRFLVSASDDKTLRLWDVPTGSLIKTLHGHTNYVFCVNFNPQSNMIVSGSFDETVRVWDVKTGKCLKVLPAHSDPVTAVDFNRDGSLIVSSSYDGLCRIWDAGTGHCMKTLIDDENPPVSYVKFSPNGKFILVGTLDNTLRLWNFETGKFLKTYTGHVNSKFCISSSFSITNGKYIVSGSEDNCVYLWELQSRKVVQKLEGHTDAVISVACHPTRNMIASASLGNDKTVRIWTQEKE >KJB45828 pep chromosome:Graimondii2_0_v6:7:55472100:55475496:-1 gene:B456_007G332000 transcript:KJB45828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYKPKNILITGAAGFIASHVANRLVRNYPEYKIVVLDKLDYCSNTKNLLPSKLSPNFKFVKGDIESADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKTLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGRVYNIGTKKERRVIDVARDICKLFSMDPETSIEFVENRPFNDQRYFLDDQRLKNLGWSERTVWEDGLKKTIKWYTQNPDWWGDVTGALLPHPRMLMMPGSTHFDSEDGKETSYVSGPNQTRMVVPTPKGGSSPQKQSLKFLIYGRTGWIGGLLGQLCEKQGIAFEYGKGRLEDRSSLNADIQNITPTHVFNAAGVTGRPNVDWCESHKTETIRANVAGTLTLADVCRDHGLLMMNFATGCIFEYDTAHPQGSGIGFKEEDKPNFIGSFYSKTKAVVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISPITRWMHPS >KJB45830 pep chromosome:Graimondii2_0_v6:7:55472100:55475496:-1 gene:B456_007G332000 transcript:KJB45830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYKPKNILITGAAGFIASHVANRLVRNYPEYKIVVLDKLDYCSNTKNLLPSKLSPNFKFVKGDIESADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKTLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGRVYNIGTKKERRVIDVARDICKLFSMDPETSIEFVENRPFNDQRYFLDDQRLKNLGWSERTVWEDGLKKTIKWYTQNPDWWGDVTGALLPHPRMLMMPGSTHFDSEDGKETSYDWLDRWFTWSVMRETRYCLRIWKRTLGRSFITQCRYSKYNADPCV >KJB45829 pep chromosome:Graimondii2_0_v6:7:55472915:55474555:-1 gene:B456_007G332000 transcript:KJB45829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYKPKNILITGAAGFIASHVANRLVRNYPEYKIVVLDKLDYCSNTKNLLPSKLSPNFKFVKGDIESADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKTLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGRVYNIGTKKERRVIDVARDICKLFSMDPETSIEFVENRPFNDQRYFLDDQRLKNLGWSERTVWEDGLKKTIKWYTQNPDWWGDVTGALLPHPRMLMMPGSTHFDSEDGKETSYVSGPNQTRMVVPTPKGGSSPQKQSLKFLIYGRTGWIGGLLGQLCEKQGIAFEYGKGRLEDRSSLNADIQNITPTHVFNAAGVTGRPNVDWCESHKTETIRANVAGTLTLADVCRDHGLLMMNFATGCIFEYDTAHPQGSGIGFKEEDKPNFIGSFYSKTKAVVTTLDIFLFDSGFVCVLINSLQYFPG >KJB45831 pep chromosome:Graimondii2_0_v6:7:55472100:55475591:-1 gene:B456_007G332000 transcript:KJB45831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYKPKNILITGAAGFIASHVANRLVRNYPEYKIVVLDKLDYCSNTKNLLPSKLSPNFKFVKGDIESADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKTLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGRVYNIGTKKERRVIDVARDICKLFSMDPETSIEFVENRPFNDQRYFLDDQRLKNLGWSERTVWEDGLKKTIKWYTQNPDWWGDVTGALLPHPRMLMMPGSTHFDSEDGKETSYVSGPNQTRMVVPTPKGGSSPQKQSLKFLIYGRTGWIGGLLGQLCEKQGIAFEYGKGRLEDRSSLNADIQNITPTHVFNAAGVTGRPNVDWCESHKTETIRANVAGTLTLADVCRDHGLLMMNFATGCIFEYDTAHPQGSGIGFKEEDKPNFIGSFYSKTKAVVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKKNLRGIWNFTNPGVVSHNEILEMYKTYIDPKFKWENFTLEEQAKVIVAPRSNNEMDASKLKKEFPELLSIKESLIKYAFEPNRRT >KJB42713 pep chromosome:Graimondii2_0_v6:7:14517418:14517891:1 gene:B456_007G164800 transcript:KJB42713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKEALKCQHNTVITHWEPPSKSQVKVNFDSAFLQQSNKAVSGIIIRNYTGLVIGLCSYLIHNVRYLTIAEAYTCLKGAVFAEEIGFSDVIMEGDSRIVIKKLQNHGNDRSAIRGVINKIIAMSRIFWNIEFRFIPREANGAAYAMAAWGRGSNFLTF >KJB44028 pep chromosome:Graimondii2_0_v6:7:28919824:28925069:-1 gene:B456_007G230800 transcript:KJB44028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMALRRLSSSIDKPLRPFFNAPSLYYMSSLPNEAVYEKEKPGVTWPKQLNAPLETVDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSIMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFRLDPEKWGVNVQPLSGSPSNFQVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNENTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAIMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKGINKQGKEVLYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYKAYQEQVLSNCSKFAQTLAAKGYELVSGGTENHLVLVNLKNKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVKVAEYFDAAVNLAVKIKAETTGTKLKDFVATLQSAHFQSEVAKLRQDVEEYAKQFPTIGFDKETMKYKN >KJB46309 pep chromosome:Graimondii2_0_v6:7:59047686:59050455:-1 gene:B456_007G359600 transcript:KJB46309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNMMMVNAKTNSFLFKNQSHSPMDQPHHHHHHHQSGGFVSRRGSRRSPHGADLFPTSPDVPPIGDAIYLAAHPQHPLSQTRLSDLFTCGACKEYGAGERFTCSECDYQLHDFCALAPDSLKRHPIHPLHNIILNRKPVRSGLLKSRCDICAKTTKGCVFKCNACSFQMHPCCAMLSTEINISVHPHMLRLLPSPSTADPFSFSCGECNRKRSGRVYHCTTCDYHLHAVCAKNMVNGLEANGIKGMAKSSKFGTAVRVASQVVIEFIGGLIEGLGEGVGQVLIQTAVRGR >KJB46552 pep chromosome:Graimondii2_0_v6:7:60623622:60624713:1 gene:B456_007G374100 transcript:KJB46552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 11 [Source:Projected from Arabidopsis thaliana (AT5G03170) UniProtKB/Swiss-Prot;Acc:Q8LEJ6] MRKLLAFAFLFFFFWDKTLAQIAPAPPLKVDNITSILEKGGQFTTFIKLLKATQVADQLNNQLSTPDPNDGITVFAPSDNAFSGLKSGTLNSLSDQEKLQLVQFHILPTLMSTSQFQTASNPLRTQAGDVKGGKFPLNVTAEGNQVNVTTGVVNATVENSVFSDRRIAVYQVDKVLLPLEIFGTAPEPAPAPVVPENGGLVSSPKTAVKGADAAGAVSLKFHALAMGFSSFAVFWAILGGF >KJB41251 pep chromosome:Graimondii2_0_v6:7:7483392:7483997:-1 gene:B456_007G100900 transcript:KJB41251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLSQNLEEYSASSTTIKFDRPLPLLRGPIPAGTSDDPSSGPYILAFKDLPSWAAAYKSCESKIISQCEEGARIGCAITASNKCQPPWWQSLIGWKSMDLKERERCEDIEMEACLVAAKEKCIDFAKEKCTTPFLNARIAVGEKEIMNKRVERMVHAASLPEESKWVYFIGSDNLGGSEPRVTNYRASQYLGTDSELQT >KJB44093 pep chromosome:Graimondii2_0_v6:7:30005330:30007363:1 gene:B456_007G233700 transcript:KJB44093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDENQQVKYSKPADILDLSVVSSADDSRVTTQIQKQIKQGEAMCEEEWRIRGELESEIERDLEEEIKDGIYHLAFRLHRLYQHKRERNADGISESGHKQKDKTLSEVNICIKMEGGTKIEIKETKKEAPNDGKGQPIRRRSSRSRNGKGMLGSKSNGKKFDWAKSLRAGTDPEAKVPCNGRGFSMNRNLENTRRKLVSATGSVLRKGNIGVNNKVLELGWKW >KJB43039 pep chromosome:Graimondii2_0_v6:7:17088575:17089594:1 gene:B456_007G180900 transcript:KJB43039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTCLHPNLNPCFKPLISFPSQNPNSFPFKSLIASPKTLSFVKPIISLQVKANSPALSDELPLDASPSQSSSGKEKLGVVVKPLEKPRVVLKFIWMEKDIGMALDQVIPGHGSIPLSPYYFWPRKDAWEELKVLLESKPWISHMQRIHLLNQATDIINLWQTSGGNLT >KJB43040 pep chromosome:Graimondii2_0_v6:7:17088602:17089594:1 gene:B456_007G180900 transcript:KJB43040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTCLHPNLNPCFKPLISFPSQNPNSFPFKSLIASPKTLSFVKPIISLQVKANSPALSDELPLDASPSQSSSGKELGVVVKPLEKPRVVLKFIWMEKDIGMALDQVIPGHGSIPLSPYYFWPRKDAWEELKVLLESKPWISHMQRIHLLNQATDIINLWQTSGGNLT >KJB45453 pep chromosome:Graimondii2_0_v6:7:52018280:52025359:-1 gene:B456_007G306600 transcript:KJB45453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFISRKIFPACGSMCVCCPALRSRSRQPVKRYKKLLSEIFPKSPDSPPNERKIVKLCEYAAKNPFRIPKIAKYLEERCYKELRYEHIKFINIVTEAYNKLLCMCKAQMAYFAVNLLNVVTELLDNSKQDAMRILGCETLTSFIYSQVDGTYTHSIEKFVHKVCKLAREDGEEHQRRCLKASSLQCLSAMVWFMAQYSYIFAALDEMVYATLDNYELDTRIDDDNEREPHHNWVDEVVRCEGRGAIVVCDSSPSNMIIRPQPEKKDPSLLTREEIETPKVWAQICIQRMVELAKESTTLRQVLDPVFVYLDSRRHWVPQQGLAMVVLSDMLYWEASGNHQLILGAVIRHLDHKNVSHDPQLKSNIVQVAAALARQTRSRGVLAETGFVSDLCRHLRKSFQATLESVGQQESNLNILLQNSIEDCLLEIAKGIDNALPLFNMMAISLEKLPSSGIVARATIGSLMVLAHMISLALISSRLQQVFPEDLLVQLMKAMLHPNVEVRMGAHHIFSALLIPSSSHPRHEVASLRSGFVYEPRRWRSTNASAFASISALLEKLRREKDGIKLEKNGCNIHEDFKGKDNMEEDSKQGLVVKSSRNIYTITSIIDRTAASNMVEAEPYIMKLTEDQIMQLLSGFWIQATLSDNLPSNIEAISHSSMLTLISLRLKNINDNLVVRFFQLPLSLKDISLDPSNGTLTPAFQRSILMLSMSMLMFAAKIYQIPDLIDLIKSIVPFDADPYLGINEDFQVFVRPQADVKCYGLVSDNQLASSLLLELRDKIDESNNILMDILVRNLSTITELEIDDLTKQLTEPFTPDDAFMFGPRSILDLDHNQMTPYSKESLSFDEDVQTSQLLEDDARSEASVLDLSHFIPKAPASPSISNVINIGQLLQSALEVAGQVAATSVSTSPLPYDTMASQCEAFGTGTRKKLSNWLAHENHQNEAANKTILTTDAADRHVMMLKKISNGNAFNGAVLQLDPCLSMRLPPASPFDNFLKAARH >KJB45454 pep chromosome:Graimondii2_0_v6:7:52018274:52024639:-1 gene:B456_007G306600 transcript:KJB45454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFISRKIFPACGSMCVCCPALRSRSRQPVKRYKKLLSEIFPKSPDSPPNERKIVKLCEYAAKNPFRIPKIAKYLEERCYKELRYEHIKFINIVTEAYNKLLCMCKAQMAYFAVNLLNVVTELLDNSKQDAMRILGCETLTSFIYSQVDGTYTHSIEKFVHKVCKLAREDGEEHQRRCLKASSLQCLSAMVWFMAQYSYIFAALDEMVYATLDNYELDTRIDDDNEREPHHNWVDEVVRCEGRGAIVVCDSSPSNMIIRPQPEKKDPSLLTREEIETPKVWAQICIQRMVELAKESTTLRQVLDPVFVYLDSRRHWVPQQGLAMVVLSDMLYWEASGNHQLILGAVIRHLDHKNVSHDPQLKSNIVQVAAALARQTRSRGVLAETGFVSDLCRHLRKSFQATLESVGQQESNLNILLQNSIEDCLLEIAKGIDNALPLFNMMAISLEKLPSSGIVARATIGSLMVLAHMISLALISSRLQQVFPEDLLVQLMKAMLHPNVEVRMGAHHIFSALLIPSSSHPRHEVASLRSGFVYEPRRWRSTNASAFASISALLEKLRREKDGIKLEKNGCNIHEDFKGKDNMEEDSKQGLVVKSSRNIYTITSIIDRTAASNMVEAEPYIMKLTEDQIMQLLSGFWIQATLSDNLPSNIEAISHSSMLTLISLRLKNINDNLVVRFFQLPLSLKDISLDPSNGTLTPAFQRSILMLSMSMLMFAAKIYQIPDLIDLIKSIVPFDADPYLGINEDFQVFVRPQADVKCYGLVSDNQLASSLLLELRDKIDESNNILMDILVRNLSTITELEIDDLTKQLTEPFTPDDAFMFGPRSILDLDHNQMTPYSKESLSFDEDVQTSQLLEDDARSEASVLDLSHFIPKAPASPSISNVINIGQLLQSALEVAGQVAATSVSTSPLPYDTMASQCEAFGTGTRKKLSNWLAHENHQNEAANKTILTTDAADRHVMMLKKISNGNAFNGAVLQLDPCLSMRLPPASPFDNFLKAARH >KJB43487 pep chromosome:Graimondii2_0_v6:7:21457757:21459917:-1 gene:B456_007G206400 transcript:KJB43487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHLKFLNVLSQLITQPAIRSCDLIQGSKRGSKNDAPRSNKSSKNESSRRVGGSAMLMEKLDVIRSRNSWDLIACAFGYISLYYVKYIHNEPYITSFQMREKWMEELLDGHEKHCSNMFRMTQSTFHQLCMDLKRKEPPIKMSKSEFKEVLDAMDGLSRDIIRPRDLEFKEIPSQIANDTKYMPHFKDCIGAIDGTHIDVIIRKENQLCYRGRKGTPTINVLAACDFDLFFTYVLIGWERLAYDSRIFLNIIWNPSLNILKPPLSKFLHFS >KJB42428 pep chromosome:Graimondii2_0_v6:7:13037453:13041272:-1 gene:B456_007G152500 transcript:KJB42428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISRRFFFSSFTLLLVMLFVCVNGSAEKTELNSRLAEGNKLQGLKNSSTADGLDDDKWKNEHAVDNPEEIASMVDMTIKNSTARRNLGYFSCGTGNPIDDCWRCDRRWYRRRRRLASCGIGFGRNAIGGRDGRYYVVTDPGDDNPVNPKPGTLRHAVIQDRPLWIVFKRDMVITLKQELIMNSFKTIDARGVNVHIAYGACITIQFVTNIIIHGLHIHNCKPTGNAMVRSSPSHYGWRTMADGDGISIFGSSHIWIDHNSLSNCADGLIDAVMGSTAITISNNYFTHHNEVMLLGHSDSYVRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPVNPFAKEVTKRVDTYSDEWKHWNWRSEGDLLLNGAYFTPSGAGRAASYARASSLSAKSSFLVGTLTSNAGALACRPGRMC >KJB41090 pep chromosome:Graimondii2_0_v6:7:6578378:6578944:-1 gene:B456_007G090200 transcript:KJB41090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARHFKHPDTKALIEVSCQTTLYPALCIKCLARYANSNVRNEQQLAQVALTVSLYKARSTRSYMLKVAKELESIKAKECPALRDCLQQIDDSINQLSHSIRELRRFDLKAGMTDDLFWHIDNVDTWISAALTDASNCVDELPGHRMSKMKATIKGKVMNVAQLTSNALALFHRYAARYRSATNKKP >KJB44769 pep chromosome:Graimondii2_0_v6:7:45865443:45866090:-1 gene:B456_007G271900 transcript:KJB44769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQGKTNGERPSMGKSRKGCMRGKGGPENAMCRYRGVRQRTWGKWVAEIREPNRGSRLWLGTFNTSFEAALAYDAAARKLYGSSAKLNLPQPHDDHQTPCFTSLPGNFVASCKETGITMRQPLGNGLCVVESPPGSSVSSSQSSEERLVRREINTNEFKGSSLGDDGEEVFNWPEFSLENDFLEMSDIDVLMGQEFRDNWNGNEIAGIQNQWFF >KJB44519 pep chromosome:Graimondii2_0_v6:7:41781409:41782898:1 gene:B456_007G257200 transcript:KJB44519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLISLEPSNLVAIRIEPGQKCYGELTLRNVMYTMPIAIRMLPVNKGRYTVKPQSGIIVPLGTLTVEIVYHLPPGSLLPDSFPYSDDSFHLHSAVVPGAAIKDSVSSFDVVHNDWFTTKKKQVFIDSGIKIMFVGSPVLVQLVMDGSMERIREVLELSDPTWKLADSVDSRGQTLLHIAITQRRPDIVRLLLEFEPDVEFRCRSDSTPLGAAASCGEELIVELLLAHNASTERLESSRWGPVHLAAAGGHVEVLRLLLLKGANIDSLTNDGNTALHLAVKAGRKDCVRLLLANGSKPDVRNTRNGDTPLHIAARLGDEQTHGHTCLFDALKLGDSLCLAARKGEAGTIQRLIKNGAAINGMDQHGWTALHRAAFKGRADAVRMLIDNIDIDSKDEDGYTALHCAVESGHAEGVELLVKKGADVEARTNKGVTALQIADSLQYAGISKILIHGGNGKLGKEIETKSPAR >KJB44429 pep chromosome:Graimondii2_0_v6:7:40130893:40134607:-1 gene:B456_007G252300 transcript:KJB44429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGALRRRLDHGDVGRMSNGNYMMTGLHGLDEPLLGNRDYYGRHSEGKTPECIWVEGQWKEHLHWAALLFTNLIVQWAQWIANIVLGSVSFIARILPLPSNTQSGSNWKLLEPALNPLQEARLRSLQQRLGIPYDGSRLEHQEALKQLWKLAYPDRELPSLKSELWKDMGWQGPDPSTDFRGGGFISLENLIFFAKKYPQSFQRLLHKQDGNRSEWEYPFAVAGINISFMLVQMLDLQSGKPSSRAGRRFLELLAEDEMAFDDLYCVTFQMMDAQWLLKRASYMEFNEILKSTRTRLEHELSLESVSRVKDLPAYSLLKSYL >KJB44427 pep chromosome:Graimondii2_0_v6:7:40130519:40135185:-1 gene:B456_007G252300 transcript:KJB44427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGALRRRLDHGDVGRMSNGNYMMTGLHGLDEPLLGNRDYYGRHSEGKTPECIWVEGQWKEHLHWAALLFTNLIVQWAQWIANIVLGSVSFIARILPLPSNTQSGSNWKLLEPALNPLQEARLRSLQQRLGIPYDGSRLEHQVALKQLWKLAYPDRELPSLKSELWKDMGWQGPDPSTDFRGGGFISLENLIFFAKKYPQSFQRLLHKQDGNRSEWEYPFAVAGINISFMLVQMLDLQSGKPSSRAGRRFLELLAEDEMAFDDLYCVTFQMMDAQWLLKRASYMEFNEILKSTRTRLEHELSLESVSRVKDLPAYSLLKSYL >KJB44428 pep chromosome:Graimondii2_0_v6:7:40130519:40135501:-1 gene:B456_007G252300 transcript:KJB44428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGALRRRLDHGDVGRMSNGNYMMTGLHGLDEPLLGNRDYYGRHSEGKTPECIWVEGQWKEHLHWAALLFTNLIVQWAQWIANIVLGSVSFIARILPLPSNTQSGSNWKLLEPALNPLQEARLRSLQQRLGIPYDGSRLEHQEALKQLWKLAYPDRELPSLKSELWKDMGWQGPDPSTDFRGGGFISLENLIFFAKKYPQSFQRLLHKQDGNRSEWEYPFAVAGINISFMLVQMLDLQSGKPSSRAGRRFLELLAEDEMAFDDLYCVTFQMMDAQWLLKRASYMEFNEILKSTRTRLEHELSLESVSRVKDLPAYSLLKSYL >KJB41337 pep chromosome:Graimondii2_0_v6:7:7360448:7364400:1 gene:B456_007G099600 transcript:KJB41337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMTDAAETIYVAVGKAVEESKHTLLWALQNLCPTKVCILHVHQPASLCNSSCMNFTATRLHQQDIGKKILDRIMDDYLLICGQKSVEAAKLNIEMDDAGKGIVELIRDQSIKKLVMGAAANKHFSEGMTDLKSEKAQYVELHAPPSCKIWFICGGQLVHRKSLVENGQSNLSSSASSSSYWTVSNKVASSSDSSVSETLGESPDWLEFNEGSGDDKVFENLEQALFIAEKSNQEAFDELDRRLKAEKDALNAVVRQANEMKSSYIGELRRRKEIEGTLRKQNEELEQVKQQRDEARKIARTHKLLLESRGAKSDHVKVLEAKVSSAMEQLQIYQREQDDLRAKLESKSIKDHSTIQEEGSSNVHMQQFFSEFSVAEIHDATEDFDPSFKIAEGAYGSVYRCTLRHTEVAIKVLHQNSLQGPLEFQKEVDILSKLRHPNLVTLIGVCPEIWALIYEYLPNGSLEDRLIPRDNTPPLSWQTRIQIATEICSALIFLHASKPQRMVHGNLKPGNILLDTNFGCKLSDFGVCHVLSSLEKSNNMTDISRKFPYLDPQFLNTRTLTPSSDIYSFGIILLQLITGRPPLSIIEDAQRAVNGNRLNDLLDPSAGDWSYVEAEQLTRLALRCCDTNRSRRPDLASEVYKVLELIRNTIGPLSTIHAGSEQLRQPPDSFFCPISKEIMMDPHVAADGFTYEFRQIRRWLDGGHDTSPCTNIPLAHRNLIPNHALRSAILEW >KJB43352 pep chromosome:Graimondii2_0_v6:7:19387612:19391206:1 gene:B456_007G195600 transcript:KJB43352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLSILATFTIIRSTFNDFVPKEIRDYLWSFIRRFSSEFTLVIEESHDGSSNHIFQATMEYLGSHVLSASAAEGSPKRLTVGKSEKVRKFTFGLDRHSEIVDIFHGVPLKWKYNADVNTNTNNQQKSESRWYELSFQKNHVEMVKSKYIPHIINTAKKLKDRNRIVKFHTVRRERWSSSAVNLDHPMTFDTLALDGDLKKTIMEDLDSFINGKEYYKKIGKVWKRGYLLYGPPGTGKSSLIAAMANHLNFDIYNLNLSAVNSDSSLEYLLLHVSNRSILVIEDIDCTVKLQNRDAGDTTASYPHVQVTLSGLLNAIDGLLSCCGDERIIVFTTNYKDKIDPALLRAGRMDKHICLSYSTASTFKQLAANYLGISNHNLFSRIEKIIEEINVSPAEVAGELMKSKDPKTCLEGLIELLESKASEATSKPEEGKGGNNTKQEKGCKSKGSNTTNSDSPDHNAITFLTVGPDPMIVREYTVKAELAPILKAVLLKHGDIVTDCSLNSTQCRSSLLEIACGIIQKLQAAKLEDLTEPELRSMLASVSDLESLKLRVSWLRKRLDQIIEALQLVKQCSALEEDKRKIVQEIEEMQKELGSCRMETLEKEKKTLRIQEMEAVIGTISESISSNEARLSCFYERSLVDGLLCL >KJB40522 pep chromosome:Graimondii2_0_v6:7:4781098:4783370:1 gene:B456_007G067600 transcript:KJB40522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMSWALVWLSLAFNLVVAISVCDGQFPAMFVMGDSLVDNGNNNGLSSLAKSNYPPYGIDFHQGGPTGRFTNGKTIIDLLGDLLGLPLLPAFTVTFTGQQGIWVGVNYASAAAGILDESGLNLGDRFSLRQQVENFKSTLNQLRNQMEQDTLNQYLAKSLVVINIGSNDYINNYLMPSIYSSSSTYTPEAFAEHLINDYDAQIMALYNLGLRKFLLAAIGPLGCIPNQLAKGFAPPGKCVSAVNDMVEIFNRRLKNLVDQLNHGNYTEAIFVYGNTYGAFNDILGNPATYDIILIIAGFKVVDKGCCGVGRNKGQITCLPFSIPCTNRDEYVFWDAYHPTQAFNKIIAQKAYSGPQSACYPINVKQMAQL >KJB40521 pep chromosome:Graimondii2_0_v6:7:4781098:4783370:1 gene:B456_007G067600 transcript:KJB40521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMSWALVWLSLAFNLVVAISVCDGQFPAMFVMGDSLVDNGNNNGLSSLAKSNYPPYGIDFHQGGPTGRFTNGKTIIDLLGDLLGLPLLPAFTVTFTGQQGIWVGVNYASAAAGILDESGLNLGDRFSLRQQVENFKSTLNQLRNQMEQDTLNQYLAKSLVVINIGSNDYINNYLMPSIYSSSSTYTPEAFAEHLINDYDAQIMALYNLGLRKFLLAAIGPLGCIPNQLAKGFAPPGKCVSAVNDMVEIFNRRLKNLVDQLNHGNYTEAIFVYGNTYGAFNDILGNPATYGFKVVDKGCCGVGRNKGQITCLPFSIPCTNRDEYVFWDAYHPTQAFNKIIAQKAYSGPQSACYPINVKQMAQL >KJB40051 pep chromosome:Graimondii2_0_v6:7:3098353:3101014:-1 gene:B456_007G044500 transcript:KJB40051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISDNCRQSLIPSFLYSTTTSPLGFDTSSINANHHHHHHVLRRSPSVSPSPSPSTNYLGVGDAGITSGRILIPAPKEKIEMHSPAFYGACTMGGILSCGLTHTAVTPLDLVKCNMQIDPVKYKSISSGFGILLKEQGIKGLSKGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGPEYASKYKTLIFLAGSASAEVIADVALCPMEAVKVRVQTQPGFARGLSDGLPKFLKAEGFGGLFKGLVPLWGRQIPYTMMKFASFETIVELLYKHAIPTPKDKCSKSLQLAVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKMGLLGLCTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGGAPTPAPAKAGKSM >KJB41903 pep chromosome:Graimondii2_0_v6:7:10108909:10112156:-1 gene:B456_007G126900 transcript:KJB41903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLSPLALIVLLACLTALHQCNGNPFRRNNPFRHHHHPRFAKHNYRDALTKSIMFFEGQRSGRLPSNQRITWRRDSGLSDGAAMHVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGLMKGELQNAKQAIRWATDYLLKATAHPDTIYVQVGDAKQDHSCWERPEDMDTPRSVFKIDKNSPGSDVAGETAAALAAASLVFRRSDPTYSKLLARRAIRVFQFADKYRGPYSNGLKKVVCPFYCSYSGYQDELLWGAAWLHRATRNPTYLNYIQVNGQILGAAEFDNTFGWDNKHVGARILLSKAFLVQRLKSLHDYKGHADNFICSLIPGAPFSSAQYTPGGLLFKMSDSNMQYVTSTSFLLLTYAKYLTSAHQVVNCGGTRVTPKRLRTIAKKQVDYLLGDNPLKMSYMVGYGPRYPQRIHHRGSSLPSVANHPAKIQCSTGFNFMKSQSPNPNILVGAVIGGPDQKDRFPDQRSDYEQSEPATYINAPLVGALTYLAHSFGQL >KJB43959 pep chromosome:Graimondii2_0_v6:7:27209118:27209721:-1 gene:B456_007G226300 transcript:KJB43959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLSPTKSMAGVDVFPHMSCNYKGVPVPELAIWCCPSTPLVYIGTKLREGVPDVFHHNLKSNHSRLPDVQGLRFWARCRPDQKPN >KJB41285 pep chromosome:Graimondii2_0_v6:7:7514914:7516794:-1 gene:B456_007G101200 transcript:KJB41285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKPHLHGTPVYYYCPPALEENESLTPHGGSASAMTAVLVNLDLDVPDTFRAPPAPLPYDVVFGCPHSADSESFRETVSGGSFETLPTFEDLEESDSRTQSSSLLLSPRKPEVTNLIESKKSAAEEEDACPICLEEYDAENPKLLTKCEHHFHLSCILEWMERSDTCPICDQEMIFEQTFDQ >KJB41283 pep chromosome:Graimondii2_0_v6:7:7514227:7518230:-1 gene:B456_007G101200 transcript:KJB41283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVLVNLDLDVPDTFRAPPAPLPYDVVFGCPHSADSESFRETVSGGSFETLPTFEDLEESDSRTQSSSLLLSPRKPEVTNLIESKKSAAEEEDACPICLEEYDAENPKLLTKCEHHFHLSCILEWMERSDTCPICDQEMIFEQTFDQ >KJB41284 pep chromosome:Graimondii2_0_v6:7:7514227:7518233:-1 gene:B456_007G101200 transcript:KJB41284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKPHLHGTPVYYYCPPALEENESLTPHGGSASAMTAVLVNLDLDVPDTFRAPPAPLPYDVVFGCPHSADSESFRETVSGGSFETLPTFEDLEESDSRTQSSSLLLSPRKPEVTNLIESKKSAAEEEDACPICLEGNDIRADF >KJB41604 pep chromosome:Graimondii2_0_v6:7:8646140:8647141:-1 gene:B456_007G112000 transcript:KJB41604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEDKILESKMKGSKKTMNAFMACLWSFLVSLGGGLLLAWWEYQYHPTNRQLWMVPFGLILFFTPLIVWFAIFVSDIFSLTPDVTQPAASIHHLEKLSKQVISTPC >KJB46509 pep chromosome:Graimondii2_0_v6:7:60549101:60551474:-1 gene:B456_007G373100 transcript:KJB46509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFLFTSESVNEGHPDKICDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAKVNYEKIIRDTCRGIGFTSADVGLDADKCNVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYQNDGGAMVPVRVHTVLISTQHDETVTNEKIAADLKEHVIKPVIPAKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKISDKDILELINKNFDFRPGMISINLDLKRGGKFRYQKTAAYGHFGRDDPDFTWEIVKPLKPNA >KJB41747 pep chromosome:Graimondii2_0_v6:7:9314516:9316169:1 gene:B456_007G118300 transcript:KJB41747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDESMTVVSSMREDELDDIDVGFDLDHHPHPHNLSRLSMCTSSMYEDEDDDDRMGMYMSRLSMESFDADVEEEFFSKDLLELSSDSDKEPGCYSLPATPPRRNPTRGLSQQLMGVVKDYASENEALKGGFGRPKGSKNLRKRRFIRERWAGKESKSDGKKKDMDFMVGYSNYSGSFSGESEAGSAGVVVITRPKGGKRSLCMDLEEVKACRDLGFELEHELMLEMPSASRVSLSGSTLDTTSSGGNSPIANWRISSPGDDPRDVKARLKVWAQAVALASSSKHCV >KJB44256 pep chromosome:Graimondii2_0_v6:7:35383067:35384294:-1 gene:B456_007G242700 transcript:KJB44256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLEGGATLDPSIPLSEQKDLIVWMRTAELPTFRKLYGKIEQDLQPNDQILVTLDNNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGMCFFLALSFTIVYLIKTSEEDERQSATARRNGSGGFLSDEDLSLDTPLPAPN >KJB45306 pep chromosome:Graimondii2_0_v6:7:51198565:51200566:1 gene:B456_007G299900 transcript:KJB45306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLDLDEPNSEEAYSPRQLARRVRGHTSQDGVTNYIRRKDCEPSTKLKQQRRKQKKKRKSKAKTSKPRNKDFEFAMAVLNYKLVVICATLMAAAAAAVMTVDASGDHHLQHPILGWIPTRSPCKGSIAECLAGEEFELDSEISRRILATTRYISYGALQRNTVPCSRRGASYYNCKPGAQANPYNRGCSRITRCRG >KJB44023 pep chromosome:Graimondii2_0_v6:7:28893552:28894394:1 gene:B456_007G230400 transcript:KJB44023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIIKRVFFVISTISFFFFLSSFIQEQHFFVRETSHPAYRTSVYHTFGFIIHCGFPAPGAVVRTVIQAFIAWKAYKLPWPFNVLLIAVDHFYLSTKKDPFYKESVCSKLLLIPYQVSYADIFAFIARFCLVTWLVVI >KJB43812 pep chromosome:Graimondii2_0_v6:7:24756862:24757260:-1 gene:B456_007G217800 transcript:KJB43812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIITSHRTQTETPNSCPQSHAQKNRPTIPSHPKPMAPKAQNKENEPESTTYKLTQLNKPHPQNRDEKARRQMLRKRTRTPTSIDLKRRTKTVFNKAENQKLKQKLTEKQGKKHRSEN >KJB46274 pep chromosome:Graimondii2_0_v6:7:58647174:58648236:-1 gene:B456_007G355900 transcript:KJB46274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDCNFKELSPYEGDVGEERDVTMLLPRINQLTLKGVDKMTHLWKQGSPLHHICANLETLEVYKCGNLINIARASSSLRNLTTLEVWYCKEMAELITSSNAQCLEQLVTLKIDGCEMMGEVIASDGDEATYHEIIFKELKCLELYDLQNLKRFCSGNYTLKFPSLDELYVSKCPAMENFCNGALSTPKLQEVQTRRDVRRCWDLNATIEQLNKEECEVSEETDKNGGHSEDHVP >KJB42084 pep chromosome:Graimondii2_0_v6:7:11077332:11080010:1 gene:B456_007G135700 transcript:KJB42084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVSLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKPKKPTAGRPLGRGRGRGRGRGRGRGR >KJB43042 pep chromosome:Graimondii2_0_v6:7:17126977:17130347:1 gene:B456_007G181100 transcript:KJB43042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMVVCLTILSVLFISLVFKLVFDTIHCYILIPRRIKNMMQKQGVRGPKPRRLTGNIIEMVTLTSQSTVNDMDGVHHDVVGRLLPHYVKWSNSYGKRFIYWHGIEPRMCLTEIELIKELMTKHHLVTGKSWLQQQGSKHFTGKGLLMANGQEWYHQRHIAAPAFMGDKLKSYSTHMVECTKHMLQSLLNEVKSGQNEFEIGEYMTRLTADIIARTEFDTSYEKGKQIFHLLTSLQHRCAQASRHLCFPGSRFFPTKYNREIKALKMEVERLLMEIIQSRKDCMEIGRSSSYGNDLLGILLNEMEKERRGGKFKINLELIMDECKTFFFAGHETTALLLTWTVMLLATNPHWQQKVRDEVNKVCDGGVPSLDQLPKLTLLQMVINESLRLYPPATVLPRMAFQDIKLGDLLIPKGLSLWIPVLAIHHSEELWGQDANEFNPERFNSRPFAAGRHFIPFAAGPRNCIGQSFAIMEAKIILAMLISRFSFTISERYRHAPVVVMTIKPKYGVQVYLKPLEDPSN >KJB39715 pep chromosome:Graimondii2_0_v6:7:1844338:1845966:1 gene:B456_007G026800 transcript:KJB39715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTSSKSSVATVDFYRPPPSSFAVFDINAIDEPWVKVDQTASENQEKPTHVPAAILEKLNAFETAPHSWSEVSKALEDLKPTINNENKKPQAPNSPQKPPKQVTQLQPRKNLSFHTLEELDAKLSSKPVEKTESPVKEIKTKPTEVKNPESPQVSVPPVGSKLKENIFIVKDREERLKEGRMANYDKIMGKRDPLSEFPEICPPGGIETVVIYTTSLRGVRRTFEDCNKVRGIFEVQRVVFDERDVSLHGEFLNELRNLLGDEASVPRVFAKGRYLGGAEEVSELNETGKLGKMLSMARMERGVGRLACGGCGGARFVPCLECGGSRKVVASGDKKEICGKCNENGLIHCPTCL >KJB46551 pep chromosome:Graimondii2_0_v6:7:60619671:60622601:1 gene:B456_007G374000 transcript:KJB46551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFSSTDANERRRNAIRQIYTSQPQHPPHPSAQPQPGYNADPYAYAASTPYPAQYYQYPRGYYPPQNPVPMQYQAPYDHRGGSYMGNANWGGYPYGAMMHPAPEPVPYIEHQQAVTVKNDVNLKKETLKLAADASNPGKFSVNFTFDANVAGRMTVMFFAKEGEDCKLTPMKENMQPSVTMPFEQGTGQKFQQPPGSGISFFMFEESELLNVGEAGIYPLAVKAEVFPANSNDGSQNTQITLAVFEKENEEYHVRVVKQILWVNGMRYELQEIYGIRNSVNNNNVGTSDAGKDCVICLTEPQDTTVLPCRHMCMCNSCAKVLRCQTNRCPICRQSIERLLEIKVNNSTNE >KJB46049 pep chromosome:Graimondii2_0_v6:7:57380077:57383337:-1 gene:B456_007G345900 transcript:KJB46049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCSFLLLLFYITAICSIRCVLGSPICSHEADVFIENLRFQCSPSLSPIPPLEVDGNFLDRALTSKQRNGYVSVLFYASWCPFSRRLRPKFDIIGSMFPQLEHLAVEESSASPSIFSRYGIHSLPSILIVNQTSRVQYRGPKDLPSIEQFYEKITGFEPFQYIAEHELVTSRDHNNHMKQSWNEPFSMEIVKREPYLVFSVLFLCMKLLLSIFPIVLSHLETFWVSYAPHLNLEIFGETNQLFARALHMVDVRRAWTKLRLCKTRNFHQGAESARVWASSLASVSLGKSSSGRSSSSP >KJB41307 pep chromosome:Graimondii2_0_v6:7:7257263:7257496:-1 gene:B456_007G098300 transcript:KJB41307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQGKKRCLKYINIHGNGKTVKAKVVNECDATMGCDGGHSYQPPCVNNIIDDTVWEALGVTTDQRGGWTITGQMHD >KJB44374 pep chromosome:Graimondii2_0_v6:7:46084670:46086532:-1 gene:B456_007G272800 transcript:KJB44374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTECLVSTSVCYIWSKMQSDFLPNFILCNTTQQFIRSSKLLLFLFKNHHTTFGWMEFLFHSALIPRATVKQPFGSPFR >KJB39920 pep chromosome:Graimondii2_0_v6:7:2626365:2627938:1 gene:B456_007G038000 transcript:KJB39920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPDTNKASNGSSGGKGSGRGRGKRRLCWYLNCFVGQDSFLAWNVRETSTRVVGQSCSSFSNGSFLCFARVLQSFFEYEPYPGFHMRVNAKIKTEIKEWQDKKQKKVS >KJB42241 pep chromosome:Graimondii2_0_v6:7:12046971:12055508:1 gene:B456_007G144300 transcript:KJB42241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Poly(ADP)-ribose polymerase (EC 2.4.2.30) [Source: Projected from Oryza sativa (Os07g0413700)] MANPPKPWKAEYAKSARSSCKTCKSVINKEVFRLGKMVPATQFDGFMPMWNHADCILRKANQIKSTDDVEGIESLRWEDQQKIRNYVESGGPSNAKTVTPQAMEYAIEVSLASRATCKGCSQKIMKGEVRISSKPKGQGSKGLVWNHAKCFLDLSPTTEVEKLPGWEHIPSSDQASISALVKKVLAAKTGKGTDVPKEQQPQSTSTAGAKRKKDVGDDQKSKITKLEGEVSARGAGCTKNANDLTDKKPKDSDLETKLEAQAKELWALKDELKKHVTTAELREMLEANGQDATGSELDLRDRCADGMMFGALGKCPMCSGCLRFSGGKYRCHGYISAWSKCSYSTCEPERLKGKWKVPDETNNEFLSKWFKSQKIKKPARILPPPSASSSQAANGQSQTSNAESLANLKVSIVGLPKESLEEWKGKIKEAGGMVHAKIKTDTNCLVVSGDSEGHDAERRKARRMRLPIVREDYLVDCFKKQKKLPFDLYKVEAIGESSSMVTVKVKGRSAVHEASGLQDSCHILEDSGSIYNTTLNLSDLSTGVNSYYILQIIQEDKGSGCYVFRKWGRVGNEKIGRSKLEEMPKSDAISEFKRLFLEKTGNSWEAWEKKQNFQKQPGRFFPLDIDYGVNKQVSEKKHTDADSQLPPPLLELVKMLFNVETYRAAMMEFEINMSEMPLGKLSKSNIQKGFEALTEIQNLLNSDASDSSLKESLIIDASNRFFTVIPSIHPHVIRDEDDFKAKVKMLEALQDIEIASRLVGFDVDSDDSLDEKYKKLHCDITPLPHDSENFQLIEKYLLTTHAPTHTDWKLELEEVFSLEREGEFDKFAPYREKLSNRMLLWHGSRLTNFVGILSQGLRIAPPEAPATGYMFGKGVYFADLVSKSAQYCFTDRKNPVGLMLLSEVALGEVYELTKAKVSAPSMPMCRCRTWHLFIIFLCFSSFLFSI >KJB42240 pep chromosome:Graimondii2_0_v6:7:12046921:12055508:1 gene:B456_007G144300 transcript:KJB42240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Poly(ADP)-ribose polymerase (EC 2.4.2.30) [Source: Projected from Oryza sativa (Os07g0413700)] MANPPKPWKAEYAKSARSSCKTCKSVINKEVFRLGKMVPATQFDGFMPMWNHADCILRKANQIKSTDDVEGIESLRWEDQQKIRNYVESGGPSNAKTVTPQAMEYAIEVSLASRATCKGCSQKIMKGEVRISSKPKGQGSKGLVWNHAKCFLDLSPTTEVEKLPGWEHIPSSDQASISALVKKVLAAKTGKGTDVPKEQQPQSTSTAGAKRKKDVGDDQKSKITKLEGEVSARGAGCTKNANDLTDKKPKDSDLETKLEAQAKELWALKDELKKHVTTAELREMLEANGQDATGSELDLRDRCADGMMFGALGKCPMCSGCLRFSGGKYRCHGYISAWSKCSYSTCEPERLKGKWKVPDETNNEFLSKWFKSQKIKKPARILPPPSASSSQAANGQSQTSNAESLANLKVSIVGLPKESLEEWKGKIKEAGGMVHAKIKTDTNCLVVSGDSEGHDAERRKARRMRLPIVREDYLVDCFKKQKKLPFDLYKVEAIGESSSMVTVKVKGRSAVHEASGLQDSCHILEDSGSIYNTTLNLSDLSTGVNSYYILQIIQEDKGSGCYVFRKWGRVGNEKIGRSKLEEMPKSDAISEFKRLFLEKTGNSWEAWEKKQNFQKQPGRFFPLDIDYGVNKQVSEKKHTDADSQLPPPLLELVKMLFNVETYRAAMMEFEINMSEMPLGKLSKSNIQKGFEALTEIQNLLNSDASDSSLKESLIIDASNRFFTVIPSIHPHVIRDEDDFKAKVKMLEALQDIEIASRLVGFDVDSDDSLDEKYKKLHCDITPLPHDSENFQLIEKYLLTTHAPTHTDWKLELEEVFSLEREGEFDKFAPYREKLSNRMLLWHGSRLTNFVGILSQGLRIAPPEAPATGYMFGKGVYFADLVSKSAQYCFTDRKNPVGLMLLSEVALGEVYELTKAKYIEKLPKGKHSTKGLGKKVPKKSDFVKWKDDIIVPCGKPVPSSVKESELMYNEYIVYNTSQVKMQFLLKVRFHHKR >KJB39378 pep chromosome:Graimondii2_0_v6:7:753538:754189:1 gene:B456_007G009700 transcript:KJB39378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSLVCGTTPCMICGSGEIERALLKYLGVERNEVTKDGLFSVGEMECMGCCVNAPMIAVADYTNGSEGYTYNYYEDVTTQRVVEIVAVGFCQEN >KJB39376 pep chromosome:Graimondii2_0_v6:7:753287:754229:1 gene:B456_007G009700 transcript:KJB39376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFKKKTSPKGEIERALLKYLGVERNEVTKDGLFSVGEMECMGCCVNAPMIAVADYTNGSEGYTYNYYEDVTTQRVVEIVAVGFCQEN >KJB39379 pep chromosome:Graimondii2_0_v6:7:750487:754229:1 gene:B456_007G009700 transcript:KJB39379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFKKKTSPKGEIERALLKYLGVERNEVTKDGLFSVGEMECMGCCVNAPMIAVADYTNGSEGYTYNYYEDVTTQRVVEIVAVGFCQEN >KJB39377 pep chromosome:Graimondii2_0_v6:7:750863:754229:1 gene:B456_007G009700 transcript:KJB39377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFKKKTSPKGEIERALLKYLGVERNEVTKDGLFSVGEMECMGCCVNAPMIAVADYTNGSEGYTYNYYEDVTTQRVVEIVAVGFCQEN >KJB43059 pep chromosome:Graimondii2_0_v6:7:17322053:17323254:1 gene:B456_007G181700 transcript:KJB43059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQGPFNYMEKPGKKIEHNPVRHISVSNTLHPSSSDIKARMLQKNNESEQNRLIEGYKSSYQNNISHLLKKRLILFSLYMLIPMYQKLYCKSTEIVDSAF >KJB38986 pep chromosome:Graimondii2_0_v6:7:10848226:10864597:-1 gene:B456_007G133300 transcript:KJB38986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFLAGFLLPLLLLTAALSNWSLISLVDLIAFLLIQYTAPKIGFRFRRQYLLLWPVIIFSLLVFSSQAVYLVVWAVEGYKQSVGEAWWMKLIGFMIIQSWKSPTVIYFLAVQLLVVIVAVLDIHDNRFGLVQWRCSCWSNFLTAIERLGSHLRVTSCLLLPPIQLVAGISHPSWISLPFFVSSCVGLVDWSLTSNFLGLFRFWKALQLYAGFNIILLYVYQIPLEFSDMLQRIADFVGLFKISSTSEWPEICSAVSLVLFYIMLSYVKYDLEEMDFIMSMQESNLTEQLLPSKHSFFIRQSRSGVRHTNVLLRKAAFRTFTINFFTYGFPVSLFALSFWSFHFASICAFGLLAYVGYILYALPSLFRLHRLNGLLLVFILSWAVSTYIFNVAFAFLNRNFGKDMGIWEMVGFWHYPIPGFFLLAQFCLGILVALGNLVNNSVFVYLSDKDALSSNNSPGEVDGETKVFIVATIAWGLRKCSRAIMLALIFIIAMKPGFIHAIYIIFFLIYLLSHNISRNIRRSLILLCEAHFALLYLLQIDLISNALEQKCSSIFEIMSQLGVLKHHSSWNFLEIALLGCFCAIHNHGFEVLFSFSAIVQHTPCPPVGFSILRAGLNKSVLLSVYVSPNTSFCYDNPSYERTIASFLSGIGQKFLSIYRSCGTYIALLTILLTVFMVTPNYISFGYIFLLLVWIIGRQLGERTKRHLWFPLKTYAVMVFIFVYSLSSFTSFRIWLSGFMDLYFYLGYESEASLLDNIWQSLAVLIVMQLYSYERRKNKYYRTNFSNPLDSGVLGFAKRFLIWHSQKILFVSLFYASLSPICAFGFLYLLGLVICSTLPKASRIPSKSCLVYTGFLVTTEYLFQMWGKQAGMFPGQKHSDLSLILGLRVYELGFWGVESALRGKVLVITACVLQYNVFFWLDNMPTGISNKGKWEEPCPLFLSAEDAFTNGFVSNGEDKPSTIGTVPIRQARPANSSWASLSLALSQALRPASSKAGGSEVSSGRKFSFGYFWGSTKEIHKWNRKRILALREERFETQKALLKIYLKFWIENMFNLLGLEINMIALLLASFALLNALSMLYIALVAACVLLNRWIIRKLWPVLVFLFASILILEYIAIWKSMFPPNQQSQTEIRCHDCWKNSASYFQYCRSCWLGLIIDDPRMLISYFLVFLLASFKLHADHSSYFSQSTYRKMMSQHKNLFVWRDLSFEMKSMWTFLDYIRLYCYCHLLDLVLVLILITGTLEYDILHLGYLAFALVFFRMRLEILKKKNKMFKFLRIYNFVVIVLSLAYQSPFVGEFSSGKCNSVNYIYDVIGFYKYDYGFRINTRSVLVEIIIFMLVSLQSYMFSSQESDYVSRYLEAEQIGAIVREQEKKAAWKTAQLQQSRESEEKKHQRNVQVEKMKSEMLNLQIQLHNMNSIASLSDVSPDDESLQRSVSLTSNRDIGPPDKEESTLWEREQTIKEDIVAPPEAHACAACIKGENPEVVESPKNSMEHRPCEITEIEHDADHAIFDTEKRGKSQSKDNPLISAVHLLGDGVSQVQSIGNQAVNNLVNFLNIKPDDSDMNEHSSVKGEAYDETESQKMQNMNLNRSSSLQSDKISNTTSLQLGRILCHIWSQMQSNNNVVCYCLFVLVFLWNFGLLSMVYLAALFLYALCVNNGPTYIFWVIMLIYTEAYILFQYMYQIAIQHCGLSINSDLLRNIGFPTCEIKSSFVVCSLPLFLVYLFTLIQSSISAKDGEWMFSTDFNFHRRSSHYRKEVLVNYSWSKRVSKLLQYVINMVKQVTRRFFWYWKSLIQGAETPPYFVQLSMDVHLWPDDGVQPERVESGINRLLGIVHDERCTKKISGHCPFASRVQVQSIKRSQENQNVALIVFEVVYASRLTGCTSADWYKSLTPAADVAKEIRKAKHAGLVEEMGFPYQILSAVGGGKREFDLYAYIFVADLTVFFLVAMFYQSLIKNNSEILNVYQLEDQFPIEFVIILMIIFFLIVVDRVLYLCSFAAGKVIFYLFNLVLFTYSITRYAWRMKPSDQHAGKLALRAIFLAKAVSLALQGVQIRHGIPQKATLYWQFLTSRVSRINYLGYRLYRALPFLYELRCVLDWSCTMTSLTMYDWLKLEDINASLYLAKCDAVLNRATHRQGQKQKKMTKCCNGICLFFILICVIWTPMLMYSSGNPTNIANPINDASFQLDISTGGGRLTLYQTTLCEKLQWDNLNSDVNFDAYNKNDIQLICCQADATILWLVSDVVQRRFIEFLDWDMDMIITSTWLLTRERPKGKEVVKYEKPVDSKDLPEPSDVQKVFNGSTISFRIYNLYPRYFRVTGSGEVRSFEQEVTSGPISVSADLVINRAASEWWSFHDLDSSHIRGCGGLTGPTAVIVSEETPPQGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLIAICENIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKPD >KJB45904 pep chromosome:Graimondii2_0_v6:7:56119292:56119705:1 gene:B456_007G336500 transcript:KJB45904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PEVCIQCVKSDPRSHSADKVGIAAIVITCISNKGVTLESNMTVLASSVHDKDLKLKELSDAKTNLTTAMDRLKSKDYDQTNYLVNHALQKEFDCKKNVGDLQYTLLTTVLNDMTLYEELSEAAMRIIDRFL >KJB41587 pep chromosome:Graimondii2_0_v6:7:8512466:8515025:1 gene:B456_007G111300 transcript:KJB41587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDIECVWVFALASKCRAFSRESIAWSILIIALAWLLMILLYWAHPGGPAWGKYRFKICSSLSSHANKPIPGPRGLPLFGSMNLMASSLPHHRIASAAETCKAKRLMAFSLGDTRVIVTCNSDVAREILNSSVFADRPVKEAAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQIKGAEDQRRVIASEMVTLFAHTSNQSFRVRQVLKRASLNNMMASIFGRKYKLDSINTEVEQLGDLVDEGYDLLGTFNCSDHLPWLVDFDLQKLRLRCSNLVPKVNRFVSRIIAQHRGRVPTNSKIRDFVDVLLSLQGTDKLSDSDMIAILWEMVFRGTDTVAVLIEWILARIVLHPEVQSRVHDELDKVVGRSRAVDESDVAKMIYLPAVIKEVLRLHPPGPLLSWARLAITDATIDGYHVPKGTTAMVNMWAITRDPQEWPNPLEFMPDRFVTGEGEVEFSVLGSDLRLAPFGSGRRTCPGKNLGLTTVSFWVATLLHDFEWLPSDQNPVDLSEVLRLSCEMANPLSVNVRPRRKLSLSHQ >KJB40814 pep chromosome:Graimondii2_0_v6:7:5530685:5533861:1 gene:B456_007G078000 transcript:KJB40814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSKQGSFGGLSKKKKRRILCRRKTIKRRVSSRKLESGEFAAGSKDFSRASSTVQGSADLAWRDCFSVFESELDEDFYSLHDEVVSVTGSENASALSVSSPRDLSLINNVNSQSRANDNQTEGSAVFVDDISSESIRGNEKQAAHHVELLPNTCLPCLHSAAPSLERKRSFNPSTLSSKKKAPLKLSFKWRDGHANPTLVSPKVGFQRPVAGTSVPHCPKEKSMPDCWSPLEPKSFKVRGQNYFRDKKKEFASNFAAFHPFGVDLFLSPRKIDHIARFVELPVFNLSEEIPAILVVNIQIPLYPITIFQSENDGEGMNLVLYFKLSESYSKELPLQFRENIIRFINDEVERVKGFPVDTIAPFRERLKMIGRVANVMDLHLSTAEKKLMNAYNEKPVLSRPQHEFYLGENYFEIDLDLHRFSYISRKGFEAFQDRYKLCILDFGLTIQGNKPEDLPENMLCCIRLNQINHINYGQLQL >KJB40811 pep chromosome:Graimondii2_0_v6:7:5530856:5533155:1 gene:B456_007G078000 transcript:KJB40811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSKQGSFGGLSKKKKRRILCRRKTIKRRVSSRKLESGEFAAGSKDFSRASSTVQVTGSADLAWRDCFSVFESELDEDFYSLHDEVVSVTGSENASALSVSSPRDLSLINNVNSQSRANDNQTEGSAVFVDDISSESIRGNEKQAAHHVELLPNTCLPCLHSAAPSLERKRSFNPSTLSSKKKAPLKLSFKWRDGHANPTLVSPKVGFQRPVAGTSVPHCPKEKSMPDCWSPLEPKSFKVRGQNYFRDKKKEFASNFAAFHPFGVDLFLSPRKIDHIARFVELPVFNLSEEIPAILVVNIQIPLYPITIFQSENDGEGMNLVLYFKLSESYSKELPLQFRENIIRFINDEVERVKGFPVDTIAPFRERLKMIGRVANVMDLHLSTAEKKLMNAYNEKPVLSRPQHEFYLVSSFFPS >KJB40813 pep chromosome:Graimondii2_0_v6:7:5530856:5533155:1 gene:B456_007G078000 transcript:KJB40813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSKQGSFGGLSKKKKRRILCRRKTIKRRVSSRKLESGEFAAGSKDFSRASSTVQVTGSADLAWRDCFSVFESELDEDFYSLHDEVVSVTGSENASALSVSSPRDLSLINNVNSQSRANDNQTEGSAVFVDDISSESIRGNEKQAAHHVELLPNTCLPCLHSAAPSLERKRSFNPSTLSSKKKAPLKLSFKWRDGHANPTLVSPKVGFQRPVAGTSVPHCPKEKSMPDCWSPLEPKSFKVRGQNYFRDKKKEFASNFAAFHPFGVDLFLSPRKIDHIARFVELPVFNLSEEIPAILVVNIQIPLYPITIFQSENDGEGMNLVLYFKLSESYSKELPLQFRENIIRFINDEVERVKGFPVDTIAPFRERLKMIGRVANVMDLHLSTAEKKLMNAYNEKPVLSRPQHEFYLVSSFFPS >KJB40812 pep chromosome:Graimondii2_0_v6:7:5530685:5533861:1 gene:B456_007G078000 transcript:KJB40812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSKQGSFGGLSKKKKRRILCRRKTIKRRVSSRKLESGEFAAGSKDFSRASSTVQVTGSADLAWRDCFSVFESELDEDFYSLHDEVVSVTGSENASALSVSSPRDLSLINNVNSQSRANDNQTEGSAVFVDDISSESIRGNEKQAAHHVELLPNTCLPCLHSAAPSLERKRSFNPSTLSSKKKAPLKLSFKWRDGHANPTLVSPKVGFQRPVAGTSVPHCPKEKSMPDCWSPLEPKSFKVRGQNYFRDKKKEFASNFAAFHPFGVDLFLSPRKIDHIARFVELPVFNLSEEIPAILVVNIQIPLYPITIFQSENDGEGMNLVLYFKLSESYSKELPLQFRENIIRFINDEVERVKGFPVDTIAPFRERLKMIGRVANVMDLHLSTAEKKLMNAYNEKPGENYFEIDLDLHRFSYISRKGFEAFQDRYKLCILDFGLTIQGNKPEDLPENMLCCIRLNQINHINYGQLQL >KJB40810 pep chromosome:Graimondii2_0_v6:7:5530682:5533889:1 gene:B456_007G078000 transcript:KJB40810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSKQGSFGGLSKKKKRRILCRRKTIKRRVSSRKLESGEFAAGSKDFSRASSTVQVTGSADLAWRDCFSVFESELDEDFYSLHDEVVSVTGSENASALSVSSPRDLSLINNVNSQSRANDNQTEGSAVFVDDISSESIRGNEKQAAHHVELLPNTCLPCLHSAAPSLERKRSFNPSTLSSKKKAPLKLSFKWRDGHANPTLVSPKVGFQRPVAGTSVPHCPKEKSMPDCWSPLEPKSFKVRGQNYFRDKKKEFASNFAAFHPFGVDLFLSPRKIDHIARFVELPVFNLSEEIPAILVVNIQIPLYPITIFQSENDGEGMNLVLYFKLSESYSKELPLQFRENIIRFINDEVERVKGFPVDTIAPFRERLKMIGRVANVMDLHLSTAEKKLMNAYNEKPVLSRPQHEFYLGENYFEIDLDLHRFSYISRKGFEAFQDRYKLCILDFGLTIQGNKPEDLPENMLCCIRLNQINHINYGQLQL >KJB39870 pep chromosome:Graimondii2_0_v6:7:2435484:2436758:1 gene:B456_007G035400 transcript:KJB39870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKLEGKVAIITGGASGIGEATVHHFAVHGARMIVIADIQDELGQKVVEAIGSNKCSYMHCDVTDEEQVKNLVQSTVQNYGSLDIMFSNAGTVSNSIQTVLELDFAAFDRLFTVNVRGMAACVKHAARAMVELNVRGSIICTASTNASCGSERDTDYSMSKHAVIGLMRSASKQLGEHGIRVNSVSPFIVGTPLLYRYLGTEAEVEQLFEPYARLKGVVLKAKHVADAVVFLASQDSELITGHDLVVDAGFLVK >KJB45550 pep chromosome:Graimondii2_0_v6:7:52549386:52550690:1 gene:B456_007G311600 transcript:KJB45550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISHDSMHKRLATGGNKKAWRKKRKYELGRQSANPKLSTNKTAVTRKTRILDVVYNASNSKLVRTQTLLKRAIVQVDAALFKQWYLQHYGLDIGRKSSSSQERRRGETGTKEAKKSSHVMRKLEKQQRYRGSVVGLHLFAAWSVWTCRRDKELEFYMKKIQRKKGKGAA >KJB43002 pep chromosome:Graimondii2_0_v6:7:16701291:16701919:-1 gene:B456_007G179200 transcript:KJB43002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFVSALVNTILDNLTSLSLEQFGLAGSLKTELDCLESTLSTIQAVLLDTEKKQWKSEAIKNWLGKLKQAAYHLEDVLDDFKEEARNRSLRKDARSKVSTFFSPRNPLVFRLQMARKFKNAREKLNAIAEEKSKQTSSLVDESEILGRADEKEEIVSMLLSNASHHDDLSVYAICGVGGLGKTTLAQLA >KJB45171 pep chromosome:Graimondii2_0_v6:7:50386631:50388271:1 gene:B456_007G293600 transcript:KJB45171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLCSSHLLLPSLIYFSLFLLALKLIMPYCYFYCYYCYSQFMLNKYYFCKKIVLSFLGLSNTNMVSPSPVSKCGLGLISANESNPDVIESSLLHLHNTKTISMPSTSPPKKKDPGGIGFIDDMGGGAGIDGLMSCTESLGFESCYERDDGAVDDRTNGYKHVKLCEDEIRDNNRWRIRKRREGRRHMKFPPPLSSLNQNGQPCFYLKPVRENGRLELTEVKIQRPEILRSVRQNGRLRLHLVSSDVCSNINQEEEEEEEENEEINQEEQEQEVLDLQEEEEEEMKVEEVWKYRVNGKGSRRCHEMVVSHLHNDYHHRQHHHMNDHHSLHVWRQPCVSIR >KJB40637 pep chromosome:Graimondii2_0_v6:7:5081349:5085364:-1 gene:B456_007G072100 transcript:KJB40637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISASTYPAAGVSPFSQRRATSSYRGIRNLSRILAVSTERGGRGTGFGENGKHEKQKLNQKVVKEESEVKPNIYANPEKIPEFEEDKKWLRDYFEECKEMIRSDGGPPRWFSPLECSSSTSPDCPLLLFLPGIDGTGLGLIMHHHKLGKMFNVWCLHIPVQDRTPFNELVKIVERTVRSENYRSPNRPIYLVGESIGACLAISVAARNPDMDLVLVLSNPATSFSQSQLQPLIPLLEMMPDQFPINLPYIFSLATSYFANMLLNFLGCISNSNPLRVLMDNVVKRGPLPQIIGELSQDLATMSSYLPVLADTVPRQTLLWKLNLLKSGSACGNSCLHAVKAQLLILCSGRDQLLPSQEESQRLQKALPDCEIRMFEESGHFLFLEDNVDLVTIIKGASFYRRGKHFDCASDFMPPTPSEFKKLHDSISWVLTATSPVMLSTLEDGKVVRGLAGIPSEGPVLFVGYHMLMGFEVIPLVAQIMMERNILVRGIAHPAMFVRVKDRRPPEPELSDFDVIRVMGAVPVSAANFYKLMSSKSHALLYPGGVREALHRKGEEYKLFWPDKSEFVRMAARFGAKIIPFGVVGEDDFAEIILDYNDLMKIPWRREEIERVTSRTAKLRDEGGAKGEEEM >KJB40638 pep chromosome:Graimondii2_0_v6:7:5081393:5085364:-1 gene:B456_007G072100 transcript:KJB40638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISASTYPAAGVSPFSQRRATSSYRGIRNLSRILAVSTERGGRGTGFGENGKHEKQKLNQKVVKEESEVKPNIYANPEKIPEFEEDKKWLRDYFEECKEMIRSDGGPPRWFSPLECSSSTSPDCPLLLFLPGIDGTGLGLIMHHHKLGKMFNVWCLHIPVQDRTPFNELVKIVERTVRSENYRSPNRPIYLVGESIGACLAISVAARNPDMDLVLVLSNPATSFSQSQLQPLIPLLEMMPDQFPINLPYIFSLATSYFANMLLNFLGCISNSNPLRVLMDNVVKRGPLPQIIGELSQDLATMSSYLPVLADTVPRQTLLWKLNLLKSGSACGNSCLHAVKAQLLILCSGRDQLLPSQEESQRLQKALPDCEIRMFEESGHFLFLEDNVDLVTIIKGASFYRRGKHFDCASDFMPPTPSEFKKLHDSISWVLTATSPVMLSTLEDGKVVRGLAGIPSEGPVLFVGYHMLMGFEVIPLVAQIMMERNILVRGIAHPAMFVRVKDRRPPEPELSDFDVIRVMGAVPVSAANFYKLMSSKSHALLYPGGVREALHRKGEEYKLFWPDKSEFVRMAARFGAKIIPFGVVGEDDFAEIILDYNDLMKIPWRREEIERVTSRTAKLRTDASGEIANQQMHIPWVLPKFPGRFYYYFGKPILTEEMKVELREKKKCDEMYLHIKSEVEGCIDFLKNKREKDPYRNLLSRVLYQASHPGSTSPFHLPSFDL >KJB40438 pep chromosome:Graimondii2_0_v6:7:4438204:4440007:-1 gene:B456_007G063400 transcript:KJB40438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCAAKPKVLKGQEAEEPIPIPSSKWGKESVPEPTEPENKVEPDLNHHGNTRRSLSNLFKEEEMGMLAKNDNNAESEPTKCAPMESVTEESSKPAKQESAEPVYIEPNKKSPTDAEKLNVGSVILGEEPKEPVKPIQQTYEPDSMQAAHGNIEPEQSVEAKPVPDMAAKKLKEDNVNMRQEREEPAKADPVRVPPVKIIEVKSTSEEATVTEKLNEGDIIIEQEMEEPAKPMERNKKPDIVVDTPRKNELVRSFEIKSAPGVVLYTGKLKDGLIMNQEFEDPAKLLKQIFNPVRMSAPPGNIESVQRIKAYPTPEGENDTQVSPKPIDNKPDPVFVMPGVTEPRRSIEAKLAPTVEIKIEELKEEDEEPAKPNPGLESVQSIEAKPKTPDGSIDTAKQKLANVIMNRKIKEPAKPLQITESPESLILAPAGNVEPVESIEAKSESQPTTDTDVISLKQ >KJB41120 pep chromosome:Graimondii2_0_v6:7:6650675:6654873:1 gene:B456_007G091500 transcript:KJB41120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNKTQDHIFHQHHGMGPSSHIIHSQSQPSIPLHDDPSFSLPEIVLFRSSPSADSPTPCDSDNDDAATAHPPLSSTPSTDLTTPSHQITNQPLGYISPEPHISSQFYTFNAESHSLMIRCIREQRLATPAEIRAATPRSVLKSWRAVWKDRNEDTAYLTAWKRIQDKLTAHVDPNSGNEFLCFKNNSNQFVSHVNQWQDIVMSFHGDADLKHLGLKETIERIKQVWTVGAKFYGIPESYIRGCVAACPVCNASSGSASRSKRRRFEYTESFDVPAKEVPHRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPAAKKSRILKREPYASKRCGCGFRIRAIVPIANYNEKEKTFVYQEEGMAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGRFVMDQDMVYGVSEDLDSEGFGLTGKEQGDLQLAVLQQVRELRAEIGLLEGRIGKIPHQLLGSVSRELFEILNKVRSFGEEGPKSIGLLSDKPHSDDLLVEENDLAHWAGDHHDRIYGDGKDAELIEDDDDSFGRTLGDVVPWDQMRTDRRSQKDLMSEPCKMDKWLKCRDFDEKSILDCEDTKLTKSMRHDDCIGTDVGLVGIQVDSFYQDNPKWYDSPCGLDSSTDCGDSGFRHGEIV >KJB41118 pep chromosome:Graimondii2_0_v6:7:6650675:6654863:1 gene:B456_007G091500 transcript:KJB41118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNKTQDHIFHQHHGMGPSSHIIHSQSQPSIPLHDDPSFSLPEIVLFRSSPSADSPTPCDSDNDDAATAHPPLSSTPSTDLTTPSHQITNQPLGYISPEPHISSQFYTFNAESHSLMIRCIREQRLATPAEIRAATPRSVLKSWRAVWKDRNEDTAYLTAWKRIQDKLTAHVDPNSGNEFLCFKNNSNQFVSHVNQWQDIVMSFHGDADLKHLGLKETIERIKQVWTVGAKFYGIPESYIRGCVAACPVCNASSGSASRSKRRRFEYTESFDVPAKEVPHRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPAAKKSRILKREPYASKRCGCGFRIRAIVPIANYNEKEKTFVYQEEGMAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGRFVMDQDMVYGVSEDLDSEGFGLTGKEQGDLQLAVLQQVRELRAEIGLLEGRIGKIPHQLLGSVSRELFEILNKVRSFGEEGPKSIGLLSDKPHSDDLLVEENDLAHWAGDHHDRIYGDGKDAELIEDDDDSFGRTLGDVVPWDQMRTDRRSQKDLMSEPCKMDKWLKCRDFDEKSILDCEDTKLTKSMRHDDCIGTDVGLVGIQVDSFYQDNPKWYDSPCGLDSSTDCGDSGFRHGEIV >KJB41119 pep chromosome:Graimondii2_0_v6:7:6650750:6654863:1 gene:B456_007G091500 transcript:KJB41119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNKTQDHIFHQHHGMGPSSHIIHSQSQPSIPLHDDPSFSLPEIVLFRSSPSADSPTPCDSDNDDAATAHPPLSSTPSTDLTTPSHQITNQPLGYISPEPHISSQFYTFNAESHSLMIRCIREQRLATPAEIRAATPRSVLKSWRAVWKDRNEDTAYLTAWKRIQDKLTAHVDPNSGNEFLCFKNNSNQFVSHVNQWQDIVMSFHGDADLKHLGLKETIERIKQVWTVGAKFYGIPESYIRGCVAACPVCNASSGSASRSKRRRFEYTESFDVPAKEVPHRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPAAKKSRILKREPYASKRCGCGFRIRAIVPIANYNEKEKTFVYQEEGMAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGRFVMDQDMVYGVSEDLDSEGFGLTGKEQGDLQLAVLQQVRELRAEIGLLEGRIGKIPHQLLGSVSRELFEILNKVRSFGEEGPKSIGLLSDKPHSDDLLVEENDLAHWAGDHHDRIYGDGKDAELIEDDDDSFGRTLGDVVPWDQMRTDRRSQKDLMSEPCKMDKWLKCRDFDEKSILDCEDTKLTKSMRHDDCIGTDVGLVGIQVDSFYQDNPKWYDSPCGLDSSTDCGDSGFRHGEIV >KJB41733 pep chromosome:Graimondii2_0_v6:7:9206213:9207098:1 gene:B456_007G117500 transcript:KJB41733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPILIIDKKVKLSEYEEIIGTCFVFSEDEASPVVHEETGPSEANLFSGKYILDPNQAPRKQVKPVARLQKILKFRLLLDEDVQVETNSQNNSIL >KJB43000 pep chromosome:Graimondii2_0_v6:7:16674165:16676788:-1 gene:B456_007G179000 transcript:KJB43000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSDIKRLTKTIVESIEGKLCDIQELDPLQRHLGEKLVGKRFLLVLDDVWNEYRDKWVRLKQVLRSGRRGSTIIVTTRLEKVALMMATDPFQRLGCFSDDESWSLFKQRAFVMGMNEGNVNLEMIGRQIVQRCGGVPLAITAIGSILRSKSQKSEWLRVKDSEISGLEDEGKRILAVLRLSYEHLPPYMKQCFSFCSIFPKDSVMEKDELIGLWMANGFIPSRGQLDLHDMGSEIFSELTRRSFFQEIKEDVDGTVTCKMHDLIHGISTSRTGHECYAIDLNERSKIPKTARHLFVHKISSSTNFMDLSRLQRLRSLIVGRGFDFINNLSNPSHFISKQKHLKVLDFGYGFSSIAFGSLKQPRYLRFHDDNNMKTLPEATSSLHNLQTLNLQRCYNLEMLPKGMKHLKNLRYLDLRECISLASMPIGLGQLSCLRKLSLFVVGKDIGCGGIDELKELALEGELSIRRLHDVKSSTDAKNANLIKKQNLRSLSLSWRVNNGERSQRGNDEEILYVLGFHWMMDLLLPNLVEISLANCERCHQLPPPGKLRFLEVLTIKGMGALKYIDSNFYGDMESSFPSLKFPLLSSLTIEYCPKLVKMPMLQCLENLEIGGINVTLLKSLMMNATILTSLKIRQFDELTDLPDGLLHNQKHLDSLTFVSSTLMSSCDLSDNLSTLKHLSFTCSLQLESLSSGLQNLSSLETLKLSQCDRLVSLPVNGSLCEGVRYLTSLQYLLIGGCPKLTSLPDSIQYLSSLRSLRICFCERLISLPDEIEHLALLSKLEIECCPNLMSLPRGIRGLAVLETLKIVECPHLERRGKEKRGED >KJB46325 pep chromosome:Graimondii2_0_v6:7:59146336:59147758:-1 gene:B456_007G360400 transcript:KJB46325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEENKLRLMPSNESESGSSSLTSHQLSSRKQQKLRIIAAVARCHEFKLKVVKRRRSKICWSSDDRKTSSFKSLISRNMATAETTSCLSSSSSGISSARSQPKSTTSKTEKIQRKRRIGGSNHMRCRAEAILKLLSGGCFAEVNIRRMLGDSPDTSKALRMLLKKEEVKRSGTGGQKDPYIYTVTIN >KJB40313 pep chromosome:Graimondii2_0_v6:7:4028751:4030875:1 gene:B456_007G057000 transcript:KJB40313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSRIVTCNSHGQDSSYFLGWQEYEKNPYHEVNNPQGIIQMGLAENQLSFDLPESWLAKNSDAAGFKRDGQSIFKELALFQDYHGLPAFKKALVDFMAEIRGNKVTFDPNHIVLTAGATSANETLMFCLAEQGDAFLLPTPYYPGFDRDLKWRTGVEIVPIQCTSSNRFQITAPALEEAYQQAQRKNLRVKGVLVTNPSNPLGTTMRRDELDLLINFITDKEIHLISDEIYSGTVFSSPGFVSIMEVLKDRSLEQTPVWERVHIVYSLSKDLGLPGFRVGAIYSNDPIVVAAATKMSSFGLVSSQTQYLLSAMLSDKKFTKEYMAKNQKRLQKQQSKLVSGLEKAGIGCLESNAGLFCWVDMRHLLSSNTFEAEMELWKRIVYDVKLNISPGSSCHCSEPGWFRVCFANISEDTLKLAMQRLKSFVDSVAINNQSHQELKNSRKKFRTKWVFRLSFQSFHDREQDER >KJB44636 pep chromosome:Graimondii2_0_v6:7:44200552:44201163:1 gene:B456_007G265800 transcript:KJB44636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVLETMKAELEMMRNEKTSIEALLRPAESALAENKRVLSVYKAMAKITDDLLDVVLATGGDKVNENNGQELDDETMKELIEEAMRDFTRALNQAQSCRSRPTDGRNGGGYDGSSGGDHHSLDGTNINFGKEAGGSGGGNGGGHAQ >KJB39509 pep chromosome:Graimondii2_0_v6:7:1314708:1315918:1 gene:B456_007G017200 transcript:KJB39509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVFSKSPKHCAERRRFTISKSHKKLFFAFSSFLTLLLAFIFLIWLTLHPSKPQFSLTQADIYQLSLTRPSLLNSSIQLTFLSKNPNKRVAIYYDQLQAYASYKGQQITVDTTLPPFYQDHEEANLLTAFLQGTALPVAPSFGYEVGRDQIAGKIVLSLKVNGKLRWKVGSWVSGRYRFNVNCVSIIAFGPNTAPTSPLSSNRGTQCSTTV >KJB42692 pep chromosome:Graimondii2_0_v6:7:14396881:14401857:-1 gene:B456_007G164000 transcript:KJB42692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSNATLSLVSLMVFFLLLRPGFTAQKSYVIYLGEHSHGLESAWVNVDAVTETHYEFLGSFLGSREDARQAIFYSYTRNINGFAANLADEVASEIARHPEVVSVFMNKGRKLHTTRSWEFLGLEQNGVVPSNSIWNKARYGEDTIIGNLDTGVWPESKGFGDDGYGPIPSKWKGICQNDIDIRFHCNRKLIGARYFNKGYAAIVGNKLNASFNTPRDNDGHGTHTLSTAGSNLVAKASAFGFGKGTTKGGSPRARVATYKVCWPPVGGEECFDADILAAFDMAIHDGVDVLSVSLGGEPIDFFNDSIAIGSFHAIKHGIVVVCSAGNSGPADGTVVNIAPWQITVGASTMDREFPSIVVLGNDMHYKGQSLSTKVLPHQKFFPLISAADAKVANASVQTALFCQAGAIDPKKAAGKVLVCLRGLNGGVEKGQQASLAGAVGMILANDIFADNEILVEAHVLPASNINYTDGLTVFTYINSTKNPTAYITPVTTQIGTKPAPFMAAFSSKGPNTITPEILKPDITAPGVSVIAAYTEAEGPTNEDFDKRRVQFNSLSGTSMSCPHVSGIVGLLKTLYPNWSPAAIKSAIMTSATTLDNLNEPILNASNIKAGPFSYGAGHVQPNLAMDPGLVYDLTPTDYLNFLCTLGYNETLISLFSKNTYKCPNPINLANFNYPSITIPNLVGPITVTRTVKNVGSPGIYRAKVMRPMGISIYVKPQKLKFEKAGEEKTFSVTLKVKNAQAIKEYVFGELIWSDNVHYVRSPIVVKAV >KJB43429 pep chromosome:Graimondii2_0_v6:7:20261499:20263173:1 gene:B456_007G199400 transcript:KJB43429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVSHRRIKTNGIWVHIAEQGRGPLVLLLHGFPEIWYSWRHQISFLANHGYHVVAPDLRGYGDSDSPLSPSSYTFMHYVGDIIGLLDHLGEQQAFVVGHDVGAAIAWHLSLFTPERVKASINLGVAYFDRNPNAKLGESLIRTFGDGFYISQFQEPGRAERTFARYDYLTVIKKFLLITQTDNLIAPPGMEIIDYLETPSRLPPWITEEELQVYADKFQESGFTGALNYYRALDLNWELTAPWQGSKIRVPVKFMVGENDIGFDINGIRQYIEGNVFRNLIPDLEVVMLDGHHYLQQEKHHQVSEEILSFLAKFPPE >KJB45849 pep chromosome:Graimondii2_0_v6:7:55538006:55539279:1 gene:B456_007G3326002 transcript:KJB45849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWAAVTSAVTTIGNLLTQEAIYLWGVEEQVDRLQTELKWMQSSLMEAETKQSTDERIRLWVAEIRELAYDAEDVVEEFALKIGSKNKGGLPSCIKRSACCLKEGWMLHKTRSKIEKIIERINDLVRRLQAYGVKELKNRGEESSSSTERRESRRPYPHIMDDNIVGLVDDTKGLVKVLTNESGCKVVTIWGMGGLGKTTLAKKIYHHRQVIDYFDHLAFVYVSQPCRKRNVWEDILSGFKILDDRKITDEALAEKLCKTLEDKKCLVILDDVWTSEAWDSLKPAFPVATGRDSTSKILLTSRNKGIVSDADIRELKCLNDQQSWELFQKIVFPQT >KJB39150 pep chromosome:Graimondii2_0_v6:7:39054:42170:-1 gene:B456_007G000500 transcript:KJB39150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSSIWVPRPSFLLFASIVDYNCSLQKTKVSLLWTSAIHINPRMLLMDSLTKISFSRCCIRGGDLIIVYERHDTMKVVKSVQEFGSSKSFGCI >KJB39810 pep chromosome:Graimondii2_0_v6:7:2173573:2174652:1 gene:B456_007G031500 transcript:KJB39810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLVLPISLLRKCGTASQLGYRRLPEDRRDELDQKVTVVVGKEKNEFLIDPFMLEESPFRVLIDVVKEEYGSEVIMDAKGEKKRVNLVELDEQ >KJB42678 pep chromosome:Graimondii2_0_v6:7:14273787:14278206:-1 gene:B456_007G163400 transcript:KJB42678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENNVVNQNPGEFKRTEKGKEAVAGHEGDVNGDNQGDHHQIQGGGHNTLLALQETQGPSIPVEINDDQVVNNEVVVKEKPILMVTNEIKPRLRWTYELHAYFVDAVNKLGGPQKATPKTILDLMDLDGLNLYHVKSHLQKFRLGKFWVKEWQDTSKNVSQQQGGARSLRALNSPSQNKEPNRRAKAKRNRGPKNEPRGRLYMQLQAQKHFLWYMESQRTNLNTALANHHLGGAATGNAFPYGQGQSSSGLGTFATMPGPSDFGTVAASPQFYVNQQNACPPTYDAPTGQANPCLQEVPPSGHQPQTSLYPAPESLSTPNGYPASSYQETSPVLPGSETEDEDLIEALLNWEDNEPINLDASFNFDNLHGCINYNDLQDWLK >KJB39458 pep chromosome:Graimondii2_0_v6:7:1123799:1125507:-1 gene:B456_007G014400 transcript:KJB39458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDFRNRTGPPYEAQIPIYRQQPTSSSSTHPMYGSSMYPRIGGQPAAHSVAPPTTRASSFHQNFSPSSSSGLGIRVALKPKYRITPPPQLSPQVGDIPRSNFQFDFEFERKILAEAEKENMNWSKLGLENLSSKPIETSSSSTGANSDPVVSKYIASGLSREAVTLAVANYGDNPTKVCVDRCYFIKVVGKTDDNCY >KJB39457 pep chromosome:Graimondii2_0_v6:7:1122861:1125507:-1 gene:B456_007G014400 transcript:KJB39457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDFRNRTGPPYEAQIPIYRQQPTSSSSTHPMYGSSMYPRIGGQPAAHSVAPPTTRASSFHQNFSPSSSSGLGIRVALKPKYRITPPPQLSPQVGDIPRSNFQFDFEFERKILAEAEKENMNWSKLGLENLSSKPIETSSSSTGANSDPVVSKYIASGLSREAVTLAVANYGDNPTKVREFVTGYNLLREMGFSSNNVAEALLMYDNDTDKALAHFLNSSS >KJB39021 pep chromosome:Graimondii2_0_v6:7:28251457:28252549:1 gene:B456_007G229600 transcript:KJB39021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRILPMPRKFFYQKMIKYERMVKDLIGSTDLVEKGSDLKAAQIVYVYSFDILSFFVFSLMKLVIMPPTDTRWTHLDTYGTH >KJB41082 pep chromosome:Graimondii2_0_v6:7:6520803:6522958:-1 gene:B456_007G089600 transcript:KJB41082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSSYVPRWLQVLLTEKFFNACIIHEDARKNEKNIYCLDCCISICPRCLAPHSSHRLLQVRRYVYHDVIRLDDATKLMDCAFVQSYITNSAKVVFINQRPQTRQFRGSGNFCTICDRSLQDPYLFCSLSCKIDYLSRTEDGLSKFLFECNYLPLPDSGLDDGLVTPDSVLEPSCSTKTSSGSGGYDEVWCRALACTAATSEIVRKKRTSLTACRPTCPPVSEVSGNLMNRRKKAPQRAPLY >KJB39255 pep chromosome:Graimondii2_0_v6:7:337734:340062:1 gene:B456_007G004100 transcript:KJB39255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVCMADSYDEECDYLFKAVLIGDSAVGKSNLLSRFAKDEFRLDSKPTIGVEFAYRNVKIGDRVIKAQIWDTAGQERFRAITSSYYRGALGALLVYDITRRTTFQNVKKWMHELREFGNLEMVIVLVGNKSDLSESRQVSEEEGKKIAEMEGLFFMETSALRNLNVEEAFLRMIYKIHETTMSQKCLDVNMKESNVVGAGKEIITIDEVTATKHSNNCCYR >KJB45689 pep chromosome:Graimondii2_0_v6:7:53645114:53647813:1 gene:B456_007G320900 transcript:KJB45689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVLNKIHKQLDNHRSLDQHQNDLKRKVMELNGVKEDTDSRINAELQPRKKLKTEVQIWLENVERINVEVQNLNEQIGESITLTRGFHAEDVLKRTREVEELIQQGKFEEGLVVDHPQWIGQVLSTTTLSGESAKACLEEIWQCLMDDEVGKIGVWGMGGVGKTSIMKLINNQLLKETGKFHIVIWITVSKDKSISKLQKDMASKIGISFCGDEDEITRAGMLFETLSRKSRLVMILDDIWEEVSLEKVGIPEPSTGSKLVLTTRSFDVCRKMSCRAIKVKPLVEKESWKLFSEKVGRDILNIPGVEPIAKKIAKRCAGLPLGVITVASCMKGIDDLSEWRNALKELSEHKQSVNGLEDEAFQQLRFSYDRLKDPKLQHCFLSCALYPEDWRIEERDLVQIWIAEGLVEEMDSRQAEFDRGHAIMNKLLSNCLLEVFQGSDNDRSIRMHDLVRDMALHITSAKPRFLVKADMGLREPPKVQEWSEDLEKVSLMWNGELEVLYPLEMSPPKCPMLTTLLLPGCGIKSIPEGFFNHMDGLKILKLSLNPIKSLPNSISNLKNLTALLLAYCDHLEYVPSLSKLRVLKELDLRGTKIKEVPHGMQNLSSLKCLDLKHSIVLEIPNGILSKLSCLQILNVSETLVSGKEVGKLKKLEMVEGRFYDFQDLSMYLQAFHGREEPPKYIIHMGKRPFPAAILTTKGIELGDSNISNQIMLPRDIEELYAGNCTFCCHANYPLFSRFVLTSLGTFSSLTYFEIREVKNMKKLFSPNCVPLNLQVLKISLCVQLEEIIALDFESDERGMPTMEFSLLKLKKLVLEWLPELKSICNVDAVVVCESLKWIDVRDCPKLKRMPLKLPQLRPFSPLKLRIYVKPKEWWESAEWDDPDAKSLLKRFVNFVIW >KJB40876 pep chromosome:Graimondii2_0_v6:7:5813968:5816973:1 gene:B456_007G081300 transcript:KJB40876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALVAVSSPKSTVFQSPISLRDTNKSFVGNSFSLQLKPRKSQKKDCNFGLVVASAGSGATTTVAGSDSGGSADRFYFNITGFPFPLGPFLNRRTTRTEAVKGSIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTKECIELVKELGAPVEYIVLPTFAYEHKIFVGPFSRKFPKAQVWVAPRQWSWPLNLPLEFFGIFRAKILQNEDPSTPWANEIEQKVLSSPEVGIGPYVEVAFYHKQSRTLLVTDAVIYVPKKPPECINKEYLLESAKNGLAVKILSKGKKVLDEPVVDNEINRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWIDGIARDWKFKRIIPAHFAGPIKAGRAELLAAFVFLDELLGERYVTRPSLSLLFTSLMGKAASYFPPDDMKTLSSLDQFLVSVGAVKKTVSGRKR >KJB46347 pep chromosome:Graimondii2_0_v6:7:59343503:59346891:-1 gene:B456_007G361700 transcript:KJB46347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNAGTLETRSNKTALEREEVAALESLQFTDEIHCLMSAPATDNASSFTALLELPAPQAVQLIHSPDSAKLIAAPAPNVEDFKGGFHFPSNGGLIERAARFSVFAGEGNNKSDSPEATSNISSANLQKAVKSEPAETDSSQPLISDPTVENRGIKRKDREKVKGQTKKSKTTVKESSDDTEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLAAVNPRVDFNFDSVFTAEVSIRHLFSYLLSL >KJB46344 pep chromosome:Graimondii2_0_v6:7:59342852:59346891:-1 gene:B456_007G361700 transcript:KJB46344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNAGTLETRSNKTALEREEVAALESLQFTDEIHCLMSAPATDNASSFTALLELPAPQAVQLIHSPDSAKLIAAPAPNVEDFKGGFHFPSNGGLIERAARFSVFAGEGNNKSDSPEATSNISSANLQKAVKSEPAETDSSQPLISDPTVENRGIKRKDREKVKGQTKKSKTTVKESSDDTEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLAAVNPRVDFNFDSVFTAESGFFMDSNFSGMVMPMMWPEIQLSATCLGERRSLQ >KJB46346 pep chromosome:Graimondii2_0_v6:7:59342050:59346983:-1 gene:B456_007G361700 transcript:KJB46346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNAGTLETRSNKTALEREEVAALESLQFTDEIHCLMSAPATDNASSFTALLELPAPQAVQLIHSPDSAKLIAAPAPNVEDFKGGFHFPSNGGLIERAARFSVFAGEGNNKSDSPEATSNISSANLQKAVKSEPAETDSSQPLISDPTVENRGIKRKDREKVKGQTKKSKTTVKESSDDTEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLAAVNPRVDFNFDSVFTAESGFFMDSNFSGMVMPMMWPEIQLSATCLGERRSLQ >KJB46345 pep chromosome:Graimondii2_0_v6:7:59342165:59346891:-1 gene:B456_007G361700 transcript:KJB46345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNAGTLETRSNKTALEREEVAALESLQFTDEIHCLMSAPATDNASSFTALLELPAPQAVQLIHSPDSAKLIAAPAPNVEDFKGGFHFPSNGGLIERAARFSVFAGEGNNKKSSDDTEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLAAVNPRVDFNFDSVFTAESGFFMDSNFSGMVMPMMWPEIQLSATCLGERRSLQ >KJB46488 pep chromosome:Graimondii2_0_v6:7:60463293:60465029:-1 gene:B456_007G371900 transcript:KJB46488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSMSRSCSHCGNNGHNSRTCGEVVAGDASNGSGGSGGGEEKGIMLFGVRVMEGSFRKSVSMNNLSQFDQPQVSNADAGYASDDVLHGSGIGRSRERKRGVPWTEEEHKLFLLGLQKVGKGDWRGISRNFVKTRTPIQVASHAQKYFLRRNNLNRRRRRSSLFDITTDSFINSTILEEDEVAHQENVSSPQMNGFSMPTTFPATLSLTDLSVTENNNSKENLISRPPSPKANTSSYVICPIPVLPAPPSSKMADLNLNQKAEEDRLPLSLKLSTPSADEQSTAAAHSSTLVVMSNGDNNSIISVA >KJB39680 pep chromosome:Graimondii2_0_v6:7:1755655:1758766:1 gene:B456_007G025100 transcript:KJB39680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLKVPDLFDLSTIMVSDFSPGGAFGSDTTEPDFGFAFNDSNFSDRVLRIEIMPDSPETKSDGDCCSSIADWARNRKRRREDFKKEIDVVQRQEQVLNCNVPDTVDGLTYENRDDDAVAMIEGSPSDVGLNCNQIGNDTAYDNYSSLNKDHLTVLRVNIIHISSPILAAKSPFFYKLFSNGMTESEQQYVTLPVHASEEAALLDLLNFMYSNTLSTTTPTALLDVLMVADKFEVASCMRYCSRLLRNLPMTCESALLYLDLPSTVLMADAVQPLADAAKQFLAAKYKDVTKFQDEVLNLPLAGIEAVFSSDDLQVASEDAVYDFLLKWARTHYPKLEERRRVFATRLGRLIRFPHMTCRKLKKVLTCNDFDAEIAPKVVLEALFFKAETPHKQRALASEEANAPYRHFLERAYKYRPVKVVEFEKPRQQCVVYLDLKREECAHLFPGGKVYSQAFHLGGQGFFFSAHCHMDQQSSFHCFGLFLGMQEKGPVTFAVDYEFAARSKPTEDYISKYKGNYTFTGGKAVGYRNLFGIPWTTFMADDSNYFINGVLHLRAELTVRQ >KJB39679 pep chromosome:Graimondii2_0_v6:7:1755610:1758942:1 gene:B456_007G025100 transcript:KJB39679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLKVPDLFDLSTIMVSDFSPGGAFGSDTTEPDFGFAFNDSNFSDRVLRIEIMPDSPETKSDGDCCSSIADWARNRKRRREDFKKEIDVVQRQEQVLNCNVPDTVDGLTYENRDDDAVAMIEGSPSDVGLNCNQIGNDTAYDNYSSLNKDHLTVLRVNIIHISSPILAAKSPFFYKLFSNGMTESEQQYVTLPVHASEEAALLDLLNFMYSNTLSTTTPTALLDVLMVADKFEVASCMRYCSRLLRNLPMTCESALLYLDLPSTVLMADAVQPLADAAKQFLAAKYKDVTKFQDEVLNLPLAGIEAVFSSDDLQVASEDAVYDFLLKWARTHYPKLEERRRVFATRLGRLIRFPHMTCRKLKKVLTCNDFDAEIAPKVVLEALFFKAETPHKQRALASEEANAPYRHFLERAYKYRPVKVVEFEKPRQQCVVYLDLKREECAHLFPGGKVYSQAFHLGGQGFFFSAHCHMDQQSSFHCFGLFLGMQEKGPVTFAVDYEFAARSKPTEDYISKYKGNYTFTGGKAVGYRNLFGIPWTTFMADDSNYFINGVLHLRAELTVRQ >KJB46052 pep chromosome:Graimondii2_0_v6:7:57388664:57392144:1 gene:B456_007G346000 transcript:KJB46052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVRAGTYRQLFHPQQLISGKEDAANNFARGHYTAGRDIVEVCLDRVRKLADNCTGLQGFLMFNAVGGGTGSGLGSLLLERLSVEYGKKPKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVVVLLDNEAIYDICRRSLDIERPTYKNLNRLISQIISSLTSSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISVAKAYHENLSVAQITGGVFEPGSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVRNIKTRRAVQFVDWLVGVSKFYISIK >KJB46050 pep chromosome:Graimondii2_0_v6:7:57387641:57392144:1 gene:B456_007G346000 transcript:KJB46050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVISVHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSEGNAGGNHDAFSTFFSETGSGKYVPRALFIDLEPTVMDEVRAGTYRQLFHPQQLISGKEDAANNFARGHYTAGRDIVEVCLDRVRKLADNCTGLQGFLMFNAVGGGTGSGLGSLLLERLSVEYGKKPKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVVVLLDNEAIYDICRRSLDIERPTYKNLNRLISQIISSLTSSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISVAKAYHENLSVAQITGGVFEPGSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVRNIKTRRAVQFVDWCPTGFKCGINYQPPTVVPGGDLAEVRRAVCMISNNTAVAEVFARIDHKFDLMYAKRAFVHWYIGEGMEEGEFSEAREDLSALEKDYEEVGAEGPEDDEEPQEDY >KJB46051 pep chromosome:Graimondii2_0_v6:7:57388579:57392144:1 gene:B456_007G346000 transcript:KJB46051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVRAGTYRQLFHPQQLISGKEDAANNFARGHYTAGRDIVEVCLDRVRKLADNCTGLQGFLMFNAVGGGTGSGLGSLLLERLSVEYGKKPKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVVVLLDNEAIYDICRRSLDIERPTYKNLNRLISQIISSLTSSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISVAKAYHENLSVAQITGGVFEPGSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVRNIKTRRAVQFVDWCPTGFKCGINYQPPTVVPGGDLAEVRRAVCMISNNTAVAEVFARIDHKFDLMYAKRAFVHWYIGEGMEEGEFSEAREDLSALEKDYEEVGAEGPEDDEEPQEDY >KJB46638 pep chromosome:Graimondii2_0_v6:7:60959532:60961340:-1 gene:B456_007G379900 transcript:KJB46638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEVIGYSELLEACKSMDVARSLNETIAFARVLDEAGVVLVFRDKVYLHPEKVVDLVRKAVPLALAPENDPIKDELKRLLEQKEEIDVQAHKEVRRILWTGLGLAVGQVGLFFRLTFWEFSWDVMEPITYFSTSIIIVICYAYFLFTSRDPTYQDLMKRLFLSRQRKLFLNHNFDLGNLKALQNKCKTPLDASAFV >KJB39526 pep chromosome:Graimondii2_0_v6:7:1370090:1370876:1 gene:B456_007G018200 transcript:KJB39526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPPAPPVSSGDPPRMSSTSNSTCSPNICRWQPYSDSSDFQDNVAMVLIILFCALICSVVLNAVIRCFLRGNYSGSLRSRRSNNGSHLPQTQQELEQRKPVTEAGVARMSVAPTVVYSHGMKLAGAEGVPECAICLSEFVEGDGIQVLAKCKHGFHVQCIQRWLASHSSCPTCRSICLPPSPSPEETTRECVGDGSQPVVPDPEP >KJB44462 pep chromosome:Graimondii2_0_v6:7:41243856:41245003:1 gene:B456_007G254500 transcript:KJB44462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTSHDVEESWDDEFQQLVQTFPKDKSFTGMNLYSFHGFWCPSIVLKAVISCQKHFQAFDSDIIIATLPKCGSTWLKALAFSTLYRNQFAREKNPLLTYSPHSLVRFIDYDFYFNDTCPDLENCTLYQPKLFSIHLPYASLPTSTKYSNCKIVYLCEGFEPVSLDEAFEMFCQGINEFGPFFDHVSGYWRARQEKPSKILFLQYDDLKEDINSHLKKVGNKQGVVEEIAKICSFENMKDLEVNKKGMQTFGYPQETFLGKIKTYEIPREAFFRKAKVGDWSNYLTPSMVVRLEKLIQEKLENSGLTFKLFSKTSKDITSTK >KJB44035 pep chromosome:Graimondii2_0_v6:7:28994813:28996759:1 gene:B456_007G231300 transcript:KJB44035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSFYHILVLPFFYWFLLVPLASSINFHIPRFDPNANDIFYQGDATASVGEIEFNKLNYLCRVGWATYGEMVRLWDSNTGKMSDFTSRFTVTIDTQGSSNSGHGVAFFLAPAGSQIPPNSAGGFLGLFNTTTSDSSTNQIVLVEFDTFPNPEWDPPVQHVGINKNSIASAVYTPWNASFHSGHPADVLITYNATSKNLSVSWSYKKTNNPKEKSSLSYQINLMEVLPEWVMVGFSAATGMYSERHTLHSWEFSSSLDIKETSGKNAKKTRIIIATSVSAAVLLAGILITFMILWRRKQILERNRRVALKVASITDDFERGAGPRRFTFEELVSATNNFSNERKLGEGGFGAVYRGYLADLDMAVAVKKISRGSRQGKREYITEVKIISQLRHRYLVQLVGWCHDKGEFLLVYEFMPNGSLDSHLFDKKTLLTWTSRYKIAQGLASAMLYLHEEWEQCVVHRDIKSSNVMLDLSFNVKLGDFGLARLMDHDLGWQTTRLVGTLGYMAPEYISTGRASKESDVFSFGVVALEIATGRKAINPLKQGSETSLVHWIWHLYEIGEHLSAIDERLNTEFDRDQVECLMIVGLWCAHPDSSLRPSIRQAIQVLNFETDLPELPRIMPTPVYVPTASVSSSEPSITTSSLQIGR >KJB41121 pep chromosome:Graimondii2_0_v6:7:6654303:6657507:-1 gene:B456_007G091600 transcript:KJB41121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNNGGGPCGACKFLRRKCVKGCIFAPYFDSDQGMAHFAAVHKVYGASNASKMLQRIPVHKRLDAVVTLCYEALARVRDPVYGCVGHLFTLQQQVVNLQAELAYTQARLSTLQRHPPSSSLIGSDLMSSSNMSTHFDPPQQTSVGLSSFPNSFDQVAENEQLQVLTREFVSRYLPGVRFHPSTST >KJB45794 pep chromosome:Graimondii2_0_v6:7:54867351:54868547:-1 gene:B456_007G328600 transcript:KJB45794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNNNLIGEIPSSICNWTSLMGIFLDENNLEGTIPKCIGNFSSSLTAVHLGNNNFYGQIPENFAKGCMLRSLHINNNELEGSLPRSLGNCKGLNLLNVGNNNLNDTFPSWLGNLDQLQVLILRSNRFYGQIESFDTTISLTKLRIVDLSHNNFSGCLPTPFFEHMHAIRDEYGKKVEPNYMRELKITKDALGWVNYAYGLSVTAKGLEIKGESLLSIWMVIDLCSNQFRGEIPKILGELRLLIVLNLSHNCLIGPIPSSLGNLSELESLDLSSNKLEGRIPTELKNLGFLEVLNLSQNNLKGPIPQGKQFDTFTNDSYMGNLDLCGLPLSKNCGTDEETPAKFDRDDDGDEFNWKFSILMGYGWGLVVGMSMAYIVFTTGKPWWLIRIVERVQQIFAKR >KJB46066 pep chromosome:Graimondii2_0_v6:7:57748162:57753918:-1 gene:B456_007G347100 transcript:KJB46066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLKASSNVLRFEKLPFLSRSKTRQGVVPKKITFRLVPIALSLSTSIGTVEHDLRSNSPNITIPIMVNGCTGKMGKSVIQAADSAGLYVVPVSFDAEKKSGQTVEVCGKKILVHGPSDRESILASVFQEYPNLIMVDYTVPATINDNAELYGKVGVPFVMGTTGGDRDQLYKTVEESKVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVMESHQAGKLDTSGTAKAIISCFQKLGVSFDMDQIQMLRDPKQQIEMVGVPEEHLSGHAFHLYHLTSPDQTVSFEFQHNVCGRSIYAEGTVDAILFLAKKVKSNADKRIYNMIDVLREGNMR >KJB42090 pep chromosome:Graimondii2_0_v6:7:11177061:11180305:1 gene:B456_007G136600 transcript:KJB42090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEARNRRCKLLIFSLLLVTSYCLKFMVTNLLQRKLFISLRIEAKLFTVELGSPALVSLFPVLYLPSIPRAVCDCLYKSPLKL >KJB42416 pep chromosome:Graimondii2_0_v6:7:13069208:13071186:-1 gene:B456_007G152700 transcript:KJB42416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM5 MANNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITTEGRRITKLDQILLNGNNIAILVPGGSPDPE >KJB39996 pep chromosome:Graimondii2_0_v6:7:2901337:2904348:1 gene:B456_007G041500 transcript:KJB39996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSSHSQQLMRSERRMFSASDDSAMMKQIQSTHSPDGRFLDVKPILQVIDNVLRHLTPTIDHHALNGGQGHMDAIDDSSATMDSNGMLEALAFLVHKISCEISCKCSGGGDAHATTMVLLNTLSSYSWDAKVVLTLAAFAVNFGECWLVLQLCTTNSLAKSVALLKQLPDILEYSHTLKPHFDALHKLIKAMMEVTKCIVEFTELPSQYISSDVPPLSIAVAHIPTAAYWTIRSVVACAAQITSLVGMRYEFVTSTSEAWELSSLAHKVCSIHEHLQKILHLCHQHIDEKKQTEAYEGLKHSFGTPQLDNSKILLKIFSLSKEDPHSLLGPDKTKVHIEVLRRKHVLLLISDLDITHEEIQVLESLYKYDRVASEVNYEIVWLPIVDRSAWNDSYQQKFLSLQSMMPWYTVNHPSIIEPAVVKYTREVWNFVKKPIVVTLDPLGKMTCPNALNMLWIWGNTAFPFTTDREASLWKAEAWTVELLVDGLEPNLSNWVRQEKVICLYGGEEMEWIESFTSATKNVAQFLGIGLEMVYVGKNNAKERVKKITGLINERQLSHAWQDANVWFFWKRLESMLFSKTQQGKTNEIDVIKQEVMTLLGYDGSEQGWAVFFSGTNMVRAKGDKVLNAIQSFEQWEDMARVSGFISAIRGHLEGIADEHHCTRLILPGISGGIAETVVCAECGRTMEMYFMYRCCDE >KJB43957 pep chromosome:Graimondii2_0_v6:7:27178416:27178655:1 gene:B456_007G226100 transcript:KJB43957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLHAFFCKFNSFSLLFFGFHLFNGFGFSSSPNWVIFLSAASAVLYSVILANALIICILALVSSGMEKSGGYSAI >KJB44647 pep chromosome:Graimondii2_0_v6:7:44066824:44068057:1 gene:B456_007G265400 transcript:KJB44647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFFFGRILQHRSQILLGQRLRAMRFRLGREGRRNRRAMELLVCWEGAAAEMEDQIRRIRGEMERQLVADIFTDLWVYDCLIQLENDPLMRDALQRIHAQNLHPRNLIYINQLQPFPERRRRRRRRRRSSP >KJB46390 pep chromosome:Graimondii2_0_v6:7:59703598:59707701:1 gene:B456_007G364900 transcript:KJB46390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIASNLATELIKLRSRALSQIGLWWNLEHDIFDLELTVYTIKDVLLDAVEKSVTSDLVKVWVEQVTDALYDADDLLDDFSTEALRKDLMSGNKLTKEVRLFFSSSNQFAYSLKMGRKIKAIKARLASIGSKAKMFGLVNRDCPVETSFMTKKRQQMHSFVREDEIIGRDNDKAALLELVLGFQSEENLYIIPIVGFGGLGKTTLAGLVYNDEMVKQCFELMMWVCVSDVFDVKAIVKTIIECVTYKALDQNLGMDQLQKQLRDEIDGRKYLLVLDDVWNEDVQQWLSLKNLLMGGARGSKIILTTRSMKVAKITSNCPPYVLKGLSDDDAWSLFKKIAFKEGYADSTNSVFVEMGKQISENCAGVPLAINTIGGMLSFKGTLIEWQSFLDRQLSRISLRENEILDSLGLSYSHLPSHLKLCFAYCGLYPRGHAIDVQTLVQFWIAQGFVKQSNPSQSLYEIGFGYFKDLVERSFFQEVGERYSGEGLTCKMHDLMHDLAIFVAKTNSIVVDSNFSAGEVGGKCRHISVNPSLIPLIKGKRLRTLLHFPNKREESQHMSDETWDSIISNCIHLRVLKLDNLDINKVPRSIHKLKHLRYLDLSNNPKLKILPKGICKIQNLQALKLDWCSQLKELPKKIENLVNLTHITCEQCHSLTHMPRGIGVLTSLQTLSGFVVDKDGSHGGADLSELSGLNNLRGQLRIKNLGFVKNAKEIFRAANLKEKQHLRSLVLVWSGDSDDDDKSLEDLQPHPNLESLLVIGWRGDAKFPSWISLLTNLVNISIWGPSKFKHLPSFAQLPCLQHLFVLDLVELEYMDNNNPNGRQGDLESFFPLLKRLHIQNCPNMKVWWRRRPIDDDNEDDTTVIGTSTIAFPCLSHLHIEDCPLTSMPLYPSLDELILVNTSSRPLKQTLKMNTTSISPSTSTPSLPLSKLSSFHVQNIEELDTSMLDEWLQHLTNLQQLYLIDLPNLTSLPDEMVRLTNLQQLNIDGVPRLEQRCEKHIGADWYKIAHIPEEHSIYYKKRRPYFQLRFVYLPKYSNKTKMMNNNILNLHINTNGDVNLLPLQMMMMMMMMPNVCPFVKLALENHRECLCG >KJB45660 pep chromosome:Graimondii2_0_v6:7:53339307:53343803:-1 gene:B456_007G318800 transcript:KJB45660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSQSSAAADTIKARTFDVFLSFRGKDTRDGFVSHLYKDLCRKNIETFIDDEELRKGDEISGALLKAIQASRVSVIVFSKDYASSKWCLAELVKIMDCNKWVVPVFYGVDPRDLRNQTGSFADAFAKHEENFKHESGKVKTWRSALTAAGKLSGWDSQVTRPDSTLVDKIVEDVVKKLNCGSSSANLKGLVGIERRMQEVLSLFQDGFPDFRMLGIWGMGGIGKTTLADAIYHHVSNGFQRCCFLANVREHEEQRELLKLRNEFLSTILEDENLYISTPTIGSGFLKDRLSKKKVLIVCDDVSKLSQIEFLFGGIDRIGPGSRVIVTTRNKQVLVQCGIDLIYDMKELDKDESVQLFCQCAFKSTNPTEYQLKLSKMALSVAKGNPLAIRLIGSSLYGKTKSYQESEVKKLNKVPKQDIQEVLKWSFDGLDCEEKEMFLDIACFFKGKHRDYVTRIMDACYDSAHSGIENLIDKSLISVSQNQIAMHDLLQQMGLNIVRDESPLKLEKRSRLWIPEDSYNVLSENNGTEMLRGIELDMSQLAKLELEPTAMMKMRKLRFLKFYHSYGRILLFNGLLSLPEELRYLYWEGYPLRSLPTKFDLGYLVELDMRKSHLEQLWEGKQDLVNLKVITLDFSLNLVRIPDLSRAPNLEKINLLWCSNLRDLPSSLQHLEKLTLLNVNSCENLRSLPSFYKATSLTDLDLGGCSNLCSFPEIMGTMESLRHLVLSGTALKELPSSMGNLIGLKYLSLSDCENFVCLPDSIYKLKSLERFYLKGCSRLEISRKSWTPWSGCMNLI >KJB39894 pep chromosome:Graimondii2_0_v6:7:2472722:2475265:-1 gene:B456_007G036100 transcript:KJB39894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGKIQHIICTGNLCIKEVQDYLKTLCPDLHITRGEYDEDTRYPETKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEAGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTPATTHSAH >KJB39893 pep chromosome:Graimondii2_0_v6:7:2472717:2475210:-1 gene:B456_007G036100 transcript:KJB39893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGKIQHIICTGNLCIKEVQDYLKTLCPDLHITRGEYDEDTRYPETKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEAGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTPATTHSAH >KJB39895 pep chromosome:Graimondii2_0_v6:7:2472774:2475209:-1 gene:B456_007G036100 transcript:KJB39895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGKIQHIICTGNLCIKEVQDYLKTLCPDLHITRGEYDEDTRYPETKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEAGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTPATTHSAH >KJB43591 pep chromosome:Graimondii2_0_v6:7:21923591:21924862:1 gene:B456_007G208500 transcript:KJB43591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDGDSPPHYNDDCSTSPFRKSPWSSHESTHLYASAVEDTNNVTPNVLMGSLVREEGHIYSLAASGDLLYTGSHSKNIRVWRNQKEFSGFKSNSGLVKTIVIAGEKIFTGHQDGKIRVWKIANKNSGVHKRVGTLPAFKDYLISSMKPSSYVEVNSNRKAIWLKHIDAISCLSLNEDKTLLYSASWDKTFKVWRVLDSKCLESVQSHDDAVNSIVAGFDGLVFTGSADGTVKIWSRELQEKGTKHIFSQTLLKQECAVTALALDLVATMVYCGSSDGVVNFWEGDNHLFHGGALRGHKLAVLCLVTAGNLVISGSADMGITVWKRSGGEHFCLSMLDGHAGPVKCLAIERDPDESIPGQERWILYSGSLDKSVKMWRISERAPPMTQWRQQSYSSSNTKHLPATLPANPAFSSQGGVGQIKE >KJB45629 pep chromosome:Graimondii2_0_v6:7:53231094:53231636:-1 gene:B456_007G317100 transcript:KJB45629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQISNISPKILIITLISIGFNNYFLPTDAEETGKALIESTCKKTQYPEECISALESDPGSFTANLTGLTRIAVKKSASKLVETLHIVETLVLNATDYLTWGFLVNCRDTYNISVPQIQRGLQAFDGLKFDKSYESVEAVNNAVIGCDRQGWTLPILTQVNRALFRLTKDTMMILHLLF >KJB43192 pep chromosome:Graimondii2_0_v6:7:18096520:18098436:1 gene:B456_007G188000 transcript:KJB43192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKVLNCSFLDADDFHPLSNKEKMSQGIPLSDEDRIPWLETLRGVLKDKLDNGKTVILGCSSLQKHYREILRSADADYVHGSYASTVQFVLLDAKADVLAARLEKRAAEGKHFMPATLLQSQLESLHIDEGEGIFKVDATLSPLIIVSKIQTFLFSDFEQATGNRDC >KJB43188 pep chromosome:Graimondii2_0_v6:7:18095856:18098450:1 gene:B456_007G188000 transcript:KJB43188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDHKGRVVVIMGVSGAGKSTIGDMLAKVLNCSFLDADDFHPLSNKEKMSQGIPLSDEDRIPWLETLRGVLKDKLDNGKTVILGCSSLQKHYREILRSADADYVHGSYASTVQFVLLDAKADVLAARLEKRAAEGKHFMPATLLQSQLESLHIDEGEGIFKVDATLSPLIIVSKIQTFLFSDFEQATGNRDC >KJB43191 pep chromosome:Graimondii2_0_v6:7:18097522:18098318:1 gene:B456_007G188000 transcript:KJB43191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKVLNCSFLDADDFHPLSNKEKMSQGIPLSDEDRIPWLETLRGVLKDKLDNGKTVILGCSSLQKHYREILRSADADYVHGSYASTVQFVLLDAKADVLAARLEKRAAEGKHFMPATLLQSQLESLHIDEGEGIFKVDATLSPLIIVSKIQTFLFSDFEQATGNRDC >KJB43189 pep chromosome:Graimondii2_0_v6:7:18095991:18098318:1 gene:B456_007G188000 transcript:KJB43189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDHKGRVVVIMGVSGAGKSTIGDMLAKVLNCSFLDADDFHPLSNKEKMSQGIPLSDEDRIPWLETLRGVLKDKLDNGKTVILGCSSLQKHYREILRSADADYVHGSYASTVQFVLLDAKADVLAARLEKRAAEGKHFMPATLLQSQLESLHIDEGEGIFKVDATLSPLIIVSKIQTFLFSDFEQATGNRDC >KJB43190 pep chromosome:Graimondii2_0_v6:7:18095889:18098436:1 gene:B456_007G188000 transcript:KJB43190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDHKGRVVVIMGVSGAGKSTIGDMLAKVLNCSFLDADDFHPLSNKEKMSQGIPLSDEDRIPWLETLRGVLKDKLDNGKTVILGCSSLQKHYREILRSADADYVHGSYASTVQFVLLDAKADVLAARLEKRAAEGKHFMPATLLQSQLESLHIDEGEGIFKVDATLSPLIIVSKIQTFLFSDFEQATGNRDC >KJB39069 pep chromosome:Graimondii2_0_v6:7:60406617:60408915:1 gene:B456_007G371200 transcript:KJB39069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADSKPKKFGARLCCVCNQNRAALKRPKTLEQICRECFYAVIEEEIHQVILENQLLEPGERIATGASGGKGFHCPCLCFIGGHNRVQG >KJB39067 pep chromosome:Graimondii2_0_v6:7:60406617:60407296:1 gene:B456_007G371200 transcript:KJB39067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADSKPKKFGARLCCVCNQNRAALKRPKTLEQICRECFYAVIEEEIHQVILENQLLEPGERIATGASGGKGLISLYKLI >KJB39068 pep chromosome:Graimondii2_0_v6:7:60406617:60408915:1 gene:B456_007G371200 transcript:KJB39068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADSKPKKFGARLCCVCNQNRAALKRPKTLEQICRECFYAVIEEEIHQVILENQLLEPGERIATGASGGKGFHCPCLCFIGGHNRVQG >KJB42779 pep chromosome:Graimondii2_0_v6:7:14869939:14871999:1 gene:B456_007G167200 transcript:KJB42779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSPGHSPRHVSSPSPSPYSDNALRIPTSSSSITPSGPTRKRSRVLDEDSYVAAIEKIVERDFFPDISKLRDRLDWLEAVKTGDPIQIRDAQLKIIERRGKKVNNANSEGRGLTQTPGSTFTRNFTPFDEIDGKTPQTPSVLGRGLSGEGDCRESEEEVDTKLSLDEFFRRYTSEDNDSFSKILEKVNRKKKEKYGYLTQSENTEGDVKLIEDVKRDRITDGYGTSDQPTSTLEGWKYTAKNLLMYHPADRGEAPLTEEERAIRLKSLTKEINRGNTRFHGKVMDSRPRDDGSVEVLYTPVAGATPMPMSGRDQDKGKKYDLEDLRRTPNQFYVESGKKAENGYSFVRTPSPAPGVDESPFITWGEIEGTPLRLEAEDTPIDIGGSGDGPHFKIPCPPARDVKAHSLSRDAARKLRERSKMFKKPPLPSPYRGGSASPSVRTLSPAAQKFVRSAIAKSSSSVDETLRASYRGASPGVSTPKSVRSVSRFGRDGSMNSRSPSVREGSNPPW >KJB41715 pep chromosome:Graimondii2_0_v6:7:9129421:9134775:1 gene:B456_007G116700 transcript:KJB41715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENGFNGEKDTDLHEAGTSKSQEESQKVPGDNGENQDPESSKGDEKTNTVPFYKLFAFADSTDILLMIVGTTAATGNGLSMPLMTILFGNLIDSFGTNKSNGNVVEAVSKVSVRFVYLAMGAAAAAFLQVSCWMITGERQAARIRGLYLKTILRQDVAFFDVETNTGEVIGRMSGDTVLIQDAMGEKVGKFSQLISTFIGGFVIAFVKGWLLTLVMLSAIPLLIISGGTIAVIVSKMASRGQNAYAKAATVVEQTIGSIRTVASFTGEKQAINNYNKSLVTAYRSGVHEGTAAGVGVGLVMLVIFCSYALAVWFGGKMILEKGYTGGQVLNVIMAVLTGSMSLGQASPCMTAFAAGQAAAFKMFETIKRKPEIDSYDTSGKVLEDIRGDVELRDVYFSYPARPEEQVFSGFSLSIPSSTTVALVGQSGSGKSTAISLIERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFTSSIRDNIAYGKEDATTEEIRAAAELANAANFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMGNRTTVIVAHRLSTVRNADSIAVIHKGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKGLEQLADVSDVNPELFRQSSLRRSFKRSISRGSSRTSSRNSSFSQPFGLPTEMNVTDPAMLDTEDPAEPPLKQAKEVSILRLAYLNKPEIPVILIGTLFAAANGVILPLFGILISNMIKTFYQPPDELKKDSRFWALIFLSLGLASFLINPARTYFFSIAGCKLIQRIRSTCFEKVVRMEVAWFDEPDNSSGSIGARLSADAASIRALVGDALAQLVSSFASASAGLVIAFAASWQMAFIILVLIPLIGVNGYIQAKFMKGFSADAKMMYEEASQVASDAVGSIRTVASFCAEEKVMQLYKKKCEGPMKTGIRQGLISGSGFGLAFFFLFSVYATSFYAGAQLVEHGQATFTDVFQVFLALTMAAVGISQSSSFAPDSNKAKIAAASIFAIIDRQSKIDPSDESGMTLENVKGNMELRDVSFKYPSRPDIQIFQALSLSIYAGKTVALVGESGSGKSTVISLLQRFYDPDSGTITLDGVKIQTLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKGGNATEAEIIAASELANAHKFISALQQGYDTVVGERGLQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHDTLINIKDGFYASLVALHMTASTSQ >KJB39277 pep chromosome:Graimondii2_0_v6:7:382400:382819:-1 gene:B456_007G004800 transcript:KJB39277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNLNNPIIFFSVIQKKMEREEDKKSYLTSALIQIPPENEAVSNNGINEVRRKKIIWAEVKKQVWLAGPLTGVSLFQYSIQMISVMLVGHLGELPLSAASMASSFATGTGFSLLVSMSLIYIHVLCLFVFSLFFFLK >KJB41580 pep chromosome:Graimondii2_0_v6:7:8401400:8401609:-1 gene:B456_007G110400 transcript:KJB41580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IIGEPHLVPFKSHAIEEKAVQRKLMAFSVRKRGKVQFPRSRILNQKRARLYIIHRCVLMLICWRDPKDK >KJB39324 pep chromosome:Graimondii2_0_v6:7:539685:540775:-1 gene:B456_007G006500 transcript:KJB39324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGNESTRLVCSILAMDQSCFSYKVCRFCETPVPDDKPAEFICNNRKCAGRRRSSKRLFRLLFSIGTETRVMNVVAFDRAAQVIFGCSAQEFFDFSILHPCAGNFFFLLFQQQL >KJB39323 pep chromosome:Graimondii2_0_v6:7:539588:540791:-1 gene:B456_007G006500 transcript:KJB39323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGNESTRLVCSILAMDQSCFSYKVCRFCETPVPDDKPAEFICNNRKCAGRRRSSKRLFRLLFSIGTETRVMNVVAFDRAAQVIFGCSAQEFFDFSILHPCAVKIASKVLVGELLKVTLNTPKRCKAEHLRMTNIVPMSSDFEPVIETLRKVDWS >KJB39721 pep chromosome:Graimondii2_0_v6:7:1875862:1876266:-1 gene:B456_007G027300 transcript:KJB39721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQSASMALLLALNILFFSLVSATAPCPPTKPNHKPKPNPNPSTPSSQGKCPRDALKLGVCANVLGLVKPVIGSPPVMPCCSLLDGLVDLEAAVCLCTAIKANILGINLDIPVSLSLLLNVCSKKVPSGFQC >KJB40980 pep chromosome:Graimondii2_0_v6:7:7770570:7772760:1 gene:B456_007G103600 transcript:KJB40980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQESNPPPPLLSPLNVPFLFPTSLPSSSLHPPLQTQNILPDIDWVSLLSGHENKLMIESGSNNLMSENGADHHQDAKGANMDKRKGSRIKKTSRPRFAFQTRSEDDILDDGYRWRKYGQKAVKNSIHPRSYYRCTHHTCNVKKQVQRLSKDTSIVVTTYEGIHNHPCEKLMETLTPLLRQMQFLSSF >KJB42863 pep chromosome:Graimondii2_0_v6:7:15449285:15452733:-1 gene:B456_007G171100 transcript:KJB42863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLAGRLAGKEGAFFFQESKQAVNRLVEKTPKNLPSNPPSLGQQTQADVLPEVLKHSLPSKIFRQPSDASSLSRSSKWALHPHPTNASSSSPDALNPLRAYVSLPQVTFGPKRWELPTTEHSTMASTANELRKDKYTPLNPEKLKAAAEGLKRIGMAFIVATTMVFGGAALMFGIAASKLELHSSDDVRTKGKDLVQPKFEMIREQLVPLRTWAENTSKKWHLEREDAIKDKPIIKELSKTLGAKTSN >KJB39155 pep chromosome:Graimondii2_0_v6:7:85413:89445:-1 gene:B456_007G001000 transcript:KJB39155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTELTSIEGSVTPPTSIDSENSRVGASGQTNGTTGKRKAAPQRSEVWSHFTKIINSEGASKAKCNYFQKEFCYDMKKNGTGSLKYHIGSCKKNPSNVVENTQGQLVLPRKGVEGREGNLSTWRFDQEACRKGLAQMIVIDELPFKFHIPSRTTMTRDVYELYLDERIKIKQLLRSSCSRVCLTTDTWTSLQRVNYLCITAHFIDNDWKLNKKILNFCQISSYKGESIGMVIEKCLLNWRIDKLFTVTVDNASSNDVAIGYLRKKFNPRGGLGAVRYVRQSLARIQKFKECVVVEKIECKKMLCLDVCTRWNSTYLMLDTAQNFERAFEGFEEQDTNFRVELERGEGWPSVDDWDNVRNLRDFLEHFYEVTLHAQLKSNVDFCVMAIKMKEKYDKYWGDIDKLNLLMFVACILDPRQKLKYLEFALGEMSSSEKACEMMQKLKKSLYELFDEYKPPLHNTCSQRMQALYRKRELEICGEDKTAELDKYLAEANEEFVEDFEILLWWKVNSPRFPTLSKMARDVLAIPVSTVASESAFSTGGRVLDQYRSSLTPKIVQALVCTQDWLRKSSSQEDIKKIEEQIQDIDKIENVIFIVLF >KJB42544 pep chromosome:Graimondii2_0_v6:7:13643445:13645133:1 gene:B456_007G157200 transcript:KJB42544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEAKEIHAVMFPYFAFGHISPFIQLSNKLSLHGFRISFLTPPGNVSRIKSSFLVTSTIQIIPIQIPKVQGLPTHLHNTSEMTPAMHELLKEAVDLMQPQIKTLLSELRPQFVFFDFAHHWVPKLCSQLGIKTLSFSVFSAISGAYVTVPARFIGVETEEPTVDDLKKPPCGYPQTSFTSLKAFQAQDLSYVYKSFNGRPAVYHTAVDGFNSCSAIVLKSCNEMEGPYVDFIKTQYQKPVLLTGPLTPDPPSGVLDEKWATWLAQHPPESVIFCSFGSETFLKDDQLKELATGLELTGLPFILVVNFPGGVEARAELDRVLPKGFMEAIKGRGVVHSGWVQQQLILAHESVGCCICHSGFSSIMEALMNDCQLVLLPLKGDQFLNAKLVAGDMKAGVEVSRREEDGYFSKENIKEAVETVMVDVDKEAGVSIRQNHMKWKDFLLNAEIQDKLISNLVEQLKAMA >KJB46426 pep chromosome:Graimondii2_0_v6:7:60044614:60046141:1 gene:B456_007G368100 transcript:KJB46426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVREPKEETTTLGPAVRDGEHVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMNVKADRDESSPYAAMLAAQDVSQRCNCFHVCYPLELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >KJB40918 pep chromosome:Graimondii2_0_v6:7:5894750:5897306:1 gene:B456_007G082500 transcript:KJB40918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEISDQPIFKNSWEKCRLLAKQDITLLSWLPLMKGVEEAIMFLINILMEAPIGKVVCMGRKMKEMVMGSFSSFISNFYKSKTLKIAKEAQVSDTKSTNQEKSRGWVCLVLFLLLTTGPKGVRCEDIGNPLVPGSSAMDSFLANKYLRGGARHLAAAIDYKVLSHGYRRSNTSGREKVNPYERPHNPEDRYRQGNTGKH >KJB43632 pep chromosome:Graimondii2_0_v6:7:22472215:22475918:1 gene:B456_007G210000 transcript:KJB43632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRDNLEELASMLSPIEPVIKEIQSFNKAFDKEYETEQLVEIVKRGKKLVTKCSKIRRWDIIKKPYYSHKLLGLKKSLERFCQIVMQTHQARDIKEVLFETKEISVGIRKLSLKEGSSNGNGICNQVAVMGPCRVPEPRGKVLGFDEPLKELKVKLLKDGVSVIIVSAPGGSGKTTLVKQLCQDEEVKGKYEDNIFYVSVSKTPNMELILQKLLQAKDYAVPEFQNEEDGLNYLEQKFRQLAIIPILLILDDVWPGYEFLVHNLKFELPDYKILVTSRFAFPRFDDCTYCLNPLNDDNAMTLFRHNAFLQNGKPCIPEDIVNKVMKSCKGLPLALEVVGRSLCGQPVAMWLKQAKQHSKGLSILHSNTDLLAYLQSTLDTLDDEAGIKDCYLDLGSFPEDHQTPATALIDMWVEQYKLDEDCDAFIKLHELSIRNLVNLVVMRKDDASEADSFYNDHFVMQHDLLRELAIYQSSFDPIEKRRRIIVELSRNNFPNWWSEEKQQPLGAHLLSISTDETFSYNWGYIQAPEVEVLVLNFRAKNYVLPVFMEKMDKLKVLIAMNDSIYPAEVSNFQLLYSLSNLKRFRLEKVSVPSFSTSSFQLKNLRKISLVMCNISQAFEKGTNKMSDNFPNLLEINIDYCDDLMELGEGLCDVVQLMKLSITNCHKLRALPEGIGKLVNLEVLRLTSCTDLMSLPETIGSLSQLTILDISDCLSIKNLPTRISELHNLAKLCMRGCSSCNLPSTITKLQHLKDVICDEETAYQWEFLKSYLTNLKVTVHEQDTNLNWLQIFV >KJB43633 pep chromosome:Graimondii2_0_v6:7:22472233:22475918:1 gene:B456_007G210000 transcript:KJB43633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLIGGAALGAGFGELLRVIVEASKTAAMFRDNLEELASMLSPIEPVIKEIQSFNKAFDKEYETEQLVEIVKRGKKLVTKCSKIRRWDIIKKPYYSHKLLGLKKSLERFCQIVMQTHQARDIKEVLFETKEISVGIRKLSLKEGSSNGNGICNQVAVMGPCRVPEPRGKVLGFDEPLKELKVKLLKDGVSVIIVSAPGGSGKTTLVKQLCQDEEVKGKYEDNIFYVSVSKTPNMELILQKLLQAKDYAVPEFQNEEDGLNYLEQKFRQLAIIPILLILDDVWPGYEFLVHNLKFELPDYKILVTSRFAFPRFDDCTYCLNPLNDDNAMTLFRHNAFLQNGKPCIPEDIVNKVMKSCKGLPLALEVVGRSLCGQPVAMWLKQAKQHSKGLSILHSNTDLLAYLQSTLDTLDDEAGIKDCYLDLGSFPEDHQTPATALIDMWVEQYKLDEDCDAFIKLHELSIRNLVNLVVMRKDDASEADSFYNDHFVMQHDLLRELAIYQSSFDPIEKRRRIIVELSRNNFPNWWSEEKQQPLGAHLLSISTDETFSYNWGYIQAPEVEVLVLNFRAKNYVLPVFMEKMDKLKVLIAMNDSIYPAEVSNFQLLYSLSNLKRFRLEKVSVPSFSTSSFQLKNLRKISLVMCNISQAFEKGTNKMSDNFPNLLEINIDYCDDLMELGEGLCDVVQLMKLSITNCHKLRALPEGIGKLVNLEVLRLTSCTDLMSLPETIGSLSQLTILDISDCLSIKNLPTRISELHNLAKLCMRGCSSCNLPSTITKLQHLKDVICDEETAYQWEFLKSYLTNLKVTVHEQDTNLNWLQIFV >KJB41504 pep chromosome:Graimondii2_0_v6:7:8127382:8134421:1 gene:B456_007G107700 transcript:KJB41504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHEGWAALQPPNGLLPNGLLPNEAASVIQMLDSERWMKAEERTADLIACIQPDAPSEGRRNDVADYVQRLITKCFPCQVFTFGSVPLKTYLPDGDIDLMAFSKNQNLKDMWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVLYRFLEFFSKFDWENFCVSLWGPVPISSLPEITGNFFRIRSAFSFGAKKLARLLDCPKEDLFQEVNQFFMNTWERHGSGQRPDAPRNDLWCLRLSKDDQTQESKNVWNNSISKVNDISSRLQTQGSCSFSSQHVIHPSESTTKVNEISTVSCAQSQKGYGSICNSKTSDQVRWVSNSNQNVHSSTGQNISKAETSVTDVRGRYLFARTRSSPELTETCGEFTSQGRQNGVPESGKTQIASVRTDKDRRKNMDSDMVASYNIKSSGDTSSSVRCTSTRQSIDTAAEPNSLLNGCQDDSGLGSTGQDFSSILGAQGMHQEKQDLVNMMPSSAVHGFNGQVPFPLNLAAGDLPFPIHSLSMGYDQRNLGRLVPTNIEMFPQRLISCPFANYFSGIGLPSNSEDPPGEVEHKLWHEQDRGANGGFDLDNGSFEILQSDDKQLSTSPRVGSSDNSTKVQHKFSEDIQGSTREDHIDVCQYQDNRGGDVYFEEKIVSSRHLAVSHASSYRSKTSSESSLEGLSAKIPKPSKEKRGRKTAASVLPSAACRKGKIVSEHSSQADDGRDWNSPSIPGTEMAERTTGPQLVGSLPVTKHQISGYETAQTNGSDPLIPVALFPLGPGSGKRTTDNSGLSTLAFTITGPPVPFLFCPFHNIPADTGTPEASTSHVSWDEGSENTDSGLNFESSERLDQSEVLSTSSSMRKVMSLEPAEHKSDILNGDIASHWQNLQYGRFCQNSRFSPPMIYPSPVVVPPIYLQGHFPLDGPGRPLPSNLSLSQLMNYGPRIFPVAPLQSVSSRPASLYQRYVDEMPRYRSGTGTYLPNLKASMRERHSANSRREKHNYDRNGQQGDREGNWNGNSKYRAVGRSQSRNQNEKSKFSFDQLADVGGESRTERPCGSRRHDSFTSYQSHNGSVHSNSSPSSSANLPYGMCPLPAMNLNGVSSNGPPIPSVVMLYPYDHNSACGSPAEPLDNGSLGTMGFSGVNEVSQLSNGRSSGGVFDKQIFHGTSAQRF >KJB41503 pep chromosome:Graimondii2_0_v6:7:8128291:8134262:1 gene:B456_007G107700 transcript:KJB41503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHEGWAALQPPNGLLPNGLLPNEAASVIQMLDSERWMKAEERTADLIACIQPDAPSEGRRNDVADYVQRLITKCFPCQVFTFGSVPLKTYLPDGDIDLMAFSKNQNLKDMWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVLYRFLEFFSKFDWENFCVSLWGPVPISSLPEITGNFFRIRSAFSFGAKKLARLLDCPKEDLFQEVNQFFMNTWERHGSGQRPDAPRNDLWCLRLSKDDQTQESKNVWNNSISKVNDISSRLQTQGSCSFSSQHVIHPSESTTKVNEISTVSCAQSQKGYGSICNSKTSDQVRWVSNSNQNVHSSTGQNISKAETSVTDVRGRYLFARTRSSPELTETCGEFTSQGRQNGVPESGKTQIASVRTDKDRRKNMDSDMVASYNIKSSGDTSSSVRCTSTRQSIDTAAEPNSLLNGCQDDSGLGSTGQDFSSILGAQGMHQEKQDLVNMMPSSAVHGFNGQVPFPLNLAAGDLPFPIHSLSMGYDQRNLGRLVPTNIEMFPQRLISCPFANYFSGIGLPSNSEDPPGEVEHKLWHEQDRGANGGFDLDNGSFEILQSDDKQLSTSPRVGSSDNSTKVQHKFSEDIQGSTREDHIDVCQYQDNRGGDVYFEEKIVSSRHLAVSHASSYRSKTSSESSLEGLSAKIPKPSKEKRGRKTAASVLPSAACRKGKIVSEHSSQADDGRDWNSPSIPGTEMAERTTGPQLVGSLPVTKHQISGYETAQTNGSDPLIPVALFPLGPGSGKRTTDNSGLSTLAFTITGPPVPFLFCPFHNIPADTGTPEASTSHVSWDEGSENTDSGLNFESSERLDQSEVLSTSSSMRKVMSLEPAEHKSDILNGDIASHWQNLQYGRFCQNSRFSPPMIYPSPVVVPPIYLQGHFPLDGPGRPLPSNLSLSQLMNYGPRIFPVAPLQSVSSRPASLYQRYVDEMPRYRSGTGTYLPNLKASMRERHSANSRREKHNYDRNGQQGDREGNWNGNSKYRAVGRSQSRNQNEKSKFSFDQLADVGGESRTERPCGSRRHDSFTSYQSHNGSVHSNSSPSSSANLPYGMCPLPAMNLNGVSSNGPPIPSVVMLYPYDHNSACGSPAEPLDNGSLGTMGFSGVNEVSQLSNGRSSGGVFDKQIFHGTSAQRF >KJB41505 pep chromosome:Graimondii2_0_v6:7:8130623:8135561:1 gene:B456_007G107700 transcript:KJB41505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTWERHGSGQRPDAPRNDLWCLRLSKDDQTQESKNVWNNSISKVNDISSRLQTQGSCSFSSQHVIHPSESTTKVNEISTVSCAQSQKGYGSICNSKTSDQVRWVSNSNQNVHSSTGQNISKAETSVTDVRGRYLFARTRSSPELTETCGEFTSQGRQNGVPESGKTQIASVRTDKDRRKNMDSDMVASYNIKSSGDTSSSVRCTSTRQSIDTAAEPNSLLNGCQDDSGLGSTGQDFSSILGAQGMHQEKQDLVNMMPSSAVHGFNGQVPFPLNLAAGDLPFPIHSLSMGYDQRNLGRLVPTNIEMFPQRLISCPFANYFSGIGLPSNSEDPPGEVEHKLWHEQDRGANGGFDLDNGSFEILQSDDKQLSTSPRVGSSDNSTKVQHKFSEDIQGSTREDHIDVCQYQDNRGGDVYFEEKIVSSRHLAVSHASSYRSKTSSESSLEGLSAKIPKPSKEKRGRKTAASVLPSAACRKGKIVSEHSSQADDGRDWNSPSIPGTEMAERTTGPQLVGSLPVTKHQISGYETAQTNGSDPLIPVALFPLGPGSGKRTTDNSGLSTLAFTITGPPVPFLFCPFHNIPADTGTPEASTSHVSWDEGSENTDSGLNFESSERLDQSEVLSTSSSMRKVMSLEPAEHKSDILNGDIASHWQNLQYGRFCQNSRFSPPMIYPSPVVVPPIYLQGHFPLDGPGRPLPSNLSLSQLMNYGPRIFPVAPLQSVSSRPASLYQRYVDEMPRYRSGTGTYLPNLKASMRERHSANSRREKHNYDRNGQQGDREGNWNGNSKYRAVGRSQSRNQNEKSKFSFDQLADVGGESRTERPCGSRRHDSFTSYQSHNGSVHSNSSPSSSANLPYGMCPLPAMNLNGVSSNGPPIPSVVMLYPYDHNSACGSPAEPLDNGSLGTMGFSGVNEVSQLSNGRSSGGVFDKQIFHGTSAQRF >KJB40478 pep chromosome:Graimondii2_0_v6:7:4637605:4640333:-1 gene:B456_007G065900 transcript:KJB40478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAKGIWKGDNPLDYSIPLVILQMTIVVATTRIMVFVLKPLRQPRVVSEILGGVILGPSVLGRVQGFASTIFPSRSVMVLETMANVGLVYFLFLVGVEMDLTVLRKTGKRALAVAIGGMILPFVVGICFTIVLHERKPKSLNTATYILLLGVTLSVTAFPVLARILFELKLINSEIGKLAMSSALLNVMCAWILLAFAIATADNDAKSFTSLWVLLACVGFVVVCIVFVRPAITWMVGTTSEGEPLSEFTICVILTGAMISGFITDAIGTHSVFGAFVFGLVIPNGALGVVLLEKLDDFVSGLLLPLFFAISGLKTNFASIDSGRTWGVLAFITFLSCAGKIAGTMLVTMFLRMPYNDGFILGVLMNAKGLVELIVLNIGKDRKVLDDESFSVMVIVAVVMTAIISPIVATMYKPTRSSVSYERRTLQRALHDGELRLLVCIHTHRNVPAIINLLEASNPTTKSPLCIYVLHLVELSGHASGMLIVHNARKSKHVPTAKNRTQAQSDNIINGFESFEQHSSYVSIHPLTAISPYNSIHEDICSVAEDKRVSLVIIPFHKQQTVDGGMEGTNPAFGSVNQNLLAKAPCSVGILVDRGVSGSARVAANEVSRQVCVLFFGGPDDREALAYGWRMCENPGIALTVLRFVPGANATLSTMLPTDDPDNPNVLTVEATSSWENGLDDEYINDFRSKNDDDESIVYTENVVNSSEETVAAIRKLDNYHDLLIVGRGHGVMSPLTTGLTDWSECPELGAIGDILAESDFSSTVSVLVIQQYIGSSQHELTGSPHSTSQSDDELIHRRTWSPKKTDYI >KJB40718 pep chromosome:Graimondii2_0_v6:7:5278998:5281792:1 gene:B456_007G074600 transcript:KJB40718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQSTRGEEFEKNAEKKLNSWSFFGSKYEDAADLFDKAANFFKLAKSWDRAGSIYVKLANCHLKLESTHEAAQAFVSAAHCYKKTSTKEAISCLQQAVNMFCDIGRLSMAARYYKEIAELYESEQNIEQAIDHYEKAADFFQSEEVSTSANQCKQKVAQFAAQIEQYQKAIEIYEEIARQSLTNNLLKYGVKGHLLNAGLCQLCKGDVVAITNALERYQVDLFPL >KJB40717 pep chromosome:Graimondii2_0_v6:7:5278906:5281855:1 gene:B456_007G074600 transcript:KJB40717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQSTRGEEFEKNAEKKLNSWSFFGSKYEDAADLFDKAANFFKLAKSWDRAGSIYVKLANCHLKLESTHEAAQAFVSAAHCYKKTSTKEAISCLQQAVNMFCDIGRLSMAARYYKEIAELYESEQNIEQAIDHYEKAADFFQSEEVSTSANQCKQKVAQFAAQIEQYQKAIEIYEEIARQSLTNNLLKYGVKGHLLNAGLCQLCKGDVVAITNALERYQDLDPTFSGTREYRLLADIASALDEEDVTKFTDVVKEFDSMTPLDSWKTTLLLRVKEKLKAKELEEDDLT >KJB45700 pep chromosome:Graimondii2_0_v6:7:53829956:53833281:1 gene:B456_007G3219002 transcript:KJB45700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSPEEEHPVKTFGWAARDPSGHLSPFIFSRRVTGEEDVRFKVLYCGICHSDLHSIKNEWGSSNYPMVPGHEIVGEVTEVGSKVEKVKVGDKVGVGCMVGACHSCDS >KJB45204 pep chromosome:Graimondii2_0_v6:7:50602951:50603771:1 gene:B456_007G295200 transcript:KJB45204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFNLLESRLCFALHIQLLCFSKCVKTTTETAIKIKNRQQNLYLGFFFGLLTNQQYIALQALTFLIAEPNLIQ >KJB39013 pep chromosome:Graimondii2_0_v6:7:58448681:58449472:-1 gene:B456_007G353700 transcript:KJB39013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLSHMKNNADSSQSIITLYMTSNLRPQICYFYCHQSKDQTMSAILNHHKPRKSIDSLIITSLKTKPNTDYTHVLT >KJB43466 pep chromosome:Graimondii2_0_v6:7:20527823:20528611:-1 gene:B456_007G201700 transcript:KJB43466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLRAFSTRRSRLGYERLLEVDEDVTSTGQFEAQLKRARSVPARVFGLSRKFTSEILALPENHRVESSSSTNKKVTSKSKSIIHPLFSLFDVRRKKKPTAKPEFGRYIEYLKEGGMWDMNANMPVMYYK >KJB45802 pep chromosome:Graimondii2_0_v6:7:55109059:55112715:-1 gene:B456_007G329300 transcript:KJB45802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FEALLTSWMVIDFSNNKFLGEIPKTLGDLLELESLDLSSNKLQGRIPTELTNLGFLINSLRGKIPKCIGDWSSFLNSYFHGKVPKSFAQGCALQYFQINNQLEGSLPRSLANCKDLELLDVGNNYLNDIFPDWLGNLDHLPVLILRWNKFYGQVVNTNVIVSFAHVHVIDLSQNNFSGYVTYQGMKRKVNQSPISSSLGDLVELESLDLSSNMLHGRIPTELTNLGFLEVLNLSQNNLAGSVPKGKQFNTFTNDSYIGNLGLCGLPLSNICDNDENTPAKFDGDDDEELDWRFSILMGYRCGLVLGVSLGYIVLTTGKPWWLVKIIERVQQRFAER >KJB41089 pep chromosome:Graimondii2_0_v6:7:6566829:6570013:-1 gene:B456_007G090100 transcript:KJB41089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARLQILVALSLVPFFLFPVALGYRANDVRSWCRKTPNPQPCEYFLSHDPKNTPIKDESQFFKISMHLALERAARAESNTHSLGPKCRNGREKAAWSDCVNLYELTILRLNRTVDSGTNLNKDDAQTWLSTALTNLETCRTGFMELGVPDYLLPMMSNNVSQLISNTLALNKEPYKEPTYKDGFPTWVKPGDRKLLQSSSPASTANIIVAQDGSGNYKTIKDAISAASKRSGSGRYVIYVKAGTYKENVEIGSKLKNIMMVGDGIGKTIITGSKSVGGGSTTFNSATVAVVGDGFIARGITFRNTAGPTNHQAVALRSGSDLSVFYKCSFEGYQDTLYVHSERQFYRECDIYGTVDWIFGNAAVVFQNCNIYARNPPNKTNTVTAQGRTDPNQNTGIIIHNSRVTAASDLKPVQSSVKTYLGRPWKQYSRTVFMKTYLDSLINPAGWMEWDGNFALKTLYYAEYMNTGPGSSTSNRVKWGGYHVLKSASEVSKFTVGNFLAGNSWLPSTGVPFTSGL >KJB45892 pep chromosome:Graimondii2_0_v6:7:56013349:56016124:1 gene:B456_007G335600 transcript:KJB45892 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase zeta processivity subunit [Source:Projected from Arabidopsis thaliana (AT1G16590) UniProtKB/Swiss-Prot;Acc:Q94FL5] MPNSKPTTPFSGEMERKDNQSPQGEVAGILVEFLEVAITSVVYLKGIYPSGAFERRRYMNVVVQRARHPQLREYIHSAVSGLLPSIQKGLVERVAVTFFNTDNIPMERFIFKLMVNQSFGSKVEESDLEFSLRSFLIKLSVSQPLTTVLPRDCRWEIMAYFRSLPQVSTSKDAEMWIPTDTKQWQQPPLITPIKSMNSEPLGLQLYLEHPSPAEQVSG >KJB41095 pep chromosome:Graimondii2_0_v6:7:6585220:6588802:1 gene:B456_007G090400 transcript:KJB41095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLTLSQALLARAISSHGSAQPSDHRVSLSALSIPTFSGLKSASPRASTSCRRLPTRSTRNHQVRSAAVETIGTAAETSLVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVREDDLKNFRQWESRTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDNEIIDHYTYVILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTESVDKRFEGLGWHVIWVKNGNTGYDEIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANSYSVHGSALGAKEVDATRKNLGWPYEPFHVPEDVKGHWSRHVPQGAALEAEWNAKFSAYEKNYKEEAAELKSIITGELPAGWEKALPTYTPESPADATRNLSQQNLNALVKVLPGLLGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRAAIRISALSQARVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNVLMLRPADGNETAGAYKGVEKGGYIISDNSSGNNPDVILIGTGSELEIAVKAADELRKEGKAVRVVSLVSWELFDEQSDAYKESVFPSAVTARVSIEAGSTFGWGKIVGSKGKAIGIDRFGASAPAGRIYKEFGLTPEAVIAAAKELC >KJB41094 pep chromosome:Graimondii2_0_v6:7:6585086:6588839:1 gene:B456_007G090400 transcript:KJB41094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLTLSQALLARAISSHGSAQPSDHRVSLSALSIPTFSGLKSASPRASTSCRRLPTRSTRNHQVRSAAVETIGTAAETSLVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVREDDLKNFRQWESRTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDNEIIDHYTYVILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTESVDKRFEGLGWHVIWVKNGNTGYDEIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANSYSVHGSALGAKEVDATRKNLGWPYEPFHVPEDVKGHWSRHVPQGAALEAEWNAKFSAYEKNYKEEAAELKSIITGELPAGWEKALPTYTPESPADATRNLSQQNLNALVKVLPGLLGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRAAIRISALSQARVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNVLMLRPADGNETAGAYKVAVLKRKTPSILALSRQKLPQLAGTSIEGVEKGGYIISDNSSGNNPDVILIGTGSELEIAVKAADELRKEGKAVRVVSLVSWELFDEQSDAYKESVFPSAVTARVSIEAGSTFGWGKIVGSKGKAIGIDRFGASAPAGRIYKEFGLTPEAVIAAAKELC >KJB46355 pep chromosome:Graimondii2_0_v6:7:59427229:59427970:1 gene:B456_007G362600 transcript:KJB46355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENHPKLPCTAAFLLTLFSLISSLAALEPNTFSRHLSPSSLGLKKQEKLSHLHFYFHDIVAGENATAVRVAKAKTTTETSPFGAVAVIDDPLTVSPDIGSKMVGKAQGIYALTSKTEASLLMAYNFAFMEGKYNGSSLGVMGRNPVFSAVREMPVIGGSGVFRFARGYAEARTHAFDLKTGNAVVEYNVYVFHY >KJB44809 pep chromosome:Graimondii2_0_v6:7:46785272:46786381:-1 gene:B456_007G274200 transcript:KJB44809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSRILEVTLLSAEDLLEVYKTMKTYAIVWVQPDRKLATGIDQTGGTHPAWNDKFSFRVDDKFLSSEEDAKIFVEIYAAAWVKDALVGCVNVNINDIFHLRSVTDSKINNNSTARTVTLQVRRPSGRPQGILNMEVSLVDSTMRSLPLLEEPIPKPTENDHHEDDESQNDKLNVNVNAKMTRSQSDRTELKMEDNSMERPVKGSTINGGFDASELDDKCNGSMVNGGSMVNGGSVCSTDVGPSASVVAAAIAHGLYKPPVNNQVQTKENLRIKEWTRKEREEEELEMKIERWETKIPPAATVSRKSIRGKKGKGGSTKLFSCFSNSFGIEISITCGGNEAQGGINGNGSNYKVYHLSDADDDYSQSIV >KJB42451 pep chromosome:Graimondii2_0_v6:7:13138769:13141602:1 gene:B456_007G153800 transcript:KJB42451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSREMKSEAWRWGLGLFYILAVASIWISASFVVQSVVDAGVSPFLITYICNALFLLYIPLVEIGRYVEDNCGSLMFWRNRRSHLQELGGSEQAILLAEGVLGTREDGSSRFSLAEEGEISHEIPDGSQSENDSIEHIKTLPEQGEVADMGLDAKGRWTRTRVAKVSLSISPFWFLAQLTFNLSLKYTTVTSNTILSSASSLFTFLVSLAFLGEKFTWIKLVSVLLCMAGTIIVSLGDSSNSKNLRIIASNPVLGDILALLSAAFYAIYVTLIRKQLPDNDEKSGHASMAQFLGFLGLFNVLIFLPVALILNVTKLESLNTLSWKQFGLIIGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAIVDTIIGNAPHLMDYLGAVAVMIGFAGINIPSDVFCRYKETTIELENENITLANQEHTPLPLQETIPID >KJB42452 pep chromosome:Graimondii2_0_v6:7:13138690:13141677:1 gene:B456_007G153800 transcript:KJB42452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSREMKSEAWRWGLGLFYILAVASIWISASFVVQSVVDAGVSPFLITYICNALFLLYIPLVEIGRYVEDNCGSLMFWRNRRSHLQELGGSEQAILLAEGVLGTREDGSSRFSLAEEGEISHEIPDGSQSENDSIEHIKTLPEQGEVADMGLDAKGRWTRTRVAKVSLSISPFWFLAQLTFNLSLKYTTVTSNTILSSASSLFTFLVSLAFLGEKFTWIKLVSVLLCMAGTIIVSLGDSSNSKNLRIIASNPVLGDILALLSAAFYAIYVTLIRKQLPDNDEKSGHASMAQFLGFLGLFNVLIFLPVALILNVTKLESLNTLSWKQFGLIIGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAIVDTIIGNAPHLMDYLGAVAVMIGFAGINIPSDVFCRYKETTIELENENITLANQEHTPLPLQETIPID >KJB45063 pep chromosome:Graimondii2_0_v6:7:49442111:49443960:-1 gene:B456_007G287800 transcript:KJB45063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLRNVSVSGEYHQPEQVLSSPCSKLGASIDDLFPTQNTEVDVSLEWLSIFVEDCLSSTGNCIPVAATAAPNVTTTATTTTKPTNSAKKPHQITPPSLQKIVVPGKARSKRKRVSTTLSKTKNNPFCSWYQSLQLPNSDPLLLQQSCWLADSELIMPKKEDDSSSGMVGYSEVEESTKREGMEEEKAAAAAVSKESIGDGNNNGVNQQQPRRCSHCLAQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYLHSNSHKKVMEMRMSLLSSIPSEQ >KJB44143 pep chromosome:Graimondii2_0_v6:7:32013822:32015265:1 gene:B456_007G236700 transcript:KJB44143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIKVPPGPPKLPLIGNFHLSGNFPHHSLKKLPKKYGSIMLLQLGSVPTVIVSSAKTAKQVLKIHDLYCCTRPASPGPNRFSYNGLDVLFSPYSDHWKEMHKIFIFELLSMKRVQSFAYAREAKVDKLITSLSQASPKSVNLNEKIFALTDGIIGSIAFGKIYGTDQFKDQVFHNVLGEAMNMLDSLLAEDFFPRIGRIIDALTGLSGRLEKSFHQFDDYLQMVLDQHLDHARPKPEQEDLVDFLTRLMKDESNSFRITENCVRAMLFDAFMGGIVTTSTTILRAMSELKKNPRVMNKVQDEIRNYIGKKAKVEGKDISKLKYLKMVVKETFRLYPPRPQWLGKPYELDMRDTNIEFSLANILYWFDWEVPNDMKREDISIEE >KJB45125 pep chromosome:Graimondii2_0_v6:7:49930244:49931404:-1 gene:B456_007G291200 transcript:KJB45125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTGGSAKGGRGKPKSSKAVSRSHKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQTLLPKKMGKGKGDIGSASQEF >KJB46023 pep chromosome:Graimondii2_0_v6:7:57048010:57053442:-1 gene:B456_007G344000 transcript:KJB46023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLPSTSSSISKKKYDVFLSFRGEDTSNNFTDHLYDALKRSGIVTFRDDPKLEAGEEIAPELFNAIQQSWCSVIVFSKTYTFSGWCLEELAEIVQQKNVNGHKVFPIFYDVDPSDLRKQKGKVEEAFAKHQERYKEDTDKIQKWRNALTQVANIKGWHLHNRHESEFIGDIVKKISAKLCQTYPVLHDELVGISSRLEQLYSKINIGEDDVRIIGICGMGGIGKTTLARVVYTQMSPHFEGKSFLADIREVSNKCGLVSLHKQLLYQILPNECFNFFNVHEGNAIISRRLSSKKVLVVLDDVDNVQHLKCLVGRRDWFSLGSRIIVTTRDEHLFQSYRIDDVYKPTTLNTNDALRLFNLKAFGGDTTTKDDFNQLSEHVVHYVDGLPLALEVLGSFLFGRDIIQWRSAIERLKQDSNKEILDTLRISFDGLEEREKNIFLDIACFFNGEEKDLVMKVLDGCEFFPDIGIDVLIKKSLIKVSDDNQYLQMHALLQEMRRKIVEEKCVNEPGKCCRLWKEKDVHHVLTKNTATEVIEGIIIDNKRDSSKMLNLRIDTFLKMKKLRLLKVLCVSNCDDIKYLSNELRLLDWTGYPLRCLPSSFQPDNLVALLLPYSHIEQLWKGNRPLYKLKIINLKGSQNLLKIPDFTTAPNLEVLILEGCTKLVDVHPSIGVLKSLKLLNLRDCKSLRNLPTKIGMESLETLILSGCSSLVRFPEIDRKMEHLKTLDLSGCYRVENLSENLQQAKLRPNLSSLFKVIVGRRKNPMPRMLPSLLGLSSLRELKLRNCNLCEGDIPRDISSLSSLTDVDLSGNNFISIPASLTRLSKLWFLDLSNCNMCTLGEADIHGLSSLSYLYLTGNNFITIPLALTQLSRLNFLALSNCKMLKSLPELLTSIADVRIDDCSSLEVVASPSNVCNLLDFGLIKAINCFKLAENINALTLLKKHLKALANSRKKFDIMMPGSEIPEWFIQQKSDSSIKIPVPKDSQWIGVACCCIFVNNDASRDDEHIGCGAIIYCRNSEEASCNGSNFRGKNPRRIDGSGWLVDKRFNQPIMKDHLFLRYWSRDKVYPFSLEDKYGHCETNNLWATDCSDEKCYELEVSFSNPNDEDNAKVKKCGARIVYEKDLEEIKELQ >KJB40942 pep chromosome:Graimondii2_0_v6:7:6021391:6022403:-1 gene:B456_007G084200 transcript:KJB40942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNIYYRDVAGQERFRSLIPSYIRDSSVAVVVYVVASKSQIEEAEAKDRDLNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTTQEDMVDVNLKSTNANASQSQQQSGGCAC >KJB41188 pep chromosome:Graimondii2_0_v6:7:11691150:11691880:-1 gene:B456_007G141100 transcript:KJB41188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGELLTRLDRRVPPVIKLVARGVASEVHRAGLLNTASGLAKLVYTKYEPTAKQLYAKYEPKAEQCAVLAWRKLNKLPLFPQVASVVVPTAAYCSDKYNETVVSSAEKGYKVASYLPLVPAEKIAKVFGEQTTEMEPLVSESLSALNLCLCGL >KJB46334 pep chromosome:Graimondii2_0_v6:7:59198693:59203412:-1 gene:B456_007G360800 transcript:KJB46334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKKIENLNSRQVTFSKRRNGLLKKAKELSILCDAEVGVIIFSSTGKVYQWSSTSMEHTLSRYNKGMEEDHSQEHPFDEQQVEQLQGIEVNTMKQEYLRLHTAYMRLNGKELDDLSFKELKQLENQLNEGIASVEQQKEQILMEQLKRSRLQEQKTIMENEDLRKQVEEMRQKRSSSIIELNPLLERRLDHSPNNSKADDNNSASDDDNHLSDTSLHLGLTSNVRRKRKATEIESTTNDSGSQVASE >KJB40080 pep chromosome:Graimondii2_0_v6:7:3200697:3206689:1 gene:B456_007G045900 transcript:KJB40080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YSFPRLVSAHFLMLFIAHVYSLNSDESSALLALKAYISPEPQNVLANNWTTATSVCNWIGVICGGQPQRVVALSLSSMGLDGTLPPVGTTPSALGSITSLKKIDLSYNKLSGSIASTIFNLSSLQIIDLSHNMLAGNLPADMANRLPELKELYLSRNQLYGQIPSSLFNCRQLKVLSLSSNSFTGNISINLGNLTMLKDLDLSTNNFEGRIPSSIGNCSSLKTINFSENNLEGAMPSEIGLFLPNLLELQLRGNKLQGTIPSSIANASKLTLLDLADNSFTGPIPMTIGSMKNLQKLDLGHNQLSSESYTPESSFINSLTDNRNLRKIVLSGNPLDTMLPMSIGNLSTSLEYLELTNCKLKGSIPAEIGSLSSLISLKLGNNALTGVIPDTIGHLENLQSLQLQGNKLQGFIPYDLCLLGRMFELFLGGNELSGSIPECLSNLTALRNLSISSNRLTSTIPSSLWSLVDILAINLSSNSLQGSLPSGIGDLKALVEIDLSNNQLSGHIPVSIEKLKNLVYLSFAVNRLHGPIPEFLGLAVALEFLDLSRNNLSGVIPKSLEKLHYLIYFNVSFNQLEGEIPGAGSFANFRAQSYLMNNALCGAARLQVRHCRTKSTGKRLPKTILLLTMILLPVTASICFLSPVYRVIKHCLEKEEDPLLTTNRTILYQEIVLATDGFSESNLLGTGSFGFVYKGTMKEEKNVAIKVFDLTLERGMRSFQVESELLTKVGHPNLVKLMNSCSDNNFRALVLEYMPNGTLDKWLYTHNYFLNLLQRLDIMIEVASAMSYLHSEHIIHCDLKPSNVLLDEDMVARVSDFSIAKLLDGRDAAVQTGTMATIGYMAPEYGSRGIVSEKTDVYSFGILLMETFTGKKPTDNTFNGEMNLRSWIFESLPNAVDRITDVTLLKNDQEDIAAKTRCIKSIMNVAWFCTVESFSERKTMIEVQCKLCRIKSRFLRDAKLIEECEVTQMALPPRILFRELMLATDGFSERNLLDSGKSSFVYKGTLEDKCVVAIKIFDLQKRGLEGFEAHCQALSCIRHRNVVKLLKCCVDIDFKALVVEYMPYGSLQNYLHPGMNIFNFHQRLEIMINVASALSYLHSMRVIHCNLNPTNVLLDEDMVARISGFSFSKVLDKGSAATITTTMAETPGYIAPEYESTGNVSEKTDVYCFGILLMETFTILNPTEMTWRSLICDLLQSEDKIADLVFQRTDQKGFTATSTPTIRFLRTILRLIYCCTSELPDERKGMWKVEKELVRLRQQFWFC >KJB40008 pep chromosome:Graimondii2_0_v6:7:2926265:2929548:1 gene:B456_007G041900 transcript:KJB40008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDSVHGQWKHHELKVKDSKTLLFGEKPVTVFGIRNPEEIPWGETGAEYIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVMGVNEKDYKPEYDIISNASCTTNCLAPLAKVIHDKFGIIEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKAASYDEIKAAIKVAAEGELKGILGYTDEDLVSTDFVGDSRSSIFDAKAGIALNEKFAKLVSWYDNEWGYSTRVVDLIIHVDAVSKAK >KJB42731 pep chromosome:Graimondii2_0_v6:7:14683101:14685425:1 gene:B456_007G166200 transcript:KJB42731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCIVCSMANCKKLRLKDAALKRLLSVVVVTLLGVLLLIVSLRTNTTPGLSELDEDLSEFTDIQSFSEKLNLPKQNEFSIRLAKINQMPPRNRDLYPKLAKDHITIVLYVHNRPQYLQVVVKSLSKVVGISETLLIVSHDGYFEDMNKIVEGIKFCQVKQIFAPFSPHVFPDSFPGVSSNDCKEKDDAGKKHCVGSPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLKETRGHNGHILFIEEDHFIYPNAYRNLQLLVSLKPIKCPDCYAANLAPCDVNLRGEGWDSLIAERMGNVGYAFNWTVWRKIHRKAKEFCFFDDYNWDITMWATVYPSFGSPVYTLRGPRTSAVHFGKCGLHQGQGQTNACIDNGSVNMQVDDIDRVANIRSEWGVHVYDNQPGYKAGFKGWGGWGDDRDRQLCLNFAQMYHSYNTSLSAVTMS >KJB46124 pep chromosome:Graimondii2_0_v6:7:57924593:57926084:1 gene:B456_007G349100 transcript:KJB46124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLIFMILSMLLIISEARKVDNLNDRKILTLGSSNPLRDHSIIKNVIMKRETPGGSNYPLHNSNPPHAPSGFNVIVKRETPGGPNPLHDSNPLQAPSGFNVIVKRETPGGPNPLHHSNPPLAPSGFNVIVKRETPGGPNPLHHSNPPLAPSGFNVIVKRETPGGPNPIHNHNPPQAPSGFNVIVKRETPGGPNPLHDSNPLQAPSGFNVIVKRDTPGGPNPLHHSNPPLAPSGFNVIVKRETPGGPNPLHHSNPPLAPSGFNVIVKRETPGGPNPIHNHNPPQAPSGFNVIVKRETPGGPNPLHDSNPLQAPSGFNVIVKRETPGGPNPLHHSNPPLAPSGFNVIVKRETPGGPNPIHNHNPPQAPSGFNVIVKRETPGGPNPIHNHNPPQAPSGFTVIVKRETPRGPNPLHNSNPPQTPSGFNVIVKRETPGGPNPLHNSNPLLAPPPGI >KJB39930 pep chromosome:Graimondii2_0_v6:7:2697746:2701528:1 gene:B456_007G038800 transcript:KJB39930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKFIISGDQAISWRCFTLFIFSLMISANVVNGDSLETDKEALLNLKAFLEEHNRVNRGIYSEWNVDKSISPCQWQGISCSSSSVVGQQRVIGINLSENNISGEIFHNFSALTNLQHLDLSSNTIGGALPDDLNRCKSLVYLNLSHNILGGNLVFKGLNRLEKLDLSTNRFNGDIKVSFPGICQNLIVANLSTNNFTGEIDSCFDECWNLMHLDLSSNNFSGSLWSGFSRLVGFSVSENSISGVVSGSMFADNCSLHDLDLSENKFHGELPGEISNCRDLVILNVGGNNFTGPIPSELGSISSIEALFLGDNRFSSSIPESLLNLTNLEFLDVSKHSFGGEIQKIFGRFKQVKFLLLHGNAYTGGIDTSGILKLQNISRLDLSNNRFSGSLPVEICQMPSLNFLMLSNNQFTGSIPPEYGNFSQLQTLDLSFNRLSGSIPPELGKLNSLLWLMLANNSLSGEIPSEIGNCTSLLWLNLANNQLSGRIPPELAKIGRSATRTFELNRLRNDTIIAGSGECSAMMRWIPADYPPFSFVYTILTRKSCRSIWDRLLKGYGLFPVCTAGSTVRTLQISGYLQLSGNQFSGSIPSDIGTMQNFSMLHLGFNDFSGELPAQIGQLPLVVLNITRNQFSGLIPAEIGNIKCLQNLDLSYNNFSGIFPTSFSNLTDLTKFNISYNRYISGVVPTTAQFGTFEKDSYLGNPLLDVPDFMDNKTDHLPNHNGTRKRSARFAVLIVAVALTLTALAFVVLSVLVCRQVNSRSEPQRYLLQDTKYRPDLASSSSGSSDTIKVIRLDKTAFTHADILRATSNFSDDRILGKGGFGTVYRGVLPDGREVAVKKLQREGIEGEKEFRAEMEVLSGNGFGWPHPNLVTLYGWCLHGLEKILVYEYMGGGSLEDIISDRIRLTWWKRIDIAVDIARALVFLHHECYPAIVHRDVKASNVLLDKDGRARVTDFGLARIVDIGDSHVSTMVAGTVGYVAPEYGQTWQATTKGDVYSYGVLAMELATGRRAVDGGEECLVEWGKRIMGKGRNVSSRAVIPVMLLGSGLSVGAEEMFELLRIGVRCTAEAPQDRPNMKEVLGMLIRITSNRADFNYGIS >KJB43323 pep chromosome:Graimondii2_0_v6:7:19176703:19177965:-1 gene:B456_007G194100 transcript:KJB43323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLPISNSISLSSISPPSASRIRFRPPLAAASTAYFTSKQQKASPSGYLSRPGMAACTSLYEVLGISVGASNQEIKAAYRRLARVCHPDVVTIDRKDSSADEFMKIHAAYSTLSDPDKRAVYDSKLIWRCQRPLTSASMFSGYTGRSWETDQCW >KJB45257 pep chromosome:Graimondii2_0_v6:7:50826088:50826590:1 gene:B456_007G297600 transcript:KJB45257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKVAYLMVQQILSIICLILITNLGAMAMDPLNIPHCLGPCSTVENCFHDCVAQGFPKGGTCIGITLAHMDCCCKWS >KJB44033 pep chromosome:Graimondii2_0_v6:7:28985811:28987760:1 gene:B456_007G231100 transcript:KJB44033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGFINLLQSSLLIPFLFTLPFVTSLNFQISRFDSNASNILYQGDAKPSVGAIEFNLINYINRVGRATYAEKVPIWDSRTGRLTDFSTRFSFNISIQGSDYGHGLVFFLAPVGSQIPLNSAGGFLGLFNTTTSDSSQNQIVLVEFDTFENPEWDPTGIGSHVGINENSISSANYTRWNASFHSGDTADVVINYNDTTKNLSVSWSYQKTNNPRENSSLSYQIDLMTVLPEWVMVGFSAATGQYVERHTLQYWEFGSSLTVAEDTSGNKARNVRIVVSIVVPVGVLIAGTIIAFIIWKRKKHAKRTPETTNLTSMNDDLERGAGPRRFSYTDLASATNNFSEQRKLGEGGFGAVYRGYLNDLEVEIAVKRISSGSKQGRKEYVTEVKVISQLRHRNLVQLIGWCHDKNDFILVYEFMPNGSLDSHLFGRRSPLTWSVRYRISLGLASALLYLHEEWEQCVVHRDIKSSNVMLDSSFNVKLGDFGLAKLMDHEQGPKTTGLAGTIGYLAPEYICTGRASKESDVYSFGVVLLEIATGRKSVGPGRKSDMGLVEWIWGLYGTGELILAVDDKLGKEFDEKQVESLMIVGLWCAHPDYNSRPSIRQAIHVLCLESPLPNLPVKMPVPTYQVSLSSVSSSEPSVTYSSMDLGR >KJB39621 pep chromosome:Graimondii2_0_v6:7:1605147:1612611:-1 gene:B456_007G022200 transcript:KJB39621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKPMTSIETDLSEEQEVLAATMTGIKFNVSGDEDNGNSIDWYPPMTFKISSKELSKKSTIVVEVSEDSLMMVRKRGRQALPADYWDFIPKDQQEEEDGLMKPRRRVLSHAQVHYLLKDVDPEFIKKFIQNVDSIFLNCFPVTPNSHRVTEITHRSSNSQRLIFDERTRLYKRLVDFRGVANELSSHVLECLKISKASYSALFSFLYLLFQEKPSNEDNELILALTRNKDPVSNMSGLRYMKNVLLGKRNDHCFRMVLTGNPSLKLSEIGIPCPVAERLQIAEQLNKWNEERLKACCNLRILEKGEICIRREGTLVRIHHNEKIRLGDIIYRPLNNGDIVLINRPPSIHQHSFIALSVKVLPVSSSVSINPLICSPFRGDFDGDCLHGYVPQSINTRVELSELVSLNRQLINGQSGRNLLSLSHDSLSAAYLVNGDGVLLNLYEMQQLEMFCPNRSPSPAIIKAPLLSNPVWTGKQLFSMLFPPELDYGFSPSDLVIRNGELITSSEGSSWFRDADGNLFESLIKNCRGKVLDFLHGAQEVLCEWLSMRGMSVSLLDLYLTPDSNSRKNMMDEIFYGLQETELTCNFKQLMVDSFCDFLAGNNEEIDGFVGFDFERMCYEKQRSAAVNQASVDSFKQVFRDIQNLSYKYANKDNSLLTMFKAGSKGNLLKLVQHSMCLGLQRSVVPLSFRFPPKLSCSEWNDLKSQGLTQSGDDSVESAKNFIPYAVIESSFMTGLNPLECFVHSVTSRDSSFSDHADLPGTLSRRLMFFLRDLCASYDGTVRNAYGGQVVQFSYGSDKGTSTATSFANEIQSQGSILPDGTGGQPVGSLSACAISEAAYSALDQPISLLETSPLLNLKRVLECGSRRRNSDQTMTLFLSDKLGKGRHCFEYAALEIKNYLEKLIFSDIVSTVLITYSPQKSTENCFNPWVCHFHVCKEIMKRRRLSVHSIIGSLQMHYANAKKLWKINLPDIQVTSKSCSHTDMPNKDDMFCITVTIVEPSKRSHIELDVILAIVLPSLLEAVVKGFPEIKKVQILWNDRFKVSKSHKTSPGELYLRVAVTGGFGKTKLWGMLMNDCLPIMDLIDWTRSHPDDINQFCSAYGIDSGWKFFLNNLKSAISDTGKTILNEHLHLVADCLSVTGEFVGLNSKGLRLRQEHAFVSSPFMQACFSNPSASFVKAAKKGVSDNLQGTIDALSWGRVPCIGTGAQFDILYSMKDEMITEPVDVYNLLGNIVGSQNQDVEFEVPQACNIKSEKYVSELMDALGDSAFERLKNIETKILREFLTLNDIQRLSRTLKDILHKSPIGHRLSGADWNSAMMALYFHPRRDEKVGSGAQEIKVGYHPEHKNSRCFLLARTDGAIVDFSYHKCVIGALEVIAPDKVQFYKSKWSQSGNL >KJB45871 pep chromosome:Graimondii2_0_v6:7:55884441:55888608:-1 gene:B456_007G334700 transcript:KJB45871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRMISRSLLSSVKSSYPQNFPSLFHHPITTKISSLKPLSVFSSFSSYHQPTHTTSPPLFKLTHKDWLSPTEILKIFDNLKDPNSLISVLAQYSARKDYKPTEPLFTLLINKLAYAQDFDSIENIMEKLKREKACRLSDEFFQNVIKKYGHIGGRIKRAIEILFSMPEYGTWPSVKIFNIVLSLLVSNKLFDVVHEVYGKAPKLGVEIEACTLNILIKGLCENGKLEFAFQLLDEFPKQRCKPNVRTYSTLMHGLCDKGKVDEAFELMGRMETEGIDADAVSFNILISGLRKQGRIDEGVKLLEIMKKKGCYPNAGSYQEVLYGLLDAARFMEAKEIMGKMVFERVNPSFDSYKKLIHGFCKGKMVKEVDWALKQMVRHGFVPKMGMWIQIVDCVFARNKNNTCDCSLLGEIINS >KJB44698 pep chromosome:Graimondii2_0_v6:7:45306942:45307932:-1 gene:B456_007G270100 transcript:KJB44698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAHFILVFCLLALASPFAYASDPSPLQDFCVAINDPEDAVFVNGKFCKDPKLAKAQDFYYSGLNIPRNTSNPVGSTVTPVNVAQIPGLNTLGISLVRIDYAPNGGVNPPHTHPRATEILVVVEGTLYVGFVTSNTDNRLITKVLYPGDVFVFPIGLIHFQFNVGKTNAVAFAALSSQNPGVITVANAVFGSNPPINPDVLVKAFQLDKNVVKNLQSKFWWANN >KJB39093 pep chromosome:Graimondii2_0_v6:7:11592082:11594144:1 gene:B456_007G140100 transcript:KJB39093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYALHFDLSTGFPPQYVLSDHHFRQICGKFQLYSSCCPSLYLSIRTRVGGLGRYFHKRFVVCLIYDK >KJB45679 pep chromosome:Graimondii2_0_v6:7:53561891:53562198:1 gene:B456_007G3201001 transcript:KJB45679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IQIKPLAEEEAWNLFLEIVGGNILNIPGLEPVAKSITKHCAGLPLGVIVVAACMKGLDDLFEWRNALKELSLARQSVNGLEDEVIQQLRFSYDRLKDQKLQH >KJB39658 pep chromosome:Graimondii2_0_v6:7:1703629:1704719:-1 gene:B456_007G023900 transcript:KJB39658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCAFCPFHSRITKKKNPNLSLVFLLRFRVLISIKTWFILVSKMSYNLSSISDYSCFNYKIPEMVSTETTDYNVLSDLPSYDLQALLNNKGDEQNPNQLENLCLYRTTQFRSLSSSRNPDKGYENFDFAYNDENVAKFLQRSCFNGHSFRNFQGPDNSFFENSLMKKPGFKIGRYNPEERQERISKYRAKRNQRNFNKRIKYACRKTIADNRPRIRGRFARNDETVEIQKAPCSTRHEEEFELWALHEVEDETMAIGTFMNSFSLHQFQYSCHGRF >KJB39657 pep chromosome:Graimondii2_0_v6:7:1703384:1704723:-1 gene:B456_007G023900 transcript:KJB39657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCAFCPFHSRITKKKNPNLSLVFLLRFRVLISIKTWFILVSKMSYNLSSISDYSCFNYKIPEMVSTETTDYNVLSDLPSYDLQALLNNKGDEQNPNQLENLCLYRTTQFRSLSSSRNPDKGYENFDFAYNDENVAKFLQRSCFNGHSFRNFQGPDNSFFEKPGFKIGRYNPEERQERISKYRAKRNQRNFNKRIKYACRKTIADNRPRIRGRFARNDETVEIQKAPCSTRHEEEFELWALHEVEDETMAIGTFMNSFSLHQFQYSCHGRF >KJB43999 pep chromosome:Graimondii2_0_v6:7:28105347:28107537:1 gene:B456_007G229000 transcript:KJB43999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIASAKWLSELEMEDPTLFPQYPTMNPIDFSFDDFNFESFSPESYTSYTNIDHSHGLHIEASHNVGDDDFRRPMKQLKTSSSNWKSSCITDNIPPKAASSSSSHIISFDNSNSSPPDISQHYCGLDRDLKRKNEVSKRVGSSTRAPLYAQDHVIAERKRREKLSQRFISLSALIPGLKKNDKASVLGDAIKYLKQLQERVGTLENQVATKTMESVIIVRKTQIYSDDETSSCGENFESQSNNPFPEIEARVSEKDVLIRIHCEKNKGCIPNIINEVEKLHLCVLNTNVLPFGQATLDITIVAQMEADFSMTVKDLVKSLRLALLNFM >KJB46245 pep chromosome:Graimondii2_0_v6:7:58495687:58498093:-1 gene:B456_007G354300 transcript:KJB46245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLRKCYDPQELENYSEDNKELAWMFFVDGCAILQAVYMRYDDDDDGKLFIKNDLLRFVYSDLFLLENQLPFRVLELLTSSSKNGEKFMDSIKRFIDDTVINPGEDSEWLWEQHKEGERIHLLHLLRVRLLVEEEKPWWWRFIERRFINEATTKRYHSRTIGTVKELKKAGITLKASETSCLTDISFNHIFFSGKLRLPPITVDDSTINLIAYEMCPDFDNDFTVTSYMCFLDLLIDEAEDVKDLRDAGILYNRLGSDEEVAKLFNKMNTDLVPSPMIYSGNMWINHAAQGYHTYFRSPWTFLAFLGAIAALTLSALQTYYAMHQQNQGSSKHKN >KJB42089 pep chromosome:Graimondii2_0_v6:7:11144557:11150427:-1 gene:B456_007G136500 transcript:KJB42089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQIEDVTVYFPYDYIYPEQYSYMIELKRALDAKGHCLLEMPTGTGKTIALLSLITSYSLSKPQTSIKLIYCTRTVHEMEKTLAELKLLHNYQIKHLGPQARILAIGLSSRKNLCVNPTVLAAENRDSVDAGCRKLTASWVRAMAAENPNVPTCQFFENYERAASAAVLPPGVYTLQDLRVFGKEKGWCPYFLARHMVQFANVVVYSYQYLLDPKVAGIISKEMQKESVVVFDEAHNIDNVCIEALSVSVRRQTLEGATRNLNRISQEIDRFKATDASRLRAEYNRLVEGLALRGNLPITDNWLSNPALPDDILKEAVPGNIRRAEHFLHVLRRLVQYLRGRLDTENVEKESPVSFVASINSHAGIDQKTLKFCYDRLHSLMLTLEITDTDEFLHIQTICDFATLVGTYGRGFSIIIEPFDERMPHIPDPILQLSCHDASLAIKPVFDRFQSVVITSGTLSPIDLYPRLLNFHPVVSRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGKLLLEMVSVVPDGIVCFFVSYSYMDGIINTWNDSGILKEIMQHKLVFIETQDVVETTLALDNYRKACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSKILLARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPSWILSHLRDVNLNLSTDMALHIAKEFLRKMAQPYEKIGGSGRKTLLSEEDLEKMGDGGMDEMLY >KJB43874 pep chromosome:Graimondii2_0_v6:7:25624540:25625641:-1 gene:B456_007G221000 transcript:KJB43874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQQQDVDKKADDNMDEKKASLEGLPIDDSPYVKYGDLEDYKRRGYGTEGHQQPNPGRGAASSTDAPTLSGAALSSERPFDATDTINRQGVP >KJB39432 pep chromosome:Graimondii2_0_v6:7:1048354:1049982:1 gene:B456_007G013300 transcript:KJB39432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDWDLYAVVRSCTSATNTSTSAVSGNYFSNGNNDSWREDPLACLASLTFEEEDDPLTFPNLKKSGSLQDSYEPLLSDPTTTVISTNHGTDPSSSRSINGVSSGQYHQWQQQLIKPLNTQQQLKKPRKRKNQQKRTVCHVTADNLSSDPWAWRKYGQKPIKGSPYPRNYYRCSSSKGCSARKQVERSNLEPNVFIVTYTGDHTHPRPTHRNSLAGSTRNKLSTVQRAAAPATASCSTSPTTVSAPGGTAADVNNNAGDNGDDDDESTDNIAPEMSPEAVNDYEDDLLIPDVHVDDDLFKGLEELVGDAGGGSSSSTGSGLGNSSAFGDKFSSWGTGSSASAAAGGGW >KJB41113 pep chromosome:Graimondii2_0_v6:7:6605341:6607913:1 gene:B456_007G091000 transcript:KJB41113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEQDNSSKRKGYVGEWEESVTGGIGYIGSWQVRQQDEKLKIFKADLRSPESFNAAMEGCRRVLHVSAPMDFQDNEPEAVLTQRSVDGALGIVKSCLRSKTVKRAVHTSSISAMCFNKENVERMDESFWTDVDYVRSEHNSYVSSYAISKTETEKAVSEVATEHGLDLVTIIPPIVVGPFICPKMHG >KJB42730 pep chromosome:Graimondii2_0_v6:7:14646289:14648045:-1 gene:B456_007G165800 transcript:KJB42730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPKAATLFLLFFLLLLSSTTTSNAHNITRLLAKYPQFSTFNHYLTTTHLASQINRRQTITVLALDNTAMSSLLAKHYSLYTLKNILSLHVLVDYFGSKKLHRISKRSTLTSTMFQATGAAPGTSGYVNITNLKGGKVGFGAEDNEGKLDATFVKSIKEIPYNISIVQISHALNSAEAEAPTAAPSQLNLTEIMSKQGCKAFADLLTASGADDKFNENMDAGLTVFCPTDSAVKSFMPKYKNLTASKKVSLLLYHGIPVFMSLQMLKTNNGVMNTLATDGANSYDFSVSNEDEVVSLDTTVVTSKVLGILKEEEPLIVFKINKVLMPKELFKPVVAKEANAPEADAPADSEPADAEDNTNGVQGLGGGRLVMVVLSLWIGILLI >KJB46372 pep chromosome:Graimondii2_0_v6:7:59509591:59510259:-1 gene:B456_007G363500 transcript:KJB46372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLDSDSGFVDSKEVSRFGYAIGVSAIILLIIVVTALASYVCSKAFQSPQAPPSNSDMIDPESFFVDDGGGLDEETIKSYPKLTYSEAKLLKKGSTDTCCSICLNDYKGSDSLSMLPNCGHLFHLECVDHWLRLQSTCPVCRTSPILTPLSMPPADDHVAPLPSRPGD >KJB41227 pep chromosome:Graimondii2_0_v6:7:7039951:7043599:1 gene:B456_007G095800 transcript:KJB41227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEDDAPKSLMEEMYANGIEGVDDAPSSSTSTTIRKNRPIISGEQLDIEAYAALYSGRTKIMRLIFLADHCDNSGMQLEALRMAYDELKKGENTQLFREVVQKIDGRLGPNYSTDATWCAMVDRKADQRKDKLESELNAYRTNLIKESIRMGYNDFGDFYYAHGALGDAFKSYVRTRDYCTTSKHIIQMCLSAILVSIEMGQFAHVTSYVSKAEQTPEALDPPTIAKLRCAAGLAHLEAKKYKLAARKFLEVGPELGNSYSEVIAPQDVATYGGLCALASFDRTELKNKVIDNINFRNFLELVPEVRELINDFYSSHYASCLEYLGNLKSNLMLDIHIHDHVETLYDQIRSKALIQYTHPFVSVDMRMMADAFKTSVAGLEKELESLITDNQIQARIDSHNKILYARHADQRNATFQRVLQTGNEFDWDVRAMLLRANLLKHEYNLRASRKI >KJB41228 pep chromosome:Graimondii2_0_v6:7:7039953:7043599:1 gene:B456_007G095800 transcript:KJB41228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEDDAPKSLMEEMYANGIEGVDDAPSSSTSTTIRKNRPIISGEQLDIEAYAALYSGRTKIMRLIFLADHCDNSGMQLEALRMAYDELKKGENTQLFREVVQKIDGRLGPNYSTDATWCAMVDRKADQRKDKLESELNAYRTNLIKESIRMGYNDFGDFYYAHGALGDAFKSYVRTRDYCTTSKHIIQMCLSAILVSIEMGQFAHVTSYVSKAEQTPEALDPPTIAKLRCAAGLAHLEAKKYKLAARKFLEVGPELGNSYSEVIAPQDVATYGGLCALASFDRTELKNKVIDNINFRNFLELVPEVRELINDFYSSHYASCLEYLGNLKSNLMLDIHIHDHVETLYDQIRSKALIQYTHPFVSVDMRMMADAFKTSVAGLEKELESLITDNQIQVLFFTTFLLFLSFVGACELGFVHDFSNISSNLICQLICISSVINEKNFTLSFGTCIDHVFIVKSRKTIKMNFLISKREPSQTLKTVRGGK >KJB45791 pep chromosome:Graimondii2_0_v6:7:54803307:54815644:-1 gene:B456_007G328300 transcript:KJB45791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPSFLPPFMFGLLFATFGVILSAKYSSITVDQSALLALKSHIIHDPHNFLTTNWTTSISVCSWIGVTCGSKHYRVTALNLSSMDLTGTISSQLGNLSFLAWLDIHQNSFQGSLPIELTNLRRMNYLDLGNNSFNGEIPPWFGCFSKLRRLSLYLNNFIGVIPSTLGNLSKLEMLSLGGNQISGRIPNSLFKCKELKFLSLFNNSLEGSIPTEIGNLTLLKTLGLGLNHFKGKQFLRLIDVNFLYSRKTAFYKAHFGKIPSTIENLTTLEVIDLAYNNLSALKSHRLLLLINSNITTLDALVLKLRGDKISGRIPNSLIKCNEVTALNLSSMDLTGTISSQLGNLSFLAWLDIHQNSFQGSLPVELTNLRRMKYLDFGNNSFNGEIPSWFGCFSKLQRLSLYLNNFIGEISLTIGNLTTLKAIDHSNNNLSSEIPSTVGNLTTLEVIELSNNNLSSEIPLIIGNLTSLLVLYLDNNKLTGEIPLVIGNLTSLQSLYLSYNKLTGKIPLVIGNLTSLQYLSLSNNKLTGEIPLVIGNLTSLQFLHLSNNKLTEIPSWFGCFSKLQRLSLYLNNFIGVIPSTLGNLSKLERLGLGGNQISGRIPNSLFKCKDLKFLSLSSNSLEGSEIPLVIGNMTSLQMLSLQSNKLTGIISFLLFSFLLLNLCNLFT >KJB46293 pep chromosome:Graimondii2_0_v6:7:58900748:58901538:1 gene:B456_007G357200 transcript:KJB46293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNWACTGVIPTRKSLALCDRLSVSSFCRRRLSTVLVHLKFAEHLKEAVTYVKQGHIRVGPETVTDPAFRVTRNIEDFITWVNTSKIRRKVLEYNEKLDDYDAMN >KJB43140 pep chromosome:Graimondii2_0_v6:7:17872817:17873717:-1 gene:B456_007G186400 transcript:KJB43140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSHSNSFQAGQAQGQAQEKTSQMVDKARETAQSAMDSMQQAGQQMQAKAQGAADAMKDTMGMNK >KJB44694 pep chromosome:Graimondii2_0_v6:7:44637289:44639245:-1 gene:B456_007G267100 transcript:KJB44694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSITFQANPVFVYVFFLLFLGSHYCFSQKTCPRCGSMVVPYPLSTHPSCGDPSYSLRCDSQTHKLYFDALNGSSYPVIRIMASVYRMVVQPSPWLTGKCVTQDMGVSEGIWLNQTLPFNITSSNTIFLLNCSPRLLMSPLNCTPTSLCHHYLESSGHVEAKRALQCAEGVNPCCTFVAGGMPSAYKIRLHSSGCKAFRSILHLDPEKAAYEWEEGLEIEWRPPLEPVCKSQLDCFGASNCSPTSSNGLSRCLCNKGYKWDTVVGSCLRKKKKSRVSLKVSIGVISFFTLAMAIVAITSRKRWRNYNQAKLMKAREDMLKSSNGGKPAKIFRLKEVKKMTNDFSRNRFLGSGGFGEVYKGELPNGDIVAVKSAKVGNIKSTQQVLNEVGILSQVNHKNLVRLLGCCVEAEQPLMIYEYISNGTLSDHLHGKYSTFLDWKTRLKIALQTAEALAYLHSAAYTPIYHRDVKSANILLDYEFNAKVSDFGLSRLASPGLSHVSTCAQGTLGYMDPEYYRNYQLTDKSDVYSYGVVLLELLTSQRAIDFSRDQDDVNLAIYVNQRATNGAIMEVVDQQLLKDPSADMLKSFKLFSDLAFACLREKKSGRPAMKDVVQELHCIIQIIDQENVSKELSQEII >KJB39153 pep chromosome:Graimondii2_0_v6:7:59776:60966:1 gene:B456_007G000800 transcript:KJB39153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLRWCEALKQGVSAAVGRRLPSSPLNPLLLREATLRTNSVFRGTKSWLSLSSDANATSDSKKAGTGVDSVTFAEAKKLMGLVNVESLKTKLGMEGKEVIGYSELLTACKSMGIAKSLDEAIAFALVLDEAGIVLLFRDKVYLHPDKVPILLFSFTLLNY >KJB45020 pep chromosome:Graimondii2_0_v6:7:49008108:49009562:1 gene:B456_007G285800 transcript:KJB45020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATARLIFPLHHLTQSCPKPKKPNLFPFHKLLSRIPPNILQTHRNQPHFTVRSIDVSKEDSQETPTSDPDNKQSPSVEETSGGEETIDKRRLEEKFAVLNTGIYECRSCGFKYDEAVGDPSYPIPPGFQFDKLPDDWRCPTCGAAKSFFESKSVEIAGFAQNQQFGLGGNALTSGQKALLIYGSLFFFFVLFLSGYFLQ >KJB46409 pep chromosome:Graimondii2_0_v6:7:59924039:59924863:-1 gene:B456_007G3662001 transcript:KJB46409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAIASVIATELIIKLSSRALSQVGLWWNLKHDIHNLRRTVCQIKAVLLDAEEKSVTDNLVKVWLEELKDVLYDADDLLDDFSTEALRKDVSGGNKLTKEVRLFFLTSNQFAYGLKMGRKMKAIKARLASIEREANTFGFIPRDRPAETSFMTKRRQQTHSFEREDDIIGRDDDKAALLKLVLEFQSEENVYVIPIVGFGGLGKTALAQFVYNHEMVKNHFDLTMFACVSDDFDVKVIVANIIK >KJB44886 pep chromosome:Graimondii2_0_v6:7:47561582:47562272:-1 gene:B456_007G277900 transcript:KJB44886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIIVSNFAAVLTKANLKGSNKVQSSKVLRLPVMATNGRARCSLEQKSSSNRLSSNPSMVASMMVAVAAMVTTAAAPAMALVDERLFTEGT >KJB40231 pep chromosome:Graimondii2_0_v6:7:3666475:3667881:-1 gene:B456_007G052600 transcript:KJB40231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHFLLVHGMCHGAWCWYKIVSLLKSGGHRVTPLDLGASGINPKTITDLASLSDYAEPLMALMASLPQDEKVILVGHSYGGVIISLAMERFPMKVLAAVYLTAFMPNHDSPIATAVAEYFRRVMAEPLMDFQLLFEDGPENPPTHALFGPKYMEAMVYQLSPKEDIELANTLLRQGKWFMKDLSKESLLSKEKFGSVDRVYIVCKDDLLIKESLQKWYIENSPTDDVKVIAGADHMAMFSKPQEVCKCLQEVAEKYN >KJB41306 pep chromosome:Graimondii2_0_v6:7:7253258:7257340:1 gene:B456_007G098200 transcript:KJB41306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFKLGVEVVGAHDLVAKDGKGSSNPFVELHFDDQRFRTTTKENDLNPVWNESFYFNISDPNNFIKLPLEAYVYSHNKANNTKTCLGKLRLTRTSFVPYSDAVVMHYPLEKRTIFSHAKGELGLKVFLTDDPSIKLSNPLPAMESFLDTDVGSGYAQTPNFPSSLPKEKTDKRHTFHHLPNANHSQQKQNFTSVPPQQQMNYGVHEMKSEPQAAKVVHMFSGSSSHSQPSDFALKETSPFLGEGRIIGGRVIRGDRPTSTYDLVEQMRYLFVRVVKARDLPSKDLTGSLDPYVEVKVGNYKGITKHYERNQNPEWNQVFAFARETMQSTVLEVVLKDKDLVKDDFVGIVRFDLHEVPMRVPPDSPLAPEWYRLQDKKGEKKKGELMLAVWYGTQADETFPDAWHSDAFAPGDSTSIASAYIRSKVYHSPRLWYVRVNVIEAQDLVPSDKNRFPDVYVKVQIGNQILKTKTVQPRNLNPIWNQEFMFVTSEPFEDHLIFSVEDRVGSNKDETMGKAVVPLNSVEKRADDRVVRTRWYNLEKSLSDAMDGDRAKKDKFHSRLHVCVCLDGGYHVLDESTQYSSDLRPTAKQLWKSSVGVLELGILNADRLQPMKTKDGKGTSDTYCVAKYGHKWVRTRTIVNSLNPKYNEQYTWEVYDPATVLTVGVFDNSQIGDSNGNKDIKIGKVRIRISTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSMLSMMFQYSRPLLPKMHYKRPLSVIQQDMLRYQAVNIVAARLGRAEPPLRREVIEYMSDANAHLWSMRRSKANFLRLTSVFSGLFEVGKWFGEVCKWKNPMTTVLVHFLFVMLVCFPELILSTVFLYMFLIGVWKYRYRPRYPPHMDTSLSCADAVSPDELDEEFESFPASRSSDLVRMRYDRLRSVAGRVQSVVSDIANQGERFQALLSWRDPRATTIFVIFCLAAAIVLYVTPFQVFALIAGLYFMRHPRFRHKIPAAPINFFRRLPARTDSML >KJB41305 pep chromosome:Graimondii2_0_v6:7:7248116:7249630:-1 gene:B456_007G098100 transcript:KJB41305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSSGMYVNDDCKLKFLELKAKRNYRFIVYKIDEQTQQVIVDKLGGPQETYEDFTNSLPEHECRYAVFDYDFTTDENCQKSKIFFFAWSPDGSRVRSKMLYASSKDKFKRQLDGIQAELQATDPSEMTLDIIKARAL >KJB40584 pep chromosome:Graimondii2_0_v6:7:4945349:4946563:1 gene:B456_007G070000 transcript:KJB40584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAIDLRVLMDHDKEQDKEFHAMLMAPKMPKAVKPVIAADGDNVRRPRGRPAGSKNKPKPPIIVTRESANAIRAHAMEVSSGCDVNESLANFARKKQRGICVLSGSGCVTNVTLRQPASSGVIVTLHGRYEILSLLGSILPPPAPPGITGLTIYLAGAQGQVAGGVVVGALIASGPVLVMAASFMNATFDRLPLDEDEVATAMQNQYGHHNGPHHQPDESDLYGMPQNLITNGTTPPEMYPWAPGRTMSKT >KJB45847 pep chromosome:Graimondii2_0_v6:7:55509379:55510506:1 gene:B456_007G332500 transcript:KJB45847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHAIAEPQTPHPEESTTTTTDQPPIFSPDNRWKPWLLIALNILFLLAGQSAAVLLTKFYFNNGGKSKWMASLMQTAGFPLLYLPLLFFPSFNKQSPSSSNNITHYVVYFSLGCLLGIDNFMYTVGVKPLSISTYSLLCASQLVFNAIFSVVINCKKLGILTLNSIIFITVSASMVAIHPDSSETKRDEKNPVRKNEHTIGFISTVGASAGYALLLSLTQFSFDKILKKDTFSVVFEMQIYTSLVSSFVCLLGLFLSLEFMDLKSEMEKFDEGKVIYVVSLIGIALAWQICTVGVVGLIYLVSSLFSNVVSMLSLPFVPVVGVLLYKEKMDAIKVLAMLFTLWGFASYIYQQYLDDKKSKKKESQEIEASKSEV >KJB46451 pep chromosome:Graimondii2_0_v6:7:60221997:60222320:-1 gene:B456_007G369400 transcript:KJB46451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIYLTEIEDINSFYTLKSLKEIYGIIWMLVPILTLVFRIIIGVLVIVWLERETYARIKQRIELEYANPLDILQALANRTKLLFKENILPSRGNTCLFRIGPAIASY >KJB40075 pep chromosome:Graimondii2_0_v6:7:3168698:3170740:-1 gene:B456_007G045400 transcript:KJB40075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKKERNKERREKRLQEISLLRTIPYSDHQRWWSQETVAVVTGANRGIGFEIARQLAGHGLTVILTSRNISVGIEATKALQEGGFSYDVHQLDILDGESISAFVEWIKQKYGGIDILVNNAGVNYNLGFDNSVEFARQVVDTNYYGTKNMIKAMIPVMKPSAAGARIVNVSSRLGRLNGRRNRIQDATLREKLTNLETLSEELIGRTVSRFLQQVEDETWQSGGWPQTFTDYSVSKLAVNAYTRLVAKELCDRPQGEKIYINCYCPGWVKTAMTGWAGNISPEVAADTGVWLSLLSDQAITGKFFAERREINF >KJB45601 pep chromosome:Graimondii2_0_v6:7:55483097:55486466:1 gene:B456_007G332100 transcript:KJB45601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT3G14770) UniProtKB/TrEMBL;Acc:A0A178VM79] MFFSILNGTLTVCRDAAGIAGNIFAFGLFISPIPTFKRIIRNQSIENFSGLPYIYALLNCLICTWYGTPLVSHDNVLVMTVNSIGAVFQITYIVTFIVYADKEKKMRMLGMLLGVFGLLAIIVAGSLQIADRATRWIFVGFLSCASLISMFASPLFIINLVIRTRSVEFMPFYLSLSTFLMSTSFLLYGVFNFDAFIYVPNGIGTILGILQLALYFRYKAKSMEESNEPLMVSQA >KJB45602 pep chromosome:Graimondii2_0_v6:7:55483097:55486466:1 gene:B456_007G332100 transcript:KJB45602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT3G14770) UniProtKB/TrEMBL;Acc:A0A178VM79] MFFSILNGTLTVCRDAAGIAGNIFAFGLFISPIPTFKRIIRNQSIENFSGLPYIYALLNCLICTWYGTPLVSHDNVLVMTVNSIGAVFQITYIVTFIVYADKEKKMRMLGMLLGVFGLLAIIVAGSLQIADRATRWIFVGFLSCASLISMFASPLFIINLVIRTRSVEFMPFYLSLSTFLMSTSFLLYGVFNFDAFIYVPNGIGTILGILQLALYFRYKAKSMEESNEPLMVSQA >KJB44605 pep chromosome:Graimondii2_0_v6:7:43047941:43050249:-1 gene:B456_007G261300 transcript:KJB44605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLYDEMKMRIEATTKLDRIPDYIRKQHKGFCEWDFVTSKRDHQTILQILIDGRDTNAVDIKGDPLPTLVYLAREKRPQYHHHFKAGAMNALIRVSSRISNGPIILNVDCDMYSNNSKSIKYSLCIFMDEEKGDEIAYIQFPQKFNNLTKNDIYGSSFRVIQQLELAGLDANGGPMYIGTGCFHRREALCGKQYEKNYKVDWKKLNDTKANESASVLEETCKVLASCTFEHNTPWGKEMGLKYGILVEDIITGLSIKCRGWKSMYLNPEREGFLGVAPTTLLQLLVQHTRWAEGHLQIFLSRYCSLVYGYKRIPLKLRLAYCPFNLWAANCLATLYYVVVPCLCLLKGFSLFPKISSPWVVPFVYVAFVHRAYSLGEFLWCGGTFRGWCNDQRVWLFKRTTSYFFAFFQTILKLLGYSQLTFALTAKVSDENVSERYEQELIEFGATSPMFDILATLAMLNLFGSFGAIKKVILDADEDFKVLDQFGLQILLCLVLVTINLPVYQALFFRKDNGKMPSSVTYKSIIFALLACTVAMY >KJB41466 pep chromosome:Graimondii2_0_v6:7:7941012:7942379:1 gene:B456_007G105700 transcript:KJB41466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPASPFPVKYTEHRTVTNKLVKPSSKWFPMESKAKAPKVVRVCITDGDATDSSSDECDRVRYQRVKRHVNEIRIQDCSTANFSKPPNKQNRQVSNKSNHVNIRSKKQQQQQQQCLSNGMKYRGVRQRPWGRWAAEIRDPTSRTRVWLGTYDTAEEAALVYDRAAIRIKGPDALTNFSKPPVRPPPEIELEMISGYDSGQESQSLCSPTSVLRFQSNEEAELQTESNDDSLDDMERGWRPVEELAGEPSNLSDEYLLTDPGALCDYFNSDNPVPEPIFFDEMRLPEDSNLELDISVKLDVDFGSSTWDVDNYY >KJB41465 pep chromosome:Graimondii2_0_v6:7:7940993:7942379:1 gene:B456_007G105700 transcript:KJB41465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPASPFPVKYTEHRTVTNKLVKPSSKWFPMESKAKAPKVVRVCITDGDATDSSSDECDRVRYQRVKRHVNEIRIQDCSTANFSKPPNKQNRQVSNKSNHVNIRSKKQQQQQQQCLSNGMKYRGVRQRPWGRWAAEIRDPTSRTRVWLGTYDTAEEAALVYDRAAIRIKGPDALTNFSKPPVRPPPEIELEMISGYDSGQESQSLCSPTSVLRFQSNEEAELQTESNDDSLDDMERGWRPVEELAGEPSNLSDEYLLTDPGALCDYFNSDNPVPEPIFFDEMRLPEDSNLELDISVKLDVDFGSSTWDVDNYY >KJB46633 pep chromosome:Graimondii2_0_v6:7:60933216:60934215:1 gene:B456_007G379400 transcript:KJB46633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKTPVALPTMISPLGKACARMDLTAVQDILLKTGYKEEEGADNELSFQEWTQQVRDMLNTKKFGDIAFRDKDFKNAIYYYSKLVAMMSVPSGTVFVRRVLSYLIIGQPELALRDAMQAQVWLP >KJB43832 pep chromosome:Graimondii2_0_v6:7:25050086:25051916:1 gene:B456_007G218800 transcript:KJB43832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSRLRCITVDVTGTLIAYKGELGDYYCMAAKSVGLPCPDYKRVHQGFKLAYTEMVNKYPCFGFAAKMPNIVWWKTCVRDSFVRAGYEYDEETFEKIFRRIYASFGSSAPYTVFSDSQPFLRWAREKGIKVGIISNAEYRYQDVILPALGLNQGTEWDFGVFSGLEGVEKPDPRIYEIALQRAGNIAPEETLHIGDSMRKDYVPARSVGMNALLLDRFRTPDAEEWRKSGAIVLPDLVAVKELLCSEKSASAC >KJB46351 pep chromosome:Graimondii2_0_v6:7:59366899:59369695:-1 gene:B456_007G362000 transcript:KJB46351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGSSSLSDSGGSSIDSLNNNALKLGRNIYFNDSSAAVNGGPATMKTQSPPTQPPLGCKKPRVGGMIHGGRPPRCQVEGCEVDLSDVKAYYARHKVCVTHSKSPKAIVAGIEQRFCQQCSRFHQLPEFDKAKRSCRRRLAGHNERRRKPSPGSLFPSHYSRLSSSVIESNGRGRSFILDFTAYSRVSGKDAWPTMRSLDRIPGNQNTAPEKSLQHHHPWPKNMENPSSGDLFLQGSLGGTGFSGTATSQGECFTGVSDSSCALSLLSNQPWGSKNRASALGVNDMMNTEPMPHDTFVNPYLQTSEPADAQHFGRLELPQQNSVQHMELEQHSRSYDTSAQYIHWSL >KJB45038 pep chromosome:Graimondii2_0_v6:7:49253661:49255074:-1 gene:B456_007G286500 transcript:KJB45038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSRFVNSISKRSFISSGYSYPERHLILSQHRPIFTTSPVHSSWMASIKEVFTGKKTSPDDHVTSAESFTLLRFADELKNARNVGKFKQYIVGRTSEATFEDVFEKKLAIVRYLGGCDPTGENLQLSQKKEAAKQCDCTLLDVENALAKFTWAKEVHKKMVKLKEEGKPMPKNFAEIA >KJB45037 pep chromosome:Graimondii2_0_v6:7:49252198:49255152:-1 gene:B456_007G286500 transcript:KJB45037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSRFVNSISKRSFISSGYSYPERHLILSQHRPIFTTSPVHSSWMASIKEVFTGKKTSPDDHVTSAESFTLLRFADELKNARNVGKFKQYIVGRTSEATFEDVFEKKLAIVRYLGGCDPTGENLQLSQKKEAAKQCDCTLLDVENALAKFTWAKEVHKKMVKLKEEGKPMPKNFAEVQKLMGSTPLDLAKFNMAKSGEMSRNASCPCGSKKRYKRCCGKDP >KJB39384 pep chromosome:Graimondii2_0_v6:7:784699:786030:-1 gene:B456_007G010100 transcript:KJB39384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSPMSDSGSGNGASRPPNFSDEDVMLASCYPKKRAGRKKFRETRHPVFRGVRRRNSGKWVCEVREPYKKSRIWLGTFPTEEMAARAHDVAALALRGRLACLNFADSAWRLPVPASTDPKDIQKAAAEAAEAFRPVDSAGDGSKTAEKTAVEGTKESEEVFYLDEEAVFGREKFLANMAAGMMMSPPHSGYEKDEQEFEFADGYVRLWSYSI >KJB45296 pep chromosome:Graimondii2_0_v6:7:51081776:51082126:-1 gene:B456_007G299100 transcript:KJB45296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVRIIFAVIGFSTSFIFVLPSLKKWHRQQVSIEKLRIISQALEHAEERVVRFQERHDRILGQICSYYMVNQDLEDALAGARAAMNEALEFAVGLRKMQLQILRSLTEVDAFHVG >KJB43219 pep chromosome:Graimondii2_0_v6:7:18286353:18287035:1 gene:B456_007G188900 transcript:KJB43219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTITINLLGRKIGFNSLLNKVTSLWSPKSHMQLMDLENYYYLVRFQDKGDFNKVLVEGPWVIFCQYLTICQAIGPVVKLDVHTSSRRRGRFARFAVFMDSRKLLMSKIKIITRIQKIEYESFLVVCYNCGIYEHNIDLCKEKKSFQQCEEENFYGSWMLVELWQRGKVE >KJB40843 pep chromosome:Graimondii2_0_v6:7:5711554:5713500:1 gene:B456_007G080000 transcript:KJB40843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVAPLQLNYHLERRLENLRNGKREVKLKKKPQLEWHLKNMKPKSHKYLVRNEFSVKPATYSAKIVTDIPLYETPWALFHEYLEDKPRVFNAMFPHKHSIQQLYEDEWRIKMMPLKVVGLKVWPVIDFRLKCKYPPQVPSDITKLLEVQSVKCRCRQDVNSKGLTK >KJB46484 pep chromosome:Graimondii2_0_v6:7:60446819:60449046:-1 gene:B456_007G371600 transcript:KJB46484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGAKIYPGKGIRFIRSDSQVFLFSNSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRATKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAAKQQKTQGKGNIPKGGAPKGAKLGGGGKR >KJB39057 pep chromosome:Graimondii2_0_v6:7:47471346:47472795:-1 gene:B456_007G277100 transcript:KJB39057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGQSCMLSPSQDNIMDTVRVIWVDTEGNIASGASSGGIALKVSVRVGLAAMYGAGCWASSKGPFGAPFIVGCCVSGAGEYLMKIFTARECYASSSL >KJB45917 pep chromosome:Graimondii2_0_v6:7:56248984:56249734:-1 gene:B456_007G337700 transcript:KJB45917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRMIFAWAMIFCLAVAPIYGQYYSKTIKAGPRVEKMTRLRFFYHDIPVGKDPTTFLIAQANITRKFFSPSPYGSLYSMDDPLTLGPNRTSKMVGNAQGLYLALSRDPTKFSAVFYADFAFTTGKFKGSSFSLFSRYPLTDFVPGPNIIREMAIVGGTGAFRMAKGFALFRASSSSAKTGDASLKVDVTLYHY >KJB40466 pep chromosome:Graimondii2_0_v6:7:4595876:4597657:-1 gene:B456_007G065400 transcript:KJB40466 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP F-box 1 [Source:Projected from Arabidopsis thaliana (AT1G31350) TAIR;Acc:AT1G31350] MGKSLNPSKRQKLIAHYDHRHLQEQPLIPGLPDHVAELCLSRVHPSLLYSVCRSWRRLIYCPFFPPFPSLYALFFSSSDNEIQLLSFDPMSSRWETVPPPPNPLRLLVHHPSFLCRNLPVQSISVSGNLVLLAATAPNFNPALSRPLIFSPLSRSWSLGPPMETPRRWCAAGASGPTVYVASGIGSNFSTDVARSLEKWDLGEEDEMDDFRWKKMRQLKDGRFSTDAIDAVGWRRKLCMVNVAKQGILYDAANDIWEQMPEGMVGGWRGPVAAMDEEVLYAVDEGKGLLTRYNQDSDNWEVIMEVESLRGACQMAAAGGRLCVICGDGEILVIDVVAAPPKFWAVQRPAELEPLAVHILPRLSRLDFLLPTVS >KJB40523 pep chromosome:Graimondii2_0_v6:7:4784037:4784456:-1 gene:B456_007G067700 transcript:KJB40523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEDFSFPKITNPLPQFTSLPSLWRVTSLVYPEYGDNNEEEEEPMRRKNLSVSCLSSESEANKRDGEDREKMDMLWEEFNEELKRASSLRSRKEVKEAMNSGTGMMIYPKKQSKISLLAVMKTLKIKIFYLGNLVLKN >KJB45110 pep chromosome:Graimondii2_0_v6:7:49609379:49611815:-1 gene:B456_007G289700 transcript:KJB45110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALVATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVEHDEVNALAQLMTWKTAVANIPYGGAKGGIGCDPRDLSISELERLTRVFTQKIHDLIGIHADVPAPDMGTGPQTMAWMLDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEHGKAISGKKIVIQGFGNVGSWAARLIHEKGGKIVAVSDITGAIKNSKGIDIPRLLNHVKENKGVKGFEGADSLDPESILVEDCDILIPAALGGIINRENANEIKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANSGGVTVSYFEWVQNIQGFLWDEEKVNNELKTYMTKAFKDVKKMCQVHNCDLRMGAFTLGVNRVARATVMRGWEA >KJB41384 pep chromosome:Graimondii2_0_v6:7:7586477:7590191:-1 gene:B456_007G102100 transcript:KJB41384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNRKVEENFLWDQIMKKQFTIAWTFPKLVVSSILLISIICIFYNLSFSNASNPSNHRSNIINTLHVVDQAMPPPVSSPPKLGPPEKTTLHHLVFGIAGSARLWEHRKNYIKLWWKPQEMRGTVWLDKTVANRSDDHLLPPVKISCDTSKFKYKNLKGHYSAIRISRIVSETLRLGFEDVRWFVMGDDDTYFVPENLVRVLSKYDHNQLYYIGSLSESHLQNIHFSYGMAYGGGGFAISYPLAKALAKMQDRCIQRYPGLYGSDDRIHACMAELGIPLTKEPGFHQYDVYGSLLGLLSAHPVAPLISIHHLDVVEPIFPNVNRVQALQRLKAPIKLDSAAIMQQSVCYDKTRSWTISVSWGYTVQIYRGIFSVREMEMPARTFLNWYKRADYTGFSFNTRPVARHACQKPFVFYLSNALYNKNTNQTASEYVQHRLPSSGCKWNMADPSRIERVQVYKKPDPHLWDKAPRRNCCRVLLRKKKGTMVIDVGVCGEDDVIELR >KJB43547 pep chromosome:Graimondii2_0_v6:7:21186046:21188148:1 gene:B456_007G205400 transcript:KJB43547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTGSISLYYKTVQNINYSHCQWHGVRQRLTCWFDGPAYITQCPIQAGQSFKYRFTLLNQRGTLFWHAHISWLRATVHGPLIIYPKQGVGYPFQPPVEEHVIILGEYWLRDVQGLERHVKESERHVKESGGGPPGSDAYTLNGLLGPLHNCSRDDVYTINVTKGKNYLLRIINAALNMEHFFMVANHTMTVVETDGEYTKPFKTTFLMLTPGQTYNVLIKADQSIGKYFMAMAPYMPAKNAPFLKKTSCGILRYASPTVKVDKLARKSHVKTSMTPLEPIIPNVNDTASVQAFSDQVKRLYPNHQWSPVDVPLRIDKTLFFTIGLNGPNGGIFAASVNNVTFRRPMVSLLNAYYNNLQGYYSTDFPDKPEKMYDFVNGAPNNISVDTQPAIGTQVSILEHGWAVQVIFQDTGTVGTEKHPIHLHGFSIYLLGTGLGNYNSSTALLNLYDPPYRNTVGVPVGRWAVIRFRADNPGMWFMHCHLEVHTTWGLSMAFLVKNGKGKMQNLPPPPPDLLLC >KJB45637 pep chromosome:Graimondii2_0_v6:7:53447629:53449238:-1 gene:B456_007G319200 transcript:KJB45637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRDLDLSGTVLKELPSSIHNLIGLKYLRLNNCENLVCLPDSLYTLKSLLHLSLCGSSNLVVENLFTAIGDRPVKQKHLPWLSSLKKLDLSESNLENLPTTIKQFPLLEELILRKCKRLKSLPELPPSLVYLDAHDCTSLEDVSSIKKLFEQELFCEDGSNRFLQLKFSNCFKLGEKCVGNDIDAYDSTSVEEVSSIKNLLKQVVFCKSLGWLFTNCFQLDQKAVRSPETPKLEMPFEHMVTALTDHHQAPPRRKNRIKKASLGRIIVTCVPGSEIPEWFDFKSLGSSINIQLPSEWWSNNSWINFPCFVASVAVSFPDSYNGMGFRFTSECHLKSCNGDNHDLSCSFSFTFGSRLSDHVFLVYDGFNVREFVRSKASNNRIYNVASFHFYLDEWNSSKCKVKQCGIHLLFPN >KJB45789 pep chromosome:Graimondii2_0_v6:7:54787777:54788324:1 gene:B456_007G328100 transcript:KJB45789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASVSLTKYKGKKFTKKSSFNLWHDKMRTILVVEETTTSSLWLWLESKYMTKSLTNRLYLKQQLYTLKMEKGTPISQHLNTFNYIIMDLKNNDIKIDDEVRSLIVMCSLSRSYENFVDTMLYNHCDISLKDVKMI >KJB45415 pep chromosome:Graimondii2_0_v6:7:51872917:51874374:-1 gene:B456_007G305100 transcript:KJB45415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g09190 [Source:Projected from Arabidopsis thaliana (AT1G09190) UniProtKB/Swiss-Prot;Acc:O80488] MMSRASLVVERKILRLLHGQKTRCNLRQIHAHFICQCLHQSNQILSHFVSVCGHLNKMDYANLVFLQTHNPNILLFNSMIKGYSLNGPFEEAVTLFSLMKAHGIFPDEYTFSPLLKACSGLCDVRIGQCIHGEVLRSGFELFGSVQVGVLELYSSSGRMEEAKKVFDGMSKRDVIIWNLMIRGFCKRGDVDLGLSLFRQMSERSVVSWNSMISYLAQSGRHSEALELFHEMRELGFQPDEATVVIVLPICAHLGDVNIGQWIHSYAESSKLYRNVISVGNALVDFYSKGGNLETALQVFKDMPCKNVVSWNTMISGLAFNGRGELGVELFEEMINNGERPNDATFIGVLTCCTHAGLLEKGQELLDLMSKNYHIDPKLEHYGCMVDLLSRGGCVRMAYDLIRSMHIQPNATLWGSLLSACRTYGELELAELAVKELINLEPWNSGNYVLLSNIYAEEGRWDEVEEIRVLMREKSVKKAVGQSMTG >KJB45055 pep chromosome:Graimondii2_0_v6:7:49411630:49413879:1 gene:B456_007G287500 transcript:KJB45055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQHQGKNIHPERHLFLQGGNGPGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGQANNGSNKIGAVAMTGDRMSEATGTHMNNLSIGPQTNKGLQIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQNLGNMGLEAAKVQLSELVSKVSNQCLNSAFSDLKDLQGLCPQQGQTQATPPTDCSMDSCLTSCDGSQKEQEIHNNGIFLRTYNSTSFMEQRDHVPEDRLVPQTELKPFTDMKLGNDAERRMFFADRSTSDLSMSVGLQGEKGHGSFSEGKFNGRNEDQEGFKLQTVNRLPYFATKLDLNVHEEVDAASSCKQFDLNGLSWN >KJB43571 pep chromosome:Graimondii2_0_v6:7:21503426:21505154:1 gene:B456_007G206700 transcript:KJB43571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNLGYWVQSYSMPNQVSSISKSFPLPRSLPFNPLTTQSSSTLKKVNFSSLPSITSVLTKEDIVKEEEQDPQKSSFDFNSYMLQKASAVNQALESAVSLRDPVKIHEAMRYSLLAGGKRVRPVLCLAACALVGGRESMAMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEDIAVLAGDALLAFAFEHIAVSTVGVPPSRIVRAIGELAKAIGGEGLVAGQVVDIISEGLTDVGLDHLEFIHVHKTAALLEAAVVLGAIVGGGCDEEVEKLRKFARCIGLLFQVVDDILDVTKSSKELGKTAGKDLVADKVTYPKLMGIQKSKEFAEKLKTDAIELLQGFDPAKAAPLIALANYIAYRQN >KJB44521 pep chromosome:Graimondii2_0_v6:7:41808992:41811526:-1 gene:B456_007G257400 transcript:KJB44521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATVTNHAELNPSIFSLGRETIAERIRALQERVPSVNKTDRAAMLDEIVDYVKFLRLQVKVSSTLLPVFSHISFSYFYF >KJB45777 pep chromosome:Graimondii2_0_v6:7:55486376:55488885:-1 gene:B456_007G332200 transcript:KJB45777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALWSLEEKWKLTTQEAVIVFVCAASAIVGLCAATVLKKKARKKQVADGDAVGDGSMNAKWREPGCSWVPKKVLMGSVMWSGAKRWGERSFRWEERPPPLLGLEEYGDSVGWRSHNSDSPVWQRPILMGEKCELPRFSGLILYDERGQLLDDSVKRLSDQANDNQGKSTGVVRTTLRDLL >KJB42680 pep chromosome:Graimondii2_0_v6:7:14287718:14289336:1 gene:B456_007G163600 transcript:KJB42680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVESVGKVNSDDENISKAHGFDNNVVDGHVLQEEDEENTSDSENDGGDEDPWCKPPYHPNHFEIVNDALCLSTKGTSNLTGVLEDNQGKSGKEEPMLPNAVGNAMALITEDATPKVPTIESFEFLLPDLDTGTPLVRQMRIKHTAQRARPRKVDSPKKSSFQPSISAKDENTNQQGKATAAKNSVQCQELTKRIRTPILGNVKRRRLHWTVEEEDILKEGVQRFSLTMNKNIPWRKILEFGHNVFGTNRLPVDLKDKWKKIMAKEDPKSNKGVLIALKK >KJB40598 pep chromosome:Graimondii2_0_v6:7:4983281:4984587:1 gene:B456_007G070600 transcript:KJB40598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVNKRDGGLISLSLLFVMAILLIFNAKNFRVAVLAEKINDTAFRGGHGGGDSAGLDDDDYSSFIGDDMELEMLTDSYIRRVLAGSNGKSKTAFTNNAKKTPSCGTGKQYGPCMGDKKVANNCSTFNRTCQK >KJB42426 pep chromosome:Graimondii2_0_v6:7:13030457:13032795:1 gene:B456_007G152300 transcript:KJB42426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTTIAATAGSPTHPSSLFPQITRCKTMRELHQVHALFLKTGQIHDPLAAAEILKFCSLSAHRDIGYARKVFRQMSEPNCFSWNTVIRALTESDENDETNEPLEALLLFTEMLTDGTVLPNRFTFPSVFKACARTGKLLEGEQVHGLAVKFGFEKDEFVASNLVRMYVMCGAMEKAQFLLNKMMVEFENDGKLVNDKRRIEGNIVLWNVMIDGYIRIGDLTAARELFDKMSQRSVISWNVMISGYAQNGYFMEAIEMFRLMQMGKVRPNYVTLVSVLSAISRIGALELGKWVHLYAERNDIVIDDVLGSALIDMYAKCGSIEKAVQVFNRISKPNTITWSAIIGGLAIHGRAKDALDYFSRMEREGVTPSGVVYIGILTACSHAGLVEEGRLFFNHIVNEVDFEPRLEHYGCMVDLLGRAGLLKEAEEFILNMPIKPDDVTWKALLGACKMHGNIEMGDRVAQILMNMAPRDSGAYVALSNIYAASRDWESVARVRLKMNEMNVRKDPGCSWIELDGIVHQFLVEDDSHPRAKEIHSMLLEIAEQMRLVGYKPDTSQVLLNIDDEEEKESTLYYHSERIAIAFGLISTNPGTPLGIVKNLRVCDDCHSWIKLISKIYKREIIVRDRRRFHHFENGLCSCKDYW >KJB43970 pep chromosome:Graimondii2_0_v6:7:27353101:27358141:1 gene:B456_007G227000 transcript:KJB43970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRILTWSRSSLRSRSQLSPSSSFSILSSSYSTLNQGSEDNQSTAGSVFSRDPSDPPRLFVVQPRVRPATFLQAKLNEALCLANSLEEQRDGYFHSDFFDKELPPHVVVQNPSLKSAKIRADTYFGPGTVENIKCHLNALESKDGVDAVFVNTILSGVQQRNLERILGKPVLDRVGLIIEIFNAHAHTKEAKLQAELAALMYKKSRLVRVRGLDGRNTFGASGEVEVVSARGRGSGGRGFISGAGETELQLQRRRILERRNHLLSQIEEVRRTRAVQRAARKKRGGLDGRGLATVAVVGYTNAGKSTLISALSDSNLYSDARLFATLDARLKSVVLPSGRKVLLSDTVGFISDLPVQLVEAFHSTLEEVLEANLLLHVIDCTAPNRDEHRSTVLQVLQQIGVSEEKLQNMIEVWNKIDYEEEMGAGEYMDDGEDVEMSNFSAAEDGETKDSPGEDCDVAFELLDGKSVDGSDALKPSLGELQQTMDDKQDDYSDGWLLSEDDTADDYWNTLNEQQQAETSNECKVEKDSESQPQHVPHVKVSALTGVGLQELLELIDEKLKVQDDQLKSEKVVGNSYIDRKWRPPRKEDEEVAVEQ >KJB43971 pep chromosome:Graimondii2_0_v6:7:27353266:27358086:1 gene:B456_007G227000 transcript:KJB43971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRILTWSRSSLRSRSQLSPSSSFSILSSSYSTLNQGSEDNQSTAGSVFSRDPSDPPRLFVVQPRVRPATFLQAKLNEALCLANSLEEQRDGYFHSDFFDKELPPHVVVQNPSLKSAKIRADTYFGPGTVENIKCHLNALESKDGVDAVFVNTILSGVQQRNLERILGKPVLDRVGLIIEIFNAHAHTKEAKLQAELAALMYKKSRLVRVRGLDGRNTFGASGEVEVVSARGRGSGGRGFISGAGETELQLQRRRILERRNHLLSQIEEVRRTRAVQRAARKKRGGLDGRGLATVAVVGYTNAGKSTLISALSDSNLYSDARLFATLDARLKSVVLPSGRKVLLSDTVGFISDLPVQLVEAFHSTLEEVLEANLLLHVIDCTAPNRDEHRSTVLQVLQQIGVSEEKLQNMIEVWNKVFYHSFLSKNNNKNDVF >KJB45158 pep chromosome:Graimondii2_0_v6:7:50271378:50273845:1 gene:B456_007G292900 transcript:KJB45158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVLNIFYIVGWLAIAFTKVPWLLDVGRLLLGIKNENSGYLVPMYLSEITPKNLRGRFTAGLILQIIGILGLSMMYIVGPFINWRILALISIIPSLVQLPPLFFIQESPRWLVTVGREEFETVLRSLRGKKANVFEEAASIKEYNNSLKRFSWGGMLDLFHPKYFCSLIIAIGLKVLLTLSMGQCICILLGSLSKYVGLSTLAVVKIIMADVGASLIDKFGRRSLLLDHPLWSEGTPILALISIWVSVYGGMEGIPWIIVAEIFPINIKGAAGSLAGLTGNICSWAVSYNFNFLFQWSSTGAFFIFSASCGVCVIFIAKMIRGDQGAYT >KJB46395 pep chromosome:Graimondii2_0_v6:7:59756417:59761874:1 gene:B456_007G365400 transcript:KJB46395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIAFDLAVELITKLSSFTLSKIGLSWNVKDDLDDLERTVSTIKDVLLDAEEKSKTNNLVKVWLKKLKEVLYDADDLFDNFSAEALRKDLLGGNKQTKEVRAFFSSSNQFAYSLKMGRKIKAIKARLTLIGSEAKMFNLVERDRPMETSFMTKRRQQTSSFMREGEIIGRDDDKSALLKLMLENEGEENVYVIPIVGFGGLGKTALAKFVYNDEIVRGHFEWSTWVYVSNVFDVKRIVKSIIESVTGQALDQSLEMDQLGKQLRDKISGIKYLLVLDDIWNEEREAWLSLKNLLMGGAKGSRIIITTRSMKVAKITSTCQPHVLKVLSDDNAWVLFKEIAFGQISENSTNPVFVEIGKQILERCGGVPLVIRTIAGTLFFKETEKEWRSFKDNELARLSVHQDEILPTLRLSYNHLPSHLKHCFAFCRLYPKDHVIHVQTLVQFWIAQGYIKQLNPSQSLQEIGFEYFNDLVDRSFFQEVGERFSREELSCKMNNSMHDLAESVAGRESSIVDLERHASQVGENCRHISINPSLIPSLKGNMLRTLLHFPNIRIQILSDETWDLIIANCRCLRLLKLDDIDFKMIPRSIHKLKHLRYLDLSHNRDLKILPKSICSIQNLQVLKLDRCWELEELPKKIENLVNLTHLGCEDCNGLTHMPRGVGKLSSLETLSMFVVDKDGSHGGADLSELRGLNNLRGELRIRNLGFVKNAKEKFKAANLEEKQHLRSLVLEWGFYFDDNDHDDKSLEDLQPHPNLKELCIQGWRGDAEFPSWISFLTNLVHIKIWGLGSKFKHLPSFAQFPCLQDLVICDLTELEYMDDNSPKGSQGEPQSFFPSLKYLCLRDCPNMKSWWRKRSIDDSNEDDTTVMSFPCLSCLKIENCPLTSMPLYPSLDDWLKLVNTSSRPLKQTMKMNMNPKTPSSSTSSLPLSKLKSFHVENLKELETHMLDEYLQHLTSLRGLTIRDCKKVDLEGMQWEPLKNLSRLMIDKIPLLVSLPRGFQHLVQLKTLEIRNCSGLRSLPVLQKPIPVFQHLTSLEEFEVTNCRELELSEDDIQIFEDHTSLRYLTLENIPKHRHLPGRCKNIHNNSRNSPTEGKM >KJB44811 pep chromosome:Graimondii2_0_v6:7:46804456:46807056:1 gene:B456_007G274400 transcript:KJB44811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALSSQPFDNSKFVLDTKECFHPKCVKPSSAKHGYHRHLLICMESIKEDNVGEYHCDVCENERNLKHLVYYCPSWRYMAQVECALADVHPMYVVTKCNSCRLVLSGPSYLLKSAGQKKFYLHKRCANLPNKIQHPSHSIHPLNLYTSHHPQIGRTISCDECRDICLGFIYLCEQCDFKLDVKCAALRTHKTAVLQEKEMARVTESQHFNHHHKLVLGYCNDPIDETKCTICELPIIGPAYFCREYNCDYILHESCLRLPQKIEVPFQWNEIPFQMHQVPFHQEHVFVSCVFPHEDSKPQCYACPFALKSLMFAYNCEHCPINLHPTCASSLRRPLKSESHPTVTCMTFTILGQIFSYSLPSSFVEDDSRDHRYEFYEEDRNPNDHAYYCAECVFAGVSQLHL >KJB41468 pep chromosome:Graimondii2_0_v6:7:7948497:7951940:1 gene:B456_007G105800 transcript:KJB41468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSRQGFPSWISAASGTRIDFEGNADNMLKTAHDDSTTQKPKAVADVNLSFEERAFSAAGAAFISAIIVNPLDVAKTRLQAQAAGVPYKTCFETNTMFPDMRSSQSCSRLVVGSEPLCPPECHRYTGTLDVFYKVIRQEGFARLWRGTNASLALAVPTVGIYMPCYDIFRDSMEEFTTNNAPTLTPYVPLVAGVFARSLACISCYPIELARTRMQAFKETKSGIKPAGVWKTLVGVINPVTSTNCIQNLQNYRILWTGLSSQLARDVPFSAICWATLEPVR >KJB41467 pep chromosome:Graimondii2_0_v6:7:7948289:7952003:1 gene:B456_007G105800 transcript:KJB41467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSRQGFPSWISAASGTRIDFEGNADNMLKTAHDDSTTQKPKAVADVNLSFEERAFSAAGAAFISAIIVNPLDVAKTRLQAQAAGVPYKTCFETNTMFPDMRSSQSCSRLVVGSEPLCPPECHRYTGTLDVFYKVIRQEGFARLWRGTNASLALAVPTVGIYMPCYDIFRDSMEEFTTNNAPTLTPYVPLVAGVFARSLACISCYPIELARTRMQAFKETKSGIKPAGVWKTLVGVINPVTSTNCIQNLQNYRILWTGLSSQLARDVPFSAICWATLEPIRRELLVSMGDEAGAGSILGANFAAGFVAGSIAAAVTCPLDVAKTRRQIEKDPVKSLKMTTRQTLREIWRDGGMKGLFAGLGPRVGRTGPSVGIVVSFYEVVKFALHYRHEDHE >KJB41469 pep chromosome:Graimondii2_0_v6:7:7949353:7952003:1 gene:B456_007G105800 transcript:KJB41469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLVEGFARLWRGTNASLALAVPTVGIYMPCYDIFRDSMEEFTTNNAPTLTPYVPLVAGVFARSLACISCYPIELARTRMQAFKETKSGIKPAGVWKTLVGVINPVTSTNCIQNLQNYRILWTGLSSQLARDVPFSAICWATLEPIRRELLVSMGDEAGAGSILGANFAAGFVAGSIAAAVTCPLDVAKTRRQIEKDPVKSLKMTTRQTLREIWRDGGMKGLFAGLGPRVGRTGPSVGIVVSFYEVVKFALHYRHEDHE >KJB45918 pep chromosome:Graimondii2_0_v6:7:56257047:56259430:-1 gene:B456_007G337800 transcript:KJB45918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVTRALSNYRRNAVELSAAAAPHSGIMVLRGREGADADEGRGLFQNGYAKGLLKNLPLPSNAMLINTDSDFGEYFYFIPVLHQPLSSNRYHVIHADGKYKGLAVKCSTEDDMSYCCSRRIIQDIEPKPFDHRDQYQQFRICSDDVGRFYAKPIVPHSFPPTFLRFKFTLFVENKRVHHLQDNARGLDDSIRSQLPELDSGTNMIIGKWYTPFVFVKESSMMRVQMEKSLFYIVTLEKLWEKICSWENDGSSKGDVMTLNVEIKREIDFLFGVEVLRGSEMDNEGFVWFRYGGEKLGLSLAVLEGMRWLEELVGWVDGVERVERVVEIGGGWRRIDCYVLVERFALRRMDGTLVLNCGFRHTHEIRTKWE >KJB42625 pep chromosome:Graimondii2_0_v6:7:14077087:14079914:-1 gene:B456_007G160800 transcript:KJB42625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g59200, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G59200) UniProtKB/Swiss-Prot;Acc:Q9FIF7] MSYCTLAVVGNFTYPSTSTSNPNPKVSISNSTQRRKEFVSLLKNCKDANQILPIHAKIIRTGHDQDPFILFELLRLSSTLSSVNYASTIFQLVHNPNVFLYTALIDGFVSAGSYSDGISLYFQMINRFIVPDKYVITAVLKACGSRFALREGKEVHCQASKLGLSSNRSITMKLMEFYGKCGEFDDARKVFDKMTERDVVASTIVINCFLDHGLVEQAIEVFDRVRVKDTVCWTAMIDGLVRNGEMNMALEMFREMQKGNMRPNEVTIVCVLSACSQLGALELGRWVHSYIGKHHRIELNHFVGGALISMYSRCGDIDEAERIFAMMKERNVITYNLMISGLAMHGKSIQAIEIFRGMIKQGLLPTSVTFVAILNACSHGGLVNLGLEMFHSMSRDYGIPPQIEHYGCIVDLLGRVGHLKEAYNFIQNMEIAPDHVMLGSLLSACKIHGNFELGEQVARILMNHGVVDSGTYVLLANVYASSGKWKEAAQIRAKMKEGGIQKEPGCSSIEVNNEIHEFLLGDLRHPQKEKIYNKLEELNQALKEAGHIPATDAVLHDIEDWEKEQALAIHSERLAICYGLISTKPGTTIRVVKNLRVCDDCHSMIKLIAKITGRKIVVRDRKRFHHFENGNCSCGDYW >KJB42652 pep chromosome:Graimondii2_0_v6:7:14146258:14151195:1 gene:B456_007G161700 transcript:KJB42652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSAQIILCIALISATCLIIGVNGSAVERQREFDYFALALQWPGTICHQTRHCCSSNGCCRGSDSPTEFTIHGLWPDYNDGSWPSCCARSQFDIKEISTLMGALQKYWPSLYCSRPSTCFSGKGIFWAHEVDFETHGTCSFPVFHDEYSYFLTALNVYFKYNVTKMLNEAGYVPSNSERYPLGGLVSAIENSFQATPDVICSKHDVKEIRLCFYKDFKPRNCLASKTSCPKYVSLPTYVALGRNETEMGIERISGDFEAL >KJB42651 pep chromosome:Graimondii2_0_v6:7:14146170:14151635:1 gene:B456_007G161700 transcript:KJB42651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSAQIILCIALISATCLIIGVNGSAVERQREFDYFALALQWPGTICHQTRHCCSSNGCCRGSDSPTEFTIHGLWPDYNDGSWPSCCARSQFDIKEISTLMGALQKYWPSLYCSRPSTCFSGKGIFWAHEWETHGTCSFPVFHDEYSYFLTALNVYFKYNVTKMLNEAGYVPSNSERYPLGGLVSAIENSFQATPDVICSKHDVKEIRLCFYKDFKPRNCLASKTSCPKYVSLPTYVALGRNETEMGIERISGDFEAL >KJB41092 pep chromosome:Graimondii2_0_v6:7:6580088:6582934:-1 gene:B456_007G090300 transcript:KJB41092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTGAKDTHLRDSNNQKVHPQPMEESMNQNPEAVEALISKLFTNISSLKSAYIQLQTAHTPYDPEKIQAADKLVISELKNISELKHFYREKNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEIRQLQQKIEDANQKRVKLEKNIKLRGLSMKESEGSGEENGFFPADLTPELFTSAVESAYKAIHDFSKPLINMMKAAGWDLDAAADSIEPNVVYAKRAHKKYAFESHICQRMFSGFQQENFSIKLDNVTVNKESFFQQYLALREMDPLDMLGQNPDSLFGKFSQSKYLMVVHPKMEASFFGNLDQRNYVTGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFNPNVKVFQVKRGSEYSEVYMESVVKNLIMDETDEKPKVGLMVMPGFWIGGNVIQSQVYLSGMKVNE >KJB41091 pep chromosome:Graimondii2_0_v6:7:6580042:6583186:-1 gene:B456_007G090300 transcript:KJB41091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTGAKDTHLRDSNNQKVHPQPMEESMNQNPEAVEALISKLFTNISSLKSAYIQLQTAHTPYDPEKIQAADKLVISELKNISELKHFYREKNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEIRQLQQKIEDANQKRVKLEKNIKLRGLSMKESEGSGEENGFFPADLTPELFTSAVESAYKAIHDFSKPLINMMKAAGWDLDAAADSIEPNVVYAKRAHKKYAFESHICQRMFSGFQQENFSIKLDNVTVNKESFFQQYLALREMDPLDMLGQNPDSLFGKFSQSKYLMVVHPKMEASFFGNLDQRNYVTGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFNPNVKVFQVKRGSEYSEVYMESVVKNLIMDETDEKPKVGLMVMPGFWIGGNVIQSQVYLSGMKVNE >KJB41093 pep chromosome:Graimondii2_0_v6:7:6580088:6582577:-1 gene:B456_007G090300 transcript:KJB41093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTGAKDTHLRDSNNQKVHPQPMEESMNQNPEAVEALISKLFTNISSLKSAYIQLQTAHTPYDPEKIQAADKLVISELKNISELKHFYREKNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEIRQLQQKIEDANQKRVKLEKNIKLRGLSMKESEGSGEENGFFPADLTPELFTSAVESAYKAIHDFSKPLINMMKAAGWDLDAAADSIEPNVVYAKRAHKKYAFESHICQRMFSGFQQENFSIKLDNVTVNKESFFQQYLALREMDPLDMLGQNPDSLFGKFSQSKYLMVVHPKMEASFFGNLDQRNYVTGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFNPNVKVFQVKRGSEYSEVYMESVVKNLIMDETDEKPKVGLMVMPGFWIGGNVIQSQVYLSGMKVNE >KJB43657 pep chromosome:Graimondii2_0_v6:7:22831566:22832953:1 gene:B456_007G210900 transcript:KJB43657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETLVCVLFCSFFFLLFFFFLVAEFDALTGSKLSTIDIGSPVVRMSYSPAGGQCVIAILEDCTIRSCDFDAEQTCVLHSPEKKSEHISSDADVHLALTPLQPIVFFGFHKRMSVTVVGTIEGGRAPTKIKTDLKKPIVNLACHPRLPVLVHFHGPWIGFYCHHC >KJB43656 pep chromosome:Graimondii2_0_v6:7:22831058:22833232:1 gene:B456_007G210900 transcript:KJB43656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTTLQHLDLQHVARGILQPLQPHAAAFHHTQALVAAAIGTYIIEFDALTGSKLSTIDIGSPVVRMSYSPAGGQCVIAILEDCTIRSCDFDAEQTCVLHSPEKKSEHISSDADVHLALTPLQPIVFFGFHKRMSVTVVGTIEGGRAPTKIKTDLKKPIVNLACHPRLPVLVHFHGPWIGFYCHHC >KJB44253 pep chromosome:Graimondii2_0_v6:7:35359381:35368459:-1 gene:B456_007G242500 transcript:KJB44253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRSILELSRHRGSPRRIPRQIISQCSSPFLYSRKQFSTSPGENPTPKPGSAGGPPESNSGFSRVVLGSAVIVGAALVAYQAGYLDQYFGQVPNDSVDSAKIGFDSKDENDVQVVTSHDEEIKGQTTHLDLHEQEDATRISLPPQLETSSETPSVNHPNVEVKSNDSNETLGNSSTSGPEKPLPEYSQSSLPSADHSADAAVSAEGNVEKAGSETAPIPDKEIHDIQLDTQSSASLGEKETKAVEPHSHATEDRPQDETSKGAEAPSFALEESEIKAVPFLHPSIADISQAKPTEDKGAPSSLLDAYHLRDKADDSYLSSLNRKYEQLSKETEGFGTAVEELNEGYLSKDGKLILGFLQAIHAAEKWQAELDAHAFAQEKEVLKEKYEKELRNSRARELMRTEEAAILDKELKRERTKAAAALKSLQEKMEEQLRMELEEKEREAELKLQKAQELGKAELAAAIANEKAAQIEKLAEANLNINALCMAFYARSEEAHKSHSVHKLALGALALEDALSKGLPIQKEIDALRTYLEGTEKDSVLDLVLSSLPEETRYHGTDTVLQLNQKFNALKGTLRHFSLIPPGGGGILTHCLAHIASWLKVKEVDQSGEGIESLISRVDKYLAEGKLAEAATALEQGVKGSQAEEIVNDWVKQARNRAITEQALTALQSYATCISLT >KJB44254 pep chromosome:Graimondii2_0_v6:7:35359385:35368459:-1 gene:B456_007G242500 transcript:KJB44254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRSILELSRHRGSPRRIPRQIISQCSSPFLYSRKQFSTSPGENPTPKPGSAGGPPESNSGFSRVVLGSAVIVGAALVAYQAGYLDQYFGQVPNDSVDSAKIGFDSKDENDVQVVTSHDEEIKGQTTHLDLHEQEDATRISLPPQLETSSETPSVNHPNVEVKSNDSNETLGNSSTSGPEKPLPEYSQSSLPSADHSADAAVSAEGNVEKAGSETAPIPDKEIHDIQLDTQSSASLGEKETKAVEPHSHATEDRPQAKPTEDKGAPSSLLDAYHLRDKADDSYLSSLNRKYEQLSKETEGFGTAVEELNEGYLSKDGKLILGFLQAIHAAEKWQAELDAHAFAQEKEVLKEKYEKELRNSRARELMRTEEAAILDKELKRERTKAAAALKSLQEKMEEQLRMELEEKEREAELKLQKAQELGKAELAAAIANEKAAQIEKLAEANLNINALCMAFYARSEEAHKSHSVHKLALGALALEDALSKGLPIQKEIDALRTYLEGTEKDSVLDLVLSSLPEETRYHGTDTVLQLNQKFNALKGTLRHFSLIPPGGGGILTHCLAHIASWLKVKEVDQSGEGIESLISRVDKYLAEGKLAEAATALEQGVKGSQAEEIVNDWVKQARNRAITEQALTALQSYATCISLT >KJB39053 pep chromosome:Graimondii2_0_v6:7:11535994:11536851:1 gene:B456_007G139700 transcript:KJB39053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCATRLVANLLSVFLDEKWRHLFYGLALQRGSVPRKQCMTCEFLSFGQGDVFLCPSLLLRPDLNMGSKRWKTRYLWNFSALLGGGGKKLFKFSEMIEVHKNLRIR >KJB39078 pep chromosome:Graimondii2_0_v6:7:18398:18895:1 gene:B456_007G000200 transcript:KJB39078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIPYPDLPVDLRDKTITLVARLILLLIHAQHSMLRQSSSEIYDLRRKLGNSFLGAWPDSIAGRWG >KJB39039 pep chromosome:Graimondii2_0_v6:7:59029899:59030703:1 gene:B456_007G359300 transcript:KJB39039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVSIMKALLVALFMAFLTAATAQVSEAPSPSPDAGAGFSVGVSTAAVGFSLVVSLLALLKA >KJB42271 pep chromosome:Graimondii2_0_v6:7:12374497:12377078:-1 gene:B456_007G146500 transcript:KJB42271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAKLSEWGEKLRTGGAQMSRMVSGKMKEILQGPTSEWKVVDEATSETLEEPNWGLNMKICAMINSEEFNGTEIVRAIKKKISGKNAVTQWLSLDLLEACTTNCEKVASEVASEKVLEEMMKMIENPNTDHGNRGRALQLIRAWGQSQDLAYLPVFHQTYESLKERSTHVPVGNGKSGPLQYSLESCMRLPPSEDYPVNDPELHASDFAYNYGNLSVEQKKGVFEVTRNSLEVLSSMLVKETEPKPTMDELTKSMLEKCKQSQPVIQMIIEGTTDDDGILFEALNLNDELQQVISKFEELEAGSMSGRQHTENSGTTAANATVPVETCNENTISDSPSTHDETKTTASPSTHKETKISASDKVDTYEPAVTIKHD >KJB42272 pep chromosome:Graimondii2_0_v6:7:12374490:12377031:-1 gene:B456_007G146500 transcript:KJB42272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAKLSEWGEKLRTGGAQMSRMVSGKMKEILQGPTSEWKVVDEATSETLEEPNWGLNMKICAMINSEEFNGTEIVRAIKKKISGKNAVTQWLSLDLLEACTTNCEKVASEVASEKVLEEMMKMIENPNTDHGNRGRALQLIRAWGQSQDLAYLPVFHQTYESLKERSTHVPVGNGKSGPLQYSLESCMRLPPSEDYPVNDPELHASDFAYNYGNLSVEQKKGVFEVTRNSLEVLSSMLVKETEPKPTMDELTKSMLEKCKQSQPVIQMIIEGTTDDDGILFEALNLNDELQQVISKFEELEAGSMSGRQHTENSGTTAANATVPVETCNENTISDSPSTHDETKTTASPSTHKETKISASDKVDTYEPAVTIKHD >KJB39692 pep chromosome:Graimondii2_0_v6:7:1794760:1795248:-1 gene:B456_007G025800 transcript:KJB39692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTRTELYFVFMNYDPEYQRLRADQTKKGAYELDLYLSKKHDELLASTLQAGTYKKTLSLVIVDGFAVEITETQANVLRSANGVRVVEKNQELP >KJB39691 pep chromosome:Graimondii2_0_v6:7:1794524:1795373:-1 gene:B456_007G025800 transcript:KJB39691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTRTELYFVFMNYDPEYQRLRADQTKKGAYELDLYLSKKHDELLASTLQAGTYKKTLSLVIVDGFAVEITETQICKRG >KJB42085 pep chromosome:Graimondii2_0_v6:7:11107199:11110839:1 gene:B456_007G135900 transcript:KJB42085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKNTTSQDLSKTTTHLDFSKKSKNFSPLEPFLGVLGFTLVTCLFIGAFFYLDYRAVLHPGFSLFGRSGTTTPSSLPSEAKAAIAKAPAKGNGRLGFLNGGGVTCDIYDGKWVWDDNYPLYQSQDCPFADSGFRCLENGRPDSYYTKWRWQPHDCDLPRFNATMMLEKLRNRRLAFIGDSIGRNQWESMLCLLATAIPNKDSIYEGSPPKGSPKDVRMTLKLDHVTWTHRQWKDADVLVFNSGHWWSYAKTIKHGCYFQEGMEVKMKMDLTNAFQKSIETLVDFVASQVDTKKTQVMLRTYAPVHFRGGTWNTGGHCHQLKLPDFGPLPNNTGKLVDIVSGVLSKHPQGFQVIKLMNVTPMTYQRQDGHTSLYHFGPGNGPGPMNRQDCSHWCLPGVPDTWNELVYALFLQREYSRS >KJB42086 pep chromosome:Graimondii2_0_v6:7:11107269:11110839:1 gene:B456_007G135900 transcript:KJB42086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKNTTSQDLSKTTTHLDFSKKSKNFSPLEPFLGVLGFTLVTCLFIGAFFYLDYRAVLHPGFSLFGRSGTTTPSSLPSEAKAAIAKAPAKGNGRLGFLNGGGVTCDIYDGKWVWDDNYPLYQSQDCPFADSGFRCLENGRPDSYYTKWRWQPHDCDLPRFNATMMLEKLRNRRLAFIGDSIGRNQWESMLCLLATAIPNKDSIYEVNGNPITKHKGFLVFRFEDYNCTVEYYRSPYLVVQGSPPKGSPKDVRMTLKLDHVTWTHRQWKDADVLVFNSGHWWSYAKTIKHGCYFQEGMEVKMKMDLTNAFQKSIETLVDFVASQVDTKKTQVMLRTYAPVHFRGGTWNTGGHCHQLKLPDFGPLPNNTGKLVDIVSGVLSKHPQGFQVIKLMNVTPMTYQRQDGHTSLYHFGPGNGPGPMNRQDCSHWCLPGVPDTWNELVYALFLQREYSRS >KJB45002 pep chromosome:Graimondii2_0_v6:7:48680477:48682219:1 gene:B456_007G284300 transcript:KJB45002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFLVISCIRNSFFNKFSSFLSNKVSKLRPMVGEAKLIKNRVTKPVSRDDLFHFIKSAISNEQQQTDPFYVLDLGAIRSLVETWFDNLPVVQPFYAVKCNPNPAFLKEMAALGTGFDCASLPEIETILSLGVSPDRIVFANTCKPESHIKYAAKFGVNLTTFDSNCELEKIKKWHPKCALLIRIKVPETSGATFKFGSKFGALPEEIVPLLKAAQEAKLQVVGVSFHIGSRAINFHAFEDAIGAAKTTFDTAAQLGLPKMHILDIGGGFTSGPKFTDAASAVKVALQKYFPDELADGNLKIIAEPGRFFANSPFTLTTSVIGKRERAEVKEYWISDGISGSMNFLKYDHDEVICTPLIMKNPTCKELKTWSSTVFGPTCDAADTVLKGFELPELDVNDWLVFHNMGAYTSSRGNDFNGFKTSAIPTIAYEN >KJB42073 pep chromosome:Graimondii2_0_v6:7:11017608:11028440:1 gene:B456_007G135000 transcript:KJB42073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSNDVRSVSDVSTNVIQNGSQRKNKQVKKSTDASESDDVDLSVLMLNGRIDDNGSDIATVDSDAGSFNEGSSMDCSCKPEDSETVVECLEGDPELSKGLDFQIKAVVIKRKRKSFRKRVNIDSAEPLAEADLDLGISNTRQNLQNTCENINDRYSKDDGDEHLPLSKRARVRLGKLLAADEIVNSSPMEEKPINEGTVNLLQQMSPSSSHNDSATERDSLLLKGALVNVSPSKGDTEIRGSGPESSKVLRNQLGCLAGGEAALPPSKRLHRALEAMSANAADENQAIAELSTTMKILDGESHDSLMSSHVTVEDKEASVLEQHSRDLIAHSDSGIFFVSNSIPSDKFVKSSVEPLVCCQSVKSPKNQKHELHEDVFVEPMNHVSCNTHKSECLEHSSPNPEECQASFRSNCGSLYEKFPSNDDLDAEPAGLSNSRVENLDERFNTSEHAEMSFDPVTVTGKTCKVSPQDDSKSEPLKSQINDSSLVNSMCEVEEEFQPELRQKTTSSFNHDDNSDKDVAVVQLSPCSADGVDSPARVSPNASLCHVSTSESASIAPSNGYCSPNVHMCPNKALCVPNVDDEGKADSVTFERPKSVSKCSKYTEAQAVLLSFENMLVILTRTKESIARATRIAIDCAKFGVSAKVVEIIARNLERESSLHRRVDLFFLVDSITQCSRGLKGDVGDIYPSAIQAALPRLLNAAAPPGPNAQENRRQCLKVLRLWLERRILPESVVRHHIRELDSLSVSSSGGVFSRRSARTERALDDPIRDMEGMLVDEYGSNSSFQLPGFCMPRMLTEEDEGSDSDGESFEAVTPEHYSGVPEEQEANPASEKRRHILEDVDGELEMEDVAPEIEMSSTSGAAAINTAQTLQEHCDQHFPLPFAPPLPQDVRPSSPPPPSSPPPPPPPPPPPPPPPPLPPPIPRPISGPYTNNVDSTVHTSIQNRQDDLRSMVPPSVAPRINSTVCTNTVPYNGPDPRNPPVMQVSDCNTAFNSCPVPPVNNIQQPDGPSFHNPYPPQPLHPAPTNQFAYVNSRPHVNLMRDAPPPYTDRYSSLNFDGANYYNSHERMKLAPNDLRESWRYPPPPFSGPWYADNANSSYGHGHGSYGGPQCEPTRFPNEGWGFRPPPMDHRNFFPGRPRAEAPCIWQPR >KJB42074 pep chromosome:Graimondii2_0_v6:7:11017608:11029356:1 gene:B456_007G135000 transcript:KJB42074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRKGASKAAAAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYSSKWKKVMVYFFGTQQIAFCNPADVEAFTEEKKQSLLTKRQGKGADFVRAVQEIIDSYENSKKQDQVDNYNSADGVTQANCGNSGDSSVSKDLTDTCEATEIAQADARIDALHEKESVSEQPSDTFLVKEEPVLTTYSSRKRSGSVRSQKSIGQQKASPVRRGRSSTRVESSRFQNFMMSSNDVRSVSDVSTNVIQNGSQRKNKQVKKSTDASESDDVDLSVLMLNGRIDDNGSDIATVDSDAGSFNEGSSMDCSCKPEDSETVVECLEGDPELSKGLDFQIKAVVIKRKRKSFRKRVNIDSAEPLAEADLDLGISNTRQNLQNTCENINDRYSKDDGDEHLPLSKRARVRLGKLLAADEIVNSSPMEEKPINEGTVNLLQQMSPSSSHNDSATERDSLLLKGALVNVSPSKGDTEIRGSGPESSKVLRNQLGCLAGGEAALPPSKRLHRALEAMSANAADENQAIAELSTTMKILDGESHDSLMSSHVTVEDKEASVLEQHSRDLIAHSDSGIFFVSNSIPSDKFVKSSVEPLVCCQSVKSPKNQKHELHEDVFVEPMNHVSCNTHKSECLEHSSPNPEECQASFRSNCGSLYEKFPSNDDLDAEPAGLSNSRVENLDERFNTSEHAEMSFDPVTVTGKTCKVSPQDDSKSEPLKSQINDSSLVNSMCEVEEEFQPELRQKTTSSFNHDDNSDKDVAVVQLSPCSADGVDSPARVSPNASLCHVSTSESASIAPSNGYCSPNVHMCPNKALCVPNVDDEGKADSVTFERPKSVSKCSKYTEAQAVLLSFENMLVILTRTKESIARATRIAIDCAKFGVSAKVVEIIARNLERESSLHRRVDLFFLVDSITQCSRGLKGDVGDIYPSAIQAALPRLLNAAAPPGPNAQENRRQCLKVLRLWLERRILPESVVRHHIRELDSLSVSSSGGVFSRRSARTERALDDPIRDMEGMLVDEYGSNSSFQLPGFCMPRMLTEEDEGSDSDGESFEAVTPEHYSGVPEEQEANPASEKRRHILEDVDGELEMEDVAPEIEMSSTSGAAAINTAQTLQEHCDQHFPLPFAPPLPQDVRPSSPPPPSSPPPPPPPPPPPPPPPPLPPPIPRPISGPYTNNVDSTVHTSIQNRQDDLRSMVPPSVAPRINSTVCTNTVPYNGPDPRNPPVMQVSDCNTAFNSCPVPPVNNIQQPDGPSFHNPYPPQPLHPAPTNQFAYVNSRPHVNLMRDAPPPYTDRYSSLNFDGANYYNSHERMKLAPNDLRESWRYPPPPFSGPWYADNANSSYGHGHGSYGGPQCEPTRFPNEGWGFRPPPMDHRNFFPGRPRAEGMVPIGSRGLHFSCEIVV >KJB42072 pep chromosome:Graimondii2_0_v6:7:11017582:11029356:1 gene:B456_007G135000 transcript:KJB42072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRKGASKAAAAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYSSKWKKVMVYFFGTQQIAFCNPADVEAFTEEKKQSLLTKRQGKGADFVRAVQEIIDSYENSKKQDQVDNYNSADGVTQANCGNSGDSSVSKDLTDTCEATEIAQADARIDALHEKESVSEQPSDTFLVKEEPVLTTYSSRKRSGSVRSQKSIGQQKASPVRRGRSSTRVESSRFQNFMMSSNDVRSVSDVSTNVIQNGSQRKNKQVKKSTDASESDDVDLSVLMLNGRIDDNGSDIATVDSDAGSFNEGSSMDCSCKPEDSETVVECLEGDPELSKGLDFQIKAVVIKRKRKSFRKRVNIDSAEPLAEADLDLGISNTRQNLQNTCENINDRYSKDDGDEHLPLSKRARVRLGKLLAADEIVNSSPMEEKPINEGTVNLLQQMSPSSSHNDSATERDSLLLKGALVNVSPSKGDTEIRGSGPESSKVLRNQLGCLAGGEAALPPSKRLHRALEAMSANAADENQAIAELSTTMKILDGESHDSLMSSHVTVEDKEASVLEQHSRDLIAHSDSGIFFVSNSIPSDKFVKSSVEPLVCCQSVKSPKNQKHELHEDVFVEPMNHVSCNTHKSECLEHSSPNPEECQASFRSNCGSLYEKFPSNDDLDAEPAGLSNSRVENLDERFNTSEHAEMSFDPVTVTGKTCKVSPQDDSKSEPLKSQINDSSLVNSMCEVEEEFQPELRQKTTSSFNHDDNSDKDVAVVQLSPCSADGVDSPARVSPNASLCHVSTSESASIAPSNGYCSPNVHMCPNKALCVPNVDDEGKADSVTFERPKSVSKCSKYTEAQAVLLSFENMLVILTRTKESIARATRIAIDCAKFGVSAKVVEIIARNLERESSLHRRVDLFFLVDSITQCSRGLKGDVGDIYPSAIQAALPRLLNAAAPPGPNAQENRRQCLKVLRLWLERRILPESVVRHHIRELDSLSVSSSGGVFSRRSARTERALDDPIRDMEGMLVDEYGSNSSFQLPGFCMPRMLTEEDEGSDSDGESFEAVTPEHYSGVPEEQEANPASEKRRHILEDVDGELEMEDVAPEIEMSSTSGAAAINTAQTLQEHCDQHFPLPFAPPLPQDVRPSSPPPPSSPPPPPPPPPPPPPPPPLPPPIPRPISGPYTNNVDSTVHTSIQNRQDDLRSMVPPSVAPRINSTVCTNTVPYNGPDPRNPPVMQVSDCNTAFNSCPVPPVNNIQQPDGPSFHNPYPPQPLHPAPTNQFAYVNSRPHVNLMRDAPPPYTDRYSSLNFDGANYYNSHERMKLAPNDLRESWRYPPPPFSGPWYADNANSSYGHGHGSYGGPQCEPTRFPNEGWGFRPPPMDHRNFFPGRPRAEAPCIWQPR >KJB44098 pep chromosome:Graimondii2_0_v6:7:30444909:30445752:-1 gene:B456_007G234200 transcript:KJB44098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYHPPVATIGQLRQTSRTLDFCLLGHHRPLSGAIEYHQALSITIGSDRPPSNTCGSYRPQSTSVR >KJB42497 pep chromosome:Graimondii2_0_v6:7:36319745:36320111:-1 gene:B456_007G244400 transcript:KJB42497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KYYVHISNDFSNGNQLTIHCKSRDDDLGWHVLQVSEEWSWTFRENIYGTTLFWCNLIGENHSASVKVFYMYDTEFLKMCNYKECFWSVRDDGIYLKNDWTASWSLWYDWEH >KJB41984 pep chromosome:Graimondii2_0_v6:7:10538142:10538938:1 gene:B456_007G130900 transcript:KJB41984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVTRLASENGLVLFSKSSCCLCYAVKILFQEIGVTPTVHELDQDAEGREMERALMRLGCSAPVPAVFIGGKLVGSTNEVMSLHLSGGLIPLLRPYHSMC >KJB46627 pep chromosome:Graimondii2_0_v6:7:60905645:60908880:1 gene:B456_007G378900 transcript:KJB46627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCKCFGSFDWFKGSNSPDQTQAQEFTNENVKLFTYNSLRSATSDFHPSNRIGAGGFGVVYRGVLRDGTQVAIKKLSAESKQGSNEFLTEIDMISNIRHTNLVELIGCCVEDSHRALVYEYLENNSLASVLLGSRRKHIALDWPTRAAICLGTASAVAFLHYEAVPHIVHRDIKASNILLDGNFHPKIGDFGLAKLFPDNVTHVSTRVAGTFGYLAPEYALLGQLTKKADVYSFGVLLLEIVSGKSSSKAAFGVEFMLLLEWTWKLKEEDRLLDIVDPELSQYPEEEVLRFIKVALFCTQAAANQRPTMKQVVEMLSKEVNLTEKLLSEPGVYKGQNPRHWGVNKDLQAFDPSSSSRIFSSHIITQMLPR >KJB42811 pep chromosome:Graimondii2_0_v6:7:16808319:16818028:-1 gene:B456_007G179400 transcript:KJB42811 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MASPPILSLALPSNTGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGQVLNGQQLLDLVEGLEANNLLYYTHLLTGYIGSVSFLKSVLEVVDKLRSINPNLTYVCDPVMGDEGKLYVPEDLVSVYREKVVPVASMLTPNQFEAELLTKLRIGSETDGRKACNILHAAGPSKVVITSINIDGNLLLIGSHQKDKEQAPEQFKIVIPRIPAYFTGTGDLMTALLLGWSNKYPDNLDKAAELAVSSLQALLQRTLNDYTSAGFDSKSSSLEIRLIQSQDDIRQPKLTFKAHKYT >KJB42813 pep chromosome:Graimondii2_0_v6:7:16807993:16818731:-1 gene:B456_007G179400 transcript:KJB42813 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MASPPILSLALPSNTGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGQVLNGQQLLDLVEGLEANNLLYYTHLLTGYIGSVSFLKSVLEVVDKLRSINPNLTYVCDPVMGDEGKLYVPEDLVSVYREKVVPVASMLTPNQFEAELLTKLRIGSETDGRKACNILHAAGPSKVVITSINIDGNLLLIGSHQKDKGTGDLMTALLLGWSNKYPDNLDKAAELAVSSLQALLQRTLNDYTSAGFDSKSSSLEIRLIQSQDDIRQPKLTFKAHKYT >KJB42815 pep chromosome:Graimondii2_0_v6:7:16812542:16818598:-1 gene:B456_007G179400 transcript:KJB42815 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MASPPILSLALPSNTGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGQVLNGQQLLDLVEGLEANNLLYYTHLLTGYIGSVSFLKSVLEVVDKLRSINPNLTYVCDPVMGDEGKLYVPEDLVSVYREKVVPVASMLTPNQFEAELLTKLRIGSETDGRKACNILHAAGPSKVVITSINIDGNLLLIGSHQKDKVVFC >KJB42812 pep chromosome:Graimondii2_0_v6:7:16807993:16818598:-1 gene:B456_007G179400 transcript:KJB42812 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MASPPILSLALPSNTGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGQVLNGQQLLDLVEGLEANNLLYYTHLLTGYIGSVSFLKSVLEVVDKLRSINPNLTYVCDPVMGDEGKLYVPEDLVSVYREKVVPVASMLTPNQFEAELLTKLRIGSETDGRKACNILHAAGPSKVVITSINIDGNLLLIGSHQKDKEQAPEQFKIVIPRIPAYFTEI >KJB42814 pep chromosome:Graimondii2_0_v6:7:16807993:16818260:-1 gene:B456_007G179400 transcript:KJB42814 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MASPPILSLALPSNTGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGQVLNGQQLLDLVEGLEANNLLYYTHLLTGYIGSVSFLKSVLEVVDKLRSINPNLTYVCDPVMGDEGKLYVPEDLVSVYREKVVPVASMLTPNQFEAELLTKLRIGSETDGRKACNILHAAGPSKVVITSINIDGNLLLIGSHQKDKEQAPEQFKIVIPRIPAYFTGTGDLMTALLLGWSNKYPDNLDKAAELAVSSLQALLQRTLNDYTSAGFDSKSSSLEIRLIQSQDDIRQPKLTFKAHKYT >KJB45999 pep chromosome:Graimondii2_0_v6:7:57283753:57285616:-1 gene:B456_007G344500 transcript:KJB45999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKLTAESAPVFQEGISSILTRWSALTAAVENEWGGRDSLGKANAICTDVFSFFTEARAEPLYIDDLENLLEEGLLSLNTLVEDGSIEEVAEKLMIMHEECLEGNYQSVEKLRTTNPPPVAHVRPVFFCLNPLMPINMFRFEDNILHTISVEFCFCLSLLSLVLFCFCFQCLAATLTC >KJB45998 pep chromosome:Graimondii2_0_v6:7:57283126:57285616:-1 gene:B456_007G344500 transcript:KJB45998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKLTAESAPVFQEGISSILTRWSALTAAVENEWGGRDSLGKANAICTDVFSFFTEARDDLENLLEEGLLSLNTLVEDGSIEEVAEKLMIMHEECLEGNYQSVEKLRTTNPPPVAHVRPSNDEDEDDDDDEDDSMSADIAANMMVDVPNSQSSLNPVSMLTDEPKQNQSAEAEDGWVVVSSRKNKGRRN >KJB45997 pep chromosome:Graimondii2_0_v6:7:57283109:57285703:-1 gene:B456_007G344500 transcript:KJB45997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKLTAESAPVFQEGISSILTRWSALTAAVENEWGGRDSLGKANAICTDVFSFFTEARAEPLYIDDLENLLEEGLLSLNTLVEDGSIEEVAEKLMIMHEECLEGNYQSVEKLRTTNPPPVAHVRPSNDEDEDDDDDEDDSMSADIAANMMVDVPNSQSSLNPVSMLTDEPKQNQSAEAEDGWVVVSSRKNKGRRN >KJB45944 pep chromosome:Graimondii2_0_v6:7:56481104:56481724:1 gene:B456_007G339500 transcript:KJB45944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLKQWWLTCAASFLWFIWLARNELVFNKKRLSIDEIMFLANLHSFYWVKTSKEGCVVIESQWWSNPRLCVPCSKGLGPRLGIVWHAPPCGVIKFTVDGAARGKSGPACCGGVLYVITVVRF >KJB45975 pep chromosome:Graimondii2_0_v6:7:56677680:56681717:-1 gene:B456_007G341400 transcript:KJB45975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFLQAPVAHTILSSASSCRTVRRTKALKLRCGALGAKNAAKIPMPPINPKDPFLSKLASVASSSPEAVLNSSANPDTPPFLDLFESPKLMATPAQVERSVSYNEHRPRSPPPDLPSLLLNGRIVYIGMPLVPAVTELVVAELMYLQWMDPKQPIYLYINSTGTTRDDGETVGMETEGFAIYDALMQLQNEIHTVAVGAAIGQACLLLSAGTKGKRFMMPHAKAMIQQPRVPSSGLMPASDVLIRAKEVVINRDTLVELLAKHTGNSIEAVAEVMRRPFYMDANRAKEFGVIDKILWRGQEKVMAEVASPEEWDKNAGIKVMDGF >KJB45976 pep chromosome:Graimondii2_0_v6:7:56678463:56681637:-1 gene:B456_007G341400 transcript:KJB45976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFLQAPVAHTILSSASSCRTVRRTKALKLRCGALGAKNAAKIPMPPINPKDPFLSKLASVASSSPEAVLNSSANPDTPPFLDLFESPKLMATPAQVERSVSYNEHRPRSPPPDLPSLLLNGRIVYIGMPLVPAVTELVVAELMYLQWMDPKQPIYLYINSTGTTRDDGETVGMETEGFAIYDALMQLQNEIHTVAVGAAIGQACLLLSAGTKGKRFMMPHAKAMIQQPRVPSSGLMPASDVLIRAKEVVINRDTLVELLAKHTGNSIEAVAEVMRRPFYMDANRAKEFGVIDKILWRGQEKVMAEVASPEEWDKNAGIKVMDGF >KJB45977 pep chromosome:Graimondii2_0_v6:7:56677646:56681889:-1 gene:B456_007G341400 transcript:KJB45977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFLQAPVAHTILSSASSCRTVRRTKALKLRCGALGAKNAAKIPMPPINPKDPFLSKLASVASSSPEAVLNSSANPDTPPFLDLFESPKLMATPAQVERSVSYNEHRPRSPPPDLPSLLLNGRIVYIGMPLVPAVTELVVAELMYLQWMDPKQPIYLYINSTGTTRDDGETVGMETEGFAIYDALMQLQNEIHTVAVGAAIGQACLLLSAGTKGKRFMMPHAKAMIQQPRVPSSGLMPASDVLIRAKEVVINRDTLVELLAKHTGNSIEAVAEVMRRPFYMDANRAKEFGVIDKILWRGQEKVMAEVASPEEWDKNAGIKVMDGF >KJB39462 pep chromosome:Graimondii2_0_v6:7:1133834:1136581:-1 gene:B456_007G014700 transcript:KJB39462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILKLFFIIVYFSLHSATSTMDPCTESVCQSTKSSPLIRFPFRLIGRQPKSCGFPGFDLSCNYKSTQTLLQLPYSGNFTIEAIDYGAQQIWVNDPNNCLPRRILSLNFSGSPFTAVYDQDYTFFNCTLDYSRYGLNLIGCLSGDNYTVFATLSNEVVDSLSLSTCRRVATVSVPVGWPFYGGVSSSNLTDDLWLTWSNPKCRKCESRGAKCGLKPNSTNEISCSSASGRGIPRSAQYAITVGAAIPTLLSISCLICYICSKVRSYVAPQRPIPEFNPTVTPQPMLVVGLDGSTIESYPRIVLGESRRLPKPDDNTCPICLSEYRPNETLRSIPHCQHCFHADCIDEWLRLNATCPICRKSPEMSYPPTQDS >KJB41997 pep chromosome:Graimondii2_0_v6:7:10673386:10677960:1 gene:B456_007G131900 transcript:KJB41997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGERNGLKAKVPFHPRRRINVYGLPLLPPNNQGEKDDLHVELWHACAGPSVYVPRAGEKVLYFPQGHIEQVDACMNQDGIMEMPIYNLPSKILCRVMHVQLKVEPGTDEVFAQITLIPEAEQDEESLEHRNYQPLPQKAYPVFFSKKLTPSDTSTHGGFSIPKRHVDDGCLPPLDMSQQTPQQELVAIDLHGFEWRFRHIYRGQPKRHLLTSGWSTFLTSKKLLAGDEFIFLRGEKGELRLGIRRATTVLKYTSTSIISGHSMRHGILASAFHAFSTRSMFNVNYRPWSTSSEFIISLDRYMKSAQIDYCIGTRFRMRLEGEECAEQRPSGTIISIEDVDHTRWPNSEWRCLKVKWYPTAGENFHPERVCPWNIEPTEFRIKKRPSILHNQKKARTDDVSSPGFSTLLMDGMWSGSIKYESQSSSGVLQGQEDSDTDGNQPDALRQPLPHCLPLNHSWDSMQQPIQNQREIGAAPFSGGQVESLGLHNSWSTTFSSSNGVHEDAIASRKISVPNVNSQEGSISEPRNENETSWCEPNEGHACMLFGVNLVNGPLEPPSPQLVTSSELESHCSIPPTSQSTVSKPSKGTSSKQCDNCCSASNWSCTKVLKHGTALGRSVDITRFDGYKDLISELDRMFDFNGRLIDGSSGWHVTFTDDEGEMRMIGDHYPWQKFQNEVRRMLINPKEEIGRVNQSSLMAPYDEVF >KJB44081 pep chromosome:Graimondii2_0_v6:7:29792500:29793722:1 gene:B456_007G233400 transcript:KJB44081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRPNVPHSSSIAFGLHSHLLVSSEMNPNSNCAAMGVKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGESHVPNPAVQTLRPALAFQTTTTTTSSAIHMPPPPVRVGPVATGIKIFRTEGVAALFSGVSATVLRQTLYSTTRMGLYDILKQKWTDKETKTMPLSRKITAGLIAGGIGAAVGNPADVAMVRMQADGRLPVSQRRNYTSVVDAITRMTKQEGVTSLWRGSSLTVNRAMLVTASQLASYDQIKEMILENGLMKDGLGTHVAASFSAGFVAAVASNPVDVIKTRVMNMKVEAGQKPPYAGALDCAIKTVKAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >KJB41596 pep chromosome:Graimondii2_0_v6:7:8463143:8465377:1 gene:B456_007G111000 transcript:KJB41596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLSLLKSPLLPQKPHLLQSKLPLLSNPTKQFICNESFNPPKLFAETVHHLKSASLPLTTLAFPFLFDAKDALAVGGEFGILEGRSFALVHPIVMGGLFFYTLWAGYLGWQWRRVRTIQNEINELKKQVKPTPVTPEGKPVEAAPSPVELEIQKLSEERKELLKGSYRDRHFNAGSILLGFGVLESVGGGVNTWFRTGKLFPGPHLFAGAAITVLWAAAAALVPAMQKGSETARSLHIALNAVNVILFIWQIPTGIDIVFKVFQFTNWP >KJB45560 pep chromosome:Graimondii2_0_v6:7:52577959:52583231:-1 gene:B456_007G312100 transcript:KJB45560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGAPRIGSCSKEHQKIYKEWFDVADSDNDGRVTGNNAINFLSMSNLSRQELKQVWAIADSKRQGYLGFKEFVIAMQLVSLVQDGNELSHDILKGDVDFENVKPPKMEGLDELIQRYLSNVTSIQDGLKKLYTRKLKPLEVTYCFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHMLKCSYPGAHIGPEPTTDRFVVVMSGTDERSVPGNTIAVHADMPFTGLNSFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRAYDFTGVTAWFAAKCDLILLLFDPHKLDISDEFKRVIYSLRGHDDKIRVVLNKADQVDTQQLMRIYGALMWSLGKVLNTPEVVRVYIGSFNEKPVNEGFTGPIGKALFEKEQEDLLTDLKDIPKKACDRRINEFVKRARAAKIHAYIVGHLKKEMPTFIGKAKTQLRLTDNLEEEFVKVQRDYHLPPGDFPDVENFKEVLRGYSFDRFEKLKPKMIQDVDEMLGYDIPNLLKTLRNPYD >KJB41810 pep chromosome:Graimondii2_0_v6:7:9742701:9743379:-1 gene:B456_007G122800 transcript:KJB41810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAIIMHRIRILHSFSVVFLYWSASSSDSDPQYANIDERKRKRMLSNRESARRSRMRKQKRLQDLVQEVSALQKDNSQISERISVATQCSIEMQSANNVLRAQAMELTERLRSLNSVLQVVEEVGGYAVDIPEIPEPWQLPCPIQPIMASVDMFEYDG >KJB42929 pep chromosome:Graimondii2_0_v6:7:16114297:16114767:1 gene:B456_007G174400 transcript:KJB42929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKIISQVKGKISKTSCSDKKPLMNSMIKVLRPKVYIIDSSSFKSLVQELTGNPTVSSPSSSSEKMVPVIEVEDDHGNPESSMEYSASFDASVESFRACNGLTLPLDDSNISSEYMLMNQRESSLVYGDLQAFLELDADEQRFGGLDNVYSEIGQE >KJB45940 pep chromosome:Graimondii2_0_v6:7:56441023:56443938:-1 gene:B456_007G339100 transcript:KJB45940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPLPISFFPFLLLIPAICSTICHANSNLLCIQSEREALLKFKNDLIDPSNRLSSWVEGRDCCEWIGVFCQNSTGHVHQLHLASLLSAPDFNATPAEWEAYYNSLLGGKINPSLLELKHLSSLDLSNNNFSSIQIPKFSVCWRVLTYLNLSRARFQGAIPHSLGNLSKLQYLDLGGNYFDDLEQVTFKLPSLLELHLSGCGLHPSSISVNSSKSLVILDLSWNRFSPVPKWIFSLHGLVSIDLRYNDLEGPIPDYFGNFSFLEVLDLSGNSLDSSIPNSLYSLNRLQFLSLRGNQLQGTISSAIGNLSSITHLDLSYNYMLEGRLPTSLEYLCKLKEMDLSFNKIEGGISEILQSLSRYCLGSLESLDMSNNQLSGHLTDQLGQFKNLSYLSLAQNKISGPIPSSIGELSSLKFFDVSENQLNGTFPLSFGQLESLETLNFRNNLLEGVVLETHFSNLTRLTTLTASHNRLRFEPNSSWIPPFQCETIELGHWHLGPKFPQWLKFRKKLFVLDISNAGISGVMPTWFLNLPTQFEYLNLSFNKLTGGISYLNVKDIVDLRSNQFRGPLPRVFRNLQHLILSNNSFSGSLFELVCNSSSGELMEVLYIDKNLISGEIPDCWNHWQDLGLLDLGSNNLTGKIPPSLGHINLAVLNLRNNSMFGELPSTLQNSSLIMLDLSENHFNGSVPAWIGDNSSLLRIISLRSNNFDGHIPHKICDLLNLLILDLAHNNISGTIPKCFRKLYSMANRNNRENYMYVSEGLYLSALLVLKGRQDEYGATLGLVTSIDLSTNSLTGEIPKEIARLVELRSLNLSGNLLTGNIPDNIGNMKLMESLDLSMNQLNGEIPLSLSNLNFLNHFNVSYNNLTGQIPTSTQLQSFENFSYMGNHLCGPPLTKNCSTKDFSTDSENNGSSSEESKVNWLYVSIVLGFVMGFWGVVAPLFFIRPWRHAYYRKLDHNYAKLYVFWATMDI >KJB44942 pep chromosome:Graimondii2_0_v6:7:48146870:48147861:1 gene:B456_007G281000 transcript:KJB44942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKYNRIQRVAYMDSSYFQYPYSHFSPESSSSYVSLDSFNYPHNQALPFNENDSQEMLLLGLLNQAPASSRDDHEVNSKANEEESSTREVSYRGVRRRPWGKYAAEIRDSTRNGVRVWLGTFDTAEAAALAYDQAALAMRGSMAILNFPMDKVYESLQEMNYGFEQGCSPVLTMKKRYSLKTKKGSSMMMKKVKKEKEINNIENVVVLEDLGIEYLEELLTISESSNIW >KJB42347 pep chromosome:Graimondii2_0_v6:7:12627792:12628396:-1 gene:B456_007G149000 transcript:KJB42347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGRKSLLVSKMSFRCNWVLRIGAVGKLITDGAFNRDALVRVFWYTDDEVTFIYLNSNTLLVKFGSKKDKNKIMDLEPCSFDKMLFVLKDYEPSFSPRDCDFSLVPFWIRFYNVPLGWMNRLAALMLGGAVGEVMAIDWRDREGGWGEYIRVRVLLDITKGGQNGYSDGAS >KJB40409 pep chromosome:Graimondii2_0_v6:7:4349392:4352912:1 gene:B456_007G062000 transcript:KJB40409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRRAKKTVKEVPASAECEVERNDKKEDQNEENISGLIYQEVERQCAAIRAVRDVEIEHMLTALRLLRSYFSEEQLQTPALQFFNENLPNLSIVRNAENGQFEVQWKHEDGNISIHGADGRDVHASLLHRMSLVYANRPSIPSFSGFEFSTEAARKSLLRVDSQQIKDFVLEGTSESQMFGMHDGLQTPGVTSQRLSIGMTPKTKRLPKPGEILLSVHGSPLGVYKEENMEAIHESEEG >KJB40410 pep chromosome:Graimondii2_0_v6:7:4349392:4352912:1 gene:B456_007G062000 transcript:KJB40410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRRAKKTVKEVPASAECEVERNDKKEDQNEENISGLIYQEVERQCAAIRAVRDVEIEHMLTALRLLRSYFSEEQLQTPALQFFNENLPNLSIVRNAENGQFEVQWKHEDGNISIHGADGRDVHASLLHRMSLVYANRPSIPSFSGFEFSTEAARKSLLRVDSQQIKDFVLEGTSESQMFGMHDGLQTPGVTSQRLSIGMTPKTKRLPKPGEILLSVHGSPLGVYKEENMEAIHESEEG >KJB46217 pep chromosome:Graimondii2_0_v6:7:58326332:58327385:1 gene:B456_007G352700 transcript:KJB46217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSIGSTSTTLHIRKTPKKSKSKKNSKQIKVVYISNPMKVEISASNFMALVQKLTGQDAAELPEDPTLFTDANESVVVSAEDGGDQRVPDAAKNTTSSAAADHARVVLQQQYPAFTDDNINAQGVPFDIYDDDVFTPQMIENFTGLIPQSLLI >KJB45697 pep chromosome:Graimondii2_0_v6:7:53695761:53697401:1 gene:B456_007G321600 transcript:KJB45697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNPIRKISPNTHFDFHRQSKKIIELSFLCSFCTKPAAALSRFFITFIFMEDSRSLKEKQELKRRFPSKRHGIEEKSRMEDQVKLAAIAISLNVRLRSSDMPVYMQEHALCCIRQLLDSAPKPQPSLTHLARAIKKEFDSVYGPAWQCVVGTSFGSFVTHSPGGFLYFSIDSFSILLFKTEVELVTKEDWGQKL >KJB46015 pep chromosome:Graimondii2_0_v6:7:57289710:57291627:1 gene:B456_007G344600 transcript:KJB46015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQPERPQEPINYGDSFSGKGELAEKAVAPKDVAMMQKAGNSVIGQTQKGGVDASMQSATSKNESSGLVGRERVSADSGVYIKETESPRKRVISEHFGKEIVGRYSQGDSFASDTDSMSEHYGGITIGEALEATALTAGKKPVEWSDAAAIQAAEVRATGRTSIMPGGVAAAAQSAATLNARATRDEDKTKLGAILANATAKLPADKPATRKDAEGVMGAEMRNDPNLTTHPAGVAASVAAAARLNQSHK >KJB38969 pep chromosome:Graimondii2_0_v6:7:2175125:2176019:1 gene:B456_007G031600 transcript:KJB38969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIFRPSCTLPFPISFSFRRIMSILFSLSLTISVFCRSSCRGSLPARDSWPCVPRFSSGCPHSLSSWRRLHHGSPLNGARWNPNLFATAGCSYFW >KJB43796 pep chromosome:Graimondii2_0_v6:7:24446179:24448129:-1 gene:B456_007G216500 transcript:KJB43796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNWETKATAQTGGTMPQIIPVPFLLLFFFLTCFSEPITYPPQTNLMLPSDAVSILSFKSKADLHNKLLYVLNERFDYCQWRGVNCIQGRVVRFLLPNFGLFGTFPANSLSRLDQLRVLSLHNNSLSGPIPDLSSLYNLKSLFLGRNHFSGTFPSSILFLHRLTSLDLSYNDLTGPLPVNLTALDRLNILHLEWNRFNGTVPPLNQPFLLIFNVSGNNLTGKIPATPTLSKFNTTAFSLNPHLCGKIINKACTTRAPIFGSSSASSPAVQRPKANSGGIVALLPPPSPKKKHQRPGMVLGFTVGIALIIFSVLLALAVVKKQGGKKRVESKETKPTTVSSEATSSKTQVEGVSERKSVINEIQKLKKSGNLVFVGGEVEGYSLEQLMRASAELLGRGSMGITYKAVIDGQLILTVKRLDAGKTAVTSGEVFEQHMDAVGALRHPNLVPVRAYFQAKGERLVIYDYQPNGSVSNLVHDARLMNEQVQDQLGQSHFIGHHV >KJB43797 pep chromosome:Graimondii2_0_v6:7:24445150:24448225:-1 gene:B456_007G216500 transcript:KJB43797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNWETKATAQTGGTMPQIIPVPFLLLFFFLTCFSEPITYPPQTNLMLPSDAVSILSFKSKADLHNKLLYVLNERFDYCQWRGVNCIQGRVVRFLLPNFGLFGTFPANSLSRLDQLRVLSLHNNSLSGPIPDLSSLYNLKSLFLGRNHFSGTFPSSILFLHRLTSLDLSYNDLTGPLPVNLTALDRLNILHLEWNRFNGTVPPLNQPFLLIFNVSGNNLTGKIPATPTLSKFNTTAFSLNPHLCGKIINKACTTRAPIFGSSSASSPAVQRPKANSGGIVALLPPPSPKKKHQRPGMVLGFTVGIALIIFSVLLALAVVKKQGGKKRVESKETKPTTVSSEATSSKTQVEGVSERKSVINEIQKLKKSGNLVFVGGEVEGYSLEQLMRASAELLGRGSMGITYKAVIDGQLILTVKRLDAGKTAVTSGEVFEQHMDAVGALRHPNLVPVRAYFQAKGERLVIYDYQPNGSVSNLVHGSRSTRAKPLHWTSCLKIAEDVAEGLAYIHQASRLFHGNLKSSNVLLGSDFEACLTDYSLVFLADSSCFTEDPDSLAYKAPEIRKSSRRLTPKSDVYAFGVFLLELLTGKLPSRHPVLVPRDMLEWVRATREDDGGEYHWLGMLTEVASVCSLTSPEQRPAMWQVLKMIHEIKESAMMEDSASFGYS >KJB40755 pep chromosome:Graimondii2_0_v6:7:5376464:5376903:-1 gene:B456_007G076100 transcript:KJB40755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGKQFLIVGTKNKVVDSVARAAIRARCHYVNKKWLSCMITNWPTTETRLHKFGNLRTEQKTGRLNRLSKRDATMLKRQLSRLQTYLGGIKYMTRFPDIALRECITLGISTICLIDTNSDPDLADISIPTNDDAIA >KJB46244 pep chromosome:Graimondii2_0_v6:7:58482667:58484995:-1 gene:B456_007G354200 transcript:KJB46244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTEEGGGPVGAVSTDQTIIDNNTNNDYVRIDMRFTDPLSDGEVANLRSLYEAFYAGHPNFTAKPLIRKVPSALRRNEDFKKYFMPKVISIGPLHHDDLTLIESKKLKLKLAAHFVKKVDVDGESLYSNIKKEMDGLRKCYDPQELENYSDDNKELAWMFDGCAILQAVYMRYDNDDDDGKLFIKNDLLRFVYSDLFLLENQIPFRVLEMLTSSGDGKKFMDSIKRFIDDSVINPGEDRFWCSEWLWEQHKEGERIHLLHLLRVRLLVEEEKPWWWRFIERRFINEATTKRYHSRTIGTVKELKKAGITLKASETSCLTDISFNHIFFSGKLRLPPITVDDSTINLIAYEMCPDFDNDFTVTSYMCFLDLLIDEAEDVKDQRDAGILYNRLGSDEEVAKLFNKMNTDLVPSPMIYSGVKEKIHNHCKNMWINHAAQGYHTYFRSPWTFLAFLGAIAALTLSALQTYYAMHQQK >KJB44917 pep chromosome:Graimondii2_0_v6:7:47847407:47847992:1 gene:B456_007G279500 transcript:KJB44917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCREGLMSPQTETKASVGFKAGVKEYKLTYYTPEYAVKDTDILEAFRVTPQPGVSPEEAGVTVAVESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVPREEDQYICYVAYPLDLFEEGFGLLTCLLPLWVMYWVQSPACSTCRGSANPYCLY >KJB40483 pep chromosome:Graimondii2_0_v6:7:4669911:4673021:1 gene:B456_007G066400 transcript:KJB40483 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G41350) UniProtKB/Swiss-Prot;Acc:F4IK01] MNEMISGVGEPVVTDATKGGGSNAARIAEVKAWLAAQFDAAGKEVPDFEYTPRSIAHLYNLATVSQAKTQAANIVANDFRQKAAEYRSQAARIREILENVGLAQESLPSNVVASAQVLANVANLLNIRDTELSSFLVAMGDISLRKTGVDEKRAKVHKESKTLLEYTRKAIARLTYLKRTLAQLEDDVAPCDAQMENWKTNLGVMASKERQYMQQFNNYKALLNRVGYTPEINHGVLVEMAEHRKDLEKKTKPILDTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALATSD >KJB40484 pep chromosome:Graimondii2_0_v6:7:4669933:4672370:1 gene:B456_007G066400 transcript:KJB40484 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G41350) UniProtKB/Swiss-Prot;Acc:F4IK01] MNEMISGVGEPVVTDATKGGGSNAARIAEVKAWLAAQFDAAGKEVPDFEYTPRSIAHLYNLATVSQAKTQAANIVANDFRQKAAEYRSQAARIREILENVGLAQESLPSNVVASAQVLANVANLLNIRDTELSSFLVAMGDISLRKTGVDEKRAKVHKESKTLLEYTRKAIARLTYLKRTLAQLEDDVAPCDAQMENWKTNLGVMASKERQYMQQFNNYKALLNRVGYTPEINHGVLVEMAEHRKDLEKKTKPILDTLRSYQDLPPVICYHGWHWSTIVLWC >KJB40485 pep chromosome:Graimondii2_0_v6:7:4669933:4672911:1 gene:B456_007G066400 transcript:KJB40485 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G41350) UniProtKB/Swiss-Prot;Acc:F4IK01] MNEMISGVGEPVVTDATKGGGSNAARIAEVKAWLAAQFDAAGKEVPDFEYTPRSIAHLYNLATVSQAKTQAANIVANDFRQKAAEYRSQAARIREILENVGLAQESLPSNVVASAQVLANVANLLNIRDTELSSFLVAMGDISLRKTGVDEKRAKVHKESKTLLEYTRKAIARLTYLKRTLAQLEDDVAPCDAQMENWKTNLGVMASKERQYMQQFNNYKALLNRVGYTPEINHGVLVEMAEHRKDLEKKTKPILDTLRSYQDLPPVISLAALAIEDKKRQYAAAEKYLEDVLQSALATSD >KJB38865 pep chromosome:Graimondii2_0_v6:7:2816820:2818556:-1 gene:B456_007G0404002 transcript:KJB38865 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP4 [Source:Projected from Arabidopsis thaliana (AT5G60850) UniProtKB/TrEMBL;Acc:A0A178UF84] MQDIHLIEGGRLFNGGGDDGGGGDRKLRAHHQALKCPRCDSSNTKFCYYNNYNLSQPRYFCKNCRRYWTKGGVLRNVPVGGGCRKAKRSKTKPSSETTVSATVVSALPHPEQQQRQHQNSDQRKADSHSSTESSSLNAANCKVAVTNNNNNNSNSKNNSSLVGTTEAASAITSRSTFYGNPNNLGLEPGLLEQGSDGGIFAEIGSLTNLITSPNNETLSFDFGTVLNRPGQWQPQQMTMSMGGEEITKGLLDQTVQIEQSNLNIKLDSGFQPLDWEGSEDQGLFGLPSTVDQTYWSVD >KJB43848 pep chromosome:Graimondii2_0_v6:7:25218505:25220958:1 gene:B456_007G219500 transcript:KJB43848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAQMLCGLIMREEVLRVGAVFASGLLKAVRFLQVNWKQFAHDIETGTLNPKVTDPSVRECMSKILKPNPELAAFITKECSEENWECIITRIWPNTKYLDVIVTGAMAQYIPTLEYYSGGLPMACTMYASSECYFGLNLKPMCKPSEVSYTIMPNMAYFEFLPHDDSSAQDSSRDSPPRLVDLADLEVGKEYELIVTTYAGLCRYRVGDILRVTGFHNAAPQFRFIRRKNVLLSIDFDKTDESELQQAIENASVLLKEFNTSVVEYTSYADTKQIPGHYVIYWELFVKDAANAPTDEVLSQCCFQMEESLNVVYRQCRVADSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSKHFSPALPHWTPERRR >KJB43847 pep chromosome:Graimondii2_0_v6:7:25218502:25220988:1 gene:B456_007G219500 transcript:KJB43847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPSSLIMAVDSTLSSAVGASVSEKDAKALQFIEEMTKNVDSVQERILGEILSRNADTEYLKRFQLNGATDRDTFKSKVPVVTYEDLQPEIQRIANGDKSPILSSHPISEFLTSSGTSAGERKLMPTIHEELNRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFIKAETKTPGGLLARPVLTSYYKSDHFKSRPYDPFNVYTSPNETILCPDSIQSMYAQMLCGLIMREEVLRVGAVFASGLLKAVRFLQVNWKQFAHDIETGTLNPKVTDPSVRECMSKILKPNPELAAFITKECSEENWECIITRIWPNTKYLDVIVTGAMAQYIPTLEYYSGGLPMACTMYASSECYFGLNLKPMCKPSEVSYTIMPNMAYFEFLPHDDSSAQDSSRDSPPRLVDLADLEVGKEYELIVTTYAGLCRYRVGDILRVTGFHNAAPQFRFIRRKNVLLSIDFDKTDESELQQAIENASVLLKEFNTSVVEYTSYADTKQIPGHYVIYWELFVKDAANAPTDEVLSQCCFQMEESLNVVYRQCRVADSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSKHFSPALPHWTPERRR >KJB43849 pep chromosome:Graimondii2_0_v6:7:25218505:25220958:1 gene:B456_007G219500 transcript:KJB43849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIHEELNRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFIKAETKTPGGLLARPVLTSYYKSDHFKSRPYDPFNVYTSPNETILCPDSIQSMYAQMLCGLIMREEVLRVGAVFASGLLKAVRFLQVNWKQFAHDIETGTLNPKVTDPSVRECMSKILKPNPELAAFITKECSEENWECIITRIWPNTKYLDVIVTGAMAQYIPTLEYYSGGLPMACTMYASSECYFGLNLKPMCKPSEVSYTIMPNMAYFEFLPHDDSSAQDSSRDSPPRLVDLADLEVGKEYELIVTTYAGLCRYRVGDILRVTGFHNAAPQFRFIRRKNVLLSIDFDKTDESELQQAIENASVLLKEFNTSVVEYTSYADTKQIPGHYVIYWELFVKDAANAPTDEVLSQCCFQMEESLNVVYRQCRVADSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSKHFSPALPHWTPERRR >KJB44200 pep chromosome:Graimondii2_0_v6:7:32943700:32945241:1 gene:B456_007G239800 transcript:KJB44200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLNHILCSLSWLCFPDLSSSELLRALLPVFFSGLSPTKLGTLSLIKSPLVFNNDGLNLQRSFFHLKSQKITSSAPCGHHMRRSIGFLHPLR >KJB44199 pep chromosome:Graimondii2_0_v6:7:32943851:32945169:1 gene:B456_007G239800 transcript:KJB44199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLNHILCSLSWLCFPDLSSSELLRALLPVFFSGLSPTKLGTLSLIKSPLVFNNDGLNLQRSFFHLKSQKITSSAPCGHHMRRSIGFLHPLR >KJB41264 pep chromosome:Graimondii2_0_v6:7:7167270:7173213:1 gene:B456_007G0971002 transcript:KJB41264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNLTKFVASRLSRSRRFFSSVIPRPCIIQKRGADILHDPWINKETGFPLAERNRLGLRGLLPPRVISFEQQYARFMESYRSLEKNTVSEPDTSHVALAKWRILNRLHDRNETLYFRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQKVDMIVLTDGSRILGLGDLGVHGIGIPIGKLDMYVAAAGLNPQRILPVMLDVGTNNLKLIEDPLYLGLRQPRLEGEEYLSIIDEFIEAVLTRWPKAIVQFEDFQMKWAFETLKRYRERFCMFNDDVQGTAGVALAGLLGTVRAQGRSLDDFPNQKIVVVGAGSAGLGVLSMAVQAVVRMTGNAETAAQNFFLLDKDGLITKERKNLDPAAVAFAKDPGLIAGLRDGDSLLEVVKKVKPDVLLGLSGVGGVFNEEVLKALRESGSNRPAIFAMSNPTMNAECTAVEAFKHAGENIVFASGSPFENVQLGNGKVGYVNQANNMYLFPGIGLGALLSGARIITDDMLQAAAECLASYMTDQDIEKGILYPSINSIRDITAEVGASVVRAAVAEEVAEGYGKVDPEELAYMSK >KJB41263 pep chromosome:Graimondii2_0_v6:7:7167270:7173213:1 gene:B456_007G0971002 transcript:KJB41263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNLTKFVASRLSRSRRFFSSVIPRPCIIQKRGADILHDPWINKETGFPLAERNRLGLRGLLPPRVISFEQQYARFMESYRSLEKNTVSEPDTSHVALAKWRILNRLHDRNETLYFRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQKVDMIVLTDGSRILGLGDLGVHGIGIPIGKLDMYVAAAGLNPQRILPVMLDVGTNNLKLIEDPLYLGLRQPRLEGEEYLSIIDEFIEAVLTRWPKAIVQGTAGVALAGLLGTVRAQGRSLDDFPNQKIVVVGAGSAGLGVLSMAVQAVVRMTGNAETAAQNFFLLDKDGLITKERKNLDPAAVAFAKDPGLIAGLRDGDSLLEVVKKVKPDVLLGLSGVGGVFNEEVLKALRESGSNRPAIFAMSNPTMNAECTAVEAFKHAGENIVFASGSPFENVQLGNGKVGYVNQANNMYLFPGIGLGALLSGARIITDDMLQAAAECLASYMTDQDIEKGILYPSINSIRDITAEVGASVVRAAVAEEVAEGYGKVDPEELAYMSK >KJB39337 pep chromosome:Graimondii2_0_v6:7:570643:574274:1 gene:B456_007G007100 transcript:KJB39337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKTVHCVLSPSSAFRIFRKLFSSASAGVKDVDNDVLNASIPPVTLGDKDPAPTERNPTLHHALNTDARTCKDIITELGYPYEAIHVTTADGYILLLERIPRRDARKAVYLQHGIFDSSVGWVSNGVVGSSAFAAYDQGYDVFLGNLRGLVSRGHVDKNIPSRKYWWFTVNELGTKDVPAMIEKIHEIKTDELKMIQPDEETNDEQPYKLSAIAHSLGGAVMLMYTVTRLIEQKPHRLSRLTLLSPAGFHDETIFILTVAHYFVVPLGPILAFFVPALYIPTRFFRMLFNKLARDFHNYPALGGLIQTVLSYTFGGDSSNWVGVMGLPHYNMDDMPGLSLGVIHHLAQVKWRGKFVMYDYGNASANMKAYGSPVPIDLGEYYSLIDIPIDLIAGRKDKIISAAMVTRHYKLMKDASVNVSYKEFEYGHLDFTKSNQEDILAYVMSRLLLVEPALKHQTSQKKTLKLKKKGQASS >KJB39336 pep chromosome:Graimondii2_0_v6:7:567965:574288:1 gene:B456_007G007100 transcript:KJB39336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLVDSALAITKELLKTFMYESLNNTARLINEVSALLLSILLGKANILEGVQGWELRPTFHGPRFPRWMENGVSSFNQFIHELSLESDTSSIDYSSDDNNLDETYPASPSSHGSRSSRTSFPINNAWHWTDLMACILSLILLPARLLLWMPFLVFRLLNIWGSKTSSDPGNPHLRNFHSFRNMHTTKDHVVNRSIDRRRGVVEDLHLAIEIFIEAIFDMLHKTVHCVLSPSSAFRIFRKLFSSASAGVKDVDNDVLNASIPPVTLGDKDPAPTERNPTLHHALNTDARTCKDIITELGYPYEAIHVTTADGYILLLERIPRRDARKAVYLQHGIFDSSVGWVSNGVVGSSAFAAYDQGYDVFLGNLRGLVSRGHVDKNIPSRKYWWFTVNELGTKDVPAMIEKIHEIKTDELKMIQPDEETNDEQPYKLSAIAHSLGGAVMLMYTVTRLIEQKPHRLSRLTLLSPAGFHDETIFILTVAHYFVVPLGPILAFFVPALYIPTRFFRMLFNKLARDFHNYPALGGLIQTVLSYTFGGDSSNWVGVMGLPHYNMDDMPGLSLGVIHHLAQVKWRGKFVMYDYGNASANMKAYGSPVPIDLGEYYSLIDIPIDLIAGRKDKIISAAMVTRHYKLMKDASVNVSYKEFEYGHLDFTKSNQEDILAYVMSRLLLVEPALKHQTSQKKTLKLKKKGQASS >KJB39338 pep chromosome:Graimondii2_0_v6:7:568289:574274:1 gene:B456_007G007100 transcript:KJB39338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACILSLILLPARLLLWMPFLVFRLLNIWGSKTSSDPGNPHLRNFHSFRNMHTTKDHVVNRSIDRRRGVVEDLHLAIEIFIEAIFDMLHKTVHCVLSPSSAFRIFRKLFSSASAGVKDVDNDVLNASIPPVTLGDKDPAPTERNPTLHHALNTDARTCKDIITELGYPYEAIHVTTADGYILLLERIPRRDARKAVYLQHGIFDSSVGWVSNGVVGSSAFAAYDQGYDVFLGNLRGLVSRGHVDKNIPSRKYWWFTVNELGTKDVPAMIEKIHEIKTDELKMIQPDEETNDEQPYKLSAIAHSLGGAVMLMYTVTRLIEQKPHRLSRLTLLSPAGFHDETIFILTVAHYFVVPLGPILAFFVPALYIPTRFFRMLFNKLARDFHNYPALGGLIQTVLSYTFGGDSSNWVGVMGLPHYNMDDMPGLSLGVIHHLAQVKWRGKFVMYDYGNASANMKAYGSPVPIDLGEYYSLIDIPIDLIAGRKDKIISAAMVTRHYKLMKDASVNVSYKEFEYGHLDFTKSNQEDILAYVMSRLLLVEPALKHQTSQKKTLKLKKKGQASS >KJB39028 pep chromosome:Graimondii2_0_v6:7:53130469:53131088:1 gene:B456_007G316400 transcript:KJB39028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSCSGCVKLHDFLDVEKNGSITFKQFLFEVAHVMKKPLLMQACELAFAECDVTNGMQAPWLVEIGICKA >KJB43075 pep chromosome:Graimondii2_0_v6:7:17797150:17802569:1 gene:B456_007G185600 transcript:KJB43075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMRFSPEESPEANQHLQLPQQQLQTGREVASDDDRSVAADSWSIKSDYGSTLDDEQRHADAAEALSSAANFRPASDYSSDKDEPDAETSMLGLQSYWDAAYLDELANFREHGHAGEIWFGTDVMDTVISWTKSLCTDVSQGHIPNHGGEPKPQSVEQDEKYLSCWSVLDIGTGNGLLLQELSKQGFTDLTGTDYSEGAIDLAQSLADRDGFSNIKFLVDDILETKLDRQFQLVMDKGTLDAIGLHPDGPIKRIMYWDSVSKLLAPGGILVITSCNHTKDELVQEVENFNQRNIAISQEPSATKDQETHRDHPPFRYLNHVRTYPTFMFGGSVGSRVATVAFLRN >KJB46064 pep chromosome:Graimondii2_0_v6:7:57724223:57730459:1 gene:B456_007G346900 transcript:KJB46064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLPSSSSYISRKKYDVFLSFRGEDTRKNFTDHLYAALKRSGIVTFRDDPKLEAGEEIAPELFKAIQQSWCSVIVFSETYAFSSWCLEELAEIVQQHNNDGHKVFPIFYDVDPSDLRKQKGKVEEAFARHEERYKEESEKIQRWRNALIQVAAIKGWHLNNRHESEIIRDIVKKISAKPCQTYPVTHSDLVGISERLEDLYLKINIGEDDVRVIGICGMGGIGKTTLARVAYTQMSPHFEGKSFISDIREVSDKCGLVSLQKQLLSQIFHGECFNFFDVHEGSDIISHRLSHKKVLVVLDNVDNIQHLKCLVGRHDWFGLGSRIVVTTREEHLLRSWPVDDMYEPKTLNPKDALQLFSLKAFHSDTVQKDDFIELSEHVVNYAGGLPLALEVLGSFLCGRDATQWRSAIERLKRDSDKEILNKLRISFDGLEEREKDIFLDIACFFNGERKDFVIKVLDGCEFFPDIGIDVLIKKSLVKVDKYNRYLKMHELLQEMGRTIVKEKCVDEPGKRCRLWEERDVHHVLTKYTPLFNLKIMNLRGSQNLIKTPDFTTASNLEVLILEGCTKLVDVHPSIGVLRSLKLLNLRDCKSLRTLPTKTGMESLETLILSGCSNLVRFPDVEGKMERLKTLDLSGCYRVENLSENLQQAKFLEELDLSETAITEPPSFIFQFKNLKVLSFNGRKGPSYKLLPNLPSLVKVIQGRRTNPMARMLPLLSGLSSLRELNLRDCNLCEGDIPPDISGLSCLEELELSGNNFISIPASLTRLSKLKELILSNCNMCTLGEADIRSDLSGLYSLLRLDLSGCKMLKSLPELPTSIRYMIIDDCSSLEVVASPSKVCNLAGGDEISAINCFKLAEKINALTLLKEHIKAVRYPRDCFHSSIVDIMMPGSEIPEWFSQQKSDSSIKIPLPINLRKDSQWIGVACCCIFVDNGASRNKMLYCDGSIFRGRNCRRIFWSLGWVGRSFHKLIMKDHLFLRYFLRDELYPISLEDKYGDCETNNLWTADCLDQTGDKLQLCFNSKFEPNDRCVKVKKCGVRIVYEKDLEEMKELQCHTTQSSPNFEHIHQHSAQNHGSVGSTSHMKRKIKREALTVSLGSFVNSFLESSWREHLSSPNFHTYELEGNEVVVLGGSKVRGSCLGWRSVMEDESDEARVYW >KJB41792 pep chromosome:Graimondii2_0_v6:7:9611522:9613602:1 gene:B456_007G121600 transcript:KJB41792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTPLALTLPAPQTVMVSSSPIYLLPTSSLQNRTSFRAFCSSVSPETIHSEGSKAEYKPGILDHFFLNSFRDKLVKEVGWDSEKPGYGGLIELAKALMMNSRSNSHTKDAAVRILKSLFPPLLLELYKILIAPIDGGRVAAMMIARVTVLTCQWLMGTCKVNSVDLPDGTSCNSGVFVERCKYLEESKCVGICINTCKLPTQSFFKDYMGVPLLMEPNFCDYSCQQNQIEPVTCNFTRSLSFVYHRQASFSAEEVKIY >KJB41793 pep chromosome:Graimondii2_0_v6:7:9611522:9613602:1 gene:B456_007G121600 transcript:KJB41793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTPLALTLPAPQTVMVSSSPIYLLPTSSLQNRTSFRAFCSSVSPETIHSEGSKAEYKPGILDHFFLNSFRDKLVKEVGWDSEKPGYGGLIELAKALMMNSRSNSHTKDAAVRILKSLFPPLLLELYKILIAPIDGGRVAAMMIARVTVLTCQWLMGTCKVNSVDLPDGTSCNSGVFVERCKYLEESKCVGICINTCKLPTQVSNYYIYMHEIFQRTCNLSGLLP >KJB41791 pep chromosome:Graimondii2_0_v6:7:9611271:9613669:1 gene:B456_007G121600 transcript:KJB41791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTPLALTLPAPQTVMVSSSPIYLLPTSSLQNRTSFRAFCSSVSPETIHSEGSKAEYKPGILDHFFLNSFRDKLVKEVGWDSEKPGYGGLIELAKALMMNSRSNSHTKDAAVRILKSLFPPLLLELYKILIAPIDGGRVAAMMIARVTVLTCQWLMGTCKVNSVDLPDGTSCNSGVFVERCKYLEESKCVGICINTCKLPTQSFFKDYMGVPLLMEPNFCDYSCQFKFGVSPPLPENDDTLKEPCLDVCPIANKRREIRRNVDVMKCPKA >KJB46302 pep chromosome:Graimondii2_0_v6:7:58931725:58933149:1 gene:B456_007G357600 transcript:KJB46302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARIEQLEAKLREVAALEISLYSVILEQVSSANKVHTHAWCLSRLYIHACKHWSGDKQAMITKNTVSGLILITKSCCNDASSRSHECSCLPVIASMVMEQCVARLDVAMFYAILWESKNEIPTDPISNPIVDSKRKAYTSDEELNSPLTSTIEKLLLSPTIVAKGSMNGEHKLDGYGGLIALYKLLRELQRKLQLEA >KJB43604 pep chromosome:Graimondii2_0_v6:7:22253812:22255312:-1 gene:B456_007G209500 transcript:KJB43604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVNKQKHFILVHGACHGAWCWYKLKPRLESAGHRVTAVDMAASGINMKAIQGVHSMYEYSKPLLEILASLAPGEKAILVGHSLGGLNLALAMEKYPENISAAVFLAAFMPDTAHHPSFVLEQVLQPGNLFHKFFVFATHVCIISGLQGWFSFFFTANPLRDLINLNVFSYFVGSG >KJB43605 pep chromosome:Graimondii2_0_v6:7:22253812:22255312:-1 gene:B456_007G209500 transcript:KJB43605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVNKQKHFILVHGACHGAWCWYKLKPRLESAGHRVTAVDMAASGINMKAIQGVHSMYEYSKPLLEILASLAPGEKAILVGHSLGGLNLALAMEKYPENISAAVFLAAFMPDTAHHPSFVLEQYWQRTPSEAWLDTEFAPYGRPEDSSMSMFFGTKFLTSKLYQLSPVEVLYTCCKGPGIGKGSDQARINVFKRFVQGRQVL >KJB43606 pep chromosome:Graimondii2_0_v6:7:22253812:22255466:-1 gene:B456_007G209500 transcript:KJB43606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVNKQKHFILVHGACHGAWCWYKLKPRLESAGHRVTAVDMAASGINMKAIQGVHSMYEYSKPLLEILASLAPGEKAILVGHSLGGLNLALAMEKYPENISAAVFLAAFMPDTAHHPSFVLEQYWQRTPSEAWLDTEFAPYGRPEDSSMSMFFGTKFLTSKLYQLSPVEDLELAKALIRPGSMFLSDLSKADKFSKEKFGSVPRVYVVCKEDKGIPEEFQRWMIQNSEVNDVMEIKDADHMAMFSKPHKLFNTLLEIGHKYG >KJB44278 pep chromosome:Graimondii2_0_v6:7:36211733:36213312:1 gene:B456_007G243600 transcript:KJB44278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNTSTKASYENPKETIQLIKLHNEDHIHTILHFSTNLICFVILSGYSILGNEELIILNSWGYENPREATGCIVCSNCHLANKPMDIEVPQAILPDTVFEAVVTIPYNMQLKQLLAYGKKGDLNVGVVLILPKGFELAPPDHISPEMKEKIGNLSFQNYRPTKKNILVISPVPGRNKGRGQIYPAENKSNNIVYNATIIGIVSKIIRKEKGVRDNQPELLVLKGGSIKLNQPLTINPNMGGFGQGDIEIVLQDPLHVQGLLFFLASIVFAQIFL >KJB46243 pep chromosome:Graimondii2_0_v6:7:58784016:58784814:-1 gene:B456_007G356700 transcript:KJB46243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETSEPLRQTPEPNLASLESLFHVLDPVSLILSHNSSPGNPIPLRLTTESSIMERDTRYGAYAELRETKLRMKSGMMQQEEEEIELKRQRRRSSVLAQSVPDFSATLRKENRKPPVRSGMELTPPPTSGKNWTRESGVWPSNSGGSKSANAGEKKGRMMMLKKSVQVLKI >KJB45364 pep chromosome:Graimondii2_0_v6:7:51636594:51639070:1 gene:B456_007G302700 transcript:KJB45364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLNLKPIISLSSSSFHHHPSSFSPFFSIKTQTKPFSFTTRIHNLQYPSLSLTFSRRFFILPSVSGIWDALTGNNNPKDAVIAIRRGMLLFRQGDVSGSVVEFDKAIELDPRQKAYLWQRGLSLYYLDRFEEAAEQFRIDVAENPNDTEESIWCFLCEAQLYGVDEARQRFLEVGRDPRPVMREAYNLFKDGGDPEKLVAAFTNGPQYFYASLYAGLYYESQKKADAAKVHILSACNSPYGHRSDDYMASLAKVHCLCRNWTSE >KJB45366 pep chromosome:Graimondii2_0_v6:7:51636642:51638655:1 gene:B456_007G302700 transcript:KJB45366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLNLKPIISLSSSSFHHHPSSFSPFFSIKTQTKPFSFTTRIHNLQYPSLSLTFSRRFFILPSVSGIWDALTGNNNPKDAVIAIRRGMLLFRQGDVSGSVVEFDKAIELDPRQKAYLWQRGLSLYYLDRFEEAAEQFRIDVAENPNDTEESIWCFLCEAQLYGVDEARQRFLEVGRDPRPVMREAYNLFKDGGDPEKLVAAFTNGPQYFYASLYAGLYYESQKKADAAKVHILSACNSPYGHRSDDYMASLAKVHCLCRNWTSE >KJB45365 pep chromosome:Graimondii2_0_v6:7:51636642:51638655:1 gene:B456_007G302700 transcript:KJB45365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLNLKPIISLSSSSFHHHPSSFSPFFSIKTQTKPFSFTTRIHNLQYPSLSLTFSRRFFILPSVSGIWDALTGNNNPKDAVIAIRRGMLLFRQGDVSGSVVEFDKAIELDPRQKAYLWQRGLSLYYLDRFEEAAEQFRIDVAENPNDTEESIWCFLCEAQLYGVDEARQRFLEVGRDPRPVMREAYNLFKDGGDPEKLVAAFTNGPQYFYASLYAGLYYESQKADAAKVHILSACNSPYGHRSDDYMASLAKVHCLCRNWTSE >KJB45922 pep chromosome:Graimondii2_0_v6:7:56855515:56855876:-1 gene:B456_007G343300 transcript:KJB45922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPMRFLLVFFSAILAGYFAWRTAVGSSVDADDGVSEDSRTIVAKNEQDFSFKMMVKNGFWVLVDMASGRYLWRNFKALKNNEKLKSS >KJB45921 pep chromosome:Graimondii2_0_v6:7:56855278:56856270:-1 gene:B456_007G343300 transcript:KJB45921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPMRFLLVFFSAILAGYFAWRTAVGSSVDADDGVSEDSRTIVAKNEQDFSFKMNGFWVLVDMASGRYLWRNFKALKNNEKLKSS >KJB46123 pep chromosome:Graimondii2_0_v6:7:57906613:57907334:1 gene:B456_007G348900 transcript:KJB46123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHFKSISSTNLPPKDDQDLRLAMEEMQKANYFTFVMLLNMLPLDPKFLANVTFLMPNDRMLSKTVIPENAVSNFLHRHSIPSPLIFEHLLYIPTGSILPSLMPEYLMNVSNGGGRRSFVLNNVKIISPNICTIGSSIRCHGIDGVLNPESNISLHTCSNTMVPVPPPPSSVAPPPLLPPPPPSPVPFFLPLKMIFHQHISGSSKLSLGRKVLKSMATILVPLMIGVSI >KJB46412 pep chromosome:Graimondii2_0_v6:7:59937227:59937957:1 gene:B456_007G366400 transcript:KJB46412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGAGASDGPTLPYQPPTASTAPASTVPPVVPPIRRHLAFASTNPPFVHPDDYHRFSPSNSRGIVADQEVEAIVVRFPVSSKILF >KJB41552 pep chromosome:Graimondii2_0_v6:7:8330051:8331896:1 gene:B456_007G109600 transcript:KJB41552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPQRSGMLTPPLHASASVPFRWEEEPGKPKPSTALTSFTTPNDSAPKCLELPPRLLLDAKIAKLSSPTTVLEGPYTGRPRFQSSSFRMGSECYGSFRASPETLQLGSMVLGKRGYKEKGFLGFWRGRSLKARPRREVSGNSCVRDSECSRDGDRDRDSSGTTSVNITTIRRIGSFPSLSSSKSHFWESIYEGLMQVVPWTKKGKKDG >KJB39666 pep chromosome:Graimondii2_0_v6:7:1743105:1743938:1 gene:B456_007G024800 transcript:KJB39666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQKGISRSPRELLEYNLLPLVGHLLMLTLALLFLWSNVTTFIHKSPTRIPEVQIPKDPVLECAQALRFEINCDFSVLQDIASGRDFKKFLAVCFLSHLPFWSSIKQFIHLKLVSGFGFFFLRTVFILLHTILVLYEKYEDKVDPFAEKAMHEIKKQYAVFDAKFLRFRGDG >KJB46366 pep chromosome:Graimondii2_0_v6:7:60016321:60020918:-1 gene:B456_007G367700 transcript:KJB46366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTGKLMPNIDQQSTKMLNLTVLQRIDAFIEEILITAAHVTFYEFNLDSNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVENLLGDFEYEVQGPYLLYRNAAQEVNGIWFYNPRECEDVANLFSRILNAYTKVPAKPKTSASKSEFEELEAVPSMSVIEGPLEPPATASRATDAPQDSSFVNFFSAAMNLGSNAPNGTKPTQPYHSISTTPLSSHAPTAIPTPTPAPSVSSLPLSAAPLVDSASSSNRVTNLVKPSSFFAPPSSSSSSLMMPPPSSSNPTASALHPPLNPQHPYGTPMLQPFPPSTPPASLTPGAPSSLHNGSLISRDKVRDALLMLVQDDQFIDMFHQALQKVHHT >KJB42596 pep chromosome:Graimondii2_0_v6:7:13940182:13941054:1 gene:B456_007G159500 transcript:KJB42596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYIFLELGEWLKFFIKIFVGGGGGRDPKIKITKRTWIDALSCQGIIATIFLPEDIWNVSNKVLQSFRSKFDRLIT >KJB45054 pep chromosome:Graimondii2_0_v6:7:49399467:49400069:1 gene:B456_007G287400 transcript:KJB45054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGDTSFKLFLSGFPPLSSVSSPVVAREKSKWGQVDQEDLRDGAVLLPDRVFPVAFVTSLVLGKFIRGLKDYILVFISKYEGSIRNCVYFCSLSFLCCCWLLGDCFGGPMGPPGPPGPPGFGPPPP >KJB45823 pep chromosome:Graimondii2_0_v6:7:55398197:55403481:1 gene:B456_007G331200 transcript:KJB45823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGFPRFCWIVLSWILIVSIHQVQLSEPQAAAAGCDYFQGSWVFDKTYPLYNTTDCPFIEKEFDCQANGRPDQLYLKYRWKPTDCMLPRFNAEELLRKLKGKKMMFIGDSLSLNQWQSLTCMLHAFLPQSNYTVHREGNLSTFNLPEYEVSLMLSRNAFLVDIVQEKIGTVLKLDSIKNGESWKGYDFLIFNTWHWWLHTGRKQPWDFIESRGKVKEDMDRMAAYREALRTWSKWVDSNVNTTTTQVFFQGISPTHFNGKEWNGTKSTTCTHQIRPATDLTYESDPPPEVTIVKEVLKNMSTPVVLLDITRLSQLRKDGHPSIYTGLKGNDCSHWCLAGVPDTWNEILYAILTSRKT >KJB40449 pep chromosome:Graimondii2_0_v6:7:4472680:4474271:-1 gene:B456_007G064000 transcript:KJB40449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKQATVTLGEEFYLGGVVGAALIMAGLYLVILGKNERSKYLSENEPIYSVSENNDMESTFIRPLLGNKLQS >KJB39151 pep chromosome:Graimondii2_0_v6:7:43348:49742:-1 gene:B456_007G000600 transcript:KJB39151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQDGTLCSFSPCIEQVQRSCETLRSDFTDIWTFEMLLHMYEIREWKMDHLKVNDGNSTACLPRKRRQPSSEASQDIEIPFFIGLQFQVSKLHMLIDAVTESYNKYFFGDVGREIYDFFWGDFADWYIEASKARIYHSGDDSVGLVAQTVLLYVFENILKLLHPFMPFVTEELWQHIRKSMLIGCILLMQEEKEVLALLSKLDLDNIHFTDSPPEDAKQSVHLIASEGLEAYLPLADMVDISAEVQRLTKRLSKMQTEYEGLKARLNSPKFIEKAPKDVVRGVQEKAAEAE >KJB40381 pep chromosome:Graimondii2_0_v6:7:4312515:4313022:1 gene:B456_007G061100 transcript:KJB40381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIYEIVECGLRIADSTTGNNCEVLPLCFEMRVVFVEKENEEEEVSIGRATAESELEFERSNYGMVAAKESFVKKMLKKVGVKSRDEDCLICLEELEIGIDASQMPCSHAFHCNCIEKWLKVSHYCPVCRFAMPTD >KJB40570 pep chromosome:Graimondii2_0_v6:7:4912165:4913098:1 gene:B456_007G069400 transcript:KJB40570 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT1G06280) UniProtKB/Swiss-Prot;Acc:Q9LNB9] MQRETSNGVAAAAAAASRVHPACAACKHQRKKCDENCILAPYFPADKCREFQAVHKVFGVSNATKIVRNANSDEDRKKVADSLIWEAFCWQKDPVLGPYGDYRKIYEELSLYKKQSQMMLLQDHDHQPTHPVFKMGPAHAVTPTKRLIDVKGAISDATLRCYNPDVDGNSLVGFSGYPYPPQSEKPVQEKAIHNATTIVPLQYYASADVGFMNGKTLESTRWDNIL >KJB43324 pep chromosome:Graimondii2_0_v6:7:19210811:19211395:-1 gene:B456_007G194200 transcript:KJB43324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAKGLSLNSHRLILTDHNSPHNDQHLNVLVDRIWSTVMVAVISLGIIFFLIYKCIFRSPNHSSDENKTEPKPSVDSELDQIPVLVYGESMMPSSEPSCSDTISELETCAICLEEYVHGERVRVLPRCKHMFHKDCIEEWLEVPSLHCPICRDKVLEHCLQSARSNNCRNQRHNISNHFPLLGFYGGIRNTFV >KJB45824 pep chromosome:Graimondii2_0_v6:7:55404347:55408558:1 gene:B456_007G331300 transcript:KJB45824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTAIYFSILLRYAKRKKKKKQLMKMAWSNTLLQIHIKSPSIPSLHFPHTLPKSSPFLLNPNPINPIPRSLRMQFLHRPNAVPLVSRAMDIIQSSPPTWQSAVLSNLLIFVVGTPLLVAGLSLSGICAAFLLGTLTWRAFGSPGFLLVASYFVIGTAVTKVKMAQKEAQGIAEKRKGRRGPGSVIGSSAAGCVCAVLTIYGVGGEAFTRLWQLGFIASFCTKLSDTVSSEIGKAYGKTTYLVTNFQIVPRGTEGAVSVEGTVAGLLASMLLATIGCLLGEINVPEVLICVIASQIANLGESIIGAAFQGKEGFRWLNNDAVNVINISIGCILAVLMQQLLQNWQM >KJB45770 pep chromosome:Graimondii2_0_v6:7:54629437:54631209:-1 gene:B456_007G326900 transcript:KJB45770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSSYQQLSMASFFFPLLFFLLQLFLYPLFSQANLHQSKTFLKSTLFSQPSSTNDTIPPTLFFEVTKPINVPNTKPCSLTVLQHDFGYTYGKPPVFANYAFPSNCPFQEFSKIVLEWNATCKGRQFDRIFGVWLSGDVTQYSSLLLMNKTQTLAVYMGNLVDQTYTVEKVNLGSGIGSKADLIMPFSKDLPLNDGLWYKIENSTDIKVKEFEIPQNVYQAVLEVYVSFHENDEFWYGNPPSEYIIANNLTTLPGNGPFREVLVTLDGELVGAIWPFTVVYTDGKSHKLSFSVTNALNVWYIDANLHPWLDCKSSKTKGKLLHHSIAPLSVSSVIDVEGLNGTFVTKATRSISSTGWIKSSYGTITTKSTQDLSYRNSMVMAKDGNLHIVNQKIHFDDRVHSKMPGFNLKPKKSLKRFVFNIYSDYINQGNGTSLTVANVTLGFNEKKFKDKVRNWQKGNGFMVVKDHLVVNGVGNTQQIYKYDGFKSCYYRNVSSSNFTILYDEIGYTCSRRAKHHLDYGP >KJB39977 pep chromosome:Graimondii2_0_v6:7:2864168:2867617:1 gene:B456_007G040700 transcript:KJB39977 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIS12 [Source:Projected from Arabidopsis thaliana (AT5G35520) UniProtKB/TrEMBL;Acc:A0A178UCY0] MEGSESEAIFDTLNLNPQLFINETLNTVDDLLNDAFDFYLQEASKLLKVEVTDCSQELTKGVNYIRNMIQSSLDKRLAMWEKYCLRHCFTVPEGFSLPKNDELLASSSTIQDALADPDVDAELDSLRNKLTLVGAETDKLNSELKELERQSASSGHCAGLINEALQLYEDTSVQDMFQEMMQTATELRVKMKKLKTRQAEKMEHERAERIHNSLTDYFTVNPKKGLSNAKLDDLHEFLAELKKM >KJB39979 pep chromosome:Graimondii2_0_v6:7:2865149:2867617:1 gene:B456_007G040700 transcript:KJB39979 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIS12 [Source:Projected from Arabidopsis thaliana (AT5G35520) UniProtKB/TrEMBL;Acc:A0A178UCY0] MIQSSLDKRLAMWEKYCLRHCFTVPEGFSLPKNDELLASSSTIQDALADPDVDAELDSLRNKLTLVGAETDKLNSELKELERQSASSGHCAGLINEALQLYEDTSVQDMFQEMMQTATELRVKMKKLKTRQAEKMEHERAERIHNSLTDYFTVNPKKGLSNAKLDDLHEFLAELKKM >KJB39978 pep chromosome:Graimondii2_0_v6:7:2864267:2867617:1 gene:B456_007G040700 transcript:KJB39978 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIS12 [Source:Projected from Arabidopsis thaliana (AT5G35520) UniProtKB/TrEMBL;Acc:A0A178UCY0] MEGSESEAIFDTLNLNPQLFINETLNTVDDLLNDAFDFYLQEASKLLKVEVTDCSQELTKGVNYIRNMIQSSLDKRLAMWEKYCLRHCFTVPEGFSLPKNDELLASSSTIQDALADPDVDAELDSLRNKLTLVGAETDKLNSELKELERQSASSGHCAGLINEALQLYEDTSVQDMFQEMMQTATELRVKMKKLKTRQAEKMEHERAERIHNSLTDYFTVNPKKGLSNAKLDDLHEFLAELKKM >KJB43845 pep chromosome:Graimondii2_0_v6:7:25144108:25145184:1 gene:B456_007G219300 transcript:KJB43845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITSVELNYLVFRYLQESGFTHSAFTLGYEAGINTCSIDGNLIPPGALIRFVQKGLQYLEMEANLSNSDVETDEDFSFLHPLDIITKDVNQLQQLVKERRKNRDKDRDREVEREYEGERGQVIEKEIQEKEKEHDKDRKKELADSDMVTNQEENDSSQA >KJB45771 pep chromosome:Graimondii2_0_v6:7:54634447:54638641:-1 gene:B456_007G327000 transcript:KJB45771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTRMPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKPLERMDIVGGSATASPSSSYHPSPMSSSFPSSASSSYVVNSNGDGSSLIPWLKNLSSASSSPMSSKLPHIYIHSGSISAPVTPPLSSPTARTPRTNTDWEDLTARPGWSAQQHSFLPSSTPPSPGRQIVPDPEWFAGLRIPLSGPTSPTFSLVSSNPFGFKEEVLAGGGSRMWTPGQSGTCSPAIAAGSDHTADVPMSEVISDEFAFGSNATGLVKPWEGERIHEDCGSDDLELTLGSSRTR >KJB46435 pep chromosome:Graimondii2_0_v6:7:60087167:60090279:1 gene:B456_007G368500 transcript:KJB46435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKEILKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIQRINAGTRQHGVNYGNFMHGLMKENIQLNRKVLSEISMHEPYSFKALVDISRNAFPGNKNVVLPTRKADISINV >KJB46436 pep chromosome:Graimondii2_0_v6:7:60087055:60090279:1 gene:B456_007G368500 transcript:KJB46436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKEILKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIQRINAGTRQHGVNYGNFMHGLMKENIQLNRKVLSEISMHEPYSFKALVDISRNAFPGNKNVVLPTRKADISINV >KJB46434 pep chromosome:Graimondii2_0_v6:7:60087278:60090279:1 gene:B456_007G368500 transcript:KJB46434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKEILKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIQRINAGTRQHGVNYGNFMHGLMKENIQLNRKVLSEISMHEPYSFKALVDISRNAFPGNKNVVLPTRKADISINV >KJB44975 pep chromosome:Graimondii2_0_v6:7:48460257:48462148:-1 gene:B456_007G283200 transcript:KJB44975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQQRIQIPRVKLGTQGLEVSKLGFGCMGLTGGYSSPVSEEVGISIIKHAFHRGITFFDTSDIYGPKTNEILVGKALKQLPREKVQLATKFSFEKLDSTGIKINGTPEYVCASIEASLKRLDVDYIDLYYQHRVDTNTPIEDTMSELKKLVEEGKIKYIGLSQASPETIKRAHAVHPISAFQTEWSLWTRDIEDEIVPLCRELGIGIVPYSPLGHGFFGGKGVVETVPANSHLLYFPRFQGENLDKNKMLYFKVEKLAEKHGCSPAQLALAWVLHQGDDVAPIPGTTKIKNLDSNIDSVKVKLTAEDLKEISDVVPINEVAGDVLPDRFNQLHWKFGNTPPKRSKVST >KJB46621 pep chromosome:Graimondii2_0_v6:7:60882666:60884732:-1 gene:B456_007G378600 transcript:KJB46621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGKNTVKKHQVMQVLPAGAWGIKSSFRSLVALLFAFLVVAAIYLRQSNEQVFQHANGRTHDAISSGGCNLFSGKWVYDNKSYPLYKERECTFMSDQLACEKFGRKDLNYQFWRWQPHQCDLPRFNATALLERLRNKRLVFVGDSLNRNQWISMICLVDSAIPPAFKSMHNNGSINVFKAKEYNATIEFYWSPLLVESNSDDPVNHRVPDRIVRVEAIEKHARHWTDADILIFNTYLWWRRRQMKVLWGSFESPEDGIYKTVKLPRVYEMALQTWARWLEVHVNRNKTLLFFMSMSPTHEMADKWGGTSGENCYGETEPVMKEGYAGDGVSPRMMRAVESVLGELKTRGLNVQMINITQLSDYRKEGHPSIYRKHWETITQQQLSNPKTFSDCIHWCLPGVPDLWNQILYAYILQLNPITE >KJB39482 pep chromosome:Graimondii2_0_v6:7:1244362:1245000:1 gene:B456_007G015800 transcript:KJB39482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNKGNCLSWFGTSVAQAFFSSLQRCSCINLSTSDDVKDSQAHDRPLMFTGCSSISSSSVSSRLDINNRPPNDVVNLPV >KJB40826 pep chromosome:Graimondii2_0_v6:7:5586481:5590585:-1 gene:B456_007G078700 transcript:KJB40826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGHQSREVVEENSSRKKKDGGEEETGCWVKLRFMGSCMSSRSRVDNSVSGRTGTHYAESVPTKESSGDQPVVLVSSTTTSNGESASSTPKFSEELRVASQLRKFTFIDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNIDGLQGHKEWLAEVDYLGNLLHPNLVKLVGYCIEDDHRLLVYEFMPRGSLENHLFRKGSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGDKRRFFRILDPRLEGHFSIKGALKAVQLAAQCLSRDPKARPRMSEVVETLKPLPNLNDMASSSYYFQTMQSDRSRSSNITAKNGIRVQAGFVTRNGQPMRSLSSLNGPQASPFHQHPPSPKPKAKEP >KJB40825 pep chromosome:Graimondii2_0_v6:7:5586474:5590586:-1 gene:B456_007G078700 transcript:KJB40825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGHQSREVVEENSSRKKKDGGEEETGCWVKLRFMGSCMSSRSRVDNSVSGRTGTHYAESVPTKESSGDQPVVLVSSTTTSNGESASSTPKFSEELRVASQLRKFTFIDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNIDGLQGHKEWLAEVDYLGNLLHPNLVKLVGYCIEDDHRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGDKRRFFRILDPRLEGHFSIKGALKAVQLAAQCLSRDPKARPRMSEVVETLKPLPNLNDMASSSYYFQTMQSDRSRSSNITAKNGIRVQAGFVTRNGQPMRSLSSLNGPQASPFHQHPPSPKPKAKEP >KJB40212 pep chromosome:Graimondii2_0_v6:7:3627674:3629732:1 gene:B456_007G051300 transcript:KJB40212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNLCSWVQSYSIFNQASRSKYSLLPRSLPFNPLMNLSSSTSKTRGFASIPSICSVITKGDAVKEEEEQQQPQNPSFDFKTYMVQKATMINQALDSAVSLREPVKIHEAMRYSLLAGGKRVRPVLCLAACELVGGQESMAMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEDIAVLAGDALLAFAFEHVAVSTVGVTPGRIVRAIGELAKSIGAEGLVAGQVVDINSEGLTDVGLDHLEFIHVHKTAALLEAAVVLGAILGGGCDEDVERLRKFARYIGLLFQVVDDILDVTKSSKELGKTAGKDLLADKVTYPKLIGIEKSKEFAEKLRSDSLELLQGFDSEKAAPLIALANYIAYRQN >KJB42400 pep chromosome:Graimondii2_0_v6:7:12816554:12817390:1 gene:B456_007G150800 transcript:KJB42400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIELGVGKILISCLAGRFSLKLRQYDCLQYMLGGFGNNKSRFGSTFGSFQGPMNFLWLVWRERLQTNKEKVGDDLQGFLHVQYLELKLKLCFMFSEITERPYEASLFLGIGFGHFLRSLGGRRIRPPSGGPKPSVRYHFGRARILTLCQDLRAKGQSQVDSFYEA >KJB42620 pep chromosome:Graimondii2_0_v6:7:14061394:14061842:1 gene:B456_007G160500 transcript:KJB42620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTKLHRMFDRHGDGNITKQEFSYSQMIEKIDVNGDNYVDIDEFGALYQTIMDDRDEEEDIKEAFKVFDQNGDRFSTNEELRTILALLGLKQGRTIEDCKRMIKKVDVDGDGMVNFKEFKQMIKGGGIAALSSG >KJB44623 pep chromosome:Graimondii2_0_v6:7:43186392:43191786:1 gene:B456_007G261900 transcript:KJB44623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSVNLFFYIISICLIRCVWSSSVCSDEADVFIKNLHFQCSPSFSPIPPLKVDGNFLDRALSSKQRNGYASVLFYAAWCPFSHSLYPKFDILSSMFPQIEHLAVEESSASPSIFSRYGIHSLPSILIVNQTSRVQYRGSKDLPSIIQFYEKTTGFEPVQYIAGNESVVLGDHNKYMIQLWNKTPMEIVKREPYLAFALLFLCFRVLLSVFPEVLSRLKAFWVSYAPHLNLEIFGETSQLFARALHMVDVRRVWTKLKLCKTRNFHQGAKSAHVWASSLASVSLGESSGRSSSSS >KJB40414 pep chromosome:Graimondii2_0_v6:7:4360693:4363251:1 gene:B456_007G062200 transcript:KJB40414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTNTAAVKPSTPPEPTQPPRLIPSLPDDLALNIIARVPRRHHPTLSLVSKSMKSLISSPLLYAARSLLSCAEHFLYISLRLHTSHFMRFYTLYQNPNNPLNPKYSLIPLPLIPSPSLVGSAFAAINHKIYVLGGSIKDIPSPQVWSLDCRTHKWEPAPNMHVSREFAAAGAFDDKIYVIGGCVVDNWARSKNWAEVYDPKTGKWNSVPSPIQIRDKWMHASAVIDGKVYAMADRNGVCYEVKSGNWETVDSDLDNGWRGRACVIDGVLFCYDYLGNIRGYDVKEGTWKELKGLEKGLPRFLCGATMANLGGNFHCSLLGSCFVKLNGNAWLEECAMPEAAKLWIEPSH >KJB40413 pep chromosome:Graimondii2_0_v6:7:4360681:4363277:1 gene:B456_007G062200 transcript:KJB40413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTNTAAVKPSTPPEPTQPPRLIPSLPDDLALNIIARVPRRHHPTLSLVSKSMKSLISSPLLYAARSLLSCAEHFLYISLRLHTSHFMRFYTLYQNPNNPLNPKYSLIPLPLIPSPSLVGSAFAAINHKIYVLGGSIKDIPSPQVWSLDCRTHKWEPAPNMHVSREFAAAGAFDDKIYVIGGCVVDNWARSKNWAEVYDPKTGKWNSVPSPIQIRDKWMHASAVIDGKVYAMADRNGVCYEVKSGNWETVDSDLDNGWRGRACVIDGVLFCYDYLGNIRGYDVKEGTWKELKGLEKGLPRFLCGATMANLGGKLMVVWESKNGGGKETEIRCAEIEVKTNEAGELWGNIEWSDVVLLVPREASIVHCLAVAL >KJB43828 pep chromosome:Graimondii2_0_v6:7:24974343:24975979:1 gene:B456_007G218400 transcript:KJB43828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTEAVQVIMVTLSMQGHMNPMLKLAKMLVSKGVHVTLATNDVARKRMLDSKISTNFTTINSTGRLSLEFFSDGLSHEFDREKDSGTFLASLKANGPKNLSNLITDLKANGNQFSCLITNPFIPWVPGVATEHGIPCGVLWIQSATVFAIYYHFIKDPDLFPNLENPNGILELPGIPGLTVGDLPTFMLPCSPSHFRLLVTEFISILDKVKWVLGNSVHELEEETVNSLEAVKPVYSIGPLVSPSLLGKEETIEGSVDMWRAADSCIEWLNKQRPSSVIYISFGSIIMSSKQQIQSIATALKNIKRPFLWVIKASETRKDEFPRGFLEEITKDKSGLVVSWCPQEKVLTNQALACFVTHCGWNSTLETVVAGVPVVAYPEWTDQPTNSKLLVDVFKVGVRMSNCEDERLSVEEVERCIMEVIDGPRAGEMKRRAVELKNAAKNALEEGGSSNRNIDKFIAEISGKPSSNNV >KJB43907 pep chromosome:Graimondii2_0_v6:7:26602024:26605729:1 gene:B456_007G223000 transcript:KJB43907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGPGLFVDIGKRAKDLLTKDYTSDQKFTVSTYTGAGVAITSTSLKKGGLSTGDVAALYKYKNTQFDVKVDTDSNISTTMTFTDILPSTKTIASFKVPDYNAGKLEVQYFHHHATFTTTIALYKTPVVDATATIGTPSIAFGAEAGYDPTKGNFTKYTAGISVTKPDSCASIILGDKGDSIKASYVHYLDNLKKSAAVGEISRKFSTNENTFTVGGAYAVDHLTLIKAKLNNHGRLGALLQHEVIPKSLLTVSGELDTKSLDKSPRLGLAFALKP >KJB39152 pep chromosome:Graimondii2_0_v6:7:50916:51662:-1 gene:B456_007G000700 transcript:KJB39152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESICRALRDGAPEGELAPAFTIKDSVTSPFALQVFSHVLSQHFSSILAGKSGLVVVSFSRNPSFYLDLLKNKGTDVASSDKHDPLGWKDQLAGSGNSTALSNEALVSSTANVFREVKHMDKLYNSIIELGKGLVGGGKIRFSIAIDSVILSILFANV >KJB39330 pep chromosome:Graimondii2_0_v6:7:555142:557665:-1 gene:B456_007G006900 transcript:KJB39330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPDTDPEQIQAEYELHPQEYDPTHEPTPNVADEYPPPPPYENSNQQGQQQPQFPPQQPPQYPPPAQQQPPQYPLPAQQQPPQYPPPSEQPNQAYPPPQWPAPYPPQQNMQYNPAYPNGTNQQPAAAAYPPQPVQYPPKSPAANQMYANVGPQATQPQTVYAPNVAPQVFPQPAFLPPDQGQGMPPVSPHKHVAGIPVVNGGVDGWRTGLFDFMDDPMNALVTAFFPCLTFGQIAEIVDDGHTTCGTSGLLYGAIAFLIGLPCLMSCTYRTKLRNKFGLPEAPAPDWVTHFLCEWCALCQEYRELQLRGWDPSIGWQGNLAKKQVQQPVMMAPMNQRMIA >KJB46164 pep chromosome:Graimondii2_0_v6:7:58141334:58142323:1 gene:B456_007G351200 transcript:KJB46164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSENLSTFETSPFHSLLTDPWIAESFSRDTQVLTRALQKSISDSITDPIVSPAPEPVPKRYRTAGPSPTGKISKRKPRASKSSRTTFIAADPANFRQMVQQVTGIGCGDGKTTTTVSPILKPEPQRLGNRLHNGAGPGFLPTLDTSVALLNHQQPCFETFSSFPTLESWKV >KJB44362 pep chromosome:Graimondii2_0_v6:7:38569836:38570785:1 gene:B456_007G248000 transcript:KJB44362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGSSRQISSVTLFHLSLLLLIVIIILPVSNGAIYRIHDLSLTNKHKVMMIDLKHFPINLLTKGVPIPPSAPSKRHNVQPDDAFLGSTPAKDGQRD >KJB40373 pep chromosome:Graimondii2_0_v6:7:4280108:4286676:-1 gene:B456_007G060600 transcript:KJB40373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPAEPFRISSEYPGNGGPSRQQHDPIALAADLRVPLQNLSTVKRRMDSLQDFLSLSVNTNTLISKDQMDLVSSEIADAVHQIITNSAALLSCAQKTHCRNSNPTPELKPEFEDSDEGESDVIEVDAVELLSEHLHFCEICRKSFKRDANLRMHMRAHGDQYKTPEALARKSENNSDVMNPGRKTRFSCPYEGCNRNKKHHKFRSLKSVICVRNHFKRSHCPKMYRCNRCHKKSFSILVDLKAHLKNCGVGEESKKWKCSCGNGFSRKDKLFGHISLFEGHMPAVAAEEDKKLKGVVAMEEVEDEDEDELTEKESYLYDGFFNDLDLLDGSGSFENYSLQDVLGPSRDVL >KJB43133 pep chromosome:Graimondii2_0_v6:7:17827900:17829217:-1 gene:B456_007G186100 transcript:KJB43133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLAADYHSCSLCHLPKEVSLDKDGSESVSGSINEGNDEETEIASFTDEDDLSSHSSPTVSSSVFYSSRESHSQHEKIGLESANGGIRRLGLSLPSDGTSVGVNFVAERKRRTEKKIKKFCE >KJB43132 pep chromosome:Graimondii2_0_v6:7:17827951:17829119:-1 gene:B456_007G186100 transcript:KJB43132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLAADYNNGNISCSRLSFLFSVSGSLPKEVSLDKDGSESVSGSINEGNDEETEIASFTDEDDLSSHSSPTVSSSVFYSSRESHSQHEKIGLESANGGIRRLGLSLPSDGTSVGVNFVAERKRRTEKKIKKFCE >KJB39559 pep chromosome:Graimondii2_0_v6:7:1426115:1428051:1 gene:B456_007G019100 transcript:KJB39559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKTPTSWLSMVKRALGSNAKDNEKKSCRTREKRRWLFKWSQQRKPKTKSQSIAAVNIVRLNCRASNYAIVGEKNQAAIVIQTAFRGYIARKALGALKGVVKLQALVRGHNVRRRAKVALKCMQSLVRVLLHQQRARLSYEGCRTAMFIESNSSWESPCLQDVRRRKSITLDKDEKEVEEATKWLDRRRATKQWESHNRASIDKRDSIREPLQLRLASLRCLKEKSSYHSAANSPRLMMVPNYMAATESAKAKVRSQSTPSQRPGNAERAGGGKISPRSTSDLRWMKL >KJB43890 pep chromosome:Graimondii2_0_v6:7:25810660:25812255:-1 gene:B456_007G221900 transcript:KJB43890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLLVHCANAIESNDATLAQQILWVLNNIAPPDGDSNQRLTCAFLRALIVRAAKSGTCKMLAAMANAHCNLSIDIHTFSVIELASFVDLTPWHRFGFTAANAAILEAVEGYSVIHIVDLSLTHCMQIPTLIDAIASRLEGPPLVKLTVAGGATEDVPPMLDLSYEELGSKLINFARSRNVVLEFRAIPSTYADGFSSLIEQLRVQHLVYAESGEALVINCHMMLHYLPEETLPPLSNVNSNPYSFEPSSTQSLRAMFLKALRGLDPTVVVLVDEDADFTSNNLVCRLRAAFNYLWIPYDTVDTFLPQGSKQRQWYEADICWKIENVIAHEGLQRVERLEPKSRWVQRMRNAGFRGVSFGEEAISEVKTMLDEHAAGWGLKKEEDDLVLTWKGHNVVFATAWLPA >KJB46656 pep chromosome:Graimondii2_0_v6:7:57703436:57709280:-1 gene:B456_007G3468002 transcript:KJB46656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VECDYMNWTKKLKGTDRIQEIKVDMSRMGNLLFQPSVFESMINLRCIFFYFPSSWLRKEHEDYKKLHTDQDDIISLPDELRYLRWGYYPFKSLSSSFNPKNLVALELPYENMEQLWNEVHQDLVHLRKINLFSCKNLKKIPNLLGALNLERLDCENCESLVKLPSLARLTSLKTLRLEGCRSLKKFPEIPNYFYELDLSETGIKEVPDFIEHLDRLQWLTLTNSMVKDVSSNISKLKSLFSLDLSGCPIVKFPTVDVRSPSLRFKSLKYMHMDRCKSLKLLSELPPYLLKLNVLDCTSLEKVSFADQNLYQFDYLDAGDACFYEFTMLFRNCFNLNQESINNIEANAMLKIRSLAKKWAARYDCCGKIPDLSSLICCFPGNKISANKFKCQSMNSSLSLKIAPNGGGGSRFLVFVICLVADLTHCRYISDVECICKYQLTAADGGNDGGGGGYKKLRSKISLLLFPEPEKYKDYHVFILSTADMVKEDQNYEEASFKCYIRLLDLRSGGKEYVKVERCGVHVFYVDAEGDTDDTDATDATDDTDATEKRHAGNKRSFSHDGEEGGGGVKRLK >KJB46223 pep chromosome:Graimondii2_0_v6:7:58363262:58364979:-1 gene:B456_007G3530002 transcript:KJB46223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRLSIPATVSRMLKYIPELQLQVEILLQKKQQILSTICKQDDHDLSNQHHQNKTKLSDGFKVSPFTVSGTKVTDTEATIQISTFGPTSQGIPPLSPILRGLEEDGLFLTDASCFESFGGRVFYNLNFQVETTYNLEAMLLVLNEKLLSLYKKGKA >KJB46222 pep chromosome:Graimondii2_0_v6:7:58363570:58364979:-1 gene:B456_007G3530002 transcript:KJB46222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRLSIPATVSRMLKYIPELQLQVEILLQKKQQILSTICKQDDHDLSNQHHQNKTKLSDGFKVSPFTVSGTKVTDTEATIQISTFGPTSQGIPPLSPILRGLEEDGLFLTDASCFESFGGRVFYNLNFQVETTYNLEAMLLVLNEKLLSLYKKGKA >KJB40082 pep chromosome:Graimondii2_0_v6:7:3214574:3215590:1 gene:B456_007G046100 transcript:KJB40082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVEESTTLDFIHQHLLGDFPSADAFISSLDFGLSQLQNYQVPELYQPHSPVSDPNCGIPEIFSYDVKPGVVELESPSVFISGSKVEQKVLVCEERRHYRGVRRRPWGKFAAEIRDPTRKGRRVWLGTFDTDVDAAKAYDCAAFKMRGQKAILNFPLEAGQATPPATTCRKRGKEKGNKSPESDVMSLVSSELASAVVKEEEDKPIDGQNITCHHYN >KJB40612 pep chromosome:Graimondii2_0_v6:7:5008883:5010970:-1 gene:B456_007G071200 transcript:KJB40612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCRALQATAVVKSSLQLKLKTSTEDTCISLIKQCHTLKSLKALHASILRSLHLHLHSLTNLISHYASLGSISYAYTLFSHCNSFSNDAFLWNVMLRGLVDNAHYHRSFLLYTRMPYLHIAPDNFTFPFLLKACASLRILNLGSQLHAHAFLYGYSSHVFIANSLISMYAKCGLFPVSERVFDKMRQRSIVSWSAMIGACLQCGFHEQGLLLFTRMLDQGIKPNRASILNATACVRRENAAHQMHRVIMDNVLDNDQSVQNATMLMFARCGRIDLARSLFDGFTNKDLVCWASMIDAYARADLPLEALLLFNQMRLQCVLPDSITLLAVIQACSILASLHLARTLHAVIIRCFLECQLVLDTAVLDLYMKCGSLTYARKVFDNMKGRNIISWSTMISGYGMHGHGKEALHLFYQMKALIKPDHVTFVSILSACSHAGLINEGWKCFDSMVRDFGVTPTSEHYACMVDLLGRAGQLNQALEFIEKMPVRPEAGVWGALLGACRIHSNVELAEIAAKSLLNLDAENPGRYVLLSNIYASLGKRREAYRIRILMKSRGVKKKVGWTSIEIKGKMYTFVAGDRANPEMDLIYSELGKLMERIRQEGYIPDVSFVLHDVEGETKEMMLYAHSEKLAIVFGLIKLGHESSIRITKNLRVCGDCHTATKFISKVTGKEIVVRDSQRFHHFMNGTCSCGDYW >KJB44305 pep chromosome:Graimondii2_0_v6:7:36524441:36528841:-1 gene:B456_007G245100 transcript:KJB44305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWREREMENKELNGGPPCGQIRVLVVGDSGVGKTSLVHLIVKGSSTARPHQTIGCTVGVKHTTYGCPGSSSSSLKGDAERDFFVELWDVSGHERYKGCRSLFYSQLNGVIFVHDLSQRRTKTSLQKWAAEIAASGTFSAPLGSGGPRGLPVPFIVIGNKADIASKEGTRSSSGNLVDAARHWVEKQGLLPSSEELPLTESFPGSGSLIAAARDARYDKEGVMKFFHMLIRRRYFSDDLPTPNTWSASPVQRTSQRLDENSSDDEQLYKGTSLSGDPYKYNILPPLPAQRNLTPPPTLYTQQPVSVTENYSLPRFSFTGSQEISGSSRSKRADISV >KJB44304 pep chromosome:Graimondii2_0_v6:7:36524441:36528841:-1 gene:B456_007G245100 transcript:KJB44304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWREREMENKELNGGPPCGQIRVLVVGDSGVGKTSLVHLIVKGSSTARPHQTIGCTVGVKHTTYGCPGSSSSSLKGDAERDFFVELWDVSGHERYKGCRSLFYSQLNGVIFVHDLSQRRTKTSLQKWAAEIAASGTFSAPLGSGGPRGLPVPFIVIGNKADIASKEGTRSSSGNLVDAARHWVEKQGLLPSSEELPLTESFPGSGSLIAAARDARYDKEGVMKFFHMLIRRRYFSDDLPTPNTWSASPVQRTSQRLDENSSDDEQLYKGTSLSGDPYKYNILPPLPAQRNLTPPPTLYTQQPVSVTENYSLPRFSFTGSQEISGSSRSKRADISV >KJB44303 pep chromosome:Graimondii2_0_v6:7:36524223:36529341:-1 gene:B456_007G245100 transcript:KJB44303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWREREMENKELNGGPPCGQIRVLVVGDSGVGKTSLVHLIVKGSSTARPHQTIGCTVGVKHTTYGCPGSSSSSLKGDAERDFFVELWDVSGHERYKGCRSLFYSQLNGVIFVHDLSQRRTKTSLQKWAAEIAASGTFSAPLGSGGPRGLPVPFIVIGNKADIASKEGTRSSSGNLVDAARHWVEKQGLLPSSEELPLTESFPGSGSLIAAARDARYDKEGVMKFFHMLIRRRYFSDDLPTPNTWSASPVQRTSQRLDENSSDDEQLYKGTSLSGDPYKYNILPPLPAQRNLTPPPTLYTQQPVSVTENYSLPRFSFTGSQEISGSSRSKRADISV >KJB44302 pep chromosome:Graimondii2_0_v6:7:36524178:36529383:-1 gene:B456_007G245100 transcript:KJB44302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWREREMENKELNGGPPCGQIRVLVVGDSGVGKTSLVHLIVKGSSTARPHQTIGCTVGVKHTTYGCPGSSSSSLKGDAERDFFVELWDVSGHERYKGCRSLFYSQLNGVIFVHDLSQRRTKTSLQKWAAEIAASGTFSAPLGSGGPRGLPVPFIVIGNKADIASKEGTRSSSGNLVDAARHWVEKQGLLPSSEELPLTESFPGSGSLIALIRRRYFSDDLPTPNTWSASPVQRTSQRLDENSSDDEQLYKGTSLSGDPYKYNILPPLPAQRNLTPPPTLYTQQPVSVTENYSLPRFSFTGSQEISGSSRSKRADISV >KJB44910 pep chromosome:Graimondii2_0_v6:7:47732854:47733313:-1 gene:B456_007G279200 transcript:KJB44910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQMAKGVPVATVAVNNATNAGLLAIRMLGVGDADLLARMNQYQEDTRDYVLTKAEKLRKDGWEAYLN >KJB44625 pep chromosome:Graimondii2_0_v6:7:43269315:43270244:-1 gene:B456_007G262100 transcript:KJB44625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKEPKRLYYPKITSPDLNIEEKLVFQNKYNANTIYEWNIDGMSEYNILSLLQQMTMVSNVYKTQNQNGLINDHAIANLLVAGFTGQLKGWWDHALTKTQQEEILKAKKKDDQDRIILDEQGREIQDAVATLIFSISKHFIGDPSHLKDRNSELLSNLKCKKLTDFKWYKYVFMTRVMQRSDNQQPFWKEKFLAGLPTLLGEKVRNQIRENYKGIIPYEKLTYGELISFTQKEGLKICQDLKLQKQLKKERYQCRKELGSFYHQFDIRNEPYSSKTCCPEKPKIGKEYFRYYKKPKYKKYRKGRNNKK >KJB39395 pep chromosome:Graimondii2_0_v6:7:846439:846933:1 gene:B456_007G010700 transcript:KJB39395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKSLVSLSSRSAAVALLVLVVSVAVQTHTTNAQSCPTELTSLNVCAPFVVPGAAVANPSIDCCNALQSVHHDCLCSTLRIASRLPSQCNLPPLTCA >KJB39931 pep chromosome:Graimondii2_0_v6:7:2702246:2704247:1 gene:B456_007G038900 transcript:KJB39931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGGGERGAFRRGFGGGRGDRGPRGRRRGRKDEEEKWVPVTKLGRLVKSGKITSLEQIYLHSLPIKEYQIIDQLVGPSLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFECLLKTYGFLTPDFWKETRFTRSPFQEYTDLLGKPAKTLVLEDAERLDV >KJB43439 pep chromosome:Graimondii2_0_v6:7:20397715:20399120:1 gene:B456_007G200100 transcript:KJB43439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVQHHFQSHSTSHVPEGQLSTKSSLVAAIANYMRSNVYDLQFQGVRNDYDLSRILTSTTNRSILLIEDIHCITTASHDRTNVIEKCEVEEDEDENKRSFPINNGIIHLFLSLSWFD >KJB46659 pep chromosome:Graimondii2_0_v6:7:49265862:49266001:1 gene:B456_007G2867002 transcript:KJB46659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVSISLKGYP >KJB42055 pep chromosome:Graimondii2_0_v6:7:10940676:10950232:1 gene:B456_007G134500 transcript:KJB42055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSVQAASPVWESVLELTKSAQYKNRDPLLWAVQLSSSLNSAGISLPSIDLAHLLVSHICWDNHVPITWKFLEKALTVKFVPSMLVLALLSTRVIPNRKFHPAAYRLYMELLRRHAFSLQCQINGPNYQKIMKSVGDVLHLSQIFGVQVSEPGLLLVEFVFSIVLQLLDASLDDEGLLELTPEKRSIWPTVTQDMEIDSVDNFNEKRSEHHDVLCKGNTTMAIEIIGEFLQNKVTSRILFLARRNMSSHWGTFLQQLRVLAAKSVALRNAKHITPEAFLNLTSDMHKVVSRKCKTISQQELNAVIGSGSLTTSSGQYHGTSPSAHWLPIDLFLEDAMDGSQVAATGAVESLTGLVKALQAVNGTTWHDTFLGLWIAALRLVQRERDISEGPVPRLDTCLCMLLSITPLVVANIVEEEESELIDGCDCSPTNQIKEKQSPGRCRQDLICSLQMLGDYEALLTPPQPVRSVANQAAAKAIMFVSGLTVGNGYHECMSINDMPMNCSGNMRHLIVEACIARNLLDTSAYVWPGYVNARANIPRNVPVQVIGWSSLMKGSPLTPTLINALIATPASSLAEIEKIYEIATKGSDDEKISAASILCGASLVRGWNIQEHTILFITSLLSPPVPADYSGSESHLISYGPFFNILLVGISPVDCVQIFSLHGLVPLLAGTLMPLCEVFGSTSPNVSWTLPTGEELTSHAVFSNAFTLLLRLWRFNHPPFENAMGDATPVGSQLTPEYLLLVRNSKLSDFGKSPKDHMKLKRMSKNLNISLELIFMDSFPKLKSWYRQHQECIASTLTGLVQGTTVHQIVDALLNMMFRKISRGGQSLTSTTSGSSSSPASGAEDVSMRLKVPAWDILEGAPYVLDAALTACAHGRLLPRDLATGLKDLADLLPATLATIVSYLSAEVTRGIWKPVFMNGTDWPSPSANLFMVEQQIKKIIAATGVDIPSLAIGGSSPAMLPLPLAALVSLTITYKLDKASERFIVLIGPALSSLAESCPWPCMPIIASLWAQKVKRWSDFLVFSASRTVFHHNIDAVVQLLRSCFTSIPGLSPSTIYSNGGVGALLGHGFGSHFSGGMSAVAPGILYLRVHRSVRNIMFMTEEIVSLLMSSVRDIANSGLFRENLEKLKKAKFGLRYRQVSLGAATTRVRFAASLGASLVWLSGGLSLVQLLIQETLPSWFLSAHTPDQDGGEPGGLVAMLSGYALAYFVVLCGTLAWGVDSSSPTSKRRPKVLGAHLEFLASAIDGKISLGCEYATWHAYVTGFVSLMVGCTKKWVLDVNVDVLRRLSNGLRQWNEEELAIALLGLGGAEATASAAELIIEIGP >KJB46080 pep chromosome:Graimondii2_0_v6:7:57776551:57778600:-1 gene:B456_007G347600 transcript:KJB46080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVRNLKIKTSTCKRIVKELHSYEKEVEREAAKTADMKEKGADPYDLKQQENVLAESRMMVPDCHKRLEASLADLKATLAELEEANEKEGPEFEDARSTITEVEKLFQTTEA >KJB45946 pep chromosome:Graimondii2_0_v6:7:56500437:56503868:-1 gene:B456_007G339700 transcript:KJB45946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPLPISLFPFLLLNAAICFTICDANSNARCIQSEREGLLKFKNHLIDPSNRLSSWVEGGDCCEWIGVVCHNSTGQVHQLNLAPTLSEPDEFAPCFEWEAYERSNLGGKINPSLLELKHLSSLDLSYNNFSSIYILKFFAGFPKGIPHNLGNLSKLHYLDLGGNYLKPKSFQWVSGLSSLQYLDLSGADLSKANDWLQVTFKHPSLLKLRLSNCCLEDDPSPVSVNSTKSLVILDLSGNGFSSVPKSIFSLHSLMSFDLSENSLEGPIPYYFGNISFLEVLDLTLNHLNSSIPNSLYSLNRLQFLSRGENQLQGTISRTIGNLSSITHLDLCSNFMLKGRLSTSLEYLCKLKEMDLSYKKIEDGISEILQSLSRCCLGSLESLDMAGNELSGHLTDQLGQFKNLAYLSLARNKIFGPIQLHHTIFEPNSSWILPFQCGIIELGHWHLGQKFPQWLKFQKKLSVLDISDAGISNVMPTWFLNLPIPFKYLNLSYNQLSGGISYLNVTYIVYLSSNRFTGQLPRVNLGHLNLGSNSLTGKIPPSLGHINLSMLNLRNNSMFGALPSTLQNSSLIMLDFSENHFNGSVPEWIRDKHSRLKVLSLRSNNFDGHIPHKICDLQYLQNLVLAHDNISGTIPKCFSNLTAMANRSNQSNYIYEWVSTRTTLFYLSALLVLKGQEDEYSATLGLVTSMDLSTNSLTGEIPNEIGSLIELRSLNLSTNLLAGNIPNEIGNMELMESLDLSVNRLNGEIPPSISNLNFLNHFNVSYNNLTGQIPISTQLQSFENFSYMGNHLCGPPLTKSCNTKGIPTDVANNGSSSEGILGFVIGFWGVVAPLFFNRSWRHAYYRKLDQVESCMCIGLLWYNTPNST >KJB43089 pep chromosome:Graimondii2_0_v6:7:17614652:17615638:1 gene:B456_007G1836002 transcript:KJB43089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPSFSSYLPLPLPLPLFCLLFIMLGTPVSLTTAWFEPEFENCRDSKFKCGNITAGFPFHGGDREKECGHPDLELECGDDMATMKIRDVRYRVLEILPDRQILRILSEKVINKGICPPPFPDEDWIQDSPVFTPGPGFASVTLFYDCLSRISPDLLFFTCNKNYDHSNVSVAIANNTSIHPEACLHRANVMIPETSLESLRNHSPDWKGALETGFEVQWRKNYAEECWKCTSSGGACGLGIHDEAYCYCPPGKWSGPEGKECRPHT >KJB40856 pep chromosome:Graimondii2_0_v6:7:5783497:5784943:1 gene:B456_007G080600 transcript:KJB40856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEVNKADYESCNADHPLHNWTTEPGRDVVPLNVTRNYYFISGRGFCYGGMKVAVRVENRPPPPTSAQLTEKSHSSSSPIVYIGQLVLPANFIIAALWDAFTRIWYFPKLRSNVDSSFVVKRVEFNHILYFISLIDSYVIDFHFTFYLYISN >KJB45621 pep chromosome:Graimondii2_0_v6:7:53167520:53171143:1 gene:B456_007G316500 transcript:KJB45621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKLPSSTHGSMSNPKRPTTKFHPSLWGDIFLSSPTRNVDAKTKLQHEELKEEVRRMIKVVMDDELLYKLRLIDTIKRLGVSYHFEREIEEVLLNIYEHDYKDDQTLETTSLQFRLLRENGLGASCDILEEALGFTTTHLGLAKAAETIEYPLSSLVSHALYQPIRTGLSRLEARRFISFYQDDASHNKTLLKFAELDFNLLQILHKEELSKISRWKNGLDFATKLPFARDRLVEGYGIWILGVYFEPQYSFAREILAKTIVLITLMDDIYDAYGTLEELQLLTNAVQRLDAHYINQLPEYMKSFYEPLLDFYEEMEEAMIKQGKSYRVKYAKDTFKQVSESYFVEVKWYNENYIPTMEEYMRNAVLSFAYIMATIASFVGMGDFVTPEIFNWASNNPKIIYASSIVGRLMDDVASHKFEQERGHCASAVECYMREHAVSEEEACSELKKQVENAWKDINQELIFSEISKVVPGPVLTRILNFTRVIDFLYKNGDGFTDVGKNTKDGITSLLIDPVSVSY >KJB42406 pep chromosome:Graimondii2_0_v6:7:12856038:12858219:-1 gene:B456_007G151000 transcript:KJB42406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPDVSFFGCDGEDDKTSDDYYFDSYSHFDVVRTKTYQNVIYRNKFLFQNKVVLDVGAGTGILSLDDYIHAFVAYFDVSFTKCHKLMGFSTGPRSRATHWKQTVLYLEDVLTICEGETIIGSMTVAPNKKNPRDVDIMVKYSLSGRRCVVSRVQFYKMR >KJB39358 pep chromosome:Graimondii2_0_v6:7:647284:648861:-1 gene:B456_007G008200 transcript:KJB39358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EXORDIUM-like 3 [Source:Projected from Arabidopsis thaliana (AT5G51550) UniProtKB/Swiss-Prot;Acc:Q9FHM9] MHRPSLLLSLLTLLTLLTAAPLPAIGYRPLPHLRPNSSDLRFGDSKKYEGSSEFVHLRYHMGPVLTGSITVHTIWYGQWQKSQKKIIREFIGSFSAVNAKHPSVAGWWDTVRLYTDQTGANISRTVRLGEQKNDRFYSHGKSLTRLSIQSVIKSAVTAKTKPLPINPSNGLYLLLTSDDVYVEDFCGQVCGFHYFTFPSIVGYTLPFAWVGNSAKLCPGICAYPFAIPEYMQGLKPLKSPNGDVGVDGMISVIGHEVAELATNPLVNAWYAGQDPVAPVEIADLCEGIYGTGGGGSYMGQLLNGKDGATYNMNGIRRRYLVQWLWNHVVSYCTGPNALDQ >KJB44749 pep chromosome:Graimondii2_0_v6:7:45461882:45462692:-1 gene:B456_007G270600 transcript:KJB44749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVQFLVAFVLLALASKLVSASDPSPLQDFCVAINDTKDGVFVNGKFCKDPKLATAEDFFLPGLNIPGNTSNQVGSMVTPANVQQIPGLNTLGISLVRIDYAPYGGLNPPHTHPRATEILVVVEGTLSVGFVTSNTDNCLFTKVLYPGDVFVFPEGMIHFQFNIGSTNAVAFAALSSQNPGVITIANAVFGSDPAINPDVLAKAFQLDQNIVKQLQSRFWWDNN >KJB44701 pep chromosome:Graimondii2_0_v6:7:44813699:44816388:1 gene:B456_007G267500 transcript:KJB44701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSHHPSFISPLKSRLSLPYLYTQPPRLSIPYSPAFKNKPLPFFAITQHFFSTLPSKNHQPLLKSTPQSSALPVVPLDGEEHNVETVNKQLPEGSQQEDKSFWGAVSLIIGTAVGPGMLGLPAATIISELSFAVMEEEGVAEVSFTGLATKALGSHFGAFVAVIYASLSFSLLVACVSGIGSIVCQWFPWMNLLLAHALFPLATGAVIMFFPFKVIDVSNRLLCFLMLFSITALVAIGLSVARENVLGSFAHASWSLSSNLPAIPVTVLTLGFHVITPFICKIAGNSVSEARKAILIGGAVPLVMVVSWNLIVLGLAGNTSPKDPISLLLSVNPSALSAVQGFAFSALATSLVGYAVSFPKQLLDTLELVLGKTNLQKQIPYQSQLVSNGSGKVGFVIYSSQHECRKFGKVSFRSASEDEQLLRTTDLKSLEIFVMPLVLSAPVLIASFFRSTFSKALDFAGVYANCFLFGILPPAMAYIQQSRKKLRFSILPGGDVALALLFGVAVVLGIWH >KJB44702 pep chromosome:Graimondii2_0_v6:7:44813911:44815820:1 gene:B456_007G267500 transcript:KJB44702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSHHPSFISPLKSRLSLPYLYTQPPRLSIPYSPAFKNKPLPFFAITQHFFSTLPSKNHQPLLKSTPQSSALPVVPLDGEEHNVETVNKQLPEGSQQEDKSFWGAVSLIIGTAVGPGMLGLPAATIISGPLPSTVAIIISWVYVISSIILIAELSFAVMEEEGVAEVSFTGLATKALGSHFGAFVAVIYASLSFSLLVACVSGIGSIVCQWFPWMNLLLAHALFPLATGAVIMFFPFKVIDVSNRLLCFLMLFSITALVAIGLSVARENVLGSFAHASWSLSSNLPAIPVTVLTLGFHVITPFICKIAGNSVSEARKAILIGGAVPLVMVVSWNLIVLGLAGNTSPKDPISLLLSVNPSALSAVQGFAFSALATSLVGYAVSFPKQLLDTLELVLGKTNLQKQIPYQSQLVSNGSGKVGFVIYSSQHECRKFGKVSFRSASEDEQLLRTTDLKSLEIFVMPLVLSAPVLIASFFRSTFSKALDFAGVYANCFLFGILPPAMAYIQQSRKKLRFSILPGGDVALALLFGVAVVLGIWH >KJB45628 pep chromosome:Graimondii2_0_v6:7:53226091:53226727:-1 gene:B456_007G317000 transcript:KJB45628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQNSNISPKTLVIITLISLGFNNYFLPIHAEETGKALIESTCKKTQYPEECISALESDPGSFTANLTGLTRIAVEKSASKLVETLHIVDMLVQNATSDYPTWGSLVLCRYSYNTSVPQIQEGLQAFDQLKFEKSYKSVEAVKKAVIDCDHQGVKSLTQVNTALLRLIEDTIMILHLLF >KJB39866 pep chromosome:Graimondii2_0_v6:7:2361467:2362635:1 gene:B456_007G034500 transcript:KJB39866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASVPAALPISGTEPVETQPPVAISAFRAFLSRLNDSLRNSLSERRPWPELADRSTFSKPESFSDATLRIRKNYSYFRVNYLMVIGVTLAFSLIFHPFSLLLLLGLLFSWIILYLFRPADQPLIIFGRTFSDRETLGILVIFSIFVIFLTSVGSLIISAIMLGFGLVCAHGAFRAPDDLFLDDEEPAATGFLSFIGGAASNAVTATVPTISNTRI >KJB39566 pep chromosome:Graimondii2_0_v6:7:1465225:1469277:-1 gene:B456_007G019800 transcript:KJB39566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSSGPTFPISISRHTYHHRVTACHVATPTTTTTIPFADLHPSLEVIGGARNRFLPALHSTLRHSYDPFPFIAWNPHVETIFASFFRSIPDVRLRRECLRTQDGGAVALDWVAGDQYQLPPDSPILILMPGLTGGSENSYPRHMLTKARSKGWRVVVFNSRGCGNSPVTTPQFYSASFVGDMREVVEHVSGIYPEANLYAAAWSLGANILVRYLGDESHACPLSGAVSLCNPFNLVYAFEEFTKGFNRVYDKALARSIRNIFKRHILLFEDIGGEYNIPLALNARQVRDFDEGLTRVSFGFKSLDEYYYKASCFHSIKHVQVPLLCIQAANDPISPARAIPREEIEANRNCLLIVTPKGGHLGWVAGAEAPLGGPWTDPVVMDFLEHLERGTHKTVESSNGPLTATSKNLHHAEV >KJB41040 pep chromosome:Graimondii2_0_v6:7:6424305:6425151:-1 gene:B456_007G088500 transcript:KJB41040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PDQLYEKCRDAVFKCGNISIGYPFSGGDRDPECGHPGLALHCDVFTNTTKIEIVGVKYEVLDIHHENQILRIAREDFIKNGSCHPQIPIQDSILNSESFVLGSGNTNLTLSYDCQSSSSFGIFPCNSSNYNNVSITTDNIQALETGFEVQWKEDTEACRKCNASGGACGFDKSNNQTFCYRPSGFESSPDTNECHRALLPPSPTNSGCNNTRGVEII >KJB44161 pep chromosome:Graimondii2_0_v6:7:32570118:32574135:-1 gene:B456_007G238700 transcript:KJB44161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKPKSSTPNPGNMSPRVWFYSILLTLQYGFQPIISKRFTRREVIVTSSVLTCEVAKVVCALILMAKDGSLKKLAREWTLVGSLTASGLPAAIYALQNSLLQISYRNLDSLTFSMLNQTKIIFTAIFTYIILRQRQSIQQIGALFLLIMAAVLLSIGEGSSKGSSSGDPEKILFYGIAPVLVASVLSGLASALCQWASQVKKHSSYLMTVEMSIVGSLCLLASTSKSPDGEAIRRYGFFYGWTPLTLIPVVTNALGGILVGLVTSLAGGVRKGFVIVSALLVTAMLQFLFEGTPPSVYCLVALPLVISSISIYQKYPYQVKKKEA >KJB44160 pep chromosome:Graimondii2_0_v6:7:32570328:32574060:-1 gene:B456_007G238700 transcript:KJB44160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKPKSSTPNPGNMSPRVWFYSILLTLQYGFQPIISKRFTRREVIVTSSVLTCEVAKVVCALILMAKDGSLKKLAREWTLVGSLTASGLPAAIYALQNSLLQISYRNLDSLTFSMLNQTKIIFTAIFTYIILRQRQSIQQIGALFLLIMAAVLLSIGEGSSKGSSSGDPEKILFYGIAPVLVASVLSGLASALCQWASQVKKHSSYLMTVEMSIVGSLCLLASTSKSPDGEAIRRYGFFYGWTPLTLIPVVTNALGGILVGLVTSLAGGVRKGFVIVSALLVTAMLQFLFEGTPPSVYCLVALPLVISSISIYQKYPYQVKKKEA >KJB44210 pep chromosome:Graimondii2_0_v6:7:33067227:33069528:1 gene:B456_007G240200 transcript:KJB44210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVKVHGPPLSTAVSRVLACLIEKDVQFQLIPVNMSKGEHKSPDFLKIQPFGQVPAFQDESTSLFESRAICWYVCEKYAEKGNKGLYGSNPLAKASIDQWLEAEGQSFNPPSSVLVFQLAFAPRMKIKQDQSLINQNHDKLAKVLEVYEKRLGESRFLAGDEFSLADLSHLPNTHYLVNATDRGELFTSKKNVGRWWAEISSRDSWKKVVDMQKHSS >KJB46060 pep chromosome:Graimondii2_0_v6:7:57464758:57470506:-1 gene:B456_007G346500 transcript:KJB46060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFICMTCSGIHREFTHRVKSVSMSKFSKQEVEALQNGGNQRARDIYLKDWDLQRQRLPDSSNADKIREFIKNVYVDRKYAGGKSSDKPPRDMQSNRNHEDEVRRASSYHSYSQSPPYDYQYEDRRYGKQVAAALSRKPGSDRGHYVGKVSSFVYSPGRLSDQMFEDRFANEGSAPRVSDYSVSSGGDPFASGTGSPNYRKDIGFSSPTFQPPRDVLSEDAHHQMINPSLDPSSQNNGGGIPPRQRTKSIESYGSFDGNAMPVKSCSSGIGIGPDVVSEPEQIDQFDHTKTSTIMQSSVPVNYGALDLFNTPEASASPPLDFFQLPATSSESSKDLFQPTAVSSMPPGDLYKPPSSTPSIDLFAEIAEQHPATSFDGKSPELPVPKNEGWATFDTPQPAASDPVSKNLLPAVMPCDEDLSVKFDQQSSINTTMQWSPFENFSAFGDTSAMSTKWQDGHEGQAPPVATGTQSWNAFNDSVESLPLEPQVVAYKHMATTDEHLGLAVSENHDNYGIQAADSHIGFPAATLPSENGIAPLYAPSMNPLPGEKETQAADLKPTNPFDLPFDSELEQSDMFFDMSSLQSTLPNAQLPSTFLGVSQPWLPQNPTPPQGGLVYMSGQTPSSQLPNVSAQGPVASIGGNPFA >KJB39410 pep chromosome:Graimondii2_0_v6:7:950969:952581:-1 gene:B456_007G012000 transcript:KJB39410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVEAGKEEEATAVRITGKSHVKPGKLIGRKECQLVTFDLPYLAFYYNQKLLFYKNDGCGEFEDKVEKLKEGLRVVLEEFYQLGGKLGKDDDEVLRVDYDDDMDGVEVVEAVAEGITVDELTGDDGTSSFKELIPFNGVLNLEGLHRPLLSIQLTKLKDGIAMGCAFNHAVLDGTSTWHFMSSWAQICNGTSSSVVVPPFLDRTKARNTRVKLDLSPVVSCNGDDATKQGPPAPQMREKLFRFSEAAVDKIKSRANSTPPPSDGSKPFSTFQSLAVHIWRHVSQARNLKPEDYTVFTVFADCRKRVDPPMPDSYFGNLIQAIFTATAAGLLLENPPSFGALVIQKAIESHDAKAIDERNKAWEAAPKIFQFKDAGVNCVAVGSSPRFKVYEVDFGWGKPVGVRSGSNNRFDGMVYLYQGKSGGRSIDVEITMEAQAMEKLEKDKEFLMEV >KJB41980 pep chromosome:Graimondii2_0_v6:7:10512197:10515030:1 gene:B456_007G130600 transcript:KJB41980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSMEMEVYGKSNNLTSKLLALTNMPISDVSSDLTIEVGASSFALHKFPLVSRSGRIKKLLVDTKDSKISHINLSSIPGGSEAFELAAKFCYGINVEIMLSNVAMLRCASNFLEMTEEFADKNLEARTEAYMKDMVLPNISSSISVLHHCESLLPISEEINLVNRLINAIANNACKEQLTSGLLKLDHNFPSKAMSNVAPETPSDWWGKSLAVLNLSFFQRVILAVKSKGLNQDMISKILINYTHDSLQGLAVRDPHLVKGSMFDLELQRKQRVVVEAMVNLLPTQSRKSPVPMAFLSSLLKTAIASSASTSCKSDLERRIGLQLDQAILEDILIPANSHRNSHTAMYDTESILRIFSIFLNLDEDEEEDEEDPLRDENDTAYDFDSPRSPKQSSILKVSKLLDTYLAEVALDTNLSPLKFIALTELLPDHARVVSDGLYRAVDVFLKVHPNMKDTERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMSGGHNPFFFGATNSQFPQRSSSGVGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQEMVGSHPTNKLLKSITRKLRKLNSLFRINNMKAAGSKANSESRFLSHRRWRYSVS >KJB41979 pep chromosome:Graimondii2_0_v6:7:10511233:10515030:1 gene:B456_007G130600 transcript:KJB41979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTVAELKPSMSGKRSFRQSSSIRHATEWPISDVSSDLTIEVGASSFALHKFPLVSRSGRIKKLLVDTKDSKISHINLSSIPGGSEAFELAAKFCYGINVEIMLSNVAMLRCASNFLEMTEEFADKNLEARTEAYMKDMVLPNISSSISVLHHCESLLPISEEINLVNRLINAIANNACKEQLTSGLLKLDHNFPSKAMSNVAPETPSDWWGKSLAVLNLSFFQRVILAVKSKGLNQDMISKILINYTHDSLQGLAVRDPHLVKGSMFDLELQRKQRVVVEAMVNLLPTQSRKSPVPMAFLSSLLKTAIASSASTSCKSDLERRIGLQLDQAILEDILIPANSHRNSHTAMYDTESILRIFSIFLNLDEDEEEDEEDPLRDENDTAYDFDSPRSPKQSSILKVSKLLDTYLAEVALDTNLSPLKFIALTELLPDHARVVSDGLYRAVDVFLKVHPNMKDTERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMSGGHNPFFFGATNSQFPQRSSSGVGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQEMVGSHPTNKLLKSITRKLRKLNSLFRINNMKAAGSKANSESRFLSHRRWRYSVS >KJB42597 pep chromosome:Graimondii2_0_v6:7:13949410:13950911:-1 gene:B456_007G159600 transcript:KJB42597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEEVQNGGPEKVAEVSFTALKPQLMVEAPKAADAVQFYKTAFGAVEAGRTVYPKRKAEQEQPHVLSAQLELAGSTILVSDIADNSTPVKTEGTGCVLCLETEDVEAAIAKAVSAGGVAEGEVTEGDGACCGGRVGKVKDPYGYVWLICSPAKKCGDAEA >KJB42598 pep chromosome:Graimondii2_0_v6:7:13949437:13950877:-1 gene:B456_007G159600 transcript:KJB42598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEEVQNGGPEKVAEVSFTALKPQLMVEAPKAADAVQFYKTAFGAVEAGRTVYPKRKAEQEQPHVLSAQLELAGSTILVSDIADNSTPRVKTEGTGCVLCLETEDVEAAIAKAVSAGGVAEGEVTEGDGACCGGRVGKVKDPYGYVWLICSPAKKCGDAEA >KJB43162 pep chromosome:Graimondii2_0_v6:7:17991890:17996980:1 gene:B456_007G187200 transcript:KJB43162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVLPSVIPPTSNDIPPPPLSPTLSSNSSTTSPSPTSSQPNQTTDPPPSPSNSSTPPPESSPAVPTAPPPSPPLSPPPALTPPPVSPPPSTPASPPPSPPASPPPSLPTSPPPSAPDAPPPSDNSPPSPVLSPPTQSSASPPPQAAQPPPVAASPPPAVILSSPPPPDNVPTPPASKSPSSPSATPPPESSSSPPRLTPPPPSSKTAPAPATTSPSTPTLSSPPPSVPSTSSPPTISSPESPNTTGSPSSLPSIPTEKPTARSTNGTNGSENAASSGKSGLGTGGSVAIGIGVGFIVLSLLVLAVWFAKKRKRKSAGTKIGYAMPSPFASSQNSDSAFLRPQSPLKLVVGSGSSGGFYSPSDPGGVNSSRSWFTYEELIQATDGFSERNLLGEGGFGCVYKGILTDGREVAVKQLKIGGGQGEREFRAEVEIISRVHHRHLVSLVGYCISEHQRLLVYDYVPNNTLHYHLHGRGGPVMDWALRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDRNFEAQVSDFGLAKLALDSNTHVTTRVMGTFGYMAPEYATSGKLTEKSDVYSFGVVLLELITGRKPVDDSQPLGDESLVEWARPLLAEAIEHQDFDMLVDPRLEKNYMGHEMFRMIEAAAACVRHSAAKRPRMSQVVRALDSLDESSDLTNGMKPGQSEVYDSAQQSAQIRMFQRLAFGSQDYSSSFFNHSQSSWRSRDDGSQGSWKSRDNGNPSGGWSREQRDRDYRNPSGGWSREQRDREYGNPSGGWGQEQRDRNTLMP >KJB46611 pep chromosome:Graimondii2_0_v6:7:60842632:60845051:-1 gene:B456_007G377900 transcript:KJB46611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGSANKTANSSLKQGGHTTTRRRVTELPTSESDGNCSSSCNLNCYYNDEQTDNPNGYLPSGSSSSGHHIRYLLLLLHRNLLCSWLCVDNTCHHQAVNMVPGLRSGGDVWRKILGVLLLMISVSVFFKVTFLCRHVEINGKWKNENGLLILQAFKDDWTLAAQRVVAETQSSVPKRVLERVSTPEIWMKPNSDNYQQCISRPKNRTRVGSTTNGYIIVHANGGLNQMRIGICDMVAVAKIMNATLVLPSLDHESFWTDPSDFKDIFAWRHFINVLKDDVKIVEHLPVKYTAIKPLVKAPVSWSKASYYRKKVMPLLKRHKVIKFTHTDSRLANNGLPTSIQRLRCRANYEALRYTKEIEDLGMTLVERLKNNNKPYTALHLRYEKDMLAFTGCIHNLTEQEGNELTIMRYKVRHWREKTIDGEQRRHQGGCPMTPREAALFLKALGYPSSTPIYIVAGDIYGRDSMAAFRSEYPNVFSHSTLATEQELKLLEPYQNRLAALDYIVALESDVFVYTYDGNMGKSVQGHRRFEGFRKTIKPDRQNVVKLIDQLDEGVISWEQFSTEVKHLHLDRLGAPYLRQPGESPRLEENFYANPLPGCICSRSLELEQNLSSVQR >KJB44230 pep chromosome:Graimondii2_0_v6:7:34300166:34300794:-1 gene:B456_007G241200 transcript:KJB44230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTIGSKLQALVSIGKDDGIKGYWKGNLPQVIRVVPYSAVQLFAYETYKKLFTGKDGELSVLERLAAGACAGMTSTFVFWELIRLMKLWANGVLCSCHSR >KJB40894 pep chromosome:Graimondii2_0_v6:7:5872322:5872972:1 gene:B456_007G082100 transcript:KJB40894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQDQFGESLKGSSSQSGSPQGPLQLATNTGGTSTTGCESMEDDEDAKSECYSWKSHVQKPKKNNV >KJB44870 pep chromosome:Graimondii2_0_v6:7:47414157:47414918:1 gene:B456_007G276800 transcript:KJB44870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDWSLTSTFLGLFRLWKALQFYAGFSIFMIYVYQLPIEFSSMLQWIADFVGLFKICSTSEWTEICSSVSFVLFYIMVCTSFITIGLILFKDFT >KJB43965 pep chromosome:Graimondii2_0_v6:7:27332174:27337564:1 gene:B456_007G226800 transcript:KJB43965 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 16 [Source:Projected from Arabidopsis thaliana (AT4G34910) UniProtKB/Swiss-Prot;Acc:Q9SW44] MAKKNKQKPPQDPKPSQEEEKKQVERKGEEVEDEEEEKSFEDLGLDHRLLRALIKKGVGKPFPIQRVAIPLILQGKDVVAQARTGTGKTFAYLLPLLQKLFPSDSGSKSRLAPGGFILVPSRELCQQVYKEAVSLVELCRVQLKIVQLTGGMPASDLRAALAGAPDILVTTPKCIRDCLAAGLLQPASISESLEILVLDEADLLLQFGFGDDLKALTPAIPRSCQCLLMSATSSPDVDQLKKLILHNPFVLTLSEVDVKDEVISKNVQQFWISCSASDKLLYVLALLKLELVLKKVLIFTNTIGAGFRLKLFFEKFGIRSAILNAELPQNSRLHILEEFNAGLFDYLIATDDSQTKEKEQVNRDKDMDSRKSRKGAKPKIDSEFGVVRGIDFKNVYTVINFDMPSSASGYVHRIGRTGRAYSAGASVSLVSPDEMEIFEEIKSFLGEEDIGTNTIMPFSLLTKDAVESLRYRAEDVARSVTRVAVRESRAQDLRNEILNSEKLKSHFEVNPRDRDLLKHDKVLSKEPPAPHLRDVPDYLLDQKTRDASKMVKLTRAAMGDNKSSRRQGAKKRFRKSRDPLKSFSAEAPKRVGKDGVKGERKGLDYTHKSKKQKAV >KJB44454 pep chromosome:Graimondii2_0_v6:7:41006723:41010839:-1 gene:B456_007G254100 transcript:KJB44454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKVFMVSFMFTTILLSVLYLPTKLSIPITRFNPMATLNIVHPSNRTYPVTFAYLISASKGDIMKLKRTLHALYHPGNQYLIHLDYEAPASEHKQIAEFVSNHPVFSLVGNVYIVGKPNLVTYRGPTMLATTLHAMSMLLRCCKWDWFINLSASDYPLVTQDDLIHAFSGLPKDLNFIQHSSQLGWKLNKRGKPIIIDPGLYSRNKSEIWWVIKQRTLPTAFKLYTGSAWTVISRSFAEYSIVGWDNLPRTLLLYYTNFVSSPEGYFQTLICNSVDYKNTTVNHDLHYITWDTPPKQHPRSLGLKDYRRMVQSSRPFARKFKRNDPVLDKIDRELLKRRHGKFTYGGWCAENEKKQRACSSLQGENYGVLKPGAGSRRLKSLLTKLASARSFSKRQCRP >KJB40917 pep chromosome:Graimondii2_0_v6:7:5888624:5889263:1 gene:B456_007G082400 transcript:KJB40917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPHVVVIPYPAQGHVISVMELSQNLAKQGIKINFKVDENGLIHLLSVPDGLEDGEDRNQLGKLTESLYQVMPTQPKELIHKVNGLNDDKISCVLVDIGMGLALDVAAELGIPTVGLWPAAVLQLAVLLKVPKLIDDGLIDENGNTYLVLF >KJB45914 pep chromosome:Graimondii2_0_v6:7:56196489:56199514:-1 gene:B456_007G3374002 transcript:KJB45914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QIPTSTQLQSFENLSYGGNHLCGPPLTKNCTSKGNPIVDVANNGRSREGSKVNWLYVSIVLGFVMGFWGVVAPLFFIRSWRHAYYRKLDHVGRKLYVSWATMGIPIDVANNGSSREGSKVNWLYVSIVLGFVMGFWGVVAPVFFIRTWRIAYNRKLDHICGKVYCALGYYGPITIKPYFSGLKTTSYKACDSRSDPFALKILVFLS >KJB41781 pep chromosome:Graimondii2_0_v6:7:9520124:9522037:1 gene:B456_007G121000 transcript:KJB41781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVYKRGFISETSRSEEEMELRKGPWTEEEDSMLRAYVNIHGEGRWNAVARLSGLRRTGKSCRLRWLNYMRPEIKRGNISLEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQAKQLNCDVNSKQFKDAMRYVWIPRLVERIRASSESPSSQPSTTTNTTYNDRISNISSSQMSYANASGSVQVDPSLLPELSGTSSDSLDTQVSSVSDLTDCYNPQSLSNYLHKGLGLEKEGAATWGRDEEFQATEEHSNGWLVGGGESSMDTVWNEENVWFLQQQLHDGI >KJB39383 pep chromosome:Graimondii2_0_v6:7:781330:783828:1 gene:B456_007G010000 transcript:KJB39383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQIARSHLIMFLFALSFLVPSLEASEGDADPIYKTCLEDCEKSGCVGEMCFQHCKFSSDGKPIDGPWYLQEPLYQKWKQWDCRSDCRYHCMIAREEQRWKLGGKPIKYHGKWPFQRVYAIQEPAAVAFSAISLAIQFHGWLSFLILLNYKLPLRPDKKTYYEYTGLFHIYAILAMNFWFWSAVCHTRDVELTEKLDYSSAVALVGFSFILTILRTFDVRDEASRVMVAAPLIAFVMTHILYLNFYKLDYGLNTKVCMAMGVANLLIWGVWAGITSHPSRWKVWLFNVGGTIALLLEIYDFPPYKGFIDAHALWHAANIPLAYISWSFVRDDAVFRTSTLLKKTK >KJB45746 pep chromosome:Graimondii2_0_v6:7:54505204:54505569:-1 gene:B456_007G325200 transcript:KJB45746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFRLCLFTISSITLTFTLQPFHVLSDEAMIINVCDKTPDPSLCQTCLNSDPKSKTDDVRGLAMISITCGTRDADKLYSDTYNLYTSTSDTALHNLLDNCWTRFIGARDGINGAGRVLRD >KJB39994 pep chromosome:Graimondii2_0_v6:7:2878214:2879904:1 gene:B456_007G041000 transcript:KJB39994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICNCFVGLLNSVLLTVGIGFVISGAYLNINGNSDCEKVLTTPLIVMGGLLLILSLIGIMGTSCSSTFCMYIYLTLMFLLILGVIAFTIFVFMITNQAVGKTIADSEKVIEPKNPMDFSNWLAKKVNKENNWKKIKSCLIDARLCTTDEYKLEFNFLVLVDQEACCKPPDVCGFTQKNKSVWEVPKSGPSSKNKDCKKWSNDGDKMCFECDSCKVGVVENLKREWKALALINICLLAFLILIYMLGCCAFCC >KJB46115 pep chromosome:Graimondii2_0_v6:7:57887881:57889534:1 gene:B456_007G348600 transcript:KJB46115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRPPSGNDENGVKKGPWTPEEDRILIDYIQKHGHGSWRALPNLAGLNRCGKSCRLRWTNYLRPDIKRGKFSDEEEQTIINLHAVLGNKWSAIATHLPGRTDNEIKNFWNTHMRKKLLQMGIDPVTHRPRTDHLNVLASLPQLLAAANFNNMNNLLDVNALNRLQLDAATLAKLHLLHNMLQILGTPAINAMDFLSGPTFRENHQLYHLQPNTGEYQPSNLIEASQNTHPLKDLKFSSYINNDRFASSLFVANNNIPSSSIPQLPALIPASPEHRPAVVENNKINNPNEISNPSSTSTTFEAWGDLMDDEASDSYWRDIIDQASSQSWPIS >KJB40031 pep chromosome:Graimondii2_0_v6:7:2993239:2993729:1 gene:B456_007G043200 transcript:KJB40031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDWAPVLVGYMLFILLSPGLLFQLPGNNRTVEFGNFQTNGKAIVIHTLLFFGLFTILILALGIRIYAG >KJB41951 pep chromosome:Graimondii2_0_v6:7:10379073:10380159:-1 gene:B456_007G129300 transcript:KJB41951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTPPLPLPECRPILAVDRDLVQFALNLEFLEAEFFLHGALGMGLDVLAPSLAQGGPPPVGGRKANLDPIIRRIIEEFAYQEIGHLRAITESVGGVPRPRLNISAEAFAAFFNRAMNTTLSPPFNPYANSLNYLLASYVIPYVGLVGYVGTIPNLLAASLLGVESGQDAVIRTLLYLRANEKVKPYGVTVAGFTDRISEIRNRLGRCGMKDEGLKVPKQLGAENRTTSNILSADADSLSYSRTQPEILGIVYGTGDESRPGGFLPDGGAGRIAMRFLAT >KJB43789 pep chromosome:Graimondii2_0_v6:7:24156674:24157668:1 gene:B456_007G2161002 transcript:KJB43789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAAKITTYSLEGSEKLLQLVSNYSMISNLKENESDVWNQGFFMSPI >KJB38921 pep chromosome:Graimondii2_0_v6:7:6717131:6717588:-1 gene:B456_007G092000 transcript:KJB38921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTIGLQLDPNDCQLKHKANTSVDQRTPRGQKQNPRVDCTTIAIAEEGICCLHYFRLFRTERTRWSWPCGISKQVSC >KJB38963 pep chromosome:Graimondii2_0_v6:7:40237868:40238152:1 gene:B456_007G252600 transcript:KJB38963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHLCPRSRRHPSLSRGFAACQALVRFFALHRINHMLHRLCGPPSIPLSFILANVLITLMYP >KJB44966 pep chromosome:Graimondii2_0_v6:7:48400594:48402799:1 gene:B456_007G282500 transcript:KJB44966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQQRFQIPRVKLGTQGLEVSKLGFGCMGLTGVYNDSVHEEVGISIIKHAFHRGITFFDTSDFYGPKTNEILVGKALKQLPREKVQLATKFGIEKMDSAGVTINGTPEYVRACIEASLKRLDVDYIDLYYQHRVDTNTPIEDTMSELKKLVEEGKIKYIGLSGASPETIKRAHAVHPITALQIEWSLWTRDLEEEIVPLCRELGIGIVPYSPLGSGFFAGRGVLETMPANSFLPTFPRFQEENLDKNKIIYLKVEKLAKKHGCSPAQLALAWVLHQGDDVAPIPGTTKIKNLDSNSDSLKVKLTEEDLEEISNAVPINEVAGEFLPDNLSQFHWKFGNTPPKGSKV >KJB39881 pep chromosome:Graimondii2_0_v6:7:2445595:2446770:-1 gene:B456_007G035700 transcript:KJB39881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLDVITKASASTGPHTSQADHPIVLNTDDIFFNLKPEVENPNPTSLVNPLTGWGISQTDAKFIDLSKKFYTKLNRNLKDIHNFNKEEFIGILNPFLEKIKEKGRIFIGVDPNDTGYTSVLLEKVGFLIGRDVLSLVLEACISLEIWELLEVLIVNGLVDHSCYPNLVVNIAAKKRSDLLCLCVKHARNLGSVELLCILKYFLCPPKDSYVSMVNVRKDWESQALLAIEKAKLGKKSPLAKEASILLMVAYDGFLDPELCLHYLLASNNVDEVILSSLLGKLVGKELINLIRYLGKWLKKYERFPQAIPCPKASSALGLKACDWVPKVEDVAKCLGFVVDENFSSLMLHPKFCEELKSIEGVVSSLAFEARFCCSMANVIEKLRAEDIQS >KJB44619 pep chromosome:Graimondii2_0_v6:7:43569329:43570066:-1 gene:B456_007G263200 transcript:KJB44619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLTDSELIELNKTNPPRTMNEIQDLASSVLLLNLQWKEMEEVLDSLQSSVEERLKEVISKEGEIKEGANKIERNEKIVKEQFERLKSKAEELGRQFRGLEAGKKCYEERLREVELAQKQLEEKQEEFVLKLKAFDMRCRDFELEKKEVERHRKDLELSVKRSEQQREEVKLMKEQVKDKLGEVRLKDKDLEKCLSEYELKEEQFRLKEKSFEKRCMEFELQEKATEERRRGNHLKGSKQGKHSLQ >KJB45978 pep chromosome:Graimondii2_0_v6:7:56695987:56696198:-1 gene:B456_007G3415001 transcript:KJB45978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFFSNLPHPGNSGDSNFLRDK >KJB45979 pep chromosome:Graimondii2_0_v6:7:56695987:56696169:-1 gene:B456_007G3415001 transcript:KJB45979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFFSNLPHPGNSGDSNFLRDK >KJB40228 pep chromosome:Graimondii2_0_v6:7:3654288:3654794:1 gene:B456_007G052200 transcript:KJB40228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISPPMFHALSVHGVHTSHRGRSVKVHVSKPSPVVSLSNRRQLLFFMTTTTALTVRERESNAEDIPLFGFRKKLKSAEEEAVEIVKEGLETAEKGLETAERGIFTVEKGLKTAEKKIETAEKEIESAVGFGALAQAGAVAVAEFLGVVVATSIVNGVLAAEAPKS >KJB45900 pep chromosome:Graimondii2_0_v6:7:56059248:56059695:1 gene:B456_007G3361001 transcript:KJB45900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRSNNFDGHIPHKICDLQFLQNLDLAHNNISGVIPKCFNNLSAMAITNKTNNFVAEYVADNSFFFNALLVLKGREDEYGSTLGLVTSMDLSANSLTGEIPKEISSLAGLLSLNFSRNLLTGNIPDSIGNIELMESLDLSMNRLNGEIPP >KJB43734 pep chromosome:Graimondii2_0_v6:7:23483843:23484281:-1 gene:B456_007G214200 transcript:KJB43734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQFLNILFIRNKIFSLCVGKKKHIFLGRDIISPSPIKSQVSKIFISNDFPQSGDERYNLYKSFQLAIRSDPLVRKAIYSIVNISGTPLTEGQIVNFERTYCQPLSDMNPSDS >KJB40242 pep chromosome:Graimondii2_0_v6:7:3699345:3701540:1 gene:B456_007G053100 transcript:KJB40242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLDLSSVFVPKTITEFLKEASKIKDGFQKSSKISDYVKRLEDEMKKIDGFKRELPLCMLLLKDGIERLKVEEVQCKETDDGLPLKENDDSDKTNWLSSVQLWNSDFNIVDHNKKPNTVSELKPRSGREEEEDMSENPIKVCNSKSRGGAFVPFKDISGIPLMNPSFELVSCNGILRNNGGCTIGSGSSLTAEKTHIKFQTESQDQQKQQQSSRKQRRCWSPELHRLFVDALQQLGGSQVATPKQIKEVMQVDDLTNDEVKSHLQKYRLHIRKLPPSSARNQCNENMKSQSGSPQCPLTVSALAKGMSSTGGDSMDGEDDEKSDGLSWRSGVHKPGEIECIVR >KJB44342 pep chromosome:Graimondii2_0_v6:7:38239316:38239760:-1 gene:B456_007G247000 transcript:KJB44342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLLSKGHYQYFMTVEMNRLIQDTKTKKSDLFLSAKGLTKKSNKKVFHLFNRTCNRKDLDQKMKIIRRSRNRWKGKGNYLWKGNVEESMEQKVDKGREWNKK >KJB44124 pep chromosome:Graimondii2_0_v6:7:31347112:31348558:1 gene:B456_007G235700 transcript:KJB44124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLSRCIFLCTVNVVVVAAQLEVAPREESYGLYSHFEPSMVIVVMILVCVFFFIGFLSVYIRQCKELNDISTDVSTVERYQPEGLDQSVIESFPVFIYSCVKDLKIGKGALECAVCLSEFEDDEKLRFLPKCSHVFHPQCIDAWLAYHVTCPVCRAKLTPDSDTKSNTTELNSNSNNINESSHPTTEGSVEERNAYVVIKVNEESGARAEKIRGKFQHSHSTGHSVIQPGENVERFTLKLPEEIRKQITKRGKLKRTRSYNVLLGREGEDEEK >KJB46042 pep chromosome:Graimondii2_0_v6:7:57356586:57360059:1 gene:B456_007G345400 transcript:KJB46042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast J-like domain 1 [Source:Projected from Arabidopsis thaliana (AT1G08640) UniProtKB/TrEMBL;Acc:Q93WG3] MALTISNVFHCPKPRISPLNSIPNSSISKLSSSYLRFPRRFSFPKNRIVICAASSAAGSSNPDSDLNPYEVLGVSPVEGFEKAKQVYTRKRKEADKRGDEATAALLEKAYDKLMMAQLMNRKKGVTYGSFKVSKDVKYADKQPIVSWGPRFSKSTVQDTRINMAISAVFTAWIVIKRNAEYKPLQFLAFAFVYRIFEKLKAFEPPVSPTFTEEGEDDGRGLRMGKRLLRSLALVFGCIALSSLAYTGILNLIEYIGSYIPAVLYNNQELIVTTTSAVSLYVMASYYR >KJB46236 pep chromosome:Graimondii2_0_v6:7:58431388:58438174:-1 gene:B456_007G353500 transcript:KJB46236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57050) UniProtKB/Swiss-Prot;Acc:P53780] MASTPTLKPLASSLYSDPTRHCGSLLENYFPRSFQVKKELSLEGKLKLSTKQFRLNCLGHRDMDVSASVLADSVTDAQLDVNEEDPSISTLLMNFDSNFDPYGAMSTPLYQTATFKQPSATENGPYDYTRSGNPTRDVLERLLAKLEKADRAFCFTSGMAALSAVANLVGTGQEIVAGDDIYGGSDRLLSKVTPRSGVVVKRVNTSDLSEVAKVIGPKTKLVWLESPTNPRQQIADIRRIAEMAHTHGAILLVDNSIMTPVLSRPLELGADIVMHSATKFIAGHSDLMAGVLAVKGESLAKELYFLQNAVGSGLAPFDCWVCLRGIKTMALRVEKQQENAQKIAEFLSSHPRVKKVNYAGLPNHLGRDLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFSITVSFGSVKSLISLPCFMSHASIPSAVREARGLTEDLVRLSVGIEDVEDLIADLDNALRTGPL >KJB46238 pep chromosome:Graimondii2_0_v6:7:58431400:58438144:-1 gene:B456_007G353500 transcript:KJB46238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57050) UniProtKB/Swiss-Prot;Acc:P53780] MASTPTLKPLASSLYSDPTRHCGSLLENYFPRSFQVKKELSLEGKLKLSTKQFRLNCLGHRDMDVSASVLADSVTDAQLDVNEEDPSISTLLMNFDSNFDPYGAMSTPLYQTATFKQPSATENGPYDYTRSGNPTRDVLERLLAKLEKADRAFCFTSGMAALSAVANLVGTGQEIVAGDDIYGGSDRLLSKVTPRSGVVVNDLSEVAKVIGPKTKLVWLESPTNPRQQIADIRRIAEMAHTHGAILLVDNSIMTPVLSRPLELGADIVMHSATKFIAGHSDLMAGVLAVKGESLAKELYFLQNAVGSGLAPFDCWVCLRGIKTMALRVEKQQENAQKIAEFLSSHPRVKKVNYAGLPNHLGRDLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFSITVSFGSVKSLISLPCFMSHASIPSAVREARGLTEDLVRLSVGIEDVEDLIADLDNALRTGPL >KJB46237 pep chromosome:Graimondii2_0_v6:7:58431400:58438144:-1 gene:B456_007G353500 transcript:KJB46237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57050) UniProtKB/Swiss-Prot;Acc:P53780] MLVLQFWLILLQMLNWFDVNEEDPSISTLLMNFDSNFDPYGAMSTPLYQTATFKQPSATENGPYDYTRSGNPTRDVLERLLAKLEKADRAFCFTSGMAALSAVANLVGTGQEIVAGDDIYGGSDRLLSKVTPRSGVVVKRVNTSDLSEVAKVIGPKTKLVWLESPTNPRQQIADIRRIAEMAHTHGAILLVDNSIMTPVLSRPLELGAATKFIAGHSDLMAGVLAVKGESLAKELYFLQNAVGSGLAPFDCWVCLRGIKTMALRVEKQQENAQKIAEFLSSHPRVKKVNYAGLPNHLGRDLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFSITVSFGSVKSLISLPCFMSHASIPSAVREARGLTEDLVRLSVGIEDVEDLIADLDNALRTGPL >KJB45696 pep chromosome:Graimondii2_0_v6:7:53690634:53692131:-1 gene:B456_007G321500 transcript:KJB45696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSVNQEINVNSKHKKSSDRISQLPDVLIHHILSFLSTKEAMATSILSKRWLWVWTSVPILDLQDTPFCRTDPNLRFRQFVDHVLILNKTVSLDKFCLKFNLVDHPSYVKTWFWDAVSRDVKELDISIHGTESFPFLKLPFALFTAKTLHVLKLSHGVELHVPGTVSLPCLKVLNLVWIKYTNDESVSRLFAGCYVLQELVLHKHAGDNTTFSTISIPTLKTLFVRFATTGRCRHKLKINAPVLKQLNLEDNLTLEFDLEDVSSLVEANVTVSWLENRHIPLLKALSNAKPYRLFLNLVQMELHVGYGGWDLLSLFLEFSDHLEVLVLAKNDNCRGLGFECSWKPPKYVPECLLSSLSMVYFKGFEDLTYQLSMVKYILKNARVLKMMDICSNGDLPLDSKIDLLKKLLMFPRGSKACQLKFN >KJB41752 pep chromosome:Graimondii2_0_v6:7:9398507:9401290:-1 gene:B456_007G119400 transcript:KJB41752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSCVVCADNLEWVAYGACGHRDVCSTCVARLRFICNDRRCCICKTESNVIFVTKALGDYTRMINDFSVLPTEVRAGRVGSYWYHEDTHAFFDDVDHYRMIKAMCRLSCSVCDKIEEQSNAGIKRRGKFRNIEQLKGHLFHQHRLVMCSLCLEGRKVFICEQKLYTRAQLNQHINTGDSEVDGTESERGGFMGHPMCEFCKSPFYGDTELYSHMSTEHYTCHICQRQHPGQYEYYKNYNDLEIHFLRDHYLCEDETCLAEKFIVFQSEAELKRHNTIEHGGRMSRAQRSAALQIPTSFRYRRRNDDTRRGRERTTRYEPSDNDHQLSMAIEASLETASDPPASSSTQADSDCGDINDIYPLVQPFELLSAAVCESSSRYLQALGGGSRVAPLQGTSFPPLSTPASTSQQTPKHSSDGLPINTMAAHLRRQKNANTNVLSSAQEWPETRHRLLQAASRSSQVRPATNVAAETSLGNSNGFPQLSSASSAGRWSMAATLNLKVSEPDPDPEALDNNLDSSQISTGQLPVRGVWKKEVRNSFRK >KJB41753 pep chromosome:Graimondii2_0_v6:7:9398600:9401126:-1 gene:B456_007G119400 transcript:KJB41753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLCLEGRKVFICEQKLYTRAQLNQHINTGDSEVDGTESERGGFMGHPMCEFCKSPFYGDTELYSHMSTEHYTCHICQRQHPGQYEYYKNYNDLEIHFLRDHYLCEDETCLAEKFIVFQSEAELKRHNTIEHGGRMSRAQRSAALQIPTSFRYRRRNDDTRRGRERTTRYEPSDNDHQLSMAIEASLETASDPPASSSTQADSDCGDINDIYPLVQPFELLSAAVCESSSRYLQALGGGSRVAPLQGTSFPPLSTPASTSQQTPKHSSDGLPINTMAAHLRRQKNANTNVLSSAQEWPETRHRLLQAASRSSQVRPATNVAAETSLGNSNGFPQLSSASSAGRWSMAATLNLKVSEPDPDPEALDNNLDSSQISTGQLPVRGVWKKEVRNSFRK >KJB38908 pep chromosome:Graimondii2_0_v6:7:60954402:60956554:1 gene:B456_007G3798001 transcript:KJB38908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAKEEGKVLGYNSKKVKKVAILSTLAALLDDPILADVPNKPSLSDVDTLINLELGSAMCISIFKLDGTSFDVAVMNSATVKDLKLAIKKKVIELEQSKMGHRHISWRHVWANFCLAHHNGKLLDDDAALHDFGVRNNSQVHFLPYVVSKGSGRHSKRRKHRFFHGLSKLS >KJB38906 pep chromosome:Graimondii2_0_v6:7:60954402:60958832:1 gene:B456_007G3798001 transcript:KJB38906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAKEEGKVLGYNSKKVKKVAILSTLAALLDDPILADVPNKPSLSDVDTLINLELGSAMCISIFKLDGTSFDVAVMNSATVKDLKLAIKKKVIELEQSKMGHRHISWRHVWANFCLAHHNGKLLDDDAALHDFGVRNNSQVHFLPYVVSKGSGRHSKRRKHRFFHGLSKLS >KJB38907 pep chromosome:Graimondii2_0_v6:7:60954200:60956554:1 gene:B456_007G3798001 transcript:KJB38907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAKEEGKVLGYNSKKVKKVAILSTLAALLDDPILADVPNKPSLSDVDTLINLELGSAMCISIFKLDGTSFDVAVMNSATVKDLKLAIKKKVIELEQSKMGHRHISWRHVWANFCLAHHNGKLLDDDAALHDFGVRNNSQVHFLPYVVSKGSGRHSKRRKHRFFHGLSKLS >KJB44361 pep chromosome:Graimondii2_0_v6:7:38535565:38537199:1 gene:B456_007G247900 transcript:KJB44361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSTTLAFNVRRRKPELIAPARPTPHECKLLSDIDDQESLRFYIPVIQFYRYNPSMIGKDPAAVIRGALAQTLVYYYPFAGRLREGPNCKLSVDCTGEGALYIEANADVALQDFGDILQPPFPCIDKLLYDVPNPKRMLNDPLLFIQVTRLRCGGFILGISVNHVMSDVSGMMQLILGMAEIGRGAVAPSIQPVWERQLLNARDPPRVSLLHREYDQVEEGTITSNPSKNTVHRSFSIGPNQVSTLRKLLPNTLGQCSRFEVLAACIWRCRTIALKPHPDEEVRLLCMVNVRSKLSPPLPSGYYGNAVVFPAAITTARKLSQNPLGYAVKLVKQAKERVSGEYVKSVADLMMMKGRPHYPMAGSCVVTDVTRAGFEEVDFGWGKAVYGGLAKAGLGAKLPAASPCVNLVAIKGGIAISICLPAPAMDIFAKELESHSKSLFISSGL >KJB43003 pep chromosome:Graimondii2_0_v6:7:16727088:16728629:-1 gene:B456_007G179300 transcript:KJB43003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFPMSPSSYFLSPWLVAQKVRESFTFDFETPMRKPCCDKQGTNKGAWSKQEDQKLIDYIRIHGEGCWRSLPKAAGLHRCGKSCRLRWINYLRPDIKRGNFAQDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHIKRKLMKMGIDPNNHKLNQYPHHVGPLNPTTTNSMDVACKLRVFSTENDDGVSDAASYLEDETPPTGISNLDLDLTIAFPSSPIKNIIEESQKKTASIVTNDEEEQYTVPTLLLFR >KJB42947 pep chromosome:Graimondii2_0_v6:7:16385815:16389108:-1 gene:B456_007G1761002 transcript:KJB42947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IILWLVSPGLLAFVGSICPCLKDHKPAALLGLHGLYGIECWLHADQLQQKALIRMDTRPAGTIGYVNPEYALYGELTEKSDVFSFGVVLFEVVFGKKAFNRRSFWNEKHMLELATESLWKGTIYHDIDPYLKGRIAPECLNKYLEIASSCVHRKGNERPSMGEVELALEMQERADSKMESINPCLHEEELFCGFVRNFSGLDSFLDYEFSYHNSFNFKDSDSNNSNHNTFFYKVFQKGTGDGKLEYSEGNEKRSKIRNPAALPEEINYKFSLALVKAATNNFDQGLIIGSGGFGHVYKGFLNDWNLVIAVKRLHPDSAQGFNEFQAELLLLCQLHHQHVVPLIGFCNEKAEMILVYEYMENGALRDLLYDSGCDPLPWKQRLEICIGAARGLRYLHTGAKQAIIHRDVKSSNILLDDKLVCKLSDFGLAKLRPRSNCKQKTLTRIDSMVKGTCGYADPEYVAGFGLTEKSDVYSFGVVLFEVLCGRQAFDMSLNANQAYLVHWARRSIGEGTIYNIIDPNLKGRIAAGCFKTFVDIACSCTCPEGNSRLEMGEVEVMLERALELQQKADSDMVRLASCGVYMFEEVSCCALVPEYSSGE >KJB45413 pep chromosome:Graimondii2_0_v6:7:51864106:51867639:-1 gene:B456_007G304900 transcript:KJB45413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKSCYGYRSYVCTPKKEEDVKPDVTKGNHQAAPVPVPAAVVKRPKKEENETVKDEPSKPNLGCVSQACERVKRNEEGRNLEKKERQTMMDEEQDRISNLSDDVLAHILSFLELRDAFKTMILSKRWEKVWTLTHSINLNNKSCVRRFDQRLSLDKEIRRRTTFINFINGVLFGDNMIFPVKAFTLSYYFGYADFIRHRHSPYLIKWVDAVSTNDLEKFDFSISIDCTFPVLNMPPTLFQCQNLVTLSLESINRVLICFDVLETACLLSLKYLRLVKVRFEEENTLKNLLSGCPVLEELYMEPGDTGFKSIPHFYFISPSLKILRWKREEGFHGYFVVKAPKLEHLHFVEHSMLGFSMSVSPSLVSVVMDIGSSFGHHGHISVKKLFQGISNAQKLEWSTHRTFMANKVELDNLPEFCNLTHLKIGSCQDLYFVSSIIQHSPHLKHLIFDKDHFISGPMTWSPPVPVPPCLVSHLETVEIIAPEGQTSYEIELILYLLHEGKVLKKMIVTPFSCMDLRRKLWESPKTGGCKIVFSDFPAGGTSR >KJB44435 pep chromosome:Graimondii2_0_v6:7:40477632:40478111:-1 gene:B456_007G253000 transcript:KJB44435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMNLNDRKTPTLILPKCLRTGLLCKLDASHLNRGKDSQGEEVIKPYTLTTLDSDVGHFELVIKMYPQGRMSHHFRELRVGDYLAVKGLKVYSFLNMNA >KJB42875 pep chromosome:Graimondii2_0_v6:7:15750859:15752772:1 gene:B456_007G171900 transcript:KJB42875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFAQRSNKLVDDIDDEEVEEEEEEEEEDDDDDGNLIHTSDSDIKDSAGRTKLQLNVNTGLNLLTTNTSSDISNDGIHCNTEDKRAKDEVAVLQAEVERMNTENQRLREMLSQVTSNYNTVQMHLVTLMQQPPDAKAEEQDLMKQIKKSNGGLMVLSPRQLMDLRLPAVDIDEPSLSSSDHSGSEIVSKGFGLRKRDSSEDSLDQGSQAQGWVANKVPRFNSSKNVDQTEATMRKARVSVRARSEATMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSSAARMLLSGSMSSADGLMNSNFLTRTILPCSSSMATISASAPFPTVTLDLTQTPNPLQFPRLTPGQFQVPFPNPPQNLANSPAAALLPQIFGQAVHTQSKFSGLQMSGDVGHQQLQISLAETVNAATAAIAADPNFTAALAAAITSIIGSSQPNNAAVPNDNAATANLTSVTNSSANNATTTSNSNNKITNNSNFTAN >KJB46260 pep chromosome:Graimondii2_0_v6:7:58544639:58545419:-1 gene:B456_007G354800 transcript:KJB46260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTEKGGRAVGAPGGAVSTDQTITKNTTTNNPQETIIPMPGTELTLSNGELENLRSLDEAFDGKQLDPKAKPLIRRLPSTLGRHEDFRKYFKPKKLKCKLTTLFVKNIGVDRGTLYNNIKTEIDDLKKCYDPKELDKYTNDNENLAWMFLVDGCTILQAVYIVTHMSNELSIKNELLTFEYSDLFLLENQLPFRVLELLTRSNDDKKFMEATVGFTNNTVKKPESHQQDR >KJB39396 pep chromosome:Graimondii2_0_v6:7:847388:848607:-1 gene:B456_007G010800 transcript:KJB39396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVPEPLTVGRVIGEVVDNFTPSVQMTVTYNPNKQVANGHELMPAAISARPRVEIGGNDMRDAYTLIMTDPDAPSPSDPFLREHLHWMVTDVPGTTDVSFGREVVSYETPNPAVGIHRYVFILFKQRGRRTVKSPSSRDYFNTRRFSAENGLGLPVAAVYFNAQRETAARRR >KJB40921 pep chromosome:Graimondii2_0_v6:7:5900072:5900949:-1 gene:B456_007G082700 transcript:KJB40921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQAVEKPLNSSSESENGGILEKTPPLQDQKIDENIQEDSGKDLKKTCTPDRLKVPKAFKFPERYRSPTDSMMSPVTKGLLARNRKAGGSLLPPSINQTKIHELRVQDVGLSHN >KJB40920 pep chromosome:Graimondii2_0_v6:7:5900433:5900855:-1 gene:B456_007G082700 transcript:KJB40920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQAVEKPLNSSSESENGGILEKTPPLQDQKIDENIQEDSGKDLKKTCTPDRLKVPKAFKFPERYRSPTDSMMSPVTKGLLARNRKAGGSLLPPSINQTKVIFELNLVICY >KJB43425 pep chromosome:Graimondii2_0_v6:7:20226844:20229927:1 gene:B456_007G199000 transcript:KJB43425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGALRSIVRPLCRTLLLSQASPASGTMSTLPSYLCPKPCLNSLLCGSIYRQSPWISMSNQLHSLTNTRFPKRRPQDKARRKRASLKPPGPYAWVKYTPGEPILPNNPNEGSVKRRNEKKRMRLRRAFKLAEAKKRKAQLQEANRKKKIKQVERKMAAVARDRAWAERLVELQGLEEEKKKAMA >KJB43798 pep chromosome:Graimondii2_0_v6:7:24479676:24481592:1 gene:B456_007G216600 transcript:KJB43798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSNSNTKLILLHPYIQKQGSSSRLWLLAFISFFTIAFLLTLIYTRESVSGRTTAAVRTTVRGPGSSVSAFGGGGGALLPTTVINTLLHYASRSNDSFHMTYAELKPISDVLRKCSSPCNFLVFGLTQETLLWKALNHNGRTVFIDENRYYAAYFEELHPEIDAYDVQYTTKASETRELIASAKAQIRNECRPVQNLLFSDCKLGINDLPNHVYEVDWDLILIDGPRGEGDEGPGRMQPIFTSGVLARSKKGGNPKTHIFVHDYYRDVEKMSGDEFLCKENLVELNGVLAHFVVERMEENSYQYCRNKNINTSRKASSSS >KJB45966 pep chromosome:Graimondii2_0_v6:7:56626197:56629652:1 gene:B456_007G340900 transcript:KJB45966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTMEGMMDQAVLDDIIRRLLEGKGGKQVQLSEGEIRQLCINARQIFISEPNLLQIKAPIRICGDIHGQYQDLLRVFEYGGYPPDANYLFLGDYVDRGKQSLETICLLLAYKIRYPDRIFLLRGNHEDAKVNRIYGFYDECKRRFNVSLWKLFTNCFNNLPVAALIDEKVLCMHGGLSPDLENVNQIKEIERPTEVPDGGLLCDLLWSDPNPNVEGWADSDRGISCTFGADVVAKFLEKNDLDLICRGHQVVEDGYEFFANRKLVTIFSAPNYGGDFDNAGALLSIDEALVCSFEILKPAAPGGNKVPLKKPQKIGTT >KJB44935 pep chromosome:Graimondii2_0_v6:7:48012498:48013406:-1 gene:B456_007G280400 transcript:KJB44935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLWWAGQVGLQGMETSATSSSPMKKPDLGISMANNGETGSGGTGEEEEDKEHSDEPREGAVEVSTRRPRGRPAGSKNKPKPPIFVTRDSPNALRSHVMEIANGSDVAETLAQFARRRQRGVSVLSGSGTVTNVTLRQPSAPGAVMALHGRFEILSLTGAFLPGPAPPGSTGLTIYLAGGQGQVVGGSVVGSLMASGPVMVIAATFSNATYERLPLEEEEEGAGGAQGQLGGGGGGSGGSPPGIGSGSGSGGHQQGGIGGGGGDAPGLQVYNNLPPNLVPNGGQLSHEAYGWAHGGRPPYQ >KJB42232 pep chromosome:Graimondii2_0_v6:7:11986097:11991815:-1 gene:B456_007G143400 transcript:KJB42232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDCIDSGKSSWAELVGINGEVAAHIIAKENPKVSVQIVKEGMMVTMDFRCDRVRVWVDNYGIVKTTPHIG >KJB46553 pep chromosome:Graimondii2_0_v6:7:60627505:60629625:-1 gene:B456_007G374200 transcript:KJB46553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LHRIFYDGDGFGWTANGLPLGHVIGEPMGRSQWNSSLFAASGRNDKFCSSDIEVCLLGSMVPCVLYGSNVERLESAPATFAHHCLTYSGLYLVGNTLFGWNCPAP >KJB44025 pep chromosome:Graimondii2_0_v6:7:28897213:28898087:-1 gene:B456_007G230500 transcript:KJB44025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGGITNAVNVGIAVQADWENREFISHISLNVRRLFEFLLQFEATTKSKLASLNEKLDTLERRLELLEVQVGTASANPSLFST >KJB44024 pep chromosome:Graimondii2_0_v6:7:28895328:28898021:-1 gene:B456_007G230500 transcript:KJB44024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGGITNAVNVGIAVQADWENREFISHISLNVRRLFEFLLQFEATTKSKLASLNEKLDTLERRLELLEVQVGTASANPSLFSKVNKT >KJB39126 pep chromosome:Graimondii2_0_v6:7:15871138:15871708:-1 gene:B456_007G173000 transcript:KJB39126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRSYDRIDYYGSKYKARRKAEKRKADRRRSREFRRKQREQRSRIAGRRKKKGEGRRFGDEDLGN >KJB39840 pep chromosome:Graimondii2_0_v6:7:2432047:2432985:1 gene:B456_007G035300 transcript:KJB39840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPKACNKKLEGKVAIITGGASGIGEATVHLFVDHGARAIVVADIQDEKGEELAASIRFRCTCTYVHCDITKEQDVELLVQSTIQLFGRLDIMFCNAGIMSKSLQTILDFDLLLFERLFAINVGGVAAGIKHAGRAMVKANTKGSIICTASIAASVGSDRHTDYVMSKNAVLALMRCASTQLGPHGIRVNCVSPGPVATPLLCEAFGMGAEDVETSFARMYWLKNNGVLKVKHVADAVLFLASEESQFITGHNLVVDGRFHPSAP >KJB44399 pep chromosome:Graimondii2_0_v6:7:39493793:39497525:-1 gene:B456_007G250700 transcript:KJB44399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAEGGGEGASLEYTPTWVLAVICTVIIAISLAIERLLHYLGHVLQHKQQKPLYEALLKVKEELMLLGFISLLLTVFQSAISKICMSKKLVKDMLPCKIEEEEEEEGDDDDSNATTSHFQTYFDSTMSGGTRHLLAKASSNSSVIGYCGAKDKVPLLSVEALHHMHIFIFVLAIAHVTFCVLTVSFGGLKIRKWKQWEDEIRKEDEGVLEKKVTHVHQHDFIKDRFLGFGKDSVPLAWLNSFIKQFYASVTKSDYETLRLGFIMTHCRGNPKFNFHKYMIRALEDDFKEVVGISWYLWGFVIVFLLLNVHGWHTYFWIAFIPFILLLAVGTKLEHVITKLAHEVAEKHVAVEGELVVQFGFNSCLMGQVSYIFPRLLIGVFIQVLCSYSTLPLYAIVAQMGSMFKKAIFEDHVQASLLGWAKKVKKKKALKQATELAIESTPSEVSIQVESDDSSPKEDDRKQK >KJB44553 pep chromosome:Graimondii2_0_v6:7:42416764:42418044:1 gene:B456_007G259300 transcript:KJB44553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKLLMLSVVAIFLPAMAMATDYIVGDDSRWTINFDYQAWAKDKVFYVGDKLVFQYPKGYHNVFKVNGTAFKNCDIPPANQALSSGNDTVVLNTPGRKWYICGVSNHCSAYAQKLFITVQYQYGWAPAPTPQILQVSQPWAPTPAPTPSSPSTPAPTPSVPDTIVTDPWASSPISSSPPLPTPLAPSWPPAPSLPTTPAPTPEPWAPTTLSVPETTVTDPWAPAPAPWAPSPVFSPPSLPTPTAPSWPPAPSPYPWI >KJB39646 pep chromosome:Graimondii2_0_v6:7:1661709:1664000:1 gene:B456_007G023300 transcript:KJB39646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKLYADRMSQPVRAVIIFCKKNGIDFEEIRVDIAKRQNLTPEYAEINPMKQLPAIVDGRFKLFESHAILVYLASSFPGVADHWYPADVFKRSKIHLVLDWHHSNLRRGPTTIVRNTVLAPVLGRPLNPEAAAEGEKFLSASLSKIESVWLKGNGRFLLGGNQPSIADLSLVCDIMQLELLGETERNRLLGPYKEVQLWIENTRNATNPHFDEVHKILMKAKEKLQNPRLKGANNEGGESDMKRTLHSRI >KJB41708 pep chromosome:Graimondii2_0_v6:7:9094236:9098181:1 gene:B456_007G116200 transcript:KJB41708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDVTGPITSAVVATSAAAAAPPFGGGAAESQYVAAKTSVWWDIENCQVPKHCDPHAIAQNISSALVKMNYCGPVSISAYGDTNRIPSSVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPMKASAPLVAAAKSVWLWMSLSAGGPPLSRCESTKLANGHNSFNPEMYNPIPEVVQYSQPMVFSSENGTLGNQNVPSSGRNGDSKYKGKYIRKTPYQPSMSRASSAPTTIIQENTNNGHPYHSEYSQAMSFKKAPHEFYGGSDPAVSASKSTPNFFPSNPNHSGSNNGNFMGIHQNHHPHSLRPNNLPLQPVSAQDNLLPPNAQSHGFRPMPPRVEGPRYLAPPNMPDIGKLNFSQQSNYAQKPSNFPHRIGEEFKTSSIDSLPNQGILSVPQKSHVSHNSQASQHISNRYPRGPEFLPPSSSPISNNGTWGAQGRSPPSEYVQGLIGVILLALNTLKNEKIMPTEVNITDCIRYGDPKHRNTDVRRALDSAVEQHMVLKQTLGSMQLYVGRNEKLWKCVNPIGGNPNQYSKTTWEGIQKFLSSPAGRSAMMASQCRYEAALALKKACLEEYALGDALLILNMIISMKKWITLNQSGWQPITVTLPEAESITETGTGTAA >KJB45310 pep chromosome:Graimondii2_0_v6:7:51241869:51243740:-1 gene:B456_007G300300 transcript:KJB45310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYQDLLTGDELLSDSFPYKEIENGMLWEVEGKWVVQGAVNVDIGANPSAEGADDDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTFMKRYIKNLTPKLEPEKQESFKKNIEGATKFLMSKLKDLQFFVGESMHDDGSLVFAYYKDGATDPTFLYFAYGLKEIKC >KJB45472 pep chromosome:Graimondii2_0_v6:7:52173797:52174643:-1 gene:B456_007G308000 transcript:KJB45472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLISSNKSIVVRQVFAEDLDNTEFPGMIFKPNKQVIDKGDPIINYNYMKSNVDALQIIQLGLSLSDARGNLPDFDSPFSYFWEFNFREFDINRGRYASDSIELLIRQGIDFEKNKEKGIDSKYFAKKFWDYGLLFNCYGLKSITWITVHSTYDFGFMQKILTQSPMPLHLHSFVHQLAYFFGYNIFDLEHTFKLLGLLGGLERIAQTLNVAHITRSSHQAGLDSLLTLQCFMKLKSENVFESKWNKTNQMLLPPLVLYGLV >KJB46028 pep chromosome:Graimondii2_0_v6:7:57306253:57309171:1 gene:B456_007G344800 transcript:KJB46028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLSFRVSDAMMFDPCERIKQVSFMFSSWNSRYLMLPTKTSCDLHSTFDVLFVSRFCFVFEFCSYIASLHDPKSRFLIHLRYF >KJB42515 pep chromosome:Graimondii2_0_v6:7:13456098:13457423:1 gene:B456_007G156400 transcript:KJB42515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAAQKQPKDLPSLLFSDFMLFCSFLLSHPLYFSYFIFFSPYLFKVFSFLSPLFVTTALLLLAFLTLTPTFFNHGGAFHLDSSSDSKASFLLTAYRTLVETLRSKVDDADGFGCFEELEAFKIMFETSTTVVETCENPDDVLAVESQGECFRAVEASIDQGPSFENDGSLGMAGTSTRSTALDEKPAEIRRPETNQVKAVVKIFEDFLQEKDGVENLSSKKTDKEVKSLSVESNKGDEQKEEFMRRGSEANKVSDPPKVISADNGFEHAAKAMVNDSPRVRGEWGSKNGDNYNYQTMGSNLGNFGSMRKQKEWKRTLACKLFEERHSHNVADGTEGMDLLWEAYETDSHSHNKVEMRSSSKKGKKGGNNKDYCYDNDNYDEDEYEEESNGQLCCLRALKFSAGKMNLGMGRPNLVKISKALKGIGWLHHVSSRHGKKGYH >KJB45797 pep chromosome:Graimondii2_0_v6:7:54926909:54927498:-1 gene:B456_007G3288002 transcript:KJB45797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGLEIKFETLLSIWTVIDLSSNQFRGEIPKILGELHLLIVLNLSHNCLIGPIPSSLGNLLELESLDLSSNKLEGRIPTELKNLGFLEVLNLSQNNLKGPIPQGKQFDTFTYDSYMGNSDLCGLPLSKNCGTDEETPAKFDRDDDGDELNWKFSILMGYGCGLVLGMSMAYIVFTTGKPWWLIRIVERVRQRFAKR >KJB39919 pep chromosome:Graimondii2_0_v6:7:2618531:2621158:1 gene:B456_007G037900 transcript:KJB39919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEIALSLLLVVLVGITIIQGCNGKAVQFIFGDSLSDNGNNNRLSMTLAKANLPYYGIDFGNGLPNGRFTNGRTVADIIGDSTGLPRPPAFLDPSINEDVILENGVNYASGGGGILNETGTYFIQRLSLWKQIELFHGTTESITKKLGKQASDEFFQEARYVVALGSNDFINNYLMPVYRDSWTYNDETFVEYLMETLEKQLLVLHKLGARKLMVFGLGPMGCIPLQRVLSTTGKCRDRANKLALSFNKAANNLLISLEPKLTNASFKFGDAYDVVDDVIRNPYKYGFTNADSPCCSLGRIRPALTCTPASTLCGDRTKYVFWDEYHPSDSANELIAKELIKKFGFTGVGSPSPAPESDIAPSPSN >KJB42980 pep chromosome:Graimondii2_0_v6:7:16565069:16570477:1 gene:B456_007G178100 transcript:KJB42980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPETSLIYSNLLYKFHYSSPFHNNKPTTLYQNPPRISRIMWRNIAKHAISRTRCSQWFPRTYSFLGVTQDSVFPEKLKFGSFCSSSGFLDMGGRKIGEVLGNEDCMGKPNFGLLRNEIGCRLRGLCPNGYASVAEVASSTDVEDDVSAVEEIKELLNEMKKEHRRENINRRKILQIQETGMGGNKYRMLKSRQVKIETEAWEQAANEYRELLKDMCEQKLAPNLPYMKSLFLGWFEPFRDAIIKEQELYRSGKLRAGYAAYLDQLPADMAAVITMHKLMGLLMTGGEHGCARVVQAACLIGDAIEQEVRIRNFLENTRKKKVDKEDEDEGSESNAAIKEQERLRKKVTNLIKKQKLPAVRQIVKGQDNTKPWGQDAKAKVGCHLIELLMRTAYIQPPADQLADTPPDIRPAFLHSFKTVVKENKKTGRRYGVIECDPLVRKGLERTARHMVIPYMPMLVPPVKWTGYDRGAYLFLPSYIMRTHGAKQQREAVKRTPTNQLEQVFEALDTLGYTKWRINKRVLNVVDRIWTSGGRLADMVDRNDVPFPEKPDTEDEALLRKWKWKVRSVKKENRERHSQRCDIELKLAVARRMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGRSGLNWLKIHLANLFAGGVDKLSLEGRLAFTENHLDDIFDSADRPLEGKRWWLKAEDPFQCLAVCINLTEALRSSSPETFVSHIPVHQVPSFATPSPLPRSLSA >KJB42979 pep chromosome:Graimondii2_0_v6:7:16565003:16575695:1 gene:B456_007G178100 transcript:KJB42979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPETSLIYSNLLYKFHYSSPFHNNKPTTLYQNPPRISRIMWRNIAKHAISRTRCSQWFPRTYSFLGVTQDSVFPEKLKFGSFCSSSGFLDMGGRKIGEVLGNEDCMGKPNFGLLRNEIGCRLRGLCPNGYASVAEVASSTDVEDDVSAVEEIKELLNEMKKEHRRENINRRKILQIQETGMGGNKYRMLKSRQVKIETEAWEQAANEYRELLKDMCEQKLAPNLPYMKSLFLGWFEPFRDAIIKEQELYRSGKLRAGYAAYLDQLPADMAAVITMHKLMGLLMTGGEHGCARVVQAACLIGDAIEQEVRIRNFLENTRKKKVDKEDEDEGSESNAAIKEQERLRKKVTNLIKKQKLPAVRQIVKGQDNTKPWGQDAKAKVGCHLIELLMRTAYIQPPADQLADTPPDIRPAFLHSFKTVVKENKKTGRRYGVIECDPLVRKGLERTARHMVIPYMPMLVPPVKWTGYDRGAYLFLPSYIMRTHGAKQQREAVKRTPTNQLEQVFEALDTLGYTKWRINKRVLNVVDRIWTSGGRLADMVDRNDVPFPEKPDTEDEALLRKWKWKVRSVKKENRERHSQRCDIELKLAVARRMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGRSGLNWLKIHLANLFAGGVDKLSLEGRLAFTENHLDDIFDSADRPLEGKRWWLKAEDPFQCLAVCINLTEALRSSSPETFVSHIPVHQDGSCNGLQHYAALGRDKLGAAAVNLVAGEKPADVYSGIAGRVLDIMRIDAQKDPSVFPDALLAKILVNQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGVITDERELFVASCYAAKTTLTALGEMFQAARAIMIWLGECAKIIASENQPVSWTTPLGLPVVQPYRALGRHLIKTSLQVLTLQRETEKIMVKRQRTAFPPNFVHSLDGSHMMMTAIACKKAGLTFAGVHDSYWTHASDVDKMNKILREKFVELYEKPILENLLESFQQSFPALSFPPLPERGDFDLRDVLDSPYFFN >KJB41856 pep chromosome:Graimondii2_0_v6:7:9947911:9950701:-1 gene:B456_007G124700 transcript:KJB41856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTKKKSDAAAQRAWRLLRLFLLWTRKSGVLRRRLMMELRLVPKFLKGLGHTSPSPPGQIYFKERQLSFDETPIFHVKMHRPASMRFLLPCISPQDVDFDYDFGIDGEDEVYGYDSGIKSDSCEKQGEEQGNDKERKRSACTLTLEEEGIDSKADKFIANFYEQMKLQRQISYLEYTEMLNRGAC >KJB39510 pep chromosome:Graimondii2_0_v6:7:1318813:1319655:-1 gene:B456_007G017300 transcript:KJB39510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWPPQSINKSLPNAKSIFFNLPKPTPCLPHVFLTAISLLFFISSTKRNPSFVKFLPFVSSDRRRFLKIPSMSHSGPPFATPQALSDWLKPRLPSDSLALWGVKPGTKNVHNLWLELSEGETSIVDSSPPLRTVNVVTVRVMGKDDLVLVESRQQLSDGSFRDRFRPLSEKMKPNETVEEAVARAVKEELGSVIDPGSVRIVPGSYIEKLEERNSASYPGLPVRYVLHSVDAWVEGLPEEDFCTNEQEEYQDLNGTMELEKAVSVRKHFWKWVSSDSLRS >KJB45128 pep chromosome:Graimondii2_0_v6:7:49941893:49943196:1 gene:B456_007G291500 transcript:KJB45128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKTLTNFSTYDWLHNGHVTNNNEKMSKSLGNFFTIRHITQRYHPLALRSFLINAHYRSPLNYSVVQLEGASDAIFYIYQTLKDCQNALLQLQEEIPNDGKPARTTPDTKECISKLRNEFQVKMSDDLSTSLILTGAFLEALKLVNNLLTMLKKKQQKQQRLLVIQSLKEIEKEVTKVLDVLGLQPPCSYNEVFCCN >KJB45129 pep chromosome:Graimondii2_0_v6:7:49941893:49943574:1 gene:B456_007G291500 transcript:KJB45129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKTLTNFSTYDWLHNGHVTNNNEKMSKSLGNFFTIRHITQRYHPLALRSFLINAHYRSPLNYSVVQLEGASDAIFYIYQTLKDCQNALLQLQEEIPNDGKPARTTPDTKECISKLRNEFQVKMSDDLSTSLILTGAFLEALKLVNNLLTMLKKKQQKQQRLLVIQSLKEIEKEVTKVLDVLGLQPPCSYNEVLLQLKDKALTRAGLVEDDVIRLINERFEVRRNKDFLKSDQMRAHL >KJB45822 pep chromosome:Graimondii2_0_v6:7:55390126:55394767:1 gene:B456_007G331100 transcript:KJB45822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHWVDSIVLGSSYYFMVLAVFWCNNQVGNAAATRGCDLFKGSWVYDPSYPLYSDWACPFIQREFSCQRNGRLDTLYSQYRWQPLQCSLTRFDALKFLENFRGKSIMFVGDSLSLNQWQSLTCMLYSALPNANFNISRVAAISIFQFQDYEVKVMLDRSLYLVDVVREDIGRVLRLDSIEGGQLWKGIDMLIFNTWHWWYRHGPGQPWDFIEVGGVIMKDMDRMLAFETALKTWAAWVEANIDPSKSLVFFQGISPSHYNSSLWNDPKAKGCLGQEQPLLGSSYPGGVPQALGVLKRVLSTVRKKVKLLDITVLSLLRKDGHPSVYGFGGSTGLDCSHWCLAGVPDTWNEILFNLIF >KJB41233 pep chromosome:Graimondii2_0_v6:7:7061288:7067214:1 gene:B456_007G096000 transcript:KJB41233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGGFLDNSTGGGFGGARMVADIPYSNNMATGATAIAQPRLMSPSLPKNIFNSPGLSLALQPNIDNQGDHGSRIMRESLEGSVGRRSREEEHESRSGSDNMDGASGDDQDAADKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIRDAMRNPICTNCGGPAIIGDISLEEQHLRIENARLKDELDRVCALAGKFLGRPISTLATSIAPPLPNSSLELGVGSNGFGALSTVATTLPLAPDFGGGMSNALIPASRPTTAVTGLDRSVERSMFLELALAAMDELVKMAQTDEPLWIRSLEGGREILNQDEYLRTFTPCIGMKSNGFVTEASRESGMVIINSLALVETLMDSNRWSEMFPCMIARTSTTDVISSGVGGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIETIRETSGAPSFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYEESQVHQLYHPLLRSGMAFGAQRWVATLQRQCECLAILMSSSVPTRDHTGITASGRRSMLKLAQRMTDNFCAGVCASTVHKWNKLNVGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGPTSNGQVNRNGGGGGGAQRVGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCES >KJB45562 pep chromosome:Graimondii2_0_v6:7:52605340:52605967:-1 gene:B456_007G312300 transcript:KJB45562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGSKVRSFLNKLRRSNRLKYYYFRYQRLVEHHLSDEDEDKAPRIYVTAMVGQERKRYDVPLMYVSLPWFQQLMIGAEEERDLGQPIIVDCTPEMFELFLELWSFNWDFDEGNYFTFLKKFEKWSGVRDEYLTSANAAVIQNSNHSSPVSIAES >KJB44215 pep chromosome:Graimondii2_0_v6:7:32887043:32887777:1 gene:B456_007G239500 transcript:KJB44215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSEPFGGKVIVFGVDSTRFQTVNYSLVKSFIWQKIEILMLIKNMRAKEDKVFGEFLLRVGNGIQPTIHDDLILFPEKMVIKYESEKVCEDALIDVFVYLEEFLNTLLPNGLPSHKLVLKANFPIILLRKLDPSNSLCNVTRMVCERFDNYVKHVEIIIGQYAEKQVFFPRIPLSLAENEGYPFSV >KJB41105 pep chromosome:Graimondii2_0_v6:7:6595109:6596879:1 gene:B456_007G090600 transcript:KJB41105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEDNICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWK >KJB41104 pep chromosome:Graimondii2_0_v6:7:6595109:6596507:1 gene:B456_007G090600 transcript:KJB41104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEDNICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWK >KJB41103 pep chromosome:Graimondii2_0_v6:7:6595109:6596835:1 gene:B456_007G090600 transcript:KJB41103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEDNICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWK >KJB41102 pep chromosome:Graimondii2_0_v6:7:6594953:6596882:1 gene:B456_007G090600 transcript:KJB41102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEDNICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >KJB43041 pep chromosome:Graimondii2_0_v6:7:17089595:17094350:-1 gene:B456_007G181000 transcript:KJB43041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIHFPFLSLLLIQCFIIGFSTTATDQSALLQFKAQIEDPRNALGNEWTSTWMSVCNWTGVSCGHHHRRITALDLSNMGIKGTIGPQLGNLSFLVSLNLSGNNFHGELPRELASLRRLKLVDLSNNALSGEIPAWFGNLTALQQLYLGGNRFQGEIPWEIGKLAALEKFGVQNMSLVGQLPSSIFNISSLKEIRVYNNSISGYIPSDMCDHLHHLQVFEISTNKFFGHIPSNIGECKNLQTLSLSLNQLNGFIPSSIGNLTNLEVLYLDGNSLHGEIPWEMGNLRKMEIFVAKGMRLSGRIPPSIFNISSLKRINLHDNFLSGKLPEMGFVPNLEGIYLMNNNLSGNIPSSISNASRLRVLSLNENSFSGLIPHSLANLNLLQVLCFSSNHLTMESQSPTFLSSLSNCKKLRVLDIASNPLNALLPASIFNLSASLETLRAHDCNFKGTIPMEIDGLSNIIRLDLSRNELSGSIPITIGRLQRVQGLFLSGNMLTGSIPYDVCRLEELSTLSLSGNMLQGSLPTCLGDLTSLRSLNLSSNKLHSIIPSTFWSLDYILEVDFSSNYLNGTLPPDIGNLKVLTYLNLSRNQFSSNIPTRIGDLDNLQTLSLSRNRLQGPIPESFGGLTSLVTLDLSNNNLSGIIPKSLERLSYLNHFDVSFNRLGGEIPTEGCFKNFTSKSFVKNYALCGSSKFQVPPCKNCTHRPFKARLIHVLRYGLPILASITWIIALIILCLKRTTSLSTRENLSEILGTNQHNRLVQATDRFSDANLLGSGSFGSVYKGKLSDGTNVAIKVFNLQVERAFRTFDAEFEVMKNILHRNLVKIISYCSCSDFKALVLEFMPNGNLEKWLYSDHYSLDILQRINILIDVASALEYLHSGHPSTIIHCDLKPSNILLDDDMVAHVGDFGIAKLLGEENSMKQTMTLATIGYMAPEYGLAGIISVKSDVYSYGILLMETFTRKKPTNEMFIGETSLKHWVKESLPNGTIDIADSSLLQNEGENSTAKVNCISSILNLALECSAQLPEERKDMEDVVYKLKRIRMKYLKEVQQA >KJB43146 pep chromosome:Graimondii2_0_v6:7:17935523:17936659:-1 gene:B456_007G1867001 transcript:KJB43146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLRDLWRRHRRKVLVTAGVLGSGYLLYKLYDAHKRRLIDLERQLANERENDEFIKAQMQLHFENIQRIADTTTLPHAMPHLSCRIAEDLNLSHLMERLVKGKDQSNSLSSLEKLELWDSLKILSFTRMVVSIWAVTILSLYIRVQVNILGRYLYIDIARGLGSSYLL >KJB45813 pep chromosome:Graimondii2_0_v6:7:55236228:55241641:1 gene:B456_007G330300 transcript:KJB45813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRLLKAQNLSGTLPLNLTSLPYLQEIDLTRNYLNGTIPSEWGSATRLVSISLLGNRLTGSIPRGLANLRNLTSLVLENNRLSGTLPAALGNLPKIERLHLSSNNFTGEIPEMFANLTSLKEFRISDNNFTGQIPDFIFRNWTNLEQIYIEASGLIGPIPSINATLENLEYIIISDLNGANTTFSQLVIDATLPKLDRFMLRSCNLIGEIPASFGTFTSIKILDLSFNRLSGKIPDELSNLDFDNMFLNGNNFNGSVPQWILDTREKVDLSYNNFTNTGVSDCRQNSVNLFSSIARVNNTGIVPCLTSQITCPSELLQFVHINCGGREITVNDTIYEADYDGAGPSTFYRSTNWAFSSTGIFLSDDRPDDILVLDNRQVSVDGDEKQLYESARLAPSSLTYYAFCLANATYSVNLHFAEIQFTNDRNYSSLGRRIFDVYIQGKQELKDFNIEEEAGGAGIPKVKNFTVNVTDSTLEIRFQWAGKGTTSIPERSIYGPLISAISILDPTYKPPSESDGGIATAAVVGIVGGAVFAALLILGILWWKGCLKQKSTLEQDLKGIELQTTSFTLRQIKAATNDFHASNKIGEGGFGPVYKGTLADGTVIAVKQLSARSKQGNREFVTEIGMISALQHPHLVKLYGCCIEGNQLMLIYEYLENNSLARALFGTQESQLTLDWPTRMKICIGIARGLAYLHEESRLKIVHRDIKATNVLLDKNLNPKISDFGLAKLDEEENTHISTRIAGTYGYMAPEYALHGHLTEKADVYSFGIVALEIVSGRCNTKSRPKQEPFILLEWAHVLKENGNLLELVDTRIGSDCNTDEVMAMINIALLCTNPTSSARPLMSSVVSMLEGKAEVHEYLTDSSISSNRQMSAEIMKKLYRKLEEDDTNVSQTRSMLADGPWTNSSTSAADLYPVSLTSGYLQNRDSTN >KJB39478 pep chromosome:Graimondii2_0_v6:7:1227791:1229691:-1 gene:B456_007G015600 transcript:KJB39478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSIECVSSIDEIVEDHEIPHHNIQHHPHPRHAPHHQLSSSKPHHNGTNNVNSISNIVGPTAIAPAASVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKIRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYKLGCPEIFPYYSKLKHEGICIYRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFIRFMGDETEARNYSYSLEVGANGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDANTCIPN >KJB39479 pep chromosome:Graimondii2_0_v6:7:1227560:1229988:-1 gene:B456_007G015600 transcript:KJB39479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSIECVSSIDEIVEDHEIPHHNIQHHPHPRHAPHHQLSSSKPHHNGTNNVNSISNIVGPTAIAPAASVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKIRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYKLGCPEIFPYYSKLKHEGICIYRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTYFCLHFEAFQLGMAPVYMAFIRFMGDETEARNYSYSLEVGANGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDANTCIPN >KJB46129 pep chromosome:Graimondii2_0_v6:7:57957750:57958552:1 gene:B456_007G349500 transcript:KJB46129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADLDTPDQGCLIVVVVLIGRVPSSIDGFGKLHDGAVAFFWPRHFVASRLAGSGYDSPGLSPSLDLIWALVFLILGFLAWFEPLFVFFWA >KJB42938 pep chromosome:Graimondii2_0_v6:7:16420409:16422784:-1 gene:B456_007G176400 transcript:KJB42938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFISVLFLFVLFSYAAAYDPLDPNGNVTIKWDIVSWTPDGYVAVVTMNNFQMYRHIMSPGWTLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNIPHCCKKTPTVVDLLPGVPYNQQFTNCCKGGVLAAWGQDPQSAVSAFQISVGLAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPSTTFLTPDRRRKTQALMTWNVTCTYSQFLARKNPNCCVSFSSFYNETITPCPTCACGCQNKNNCVKSDSKFLKMVGLNTPRKDNAPLLQCTHHMCPVRVHWHVKLNYKEYWRVKVSITNFNYRMNYTLWSLVVQHPNLNNVTQVFSFDYKPLVPYESINDTGMFYGMKYYNDLLMEAGPLGNVQSEVLLRKDKDTFTFKQGWAFPRKVYFNGDECMLPPPDTYPFLPNSAHQHLSFTSFTFIAAMFFLYVTLW >KJB42939 pep chromosome:Graimondii2_0_v6:7:16420609:16422375:-1 gene:B456_007G176400 transcript:KJB42939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFISVLFLFVLFSYAAAYDPLDPNGNVTIKWDIVSWTPDGYVAVVTMNNFQMYRHIMSPGWTLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNIPHCCKKTPTVVDLLPGVPYNQQFTNCCKGGVLAAWGQDPQSAVSAFQISVGLAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPSTTFLTPDRRRKTQALMTWNVTCTYSQFLARKNPNCCVSFSSFYNETITPCPTCACGCQNKNNCVKSDSKFLKMVGLNTPRKDNAPLLQCTHHMCPVRVHWHVKLNYKEYWRVKVSITNFNYRMNYTLWSLVVQHPNLNNVTQVFSFDYKPLVPYESINDTGMFYGMKYYNDLLMEAGPLGNVQSEVLLRKDKDTFTFKQGWAFPRKVYFNGDECMLPPPDTYPFLPNSAHQHLSFTSFTFIAAMFFLYVTLW >KJB42354 pep chromosome:Graimondii2_0_v6:7:12641284:12643514:1 gene:B456_007G149300 transcript:KJB42354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g41080 [Source:Projected from Arabidopsis thaliana (AT2G41080) UniProtKB/Swiss-Prot;Acc:Q8S9M4] MGRGRYCPSSYLRFYSSFRFLSTNAASESAPNFNLEFTDLCSKGLTKEAFDRFYPQIWADPPLFSHLIQSCIPQNSPSLGKQLHSLVITSGSSKDRFISNHLLNMYSKFGNLQAAVSLYNVMVVKNVMSRNILINGHLLVGDLDGAKKLFDEMPERNAATWNALVVGYIQFEFNEEGLNLFREMHVSGFRPDDFTLSSVLRGCAGLKALSVGRQVHCCVMKFGFGIHLVVGSSLAHMYMKCGSLEEGEAVIKSMPIRNMVAWNTLIAGNAQNGYGESVLVLYSMMKMAGFRPDKITFVSVLSSCSELATLGQGQQIHAEVIKTSASSVIGVISTLISMYSRCGCLEDSIKVFMECEVADLVSCSSMIAAYGFHGRGVEAVELFEHMEKEGLEPNDVTFLSLLYACSHCGLKDKGLEFLNLMTEKYGIKPGVQHYTCIVDLLGRSGCLNEAEAMIRSMPVKGDAIIWKTLLSACKIHKNADMARRVAEEVLKLDPQDSASYVLLSNIHASAKRWQEVSVVRKTMRDRRVKKEPGISWLEIKNQVHQFCMSDKAHPQLEEIDLYLKELTAEMKSHGYVPDTSLVLHDMDNEEKEYSLSHHSEKMAIAFALMNTPAGAPIRVMKNLRICSDCHVAIKIISEIKNREIIVRDASRFHHFQNGKCSCRDYW >KJB40610 pep chromosome:Graimondii2_0_v6:7:4999274:5000293:1 gene:B456_007G070900 transcript:KJB40610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIACVTDGLPLAEGLDDGRDLTDAEMYKQQVEALFKNLSKGHNEASRMSVETGPYVFQYPCPSVYFLDAIFFEL >KJB40609 pep chromosome:Graimondii2_0_v6:7:4999274:5000293:1 gene:B456_007G070900 transcript:KJB40609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIACVTDGLPLAEGLDDGRDLTDAEMYKQQVEALFKNLSKGHNEASRMSVETGPYVFQYPCPSVYFLDAIFFEL >KJB43985 pep chromosome:Graimondii2_0_v6:7:28186818:28190654:-1 gene:B456_007G229400 transcript:KJB43985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQKEEMAANGTGVTSPRTPLKSILTEKAKGIPNDSAGTVKAQTIEELHSLQRKRSAPNTPLQGTAGAFANLSEDERQRQQLQSISASLASLTRGTGPKVIRGDPGGGKYQAVSHVAHHHHHIEAPVISVSDSSLKFTHVLYNLSPGELYEQALKYEKGSFLTSTGALATLSGAKTGRSPRDKRVVIDDTTQDELWWGTGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPKNRIKVRIVSCRAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDINLNRKEMVILGTQYAGEMKKGLFSLMHYLMPKRHILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRFLIGDDEHCWSDDCVSNIEGGCYAKCIDLSKEKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEFIPNAKIPCFGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTVDGIKEPTATFSACFGAAFLMLHPTTYAAMLAEKMQKHGATGWLVNTGWCGGSYGSGNRIKLPYTRKIINAIHSGSLLKATYEKTEVFGLEIPNEIEGVPKEILRPENAWADKEAYKNTLLKLAGLFKNNFETFTEYKIGEDKKLTEEILAAGPIF >KJB40168 pep chromosome:Graimondii2_0_v6:7:3461832:3464362:-1 gene:B456_007G049600 transcript:KJB40168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVAMIQSMDPLPMALLFIIPFLLLLGLISRLRRRPFPPGPKGLPLIGNMMMMDQLTHRGLAKLAHKYGGIFHMKMGYLHMVTISNPEMARQVLQVQDNIFSNRPANIAISYLTYDRADMAFANYGPFWRQMRKICVMKVFSRKRAESWESVRDEVESIVKAVAANTGKSINMGELIFNLTMNITYRAAFGSSNKHGQEEFIKILQEFSKLFGAFNIADFIPCMTWFDPQGLTARLKNARGALDKFINTIIDDHIQKRKTNIDNGSPEGDTDMVDDLLAFYSEEAKVNEPEDLQNSINLTKDNIKAIIMDVMFGGTETVASAIEWALTELMKSPEDLKRVQQELAEVVGLDRRVEESDIEKLTYLKCTLKETLRLHPPIPLLLHETAEEAVVAGYQIPAKSRVMINAWAIGRDKGSWEDAESFKPSRFLKEGVPDFKGSNFEFIPFGSGRRSCPGMQLGLYSLDLAVAHLLHCFTWELPDGMKPSELDMSDVFGLTAPRATRLYAVPKKRLICPLN >KJB39040 pep chromosome:Graimondii2_0_v6:7:2480099:2481539:-1 gene:B456_007G036200 transcript:KJB39040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRSRQSASNSRITDDQINDLVSKLHQLLPEIRNSHSDKVSAAKVLQETCNYIRSLHREVDDLSERLSELLATTDNAQAAVIRSLLMQ >KJB41470 pep chromosome:Graimondii2_0_v6:7:7952012:7952994:-1 gene:B456_007G105900 transcript:KJB41470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISFRVLMRVVTIFALVFAIVSPNVEAQSAAPAPSPTSDGTSIDQGIAYVLMLVALVLTYLIHPLDASSYTFF >KJB42975 pep chromosome:Graimondii2_0_v6:7:16542246:16546470:-1 gene:B456_007G177800 transcript:KJB42975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDAAAESSESRLSSSQLNKNKPFHNDESCPKEVLPVRGCGSRNTSPSGRIGSRNTSPSRQKVVKTKPRGLDEETVATFGKAVHPDVQMEDNIWAMLPEDLFNEILARVPPFMIFRLRSVCKRWNSILQDNSFLKFHSEVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFNFLPQWAFWLVGSSGGLVCFSGLDGLIFRALVCNPLTQTWRTLPSMNYNQQRQLIMVVDRTDKSFKVIATSDIYGDKSLPTEVYDSKIDKWTVHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDSGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHAKIMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLIYDVEKKVWSWIAGCALQSYNSQVCFYEPRFDASVH >KJB42976 pep chromosome:Graimondii2_0_v6:7:16542134:16546470:-1 gene:B456_007G177800 transcript:KJB42976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDAAAESSESRLSSSQLNKNKPFHNDESCPKEVLPVRGCGSRNTSPSGRIGSRNTSPSRQKVVKTKPRGLDEETVATFGKAVHPDVQMEDNIWAMLPEDLFNEILARVPPFMIFRLRSVCKRWNSILQDNSFLKFHSEVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFNFLPQWAFWLVGSSGGLVCFSGLDGLIFRALVCNPLTQTWRTLPSMNYNQQRQLIMVVDRTDKSFKVIATSDIYGDKSLPTEVYDSKIDKWTVHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDSGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHAKIMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLIYDVEKKVWSWIAGCALQSYNSQVCFYEPRFDASVH >KJB43904 pep chromosome:Graimondii2_0_v6:7:26575075:26577539:1 gene:B456_007G222800 transcript:KJB43904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNTEQRVEREMGELDTSFSCSSLLCRETEEDACFSETEKEDDRSVYFKSCFVSDNEDEYIELLVQREIEIGFKTSASFSDSKHKSWLECARLDAIQWMFNTRAIFGFQIHTAYLSVIYFDRFLSKRSIDDGKLWAIRLLSVTCLSLAAKMEECSAPAFSEFPIQDYQFENKTIQKMELLVLTTLEWKMSSITPFAYLDYFIHKFYGEYRPKGLVSKIMQLIMSMIKEISLVDHRPSIIAAAAVLAAYDSRLTRKSIDLNVDFISFWGTLENEHIFWSYNMMQEIEMRKSKTPSSVISSNYSSVTYSSLDAIETSSAVSNGAGTKRKLTFNESDRNSPPTKKFYQL >KJB42245 pep chromosome:Graimondii2_0_v6:7:12173338:12175611:-1 gene:B456_007G144700 transcript:KJB42245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGFSLNIVSTVISHSTPTCVSKELAERCSGFVTTIVMQPGSIHAPKFSIIRDLIAFLCLDSSSIVEKAD >KJB41123 pep chromosome:Graimondii2_0_v6:7:6744498:6747159:1 gene:B456_007G092400 transcript:KJB41123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 9 [Source:Projected from Arabidopsis thaliana (AT2G45480) UniProtKB/Swiss-Prot;Acc:Q8S9M3] MFLILGGGGSGAQERLEGKGRTVKMEEEETEKGGSPSIKLGLGIDGTTSEKPVKKHGKCVFTTAQLHDLQLQTLIFKYIAGGIQVPVNLVIPIWKSVASSFGSARGCIYERYPSFVGVSPQALDYRNMADPEPGRCRRTDGKKWRCSKNVIPYQKYCEQHMHRGCRRSRKPVETSQSALPDSTSSKFSIRLSENSKNLPTPVSFQYTNPFSCNTSTSHGTTAIESNNVCSNRNSISINAATSGTIIATMKNDNKNDPKRNKNVTNTSEKGEEKLSVSNNNTIKRSSKSGNKATVGNNISPSVGFSPKSVLQVLGNSSSRAYKNEIELEPGRCRRTDGKRWRCSRDVIPDQKYCARHMHRGARKQTEVSQPVAVPSVGECRPPSRLTTAYKAACPALSTSLSISIPRSQYIAEDEKSTSSSSETTISDTNDYCLRE >KJB41122 pep chromosome:Graimondii2_0_v6:7:6744411:6747176:1 gene:B456_007G092400 transcript:KJB41122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 9 [Source:Projected from Arabidopsis thaliana (AT2G45480) UniProtKB/Swiss-Prot;Acc:Q8S9M3] MDAQPVQTRILPDSGGGGSGAQERLEGKGRTVKMEEEETEKGGSPSIKLGLGIDGTTSEKPVKKHGKCVFTTAQLHDLQLQTLIFKYIAGGIQVPVNLVIPIWKSVASSFGSARGCIYERYPSFVGVSPQALDYRNMADPEPGRCRRTDGKKWRCSKNVIPYQKYCEQHMHRGCRRSRKPVETSQSALPDSTSSKFSIRLSENSKNLPTPVSFQYTNPFSCNTSTSHGTTAIESNNVCSNRNSISINAATSGTIIATMKNDNKNDPKRNKNVTNTSEKGEEKLSVSNNNTIKRSSKSGNKATVGNNISPSVGFSPKSVLQVLGNSSSRAYKNEIELEPGRCRRTDGKRWRCSRDVIPDQKYCARHMHRGARKQTEVSQPVAVPSVGECRPPSRLTTAYKAACPALSTSLSISIPRSQYIAEDEKSTSSSSETTISDTNDYCLRE >KJB41124 pep chromosome:Graimondii2_0_v6:7:6745482:6747159:1 gene:B456_007G092400 transcript:KJB41124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 9 [Source:Projected from Arabidopsis thaliana (AT2G45480) UniProtKB/Swiss-Prot;Acc:Q8S9M3] MLVYMKNVVSVVGVSPQALDYRNMADPEPGRCRRTDGKKWRCSKNVIPYQKYCEQHMHRGCRRSRKPVETSQSALPDSTSSKFSIRLSENSKNLPTPVSFQYTNPFSCNTSTSHGTTAIESNNVCSNRNSISINAATSGTIIATMKNDNKNDPKRNKNVTNTSEKGEEKLSVSNNNTIKRSSKSGNKATVGNNISPSVGFSPKSVLQVLGNSSSRAYKNEIELEPGRCRRTDGKRWRCSRDVIPDQKYCARHMHRGARKQTEVSQPVAVPSVGECRPPSRLTTAYKAACPALSTSLSISIPRSQYIAEDEKSTSSSSETTISDTNDYCLRE >KJB40260 pep chromosome:Graimondii2_0_v6:7:3793489:3795765:-1 gene:B456_007G054500 transcript:KJB40260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSHNFSGNYLVLRPNEVSVLDLFRLLWDHELEKKAFVECPPEKFQENIRRKWLIFMSLSSQKMLLHAAKPLRWIGEKLEMWVNLVSLNDNIFVLFFNLLRGKVKMVDRESEAFVSFIGSLDRRVELDQNIKPGDCRYFGALAAMAAKISYENQAFVERVVRDYWKMEFIGYYCFWNDYQKKSNTQAFMVHDKTTDMIIVAFRGTEPFNADDWSTDLDLSWYELDEMGKIHGGFMKALGLVMEKGWPPHIDDHRRSLAYYTIREKLKQKLNPNNETRFMVAGHSLGGALSILFAAVLALHKETWLLNRMEGVYTFGQPRVGDKKFKEFMELQLRKHGIRYLRYVYCNDMVPRTPTDDLTFLYKHFGACLYFNSCYKGKVLEEQPHKNYISLFVWIPRFLNSGWELVRGFILPLIKGPEYKETWSLIVLRLWGLGFPGLSAHNPHEYVNATRLISRRIYHQLQHHRHPWKTINGYA >KJB45172 pep chromosome:Graimondii2_0_v6:7:50388372:50392353:-1 gene:B456_007G293700 transcript:KJB45172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLKEYLKRYETKNEEEEQKKKRKKKKKTKPESSGVLVVDEDPVWQKPVNLEEDEGNDSPDEKPLVDEDIEVKRMKRLEQIRLRRGYNAIAEDGSGWVSLSPKHANMVDPNSDISPPRRQRTRNDTPSPEPGLRHSNSEIEAKDLSPPRKPRSPGLKSDLSPPRRSRAHNETTLTREDSDLSPPCRKPARADSPEPRLKASRGGSDLSPPRKRRVRNDTPSPEALVNRADGTDLSPPRKRRVRNDTPSPEPLVNRTDGTDLSPPRKRRVRNDTPSPEPLANRAGAGLSPPRKRRARNDTPSPKRRVKPLREGADSDMSPPRQRSRRQRAQSPEPDMPPPRQSHAQTSELVHDSDLSPPRKSRRESTGPASLKQQPKTGLISGSDIREEISKTKKDDWLRFKEMDPSVSGRGAEPVFRDKTKGERISKEEYLKSKRKGGEEKPKEKELEWGKGLAQKREAETRLQDLELEKSKPFARTRDDPELDNMLKDRLRWGDPMAHLVKKKQPELVLKDLGDAEKMKESGFIVPQDIPPHSWIVRKLDAAPNRYGIRPGRHWDGVDRSNGFEKKMFTKLNEKRATEREAYLWSVSDM >KJB45798 pep chromosome:Graimondii2_0_v6:7:54956716:54957954:-1 gene:B456_007G328900 transcript:KJB45798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIPTPPPLLLSYGVSYNNLIGEIPSSICNCTSLMSIFLDENNLEGTIPKCIGNLSSSLTAVDLGNNNFHGQIPENFATGCMLRSLHINNNELEGSLPRSLGNCKGLNLLNVGNNNLNDTFPSWLGNLDQLQVLILRSNRFYGQIESFDTTVSLTKLRIVDLSHNNFSGYLPTPFFEHMHAIRDEYGKKVEPNYMREVKITKDAIGLVNYAYGLSVTAKGLEIKFETLLSIWTVIDLSSNQFRGEIPKILGELHLLIVLNLSHNCLIGPIPSSLGNLSELESLDLSSNKLEGRIPIELKNLGFLEVLNLSQNSLKGPIPQGKQFDTFTNDSYIGNLDLCGLPLSKNCGTDEETPAKFDRDDDGDELNWKFSILMGYGCGLVLGMSMAYIVFTTGKPWWLIRIVERVRQIFAKR >KJB39717 pep chromosome:Graimondii2_0_v6:7:1859642:1860399:1 gene:B456_007G0270001 transcript:KJB39717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRLKCEGWRSIFCNPEKAAFMGNAPLNLLDVPFQNKRYSPITFAVKAMGLFIGLGYSSYAYSSILSIPITTYSFVPQLALLNGLNIFPKVSEPWFLLYVLVFLGAYGQDFLEHCVGGGTIQRWWSDQRLWMIKGLSCFLFGLTEFLLKSIGIPTQGFNVTRKVVDDEQRKRYVQGLFEFGVSSAMFVPLTMAAIVNLFSFTYGLIHFVNGSNKEMGLMPLLLSASFPLLKQLWYWLFIQ >KJB40301 pep chromosome:Graimondii2_0_v6:7:3964037:3965404:-1 gene:B456_007G056200 transcript:KJB40301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFTGTPSHFLHQIAPFSIPTMNKNQIHQARPWPGFPTSKGLASFGDANCMEQLLVHCANAIESNDATLAQQILWVLNNIAPPDGDSNQRLTSAFLQALIVRAAKSGSCKMLAAMANAHRNLSVETHTFSVIELANFVDLTPWHRFGFTTANAAILEAVEGYSVIHIVDLSSTYCMQIPTLIDAIANRFEGPPLVKLTVPGVPKDVPPLLDLTYEELGSKLVNFARSRNVMLEFRAVPSTHADGFASLIDQLRLQHSVYAEAGEALVINCHMMLHYIPEETSSPLPNTNSNPYTFQPTLNPSLRTMFLKALRGLNPTIAILVDEDADLTSNNLVCRLRSAFNYLWIPYDTVDTFLPIGSKQRQWYEANICWKVENVIAHEGLQRVERVESKSRWVQRMRNAQFRGVSFGDETVSEVKTMLDEHAAGWGLKKEDDDLVLTWKGHNVVFATAWVPG >KJB41167 pep chromosome:Graimondii2_0_v6:7:6830199:6832689:1 gene:B456_007G093400 transcript:KJB41167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPGERSNFLPTSGTKNQHQPSALDASILGSESDMPSQFIWPHHERPCLEAPQLACKKHGVFMVVNHGVDPGLVDRAHRCMDFFSMQLCEKQKAQRKIGENSGYSSGFVGSPNIVEQYFVSVMGQDFRHFGKVYQEYCEAMNKLSMEVMELLGLSLVLGRAYLRDFFQGNDSILRLNHYPPCPKPDLALGTGPHADPTALTILDQDQVGGLQVFADHQWHSLTPIPGAFVALCYKSCLHRPVVNNERARRSIAFFVCTKMNKTVTPATALVNVENPRIYPDFKWATFLEFTQKHYKVNMKTLEAFSNWLQK >KJB46127 pep chromosome:Graimondii2_0_v6:7:57944764:57948953:1 gene:B456_007G349300 transcript:KJB46127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEGGNAGDSSSSEEESNGTQQELDESQTSMGQRSEEIIERTTTHPSLPNQASQQPIISPSIYNSSTKAMEWSHDERIQSHNHEQNNVVEQLFLSQAMEAPTQGSGNLMKNNTIRASNGYHLPTSNLPPQFRLDFSSRQSEQGNWSGSSLANTNWMDWHQFPHTHEPTIDLGPHGFGFQALLNQAIASDTCHRNDHDRPIQQMKMSLQEDPNLHFMDWMSLKEGNQHQDIGLPAASGSRSKFIMNKVYDPSYEAMGLPVDPHLRMFQAKYGNAAENKDKNKDKENEEHR >KJB42200 pep chromosome:Graimondii2_0_v6:7:11830664:11833262:1 gene:B456_007G141900 transcript:KJB42200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGVKMGMKGGGDEVGGKEKEKMGYEETELRLGLPGDGEVVRKRDFSHTVDLKLNLISTSPTDDKDKNIVPSHLDPAKPPPAKAQVVGWPPVRSFRKNMLATQKSSASEDSGEKAAFVKVSMDGAPYLRKVDLRMYKTYQQLSDALAKMFSSFTIGNCGSQGLLKDFMNESKLMDLLNGSDYVPTYEDKDGDWMLVGDVPWEMFIESCKRLRIMKGTEAIGLAPRAMEKCKNRS >KJB41730 pep chromosome:Graimondii2_0_v6:7:9168972:9172053:-1 gene:B456_007G117200 transcript:KJB41730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQLRRELRFLHSGDFPIQDSGEPDGALDDSGDHVKPLIKEMDFFPNHNQSHDRHQGRKIDNNNNPLSSLLDSEVNIGLNLLSSSSGVSRIANEEKPKAEISHAKIALERLHEENRRLRCTLGQITKSYKELQGQLFMAVQKLALGNQTEKKDAVNGTSSPIMSVPQFMDPRPSAALDVNEPSASDDRTQDLSASPGKNMEVVSKEGEHQIPGKHASVEDGSDQTSQSWRPTKCPKLDHSNKEEQVSEVPFRKARVSIRARSEAPLISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDKSILITTYEGNHNHPLPPPATALANTTSAAAAMLLSGSTTSKDGLSLPSFSYFPSLPYASTMATSAAPFPIVTLDLTQGPNTVPFLRPPPSAATFPLSVHGYPQILGHSMLAPPKLSAVSAMQLWQRPVSMVETVTAAIASDPNFTTALAAAISTIIGAPKSNNGGNNNSSNGVATLPASPQLPQSCTTFSAN >KJB45473 pep chromosome:Graimondii2_0_v6:7:52175153:52178741:-1 gene:B456_007G308100 transcript:KJB45473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAIHFFRKSTMKVPSRVLSLRNASFLGFARSFNPSVFERKLCCKKKDFLLNFNQVTQSHHACPFRFLCFQRIVNDAQKLRCLPSSSFVQSRAVSGPYDVSTEARVASTVRGLSTRGNDIHVTPLVVGGNVAVAEGENNVKKNGVSAKSEVEKEAWRLLKDAVVTYCGSPVGTVAANNPGDKQPLNYDQVFIRDFVPSALAFLLRGEGEIVENFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLEDNKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYSLQERVDVQTGIRLILNLCLADGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFCSALRCSREILTANGSSKNLVSAINNRLSALSFHVREYCWVDMKKVNEIYRYKTEEYSMDATNKFNIYPEQIPSWLMDWIPEKGGYLLGNLQPAHMDFRFFTLGNLWSIVSSLGTPKQNEAILNLIEAKWDDIAGHMPFKICYPALENEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRLELAQKAVSLAEKRLSIDRWPEYYDTRRGKFIGKQSRLYQTWTIAGFLTSKMMVENPQMASLLYWEEDYELLDICVCALNKSGRKNCSRGAAKSQILV >KJB42569 pep chromosome:Graimondii2_0_v6:7:13781969:13784267:1 gene:B456_007G157900 transcript:KJB42569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTGVLSSDPTLRARAAELKKELQRLVKIIVDDEDCSVQTIDQAKHALCALRELKFNTRSSATTMTSSLKLHEQLPCPQEFICPLSKKLMRDPVVLSSGQTYERPFIEKWLKAGNRTCPQTQQVLSHTVLTPNHLVSEMISQWCKSQGIELPDPVQNRIQEGVTEAERLHFFSLLDKLSAAALPQQKEAAKELRLLTKNMPSFRSLFGESEDAIPQLLTPLSGTKSRSGVLHTDLQEDVITTLLNLSIHDSNKKLVAETPKVIPLLMEALRFGTIETKSNAAAAIFTLSALDSNKTLIGKSGALKPLIDLLDEGHPLAMKDVASAIFNLCIIHENKARAVRDGAVSVILKKIMDGVLVNELLAILAMLSTHQRAVEEMGELGAVPCLIRIVRESTCERNKENCIAVLHTVCLNDRTKLKALREEENSHGTISKLALDGTSRAKRKANCILDRLRKGVNITHTA >KJB43732 pep chromosome:Graimondii2_0_v6:7:23456248:23458246:-1 gene:B456_007G214000 transcript:KJB43732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHLSKTDSEVSSLSQSSPARSDRRQVYYVQSPSRDSHDGEKTTNSFHSTPVLSPMGSPPHSHSNSSLGPHSSRESSSTRLSGSMKPQRKHDGSRKGRKPWKEFDAIEEEGLLDGDGAHQDFHRRRCYFLAFVVGFFVLFTTFSLILWGASRPQKPKITMKSISFNEFRVHAGTDSSGVSTEMVTMNCTVKLTFRNTATFFGVHVTSTPLDLSYSQLTVATGTMRKFYQSRKSQRALTVVMLGSQIPLYGGGASLGSLNGAPTQPVPLTLNFIVRSRAYVLGKLVKPKFYKRIECLVTMNPIKMSRAISLKNKCIYS >KJB43846 pep chromosome:Graimondii2_0_v6:7:25151929:25153614:-1 gene:B456_007G219400 transcript:KJB43846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLSTPLSHNSLSSPELSTKRHGCPLHQPMYLSESPVLLLELRTVACGFLAVCTVATASPVIAANQRLPPLSTEPNRCERAFVGNTIGQENGVYDKPLDLRFCNYTNEKLNLKGKSIAAALMSDARFDGADMSEAVMSKAYAVGASFKGTDFSNAVLDRVDFRKANLQGAIFKNTVLSGSTFDNAQLEDAVFEDTIIGYIDIQKLCTNTSISAEGRVELGCR >KJB45727 pep chromosome:Graimondii2_0_v6:7:54137383:54140068:1 gene:B456_007G323400 transcript:KJB45727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMMVLVFLSDIFFVLLTVPTIVMASEKEIKEIYENGMNILEDLTNNVQEIQEQLLEEILKRNAGTEYLSRFFPNGQADNQSFKTNVPIITYEDIQPYIDRIANGETSSILLAYRIIQFLLSTGTSGGQPKLIPMTAESFEKRMSDLLLSDLVTRKCFSGSDEGKSLYLYFIKPEMETPSGLKASFFTTFYFKTESFKNGLAKFCTSPIDTILCLDNKQSMFCQLLTGLLQRDEVVRFGSTFASVLARTIKFLEDYWRELCSNIRTGYLSDWIIDPGCKNAMSLILTRPNRELANSIQQICEDKSWEGIIVKLWPKIKYIHCIITGSMSQYVSLLEFYGGGIPLVSPIYNSSESSFGINLKPLSKPFDVSYTFLPNTAYFEFLPVSKDGEGKAQETWTDDEPVDLANVKLGRYYEVVVTTLAGLYRYTVGDVLKVTGFYNKSPQFQFVERRNVVLSIDVDKTTEEDLSKAIMKAKLILEPLGIMLTTYSSYADTSLTPGRYVLFWELKMKGSNDLPKLDAKIMEQCCCMVEESFDFTYKSHRKGGAISALEVRVVKHGTFDELMDFYVSKGVSVAQYKPPSCLKSEEAVMILNSGMVGKFFSPKTMF >KJB40435 pep chromosome:Graimondii2_0_v6:7:4430074:4430655:-1 gene:B456_007G063200 transcript:KJB40435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESKSCLVKSQKSSSKLDSDMAAAAEQLIQLSDEDNNNSSSCTTTTTKTKMIQGKRSCEDITCAKIEEIFGKEDEILRPVHKKQKYKSLDRIYKETKPIKVSYGNNLWY >KJB38989 pep chromosome:Graimondii2_0_v6:7:20605344:20610026:-1 gene:B456_007G202200 transcript:KJB38989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFALKMVEDPHIHNQLICKIYGIFNWIGFSPINQNPAGLLHQILEDLPAMILIICGSFFFIVFPLYDTQIATSVFSTLYYSNQDMD >KJB38994 pep chromosome:Graimondii2_0_v6:7:35316761:35327438:-1 gene:B456_007G242400 transcript:KJB38994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNGDAKLQDSEPPTPHSVIKMGLRDRTSSMEDPDGTLASVAQCIEQLRQSSSSVQEKENSLRQLLELIDTREHAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWKLLKNGLKTGELVDNLLTGALKNLSSSTEGFWSATVQAGGVDILVKLLITGQSSTQANVCFLLACMMMEDASVCSKILAAEATKQLLKLLGPGNEAPVRAEAAGALKSLSAQCKEARKEIANSNGIPALINATIAPSKEFMQGEYAQALQENAMCALAIISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYNGNAESTRASDPLVIEQTLVNQFQPRLPFLVKERTIEALASLYGNSILSLKLANSDAKRLLVGLITMTTNELQEELIRALLALCNNEGSLWCALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSVKAKEDSALILKNLCNHSEDICACVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIHKSDTATISQLSALLTSDLPESKVYVLDALRSMLSVVPFQDISREGSAANDAIETMIKILSSSKEETQAKSASALAGIFETRMDLRESNIAVKTLGSVMKLLNVESENILLESCRCLAAIFLSIKENRDVAAFARDAMSPLVSLSDSSVLEVAEQAVCALANLMLDAEISEIAITEQIILPSTRVLHEGTVSGKTHAAAAIARLLHSRRVDYAITDCVNRAGSVLALVSFLGSSSGGSAAIGEALDALAILSRSESASGQIKPTWAVLAEFPENISPIVSSIADATPFLQDKAIEILSRLCHDQPVVLGETVASSSECIPSIARRVINSTNLKVKIGGTALLICAAKVDHHKMVEDLNQLNSSIYLIESLVTMLGSRETSLANPPDDDQDAISICRHAKGEDRNWESDTGTSVISGANLAIWLLSVLACHDEKSKITIMEAGAVEVVTERISQRSSQYAQIDFKEDNSIWICALLLAILFQDRDIIRAHGTMKSIPVLGNLVKSEVPANRYFAAQAMASLVCNGSRGTLLSVANSGAAGGLISLLGGADADIQELLELSEEFALIRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLSLGLLIQLAKDSPSNKIVMVESGALEALTKYLSLSPQDATEEAATDLLGILFSSAEIRRHEAAFGAVGQLVAVLRLGGRAARLSAAKALESLFSADHIRNAETARQAVQPLVEILNTGMEREQHAAIAALVRLLSENPSRALAAADVEMNAVDVLCRILSTNCSMELKGDAAELCCVLFANTRIRSTMAAARCVEPLVSLLVTEFSSAHHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGKNYMLHEAVSRALVKLGKDRPACKMEMVKAGVIESVLDILHEAPDFLCAAFAELLRILTNNATIAKGPSAAKVVEPLFQLLSRSEFGPDGQHSALQVLVNILEHPQCRADYTLTSHQAIEPLIPLLDSPAPAVQQLAAELLSHLLLEERLQKDAVIQQVISPLIRILGSGIHILQQRAVKALVSIALIWPNEIAKEGGVSELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLHSGSETTVIGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKVTKTAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEALARSADAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSIQAAMFVKLLFSNNTIQEYASSETVRAITAAIEKDLWASGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDGLFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKIVSTGPNPEWDESFAWTFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGSVAGEYTLLPQSKSGPSRNLEIEFQWSNK >KJB38996 pep chromosome:Graimondii2_0_v6:7:35316761:35327490:-1 gene:B456_007G242400 transcript:KJB38996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNGDAKLQDSEPPTPHSVIKMGLRDRTSSMEDPDGTLASVAQCIEQLRQSSSSVQEKENSLRQLLELIDTREHAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWKLLKNGLKTGELVDNLLTGALKNLSSSTEGFWSATVQAGGVDILVKLLITGQSSTQANVCFLLACMMMEDASVCSKILAAEATKQLLKLLGPGNEAPVRAEAAGALKSLSAQCKEARKEIANSNGIPALINATIAPSKEFMQGEYAQALQENAMCALAIISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYNGNAESTRASDPLVIEQTLVNQFQPRLPFLVKERTIEALASLYGNSILSLKLANSDAKRLLVGLITMTTNELQEELIRALLALCNNEGSLWCALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSVKAKEDSALILKNLCNHSEDICACVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIHKSDTATISQLSALLTSDLPESKVYVLDALRSMLSVVPFQDISREGSAANDAIETMIKILSSSKEETQAKSASALAGIFETRMDLRESNIAVKTLGSVMKLLNVESENILLESCRCLAAIFLSIKENRDVAAFARDAMSPLVSLSDSSVLEVAEQAVCALANLMLDAEISEIAITEQIILPSTRVLHEGTVSGKTHAAAAIARLLHSRRVDYAITDCVNRAGSVLALVSFLGSSSGGSAAIGEALDALAILSRSESASGQIKPTWAVLAEFPENISPIVSSIADATPFLQDKAIEILSRLCHDQPVVLGETVASSSECIPSIARRVINSTNLKVKIGGTALLICAAKVDHHKMVEDLNQLNSSIYLIESLVTMLGSRETSLANPPDDDQDAISICRHAKGEDRNWESDTGTSVISGANLAIWLLSVLACHDEKSKITIMEAGAVEVVTERISQRSSQYAQIDFKEDNSIWICALLLAILFQDRDIIRAHGTMKSIPVLGNLVKSEVPANRYFAAQAMASLVCNGSRGTLLSVANSGAAGGLISLLGGADADIQELLELSEEFALIRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLSLGLLIQLAKDSPSNKIVMVESGALEALTKYLSLSPQDATEEAATDLLGILFSSAEIRRHEAAFGAVGQLVAVLRLGGRAARLSAAKALESLFSADHIRNAETARQAVQPLVEILNTGMEREQHAAIAALVRLLSENPSRALAAADVEMNAVDVLCRILSTNCSMELKGDAAELCCVLFANTRIRSTMAAARCVEPLVSLLVTEFSSAHHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGKNYMLHEAVSRALVKLGKDRPACKMEMVKAGVIESVLDILHEAPDFLCAAFAELLRILTNNATIAKGPSAAKVVEPLFQLLSRSEFGPDGQHSALQVLVNILEHPQCRADYTLTSHQAIEPLIPLLDSPAPAVQQLAAELLSHLLLEERLQKDAVIQQVISPLIRILGSGIHILQQRAVKALVSIALIWPNEIAKEGGVSELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLHSGSETTVIGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKVTKTAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEALARSADAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSIQAAMFVKLLFSNNTIQEYASSETVRAITAAIEKDLWASGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDGLFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKIVSTGPNPEWDESFAWTFESPPKGQKLHISCKNKSKMGKVIPLEKLQSKLIEL >KJB38995 pep chromosome:Graimondii2_0_v6:7:35316657:35327490:-1 gene:B456_007G242400 transcript:KJB38995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNGDAKLQDSEPPTPHSVIKMGLRDRTSSMEDPDGTLASVAQCIEQLRQSSSSVQEKENSLRQLLELIDTREHAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWKLLKNGLKTGELVDNLLTGALKNLSSSTEGFWSATVQAGGVDILVKLLITGQSSTQANVCFLLACMMMEDASVCSKILAAEATKQLLKLLGPGNEAPVRAEAAGALKSLSAQCKEARKEIANSNGIPALINATIAPSKEFMQGEYAQALQENAMCALAIISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYNGNAESTRASDPLVIEQTLVNQFQPRLPFLVKERTIEALASLYGNSILSLKLANSDAKRLLVGLITMTTNELQEELIRALLALCNNEGSLWCALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSVKAKEDSALILKNLCNHSEDICACVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIHKSDTATISQLSALLTSDLPESKVYVLDALRSMLSVVPFQDISREGSAANDAIETMIKILSSSKEETQAKSASALAGIFETRMDLRESNIAVKTLGSVMKLLNVESENILLESCRCLAAIFLSIKENRDVAAFARDAMSPLVSLSDSSVLEVAEQAVCALANLMLDAEISEIAITEQIILPSTRVLHEGTVSGKTHAAAAIARLLHSRRVDYAITDCVNRAGSVLALVSFLGSSSGGSAAIGEALDALAILSRSESASGQIKPTWAVLAEFPENISPIVSSIADATPFLQDKAIEILSRLCHDQPVVLGETVASSSECIPSIARRVINSTNLKVKIGGTALLICAAKVDHHKMVEDLNQLNSSIYLIESLVTMLGSRETSLANPPDDDQDAISICRHAKGEDRNWESDTGTSVISGANLAIWLLSVLACHDEKSKITIMEAGAVEVVTERISQRSSQYAQIDFKEDNSIWICALLLAILFQDRDIIRAHGTMKSIPVLGNLVKSEVPANRYFAAQAMASLVCNGSRGTLLSVANSGAAGGLISLLGGADADIQELLELSEEFALIRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLSLGLLIQLAKDSPSNKIVMVESGALEALTKYLSLSPQDATEEAATDLLGILFSSAEIRRHEAAFGAVGQLVAVLRLGGRAARLSAAKALESLFSADHIRNAETARQAVQPLVEILNTGMEREQHAAIAALVRLLSENPSRALAAADVEMNAVDVLCRILSTNCSMELKGDAAELCCVLFANTRIRSTMAAARCVEPLVSLLVTEFSSAHHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGKNYMLHEAVSRALVKLGKDRPACKMEMVKAGVIESVLDILHEAPDFLCAAFAELLRILTNNATIAKGPSAAKVVEPLFQLLSRSEFGPDGQHSALQVLVNILEHPQCRADYTLTSHQAIEPLIPLLDSPAPAVQQLAAELLSHLLLEERLQKDAVIQQVISPLIRILGSGIHILQQRAVKALVSIALIWPNEIAKEGGVSELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLHSGSETTVIGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKVTKTAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEALARSADAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSIQAAMFVKLLFSNNTIQEYASSETVRAITAAIEKDLWASGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDGLFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKIVSTGPNPEWDESFAWTFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGSVAGEYTLLPQSKSGPSRNLEIEFQWSNK >KJB42736 pep chromosome:Graimondii2_0_v6:7:14704149:14707154:1 gene:B456_007G166400 transcript:KJB42736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g09950 [Source:Projected from Arabidopsis thaliana (AT5G09950) UniProtKB/Swiss-Prot;Acc:Q9FIB2] MISMYGSCLGSIADARRIFDDIQAKNSISWNSVISVYSQTGDPVSAFKLFTRMQTECIGSSFNPDEYTFGSLITAACSSINFGLCLLEQMLSTITKSGFLSDLYVGSALVNGFASFGLTNYATKIFGQMSSRNVVSMNGLMVGLVRQKCGEEAAKVFMEMMNLVDVNFDSYSILLSSFSEFSELEHGRRKGREVHSYLIRRGLDDTVVPLGNGLIKMYTRCGDITAATSVFRLMVNKDLVSWNTMISGLDQNQCFEDAVSTFYGMRRTGLMPSNYTVISALSSCASLGWRINGQQIHGEALKLGLDVDVSVSNALLALYATIGGPPECKNIFSLMLDHDLVSWNSVIGALADSESSVPEAVKCFLDMMYYGWVPNKITFINILVAASSLSLSKLNHQIHALVIKHCLANDRSIENALLACYGKCGEMDECEKIFSRMSERRDEASWNSMISGYIHNELLDKAVHLAWFMMQKGQKLDGFTFATVLSACASVATLEHGMEVHACSIRACLESDVVVGSAIIDMYSKCGRIDYASRFFNMMPVRNVYSWNSMISGYARHGHGDKALELFMRMKLDGQLPDHVTFVGVLSACSHVGLVDEGFSHFSSMKEVYGLAPKMEHFSCMVDLLGRAGELDKIEDFINTMPMKPNVLIWRTVLGACCRTNGQKTELGRKAAEMLFELEPQNAANYVLLANMYASGGNWDGVAEARVAMKKAAAKKEAGCSWVKMKDGVHVFVAGDKSHPDNDMIYAKLKELNRKMRDAGYVPETRFALYDLEAESKEEILSYHSEKLAVAFVLTRNSRLPIRIMKNLRVCGDCHMAFKYISKIVGRVIILRDSNRFHHFDEGKCSCGDYW >KJB40332 pep chromosome:Graimondii2_0_v6:7:4165143:4165881:-1 gene:B456_007G058500 transcript:KJB40332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFCVDVYQGSYHNGNKIVLSECLENQAGQLWTLKTDDNTIRSGGKCLTTYGYSLKDYVMIYDCDSYGNIRNPKSGLVLTASRYSSTMINLVVDRNIYSSTQAWFVSNNTKPTVTTIVGYNGLCLLASRSRVWLEKCVKNDDEQLWAIYPDGTIRPKKNRNGCLKCAYPGGYSVTVGTCEGWVEERWQFQSDGTVLHVVNEQVMDVKDTSASLPEITVNDYDSQRLSQIWFQVQP >KJB44002 pep chromosome:Graimondii2_0_v6:7:28905827:28906916:-1 gene:B456_007G230600 transcript:KJB44002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKNPPPWSTTQTKAIQILKEKLQHLPALQIPYDGKRILQTDASDKYWGAILFKELEGKRHIREFKSGRFIDAELHYHSTFKEILAVKERPTKEVMALRTSQPRPIMMYRTVALSSSKNSVQAFLIPPNLNPEFPSEVMRLFEEKTFHQKTRDMMFEYQLQVFKNFGGLVLKPFVPEELKWFLWYFTRLYHVSIQFSVLDLKYFLCNAVHGNIQPKYQKNFTFLSWFHPFDQWLNMITNEQMRTPRHKAVIIFYIPQYFVQCGRATQLGSRLDS >KJB41595 pep chromosome:Graimondii2_0_v6:7:8453352:8454797:-1 gene:B456_007G110900 transcript:KJB41595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILYNLFFLLFSLLVTCILLFLLRVVLIKTGLIYIVKKKWRSIEDCFHVYQFFKVPEFNEGMQRNQLYHKVLVYLNSLTFIEDSDFTNLFTGKKPNEIVLHLDPNQIIEDDFLGAKISWINEDKTLVLKIRKADKRRVLRPYLQHIHSVSDEFDDKKRGLKLYVNVVDHQGDRKERWRSVPFTHPSSFETIAMESDLKNKVKSDLYSFAKAKQYYHRLGRVWKRSYLLYGPSGTGKSSFIAAMANFLSYDVYDLDLSKVSDDSDLKFLLLQTTTKSLVVIEDLDRYLSEKSTAVSLPGILSFMDGILSSSCGEERIMVFTMNRKDHVDPAILRPGRIDVHIHFPLCNFTAFKTLANSYLGLKDHKLFPQVEEIFQKGASLSPAEIGELMIANRNSPSRALKTVINALQTDGDGRGALNLGRGSCENGSRKPVEEIGEPSGVFCKEGANAVKELKKLYGFLRVKSCRKSQSFDLNSRQNEG >KJB40602 pep chromosome:Graimondii2_0_v6:7:4986178:4991364:-1 gene:B456_007G070700 transcript:KJB40602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKSYQNQAELLVKEYLLADSVIPYTSVICGICACKMVYDFTQLFSNVYFKSYPGLSKLQRTEWSNRSISTFHAIFIAIMSLYFVFWSNLYSDHEYAGPITFRSSALSTFTLGVSLGYFLSDLAMIIWFYPFLGGMEYVLHHLLSVAGIANPMLTGEGQVYTFMVLISESTTPGINLRWYLDTAGMKRSRAYLINGVVMFVAWLVARILLFMYLFYHIYLHYDQVKQVNSLGQLLISIVPLVLSVMNLMWFGKIIKGLMKTLAKRH >KJB40601 pep chromosome:Graimondii2_0_v6:7:4986178:4989702:-1 gene:B456_007G070700 transcript:KJB40601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYFVFWSNLYSDHEYAGPITFRSSALSTFTLGVSLGYFLSDLAMIIWFYPFLGGMEYVLHHLLSVAGIANPMLTGEGQVYTFMVLISESTTPGINLRWYLDTAGMKRSRAYLINGVVMFVAWLVARILLFMYLFYHIYLHYDQVKQVNSLGQLLISIVPLVLSVMNLMWFGKIIKGLMKTLAKRH >KJB40600 pep chromosome:Graimondii2_0_v6:7:4986178:4987931:-1 gene:B456_007G070700 transcript:KJB40600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKREIYFCKFILQKLFIFGQVSLGYFLSDLAMIIWFYPFLGGMEYVLHHLLSVAGIANPMLTGEGQVYTFMVLISESTTPGINLRWYLDTAGMKRSRAYLINGVVMFVAWLVARILLFMYLFYHIYLHYDQVKQVNSLGQLLISIVPLVLSVMNLMWFGKIIKGLMKTLAKRH >KJB40599 pep chromosome:Graimondii2_0_v6:7:4986106:4991538:-1 gene:B456_007G070700 transcript:KJB40599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKSYQNQAELLVKEYLLADSVIPYTSVICGICACKMVYDFTQLFSNVYFKSYPGLSKLQRTEWSNRSISTFHAIFIAIMSLYFVFWSNLYSDHEYAGPITFRSSALSTFTLGVSLGYFLSDLAMIIWFYPFLGGMEYVLHHLLSVAGIANPMLTGEGQVYTFMVLISESTTPGINLRWYLDTAGMKRSRAYLINGVVMFVAWLVARILLFMYLFYHIYLHYDQVKQVNSLGQLLISIVPLVLSVMNLMWFGKIIKGLMKTLAKRH >KJB42099 pep chromosome:Graimondii2_0_v6:7:11207483:11210176:-1 gene:B456_007G137100 transcript:KJB42099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKVTLEIFTKLEQKWLSHCESTTKKVRILSIDGGGTSGIVSGAALIHLEDQIRLKAGDPHAQIADFFDMIAGTGVGALIAAMLSADDGTGHPIFSARDAVKFITQNNSKLFKVNRLARVLHRRKRFSGKSMDKVLKEMFKREDGTVLTLKDMCKHLLIPCFDLKSCAPFVFSRADASESSSFNFDLWKVCRATSATPSLFKPFPLTSVDGKTTCSAVDGGLVMNNPTAAAITHVLHNKRDFPSVNGVEDLLVLSLGSGPSSCGKSKVSNNGECSTSSVVDIVLEGVSETVDQMLGNAFCWNRADYVRIQANGLGSDRMVESRMEEVLEERGVESLPFGGKRLLMETNGQRIECFVQRLVASGKTSLPPSPCKESAVSPLANGR >KJB40206 pep chromosome:Graimondii2_0_v6:7:3593140:3594888:-1 gene:B456_007G051000 transcript:KJB40206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESGENKNSFNRYACLCAIIASIISIIFGYDTGVMSGAMIFIKEDLKISDVQVEILAGILNICALVGSLAAGRTSDYIGRRYTIVLASIIFLIGAVLMGYAPNYAILMTGRCTAGVGVGFALMIAPVYSAEISSPSTRGFLTSLPELCISFGILLGYTSNYLFGKLTLRLGWRMMLGVAAVPSLALAFGILKMPESPRWLVLEGRLKEAKKILLLISNSEEEAESRFHDIKIAAGIDPDCVEEVVKPPNIHHGQGVWKDLFIRPTPAVRRILIAAIGIHFFEHATGIEAVVLYSPRIFHKAGVTSKNKLLLATVGVGLTKTTFILIATVFLDKVGRRRLLLASTAGLVVSLSGLGFALTMVELNPGERLVWALCLSIIFTYLYVAFFSIGLGPITWVYSSEIFPLRLRAQGASIGVAVNRLMNAIVSMSFISIYKAITIGGAFFMFAGVSLVAWWFFFFLLPETKGKSLEEIEILFTKNTRHENQGLEIQPTTSNSV >KJB44759 pep chromosome:Graimondii2_0_v6:7:45623971:45625077:1 gene:B456_007G271200 transcript:KJB44759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDKRLTEIFCDICIKEIVKGNRPGTHFTKDGWLKIMTNFEKETGNGFSQRQLKNRTVDASDDWWESRLQVVPEAQKFRTSGIDPEFEEKLDQMFMRIVATGDKAWAPSSGTLEKTPEISSSHFKTGRKKSSKQIGGAARLSSQIEKLCNVAGNMIQATSSLTPVMDPYGIPQAVKVLDSMSEEVPEASPLYFFALKLLLNKDKRIMFLSINPKIRALWLKTEMEDS >KJB43708 pep chromosome:Graimondii2_0_v6:7:23292941:23295065:1 gene:B456_007G212800 transcript:KJB43708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTTDLIWKCPHFFNALKKRELDLRGKIRYHRYLSDNEIVKLDNFPYLKRLGTLLINNNRVTRINPNIGEFLPSLHTLVLTNNRLVNLVKIDPLSSLPKLQFLSLLDNNITKRPNYRLYVIHKLKSLRVLDFKKVKAKKLLTCQTYTDKEGIRQQQLLAFIPKHHKHYILPSKSS >KJB40402 pep chromosome:Graimondii2_0_v6:7:4333839:4337456:-1 gene:B456_007G061700 transcript:KJB40402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPSPPADPPTNQFGSLNDVAHELASLEDLASRGSWRSILDKVSRARSLNLLSNPHDHLIYLTYNVLALSKLRRFADASTDLDTLDDFNSHYYKYETYPQLYPNRSGSMLPFSLRFIHAQLPIKLGNRQEGLDRFYLLLNFIRQKIKEKESNNLHDSVKIWKRRENFVLNCLIGHHLGDKEFILCLDLIKDMINRDYLDPLLVSKLGYIQMQIGDIEGAKGSFNHVETMLNEGKNDRYSFLSEVQFRNLVNRNKALVYVVGKDYVSAVREYEECIERDHTDVVAINNKALCLMYLRDLSDSIKVLENALERVPTRALNETLVVNLCSMYELAYVNHSEIKRTLNNWIVHVAPDDFDASCIRV >KJB44685 pep chromosome:Graimondii2_0_v6:7:44433076:44436796:1 gene:B456_007G266600 transcript:KJB44685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTQSRLYLTANLTLSLSLSIYVIKTMHSKEGKSVAKKLKQRMLLKSEIRHQERGDEGQRCVGGLRSYTKTRIGKKKFWSSSSIGVMTSLTGKGGITISDGGKKMYKRSIQKAKETTRLKRRKAVLRNKIEQKTLMRESLQASKRDAAEGMSRYRLSKMMRNELRDEVNRVINDFVRLRALEILVMQEMGFF >KJB43940 pep chromosome:Graimondii2_0_v6:7:26859256:26861023:1 gene:B456_007G224800 transcript:KJB43940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDLSHFQSSAMAKLRSRSFWSHLSDAFLFFAAAFLALVLVWSFWSYFTPTPNFLPTFTHPSSNSKNNPVESGFGVNLKSDPKDPTFYDDPEMSYSIEKPVKDWDGKRKEWLKHHPSFTAGARERIVLVTGSQPKPCKNPVGDHLLLRLFKNKVDYCRIHGCDVFYNNLLLHPKMKSFWAKFPIVKAAMFAHPEAEWIWWVDSDALFTDMEFKLPLERYKNHNMVVNGWPELIYNKRSWTSLNAGVFLVRNCQWSMDLISTWSNMGPISEDYEKWGQIQRSMFKDKLYPESDDQSALIYLLYKEKEKYYDHIYLEKEFYFQGYWVDLIGVYDNTTERYLQMERKVARLRRRHAEKVSEQYAAFREEFLKETGKWKRPFITHFTGCEPCSGNHNQKYDGETCWEGMVKALNFADNQILRNYGFLHSDLLDSSTVTEVPFDYPNPTDGERFSSKNKR >KJB40382 pep chromosome:Graimondii2_0_v6:7:4313149:4315783:-1 gene:B456_007G061200 transcript:KJB40382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPETLTLVLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLYRSVVQTSCYPLAAYLAMYHNRAHVIALGAFLWAAATFLVAISTTYLQVAISRGLNGIGLAIVVPAIQSLVADSTDDCNRGVAFGWLQLTGNIGSILGGLCSVLIASKTIMGIPGWRFAFHLVWIISVVVGIAVRLFANDPRFSSHDNAAKEHVGHKSFSSLAKDIINEAKSVIRIPTFQIIVAQGVSGSFPWSALSFAPMWLELIGFSHETTAMIITLFVISGSVGALFGGKMGDVLAKRFPNGGRIILSQISAGSMIPIAAVLLLGLPDDPSTAFMHALVYVIMGFCTSWNAPATNNPIFAEIVPEKSRTSIYALDRSFESVLASFAPPIVGILAQHVYGFKPIPKGSSDTIEVETDRKNAASLAKALYTAIAIPMALCSSIYSFLYCTYPRDRERARMQALIESEMQQLEDDHNAPSNAKQSEFRVADSKDKERSEMCSIDLDDNDEKSLLNHQIGFSNLEH >KJB44165 pep chromosome:Graimondii2_0_v6:7:32488806:32489375:-1 gene:B456_007G238300 transcript:KJB44165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIFSICLLFTLYYITFSTFYSTVSGIFCEEINEGIAIKRLEKRSHLHFYFHDVISGKKPSAVKIAGPPNSSAYGFGATMMMDDALTEGPEISSKLVGRAQGMYALAAQEDVSLLMVMNLAFTEGTYNGSSISVVGRNPVFDDVREMPIVGGSGVFRFGRGYALAHTIWFDYNTGDATVEYNVYVSHY >KJB42137 pep chromosome:Graimondii2_0_v6:7:11377380:11377740:1 gene:B456_007G138800 transcript:KJB42137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLVAVYILVSIVVVAATMWVSPVAAEGDDNESFKSCYKECEDECKEEGTNSEMCEVKCNAKCGVEEVTDKLKTTFS >KJB42972 pep chromosome:Graimondii2_0_v6:7:16524730:16526267:1 gene:B456_007G177500 transcript:KJB42972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMYFYDFGFFISQQNLHRPSIFNTYIKPLSSYSSLISLAKMSNNNFITSNIFLFSLHQFLLLVHTKPLVPALYVFGDSLFDSGNNNLLPTMARANFPPYGHNFVQHFTGRFTNGRTLPDFIAEFLELPYPPPYLGIHDSVPLTGLNYASSACGILPQTGTIFGKCLSLGEQIDLFKWTIESKLPTHFNSLEELSKHLADSIFIITTGSNDYIQNYLEPTLFSTNHDYDPQSFAQLLIDDLSNHFQSVYKLGARKIIMHEIAPLGCIPHYTRKYQVVVGKCHEQTNQIVSYFNTKLHELLKSLTSTLQGSILVLARINSLGYDVITNPSKYGYSDASNPCCTTWGNGSAVCIPWLEPCPNPNQHFFWDGYHNTETGNSVAASLCFNTSEFCTPFSIKDLIQI >KJB46258 pep chromosome:Graimondii2_0_v6:7:58526660:58527039:-1 gene:B456_007G3546002 transcript:KJB46258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YEMCPDFDNDFTVTSYMCFLDLLIDEAEDVKDLRDSGILYNGLGSDEEVAKLFNKMNTGLVPSPTIYSGVKEKIHNHCKTMWINHAAQGYHTYFRSPWTFLAFLGAIAALTLSALQTYYTIHQPK >KJB39805 pep chromosome:Graimondii2_0_v6:7:2165302:2167361:1 gene:B456_007G031300 transcript:KJB39805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGALLPPGFRFHPTDEELVGYYLKRKNEGLEIELEVIPVLDLYKFDPWELPEKSFLPKRDMEWFFFCPRDRKYPNGSRTNRATKAGYWKATGKDRKVVCQPAVTGYRKTLVFYRGRAPLGDRTDWAMHEYRLSDEPSLGSSAFALCRVVKRNDGKPKEMSIGSSSTNVELTSITRNCNEHLSTISCQTSYPNNETRHSVDTDPASFWASPDVVFDSSKDYPQICESAAQYFPQYGFPSLNQSPYSNFGESEHFDDLGRIGCMSPYSGHANDILWQ >KJB40285 pep chromosome:Graimondii2_0_v6:7:3920682:3922729:1 gene:B456_007G055600 transcript:KJB40285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSTSNKKEMDRIKGPWSPEEDDLLQKLVQKYGPRNWSLVSKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDETIIRAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCLSVGEESYFITHGGYDGNLGGEGEQQPLKRSVSAGLYMSPGSPSGSDLSDSSVPVLSSSHVYKPIPRTGGVNVDVNVMPLPGAEAAASSSNDPPTSLSLSLPGAESYELSVSTLPVTESTQTRNEAKNDGKGGGVMGFSAEFMAVMQEMIRMEVRDYMVQMQQQNGGVSGGEGMGMCLDAGFRNVLSMSRIGVNKID >KJB43280 pep chromosome:Graimondii2_0_v6:7:18740106:18741796:-1 gene:B456_007G191600 transcript:KJB43280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVQGKKDYVDPPPAPLLDMEELKSWSFHRAVLAEFVATLLFLYVLVATVIGHQRQPACQGVGPLGIAWAVGGMIFVLVYCTAGISGGHINPAVTLGLFVARKVSLVRAVAYMVAQCLGAIFGAGLAKSVMKHYYNTLGGGTNVVVAGNSKGAALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFVVHLATIPITGTGINPARSLGAAVIYNNGQVWDDQWIFWIGPFIGAVMAAAYHQYVLRAEAMKALKSFRGNSTS >KJB45683 pep chromosome:Graimondii2_0_v6:7:53597009:53598063:1 gene:B456_007G320400 transcript:KJB45683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVELFKRSGPLVSLQKKGASGMEVESVLLALLLINALAFSQLNLAVEAAESVPLSPSDDFSKGLKALSRRSRRITPPSPRINAPVQFKRPPLFPRRPPPPRSPPPPCLWRPPTPFG >KJB45657 pep chromosome:Graimondii2_0_v6:7:53332153:53332770:1 gene:B456_007G318600 transcript:KJB45657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDYWIMSKAIVFEVVGRALLYTAKACGGTFTLKIIVRLLQQIQCLVLGIWEPIILFFKSITLKVVGSALLYTAKACENNCTTSPANSKSSPWEPIILF >KJB44737 pep chromosome:Graimondii2_0_v6:7:45157086:45158465:1 gene:B456_007G269200 transcript:KJB44737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRKLATADDFFFSGLNIGKITSNSVGSSVTPVNVAQISGLNTLGISLARIDYAANGGLNPPHTHPRATEILVVLEGTLYVGFVTSNPDNRLIAEVLNKGDVFVFPVGLVHFQFNPGKSKAVAIAALSSQDPGVITIANAVFGSDPSINPDVLSKAFKLDKSMVKSLQSKF >KJB43735 pep chromosome:Graimondii2_0_v6:7:23490074:23490622:-1 gene:B456_007G214300 transcript:KJB43735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGISNHWILKKPQQYYENILVQTGSVLFKHYTNPKDPNFIIHSTAQILKILRPRDWSENPNSPKKFPAKFTTKIDHYPYFTYWDYQMAWYNAFLMNNQHMRHSWLIYFKYGTQFKFPNWFQEWWNWYGPSSFEILPEKIQNLWPKFFDKFQPEPDQKHIYRTIHFFLKTVHFLDFFMELFL >KJB39014 pep chromosome:Graimondii2_0_v6:7:58993296:58993610:-1 gene:B456_007G358300 transcript:KJB39014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKFSTAIIVAAASMSAVMAAGVPAPAPSEGGSGPSSSLASAAAPGPDSSFAASTLPVLGSLVGASLVSLVSYFL >KJB43861 pep chromosome:Graimondii2_0_v6:7:25268977:25269434:-1 gene:B456_007G220000 transcript:KJB43861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYEMWRGRKPIVTYFYVLGSTYYLFKDHAYQGNFDTLKPKTRMAPYEMRSGRKLIMTYFYVLRSTYYLFKDHAYQGNFDVQSDEASSSDIPTIARHFGCSIST >KJB41081 pep chromosome:Graimondii2_0_v6:7:6517233:6519786:1 gene:B456_007G089500 transcript:KJB41081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSYNVAVIGAGTAGLVTARELQREGHRVTVFEKANQVGGTWLYDSLVESDLLGLDPNREIVHSSLYKSLRTNLPRQVMSFLDYPFVKRESGDTRPFPGHEEVLRFLQDFARDFGLMELVRFGHEVIRVELVDEVSHEWVVESRTRETESRWESKEEVFEAVVICNGKNTEPKVAEFPGRDTWPGLQMHSHNYRTPEQFENKIVVLIGNGPSATDILREISPLAKQVHLAFRGSDIKLINLKNYDNAWPHSPIECAHEDGKVVFQDGSIVEADVIIHCTGYKFHLPFLKSNGIVTVDDNRVGPLYKHIFPPSLAPWLSFVGLNYRALVFRVIELQAIWVAKVLSGKVKLPTQEAMAASVEEFYEQMEKAGWPKYHTHSLQNDEGEYASWLAAQSDIRPPKSWEEITFFSFVKGIFGHGENFRDTWDVDKWIQEIESSD >KJB40502 pep chromosome:Graimondii2_0_v6:7:5007319:5008128:-1 gene:B456_007G071100 transcript:KJB40502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELGEEITKKPLIIAMKGHPGTGKSTLAHALASALKFPLVDKDDIRDSTFPLHQQPQGSHALLNHLSYEAIWRVASTQLHLGISVIIDSPLSHRTHLDRLISLAASAGARLLIVECRPSDEAKWRERLEGREKSWHKPTSWEELQELIKGYGGCTEYDVGDVPKMVVDTTAPNLGLEELVSSVVRFIASS >KJB40801 pep chromosome:Graimondii2_0_v6:7:5550323:5551903:1 gene:B456_007G078300 transcript:KJB40801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISHLGSIFATLMFVWAVFQQYFPYQFRGYIEKYSQRLLSFVYPYIQITFNEFAGERLMRSEAYSAIESYLSSTSSSQAKRLKADIVKNNRSLVLSMDDHEEVADEFQGVKLWWASGKHVGKTQSFAFYPVTDEKRFYKLTFHKKHRDLIIGPYLKHVLKEGKAIKVRNRQRKLYTNNGPNWSHVVFEHPATFQTLAMEQDKKEEIMEDLVTFSQAEEFYSRIGRAWKRGYLLYGPPGTGKSTMIAAMANLLGYDIYDLELTAVKDNTELRKLLIETSSKSIIVIEDIDCSLDLTGQRRPKKEKKDEAEKMKQHPMHKASKEEAKSSQVTLSGLLNFIDGLWSACGGERLIVFTTNFVEKLDPALIRKGRMDKHIELSYCGFEAFKVLAKNYQKLDSHKLFGRIQDLLREVRITPADVAEHLMPKTASRDLQTCFESLIQALESAKQAQDSNKEDTALIQGLSEKSVQ >KJB44747 pep chromosome:Graimondii2_0_v6:7:45449214:45449767:1 gene:B456_007G2705001 transcript:KJB44747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVNGKFCKDPKLANAEDFFYSGLNIPRNTSNPVGSTVTPVNVAQILGLNTLGISIVRIDYAPYGGLNPPHTHPRATEILVVLEGTLYVGFVTSNTDNRLITKVLNPGDVFVFPVGLIHFQFNIGNTKAVAFAGLSSQNPGVITIANAVFGSNPPINPDVLTKAFQLDKNIVTYLQSKFWWDNN >KJB45111 pep chromosome:Graimondii2_0_v6:7:49631907:49634908:-1 gene:B456_007G290000 transcript:KJB45111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITAVITGLITMRRGRGTMEVFTPKLMKLWKEWELRAMVVTSLLVQIILIVLGSQRKYIPKVKIRAIVWCSYLLADSVATIALGILTNNLGDIYDERGDVDINTKLTAFWAPFLLLHLGGPDTITAYALEDNQLWLRHCFGLIIQTVVTGYIFLMAWSDSRLSLLSIPMIVVGSIKYGERTWTLWKASSDELRDSMLTSPDPGPNYSKLMNEYRQKQAEGFFMEIEEVKDVQQELDVAAPQGTTPDDQNIIKAHVLFQTFKCLFADLILSFKDREKSQSLFQKMSGKDAFDVVAIELGFMYDKLYTKAAVIYTPMGLIRRITTFCLTFLVLLVFSFEDMKYKKVDIFITFLLLVVAVFLEIYAALVLLFSDQTNHWLIKHNKTSCLKLIHSLQPVRKRWSSRVPQSSLLGSFLKEKPYFRLLKRVVEKWPPETYAEVDDDLKRLIFKHVKEKFNQFKEKQDDGNFRDLCSQRGSNILQMYKRQTRLSLEWSINVEFDQSILIWHIATELCYFSEGELSTITSDIQSSREVSYCISNYMFCLLVTFPFLLPIGIGLIRFRDTQAEAKRFFKERLTLSRTKAKHRITCNKMFLQAIDGELEMQEEESNTSTCWKSLNQAMMFLEEPTMYQMIAICRMLLRENIDVLPGKVKGDSSKSVLFDACRLASALNGVTNKKVKWDMIRDIWLEILTYAASHSRGSQHCQQLRRGGELLTHVWLLMAHFGMSEQFQISKGYARALLTAK >KJB44131 pep chromosome:Graimondii2_0_v6:7:31742758:31743732:1 gene:B456_007G236300 transcript:KJB44131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMITTSLFTSPLLHTSTLLIISLCFLLLSPVSSLRHLQSSTSPDRGLSFVEKIRLGSTPPSCHNKCNGCHPCMAVQVPTIPTHNRFQPGLSKAISNSMRFFHPSTNQYSNYKPLGWKCRCDDHFYNP >KJB40889 pep chromosome:Graimondii2_0_v6:7:5834570:5837554:-1 gene:B456_007G081800 transcript:KJB40889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKICCIGAGYVGGPTMAVIALKCPDIEVAVVDISVPRIAAWNSDQLPIYEPGLDGVVKECRGRNLFFSTDVEKHVREADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGNKAVQALKEVYAHWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTQVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKNRFVNRVVSSMFNTVSNKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKARLSIYDPQVTEDQVQRDLSMNKFDWDHPLHLQPMSPTTVKQVSCVWDAYEATKDAHGICILTEWDEFKKLDFKRIYDNMQKPAFVFDGRNIVNADELREIGFIVYSIGKPLDPWLKDMPAVA >KJB40530 pep chromosome:Graimondii2_0_v6:7:4810126:4812553:1 gene:B456_007G068200 transcript:KJB40530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTYAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYSGWKAAAIGANNQAAQSMLKQDYKDDITREEAVQLALKVLSKTMDSTSLTSEKLELAEVFLTPSGNVKYQVCSPDSLSKLLVKFGVTQPATEAS >KJB46317 pep chromosome:Graimondii2_0_v6:7:59387412:59390851:1 gene:B456_007G362200 transcript:KJB46317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINIITILTINTKRTYTHIHYIKKPPTFSQFQHLNYIPSLFSSPIIQFKGMKGNDKNGLKKGPWTPEEDRILVDYIQKHGHSKWKSVPALAGLNRCGKSCRLRWTNYLRPNIKRGNFSSEEEQLIIDLHALMGNKWSAIARHLPGRTDNEVKNLWNSRLKRKLIQMGIDPITHEPLTDPRLHQLLAAASFSNLINNPLDIVNALMLQSDAVATLAKSLHLSHNMLQALASTPTTMASQDPTKACSTSNEYQFGSSSSSLPVNVPNLDTTPQPIPPMAPRPTIVDDHHETNNITNPSSTTLQEWDDFMDGGEASEPYWRDIIDQASSQSWPIS >KJB46233 pep chromosome:Graimondii2_0_v6:7:58396509:58400743:-1 gene:B456_007G353200 transcript:KJB46233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMATTRTQLSRFLSRTLTRPGFIAFNGSIRRPEAPPVHRNFSSSAAAAAVVPQREPMGVKEYEDYRRSLYGDITHKALLVDAAGTLLAPSQPMAQIYREIGEKYGVQYSEAEILNRYRRAYAQPWGKSRLRYVNDGRPFWQYIVSSSTGCSDDQYFEEVYNYYTTDTAWHLCDPEAEKVFMALRKAGVKLAVVSNFDTRLRPLLRALNCEHWFDAVAVSAEVKAEKPNPTIFVKACELLGIKPEEAVHVGDDRRNDVWGARDAGCDAWLWGSDVYSFKEVAQRIGVQV >KJB46232 pep chromosome:Graimondii2_0_v6:7:58396486:58400809:-1 gene:B456_007G353200 transcript:KJB46232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMATTRTQLSRFLSRTLTRPGFIAFNGSIRRPEAPPVHRNFSSSAAAAAVVPQREPMGVKEYEDYRRSLYGDITHKALLVDAAGTLLAPSQPMAQIYREIGEKYGVQYSEAEILNRYRRAYAQPWGKSRLRYVNDGRPFWQYIVSSSTGCSDDQYFEEVYNYYTTDTAWHLCDPEAEKVFMALRKAGVKLAVVSNFDTRLRPLLRALNCEHWFDAVAVSAEVEAEKPNPTIFVKACELLGIKPEEAVHVGDDRRNDVWGARDAGCDAWLWGSDVYSFKEVAQRIGVQV >KJB40519 pep chromosome:Graimondii2_0_v6:7:4773619:4779773:1 gene:B456_007G067500 transcript:KJB40519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQENKVANEEGDIECYYGKDMEDRIYSQPLLTKRYNTTSQIAIVGANACPVESLDYEIIENELFKQDWRSRRTIQIFQYVLLKWAFALIIGLGTGLVGIFNNIAVENISGFKLLMTTKLMLEHKYYKAFAALASCNLALAAAAAALCAYIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSILCVSAGFVVGKEGPMVHTGACIASLIGQGGSRKYHLTWRWLRYFKNDRDRRDLITCGAAAGVASAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRAFIQLCSTGTCGLFGEGGLIMYDVSAAKVAYSVPDILAVILLGVIGGIFGSLYNYLVDKVLRTYSIINEKGPAVKILLVVTISLLTSICSYGLPWFATCIPCPIDTTESCPNTDLSGNYKSFQCPPNHYNDLASLFLNTNDDAIRNLLSTSTTKEFHISSLFIFFIAIYCLGVITYGIAIPSGLFIPVILAGAGYGRLIGRLFDPITKLDVGLFALLGAASFLGGTMRMTVSLCVILLELTNDLLLLPLVMLVLLISKTVGDMFNHGVYDQIVKLKGLPYMEAHAEPYMKHLVARDVVSGPLITFSGVEKVGNILHALKTTGHNGFPVIDEPPFADAPELCGLVLRSHLLVLLKGKIFSRDRVLSGDEVVHRISKFDFAKAGSGKGVKLEDLDIKDEEMDMYVDLHPITNTSPYTVVETMSLAKAAVLFRQLGLRHMCVVPKSQGRPPIVGILTRHDFLPEHILGLYPHIRLRK >KJB40520 pep chromosome:Graimondii2_0_v6:7:4773758:4779680:1 gene:B456_007G067500 transcript:KJB40520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQENKVANEEGDIECYYGKDMEDRIYSQPLLTKRYNTTSQIAIVGANACPVESLDYEIIENELFKQDWRSRRTIQIFQYVLLKWAFALIIGLGTGLVGIFNNIAVENISGFKLLMTTKLMLEHKYYKAFAALASCNLALAAAAAALCAYIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSILCVSAGFVVGKEGPMVHTGACIASLIGQGGSRKYHLTWRWLRYFKNDRDRRDLITCGAAAGVASAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRAFIQLCSTGTCGLFGEGGLIMYDVSAAKVAYSVPDILAVILLGVIGGIFGSLYNYLVDKVLRTYSIINEKGPAVKILLVVTISLLTSICSYGLPWFATCIPCPIDTTESCPNTDLSGNYKSFQCPPNHYNDLASLFLNTNDDAIRNLLSTSTTKEFHISSLFIFFIAIYCLGVITYGIAIPSGLFIPVILAGAGYGRLIGRLFDPITKLDVGLFALLGAASFLGGTMRMTVSLCVILLELTNDLLLLPLVMLVLLISKTVGDMFNHGVYDQIVKLKGLPYMEAHAEPYMKHLVARDVVSGPLITFSGVEKVGNILHALKTTGHNGFPVIDEPPFADAPELCGLVLRSHLLVLLKGKIFSRDRVLSGDEVVHRISKFDFAKAGSGKGVKLEDLDIKDEEMDMYVDLHPITNTSPYTVVETMSLAKAAVLFRQLGLRHMCVVPKSQGVSLQLLEF >KJB45231 pep chromosome:Graimondii2_0_v6:7:50701987:50705475:1 gene:B456_007G296600 transcript:KJB45231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKTLHSNQKLFTLSLILLSLSLSIFFIFFGSKNNIVNRFKVETDQPNQLFTNPRWFDLIQEESNGDKKIKVGLVNFNDEEASQYEVHGYGSLVDTVRVHFNCVSKVREWKDFFPVWINEDSNLHPPTCPEIPVPRLEEYWDLNVVVAKVPCDGWTTEAGMRDVFRLQVNLVVANLLVRSGWAKGPDVKWEVYAVFIGHCGPMPEIFRCDDLLRKEGDHWVYKPELKRLKQKVLMPPGTCQIAYPFGKTDNARQSKLKNRQFNHREAYVTVLHSSEAYVCGAIALAQSIIRTNSTKDLLLLHDEHVSPRSLTGLRTAGWKTRQIERIRSPFAEKGSYNEWNYSKLRIWMLTWYRKVVFIDSDFLVFKNMDWVFHYPQISAAGNDRTLFNSGIMVIEPSLCTFEDLMLKSFKVGSYNGGDQGFLNEMFTWWHRLPKEVNFLKYFDGNHSLNREKIPDDLSAIHYLGLKPWMCYRDYDCNWDIKERQVFASDKAHEKWWQVYDEMPERLKQYCGLSPHMNWRIKKWRRVAKKLSLPDEHWKIGVTDPRQYNLVQ >KJB43121 pep chromosome:Graimondii2_0_v6:7:17763755:17768308:-1 gene:B456_007G185200 transcript:KJB43121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVFVSSLVSTILGNLNSLALREFEIASNLETDLQDLESTLSTIQAVLQDAEQKQWRSEAVRNWLRKLKDIAYDADDLLDEFAAKAFLWKARENMSSKVSDFFSSKNSTVFTFKKAHELKQIRQRLDAVAEEKNKFHLTEKVGDLEIDDREWRLTSSLVNESEILGRNEDKENVINGLFGSSLDKNDLSIYAICGMGGLGKTTLAQLVFNDERVERGFALRIWVCVSDAFEVRRLIKAVIESIDGSPCDIVELDPLQRYLQEKLRGKRFLLVLDDVWNENFEKWDGFRNSLNVGAKGSMVIVTTRIEKVALIMATLPIYHLDYLSDENSWLLFKHRSVLMESEEGYKRLESIGKQIVQKCGGVPLAVKALGSMLRLKRRESDWLCVKESEIWELPDDGSGILPALRLSYDNLPSYLRQCFAYCSIFPKDCEMDKSQLIELWMANGFVPSRGRRELREIGDEIFLELTWRSFFQDVTEDDDGTVTCKMHDLVHDLAISIMRFECYMYDKNQSFGSPKQIRHLHIPIQPMPPFGYGRILLDKQYNLLKSCSSVRSLILGGIGLTKADCPLKHVRALDCNMYQIPKSLGKMVHLRYLNIRYQGSACIDRIPKSFCNLVHLTYLNLSYTRIKRLPQSANCLLNLQIMKLSCCRYLCELPQGMQHMRSLKCVDISHCDSLEQTPPGIGHLTQLVELSIFIVRKDHGCGVWELKELDLGKELSLKELDNVTGSTEAKSANLIRKQNLRSLSLIWGKQAGEFPDNEEEVLSSLQPHYNLEYFRISCYQGLRLPSWLIDLPNLVSVELDLCKRCSHLPPLGELPLLKVLKIKGMDAVKCISSEFYGNGINPFSSLEELYFDSMPVLETWKTVEERGNFPRLQILVFRKCPELIELPKFPTLKKLRIWKRGSGLSSPCLGSLEIDDLSGLTVFPSGLLQNQTHLEELRIESLPDLKSLSNHLDNLSVLKHLQIYGCHKLEDILEALQNLNALESLGLGSCHSLVSFPGNVNGLTSLRVLKILGCDRFTSLSDRVMHLTHLEELCIWDCPMLNSLPAEIQHLNALQKLTISWCDGFTSVPNQIEHLTSLCELNFEYCIHLMSLPQGIKSLTTLKNLYIRGCPHLEKWCNEGGGEGWPYIAHVPHIQIFAYDKALYSMGRRSHGSLFTRFGDWTCGIASKLLKCKLES >KJB41960 pep chromosome:Graimondii2_0_v6:7:10426472:10426996:-1 gene:B456_007G129900 transcript:KJB41960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKIGIDSYREGHKYDFGGGGGGSGEDGFIKEQDRLLPIANVGRIMKQILPPNAKVSKEAKETLQECVSEFISFVTGEASDKCHREKRKTVNGDDICWALATLGFDNYAEQLKRYLQRYREQEGERANQHSAGNVPEGKEETSTYRGELHSSLSLGRFERCKSIW >KJB43405 pep chromosome:Graimondii2_0_v6:7:20414589:20415330:-1 gene:B456_007G200600 transcript:KJB43405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVVSEILLSGLMINSTLRRRTHLVQSFSVVFLYWFYVTGSSSSSTTPRSSSSVEEFQQVLNERKRKRMASNREAARRSRMRKQKQLDDLMGQVSQLAHENNQILVNINITTQIYLNIEAENAVLRAQMAELSTRLQSLNEINDFINSNNGVFGYDHPNNYEAAHDHHHHQVNDDTLMNNPWGCFYGNQPLNIASADMIMY >KJB40200 pep chromosome:Graimondii2_0_v6:7:3570351:3575177:1 gene:B456_007G050600 transcript:KJB40200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDTEDGRDLKKPFLHTGSWYRMRQSSIMSSSAQVIRDGSISVVLCVLIVALGPIQFGFTCGFSSPTQDQIVEDLKLSVSEYSIFGSLSNVGAMVGAIASGQIAEYIGRKGSLLIAAIPNIIGWLAISFANHSSFLFMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGSLGSVNQLSVTIGIMLAYLLGLFTNWRVLAVLGILPCLILIPGLFFIPESPRWLAQMGMTDEFEASLQVLRGFDTDISVEVNDIKRAVASSRKTTTIRFADLRRRRYWYPLTVGIGLLVLQQLSGINAVLFYSSNIFERAGVKSSNVATFGLGLIQVIASGVTTWLVDKAGRRVLLMISSSGMTLSLLLVATAFYVEGYILEDSVIHSVMGIMSLVGLVAFAISFCLGVGAIPWVIMSEILPVNIKGLAGSVATLFNWMTAWAVTMTANLLLSWSGGGTFTIYAGLAAFTIIFVARCVPETKGRSLEEIQSSFR >KJB45890 pep chromosome:Graimondii2_0_v6:7:55992034:55995714:1 gene:B456_007G335400 transcript:KJB45890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSFDDGTSLYNFVVRDGNGVKGMVDSGLTTVPAAYMQPPRERIDKESARKHEQPPIDLSRLDGPEHDEVAVEIVRAAETLGFFQVINHGVPVDLLESLKDTANKFFGLPPEQKAVYRSEVSPTPLVKYGTSFVPEKEAALEWKDYISLQYVNDAEALQHWPIEIRDVALEYLRTSIKMVRKLLEVLMVNLGMKLVDPKIDALIDKKMVNMNFYPTCSNPDLTVGVGRHSDMGTITVLLQDGIGSLYVKIEEDMRFGKKGEWVEIPPTPGALVINVGDMLQVLSNKRYKSAEHRVRTTNTTSRVSIPIFTIPKLTEKIAPLPHLVEKDGIAHYREFMFADYMKNFFGNAHEGKKSLDFAKNVDSS >KJB45260 pep chromosome:Graimondii2_0_v6:7:50838524:50839702:1 gene:B456_007G297900 transcript:KJB45260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATNHEILIFLSAICLSFYSLFTIYQAYTHGDFSMVPFTVFVYIGPFCLIYCIKQLQDSPPMDTSLRKRFLKSVIWFLISVISFGFAYRFSTFVHPVAAVFVFALAVFVSSFLFFFYFVRPNCKNGTLPTELYPPSQVENS >KJB39753 pep chromosome:Graimondii2_0_v6:7:2014101:2016611:1 gene:B456_007G029400 transcript:KJB39753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIEELQAGEGAERAGEEENKSYYGSNEVLGIERSVEEWNAKRVLVGAGARALFYPTLFYNVVRNKIQPEFRWWDRVDEFILLGAVPFPTDVPRLKENGVRGVITLNEPYETLVPTSLYHNYGINHLVIPTRDYYFAPSLTSICQAIDFIHRNVSLGQTTYVHCKAGRGRSTTIVLCYLVYYKQMTPVSAYDYVKLIRPRVRLAASQWQAVEEFYYLKMRKAGFHSYMMDLILRTSDLSPARDLVTFDDGSVVVVTEADLDGYDQSLESGAVGSTIWADLSMVYRVRVVGQAALARISCLWITCQAHQKISAKKLATESSCLGSTISVDIHVY >KJB43304 pep chromosome:Graimondii2_0_v6:7:18922061:18924289:-1 gene:B456_007G193100 transcript:KJB43304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDIVVLGVEKKSTAKLQDSRSVKKIVNLDNHIALACAGLKADARVLINRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYSGVPSLYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKETSGQETIKLAIRALLEVVESGGKNIEVAVMTKEHGLRQLEEAEIDAIAAEIEAEKEAAEAAKKAPPKEK >KJB45258 pep chromosome:Graimondii2_0_v6:7:50828290:50832472:1 gene:B456_007G297700 transcript:KJB45258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSPFTLLLLKRLTLSSKTPLKSHNTSPLPIFTFIKPKLFSSVSPRPEGTASHEPLKPTSLSARLNFVFDQIDQIEKRQQQQSPENDATLQRIRAWRQSRNESHAIQQQFQPKDPDSGIAENNVNATEFRLPYSPELIEPQNGDALRRIRGWRESKFGENKECIEGEVAENESNASVFTIDSVTELELGRQKGKDVEVVHPWPEWIELIERLVQQNYFDHKRRDEGKMVEELGFDMSNVVEEVKDDAGIDFKDFKTVQTACLNFGKDRFDILRSLSRRDIQNLVGFGCPSADKKVVFSAKLLRKHVHLDEGDVCSSCSLRNSCEKAYLLTNKEDEARTVDVMRVLLTYAFHYMDVSAVNESVLKQKSGKTVVRKLLYEIVKLSAVPIDPNLPPPVIKKPPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRELLPGEWECPECNFLNYRRNMACFHCDCKRPPDAYMESKLQEIQPGPRTRLEKVARRLEVSNGWNFDFDDDESDGADVAVFEFADSSVKGEASPLGTQTRRGKFRGPEDDSNTADRASSIHERMYSDIDSSKPGIGFDDFDDEDDIDSYEIDTPRNNPRQKASSSVYSDSEVFSELKGSDGSDDSSAAGRRTRFPSYDKPSKHAHKKVALLDSEGELDFDSDEDLLNWKPRHVTDTKLRGRGVLKDLSFDSEDLDLDSNDDDDFDSSRSKRRKENKGSYGSGNFRNRSSGFQGGSFSGSDHEKDGPHSRKNGLRQSKVGSSRRGNNIGGYGDYNFRNNSRARLNTKMDGERNSSDNFNRSYRGSRGDNRRFGDGDYGKQRTNNREKLKGGKKDGAFGNGYHGKSREYSREMDDDASEFRNSRRVIER >KJB46047 pep chromosome:Graimondii2_0_v6:7:57372915:57374258:-1 gene:B456_007G345700 transcript:KJB46047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNHFNLSKTFYPLLLLLFFLLSNIPLSISEIKNTWILDDYRSMILFENFGFGKHGHISIWVTNVSWMSRVENVKFNPSSMGFFIADVPSFRRIWNESLHDKTYCVLSRKHAEIILRFDHIGPDSTYRGSTDIDEPNEYNLVFGNCQPELKVSMHVHTEMYNLKEGEKDFLSVGKAPLPKLYFLLFLIYAAFVIAWVISCKNQRPRVEKIHLIMGALLVCKCLKMICASEDAIYVQKTGTPHGWDVAFYAFGFFKGIMLFTVIILIGTGWSILKPYLQEREKQVLKVVIPLQVLENIVYVIINETGPATRDWLTWNQLFLLIDIACCCVVFFPIIWSIRSLKEASKRDGKAAKNLEKLTLFKQFYIVVIVYLYFTRIVVSAIGAFLNYRFVWLVPVLEEGASLVFYGFIFYNFQPTETNPYLVIDDEEEENAAAQMEENDDDDPFEL >KJB45614 pep chromosome:Graimondii2_0_v6:7:53049625:53050309:1 gene:B456_007G316000 transcript:KJB45614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVCKSFLILAVLSVIVLSISLEVAARDLTETNNGKAATQKTKAELGAAKFGGAQTEQDYNGRVYPTWPCHPGC >KJB39436 pep chromosome:Graimondii2_0_v6:7:1067008:1069878:1 gene:B456_007G013500 transcript:KJB39436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFGGSEISPSPPVPTATGNNAHMMYVFNRNGVCLLYREWNRPLHTLNPQQDHKLMFGLLFSLKSLTAKMDPTNAEKGNLGIPQLPGQGCSFHSFRTNTYKLSFMETPSGIKFILVTNPRTGDLRETLKYIYNLYVEYVAKNPLYTPGTLIRCELFNTALDQYVRSIA >KJB39435 pep chromosome:Graimondii2_0_v6:7:1066994:1069996:1 gene:B456_007G013500 transcript:KJB39435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFGGSEISPSPPVPTATGNNAHMMYVFNRNGVCLLYREWNRPLHTLNPQQDHKLMFGLLFSLKSLTAKMDPTNAEKGNLGIPQLPGQGCSFHSFRTNTYKLSFMETPSGIKFILVTNPRTGDLRETLKYIYNLYVEYVAKNPLYTPGTLIRCELFNTALDQYVRSIA >KJB45699 pep chromosome:Graimondii2_0_v6:7:53762415:53768343:-1 gene:B456_007G321800 transcript:KJB45699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPSTSSSIPRQKEYDVFLSFRGEDTRHNFTDHLHDALRRSGIVTFRDDPKLEAGEEISLELFKAIQQSWCSVIVFSENYVFSGWCLEELAEIVKQKRENGHKVFPIFYGVDPSDLRKQKGKVEAAFAKHEERYKEDEAKIQRWRNALTEVANIKGWHSNNRHESEFIGDIIKKISAKLCQTYPIDHDELVGISPSLDDLHSEIEIGEDDIRIIGICGMGGIGKTTLARVVYSQMSPHFEGKSFLADVREVSEKCGLVSLQKQLLSQILFDESFNFFNVHEGKVIISQRLSHKKVLLVLDDVDNLQHLKCLVGKRDWFGLGSRIIVTTRDEHLLRSYRVDGVYKPTTLEDNDALHLFNLKAFGCETAPKEDFIELAKHIVGYAGGLPLALEVLGSFLCDRDARQWKSAIERLERDSNKEILDRLQISFDGLEEREKNIFLDIACFFNREKKDFVMKVLDGCEFFPDIGIDVLIKKSLLTTDRHNQYLLMHDLLQEMGRKIVKEKSIDEPGKRCRLWEERDVYHVLTKATATEIIEGLIIDNKRELNKTLTLNGDIFLKMKRLRLLKVHCLLNWCDLTYLSNELRLLEWSGYSLRSLPLGFQPENLVVLLLPYSNIEQLWKENTPLYKLKVLNLEGSENLIKAPDVTAAPNLEILVLEGCTRLVYVHPSVGVHTRLKLLKLGGCKSLRSFPTRIGMESLERLTLSGCSKLESFPEIDGKMECLLELCFDGTNIKELPSLIGSLKRLKVLNLKDCKSLKGLPIKIGMESLEKLILSGCSNLESFPEIDGKMECLLELYLDGTGIKELPISIGNLSSLVLLNLKDCRNLVDLPGSIVGCKSLKSLNLSGCYKVEYLPENLQQIEFLEELDLSETSMTKPPPFIFQFKNLKVLSFNGCKGSSSKLQKKLPSLLKVIQRGRTNSMALTLPSLLGLSSLTRLNLRDCNLCEGDIPGDISRLSSLKELDLGGNNFISIPLCVNRISKLGFLRLSDCRALKSLPELPTSIRINGCPSLEIVANPSKVCNSKEWVNIVGVNCFRLAENINALTLLKKHLKVFGNSRKLFDIILPGSEMPEWFSQLRGGPSIKIDLPLEVRNDCQWMGVSLCCIFVSDDASRNEELMCRAVIHGRYSRQANCTQSNFQDRDGRYVDCSGWDVDYHFERPVMKDHILIRYLSRDKLYPISLEDKCGERETNNFGQQVA >KJB45534 pep chromosome:Graimondii2_0_v6:7:52468341:52469146:1 gene:B456_007G3107001 transcript:KJB45534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMKDGRIVQAGNYNDILNSGTDFMELVGAHKKALSALDTVEASSVSEQTTSEGECDIVSTNGKVQKQENQDNQSFKVDDVRPKGQLVQEEEREKGQVGFSVYWKYITTAYGGALVPLILLAQILFQIFQIGSNYWMAWGSPVSADIKPPVGSFTLIMVYLALAIASAICVFARSILLRIAGYKTATLLFKKMHLRIFRAPMSFFDSTPSGRILNRASTDQSAVDMNIPYQ >KJB44758 pep chromosome:Graimondii2_0_v6:7:45537718:45539506:-1 gene:B456_007G2711002 transcript:KJB44758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFCYALSQNDGTEWRQRIQSEAEHFIDVSSMSSDVIAKMINKDGIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGADYIDYLVSDEFVSPLRYAHIYSEKLVHLPHCYFVNDYKQKNRDVLDPHCQHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLRFPAAGETRLRAYAAAQGVQPEQIIFTDVAMKHEHIRRSALADLCLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGLGEEMIVNSMKEYEERAVSLALNRLKLQALTNKLKAARLTCPLFDTARWVKLN >KJB44757 pep chromosome:Graimondii2_0_v6:7:45536705:45539506:-1 gene:B456_007G2711002 transcript:KJB44757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFCYALSQNDGTEWRQRIQSEAEHFIDVSSMSSDVIAKMINKDGIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGADYIDYLVSDEFVSPLRYAHIYSEKLVHLPHCYFVNDYKQKNRDVLDPHCQHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLRFPAAGETRLRAYAAAQGVQPEQIIFTDVAMKHEHIRRSALADLCLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGLGEEMIVNSMKEYEERAVSLALNRLKLQALTNKLKAARLTCPLFDTARWVRNLERSYFKMWNLYCSGQQPQHFKVTENDFDFPYNR >KJB40630 pep chromosome:Graimondii2_0_v6:7:5038368:5045399:-1 gene:B456_007G071700 transcript:KJB40630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGKLDLPDDLMPSKICSDHFPKDEAWDRNLEEKGLTGLLDDNKDQQTSESSIPLSPQWLYSKVAEAKTLTVGASGDTKTPNLLPHGTPGDPNLKDSWRLDSSQDKKEWRRTAPDLESSRHWREEERETSLLGRRDRRKEDRRTDISSTMDVPENRTLLSSERRQDVCNRSSGHESRRDNKWSSRWGLEDKEKDSRNEKRTDAKKEDAPSDKQALASGGRPASERENDSRDKWRPRHRLEFHAGGSASYRSAPGFGLERGRVERSNVGFAAGRGRPNSNASLQIGRPQSAPVVGALPVDKNMTLNAGSYPRGKLLDIYRKQKTAPNFDNLPDEMDHLSTVTQKEIVVPLAFVPPDAEEKAILRDIWKGKTISSEVVYNSFMDASEGKECFSVNRKDSAEPGEKAAVNNNFEGNHAETFYVSDSQMIMSKEMNSSIEGGQRCMPPSDVDVTNALGSDREMGGSTNYMDELKSFDNRQVADLKMQKDSNVKDNGSSMKFGVGELPEESSSLFGFSSLQPRVGCNLISVEGNIAAHSLESAIPPEDMSLCYLDPQGVIQGPYLGIDIISWFEQGYFGTDLPVRLANAPDGSPFQELGEVMPHLRMNPGSASSVSAVARMRVPDRFEGSLEETISSSASASAPAQGSAIGREQQQSFSPFEPFGTNFQLRGPCESGHSEHQFYEDPNIHNFAVAQADEIIFPGRPGSAGADPLKVSTEMQDPLRHPASHLSIANEFSKTNAPHRGDELLPEAWSDDHRRNAVFNPNIHLGTTGARPLSHRDQEHNGLDLVQHLMSQKLPNEPLQEKNNFFHALPHSTGFGVEHIHSFDLMQSKNLNHQQSVHHSAPHMEHLLELQVEQQRQLELQRQQHQLELQKQQQLEHQRQQQLEHQRQQQLEHQRQQQLELQQHQRWSFSSINQQLELQQHQRQLELQIELLQQLQQQHRQQQNSQSQQILLDQLLQRQISDPGYGQDVFEAARDIQLDQVQLQRHLRSELQNNSQASRHLDPSLEQIIQAKVNQGAVQGQQADFLDFMSQAKYGNMLPSEHQLRLQREQFQVQQLSRALSQQLGTEEDRQLAGSLSVDEVGQFVRNPGIHPQAQSMELNGSDLHQKRLSSFEEQISNIKRNHALREQQQRGTFDPSPTAFARSAHSAATPGMKLDNVNSLDIAEHLYMHSNNQLGPFSSGNHSFSQQTLGDVYASRPDLVYHFGKNEQLENSWAGKQMQQLNLEADLQRRESEVVSSTWASAGGIHEKSKKALMDLLHQKLGIQSRRSSEGDYQYSTSSSRGRESFWPVSEPQASSFPFPHFSNQEVHINNSYLEGPQNSNSGALLQDHLFGVAANGGVNQVVNCERLPHKSNMGSFAEDQSLLLGAEDLSSSSYADASLVSKSAVDKEVGELEGKEKKNGSKGMISRTGSVSGFEDNILEQVEMPLDCADLQSITHIRHCSLSTGGNGRLYGNGIGLEKSVEDRPNDRLLSGVLNGVDKVAQISSSHDMFSDQNAVPFVKQKSLTSQAKRTVETEASGKKDVSMRRTSSYNEAVVSEASFMEILKKPALHGAEVPVYGSAFEPPSSDVASQAGRSGKKKGKKGRQIDPALLGFKVTSNRILMGEIQRLDD >KJB39036 pep chromosome:Graimondii2_0_v6:7:59017737:59017973:-1 gene:B456_007G359000 transcript:KJB39036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKVSTAIIVAAASMSVVMAAGAPSPAPSAGGSSPSSSPGSAPASGPDSSVAAATLPVLGSLVGASIVSLFSYMLQ >KJB39030 pep chromosome:Graimondii2_0_v6:7:58995786:58996022:-1 gene:B456_007G358400 transcript:KJB39030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKVSTAIIVAAASMSAVMAADAPAPSPSAGPDSSVAAATLPVLGSLVGASIVSLFSYILQ >KJB44452 pep chromosome:Graimondii2_0_v6:7:40977966:40981326:-1 gene:B456_007G254000 transcript:KJB44452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFADILKQNNVDNSGIRFDRIARTVLAFVTLKADGEREFMFFRHPSADMRLHESELNTNLIKQANIFHYGSISLIEEPCKSVHLAAMNIAKKSGSMLSYDPNLRLPLWPSPEAARKGIMSIWDQSDIIKVSEDEITFLTGGDDPYDDNVVMKKLFHPNLKLLVVTEGSEGCRYYTKAFKSRVPGIKDKPVDTTGAGDAFVSGLLNSLASDSKLFQHGVTRSN >KJB44453 pep chromosome:Graimondii2_0_v6:7:40978551:40980478:-1 gene:B456_007G254000 transcript:KJB44453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNIIGIEKLHIFGGAEDRTAITNGNAAKNKPLLVCFGELLIDFVPTVRGVSLAEAPAFKKAPGGAPANVAVGVGDDEFGYMFADILKQNNVDNSGIRFDRIARTVLAFVTLKADGEREFMFFRHPSADMRLHESELNTNLIKQANIFHYGSISLIEEPCKSVHLAAMNIAKKSGSMLSYDPNLRLPLWPSPEAARKGIMSIWDQSDIIKVSEDEITFLTGGDDPYDDNVVMKKLFHPNLKLLVVTEGSEGCRYYTKAFKSRVPGIKDKPVDTTGAGDAFVSGLLNSLASDSKLFQSGTLSIVARF >KJB41295 pep chromosome:Graimondii2_0_v6:7:7217667:7220254:-1 gene:B456_007G097700 transcript:KJB41295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVKKQAEAETTAAPPPAVEVPKAESEEKTVSPPPAEEKPEESKALVVVEKAPEPEPKKISGGSHDRDISLAEVEKAKRLSFIKAWEESEKTKAENNRSQKKLSAIVAWENSKKASLEAKLKKIEEQLEKKKAECAEKMKNKVALLHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGQTPKKVLGCF >KJB41293 pep chromosome:Graimondii2_0_v6:7:7217413:7220421:-1 gene:B456_007G097700 transcript:KJB41293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRSMKGGGLRSIASIFLDISLAEVEKAKRLSFIKAWEESEKTKAENKSQKKLSAIVAWENSKKASLEAKLKKIEEQLEKKKAECAEKMKNKVALLHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGQTPKKVLGCF >KJB41294 pep chromosome:Graimondii2_0_v6:7:7217413:7220421:-1 gene:B456_007G097700 transcript:KJB41294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVKKQAEAETTAAPPPAVEVPKAESEEKTVSPPPAEEKPEESKALVVVEKAPEPEPKKISGGSHDRDISLAEVEKAKRLSFIKAWEESEKTKAENKSQKKLSAIVAWENSKKASLEAKLKKIEEQLEKKKAECAEKMKNKVALLHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGQTPKKVLGCF >KJB40919 pep chromosome:Graimondii2_0_v6:7:5898542:5899798:-1 gene:B456_007G082600 transcript:KJB40919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNESRMKSTDIQVKPLSRHESSASSSSSSSSYSQNLEKSQLNKSSTATEQTPGNGMDAQQTPAVYVPDRIPSSIFSSKPATPTDWSNASNESLFSIHVGNNSFSTDQFLTLYKSGELTKLDEQIIAQCGVLPSLKELDDMAEREDENIGKKEMPTTGIRTKQVAQDNGYRYGSGNQTHEPKFPAEAHNSPKSSVSCRSDESTLSFAFPVLNGTDGGRLSSVNSYQNNRGFKIQSVKQQQQQVQEQEQEPKQYAEEVKPKAQGTPQNASGRSWFAWFHCCRYP >KJB39387 pep chromosome:Graimondii2_0_v6:7:818451:821124:-1 gene:B456_007G010400 transcript:KJB39387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMKTKPTAATFKGGGEPVAREWELRPGGMLVQKRNPDSDQITIPPAPTIRVKVKHGSIYHQININSQATFGELKKMLTGPTGLHHKDQKLIYKDKERDSNVFLDMVGVKDKSKMVLIEDPISQEKRLMEMRKNAKMEKASKSISEISFEVDRLAGQVSAYESVISKGGKVNEKDVVNLIELLMNQLLKLDGIMADGDVKLQRKMQVRRVQKYVETLDTLKIKNSMPNNNGGGEMEMQSEDKHTNGQKVAPIQERRYNQQQQQSSAADGVVVTTKWETFDSFPALVPVPSTSTSTANNSISPPKFPWEFFD >KJB46614 pep chromosome:Graimondii2_0_v6:7:60860613:60863401:1 gene:B456_007G378100 transcript:KJB46614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNVEPPSHPALAPPPLPPQPHRPSTSCDRHPHEQFTGFCPSCLCERLAVLEPSSSSSSRKPPIAATTSTATAALKAIFKPSGGSGARPGFFPEIRRTKSFSASKNEAFSGVFEPQRKSCDVRGRSTLWSLFYEDGEKDLHAAENGPSSEIVEVEGRNLASSSSVVQGPVFESKEEDQTESETDRQDDIEIIEEEQVSTVPVATASFTLTHDKVEEIEQEYEKESCLEEELKPMKYHIDLDSQTKKASGRDFKIAGSFWSAASVFSKKLQKWRQKQKLKKTRNGGGSARLPVEKPIGRQYRETQSEVADYGFGRRSCDTDPRFSLDAARMSFDDPRYSFDEPRASWDGYLIGRTFPRMPTMLSVVEDDAPVQHVMRSDTQIPVEDPPPPPVAAAAMNSINEDQSLPGGTAQTRDYYSDSCSRRRKSLDRSSSIRKTAAAVVAEMDEMKSVSNLDYSNGHRDSNSNSLREDYSDTFEIGLRDNASSVYGNGERKGSSTNKWRRWSKAWNIWGFIHRRSVNNKNEDEDRYCRSNGVERSYSESWPESRAGFDPKVLRSVSWRNNSSSFGGATARMNYVESNGQSKKKREEFVLERNRSARYSPNDFDNGLLRFYLAPMQGSRRSGSGKNRGSHAHSISSSVLRLY >KJB43963 pep chromosome:Graimondii2_0_v6:7:27256957:27257227:-1 gene:B456_007G226600 transcript:KJB43963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRVLPDCFRLLSKLRVFRADETPLEFPPREVIKLGAQAIVEFMADLVAQRDTKAAPPK >KJB44326 pep chromosome:Graimondii2_0_v6:7:37460864:37461124:-1 gene:B456_007G246200 transcript:KJB44326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YTINLNIHFSSFLFLINNISFFLHPQTHLNVINNSGARELMCFRVLGASNCRYAHIGDVIIAVIKETVPNTPLERSKVIRAVIDSF >KJB46288 pep chromosome:Graimondii2_0_v6:7:58829995:58835258:-1 gene:B456_007G356900 transcript:KJB46288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIITAAAGNLVTGVFSNMFQKIRRNFSYVCRYARMVSGFEKKVETLKDKRDGVLLDVDAAEKIGENIYPVVNTWLAKADKMIDSELKEVKSLKDEAKNKCFIGLCPNFKARYQLSKKAEEDAAAVDELLQQGAFDKVSYRDVPQPIVVVPPKDFEDFDSRKLMFNNIMEALKDPNLNIIGVYGMPGVGKTTLVKEVVRQVKEDKFFDSVVMAVATHIPDVQKIQDEIADMLGLKFEEQSISGRASRLHQRLKKEKKILVVLDDIWAKLDLMEVGIPLGDEDQGCTILLTSRDLNVLLKDMDAKKNFPIGVLEHEEAWQFFKKIIGDGVESSDLLPIATEVAKKCGGLPIAIRTLSTFLRNEPLFVREDALRQLSKPSSSNFQGVPATVYSTIEWSYDRLQSEDHKQIFLLCSLMGHNASLGLLLLFAMGLGLFHGVSTIKETRDKLLTVVRQLKASCLLLDGNTNMQIDMHDLISDVALSIASKGNPVFVLRRKHDLSDWPDDETMKECGKISYIALIGELKNLEILGIASSDIEMLPEELGQLTKLKRLDLCSCSKLKRIPPEGHSSVQSNSSLAELKALSCLTALEIHISNAKIIPKDFSFEKLQRYIIFIGEASQWDWKWGWVREYSRTLNLNLQTSISFLNNGVKVLLNKAENLYIDEVNGVEILLHESEFGNYFKQLRNLHIQNGEMVQYILKDGDAVQKIEFLQLESLTLKGLPDLISFCSKKEGSTSISPQEIALFKQQILLPKLEKLKLSSVSIERIWVPQAFCSTQNLTSLIIEGCANIKHVLSDTMPEYLQQLRCLEISECKCIQEIISTDKMIQETLKNRALIRFPRLNFLKLKGLQKLIGFCHEDYTVEFPALTILEIENCPEFKGFTHNSIRKNVPSHGAFFNNQVAFSNLKKITISHLRNAKRIWDGQLHTNSFSMLKELNVKECDVLLNIFSPFLLGALQRLEKLKVTNCASLEEVFQLQVQGLDIEETNVVHSQLREVNLVRLPKLKHVWTKHRKGNISFENLQYVFILECGSLKTLFPFSIAKDLHQLERLSINRCGLEQIVSKSVEDSDEREICFAFNQLSFLRLWCLPNLTCFYPGMHRTTWSALKQLKISGCGRIKIFGHEESEIPHPLFVIEKVIPQLEEVSFSRNDISLISDGKYEANLFCNIKLLRISGYSDESAVFPISFLRRFYNLESLELGSCNFKELASFESNACEDKDTIVTIPNIKNLKLDVIKNIRHFWKQDSSFDHFCASLVRLEVWKCDSFINFGLDLSFFENLTTLDVWKCKEMSALITSSKARSLVCLVTMRIRECEMMREVIVSEEDDTPYEIVFSELKRLELHCLQSLTSFCSGSYTLRFPSLEQVTLSQCPRMKNFCQGELTTPKLHKVQLTETDFRGRWAGDLNATIEQLNTEGAEKSIYF >KJB39472 pep chromosome:Graimondii2_0_v6:7:1200657:1204138:1 gene:B456_007G015300 transcript:KJB39472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYDLLRRPEFESSGDHRQQREEEGEEPRPDNKKNRLVIVSVIALGMIVVSAVCAGLAIVLREGAADRVGGGGGGGVLVHRKPTRAISKTCSKARFPSLCVNSLLEFPGSLAASEQDLVHISFNMTLRHFSQALYTTASISYVQMDPRVRSAFDDCLELLEDSVDALSRSLSSVVPSQDGGYKGSSSQDVMTWLSAALTNHDTCTEGFEGVSGTVKDQVAAKLNDLAELVSNCLSIFAASGGDDFGGVPVQNRRLLASGDDLSGENVDEDKFPKWLGRKERVLLNTPVSAIQADIIVSKNGTVKTISEAIKKAPENSTRRIIIYVRAGRYEEKDLKVGRKKINLMFIGDGKGKTIISGGKSIFDNITTFHTAAFAATGAGFIARDMTFENWAGPAKHQAVALRVGADHAVVYRCNIIGYQDTLYVHSNRQFYRECDIYGTVDFIFGNAAVVFQNCSIYARKPMALQKNTITAQNRKDPNQNTGISIHACRILPASDLVASNGSFETYLGRPWKLYSRVVFMLSYMNNHVHPKGWLEWNTTFALDTLYYGEYMNYGPGAAIGQRIGWPGYRVITSEIEANKFTVQQFIYGSSWLPSTGVAFLAGLQV >KJB46027 pep chromosome:Graimondii2_0_v6:7:57278884:57281384:-1 gene:B456_007G344400 transcript:KJB46027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPNLNTHNFLHKVPFKFLSLHSFSSIKNANFNHLPLDIQRFLSLLHEFSNTLLSVKSIHAQIITNSVSKHQFLSSNLVRSYSELGCLGLARKVFDKIPQPKPILCNSMLNGYLRNQCYKETIELFELMGASDWGFDSYSCNFVLKACMELEDYERGTEIIKRAVDHRVDGDKFLGSSMINFFMKFGDFNSARRVFNQMISRDVVCWNSMIGGYVKGCYYVAAFDLFLEMILCGVRPSAITMVSLVQACGGMRDLELGKRVHGLVLVFGLGTDVLVHTALIDMYSKLGEHERARSVFDIMPAKTLVSWNVIISGYVQNCLVYEAFYLFQKLVLTGGGFDSGTIISLLQSCAQVADLESGKVLHGYIFRKGLDINVILCTALVDLYSKCGALKEATFMFDRMKNRNVITWTAMLVGLAQNGHAEDAIRLFGKMQEEGVTANSTTLVSLVHCCAHLGSLKKGRSVHARLLRYGYAFDVVNRTALIDMYAKCGNINYAERVFEDVSFFKDVISWNSMITGYGMHGQGHKALDLYRRMLEEGLKPNKTTFVSLLSACSHSGLVDQGRSLFLSMESDHNIRANEKHYACYVDLLSRAGHIKEAEVLIKQMPFQSSREVFEALLNGCRMHKNIDIGIKAADYLLSLDATNPGIYIMLSNIYAEARRWDAVDHIRGLMRGRGLKKTPGYSLIEVGKQLHAFFAGEDSHPNSVEIDWILENLKSELEALGYVPDTSCVLRDVDEAMKIKSLWRHSERLAIAFGLLHTPAGSLIRISKNLRVCGDCHTVTKYISKVVKREIIVRDANRFHHFVDGKCSCNDYW >KJB46257 pep chromosome:Graimondii2_0_v6:7:58527156:58528624:-1 gene:B456_007G3546001 transcript:KJB46257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTEEGGGAVGAAPTDQTITDNNDSVSIDMDVPLSVGEVVNLRSLDEAFDGDEIDLRAKPLIQRVPSTLGRHEDFSKYFKPKVISIGPLHHDDPTFHKSEKLKLKLAALFVKKIGKDSLYRNMKKEIDGLRKCYDPQELEKYSNDNEKLAWIFFVDGCAILQAVYRRYGDDGDDNDGKLFIKDDLLTFVYSDLFLLENQLPFRVLELLTSSGDGKKFMSAIQRFIDETVINPGLGREWWWKQQKEGERIHLLHLLRERLLLRKVRIRCWCFLWEFTRHGFGKAAARRKRTKRYHSRTIGNVKELKKAGIWLEASETSNLTDI >KJB43224 pep chromosome:Graimondii2_0_v6:7:18370861:18373151:1 gene:B456_007G189200 transcript:KJB43224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLGKCEANYVALTPLTFLKRASSAYANRTSIIYENTRFTWRQTYERCCCLASSLLSLNILKNDVISVLAPNIPAMYEMHFAVPMAGAVLNTINTRLDAKNVATILRHSEAKVFFVDYQYVPLAREALRLLMVDSQQNQTSQSPSAAPESFIPLVIVIDDVDSPKGVRLGELEYEQLIHMGNPRFVPTEIQDEWDPISLNYTSGTTSEPKGVVYSHRGAYLSTLSLILGWEMGSEPVYLWSLPMFHCNGWTFTWGVAARGGTNVCLRNTTAYDMYRNIASHKVTHMCCAPIVFNILIEAKPHERREFTSTVQVLTGGAPPPASLLEKMELLRFHVTHGYGLTEATGPALVCEWQAKWNNLPRESQAKLKARQGISVLTLADVDVKNMKTMTSVPHDGKTMGEIVLRGSSIMKGYLKDPETTSKAFKNGWFITGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELESVLYRHPRVLEAAVVAMPHPRWGESPCAFLAVRENEVGRKEDVKEADIIAFCRKNLPHFMVPKKVEFLPELPKTSTGKIQKFQLRALAKAFQITDTKEVPQHHEDQVLALSRL >KJB44503 pep chromosome:Graimondii2_0_v6:7:41562412:41562910:1 gene:B456_007G256100 transcript:KJB44503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGYGSESEADEEAATVNLTSDLSRVNRASTKSAVKLQEIGPRMTLQLTKIEGGLCFGEAMFNEYAMVAIRKSQAMRVMKRKTVRMMAKWRIAMKMMKRITRKIWKKVRRLVL >KJB45913 pep chromosome:Graimondii2_0_v6:7:56199666:56201471:-1 gene:B456_007G3374001 transcript:KJB45913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATMTTPLPISFFPFLLLIPAICFTICHANSNLLCIQSEREALLKFKNHLIDPSNRLSSWVEGGDCCEWTGVVCHNSTGHVNQLHLAAPLSETDDFATNAEWEASYNSLLGGKINPSLLELKHLSSLDLSNNNFSSIHIPKFFGLLESLTYLNLSGARFLGAIPHNLGNLSKLQYLDLGGNDLEPKSLQWVSGLSSSQYLDLSSANLSKATDWVQVTFKLPSLLELHLLGCGLEDDPSFNSINSSKSLVVLDLSFNRFSSVPKWIFSLHGLVSIDLSGNSLEGPIPDYFGNTSFLEVLDLSLNNLNSSIPNSLYSLNRLQFLSLGQNQLQGTISSAIGNLSSVTQLDVSVNQLNGQIPLSIGQLSSLEEFDVSENQLNGQIPLSIGELSSLKLFDVSKNQLSGQIPLSIGQLSSLEVFDVSENQLNGTFPLSFGRLESLETLDCGYNLLEGVVSETHFSNLTRLTTLAASHNRLRFEPNSSWIPHFNVNGSNWVTGILAQRISDVMPTWFLNLPTPFESLNLSSNQLRGEISYLNVRSSVDLSSNRFIGPLPRVFPTLVFLMLSNNSFSGSLFELLCNSS >KJB44377 pep chromosome:Graimondii2_0_v6:7:38975206:38976357:-1 gene:B456_007G248900 transcript:KJB44377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTPQCTNPTHSDSFDDSENGTLLPGLPDDLAQRCLSSLSPSLLFSVCHPWRRLLYFPSFPPFFSLYVLFSPLHGPTTTNPVPGGIDPQNSIEFFSFDPISSSWTPLPTPPQNPPLHLLHRHPSFLSRNLPIQSLTVSNHLVLIAATTHKLFPALSSPLVFHPESNSWFYGPQISTPRRWCVTGSAQGAVYMASGVGSHYQGDIARSMERWDLNKKRESWGWENKAPLKDGRFSREEVEAVGYRGKLCMVNVKGNAVKEGAVYDVELDKWEEMPPGMVAGWNGPAATMDEDVIYVIDEVKGRLSKYDGEKDCWEKVIELEQLKRAEQITAGRGKICAISAKGEKIIVVDVRDKPARFWEVAPPPGMEVVAVHVLPRMISRQH >KJB44851 pep chromosome:Graimondii2_0_v6:7:47098615:47101426:-1 gene:B456_007G276000 transcript:KJB44851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVISPQNCLVEICHFHGLQWGFKQAGPGCSSLTCPTVHVTTSRIPCLIKCCHRSRSYLPWKVDNGSEREAVSLWCRGLQRTVCIDRDEFDQDEWRSSENGKMLTNGKFEEKMVPKKIRDSLMTTLDGPFVRNNEETNNDVLQNLCRNGKLKSAIRLIEVMACKNQIPHFPSCINLIRGFLKVDKLDKAAKILQVMIMSGGVPDNITYNMMVRDLCKRGQIRSAIDLLEDMSLSGCPPDVTTYNTIIRCIFDKGNFDQAVGFWKNQLRKGCPPYLITYTILVELVCKHCGTLQAMEVLHDMAIEGCYPDIVTYNSLVSFNCKQGKYEDAALVIYNILSQGMEPNVITYNTLIHSLCNHGSWDEVDEILAIMKETSHPPTVFTYNILINGLCKYGLLDRAINLFDQMLSQNCSPNIVTYNILLRALSKEGMVEEALQLFQFLSRSSCSPGLVTYNTLIDGLARNGDIEKAMGLYDQMLGDGINPDDITHRCLVRGFCRADQVEGAVEILKVMAERKHAAGNSGYKMVIHRLCKNGKIDLAIQVLEMMVLSQNKPEETTYLTIIKGIADAGMKQEATKLYQKLMAWRVFRERAV >KJB40335 pep chromosome:Graimondii2_0_v6:7:4171593:4176648:-1 gene:B456_007G058700 transcript:KJB40335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 11 [Source:Projected from Arabidopsis thaliana (AT4G38050) UniProtKB/Swiss-Prot;Acc:Q6SZ87] METGSNSDSLDKAETSNGRGNKLGSMLPKIEPFVPKKDQNHNPRELRSWAKRTGFVSHLSGETGTASSTERFDSSTGFHVGKSGRDQRAGGSSPKIEIDPVLGRTRPNMGVEIEMDSGSGSGSRQGRKTVADGNGLGEKVEERKVGLNGNGVENGNGNGKGNGVASVTPLVVEEKDEEGKGGRDVEIGMYPEGEEQGHEGGNGHHPGLKVGLRDNPGFGALIYYGLQHFLSLAGSLIFIPSVMVPAMGGTDKDTASVISTMLLVSGISTILHSYFGTRLPLVQGSSFIYLAPALVIINARDYRNLTEHKFRHIMRELQGAIIVGSIFQSILGFSGLMSLLLRWINPVVVAPTVAAVGLAFFSYGFPQAGSCIEVSVPLLLLVLICTLYLRGISIFGHRLFRIYAVPLSVTITWIYAFFLTAGGAYDYKGCSPDIPSSNILVDECRKHAYTMKHCRADASNAWRTAAWVRIPYPLQWGVPIFHFRTSLIMIIVSLVASVDSQVGTYHSASLLVSSKPPTPGVVSRGIALEGFCSLLAGIWGSGTGSTTLTENTHTINITKMASRRALVFGALFLILFSIVGKVGAILASIPLSLAAAILCFMWALVVAVGLSTLQYTQTASFRNITIVGVSLFLGLSIPTYFQQYQPESILMLPSYFVPYAAASDGPVHTINEQLDFSINALLSMSMVVTLLVAFVLDNTVPGSRDERGVYIWSCAEDVATDPSLQLDYSLPGKFSSLCCRSSCLRA >KJB40334 pep chromosome:Graimondii2_0_v6:7:4171256:4176987:-1 gene:B456_007G058700 transcript:KJB40334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 11 [Source:Projected from Arabidopsis thaliana (AT4G38050) UniProtKB/Swiss-Prot;Acc:Q6SZ87] METGSNSDSLDKAETSNGRGNKLGSMLPKIEPFVPKKDQNHNPRELRSWAKRTGFVSHLSGETGTASSTERFDSSTGFHVGKSGRDQRAGGSSPKIEIDPVLGRTRPNMGVEIEMDSGSGSGSRQGRKTVADGNGLGEKVEERKVGLNGNGVENGNGNGKGNGVASVTPLVVEEKDEEGKGGRDVEIGMYPEGEEQGHEGGNGHHPGLKVGLRDNPGFGALIYYGLQHFLSLAGSLIFIPSVMVPAMGGTDKDTASVISTMLLVSGISTILHSYFGTRLPLVQGSSFIYLAPALVIINARDYRNLTEHKFRHIMRELQGAIIVGSIFQSILGFSGLMSLLLRWINPVVVAPTVAAVGLAFFSYGFPQAGSCIEVSVPLLLLVLICTLYLRGISIFGHRLFRIYAVPLSVTITWIYAFFLTAGGAYDYKGCSPDIPSSNILVDECRKHAYTMKHCRADASNAWRTAAWVRIPYPLQWGVPIFHFRTSLIMIIVSLVASVDSVGTYHSASLLVSSKPPTPGVVSRGIALEGFCSLLAGIWGSGTGSTTLTENTHTINITKMASRRALVFGALFLILFSIVGKVGAILASIPLSLAAAILCFMWALVVAVGLSTLQYTQTASFRNITIVGVSLFLGLSIPTYFQQYQPESILMLPSYFVPYAAASDGPVHTINEQLDFSINALLSMSMVVTLLVAFVLDNTVPGSRDERGVYIWSCAEDVATDPSLQLDYSLPGKFSSLCCRSSCLRA >KJB40441 pep chromosome:Graimondii2_0_v6:7:4443746:4447160:1 gene:B456_007G063500 transcript:KJB40441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYAMDFALKFNHSIFSTPYPPKPSPKCRFLTARCSLPLSSDGAATGLAERPWKVADARLVLEDGSIWRAKSFGASGTRVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDDESRQCFLAGLVIRSLSITTSNWRCVETLGDYLAERNVMGIYDVDTRAITRRLRQDGSLIGVLSTEQSRSDDELLEMSRSWDIVGIDLISGVTCNAPYQWVDETKSEWDFNSDGRDRDTYRVIAYDFGIKHNILRRLASYGCKITVVPSTWPAAETLKLKPDGVLFSNGPGDPSAVPYAVETVKEILGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLRNGRVEISAQNHNYAVDPASLPEGVEVTHVNLNDGSCAGLAYPALSIMSLQYHPEASPGPHDSDCAFREFIELMESTKQAA >KJB45967 pep chromosome:Graimondii2_0_v6:7:56648577:56651044:-1 gene:B456_007G341000 transcript:KJB45967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDQLWDDTVAGPRPDTGLGKLRKQSKYTFWPNSARESDGGSVRSNGDETSLEEATRVTRTIMIVKPPGYQTGSPPVSPAGTTPPASPFAGSKESHQFRRRSISDAYEKAGEVGARSPHPPSDV >KJB42854 pep chromosome:Graimondii2_0_v6:7:15402199:15402590:1 gene:B456_007G1707001 transcript:KJB42854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFLSPLNQVQSRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYVTLTTKLKVSMFCY >KJB45608 pep chromosome:Graimondii2_0_v6:7:52917039:52918479:1 gene:B456_007G315400 transcript:KJB45608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWYSETLNVYKDMEDLMSKDGKSYRVQVAIEAMKRQSQVYYVEAKWLHENYIPTMEEYMPIALVSCGYWNLTVSSFVGMEDSITKETFNWAFNDPKIVRASCTICRLMSDIVGHKVERERVHVSSAVECYMKQYGVSMQEAYDELYKQINNAWKDINEEFLKPTAAPTSALNRILHLARVIDLLYTGEDAYTQVGESAKTSITALLIDSIPI >KJB41101 pep chromosome:Graimondii2_0_v6:7:6591543:6593384:1 gene:B456_007G090500 transcript:KJB41101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQMSHLDDIPSTPGKFKMEKSPFVHNRLRWHSSLAKLTFWSFIFLGLILIFFFRSPSSNPLPQDPSRRSLRTYNWGGPAWEKRVRSSARVRSHNGISVLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDPSLKRARQALLERSGVFIVEGDINDSALLRKLFEVVAFTHVMHLAAQAGVRYAMENPGSYVHSNIAGLVSLLEVCKSANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLAALDTAEKSTGSGGKKKGPAQLRVYNLGNTSPVPVSDLVSILERLLKVNAKRDIMKLPRNGDVQFTHANISLAQRELGYKPSTDLQTGLKKFVRWYLGYYNGGKKAAG >KJB44408 pep chromosome:Graimondii2_0_v6:7:39543451:39545391:1 gene:B456_007G251000 transcript:KJB44408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSILPVPCSTSHRFHWESDVENSEFKIPNSPNVEELGIAIASMKKSRPSSPSAETIAYNHDLLTQILIRIPPKHLLKLKLISKQWRSLISSLQFSHSHSLHHQNRGFLTPSALFLGIGNYYRPPFELPALPLTPDTRLPVLDFIVDPHFKILQSCNGLLLGHFYYNINERLRYFICNPTTRKFKMISLPVSQLGSLRAVNLAFDPMKSPHYNIICVRKLPSPNRRFGLYIYSSKSDEWDSSWISFRANEYIRFDHGVFCNGVFHWNSNGRKSLRFDLENKVLKKMPMLAPMFQAPQGSEDEDSRYFGESRGHLHLGVTYMPLCFKFNIFEMAADYSHWFLKYCLNLDDTMKAFPDLRLPVVDIYYGFHVLSVIRSEGEESKVVILVDYKAICYELKNGVLLNVYELKQCPETLNRCPLNYIGIQVYQYFETLSCV >KJB43384 pep chromosome:Graimondii2_0_v6:7:19820676:19828098:1 gene:B456_007G197200 transcript:KJB43384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSQLVGNVDVVVRGAAGASAEAGNGVTVVEMSAGKRRRGRPPRNQVRTTSLSAPPPPPQRKDEDDEEDVCFICFDGGSLVLCDRRGCPKAYHPACIKRDEAFFKSKAKWNCGWHICSTCQKASYYMCYTCTYSLCKNCTKDADYLNVRGNKGFCGTCMRTIMLIENIASENKEMVQVDFDDKTSWEYLFKVYWVLLKEKLALSLDELTNAKNPWKETAIMGPKGHSSSELNNYGNAKGTNMEKSCGDQGDSYSKRRKTTRQQKLLNNVEYLGAENSGVMKGVPFPGGTNWATTELLEFVAHMKNGYVSVLSHFDVQALLLEYITRNNLRDPRQKSHIICDSRLMKLFGKERVGHFEMIKLLESHFLIQDHSRAIDTVRGEPIEAAAIQLAVDGNSDSQPIIACDKRRKTRKKVNEKGQRANPDEYAAVDVHNMNLIYLKRNWIENLIDDAEKIDGKVVGSFVRIKIPGNDQKQDFYRLVQVVGTSKAEPYKIGERTTDIMLEILNLDKKEAVSINGISNQEFTEDECQRLYQRIRCGLTKWFTVGEILEKAMALQAVRVNDWLQSEILRVTNLRDRASEKGHMKEYRECIEKLQLLNSPDERQRRLQEIPDIHCDPDMNQYCKSLKVAVELEEKKENNKPRDSGFTMKEKEPAFALKGSDDLNDIGSRGTSLGPHSTGMELTVNNIETDKIWHYQDPNGKVQGPFHIEMLRRWSMSGHFPPDLRIWRANEKQDNSILLTDALDGLYGEAKQSFCNSCVPTEDIRVASDDGCLSGAVDGSGGTDLNVAQIESKQVEGTLNSTLNDKSSHYCGNNESVKSTELSSQSSPCTATCTPVVDVVNSGAVQKGSPLPTCDLVKGDNDLPGLPQVSSSVPSSTLSDKPCGTQSQQFNNDHGVERWDNGSINMGENMDKTSEGQNIAGSAKLDDSEGKSGRSSGQSWRSSPLNDASNGWDSNSGLISLARALEASEHSQDIDFSDIPTSTSKLNLEDSKGQANESKLSLSLNVPHRDSGPSWSTTSSLVGNSQIAEIVGEWGGHSSTPAKPSAKEWDSNIVRESSFKPSIMGSDHAAAPTSGSDQLTHSSPPDPANNAFAWDPIVPEPNEGDESVSDLLAEVEAMESLNGLTSPTSIMHCDGELAQGSEPDCFSPVGRLSPAPDPGKSDAFSSTNDLQMPSQSTVTTEPFRISQSEVLDAQKSSGRHSSTSAEINENTRPRDVSVNQYKVGSNMQPPASPVTTWGMDTIDTAWRSGPETTSTNCGPVHGNKNFSWGGLGEGNTNVSRGTGQGTFQENSSINAGTSGENLAYWGSQQRYVSPRHRDFQGRDSSSAGGSDFHGRDSSSARGSDFQGKDSSSARGSDFQGRDSSSARGSDFLGRDSSFARGRSSSNRHSSYYGGSNGGGTFRSPTKGQRICKFYESGYCKKGAACRYWHP >KJB40372 pep chromosome:Graimondii2_0_v6:7:4275081:4279942:1 gene:B456_007G060500 transcript:KJB40372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFRGFIILACLLSFVSANLQGDALFALKTSLRASPDQLRDWNPDQVNPCTWSNVMCDPSNNVISVMLASMNFSGTLSPKIGVLTTLSSLTLKGNGITGEIPKELGNLSSLTSLDLENNRLTGDIPSSLGNLKNLQFLHPSFHSSRTLSMNNLTGTIPSSISDLPKLISLLLDGNDLSGQVPDHLFRIPIYNFTGNRLNCGRNFPHGCASKGNESGSSQKPKVGIIVGIIGGFIILLLFSSLLFFLCKGRRTGYKGEVFVDVAGEVDRRIAFGQLKRFAWRELQLATDSFSEKNILGQGGFGKVYKGVLSDNTKIAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMENLSVAYRLRELKPGEPVLDWPTRKRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKKLDAIVDQNLNENYNIEEVEAMIQVALLCTQASPEERPAMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYNQDAIELSGGR >KJB40371 pep chromosome:Graimondii2_0_v6:7:4274889:4279999:1 gene:B456_007G060500 transcript:KJB40371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFRGFIILACLLSFVSANLQGDALFALKTSLRASPDQLRDWNPDQVNPCTWSNVMCDPSNNVISVMLASMNFSGTLSPKIGVLTTLSSLTLKGNGITGEIPKELGNLSSLTSLDLENNRLTGDIPSSLGNLKNLQFLTLSMNNLTGTIPSSISDLPKLISLLLDGNDLSGQVPDHLFRIPIYNFTGNRLNCGRNFPHGCASKGNESGSSQKPKVGIIVGIIGGFIILLLFSSLLFFLCKGRRTGYKGEVFVDVAGEVDRRIAFGQLKRFAWRELQLATDSFSEKNILGQGGFGKVYKGVLSDNTKIAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMENLSVAYRLRELKPGEPVLDWPTRKRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKKLDAIVDQNLNENYNIEEVEAMIQVALLCTQASPEERPAMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYNQDAIELSGGR >KJB45985 pep chromosome:Graimondii2_0_v6:7:56724901:56725520:-1 gene:B456_007G341900 transcript:KJB45985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFRCLEINVMSAHHLIVDDHFRTMAVYAVVSIESDHTSAQRTPIGTGRGSNRQWNHTVKFNFDEATVRRDLLTVAFCLKSDCEIGVLEIGAAKVSVKELLDNDHRNAIKAIPISLYPSYGYQQPLFRDYGYGGMWGDDDYFDDDDFGDYFQPAEDFEGDYESL >KJB39918 pep chromosome:Graimondii2_0_v6:7:2888133:2888780:-1 gene:B456_007G041300 transcript:KJB39918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFSNVFFFSFLIIHFYTVICAEYEVNGDNGWIVPKHDNRTYNNWASTNRFIVNDTIRKEYDKCQTSHPQFFSNNGDTDYKLDQPGLFYFISGATGHCQRGQKMVVKVLGLQPEIPAQQASHGECDKQWCSL >KJB42589 pep chromosome:Graimondii2_0_v6:7:13893345:13895488:1 gene:B456_007G158900 transcript:KJB42589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGVEERDQSVSLDLLKQKMANFAKERDWDQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPDWKEEEKVHLGEELSDVLLYLVRLSDICGIDLGKAALRKVELNAIKYPASKKNFNTSNGTARTGTTAVERTKTVVHPFNVQSVAAPKPI >KJB42588 pep chromosome:Graimondii2_0_v6:7:13893320:13894826:1 gene:B456_007G158900 transcript:KJB42588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGVEERDQSVSLDLLKQKMANFAKERDWDQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPDWKEEEKVHLGEELSDVLLYLVRLSDICGIDLGKAALRKVELNAIKYPASKKNFNTSNGTARTG >KJB46255 pep chromosome:Graimondii2_0_v6:7:58506997:58507728:-1 gene:B456_007G354400 transcript:KJB46255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTEEGGGAVGAVSTDQTIIDNNTNNDYVGIDMDVRLSSLEEANLRSLDKALDGGLRKLAAKPSIRKVPPALRRNEDFKKYFTPKVISIGPFHYGDPSLYQSEEIKLKLAAHFVKNIGVDKDSLYRNMKKEIDGLRKCYDPQELEKTGYDNRELAWMFFVDGCAILQAVYMRYDDDDDDDDGKLFIKNDLLTFVYSDLFLLENQLPFRVLELLTSPRKNGEKFMSAIQRFIDETVINPGLGR >KJB45725 pep chromosome:Graimondii2_0_v6:7:54120278:54124900:1 gene:B456_007G323200 transcript:KJB45725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVDGGESPSRLEGKISAMIVCWILGFGSLIAWNSMLTIGDYYYNLFPDYHPSRVLTLVYQPFAFGTMSILAYNESKINTRRRNIFGYSLFVASTFMLLVLDLATSGRGGLGSFIGICAIVAFFGVADACVQGGISFFAGLAASGALTSALRLITKAAFEMSNNGLRKGAMLFLAISTLFEFLCVLLYTYFFPKLPIVKYFRSKAALEGSKTVQADLAAAGIQTKDDHHEQNERLSNKQLFIQNIDYALDLFLIYVLTLSIFPGFLYENTGEHKLGTWYPLVLIASYNVWDLISRYLPLVKFLKIESRKGLTIAILSRFLLIPAFYFTAKYGDQGWMILLVSFLGLTNGHLTVCVMTAAPKGYKGPEQNALGNILVLCLLIGIFAGVSLDWLWLIGKKNAF >KJB45724 pep chromosome:Graimondii2_0_v6:7:54119842:54125004:1 gene:B456_007G323200 transcript:KJB45724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVDGGESPSRLEGKISAMIVCWILGFGSLIAWNSMLTIGDYYYNLFPDYHPSRVLTLVYQPFAFGTMSILAYNESKINTRRRNIFGYSLFVASTFMLLVLDLATSGRGGLGSFIGICAIVAFFGVADACVQGGIVGDLSFMLPDFIQSFFAGLAASGALTSALRLITKAAFEMSNNGLRKGAMLFLAISTLFEFLCVLLYTYFFPKLPIVKYFRSKAALEGSKTVQADLAAAGIQTKDDHHEQNERLSNKQLFIQNIDYALDLFLIYVLTLSIFPGFLYENTGEHKLGTWYPLVLIASYNVWDLISRYLPLVKFLKIESRKGLTIAILSRFLLIPAFYFTAKYGDQGWMILLVSFLGLTNGHLTVCVMTAAPKGYKGPEQNALGNILVLCLLIGIFAGVSLDWLWLIGKKNAF >KJB45598 pep chromosome:Graimondii2_0_v6:7:52854221:52857517:-1 gene:B456_007G314800 transcript:KJB45598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor ILR3 [Source:Projected from Arabidopsis thaliana (AT5G54680) UniProtKB/Swiss-Prot;Acc:Q9FH37] MVSPENTNYWSSFDYATLINDIPAPDGPYSGFSWPTRPINASSNVFSVEIDGSFEDSDGLKESGSKKRVRSESCNVSSSKACREKLRRDKLNEKFMELSSILEPEKPPKTDKAAILVDAVRMVTQLRGEAQKLKDSISSLHDRIKELKAEKNELRDEKQRLKAEKEKLEQQLKAMNSQPSFMPPAPAFPAAFATAQGQVPGNKLVPFFGYPGVAMWQFMLPASLDTSEDHVLRPPVA >KJB40306 pep chromosome:Graimondii2_0_v6:7:3987249:3990490:1 gene:B456_007G056600 transcript:KJB40306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANSLQKVTSQLRVARSTLGSFSRTFSSDALVEVKPGEVGMVSGIPEEHLRRRVVIYSPARTATQQGSGKVGKWKINFVSTQKWENPLMGWTSTGDPYANVGDSALGFDSEEAAKSFAERHGWDYVVKKRQTPVLKPKSYADNFKWKGPAVSEK >KJB40465 pep chromosome:Graimondii2_0_v6:7:4579530:4580773:1 gene:B456_007G065200 transcript:KJB40465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSIIVITLLALGLASNIIGGYLYPQFYDHSCPRAQEIVRNVVAKAVAKEPRMAASLLRLHFHDCFVKGCDASILLDSGGSIISEKKSNSNRNSARGFEVMDEIKAVIEKECPHTVSCADILALAARDSTVLTGGPSWEVPLGRRDSRGASLSCSNNNVPAPNNTFQTILTKFKLQGLDIVDLVALSGSHTIGFARCTRFRERLYNQSGNGKPDNTLDQSYASQLRRNCPRSGGDQNQFFLDFVSPIKFDNSYFKNLMANKGLLNSDQVLFTKNGESRELVKTYAYNQELFFQQFAKSMIKMGNISPLTGYRGEIRQDCRKINA >KJB43357 pep chromosome:Graimondii2_0_v6:7:19487090:19491694:-1 gene:B456_007G196000 transcript:KJB43357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRKSNRVSWAPGVNLCQVKLFLREDCPSKVGGQCQDTIQAKASWTSHPSGMSVIDLPPGFEGCHYTNALKYDLAKIPRIQWISPPKFALNFNWQVAAGEESKEVEAQKLREKRVLEAVYPRISVIPPNPVSLNVEVESYDDSRIPLVPLTPIEDDEEAEVPSGIAAQAKSPSNFETVALLKHPGLSNSGTHNMPHCPSSAAGAPDMLPGVSSDVMTATLAALTAVVKTKEQGSLVDTDLLVKILSDPKIVEKLIHDHGHPVAAANGNVVSTPVHTSEPETGITSLPCSKPQMPADRNSNHLVKEFRPVSSTPASWADIVPISMPMRVESSVPLTSTNIDMITGHRAANGNAYTTMNQVQPSPSMMPVQPAISSTAMQAVKDTNYFKNLIREHGRDKEEDKGHNMSQTGSHINHIQNLKVVETLKPVASKTKFRKPCMFFNSSKGCRQGSNCPYLHDNKSLQWQTGRTLEAPSAKRMKLSGEITGRI >KJB41492 pep chromosome:Graimondii2_0_v6:7:8024309:8025066:1 gene:B456_007G106700 transcript:KJB41492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIKTKGKNEDAATFATWDCGCRLYDSYELVSLNGSKRPMTGISHPTDFTPVSIADPTSKSIAKESSSLRSSSRELHESKCWKKRRFGQRRDTAIKLRSGFSCFCNNPLFPSK >KJB42163 pep chromosome:Graimondii2_0_v6:7:11552626:11555002:-1 gene:B456_007G139900 transcript:KJB42163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYYKVVSRDPVRSGTIEVSFGQMNSPLKGPTSPNKLSNYKRIYGIYFHLLISFRFWIGCVEEWKLEKLPPSGILLTAALTFGG >KJB45962 pep chromosome:Graimondii2_0_v6:7:56586621:56587930:1 gene:B456_007G340500 transcript:KJB45962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVPYTMKAWIYGQHGKPEDVLKLKSDVVVPELKEDQVLVKVMASGLNPVDNKRMLGIFVQAECPFPTVPGYDVAGVVVKVGSQVKNLKVGDEVYGNIHEKALDHPKQYGTLAEYTAVEERLLAPKPKNLSFAEAASLPFTAGQSILVLGGAGGVGSMVIQLAKHVFGASRVVATASTGKLELLRNLGADLAVDYTKENFEDLPEKFDVVYDSVEQCERAVKAMKEGGEVVIVIGAVTVPAFVFIVTSNGADLEKLNPYLESGKVKAVIDPNGIYPFSQTLEGLAYVDTGRVAGKVVIYPIQQDN >KJB44711 pep chromosome:Graimondii2_0_v6:7:45309045:45309855:1 gene:B456_007G270200 transcript:KJB44711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVQFLVAFVLLALASKLVSASDPSPLQDFCVAINDTKDGVFVNGKFCKDPKLATAEDFFLPGLNIPGNTSNQVGSMVTPANVQQIPGLNTLGISLVRIDYAPYGGLNPPHTHPRATEILVVVEGTLSVGFVTSNTDNRLFTKVLYPGDVFVFPEGMIHFQFNIGSTNAVAFAALSSQNPGVITIANAVFGSEPAINPDVLAKAFQLDQNIVKQLQSRFWWDNN >KJB41501 pep chromosome:Graimondii2_0_v6:7:8094420:8096489:-1 gene:B456_007G107500 transcript:KJB41501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDAYGMMEAQYIRRHHRHDIRDDQCSSALVKHVKAPVNLVWSLVRRFDQPQKYKPFVSRCIMKGDLGIGSVREVNVKSGLPATTSTERLELLDDEEHILGIKIVGGDHRLRNYSSIITVHPEVIEGRPGTMVIESFAVDVPEGNTKDETCYFVEALIRCNLKSLADVSERMAVLDQTQPINGY >KJB44085 pep chromosome:Graimondii2_0_v6:7:31328632:31331713:-1 gene:B456_007G235600 transcript:KJB44085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLQTDASDKYWGAILFEEENGKRRLYGYKSGRFTDAEIHYHSTFKEILAVKKDAEIQTKEVPHSQLLRWAEWFSKFSFNVVHIKGKTNVLTDILTRPLENFPENLMIQPSSSSKGKEVLSLILEKKFHREAMNMMLSYQLDIFRDFCGLFLKPLGLYSDYPFIHPIKFQFIEFLDELKWMLWYLTHLFHIGIEFFIEDP >KJB43491 pep chromosome:Graimondii2_0_v6:7:20797734:20798416:1 gene:B456_007G203000 transcript:KJB43491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSPITRPYYSQTQYIKTSVRIRISAPSPLSLPASQGKWNISTPHPPPTPLLSAAFFPRSSPHCRRVYRKEIVIVTHWFWQWGKDKLS >KJB39803 pep chromosome:Graimondii2_0_v6:7:2145056:2146143:-1 gene:B456_007G031100 transcript:KJB39803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRMWASTTANALKISCATTKAPVFSLSRCFSTVLDGLKYAESHEWVKHEGPVATIGITDHAQDHLGEVVFVELPEPGGSVSKGKGFGAVESVKATSDVNSPISGEIVEVNSKLTETPGLINSSPYEGGWMIKVKPGSPSELESLMGPKEYTKFCEEEDASH >KJB40344 pep chromosome:Graimondii2_0_v6:7:4837301:4838238:1 gene:B456_007G0686002 transcript:KJB40344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSSSKPPPPPPSPPRTSAWDFLNPWGCPPSKFPWTYSSKETCYLLEGKVKVYPDGSNDFVEIGAGDLVKFPKGMSCTWEVSVAVDKHYKF >KJB44748 pep chromosome:Graimondii2_0_v6:7:45448830:45448959:1 gene:B456_007G2705002 transcript:KJB44748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRLQLFVAAFAILASSSLLASASDPSPLQDFCVAINDTKNG >KJB43522 pep chromosome:Graimondii2_0_v6:7:21015683:21016168:1 gene:B456_007G204300 transcript:KJB43522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIEKAPDENDIRKVHTMHDYLQPSQNSTPSCFVLLLNANNFRFKLGVITLLPNFLGLEFKSPYLHLKDFDEVCAIFNDPPYANEIDKLKLFPLSLKDKVKIGFKNLKPRSIGTSQEMQTKFLKKFFQHKRPMLSKNRFKISCKIQMNHFFNVGNVSKIC >KJB46450 pep chromosome:Graimondii2_0_v6:7:60195508:60197038:1 gene:B456_007G369300 transcript:KJB46450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPQVNESMSTGTKKRKREADNSVEQKGKAPNKQTSGNEASTSRQQPKQKETKDDGNRVKNTHSKFARRKKAIWKFCFGRTRWPFLSRYKLLPPSIKSETISQCVLIFKKFSKRWRTSGPNPIMM >KJB38896 pep chromosome:Graimondii2_0_v6:7:56683051:56688738:-1 gene:B456_007G3415002 transcript:KJB38896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGDRLVPPVLKGATEAISTVHQTVHGVVGDVAAEIHSHGIIKPILSDAISAAHGVAAELQHAGTDVSSEAISAAQMSPGAAGGGPPQVQHSGLSGTASGLIKQISSDAISAAQTPGVFEGGSPQAQPSELLGTASGFIKQVSSDAISVAQMSPGGSGERSPQAQNSGLLGTASGFIKQVSSDAISTAQSSPGVATKAQHSGLFGAASGLIKQVSSDAISAAHNVATDVQHAGLIGESLSNIDRLAPPVIKNVSSKAISAVQNVPGVVTEVGNGGLLNTATGILKQVSSDAISAAQGVATEVHHVGAIGESLAKIDNLVPSVIKQVSSDAISANQKVAGVATGLGSLVQHGGILSTASGLIKDVSSQAISAAQGVVSELKHGGVVKEETEKLKYLAFLQLAIIHVILWFGNLYTKLKQMSGPLKPVIEIVERMVKTLIGPIFETFFDSLYDLFKFADDWIGEFVTKIDGILPPVIKQAISKVTSAANNALGLTVDVPTMEVQSTGVKSTSSRFLQQISSGAILVVEGVASQAKNSGLIPEELQVLKYLKYLKYFVTVQAAVLRAVKFYFQVKKMLRPLRPVIDFIERVVVSVYQKIFAKMVKSLFRWVLVNLFGVPAGVFDIIDKYAWRFE >KJB38894 pep chromosome:Graimondii2_0_v6:7:56682963:56688738:-1 gene:B456_007G3415002 transcript:KJB38894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGDRLVPPVLKGATEAISTVHQTVHGVVGDVAAEIHSHGIIKPILSDAISAAHGVAAELQHAGTDVSSEAISAAQMSPGAAGGGPPQVQHSGLSGTASGLIKQISSDAISAAQTPGVFEGGSPQAQPSELLGTASGFIKQVSSDAISVAQMSPGGSGERSPQAQNSGLLGTASGFIKQVSSDAISTAQSSPGVATKAQHSGLFGAASGLIKQVSSDAISAAHNVATDVQHAGLIGESLSNIDRLAPPVIKNVSSKAISAVQNVPGVVTEVGNGGLLNTATGILKQVSSDAISAAQGVATEVHHVGAIGESLAKIDNLVPSVIKQVSSDAISANQKVAGVATGLGSLVQHGGILSTASGLIKDVSSQAISAAQGVVSELKHGGVVKEETEKLKYLAFLQLAIIHVILWFGNLYTKLKQMSGPLKPVIEIVERMVKTLIGPIFETFFDSLYDLFKFADDWIGEFVTKIDGILPPVIKQAISKVTSAANNALGLTVDVPTMEVQSTGVKSTSSRFLQQISSGAILVVEGVASQAKNSGLIPEELQVLKYLKYLKYFVTVQAAVLRAVKFYFQVKKMLRPLRPVIDFIERVVVSVYQKIFAKMVKSLFRWVLVNLFGVPAGVFDIIDKVGGDLSKLGDLSNLGDLCTLGDFSTLGGLSKLGDLSKIDDLVPSGALSAVQSLPGVGGLATGLPGTGLLSSASGLLKPVSSNAIPGAQMVADVHHAGTVEATTKIDSLISPLFKRSSKVTSVAQKTLGMATGSATGFIKQISSGAISAAQKAPRVAQGLSNAGVQNTGSLTQLASKPIAATQKPAEVQHSGVVKGASTLAKSVFTVVEPTVEKCAVSIWQTLNKIPLFPQVASVVVPTAAFFTDKYNQTVATGAEKGYKVALILPVVPTQKIAKVFSVGKSD >KJB38895 pep chromosome:Graimondii2_0_v6:7:56683051:56687942:-1 gene:B456_007G3415002 transcript:KJB38895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGGSGERSPQAQNSGLLGTASGFIKQVSSDAISTAQSSPGVATKAQHSGLFGAASGLIKQVSSDAISAAHNVATDVQHAGLIGESLSNIDRLAPPVIKNVSSKAISAVQNVPGVVTEVGNGGLLNTATGILKQVSSDAISAAQGVATEVHHVGAIGESLAKIDNLVPSVIKQVSSDAISANQKVAGVATGLGSLVQHGGILSTASGLIKDVSSQAISAAQGVVSELKHGGVVKEETEKLKYLAFLQLAIIHVILWFGNLYTKLKQMSGPLKPVIEIVERMVKTLIGPIFETFFDSLYDLFKFADDWIGEFVTKIDGILPPVIKQAISKVTSAANNALGLTVDVPTMEVQSTGVKSTSSRFLQQISSGAILVVEGVASQAKNSGLIPEELQVLKYLKYLKYFVTVQAAVLRAVKFYFQVKKMLRPLRPVIDFIERVVVSVYQKIFAKMVKSLFRWVLVNLFGVPAGVFDIIDKVGGDLSKLGDLSNLGDLCTLGDFSTLGGLSKLGDLSKIDDLVPSGALSAVQSLPGVGGLATGLPGTGLLSSASGLLKPVSSNAIPGAQMVADVHHAGTVEATTKIDSLISPLFKRSSKVTSVAQKTLGMATGSATGFIKQISSGAISAAQKAPRVAQGLSNAGVQNTGSLTQLASKPIAATQKPAEVQHSGVVKGASTLAKSVFTVVEPTVEKCAVSIWQTLNKIPLFPQVASVVVPTAAFFTDKYNQTVATGAEKGYKVALILPVVPTQKIAKVFSVGKSD >KJB46400 pep chromosome:Graimondii2_0_v6:7:59802082:59805896:-1 gene:B456_007G365700 transcript:KJB46400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIAFDIAAELIIKLSSRALSQVGLWWNLKHDIHDLKRTVCQIKAVLLDAEEKSVTDNLVKVWLEELKDVLYDADDLLDDFSTEALRKDVSGGNKLTKEVRLFFSTSNQFAYGLKMGRKMKAIKARLASIESETNTFGFIPRDRPAETSFMTKRRQQTHSFEREDDIIGRDDDKAALLKLVLEFQSEENVYIIPIVGFGGLGKTALAQLVYNHEMVKNHFDLTMFACVSDDFDVKAIVANIIKSVTHHAPDQNLEMDQLQKQLRDKIDGKKYLLVLDDIWNEDPEKWSRLKKLLVGGAKGSRIIVTTRSLRVAEITNKCQSHVLKLKGLSDDDAWSLFKKIAFEQGHADSTNSAFVEVGRQISERCGGVPLAIRTIAGTLSLKKTANEWHSFKENELATISQIEGEILPILKLSYDHLPSHLKHCFAYCRLYPKDYKIKVQALVQFWIAQGFIKQLNQSQSLEEIGFGYFKALVERSFFQDIEGDLMEEMRCKMHDLTHDLAESVAGMESSIVDSNKIASDVGEKCRHISIKPSLIPLFKGKKLRTLLHFEDKISLDFSYETWDLIIANCRSLRVLKLDSIGIQKISRSICKLKHLSLTHMPRGIGKLTSLETLSMFVVDKDGSHGGADLSELRLLNNLRGRLQITKLGSVKNAKEKFKAANLKEKQHLRSLELAWACGNGDDEKSLEDLQPHPNLKELCIVGWRGDAKFPSWLSLLTNLVYMRIYRGNFKQIPSFAQFPCLKGLEIHGCTKLEYMDDNSPKGSQGEPQSFFPSLKHLWLWDCPNMKSWWRMTKPIDDNSPKGSQGEPQSFFPSLKQLWLWDCPNMKSWWRTTKPIDDDSNEDDTTVMGTSTMAFPCLSSLGIKNCPLTLMALYPSLDEDLMLSNTSSRPLKQIIKMNINAKAPSTSTSSLPLSKLKSFHVHNIEGLDTHTLDECLQHLTSLKFLTISDCKEVDLEGMQWEPLKNLSFLKIDNIPKLVSLPIGLQHLVQLKTLKIQNCNGLRSLLPVFQHLTFLEEFEVKDCKELELSGAGIQIFQDHTSLRSLCLENIAKCRHLPEWLQHLTNLQELHLSYLPNLTSLPDEMRCLTSLEHLHIHEVPQLEERCRKDIGADWQKIAHIPCIL >KJB39785 pep chromosome:Graimondii2_0_v6:7:2091121:2093266:-1 gene:B456_007G030600 transcript:KJB39785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLRSSTSFINLKETKSFKTSDDHFLATVSFAQIKPSCRLRPKYSMSMQQVSHEKISEKKEKLHSLMISHTENNSKVPVYVMLPLDTVTHGGNLNKPKAMNASLMALKSAGVEGVMVDAWWGLVEKDGPLKYNWEGYFELVQMVEKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDLVYTDRSGRRNPEYISLGCDSMPVLRGRTPIQAYTDYMRSFRERFRDYLGRVIVEIQVGMGPCGELRYPSYPESNGTWKFPGIGEFQCYDKFMRASLEAAAAAMGKKDWGKSGPHDSGHYKQFPEETGFFQKDGTWNTEYGQFFLEWYSGMLLDHGDRLLSAAKGTFQGTGAKLSGKVAGIHWHYNTRSHAAELTAGYYNTRHRDGYLPIARMFSKHGVVFNFTCMEMRDSQQPEHANCSPEGLVRQVKMVTKTARVELAGENALERYDSGAYAQVLATSRSDSGSGLSAFTYLRMNKRLFEGDNWRNLVEFVKNMSEGGRKIPDSDSRGTNLYIGFIRNKNVEKTKEAALA >KJB41895 pep chromosome:Graimondii2_0_v6:7:10072337:10073927:-1 gene:B456_007G126200 transcript:KJB41895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMFLRSLLLPLICVLALATWSHGMSRGQQRPSSLDAASNTLQYHGGPLLTKPNGINVYLIWYGGFSLKDRNSITDFFASFASPGRRQGPSVSTWWRTISTYKDKTGKPVSDTVRLVKQVGDVYSSGKTLKRAQLANSVKSKIESKIFPLDPNGIYLVLTAKDVTVERFCMGSCGFHDSILVGGSTRVVFAHVGDPTVQCPGLCAWPYALPAYGPPGPALVAPNGIGTDGMIINIATLLAGATTNPFKTGYFQGDALAPLEAVTACPGIFGAGAYPGYPGALLVDKMSKASYNAYGANGRKFLLPATWDLIRLNCKVIT >KJB45677 pep chromosome:Graimondii2_0_v6:7:53525660:53530374:1 gene:B456_007G319900 transcript:KJB45677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVLSMIRKHLTMFRKPLTMFRKHLENHRSLVRRMNGLKRKVMKLNSMKEDTDSRMSAEWLPRKKLKREVQLWLENVERINGEVQNLDGKMGESSRFTRGLHADDVLKRIREVEELIEQGNFQDGLVVDDHQWIDQVLPTTTLLGEGAKACVEEIFQCLMDDEVGKIGVWGIGGVGKTNIMKLISDQLLKVTGKFRSVIWITVTKDKSIVKLQDYMASKIGVSFCGDEDEITRAGMLFGTLSRKSRFVMILDDLWEEVSLEKLGIPEPSTGSKLLLTTRSFDVCRKMSCRAIEVKPLVEEESWKLFSEKVGQDILNIPGVEPIAKKTAKLCGGLPLGVITVAACMKGIDDLSDWRNALKELSECKESVNGLEDGVFQQLRFSYDHLNDLKLQLCFLSCALYPEDWEIEERELVQLWIAEGLVEEMDSRQTEFDRGHAIMNKLKSNCLLEVLPKTEYERRVKMQNLVRDMALHITSVKPRFLVKAGMGLREPPKVQEWSEDLEKVSLMWNRELEVLYPLEMSPPKCPMLTSLLLPGCGIKSIPEGFFKHMDGLKILDLSRNPMKSLPNSISNLKNLTALLLYYCDHLEYVPSLSNLRALKELYVTKTAVKEFPHGMQNLPLKCLNLDSIYIDEIPNEIFSRPSCLQELNVGGTLISGEEVGELEKLEIFEGRFYDLPNLNMYLQAFHGREEPRQYIIVVGKREREWEIHTSKRIELWDCDIYPNQIMLPRHIEELYVMHCTFYGHEEYPIISRFVLTSLGTFSSLKYLVIWNLKNRKRLFSPNCVPLNLQVLEVWKCMLLEEIIASEESGRVTMEFCLPRLKEIVLKNLPELKSICNVDAVMVCESLEWLVAHNCPKLERMPLKLPQTRSSSSLKLFINLEPMELWESMAWADPDAKSLLIRKL >KJB44638 pep chromosome:Graimondii2_0_v6:7:43416893:43419241:-1 gene:B456_007G262800 transcript:KJB44638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYPFPFPFPFPIPISPLPSIPLFHRALLPFPIPMQYPFPFPIPIPIINNTTFEKTAGFQKLITVEELALYNGTDDSLPILLGILGSVFDVTKRKSHYGAGGGYNHFAGRCLPCICFWKFYRRWTYRFIARFIQYRGNDVFPLSFQGYDSYPSSFEQVLKALEIRFVPYKL >KJB45221 pep chromosome:Graimondii2_0_v6:7:50667650:50669380:1 gene:B456_007G296000 transcript:KJB45221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITARAPGMIILAGEHAVVHGYTAVASSIDLYTVVSLRLHSENEDSIRLQLEDVGLDFSWPIKRIKEVLSHLGSPFSSTPTLCSLETVKSITALVDEQSFPETRIGLASGVCAFLWLYTSILGFKPGTVIVTSELPLGAALGSSAAYCVALSAALPAFSDSMKLDVNKWAFEEEKIIHGRPFGVDNSVSTFGSMTGIDSNMPLRMLITNTKVGRDTKALIANVSARKSRHPDALCCVLNAIESISKEWSTIIQSHTVDDLSVTANEDRLRELMEMNQGLLLSIGVTHASIETVLQIAMKHKLASKLTGAGGGELPGAIVDKLVKELESYGFQCFTARIGGKGVQISFDGCS >KJB43216 pep chromosome:Graimondii2_0_v6:7:18163956:18165083:-1 gene:B456_007G188500 transcript:KJB43216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLMALMLGAAAACIVVLVPLGMAGWHLSRNSVLLFSGAILITLSVCVHFTPYFSSVSDYVTSVSSVAVFHRPKSSCMNLIHRIHWEVKPNASLLSFNATQNLSSSFDFYEKHWDWSKTQRIKACRIHKLSKPEASHLINGSWVLIAGDSQARLFTLSLLNLILGSRPQRMDSVKTALFNRHSNYSISVDEIGMKLDFVWAPYVLNLTHLLTDFKTKMKYPDVMVMGAGLWHMLHVSNPSDYELVLQTLKSSLVSLFPFSTELGIKSPPHLFWLGFPMLINGMLNTHEKRVHMIDAMWHAYDRALGESRLLRQTGGPMILLDIQSLTWNCGAHCTYDGMHYDTAIYEAAVQIMLNSLLIKSRHRHLSRQQFLFS >KJB44096 pep chromosome:Graimondii2_0_v6:7:30334429:30339714:-1 gene:B456_007G234000 transcript:KJB44096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSVIVPTWTPGSSPEHSSQNHQPEAAENDNLEIESMVSGRVGSYNEAPSFRIETEPGDGVFLTWADLWVTVSKGRKGSRAILQGLTGYAEPGKMLAIMGPSGCGKSTLLDTLAGRLLSSRIHQTGEILVNGRKETLAFGTSAYVTQDDALVTTLTVREAVFYSAQLQLPDSMSISEKKERAEMTIREMGLQDSMDTRIGGWSSKGLSGGQKRRVSICIELLTWPKLLFLDEPTSGLDSAASYHVMNRIVKLARQHRRTVIASIHQPSSEVFELFHNLYLLSSGKTVYFGPVSMAEMLFATNGLPCPPLKNPSDHYLKTINKDFDEDIEQGIGTNNTEEIINTLVKSYKSSEIWKEVQHNVLKISQQKGGPLERKGSQASFITQSIVLTKRSFINMHRDLGYYVLRFVMYCALCLCIGTIFHDVGFSYDSIQARGSMLSFVSSFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGAFVIGNTISSIPYLFLISLIPGALAYYLVGLQKNFGRFVYFVLLLFSSMTVVESIMMTVASIVPNYLMGIITGAGIQGIMLLNGGFFRLPNDLPDPVWRYPVYYIAFHTYTNQGFYKNEYEGLSFTNDRAGGPPTISGNEVLRSFWQVDMGYSKWVDLAILVSMVVIYRLMFWGIIISVEKVKPILKYYMAVSPKQSSMILENPTPISSQQQMV >KJB39054 pep chromosome:Graimondii2_0_v6:7:11883488:11886913:1 gene:B456_007G142700 transcript:KJB39054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYITSWDEFVERTVQLFRADPESTRYCMKYRHCDGKLVLKVTDNKECLKFKTDQAQDARKMEKLNNIFFTLMARGPDVDMSEITGKEQEAQPVKKGRGRKQ >KJB39055 pep chromosome:Graimondii2_0_v6:7:11883608:11886913:1 gene:B456_007G142700 transcript:KJB39055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRHCDGKLVLKVTDNKECLKFKTDQAQDARKMEKLNNIFFTLMARGPDVDMSEITGKEQEAQPVKKGRGRKQ >KJB44804 pep chromosome:Graimondii2_0_v6:7:46691454:46702796:-1 gene:B456_007G273800 transcript:KJB44804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G04340) UniProtKB/Swiss-Prot;Acc:F4J3N2] MDSIFTSPCLSQLSPPNFRTRHLIRTHRYIKKPTRTLSIKLNFKAFAFLGNPKGSKPVKHQNLVLPRKENCEKEVKVSSGYSSFQCLVKSFAFTLLCFAIGLSNFSPNGEFKCVAMAAVVEKLSVRGKEEEEKEGALRKNEHEFSDYTRRLLEVVSELLSRVEEVRTGNGDVKEVGQVLKAVKVKKEELQREIMKGLYREFRELKREKEELEKKAEEIVDKAVKVGSEKEKVMSGRGGKGKGKGKGQGQGRNTVEKLEEGIERMEEEYSRIWERIGEIEDEILRRETTALSIGVRELCFIERECEELVQRFNNQMRRKELFQSPPKSSITNLSRSEIRDELKMAQRKLFEQMILPSVVEVEDLGPFFNQDSMDFALRIKQCLKDSRQMQRNLESRIRRKMKKFGSEKRFVVKTPEDEIVKGFPEVELKWMFGDKEVVVPKAIGLHLHHGWKKWREEAKADLKRHLLEDVDFGKHYVAQRQERILLDRDRVVAKTWYNEERSRWEMDPMAVPYAVSKKLVEHARIRHDWAVMYIALKGDDKEYFVDIKEFDMLYENFGGFDGLYMKMLACGIPTAVQLMYIPFSELDFRQQFLLTIRLAHRCLTGLWKTKFVSYGKDWVYQKIRNINDDIMMVIVFPLIEYIIPYPVRMQLGMAWPEEIGQTVASTWYLKWQSEAEMNFKSRKTDDFKWFVWFLIRSAIYGYILYHAFRFLRRKVPGVLGYGPIRKDPNMRKLRRVKGYFNYRLRRIKRKKKAGIDPIRTAFDGMKRVKNPPIPLKNFASIESMREEINEVVAFLQNPGAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVNVEAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNIKQIDEALQRPGRMDRVFHLQRPTQAERERILQIAAKETMDEELIDMVDWKKVAEKTALLRPIELKLVPVALEGSAFRSKFLDTDELMSYCSWFATFSSMIPKWLRKTKIVKQISQMLVNHLGLNLTKDDLQNVVDLMEPYGQISNGIEYLNPPLDWTRETKFPHSVWAAGRGLIALLLPNFDVVDNLWLEPCSWEGIGCTKITKARNEGSMYGNAESRSYLEKKLVFCFGSHIAAQLLLPFGEENFLSASELKQAQEIATRMVIQYGWGPDDSPAVYYSTNAVTALSMGNNHEFEMAAKVEKIYDLAYEKAREMLKKNRQVLEKIVEELLEFEILTGKDLDRILNENGGLREKEPFSLLHVDYKEPLSRSFLDEGSASGTTFLDVAA >KJB44801 pep chromosome:Graimondii2_0_v6:7:46692228:46702595:-1 gene:B456_007G273800 transcript:KJB44801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G04340) UniProtKB/Swiss-Prot;Acc:F4J3N2] MDSIFTSPCLSQLSPPNFRTRHLIRTHRYIKKPTRTLSIKLNFKAFAFLGNPKGSKPVKHQNLVLPRKENCEKEVKVSSGYSSFQCLVKSFAFTLLCFAIGLSNFSPNGEFKCVAMAAVVEKLSVRGKEEEEKEGALRKNEHEFSDYTRRLLEVVSELLSRVEEVRTGNGDVKEVGQVLKAVKVKKEELQREIMKGLYREFRELKREKEELEKKAEEIVDKAVKVGSEKEKVMSGRGGKGKGKGKGQGQGRNTVEKLEEGIERMEEEYSRIWERIGEIEDEILRRETTALSIGVRELCFIERECEELVQRFNNQMRRKELFQSPPKSSITNLSRSEIRDELKMAQRKLFEQMILPSVVEVEDLGPFFNQDSMDFALRIKQCLKDSRQMQRNLESRIRRKMKKFGSEKRFVVKTPEDEIVKGFPEVELKWMFGDKEVVVPKAIGLHLHHGWKKWREEAKADLKRHLLEDVDFGKHYVAQRQERILLDRDRVVAKTWYNEERSRWEMDPMAVPYAVSKKLVEHARIRHDWAVMYIALKGDDKEYFVDIKEFDMLYENFGGFDGLYMKMLACGIPTAVQLMYIPFSELDFRQQFLLTIRLAHRCLTGLWKTKFVSYGKDWVYQKIRNINDDIMMVIVFPLIEYIIPYPVRMQLGMAWPEEIGQTVASTWYLKWQSEAEMNFKSRKTDDFKWFVWFLIRSAIYGYILYHAFRFLRRKVPGVLGYGPIRKDPNMRKLRRVKGYFNYRLRRIKRKKKAGIDPIRTAFDGMKRVKNPPIPLKNFASIESMREEINEVVAFLQNPGAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVNVEAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNIKQIDEALQRPGRMDRVFHLQRPTQAERERILQIAAKETMDEELIDMVDWKKVAEKTALLRPIELKLVPVALEGSAFRSKFLDTDELMSYCSWFATFSSMIPKWLRKTKIVKQISQMLVNHLGLNLTKDDLQNVVDLMEPYGQISNGIEYLNPPLDWTRETKFPHSVWAAGRGLIALLLPNFDVVDNLWLEPCSWEGIGCTKITKARNEGSMYGNAESRSYLEKKLVFCFGSHIAAQLLLPFGEENFLSASELKQAQEIATRMVIQYGWGPDDSPAVYYSTNAVTALSMGNNHEFEMAAKVEKIYDLAYEKAREMLKKNRQVLEKIVEELLEFEILTGKDLDRILNENGGLREKEPFSLLHVDYKEPLSRSFLDEGSASGTTFLDVAA >KJB44802 pep chromosome:Graimondii2_0_v6:7:46692228:46702595:-1 gene:B456_007G273800 transcript:KJB44802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G04340) UniProtKB/Swiss-Prot;Acc:F4J3N2] MDSIFTSPCLSQLSPPNFRTRHLIRTHRYIKKPTRTLSIKLNFKAFAFLGNPKGSKPVKHQNLVLPRKENCEKEVKVSSGYSSFQCLVKSFAFTLLCFAIGLSNFSPNGEFKCVAMAAVVEKLSVRGKEEEEKEGALRKNEHEFSDYTRRLLEVVSELLSRVEEVRTGNGDVKEVGQVLKAVKVKKEELQREIMKGLYREFRELKREKEELEKKAEEIVDKAVKVGSEKEKVMSGRGGKGKGKGKGQGQGRNTVEKLEEGIERMEEEYSRIWERIGEIEDEILRRETTALSIGVRELCFIERECEELVQRFNNQMRRKELFQSPPKSSITNLSRSEIRDELKMAQRKLFEQMILPSVVEVEDLGPFFNQDSMDFALRIKQCLKDSRQMQRNLESRIRRKMKKFGSEKRFVVKTPEDEIVKGFPEVELKWMFGDKEVVVPKAIGLHLHHGWKKWREEAKADLKRHLLEDVDFGKHYVAQRQERILLDRDRVVAKTWYNEERSRWEMDPMAVPYAVSKKLVEHARIRHDWAVMYIALKGDDKEYFVDIKEFDMLYENFGGFDGLYMKMLACGIPTAVQLMYIPFSELDFRQQFLLTIRLAHRCLTGLWKTKFVSYGKDWVYQKIRNINDDIMMVIVFPLIEYIIPYPVRMQLGMAWPEEIGQTVASTWYLKWQSEAEMNFKSRKTDDFKWFVWFLIRSAIYGYILYHAFRFLRRKVPGVLGYGPIRKDPNMRKLRRVKGYFNYRLRRIKRKKKAGIDPIRTAFDGMKRVKNPPIPLKNFASIESMREEINEVVAFLQNPGAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVNVEAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNIKQIDEALQRPGRMDRVFHLQRPTQAERERILQIAAKETMDEELIDMVDWKKVAEKTALLRPIELKLVPVALEGSAFRSKFLDTDELMSYCSWFATFSSMIPKWLRKTKIVKQISQMLVNHLGLNLTKDDLQNVVDLMEPYGQISNGIEYLNPPLDWTRETKFPHSVWAAGRGLIALLLPNFDVVDNLWLEPCSWEGIGCTKITKARNEGSMYGNAESRSYLEKKLVFCFGSHIAAQLLLPFGEENFLSASELKQAQEIATRMVIQYGWGPDDSPAVYYSTNAVTALSMGNNHEFEMAAKVEKIYDLAYEKAREMLKKNRQVLEKIVEELLEFEILTGKDLDRILNENGGLREKEPFSLLHVDYKEPLSRSFLDEGSASGTTFLDVAA >KJB44803 pep chromosome:Graimondii2_0_v6:7:46691454:46702839:-1 gene:B456_007G273800 transcript:KJB44803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G04340) UniProtKB/Swiss-Prot;Acc:F4J3N2] MDSIFTSPCLSQLSPPNFRTRHLIRTHRYIKKPTRTLSIKLNFKAFAFLGNPKGSKPVKHQNLVLPRKENCEKEVKVSSGYSSFQCLVKSFAFTLLCFAIGLSNFSPNGEFKCVAMAAVVEKLSVRGKEEEEKEGALRKNEHEFSDYTRRLLEVVSELLSRVEEVRTGNGDVKEVGQVLKAVKVKKEELQREIMKGLYREFRELKREKEELEKKAEEIVDKAVKVGSEKEKVMSGRGGKGKGKGKGQGQGRNTVEKLEEGIERMEEEYSRIWERIGEIEDEILRRETTALSIGVRELCFIERECEELVQRFNNQMRRKELFQSPPKSSITNLSRSEIRDELKMAQRKLFEQMILPSVVEVEDLGPFFNQDSMDFALRIKQCLKDSRQMQRNLESRIRRKMKKFGSEKRFVVKTPEDEIVKGFPEVELKWMFGDKEVVVPKAIGLHLHHGWKKWREEAKADLKRHLLEDVDFGKHYVAQRQERILLDRDRVVAKTWYNEERSRWEMDPMAVPYAVSKKLVEHARIRHDWAVMYIALKGDDKEYFVDIKEFDMLYENFGGFDGLYMKMLACGIPTAVQLMYIPFSELDFRQQFLLTIRLAHRCLTGLWKTKFVSYGKDWVYQKIRNINDDIMMVIVFPLIEYIIPYPVRMQLGMAWPEEIGQTVASTWYLKWQSEAEMNFKSRKTDDFKWFVWFLIRSAIYGYILYHAFRFLRRKVPGVLGYGPIRKDPNMRKLRRVKGYFNYRLRRIKRKKKAGIDPIRTAFDGMKRVKNPPIPLKNFASIESMREEINEVVAFLQNPGAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVNVEAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNIKQIDEALQRPGRMDRVFHLQRPTQAERERILQIAAKETMDEELIDMVDWKKVAEKTALLRPIELKLVPVALEGSAFRSKFLDTDELMSYCSWFATFSSMIPKWLRKTKIVKQISQMLVNHLGLNLTKDDLQNVVDLMEPYGQISNGIEYLNPPLDWTRETKFPHSVWAAGRGLIALLLPNFDVVDNLWLEPCSWEGIGCTKITKARNEGSMYGNAESRSYLEKKLVFCFGSHIAAQLLLPFGEENFLSASELKQAQEIATRMVIQYGWGPDDSPAVYYSTNAVTALSMGNNHEFEMAAKVEKIYDLAYEKAREMLKKNRQVLEKIVEELLEFEILTGKDLDRILNENGGLREKEPFSLLHVDYKEPLSRSFLDEGSASGTTFLDVAA >KJB44768 pep chromosome:Graimondii2_0_v6:7:45774898:45775771:-1 gene:B456_007G271800 transcript:KJB44768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDINVLLERLNFSEEEWIRVISSNVKSSKIQGYEAWAVEKIMSGEKVNKNAVYRVLNSLWFTKKDVNFVELKEGVILCLFAMLPYVKDQDLDTYALNISPFWLRIFNIPLEYMDKQAIGEVVAIDWRDNDGKWTKYIRVRVKLDVLKPLRKVVHLVRNDGIEIVCTIKYKRLPAFCYICGLINHTTQKRDKKEEQNEQNLQYGSWLRASVRGSTQARSNWRNGIEVIEEKKAMEKESNNDKQGEENRSTILKEKKSQKC >KJB45018 pep chromosome:Graimondii2_0_v6:7:48913571:48915728:-1 gene:B456_007G2854001 transcript:KJB45018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTSSLLQSNTLNLKHYFPLHLPLPSPPRLSNFTGTLAFNGQRSAKFTVKCFFSSEQRKHLTSSSLGSNNQLSSSSNDKNPFEIIAQTMLKALNALKKPAIAAVLMGLLLMYDPNNVALAASGGRMGGRSFSSSSSSSRSYSVPRNGGSRFSSYSAPYYAPAPFGGGGFYMGPAVGVGVGAGSSFFLILVGFAAFVLVSGFLSDRSESSVLTASERTSVIKLQVGLLGMGRSLQRDLNRIAEVADTSTSEGLSFVLTETTLALLRHPDYCISGYSS >KJB45768 pep chromosome:Graimondii2_0_v6:7:54619183:54620631:1 gene:B456_007G326700 transcript:KJB45768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILHRNDSYTGYNWDRKIYGADYTHTYIQVVNQVLRLHKGLKLHEFGIHYPLDASSGCHIDLWVAFAITFRVSKLELNFSPCPVSYWAFDTKNYSIPLTLFDRSRGIEPYLVQLDRVLSIRTPLLNDRFECLKELFLKSVELTNQQFETILSSCTSLECLRLLDSPGLENIKHTVPHMKLNCLEIYHCSGLKNIGIFAPNLVSFKYLGPRTYTLVKDAKQLINVCMSPSWRYGEYLITNSLDLPYSKAKILVLTYLLLTFPSWYLMMDVKSYKVSVSGFFGNKIVVDFIMAVFDFAIELEKIEITAVYLGDLSNCFNSFRHSEIDLNLVRESIQQLHERMPAKVQLYFLDDL >KJB39660 pep chromosome:Graimondii2_0_v6:7:1711495:1711977:-1 gene:B456_007G024100 transcript:KJB39660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKSYFDIFLVILLVPILNLFVYLHNSFSTSLSYLGLPYFIEPDITVDADHVSSSSSPATRRCNIPLSALLLRELLPVVKFSNLVDPPNSCSVCFKDFETEDEIWRLTNCRHIFHRSCLNCWMGYDQKTCPLCRLSLVPHDKEEMYNERLWRLCNNCQL >KJB42928 pep chromosome:Graimondii2_0_v6:7:16073875:16074732:1 gene:B456_007G174300 transcript:KJB42928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGMKNWSVKKKERFWGSWKLSSAFKWKKPIDFQVKIIDNLVFRVLYVVEAVVLVSTLCFFYLCCGCNI >KJB41926 pep chromosome:Graimondii2_0_v6:7:10249015:10249971:1 gene:B456_007G128400 transcript:KJB41926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEYGDSDVEGASRLLDMKVVKRNVLIFKLGFFLWNFCRSPSRSRSPLPSRQKGRSKSPKRRSVSRSPSGSRSRSRSKSVSGS >KJB45808 pep chromosome:Graimondii2_0_v6:7:55214116:55217575:1 gene:B456_007G329900 transcript:KJB45808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIHRLLFASIFIVCCLTTLTNGASLPNGEVEALRSIGNTLGKTDWNFNINPCDQGDTWLNQSTRYYANNVTCDCSFNNNTICHVVRILLKSQNLSGTLPLNLTSLPFLQEIDLNRNYLSGTIPSEWGSATRLVGISLFGNRLTGPIPVELANLRNLTSL >KJB39716 pep chromosome:Graimondii2_0_v6:7:1850971:1855698:1 gene:B456_007G026900 transcript:KJB39716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANRVESFSQTNNVSSEGNGCDDLYMELWKLCAGPLVEVPRAEQRVYYFPQGHMEQLEASTNQTLNQRIPLFNLPSKILCRVVHIQLLAEKETDEVYAQVTLLPEPSQPEPTTPDLCPPESQRPTVHSFCKVLTASDTSTHGGFSVLRKHATDCLPKLDMNDATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGENGELRVGVRRVVRQHSIMPSSVISSQSMHVGVLATASHAVSTQTLFVVYYKPRTSQFIIGLNKYLEALSNKFAVGMRFKMKFEGEDSPERRFSGTIVGVEDFSPLWKDSKWRSLKVQWDEPASIPRPDRVSPWEIEPFAAPIPPSLTQPVAAKNKRPRPPAEIPAPDLSSTTSAPYSGVTHCHDLTPQNIAAEAKGNENPVIGHHMWTEMSSGCSSVAKALNKGSWLSSPGTCVPHHLFPDAREDKTRFSAWPVPSGFSNPQLNEDSTFDSTEKAKRSETAASCRLFGIDLINHSTSSTPLERTPAQLSTMIMGTEVPGPSTLSSTYSDQKSEISKDSKEKKLEQLQLSAKENQSMQSCSSFTRSRTKVQMQGIAVGRAVDLAMFEGYGQLIDELEEMFDIKGELRPRNKWEIVYTDDEGDMMLVGDDPWPEFCNMVRRIFICSSQDVKKLKTGSKLPLASIEGEGTVISSDSSEN >KJB44982 pep chromosome:Graimondii2_0_v6:7:48577337:48579497:-1 gene:B456_007G283600 transcript:KJB44982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAESATAIQRNQVDLLDFIDWSGVECLNQSSGHSLSNALKQGSEEEGPKTVKLFRNREHMGFSNVNDFPPSDAAGKSVAVKCVKFQNVRSLTIFIEDNQSGSEITKVQKTALYGSTVATTDMKGLKKIEEH >KJB42568 pep chromosome:Graimondii2_0_v6:7:13772904:13775066:1 gene:B456_007G157800 transcript:KJB42568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGTRVKRVTDPLDDRVKAQLVGLRYSSSGSEQSDSTHDDSPCLSELVHSFLEDDHGAAEQTGYRSDSELVDSNIDAADSLQIIIKSSFLNSTGSYLNRLTDTVLNAIEMLSFFKTDKAIFRRKVMAYLRQVGYNAAICKTKWGSSGGLTAGNYEFIDVVQSISPTRQTRYFVDLDFASEFEIARPTSDYSRLLQYLPRVFVGKSEELKKMVNVMSDSAKRSLKSKQLSLPPWRKHRYMQNKWFGPYRRTTNQIQANNSSLSPATINNVQCRYVGFEDAVNGSVFVRTR >KJB43964 pep chromosome:Graimondii2_0_v6:7:27315839:27317522:1 gene:B456_007G226700 transcript:KJB43964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLIILFLLTSAALPLASPDPNDEACLTHVSQTLKDPLKSLQNWTKPNFANPCNGFTSYLPGVTCNNGRIYKLSLTNLSLQGSISPFLSNCTNLQSLDLSSNSISGPIPQELQYLLNLAVLNLSSNRLEGVIPPQITLCAYLNVIDLHDNLLTGQIPQQLGFLSRLSAFDVSYNKLSGPIPASLGNRSGNRPSFNVTSFEGNKDLYGYPLPPMKSKGLSVLAIVGIGLGSGLASLVLSFTGVCIWLKIRDEKMAAEEGKMSHPMPDY >KJB39748 pep chromosome:Graimondii2_0_v6:7:1999067:2000667:1 gene:B456_007G029100 transcript:KJB39748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEQVPGALGTSASLALHSGQTIFSFASLLFIQDQTTYIEDGFPRTPQVAPFTSADDTAPLLENGSSDDKLNFDGPIDFNEDLCRSSSFNGTMVGEENAVSMLVSSYSQEQLPEIFVGLKSQQGCIGEMSDVEDETFNTVVSRSSQTCRIDLLEEIIEDAKDNKKILFQTMQSIMNLMKEVELQEAAAEQAKEETARGH >KJB45382 pep chromosome:Graimondii2_0_v6:7:51711031:51717266:-1 gene:B456_007G303600 transcript:KJB45382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLELISQVSVISVLEEVIQQHGNRIEDVANLVSRNTDEASLQRNDAAGWLRKTVGVVLGKDLPAEPSEEEFRLGLRSGKILCTVLNKIKPGSVPKVLEGPSDSIIIPDGAPLSPYQLENLRNFIAAIEEMGIPTFETSDLEQGGNSSRIVQSVLALKSYSEWKRSGGIGTWKYLENSKPPCFPKPKPFTRRNSEPFMHTFSRTMSLGDKSADSFNSEQSEISYAGSIPSLHLLVRAALLNKKQDEIPMIVESMIKKVSEEYERRLASHTELIKSSPKDTEESVPGNSLSRTASCDDKVEVEAPAEETIDDESSIESEKKELPNEECNTDEEATRHLLKQKTLVEQQRQHLLELKHSLHATKVGMELLQVTYREEFNNLGKHLHSIAYAAMGYQRVLEENRKLYNQVQDLKGSIRVYCRVRPSLSGQSNNLSCVEHIDDTTITVLTPTKTGKEGRKSFTFNKIFSPSVTQAEVFSDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPTELTEEGLGVNYRALGDLFELSNQRKETISYEISVQMLEIYNEQVRDLLASDEIRNSSQNGINVPEAHLVRVSSTSDVINLMNLGQKNRTVFSTAMNDRSSRSHSCLTVHVQGKDLTSGNIIHGCMHLVDLAGSERVDKSEVMGDRLKEAQYINKSLSALGDVIAALASKGSHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEYEASGETISTLKFAERVATVELGAAKVNKDSGEVKELKGQISSLKAALTTAKKEGEPEQLQRSQTISISSSPEIIIPSLGTSPSLPKSQSSSDHSSSVTNAENESSTTSRRDSLEIQEMLANPSLWPPLGKPASSAKEDNKDSASGRWNETLMVNKNDKKDPIPAAGNARWNPTKVYPEQNLNKLTANKNTKGNQDHDQQRIRLEIGSTDDSSDLDECSEIDSVWQSSIPKVTNNNSPNGLASKPKKQQYNHVAKPKSTDFKSAIPSLIPSPSTRKPSNGANPNTNKPKRKTGYSK >KJB41520 pep chromosome:Graimondii2_0_v6:7:8180382:8180807:-1 gene:B456_007G108200 transcript:KJB41520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAPSWGDQWGFEGIERDGSKKSQGKCGFHKAKTTAFMGAKKLKLGASKAITWVKNKSQKRNSKCHRNINIGWKSSGAMICWVLDGARDGQNSEGVIGWCS >KJB43906 pep chromosome:Graimondii2_0_v6:7:26593424:26598689:1 gene:B456_007G222900 transcript:KJB43906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLRSSLKSSAEDFLSYAVKLSLKSSKPALKTLVHAIPPSSPLSSTLPPCLHLSISNSILSFQNGPTHPEADSSRSPPAKRSRRSTRSSNPEDNQPNNNSETLRVLVYITGLCVSHPRNTFQHSELIPSIRSLHDSIILFESDPGLSYEIACLCEDWWKQNFPEKETLISQTLPFLLSKSLTLKKKVDVHKVYSLREAFNSFDFEDESIEDLKLLLIRCVIEPLYLKSDEGKRFVAFTFGLSLQFLKECLAMIKAQIPFGRKSMLEAYGEIVFKGWKGVEGELKREIEDGFLMGLVEGAIHARSKGLGSSIRRVLGGFINQRTVDGVEKLLFRLAEPVIFRSLQVANSNVRQNALHLLLDLFPFEDPDATKEAKDTLLDRQFFLLERLIMDDCPDVRVIAVEGCFRILYLFWEVIPPPTITKMITKIFDEMAFDACHEVRLSTLNGIIYLLGNPQSHEILKVLLPRLGHLMLDNVLSVRVAMVDLLLLLKDIRTFQFNKVIGLEVLLHKLASDQSPVAQKIVRLLMPSYFPARLDVEEACDRCVTLMKRSPLAGARFCEFALSEGASPKSMMELVKVFISLLLSNAKLEEPVVEGLLVASANLCRSLANERNQQDALKELFSSERVKRLLAVASTAHARSTVFDMLSTTASDDVAGLLGDCMSLITDCSDLSENLEKQAEVRSAHKLLISCDAFDNMFEALTRLLQKTAYRCHLKFDTEAPKQNVSPAKRKKSKSSSKTSVKWKHVGGKKSSNFKDDYSVAIGVSWQIKDMLSSEDARKAMMASQALELPFLALKVISEVSIVQCEYYEYMDPYPVLAYTALALRMAVQNATTESGTKKDDRINSSGLISKAMLDQVLDHLLDCTDKLFEAGDSEKNGKSLQKSKQDNSKSATRLGQKRREPQIDASSSNTDGSAYTKQKQTSKKVKMFTTVVKFVIDSIAMGFASRNHTRCLRFTSSYVQYIISCLRQLSKDKSPLKEEKSKECFMCVKSSFSYAFKLLNLVHNAATEASPAPVESFYLANDLLDLIISGELFLGSTYAAQLVAAAKPWLPDLILALGSTSMHEQSIERPYLTALDHIKLHFPSWPLILAKIELAEMSEHDDLEEDDRVSEPEFTEFKKLMGNIISLLKGNRSILDAVGVIFLATSVVGLERKDFGLLLGLLNFICQKLIGQEDREWRGLDMMLVSLPDMYPRIEREIDEQDEEDESHDRLTNARALLEPVWFYHVYETQRFSEVE >KJB41654 pep chromosome:Graimondii2_0_v6:7:8884117:8886521:1 gene:B456_007G114100 transcript:KJB41654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLLVWFTLVSLNQPGICLQHRQQLQPVLLPNGCDDKCGRLHIPFPFHLNTSCASVSNAFHLSCLNSTTLYLHIGIQSYRVLDFFSDGILVDFPGTGSSTCRQYNGLNSFNFAGNDYLGISDDNVIGLYDCEDSSLCKADCETNELPDCDGNRSGALACCYPLSDHSNWHSGDGFSSFAKFGCRGVSSWVVPRGTNSGKRGVKLEWAIPRNKSQGLCASNAVMVSATTVEAGVRCSCQDGLVGDGFANGLGCFKSCIKEGQEAYGGECDNSPKRSQRKLVIVAGVLAPVFILASLFMFLCILKRPVKSGAFDIDQAHYHSTISFRKACRTRLFSYRELDEATRGFDDGQKLVDGTNGTIHAGVLGDGSHIAVQKVQCENERDLIHVLSRIELLSAVLHRNLARLLGCCIESGYTLMVGYEYPANGTLEEHLHNNSGQEFGLDWYKRLSIAAETASILAYLQCEISPPIFHHGLKSSGYIFLDVGFSVKVAGFALLCSSSNTGPLVQKNDVYDFGLLLLEIISGSKHSDMPSLALQKIKSGKLEEIVDPSLYYHEQPIFRREQIEIVADIATRCLLFGGDGKIGMVDVAKELFHLAKESTDGGSKKGSALEETFSNSSLLQMISMSPDSVHLPRIAGPV >KJB41927 pep chromosome:Graimondii2_0_v6:7:10268237:10269375:1 gene:B456_007G128500 transcript:KJB41927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVVNCFNDCAQKLLELHLASGFRKYFIWFKAKLQGSHIALIQAGKDLVNYAMINAIAIRKILKKYDKVHYSKQGQAFRSQAQSMHIEILQSPWLCELMAFRVNLRETKAISGKAPALFEGCYLTFNDGKPFLSCELFDSVKLDTDLTCSISLAGVYEGAVHLDELSILLRRSYREYWEQRLEKERVERVRQAKQHWESQCQASIGL >KJB41577 pep chromosome:Graimondii2_0_v6:7:8390276:8393180:-1 gene:B456_007G110100 transcript:KJB41577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGQVSVKTPPNAGFDYALRATKLFERCSGPGLELAMSLHVLAAIYSSLGRFEEAVPVLERSIEVPLLGNGSDHALAKFSGCMQLGDTYSMMGQLDRSINSYESGLRIQIEALGDSDPRTCSETVRYLAEAHVQVKALEIHKEHSAPGPLEEAADHLLMALVCEAKGDYESALEHLVRASMSMIANGQENEVANIDVSIGNIYLHLTVFKSTKGKSHPSLASVFIRLADLYNKTGKLRESKFYSENAFRIYSKQVPGTTPEEIAGGLTEPEEAVKLLEMAMKLLEDTHGNISTIARIEAQMGGMFYLVGRYGEVRSSFESAMAKLHASGESKFAFFGIVLNQMGLANVQLYRIDEAIGMILLVLLKPFTNCSFARHSKKGSRDYILNSLFIYAIEILKYILKIREEKPGMENPNVDDEKIRLAAIRNRKGKSFENLLNANSYRTKMKSTKKWSMFDIRS >KJB39522 pep chromosome:Graimondii2_0_v6:7:1350318:1354015:-1 gene:B456_007G018000 transcript:KJB39522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRANESASADKFEEEVGRVMEQARELHESGASLLWKISNEEQSLRQKAISLESSIRRVRSSINSLVSKKLLDPKFASKLEEDLQRASSILTDGEAAAFLPAKAQGRFLRMFLGPINVRASRKEVQLKVKEEYNSYRDRTAFLFLLFPLTLLILKSWIWEGCLPAFPVQLYEAWLLFLYTGLAMRENILRANGSDIRPWWIYHHYCAMLMALVSLTWEIKRQPNCAQKQRGVELFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKANRMDVVWGETSGVDGQLWVLCPILFVMQGFEAYVGLLLLKTAFVGVVTEWQVICCGILLVLMAVGNFINTIQTLMTKSRFKAKMKRSKSKAELD >KJB39520 pep chromosome:Graimondii2_0_v6:7:1351171:1354015:-1 gene:B456_007G018000 transcript:KJB39520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRANESASADKFEEEVGRVMEQARELHESGASLLWKISNEEQSLRQKAISLESSIRRVRSSINSLVSKKLLDPKFASKLEEDLQRASSILTDGEAAAFLPAKAQGRFLRMFLGPINVRASRKEVQLKVKEEYNSYRDRTAFLFLLFPLTLLILKSWIWEGCLPAFPVQLYEAWLLFLYTGLAMRENILRANGSDIRPWWIYHHYCAMLMALVSLTWEIKRQPNCAQKQRGVELFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKANRMDVVWGETSGVDGQLWVLCPILFVMQGFEAYVGLLLLKTAFVGVVTEWQVICCGILLVLMAVGNFINTIQTLMTKSRFKAKMKRSKSKAELD >KJB39521 pep chromosome:Graimondii2_0_v6:7:1351014:1354085:-1 gene:B456_007G018000 transcript:KJB39521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRANESASADKFEEEVGRVMEQARELHESGASLLWKISNEEQSLRQKAISLESSIRRVRSSINSLVSKKLLDPKFASKLEEDLQRASSILTDGEAAAFLPAKAQGRFLRMFLGPINVRASRKEVQLKVKEEYNSYRDRTAFLFLLFPLTLLILKSWIWEGCLPAFPVQLYEAWLLFLYTGLAMRENILRANGSDIRPWWIYHHYCAMLMALVSLTWEIKRQPNCAQKQRGVELFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKANRMDVVWGETSGVDGQLWVLCPILFVMQGFEAYVGLLLLKTAFVGVVTEWQVICCGILLVLMAVGNFINTIQTLMTKSRFKAKMKRSKSKAELD >KJB42233 pep chromosome:Graimondii2_0_v6:7:11993364:11993979:1 gene:B456_007G143500 transcript:KJB42233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCPGKNSWPELVGKDGESAKTTIERENSNVDAIVLLDGTPVTRDFRCDRVWVWVDSNGHVVRPPTIG >KJB46340 pep chromosome:Graimondii2_0_v6:7:59316425:59317374:-1 gene:B456_007G3613001 transcript:KJB46340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGEAALSGFLDLLVGKSLDSALNFVADHKQLHQQLKQWQSILPDIQAVLDDAEEKQIKNMGVKKWLEDLQDLAYDVDDILDEFAYEELKPALARSSLGLSEILSQAPTSKGKQPRLQPTSVLDGVVEYVGRHKEKTEMIEFLKGDNSNGVSVLSIVGMGGMGKTTLAQLVYNDATINQSFHHKAWVCVSDNFDAVNITRTILKSIDPDSRDENDLNLLQVKLKEKLSGKRFLLVLDDIWNENYNDWTILRSPFGAGTHIIVTTRLQIVSSI >KJB39461 pep chromosome:Graimondii2_0_v6:7:1129337:1131192:-1 gene:B456_007G014600 transcript:KJB39461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMGEWEQRSLVSELTQGREVARQLQAHLNGASSSSYDIETREVLVQKIEASYEKALSILNCNVNTSSASAVVPLTPVIRMPESPNSRCGSPPSEDSDRSFRVSDASKKRKAPRWTQEVRVTPGTALEGPLDDGFSWRKYGQKDILGSRFPRGYYRCTHRNINGCLATKQVQRSDDDPTIFDITYVGTHTCRNVTSHLMSEKNQEQGPSASSMAAVEPQSSSSSQDLLLNFQKGLKVKTQDLEDQTYASLIPYTSSTSNVVFSWPSVIDNNRNFVSPATSGTDFGFGTCDDQIIQASAAASVTSSPTVGLDHFHQLDGNFTFDNNGFFRHG >KJB43415 pep chromosome:Graimondii2_0_v6:7:20199347:20200437:1 gene:B456_007G198600 transcript:KJB43415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNDSLRVASLWHSMHAISQQLSPTAGTKFFVVCEPRTQHMEALLKVVYELYTDYVLKNPFYEMEMPIRFELFDINLTQAVQKDRVALLGR >KJB41191 pep chromosome:Graimondii2_0_v6:7:6915362:6917586:-1 gene:B456_007G094200 transcript:KJB41191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP9 [Source:Projected from Arabidopsis thaliana (AT2G45680) UniProtKB/Swiss-Prot;Acc:O64647] MAAIHKLEVEEDADPRAVVLSINGGGDAAPSKNPKEEPDIDTTLGAMPTAVHVPSGVPVPPVTAAPAAPKRASTKDRHTKVEGRGRRIRIPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIAATGTGTVPAIAMSVNGTLKIPTTSNANPEPGDPSKKKHKRPANSEYVDINDAVSVSSGLAPVVTPQQQQQQQAAVLPQGLVPIWAIPSNTVVPGAFFMVPPMASMAGPSTQPHIFTFPATATPVINISARPISSFVSAMQAATPSQLQSNVAVTSCTVPVSKAAKTTSVMAPSSSSAASATTTTTTQMLRDFSLEIYDKQELQFMTRSSKH >KJB46391 pep chromosome:Graimondii2_0_v6:7:59719809:59723966:1 gene:B456_007G365000 transcript:KJB46391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIAFDIAKELITKLSSPALSQIGLWWNLKDDIDGLKSIVRTIKAGLLDAEERSVTDNLVKDWLEKLKDVLYDADDLLDDFSTEALRKSLVSGNKLTKEVRLFFSSSNQFAYSLKMGRKIKAIKARLASIQSEANTFRFMVRDRPAETSFMTKRRQQTHSFEREDEIIGRDDDKAALLKLVLEFQSEENVYIIPIMGLGGLGKTALAQLVYNDEMVKNHFELTMFACVSDVFDVKVIVANIIKSITNKATDPDQNLEMDQLQKQLRDKIDGKKYLLVLDDIWNEDEQQWLSLKKLLMGGAKGSRIIVTTRSLRVAKIANRCDSHVMKLKGLSDDDAWSLFKKIAFEQRYADSTNSAFVEVGKQISKRCGGVPLVIRVIASALSFKETENEWLSFKDNELAKISQTEGKILPILKLSYDHLPSHLKHCFSFCRLYPKDYEIDVQELVQFWIAQGFVKQSDSKQSFEKIGFEYFKELAEKCFFQEVKGDLTEEITCKMHDSMHDLAKLVAGTESSIVDSNLSTSEDGEKCRHISITASLIPSFKGKKLRTLLYFSNMGPQNLSDEIWDLIIANCRCLRVLGLDYLNLKTIPRSIYKLKHLRYLDLSRNNFKILPKSICRLQNLQSLKLLEELPKKIEKLVNLTHIGCEGCISLTQMPRGIGNLSSLETLSMFVVDKYGFHGSADLSELSALNNLRGELTITNLGFVKNSKEMFRAANLKEKKHLRSLVLVWNDDDDDDDDDDDDDDDDDDDDDDDKLLEDLRPHPNLKELRIDGWKGDAKFPSWLSLLTNLVNIEIWGPSKFKYLPSFAQLPCLQQLDIFYLTELEYMDDNGPNGRQGNTEPFFPLLKVLKIWRCPNMKSWWRTTEAIGDDSNEDDTTVIGTSTMAFPCLSSLEIEDCPLTSMPLYPSLDNELKLVKTSSRTLKQTLKMNITSITPSGSISSLPLSKLKSFHVDYIEGLDTHMLDKSLQHFTGLKKLTIGDCKEVDFEGMKWELLKNLSHLEINNNPQLVSLPFWLQHLVQLKGLTVGDCKEVDLEGMQWEALKNLSRLEIKNIPKLVSLPIGLQHLVQLETLEIRNCSGLRSLFPVFQHLTSLEELRVSHCEELELSAAGFQIFQDHTSLRYLRLEKIPKCRHLPEWLQHLTNLRRLYLIDLPNLTSLPDEMRCLTKLERLDISEVPQLEERCQKDVGADWHKIAHIPRICWE >KJB43396 pep chromosome:Graimondii2_0_v6:7:19902103:19904493:-1 gene:B456_007G197700 transcript:KJB43396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSLIQTMEPLPMALLFVIPFLLLLGLISRLRRKPFPPGPKGLPIIGNMMMMDQLTHRGLAKLAHKYGGIFHLKMGFLHMVAISNPEMARQVLQVQDNIFSNRPATIAISYLTYDRADMAFAHYGPFWRQMRKLSVMKLFSRKRAESWESIRDEVDSLVKAVAANTGKAINMGELIFNLTKNIIYRAAFGSISQEGQDEFIRILQEFSKLFGAFNIADFIPWLGWADPQGLNTRLENARCALDKFIDTIIDDHIEKKKRNGDGPDDGDTDMVDDLLTFYSEDAKVNESEDLQNSIKLTRDNIKAIIMDVMFGGTETVASAIEWALSELMRNPEEMKRVQQELAEVVGLDRLVEESDFDKLTYLKCTLKETLRLHPPIPLLLHETAEDAVVAGYQIPAKSRVMINAWAIGRDRNSWEDPDSFKPSRFLREGVPDFKGSNFEFIPFGSGRRSCPGMQLGLYALDMAVAHLLHCFTWELPDGMKPSELDMSDIFGLTAPRANRLYAVPKKRLVGPLF >KJB45728 pep chromosome:Graimondii2_0_v6:7:54157092:54159325:1 gene:B456_007G323500 transcript:KJB45728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKEIKEIYDNGMKILEELTSNAYEIQEQMLEEILIRNAGTEYLSRFFVHGQNHKQNFKANVPIVTYEDIKPYIDRIANGETSSILFADPITQFIQSTGTSEGKPKLIPMTAESFEKRMVKPLLVDLVMKKCISGLDQGKSFYLFFVKPEMETPSGLMASLYTTSYFKTQIFKNGLAKFCTSPIDTILCLDNKQSMYCQLLTGLLLRDEVVRIGSSFASVLARSIKFLEDYWKELCSNIRTGFLSDWIADPACRNAMSSILTRPEPELADLIQQICEDKSWEGIIKEVWPEVKCISSIVTGSMSQYIPLLEFYGGGIPLVSPNCGSSEACFGINLEPLSKPFDVSYTILPNMAYFEFLPVNNDGGGKSREFKLRGASATESSESTNETTTVNKPVDLANVKIGRYYEVVVTTLAGLYRYRVGDVLKVTGFYNKSPQFQFVERQNVVLSIDLDKTTEVDLSKAITKAKLVLEPLGIMLTTYSSYADTSLTPGRYVLFWELKMKGSNDLPKLDAKIMEECCGIVEESFDFTYKSLRKGGVISALELRVVKHGTFDELMDFYVSKGASISQYKPPSCLKSEEAVKILNLGMVGTFFSPKTMF >KJB42961 pep chromosome:Graimondii2_0_v6:7:16449155:16450695:1 gene:B456_007G177000 transcript:KJB42961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWFFLIIILSSSIAIDLSEGSHAHHHHHHHRKKSAVVVGRVYCDTTCSQEEFSRTSHFISGASVAVECKEGTSRPGFVQEVKTNEHGEFELRLPFSVSRRVKKINGCSVKLIKNSEPDCNVVASIPASSALRLVSGKHGTRVFSAGAFSFKLLKQPNLCRTKPKEANGEKAVLGHPESFFFPPPLFPPNPFQPPPLLPPILPPPAPLIPNPFQPPPAPLIPASSSTTGSTYSKPFSASSRTTGSTYSKPFPASSRTSSSIYPEPLPASSGTSSTSSTMVSSSTDSRTNSTTIATTSTSTNLPVSSSPIPFPTCTAFPWYPPCLCLYFTKEILSLIVYYK >KJB44526 pep chromosome:Graimondii2_0_v6:7:41826281:41827430:-1 gene:B456_007G257600 transcript:KJB44526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMKFLFPPSLFVTATSLASFTLMATSGLSELRGKNLEYSKFFNIGSKASDVEKSKLKLPSRIAMATLYTPAFLAGVSSFAIFPDENLRFLLLKSTISIHFFKRVLESCFLHKYSGEMGLDTMIVILSSYFISSALVIFNQHLTVGLPEPLIDLTNPGFLLFSIGISGNFYHHYLLSKLRSQGPNKEYKIPKGGLFGFVICPHYLFEVLVFWGFAFISQTLFSFAYAMGTTFYLLGRSSATRKWYLSKFEDFPMNVKAMIPFLF >KJB39477 pep chromosome:Graimondii2_0_v6:7:1224978:1227535:1 gene:B456_007G015500 transcript:KJB39477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g49240, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G49240) UniProtKB/Swiss-Prot;Acc:Q9M3A8] MALFKPAFLNHLKILAHPRHRSPPSFIALRCLSFSTPEEAAAERRRRKRRLRVEPPLSFAQRSQQQAQQVAPPKPIQNPNAPKVPEPVTALTGNRLNLHNKILKLIRENDLDEAALYTRHSVYSNCRPTIYTVNAVLNAQLRQSKYADLLSLHRFITLAGIAPNVITHNLIFQTYLDCKKPDTALEHYKQFINESPVNPSPTTYRILVKGLVDNGKFEKALEMKEEMAEKGLAPDPIVYSFLMFGSAKNGDSDGIFKLFEELKEKKDGVLEDGVVYGSLMNGYFMKGMEKEAMECYEQACGENSRVKMSAVAYNYVLDALSKNGKFDEALRLFDRMKNEHSPPRRLAVNLGSFNVIVDGYCAEGKFKEAIDVFKSMRDYRCSPDTLSFNNLIDQLCRNGLLCEAEELYGGMGDEGISPDEYTYVLLMDACFKMGRIDDGASYFRKMVEAGLRPNLAVYNRLVDELVEVGKVDEAKTFYDTMVKKLKMDDSSYKFIMKALSDVGKFDDVLKMVDEMLDEESSDFTEELQEYVKELLRNVGREDELTKLMEEKERIKAEAKAREIEAAEAAKRSAKAAVSSILPSKLFGKKEDESDSTVANESGKVPDEDESEGSIEEATVMESVSDSNPVDAKQPF >KJB45210 pep chromosome:Graimondii2_0_v6:7:50670131:50670824:-1 gene:B456_007G296100 transcript:KJB45210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GATVAFTYVKAQEDKDAKDTLEMLKKDKTSDAKDPMAVPADLGFDKNSKQVVDDIVKVYSRIDILVNNAAEQYESSVEVIDEERLLRVFRTNILSYLFITRHALKHMKEGSSIINTTSANAYLITQQPRLVNKGIRVNGVASGPIWTPLIPASFDKEETAQFGNDVPMKRADHPIEVAPCYVFLACNVCSSYITGQVLHLMAAS >KJB42838 pep chromosome:Graimondii2_0_v6:7:15280224:15284596:-1 gene:B456_007G169700 transcript:KJB42838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSRSPLLIALSFLFTSFPISNALYGPSSPVVQLTPSNFKSKVLNSNGVVLVEFFAPWCGHCQALTPTWEKAANVLKGVATVAALDADAHKSLAQEYGIRGFPTIKVFAPGKPPVDYQGARDVKPIAEFALKQVKALLKDRLAGKASGGSSEKSEPSASVELNSRNFDELVLKSKELWIVEFFAPWCGHCKRLAPEWKRAANNLKGKVKLGHVDCDSEKSLMSRFNVQGFPTILVFGADKDSPVPYEGARTASAIESFALEQLETNVGPAEVTELTGPDVMEEKCSSAAICFVAFLPDILDSKAEGRNKYLDMLLSVAEKFKRSPYSYVWAAAGKQPDLENRVGVGGYGYPALVALNVKKGAYAPLISAFELEHIIEFVKEAGRGGKGNLPLEGTPEIAKTEAWDGKDGEIKEEDEFSLEELMGDFTASKDEL >KJB42837 pep chromosome:Graimondii2_0_v6:7:15280224:15284515:-1 gene:B456_007G169700 transcript:KJB42837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSRSPLLIALSFLFTSFPISNALYGPSSPVVQLTPSNFKSKVLNSNGVVLVEFFAPWCGHCQALTPTWEKAANVLKGVATVAALDADAHKSLAQEYGIRGFPTIKVFAPGKPPVDYQGARDVKPIAEFALKQVKALLKDRLAGKASGGSSEKSEPSASVELNSRNFDELVLKSKELWIVEFFAPWCGHCKRLAPEWKRAANNLKGKVKLGHVDCDSEKSLMSRFNVQGFPTILVFGADKDSPVPYEGARTASAIESFALEQLETNVGPAEVTELTGPDVMEEKCSSAAICFVAFLPDILDSKAEGRNKYLDMLLSVAEKFKRSPYRQLCLGCCR >KJB38990 pep chromosome:Graimondii2_0_v6:7:21207042:21207815:-1 gene:B456_007G205900 transcript:KJB38990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLDIELIYVVYSALFGNMVTISVQAWCIWRKGPVFVATFKPLSIFVATFYIVSFLGCKDLTQDRVSLIDTASAI >KJB41253 pep chromosome:Graimondii2_0_v6:7:7131745:7135057:-1 gene:B456_007G096700 transcript:KJB41253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDMKLQQPVDENNVPDTPEPETEDPIPTPPVSPACRDIWREQRWKDILSKEERTQRCSRCREIGHAHIHCPYPFYPVKAIFNRSISRANGLETSKREIPMQSLWSTWTQPPHLSWTCFLLNRLFVCVFCHAELLDIFVCWAFLWCFSFKPLRQGIFISVVEIDIYRHFLVINMHLRNITHDAGNSMEYEIYHHNGGTFWQLFHKV >KJB43597 pep chromosome:Graimondii2_0_v6:7:22000189:22003053:-1 gene:B456_007G209100 transcript:KJB43597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKWGFFSFFNKKFCKGKRFFSPTINYLTSKGHNLYLPLVLGLDKVVPEAQKFRTSGIDLEFKGKLDQMFMGIVATADKAWAPSSGSLRSDFFEDVNNEIPEENEEENMRNDVHISNDVQIDGNGRKKKTLRYQVHILKLEERNPQSKM >KJB45804 pep chromosome:Graimondii2_0_v6:7:55139329:55142680:-1 gene:B456_007G329500 transcript:KJB45804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITVDQSALLALKSHIAHDPHNFLTTNWSTSTSVCSWIGVTCGSKHYRVTALNLSSMDLTGTISSLLGNLSFLAWLDIHQNSFHGSLPIELTNLRRMKYLDFGNNSFNGEIPSWFGCFTKLQRLYLDGNHLKGHLPSRIFDNLSKLQILNLESNQISGKIPNSIFKCKELRILSLYNNSLEGSLSLEIGNLSMLRYLFLGDNNLIGEIPSVIGNFISLTDIDLGLNYFTGKISSLFSFLLLLLCSLFYL >KJB44117 pep chromosome:Graimondii2_0_v6:7:31057216:31059291:-1 gene:B456_007G235200 transcript:KJB44117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVGSSHSLGAMMSICPISDDNQIYSREFQSILDGLDEEEGVEESGYVAEKKRRLNVDQVKALEKDFEVENKLDPGRKLKLAQQLGLRPRQVAVWFQNRRARWKTKQLEKDYGLLKNRYETLKLNYDSLQHDNQVLLKQIEEVKAKLNGKNNVSVKEEVNVTKTANRTLEQSEAPVEVKYESLKNNSKGSNGAILFLDLKDGSSDSDSSAVLNEDNNNGSNYVGGSSSGILQSQHVWMSPTTASSLNFNSSSSSSSMKCFQPQQFVKMEEQNFFSADEACKFFSDEEAPSLHWYCPEHWN >KJB44118 pep chromosome:Graimondii2_0_v6:7:31057265:31059203:-1 gene:B456_007G235200 transcript:KJB44118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVGSSHSLGAMMSICPISDGLDEEEGVEESGYVAEKKRRLNVDQVKALEKDFEVENKLDPGRKLKLAQQLGLRPRQVAVWFQNRRARWKTKQLEKDYGLLKNRYETLKLNYDSLQHDNQVLLKQIEEVKAKLNGKNNVSVKEEVNVTKTANRTLEQSEAPVEVKYESLKNNSKGSNGAILFLDLKDGSSDSDSSAVLNEDNNNGSNYVGGSSSGILQSQHVWMSPTTASSLNFNSSSSSSSMKCFQPQQFVKMEEQNFFSADEACKFFSDEEAPSLHWYCPEHWN >KJB44649 pep chromosome:Graimondii2_0_v6:7:43567969:43568934:-1 gene:B456_007G263100 transcript:KJB44649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMPNAAMLKGSGTSRNAKKRGRKSVEESDENCETDSEAETETEEALGTTVKAGESKGKEEEPVNTTEKVDPVISHSESGAGVNLPSEVLEYPDPEFSDFEKQRAENCFAVNQVWAIYDSLDSMPRFYARIKNVFNPGFKLRITWLEADPDEENEQNWVEQNLPVSCGNYCNGSTEDCVDRLMFSHRIYPIKSFGKFGCLVYPKKGEIWALFKDWNIKWASEPENHKPAYRYDFVEVLTNFDKEMGIWVSHLDKVKGFVSIFKQTARDGVICFRLSSRNLYRFSHQIPSVKMTGKEREGVPVGSFELDPASLPTDLILEKE >KJB44629 pep chromosome:Graimondii2_0_v6:7:43355265:43357909:1 gene:B456_007G262500 transcript:KJB44629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLKILGLVKNLLQEPKEDIRERLKRNRNREFALIIWANNTIQNKNLFFVLLWDDTISSLNSEASILRSDHRKAASPEKEDRYYTTRGSAVGLVDENGKIAVDSNNGEDNKGVVWPKLYITLSSKEKEEDFMAMKGCKPPQRPKKRAKIIQRSLLLVSPGAWLTDMCQERYEVREKKSSKKRPRGLKAMGNMESDSD >KJB40835 pep chromosome:Graimondii2_0_v6:7:5640612:5641412:1 gene:B456_007G079400 transcript:KJB40835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSWFLKLLLLSMLFILSFSQGLGRKWMENNVEFQDYSVQLEEFAEKAREMMEIMDYKEPGPNTNPRTSYIFGPPPQPQP >KJB43634 pep chromosome:Graimondii2_0_v6:7:22477643:22482034:-1 gene:B456_007G210100 transcript:KJB43634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRATVAPAMDMPIMHDSDRYDFVRDIGSGNFGVARLMRDKVTKELVAVKYIERGDKIDEHVRREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICAAGRFNEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKPESQYDGKTADVWSCGVTLFVMLVGAYPFEDPDEPKDIRRTIQRIINVQYSIPDFVQVSPECQHLISRIFVADPTARITIPEIKNHAWFLRNLPADLMDENTMGNHFEEPDQPMQSIDTIMQIIAEATIPAAGAHGLNHLMVDNIDDEDMDDFDSESELDVYSSGEIIYAM >KJB41745 pep chromosome:Graimondii2_0_v6:7:9244984:9247622:1 gene:B456_007G118100 transcript:KJB41745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPTPESIPRRITTPFPNLSSMCDPNFVEHAWENDQNLIRGLSSKIPKKNFPGSGYFSQSSKARIQHEGETPTVNTSKHGVADSTSTYGDPLSSITKTNRLNHHHHENYITSLQTGYRELLFEDGFNVALEADQKCYDQQLLDSNIVPTNKYKNFKQSNVLDVPELVHEIPQQTMNNDSQLPQPQPETCIPFMRSKDKYSRIDEKSERANFSIFLNSPALPKSNQIPCCGVTIPRSTPGLAVEEDDDLEANARSIPFAKEAKSMKPILPDYEPEKEWVVPDEQSEAVGFNDNMRLPSSRVGGTSSGLAPNTIMKGKVVEQKVASSSVCSRGASNCPVNTFERRYEDTDLSDNDELEEAHETTKATPSKGSKRKRKSELHKLCERRRRDKINEKMRALQELIPNCNKVDKASMLDEAIDYLKTLQLQVQMMSMGTAGVYMPPMMLPASSMQHINARQLGGYSPMAMGMGMQMGLGCTTATQFPSVTSLMPGIMQARFNMLGLPRQVLLMSRSPFASLAATFPPQSVRTTSVSQALAAVPLSTSKDSNPALQLKTRNA >KJB43303 pep chromosome:Graimondii2_0_v6:7:18917948:18920512:-1 gene:B456_007G193000 transcript:KJB43303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDYETEEKKQAAADVLFQYSKFAMACIGNQVRPCDMRLHLMKEISGLPTSLKRVSSQAAASPDSMGESSSSGTARLDKKADKVDK >KJB39905 pep chromosome:Graimondii2_0_v6:7:2541554:2545449:-1 gene:B456_007G036900 transcript:KJB39905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKGKKAKLEEKGVEENSEQIDGALVFSIEKLQEIQDELEKINEEASEKVLEVEQKYNELRKPVYDKRHDIIKSIPDFWLTAFLSHPVLGELLTEEDQKIFKHINSLEVEDCKDLKSGYSITFNFNPNPYFEDTKLTKTFTFLDEGIKITATHIKWKEDMGLPNGVNHEKKGNKRQFAEESFFSWFADAQQKDDMDEIHDEVAEIIKEDLWPNPLTYFNNEADEEDSEGDEEGKDEDDQDDEDEDDN >KJB43809 pep chromosome:Graimondii2_0_v6:7:24655280:24655884:-1 gene:B456_007G217500 transcript:KJB43809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQSRKEFETTNAEGDVARKGPEMKAVQVTHQHPPTNQKTSGGVLTSAAAAATLALESAKDAISQK >KJB45935 pep chromosome:Graimondii2_0_v6:7:56426052:56429093:-1 gene:B456_007G339000 transcript:KJB45935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPLPISLFPFLLLIPAICFTICHANSNLLFIQSEREALLKFKHHLIHPSNRLSSWLEACYPSKIQNSFVAASCTNTWVEEPHDFAPYFEWEAYELSNLGGKINPSRLELKQLSSLDLSNNNFSSIHIPKFFGQLSSLEEFDVSENQLNGQIPLSIGELSSLKLFVVSNNQLNGQIPLSIGQLSSLEKFVASENQLNGQIPLSIGQLSSLEEFDVSENQLNGQIPLSIGELSSLKLFDVSKNQLNGQIPLSIGQLSSLEVFDVSENQLTGTFPLSFGRLESLETLDCPLPRVFPTLVFLILSNNSFSGSLFELLCNSSSRKRMEVLYIDKNLISGDIPDCWNHWQDLIMIDLSENHFSRSVPAWIGDKLSNLVILSLRSNNFNGHIPHKICDLQFLQNLDLAHNNISGVIPKCFNNLSAMATTIKINNFVLVKYVDAGLVFLNALLVLKGREDEYWSSLGLVTSMDISANSLTGEIPKEIGSLVGLLSLNFSGNLLTGNIPDNIGNMELMESLDDLSMNQLNGEIPPSFSNLNFLNHFNVSYNNLTGQIPTSTQLQSFENLSYVGNHLCRPPLTKNCTSKGIPIDVANNGSSREGSKVNWLYVSIVLGFVMGFWGVVAPLFFIRSWRLAYYRKLDHVGRKLYVSWATMDM >KJB45867 pep chromosome:Graimondii2_0_v6:7:55789357:55790595:-1 gene:B456_007G334300 transcript:KJB45867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRSLLSSIKSSYPQYFPSLFQHPITTKISSLKSLSFFSSLSSYHQPTHTTSPPLFKLTHKDWLSPTEILKIFDNLKDPNSLISVLAQYSARKDYKPTEPLFTLLINKLAYAQDFDSIENIMEKLKREKACRLSDEFFQNVIKKYGHVGGRIKRAIEILFSMPESGTWPSVKTFNIVLSLLVSNKLSDVVHEVYGKAPNLGVEIEACTLNILIKGLCENGKLEFAFQLLDEFPKQRCKPNVRTYSTLMHGLCDKGKVDEAFELMGRIETEGIDADAVSFNILISGLRKQGRIDEGVKLLEIMKKKGCYPNAGSYQEVLYGLLDAARFMEAKEIMGRMVFERVNPSFDSYKKLIHGFCKGKMVKEVDWALKQMVRHGFVPKMGMWIQIVDCVFARNKNNTCDCSLLGEIINS >KJB39892 pep chromosome:Graimondii2_0_v6:7:2470055:2471439:1 gene:B456_007G036000 transcript:KJB39892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIFSFCVFIVLSSLCFSKAQHVKVYELKRGYFSAKFTNYGATMLSVILPDKTGKLDDVVLGYDMVNDYKNDTTYFGAIVGRVANRIGGAKFILNGVTYKTDANDGKNTLHGGSKGFSDVIWTVKSYKKHSHVTFSYESFDGEEGFPGNLSVSVTYMLLHKSKLAVKMMAKPLNKPTPVNLALHTYWNLGGHNSGDIMSHTLKLFGSKITPVDSELIPTGEIVPVRKTPYDFRRPREIGSKFDQLPHGYDINYVLHKSRRSRHLRKVAVVVESKCGRKMELWTNMPGVQFYTSNMLKNEKGKNGTVYGKYAGFCLETQGFPDAVNHPNFPSQIVKPRGIYKHFMVYRFTAH >KJB40330 pep chromosome:Graimondii2_0_v6:7:4160476:4163364:1 gene:B456_007G058300 transcript:KJB40330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEVEETVKGTEGENPEIESNHQLPRDKGNETEEKNPEIESNQPPRGVLENPELGSDSDNSATPTRTTSKGGGNDSSDPQKPVLQKWADSFQWKSVIGSIKRKSARSISVIPLLTKLSKRHGSYGDEELDCMPLLKASWKNFSYLELANATDNFSPENLLGRGGHALVYKGHLSDGQIVAVKKMVSNGEDEDLAGNFLTELGIIAHINHPNAAHLIGFSVDCGLHLVLEFSPFGSLTSMLFYSKQCLDWKTRFKVAVGISEGLKYLHHDCHRRIIHRDITASNILLTEDYEAQISDFGLAKWLPDKWAHHIVHPIEGTFGYLAPEYFMHGIVDEKTDVFAFGVLLLEIVTGRRAVDKSKQSLVIWARPFLESNQVKELVDPRLKDDYNPSELQRTLLTASMCLSHLPTNRPTMTKVVKMLKNEDGPIEFHQISCGRKAVIFDGYDLKDSSCKNYLHTMRPT >KJB43264 pep chromosome:Graimondii2_0_v6:7:18694554:18696509:1 gene:B456_007G190800 transcript:KJB43264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLLIIALLTALLGSSLFFCRRKLSQRWSRKGSLKAEESRLRRFQLEEVAKATKNFSAECLLGSGAFGNVYKGTFELHGTLAIKIAHADSYQSVEEFRNEVRLLSTVNHPNLVGLVGYCEESGPEGAKILVYEYVPHGSLLEYIMGRGGRNLTWRQRVNIAIGAAKGIAYLHDGIKPSIIHRDIKPSNILIGDGMEAKVSDFGLVKLGPVGDQSHVSSQIKGTPGYLDPAYCTTFHLTPFSDVYSFGVILLQLVSARPAVDSTTRCQPNYHIIDWARPSIEKSSIEEILDISLLSPAQTCNMEMMLKMGELGLSCVEKMPKNRPTMARVWQELEDTLHLVDNSTHKQPWRSSVRATSKLAPPTERVHHRTLDKDFSQSFVSIDGVGFQKFRIEMDIVSLQSSSSLRCFEFNTNDGVEVDKKNLTPVTEETSNQCF >KJB43344 pep chromosome:Graimondii2_0_v6:7:19333287:19334443:1 gene:B456_007G195200 transcript:KJB43344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEQGGGSIVLVGRAEIDTRPPFRSVKEAVMLFGEKVLVGEIYANKLKEMKAQAGESGKGESKIAALTAELEEMKQNLQKAKEEGNSMLYHIKTLTEELERTKKELQQFKAKEFQKQRFDPNIEDFKFVESTTKIMTQHEEPEEFQKKRYVKFASPPSLAQVIVNQDGTPEKTASVKKVRRKSLVPIIGWLFQKKKASQEDDHLSLRTNEVQRHY >KJB46304 pep chromosome:Graimondii2_0_v6:7:58967643:58968563:1 gene:B456_007G357800 transcript:KJB46304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGEAALSVFLELLGGKLLDSALNFVAGHKQLHPQLKQWQSILPDIQAVLDDAEEKQIKNEGVKKWLEDLQDLAYDVDDIFDAFAYQELRLKLQKSHTQASTSKVLKLIPTCFTGTSFTPTSLLFKNSMIPKVKKITDRLNSLTTQRSCLGLSEILSQAPTSKGKQPRLQPTSVMDEAVEYFGRHKEKQEMIELLKGNNSNGVSVLSIVGMGGMGKTTLAQLVYNDATINESFDLKAWVCVSDYFDAIAITKAILQSITSESCDVGATIESSRLSKYLVEQALNVAEQTNNFELKKEENRLGKF >KJB41754 pep chromosome:Graimondii2_0_v6:7:9403677:9404931:1 gene:B456_007G119500 transcript:KJB41754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDESGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >KJB46030 pep chromosome:Graimondii2_0_v6:7:57310539:57311253:-1 gene:B456_007G345000 transcript:KJB46030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKEEMRLRKAITFSSCGSEPDDLRRMALKASKSLKSDRPLSRQLSMCEASRDIAWERRRQQMLKQQRERKGIDVTDGKKLTDEDLHELKGSIELGFGFEEEQGQKLCQTLPALDLYFAVNRQVSSSPVSSPHGGGPAFTPSCNEFESPRSILDWKICNPGDNPQQVKTNLRHWAQAVACAVMQSY >KJB44367 pep chromosome:Graimondii2_0_v6:7:38666074:38668447:-1 gene:B456_007G248400 transcript:KJB44367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVIKTVSYLVVSSPLRNTIFKHCNTNFYHKTLWISYVLCWFNRVNYIRNMIQSSLDKRLAMWEKYCLRHCFMVPEGFSLPKNLYSLCEKTIVSISVGKYWAATATAIGDVYMWDGKKSMDKPPS >KJB41655 pep chromosome:Graimondii2_0_v6:7:8904410:8906584:1 gene:B456_007G114200 transcript:KJB41655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSKKPLFAEGNFWWWWVILMNFVQDEDHEENDDEVTRENTSNFPFSSSSSSATSNNKDTVAQQHQKHLWLGTLDSREDYKVQVPAIKFDKKLGQMDLSLGNNNEYQSNIGSCIGGDSGSTIRCIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLHSSTNEKGLLLNFEDRNGKSWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDTVSFQRGVGESGKHRLYIDWRRRPNAPDPTTLAHIQLQSQFNFPHSVRWGSLYSMPQPMCVPRNYEPLHRLNYSIYPNTHRQRQAITYGNAGQYYPRSSSGSHHHQIGAVQAGGAEPMVIDSVPVVQGNKTVAKRLRLFGVNLECPNTTQAESSSGFPHGTIGSDDSPHFSSFSRQSTLSNNNTLRQSGMQAEYSKKGKSSLSIDLDL >KJB45411 pep chromosome:Graimondii2_0_v6:7:51839177:51839944:1 gene:B456_007G304700 transcript:KJB45411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITIPRCNHTSHFINITLRETKEDRCEECGGEISGTAFTCDCCNVWKHISCADKLNRDLPLEIIHPLHLQHRLQLQWLYYWDFICDKCLYISTAYRYRCYSCDFNLDLTCASSVSGQLPKYHEPLRFKDGKKKTIFHYSHHEELSFFKYRKVRKEDHDCFWCEKHLLPSEVCYGCTFCKFYLHQVCGDKIPMTLSHSFHPTHPLRLTYPFVVCIYKCNVCSKWSNDNIPLYVCEKCNFCLDSDCAKHLPSLKID >KJB41711 pep chromosome:Graimondii2_0_v6:7:9104008:9105646:1 gene:B456_007G116400 transcript:KJB41711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFPDVLCGWSWEENKLFELALAVVDEQHPDRWEVVAGMVGGEKTAEDVHKHYVILLEDLQFIESGKLDHKLAETQSCIQLDCTQSVCWTDDDNKILTNHS >KJB41710 pep chromosome:Graimondii2_0_v6:7:9103973:9105662:1 gene:B456_007G116400 transcript:KJB41710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFPDVLCGWSWEENKLFELALAVVDEQHPDRWEVVAGMVGGEKTAEDVHKHYVILLEDLQFIESGKLDHKLAETQSCIQLDCTQSVCWTDDDNNLLVRLDIN >KJB42316 pep chromosome:Graimondii2_0_v6:7:13351178:13352421:-1 gene:B456_007G155300 transcript:KJB42316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRFEFALDSQSDGKSSLLEALLGLRFNVREIEMGTRQPLILQMVHYRSALEPCCRFQEEDSKEYRSPVVSASTIADVIKSRTEALLKKTKTSVSPKLIVMRAEFAHCPNLTIIDTPGFDLKVACWFI >KJB44020 pep chromosome:Graimondii2_0_v6:7:28825914:28830051:1 gene:B456_007G230000 transcript:KJB44020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQLKSLFFILQLLALLISISSSDPNPQFPCQPPHFNSYPFCNTSLSITDRAQSLISLLTLEEKIHQLSNNASGIPRLGIPPYEWWSESLHGVASNGPGVNFNGYVKAATSFPQVLVTAASFNRTLWFKIGLAIGIEAKAMYNVGQAGLTFWAPNINIFRDPRWGRGQETPGEDPMVVSAYAIQFVKGFQGGSWRGSGELIDRFERKRALGGYNDDESGDRLMNSACCKHFIAYDLEKWKNFSRYSFNAVVTKQDMEDTYEPPFRSCIQQGKASCLMCSYNAVNGVPACAQGDLLQKARNEWGFKGYITSDCDAVATVQEYQNYTRKADDAVALVLKAGMDINCGSYLVRHTRTTIEEGKLQDKDIDRALLNLFSVQLRLGLFDGDPRKGQFGELGPQNICTIEHKMLALEAARQGIVLLKNEEKFLPLNRNVVSSIAIIGPMANNISNMGGDYTGFPCDPKSFYEGLLGYVEKASYASGCSDVPCDSDAVFNDAILTAKKADFVVVVAGLDLSQETEDHDRASLLLPGKQMTLVSSVAAASKRPIILVLTGGGPLDVSFAEGDQNIASILWVGYPGEAGGKALAEVIFGDFNPGGRLPMTWYPESFTKIAMNDMNMRANPFRGYPGRTYRFYTGNRVYGFGQGLSYTKFTYKLLSAPRTLSLSGSFTGTLTEKILHQGELDYIHVDELTSCDSLSFYVHISVANVGDRDGSHVVMLFSRAPKIFEGTPEKQLIAFDRVHTSSYGSTETSIIVIPCDHLSIVDKHGKRILPLGEHLLTLGDLEHSVSIVT >KJB40305 pep chromosome:Graimondii2_0_v6:7:3985612:3986672:-1 gene:B456_007G056500 transcript:KJB40305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSSFLRSKYNVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGINPSKVVITKLRLDKDRKSLLDRKAKGRAAADKDKGTKFSAEDIMQSVD >KJB45469 pep chromosome:Graimondii2_0_v6:7:52164750:52167982:-1 gene:B456_007G307700 transcript:KJB45469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAARKIVPLSNKHSLQWVQNYTTRTKIPIANLSKGAKGSAILATNVMRSFFDNGLIDDARALFDEMPERDVVMWTAMIAGYTSCNQYVHAWTVFCEMVNNWENPNAFTLSNVLKACKSLQCLARGGLVHGMAIKLGLEGSLYVDNALMDMYATCCISMEDACSIFRDMKEKNMVTWTTLITGYTHRGDGYGGLQVFREMLLDEAELNPHSFSIAIRACAAIGSNTFGRQIHAAIIKNGLGSNLPVMNSMLDMYCRCGFLSEANAYFYEMSEKNLITWNTLLAGYERLGSKEALNIFSQMESDGFRPNCFTFTSITAACANLAVLNCGQQVHGGIICRGLQGNLVLANALIDMYAKCGSIADSRKIFSEMSDRNLVSWTSMMIGYGAHGYGKEAVKLFDEMVVSGIRPDRIVFMAVLSACSHAGLIDEGLRCFESMSNYNVTPDQEIYGCVVDLLGRGGRVEEAYQLIKGMPFKPNESVWGALLGACKAHKLPSMGKLAALKVLDLRPNKVGAYVMLSNIFAAEGKWGESARMRKLMRRTGSRKEAGRSWIEVRNQVYSFVVGDKVGSHTEWAHGVVELLILHMKEAGYIPDLHCLIHDLEDGT >KJB40375 pep chromosome:Graimondii2_0_v6:7:4296592:4299329:-1 gene:B456_007G060800 transcript:KJB40375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATKPNASTPITGKMSPRIWFYSILLSLQYGAQPLISKRFTRREVIVTSSVLICEIAKVLCAIILMAKDGSIKRLAKEWTLMGSLTASGLPAAIYALQNSLLQISYRNLDSLTFSMLNQTKIFFTALFTYIILRQRQSIQQTGALFLLIMAAVLLSIGEGSSKASSTGDPEQILFYGIVPVLVASVLSGLASALCQWASQVKKHSSYLMTVEMSIVGSLCLLASTSKSPDGESIRRHGFFYGWTPLTLIPVVANAVGGILVGLVTSLAGGVRKVGLTT >KJB40374 pep chromosome:Graimondii2_0_v6:7:4295957:4299414:-1 gene:B456_007G060800 transcript:KJB40374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATKPNASTPITGKMSPRIWFYSILLSLQYGAQPLISKRFTRREVIVTSSVLICEIAKVLCAIILMAKDGSIKRLAKEWTLMGSLTASGLPAAIYALQNSLLQISYRNLDSLTFSMLNQTKIFFTALFTYIILRQRQSIQQTGALFLLIMAAVLLSIGEGSSKASSTGDPEQILFYGIVPVLVASVLSGLASALCQWASQVKKHSSYLMTVEMSIVGSLCLLASTSKSPDGESIRRHGFFYGWTPLTLIPVVANAVGGILVGLVTSLAGGVRKGFVIVSALLVTAMLQFLFEGKPPSVYCLVALPLVISSISIYQKYPYKVKKKEA >KJB39481 pep chromosome:Graimondii2_0_v6:7:1230954:1241150:-1 gene:B456_007G015700 transcript:KJB39481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKDHFKGLKRRLAFDDEDSGEVCRFKVLLPNGTSVGLALKDPESEISFQGFIDLIKDAYDMVKRQSGSFKRKRMIDWKNEKLCLEDINGVKTRSRIDLRRFKPHKCHILKLHDGSGKFANNFENMWDLTPDTDLLMELPEEYTFETALADLIDNSLQAVWLNDVNHRRLISVDVLESGISIFDTGPGMDNSDENSIVKWGKMGASLNRLYKQQAIGCKPPFLVPFFGMFGYGGPIASMNLGSCVEVSSKTKQSKKVYKLRLAREALLGNSGSECSWSTDGGIRDPLDEEIKESPHGSFTKVVILNPIVRNLDISKLQCKLKDIYFPYIQCDELSKVGRTITPVEFQVNGLDLTEIQGGEIATTNLHSCNGPEFTLLMRFSLKKEKISTNASGSKAPQIANARLKCIYFPIRQGKENIERILESLDTEGCGVGENFENYSRVSIRRLGRLLPDARWAILPFMDFRQRKGDQSHLLKRCCLRVKCFVETDAGFNPMPSKTDLAHHSPFSIALRNFGNRPQDKEKDVDIEIHRDGKQLTPLQLEREYREWLLLMHHRYDEEIDSGEDPPVLIVNPLNKKALGISSDVIRVHQALKRKELLWKSGQKIKVLKGAYAGCYKNNVYAIIEYFLIEGFEGDSGGEARIICRPLGTENGCELSVKGGTPSLNIQDSLSLPISVIDSGKCIAINDSDWERQLEKHNQKTPSRIDLLNVKQCHWLEIDGAFPTSLTIPAGQTPPEDIVAVFRPSSFEVSKVSNYLDQKDIVKTNLDMLMKVQYRTENHRDAKHINSLRIAPSSFKGFHGLYKFPLGVKLPHLFQKAGAYTFSFSTEHSRCQNYNLTITVVPSEKVGRWQLLGDTRFPSYSVRVGSCFPPLSIACYDIYDNRMSFTSIPNLKITLGMNEYLSVDVVKMKPSLSSDKLAIVIEDVMVETNGLDSIRPNYAATLMIYIQDESTSIPLECQVTPGALHRIEVSSRLPGKQLLPGFILEQFVLEMFDVYGNHVEEGLEVQFQLDGFSIPGLIGSKHKVDNLGRIDLGGLLKVTAGYGKQVSLSILHGDKVTFKQAFQTEKRELRISSIPEHCLAGSTLENISFEVIDSKGDVDGTFHDDEKCGRFHTLVVKSESHQIDDSIRYAFKHGRCNIASLPLPQIEGPLCFKAFHSRYTELYCDVEISLVHAPNVDTDENEVQSSDGKLMLLQNSPFFKNGNVGNLLALVKYDEGLKSELCKHGERVGKLENCLKTLNCWKTDIELYMSELQDSLEPDLVNDLDCLSTKEEITKLIEGRGHSAASTLLCSIAQGHWMDVMQDVVGVVALLGTAGSIKLSRILAEYLGEDQMVAVVCKSYEVARALEQYDHNGEVDSRLGLHAEATALSKSISGRFLVVCLEDIRPYLGGVEVNDPQRKLILPAPRLLSGNYPPGFIGYAVNLVNLEHPHIDYRTESGHGLRETLFYRLFSKVQVYETREEMENARNCITHGAVSLDGGILRKNGILSLGFRNPEIYFPVQITNVSPERKKIMEQIKEKQLELRTTLQGINVASEKLDRARHKFNRKQKQFQKYLDNIDDAINDTVTPSTTPSQCTQRLGTPSKVII >KJB39480 pep chromosome:Graimondii2_0_v6:7:1230519:1238495:-1 gene:B456_007G015700 transcript:KJB39480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSLKKEKISTNASGSKAPQIANARLKCIYFPIRQGKENIERILESLDTEGCGVGENFENYSRVSIRRLGRLLPDARWAILPFMDFRQRKGDQSHLLKRCCLRVKCFVETDAGFNPMPSKTDLAHHSPFSIALRNFGNRPQDKEKDVDIEIHRDGKQLTPLQLEREYREWLLLMHHRYDEEIDSGEDPPVLIVNPLNKKALGISSDVIRVHQALKRKELLWKSGQKIKVLKGAYAGCYKNNVYAIIEYFLIEGFEGDSGGEARIICRPLGTENGCELSVKGGTPSLNIQDSLSLPISVIDSGKCIAINDSDWERQLEKHNQKTPSRIDLLNVKQCHWLEIDGAFPTSLTIPAGQTPPEDIVAVFRPSSFEVSKVSNYLDQKDIVKTNLDMLMKVQYRTENHRDAKHINSLRIAPSSFKGFHGLYKFPLGVKLPHLFQKAGAYTFSFSTEHSRCQNYNLTITVVPSEKVGRWQLLGDTRFPSYSVRVGSCFPPLSIACYDIYDNRMSFTSIPNLKITLGMNEYLSVDVVKMKPSLSSDKLAIVIEDVMVETNGLDSIRPNYAATLMIYIQDESTSIPLECQVTPGALHRIEVSSRLPGKQLLPGFILEQFVLEMFDVYGNHVEEGLEVQFQLDGFSIPGLIGSKHKVDNLGRIDLGGLLKVTAGYGKQVSLSILHGDKVTFKQAFQTEKRELRISSIPEHCLAGSTLENISFEVIDSKGDVDGTFHDDEKCGRFHTLVVKSESHQIDDSIRYAFKHGRCNIASLPLPQIEGPLCFKAFHSRYTELYCDVEISLVHAPNVDTDENEVQSSDGKLMLLQNSPFFKNGNVGNLLALVKYDEGLKSELCKHGERVGKLENCLKTLNCWKTDIELYMSELQDSLEPDLVNDLDCLSTKEEITKLIEGRGHSAASTLLCSIAQGHWMDVMQDVVGVVALLGTAGSIKLSRILAEYLGEDQMVAVVCKSYEVARALEQYDHNGEVDSRLGLHAEATALSKSISGRFLVVCLEDIRPYLGGVEVNDPQRKLILPAPRLLSGNYPPGFIGYAVNLVNLEHPHIDYRTESGHGLRETLFYRLFSKVQVYETREEMENARNCITHGAVSLDGGILRKNGILSLGFRNPEIYFPVQITNVSPERKKIMEQIKEKQLELRTTLQGINVASEKLDRARHKFNRKQKQFQKYLDNIDDAINDTVTPSTTPSQCTQRLGTPSKVII >KJB44687 pep chromosome:Graimondii2_0_v6:7:44533398:44535332:-1 gene:B456_007G2667002 transcript:KJB44687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALRPLSADYPFRVFSFFLFRFLPSSSTLRFSHASLHRLGVGGGEPILSSTFVCEYVGEILGEQEANNRLTRYGRDGCNYLFNIGSQINDMSRLIEGQARYFIDASKYGNVSRFINHSCSPNLVNHQVLVDSIDCHRAHIGLYASQDISVGEELTFDYRYELLPGQGYSCRLMFS >KJB43048 pep chromosome:Graimondii2_0_v6:7:17247363:17248433:1 gene:B456_007G181400 transcript:KJB43048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rbcS MASSMISSATIATASPAQANMVAPFTGLKSASAFPVTRKANNDITSLASNGGRVQCMQVWPPTGKKKFETLSYLPDLTPVQLAKEVDYLLRSKWVPCLEFELEEGFVHRKYSNLPTYYDGRYWTMWKLPMFGCTDSAQVLKELEECKKEYPNAFIRIIGFDNVRQVQCISFIAYKPPGF >KJB42908 pep chromosome:Graimondii2_0_v6:7:16022682:16028727:1 gene:B456_007G173800 transcript:KJB42908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPNSLLRSTLKTQLGSYIKNAAAYRSSMDHPLKGALLARLYSTEPSLQRDDSDLKDGNGFKGHDMLAPFTAGWQTTDLHPLVIEKSEGSYVYDANGKKYLDSLAGLWCTALGGNEPRLVEAATAQLNKLPFYHSFWNRTTKPSLDLAKDLLETFTATKMAKVFFTNSGSEANDTQVKLVWYYNNALGRPNKKKFIARAKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRYHLEGETEEEFSTRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPATYFEKIQAVVKKYDILFIADEVICAFGRLGTMFGCDKYNIKPDLVSLAKALSSAYMPIGAVMVSPEVSEVIYSQSNKLGSFSHGFTYSGHPVSCAVAIEALKLYKERNIVEKVKTISPRFQDGLKSFSDSPIVGEIRGTGLILGTEFTDNKSPNNPFPPEWGVGTYFGAQCEKHGLLVRVAGDNIMMSPPFIITPQEVDELISKYGKALKATEERVKELKSQQKKH >KJB45432 pep chromosome:Graimondii2_0_v6:7:51900354:51901068:1 gene:B456_007G305500 transcript:KJB45432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFRPSSYQEYGGGDGGRNLEIIDGNVLKYVHKPCNASTYLPPFDHHHHQKQTVPKKKKKSSSLSIKSWWNDPKMKRKMRLTGYKMYAIEGKIKTSLKKAHKWIKKKYRSIVHGY >KJB45642 pep chromosome:Graimondii2_0_v6:7:53255127:53258080:-1 gene:B456_007G317700 transcript:KJB45642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIGTAIGFMKCLGVPTCRYLDNYRKLEEKMNDLRLRLDDLNVRKQDIESENESEIRGGKMVKKEVEKWLDNVEKMNAEIEKIEQKLVVVSCFSRARLGKLVRKKIEEVKEIHRQGCFSDGLAVDRPPAKGVTLLTSDLEGETNVNNQIWQLLMDDDQVRMIGVCGMGGIGKTTIMKHINNQLLKEDQFRKVIWVTVSKEMNVSKIQEDIARCLKHSLPEDELERATTLNHALERTSYVLILDDVWEWFSLSKIGIPDPKPDEQRKVKVVLTSRSKEVCISMGCKVVQVKPLSKQESMNLFLNHVELGHSVVQDPELKKIVNSIVKKCGGLPLAIVTIAGSMKGVYDIHEWRNALNELCQHVKSVKQWDNEVFKCLMFSYNRLADSEIQNCFVYCSLYPEDFPIKRRELIEKWIDEGFINGNTRQAMYDRGHSILNKLENNSLLERTRSLGYELSVKMHDVIRDMALLIKDHSRFYVKAGLQLKELPDEREWATGTFDKVSLMNNSILEIPSHISPKCHNLSTLLLQQRNLVFRRVLETGFKTIPGTFFEHMNGLKVLDLSYTNITNLPDSISNLGNLNSLVLRCCYKLRHLPSLAGLKALKKLDLYNTTIDKIPPQMETLENITYLALQAEGLKELPTGTLPMFSRLQYLATMLQLKGEEVGKLSKLEFLSCIFLDMEEFKKYNSTSGTKWPQNYICSVGSSLSRNGSLFGVEDKFEKPEEHNTLYFVNCDLEEADFAMLPMDLNYFHVENDGTSLFHGVTLCHIWECQGIECVIDLSSSPSYSFKNIERLVLGKLCNLLELVRVGPTFESPCPVIFSRLTMISLEKCSRMKKLFSVEMWQGLQNLEDLRVEDCQEMEKIIDDNGTRNGSETTSLVLPKLRELSLYSLPRLKTICGNGVMIPTNFLRYLRITECPELKRIPLLLPQLENGEPSIPTFLKRICVKPREWWESIEWDDPNAMNVDLSAFVSHVEYF >KJB45866 pep chromosome:Graimondii2_0_v6:7:55787704:55788738:-1 gene:B456_007G334200 transcript:KJB45866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPPSTHGLPIISMLDHLLLEDDEVSQSLSLNIKSSRKTRKPFKNGEKGSQEVDEFPFGATWQTWTYVSQH >KJB41106 pep chromosome:Graimondii2_0_v6:7:6597135:6599048:-1 gene:B456_007G090700 transcript:KJB41106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCANLNQPWNSTLPTLALLQKCRTLTDVNQIHGRLITTGFIRSQVLTTKIVLTFSPSPFTPLVDFARYVFFTYLAFRSPKQDEDPFLWNAVIKSYSHGREAQEAFIILCLMLENGVPFDKFSLSLVLKACSQLGLLKEGMQVHGLLRKLNFGSDLFLQNCLISYYLRCGFIGYARQLFDRMSMRDSVSYNSMIDGYIKRGMIDLAKELFDVMPLEKKNLITWNSMISGYTQLKDGMGLALGLFEKMPDRDLISWNSMINGYVKCGNMEDAQILFDKMPRWDVVSWANMINGYAKVGKIDLARSLFNEMSERDIVVCNAMMAGCVQNGYCGEALEIFYHLQRNCSLQPDSATLLIALSAIAQLGHVDKGLALHHYLKQKKFSLGGRLGVALIDMYSKCGSIKDAVLVFEGIKYKTVDHWNAMIGGLAIHGMGELAFKLLMDMEKLCVEPDDITYIGVMNACGHAGLVKEGLVCFDIMRRVHKMVPKLQHYGCMVDILGRAGQIDVARKFIEEMPIEANDVIWRSLLSACKNHENIDVGEPAAKHLITLDSCNSSSYVLLSNMYAGLGMWNAVSSVRLMMKERNLKKIPGCSWIELEGTVHEFFVQDKSHPQVMEIYSLLDSLSTSNSEVTPYVHHG >KJB45091 pep chromosome:Graimondii2_0_v6:7:49501988:49505573:-1 gene:B456_007G288900 transcript:KJB45091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDQVADSLENLSLDSQSKTTKAPEPVKKDFYSDNGSYMYPQTYGYMSYAPYSIPSPPLPAMGHDGQLHALQEYYYPSPYYQQPLQTSQANASQVDVSSFGATDQSSLSVDTNKGNSNGITSGGSGSLKPTLKSSSLNPNAFYKGGGLPTGNLSQGYQDPRFSYDGIQSPIPWLDMSMSPNGQSEQTANGGFSSYTNNLSSGRNQNLHPFPHVMNMHNARPSSGVGQAYGYMNHMYPNNITYGHYGNTIRGGSGFGSYGYDARKKGLGWYNVGNNKSRFRGYGKENIDGFNELNKGPRVKGYKNKDGSGNATLAVKDQNLPLTKSNNEDIVSLVPDTEQYNREDFPDSYSDAMFFVIKSYSEDDVHKSIKYNVWASTSNGNKKLDAAFREAKEKPDGCPVFLLFSVSMITSQSSVLICRVYDLLDSY >KJB45090 pep chromosome:Graimondii2_0_v6:7:49501978:49505697:-1 gene:B456_007G288900 transcript:KJB45090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDQVADSLENLSLDSQSKTTKAPEPVKKDFYSDNGSYMYPQTYGYMSYAPYSIPSPPLPAMGHDGQLHALQEYYYPSPYYQQPLQTSQANASQVDVSSFGATDQSSLSVDTNKGNSNGITSGGSGSLKPTLKSSSLNPNAFYKGGGLPTGNLSQGYQDPRFSYDGIQSPIPWLDMSMSPNGQSEQTANGGFSSYTNNLSSGRNQNLHPFPHVMNMHNARPSSGVGQAYGYMNHMYPNNITYGHYGNTIRGGSGFGSYGYDARKKGLGWYNVGNNKSRFRGYGKENIDGFNELNKGPRVKGYKNKDGSGNATLAVKDQNLPLTKSNNEDIVSLVPDTEQYNREDFPDSYSDAMFFVIKSYSEDDVHKSIKYNVWASTSNGNKKLDAAFREAKEKPDGCPVFLLFSVNTSGQFVGLAEMVGPVDFNKTVEYWQQDKWTGCFPVKWHIVKDVPNASLRHITLKNNENKPVTNSRDTQEVNFEQGIQILKIFKDHSSKTCILDDFEFYETRQKIIQEKKAKHRLLQKQVSNGEPNDDAVTDDKRNAAIAKEVSEKSTAASTAEVAKANGDVKHVEENGSVVATEDGPVKPVCAASAC >KJB45089 pep chromosome:Graimondii2_0_v6:7:49502365:49505399:-1 gene:B456_007G288900 transcript:KJB45089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDRILANFTPLEVADSLENLSLDSQSKTTKAPEPVKKDFYSDNGSYMYPQTYGYMSYAPYSIPSPPLPAMGHDGQLHALQEYYYPSPYYQQPLQTSQANASQVDVSSFGATDQSSLSVDTNKGNSNGITSGGSGSLKPTLKSSSLNPNAFYKGGGLPTGNLSQGYQDPRFSYDGIQSPIPWLDMSMSPNGQSEQTANGGFSSYTNNLSSGRNQNLHPFPHVMNMHNARPSSGVGQAYGYMNHMYPNNITYGHYGNTIRGGSGFGSYGYDARKKGLGWYNVGNNKSRFRGYGKENIDGFNELNKGPRVKGYKNKDGSGNATLAVKDQNLPLTKSNNEDIVSLVPDTEQYNREDFPDSYSDAMFFVIKSYSEDDVHKSIKYNVWASTSNGNKKLDAAFREAKEKPDGCPVFLLFSVNTSGQFVGLAEMVGPVDFNKTVEYWQQDKWTGCFPVKWHIVKDVPNASLRHITLKNNENKPVTNSRDTQEVNFEQGIQILKIFKDHSSKTCILDDFEFYETRQKIIQEKKAKHRLLQKQVSNGEPNDDAVTDDKRNAAIAKEVSEKSTAASTAEVAKANGDVKHVEENGSVVATEDGPVKPVCAASAC >KJB45702 pep chromosome:Graimondii2_0_v6:7:54044878:54048147:-1 gene:B456_007G322400 transcript:KJB45702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKPSIMIQICKLTVFFLFISFSAKSVSFAEIVFEESNDDESKAFQSAMTEVNVYSESESEVAYSDYCSSVVPESVTNSKAYTESFGAFNGYETGYYIGGNGILNPKINTISNSFSFETRHVSKTVADGVWKIEGSLMFYESYHPIPSPFHLKLHGFWSEFSGNLCMVGTGSAYSKQGNLLTPAAVFRLRNLRDSSNITTLITGTLESLSSSDGVSYFEPISVVMFPLLNYEYTFDFREFTHQFSGERDGLKNLAFNELPSRRFCSMIMGHLNEFKLQYARDCSSGKQTCLPFVRLNGYLPHFLSFSSIRCSEVERRIRVLIEFRNTSHVRKYPSFNPNTTLIGEGTWDDRENQLFVLVCRFLDIGESWSNAHVGDCTTRLSFRFPAILSIRETSSAMGQLWTTKTANDSGYFDRTIFRSTGNHMVGVPGLKYEYTEFNRVKNLCLRKVQLLRNKGQSYPSGHSTDLKFDMLVKSSENKSGWGSADPLAIGDQLYKPYLFLMDLPGTMLERPVPARLMNVSYEVSITLQTPIDVANGVLFSYIEEKVEIRAEGIYDSETGKLCMVGCRKLGFDNQVFENASVDCEILLNFEFSPLKPNQIGGYITGSIESTRTSSDLLKFDRLEVYSTIYKTDQRKSSIWTTDHQSTKTMKPCAPTSNIGTWFRRTMVD >KJB45317 pep chromosome:Graimondii2_0_v6:7:51375268:51375492:1 gene:B456_007G300800 transcript:KJB45317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFTSIVSIYYYLKIMKLLMTRRNQERTLHVRNYRRSPLRPTNSIELSMIVCVIASTILEISMSSIIAIAQDTLF >KJB41471 pep chromosome:Graimondii2_0_v6:7:7954394:7960070:-1 gene:B456_007G106000 transcript:KJB41471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYKNLYNHENIFVSDHGGGAGNNWASGYHQGKGVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLEALNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPDNLTGEGNASGTLDPKLAV >KJB44359 pep chromosome:Graimondii2_0_v6:7:38515725:38519024:1 gene:B456_007G247800 transcript:KJB44359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIASIRQISGQFTFSVKSQRSYSALRPSLHALCVTSPSDFSLLAKQNVFQLHNILGKWLHARYLSNASIELKTDEDVIRFSFNKPVCRLASTNRKRKMSRKAKVNELRFYRLKAKKKMNSPNPEVRIRYKLENEAWLIEKLRKYEVPKAPAEAYDPEILTEEEIHYLKRTGEKKKNYVQVGRRGVFGGFVLNMHLHWKKHETVKVICKPCKPGKVYEYADELGRLSKGIVIDIKPNNTIIFTVERIMCNQILCLQQIPCLKTKLWKNTSTNNPSIIQVNSLRSWRKSWKSILSIKLGTIKQKRVNHRISQTIMDASQL >KJB41959 pep chromosome:Graimondii2_0_v6:7:10421350:10424862:1 gene:B456_007G129800 transcript:KJB41959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDQLKANTPSIPLRKPYGSMDLISTKTPPHHGRHSKDTKWWVVLNLAFQSIGIVYGDIGTSPLYVYSSTFSNGIKHKDDVLGVLSLVFYTLTLLPMIKYVFIVLRATDNGEGGTFALYSLICRYAKVSLIPNQQAEDRQVSNYTLELPSKRLKLASVLKSSLEKSKFAKLFLLLITMLGTSMVIGDSILTPSISVLSAVGGVKEATSALTQDMIAGISIVILVFLFMIQRFGTSKVGYTFAPILSLWFILIGGIGFYNIIKHDTTVLKAINPIYIVEYFIRNKKDAWVSLGGVVLCTTGGEALFADVGHFSVRSIQVSMCSMVYPALILAYTGQSAYLRQHPDSASDAFFKSVPGPMYWPMFVVSILASVIASQAMISGTFSVVHQSLSLGCFPRVKVVHTSANHEGQVYIPEINYFLMLACVGVTSGFKTTVKIGNAYGIAVVFVMTLTSALLVLIMIMIWKTNIFLIILYVVTIGFVELMYLSSALYKFTLGGYLPLAFSAFLMTVMYVWNNVYRRKYHYELDHMISPARLTEIFTNKNMSRIPGLAMFYSELVQGIPPIFEHYVSNVPALHSIIVFVSMKSLHVNKVPAEERYFFRRVEPRTLFAFQCAVRYGYNDVREVAFEETLITRLKEFIQEETWMQIQTLSIGGKMTESGRESDREIEHNEISKAKQAKEEKLREIMEQEIEQVEKAWEEVGIVHLIGQNEVIASKESNVMKRILVDYAYNLLRRNLRQSEAVFDIPHKRMLKVGMTYQL >KJB41870 pep chromosome:Graimondii2_0_v6:7:10005994:10006524:1 gene:B456_007G125100 transcript:KJB41870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNVNDLNEELLNKQLKPLPFHLEIKEEKKQRTSLESLVAAASGHSNLFQHQIKVDSPSWFGSPRTESKALRKPKESLVIENLDSPPSIIRSALFFHVSFPSNGVPSSSFPCSSVDYQVFNFN >KJB42334 pep chromosome:Graimondii2_0_v6:7:12587970:12593814:1 gene:B456_007G148700 transcript:KJB42334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSTSATRFRFREQNRQQIEDDADYETSSGMSSVSSDLDGENELQSMTGKGIKHLCSELLELKTESDEDFHRNIFSNYSSFVRIFDEVRGMENELMQLKGQVLTQKKLVKDLIDGIHLKVLSEETIDSVIQESEFSEPTPQSELAVHINSISETLDILMIENRMDEAIAVIQRADENLQRMQFEDNSPVDLLLLYNSAIAEKKAMLTLQLTLSAENTRISAAELQKVLVGICKLGDSHLAIQLLLKYYHSRLATGRHHLQSSQSFLDGLYVKNLAKFVFSMISQAARSFMMLYGETSPQASELLQWAREETKLFVASFNKYVRSSSDVTEGLSKAVEAMQFAMSYCSLLKSQRLFLKPYLIKHIRSCMEEVLLIHIDHFKKVISMFTATDDWVLGRYLISGILSEGNYMVAGQRPEYCILTNSGRKFITLLQAIIADVTSLLAIQMEGSILKGLMNLFTEYIAILEKAITFETHVSEKGSKRNLVESLPQQLSVLANLSTLQLFFFKIVRSFLRGPGHLNSKLRKKNSIDFLKKELDGCILFIQEAVAKLKAHFCQQFINRMMSPETGSKLIVETCSDNQQEPSNFQGAMPSAAFQVLFLELRKVDKIDEDNVFEEDWLMELLRELIAAIFSWIVNNKEIWRNTQEDSPVQLSDIISQRF >KJB42333 pep chromosome:Graimondii2_0_v6:7:12587775:12593866:1 gene:B456_007G148700 transcript:KJB42333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSTSATRFRFREQNRQQIEDDADYETSSGMSSVSSDLDGENELQSMTGKGIKHLCSELLELKTESDEDFHRNIFSNYSSFVRIFDEVRGMENELMQLKGQVLTQKKLVKDLIDGIHLKVLSEETIDSVIQESEFSEPTPQSELAVHINSISETLDILMIENRMDEAIAVIQRADENLQRMQFEDNSPVDLLLLYNSAIAEKKAMLTLQLTLSAENTRISAAELQKVLVGICKLGDSHLAIQLLLKYYHSRLATGRHHLQSSQSFLDGLYVKNLAKFVFSMISQAARSFMMLYGETSPQASELLQWAREETKLFVASFNKYVRSSSDVTEGLSKAVEAMQFAMSYCSLLKSQRLFLKPYLIKHIRSCMEEVLLIHIDHFKKVISMFTATDDWVLGRYLISGILSEGNYMVAGQRPEYCILTNSGRKFITLLQAIIADVTSLLAIQMEGSILKGLMNLFTEYIAILEKAITFETHVSEKGSKRNLVESLPQQLSVLANLSTLQLFFFKIVRSFLRGPGHLNSKLRKKNSIDFLKKELDGCILFIQEAVAKLKAHFCQQFINRMMSPETGSKLIVETCSDNQQEPSNFQGAMPSAAFQVLFLELRKVDKIDEDNVFEEDWLMELLRELIAAIFSWIVNNKEIWRNTQEDSPVQLSDIISQFVLDMHFLAEIVKYGGYFSKKPLVLQSLVDTAFTSAGLDPERDFDGDGWARNAANEAMQKLLEIEKMQLISKDDSTDGLEEEPCENEANDPVLDKSTSTMTDSQVVLDEDSPTMDAVESRSTMKDSLVVLDEDSPTMDAVEVAITTETAMKAEIPPEVSVSLADVYDFPAVGLEDVDAGSGTTKAAGELYLPEKTVLPDPSSSDGMSDASELSVPVKEDAGSEADAIDSNVNELESISELSLPVKEDADSNADATASAITDPDRDGKVVDRV >KJB39832 pep chromosome:Graimondii2_0_v6:7:2240600:2242804:-1 gene:B456_007G032800 transcript:KJB39832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSRENVVHVIPTNDNWVPNSSDPSVWATEEDYRVWNNQETSADTLLSNSDQPPNKKPRNSQDLNTRSRAIGKMFFKTKLCCKFKAGTCPYISNCNFAHSTEELRRPPPNWQEIVAAHEEEKGILSEPREEFQIPSLGSTSFSGETQRSYKGRHCKKFYSEEGCPYGDNCTFLHDEQSKNRESVAISLGPGGYGGGGAGGGGSGGGGGNGSNAKPSNWKTRICNKWELTGYCPFGNKCHFAHGAAELHRYRGGLGDAEIKDTNATLSDSKQGGAMPLRTPSDSVVASVPQLPVSDVYHSQRTSIVLQRPGQRTLQKWKGPDKISLIYGDWIDDIQ >KJB44762 pep chromosome:Graimondii2_0_v6:7:46036432:46037152:-1 gene:B456_007G272500 transcript:KJB44762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHKSPHSGQGWDSMLEAMKEARRLNTHVFSMLKARCPLEDKMACAIKQTGAPLPQIKFENTVSAFKTLPQKGT >KJB38987 pep chromosome:Graimondii2_0_v6:7:12410252:12411395:1 gene:B456_007G146900 transcript:KJB38987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTIKGTGAVLFFHVFREVGSQQLCSCTLPSIDQVFFYLVVVYYAGPFVFCYFLAGPSLFVLFCDYLNEFWRCFLFWWNQFLDSY >KJB42047 pep chromosome:Graimondii2_0_v6:7:10935272:10936139:1 gene:B456_007G134300 transcript:KJB42047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPTVPSCVLKVNAHCCPACPKKVKKMLQKINGVNAVDIDTENGLVTVHGIVQLSTLIQTISEKMGKKAELYAYEKNPETRNEKLDNDNTCSACKYEEKNQTCSFADKSNDGKAKDPVPQGPEVSNHPQLITEKKKHWLGGWFGKKSSVEPRMFGSFRGARPGCYSWLPPPPLPPAFQLPPYRCGQYRPVYPYSPPYRITQPPRPYPYDFYEDTEPPIGNSVFHTFRDDNVNACSII >KJB42529 pep chromosome:Graimondii2_0_v6:7:13484930:13487335:1 gene:B456_007G156600 transcript:KJB42529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKPTSIEGSVTPPTSIDSENSGVGASIQTKGTTRKRKAPPQRSEVWSHFTKFINSDGASKAKCNYCEKEFCCDMKKNGTGSLKYHIGSCKKNPSNVVDTTQGQLKFMFVACPRFHVPSRTTMTRDVYQLYLDERVKIKQLLRSYCSRVCLTTDTWTSLQRVNYLCLTAHFIDNDWKLNKKILNFCPISSHKGESIGMVIEKCLLNWGIDKFFTVTVDNASSNDVAIGYLRKKFNPRRGLVQNGKYLHMRCMAHIVNLIVVEGLKEMNKSVERVRGLLQKFKECVVVEKIGCKKMLCLDVCTRWNSTYLMLDTAQNFERAFERFEEQDTNFRAELERGEGWPSMDDWDNVRNLRDFLEHFYEVTLRISGTSYVTSNNFFDELSEIDILLRDAQLNSNIDFNVMAIKMKEKYDKYWGDIDKMNLLMFVACVLDPRQKLKYLEFALSEMSSSEKACEMMQKLKESLYELFDEYKPPLHGTCSQSSVSTHVSIGEPQQKMKRRMQALYKKRELEICGEDKTSELDKYLAEANEEFVEDFDILLWWKVNSPRFPTLSKIARDVLAIPVFTVASESAFSTGGRVLDQYRSSLTPKIVQALVCTQDWIRRSSSQEDIKKIEEQIQELDKIENGLMQMEIFWRDEMDIFWKDEMDINGEC >KJB40930 pep chromosome:Graimondii2_0_v6:7:5935108:5939060:1 gene:B456_007G083000 transcript:KJB40930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSASVIKWQPFFLLFSCVLNSVVLGDNSSDKAVLLEFKKSVLDPSGLLSTWTENSGHCSWSGVSCDKNSKVLSLNITGFGNGKKGNFNNTNAFLSFSCSDYSVFPFYGFGIRRNCGESNGGLYGKLLPSIGKLSELRILSLPFHRFGGEIPAEIWELENLEVLDLENSLLSGTLPVSVSGLKNLRVLNLGFNNISGEIPSWLSSLKQMEILNLAGNLVNGTVPGSFGRFRGVYLSFTSLGGLLPPDIGEGCKLEHLDLSGNNLVGQIPASLGNCSQLRSLLLYTNLLEEQIPHEIGQLRNLEVLDVSGNSLSGPIPVELGNCSGLTVLVLSNMFNPYDDLAIAKGDRSTVKDDFNFYQGGIPSEITKLSKLRILWVPRATLEGNLPSDWGACDDLEMVNLAQNFFAGEIPIGLSYCKKLRYLDLSSNKRLAGELIEELAVPCMSVFDVSENSLSGSIPRFYNQGCPEVLTSDSYAIEPFSPTSAYLSFLARKLQVGTHFEFFGGDGGPTVFHNFGDNNFTGSVLSVPIPPKRLGKQISYAFYAGENLLSGPFPQNLFENCNELGSLYVNVSYNRMSGQIPAEMSKICKSLKFLDVSGNQITGSIPPSIGDLVSLVSLNLSWNLLRGWIPSSFGLMKDLRYLSLSGNNLTRSIPSTFGRLQSLEILEMSSNSLSGEIPEGLVNLRNLTVLLLNNNKLFGKIPSGLANVTKLSAFNVSFNNLSGPLPSSYNLMKCSNLLGNPLLQPCHEYSSMSSSDQARAGNSQNYAASPRGSATRRNGNNGFNSIEIASITSASAIVSVLLALIILFIYTRKWNSKSKIISTTKKEVTIFTNIGVPLTFDSVVQATGNFNASNCIGNGGFGSTYKAEISPGVLLAIKRLAIGRLQGFQQFDAEIKILGRLRHPNLVTLIGYHASEMETFLVYNYFPGGNLEKFIQERSARAMDWRILYKIALDIARALAYLHDQCVPRILHRDVKPSNILLDDEYNAYLSDFGLARLLGASETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSPYGNGFNIVQWSCLLLRQGQAKEFFTAGLWDAGPQNDLVEILHLAVVCTVDSLSTRPTMKQVVRRLKQLQPPSCYLHDEVSLH >KJB42423 pep chromosome:Graimondii2_0_v6:7:13014887:13015486:-1 gene:B456_007G152000 transcript:KJB42423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMIVRRVVSHIPKKKRRKTVKGMNLEKGCVVQCSSHQSSMGLKHKCDRHLISASAQCRSKDSSMYIFALVFPPAVNGCCQIYYRDVLFQHMPHSIIDA >KJB44624 pep chromosome:Graimondii2_0_v6:7:43232374:43234229:1 gene:B456_007G262000 transcript:KJB44624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQEMDIDHEWVFLPDNRFLDINQDHGGKRVSDSNLVFFTDYFDEEQSPPSGNSGKVAKQVVPVPFPLEPRILKVPENEIGKEITKRVPLDFSSTPSMILEKIKEGGMESVGGDKEVKTQVSFRKPSYNNNESVDMTNKMDSPRSTTKGVIPQLDAAGTFNFDDETEFLENMNSPKIKDLVEKKAENKDVNWEESSGGLNLWKWSLTGIGAICSFGVAAATFCIIIFGTQQRHKQQQLNQKLLFQRYSDDKRMKQVVHHTTKLNEAISVVRGVPITRAQITFGGYYDGI >KJB46048 pep chromosome:Graimondii2_0_v6:7:57375217:57378163:-1 gene:B456_007G345800 transcript:KJB46048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFEIDADNDWVFLPDKGLPETKQDSETNIHGGRRTDPATKPLFLADYFDPKQSANENIIGSVEAAAADDDDKGVKTQEFSACHEPVDSPKSNSRDVVTQIDLMGTSNFDEKIRILEENENKGEDDMIWGEIKNKEEGNSDCFSFWKRNLNVVGGICSFGFAAVATFFLLTLGNQQGKRQQGDDQKKFLQSDEQFNFPFPRMIHLEIDADNDSIFLPDNGLPKTKQDSKTNIHGGRRTNPATKPLFLLDYFDLKQSAEEKIKEPVIGSVEAAADDNNGVKTQEFSACHELVDSPKYSSRDVTQIDSMDKFNFDEKLRALEGNENKEEDDMILGENKNKEEENSDRFSFWKRNFNAVGGICSFGFTAVATFFILILVNQQKKRQQRDDEKRVLQPDEQV >KJB43799 pep chromosome:Graimondii2_0_v6:7:24508940:24510713:-1 gene:B456_007G216700 transcript:KJB43799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELMMSCRNGDSFAAKMEENAVKEAASGLESVEKLIRLLSQTQQQQQSNSNNQEKYQASSISTTSNSLDLEMDCKAEADAAVSRFKRVISLLDRTRTGHARFRRAPVQPNQEQETKVYYATPIQQIPPPVTTAYPLPPPPPPPPHHHDFMTVKTGGLERIDSSKTINFSYSSAGNSFMSSLTGDTDSKQPCSSSSAFQITNFSQVSSSGKPPLSSSSSFKRKCSSDNLGSGKCTSGSSGRCHCSKKRKLRSKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLVVTYEGEHNHSLSVAETTNLILESS >KJB43428 pep chromosome:Graimondii2_0_v6:7:20256867:20259529:-1 gene:B456_007G199300 transcript:KJB43428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKTILWYFRVEVNTGRSHKLVVPMASILAQPGCSCIRIHRRCCFTTLKFFSSRPFASRSHPPHHFSHRPLRKRFALDVSRSLSQSHRLLNTDAPTLHHFTAQASLTASQSQPHVLSSDAPNSEVASKGRIYHETYGCQMNINDMEIVLSIMKNAGYTETVDVPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRRWKNNVAIGRSLSLHPPKVVVLGCMAERLKDKILDADKMVDVVCGPDAYRDLPRLLEEVDYGQKGINTLLSLEETYADISPVRISKNSVTAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVKEVGELWEEGVKEVTLLGQNVNSYNDASGSEKEVEPGSNWELSDGFKSMSKVKNMGLRFADLLDQLSNEFPEMRFRYTSPHPKDFPDELLYLMHDRHNICKYIHLPAQTGSTAVLERMRRGYSREAYLELVQKIRRIIPDVGISSDFICGFCGETEEEHADTLSLIKAVGYDMAYMFAYSMREKTHAHRNYIDDVPEEVKQRRLTELIEAFRESTGQRYDSQVGTIQLVLVEGPNRRAPDKELIGKSDKGHRVAFVNLPLLDRENQTDKKRNPVIGDYVEVHITKSSRASLFGEALAITKLSAFYNNVDEAAVACGSRG >KJB43786 pep chromosome:Graimondii2_0_v6:7:24141007:24144525:-1 gene:B456_007G215900 transcript:KJB43786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPYQQPRDDESEGCSSQKTSVDGDEDKGKRVGSVSNSSSSAVVVEDGSEEEEGERVKAALKKRSNKMIFGFPVPGEEVFTENSDPAPVTRQFFPLDQDPEMGANCVGSGPGFPRAHWVGVKFCQSEPLPHAKSVEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSIEDYEDDLKQMCNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQLLGKKYVYLGLFDTEIEAARAYDRAAIKCNGKDAVTNFDPRIYDNELNCGETSGNAGDHNLDLSLGSSTSKQTNLELGGDRQIAMSDQHSLPPEADWQYREFRSKVNLQQEPCRSDGATHGRSDGYSEAETMQLLSQTHIQSPASIKSNEMQRYGQFRRPGDNNVFHIPPSSYQIHFPSSSSGGRIGSDLLLSISEQQWQSSPHQMFGNSAASSGFPSQIRPSSQTWLQKNGFHSLMRPS >KJB43141 pep chromosome:Graimondii2_0_v6:7:17876113:17876814:-1 gene:B456_007G186500 transcript:KJB43141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSQDLSHQAGQKMGQAQEKTSQMADKARETAQSAMDSMQQAGQQMQAKAQGATDAIKDTMGMNK >KJB41811 pep chromosome:Graimondii2_0_v6:7:9750242:9756780:1 gene:B456_007G122900 transcript:KJB41811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDDIPLDDKAKRMRDLLSSFYYPYHGSSPKAHSNYENLDSINSASFDPEHYMNLLVQKSNLEELLRKHVEMAAEIKNLDTDLQMLVYENYNKFISATDAIKWMKSNIVGMEANMEQLLDKIMSVQSRSDRVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPARLAKCIKSEAYADAVKFYIGAMPIFKAYGDSSFQDCKRASEDAIIIILKNLQGKLFSDSESIQARAEAAVLLKQLDFPVDSLQAKLLEKLERSLGDLQLKTNEIVNVLREPNDPSKQGKGFDSVPGIGHEVSVHGFAEAIRAYRVIFPDSESQLIKLAQNLVIKHFEITEQRVKRRISSTNFLGALRAIWDDVLLMDGVLSEAALSNFSLEVAHATVKKYVASTFTYLLQDISDALLKVKVSPKEAAEEHPLQVALEASKKTVLQGSMDVLLDFRQLLDDELGLLVKLRAFIIDWVQEGFQDFFRSLDGCFLLLSGRKNSSSQDQGFSEGALGEKVLAGLVLVLAQLSVFIEQTAIPRISEEIAASFSSGGARGHENGHVFVSGEICQLFQLGGEKLLHHYINIRTRRVSTLLRKRFTTPNWVKHKEPREVHMFVDLFLQELKAVDSEVKQILPEGLLRKHQRSDSNGSTNSSRSNALLDDKMSRSNTQKARSQLLETHLAKLFKQKIEIFTKVEYTQESVETTIVKLCLKSLQEYVRLQTFNRSGFQQIQLDIHFLRTLLKENIEAEEAIDFLLDEVIVAASERCLDPIPLEPPILDKLVQVKLAKSKGT >KJB44469 pep chromosome:Graimondii2_0_v6:7:41316140:41317171:-1 gene:B456_007G255200 transcript:KJB44469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTAEMFSLTQKMEKSWDNEFQELVKALPKEDGWIGSHLYFYQGFWCPALVLKSFISFQKNFQAFASDVILATFPKCGTTWLKALAYITLYRSHFARDENPLLSSSPHQLVRFLEYDLYLNNPFPDLQNACAYEPRLFATHVPYASLPTSITDSNSKIVYICRNPLDMFISLWLFSTKLRDNNLRPLSPDEAFDKFYHGIYAFGPFFEHVLGYWKASRENPNKILFLKYEDLMEDTNSHLKNLAMFLGVPFTEDEEKQGVVPEIVKMCSFENLKELEVNKKGLHASGIPNADFFRKGEVGDWSNHLTPSMVERLEKLIQEKLNNSGLTFKLSCKTSRIFHPTE >KJB41955 pep chromosome:Graimondii2_0_v6:7:10409090:10409766:1 gene:B456_007G1296002 transcript:KJB41955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCLQSAGLQHLASPLTSTGIDQRLLPNLLMQGYEAESAEEKQRLFKLMRNLKFNGEFGLEPYTPTAQSSGGQATSDGFHSPEFKGDFGAGLLDLHAIDDTELLSE >KJB39693 pep chromosome:Graimondii2_0_v6:7:1801677:1802336:1 gene:B456_007G025900 transcript:KJB39693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFTVMLMVIFFVFTLSQARFLTVEPELGATAQDTISNVPDSDPRTTTITTLLSGDRPGSEPAAKLVYFKHGDVPETDSDVVSVPLESTSFRPVNRYFSRYHQRFRPLNSRFHKKRYISYGDDMILSDERTRFEPELRRSVRQVQASWSEFPGGGAESKVPVDFMKTHHHVHVHYLGDDQHQHQHQHQQQHHHYHHQHGRYHHHRHHNREDKSGKVEEH >KJB40457 pep chromosome:Graimondii2_0_v6:7:4540702:4542401:1 gene:B456_007G064700 transcript:KJB40457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDDLYITIPSFFRCPISLDVMKSPVSLCTGVTYDRASIQRWLDSGNNTCPATMQVLQSKEIVPNRNLQRLIQIWSDSVARRQVDAESAAPSSVVVPSQDQVKLLVKQLDKNCSSSLAKIVCFAGESEENREFLARMDGLLTAVFDFMRNAESDINLMEQVVSILDLIQSKIQDKKPLLESNCLSTILLVLQRGTSDSQIQSLRLLESIAIDGESKLKIAEKEGLLLELVNSLSKEKDERLIEASLSCLSAITKPKRVKTKLIQYRTIPELKNLLSEPNMSISIIEKSLKLLETLSFCKEGRAEIRHDSNLLQAIVQKVLKASSKATEHAVTVLWSVCCLFREEKAQEAVVGCSNGLTKFLLLMQSDCSSATRQMSADLLKLFRVNSNSCLSSYDTKTTHIMPC >KJB39518 pep chromosome:Graimondii2_0_v6:7:1343930:1344416:-1 gene:B456_007G017800 transcript:KJB39518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYNVPAQVHWIEENVNGAHSVAGSGVEWGTMFRTLITYIWKMWNEYIFENVTRPAAQVVRHCWVEASRYKKAFSTELEHAGW >KJB42407 pep chromosome:Graimondii2_0_v6:7:12904532:12906170:1 gene:B456_007G151100 transcript:KJB42407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLFRLADQDQGFFQRKWTLVNGPVIVGAGPSGLATAACLREQGVPFVVLERAECIASLWQKRTYDRLKLHLPKQFCQLPKMPFPEDFPEYPTKRQFIEYLESYAKHFDINPKFNECVQSARYDETSGFWRVKTVVTSGSNRTEFEYICRWLVVATGENAERVVPDIEGLAEFGGEIIHACDYKSGEKFQGKKVLVVGGGNSGMEVSLDLCNHNASPSMVVRSSVHVLPREIFGKSTYELAFFMLKWLPIWLVDKLMLILTWLVLGNVEKYGLKRPSIGPLELKNTQGKTPVLDIGALEKIKSGDINVVPGIKRFSRGEVELLNGEKLDIDSVILATGYRSNVPSWLQEGEFFSKNGLPKAPFPNGWKGNGGLYAVGFTRRGLSGASSDAMSIAKDIGMVWKQETKQHKKRTIACHRRCISQF >KJB46013 pep chromosome:Graimondii2_0_v6:7:56835254:56836407:1 gene:B456_007G342900 transcript:KJB46013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIQFKSQILHYRTNSFLNPFLESTISLQVFNCSWKSPIFTVSPLFSPKTQIQKPIICARRSKRRKGSDRSTKLALELMSILASNLRILPQPLDLIVQNLVAADEEGLGFLNGFNGVRFKGWKKPTMRRRNGKKILGFLVILGSCILCLLFGKEISSDLLFGILGLMFFVFALIKVWRRGIKAWVFGVCCVGLSVGLGLRGNEAMKWIREIRVPSSSSSVMEIVRRGKRRSKWTF >KJB43534 pep chromosome:Graimondii2_0_v6:7:21119861:21120763:-1 gene:B456_007G204900 transcript:KJB43534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAKPDGSCSPSFFPMNSNTLTIWIGTMLGKVSNSCRYYKWLGKTTGRLDEMQCGWGFGFLFVTPLAILSKACLDRWGSGWKRNLLKSCR >KJB42270 pep chromosome:Graimondii2_0_v6:7:12349029:12350685:1 gene:B456_007G146200 transcript:KJB42270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPCCEKMGLKKGPWTPEEDQILINYIQLHGHGNWRALPKQAGLLRCGKSCRLRWTNYLRPDIKRGNFTREEEDTIINLHEMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLKHSHGSNANNRQPIDPSKDIKREQQPVTIYSQVSPPQSSSDVSTSENNSNTNTSTTKTETNEDVSEIDENFWSEVLSADNSSMEANFRVVGSDQYFPSSPPPSLPALETVNGYGSNLYDTDANMDFWYILFTRAADLPELPEF >KJB40041 pep chromosome:Graimondii2_0_v6:7:3019243:3021827:1 gene:B456_007G043700 transcript:KJB40041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRFLLVLLSSVSTAVLFLFLIVLFPGESGSFGLKLMGLRIKQGNNTFSALHRKLLHGTTMKEPNRLPGEKCTKADIVINQGATRPLPTGIPTYTVEIMNICFTGCDISGIHLNCGWFSSARLINPKTFRRLHYNDCLVNDGKPLINGGTVSFQYANTFLYPLSVSRVICS >KJB42246 pep chromosome:Graimondii2_0_v6:7:12178654:12179938:-1 gene:B456_007G144800 transcript:KJB42246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFNGVDKYDYAKELKEFVDTKAGVKGLVDSGLAKIPRIFIRPEEDQSSLQTTCTTHLQVPVIDLNGLESGQRIQIVNNIRQAAQTWGCFLVINNGFPVSLQETILDRARQFHEQPQEVKAPWYSLDAQRRVRFYSNGYFSASTSAQWRDILTFFHVEELQKEQIPQVCRDAMTKYLKHIMQLKETISELLSDALGLTPDFLENIECLNSASTSFIYYPACPEPDLTFGLGKHTDPNFLTLLLQDEIGGLQVLHQNKWVDVPTVKGAVMANLGDLMQLISNGKFKSVEHRVLAGRVGPRVSVVSFCFPANDGRQYGPIKELLSEENPPIYRETTGLEYITYYRTKGLDGRPALSHFKLAP >KJB45760 pep chromosome:Graimondii2_0_v6:7:54565297:54566145:-1 gene:B456_007G326100 transcript:KJB45760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISLSLSPRQCPDRYAFCAGQNLLDKKFCYLRTVIVTAAVHRGFGRRLLCHQVTNFLDLPALGRCQPPYMVNS >KJB46130 pep chromosome:Graimondii2_0_v6:7:57963725:57966201:1 gene:B456_007G349600 transcript:KJB46130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVFLYHVVGDLTVGKPELEEFYETETIGSAIRAIRESTGCGITVWKRKTHMEMAENNDTRQQRFVGILTSLDVVSFLAKPQCLEDQDKAMKTLVSDVVVPDNALLKIVDPGIRLIDALEMMKQGVRRLLVLKSTVWKGMSKRFSILYNGKWLKNVDNSSNLSINVSAPSSAASSASSSSSSTPPFMRNKFCCLSREDIIRFLIGCLGALAPLPLSSISSLGAINHNYSSIDASLPAAEATQKNPGDPSAFAIMESTPDGRHRILGEISVSKLWKCDYIAAAWALANLSAGQFIMGIEDNVSSRWLTDCNNNSLDNGVESTRPRKISSMSSGYSPVSPTFGVGRGTYRGRSAPLTCKTTSSLAAVMAQMLSHRATHVWVTEGEDDDDVLVGVVGYADILAAVTSKAPSLSALTPRSIIELRLENHH >KJB41798 pep chromosome:Graimondii2_0_v6:7:9655855:9656820:1 gene:B456_007G122100 transcript:KJB41798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNHFILALISLSCLFSVSANAPPPLSNASSPASSELYDMIEVMSESPSPFAFDGTTLESIDDLLSILPGGVDPALQQICGNTDHPVECIIATMPFLDENAPIEPLSVLKAGIKAMDNQTKNALAEVTKLSMDPTTPKTIVPILQTCIDVYNSILNSDQKSLEAISNHNLVQLSTELGTNVENVLGCDNAFKQAKLESPMKDMDAMLASIISNTLTIGVDMVHF >KJB39399 pep chromosome:Graimondii2_0_v6:7:876661:878200:1 gene:B456_007G011100 transcript:KJB39399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSSDQKPRAKHRKGLWSPEEDLKLRNYVLKHGHGCWTSVAINAGLQRNGKSCRLRWINYLRPGLKRGMFSPQEEDTILTLHHLLGNRWSQIAQNLPGRTDNEIKNYWHSHLKKRVAKAEELEPQTRTPYTTSSSENTDSALSPRNFADMRIPSFESFHHIEKPSISTDQTVARQLNISNEPHGSLMPRLLFAEWLSIDQEGESVANSASLNGFNHGSSSNFQDPFLSAYLGSFDNDFQDGLSYALVNDEMFSSEFKFETQISEEEFLGNEINNNVLYI >KJB45222 pep chromosome:Graimondii2_0_v6:7:50729903:50734010:1 gene:B456_007G297000 transcript:KJB45222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAASCFNNTCFITLKPNSSISTTNFPLSFSYPIFNGCNGISVTRIGSSSKTYAKFEKFQGDPSEANGEDIIVSSLQTQEQTIEEEEEDDSCLPSDLEGAVRQSGEASALFVSSGGMRAIVELLIPQLQFLDDEGAQAELWELSRIFLDTLMQETGCQKVKAIFPDAGAAALLKYRWKDAAFGFASLSDRKPVESQDEIIVMLVPDYQMLERVQRIASELSDDPPRPLVMWNPRLISEDVGVGVNVRQLRRYFLSSFTTVYSMRPLAAGAVFRCYPGLWKVFYDDKDRLGRYLLAKELVSRPDVEELEIIFGNAEEKSEQGPSFFSQAAGIFSSLNRFVKAISK >KJB41809 pep chromosome:Graimondii2_0_v6:7:9706363:9708473:-1 gene:B456_007G122600 transcript:KJB41809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKQPAPPPFGLYLNLSFFSYFDSTTPIITHITVKEKGMALWFCSARFLVFLFIVSALPIAYIISQERAKTDHHVFHYHSSGFFRECAKWDDQGRRFLVSFLEGGVGEIHVPQNYTPDVVLQEVTVVKDSDLTGNASNGIALDRPRNRLLLAVADVLGNRYSGLAAYDLSTWKRLFLTKLSGPGDEKSMADDVAVDADGNAYVTDVKGSKIWKVGVDGELLSTLTNPLFTPKEWYKSLLGLNGIVYHPDGYLIVIHTLSGNLFKIDLAKGDEVKLIEVAGGPLAFGDGLELISPTKLVVAAGNPSGRLVESTDGWETASVVAKFKGPMHRLATAATVKDGKVYLNHMFGMGYPRKTHALVEFVL >KJB46615 pep chromosome:Graimondii2_0_v6:7:60866162:60868550:1 gene:B456_007G378200 transcript:KJB46615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLIRVLVLLAFLFPVLVDCAVRHYKFDVVMKNTTRLCSSKPIVTVNGKFPGPTLYAREDDTVLVRVVNHVKYNVSIHWHGVRQLRTGWADGPAYITQCPIPTGQSYVYNFTITGQRGTLLWHAHILWLRSTVHGAIVILPKRGVPYPFPKPHKETVVVLGEWWKSDTEAVINEALKSGLAPNVSDAHTINGHPGPISSCPSQRGFTLAVENGKTYLLRLINAALNEELFFKIAGHKLTVVEVDATYVKPFKIDTVVIAPGQTTNVLLSADQSSGKYLVAASPFMDAPVAVDNLTATATVHYSGTLANTPTTLTAPPPKNATAVANNFINSLRALNSKQFPALVPQTIDHNLYFTVGLGINPCPTCKAGNGSRVVASVNNVTFTMPTTALLQAHFFNISGVFTTDFPAKPPHKFNYSGAPPTNLQTMTGTKVFRLAYNSTVQLVLQDTGIIAPENHPVHLHGFNFFTVGKGLGNYNPKTDPQNFNLVDPVERNTIGVPAGGWVAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVENGKGPNHSLVPPPSDLPKC >KJB44069 pep chromosome:Graimondii2_0_v6:7:29575770:29577311:1 gene:B456_007G232800 transcript:KJB44069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQQIDIVMLPFMAHGHLIPFLSLATQIHHRTGFNIAIANTPLNIQYLRSTFLQHQNPPPWIHIFELPFNSAGHGLPPNSENTENLPLDQIGKLVISSVSLKTPFHNLLLDIIKKQGKPPLCIISDVFFGWAVEVANIMNTVNITFATGGAYGTLALFSFWLNLPHRKTDSEEFNLPGFPERCKFHVSQLHEFMRKADGNDSWSRFLKSQFSSSLQSFGWLTNTAEELEPLGLDSLKKYTQFPVWPIGPLLPKQLLNKSPLSSSSSTTQHAGKSPGISAEKCVEWLDMHDLASVLYISFGSQNTVSRSQMMELAIGLEKSWTLFIWVIRPPLGFDLKAEFRSEWLPEGFEARMKESNQGLLVKNWAPQLEILSHKSTGAFLSHFGWNSTMESLSQGVKIIGWPMAAEQAFNSKMLVDEMGVSVELTRGVQSSISSEKVKEVIGIVMEKEGKGGDMKRKVEEIAHQIREAVKDEGNEKGSSIKAKDDFISAIKTRKQCSVHLSWIYPQTSIVW >KJB40268 pep chromosome:Graimondii2_0_v6:7:3857317:3858567:-1 gene:B456_007G054900 transcript:KJB40268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGTIIAKKIVRMLTSRDIYDIAALCSRKERFFFKACGFRDDILGSTMMMYSRTVSSTCFEGERMVKQAG >KJB45449 pep chromosome:Graimondii2_0_v6:7:51978114:51980321:1 gene:B456_007G306300 transcript:KJB45449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGNAPLAERFEGGNWGFSGDNTSLPIVTELISKQHWKSLKTHLQKASPITLLQQLLDSRVDPCLTLRYFNWSEKEFNLSHSLEHSCMLIHSLANAKRYPKMRSFLYSFVKNEKSISVSSIFHAISVSGDSFCASSIIADMLVLAYVNNVKSHLAFEAFKRAGDYGFKLTAVSCNPLLSALVKEDKIEDVEYMYKEMIRRRIEVNAISFNTVINGLCKVGKLNKASDVIQDMKAWGVLPDVITYNTLISGYCKKGRIGKMYKADAILKEMIANEVRPDEITYNILIDGFCKDENLMAAMKVFREMETQGLKPTVVTYNSLINKLGLEGKLDEASGMLDEMVGSGLKPNVVTYNVLINGYCKKGRMKEATDLFDDVVKQGIAPTVVTYNTLIYAYCKDGRMEDAFSLRESMVDKGTFPDVTTYNCLISGLCGEGNITAVRKLINEMLNKGLKVNVVTYNILVDALCNDGESRKAARLLDEMVKMGLRPNQITYNTLMDGYCREGNLRAALNVRTRMEKEGMLANVVTYNVLIKGLCKKGKLEDANGLLNEMLEKGLIPNRTTYEIVKVEMVDKGFIPDIEGHMYNISGS >KJB44726 pep chromosome:Graimondii2_0_v6:7:44980844:44983624:-1 gene:B456_007G268600 transcript:KJB44726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNLSLNANPTANTSTPFLSFPSKSKIQFFLLKSPTSVSFSTKPSPSFIPFCSSNRFTISNLKLSSLEANGFPQTSKFSLGEESNQNYNVGVGNPVLPTFISTQKMSLSDQAFYLMAFIAFTTSVAFTSMVIATVPTLSAMGRAAVSLSKLADTAREELPGTMAAIRLSGMEISDLTLELSDLSQEIAGGVNKSVKAVQAAKAGIWQIGSLARQQTLSMIQERASLPVISLQPVVSGAARKTSHAVGQATKTIMNIINQGEMSSENNDDSGTDRLEI >KJB46620 pep chromosome:Graimondii2_0_v6:7:60879594:60882466:-1 gene:B456_007G378500 transcript:KJB46620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISQNPVSRNKVKVKRDDEVVSINEDTNDTENGLESDSEISKLKKRKKEKEKQIEVEQETEMKKLESFLFGSIYSPLEFGKQVEEKAHDVVAEDGSALFFLDSSTNDVELSEESDYEQKTCKERKAVWVDEEEERTVINIAKVNRLRKLRKEEDESVVSGSEYVSRLRAQHAKLNPETEWAKLDSGLRNDHGYDDESSDEEKGVVAASGYGNGEVVDDILRTNEDLVVKSRVKLLPGLLEYSRLVDANAEEPSNGPINSVQFHRNAQLLLAAGLDRRIRFFQIDGKRNTKIQSVFLEDCPIRKASFLPDGSQVIIAGRRKFFYCFDLVKAKVDKIGPLVGREEKSLEVFEISPDSSTIAFLGNEGYILLVSSKTKELIGTLKMNGTVRSLAFADNGNQLLSSGGDGEVYHWDLRTRTCIHKAADEGCINGTALCTSPNGRMFAAGSDSGIVNIYNRDEFLGGKRKPIKTVENLTTKVDFMKFNSDAQILAICSTMKKNSLKLMHVPSFTVYSNWPPLNKNLQYPRCLDFSPGGGFMAVGNAAGKVLLYKLHHYNHA >KJB43589 pep chromosome:Graimondii2_0_v6:7:21859766:21862610:-1 gene:B456_007G208200 transcript:KJB43589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEVTAVPMTMTEQTPEPKKVETLEAQGSKEATIESAVRGGTESTCNNENNTESCGIAPDIGRAKALELADELTEKGSKAFKENDFTQAAHFFRRALEIRGLHHGELAVACLNVYYLYGRALLCKAQEETGPLVSVPEKDGEILQDSNKEGPTKSAVTRESSVASVSSNSEQDGSEKDEDSDDSDTDDVIEAEANEDMDESELDLDLAWKMLDTARAIAEKQQLGDTMEKVDILSALAEVDLEREDIESSLGNYQKALSILQQLVEPDHRQIAELNFRICTCLEIDSNPKEAIPYGQKAISVCKSRVQLLTNEIMISPGLASSSAASELGDGEQLSKGSPTVLSVKDKEAEIDNLVGLAEDLEKKLEDLEQLISDPKSIIAEIRGMASGKSRGGENNASPAAVNSSHMSPANSNVDHFDSPTVSTAHTNGAVAVTRLGIVGKGVKRVLMSAGAVESSSIKKPTIGP >KJB45259 pep chromosome:Graimondii2_0_v6:7:50832577:50833553:-1 gene:B456_007G297800 transcript:KJB45259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISMLILLLGRRSSEEGSTLVEGILTLHDSSRVYKVPISLQTRPDQSMCHWPSNGIYSVKSSIGTRRNLFLDEHSLTRVMQFHRRLVKVGLALVSLNVSLTLLLLFRTRDA >KJB39593 pep chromosome:Graimondii2_0_v6:7:1534464:1537343:-1 gene:B456_007G021000 transcript:KJB39593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSIGIASSAPAATTFFSTKTKRTHFKLNISCVQWDPEGILGKPGTGHLARLEFKRRLEKDAEAREAFEQHLREEKERRQALRQSRELPGTAEETIEYFLDTEAQEIEFEIARLRHRLDKDFFSHLKFEIGEIRFAVLKTEDMEDRLIELEALQKALQEGTEAYDKMQAELITAKKSLTKILSSKDIKATLLEMVEGNELNRSLLTLLDENIANANMDNQKQAAAFMEKIRAAVLKYLTV >KJB39592 pep chromosome:Graimondii2_0_v6:7:1534227:1537553:-1 gene:B456_007G021000 transcript:KJB39592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGILGKPGTGHLARLEFKRRLEKDAEAREAFEQHLREEKERRQALRQSRELPGTAEETIEYFLDTEAQEIEFEIARLRHRLDKDFFSHLKFEIGEIRFAVLKTEDMEDRLIELEALQKALQEGTEAYDKMQAELITAKKSLTKILSSKDIKATLLEMVEGNELNRSLLTLLDENIANANMDNQKQAAAFMEKIRAAVLKYLTV >KJB41354 pep chromosome:Graimondii2_0_v6:7:7422329:7424516:-1 gene:B456_007G100300 transcript:KJB41354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISTALLLLAAITAYLLWFTFISRSLRGPRVWPLLGSLPGLIENCDRMHDWISDNLRACGGTYQTCICAIPFLARKQGLVTVTCDPRNLEHILKSRFDNYPKGPTWQAVFHDLLGQGIFNSDGDTWLFQRRTAALEFTTRTLRQAMARWVSRAIKLRFCPILEKAQSQGQPVDLQDVLLRLTFDNICGLAFGKDPQTCAQGLPKNGFASAFDRATEASLQRFILPEVLWKLKRWLRLGLEVSLSRSLGHMDEYLSNVINTRKQELLSQQKDGNPHDDLLSRFMKKKESYSDEFLQHVALNFILAGRDTSAVALSWFFWLISQHPTVEDNILREICNVLIETRGIDTSTWLDEPLGFEELDRLIYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSVGRMRSTWGDDCLEFRPQRWLSADGEEFIKHDSYKFVAFNAGPRICLGKGLAYLQMKSVAAAALLRHKLTLVPGHKVEQKMSLTLFMKYGLKVNVHGRDLGAIVEKITSEEKMQGKL >KJB39661 pep chromosome:Graimondii2_0_v6:7:1716153:1716651:-1 gene:B456_007G024200 transcript:KJB39661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLPKSYFDIFLVILLFPILNLFVYLHNSFSTFISYLGLPYFIEPDITVDADHVSSSSSPATRRCNIPLSALLLRELLPVMKFSDLVDPPDSCTVCFKDFETEDEIWRLTNCQHIFHRSCLNCWIGYDQKTCPLCRLSLVPHDKEEMYNERLWWLCNNCQL >KJB39857 pep chromosome:Graimondii2_0_v6:7:2309930:2312703:-1 gene:B456_007G033900 transcript:KJB39857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSEKLTALKKAYAEIILNTAKEAAARIMVSERKALRYQQELFAAKDEALHMLLRLKQMLDAKVNEAEMMSLNQQKRIEELEAQLGEAEDIVRDLRAELREVQDELEKLTKNSMQCSSEQKSGHDVAASEEMSNVKTISDFGSVRSSLLDAQTDIVTVSDIKSSCPSGTNVGIKCSCKDNCYVCNPDFASIVMRRKEPDLYRNGCTQRIRALERCLLNENLSLSGQVDDAKNENAREDDERKDMHSKSSLRTDNGRPEERTNEFKTMQNDVHDIIRALPLGPFRKKRKRGARYKKNRATSPMYMCDRVVATHQESDLLCAESFSHAADENARFGEDSRIINHDALKGSQSPSIPSSPPNAVKAVTESGYEKVDNDKNNGKLLVDKKQSTRLDGGLVENLGDAFCKPDLEMVDVSAVNSDVKVSEGSSTQLVNNKFLMYTRKRKKDPLSSPDRDCSLDNDNSKRKTEDKRNGSLDSEKSTLTTESSRDSRRLAQVARQVGYQFPSVLLYTLYLCMGRCRHHQVGHVCCHIRQ >KJB44714 pep chromosome:Graimondii2_0_v6:7:44926358:44928132:1 gene:B456_007G268000 transcript:KJB44714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLYFPKSFNLLLLLSTVVSFLSILISWTFPLHCSTDDIRNRPNQLLCWYGLLILKPKSPLPHLEQSNLKQILHVADRKLHQRRLTHSFFFLQFSDHHFLPNYQSP >KJB44213 pep chromosome:Graimondii2_0_v6:7:32765501:32765944:1 gene:B456_007G239300 transcript:KJB44213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSYRHLMLRKTGKYSIIRLQTTNALKLVMHSHNIQCSRASNTFSQRYLVLCGNNSMSYTSISISYYKHTLLHISFLTLMS >KJB45860 pep chromosome:Graimondii2_0_v6:7:55670576:55671128:1 gene:B456_007G333700 transcript:KJB45860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYLMDAETKQSKDEMIRLWVAEIRELAYDAEDVVEEFALKIGSKNKGGLPSCIKRSACCLEEGWALHKTRSKIEKIIERINDLVRQLEAYGVKELKDRGEESSSSTKRRESRRPYPHIMDVNTVGLADDTEGLVKVLTNESGRKVVTIWGMVGLGKTTLAKKIYRYRQVIDY >KJB39214 pep chromosome:Graimondii2_0_v6:7:242468:242930:1 gene:B456_007G002500 transcript:KJB39214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKKQKQRRFEITNKRTYRSRRPRIACTPISSVVCFGFHSIALLHVKRWQESNKIYSGNFFLLCLPFCFILFSVLFSFSPLQDGFYSLLELSPPLLYLSRVRLPPLEILRFCTKI >KJB39113 pep chromosome:Graimondii2_0_v6:7:1730563:1731760:-1 gene:B456_007G024500 transcript:KJB39113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLTALLLRIIPPLIKGYPSYIPRRSKPWLKSKFEEQKLKPMIMPLNCYDVWLLREVGHDGVDQPENGSECMTDSTCFKSFSIYRMHCQIK >KJB39115 pep chromosome:Graimondii2_0_v6:7:1729477:1731765:-1 gene:B456_007G024500 transcript:KJB39115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLTALLLRIIPPLIKGYPSYIPRRSKPWLKSKFEEQKLKPMIMPLNCYDVWLLREVGHDGVDQPENGSECMTDSTCFKSFSIYRFILSVTRMRGRFKDRNLLAPAKRWWKGVPVYL >KJB39114 pep chromosome:Graimondii2_0_v6:7:1730657:1731760:-1 gene:B456_007G024500 transcript:KJB39114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLTALLLRIIPPLIKGYPSYIPRRSKPWLKSKFEEQKLKPMIMPLNCYDVWLLREVGHDGVDQPENGSECMTDSTCFKSFSIYRVIWMMKFHAAHMI >KJB40716 pep chromosome:Graimondii2_0_v6:7:5274358:5275325:1 gene:B456_007G074500 transcript:KJB40716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKRGPWTIEEDHKLTNFLLNNGIICWRKVPKLAGLLRCGKSCRLRWINYLRPGLKRGSFTDSEEHQIIQLHSRLGNRWSKIASHFPGRTDNEIKNHWNTRIKKKLKQLGLDSQKPFEIEKSQKNYGNKNNMNSDSSSSNNQEAISLESRPEDDHGMKICPKKAEKPEEMKASVDETNDPLNNYQMLCGSSDLDWLKESNNVSMGETACVEEEYWVDGVDSFLSWDSFVQVEDKFFPSWEIGN >KJB40046 pep chromosome:Graimondii2_0_v6:7:3041047:3049197:-1 gene:B456_007G044000 transcript:KJB40046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKEHIDAIRKTKYSIGGEPNPLTEDLHQAVRNLSAELYTKDVHFLMELIQNAQDNEYLEGVDPSLEFVITSRDITATGASATLLMFNNEKGFSSKNIDSICSVGRSTKKGNRRRGYIGEKGIGFKSVFLISAQPYVFSNGYQIRFNEAPCPHCGLGYIVPEWIDDLTLDDIRKVYGSALPTTVIVLPLKPDKVKPVKQQLSIIEPEVLLFLSKIKRLSVREDNEDPRLNTVSGIAITSETNFVTRKNVDAESYTLCLSAEEKDGKFGKECSYHIWKQMFPVRLENKVERRMDVDELVITLAFPNDERLHRGMNLPGVYAFLPTEMVTNFPFIIQADFVLSSSRETILLDNKWNQGILDCVPTAFVNAFISLVKMTNEAPVSSLRWMFNFLPVNRSSYQKFNAIRETIRLKLGDENILPSDESCTGQKFFHKPGEVGRIMPAFWDIVEKARKEGVGLHNLSSHGTYILHPSFDVAEYDNILNFLGVGPVDNRWYAKCIQGSNLVLGVSESLYLELLIFLAENWKNIFYGTSIINVPLLKYVDLSGCVSLCSISESMQHTLGTICRSHQFDHLSWMIGWNREFGGATNCLFLPERTQDAIRTSFKKETISGWLQNLVKVVSVSVYEYAAALIDSLGSKPKLVIAYAHFLYHSYLKLFVTSAEVGNLCGIMPLVDNYGNVNVTKKWKILVPANGSKWNSLVGSNPLKAEGYIELGEDYLHQRTFAGQFTPGKQLLKFLISHVGISDIPSLSPPYAALPAVSSPLTKDNTFLLLDWIQHLKSRRTPIPERFLTSIKSGHWLKVTINGSSGYKPPAQSFFHSSSWGDILQNGSVFVDIPLIDQTYYGDRINDYREELKIIGVMFEYGEACQFIGDHLMRLASSSTLSKDRVLSILGFIRYLREKCLPPDEFIRSIKEERWLKTSYGFKSPVGAVLFDEEWRTAIQICNVPLIDQAFYGDQILGYKDELSLLGVIVGFSRCYQLVINHLKNSSYLTSMSADAFLLLLECMRYAGSPERLVTTLRDAKCLKTNLGFKPPSECFLFDQEWGCLLQVFTCFPIIDQAYYGSIISSYKNELKRLGAVVDFDVAVKSFISRFKQRALSSSLTKDDVLSFLSCCRQLKGTSYKFPSDLKKCILEAKWLRTRLGDFRSPRDCILFSPEWESISSITLLPFLDDSDSFYGKDLHKYRHELKTIGVVIEFKSGVKFVPACLYFPRSTDSITPRIALSFLNCLRILLEDKSYTFSESFLKKVSEKWLKTSVGYMSPGDCLLFDKNSDLKPTDGPFIDEGFYGSEIRTYRKELSSIGVIVDVEKGSTHIANHLDLHSDFATIIRIYKFLAEVEWKPECEAKRLIWIPEGNENGRWVKPDGCVLHDKDGLFGLQLNVLEKHYKNKVPLQLFFGAAFGVKSYPSLDDYCKLWKGWETSGHRLSHDECCAFWRFVLQHKSSEEEQILSESLVKVPVDLGSEGIMLLDKHDVFIADDLQLKELLLQSTSHPLFVWYPQPSLPVLPRTMLFELYLKIGVRMISHSVQKKDLSFTNGLELKQINPRDAMLGKELLRLILGFLACSLKMEAEKRHESVQSLRNLTVLETSEPIAVVYSLLLSLGETQEVQASRMVRWDKESSKFFIQKLDESAGQKDRLEYATYFSEAVAEGLLWEKEDQVSSLSELVKLAFILKFDEDAVSFLMKSKNLQVFVEDEDFLSAAFPNE >KJB41026 pep chromosome:Graimondii2_0_v6:7:6414251:6415532:1 gene:B456_007G088400 transcript:KJB41026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLVISPRKLRSDLYCYSYQRDANTPLVISVLASLIERTMARNERIAKNCKWALTRKDFRSRVFDCHETPDMTIQSYLERIFRYTKAGPSVYVVAYVYIDRFCQANPGFRINARNVHRLLITTIMVASKYVEDMNYRNSYFARVGGLTTNELNNLELEFLFLMGFKLHVNVSVFESYCSHLEREVSIGGGYHIEKTLRCAEEIKSRQIEERRYNQIARIML >KJB42624 pep chromosome:Graimondii2_0_v6:7:14074574:14075705:-1 gene:B456_007G160700 transcript:KJB42624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESGEYPKEYYTSNGNPRRLTSTSSSSSSTTSVHVTALDGLVNVNSLFTIAVFVGLSLATPGQHSLENRAPCDAGIDVAKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDEAFRAHINLKVLRFGMMGSAVGSVMGCLFLMLSMVNVIEIRLGLLSCGSQSSIHATAALVILVSSALLVYISTAVYAFLH >KJB43296 pep chromosome:Graimondii2_0_v6:7:19228574:19228881:1 gene:B456_007G194600 transcript:KJB43296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRPLVSPPVKNWGLIVTSQYAFPFLARLSSFMVRYSGVLGVEEQHQSIPNLVVKLYCGDDTVGEVLRQNSSTPG >KJB42695 pep chromosome:Graimondii2_0_v6:7:14446067:14446971:-1 gene:B456_007G164300 transcript:KJB42695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKMVLKVSMNGDKSRSKALKIAVGLSGVESASLKGDDKSQIEVTGDGVDAVKLASQLRKSVGFAELVSVSAAGGEKKEEKKDDPKPEPPFCYVSPPFNPSYHYYPSYEYVDNYGPSPPCSIM >KJB45705 pep chromosome:Graimondii2_0_v6:7:54061621:54062627:1 gene:B456_007G322600 transcript:KJB45705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTCGNCDCADRSQCTKKGNSNTMIVETEKSYINNVEMDAPAENDGKCNCGTGCSCTDCTCGH >KJB39079 pep chromosome:Graimondii2_0_v6:7:9437737:9438320:1 gene:B456_007G120100 transcript:KJB39079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLDEETMKSLIEEAVRKFTKAMNEAQSRRSHPEDGGGNTGAGGQASSFSGVSKFGSNLCIFKIY >KJB41449 pep chromosome:Graimondii2_0_v6:7:7883335:7885519:-1 gene:B456_007G105000 transcript:KJB41449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSLPKIIVHFRHVLVVLSVGMAMLSTCLTQDSKCEFKAIFNFGDSNSDTGGFWAAFPAQSGPFGMTFFHKPSGRATDGRLILDFLAQALGMPFISPYLQSIGSDYRHGVNFATLASTVLLPNTSLFVTGISPFSLAIQLNQMKEFKAKVVEYHSGNTKGSTQLPSPDIFGKSIYTFYIGQNDFTSNLKAIGIEGVKQYLLQVVSQIAATVKELFGLGGRTFLVLNLAPVGCYPALLVQLPHETSDLDKFGCLISYNKAVVDYNNMLKEALSQTRRELPNASLIYVDTHAVLLQLFQDPTSHGLRYGTKACCGYGGGNYNFHPEVYCGNTKVMNGTKVTASACKDPNNYVSWDGIHATEAANKLTTLAILNGSYFDPPFPLHKLCYLHPVG >KJB45476 pep chromosome:Graimondii2_0_v6:7:52184706:52187543:1 gene:B456_007G308300 transcript:KJB45476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLVVLQPTKQPPISLLSSSLSHFNGTKLCQIQYKRKVYQPKGALHIAASSTKKILIMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPVTQQLPGESDSDYAEFKSKILHLKGDRMDFDFVKSSLSAEGYDVVYDINGREAAEVEPILDALPNLEQFIYCSSAGVYLKSDMLPHCETDAVDPKSRHKGKLNTESLLESRDVNWTSVRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPNSGVQITQLGHVKDLATAFIAVLGNEKASKQVFNISGEKYVTFNGLAKACAKAGGFPEPEIVHYNPKEFDFGKKKAFPFRDQHFFASVEKAKHVLGWKPEFDLVEGLTDSYNLDFGRGTFRKEADFSTDDMILGKSFVLQS >KJB44320 pep chromosome:Graimondii2_0_v6:7:37314261:37316166:-1 gene:B456_007G245900 transcript:KJB44320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKRELGDSVDGERDLKWQRVVDSPSSPLEESLVPYNDDEDDERRALNHIGSREEDGHRVESEEEDEDDPYGLGSTPGR >KJB43001 pep chromosome:Graimondii2_0_v6:7:16677766:16680994:-1 gene:B456_007G179100 transcript:KJB43001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPREEFPTKGLEGAPSNDIGWHFGTSVPNAKGNIVCKLCGKVVKGGITRFKEHIAHKTGNVAPCPNVTGVIRESMMNLLKEGNTKKVDKKRRKDEFLSQLREEDDEHEEFVDEVSAIRQATRESIQSQHEWHRREEFRRSTASSPWLYNLIQVSTEVGQDVYLESEYQRVRDWKDLGATLMCDGWTNSLNQMHIINFLIYCSKGTIFWKSVDVSSVRSRDAEFYYCLLDSVVEEIGENYIVQIVTNNEAAMKAAGKNLMLKRKHLYWTSCAAHCLDLCLEDIGKKPSVAKVLDEAKKVTCFICNHIWTVDLMKKYTQGKQILRPALTRFATHFIQLEEITRQKQGLREMFNSKEFKESKWGQQKSGPAYEAKKIVLGKDFWKKANDLIKVYEPLVKVLRLVDSDEKPTMGFIYEAVDRAKRAIQQDCRYFTEYEKIIDNRWNFMHSDLLSAAEWWIIYDTCVPELQKLAIKVLSQTTSASNCERNWSTFSYIHTKARNRLKYKKLEKLVFTYYNMRLKMRHQKRMSTDDINVSFNPISLDHIFEDVDPLSKWLHEKVNPLLDGENAGVLPVDSFDDEMDVDQSQQQILSHSSSSSTPSQSGDGPDGGGLIPIDEDDGYSGDRGEIRSSSQYGEEYGAGTTGGHFRDRSEFDGNMFPEPRRDRSEPRAPSKGKGKKHISIGSSSGRRSSSSNLGYSYSSTSTQGFYPPEQPSYFQPSHGYPQPYGYYPPFPNY >KJB41553 pep chromosome:Graimondii2_0_v6:7:8331903:8334327:-1 gene:B456_007G109700 transcript:KJB41553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMQKLKIFVVQEPVVAASCLIAGFGLFLPAVVRPILDSLESSKASPSACFKRCGCWCDW >KJB46155 pep chromosome:Graimondii2_0_v6:7:58076735:58077908:1 gene:B456_007G350600 transcript:KJB46155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLYNLHHPNPTHHSKSSKFNQITTMGIHLNTIPQSTITKSHYHTHKIFLFCNYILLSAASSCIFLTLALRLCPSLSGLFLILLHVITIAGAISGCAAASSGTNGWYATHMVATVLTAIFQGSVSVLIFTKTSDFMGYLRSYVREEDGEVILKLAGGLCAVIFVLEWVVMTLAFFLKYYDYVEGGDENGVCMKSSAKVYQDEDLKDWPWPFQV >KJB45930 pep chromosome:Graimondii2_0_v6:7:56413994:56416942:-1 gene:B456_007G338900 transcript:KJB45930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPLPISLFPFLLLIPAICFTICHANINLLCIQSEREALLKFKNHLIDPSNRLSSWVEGGDCCEWIGVFCQNSTGHVHQLHLPAPPLSALDIYAPPAEWEPYKRSKLRGRISPSLLELKHLSSLDLSNNNFSSIQIPKFLGLLESLTYLNLSRARFQGAIPHNLGNLSKLHLQWVSGLSSLQYLDLSSADLSEAKSLQWVSGLSSLQYLDLSSADLYKANDWVQVALKLPSLLELHLTDCGLEDDPSSISVNSSKSLLVLDLSWNSLSSVPKWILSLHGLVSIDLGFNSLKGPIPYYFGNFSFLEVLDLSGNYLNSSVPNSLYSLKHLRYLDLSINEIEQDISEIIQSLSSCCLGSLESLNMKDNQLSGHLTDQLGQFKNLAYLSLAQNKISGPIPLSIGELSSLKLFDVSENQLSGTFPPSLGQLSNLETLSFGYNLLEGVILETHFSNLTRLTTLKASQNMLRFESNSSWIPPFQCRTIELGQWHLGPKFPHWLKFQKNLSILDISQAGISDSVPTWFLNLSPQFKYVNLSYNQLAGGISYLNVRDSVDLSSNRFTGPLPRVFPTLQFLILSNNLFSGSLFELVCHSSSRERMEVLFIDKNLLTGEIPDCWNQLESLTFLNLGNNNLTGKIPPSLGRTGLDWLNLRNNSMFGELPSTLQNLTNLRILDLSENHFSGNIPTWIGDKLSTLMILSLRSNNFDGQIPHKICDLQYLQNLDLSRNNILGAIPKCFSNLSAMANRSYDNNYSSDWGGTTTLIYLSALLVLKGREDEYSSILGLVTNMDLSANSLTGEIPKEIGILVELRSLNLSGNLLTGNIPDKIGNMELMESLDLSMNQLNGEIPPSFSNLNFLNHFNVSYNNLTGQIPTSTQLQSFENFSYMGNYLCGPPLTKNCSTKGLPTDVANNGSSSEGSKVNWLYVSIVLGFIMGFWGVVAPLFFIRSWRHAYYRKLDHVGRKLYVYWATIGM >KJB42849 pep chromosome:Graimondii2_0_v6:7:15348760:15351255:-1 gene:B456_007G170400 transcript:KJB42849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKLKKAIGAVKDQTSISLAKVVNTNSASLEVAVLKATTHDKAPFDERYVDEILRTVSSNKLFAGIAARVIAKRLGKTKNWVVALKCLMLVLRIFQDGDPYFPKEVLHAMNHRTNILNLPTFRDECSSSPCDYTAFVKSFAFYLEERLECFLTGKLQRRFTFKEKQLSHPRARRANQQPVRHMKPPMLLDRISYWQRLLAKAIATKPIGSAKTNRLILVSFHAIVRESFDLYRDISNGLGLVLDGFFHLQHQSCVNAINYCVKASKQFEELSSLYEYCKSLPIGRTSDYPSVQRISEELIETLKVFLKDQASFPSAGISPPTKPHRLLRLLTSAAKDLSLLEIESQAGSSSIVCTSLEELMNQDEEPVVTMRSSFSTGTFWELSEKQYREQEDSYNAAETGSNHSLPIDQDQNTSLDFISFDEWPAVDANKTQGQQSSEPSSSSANGDKGCWELALIEPTPSPQPVPQASQNLANNNSFFGNWFQQDQASQNGANDVRNHQQASQNGTNGVSFTNSWLQEDLRNQQASQTGVKVHSYIDDWLLQQHHQQQWQASNDVANGHTCFDDWLKEDKSPEHAPNQIVVSNGRSYFDDWFQANQRDQEERKSDSNWSNNGGNESWELVLVEGTTPPSQASQHLADGIQPSMANNLFDHRPIVPQRQYNPFLEDETNISAAIATTVAGFQERFFPMEPTFHATPTFYAQSCNIEIPTPTFHAMPGSVSQHPNGTTTTGFQGGETGEPFAPWPTMKANNNVSVDQQNVLFQRQLWLQ >KJB43020 pep chromosome:Graimondii2_0_v6:7:17015650:17016675:-1 gene:B456_007G180400 transcript:KJB43020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISFDPSINVQVNQKSHGVLVEEIEGLIRVHKNGHVERPPTIPIVPCTATSGVTAKDIVIDKFTGLWTRIYVPNYSNKMPLLIYFHGGGFCVGSAAWSCYHEFLSGLASKAGCIIFSVNYRLAPENRLPAAYDDGIETLMWVKQQALSGSNEHKWWLSQSDLSSLFLAGDSAGANIAYNVATRLGSHGGTSASSGMKPLVVKGSILIQPFFGGESRTATEMHATPQVTSALTLPASDAYWRLSLPFGSNRDHPWCNPLANGTPKLRELRLPPTMMCVSEMDILKDRNMGFCNALGGAGKRVETKIYKGVGHAFQILHNSPSSHIRTQEMISHIKTFINQ >KJB44744 pep chromosome:Graimondii2_0_v6:7:45280703:45282185:1 gene:B456_007G269900 transcript:KJB44744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCLLVLSSAFFVNGKFCKDPKLATAEDFFLPGLNIPGNTSNQVGSMVTPANVQQIPGLNTLGISLVRFDYAPYGGLNPPHTHPRATEILVVVEGTLSVSFVTSNTDNHLFTKVLYPGDVFVFPEGMIHFQFNIGSTNAVAFAALSSQNPGVITIANAVFGSDPAINPDVLAKAFQLDQNIVKQLQSRFWWDNN >KJB39254 pep chromosome:Graimondii2_0_v6:7:331306:332566:1 gene:B456_007G004000 transcript:KJB39254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIQKVFNQFDKNKDGKISVSELDEVLKALGCSSPEEEIKRVMEELDVDKDGFINLSEFINLCSSSFDTANAETELHEAFDLYDQDKNGLISAEELHLVLNRLGMTCSVEKAVKMVASVDADHDGNINFEEFRKMMSDSKAGNEE >KJB39708 pep chromosome:Graimondii2_0_v6:7:1819563:1827576:-1 gene:B456_007G026300 transcript:KJB39708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKNYIIKVEEGKAATDERPSIGPVYRSSFAENGFPAPIPGMESCWDIFRMSVEKYPNHHMLGHRQIVDGKAGKYVWQTYKQVYDIVMKVGNSIRSCGVEEGGKCGIYGANCPEWIMTMEACNAHGLSCVPLYDTLGAGAVEFIICHAEVSIAFVEEKKIAELFKTFPNSTKYLRTIISFGKVTPEQKAEAEKQGLAIFTWEEFLQLGENKQYDLPIKKKTDICTIMYTSGTTGDPKGVLISNESIITLLAAVDCLLGRVNEELTMNDVYISYLPLAHIFDRVIEELFISHGASIGFWRGDVKLLLEDIGELKPSVFCAVPRVLDRIYSGLQQKISEGGLLKKTLFNVAYSFKFYNMRNGSKHAEASPFSDRIVFSQVKERLGGNLRIILSGAAPLSSHVEEFLRVVACCHVMQGYGLTETCAGTFVSLPHELSMLGTVGPPVPNVDVRLESVPEMGYDALASTPRGEICLKGTTLFSGYFKREDLTKEVMIDGWFHTGDIGEWQPNGSMKVIDRKKNIFKLSQGEYVAVENLENVYGCVSDIESIWVYGNSFESYLVAVVNPNKQALERWAADNGVSGDFETICKDPKAKEYILGELTRTGKEKQLKGFEFIKAVHLDHVPFDMDRDLLTPTFKKKRPQLLKYYQSVIDDMYKSINKPNA >KJB39707 pep chromosome:Graimondii2_0_v6:7:1820721:1827573:-1 gene:B456_007G026300 transcript:KJB39707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKNYIIKVEEGKAATDERPSIGPVYRSSFAENGFPAPIPGMESCWDIFRMSVEKYPNHHMLGHRQIVDGKAGKYVWQTYKQVYDIVMKVGNSIRSCGVEEGGKCGIYGANCPEWIMTMEACNAHGLSCVPLYDTLGAGAVEFIICHAEVSIAFVEEKKIAELFKTFPNSTKYLRTIISFGKVTPEQKAEAEKQGLAIFTWEEFLQLGENKQYDLPIKKKTDICTIMYTSGTTGDPKGVLISNESIITLLAAVDCLLGRVNEELTMNDVYISYLPLAHIFDRVIEELFISHGASIGFWRGDVKLLLEDIGELKPSVFCAVPRVLDRIYSGLQQKISEGGLLKKTLFNVAYSFKFYNMRNGSKHAEASPFSDRIVFSQVKERLGGNLRIILSGAAPLSSHVEEFLRVVACCHVMQGYGLTETCAGTFVSLPHELSMLGTVGPPVPNVDVRLESVPEMGYDALASTPRGEICLKGTTLFSGYFKREDLTKEVMIDGWFHTGDIGEWQPNGSMKVIDRKKNIFKLSQGEYVAVENLENVYGCVSDIESIWVYGNSFESYLVAVVNPNKQALERWAADNGVSGDFETICKDPKAKEYILGELTRTGKEKQLKGFEFIKAVHLDHVPFDMDRDLLTPTFKKKRPQLLKYYQSVIDDMYKSINKPNA >KJB42045 pep chromosome:Graimondii2_0_v6:7:10924175:10928496:1 gene:B456_007G134100 transcript:KJB42045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVLRETLKPSTSSVSATTTSTSVTETVNGSHQFKITGYSLSKGSGIGKYIASDTFMVGGYLWAIYFYPDGKSPEDNAAYISLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFKRMLLEQSDYLKDDCLSVHCSVGVVMSHTEGPKIFSIAVPPSNIGHHFGQLLESGKQTDVSFEVDGEFFPAHKLVLAARSPVFRAQLFGPMKDHNTKQIEVEDMEAPVFKALLHFIYWDSLPDMEELTGLTSKWAFTLMSQHLLAAADRYGLDRLRLMCEANLCEVVAINTVATTLALAEQHHCFRLKAVCLKFVAMPENLRAVMQTDGFEYLKQSCPSVLTELLEYVARVNEHPVIVCRHGNEAILDGSDANGRRVKQRL >KJB46503 pep chromosome:Graimondii2_0_v6:7:60526451:60528452:1 gene:B456_007G372700 transcript:KJB46503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRNIAVGRPEEATQPDALRAALAEFISTLIFVFAGSGSGMAFNKLTDNGATTPAGLVAASIAHGLGLFVAVSVGANISGGHVNPAVTFGAFVGGNISLLRGILYWIAQLLGSTVACLLLKFATSDMTVPAFGLSSGVGVWNAVVFEIVMTFGLVYTVYATAVDPKKGDLGVIAPLAIGFIVGANILAGGAFDGASMNPAVSFGPALVSWSWDNHWIYWLGPLIGGGLAGLIYEFAFINQSHEQLPPTDY >KJB39411 pep chromosome:Graimondii2_0_v6:7:957961:958902:-1 gene:B456_007G012100 transcript:KJB39411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPQEIQVTFVSGKDLQNVNWRHGPIKPYAVVWVDPSSKLATKVDEKGDTYPTWNSTLVIPLFCPINDDTNLYVDVIHAGNEEKTKPLIGSAKLNLRDVLKDSGRYGEYEKSLKLKRPSGRPQGKVDVKVLIRGPGYHVPDQSRAIPSYGHPQSAPYGAPQPAPYGAHPSTPYGAYPPASYGALPGYGYPYAQQPLQQPQNPYYPFAQQGGYSYNAYNYNAQPQPASYGAPTGHGYGHGQTQAPFGATATTVGRCYEDNNNNKKKFGGMGAGMAMGAAMGVGVGALGGLAIAEGLDAPRGDDDGDKKVSGSE >KJB44235 pep chromosome:Graimondii2_0_v6:7:39399925:39400073:-1 gene:B456_007G250500 transcript:KJB44235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFHSFIEAEQLTEHLGVKHCFGVGCESGTKSRQTLNTRYDLKIIGVEIG >KJB44810 pep chromosome:Graimondii2_0_v6:7:46792180:46793605:1 gene:B456_007G274300 transcript:KJB44810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 39 [Source:Projected from Arabidopsis thaliana (AT3G04370) UniProtKB/Swiss-Prot;Acc:Q6E263] MGSTLKPMSLLPQTLTLLAFSHIVIILSNAETTSDYSTFIFKNCTTNTFTDSTKFHSETLSTFFEELVSKSSQEKFYKTTTGDDNNGISGFFQCRGDLNNNDCYKCITSLPEMLNTLCNKAVSARIQLHGCNVHYEADEFIEERSKFELLHKVCEEKKAVVVEFEEVRDAAFAGVESGVIDGDGYCKENYGLMQVIAECEGDLGPCDCGECVTAALQIAEEECGTSLSGQVYLDSCFISYGYYPDGIPDKFNHERKGGTNNNGKLVAIVLGGAAALFIGYILFLSLKNLGKKEDDF >KJB46649 pep chromosome:Graimondii2_0_v6:7:17528545:17534127:1 gene:B456_007G183400 transcript:KJB46649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSIAAKFAFFPPNPPSYRVLEDELCAGQLYIPEVPRRDGVDVLKLRTRRGNDIVAVHIKHPKASATLLYSHGNAADLGQMFELFVELCNRLRVNLMGYDYSGYGQSTGKPTECNTYADIDAAYKCLKEEYGVKDEQLILYGQSVGSGPTVDLASRLPNLRGVVLHSPILSGMRVLYPVKRTYWFDIYKNIDKIGAVNCQVLVIHGTADEVVDCSHGKQLWELCKNKYDPLWVNGGGHCNLELYPEFIRHLKKFVLSLHKSKAATNGSEKIAVNSDNQTKPPDGGTSDTFKLDADLPEVSRNSLDSRLEKSKKSNKPEKSRMSTDRVDRFRRKKGLVW >KJB45375 pep chromosome:Graimondii2_0_v6:7:51680007:51680428:-1 gene:B456_007G303200 transcript:KJB45375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDGGLKKPPTDSLRPIILDDTCVFCITAAAGTKLAYAYSLDIVITSYLGKEIHNSWAFYLHAALLYQTFAHCGKFPIVASRKSLTNN >KJB43728 pep chromosome:Graimondii2_0_v6:7:23398286:23399089:-1 gene:B456_007G213700 transcript:KJB43728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDMVKSSTEDDHMDVMTMMMQMEEKLPDFCEPAFHTSPTLLPPETHFSNGTSSTTILPTLPVYPNPNVSSINTFMTLPFGNGSNPVQEPITPPLQPHNICSSNSKFKYPTPFNNANPYPSSVDKKNSMAAMREMIFRIAAMQPIHIDPESIKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQSLEQAAVNRPMGVGIPSATMANMGYSNSLVKACQPSHHHHQAVGNMQMLR >KJB45633 pep chromosome:Graimondii2_0_v6:7:53239417:53239948:1 gene:B456_007G317400 transcript:KJB45633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEKKQAVAADVLFQYSKFAMACMGNQVRPCDMRLHLMKIGQPTSLKRVSSQAATSPDSVGESSSSDTARLDKEVDTADNE >KJB40328 pep chromosome:Graimondii2_0_v6:7:4137449:4139824:1 gene:B456_007G058000 transcript:KJB40328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRNSVPRTPQATAMRRSARLLNQNTPFKSKVNSKDSTASSTVKLSKKPIHSNHGSTNHIRSTPQLRRSQRTSTVSSEISRNCANSTPGLRKSPRLSSGSLSNKPEGSKFVETKNDGSKKNESIKEGSLDEKEREASVGLKVTTVDRKESERREVRERNEVVGVKRKRKWKPDNRGDTVLQGWTREQELALQRAYFSAKPTPNFWKKVSKLVPGKSAQDCFDRIHSNHLTPTQPEPRSRANVTNLSPIEHLSFSASKLLESSAPRNKSSGRGKQKSFLVQKKTVRHLLRKHHLVDECDEADLFSILEPNTSPTMHGVPKVMVCTPKKLLEKQGFVHKCHERSSLGRKKHHSRLGNSGTGALVSPPVLKQIKNKALHEKYIDQLHTREAKRKAERTQVEKAVLGKENRGYVQIQVDKVKAAKNALVSDARYVINQMQQLQTTSVDNSSELDDIDDDDDDDVKL >KJB43574 pep chromosome:Graimondii2_0_v6:7:21618690:21619957:1 gene:B456_007G207000 transcript:KJB43574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPHGHLHRHHLLLLLFLLITATNSAPASATTTNYQIFKEAPQFYNSPACPSLDTNEMCSHQAVHVAMTLDAAYLRGSMAAILSVLQHSSCPQNIRFHFIPSSTANHQHLRLTISRSFPSLKFQIYPYDSSKVSGLISTSIRSALDCPLNYARNYLANLLPPCLSRVVYLDSDLVLVDDISKLAATPLGDRSVLAAPEYCNANFTSYFTPTFWSNPTLSLTFAGRKACYFNTGVMVIDLQRWRQGDYTTKIIEWMELQKRMRIYELGSLPPFLLVFAGNIAPVDHRWNQHGLGGDNYRGLCRNLHPGPVSLLHWSGKGKPWVRLDANRPCPLDALWAPYDLLQTPFALES >KJB43575 pep chromosome:Graimondii2_0_v6:7:21618472:21620380:1 gene:B456_007G207000 transcript:KJB43575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPHGHLHRHHLLLLLFLLITATNSAPASATTTNYQIFKEAPQFYNSPACPSLDTNEMCSHQAVHVAMTLDAAYLRGSMAAILSVLQHSSCPQNIRFHFIPSSTANHQHLRLTISRSFPSLKFQIYPYDSSKVSGLISTSIRSALDCPLNYARNYLANLLPPCLSRVVYLDSDLVLVDDISKLAATPLGDRSVLAAPEYCNANFTSYFTPTFWSNPTLSLTFAGRKACYFNTGVMVIDLQRWRQGDYTTKIIEWMELQKRMRIYELGSLPPFLLVFAGNIAPVDHRWNQHGLGGDNYRGLCRNLHPGPVSLLHWSGKGKPWVRLDANRPCPLDALWAPYDLLQTPFALES >KJB40254 pep chromosome:Graimondii2_0_v6:7:3759119:3761565:-1 gene:B456_007G054000 transcript:KJB40254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNKYQADHYLMLKPDEVSIRKVVRLLWSKRMEENDYFYIRESDEKEDFIEKGLAIASLAAQKGLLHAAKPLKCFGQKLEMWLNLVSFNTNIFMLFFNTLRCKVKIPERKSDDFMSFAGYIDKRVKLDEKIEPGNARYNSALAIMAAKLAYENKGFIRNTVEQHWKMEFIDMDTDFWNDYLENYHTQGFMSYDESVNMIVVSFRGTEAFNAYDWCTDFDISCFENPEMGKIHGGFMKALGLVMEHGWPPHLPADKRDKNLAYYAIRDKLKERIDLNKETKFIVTGHSLGGALAVLFPAILALHGETKLLERLKGIYTFGQPRVGDGKFKSFMEEQVLDRHGVKYLRFVYCNDLITRLPFDDPVTSLYTHFGTCLYFNSCYKGQILPEEPHKNYFETFGGTRRFLNALFELVRCLYLPLLKGGDYREGLAMILIVRFSALAFPGAADHNPLDYVNATRLGSKEVFQSAESSKKWLKNSATSGREVQDKIKYC >KJB40459 pep chromosome:Graimondii2_0_v6:7:4562035:4564923:-1 gene:B456_007G064900 transcript:KJB40459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSSPPPSHQSHKKHPRRNPWNSTSLLVSLSMSAFLLLSLLSLSHFFIFSSSSHHPPHNSPVYASDSSRIRLACKSTRFPQPCESSLSRSSLLPPNPTSLQFIQSAISVSSDNLKTGQSMVKSILDSSKGNLNRSNAAAICLNILSNSDYRIRSTNAALARGKIKDARAWMSAALCYQYDCWSALKYVNDTKLVGETMAFLDSLTQHSSNALSMMVAYDNIGEDIAAWVPPKTERDGFYENGSGGTELGFNGGLPSNLKTDVTVCKDGRGGCYKTVQEAVNAAPAPANAESTRRFVIYIKEGVYEETVRVPLEKKNVVFLGDGMGKTIIAGALNAGMPGLTTYETATVGVLGDGFMASGLTIRNTAGPDAHQAVAFRSDSDLSVIENCEFLGNQDTLYAHSLRQFYRKCRIQGNVDFIFGNSASVFQDCEILVAPRQVKPEKGENNAVTAHGRTDPAQSTGLVFQNCLINGTDEYMRYYYSKPKVHKNFLGRPWKEYSRTVFINCVMEALINPNGWMPWKGDFALKTLFYGEFGNSGLGSIPLNRVPWSTQIPPQHVHTFSVQNFIQGDQWIPTSS >KJB45082 pep chromosome:Graimondii2_0_v6:7:49487300:49489231:-1 gene:B456_007G288600 transcript:KJB45082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSLFSPNFTHFSSARPINHHLYYHPYLSSTSLQYNNIRKELSFPYVASVPYQPINVDYLEGEFSGHGVTFEGIGDNCVAKMGLDNGSTATLMLPSGLITSYKAPMWHGSTVELLHTSVSEGDDGEAVIQGGVSLALNYEDDNEVPWSPSTWVLRDIRGNSKDSIKVELISSDTDNMVETRHIVTLGEDILTSEISVTNSNSSPLQWTGSIISHLTVSSPEATYVLGLEGSNFHNVPPFLSNFGIIPSDLDEENDSEIGQLWKQMGLKGFFSGLGQRNKKNASEEEMEGEEDDGYKQLNEQMSRIYTCAPRFFTLIDRGRRNSVVVGRDGFDEVYMYSPGSSHEIYGEYSFICVGQSTMLKPIMLGPGEVWRGSQHLHNPNM >KJB41521 pep chromosome:Graimondii2_0_v6:7:8182788:8185868:1 gene:B456_007G108300 transcript:KJB41521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGLAVVSIRNEPLVSPDHMNPELGFCENESIWIYLALCGSMIPMRVLESDSIESLKLRIQTCKGFVVKNQKLVCSGRELARSNSLIRDYGVNDGNVLHLVLRLSDLQVITVKTTSGKEFTFHVERGRDVSYVKQQIARREKGFTDLDEQEVVCDGECLEDQRRIDDICKHNDAVLHLLVRKSAKVRAKPIAKNFELSVVAPELKDKQDFDGGKKENSKQYDLGGEESWSGYDVGREEVPKKPLDRDFLLEPVIVNPRVELSSVIVDMIDLTFDGLDNGKIPIRSVEGTGGAYFMQDSLGQKFVSVFKPIDEEPLAVNNPRNLPVSTDGVGLKKGTRVGEGAFREVAAYILDLYKNEQGKIYGDEKGFAGVPPTAMIKCLHSCFNNPDDLTTVKIGSLQLFVENTGSCEDIGPGSFPVDQVHKISVLDIRLANVDRHAGNILLNKDEDGQILLIPIDHGYCLPESFEDCTFEWLYWPQSRQSYSSDIIDYIKSLDAEEDIALLKFLGWDMSPKCARTFRVSTMLLKKGAERGLTPFAIGSILCRENIKVKSVIEEIVQEAEDSVLPGTSEAAFLETLSDIMDRRLDEIEC >KJB41700 pep chromosome:Graimondii2_0_v6:7:9010534:9011484:1 gene:B456_007G115800 transcript:KJB41700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHELGKHDMMEELAETGRNLGSSFRHLSSSFRSTTSDLAVSSFRQNNDDEMELQWAAIQRLPSFKRLRTSLFDHKLLNETTKEEEEIKVDRKRKVIDVTELGALERRVFIEKLITKIEDDNLRLLKKLKERIDRYMTCN >KJB41701 pep chromosome:Graimondii2_0_v6:7:9011724:9019387:1 gene:B456_007G115900 transcript:KJB41701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLGPPGCGKTTLLRALSGKLNPSLKVTGEISYNGYKFTEFVPQNTSAYISQYDLHISEMTVRETLDFSARCQGIGGRADMLKEISRREKQSGIVPEPDIDTYMKAISIEGLKGTLQTDYILKILGLDICADTIVGDAMNRGISGGEKRRLTTGEMIIGPNKALFMDEISTGLDSSTTFQIVTCLQQLTHITEATILVSLLQPPPETFDLFDDIILMAEGKIVYQGPRNYVQEFFEHCGFRCPERKGVADFLQEVLSEKDQAQYWYRKDQPHSFVSVDNFIVAFNKFHTVQKLNEELCTPFHKCESHKSALSFNIYSLGKWELLKTCMAREWLLIKRNSFVYVSKTLQLVVIALITMTIFIRTRMKLDLVHASYYMGSLFYALIRLMTTGVAELALTVSRLSVFYKQRDCYLYPAWAYSIPAAILKIPFSFIDAFLWTALTYYVIGYSPEPERFFRQLFLLFLIHQMAISLFRFIASVIRDPPFAANFGLFTIMVIFLFSGFIIPQPLLPSWLKWGFWLSPLAYSEIGIAVNEFLAPRWQQVSSSNATLGQQVLEKRGLNFSGYYYWISVAALIGFWIIFNIGFTFALSLLKPPGSSRAIISHERFSYLKAKEDLSDTTEEKELPNADSLKAPAETKVKGMVLPFKPISISFEDVQYFVDTPKKLREQVCPQKRLQLLQDITGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGYIEGEIRIGGYPKVQETYARISAYCEQTDIHSPMITVEESVMYSAWLRLPTEINKHQRLEFVAEVLQMIELDEIKDALVGIPHASGISPEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRVVKNIVNTKRTIVCTIHQPSIDIFEAFDELILMKRGGQMVYSGELGQHSSRLIEYFEGIPGVPKIKENHNPATWMLEVTSTSVEAQLGIDFALIYKESHLYKRNKEIVKSQSLPAQGSEKLQFSTPFPQNGWEQLKACLWKQHLSYWRTPKYNLARLAFTLSSSSFYGALLWQKGQNLHDEQDFFNIIGSTYVFIIFTGTSNCSSVLPFISTQRTIVYRERFARMYSSWAYSVAQVIIEIPYIFLEAVLFLTITYPAVNFYGSAYKVFWYFYTVFCTLLYYKYLGMMLVSLTPTYQVATIYASLFYTLLSLFSGYLMPGPKFPKWWVWGYWISPSSWSLKGLLTSQYGDIKEEIVAFGENKALNAFLDSQYGYKYQDLPIIAIVLLAFPLVFASVFAYGIAKLNYQRR >KJB45267 pep chromosome:Graimondii2_0_v6:7:50911572:50912144:1 gene:B456_007G298300 transcript:KJB45267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-4 [Source:Projected from Arabidopsis thaliana (AT1G09030) UniProtKB/Swiss-Prot;Acc:O04027] MGDEQNPFLPIANVGRIMKQILPPSAKVSKEAKETMQECVTEFISFVTSEASDKCRKGSRKTICGDDICWALGAVGLDNYAQAMIRYLHKYRVAALNQQKATTSSFEDKDEESDRSGEPSHQEDETTTPQVVMNIFI >KJB40451 pep chromosome:Graimondii2_0_v6:7:4507167:4509730:1 gene:B456_007G064300 transcript:KJB40451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERPAAAPPGTGYREFNGRTEFRSNTVHGVLAIAMWLGAIHFNFFLLLFSFLFLPFPKLIMVLGLLSFFTFLPIDPHSKIGRRLARYICKHLCSYFPITLYVEDIHVFHPDRAYVFGYEPHSVLPIGVATLADLAGFMPLPKMKVLASSAVFYTPFLRHIWTWLGVSPATKTMFSSLLEAGYSCIIVPGGLQETFFMEHGSEVAFLKARKGFVRIAMEKGCPLVPVFCFGQSHVYKWWKPSGKFYLQFSRAIKFIPLLFWGIFGSPLPYQHSMHVVVGKPIYLKKNPQPTVEKVLETHDQYVKALQDLFERHKARVGYADLPLKIL >KJB39047 pep chromosome:Graimondii2_0_v6:7:2591928:2592251:1 gene:B456_007G037300 transcript:KJB39047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCQVVRKSGRRSCTSSSTAITNVRYGECQKNHAANIGGYAVDGCREFMASDVEGTTGALTCAACGCHRNFHRREVETEVLCEYT >KJB45732 pep chromosome:Graimondii2_0_v6:7:54200029:54202170:-1 gene:B456_007G323900 transcript:KJB45732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYYHFDNGDTHLPPGFRFHPTDEELITYYLLKKVLDSGFAGRAIAEVDLNKSEPWELPGKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCALVGMKKTLVFYRGRAPKGVKSNWVMHEYRLEGKFAYHYLSRSSKDEWVISRVFQKSGSANGATSSTGRGAKKTRMNASIAVYQEPSSPSSISLPPLLDPTATAFATTDHDSFSYDNYVQSEHVSCFSTVTAATAASATATTTTAPSAFHPGFDKAFPPPPQMINTTFDPLAKYSRNVGASVFPTLRSLEENLQLPLFFSQPTIEAPTLHGGSSVNWGAFSEEINDGSVGGNKISIGPTELDCMWTY >KJB45316 pep chromosome:Graimondii2_0_v6:7:51362562:51364249:-1 gene:B456_007G300700 transcript:KJB45316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTAGSKGKKKGVTFTIDCSKPVEDKIMDIASLEKFLQERIKVGGKAGALGETVALTREKSKITVTSDGDFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRTVYELRYFNIAENEGEEED >KJB39359 pep chromosome:Graimondii2_0_v6:7:652258:654613:1 gene:B456_007G008300 transcript:KJB39359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAMKPTKPGLEESQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >KJB44937 pep chromosome:Graimondii2_0_v6:7:48028722:48032246:1 gene:B456_007G280500 transcript:KJB44937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNLFEGLPPPSHHQQLQGKENEEVEGKEGNDQISFSLKTSSSSNNKEASPKPVLKSALKRPKPTESNPEAAVQEKRLRFKMTTDASETQVIEAMEKIALHIKNPTKFSKASKLAIQLIQAGSVKGGTSEHFFAILEAAMSCTTSCTDPSVRGDYHSLFSAAQDAAECLNKRQKNQLTVWTFRAVMANDFLTDDSFVFSKTASKLKDAICSLPFATEDDDIDEAATLKDETETGNDEDDKKQTIVESAEENNKDESDPFGLDALIPSSGKKDDRAKGRKDVATKSRKDDEEDTKIFLKSKREALISCLEIAARRYKTPWCQTVIDILVKHAFDNVARFTSRQRDAIEKLWASVREQQIRRKQGKSVTGKLDVNAFEWLQQKYSTEKISIRHSVGASGDRRCQQWLG >KJB44936 pep chromosome:Graimondii2_0_v6:7:48028615:48031819:1 gene:B456_007G280500 transcript:KJB44936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNLFEGLPPPSHHQQLQGKENEEVEGKEGNDQISFSLKTSSSSNNKEASPKPVLKSALKRPKPTESNPEAAVQEKRLRFKMTTDASETQVIEAMEKIALHIKNPTKFSKASKLAIQLIQAGSVKGGTSEHFFAILEAAMSCTTSCTDPSVRGDYHSLFSAAQDAAECLNKRQKNQLTVWTFRAVMANDFLTDDSFVFSKTASKLKDAICSLPFATEDDDIDEAATLKDETETGNDEDDKKQTIVESAEENNKDESDPFGLDALIPSSGKKDDRAKGRKDVATKSRKDDEEDTKIFLKSKREALISCLEIAARRYKTPWCQTVIDILVKHAFDNVARFTSRQRDAIEKLWASVREQQIRRKQGKSVTGKLDVNAFEWLQQKYSTEKISIRHSVGASGDRRCQQWLG >KJB40311 pep chromosome:Graimondii2_0_v6:7:4000985:4001943:1 gene:B456_007G056800 transcript:KJB40311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANYSSSSSSSSSSLARGLKGPQSFHASIHSVRKPLTKPWKKPTSPLLPTPPKVYKVDPINFRDLVQKLTGAVPPCSISQPQQHHRLQRVAPPPPLQVAPPPFMCGAAEVNSAQFHLVSGLDHAKPQKENFSDDAMITSNSLGFSLSPSSYNYNWCSFPILSPRT >KJB40838 pep chromosome:Graimondii2_0_v6:7:5652340:5653071:1 gene:B456_007G079700 transcript:KJB40838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSLNSNYTLFPLIDISMILLFLSLTCHDRFRSFISRYYWSFPQSKHGSSKTSSSSREKTQNYECLSKEASFNNNKEDCAIVCRKDVEKFMGNLGIFCSLESEELQESYGSDELSRLFEEEEPSLKELKEAFDVFDVNRDGFIDAQELQRVLCILGLKEGLKVDNCNKMIENFDENRDGRIDFQEFVKFMEHSFC >KJB39345 pep chromosome:Graimondii2_0_v6:7:600999:602878:1 gene:B456_007G007500 transcript:KJB39345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSNSNGNNNDPITYLDHSILPLSFFHSPSSPNYNQCELLQLDEYDYDVLWNQQQHFDDDDDQFLHQTTLLTDNSVSETIVNLPDCRHNNTTTDIHQQPMPRKRPAASKTDRHSKINTANGPRDRRMRLSLDVAREFFGLQDMLGYDKASRTVEWLLVQAKPEITKLMNNNNNSFGFAKSPSSTSETEVVSGIDKAAAIDGNIPKGTPSKKEKKERRQRKTSFRPLARDMRVKARERAKARTKEKNMSLRLNNETRDNDPNRFSSSWSSTWTKQPGIQNHHNNNNTVFQADNINIHGDRMIWSLNCLQNTGLINQELTGSILW >KJB41348 pep chromosome:Graimondii2_0_v6:7:7372836:7377834:-1 gene:B456_007G099900 transcript:KJB41348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVDMAVIKPEMMKSYIWLQTADGSIQQVEQEVAMFCPMICHEVIQKGMGSSKNYAISLPQRVNPAMLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKSPEEIREIFHLPDDLTEEEKLEPLKNTTDDPRIRLLNRLYAKKRKELKERQKLKNIEVEEERVDDRSVDDLLSFINGDNGVLIDGFTDEIALLLERIVKFSSVVFASHVSFSTSMPKYLLFPCSHLGEVREFCFADSKGIKNSKSKKKNRRRKDQQKNTSANEAIKNHNKEPNGLNQVCHSAEVGQKVRAGVGATSNLHDVKDDIFDNKNELDDGDIDDEIDPALKEKIDREVEDFARRLNSDWPERMQEILSFGHERKPVHFSLNGNGSLRRYANSEQR >KJB39725 pep chromosome:Graimondii2_0_v6:7:1910338:1910748:-1 gene:B456_007G027700 transcript:KJB39725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKSASMAFFLALNILFFSLVSACGSCSSPTPKPRPNPNPNTPTPTPSARGRCPRDALKLGVCANVLNLVNVTVGSPPVMPCCSLLNGLVDLEAAACLCTAIRANILGINLNIPVSLSLLLNVCSRNVPTGFQC >KJB44036 pep chromosome:Graimondii2_0_v6:7:29066806:29069736:1 gene:B456_007G231400 transcript:KJB44036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHVYAISFPSLSFFLVLIRLPRFDSGANNILYQGAARPNVGAVEFNSLTYVCQVGRIIFAESVPIWESETREVTSFTSHFSFIIDTQGKASSDYSAGLASFLAPVGSEIPPNSAGGFLGLFNTTTIDSSGNQVVLVEFDTFSNTDWDPEVEHVGININSISSVKYAPWNFSLYSEDTADAWISYNATTRNLSVTWSYQNSSSQGSLSHQIDLTEILPETAIVGFSAATSHRIERHQLMSWEFNSSLERKAIKEKNTNRITIVIGVAVPLGVLVIGGCIGFQILLCWKRRKRSYQYMEGTFGINDDFERGAGPRRFSYKDLVAATTSFSSERKLGEGGFGAVYKGYINELDRTIAVKKISEGSRQGEKEYVTEVKVISQLRHRNLVQLIGFCHDGGMFLLVYVFMSNGSLDSHLFGKKTPLNWNLRYKAARGVASALLYIHEEWEQCVVHRDIKPSNIMLDSNFNHELGPRTTGLAGTLGYMAPEYIKTGRASKASDVYSFGVVALEIATGRRSVDPIEENSQIGLVEWVWHLYGSGNLLSSVDKRMNAEFDEKQMECLMIVGLWCAHPDSNLRPSIKQVIQVLDFDMGIPNLPSKMPIPTYQEHVASSSSHEPILTNSSLEVGR >KJB43857 pep chromosome:Graimondii2_0_v6:7:25255462:25260651:1 gene:B456_007G219800 transcript:KJB43857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPLVNLTVAFFFLIFLLSQSCLSISLPLLLPPPHPRARPAMVLPLFPSPKNTSTTFPDSSHRLLRSDSNSSHPYARMRLYDNLLSRGYYSTVLWIGTPPQRFALIVDTGSTVTYVPCAMCEKCGTHQDPKFQPELSSTYQPVKCNLDCNCDKDRDQCIYERQYAEMSVTSGILAEDVISFGNLSELSPQRIVFGCETEETGELYRQHADGIIGLGRGDLSIVDQLVEKGVISDSFSLCYGGMDVGGGAMVLGGISAPSDMIFSYSDPVRSPYYNIDLKEMHVAGKKLDLDPSVFDRKFGTVLDSGTTFAYFPEAVFEAFKDTIMKELNSLKQVSGAVPSYNDTCFSGISSDVSQLSKTFPTIELVFENQQKLLLTPENYLFRHSKVQGAYCLGIFQNGMDPTTLLGGIIVRNTLVTYDRKDSKIGFWKTNCSELWERLHVTSAPSPSPSPSPSPSPSPSPSPSPSPSPSPSPSPFPSPSPSPSPSSSSGKENSTFEMPPISAPEESPIYALIGEIEIGEISLDLALNVNHSYLKPRLVMLLEFMAKELGVNGSQVHLLNFTSEGNISFIKWSIVPSGSATYISNTTAINIISRLAEHRVMLPDDLGNYQLVQWEVKPPSKQTWWQRQYLVVLLGIMMFIIAGLIASGIWLWSRRRQPQIPYQPVDGAAREHELQPLQS >KJB39077 pep chromosome:Graimondii2_0_v6:7:4797148:4800047:1 gene:B456_007G068000 transcript:KJB39077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFGMLPPLDASHHLRKHEWAPYREALYMVAVERQRQNRDQMMAESLKAMESEGSVMDIIQLHCLVSGIGAHDIGRFN >KJB45960 pep chromosome:Graimondii2_0_v6:7:56569904:56572573:-1 gene:B456_007G340300 transcript:KJB45960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPISLFPFLLLIPAICFTICHANSNLLCIQSEREALLKFKNDLIDPSNRLSSWVEGGDCCKWVGVVCHNSTGHVNQLHLVSPPFSPSALGGKINPSLLELKHLSSLDLSYNIFSSIHIPKFLGMLDSLTYLNLACTQFQGVIPHNLGNLSKLQYLDLREGPSPIIVNSLEGPIPDYSGNMSFLEVLDLSLNHLRVLDLSYNGIKQDIAEILQSLSRCCLDSLKSLYMADNNLFGHLTDQLGHFKNLAHLSLARNKISENQLNGTFPLCFGQLESLETLDFGYNLLEGVVLETHFSRLSRLTTLKASQNRLRFEPNPSWIPPFQCEIIELSHWHLGSKFPQWLKFQNKLSVLDISDAGISDVMPTWFLNLPTQFEKLNLSFNQLTGEISNLNVTDTIDLSSNRFTGPLPRVLSSLRILFLSNNSFSGSLSEFICNPSISDMIVLFIDTNLLIGEIPDCWIQWRYLGYLNLENNNLTGNIPFTFGFRNLFMLNLRNNSMFGEFSSIMQSFVSLIMLDLSENHFSGSVPAWIGDKLSSLVILSLRSNNFDGHIPQKICDLQVLQILDLAHNNISGTIPKCFNNLGAMATKQKGYNKVFTPEIYDFPFYFTELLVLKGREDEYGHTLGLVTSIDLSANSFIGEIPKEIGSLVGLLSLNFSRNFLTGRIPDNIGNMELMESLDLSMNRLNGEIPPSFSKLNFLNHFNVSYNNLTGQIPTSTQLQSFENLSYVGNHLCGPPLTKNCTSKGILTDATNNLSSSDGNKVNWLYVSIVLGFVMGFWGVVAPLFFFRSWRIAYYQKLDHIYGKLYVFWATMGM >KJB42963 pep chromosome:Graimondii2_0_v6:7:16453527:16459147:-1 gene:B456_007G177200 transcript:KJB42963 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 27 [Source:Projected from Arabidopsis thaliana (AT3G30300) UniProtKB/Swiss-Prot;Acc:Q8GZ81] MKEGKAFLKSKMKWVGLVGLVLSVFSLFVHFLLARFTEDGFSEYQSSITIFSWRPVFEATDLSRTSPLYRRLWGPVGQLESLQPHANTKGYYADPGAQSSGYIFVRIQGGFHEIRSSICDVVAVSRFLNATLVVPEIQQTTSKKGISSQFKSFAYLYNEETFMAALAKDVNIVKTLPKSLKSARRNKEIPVFRVPYAASPYYYLHNVLPILIKHSVVELVVSAGGCLQAILPPDLEEYQSLRCRVAFHALRFRQDVQDLATNILHRLRAPGRPFIAFDPGMTRDALAYHGCSELFQDVHAELIQHRRAWMRKRGIIKGQLSVNSAKQRLKGSCPLTPEEVGILLRAYGYSWDTIIYVSGGEVFGGQRTLIPLHGLFENVVDRTSLSTSWELSRIYGREANLDESYPRAPPSAQVETKLDAWKNSGPRPRPLPPPPARPKTYNIEGWWGWVAESDNEPESTVVELRTNAHKLLWEAIDYTVAVEADVFIPGFDSDGKGHPNFASLVMGHRLYQSAASKTYRPDRKEVVRLLDQIHGHIYHANHSWLTSIRRHMRSSLIDGLIEASNKSKSSSLLSHPVPECSCLRPNPSETLSNASTPSVHLQVHAALGVVHRCPAWMDGEKNLSSNEKDNEEDIDENDPSSSRLFLQHAGSHDAGGEDINLKEESQFEDQEEDGGD >KJB41796 pep chromosome:Graimondii2_0_v6:7:9629639:9630600:-1 gene:B456_007G121900 transcript:KJB41796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINHFIIALISLTCLFSFSASTNAPLPLSDASSPTSSKLHDMIEVMFESPSPFAFDGTTLESIDDVLSILPGSVDPALQKICGNTDHPVECIKATMLFLDEKTPIEPLSVLKAGIEAMDNQTKDALAEVTKLSMDPTTPKNVVPILQKCIDVYNNILNSDQKSLEAISNRNLVQLSIELGANVENVLGCDNAFKQAKLESPIKKMDAMLAKIVSNTLTIGVDMVHF >KJB45916 pep chromosome:Graimondii2_0_v6:7:56231993:56232634:-1 gene:B456_007G337600 transcript:KJB45916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQMIFAWAMIFCLAVAPVCGQYYSKTIKAGPRVEKMTRLRFFYHDIPSGKNPTTFLIAQANITQDFFSPSPYGSLYSMDDPLTLEPERTSKMVGNAQGLYLALSRDPTKFTAVFYADFAFTIGKFKGSSFSLFSRYPLTDFVPGPDVIREMAIVGGTGAFRMATGFALFRATSSNSRTGDASLEVDVTLYHY >KJB45759 pep chromosome:Graimondii2_0_v6:7:54550058:54550258:-1 gene:B456_007G3259002 transcript:KJB45759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRIQDALTSKKRLAKEYEQLAIWFGDTDTGLNHECLQTLRPSCTMATSECNNIQTEVVSDSEWELL >KJB46397 pep chromosome:Graimondii2_0_v6:7:60003947:60008528:-1 gene:B456_007G367400 transcript:KJB46397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPWLLVFIVFIVMLTSQFEWKQQFGEEIEPTSTVSLKDQSLSKRQEYVKEKIILSQERNIQKLNEQVRNLREQLLWCKAETEISNGSAFALSEHLSEIEQQPMLDD >KJB42841 pep chromosome:Graimondii2_0_v6:7:15300346:15302452:-1 gene:B456_007G169900 transcript:KJB42841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFSSVLTLLVLFFVSPNVSAIDKVFPGNAKDVDIIIKSSISDDEDTICKSLVQTQGYACEEHKVTAKDGYILSVQRIPVGRSGKTASKPPVLLQHGLLVDAAAWLLNTPDESLGFILADNGFDVWLANTRGTKYSRGHTSLSSNDSAYWEWSWDELVQYDLSALVQYVQYKTGQKLHYVGHSLGTLIALAAFSEQGKLINMFKSAALLSPIAHLNQIPSQLTKEAAQLHIAERVIYRQLHQFNSFLWFDKQELYSLGYRQFPPGWDVLGPILEKICNEPGTNCSELMTALTGPNCCINSSRAGELLKHEPQPTATKNIIHLSQSKLIRTGTIAMYDYGSEDENKEHYGQSDPPAYNMKNIPKELPLFLGYGGQDMLADANDVKALLNDLKDHDNGELVEVYSEEYAHADFVLGVNASKVVYDPMISFFDIHSS >KJB40941 pep chromosome:Graimondii2_0_v6:7:6016925:6019167:-1 gene:B456_007G084100 transcript:KJB40941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNLEQMLIQDENLTDRSPFLKLRRWQWWLLVAINILFLIAGQAAAVLLGRFYYDQGGNSTWMATFVQTAGFPILCIPLIFLHPSQKLETSTSSTYPSIKIVALLYFVLGLLVAGDNMLYSVGLLYLSASTYSLICATQLAFNAVFSYLLNSQKLTALILNSVVILSLSAALIAVNEDSDGPSGVSKGKYLVGFICTLGASALYSLLLSLMQLSFQKVLRKETYNVVLEMQIYTSLVATCVSTAGMFGSGEWKGLQDEMKGFKSGRVSYVLTLVWTAVSWQVCAVGVVGLIFAVSSLFSNVISTLSLAITPLAALLVFHDEMNGVKVIAMLLALCGFCSYIYQNYLDDTKARKSESQSHTDDVIQESHHV >KJB40700 pep chromosome:Graimondii2_0_v6:7:5234191:5237366:-1 gene:B456_007G074000 transcript:KJB40700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIKIGINGFGRIGRLVARVALQSNDIELVAVNDPFITTDYMTYMFKYDSVHGQWKHHELKVKDSKTLLFGERPVTVFGIRNPEEIPWGETGADYVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKSDLNIVSNASCTTNCLAPLAKVIHDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKAASYEDIKAAIKKESETNLKGILGYVDEDLVSTDFVGDSRSSIFDAKAGIALSDKFVKLVAWYDNEWGYSTRVIDLIRHMASVN >KJB46280 pep chromosome:Graimondii2_0_v6:7:58743818:58744165:1 gene:B456_007G356400 transcript:KJB46280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKFSSSNNPECVLVVGFQMKYFLCDTWSKHASTLVGEQDSLLLVDWLPHPFLQYRTLALGIETLLLVKHNQSLLVSPIFGKLPKEKVKRFSTTHHIP >KJB46463 pep chromosome:Graimondii2_0_v6:7:60397319:60397928:-1 gene:B456_007G371100 transcript:KJB46463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDELLLPWKLMDGRLHHICFSSYSCILGPKWQRTHLSTQREQDQKWLDANPNISHFQSSILAFSAFSR >KJB44627 pep chromosome:Graimondii2_0_v6:7:43287668:43289884:1 gene:B456_007G262300 transcript:KJB44627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPTSSLFPLTTLLPLLAFAPLFSRKSAVKMVSTDGFTARLLLHIQRESWSTRLIFLIRKRYKINWHGKQMKGQARIYLKLG >KJB41427 pep chromosome:Graimondii2_0_v6:7:7799049:7809764:-1 gene:B456_007G104100 transcript:KJB41427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTSIANLKENLNKIKLDVHGDDDEELEIYGSENGRHSTFSDRRNSHTFAHSKPVSFSSLASGIDSPFNSEEQIFRVNQENGSLKQNLNATNAAPSAARSEISEVSNNGINALKGKGDQSANRQHKSTSLVKNCYAGNQMSNGLSSKHDEELADLLEEKTRSLEAIQASHESQIKQFKMELEKEHDKLVNVQIRLQEEHKLNESFQEELKLLKSDKDKRSAELSKIRNESNEKTIEISRLQKELNRQEDESSDDTMENMKRLVATLEKENTHLKMEKNELEAALESSKKASTDKIDKIDPIPSENLKVDSSGSSPGKKETELSLQKLEKDLKETCCERDKALQELTRLKQHLLEKASEESETMDEDSKVIEELLERNEYQRAQIAHLEKALNMAMANQEEVKLMNNNEIQKSKEIIDNLNKKLTNRMRTIDAKDVELLNLQTALGQYHAELEAKEHLERDLALAREESARLTGLLKDADEHAEFSKREKEEILTKLSQTERMLAEGKTRVNKLEEDNGKLRRALEQSMTRLNRMSMDSDYLVDSRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSDEDKQRIGVAQHGPGKGVVRGVLGLPGHLVGGILGGSPANTQANMASDNQSIADLWVDFLLKETEEREKKEPIEDVGRSREDLHGRSLNTAGPSTFVSEQTTAVSDVSRSSFSPSPLPSQGSFQQLEHSDSEFSTVPLTSSESSTRLSRLLPKY >KJB43260 pep chromosome:Graimondii2_0_v6:7:18832940:18834722:-1 gene:B456_007G192300 transcript:KJB43260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVKSIKCVTVGDGAVECVHPLSYIFHVGEKQDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYCGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKHDLREDKQFFIDHPGAVPISTAQGEELQKLIGSPAYIECSAKTQENVKAVFDAAIKVVLQPPKQKKKKSKAQNACTIL >KJB45009 pep chromosome:Graimondii2_0_v6:7:48758697:48759802:-1 gene:B456_007G284800 transcript:KJB45009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDIVINNSPLSKQSNILSLDFPPSKCSICFTYHESLHFSKMGWLRSLLFPLKKLWFRMHSAPTKSRGIYILYEDVKSCTDEDVHVLWSILVESHSPQIKPKQ >KJB46278 pep chromosome:Graimondii2_0_v6:7:58728040:58728402:1 gene:B456_007G356200 transcript:KJB46278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDYGNFSSLHFDSKELDDEVGVPSSENDLLELVVSFDDRKKEVEQSKGKDIAGAVTCNYDNLGNDIHTRIGNFSSLTASSDISMEDGDSPVSSGYMLVIISVREAVRSAELLAK >KJB43414 pep chromosome:Graimondii2_0_v6:7:20182410:20183481:-1 gene:B456_007G198500 transcript:KJB43414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDLRLLHQTVNVVVEIVKEHYLVIAIPEYNHAIGYASIVDYNTQKLPHKQFVNGQRVIAAVMALPSPETSGRLLLLLNSIGEVTETSSSKRAKKKSRFKIFSLYPIQNHRGCYCSYRFHN >KJB44463 pep chromosome:Graimondii2_0_v6:7:41247660:41249855:-1 gene:B456_007G254600 transcript:KJB44463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLLHINIAYPFRRLGFECLFNASRNSFFLFQTKISSHVHSSFRRKSSWVFHKMHKKTLCFWILGVADLRVVENMEKSMSKVELSKEMCTSPTV >KJB43504 pep chromosome:Graimondii2_0_v6:7:20852587:20853278:-1 gene:B456_007G203500 transcript:KJB43504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIGTSTITLKYVLGQILYAYSRYEKKIPFSFPLNCAIFAELLLYLVFPLPLPLQ >KJB44360 pep chromosome:Graimondii2_0_v6:7:46051147:46053475:-1 gene:B456_007G272700 transcript:KJB44360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQIATLEPMITGLQEALPKSIGLLPFDRGVTGCMRLVKVQLSWGRKSELEVEVLRGINETGSVLYWMGLLDIVLRELDTTHFMQTAPWLGLLPGADGQIFQKMVERVLLSTSSNLLQLHLCQTLGAQIQPLFTQCQSRQKLRVVPTV >KJB42555 pep chromosome:Graimondii2_0_v6:7:13723737:13727203:-1 gene:B456_007G157400 transcript:KJB42555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHCFSLHKAPSLSSFLPSLGPRVFIPSNSPSDFALSRRRSLRTGFTSTPFNGRKAPSVKASAGASHCDFSSLNAPLEPKSAPGKFLSGVLQNQRQFFHFAAADELKRLSDDRDAAVARMFVSLDSDEACLHRRIAQLKEQECQAAVEDVMYMLIFYKFSDLRVPLVPKLSRCMYNCRLEIWPSKDWELESIHSIEVLEVVRDHISAVIGLRADSSVTDNWATTEIQQLHLGRLYAASILYGYFLKSASSRHQLERCLTLVHQKRLNHRNSLQFLDLLPCGIKSLVLGRFSDVQSVPLGQGPGRQEKDLEELRCYVMGFDPETLQRCAKPRSKEAAHLIERHSCALFGDEQTGIPQTDEVIRTSFSSLKRLVLEAVAFGSFLWDIEEYVDTVYKLKDN >KJB40010 pep chromosome:Graimondii2_0_v6:7:2936789:2939881:1 gene:B456_007G042100 transcript:KJB40010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQPEKRESAPSNDDSLNDWLPITKSRNAKWWYSAFHNVTAMVGAGVLGLPYACSLLGWGPGVAIMVLSWVITFYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLWVVVPLQLMCEVGVDIVYVVTGGTSMKKIYNVLYPDGKEIRSTWFYVAFGALHFFLSHLPSFNSITAISFFAALMSLSYSTIAWVASVRKGVQPTVSYGPRSATPKAQVFDFFSGLGDVAFAFAGHNVVLEIQATIPSTPGKPSKGPMWKGVVIAYLVVAACYFPVAFCGYLVFGNQVEDNVLVSLEKPAYLIVAANAFVLVHVIGSYQVFAMPVFDMMESFLVKQMHFKPSLMLRTITRTSYVLFTMLVAITLPFFGGLLSFLGGFCFAPTSYYIPCIIWLVIYKPKRFSLSWFANYICIGIGLILTILGPIGGMISLIHSSQTFKFFS >KJB42798 pep chromosome:Graimondii2_0_v6:7:21837327:21838458:-1 gene:B456_007G207700 transcript:KJB42798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICSSCDSTHVATAKLILHDGRLQEFPYPVKASYVLQKNPMCFICNADEMDFDDFVSAIEEDEELQPGQLYFALPLSWLNHPLQAEEMAALAVKASSALMKTTASEKCRRGSKAVTPFAFSVGSPRRKVSPAAGAGGGKGGEKRGRVGRGKFKAVLSSIPE >KJB39400 pep chromosome:Graimondii2_0_v6:7:881712:896183:-1 gene:B456_007G011200 transcript:KJB39400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSSIETSNNFCGGGDGGDVNGRIHNFKLNEHTFLASLMPKKEIGADRFIEAHPHYDGRGAVIAIFDSGVDPAASGLQLTSDGKPKILDVIDCTGSGDVDTSKVVKADKDGRIQGASGASLVVNSSWKNPSGEWHVGYKLIYELFTDTLTSRIKKERKRKWDEKNQEEIAKAVLHLDEFDQKYTKVEDLKLKRAREDLQNRIDLLKKQGDSYDDKGPVIDAVVWHDGELWRVALDTQSLHDDRKCGKLADFVPLTNYRIEQKYGIFSELDACTFVVNVYDEGNILSIVTDCSPHATHVAGIATAFHPQEPLLNGVAPGAQIISCKIGDARLGSMETGTGLTRALIAAVEHKCDLINMSYGEPTLLPDYGRFVDLVNEVVNKYRLIFVSSAGNSGPALSTVGAPGGTSSSIIGVGAYVSPAMAAGAHSVVEPPPEGLEYTWSSRGPTADGDLGVCISAPGGAVAPVPTWTLQGRMLMNGTSMASPSACGGIALLISAMKAEGIPVSPYSVRIALENTSIPVGGLPEDKLTAGQGLMQVDKAYEYIQKSQDLSCVCYQIKVNQSGKSTPISRGIYLREATACRQSTEWAVHVEPRFHDDASKLEQLVPFEECIELRSSNNAVVRAPEYLLLTHNGRTFNVVVDPSNLADGLHYYEVYGIDCKAPWRGPLFRIPITITKPKAVLNRPPLVSFSKMSFLPGHIERRYIEVPLGASWVEATMRTSGFDTSRRFFVDTVQLCPLRRPIKWESVVTFSSPTAKSFDFPVVGGQTMELAIAQFWSSGMGSHETTIVDFEIVFHGIGVNRTEVVLDGSEAPIRIEAEALLASERLAPTATLNKIRVPYRPTEAKLCTLPTNRDKLPSGKQILALTLTYHFKLEDGAEVKPHIPLLNNRIYDTKFESQFYMISDTNKRVYAMGDCYPASSQLPKGEYSLRLYLRHDNVQYLEKMKQLVLFLERNLEEKDVIPLNFFSEPDGPVMGNGTFKYSILVPGIKESFYLSPPNKDKLPKCSSQGSVLLGAISHGKLSYAGEGKNPQKNPVSYQISYVVPPSKIDEDKGKGSSSASTKPMAERLQEEVREAKIKVFGSLKQDSDEDRSEWKKLAQSLKSEYPKYTPLLVKILESLLSRSNIGDKIHYYEKIISAADEVIDSIDADELAKLFSLKADPEDEDSEKNKKKMETTRDQLAEALYQKGLALAEIESIKGEKPSALAASEAVSSDLRSDLFEENFKELTKWVDVKSSKYGTLYVLRERRFGRLGTALKVLNDMIQDDGEPPKKKLYEMKLSLLDEIGWNHLSTYERQWMHVRFPPSLPLF >KJB45592 pep chromosome:Graimondii2_0_v6:7:52819311:52820128:1 gene:B456_007G314300 transcript:KJB45592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLESPSIITSVKPNSIPVSTTSKQARASISNVVPTTVCKRDLETRTSPFAFRTTIPEADLKPWQLNEASKLILIICSSVPIFV >KJB43996 pep chromosome:Graimondii2_0_v6:7:27933772:27937555:-1 gene:B456_007G228700 transcript:KJB43996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDLPVSVTELPNLRHLHLGGNFFSGQIPSSYGRWEHLEYLAVSGNELSGKIPPEIGNLTMLQQLYIGYYNSFEGGLPPEMGNLSELVRFDAANCMLSGEIPPEIGKLQKLDTLFLQVNALSGSLTPALGTLKSLKSMDLSNNMLTGEIPQSFADLKNLTLLNLFRNKLHGQIPEFIGELPELQVLQLWENNFTGSIPQTLGSNRKLQLLDLSSNKLTGNLPPDMCPGNTLQTLIALGNFLFGPIPEALGKCESLNRIRMGENFLNGSIPKGLFELPNLTQVELQNNYLTGEFSVTDSPISVNLGQISLSNNQLSGALPASIGNFSGVQKLLLDGNKFSGRIPAEIGKLQQLSKMDFSHNKLSGPVAPEISKCKLLTFVDLSRNELSGEIPTEITGLRILNYLNLSRNHLIGTLPSSIATMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNPQLCGPYLGPCKDGANGTDQTHVKGGLSASLKLLLVIGLLVCSILFAVAAIIKARSLKKARKSRAWKLTAFQRLDFTCDDVLDCLKEDNIIGKGGAGIVYKGLMPNGDQVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLLYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSDYEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVSGRKPVGEFGDGVDIVQWVRKTTNSNKEGVLKILDPRLSSVPLYEVMHVFYVAMLCVEEQAVERPTMREVVQILTEVPKPPSSKQQQGDSTITESSPISQPTTLESPRDARTKEPKDQHTPPPDLLSI >KJB41777 pep chromosome:Graimondii2_0_v6:7:9490107:9490584:-1 gene:B456_007G120800 transcript:KJB41777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFFSCTATIFLTIGCNAYGALIEGICKQSQDYKYCMSVVGNDPRAAAADLHRLALLSIQTHSQCESDYDEAYTNLQSSFSSTSKTAYMDAINKVIDCHNGFRRNGPIATSPIDADDMKVFKLSEIFLLAVDRLIP >KJB46193 pep chromosome:Graimondii2_0_v6:7:58218387:58223546:-1 gene:B456_007G352000 transcript:KJB46193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKGWATEQWRGYFRTANSDIFDIIDHAIMVAALDCPKEFRLRRDRIAEKLFTCKLTRCSGCDRVELVLPEYEDDDNPTGCHGSFKREDEEEEDGCGFFEAGGSKESKANSSRDDPLMNQIASNYSYGEAEALTDEIEEESMIVGEVFRIKEILHNCQDEPDSVLFESLRKLQLMALTVDTLEATGIGKAVNRVRKHSSKQIRHLAQTLIDGWKELVDEWVSATKAVAGVTPESMNPSVDEEVDEEEEGLPSPPLDMDALFAQPTSMELSQFFDGMDDDGNPGSSGDFVRNNGRKPSEENRNLSKRKPQTSSEANPTLKNDKSRQMKRQEPITKPNNKPSTTNVGSGKPPKHNIEPKASKESNSLQKPDKMAVPKKPLRSQQDKLKPSDDDAVQEKLEATKRKLQERYQQVENAKRKRTIQVMELHDLPKQGSGHKNPHFKHGNHNRQWANGRR >KJB40467 pep chromosome:Graimondii2_0_v6:7:4601874:4605279:1 gene:B456_007G065500 transcript:KJB40467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKMELEQPVVIEASEAATKVKPANDHSKNMPSSPSASTWSHVSSRLCHGSESFRNLEMGVPKVNSYEKKLSWLRSQIIGGDAEFESPFGTRKLTYADNTASGRCLHYIENFIINNVLPFYGNTHTCDSYVGQRTTKMVHEASNYIKRCMGGGEDDAILFCGSGTTAAIKKLQEVMGITVPSIMRDKVIKCLSNEERWVVFLGPYEHHSNLLSWRQSLAEVVEIGLNEDGLIDIEALKQQLELFKYRNRPLLGTFSACSNVTGIQSNTREIAKLMHQYGGFVCFDFAASGPYVAMDMKSGEIDGYDGMFLGPHKFIGGPGSPGILLMSKALYQLGNSPPSTCGGGTVEYVNGFDEKDTLYLSDIEERENGGTPQIIQMIRAALAFWVKEYIGYQVIAKQEKSYIQQALNRLLPNQNIKVLGNTSSHTKRQAILSFLVYSTTNSAPISNQEDELFMWGETGNKRDKPLHGQFVATLLNDLFGIQARGGCACAGPYGHCLLGIDQTQSLAIREAIKKGYVGAKPGYTRVGFPYYMSNEEFEYIVAALEFVALYGQRFLPLYHFDLRTGNWTFKNKALIKDTYDDGIPVLDLATAFQAINTDRESDKIDARKKDNSSVVPKYSSYLESAKRIAALLPKFPSQRRFQEDFGVELYFRV >KJB44952 pep chromosome:Graimondii2_0_v6:7:48284441:48285340:-1 gene:B456_007G281800 transcript:KJB44952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLIASVIQFQAMHTTLANLWHPLGGMMIKDLGKKWFLFKFSYEIDMDRFLEGSPRTFNNHLLIFHRLKVGEDLLLVPLFWADF >KJB42727 pep chromosome:Graimondii2_0_v6:7:14585432:14587982:1 gene:B456_007G165400 transcript:KJB42727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSGDSHSLVTAEDGYDTEFRSYPDDAWYSVQLLLEGERSEKLRVKYDEFPAESDNVFLADNFKSVDELHDFLGRFRKVSAQLQDPNCSKVVKGMRVCASDSFAAGEVLFYDAIVDDVLRKKHSNLNGQEECECIFLLFWLHGPNVGNLANKGVADICLLQDSELHPKLIYFMEISMQNIVKALPDFVPGTTSDDLVCNIVARLRETNGRPLSGSLRQGKYAQRSLSEVWPPQGGTCDNRQDTDVGGDKRLYVILVQNLEKDLSSSAVSRFIHEQTSISTQVYIFPSLPWEPYTNGVIMMDCKKDVEQLFGFLQSPNQFTVSSNGRPLVATEKLSLNDHWTLMLKSPNKLLNRREGGFSSELKVVCSGTEEYKKAKELRDLFLQFTDHQKRLYKKLCTEETSISSIPNYLQL >KJB42503 pep chromosome:Graimondii2_0_v6:7:13381527:13383561:1 gene:B456_007G155700 transcript:KJB42503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAVITCGSKADHNVSFDKNYHVTWGNSHVTSVNQGTEVHLRMDKASGAGFESNVGYASGFFQIKIKLPNKDFSGVVVAFYLTSDPDGKGGNHDELDFEFLGSNGPPFTLQTNVFANDEGGREQRFHLWFDPTSDFHTYGIVWNQHQVVFYVDKTPIRVFKNNTKIGVSYPSQQLVIQGSIWNGETWASGGRKIDWSQAPFVASFVGFGVTGCQFQSSDSKDQCYISSPKLWWNGDKYLGLDPAERKALQDARTKYL >KJB45414 pep chromosome:Graimondii2_0_v6:7:51870612:51872702:-1 gene:B456_007G305000 transcript:KJB45414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKSCCGSRNYVCTPKKEAMVTPKKEEDVKPDITKGGHHAAPVPVPAAVVKIPKKEENETVSVKNEASKPNVGCGGCN >KJB39335 pep chromosome:Graimondii2_0_v6:7:682277:683968:-1 gene:B456_007G008700 transcript:KJB39335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLYNNLAVLGCEGWSWGCIHAHGFAPLMAAALAIFCCAWWWWWVMKIQKINPPLPPGPLGLPIIGNLPFIQPELHRYFLDLSRIYGPIFKLRLGRMIVIGINSTSLANEVLKDQDAIFANRDSPAAAVAGTFGGLDIAWRSNGPDYNRLRKLVMREIMSKQGLDACYMLRRREVRRMVKEIHEKVGSIVNIDEQLSATALRVMMSTLWGDDPSNLIGEERQSKDLFELRKRLDEFVRTFAAPNLSDLFPVLAPFDIQGIESKAKNLLSWFYGVFESVINNRINIGDDGKEKKKVSKDFMQQLLDLHQRGNDKSSLSITEVKALLLDMMVGGTDTIPTTAEWAMTELLRHPDKMAKLVAELDMVVGNQTVVEECHIPKLIYLDAVIKETLRLHPVVPLLLPHVPSETSIIGGYTIPKDCRVFINAWAMQRDPKLWDDPLRFQPERFLESDMSYRGNNFRYFPFGSGRRICVGVSMAEKMVALLVGSLVHSFQWGLLEGTKPGLEDKFGIVLKKAESLVAIPIARLPNLEQYQ >KJB46081 pep chromosome:Graimondii2_0_v6:7:57779914:57781455:1 gene:B456_007G347700 transcript:KJB46081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWFLILLLLSSSLLFKAFFNFFSSSKTVRHTLPPSPSSFPIISSLLWARKSLLQNEQSLRHLSRTLGPMVTLHVGPFPSIFVFDRSLAHQALIQNGSLFSDRPKSLPANMIMDSNQHNISAASYGPTWRLFRRNLTSEILHPSRKKSYSLARKWVLGILFGVLQQKAKSGESVEVLVHFQYAMGCLLVLMCFGDKLSQQQIEEIGAVHRRVLNGFARFNVLNLWPRVVTKILLRKRWDQLFQLRKELDDALIPLIRERKKVKDERLSNKGSDDYELAYVDTLLDLELPEEKRKLDEAEMVTLASEFINAGTDTTSTALQWIMANLVKYPYIQDRLFLEIKGVVGDYVEEIEEEDLQKMPYLKAVILEGLRRHPPAHFVVPHCVTEDTVLGGYLIPKNGMINFMVADMGWDPKVWEDPMAFKPERFINNAGGGEVFDITGSREIKMMPFGVGRRICPGLGLALLHLEYLVANLIWKFEWKAMDGDDISLEEKHEFTIVMKTPLMAHISPRKS >KJB42530 pep chromosome:Graimondii2_0_v6:7:13508457:13510710:-1 gene:B456_007G156700 transcript:KJB42530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNSGIMGLREDEIELELRLSIGGSFGKAENLKPIKKETKPNDVPVVDLGENLVFDPQMKRKIQALRRQEAKKKREEKQQKRGTTIPSRCRDDVTEERECKKNKVEEFFGGVNLNLSTELNNPPAAYPVLPVRVPCPYPHLQFVPLANGFPYPCVNTVPCWGEKGVAMNGGFQTGRVHDGNNGYDSEQNSSKDERNRKTGSNESPMYSSSVVSDLQSSSNQGGRSSESGTNTSPCQPAEHTQINCSAGSNQKGRSEQSCTSHQNDSAQSMDKCSKGGIEKTPSLESTSLNLKKAPPKPEIKTINSTKDTNKGEMGKPPKPPAPPIHDGIVSLRNMPCVSTTGNGPDGKTINGFLYRYTKSEVSIICVCHGSLFSPAEFVQHAGGSDVSHPLRHITVIHPAIQQM >KJB42691 pep chromosome:Graimondii2_0_v6:7:14393275:14396844:1 gene:B456_007G163900 transcript:KJB42691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGDSCWVVVLVGGVVGFILVVVLNHFWPLLFKGKGGTVPKGSFGWPLLGETFSFLKPHSSNSVGAFLHDHCSRYGKVFKSHLFFSPTVVSCDPELNYFILQSEGKLFECSYPKPIHGILGKVSMLVAVGDTHKRLRNAALSLVTITKSKPEFLHDIENIAIQILDSWKNKPQVIFCEEARKFTFNVIVKQVLGLTPQEPETSEILEDFLTFMRGLISLPLYIPGTPYARAVQARSRISSTVKAIVEKRRAGRRNNNNNDDDDDNNNSKKNSDFLEILLSVDTLSEDEKVSFVLDSLLGGYETTSLLMCMVVHFLSHSPAAFQQLKQEHLKIRSMKQKHDDHLDWEDYKKMEFTQYVINEALRYGNVVKFVHRKALKDVKYKGYLIPSGWKVLPVFTAVHLDPSLHANATQFHPWRWESQDPTCKKFTPFGGGSRCCPGSDLAKVEVAFFLHHLVQNFRWKTEGEDQPIAYPYVEFQRGLVLNVDPCSETTM >KJB44626 pep chromosome:Graimondii2_0_v6:7:43282360:43284142:-1 gene:B456_007G262200 transcript:KJB44626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYGTPITACLQFIFLTFATKSTKRLHQQMEALRIRLFLAMMVVLMAMSAVQYVAAADAPAPTPTSDATAFVPTAFASLVALAFGLLF >KJB40543 pep chromosome:Graimondii2_0_v6:7:4839083:4839513:1 gene:B456_007G0686001 transcript:KJB40543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLK >KJB43588 pep chromosome:Graimondii2_0_v6:7:21858809:21859478:-1 gene:B456_007G208100 transcript:KJB43588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYRVDCRQCGKYTWGGCGKHLSTLYASIDVGKHCMCRSWPGVVIPSTTNATNNNNNQQPATPVSGNQQSS >KJB45899 pep chromosome:Graimondii2_0_v6:7:56046116:56049124:1 gene:B456_007G336000 transcript:KJB45899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPLPISLFPFLLLIPAICFTICHANSNLLCIQSEREALLKFKNHLIDPSNRLSSWVEAYYNSRLGGKINPSLLELKHLSSLDFSNNDFSSIHIPKFFGLLQSLTYLNLSRAQFQGAIPHNLGNLSKLQYLDLRGNDLEPKSLQWVSGLSSLRYLDLSYVNLSEANDWIQVTFKLPSLLELHLSDCDLEDDPSLISANFTKSLVVLDLSFNRFSSVPKSVFSLHGLLSIDLRGYSLQGPIPDYFGNTSFLEVLDLSWNGLNSSIPNSLYSLNRLQFLSLGHNQLQGTISSAIGNLSSVTQLDLSVNQLNGQIPLSIGQLSSLEEFDASENQLNGQIPLSIGELSSLKLFDVSENQLNGQIPLSIGQLSSLEEFNVSENQLNGQIPLSIGELSSLKLFDVSENQLNGTFPLSFGRLESLETLDCEYNLLEGVVSETHFSNLTRLTTLKASHNRLRFEPNSSWIPPFQCERIELGHWHLGPKFPQWLKFQKNLSYLDISYAGISHVMPTWFLNLPTQFESLDLSSNQLRGEISYLNVRNSVNLSSNRFRGPLPGVFPTLRFLILSNNSFSGSLFELVCNSFSGEFMEVLYIDKNLISGDIPDCWNDWPILDLLNLGSNNLTGKIPPSLWHLNLMMLNLRNNTMFGELPSTLQNPPDLIMFDISENHFSGSVPAWIGDKLSNLEILSLRSNNFDGHIPHKICDLQFLQNLDLAHNNISGVIPKCFNNLSAMATTKKTNNEISAGNVNNVNLEDPIYLNNVNAAPFYLKALLVMKGREDEYGSTLGLVTSMDLSANSLTGEIPKEIGSLIGLLSLNFSGNLLTGNIPDNIGKMELMESLDLSMNRLNGEIPPSFSNLNFLNHFNVSYNNLTGQIPTSTQLQSFENLSYVGNHLWGPPLTKNCTSKGSKVNWLYVSIVLGFVMGFWGVVAPLFFIRSWRHAYYRKLDHVGRK >KJB41729 pep chromosome:Graimondii2_0_v6:7:9162271:9163867:-1 gene:B456_007G117100 transcript:KJB41729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRNKAAKNNIQDGALPQQQDCYEGERLIHVLKSIRRGIESARALAGNSLPEKVWLKQQFAIGVNDVTRVLERMAAIIDEGSTAQSPFVRLQAILLAADCNPKWLTKHLPTLAKSRKVPLIIVKDDKKGSLRLGELVKLKTAVAIGVKARGSAINQIMEEILDGDEPNQRALLQVQ >KJB44778 pep chromosome:Graimondii2_0_v6:7:45969896:45970255:1 gene:B456_007G272100 transcript:KJB44778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKKMMVVWICAMVVSSMLMENGANAENLNYGAIERGDMPKPCRPGTLNCVPEPANKYDRGCSRLEKCRNGR >KJB41519 pep chromosome:Graimondii2_0_v6:7:8176375:8177185:-1 gene:B456_007G108100 transcript:KJB41519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPNSFHFQNGSSIICLITKSSRMGKYRSDFLIIHRNKRLPTNGPQILQITIRSHTHNLKSYN >KJB46022 pep chromosome:Graimondii2_0_v6:7:57039495:57041674:1 gene:B456_007G343900 transcript:KJB46022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTSDVKGLLELYEASHLRVHGEDILEEALGFTTTHLGLAKAAGTIEYPLSALVSHALYQPIRKGLPRLEARRFISIYRDDASHNKTLLKFAELDFNLWGKSLDLPTKLPFVRDRLVEAYFWALGVDFEPQYSFAREILAKTMVMTSIMDDIYDAYGTLEELQLLSNAIQRWDVDYIDQLPKYMKSFYKPLLDLFGENEVGSKHMDLGNDYNENFVPIMEEYMRNAVLSVGYIMLTVTSFIEIFDWASKNPKITDASSVVDRLMNDVTSHKFEQERGHFSEEEACTELKKQVENAWKDINQEIIFSEISKVVPRPVLTRVLNFTRLEMSTHMLGKIQKMG >KJB44678 pep chromosome:Graimondii2_0_v6:7:43967311:43969120:1 gene:B456_007G265100 transcript:KJB44678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHSYSLFLLISLSFVVQIPTPQAHIAEYDDYWKARKLEAIENLNKAYHPNPEEVVHHYNDQFAKTMIKLNSTRRELKGTKENGPCEITNPVDSCWRCDPNWEKNRKRLADCAPGFARGTTGGKEGEFYVVTDPSDDILNPKPGTLRHAVIQRRPLWITFKGSMIITLEQELIITSNKTIDARGANVQIAKGAGITVQFVKNVIIHGLHIHHIITGTGGTIRDAEDHFGLRTASDGDGISLFGATNIWLDHLSVTNCSDGLIDVIQGSTAVTISNCHFTDHNEVLLFGASDSYTEDQKMQITVALNHFGKGLVERMPRCRHGFIHVVNNDYTHWLMYAIGGSRNPTIISQGNRYTASSTFASREVTKRVFAPPEVWKKWNWISEGDHFENGAFFVSSGDPKASKKYGTNKMMPFNPGEMAPKLTKYAGTLNCKPGKPC >KJB45525 pep chromosome:Graimondii2_0_v6:7:52357720:52361284:1 gene:B456_007G310000 transcript:KJB45525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLESECSAVESLEDNESTQNTVPHVDANKIKDNHVDDCKSKDNGLCGNDDQTQSLSTDRGVVSDDFAVDSHANGPVGTVRSMHSPTVPVESRAASSPPTTKGYGLKKWRRIRRDFVKDPTATVDGCKILKRGLSGSANPTEPQQNASAEIKQNNKPHIGPLNILKNTNVAIGFMNHSPSSDSRFAVGVPSAATTDSENSEDRSSKSSTAASMPKARYDLPTVLGYVHGKNRMKNLSGKFVGNSSKKAQQGKGYVESSKKPRGERIKIEKENSQSSVESDSRNSNFGFVLSPVSVTSNGKQSGSSMNHDRRNGDEAHEGEGQSNEEVQTTHRKESSCEIEELSLDDLAANLSWEAKEEKSESRQPSPDQDPLVNSILSLRSVQKALESELYKFGEIGKENASQDDDLVSMKNDHVDSTFADQETSPSDRLASEKMSGSTLGSLETQLFTLTHKVKYLESKLDEARAVLQARDSKISELETSVNGSRSRKEEPGSTAGLWQEKYREMEFDLEGLFQQRMEAEIEFLTLTSAVQKLKVSVGNHQLKVSEDQIPFAREQAWMSNKLGEAENTAAATPMIQARELEKSYGDALGTNNVLMMQRRVFKVTLCFFTQLVLLILVFVWVVLQLSSHSGMVVPT >KJB43367 pep chromosome:Graimondii2_0_v6:7:19556355:19557639:1 gene:B456_007G196300 transcript:KJB43367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEPEVPVTEQQPAAAEEPKPAEKPVKEKRSKAPKEKKPKQPKSTARPPYFQMIKEALLALKEKSGSSPYAIAKYMEEKHKAVLPANFRKILGLQLKTSAARGKLIKIKASYKLSEAGKKEKAPVTKAKTEKKAKPASKPKKAEATKKPTKRVGAKKKSTPAKAKQPKSIKSPAAKKAKKAAA >KJB43232 pep chromosome:Graimondii2_0_v6:7:18419408:18421803:-1 gene:B456_007G189400 transcript:KJB43232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSKKKASSPHHNPQSTALPLSSALTPLAAEPNLKTGSEPKVHQVTEEEQSGKASQEESLVKKEIFVIKHRKSHDRDKRSPPPQNPTQSTENEPASSASTEGGVVCNINAAVRTSGCTKEEVDAILIQCGRLSRSNSSGKTPSRKYSGSKRSYDFDCENDNDISAAASTDYGSRKKGNDNLREDDRPRHRQSSRSSSQGRRRTPSRERDQQQQRSGSRERVNGSGGGRRVSRSPGRRSENTEGTHGNNAANASNRPGKMVSVPATVSSLAMDKSSNGVEPSTTTANAIKRISVKRNVGEAGVVGVSRSAASPRSQSPARTNNLKGCNENQQQPTLSRSSSRKAEHSPYRRNPLSEIDPNSLAYPQSAATNKGQGGIKKSNVEMNHKASVQGSNHKAGSIATNVEDVKTQPPKVGADNLKPQQLTRSRSSRRSRDLDLNPEILLNPIPSSYTTLLLEDIQNFHQNNNSNPPQFSLPACVSKACSILEAVADLNSTTSSNLSGAFSDRKGLPTDGSNKNAYNNMTVERKMTEAGDPFVESEVIGSDHLMEPSFHKYVTVRRGGGGAEMEEQESSGSNSIAASGQQHWGFSSSSWEPNSADSTDRWSSRTKSRQEDYNSPLGLQRHAFAEAGSGMKK >KJB44859 pep chromosome:Graimondii2_0_v6:7:47218805:47221072:-1 gene:B456_007G276300 transcript:KJB44859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSPQNNPNEYPSRIVSSSTIATMATNNNSIISSSSNSSATATPNSSSTTMTPPPSTTPSRYENQKRRDWNTFCQYLRNNRPPLSLSMCSGVHVLEFLRYLDQFGKTKVHNQTCPFYGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEEHGGRPEGNPFGARAVRIYLREVRDFQAKARGVSYEKKRKRPKLKVPVPSSPQQQSSAPTPPTLADATAATSTI >KJB45548 pep chromosome:Graimondii2_0_v6:7:52525331:52528307:1 gene:B456_007G311400 transcript:KJB45548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSQFTSFILRVDIQTPGWENTMIKVLKGIPGATFSIDPNGITRVSGKVDPGKTLKLLAKAGKHAQLYCIDSRDGNQVSERRNYGHQFLDDHHGRYWQANHQYYHHPYDPIPRPPQYLPQPPMLPPPLHYFDSEPAQCTIIFGLRVDPQSPGWYESLTKILKTIKGATYTIDAEQGMAFVSGRADSKSILKKLRKSGLEVAWIKTGKPNIYSSHGHGYYQTNPYLQYPYQYCHPYGYY >KJB43300 pep chromosome:Graimondii2_0_v6:7:18886661:18888765:-1 gene:B456_007G192700 transcript:KJB43300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDLWNAPPGFRPSKSAPTSPAKPLGVLRSRSESFHAIHKVPVGDTPYVRAKNVQLVEKDPERAIPMFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQIALLKHKLYLIQQGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYIEAEDAYRRALSIAADNNKMCNLGICLMKQGRIGEAKETLRRVKPAVADGPRGVDSHLKAYERAQQMLQDLESEMMNKGGGDRVEQSRLFDAFLGSSSIWQPQPCKDPISLPKSNAVKPQDDFGDENINSNVTVNQVVIPQPKPVALPFGNSLNIDAPPFYSSKLVKEVKAPVVNQLHETLKRTRSGNSANSIRVNEMGGDCTKPLSEELEKPEIKTRRRLSLSTKEKGDKLADLLPDSQDFEEAIISAAVLGPANEAVTQRMFPKKTDKRLKVFQDITLSLSPRA >KJB42936 pep chromosome:Graimondii2_0_v6:7:16274706:16277513:1 gene:B456_007G175400 transcript:KJB42936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGMSLAMRVLMIMVMLVSTNQYCAADAVTKTKSMSSRWCDDSSNKECLQLSDDIETMELLMDSEASKMVFEASAATNFANSKNPTTRALDPSQAVCGRNTGKTCLPASNPGRKRFLGNMGDCRRILGGFNLAVLMVMVLLSTDRHCAADAVKKNKNIGSRWCGGSLNKEECLQLSNAMETMELLMDSEASKMVFEASAAINFAGSKRPTTRALVPSPAACDRKTGKRCVPDSNSGRKKPPNCSLYTRGCK >KJB45925 pep chromosome:Graimondii2_0_v6:7:56327510:56330285:-1 gene:B456_007G338400 transcript:KJB45925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLPISLFPFLLLIPAICFSVCDANSNLLCIQSEREDLLKFKNDLADPSNRLSSWVEGGDCCKWIGVVCHNSTGHVNQLHLAAPPLSPSALGGKINPSLLELKHLNSLDLSYNSFSSIHIPKFFSLLESLTYLNLSYAQFQGTIPHNLGNLSKLQYLDLRGNHHEQKILQWVSGLSSLQHLDLSGADLSKATDWVQVTLKLPSLLELHLSGCGLDNDPSSINVNSSKSLVVLDLFGNRFSSVPKWIFSLHGLVSIDLSRNSLEGPIPDYFGNISFLEVLDLSGNHLNSSIPNSLYSLNRLQFLSLWGNQLQGTISSAIGNLSSVTHLDLSLNYMLEGRLPTSLEYLCKLKEMDLSFNKIEGGISEILQSLSRCCLDSLESLDMTNNQLSGHLTDQLGQFKNLSYLSLAQNKISGPIPSSIGDLSSLKFFDISENQLNGRIPLSIGELSSLKLFDVSENQLNGVVLETYFSNLTRLTTLTAWIPPFQRESIELGNWHLGPKFPWWLKFQKKLSSLDISCAGISDVMPTWFLNLPTQFTNLNLSFNQLTGEFSFLNVTNFIDLSSNRFTGPLPRVPSKLTSTLQNSRDLIMIDLSENHFSGCVPAWIGDKLSSLVILSLRSNNFDGHIPHKICDLQFLQNLDLAQNNISGVIPKCFNNFSAMATKKKTKNEVSAPLVIDFPFYFKALLVLKGREDEYGSTLGLVTNIDLSANSLTGEIPKQIGSLVGLLSLNFSGNLLTGNIPDTIGNMEWMESLDLSMNRLNGEIPPSFSKLNFLNHFNVSYNNLTGQIPTSTQLQSFENLS >KJB43998 pep chromosome:Graimondii2_0_v6:7:28054650:28056863:1 gene:B456_007G228900 transcript:KJB43998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSAKWLSELGMDEYNIIHQCHMNTLAELTTTDDLATALVGGGNLKQSFSSESYSSYPNLYTKNTTTTISGSSSIETPDYRPAKHLKTTHHHHVPPKPSSPTSQILSFGNSNSLPATSHHHYYNVDNTVNPKDETLSSGNMNFLPPVTNGPYESTNYAPKINNHGVKRTYSMTRTPSVAQDHIIAERKRREKLSQRFIALSAIVPGLKKMDKASVLGDAIKYVKQLQERVKVLEEQTKKRTVESVVFVRKSQLSADDESSSCEDNNSELGPSSDAALPEIEARVSDHDVLVRIHCENHKGFVPKILSEIENLHLSVVNSTALPFGNSTLDITIIAKKDSEFNITVKDLVKDIRVALLKFM >KJB44969 pep chromosome:Graimondii2_0_v6:7:48413606:48414228:-1 gene:B456_007G282700 transcript:KJB44969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPWYRVHTIVLNYPGRLLSVHIMHTALVASWAGSMALYELVVFDPSDPVLDPMWRQYMFVIPFMTYLGIINSWGDWSITGWTITNLSIWCYEGVARAHIVFFGLCFLAAIWHWIVGIHLFLSREACFGFGAFHVIGLSGLGIWVSDSYGLTGKVQPVNPTWGVEGFDPFVSGGIASHHIAAGI >KJB45189 pep chromosome:Graimondii2_0_v6:7:50446608:50447056:1 gene:B456_007G294400 transcript:KJB45189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRDQDGVIRASKSTLHFNISSPFVAEAYACLEATKLGISMGIESVTIMGDLKTVIHKCQSTTKDKSVIGTIIHDIQSNRSRFQKIAFRFIQRTENGQAHILAKDALTKGEEVYLIAPEERRAQNPN >KJB43689 pep chromosome:Graimondii2_0_v6:7:23165997:23170744:-1 gene:B456_007G211900 transcript:KJB43689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGNSNQAGWLRIVYVVFAFCSALFLGALKGLLVGPIAALILIIGNLGVILGLLPAHIAWTIYTVVKTNRFDAPLKVALLIALPALFGIWLGLSIAGTVIVSVCYGFFTPWVSSFEAFRLDDESDRFFHCVVDGTWDTIKGSCTVVRDFSDLCFHSYPLYLKELRESPVSNEVRTLRLIHVPGLIVVGLLGLIVHIPIYTIIAIVKSPYMLFRGWFRLTHDLISREGPFLETACIPVAGLTILLWPIVVIGSIIMAIFSSIFIGLYGSVIVYQERSFKRGVAYVIAMIAEFDEYTNDWLYLREGTIFPKPSYRKKNGSEIEYSVGGLGGRFSSTTGEPPAMLMPTLARSVSVREAIKEVKMVQVWTNVMKSCEIRGKELLEAKVITSLDLCEWLKAKGSNEGAIIGVGLPCYSFLQTLLVSIRSGSNGLLMLDNVEINSLNRPKDKLLDWFFNPIMVLKEQIRVIKLGDGEVKLLEKLVLFGTNLERMDAWDNGSIVPQDSLRAAQMEGISRRMIGIARSISKLPTYRRKFRQVVKELITHASDKQDIPRCGSIKSTSSYEQV >KJB44739 pep chromosome:Graimondii2_0_v6:7:45227939:45228798:-1 gene:B456_007G269400 transcript:KJB44739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRLQLFVAAFAILAFSSLLASASDPSPLQDFFRIDYAPYGGLNPPLTHPRATEILVVLEGILYVGFVTSNTDNHLITKVLNPCDVFVFPVGLIHFQSNIGNAKAVAFAGLSSQNPGVITIVNAVFGSNPPINPDVLTKAFQLDKIIVTYLQSKFWWDNN >KJB42847 pep chromosome:Graimondii2_0_v6:7:15334596:15335945:-1 gene:B456_007G170200 transcript:KJB42847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRITKWKNLKQKLGLKAMGMGCCGATWNPRPRISTISILDEDEETPQSQQVITRTTTRFNVVNSATVPLLLARHHHQQQQAVPTPPTSASGMNLAMALAAERNLRGTNDNTNNVGPRPVQVKTLMRLIEETEGEDCWTQQEEQLKKKQRKEVVENEGGGGGSCDWMCCVCMERKKGAAFIPCGHAFCRVCSREVCLNRGSCPVCNRSILDILDIF >KJB44894 pep chromosome:Graimondii2_0_v6:7:47621852:47623805:1 gene:B456_007G278400 transcript:KJB44894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSMEKVEEANKAAIESSNRVLSLLSLPKDQLQYSNLMMKTSEAVFKFKKVVSLLNNDLTHSRVRKSKMFRSISPQKIFLESPNCRTILSPKPLQVYPSNLFQKPPLEVKPSQNFSFGHHQQQQQQMQQRLQFQQQQQQMKYHADMVFGKSNSGINLKFDGSSCTPTMSSAGSFVSSLSLDGSVANLDGNSFHLIGMPHLFGHISQHSRKRCSGKGQDGSMKCGTSGKCHCPKRRKLRIKRSIKVPAISNKVADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERSLEDPSMLIVTYEGEHNHSRLLSTHPAHT >KJB44950 pep chromosome:Graimondii2_0_v6:7:48266401:48269394:1 gene:B456_007G281600 transcript:KJB44950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDQLKRNMRPILHKPYGSMDLVSVKAPANHGSHSKDSNWWVVLNLAFQSIGIVYGDLGTSPLYVYPSIFSNGIKHRDDILGALSLVFYTLTFLPLVKYVFVVLRANDNGEGGTFALYSLICRYARVSLIPSQQAEDREVSNYKLELPSKRLKLASVLKSSLQKSQFAKIFLLLVTMLGTSMVIGDSIFTPSISVLSAVAGVKEVTSALTDDMIAGISVVILIFLFMIQRFGTHRVSYTFAPVLCVWFVLIGGIGFYNIIKHDKTVLKAINPMYIVEYFIRNKKDAWISLGGVVLCTTGAEALFADLGHFSVRAIQVSMCSMVYPALIFAYTGQSSYLRQYPDEVSDAFYKSVPGPLYWPMFVVSILAAAIASQAMISGTFSIVHQSLSLGCFPPVKVVYTSANHEGQVYIPAINYILMLACIGVTAGFKSTVKIGNAYGTAVVFVMTLTSSLLVLVMIMIWKTNIFLIILYILTIGFVELLYLSSVLYKFTSGGYLPLVFSAVLMIIMYVWNDVYRRKYHYELDHKISPGRLKEISANKNMSRIPGLAMFYSELVHGIPPIFEHYVSNVPALHSIIVFVSMKSLHINEVPPEERYFFRRVEPRELFAFRCVVRYGYKDVREVAFEETLVTRLKEFIQEEILLQNQMLSTIGNNAEKGTEPEGEIVERNNDVANAKQVEEEIMQQEIEQLQKEWEEAGIVHMIGESEVIAKKEANIMKRIVINHVYNLLKRNVRQREKVFDIPHKRMLKVGMTYQL >KJB41114 pep chromosome:Graimondii2_0_v6:7:6731202:6732875:-1 gene:B456_007G092300 transcript:KJB41114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFHRLNLLHFTLSLVVIAVNGHNITAILEGFPDYSVYNSFLTQTKLADEINTRETITCLVLNNGAMSALTSKHPLSVVKNILSLHVLLDYYDPQKLHKISDGTTLTTTLYQTTGNAPGNLGFVNITDLQGGKVGFGSAIPGSKLDSSYTKSVKQIPYNISILEISAPIIAPGILTAPAPSSSGVNITGLLEKAGCKTFASLLTSSGVLKTYESALDKGLTVFAPSDEAFKAEGVPDLGKLTNAEQVSLLEYHASPDYKPKGTLKTTKDPISTLATNGAGKYDLTVTTAGDSVTLHTGVGPSRVAEAVFDSPPVAIFTVDNVLLPSELFGKSPSPAPAPEPVSSPSPTPSLSPSPSPMSEAPSPLAASPPAPPTGTPVGSPADSPAGSSENSTSDNAAGHVSAPLLGTIIFTVFATVVSSVILS >KJB39659 pep chromosome:Graimondii2_0_v6:7:1705048:1705478:-1 gene:B456_007G024000 transcript:KJB39659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPKPYFEILPVILLVLILNLHDSIFTTFFYLGLPYFIEFDTTEDADHVFPLLQPPRAAAISHSWLSCYFSNLVDPPNNSAVGFKGFEAEDEIWRLMNCRHIFHRSCLDRWMGYNQKPCPLCRLSFIPLICKIL >KJB40836 pep chromosome:Graimondii2_0_v6:7:5642673:5644403:-1 gene:B456_007G079500 transcript:KJB40836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVTVTFLMLVFLESFKLSFCGRNHNVTCIQSERQALLRFKQHLKDPSNRLSSWTKNGDCCRWDGIICSNVSGHVIELHLGSSRGTRKLGGKLNPALLDLNHLTYLDLSDNDFRQTEIPTWFWNMSSNLSYFNISRNQFQGNIPDLLTMTQPSVLIDLSCNNFTGSLPLLSSNVTAIDFSFNSLSGSMSHFLCHKLNEPMKLEILNLGHNLLSGKIPECWKKWSRLVGIKLCDNNFSGKIPGSMGALTLLQSLHVRNNSVVGEIPSSLRHCGELVTVDFGYNQLSGDIPGWMGERLPKLIILSLHSNKFTGTLPEELCALSYLQILDLAHNNLVSEIPSCINNLSAMNSGNNSDDKIFYRTSKGSFFEDILVVMKGRVVNYDTTLKLVKTMDLSDNNLSGEIPEEVTSLAGLQSLNFSHSHLVGRIPYNIGAMTSLECFDLSTNNLSGEIPLTISDLSFLSHLNLSYNKFTGKIPTGTQLQSLNADSFYGTKLFGPPLSESSTDVRFGTGGVPKNREDQHQVDWFFLTVELGFLSGFFGTVFLLMLCKSGRLVHFQYVDETGHSLGRIIRKYIVK >KJB40818 pep chromosome:Graimondii2_0_v6:7:5537783:5539411:-1 gene:B456_007G078200 transcript:KJB40818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKGAPTFIFPRVAHCASIGREKDTVVADMDGTLLRGRSSFPYFALVAFEVGGILRLLFLLLASPIAGILYYFISESAGIQVLIFATFVGMKVSDIESVARAVLPKFYSTDLHPETWRVFSSCGKRCVLTANPRVMVDPFLKDYLGADLVIGTEIHVFKGRATGLVKNPGVLVGTNKADALENAFKDEPIPDIGLGDRKTDYPFMKLCKESYVVPAKPEVDPVSLNKLPKPVVFHDGRLVQKPTPLIALLIILWIPVGFLLACLRIAAGALLPMPLVYYAFWALGVRVYIKGNPPPPAKKSIGQTGVLFICSHRTLLDPIFLSTAVGRPIPAVTYSVSRLSEIISPIKTVRLSRDRATDASLIKKLLQEGDLVICPEGTTCREPFLLRFSALFAELTDELVPIAMANRMSMFHGTTARGWKGMDPFYFFMNPSPTYEVTFLNKVPYALTCSGGKSSHEVANYIQRIVAASLSYECTSFTRKDKYRALDGNDGTVVEKPKLSPSKVMGC >KJB43831 pep chromosome:Graimondii2_0_v6:7:25009406:25011781:-1 gene:B456_007G218700 transcript:KJB43831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLQNLLLLLQLLLLLPLSLAYAPPDNGQNFVGDLNSGVSFFVGQSSPVPHANPSSSQLYQSARIYRRPSFYEFVINENNTYFVHLHFFVSSSDSNVATAKFNVSALGFWLLSNFTLRNNISSPVIKDFLDFIPDTASLLTPVGSGISFDGLSSQVLHTVFRINVGGSTLTLINDTLWRNWIPDDSFLLNPMAARNNESSQLNFFNVTWSFNVSKSSSHFVRVHFCDIISVSLNVIIFDIYIYNKFSARINPYDMGLLAVSFYYDFVVDSDESGIMNISIVPRLDSPNQIAFLNGLEIMELIKKSDFVSWPRKPESNRTSLFAIVGSIGGGSSVIILVAIVLLSLKRRKAKAGQSSSWPFSGPFYAKSSSHNRMSEKTSNMLPSNLNLALRLSYHEMEQSTKNFDSNLVIGEGGFEKVYQGIFRDMKVAVKMSEPGHGQGLLEFQTEIVVLSQIRHCHLQGTLRDHLYYSTAGHDKSYSARSELSWKQRLEICVGAAKGLNYLHTGAAGGIIHRDVKSTNILLDEQFVAKVTDFGLSKSGIPDVEHSVDVKCTFELIDKSDVYYFRVLLLEVICARPAGMHWLRKGQLDNIIDPMLVDTINPNLFRKFAETTENCLKVHGSERPTMHDVLWDLEYALQFQLAPINRGPLEDSITNASLEFSMPVLR >KJB41559 pep chromosome:Graimondii2_0_v6:7:8298997:8299981:1 gene:B456_007G109400 transcript:KJB41559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTSYTEDDLNSSSTTTSETHQKHISKRGSTRHAVYRGIRKRRWGKWVSEIREPRKKSRIWLGSFPAPEMAAKAYDVAAFCLRGSKALLNFPDEVEDLPRPSTCTARDIQAAAAKAAYSVVSWNKSGVSAEEGNGHSGDDFWGEIELPELLNSGCSEWNSCGWTFAADSAWLEGEAQQQQHYFLACL >KJB42985 pep chromosome:Graimondii2_0_v6:7:16588892:16589140:-1 gene:B456_007G178300 transcript:KJB42985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQEKADLERIFKRFDANGDGKISSAELGDALKTLGSVTPEEVSRMMSEIDTDGDGFISYDEYIAFASANRGLMKDVAKIF >KJB45328 pep chromosome:Graimondii2_0_v6:7:51464804:51467152:-1 gene:B456_007G301500 transcript:KJB45328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSFIIGIIGNIISILVFASPIKTFWWVVKKKSTENYKGVPYITTFLSTSLWTFYGIMNPDGLLVVTVNGAGAIFQLIYVILFLVYAPKDKKVKSAKLVAILDVGFLGAVIAVTLLAFHGTMRLTFVGIICAGLTIGMYASPLSVMRTVIKTKSVEYMPFLLSFFLFLNAGVWSAYALLVKDIYIGVPNAIGFILGSAQLILYLMYNNKKSAEAIEEEEEEGGGGSAHLVKGGIEMHSVEDNLNNRSLNKWKSLPKPNFSRQHSMQKIIKTLSLTPYELQSSWPLHESDIEEGNPDLP >KJB40248 pep chromosome:Graimondii2_0_v6:7:3717305:3718543:1 gene:B456_007G053400 transcript:KJB40248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPATQSIETSTKSFQVEEDEQDKAVDECCSCCYECTGSIFDYLCCCDLC >KJB40479 pep chromosome:Graimondii2_0_v6:7:4644622:4650328:1 gene:B456_007G066000 transcript:KJB40479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGRYNRVDNNNNKSSSYCSTVTIVIFMGLCLVGIWMITSSWVVPVQNGDDPAEEKKNQLKDQVETVIVDSNDRDNKPQFEDKPNDLRKDGPEKNLDVSLAKDKGKANLAAEENQEKTEEAKWDKSKKDNQQFNSVGGEKNVYNSENLGGQGSENNNEKPHSIKDDKKSGSDYAEKKGDESTSRTYGDKVDKNDDKVSDKRSADQVKGKSSNEDSPKGDKVFDKSFVDQVKKSSNEVFPKGDKVDKNNDNGFDKSSDSQVKKSSNEDAPKGDKVFDKSFDDQVKKRSNEVFPKGDKVDKNNGNVFDKSSDSQVKKSSNEVFPSVAQSELLNETMIQNGSFTTQAAESNKEKNAESSSNSSSKDYSWKLCNSTAGPDFIPCLDNCEAIRNLPTNIHYEHRERHCPEVPPTCLVPLPEGYKFPIEWPKSRDKIWYKNVPHSKLVEFKGGQNWLKITGDYLTFPGGGTQFRRGALHYIDFIQKAVPDIAWGKRSRVILDVGCGVASFGGYLFERNVLAMSLAPKDEHEAQVQFALERGIPAVSAVMGTKRLPYPGTVFDIIHCARCRVPWHIEGGKLLLELNRLLRPGGFFLWSATPVYQLKAQDVEIWKAMIEQTKAMCWELVNKTSKEPINKVAIATFRKPTSNECYEQRSQQEPPLCPESDDPNAAWNVPLQTCMHKVPLDPSERGSKWPEEWPARLEKSPYWLLSSQVGVYGKAAPEDLAADNEHWKQVVTKSYMQGMGINWSSVRNVMDMKAVYGGFAAALKDMNLWVMNVIPVDSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHIFSRVKKRCNFVAVVAEVDRILRPGGKLIARDDVETITELENMVRSMHWEVRLSYSKDKEGLLCVQKSMWRPTEVETLTYAIA >KJB44461 pep chromosome:Graimondii2_0_v6:7:41219215:41221014:-1 gene:B456_007G254400 transcript:KJB44461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLFLVKKLPLLVNVSFVFLLFFFIITYDFLGQSNNEQIPIINSHSLIPHGSNNDSCASLHDFTDYKHKCLYVRSEIGCRPKGYINYLQIFYCTCGRFPILGHLVLFIWLFVLFYLLGDTAAKYFCTSLESLSKILRLSPAIAGVTLLSLGNGASDVFASIVSFTRSGNAGVGLNSVLGGAFFVSSAVVGVISITISHHRIPIDEPSFIRDILFFLLALSTLVFIIFIGEITLWGAISFLSIYFLYVCAVSASHFFNKKKERNMESVPVSSVSNSNDNIGEVGIPLLGYASDEKSFMVDKGAHENQDETTKFFNFDSPSFYYFGKFLDLLELPLYLPRRLTVPIVDEERWSKPYAVISVTLAPLMLAELCNSQREKTMGSKTSLVTYMIAGLVGMVLGNLAFVTTKKSSPPKRCQLPWLIGGFLMSVTWTYFTAEELVSLLVSFGNILGISPSVLGLTVLAWGNSLGDLISNSAMAINGGADGVQTAISGCYAGPMFNTLVGLGVSFVWSSWSQYPSSFEIPRDPSLYETLGFLMAGLLWALVILPRKNMRLDRFFGGGLLIIYFCFLFLRLARALGLLKLYEAFPPHTLKWWSSSL >KJB41495 pep chromosome:Graimondii2_0_v6:7:8038035:8039384:1 gene:B456_007G107000 transcript:KJB41495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTPGMGHLIPLIGFAKDLVHSHDLAITLIVLTIGPPTNAQKDLLHALPGTIKPILVPPVSYQPEMNAFFAITRSMSSIRHLFKSLVDSNRPRALVVDLLSTDVLDVAMEFNIPSYVYFPSSALSLALMFDLPTLDETVSCEFKDLPELMKLPGSVPVHAKGMILNSFKDLEPGTIEALQLEEPDKPAVYAIGPRLQTGSSGGIDESECGKCGASGLEMSEQRFLWVVRPPNEISAMGSYYDSQNNKEPLSFLPQGFLDRTEEKGLVVPSWAPQMEILGHGSTGGFLTRCGWNSVLESIANGVPMIAWPLYAEQRMNAVLLTQGINVALRPTVNQKGVVEREEIARVTKCLMKGDQGLIIREEMSKYKDAAAKAVSKSGSSTKALSQLVVKWKEDNNI >KJB43116 pep chromosome:Graimondii2_0_v6:7:17721798:17723580:1 gene:B456_007G184700 transcript:KJB43116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQVLPLLTKKYIWSSEEEFSSEEEQSEEEISKEDVSDEAKSFLEIPKLHVEKILLNCIRLNERQHLLEVEMKERKAEMKERKAKMKELKAEFEKANHLLEILTEGYSDLLISLVRDDLHWRDLIICSKVSALVPESEVEDESINEIRRLHVQNVKGELMKLGETKESLEKEMMELSQELNGMKEHIRTKKHMFATLEKTHPLTFRGPLDDGQIGLDDDEQVGSDDGQFSIRSPHLRAFGSKRSKNRADFRSHTSWALPHGLDMQSYRIPRFASEIHGKHNF >KJB45782 pep chromosome:Graimondii2_0_v6:7:54693353:54699995:-1 gene:B456_007G3277001 transcript:KJB45782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSFLPPFMFGLLFATFGVILSAKYSSITVDQSALLALKSHITHDPHNFLATNWSTSTSVCSWIGVTCGSRHHRVTALNLSSMDLTGTISSQLGNLSFLAWLDIHQNSFQGSLPIELTNLRRMNYLDLGNNSFNGEIPPWFGCFSKLRRLSLYLNNFIGVIPSTLGNLSKLERLSLGWNQISGRIPNSLFKCKELKFLSLFNNSLEGSIPTEIGNLTLLETLSLGDNHLKGEILSVIGNFTSLVIITLFNNNLKSEIPLVIGNLTSLQTLYLYNNKFTGEIPLVIGNLTSLQSLGLDNNKFTGEIPFVIGNLTSLQFLDLGNNKLTGEIPLVIGNLTSLQTLSLYNNTFTGEIPLVIGNLTSLQSLYLSYNKLT >KJB45783 pep chromosome:Graimondii2_0_v6:7:54693353:54699995:-1 gene:B456_007G3277001 transcript:KJB45783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSFLPPFMFGLLFATFGVILSAKYSSITVDQSALLALKSHITHDPHNFLATNWSTSTSVCSWIGVTCGSRHHRVTALNLSSMDLTGTISSQLGNLSFLAWLDIHQNSFQGSLPIELTNLRRMNYLDLGNNSFNGEIPPWFGCFSKLRRLSLYLNNFIGVIPSTLGNLSKLERLSLGWNQISGRIPNSLFKCKELKFLSLFNNSLEGSIPTEIGNLTLLETLSLGDNHLKGEILSVIGNFTSLVIITLFNNNLKSEIPLVIGNLTSLQTLYLYNNKFTGEIPLVIGNLTSLQSLGLDNNKFTGEIPFVIGNLTSLQFLDLGNNKLTGEIPLVIGNLTSLQTLSLYNNTFTGEIPLVIGNLTSLQSLYLSYNKLT >KJB40417 pep chromosome:Graimondii2_0_v6:7:4370118:4371564:1 gene:B456_007G062400 transcript:KJB40417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNKPRCRRSSTLVLLWIIVFWHQILSVVCERVQQQFNNEDQKLSFFQLLSTSIDLFKRSHLSFWQNFKSVIYQFQLHFAPPNLDFRRRDTIEGKESESVRENMKEAVKKSIRTGEAALKGTAKSAAKVVQKTADKVKKKKNTVSQSHDEL >KJB45929 pep chromosome:Graimondii2_0_v6:7:56402323:56404854:-1 gene:B456_007G338800 transcript:KJB45929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GAIPHNLGNLSKLQYLDLGDNNLNSKTLQWVSGLSSLQYVDLSYADLSKATDWAQLHLPDCRLEDDPFFNSINSSKSLAVLDLPRNSFSSVPKWIFSLRGLVSIDITGYSLEGPIPDYFGNISFLEVLDLSWNNLNSSIPNSLYSLNRLQFLSLSETQLQGTISSAIGNLSSVTQLDLSSNQLNGQIPLSIGQLSSLEEFDVSYNQLNGQIPLSIGQLSSLKKFDVSYNQLNGQIPLSIGQLSSLEEFDVSENQLNGQIPLSIGELSSLEVFDVSKNQLNGTFPLSFGRLESLETLDCGYNLLEGVVSETHFSNLTRLTTLAASHNRLRFEPNSSWIPPFQCGRIEMDHWHLGPKFPQWLKFQKKLSYLDISYAGILDVMPTWFLNLPTPFEYLNLSSNQLRGEISYLNIGDKLSNLEILSLRSNNFDGHIPHKICDLQFLQNLDLAHNNISGVIPKCFNNLTAMATTNKTDNFVIVRDPNAAASFFLNALLVLKGREDEYGSTLGLVTSMDLSANSLTGEIPKEIGSLVGLLSLNFSGNLLTGNIPDSIGKMELMESLDLSMNRLNGEIPPSFSNLNFLNHFNVSYNNLTGQIPTNTQLQSFENLSFLGNHLWGPPLTKNCTSKGIPIDVANNGSSREGSKVNWLYVSIILGFVMGFWGVVAPLFFIRSWRHAYYRKLDHVGRKLYVSWATMGM >KJB46065 pep chromosome:Graimondii2_0_v6:7:57746505:57747412:-1 gene:B456_007G347000 transcript:KJB46065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLLAYFLIPAFVLNILNQTSRGGEGIAFLINDNSSLPDNSQGWWLGMVNANTNGSSQASVAVEFDTRKSDEQDMEGNHIGLNINRIQSTKQVSLSNYDVYISAGGQDLRPVDLSTYLPQKIFMGFFGSTSNETELNCVKSWAFSDTDIGGNGNQLWVWIMVPVASVGILVGAAVCLCLRRVYKEERFSGSRCSTSREHRT >KJB46198 pep chromosome:Graimondii2_0_v6:7:58257722:58260388:1 gene:B456_007G352300 transcript:KJB46198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPENFELYRFLAENGVGSYGGFPSGSEFPAMQSFSSSSTYYPLEMSGVTTETTPHDKALAALKNHKEAEKRRRERINSHLNRLRALLPCNSKTDKASLLAKVVQRVKELKEQALETTELDNLPSETDEITVLSCDYSGDGRLIFKASLCCEDRSDLLPELIDILKSLHLKTLKAEMATLGGRIRNVFIVAADKDHSIESVHFLQNALKSLLERSNPSDRSKRRRVLDHKIIM >KJB45174 pep chromosome:Graimondii2_0_v6:7:50408902:50409711:-1 gene:B456_007G293900 transcript:KJB45174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIFLGLCHRLYNFITKYLATYAMKSVTLGDVSHQASAQLPSPGAESAISSSMTDQATHPVAEATPVEDSSLQAKVEPEPMYNHSESGIPSATSNEEKNEDVIEAAMSEARPPKKMVSINDTVEEMTATASKKKSKKKKRAEKMGSFDQEIEEPKPLKSILKVGSKIDYESNSLGST >KJB40819 pep chromosome:Graimondii2_0_v6:7:5572537:5575732:-1 gene:B456_007G078400 transcript:KJB40819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLRTYYTQFRHNSNQAMERKWILPLALGSIVSLFLLFLTTLTSFDGSPFLFFYHPSAVLGGSSPFVESQLKPIPVSTLPPPPRFAYLISGSAGDGLMLRRTLLALYHPLNQYVVHLDREASSEERLDLQKFVKDHQVFNKFGNVRMIVKANLVTYRGPTMVANTLHAAAILLKEGGDWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFIDHTSNIGWKEFHRAKPIIIDPGLYSLKKADVFWVTQRRSVPTAFKLFTGSAWMALSRSFIDYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNAQEFRNTTVNSDLHFISWDNPPKQHPHYLRLNDMQRMINSNAPFARKFPRDDPAALDKIDSDLLSRGPDMFTPGGWCVGSGKNGSDPCSFIGNTTVIKPGPGATRLETLISSLLSDNNFRPRQCK >KJB39037 pep chromosome:Graimondii2_0_v6:7:59019802:59020256:-1 gene:B456_007G359100 transcript:KJB39037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKVSTAIIVAAASMSAVMAADAPAPSPSAGGSIPSSSPGSAPASGPDSSVAAAALPVLGSLVGASIVSLFSYMLHV >KJB41558 pep chromosome:Graimondii2_0_v6:7:8526352:8528062:-1 gene:B456_007G111400 transcript:KJB41558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGEEYREEMGEPFSSVVQVTPTKKKKNKNKRRFSDEQIKSLELMFESETRLEPRKKLQVAKELGLQPRQVAIWFQNKRARWKSKQLERDYTILQANYDHLASKYESLKREKQALLAQLQKLNDLIKKPKEEEQCCGQVNGMRCSEGASDKGETTVKSDSEGQLSLSMGRSEHALGALSDDDSAIRTDYFGLEEEPNLMSMVDPADGSLSSPEDWRSLDSDGLFDQSPCGYQWWDFWS >KJB43233 pep chromosome:Graimondii2_0_v6:7:18466788:18467828:1 gene:B456_007G189500 transcript:KJB43233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPPISDVHQPVRSISLPSRVHPTCVKLEAALNHLKAWKTSSISTSTAGFSGETIRIGLVDLADLYNCVRETITSPQTQRSLVQYQNGRLVEEALDESVTFLDTCGKARDLLLAMKQHVQTLQSALRRRRGDSSIETQIAAYINFRKTVKKEVAKCLGALKKLERRFVSSSTPLDVDPHLLMVVKVLRETTSITISVFQSLLLFLSVPSMKTRVGGWSKITKLIPLLSSEREHKVINEVGAVDLAFCSINGQLKNGGGMVEVDMLQRTLKAVGATIDGFETGLDCVFRCLVQNRVTFLNIITHQ >KJB43995 pep chromosome:Graimondii2_0_v6:7:27853418:27855168:-1 gene:B456_007G228500 transcript:KJB43995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATTTQTHPPNPLLKAPKGPTRSKLVCFSFAAYSKTIIDHLKSLHIPVFSGLTDQEFSSIESTFHFTFPPDLRSILQEGLPVHPSFPNWRSSSPQQLIILLNLPSLSLYRNIRFHNFWSDSWGSKPSNTNDALALVKDLLRNAPLLVPVYRNCYIPSTPNLSGNPVFYVDTEEIRILSFDITRFFQELELSTRGGVSKPFMRKKANSVNINVPAWAATAPRRIEFWTEVAGRGRRTVARGVTGGWWNRDEVEREGESRLGACMEEEFWRLREGGWNEEEVREMMMMIDGSDHNENKEMSGTQLVMDGEDAAWHVRVLSVVLLRAGWSREDVVYSLDLHDVIDDNDDDESMN >KJB40481 pep chromosome:Graimondii2_0_v6:7:4661172:4661630:-1 gene:B456_007G066200 transcript:KJB40481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPDQVASCTYIWAIACILFICVLAGGGCLLCYIFVPEFQSSKLLPVLGFIFISTPWVFWILTAVYRLMSRAFGFRMVFGCLYGNNTAKVASVGDGDTAKDVNDIGDAKIVDVNVKSLENEADSVGQEKETMIRMKRHWPHPSQHDVKNIW >KJB39718 pep chromosome:Graimondii2_0_v6:7:1857429:1859134:1 gene:B456_007G0270002 transcript:KJB39718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKFAAYWLPFCRKNGITTRSPDEYFASNYSRTIEAEKIKVMYESMKFRKENVVETGRVDDEYITNDKDHQAFSKWRTDHGFTRQNHPSNSDCNMYSNDPRTPFLAFCYVLDPEIRNRLAYIQFPQEFHGLNETDSYADGLSGPNYMGTCCFIRRRSLFGDPLTLVSPEIRELSPDYVVNNPITSPSVLEVAHRVAGCNYENQSKWGSKITCG >KJB46163 pep chromosome:Graimondii2_0_v6:7:58110934:58115639:-1 gene:B456_007G350900 transcript:KJB46163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDGQDYVDKSRVLNVQPLRCLAPIFPSTASFPSFPHPQAASPFMCPPPAGHFPPGFASFYPFSGYPDSHNMQTPMPFGFNGSIPAVPINSFRSGANGDAGSSGRSSRNPGPSQYEEEDDYSDWVHVNEGEDSSKAATKKKRIPKRVRAGSGQDINVASSDVDVDAMVDKIVESFNLMDFDAFKRADGDKDSVGYIRMIYDLLRRKLSQIEDSKESTPGVTRRPDLRAGTILMNKGIRTNVKKRIGVVPGVEVGDIFFFRMEMCLVGLHAPIMAGIDYMGLKVSQDEEPVAVSIVSSGGYEDNSEDADVLVYSGQGGNINNKGMEITDQKLERGNLALEKSLHRGNEVRVIRGVKDIANPTGKIYVYDGLYKIQESWVDKGKSGCNVFKYKLVRLSGQPEAYTVWKSVQQWKDGSTGRVGVISHDLTSGAESIPVSLVNDVDDEKGPSNFTYYPGLKYLKPVNSNESSTGCGCHGGCLAGNSSCPCIQRNGGNLPYTTNGVLVSQKPLIHECGSSCLCPPSCKNRVCQSGLKIRLEVFKTKDKGWGLRSWDPIRSGAFICEYAGEVIDITSAEELRCVNDDDYIFDATRTNQSGEGFLNASNETLKIPFPLIINAKHAGNVARFMNHSCSPNVFWQPVLRENSKECDLHIVFYAYRHIPPMAELTYSYGIVPPERADERRKKCLCGSAKCLGYFY >KJB39734 pep chromosome:Graimondii2_0_v6:7:1934575:1935937:-1 gene:B456_007G028300 transcript:KJB39734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLLRYCSFFFFIFLCFYPRSCFPARITPEQITVITTDDTHKSTWFNFTRFKGADKDSHVINGMSELKKYFQRFGYLSIPDGQDGNFTDVYDNQFESAVILYQQKLGLRVTGKLDSDTISTIMSPRCGDTDTAPGMIHATKHFTYFDGKPRWNAKSGSDMPMTLTYAFSTSDMINYISSSEIRTVFNRSFTRWASVIPVNFMETEDYESADIRIGFFGRDHGDGEPFDGVLGVLAHAFSPENGRLHFDEAETWAVDFNKVKSKAAVDLESVATHEIGHILGLGHSSVKDAVMYPSLSPRSRKVDLKLDDVKGVQALYGSNPNFKFSSLWESEISSNKGNGLVCRSSKWTFALVVLVFFIL >KJB43709 pep chromosome:Graimondii2_0_v6:7:23295075:23296661:1 gene:B456_007G212900 transcript:KJB43709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVAYLCWSNLHLMELIPLYQTPYARSLLKILQNTLTNRCLLLFSLWTLTVLLMMLTILAGFSIVNIHPPLAFGSSPNLEALALEANKSKDQDGDSSLLDSSHISRYLTIYLCLNMSLGEG >KJB45094 pep chromosome:Graimondii2_0_v6:7:49630805:49632455:1 gene:B456_007G289900 transcript:KJB45094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPMRFLLVFFSAILAGYFAWRTVRSSSDIDGQVSEDSEKIIVKDKQEFSFKRMVQNGFWVFVDMASGKYLWRNFKELKNDKKMKNS >KJB45801 pep chromosome:Graimondii2_0_v6:7:55055242:55056834:-1 gene:B456_007G329200 transcript:KJB45801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITVDQSALLSLKSHITHDPHNFLATNWSTSTSVCSWIGVTCGSRHHRVTALNLSSMDLTGTISSQLGNLSFLAWLDIHQNSFQGSLPIELTNLPRMKYLNFGNNSFNGEIPSWFGCFSKLQRLSLYLNNFIGVIPSTLGNLSKLETLSLGGNQISGRIPNSLFKCKELKFLSLLSNSLEGSIPTEIGNLTLLDTLDLGDNHLKDNLSKFQVLKLRGNKISGRIPNSLIKCKELTFLSFYNNSLDGNVPMEIGNFTL >KJB44424 pep chromosome:Graimondii2_0_v6:7:40083231:40084164:1 gene:B456_007G251900 transcript:KJB44424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTTQASVRPPQRLYKVLRMGNIETIFSSSIATVFFYGLITTPIELFGPTRYQWDQGYFQQEIYRRVSVGLAENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRVGSIDHGDGIALGDGRKLFVCHMPTFFVTFPIVLVDGDGIVRADVPFRRAESKYSIEQVGVTIEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKFDGVFCSSLRGWFTFRHALFALLFFFRHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQVV >KJB39230 pep chromosome:Graimondii2_0_v6:7:269532:270732:-1 gene:B456_007G003100 transcript:KJB39230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPISFLLLLRFISFLPQPFLGIDYHRTTTNNFCFFSGSGWQPLLLKTNNFAISERFKYRSRKFSYLGGHRLSYCCLAVSNSTFQLLPSSLREPPHIAGIETSIKLNMPPDVVTSDLSRTLLLADLDPATAKLAIGILGPFLSAFAFLFILRIVMSWYPKLPVEKFPYVIAYAPTEPFLTATRKVIPPLGGVDVTPVVWFGLVSFLNEILVGPQGLLVLISQQVS >KJB39229 pep chromosome:Graimondii2_0_v6:7:269496:271025:-1 gene:B456_007G003100 transcript:KJB39229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSHLLRNVFIKGSGWQPLLLKTNNFAISERFKYRSRKFSYLGGHRLSYCCLAVSNSTFQLLPSSLREPPHIAGIETSIKLNMPPDVVTSDLSRTLLLADLDPATAKLAIGILGPFLSAFAFLFILRIVMSWYPKLPVEKFPYVIAYAPTEPFLTATRKVIPPLGGVDVTPVVWFGLVSFLNEILVGPQGLLVLISQQVS >KJB46182 pep chromosome:Graimondii2_0_v6:7:58167225:58171678:-1 gene:B456_007G351600 transcript:KJB46182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTSTTTTTTTAAAVSGPVNDKELAVDPFLVEALQNPRHRLTILRMELDIQRFVQSSEQQQFEFQHFPTSYLRLAAHRVAQHYGLVTMVYDNGLDGLGNRIVAKKAGEIKWPGVCLSEIPAKNSENDKPEHVKIAIKPRPNNGSVNEANQIGIKRSPVRSVEERKEEYDRARARIFSSPSTPDSDDSSPQNSVDGKNESLIRDEFENYRSSMVDPEKNMTVRDGTSRVAIFRDREKDRTDPDYDRNYQRYVRSIPCNQSVGVAPYNMQKIQLPFMQYDSAFPQLGQIANTQAPLGYGVPSRPAVSPFCELGLNPTSRDGAYMQWPSANMMFSHSYDQFMHAVFQAPFVHQPPLSYDYSMNG >KJB41808 pep chromosome:Graimondii2_0_v6:7:9703609:9705585:-1 gene:B456_007G122500 transcript:KJB41808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWFCSARLFIFLFIVSALPIAYIIYQERAETDHHVFHYHSSGFFRESAKWDDQSRSFLVTFLEGGVGEIHVPQNYTRDVVLKEVTVVKDSDLTGNASLGLALDRPRNRLLVAVADMFGNRYSALAAYDLSTWKRLFLTKLSGSGDEKSLADDVAVDADGNAYVTDVKGSKLWKVGVDGEILSTLTNPLFTPKEWYKSLAGLSGIVYHPDGYLIVIHTLGGYLLKIDLAKGDEVKLIELAGGPLSFGDGLELISPTKLVVAAGNPSGRLVESTDGWETASVVAKFKGPMHRFATAATVKDGKVYLNHMVGMGYPKKTHALVEFVL >KJB39995 pep chromosome:Graimondii2_0_v6:7:2895495:2896775:-1 gene:B456_007G041400 transcript:KJB39995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRKRTAADILLYGCKDLGFAPHVVYWKQIKKISVVELLNHQRVQSFQFVREEEVEVVIDKIRNVCLKGESINLTETLALVSNNIISRCVLSQKSEEDDDGQCNKFWSLSKRLMVIFEGFCFGEALKSNKKDIISIIFQLQKDGMLDIDLTQDNIKAILLDMFLAGIDSSASTVEWTMAELLKHPTAMKKLQEEVRNVVGNKPKVEMEDINKMSCLKFAPLLLPRHTSATVKVGGYDIPSNTTVLINAWAIQRQPKWWEKPEEFIPERFENNPINFIGEDFQFIPFGVGRRSCPGLQFGVASVEYMIANLVYCFDWKLADGATADNLDMTEPYVIAVYRKSPLHIRPLARFRTL >KJB41938 pep chromosome:Graimondii2_0_v6:7:10309385:10319420:-1 gene:B456_007G128700 transcript:KJB41938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSSSSKRPLSTPATSLPTSSKRSKASEPASSSTNGAAASGPVNEALGPPKESGSGSRVTELRSSDLPVSDAAKAVDASFPDKSADVDVENGALVSPRSLGEAAVDAENAKVVSAGFTARVKKRPTKPAKSGSKVPWGKLLSQYSQNPHVVMCGTIFTIGQSRQCNLCLKDPNISTVLCKVKHIESDGNSIALLEITGGKGSVQVNGKVYRKNASLILNAGDELIFTSTGNHAYIFQQLTNDNLAAPGIPSSLSVLEAQTAPIKEIIEARSGDPSAVAGAATILASLSTKENSEMSTLPSGCEVSDDRVPEVDMKDSASNSDPATASSREKTVPPTPDAANENSNLDRLGLDDSMDADNTKIPGAGYSLRPLLRILAGTSTDFDFSGSIAKILDERREIREMLKEFEPPSALISTKRQAFKDSLQEGILNPDNIDVSFEKFPYYLSDTTKKVLIASTYVHLKCNKFAKYASDLPIMSPRILLSGPSGSEIYQETLAKALGKHFGARLLIVDSLLLPGGSTSRETDAVKEPSRAERAYVYAKRAAQAAALQQKKPTSSVEADITGGSSLSSQALPKQEVSTATSKSFTFKKGDRVKFVGTTSPSGFSSLQPALRGPAIGFRGKVLLAFEENGSSKIGVRFDRSIPQGNDLGGLCEEDHGFFCAASSLRLEASGGDDVDKLAVNELFEVAVNESKCSPLILFVKDIEKSMAGNTDVYSSLKSKVENLPANVVIIGSHTQMDNRKEKSHPGGLLFTKFGANQTALLDLAFPDNFGRLHDRSKETPKTMKQVARLFPNKVTIQLPQDEALLLDWKQQLERDIETLKAQSNIVNFRSVLNRNGLDCPDLETLCIKDQTLTNESVEKVVGWALSHHFMHASEALIKDAKLVVSTESIKYGLNILQGIQSESKSLKKSLKDVVTENEFEKKLLADVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEDLSPNVDLETIANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKERASAAAENRPAPTLYSSADVRPLKMDDFKYAHEQVCASVSSESTNMNELLQWNELYGEGGSRKKKPLSYFM >KJB44466 pep chromosome:Graimondii2_0_v6:7:41282738:41283823:1 gene:B456_007G254900 transcript:KJB44466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDMCNSSVVSTSHDVEESCDDEFQQLVQTFPKDKSFTGMNLYFFQGFWCPSIILKAVISCQKHFQAFNSDIIIATLPKCGTTWLKALTFSTLYRNQFARENNPLLTYNPHSLVRFIDFDFYFNDTCPDLENYTLYQPRLFSIHLPYASLPTSIKDSNCKIVYLCRNPMDTLISFWSFLSRLRGEGFEPVSLDEAFEMFCQGINEFGPFFDHVSGYWRASQEKSSKILFLQYEDLKEDITSHLKKLAMFLGVPFTEEEEKQGVVEEIAKICSFENMKDLEVNKKGEQTFGYPQETFSGKTKTIEIPREAFFRKAKTGDWSNYLTPSMAERLEKLIQEKLENSSLTFKLFSKTSKDITST >KJB41904 pep chromosome:Graimondii2_0_v6:7:10119253:10122485:-1 gene:B456_007G127000 transcript:KJB41904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPSARSKTLLVLLPISALVLVLLSFVALLTNSPRATQLQHLHVHNHLQIAHSACEATLYPDLCVSTISVLPDLASKSLPELIQATLNQTMYEVRLSSANCTGIEKRIKSYSKREEAAINDCLELFDDTLEELKVALADLAPKKLAVSRNYHDLQTFLSAAMTNQYTCLDGFARSKGNVRNIIKKGLHNITHHVSNSLVMLKKVPGVNKSKSEYFPQQGRLKNGFPSWLSRKDRKLLQASVNETEFDLIVAKDGTGNFTTINDAVAAAPNNSNTRFVIYIKAGSYLENVEVVKKKKMLMFVGDGIGKTVVKASRNVVDGFTTFRSATVAVVGEGFIAKGITFENSAGPSKHQAVALRSGSDLSAFYKCSFVAYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAVVFQDCNLYARKPNPNQKNIFTAQGREDPNQNTGISILNCKIAAAADLVPVKSSFKTYLGRPWKEYSRTVIMRSYIDDSVDPAGWLEWNGTFALSTLYYGEYLNRGPGSNTSARVTWPGYRVINSSTEATQFTIEPFIQGNEWLNSSNIPFALGLN >KJB40191 pep chromosome:Graimondii2_0_v6:7:3543318:3544426:1 gene:B456_007G050200 transcript:KJB40191 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP112 [Source:Projected from Arabidopsis thaliana (AT2G18260) UniProtKB/TrEMBL;Acc:A0A178VPA2] MNDLMTKSFLSYVDLKKQAEKDLESELDVEKGVGRLNPTDEMNLSKFFQEVASIKITMEEITNLVFDLQTLNEDTKSTHSAKVVRGLRDRMESDTVSILRKAKIVKARLESLDKSNANNRRLSEAYKEGTYVDRTRISVTNGLRANLKQMMNDFQALREKILSDHKEDLKRRYYTVTGEFPTEDMLEKAVSGSENDRFSLKETVEMDLKNKERHEAVMDIQRSLQRLHQVFLDMAVLVETQGEKMDDIEENVANAGNFISGGTNNLHYANQMKKKKAWVYWVWGVIVIILVVCVISMLILYDCLEETST >KJB43669 pep chromosome:Graimondii2_0_v6:7:23105206:23107272:-1 gene:B456_007G211600 transcript:KJB43669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSDVMPSTYGRRITVLSIDGGGIRGIIPATMLSFLELKLQELDGEDARIADYFDVIAGTSTGGLIAAMLTAPDENGRPLCKGEDIVPFYLRHGPKIFSRKNYKKMTMKMDALMRPKYSGKHLRKTICMVLGDRRLHETLTSVVIPTFDIKLLQPTVFTTFEAKMDPSKDALLSDICIATASAPTYFPAYAFHTKYSEGTDREFHLVDGGIAANNPALLALKPTGVAFPSEQEHEVSQGQALNYENHLIISLGTGTSKMGKKYDAKTAEKWGILGWLYSEGSSPLVDAFTYAGADMVDLHMSLIFKAIKSEHNYLRIQDDKLSEDESSTDKATKKNMRNLVEIAEKLLHKPVSRMNLDSGIFEPADNEGTYAEALSRFAKLLSEERRLRKAEIGKQL >KJB39498 pep chromosome:Graimondii2_0_v6:7:1287731:1289216:-1 gene:B456_007G016800 transcript:KJB39498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRDHHHPSSNELILQQYSQAPPPGKNNSNNSSSNKRRFTDDQIRLLESIFESETKLEPKKKLQVARELGLQPRQVSIWFQNRRARLKSKQMEKDYVTLRDNYDKLVSRFEMLKEEKQCLISQKEKLSEMLAESETTGVNNKVSKEGSTEHEAEPGSSFKQEDDGVLGLETEKGHREIEDAVKHGDELMSMDEYRDYDDQFDHLCSRWQHWLNFWT >KJB46341 pep chromosome:Graimondii2_0_v6:7:59316102:59316254:-1 gene:B456_007G3613002 transcript:KJB46341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLERKLLEGAMAYLWLQKPLEACFARLNIMENGKEYMRVRYGTYQKSSVA >KJB42221 pep chromosome:Graimondii2_0_v6:7:11907536:11909666:-1 gene:B456_007G142900 transcript:KJB42221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFGLSNQINSDPSRVTRKKPVSISGAIINCHSNFQTLETAHTLSPAPRPWDFLVLFTDSYLAMADVEGDVAAPGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFQRGLKRKPMALIKNCARLSGKHHPERSQSLLGHISAT >KJB43118 pep chromosome:Graimondii2_0_v6:7:17737913:17740296:-1 gene:B456_007G184900 transcript:KJB43118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPSSAPKLRLMCSYGGRIIPRPQTKSFYYSGGENRLITIPTTDNTPLTLSSLATHLSTFLRLATPFVLKYQLPDHDLDSLISISTDDDLQIMLEEHKRLSSSSTASPSRIRLFLFPVSSGDKAELTHPKRESWFVDALRSARVGFGGESGEQAESILLETSSSFGSTSSSLSLSSLPPIKPSPDSIPSDECVGSAVSNVQSGTFQDQVGPIAAMENKLCSNPFEADKKMADPSSGIEMHKPIHASGFPVNLMHLPPQQTQVIHEDTRYVQPNMPGMQPVTSYYPVYYPVPQQQQQQHHHLHYQSNQPRPMYYFPVAPAQPYTVPVQHSIVHATGIGVGQPQAHPNASLGPTQMVFKEVAAVPQPAAELTSQQCQKIPAGHQLINVPHTETETRHAGAQGQHQPQSFGVAAGETANYANKLDDDPARVQIYKSQPPPPMLPSQYQTMTKATTLLLSEALAKLHTDNAEQQITTSEP >KJB45852 pep chromosome:Graimondii2_0_v6:7:55573968:55574857:-1 gene:B456_007G333000 transcript:KJB45852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLCDKGKVDEAFELMGRMETEGIDADAVSFNILISGLRKQGRIDEGVKLLEIMKKKGCYPNAGSYQEVLYGLLDAARFMEAKEIMGRMVFERVNPSFDSYKKLIHGFYKGKMVKEVDWALKQMVRHGFVPKMGMWIQIVDCVFSRNKNNTCDCSLLGEIINS >KJB44612 pep chromosome:Graimondii2_0_v6:7:43078283:43080802:-1 gene:B456_007G261600 transcript:KJB44612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSNYVPLFETKQVKGRVLFRCIAASIFLGICFIVIYRVMFFPVGGKAERWTWIGQFLSELWFCFYWFLTTVSRWNSVYRLPYIHRFSQRD >KJB41707 pep chromosome:Graimondii2_0_v6:7:9036954:9043768:-1 gene:B456_007G116100 transcript:KJB41707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARFGSEAHHFYGMSPADLRAVGKRTVEWDLNDWKWDGDLFIASSINPMSADTMGRQFFPLGSGIPGNSSNSSSSCSDELNPKAQKGKRELGKKRRVTVVEDDSLNEETGSLTLKLGGQGDHGYPISQGEMKNWEGTSGKKTKLNGGSGNRAVCQVEDCGADLTNAKDYHRRHKVCEMHSKASKALVGNVMQRFCQQCSRFHVLQEFDDGKRSCRRRLAGHNKRRRKTNPATVVNGNSLNDEQTSGYLLLSLLKILSNMHSNRSDQTTDQDVLPQLLQSLANHTDEQGGRNISGLLPEPKGSEAGSALFLNGEGPPRPFRQHITEAASEMPQKGVHSHDARVTNVQGNAAGSVKMNNFDLNDTYIDSDDGADDIEGFPAPLNMGTSSLDCPSWVQQDSHQSSPPQTSGNSDSVSAQSPSSSSGDTQSRTDRIVFKLFGKEPNDFPLLLRAQILDWLSHSPTDIESYIRPGCIVLTIYLCQSKAAWDELCCDLSFSLNRLLDCSDDTFWRTGWICTRVQDQIAFIYKGQVVVDTSLPLGSNHSSKIMSVKPIALCATERAKFSVKGVNLSQPATRLLCAVEGKYLVQEATHELMDSHDEFKAQDELQYLNFSCSIPTVTGRGFIEIEDDGYNSSFFPFIVAEDDVCSEIRMLESLLEITDAEAAVDRTGKMEAKNQAMDFIHEVGWLLHRSQLKSRLGHLDPNSEPFSLRRFKWLMEFSMDHEWCAVVKKLLNVLLDGVVGSGEHPSLNLALTEMGLLHRAVRKNCRPLVELFLRFIPEKGSSRLGLENEMVAGGIHESFLFRPDALGPSGLTPLHIAAGKDDSEDVLDALTDDPGKVGIGAWKSARDSTGSTPEDYARLRGHYSYIHLVQKKINKRHSEHVVVDVPGPLSDCSTNRKQNNESTSSFEIGQLELRSMKRHCKLCDQKLAYGYGTASRSLAYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFRPFRWELLDYGTS >KJB42892 pep chromosome:Graimondii2_0_v6:7:15877840:15879840:-1 gene:B456_007G173100 transcript:KJB42892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFKQPQVLTFFYCFLILIPSLVQGECTCEPEEEDRNKSLALKYKIAAIASILVAGAIGVCFPLLGKVIEALRPDKNLFFLIKAFAAGVILSTGFIHVLPDATESLTSPCLNEKPWTEFPFAGLLAMTAAIGTLMVDVFATSHYTKSHLHKTQQSHEGDEEKTEQTENHLHVHTHATHGHSHGSVSMLEPADSAQLLRHRVVSQVLELGIVVHSVIIGISLGASESPKTIKPLVAALTFHQFFEGMGLGGCISQAKFKGRSVAIMSLFFSLTTPVGIAIGIAITNAYDENSPTALIVEGLLNAASSGILIYMALVDLLAADFMNPKLQNNGILQVGASVSLLLGAGLMSLLAIWA >KJB46487 pep chromosome:Graimondii2_0_v6:7:60458343:60459211:1 gene:B456_007G371800 transcript:KJB46487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTEDQKNAMKEAFTLFDTDSDGKIAPTELGVLMRSLGGNPTQAKLKEIVAQEKLTAPFDFSRFMGLMEKHLKTEPFEQKLRDAFKVLDKEATGFVLVSDLKHILTSVGEKLEPTEFDDWIKGVDVSSDGKLKYDDFIAKMVAK >KJB41041 pep chromosome:Graimondii2_0_v6:7:6431394:6432773:1 gene:B456_007G088600 transcript:KJB41041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIVDQQSEFKYFCKICNKRFGCGRALGGHMRAHGIGDESRFIDDDDLASDWEDKLLEGNVVPPNNERMYRLRTNPNRLKSCRVCENCGKEFFSWKSFLEHGKCSSRPGDIAESLVSSQGSEGDDDGITRRGSSGYFSKRKRSLRSEVGNFNAFSSPSSEEEDLANCLMMLSNATVVPLVTEPEESCTSASKEEERRNTMNFITHPAIACRPRVPTDKAKGLFECKACKKVFNSHQALGGHRASHKKVKGCFAARVDDSLADEDHHGFFHKKPTSTFRFDDTLASTSKKKSKVHECSICHRVFSSGQALGGHKRCHWITSNSPVETSSLGKFHQLQHQVEQIQQTQRPNFVDDSEPLDLNLPAPVDELVRRNHIHPSSFDVSTKIYLQPCSGIDATEKEDNQNHLQVDNTNNNCSNSKQNEEDKAKSKVKLAKLSGLKDINMSGGSSPWLQVGIGSDP >KJB40796 pep chromosome:Graimondii2_0_v6:7:5508358:5509230:1 gene:B456_007G077600 transcript:KJB40796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKLAISALLLLLVIIQALFGAQKGYTFEGLNQVKQYLKAFGYYSINGSSHTDSFDDVLESALKSYQQYYRLKVTGRIDSNTLKKMSTPRCGIQDIFNDSDDDVKFSMIANYSFFNGMPRWNKRQLTYMFRSSAFVISDQQFRPITTSALNKWAAVSSFTFREANPSDIVMGFHRRSHGDNVPFDGPGNVLAHAFAPRDGRLHYDADENWSTSNAIRQNQFDLESVAIHEIGHILGLGHSEDPNAIMYAYFSPGTIKRNLGGDDIDGIRALYSN >KJB43594 pep chromosome:Graimondii2_0_v6:7:21964254:21966642:-1 gene:B456_007G208800 transcript:KJB43594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHKSATCLHKTFFIYKGIDCLTFYWVVLDHKQASRFKDSHGKVYSATPTSAKAHLNLLMDPPLQCFFSICCTLFALFSCIYFYQSSKKPSRSCSAPQAGGALPIIGHMHLFGGQQLTHKTLGGMADKYGPVFSLRLGSHEVLVLNSSEMAKECFTVHDKVFSTRPIITASKILGYDFAMFGFAPYGPYWREIRKITTIELLSNHRIDMLKHIRVSEVKSAIRELYKSWLSKGNGGSGVSVDMKQWFGDLTHNIALRMVGGKRYFGPNADCEEAEARRCEKVMRDFVHLFGVFVLSDAIPFLRWLDFLGYEKAMKRTAKELDSIVGGWLEEHKQKRLMCGGVIKEQDFMDVMLNILEDANITCYDADTINKATCLNLVLAGSDTTMVTLTWALSLLLNNPHVLKRAQDELDMHVGKHRLLEESDVRNMVYLQAIVKETLRLYPPEPVISLRAASEDCTLSTGYRIPSGTRLMVNAWKIQRDERVWPEPHDFQPERFLTTNKDMDFQGQTFELIPFGSGRRSCPGVSLALKMLHFILGSFLHSFKVAKLSELEDVDMTESPGLTNPKATPLEVLITPRLDSKFFEI >KJB45510 pep chromosome:Graimondii2_0_v6:7:52314817:52315170:-1 gene:B456_007G309600 transcript:KJB45510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIHLESILRGHARQCRRYEKLLSPPPQPQNDGNKVVVRRRPRRRWLKKMSGGIRLSSSRSIKLRLMLSLKALSIVRLMKAGLELLSRSPMAMNVIFSTHWGLPTLSNLSLHQQVI >KJB44458 pep chromosome:Graimondii2_0_v6:7:41643942:41646423:-1 gene:B456_007G256400 transcript:KJB44458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR3 [Source:Projected from Arabidopsis thaliana (AT3G03750) UniProtKB/Swiss-Prot;Acc:Q9SRV2] MLPPSPKKPPQNKLGLSHAFLQCADLILPWLTPQELANVSLTCKTLHRAAHSITLYRSLDASRSFENFPIPFHNTVDQYPYAYFFYTPSQIIPSSSSSSLNRQFWGPNSSQTLVLPDSGSSYAEMGCTLDSMDLLGGSWVSVMDESGCECERCEKVSEDNVIGCPCMELEGDEGMGIRSECGPSCGCRLECGNRLSQRGIQVQLKIVKDVRKGWGLYAAQWIQQGQFICELLTTKEARRRQQIYDKLASDDHFSSALLVVREHLPSGNACLRINIDATRAGNVARFINHSCDGGNLSTVLVRSSGALLPRLCFFASKDIKEGEELTFSYGEIRVQPKGSKCFCGSFSCLGTLPSEHT >KJB44459 pep chromosome:Graimondii2_0_v6:7:41643942:41646490:-1 gene:B456_007G256400 transcript:KJB44459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR3 [Source:Projected from Arabidopsis thaliana (AT3G03750) UniProtKB/Swiss-Prot;Acc:Q9SRV2] MLPPSPKKPPQNKLGLSHAFLQCADLILPWLTPQELANVSLTCKTLHRAAHSITLYRSLDASRSFENFPIPFHNTVDQYPYAYFFYTPSQIIPSSSSSSLNRQFWGPNSSQTLVLPDSGSSYAEMGCTLDSMDLLGGSWVSVMDESGCECERCEKVSEDNVIGCPCMELEGDEGMGIRSECGPSCGCRLECGNRLSQRGIQVQLKIVKDVRKGWGLYAAQWIQQGQFICEYSGELLTTKEARRRQQIYDKLASDDHFSSALLVVREHLPSGNACLRINIDATRAGNVARFINHSCDGGNLSTVLVRSSGALLPRLCFFASKDIKEGEELTFSYGEIRVQPKGSKCFCGSFSCLGTLPSEHT >KJB39360 pep chromosome:Graimondii2_0_v6:7:658503:659506:-1 gene:B456_007G008400 transcript:KJB39360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWSAENATKAYLKTLKMGQNAKEPNAAEFISALAVGNNAQLMVAACADAADYTTLALVAAAHQTGGRVICILPGTEQLRSSKQILGYDACRVEFVVGDTRNLLLSRYTEADFVLIDCNLENHEGMVRAVPAGTKRNGAVVVGYNAFAKGSWWSGGSKTQLLPIGEGLLVTRIKPNALVKRSQWIVKVDKCTGEEHVFRVRFPQGKGIEA >KJB45792 pep chromosome:Graimondii2_0_v6:7:54830869:54833591:-1 gene:B456_007G328400 transcript:KJB45792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGSSDVVFWSAVSLNFSDLTNWFPISGQRLNQTTYKNTSFPVQLLPDITWDEINDLSIWDIASTSDFGHVTLPRNRSDSISDSVHTVFDNCKNLSDNYRVRWNLNVEENWIKIGLEAAAPTTYYMTFGWANPNRTKELMSKADVTVASFTEEGRPFVDDFYITAYSECKLSSKDRTAIGVLPDVVYENSKWKPLNSTDKKYDLPVYPNEDMRVIWALGLMKPLNENRSHFLPQFHGEKVDDCFRPLDADDNEDQELIIVDTEVPLVATTGEVLHYPNPPSPSKVLYINNKVERGVPVKFLVQAGHDVALYITSDSLGGDAILRNATETIYSGGPEAEGVVANSHELIWVPDRNTPDQVYYQSLYQEKMGWKVQVFDGALTSVLLDDQVTFFWTLSEDSITIAARGVNKSGYLAIGFGNGMVNSYAYVGWIGDTGKGHLNTYWIDGKHPLNIHPTNENLTHVRCRSEDGIITLEFTRPLKPSCNQNYNPECKNIVDPTTPLKVIRAMGSKWTDEHLNEKYMHTVTMLLIRGSSEAEQGLQPVKALHGFMLFLSWCILIPGGILAARYLKHVKGDGWYQIHIYLQYSGLAIILLGVPFAVAELRGFFVSSLHVKLGIAAIVFASLQPMNAYLRPEKPANGEDASTKGLIWEYFHVIIGRGAITVGIAALFTGMKHLGERYRVENVHDLSSVLIIWFLIAAFTCKSDSMERFNLNTSSQVHI >KJB42942 pep chromosome:Graimondii2_0_v6:7:16342882:16344684:-1 gene:B456_007G175700 transcript:KJB42942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCLHTLPSFSEVLKEIKSKLRPTKSNGLNTRRLALPEELCCRFSLADIKAATNNFHKNMIIFGGKINDGFYAIKRLRPTSDSHLPLLELRYGVKFLSQLHHPNIISLLGYCDEKEEMIHVYEYANNGSLYVNLHAENHDPIPWKRRLEICISVARALHYLHTGAKFVLIHRDVSSKNILLDDQWTSKLCNFSFCKRGPHSMSRDPISIEIESDIAYTSAFIAPEVAITGRVSHKTDVFSFGVVLFEVLCCRRIFDAELEMDQRFLYRWACKCIENGTIYSIIDPYLKGKIGPQCLKKFLEISYSCVQFEENKRPTMGEVEVTLELALELQKQAESEMESTNPQEFILTGGGDRQFRTEVHTNILLDHGYAPKLSEFGYSMMGPLSMSNDLIKSRKGSLIIQMLESTLIPLNFMAGL >KJB40099 pep chromosome:Graimondii2_0_v6:7:3629797:3631094:1 gene:B456_007G051400 transcript:KJB40099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGFRCTCRKQVFDFWDLSAAIKVVMHVHLSIPRVLAVGSLTQFSPMLLACAIQISDLLALQGQLTYKFE >KJB44518 pep chromosome:Graimondii2_0_v6:7:41780188:41781095:1 gene:B456_007G257100 transcript:KJB44518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIVEKLKDMMGDEDEDDKKDKKDKKDKDHKKGKDHKEDKDEGMMDKIKDMITGDKDDDKHKKDDHKHKDRKEHKDEGMMDKIKDMITGDKDDDKHKKDDHKHKERKEHKDEGMLDKIKDKIHGDDDRGRDRRRDSSRGRDSSRDRDHHRDSSREHHRDRDRDNDRESDRDSDGGSDDEKKKKKKKGKKKKDDGSGSDDDH >KJB45793 pep chromosome:Graimondii2_0_v6:7:54835735:54836963:-1 gene:B456_007G328500 transcript:KJB45793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIPTPPPLLQWYGVSTNNLIGEIPSSICSLTSLMVMLLDENNLEGTIPKCIGNLSSSLIAVHLGNNNFHGQIPENFAKGCMLRSLRIDNNKLEGSLPRSLGNCKGLNLLNVGNNNLNDTFPSWLGNLDQLQVLTLRSNRFYGQIESFDIINMHAIRDEYGKKVDPHYMREALSEFLVNYADGLSVIARGLEIKFESLLSIWTVIDLSSNQFRGEIPKILGELHLLIVLNLSHNCLIGPIPSSLGNLSELESLDLSSNKLERRIPTELKNLGFLEVLNLSQNNLKGPIPQGKQFDTFTDDSYMGNLDLCGLPLSKNCGTDEETPAIFDRDDDGDELNWKFSILMGYGCGLVLGMSMAYIVFTTGKPWWLIRIVERVQQRFAKR >KJB40445 pep chromosome:Graimondii2_0_v6:7:6625546:6626031:-1 gene:B456_007G091300 transcript:KJB40445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHELVFSTYDFIVVAKSCPLARFTVFYAILRIINIIIGSPDHQDTAGHEFNHPVLDLGFVNIGAKEKIYYEGSDVEDVQLYHGYNEENDDTFGTEDDQDSEEDEEDNDLETRVEEFIAKVTRKWREELLTERLYFLHSTNLITLNSTLTAISAAKPMYIE >KJB39374 pep chromosome:Graimondii2_0_v6:7:740427:741800:1 gene:B456_007G009500 transcript:KJB39374 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS21 MLSKPTFFAFIIVAFEALFILQQWRHPIFHFLLLSYFFFAIKTYFLKSKQPRPVYLVDFLCYKPPSKCKVPFSSFIHHASMIEAFDTESVEFMAKTLVSSGLSQETYLPPALHCIPPKTHDRESINEAQMVLFPVMDELLSKTKLSPYDIDILILNCSGFCPSPSLSSIIINKYSMKSDIKSYNLSGMGCSAGTIGVDLAQNLLKTHENKTAIVLSTEILSTGWYSGHEKPKLILNCVFRMGGAGILLSNKKQAENTSKYKLIHSLRTQRAYDDTAYYAVYREEDSRGILGVTFNKDLLQAVSETLRSHITLLGSQILPFTEQFFHVISILRKKLIDESAEIYTPRFKTVVQHFCLPSSGKPLIREVAKGLNLNGRNIEPALMTLHRFGNQSSSSWWYELGYMEGKWRVKKGDKIWVLGLGTGIKCCSLVLECLRPIVEDDKKSPWSCCIQQYPIQV >KJB42412 pep chromosome:Graimondii2_0_v6:7:12969311:12970236:-1 gene:B456_007G151400 transcript:KJB42412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKLLGNWASPFSYRVLWALKHKGVKYEYVEEDLFNKSELLLRCNPIHKQIPVLVHAGKPVPESIIILEYIEDTWPQNPLLPLDPHERTMARFWIKFGEDKAPIFYKFFHTVGEEQVKGTKEAEELLKTIEEYGLGDKEFFGGEELGLTDIAYGWIACWLDVLAEAAGVEMLGPQSFPRLQAWAERFKQLPLIKDNLPDRHKMLTFFKSRREKIIAPAATS >KJB41190 pep chromosome:Graimondii2_0_v6:7:6908403:6914577:1 gene:B456_007G094100 transcript:KJB41190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNPHQHPYPCHHGYPPNQDPYAAFPYQYPYNTPQYPHAPHMYPPSAHAPVAAPIDYRHAHSHSGQIPYQYSHPIPPNPSQLQHHGNFQYGSTPYPYQQTLSGHYPRPEINPQSSSTYQQPAQYPPQSSSSYQQPAQYPPPESNSDHLSSHVSFSGHNRQDSTSSLGANMRSASPAYPPLDHQLNNMHLSGSHSSAPASPPAPSVPILAAGTSTPDYASNSSNWEGPSLGRADLANRSSFSHKESFKGSQQVQGMQIVPFQKGSLRVLLLHGNLDIWVLEAKNLPNMDMFHKTLGDMFGNFSSNISKKIGGRSEGKNTSDPYVTIAVAGAIIGRTFVINNDENPVWRQHFYVPVAHHAAEVQFVVKDSDILGSEIIGVVTIPVEQIYAGEKIEGTYPVLNGNGKPCKPGAVLKLSIQYTPMEKLSFYHHGVGAGPEYVGVPGTYFPLRKGGKVTLYQDANVPDGCLPNIKLDQGMHFVQGKCWSDIFDAIRQARRLVYITGWSVWHKVRLVRDAAPASDCTLGDILRSKSQEGVRVLLLLWDDPTSRSILGYKTDGIMTTHDEETRSYFKHSSVHVLLCPRIAGKKHSWVKQKEVGTIYTHHQKTVIVDADAGNSNRKIIAFLGGLDLCDGRYDNPDHALFRTLQTYHKDDYHNPTYTGSTAGCPREPWHDMHSKIDGPAAYDVLVNFEERWLKAAKPHGLKKLKKPFDDALLRIERIPDIMGVSDFTENENDPESWHVQIFRSIDSNSVKGFPKDPKDATSKNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFLGSSYNWSSYKDLGADNLIPMEIALKIASKIKANERFAAYIVIPMWPEGVPTGSATQRILYWQNKTRSMMYETVYRALVEAGLDSTFVPEDFLNFYCLGNRELDGYQPLADGSPRAANAPEALSQKSRRFMIYVHSKGMIVDDEFIIVGSANINQRSMEGTRDTEIAMGAYQPQHTWAAKHSSPHGQIYGYRMSLWAEHVGVVEDCFTRPESLECVRRINQMAILNWRQFAAEEVTEMRGHLMKYPVEVDPKGKVKPLPGAETFPDTGGNVVGSFLGIQENLTI >KJB41189 pep chromosome:Graimondii2_0_v6:7:6908319:6914577:1 gene:B456_007G094100 transcript:KJB41189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNPHQHPYPCHHGYPPNQDPYAAFPYQYPYNTPQYPHAPHMYPPSAHAPVAAPIDYRHAHSHSGQIPYQYSHPIPPNPSQLQHHGNFQYGSTPYPYQQTLSGHYPRPEINPQSSSTYQQPAQYPPQSSSSYQQPAQYPPPESNSDHLSSHVSFSGHNRQDSTSSLGANMRSASPAYPPLDHQLNNMHLSGSHSSAPASPPAPSVPILAAGTSTPDYASNSSNWEGPSLGRADLANRSSFSHKESFKGSQQVQGMQIVPFQKGSLRVLLLHGNLDIWVLEAKNLPNMDMFHKTLGDMFGNFSSNISKKIGGRSEGKNTSDPYVTIAVAGAIIGRTFVINNDENPVWRQHFYVPVAHHAAEVQFVVKDSDILGSEIIGVVTIPVEQIYAGEKIEGTYPVLNGNGKPCKPGAVLKLSIQYTPMEKLSFYHHGVGAGPEYVGVPGTYFPLRKGGKVTLYQDANVPDGCLPNIKLDQGMHFVQGKCWSDIFDAIRQARRLVYITGWSVWHKVRLVRDAAPASDCTLGDILRSKSQEGVRVLLLLWDDPTSRSILGYKTDGIMTTHDEETRSYFKHSSVHVLLCPRIAGKKHSWVKQKEVGTIYTHHQKTVIVDADAGNSNRKIIAFLGGLDLCDGRYDNPDHALFRTLQTYHKDDYHNPTYTGSTAGCPREPWHDMHSKIDGPAAYDVLVNFEERWLKAAKPHGLKKLKKPFDDALLRIERIPDIMGVSDFTENENDPESWHVQIFRSIDSNSVKGFPKDPKDATSKNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFLGSSYNWSSYKDLGADNLIPMEIALKIASKIKANERFAAYIVIPMWPEGVPTGSATQRILYWQNKTRSMMYETVYRALVEAGLDSTFVPEDFLNFYCLGNRELDGYQPLADGSPRAANAPEVIYTKSQKPFLFGNSTSWAISWYSKILKPDPKALPKLPRLALSQKSRRFMIYVHSKGMIVDDEFIIVGSANINQRSMEGTRDTEIAMGAYQPQHTWAAKHSSPHGQIYGYRMSLWAEHVGVVEDCFTRPESLECVRRINQMAILNWRQFAAEEVTEMRGHLMKYPVEVDPKGKVKPLPGAETFPDTGGNVVGSFLGIQENLTI >KJB45062 pep chromosome:Graimondii2_0_v6:7:49429599:49433185:1 gene:B456_007G287700 transcript:KJB45062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSCFLGILVTISLCLQLFGGGVHGLGVNWGTMASHKLPPKTVVQMLKDNGIKKVKLFDADSTTMNALAGSDIEVMVAIPNDQLLAMNSYKRAKDWVRRNVTRYNFDGGVNIKYVAVGNEPFLTTYNGSFINITFPALENIQNALNEAGVGDSIKATVPSNADVYNSPESNPVPSAGRFRPDISGLMTQIVEFLAKNGAPFTINIYPFLSLYGNDDFPFNYAFFDGGNPIVDNGIQYTNVFDANFDTLVSSLRAIGHGDMTIIVGEVGWPTDGDKNANIANAQRFYNGLMPRLAGNVGTPLRPGYLEVYLFGLLDEDVKSVAPGNFERHWGIYRYDGQPKFPLDLSGQNQNRFLIPAQNVEYLPQKWCVFNPNANDLSKLADNINYACTFSDCTALGYGSSCNILDANGNASYAFNMYYQVQNQDDMACNFQGLATVITHNPSQGTCNFIIQIASSSFAIGPSLIGMTFFAVIATVLL >KJB43511 pep chromosome:Graimondii2_0_v6:7:21469876:21470427:1 gene:B456_007G206500 transcript:KJB43511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNDSLRTIETGLYTGPIHFNCYPNFMVSLTNKNILQYLTLQIHTHNYKLLPGSEVLTLVYLLHFKDMHFVVNTKVLLQNPKEETLLIETDTLRSQTIILRTIQWHEINLPDMWKLDGATDPVAPTPIRSTSLSEISQHQDDTIESIFNWPLKMPPRHSFEIGSTSTITFRRRIKFKNTIDRF >KJB44512 pep chromosome:Graimondii2_0_v6:7:41733356:41736170:-1 gene:B456_007G256800 transcript:KJB44512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMEGGGTLSEVYQSARKLLLRARDGVERLERFESSVSGTDSPELSFAVKKDISQIHSLCADMDRLWRSIPAKSQRDLWKRKVEQVAEEADSLKDSLDKYSMRNQRRMLEAKERAELLGRANGESAHVLRIFDEEAQAMQSVRNSSRMLQESFETGTAILSKYAEQRDRLKRAQRKALDVLNTVGLSNSVLRLIERRNRVDTWIKYVGMVLTVVIVYFFWKWTR >KJB44527 pep chromosome:Graimondii2_0_v6:7:41833211:41835152:-1 gene:B456_007G257800 transcript:KJB44527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVQFSVAWMEDSDIHNLRKETLHQKYELVKRRTINDNSAYGSHVMQFGDIGISMDNLFTCLGTNPANDNFKFVDGNSLLPPTKAVNQRYADLVHFWDKYRKAPDVLVRKVEAQKQVMEAMSHRMHVDNSIQLIGKLLFGVKRGPEVLNTVRPAGRPLVDDWKRLKKMVISLILSPSSSFSFFLSCNLQRCSVHRHM >KJB40721 pep chromosome:Graimondii2_0_v6:7:5289304:5289903:-1 gene:B456_007G074900 transcript:KJB40721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREAPQQGVVRTYPVHLSPWNPKPKTRSVQTLDSLPVSGSFSRVTPKASNHSKFSGKCGRLHCVEYHLNPVSKSKGKSKGTQKFRTFNLAGFNFSGFSATGVLEYLYSFNDDDDDDDDDDDDDDDNEIGNHVNDHDYVYEDVGRCVLNDNDNNDDFLAKFWSLHDKQNDDDDDDTSYCDVDFMLDIEEDEGWCLLREL >KJB46583 pep chromosome:Graimondii2_0_v6:7:60717651:60720340:1 gene:B456_007G375700 transcript:KJB46583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTHFPYSDRSWLLLPILIISIISLTFLLSLTFTQNKSTSFEPEFSFQEPRFSFSGRDYGRLPRLPRFAYSISGTKGDGPRVKRLLQAIYHPRNYYLVHLDLDASDSERFELAKYVKAEGVIKEFGNVMVIGKADLVTYKGPTMVAATLHAVAILLKEAKDWDWFVNLSADDYPLMTQDDIVHIFSYLPRDLNFLEHTSSIGWKEYQRARPIIIDPGLYHSKKSGVFWAKEKRSLPASFKLFMGSEWVVLTKSFLEFCVWGWDNLPRTLLMYYTNFISSPEGYFHTVVCNHKDYQNTTVNHDLHYIRWDNPPKQHPMTLTLEHFDDMVRSGAPFARKFAKDDDSALNKIDKELLRRSYGRFTPGGWCVGGSNPGKDPCVVYGNPNAVKPTVSSKRLEKLLVQLLDSGNFRSKQCK >KJB44549 pep chromosome:Graimondii2_0_v6:7:42248358:42248957:1 gene:B456_007G259000 transcript:KJB44549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYNTRIFSLVDWLRCEFTKVDNEYAGSGKRVYVGRVLALANMGFWCFNLFGFLLPVYLPKAFKMYYSETKVKD >KJB45274 pep chromosome:Graimondii2_0_v6:7:50981601:50984851:1 gene:B456_007G298600 transcript:KJB45274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNITGDGGGSFSSETHHQEQQQVGNFHGGSNSLLSTNSNGGSTAPPPAKKKRNLPGTPDPNAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSTEIRKRVYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTKEYKCDCGTIFSRRDSFITHRAFCDALTEENNKASRGLINVGSNLQGQVVAADQLISAIPVNNNPNTSAINISEPFSNDTKSSLQSLNLAAGTMFSNGSSSLFGGTKVTTVPNPSSTSCLQLNGNSSTLFEGNGGHLFSGSASMSATALLQKAAQMGATASTGSLNSPLMGKSYTTTSTMAPPSFVSMQTHDNNNNNNQTQTLGGADSTGFTSQSDFFNANGGVDQSSSVNNMGVMFSGIFEQNNAFFKSVESSTPRTTGLSGFSADTMTVDFLGIGGSRPRNLLEKQHHHHHHHPHQPQQDLEQFGGIGQARLQGLSHFQQPAVLEKPMWKV >KJB45275 pep chromosome:Graimondii2_0_v6:7:50981601:50984851:1 gene:B456_007G298600 transcript:KJB45275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNITGDGGGSFSSETHHQEQQQVGNFHGGSNSLLSTNSNGGSTAPPPAKKKRNLPGTPDPNAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSTEIRKRVYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTKEYKCDCGTIFSRRDSFITHRAFCDALTEENNKASRGLINVGSNLQGQVVAADQLISAIPVNNNPNTSAINISEPFSNDTKSSLQSLNLAAGTMFSNGSSSLFGGTKVTTVPNPSSTSCLQLNGNSSTLFEGNGGHLFSGSASMSATALLQKAAQMGATASTGSLNSPLMGKSYTTTRIFEQNNAFFKSVESSTPRTTGLSGFSADTMTVDFLGIGGSRPRNLLEKQHHHHHHHPHQPQQDLEQFGGIGQARLQGLSHFQQPAVLEKPMWKV >KJB44830 pep chromosome:Graimondii2_0_v6:7:47012015:47015714:-1 gene:B456_007G275400 transcript:KJB44830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLSKYAHSPAHLAVARRDYAGLRRIISTLPRLAKAGEVNTEAESLEAEERADAVSSVIDRRDVPGRETPLHLAVRLRDPISAEILMVAGADWSLQNEQGWSALQEAVCTREEAIAMTIARHYQPLAWAKWCRRLPRIVASAARIRDFYMEMSFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYTSEDGNLSLPAGSLIVLSHKEKEVTNALEGAGAQPTESEIAHEVQMMSQTNMYRPGIDVTQAELVPHLNWRRQERSEMVGNWKAKIYDMLHVTVSVKSRRVPGAMTDEELFSVDDEERMANSGEHDEYDDVLTAEERMQLNSALRMGNSDGVCDDDEHEVVDCQENGSAGGYENCESNGVAKEKKSWFGWNKKGSKNNDDPDDSKIGKFSKSAPEGSNQRQVDNHRSSSEFAKEDAVDGKKRKDKSSKKKKKKGGNSDDKHESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAIRRLRELLTTKLPSGTFPVKVAIPIVPTIRVLVTFTKFEELQPMEEFATPPSSPVHFQDAKSKESEGSTSWISWMRGSRGGQSSDSDSHRYRDEVDPFNIPTDYTWVDANEKKRRMKAKKAKSKKHKRQAAAAKGGDGGQQQVNEAVEE >KJB44831 pep chromosome:Graimondii2_0_v6:7:47012015:47016772:-1 gene:B456_007G275400 transcript:KJB44831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLSKYAHSPAHLAVARRDYAGLRRIISTLPRLAKAGEVNTEAESLEAEERADAVSSVIDRRDVPGRETPLHLAVRLRDPISAEILMVAGADWSLQNEQGWSALQEAVCTREEAIAMTIARHYQPLAWAKWCRRLPRIVASAARIRDFYMEMSFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYTSEDGNLSLPAGSLIVLSHKEKEVTNALEGAGAQPTESEIAHEVQMMSQTNMYRPGIDVTQAELVPHLNWRRQERSEMVGNWKAKIYDMLHVTVSVKSRRVPGAMTDEELFSVDDEERMANSGEHDEYDDVLTAEERMQLNSALRMGNSDGVCDDDEHEVVDCQENGSAGGYENCESNGVAKEKKSWFGWNKKGSKNNDDPDDSKIGKFSKSAPEGSNQRQVDNHRSSSEFAKEDAVDGKKRKDKSSKKKKKKGGNSDDKHESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAIRRLRELLTTKLPSGTFPVKVAIPIVPTIRVLVTFTKFEELQPMEEFATPPSSPVHFQDAKSKESEGSTSWISWMRGSRGGQSSDSDSHRYRDEVDPFNIPTDYTWVDANEKKRRMKAKKAKSKKHKRQAAAAKGGDGGQQQVNEAVEE >KJB39048 pep chromosome:Graimondii2_0_v6:7:2594558:2595049:1 gene:B456_007G037400 transcript:KJB39048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHGPTDKILTAILLLKQINIFFLKKEEERTALKCQHLSWMGSDPLLIFLLFEPLGSNITEEEEEEEEEEEEEEEEETGPKSCLVCKASTVTTAFTMPDLPTNANPETLSG >KJB43576 pep chromosome:Graimondii2_0_v6:7:21644488:21645558:1 gene:B456_007G207100 transcript:KJB43576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKVSKASKWFSNKSLRLSLHRRGSKSRSTSLSSSPGPSSTPISPRTVPNMRTKRPEEDEMKQVFGYFDGDGDGKISALELRAYFGSIGEYMSHEDAQGVINDLDSDGDSMLDYQDFLKLMKREPSKDDEGDDYDDLKKAFEMFELEKGSGCITPKGLQRMLNRLGDAKSYDECVAMIRVYDIDGNGVLDFHEFHQMMA >KJB46586 pep chromosome:Graimondii2_0_v6:7:60735630:60739200:1 gene:B456_007G375900 transcript:KJB46586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNLRTNSSNMSIPQSHNPRCMWGIFHVLKYHHWNRRFIKKRILNKKQGTGDENAKDEAVEGSNGNVEDTSKHKKGEAANAKVEGKKKQTSTGSKTSVKSRLKALITEEVAKRKGRGKHQRCSTYPIQTKSDTDQHVDAHIDDLLPETEQGSPRTSDKNKGICYESGSEDQTVSKSTEEHVTSDENDEEHGVGKGWVEEQNMKKLLESAVLSPEELEAKKKALEEHGKDIGPGYESKYLMDALDIIKMNQGFLLTVLQDPDSPLAHHFHKHLAISAKMGMPQMEGLGSSGSTGSKQCGESSNRDGTGEDIGRKSMPLIAADHRAEGIHNLNQTKGEMADMGSSSAMNRSEMVKKRFKSLRENIKHVIQERKKERRRIAMDAVLHKIPHQKGFSKDLTKDIVDHFKEPSRLRKVFSSSLARRGSMRLERRTSFNEAIDKYTQLYESSFNKEGREESMSKRDEKRDEPIDSSGRTMKKHMRRFLSSPELYSSAYLYEALASEVPTKVSESLGYSSEVETLGKAEYKDSSIGVREGFPISSKPISQAEKAGDELENSMIVKDDVTQIEPDSKPVIMTITELEEPVESSKLQEPDIELKQSFDLPKDEVEFTLDLQPEIKDITPTVADVGIYKFKKFEPLKELDIHNKHEFTYVKDVLELSGFSGTEALGAWHADQQPLDPMMYEEVKGCIICDPNCSMEDEEVSYCNHPLLFDLTNEVLIDVYERSYNYYPRVLSQLCHIHPMPMGQHVLEKVWETISWYLSFKTGYDKPLDYVASRDLMRNDGWMSLQFEHECLGLEVEEQIFNDLLEEICSST >KJB45659 pep chromosome:Graimondii2_0_v6:7:53336773:53339254:-1 gene:B456_007G318700 transcript:KJB45659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNCKNLVCLPDSFYKLKSLRRFYLKGCSRLEIFPEILDTMKMLYELDLSGTALKELPSSIGNLIGLVHLSLDDCENLVRLPDSFYKLKSLERFYLKGCLRLEIFPEIMDTMKMLYELDLRGTALKELPSSIGNLIGLVHLSLDDCENLVRLPDSFYKLKSLERFYFKGCSRLEIFPEILDTMKMLYELDLSGTALKELPSSIGNLIGLKDLNMNNCKNVVFLPDSFYKLKSLRRFYLKGCSRLEIFPEILDTMKRLYELDLSGTALKELPSSIGNLIGLKDLNMNNCKNVVFLPDSFYKLKSLRRFYLKGCSRLEIFPEILDTMKSLYELDLSGTALKELPSSIGNLIGLKDLNMNNCKNLVCLPDSFCKLKSLTTFRLHGCLRLEIFPEIIDTMERLYELDLSGTALKELPSSIDNLVGLKYLSLNDCENFVCFLDSFFKLKSLLCLSLCGSSNLIVKNLFTAVGDRPVNQNDPHGFSSLKKLELSESNLENLPTTIKQFPLHELILRNCKRLKSLPELPPSLECLDAHDCTSLEDVSSIKKFFKQPLFCQDKPYRSLVLNFSNCFKLGEKGVGNDIDAEDSTSLEEVSSIKKVFKRAVFCKSLGWLFTNCFQLDQKAASGPETPKLEMPFEHMVTLLKDYHQAPPESKKRACIITCVPGSEIPEWFDFKSLGSSMNIQLPSEWCSNNSWINFPSFVASAVVSFPDSYTGGEFGITCECHLKSRNRDDRCFSCYSYFSFGSRLSDHVFLVYDGFKVREMVKSKASNNRIYIEATCNFYLEGLDSSQCEVKQCGVHRLFAN >KJB45658 pep chromosome:Graimondii2_0_v6:7:53336626:53339254:-1 gene:B456_007G318700 transcript:KJB45658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNCKNLVCLPDSFYKLKSLRRFYLKGCSRLEIFPEILDTMKMLYELDLSGTALKELPSSIGNLIGLVHLSLDDCENLVRLPDSFYKLKSLERFYLKGCLRLEIFPEIMDTMKMLYELDLRGTALKELPSSIGNLIGLVHLSLDDCENLVRLPDSFYKLKSLERFYFKGCSRLEIFPEILDTMKMLYELDLSGTALKELPSSIGNLIGLKDLNMNNCKNVVFLPDSFYKLKSLRRFYLKGCSRLEIFPEILDTMKRLYELDLSGTALKELPSSIGNLIGLKDLNMNNCKNVVFLPDSFYKLKSLRRFYLKGCSRLEIFPEILDTMKSLYELDLSGTALKELPSSIGNLIGLKDLNMNNCKNLVCLPDSFCKLKSLTTFRLHGCLRLEIFPEIIDTMERLYELDLSGTALKELPSSIDNLVGLKYLSLNDCENFVCFLDSFFKLKSLLCLSLCGSSNLIVKNLFTAVGDRPVNQNDPHGFSSLKKLELSESNLENLPTTIKQFPLHELILRNCKRLKSLPELPPSLECLDAHDCTSLEDVSSIKKFFKQPLFCQDKPYRSLVLNFSNCFKLGEKGVGNDIDAEDSTSLEEVSSIKKVFKRAVFCKSLGWLFTNCFQLDQKAASGPETPKLEMPFEHMVTLLKDYHQKAKKELALLHASLEVKSRNGLISKA >KJB41067 pep chromosome:Graimondii2_0_v6:7:6471901:6474319:1 gene:B456_007G088900 transcript:KJB41067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGMASLARSPSAMSITRIPQGHSKSLQLLKASKVPYVLPFHRIHIRRSPVCCTKFPQWEPSPVTYALTEKAGDKFLDKSSELFETLRSESSDSSDEASITKAEDRTDTTNQPVAQLQFLKWPLWLLGPSVLLGTGMVPTLWLPISTIFIGPNVVSLLSLIGLDCIFNLGCTLFLLMADYCAKSKNLGQASKSKPPVSYQFWNLVATLTGLAIPLMMLFGSQKGYLQPQLSFIPYAVLLGPYILLLSVQMLTEMLTWHWESPIWLVTPVVYEAYRVLQLMRGLKLGVELSAPAWMMHTIRGLVCWWVLILGVQLMRVAWFAGFTARAQRQLSSASADA >KJB39562 pep chromosome:Graimondii2_0_v6:7:1437893:1439270:-1 gene:B456_007G019400 transcript:KJB39562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLWSSILLVLFISFFLFVSFSLAEIRFTEIRSNDRYSVIPLDEFQFTHNGRLELSVSQVTISNQIPYYYLDKVGFFVCTRHTWALLLQQQLADGKVFCALSSPYVRVIFDLRSLKVKLSFNTVFPVRYADHYILAFSNCLDQVNVSMTVQSAMYNLEGNKDRRDYLSANQAILPSFYFLLSLFYFTLAGIWLYLLHKNPISVSRTHFIMLAVIPLKACNLVFEAEVKYCIKRTGTGYGWDLWFSIFSILKVTTLIILIGQGWSLLKPYLQDKEKKVFFIVIPFQVVVANIAQVFIDKTPLFSPYRVVCKQIVLLVDVFCYCAVLLPIVWSIEKLREVVQTDEKAAVKLKKLTLFRQYYIMVICYIYFPRIMVYTLETTNTVYKYSWSRVLVGELATLTFYVYTGYEFKPKVDNLYFPTDDEEEEGGSEQLKL >KJB45694 pep chromosome:Graimondii2_0_v6:7:53688146:53690581:1 gene:B456_007G321300 transcript:KJB45694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFPWKRARIQMEEDDYDDGDGSYGEEEDDEKAGFLSLLNKGCGVNTSGLHVFSNLTQLKLGCNEYCGQLLPKLLEKSPNLEVLILGKDNAKLNTRDLVKIHMGATAVRS >KJB44895 pep chromosome:Graimondii2_0_v6:7:47645784:47646477:1 gene:B456_007G278500 transcript:KJB44895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATASAATSVAKKKTASAKKPRSASLHPPFLELPSNFQKILLIQVKKFAASGKLVKVKTSYKLPLASVVAKKPATAKPKATSAAIKPKPMVVKAPAKPKANSKVATSVKAKAKAVVKPKPKAKAVTKMVKTSSTGKKGKAPAKKKTVVAKKPKSVKSPAKKVAKKATK >KJB39618 pep chromosome:Graimondii2_0_v6:7:1724302:1725996:-1 gene:B456_007G024400 transcript:KJB39618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISKIATGNGHGENSAYFDGWKAYETNPFHPTDRPDGVIQMGLAENQLCFDFIEKWLMEHPEASICTAEGVSKFKETALFQDYHGIPEFRQAVAKFMGKVRGDRVKFDPDRIVMSGGATGAHEMVAFCLADPGEAFLVPTPYYPGFDRDLRWRTGVELVPVVCDSANDFKVTIDSLQSAYHKAQEANIKIKGLLITNPSNPLGTFMDKDTLKNIINFVNEKNIHLIGDEIYAATVFMEPEFVSISEVIEEVECNRDLIHIVYSLSKDMGFPGFRVGIVYSYNDTVTSCARKMSSFGLVSSQTQHLIATMLSNDEFIDNFVAESRERLFKRHKYFTWTLSQIGICSLKSNAGLFIWMDLRKLLKEKTFEAEMDLWRVIIDEVKLNVSPGSSFHCHEPGWFRVCFANMDDYSMEIALSRIRNFMVKNNETMVPPRNKLCRRTSLKLSLSRSLSRKMDDFIMSPSIMSPQSPLVQART >KJB41937 pep chromosome:Graimondii2_0_v6:7:10270056:10276422:-1 gene:B456_007G128600 transcript:KJB41937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSGATWVTSLSCSSSVIESSKEATFLPVIFQWLRFIFLSACPQRALFSAVDVLFLLTLLCFAVHKLYSRFSSNRHGSSDINKPLIRNNRTLLRTTVWFKLSLIVTAVLAFSYTIICILAFTRSSQQPWKQINGIFWLVEAITHAVIAILIIHEKRFEAVNHPLSLRAYWFANFIIISLFTVSGIIRMVFVEEDKYLRLDDIVSFVSFPLSVVLLVVAIRGSTGITVTREPEPAMDENKPLLSKPKVSGFASASIISKAFWLWMNPLLRHGYKSPLKMDDIPTLSPQHMAEKMSKLFEMNWPKPEEKLKHPVRTTLLRCFWKEVAFTAFLAIVRLCVMYVGPILIQSFVDYTAGKRSSPYEGYYLILILLVAKFVEVLTTHQFNFNSQKLGMLIRCTLITSLYKKGLRLTCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLTPLQVSVALVLLYRYLGAAMVTSVLGLLGVLIFVIMGTRRNNRFQFNVMKNRDMRMKATNEMLNYMRVIKFQAWEEHFNKRIQSFRETEFGWLTKFLYSISGNIIVMWSTPLLISTLTFGTALLLGMKLDAGVVFTTTTIFKILQEPIRSFPQSMISLSQAMISLERLDTYMMSKELVDTLVEKQEDCDGGIVVEVKNGVFSWDDEKGEQVLKNINLEVKKGELTAIVGTVGSGKSSLLASILGEMHKISGKVKLCGSTAYVAQTSWIQNGTIQENILFGLPMNEEKYKEVTKVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTDIFKECVRGALKEKTILLVTHQVDFLHNVDLIMVMRDGMIVQSGKYNDLLDSGLDFGALVAAHETAMELVEEAGNSIPGESFPQISKSSQGVTNHGEGNGEDKSQDHPKSNKGDSKLIKEEEKETGKVSLHVYKAYCTEAFGWWGVATVLLLSLSWQGSIMAGDYWLSYETSAEHASSFNPSVFISVYAVIAAISVVLIVFRAFFVTIMGLKTAQIFFRQILQSILHAPMSFFDTTPSGRILSRASTDQTNVDIFVPFVMGITVAMYITLLSIFIITCQYAWPTIFLIIPLGWLNFWYRGYYLASSRELTRLDSITKAPVIHHFSESISGVMTIRAFRKEESFCQENVNRVNSSLRMDFHNNGSNEWLGFRLELIGSLVLCLSTMFMIFLPSSIVRPENVGLSLSYGLSLNSVLFWAIYLSCFVENRMVSVERIKQFSRLQPEAAWHIENRLPPPNWPSHGNLELKDLQVRYLPSTPLVLKGITLSINGGEKIGVVGRTGSGKSTLIQVFFRLVEPTAGKIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPIGQFSDEEIWKSLERCQLKDAIASKPDKLDSLVADNGDNWSVGQRQLLCLGRVMLKRSRLLFMDEATASVDSQTDAIIQKIIREDFAACTIISIAHRIPTVMDCDRVLVVDAGKAKEFDKPSRLLERPTLFAALVQEYANRSSGL >KJB39107 pep chromosome:Graimondii2_0_v6:7:12268580:12269289:-1 gene:B456_007G145700 transcript:KJB39107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPQGGKKSGNINAEVRYRGIRRRPWGKFAAEIRDPTRNGARLWLGTYETAEEAARAYDRAAFALRGHSAILNFPNEHQYQNSTFPPSSSSASSSATARRTEVIEFECLDNKLLEDLLETQEKQRS >KJB46419 pep chromosome:Graimondii2_0_v6:7:59979568:59980710:-1 gene:B456_007G366900 transcript:KJB46419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKPRESVVIRSVWSENLDSEFEIIRSIIDVFPIISMDTEFPGVVVRSEGAGGCHRSRRIDATENYQSLKANVDILKLIQIGLTLSDADGNLPNLGTENRYIWEFNFKDFDVGNDVHAPDSVELLRRQGIDFEKNLELGIELVRFAELMMSSGLVLNDAVTWVTFHCAYDFGYLVKCLTGGLLPDQLTEFLELVRVFFGDRIYDVKHLMKFCAGLHGGLDRASTDLGVKRAIGKSHQAGSDSLLTLHAWLKIKERYFANGDPIEKYANVLYGLEVD >KJB42491 pep chromosome:Graimondii2_0_v6:7:13270853:13272895:1 gene:B456_007G155100 transcript:KJB42491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRWGGDGGRGRPKRSRVSATDGGNEPREGSTSPTATQNPSAVIGQEQEAEPRRFTSHNYTRSPSRRTPSYPPPRTFPPSCQPPRPPPQSRPPIRHTPSYPPPRTFPPSSQPPRPPPQSRPPIRRTPSYPPPRTFPPSCQPPRPPTPTAPILPPRPLKSFPQRAEQPLRQPSLALSPRHSSPDRPQRNQPTTTTKRKRSEKSKDGNDEEGDPRRPASGIVIRDQQPPSSNRSREAQQAPAPGQSAGPVRDQGMAGLQNQEGKPYSDRDLPLKKRASVRAMVDGNGGNQEGAPVAEGNPPPSAQQRYRRDRAAKGNEHQAL >KJB45854 pep chromosome:Graimondii2_0_v6:7:55600682:55608532:1 gene:B456_007G333200 transcript:KJB45854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAVTSAVINIGKLLTEEAIYLWGVEEEVDRLQTELKWMQRYLTDAETKQSKEEMIRLWVAEIRELAYDAEDVVEEFALKIGSENKGCLPSCIKTSACCLKEGWALHKTRSKIEKIIERINDLVRRLQAYGVKELKDREEESSSSTERRESRRPYPHIMDDNIVGLVDDTEGLVKVLTNESRCQVVTIWGMGGLGKTTLAKKIYHDPQVRNYFEHFAFVYVSQPCQKRKVWEDILSGFKTLKDEDRKKRDEDLAEKLCNILEVKECLVILDDVWTREAWDSLKPAFPVATGRHRKSKILLTSRNRGIVSDAEIRELKCLNDQQSWELLQKIVSPKTGNIIDVKMIELGKNMVKHCAGLPLAIVVLGGILATKKNSLIEWQKISDNVKSYLKRGKNQGPEDVLALSYDDLPPYLRPCFLYLSHFPEDYMIDVDRLIQLWVAEGIVSSKEEERDGGEIAEDVAESYLMELVERCMIQVRERNVAASEIRATLKVKTIQMHDLIRDLCLSKAKQEKFVFIVDGSNASSLSMIRKVRRVSVHESFFIQCIKSPNIRSLLFFNQFFPEEALEKSFPLEVLNYVKKHCDDCCNPLFWILLISVGSTRRLKIREFWRYMFNNFKLLRVLNYDKGRTSYGFWTGWDLPSDIGNLIHLRFLSLKDLVFLWPKLQSSLGNLRCLQTLDLRVNFPEIHVPNVIWRMEQLRHLYLPFDCESSTKLNLGTLRKLLTLVNFNTKNCYLKDLINMTNLRELEINLPFNIENFNESGENPPIIGGKYLHSLFIIAIGESGSEIDPRHLAHLLSNCTSICQLSIAAEISKLPEYQYFSSHLAYIRLSWCKFEEDPMPTLEKLPNLRILEFKYTLEGKEMFCSAQGFPKLESLILEQLGKLEEWKVDEGAMPSLQRLEIRECGNLKMLPEGLRFITTLKELKIESMPKAFKDRLEEGGEDFYEVKHVPSIIFQNILR >KJB44155 pep chromosome:Graimondii2_0_v6:7:32351212:32351852:-1 gene:B456_007G237400 transcript:KJB44155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQNLLQQMQSKFQTMSESIITKNILFVYFLNFIGTSLDEMGDRINELEQSINDLKEEMGMEGSPSPLAPPKQKSDGANQEDDSA >KJB39596 pep chromosome:Graimondii2_0_v6:7:1540589:1543030:-1 gene:B456_007G021200 transcript:KJB39596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRCVMAVSSPCSPATDAVGRKVFFSKTLSQSHRTFTFPLYSTNRSSTARIARFRCFSSALTPQLKNTLDKVVTSNKVVLFMKGTKDFPQCGFSNTVVQILNSLNVPFETINILENEMLRMGLKEYSSWPTFPQLYIEGEFFGGCDITVEAYKNGELQELLEKAMCS >KJB40348 pep chromosome:Graimondii2_0_v6:7:4223514:4226675:1 gene:B456_007G059800 transcript:KJB40348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQHEDSNKRSNAMVLGKVTDIHTEVAAVKDSLTDAIEELKNKERSIESLKKEVAKTKELEDKLAEKEASFCKLKEELNKVKSFESEAMQLLSEGKKRIQELEEEVERRKGSEKKLHDSLLAQTKELEKTKVSLDECKQEIKSLIQNIEKLESSSDVASQCSTGDEEQNSLVEALESELQSTREKLGRAQDNERFALLKAKNLAEEVKKLQSELKTTIEAEENNKKAMDDFAVALKEVITEANQAKEKLMSTTSELETTKGQVEELKVKLKKVEEQYNEAKKETDRCKIVSERLRLEAEETLIAWNEKEMGFIECIKKAEYERNAALEDSKKSKEENHKLKDIMILALNEANATKQEISQLKDTIAQKEAALKLLSQENERLKLKEASDLLELEDMGELMNPKPTDSSSTTPYSVKDLRKMEDQEEAMKLKSTDSTQHKENKDYDKEHTKKSRHIKTNSSCLIIKFPYKNKYPEEEPKVQLKDSDEDSDSDYFDPLKGSIFDVAETPKSEAVQNHHSKKPSLYVADDESMCGEEFHHFDSSHFDEETDKFPKKKRALFSRFSDLIGMRNFYKKEQPPLDQ >KJB46014 pep chromosome:Graimondii2_0_v6:7:56837264:56840336:-1 gene:B456_007G343000 transcript:KJB46014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLRSKSENGENNEHTSPQQLVLDVAPLNCVPYVGPTNPDDKSVSPEENTDVTETIGPAMIFLPSETTSEDLDSIVACTKHGVALTGAAATGTMGPIVGLVDIGVLEDSYYFRVSLPGVSPDKKDFSCDIESDGKVLIKGITTTGEKVVVKNSQVFHMLTQNLCPSGHFTVSFELPGPVDPEKVTSCLANGLLEAVVKKR >KJB43901 pep chromosome:Graimondii2_0_v6:7:26396202:26396933:-1 gene:B456_007G222500 transcript:KJB43901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDTLNSPTSAPPLLDRDDIVDLHCVEPWTKRKRTKRPRSENPPTEEEYLALCLLMLAQGTTTRTIPSASAASRTSLNLYKCTVCNKGFPSYQALGGHKASHRKLVVGAGDHLTITTAATKTTADVSAVAATTVTPPTNLPLMINRVGNKNHVCSICNKTFSSGQALGGHKRCHYEAGGNNNSGSDGVKLSSQSQRDFDLNLPAEPQELSIPDVNQRDKYSTDDEGVENPSPTAKRVGCHVC >KJB45643 pep chromosome:Graimondii2_0_v6:7:53261223:53264377:-1 gene:B456_007G317800 transcript:KJB45643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAPVFTLICFVVLVFSHLELSEEADVLGVEGSISDGETLISSLETFELGFFSPGKSRNRYLGIWYKNSPGAVVWVANGNNPIAERKGVLTLSETGNLVLFNQTNSVIWSSNVSGTVQDPVARLLDTGNLVLKDNKSMPESYLWQSFDHPSDTLLPGMKIGWNLKTGEERYLTSWKSADDPSPGNLTYRLDKNGLPQLFIDRGSVKIYRTGPWNGIGFGAVPAVQNLVFKPIVISNENEVYHTYEAASEAITMRLWLNQSGYVQRLILNQRSSWDVLYSAPFDKCGSYGSCGVNSICSSRRADACECIKGFISKSQESKNCVRESSLDCQKGEGFTRLVGVKVPDLLKFQLNESLNPTRCEAECLKDCSCTAYANMNVSEGRTGCLMWFGDLFDITEVADMYRGEDVFVRLSASGLGLTNESKKKNRVAIILVSIISSAIVLGLISFIIWKKSKKRDVVLNSTRMEGEEDEREVPLFAFSTIEIATKYFSFGNVIGEGGFGPVYKGNLPTGQEIAVKRLSKDSCQGVEQFRNEVVLIAKLQHRNLVGLLGCCIQGDERMLIYEFMPNKSLDYFIFDHKSRALLSWKNRVDIVLGIARGLLYLHQDSKLQIIHRDLKASNILLDSNLIPKISDFGLARIFGGNDEETKTTRVVGTFGYMAPEYVNDGTFSAKSDVFSFGVLLLEIISGKKNKGYNHPDHQHNLLGHAWLLWNEDRGLEVMDRILEETCVRSEVLRFIHVGLLCVQECPEDRPPMSSVLLKLTNEEATLPQPKRPGFFIQREPFNNFSAIKMDTAFTGKELTISMLQGR >KJB43960 pep chromosome:Graimondii2_0_v6:7:27220875:27222155:-1 gene:B456_007G226400 transcript:KJB43960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIRVRHNCVHAIRHINIRHVGPWVIFRQYLTVRPWSLGFLVEQNGIDTQVVWVRLPGLSEGYYTSFILKAIGQVTGSVIKINGNTVHAKIGRFAHMTICVDLKKPLLSKKKD >KJB44164 pep chromosome:Graimondii2_0_v6:7:32474574:32475112:-1 gene:B456_007G238200 transcript:KJB44164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFATQILFILLFTLFSTFFIKINGEFLRQSIMEKITCLHFYFHDIVDGKHPTAMQIIRVPNRTATSLVTTFMGNATVGGSRIFRFGRGCALAKTVWFNKNGNAIVEYNVTVVH >KJB41496 pep chromosome:Graimondii2_0_v6:7:8042591:8044474:1 gene:B456_007G107100 transcript:KJB41496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTQTPHIAILPSPGMGHLIPLVEFAKRFVQQHNFTVTFVIPTADSPSKAQISTLDSLPSSIDYVFLPPVDLSDLPQDAKIETVISLTVARSLSFLRDALKSLAAKTKLVGLVVDLFGTDAFDVTGEFNLSPYIFFPSTAMALSLFHYLPKLDQMVSCEYRELPEVRIPGCIPIRGKEMLDPAQDRKNDAYKWLLHHAKRYRLAEGIMVNSFVELEAGATKALQEKEPDKPPVYPVGPLVNVDASNKGKADGTDCLKWLDEQPHGSVLYVSFGSGGTLSSNQLNELAVGLEMSEHRFLWVVRSPNDKVANATFFSAESQKDPFDFLPKGFLERTKGRGLVVPSWAPQAQVLSHSSTGGFLTHCGWNSTLESIVNGVPLIAWPLYAEQKMNAAMLTQDIKVALRTEPNENGLVCRDEIAKAVKGLMEGEEGKGVRNRMKDLKEAAAKALSENGSSTKALSEVATRWRNRTAI >KJB40957 pep chromosome:Graimondii2_0_v6:7:6064111:6067952:-1 gene:B456_007G084900 transcript:KJB40957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHRDRGGGSSKSELVGGPFDRKRLNDVLDKHLEKSSPSTSRGFNRKDKERSSVPSTSTGKSQLDHRDSRSAPLSKAKCDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYGYALDLILDVESSHGDMFTEDQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKNYDFGRCPRAFCCGQHCLPVGQSDIPRSSTVKVYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLMPQKPAQNYIPRVFGFKIHKP >KJB40958 pep chromosome:Graimondii2_0_v6:7:6064136:6066887:-1 gene:B456_007G084900 transcript:KJB40958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLIAEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYGYALDLILDVESSHGDMFTEDQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKNYDFGRCPRAFCCGQHCLPVGQSDIPRSSTVKVYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLMPQKPAQNYIPRVFGFKIHKP >KJB45861 pep chromosome:Graimondii2_0_v6:7:55676124:55683943:1 gene:B456_007G333800 transcript:KJB45861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWATVTSAVTTIGNLLTQEAIYLWEVDHLQTELKWMQSSLMVAETKQSTDERIRLWVGEIRELAYDAEDVVEEFALKIGSKNKGLPRCIKRSACCLKEGWALHKTRSKIEKIIERINDLVRRLQAYGVKELNPREEESSSSTERRESRRPYPHIMDDNIVGLDDYTEGLVKVLTNESGRKVVTIWGMGGLGKTTLAKKVYHHPQVIDYFDHLAFVYVSQPCQKRKVWEDILSGFKTLDDRKITDEALAEKLCKTLEDKKCLVILDDVWTSEAWDSLKPAFPLATGHDSNSNSKILLTSRNRGIVSDAEIRELKCLKEQESWELFQKIVFPQTGNIIDEEIKKLGENMVKHCAGLPLAIVVLGGILATKNNLVSEWKKISDNVQSYLKRGKNQGPEDVLALSYDDLPPYLRPCFLYLSHFPEDYMIDVDRLIQLWVADGIVSSKEEERDDGEIAEDMAESYLMELVKRCMIQLRERNVAVSEIRATSKVKTIQMHDLMRDLCLSKAKQENFVFIVDGSNVSSLSMIRKVRRVSVHESFFIQCIKSPSIRSLLFFNQFFPEEALEKSLPLEVLNYVKKHYDDYCNPLFWILLILAGSTRRLKIRGFWRYMFNNFKLLRVLNYDKGRTSYGFWLGWELPSDIGNLIHLRFLSLKDLEFLWQKLPSSLGNLRCLYTLDLRVNILKIHVPNVIWRMEKLRHLYLPFDFESSSKLKLGTLRKLLTLVNFNTKNCYLKDLINMTNLRELEINLPFNIENSNELGENPSIIGSKYLHSLFIIAIGESGSEIDPRHLAHLLSNCTSICKLSIVADISKFPEYHYSSHLAYIRLSWCKFEEDPMPTLEKLPNLRILEFSFKGKKMFCSAQGFPKLDSLILKQLDKLEEWKVDEGAMPSLQRLEIGECRNLKMLPEGLRFITTLKELKIESMPKAFKDRLKEGGEDFYKVKHVPLIIFQNILR >KJB44228 pep chromosome:Graimondii2_0_v6:7:34165596:34167386:1 gene:B456_007G241000 transcript:KJB44228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFFGESDSPSPGEEINEMEALEDEEEYEEEISYEELKKRMWKDRIRLQKMQERRMNDEPDKLSEAKEEASRRKKMSRAQDAILKYMMKIMEICKGKGFVYGIVTEKGKPITGSSDSLRKWWKEKVHFDKDAPLAIAEFLPAIIEQSELDPISCMHLLHELQDTTLGSLLSALMQHCVPPQRRFPLERGQAPPWWPTGNELWWGEQGVSQQYGPPPYRKPHDLKKAWKVSVLAAVIKHMSPNLDKIRRLVRQSKCLQHKMTAHETATWSKVVNNEEALSKLTDKCLKISPSKDDREEDDSQHSPPADNRRHGKRIASSGEKRKCAKTFEGYGSEFRLGFLNKSSRTDHESSTSYDSSEETNPESDLYDNNLIPYGHYSFSLQTISQTNTKDDAQNLLFGIDRHNMELTKAGEQMNEVANADISSLTLQDYLDYLSGTIEYLQLPEELQIQRGDMDLNTFIVLRENMDDDQGVTSIWDMGFE >KJB44292 pep chromosome:Graimondii2_0_v6:7:36481131:36484999:1 gene:B456_007G244700 transcript:KJB44292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVVLGLPGPWAEDNREPSDHYTTKIGGLPDWPLPIEALKFNPTLIHCTQCGSKLCLVAQVYAPVSSGTLNIEDRLLLVFACVTPNCGSSPLSWRALRIQKVENESKVSSSAATLDKAPSASSPVSVSKTNWWENLSDEDNEDLDLEDLSKAFSEVTSLSSEPKKISSNRNSEGAVKHSLSLIAQTRGVDTDTPVMPCFYIYSQAEPSSKDFSSMCSNHSSLSVKEKEGDIDDHGQEETWEAENYEYDKALNADRTYLKFKKQLDASPEQCFRYAFGGKPLLATAEVDNAGKCGLCGASRHFELQLMPPLIYFLQEEVDDCHKGSLENWNWLTLVVYTCSKSCSNSFDEEKSIHGHGQWFVVEETVLVQFDKPLNECLQRYFS >KJB44097 pep chromosome:Graimondii2_0_v6:7:30414497:30415301:-1 gene:B456_007G234100 transcript:KJB44097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGVFPSFVEDMKVFGWERLNGHYGVRAFVVGNTISSIPYLFMISLIPRAIAYYLVGLQKSLGHFAYFVILLFTTMILVESLMMTVASIVPDFLMGIITGADIQGVIMLNGGFFRLPNDLPKPFWRYVMYYIVFHKYANQGFYKNEFEGLSFPNNQAGVSPTSTGDEVLRSFWHVEMGYSRWVGVGILFGMVDICRLLFWGIINTIDKVKPLIKSYMAVPPKYSSQILENPSAISSS >KJB40591 pep chromosome:Graimondii2_0_v6:7:4969714:4973910:1 gene:B456_007G070400 transcript:KJB40591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFARKTVTKRPVTKRLQNQQKGPNSSNRWARVRSSRKDKLDDSLLQEQALAAAILFRQHQQQNGGASLPFDRSASLRYPNSSSGSKKAGQLPRSSSSRARSLTDPLLQPHQLVNQGIKLDNLETNHFVLVHGGGFGAWCWYKTIALLEEGGFKATAVDLTGSGIHSFDTNGITSLPQYVKPLTDFLEKLPEGEKVILVGHDFGGACVSYAMELFPFKIAKAVFVAAAMLTNGQSTLDMFTQQAGSNDLMRQAQIFLYANGNDHPPTAIDLDKSLLRDLLFNQSPSKDVALASVSMRPIPFAPVLEKLSLSDMKYGSVRRFYVGTPEDNAIPVVLQESMINSSPPEKVFRLKGADHSPFFSKPQALHKLFVEISKLPSP >KJB46256 pep chromosome:Graimondii2_0_v6:7:58512178:58513036:1 gene:B456_007G354500 transcript:KJB46256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGIISLQSTKQKCCNGTHKCQKRPRTPKISMITLSKMLNPRSLTMIMYFPFNPTVNHRTRNQTSVHLIEKLSHFFITPQSVV >KJB44158 pep chromosome:Graimondii2_0_v6:7:32377304:32379638:-1 gene:B456_007G237700 transcript:KJB44158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYLTCYLYSVSNLLLLEIKSLTSQFARALDKQNRNNVLTSWPAFAVFNFHTNFPPLPPPNPRRHAPMANPFNPDGFSFSFPLSLLLNISANFFFFPFFCSPRSLLTAKCFCCSAAYCYGATHFSSSVPKS >KJB43668 pep chromosome:Graimondii2_0_v6:7:23046505:23047281:-1 gene:B456_007G211500 transcript:KJB43668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVAIRKSQAIRVMKRKTVRMMAKWRIAMKMMNRITRKIWKKVKKISALAKWKVQYNFHCLIK >KJB41378 pep chromosome:Graimondii2_0_v6:7:7540134:7542227:1 gene:B456_007G101600 transcript:KJB41378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKNAKLSSLIHSEGSRAESVHVCELNQSPWDVISFAQDPYPSYLHHQFEAEDSFNGNGSLGDSVGAVESVASMMESEDKAIMKVEGMVIDDNDEVKFGFQTQCEEEEEGSKQERVLKSCGNDSGNNPSKKSDRNNYQLTGNRRGRARAAKKGSSSASNPYEFYYYSGFGPSWGRRRGGEMRKNIIEGKEVENNSSAVTAQNNTTPSSSSQFDNNEEFDYVDDEDDEYDDDEEDENVDSGKKRMRKPIKARSLKSLM >KJB41203 pep chromosome:Graimondii2_0_v6:7:6969427:6972423:1 gene:B456_007G094900 transcript:KJB41203 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G01860) UniProtKB/TrEMBL;Acc:A0A178WMV6] MTTAVQLFHSLRSIASLPTATVPAAKSSAGARNQPASLHVVCASRKSRDDDYHATLKALNSKGRFPRKSLGQHYMLNPEINEQLARAANVEEGDVVLEIGPGTGSLTNVLINSGATVLAIEKDPHMVDLVRERFETTDKFKVLQEDFVKCHIRSHISPILESRKALNVSLIRAKVVSNLPFNISTDVIKLLLPMGNIFSEVVLLLQEETAVRLVESSLRTSEYRPINVFVNFYSEPEYNFRVPRTNFFPQPNVDAAVVTFRLKQAPDYPSVASTKSFFSMVHSAFNGKRKMLRRSLQHICPSNEIERALGDAGLPTTSRPEELTLDDFVKLYNMIAKV >KJB44365 pep chromosome:Graimondii2_0_v6:7:38654037:38657001:1 gene:B456_007G248200 transcript:KJB44365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFDAHCHLQDPRILNKAPKLISTALDSGLLNFAVNGVSEKDWHLVKEMGDNYPSVIPCFGVHPWYVPQRSPNWFTTLKEFFETTPSAAVGEIGLDKGSKGREIDFNDQIEVFRQQLELAKELKKPASVHCVRAFGDLLHIVKDIGPFPDGLLLHSYLGSAEMVPEFVKSGAYFSLSGYIMPMKVQKANKMLKTIPLERILLESDAPDALPHLELSSLFLVDKDPSLPQEIFAHGRTTASNVSTSSDTSRDASSLPKDMLNHPANIHNVLDYVANLLEISKEELAEISYKNSIRLFSYQGSKVALG >KJB41302 pep chromosome:Graimondii2_0_v6:7:7227374:7230564:1 gene:B456_007G097800 transcript:KJB41302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHVKHVLGDQTIHGCFPKVGVGRLKGFVSTTTIFIFFFFLLAIAALLNWMDIPIIQGIPLGQARSSEIHHESVEFPFNCSNLVNYPSVFEPKESSTNGTCPDYFRWIHQDLKQWESTGITEDMIERGKPSADFRLVIVNGTAYVERYRMSYQTRDVFTIWGILQLLRLYPGKVPDLDLLFFCGDDTVIKKRNYKGRYAALAPPVFHYCGEKAALDIVFPDWTFWGWGEVNIKPWEETLRAIKKGNERIKWEKREPYAYWKGNPLVSRDRRLSFMKCNLSAKHDWNVRLYKQDWGQEIQGGFKHSKLEDQCTHRYKIYIEGVTWSVSEKYILACDSMTLMIQPKYYDFFSRSMVPMQHFWPIRRKNRCKHLKFAVEWGNNHPHMAEAIGKAGSKFIEEALTMRNVYDYMFHLLNEYAKLLKFKPTIPPNAQKLCSETTEQGLWKEFMVQSMVKSPSDKPPCALPPPFEPQAIQASLDAKENKTILKPFKLLWTQKRIKLGK >KJB43635 pep chromosome:Graimondii2_0_v6:7:22529033:22530403:-1 gene:B456_007G210200 transcript:KJB43635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWGLCYLPLFCSLRSSHSSPGIPFLFPIWNFVCQKLHQNLFLFLLGLLVSTVRLPLSVQDFIPPHPYCPDDRNYNFPLSTG >KJB45947 pep chromosome:Graimondii2_0_v6:7:56505485:56507558:1 gene:B456_007G339800 transcript:KJB45947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFEPNSSWIPPFQCGSVELGLLPRVFPTLDFLVLSNNSFSGSLSDLVCNSSGEGWMRSLYIDANLLSGEIPDCWNHRFLNYLDLANNNLTGKIPPLLHKDLRLLNHRKNSMFGELPSTFESVPVWIGDKLSKLMGLCLRSNNFNGHIPHNICDLLSFKTWTLPITTFQGLFHHVGNDICGPLLTKNCTTKGFSTDVTNNGDSNKRSKVNWLYVSIILGFVMGFWGVVAPLFLIRTWRYAYYQKLDHVGRKLCLELLWEGLAPSLRPKLVPN >KJB42794 pep chromosome:Graimondii2_0_v6:7:15050545:15051744:1 gene:B456_007G168300 transcript:KJB42794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLPRELVPEILSRLPIPSLVQSKSVCRAWRIFIHDQEFVDKHFKRMIDNDPSFILQIIGNPIQNQLYFGDFSGHPNGGNVMITKKLTIPPLSNFHLVSSCNGLLCLRFTHPSFGLCIYNPFTRDYIELPKIITKNPASHHGSVLGFGLDPTTKKYKVVEVSYKGITCRTLPRRVAISSMRRPPLTAAYASSSIESEVYILTVGSPTWRNLGRFPFHFMWQKSQVLVHDKLHWIYYPDTINATDLIMSFDLANEQFNEVPRPDFISSDRRFRELVLRGCLSAVSSDNEGLEIWVMKEYDVRESWIKEFSIGTYVPRILQPNDQCESSNNSRFYLPKRSIRVLCQLRSGGILLEYRNKALVIYDPHCRIFHDLQVTFAGISTYFRIVVHVASLNWIETT >KJB38888 pep chromosome:Graimondii2_0_v6:7:45429421:45431518:-1 gene:B456_007G2704001 transcript:KJB38888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISAFSTPLHLISKIHFKSPKNLFFYPNSIHFQTKVSTFSSNNLTLSFKNSETLHGTWKLKSAEEEETTVVEQEREETIVAEQESVSPQFPQIDSPFIFPKPFLPYPDSLYF >KJB43223 pep chromosome:Graimondii2_0_v6:7:18335267:18336799:1 gene:B456_007G189100 transcript:KJB43223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVGIESNTYNEQYVKRATTTLDEFSLEEQDHLERSTKKIRAVDVVESLSPAPPSQSYKESLLKPLVSNDLFQGACSVKDDDDDSDSDMEELSDEFASISVVELLEKLISYKTLTQWLTSMWNLDEEFDCIDLGHGFYVVKISSVDDGLKVITTGTWKIMDHYLTIQKWKSNCHPAIRMIVSTVVWTQLPSLPLEYFNEEVLVKGKFARICVEIDLCKPLIPSIRIGNFIQNIEYKGLHDFFSYGCFEHRTEA >KJB39508 pep chromosome:Graimondii2_0_v6:7:1308179:1312663:1 gene:B456_007G017100 transcript:KJB39508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVLMSSDEVKTESEIDRENGEFEGNKRRKKMSGGSFVSVEAVNWESFLPTMALRVLLVEADDSTRQIVTALLRKCNYRVSAVSDGLQAWEMLKAKPHNIDLILTEVDLPSISGFALLTLIMEHKICKSIPVIMMSSQHSISTVYKCMLRGAADYLVKPLRRNELRNLWQHVWRRQSLIASGNTPQDESVGQKKIEAISDNNAASNRSSGCLVGGERNKEQTEKGSEAQSTCTKPDVEAEDANMENMQEFLLLPSNSQKHEVQMDFNQRLLVHEKETGVDGACKDTKISVEAGDAVGDSPRKAIDFMGTFDRNCNSSSMNSTSKVGSSTHLDFSLGRCSPNAFENHATREKPTLWHPNSSAFTRYSSRPSQPLQSTLTSVSDQKKESGTDSEKMLPNSIDEYNSDTPSPKLTPQRNTNPLTTGSTGQLRQTEVAASCTQQVVLPVLVPSPSLANQKELACCVNPFHHPSFESNSSGQFYDRLASNTNQLTNQPLQKLDQKMNSTEDRGHISPTTDQSATSSFCNGSLSQLNGIAYGSTAASNNSNIDQEAVVRASADSKNDDVFPSPTGNSHRSIQREAALTKFRLKRKDRCFEKKVRYESRKKLAEQRPRVKGQFVRQPQADLSHYGNSSDE >KJB42356 pep chromosome:Graimondii2_0_v6:7:12646301:12646663:1 gene:B456_007G149500 transcript:KJB42356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLSLEFIVHYHLTPAVAAHYSSNYQNAHFPDFLLLPLPSNKCIVSSGQKKKVNYVQCSQFHIKTTPQG >KJB43217 pep chromosome:Graimondii2_0_v6:7:18219618:18220649:1 gene:B456_007G188700 transcript:KJB43217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKVVCRKLYDYVQYDLKEIAFPSSLPDPPHIKKRRKLTWHEHFLVFLFSYFSSAVLKEASRLYAASWVRDIGPDLRPNDYKKDDGTEDKMEIRVGVQRQNL >KJB39319 pep chromosome:Graimondii2_0_v6:7:1320702:1322251:1 gene:B456_007G017400 transcript:KJB39319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRMGKRRKSSKKGTAMAKNVVIVPSSAEKGMALKNPTYKKQRNNENNEDPEDHQSPLRSIFCLKKIVDMKRVEETEDCFILDFNPFNSIDIAKLSAVNDGDEADLSVVAEKGQVACRDYPHSRHLCLQFPFDTTPHDRHCHLCYCYVCDSAAPCEFWVLHCDASEHVETWKSQRQVRIPKGQPSRF >KJB42264 pep chromosome:Graimondii2_0_v6:7:12283810:12284994:1 gene:B456_007G145800 transcript:KJB42264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPTLFQNSTTPDFSPESSSFGCWDDLLLDYNISIPFSFNDLDEMIWMDSLGDGPKESTESNSTNSVREEEVTSDANHPKKEKSYRGVRKRPWGKYAAEIRDSTRNGVRVWLGTFDSAEAAAIAYDQAAFSMKGSLATLNFPVEVVRESLQDIKYRCEEGCSPVVALKKRHCLRKRSKSSNKNTKQNVAPTPLQHQNLVVLEDLGADYLEQLLTSCETEANVPW >KJB39720 pep chromosome:Graimondii2_0_v6:7:1873028:1873429:-1 gene:B456_007G027200 transcript:KJB39720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRSASMALFLALNILFFSLVSACGSCYSPTPKPKPNPNPTPTPSSQGKCPRDALKLGVCANVLGLVNATAGSPPVMPCCSLLNGLVDLEAAVCLCTAIKANILGINLNIPVSLSLLLNVCSKNVPSNFQC >KJB45734 pep chromosome:Graimondii2_0_v6:7:54264835:54269877:1 gene:B456_007G324100 transcript:KJB45734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSAVSSALKTIDKLTREVTSLWGVDEQVEGLESELRWMQSFLKVADARKVDNELIRTCVVEIRELAYDAEDVIETFSLKVVSKRKGEFSNCIKRSACFLKEGCLLHKIKSEIEKITARIKELTRQLKTYDVSKLGVDGEEPSSSTERREARRPYPHVMDDNIVGLDDGIKKLVTVLLDEQSECRVVSICGMGGLGKTTLAKKIYHHSQVVGHFKHLVWVYVSQHCQKRKVWEDILSDLLILSEEDTKMKVEKLAEKLSSFFEENKCLVILDDVWNTEAWDSLKPAFSARETRSKILVTSRNKEIVSHADKNGFLYEVQCLNYEQSWELFQKIAFPPSNSTGYKIDAKMKDLGEDMVKHCTGLPLAINILGGILATKYPSLTEWLKVSANVKSYLKNDQGEVLRNVLALSYDDLPPYLRPCFLYLSHFPEDYEIPVDRLIQLWVAEGIVSSNQEEGDDGQIAEDVAEGYLMELAERCMIQVQERDIATLKMRSFQMHDLMRDVCLSKAKQQKILYIADQSNACQLSTIGRVRRVSVHKYFSIQCIKSPRLRSLLFFDKFLPNGEQDKILKSICLERYFDNHEDEVCNPLVLFGILLGLSVYVTKLKGIWKYMFNNFNFLRVLDYERGGAAGCKLPNDIGKLIHLRFLRLRGLDFLNSSLPSSLSNLRCLQTLDLRIKTLSFFKIIHVPNVLWRMQQLRHLYLPKHCSPKTRLKLGTLRNLQTLVNFNTRNCYLKDLINMTNIRELEIRGPFIIEDFNTEELDKNPPIIQSKYLHFLSVINNKGRIDPRHLAHLLLSCENISKLSLDVEIRRLPEFHYLSSNLAYIKLRRCELEEDPMPTLEKLPYLRMLELHEEAFIGKEMLCCGQAFAKLESLSLKELNNLEEWKVGEGAMPSLQRLEIQKCRQLKMLPDGLRFIANLQELKIESMPKTFKDKGEEGGEDFCKVRHVPSIIFQDCE >KJB42111 pep chromosome:Graimondii2_0_v6:7:11279976:11280359:1 gene:B456_007G137500 transcript:KJB42111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQLLCDWFSISFLNKTRAREHPHLPCFSVVQAANMQMRPDPSRPHSILTYGQLLPGASTIVAPFWTTHQNTHILRYNTVSVTNFWSCQLLLMIDNDANLH >KJB43842 pep chromosome:Graimondii2_0_v6:7:25055534:25058038:-1 gene:B456_007G219000 transcript:KJB43842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLFLYLLLPLFLTLQFYPVPILSHPYNFPDKFFINCGSGSSLPQCGNRKFVGDKNPNSFSVEISKYVQDTNQSTTELSLYKATRFYRCPFSYEFDITDKGLHAVRLHFFPFLSNKANLADALFNVSASNKSLVSNLSFRNITSFPLIKDFLVPINSASFRIYFIPAFRTSFAFVNAIEVFRVPNLKDNRIHVTSTGNKGPFKGLTFQVLRTVMRVNVGGQSISDQSAAASVWLADDDYIFIGNSSKRCTYLQNGKVNYVDEEIPNENFTLASENLVPKAVYMSCRKVILNDGQASNSTNITWHFKVSKNARHLVRAHFCDIIAPGVNTVYFGLFIYSKYKQEISPNEDSGFTAIPFYYDFVVDSGDSDFIGISVVLGEELATDIYAYLSGLEIMEFIKEPGLELEIRQPKGKRAIFIITGSVVGFLVICGLLVFSLLCKTSYGVRTLRFLRMSSYLGTGTRSVNPPQLNMSFAEIVEATKNFEAKLLIGKGGFGKVYRGTLRNDLKVGMKRSESKHGQGLPEFQTEVMVLSRIRHRHLVALIGYCNEGPEMILVYEFMEKGNLRDHLYKLHQNLEKSSILSSLTLNQRLEICIGAAEGLHYLHTSSDGGIIHRDVKSTNILLDEGYVAKVADFGLSKSGLLDPAERSIGVKGSFGYLDPEYFKCLQFTEKSDVYSFGVVLLEVHCARPAILTSQRMEEINLAEWGLSWLKKGELEKIIDPSVAGQININSLRKFSEIVEKCLKPTGANRPTMHDVYWDLKYTLQLQQTAVCREPHEDSAIDPSLDSSSPTFQHLPSNSFPMEKDYVPMEKDDGSATTSSGVFSQLKIDDGR >KJB40203 pep chromosome:Graimondii2_0_v6:7:3581771:3583774:-1 gene:B456_007G050800 transcript:KJB40203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHRNLIKILFFRCFQGEFARGLPGFSSLLLCLVPLYFFILSAYHYLLHIRCNIRDGCMIPHHHHQLGISCRSN >KJB40982 pep chromosome:Graimondii2_0_v6:7:6168838:6169536:-1 gene:B456_007G086100 transcript:KJB40982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFVENNISDSELNMYLVDGFDECPATTAFTGVVPEPFYNRSSSFSGVFLNESRGDLPLKIDDSEDMLVYGALRDAANPGWSGPSEVDLKTVAEAEVEVAVPQQEMRFKGVRRRPWGTYAAEIRDPKRKGGRIWLGTYETPEDAALAYDKAAFEMHGAKAKLNFPHLIGTDCSWEPIRVGSRRRSPEHSAWTALSGSTNCPAKPKKKKNREISIQAEASLAAEMIEMSPFI >KJB42948 pep chromosome:Graimondii2_0_v6:7:16412102:16413208:-1 gene:B456_007G176200 transcript:KJB42948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPLCSCLRLFVLNKTSPQLNRGSELPEGLCRHFSLADIKAATNNFHPNSVIGESCFWSVHKGTIDNGTIVVKRCRSGSFQDAVRELQNEVRFLCQLRHPLLVSLIGICEERNEMILVYEYVSRWTLADHLYGQGYAPVPWKRRLQICISAARGLHYLHTGAKYALFHGHITSRSILVTEELSCKLHDFRLSRLGSFSMSKASRVRKESRITGTFGYMAPEYAMYGELTEKSDVFSFGIILYEVLLGRTAYDSTLPKHKQHILNWLKESQREGAIYNSIDPYLKGRIAPECLDKYLDIASSCAHYKGNERPAMGEVVVTLELALELQERTESKMEAINPSGEFMYEELFSASVSEFCFPYDDYGSNS >KJB40341 pep chromosome:Graimondii2_0_v6:7:4199571:4202053:1 gene:B456_007G059300 transcript:KJB40341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENLDCSTSNLLCSENTSSCFDGDLDFNAMNEFGASPACHQLFKNQIFNQNDPFLMNNRSTSLLGCPGFALQSDDVIKEMVEKEMEHLPRDDYLKRLRSGDLELSARREAIEWIWKASAYFNFGPLSLCLSINYLDRFLSMYDLPRGKTWTVQLLAVACLSIAAKMEETKVPSSVNLQVGEPKFVFEAKTIQRMELLVLSTLKWRMQVLTPCSFIDHFLNKLSNDHQYPSSTSITRSLQIILNTTRGINLLEFRPSEIAAAVAISVSGQMQRCAIDKVISSFIFVQKERVLKCVEVMKDLTFINGSAATTSATSSVPQSPIGVLDGAACLSYKSDEIKHGLFANCSHPTPDLKRRKLDKSPQPDHI >KJB45255 pep chromosome:Graimondii2_0_v6:7:50759330:50760649:1 gene:B456_007G297400 transcript:KJB45255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWKPNCINNFVVPIFIVIVAVIAEMPTSTVISAHTCFLQFSNWFMKKNGYSEREDSGWVLDSDYREGLDK >KJB46204 pep chromosome:Graimondii2_0_v6:7:58274669:58279041:-1 gene:B456_007G352500 transcript:KJB46204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLLISCFSFILLSKSLSSKHQRHHRERRLFPPWYEAFTTTFMTSWFQKGTLQIFTSTMPLKKLKPKAKNLVWSSSTENQKEDHNKTTPSPSLLDLPELVLDCIFDRLQPAELCAMSGVCSSLRERCVSDYVWAKRMKGKWGKVIGDAAYREWQWYIALQNRSNLLNNQRNQSNLSFESVAKIWPFLSWFNIKPRAEKELKNGGLAVDSIMAWYLALETGRFSFPAQVYNRENGHAGFLLSCYDAKLSYDSKTDTFQARYSPHVRQTTEQNISWDRLRAPPVDTCSYDLHISNSLFDLKPGDHIEIQWRRNREFPYGWWYGVVGHMESCNGNENHCRCQDTDTVMLEFKQYPSSSRWRKTTINRENHREVGNEGDGFYGKFLLATDSVFSI >KJB40109 pep chromosome:Graimondii2_0_v6:7:3278280:3281318:-1 gene:B456_007G047200 transcript:KJB40109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLALKLVSLLSCITTWSSVLALIPIIGRYYPNEQAALWQLRDSVGSSNLYSNWTGPPCMNNQSRWGGIACSNGHVVHLVLDGIRLMGSLPPAFLNNLTLLTKLSMRNNSISGPLPNLGNLVGLEYVFLSRNLFTGSIPFDYVQLQSLKEIELQENYLQGKIPPFDQQSLIAFNVSYNSLEGPIPQTDVLRGFPESSFLHNSGLCGNPIKKQCPVPPAPSPSPSHSGKKSSFETRGLVFIIAVSVLVPCLVIFVFLWYYKRRHRKETAKNSELGETAIELETKKMNASQRALDPERTAELEFFEKSIPAFDLDDLLRASAEVLGKGKLSTTYKASLESGLVVSVKRVKDMKGLSNKEFIQQMQLLGKLRHENLVQIISFYNSNQEKLIIYEFVPSANLFELLHENRGSARIPLNWGIRLSIIKDIAMGMNFLHQSLPNHKVPHANLKSSNVLVLRQTQNYHSKITDYGYYPLLSSRRSLEKLAISRSPEFCEGKKLTNKADVYCFGIMLLEIITGRIPGDEISWGDEEKAEDLSEWVKAVVNNDWSTDILDVEILGTKEAHDEMLKLTNLALECTAAAPEKRPKMTDVLRRIEEIEQRKSDND >KJB39020 pep chromosome:Graimondii2_0_v6:7:8808466:8809119:1 gene:B456_007G113500 transcript:KJB39020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQSELVLSRRYTLSRLLTESDQSDLLVESVPIFQGPIAIAMGIGIGIGMAISHILSPSSINQSNR >KJB43037 pep chromosome:Graimondii2_0_v6:7:17062137:17062997:1 gene:B456_007G180700 transcript:KJB43037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDIAELQKQLKIKTVEIDMLNITINSLQTERKKLQEEIAHGASIKKELEVARNKIKELQRQIQLDANQTKTQLLFLKQQVSGFQAKE >KJB40888 pep chromosome:Graimondii2_0_v6:7:5828780:5831631:-1 gene:B456_007G081700 transcript:KJB40888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSYVALEEEGDGCCVVEENENPMVLYDFRKEDEHLNLEFSQHVVGGVVEPTLDMEFISEDDARNFYNAYAKQTGFSIRMNSYYRSKKDNLIISREFCCSKEGFRRDKHSRKTDSGDDTKRRRARPITREGCKALMTVRKRENGKWYVAKLEKNHNHELVIPAMRHFLRSHKEEDDPKKSLNGTIGSMGVGLNASVNVSPEDYNSFGKLGFAAQNNVNFIRRGRLSNFGVDAQSLLAFVKVMQTSDPAFYYAIQVDDEDRLRSVFWVDTRSRIAYNCFSDVISFDTTYQVNQYKMPFAPFIGVNHHRQSVLFGCALLADETESTFIWLFTTWLEAMSGRQPGLIMTDYDSAISRAVESVFPKSRHRYCKRHILSKIPKEIGHVFSSLPKTFQVEFEKCINNSETPEEFESAWQLLLDKCSLRGNEWLQSLYNDRKLWVSTYVRDTFFAGMYSIQPSKSVSSLFDGYVNAGTALQDLAEQYERALDGRYEKEVRAEFETFYTKPVLKTPLPMEKQAAEVYTRKLFLVFQDEIYESLVLAVKSSLDDGLSKTFEVARFDEEHKVYRVGINIADQIASCSCKMFEFEGILCRHVIAVFKATNIFLLPQHYILKRWTKNAKEEAKFDALPSVEIHDNSRKGKKQYNLLYQEAIKCAEEGMASDHSFNVALNALREARIKIVSAKKNAINVQKLETASSTHYTDDKTT >KJB42864 pep chromosome:Graimondii2_0_v6:7:15454460:15455395:-1 gene:B456_007G171200 transcript:KJB42864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFQLCSLVLILLLLTPRIQAIRRNILVSSDSSVKGTRQPGQQVFHPGSTGPPFTGQARQFEEKRRVPTGSNPLHNKR >KJB44467 pep chromosome:Graimondii2_0_v6:7:41286379:41287514:1 gene:B456_007G255000 transcript:KJB44467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTSHDVEESWDDEFQQLVQTFPKDKSFTGMNLYFFQGFWCPSIVLKAVISCQKHFQAFDSDIIIATLPKCGTTWLKALTFSTLYRNQFARENNPLLTYNPHSLVRFIDYDFYFNDTCPDLENCTLYQPRLFSIHLPYASLPTSIKNSNCKIVYLCRNPMDTLISFWSFFSRLRGEGFEPVSLDGAFEMFCQGINEFGPFFDHVSGYWRASQEKSSKILFLQYEDLKEDITSHLKKLAMFLGVPFTEEEEKQGVVEEIAKICSFENMKDLEVNKKGVQTFGYPQETFLGKIKTYEIPREAFFRKAKVGDWSNYLTPSMVVRLEKLIQEKLENSGLTFKLFSKTSNDITSTE >KJB38962 pep chromosome:Graimondii2_0_v6:7:40084339:40084964:-1 gene:B456_007G252000 transcript:KJB38962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTTLITISIFGLLVSFTRYALYTAFGQPFQQLRDPFEEHGD >KJB45880 pep chromosome:Graimondii2_0_v6:7:55923662:55926962:1 gene:B456_007G334900 transcript:KJB45880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPKPQLPIHGLVAAIVVLLQLPEFCVAKTRNKYCNSTVCGNVTISYPFRLPTQPPNCGEPRFELDCDSNNRTVLSLNHGRFYVQNIWYEYSTIRAIDPNLAVDDCSLPRGSLSFWDKGPYSLVFPLSLMYVVNCTKAIKSSLYIDASRCPVNSSGSDPPPDSYVYFLGERTSPRDFDESCRIEATVPVIVQDISGFSTSDIYEKLLKPGFELSWTFGFKSQQASPIDALLGDLEYALQSYIDSFIHYLFKGPHIAHASYYAPKSTYILCLQITGGFILARILPRIICLIGLVIYKWRRRHLCADDTIEEFLERQKDLMPIRYSYREIEKMSNGFKDKLGEGGYGSVFKGKLRSGYFVAIKLLGKAKGNGQDFINEVATIGRIHHVNVAKLTGFCVEGSKQALVYDFMPNGSLDRIIFAKENKVDLSWKKMFDIALGVARGLDYLHQGCDMQILHFDIKPHNILLDENFTPKVADFSLAKRFSVDDSIVSLTAARGTIGYIAPELVYKNLGGVSYKADVYNFGMLLMEIIGRRKNMNTSAEQESQKYFPSWIYDRYYHGEDVDLGDVTDDEKIIVKKMVIIASWCVQVKPNDRPSMSKVLEMFETDVDLLQMPPKPFQLPFEVATATQSYASTKDQPHDSSTDETSYLLYSSNEITVSIL >KJB44572 pep chromosome:Graimondii2_0_v6:7:42692855:42696845:1 gene:B456_007G260000 transcript:KJB44572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRVPILIMGVVLWCCLTTPAKAEYMKYKDPKQPIPVRIQDLLDRMTLEEKIGQMVQIEREVASAEVMKEYFIGSVLSGGGSVPAPQASAETWINMVNDFQRGSLSTRLGIPMIYGIDSVHGNNNVYKATIFPHNIGLGASRDPELVKKIGAATALETRATGIPYAFAPCIAVCRDPRWGRCYESYSEDPQIVQDMTDIIPGLQGDIPTDSPKGVPFVAGKNNVVACSKHYVGDGGTTKGIDENNTVIDWQGLLSIHMPAYYTSIMKGVSTVMISYSSWNEIKMHTNRELITGFLKNTLGFKGFVISDWEGLDRITYPPHANYSYSIQAGIHAGIDMVMVPYNYKEFIDGLTFHVKNNVIPMSRIDDAVRRILRVKFVVGLFENPLADNSLVDQLGSQEHRELAREAVRKSLVLLKNGQSLNQPLLPLPKKTSKILVAGSHADDLGYQCGGWTMEWQGFNGNNRTIGTTILAAIKNTVDPITNVVYKENPNAEFVKSNNFSYAIVVVGEHPYAETDGDSMNLTIADPGPTTIRNVCGAMKCVVIIISGRPVVIEPYLASIDALVAAWLPGTEGQGVADVVFGDYGFTGKLPRTWFKTVDQLPMNVGDPHYDPLYPFGFGITTEPTTRQSDDNPFLSISSYFN >KJB45729 pep chromosome:Graimondii2_0_v6:7:54174873:54176913:-1 gene:B456_007G323600 transcript:KJB45729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGTDTKEIYENVMNILEDLTSNAHKLQEQVLEEILKSNAGTEYLSRFFPNGQADKQSFKTNVPIITYEDIKPYIDRIANGDTPSILLAYRITQFIQSSGTSGGQPKLIPMTVESFEKRMYEPLLPDLVIKRCFNGSDEGKSLYLYFIKPEMETPSGLVASLYTTFYFKTKSFKTGLAKFCTSPIETILCSDNKQSMFCQLLTGLLQRDEVVRMGSSFASVLPRSIKFLDDYWKELCSNIRTGYLSDWITDAGCRNAMSLILTRPNPEMADLIQQICEDKSWEGIIKKLWPKIKYITSICTGSMSQYIPLLEFYGGGIPLVSPNYSSSEACFGINLKPLSKPFDVSYTFLPNTAYFEFLPVNKDGGGKARDTRTIDKPVDLVNVKLGQYYEVVVTTLTGLYRYRIGDVLKVTGFYNKSPQFQFVERQNVVLSIDLDKTTEEDLSKAIMKAKIVLEPLGIMLTTYSSYADTSLMPGRYVLFWELKMKGRNDLPKLDAEIMEQCCCIVEESFDFTYKSLRKGGIISGLELRVVKHGTFDQLMDYYVSKGASITQYKPPSCLKSKEAVKILNSGMVGKFFSSKTMF >KJB40608 pep chromosome:Graimondii2_0_v6:7:4994802:4996041:1 gene:B456_007G070800 transcript:KJB40608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKTKNIVVGFIVVITLLALGLASNIFGGSLYPEFYDHSCPKAQEIVRNVVAKAVAKEPRMAASLIRLHFHDCFVKGCDASILLDSSGSIIGEKRSNPNRNSARGFEVIDEIKAVIEKECPHIVSCADILALAARDSTVLTGGPSWEVPLGRRDSRGASLSGSNNNVPAPNNTFQAILTKFKLQGLDVVDLVALSGNARCTSFRQRLYNQSSNGQPDNTLDQSYASQLRRNCPRSGGDSEPFDNSYFKNLMANKGLLNSDQVLFTKNGESRELVKTYAYNRELFFQQFAKSMIKMGKISPLTGYRGEIRKHCRKVNA >KJB44745 pep chromosome:Graimondii2_0_v6:7:45298079:45298549:-1 gene:B456_007G270000 transcript:KJB44745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KHLKSGWINCDSVNVAQIPGLNTLGISLVRIDYAPYGGLNPPHTHPRATEILVVLEGTLYVGFVTSNTDNRLITKVLNPGDVFAFPVGLIHFQFNIGNTKAVAFAGLSSQNLGVITIANAVFGSNPPINPDVLTKAFQLDKNIVTYLQSKFWWDNN >KJB46603 pep chromosome:Graimondii2_0_v6:7:60806990:60807880:-1 gene:B456_007G377000 transcript:KJB46603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQERYWMWTRRRHGLTSHHHHHVESSTKEGAYYASWEEQAFAEDASGTPGGCIWPPRSYSCSFCGREFRSAQALGGHMNVHRRDRARLKQSPPSPSPPHHEHHHHQNNIQDPFLPLGFPCQPDEVCNFLYNPNPNSNHGTTKQDCPGPPLFSSPKPWSNLTADRYGGDKSPRTLVVRETHKEDITVGCKRKRTDDHTPTMTLLPFFLEPISIQKHHHHHPPADEDNNIDLELRLGDPPKVK >KJB41243 pep chromosome:Graimondii2_0_v6:7:7099967:7100494:-1 gene:B456_007G096400 transcript:KJB41243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKSRTLEITILSAEDLRIHNKSVKKNAFVVVQTDSFNSKTTKMNGKGGSSPSWNDKLVMDMPMHTRFVTLQVKCKSSGGRDKTVGLVRIPVTDFIGGYSPETCLQFLSYRLRDPKGLKNGILNVSIRLKEPLQACSSQAVASGLGIPINGLNDFGVVTGIPICSGYPSTSFFR >KJB42275 pep chromosome:Graimondii2_0_v6:7:12385643:12390322:1 gene:B456_007G146700 transcript:KJB42275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKLDTMRQKQSKDELLYQAAIAGNVDGIKSLCREGAGLEWIDRDGKTALIVACMNPELIDVAKTLIEMGANVNAYRPGRNAGTPLHHAAKRGLEQTVKLLLSRGANFLLRNDDCQTPLEVARNKGCTNIVRAIENHICCFSGWFREFHGPRFLGGFAPQLLSRKIWVVIIPCGSGNPMRPPRFELAIYSTLQDAQPQTVIALWKAKIEEPKFLKSDPALVIFDQTTKTRYKIASGNEGDKQQLQWLYSACKGNLQVVPSPTVLDTQTSSAANAHQTVAESPERNNNWCSGAVDANGWEISTNAESYNGWGPTDGREHSELGNTGWMDGPTRKDYNGWGVLDSGPSVQTLGDHSGWMGARTKKENDWDSRPTGKQSLQSLLDPPAHVQTSGLNASMSPSAPPIPDDVLVEEPSQYPSIDFNPVHLPATTTTDHGASTTNDAKGDGSSSCIICWEALVEGACIPCGHMAGCMSCLNEIKAKNGLCPVCRSKIDKVIRLYTI >KJB42408 pep chromosome:Graimondii2_0_v6:7:12962565:12965526:1 gene:B456_007G151200 transcript:KJB42408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPSWVSYLTAWLATLALILLSLRFRPRRKLNFPPGPKPWPVIGNLDLICSLPHRSIHALSQKYGPLMQLKFGSFPVVVASSVEMAKAFLKTHDVIFAGRPKIAAGEYTTYNYSDITWSPYGPYWRQARKMCMTELFSAKRLESYEYIRREEMKLLLKGLYESSGVPIVLKDRLSDLSLNVISRMVFGKKYTEGTGENEIVTPKEFKEMLDELFLLNGVLDIGDSIPWLRFLDLQGNIKRMKALSKKFDKFLEHVLDEHNARRRDVKDYVAKDMVDVLLQLADDPNLDVKLERHGVKAFSQDMIAGGTESSAVTVEWAISEMLKKPEIFAKATEELDRVIGRERWVEERDIENLPYIDSIAKETMRLHPVAPMLVPRMTREDCQVDGYDILKGTRALVNVWTIGRDPTVWDNPNEFCPERFIDKTIDVKGHDFQLLPFGAGRRMCPGYPLGIKVIQASLANLLHGFTWKLPGNMTKEDLDMEEIFGLSTPKKCPLQAVAVPKLPLHLYSH >KJB40230 pep chromosome:Graimondii2_0_v6:7:3666118:3666339:1 gene:B456_007G052500 transcript:KJB40230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPISGKNDWNLSGLFGFIWIWTLLSLCPDNSPLFKWFIMLKQPLIFWPYVAFIHWDHYLVICKCQCTLSLT >KJB43706 pep chromosome:Graimondii2_0_v6:7:23273682:23277085:-1 gene:B456_007G212600 transcript:KJB43706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKLPCGSFSSFMHLLKHCQSIQDLKPLKSLLIVQGLIRNNLLLGHFLKSCFYLGAPNLALSTFYKIQNPNLFCQNLMLKGLSSYGLYGDLLSVYTKCRVLNCPSDDFTFPFVIKACSALGASGIGQQIHCVVLRKGYERNVVIMTSFIDFYARNVDIGIARKLFDRISDPDLVSWNALLSGYCFNGLDKEALGVFGEIQGMNIKPNVSTLASIIPACTRLGYFYFGKSLHGLAVKCGYFFNDFLVPAFISMYKSEVDLSSARKLFYFAVERNVSVWNALINSYTQNERFFEGFEMFREMLRNDVQPNSVTFVSTVPFCENYFDISYGGSLHCCVIKHGFGSQVSVLTALMSTYAKLGEISSSEMLFDQIPNKTQLSWNVLISGYVNNGLPDESLVAFRKMQLEGFSPDAISIVSILSACSNLGDILLGQSIHAFVVRRSFETNINVSNAVLAFYSDCPLLSTCFRLFKRMATKNTVSWNTLISGYVHSGQKDKANVILHQMQKEGGKLDSVTLLSILSSYSESENFRKGTILHGYAIKTGWDSDVSLTNALISMYCNCEELDAGSLLFDAMPERSVVSWNSLMTGFRHYNLSNDVLVLFAQMVKENQRPNQVSILNLLPMCSMLSQGKSIHAFALRTGMIEETTVLTSLIFMYARFGKIKLSLLVFQTGKRCDISLWNAIMSVHVDTKNAKQAVAVFCEMLQISLEPDNITVLSLISSCVLLNSLNLADSVMAYIICKGFDKDVVVSNALIDLYARCGSIVVARLLFDYLFEKDAVSWSVMINGYRLHGDAEGALKLFSRMRLSGVSHDAITYLSLLSVCSHAGLVEEGQRVFNCMVEDGLSPRTEHYACMVDLLARAGHLHEAYNIVNRQPFKPSLGMLESLLGACKMYGNIEIGQRIFQMLFEMYPQNSESYVMLHNIYAAAGKWEDANTVRSIMEGRLLRKLPGFSLVGDNFTY >KJB39413 pep chromosome:Graimondii2_0_v6:7:981298:983927:1 gene:B456_007G012300 transcript:KJB39413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFSGGGPDFYGGLAGRSVVNTGTINNNQTTAPYRTQVPGMFMDTSSQIVNRATPGFIGKRTLADFQTQQALNNNPGRLFLRSVKPRTYQHTSPISPLSPIDLPSNLSPDVMSNFSSPSSCMSQRYGLPLLQQLRPQQVPLGINTGATIQAVSTGLSGGPYMNQVSTRVVQPHDPEKKMMNQLQDLEKQLLDDDNDEGDAVSVITNINSEWSETIQNLIGSTGSPNNPIAPSPTSTTSSCSSTSSTASPASPCSKQTILDAAAAISEGKNDVVNEILTRLAQAANSKGSSEQRLMECMLSALKSRVNSVENPPPVAELFSKEHAASTQLLYDLSPCFKLGFLAANQAILDATLDKPSCNKFHVVDFDFGLGGQYMNLLHALSERGNGKPATVKITAIADNGGDERLKTVGDRLSQFAESYGVSLKFNVISGLKLSDLSRDSLGIEQDEPLAVNFAFKLYRMPDESVSIENPRDELLRRVKGLAPRVVTLVEQEMNTNTAPFASRVGEACGYYGALFDSVESTVLRDNPDRAKLEEGLLRKIANSVACEGRDRVERCEVFGKWRARMSMAGFELKPLSQTVAETMRAKLNSGNRVNPGFTVKEENGGVNFGWLGRTLTVASAWR >KJB42144 pep chromosome:Graimondii2_0_v6:7:11531502:11533733:1 gene:B456_007G139600 transcript:KJB42144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYFPVKEEYPSSSYSQSDDDAPRMMEPPQPVEGLHDSGPPPFLTKTFDMVDDPSTNYIVSWSSGGSSFIVWDPHSFSTNLLPRYFKHNNFSSFVRQLNTYGFRKIDSDRWEFANEGFVRGQRDLLKSIRRRKTTTSQLPTSQQALGPCVEVGRFGLDGEVDRLRSDNQVLMMELVTLRQQQLSTRAYIQAIEERLQCTEKKQQQMMSFLARAMQNPSFLQQLMQQKERTKDLEEAMSRKRMRPIDQRPLAVDVGESSRGSDGTNPVKIKTLEFGDYGYQVTELEALALEMQGYGRTRRGQEESQNGHDHRESHDKELDEGFWEELLNEKFLELDIPGTELARD >KJB42953 pep chromosome:Graimondii2_0_v6:7:16430916:16432655:1 gene:B456_007G176700 transcript:KJB42953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPATATQAAAPVMPQLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPPGFDYELYNRNDINKILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKAVNALEQHIKNLLCPSTPFFFNTLYDPFREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRFVDAVLTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIYHSKASNPFVNLRKEYKGIFWQEEIIPFFQQAVLPKDCTTVQKCYVELAKQVKEKLSKVDPYFDKLADAMVTWIKAWDELNPPTAGSVPNGKPA >KJB43397 pep chromosome:Graimondii2_0_v6:7:19951575:19953325:1 gene:B456_007G197800 transcript:KJB43397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRREVRVLFLVTVVLIGCQSGVDGRDEFERKNEVPGWSNSTSTESHSFIEDVQHKGTNSSAETLVQTNINLSHAGKGGGNGGGGGGGGGGGGGGGGGGGGGGNGGSCSRGGGGRGNGKGKGKGKPHKKRKGRGHCSCGGGGVGVVVVVEVVEVEVEMEMVEEKVNHTRKETTKVTDGVEAMEKVVGVGVAKVKDGVGEEVTVAVETMVEQEKQLEGKLPLLLQRQTMHVERSKETCT >KJB45763 pep chromosome:Graimondii2_0_v6:7:54601160:54602123:1 gene:B456_007G326400 transcript:KJB45763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVFFRFLDAIFPPNKAFFASSCFHEQAKPTLTFFFFFLFSFYFGFLIWGFFRGLLGFWVFQGFGIRGLFGFWVLRRHFFGRFGRAGIGESESLVKVSTGVCGVG >KJB41679 pep chromosome:Graimondii2_0_v6:7:8929092:8930924:-1 gene:B456_007G114800 transcript:KJB41679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGNLKNAIVAFLVPLPSILFYLYFLDHFHSNSSSLSSLWSWCFHHPLLLANVFFFFNVNVLFWIIGHIQSSNWMIDLYWTVIPVLLIHYYATHPSAQFDGLRSKIVIVLTWVWSIRLTHSYFRREKWQWGAREDWRFADMRLQYGKHWWWISFFSVYFSQQIFLIGICLPLYVVHSVDKPMNIWDLVAAGVCLCGIVIAYFADTQLHNFVTRNTKLKELGKPMVPNLDRGLWQYSRHPNYFGEQLWWWGLVVFAWNLGHGWTFVGSLINSMCFAYVTVLVEQRMLKQEYRAEAYRLYQKTTSVWVPWFRSSAIAVKDKDT >KJB44916 pep chromosome:Graimondii2_0_v6:7:47741162:47741762:1 gene:B456_007G279400 transcript:KJB44916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLKLTVTFLFCIILLFAYQHVSAIRPIPHVEDQLFAAIFNKNLIIQVLQRGTVPPSGGNPCTNIPGRSGGGC >KJB39833 pep chromosome:Graimondii2_0_v6:7:2243636:2243981:-1 gene:B456_007G032900 transcript:KJB39833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPKGVIHAQASFNNTIVTVTDVWGQVISWSSGPLFAAQIAAGNAIRVVVDQGRQRAEVMIKCPGLGRDATLRTIRRSSILLSFIRDVALMPHNGCRPHKKRCM >KJB44940 pep chromosome:Graimondii2_0_v6:7:48086179:48086943:-1 gene:B456_007G280800 transcript:KJB44940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSFFQYPNLHFSSESSSSQISQENFSPHSSFSYARNQALPFNENDSQEMLLLGVLNQAPEAHSFDTTSLTSFRDDHEVNSKPVEEETGKEISYRGVRKRPWGKYAAEIRDSTRNGVRVWLGTFDTEESAALAYDQAALAMRGPMAILNFPMDKVYESLQEMNYGFEEGCSPVLTMKKRSGKKKMMKKDEKENRLVLEDLGADYLEEPATPYW >KJB39243 pep chromosome:Graimondii2_0_v6:7:328155:329852:1 gene:B456_007G003900 transcript:KJB39243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMLRSMLTFTLFTLLFSYVSATTITLYNKCPHPVWPGIQPSAGKPLLARGGFKLRPNKAYSMRLPPLWSGRFWGRHGCSFDASGRGRCATGDCGGSLFCNGLGGAPPATLAEITLGQDQDFYDVSLVDGYNIAMSITPFKGSGKCSYAGCVSDLNLMCPVGLQVRSKDNKRVVACKSACFAFNSPRYCCTGTFGNPQSCKPTAYSKIFKAACPKAYSYAYDDPTSIATCTRGNYLVTFCPHRR >KJB46576 pep chromosome:Graimondii2_0_v6:7:60704276:60706262:1 gene:B456_007G375400 transcript:KJB46576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVSSSRVVDDLSDLMRAKVRACLYEYDTEFDAYYASDVDELLGNGHESKYPQKGISKCPSLPSFGCLEADEFVTTLRRMLSEGSSQAPPSCFVSLPTPMKLVSALKGSRAKQGILPKKRSVTWAPNVYDPPPTSLLHMIRNKRQHKLKRNNNDKKKIGRKGLKGTKSTRGNVGGKGVKQIRRSSESSYRWFKELVVEDRVVNEIDNFNVDKPDPYCGSGFLTQSSTGMYYSVAEAL >KJB43781 pep chromosome:Graimondii2_0_v6:7:23951664:23952965:-1 gene:B456_007G215600 transcript:KJB43781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRFISSRIIQAGSRSKETDRIDLTPWDLKHLLVGYLQAGLLFHKPKLPEALIHHLQTSLSRTLDFFPPLAGRLATIEHEDKTTSFFIDCNNAGALFVHATAENVNISDLVEPVYVPETVDAFFQLDGVKNIEGTSKPLLAVQVTELVDGIFITCSANHSVVDGASFWHFFNSWSEISRGFDYLSRPPIFKRPLIDDIHYPIRIPLQKEHIQERFFQPGNLKVRVFNFRKESIVRIKRKANAEMNTDKISSLQALLSLFWQSIMRNRRMDPNQETMIFLVIGVRQKLQQLSEEYFGNAIHSAEVSLKAGELVEKGLGNAAWEINQVVANHREENFINFVKWWVNSPLLFQTGPMMNNALLTGNSPRFDIYGNDFGWGRPIAMSHGAESKCDGLVTVSPGVEEGDIEIKVCLSPKTIQAMENDEDFIAALNI >KJB43124 pep chromosome:Graimondii2_0_v6:7:17779344:17790904:-1 gene:B456_007G185500 transcript:KJB43124 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 6 [Source:Projected from Arabidopsis thaliana (AT1G66730) UniProtKB/Swiss-Prot;Acc:F4HPZ9] MSSQGTATATKTLALDSTQLYLTAIDSSDSTLSFPPIPSSFPPSKLIPNTRFLVDSFRHSTTTTFSAAYFLSHFHSDHYSGLSPSWSKGIIFCSHLTSLLLIQTLKIPPHFVFPLPLNDPVVVDGCEVILIDANHCPGAVQFLFKVPTKNGSFERYVHTGDCRYCNSMKLNSYLNDFVGCDTIFLDTTYCNPKFVFPSQEESVDYVVSVVDRIGKEFKEKKVLFLVATYVVGKEKILVEVARRCKRKIFVDGRKMEILNVLGYGGDKVFTVDESESNVHVVGWNVLGETRPYFRPNFVRMKEIMEEKGYEKIVGFVPTGWTYEIKRNKFAVRSKGSIEIHLVPYSEHSNYDELREYVKFLKPKRVIPTVGMDIEKLDSKHADKLRRHFAGLVDEMANKKDFLMGFHRGNYGSVEKVEMDASPNLNENKDMERKKNILEMKSVESKNADITLNDSSPVQKPDSQDSAIPSEEERERKIEELGDFLPRWVTRDQKLNLISSSRWNVVEAVSNFYEHENELYEQISAFRTSDSASAAQAGSSNNSVSPLNSGPFRSSSHESLISHLSQASKPSSFKLSVRSNISPGKRKKNTENKSNKKVKSNSKLESSGSKQPMITSFFGKVLVDDSKGGGTGLKIEECPKEEITLPGDLTKSFTEKIDQFIQIINGNESSRNYVVNLLEKTQGDINRALDIYYSNPEVNHDENMENIVVSSKSAPVWSCSNDCPVSKNEHVLEESRSIPDSSLQGQPVENVDVTLVSLPPDKYKPIEHACWRSDQPAPYVHLARTFDLVGSQRGKIKATSMLCNMFRSLLALSPEDVLPAVYLCTNKIAADHENIELNIGGSLVTSALEEACGTNRSKIRDMYNDMGDLGDVAQACRQTQTLLAPPRPLLIRDVYSVLRKISVQTGSGSTMRKKNLIVNLIRSCREKEMKFLVRTLVRNLRIGAMMKTVLPALAQAVVMNSSRDLCHEGTADCLKEKLQELSTAVTEAYNVLPNLDLLVPSLMKEGIAFSSSTLSMVPGIPIKPMLAKITNGVPEVLELFQNKAFTCEYKYDGQRVQVHKLANGAVRVFSRNGDETTLRFPDLINTIKESAKPAAQTFILDAEVVAIDRKNGHKLMSFQELSSRERGSKDSLITVDKIKVNICVFVFDIMFANGEQLLGVPLRQRRKCLKDLFHDEKLGHFEYAKEITVEADDACLTSEATFTQINSFLEDALQFSCEGIMVKALDTDAGYLPSKRSDTWLKVKRDYVEGLSDSLDLVPIGAWHGNGRKAGWYSPFLMACYNPDTEDFQSVCRVMSGFSDSFYKEMKEFFSGDRILAKKPAYYQTAEVPDMWFFPELIWEIRGADFTVSPVHQAAIGLVHPSRGISIRFPRFIRPVTDRNPEECSTAADVAEMFHSQTRKMDVTAQQ >KJB45865 pep chromosome:Graimondii2_0_v6:7:55779480:55786524:1 gene:B456_007G334100 transcript:KJB45865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAVTSAVTTIGNLLTEEAIYLWGVEEQVDRLQTELQWMKSSLMVAETKQSTDERIRLWVAEIRELAYDAEDIVEEFALKIGSKNKGGLPSCIKRSACCLNEGWVLHETRSRIEKIIERINDLVRRLQAYGVKELKDRGEESSFSTERRESRRPYPHIMDDNIVGLVDDTEGLVEVLTKESICKVVTIWGMGGLGKTTLAKKIYHHRQVIDYFDHLAFVYVSQPCQKRNVWEDILSGFKTLNVEDRKKRDEELAEKLCNILEVKKCLVILDDIWTSEAWDSLKPAFPVATGRDSNSKILLTSRNRGIVPYADIRELKCLNDEESWELFQKIAFPQTENIIDVEMKKLGKNMVKHCAGLPLAIVVLGGILATKNNLLNEWRKISDNVKSYLKRGKNQGPEDVLALSYDDLPPYLRPCFLYLSHFPEDYMIDVDRLIQLWVAEGIVSSKQEERDGGEIAEDVAESYLMELVERCMIQVRERDMATLKVKTIQMHDLMRDLCLSKAKQENFVFIVDQSNASSLSMIRKVRRVSVHEFFFIQCIKSPNIRSLLFFNEFFPLEELEKSLPLEVLNYVKEHDDEVWNPLYLILLISGSGTMALKFRGVWRYMFNNFKLLRALNYEKTTYDGFAGLKLPSDIGNLIHLRFLSLKHLKFFWQKLPSSLGNLRCLQTLDLRVGNERIHVPNVIWRMEQLRHLYLPSRCKSRTKLKLGTLRKLLTLVNFNTKNCYLKDLINMTNLRELEIYGPFNIENFNEKDLGENPPIIGSKYLHSLSIITSGDKSIDPKHLAHLLSNCTSICKLSVETRISELPEYHYFSSHLAYIQLSRCKLEKDPMPTLEKLPNLRILEFEISFVGKEMFCSAQGFPKLESLILAELYYLEEWKVDEGAMPSLQRLEIEECGNLKMLPERLRFITTLKELKIESMPKAFKDRLEEEGGEDFYKVKHVPSIIFQNCG >KJB39217 pep chromosome:Graimondii2_0_v6:7:253850:257267:-1 gene:B456_007G002700 transcript:KJB39217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEPLTSGASGRINALFSLRALRSLLLLLNAVVLFLLVPFRGKANSGDNVGKDEKQESGRKGSASTVIRVPWALLPWRNATMAVDHEVAAAVRRSQAIRRVFQDDDDDTVREFCLFSTARGDTLFTQSWTPISVQVRGLVVLLHGLNEHSGRYTSFAERLNANGFKVYGMDWVGHGGTDGIHAYVHSLDDAVVDLKIFLDKVLAENPGLPCFCFGHSTGGAIVLKAVLDPKVEAQVAGIIMTSPAVAIQPTHPIVMALAPVISFLVPRYQITVANKKGRPVSRDPQALVEKYSDPLVYTGPLRVRTGCELLRITSYLQQNLRKFTVPFFVLHGTDDTVTDPQASEKLYKEAASTDKTIRLFQGLLHDLLFELEREAVTDGIIEWLNCRV >KJB38884 pep chromosome:Graimondii2_0_v6:7:16548316:16548944:-1 gene:B456_007G1779002 transcript:KJB38884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPWSSSQFSIICALGSSQCTLVSPINFASHVCLGFLQFGHRLYLYIVFFGFPIIPSHLNQAFHSEYYFFGRKVKPWVYGSTSERVHT >KJB39814 pep chromosome:Graimondii2_0_v6:7:2186919:2190696:1 gene:B456_007G031800 transcript:KJB39814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPKPEPSSSMPDPPPCSEPSSHHETVPTGLTDDEFSELIPSITEFHTYSVGPGQCSSLLAQRINAPHTAVWSVVRRFDKPHTYKHFIKSCSVKEGFQMVVGSTRDVSVISGLPAATSTERLDILDDEREVTGFTVLGGEHRLRNYRSVTTVHGFERDGKIWTVVLESYVVDIPEGNSEEDTRLFADTVVKLNLQQLASITEGLARDATAVDGNKPQVM >KJB45745 pep chromosome:Graimondii2_0_v6:7:54469886:54475078:1 gene:B456_007G325100 transcript:KJB45745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSAVSSALKAIGELTQEVTSLWGVDDQVESLASELRWMQSFLKVADARKVDHEVIRTSVVEIRELAYDAEDVIEMFAFKVASKRKGGFSNCIKRCACFLKEGCLLHQIKSEIEKITAKIKELARQLQMYDVSKFEVDGERPSSSTVRLEARRPYPHVMDDNIVGLDDDVKKLVTVLLNEQSECRVVSICGMGGLGKTTLAKKIYHHSQVVGHFKHLVWVYVSENCQKRKVWEDIRSDLPSLSEEDRKMNDEKLANTLSSFFEENKCLVILDDVWNIEAWDSLKPAFSTRETKSKILLTSRKKEIVAHADKNGFLYELQELDYNQSWELFQKIAFSQTNSPGYKIDAKIKELGEDMVKHCAGLPLAIIILGGILATKYSSLTEWLKVSVNVKSYFKNDKGQVLKDVLLLSYDDLPPYLRPCFLYLSQFPEDYEIPVNRLIQLWISEGIVSSKQEEGDEGLIAEDVAEGYLMELAERCMIQVRERDIATLKMRSFQMHDLMRDVCLSKAKQEKFFDIADQSNARQLSTIGRVRRVSVHEYFPIQCFKSPRLRSILLFDEFIPKEMEESVDIWICYICCRVVINSLSGSWKYMFNNFNFLRVLDYEGREGAQSKLPNDIGKLIHLRFLSLRDLRFLNSKLPSSLGNLRCLQTLDLRIDWSYSIHVPNLLWRMQQLRHLYLPQQCSRKTKLKLGTVKNLQTLVNFNTKNCYVKDLINMTNLRELEIRGSFNIEDFNTEELHKNPPIIQSKYLHSLSIINDEGRIDPRHLAHLLLSCENISKLRLDVEIRRLPEYHYLSSNLAYIKLRRCKLEEDPMPTLEKLLYLRMLELHEEAFIGKEMFCCGQAFAKLESLSLKGLNNLEEWKVGEEAMASLQRLEIQKCRQLKKLPDGLRFIATLQELKIESMPKTFKDKVEEGGEDYCKVRHVPSIIFQDCE >KJB40955 pep chromosome:Graimondii2_0_v6:7:6057218:6059804:-1 gene:B456_007G084700 transcript:KJB40955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKKSEVQIGKESSGVSSDFHPKPSTVHHHHHQHHHHHSLPSHHLQHFNYHHSVYQLSDTTATPISVFPQIVIQNPPQNDAIAPASSSPSSSSSSSPTPYKRPLLAQTPSLTKTPTLYRFTSPPHFSSPNAASFFSFSIAAKSFIYRILRRFKQLRCLRVHLRLILLLSLPFFYFLVSHPSHSFLLDFLSAFAFSAALLFSLNLALPRLPSIRLFLARSFPIKFKPSSSLSRPHLPVFWSIGSRPKSEKRANSGCWVQVYSNGDVYEGEFHKGKCSGSGVYYYYLSGRYEGDWVDGKYDGYGVETWARGSRYRGQYKQGLRHGFGVYRFYTGDVYAGEWSNGQGHGCGIHTCEDGSRYVGEFKCGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYCFANGHCYEGAWHEGRRQGLGMYTFRNGEAQSGHWQNGVLNVPSTQNSTYPVSPVAVYHSKVLNAVQEARRAAEKAYDVAKVDERVNKAVAAANRAANAARVIAVKAVQKQMHHTNNNDNIPIPIPIM >KJB40956 pep chromosome:Graimondii2_0_v6:7:6056701:6060050:-1 gene:B456_007G084700 transcript:KJB40956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKKSEVQIGKESSGVSSDFHPKPSTVHHHHHQHHHHHSLPSHHLQHFNYHHSVYQLSDTTATPISVFPQIVIQNPPQNDAIAPASSSPSSSSSSSPTPYKRPLLAQTPSLTKTPTLYRFTSPPHFSSPNAASFFSFSIAAKSFIYRILRRFKQLRCLRVHLRLILLLSLPFFYFLVSHPSHSFLLDFLSAFAFSAALLFSLNLALPRLPSIRLFLARSFPIKFKPSSSLSRPHLPVFWSIGSRPKSEKRANSGCWVQVYSNGDVYEGEFHKGKCSGSGVYYYYLSGRYEGDWVDGKYDGYGVETWARGSRYRGQYKQGLRHGFGVYRFYTGDVYAGEWSNGQGHGCGIHTCEDGSRYVGEFKCGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYCFANGHCYEGAWHEGRRQGLGMYTFRNGEAQSGHWQNGVLNVPSTQNSTYPVSPVAVYHSKVLNAVQEARRAAEKAYDVAKVDERVNKAVAAANRAANAARVIAVKAVQKQMHHTNNNDNIPIPIPIIPRCLVKRL >KJB44781 pep chromosome:Graimondii2_0_v6:7:46032496:46032959:1 gene:B456_007G272400 transcript:KJB44781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKKIMVLWVCAMLVSSMLMEEGANAEEISNGAMGKNLEQPCKQGMGNCVPKPSSGYNRGCEEVERCRHGP >KJB39363 pep chromosome:Graimondii2_0_v6:7:701973:703736:1 gene:B456_007G008900 transcript:KJB39363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETWLIKVKKTISNTAKISIPKNSKASKHVKSTVGVLSFEIAGLMSKLLHLWNSLSDKNVIRLRDESVSLEGVRKIVSNDESFLLGLACAEIAENVRLVAKYISRISKRCQDSGLQCFDRWFDEFANSGHDTHSWVLSSKEMEAKNKKMDRYVTITATLYKEMEELSTVENNLRKCLKEYESSSCSSSSIKEQKIIDLQQKLFWQRQEVKYLKERSLWNRSFDMVVSMAVRSIFTILARIKLIFGIGPSLLPRSLSASATVHPTENPNGFMSGPLKIPSEEENKDSRFGFFESNTKLLKPPASTLGAAALALHYANLIIIIEKMIKSPQLVGVDARDDLYSMLPNSIRSSLRGRLKGVGFSAGDPILAGEWRTALGRILGWLSPLAHNMIKWQSERSFEQQNLLPKTNVLLLQTLFFANKDKTEAAITELLVGLNYIWRFEREMTAKALFECSNFNVQS >KJB42835 pep chromosome:Graimondii2_0_v6:7:15265982:15266851:1 gene:B456_007G169500 transcript:KJB42835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLYNKDKVHPSPPSPTVRDHLSLLPATILSLVAALSFQDKEVLAYLISCCNTNSSSGFSAVTMVYDNKKEHDPRFECKCLRCYMCFWGRWDKSPNRELIHEVIEAYEEELLKKQAKKKKKKKGRRKRVAVAEKGSAKVNKYAKKNCQSGDEEIIREVESEKGSIRKMVRFIGDSIWGVLSL >KJB41335 pep chromosome:Graimondii2_0_v6:7:7337451:7341843:1 gene:B456_007G099400 transcript:KJB41335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICRVESCNLGKMENLSNSDENYDLGYQPSPSFVDQNDQSIPETPGYSTLSGESFVYGRTCSETSAFSDPIDDHSCCSKASPSHWPPTRSMAQNQAVLSRLGTKQRGSNEDEKQLDYLESLELELEMMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQNLRLEPLNPEKKALWKREMDCLLSVCDYIVEFNSKSQNLSNGTALEVMKSRPRSDIYVNLPALRKLDAMLIEILDSFEDREFWYAEDGSVSSNSSRTGSFRRVVKRNDEKWWVPVPCVPPCGLSEKARKHLRHKRDCANQIHKAAMAINSGVLAEMEIPDSYVASLPKSGRASIGESIYRFMLGTEKFCPDYLLDCLSIASEHEALQLADSVEASMYTWRRKACIKHSKPSWGMVKELMSDVDLSDKNHILAERAESLLFALKQRYPELSQTSLDTCKIQHNRDVGQAILESYSRVLEGLAFNIVAWIEDVFFVDRAVTSQE >KJB41336 pep chromosome:Graimondii2_0_v6:7:7337458:7341843:1 gene:B456_007G099400 transcript:KJB41336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSNSDENYDLGYQPSPSFVDQNDQSIPETPGYSTLSGESFVYGRTCSETSAFSDPIDDHSCCSKASPSHWPPTRSMAQNQAVLSRLGTKQRGSNEDEKQLDYLESLELELEMMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQNLRLEPLNPEKKALWKREMDCLLSVCDYIVEFNSKSQNLSNGTALEVMKSRPRSDIYVNLPALRKLDAMLIEILDSFEDREFWYAEDGSVSSNSSRTGSFRRVVKRNDEKWWVPVPCVPPCGLSEKARKHLRHKRDCANQIHKAAMAINSGVLAEMEIPDSYVASLPKSGRASIGESIYRFMLGTEKFCPDYLLDCLSIASEHEALQLADSVEASMYTWRRKACIKHSKPSWGMVKELMSDVDLSDKNHILAERAESLLFALKQRYPELSQTSLDTCKIQHNRDVGQAILESYSRVLEGLAFNIVAWIEDVFFVDRAVTSQE >KJB41978 pep chromosome:Graimondii2_0_v6:7:10503650:10508446:1 gene:B456_007G130500 transcript:KJB41978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYSRIPPRNGSQSGYQSEWSPAGPETGLEESMWQLSLGGTESYPERPGVPDCVYYMRTGFCGYGNRCRYNHPRNPAWVEAAVRATGVYPERPGEPTCQFYLKTGTCKFGASCKFHHPKQGDQSFSQVPLNIYGYPLRPGEKECSYYLKTGHCKFDTTCKFHHPQPAGTSIPASAPQFYQPVQSPSVPITEQYGGTSTSARPPILPGSYVQGAYGPVLFSPGVVPIPGWSHYSAPVSSVLCPGVQPAVGATSLYGLTQLSSSTPSHAGPYLSLPSSMSPSSGNQNNQTFPERPGEPECQYYLRTGDCKFGSSCRYHHPRDKVAPWTNCILSPMGLPLRSGGQPCSFYLQNGRCKFGSTCKFDHPIGTMRYSPSLSSLIDMPVAPYPVGSLLATLPPSSTSSELRPELSSGSKKDSYLSRIPSSSTSSSSVGLIFSQTGSVPLSDLQHSSQNSVPLSSTRSTRQGGEVHRSN >KJB44613 pep chromosome:Graimondii2_0_v6:7:42945477:42946850:1 gene:B456_007G260900 transcript:KJB44613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQFQQQKSVIPVSKGSKFKGRNKKINKVNKFVGVRQRPSGKWVAEIKDTTKNIRMWLGTFDTAEDAARAYDEAACLLRGSNTRTNFVTHVSFDSPLASRIRNLLNTKKEAKQQTVLASAPTSFSLSLSPSPSPSPSPSSSITTSSGSCNSGGGLSNENSSPVEMVQDTQLFDDAYKPDMSNCTRELECASSQSDFSYTCETGFNRFLYTQEIMNFPEETGSELTEFERMKVERQISASLYAMNGVQECIETVHESGEALWDLPPLCSLFSYKF >KJB39469 pep chromosome:Graimondii2_0_v6:7:1161565:1166739:1 gene:B456_007G015100 transcript:KJB39469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSRHKSSKHSSRDYSDSEKDSGLKEKEKKSKEESSVRSSKELGSGDKRKLDAKDTSKEIWISGNGDYIEEYSSSKRRKEKADDGVNDRWNGGEDDDGKGEKKSKASSESKSKRREDIEGDDTKRSKSEGKHRESSRKEERERERKGKEGKSDRFIENEEHRSVKQSTERTAVMVVDSYLATVIYPLLFWLGDDVSVRHLKQEDSNYRDPMTEQRSKAALSREVTSFSETSERGAKYRSMEKSSRADEDHSGELPIERSSSLKASPMSMMEKSPSTSLERRYTGRSVRRGLDTEETGWSSASAGGREEDNRLSRDLPPEKPLLDGSSQADSVFYNRAGQGNSSLISQPPGLRAGIGSPSFMGSLEEDNRFNNSGRYKRSGDLNVRRGHANAWRVAPNWPAPVPNSFIPFQPGPPHGAFQAMMPQFPSPSLFGGRPSMEINHSGIPYHIPDAERFNNHLRPMGWQNTMDGSGPAHFHGWDGHNIIFRDEAHMFGGPEWDQNRHPVNGRGWDPSSDVWKGQNGDVDLPSTSQREENPLQASPEDVYDGQERRRYQYENGNNGLQVKGLETRSDDMSPVKESSRLSPMVPHKAPDSSKVSSQDGDAHYCLLYLSKLDISAELAGSDLYGQCMGLLNVEQSKDLAKDVTMLVNSKNDARPVQNASFAVLSPSLIPATNASVFQVLSEAHICISA >KJB46422 pep chromosome:Graimondii2_0_v6:7:59986909:59989500:1 gene:B456_007G367100 transcript:KJB46422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPLNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGLVESKKFGLLANWRREYTMEDILTQLKKEMAASHNRKLVQPPEGTYF >KJB42285 pep chromosome:Graimondii2_0_v6:7:21475013:21477489:1 gene:B456_007G206600 transcript:KJB42285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWKEQKRLYYPKITVPNFNIEEKHVFQNKYNANTIYEWKIDGMSEYIILSLLQQMTMFSNVYKTQNQNGLISDHAIANLLIVGFTGQLKGWWGHAYTKTQQEEILKAIKKNTQPWTNDHTNIITQIKKQIVKLHCLHLANPNALKIVDIDTFEIGYDGILKQVKGGKKQIVQFTSRNWNPT >KJB39733 pep chromosome:Graimondii2_0_v6:7:1932128:1933974:1 gene:B456_007G028200 transcript:KJB39733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTLLGRVQNYQLKQQQRSYNLLSNHLISTNVVSQRNNIYNQVRKESFEPWLNLISILANGGIDMDLTLHEASKMLHSGIKPNDYSLVHLVRISTDLGYDSYCQQLHCYVLKSGFASNAFVSNALMRFYRRIDLLCEADKLFVEIPQPSVISWNSMISGYVQTGKFRKALGLFLELHRSEVCSNEYSFTLALAACGQLGFLQLGKSIHSNVLKFGFECAVVVGNCLIDTYGKCGAVGDAILVFNTMIDNDIISWNSVLAACARNGNLEQAFSVWSEMPIRDTISYNELISGIAQFGNIDDAIDLLSNMPNPNSSTWTSIMTGYVNRNRPREALRFFNEMHSNDVQMDEFSFSIILSGIASLSALTWGILSHCCTIKRGLDTSVVVGSALIDMYSKCGQIKNAESMFQSLPKKNLVTWNAMISGYAHNGDSAKAIQLFEQLKTKRDLKPDWVTFLNVLAGCSHNETPLQQVYQYFESMINDYGIQPTIEHCCCLIRLMGQRGETRRAGKLIYELGFGSCAVVWKALLGACGVCTDMKIAMIAAAKVIELEGYNDYVYVMMSNIFAYYHKWGEMSVMRKLMREQRVIKEAACSWIEMENVKQ >KJB46396 pep chromosome:Graimondii2_0_v6:7:60000021:60001025:-1 gene:B456_007G367300 transcript:KJB46396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGNGPKLELKLNLSPPRVNPPEESLTTTENSSPASSCVLSETNQMHEVETTTDTVADVAAATPMMLVGCPRCLMYVMLSENDDPKCPNCKSTVLLDFLHAIKTRKS >KJB44041 pep chromosome:Graimondii2_0_v6:7:29255608:29258731:1 gene:B456_007G231700 transcript:KJB44041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDEMNMIHKNQTWELVARPANKKVIGVKWVFRAKHNADGTLNKLKTRHEIVGQVTEVGSKVEKFKVGDKVGVGCMVGFCHSCDSCTNNLENYCPKLIATYGGKYYDGTVTYGGFSNTMVVDDHFIIRIPHNQPLDAAAPFFPLKSQCIPDLHIRVIGLGGIGHLAIKFDKAMRAKVTVISTSINKKKAALENLGVDSFFAVNGTLDGIIDTIYAQHPLLPLLGLLKTHGKLVLFGALEKPLELPTFPLLQEGSLIRGIRETQEMIDYAVKQSIKPDIEIIPIDYVNTAIELLLKADVKCRFVIDISNMLKPTS >KJB46254 pep chromosome:Graimondii2_0_v6:7:58477545:58480216:-1 gene:B456_007G354100 transcript:KJB46254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTEKGGLAVGAVATDQAITTNTNPLDTIIPLPGTDLTLSSGELKNLRSLDKAFDGNQLDPKAKPLIQRVPPILGRHEDFRKYFKPRVVSIGPLHHDDPTLHGSEKLKLKLAAHFVKNIGFNKETLYNNMKTEIGGLKKCYDPKELEKYSNDDQKLAWMFFVDGCAILQAVYMRYGQDYNPTRNELFIKNQLLTFVYSDLFLLENQLPFRVLELLTSSSKNGEKFMKAIKRFIDDTVITPADMKEPQSHQQDSEWWEQQEEERIHLLHLLRARLLFNGEKKENLWQHSRFCTRFFRYLINRSNQTGTKWHHSHTICNIKELKNAGIRLKASKTSCLTDISFNSMFFVGNLWLPPVIVDDSTGPKFMNLIAYEMCPDFNNDFTVTSYMCFLDSLIDEAEDVKALRHASILYNELGSDEEVAKLFNEMNTDLVPSPMIYSYVEQQIHNHCKKMWINYAAQAYNTLFGSPSTCLAFVAAIAALYLCALQTYYTIHQSK >KJB42728 pep chromosome:Graimondii2_0_v6:7:14588028:14590266:-1 gene:B456_007G165500 transcript:KJB42728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKGRPLPKFGEWDVNDPSSAEGFTVIFNKARNEKKTGGKIESPGANDPACKQEAVAAKPQVKKMVMLRTSYSCRLKRWLLRC >KJB43570 pep chromosome:Graimondii2_0_v6:7:21418048:21421941:1 gene:B456_007G206200 transcript:KJB43570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNRSRLRSSAPFHSKPLFRRHLQSMKTRKIFGVSLSILLINLAAIMERADENLLPSVYKEVSEAFNAGPSDLGYLTFIRNFVQGLASPLAGVLVINYDRPTVLAIGTMCWALSTAAVGGSQQFHQVAMWRAVNGFGLAIVIPALQSFIADSYMDGVRGAGFGLLSLVGTLGGIGGGVVATVMAGQQFWGTPGWRCAFILMATLSSFIGLLVFLFVVDPRKTVSVNHDARINLERDELVEKGNGRVSSVWSESWMATKAVIKIPTFQIIVLQGIIGSLPWTAMVFFTMWFELIGFDHNSTAALLSLFAIGCAMGSFLGGVIADRLSQIYPHSGRIICAQFSAFMGIPFSLFLLKVIPQSVSSYYTFAITLFMMGLTISWNATAANGPMFAEVVPSKHRTMIYAFDRAFEGSFSSFAAPLVGILSEKMFGYDSKSIDPINGSPREAFALSRGLLSMMAIPFGLCCLCYTPLYKLFRRDRENVRLAAVKEEEMI >KJB46342 pep chromosome:Graimondii2_0_v6:7:59320148:59325072:-1 gene:B456_007G361400 transcript:KJB46342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGEAALSGFLDLLVGKSLDSALNFVADHDQLPQQLKQWQSILPDIQAVLDDAEEKQIKNMGVKKWLEDLQDLAYDVDDILDEFAYEELRLNLQDTQAQARPSKIQKLIRSIFTPTSFQFKNSMIPKVKEITDRLTCLTTRRSSLGLSEILSQAVTSKGKQPRLQPTSVLDGVVEYVGRHKEKTEMIEFLKGDNSNGVSVLSIVGMGGMGKTTLAQLVYNDATINESFDLRAWVCVSDNFDAIAITKAILESITSKSIDYSNLDLLQVKLKKKLSGKRFLLVLDDIWNENYNDWTILRSPFGAGTHIIVTTRLQMVSSIVDPLKAFHLDKLSDDDCLSIFTQHALKARNFDGHLQFKEIGEKIVRRCNGLPLAAKAIGSLLRTVKYHGKWERIYESEIWNLPEEQCGIIPALRLSYHHLPSYLKRCFAYCSILPKDYEFGEEEIILLWRAEGLLQQKAMPQIKDLGNQYFQDLVSRSFFQASSKDKSRFVMHDLINDLAQVVAGEICSKLEGDKKWKFSNRTRHSSYLVSDYDTVKKFEAFDQVTSLRTFLPLKFSSDYRVPFLTNVVLDDLLPRLGCLRMLSLSGYWITELPDVFENFKHLRYLNFSGTRIKCLPDSLCTLYHLETLLLNCCFMLQSLPSKMENLVNLHYLDIRGAKSIERIPFRIDKLTKLQRLTDFIIGEGDGSYIRGLKYLSNLKGDFRLSGLENVNGEDAGEAKLNEKQGIHRLVLHWSEKFEMASRNKEVEEWVLDSLCPPKKLEQLVIENYGGAKFSTWIADPSFKNMLSLELRNCKNCKSLPSIGRLLLLKDLSISGLDQVHKIGAELFGENQSNAFASLESLRFDNMVNWEEWDLCEDDEQVSKFPSLRKLSIVRCPVLLGRLPTILQSLQTLEIYECQRLVVSISSFPLLCELRVQGCEELVDEGSLSVQKVTSLKVVSVSKISNFNISAERIMLRFANSETFEISGWKELGSLSQIGLRLIGHRFIGISNCPQLVSLETEEERLQLDKIPGSLYINFCERLNRLPQALRAFPFIRRIQLENCPGLVCFEESNFSPALKELRIGNCVNLQYKKKIIIRV >KJB46500 pep chromosome:Graimondii2_0_v6:7:60498103:60517494:1 gene:B456_007G372400 transcript:KJB46500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLGSSIPAPEAVQVLVSSLADESPIVREASMASLKDISPLNPLLVLDCCSAISRGGRRRFGNMAGVFQVMAFGVRALDKNDIDASYIAKLAKIVTAEIISSKELNSDWQRAAASLLVSIGSHLPDLMMEEIFLHLSGPSSGLPAMVQILADFASADALQFTPRLKGVLSRVLPIIGNGRDAHRPIFANAFKCWCQAVWQYNIDFPSESPIDGDVMSFLNSAFELLLRVWAASRDLKVRVSSVEALGQMVGLITRSHLKAALPRLVPTILELYRKDQDIELIATYSLYNLLNASLLPETGPPLLDFEELAVILSTLLPVICMNSDSKEYSKFSVALKTYNEVQRCFLSVGSVYPEDLFSFLLNKCRLKEEPLTFGALCVLKHLLPRSSEAWHNKRHLLVDTVKLLLDEQNLDIRKALSELIVVMASHCYLVGPSAELFIEYLVCHCALPEHGKIDLESSQLLFPQVKTRSTCPKELRAICEKGLLLVTITIPEMEHILWPFLLKMIIPRAYTGAIAIVCRCIAELCRHRSSYNNNIVGDYKARDDIPSPEELLARLVVLLHNPLAREQHATQILKVLSYLAPLFPRNINLFWQDEIQKMKAYISDPEDLKLDPSYQETWDEMIINFLAESLDVIHDNDWMLSLGNAFTKQYALYTPDDEHSAVLHRCLGMLLQKVNDRAYVRGKIDWMYKQANIAIPINRLGLAKSMGLVAASHLDTVLDKLKDILDNVGQSIFQRFLAFFSESYRTEESDDVHAALALMYGYAARYAPSVVIEARIDALVGTNMLSRLLHVRHPKAKQAVITAIDLLGRAVAKAAENGAPFPLKRRDMLLDYILTLMGREETDGFADSSLELLRTQALALNACTTLVSVEPKLTIETRNHVMKATLGFFALPNDPIDVVNPLIDNLITLLCAILLTSGEDGRSRAEQLLHILRKIDQYVSSSVDYQRRRGCLAVYEMLDKFRVLCICGYCPLGCHGGCTHGKQIDRTQGKFSNLPSAFVLPSREALSLGDRVITYLPRCADADSEIRKISAQILDQLFNISLSLPKPLGSSVYGDIELCYVALSSLEDVIAILRSDASIDPSEVFNRIVASVCTLMTKEELVGTLHCCMPAICDRIKQSAEGAILAVIEFVAKRGSELSESDVSRTAQSLLSAAGHVTEKQLRLEVLGAISSLSENTNAKIVFNEVLAAAGRDIVTKDISRLRGGWPMQDAFHAFSQHTVLSVLFLEHLISVLNQTRVTKSSDPGKGENSSLVSETQLEDEILQAAIFALTSFFRGGGKVGKRAVEHSYSSVLAALVLQLGSCHGLATSGRHEPLRALLTAFKAFCECVGDLEMGKILARDSEHNEKWINLIGDLADCISMKRPKEVQNICKIFSKSLNLQENFQREAAAAAVSEFIQYGSGFCSLLEEMVEVLCRHVSDESPTVRCLCLRGLVKIPSAHIDQYTTQVLGVILALLDDLDESVQLTAVSCLLMILESSPNDAVEPILLKLSVRLRNLQISMNAKMRADAFAAFGALSNYGIQAHKDAFLEQIHATLSRLILHLHDDELSVRLTCRNTLKRFAPLMEIEGLLVLFNSHIVNSDHRSDYEDFVRDFTKQFLQHLPSRVDTYMVSTIQAFDAPWPIIQANAIYVSSSILSLSDDRHILTLYYSQVFGMLVSKMSRSTDAIVRATSSSAFGLLLKSTNSVPWKAARLERLDVTTKGQPDLNEQTLPERVTILTPQDNKDSTST >KJB43269 pep chromosome:Graimondii2_0_v6:7:18705986:18707274:1 gene:B456_007G191100 transcript:KJB43269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLPVKSNLLDSGSETTKFGFGGYEQLLCPKPRRVGPVVPEFLKPLRCTKHSEHNTDGRSGVLNIIAETTNDGRESACTGCSPSCYAGSPPGRTGNPLVHDVNFIHQMELLSPFTRTKLSDKFGITSASPV >KJB43902 pep chromosome:Graimondii2_0_v6:7:26429174:26433130:1 gene:B456_007G222600 transcript:KJB43902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRSHPHFTFFFFLLSLFLSSSSSVIQDFIIFHRRILHQPLFSVGSSPPPGADTFLPSPSPPAPDSPVYPDPSQPFFPEVPSGPGQTADQNQQPTPPSAPTNGSMSIPTATQPAKPAKKVAIAISVGIVTLGMLSGLAFFLYRHRVAKHPGETRKRLVGGNSDRSQEDSRVPPSSFLYIGTVEPSRRSVGEVNGGANGSPYGKLNSVRRSDRYRPSPELQPLPPLAKPLGLENSPTAMPSPSSSSSDEESQGTAFYTPQGSTISNEESYYTPVSRRVNGNLVTQSRNEMNGKTNSVPCSKRTSPKTRILASSPEMKHVIIPSIKQQQQQPPSPHPPSPPSLPLQQPQGLVPEPHETQEFTHAKRPKFSSPPPPPNMALLRSISNNSSPQRTKVPVPPPPPPPPPMPPPAAVKLSVPRSVRNLKTNVTPKPSQLLNKHESRTPSPKNSAVSGARKSMEEVVSYKGVSSSEKTDGDDMDSAKPKLKPLHWDKVRATSERATVWDQIKSNSFQLNEDMMETLFGCNSTTAAPPKEPIRRSVLPPVKQENRVLDPKKSQNIAILLRALNVTRDEVSEALLDGNPESLGAELFETLVKMAPTKEEEIKLREYSGDVSKLGSAERFLRTVLDIPFAFKRVETMLYRANFDTEVQYLRKSFQTLEEASDELKNSRLFLKLLEAVLRTGNRMNVGTNRGDAKAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEGAGTNSTDGNHENKMASNFKEEDFRKQGLQVVAGLSRDLSNVKKAAGMDSDVLSSYVSKLETGLEKVRSVLQYEKPDTSGNFFNSMKMFLRDAEEEIAKIKDDERKALLLVKEVTEYFHGNATKEEAHPLRIFMIVRDFLSILDHVCKEVGLLQDRTMVGAARSFRISATASLPVLSRYNVRQDGSSEDESLAP >KJB46459 pep chromosome:Graimondii2_0_v6:7:60313259:60314354:1 gene:B456_007G370100 transcript:KJB46459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTANMLLTQHGVTPATNSMNFQEGGFSVGDPESRMMSIVNRSVLKDFNEEIGDLRQHGGFTYSGDTNFQETLTRAGNHDTLTHALMMNASNMLTPHGVTPATYSMNFPEGGFSVGNLKPRMMVCILFCLI >KJB46628 pep chromosome:Graimondii2_0_v6:7:60910073:60913368:1 gene:B456_007G379000 transcript:KJB46628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSEEWKAYFPIGKSLDPPLLSSSKLGPLFFIPKPKTLPKILFHSPSLFPPLLPPLPRLSFSRFLSASSVPYSTSFSIASCFTPNCSHDDDASSLLSHNRLHLLHCPDHNITLVFFTTGSNHDRIGFFAIHVQDNDFKFLGDGNGGVFISNNHFNHKILSILVNPVDDFDGISGDSVVGYLMTSTLYSVHWYSVRFDNSSKTPALDYLGSKLFKSSSIVCACCSPHIPEESVVLLENGALFLFDLASYVNCQKPNGYVKGSKFRVLWDDSSVSENCKWLGIEFSWHPRILVVARSDAVFLLDFRSDECNVTCLAKIEMLSPYAVVDEDQFLAFSRAGADGFQFVLASLSLLLLCDVRKPMLPLLRWAHALDNPCFIDVIRLSELRSQSRDDTYQWATESGFCIILGSFWNCEFRLFCYGPSSANEGPVAMEISKFCKPFLAWDLPSDLLLSNQECHCGSCLVREEFSKGALPEWIDWQQKKDIVLGFGVLSRDLSKLVCESDEFGGFTLIRLMSSGRIEAQRYCASWDLVQNFNVAHREPFFNFGDSLLYALGDDEYEFPKRFKYLNLDYLRGYLNDNLAEGLDSRIKKSHKGLQQKESFNLDFHEILCEKLKVCGFGRFRSSPALSVVFNDISLPTSICEVASRQMWATLPLELLLLAFSSYPELLDVPFDDMTMPLEFSVVPDLPQLPPFLLRKPSCRSTKWSHKMQPDDSLVGPVLPLPILLTLHEFRNGCPDSEKMCEFSSEVEFGLRCNEVMQVAAEMAVSDSSLLNNDEIVSLADDRDEMWVNSQRPKPLLLYHPVGGESYGNHIYKDEKFTTMITKVHKVTDRNDTTDSVGLELFDDLCPIELKLYVPVMNFGSQELEAFKTLKRQFCRWQERFKPYQELCIQNNIDFQKKA >KJB45701 pep chromosome:Graimondii2_0_v6:7:54021627:54033777:1 gene:B456_007G322300 transcript:KJB45701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLPSTSSSISRKKYDVFLSFRGEDTRKNFTDHLYDALKRSGIITFRDDPKLEAGEEIAPELFKAIQQSWCSVIVFSESYASSGWCLDELSEIVKQKKDKGRVFPVFYDVDPSDLRKQKGKVEEAFAEHEKRYDEDKVRRWRNALTQVANIKGWHLNHRHETEFIGDIVRKISAKLCETYPIVHDELVGISSRLEELYAKIDIGEDDVRIIGICEMGGIGKTTLARLASTQMSPHFEGKSFLADVREVSNTRGLVSLQKQLLSQILPEECFNFFNVHEGNAIINHRLSNKKVLVVLDDVDNLQHLKCLVGRRNWFGLGSRIIVTTRDEHLLRSYGVDDVYKPTTLNAHEALQLFNLKAFNGETRLENDFSDLSKRVLKYTGGLPLALEVLGSFLCGRDAAQWRSAIERLERDSNKEILDRLQISFDGLDQTEQDIFLDIACFFNGKMKDFVMKVLNGCEFFPDIGIDVLIKKSLLTVDEHKRLRMHDLLQEMGRKIVREKSVVEPGKRCRLWAERDVYHVLTKNTGTEMIEGMIIDNKREMNKILTLSADAFLKMKRLRLLKVLCCSNCCDFTYLSNELRLLDWTRYPLKSLPSSFQPKNLVALLLSYSNIEQLWKENIPLYKLKVLNLKGSENLIKAPDLTTAPNLEILVLKGCTRLLDIHPSVGNLSSLVLLNLKDCRNLGSVPGSIGGCKSLKSLNLSGCYKVEYLPENLQQLEFLEELDLSKTALRKPPSFISQLKNLQFLSFNGSKAIQRGRINSMTSMLPSLLGLSSLQELNLRDCNLFDIPSDICCLYSLKELELSGNNFTSIPSSLFNLVYLGLSSCKELKSLPELSKVYTSVGWSTITCIDCYRLAENIDALTLLKKHLKVFGNTGKRFNFILPGSEIPEWFSQQRGDSSIKIDLPQEVWNDNQWMGVALCCIFVSDDASRVEELHFRAVSGLSNFKGRNPREFMMGFGTFPAGEPVMTDHIFIRYSSRDEMYRYSWTTDWLDQECEELEFSFVRGPFTLNSVKVKKCGVRLVYERDLEEMEQIQELHSSQCCENLEDTATLCR >KJB41129 pep chromosome:Graimondii2_0_v6:7:6717731:6718965:-1 gene:B456_007G092100 transcript:KJB41129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNPSSCCRKRQSKLRHNVRVPRLNRLRRLRTKKMGAVGIKTDMEKKNLKLYMENQIIIQENEKLRKKALLLHQENQTLLAQLQKKLCNPPNQSLKT >KJB44653 pep chromosome:Graimondii2_0_v6:7:43655328:43657834:-1 gene:B456_007G263500 transcript:KJB44653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREVSESCIDSLLIEMVSTYCNRFYANKPELAARRIEAIGYQVGHQLSERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRMSIDQSPENGASEDPSIMADEKAVESMHLYFPCGIIRGALSNLGIPCAVSADISNLPACSFVIRIKA >KJB41782 pep chromosome:Graimondii2_0_v6:7:9561627:9563642:-1 gene:B456_007G121200 transcript:KJB41782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKEGVKVEDVLGNSSCSVNDFPLQSIFDLSSNEEEKIRSLGFMDLLGVQDLISSPLLDIMAAQQVPSRMATQPPNPSSSTKIGSPHEVFNQPATPNSSTISSASSEAVHDEPAKLDDQEEDQRKTKKQLKPKKTNQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTISCNVKKRVERCFSDPSIVVTTYEGQHTHPSPVMPRPNLVGSHLNSAISAASFGMSMQTTPSHYHQHFQQPFTDNLSPLNFGHNGSLNATFLHQKRFCTPGPGPGPSLLKDHGLLQDILPSHMLKEE >KJB41303 pep chromosome:Graimondii2_0_v6:7:7234367:7237632:1 gene:B456_007G097900 transcript:KJB41303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHVHADHAIHGCFSKVDVGRLRGFVSTTTIFVFFFFLLAIAALLNWMNFPIIGGTPPPPPPPLSSEIRHEKVEFPLNCSNLVNHTTVFEPKESSTNKTCPDYFRWIHQDLKQWKSSGITKDMIERGKPIADFRLVIVNGEAYVERYIRSFQTRDLFTIWGILQLLRLYPGKVPDLDLFFYCGDNTVIMKSDYKGRYAALAPPVFHYCGEEAALDIVFPDWSFWGWVEVNIKPWEEQLRAIKKERERIKWEKREPYAYWKGNPTSPDRGDLLNCNVSDKHDWNARLYVQDWAKEIQEGFKHSKLEDQCTHRYKIYIEGRTWSVSEKYILACDSMTLLVNPKYYDFFSRSMMPMQHFWPISNENKCRDLKFAVEWGNNHTHKAEAIGKAGSKFIEEALTMQNVYDYMFHLLNEYSKLLKFKPTIPPNARRLCSETTEQGLLKEFMVQSMVKSPSDKLPCTLPPPFKPQAIQASLKAKDKIARQVETWETEYWKKLKA >KJB39083 pep chromosome:Graimondii2_0_v6:7:11137525:11138841:-1 gene:B456_007G136300 transcript:KJB39083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPLLNGRLVRCNPIPRVIGYPPMQLLRVITITLPHPRLPNPCFPLFCYSVFCPRPQPSDSPTPHCTGCCSSNSSPISLVTG >KJB41901 pep chromosome:Graimondii2_0_v6:7:10096526:10099241:1 gene:B456_007G126700 transcript:KJB41901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQETILILFPSSRIESLCERLKPRIRHNKKTSNIKPINLHENKNNMEEHKRSCEQGRNNAGGSSIEGLDFVVQEVSSSILYQISCCSNHGTSNFGIVEIPIHNAEQEIIGVTESYDAIEVSSRSLIDHNPINMVKNESNDYLMVEAIDIEIENGEVHDDAKQSMVEEKSTPKRSSLSSSEEDDDEEEEEDDDDDDNDDDDDDDNNNDDNDDDDDDDDDDDDDDDDDNDDDDDDDKYSPMGSFLEEEEDDDDDDDDDDDDNSNKYSSMGSPFSEEEEEDSLLQSPNSTEEDEEHSPMGSTLEEEEDSSLQSSLSTGEEDEEEYSQMQSSFSTEEEDDDDDDDDDDDDDNDDDDDDDDDDKYSPMGSFLEEEDDDDDDNSNKYSSMGSPFSEEEEEDPLLQSPNSTEEDEEHSPMGSTLEEEEDSSLQSSLSTGEEDEEEYSQMQSSFSTEEEEEEEEEEEEEESKKMYN >KJB45053 pep chromosome:Graimondii2_0_v6:7:49394071:49395320:1 gene:B456_007G287300 transcript:KJB45053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGGPGGPPGPGGPGGSPGPGGPGGPPGWGGPAPGPGGPPGWGGPPPGPGGPPGWGGPPPGPGGPPGWGGPPVGPGFFGGFCDVIGACVNFLCCCWLFRDCFGGPMGPPGPPGPPPP >KJB45533 pep chromosome:Graimondii2_0_v6:7:52442909:52448610:1 gene:B456_007G310600 transcript:KJB45533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFASQSSLLMSSATSFDFLQKPIFLHGLSASLHLVLLLLLLILWMVSRIKKVSRESSKERQILWYKQTLACCFVVSVSNVVLCLLSYFYWYGNGWSEDKLVSLIDYALKILAWGATCVCLQCQFSNPGEQKRFPVVLRIWWGFYFSISCYCLVIDIVLFKTHVSFPSQYLVSDVLSVVTGLFLCIVGFFVRNEGEDILLEEPLLNGDSSVSNGVELSKEKGGDTVTPYSNAGIFSILTFSWMGPLIAAGNKKPLDLEDVPQLDSHDSVIGTFPKFKNRLESADSEGNGVTSLKLVKALFFSAWKDILWTALFAFTYTVASYVGPFLIDTFVQYLNGQREFKAEGYLLVAAFFVAKLVECLFQRRWFFKLQQVGLRQRVVLVAVIYNKGLTLSCQSKQSHTSGEIINFMTVDAERVGDFSWYMHDPWMVVLQVALALLILYKTLGLASIAAFVATVLVMLANIPLGKMLEKFQDKLMESKDTRMKATSEILRNMRILKLQGWEMKFLSRIVGLRSVEEGWLKRFVYTNAMTAFVFWVAPSFVSVATFGACMFLGVPLESGKILSALATFRILQEPIYNLPDTITMIVQTKVSLDRIAAFLRLDDLQPDAIEKLPSGSSNIAIEIADGNFSWDTSSPTATLKDINLKVSHGMSVAVCGTVGSGKSSLLSCLLGELPKISGTLKLCGTTAYVAQSPWIQSGKIVDNILFGKEMDRDKYDKVLEACTLKKDLEILSFGDQTVIGERGINLSGGQKKRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLKNLRSKTVIYVTHQVEFLPAADLILVMKDGRIVQAGNYNDILNSGTDFMELVGAHKKALSALDTVEASSVSEQTTSEGESDIGTTNGKVQKQENQGNQSFKVDDVGPKGQLVQEEEREKGQILFQIFQISSNYWMAWGSPVSADIKPPVGSFTLIMVYLALAIASAICVFARSMLLGTAGYKTATLLFIKMHLCIFRAPMSFFDSTPNGRILNRASTDQSAVDTDIAYQVAAFAFSVIQLLGIIAVMSQVAWQIFIIFIPVIATCIWYQQYYISSARELSRLIGVCKAPVIQNFAETILGATTIRSFDQEKRFQDTNMVLTDSYSRPKFHVAGAMEWLCFCLDLLSSVTFAFSLFFLISIPEGIIDPAIAGLAVTYGLNLNMLQAWVVWNICNMENKIISVERILQYCSIPSEPALVVETNRPDHCWPYDGGVHIRDLQVRYAPHMPLVLRGLTCTFPGGLKTGIVGRTGSGKSTLIQTLFRIVEPAAGQIIIDGVNISSIGLHDLRSRLSIIPQEPTMFEGTIRSNLDPLEEYTDEQIWEALDKCQLGDGVRNKAGRLDSSVSENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQTTLREHFSDCTVITIAHRITSVLDSDMVLLLSHGLIEEYDGPSSLLENKSSSFAQLVAEYTVRSNSGF >KJB39730 pep chromosome:Graimondii2_0_v6:7:1917728:1919212:1 gene:B456_007G027900 transcript:KJB39730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFGSESIKDVITPKKSDPKLVPRSGGKPEPDLSDPPPRPRRSGSSSTPARPDRNHDTVLPALTELAEGHPSWNVVEIIFHASWSPRTFTGRIDMIFKVQSRPRTVTRFEEYRETVKTRSGSGSGCSVFGDEENARCVADRNEVMRFRCLGPASGDCWVNDSWLLSDGKGETICTYAGSGGAHESAGGGKGRRAMLRMGFGYELSMDGRTGYDSVCGDNGELLVFDPRAVLPCFLIIYKL >KJB43830 pep chromosome:Graimondii2_0_v6:7:24995471:24997975:-1 gene:B456_007G218600 transcript:KJB43830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLQNLLLQLLLLLPFSSAYTPPHQYFINCGSNSNVSGSGGRKFVGDLNSGVSFFVGQSSPVPHANPSSSQLYQSARIYRHPFFYEFVINENGTYFVRLHFFVSSSDSNLATAKFNVSASGFWLLSNFALRNSISSPIIKEFLVTINEKRFRICFIPSQGSNLAFVNAIEVFLAPEDFIPDTASLVTPAGSRISFDGLSSQVLHTLFRINVGGSTLTPINDTLWRNWIPDDSFLLNPMTARNSDFFSDSPNYREGGATEYTAPDPVYKTAKEMNIDESRQLNFFNVTWSFNVSKSSSHFVRVHFCDIISVSLNVIIFDLYIYNKFSARINPYDKMGQLAAPFYYDFVVDSDESGIMNISIGPRANSPNQTAFLNGLEIMELMKKSDFVSCPGNLESNRTSLFAIVGSIGGGSFVIILVAIVLLSLKRRNTKRGQSSSWPFSGPFYARSSSYNGMSEKTSNMLPTDLNLTLRLSYHEIEQSTKNFDSNLVIGEGGFGKVYQGMFRGIKVAVKRSEPGHGQGLLEFQTEIVVLSQIRHRHLVSLIGYCDERFEMILVYEFMEQGTLRDHLYYSTADFEKSYSTCSELSWKQRLEICIGAAKGLIYLHTGAAGGIIHRDVKSTNILLDEQFVAKVADFGLSKSGIPDVEHSVDVKGTFGYLDPEYFMSLQLTDKSDVFSFGVVLLEVLCARPAVINSNRREEVNLAEWGMHWLRKGQLDNIIDPVLVDTINPNSFRKFAETTEKCLRVYGSERPIMRDVLWDLEYALQLQLTPINRGPLEDSITNASLEFSMPILHRLPSNSSPAVNEDNTTLVFDDTSDVTTSEVLTKLRIGDSR >KJB42677 pep chromosome:Graimondii2_0_v6:7:14251518:14252809:1 gene:B456_007G163200 transcript:KJB42677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESQPISLKAGLSDYSFEPAPPSPPKNKANCRRWQHKHLYHRLLPTQWRLQGRFLELNDKLGLQGKDPLLLRQLRLHPLSLPGDYGFDPLGLSDPEGPGGFIEPKWLAYGEIINGRYAMLGAVGAIAPEILGKAGLIPAETALPWFRTGVIPPAGTYSYWADPYTLFVFEMALMGFAEHRRFQDWAKPGSMGKQYFLGFEKYLGGSGEPAYPGGPLFNPLGFGKDEKSLKDLKLKEVKNGRLAMLAILGYFIQGLVTGVGPYQNLLDHLADPFNNNVLTNLKFH >KJB41899 pep chromosome:Graimondii2_0_v6:7:10092712:10093477:1 gene:B456_007G126500 transcript:KJB41899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRLSLPFSLLVLSLLVIASAGYDDSSKYGFDGIPADSPQANPIYGTKEDIYTPKPEEKVEEKEKPYHGTQKEEKENRLTIGVQGLVLCKSDSEYSPVQGASAKITCKAVDEDGVEKSVSICSEATDERGYFIAPLSNKLSGLEKLKVRECQAYLHSSPLKSCNVPTNVNRGIDGALLSAFRVLKEKKMKLYSVGPFFYTPQPQSTPTGY >KJB45795 pep chromosome:Graimondii2_0_v6:7:54884583:54889781:-1 gene:B456_007G328700 transcript:KJB45795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLTPISNLMAKPSFLPPFMFGLLFASFGVILSAKYSSITVDQSALLALKSQITHDPYNFLAPNWSTSTSVCSWIGVTCGSRHHRVTALVLASMDLTGTISSQLGNLSFLARLDIHQNSFQGSLPIELTNLRRLKYLDFGNNSFNGEIPTWFGCFSKLQRMSLHLNNFIGDIPFTLGNLSKLERLSLGGNQISGGIPNSLFKCKELKILSLFNNSLVGSIPTEIGNLTLLNRLNLGGNHFKGEIPSVIGDLTSLVCIGLFDNNLAGPEDSQDFEDDQDSSLSPDLLRMVEQDEK >KJB40345 pep chromosome:Graimondii2_0_v6:7:4206924:4208175:-1 gene:B456_007G059500 transcript:KJB40345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIPILRDFIGIIKDKASQSKAALLSDPKTLSLHLALLRATTHDPFTPPDPRHLATLLASGHTSRATVSTAIEYPSNGGRNYLKLSNFRDKTTLLTWELSYWVRWYALYLENLLQTSRVLGFFLSSASSSVDNNEEEEEKVSSLTNSQLLKEINSLGNLIEQISRMPNSLHTNGNILVDEVLGMVGEDYLASTNEVSIRVSEFKERLSCLSVFESVELGFALKRLEDCKERLSALGQMRNVLVETVWGSISEMKDQVGNDELYGEEGRLMTMESSKNKVSESSRYGERVLKLGDSVDFFSGRFSKLQY >KJB43921 pep chromosome:Graimondii2_0_v6:7:26689689:26690009:1 gene:B456_007G223700 transcript:KJB43921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSQFCHVEVANILNIHYVPNIWHIPLLLRNQNAHHSILK >KJB46024 pep chromosome:Graimondii2_0_v6:7:57082051:57082724:1 gene:B456_007G344100 transcript:KJB46024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STLFQRRDADFLISSGDRTGRLLKYNPYNGDVSVLYDGLAFPNGVALSANNSFLLVNESIKRRILKFNVHDPKAAPKVFLELPRVPNNIKRNEKGEFWVALNSGRLGTLGNGVPDPIGMRFNEEAKVLEILDGKGAPTFNSISEVQEHGGKLYIGSVLKSYVGILNA >KJB44194 pep chromosome:Graimondii2_0_v6:7:32649069:32649671:-1 gene:B456_007G239100 transcript:KJB44194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILHFLIPSLCCELKVLQARNIEPKYPGNLFVRYYLFSGSNKRVQVDSHEISSKLDPIWNESFSLECSGTQESINGLKQQTLVFELRCRSKVMPVLGKMGKSKLLGRAEIPWKAVLESPNMEIEKYWVTMSVNDRVLESLKPPSLQISMKLVQGPAIVEKEKKKKKNSWDGCGCKDVGGGCCSCADYEIFALAAAMEAL >KJB44764 pep chromosome:Graimondii2_0_v6:7:45699210:45701291:-1 gene:B456_007G271400 transcript:KJB44764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMASSSTFTHFLMVLLFLLAFGSIASLADGRAFFIFGDSLVDSGNNNYLTTTARADAPPYGIDYPTRRPTGRFSNGLNIPDLIGQKIGLSESPLPCLSPEFNGKKLLIGANFASAGVGILNDTGVQFVNILRMYRQLELFQEYKRRLSAQVGSRRAHKLVNQALVLITVGGNDFVNNYYLVPYSARSRQYKLPDYVKFLISEYRRLLMRLYKLGAHRVLVTGTGPMGCVPAELAMRGTNGGCSEELQRAASLYNPQLVEMLNGLNKKIGKDVFISANTQRMHNDFVSDPRAFGFVTSQIACCGQGPYNGLGLCTQLSNLCPNRALYAFWDPFHPSEKANRLIVEQIMTGSNEYMHPMNLSTIMAMDSGI >KJB45758 pep chromosome:Graimondii2_0_v6:7:54551400:54553473:-1 gene:B456_007G3259001 transcript:KJB45758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKYKGIGWVGDVYQTFETLCHEVDNIVNQDSVKYVENQTQSVGKSMKRFYSDVIHDILPPLKHEKERAALKRSATIDTHVKSTAAIEEDHIYTVDKLSHVEAVAVDPIEMQLGHASNELCLSDQLCTPTFIDGAESDMMPGQTSDGLKNTCSDVNIEKNGLMEKTSGSDVVELIYPSEEESFGALVNECIDCKDKNTLHDTEFLTPEEEGTVNGSIAVDVVQKQLCCAFGELCLVDQPGNFNSMGSLLGKEHRTSKQVDVLKDTKPEVNMEAATMEKSSASEVSQLISPVDKEFCGASLLSEFIDCNDKEPWQVEAEVSPATTVHNVRKPYASDVLELISPSEEASFGALMVHESVDCNDKSACVVCTDVSYATSVHNDQNTRMVKNDLANDSDCVSDASSGITSLQMSSSAIRCQENMAEVRVGSSCASRIKDFCLPQNPPENLPAYKHLYHDSIDASSSLLTPLLSNEKKLTGALSIVSSNELSMESLGSIDDSIDDVSISSMDTIQLRDEMKLEDSCVI >KJB45680 pep chromosome:Graimondii2_0_v6:7:53558880:53559525:1 gene:B456_007G3201002 transcript:KJB45680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFLNKIDKHLDNHRNLDQNMNELKRKLNDLNGLKEDIESRVSSELQPTKKLKKGVQIWLENVERINGEIQSLDGRIGESSALTRGFHAEDVLMRMKEVEEHIQQGKFCEGLVVDNPRRIGQVLSTSTLSGEATKLYIEEIWQCLMNDEVRKIGVWGMGGVGKTSIMKHINNRLLKQTHKFDVVIWITVSKEMSLAKLQKDLASKLDVKFSGNE >KJB45313 pep chromosome:Graimondii2_0_v6:7:51316077:51318213:1 gene:B456_007G300500 transcript:KJB45313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPKSKIESIREWVVDHKLRTVGCLWLSGIAGSIAYNWSQPGMKTSVKIIHARLHAQALTLAALAGAAAVEYYDHRNRAKADPYAKFLPADGYSHKD >KJB43596 pep chromosome:Graimondii2_0_v6:7:21995260:21996808:-1 gene:B456_007G209000 transcript:KJB43596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSPADQTSLSSSMQSLSVDSQPPSSSSTQMSLEEKFKIIRSVREECIQEDELLNLLNHKPKPICYDGFEPSSRMHIAQVDIFQLSMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSDPPSSIFMEDEEVVCL >KJB40315 pep chromosome:Graimondii2_0_v6:7:4062947:4063296:1 gene:B456_007G057200 transcript:KJB40315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVPLWLSSGLSVPSQELSQVSCAKAWVLWLLEWKAPREAGFTEQRKLPPLGSGRITGCCHLDPYWIHGPILLGQSPF >KJB41349 pep chromosome:Graimondii2_0_v6:7:7394944:7397186:1 gene:B456_007G100000 transcript:KJB41349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKFSERQPIGTTAQTDKDYNEPPPAPLFEHGELYSWSFWRAGIAEFVATFLFLYITVLTVMGVNRAPSKCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLTRAIFYMVMQCLGAICGAGVVKGFQPSRYEVLGGGANVVNHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWNDHWIFWVGPFIGAALAALYHQIVIRAIPFKSRA >KJB40636 pep chromosome:Graimondii2_0_v6:7:5072423:5074861:1 gene:B456_007G072000 transcript:KJB40636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSDGKVKVMGRWQCPFTMRARIALNIKSVNYELVEARPWDGQSQVLHESKSNPVMVHGDKSICESLNIVEYIDEIWPYAPSIVPSDPLQRVTARFWAGYLKDQWFPSLKAIGTAEGEDTRKAAIRQVEKGLVLLEGAFGKCSKRKAFFGGDQIEYLDIAFGCFLCLLRVEEKVNGIKLLSETKTPNLLKWAHRFCSNAVVKDVMPTIESLIESDEIIMGRMKGVASPASKL >KJB42169 pep chromosome:Graimondii2_0_v6:7:11610564:11613592:1 gene:B456_007G140700 transcript:KJB42169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESETASSADEQQLSGSAADTRGKHRILAELKRVEQESKFLEEEMEELERTDNVSTLSEELLLIMETRPDPLLPLTNGPINPSWDRWFEGPQDAKGCRCQIL >KJB46264 pep chromosome:Graimondii2_0_v6:7:58601110:58602189:-1 gene:B456_007G355300 transcript:KJB46264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSASRPNTNIITKPQNSSQSSTSLESMASSHSSPPPVSRALSLPTPLVHHPPLKKGDTHHLVSLTSTTYGSLSLIDVQKPVIDDTQSLSDQDSPVHGGQTDSLSPDSVINTWELMDGLDDDDDDVGHDFDLGKPKSKPSFNTIIKQSLTKPLWKHLSEESLLSKLDPNVVSSYRRALSSRASPSLSPSCSSLSDSWVKIPHTEKSQKTRNIVLYFTSLRGIRKTYEDCCCVRMIFRGFRVVVDEKDISMDSMYRTELQSLLGGKSICLPQVFIQGKHVGGVEEIRQLNENGELAKLLKGFPVRDPGIVCEGCGDARFVPCPNCSGSKKVFEEDECRLRRCPDCNENGLIRCRACCSW >KJB41528 pep chromosome:Graimondii2_0_v6:7:8219393:8219898:1 gene:B456_007G108900 transcript:KJB41528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVILQSWLPVNPRKRKICSSHLLLFPDQSHRVLALDSFRPLVNIETYGFHLVSLLRITDLNRFNSNIPISIYLIPSIATQISTFHLIAF >KJB43334 pep chromosome:Graimondii2_0_v6:7:19250934:19251779:1 gene:B456_007G194800 transcript:KJB43334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FERLSDAKNAIWKLNGSWVYGYRIWSKFARSSGRSSKKDKFGVHVNKFVSPHDFVESKGVFYIDVKKHPGRQFLFVFYYDEAMQKMEAQGRTWLNGWFVEIKPWSSLTLKKHRITRLAVYGLPLHVWNYNTFRRIAAILGLGEETLKLDGFSHGTMSIMTDHLSRIEATITVQCGVENFQVRISEIIELVNDSLFLLNM >KJB45167 pep chromosome:Graimondii2_0_v6:7:50314638:50318520:1 gene:B456_007G293200 transcript:KJB45167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAKLEVGELSSSLIHKEKPRDGVVHGFSGEEQDGIKTTSSATTMVVLSTSVAVCGSYVFGTAIGYSSPAQTGITDDLDLSVAEYSFFGSILTIGAMIGAVMSGRLADYIGRKRTMGFSEIFCIIGWLLILFSKSALWLDFGRMLVGYGMGLLSYVVPVYIAEVTPKDIRGGFTSVHQLMICCGVSITYLIGAFATWRTLALIGTIPCLIQLLGLFFIPESPRWQAKIGKWKECEASLQCLRGNNADISNEASEIKDYTEQLQRLSESSVFDLFQKDYAWSLIVGVGLMVLQQFGGVNGIAFYASSIFISAGFSGSVGMIAMVVVQVPMTTLGVLLLDKSGRRPLLLVSAAGTCLGCFLVGLSFLLQDLQQWKEVTPILSLVGVLVYTGSFSLGMGGIPWVIMSEIFPINMKGSAGSLVTLVSWLGSWIISFAFNFLMKWSSTGTFFIFATICGLTVLFVAKLVPETKGRTLEEIQASMNPVSLER >KJB44502 pep chromosome:Graimondii2_0_v6:7:41560985:41562112:1 gene:B456_007G256000 transcript:KJB44502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLSGHTSGIDSVTFDSPEVLVAAGAASGTIKLWDLDEAKIVRTLTGHRSNCISMDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGYTQGVNAIRFTPDGRWVVSGGEDNIVKVWDLTAGKLLHQFKDHEGQIQCLDFHPHEFLLATD >KJB43085 pep chromosome:Graimondii2_0_v6:7:17475550:17481593:-1 gene:B456_007G183100 transcript:KJB43085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLWFSSSSSPLPCLPLLYYSLLFIMFGFPLSLSNHELYENCRDTVFRCGNISAGFPFSGGQRVSECGRHGLELRCDNNNNTTTIVISNIEYRVLGIGWDRHILKIAREDLIKYDGLCSPQIATRNSVLDPELFSPGPGYANVTLFYDCQASISSRNLGFFPCDNAGSTYSNVSVGIPNNIQPKGCSANVTVPVLRSSMEGSLNSSLRLKEALNKGVEVQWNWKDSKACGKCNDSGGACGFFGPAENQTMFCYCPFMFENSPDDRQCHRVVSSSSPTSSAQESESESKSKSKSRLNLVFIGFTVVVTITIVLVSALCFFVLRLKGKSLSSHLWKGKKNDNARIEEFITKFGSLAPNRYFYGDIKKMTNKFKDKLGEGGYGSVYKGKLPDGRLVAVKILSEFKENGEEFMNEVASISRTSHVNIVTLLGFCFERSKRALVYEFMPNGSLDGFIYNKGPHNQSRQLEGKTLYNIALGIARGLEYLHQGCNTRILHFDIKPHNILLDENFCPKISDFGLSKLCERKESIIANTGTRGTVGYIAPEVFCRNFGGVSHKSDVYSYGMMLLEMIGGRKNIDIEGSQTSETYFPSWINNHLDQPMNFNLNEVIAEGEEEITKKLFTVSLWCTQTHPIDRPSITEVLEMLQGSLQSLVLPPKPT >KJB41136 pep chromosome:Graimondii2_0_v6:7:6756063:6758568:1 gene:B456_007G092600 transcript:KJB41136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSYSFTFTALVLLLSLISLTFFTAKLKQKQTKNKYHPVAGTIINLLLNFNRLHHYMADLAAKYRTYRLLSPYRSEIHTSEPANVEYILKTNFDNYGKGEYNHSLLRDFFGEGIFTVDGDKWRQQRKASSYEFSTKVLRDFSSVVFRKNVARLANIVSEAAISNDTMDMQDLFLKSTLDSIFKVAFGVELDSMRGSNVEGKEFATAFDNASVLVVYRYVDLFWKIKRYLNIGSEAALKRNIKVVDNFVYKLIHNKIEQLRDSKDDSAVIKKEDILTRYLQVKDTDPKYLRDTIINFTGAGKDTTASTLSWFIYMLCKHPDVQEKVAVEVKEATKSMEVKDVDEFAASLREEALEKMHYLHAAITETIRLYPAVPMDGKICFSDDTLPDGFSVRKDDLIVYQPYAMGRMKFIWGDDAEEFRPERWLDKNGMFRSESPFKFTAFQAGPRVCLGKDFAYRQMKIFSAVLLRCFVFKLSDENRAVTYRTMINLHIDGGLHVRAFHRCRT >KJB42242 pep chromosome:Graimondii2_0_v6:7:12079698:12081019:1 gene:B456_007G144400 transcript:KJB42242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGTGSPCGACKFLRRKCASDCIFAPYFCSEQGPARFAAIHKVFGASNASKLLLHIPAHDRCEAVVTIAYEAQARIRDPVYGCVAHIFALQQQVAYLQGQLMQMKAQLAQNAMNSHNMESQWQGNLSGGPSIPTYPIYMNPISPQSSLESVELNSADNLNMQEIQSREEFSNFHGYSRKRPYNSDLGELQALALRMMRN >KJB45374 pep chromosome:Graimondii2_0_v6:7:51677020:51677895:-1 gene:B456_007G303100 transcript:KJB45374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHPNMTEKTHEKFGVFFPPKLHHSYVVTITLPQPTIRIKIPRVFIKAVQSRRSQSVSENGSKSMKKHWKKVGSMFSKVFGKKKTVAKTDSKAESENLELHSNGDDGDADDDDDDDDDDGEMEKLKNMFERVENDSSIVDDIDPNVACNVGQKSGNTINGRKGIVRMKKTGSFNGSASFRSNTRRSFHGKLKTAWWMKKMGRKTEKIELCKKRILMGGKCRPLE >KJB41254 pep chromosome:Graimondii2_0_v6:7:7136549:7139838:-1 gene:B456_007G096800 transcript:KJB41254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKDGERKVTLVIPWLSLKDQKLVYPNHTTFGSPSEQESFIRQWLEERTAFISGFAIRFYPGKFAVDKRSILPVGDISVIIPDEEADIAVLEEPEHLTWFHHGKRWKTKFRLVIGIIHTNYLEYVKREKNGQFQAFLLKYVNSWVVSIYCHKVIRLSAATQYYPRSIICNVHGVNPKFLEIGKERFEQQHSSNHQAFTKGAYYIGKMIWNKGYGELLQLLNNHQKELAGLEIDLYGNGEDSDQVKKAANKLKLTVRVHPGRDHADPLFHDYKVFLNPSTTDVVCTTTAEALAMGKIVVCANHPSNDFFKQFPNCRIYDDDNGFVEATCKALSEEPVPLTDAQRYELSWEAATERFLKVSELNQVFATEREKNSSKEFASVSLNLWKSMEDTSAYFHYLALGFETTRRAFGAIPGSLQPDEEQRKELGLATSSKHSL >KJB41286 pep chromosome:Graimondii2_0_v6:7:7182801:7184225:1 gene:B456_007G097400 transcript:KJB41286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTGTLDKCKACDKTVHVVDMMTLEGVPYHKTCFKCSHCKGNLVMNTYSSMDGVLYCKPHFEQLFKESGNFSKNFQTAKTEKQNDTSRTPNKLSSLFSGTQDKCAACEKTVYPLEKITMEGECFHKTCFRCAHGGCPLTHSSYAALNGILYCKHHFAQLFMVKGNYNHVLQSATHRRNTSTASSTDFAENQADLETAAANQDDNEEQS >KJB44601 pep chromosome:Graimondii2_0_v6:7:42794266:42796412:-1 gene:B456_007G260600 transcript:KJB44601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPSLVGNSTSSKGTPCCSKVGIKRGPWTPEEDEVLANYIKREGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGRIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLISQGIDPRTHKPLNLITHKSPQINHDPVPKSNPSPPIPNPSVLASKTITIKTTNTTKDGTPTNLEHGYQQQSQNQQVDKSMEKRHYTESATLIMGEPSSHGNEGDHMENCNEDMFSSFLDSLINENLLVNQHPVEQQPNNLVAPAAAAAESSQNLSHGDMWETELISAMVGFGNEPNSFNNHYHYLHQL >KJB40199 pep chromosome:Graimondii2_0_v6:7:3565520:3567753:-1 gene:B456_007G050500 transcript:KJB40199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKGQDKEMGMPSSFSCNNKLNQADSSLKLSSSSAPINQSRTLDQYNESNPSQHQIDNPRSNPDHDRNPVSSPIPTVAAPTSTTISSTPLIRYRECLKNHVASMGGHVVDGCGEFMPSGEDGTQEALKCAACECHRNFHRKEIDGETQYPATRCCFTYKNNARRGPVHPQQPTPLRQQRFSLELSNSPCLPIAPAAMMNFRGGRAESSSEELNMFHSNEAGGRQPSYPQSSKKRFRTKFSRDQKDKMMEFAEKLGWRIQKQDEPEVQQFCAQVGVKRQVFKVWMHNSKQAMKKKQM >KJB44686 pep chromosome:Graimondii2_0_v6:7:44537561:44537743:-1 gene:B456_007G2667001 transcript:KJB44686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASQVTAAEAPGLLAHPHPLITRRDELAFARVNYGITTQGTPTTGKLEFGQEESRSENVL >KJB45459 pep chromosome:Graimondii2_0_v6:7:52043607:52048626:-1 gene:B456_007G306900 transcript:KJB45459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSLRTEGEDSILEETLLNGDSRVSNVEFSNEKGVDTVSPYSNAGSFSRLTFSWMGPLIAAGTKKPLDLTDVPQLDSHDSVIGAFPKFKNRLESADSEGNGVTSLKLVKALFFSAWKDILWTALLSFTYTVALYVGSYLIDPFVQCLNGKRQFKAEGYLLVAAFFVAKLVECLSQRHRLFKLQQVGIRQRALLVAMIYNKGLTLSCQSKQSHTSGKIINFMIVDAERVGDFSWYMHDPWMVALHVALALLILYKALGLASIAAFVANVLVMLANIPLRKMLEKFQYKLMESKDTRMKTTYEILRNMRILKLQGWEMKFLSKIFGLRRVEEGWLKCYVYTNAMIDSVFLVAPTFVSAATFGACMFLGVPLVSGKILSAVATFRILQEPIYNLPGTISMIAQTKVSLDRIAALLRLDDLQLDAIEKLPSGSSETAIEIADGNFSWDMSSPTATLKDINLKVSHGMSVAVCGTVGSGKSSFLSCLLGELPKISGTLKLFGTTAYVAQSPWIQSGKIVDNILFGKEMDRDKYDKVLEACALKKDLEILSHRIQIARALYHDADIYLFDDPFSTVDAHTRSHLFKEVLLNNLRSKTVIYVTNQVEILPAADLILVMKGGRIVQAGKYNDILKSGTDFMELVDAHKKALLALDTVKACSVSERTTSEEGDIGTTNGKVQIEENQGNESEKGQVGFSVYWKYITMAYGGALVHLILRALILLQIYQIGSNYWMAWGSPMSADIKPPVGNFTLTIVYLALAISSAVCVLAISMLLGTAGYKTATLLFKKMHLCIFRAPMSFFDSTPSGRILNRASTDQRAVDMDIPYQVAAFAFSVIHLLGIIAVMSQAAWQIFIIFIPVVATCILYQQYYRSSARELLRLVGVCKAPVIQNFAETILGATTIRSFDQEKRFQDTNMALTDSYSRPKFHVAGAMEWLFFRLDLLSTVTFAFSLFILISTPVGVIHPAIAGLAVMYGLNLNILQASMVWNICKTENKIISVERILQYCSIPSEPALVVETNRPDHCWPYHGEVQIRDLQVRYAPHMPLVLRGLTCTFPGGLKTGIVGRTGSGKSTLIQTLFRIVEPAAGQIIIDGVNISSIGLHDLRSRLGIIPPEPTMFEGTIRSNLDPLEEYTDEQIWEALDKCRLGDEVRNKAGRLNSSVSENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQMTLREHFSDCTVITIAHRITSVLDSDMVLLLSHGVIEEYDSPSSLLENKSSSFAQLVAEYTVRSNSGF >KJB42933 pep chromosome:Graimondii2_0_v6:7:16220916:16222730:-1 gene:B456_007G175100 transcript:KJB42933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVYGPAFASPKRVIACLIEKEIEFETVPVDLLKGEHKDPEFLKLQPFGTVPVTEDGDYVLYESRAIIRYYAEKYKSQGTDLLGKTVEERGQVENWLEVEAHNFNPPIYALTLHLMFASKMGFPPDENLIKESEEKLGKVLDIYEERLSKNKYLAGDFFSLADLSHLPFTQYLVGQMGKEYMITSRKHVSAWWDDISSRPSWQKVLQLYAPPF >KJB45236 pep chromosome:Graimondii2_0_v6:7:50718875:50728563:1 gene:B456_007G296900 transcript:KJB45236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELCSESCHQIVIDKDQTVENCESKASPNPVNELFEENTDSMDEDTLSNMNPEISPAGRPTLPILQKVINLSNSVQHLKKEHEILSNQVKSFPGHDVVGTLQLLNDEYELLKKKYLDESSERKRLYNEVIELKGNIRVFCRCRPLNQAEIANGSSSVVEFDSSQDTELQIVSSDSKKQFKFDHVFRPEESQEAVFARTKPIVTSVLDGYNVCIFAYGQTGTGKTFTMEGTPDNRGVNYRTLEELFQVSGERGCVMQYELFVSMMEVYNEKIRDLLGENSNQPTKRLDVKQAADGTQEVPGLVEARVYNTEEVWELLKSGSRARSVGATNANELSSRSHCLLRVTVRGTNLINGQKTRSHLWLVDLAGSERVGKIEVEGERLKESQFINKSLSALGDVISALASKTSHIPYRNSKLTHMLQSSLGGDCKTVMFVQISPSNADLGETLCSLNFASRVRGIESGPARKQVDLAEVYKYKQMAEKLKHDEKETKKLQDNVQSLQLRLAAREHICRNLQEKVRDLESQLAEERKTRLKQETRAFSAAAAASTRSFLRQETEKTKMEKKPPLVPTKLRQPLRKITNFMPPASPMLTNRKTTRMTMASVPEAKENNLKTMMAPRRNSIAVRPPTTTTAVRQPKRRVSITTFRPDSHMTTPLRSSTSGFNHSSTMDRPSLMRDPRKARYSRLFSPLPKLPNPSETTPAAMRSSSKFMGSPPMQVGSWKPKHPTVVALQRKSLVWSPLKLRGTQRKQSFLPLRPSAERE >KJB46408 pep chromosome:Graimondii2_0_v6:7:59885118:59888919:-1 gene:B456_007G3661002 transcript:KJB46408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVANIIKSVTHQAPDQNLEMDQLQKQLRDKIDGKKYLLVLDDIWNDDPERWSRLKKLLMGGAKGSRIIVTTRSLRVAEITNKCQSHVLKLKGLSGDDAWSLFKKIAFEQGYADSTNSAFVEVGRQISERCGGVPLAIRTIAGTLSLKKTANEWHSFKENELAKISQIEGEILPILKLSYDHLPSHLKHCFAYCRLYPTDYTIQVQALVQFWIAQGFIKQLNQSQSLEEIGFGYFKDLVERSFFQEVEEYGIGNMTCKMHDLMHDLAESVAGMESSIVDSNKIASDVGEKCRHISINPSLIPLFKGKKLRTLLHFQYGLSQDFSYETWDLIIANCRCLRVLKLNSIGIHKISPSICKLKHLRYLDLSENPVRILPKSICKIQNLLALKLDWCTGLKELPKKIEKLVNLTHLRCKDCWELTHMPRGIGKLTSLETLSMFVVDEDGSHGGADLSELRLLSNLRGELRIRNLGFVKNAKEKFKAANLKEKQHLRSLLLEWSLRFDDDDEKSLEDLQPHPNLKELCIAGWRGKAKFPSWLPLLTNLVKIFISGDNFKYFPSFAQLPCLELLKIERCTKLEYMDDNSLKGSQGEPQSFFPSLKHLSLWDCPNMKSWWRMTKPIDDDSNEDDTTVIGTSTMAFPCLSSLWIVNCPLTLMPLYPSLDDKLELRNTSSRPLKQTIKMNINAKAPSTSTSSLPLSKLKSFHVHNIEGLDTHTLDECLQHLTSLKRLTIGDCKVVDLEGMQWEPLKNLSHLEIDNIPKLVSLPIWLQHLVQLKTLKIHNWNGLRSLLPVFQHLTFLEEFEVRNCEELELSGAGIQIFQDHTSLRSLRLEYIPKCRHLPEWLQHLTNLQRLYLVNLPNLTSLPDEMRCLTSLEYLQVQEIPQLKERCRKDIGADWQKIAHIPDIRLYQ >KJB44742 pep chromosome:Graimondii2_0_v6:7:45247584:45248181:1 gene:B456_007G269700 transcript:KJB44742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVQFLVAFVLLALASKLVSASDPSPLQDFCVAINDTKDGVFVNGKFCKDPKLATAEDFFLPGLNIPGNTSNQVGSMVTPANVQQIPGLNTLGISLVRIDYAPYGGLNPPHTHPRATEILVVVEGTLSVGFVTSNTDNRLSPKSYTPEMYSFS >KJB45743 pep chromosome:Graimondii2_0_v6:7:54432540:54437337:-1 gene:B456_007G324900 transcript:KJB45743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALLVIFGAILSVNSLNITADELNLLALKSYISHDPHHLVTNWSTSTSVCNWIGVTCGSRHHRVIALNLSNMDLTGIIPSELGNLSFLAWLDIHNNNFHGSLPIELTNLHRLKYLNFNNNSFNGEVPSWFGYFPKLQSLSLSDNYFNGVVPSILGDFSSTRRNVTSLKVIDLSMNYLTGEISSVIGNLTSLLYIDLSYNNLSGSLPSRIFDNFSNLQVLNLQWNRIFGKIPSSLFKCKELTYLSMDNNALEGSIPREIENLTSLEDLYLDYNNLKGEIPLVVGNLTSLIKLSLSYNILTVSKLNVVLISGQVKCSKPVTIAPNASNEGLLLRWGSFGPRELARFNEKLEEPVRLKPGWPDNEEI >KJB44034 pep chromosome:Graimondii2_0_v6:7:28990583:28992597:1 gene:B456_007G231200 transcript:KJB44034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGLINLLQSSLLIPFLFTLPFVNSLNFQISRFDSNANNILYQGDAKPSVGAIEFNLINYINRVGWATYAEKVPIWDSRTGRLTDFSTRFSFDISIQSSDYGHGLVFFLAPVGSQIPPNSAGGFLGLFNTTTSDSSQNQIVLVEFDTFENPEWDPTGIGSHVGINENSISSANYTRWNASFHSEDTADVVINYNATTKNLSVSWSYQKTNNPRENSSLSYQIDLMTVLPEWVMVGFSAATGQYVERHTLQNWEFGSSLTVAEDTSGNKARNVRIILGIVVPVGVLIAGTIIAFIIWKRKKHAKRTPETTNLTSMNDDLERGAGPRRFSYTDLASATNNFSEQRKLGEGGFGAVYRGYLNDLDVEVAVKRISSWSKQGRKEYVTEVKVISQLRHRNLVQLIGWCHDKNDFILVYEFMPNGSLDSHLFGRRSALTWSVRYRISLGLASALLYLHEEWEQCVVHRDIKSSNVMLDSSFNVKLGDFGLAKLMDHELGPKTTGLAGTLGYLAPEYISTGRASKESDVYSFGVVLLEIATGRKSVDPGRKSDMGLVEWIWGLYGTGELILAIDDKLGKEFDEKQGESLMIVGLWCAHPDCNSRPSIRQAIQVLYLESPLPNLPVKMPVPTYQVSLPSVSSSSEPSVTYSSMNLGR >KJB46404 pep chromosome:Graimondii2_0_v6:7:59865140:59866771:1 gene:B456_007G366000 transcript:KJB46404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGAGASDGPTLPYQTPPTASTAPASTVPPVVPPIRLHLVFASTKPPFVHPDDYHRFSSSNSPGIVADQEVEAIVVRFPQ >KJB42907 pep chromosome:Graimondii2_0_v6:7:16020666:16021368:-1 gene:B456_007G173700 transcript:KJB42907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EQLLFVLPVAVGAIMSIKNFNNSFNNHHQRLRGALYGIIWLQALTGALRSCRGSKGGSAWFIAHWLLGSAVCVLSVINIYTGLGASHEKTSESTRLWTIILIAENCLIVFIYLF >KJB40048 pep chromosome:Graimondii2_0_v6:7:3065720:3066930:1 gene:B456_007G044200 transcript:KJB40048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMEAKLPQNPPRKTHKLVLCAQICLRIVAISTAFAATWTIVNAKETVVVFGLQFDARYTYSSAFKFFAFANAIACGFTSLSLMFVLLIFRHGRFTPSNFFFLFLHDLFMMSLILSGVAAGTAIGFVGRYGNNHAGWSEICDRLKKYCDKVTASMVLSYLSVICLVVLTVISAGKSRQIMV >KJB49150 pep chromosome:Graimondii2_0_v6:8:30914888:30916020:1 gene:B456_008G102800 transcript:KJB49150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNNKRRKSETVLQRLIKKYAARVDREYFAISLFFQPSPNALHIHVKVTKGSNYIHKQNISNSKSIMKSTITMTPWKFDEQMIMFPLVLLIICSHPTVNGFEVFLINNLGGNTNLAVHCFSPQVKDLGSRVILPGDDFHWEFGINIGTTAEYECDMGYGNKQKRFLVFAERRDALRCGNQKCYWRVDRDGLYLYIKEVDDYQKQFSW >KJB49696 pep chromosome:Graimondii2_0_v6:8:38092296:38093672:1 gene:B456_008G133900 transcript:KJB49696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGQKEGYAVLSYLSGCRKGQYLSTNKKELIEGIKVSLSSAVVSGVSSLDFDTLHLIWTQEKLQQQLDVIDRRWEMSRQSALASLKSGNKKLALRHAKEMKLGIENREKCNSLLNRVEEVLSVIANVESTKQVTGAIQIGARVIKENKISIEEVQLCLEELDESIDSQKQVEKALEPAPSLDMEDEDIEEEFRKLELEIGSGNLKDLNPEAGVSDSEGTDQSLADALLNLKLADDAPGSGSAIQNSGLLAKNKESNGPMLEAA >KJB49693 pep chromosome:Graimondii2_0_v6:8:38091442:38093883:1 gene:B456_008G133900 transcript:KJB49693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPYSGRLTQLFYKVKSLMNRSTMSPESILLEDCLILTTLLKEKADEVIKCLSESHWNSHCVVTRNKFESMCGGQKEGYAVLSYLSGCRKGQYLSTNKKELIEGIKVSLSSAVVSGVSSLDFDTLHLIWTQEKLQQQLDVIDRRWEMSRQSALASLKSGNKKLALRHAKEMKLGIENREKCNSLLNRVEEVLSVIANVESTKQVTGAIQIGARVIKENKISIEEVQLCLEELDESIDSQKQVEKALEPAPSLDMEDEDIEEEFRKLELEIGSGNLKDLNPEAGVSDSEGTDQSLADALLNLKLADDAPGSGSAIQNSGLLAKNKESNGPMLEAA >KJB49698 pep chromosome:Graimondii2_0_v6:8:38091487:38093872:1 gene:B456_008G133900 transcript:KJB49698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSVKEFTRKEVPDWDDELIATARFKAFSGQKSDWEPKFQFWKNLIVKIARHFGVFIISPPQVKNEWFNRGGLTPLCIDHVLFIMYNEGEITRISDMVGPYSGRLTQLFYKVKSLMNRSTMSPESILLEDCLILTTLLKEKADEVIKCLSESHWNSHCVVTRNKFESMCGGQKEGYAVLSYLSGCRKGQYLSTNKKELIEGIKVSLSSAVVSGVSSLDFDTLHLIWTQEKLQQQLDVIDRRWEMSRQSALASLKSGNKKLALRHAKEMKLGIENREKCNSLLNRVEEVLSVIANVESTKQVTGAIQIGARVIKENKISIEEVQLCLEELDESIDSQKQVEKALAPSLDMEDEDIEEEFRKLELEIGSGNLKDLNPEAGVSDSEGTDQSLADALLNLKLADDAPGSGSAIQNSGLLAKNKESNGPMLEAA >KJB49695 pep chromosome:Graimondii2_0_v6:8:38091487:38093386:1 gene:B456_008G133900 transcript:KJB49695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSVKEFTRKEVPDWDDELIATARFKAFSGQKSDWEPKFQFWKNLIVKIARHFGVFIISPPQVKNEWFNRGGLTPLCIDHVLFIMYNEGEITRISDMVGPYSGRLTQLFYKVKSLMNRSTMSPESILLEDCLILTTLLKEKADEVIKCLSESHWNSHCVVTRNKFESMCGGQKEGYAVLSYLSGCRKGQYLSTNKKELIEGIKVSLSSAVVSGVSSLDFDTLHLIWTQEKLQQQLDVIDRRWEMSRQSALASLKSGNKKLALRHAKEMKLGIENREKCNSLLNRVEEVLSVIANVESTKQVTGAIQIGARVIKENKISIEEVQLCLEELDESIDSQKQVEKALGSIC >KJB49697 pep chromosome:Graimondii2_0_v6:8:38091487:38093872:1 gene:B456_008G133900 transcript:KJB49697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPESILLEDCLILTTLLKEKADEVIKCLSESHWNSHCVVTRNKFESMCGGQKEGYAVLSYLSGCRKGQYLSTNKKELIEGIKVSLSSAVVSGVSSLDFDTLHLIWTQEKLQQQLDVIDRRWEMSRQSALASLKSGNKKLALRHAKEMKLGIENREKCNSLLNRVEEVLSVIANVESTKQVTGAIQIGARVIKENKISIEEVQLCLEELDESIDSQKQVEKALEPAPSLDMEDEDIEEEFRKLELEIGSGNLKDLNPEAGVSDSEGTDQSLADALLNLKLADDAPGSGSAIQNSGLLAKNKESNGPMLEAA >KJB49694 pep chromosome:Graimondii2_0_v6:8:38091442:38093883:1 gene:B456_008G133900 transcript:KJB49694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSVKEFTRKEVPDWDDELIATARFKAFSGQKSDWEPKFQFWKNLIVKIARHFGVFIISPPQVKNEWFNRGGLTPLCIDHVLFIMYNEGEITRISDMVGPYSGRLTQLFYKVKSLMNRSTMSPESILLEDCLILTTLLKEKADEVIKCLSESHWNSHCVVTRNKFESMCGGQKEGYAVLSYLSGCRKGQYLSTNKKELIEGIKVSLSSAVVSGVSSLDFDTLHLIWTQEKLQQQLDVIDRRWEMSRQSALASLKSGNKKLALRHAKEMKLGIENREKCNSLLNRVEEVLSVIANVESTKQVTGAIQIGARVIKENKISIEEVQLCLEELDESIDSQKQVEKALEPAPSLDMEDEDIEEEFRKLELEIGSGNLKDLNPEAGVSDSEGTDQSLADALLNLKLADDAPGSGSAIQNSGLLAKNKESNGPMLEAA >KJB52693 pep chromosome:Graimondii2_0_v6:8:55160725:55161972:1 gene:B456_008G272600 transcript:KJB52693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSQPLSIESFSYSWLVNLKPSLDSVDSSLRASLDAYDEASFIEMDPRMPPSKRFFRNSQDFKFDFPISQTPLTLVHADELFSNGHVMPFFINPSKVEAYEVSGSTSSLPTTTSHAPDLMVPPCKTSHPSLTRCRRLSKRIFSKYLNFVRPLYRRILRGSKSSGRAESVDARVHSRKNWVYSTTTSPRISVAYSADEYRKSCDSESSIYEAVLHCKKSIGK >KJB50323 pep chromosome:Graimondii2_0_v6:8:43170412:43170961:1 gene:B456_008G163700 transcript:KJB50323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSFNLFIFAVILIFATHSEMMAEARGPVISCRCRQTEDCQGICAACPNYSCINNLCTCLSNAPPFP >KJB51985 pep chromosome:Graimondii2_0_v6:8:52740890:52742647:-1 gene:B456_008G241200 transcript:KJB51985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTGQFLIQRPRFNHVFLQNNSFHIPKRVQFSVLPQSSYIPVPLSSVSQFRGSKFLECKCASEKVSESFERDPGQEFEPEPNQIVKQKKASIVDILKQSNSILPHVVLASTIMALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPDAIFTGYVGQFVVKPLLGYIFGIIAVTVFGLPTPLGAGIMLVSCVSGAQLSNYATFLTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVVGMVSSILQIVIAPITAGLLLNR >KJB51977 pep chromosome:Graimondii2_0_v6:8:52739481:52742667:-1 gene:B456_008G241200 transcript:KJB51977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSCVSGAQLSNYATFLTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVVGMVSSILQIVIAPITAGLLLNRLFPRLCEAMRPFLPPLSVLDTACCVGAPLAININSVLSPFGLTVSLLIVAFHLSAFIAGYFLSGSLFHKAPDVKALQRTLSFETGMQSSLLALALANRFFQDPLVSVPPAISTVIMSLMGFALVMIWAKKKE >KJB51980 pep chromosome:Graimondii2_0_v6:8:52739481:52742732:-1 gene:B456_008G241200 transcript:KJB51980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCKTIAFIYLSESSSQFCHKVPIFLFHSVPFLSLGALNFWSVNVHQRKFQNLLKGTQVRNLNRNQIRYYAPALGFLMFAVGVNSSEKDFIEAFKRPDAIFTGYVGQFVVKPLLGYIFGIIAVTVFGLPTPLGAGIMLVSCVSGAQLSNYATFLTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVVGMVSSILQIVIAPITAGLLLNRLFPRLCEAMRPFLPPLSVLDTACCVGAPLAININSVLSPFGLTVSLLIVAFHLSAFIAGYFLSGSLFHKAPDVKALQRTLSFETGMQSSLLALALANRFFQDPLVSVPPAISTVIMSLMGFALVMIWAKKKE >KJB51978 pep chromosome:Graimondii2_0_v6:8:52740088:52741866:-1 gene:B456_008G241200 transcript:KJB51978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPDAIFTGYVGQFVVKPLLGYIFGIIAVTVFGLPTPLGAGIMLVSCVSGAQLSNYATFLTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVVGMVSSILQIVIAPITAGLLLNRLFPRLCEAMRPFLPPLSVLDTACCVGAPLAININSVLSPFGLTVSLLIVAFHLSAFIAGYFLSGSLFHKAPDVKALQRTLSFETGMQSSLLALALANRFFQDPLVSVPPAISTVIMSLMGFALVMIWAKKKE >KJB51986 pep chromosome:Graimondii2_0_v6:8:52740088:52742647:-1 gene:B456_008G241200 transcript:KJB51986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTGQFLIQRPRFNHVFLQNNSFHIPKRVQFSVLPQSSYIPVPLSSVSQFRGSKFLECKCASEKVSESFERDPGQEFEPEPNQIVKQKKASIVDILKQSNSILPHVVLASTIMALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPDAIFTGYVGQFVVKPLLGYIFGIIAVTVFGLPTPLGAGIMLVSCVSGAQLSNYATFLTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVVGMVSSILQIVIAPITAGLLLNRLFPRLCEAMRPFLPPLSVLDTACCVGAPLAININSVLSPFGLTVSLLIVAFHLSAFIAGYFLSGSLFHKAPDVKALQRTLSFETGMQSSLLALALANRFFQDPLVSVPPAISTVIMSLMGFALVMIWAKKKE >KJB51974 pep chromosome:Graimondii2_0_v6:8:52739618:52742833:-1 gene:B456_008G241200 transcript:KJB51974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTGQFLIQRPRFNHVFLQNNSFHIPKRVQFSVLPQSSYIPVPLSSVSQFRGSKFLECKCASEKVSESFERDPGQEFEPEPNQIVKQKKASIVDILKQSNSILPHVVLASTIMALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPDAIFTGYVGQFVVKPLLGYIFGIIAVTVFGLPTPLGAGIMLVSCVSGAQLSNYATFLTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVVGMVSSILQIVIAPITAGLLLNRLFPRLCEAMRPFLPPLSVLDTACCVGAPLAININSVLSPFGLTVSLLIVAFHLSAFIAGYFLSGSLFHKAPDVKALQRTLSFETGMQSSLLALALANRFFQDPLVSVPPAISTVIMSLMGFALVMIWAKKKE >KJB51975 pep chromosome:Graimondii2_0_v6:8:52739481:52741597:-1 gene:B456_008G241200 transcript:KJB51975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFWTDILAYVLTIWSLCRYYAPALGFLMFAVGVNSSEKDFIEAFKRPDAIFTGYVGQFVVKPLLGYIFGIIAVTVFGLPTPLGAGIMLVSCVSGAQLSNYATFLTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVVGMVSSILQIVIAPITAGLLLNRLFPRLCEAMRPFLPPLSVLDTACCVGAPLAININSVLSPFGLTVSLLIVAFHLSAFIAGYFLSGSLFHKAPDVKALQRTLSFETGMQSSLLALALANRFFQDPLVSVPPAISTVIMSLMGFALVMIWAKKKE >KJB51983 pep chromosome:Graimondii2_0_v6:8:52739481:52742732:-1 gene:B456_008G241200 transcript:KJB51983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCKTIAFIYLSESSSQFCHKVPIFLFHSVPFLIRGSKFLECKCASEKVSESFERDPGQEFEPEPNQIVKQKKASIVDILKQSNSILPHVVLASTIMALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPDAIFTGYVGQFVVKPLLGYIFGIIAVTVFGLPTPLGAGIMLVSCVSGAQLSNYATFLTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVVGMVSSILQIVIAPITAGLLLNRLFPRLCEAMRPFLPPLSVLDTACCVGAPLAININSVLSPFGLTVSLLIVAFHLSAFIAGYFLSGSLFHKAPDVKALQRTLSFETGMQSSLLALALANRFFQDPLVSVPPAISTVIMSLMGFALVMIWAKKKE >KJB51982 pep chromosome:Graimondii2_0_v6:8:52739387:52742732:-1 gene:B456_008G241200 transcript:KJB51982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTGQFLIQRPRFNHVFLQNNSFHIPKRVQFSVLPQSSYIPVPLSSVSQFRGSKFLECKCASEKVSESFERDPGQEFEPEPNQIVKQKKASIVDILKQSNSILPHVVLASTIMALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPDAIFTGYVGQFVVKPLLGYIFGIIAVTVFGLPTPLGAGIMLVSCVSGAQLSNYATFLTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVVGMVSSILQIVIAPITAGLLLNRLFPRLCEAMRPFLPPLSVLDTACCVGAPLAININSVLSPFGLTVSLLIVAFHLSAFIAGYFLSGSLFHKAPDVKALQRTLSFETGMQSSLLALALANRFFQDPLVSVPPAISTVIMSLMGFALVMIWAKKKE >KJB51976 pep chromosome:Graimondii2_0_v6:8:52739481:52742537:-1 gene:B456_008G241200 transcript:KJB51976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAVGVNSSEKDFIEAFKRPDAIFTGYVGQFVVKPLLGYIFGIIAVTVFGLPTPLGAGIMLVSCVSGAQLSNYATFLTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVVGMVSSILQIVIAPITAGLLLNRLFPRLCEAMRPFLPPLSVLDTACCVGAPLAININSVLSPFGLTVSLLIVAFHLSAFIAGYFLSGSLFHKAPDVKALQRTLSFETGMQSSLLALALANRFFQDPLVSVPPAISTVIMSLMGFALVMIWAKKKE >KJB51981 pep chromosome:Graimondii2_0_v6:8:52740088:52741866:-1 gene:B456_008G241200 transcript:KJB51981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPDAIFTGYVGQFVVKPLLGYIFGIIAVTVFGLPTPLGAGIMLVSCVSGAQLSNYATFLTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVVGMVSSILQIVIAPITAGLLLNRLFPRLCEAMRPFLPPLSVLDTACCVGAPLAININSVLSPFGLTVSLLIVAFHLSAFIAGYFLSGSLFHKAPDVKALQRTLSFETGMQSSLLALALANRFFQDPLVSVPPAISTVIMSLMGFALVMIWAKKKE >KJB51979 pep chromosome:Graimondii2_0_v6:8:52739481:52742732:-1 gene:B456_008G241200 transcript:KJB51979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCKTIAFIYLSESSSQFCHKVPIFLFHSVPFLSLGALNFWSVNVHQRKFQNLLKGTQIVKQKKASIVDILKQSNSILPHVVLASTIMALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPDAIFTGYVGQFVVKPLLGYIFGIIAVTVFGLPTPLGAGIMLVSCVSGAQLSNYATFLTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVVGMVSSILQIVIAPITAGLLLNRLFPRLCEAMRPFLPPLSVLDTACCVGAPLAININSVLSPFGLTVSLLIVAFHLSAFIAGYFLSGSLFHKAPDVKALQRTLSFETGMQSSLLALALANRFFQDPLVSVPPAISTVIMSLMGFALVMIWAKKKE >KJB51984 pep chromosome:Graimondii2_0_v6:8:52740453:52742647:-1 gene:B456_008G241200 transcript:KJB51984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTGQFLIQRPRFNHVFLQNNSFHIPKRVQFSVLPQSSYIPVPLSSVSQFRGSKFLECKCASEKVSESFERDPGQEFEPEPNQIVKQKKASIVDILKQSNSILPHVVLASTIMALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPDAIFTGYVGQFVVKPLLGYIFGIIAVTVFGLPTPLGAGIMLVSCVSGAQLSNYATFLTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVVGMVSSILQIVIAPITAGLLLNRLFPRLCEAMRPFLPPLSVLDTACCVGAPLAININSVLSPFGLTVSLLIVAFHLSAFIAGYFLSGSLFHKAPDVKALQRTLSFETGESETPFFCRLLVCNIADILLYFGRNAKQSFGACTC >KJB49979 pep chromosome:Graimondii2_0_v6:8:40185604:40195246:-1 gene:B456_008G148100 transcript:KJB49979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEENCGFAEEKVEGREEGRGGNRDVGGENGGELVGKEEGIEGKDIKSGDSEGEDEDVALNKLKERGRKKKAAGSSKRSKIDIEEEGNVEGKTSDFAAEKGEVDSGAAGIGEGYSGDVLKKRLRAVSRRVNYAEILEYENDFVDNKRRRKGKKKRKVVQPGGQEDGCIDYGGNGAPAKKRGRRGRARKQGSESEGNEGKDVKEEGKEEQEGNLDVADGKKRGRRGPNKGQKKMQEEVAGNGKSSEKPEEDGSLGTIAKGKYSLRDSGVPKNEEPLRDADRKKWIAEESLMCHQCQRNDKGRVVRCKSCKRKRFCVPCLKWYPNMSEEAIADACPVCRGNCNCKSCLRMLGPLEELEEQGQSIPHSRYLLQTLLPYIKQISQEQMKEVAIEANIQGVLPEQIQLTQAVCRKDERVYCNNCRTSIVDFHRSCPNCNYDLCLTCCCEIRDGHLQGGRREIFKEYVDKGSRYLHGEPEDPSSSKVGNPQEYSPKESNSQEQSAVTSGWKANENGSIPCPPEDLDGCGNGLLELRCMFRGHALVQLTQKAEEIAKDLNLGHGPQFSNQQCPCYNSMGEVNIGTNNLRKAASREDTTDNYLYCPKAKDIQSGDLEHFQRHWANGEPVIVSNVLENATGLSWEPMVMWRAFRQIKNTKHELQLEVKALDCLDWSEVVVNIHQFFRGYTDGRFDSKSWPQILKLKDWPPSNEFEKLLPRHYAEFLCCLPFKEYTNPRSGLLNIATKLPKKSLTPDMGPKSYIAYGVFQELGRGDSVTRLHCDMSDAVNVLTHTVEVKLSHEQLTSINKAKKRHSIQDQQELYGMNSKVDRNKSSDRGGFQGGGVVEQGQDGYSSLNDNILVREFEMEESGKAKMVQEECWDNGRSSKTSGNKIEELEAVEGGAVWDIFRRQDVPKLQDYLKKHFGEFRYVHCRPVSQVFHPIHDQSFFLTMDHKAKLKKEYGIEPWTFVQKLGEAVFIPAGCPHQVRNIKSCIKVALDFVSPENVGECVRLTEEFRVLPRDHRTNEDKLEVKKMIVHAVRESVNNLDKNANSCMP >KJB49978 pep chromosome:Graimondii2_0_v6:8:40185520:40195595:-1 gene:B456_008G148100 transcript:KJB49978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEENCGFAEEKVEGREEGRGGNRDVGGENGGELVGKEEGIEGKDIKSGDSEGEDEDVALNKLKERGRKKKAAGSSKRSKIDIEEEGNVEGKTSDFAAEKGEVDSGAAGIGEGYSGDVLKKRLRAVSRRVNYAEILEYENDFVDNKRRRKGKKKRKVVQPGGQEDGCIDYGGNGAPAKKRGRRGRARKQGSESEGNEGKDVKEEGKEEQEGNLDVADGKKRGRRGPNKGQKKMQEEVAGNGKSSEKPEEDGSLGTIAKGKYSLRDSGVPKNEEPLRDADRKKWIAEESLMCHQCQRNDKGRVVRCKSCKRKRFCVPCLKWYPNMSEEAIADACPVCRGNCNCKSCLRMLGPLEELEEQGQSIPHSRYLLQTLLPYIKQISQEQMKEVAIEANIQGVLPEQIQLTQAVCRKDERVYCNNCRTSIVDFHRSCPNCNYDLCLTCCCEIRDGHLQGGRREIFKEYVDKGSRYLHGEPEDPSSSKVGNPQEYSPKESNSQEQSAVTSGWKANENGSIPCPPEDLDGCGNGLLELRCMFRGHALVQLTQKAEEIAKDLNLGHGPQFSNQQCPCYNSMGEVNIGTNNLRKAASREDTTDNYLYCPKAKDIQSGDLEHFQRHWANGEPVIVSNVLENATGLSWEPMVMWRAFRQIKNTKHELQLEVKALDCLDWSEVVVNIHQFFRGYTDGRFDSKSWPQILKLKDWPPSNEFEKLLPRHYAEFLCCLPFKEYTNPRSGLLNIATKLPKKSLTPDMGPKSYIAYGVFQELGRGDSVTRLHCDMSDAVNVLTHTVEVKLSHEQLTSINKAKKRHSIQDQQELYGMNSKVDRNKSSDRGGFQGGGVVEQGQDGYSSLNDNILVREFEMEESGKAKMVQEECWDNGRSSKTSGNKIEELEAVEGGAVWDIFRRQDVPKLQDYLKKHFGEFRYVHCRPVSQVFHPIHDQSFFLTMDHKAKLKKEYGIEPWTFVQKLGEAVFIPAGCPHQVRNIKSCIKVALDFVSPENVGECVRLTEEFRVLPRDHRTNEDKLEVKKMIVHAVRESVNNLDKNAKIKLKTRAG >KJB47312 pep chromosome:Graimondii2_0_v6:8:2353767:2354823:-1 gene:B456_008G020500 transcript:KJB47312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPYLIHAMKKQKPGHNYRSTSVGSSRSYHLLEGSSHRRTRSEFQPPATVEVVEQRSGLGYNNGSSSVGSHAHQQTSVGNTGDVRRR >KJB47311 pep chromosome:Graimondii2_0_v6:8:2340102:2342464:1 gene:B456_008G020400 transcript:KJB47311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQSCLILSKDLILFMLFSPKRSRRDGKPQTERQVSSADVGDRPDQEEKQRRRLQDAVPLEAAPTPPDSSKIETVIVGKDSDRKNNGQHEGTKHSSDPTEVPRSRSYFQHDERGIAAQAGRSYGRRVASERGERGWWRDAKDHHSERETRTYDTRQRDEKPQARGDSKDDWRHDRFFEMEADPPAQPPPSRKRPAFSEKKIPAAAQSADLTTKESEKSSHSSHHVLGSERRVDRDRHPRHLDRPDRLTAGDQVPSRREAPRGGFLSHERHGGGGGSNFRGRDRFSGRQGYRSGGPRVEKWKHDLYDEANKSPPRKNEEDQIAKVESLLAS >KJB47310 pep chromosome:Graimondii2_0_v6:8:2340049:2342529:1 gene:B456_008G020400 transcript:KJB47310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRREGRDSDSRRHRSGFDREPSPKRSRRDGKPQTERQVSSADVGDRPDQEEKQRRRLQDAVPLEAAPTPPDSSKIETVIVGKDSDRKNNGQHEGTKHSSDPTEVPRSRSYFQHDERGIAAQAGRSYGRRVASERGERGWWRDAKDHHSERETRTYDTRQRDEKPQARGDSKDDWRHDRFFEMEADPPAQPPPSRKRPAFSEKKIPAAAQSADLTTKESEKSSHSSHHVLGSERRVDRDRHPRHLDRPDRLTAGDQVPSRREAPRGGFLSHERHGGGGGSNFRGRDRFSGRQGYRSGGPRVEKWKHDLYDEANKSPPRKNEEDQIAKVESLLAS >KJB48791 pep chromosome:Graimondii2_0_v6:8:19540431:19541854:-1 gene:B456_008G087400 transcript:KJB48791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDTTVGGGDDAFNTFFSETVSGKHVPRAVFVDLEATVIDELISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGLGLGSLLLERLSVDYGKKSKLGFTVYPSPQCPLHHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKTYHGQLSVAEITSSAFEPSSMMAKCDPRHGEYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINHQPPTVVTGGDLAKVQRAVCMISNSTSVAEVFSRINHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDHEEVGAESGEGEDGDEGDEY >KJB48298 pep chromosome:Graimondii2_0_v6:8:10044603:10046977:-1 gene:B456_008G062600 transcript:KJB48298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTAAVSFPSTNSTSFTTRTSIISPERITFKKVSFYYPDVSSGGRVVSVRAQVTTEAPAKVVKESKKNDEGVVVNKFKPKEPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNEKGELVKGVCSNFLCDMKPGAEVKITGPIGKEMLKPKDPDATIIMLATGTGIAPFRSFLWKMFFERHEDYKFNGLALLFLGVPTSSSLL >KJB48301 pep chromosome:Graimondii2_0_v6:8:10044612:10046977:-1 gene:B456_008G062600 transcript:KJB48301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTAAVSFPSTNSTSFTTRTSIISPERITFKKVSFYYPDVSSGGRVVSVRAQVTTEAPAKVVKESKKNDEGVVVNKFKPKEPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNEKGELVKGVCSNFLCDMKPGAEVKITGPIGKEMLKPKDPDATIIMLATGTGIAPFRSFLWKMFFERHEDYKVQKKQL >KJB48302 pep chromosome:Graimondii2_0_v6:8:10044603:10046977:-1 gene:B456_008G062600 transcript:KJB48302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTAAVSFPSTNSTSFTTRTSIISPERITFKKVSFYYPDVSSGGRVVSVRAQVTTEAPAKVVKESKKNDEGVVVNKFKPKEPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNEKGELVKGVCSNFLCDMKPGAEVKITGPIGKEMLKPKDPDATIIMLATGTGIAPFRSFLWKMFFERHEDYKFNGLALLFLGVPTSSSLL >KJB48303 pep chromosome:Graimondii2_0_v6:8:10044339:10047159:-1 gene:B456_008G062600 transcript:KJB48303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTAAVSFPSTNSTSFTTRTSIISPERITFKKVSFYYPDVSSGGRVVSVRAQVTTEAPAKVVKESKKNDEGVVVNKFKPKEPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNEKGELVKGVCSNFLCDMKPGAEVKITGPIGKEMLKPKDPDATIIMLATGTGIAPFRSFLWKMFFERHEDYKEFEKMKETYPDNFRLDFAVSGEQTNENGEKMYIQTRMAEYAKELWELLKKDNTFVYMCGLKGMEKGIDDIMVSLAAADGIDWIEYKRQLKKSEQWNVEVY >KJB48300 pep chromosome:Graimondii2_0_v6:8:10045481:10046923:-1 gene:B456_008G062600 transcript:KJB48300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTAAVSFPSTNSTSFTTRTSIISPERITFKKVSFYYPDVSSGGRVVSVRAQVTTEAPAKVVKESKKNDEGVVVNKFKPKEPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNEKGELVKGVCSNFLCDMKPGAEVKITGPIGKEMLKPKDPDATIIMVCILPSCYHAFIVYSIILINCCSKATFSH >KJB48299 pep chromosome:Graimondii2_0_v6:8:10045654:10046923:-1 gene:B456_008G062600 transcript:KJB48299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTAAVSFPSTNSTSFTTRTSIISPERITFKKVSFYYPDVSSGGRVVSVRAQVTTEAPAKVVKESKKNDEGVVVNKFKPKEPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNEKGELVKGVCSNFLCKNAGLLCFIIMYYYCLEQPVSKDDEPGALVT >KJB52268 pep chromosome:Graimondii2_0_v6:8:53631297:53635090:1 gene:B456_008G252900 transcript:KJB52268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPPQPELRLDSDAGAVFVLESKGKWWHAGFHLTTAIVGPTILTLPYAFRGLGWILGFFCLTVMGCVTFYSYYLMSLVLDHCEKAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVGIGAILLAGECLQIMYSNLSPSGSLKLYEFIAMVTVVMIALSQIPTFHSLRHLNFASLVLSLGYTLLVVGACIHAGLSKNAPHKDYSLESSSSSRVFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMFKGLLMCYSVILVTFYSASVSGYWAFGNKSNSNILKSLMPDDGPSLAPTIVIGLAVIFVLLQLLAIGLVYSQVAYEIMEKQSADVNQGMFSKRNLIPRLILRTLYVIFCGFMAAMLPFFGDINGVIGAIGFIPLDFVLPMLLYNMTFKPKTSSLIYWINLFIMVVFTSAGIMGAFSSIRKLVVDANKFKLFSSDVVD >KJB51534 pep chromosome:Graimondii2_0_v6:8:50745605:50747217:-1 gene:B456_008G220900 transcript:KJB51534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRSNRPSPLPVASPAPEDNHSPLKSTIFNKRKRTIAANASHSTPASAFFNLQSSPPNHKTLTTISDLKEFASFRLEDIKRNLIDRSHSEILKDLDASHSRLHKRFKIQTQACQQAIEEAEKEYKKILEKIDESHEAMKASYAEFMADAQATASRVCKTSISELSKSFEKRIDDLRNQFGMPSA >KJB51535 pep chromosome:Graimondii2_0_v6:8:50745607:50747217:-1 gene:B456_008G220900 transcript:KJB51535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRSNRPSPLPVASPAPEDNHSPLKSTIFNKRKRTIAANASHSTPASAFFNLQSSPPNHKTLTTISDLKEFASFRLEDIKRNLIDRSHSEILKDLDASHSRLHKRFKTQACQQAIEEAEKEYKKILEKIDESHEAMKASYAEFMADAQATASRVCKTSISELSKSFEKRIDDLRNQFGMPSA >KJB50629 pep chromosome:Graimondii2_0_v6:8:45661251:45664263:-1 gene:B456_008G179700 transcript:KJB50629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDTGVSLGYNPLKLGGGQHRKTTFVEHQTFFHLYHSFQRLWIFLVMVFQGLTIIAFNDGHLNAKTLREVFSLGLTFVVMNFIWKYMTFI >KJB47176 pep chromosome:Graimondii2_0_v6:8:1621446:1627098:-1 gene:B456_008G014000 transcript:KJB47176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MAKLSCDFRYLLIPAAFVFIYIQMRLFTTQSEYADRMAEAVDAEHHCTSQMRLLIDQISMQQEQIVALEEGKKRKDQECAQLKTLVNDLEKKALQRVIDKTQVPVAAVVIMACNRADYLERTVASVLKYQSSVASKYPLFVSQDGSDPRVKTKALSYKELTYMQHIDYDPVHTDRPGELIAYYKIARHYKWALDELFYKHNFDRVIILEDDMEIAPDFFDYFEAAAALLDKDKSIMAVSSWNDNGQKQFVYDPYALYRSDFFPGLGWMLTRSVWNELSPKWPKAYWDDWLRLKQNHKGRQFLRPEVCRTYNFGEHGSSMGQFFEKYLAPIKMNDVKVDWKSQDLSYLTEDKYAQYFADILKMAKPIPGTDPALMASNIEGDIRIQYRDQSDFEYIAQQFGVFEEWKDGVPRTAYKGVVVFRYHPPRRVFLVGPDSLQQLGIENA >KJB47175 pep chromosome:Graimondii2_0_v6:8:1621451:1627037:-1 gene:B456_008G014000 transcript:KJB47175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MAKLSCDFRYLLIPAAFVFIYIQMRLFTTQSEYADRMAEAVDAEHHCTSQMRLLIDQISMQQEQIVALEEGKKRKDQECAQLKTLVNDLEKKALQRVIDKTQVPVAAVVIMACNRADYLERTVASVLKYQSSVASKYPLFVSQDGSDPRVKTKALSYKELTYMQHIDYDPVHTDRPGELIAYYKIARHYKWALDELFYKHNFDRVIILEDDMEIAPDFFDYFEAAAALLDKDKSIMAVSSWNDNGQKQFVYDPYALYRSDFFPGLGWMLTRSVWNELSPKWPKFTYWDDWLRLKQNHKGRQFLRPEVCRTYNFGEHGSSMGQFFEKYLAPIKMNDVKVDWKSQDLSYLTEDKYAQYFADILKMAKPIPGTDPALMASNIEGDIRIQYRDQSDFEYIAQQFGVFEEWKDGVPRTAYKGVVVFRYHPPRRVFLVGPDSLQQLGIENA >KJB47174 pep chromosome:Graimondii2_0_v6:8:1621451:1627037:-1 gene:B456_008G014000 transcript:KJB47174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MAKLSCDFRYLLIPAAFVFIYIQMRLFTTQSEYADRMAEAVDAEHHCTSQMRLLIDQISMQQEQIVALEEGKKRKDQECAQLKTLVNDLEKKALQRVIDKTQVPVAAVVIMACNRADYLERTVASVLKYQSSVASKYPLFVSQDGSDPRVKTKALSYKELTYMQHIDYDPVHTDRPGELIAYYKIARHYKWALDELFYKHNFDRVIILEDDMEIAPDFFDYFEAAAALLDKDKSIMAVSSWNDNGQKQFVYDPYALYRSDFFPGLGWMLTRSVWNELSPKWPKAYWDDWLRLKQNHKGRQFLRPEVCRTYNFGEHGSSMGQFFEKYLAPIKMNDVKVDWKSQDLSYLTEDKYAQYFADILKMAKPIPGTDPALMASNIEGDIRIQYRDQSDFEYIAQQFGVFEEWKDGVPRTAYKGVVVFRYHPPRRVFLVGPDSLQQLGIENA >KJB52679 pep chromosome:Graimondii2_0_v6:8:55185002:55187419:-1 gene:B456_008G272900 transcript:KJB52679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHKESKMEELAHKIHSKISSPSSSSDSDEDKRSHARAVALKAKVYRLFGREKPLHQVLGAGKPADILLWRNKKLSGGVLGGVTSLWFLFEMLDYHLITLICHLMILALSVLFLWSNASNFINNSPPTIPEVVIPEKCLLKAASCFTFEINRTLDIVRTIATGKDLKTFLGAIAGLWFLSILGSCFHFLTMVYIVFILLYTVPVFYEKYEDKVDAFSEKMIIELKKQFAVLEKKVLSSINKEKKKD >KJB52681 pep chromosome:Graimondii2_0_v6:8:55186262:55187267:-1 gene:B456_008G272900 transcript:KJB52681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHKESKMEELAHKIHSKISSPSSSSDSDEDKRSHARAVALKAKVYRLFGREKPLHQVLGAGKPADILLWRNKKLSGGVLGGVTSLWFLFEMLDYHLITLICHLMILALSVLFLWSNASNFINKSCNP >KJB52680 pep chromosome:Graimondii2_0_v6:8:55185053:55187377:-1 gene:B456_008G272900 transcript:KJB52680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHKESKMEELAHKIHSKISSPSSSSDSDEDKRSHARAVALKAKVYRLFGREKPLHQVLGAGKPADILLWRNKKLSGGVLGGVTSLWFLFEMLDYHLITLICHLMILALSVLFLWSNASNFINKLLLDYGFCQFWVAVFTS >KJB52682 pep chromosome:Graimondii2_0_v6:8:55185053:55187377:-1 gene:B456_008G272900 transcript:KJB52682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHKESKMEELAHKIHSKISSPSSSSDSDEDKRSHARAVALKAKVYRLFGREKPLHQVLGAGKPADILLWRNKKLSGGVLGGVTSLWFLFEMLDYHLITLICHLMILALSVLFLWSNASNFINNSPPTIPEVVIPEKCLLKAASCFTFEINRTLDIVRTIATGKDLKTFLGYCWTMVSVNSG >KJB47786 pep chromosome:Graimondii2_0_v6:8:5466625:5471816:1 gene:B456_008G041600 transcript:KJB47786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKLSKILGPRTPGSFLVTSTTVGPKHSSGLPKFSLSWEKLYSREMEGEKEKGRTKRIAVFMAFGTKGDVYPIAAIAAAFATDQNGYDVAFITHSAHQNLSSHLAKKNIIFVPISSPPVLSSNGTDDKTGSPGLEFSEQKNIIAKEQRKECCLAVERLFGDDPCLEGDFIGINFFALEGWSLAELFHVRCIVLAPYVVPYSAPSFFEHYFRKELPLLYKYLQEAPADKVCWKDVIHWMWPLFSDNWESWRSEDLNLSPYPFTDPVTGLPTWHDRPPSPLLLYGFSKEIVECPDYWPSNIRVCGFWFLPIEWQFSCQECGQISTLLSTRHLNSDDMCPAHAELQYFLKTPLSRPPIFIGLSSIGSMGLMRNPRAFLQVVRTVLEVTCHRFILFTAGYEPLDAAVQEVAHEASSISKQRWSVQKGLSLFDSRLFCFSGMIPYNWLFPRCVTAIHHGGSGSTAAALYAGIPQIICPFVLDQFYWAEKMFWLGVAPEPLRRNHLVPENISETSIRAAANVLSQAIHDALSPRIKERALEIGKRISPEDGVSEAVKILREEIGPAL >KJB47784 pep chromosome:Graimondii2_0_v6:8:5466766:5469010:1 gene:B456_008G041600 transcript:KJB47784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKEKGRTKRIAVFMAFGTKGDVYPIAAIAAAFATDQNGYDVAFITHSAHQNLSSHLAKKNIIFVPISSPPVLSSNGTDDKTGSPGLEFSEQKNIIAKEQRKECCLAVERLFGDDPCLEGDFIGINFFALEGWSLAELFHVRCIVLAPYVVPYSAPSFFEHYFRKELPLLYKYLQEAPADKVCWKDVIHWMWPLFSDNWESWRSEDLNLSPYPFTDPVTGLPTWHDRPPSPLLLYGFSKEIVECPGNS >KJB47787 pep chromosome:Graimondii2_0_v6:8:5466735:5471735:1 gene:B456_008G041600 transcript:KJB47787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKEKGRTKRIAVFMAFGTKGDVYPIAAIAAAFATDQNGYDVAFITHSAHQNLSSHLAKKNIIFVPISSPPVLSSNGTDDKTGSPGLEFSEQKNIIAKEQRKECCLAVERLFGDDPCLEGDFIGINFFALEGWSLAELFHVRCIVLAPYVVPYSAPSFFEHYFRKELPLLYKYLQEAPADKVCWKDVIHWMWPLFSDNWESWRSEDLNLSPYPFTDPVTGLPTWHDRPPSPLLLYGFSKEIVECPGNS >KJB47785 pep chromosome:Graimondii2_0_v6:8:5466625:5471816:1 gene:B456_008G041600 transcript:KJB47785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKEKGRTKRIAVFMAFGTKGDVYPIAAIAAAFATDQNGYDVAFITHSAHQNLSSHLAKKNIIFVPISSPPVLSSNGTDDKTGSPGLEFSEQKNIIAKEQRKECCLAVERLFGDDPCLEGDFIGINFFALEGWSLAELFHVRCIVLAPYVVPYSAPSFFEHYFRKELPLLYKYLQEAPADKVCWKDVIHWMWPLFSDNWESWRSEDLNLSPYPFTDPVTGLPTWHDRPPSPLLLLLAIKYSGLWLLVPPY >KJB50899 pep chromosome:Graimondii2_0_v6:8:47553856:47558893:-1 gene:B456_008G192300 transcript:KJB50899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGRVRNWWSHSKTVAVIWFSSLALFFFLFQLALRNSTSLSSLPSSDSAMANSNRRSTLYDKMERDLDQHGAAFLKHGETSQSLSLSDLFTLKNGFVTPVLKAAHPPVRANVLYMSTEYSVPISKAVKQVFDPYFDKAIWFQNSSLYHFSMFHASHHIAPVSASEIEIEDEAAAAKAVAEGLCPLEIVLDRVVLTSTGVLLGCWQVISGTDPVSIRAKLRTALPRAPEKQLYDEAILHTSFARLLSHPKASLMDTDSTSNPIKLFHKLVSQLNNEIRGFKKAWLWETFELILWKNNKRMASNLSILPHLFLVSMQFSHHRKYSSTRTFCYIVKEREHQICESSCTRHRISPTGKDMVECECLVIYVNCVFVLNIYKI >KJB50903 pep chromosome:Graimondii2_0_v6:8:47554864:47559026:-1 gene:B456_008G192300 transcript:KJB50903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGRVRNWWSHSKTVAVIWFSSLALFFFLFQLALRNSTSLSSLPSSDSAMANSNRRSTLYDKMERDLDQHGAAFLKHGETSQSLSLSDLFTLKNGFVTPVLKAAHPPVRANVLYMSTEYSVPISKAVKQVFDPYFDKAIWFQNSSLYHFSMFHASHHIAPVSASEIEIEDEAAAAKAVAEGLCPLEIVLDRVVLTSTGVLLGCWQVISGTDPVSIRAKLRTALPRAPEKQLYDEAILHTSFARLLSHPKASLMDTDSTSNPIKLFHKLVSQLNNEIRGFKL >KJB50900 pep chromosome:Graimondii2_0_v6:8:47553440:47559072:-1 gene:B456_008G192300 transcript:KJB50900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGRVRNWWSHSKTVAVIWFSSLALFFFLFQLALRNSTSLSSLPSSDSAMANSNRRSTLYDKMERDLDQHGAAFLKHGETSQSLSLSDLFTLKNGFVTPVLKAAHPPVRANVLYMSTEYSVPISKAVKQVFDPYFDKAIWFQNSSLYHFSMFHASHHIAPVSASEIEIEDEAAAAKAVAEGLCPLEIVLDRVVLTSTGVLLGCWQVISGTDPVSIRAKLRTALPRAPEKQLYDEAILHTSFARLLSHPKASLMDTDSTSNPIKLFHKLVSQLNNEIRGFKAKVKELWYVEEYDVLALALNGKMKVRRFQMGCSST >KJB50905 pep chromosome:Graimondii2_0_v6:8:47556003:47559011:-1 gene:B456_008G192300 transcript:KJB50905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGRVRNWWSHSKTVAVIWFSSLALFFFLFQLALRNSTSLSSLPSSDSAMANSNRRSTLYDKMERDLDQHGAAFLKHGETSQSLSLSDLFTLKNGFVTPVLKAAHPPVRANVLYMSTEYSVPISKAVKQVFDPYFDKAIWFQNSSLYHFSMFHASHHIAPVSASEIEIEDEAAAAKAVAEGLCPLEIVLDRVVLTSTGVLLGCWQVISGTDPVSIRAKLRTALPRAPEKQLYDEAILHTSFARLLSHPKASLMVLFLSSIQLQ >KJB50901 pep chromosome:Graimondii2_0_v6:8:47553480:47559015:-1 gene:B456_008G192300 transcript:KJB50901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRFCGLREGLALTLYSDACFVCHRKAVKQVFDPYFDKAIWFQNSSLYHFSMFHASHHIAPVSASEIEIEDEAAAAKAVAEGLCPLEIVLDRVVLTSTGVLLGCWQVISGTDPVSIRAKLRTALPRAPEKQLYDEAILHTSFARLLSHPKASLMDTDSTSNPIKLFHKLVSQLNNEIRGFKAKVKELWYVEEYDVLALALNGKMKVRRFQMGCSST >KJB50904 pep chromosome:Graimondii2_0_v6:8:47555332:47559011:-1 gene:B456_008G192300 transcript:KJB50904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGRVRNWWSHSKTVAVIWFSSLALFFFLFQLALRNSTSLSSLPSSDSAMANSNRRSTLYDKMERDLDQHGAAFLKHGETSQSLSLSDLFTLKNGFVTPVLKAAHPPVRANVLYMSTEYSVPISKAVKQVFDPYFDKAIWFQNSSLYHFSMFHASHHIAPVSASEIEIEDEAAAAKAVAEGLCPLEIVLDRVVLTSTGVLLGCWQVISGTDPVSIRAKLRTALPRAPEKQLYDEAILHTSFARLLSHPKASLMDTDSTSNPIKLFHKLVSQLNNEIRGFKASCYTLYHYLACIFIV >KJB50902 pep chromosome:Graimondii2_0_v6:8:47553511:47559011:-1 gene:B456_008G192300 transcript:KJB50902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGRVRNWWSHSKTVAVIWFSSLALFFFLFQLALRNSTSLSSLPSSDSAMANSNRRSTLYDKMERDLDQHGAAFLKHGETSQSLSLSDLFTLKNGFVTPVLKAAHPPVRANVLYMSTEYSVPISKAVKQVFDPYFDKAIWFQNSSLYHFSMFHASHHIAPVSASEIEIEDEAAAAKAVAEGLCPLEIVLDRVVLTSTGVLLGCWQVISGTDPVSIRAKLRTALPRAPEKQLYDEAILHTSFARLLSHPKASLMDTDSTSNPIKLFHKLVSQLNNEIRGFKASKGEGAVVCGGI >KJB49154 pep chromosome:Graimondii2_0_v6:8:30988693:30997307:1 gene:B456_008G103200 transcript:KJB49154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRSILELPRCRGAPWRIPRQIMPQCSSPFIYSRKQFSTSSGENGTPKPESKSGLSKFVLGTSLIGGAILVAYQTGYLEQYGARPKVSIDSTKTGFDEKDEKDIQVVSSRDEEINKLTPHVDLPEQKAATNIDLPPEPEISSETQGENHSNVEDKLNEIIEESTTSVPEKALPEYSQNNLSSADHSADTDASAEGNLRKVESETVTAPEKEIQDIPLDTRSSASLGEEETKTVPSPTTGVKLQDKPSKDIEAPSSLLDAYHLGEKAAEGYLASLNSKYEQLSKEKEAFGTAVEELNEGYLSKDGKLVLDFLQAIHAAEKQQAELDARAFAEEKRELKEKYEKELRDSGARELMRTEEAAMLDKELKRERTKAAVAIKSLQERMEEKLRMELEEKEREAGMNLKNAQEQGKVELVSAIANEKAAQIEKMAEANFHINALCMAFYAQSEEAHKIHSIHKLALGALALEDALSKGLPIQKEIDTLYTYLEGFEKDSVLGLVLSSLPEETRYCGTDTLLELNQKFNGLKGNLRHFSLIPPGGGGILTHSLAHIASWLKVKEVDESSEGIESIISRVENYLAEGKLVEAASTLEQGVKGSQAEEIIGDWVKRARNRAITEQALTVLQSYATCISLT >KJB53087 pep chromosome:Graimondii2_0_v6:8:56682637:56685268:-1 gene:B456_008G293100 transcript:KJB53087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSRGHWRPAEDEKLRESVERYGPHNWNAIAQKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEERLLSAHRIHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRQRSSLYAKTSHTNPSKPLHHSQMGAGHGFLPLLHKYKQGFPHNTCSPLNPNHCVTTTQDKKEAIEFYDFLQVNTDSNNKSEVTENSRRDDEEVNQQEAMPLMEHHTKARPSFFNFL >KJB53088 pep chromosome:Graimondii2_0_v6:8:56682648:56685453:-1 gene:B456_008G293100 transcript:KJB53088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSPPSTIFILLSALLFPIFLGVEFFIELKDQQMCSRGHWRPAEDEKLRESVERYGPHNWNAIAQKLQGRSAGKSCRLRWFNQLDPRINRSPFTEEEEERLLSAHRIHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRQRSSLYAKTSHTNPSKPLHHSQMGAGHGFLPLLHKYKQGFPHNTCSPLNPNHCVTTTQDKKEAIEFYDFLQVNTDSNNKSEVTENSRRDDEEVNQQEAMPLMEHHTKARPSFFNFL >KJB48704 pep chromosome:Graimondii2_0_v6:8:17739710:17743194:-1 gene:B456_008G083800 transcript:KJB48704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLKSVRPAISCSSFGFDGDQLVPLHKVSFVNLTLPASLLSVRLSSRNNAKLSLVQATKESSTASTNDSAAVFSGENLHQIATNGKATNIVWHKSSVGKVHRQEVLQQKGCVIWITGLSGSGKSTLACALCQALYSRGKLAYILDGDNVRHGLNRDLSFKAEDRAENIRRIGEVAKLFADAGIICIASVLSPYRKDRYACRSLLPEGDFIELELPQKGNNCASPCEMAEIVISYLEEKGYLQA >KJB48702 pep chromosome:Graimondii2_0_v6:8:17740265:17742915:-1 gene:B456_008G083800 transcript:KJB48702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLLFFLIATNGKATNIVWHKSSVGKVHRQEVLQQKGCVIWITGLSGSGKSTLACALCQALYSRGKLAYILDGDNVRHGLNRDLSFKAEDRAENIRRIGEVAKLFADAGIICIASVLSPYRKDRYACRSLLPEGDFIEVFMNVPLQICEVRDPKGLYKLARAGKINGFTGIDDPYEPPLNCELELPQKGNNCASPCEMAEIVISYLEEKGYLQA >KJB48705 pep chromosome:Graimondii2_0_v6:8:17739650:17743330:-1 gene:B456_008G083800 transcript:KJB48705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLKSVRPAISCSSFGFDGDQLVPLHKVSFVNLTLPASLLSVRLSSRNNAKLSLVQATKESSTASTNDSAAVFSATNGKATNIVWHKSSVGKVHRQEVLQQKGCVIWITGLSGSGKSTLACALCQALYSRGKLAYILDGDNVRHGLNRDLSFKAEDRAENIRRIGEVAKLFADAGIICIASVLSPYRKDRYACRSLLPEGDFIEVFMNVPLQICEVRDPKGLYKLARAGKINGFTGIDDPYEPPLNCELELPQKGNNCASPCEMAEIVISYLEEKGYLQA >KJB48703 pep chromosome:Graimondii2_0_v6:8:17740265:17743117:-1 gene:B456_008G083800 transcript:KJB48703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLKSVRPAISCSSFGFDGDQLVPLHKVSFVNLTLPASLLSVRLSSRNNAKLSLVQATKESSTASTNDSAAVFSGENLHQIATNGKATNIVWHKSSVGKVHRQEVLQQKGCVIWITGLSGSGKSTLACALCQALYSRGKLAYILDGDNVRHGLNRDLSFKAEDRAENIRRIGEVAKLFADAGIICIASVLSPYRKDRYACRSLLPEGDFIEVFMNVPLQICEVRDPKGLYKLARAGKINGFTGIDDPYEPPLNCELELPQKGNNCASPCEMAEIVISYLEEKGYLQA >KJB48706 pep chromosome:Graimondii2_0_v6:8:17740922:17743117:-1 gene:B456_008G083800 transcript:KJB48706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLKSVRPAISCSSFGFDGDQLVPLHKVSFVNLTLPASLLSVRLSSRNNAKLSLVQATKESSTASTNDSAAVFSGENLHQIATNGKATNIVWHKSSVGKVHRQEVLQQKGCVIWITGLSGSGKSTLACALCQALYSRGKLAYILDGDNVRHGLNRDLSFKAEDRAENIRRIGEVAKLFADAGIICIASVLSPYRKDRYACRSLLPEGDFIEVCAAFKKPENIEGFDLIYDKGFCIRRFFICSKKKLGNASNRFS >KJB46871 pep chromosome:Graimondii2_0_v6:8:319508:322153:-1 gene:B456_008G001500 transcript:KJB46871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKVKRYLESSATIDGDKLPLRFFQRLIMHGLRVELIETNRVVCSFKVTPRLLNEGNYLHGGAVATFLDMVSSAAIYTAGGGY >KJB46873 pep chromosome:Graimondii2_0_v6:8:319508:322153:-1 gene:B456_008G001500 transcript:KJB46873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKVKRYLESSATIDGDKLPLRFFQRLIMHGLRVELIETNRVVCSFKNEGNYLHGGAVATFLDMVSSAAIYTAGGTLTGTSVEINISFMDAAYADEDIEIEAKALHVGKAVAVLSVEFRKKSTGKIIAQGRHTKYLPLPSKM >KJB46875 pep chromosome:Graimondii2_0_v6:8:321134:322153:-1 gene:B456_008G001500 transcript:KJB46875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKVKRYLESSATIDGDKLPLRFFQRLIMHGLRVELIETNRVVCSFKVTPRLLNEGNYLHGGAVATFLDMVSSAAIYTAGGTLTGTSVEINISFMDAAYADVSIAPAFIL >KJB46872 pep chromosome:Graimondii2_0_v6:8:319508:322153:-1 gene:B456_008G001500 transcript:KJB46872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKVKRYLESSATIDGDKLPLRFFQRLIMHGLRVELIETNRVVCSFKVTPRLLNEGNYLHGGAVATFLDMVSSAAIYTAGGTLTGTSVEINISFMDAAYADVRY >KJB46874 pep chromosome:Graimondii2_0_v6:8:319508:322249:-1 gene:B456_008G001500 transcript:KJB46874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKVKRYLESSATIDGDKLPLRFFQRLIMHGLRVELIETNRVVCSFKVTPRLLNEGNYLHGGAVATFLDMVSSAAIYTAGGTLTGTSVEINISFMDAAYADEDIEIEAKALHVGKAVAVLSVEFRKKSTGKIIAQGRHTKYLPLPSKM >KJB49495 pep chromosome:Graimondii2_0_v6:8:36045749:36048497:1 gene:B456_008G122100 transcript:KJB49495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALTGSASYYIQQRGITGLGIHGSQDIHMLSSPNVQYQSSMSATTMGPVESITPHSVNVGTPSAVPSSETTKRKRGRPRKYGPDGTVSLALTPPSVTNPGTLTPPSQKRGRGRPPGTGKKQQLASLGVWLSGSAGMGFTPHVITVAVGEDIATKLMSFSQQGPRAVCILSANGAVSTVTLRQPSSSGGTVTYEGRFEILCLSGSYLLTSNNGSHNRTGGLSVSLASPDGRVIGGGVGGMLIAASPVQVIIGSFLWGGSKMKIKKGGDQDGLKGSDNQNVDDVVPPPAVSPSQNLTPTSPAGIWPGSRSMDMRNSHVDIDLMRG >KJB49497 pep chromosome:Graimondii2_0_v6:8:36045730:36048616:1 gene:B456_008G122100 transcript:KJB49497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALTGSASYYIQQRGITGLGIHGSQDIHMLSSPNVQYQSSMSATTMGPVESITPHSVNVGTPSAVPSSETTKRKRGRPRKYGPDGTVSLALTPPSVTNPGTLTPPSQKRGRGRPPGTGKKQQLASLGVWLSGSAGMGFTPHVITVAVGEDIATKLMSFSQQGPRAVCILSANGAVSTVTLRQPSSSGGTVTYEGRFEILCLSGSYLLTSNNGSHNRTGGLSVSLASPDGRVIGGGVGGMLIAASPVQVIIGSFLWGGSKMKIKKGGDQDGLKGSDNQNVDDVVPPPAVSPSQNLTPTSPAGIWPGSRSMDMRNSHVDIDLMRG >KJB49496 pep chromosome:Graimondii2_0_v6:8:36045854:36048497:1 gene:B456_008G122100 transcript:KJB49496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDAMALTGSASYYIQQRGITGLGIHGSQDIHMLSSPNVQYQSSMSATTMGPVESITPHSVNVGTPSAVPSSETTKRKRGRPRKYGPDGTVSLALTPPSVTNPGTLTPPSQKRGRGRPPGTGKKQQLASLGVWLSGSAGMGFTPHVITVAVGEDIATKLMSFSQQGPRAVCILSANGAVSTVTLRQPSSSGGTVTYEGRFEILCLSGSYLLTSNNGSHNRTGGLSVSLASPDGRVIGGGVGGMLIAASPVQVIIGSFLWGGSKMKIKKGGDQDGLKGSDNQNVDDVVPPPAVSPSQNLTPTSPAGIWPGSRSMDMRNSHVDIDLMRG >KJB48785 pep chromosome:Graimondii2_0_v6:8:19501680:19505329:1 gene:B456_008G087300 transcript:KJB48785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRISPHRNQRSKGLKVKHALQICLLVAICIWLLYQVRHSSEKKAEYEKSANEVIKLGRKDLPQAKETIIKDVQDKEEEEEESRLGEDDNKLDETDNEERGNGDDDAMHEHDIAMPREETERGEVSIYGEKENEESRNHESEEKENGDLESGEEVLEESKEDSENKVSEVNAELEEKENTAESQEKENKHIGEESNATESGEKESEKSGDEVENSDDRVNDGVDRNNEEAREEHYKGGDASSEVIHDTQHVAMENETSDLKNSNELEEPENNDKNQFEQEKGSNSSEAANVHHNESNEQENGTTENYPESGYESTEASMRDTKSNEHQDGADATSNTTQNENDQQSDSGGNGEQSDSNAIATLTENMDGASEGSTASTGNSKSVESNEQTENCNAWRGSDKNSASSFTSQNDRVQREESYNNSGVEGTRGKLLSLNTIDNSNAGQNEEVHASDSSIAEGGKTSSNTNDNAGPGQKENESGGYTNNDANVNEKDISTDNQSSTNANANANANEEVTEKDSNNSNLDENATQSNTNNNENASHNENNTAQSYKDNKNAGQNQNNDSQSNNNDKAHQNENNGAVQSNINNNENTGSVTDNENAVRNENNAFQNLNNNNNENAGQNENDAAQSITDNKNASQNENDASQNLKNNNNNESADRNKKNAAESITDNENAGQNENNASQNLNNDGNENARQNENNDPVQINTNNNENAGQNENNAAKGVIDNDNGDQNGNNGSQKSKNASRNEDNNAFQSDTNNENADKNENNAAQSINDKENAGLDENNASENLNNNHNNEKASQNENNIAVQDNMNNQDSTSQNDTNMAQTYNNENAGQNENNKVAQSYSNNNGNSGKNKNNNASQIYNENNGTAKFRTLPGTRNDGNNGDNGENVAAE >KJB48786 pep chromosome:Graimondii2_0_v6:8:19501680:19505336:1 gene:B456_008G087300 transcript:KJB48786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRISPHRNQRSKGLKVKHALQICLLVAICIWLLYQVRHSSEKKAEYEKSANEVIKLGRKDLPQAKETIIKDVQDKEEEEEESRLGEDDNKLDETDNEERGNGDDDAMHEHDIAMPREETERGEVSIYGEKENEESRNHESEEKENGDLESGEEVLEESKEDSENKVSEVNAELEEKENTAESQEKENKHIGEESNATESGEKESEKSGDEVENSDDRVNDGVDRNNEEAREEHYKGGDASSEVIHDTQHVAMENETSDLKNSNELEEPENNDKNQFEQEKGSNSSEAANVHHNESNEQENGTTENYPESGYESTEASMRDTKSNEHQDGADATSNTTQNENDQQSDSGGNGEQSDSNAIATLTENMDGASEGSTASTGNSKSVESNEQTENCNAWRGSDKNSASSFTSQNDRVQREESYNNSGVEGTRGKLLSLNTIDNSNAGQNEEVHASDSSIAEGGKTSSNTNDNAGPGQKENESGGYTNNDANVNEKDISTDNQSSTNANANANANEEVTEKDSNNSNLDENATQSNTNNNENASHNENNTAQSYKDNKNAGQNQNNDSQSNNNDKAHQNENNGAVQSNINNNENTGSVTDNENAVRNENNAFQNLNNNNNENAGQNENDAAQSITDNKNASQNENDASQNLKNNNNNESADRNKKNAAESITDNENAGQNENNASQNLNNDGNENARQNENNDPVQINTNNNENAGQNENNAAKGVIDNDNGDQNGNNGSQKSKNASRNEDNNAFQSDTNNENADKNENNAAQSINDKENAGLDENNASENLNNNHNNEKASQNENNIAVQDNMNNQDSTSQNDTNMAQTYNNENAGQNENNKVAQSYSNNNGNSGKNKNNNASQIYNENNGTAKFRTLPGTRNDGNNGDNGENVAAE >KJB48784 pep chromosome:Graimondii2_0_v6:8:19501595:19505329:1 gene:B456_008G087300 transcript:KJB48784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRISPHRNQRSKGLKVKHALQICLLVAICIWLLYQVRHSSEKKAEYEKSANEVIKLGRKDLPQAKETIIKDVQDKEEEEEESRLGEDDNKLDETDNEERGNGDDDAMHEHDIAMPREETERGEVSIYGEKENEESRNHESEEKENGDLESGEEVLEESKEDSENKVSEVNAELEEKENTAESQEKENKHIGEESNATESGEKESEKSGDEVENSDDRVNDGVDRNNEEAREEHYKGGDASSEVIHDTQHVAMENETSDLKNSNELEEPENNDKNQFEQEKGSNSSEAANVHHNESNEQENGTTENYPESGYESTEASMRDTKSNEHQDGADATSNTTQNENDQQSDSGGNGEQSDSNAIATLTENMDGASEGSTASTGNSKSVESNEQTENCNAWRGSDKNSASSFTSQNDRVQREESYNNSGVEGTRGKLLSLNTIDNSNAGQNEEVHASDSSIAEGGKTSSNTNDNAGPGQKENESGGYTNNDANVNEKDISTDNQSSTNANANANANEEVTEKDSNNSNLDENATQSNTNNNENASHNENNTAQSYKDNKNAGQNQNNDSQSNNNDKAHQNENNGAVQSNINNNENTGSVTDNENAVRNENNAFQNLNNNNNENAGQNENDAAQSITDNKNASQNENDASQNLKNNNNNESADRNKKNAAESITDNENAGQNENNASQNLNNDGNENARQNENNDPVQINTNNNENAGQNENNAAKGVIDNDNGDQNGNNGSQKSKNASRNEDNNAFQSDTNNENADKNENNAAQSINDKENAGLDENNASENLNNNHNNEKASQNENNIAVQDNMNNQDSTSQNDTNMAQTYNNENAGQNENNKVAQSYSNNNGNSGKNKNNNASQIYNENNGTAKFRTLPGTRNDGNNGDNGENVAAE >KJB49190 pep chromosome:Graimondii2_0_v6:8:33197771:33203258:-1 gene:B456_008G105500 transcript:KJB49190 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MDNNSNDDFTFCKVGLPTDQNGVEEKNLAADIGGIAIKDETSNCSDSSKNGGFPWKDKHPNNATFVDQATVGSLTFNVIDASCLKSSGESSRQVASVDAGAPAMKSEKARSSTRKSAPRTKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNRRLVSMSEVKQNQKEGSMWTVLKGRVYNISPYMKFHPGGVDMLMKAVGKDSTSLFNKYHAWVNAEFLLEKCLVGTLDDGEGTQTL >KJB49189 pep chromosome:Graimondii2_0_v6:8:33198488:33202970:-1 gene:B456_008G105500 transcript:KJB49189 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MDNNSNDDFTFCKVGLPTDQNGVEEKNLAADIGGIAIKDETSNCSDSSKNGGFPWKDKHPNNATFVDQATVGSLTFNVIDASCLKSSGESSRQVASVDAGAPAMKSEKARSSTRKSAPRTKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNRRLVSMSEVKQNQKEGSMWTVLKGRVYNISPYMKFHPGGVDMLMKAVGKDSTSLFSILFLFKKK >KJB49195 pep chromosome:Graimondii2_0_v6:8:33201847:33203258:-1 gene:B456_008G105500 transcript:KJB49195 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MDNNSNDDFTFCKVGLPTDQNGVEEKNLAADIGGIAIKDETSNCSDSSKNGGFPWKDKHPNNATFVDQATVGSLTFNVIDASCLKSSGESSRQVASVDAGAPAMKSEKARSSTRKSAPRTKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNRRLVSMSEVKQNQKEGSMWTVLKGRVYNISPYMKFHPGGTYVVFQLWKF >KJB49192 pep chromosome:Graimondii2_0_v6:8:33197771:33203286:-1 gene:B456_008G105500 transcript:KJB49192 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MDNNSNDDFTFCKVGLPTDQNGVEEKNLAADIGGIAIKDETSNCSDSSKNGGFPWKDKHPNNATFVDQATVGSLTFNVIDASCLKSSGESSRQVASVDAGAPAMKSEKARSSTRKSAPRTKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNRRLVSMSEVKQNQKEGSMWTVLKGRVYNISPYMKFHPGGVDMLMKAVGKDSTSLFNKYHAWVNAEFLLEKCLVGTLDDGEGTQTL >KJB49194 pep chromosome:Graimondii2_0_v6:8:33198079:33202970:-1 gene:B456_008G105500 transcript:KJB49194 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MDNNSNDDFTFCKVGLPTDQNGVEEKNLAADIGGIAIKDETSNCSDSSKNGGFPWKDKHPNNATFVDQATVGSLTFNVIDASCLKSSGESSRQVASVDAGAPAMKSEKARSSTRKSAPRTKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNRRLVSMSEVKQNQKEGSMWTVLKGRVYNISPYMKFHPGGVDMLMKAVGKDSTSLFNKYHAWVNAEFLLEKCLVGTLDDGEGTQTL >KJB49193 pep chromosome:Graimondii2_0_v6:8:33197771:33203361:-1 gene:B456_008G105500 transcript:KJB49193 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MDNNSNDDFTFCKVGLPTDQNGVEEKNLAADIGGIAIKDETSNCSDSSKNGGFPWKDKHPNNATFVDQATVGSLTFNVIDASCLKSSGESSRQVASVDAGAPAMKSEKARSSTRKSAPRTKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNRRLVSMSEVKQNQKEGSMWTVLKGRVYNISPYMKFHPGGVDMLMKAVGKDSTSLFNKYHAWVNAEFLLEKCLVGTLDDGEGTQTL >KJB49187 pep chromosome:Graimondii2_0_v6:8:33197771:33203175:-1 gene:B456_008G105500 transcript:KJB49187 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MDNNSNDDFTFCKVGLPTDQNGVEEKNLAADIGGIAIKDETSNCSDSSKNGESSRQVASVDAGAPAMKSEKARSSTRKSAPRTKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNRRLVSMSEVKQNQKEGSMWTVLKGRVYNISPYMKFHPGGVDMLMKAVGKDSTSLFNKYHAWVNAEFLLEKCLVGTLDDGEGTQTL >KJB49191 pep chromosome:Graimondii2_0_v6:8:33197771:33203258:-1 gene:B456_008G105500 transcript:KJB49191 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MDNNSNDDFTFCKVGLPTDQNGVEEKNLAADIGGIAIKDETSNCSDSSESSRQVASVDAGAPAMKSEKARSSTRKSAPRTKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNRRLVSMSEVKQNQKEGSMWTVLKGRVYNISPYMKFHPGGVDMLMKAVGKDSTSLFNKYHAWVNAEFLLEKCLVGTLDDGEGTQTL >KJB49188 pep chromosome:Graimondii2_0_v6:8:33197771:33203240:-1 gene:B456_008G105500 transcript:KJB49188 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MMISPFVGLPTDQNGVEEKNLAADIGGIAIKDETSNCSDSSKNGGFPWKDKHPNNATFVDQATVGSLTFNVIDASCLKSSGESSRQVASVDAGAPAMKSEKARSSTRKSAPRTKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNRRLVSMSEVKQNQKEGSMWTVLKGRVYNISPYMKFHPGGVDMLMKAVGKDSTSLFNKYHAWVNAEFLLEKCLVGTLDDGEGTQTL >KJB49186 pep chromosome:Graimondii2_0_v6:8:33197765:33203258:-1 gene:B456_008G105500 transcript:KJB49186 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MMISPFVRLGYRLIKMVWRKRILQLILVVLPLKTKPQTAAIVARMNGGFPWKDKHPNNATFVDQATVGSLTFNVIDASCLKSSGESSRQVASVDAGAPAMKSEKARSSTRKSAPRTKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNRRLVSMSEVKQNQKEGSMWTVLKGRVYNISPYMKFHPGGVDMLMKAVGKDSTSLFNKYHAWVNAEFLLEKCLVGTLDDGEGTQTL >KJB47143 pep chromosome:Graimondii2_0_v6:8:1906243:1910255:1 gene:B456_008G016700 transcript:KJB47143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLGKKRKGLEMLPSCRSSFSPITVVWPHLSLEDYSRQKRKCKEHEVLKVAVASVTRVVKGIAIAPPCGSASLEPPNRGLKRKIGCIEAATQFGRKKKIEQDYDLGATIGSGKFGSVVLCRSKVNGEEFACKTLRKGEDLVHQEVEIMQHLSGHPGIVTLKAVYEDLKSFFLVMELCSGGRLLDQMAKERRYSEYHAANILKELVLVIKYCHDMRVVHRDIKPENILLTATGQMKLADFGLAVRMSNGQSLTGVVGSPAYVAPEVLTGHYSEKVDIWSAGVLLHALLVSVLPFHGDSLDSVFEAIKNADLDFENGAWRSISQPARDLVARMLTRDVSVRLTADEVLGHPWILFHTEATLGMAALETKMGNHEKPASPQLTVSPGVVSERSKMIPNGFLNDDSFLFLSSNSSSTRSEVQDSALVDALTVAISRVAISEPKRTRLCGPTGSIQQECSSNIKVNNNNNNNLCTAF >KJB47145 pep chromosome:Graimondii2_0_v6:8:1906221:1910240:1 gene:B456_008G016700 transcript:KJB47145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLGKKRKGLEMLPSCRSSFSPITVVWPHLSLEDYSRQKRKCKEHEVLKVAVASVTRVVKGIAIAPPCGSASLEPPNRGLKRKIGCIEAATQFGRKKKIEQDYDLGATIGSGKFGSVVLCRSKVNGEEFACKTLRKGEDLVHQEVEIMQHLSGHPGIVTLKAVYEDLKSFFLVMELCSGGRLLDQMAKERRYSEYHAANILKELVLVIKYCHDMRVVHRDIKPENILLTATGQMKLADFGLAVRMSNGQSLTGVVGSPAYVAPEVLTGHYSEKVDIWSAGVLLHALLVSVLPFHGDSLDSVFEAIKNADLDFENGAWRSISQPARDLVARMLTRDVSVRLTADEVLGHPWILFHTEATLGMAALETKMGNHEKPASPQLTVSPGVVSERSKMIPNGFLNDDSFLFLSSNSSSTRSEVQDSALVDALTVAISRVAISEPKRTRLCGPTGSIQQECSSNIKVNNNNNNNLCTAF >KJB47144 pep chromosome:Graimondii2_0_v6:8:1906276:1909439:1 gene:B456_008G016700 transcript:KJB47144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLGKKRKGLEMLPSCRSSFSPITVVWPHLSLEDYSRQKRKCKEHEVLKVAVASVTRVVKGIAIAPPCGSASLEPPNRGLKRKIGCIEAATQFGRKKKIEQDYDLGATIGSGKFGSVVLCRSKVNGEEFACKTLRKGEDLVHQEVEIMQHLSGHPGIVTLKAVYEDLKSFFLVMELCSGGRLLDQMAKERRYSEYHAANILKELVLVIKYCHDMRVVHRDIKPENILLTATGQMKLADFGLAVRMSNGQSLTGVVGSPAYVAPEVLTGHYSEKVDIWSAGVLLHALLVSVLPFHGDSLDSVFEAIKNADLDFENGAWRSISQPARDLVARMLTRDVSVRLTADEVLGKHTVFSPLDYIRLYITG >KJB47142 pep chromosome:Graimondii2_0_v6:8:1906365:1910240:1 gene:B456_008G016700 transcript:KJB47142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLGKKRKGLEMLPSCRSSFSPITVVWPHLSLEDYSRQKRKCKEHEVLKVAVASVTRVVKGIAIAPPCGSASLEPPNRGLKRKIGCIEAATQFGRKKKIEQDYDLGATIGSGKFGSVVLCRSKVNGEEFACKTLRKGEDLVHQEVEIMQHLSGHPGIVTLKAVYEDLKSFFLVMELCSGGRLLDQMAKERRYSEYHAANILKELVLVIKYCHDMRVVHRDIKPENILLTATGQMKLADFGLAVRMSNGQSLTGVVGSPAYVAPEVLTGHYSEKVDIWSAGVLLHALLVSVLPFHGDSLDSVFEAIKNADLDFENGAWRSISQPARDLVARMLTRDVSVRLTADEVLGHPWILFHTEATLGMAALETKMGNHEKPASPQLTVSPGVVSERSKMIPNGFLNDDSFLFLSSNSSSTRSEVQDSALVDALTVAISRVAISEPKRTRLCGPTGSIQQECSSNIKVNNNNNNNLCTAF >KJB50909 pep chromosome:Graimondii2_0_v6:8:49494185:49496805:-1 gene:B456_008G209500 transcript:KJB50909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDFARVQKELQECSREKDSSGIRVSPKSDNLARLTGIIPGPLGTPYEGGSFEIDITLPVIGFYFADGYPFEPPKMKFVTKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSVQALLSAPEPDDPQDAVVAQQYLREYQTFVGTARYWTESFAKASSLGVEEKVQRLVEMGFPDGLVRSTLEAVGGDENLALEKLLSS >KJB50908 pep chromosome:Graimondii2_0_v6:8:49494177:49496997:-1 gene:B456_008G209500 transcript:KJB50908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDFARVQKELQECSREKDSSGIRVSPKSDNLARLTGIIPGPLGTPYEGGSFEIDITLPDGYPFEPPKMKFVTKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSVQALLSAPEPDDPQDAVVAQQYLREYQTFVGTARYWTESFAKASSLGVEEKVQRLVEMGFPDGLVRSTLEAVGGDENLALEKLLSS >KJB47797 pep chromosome:Graimondii2_0_v6:8:5588409:5592624:-1 gene:B456_008G042000 transcript:KJB47797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNEDPDLQQREQNLESGNDQGRPGRCWMLFSRLSNDLSFKCVFVLFLSLSVLLPGIFWILPNRSITTGFDAKQAIKLSASVRAYFKLQKPVSELVQYVEKLEYDIYEEIGMPATKVVVLSMHQSGASNSTDVVFGLLSDPISSPINPVSLSVLRSSLIELFLQQSNLTLTTSIFGQPSKFEILKFPKGITVIPVQPAFIWPITQMLFNFTLNNSIAEILANFIQLKDQLKYGLRLRPYENLFVQLTNQKGSTISSPVIIQASVTSSDFGNLLPQRLKQLAQTITESPAKNLGLNNSVFGKVKSISLSSYLKGTLHATAPTASPAPSPEPSISPHPSFRPTHPPASSPKSHHPQPCRKCKAASPSGNSPLHSPGPESGPYPSFPPLISPAPSTIAPPPCPYSRPATPRSHSNLTPHHLHSTSQLSPDLPPLPSVSYGSHPGQGIDSVKGPVSAPLAQSPSVQSPSSKAVSVLPKEFWLLGSLGVLIFHLLL >KJB47799 pep chromosome:Graimondii2_0_v6:8:5588860:5590824:-1 gene:B456_008G042000 transcript:KJB47799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQSGASNSTDVVFGLLSDPISSPINPVSLSVLRSSLIELFLQQSNLTLTTSIFGQPSKFEILKFPKGITVIPVQPAFIWPITQMLFNFTLNNSIAEILANFIQLKDQLKYGLRLRPYENLFVQLTNQKGSTISSPVIIQASVTSSDFGNLLPQRLKQLAQTITESPAKNLGLNNSVFGKVKSISLSSYLKGTLHATAPTASPAPSPEPSISPHPSFRPTHPPASSPKSHHPQPCRKCKAASPSGNSPLHSPGPESGPYPSFPPLISPAPSTIAPPPCPYSRPATPRSHSNLTPHHLHSTSQLSPDLPPLPSVSYGSHPGQGIDSVKGPVSAPLAQSPSVQSPSSKAVSVLPKEFWLLGSLGVLIFHLLL >KJB47801 pep chromosome:Graimondii2_0_v6:8:5589292:5592294:-1 gene:B456_008G042000 transcript:KJB47801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNEDPDLQQREQNLESGNDQGRPGRCWMLFSRLSNDLSFKCVFVLFLSLSVLLPGIFWILPNRSITTGFDAKQAIKLSASVRAYFKLQKPVSELVQYVEKLEYDIYEEIGMPATKVVVLSMHQSGASNSTDVVFGLLSDPISSPINPVSLSVLRSSLIELFLQQSNLTLTTSIFGQPSKFEILKFPKGITVIPVQPAFIWPITQMLFNFTLNNSIAEILANFIQLKDQLKYGLRLRPYENLFVQLTNQKGSTISSPVIIQASVTSSDFGNLLPQRLKQLAQTITESPAKNLGLNNSVFGKVKSISLSSYLKGTLHATAPTASPAPSPEPSISPHPSFRPTHPPASSPKSHHPQPCRKCKAASPSGNSPLHSPGPESGPYPSFPPLISPAPSTIAPPPCPYSRPATPRSHSNLTPHHLHSTSQLSPDLPPLPSVSYGSHPGQGIDSVKGPVSAPLAQSPSVQSPSCKHLTLSDLG >KJB47798 pep chromosome:Graimondii2_0_v6:8:5588420:5591315:-1 gene:B456_008G042000 transcript:KJB47798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATKVVVLSMHQSGASNSTDVVFGLLSDPISSPINPVSLSVLRSSLIELFLQQSNLTLTTSIFGQPSKFEILKFPKGITVIPVQPAFIWPITQMLFNFTLNNSIAEILANFIQLKDQLKYGLRLRPYENLFVQLTNQKGSTISSPVIIQASVTSSDFGNLLPQRLKQLAQTITESPAKNLGLNNSVFGKVKSISLSSYLKGTLHATAPTASPAPSPEPSISPHPSFRPTHPPASSPKSHHPQPCRKCKAASPSGNSPLHSPGPESGPYPSFPPLISPAPSTIAPPPCPYSRPATPRSHSNLTPHHLHSTSQLSPDLPPLPSVSYGSHPGQGIDSVKGPVSAPLAQSPSVQSPSSKAVSVLPKEFWLLGSLGVLIFHLLL >KJB47800 pep chromosome:Graimondii2_0_v6:8:5588420:5592475:-1 gene:B456_008G042000 transcript:KJB47800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNEDPDLQQREQNLESGNDQGRPGRCWMLFSRLSNDLSFKCVFVLFLSLSVLLPGIFWILPNRSITTGFDAKQAIKLSASVRAYFKLQKPVSELVQYVEKLEYDIYEEIGMPATKVVVLSMHQSGASNSTDVVFGLLSDPISSPINPVSLSVLRSSLIELFLQQSNLTLTTSIFGQPSKFEILKFPKGITVIPLKDQLKYGLRLRPYENLFVQLTNQKGSTISSPVIIQASVTSSDFGNLLPQRLKQLAQTITESPAKNLGLNNSVFGKVKSISLSSYLKGTLHATAPTASPAPSPEPSISPHPSFRPTHPPASSPKSHHPQPCRKCKAASPSGNSPLHSPGPESGPYPSFPPLISPAPSTIAPPPCPYSRPATPRSHSNLTPHHLHSTSQLSPDLPPLPSVSYGSHPGQGIDSVKGPVSAPLAQSPSVQSPSSKAVSVLPKEFWLLGSLGVLIFHLLL >KJB51374 pep chromosome:Graimondii2_0_v6:8:50125940:50130328:-1 gene:B456_008G214300 transcript:KJB51374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTEAYKQTGPCCFSPNARYIAVAVDYRLMIRDTLSFKVLIYSPDGRCLFKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAEFMHLSTVRAPCCAAVFKEVDEPLQLDMSELCLDDDFMGGSDAPGGHFKVRYEVMEVPITFPFQKPPADKPNPKQGIGLLSWSNDSQYICTRNDSMPTALWIWDIRHLELAAILVQKDPIRAAAWDPISTRLVLCTGSSHLYMWTPSGAYCVSNPLPQFSITDLKWNSDGSCLLLKDKESFCCAAVPLLPESSDYSSDE >KJB51376 pep chromosome:Graimondii2_0_v6:8:50126300:50129342:-1 gene:B456_008G214300 transcript:KJB51376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQAWSLTQPEWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHGSKGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMGVFAVDTLDMTDIQWSPDDSAIVIGDSPLEYKVLIYSPDGRCLFKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAEFMHLSTVRAPCCAAVFKEVDEPLQLDMSELCLDDDFMGGSDAPGGHFKVRYEVMEVPITFPFQKPPADKPNPKQGIGLLSWSNDSQYICTRNDSMPTALWIWDIRHLELAAILVQKDPIRAAAWDPISTRLVLCTGSSHLYMWTPSGAYCVSNPLPQFSITDLKWNSDGSCLLLKDKESFCCAAVPLLPESSDYSSDE >KJB51377 pep chromosome:Graimondii2_0_v6:8:50125940:50130328:-1 gene:B456_008G214300 transcript:KJB51377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTEAYKQTGPCCFSPNARYIAVAVDYRLMIRDTLSFKVVQLFSCLDKISYIEWALDSEYILCGLYKRPMIQAWSLTQPEWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHGSKGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMGVFAVDTLDMTDIQWSPDDSAIVIGDSPLEYKVLIYSPDGRCLFKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAEFMHLSTVRAPCCAAVFKEVDEPLQLDMSELCLDDDFMGGSDAPGGHFKVRYEVMEVPITFPFQKPPADKPNPKQGIGLLSWSNDSQYICTRNDSMPTALWIWDIRHLELAAILVQKDPIRAAAWDPISTRLLSSSQRQGVILLCSCASTARIQ >KJB51378 pep chromosome:Graimondii2_0_v6:8:50125940:50130335:-1 gene:B456_008G214300 transcript:KJB51378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTEAYKQTGPCCFSPNARYIAVAVDYRLMIRDTLSFKVVQLFSCLDKISYIEWALDSEYILCGLYKRPMIQAWSLTQPEWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHGSKGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMGVFAVDTLDMTDIQWSPDDSAIVIGDSPLEYKVLIYSPDGRCLFKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAEFMHLSTVRAPCCAAVFKEVDEPLQLDMSELCLDDDFMGGSDAPGGHFKVRYEVMEVPITFPFQKPPADKPNPKQGIGLLSWSNDSQYICTRNDSMPTALWIWDIRHLELAAILVQKDPIRAAAWDPISTRLVLCTGSSHLYMWTPSGAYCVSNPLPQFSITDLKWNSDGSCLLLKDKESFCCAAVPLLPESSDYSSDE >KJB51375 pep chromosome:Graimondii2_0_v6:8:50125940:50130328:-1 gene:B456_008G214300 transcript:KJB51375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTEAYKQTGPCCFSPNARYIAVAVDYRLMIRDTLSFKVVQLFSCLDKISYIEWALDSEYILCGLYKRPMIQAWSLTQPEWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHGSKGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMGVFAVDTLDMTDIQWSPDDSAIVIGDSPLEYKVLIYSPDGRCLFKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAEFMHLSTVRAPCCAAVFKEVDEPLQLDMSELCLDDDFMGGSDAPGGHFKVRYEVMEVPITFPFQKPPADKPNPKQGIACQLHFGFGTFAIWSWLPSWCKRILFVQQLGIR >KJB47701 pep chromosome:Graimondii2_0_v6:8:8971547:8973762:1 gene:B456_008G057500 transcript:KJB47701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNQSMEFTPSATSSPQIHNFMPMTSFMLPFHQPSNEDGEEVKQSHLLVQKRSLELFWYQQMLEIHNISAFKSYHQLPLARIKRIMKSNKDMISADTPILFSKACELFILELTLRAWLHTEEGKRRTLQGCDVSKAIRQEEALHFLFDVVPLINHKDDDGKFLEENEHHPVNQPQFPLLDMNAELVIRSR >KJB47700 pep chromosome:Graimondii2_0_v6:8:8971547:8973762:1 gene:B456_008G057500 transcript:KJB47700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNQSMEFTPSATSSPQIHNFMPMTSFMLPFHQPSNEDGEEVKQSHLLVQKRSLELFWYQQMLEIHNISAFKSYHQLPLARIKRIMKSNKDMISADTPILFSKACELFILELTLRAWLHTEEGKRRTLQGCDVSKAIRQEEALHFLFDVVPLINHK >KJB47702 pep chromosome:Graimondii2_0_v6:8:8971547:8973762:1 gene:B456_008G057500 transcript:KJB47702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNQSMEFTPSATSSPQIHNFMPMTSFMLPFHQPSNEDGEEVKQSHLLVQKRSLELFWYQQMLEIHNISAFKSYHQLPLARIKRIMKSNKDMISADTPILFSKACELFILELTLRAWLHTEEGKRRTLQGCDVSKAIRQEEALHFLFDVVPLINHKDDDGKFLEENEHHPVNQPQFPLLDMNA >KJB51359 pep chromosome:Graimondii2_0_v6:8:50030314:50034169:1 gene:B456_008G213200 transcript:KJB51359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAGTSRNFRLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLVSYVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCSPRDLSKSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYATEALLAEYWKAVKGLTFIIQGFGNVGSWVARLIHERGGKVIAVSDVTGAVKNQNGIDIPQLLKHKETTGSLTGFSGGDSLDPSELLVHECDVLVPCALGGVLNRLI >KJB51360 pep chromosome:Graimondii2_0_v6:8:50030736:50034169:1 gene:B456_008G213200 transcript:KJB51360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDYQVECTIPKDDGTLVSYVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCSPRDLSKSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYATEALLAEYWKAVKGLTFIIQGFGNVGSWVARLIHERGGKVIAVSDVTGAVKNQNGIDIPQLLKHKETTGSLTGFSGGDSLDPSELLVHECDVLVPCALGGVLNRENAAHVKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWDEDKVNKELKRYMTQAFHNIKTMCQTHHCNLRMGAFTLGVNRVARATLLRGWEA >KJB51355 pep chromosome:Graimondii2_0_v6:8:50030197:50034336:1 gene:B456_008G213200 transcript:KJB51355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAGTSRNFRLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLVSYVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCSPRDLSKSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYATEALLAEYWKAVKGLTFIIQGFGNVGSWVARLIHERGGKVIAVSDVTGAVKNQNGIDIPQLLKHKETTGSLTGFSGGDSLDPSELLVHECDVLVPCALGGVLNRENAAHVKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWDEDKVNKELKRYMTQAFHNIKTMCQTHHCNLRMGAFTLGVNRVARATLLRGWEA >KJB51357 pep chromosome:Graimondii2_0_v6:8:50030314:50032775:1 gene:B456_008G213200 transcript:KJB51357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAGTSRNFRLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLVSYVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCSPRDLSKSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYATEALLAEYWKAVKGLTFIIQGFGNVGSWVARLIHERGGKVIAVSDVTGAVKNQNGIDIPQLLKHKETTGSLTGFSGGDSLDPSELLVHECDVLVPCALGGVLNRLI >KJB51358 pep chromosome:Graimondii2_0_v6:8:50030314:50034169:1 gene:B456_008G213200 transcript:KJB51358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAGTSRNFRLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLVSYVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCSPRDLSKSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYATEALLAEYWKAVKGLTFIIQGFGNVGSWVARLIHERDSIKERSNNTARHICKCRRGDCQLL >KJB51356 pep chromosome:Graimondii2_0_v6:8:50030288:50032938:1 gene:B456_008G213200 transcript:KJB51356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAGTSRNFRLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLVSYVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCSPRDLSKSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYATEALLAEYWKAVKGLTFIIQGFGNVGSWVARLIHERGGKVIAVSDVTGAVKNQNGIDIPQLLKHKETTGSLTGFSGGDSLDPSELLVHECDVLVPCALGGVLNRENAAHVKAKFIIEAANHPTDPEADEVYLLHLFCF >KJB51262 pep chromosome:Graimondii2_0_v6:8:49450736:49452249:-1 gene:B456_008G209000 transcript:KJB51262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLAPLPTFYQIYKKKTSEGFQSIPYVVSLFSAMLWIYYALLKKDAIFLITINTFCVFIQTFYIVTYFYYGPKKEKIVTVKLMLLFNVFGFGVIFLATYFLKNPSLRLLILGYICMAFALAVFVAPLAIVRKVIKTKSVEYMPFTLSVFLTLGAVMWFFYGLCLKDMNVAVPNILGFIFGVLQMILYAVYKNHPKKTVEESDPKLQLSDHPVVVVDVAKLGSDVNAVIPNSTKSNNGGRTEGNAFNKV >KJB51261 pep chromosome:Graimondii2_0_v6:8:49450713:49452586:-1 gene:B456_008G209000 transcript:KJB51261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLSWVFVFGILGNAVSFMVSLAPLPTFYQIYKKKTSEGFQSIPYVVSLFSAMLWIYYALLKKDAIFLITINTFCVFIQTFYIVTYFYYGPKKEKIVTVKLMLLFNVFGFGVIFLATYFLKNPSLRLLILGYICMAFALAVFVAPLAIVRKVIKTKSVEYMPFTLSVFLTLGAVMWFFYGLCLKDMNVAVPNILGFIFGVLQMILYAVYKNHPKKTVEESDPKLQLSDHPVVVVDVAKLGSDVNAVIPNSTKSNNGGRTEGNAFNKV >KJB51265 pep chromosome:Graimondii2_0_v6:8:49451530:49452495:-1 gene:B456_008G209000 transcript:KJB51265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLSWVFVFGILGNAVSFMVSLAPLPTFYQIYKKKTSEGFQSIPYVVSLFSAMLWIYYALLKKDAIFLITINTFCVFIQTFYIVTYFYYGPKKEKIVTVKLMLLFNVFGFGVIFLATYFLKNPSLRLLILGYICMAFALAVFVAPLAIVVRITHQFLS >KJB51263 pep chromosome:Graimondii2_0_v6:8:49450736:49452495:-1 gene:B456_008G209000 transcript:KJB51263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLSWVFVFGILGNAVSFMVSLAPLPTFYQIYKKKTSEGFQSIPYVVSLFSAMLWIYYALLKKDAIFLITINTFCVFIQTFYIVTYFYYGPKKEKRKVIKTKSVEYMPFTLSVFLTLGAVMWFFYGLCLKDMNVAVPNILGFIFGVLQMILYAVYKNHPKKTVEESDPKLQLSDHPVVVVDVAKLGSDVNAVIPNSTKSNNGGRTEGNAFNKV >KJB51266 pep chromosome:Graimondii2_0_v6:8:49451563:49452495:-1 gene:B456_008G209000 transcript:KJB51266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLSWVFVFGILGNAVSFMVSLAPLPTFYQIYKKKTSEGFQSIPYVVSLFSAMLWIYYALLKKDAIFLITINTFCVFIQTFYIVTYFYYGPKKEKVTLSLHPSITSQHIYIYIYSLYISDSNTLKWVNLQIVTVKLMLLFNVFGFGVIFLATYFLKNPSLRLLILGYICMAFALAVFVAPLAIVVRITHQFLS >KJB51264 pep chromosome:Graimondii2_0_v6:8:49451373:49452495:-1 gene:B456_008G209000 transcript:KJB51264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLSWVFVFGILGNAVSFMVSLAPLPTFYQIYKKKTSEGFQSIPYVVSLFSAMLWIYYALLKKDAIFLITINTFCVFIQTFYIVTYFYYGPKKEKIVTVKLMLLFNVFGFGVIFLATYFLKNPSLRLLILGYICMAFALAVFVAPLAIVRKVIKTKSVEYMPFTLSVFLTLGAVMWFFYGLCLKDMNVAVR >KJB52636 pep chromosome:Graimondii2_0_v6:8:55071698:55074885:-1 gene:B456_008G271600 transcript:KJB52636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKMNPLFIFLLGTIFTSIVAEPVKDKQALLDFIQHIHHSRSLKWSKQDSVCNTWVGVACNNDHSRVIGLHLPGMGFHGPIPRNTLSRLSALEVLSLRSNAISGSFPSDFAQLKNLTTLYLQFNNFSGPLPDFSIWNNLTILNLSNNGFNGSINPSVTKSSHLTALDLSYNSLSGDIPDINIPSLQQLDLSNNNLTGIIPNSLERFPSWAFSGNTNLSSANSTLSPIPSQPPNGQPSKKGKNLSEPALLGIIIGSCCVLFILVALLIICCQSKKQKEQGVPENTPKKEIPLKRKASANHDNNNRLIFFEGCNLAFDLEDLLSASAEVLGKGTFGVTYKAALEDATTVAVKRLKEVTSAKREFEQHMEVIGHIRHENVSALRAYYYSKDEKLVVHDYYELGSISALLHGKRGEDRTPLDWETRLKIAIGAARGIAWLCLRYRFSSSDEPDASACNACCRLPCTGGN >KJB52635 pep chromosome:Graimondii2_0_v6:8:55072695:55074023:-1 gene:B456_008G271600 transcript:KJB52635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKMNPLFIFLLGTIFTSIVAEPVKDKQALLDFIQHIHHSRSLKWSKQDSVCNTWVGVACNNDHSRVIGLHLPGMGFHGPIPRNTLSRLSALEVLSLRSNAISGSFPSDFAQLKNLTTLYLQFNNFSGPLPDFSIWNNLTILNLSNNGFNGSINPSVTKSSHLTALDLSYNSLSGDIPDINIPSLQQLDLSNNNLTGIIPNSLERFPSWAFSGNTNLSSANSTLSPIPSQPPNGQPSKKGKNLSEPALLGIIIGSCCVLFILVALLIICCQSKKQKEQGVPENTPKKEIPLKRKASANHDNNNRLIFFEGCNLAFDLEDLLSASAEVLGKGTFGVTYKAALEDATTVAVKRLKEVTSAKREFEQHMEVIGHIRHENVSALRAYYYSKDEKLVVHDYYELGSISALLHGNMLTLYTVELHNDEFGSVLFTVICFLILNLR >KJB52637 pep chromosome:Graimondii2_0_v6:8:55071698:55074885:-1 gene:B456_008G271600 transcript:KJB52637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKMNPLFIFLLGTIFTSIVAEPVKDKQALLDFIQHIHHSRSLKWSKQDSVCNTWVGVACNNDHSRVIGLHLPGMGFHGPIPRNTLSRLSALEVLSLRSNAISGSFPSDFAQLKNLTTLYLQFNNFSGPLPDFSIWNNLTILNLSNNGFNGSINPSVTKSSHLTALDLSYNSLSGDIPDINIPSLQQLDLSNNNLTGIIPNSLERFPSWAFSGNTNLSSANSTLSPIPSQPPNGQPSKKGKNLSEPALLGIIIGSCCVLFILVALLIICCQSKKQKEQGVPENTPKKEIPLKRKASANHDNNNRLIFFEGCNLAFDLEDLLSASAEVLGKGTFGVTYKAALEDATTVAVKRLKEVTSAKREFEQHMEVIGHIRHENVSALRAYYYSKDEKLVVHDYYELGSISALLHGKRGEDRTPLDWETRLKIAIGAARGIAYIHRQNNGKLVHGNIKASNIFLNSERYGCVSDIGLAAVMSPMPLPVMRAAGYRAPEVTDTRKATQASDVYSFGVFLLELLTGKSPIHATGGEEIWTAEVFDVELLRYPNIEEEMVEMLQIAMSCVARVAEQRPKMAGLVKMVEEIRRVNNGNQLSFEAKSETSASTSIPHAVAETASSSTVPL >KJB52632 pep chromosome:Graimondii2_0_v6:8:55072025:55074023:-1 gene:B456_008G271600 transcript:KJB52632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKMNPLFIFLLGTIFTSIVAEPVKDKQALLDFIQHIHHSRSLKWSKQDSVCNTWVGVACNNDHSRVIGLHLPGMGFHGPIPRNTLSRLSALEVLSLRSNAISGSFPSDFAQLKNLTTLYLQFNNFSGPLPDFSIWNNLTILNLSNNGFNGSINPSVTKSSHLTALDLSYNSLSGDIPDINIPSLQQLDLSNNNLTGIIPNSLERFPSWAFSGNTNLSSANSTLSPIPSQPPNGQPSKKGKNLSEPALLGIIIGSCCVLFILVALLIICCQSKKQKEQGVPENTPKKEIPLKRKASANHDNNNRLIFFEGCNLAFDLEDLLSASAEVLGKGTFGVTYKAALEDATTVAVKRLKEVTSAKREFEQHMEVIGHIRHENVSALRAYYYSKDEKLVVHDYYELGSISALLHGKRGEDRTPLDWETRLKIAIGAARGIAYIHRQNNGKLVHGNIKASNIFLNSERYGCVSDIGLAAVMSPMPLPVMRAAGYRAPEVTDTRKATQASDVYSFGVFLLELLTGKSPIHATGGEEIVHLVRWVHSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIAMSCVARVAEQRPKMAGLVKMVEEIRRVNNGNQLSFEAKSETSASTSIPHAVAETASSSTVPL >KJB52634 pep chromosome:Graimondii2_0_v6:8:55072025:55074023:-1 gene:B456_008G271600 transcript:KJB52634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKMNPLFIFLLGTIFTSIVAEPVKDKQALLDFIQHIHHSRSLKWSKQDSVCNTWVGVACNNDHSRVIGLHLPGMGFHGPIPRNTLSRLSALEVLSLRSNAISGSFPSDFAQLKNLTTLYLQFNNFSGPLPDFSIWNNLTILNLSNNGFNGSINPSVTKSSHLTALDLSYNSLSGDIPDINIPSLQQLDLSNNNLTGIIPNSLERFPSWAFSGNTNLSSANSTLSPIPSQPPNGQPSKKGKNLSEPALLGIIIGSCCVLFILVALLIICCQSKKQKEQGVPENTPKKEIPLKRKASANHDNNNRLIFFEGCNLAFDLEDLLSASAEVLGKGTFGVTYKAALEDATTVAVKRLKEVTSAKREFEQHMEVIGHIRHENVSALRAYYYSKDEKLVVHDYYELGSISALLHGKRGEDRTPLDWETRLKIAIGAARGIAYIHRQNNGKLVHGNIKASNIFLNSERYGCVSDIGLAAVMSPMPLPVMRAAGYRAPEVTDTRKATQASDVYSFGVFLLELLTGKSPIHATGGEEIVHLVRWVHSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIAMSCVARVAEQRPKMAGLVKMVEEIRRVNNGNQLSFEAKSETSASTSIPHAVAETASSSTVPL >KJB52639 pep chromosome:Graimondii2_0_v6:8:55071137:55075375:-1 gene:B456_008G271600 transcript:KJB52639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKMNPLFIFLLGTIFTSIVAEPVKDKQALLDFIQHIHHSRSLKWSKQDSVCNTWVGVACNNDHSRVIGLHLPGMGFHGPIPRNTLSRLSALEVLSLRSNAISGSFPSDFAQLKNLTTLYLQFNNFSGPLPDFSIWNNLTILNLSNNGFNGSINPSVTKSSHLTALDLSYNSLSGDIPDINIPSLQQLDLSNNNLTGIIPNSLERFPSWAFSGNTNLSSANSTLSPIPSQPPNGQPSKKGKNLSEPALLGIIIGSCCVLFILVALLIICCQSKKQKEQGVPENTPKKEIPLKRKASANHDNNNRLIFFEGCNLAFDLEDLLSASAEVLGKGTFGVTYKAALEDATTVAVKRLKEVTSAKREFEQHMEVIGHIRHENVSALRAYYYSKDEKLVVHDYYELGSISALLHGKRGEDRTPLDWETRLKIAIGAARGIAYIHRQNNGKLVHGNIKASNIFLNSERYGCVSDIGLAAVMSPMPLPVMRAAGYRAPEVTDTRKATQASDVYSFGVFLLELLTGKSPIHATGGEEIVHLVRWVHSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIAMSCVARVAEQRPKMAGLVKMVEEIRRVNNGNQLSFEAKSETSASTSIPHAVAETASSSTVPL >KJB52638 pep chromosome:Graimondii2_0_v6:8:55071118:55075375:-1 gene:B456_008G271600 transcript:KJB52638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKMNPLFIFLLGTIFTSIVAEPVKDKQALLDFIQHIHHSRSLKWSKQDSVCNTWVGVACNNDHSRVIGLHLPGMGFHGPIPRNTLSRLSALEVLSLRSNAISGSFPSDFAQLKNLTTLYLQFNNFSGPLPDFSIWNNLTILNLSNNGFNGSINPSVTKSSHLTALDLSYNSLSGDIPDINIPSLQQLDLSNNNLTGIIPNSLERFPSWAFSGNTNLSSANSTLSPIPSQPPNGQPSKKGKNLSEPALLGIIIGSCCVLFILVALLIICCQSKKQKEQGVPENTPKKEIPLKRKASANHDNNNRLIFFEGCNLAFDLEDLLSASAEVLGKGTFGVTYKAALEDATTVAVKRLKEVTSAKREFEQHMEVIGHIRHENVSALRAYYYSKDEKLVVHDYYELGSISALLHGKRGEDRTPLDWETRLKIAIGAARGIAYIHRQNNGKLVHGNIKASNIFLNSERYGCVSDIGLAAVMSPMPLPVMRAAGYRAPEVTDTRKATQASDVYSFGVFLLELLTGKSPIHATGGEEIVHLVRWVHSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIAMSCVARVAEQRPKMAGLVKMVEEIRRVNNGNQLSFEAKSETSASTSIPHAVAETASSSTVPL >KJB52633 pep chromosome:Graimondii2_0_v6:8:55071118:55074885:-1 gene:B456_008G271600 transcript:KJB52633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKMNPLFIFLLGTIFTSIVAEPVKDKQALLDFIQHIHHSRSLKWSKQDSVCNTWVGVACNNDHSRVIGLHLPGMGFHGPIPRNTLSRLSALEVLSLRSNAISGSFPSDFAQLKNLTTLYLQFNNFSGPLPDFSIWNNLTILNLSNNGFNGSINPSVTKSSHLTALDLSYNSLSGDIPDINIPSLQQLDLSNNNLTGIIPNSLERFPSWAFSGNTNLSSANSTLSPIPSQPPNGQPSKKGKNLSEPALLGIIIGSCCVLFILVALLIICCQSKKQKEQGVPENTPKKEIPLKRKASANHDNNNRLIFFEGCNLAFDLEDLLSASAEVLGKGTFGVTYKAALEDATTVAVKRLKEVTSAKREFEQHMEVIGHIRHENVSALRAYYYSKDEKLVVHDYYELGSISALLHGKRGEDRTPLDWETRLKIAIGAARGIAYIHRQNNGKLVHGNIKASNIFLNSERYGCVSDIGLAAVMSPMPLPVMRAAGYRAPEVTDTRKATQASDVYSFGVFLLELLTGKSPIHATGGEEIVHLVRWVHSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIAMSCVARVAEQRPKMAGLVKMVEEIRRVNNGNQLSFEAKSETSASTSIPHAVAETASSSTVPL >KJB48873 pep chromosome:Graimondii2_0_v6:8:22014377:22016023:1 gene:B456_008G091200 transcript:KJB48873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLDLTPPGSACKFQGRENKSGPCNQPCGNNHKPLPRPTPQALPPGTPVLEHDEQSLLVTGFTVVASMLSSALLLCIFFALVRLYFNKRTNHSRRRSLPVVFFGTQDDFFLDEDQIPQVDNPIWYINTVGLQQSVIDSITVFKYKKHDGLIEGTECSVCLNEFQENESLRLLHKCSHGFHLPCIDTWLRSHQNCPLCRAPVVSETMIAQTSEPWPNSIDSGSSNETLVENDVGEGGTSEEMRTCRIEDEDNWENSRKILGHSDSNILTDIDGIQEEIQATRRSVSLDLSSAMEIAGEATAKHKHRGSLDTELQQLKCSTGKIAVKRSRGGSSICKLMKSSSIGRSLTKGPVSMKRSFSSGGIFLLSKRTKGQSSILPL >KJB48872 pep chromosome:Graimondii2_0_v6:8:22014219:22016023:1 gene:B456_008G091200 transcript:KJB48872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSALLLCIFFALVRLYFNKRTNHSRRRSLPVVFFGTQDDFFLDEDQIPQVDNPIWYINTVGLQQSVIDSITVFKYKKHDGLIEGTECSVCLNEFQENESLRLLHKCSHGFHLPCIDTWLRSHQNCPLCRAPVVSETMIAQTSEPWPNSIDSGSSNETLVENDVGEGGTSEEMRTCRIEDEDNWENSRKILGHSDSNILTDIDGIQEEIQATRRSVSLDLSSAMEIAGEATAKHKHRGSLDTELQQLKCSTGKIAVKRSRGGSSICKLMKSSSIGRSLTKGPVSMKRSFSSGGIFLLSKRTKGQSSILPL >KJB50556 pep chromosome:Graimondii2_0_v6:8:45286828:45294483:-1 gene:B456_008G176500 transcript:KJB50556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVVESQQPNGNISFKHNRNNNNKPNFFAGRQKILEHRKSLPIASVERRLVEVVQENDVLIIVGETGSGKTTQIPQYLFDSGFCHDGKTIGVTQPRRVAAVTVAKRVAEECGVELGQKVGYSIRFEDVTSSSTRIKYMTDGLLLREALLDPYLSRYSVIVVDEAHERTVHTDVLLGLLKRVQNVRSNHIKDQTSVGHKRASNGAILEKENADPCIGVLKQCQGRNLSPLKLIIMSASLDAHVFSKYFGGAKAVRVLGRQFPVDLFYSVDPQTDYLDSAIVTIFQIHSEEAPGDILVFLTGQEEIESVEKQIHENLQRLPEDKRKMKTVTIFSSLPSEQQMQVFAPAPAGFRKVILATNIAETSVTIPGIKYVVDPGVVKARSYDPVKGMESLIIVPTSKAQAIQRSGRAGREGPGKCFRLYPESEFEKLEDSTKPEIKRCNLSNVILQLKALGVDDIFGFDFIEKPSRTAIKKSLEELLLLGALTDEFKLSDPVGRQMARLPLDPVHSKALILASQFKCLDEMLIAVAMLSVESIFYEPREKLDEARTAKKCFASSEGDHLTLINVYRTSIELLEKRKSEVGKDKAEKVMRKWCKENFINSRSLRHARDIHSQIRRLVEQIGLRISSCGDDTLQFRRCLAAAFFLNAALKQPDGTYRALASGEVVQIHPTSVLFRTKADCIIFNEFVKTTNKYIRNITIIDGLWLTELAPHYYAKQE >KJB50559 pep chromosome:Graimondii2_0_v6:8:45286639:45294534:-1 gene:B456_008G176500 transcript:KJB50559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVVESQQPNGNISFKHNRNNNNKPNFFAGRQKILEHRKSLPIASVERRLVEVVQENDVLIIVGETGSGKTTQIPQYLFDSGFCHDGKTIGVTQPRRVAAVTVAKRVAEECGVELGQKVGYSIRFEDVTSSSTRIKYMTDGLLLREALLDPYLSRYSVIVVDEAHERTVHTDVLLGLLKRVQNVRSNHIKDQTSVGHKRASNGAILEKENADPCIGVLKQCQGRNLSPLKLIIMSASLDAHVFSKYFGGAKAVRVLGRQFPVDLFYSVDPQTDYLDSAIVTIFQIHSEEAPGDILVFLTGQEEIESVEKQIHENLQRLPEDKRKMKTVTIFSSLPSEQQMQVFAPAPAGFRKVILATNIAETSVTIPGIKYVVDPGVVKARSYDPVKGMESLIIVPTSKAQAIQRSGRAGREGPGKCFRLYPESEFEKLEDSTKPEIKRCNLSNVILQLKALGVDDIFGFDFIEKPSRTAIKKSLEELLLLGALTDEFKLSDPVGRQMARLPLDPVHSKALILASQFKCLDEMLIAVAMLSVESIFYEPREKLDEARTAKKCFASSEGDHLTLINVYRTSIELLEKRKSEVGKDKAEKVMRKWCKENFINSRSLRHARDIHSQIRRLVEQIGLRISSCGDDTLQFRRCLAAAFFLNAALKQPDGTYRALASGEVVQIHPTSVLFRTKADCIIFNEFVKTTNKYIRNITIIDGLWLTELAPHYYAKQE >KJB50557 pep chromosome:Graimondii2_0_v6:8:45286639:45294517:-1 gene:B456_008G176500 transcript:KJB50557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVVESQQPNGNISFKHNRNNNNKPNFFAGRQKILEHRKSLPIASVERRLVEVVQENDVLIIVGETGSGKTTQIPQYLFDSGFCHDGKTIGVTQPRRVAAVTVAKRVAEECGVELGQKVGYSIRFEDVTSSSTRIKYMTDGLLLREALLDPYLSRYSVIVVDEAHERTVHTDVLLGLLKRVQNVRSNHIKDQTSVGHKRASNGAILEKENADPCIGVLKQCQGRNLSPLKLIIMSASLDAHVFSKYFGGAKAVRVLGRQFPVDLFYSVDPQTDYLDSAIVTIFQIHSEEAPGDILVFLTGQEEIESVEKQIHENLQRLPEDKRKMKTVTIFSSLPSEQQMQVFAPAPAGFRKVILATNIAETSVTIPGIKYVVDPGVVKARSYDPVKGMESLIIVPTSKAQAIQRSGRAGREGPGKCFRLYPESEFEKLEDSTKPEIKRCNLSNVILQLKALGVDDIFGFDFIEKPSRTAIKKSLEELLLLGALTDEFKLSDPVGRQMARLPLDPVHSKALILASQFKCLDEMLIAVAMLSVESIFYEPREKLDEARTAKKCFASSEGDHLTLINVYRTSIELLEKRKSEVGKDKAEKVMRKWCKENFINSRSLRHARDIHSQIRRLVEQIGLRISSCGDDTLQFRRCLAAAFFLNAALKQPDGTYRALASGEVVQIHPTSVLFRTKADCIIFNEFVKTTNKYIRNITIIDGLWLTELAPHYYAKQE >KJB50558 pep chromosome:Graimondii2_0_v6:8:45287661:45294517:-1 gene:B456_008G176500 transcript:KJB50558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVVESQQPNGNISFKHNRNNNNKPNFFAGRQKILEHRKSLPIASVERRLVEVVQENDVLIIVGETGSGKTTQIPQYLFDSGFCHDGKTIGVTQPRRVAAVTVAKRVAEECGVELGQKVGYSIRFEDVTSSSTRIKYMTDGLLLREALLDPYLSRYSVIVVDEAHERTVHTDVLLGLLKRVQNVRSNHIKDQTSVGHKRASNGAILEKENADPCIGVLKQCQGRNLSPLKLIIMSASLDAHVFSKYFGGAKAVRVLGRQFPVDLFYSVDPQTDYLDSAIVTIFQIHSEEAPGDILVFLTGQEEIESVEKQIHENLQRLPEDKRKMKTVTIFSSLPSEQQMQVFAPAPAGFRKVILATNIAETSVTIPGIKYVVDPGVVKARSYDPVKGMESLIIVPTSKAQAIQRSGRAGREGPGKCFRLYPESEFEKLEDSTKPEIKRCNLSNVILQLKALGVDDIFGFDFIEKPSRTAIKKSLEELLLLGALTDEFKLSDPVGRQMARLPLDPVHSKALILASQFKCLDEMLIAVAMLSVESIFYEPREKLDEARTAKKCFASSEGDHLTLINVYRTSIELLEKRKSEVGKDKAEKVMRKWCKENFINSRSLRHARDIHSQIRRLVEQIGLRISSCGDDTLQFRRCLAAAFFLNAALKQPDGTYRALASGEVVQIHPTSVLFRTKADCIIFNEFVKTTNKYIRNITIIDGLWLTELAPHYYAKQE >KJB46975 pep chromosome:Graimondii2_0_v6:8:552794:555711:-1 gene:B456_008G003400 transcript:KJB46975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKAAEKLIRHWKILRGDNVMIIRGKDKGETGIIKRVVRSQNRVIVEGKNLVKKHIKQGPGHEGGIFTVEAPLHASNVQVLDPVTGKPCKVGVKYLEDGTKVRVSRGIGASGSIIPRPEILKIRTTPRPTVAGPKDTPMDLVLQKTYDPKTGVGMPDL >KJB46974 pep chromosome:Graimondii2_0_v6:8:552864:555538:-1 gene:B456_008G003400 transcript:KJB46974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKAAEKLIRHWKILRGDNVMIIRGKDKGETGIIKRVVRSQNRVIVEGKNLVKKHIKQGPGHEGGIFTVEAPLHASNVQVLDPVTGKPCKVGVKYLEDGTKVRVSRGIGASGSIIPRPEILKIRTTPRPTVAGPKDTPMDLVLQKTYDPKTGVGMPDL >KJB47691 pep chromosome:Graimondii2_0_v6:8:4660677:4664957:1 gene:B456_008G037200 transcript:KJB47691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLMNFLRACFRPRSDQYSHTSLDTGGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQSQLESGCLSLNELGPYGTFVGVYDGHGGPETSRFINEHLFQHLKRFISEQQTMSVDVIRKAYQATEEGFLSLVTKQWPVKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRAVKATGEVLAIQLSAEHNVCIESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYSKFRLREPLKRPILSADPSISVHQLQPHDQFVILASDGLWEHLSNQEAVDIVQNHPRSGSARRLVKTALKEAANKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSVKGPNLSVKGGGVNLRPNILAPCATPTEAGST >KJB47694 pep chromosome:Graimondii2_0_v6:8:4660704:4664028:1 gene:B456_008G037200 transcript:KJB47694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLMNFLRACFRPRSDQYSHTSLDTGGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQSQLESGCLSLNELGPYGTFVGVYDGHGGPETSRFINEHLFQHLKRFISEQQTMSVDVIRKAYQATEEGFLSLVTKQWPVKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRAVKATGEVLAIQLSAEHNVCIESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYSKFRLREPLKRPILSADPSISVHQLQPHDQFVILASDGLWEHLSNQEAVDIVQNHPRSVSSCLKVKYS >KJB47695 pep chromosome:Graimondii2_0_v6:8:4662056:4664677:1 gene:B456_008G037200 transcript:KJB47695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTIQQYLLCSGFISEQQTMSVDVIRKAYQATEEGFLSLVTKQWPVKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRAVKATGEVLAIQLSAEHNVCIESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYSKFRLREPLKRPILSADPSISVHQLQPHDQFVILASDGLWEHLSNQEAVDIVQNHPRSGSARRLVKTALKEAANKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSVKGPNLSVKGGGVNLRPNILAPCATPTEAGST >KJB47696 pep chromosome:Graimondii2_0_v6:8:4660704:4664957:1 gene:B456_008G037200 transcript:KJB47696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLMNFLRACFRPRSDQYSHTSLDTGGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQSQLESGCLSLNELGPYGTFVGVYDGHGGPETSRFINEHLFQHLKQQQTMSVDVIRKAYQATEEGFLSLVTKQWPVKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRAVKATGEVLAIQLSAEHNVCIESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYSKFRLREPLKRPILSADPSISVHQLQPHDQFVILASDGLWEHLSNQEAVDIVQNHPRSGSARRLVKTALKEAANKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSVKGPNLSVKGGGVNLRPNILAPCATPTEAGST >KJB47692 pep chromosome:Graimondii2_0_v6:8:4660657:4664957:1 gene:B456_008G037200 transcript:KJB47692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDVIRKAYQATEEGFLSLVTKQWPVKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRAVKATGEVLAIQLSAEHNVCIESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYSKFRLREPLKRPILSADPSISVHQLQPHDQFVILASDGLWEHLSNQEAVDIVQNHPRSGSARRLVKTALKEAANKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSVKGPNLSVKGGGVNLRPNILAPCATPTEAGST >KJB47697 pep chromosome:Graimondii2_0_v6:8:4660450:4665299:1 gene:B456_008G037200 transcript:KJB47697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLMNFLRACFRPRSDQYSHTSLDTGGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQSQLESGCLSLNELGPYGTFVGVYDGHGGPETSRFINEHLFQHLKRFISEQQTMSVDVIRKAYQATEEGFLSLVTKQWPVKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRAVKATGEVLAIQLSAEHNVCIESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYSKFRLREPLKRPILSADPSISVHQLQPHDQFVILASDGLWEHLSNQEAVDIVQNHPRSGSARRLVKTALKEAANKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSVKGPNLSVKGGGVNLRPNILAPCATPTEAGST >KJB47693 pep chromosome:Graimondii2_0_v6:8:4660704:4664957:1 gene:B456_008G037200 transcript:KJB47693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLMNFLRACFRPRSDQYSHTSLDTGGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQSQLESGCLSLNELGPYGTFVGVYDGHGGPETSRFINEHLFQHLKRFISEQQTMSVDVIRKAYQATEEGFLSLVTKQWPVKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRAVKATGEVLAIQLSAEHNVCIESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYSKFRLREPLKRPILSADPSISVHQLQPHDQFVILASDGLWEHLSNQEAVDIVQNHPRSGSARRLVKTALKEAANKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSVKGPNLSVKGGGVNLRPNILAPCATPTEAGST >KJB50118 pep chromosome:Graimondii2_0_v6:8:41330868:41333904:-1 gene:B456_008G154500 transcript:KJB50118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSKRPSVFHKMHGQSYFISRLTPSLPAQNYNMTGAYTNGGIHVALQPSRHSTGLAYMPTVSPIFVQAPSEKGFTGFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGITDCFARTIKDEGVIALWRGNTANVLRYFPTQALNFAFKDYFKRMFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDNKAAKKGGQRQFNGLVDVYRKTIQSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGGLQDSFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSMAAFSQIIKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVLFLGKKYGSGGG >KJB50120 pep chromosome:Graimondii2_0_v6:8:41330868:41333910:-1 gene:B456_008G154500 transcript:KJB50120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSKRPSVFHKMHGQSYFISRLTPSLPAQNYNMTGAYTNGGIHVALQPSRHSTGLAYMPTVSPIFVQAPSEKGFTGFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGITDCFARTIKDEGVIALWRGNTANVLRYFPTQALNFAFKDYFKRMFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDNKAAKKGGQRQFNGLVDVYRKTIQSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGGLQDSFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSMAAFSQIIKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVLFLGKKYGSGGG >KJB50122 pep chromosome:Graimondii2_0_v6:8:41330868:41333350:-1 gene:B456_008G154500 transcript:KJB50122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSKRPSVFHKMHGQSYFISRLTPSLPAQNYNMTGAYTNGGIHVALQPSRHSTGLAYMPTVSPIFVQAPSEKGFTGFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGITDCFARTIKDEGVIALWRGNTANVLRYFPTQALNFAFKDYFKRMFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDNKAAKKGGQRQFNGLVDVYRKTIQSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGGLQDSFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSMAAFSQIIKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVLFLGKKYGSGGG >KJB50119 pep chromosome:Graimondii2_0_v6:8:41330868:41334034:-1 gene:B456_008G154500 transcript:KJB50119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSKRPSVFHKMHGQSYFISRLTPSLPAQNYNMTGAYTNGGIHVALQPSRHSTGLAYMPTVSPIFVQAPSEKGFTGFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGITDCFARTIKDEGVIALWRGNTANVLRYFPTQALNFAFKDYFKRMFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDNKAAKKGGQRQFNGLVDVYRKTIQSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGGLQDSFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSMAAFSQIIKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVLFLGKKYGSGGG >KJB50121 pep chromosome:Graimondii2_0_v6:8:41330868:41333926:-1 gene:B456_008G154500 transcript:KJB50121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSKRPSVFHKMHGQSYFISRLTPSLPAQNYNMTGAYTNGGIHVALQPSRHSTGLAYMPTVSPIFVQAPSEKGFTGFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGITDCFARTIKDEGVIALWRGNTANVLRYFPTQALNFAFKDYFKRMFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDNKAAKKGGQRQFNGLVDVYRKTIQSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGGLQVSIFSWQVSCWVGVSQ >KJB51891 pep chromosome:Graimondii2_0_v6:8:52253438:52255769:-1 gene:B456_008G236700 transcript:KJB51891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADQWYDKNGVWTGSATILPDGKVVMLYTGSTTENVQVQNLAYPADHSDPLLINWVKYSGNPVLVPPPGILDKDFRDPTTAWLTSEGKWRITIGSKINKTGIALVYDTKDFIYYELLDGVLHAVPGTGMWECVDFFPVSKTEENGLDTSVNGPSVKHVVKASLDDDRHDYYSIGTYHEKNGTWIPDEPKIDVGIGLRYDYGIYYAAKTFYDQNKNRRVLWGWIGESDSEAADMQKGWASVQSIPRTILFDKKTGTHLLQWPVEEIESLRLKSYEFNQVKVQAGSVVPLDVGPATQLDIIAEFEIDKEALEKTTGSNVTFSCSSSGGTIERGALGPFGLLVLADDSLSEQTPVYFYIAKGSDGKLKTFFCNDQSRSSVASDVNKQIYGNLVPVLEGEKLSLRVLVDHSIVESFAQGGRTVITSRVYPTKAIYGAAKLFLFNNATEASVSASLRIWQMNSAFIRPYPNNKESQ >KJB51892 pep chromosome:Graimondii2_0_v6:8:52253438:52257349:-1 gene:B456_008G236700 transcript:KJB51892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADQWYDKNGVWTGSATILPDGKVVMLYTGSTTENVQVQNLAYPADHSDPLLINWVKYSGNPVLVPPPGILDKDFRDPTTAWLTSEGKWRITIGSKINKTGIALVYDTKDFIYYELLDGVLHAVPGTGMWECVDFFPVSKTEENGLDTSVNGPSVKHVVKASLDDDRHDYYSIGTYHEKNGTWIPDEPKIDVGIGLRYDYGIYYAAKTFYDQNKNRRVLWGWIGESDSEAADMQKGWASVQSIPRTILFDKKTGTHLLQWPVEEIESLRLKSYEFNQVKVQAGSVVPLDVGPATQLDIIAEFEIDKEALEKTTGSNVTFSCSSSGGTIERGALGPFGLLVLADDSLSEQTPVYFYIAKGSDGKLKTFFCNDQSRSSVASDVNKQIYGNLVPVLEGEKLSLRVLVDHSIVESFAQGGRTVITSRVYPTKAIYGAAKLFLFNNATEASVSASLRIWQMNSAFIRPYPNNKESQ >KJB51890 pep chromosome:Graimondii2_0_v6:8:52253438:52256452:-1 gene:B456_008G236700 transcript:KJB51890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADQWYDKNGVWTGSATILPDGKVVMLYTGSTTENVQVQNLAYPADHSDPLLINWVKYSGNPVLVPPPGILDKDFRDPTTAWLTSEGKWRITIGSKINKTGIALVYDTKDFIYYELLDGVLHAVPGTGMWECVDFFPVSKTEENGLDTSVNGPSVKHVVKASLDDDRHDYYSIGTYHEKNGTWIPDEPKIDVGIGLRYDYGIYYAAKTFYDQNKNRRVLWGWIGESDSEAADMQKGWASVQSIPRTILFDKKTGTHLLQWPVEEIESLRLKSYEFNQVKVQAGSVVPLDVGPATQLDIIAEFEIDKEALEKTTGSNVTFSCSSSGGTIERGALGPFGLLVLADDSLSEQTPVYFYIAKGSDGKLKTFFCNDQSRSSVASDVNKQIYGNLVPVLEGEKLSLRVLVDHSIVESFAQGGRTVITSRVYPTKAIYGAAKLFLFNNATEASVSASLRIWQMNSAFIRPYPNNKESQ >KJB51889 pep chromosome:Graimondii2_0_v6:8:52253391:52257448:-1 gene:B456_008G236700 transcript:KJB51889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTRPFLPVSSHAGSDPTGPSENRRKPMKLQLSIFFVLLTVGFFAALLTSNNGSDNGFVKENEHGSLASPSSEKQLRPVSRGPAVGVSEKSNRLFAKDNENQLAYPWNNSMLSWQRTAFHFQPEKNWMNDPNGPLFYKGWYHFFYQYNPHAAVWGDIVWGHAVSKDLIHWLHLPLAMVADQWYDKNGVWTGSATILPDGKVVMLYTGSTTENVQVQNLAYPADHSDPLLINWVKYSGNPVLVPPPGILDKDFRDPTTAWLTSEGKWRITIGSKINKTGIALVYDTKDFIYYELLDGVLHAVPGTGMWECVDFFPVSKTEENGLDTSVNGPSVKHVVKASLDDDRHDYYSIGTYHEKNGTWIPDEPKIDVGIGLRYDYGIYYAAKTFYDQNKNRRVLWGWIGESDSEAADMQKGWASVQSIPRTILFDKKTGTHLLQWPVEEIESLRLKSYEFNQVKVQAGSVVPLDVGPATQLDIIAEFEIDKEALEKTTGSNVTFSCSSSGGTIERGALGPFGLLVLADDSLSEQTPVYFYIAKGSDGKLKTFFCNDQSRSSVASDVNKQIYGNLVPVLEGEKLSLRVLVDHSIVESFAQGGRTVITSRVYPTKAIYGAAKLFLFNNATEASVSASLRIWQMNSAFIRPYPNNKESQ >KJB51893 pep chromosome:Graimondii2_0_v6:8:52253438:52257351:-1 gene:B456_008G236700 transcript:KJB51893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTRPFLPVSSHAGSDPTGPSENRRKPMKLQLSIFFVLLTVGFFAALLTSNNGSDNGFVKENEHGSLASPSSEKQLRPVSRGPAVGVSEKSNRLFAKDNENQLAYPWNNSMLSWQRTAFHFQPEKNWMNGPLFYKGWYHFFYQYNPHAAVWGDIVWGHAVSKDLIHWLHLPLAMVADQWYDKNGVWTGSATILPDGKVVMLYTGSTTENVQVQNLAYPADHSDPLLINWVKYSGNPVLVPPPGILDKDFRDPTTAWLTSEGKWRITIGSKINKTGIALVYDTKDFIYYELLDGVLHAVPGTGMWECVDFFPVSKTEENGLDTSVNGPSVKHVVKASLDDDRHDYYSIGTYHEKNGTWIPDEPKIDVGIGLRYDYGIYYAAKTFYDQNKNRRVLWGWIGESDSEAADMQKGWASVQSIPRTILFDKKTGTHLLQWPVEEIESLRLKSYEFNQVKVQAGSVVPLDVGPATQLDIIAEFEIDKEALEKTTGSNVTFSCSSSGGTIERGALGPFGLLVLADDSLSEQTPVYFYIAKGSDGKLKTFFCNDQSRSSVASDVNKQIYGNLVPVLEGEKLSLRVLVDHSIVESFAQGGRTVITSRVYPTKAIYGAAKLFLFNNATEASVSASLRIWQMNSAFIRPYPNNKESQ >KJB51894 pep chromosome:Graimondii2_0_v6:8:52254359:52257279:-1 gene:B456_008G236700 transcript:KJB51894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTRPFLPVSSHAGSDPTGPSENRRKPMKLQLSIFFVLLTVGFFAALLTSNNGSDNGFVKENEHGSLASPSSEKQLRPVSRGPAVGVSEKSNRLFAKDNENQLAYPWNNSMLSWQRTAFHFQPEKNWMNDPNGPLFYKGWYHFFYQYNPHAAVWGDIVWGHAVSKDLIHWLHLPLAMVADQWYDKNGVWTGSATILPDGKVVMLYTGSTTENVQVQNLAYPADHSDPLLINWVKYSGNPVLVPPPGILDKDFRDPTTAWLTSEGKWRITIGSKINKTGIALVYDTKDFIYYELLDGVLHAVPGTGMWECVDFFPVSKTEENGLDTSVNGPSVKHVVKASLDDDRHDYYSIGTYHEKNGTWIPDEPKIDVGIGLRYDYGIYYAAKTFYDQNKNRRVLWGWIGESDSEAADMQKGWASVQSIPRTILFDKKTGTHLLQWPVEEIESLRLKSYEFNQVKVQAGSVVPLDVGPATQVRLFVMYLCVSPIRSRPRSC >KJB52176 pep chromosome:Graimondii2_0_v6:8:53289419:53293546:1 gene:B456_008G248900 transcript:KJB52176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESCEDKSRNIVSSTGFCYSEVSSGNSTMQTHLVNQIQGFESNPEIFNLTTGMEMIGFAKNLQQQQGDTNNMIMWKGFFNKHGNNPGAAGPSSPSSSKPINESTTDFYQHEFHKPEFTTGISETSTGNLIVGSESAPWQENRLLVDDSSFRCVFPCEGNERPSQGLSLSLSSSNPTSIGLQSFELRQTSHSNHDDQPDDMRFIGSSSRDGFFGKPAIVQQHEGQFQIRGSRYLNAAQELLNEFCSLGTKQLDTSKQKQTQKTTKQWDDDDGGASSSRKQSLYSLDFTELQRRKTKMLSMLEEVDRRYKIYCDQMKAVVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVSQIQATRKAMGEKDTVAPGTTKGETPRLRILDQALRQQRAFQQMSMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEHENNMVSSDNGGTDGGDDNNNNDRLNIPLADQKPTPDQLVRVDSECLSSIITTNPEKNDGKSGTKTLENQHLHHHHHHHHHHQQQSFGTYGATAMELDFASYGDHTAGGGVPYHNANQSFNGGGGVSLTLGLQQHGGSGVSLAFSPATQTSLFYRRDHIEDCQPVQYSLLDNEGQHLPYRNLMGAQLLHDLAG >KJB52179 pep chromosome:Graimondii2_0_v6:8:53289525:53293546:1 gene:B456_008G248900 transcript:KJB52179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESCEDKSRNIVSSTGFCYSEVSSGNSTMQTHLVNQIQGFESNPEIFNLTTGMEMIGFAKNLQQQQGDTNNMIMWKGFFNKHGNNPGAAGPSSPSSSKPINESTTDFYQHEFHKPEFTTGISETSTGNLIVGSESAPWQENRLLVDDSSFRCVFPCEGNERPSQGLSLSLSSSNPTSIGLQSFELRQTSHSNHDDQPDDMRFIGSSSRDGFFGKPAIVQQHEGQFQIRGSRYLNAAQELLNEFCSLGTKQLDTSKQKQTQKTTKQWDDDDGGASSSRKQSLYSLDFTELQRRKTKMLSMLEEVDRRYKIYCDQMKAVVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVSQIQATRKAMGEKDTVAPGTTKGETPRLRILDQALRQQRAFQQMSMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEHENNMVSSDNGGTDGGDDNNNNDRLNIPLADQKPTPDQLVRVDSECLSSIITTNPEKNDGKSGTKTLENQHLHHHHHHHHHHQQQSFGTYGATAMELDFASYGDHTAGGGVPYHNANQSFNGGGGVSLTLGLQQHGGSGVSLAFSPATQTSLFYRRDHIEDCQPVQYSLLDNEGQHLPYRNLMGAQLLHDLAG >KJB52177 pep chromosome:Graimondii2_0_v6:8:53289776:53293544:1 gene:B456_008G248900 transcript:KJB52177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESCEDKSRNIVSSTGFCYSEVSSGNSTMQTHLVNQIQGFESNPEIFNLTTGMEMIGFAKNLQQQQGDTNNMIMWKGFFNKHGNNPGAAGPSSPSSSKPINESTTDFYQHEFHKPEFTTGISETSTGNLIVGSESAPWQENRLLVDDSSFRCVFPCEGNERPSQGLSLSLSSSNPTSIGLQSFELRQTSHSNHDDQPDDMRFIGSSSRDGFFGKPAIVQQHEGQFQIRGSRYLNAAQELLNEFCSLGTKQLDTSKQKQTQKTTKQWDDDDGGASSSRKQSLYSLDFTELQRRKTKMLSMLEEVDRRYKIYCDQMKAVVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVSQIQATRKAMGEKDTVAPGTTKGETPRLRILDQALRQQRAFQQMSMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEHENNMVSSDNGGTDGGDDNNNNDRLNIPLADQKPTPDQLVRVDSECLSSIITTNPEKNDGKSGTKTLENQHLHHHHHHHHHHQQQSFGTYGATAMELDFASYGDHTAGGGVPYHNANQSFNGGGGVSLTLGLQQHGGSGVSLAFSPATQTSLFYRRDHIEDCQPVQYSLLDNEGQHLPYRNLMGAQLLHDLAG >KJB52178 pep chromosome:Graimondii2_0_v6:8:53290389:53292534:1 gene:B456_008G248900 transcript:KJB52178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESCEDKSRNIVSSTGFCYSEVSSGNSTMQTHLVNQIQGFESNPEIFNLTTGMEMIGFAKNLQQQQGDTNNMIMWKGFFNKHGNNPGAAGPSSPSSSKPINESTTDFYQHEFHKPEFTTGISETSTGNLIVGSESAPWQENRLLVDDSSFRCVFPCEGNERPSQGLSLSLSSSNPTSIGLQSFELRQTSHSNHDDQPDDMRFIGSSSRDGFFGKPAIVQQHEGQFQIRGSRYLNAAQELLNEFCSLGTKQLDTSKQKQTQKTTKQWDDDDGGASSSRKQSLYSLDFTELQRRKTKMLSMLEEVDRRYKIYCDQMKAVVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVSQIQATRKAMGEKDTVAPGTTKGETPRLRILDQALRQQRAFQQMSMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQACPHPSLYFIYILNGPYLLLSLL >KJB48557 pep chromosome:Graimondii2_0_v6:8:14446843:14451342:1 gene:B456_008G077700 transcript:KJB48557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTRQQKSKPKPKKQQQQHPQVKKQGKQADISLDALGLKIIKVTADGNCFFRALADQLEGNEEEHGKYRSMVVQYIVMNREMFEPFIEDDVPFDEYCQSMEKDGTWAGHMELQAASLVTHRNICIHRNMSPRWYIKNFEDRAARMVHLSYHDEEHYNSVRLKEDPCNGPAMPIIIKADADISASSNQAKAAVSKMKGAAGKDCINTGSMKLVMAGSGCESAEKVEQVLLQVDGDVDAAIEFLIAEQGAEECTAANNSLTCHANGSYGNDENGNSEQYKEENVGKTCEQDPSSDGTKTLGGNTPQLDEKIPRNKLCPCGSKKKYKACCGSVSGRSSTKIMVNQPMEARKGRKERKQSNKGVSAKSAVYSGSDGGPPDMGALCI >KJB48562 pep chromosome:Graimondii2_0_v6:8:14446843:14451342:1 gene:B456_008G077700 transcript:KJB48562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTRQQKSKPKPKKQQQQHPQVKKQGKQADISLDALGLKIIKVTADVSRALADQLEGNEEEHGKYRSMVVQYIVMNREMFEPFIEDDVPFDEYCQSMEKDGTWAGHMELQAASLVTHRNICIHRNMSPRWYIKNFEDRAARMVHLSYHDEEHYNSVRLKEDPCNGPAMPIIIKADADISASSNQAKAAVSKMKGAAGKDCINTGSMKLVMAGSGCESAEKVEQVLLQVDGDVDAAIEFLIAEQGAEECTAANNSLTCHANGSYGNDENGNSEQYKEENVGKTCEQDPSSDGTKTLGGNTPQLDEKKIPRNKLCPCGSKKKYKACCGSVSGRSSTKIMVNQPMEARKGRKERKQSNKGVSAKSAVYSGSDGGPPDMGALCI >KJB48560 pep chromosome:Graimondii2_0_v6:8:14446769:14451342:1 gene:B456_008G077700 transcript:KJB48560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTRQQKSKPKPKKQQQQHPQVKKQGKQADISLDALGLKIIKVTADGNCFFRALADQLEGNEEEHGKYRSMVVQYIVMNREMFEPFIEDDVPFDEYCQSMEKDGTWAGHMELQAASLVTHRNICIHRNMSPRWYIKNFEDRAARMVHLSYHDEEHYNSVRLKEDPCNGPAMPIIIKADADISASSNQAKAAVSKMKGAAGKDCINTGSMKLVMAGSGCESAEKVEQVLLQVDGDVDAAIEFLIAEQGAEECTAANNSLTCHANGSYGNDENGNSEQYKEENVGKTCEQDPSSDGTKTLGGNTPQLDEKKIPRNKLCPCGSKKKYKACCGSVSGRSSTKIMVNQPMEARKGRKERKQSNKGVSAKSAVYSGSDGGPPDMGALCI >KJB48559 pep chromosome:Graimondii2_0_v6:8:14447565:14450733:1 gene:B456_008G077700 transcript:KJB48559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVFSVSRALADQLEGNEEEHGKYRSMVVQYIVMNREMFEPFIEDDVPFDEYCQSMEKDGTWAGHMELQAASLVTHRNICIHRNMSPRWYIKNFEDRAARMVHLSYHDEEHYNSVRLKEDPCNGPAMPIIIKADADISASSNQAKAAVSKMKGAAGKDCINTGSMKLVMAGSGCESAEKVEQVLLQVDGDVDAAIEFLIAEQGAEECTAANNSLTCHANGSYGNDENGNSEQYKEENVGKTCEQDPSSDGTKTLGGNTPQLDEKKIPRNKLCPCGSKKKYKACCGSVSGRSSTKIMVNQPMEARKGRKERKQSNKGVSAKSAVYSGSDGGPPDMGALCI >KJB48558 pep chromosome:Graimondii2_0_v6:8:14446843:14451342:1 gene:B456_008G077700 transcript:KJB48558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTRQQKSKPKPKKQQQQHPQVKKQGKQADISLDALGLKIIKVTADGNCFFRALADQLEGNEEEHGKYRSMVVQYIVMNREMFEPFIEDDVPFDEYCQSMEKDGTWAGHMELQAASLVTHRNICIHRNMSPRWYIKNFEDRAARMVHLSYHDEEHYNSVRLKEDPCNGPAMPIIIKADADISASSNQAKAAVSKMKGAAGKDCINTGSMKLVMAGSGCESAEKVEQVLLQVDGDVDAAIEFLIAEQGAEECTAANNSLTCHANGSYGNDENGNSEQYKEENVGKTCEQDPSSDGTKTLGGNTPQLDEKIPRNKLCPCGSKKKYKACCGSVSGRSSTKIMVNQPMEARKGRKERKQSNKGVSAKSAVYSGSDGGPPDMGALCI >KJB48555 pep chromosome:Graimondii2_0_v6:8:14446843:14451342:1 gene:B456_008G077700 transcript:KJB48555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTRQQKSKPKPKKQQQQHPQVKKQGKQADISLDALGLKIIKVTADGNCFFRALADQLEGNEEEHGKYRSMVVQYIVMNREMFEPFIEDDVPFDEYCQSMEKDGTWAGHMELQAASLVTHRNICIHRNMSPRWYIKNFEDRAARMVHLSYHDEEHYNSVRLKEDPCNGPAMPIIIKADADISASSNQAKAAVSKMKGAAGKDCINTGSMKLVMAGSGCESAEKVEQVLLQVDGDVDAAIEFLIAEQGAEECTAANNSLTCHANGSYGNDENGNSEQYKEENVGKTCEQDPSSDGTKTLGGNTPQLDEKKIPRNKLCPCGSKKKYKACCGSVSGRSSTKIMVNQPMEARKGRKERKQSNKGVSAKSAVYSGSDGGPPDMGALCI >KJB48556 pep chromosome:Graimondii2_0_v6:8:14447007:14450368:1 gene:B456_008G077700 transcript:KJB48556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTRQQKSKPKPKKQQQQHPQVKKQGKQADISLDALGLKIIKVTADGNCFFRALADQLEGNEEEHGKYRSMVVQYIVMNREMFEPFIEDDVPFDEYCQSMEKDGTWAGHMELQAASLVTHRNICIHRNMSPRWYIKNFEDRAARMVHLSYHDEEHYNSVRLKEDPCNGPAMPIIIKADADISASSNQAKAAVSKMKGAAGKDCINTGSMKLVMAGSGCESAEKVEQVLLQVDGDVDAAIEFLIAEQGAEECTAANNSLTCHANGSYGNDENGNSEQYKEENVGKTCEQDPSSDGTKTLGGNTPQLDEKKIPRNKLCPCGSKKKYKACCGSVSGRSSTKIMVYAT >KJB48561 pep chromosome:Graimondii2_0_v6:8:14447007:14450030:1 gene:B456_008G077700 transcript:KJB48561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTRQQKSKPKPKKQQQQHPQVKKQGKQADISLDALGLKIIKVTADGNCFFRALADQLEGNEEEHGKYRSMVVQYIVMNREMFEPFIEDDVPFDEYCQSMEKDGTWAGHMELQAASLVTHRNICIHRNMSPRWYIKNFEDRAARMVHLSYHDEEHYNSVRLKEDPCNGPAMPIIIKADADISASSNQAKAAVSKMKGAAGKDCINTGSMKLVMAGSGCESAEKVEQVLLQVDGDVDAAIEFLIAEQGAEECTAANNSLTCHANGSYGNGR >KJB50377 pep chromosome:Graimondii2_0_v6:8:43898865:43900888:-1 gene:B456_008G167700 transcript:KJB50377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLTSNDFQEGVDDEDAGRGLVSDENALQKHVAFFDRNNDGIIYPWETFQGFRAIGAGYLLSLASAFLINLGLSRKTRPGKAFSLETLMLGVEVKNIHMAKHGSDSGVYDSKGRFVSWKFEEIFAKFARTHSDALTSDELKAMLKANREPKDYRGWVASWTEWITLYNLCKDKEGLLKKDTIRGVYDGSLFQQMEREKLAAAGKKKE >KJB50378 pep chromosome:Graimondii2_0_v6:8:43898888:43900841:-1 gene:B456_008G167700 transcript:KJB50378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGYAGVDDEDAGRGLVSDENALQKHVAFFDRNNDGIIYPWETFQGFRAIGAGYLLSLASAFLINLGLSRKTRPGKAFSLETLMLGVEVKNIHMAKHGSDSGVYDSKGRFVSWKFEEIFAKFARTHSDALTSDELKAMLKANREPKDYRGWVASWTEWITLYNLCKDKEGLLKKDTIRGVYDGSLFQQMEREKLAAAGKKKE >KJB46965 pep chromosome:Graimondii2_0_v6:8:592294:594130:1 gene:B456_008G003900 transcript:KJB46965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNRSKSVTSKQALMATSPSQKNFIAQIPSFIAKKLNETEALNSPSSILDNKSSFHYDINHPKSPKLSSPVKNIEPKGIGLAIIDTHKSCKVLFGTELRVQIPLIPPDFGTKTKNLHTPSPPFTSPKTSVHVKDPPMVFNGCFPVKEIMELSEDYTCVISHGPNPKTTHIFHDCVVECCCSISNTQPKSAPSESNNFLSFCHTCKKNLRQKIDIYIYRGDKAFCSQQCRYQEMVLDGEGN >KJB53051 pep chromosome:Graimondii2_0_v6:8:56518553:56519275:-1 gene:B456_008G290600 transcript:KJB53051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAVSCAPSIISGGGAVKVVFPNGNIQMYTKSVKAADLMVENPGQFVCDSGGLKVGFRVHGLTADEELERRRLYFLLPMELLYSVLTEEEMSCLSCKADKALKHASFNIGKILPVFNELCIFPFEAKSPPQNAVNDGAKDSVVVRFSKQRSWKPALETIVES >KJB50361 pep chromosome:Graimondii2_0_v6:8:43565080:43566930:-1 gene:B456_008G166000 transcript:KJB50361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALRTSANAGTTIDAIKYAKSTLRPPKLLLGVKNSAFASSVAVLRFHSLVEFDEVKRSCRKRLDGHNRRRRKPQPDFMSVNSGRFPYNHQGTRYFPIGSSQMHSWMGGVKVESDMKLINFSGGNSLFPGFSSDNHHKGEKHFSFLQTTASSHPGSGSLCQPFFEASSSGDNSRKMFSDGLNQAIDSDRALSLLSSQPAETREIGLSPMVQPASASFVIPNLQFNDVGGMGGEPVGTSILDADGGGNNSHLQGHEMFQNEHLGSSATGAHHTLSFSWE >KJB50359 pep chromosome:Graimondii2_0_v6:8:43565080:43568781:-1 gene:B456_008G166000 transcript:KJB50359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSASFKRARAPATGNQLPSCLVDGCTADLSKCRDYHRRHKVCEVHSKTPKVTIRGQEQRFCQQCSRFHSLVEFDEVKRSCRKRLDGHNRRRRKPQPDFMSVNSGRFPYNHQGTRYFPIGSSQMHSWMGGVKVESDMKLINFSGGNSLFPGFSSDNHHKGEKHFSFLQTTASSHPGSGSLCQPFFEASSSGDNSRKMFSDGLNQAIDSDRALSLLSSQPAETREIGLSPMVQPASASFVIPNLQFNDVGGMGGEPVGTSILDADGGGNNSHLQGHEMFQNEHLGSSATGAHHTLSFSWE >KJB50360 pep chromosome:Graimondii2_0_v6:8:43565021:43567283:-1 gene:B456_008G166000 transcript:KJB50360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSASFKRARAPATGNQLPSCLVDGCTADLSKCRDYHRRHKVCEVHSKTPKVTIRGQEQRFCQQCSRFHSLVEFDEVKRSCRKRLDGHNRRRRKPQPDFMSVNSGRFPYNHQGTRYFPIGSSQMHSWMGGVKVESDMKLINFSGGNSLFPGFSSDNHHKGEKHFSFLQTTASSHPGSGSLCQPFFEASSSGDNSRKMFSDGLNQAIDSDRALSLLSSQPAETREIGLSPMVQPASASFVIPNLQFNDVGGMGGEPVGTSILDADGGGNNSHLQGHEMFQNEHLGSSATGAHHTLSFSWE >KJB50362 pep chromosome:Graimondii2_0_v6:8:43565040:43568835:-1 gene:B456_008G166000 transcript:KJB50362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSASFKRARAPATGNQLPSCLVDGCTADLSKCRDYHRRHKVCEVHSKTPKVTIRGQEQRFCQQCSRFHSLVEFDEVKRSCRKRLDGHNRRRRKPQPDFMSVNSGRFPYNHQGTRYFPIGSSQMHSWMGGVKVESDMKLINFSGGNSLFPGFSSDNHHKGEKHFSFLQTTASSHPGSGSLCQPFFEASSSGDNSRKMFSDGLNQAIDSDRALSLLSSQPAETREIGLSPMVQPASASFVIPNLQFNDVGGMGGEPVGTSILDADGGGNNSHLQGHEMFQNEHLGSSATGAHHTLSFSWE >KJB50358 pep chromosome:Graimondii2_0_v6:8:43565021:43567284:-1 gene:B456_008G166000 transcript:KJB50358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSASFKRARAPATGNQLPSCLVDGCTADLSKCRDYHRRHKVCEVHSKTPKVTIRGQEQRFCQQCSRFHSLVEFDEVKRSCRKRLDGHNRRRRKPQPDFMSVNSGRFPYNHQGTRYFPIGSSQMHSWMGGVKVESDMKLINFSGGNSLFPGFSSDNHHKGEKHFSFLQTTASSHPGSGSLCQPFFEASSSGDNSRKMFSDGLNQAIDSDRALSLLSSQPAETREIGLSPMVQPASASFVIPNLQFNDVGGMGGEPVGTSILDADGGGNNSHLQGHEMFQNEHLGSSATGAHHTLSFSWE >KJB52365 pep chromosome:Graimondii2_0_v6:8:53942959:53944382:-1 gene:B456_008G258200 transcript:KJB52365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSADYYDFRSGDQSLLKIKAFFVRFSGFDSCADPLSDSLTLLFPPRINDTARLEISGSIIRSGSPAFVTLHRLVKLKTRDGEAIYGSRERVRAGDRVRFEVYSREEKVLNGVLRREEEKWKMECKCALESGNTEMIGGKAAVADVCVAVEGDLAMGERVEMVVRKCRKNRRVGFDQLEDIPEEREGESERDGGFCCSCGEADGGGEEVDIEAAGVSWAFDVGIWIMCFGVGYFVSKATAKSLRRMRLL >KJB47239 pep chromosome:Graimondii2_0_v6:8:1954357:1960305:1 gene:B456_008G017200 transcript:KJB47239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQVIERRRLQSERIDGLEGAPSVELQLESATHSVLSKEIAEKTQELRQLRGEDLHGLNLDQLKQLEKLVQGGLSQISETKDERFLKEISTLEKKGAELKEENLILKQQVEKLPLVVKGQPSEPFPHLHKSGDPPPPPQGYNTSDISLTLGLPFPS >KJB47238 pep chromosome:Graimondii2_0_v6:8:1954250:1960305:1 gene:B456_008G017200 transcript:KJB47238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQRIEIKKIANTAARQVTFSKRRRGLFKKAHELSTLCDAEIALIVFSATGKLFKYSSTSMRQVIERRRLQSERIDGLEGAPSVELQLESATHSVLSKEIAEKTQELRQLRGEDLHGLNLDQLKQLEKLVQGGLSQISETKDERFLKEISTLEKKN >KJB47236 pep chromosome:Graimondii2_0_v6:8:1954095:1960323:1 gene:B456_008G017200 transcript:KJB47236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQRIEIKKIANTAARQVTFSKRRRGLFKKAHELSTLCDAEIALIVFSATGKLFKYSSTSMRQVIERRRLQSERIDGLEGAPSVELQLESATHSVLSKEIAEKTQELRQLRGEDLHGLNLDQLKQLEKLVQGGLSQISETKGAELKEENLILKQQVEKLPLVVKGQPSEPFPHLHKSGDPPPPPQGYNTSDISLTLGLPFPS >KJB47234 pep chromosome:Graimondii2_0_v6:8:1953878:1960594:1 gene:B456_008G017200 transcript:KJB47234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQRIEIKKIANTAARQVTFSKRRRGLFKKAHELSTLCDAEIALIVFSATGKLFKYSSTSMRQVIERRRLQSERIDGLEGAPSVELQLESATHSVLSKEIAEKTQELRQLRGEDLHGLNLDQLKQLEKLVQGGLSQISETKGAELKEENLILKQQVEKLPLVVKGQPSEPFPHLHKSGDPPPPPQGYNTSDISLTLGLPFPS >KJB47235 pep chromosome:Graimondii2_0_v6:8:1954357:1960305:1 gene:B456_008G017200 transcript:KJB47235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQRIEIKKIANTAARQVTFSKRRRGLFKKAHELSTLCDAEIALIVFSATGKLFKYSSTSMRQVIERRRLQSERIDGLEGAPSVELQLESATHSVLSKEIAEKTQELRQLRGEDLHGLNLDQLKQLEKLVQGGLSQISETKDERFLKEISTLEKKGAELKEENLILKQQVEKLPLVVKGQPSEPFPHLHKSGDPPPPPQGYNTSDISLTLGLPFPS >KJB47240 pep chromosome:Graimondii2_0_v6:8:1954095:1960323:1 gene:B456_008G017200 transcript:KJB47240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQRIEIKKIANTAARQVTFSKRRRGLFKKAHELSTLCDAEIALIVFSATGKLFKYSSTSMRQVIERRRLQSERIDGLEGAPSVELQLESATHSVLSKEIAEKTQELRQLRGEDLHGLNLDQLKQLEKLVQGGLSQISETKDERFLKEISTLEKKGAELKEENLILKQQVEKLPLVVKGQPSEPFPHLHKSGDPPPPPQGYNTSDISLTLGLPFPS >KJB47237 pep chromosome:Graimondii2_0_v6:8:1953878:1960594:1 gene:B456_008G017200 transcript:KJB47237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQRIEIKKIANTAARQVTFSKRRRGLFKKAHELSTLCDAEIALIVFSATGKLFKYSSTSMRQVIERRRLQSERIDGLEGAPSVELQLESATHSVLSKEIAEKTQELRQLRGEDLHGLNLDQLKQLEKLVQGGLSQISETKDERFLKEISTLEKKGAELKEENLILKQQVEKLPLVVKGQPSEPFPHLHKSGDPPPPPQGYNTSDISLTLGLPFPS >KJB46760 pep chromosome:Graimondii2_0_v6:8:49166887:49169107:-1 gene:B456_008G206600 transcript:KJB46760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALDNVVDPLRDFAKDSVRLVKRCHKPDQFTKVAFRTAIGFVVMGFVGFFVKLIFIPINNIIVGSA >KJB46759 pep chromosome:Graimondii2_0_v6:8:49166456:49169107:-1 gene:B456_008G206600 transcript:KJB46759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALDNVVDPLRDFAKDSVRLVKRCHKPDQFTKVAFRTAIGFVVMGFVGFFVKLIFIPINNIIVGSA >KJB51998 pep chromosome:Graimondii2_0_v6:8:54007114:54009425:1 gene:B456_008G258800 transcript:KJB51998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED10 MDSSQASTLGTSGSGGNGLVSTQTTETANATPNDDPKQNLNQVINSIQKTLGLLHQLYLTVSSFNAASQLPLLQRLNSLVTELDNMAKLSEKCNIQVPMEVLNLIDDGKNPDEFTRDVLNGCIAKNQVTKGKTDAFKGLRKHLLEELEQAFPDEVESYREIRASAAAVS >KJB52000 pep chromosome:Graimondii2_0_v6:8:54007114:54008468:1 gene:B456_008G258800 transcript:KJB52000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED10 MDSSQASTLGTSGSGGNGLVSTQTTETANATPNDDPKQNLNQVINSIQKTLGLLHQLYLTVSSFNAASQLPLLQRLNSLVTELDNMAKLSEKCNIQVPMEVLNLIDDGKNPDEFTRDVLNGCIAKNQVTKGKTDAFKGLRKHLLEELEQAFPDEVESYREIRASAAAVS >KJB51999 pep chromosome:Graimondii2_0_v6:8:54007114:54009425:1 gene:B456_008G258800 transcript:KJB51999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED10 MDSSQASTLGTSGSGGNGLVSTQTTETANATPNDDPKQNLNQVINSIQKTLGLLHQLYLTVSSFNAASQLPLLQRLNSLVTELDNMAKLSEKCNIQVPMEVLKWVGFPFIVINIIFVLCLILLVLFSS >KJB51996 pep chromosome:Graimondii2_0_v6:8:54007004:54009425:1 gene:B456_008G258800 transcript:KJB51996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED10 MDSSQASTLGTSGSGGNGLVSTQTTETANATPNDDPKQNLNQVINSIQKTLGLLHQLYLTVSSFNAASQLPLLQRLNSLVTELDNMAKLSEKCNIQVPMEVLNLIDDGKNPDEFTRDVLNGCIAKNQVTKGKTDAFKGLRKHLLEELEQAFPDEVESYREIRASAAAEYKRLAQSQSILQNGDVKVKTEL >KJB51997 pep chromosome:Graimondii2_0_v6:8:54007099:54009425:1 gene:B456_008G258800 transcript:KJB51997 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED10 MDSSQASTLGTSGSGGNGLVSTQTTETANATPNDDPKQNLNQVINSIQKTLGLLHQLYLTVSSFNAASQLPLLQRLNSLVTELDNMAKLSEKCNIQVPMEVLNLIDDGKNPDEFTRDVLNGCIAKNQVTKGKTDAFKGLRKHLLEELEQAFPDEVESYREIRASAAAEYKRLAQSQSILQNGDVKVKTEL >KJB50476 pep chromosome:Graimondii2_0_v6:8:44866846:44871436:-1 gene:B456_008G173300 transcript:KJB50476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVLLISRFITRSLILLSLWFLCFPPGVVCDTGNVSASSNSSSKPKVINIGALFTLNSVLGEAANRAIQAAVDDVNSDPTILNGVQLKLLISDTNCSGFIGTMEALQLMESDVVVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSMQYPYFLRTTPSDHFQMYAIADIVDYYGWREVIAIFVDDDYGRSGISVLGDALAKKRAKISYKAAFSPGDTESKINDLLVEVNLMESRVYVVHVNPDTGLNIFSVANALNMMGSGYVWIATDWLPSYLDSKDAVDSNTMNILQGVIALRHYTPDTDLKKSFMSKWNNLKYKGSAGHAGFNSYALYAYDSVWLAAHALDVFLNEGGNLSFSYDPKLHDTNGSMLHLASLRVFNGGEQLLQTLLRMNFTGVSGQIQFDPDKHLVHPAYDVLNIVGTGTRRIGYWSNYSHLSVVPPETLYTKPPNISTGSQHLYSVIWPGDTTSTPRGWVFPNNGQPLRIAVPNRVGYKEFASKDKSPQGVRGYCIDVFEAAISLLPYAVPRTYMLYGDGKRNPSYNELVSRVAQNVYDAAVGDITIVTNRTKIVDFTQPYMESGLVVVALVKEAKSNPWAFLKPFTAEMWFVTALFFLFVGAVVWILEHRINSEFRGPPRRQLITVCWFSFSTMFFSHRENTVSSLGRMLTSGIQGIDSLISSTEPIGIQDGSFAFNYLVDELNIAQSRIVKLKNPEAYLRALKLGPKKGGVAAIVDELPYVELFLSNTNCLYRIVGPEFTKSGWGFAFQRDSPLAVDMSTAILQLSENGDLQKIHNKWLTHSECSSQVNQVDENQLSLNSFWGLFLICGIACVLSLTIFCCRVFTQYRRFSPEDEESEIETIEPSRSSRRSIRSTSFKQIIDFVDKKEEEIKEMLKRKNSNSNKQQTSIHSFSDGQASSPS >KJB50474 pep chromosome:Graimondii2_0_v6:8:44867476:44870950:-1 gene:B456_008G173300 transcript:KJB50474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVLLISRFITRSLILLSLWFLCFPPGVVCDTGNVSASSNSSSKPKVINIGALFTLNSVLGEAANRAIQAAVDDVNSDPTILNGVQLKLLISDTNCSGFIGTMEALQLMESDVVVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSMQYPYFLRTTPSDHFQMYAIADIVDYYGWREVIAIFVDDDYGRSGISVLGDALAKKRAKISYKAAFSPGDTESKINDLLVEVNLMESRVYVVHVNPDTGLNIFSVANALNMMGSGYVWIATDWLPSYLDSKDAVDSNTMNILQGVIALRHYTPDTDLKKSFMSKWNNLKYKGSAGHAGFNSYALYAYDSVWLAAHALDVFLNEGGNLSFSYDPKLHDTNGSMLHLASLRVFNGGEQLLQTLLRMNFTGVSGQIQFDPDKHLVHPAYDVLNIVGTGTRRIGYWSNYSHLSVVPPETLYTKPPNISTGSQHLYSVIWPGDTTSTPRGWVFPNNGQPLRIAVPNRVGYKEFASKDKSPQGVRGYCIDVFEAAISLLPYAVPRTYMLYGDGKRNPSYNELVSRVAQNVYDAAVGDITIVTNRTKIVDFTQPYMESGLVVVALVKEAKSNPWAFLKPFTAEMWFVTALFFLFVGAVVWILEHRINSEFRGPPRRQLITVCWFSFSTMFFSHRENTVSSLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLTSGIQGIDSLISSTEPIGIQDGSFAFNYLVDELNIAQSRIVKLKNPEAYLRALKLGPKKGGVAAIVDELPYVELFLSNTNCLYRIVGPEFTKSGWGFLSLSFMVSGFPKGLPSCS >KJB50477 pep chromosome:Graimondii2_0_v6:8:44866883:44870950:-1 gene:B456_008G173300 transcript:KJB50477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVLLISRFITRSLILLSLWFLCFPPGVVCDTGNVSASSNSSSKPKVINIGALFTLNSVLGEAANRAIQAAVDDVNSDPTILNGVQLKLLISDTNCSGFIGTMEALQLMESDVVVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSMQYPYFLRTTPSDHFQMYAIADIVDYYGWREVIAIFVDDDYGRSGISVLGDALAKKRAKISYKAAFSPGDTESKINDLLVEVNLMESRVYVVHVNPDTGLNIFSVANALNMMGSGYVWIATDWLPSYLDSKDAVDSNTMNILQGVIALRHYTPDTDLKKSFMSKWNNLKYKGSAGHAGFNSYALYAYDSVWLAAHALDVFLNEGGNLSFSYDPKLHDTNGSMLHLASLRVFNGGEQLLQTLLRMNFTGVSGQIQFDPDKHLVHPAYDVLNIVGTGTRRIGYWSNYSHLSVVPPETLYTKPPNISTGSQHLYSVIWPGDTTSTPRGWVFPNNGQPLRIAVPNRVGYKEFASKDKSPQGVRGYCIDVFEAAISLLPYAVPRTYMLYGDGKRNPSYNELVSRVAQNVYDAAVGDITIVTNRTKIVDFTQPYMESGLVVVALVKEAKSNPWAFLKPFTAEMWFVTALFFLFVGAVVWILEHRINSEFRGPPRRQLITVCWLVYLTH >KJB50471 pep chromosome:Graimondii2_0_v6:8:44866822:44871436:-1 gene:B456_008G173300 transcript:KJB50471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVLLISRFITRSLILLSLWFLCFPPGVVCDTGNVSASSNSSSKPKVINIGALFTLNSVLGEAANRAIQAAVDDVNSDPTILNGVQLKLLISDTNCSGFIGTMEALQLMESDVVVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSMQYPYFLRTTPSDHFQMYAIADIVDYYGWREVIAIFVDDDYGRSGISVLGDALAKKRAKISYKAAFSPGDTESKINDLLVEVNLMESRVYVVHVNPDTGLNIFSVANALNMMGSGYVWIATDWLPSYLDSKDAVDSNTMNILQGVIALRHYTPDTDLKKSFMSKWNNLKYKGSAGHAGFNSYALYAYDSVWLAAHALDVFLNEGGNLSFSYDPKLHDTNGSMLHLASLRVFNGGEQLLQTLLRMNFTGVSGQIQFDPDKHLVHPAYDVLNIVGTGTRRIGYWSNYSHLSVVPPETLYTKPPNISTGSQHLYSVIWPGDTTSTPRGWVFPNNGQPLRIAVPNRVGYKEFASKDKSPQGVRGYCIDVFEAAISLLPYAVPRTYMLYGDGKRNPSYNELVSRVAQNVYDAAVGDITIVTNRTKIVDFTQPYMESGLVVVALVKEAKSNPWAFLKPFTAEMWFVTALFFLFVGAVVWILEHRINSEFRGPPRRQLITVCWFSFSTMFFSHRENTVSSLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLTSGIQGIDSLISSTEPIGIQDGSFAFNYLVDELNIAQSRIVKLKNPEAYLRALKLGPKKGGVAAIVDELPYVELFLSNTNCLYRIVGPEFTKSGWGFAFQRDSPLAVDMSTAILQLSENGDLQKIHNKWLTHSECSSQVNQVDENQLSLNSFWGLFLICGIACVLSLTIFCCRVFTQYRRFSPEDEESEIETIEPSRSSRRSIRSTSFKQIIDFVDKKEEEIKEMLKRKNSNSNKQQTSIHSFSDGQASSPS >KJB50473 pep chromosome:Graimondii2_0_v6:8:44866844:44871766:-1 gene:B456_008G173300 transcript:KJB50473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQLMESDVVVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSMQYPYFLRTTPSDHFQMYAIADIVDYYGWREVIAIFVDDDYGRSGISVLGDALAKKRAKISYKAAFSPGDTESKINDLLVEVNLMESRVYVVHVNPDTGLNIFSVANALNMMGSGYVWIATDWLPSYLDSKDAVDSNTMNILQGVIALRHYTPDTDLKKSFMSKWNNLKYKGSAGHAGFNSYALYAYDSVWLAAHALDVFLNEGGNLSFSYDPKLHDTNGSMLHLASLRVFNGGEQLLQTLLRMNFTGVSGQIQFDPDKHLVHPAYDVLNIVGTGTRRIGYWSNYSHLSVVPPETLYTKPPNISTGSQHLYSVIWPGDTTSTPRGWVFPNNGQPLRIAVPNRVGYKEFASKDKSPQGVRGYCIDVFEAAISLLPYAVPRTYMLYGDGKRNPSYNELVSRVAQNVYDAAVGDITIVTNRTKIVDFTQPYMESGLVVVALVKEAKSNPWAFLKPFTAEMWFVTALFFLFVGAVVWILEHRINSEFRGPPRRQLITVCWFSFSTMFFSHRENTVSSLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLTSGIQGIDSLISSTEPIGIQDGSFAFNYLVDELNIAQSRIVKLKNPEAYLRALKLGPKKGGVAAIVDELPYVELFLSNTNCLYRIVGPEFTKSGWGFLSLSFMVSGFPKGLPSCS >KJB50478 pep chromosome:Graimondii2_0_v6:8:44867578:44870950:-1 gene:B456_008G173300 transcript:KJB50478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVLLISRFITRSLILLSLWFLCFPPGVVCDTGNVSASSNSSSKPKVINIGALFTLNSVLGEAANRAIQAAVDDVNSDPTILNGVQLKLLISDTNCSGFIGTMEALQLMESDVVVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSMQYPYFLRTTPSDHFQMYAIADIVDYYGWREVIAIFVDDDYGRSGISVLGDALAKKRAKISYKAAFSPGDTESKINDLLVEVNLMESRVYVVHVNPDTGLNIFSVANALNMMGSGYVWIATDWLPSYLDSKDAVDSNTMNILQGVIALRHYTPDTDLKKSFMSKWNNLKYKGSAGHAGFNSYALYAYDSVWLAAHALDVFLNEGGNLSFSYDPKLHDTNGSMLHLASLRVFNGGEQLLQTLLRMNFTGVSGQIQFDPDKHLVHPAYDVLNIVGTGTRRIGYWSNYSHLSVVPPETLYTKPPNISTGSQHLYSVIWPGDTTSTPRGWVFPNNGQPLRIAVPNRVGYKEFASKDKSPQGVRGYCIDVFEAAISLLPYAVPRTYMLYGDGKRNPSYNELVSRVAQNVYDAAVGDITIVTNRTKIVDFTQPYMESGLVVVALVKEAKSNPWAFLKPFTAEMWFVTALFFLFVGAVVWILEHRINSEFRGPPRRQLITVCWFSFSTMFFSHRENTVSSLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLTSGIQGIDSLISSTEPIGIQDGSFAFNYLVDELNIAQSRIVKLKNPEAYLRALKLGPKKGGVAAIVDELPYVELFLSNTNCLYRIVGPEFTKSGWGFVSINIKLPTVIL >KJB50472 pep chromosome:Graimondii2_0_v6:8:44866822:44871766:-1 gene:B456_008G173300 transcript:KJB50472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQLMESDVVVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSMQYPYFLRTTPSDHFQMYAIADIVDYYGWREVIAIFVDDDYGRSGISVLGDALAKKRAKISYKAAFSPGDTESKINDLLVEVNLMESRVYVVHVNPDTGLNIFSVANALNMMGSGYVWIATDWLPSYLDSKDAVDSNTMNILQGVIALRHYTPDTDLKKSFMSKWNNLKYKGSAGHAGFNSYALYAYDSVWLAAHALDVFLNEGGNLSFSYDPKLHDTNGSMLHLASLRVFNGGEQLLQTLLRMNFTGVSGQIQFDPDKHLVHPAYDVLNIVGTGTRRIGYWSNYSHLSVVPPETLYTKPPNISTGSQHLYSVIWPGDTTSTPRGWVFPNNGQPLRIAVPNRVGYKEFASKDKSPQGVRGYCIDVFEAAISLLPYAVPRTYMLYGDGKRNPSYNELVSRVAQNVYDAAVGDITIVTNRTKIVDFTQPYMESGLVVVALVKEAKSNPWAFLKPFTAEMWFVTALFFLFVGAVVWILEHRINSEFRGPPRRQLITVCWFSFSTMFFSHRENTVSSLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLTSGIQGIDSLISSTEPIGIQDGSFAFNYLVDELNIAQSRIVKLKNPEAYLRALKLGPKKGGVAAIVDELPYVELFLSNTNCLYRIVGPEFTKSGWGFAFQRDSPLAVDMSTAILQLSENGDLQKIHNKWLTHSECSSQVNQVDENQLSLNSFWGLFLICGIACVLSLTIFCCRVFTQYRRFSPEDEESEIETIEPSRSSRRSIRSTSFKQIIDFVDKKEEEIKEMLKRKNSNSNKQQTSIHSFSDGQASSPS >KJB50475 pep chromosome:Graimondii2_0_v6:8:44866883:44870950:-1 gene:B456_008G173300 transcript:KJB50475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVLLISRFITRSLILLSLWFLCFPPGVVCDTGNVSASSNSSSKPKVINIGALFTLNSVLGEAANRAIQAAVDDVNSDPTILNGVQLKLLISDTNCSGFIGTMEALQLMESDVVVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSMQYPYFLRTTPSDHFQMYAIADIVDYYGWREVIAIFVDDDYGRSGISVLGDALAKKRAKISYKAAFSPGDTESKINDLLVEVNLMESRVYVVHVNPDTGLNIFSVANALNMMGSGYVWIATDWLPSYLDSKDAVDSNTMNILQGVIALRHYTPDTDLKKSFMSKWNNLKYKGSAGHAGFNSYALYAYDSVWLAAHALDVFLNEGGNLSFSYDPKLHDTNGSMLHLASLRVFNGGEQLLQTLLRMNFTGVSGQIQFDPDKHLVHPAYDVLNIVGTGTRRIGYWSNYSHLSVVPPETLYTKPPNISTGSQHLYSVIWPGDTTSTPRGWVFPNNGQPLRIAVPNRVGYKEFASKDKSPQGVRGYCIDVFEAAISLLPYAVPRTYMLYGDGKRNPSYNELVSRVAQNVYDAAVGDITIVTNRTKIVDFTQPYMESGLVVVALVKEAKSNPWAFLKPFTAEMWFVTALFFLFVGAVVWILEHRINSEFRGPPRRQLITVCWFSFSTMFFSHRENTLTSGIQGIDSLISSTEPIGIQDGSFAFNYLVDELNIAQSRIVKLKNPEAYLRALKLGPKKGGVAAIVDELPYVELFLSNTNCLYRIVGPEFTKSGWGFAFQRDSPLAVDMSTAILQLSENGDLQKIHNKWLTHSECSSQVNQVDENQLSLNSFWGLFLICGIACVLSLTIFCCRVFTQYRRFSPEDEESEIETIEPSRSSRRSIRSTSFKQIIDFVDKKEEEIKEMLKRKNSNSNKQQTSIHSFSDGQASSPS >KJB48932 pep chromosome:Graimondii2_0_v6:8:24949632:24957226:1 gene:B456_008G094400 transcript:KJB48932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNYQPRQQAPFIPDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGRYSFNRRSLHAIGNSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKYVFSFYPDHRPCHGFEEALARYKEIVPHIKLAGPTSFAPIINASIDIVEGSNGQYHVLVIIADGQVTRNPDVPRGRLSPQEQETVDSIVAASHYPLSIILIGVGDGPWDSMQQFDDNIPHRAFDNFQFVDFTKIMSENTETSKKEAAFALAALMEIPYQYRATLSISFDNRELERGLARPLPPPREVIEHDNSIRSIPHMTNYETVQPTAPAQPVISSAAEPVCAICLVNPKDMAFGCGHMTCKDCGVTISSCPMCRQPITTRLRLYT >KJB48936 pep chromosome:Graimondii2_0_v6:8:24949661:24957111:1 gene:B456_008G094400 transcript:KJB48936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTESGYDDSLQTDFWHQPSYEPSSLAGSSMDRNYQPRQQAPFIPDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGRYSFNRRSLHAIGNSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKYVFSFYPDHRPCHGFEEALARYKEIVPHIKLAGPTSFAPIINASIDIVEGSNGQYHVLVIIADGQVTRNPDVPRGRLSPQEQETVDSIVAASHYPLSIILIGVGDGPWDSMQQFDDNIPHRAFDNFQFVDFTKIMSENTETSKKEAAFALAALMEIPYQYRATLSISFDNRELERGLARPLPPPREVIEHDNSIRSIPHMTNYETVQPTAPAQPVISSAAEPVCAICLVNPKDMAFGCGHMTCKDCGVTISSCPMCRQPITTRLRLYT >KJB48938 pep chromosome:Graimondii2_0_v6:8:24949661:24957111:1 gene:B456_008G094400 transcript:KJB48938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTESGYDDSLQTDFWHQPSYEPSSLAGSSMDRNYQPRQQAPFIPDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGRYSFNRRSLHAIGNSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKYVFSFYPDHRPCHGFEEALARYKEIVPHIKLAGPTSFAPIINASIDIVEGSNGQYHVLVIIADGQVTRNPDVPRGRLSPQEQETVDSIVAASHYPLSIILIGVGDGPWDSMQQFDDNIPHRAFDNFQFVDFTKIMSENTETSKKEAAFALAALMEIPYQYRATLSISFDKNILSN >KJB48940 pep chromosome:Graimondii2_0_v6:8:24949661:24957111:1 gene:B456_008G094400 transcript:KJB48940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNYQPRQQAPFIPDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGRYSFNRRSLHAIGNSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKYVFSFYPDHRPCHGFEEALARYKEIVPHIKLAGPTSFAPIINASIDIVEGSNGQYHVLVIIADGQVTRNPDVPRGRLSPQEQETVDSIVAASHYPLSIILIGVGDGPWDSMQQFDDNIPHRAFDNFQFVDFTKIMSENTETSKKEAAFALAALMEIPYQYRATLSISFDNRELERGLARPLPPPREVIEHDNSIRSIPHMTNYETVQPTAPAQPVISSAAEPVCAICLVNPKDMAFGCGHMTCKDCGVTISSCPMCRQPITTRLRLYT >KJB48934 pep chromosome:Graimondii2_0_v6:8:24949661:24957111:1 gene:B456_008G094400 transcript:KJB48934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTESGYDDSLQTDFWHQPSYEPSSLAGSSMDRNYQPRQQAPFIPDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGRYSFNRRSLHAIGNSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKYVFSFYPDHRPCHGFEEALARYKEIVPHIKLAGPTSFAPIINASIDIVEGSNGQYHVLVIIADGQVTRNPDVPRGRLSPQEQETVDSIVAASHYPLSIILIGVGDGPWDSMQQFDDNIPHRAFDNFQFVDFTKIMSENTETSKKEAAFALAALMEIPYQYRATLSISFDNRELERGLARPLPPPREVIEHDNSIRSIPHMTNYETVQPTAPAQPVISSAAEPVCAICLVNPKDMAFGCGHMTCKDCGVTISSCPMCRQPITTRLRLYT >KJB48939 pep chromosome:Graimondii2_0_v6:8:24950057:24957226:1 gene:B456_008G094400 transcript:KJB48939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNYQPRQQAPFIPDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGRYSFNRRSLHAIGNSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKYVFSFYPDHRPCHGFEEALARYKEIVPHIKLAGPTSFAPIINASIDIVEGSNGQYHVLVIIADGQVTRNPDVPRGRLSPQEQETVDSIVAASHYPLSIILIGVGDGPWDSMQQFDDNIPHRAFDNFQFVDFTKIMSENTETSKKEAAFALAALMEIPYQYRATLSISFDKELERGLARPLPPPREVIEHDNSIRSIPHMTNYETVQPTAPAQPVISSAAEPVCAICLVNPKDMAFGCGHMTCKDCGVTISSCPMCRQPITTRLRLYT >KJB48933 pep chromosome:Graimondii2_0_v6:8:24949639:24957111:1 gene:B456_008G094400 transcript:KJB48933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNYQPRQQAPFIPDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGRYSFNRRSLHAIGNSPNPYEQAISIIASTHDKYVFSFYPDHRPCHGFEEALARYKEIVPHIKLAGPTSFAPIINASIDIVEGSNGQYHVLVIIADGQVTRNPDVPRGRLSPQEQETVDSIVAASHYPLSIILIGVGDGPWDSMQQFDDNIPHRAFDNFQFVDFTKIMSENTETSKKEAAFALAALMEIPYQYRATLSISFDNRELERGLARPLPPPREVIEHDNSIRSIPHMTNYETVQPTAPAQPVISSAAEPVCAICLVNPKDMAFGCGHMTCKDCGVTISSCPMCRQPITTRLRLYT >KJB48935 pep chromosome:Graimondii2_0_v6:8:24949661:24957111:1 gene:B456_008G094400 transcript:KJB48935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTESGYDDSLQTDFWHQPSYEPSSLAGSSMDRNYQPRQQAPFIPDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGRYSFNRRSLHAIGNSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKYVFSFYPDHRPCHGFEEALARYKEIVPHIKLAGPTSFAPIINASIDIVEGSNGQYHVLVIIADGQVTRNPDVPRGRLSPQEQETVDSIVAASHYPLSIILIGVGDGPWDSMQQFDDNIPHRAFDNFQFVDFTKIMSENTETSKKEAAFALAALMEIPYQYRATLSISFDKELERGLARPLPPPREVIEHDNSIRSIPHMTNYETVQPTAPAQPVISSAAEPVCAICLVNPKDMAFGCGHMTCKDCGVTISSCPMCRQPITTRLRLYT >KJB48941 pep chromosome:Graimondii2_0_v6:8:24950057:24957226:1 gene:B456_008G094400 transcript:KJB48941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNYQPRQQAPFIPDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGRYSFNRRSLHAIGNSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKYVFSFYPDHRPCHGFEEALARYKEIVPHIKLAGPTSFAPIINASIDIVEGSNGQYHVLVIIADGQVTRNPDVPRGRLSPQEQETVDSIVAASHYPLSIILIGVGDGPWDSMQQFDDNIPHRAFDNFQFVDFTKIMSENTETSKKEAAFALAALMEIPYQYRATLSISFDNRELERGLARPLPPPREVIEHDNSIRSIPHMTNYETVQPTAPAQPVISSAAEPVCAICLVNPKDMAFGCGHMTCKDCGVTISSCPMCRQPITTRLRLYT >KJB48937 pep chromosome:Graimondii2_0_v6:8:24950879:24957111:1 gene:B456_008G094400 transcript:KJB48937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNYQPRQQAPFIPDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGRYSFNRRSLHAIGNSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKYVFSFYPDHRPCHGFEEALARYKEIVPHIKLAGPTSFAPIINASIDIVEGSNGQYHVLVIIADGQVTRNPDVPRGRLSPQEQETVDSIVAASHYPLSIILIGVGDGPWDSMQQFDDNIPHRAFDNFQFVDFTKIMSENTETSKKEAAFALAALMEIPYQYRATLSISFDNRELERGLARPLPPPREVIEHDNSIRSIPHMTNYETVQPTAPAQPVISSAAEPVCAICLVNPKDMAFGCGHMTCKDCGVTISSCPMCRQPITTRLRLYT >KJB48931 pep chromosome:Graimondii2_0_v6:8:24949632:24957226:1 gene:B456_008G094400 transcript:KJB48931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNYQPRQQAPFIPDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGRYSFNRRSLHAIGNSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKYVFSFYPDHRPCHGFEEALARYKEIVPHIKLAGPTSFAPIINASIDIVEGSNGQYHVLVIIADGQVTRNPDVPRGRLSPQEQETVDSIVAASHYPLSIILIGVGDGPWDSMQQFDDNIPHRAFDNFQFVDFTKIMSENTETSKKEAAFALAALMEIPYQYRATLSISFDKELERGLARPLPPPREVIEHDNSIRSIPHMTNYETVQPTAPAQPVISSAAEPVCAICLVNPKDMAFGCGHMTCKDCGVTISSCPMCRQPITTRLRLYT >KJB50531 pep chromosome:Graimondii2_0_v6:8:45299319:45304476:-1 gene:B456_008G176600 transcript:KJB50531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPRFLLSSVSPTSSRLQDSSFEVENGSSGIKFWEELSCGIYSMSVDVKKSDGSFHSEIKKHEWTNAMLKELIKWERVSIEPKPAELNFSHSRTSSAELDINSSSSVLAQNVLTALRESMLRRISLYNIYQALKETVPVAVLFSGGLDSMIIAALLDQCLDPNYEIDLLNVSFDGESAPDRVSAKIGLKELRRVAPLRRWRLVHIDADLSKLTWETKRVLSLINPANTYMDLNIGIALWLAARGEGWICKESNNETDEDKRVNYTSRARILLVGSGADEQCAGYGRHKTKYRHDSWLGLHKEMKLDMQRIWKRNLGRDDRCIADTGKEISVLR >KJB50533 pep chromosome:Graimondii2_0_v6:8:45299376:45304415:-1 gene:B456_008G176600 transcript:KJB50533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIALLVSGIRICLSSLNLDSISSSSKSHLVFSVDDLKAALQRRGPDSLGTMKLLLPLHSNSSNSTNYRVSSFNEESHVLDNGSDMPESFAEFVFLGATLQLRGVSPVCQPLMDSSRNVLVYNGEIFGGIEVGIHKNDTESLMQSLGNCCSCRSQEHRTTCDFNGQAKGSIVDVLSVIKGPWAIIYWQESSKTLWFGRDAFGRRSLLVHWPTMEDPRFLLSSVSPTSSRLQDSSFEVENGSSGIKFWEELSCGIYSMSVDVKKSDGSFHSEIKKHEWTNAMLKELIKWERVSIEPKPAELNFSHSRTSSAELDINSSSSVLAQNVLTALRESMLRRISLYNIYQALKETVPVAVLFSGGLDSMIIAALLDQCLDPNYEIDLLNVSFDGESAPDRVSAKIGLKELRRVAPLRRWRLVHIDADLSKLTWETKRVLSLINPANTYMDLNIGIALWLAARGEGWICKESNNETDEDKRVNYTSRARILLVGSGADEQCAGYGRHKTKYRHDSWLGLHKEMKLDMQRIWKRNLGRDDRCIADTGKEARFPFLDEDIIRTLLDIPLWEVADLDQPSGKGDKKILREVAHMLGLHNAAILPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVVINVQSYFS >KJB50534 pep chromosome:Graimondii2_0_v6:8:45301330:45304365:-1 gene:B456_008G176600 transcript:KJB50534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIALLVSGIRICLSSLNLDSISSSSKSHLVFSVDDLKAALQRRGPDSLGTMKLLLPLHSNSSNSTNYRVSSFNEESHVLDNGSDMPESFAEFVFLGATLQLRGVSPVCQPLMDSSRNVLVYNGEIFGGIEVGIHKNDTESLMQSLGNCCSCRSQEHRTTCDFNGQAKGSIVDVLSVIKGPWAIIYWQESSKTLWFGRDAFGRRSLLVHWPTMEDPRFLLSSVSPTSSRLQDSSFEVENGSSGIKFWEELSCGIYSMSVDVKKSDGSFHSEIKKHEWTNAMLKELIKWERVSIEPKPAELNFSHSRTSSAELDINSSSSVLAQNVLTALRESMLRRISLYNIYQALKETVPVAVLFSGGLDSMIIAALLDQCLDPNYEIDLLNVSFDGESAPDRVSAKIGLKELRRVAPLRRWRLVHIDADLSKLTWETKRVLSLINPANTYMVLILFHDLIDC >KJB50532 pep chromosome:Graimondii2_0_v6:8:45299981:45303473:-1 gene:B456_008G176600 transcript:KJB50532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPRFLLSSVSPTSSRLQDSSFEVENGSSGIKFWEELSCGIYSMSVDVKKSDGSFHSEIKKHEWTNAMLKELIKWERVSIEPKPAELNFSHSRTSSAELDINSSSSVLAQNVLTALRESMLRRISLYNIYQALKETVPVAVLFSGGLDSMIIAALLDQCLDPNYEIDLLNVSFDGESAPDRVSAKIGLKELRRVAPLRRWRLVHIDADLSKLTWETKRVLSLINPANTYMDLNIGIALWLAARGEGWICKESNNETDEDKRVNYTSRARILLVGSGADEQCAGYGRHKTKYRHDSWLGLHKEMKLDMQRIWKRNLGRDDRCIADTGKEARFPFLDEDIIRTLLDIPLWEVADLDQPSGKGDKKILREVAHMLGLHNAAILPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVVINVQSYFS >KJB46922 pep chromosome:Graimondii2_0_v6:8:343393:350323:-1 gene:B456_008G001600 transcript:KJB46922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein BOP1 homolog [Source:Projected from Arabidopsis thaliana (AT2G40360) UniProtKB/Swiss-Prot;Acc:F4IH25] MSHQESQKLDNVNPLETRVEDVIERGDNDFLDDNHDQDEHSESSQSHQAVDESDSSEDEVAPRNTIGDVPLEWYKDEKHIGYDIAGRKITKKERQDKLDSFLASADDSKNWRKIYDEYNDEEVELTKEEIKHIHRLLKGKAPHAEFDPYAPYVDWFKWDDAKHPLSNAPEPKRRFIPSKWESKKVVEYVRAIRKGLIKFDQPKEEPRFYRLWGDDSSSADRTKHLAYIPPPKPKLPGHEESYNPSLEYIPTQEEINSYQLMYEEDRPKFIPKKFTSFRSIPAYENAIKDSFERCLDLYLCPRVRKKRINIDPESLKPKLPSRKDLRPYPSTCYLEYRGHEGAVLSISVEPSGQWIASGSKDGTVRIWEVETGRCLRVWEIGEAVQLVAWNPLPELPVLAASVGADVLILNTGFGNEEEQKRIKELLHIGTPTTDDSDDMSSFLSWIQDEKYDCIRLRHYRTVSSIEWHRKGDYLSTVMPAGESRAILIHQLSKRLTQRLPFKLHGLPVSSVFHPTRSIFFVATKKNVRVYDLLKQKLIKKLETQLREVSSIAVHPAGDNLIVGSKEGKLCWFDMDLSSKPYKTLKCHPKDITKVAFHRSYPLFASCSDDCTAYIFHGMVYADLNQNPLIVPLEILRGHTSYDGRGVMDCKFHPRQPWLFTAGADSSIKLYCH >KJB46923 pep chromosome:Graimondii2_0_v6:8:343892:348377:-1 gene:B456_008G001600 transcript:KJB46923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein BOP1 homolog [Source:Projected from Arabidopsis thaliana (AT2G40360) UniProtKB/Swiss-Prot;Acc:F4IH25] MSHQESQKLDNVNPLETRVEDVIERGDNDFLDDNHDQDEHSESSQSHQAVDESDSSEDEVAPRNTIGDVPLEWYKDEKHIGYDIAGRKITKKERQDKLDSFLASADDSKNWRKIYDEYNDEEVELTKEEIKHIHRLLKGKAPHAEFDPYAPYVDWFKWDDAKHPLSNAPEPKRRFIPSKWESKKVVEYVRAIRKGLIKFDQPKEEPRFYRLWGDDSSSADRTKHLAYIPPPKPKLPGHEESYNPSLEYIPTQEEINSYQLMYEEDRPKFIPKKFTSFRSIPAYENAIKDSFERCLDLYLCPRVRKKRINIDPESLKPKLPSRKDLRPYPSTCYLEYRGHEGAVLSISVEPSGQWIASGSKDGTVRIWEVETGRCLRVWEIGEAVQLVAWNPLPELPVLAASVGADVLILNTGFGNEEEQKRIKELLHIGTPTTDDSDDMSSFLSWIQDEKYDCIRLRHYRTVSSIEWHRKGDYLSTVMPAGESRAILIHQLSKRLTQRLPFKLHGLPVSSVFHPTRSIFFVATKKNVRVYDLLKQKLIKKLETQLREVSSIAVHPAGDNLIVGSKEGKLCWFDMDLSSKPYKTLKCHPKDITKVAFHRSYPLFASCSDDCTAYIFHGMVYADLNQNPLIVPLEILRGHTSYDGRGVMDCKFHPRQPWLFTAGADSSIKLYCH >KJB47608 pep chromosome:Graimondii2_0_v6:8:4018974:4021294:-1 gene:B456_008G033400 transcript:KJB47608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTLLDLATLATTLWVIYMIRFKLKSSYMEDKDNFALYYVLAPCAALALFIHPSTSHNLVNRIFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYIKSVFGGQLVLRLPSGVV >KJB47609 pep chromosome:Graimondii2_0_v6:8:4018974:4022501:-1 gene:B456_008G033400 transcript:KJB47609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQQRPIRALSMWVRRQPPKVKAFLGVVSGMAALVLLRFIVHDHDNLFVAAEAVHSIGISVLIYKLIKEKTCAVNRIFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYIKSVFGGQLVLRLPSGVV >KJB47610 pep chromosome:Graimondii2_0_v6:8:4019838:4022517:-1 gene:B456_008G033400 transcript:KJB47610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQQRPIRALSMWVRRQPPKVKAFLGVVSGMAALVLLRFIVHDHDNLFVAAEAVHSIGISVLIYKLIKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTLLDLATLATTLWVIYMIRFKLKSSYMEDKDNFALYYVLAPCAALALFIHPSTSHNLVNRIFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQV >KJB47607 pep chromosome:Graimondii2_0_v6:8:4018913:4022694:-1 gene:B456_008G033400 transcript:KJB47607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQQRPIRALSMWVRRQPPKVKAFLGVVSGMAALVLLRFIVHDHDNLFVAAEAVHSIGISVLIYKLIKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTLLDLATLATTLWVIYMIRFKLKSSYMEDKDNFALYYVLAPCAALALFIHPSTSHNLVNRIFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYIKSVFGGQLVLRLPSGVV >KJB50042 pep chromosome:Graimondii2_0_v6:8:40788246:40789547:-1 gene:B456_008G151400 transcript:KJB50042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCDKTGLKKGPWTPEEDLKLTNYIQIHGPGNWRTLPKNAGLERCGKSCRLRWANYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRNGIDPVTHAPRLDLLDLSSILTSTLCNQSLLNASNLLGAQPLLNPQLLRLANTLLSLKQENPDILVQYLHKNQLSNSQQHLVPSSLQPSHLQVQSTIQNDSTCTTSTPVPCTSALNQIELMQNSATGISSNMTSFSCPDTQETLTATLTNTCASLPNYVHWSPNPTDPFTSENSNVQSVGGSQMFSFDSVRSTPITSPTPLNSPSTFINSSSSVDDEKESFSSLLKFEIPDSLDISDFM >KJB51117 pep chromosome:Graimondii2_0_v6:8:48752042:48752983:1 gene:B456_008G201900 transcript:KJB51117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDTIGIPACFSSGEKPADDPTAVTRSGQSVFMSVFRTKIADQCRLVTITWCKDLLLHGLTVSVEGPGGESQYGCKVELKPWCFWRKQGSKRFVIDGKPVDVFWDLKAAKFNGKTEPISEYYVAVVCDKEVVLLVGDLKKEAYRKTGCRPALIDPILVSRKEHIFGKKRFSTRVKFHEKGGFHEVSIECNNKNVDSLGGVVGVEPEMEIRIDGNLALHVKHLQWKFRGNESINVNKRKLQVYWDVHDWLFNPGLRHALFIFKPVSSSTSSSSTPLSSSSSSLSSQTGSSGSLEGLNHCGSSEFCLFLYAWKIE >KJB50772 pep chromosome:Graimondii2_0_v6:8:46794562:46799793:-1 gene:B456_008G187000 transcript:KJB50772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFSEVEELVYLRWVNACLRYELRNYQTPGGKISARDLNKSLSPKSQEKAKRLLLEYAGSERGQGDTDLESNYSHPSSPGSEDFDNASIDSSMSRYSSLSKKPGLIQKLKKWGKSKDDSSALSSPARSFSGGSPSRTSMSLRQRGPLESLMLRNAGDGVAITTFGKMEQELTGSPETSTLPNIRTQPSSGDSLNNVAASFQLMSKSVEGTLEEKYPAFKDRHKLAMEREKQIKKKAEQARAERFGEKTEREKPVNLPPKLAQIKEKTVVSGNSNEQSNDDKAVDSQTISKMKLAHIEKRPPRVARPPPKPSSGISADANTTAAGQPPPPGAPPPPPPPPGGRPPPPPPPGSLPRGAGSGDKVHRAPELVEFYQTLMKREAKKDTSSLLSTTSNTSDARSNMIGEIENRSTFLLAVKADVETQGDFVQSLAAEIRAASFTNVEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLMKLEKLVSSFVDDPNLPCEAALKKMYKLLEKVEQSVYALLRTRDMAISRYREFGIPVNWLLDSGIVGKIKLSSVQLARKYMKRVASELDALSGPEKEPNREFILLQGVRFAFRVHQFAGGFDAESMKAFEELRSRMHTQTGEDNKPEA >KJB50775 pep chromosome:Graimondii2_0_v6:8:46794531:46799793:-1 gene:B456_008G187000 transcript:KJB50775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRVLLAASIAALAVKRLNLKNSKPSPSENGKAGFEQHPNKDNKKQFRYPNDSLKEKDGEEEEEEEEVKLISSIFDRANDSRPDIGDEDFLPEFEDLLSGEIEYPLPTDKFDRAEKEKIYETEMANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDIAELQKQLKIKTVEIDMLNITINSLQTERKKLQEEIAHGASIKKELEVARNKIKELQRQIQLDANQTKAQLLFLKQQVSGLQAKEQEAIKSDAEIEKKLKALKDLEIEVVELRRKNKELQHEKRELTVKLDAAEAKIVSLSNMTENEIAATAREEVNNLKHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPGGKISARDLNKSLSPKSQEKAKRLLLEYAGSERGQGDTDLESNYSHPSSPGSEDFDNASIDSSMSRYSSLSKKPGLIQKLKKWGKSKDDSSALSSPARSFSGGSPSRTSMSLRQRGPLESLMLRNAGDGVAITTFGKMEQELTGSPETSTLPNIRTQPSSGDSLNNVAASFQLMSKSVEGTLEEKYPAFKDRHKLAMEREKQIKKKAEQARAERFGEKTEREKPVNLPPKLAQIKEKTVVSGNSNEQSNDDKAVDSQTISKMKLAHIEKRPPRVARPPPKPSSGISADANTTAAGQPPPPGAPPPPPPPPGGRPPPPPPPGSLPRGAGSGDKVHRAPELVEFYQTLMKREAKKDTSSLLSTTSNTSDARSNMIGEIENRSTFLLAVKADVETQGDFVQSLAAEIRAASFTNVEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLMKLEKLVSSFVDDPNLPCEAALKKMYKLLEKVEQSVYALLRTRDMAISRYREFGIPVNWLLDSGIVGKIKLSSVQLARKYMKRVASELDALSGPEKEPNREFILLQGVRFAFRVHQFAGGFDAESMKAFEELRSRMHTQTGEDNKPEA >KJB50773 pep chromosome:Graimondii2_0_v6:8:46794558:46799793:-1 gene:B456_008G187000 transcript:KJB50773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDIAELQKQLKIKTVEIDMLNITINSLQTERKKLQEEIAHGASIKKELEVARNKIKELQRQIQLDANQTKAQLLFLKQQVSGLQAKEQEAIKSDAEIEKKLKALKDLEIEVVELRRKNKELQHEKRELTVKLDAAEAKIVSLSNMTENEIAATAREEVNNLKHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPGGKISARDLNKSLSPKSQEKAKRLLLEYAGSERGQGDTDLESNYSHPSSPGSEDFDNASIDSSMSRYSSLSKKPGLIQKLKKWGKSKDDSSALSSPARSFSGGSPSRTSMSLRQRGPLESLMLRNAGDGVAITTFGKMEQELTGSPETSTLPNIRTQPSSGDSLNNVAASFQLMSKSVEGTLEEKYPAFKDRHKLAMEREKQIKKKAEQARAERFGEKTEREKPVNLPPKLAQIKEKTVVSGNSNEQSNDDKAVDSQTISKMKLAHIEKRPPRVARPPPKPSSGISADANTTAAGQPPPPGAPPPPPPPPGGRPPPPPPPGSLPRGAGSGDKVHRAPELVEFYQTLMKREAKKDTSSLLSTTSNTSDARSNMIGEIENRSTFLLAVKADVETQGDFVQSLAAEIRAASFTNVEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLMKLEKLVSSFVDDPNLPCEAALKKMYKLLEKVEQSVYALLRTRDMAISRYREFGIPVNWLLDSGIVGKIKLSSVQLARKYMKRVASELDALSGPEKEPNREFILLQGVRFAFRVHQFAGGFDAESMKAFEELRSRMHTQTGEDNKPEA >KJB50774 pep chromosome:Graimondii2_0_v6:8:46794562:46801195:-1 gene:B456_008G187000 transcript:KJB50774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRVLLAASIAALAVKRLNLKNSKPSPSGFEQHPNKDNKKQFRYPNDSLKEKDGEEEEEEEEVKLISSIFDRANDSRPDIGDEDFLPEFEDLLSGEIEYPLPTDKFDRAEKEKIYETEMANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDIAELQKQLKIKTVEIDMLNITINSLQTERKKLQEEIAHGASIKKELEVARNKIKELQRQIQLDANQTKAQLLFLKQQVSGLQAKEQEAIKSDAEIEKKLKALKDLEIEVVELRRKNKELQHEKRELTVKLDAAEAKIVSLSNMTENEIAATAREEVNNLKHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPGGKISARDLNKSLSPKSQEKAKRLLLEYAGSERGQGDTDLESNYSHPSSPGSEDFDNASIDSSMSRYSSLSKKPGLIQKLKKWGKSKDDSSALSSPARSFSGGSPSRTSMSLRQRGPLESLMLRNAGDGVAITTFGKMEQELTGSPETSTLPNIRTQPSSGDSLNNVAASFQLMSKSVEGTLEEKYPAFKDRHKLAMEREKQIKKKAEQARAERFGEKTEREKPVNLPPKLAQIKEKTVVSGNSNEQSNDDKAVDSQTISKMKLAHIEKRPPRVARPPPKPSSGISADANTTAAGQPPPPGAPPPPPPPPGGRPPPPPPPGSLPRGAGSGDKVHRAPELVEFYQTLMKREAKKDTSSLLSTTSNTSDARSNMIGEIENRSTFLLAVKADVETQGDFVQSLAAEIRAASFTNVEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLMKLEKLVSSFVDDPNLPCEAALKKMYKLLEKVEQSVYALLRTRDMAISRYREFGIPVNWLLDSGIVGKIKLSSVQLARKYMKRVASELDALSGPEKEPNREFILLQGVRFAFRVHQFAGGFDAESMKAFEELRSRMHTQTGEDNKPEA >KJB50776 pep chromosome:Graimondii2_0_v6:8:46795335:46799793:-1 gene:B456_008G187000 transcript:KJB50776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRVLLAASIAALAVKRLNLKNSKPSPSENGKAGFEQHPNKDNKKQFRYPNDSLKEKDGEEEEEEEEVKLISSIFDRANDSRPDIGDEDFLPEFEDLLSGEIEYPLPTDKFDRAEKEKIYETEMANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDIAELQKQLKIKTVEIDMLNITINSLQTERKKLQEEIAHGASIKKELEVARNKIKELQRQIQLDANQTKAQLLFLKQQVSGLQAKEQEAIKSDAEIEKKLKALKDLEIEVVELRRKNKELQHEKRELTVKLDAAEAKIVSLSNMTENEIAATAREEVNNLKHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPGGKISARDLNKSLSPKSQEKAKRLLLEYAGSERGQGDTDLESNYSHPSSPGSEDFDNASIDSSMSRYSSLSKKPGLIQKLKKWGKSKDDSSALSSPARSFSGGSPSRTSMSLRQRGPLESLMLRNAGDGVAITTFGKMEQELTGSPETSTLPNIRTQPSSGDSLNNVAASFQLMSKSVEGTLEEKYPAFKDRHKLAMEREKQIKKKAEQARAERFGEKTEREKPVNLPPKLAQIKEKTVVSGNSNEQSNDDKAVDSQTISKMKLAHIEKRPPRVARPPPKPSSGISADANTTAAGQPPPPGAPPPPPPPPGGRPPPPPPPGSLPRGAGSGDKVHRAPELVEFYQTLMKREAKKDTSSLLSTTSNTSDARSNMIGEIENRSTFLLAVKADVETQGDFVQSLAAEIRAASFTNVEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLMKLEKLVSSFVDDPNLPCEAALKKMYKLLEK >KJB50771 pep chromosome:Graimondii2_0_v6:8:46794558:46799793:-1 gene:B456_008G187000 transcript:KJB50771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRVLLAASIAALAVKRLNLKNSKPSPSENGKAGFEQHPNKDNKKQFRYPNDSLKEKDGEEEEEEEEVKLISSIFDRANDSRPDIGDEDFLPEFEDLLSGEIEYPLPTDKFDRAEKEKIYETEMANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDIAELQKQLKIKTVEIDMLNITINSLQTERKKLQEEIAHGASIKKELEVARNKIKELQRQIQLDANQTKAQLLFLKQQVSGLQAKEQEAIKSDAEIEKKLKALKDLEIEVVELRRKNKELQHEKRELTVKLDAAEAKIVSLSNMTENEIAATAREEVNNLKHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPGGKISARDLNKSLSPKSQEKAKRLLLEYAGSERGQGDTDLESNYSHPSSPGSEDFDNASIDSSMSRYSSLSKKPGLIQKLKKWGKSKDDSSALSSPARSFSGGSPSRTSMSLRQRGPLESLMLRNAGDGVAITTFGKMEQELTGSPETSTLPNIRTQPSSGDSLNNVAASFQLMSKSVEGTLEEKYPAFKDRHKLAMEREKQIKKKAEQARAERFGEKTEREKPVNLPPKLAQIKEKTVVSGNSNEQSNDDKAVDSQTISKMKLAHIEKRPPRVARPPPKPSSGISADANTTAAGQPPPPGAPPPPPPPPGGRPPPPPPPGSLPRGAGSGDKVHRAPELVEFYQTLMKREAKKDTSSLLSTTSNTSDARSNMIGEIENRSTFLLAVKADVETQGDFVQSLAAEIRAASFTNVEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLMKLEKLVSSFVDDPNLPCEAALKKMYKLLEKVEQSVYALLRTRDMAISRYREFGIPVNWLLDSGIVGKIKLSSVQLARKYMKRVASELDALSGPEKEPNREFILLQGVRFAFRVHQFAGGFDAESMKAFEELRSRMHTQTGEDNKPEA >KJB49959 pep chromosome:Graimondii2_0_v6:8:40051894:40053993:1 gene:B456_008G147100 transcript:KJB49959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVAPPVCINDTLTDDELRSILSRLESDKDKEVFGLVCKRWLHLQSTERKKLSARAGSHMLSRMAARFTRVLELDLSQSVSRSFYPGVTDSDLAVIAEGFKCLKVLKLQNCKAITDRGLALIGGGLPSLQSLDASYCRKLTDKGLSAVADGCHDLRSLHLAGCRFVTDGLLFSLSRNCKNLVELGLQGCTNISDSGLADLVNGCQHIRFLDINKCSSVGDVGISKVAEVCSSSLKTLKLLDCYKVGDESIFSVSKFCKNLETLIIGGCRDVSDESIKSLAAACKNSLKNLRMDWCLNISDSSLSCILTQCKNLEALDIGCCEEVTDAAFEDLRNGEIEFSLKVLKISNCPKITVSGINMLLNQCNSLEYLDVRSCPHVTKAGCDEAGLVFPEYCKVNFTGSLSEPDVLV >KJB50566 pep chromosome:Graimondii2_0_v6:8:45320232:45325009:-1 gene:B456_008G176900 transcript:KJB50566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSINRKSKNSSKRVKFKDENGNSKHSSEDSGSSNDSDALSEVLNSIEGTISNGNSGIIKGSGNKNDVEIHEGPKDESGSSNRSEVAQGEIDRSKGDFSTENISSPSSSSSSSLSSPSSGSSTDDKSQADTQESGISGLTSGKSSSILKQTQNGSAVSKSNSQVPIVAQESALTQSPPIQVMDRGVEEYDPYRIPSAVFSISKSSAPMDWSLASNDSLFSIKVGSTSFARDLIQNKETARGVEKPGEVKALIPFPAVAPTYTENFEFDIRKETVVSDDTAKDKTALTAEEPIVDKSMSIAPWNQPNISESGIGQCSFDFPVKNKSKKKKCAWPSCSCSGCSWAFCYCSNYSCALCYCWNCSLKRFFCCCSDSEDESNVSEEEKVRQKLQEKPNVSKKEEVQQKQQEKPLASALKSKSACSNCCSWFPSCRWRWCCSFNRCKGKCCC >KJB50563 pep chromosome:Graimondii2_0_v6:8:45320415:45323708:-1 gene:B456_008G176900 transcript:KJB50563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSINRKSKNSSKRVKFKDENGNSKHSSEDSGSSNDSDALSEVLNSIEGTISNGNSGIIKGSGNKNDVEIHEGPKDESGSSNRSEVAQGEIDRSKGDFSTENISSPSSSSSSSLSSPSSGSSTDDKSQADTQESGISGLTSGKSSSILKQTQNGSAVSKSNSQVPIVAQESALTQSPPIQVMDRGVEEYDPYRIPSAVFSISKSSAPMDWSLASNDSLFSIKVGSTSFARDLIQNKETARGVEKPDDTAKDKTALTAEEPIVDKSMSIAPWNQPNISESGIGQCSFDFPVKNKSKKKKCAWPSCSCSGCSWAFCYCSNYSCALCYCWNCSLKRFFCCCSDSEDESNVSEEEKVRQKLQEKPNVSKKEKVQQKQQEKPLASALKSKSACSNCWNCSLKRFCCCWSDSEDESNVSEEEKVRQKLQEKPNVSKKEEVQQKQQEKPLASALKSKSACSNCCSWFPSCRWRWCCSFNRCKGKCCC >KJB50565 pep chromosome:Graimondii2_0_v6:8:45319935:45325009:-1 gene:B456_008G176900 transcript:KJB50565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSINRKSKNSSKRVKFKDENGNSKHSSEDSGSSNDSDALSEVLNSIEGTISNGNSGIIKGSGNKNDVEIHEGPKDESGSSNRSEVAQGEIDRSKGDFSTENISSPSSSSSSSLSSPSSGSSTDDKSQADTQESGISGLTSGKSSSILKQTQNGSAVSKSNSQVPIVAQESALTQSPPIQVMDRGVEEYDPYRIPSAVFSISKSSAPMDWSLASNDSLFSIKVGSTSFARDLIQNKETARGVEKPGEVKALIPFPAVAPTYTENFEFDIRKETVVSDDTAKDKTALTAEEPIVDKSMSIAPWNQPNISESGIGQCSFDFPVKNKSKKKKCAWPSCSCSGCSWAFCYCSNYSCALCYCWNCSLKRFFCCCSDSEDESNVSEEEKVRQKLQEKPNVSKKEKVQQKQQEKPLASALKSKSACSNCWNCSLKRFCCCWSDSEDESNVSEEEKVRQKLQEKPNVSKKEEVQQKQQEKPLASALKSKSACSNCCSWFPSCRWRWCCSFNRCKGKCCC >KJB50561 pep chromosome:Graimondii2_0_v6:8:45319894:45325009:-1 gene:B456_008G176900 transcript:KJB50561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSINRKSKNSSKRVKFKDENGNSKHSSEDSGSSNDSDALSEVLNSIEGTISNGNSGIIKGSGNKNDVEIHEGPKDESGSSNRSEVAQGEIDRSKGDFSTENISSPSSSSSSSLSSPSSGSSTDDKSQADTQESGISGLTSGKSSSILKQTQNGSAVSKSNSQVPIVAQESALTQSPPIQVMDRGVEEYDPYRIPSAVFSISKSSAPMDWSLASNDSLFSIKVGSTSFARDLIQNKETARGVEKPDDTAKDKTALTAEEPIVDKSMSIAPWNQPNISESGIGQCSFDFPVKNKSKKKKCAWPSCSCSGCSWAFCYCSNYSCALCYCWNCSLKRFFCCCSDSEDESNVSEEEKVRQKLQEKPNVSKKEEVQQKQQEKPLASALKSKSACSNCCSWFPSCRWRWCCSFNRCKGKCCC >KJB50564 pep chromosome:Graimondii2_0_v6:8:45319935:45325009:-1 gene:B456_008G176900 transcript:KJB50564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSINRKSKNSSKRVKFKDENGNSKHSSEDSGSSNDSDALSEVLNSIEGTISNGNSGIIKGSGNKNDVEIHEGPKDESGSSNRSEVAQGEIDRSKGDFSTENISSPSSSSSSSLSSPSSGSSTDDKSQADTQESGISGLTSGKSSSILKQTQNGSAVSKSNSQVPIVAQESALTQSPPIQVMDRGVEEYDPYRIPSAVFSISKSSAPMDWSLASNDSLFSIKVGSTSFARDLIQNKETARGVEKPDDTAKDKTALTAEEPIVDKSMSIAPWNQPNISESGIGQCSFDFPVKNKSKKKKCAWPSCSCSGCSWAFCYCSNYSCALCYCWNCSLKRFFCCCSDSEDESNVSEEEKVRQKLQEKPNVSKKEEVQQKQQEKPLASALKSKSACSNCCSWFPSCRWRWCCSFNRCKGKCCC >KJB50567 pep chromosome:Graimondii2_0_v6:8:45320232:45325009:-1 gene:B456_008G176900 transcript:KJB50567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSINRKSKNSSKRVKFKDENGNSKHSSEDSGSSNDSDALSEVLNSIEGTISNGNSGIIKGSGNKNDVEIHEGPKDESGSSNRSEVAQGEIDRSKGDFSTENISSPSSSSSSSLSSPSSGSSTDDKSQADTQESGISGLTSGKSSSILKQTQNGSAVSKSNSQVPIVAQESALTQSPPIQVMDRGVEEYDPYRIPSAVFSISKSSAPMDWSLASNDSLFSIKVGSTSFARDLIQNKETARGVEKPDDTAKDKTALTAEEPIVDKSMSIAPWNQPNISESGIGQCSFDFPVKNKSKKKKCAWPSCSCSGCSWAFCYCSNYSCALCYCWNCSLKRFFCCCSDSEDESNVSEEEKVRQKLQEKPNVSKKEKVQQKQQEKPLASALKSKSACSNCWNCSLKRFCCCWSDSEDESNVSEEEKKCSRNNKKSPWPLH >KJB50562 pep chromosome:Graimondii2_0_v6:8:45320415:45323708:-1 gene:B456_008G176900 transcript:KJB50562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSINRKSKNSSKRVKFKDENGNSKHSSEDSGSSNDSDALSEVLNSIEGTISNGNSGIIKGSGNKNDVEIHEGPKDESGSSNRSEVAQGEIDRSKGDFSTENISSPSSSSSSSLSSPSSGSSTDDKSQADTQESGISGLTSGKSSSILKQTQNGSAVSKSNSQVPIVAQESALTQSPPIQVMDRGVEEYDPYRIPSAVFSISKSSAPMDWSLASNDSLFSIKVGSTSFARDLIQNKETARGVEKPDDTAKDKTALTAEEPIVDKSMSIAPWNQPNISESGIGQCSFDFPVKNKSKKKKCAWPSCSCSGCSWAFCYCSNYSCALCYCWNCSLKRFFCCCSDSEDESNVSEEEKVRQKLQEKPNVSKKEKVQQKQQEKPLASALKSKSACSNCWNCSLKRFCCCWSDSEDESNVSEEEKVRQKLQEKPNVSKKEEVQQKQQEKPLASALKSKSACSNCCSWFPSCRWRWCCSFNRCKGKCCC >KJB52400 pep chromosome:Graimondii2_0_v6:8:54316917:54319433:-1 gene:B456_008G262900 transcript:KJB52400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKEQGMVVMPQQNPLEQLQAKFKEVETSFRGWLAKQSLPVEAAVVTTTSAAQGAAIGAFMGTLTNDVSSSLPTPPQASLDPQAMASLKQAQALSGGPLIQARNFAVMTGVNAGISCVMKRLRGKEDVQSSMVAAFGSGAMFSLVSGMGGPNQIANAATSGLFFALVQGGLFQLGQKFSQPPAEDIYYSRTRSMLSSLGLQNYEKNFKKGLLTDNTLPLLTDSALRDVKIPPGPRLLILDHIQRDPELKEKQGRRR >KJB52401 pep chromosome:Graimondii2_0_v6:8:54317149:54319392:-1 gene:B456_008G262900 transcript:KJB52401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKEQGMVVMPQQNPLEQLQAKFKEVETSFRGWLAKQSLPVEAAVVTTTSAAQGAAIGAFMGTLTNDVSSSLPTPPQASLDPQAMASLKQAQALSGGPLIQARNFAVMTGVNAGISCVMKRLRGKEDVQSSMVAAFGSGAMFSLVSGMGGPNQIANAATSGLFFALVQGGLFQLGQKFSQPPAEDIYYSRTRSMLSSLGLQNYEKNFKKGLLTDNTLPLLTDRDVKIPPGPRLLILDHIQRDPELKEKQGRRR >KJB52255 pep chromosome:Graimondii2_0_v6:8:53608693:53609612:-1 gene:B456_008G252400 transcript:KJB52255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSKVSFVAVFAGLVFTLVALPAAVQAQSSAPAPAPTSDGTSIDQGIAYVLMLVALVLTYLIHAADLCFTF >KJB50355 pep chromosome:Graimondii2_0_v6:8:43511851:43514417:-1 gene:B456_008G165800 transcript:KJB50355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRQEENRRHQDQQSRVFHELSALVLNLIRSPPMPFADQSPVPGWRSHPQYLSTTTISPAGYAWLMLGISVSLMLCGSVTFFIGFLLMPWVIGLFMVFYVAGIVSVISMLGRSILCYAMAPPPSPRKDIPAWKVS >KJB50356 pep chromosome:Graimondii2_0_v6:8:43511890:43514299:-1 gene:B456_008G165800 transcript:KJB50356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRQEENRRHQDQQSRVFHELSALVLNLIRSPPMPFADQSPVPGWRSHPQYLSTTTISPAGYAWLMLGISVSLMLCGSVTFFIGFLLMPWVIGLFMVFYVAGIVSVISMLGRSILCYAMAPPPSPRKDIPDLVDC >KJB47283 pep chromosome:Graimondii2_0_v6:8:2222405:2225662:1 gene:B456_008G019200 transcript:KJB47283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATSDSILARSLITDRRSTNFGLKNEVCRGKVVPCRAGGKVKGKGKGRVEVKAAVQIGGLEEVKKAKEEMGLDVVSEEELREKGFLGMRKTKLVCTIGPACCSPQDLEKLALGGMNVARLNMCHNTRDWHRDVIKKINQLNEEKGFCVSVMIDTEGSQIHVLDHGAPSSVKAEEGSTWLFTAQKLEGSPPFTIQANYEGFSEGIEVGDELVIDGGMASFQVIEKVGNDLRCKCTDSGLFLPRAKFSFWRNGKLVARNSELPTLSKKDWADIEFGVSEGVDFIALSFVNDADPVRQLKNYLYMRSSRSTRVLAKVESLESLQKLKEIVEASDGIMVARGDLGVEIPYEQIPTVQEEITHVCREMNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQYADALMLSGESAIGPYGQKALSILQMASSRMELWSREENRQSILHQRRLGVSLPDRIAEQICNCAVEMGI >KJB47282 pep chromosome:Graimondii2_0_v6:8:2222892:2224763:1 gene:B456_008G019200 transcript:KJB47282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATSDSILARSLITDRRSTNFGLKNEVCRGKVVPCRAGGKVKGKGKGRVEVKAAVQIGGLEEVKKAKEEMGLDVVSEEELREKGFLGMRKTKLVCTIGPACCSPQDLEKLALGGMNVARLNMCHNTRDWHRDVIKKINQLNEEKGFCVSVMIDTEGSQIHVLDHGAPSSVKAEEGSTWLFTAQKLEGSPPFTIQANYEGFSEGIEVGDELVIDGGMASFQVIEKVGNDLRCKCTDSGLFLPRAKFSFWRNGKLVARNSELPTLSKKDWADIEFGVSEGVDFIALSFVNDADPVRQLKNYLYMRSSRSTRVLAKVESLESLQKLKEIVEASDGIMVARGDLGVEIPYEQIPTVQEEITHVCREMNKPVIVASQLLESMIEYPTPTRAEVISYSSRCYYWYLLIKETS >KJB47281 pep chromosome:Graimondii2_0_v6:8:2222212:2225752:1 gene:B456_008G019200 transcript:KJB47281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATSDSILARSLITDRRSTNFGLKNEVCRGKVVPCRAGGKVKGKGKGRVEVKAAVQIGGLEEVKKAKEEMGLDVVSEEELREKGFLGMRKTKLVCTIGPACCSPQDLEKLALGGMNVARLNMCHNTRDWHRDVIKKINQLNEEKGFCVSVMIDTEGSQIHVLDHGAPSSVKAEEGSTWLFTAQKLEGSPPFTIQANYEGFSEGIEVGDELVIDGGMASFQVIEKVGNDLRCKCTDSGLFLPRAKFSFWRNGKLVARNSELPTLSKKDWADIEFGVSEGVDFIALSFVNDADPVRQLKNYLYMRSSRSTRVLAKVESLESLQKLKEIVEASDGIMVARGDLGVEIPYEQIPTVQEEITHVCREMNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQYADALMLSGESAIGPYGQKALSILQMASSRMELWSREENRQSILHQRRLGVSLPDRIAEQICNCAVEMANNLGVDAIFVYTKHGQMASLLSRNRPYPPIFAFTSDNGTRMALNLQWGVIPLLVELSDDDVEANISTTIELIRRKGLLKPGDVVMVVSDLTPTHINSTAFQSIQVKTVV >KJB47284 pep chromosome:Graimondii2_0_v6:8:2222405:2225662:1 gene:B456_008G019200 transcript:KJB47284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATSDSILARSLITDRRSTNFGLKNEVCRGKVVPCRAGGKVKGKGKGRVEVKAAVQIGGLEEVKKAKEEMGLDVVSEEELREKGFLGMRKTKLVCTIGPACCSPQDLEKLALGGMNVARLNMCHNTRDWHRDVIKKINQLNEEKGFCVSVMIDTEGSQIHVLDHGAPSSVKAEEGSTWLFTAQKLEGSPPFTIQANYEGFSEGIEVGDELVIDGGMASFQVIEKVGNDLRCKCTDSGLFLPRAKFSFWRNGKLVARNSELPTLSKKDWADIEFGVSEGVDFIALSFVNDADPVRQLKNYLYMRSTRVLAKVESLESLQKLKEIVEASDGIMVARGDLGVEIPYEQIPTVQEEITHVCREMNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQYADALMLSGESAIGPYGQKALSILQMASSRMELWSREENRQSILHQRRLGVSLPDRIAEQICNCAVEMANNLGVDAIFVYTKHGQMASLLSRNRPYPPIFAFTSDNGTRMALNLQWGVIPLLVELSDDDVEANISTTIELIRRKGLLKPGDVVMVVSDLTPTHINSTAFQSIQVKTVV >KJB48056 pep chromosome:Graimondii2_0_v6:8:7284828:7289374:1 gene:B456_008G051700 transcript:KJB48056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPVDSSTARTKPLSPSLSIFKALSICYSYTTKLPPFSQYSSRSCVLIYYVTLLTHTRAHPNLLCSLFIATQPPYLLSSLNSSPFLRQGQDLSLLLDIKRSFVQDPQNVLHDWSSQANPSFCAWTGVTCGFISPANSFHVVSLNLSGFSLGGSMSPSLGRLQNLLHLDLSSNRLTGPIPTTLSNLSSLESLLLYSNQLSGPIPPQLGSLTSLRVMRIGDNELTGPIPSSFGNLVNLNLQLLNLINNSLSGDLPSQLGELSQLDYLSLTGNHLEGAIPKSLANLSNLENLDLSLNKLTGGIPEELGKLSKLVYLVLSNNNLSGPIPRNICSNSSNLEHLILSEVQLLGEIPVELRLCRALKQLDLSNNTLNGSIPVELYELRELTDLYLHNNSLVGSISPSIANLSNLQTLALFHNNLEGNLPGEIGMLSKLEILYLYENRLSGDIPWEIGNCSSLKMMDFFGNRFTGEIPLTIGRLKELQLLHLRQNALVGEIPATLGNCHHLTILDLADNHLSGGIPSSFGFLQALEQLMLYNNSLEGNLPASLIGLANLTRVNLSKNKMNGSIAALCSSSSLLSFDVTNNAFDGEIPEELGNSPALERLRLGKNRFTGTIPGSLGMIRELSLLDLSGNLLTGPIPGELKLCRKLSHIDLNDNSLFGVVPSWLGDLPQLGELRLSSNQFFGALPRQLFNCSKLLVLQLDGNSLNGTLLGEIGDLLSLNILNLNRNQLSGPIPTTIGKLSNLYELQLSHNGFSGDIPTELGQLKNLQSILDLSYNNLTGQIPPSIGTLSKLEALDLSHNLLLGEVPPEIGDMSSLGKLNLSHNNLQGKLSKQLSHWPAEAFEGNLNLCGSPLDGCDNLASSQQQAALSETSVVVISAIATLAAIALLVLVVIVFLKQRREYFKRGSVVNFTYSSSSSQARRRLLFHNGAAKQDYKWEDIMRATKNLSDEFVIGSGGSGTIYRGELRTGETVAIKKILWKEDLLSNRSFTREIKTLGRIRHRHLVKLMGYCSNRVAGFNLLIYEYMENGSVWDWLHKQPVNIKKKSLDWEARIKIAVGLAQGVEYLHHDCVPKIVHRDIKSSNVLLDSNMEAHLGDFGLAKSVAESYDSASNTESNSWFAGSYGYIAPEYAYTLKTTEKTDVYSMGIVLMELVSGKMPTDILFGVDMDMVRWVETRIQMQGSDRGELIDPALKPLLPCEESAAYQVLDIALQCTRTAAQDRPSARQATDLLVHVLNNRKLDIDKMNSGPYK >KJB47389 pep chromosome:Graimondii2_0_v6:8:2820433:2823229:1 gene:B456_008G024400 transcript:KJB47389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSAMPAAFSSHHDWYMSTLSSLSSPDGFSPLHLYTYNHVMDGFSAVLSQAHHDQLHELLGQLATYPETIGHLHTTRAPTFLGLKKHSGLWPAGGFGEDMIIGVLDSGIWLESESFNDEGLPPNISKTNDYDSPRDFLGHGSHTSSIAAGCSAVGAEYFGYAKGKAIGMAPKARIAMYKVLFFDESYDAAATDVLAGLDQTIEDGVDVLSLSLGFFETPFDENPIAIGAFAALKKGIFVSCSAGNNGPHAYTILNGAPWITTVELTVTGKSVYPENLFVSDVPIYFGHGNRTKELCEIYSLDPEEVAGKYIFCDFDSSGQTNAYAQIDEIDTAGAAGAIFSSSEGPFFRPTDFFKPFVLVNPKYGDLVKHYIINSNNATVSIRFQTTLLGTKPAPQFCNNIDDDDYLLTDYALESGTSMSCPHAAGIATLLKAAHRDWSSAAIRSAMMTTAEVFDNANGRIIDMTTGVAGTPLDFGAGHINPNKAMDPGLVYDIEIQDYINYLCGLNYTSKQIRTITGMRQFNCDSATLDLNYPSFIILLNNTSTTGTTFQRELTNVAEGSSVYRAVVRAPSGMKAVVQPAIITFAGKYSKAKFQLTVEIDVGVGSIPESDYFGNYGFLSWYEVNGKHQVTSPI >KJB48046 pep chromosome:Graimondii2_0_v6:8:7359844:7363974:1 gene:B456_008G052200 transcript:KJB48046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE1 [Source:Projected from Arabidopsis thaliana (AT2G34900) UniProtKB/Swiss-Prot;Acc:Q84XV2] MEAVKVEGFGPDSEVEDLGRCVDEISTTVSRLEQRVNDVEQFYLTTDNMELTITKSASAFKEKVKEKQLTGLEKQQQEASQREAAALKRMQELMRQFATILRQITQHKWAHPFMHPVDVEGLGLHDYYEIVQKPMDFGTIKSKMEAKDGTGYKNVREIYSDVRLVFKNAMKYNDERHDVHIMAKTLLEKFEEKWLQLLPKVAEEEKRQVEEEAKAELDVKLAQEAVHANMAKELSNELCDVDLQLEKLRQIVIQKCRKMSTEEKKKLGTALTRLSPEDLGKALEIVAENNPGFQPTAQEVDLDIDAQSELTLWRLKVFVQDKLKLAGKCSEAVVCNNINNNENTIKSNSKRRREISDALTKNAIKRNRKLSPNS >KJB48050 pep chromosome:Graimondii2_0_v6:8:7360009:7362092:1 gene:B456_008G052200 transcript:KJB48050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE1 [Source:Projected from Arabidopsis thaliana (AT2G34900) UniProtKB/Swiss-Prot;Acc:Q84XV2] MQMESQMEAVKVEGFGPDSEVEDLGRCVDEISTTVSRLEQRVNDVEQFYLTTDNMELTITKSASAFKEKVKEKQLTGLEKQQQEASQREAAALKRMQELMRQFATILRQITQHKWAHPFMHPVDVEGLGLHDYYEIVQKPMDFGTIKSKMEAKDGTGYKNVREIYSDVRLVFKNAMKYNDERHDVHIMAKTLLEKFEEKWLQLLPKVAEEVSVYLGMMNFNW >KJB48048 pep chromosome:Graimondii2_0_v6:8:7359898:7363896:1 gene:B456_008G052200 transcript:KJB48048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE1 [Source:Projected from Arabidopsis thaliana (AT2G34900) UniProtKB/Swiss-Prot;Acc:Q84XV2] MQMESQMEAVKVEGFGPDSEVEDLGRCVDEISTTVSRFYLTTDNMELTITKSASAFKEKVKEKQLTGLEKQQQEASQREAAALKRMQELMRQFATILRQITQHKWAHPFMHPVDVEGLGLHDYYEIVQKPMDFGTIKSKMEAKDGTGYKNVREIYSDVRLVFKNAMKYNDERHDVHIMAKTLLEKFEEKWLQLLPKVAEEEKRQVEEEAKAELDVKLAQEAVHANMAKELSNELCDVDLQLEKLRQIVIQKCRKMSTEEKKKLGTALTRLSPEDLGKALEIVAENNPGFQPTAQEVDLDIDAQSELTLWRLKVFVQDKLKLAGKCSEAVVCNNINNNENTIKSNSKRRREISDALTKNAIKRNRKLSPNS >KJB48052 pep chromosome:Graimondii2_0_v6:8:7359898:7363896:1 gene:B456_008G052200 transcript:KJB48052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE1 [Source:Projected from Arabidopsis thaliana (AT2G34900) UniProtKB/Swiss-Prot;Acc:Q84XV2] MQMESQMEAVKVEGFGPDSEVEDLGRCVDEISTTVSRLEQRVNDVEQFYLTTDNMELTITKSASAFKEKVKEKQLTGLEKQQQEASQREAAALKRMQELMRQFATILRQITQHKWAHPFMHPVDVEGLGLHDYYEIVQKPMDFGTIKSKMEAKDGTGYKNVREIYSDVRLVFKNAMKYNDERHDVHIMAKTLLEKFEEKWLQLLPKVAEEEKRQVEEEAKAELDVKLAQEAVHANMAKELSNELCDVDLQLEKLRQIVIQKCRKMSTEEKKKLGTALTRLSPEDLGKALEIVAENNPGFQPTAQEVDLDIDAQSELTLWRLKVFVQDKLKLAGKCSEAVVCNNINNNENTIKSNSKRRREISDALTKNAIKRNRKLSPNS >KJB48045 pep chromosome:Graimondii2_0_v6:8:7359780:7363928:1 gene:B456_008G052200 transcript:KJB48045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE1 [Source:Projected from Arabidopsis thaliana (AT2G34900) UniProtKB/Swiss-Prot;Acc:Q84XV2] MEAVKVEGFGPDSEVEDLGRCVDEISTTVSRLEQRVNDVEQFYLTTDNMELTITKSASAFKEKVKEKQLTGLEKQQQEASQREAAALKRMQELMRQFATILRQITQHKWAHPFMHPVDVEGLGLHDYYEIVQKPMDFGTIKSKMEAKDGTGYKNVREIYSDVRLVFKNAMKYNDERHDVHIMAKTLLEKFEEKWLQLLPKVAEEEKRQVEEEAKAELDVKLAQEAVHANMAKELSNELCDVDLQLEKLRQIVIQKCRKMSTEEKKKLGTALTRLSPEDLGKALEIVAENNPGFQPTAQEVDLDIDAQSELTLWRLKVFVQDKLKLAGKCSEAVVCNNINNNENTIKSNSKRRREISDALTKNAIKRNRKLSPNS >KJB48053 pep chromosome:Graimondii2_0_v6:8:7359898:7363891:1 gene:B456_008G052200 transcript:KJB48053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE1 [Source:Projected from Arabidopsis thaliana (AT2G34900) UniProtKB/Swiss-Prot;Acc:Q84XV2] MELTITKSASAFKEKVKEKQLTGLEKQQQEASQREAAALKRMQELMRQFATILRQITQHKWAHPFMHPVDVEGLGLHDYYEIVQKPMDFGTIKSKMEAKDGTGYKNVREIYSDVRLVFKNAMKYNDERHDVHIMAKTLLEKFEEKWLQLLPKVAEEEKRQVEEEAKAELDVKLAQEAVHANMAKELSNELCDVDLQLEKLRQIVIQKCRKMSTEEKKKLGTALTRLSPEDLGKALEIVAENNPGFQPTAQEVDLDIDAQSELTLWRLKVFVQDKLKLAGKCSEAVVCNNINNNENTIKSNSKRRREISDALTKNAIKRNRKLSPNS >KJB48047 pep chromosome:Graimondii2_0_v6:8:7359898:7363896:1 gene:B456_008G052200 transcript:KJB48047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE1 [Source:Projected from Arabidopsis thaliana (AT2G34900) UniProtKB/Swiss-Prot;Acc:Q84XV2] MQMESQMEAVKVEGFGPDSEVEDLGRCVDEISTTVSRLEQRVNDVEQFYLTTDNMELTITKSASAFKEKVKEKQLTGLEKQQQEASQREAAALKRMQELMRQFATILRQITQHKWAHPFMHPVDVEGLGLHDYYEIVQKPMDFGTIKSKMEAKDGTGYKNVREIYSDVRLVFKNAMKYNDERHDVHIMAKTLLEKFEEKWLQLLPKVAEEEKRQVEEEAKAELDVKLAQEAVHANMAKELSNELCDVDLQLEKLRQIVIQKCRKMSTEEKKKLGTALTRLSPEDLGKALEIVAENNPGFQPTAQEVDLDIDAQSELTLWRLKVFVQDKLKLAGKCSEAVVCNNINNNENTIKSNSKRRREISDALTKNAIKRNRKLSPNS >KJB48049 pep chromosome:Graimondii2_0_v6:8:7359898:7363896:1 gene:B456_008G052200 transcript:KJB48049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE1 [Source:Projected from Arabidopsis thaliana (AT2G34900) UniProtKB/Swiss-Prot;Acc:Q84XV2] MQMESQMEAVKVEGFGPDSEVEDLGRCVDEISTTVSRLEQRVNDVEQFYLTTDNMELTITKSASAFKEKVKEKQLTGLEKQQQEASQREAAALKRMQELMRQFATILRQITQHKWAHPFMHPVDVEGLGLHDYYEIVQKPMDFGTIKSKMEAKDGTGYKNVREIYSDVRLVFKNAMKYNDERHDVHIMAKTLLEKFEEKWLQLLPKVAEEVEEEAKAELDVKLAQEAVHANMAKELSNELCDVDLQLEKLRQIVIQKCRKMSTEEKKKLGTALTRLSPEDLGKALEIVAENNPGFQPTAQEVDLDIDAQSELTLWRLKVFVQDKLKLAGKCSEAVVCNNINNNENTIKSNSKRRREISDALTKNAIKRNRKLSPNS >KJB48051 pep chromosome:Graimondii2_0_v6:8:7360009:7363190:1 gene:B456_008G052200 transcript:KJB48051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE1 [Source:Projected from Arabidopsis thaliana (AT2G34900) UniProtKB/Swiss-Prot;Acc:Q84XV2] MQMESQMEAVKVEGFGPDSEVEDLGRCVDEISTTVSRLEQRVNDVEQFYLTTDNMELTITKSASAFKEKVKEKQLTGLEKQQQEASQREAAALKRMQELMRQFATILRQITQHKWAHPFMHPVDVEGLGLHDYYEIVQKPMDFGTIKSKMEAKDGTGYKNVREIYSDVRLVFKNAMKYNDERHDVHIMAKTLLEKFEEKWLQLLPKVAEEEKRQVEEEAKAELDVKLAQEAVHANMAKELSNELCDVDLQLEKLRQIVIQKCRYEKCRLKRKRNLGQLSPDCRRKILVRL >KJB49903 pep chromosome:Graimondii2_0_v6:8:39756286:39758331:-1 gene:B456_008G144900 transcript:KJB49903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCALKFACSAAPPSSASIAPLLFSNTHNKKKKLRNGCSIRAELSDDNDPLLQAAINSASLRFHETHRQDPLFIDPYAGCFVSTHTQMDMETKAKQYCIATKFIDDKLLSTVNHMDGLKQVVLLSDGMDTRPYRLNWPSSTIMFDISPLRVFQKAAEKLDGVGAKIPQRCLFLHVPLETPNIQETLVTKGFNGTRPSVWAIQGLPLMTLVSFEEILLTVSGMAMKGCLFFGELPAWLAETGIGNKSSMKKWINNIFMSYGFKVDMISYNEVAKRLGKVVKPGDYEKILFVAEQLRCSDDQMETWRKELQRVDEDGDEEGFEDL >KJB49905 pep chromosome:Graimondii2_0_v6:8:39756965:39758315:-1 gene:B456_008G144900 transcript:KJB49905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCALKFACSAAPPSSASIAPLLFSNTHNKKKKLRNGCSIRAELSDDNDPLLQAAINSASLRFHETHRQDPLFIDPYAGCFVSTHTQMDMETKAKQYCIATKFIDDKLLSTVNHMDGLKQVVLLSDGMDTRPYRLNWPSSTIMFDISPLRVFQKAAEKLDGVGAKIPQRCLFLHVPLETPNIQETLVTKGFNGTRPSVWAIQGLPLMTLVSFEEILLTVSGMAMKGCLFFGELPAWLAETGIGNKVCYVIFFIAVLVL >KJB49904 pep chromosome:Graimondii2_0_v6:8:39756570:39758315:-1 gene:B456_008G144900 transcript:KJB49904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCALKFACSAAPPSSASIAPLLFSNTHNKKKKLRNGCSIRAELSDDNDPLLQAAINSASLRFHETHRQDPLFIDPYAGCFVSTHTQMDMETKAKQYCIATKFIDDKLLSTVNHMDGLKQVVLLSDGMDTRPYRLNWPSSTIMFDISPLRVFQKAAEKLDGVGAKIPQRCLFLHVPLETPNIQETLVTKGFNGTRPSVWAIQVKNGYPDAC >KJB48685 pep chromosome:Graimondii2_0_v6:8:15793514:15793762:1 gene:B456_008G081000 transcript:KJB48685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMLTTKFKNLRLQSLQTISQFYAKLCDLSNQSFALVEEYFNSKLVRKVLRSLLKRFDIKVIAIKEAKYLDSLWIDELIGSL >KJB48044 pep chromosome:Graimondii2_0_v6:8:7487482:7488828:1 gene:B456_008G052900 transcript:KJB48044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEVIMLNPCAASYIPLAKREGSIAKDIKAGNESAYNASLESAIPGIGNHPVALKSDPGHGSLMQNQGEMSGEQIMDEEFDMDLEYLRMMFPGLSNDSVLDVYMANNGDLEATIDMLNQLEMYTVESSDTLPDTLDIGDISEFISSANCGTLKLKNVAGETGASSSGSTESAVTS >KJB47065 pep chromosome:Graimondii2_0_v6:8:1058043:1061075:-1 gene:B456_008G009400 transcript:KJB47065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESEIVYLFSTSTTKTSSSMLVFFLKIFTTTHAIIIELLVFPHGSSLSNHGTKYSFFFLLIEMFNGIYILTEAVGKLMSSSMGLQDYAKQNVMISKFLALTSMPISDVSSDVTIEIGASSFALHKFPLVSRSGRIRRLLIEAKDATISRMNLSLIPGGPEAFELAAKFCYGINIEITLSNVAMLRCAAHFLEMTEEFVQKNLEARTEAYLKDTVLPNISNSISVLHRCECLSPVSEEINLVNRLINAIANNACKEQLASGLLKLDHNFPMKTMPNIEPETPSDWWGKSLSVLNLEFFERVLTAVKSKGLKQDMISKILINYTHNSLQGLVIRDPHIVRGSLLDMELQKKQRVIVEAMVSLLPTQSRRSSVPMAFLSSLLKTAIASSASTSCRSDLERRIGLQLDQAILEDILIPANSYGNNHGTMYDTESILRIFSIFLNLDEDDDEDNRMRDESEMAYDFDSPGSPKQSSILKVSKLLDTFLAEIALDTNLLPSKFIALAELLPDHARIISDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFFGTINSQFPQRSSSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELDQ >KJB47066 pep chromosome:Graimondii2_0_v6:8:1058043:1061557:-1 gene:B456_008G009400 transcript:KJB47066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTVGELKTSISGKRSFRPSSTIRHAATEWPISDVSSDVTIEIGASSFALHKFPLVSRSGRIRRLLIEAKDATISRMNLSLIPGGPEAFELAAKFCYGINIEITLSNVAMLRCAAHFLEMTEEFVQKNLEARTEAYLKDTVLPNISNSISVLHRCECLSPVSEEINLVNRLINAIANNACKEQLASGLLKLDHNFPMKTMPNIEPETPSDWWGKSLSVLNLEFFERVLTAVKSKGLKQDMISKILINYTHNSLQGLVIRDPHIVRGSLLDMELQKKQRVIVEAMVSLLPTQSRRSSVPMAFLSSLLKTAIASSASTSCRSDLERRIGLQLDQAILEDILIPANSYGNNHGTMYDTESILRIFSIFLNLDEDDDEDNRMRDESEMAYDFDSPGSPKQSSILKVSKLLDTFLAEIALDTNLLPSKFIALAELLPDHARIISDGLYRAVDIFLKVIVSQESTK >KJB47067 pep chromosome:Graimondii2_0_v6:8:1058043:1061959:-1 gene:B456_008G009400 transcript:KJB47067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTVGELKTSISGKRSFRPSSTIRHAATEWPISDVSSDVTIEIGASSFALHKFPLVSRSGRIRRLLIEAKDATISRMNLSLIPGGPEAFELAAKFCYGINIEITLSNVAMLRCAAHFLEMTEEFVQKNLEARTEAYLKDTVLPNISNSISVLHRCECLSPVSEEINLVNRLINAIANNACKEQLASGLLKLDHNFPMKTMPNIEPETPSDWWGKSLSVLNLEFFERVLTAVKSKGLKQDMISKILINYTHNSLQGLVIRDPHIVRGSLLDMELQKKQRVIVEAMVSLLPTQSRRSSVPMAFLSSLLKTAIASSASTSCRSDLERRIGLQLDQAILEDILIPANSYGNNHGTMYDTESILRIFSIFLNLDEDDDEDNRMRDESEMAYDFDSPGSPKQSSILKVSKLLDTFLAEIALDTNLLPSKFIALAELLPDHARIISDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFFGTINSQFPQRSSSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELDQ >KJB50737 pep chromosome:Graimondii2_0_v6:8:46503307:46508100:-1 gene:B456_008G185100 transcript:KJB50737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSNISSSSSDSASIATVDQNVGRNERIIDADQTFSPELRHWRDVFWLAIFIIHLIVLGVLLAIFGLNRFKTADRLNIDRYTEGFWENNNGLTETYWPKYAVAGGVGTSLGCIWLLLLGSRANQMMKVSVHILTTYLAVISVLCFWCEQFFWGVAFATGAALQFLYVIAVIDRLPFTMLVLQKAVKLVWSLPEVTRVAYAFMAVLLLWMGIWSFGAAGVVASSRGDLGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGLVFLVLLHGGRNASSMPPNSLMKSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGFRSKIGNNECLLCCVDFLFQLVETLVRFFNKYAYVQIAVYGKGFNRSARDAWELFQSTGVEALVAYDCSGAVLLMGTILGGLITGTCAGVWTWITWRDRVIMVGSTAMLMGMVLVGLAMVVVESAVTSIYICYAEDPSLIRRWDPQFFNQMSETLHQRLQHRSARAREVLTQNQLDSHMQDTFHQVSQKVKAHVMRHSDRSWISMQKVEELNPGAGYAQILGRNTSLPFVYTRENEL >KJB50736 pep chromosome:Graimondii2_0_v6:8:46503994:46507630:-1 gene:B456_008G185100 transcript:KJB50736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSNISSSSSDSASIATVDQNVGRNERIIDADQTFSPELRHWRDVFWLAIFIIHLIVLGVLLAIFGLNRFKTADRLNIDRYTEGFWENNNGLTETYWPKYAVAGGVGTSLGCIWLLLLGSRANQMMKVSVHILTTYLAVISVLCFWCEQFFWGVAFATGAALQFLYVIAVIDRLPFTMLVLQKAVKLVWSLPEVTRVAYAFMAVLLLWMGIWSFGAAGVVASSRGDLGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGLVFLVLLHGGRNASSMPPNSLMKSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGFRSKIGNNECLLCCVDFLFQLVETLVRFFNKYAYVQIAVYGKGFNRSARDAWELFQSTGVEALVAYDCSGAVLLMGTILGGLITGTCAGVWTWITWRDRVIMVGSTAMLMGMVLVGLAMVVVESAVTSIYICYAEDPSLIRRWDPQFFNQMSETLHQRLQHRSARAREVLTQNQLDSHMQDTFHQV >KJB49758 pep chromosome:Graimondii2_0_v6:8:38491439:38500181:-1 gene:B456_008G136000 transcript:KJB49758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSQLKQCQWNIRSSQYLGEISALCFLHLPSHLSSFPFLLAGTGSQVLLYDLESSMMIKSFQVFEGIRVHGLICSLLDNATLGCKVVVCGEKRVKLFNLTFELVSKSNTQSEFCVDLCLLHSLPRFTHWVLDALFLKDHCLAIGCSDNSVHVWDMLKSSLVLQVPSPDRCLLYSMRLWGDNLEALRIASGTIYNEIIVWKVICQHDSPTSTSSVKGCMNLSSSNSNFAKCYDQQYKAVHICRLVGHEGSIFRIVWSSNGVKLVSVSDDRSARIWTIHDHDDRREIVGPVLFGHSARIWDCCISDHLIVTAGEDCTCRVWGLDGKQLWVIKEHIGRGIWRCLYDPNSSLLVTAGFDSAIKVHRLHTSVCKTLDLEGDADSEDIIEGAQISITCIPNSMEHAGLMDSKSEYVRSLYFKCEDTIYVATNHGYLYHALLSETGDVKWTELVRVNGEVPIVCMDLLSASLSRNHCGVDDWVAVGDGKGNMTVVGITGNPSSPKVAFAFAWPAGAERQLLGTYWCKSLGSRYVFTTNPRGVLKLWRLYDPSISVCQDSQRISLIAEFPSSFGIRIMCLDASFEEEVLVCGDLRGNLILFPLSKDLLLCTAATSGVKISPLSYFKGAHGISTVSNISVSRLRHGQVEIRTTGADGCICYLEYDKDQESFEFIGMKQLKELSLIESVSADFKSADDLANRNYAAGFASTDFIIWNLLTEAKVLQIPCGGWRRPHSYYLGDVPEMRNCFAYVKDEIIYIHRHWLPGGCKTKFPRNLHLQFHGREMHSLCFVSENSQVQGNEEENLVDKSSWIATGCEDGTVRLTRFAPEMENWSASKLLGEHVGGSAVRSICFVSKTHIIPSDVSSRPGLEKGQNDTSDGKQNPCLLVSVGAKRVLTTWLLRNRSLDEEEEIYPEQKLNRCETGCKPTVKQCSSMSFRWLSTNMPIRSPSMEGRAKTMSATNKISSLDSDAKTGSTLIEKEGTKSKTCSVNKYEDDWRYLAVTAFLVKCAGSRLTVCFVVVACSDATLTLQALVLPHRLWFDVAILASMPSPVLALQHAVVPFCNLTHISSTYLVITGATDGNITFWDITESVETFVQRVSSLNIEKFIDCQKRPRTGRGSQGGRQWRSLNSSMSKRRLGGDSVTRKAGDVDNSDSNITPDTSSELNDLQKRSKNCSQAEHDTLLEPETSRTDSLTEICEIQPIHVMNNVHQSGVNCLHVSGDFQGSENCYLLNIVSGGDDQAVHCLQLKLTLSSTELDAKVVTSETIRSTIQSESIENIVDRSNKNQAPNHIRFLNQYRIPSAHSSAIKGIWTDGTWVFSTGLDQRIRCWLVGEHGELTEHALLIISVPEPEALDARACGRNHYQIAVSGRGMQMVEFFLP >KJB49756 pep chromosome:Graimondii2_0_v6:8:38491187:38499744:-1 gene:B456_008G136000 transcript:KJB49756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSSLVLQVPSPDRCLLYSMRLWGDNLEALRIASGTIYNEIIVWKVICQHDSPTSTSSVKGCMNLSSSNSNFAKCYDQQYKAVHICRLVGHEGSIFRIVWSSNGVKLVSVSDDRSARIWTIHDHDDRREIVGPVLFGHSARIWDCCISDHLIVTAGEDCTCRVWGLDGKQLWVIKEHIGRGIWRCLYDPNSSLLVTAGFDSAIKVHRLHTSVCKTLDLEGDADSEDIIEGAQISITCIPNSMEHAGLMDSKSEYVRSLYFKCEDTIYVATNHGYLYHALLSETGDVKWTELVRVNGEVPIVCMDLLSASLSRNHCGVDDWVAVGDGKGNMTVVGITGNPSSPKVAFAFAWPAGAERQLLGTYWCKSLGSRYVFTTNPRGVLKLWRLYDPSISVCQDSQRISLIAEFPSSFGIRIMCLDASFEEEVLVCGDLRGNLILFPLSKDLLLCTAATSGVKISPLSYFKGAHGISTVSNISVSRLRHGQVEIRTTGADGCICYLEYDKDQESFEFIGMKQLKELSLIESVSADFKSADDLANRNYAAGFASTDFIIWNLLTEAKVLQIPCGGWRRPHSYYLGDVPEMRNCFAYVKDEIIYIHRHWLPGGCKTKFPRNLHLQFHGREMHSLCFVSENSQVQGNEEENLVDKSSWIATGCEDGTVRLTRFAPEMENWSASKLLGEHVGGSAVRSICFVSKTHIIPSDVSSRPGLEKGQNDTSDGKQNPCLLVSVGAKRVLTTWLLRNRSLDEEEEIYPEQKLNRCETGCKPTVKQCSSMSFRWLSTNMPIRSPSMEGRAKTMSATNKISSLDSDAKTGSTLIEKEGTKSKTCSVNKYEDDWRYLAVTAFLVKCAGSRLTVCFVVVACSDATLTLQALVLPHRLWFDVAILASMPSPVLALQHAVVPFCNLTHISSTYLVITGATDGNITFWDITESVETFVQRVSSLNIEKFIDCQKRPRTGRGSQGGRQWRSLNSSMSKRRLGGDSVTRKAGDVDNSDSNITPDTSSELNDLQKRSKNCSQAEHDTLLEPETSRTDSLTEICEIQPIHVMNNVHQSGVNCLHVSGDFQGSENCYLLNIVSGGDDQAVHCLQLKLTLSSTELDAKVVTSETIRSTIQSESIENIVDRSNKNQAPNHIRFLNQYRIPSAHSSAIKGIWTDGTWVFSTGLDQRIRCWLVGEHGELTEHALLIISVPEPEALDARACGRNHYQIAVSGRGMQMVEFFLP >KJB49755 pep chromosome:Graimondii2_0_v6:8:38491878:38497317:-1 gene:B456_008G136000 transcript:KJB49755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAGLMDSKSEYVRSLYFKCEDTIYVATNHGYLYHALLSETGDVKWTELVRVNGEVPIVCMDLLSASLSRNHCGVDDWVAVGDGKGNMTVVGITGNPSSPKVAFAFAWPAGAERQLLGTYWCKSLGSRYVFTTNPRGVLKLWRLYDPSISVCQDSQRISLIAEFPSSFGIRIMCLDASFEEEVLVCGDLRGNLILFPLSKDLLLCTAATSGVKISPLSYFKGAHGISTVSNISVSRLRHGQVEIRTTGADGCICYLEYDKDQESFEFIGMKQLKELSLIESVSADFKSADDLANRNYAAGFASTDFIIWNLLTEAKVLQIPCGGWRRPHSYYLGDVPEMRNCFAYVKDEIIYIHRHWLPGGCKTKFPRNLHLQFHGREMHSLCFVSENSQVQGNEEENLVDKSSWIATGCEDGTVRLTRFAPEMENWSASKLLGEHVGGSAVRSICFVSKTHIIPSDVSSRPGLEKGQNDTSDGKQNPCLLVSVGAKRVLTTWLLRNRSLDEEEEIYPEQKLNRCETGCKPTVKQCSSMSFRWLSTNMPIRSPSMEGRAKTMSATNKISSLDSDAKTGSTLIEKEGTKSKTCSVNKYEDDWRYLAVTAFLVKCAGSRLTVCFVVVACSDATLTLQALVLPHRLWFDVAILASMPSPVLALQHAVVPFCNLTHISSTYLVITGATDGNITFWDITESVETFVQRVSSLNIEKFIDCQKRPRTGRGSQGGRQWRSLNSSMSKRRLGGDSVTRKAGDVDNSDSNITPDTSSELNDLQKRSKNCSQAEHDTLLEPETSRTDSLTEICEIQPIHVMNNVHQSGVNCLHVSGDFQGSENCYLLNIVSGGDDQAVHCLQLKLTLSSTELDAKVVTSETIRSTIQSESIENIVDRSNKNQAPNHIRFLNQYRIPSAHSSAIKGIWTDGTWVFSTGLDQRIRCWLVGEHGELTEHALLIISVPEPEALDARACGRNHYQIAVSGRGMQMVEFFLP >KJB49757 pep chromosome:Graimondii2_0_v6:8:38491439:38499280:-1 gene:B456_008G136000 transcript:KJB49757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWGDNLEALRIASGTIYNEIIVWKVICQHDSPTSTSSVKGCMNLSSSNSNFAKCYDQQYKAVHICRLVGHEGSIFRIVWSSNGVKLVSVSDDRSARIWTIHDHDDRREIVGPVLFGHSARIWDCCISDHLIVTAGEDCTCRVWGLDGKQLWVIKEHIGRGIWRCLYDPNSSLLVTAGFDSAIKVHRLHTSVCKTLDLEGDADSEDIIEGAQISITCIPNSMEHAGLMDSKSEYVRSLYFKCEDTIYVATNHGYLYHALLSETGDVKWTELVRVNGEVPIVCMDLLSASLSRNHCGVDDWVAVGDGKGNMTVVGITGNPSSPKVAFAFAWPAGAERQLLGTYWCKSLGSRYVFTTNPRGVLKLWRLYDPSISVCQDSQRISLIAEFPSSFGIRIMCLDASFEEEVLVCGDLRGNLILFPLSKDLLLCTAATSGVKISPLSYFKGAHGISTVSNISVSRLRHGQVEIRTTGADGCICYLEYDKDQESFEFIGMKQLKELSLIESVSADFKSADDLANRNYAAGFASTDFIIWNLLTEAKVLQIPCGGWRRPHSYYLGDVPEMRNCFAYVKDEIIYIHRHWLPGGCKTKFPRNLHLQFHGREMHSLCFVSENSQVQGNEEENLVDKSSWIATGCEDGTVRLTRFAPEMENWSASKLLGEHVGGSAVRSICFVSKTHIIPSDVSSRPGLEKGQNDTSDGKQNPCLLVSVGAKRVLTTWLLRNRSLDEEEEIYPEQKLNRCETGCKPTVKQCSSMSFRWLSTNMPIRSPSMEGRAKTMSATNKISSLDSDAKTGSTLIEKEGTKSKTCSVNKYEDDWRYLAVTAFLVKCAGSRLTVCFVVVACSDATLTLQALVLPHRLWFDVAILASMPSPVLALQHAVVPFCNLTHISSTYLVITGATDGNITFWDITESVETFVQRVSSLNIEKFIDCQKRPRTGRGSQGGRQWRSLNSSMSKRRLGGDSVTRKAGDVDNSDSNITPDTSSELNDLQKRSKNCSQAEHDTLLEPETSRTDSLTEICEIQPIHVMNNVHQSGVNCLHVSGDFQGSENCYLLNIVSGGDDQAVHCLQLKLTLSSTELDAKVVTSETIRSTIQSESIENIVDRSNKNQAPNHIRFLNQYRIPSAHSSAIKGIWTDGTWVFSTGLDQRIRCWLVGEHGELTEHALLIISVPEPEALDARACGRNHYQIAVSGRGMQMVEFFLP >KJB49754 pep chromosome:Graimondii2_0_v6:8:38491187:38498494:-1 gene:B456_008G136000 transcript:KJB49754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVNLGCFHNIIVWKVICQHDSPTSTSSVKGCMNLSSSNSNFAKCYDQQYKAVHICRLVGHEGSIFRIVWSSNGVKLVSVSDDRSARIWTIHDHDDRREIVGPVLFGHSARIWDCCISDHLIVTAGEDCTCRVWGLDGKQLWVIKEHIGRGIWRCLYDPNSSLLVTAGFDSAIKVHRLHTSVCKTLDLEGDADSEDIIEGAQISITCIPNSMEHAGLMDSKSEYVRSLYFKCEDTIYVATNHGYLYHALLSETGDVKWTELVRVNGEVPIVCMDLLSASLSRNHCGVDDWVAVGDGKGNMTVVGITGNPSSPKVAFAFAWPAGAERQLLGTYWCKSLGSRYVFTTNPRGVLKLWRLYDPSISVCQDSQRISLIAEFPSSFGIRIMCLDASFEEEVLVCGDLRGNLILFPLSKDLLLCTAATSGVKISPLSYFKGAHGISTVSNISVSRLRHGQVEIRTTGADGCICYLEYDKDQESFEFIGMKQLKELSLIESVSADFKSADDLANRNYAAGFASTDFIIWNLLTEAKVLQIPCGGWRRPHSYYLGDVPEMRNCFAYVKDEIIYIHRHWLPGGCKTKFPRNLHLQFHGREMHSLCFVSENSQVQGNEEENLVDKSSWIATGCEDGTVRLTRFAPEMENWSASKLLGEHVGGSAVRSICFVSKTHIIPSDVSSRPGLEKGQNDTSDGKQNPCLLVSVGAKRVLTTWLLRNRSLDEEEEIYPEQKLNRCETGCKPTVKQCSSMSFRWLSTNMPIRSPSMEGRAKTMSATNKISSLDSDAKTGSTLIEKEGTKSKTCSVNKYEDDWRYLAVTAFLVKCAGSRLTVCFVVVACSDATLTLQALVLPHRLWFDVAILASMPSPVLALQHAVVPFCNLTHISSTYLVITGATDGNITFWDITESVETFVQRVSSLNIEKFIDCQKRPRTGRGSQGGRQWRSLNSSMSKRRLGGDSVTRKAGDVDNSDSNITPDTSSELNDLQKRSKNCSQAEHDTLLEPETSRTDSLTEICEIQPIHVMNNVHQSGVNCLHVSGDFQGSENCYLLNIVSGGDDQAVHCLQLKLTLSSTELDAKVVTSETIRSTIQSESIENIVDRSNKNQAPNHIRFLNQYRIPSAHSSAIKGIWTDGTWVFSTGLDQRIRCWLVGEHGELTEHALLIISVPEPEALDARACGRNHYQIAVSGRGMQMVEFFLP >KJB48763 pep chromosome:Graimondii2_0_v6:8:18655911:18656588:1 gene:B456_008G085700 transcript:KJB48763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLPSQNLQSVRPLCFSSLNSLKFGSQTPSLKFPISSPIKLKPAKLFTSNNGTNNSGVVGKASASEFSADIGDVLGDVTIFTAADQPVFLKDLWDQNQGIAVVALLRHFGCPCCWELALGLKEARARFESAGVKLIAIGVGTPNKARLLAERVPLIPNLLLL >KJB48762 pep chromosome:Graimondii2_0_v6:8:18655877:18657375:1 gene:B456_008G085700 transcript:KJB48762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLPSQNLQSVRPLCFSSLNSLKFGSQTPSLKFPISSPIKLKPAKLFTSNNGTNNSGVVGKASASEFSADIGDVLGDVTIFTAADQPVFLKDLWDQNQGIAVVALLRHFGCPCCWELALGLKEARARFESAGVKLIAIGVGTPNKARLLAERVPLIPNLLLL >KJB48761 pep chromosome:Graimondii2_0_v6:8:18655661:18657386:1 gene:B456_008G085700 transcript:KJB48761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLPSQNLQSVRPLCFSSLNSLKFGSQTPSLKFPISSPIKLKPAKLFTSNNGTNNSGVVGKASASEFSADIGDVLGDVTIFTAADQPVFLKDLWDQNQGIAVVALLRHFGCPCCWELALGLKEARARFESAGVKLIAIGVGTPNKARLLAERLPFPMDCLYADPNREAYDILGLYYGFGRTFFNPASTKVFSRFESLQEAVKNYTIEATPDETSSVLQQGGMFVFKGKQLLYAHKDEGTGDHAPLDQVFDICCKVPA >KJB48764 pep chromosome:Graimondii2_0_v6:8:18655877:18657375:1 gene:B456_008G085700 transcript:KJB48764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLPSQNLQSVRPLCFSSLNSLKFGSQTPSLKFPISSPIKLKPAKLFTSNNGTNNSGVVGKASASEFSADIGDVLGDVTIFTAADQPVFLKDLWDQNQGIAVVALLRHFGCPCCWELALGLKEARARFESAGVKLIAIGVGTPNKARLLAERLPFPMDCLYADPNREAYDILGLYYGFGRTFFNPASVHLFSILCSILFSIFQISVILDTNIYYIDGV >KJB49908 pep chromosome:Graimondii2_0_v6:8:39759372:39760618:-1 gene:B456_008G145000 transcript:KJB49908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGAAAGPKGKKKGATFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTRDKTKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRSVYELRYFNIAENEGEEEE >KJB49906 pep chromosome:Graimondii2_0_v6:8:39759374:39760784:-1 gene:B456_008G145000 transcript:KJB49906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGAAAGPKGKKKGATFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTRDKTKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRSVYELRYFNIAENEGEEEE >KJB49907 pep chromosome:Graimondii2_0_v6:8:39759356:39760900:-1 gene:B456_008G145000 transcript:KJB49907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGAAAGPKGKKKGATFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTRDKTKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRSVYELRYFNIAENEGEEEE >KJB48593 pep chromosome:Graimondii2_0_v6:8:14281734:14285028:-1 gene:B456_008G076700 transcript:KJB48593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLVVLGIPWEVDTEGLREYMSNYGDLEDCIVMKERSSGRSRGFGYVTFASANDAKSVLSREHILGERLLEVKIATPKEEMKSPVKKVTRIFVARIPLSVDESTFQRHFEEYGEITDLYMPKDQVSKAHRGFGFITFASAGSVENLMADTHELGGATVVVDRATPKEDDFKPINRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPVYGRGESSRGMGKKIFVGRLPQEANVDDLRHYFGRFGRILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSHRSHEICGQQVAIDSATPVDDVGPSFMMNPVGPFRGFGGPMRSYGRMYGGMLFDDQWSYAMGGARPSRADWRYRPY >KJB48590 pep chromosome:Graimondii2_0_v6:8:14280905:14285608:-1 gene:B456_008G076700 transcript:KJB48590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLVVLGIPWEVDTEGLREYMSNYGDLEDCIVMKERSSGRSRGFGYVTFASANDAKSVLSREHILGERLLEVKIATPKEEMKSPVKKVTRIFVARIPLSVDESTFQRHFEEYGEITDLYMPKDQVSKAHRGFGFITFASAGSVENLMADTHELGGATVVVDRATPKEDDFKPINRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPVYGRGESSRGMGKKIFVGRLPQEANVDDLRHYFGRFGRILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSHRSHEICGQQVAIDSATPVDDVGPSFMMNPVGPFRGFGGPMRSYGRMYGGMLFDDWSYAMGGARPSRADWRYRPY >KJB48595 pep chromosome:Graimondii2_0_v6:8:14281734:14285028:-1 gene:B456_008G076700 transcript:KJB48595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLVVLGIPWEVDTEGLREYMSNYGDLEDCIVMKERSSGRSRGFGYVTFASANDAKSVLSREHILGERLLEVKIATPKEEMKSPVKKVTRIFVARIPLSVDESTFQRHFEEYGEITDLYMPKDQVSKAHRGFGFITFASAGSVENLMADTHELGGATVVVDRATPKEDDFKPINRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPVYGRGESSRGMGKKIFVGRLPQEANVDDLRHYFGRFGRILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSHRSHEICGQQVAIDSATPVDDVGPSFMMNPVGPFRGFGGPMRSYGRMYGGMLFDDQWSYAMGGARPSRADWRYRPY >KJB48592 pep chromosome:Graimondii2_0_v6:8:14280905:14285187:-1 gene:B456_008G076700 transcript:KJB48592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLVVLGIPWEVDTEGLREYMSNYGDLEDCIVMKERSSGRSRGFGYVTFASANDAKSVLSREHILGERLLEVKIATPKEEMKSPVKKVTRIFVARIPLSVDESTFQRHFEEYGEITDLYMPKDQVSKAHRGFGFITFASAGSVENLMADTHELGGATVVVDRATPKEDDFKPINRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPVYGRGESSRGMGKKIFVGRLPQEANVDDLRHYFGRFGRILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSHRSHEICGQQVAIDSATPVDDVGPSFMMNPVGPFRGFGGPMRSYGRMYGGMLFDDWSYAMGGARPSRADWRYRPY >KJB48594 pep chromosome:Graimondii2_0_v6:8:14280905:14285565:-1 gene:B456_008G076700 transcript:KJB48594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLVVLGIPWEVDTEGLREYMSNYGDLEDCIVMKERSSGRSRGFGYVTFASANDAKSVLSREHILGERLLEVKIATPKEEMKSPVKKVTRIFVARIPLSVDESTFQRHFEEYGEITDLYMPKDQVSKAHRGFGFITFASAGSVENLMADTHELGGATVVVDRATPKEDDFKPINRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPVYGRGESSRGMGKKIFVGRLPQEANVDDLRHYFGRFGRILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSHRSHEICGQQVAIDSATPVDDVGPSFMMNPVGPFRGFGGPMRSYGRMYGGMLFDDWSYAMGGARPSRADWRYRPY >KJB48591 pep chromosome:Graimondii2_0_v6:8:14280905:14285427:-1 gene:B456_008G076700 transcript:KJB48591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLVVLGIPWEVDTEGLREYMSNYGDLEDCIVMKERSSGRSRGFGYVTFASANDAKSVLSREHILGERLLEVKIATPKEEMKSPVKKVTRIFVARIPLSVDESTFQRHFEEYGEITDLYMPKDQVSKAHRGFGFITFASAGSVENLMADTHELGGATVVVDRATPKANDFKPINRMSQGGYGAYNAYISAATRYAAVGAPTLYDHPGPVYGRGESSRGMGKKIFVGRLPQEANVDDLRHYFGRFGRILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSHRSHEICGQQVAIDSATPVDDVGPSFMMNPVGPFRGFGGPMRSYGRMYGGMLFDDWSYAMGGARPSRADWRYRPY >KJB49073 pep chromosome:Graimondii2_0_v6:8:33445575:33447771:-1 gene:B456_008G106400 transcript:KJB49073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DYFIQFLAEETKIQFDSRDLVYDTRSPMSSPQLQSHPFFNPSKTNPNLIFSKSPLFLPYFSTPIRNYNPKPNALSLSLQEFPPNALRRKTNPQCTVGFSLGVDLGSSRTGLALSKGFSVRPLTVLKLRGQKLELQLLDMAEREEVDEFIIGIPKSWDGKETPQSNKVRSIAGRLAVRAAERGWRVYLQDEHGTSSEAAYRMINLGLNKSARQKTSDAYAAVILLERYFAMSGEDIEIVLPKQIDLQEKLRKGTPLDIDFSPEEFVD >KJB49071 pep chromosome:Graimondii2_0_v6:8:33446066:33447771:-1 gene:B456_008G106400 transcript:KJB49071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DYFIQFLAEETKIQFDSRDLVYDTRSPMSSPQLQSHPFFNPSKTNPNLIFSKSPLFLPYFSTPIRNYNPKPNALSLSLQEFPPNALRRKTNPQCTVGFSLGVDLGSSRTGLALSKGFSVRPLTVLKLRGQKLELQLLDMAEREEVDEFIIGIPKSWDGKETPQSNKVRSIAGRLAVRAAERGWRVYLQDEHGTSSEAAYRMINLGLNKSARQKTSDAYAAVVCSIVLFFMIEKR >KJB49061 pep chromosome:Graimondii2_0_v6:8:33445470:33447659:-1 gene:B456_008G106400 transcript:KJB49061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPQLQSHPFFNPSKTNPNLIFSKSPLFLPYFSTPIRNYNPKPNALSLSLQEFPPNALRRKTNPQCTVGFSLGVDLGSSRTGLALSKGFSVRPLTVLKLRGQKLELQLLDMAEREEVDEFIIGIPKSWDGKETPQSNKVRSIAGRLAVRAAERGWRVYLQDEHGTSSEAAYRMINLGLNKSARQKTSDAYAAVVCSIVLFFMIEKR >KJB49059 pep chromosome:Graimondii2_0_v6:8:33446755:33447589:-1 gene:B456_008G106400 transcript:KJB49059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPQLQSHPFFNPSKTNPNLIFSKSPLFLPYFSTPIRNYNPKPNALSLSLQEFPPNALRRKTNPQCTVGFSLGVDLGSSRTGLALSKGFSVRPLTVLKLRGQKLELQLLDMAEREEVDEFIIGIPKSWDGKETPQSNKVRSIAGRLAVRAAERGWRVYLQDEHGTSSEAAYRMINLYK >KJB49072 pep chromosome:Graimondii2_0_v6:8:33446066:33447771:-1 gene:B456_008G106400 transcript:KJB49072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DYFIQFLAEETKIQFDSRDLVYDTRSPMSSPQLQSHPFFNPSKTNPNLIFSKSPLFLPYFSTPIRNYNPKPNALSLSLQEFPPNALRRKTNPQCTVGFSLGVDLGSSRTGLALSKGFSVRPLTVLKLRGQKLELQLLDMAEREEVDEFIIGIPKSWDGKETPQSNKVRSIAGRLAVRAAERGWRVYLQDEHGTSSEAAYRMINLGLNKSARQKTSDAYAAVVCSIVLFFMIEKR >KJB49065 pep chromosome:Graimondii2_0_v6:8:33446066:33447589:-1 gene:B456_008G106400 transcript:KJB49065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPQLQSHPFFNPSKTNPNLIFSKSPLFLPYFSTPIRNYNPKPNALSLSLQEFPPNALRRKTNPQCTVGFSLGVDLGSSRTGLALSKGFSVRPLTVLKLRGQKLELQLLDMAEREEVDEFIIGIPKSWDGKETPQSNKVRSIAGRLAVRAAERGWRVYLQDEHGTSSEAAYRMINLGLNKSARQKTSDAYAAVVCSIVLFFMIEKR >KJB49068 pep chromosome:Graimondii2_0_v6:8:33445713:33447771:-1 gene:B456_008G106400 transcript:KJB49068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DYFIQFLAEETKIQFDSRDLVYDTRSPMSSPQLQSHPFFNPSKTNPNLIFSKSPLFLPYFSTPIRNYNPKPNALSLSLQEFPPNALRRKTNPQCTVGFSLGVDLGSSRTGLALSKGFSVRPLTVLKLRGQKLELQLLDMAEREEVDEFIIGIPKSWDGKETPQSNKVRSIAGRLAVRAAERGWRVYLQDEHGTSSEAAYRMINLGLNKSARQKTSDAYAAVVCSIVLFFMIEKR >KJB49060 pep chromosome:Graimondii2_0_v6:8:33446066:33447589:-1 gene:B456_008G106400 transcript:KJB49060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPQLQSHPFFNPSKTNPNLIFSKSPLFLPYFSTPIRNYNPKPNALSLSLQEFPPNALRRKTNPQCTVGFSLGVDLGSSRTGLALSKGFSVRPLTVLKLRGQKLELQLLDMAEREEVDEFIIGIPKSWDGKETPQSNKVRSIAGRLAVRAAERGLNKSARQKTSDAYAAVVCSIVLFFMIEKR >KJB49064 pep chromosome:Graimondii2_0_v6:8:33445401:33447659:-1 gene:B456_008G106400 transcript:KJB49064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPQLQSHPFFNPSKTNPNLIFSKSPLFLPYFSTPIRNYNPKPNALSLSLQEFPPNALRRKTNPQCTVGFSLGVDLGSSRTGLALSKGFSVRPLTVLKLRGQKLELQLLDMAEREEVDEFIIGIPKSWDGKETPQSNKVRSIAGRLAVRAAERGWRVYLQDEHGTSSEAAYRMINLVHSFVCKARRICLCLTRWTSVLGRVLILKICDYEKRTIADCASRPSLACRNCPFWIYIDPLHAKECTPLY >KJB49066 pep chromosome:Graimondii2_0_v6:8:33446755:33447771:-1 gene:B456_008G106400 transcript:KJB49066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DYFIQFLAEETKIQFDSRDLVYDTRSPMSSPQLQSHPFFNPSKTNPNLIFSKSPLFLPYFSTPIRNYNPKPNALSLSLQEFPPNALRRKTNPQCTVGFSLGVDLGSSRTGLALSKGFSVRPLTVLKLRGQKLELQLLDMAEREEVDEFIIGIPKSWDGKETPQSNKVRSIAGRLAVRAAERGWRVYLQDEHGTSSEAAYRMINLYK >KJB49063 pep chromosome:Graimondii2_0_v6:8:33445443:33447699:-1 gene:B456_008G106400 transcript:KJB49063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPQLQSHPFFNPSKTNPNLIFSKSPLFLPYFSTPIRNYNPKPNALSLSLQEFPPNALRRKTNPQCTVGFSLGVDLGSSRTGLALSKGFSVRPLTVLKLRGQKLELQLLDMAEREEVDEFIIGIPKSWDGKETPQSNKVRSIAGRLAVRAAERGWRVYLQDEHGTSSEAAYRMINLGLNKSARQKTSDAYAAVILLERYFAMSGEDIEIVLPKQIDLQEKLRKGTPLDIDFSPEEFVD >KJB49062 pep chromosome:Graimondii2_0_v6:8:33445443:33447699:-1 gene:B456_008G106400 transcript:KJB49062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPQLQSHPFFNPSKTNPNLIFSKSPLFLPYFSTPIRNYNPKPNALSLSLQEFPPNALRRKTNPQCTVGFSLGVDLGSSRTGLALSKGFSVRPLTVLKLRGQKLELQLLDMAEREEVDEFIIGIPKSWDGKETPQSNKVRSIAGRLAVRAAERGLNKSARQKTSDAYAAVILLERYFAMSGEDIEIVLPKQIDLQEKLRKGTPLDIDFSPEEFVD >KJB49067 pep chromosome:Graimondii2_0_v6:8:33445533:33447771:-1 gene:B456_008G106400 transcript:KJB49067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DYFIQFLAEETKIQFDSRDLVYDTRSPMSSPQLQSHPFFNPSKTNPNLIFSKSPLFLPYFSTPIRNYNPKPNALSLSLQEFPPNALRRKTNPQCTVGFSLGVDLGSSRTGLALSKGFSVRPLTVLKLRGQKLELQLLDMAEREEVDEFIIGIPKSWDGKETPQSNKVRSIAGRLAVRAAERGLNKSARQKTSDAYAAVVCSIVLFFMIEKR >KJB49069 pep chromosome:Graimondii2_0_v6:8:33446755:33447771:-1 gene:B456_008G106400 transcript:KJB49069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DYFIQFLAEETKIQFDSRDLVYDTRSPMSSPQLQSHPFFNPSKTNPNLIFSKSPLFLPYFSTPIRNYNPKPNALSLSLQEFPPNALRRKTNPQCTVGFSLGVDLGSSRTGLALSKGFSVRPLTVLKLRGQKLELQLLDMAEREEVDEFIIGIPKSWDGKETPQSNKVRSIAGRLAVRAAERGWRVYLQDEHGTSSEAAYRMINLYK >KJB49070 pep chromosome:Graimondii2_0_v6:8:33446755:33447771:-1 gene:B456_008G106400 transcript:KJB49070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DYFIQFLAEETKIQFDSRDLVYDTRSPMSSPQLQSHPFFNPSKTNPNLIFSKSPLFLPYFSTPIRNYNPKPNALSLSLQEFPPNALRRKTNPQCTVGFSLGVDLGSSRTGLALSKGFSVRPLTVLKLRGQKLELQLLDMAEREEVDEFIIGIPKSWDGKETPQSNKVRSIAGRLAVRAAERGWRVYLQDEHGTSSEAAYRMINLYK >KJB49298 pep chromosome:Graimondii2_0_v6:8:34245910:34247454:1 gene:B456_008G111300 transcript:KJB49298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFILIYFNNLPSFVTSFSFLLAASSMASPPALLGVTGVAVTAAENNATVPSHPSSSSTENVNPSIIIIVSILSITVIVSVCICLLLRHLNRRCLRRLSPSSTSTITASSAHRISPEQSSPPTTSLLDSLPLFTFSSLTSRTNKDSTVSGDCAVCLSKFEPMDQLRLLPLCCHAFHAQCIDTWLTSNKTCPLCRSPLLASDSDLIKLSLQFSNVSGVIGTGGSDSFRLEIGSISGRQPGSESVERGRSYSVGSFDYIVEEESEITRNQTHRRNLSDKEELGGAEPALEASLAGEVANERSWLKDYVDRLSSSLSSRTMSFRSSGRLFTGSSRRSEISGATEDYDVEANRIGEEISEMFRWFSGV >KJB47705 pep chromosome:Graimondii2_0_v6:8:4672302:4676112:-1 gene:B456_008G037500 transcript:KJB47705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLQLPQSVRLNRRGDRNNQRPFAKDDESGLFSSMRLPQDNPTKIVWKQGFIRLVLVAGILWMLLILIVLLFHVWSCQSSISFLSAMCNKEGKVFIAMDLLGLAPKPEHRCPIPVADDPDKIVIPKGRTPDAIVKNLTYIEEEDLVNNGSQSFAVFGGNQSWLQREENFNLKPSMKVHCGFLKNGGADLNPVDIEYVKRCRFLVASGIFDGYDVPHQPSNISIRSKKLFCFLMVVDEVSLKFIKENVTVRKDKDKGMWVGLWRLIPLKHQPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRDKHTYAIAQHKHHRSIYEEADANKRRKRYARPLIDLHMKIYYSEGMEPWSLKKNTISDVPEGAIIIREHTALNNLFNCLWFNEVNLFTPRDQLSFGYVVYRLKGLFKFFMFRNCEYYSLFILHPHTREHSSKVEWVKSLSEFKGSGSSMKESRGGFGLWTPYPKNLDSVTLPQVVRTSKAG >KJB47703 pep chromosome:Graimondii2_0_v6:8:4672320:4676086:-1 gene:B456_008G037500 transcript:KJB47703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLQLPQSVRLNRRGDRNNQRPFAKDDESGLFSSMRLPQDNPTKIVWKQGFIRLVLVAGILWMLLILIVLLFHVWSCQSSISFLSAMCNKEGKVFIAMDLLGLAPKPEHRCPIPVADDPDKIVIPKGRTPDAIVKNLTYIEEEDLVNNGSQSFAVFGGNQSWLQREENFNLKPSMKVHCGFLKNGGADLNPVDIEYVKRCRFLVASGIFDGYDVPHQPSNISIRSKKLFCFLMVVDEVSLKFIKENVTVRKDKDKGMWVGLWRLIPLKHQPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRDKHTYAIAQHKHHRSIYEEADANKRRKRYARPLIDLHMKIYYSEGMEPWSLKKNTISDVPEGAIIIREHTALNNLFNCLWFNEVNLFTPRDQLSFGYVVYRLKGLFKFFMFRNCEYYSLFILHPHTREHSSKVEWVKSLSEFKGSGSSMKESRGGFGLWTPYPKNLDSVTLPQVVRTSKAG >KJB47707 pep chromosome:Graimondii2_0_v6:8:4672325:4675996:-1 gene:B456_008G037500 transcript:KJB47707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLQLPQSVRLNRRGDRNNQRPFAKDDESGLFSSMRLPQDNPTKIVWKQGFIRLVLVAGILWMLLILIVLLFHVWSCQSSISFLSAMCNKEGKVFIAMDLLGLAPKPEHRCPIPVADDPDKIVIPKGRTPDAIVKNLTYIEEEDLVNNGSQSFAVFGGNQSWLQREENFNLKPSMKVHCGFLKNGGADLNPVDIEYVKRCRFLVASGIFDGYDVPHQPSNISIRSKKLFCFLMVVDEVSLKFIKENVTVRKDKDKGMWVGLWRLIPLKHQPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRDKHTYAIAQHKHHRSIYEEADANKRRKRYARPLIDLHMKIYYSEGMEPWSLKKNTISGMKGDIGLFYEIWKGCLE >KJB47706 pep chromosome:Graimondii2_0_v6:8:4672325:4674888:-1 gene:B456_008G037500 transcript:KJB47706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSYAAMCNKEGKVFIAMDLLGLAPKPEHRCPIPVADDPDKIVIPKGRTPDAIVKNLTYIEEEDLVNNGSQSFAVFGGNQSWLQREENFNLKPSMKVHCGFLKNGGADLNPVDIEYVKRCRFLVASGIFDGYDVPHQPSNISIRSKKLFCFLMVVDEVSLKFIKENVTVRKDKDKGMWVGLWRLIPLKHQPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRDKHTYAIAQHKHHRSIYEEADANKRRKRYARPLIDLHMKIYYSEGMEPWSLKKNTISDVPEGAIIIREHTALNNLFNCLWFNEVNLFTPRDQLSFGYVVYRLKGLFKFFMFRNCEYYSLFILHPHTREHSSKVEWVKSLSEFKGSGSSMKESRGGFGLWTPYPKNLDSVTLPQVVRTSKAG >KJB47704 pep chromosome:Graimondii2_0_v6:8:4672320:4676112:-1 gene:B456_008G037500 transcript:KJB47704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLQLPQSVRLNRRGDRNNQRPFAKDDESGLFSSMRLPQDNPTKIVWKQGFIRLVLVAGILWMLLILIVLLFHVWSCQSSISFLSAMCNKEGKVFIAMDLLGLAPKPEHRCPIPVADDPDKIVIPKGRTPDAIVKNLTYIEEEDLVNNGSQSFAVFGGNQSWLQREENFNLKPSMKVHCGFLKNGGADLNPVDIEYVKRCRFLVASGIFDGYDVPHQPSNISIRSKKLFCFLMVVDEVSLKFIKENVTVRKDKDKGMWVGLWRLIPLKHQPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRDKHTYAIAQHKHHRSIYEEADANKRRKRYARPLIDLHMKIYYSEGMEPWSLKKNTISDVPEGAIIIREHTALNNLFNCLWFNEVNLFTPRDQLSFGYVVYRLKGLFKFFMFRNCEYYSLFILHPHTREHSSKVEWVKSLSEFKGSGSSMKESRGGFGLWTPYPKNLDSVTLPQVVRTSKAG >KJB47708 pep chromosome:Graimondii2_0_v6:8:4673255:4675720:-1 gene:B456_008G037500 transcript:KJB47708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLQLPQSVRLNRRGDRNNQRPFAKDDESGLFSSMRLPQDNPTKIVWKQGFIRLVLVAGILWMLLILIVLLFHVWSCQSSISFLSAMCNKEGKVFIAMDLLGLAPKPEHRCPIPVADDPDKIVIPKGRTPDAIVKNLTYIEEEDLVNNGSQSFAVFGGNQSWLQREENFNLKPSMKVHCGFLKNGGADLNPVDIEYVKRCRFLVASGIFDGYDVPHQPSNISIRSKKLFCFLMVVDEVSLKFIKENVTVRKDKDKGMWVGLWRLIPLKHQPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRDKHTYAIAQHKHHRSIYEEADANKRRKRYARPLIDLHMKIYYSEGMEPWSLKKNTISGMKGDIGLFYEIWKGCLE >KJB49683 pep chromosome:Graimondii2_0_v6:8:37962712:37963645:1 gene:B456_008G133300 transcript:KJB49683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESATNEASRKRMKPDVGEKQEDHISNGTVMEEITEKEQSEAIIVGSEEMELNISHILEKIERFTQLVSELLESGKSMFKELSNDFEERLIMIHKEQMEKWQEEIKELRLIDASNEEATALLSNARFLLQNSFSES >KJB49681 pep chromosome:Graimondii2_0_v6:8:37962712:37963645:1 gene:B456_008G133300 transcript:KJB49681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESATNEASRKRMKPDVGEKQEDHISNGTVMEEITEKEQSEAIIVGSEEMELNISHILEKIERFTQLVSELLESGKSMFKELSNDFEERLIMIHKEQMEKWQEEIKELRLIDASNEEATALLSNARFLLQNSFSES >KJB49682 pep chromosome:Graimondii2_0_v6:8:37962862:37963921:1 gene:B456_008G133300 transcript:KJB49682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESATNEASRKRMKPDVGEKQEDHISNGTVMEEITEKEQSEAIIVGSEEMELNISHILEKIERFTQLVSELLESGKSMFKELSNDFEERLIMIHKEQMEKWQEEIKELRLIDASNEEATALLSNARFLLQNSFSES >KJB51087 pep chromosome:Graimondii2_0_v6:8:48540678:48542666:-1 gene:B456_008G200400 transcript:KJB51087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACIMDGKTMKCGAVSGVSTVVNAISLARLVMDKTPHIYLAFDGAEAFAREQGLETVEMSHFITPENIERLKQAQEADRVQLDYTQPIQKEVPKDAALADGDSQIGTVGCVAVDNEGNLATATSTGGIVNKMVGRIGDTPIIGAGTYANHLCAVSATGRGEAIIRGTIARDVAAVMEFKGLSLKEAAAYVIDQVPRGNAGLVAVSSTGEVAMPFNTTGMFRACATEDGYSEIAIWDSPTK >KJB51084 pep chromosome:Graimondii2_0_v6:8:48531489:48543202:-1 gene:B456_008G200400 transcript:KJB51084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAIAVHGGAGDIPLSLPPEKRLPREAGLRRCLDVGIAALKAHNHPLDVVELVVRELENNPHFNAGKGSVLTTSGTVEMEACIMDGKTMKCGAVSGVSTVVNAISLARLVMDKTPHIYLAFDGAEAFAREQGLETVEMSHFITPENIERLKQAQEADRVQLDYTQPIQKEVPKDAALADGDSQIGIVGCVAVDSEGNLATATSTGGIVSKMVGRIGDTPIIGAGTYANHLCAVSATGRGEAIIRGTIARDVAAVMEFKGLSLKEAAAYAMNRVPRGNAGLIAVSSTGEVAMLFNTTGMFRACATEDGYSEISIWDSPIE >KJB51088 pep chromosome:Graimondii2_0_v6:8:48540644:48543550:-1 gene:B456_008G200400 transcript:KJB51088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAIAVHGGAGDIPLSLPPEKRLPREAGLRRCLDVGIAALKAHNHPLDVVELVVRELENNPHFNAGKGSVLTTSGTVEMEACIMDGKTMKCGAVSGVSTVVNAISLARLVMDKTPHIYLAFDGAEAFAREQGLETVEMSHFITPENIERLKQAQEADRVQLDYTQPIQKEVPKDAALADGDSQIGTVGCVAVDNEGNLATATSTGGIVNKMVGRIGDTPIIGAGTYANHLCAVSATGRGEAIIRGTIARDVAAVMEFKGLSLKEAAAYVIDQVPRGNAGLVAVSSTGEVAMPFNTTGMFRACATEDGYSEIAIWDSPTK >KJB51083 pep chromosome:Graimondii2_0_v6:8:48531450:48543477:-1 gene:B456_008G200400 transcript:KJB51083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAIAVHGGAGDIPLSLPPEKRLPREAGLRRCLDVGIAALKAHNHPLDVVELVRELENNPHFNAGKGSVLTTSGTVEMEACIMDGKTMKCGAVSGVSTVVNAISLARLVMDKTPHIYLAFDGAEAFAREQGLETVEMSHFITPENIERLKQAQEADRVQLDYTQPIQKEVPKDAALADGDSQIGIVGCVAVDSEGNLATATSTGGIVSKMVGRIGDTPIIGAGTYANHLCAVSATGRGEAIIRGTIARDVAAVMEFKGLSLKEAAAYAMNRVPRGNAGLIAVSSTGEVAMLFNTTGMFRACATEDGYSEISIWDSPIE >KJB51086 pep chromosome:Graimondii2_0_v6:8:48540644:48543545:-1 gene:B456_008G200400 transcript:KJB51086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACIMDGKTMKCGAVSGVSTVVNAISLARLVMDKTPHIYLAFDGAEAFAREQLDYTQPIQKEVPKDAALADGDSQIGTVGCVAVDNEGNLATATSTGGIVNKMVGRIGDTPIIGAGTYANHLCAVSATGRGEAIIRGTIARDVAAVMEFKGLSLKEAAAYVIDQVPRGNAGLVAVSSTGEVAMPFNTTGMFRACATEDGYSEIAIWDSPTK >KJB51089 pep chromosome:Graimondii2_0_v6:8:48540868:48542493:-1 gene:B456_008G200400 transcript:KJB51089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACIMDGKTMKCGAVSGVSTVVNAISLARLVMDKTPHIYLAFDGAEAFAREQGLETVEMSHFITPENIERLKQAQEADRVQLDYTQPIQKEVPKDAALADGDSQIGTVGCVAVDNEGNLATATSTGGIVNKMVGRIGDTPIIGAGTYANHLCAVSATGRGEAIIRGTIARDVAAVMEFKGLSLKEAAAYVIDQVPRGNAGLVAVSSTGEVAMPFNTTGMFRACATEDGYSEIAIWDSPTK >KJB51085 pep chromosome:Graimondii2_0_v6:8:48531489:48543477:-1 gene:B456_008G200400 transcript:KJB51085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAIAVHGGAGDIPLSLPPEKRLPREAGLRRCLDVGIAALKAHNHPLDVVELVVRELENNPHFNAGKGSVLTTSGTVEMEACIMDGKTMKCGAVSGVSTVVNAISLARLVMDKTPHIYLAFDGAEAFAREQGLETVEMSHFITPENIERLKQAQEADRVQLDYTQPIQKEVPKDAALADGDSQIGTVGCVAVDNEGNLATATSTGGIVNKMVGRIGDTPIIGAGTYANHLCAVSATGRGEAIIRGTIARDVAAVMEFKGLSLKEAAAYAMNRVPRGNAGLIAVSSTGEVAMLFNTTGMFRACATEDGYSEISIWDSPIE >KJB51090 pep chromosome:Graimondii2_0_v6:8:48540678:48543477:-1 gene:B456_008G200400 transcript:KJB51090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAIAVHGGAGDIPLSLPPEKRLPREAGLRRCLDVGIAALKAHNHPLDVVELVRELENNPHFNAGKGSVLTTSGTVEMEACIMDGKTMKCGAVSGVSTVVNAISLARLVMDKTPHIYLAFDGAEAFAREQGLETVEMSHFITPENIERLKQAQEADRVQLDYTQPIQKEVPKDAALADGDSQIGTVGCVAVDNEGNLATATSTGGIVNKMVGRIGDTPIIGAGTYANHLCAVSATGRGEAIIRGTIARDVAAVMEFKGLSLKEAAAYVIDQVPRGNAGLVAVSSTGEVAMPFNTTGMFRACATEDGYSEIAIWDSPTK >KJB46709 pep chromosome:Graimondii2_0_v6:8:46127113:46131305:-1 gene:B456_008G1832002 transcript:KJB46709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] VAPGFFDMFVLAFLERLFRPTFNKDDFVLGKKLGEGAFGVVYKVSLANKKPNSKKYGDLVVKKATEYGAVEIWMNERVRRACANSCADFLYGFLEGSSKKGEEYWLVWRYEGEATLSDLMLSKEFPYNVETMILGEVQNLPKGLERENQIIQTIMRQILFALDGLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQMNLPDRFDIYSAGLIFLQMAFPSLRTDNALIQFNRQLKRCDYDLVAWRNTVEPRASPDLRKGFELLDLDNGIGWELLTSMVRYKARQRISAKAALAYPYFDREGLLALSFVQNLKLQVFRATQQDYGETAKWIINLMAKSGTEKEGGFTEAQLQDLRDIEPKKKASPQRNALASALKLQRKIIRTLNESMDELSRRSKSLWWSRWIPKEE >KJB46710 pep chromosome:Graimondii2_0_v6:8:46127113:46132154:-1 gene:B456_008G1832002 transcript:KJB46710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MATIASGGASIGLINPCNSHQLIKHPSPFLGTKFKLKLSSKASPSIPKTPGVLSLKAQLIDVVRDLFVGVGVGLPCTVMECGDIIYRSTLPKPDGLTLTLPGVILAVGALSYLWATPGVAPGFFDMFVLAFLERLFRPTFNKDDFVLGKKLGEGAFGVVYKVSLANKKPNSKVETMILGEVQNLPKGLERENQIIQTIMRQILFALDGLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQMNLPDRFDIYSAGLIFLQMAFPSLRTDNALIQFNRQLKRCDYDLVAWRNTVEPRASPDLRKGFELLDLDNGIGWELLTSMVRYKARQRISAKAALAYPYFDREGLLALSFVQNLKLQVFRATQQDYGETAKWIINLMAKSGTEKEGGFTEAQLQDLRDIEPKKKASPQRNALASALKLQRKIIRTLNESMDELSRRSKSLWWSRWIPKEE >KJB46707 pep chromosome:Graimondii2_0_v6:8:46127047:46131659:-1 gene:B456_008G1832002 transcript:KJB46707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MATIASGGASIGLINPCNSHQLIKHPSPFLGTKFKLKLSSKASPSIPKTPGVLSLKAQLIDVVRDLFVGVGVGLPCTVMECGDIIYRSTLPKPDGLTLTLPGVILAVGALSYLWATPGVAPGFFDMFVLAFLERLFRPTFNKDDFVLGKKLGEGAFGVVYKVSLANKKPNSKKYGDLVVKKATEYGAVEIWMNERVRRACANSCADFLYGFLEGSSKKGEEYWLVWRYEGEATLSDLMLSKEFPYNVETMILGEVQNLPKGLERENQIIQTIMRQILFALDGLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQMNLPDRFDIYSAGLIFLQMAFPSLRTDNALIQFNRQLKRCDYDLVAWRNTVEPRASPDLRKGFELLDLDNGIGWELLTSMVRYKARQRISAKAALAYPYFDREGLLALSFVQNLKLQVFRATQQDYGETAKWIINLMAKSGTEKEGGFTEAQLQDLRDIEPKKKASPQRNALASALKLQRKIIRTLNESMDELSRRSKSLWWSRWIPKEE >KJB46708 pep chromosome:Graimondii2_0_v6:8:46127047:46132154:-1 gene:B456_008G1832002 transcript:KJB46708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MATIASGGASIGLINPCNSHQLIKHPSPFLGTKFKLKLSSKASPSIPKTPGVLSLKAQLIDVVRDLFVGVGVGLPCTVMECGDIIYRSTLPKPDGLTLTLPGVILAVGALSYLWATPGVAPGFFDMFVLAFLERLFRPTFNKDDFVLGKKLGEGAFGVVYKVSLANKKPNSKKYGDLVVKKATEYGAVEIWMNERVRRACANSCADFLYGFLEGSSKKGEEYWLVWRYEGEATLSDLMLSKEFPYNVETMILGEVQNLPKGLERENQIIQTIMRQILFALDGLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQMNLPDRFDIYSAGLIFLQMAFPSLRTDNALIQFNRQLKRCDYDLVAWRNTVEPRASPDLRKGFELLDLDNGIGWELLTSMVRYKARQRISAKAALAYPYFDREGLLALSFVQNLKLQVFRATQQDYGETAKWIINLMAKSGTEKEGGFTEAQLQDLRDIEPKKKASPQRNALASALKLQRKIIRTLNESMDELSRRSKSLWWSRWIPKEE >KJB46706 pep chromosome:Graimondii2_0_v6:8:46127047:46131730:-1 gene:B456_008G1832002 transcript:KJB46706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MATIASGGASIGLINPCNSHQLIKHPSPFLGTKFKLKLSSKASPSIPKTPGVLSLKAQLIDVVRDLFVGVGVGLPCTVMECGDIIYRSTLPKPDGLTLTLPGVILAVGALSYLWATPGVAPGFFDMFVLAFLERLFRPTFNKDDFVLGKKLGEGAFGVVYKVSLANKKPNSKKYGDLVVKKATEYGAVEIWMNERVRRACANSCADFLYGFLEGSSKKGEEYWLVWRYEGEATLSDLMLSKEFPYNVETMILGEVQNLPKGLERENQIIQTIMRQILFALDGLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQMNLPDRFDIYSAGLIFLQMAFPSLRTDNALIQFNRQLKRCDYDLVAWRNTVEPRASPDLRKGFELLDLDNGIGWELLTSMVRYKARQRISAKAALAYPYFDREGLLALSFVQNLKLQVFRATQQDYGETAKWIINLMAKSGTEKEGGFTEAQLQDLRDIEPKKKASPQRNALASALKLQRKIIRTLNESMDELSRRSKSLWWSRWIPKEE >KJB46712 pep chromosome:Graimondii2_0_v6:8:46127310:46131657:-1 gene:B456_008G1832002 transcript:KJB46712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MATIASGGASIGLINPCNSHQLIKHPSPFLGTKFKLKLSSKASPSIPKTPGVLSLKAQLIDVVRDLFVGVGVGLPCTVMECGDIIYRSTLPKPDGLTLTLPGVILAVGALSYLWATPGVAPGFFDMFVLAFLERLFRPTFNKDDFVLGKKLGEGAFGVVYKVSLANKKPNSKKYGDLVVKKATEYGAVEIWMNERVRRACANSCADFLYGFLEGSSKKGEEYWLVWRYEGEATLSDLMLSKEFPYNVETMILGEVQNLPKGLERENQIIQTIMRQILFALDGLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQMNLPDRFDIYSAGLIFLQMAFPSLRTDNALIQFNRQLKRCDYDLVAWRNTVEPRASPDLRKGFELLDLDNGIGWELLTSMVRYKARQRISAKAALAYPYFDREGLLALSFVQNLKLQVFRATQQDYGETAKWIINLMAKSGTEKEGGFTEAQLQDLRDIEPKKKASPQRNALASALKLQRKIIRTLNESMDELSRRSKSLWWSRWIPKEE >KJB46711 pep chromosome:Graimondii2_0_v6:8:46127113:46134776:-1 gene:B456_008G1832002 transcript:KJB46711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MDEQTFGQASMVHVGMATIASGGASIGLINPCNSHQLIKHPSPFLGTKFKLKLSSKASPSIPKTPGVLSLKAQLIDVVRDLFVGVGVGLPCTVMECGDIIYRSTLPKPDGLTLTLPGVILAVGALSYLWATPGVAPGFFDMFVLAFLERLFRPTFNKDDFVLGKKLGEGAFGVVYKVSLANKKPNSKKYGDLVVKKATEYGAVEIWMNERVRRACANSCADFLYGFLEGSSKKGEEYWLVWRYEGEATLSDLMLSKEFPYNVETMILGEVQNLPKGLERENQIIQTIMRQILFALDGLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQMNLPDRFDIYSAGLIFLQMAFPSLRTDNALIQFNRQLKRCDYDLVAWRNTVEPRASPDLRKGFELLDLDNGIGWELLTSMVRYKARQRISAKAALAYPYFDREGLLALSFVQNLKLQVFRATQQDYGETAKWIINLMAKSGTEKEGGFTEAQLQDLRDIEPKKKASPQRNALASALKLQRKIIRTLNESMDELSRRSKSLWWSRWIPKEE >KJB50919 pep chromosome:Graimondii2_0_v6:8:47699752:47704747:-1 gene:B456_008G193100 transcript:KJB50919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLVLCVDRLITPESLQSMQETEAAGSSGESSSQSSDPNACAIGVKEVEEHGCCDEEEPLIQTAECRICQEEDCIKNLETPCACNGSLKFAHRKCVQHWCNEKGDITCEICHQPYQPGYTAPPPPPQSEDATIDISEGWTISGAPLDLRDPRILAMAAAERHLLEADYDEYAESNASGAAFCRSAVLILMALLLLRHALYLTAGDGDDDASAFFSLFMLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFMIQAGQRRGLFTIAPGPAAAAHQEPLQ >KJB50917 pep chromosome:Graimondii2_0_v6:8:47699783:47704446:-1 gene:B456_008G193100 transcript:KJB50917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLVLCVDRLITPESLQSMQETEAAGSSGESSSQSSDPNACAIGVKEVEEHGCCDEEEPLIQTAECRICQEEDCIKNLETPCACNGSLKFAHRKCVQHWCNEKGDITCEICHQPYQPGYTAPPPPPQSEDATIDISEGWTISGAPLDLRDPRILAMAAAERHLLEADYDEYAESNASGAAFCRSAVLILMALLLLRHALYLTAGDGDDDASAFFSLFMLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFMIQAGQRRGLFTIAPGPAAAAHQEPLQ >KJB50918 pep chromosome:Graimondii2_0_v6:8:47699782:47704689:-1 gene:B456_008G193100 transcript:KJB50918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLVLCVDRLITPESLQSMQETEAAGSSGESSSQSSDPNACAIGVKEVEEHGCCDEEEPLIQTAECRICQEEDCIKNLETPCACNGSLKFAHRKCVQHWCNEKGDITCEICHQPYQPGYTAPPPPPQSEDATIDISEGWTISGAPLDLRDPRILAMAAAERHLLEADYDEYAESNASGAAFCRSAVLILMALLLLRHALYLTAGDGDDDASAFFSLFMLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFMIQAGQRRGLFTIAPGPAAAAHQEPLQ >KJB50173 pep chromosome:Graimondii2_0_v6:8:42047198:42051087:1 gene:B456_008G157400 transcript:KJB50173 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLIP6 [Source:Projected from Arabidopsis thaliana (AT1G71120) UniProtKB/TrEMBL;Acc:A0A178WCW6] MVVAKSIHQTDSTLLALGVVFCKEPIKTGLVIDGTPQGVLPIQEQLRQFKTLIEQKAINRSVVERSLFFLESGSNDIFNYFLPLDTPKLDPDAYVEAMVKEVTNLTDQIYKVGARRIVVFSLGPVGCVPARALLPAAPIKRCYGKMNAMVKKYNKGLESLVNDIPRRHPGAIGVYGAVYDTVQHLRAAPTRYGFSNVSSACCGDGPLGGEVQCGKEGYKICQNPQGFLFWDYFHPTQHTYELISKALWEGKKSRIRPINVKTLTNLTLALA >KJB50174 pep chromosome:Graimondii2_0_v6:8:42047198:42051309:1 gene:B456_008G157400 transcript:KJB50174 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLIP6 [Source:Projected from Arabidopsis thaliana (AT1G71120) UniProtKB/TrEMBL;Acc:A0A178WCW6] MEKKNLYTTHLLILLVLINLSPSLNVPAIFIFGDSVFDAGNNHFIKNCSVQADFPPYGSTFFHHPTGRFTDGRTVADFISQFIGIGLQQPYLEAQIAVMNGSSKEYPSNGLNFASAGSGVLQGTNKDWGVLPIQEQLRQFKTLIEQKAINRSVVERSLFFLESGSNDIFNYFLPLDTPKLDPDAYVEAMVKEVTNLTDQIYKVGARRIVVFSLGPVGCVPARALLPAAPIKRCYGKMNAMVKKYNKGLESLVNDIPRRHPGAIGVYGAVYDTVQHLRAAPTRYGFSNVSSACCGDGPLGGEVQCGKEGYKICQNPQGFLFWDYFHPTQHTYELISKALWEGKKSRIRPINVKTLTNLTLALA >KJB52952 pep chromosome:Graimondii2_0_v6:8:56071639:56074382:1 gene:B456_008G285000 transcript:KJB52952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRKIVVVVEDVDVSRKALQWSLHNLLRYGDLLTLLHVFTATKSTNKKKARLLRLQGYQLALSFKEICNSNFFNVSESTHPPTPPTPLKSLIKRLVFFVFLYNIVEQTNIEMVVTEGDQEGRKIVAMVREIGASVLVVGLHHQSFLYRLALGQDNIANSLSCRVLAIKQPELSPPPPLRAKTRERLPPLDSSTTMDFSQIEISGLHVPDIPPPKIPYRICPSPSAIIWRPRGSRKKKSCSNGGA >KJB52951 pep chromosome:Graimondii2_0_v6:8:56071599:56074457:1 gene:B456_008G285000 transcript:KJB52951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRKIVVVVEDVDVSRKALQWSLHNLLRYGDLLTLLHVFTATKSTNKKKARLLRLQGYQLALSFKEICNSNFFNTNIEMVVTEGDQEGRKIVAMVREIGASVLVVGLHHQSFLYRLALGQDNIANSLSCRVLAIKQPELSPPPPLRAKTRERLPPLDSSTTMDFSQIEISGLHVPDIPPPKIPYRICPSPSAIIWRPRGSRKKKSCSNGGA >KJB48098 pep chromosome:Graimondii2_0_v6:8:7669045:7673975:1 gene:B456_008G053500 transcript:KJB48098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G25380) UniProtKB/Swiss-Prot;Acc:Q8RWA5] MGSEGGGQSSHPLRITVRELICHACSGATADSVIITSLQHIIKTEGLKGLYRGLSPTIIALLPNWAVYFTVYEQLKGLLASHDNNSAQLTIGENMVAAAGAGAATAIVTNPLWVVKTRLQTQGMRTGVVPYTGVLSALRRIVHEEGLRGLYSGVLPSLAGISHVAIQFPAYEKIKSYMAKKGNTTVDRLSPSDVAIASSISKVLASIMTYPHEVIRSRLQEQGQARHTEVQYAGVVDCIRKVFRKEGVSGFYRGCATNLLRTTPSAVITFTSYEMIHRFLNQVLPPERKKSEAGPRSDGHVNKSWPNKRASAEESKISQTHSNKITP >KJB48097 pep chromosome:Graimondii2_0_v6:8:7669045:7673975:1 gene:B456_008G053500 transcript:KJB48097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G25380) UniProtKB/Swiss-Prot;Acc:Q8RWA5] MGSEGGGQSSHPLRITVRELICHACSGATAGAIAATFVCPLDVIKTRLQVHGLPTASKTGVRDSVIITSLQHIIKTEGLKGLYRGLSPTIIALLPNWAVYFTVYEQLKGLLASHDNNSAQLTIGENMVAAAGAGAATAIVTNPLWVVKTRLQTQGMRTGVVPYTGVLSALRRIVHEEGLRGLYSGVLPSLAGISHVAIQFPAYEKIKSYMAKKGNTTVDRLSPSDVAIASSISKVLASIMTYPHEVIRSRLQEQGQARHTEVQYAGVVDCIRKVFRKEGVSGFYRGCATNLLRTTPSAVITFTSYEMIHRFLNQVLPPERKKSEAGPRSDGHVNKSWPNKRASAEESKISQTHSNKITP >KJB48100 pep chromosome:Graimondii2_0_v6:8:7669045:7673975:1 gene:B456_008G053500 transcript:KJB48100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G25380) UniProtKB/Swiss-Prot;Acc:Q8RWA5] MGSEGGGQSSHPLRITVRELICHACSGATAGAIAATFVCPLDVIKTRLQVHGLPTASKTGVRDSVIITSLQHIIKTEGLKGLYRGLSPTIIALLPNWAVYFTVYEQLKGLLASHDNNSAQLTIGENMVAAAGAGAATAIVTNPLWVVKTRLQTQGMRTGVVPYTGVLSALRRIVHEEGLRGLYSGVLPSLAGISHVAIQFPAYEKIKSYMAKKGNTTVDRLSPSDVAIASSISKVLASIMTYPHEVIRSRLQEQGQARHTEVQYAGVVDCIRKVFRKEGVSGFYRGCATNLLRTTPSAVITFTSYEMIHRFLNQVLPPERKKSEAGPRSDGHVNKSWPNKRASAEESKISQTHSNKITP >KJB48099 pep chromosome:Graimondii2_0_v6:8:7669600:7673509:1 gene:B456_008G053500 transcript:KJB48099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G25380) UniProtKB/Swiss-Prot;Acc:Q8RWA5] MGSEGGGQSSHPLRITVRELICHACSGATAGAIAATFVCPLDVIKTRLQVHGLPTASKTGVRDSVIITSLQHIIKTEGLKGLYRGLSPTIIALLPNWAVYFTVYEQLKGLLASHDNNSAQLTIGENMVAAAGAGAATAIVTNPLWVVKTRLQTQGMRTGVVPYTGVLSALRRIVHEEGLRGLYSGVLPSLAGISHVAIQFPAYEKIKSYMAKKGNTTVDRLSPSDVAIASSISKVLASIMTYPHEV >KJB48101 pep chromosome:Graimondii2_0_v6:8:7670714:7673975:1 gene:B456_008G053500 transcript:KJB48101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G25380) UniProtKB/Swiss-Prot;Acc:Q8RWA5] MVGSCNFINSSRLTCALPNPASVVLVSSKVIHCDFADNNSAQLTIGENMVAAAGAGAATAIVTNPLWVVKTRLQTQGMRTGVVPYTGVLSALRRIVHEEGLRGLYSGVLPSLAGISHVAIQFPAYEKIKSYMAKKGNTTVDRLSPSDVAIASSISKVLASIMTYPHEVIRSRLQEQGQARHTEVQYAGVVDCIRKVFRKEGVSGFYRGCATNLLRTTPSAVITFTSYEMIHRFLNQVLPPERKKSEAGPRSDGHVNKSWPNKRASAEESKISQTHSNKITP >KJB52979 pep chromosome:Graimondii2_0_v6:8:56195324:56196419:-1 gene:B456_008G286400 transcript:KJB52979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEDNKGNKRTRVEFEDFETEGNLESVHNSKLARVDSENTGSNSSEVTYVEPDPDDEGIQSPDVKRIQEDLLDILDDSDPVIGLDPEIQGLDLVIKSFEEEISVPAQDPVQELDSGESRLELGFGLEASGYELDLQPDFEEKLATLDVEEGGGTGAVGLADMMGYEFSIPSYESFEFGVGGDWVINSDNNSHSKSGDCVAFGGLFDTSADISELTWHPESLSAL >KJB48212 pep chromosome:Graimondii2_0_v6:8:9144710:9149557:1 gene:B456_008G058500 transcript:KJB48212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILSSFPFMNIMCWGWVLVMERCNRFGCWFWVDDEGDRVLGTVTKGWVWFVWLGLVVGSFYLIPCVKHGYGYSKYSDEDQSSALAPSALSWLRTDPAALSPTWLNRKLSLQLQLFWDRLQYLQLKKEKKFHKMENPLAHFPQPELVDQPSAPVLASSNVPPLACPLPHSLPSRPPESFMHKNRLQEFTQRSSIQLPVYQTVNEGSVHAPQFRSSVLVDGVTYTSEATFSNRKAAEQDVAKHALECISKKLKDEGCPLIREDTVFCKSILNEFVVKMNLEMPTYNTIQSGGVLPLFVSTLVFNGATYRGETGRNKKEAEQLAARVVIQSLLADDRYGTVVSEIIKSKAKLYDALNKAKDSSFDTTLTGANRLNHNNTEVENNEVTNHVPNSTHPSSGAKHPRHEFKVPKSGEGTDCIDLPIAFVPAVIGQGSDVGESSSKKQRKKKKRAKLNTNTQSVVTGNPFNQTTPCSLAL >KJB48206 pep chromosome:Graimondii2_0_v6:8:9145734:9149557:1 gene:B456_008G058500 transcript:KJB48206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPLAHFPQPELVDQPSAPVLASSNVPPLACPLPHSLPSRPPESFMHKNRLQEFTQRSSIQLPVYQTVNEGSVHAPQFRSSVLVDGVTYTSEATFSNRKAAEQDVAKHALECISKKLKDEGCPLIREDTVFCKSILNEFVVKMNLEMPTYNTIQSGGVLPLFVSTLVFNGATYRGETGRNKKEAEQLAARVVIQSLLADDRYGTVVSEIIKSKAKLYDALNKAKDSSFDTTLTGANRLNHNNTEVENNEVTNHVPNSTHPSSGAKHPRHEFKVPKSGEGTDCIDLPIAFVPAVIGQGSDVGESSSKKQRKKKKRAKLNTNTQSVVTGNPFNQTTPCSLAL >KJB48213 pep chromosome:Graimondii2_0_v6:8:9144689:9149557:1 gene:B456_008G058500 transcript:KJB48213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPLAHFPQPELVDQPSAPVLASSNVPPLACPLPHSLPSRPPESFMHKNRLQEFTQRSSIQLPVYQTVNEGSVHAPQFRSSVLVDGVTYTSEATFSNRKAAEQDVAKHALECISKKLKDEGCPLIREDTVFCKSILNEFVVKMNLEMPTYNTIQSGGVLPLFVSTLVFNGATYRGETGRNKKEAEQLAARVVIQSLLADDRYGTVVSEIIKSKAKLYDALNKAKDSSFDTTLTGANRLNHNNTEVENNEVTNHVPNSTHPSSGAKHPRHEFKVPKSGEGTDCIDLPIAFVPAVIGQGSDVGESSSKKQRKKKKRAKLNTNTQSVVTGNPFNQTTPCSLAL >KJB48210 pep chromosome:Graimondii2_0_v6:8:9144546:9149557:1 gene:B456_008G058500 transcript:KJB48210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPLAHFPQPELVDQPSAPVLASSNVPPLACPLPHSLPSRPPESFMHKNRLQEFTQRSSIQLPVYQTVNEGSVHAPQFRSSVLVDGVTYTSEATFSNRKAAEQDVAKHALECISKKLKDEGCPLIREDTVFCKSILNEFVVKMNLEMPTYNTIQSGGVLPLFVSTLVFNGATYRGETGRNKKEAEQLAARVVIQSLLADDRYGTVVSEIIKSKAKLYDALNKAKDSSFDTTLTGANRLNHNNTEVENNEVTNHVPNSTHPSSGAKHPRHEFKVPKSGEGR >KJB48208 pep chromosome:Graimondii2_0_v6:8:9144538:9149588:1 gene:B456_008G058500 transcript:KJB48208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPLAHFPQPELVDQPSAPVLASSNVPPLACPLPHSLPSRPPESFMHKNRLQEFTQRSSIQLPVYQTVNEGSVHAPQFRSSVLVDGVTYTSEATFSNRKAAEQDVAKHALECISKKLKDEGCPLIREDTVFCKSILNEFVVKMNLEMPTYNTIQSGGVLPLFVSTLVFNGATYRGETGRNKKEAEQLAARVVIQSLLADDRYGTVVSEIIKSKAKLYDALNKAKDSSFDTTLTGANRLNHNNTEVENNEVTNHVPNSTHPSSGAKHPRHEFKVPKSGEGTDCIDLPIAFVPAVIGQGSDVGESSSKKQRKKKKRAKLNTNTQSVVTGNPFNQTTPCSLAL >KJB48209 pep chromosome:Graimondii2_0_v6:8:9144546:9149557:1 gene:B456_008G058500 transcript:KJB48209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPLAHFPQPELVDQPSAPVLASSNVPPLACPLPHSLPSRPPESFMHKNRLQEFTQRSSIQLPVYQTVNEGSVHAPQFRSSVLVDGVTYTSEATFSNRKAAEQDVAKHALECISKKLKDEGCPLIREMNLEMPTYNTIQSGGVLPLFVSTLVFNGATYRGETGRNKKEAEQLAARVVIQSLLADDRYGTVVSEIIKSKAKLYDALNKAKDSSFDTTLTGANRLNHNNTEVENNEVTNHVPNSTHPSSGAKHPRHEFKVPKSGEGTDCIDLPIAFVPAVIGQGSDVGESSSKKQRKKKKRAKLNTNTQSVVTGNPFNQTTPCSLAL >KJB48207 pep chromosome:Graimondii2_0_v6:8:9144546:9148487:1 gene:B456_008G058500 transcript:KJB48207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPLAHFPQPELVDQPSAPVLASSNVPPLACPLPHSLPSRPPESFMHKNRLQEFTQRSSIQLPVYQTVNEGSVHAPQFRSSVLVDGVTYTSEATFSNRKAAEQDVAKHALECISKKLKDEGCPLIREDTVFCKSILNEFVVKMNLEMPTYNTIQSGGVLPLFVSTLVFNGATYRGETGRNKKEAEQLAARVVIQSLLADDRYGTVVSEIIKSKAKLYDALNKAKDSSFDTTLTGANRLNHNNTEVENNEVTNHVPNSTHPSSGAKHPRHEFKVPKSGEGTDCIDLPIAFVPAVIGQGSDVGESSSKKQRKKKKRAKLNTNTQ >KJB48211 pep chromosome:Graimondii2_0_v6:8:9144546:9149557:1 gene:B456_008G058500 transcript:KJB48211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPLAHFPQPELVDQPSAPVLASSNVPPLACPLPHSLPSRPPESFMHKNRLQEFTQRSSIQLPVYQTVNEGSVHAPQFRSSVLVDGVTYTSEATFSNRKAAEQDVAKHALECISKKLKDEGCPLIREDTVFCKSILNEFVVKMNLEMPTYNTIQSGGVLPLFVSTLVFNGATYRGETGRNKKEAEQLAARVVIQSLLADDRYGTVVSEIIKSKAKLYDALNKAKDSSFDTTLTGANRLNHNNTEVENNEVTNHVPNSTHPSSGAKHPRHEFKVPKSGEGTDCIDLPIAFVPAVIGQGSDVGESSSKKQRKKKKRAKLNTNTQSVVTGNPFNQTTPCSLAL >KJB51071 pep chromosome:Graimondii2_0_v6:8:48487248:48491735:1 gene:B456_008G200000 transcript:KJB51071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVFSAASRSISKLISFTALLLFLFSCSTFTSTEAYDALDPTGNITIKWDVISWTPDGYVAVVTMYNFQQYRHIQAPGWTLGWTWAKKEVIWSMMGSQTTEQGDCSKYKGNVPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLNSWVQDPANAASSFQVSVGAAGTTNKTVRVPRNFTLKAPGPGYTCGPAKIVKPTKFITADKRRITQAMMTWNVTCTYSQFLAQKTPTCCVSLSSFYNNTIVNCPTCACGCQNNSTESGSCVSERSSHLASAVPGKSTNAPPLLQCTSHMCPVRIHWHVKLNYKEYWRVKITITNFNYALNYSQWNLVVQHPNFDNLTQLFSFNYKPLTPYAGLNDTAMLWGLKFYNDFLNEAGPLGNVQSELLFRKDASTFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNTGLKPVISLFQPVMTILASCVFLWANM >KJB51070 pep chromosome:Graimondii2_0_v6:8:48487248:48491853:1 gene:B456_008G200000 transcript:KJB51070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVFSAASRSISKLISFTALLLFLFSCSTFTSTEAYDALDPTGNITIKWDVISWTPDGYVAVVTMYNFQQYRHIQAPGWTLGWTWAKKEVIWSMMGSQTTEQGDCSKYKGNVPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLNSWVQDPANAASSFQVSVGAAGTTNKTVRVPRNFTLKAPGPGYTCGPAKIVKPTKFITADKRRITQAMMTWNVTCTYSQFLAQKTPTCCVSLSSFYNNTIVNCPTCACGCQNNSTESGSCVSERSSHLASAVPGKSTNAPPLLQCTSHMCPVRIHWHVKLNYKEYWRVKITITNFNYALNYSQWNLVVQHPNFDNLTQLFSFNYKPLTPYAGLNDTAMLWGLKFYNDFLNEAGPLGNVQSELLFRKDASTFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNTGLKPVISLFQPVMTILASCVFLWANM >KJB48601 pep chromosome:Graimondii2_0_v6:8:14372987:14379587:-1 gene:B456_008G077200 transcript:KJB48601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAGGRILFITMEARASQNAGRVMDGPTNPMVTPLLTDLYQFTMAYAYWKAGKHNERAVFDLYFRKNPFGGEFTVFAGLEECIKLIANFKLTEEEVSFIHKSLPGSCEEGFLNYLREIDCSDVEVYAISEGTVVFPKVPLLRLEGPVAVVQLLETPVLNLVNFASLVTTNAARHRLVAGQSKMLFEFGLRRAQGPDGAIGASKYCYIGGFDATSNVAAGRLFGIPLRGTHSHAFVSSFMSPDEILERSLCSSDGSSTCEDFVTLVHSWFSKIQWLKSLGGIFGETNQSELAAFISYALAFPNNFLALVDTYDVIRSGVPNFCAVALALSDLGYRAVGIRLDSGDLAYLSSEARKIFQTIEKELGVPGFGKMIITASNDLNEETLDAIRKQGHEVDCFGIGTYLVTCYAQAALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRCYRLYGKEGYSLVDIMTGENEPCPKVGERILCRHPFSESKRAYVVPKRVEELLKCYWPGKSGKVREELPALKDIRDHCIKQLEQMRPDHIRRLNPTPYKVQSNDSNGVGGASLT >KJB48602 pep chromosome:Graimondii2_0_v6:8:14372987:14379427:-1 gene:B456_008G077200 transcript:KJB48602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAGGRILFITMEARASQNAGRVMDGPTNPMVTPLLTDLYQFTMAYAYWKAGKHNERAVFDLYFRKNPFGGEFTVFAGLEECIKLIANFKLTEEEVSFIHKSLPGSCEEGFLNYLREIDCSDVEVYAISEGTVVFPKVPLLRLEGPVAVVQLLETPVLNLVNFASLVTTNAARHRLVAGQSKMLFEFGLRRAQGPDGAIGASKYCYIGGFDATSNVAAGRLFGIPLRGTHSHAFVSSFMSPDEILERSLCSSDGSSTCEDFVTLVHSWFSKIQWLKSLGGIFGETNQSELAAFISYALAFPNNFLALVDTYDVIRSGVPNFCAVALALSDLGYRAVGIRLDSGDLAYLSSEARKIFQTIEKELGVPGFGKMIITASNDLNEETLDAIRKQGHEVDCFGIGTYLVTCYAQAALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRCYRLYGKEGYSLVDIMTGENEPCPKVGERILCRHPFSESKRAYVVPKRVEELLKCYWPGKSGKVREELPALKDIRDHCIKQLEQMRPDHIRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >KJB48600 pep chromosome:Graimondii2_0_v6:8:14373993:14379427:-1 gene:B456_008G077200 transcript:KJB48600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAGGRILFITMEARASQNAGRVMDGPTNPMVTPLLTDLYQFTMAYAYWKAGKHNERAVFDLYFRKNPFGGEFTVFAGLEECIKLIANFKLTEEEVSFIHKSLPGSCEEGFLNYLREIDCSDVEVYAISEGTVVFPKVPLLRLEGPVAVVQLLETPVLNLVNFASLVTTNAARHRLVAGQSKMLFEFGLRRAQGPDGAIGASKYCYIGGFDATSNVAAGRLFGIPLRGTHSHAFVSSFMSPDEILERSLCSSDGSSTCEDFVTLVHSWFSKIQWLKSLGGIFGETNQSELAAFISYALAFPNNFLALVDTYDVIRSGVPNFCAVALALSDLGYRAVGIRLDSGDLAYLSSEARKIFQTIEKELGVPGFGKMIITASNDLNEETLDAIRKQGHEVDCFGIGTYLVTCYAQAALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRCYRLYGKEGYSLVDIMTGENEPCPKVGERILCRHPFSESKRAYVVPKRVEELLKCYWPGKSGRSSEYPSSPWKSIIQHLSWENG >KJB53150 pep chromosome:Graimondii2_0_v6:8:56846434:56850224:1 gene:B456_008G295200 transcript:KJB53150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PEX14 [Source:Projected from Arabidopsis thaliana (AT5G62810) UniProtKB/Swiss-Prot;Acc:Q9FXT6] MATTTQSPSPPNSNDDKPQPSSAEVVEQANGVQQDVRPEATKQNVPASVFVNSEPIREDQVSNAVKFLSHPKVRGSPVIYRRSFLERKGLTKEEIDEAFRRVPDPPPSSQPASSNQDGQVITSSNVQSQAAVPAPQPVVAAPTGMVPARTVARWQFHWYHAVFGLGFLAASGAGSALLIKNVIVPRLKSWIRKVVLEEENDHAKKLDAKPSLAEEAAAAAKAAAAAAADVAKASQEMLNSKNEERRRFEEFMNLMDAQVQEMKLMSNSIRKLEGQANSPSRTFSDHEDHRVSASSTKQTYPNGKVDIDVLSARSSSPPVSAEPPHPKSYMEIMAMIQRGEKPSNIREVNDMPPNPNQQISNPRITPRSKPWEAQNGSSQVLQSQRSAEGLNRQDNGLNYLVDDESSTPWWQRKNVRITEIENENENEVKAAAGPYGVRTEQPVQRTWVPPQPPPVAIPEAAEAIRRPKPLASKEQSADEQSMVHPAEPIDELQRITKISESGGSVEMNVGFPSGTSSDVQEQEVSYEGN >KJB53147 pep chromosome:Graimondii2_0_v6:8:56846386:56850245:1 gene:B456_008G295200 transcript:KJB53147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PEX14 [Source:Projected from Arabidopsis thaliana (AT5G62810) UniProtKB/Swiss-Prot;Acc:Q9FXT6] MATTTQSPSPPNSNDDKPQPSSEVVEQANGVQQDVRPEATKQNVPASVFVNSEPIREDQVSNAVKFLSHPKVRGSPVIYRRSFLERKGLTKEEIDEAFRRVPDPPPSSQPASSNQDGQVITSSNVQSQAAVPAPQPVVAAPTGMVPARTVARWQFHWYHAVFGLGFLAASGAGSALLIKNVIVPRLKSWIRKVVLEEENDHAKKLDAKPSLAEEAAAAAKAAAAAAADVAKASQEMLNSKNEERRRFEEFMNLMDAQVQEMKLMSNSIRKLEGQANSPSRTFSDHEDHRVSASSTKQTYPNGKVDIDVLSARSSSPPVSAEPPHPKSYMEIMAMIQRGEKPSNIREVNDMPPNPNQQISNPRITPRSKPWEAQNGSSQVLQSQRSAEGLNRQDNGLNYLVDDESSTPWWQRKNVRITEIENENENEVKAAAGPYGVRTEQPVQRTWVPPQPPPVAIPEAAEAIRRPKPLASKEQSADEQSMVHPAEPIDELQRITKISESGGSVEMNVGFPSGTSSDVQEQEVSYEGN >KJB53151 pep chromosome:Graimondii2_0_v6:8:56847422:56849877:1 gene:B456_008G295200 transcript:KJB53151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PEX14 [Source:Projected from Arabidopsis thaliana (AT5G62810) UniProtKB/Swiss-Prot;Acc:Q9FXT6] MELDGQVITSSNVQSQAAVPAPQPVVAAPTGMVPARTVARWQFHWYHAVFGLGFLAASGAGSALLIKNVIVPRLKSWIRKVVLEEENDHAKKLDAKPSLAEEAAAAAKAAAAAAADVAKASQEMLNSKNEERRRFEEFMNLMDAQVQEMKLMSNSIRKLEGQANSPSRTFSDHEDHRVSASSTKQTYPNGKVDIDVLSARSSSPPVSAEPPHPKSYMEIMAMIQRGEKPSNIREVNDMPPNPNQQISNPRITPRSKPWEAQNGSSQVLQSQRSAEGLNRQDNGLNYLVDDESSTPWWQRKNVRITEIENENENEVKAAAGPYGVRTEQPVQRTWVPPQPPPVAIPEAAEAIRRPKPLASKEQSADEQSMVHPAEPIDELQRITKISESGGSVEMNVGFPSGTSSDVQEQEVSYEGN >KJB53148 pep chromosome:Graimondii2_0_v6:8:56847095:56849877:1 gene:B456_008G295200 transcript:KJB53148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PEX14 [Source:Projected from Arabidopsis thaliana (AT5G62810) UniProtKB/Swiss-Prot;Acc:Q9FXT6] MLLNSFHIPKLGVHLLFIEDPFLKGKALQRRRLMKLFGVCLIHLLVHSQLVRIKVMHYGQVITSSNVQSQAAVPAPQPVVAAPTGMVPARTVARWQFHWYHAVFGLGFLAASGAGSALLIKNVIVPRLKSWIRKVVLEEENDHAKKLDAKPSLAEEAAAAAKAAAAAAADVAKASQEMLNSKNEERRRFEEFMNLMDAQVQEMKLMSNSIRKLEGQANSPSRTFSDHEDHRVSASSTKQTYPNGKVDIDVLSARSSSPPVSAEPPHPKSYMEIMAMIQRGEKPSNIREVNDMPPNPNQQISNPRITPRSKPWEAQNGSSQVLQSQRSAEGLNRQDNGLNYLVDDESSTPWWQRKNVRITEIENENENEVKAAAGPYGVRTEQPVQRTWVPPQPPPVAIPEAAEAIRRPKPLASKEQSADEQSMVHPAEPIDELQRITKISESGGSVEMNVGFPSGTSSDVQEQEVSYEGN >KJB53149 pep chromosome:Graimondii2_0_v6:8:56846430:56850224:1 gene:B456_008G295200 transcript:KJB53149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PEX14 [Source:Projected from Arabidopsis thaliana (AT5G62810) UniProtKB/Swiss-Prot;Acc:Q9FXT6] MATTTQSPSPPNSNDDKPQPSSEVVEQANGVQQDVRPEATKQNVPASVFVNSEPIREDQVSNAVKFLSHPKVRGSPVIYRRSFLERKGLTKEEIDEAFRRVPDPPPSSQPASSNQDGQVITSSNVQSQAAVPAPQPVVAAPTGMVPARTVARWQFHWYHAVFGLGFLAASGAGSALLIKNVIVPRLKSWIRKVVLEEENDHAKKLDAKPSLAEEAAAAAKAAAAAAADVAKASQEMLNSKNEERRRFEEFMNLMDAQVQEMKLMSNSIRKLEGQANSPSRTFSDHEDHRVSASSTKIMAMIQRGEKPSNIREVNDMPPNPNQQISNPRITPRSKPWEAQNGSSQVLQSQRSAEGLNRQDNGLNYLVDDESSTPWWQRKNVRITEIENENENEVKAAAGPYGVRTEQPVQRTWVPPQPPPVAIPEAAEAIRRPKPLASKEQSADEQSMVHPAEPIDELQRITKISESGGSVEMNVGFPSGTSSDVQEQEVSYEGN >KJB50126 pep chromosome:Graimondii2_0_v6:8:41360796:41372029:-1 gene:B456_008G154700 transcript:KJB50126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MSRGIPEPKLTAMDAMIDKLTGAIFVFQIVVVMVLGIAGNVWKDTEARKQWYVQYPIEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDTEMIDYETGIPSHATNTAISEDLGQVEYIMTDKTGTLTENRMIFRRCCISGVFYGNESGDALKDTKLLNAVAGSSPDVVQFLTVMAICNTVVPIKSKTGAISYKAQSQDEDALVNAAAQLHMVYANKTANILEIRFNGSVIKYEVLEILEFTSDRKRMSVVVKDCQNGKIVLLSKGADEAILPYAYVGQQTRTFIEAVEQYAQLGLRTLCLACRELREDEYQEWSLLFKEASSTLVDREWRIAEVCQRLEHDFEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVCRSLERVLLTMRITSSEPKDVAFVVDGWALEIALKHYRKAFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLVICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSEGTVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVITIHAYAYEKSEMEELSMVALSGCIWLQAFVVALETNSFTILQHLAIWGNLVAFYVINWIFSAIPASGMYTIMFRLCRQLSYWITMSLIVAAGMGPVLALKYFRYTYRPSKINTLQQAERMGGPILTLGNIEPHPRPMEKEVVSPLQISQPKNRNPVYEPLLSDSPNSSRRSLGSGTPFDFFQSQSRLSSSYSRNCKDN >KJB50127 pep chromosome:Graimondii2_0_v6:8:41360796:41373827:-1 gene:B456_008G154700 transcript:KJB50127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MGIDFELLHKIKGVIECPNPDKDITRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWACGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQIVVVMVLGIAGNVWKDTEARKQWYVQYPIEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDTEMIDYETGIPSHATNTAISEDLGQVEYIMTDKTGTLTENRMIFRRCCISGVFYGNESGDALKDTKLLNAVAGSSPDVVQFLTVMAICNTVVPIKSKTGAISYKAQSQDEDALVNAAAQLHMVYANKTANILEIRFNGSVIKYEVLEILEFTSDRKRMSVVVKDCQNGKIVLLSKGADEAILPYAYVGQQTRTFIEAVEQYAQLGLRTLCLACRELREDEYQEWSLLFKEASSTLVDREWRIAEVCQRLEHDFEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVCRSLERVLLTMRITSSEPKDVAFVVDGWALEIALKHYRKAFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLVICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSEGTVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVITIHAYAYEKSEMEELSMVALSGCIWLQAFVVALETNSFTILQHLAIWGNLVAFYVINWIFSAIPASGMYTIMFRLCRQLSYWITMSLIVAAGMGPVLALKYFRYTYRPSKINTLQQAERMGGPILTLGNIEPHPRPMEKEVVSPLQISQPKNRNPVYEPLLSDSPNSSRRSLGSGTPFDFFQSQSRLSSSYSRNCKDN >KJB50131 pep chromosome:Graimondii2_0_v6:8:41362039:41374772:-1 gene:B456_008G154700 transcript:KJB50131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MKRYVYINDNESSHELYCDNRISNRKYTVLNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSASKEAWDDYNRYLSDKKANEKLVWVVRQGIRKHIQAQDIHVGNIVWLRENDEVPCDLVLIGTSDPQGLCYVETAALDGETDLKTRVIPSACMGIDFELLHKIKGVIECPNPDKDITRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWACGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQIVVVMVLGIAGNVWKDTEARKQWYVQYPIEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDTEMIDYETGIPSHATNTAISEDLGQVEYIMTDKTGTLTENRMIFRRCCISGVFYGNESGDALKDTKLLNAVAGSSPDVVQFLTVMAICNTVVPIKSKTGAISYKAQSQDEDALVNAAAQLHMVYANKTANILEIRFNGSVIKYEVLEILEFTSDRKRMSVVVKDCQNGKIVLLSKGADEAILPYAYVGQQTRTFIEAVEQYAQLGLRTLCLACRELREDEYQEWSLLFKEASSTLVDREWRIAEVCQRLEHDFEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVCRSLERVLLTMRITSSEPKDVAFVVDGWALEIALKHYRKAFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLVICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSEGTVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVITIHAYAYEKSEMEELSMVALSGCIWLQAFVVALETKYASI >KJB50129 pep chromosome:Graimondii2_0_v6:8:41360799:41375560:-1 gene:B456_008G154700 transcript:KJB50129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MKRYVYINDNESSHELYCDNRISNRKYTVLNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSASKEAWDDYNRYLSDKKANEKLVWVVRQGIRKHIQAQDIHVGNIVWLRENDEVPCDLVLIGTSDPQGLCYVETAALDGETDLKTRVIPSACMGIDFELLHKIKGVIECPNPDKDITRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWACGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQIVVVMVLGIAGNVWKDTEARKQWYVQYPIEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDTEMIDYETGIPSHATNTAISEDLGQVEYIMTDKTGTLTENRMIFRRCCISGVFYGNESGDALKDTKLLNAVAGSSPDVVQFLTVMAICNTVVPIKSKTGAISYKAQSQDEDALVNAAAQLHMVYANKTANILEIRFNGSVIKYEVLEILEFTSDRKRMSVVVKDCQNGKIVLLSKGADEAILPYAYVGQQTRTFIEAVEQYAQLGLRTLCLACRELREDEYQEWSLLFKEASSTLVDREWRIAEVCQRLEHDFEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVCRSLERVLLTMRITSSEPKDVAFVVDGWALEIALKHYRKAFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLVICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSEGTVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVITIHAYAYEKSEMEELSMVALSGCIWLQAFVVALETNSFTILQHLAIWGNLVAFYVINWIFSAIPASGMYTIMFRLCRQLSYWITMSLIVAAGMGPVLALKYFRYTYRPSKINTLQQAERMGGPILTLGNIEPHPRPMEKEVVSPLQISQPKNRNPVYEPLLSDSPNSSRRSLGSGTPFDFFQSQSRLSSSYSRNCKDN >KJB50128 pep chromosome:Graimondii2_0_v6:8:41360799:41375359:-1 gene:B456_008G154700 transcript:KJB50128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MKRYVYINDNESSHELYCDNRISNRKYTVLNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSASKEAWDDYNRYLSDKKANEKLVWVVRQGIRKHIQAQDIHVGNIVWLRENDEVPCDLVLIGTSDPQGLCYVETAALDGETDLKTRVIPSACMGIDFELLHKIKGVIECPNPDKDITRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWACGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQIVVVMVLGIAGNVWKDTEARKQWYVQYPIEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDTEMIDYETGIPSHATNTAISEDLGQVEYIMTDKTGTLTENRMIFRRCCISGVFYGNESGDALKDTKLLNAVAGSSPDVVQFLTVMAICNTVVPIKSKTGAISYKAQSQDEDALVNAAAQLHMVYANKTANILEIRFNGSVIKYEVLEILEFTSDRKRMSVVVKDCQNGKIVLLSKGADEAILPYAYVGQQTRTFIEAVEQYAQLGLRTLCLACRELREDEYQEWSLLFKEASSTLVDREWRIAEVCQRLEHDFEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVCRSLERVLLTMRITSSEPKDVAFVVDGWALEIALKHYRKAFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLVICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSEGTVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVITIHAYAYEKSEMEELSMVALSGCIWLQAFVVALETNSFTILQHLAIWGNLVAFYVINWIFSAIPASGMYTIMFRLCRQLSYWITMSVSFTLSVF >KJB50130 pep chromosome:Graimondii2_0_v6:8:41360799:41375560:-1 gene:B456_008G154700 transcript:KJB50130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MKRYVYINDNESSHELYCDNRISNRKYTVLNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSASKEAWDDYNRYLSDKKANEKLVWVVRQGIRKHIQAQDIHVGNIVWLRENDEVPCDLVLIGTSDPQGLCYVETAALDGETDLKTRVIPSACMGIDFELLHKIKGVIECPNPDKDITRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWACGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQIVVVMVLGIAGNVWKDTEARKQWYVQYPIEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDTEMIDYETGIPSHATNTAISEDLGQVEYIMTDKTGTLTENRMIFRRCCISGVFYGNESGDALKDTKLLNAVAGSSPDVVQFLTVMAICNTVVPIKSKTGAISYKAQSQDEDALVNAAAQLHMVYANKTANILEIRFNGSVIKYEVLEILEFTSDRKRMSVVVKDCQNGKIVLLSKGADEAILPYAYVGQQTRTFIEAVEQYAQLGLRTLCLACRELREDEYQEWSLLFKEASSTLVDREWRIAEVCQRLEHDFEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVCRSLERVLLTMRITSSEPKDVAFVVDGWALEIALKHYRKAFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLVICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSEGTVMQHPQILFYCQAGRFTVSLPFLAFFPLLYNRASESEYICWMVWSIFVPCNCCVCDHHTCLCLREK >KJB49686 pep chromosome:Graimondii2_0_v6:8:37999656:38002695:1 gene:B456_008G133500 transcript:KJB49686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMKLVLLWVLGLWSVSGIDLSRSESFLSYLDEEVTVSPGSTTTLIVPLTLIQGAASKGAVCLDGTLPGYHLHRGFGSGANSWVIHLEGGGWCNTIRSCVFRKTTRRGSSKFMEKSINFTGILSNKAEENPDFYNWNRVRVRYCDGASFAGEGQNEANKLYFRGQRIWLAAMEELMAKGMQNANQALLSGCSAGGLASILHCDEFKNLFLETTKVKCLSDAGLFLDATDVAGGHTLRDMYEGVVTLQGVQKNLPSTCTSQKDPTSCFFPQNLVSNVKTPMFLLNAAYDAWQVDQSLIPSLADPHGLWRACKTDRSHCNSSQIQFFQVREKRYLVRE >KJB49685 pep chromosome:Graimondii2_0_v6:8:37999579:38002866:1 gene:B456_008G133500 transcript:KJB49685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMKLVLLWVLGLWSVSGIDLSRSESFLSYLDEEVTVSPGSTTTLIVPLTLIQGAASKGAVCLDGTLPGYHLHRGFGSGANSWVIHLEGGGWCNTIRSCVFRKTTRRGSSKFMEKSINFTGILSNKAEENPDFYNWNRVRVRYCDGASFAGEGQNEANKLYFRGQRIWLAAMEELMAKGMQNANQALLSGCSAGGLASILHCDEFKNLFLETTKVKCLSDAGLFLDATDVAGGHTLRDMYEGVVTLQGVQKNLPSTCTSQKDPTSCFFPQNLVSNVKTPMFLLNAAYDAWQVDQSLIPSLADPHGLWRACKTDRSHCNSSQIQFFQDFRNQMLDAVKIFSESNQNGLFINSCFAHCQSERKDTWYENDSPRIGNKGIAVSVGDWFFDRTAVKAIDCPYSCDKTCHDVILK >KJB49688 pep chromosome:Graimondii2_0_v6:8:37999997:38002163:1 gene:B456_008G133500 transcript:KJB49688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMKLVLLWVLGLWSVSGIDLSRSESFLSYLDEEVTVSPGSTTTLIVPLTLIQGAASKGAVCLDGTLPGYHLHRGFGSGANSWVIHLEGGGWCNTIRSCVFRKTTRRGSSKFMEKSINFTGILSNKAEENPDFYNWNRVRVRYCDGASFAGEGQNEANKLYFRGQRIWLAAMEELMAKGMQNANQALLSGCSAGGLASILHCDEFKNLFLETTKVKCLSDAGLFLDATDVAGGHTLRDMYEGVVTLQGVQKNLPSTCTSQKDPTSCFFPQNLVSNVKTPMFLLNAAYDAWQVDQSLIPSLADPHGLWRACKTDRSHCNSSQIQFFQGTKCSMP >KJB49687 pep chromosome:Graimondii2_0_v6:8:37999656:38002695:1 gene:B456_008G133500 transcript:KJB49687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMKLVLLWVLGLWSVSGIDLSRSESFLSYLDEEVTVSPGSTTTLIVPLTLIQGAASKGAVCLDGTLPGYHLHRGFGSGANSWVIHLEGGGWCNTIRSCVFRKTTRRGSSKFMEKSINFTGILSNKAEENPDFYNWNRVRVRYCDGASFAGEGQNEANKLYFRGQRIWLAAMEELMAKGMQNANQALLSGCSAGGLASILHCDEFKNLFLETTKVKCLSDAGLFLDATDVAGGHTLRDMYEGVVTLQGVQKNLPSTCTSQKDPTSCFFPQNLVSNVKTPMFLLNAAYDAWQVDQSLIPSLADPHGLWRACKTDRSHCNSSQIQFFQVREKRYLVRE >KJB53203 pep chromosome:Graimondii2_0_v6:8:56943416:56949453:-1 gene:B456_008G296700 transcript:KJB53203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFNMSCLILLSLVFSVLSFKGNCDDSQFTLKFFKAPHPFSHLNSAKFVFQVLGAANVTCSDCSITCKLDDGSASDCGGRKILYSGLQDGNHSFGVCVNGSQGAACTSYNWTVDTVPPTAYITSSTPFTNALNVSVNISFTESCSGGGGFRCSSVNDCNLLVYGAGQVVPSSLTVVEPNLKYLLLVSISSASRYGRLVLVMDTNFCTDAAGNPFARSQNSSFYVHYDQRNVFVDLRAHVPEKLLQLRSETRTIQATNNYNNLKVYLYFSAPILNSSTEILSSLSISKGTLLPITGENHGNRRFGFMVANISNIAIITISFDANSTISRQGTLVSPVAPVTFLYDSQRTAVRLSTTCRMRTREHNIPISIKFMKPVFGFNSSLISISGGRLQSFHEISRSIYAAAIQADDDVVSVSVPENVTGDVAGNKNLASNVLRVRHYTIPVISSVISIFVTAIFLLTCFTAGLLTMSTASLQSVGAFSRPSSSLSSDPTRILFRSACHIQIFALSRWLPVTLPVEYYEFARSIEWSIPYFSLPWETGHVQPIMMGSSPTGTSNSFLSRTYDRVISRSFQPNKIAAAVYGSPLTPVEYRSFFESQSIIPEAEYISDGLHSNGWRNFDRSMFWLAVIGGSLILLHAFLFFILKYKKRDSEKQGSYGALIFPRFEIFLVIVALPCICQAAAALIAGGTPSGVVVGILLLGVVAFLLLSLFLFLSVGITFGKLLQYKEVHREGQQFHWYQELIRVTLGPGKRGQWTWKNEANSVYLTMFGPLFEDLRGPPKYMVSQISGSNLSRQGGPIIASDDETEDAEAPFIQKLFGILRIYYTMLESARRATLGILAAILPCSEEAFHKEKGTVS >KJB53205 pep chromosome:Graimondii2_0_v6:8:56943630:56949119:-1 gene:B456_008G296700 transcript:KJB53205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFNMSCLILLSLVFSVLSFKGNCDDSQFTLKFFKAPHPFSHLNSAKFVFQVLGAANVTCSDCSITCKLDDGSASDCGGRKILYSGLQDGNHSFGVCVNGSQGAACTSYNWTVDTVPPTAYITSSTPFTNALNVSVNISFTESCSGGGGFRCSSVNDCNLLVYGAGQVVPSSLTVVEPNLKYLLLVSISSASRYGRLVLVMDTNFCTDAAGNPFARSQNSSFYVHYDQRNVFVDLRAHVPEKLLQLRSETRTIQATNNYNNLKVYLYFSAPILNSSTEILSSLSISKGTLLPITGENHGNRRFGFMVANISNIAIITISFDANSTISRQGTLVSPVAPVTFLYDSQRTAVRLSTTCRMRTREHNIPISIKFMKPVFGFNSSLISISGGRLQSFHEISRSIYAAAIQADDDVVSVSVPENVTGDVAGNKNLASNVLRVRHYTIPVISSVISIFVTAIFLLTCFTAGLLTMSTASLQSVGAFSRPSSSLSSDPTRILFRSACHIQIFALSRWLPVTLPVEYYEFARSIEWSIPYFSLPWETGHVQPIMMGSSPTGTSNSFLSRTYDRVISRSFQPNKIAAAVYGSPLTPVEYRSFFESQSIIPEAEYISDGLHSNGWRNFDRSMFWLAVIGGSLILLHAFLFFILKYKKRDSEKQGSYGALIFPRFEIFLVIVALPCICQAAAALIAGGTPSGVVVGILLLGVVAFLLLSLFLFLSVGITFGKLLQYKEVHREGQQFHWYQELIRVTLGPGKRGQWTWKNEANSVYLTMFGPLFEDLRGPPKYMVSQISGSNLSRQGGPIIASDDETEDAEAPFIQKLFGILRIYYTMLESARRATLGILAGAYLNNNNTSSKTPIIILLCLTSFQLFFLVLKKPFIKKKVQLVEIISLSCEVAMFATCFVLLDKEFSTTIGIFMLTLFLIGFLAQMSAEGYALYEQTKQLDTSENRFFTGLKIASVGFLLYFVPQKLMKSFESKFPVFGLCMDTSTTGLPCDRNSSSSERAWPRQLREMAKASFSKDGSKAPTDPSSSKSKWSGLWGTKRSGSSSLDSSLDYKSKSKSKGLYKDLEAIFASNPRM >KJB53204 pep chromosome:Graimondii2_0_v6:8:56943416:56949453:-1 gene:B456_008G296700 transcript:KJB53204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFNMSCLILLSLVFSVLSFKGNCDDSQFTLKFFKAPHPFSHLNSAKFVFQVLGAANVTCSDCSITCKLDDGSASDCGGRKILYSGLQDGNHSFGVCVNGSQGAACTSYNWTVDTVPPTAYITSSTPFTNALNVSVNISFTESCSGGGGFRCSSVNDCNLLVYGAGQVVPSSLTVVEPNLKYLLLVSISSASRYGRLVLVMDTNFCTDAAGNPFARSQNSSFYVHYAPILNSSTEILSSLSISKGTLLPITGENHGNRRFGFMVANISNIAIITISFDANSTISRQGTLVSPVAPVTFLYDSQRTAVRLSTTCRMRTREHNIPISIKFMKPVFGFNSSLISISGGRLQSFHEISRSIYAAAIQADDDVVSVSVPENVTGDVAGNKNLASNVLRVRHYTIPVISSVISIFVTAIFLLTCFTAGLLTMSTASLQSVGAFSRPSSSLSSDPTRILFRSACHIQIFALSRWLPVTLPVEYYEFARSIEWSIPYFSLPWETGHVQPIMMGSSPTGTSNSFLSRTYDRVISRSFQPNKIAAAVYGSPLTPVEYRSFFESQSIIPEAEYISDGLHSNGWRNFDRSMFWLAVIGGSLILLHAFLFFILKYKKRDSEKQGSYGALIFPRFEIFLVIVALPCICQAAAALIAGGTPSGVVVGILLLGVVAFLLLSLFLFLSVGITFGKLLQYKEVHREGQQFHWYQELIRVTLGPGKRGQWTWKNEANSVYLTMFGPLFEDLRGPPKYMVSQISGSNLSRQGGPIIASDDETEDAEAPFIQKLFGILRIYYTMLESARRATLGILAGAYLNNNNTSSKTPIIILLCLTSFQLFFLVLKKPFIKKKVQLVEIISLSCEVAMFATCFVLLDKEFSTTIGIFMLTLFLIGFLAQMSAEGYALYEQTKQLDTSENRFFTGLKIASVGFLLYFVPQKLMKSFESKFPVFGLCMDTSTTGLPCDRNSSSSERAWPRQLREMAKASFSKDGSKAPTDPSSSKSKWSGLWGTKRSGSSSLDSSLDYKSKSKSKGLYKDLEAIFASNPRM >KJB49049 pep chromosome:Graimondii2_0_v6:8:28573461:28577706:1 gene:B456_008G099400 transcript:KJB49049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALGGNGAFWGWKLPEIRNTKRPFPSKSKSSDSSAESTGSRGYRFPLKQAVTASSLALTGDTIAQLSSHWRKRKDSISGSSDTSKDIMPSIISDHDWLRALRMTSYGFLLYGPGSYAWYKYLDHCLPHQTPQNIMLKVLLNQIVLGPCVIGVVFAWNNLWLGKLSELPDKYQKDALPTLFYGFRFWIPVSLLNFWVVPLQARVAFMSVGSIFWNFYLSSTMSQ >KJB47083 pep chromosome:Graimondii2_0_v6:8:1208398:1209993:1 gene:B456_008G010400 transcript:KJB47083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKAADEEPVGALKYKTWVLKVLIHCEGCKKKVKKVLQAIDGVYETKIDSQQHKVTVTSSVDAETLIKKLTKSGKYVELWPELKPEKKDKKSGKTNDKQKDGGEKAGDDDHGPKNNSAEKKPEPAAAKNGGVGGDKGSAKDNQHPKGDQKGGKSEEPDPTQSTAAGGKKKKKKGQKSNPGPNGDTPPPPSETQSAMAVALPVPVPDHTSPPPAPPPNASIDLNPPNQPMYPYTPMYYGPPFCGVSYNTIYPSSSSSYYAPTMHSNPYGPPATPSDPINKFSEDDSYDDDESGCSIM >KJB51835 pep chromosome:Graimondii2_0_v6:8:52007752:52014001:1 gene:B456_008G233600 transcript:KJB51835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDYHAEERRKAEFDLEEMKIVWAGSRQNYEISDRMARLVASDPVFRKDNRTMLSRKDLFKDTLRKAAHAWKRIIELRLSEEEAHMLRSYVDQPAFTDLHWGMFIPAIKGQGTEEQHEKWLPMAYKMQIIGCYAQTELGHGSNVQGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKVSTHAVVYARLITGAQDHGVHGFIVQLRSLDDHSPLPGITVGDIGMKFGSGAYNSMDNGLLRFDHVRIPRNQMLMRVSQVTREGKFVQSDVPRQLVYGTMVYVRQTIVSDASCALARAVCIATRYSAVRRQFGSQNGGPETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTQRLQASDFSTLPEAHACTAGLKSMTTSVTADAIEECRKLCGGHGYLSNSGLPELFAVYIPTCTYEGDNVVLLLQVARFLMKTVSQLGSGKKPVGTTAYMGRAEHLMQCRCEVERAEDWLKPSVILEAFEARAFRMSIACAKNLSKFSNPEDGFSELSPDLLEAAIAHCQLIVVSKYIEKLQQDIPGKGVKRQLEILCNVYALHLLHKHLGDFVTTSCITPKQGALANEQLRLLYSQVRPNAITLVDAFNYTDHYLGSVLGCYNGNVYQRLYEEAWKDPLNDTVVPDGYLEYVWPILKQHIRTARL >KJB51837 pep chromosome:Graimondii2_0_v6:8:52007814:52012889:1 gene:B456_008G233600 transcript:KJB51837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDYHAEERRKAEFDLEEMKIVWAGSRQNYEISDRMARLVASDPVFRKDNRTMLSRKDLFKDTLRKAAHAWKRIIELRLSEEEAHMLRSYVDQPAFTDLHWGMFIPAIKGQGTEEQHEKWLPMAYKMQIIGCYAQTELGHGSNVQGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKVSTHAVVYARLITGAQDHGVHGFIVQLRSLDDHSPLPGITVGDIGMKFGSGAYNSMDNGLLRFDHVRIPRNQMLMRVSQVTREGKFVQSDVPRQLVYGTMVYVRQTIVSDASCALARAVCIATRYSAVRRQFGSQNGGPETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTQRLQASDFSTLPEAHACTAGLKSMTTSVTADAIEECRKLCGGHGYLSNSGLPELFAVYIPTCTYEGDNVVLLLQVARFLMKTVSQLGSGKKPVGTTAYMGRAEHLMQCRCEVERAEDWLKPSVILEAFEARAFRMSIACAKNLSKFSNPEDGFSELSPDLLEAAIAHCQLIVVSK >KJB51838 pep chromosome:Graimondii2_0_v6:8:52007814:52014001:1 gene:B456_008G233600 transcript:KJB51838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDYHAEERRKAEFDLEEMKIVWAGSRQNYEISDRMARLVASDPVFRKDNRTMLSRKDLFKDTLRKAAHAWKRIIELRLSEEEAHMLRSYVDQPAFTDLHWGMFIPAIKGQGTEEQHEKWLPMAYKMQIIGCYAQTELGHGSNVQGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKVSTHAVVYARLITGAQDHGVHGFIVQLRSLDDHSPLPGITVGDIGMKFGSGAYNSMDNGLLRFDHVRIPRNQMLMRVSQVTREGKFVQSDVPRQLVYGTMVYVRQTIVSDASCALARAVCIATRYSAVRRQFGSQNGGPETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTQRLQASDFSTLPEAHACTAGLKSMTTSVTADAIEECRKLCGGHGYLSNSGLPELFAVYIPTCTYEGDNVVLLLQVARFLMKTVSQLGSGKKPVGTTAYMGRAEHLMQCRCEVERAEDWLKPSVILEAFEARAFRMSIACAKNLSKFSNPEDGFSELSPDLLEAAIAHCQLIVVSKYIEKLQQDIPGKGVKRQLEILCNVYALHLLHKHLGDFVTTSCITPKQGALANEQLRLLYSQMHSITPTTTLARSSVAIMETSIKGSMRKHGRIL >KJB51834 pep chromosome:Graimondii2_0_v6:8:52007778:52014001:1 gene:B456_008G233600 transcript:KJB51834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDYHAEERRKAEFDLEEMKIVWAGSRQNYEISDRMARLVASDPVFRKDNRTMLSRKDLFKDTLRKAAHAWKRIIELRLSEEEAHMLRSYVDQPAFTDLHWGMFIPAIKGQGTEEQHEKWLPMAYKMQIIGCYAQTELGHGSNVQGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKVSTHAVVYARLITGAQDHGVHGFIVQLRSLDDHSPLPGITVGDIGMKFGSGAYNSMDNGLLRFDHVRIPRNQMLMRVSQVTREGKFVQSDVPRQLVYGTMVYVRQTIVSDASCALARAVCIATRYSAVRRQFGSQNGGPETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTQRLQASDFSTLPEAHACTAGLKSMTTSVTADAIEECRKLCGGHGYLSNSGLPELFAVYIPTCTYEGDNVVLLLQVARFLMKTVSQLGSGKKPVGTTAYMGRAEHLMQCRCEVERAEDWLKPSVILEAFEARAFRMSIACAKNLSKFSNPEDGFSELSPDLLEAAIAHCQLIVVSKYIEKLQQDIPGKGVKRQLEILCNVYALHLLHKHLGDFVTTSCITPKQGALANEQLRLLYSQVRPNAITLVDAFNYTDHYLGSVLGCYNGNVYQRLYEEAWKDPLNDTVVPDGYLEYVWPILKQHIRTARL >KJB51836 pep chromosome:Graimondii2_0_v6:8:52007814:52011238:1 gene:B456_008G233600 transcript:KJB51836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDYHAEERRKAEFDLEEMKIVWAGSRQNYEISDRMARLVASDPVFRKDNRTMLSRKDLFKDTLRKAAHAWKRIIELRLSEEEAHMLRSYVDQPAFTDLHWGMFIPAIKGQGTEEQHEKWLPMAYKMQIIGCYAQTELGHGSNVQGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKVSTHAVVYARLITGAQDHGVHGFIVQLRSLDDHSPLPGITVGDIGMKFGSGAYNSMDNGLLRFDHVRIPRNQMLMRVSQVTREGKFVQSDVPRQLVYGTMVYVRQTIVSDASCALARAVCIATRYSAVRRQFGSQNGGPETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTQRLQASDFSTLPEAHACTAGLKSMTTSVTAVCYFYQPSPLL >KJB52303 pep chromosome:Graimondii2_0_v6:8:53772117:53774525:1 gene:B456_008G254700 transcript:KJB52303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLFSLRGLPWSGADGQEKVQLTAAELESLRSQLADIEEREAHLKAQLEHIDEILRSARLSGYLYIRSRWTALPGEPAPIDDIDVDDWLPRFVVLHGQCIFFYLCSTDLSPQDSTLLSDVVEVGPLPNFIREDEGTQYSFYILTRQGLRYECSHVSEVQVDTWLSALRTDCKLGSDVEVPNGS >KJB52305 pep chromosome:Graimondii2_0_v6:8:53771650:53774525:1 gene:B456_008G254700 transcript:KJB52305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGFGKIKVIPDHFQVSTPPSEDSLQNRSSPDSQPSTGNSSRSRSSLWSRRKLRRAAFMLNLFSLRGLPWSGADGQEKVQLTAAELESLRSQLADIEEREAHLKAQLEHIDEILRSARLSGYLYIRSRWTALPGEPAPIDDIDVDDWLPRFVVLHGQCIFFYLCSTDLSPQDSTLLSDVVEVGPLPNFIREDEGTQYSFYILTRQGLRYECSHVSEVQVDTWLSALRTDCKLGSDVEVPNGS >KJB52306 pep chromosome:Graimondii2_0_v6:8:53772117:53774544:1 gene:B456_008G254700 transcript:KJB52306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLFSLRGLPWSGADGQEKVQLTAAELESLRSQLADIEEREAHLKAQLEHIDEILRSARLSGYLYIRSRWTALPGEPAPIDDIDVDDWLPRFVVLHGQCIFFYLCSTDLSPQDSTLLSDVVEVGPLPNFIREDEGTQYSFYILTRQGLRYECSHVSEVQVDTWLSALRTDCKLGSDVEVPNGS >KJB52304 pep chromosome:Graimondii2_0_v6:8:53771657:53774544:1 gene:B456_008G254700 transcript:KJB52304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLFSLRGLPWSGADGQEKVQLTAAELESLRSQLADIEEREAHLKAQLEHIDEILRSARLSGYLYIRSRWTALPGEPAPIDDIDVDDWLPRFVVLHGQCIFFYLCSTDLSPQDSTLLSDVVEVGPLPNFIREDEGTQYSFYILTRQGLRYECSHVSEVQVDTWLSALRTDCKLGSDVEVPNGS >KJB52302 pep chromosome:Graimondii2_0_v6:8:53771509:53774577:1 gene:B456_008G254700 transcript:KJB52302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRGVETEHKILFPLVNTEKERSFFLFKSNHCRACPLFFYSCCLYKFKRSTFLEHNFMDDGFGKIKVIPDHFQVSTPPSEDSLQNRSSPDSQPSTGNSSRSRSSLWSRRKLRRAAFMLNLFSLRGLPWSGADGQEKVQLTAAELESLRSQLADIEEREAHLKAQLEHIDEILRSARLSGYLYIRSRWTALPGEPAPIDDIDVDDWLPRFVVLHGQCIFFYLCSTDLSPQDSTLLSDVVEVGPLPNFIREDEGTQYSFYILTRQGLRYECSHVSEVQVDTWLSALRTDCKLGSDVEVPNGS >KJB52307 pep chromosome:Graimondii2_0_v6:8:53771747:53774525:1 gene:B456_008G254700 transcript:KJB52307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGFGKIKVIPDHFQVSTPPSEDSLQNRSSPDSQPSTGNSSRSRSSLWSRRKLRRAAFMLNLFSLRGLPWSGADGQEKVQLTAAELESLRSQLADIEEREAHLKAQLEHIDEILRSARLSGYLYIRSRWTALPGEPAPIDDIDVDDWLPRFVVLHGQCIFFYLCSTDLSPQDSTLLSDVVEVGPLPNFIREDEGTQYSFYILTRQGLRYECSHVSEVQVDTWLSALRTDCKLGSDVEVPNGS >KJB52308 pep chromosome:Graimondii2_0_v6:8:53772117:53774525:1 gene:B456_008G254700 transcript:KJB52308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLFSLRGLPWSGADGQEKVQLTAAELESLRSQLADIEEREAHLKAQLEHIDEILRSARLSGYLYIRSRWTALPGEPAPIDDIDVDDWLPRFVVLHGQCIFFYLCSTDLSPQDSTLLSDVVEVGPLPNFIREDEGTQYSFYILTRQGLRYECSHVSEVQVDTWLSALRTDCKLGSDVEVPNGS >KJB52301 pep chromosome:Graimondii2_0_v6:8:53771734:53774525:1 gene:B456_008G254700 transcript:KJB52301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLFSLRGLPWSGADGQEKVQLTAAELESLRSQLADIEEREAHLKAQLEHIDEILRSARLSGYLYIRSRWTALPGEPAPIDDIDVDDWLPRFVVLHGQCIFFYLCSTDLSPQDSTLLSDVVEVGPLPNFIREDEGTQYSFYILTRQGLRYECSHVSEVQVDTWLSALRTDCKLGSDVEVPNGS >KJB48041 pep chromosome:Graimondii2_0_v6:8:7212963:7216501:-1 gene:B456_008G051500 transcript:KJB48041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWICMGSCLHKLLSLFLASILALSGVSGRFVVEKNNIRVLSPLSLIGKHDGSIGNFGIPDYGGFIIGSVVYPEKGANGCESFTGQPFKSKSPRPSVVLLDRGECYFALKVWQAQQAGAAAVLVADSVDEPLITMDSPEESRDTNEFVEKIGIPSALIEKSFGDSLKEALKKGEDVVVKLDWRESMPHPDQRVEYELWTNSNDECGVRCDEQMNFVKNFKGHAQILEKGGYTLFTPHYITWYCPQAFIFSSQCKSQCINHGRYCAPDPEQDFGEGYQGKDVVFENLRQLCVHRVANESSRPWIWWDYVTDFHIRCSMKENRYSKQCAEDVMKSLDLPIEKIKKCMGDPEADVENEVLKKEQELQVGRGSRSDVTILPTLVINDVQYRGKLERSAVLKAICAGFKETTEPSVCLSADIETNECLERNGGCWQDKRANITACKDTFRGRVCECPIVKGVQYRGDGYISCEAFGPARCTINNGGCWSDAKDGLTFSACSEKQLKGCHCPQGFRGDGHKCEDINECKERSACRCDGCSCKNTWGSYECKCKGNLLYIKEQDACIERNGSRFGWFLTLLVLAAVVAAGLAGYIFYKYRLRVCMR >KJB48042 pep chromosome:Graimondii2_0_v6:8:7212963:7216501:-1 gene:B456_008G051500 transcript:KJB48042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWICMGSCLHKLLSLFLASILALSGVSGRFVVEKNNIRVLSPLSLIGKHDGSIGNFGIPDYGGFIIGSVVYPEKGANGCESFTGQPFKSKSPRPSVVLLDRGECYFALKVWQAQQAGAAAVLVADSVDEPLITMDSPEESRDTNEFVEKIGIPSALIEKSFGDSLKEALKKGEDVVVKLDWRESMPHPDQRVEYELWTNSNDECGVRCDEQMNFVKNFKGHAQILEKGGYTLFTPHYITWYCPQAFIFSSQCKSQCINHGRYCAPDPEQDFGEGYQGKDVVFENLRQLCVHRVANESSRPWIWWDYVTDFHIRCSMKENRYSKQCAEDVMKSLDLPIEKIKKCMGDPEADVENEVLKKEQELQVGRGSRSDVTILPTLVINDVQYRGKLERSAVLKAICAGFKETTEPSVCLSADIETNECLERNGGCWQDKRANITACKDTFRGRVCECPIVKGVQYRGDGYISCEAFGPARCTINNGGCWSDAKDGLTFSACSVQ >KJB48040 pep chromosome:Graimondii2_0_v6:8:7212866:7216785:-1 gene:B456_008G051500 transcript:KJB48040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWICMGSCLHKLLSLFLASILALSGVSGRFVVEKNNIRVLSPLSLIGKHDGSIGNFGIPDYGGFIIGSVVYPEKGANGCESFTGQPFKSKSPRPSVVLLDRGECYFALKVWQAQQAGAAAVLVADSVDEPLITMDSPEESRDTNEFVEKIGIPSALIEKSFGDSLKEALKKGEDVVVKLDWRESMPHPDQRVEYELWTNSNDECGVRCDEQMNFVKNFKGHAQILEKGGYTLFTPHYITWYCPQAFIFSSQCKSQCINHGRYCAPDPEQDFGEGYQGKDVVFENLRQLCVHRVANESSRPWIWWDYVTDFHIRCSMKENRYSKQCAEDVMKSLDLPIEKIKKCMGDPEADVENEVLKKEQELQVGRGSRSDVTILPTLVINDVQYRGKLERSAVLKAICAGFKETTEPSVCLSADIETNECLERNGGCWQDKRANITACKDTFRGRVCECPIVKGVQYRGDGYISCEAFGPARCTINNGGCWSDAKDGLTFSACSEKQLKGCHCPQGFRGDGHKCEDINECKERSACRCDGCSCKNTWGSYECKCKGNLLYIKEQDACIERNGSRFGWFLTLLVLAAVVAAGLAGYIFYKYRLRSYMDSEIMAIMSQYMPLDNQHNNEVASEAQPLRQRSTA >KJB48043 pep chromosome:Graimondii2_0_v6:8:7214082:7216434:-1 gene:B456_008G051500 transcript:KJB48043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWICMGSCLHKLLSLFLASILALSGVSGRFVVEKNNIRVLSPLSLIGKHDGSIGNFGIPDYGGFIIGSVVYPEKGANGCESFTGQPFKSKSPRPSVVLLDRGECYFALKVWQAQQAGAAAVLVADSVDEPLITMDSPEESRDTNEFVEKIGIPSALIEKSFGDSLKEALKKGEDVVVKLDWRESMPHPDQRVEYELWTNSNDECGVRCDEQMNFVKNFKGHAQILEKGGYTLFTPHYITWYCPQAFIFSSQCKSQCINHGRYCAPDPEQDFGEGYQGKDVVFENLRQLCVHRVANESSRPWIWWDYVTDFHIRCSMKENRYSKQCAEDVMKSLDLPIEKIKKCMGDPEADVENEVLKKEQELQVGRGSRSDVTILPTLVINDVQYRGKLERSAVLKAICAGFKETTEPSVCLSADIETNECLERNGGCWQDKRANITACKDTFRGRVCECPIVKGVQYRGDGYISCEAFGPARCTINNGGCWSDAKDGLTFSACSVQ >KJB49786 pep chromosome:Graimondii2_0_v6:8:38852687:38853700:-1 gene:B456_008G138200 transcript:KJB49786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKILGNVETVVVMVFLGAILVGVGDGRRLESVYCKNSQKSGRHVDCFGMGGGWGGAHGGGGWGGGGSGGASSGVGNEIGGGGGGGGGARGGRGVGAGGGGSGGASGGARGGGGGVGGGVGGGAGVGVGGSGGVGVGGGVGAGGGAGGGGGVGVGGGGGGGGGGSVGGGAGGGAGVGAGIGGGVGAGGGVGAGGGGGVGGGGGGGGGGGGVGGGVGGGAGVGAGGGVGVSGGGGAGGGVGGGAGGGAGGGVATGAGGSAAASGGVGASGGGGVGAGGGVGGGAGAGAGAGAGGGASVSAGVGVGGGGNVRGNAKKGARVDIRGGTNDAVRKTDQNKS >KJB48266 pep chromosome:Graimondii2_0_v6:8:9694866:9696533:-1 gene:B456_008G060900 transcript:KJB48266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIIFDFIRTTKRAFAFGKEYINAKLVRKVLRSLPDRFNIKSTTIEEAKDIDIMLINELIGFSRPLRSILMKLR >KJB51950 pep chromosome:Graimondii2_0_v6:8:52655057:52658119:-1 gene:B456_008G240000 transcript:KJB51950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFNTIEEAVTFLGRNLTMAETLWFNYSAKKSDYYLYCHNILFLFLIFSLVPLPLVFVEMMKSLEFHKYKIQPKVSLSFSEMFKCYKDVMRMFVLVVGPLQLVSYPSVKMIGIQTGLPLPSVWEILSQLTVYFMIEDYTNYWIHRFLHCKWGYENIHRVHHEYAAPIGFAAPYAHWLEILILGIPSFLGPAIAPGHMITFWLWIALRQIEAIETHSGYDFPWAPTRFIPFYGGADYHDYHHYVGEQSQSNFASVFTYCDYIYGTDKGYRYHKKVLRKVSCSLLLFVSFATCQKPSFQLWFSKWKITIIYKIYCNRM >KJB53218 pep chromosome:Graimondii2_0_v6:8:57009123:57014472:1 gene:B456_008G297500 transcript:KJB53218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVDEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDSSDPIERFKRIMRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILIVFVSQYVPHVIKSGRNVFDRFAVLFSVVIVWIYAHLLTVGGAYNGTAPKTQTSCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFISVSRYASATPMPPSILSRGIGWQGVAILVSGLFGTANGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAGLYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWFNDIVNVPFSSEAFVAGCLAYFLDNTLHRKDSGIRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >KJB53226 pep chromosome:Graimondii2_0_v6:8:57009624:57014442:1 gene:B456_008G297500 transcript:KJB53226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVDEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDSSDPIERFKRIMRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILIVFVSQYVPHVIKSGRNVFDRFAVLFSVVIVWIYAHLLTVGGAYNGTAPKTQTSCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFISVSRYASATPMPPSILSRGIGWQGVAILVSGLFGTANGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAGLYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWRS >KJB53228 pep chromosome:Graimondii2_0_v6:8:57009624:57014442:1 gene:B456_008G297500 transcript:KJB53228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILIVFVSQYVPHVIKSGRNVFDRFAVLFSVVIVWIYAHLLTVGGAYNGTAPKTQTSCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFISVSRYASATPMPPSILSRGIGWQGVAILVSGLFGTANGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAGLYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWFNDIVNVPFSSEAFVAGCLAYFLDNTLHRKDSGIRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >KJB53220 pep chromosome:Graimondii2_0_v6:8:57009327:57013311:1 gene:B456_008G297500 transcript:KJB53220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVDEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDSSDPIERFKRIMRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILIVFVSQYVPHVIKSGRNVFDRFAVLFSVVIVWIYAHLLTVGGAYNGTAPKTQTSCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFISVSRYASATPMPPSILSRGIGWQGVAILVSGLFGTANGSSVSV >KJB53224 pep chromosome:Graimondii2_0_v6:8:57009873:57013624:1 gene:B456_008G297500 transcript:KJB53224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVDEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDSSDPIERFKRIMRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILIVFVSQYVPHVIKSGRNVFDRFAVLFSVVIVWIYAHLLTVGGAYNGTAPKTQTSCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFISVSRYASATPMPPSILSRGIGWQGVAILVSGLFGTANGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAGLYCLFFAYVGR >KJB53225 pep chromosome:Graimondii2_0_v6:8:57009624:57014442:1 gene:B456_008G297500 transcript:KJB53225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVDEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDSSDPIERFKRIMRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILIVFVSQYVPHVIKSGRNVFDRFAVLFSVVIVWIYAHLLTVGGAYNGTAPKTQTSCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFISVSRYASATPMPPSILSRGIGWQGVAILVSGLFGTANGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAGLYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWVRISMLNLFSTVLFLDNLFV >KJB53221 pep chromosome:Graimondii2_0_v6:8:57009228:57014442:1 gene:B456_008G297500 transcript:KJB53221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVDEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDSSDPIERFKRIMRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILIVFVSQYVPHVIKSGRNVFDRFAVLFSVVIVWIYAHLLTVGGAYNGTAPKTQTSCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFISVSRYASATPMPPSILSRGIGWQGVAILVSGLFGTANGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAGLYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWFNDIVNVPFSSEAFVAGCLAYFLDNTLHRKDSGIRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >KJB53217 pep chromosome:Graimondii2_0_v6:8:57009327:57014442:1 gene:B456_008G297500 transcript:KJB53217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVDEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDSSDPIERFKRIMRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILIVFVSQYVPHVIKSGRNVFDRFAVLFSVVIVWIYAHLLTVGGAYNGTAPKTQTSCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFISVSRYASATPMPPSILSRGIGWQGVAILVSGLFGTANGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAGLYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWFNDIVNVPFSSEAFVAGCLAYFLDNTLHRKDSGIRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >KJB53223 pep chromosome:Graimondii2_0_v6:8:57009624:57014442:1 gene:B456_008G297500 transcript:KJB53223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTTVIIPTSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDSSDPIERFKRIMRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILIVFVSQYVPHVIKSGRNVFDRFAVLFSVVIVWIYAHLLTVGGAYNGTAPKTQTSCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFISVSRYASATPMPPSILSRGIGWQGVAILVSGLFGTANGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAGLYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWFNDIVNVPFSSEAFVAGCLAYFLDNTLHRKDSGIRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >KJB53219 pep chromosome:Graimondii2_0_v6:8:57009123:57014472:1 gene:B456_008G297500 transcript:KJB53219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVDEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDSSDPIERFKRIMRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILIVFVSQYVPHVIKSGRNVFDRFAVLFSVVIVWIYAHLLTVGGAYNGTAPKTQTSCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFISVSRYASATPMPPSILSRGIGWQGVAILVSGLFGTANGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAGLYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWFNDIVNVPFSSEAFVAGCLAYFLDNTLHRKDSGIRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >KJB53227 pep chromosome:Graimondii2_0_v6:8:57009873:57013624:1 gene:B456_008G297500 transcript:KJB53227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVDEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDSSDPIERFKRIMRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILIVFVSQYVPHVIKSGRNVFDRFAVLFSVVIVWIYAHLLTVGGAYNGTAPKTQTSCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFISVSRYASATPMPPSILSRGIGWQGVAILVSGLFGTANGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAGLYCLFFAYVGR >KJB53222 pep chromosome:Graimondii2_0_v6:8:57009624:57014442:1 gene:B456_008G297500 transcript:KJB53222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVDEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDSSDPIERFKRIMRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILIVFVSQYVPHVIKSGRNVFDRFAVLFSVVIVWIYAHLLTVGGAYNGTAPKTQTSCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFISVSRYASATPMPPSILSRGIGWQGVAILVSGLFGTANGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAGLYCLFFAYVGRCWGS >KJB51443 pep chromosome:Graimondii2_0_v6:8:50353680:50354673:1 gene:B456_008G216600 transcript:KJB51443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKNIINPKPFRLILHFLGVHCNKHNHTAANMTKRCTILQKKLKELESQLKQVNSLPLDTPHHHRFSQDIQQRFLFLNNLLSAEISCRPKRPFHLQPIAKRLHELEAAFRDWDSFRSPPSDHVEKGPPCSCTGSCFNDEGEAASELISLSDLEQAAEASTELSLAAGLECDGFNDQIKVVEHVPVHEAVENHKSTATATTSVVEMSLAENRKEETKGVWLGKCLATMASGVLMGMALMGFLMVRFLGCFHCFDSTDYTFCPSPT >KJB51638 pep chromosome:Graimondii2_0_v6:8:51280902:51284845:-1 gene:B456_008G226200 transcript:KJB51638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSDNLSDKNAVFRKLKTKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHVSFVRSTNLDSWSPEQLKMMIYGGNNRAQVFFKQHGWTDGGKIESKYTSRAAELYRQLLAKEVTKSMEEEVGMPLFPVASQSSKASNGFFDSKIDETPKESSLGGLGKPEVSATQKASHTVVTSTVKKPLGAKKTGKTGGLGARKLTSKPSENLYDQKPEEPVAPVTSSTKNTVPIASSFPSRFEYVENGQSSEFNSGGPQVVSHIAPPKSSSFIADFGMDRGFQKNSVSNSPKVQVQETDEARRKFLNAKSISSAQFFGDQTRAADNDAQVTLQKFSGSTAISSADLFGKGADHDLTASDLISRFSFQAQHDMSNLKNIAGETGKKLSSFASTFMSDLQDRML >KJB51636 pep chromosome:Graimondii2_0_v6:8:51280744:51284942:-1 gene:B456_008G226200 transcript:KJB51636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSDNLSDKNAVFRKLKTKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHVSFVRSTNLDSWSPEQLKMMIYGGNNRAQVFFKQHGWTDGGKIESKYTSRAAELYRQLLAKEVTKSMEEEVGMPLFPVASQSSKASNGFFDSKIDETPKESSLGGLGKPEVSATQKASHTVVTSTVKKPLGAKKTGKTGGLGARKLTSKPSENLYDQKPEEPVAPVTSSTKNTVPIASSFPSRFEYVENGQSSEFNSGGPQVVSHIAPPKSSSFIADFGMDRGFQKNSVSNSPKVQVQETDEARRKFLNAKSISSAQFFGDQTRAADNDAQVTLQKFSGSTAISSADLFGKGADHDLTASDLISRFSFQAQHDMSNLKNIAGETGKKLSSFASTFMSDLQDRML >KJB51637 pep chromosome:Graimondii2_0_v6:8:51281328:51284549:-1 gene:B456_008G226200 transcript:KJB51637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSDNLSDKNAVFRKLKTKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHVSFVRSTNLDSWSPEQLKMMIYGGNNRAQVFFKQHGWTDGGKIESKYTSRAAELYRQLLAKEVTKSMEEEVGMPLFPVASQSSKASNGFFDSKIDETPKESSLGGLGKPEVSATQKASHTVVTSTVKKPLGAKKTGKTGGLGARKLTSKPSENLYDQKPEEPVAPVTSSTKNTVPIASSFPSRFEYVENGQSSEFNSGGPQVVSHIAPPKSSSFIADFGMDRGFQKNSVSNSPKVQVQETDEARRKFLNAKSISSAQFFGDQTRAADNDAQVTLQKFSVWINSHLQC >KJB49649 pep chromosome:Graimondii2_0_v6:8:37738015:37744826:-1 gene:B456_008G131800 transcript:KJB49649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYSSGEELVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEYIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTSAATTVQEGAKDGKSEMPLSSLHCKQMLDLEKEPLPERPTGDEKATNLKENQDESCSEVFTLLHEQNCSSASSMNKNFIPMSAALKSSCTFREFVPLPKEVIFHDNGTSKASNLEKSCTSYEKSAQGQRKDDLDGAFCADEMQAAQNYPRHVAVHVLDGSLRTCVQNPSLGMSFQDSVFHPMGDVHGPNLFANPAASATTEHQNNAPKSTHQALPPFHIPFIHLRPDQEEYRSFLHVSSTFSNLIVSTLLQNPAAHAAASFAATFWPYANVESSGDSPANELRGFPSTQTNSAPSMAAIAAATVAAATAWWTAHGLLPVCAPLHTGFTCAPASTAAVPPMENGQTPAANMEQKDKTDLALTTQDERLDPEYSEALQGQHSASKSPTSSSSDCEERVDAKANTEVKATDDEKAAEVIEPQDVDKMKNRKQVDRSSCGSNSSSEVETDMLEKLEKDKEDPKGADPNHPQVECNRRSRSSSNLSDSWKEVSEEGRLAFQALFSREVLPQSFSPPHDGKNKGQQKENVGADKQNPVEKDRETSTLDLNRKMLDSRSDSQEVEKNALSKDEKNNAEDGLLRIGLGHAKLKASRTGFKPYKRCSVEAKENRVMNTGSQGEERGPKRVRLEGEAST >KJB49647 pep chromosome:Graimondii2_0_v6:8:37738015:37744916:-1 gene:B456_008G131800 transcript:KJB49647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYSSGEELVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEYIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTSAATTVQEGAKDGKSEMPLSSLHCKQMLDLEKEPLPERPTGDEKATNLKENQDESCSEVFTLLHEQNCSSASSMNKNFIPMSAALKSSCTFREFVPLPKEIFHDNGTSKASNLEKSCTSYEKSAQGQRKDDLDGAFCADEMQAAQNYPRHVAVHVLDGSLRTCVQNPSLGMSFQDSVFHPMGDVHGPNLFANPAASATTEHQNNAPKSTHQALPPFHIPFIHLRPDQEEYRSFLHVSSTFSNLIVSTLLQNPAAHAAASFAATFWPYANVESSGDSPANELRGFPSTQTNSAPSMAAIAAATVAAATAWWTAHGLLPVCAPLHTGFTCAPASTAAVPPMENGQTPAANMEQKDKTDLALTTQDERLDPEYSEALQGQHSASKSPTSSSSDCEERVDAKANTEVKATDDEKAAEVIEPQDVDKMKNRKQVDRSSCGSNSSSEVETDMLEKLEKDKEDPKGADPNHPQVECNRRSRSSSNLSDSWKEVSEEGRLAFQALFSREVLPQSFSPPHDGKNKGQQKENVGADKQNPVEKDRETSTLDLNRKMLDSRSDSQEVEKNALSKDEKNNAEDGLLRIGLGHAKLKASRTGFKPYKRCSVEAKENRVMNTGSQGEERGPKRVRLEGEAST >KJB49645 pep chromosome:Graimondii2_0_v6:8:37738000:37740682:-1 gene:B456_008G131800 transcript:KJB49645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLSLILVLHVVNIILMLHWCLQKLVRFLLCIFILLMLLTIIHSQLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTSAATTVQEGAKDGKSEMPLSSLHCKQMLDLEKEPLPERPTGDEKATNLKENQDESCSEVFTLLHEQNCSSASSMNKNFIPMSAALKSSCTFREFVPLPKEIFHDNGTSKASNLEKSCTSYEKSAQGQRKDDLDGAFCADEMQAAQNYPRHVAVHVLDGSLRTCVQNPSLGMSFQDSVFHPMGDVHGPNLFANPAASATTEHQNNAPKSTHQALPPFHIPFIHLRPDQEEYRSFLHVSSTFSNLIVSTLLQNPAAHAAASFAATFWPYANVESSGDSPANELRGFPSTQTNSAPSMAAIAAATVAAATAWWTAHGLLPVCAPLHTGFTCAPASTAAVPPMENGQTPAANMEQKDKTDLALTTQDERLDPEYSEALQGQHSASKSPTSSSSDCEERVDAKANTEVKATDDEKAAEVIEPQDVDKMKNRKQVDRSSCGSNSSSEVETDMLEKLEKDKEDPKGADPNHPQVECNRRSRSSSNLSDSWKEVSEEGRLAFQALFSREVLPQSFSPPHDGKNKGQQKENVGADKQNPVEKDRETSTLDLNRKMLDSRSDSQEVEKNALSKDEKNNAEDGLLRIGLGHAKLKASRTGFKPYKRCSVEAKENRVMNTGSQGEERGPKRVRLEGEAST >KJB49650 pep chromosome:Graimondii2_0_v6:8:37738015:37744230:-1 gene:B456_008G131800 transcript:KJB49650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSSLHCKQMLDLEKEPLPERPTGDEKATNLKENQDESCSEVFTLLHEQNCSSASSMNKNFIPMSAALKSSCTFREFVPLPKEIFHDNGTSKASNLEKSCTSYEKSAQGQRKDDLDGAFCADEMQAAQNYPRHVAVHVLDGSLRTCVQNPSLGMSFQDSVFHPMGDVHGPNLFANPAASATTEHQNNAPKSTHQALPPFHIPFIHLRPDQEEYRSFLHVSSTFSNLIVSTLLQNPAAHAAASFAATFWPYANVESSGDSPANELRGFPSTQTNSAPSMAAIAAATVAAATAWWTAHGLLPVCAPLHTGFTCAPASTAAVPPMENGQTPAANMEQKDKTDLALTTQDERLDPEYSEALQGQHSASKSPTSSSSDCEERVDAKANTEVKATDDEKAAEVIEPQDVDKMKNRKQVDRSSCGSNSSSEVETDMLEKLEKDKEDPKGADPNHPQVECNRRSRSSSNLSDSWKEVSEEGRLAFQALFSREVLPQSFSPPHDGKNKGQQKENVGADKQNPVEKDRETSTLDLNRKMLDSRSDSQEVEKNALSKDEKNNAEDGLLRIGLGHAKLKASRTGFKPYKRCSVEAKENRVMNTGSQGEERGPKRVRLEGEAST >KJB49646 pep chromosome:Graimondii2_0_v6:8:37738000:37741731:-1 gene:B456_008G131800 transcript:KJB49646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTSAATTVQEGAKDGKSEMPLSSLHCKQMLDLEKEPLPERPTGDEKATNLKENQDESCSEVFTLLHEQNCSSASSMNKNFIPMSAALKSSCTFREFVPLPKEIFHDNGTSKASNLEKSCTSYEKSAQGQRKDDLDGAFCADEMQAAQNYPRHVAVHVLDGSLRTCVQNPSLGMSFQDSVFHPMGDVHGPNLFANPAASATTEHQNNAPKSTHQALPPFHIPFIHLRPDQEEYRSFLHVSSTFSNLIVSTLLQNPAAHAAASFAATFWPYANVESSGDSPANELRGFPSTQTNSAPSMAAIAAATVAAATAWWTAHGLLPVCAPLHTGFTCAPASTAAVPPMENGQTPAANMEQKDKTDLALTTQDERLDPEYSEALQGQHSASKSPTSSSSDCEERVDAKANTEVKATDDEKAAEVIEPQDVDKMKNRKQVDRSSCGSNSSSEVETDMLEKLEKDKEDPKGADPNHPQVECNRRSRSSSNLSDSWKEVSEEGRLAFQALFSREVLPQSFSPPHDGKNKGQQKENVGADKQNPVEKDRETSTLDLNRKMLDSRSDSQEVEKNALSKDEKNNAEDGLLRIGLGHAKLKASRTGFKPYKRCSVEAKENRVMNTGSQGEERGPKRVRLEGEAST >KJB49648 pep chromosome:Graimondii2_0_v6:8:37738015:37744826:-1 gene:B456_008G131800 transcript:KJB49648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYSSGEELVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEYIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTSAATTVQEGAKDGKSEMPLSSLHCKQMLDLEKEPLPERPTGDEKATNLKENQDESCSEVFTLLHEQNCSSASSMNKNFIPMSAALKSSCTFREFVPLPKEIFHDNGTSKASNLEKSCTSYEKSAQGQRKDDLDGAFCADEMQAAQNYPRHVAVHVLDGSLRTCVQNPSLGMSFQDSVFHPMGDVHGPNLFANPAASATTEHQNNAPKSTHQALPPFHIPFIHLRPDQEEYRSFLHVSSTFSNLIVSTLLQNPAAHAAASFAATFWPYANVESSGDSPANELRGFPSTQTNSAPSMAAIAAATVAAATAWWTAHGLLPVCAPLHTGFTCAPASTAAVPPMENGQTPAANMEQKDKTDLALTTQDERLDPEYSEALQGQHSASKSPTSSSSDCEERVDAKANTEVKATDDEKAAEVIEPQDVDKMKNRKQVDRSSCGSNSSSEVETDMLEKLEKDKEDPKGADPNHPQVECNRRSRSSSNLSDSWKEVSEEGRLAFQALFSREVLPQSFSPPHDGKNKGQQKENVGADKQNPVEKDRETSTLDLNRKMLDSRSDSQEVEKNALSKDEKNNAEDGLLRIGLGHAKLKASRTGFKPYKRCSVEAKENRVMNTGSQGEERGPKRVRLEGEAST >KJB50646 pep chromosome:Graimondii2_0_v6:8:45827628:45832476:1 gene:B456_008G180900 transcript:KJB50646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLNARHRVDSELKTTTNQPKMDPIKFQTRPNSRSTALFSRNLRQTKPTPSLFAISVSVSLAVLIFSSIFIFSSPVSTKYGIIIDGGSTGTRIHVFRYRVDGSKNLVFDFKEGSDSLKVNPGLSAYVEYPEAVSDSLGKLLEFGRKKVPRKQLAETEIRLMATAGLRLLDVELQERILEECRKVLRVSGFKFHDEWASVITGSDEGVYAWVVANYALGTLGGNPLDTTGIIELGGASAQDVAHESLRESLIKGDFSPASGSLNKEMYVDPCTPKGYLAPSSNLSLGYTAEKSKYSSELQATGNFSECRSAALMLLQNGKEKCSNYRCYLGSVFMPKLQGKFLATENFFHTSKFFRLHQRASLSDLMMAGQHFCGEDWSKLKKKHQSLGEEELLRYCFSSAYIVALLHDSLGIALDDERISFANLVENIPLDWALGAFILQNMAKLDAQQIDWITTIISNDSPTLFSIIAVSAILMFIAWFILKWRKPELKTVYDLEKGRYIVTRIGRK >KJB50644 pep chromosome:Graimondii2_0_v6:8:45827628:45832476:1 gene:B456_008G180900 transcript:KJB50644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLNARHRVDSELKTTTNQPKMDPIKFQTRPNSRSTALFSRNLRQTKPTPSLFAISVSVSLAVLIFSSIFIFSSPVSTKYGIIIDGGSTGTRIHVFRYRVDGSKNLVFDFKEGSDSLKVNPGLSAYVEYPEAVSDSLGKLLEFGRKKVPRKQLAETEIRLMATAGLRLLDVELQERILEECRKVLRVSGFKFHDEWASVITGSDEGVYAWVVANYALGTLGGNPLDTTGIIELGGASAQVTFFSSEPMPSKFSRSIKFRNFTYSLYSHSFLHFGQDVAHESLRESLIKGDFSPASGSLNKEMYVDPCTPKGYLAPSSNLSLGYTAEKSKYSSELQATGNFSECRSAALMLLQNGKEKCSNYRCYLGSVFMPKLQGKFLATENFFHTSKVASKGLSF >KJB50647 pep chromosome:Graimondii2_0_v6:8:45827820:45831019:1 gene:B456_008G180900 transcript:KJB50647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLNARHRVDSELKTTTNQPKMDPIKFQTRPNSRSTALFSRNLRQTKPTPSLFAISVSVSLAVLIFSSIFIFSSPVSTKYGIIIDGGSTGTRIHVFRYRVDGSKNLVFDFKEGSDSLKVNPGLSAYVEYPEAVSDSLGKLLEFGRKKVPRKQLAETEIRLMATAGLRLLDVELQERILEECRKVLRVSGFKFHDEWASVITGSDEGVYAWVVANYALGTLGGNPLDTTGIIELGGASAQVTFFSSEPMPSKFSRSIKFRNFTYSLYSHSFLHFGQDVAHESLRESLIKGDFSPASGSLNKEMYVDPCTPKGYLAPSSNLSLGYTAEKSKYSSELQATGNFSECRSAALMLLQNGKEKCSNYRCYLGSVFMPKLQGKFLATENFFHTSKV >KJB50643 pep chromosome:Graimondii2_0_v6:8:45827608:45832476:1 gene:B456_008G180900 transcript:KJB50643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLNARHRVDSELKTTTNQPKMDPIKFQTRPNSRSTALFSRNLRQTKPTPSLFAISVSVSLAVLIFSSIFIFSSPVSTKYGIIIDGGSTGTRIHVFRYRVDGSKNLVFDFKEGSDSLKVNPGLSAYVEYPEAVSDSLGKLLEFGRKKVPRKQLAETEIRLMATAGLRLLDVELQERILEECRKVLRVSGFKFHDEWASVITGSDEGVYAWVVANYALGTLGGNPLDTTGIIELGGASAQVTFFSSEPMPSKFSRSIKFRNFTYSLYSHSFLHFGQDVAHESLRESLIKGDFSPASGSLNKEMYVDPCTPKGYLAPSSNLSLGYTAEKSKYSSELQATGNFSECRSAALMLLQNGKEKCSNYRCYLGSVFMPKLQGKFLATENFFHTSKFFRLHQRASLSDLMMAGQHFCGEDWSKLKKKHQSLGEEELLRYCFSSAYIVALLHDSLGIALDDERISFANLVENIPLDWALGAFILQNMAKLDAQQIDWITTIISNDSPTLFSIIAVSAILMFIAWFILKWRKPELKTVYDLEKGRYIVTRIGRK >KJB50645 pep chromosome:Graimondii2_0_v6:8:45827628:45832476:1 gene:B456_008G180900 transcript:KJB50645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLNARHRVDSELKTTTNQPKMDPIKFQTRPNSRSTALFSRNLRQTKPTPSLFAISVSVSLAVLIFSSIFIFSSPVSTKYGIIIDGGSTGTRIHVFRYRVDGSKNLVFDFKEGSDSLKVNPGLSAYVEYPEAVSDSLGKLLEFGRKKVPRKQLAETEIRLMATAGLRLLDVELQERILEECRKVLRVSGFKFHDEWASVITGSDEGVYAWVVANYALGTLGGNPLDTTGIIELGGASAQVTFFSSEPMPSKFSRSIKFRNFTYSLYSHSFLHFGQDVAHESLRESLIKGDFSPASGSLNKEMYVDPCTPKGYLAPSSNLSLGYTAEKSKYSSELQATGNFSECRSAALMLLQNGKEKCSNYRCYLGSVFMPKLQGKFLATENFFHTSKFFRLHQRASLSDLMMAGQHFCGEDWSKLKKKHQSLGEEELLRYCFSSAYIVALLHDSLGIALDDESL >KJB53078 pep chromosome:Graimondii2_0_v6:8:56624710:56630813:-1 gene:B456_008G292300 transcript:KJB53078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVLFIGELMKQSERYIDEVDGFEIAKRATLQFLEKFKTPVVMGSEPDKEILKMVARTTLRTKLYEGLADQLTDIVVNAVLCVRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREAMVAAERRSVDERVKKIIELKNKVCAGNDSNFVVINQKGIDPPSLHLLQQAGIVALRRAKRRNMERLVLACGGEAVNSVDDLTPACLGWAGLVYEHVLGEDKYTFVENVRHPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDEAVILGAGAFEVAARQHLINEVKKTVQGRAQLGVEAFADALLVVPKTLAENSGLDTQDVIIGLTGEHDRGNIVGLNQQTGEPMDPQMEGIFDNYSVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPT >KJB53079 pep chromosome:Graimondii2_0_v6:8:56624710:56630864:-1 gene:B456_008G292300 transcript:KJB53079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVLFIGELMKQSERYIDEGMHPRVLVDGFEIAKRATLQFLEKFKTPVVMGSEPDKEILKMVARTTLRTKLYEGLADQLTDIVVNAVLCVRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREAMVAAERRSVDERVKKIIELKNKVCAGNDSNFVVINQKGIDPPSLHLLQQAGIVALRRAKRRNMERLVLACGGEAVNSVDDLTPACLGWAGLVYEHVLGEDKYTFVENVRHPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDEAVILGAGAFEVAARQHLINEVKKTVQGRAQLGVEAFADALLVVPKTLAENSGLDTQDVIIGLTGEHDRGNIVGLNQQTGEPMDPQMEGIFDNYSVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPT >KJB49553 pep chromosome:Graimondii2_0_v6:8:36443252:36451158:-1 gene:B456_008G125100 transcript:KJB49553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX3 [Source:Projected from Arabidopsis thaliana (AT3G61740) UniProtKB/Swiss-Prot;Acc:Q9M364] MIIKRTGNLEMRSMKRCKMEREGYDDCGEEEIFYDYEGNPKRLKSNGYYSYGEFDDFSSGSGYWSSEESYWAGEFESNSMNLNKAKQNKKSSKRNFKPPLLKSSRGRTQTLPSRFNDAVLDSWKSRKLRVDYADSSLEDDEFDGSRYLKDKYGYGSSDLYLISKRREERGMSCVGINNSYEYGSYLDSSSSTLLETEETVPYNSFKGIERLKKERGGKRKDVYKPEDFALGDLVWAKCGKRYPTWPAIVIDPILQAPESVLSCCVPGAICVMFFGYSKNGTQRDYAWVKQGMIFPFAEFMDRYQGQTQLFKWKQSDFQMALEEAVLAENGFLDSEHKTQQIGYADAQPSCSSQDLDCLYTHNQDTRPCDSCGSVVPLKTMKKMKKSAELLCKHCYKLRKSKQYCGICKKIWHHSDGGNWVCCDGCNVWVHAECDNISSKVFKDMENIDYYCPECKAKSKLESCLAKREPKIKSREKSGESVPPDKLTVVCNGMEGSYIPNLHLVVCECGACGSKKYTLSEWERHTGCRAKKWKYSVKVKDTMTTLEKWIVDYNAHGVHTLKLDKQKLIGFLQEKYEPVDAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGASNVQDLTSWVCRACETPDIERECCLCPVKGGALKPTDVESLWVHVTCAWFRPEVGFLDHEKMEPAVGVLRIPPTSFLKSCVICKQTHGSCTQCCKCSTYFHVMCASRAGYIMEIHCSEKNGMQMTKKFVYCTDHRSPNPDAVVVMHTPSGVFAARNVLQNVNDCPRGSRLISSKNAELPESPALDTNDFDACSAARCRIFTRSKFKEVDDSTVFSSFKDRLYQLQRTENHRVCFGKSGIHGWGLFARRNIQEGEMVVEYRGEQVRRSVADLREAQYRSEGKDCYLFKISEEVVIDATNKGNIARLINHSCTPNCYARIMSVGDEESQIVLIAKTDVSAGDELTYDYLFDPDEHDELKVPCLCKAPNCRKYMN >KJB49555 pep chromosome:Graimondii2_0_v6:8:36443252:36451251:-1 gene:B456_008G125100 transcript:KJB49555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX3 [Source:Projected from Arabidopsis thaliana (AT3G61740) UniProtKB/Swiss-Prot;Acc:Q9M364] MIIKRTGNLEMRSMKRCKMEREGYDDCGEEEIFYDYEGNPKRLKSNGYYSYGEFDDFSSGSGYWSSEESYWAGEFESNSMNLNKAKQNKKSSKRNFKPPLLKSSRGRTQTLPSRFNDAVLDSWKSRKLRVDYADSSLEDDEFDGSRYLKDKYGYGSSDLYLISKRREERGMSCVGINNSYEYGSYLDSSSSTLLETEETVPYNSFKGIERLKKERGGKRKDVYKPEDFALGDLVWAKCGKRYPTWPAIVIDPILQAPESVLSCCVPGAICVMFFGYSKNGTQRDYAWVKQGMIFPFAEFMDRYQGQTQLFKWKQSDFQMALEEAVLAENGFLDSEHKTQQIGYADAQPSCSSQDLDCLYTHNQDTRPCDSCGSVVPLKTMKKMKKSAELLCKHCYKLRKSKQYCGICKKIWHHSDGGNWVCCDGCNVWVHAECDNISSKVFKDMENIDYYCPECKAKSKLESCLAKREPKIKSREKSGESVPPDKLTVVCNGMEGSYIPNLHLVVCECGACGSKKYTLSEWERHTGCRAKKWKYSVKVKDTMTTLEKWIVDYNAHGVHTLKLDKQKLIGFLQEKYEPVDAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGASNVQDLTSWVCRACETPDIERECCLCPVKGGALKPTDVESLWVHVTCAWFRPEVGFLDHEKMEPAVGVLRIPPTSFLKSCVICKQTHGSCTQCCKCSTYFHVMCASRAGYIMEIHCSEKNGMQMTKKFVYCTDHRSPNPDAVVVMHTPSGVFAARNVLQNVNDCPRGSRLISSKNAELPESPALDTNDFDACSAARCRIFTRSKFKGAEREPIFHSPSGPSHHHIDALRALSTYKEVDDSTVFSSFKDRLYQLQRTENHRVCFGKSGIHGWGLFARRNIQEGEMVVEYRGEQVRRSVADLREAQYRSEGKDCYLFKISEEVVIDATNKGNIARLINHSCTPNCYARIMSVGDEESQIVLIAKTDVSAGDELTYDYLFDPDEHDELKVPCLCKAPNCRKYMN >KJB49554 pep chromosome:Graimondii2_0_v6:8:36443569:36450796:-1 gene:B456_008G125100 transcript:KJB49554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX3 [Source:Projected from Arabidopsis thaliana (AT3G61740) UniProtKB/Swiss-Prot;Acc:Q9M364] MIIKRTGNLEMRSMKRCKMEREGYDDCGEEEIFYDYEGNPKRLKSNGYYSYGEFDDFSSGSGYWSSEESYWAGEFESNSMNLNKAKQNKKSSKRNFKPPLLKSSRGRTQTLPSRFNDAVLDSWKSRKLRVDYADSSLEDDEFDGSRYLKDKYGYGSSDLYLISKRREERGMSCVGINNSYEYGSYLDSSSSTLLETEETVPYNSFKGIERLKKERGGKRKDVYKPEDFALGDLVWAKCGKRYPTWPAIVIDPILQAPESVLSCCVPGAICVMFFGYSKNGTQRDYAWVKQGMIFPFAEFMDRYQGQTQLFKWKQSDFQMALEEAVLAENGFLDSEHKTQQIGYADAQPSCSSQDLDCLYTHNQDTRPCDSCGSVVPLKTMKKMKKSAELLCKHCYKLRKSKQYCGICKKIWHHSDGGNWVCCDGCNVWVHAECDNISSKVFKDMENIDYYCPECKAKSKLESCLAKREPKIKSREKSGESVPPDKLTVVCNGMEGSYIPNLHLVVCECGACGSKKYTLSEWERHTGCRAKKWKYSVKVKDTMTTLEKWIVDYNAHGVHTLKLDKQKLIGFLQEKYEPVDAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGASNVQDLTSWVCRACETPDIERECCLCPVKGGALKPTDVESLWVHVTCAWFRPEVGFLDHEKMEPAVGVLRIPPTSFLKSCVICKQTHGSCTQCCKCSTYFHVMCASRAGYIMEIHCSEKNGMQMTKKFVYCTDHRSPNPDAVVVMHTPSGVFAARNVLQNVNDCPRGSRLISSKNAELPESPALDTNDFDACSAARCRIFTRSKFKGAEREPIFHSPSGPSHHHIDALRALSTYKEVDDSTVFSSFKDRLYQLQRTENHRVCFGKSGIHGWGLFARRNIQEGEMVVEYRGEQVRRSVADLREAQYRSEGKDCYLFKISEEVVIDATNKGNIARLINHSCTPNCYARIMSVGDEESQIVLIAKTDVSAGDELTYDYLFDPDEHDELKVPCLCKAPNCRKYMN >KJB52881 pep chromosome:Graimondii2_0_v6:8:55774718:55776829:-1 gene:B456_008G281300 transcript:KJB52881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGGKSDQEDGEENMAAWLVGLNTLKIQPFKLPPLGPHDVRVGMKAVGICGSDVHFFKALRLADYVVKEPMVIGHECAGIIEETGSEVKDLVPGDRVALEPGIGCWRCDLCKEGRYNICPDMKFFGLPPFHGSLARQIVHPAYLCFKLPDNVSLEEGALCEPLSVAVHACRRGNISPETNVLVMGAGSIGLVTLLAARAFGAPRIVTVDVDGNRLSVAKELGADGVVEVSTNMQDIPKEVETICNVMGAKVDVSFDCAGLSKTMSTALSVTRAGGKVCLVGLGHNEMTVPLTPAAARIYCREVDVIGVFRYKNTWPLCIELLRSGKIDVKPLITHRFGFSQKEIEEAFETSAGGGNAIKVMFNL >KJB51334 pep chromosome:Graimondii2_0_v6:8:49872500:49875843:-1 gene:B456_008G212300 transcript:KJB51334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase-2 [Source:Projected from Arabidopsis thaliana (AT4G25110) UniProtKB/Swiss-Prot;Acc:Q7XJE5] MIELPPHLPFQSIFSLYILSIINLLITKQHHIPSLSLSTSSTPMLMQVNCSNCHAPLQLPPAANSICCVLCQAITLIADPRSLPLPLPSSSYQQQPLPSPYNHAPPGPPPQAHGRKRAVICGVSYKNTQYELNGCINDANCMKFLLVNRFMFPESSIIMLTEEETDPYKRPTKRNIRMALRWLVQGCQPGDSLVFHYSGHGSQQKDDNGDEVDGYDETLCPLDFESQGMIIDDEINATIVRPLPCGVKLHAIIDACHSGTVLDLPYLCKMDRKGRYVWEDHRPRSGMWKGTNGGEVISFSGCADDQTSADTSALSKITSTGAMTYSFIQAIEYGHATTYGNMLNAMRSNIRHVDEGGFVTSLLTMLLTGGSLGGRSRQVSSNMLNASILFTSYMLVSQGKISIRSLMLY >KJB51332 pep chromosome:Graimondii2_0_v6:8:49873141:49875843:-1 gene:B456_008G212300 transcript:KJB51332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase-2 [Source:Projected from Arabidopsis thaliana (AT4G25110) UniProtKB/Swiss-Prot;Acc:Q7XJE5] MIELPPHLPFQSIFSLYILSIINLLITKQHHIPSLSLSTSSTPMLMQVNCSNCHAPLQLPPAANSICCVLCQAITLIADPRSLPLPLPSSSYQQQPLPSPYNHAPPGPPPQAHGRKRAVICGVSYKNTQYELNGCINDANCMKFLLVNRFMFPESSIIMLTEEETDPYKRPTKRNIRMALRWLVQGCQPGDSLVFHYSGHGSQQKDDNGDEVDGYDETLCPLDFESQGMIIDDEINATIVRPLPCGVKLHAIIDACHSGTVLDLPYLCKMDRKGRYVWEDHRPRSGMWKGTNGGEVISFSGCADDQTSADTSVMS >KJB51333 pep chromosome:Graimondii2_0_v6:8:49872481:49875843:-1 gene:B456_008G212300 transcript:KJB51333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase-2 [Source:Projected from Arabidopsis thaliana (AT4G25110) UniProtKB/Swiss-Prot;Acc:Q7XJE5] MIELPPHLPFQSIFSLYILSIINLLITKQHHIPSLSLSTSSTPMLMQVNCSNCHAPLQLPPAANSICCVLCQAITLIADPRSLPLPLPSSSYQQQPLPSPYNHAPPGPPPQAHGRKRAVICGVSYKNTQYELNGCINDANCMKFLLVNRFMFPESSIIMLTEEETDPYKRPTKRNIRMALRWLVQGCQPGDSLVFHYSGHGSQQKDDNGDEVDGYDETLCPLDFESQGMIIDDEINATIVRPLPCGVKLHAIIDACHSGTVLDLPYLCKMDRKGRYVWEDHRPRSGMWKGTNGGEVISFSGCADDQTSADTSALSKITSTGAMTYSFIQAIEYGHATTYGNMLNAMRSNIRHVDEGGFVTSLLTMLLTGGSLGGRSRQLTATEPFDVYNKPFSL >KJB51331 pep chromosome:Graimondii2_0_v6:8:49873274:49875843:-1 gene:B456_008G212300 transcript:KJB51331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase-2 [Source:Projected from Arabidopsis thaliana (AT4G25110) UniProtKB/Swiss-Prot;Acc:Q7XJE5] MIELPPHLPFQSIFSLYILSIINLLITKQHHIPSLSLSTSSTPMLMQVNCSNCHAPLQLPPAANSICCVLCQAITLIADPRSLPLPLPSSSYQQQPLPSPYNHAPPGPPPQAHGRKRAVICGVSYKNTQYELNGCINDANCMKFLLVNRFMFPESSIIMLTEEETDPYKRPTKRNIRMALRWLVQGCQPGDSLVFHYSGHGSQQKDDNGDEVDGYDETLCPLDFESQGMIIDDEINATIVRPLPCGVKLHAIIDACHSGTVLDLPYLCKMDRLVKCFRMSHFPGDTNFVTHNCILFHWDII >KJB51330 pep chromosome:Graimondii2_0_v6:8:49872419:49875843:-1 gene:B456_008G212300 transcript:KJB51330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase-2 [Source:Projected from Arabidopsis thaliana (AT4G25110) UniProtKB/Swiss-Prot;Acc:Q7XJE5] MIELPPHLPFQSIFSLYILSIINLLITKQHHIPSLSLSTSSTPMLMQVNCSNCHAPLQLPPAANSICCVLCQAITLIADPRSLPLPLPSSSYQQQPLPSPYNHAPPGPPPQAHGRKRAVICGVSYKNTQYELNGCINDANCMKFLLVNRFMFPESSIIMLTEEETDPYKRPTKRNIRMALRWLVQGCQPGDSLVFHYSGHGSQQKDDNGDEVDGYDETLCPLDFESQGMIIDDEINATIVRPLPCGVKLHAIIDACHSGTVLDLPYLCKMDRKGRYVWEDHRPRSGMWKGTNGGEVISFSGCADDQTSADTSALSKITSTGAMTYSFIQAIEYGHATTYGNMLNAMRSNIRHVDEGGFVTSLLTMLLTGGSLGGRSRQEPQLTATEPFDVYNKPFSL >KJB52591 pep chromosome:Graimondii2_0_v6:8:54810676:54819536:1 gene:B456_008G269400 transcript:KJB52591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKRNLKSQMPSLKRCKLGSSVGEYEDNSGRARKKRKINGYYPLALLGEVAAGTIPFKLHHIITSAEADKGFSASWCTEVSCSPDEVESKSNGLDSSKAKNRTVEITRPPLVRTSRGRVQVLPSRFNDSVIENWKKEGKTSLPDDSLDDGDDDDDYDFECKNDKFSFKKPKVCKQNMKNRRAEEKNGYKGRKYTTLCEEDGREAEYARTFDIRKYSSSRSTLTKVHERFVKEEKYVNGVDTFDLTTGKQASLENGERKDGLYGPQDFYSGDIVWARPGKREPFWPAIVIDPMTQAPELVLRSCIPEAACVMFFGHSGTESEGDYGWVRRGMIFPFIDFLDRFHDQPELRRCKPSDFQLAVEEAFLAEQGFTEKLIHDIDMAAGNTNYEESVFRWVQGATGPNRDHDYRLSNQGFFGKHTDSRLCEGCGMNLPFKMGKKMKASAPAGQFLCKTCARLTKSKHYCGICKKVWNHSDSGSWVRCDGCKVWVHAECGKISSHHFKVLGATDYYCPPCKVKFNFELSDSEKWQPKTKSKTNNSQLVLPNKVTVLCCGVEGIYYPSLHSVVCKCGSCGLEKQALSEWERHTGSQQRNWRISVKVKGSLLPLEQWMLQLAEYHANAATSTKPPKRPPIRERKQKLLAFLKEKYEAVHVKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARNIRDFTSWVCKACETPEVARECCLCPVKGGALKPTDVETLWVHVTCAWFRPEVSFASDEKMEPALGILSIPSNSFVKICVICKQIHGSCTQCCKCSTYYHAMCASRAGYRMELHCLEKNGRQITKMVSYCAYHRAPNPDTVLVIQTPLGVFSAKSLVQKKKKNGSRLISSNRLKIEEVPTEETIEIEPFSAARCRMFKRSNNNRKRTEEEAVAHRLMRPYHHPLRTIQSLNAFRTVEEPKGFSSFKERLHHLQRTEHDRVCFGRSGIHGWGLFARRNIQEGEMVLEYRGEQVRRSIADLREAHYRKEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVADDESRIVLIAKTNVSAGDELTYDYLFDPDEPEEFKVPCLCKAPNCRQFMN >KJB52592 pep chromosome:Graimondii2_0_v6:8:54810949:54819505:1 gene:B456_008G269400 transcript:KJB52592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKRNLKSQMPSLKRCKLGSSVGEYEDNSGRARKKRKINGYYPLALLGEVAAGTIPFKLHHIITSAEADKGFSASWCTEVSCSPDEVESKSNGLDSSKAKNRTVEITRPPLVRTSRGRVQVLPSRFNDSVIENWKKEGKTSLPDDSLDDGDDDDDYDFECKNDKFSFKKPKVCKQNMKNRRAEEKNGYKGRKYTTLCEEDGREAEYARTFDIRKYSSSRSTLTKVHERFVKEEKYVNGVDTFDLTTGKQASLENGERKDGLYGPQDFYSGDIVWARPGKREPFWPAIVIDPMTQAPELVLRSCIPEAACVMFFGHSGTESEGDYGWVRRGMIFPFIDFLDRFHDQPELRRCKPSDFQLAVEEAFLAEQGFTEKLIHDIDMAAGNTNYEESVFRWVQGATGPNRDHDYRLSNQGFFGKHTDSRLCEGCGMNLPFKMGKKMKASAPAGQFLCKTCARLTKSKHYCGICKKVWNHSDSGSWVRCDGCKVWVHAECGKISSHHFKVLGATDYYCPPCKVKFNFELSDSEKWQPKTKSKTNNSQLVLPNKVTVLCCGVEGIYYPSLHSVVCKCGSCGLEKQALSEWERHTGSQQRNWRISVKVKGSLLPLEQWMLQLAEYHANAATSTKPPKRPPIRERKQKLLAFLKEKYEAVHVKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARNIRDFTSWVCKACETPEVARECCLCPVKGGALKPTDVETLWVHVTCAWFRPEVSFASDEKMEPALGILSIPSNSFVKICVICKQIHGSCTQCCKCSTYYHAMCASRAGYRMELHCLEKNGRQITKMVSYCAYHRAPNPDTVLVIQTPLGVFSAKSLVQKKKKNGSRLISSNRLKIEEVPTEETIEIEPFSAARCRMFKRSNNNRKRTEEEAVAHRLMRPYHHPLRTIQSLNAFRVLEEPKGFSSFKERLHHLQRTEHDRVCFGRSGIHGWGLFARRNIQEGEMVLEYRGEQVRRSIADLREAHYRKEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVADDESRIVLIAKTNVSAGDELTYDYLFDPDEPEEFKVPCLCKAPNCRQFMN >KJB49958 pep chromosome:Graimondii2_0_v6:8:40019100:40031863:1 gene:B456_008G147000 transcript:KJB49958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPTPNPIRFADVNSGNNGIASLSSPENGDSGAKVVGRVENGVADADQAHEDSPYSGNTLVVEDRPSVGDEDLVSAAAPLPSVSKSNIEHRWSDITSYTTKKKVLSWFQLPNGNWELARIVKTAGRESVISLPDGKVLKVNSDSLIPANPDILDGVDDLMQLSYLNEPSVLFDLQYRYNRDMIYTKAGPVLVAINPFKKVPLYGNNYIEAYKNKSIESPHVYAIADTAIREMTQDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCAEGERSYHIFYQLCAGAPRTLREKLNLKDVNEYKYLNQSNCYAISWVDDAEQFHIVKEALDIVHVSEEDQESVFAMLAAVLWLGNISFNMIDNENHVEAVADESLINVAQLIGCETVDLNLALSTRKMRVGHDNIIQKLTLSQAIDTRDALAKSIYACLFEWLVEQINKSLAVGKRRTGRSISILDIYGFESFGRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFDDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDITFANKLKQHLNSNPCFRGEREKAFTVSHFAGEVTYDTTGFLEKNRDLLHLDSIQLLSFCLCHLPQIFASNMLNQSEKTVVGPLHKAGGADSQKLSVATKFKSQLFQLMQRLESTTPHFIRCIKPNNLQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPSRMSHQKFARRYGFLLLENVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGILEDTRNHTLHGILRVQSCFRGHQARRHFKELQRGIATLQSFVRGEKARQEFAVLLQRHRAAVVIQKWITGRNAKKTFKKINDASIVIQSVIRGWLVRRCSQDIGLVKPGGSKENESDEVLVKSSFLSEVQRRVLKAEAALREKEEENDILHQQLQQYESRWSEYEVKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDESERNSDASVNTSDEREYCWDTGSNHKGPESNGLRPMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQGEASLNPDRELRRLKQMFETWKKDYAVRLRETKVVLNKLGNEEGALDRVKKKWWGRRNSTRDT >KJB47106 pep chromosome:Graimondii2_0_v6:8:1310517:1315000:1 gene:B456_008G011600 transcript:KJB47106 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 26 homolog [Source:Projected from Arabidopsis thaliana (AT5G08560) UniProtKB/Swiss-Prot;Acc:Q9FNN2] MGVAEDSEPPLKRVKGPLEESKSLPEDHSTTKSVSCSLGDQMARPLTSQGDGETIGSQGVIRKAEFIKIITRALYSLGYDKSGALLEEESGIPLHSSVVNLFMGQVTNGKWDDSVATLRTIGLSDEIMKSASFLILEQKFLELLKMEKVAAALDTLRNEIVPLHVNLDCVHKLASCIISPSQCIRLGISSQDTEGTKSGSKILEKLQKLLPAAIMIPEKRLEHLVERALDVQREACVFHNTSDSDLSLYSDHQCGKNQIPSQTLQILEAHKNEVWFVQFSHNGKYLGSSSKDHSAIIWEVKENGQVLLKHTLCGHQKPVLIVSWSPDDSQILTCGQDEVIRRWDVSSGECLHVYEKTGVGLISCGWFPDGRGIFAGMTDKSICLWDLDGRDLECWKGQRTLRISDMAITDDGKRIISVCRESAILLLDREAKFDRLIEEVDMITSFSLSKDNKFLLVNLMNQEIHLWSIEGDPKLISEYKGHKRTRFVIRSCFGGLDQSFIASGSEDSQVYIWHRCSGELLLALPGHSGAVNCVSWNPGNLHMLASASDDGTIRIWGLDRFNLKGGEQSNGSNHHCNGRS >KJB47107 pep chromosome:Graimondii2_0_v6:8:1310780:1314955:1 gene:B456_008G011600 transcript:KJB47107 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 26 homolog [Source:Projected from Arabidopsis thaliana (AT5G08560) UniProtKB/Swiss-Prot;Acc:Q9FNN2] MGVAEDSEPPLKRVKGPLEESKSLPEDHSTTKSVSCSLGDQMARPLTSQGDGETIGSQGVIRKAEFIKIITRALYSLGYDKSGALLEEESGIPLHSSVVNLFMGQVTNGKWDDSVATLRTIGLSDEIMKSASFLILEQKFLELLKMEKVAAALDTLRNEIVPLHVNLDCVHKLASCIISPSQCIRLGISSQDTEGTKSGSKILEKLQKLLPAAIMIPEKRLEHLVERALDVQREACVFHNTSDSDLSLYSDHQCGKNQIPSQTLQILEAHKNEVWFVQFSHNGKYLGSSSKDHSAIIWEVLLKHTLCGHQKPVLIVSWSPDDSQILTCGQDEVIRRWDVSSGECLHVYEKTGVGLISCGWFPDGRGIFAGMTDKSICLWDLDGRDLECWKGQRTLRISDMAITDDGKRIISVCRESAILLLDREAKFDRLIEEVDMITSFSLSKDNKFLLVNLMNQEIHLWSIEGDPKLISEYKGHKRTRFVIRSCFGGLDQSFIASGSEDSQVYIWHRCSGELLLALPGHSGAVNCVSWNPGNLHMLASASDDGTIRIWGLDRFNLKGGEQSNGSNHHCNGRS >KJB47109 pep chromosome:Graimondii2_0_v6:8:1310869:1315000:1 gene:B456_008G011600 transcript:KJB47109 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 26 homolog [Source:Projected from Arabidopsis thaliana (AT5G08560) UniProtKB/Swiss-Prot;Acc:Q9FNN2] MGVAEDSEPPLKRVKGPLEESKSLPEDHSTTKSVSCSLGDQMARPLTSQGDGETIGSQGVIRKAEFIKIITRALYSLGYDKSGALLEEESGIPLHSSVVNLFMGQVTNGKWDDSVATLRTIGLSDEIMKSASFLILEQKFLELLKMEKVAAALDTLRNEIVPLHVNLDCVHKLASCIISPSQCIRLGISSQDTEGTKSGSKILEKLQKLLPAAIMIPEKRLEHLVERALDVQREACVFHNTSDSDLSLYSDHQCGKNQIPSQTLQILEAHKNEVWFVQFSHNGKYLGSSSKDHSAIIWEVKENGQVLLKHTLCGHQKPVLIVSWSPDDSQILTCGQDEVIRRWDVSSGECLHVYEKTGVGLISCGWFPDGRGIFAGMTDKSICLWDLDGRDLECWKGQRTLRISDMAITDDGKRIISVCRESAILLLDREAKFDRLIEEVDMITSFSLSKDNKFLLVNLMNQEIHLWSIEGDPKLISEYKGHKRTRFVIRSCFGGLDQSFIASGSEDSQVYIWHRCSGELLLALPGHSGAVNCVSWNPGNLHMLASASDDGTIRIWGLDRFNLKGGEQSNGSNHHCNGRS >KJB47108 pep chromosome:Graimondii2_0_v6:8:1311598:1314152:1 gene:B456_008G011600 transcript:KJB47108 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 26 homolog [Source:Projected from Arabidopsis thaliana (AT5G08560) UniProtKB/Swiss-Prot;Acc:Q9FNN2] MGVAEDSEPPLKRVKGPLEESKSLPEDHSTTKSVSCSLGDQMARPLTSQGDGETIGSQGVIRKAEFIKIITRALYSLGYDKSGALLEEESGIPLHSSVVNLFMGQVTNGKWDDSVATLRTIGLSDEIMKSASFLILEQKFLELLKMEKVAAALDTLRNEIVPLHVNLDCVHKLASCIISPSQCIRLGISSQDTEGTKSGSKILEKLQKLLPAAIMIPEKRLEHLVERALDVQREACVFHNTSDSDLSLYSDHQCGKNQIPSQTLQILEAHKNEVWFVQFSHNGKYLGSSSKDHSAIIWEVKENGQVLLKHTLCGHQKPVLIVSWSPDDSQILTCGQDEVIRRWDVSSGECLHVYEKTGVGLISCGWFPDGRGIFAGMTDKSICLWDLDGRDLECWKGQRTLRISDMAITDDGKRIISVCRESAILLLDREAKFDRLIEEVDMITSFSLSKDNKFLLVNLMNQEIHLWSIEGDPKLISEYKGHKRTRFVIRSCFGGLDQSFIASGSEDSQVLLFD >KJB47110 pep chromosome:Graimondii2_0_v6:8:1310869:1315000:1 gene:B456_008G011600 transcript:KJB47110 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 26 homolog [Source:Projected from Arabidopsis thaliana (AT5G08560) UniProtKB/Swiss-Prot;Acc:Q9FNN2] MGVAEDSEPPLKRVKGPLEESKSLPEDHSTTKSVSCSLGDQMARPLTSQGDGETIGSQGVIRKAEFIKIITRALYSLGYDKSGALLEEESGIPLHSSVVNLFMGQVTNGKWDDSVATLRTIGLSDEIMKSASFLILEQKFLELLKMEKVAAALDTLRNEIVPLHVNLDCVHKLASCIISPSQCIRLGISSQDTEGTKSGSKILEKLQKLLPAAIMIPEKRLEHLVERALDVQREACVFHNTSDSDLSLYSDHQCGKNQIPSQTLQILEAHKNEVWFVQFSHNGKYLGSSSKDHSAIIWEVKENGQVLLKHTLCGHQKPVLIVSWSPDDSQILTCGQDEVIRRWDVSSGECLHVYEKTGVGLISCGWFPDGRGIFAGMTDKSICLWDLDGRDLECWKGQRTLRISDMAITDDGKRIISVCRESAILLLDREAKFDRLIEEVDMITSFSLSKDNKFLLVNLMNQEIHLWSIEGDPKLISEYKGHKRTRFVIRSCFGGLDQSFIASGSEDSQVYIWHRCSGELLLALPGHSGAVNCVSWNPGNLHMLASASDDGTIRIWGLDRFNLKGGEQSNGSNHHCNGRS >KJB47190 pep chromosome:Graimondii2_0_v6:8:1706687:1708168:1 gene:B456_008G014900 transcript:KJB47190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGKMRGFMCQSAAVNATCMAVDPRSAVVPRKLARIRTDNTRLIKNCNYSRLVESQRFVRDDKRSIVTPLVRKERNQEQKPMPHSRSVQLASSDHVFQVVVMRVALHCQGCAGKVKKHLSKMEAGVTSFSIELESKRVTVMGHVSPVGVLESISKVKKAEFWPC >KJB47191 pep chromosome:Graimondii2_0_v6:8:1706687:1708168:1 gene:B456_008G014900 transcript:KJB47191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGKMRGFMCQSAAVNATCMAVDPRSAVVPRKLARIRTDNTRLIKNCNYSRLVESQRFVRDDKRSIVTPLVRKERNQEQKPMPHSRSVQLASSDHVFQVVVMRVALHCQGCAGKVKKHLSKMEGILTNLCYYLNFPQVISVKSWHHKTPFFVFPKNFTKKLFITRSWCKARA >KJB47189 pep chromosome:Graimondii2_0_v6:8:1706393:1708213:1 gene:B456_008G014900 transcript:KJB47189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGKMRGFMCQSAAVNATCMAVDPRSAVVPRKLARIRTDNTRLIKNCNYSRLVESQRFVRDDKRSIVTPLVRKERNQEQKPMPHSRSVQLASSDHVFQVVVMRVALHCQGCAGKVKKHLSKMEGVTSFSIELESKRVTVMGHVSPVGVLESISKVKKAEFWPC >KJB51118 pep chromosome:Graimondii2_0_v6:8:48810293:48812362:-1 gene:B456_008G202400 transcript:KJB51118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPQMMTLWKEYKKGQVLGFTHCFDLQMELSVAKLPSTPLFLCLVLPLFTLMIWLKLQTNGRKRLKLPPSPPKLPFIGNIHQLGKLPHRSLRDLSRKYGSLLLLQLGHNQTLVVSSADMVKEIVKNHDVAFSDRPSTTAANILFYGCTDVGFAPYGEYWRQARKVCVTELLSLRRVNSFQFLRDDEVEVTIDKLRRASFKGEAVNLTELLMVASNNLVSRSALGRKFDDEDGKIKFGLLARRFLILMMTFCVGDMFPYLKWVDVLRGFTSSLKQVKNEFDTFFDQIREEDRSSQNKGERDFFSILLKLQENGLLEMDLTPDNIKAIILDMFVGGTDTTSTTLEWVMAELMKNTNVMKKVQKEIRNVVGKKAQIDMKDVDEMNYLKCVIKETLRLHPAVPLLVPRRTRSKINLGGYEIPSNIMVFFNSWAIHRDPEVWEKPEEFIPERFEKRSADFKSQDFEYIPFGFGRRGCPGMAFAVASIVDLVANLLYWFDWKLPDGENAENLDMDEVYGLTVFKKAPLRVFPIFRNFESQVDRV >KJB51103 pep chromosome:Graimondii2_0_v6:8:48699759:48706674:1 gene:B456_008G201300 transcript:KJB51103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDTSSVAESPRRRSGLLRDQVQLIKRKDCDRYEIVPIEDKLSFEKGFFIVIRACQLLAQKNDGLILVGVAGPSGAGKTVFTEKVLNFMPSIAVITMDNYNDASRIIDGNFDDPRLTDYNTLLENIHGLKAGKPVQVPIYDFKSSSRIGYRTLEVPSSRIVIIEGIYALSDKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFTGFQNPTYILKSTRLVTLDQIKEVVSEGHKETIEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFSDDKVSVKIDWLEQLNRKYVQVQGRDRLFVKFIAEQLGLEGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRMKYLSRISHSYATQRDKNLPKLTKLAINSRRFDGRAPESPTPVVNPVSMLTLN >KJB51106 pep chromosome:Graimondii2_0_v6:8:48700894:48704308:1 gene:B456_008G201300 transcript:KJB51106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDTSSVAESPRRRSGLLRDQVQLIKRKDCDRYEIVPIEDKLSFEKGFFIVIRACQLLAQKNDGLILVGVAGPSGAGKTVFTEKVLNFMPSIAVITMDNYNDASRIIDGNFDDPRLTDYNTLLENIHGLKAGKPVQVPIYDFKSSSRIGYRTLEVPSSRIVIIEGIYALSDKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFTGFQNPTYILKSTRLVTLDQIKEVVSEGHKETIEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFSDDKVSVKIDWLEQLNRKYVQVSYPLTYVYII >KJB51109 pep chromosome:Graimondii2_0_v6:8:48699759:48706674:1 gene:B456_008G201300 transcript:KJB51109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDTSSVAESPRRRSGLLRDQVQLIKRKDCDRYEIVPIEDKLSFEKGFFIVIRACQLLAQKNDGLILVGVAGPSGAGKTVFTEKVLNFMPSIAVITMDNYNDASRIIDGNFDDPRLTDYNTLLENIHGLKAGKPVQVPIYDFKSSSRIGYRTLEVPSSRIVIIEGIYALSDKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFTGFQNPTYILKSTRLVTLDQIKEVVSEGHKETIEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFSDDKVSVKIDWLEQLNRKYVQVQGRDRLFVKFIAEQLGLEGSYVPRTYIEQIQLEKLVNDVMV >KJB51104 pep chromosome:Graimondii2_0_v6:8:48700894:48705415:1 gene:B456_008G201300 transcript:KJB51104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDTSSVAESPRRRSGLLRDQVQLIKRKDCDRYEIVPIEDKLSFEKGFFIVIRACQLLAQKNDGLILVGVAGPSGAGKTVFTEKVLNFMPSIAVITMDNYNDASRIIDGNFDDPRLTDYNTLLENIHGLKAGKPVQVPIYDFKSSSRIGYRTLEVPSSRIVIIEGIYALSDKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFTGFQNPTYILKSTRLVTLDQIKEVVSEGHKETIEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFSDDKVSVKIDWLEQLNRKYVQVQGRDRLFVKFIAEQLGLEGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRMKYLSRISHSYATQRDKNLPKLTKLAINSRRFDGRAPESPTPVVNPVSMLTLN >KJB51105 pep chromosome:Graimondii2_0_v6:8:48699759:48706674:1 gene:B456_008G201300 transcript:KJB51105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDTSSVAESPRRRSGLLRDQVQLIKRKDCDRYEIVPIEDKLSFEKGFFIVIRACQLLAQKNDGLILVGVAGPSGAGKTVFTEKVLNFMPSIAVITMDNYNDASRIIDGNFDDPRLTDYNTLLENIHGLKAGKPVQVPIYDFKSSSRIGYRTLEVPSSRIVIIEGIYALSDKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFTGFQNPTYILKSTRLVTLDQIKEVVSEGHKETIEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFSDDKVSVKIDWLEQLNRKYVQVQGRDRLFVKFIAEQLGLEGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRMKYLSRISHSYATQRDKNLPKLTKLAINSRRFDGRAPESPTPVVNPGVVTQLSEQISTLNERMDKFTSSIEELNSKLSTRTISASQQNLAVQAEACNGSLPTSLFVTGLGNGSLTGSLMPHSSSSSQLARESPLMEEVLVIARAQRQIMHQLDNLSNLIHEYRGERCHQERNDRSNRAIDVDTIGVPLIFTLAIGGLGVILFRNLASQK >KJB51108 pep chromosome:Graimondii2_0_v6:8:48699759:48706674:1 gene:B456_008G201300 transcript:KJB51108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDTSSVAESPRRRSGLLRDQVQLIKRKDCDRYEIVPIEDKLSFEKGFFIVIRACQLLAQKNDGLILVGVAGPSGAGKTVFTEKVLNFMPSIAVITMDNYNDASRIIDGNFDDPRLTDYNTLLENIHGLKAGKPVQVPIYDFKSSSRIGYRTLEVPSSRIVIIEGIYALSDKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFTGFQNPTYILKSTRLVTLDQIKEVVSEGHKETIEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFSDDKVSVKIDWLEQLNRKYVQVQGRDRLFVKFIAEQLGLEGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRMKYLSRISHSYATQRDKNLPKLTKLAINSRRFDGRAPESPTPVVNPVIVTQLSEQISTLNERMDKFTSSIEELNSKLSTRTISASQQNLAVQAEACNGSLPTSLFVTGLGNGSLTGSLMPHSSSSSQLARESPLMEEVLVIARAQRQIMHQLDNLSNLIHEYRGERCHQERNDRSNRAIDVDTIGVPLIFTLAIGGLGVILFRNLASQK >KJB51107 pep chromosome:Graimondii2_0_v6:8:48699759:48706674:1 gene:B456_008G201300 transcript:KJB51107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDTSSVAESPRRRSGLLRDQVQLIKRKDCDRYEIVPIEDKLSFEKGFFIVIRACQLLAQKNDGLILVGVAGPSGAGKTVFTEKVLNFMPSIAVITMDNYNDASRIIDGNFDDPRLTDYNTLLENIHGLKAGKPVQVPIYDFKSSSRIGYRTLEVPSSRIVIIEGIYALSDKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFTGFQNPTYILKSTRLVTLDQIKEVVSEGHKETIEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFSDDKVSVKIDWLEQLNRKYVQVQGRDRLFVKFIAEQLGLEGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRMKYLSRISHSYATQRDKNLPKLTKLAINSRRFDGRAPESPTPVVNPGVVTQLSEQISTLNERMDKFTSSIEELNSKLSTRTISASQQNLAVQAEACNGSLPTSLFVTGLGNGSLTGSLMPHSSSSSQLARESPLMEEVASCPSSNPTFCFSNLTFFYVTCSGSWFSW >KJB51102 pep chromosome:Graimondii2_0_v6:8:48699706:48706763:1 gene:B456_008G201300 transcript:KJB51102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDTSSVAESPRRRSGLLRDQVQLIKRKDCDRYEIVPIEDKLSFEKGFFIVIRACQLLAQKNDGLILVGVAGPSGAGKTVFTEKVLNFMPSIAVITMDNYNDASRIIDGNFDDPRLTDYNTLLENIHGLKAGKPVQVPIYDFKSSSRIGYRTLEVPSSRIVIIEGIYALSDKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFTGFQNPTYILKSTRLVTLDQIKEVVSEGHKETIEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFSDDKVSVKIDWLEQLNRKYVQVQGRDRLFVKFIAEQLGLEGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRMKYLSRISHSYATQRDKNLPKLTKLAINSRRFDGRAPESPTPVVNPGVVTQLSEQISTLNERMDKFTSSIEELNSKLSTRTISASQQNLAVQAEACNGSLPTSLFVTGLGNGSLTGSLMPHSSSSSQLARESPLMEEVLVIARAQRQIMHQLDNLSNLIHEYRGERCHQERNDRSNRAIDVDTIGVPLIFTLAIGGLGVILFRNLASQK >KJB51110 pep chromosome:Graimondii2_0_v6:8:48699759:48706674:1 gene:B456_008G201300 transcript:KJB51110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDTSSVAESPRRRSGLLRDQVQLIKRKDCDRYEIVPIEDKLSFEKGFFIVIRACQLLAQKNDGLILVGVAGPSGAGKTVFTEKVLNFMPSIAVITMDNYNDASRIIDGNFDDPRLTDYNTLLENIHGLKAGKPVQVPIYDFKSSSRIGYRTLEVPSSRIVIIEGIYALSDKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFTGFQNPTYILKSTRLVTLDQIKEVVSEGHKETIEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFSDDKVSVKIDWLEQLNRKYVQVQGRDRLFVKFIAEQLGLEGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRMKYLSRISHSYATQRDKNLPKLTKLAINSRRFDGRAPESPTPVVNPGVVTQLSEQISTLNERMDKFTSSIEELNSKLSTRTISASQQNLAVQAEACNGSLPTSLFVTGLGNGSLTGSLMPHSSSSSQLARESPLMEEVLVIARAQRQIMHQLDNLSNLIHEYRGERCHQERNDRSNRAIDVDTIGVPLIFTLAIGGLGVILFRNLASQK >KJB52791 pep chromosome:Graimondii2_0_v6:8:55500236:55505585:-1 gene:B456_008G277100 transcript:KJB52791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIDGTRESAPQGNGHGGHGGKTTDTIWRPFAITSTRSSAVCVVSVLLLVGALISTQLLDSSNFVGGLAQMPLLSTKSKGEFLKKHRQQIEVPLDCSAYELTKSCPRSYPTTTDEEDPDSNLNATCPEYFRWIHEDLRPWAYTGITLDMVERAKATANFRLVVLNGTAYVEQYQKAFQTRDVFTLWGILQLLRKYPGKLPDLDLMFDCVDWPVIKSIDYGGPNATTPPPLFRYCKDNETLDIVFPDWSFWGWPEIRVKSWVPLLNDLMEGNQRMGWDEREPHAYWKGNPEVAETRQDLLKCNVSDQQDWGARVFAQDWKKESKAGYKTSNLADQCLHRFKIYVEGSAWSVSEKYILACDSVTLLVQPRYFDFFTRSLKPLQHYWPIKPNDKCRSIKHAVDWGNTHQQEAKAMGKAASEFIKEDLKMDYVYDYMFHLLNEYGKLLRYKPTVPKNAVELCSESMACPAKGVNKDYMMESLVKGPSVTSPCTMPPPYDPASLRNLLSEQENSIKQVDEWEKKFWENQKV >KJB47212 pep chromosome:Graimondii2_0_v6:8:1755299:1759512:-1 gene:B456_008G015500 transcript:KJB47212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDDDNSPTSAAVPDGETTVPTANSTPVQPPAVPPAVVPPTIAPLPAVVPPIAPIPAVRPPVFKPPVPQNGEVKAVDSDSDHEDDGRATAGEYEISEESRLVRERQEKAMQELLMKRRAAALAVPTNDMAVRTRLRRLGEPITLFGEREMERRERLRMIMAKLDSEGQLEKLMKAHEEEEAAISAKAEDIEEDIEYPFYTEGPKELLDARIDIAKYSIVKAAARLQRARRKRDDPDEDMDAEIDWALRQAGNLVLDCSEIGDDRPLSGCSFSRDGKLLATCSLSGVAKLWSMPRVSKVSALKGHTERATDVTFSPVHDLLATASADRTAKLWSSDGSLLKTFGGHLDRLARIAFHPSGKYLGTTSFDKTWRLWDIDTGMELLLQEGHSRSVYGIAFHQDGSLVASCGLDALARVWDLRTGRSILALEGHVKPVLGASFSPNGYHLATGGEDNTCRIWDLRKKKSLYIIPAHSNLISQVKFEPQEGYYLVTSSYDMTAKVWSGRDFKPVKSLPGHEAKVTASDISADGRYIVTVSHDRTIKLWTAGNIEQENDMDWTEG >KJB47213 pep chromosome:Graimondii2_0_v6:8:1755618:1758758:-1 gene:B456_008G015500 transcript:KJB47213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDDDNSPTSAAVPDGETTVPTANSTPVQPPAVPPAVVPPTIAPLPAVVPPIAPIPAVRPPVFKPPVPQNGEVKAVDSDSDHEDDGRATAGEYEISEESRLVRERQEKAMQELLMKRRAAALAVPTNDMAVRTRLRRLGEPITLFGEREMERRERLRMIMAKLDSEGQLEKLMKAHEEEEAAISAKAEDIEEDIEYPFYTEGPKELLDARIDIAKYSIVKAAARLQRARRKRDDPDEDMDAEIDWALRQAGNLVLDCSEIGDDRPLSGCSFSRDGKLLATCSLSGVAKLWSMPRVSKVSALKGHTERATDVTFSPVHDLLATASADRTAKLWSSDGSLLKTFGGHLDRLARIAFHPSGKYLGTTSFDKTWRLWDIDTGMELLLQEGHSRSVYGIAFHQDGSLVASCGLDALARVWDLRTGRSILALEGHVKPVLGASFSPNGYHLATGGEDNTCRIWDLRKKKSLYIIPAHSNLISQVKFEPQEGYYLVTSSYDMTAKVWSGRDFKPVKSLPGHEAKVTASDISADGRYIVTVSHDRTIKLWTAGNIEQENDMDWTEG >KJB52137 pep chromosome:Graimondii2_0_v6:8:53165502:53169385:1 gene:B456_008G247500 transcript:KJB52137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRRFSKIYPFGLSWKNVVGRTHFLNNCWMSSLTYKDDMLEDKYIAKGQTRTFHAELYKMVCEPDETDLDIHIPAVMLPQDAGTSLEKMLISNSSVSVQLYSPTRPLVDIAEVFLWLMAVGTILCASYWSAWSAREAAIEQDKLLKDALDEIPDTRPVGSGGIVDINTTSAILFVFVASCFLVMLYKLMSYWFVELLVVLFCIGGVEGLQTCSVALLSRWFKRAGKSYIKVPFFGTLSYLTLAVSPFCIAFAVVWAVYRNVSFAWIGQDILGIALIITVLQIVHVPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDRSGEDGIPMLLKIPRMFDPWGGYSIIGFGDILLPGLLITFSLRYDWLANKTLRAGYFLWAMIAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLTLGKKRRDLRVLWTRGEPERPCPHIRLEHQHREELDDNDH >KJB52138 pep chromosome:Graimondii2_0_v6:8:53163775:53169610:1 gene:B456_008G247500 transcript:KJB52138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQFFCRVFLITALISLVCQVCSVTAGDIVHDDDLAPKKPGCENDFVLVKVQTWIDGIEDAEFVGVGARFGTTIVSKEKNANQRRLILSDPRDCCSAPKNKLANDVIMVDRGHCKFTTKANYAQAAHASAILIINNQKELYKMVCEPDETDLDIHIPAVMLPQDAGTSLEKMLISNSSVSVQLYSPTRPLVDIAEVFLWLMAVGTILCASYWSAWSAREAAIEQDKLLKDALDEIPDTRPVGSGGIVDINTTSAILFVFVASCFLVMLYKLMSYWFVELLVVLFCIGGVEGLQTCSVALLSRWFKRAGKSYIKVPFFGTLSYLTLAVSPFCIAFAVVWAVYRNVSFAWIGQDILVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDRSGEDGIPMLLKIPRMFDPWGGYSIIGFGDILLPGLLITFSLRYDWLANKTLRAGYFLWAMIAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLTLGKKRRDLRVLWTRGEPERPCPHIRLEHQHREELDDNDH >KJB52134 pep chromosome:Graimondii2_0_v6:8:53163739:53170083:1 gene:B456_008G247500 transcript:KJB52134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQFFCRVFLITALISLVCQVCSVTAGDIVHDDDLAPKKPGCENDFVLVKVQTWIDGIEDAEFVGVGARFGTTIVSKEKNANQRRLILSDPRDCCSAPKNKLANDVIMVDRGHCKFTTKANYAQAAHASAILIINNQKELYKMVCEPDETDLDIHIPAVMLPQDAGTSLEKMLISNSSVSVQLYSPTRPLVDIAEVFLWLMAVGTILCASYWSAWSAREAAIEQDKLLKDALDEIPDTRPVGSGGIVDINTTSAILFVFVASCFLVMLYKLMSYWFVELLVVLFCIGGVEGLQTCSVALLSRWFKRAGKSYIKVPFFGTLSYLTLAVSPFCIAFAVVWAVYRNVSFAWIGQDILGIALIITVLQIVHVPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDRSGEDGIPMLLKIPRMFDPWGGYSIIGFGDILLPGLLITFSLRYDWLANKTLRAGYFLWAMIAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLTLGKKRRDLRVLWTRGEPERPCPHIRLEHQHREELDDNDH >KJB52136 pep chromosome:Graimondii2_0_v6:8:53163775:53168965:1 gene:B456_008G247500 transcript:KJB52136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQFFCRVFLITALISLVCQVCSVTAGDIVHDDDLAPKKPGCENDFVLVKVQTWIDGIEDAEFVGVGARFGTTIVSKEKNANQRRLILSDPRDCCSAPKNKLANDVIMVDRGHCKFTTKANYAQAAHASAILIINNQKELYKMVCEPDETDLDIHIPAVMLPQDAGTSLEKMLISNSSVSVQLYSPTRPLVDIAEVFLWLMAVGTILCASYWSAWSAREAAIEQDKLLKDALDEIPDTRPVGSGGIVDINTTSAILFVFVASCFLVMLYKLMSYWFVELLVVLFCIGGVEGLQTCSVALLSRWFKRAGKSYIKVPFFGTLSYLTLAVSPFCIAFAVVWAVYRNVSFAWIGQDILVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVSNPSYPTLVHVLYQN >KJB52135 pep chromosome:Graimondii2_0_v6:8:53163775:53168111:1 gene:B456_008G247500 transcript:KJB52135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQFFCRVFLITALISLVCQVCSVTAGDIVHDDDLAPKKPGCENDFVLVKVQTWIDGIEDAEFVGVGARFGTTIVSKEKNANQRRLILSDPRDCCSAPKNKLANDVIMVDRGHCKFTTKANYAQAAHASAILIINNQKELYKMVCEPDETDLDIHIPAVMLPQDAGTSLEKMLISNSSVSVQLYSPTRPLVDIAEVFLWLMAVGTILCASYWSAWSAREAAIEQDKLLKDALDEIPDTRPVGSGGIVDINTTSAILFVFVASCFLVMLYKLMSYWFVELLVVLFCIGGVEGLQTCSVALLSRWFKRAGKSYIKVPFFGTLSYLTLAVSPFCIAFAVVWAVYRNVSFAWIGQDILVRIYFLVNFPNIVQDC >KJB49545 pep chromosome:Graimondii2_0_v6:8:36403957:36407811:1 gene:B456_008G124700 transcript:KJB49545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFSAYYVRLKLKKQIILFNHLLEHQYHLMKYPVPPKVPLVPIPNGIHPMPVNNLPMGYPVLQQPPIPASGQPHIDSMGISSCHVVNGVPAPGNFQPMRMNSGNDMVMDNNAGDAIAAVHPTTPMPSMSEMPVSPTSVASSGNFPFTASDMSGMGVDTSVLDSAFTTDVASSVGLQLGPDNGAGNSRDSFRTLDQIQWNFSLTDLTADLSNLGDLGALGNYPGSPFLPSDSEILLDSSEQEDIVEEFFVDSIPGHPCSPSEEEKS >KJB49544 pep chromosome:Graimondii2_0_v6:8:36402894:36407811:1 gene:B456_008G124700 transcript:KJB49544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLQCTQDIKPSTHALHEPKTEQQNNQAADGPLADSGSLSASNNDGRKVSRQDIELVQNLIERCLQLYMTRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFSAYYVRLKLKKQIILFNHLLEHQYHLMKYPVPPKVPLVPIPNGIHPMPGQPHIDSMGISSCHVVNGVPAPGNFQPMRMNSGNDMVMDNNAGDAIAAVHPTTPMPSMSEMPVSPTSVASSGNFPFTASDMSGMGVDTSVLDSAFTTDVASSVGLQLGPDNGAGNSRDSFRTLDQIQWNFSLTDLTADLSNLGDLGALGNYPGSPFLPSDSEILLDSSEQEDIVEEFFVDSIPGHPCSPSEEEKS >KJB49543 pep chromosome:Graimondii2_0_v6:8:36402585:36408169:1 gene:B456_008G124700 transcript:KJB49543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLQCTQDIKPSTHALHEPKTEQQNNQAADGPLADSGSLSASNNDGRKVSRQDIELVQNLIERCLQLYMTRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFSAYYVRLKLKKQIILFNHLLEHQYHLMKYPVPPKVPLVPIPNGIHPMPVNNLPMGYPVLQQPPIPASGQPHIDSMGISSCHVVNGVPAPGNFQPMRMNSGNDMVMDNNAGDAIAAVHPTTPMPSMSEMPVSPTSVASSGNFPFTASDMSGMGVDTSVLDSAFTTDVASSVGLQLGPDNGAGNSRDSFRTLDQIQWNFSLTDLTADLSNLGDLGALGNYPGSPFLPSDSEILLDSSEQEDIVEEFFVDSIPGHPCSPSEEEKS >KJB51298 pep chromosome:Graimondii2_0_v6:8:49663340:49666242:1 gene:B456_008G210500 transcript:KJB51298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRSLLLQISQLPKLHRRCNQAYFFSSGKTQNFHSFPDNNGDPMYSDVPKPRTDKSQRKPYPTPMKELIKRAKQEKELRKSQPCRLLEHPPANGLLVPELVDVAHRVYRARELILSGLSKLLQFIPVQRCRFCYEVHIGNVGHEIRTCTGPKSGSRSATHVWRKGGVGDVIFFPKCFHLYDRVGKSRVVHDERLSAPRIPAILELCIQAGVDVENYPSKRRTKPVYSIEGRIVDFESVAGMDEMETNLFFENVDDTEVDVLRRDPNLELNAEDTNLIELSTRTLDSWFEMIGGVRKIMEKYNVWTCGYCPEVQVGPKGHKVRMCRASKHQSRNGLHAWQEATINDLVGPNYVWHVHDLNGPPLRNDLKRYYGKAPAVVELCVQAGAPVPDQYRSMMRLDVVPPERDEVDLVA >KJB51297 pep chromosome:Graimondii2_0_v6:8:49663253:49666232:1 gene:B456_008G210500 transcript:KJB51297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRSLLLQISQLPKLHRRCNQAYFFSSGKTQNFHSFPDNNGDPMYSDVPKPRTDKSQRKPYPTPMKELIKRAKQEKELRKSQPCRLLEHPPANGLLVPELVDVAHRVYRARELILSGLSKLLQFIPVQRCRFCYEVHIGNVGHEIRTCTGPKSGSRSATHVWRKGGVGDVIFFPKCFHLYDRVGKSRVVHDERLSAPRIPAILELCIQAGVDVENYPSKRRTKPVYSIEGRIVDFESVAGMDEMETNLFFENVDDTEVDVLRRDPNLELNAEDTNLIELSTRTLDSWFEMIGGVRKIMEKYNVWTCGYCPEVQVGPKGHKVRMCRASKHQSRNGLHAWQEATINDLVGPNYVWHVHDLNGPPLRNDLKRYYGKAPAVVELCVQAGAPVPDQYRSMMRLDVVPPERDEVDLVA >KJB51299 pep chromosome:Graimondii2_0_v6:8:49663360:49665272:1 gene:B456_008G210500 transcript:KJB51299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRSLLLQISQLPKLHRRCNQAYFFSSGKTQNFHSFPDNNGDPMYSDVPKPRTDKSQRKPYPTPMKELIKRAKQEKELRKSQPCRLLEHPPANGLLVPELVDVAHRVYRARELILSGLSKLLQFIPVQRCRFCYEVHIGNVGHEIRTCTGPKSGSRSATHVWRKGGVGDVIFFPKCFHLYDRVGKSRVVHDERLSAPRIPAILELCIQAGVDVENYPSKRRTKPVYSIEGRIVDFESVAGMDEMETNLFFENVDDTEVDVLRRDPNLELNAEDTNLIELSTRTLDSWFEMIGGVRKIMEKYNVWTCGYCPEVQVGPKGHKVRMCRASKHQSRNGLHAWQEATINDLVGPNYVWHVHDLNGPPLRNDLKRYYGKAPAVVELCVQAGAPVPDQYRSMMRLDVVPPERDEVDLVA >KJB51300 pep chromosome:Graimondii2_0_v6:8:49663253:49666242:1 gene:B456_008G210500 transcript:KJB51300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRSLLLQISQLPKLHRRCNQAYFFSSGKTQNFHSFPDNNGDPMYSDVPKPRTDKSQRKPYPTPMKELIKRAKQEKELRKSQPCRLLEHPPANGLLVPELVDVAHRVYRARELILSGLSKLLQFIPVQRCRFCYEVHIGNVGHEIRTCTGPKSGSRSATHVWRKGGVGDVIFFPKCFHLYDRVGKSRVVHDERLSAPRIPAILELCIQAGVDVENYPSKRRTKPVYSIEGRIVDFESVAGMDEMETNLFFENVDDTEVDVLRRDPNLELNAEDTNLIELSTRTLDSWFEMIGGVRKIMEKYNVWTCGYCPEVQVGPKGHKVRMCRASKHQSRNGLHAWQEATINDLVGPNYVWHVHDLNGPPLRNDLKRYYGKAPAVVELCVQAGAPVPDQYRSMMRLDVVPPERDEVDLVA >KJB50340 pep chromosome:Graimondii2_0_v6:8:43342740:43346447:1 gene:B456_008G164600 transcript:KJB50340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVDDEDVKTMVAFCYSTGNSDISCITITGFPDPRVVGIAVSGKNLRGYIPSELGTLIYLRSLNLHNNNFYGSILGQLFNETLHHCLFLYSNNLSGTLPPLICNLPMLQNLDLSNNSLLGSLPENLKNCKQLQRLILAQNKFSGQIPGGIWPKTENLLNSLSTTLNLSYNHLSGKLSKTLGDLPVTVSFDLRNNNLSSEIPKTGSFANQGPTTFLNNPLLYGFPLQKPCTNSNISLSGTQSSSRNNLSETQKKGLGPGLILLISAVDAAGLAFLRLIIVYIYWKRKDSSNGCSCTGKCKFDSVNEEHEKGERSAKGEGELVAIDKWFNIELDELLRASAYVLGKIGLGIVYKMVLGNGVPVAVRRLGEGGLDQQRYREFAVELRVYYWALDEKLLISDFISNGNLTNGMKVRNGQPPTNLTWSTRLKITKGSARGLAYLHECNVYSFGVVLLELLIRKSPELSSTTSSFMEIPDLVRWVRKGFEEENPLSDMADSMLLQEVHAKKEMLVFFM >KJB50301 pep chromosome:Graimondii2_0_v6:8:43002896:43007626:-1 gene:B456_008G162600 transcript:KJB50301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLSFRPNMPLVLSPFLDRTGPPIPLLNRPQFPGFSARGQFLRGSLSVAKFGFKPGLLPDPEASEGVLRELFTRAESLLYTIADAAVSSSDTIATTTSATTKQNNDWLSGIANSMETVLKVLKDGLSALHVPYAYGFAIILLTVLVKAATFPLTRKQVESAMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVDGHPPLGWSDTFAYLVLPVLLVISQYVSVQIMQSAQSNDPNMKNSQALTKFLPLMIGYFALSVPSGLSLYWFTNNILSTAQQVWLQKLGGAKNPAKQLNDDIINEEQARLQKSLSELNATRKEAKLEEKLTPEGLRPGERFKQLKEQEARRKQQQEEERRKAQEAAAKADQLTNERNETVFDKENGAGTGLSTKKNEKHQSEIGQGSSNVGVNGDLSSPELKENQKVSSFSNNNEYSEHAEKGAVELYTSTATSNNKSSEEDMQENTRE >KJB50299 pep chromosome:Graimondii2_0_v6:8:43002896:43007637:-1 gene:B456_008G162600 transcript:KJB50299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLSFRPNMPLVLSPFLDRTGPPIPLLNRPQFPGFSARGQFLRGSLSVAKFGFKPGLLPDPEASEGVLRELFTRAESLLYTIADAAVSSSDTIATTTSATTKQNNDWLSGIANSMETVLKVLKDGLSALHVPYAYGFAIILLTVLVKAATFPLTRKQVESAMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVDGHPPLGWSDTFAYLVLPVLLVISQYVSVQIMQSAQSNDPNMKNSQALTKFLPLMIGYFALSVPSGLSLYWFTNNILSTAQQVWLQKLGGAKNPAKQLNDDIINEEQARLQKSLSELNATRKEAKLEEKLTPEGLRPGERFKQLKEQEARRKQQQEEERRKAQEAAAKADQLTNERNETVFDKENGAGTGLSTKKNEKHQSEIGQGSSNVGVNGDLSSPELKENQKVSSFSNNNEYSEHAEKGAVELYTSTATSNNKSSEEDMQENTRE >KJB50300 pep chromosome:Graimondii2_0_v6:8:43002896:43007596:-1 gene:B456_008G162600 transcript:KJB50300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLSFRPNMPLVLSPFLDRTGPPIPLLNRPQFPGFSARGQFLRGSLSVAKFGFKPGLLPDPEASEGVLRELFTRAESLLYTIADAAVSSSDTIATTTSATTKQNNDWLSGIANSMETVLKVLKDGLSALHVPYAYGFAIILLTVLVKAATFPLTRKQVESAMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVDGHPPLGWSDTFAYLVLPVLLVISQYVSVQIMQSAQSNDPNMKNSQALTKFLPLMIGYFALSVPSGLSLYWFTNNILSTAQQVWLQKLGGAKNPAKQLNDDIINEEQARLQKSLSELNATRKEAKLEEKLTPEGLRPGERFKQLKEQEARRKQQQEEERRKAQEAAAKADQLTNERNETVFDKENGAGTGLSTKKNEKHQSEIGQGSSNVGVNGDLSSPELKENQKVSSFSNNNEYSEHAEKGAVELYTSTATSNNKSSEEDMQENTRE >KJB48759 pep chromosome:Graimondii2_0_v6:8:18594760:18596009:-1 gene:B456_008G085500 transcript:KJB48759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSSRNESSEPLIHISYDPFHRHLRDLLSFSTATSTFKGPSSNPDPQRRLLFSLHHRMHRLVHRSTHKTTSDVTPI >KJB52811 pep chromosome:Graimondii2_0_v6:8:55587760:55591918:1 gene:B456_008G278300 transcript:KJB52811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQTSDDWLPPGWKVEVRQRRNGKKDKCYYAPCGELRFISRAEVVRYLKNCGSKTEEKEKVPSKQSSKNVTVEKAEAEGLPPGWTKEVKITKKGNKVRRDPFYTDPVSGYVFRSMKDALRYVETGKLGKLAFKPKENESNEEDLEEDDICEPVIVESQKVAVKGMTDEVEKQTAEHVSNSSGITKEEEMLNSASTGEQMSLSEHTPNQHKAGVDAESSSLNLSEAKDSEQMGQKEESAHASGDAVGIGLDEHCRDDGMMNDETEKTQQGRSKTKLKKDVSAPRRASKRLAGVALDPTPEIKTRARRSSIKQLSEVLDGEENSSPGNLINRASKQLDLLESSLETSCALDASKSKEPILGMSNTVSSVDMLVVNGHVGNLETKTNAGEDKSVLPLGSRKLESDDKASGVPGSLIDMPIADLWTDPCIAFAIQTLTGIPCDTPTISESNSGKNPVIQATPEARAEREGCGLDMPLTDPAIWKEHTGKVEKGHDMPESSLEMPLADIWADPCIEFAIKTLTGAIPVEYGLDNQHCFQQQPSSVPNQSVNHLTLPDVGLDKFSQTEFIRQQYDVTGKSMSKEHGIRNPIYNYSHHHHRSGERP >KJB52812 pep chromosome:Graimondii2_0_v6:8:55587890:55591654:1 gene:B456_008G278300 transcript:KJB52812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEVEKQTAEHVSNSSGITKEEEMLNSASTGEQMSLSEHTPNQHKAGVDAESSSLNLSEAKDSEQMGQKEESAHASGDAVGIGLDEHCRDDGMMNDETEKTQQGRSKTKLKKDVSAPRRASKRLAGVALDPTPEIKTRARRSSIKQLSEVLDGEENSSPGNLINRASKQLDLLESSLETSCALDASKSKEPILGMSNTVSSVDMLVVNGHVGNLETKTNAGEDKSVLPLGSRKLESDDKASGVPGSLIDMPIADLWTDPCIAFAIQTLTGIPCDTPTISESNSGKNPVIQATPEARAEREGCGLDMPLTDPAIWKEHTGKVEKGHDMPESSLEMPLADIWADPCIEFAIKTLTGAIPVEYGLDNQHCFQQQPSSVPNQSVNHLTLPDVGLDKFSQTEFIRQQYDVTGKSMSKEHGIRNPIYNYSHHHHRSGERP >KJB47351 pep chromosome:Graimondii2_0_v6:8:2542678:2543923:-1 gene:B456_008G0224002 transcript:KJB47351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RWIMKTIEDTWNLFHQKFTALWDEHKNGSGEAYLPAIYNNPELQKLIQEKYMKELFHDTLGFGAAKMIRRIVGVAHVEDFESIKEANIRADCEQRALELGKTLLKRRREFVSISEVISAMKHVQS >KJB47350 pep chromosome:Graimondii2_0_v6:8:2543891:2543923:-1 gene:B456_008G0224002 transcript:KJB47350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYKEVDNEDN >KJB47711 pep chromosome:Graimondii2_0_v6:8:4700399:4707070:1 gene:B456_008G037600 transcript:KJB47711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVRGLRHLMVTVFLSGMGNFIVIPGITDVTMFALCPATDECSLAIYLSAFQQAMIGVGTVLMIPLIGNLSDEYGRKALLTLPMTLSIIPLAVLACSRTTNYYYAYYAVRTLTAMVSEGSINCLSLSYLADNISDSERASAFGILSGVSSGAFVCATLAARFLSTASTFQVATFVSTLALVYMRIFLEESRPDQVDSMIQPMLKEGEDIIQKDGNAPGKMPVFKKIPSLGDVICLLKSSPSFSQAAVAAFFASLAEGGMISSSMYYLKARFHFNKNQFADLMLIDGIASTISQLFLMPRLVSSIGDRRLLSVGLLVTCVNAILYSVAWSAWVPYAATTLSIVMVFAPPSLRSIASKQFGPGEQGKGQGCISAVSSLANIIAPLIFSPLTALFLSEEAPFQFPGFSIMCIAITLVMDIHYSLISSNK >KJB47710 pep chromosome:Graimondii2_0_v6:8:4700399:4706498:1 gene:B456_008G037600 transcript:KJB47710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVRGLRHLMVTVFLSGMGNFIVIPGITDVTMFALCPATDECSLAIYLSAFQQAMIGVGTVLMIPLIGNLSDEYGRKALLTLPMTLSIIPLAVLACSRTTNYYYAYYAVRTLTAMVSEGSINCLSLSYLADNISDSERASAFGILSGVSSGAFVCATLAARFLSTASTFQVATFVSTLALVYMRIFLEESRPDQVDSMIQPMLKEGEDIIQKDGNAPGKMPVFKKIPSLGDVICLLKSSPSFSQAAVAAFFASLAEGGMISSSMYYLKARFHFNKNQFADLMLIDGIASTISQLFLMPRLVSSIGDRRLLSVGLLVTCVNAILYSVAWSAWVPYAATTLSIVMVFAPPSVSPSLVYVTASFGFFVLA >KJB47709 pep chromosome:Graimondii2_0_v6:8:4700221:4707117:1 gene:B456_008G037600 transcript:KJB47709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVRGLRHLMVTVFLSGMGNFIVIPGITDVTMFALCPATDECSLAIYLSAFQQAMIGVGTVLMIPLIGNLSDEYGRKALLTLPMTLSIIPLAVLACSRTTNYYYAYYAVRTLTAMVSEGSINCLSLSYLADNISDSERASAFGILSGVSSGAFVCATLAARFLSTASTFQVATFVSTLALVYMRIFLEESRPDQVDSMIQPMLKEGEDIIQKDGNAPGKMPVFKKIPSLGDVICLLKSSPSFSQAAVAAFFASLAEGGMISSSMYYLKARFHFNKNQFADLMLIDGIASTISQLFLMPRLVSSIGDRRLLSVGLLVTCVNAILYSVAWSAWVPYAATTLSIVMVFAPPSLRSIASKQFGPGEQGKGQGCISAVSSLANIIAPLIFSPLTALFLSEEAPFQFPGFSIMCIAITLMIAFIQSLRMGSHVSADTDKNNSNCIQV >KJB49739 pep chromosome:Graimondii2_0_v6:8:38400151:38407421:1 gene:B456_008G135700 transcript:KJB49739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRVGNEARPFYGMMNPVGLPAVGKRTLEWDLNDWKWDGDLFIASSINPVSADSMGRQFFPIGSGIPGNSSNSSSSCSEEVNPETEKGKRELEKKRRVIVVEDDLPNQEAGSLSLKLGSQGGHGYPVSQRGMRNWEGTNGKKTKLSGGSGNRAVCQVEDCGADLSHAKDYHRRHKVCEMHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPDAIVNSNSLNDEQTSGYLLLSLLRILSNMHSNRSDQTTDQDLLTHLLRSLASRTGEQGGKNMSGLLPEACDLEAVSALFSNGQGPPRPFKHHITGTASQIPHTGRQSCDTKGAEVPSNTAGAVKINNFDLNDIYIDSDDETDGIERSLAPVNAGTGSLDCPSWVQQDSHQSSPPQTSRNSDSASAQSPSSSSGDVQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIVLTIYLRQAEAAWDELRYDLSFSLSRLLHCSDDTFWRTGWICIRVLDQIAFIYNGQVVVDTSLPLGSNHYSKIMSVKPIAISATERAQFSVKGINLSQPATRLLCAVEGKYLVQEATHELMDDSDDLKEQDELECINFSCSIPNVIGRGFIEIEDHCLNSSFFPFLVAEDDVCLEIRMLESVLETTDTDADIGRCGKMEAKNQAMDFIHEVGWLLHRSQLKSRLGHLDPNPELFPLRRFKWLMEFSMDHEWCAVVKKLLNILLDGIVSSGEHPSLNLALTEMGLLHRAVRKNCRPLVELLLRFVPEKTSDRLGFENETVADGVHKSYLFRPDVIGPAGLTPLHIAAGKDGSEDLLDALTDDPGKVIRFVFKHMLGTELQNCCCNYHILKCILFYLVQSRLCLPNVFPNLYLGWH >KJB49738 pep chromosome:Graimondii2_0_v6:8:38399919:38407493:1 gene:B456_008G135700 transcript:KJB49738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRVGNEARPFYGMMNPVGLPAVGKRTLEWDLNDWKWDGDLFIASSINPVSADSMGRQFFPIGSGIPGNSSNSSSSCSEEVNPETEKGKRELEKKRRVIVVEDDLPNQEAGSLSLKLGSQGGHGYPVSQRGMRNWEGTNGKKTKLSGGSGNRAVCQVEDCGADLSHAKDYHRRHKVCEMHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPDAIVNSNSLNDEQTSGYLLLSLLRILSNMHSNRSDQTTDQDLLTHLLRSLASRTGEQGGKNMSGLLPEACDLEAVSALFSNGQGPPRPFKHHITGTASQIPHTGRQSCDTKGAEVPSNTAGAVKINNFDLNDIYIDSDDETDGIERSLAPVNAGTGSLDCPSWVQQDSHQSSPPQTSRNSDSASAQSPSSSSGDVQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIVLTIYLRQAEAAWDELRYDLSFSLSRLLHCSDDTFWRTGWICIRVLDQIAFIYNGQVVVDTSLPLGSNHYSKIMSVKPIAISATERAQFSVKGINLSQPATRLLCAVEGKYLVQEATHELMDDSDDLKEQDELECINFSCSIPNVIGRGFIEIEDHCLNSSFFPFLVAEDDVCLEIRMLESVLETTDTDADIGRCGKMEAKNQAMDFIHEVGWLLHRSQLKSRLGHLDPNPELFPLRRFKWLMEFSMDHEWCAVVKKLLNILLDGIVSSGEHPSLNLALTEMGLLHRAVRKNCRPLVELLLRFVPEKTSDRLGFENETVADGVHKSYLFRPDVIGPAGLTPLHIAAGKDGSEDLLDALTDDPGKVGIDAWKNARDSTGSTPEDYARLRGHYSYIHLVQKKINKRPPSGHVVVDIPSAVSDCSTNQKPNNESTSSFEIGQLELRSIKRNCKLCDQKLAYGYGTANRSLVYRPAMLSMVAVAAVCVCVALLFKSCPEVLYIFRPFRWELLDYGTS >KJB51287 pep chromosome:Graimondii2_0_v6:8:49577682:49581722:-1 gene:B456_008G210200 transcript:KJB51287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNCVNVRGGESCSSGYSESMDARKTMEGQNGHSTHQAAIRDPETALYTELWHACAGPLVTVPREGERVFYFPQGHIEQVEASTNQVPDQHMPVYNLPSKILCRVINVQLKAEPDTDEVFAQVTLLPEPTQQDENTVDKESPVPQPPRFHVHSFCKILTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAFTTKTIFTVYYKPRTSPAEFIVPFGQYMESLKNNYSIGMRFKMRFEGEEAPEQRFTGTVVGIEDADPKRWQDSKWRCLKVRWDETSSIPRPERVSPWKIEPPLAPPALNPLPMPRTKRPRSHAVPSSPDSSVLTREGSSKVTIDPSSASGLSRVLQGQEFSTLRGNFAESIESDTAEKSVMWPPSVDDKNNDVVSASRRFPSENWMSSGRHERTCTDLLTGFGSNAESLHGYCSSLVDQTLVAGNSTRKQSLHQEGKLGSWSLMPSGLSLKLADTNAKSPLQGSDVPYQMRGNGRCSGFDDYPILQGHRIEHSHGNWLMPPPTSSHHESNPVQSRDLMPKTSFVQGHENGKYREGNCKLFGIPLIRNSVASEPTVSHTNALSKPVAHMQAASHQVLEFVSAQNSEKLKVLQLAEVEDLSNYNEQEKQPRTREIQSKPSSASTRSCTKVHKQGIALCRSVDLTKFNDYDELIAELDGLFEFKGELMAPKKNWLVVYTDDEGDMMLVGDDPWQEFCAMVRKIGIYTREEVQKMKPGSLSSKGEDNSVSTGGVDGKEMMCPSASSAENC >KJB51290 pep chromosome:Graimondii2_0_v6:8:49577240:49582203:-1 gene:B456_008G210200 transcript:KJB51290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNCVNVRGGESCSSGYSESMDARKTMEGQNGHSTHQAAIRDPETALYTELWHACAGPLVTVPREGERVFYFPQGHIEQVEASTNQVPDQHMPVYNLPSKILCRVINVQLKAEPDTDEVFAQVTLLPEPTQDENTVDKESPVPQPPRFHVHSFCKILTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAFTTKTIFTVYYKPRTSPAEFIVPFGQYMESLKNNYSIGMRFKMRFEGEEAPEQRFTGTVVGIEDADPKRWQDSKWRCLKVRWDETSSIPRPERVSPWKIEPPLAPPALNPLPMPRTKRPRSHAVPSSPDSSVLTREGSSKVTIDPSSASGLSRVLQGQEFSTLRGNFAESIESDTAEKSVMWPPSVDDKNNDVVSASRRFPSENWMSSGRHERTCTDLLTGFGSNAESLHGYCSSLVDQTLVAGNSTRKQSLHQEGKLGSWSLMPSGLSLKLADTNAKSPLQGSDVPYQMRGNGRCSGFDDYPILQGHRIEHSHGNWLMPPPTSSHHESNPVQSRDLMPKTSFVQGHENGKYREGNCKLFGIPLIRNSVASEPTVSHTNALSKPVAHMQAASHQVLEFVSAQNSEKLKVLQLAEVEDLSNYNEQEKQPRTREIQSKPSSASTRSCTKVHKQGIALCRSVDLTKFNDYDELIAELDGLFEFKGELMAPKKNWLVVYTDDEGDMMLVGDDPWQEFCAMVRKIGIYTREEVQKMKPGSLSSKGEDNSVSTGGVDGKEMMCPSASSAENC >KJB51285 pep chromosome:Graimondii2_0_v6:8:49577200:49582367:-1 gene:B456_008G210200 transcript:KJB51285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNCVNVRGGESCSSGYSESMDARKTMEGQNGHSTHQAAIRDPETALYTELWHACAGPLVTVPREGERVFYFPQGHIEQVEASTNQVPDQHMPVYNLPSKILCRVINVQLKAEPDTDEVFAQVTLLPEPTQQDENTVDKESPVPQPPRFHVHSFCKILTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAFTTKTIFTVYYKPRTSPAEFIVPFGQYMESLKNNYSIGMRFKMRFEGEEAPEQRFTGTVVGIEDADPKRWQDSKWRCLKVRWDETSSIPRPERVSPWKIEPPLAPPALNPLPMPRTKRPRSHAVPSSPDSSVLTREGSSKVTIDPSSASGLSRVLQGQEFSTLRGNFAESIESDTAEKSVMWPPSVDDKNNDVVSASRRFPSENWMSSGRHERTCTDLLTGFGSNAESLHGYCSSLVDQTLVAGNSTRKQSLHQEGKLGSWSLMPSGLSLKLADTNAKSPLQGSDVPYQMRGNGRCSGFDDYPILQGHRIEHSHGNWLMPPPTSSHHESNPVQSRDLMPKTSFVQGHENGKYREGNCKLFGIPLIRNSVASEPTVSHTNALSKPVAHMQAASHQVLEFVSAQNSEKLKVLQLAEVEDLSNYNEQEKQPRTREIQSKPSSASTRSCTKVHKQGIALCRSVDLTKFNDYDELIAELDGLFEFKGELMAPKKNWLVVYTDDEGDMMLVGDDPWQEFCAMVRKIGIYTREEVQKMKPGSLSSKGEDNSVSTGGVDGKEMMCPSASSAENC >KJB51288 pep chromosome:Graimondii2_0_v6:8:49577682:49581722:-1 gene:B456_008G210200 transcript:KJB51288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNCVNVRGGESCSSGYSESMDARKTMEGQNGHSTHQAAIRDPETALYTELWHACAGPLVTVPREGERVFYFPQGHIEQVEASTNQVPDQHMPVYNLPSKILCRVINVQLKAEPDTDEVFAQVTLLPEPTQQDENTVDKESPVPQPPRFHVHSFCKILTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAFTTKTIFTVYYKPRTSPAEFIVPFGQYMESLKNNYSIGMRFKMRFEGEEAPEQRFTGTVVGIEDADPKRWQDSKWRCLKVRWDETSSIPRPERVSPWKIEPPLAPPALNPLPMPRTKRPRSHAVPSSPDSSVLTREGSSKVTIDPSSASGLSRVLQGQEFSTLRGNFAESIESDTAEKSVMWPPSVDDKNNDVVSASRRFPSENWMSSGRHERTCTDLLTGFGSNAESLHGYCSSLVDQTLVAGNSTRKQSLHQEGKLGSWSLMPSGLSLKLADTNAKSPLQGSDVPYQMRGNGRCSGFDDYPILQGHRIEHSHGNWLMPPPTSSHHESNPVQSRDLMPKTSFVQGHENGKYREGNCKLFGIPLIRNSVASEPTVSHTNALSKPVAHMQAASHQVLEFVSAQNSEKLKVLQLAEVEDLSNYNEQEKQPRTREIQSKPSSASTRSCTKVHKQGIALCRSVDLTKFNDYDELIAELDGLFEFKGELMAPKKNWLVVYTDDEGDMMLVGDDPWQEFCAMVRKIGIYTREEVQKMKPGSLSSKGEDNSVSTGGVDGKEMMCPSASSAENC >KJB51284 pep chromosome:Graimondii2_0_v6:8:49576748:49582367:-1 gene:B456_008G210200 transcript:KJB51284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNCVNVRGGESCSSGYSESMDARKTMEGQNGHSTHQAAIRDPETALYTELWHACAGPLVTVPREGERVFYFPQGHIEQVEASTNQVPDQHMPVYNLPSKILCRVINVQLKAEPDTDEVFAQVTLLPEPTQDENTVDKESPVPQPPRFHVHSFCKILTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAFTTKTIFTVYYKPRTSPAEFIVPFGQYMESLKNNYSIGMRFKMRFEGEEAPEQRFTGTVVGIEDADPKRWQDSKWRCLKVRWDETSSIPRPERVSPWKIEPPLAPPALNPLPMPRTKRPRSHAVPSSPDSSVLTREGSSKVTIDPSSASGLSRVLQGQEFSTLRGNFAESIESDTAEKSVMWPPSVDDKNNDVVSASRRFPSENWMSSGRHERTCTDLLTGFGSNAESLHGYCSSLVDQTLVAGNSTRKQSLHQEGKLGSWSLMPSGLSLKLADTNAKSPLQGSDVPYQMRGNGRCSGFDDYPILQGHRIEHSHGNWLMPPPTSSHHESNPVQSRDLMPKTSFVQGHENGKYREGNCKLFGIPLIRNSVASEPTVSHTNALSKPVAHMQAASHQVLEFVSAQNSEKLKVLQLAEVEDLSNYNEQEKQPRTREIQSKPSSASTRSCTKVHKQGIALCRSVDLTKFNDYDELIAELDGLFEFKGELMAPKKNWLVVYTDDEGDMMLVGDDPWQEFCAMVRKIGIYTREEVQKMKPGSLSSKGEDNSVSTGGVDGKEMMCPSASSAENC >KJB51283 pep chromosome:Graimondii2_0_v6:8:49577240:49582203:-1 gene:B456_008G210200 transcript:KJB51283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNCVNVRGGESCSSGYSESMDARKTMEGQNGHSTHQAAIRDPETALYTELWHACAGPLVTVPREGERVFYFPQGHIEQVEASTNQVPDQHMPVYNLPSKILCRVINVQLKAEPDTDEVFAQVTLLPEPTQQDENTVDKESPVPQPPRFHVHSFCKILTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAFTTKTIFTVYYKPRTSPAEFIVPFGQYMESLKNNYSIGMRFKMRFEGEEAPEQRFTGTVVGIEDADPKRWQDSKWRCLKVRWDETSSIPRPERVSPWKIEPPLAPPALNPLPMPRTKRPRSHAVPSSPDSSVLTREGSSKVTIDPSSASGLSRVLQGQEFSTLRGNFAESIESDTAEKSVMWPPSVDDKNNDVVSASRRFPSENWMSSGRHERTCTDLLTGFGSNAESLHGYCSSLVDQTLVAGNSTRKQSLHQEGKLGSWSLMPSGLSLKLADTNAKSPLQGSDVPYQMRGNGRCSGFDDYPILQGHRIEHSHGNWLMPPPTSSHHESNPVQSRDLMPKTSFVQGHENGKYREGNCKLFGIPLIRNSVASEPTVSHTNALSKPVAHMQAASHQVLEFVSAQNSEKLKVLQLAEVEDLSNYNEQEKQPRTREIQSKPSSASTRSCTKVHKQGIALCRSVDLTKFNDYDELIAELDGLFEFKGELMAPKKNWLVVYTDDEGDMMLVGDDPWQEFCAMVRKIGIYTREEVQKMKPGSLSSKGEDNSVSTGGVDGKEMMCPSASSAENC >KJB51289 pep chromosome:Graimondii2_0_v6:8:49577682:49581171:-1 gene:B456_008G210200 transcript:KJB51289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVYNLPSKILCRVINVQLKAEPDTDEVFAQVTLLPEPTQQDENTVDKESPVPQPPRFHVHSFCKILTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAFTTKTIFTVYYKPRTSPAEFIVPFGQYMESLKNNYSIGMRFKMRFEGEEAPEQRFTGTVVGIEDADPKRWQDSKWRCLKVRWDETSSIPRPERVSPWKIEPPLAPPALNPLPMPRTKRPRSHAVPSSPDSSVLTREGSSKVTIDPSSASGLSRVLQGQEFSTLRGNFAESIESDTAEKSVMWPPSVDDKNNDVVSASRRFPSENWMSSGRHERTCTDLLTGFGSNAESLHGYCSSLVDQTLVAGNSTRKQSLHQEGKLGSWSLMPSGLSLKLADTNAKSPLQGSDVPYQMRGNGRCSGFDDYPILQGHRIEHSHGNWLMPPPTSSHHESNPVQSRDLMPKTSFVQGHENGKYREGNCKLFGIPLIRNSVASEPTVSHTNALSKPVAHMQAASHQVLEFVSAQNSEKLKVLQLAEVEDLSNYNEQEKQPRTREIQSKPSSASTRSCTKVHKQGIALCRSVDLTKFNDYDELIAELDGLFEFKGELMAPKKNWLVVYTDDEGDMMLVGDDPWQEFCAMVRKIGIYTREEVQKMKPGSLSSKGEDNSVSTGGVDGKEMMCPSASSAENC >KJB51286 pep chromosome:Graimondii2_0_v6:8:49577232:49581722:-1 gene:B456_008G210200 transcript:KJB51286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNCVNVRGGESCSSGYSESMDARKTMEGQNGHSTHQAAIRDPETALYTELWHACAGPLVTVPREGERVFYFPQGHIEQVEASTNQVPDQHMPVYNLPSKILCRVINVQLKAEPDTDEVFAQVTLLPEPTQQDENTVDKESPVPQPPRFHVHSFCKILTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAFTTKTIFTVYYKPRTSPAEFIVPFGQYMESLKNNYSIGMRFKMRFEGEEAPEQRFTGTVVGIEDADPKRWQDSKWRCLKVRWDETSSIPRPERVSPWKIEPPLAPPALNPLPMPRTKRPRSHAVPSSPDSSVLTREGSSKVTIDPSSASGLSRVLQGQEFSTLRGNFAESIESDTAEKSVMWPPSVDDKNNDVVSASRRFPSENWMSSGRHERTCTDLLTGFGSNAESLHGYCSSLVDQTLVAGNSTRKQSLHQEGKLGSWSLMPSGLSLKLADTNAKSPLQGSDVPYQMRGNGRCSGFDDYPILQGHRIEHSHGNWLMPPPTSSHHESNPVQSRDLMPKTSFVQGHENGKYREGNCKLFGIPLIRNSVASEPTVSHTNALSKPVAHMQAASHQVLEFVSAQNSEKLKVLQLAEVEDLSNYNEQEKQPRTREIQSKPSSASTRSCTKVVHKQGIALCRSVDLTKFNDYDELIAELDGLFEFKGELMAPKKNWLVVYTDDEGDMMLVGDDPWQEFCAMVRKIGIYTREEVQKMKPGSLSSKGEDNSVSTGGVDGKEMMCPSASSAENC >KJB51282 pep chromosome:Graimondii2_0_v6:8:49576748:49582246:-1 gene:B456_008G210200 transcript:KJB51282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNCVNVRGGESCSSGYSESMDARKTMEGQNGHSTHQAAIRDPETALYTELWHACAGPLVTVPREGERVFYFPQGHIEQVEASTNQVPDQHMPVYNLPSKILCRVINVQLKAEPDTDEVFAQVTLLPEPTQDENTVDKESPVPQPPRFHVHSFCKILTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAFTTKTIFTVYYKPRTSPAEFIVPFGQYMESLKNNYSIGMRFKMRFEGEEAPEQRFTGTVVGIEDADPKRWQDSKWRCLKVRWDETSSIPRPERVSPWKIEPPLAPPALNPLPMPRTKRPRSHAVPSSPDSSVLTREGSSKVTIDPSSASGLSRVLQGQEFSTLRGNFAESIESDTAEKSVMWPPSVDDKNNDVVSASRRFPSENWMSSGRHERTCTDLLTGFGSNAESLHGYCSSLVDQTLVAGNSTRKQSLHQEGKLGSWSLMPSGLSLKLADTNAKSPLQGSDVPYQMRGNGRCSGFDDYPILQGHRIEHSHGNWLMPPPTSSHHESNPVQSRDLMPKTSFVQGHENGKYREGNCKLFGIPLIRNSVASEPTVSHTNALSKPVAHMQAASHQVLEFVSAQNSEKLKVLQLAEVEDLSNYNEQEKQPRTREIQSKPSSASTRSCTKVHKQGIALCRSVDLTKFNDYDELIAELDGLFEFKGELMAPKKNWLVVYTDDEGDMMLVGDDPWQEFCAMVRKIGIYTREEVQKMKPGSLSSKGEDNSVSTGGVDGKEMMCPSASSAENC >KJB48149 pep chromosome:Graimondii2_0_v6:8:7898246:7909867:-1 gene:B456_008G054400 transcript:KJB48149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGRYPVQQGHGWDNNSALEGFPAALDHNYRVGGSYDERRYLDERYSRDNVYPRSNYHRDFLERDNQPPPPAASAGIWSQSRRTTYEEEYPHNRDSRRHQKPYADSYSDMDTFRDHEITSFQDFDKFRDGYRGVDSFRDHEFDRPSRFGGRERDDNSYDDYDYRPRVSHQSRDNSRERDYEYGRHSYDSDYERSSRRDGNWRRRDRDRLSRERDQSPHRRHERSRSRSHGRDDRPRSRSPRSRSHGRSHREDSYDDGRNDKTEKRRDREEKYQREHYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERHSGISRGFAFIDFPSVGAASTMMERIGDDGLVVDGRKLFFEYSSKPTGGTGGPFGNDNAAKSGHSVHRGITVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPAADISLSHSTSLGKKGTDSGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVADATKALEATNGTTLEKNGQILRVAYAKSILGPGSGTSGASQSSSLAAAAIEAAAFSQQYDAVGWTPKEYNPDDKQSTSWQEQVVGSVPVQQDGSGLHSGFVWDEASGYYFDAASGFYYDGNTGLYYDGNSGIWYSYDNQSQQYIPCGDQNDNKTPVEKAASLPDAVQAAATAALAAEKKEKEKAKEIKLASKSSILANKKKMNNVLTMWKQRSHEGQATRLTLDENHLSTSSEDRPLQSGQHAKTKVKFDVTGLKESSISSSGVNTTAQAALTGGLESPVKARPVSNSSGGTLMGVIRGSARGVVKSDTPYSGASAGISTSAVGGAEVSSTNSDMPTVMTPFRTDASALGSYTPSTVSASGKRRFSETPVTSTTSKEQSQTAYRDRAAERRNLYGSSSSTGDDLPDPELRNSNRDMAFKKFSSDPMPFPPGVGGGRGVVSDDVQSFEVITAEKAIDEKNVGNQMLRNMGWHEGLGLGKDGSGMKEPVQTQAMDGRAGLGSQTKKLDPSLEVQAGDSYKTVIHKKALARFREMS >KJB48152 pep chromosome:Graimondii2_0_v6:8:7898582:7908633:-1 gene:B456_008G054400 transcript:KJB48152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFRDHEITSFQDFDKFRDGYRGVDSFRDHEFDRPSRFGGRERDDNSYDDYDYRPRVSHQSRDNSRERDYEYGRHSYDSDYERSSRRDGNWRRRDRDRLSRERDQSPHRRHERSRSRSHGRDDRPRSRSPRSRSHGRSHREDSYDDGRNDKTEKRRDREEKYQREHYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERHSGISRGFAFIDFPSVGAASTMMERIGDDGLVVDGRKLFFEYSSKPTGGTGGPFGNDNAAKSGHSVHRGITVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPAADISLSHSTSLGKKGTDSGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVADATKALEATNGTTLEKNGQILRVAYAKSILGPGSGTSGASQSSSLAAAAIEAAAFSQQYDAVGWTPKEYNPDDKQSTSWQEQVVGSVPVQQDGSGLHSGFVWDEASGYYFDAASGFYYDGNTGLYYDGNSGIWYSYDNQSQQYIPCGDQNDNKTPGKQSEPSKGTDGRKVVISAPAATVTSVEKAASLPDAVQAAATAALAAEKKEKEKAKEIKLASKSSILANKKKMNNVLTMWKQRSHEGQATRLTLDENHLSTSSEDRPLQSGQHAKTKVKFDVTGLKESSISSSGVNTTAQAALTGGLESPVKARPVSNSSGGTLMGVIRGSARGVVKSDTPYSGASAGISTSAVGGAEVSSTNSDMPTVMTPFRTDASALGSYTPSTVSASGKRRFSETPVTSTTSKEQSQTAYRDRAAERRNLYGSSSSTGDDLPDPELRNSNRDMAFKKFSSDPMPFPPGVGGGRGVVSDDVQSFEVITAEKAIDEKNVGNQMLRNMGWHEGLGLGKDGSGMKEPVQTQAMDGRAGLGSQTKKLDPSLEVQAGDSYKTVIHKKALARFREMS >KJB48147 pep chromosome:Graimondii2_0_v6:8:7898214:7910037:-1 gene:B456_008G054400 transcript:KJB48147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGRYPVQQGHGWDNNSALEGFPAALDHNYRVGGSYDERRYLDERYSRDNVYPRSNYHRDFLERDNQPPPPAASAGIWSQSRRTTYEEEYPHNRDSRRHQKPYADSYSDMDTFRDHEITSFQDFDKFRDGYRGVDSFRDHEFDRPSRFGGRERDDNSYDDYDYRPRVSHQSRDNSRERDYEYGRHSYDSDYERSSRRDGNWRRRDRDRLSRERDQSPHRRHERSRSRSHGRDDRPRSRSPRSRSHGRSHREDSYDDGRNDKTEKRRDREEKYQREHYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERHSGISRGFAFIDFPSVGAASTMMERIGDDGLVVDGRKLFFEYSKPTGGTGGPFGNDNAAKSGHSVHRGITVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPAADISLSHSTSLGKKGTDSGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVADATKALEATNGTTLEKNGQILRVAYAKSILGPGSGTSGASQSSSLAAAAIEAAAFSQQYDAVGWTPKEYNPDDKQSTSWQEQVVGSVPVQQDGSGLHSGFVWDEASGYYFDAASGFYYDGNTGLYYDGNSGIWYSYDNQSQQYIPCGDQNDNKTPGKQSEPSKGTDGRKVVISAPAATVTSVEKAASLPDAVQAAATAALAAEKKEKEKAKEIKLASKSSILANKKKMNNVLTMWKQRSHEGQATRLTLDENHLSTSSEDRPLQSGQHAKTKVKFDVTGLKESSISSSGVNTTAQAALTGGLESPVKARPVSNSSGGTLMGVIRGSARGVVKSDTPYSGASAGISTSAVGGAEVSSTNSDMPTVMTPFRTDASALGSYTPSTVSASGKRRFSETPVTSTTSKEQSQTAYRDRAAERRNLYGSSSSTGDDLPDPELRNSNRDMAFKKFSSDPMPFPPGVGGGRGVVSDDVQSFEVITAEKAIDEKNVGNQMLRNMGWHEGLGLGKDGSGMKEPVQTQAMDGRAGLGSQTKKLDPSLEVQAGDSYKTVIHKKALARFREMS >KJB48148 pep chromosome:Graimondii2_0_v6:8:7898214:7910037:-1 gene:B456_008G054400 transcript:KJB48148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGRYPVQQGHGWDNNSALEGFPAALDHNYRVGGSYDERRYLDERYSRDNVYPRSNYHRDFLERDNQPPPPAASAGIWSQSRRTTYEEEYPHNRDSRRHQKPYADSYSDMDTFRDHEITSFQDFDKFRDGYRGVDSFRDHEFDRPSRFGGRERDDNSYDDYDYRPRVSHQSRDNSRERDYEYGRHSYDSDYERSSRRDGNWRRRDRDRLSRERDQSPHRRHERSRSRSHGRDDRPRSRSPRSRSHGRSHREDSYDDGRNDKTEKRRDREEKYQREHYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERHSGISRGFAFIDFPSVGAASTMMERIGDDGLVVDGRKLFFEYSSKPTGGTGGPFGNDNAAKSGHSVHRGITVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPAADISLSHSTSLGKKGTDSGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVADATKALEATNGTTLEKNGQILRVAYAKSILGPGSGTSGASQSSSLAAAAIEAAAFSQQYDAVGWTPKEYNPDDKQSTSWQEQVVGSVPVQQDGSGLHSGFVWDEASGYYFDAASGFYYDGNTGLYYDGNSGIWYSYDNQSQQYIPCGDQNDNKTPVQAAATAALAAEKKEKEKAKEIKLASKSSILANKKKMNNVLTMWKQRSHEGQATRLTLDENHLSTSSEDRPLQSGQHAKTKVKFDVTGLKESSISSSGVNTTAQAALTGGLESPVKARPVSNSSGGTLMGVIRGSARGVVKSDTPYSGASAGISTSAVGGAEVSSTNSDMPTVMTPFRTDASALGSYTPSTVSASGKRRFSETPVTSTTSKEQSQTAYRDRAAERRNLYGSSSSTGDDLPDPELRNSNRDMAFKKFSSDPMPFPPGVGGGRGVVSDDVQSFEVITAEKAIDEKNVGNQMLRNMGWHEGLGLGKDGSGMKEPVQTQAMDGRAGLGSQTKKLDPSLEVQAGDSYKTVIHKKALARFREMS >KJB48146 pep chromosome:Graimondii2_0_v6:8:7898246:7909867:-1 gene:B456_008G054400 transcript:KJB48146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGRYPVQQGHGWDNNSALEGFPAALDHNYRVGGSYDERRYLDERYSRDNVYPRSNYHRDFLERDNQPPPPAASAGIWSQSRRTTYEEEYPHNRDSRRHQKPYADSYSDMDTFRDHEITSFQDFDKFRDGYRGVDSFRDHEFDRPSRFGGRERDDNSYDDYDYRPRVSHQSRDNSRERDYEYGRHSYDSDYERSSRRDGNWRRRDRDRLSRERDQSPHRRHERSRSRSHGRDDRPRSRSPRSRSHGRSHREDSYDDGRNDKTEKRRDREEKYQREHYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERHSGISRGFAFIDFPSVGAASTMMERIGDDGLVVDGRKLFFEYSSKPTGGTGGPFGNDNAAKSGHSVHRGITVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPAADISLSHSTSLGKKGTDSGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVADATKALEATNGTTLEKNGQILRVAYAKSILGPGSGTSGASQSSSLAAAAIEAAAFSQQYDAVGWTPKEYNPDDKQSTSWQEQVVGSVPVQQDGSGLHSGFVWDEASGYYFDAASGFYYDGNTGLYYDGNSGIWYSYDNQSQQYIPCGDQNDNKTPGKQSEPSKGTDGRKVVISAPAATVTSVEKAASLPDAVQAAATAALAAEKKEKEKAKEIKLASKSSILANKKKMNNVLTMWKQRSHEGQATRLTLDENHLSTSSEDRPLQSGQHAKTKVKFDVTGLKESSISSSGVNTTAQAALTGGLESPVKARPVSNSSGGTLMGVIRGSARGVVKSDTPYSGASAGISTSAVGGAEVSSTNSDMPTVMTPFRTDASALGSYTPSTVSASGKRRFSETPVTSTTSKEQSQTAYRDRAAERRNLYGSSSSTGDDLPDPELRNSNRDMAFKKFSSDPMPFPPGVGGGRGVVSDDVQSFEVITAEKAIDEKNVGNQMLRNMGWHEGLGLGKDGSGMKEPVQTQAMDGRAGLGSQTKKLDPSLEVQAGDSYKTVIHKKALARFREMS >KJB48151 pep chromosome:Graimondii2_0_v6:8:7898779:7909405:-1 gene:B456_008G054400 transcript:KJB48151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGRYPVQQGHGWDNNSALEGFPAALDHNYRVGGSYDERRYLDERYSRDNVYPRSNYHRDFLERDNQPPPPAASAGIWSQSRRTTYEEEYPHNRDSRRHQKPYADSYSDMDTFRDHEITSFQDFDKFRDGYRGVDSFRDHEFDRPSRFGGRERDDNSYDDYDYRPRVSHQSRDNSRERDYEYGRHSYDSDYERSSRRDGNWRRRDRDRLSRERDQSPHRRHERSRSRSHGRDDRPRSRSPRSRSHGRSHREDSYDDGRNDKTEKRRDREEKYQREHYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERHSGISRGFAFIDFPSVGAASTMMERIGDDGLVVDGRKLFFEYSSKPTGGTGGPFGNDNAAKSGHSVHRGITVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPAADISLSHSTSLGKKGTDSGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVADATKALEATNGTTLEKNGQILRVAYAKSILGPGSGTSGASQSSSLAAAAIEAAAFSQQYDAVGWTPKEYNPDDKQSTSWQEQVVGSVPVQQDGSGLHSGFVWDEASGYYFDAASGFYYDGNTGLYYDGNSGIWYSYDNQSQQYIPCGDQNDNKTPGKQSEPSKGTDGRKVVISAPAATVTSVEKAASLPDAVQAAATAALAAEKKEKEKAKEIKLASKSSILANKKKMNNVLTMWKQRSHEGQATRLTLDENHLSTSSEDRPLQSGQHAKTKVKFDVTGLKESSISSSGVNTTAQAALTGGLESPVKARPVSNSSGGTLMGVIRGSARGVVKSDTPYSGASAGISTSAVGGAEVSSTNSDMPTVMTPFRTDASALGSYTPSTVSASGKRRFSETPVTSTTSKEQSQTAYRDRAAERRNLYGSSSSTGDDLPDPELRNSNRDMAFKKFSSDPMPFPPGVGGGRGVVSDDVQSFEVITAEKAIDEKNVGNQMLRNMGWHEGLVAFCMLEAESSNNGGLLYFLLLFLWK >KJB48150 pep chromosome:Graimondii2_0_v6:8:7898214:7910037:-1 gene:B456_008G054400 transcript:KJB48150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGRYPVQQGHGWDNNSALEGFPAALDHNYRVGGSYDERRYLDERYSRDNVYPRSNYHRDFLERDNQPPPPAASAGIWSQSRRTTYEEEYPHNRDSRRHQKPYADSYSDMDTFRDHEITSFQDFDKFRDGYRGVDSFRDHEFDRPSRFGGRERDDNSYDDYDYRPRVSHQSRDNSRERDYEYGRHSYDSDYERSSRRDGNWRRRDRDRLSRERDQSPHRRHERSRSRSHGRDDRPRSRSPRSRSHGRSHREDSYDDGRNDKTEKRRDREEKYQREHYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERHSGISRGFAFIDFPSVGAASTMMERIGDDGLVVDGRKLFFEYSSKPTGGTGGPFGNDNAAKSGHSVHRGITVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPAADISLSHSTSLGKKGTDSGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVADATKALEATNGTTLEKNGQILRVAYAKSILGPGSGTSGASQSSSLAAAAIEAAAFSQQYDAVGWTPKEYNPDDKQSTSWQEQVVGSVPVQQDGSGLHSGFVWDEASGYYFDAASGFYYDGNTGLYYDGNSGIWYSYDNQSQQYIPCGDQNDNKTPGKQSEPSKGTDGRKVVISAPAATVTSVEKAASLPDAVQAAATAALAAEKKEKEKAKEIKLASKSSILANKKKMNNVLTMWKQRSSARGVVKSDTPYSGASAGISTSAVGGAEVSSTNSDMPTVMTPFRTDASALGSYTPSTVSASGKRRFSETPVTSTTSKEQSQTAYRDRAAERRNLYGSSSSTGDDLPDPELRNSNRDMAFKKFSSDPMPFPPGVGGGRGVVSDDVQSFEVITAEKAIDEKNVGNQMLRNMGWHEGLGLGKDGSGMKEPVQTQAMDGRAGLGSQTKKLDPSLEVQAGDSYKTVIHKKALARFREMS >KJB50778 pep chromosome:Graimondii2_0_v6:8:50519404:50521864:1 gene:B456_008G218800 transcript:KJB50778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNGGGPVTTIGKRQSDLKKSFKLAVRSLLTTCPTQEFSKAFPKFSSIEQEHLHQLFIQVITSLHGNIEDEFESLCNETQVGDALDTVEQLVEEQSLDPLSSDRTNVMDAVHNLSAAKKAEIHYLRGLLERVRNEL >KJB50783 pep chromosome:Graimondii2_0_v6:8:50519404:50523204:1 gene:B456_008G218800 transcript:KJB50783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNGGGPVTTIGKRQSDLKKSFKLAVRSLLTTCPTQEFSKAFPKFSSIEQEHLHQLFIQVITSLHGNIEDEFESLCNETQVGDALDTVEQLVEEQSLDPLSSDSAQFVSSEES >KJB50781 pep chromosome:Graimondii2_0_v6:8:50519404:50523204:1 gene:B456_008G218800 transcript:KJB50781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNGGGPVTTIGKRQSDLKKSFKLAVRSLLTTCPTQEFSKAFPKFSSIEQEHLHQLFIQVGDALDTVEQLVEEQSLDPLSSDRTNVMDAVHNLSAAKKAEIHYLRGLLERAEEHNRLIQARVDLLRNKTQEVPDIKDFIGKLRGGILSYKGTQNVEL >KJB50777 pep chromosome:Graimondii2_0_v6:8:50519393:50523268:1 gene:B456_008G218800 transcript:KJB50777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNGGGPVTTIGKRQSDLKKSFKLAVRSLLTTCPTQEFSKAFPKFSSIEQEHLHQLFIQVITSLHGNIEDEFESLCNETQVGDALDTVEQLVEEQSLDPLSSDRTNVMDAVHNLSAAKKAEIHYLRGLLERAEEHNRLIQARVDLLRNKTQEVPDIKDFIGKLRGGILSYKGTQNVEL >KJB50782 pep chromosome:Graimondii2_0_v6:8:50519804:50522277:1 gene:B456_008G218800 transcript:KJB50782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEFSKAFPKFSSIEQEHLHQLFIQVITSLHGNIEDEFESLCNETQVGDALDTVEQLVEEQSLDPLSSDRTNVMDAVHNLSAAKKAEIHYLRGLLERAEEHNRLIQARVDLLRNKTQEVPDIKDFIGKLRGGILSYKGTQNVEL >KJB50780 pep chromosome:Graimondii2_0_v6:8:50519404:50523204:1 gene:B456_008G218800 transcript:KJB50780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNGGGPVTTIGKRQSDLKKSFKLAVRSLLTTCPTQEFSKAFPKFSSIEQEHLHQLFIQVITSLHGNIEVGDALDTVEQLVEEQSLDPLSSDRTNVMDAVHNLSAAKKAEIHYLRGLLERAEEHNRLIQARVDLLRNKTQEVPDIKDFIGKLRGGILSYKGTQNVEL >KJB50779 pep chromosome:Graimondii2_0_v6:8:50519404:50522175:1 gene:B456_008G218800 transcript:KJB50779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNGGGPVTTIGKRQSDLKKSFKLAVRSLLTTCPTQEFSKAFPKFSSIEQEHLHQLFIQVITSLHGNIEDEFESLCNETQVGDALDTVEQLVEEQSLDPLSSDRTNVMDAVHNLSAAKKAEIHYLRGLLERAEEHNRLIQARVDLLRNKTQEVPDIKDFIGKVGNFPLSCQIWALSNGFGR >KJB49810 pep chromosome:Graimondii2_0_v6:8:38989212:38996424:1 gene:B456_008G139100 transcript:KJB49810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKGSDPSTKSKKSLEECSSGGVGNLTHKLNQLKRQIQAERIASIKEKVEKNRKKLESHISEILSATSSRNVLCVEENGFGKMLSSRIQIPLFKYAGFAQGSGDRDYSNGHEVVSSTSVKLPYVEKLPPYTTWIFLDKNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEDIAEPEEEKHEFSEVEDRILWNVCQEYGLGEETLAAVSQFIGVTGSEIEERHGMLREKYSDQNIKDSEDCRSEKGISLDKSLSAALDSFDNLFCRRCLLFDCRLHGCSQTLINPSEKQPYWSEYEDDRKPCSDQCYLRLRAVKDVAEGSGVNALHGVKTTLEEKDKVASSDAKEQKTNVDADLMQDERGISEEEGPVTLEGINDSEGAGKALTSVMSSIPIDNHENSGKRKASQESNRPLDDLLHCSDSSQDSSCKKQKTLLVLDVARESSEAIPSHASAQSSKSRNYQVRTLLENETQITAKNNQNESGERGLETSTCSASASKTKDNTRNGAKDVLKVPELKWSSSEWKPIERELCLKGVEIFGRNSCLIARNLLSGLKTCLEVSSYMCDGGSSTLNRSIMTSSFLEENGKSESDFMEQEMSARPRLLRRKGRTRKLKYSWKSAGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKQCPCLNNGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGGDSLGEPPKQGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNSVNKNDYLGEYTGELISHTEADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVILVAGDHRVGIFAKERIEASEELFYDYRYGPDQAPAWARKPEGSKRDETSASQGRAKKHQSH >KJB49811 pep chromosome:Graimondii2_0_v6:8:38989516:38994618:1 gene:B456_008G139100 transcript:KJB49811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSRIQIPLFKYAGFAQGSGDRDYSNGHEVVSSTSVKLPYVEKLPPYTTWIFLDKNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEDIAEPEEEKHEFSEVEDRILWNVCQEYGLGEETLAAVSQFIGVTGSEIEERHGMLREKYSDQNIKDSEDCRSEKGISLDKSLSAALDSFDNLFCRRCLLFDCRLHGCSQTLINPSEKQPYWSEYEDDRKPCSDQCYLRLRAVKDVAEGSGVNALHGVKTTLEEKDKVASSDAKEQKTNVDADLMQDERGISEEEGPVTLEGINDSEGAGKALTSVMSSIPIDNHENSGKRKASQESNRPLDDLLHCSDSSQDSSCKKQKTLLVLDVARESSEAIPSHASAQSSKSRNYQVRTLLENETQITAKNNQNESGERGLETSTCSASASKTKDNTRNGAKDVLKVPELKWSSSEWKPIERELCLKGVEIFGRNSCLIARNLLSGLKTCLEVSSYMCDGGSSTLNRSIMTSSFLEENGKSESDFMEQEMSARPRLLRRKGRTRKLKYSWKSAGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKQCPCLNNGTCCEKYCG >KJB49813 pep chromosome:Graimondii2_0_v6:8:38989516:38996383:1 gene:B456_008G139100 transcript:KJB49813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSRIQIPLFKYAGFAQGSGDRDYSNGHEVVSSTSVKLPYVEKLPPYTTWIFLDKNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEDIAEPEEEKHEFSEVEDRILWNVCQEYGLGEETLAAVSQFIGVTGSEIEERHGMLREKYSDQNIKDSEDCRSEKGISLDKSLSAALDSFDNLFCRRCLLFDCRLHGCSQTLINPSEKQPYWSEYEDDRKPCSDQCYLRLRAVKDVAEGSGVNALHGVKTTLEEKDKVASSDAKEQKTNVDADLMQDERGISEEEGPVTLEGINDSEGAGKALTSVMSSIPIDNHENSGKRKASQESNRPLDDLLHCSDSSQDSSCKKQKTLLVLDVARESSEAIPSHASAQSSKSRNYQVRTLLENETQITAKNNQNESGERGLETSTCSASASKTKDNTRNGAKDVLKVPELKWSSSEWKPIERELCLKGVEIFGRNSCLIARNLLSGLKTCLEVSSYMCDGGSSTLNRSIMTSSFLEENGKSESDFMEQEMSARPRLLRRKGRTRKLKYSWKSAGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKQCPCLNNGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGGDSLGEPPKQGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKVGYYPVFSQCRPLDS >KJB49814 pep chromosome:Graimondii2_0_v6:8:38989516:38996383:1 gene:B456_008G139100 transcript:KJB49814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSRIQIPLFKYAGFAQGSGDRDYSNGHEVVSSTSVKLPYVEKLPPYTTWIFLDKNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEDIAEPEEEKHEFSEVEDRILWNVCQEYGLGEETLAAVSQFIGVTGSEIEERHGMLREKYSDQNIKDSEDCRSEKGISLDKSLSAALDSFDNLFCRRCLLFDCRLHGCSQTLINPSEKQPYWSEYEDDRKPCSDQCYLRLRAVKDVAEGSGVNALHGVKTTLEEKDKVASSDAKEQKTNVDADLMQDERGISEEEGPVTLEGINDSEGAGKALTSVMSSIPIDNHENSGKRKASQESNRPLDDLLHCSDSSQDSSCKKQKTLLVLDVARESSEAIPSHASAQSSKSRNYQVRTLLENETQITAKNNQNESGERGLETSTCSASASKTKDNTRNGAKDVLKVPELKWSSSEWKPIERELCLKGVEIFGRNSCLIARNLLSGLKTCLEVSSYMCDGGSSTLNRSIMTSSFLEENGKSESDFMEQEMSARPRLLRRKGRTRKLKYSWKSAGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKQCPCLNNGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGGDSLGEPPKQGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNSVNKNDYLGEYTGELISHTEADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVILVAGDHRVGIFAKERIEASEELFYDYRYGPDQAPAWARKPEGSKRDETSASQGRAKKHQSH >KJB49812 pep chromosome:Graimondii2_0_v6:8:38990314:38996064:1 gene:B456_008G139100 transcript:KJB49812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSGQHRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEDIAEPEEEKHEFSEVEDRILWNVCQEYGLGEETLAAVSQFIGVTGSEIEERHGMLREKYSDQNIKDSEDCRSEKGISLDKSLSAALDSFDNLFCRRCLLFDCRLHGCSQTLINPSEKQPYWSEYEDDRKPCSDQCYLRLRAVKDVAEGSGVNALHGVKTTLEEKDKVASSDAKEQKTNVDADLMQDERGISEEEGPVTLEGINDSEGAGKALTSVMSSIPIDNHENSGKRKASQESNRPLDDLLHCSDSSQDSSCKKQKTLLVLDVARESSEAIPSHASAQSSKSRNYQVRTLLENETQITAKNNQNESGERGLETSTCSASASKTKDNTRNGAKDVLKVPELKWSSSEWKPIERELCLKGVEIFGRNSCLIARNLLSGLKTCLEVSSYMCDGGSSTLNRSIMTSSFLEENGKSESDFMEQEMSARPRLLRRKGRTRKLKYSWKSAGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKQCPCLNNGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGGDSLGEPPKQGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNSVNKNDYLGEYTGELISHTEADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVILVAGDHRVGIFAKERIEASEELFYDYRYGPDQAPAWARKPEGSKRDETSASQGRAKKHQSH >KJB52880 pep chromosome:Graimondii2_0_v6:8:55772907:55774046:-1 gene:B456_008G281200 transcript:KJB52880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGKSHQEGEENMAAWLVDLNTLKIQPFKLPPLGPHDVRVRMKAVGICGSDVHFLKTLRLADFVVKEPMVIGHECAGIIEEIGSEVKNLVPGDRVALEPGIGCWRCDLCKEGRYNICPDMKFFATPPVHGSLARQVVHPADLCFKLPDNLSLEEGAMCEPLSVAVHACRRANIGPETNVLVMGAGPIGLVTLLAARAFGAPRIVIVDVDDYRLSVANNLGADGVVKVSTNMQVHIIKFYEIYIIKSLSDFLNGFRTYPKKLKEYVK >KJB52878 pep chromosome:Graimondii2_0_v6:8:55772737:55774046:-1 gene:B456_008G281200 transcript:KJB52878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGKSHQEGEENMAAWLVDLNTLKIQPFKLPPLGPHDVRVRMKAVGICGSDVHFLKTLRLADFVVKEPMVIGHECAGIIEEIGSEVKNLVPGDRVALEPGIGCWRCDLCKEGRYNICPDMKFFATPPVHGSLARQVVHPADLCFKLPDNLSLEEGAMCEPLSVAVHACRRANIGPETNVLVMGAGPIGLVTLLAARAFGAPRIVIVDVDDYRLSVANNLGADGVVKVSTNMQDIPEEVERICEVMGAVGVHVTFDCAGFNKTMSTALSATRAGGKVCLVGLGHNEMTVPLTPAAARYLFQ >KJB52877 pep chromosome:Graimondii2_0_v6:8:55772318:55774096:-1 gene:B456_008G281200 transcript:KJB52877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGHECAGIIEEIGSEVKNLVPGDRVALEPGIGCWRCDLCKEGRYNICPDMKFFATPPVHGSLARQVVHPADLCFKLPDNLSLEEGAMCEPLSVAVHACRRANIGPETNVLVMGAGPIGLVTLLAARAFGAPRIVIVDVDDYRLSVANNLGADGVVKVSTNMQDIPEEVERICEVMGAVGVHVTFDCAGFNKTMSTALSATRAGGKVCLVGLGHNEMTVPLTPAAAREVDIIGIFRYKNTWPLCIELLRSGKIDVKPLITHRFGFSQKEVEEAFETSARGGDAIKVMFNL >KJB52879 pep chromosome:Graimondii2_0_v6:8:55772318:55774096:-1 gene:B456_008G281200 transcript:KJB52879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGKSHQEGEENMAAWLVDLNTLKIQPFKLPPLGPHDVRVRMKAVGICGSDVHFLKTLRLADFVVKEPMVIGHECAGIIEEIGSEVKNLVPGDRVALEPGIGCWRCDLCKEGRYNICPDMKFFATPPVHGSLARQVVHPADLCFKLPDNLSLEEGAMCEPLSVAVHACRRANIGPETNVLVMGAGPIGLVTLLAARAFGAPRIVIVDVDDYRLSVANNLGADGVVKVSTNMQDIPEEVERICEVMGAVGVHVTFDCAGFNKTMSTALSATRAGGKVCLVGLGHNEMTVPLTPAAAREVDIIGIFRYKNTWPLCIELLRSGKIDVKPLITHRFGFSQKEVEEAFETSARGGDAIKVMFNL >KJB52819 pep chromosome:Graimondii2_0_v6:8:55641768:55644899:1 gene:B456_008G279100 transcript:KJB52819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFFQLYSNLILQTLFSLSLTLVLTFLKIPVFFLQGLHTYIHPDNVAGHHGGPASSSSGVRAAIRRPSDSGSGLDGYQSLSSRTNTELKKKNKSKDKFEFDENNAQIFRLKLDEGHLQTRLFYNDYHNSFVFSFVGISCLLLYKYLGYKQDSGVLANGDLIPIIFGFIGLIKAFSSLAKISFEKSGSKRSEKQFSAIFGVLGFILGIIICSGIGPLVFDFHFDSIEGSWRIFVSILMGFIAGSLYMPAGKNARSFWLGTDQLRCNLSIISCGWFSRLILYANYILTVFTALLWINPFVEILSFVSFSKSEFTRFRLLCLLLSGVLQIVSLRSNLQMFLNEAVLSWYQRLHASKVPDLDFSRAKVFLHNHYLCLAVVQFFAPSVSLLLFLGLSQIDTNSFDKYNLVKEVAVFMAWWIVFIWAVITSASLVFYRRGILT >KJB52818 pep chromosome:Graimondii2_0_v6:8:55641768:55644898:1 gene:B456_008G279100 transcript:KJB52818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFFQLYSNLILQTLFSLSLTLVLTFLKIPVFFLQGLHTYIHPDNVAGHHGGPASSSSGVRAAIRRPSDSGSGLDGYQSLSSRTNTELKKKNKSKDKFEFDENNAQIFRLKLDEGHLQTRLFYNDYHNSFVFSFVGISCLLLYKYLGYKQDSGVLANGDLIPIIFGFIGLIKAFSSLAKISFEKSGSKRSEKQFSAIFGVLGFILGIIICSGIGPLVFDFHFDSIEGSWRIFVSILMGFIAGSLYMPAGKNARSFWLGTDQLRCNLSIISCGWFSRLILYANYILTVFTALLWINPFVEILSFVSFSKSEFTRFRLLCLLLSGVLQIVSLRSNLQMFLNEAVLSWYQRLHASKVPDLDFSRAKVFLHNHYLCLAVVQFFAPSVSLLLFLGLSQIDTNSFDKYNLVKEVAVFMAWWIVFIWAVITSASLVFYRRGILT >KJB49283 pep chromosome:Graimondii2_0_v6:8:34180427:34181644:-1 gene:B456_008G110900 transcript:KJB49283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRGPIFSFRSDGPCLTWLWSIEYLSSFPHLDLSIVPAPVSPDESGENTTERVALRCLEEPIVPENGVSFSSLKKAGPELVRWDVQPFIMHKKALLPKCSLEQLKGDIKKFDCLHGDKQMEGESGITIKVTKIDGCHLGHDSQAGEGEEDVNVATRTFERPCMDQLRENEDERLNIAMKRSLFLSSQSRPSKDPVGKAGPTKQNLCVKCNLSGKVLICGSSDSAVAVHRSCLGSSARYDDKGNFLCPFCACSLSNSKYLEGKDRIILARKNVVALVELC >KJB49011 pep chromosome:Graimondii2_0_v6:8:26942754:26952426:1 gene:B456_008G097600 transcript:KJB49011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCSIRTLRRLKCCCNKRWSSLFLAKGYCFSKPKVVPSFCNTDIKLSRFYVGERQSSLRQWRRRSLYTVTDTYEAIELALDSVIKIFTVSSSPNYFLPWQNKSQRETMGSGFVIPGKKILTNAHVVADHTFVLVRKHGSPTKYRAEVQAVGHECDLAILVVESPEFWEGMNFLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGATQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPVPVIKHFIAGIEESGKYMGFCSMGLSCQPTENVQLRNHFKMQPHMTGVLVSKINPLSDAYGVLKKDDIILEFDGVPIANDGTVPFRNRERITFDHLVSMKKPNETALVKVLRNGQEHAFTITLQPLQPLVPVHQFDKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNTSPRRLCERALRELPKRAGEQLVILSQVLMDDINAGYERLAELQVLSYFPLVGSSLFL >KJB49014 pep chromosome:Graimondii2_0_v6:8:26946992:26952991:1 gene:B456_008G097600 transcript:KJB49014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFLLRISLCFSFYMSYCPCLDAGGDNISVTKGVVSRVEPTQYVHGATQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPVPVIKHFIAGIEESGKYMGFCSMGLSCQPTENVQLRNHFKMQPHMTGVLVSKINPLSDAYGVLKKDDIILEFDGVPIANDGTVPFRNRERITFDHLVSMKKPNETALVKVLRNGQEHAFTITLQPLQPLVPVHQFDKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNTSPRRLCERALRELPKRAGEQLVILSQVLMDDINAGYERLAELQVKKVNGIEIKNLKHLCQLVENCSRESLRIDLDDDRVVALNYESARIATSRILERHRIPSRMSIDLLSEQNSLLMDSAE >KJB49010 pep chromosome:Graimondii2_0_v6:8:26942641:26953084:1 gene:B456_008G097600 transcript:KJB49010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCSIRTLRRLKCCCNKRWSSLFLAKGYCFSKPKVVPSFCNTDIKLSRFYVGERQSSLRQWRRRSLYTVTDTYEAIELALDSVIKIFTVSSSPNYFLPWQNKSQRETMGSGFVIPGKKILTNAHVVADHTFVLVRKHGSPTKYRAEVQAVGHECDLAILVVESPEFWEGMNFLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGATQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPVPVIKHFIAGIEESGKYMGFCSMGLSCQPTENVQLRNHFKMQPHMTGVLVSKINPLSDAYGVLKKDDIILEFDGVPIANDGTVPFRNRERITFDHLVSMKKPNETALVKVLRNGQEHAFTITLQPLQPLVPVHQFDKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNTSPRRLCERALRELPKRAGEQLVILSQVLMDDINAGYERLAELQVKKVNGIEIKNLKHLCQLVENCSRESLRIDLDDDRVVALNYESARIATSRILERHRIPSRMSIDLLSEQNSLLMDSAE >KJB49013 pep chromosome:Graimondii2_0_v6:8:26942754:26952991:1 gene:B456_008G097600 transcript:KJB49013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCSIRTLRRLKCCCNKRWSSLFLAKGYCFSKPKVVPSFCNTDIKLSRFYVGERQSSLRQWRRRSLYTVTDTYEAIELALDSVIKIFTVSSSPNYFLPWQNKSQRETMGSGFVIPGKKILTNAHVVADHTFVLVRKHGSPTKYRAEVQAVGHECDLAILVVESPEFWEGMNFLELGDIPFLQEAVAVVGYPQGVVSRVEPTQYVHGATQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPVPVIKHFIAGIEESGKYMGFCSMGLSCQPTENVQLRNHFKMQPHMTGVLVSKINPLSDAYGVLKKDDIILEFDGVPIANDGTVPFRNRERITFDHLVSMKKPNETALVKVLRNGQEHAFTITLQPLQPLVPVHQFDKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNTSPRRLCERALRELPKRAGEQLVILSQVLMDDINAGYERLAELQVKKVNGIEIKNLKHLCQLVENCSRESLRIDLDDDRVVALNYESARIATSRILERHRIPSRMSIDLLSEQNSLLMDSAE >KJB49012 pep chromosome:Graimondii2_0_v6:8:26942754:26952991:1 gene:B456_008G097600 transcript:KJB49012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCSIRTLRRLKCCCNKRWSSLFLAKGYCFSKPKVVPSFCNTDIKLSRFYVGERQSSLRQWRRRSLYTVTDTYEAIELALDSVIKIFTVSSSPNYFLPWQNKSQRETMGSGFVIPGKKILTNAHVVADHTFVLVRKHGSPTKYRAEVQAVGHECDLAILVVESPEFWEGMNFLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGATQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPVPVIKHFIAGIEESGKYMGFCSMGLSCQPTENVQLRNHFKMQPHMTGVLVSKINPLSDAYGVLKKDDIILEFDGVPIANDGTVPFRNRERITFDHLVSMKKPNETALVKVLRNGQEHAFTITLQPVLMDDINAGYERLAELQVKKVNGIEIKNLKHLCQLVENCSRESLRIDLDDDRVVALNYESARIATSRILERHRIPSRMSIDLLSEQNSLLMDSAE >KJB51148 pep chromosome:Graimondii2_0_v6:8:48921409:48923773:-1 gene:B456_008G203800 transcript:KJB51148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVNAVLSVVVAMALVLGIAESFDFHDKDLASEESLWDLYERWRSHLMVSRSLDEKHKRFNVFKQNVKHVLHTNNDNKPYKLKLNKFADMTNHEFRNAYASSKIRYHRMFQGTPRGTRSFMYENVNNVPTSVDWRKRGAVTAVKDQGHVTNKLVSLSEQELIDCDTEENQGCNGGLMDIAFDFINKKGGITSEIHYPYAAKQGTCDVSKENSPVVSIDGHENVPVNDEEALRKAVANQPVSVAIDAGGTDLQFYSEGVYTGQCGIEQNHGVAAVGYGTTLDGTKYWIVKNSWGPDWGEKGYIRMERGIKDKQGLRGIAMEASYPIKNSSSNPTTPSFYPKDEL >KJB49115 pep chromosome:Graimondii2_0_v6:8:30293127:30295476:1 gene:B456_008G101600 transcript:KJB49115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSKKRRTLLKVIVLGDSGVGKTSLMNQYVYNKFNQQYKATIGADFVTKELQIDDKLVTLQIWDTAGQERFQSLGSAFYRGADCCAIVFDVNILRSFETLNNWREEFLKQADPSDPESFPFIVIGNKIDIDGGNSRMVSEKKARDWCASKGNIPYFETSAKEDYNVDEAFLCVAKTALASEHEQHDM >KJB49113 pep chromosome:Graimondii2_0_v6:8:30292931:30295476:1 gene:B456_008G101600 transcript:KJB49113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSKKRRTLLKVIVLGDSGVGKTSLMNQYVYNKFNQQYKATIGADFVTKELQIDDKLVTLQIWDTAGQERFQSLGSAFYRGADCCAIVFDVNILRSFETLNNWREEFLKQADPSDPESFPFIVIGNKIDIDGGNSRMVSEKKARDWCASKGNIPYFETSAKEDYNVDEAFLCVAKTALASEHEQHDIYFRGISETTSEVEQRGGCAC >KJB49114 pep chromosome:Graimondii2_0_v6:8:30293127:30295476:1 gene:B456_008G101600 transcript:KJB49114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSKKRRTLLKVIVLGDSGVGKTSLMNQYVYNKFNQQYKATIGADFVTKELQIDDKLVTLQIWDTAGQERFQSLGSAFYRGADCCAIVFDVNILRSFETLNNWREEFLKQADPSDPESFPFIVIGNKIDIDGGNSRMVCCSNLHFFEIFVLDISVGLISKHEYQDMI >KJB49116 pep chromosome:Graimondii2_0_v6:8:30293278:30294501:1 gene:B456_008G101600 transcript:KJB49116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSKKRRTLLKVIVLGDSGVGKTSLMNQYVYNKFNQQYKATIGADFVTKELQIDDKLVTLQIWDTAGQERFQSLGSAFYRGADCCAIVFDVNILRSFETLNNWREEFLKQADPSDPESFPFIVIGNKIDIDGGNSRMVCCSNLHFFEIFVLDISVGLISKHEYQDMI >KJB49117 pep chromosome:Graimondii2_0_v6:8:30293127:30295476:1 gene:B456_008G101600 transcript:KJB49117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSKKRRTLLKVIVLGDSGVGKTSLMNQYVYNKFNQQYKATIGADFVTKELQIDDKLVTLQIWDTAGQERFQSLGSAFYRGADCCAIVFDVNILRSFETLNNWREEFLKQIHLILSHFLS >KJB47803 pep chromosome:Graimondii2_0_v6:8:5640606:5643127:1 gene:B456_008G042100 transcript:KJB47803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPDAIVQYILSHLSNARDVAACNCVSKRWRESLTYLRSLYFPRNSFDSRPGSYSSDTVVWKMLSATACLEELVVYSPFTSAGLASWLSLVHSSLKDLELRMDNVMDYQACLDSPSKLDCIAAAKKLKSLKLWGVLMANPPKWDVFENLLCLEIVGARLEDPALSAALGACPNLTNLVLLGCEGVRSVSIELPYLEHCKLDFYGSGNCSLSLTCPQIELLEVQGCSWIRVRETSCLRNLSIANNAGRVYMVDFGKLSALESLSMRGVQWCWDAISKMLEWSNEVKHLYMKVEFTGDLEALLPFPEVDFVEFFNSHPKLQKFDIHGAMFAALCQKNSLKNVEPGFVIPCLEEVVVQVRSPLNAEQKMNTLESLLKYGKNLKKMVIRILQMKSSHSSTDEFFDEICRLKFMNRKIVRIE >KJB47802 pep chromosome:Graimondii2_0_v6:8:5640702:5643127:1 gene:B456_008G042100 transcript:KJB47802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPDAIVQYILSHLSNARDVAACNCVSKRWRESLTYLRSLYFPRNSFDSRPGSYSSDTVVWKMLSATACLEELVVYSPFTSAGLASWLSLVHSSLKDLELRMDNVMDYQACLDSPSKLDCIAAAKKLKSLKLWGVLMANPPKWDVFENLLCLEIVGARLEDPALSAALGACPNLTNLVLLGCEGVRSVSIELPYLEHCKLDFYGSGNCSLSLTCPQIELLEVQGCSWIRVRETSCLRNLSIANNAGRVYMVDFGKLSALESLSMRGVQWCWDAISKMLEWSNEVKHLYMKVEFTGDLEALLPFPEVDFVEFFNSHPKLQKFDIHGAMFAALCQKNSLKNVEPGFVIPCLEEVVVQVRSPLNAEQKMNTLESLLKYGKNLKKMVIRILQMKSSHSSTDEFFDEICRLKFMNRKIVRIE >KJB48974 pep chromosome:Graimondii2_0_v6:8:26270394:26291385:1 gene:B456_008G096100 transcript:KJB48974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTEHGQNLLASKRKALLLASGIVIAGGTAAYVHSRFSNKKADSYSHYNGIRENKENPVKVLEKNNNVKRIKQKKGGLKSLQVLAAILLSEMGKIGTRDLLALVGIVVLRAALSNRLAKVQGFLFRAAFLRRVPSFFWLISENILLCFLLSTIHSTSKYITGTLSLRFRKILTKLIHAHYFENMAYYKISHVDGRIRNPEQRIASDLPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYILWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGESREESHIQQKFKTLVKHMRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGHLRPDASTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELMLISRELSADDKKSSLQRPGSRNYLTEANYVEFSGVKVVTPTENVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHSGMVDLLKNVDLDYLLDRYPPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWKVHYKREDSSVQSEGGIVLTALSETDRQNDAIAVQRAFTAAKKDSAFSSPKTQSYVSEVIATSPSVNHGVKLPIVPQLHKVPRALPLRVAAMFKVLVPTLFDKQGAQLLAVAFLVVSRTWISDRIASLNGTTVKHVLEQNKAAFIRLIGISVLQSGASSFIAPSLRHLTARLALGWRIRLTQNLLNNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVSILYAYMFLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESVAFFGGGAREKAMVDSRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALVSTQGELAHALRFLASVVSQSFLAFGDILELHRKFLELSGSINRIFELEELLDTAQSGDLSTDNLSRSQRTALSAEDVISFAEVDIITPAQKLLARQLRCDVVPGKSLLVTGPNGSGKSSVFRVLRGLWPIVSGRLYKPSHHFDEETASGGIFYVPQRPYTCLGTLRDQIIYPLSCEEAELREFKLYGQGKKPVDSASVLDARLKTILENVRLNYLLEREEGGWDANLNWEDILSLGEQQRLGMCHECRC >KJB48977 pep chromosome:Graimondii2_0_v6:8:26270394:26291385:1 gene:B456_008G096100 transcript:KJB48977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTEHGQNLLASKRKALLLASGIVIAGGTAAYVHSRFSNKKADSYSHYNGIRENKENPVKVLEKNNNVKRIKQKKGGLKSLQVLAAILLSEMGKIGTRDLLALVGIVVLRAALSNRLAKVQGFLFRAAFLRRVPSFFWLISENILLCFLLSTIHSTSKYITGTLSLRFRKILTKLIHAHYFENMAYYKISHVDGRIRNPEQRIASDLPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYILWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGESREESHIQQKFKTLVKHMRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGHLRPDASTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELMLISRELSADDKKSSLQRPGSRNYLTEANYVEFSGVKVVTPTENVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHSGMVDLLKNVDLDYLLDRYPPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWKVHYKREDSSVQSEGGIVLTALSETDRQNDAIAVQRAFTAAKKDSAFSSPKTQSYVSEVIATSPSVNHGVKLPIVPQLHKVPRALPLRVAAMFKVLVPTLFDKQGAQLLAVAFLVVSRTWISDRIASLNGTTVKHVLEQNKAAFIRLIGISVLQSGASSFIAPSLRHLTARLALGWRIRLTQNLLNNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVSILYAYMFLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESVAFFGGGAREKAMVDSRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALVSTQGELAHALRFLASVVSQSFLAFGDILELHRKFLELSGSINRIFELEELLDTAQSGDLSTDNLSRSQRTALSAEDVISFAEVDIITPAQKLLARQLRCDVVPGKSLLVTGPNGSGKSSVFRVLRGLWPIVSGRLYKPSHHFDEETASGGIFYVPQRPYTCLGTLRDQIIYPLSCEEAELREFKLYGQGKKPVDSASVLDARLKTILENVRLNYLLEREEGGWDANLNWEDILSLGEQQRLGMARLFFHTPKFGILDECTNATSVDVEEQLYRLAKDLGITVITSSQASSSIR >KJB48976 pep chromosome:Graimondii2_0_v6:8:26276110:26290329:1 gene:B456_008G096100 transcript:KJB48976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTEHGQNLLASKRKALLLASGIVIAGGTAAYVHSRFSNKKADSYSHYNGIRENKENPVKVLEKNNNVKRIKQKKGGLKSLQVLAAILLSEMGKIGTRDLLALVGIVVLRAALSNRLAKVQGFLFRAAFLRRVPSFFWLISENILLCFLLSTIHSTSKYITGTLSLRFRKILTKLIHAHYFENMAYYKISHVDGRIRNPEQRIASDLPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYILWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGESREESHIQQKFKTLVKHMRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGHLRPDASTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELMLISRELSADDKKSSLQRPGSRNYLTEANYVEFSGVKVVTPTENVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHSGMVDLLKNVDLDYLLDRYPPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWKVHYKREDSSVQSEGGIVLTALSETDRQNDAIAVQRAFTAAKKDSAFSSPKTQSYVSEVIATSPSVNHGVKLPIVPQLHKVPRALPLRVAAMFKVLVPTLFDKQGAQLLAVAFLVVSRTWISDRIASLNGTTVKHVLEQNKAAFIRLIGISVLQSGASSFIAPSLRHLTARLALGWRIRLTQNLLNNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVSILYAYMFLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESVAFFGGGAREKAMVDSRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALVSTQGELAHALRFLASVVSQSFLAFGDILELHRKFLELSGSINRIFELEELLDTAQSGDLSTDNLSRSQRTALSAEDVISFAEVDIITPAQKLLARQLRCDVVPGKSLLVTGPNGSGKSSVFRVLRGLWPIVSGRLYKPSHHFDEETASGGIFYVPQRPYTCLGTLRDQIIYPLSCEEAELREFKLYGQGKKPVDSASVLDARLKTILENVRLNYLLEREEGGWDANLNWEDILSLGEQQRLGMVSQANFNTFQTRIFYFSFPAEFCYFDIKLSVLPGKVVLPHA >KJB48978 pep chromosome:Graimondii2_0_v6:8:26270394:26291385:1 gene:B456_008G096100 transcript:KJB48978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTEHGQNLLASKRKALLLASGIVIAGGTAAYVHSRFSNKKADSYSHYNGIRENKENPVKVLEKNNNVKRIKQKKGGLKSLQVLAAILLSEMGKIGTRDLLALVGIVVLRAALSNRLAKVQGFLFRAAFLRRVPSFFWLISENILLCFLLSTIHSTSKYITGTLSLRFRKILTKLIHAHYFENMAYYKISHVDGRIRNPEQRIASDLPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYILWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGESREESHIQQKFKTLVKHMRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGHLRPDASTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELMLISRELSADDKKSSLQRPGSRNYLTEANYVEFSGVKVVTPTENVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHSGMVDLLKNVDLDYLLDRYPPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWKVHYKREDSSVQSEGGIVLTALSETDRQNDAIAVQRAFTAAKKDSAFSSPKTQSYVSEVIATSPSVNHGVKLPIVPQLHKVPRALPLRVAAMFKVLVPTLFDKQGAQLLAVAFLVVSRTWISDRIASLNGTTVKHVLEQNKAAFIRLIGISVLQSGASSFIAPSLRHLTARLALGWRIRLTQNLLNNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVSILYAYMFLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESVAFFGGGAREKAMVDSRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALVSTQGELAHALRFLASVVSQSFLAFGDILELHRKFLELSGSINRIFELEELLDTAQSGDLSTDNLSRSQRTALSAEDVISFAEVDIITPAQKLLARQLRCDVVPGKSLLVTGPNGSGKSSVFRVLRGLWPIVSGRLYKPSHHFDEETASGGIFYVPQRPYTCLGTLRDQIIYPLSCEEAELREFKLYGQGKKPVDSASVLDARLKTILENVRLNYLLEREEGGWDANLNWEDILSLGEQQRLGMARLFFHTPKFGILDECTNATSVDVEEQLYRLAKDLGITVITSSQRPALIPFHALELRLVDGEGKWELRSIKQ >KJB48973 pep chromosome:Graimondii2_0_v6:8:26270019:26291367:1 gene:B456_008G096100 transcript:KJB48973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTEHGQNLLASKRKALLLASGIVIAGGTAAYVHSRFSNKKADSYSHYNGIRENKENPVKVLEKNNNVKRIKQKKGGLKSLQVLAAILLSEMGKIGTRDLLALVGIVVLRAALSNRLAKVQGFLFRAAFLRRVPSFFWLISENILLCFLLSTIHSTSKYITGTLSLRFRKILTKLIHAHYFENMAYYKISHVDGRIRNPEQRIASDLPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYILWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGESREESHIQQKFKTLVKHMRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGHLRPDASTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELMLISRELSADDKKSSLQRPGSRNYLTEANYVEFSGVKVVTPTENVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHSGMVDLLKNVDLDYLLDRYPPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWKVHYKREDSSVQSEGGIVLTALSETDRQNDAIAVQRAFTAAKKDSAFSSPKTQSYVSEVIATSPSVNHGVKLPIVPQLHKVPRALPLRVAAMFKVLVPTLFDKQGAQLLAVAFLVVSRTWISDRIASLNGTTVKHVLEQNKAAFIRLIGISVLQSGASSFIAPSLRHLTARLALGWRIRLTQNLLNNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVSILYAYMFLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESVAFFGGGAREKAMVDSRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALVSTQGELAHALRFLASVVSQSFLAFGDILELHRKFLELSGSINRIFELEELLDTAQSGDLSTDNLSRSQRTALSAEDVISFAEVDIITPAQKLLARQLRCDVVPGKSLLVTGPNGSGKSSVFRVLRGLWPIVSGRLYKPSHHFDEETASGGIFYVPQRPYTCLGTLRDQIIYPLSCEEAELREFKLYGQGKKPVDSASVLDARLKTILENVRLNYLLEREEGGWDANLNWEDILSLGEQQRLGMARLFFHTPKFGILDECTNATSVDVEEQLYRLAKDLGITVITSSQRPALIPFHALELRLVDGEGKWELRSIKQ >KJB48975 pep chromosome:Graimondii2_0_v6:8:26270132:26291385:1 gene:B456_008G096100 transcript:KJB48975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTEHGQNLLASKRKALLLASGIVIAGGTAAYVHSRFSNKKADSYSHYNGIRENKENPVKVLEKNNNVKRIKQKKGGLKSLQVLAAILLSEMGKIGTRDLLALVGIVVLRAALSNRLAKVQGFLFRAAFLRRVPSFFWLISENILLCFLLSTIHSTSKYITGTLSLRFRKILTKLIHAHYFENMAYYKISHVDGRIRNPEQRIASDLPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYILWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGESREESHIQQKFKTLVKHMRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGHLRPDASTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELMLISRELSADDKKSSLQRPGSRNYLTEANYVEFSGVKVVTPTENVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHSGMVDLLKNVDLDYLLDRYPPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWKVHYKREDSSVQSEGGIVLTALSETDRQNDAIAVQRAFTAAKKDSAFSSPKTQSYVSEVIATSPSVNHGVKLPIVPQLHKVPRALPLRVAAMFKVLVPTLFDKQGAQLLAVAFLVVSRTWISDRIASLNGTTVKHVLEQNKAAFIRLIGISVLQSGASSFIAPSLRHLTARLALGWRIRLTQNLLNNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVSILYAYMFLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESVAFFGGGAREKAMVDSRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALVSTQGELAHALRFLASVVSQSFLAFGDILELHRKFLELSGSINRIFELEELLDTAQSGDLSTDNLSRSQRTALSAEDVISFAEVDIITPAQKLLARQLRCDVVPGKSLLVTGPNGSGKSSVFRVLRGLWPIVSGRLYKPSHHFDEETASGGIFYVPQRPYTCLGTLRDQIIYPLSCEEAELREFKLYGQGKKPVDSASVLDARLKTILENVRLNYLLEREEGGWDANLNWEDILSLGEQQRLGMCHECRC >KJB53274 pep chromosome:Graimondii2_0_v6:8:37726426:37728788:-1 gene:B456_008G131700 transcript:KJB53274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPSSIESQQQEVSGENDNETYSFASQLVMGTFLPMALQTACELGILEIIAKAGPGAKLSATDIAAQLPSKNQGAPIMVDRIARLLASHNVLCCSVVGLERHYSLSPVSYYFIPNEDAVSLAPVLALNQDIVSLVIWCQLKYAVLEGGIAFNRVHGAHAFEYPGLDDRFNQVFNTAMLNLSTMFTKKLLHSYDGFHGLKQLVDVEVFEIVEELLQSHPEQWQSHCCRFSSSCYGRVYSFGKGHFSTRYANDDSKPRWERTNERRVCRVGDRSRVQQR >KJB53275 pep chromosome:Graimondii2_0_v6:8:37726426:37728880:-1 gene:B456_008G131700 transcript:KJB53275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPSSIESQQQEVSGENDNETYSFASQLVMGTFLPMALQTACELGILEIIAKAGPGAKLSATDIAAQLPSKNQGAPIMVDRIARLLASHNVLCCSVVGLERHYSLSPVSYYFIPNEDAVSLAPVLALNQDIVSLVIWCQLKYAVLEGGIAFNRVHGAHAFEYPGLDDRFNQVFNTAMLNLSTMFTKKLLHSYDGFHGLKQLVDVGGNLGITLHYITSKYPNINGINFDLPHVIQHASSYPGIEHVAGDMFENVPQGDAIFLKLVLHDWSDEKCLRLLKNCYKAIPNNGRVIVVDSVVPVMAESTPSAKATFLLDMLMMTQNPGGKERTKEEFVALATEAGFNSVKFECFVCDCWVMEFHK >KJB48818 pep chromosome:Graimondii2_0_v6:8:27275511:27277745:-1 gene:B456_008G097900 transcript:KJB48818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIWRWLCLKHRFPVCFAAVSFFLLLVSSSKSPLGIHPLDAKYFAAEVIKCKDGSKSFTRDRLNDNFCDCLDGTDEPGTSACPAGKFYCRNVGSIPQFIFSSRVNDHFCDCCDGSDEYDGSILCPNTCIMGGNVEYKTEEYVSTTTHLHSTKLKEMKIGIKLEDLIQTLAGIERNL >KJB48815 pep chromosome:Graimondii2_0_v6:8:27266195:27277745:-1 gene:B456_008G097900 transcript:KJB48815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIWRWLCLKHRFPVCFAAVSFFLLLVSSSKSPLDAKYFAAEVIKCKDGSKSFTRDRLNDNFCDCLDGTDEPGTSACPAGKFYCRNVGSIPQFIFSSRVNDHFCDCCDGSDEYDGSILCPNTCIMGGNVEYKTEEYVSTTTHLHSTKLKEMKIGIKLEDLIQTLAGLKMITVAEVALGCFLVVKWVFHKRVKSKKRHHR >KJB48816 pep chromosome:Graimondii2_0_v6:8:27266195:27277745:-1 gene:B456_008G097900 transcript:KJB48816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIWRWLCLKHRFPVCFAAVSFFLLLVSSSKSPLGIHPLDAKYFAAEVIKCKDGSKSFTRDRLNDNFCDCLDGTDEPGTSACPAGKFYCRNVGSIPQFIFSSRVNDHFCDCCDGSDEYDGSILCPNTCIMGGNVEYKTEEYVSTTTHLHSTKLKEMKIGIKLEDLIQTLAGIEHDNGSRGGSWLFSGG >KJB48814 pep chromosome:Graimondii2_0_v6:8:27266195:27277780:-1 gene:B456_008G097900 transcript:KJB48814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIWRWLCLKHRFPVCFAAVSFFLLLVSSSKSPLGIHPLDAKYFAAEVIKCKDGSKSFTRDRLNDNFCDCLDGTDEPGTSACPAGKFYCRNVGSIPQFIFSSRVNDHFCDCCDGSDEYDGSILCPNTCIMGGNVEYKTEEYVSTTTHLHSTKLKEMKIGIKLEDLIQTLAGLKMITVAEVALGCFLVVKWVFHKRVKSKKRHHR >KJB48817 pep chromosome:Graimondii2_0_v6:8:27265406:27277773:-1 gene:B456_008G097900 transcript:KJB48817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIWRWLCLKHRFPVCFAAVSFFLLLVSSSKSPLGIHPLDAKYFAAEVIKCKDGSKSFTRDRLNDNFCDCLDGTDEPGTSACPAGKFYCRNVGSIPQFIFSSRVNDHFCDCCDGSDEYDGSILCPNTCIMGGNVEYKTEEYVSTTTHLHSTKLKEMKIGIKLEDLIQTLAGLKMITVAEVALGCFLVVKWVFHKRVKSKKRHHR >KJB51517 pep chromosome:Graimondii2_0_v6:8:50810467:50814917:1 gene:B456_008G221600 transcript:KJB51517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGLGRNQRTFRPKKSAPSGSKGAQLKKHIDATLGSGNLREAVRLPPGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIETQLDDESIFPQKLGAPFPPNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCVSLFLLLLDIHTYIYYSLPFHYLSILYKFK >KJB51520 pep chromosome:Graimondii2_0_v6:8:50813140:50815107:1 gene:B456_008G221600 transcript:KJB51520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILPKYNCAVTYSHNCVGSFSAVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIETQLDDESIFPQKLGAPFPPNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDKKELAPLQELIESIIVPY >KJB51516 pep chromosome:Graimondii2_0_v6:8:50810207:50815376:1 gene:B456_008G221600 transcript:KJB51516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGLGRNQRTFRPKKSAPSGSKGAQLKKHIDATLGSGNLREAVRLPPGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIETQLDDESIFPQKLGAPFPPNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDKKELAPLQELIESIIVPY >KJB51518 pep chromosome:Graimondii2_0_v6:8:50810467:50815365:1 gene:B456_008G221600 transcript:KJB51518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGLGRNQRTFRPKKSAPSGSKGAQLKKHIDATLGSGNLREAVRLPPGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKWADGVQIKKPIEVSAPKYVEYLMDWIETQLDDESIFPQKLGAPFPPNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDKKELAPLQELIESIIVPY >KJB51519 pep chromosome:Graimondii2_0_v6:8:50812410:50815365:1 gene:B456_008G221600 transcript:KJB51519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAQLKKHIDATLGSGNLREAVRLPPGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIETQLDDESIFPQKLGAPFPPNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDKKELAPLQELIESIIVPY >KJB48498 pep chromosome:Graimondii2_0_v6:8:12519094:12520623:-1 gene:B456_008G072200 transcript:KJB48498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCYIPSLAHWTSQLFVGFSFRLVCRGWNTSLISWDINLSWISSRFLKKMKQGDGMIMLATSHLRLKTSTSKEGEGPSGNLLQLTAAAAIGLEEAALSREKEHQCGSSLSG >KJB52032 pep chromosome:Graimondii2_0_v6:8:52845411:52846971:-1 gene:B456_008G243000 transcript:KJB52032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSEDGGTSDLSTSTGGPNNATPTAANSIKNDENCENSALMVMPLKEEPIEPDPDRKTHPPRPTRVVPVSGQMSMQIPIPTPVTTAKRSYNKDRHTKVEGRGRRIRIPATCAARIFQLTRELGHKSDGETVRWLLEHAEHAIIEATGTGTVPAIAVSVGGTLKIPTTSATNTSNNNSQIDDKTKKRKRPASSEFCDVNDGIPIAVAQQQQQQQRLVTQYSGLAPVAQQALVPVWAVGNTGMMVPANAFWMIPQSTPTASGDWLTNQRPPPQLWAFSPALTSVCNIAARPTSSFVATTTETQAVVCDGVSTLAVSTSSTPVGATEAKKSTMAPSVSSGGNGGGGTGGTAQMLRDFSLEIYDKQELQLMGRSWNHHQQQIKAASKQKNP >KJB46904 pep chromosome:Graimondii2_0_v6:8:204353:207872:-1 gene:B456_008G000900 transcript:KJB46904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTHTNSHTEAAKMEQVITEFFYKSLLIILESRSSYVSSRNYSGEQTVSSPSSSSSSSSSVRPRDKWFNLALRECPSALENLDLCRQSNFEPVVVDVVLEQKPLDWGPASFSPTSDLVRNLSSKNKNSYFWNCDQDESGSEMKCEKIIERWFVQHESRKGRDCNSGNRRSSCNNLSALYKKLILMLRSLYVTVRLLPAYNIFRDLNSSGLIRSFKLVPRVSSFVEPLARKEEVDMQRFWFTPVDTSCGRLCLSVLYYSSISDMSSESSTPMSPQFIPDYVGSPLADPLRRFPSLPVSHGSPSSLPFSRRHSWSYDHYKASPPLVSFSPSPTHSESNALVSNPTSRGLPPMSLPPHPPETSLAHKRNTNFDEYCPSPNFSASPSPSPSPSLPIYIPRTHLSKDLLRSESAPVNIAAPKLANSPALSGKQNLPPSPPLKITRAGTSRADNIRVPAETDATVDKIFSFGKEDCWKYSGVKAFSNSSPRISCSRSSSRSLQDDFDYSEFPCPFDVEDGEMMDPGSRVVYE >KJB46907 pep chromosome:Graimondii2_0_v6:8:204007:207872:-1 gene:B456_008G000900 transcript:KJB46907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTHTNSHTEAAKMEQVITEFFYKSLLIILESRSSYVSSRNYSGEQTVSSPSSSSSSSSSVRPRDKWFNLALRECPSALENLDLCRQSNFEPVVVDVVLEQKPLDWGPASFSPTSDLVRNLSSKNKNSYFWNCDQDESGSEMKCEKIIERWFVQHESRKGRDCNSGNRRSSCNNLSALYKKLILMLRSLYVTVRLLPAYNIFRDLNSSGLIRSFKLVPRVSSFVEPLARKEEVDMQRFWFTPVDTSCGRLCLSVLYYSSISDMSSESSTPMSPQFIPDYVGSPLADPLRRFPSLPVSHGSPSSLPFSRRHSWSYDHYKASPPLVSFSPSPTHSESNALVSNPTSRGLPPMSLPPHPPETSLAHKRNTNFDEYCPSPNFSASPSPSPSPSLPIYIPRTHLSKDLLRSESAPVNIAAPKLANSPALSGKQNLPPSPPLKITRAGTSRADNIRVPAETDATVDKIFSFGKEDCWKYSGVKAFSNSSPRISCSRSSSRSLQDDFDYSEFPCPFDVEDGEMMDPGSRPESYVRRGNPSDPNEAGLFMSRKSQDAAVGALVRMLKKAPPLRQNVSLNFSEASRPEILSNSIPKQSQISEAVTVEHAAAPNIASFRLVASKTTTDALEELRGYKVMKNLLLSEGGKAYTSNVASAAEHSSTSKGT >KJB46909 pep chromosome:Graimondii2_0_v6:8:206031:207963:-1 gene:B456_008G000900 transcript:KJB46909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTHTNSHTEAAKMEQVITEFFYKSLLIILESRSSYVSSRNYSGEQTVSSPSSSSSSSSSVRPRDKWFNLALRECPSALENLDLCRQSNFEPVVVDVVLEQKPLDWGPASFSPTSDLVRNLSSKNKNSYFWNCDQDESGSEMKCEKIIERWFVQHESRKGRDCNSGNRRSSCNNLSALYKKLILMLRSLYVTVRLLPAYNIFRDLNSSGLIRSFKLVPRVSSFVEPLARKEEVDMQRFWFTPVDTSCGRLCLSVLYYSSISDMSSESSTPMSPQFIPDYVGSPLADPLRRFPSLPVSHGSPSSLPFSRRHSWSYDHYKASPPLVSFSPSPTHSESNALVSNPTSRGLPPMSLPPHPPETSLAHKRNTNFDEYCPSPNFSASPSPSPSPSLPIYIPRTHLSKDLLRSESAPVNIAAPKLANSPALSGKQNLPPSPPLKITRAGTSRADNIRVPAETDATVDKIFSFGKEDCWKYSGVKAFSNSSPRISCSRSSSRSLQDDFDYSEFPCPFDVEDGEMMDPGSR >KJB46906 pep chromosome:Graimondii2_0_v6:8:203584:208556:-1 gene:B456_008G000900 transcript:KJB46906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTHTNSHTEAAKMEQVITEFFYKSLLIILESRSSYVSSRNYSGEQTVSSPSSSSSSSSSVRPRDKWFNLALRECPSALENLDLCRQSNFEPVVVDVVLEQKPLDWGPASFSPTSDLVRNLSSKNKNSYFWNCDQDESGSEMKCEKIIERWFVQHESRKGRDCNSGNRRSSCNNLSALYKKLILMLRSLYVTVRLLPAYNIFRDLNSSGLIRSFKLVPRVSSFVEPLARKEEVDMQRFWFTPVDTSCGRLCLSVLYYSSISDMSSESSTPMSPQFIPDYVGSPLADPLRRFPSLPVSHGSPSSLPFSRRHSWSYDHYKASPPLVSFSPSPTHSESNALVSNPTSRGLPPMSLPPHPPETSLAHKRNTNFDEYCPSPNFSASPSPSPSPSLPIYIPRTHLSKDLLRSESAPVNIAAPKLANSPALSGKQNLPPSPPLKITRAGTSRADNIRVPAETDATVDKIFSFGKEDCWKYSGVKAFSNSSPRISCSRSSSRSLQDDFDYSEFPCPFDVEDGEMMDPGSRPESYVRRGNPSDPNEAGLFMSRKSQDAAVGALVRMLKKAPPLRQNVSLNFSEASRPEILSNSIPKQSQISEAVTVEHAAAPNIASFRLVASKTTTDALEELRGYKVMKNLLLSEGGKAYTSNVASAAEHSSTSKDRSIL >KJB46908 pep chromosome:Graimondii2_0_v6:8:203828:207963:-1 gene:B456_008G000900 transcript:KJB46908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTHTNSHTEAAKMEQVITEFFYKSLLIILESRSSYVSSRNYSGEQTVSSPSSSSSSSSSVRPRDKWFNLALRECPSALENLDLCRQSNFEPVVVDVVLEQKPLDWGPASFSPTSDLVRNLSSKNKNSYFWNCDQDESGSEMKCEKIIERWFVQHESRKGRDCNSGNRRSSCNNLSALYKKLILMLRSLYVTVRLLPAYNIFRDLNSSGLIRSFKLVPRVSSFVEPLARKEEVDMQRFWFTPVDTSCGRLCLSVLYYSSISDMSSESSTPMSPQFIPDYVGSPLADPLRRFPSLPVSHGSPSSLPFSRRHSWSYDHYKASPPLVSFSPSPTHSESNALVSNPTSRGLPPMSLPPHPPETSLAHKRNTNFDEYCPSPNFSASPSPSPSPSLPIYIPRTHLSKDLLRSESAPVNIAAPKLANSPALSGKQNLPPSPPLKITRAGTSRADNIRVPAETDATVDKIFSFGKEDCWKYSGVKAFSNSSPRISCSRSSSRSLQDDFDYSEFPCPFDVEDGEMMDPGSRKSQDAAVGALVRMLKKAPPLRQNVSLNFSEASRPEILSNSIPKQSQISEAVTVEHAAAPNIASFRLVASKTTTDALEELRGYKVMKNLLLSEGGKAYTSNVASAAEHSSTSKGT >KJB46905 pep chromosome:Graimondii2_0_v6:8:203584:208556:-1 gene:B456_008G000900 transcript:KJB46905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTHTNSHTEAAKMEQVITEFFYKSLLIILESRSSYVSSRNYSGEQTVSSPSSSSSSSSSVRPRDKWFNLALRECPSALENLDLCRQSNFEPVVVDVVLEQKPLDWGPASFSPTSDLVRNLSSKNKNSYFWNCDQDESGSEMKCEKIIERWFVQHESRKGRDCNSGNRRSSCNNLSALYKKLILMLRSLYVTVRLLPAYNIFRDLNSSGLIRSFKLVPRVSSFVEPLARKEEVDMQRFWFTPVDTSCGRLCLSVLYYSSISDMSSESSTPMSPQFIPDYVGSPLADPLRRFPSLPVSHGSPSSLPFSRRHSWSYDHYKASPPLVSFSPSPTHSESNALVSNPTSRGLPPMSLPPHPPETSLAHKRNTNFDEYCPSPNFSASPSPSPSPSLPIYIPRTHLSKDLLRSESAPVNIAAPKLANSPALSGKQNLPPSPPLKITRAGTSRADNIRVPAETDATVDKIFSFGKEDCWKYSGVKAFSNSSPRISCSRSSSRSLQDDFDYSEFPCPFDVEDGEMMDPGSRKSQDAAVGALVRMLKKAPPLRQNVSLNFSEASRPEILSNSIPKQSQISEAVTVEHAAAPNIASFRLVASKTTTDALEELRGYKVMKNLLLSEGGKAYTSNVASAAEHSSTSKDRSIL >KJB47082 pep chromosome:Graimondii2_0_v6:8:1199869:1201948:1 gene:B456_008G010300 transcript:KJB47082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVGLTGGIASGKSTVSSMFKHNHIPVVDADIIARDALKKNSGEYKKVVAAFGPDILQDDGRVDRLKLGRIVFSDASKRQLLNRLLAPYISSGIFLEILKLWLKGHKVIILDIPLLFEAKMDKWTKPIVVVWVDPETQLQRLMERDNSTEEDARNRINAQMSLDLKKSQADIVIDNTGSRQDLQERFSEVLSQVKRPLTWTEFWLSRDGALTALLGVIIGVLAGKKFFW >KJB47081 pep chromosome:Graimondii2_0_v6:8:1199518:1203598:1 gene:B456_008G010300 transcript:KJB47081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGSFGIQRVSVTQQFCLSIFGGEGNRGLVKGKDLKQGAKMRLVGLTGGIASGKSTVSSMFKHNHIPVVDADIIARDALKKNSGEYKKVVAAFGPDILQDDGRVDRLKLGRIVFSDASKRQLLNRLLAPYISSGIFLEILKLWLKGHKVIILDIPLLFEAKMDKWTKPIVVVWVDPETQLQRLMERDNSTEEDARNRINAQMSLDLKKSQADIVIDNTGSRQDLQERFSEVLSQVKRPLTWTEFWLSRDGALTALLGVIIGVLAGKKFFW >KJB47983 pep chromosome:Graimondii2_0_v6:8:6849418:6857973:-1 gene:B456_008G049200 transcript:KJB47983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLGKGLMDQQKNHEQIRYNNMEAGNETLESANQRFFHDPSSNINTNIRPPDYSMTVGARPVLNYSIQTGEEFALEFMRERVNPRQHFVQNAYGDPNSGPLYMDLKGILGISHTGSESGYDISMLNTVEKPCPQEFERKSPSVHEEKSYYDSMRSVSQSSSRNDISQGHQGFVSRNASLSSSTKVKFLCSFGGKILPRPRDRKLRYVGGETRMIRLSRDISFQELVQKMLAIYDQAHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVLEEGGPQKPRIFLFSSSDLDDAQYGLGSVETDSEMQYVVAVNGMDLGSRKNSIAASASENNLDELLGLNIVREAGRTVSEAAATGSASLTAHAPSSTVQSSHAPSSTLQSSQPVLVSSSNTYESSSQPCSEAKMRHGEVSQSSTPQMDGKSNVPLSPPLQYSYGSQPSNYVMPGESLVSMPVQGHVTPQVGLADMGFQVQDPEVSIKEVKLKRDSSAPKIAEPEKVRSLDKAPPTKEPKMKRDASLPKISETEKVRISEKEYSVPSNAHDSSVANHIFSEEASVTMSVPDTVSSSFPAKNFKKTQEAVQNVVLPEVVTEGRKNVEDDHFYTASGPFTSGAGGSEADPNDFSCLEPSVIPQRVFHSERIPREQAEMNRLSKSDDSFGSQFLMSQAHSDPSQIIREAVDKIHDGNLSPQADQSVQSANPRSKNPRTVMDGLAEFENYKGFADKIISNISEEGLESTKEKSELKQVSVKSTVDEAAVGLNHPTAGQRTSVKHLDDPSLKPSDFERIEKDENKNAGNHTKGHNQPLVWAENPTRATSTVPPAASVCSSEHGDILIDINDRFPRDLLSDIFSKARMSQNLYDISPFSGDGAGLSLNMENHEPKHWSYFRNLAQDEFVRKDVSLMDQDHLGFSSPLTNIEVGAPIDYSYPPLKSAGGVALAQIKPDISFDEDIRQESVSVAATNNLDIGSEYKKSPLEGDESVQAGQSLQVPESEFEDGKLDIQNTGVPLVDHCHGEFDISTLQIIKNVDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKICFTGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVNGSLRHVLLSKDRQLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPVRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPPVPSYCDPEWKLLMEQCWAPDPVVRPSFTEIARRLRIMSSACQTKPQGHQMQNQASNVQCS >KJB47986 pep chromosome:Graimondii2_0_v6:8:6850150:6857874:-1 gene:B456_008G049200 transcript:KJB47986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLGKGLMDQQKNHEQIRYNNMEAGNETLESANQRFFHDPSSNINTNIRPPDYSMTVGARPVLNYSIQTGEEFALEFMRERVNPRQHFVQNAYGDPNSGPLYMDLKGILGISHTGSESGYDISMLNTVEKPCPQEFERKSPSVHEEKSYYDSMRSVSQSSSRNDISQGHQGFVSRNASLSSSTKVKFLCSFGGKILPRPRDRKLRYVGGETRMIRLSRDISFQELVQKMLAIYDQAHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVLEEGGPQKPRIFLFSSSDLDDAQYGLGSVETDSEMQYVVAVNGMDLGSRKNSIAASASENNLDELLGLNIVREAGRTVSEAAATGSASLTAHAPSSTVQSSHAPSSTLQSSQPVLVSSSNTYESSSQPCSEAKMRHGEVSQSSTPQMDGKSNVPLSPPLQYSYGSQPSNYVMPGESLVSMPVQGHVTPQVGLADMGFQVQDPEVSIKEVKLKRDSSAPKIAEPEKVRSLDKAPPTKEPKMKRDASLPKISETEKVRISEKEYSVPSNAHDSSVANHIFSEEASVTMSVPDTVSSSFPAKNFKKTQEAVQNVVLPEVVTEGRKNVEDDHFYTASGPFTSGAGGSEADPNDFSCLEPSVIPQRVFHSERIPREQAEMNRLSKSDDSFGSQFLMSQAHSDPSQIIREAVDKIHDGNLSPQADQSVQSANPRSKNPRTVMDGLAEFENYKGFADKIISNISEEGLESTKEKSELKQVSVKSTVDEAAVGLNHPTAGQRTSVKHLDDPSLKPSDFERIEKDENKNAGNHTKGHNQPLVWAENPTRATSTVPPAASVCSSEHGDILIDINDRFPRDLLSDIFSKARMSQNLYDISPFSGDGAGLSLNMENHEPKHWSYFRNLAQDEFVRKDVSLMDQDHLGFSSPLTNIEVGAPIDYSYPPLKSAGGVALAQIKPDISFDEDIRQESVSVAATNNLDIGSEYKKSPLEGDESVQAGQSLQVPESEFENTGVPLVDHCHGEFDISTLQIIKNVDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKICFTGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVNGSLRHVLLSKDRQLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPVRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPPVPSYCDPEWKLLMEQCWAPDPVVRPSFTEIARRLRIMSSACQTKPQGHQMQNQASK >KJB47985 pep chromosome:Graimondii2_0_v6:8:6850150:6857874:-1 gene:B456_008G049200 transcript:KJB47985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLGKGLMDQQKNHEQIRYNNMEAGNETLESANQRFFHDPSSNINTNIRPPDYSMTVGARPVLNYSIQTGEEFALEFMRERVNPRQHFVQNAYGDPNSGPLYMDLKGILGISHTGSESGYDISMLNTVEKPCPQEFERKSPSVHEEKSYYDSMRSVSQSSSRNDISQGHQGFVSRNASLSSSTKVKFLCSFGGKILPRPRDRKLRYVGGETRMIRLSRDISFQELVQKMLAIYDQAHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVLEEGGPQKPRIFLFSSSDLDDAQYGLGSVETDSEMQYVVAVNGMDLGSRKNSIAASASENNLDELLGLNIVREAGRTVSEAAATGSASLTAHAPSSTVQSSHAPSSTLQSSQPVLVSSSNTYESSSQPCSEAKMRHGEVSQSSTPQMDGKSNVPLSPPLQYSYGSQPSNYVMPGESLVSMPVQGHVTPQVGLADMGFQVQDPEVSIKEVKLKRDSSAPKIAEPEKVRSLDKAPPTKEPKMKRDASLPKISETEKVRISEKEYSVPSNAHDSSVANHIFSEEASVTMSVPDTVSSSFPAKNFKKTQEAVQNVVLPEVVTEGRKNVEDDHFYTASGPFTSGAGGSEADPNDFSCLEPSVIPQRVFHSERIPREQAEMNRLSKSDDSFGSQFLMSQAHSDPSQIIREAVDKIHDGNLSPQADQSVQSANPRSKNPRTVMDGLAEFENYKGFADKIISNISEEGLESTKEKSELKQVSVKSTVDEAAVGLNHPTAGQRTSVKHLDDPSLKPSDFERIEKDENKNAGNHTKGHNQPLVWAENPTRATSTVPPAASVCSSEHGDILIDINDRFPRDLLSDIFSKARMSQNLYDISPFSGDGAGLSLNMENHEPKHWSYFRNLAQDEFVRKDVSLMDQDHLGFSSPLTNIEVGAPIDYSYPPLKSAGGVALAQIKPDISFDEDIRQESVSVAATNNLDIGSEYKKSPLEGDESVQAGQSLQVPESEFEDGKLDIQNTGVPLVDHCHGEFDISTLQIIKNVDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKICFTGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVNGSLRHVLLSKDRQLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPVRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGIVSNTLRPPVPSYCDPEWKLLMEQCWAPDPVVRPSFTEIARRLRIMSSACQTKPQGHQMQNQASK >KJB47981 pep chromosome:Graimondii2_0_v6:8:6850628:6856516:-1 gene:B456_008G049200 transcript:KJB47981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLGKGLMDQQKNHEQIRYNNMEAGNETLESANQRFFHDPSSNINTNIRPPDYSMTVGARPVLNYSIQTGEEFALEFMRERVNPRQHFVQNAYGDPNSGPLYMDLKGILGISHTGSESGYDISMLNTVEKPCPQEFERKSPSVHEEKSYYDSMRSVSQSSSRNDISQGHQGFVSRNASLSSSTKVKFLCSFGGKILPRPRDRKLRYVGGETRMIRLSRDISFQELVQKMLAIYDQAHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVLEEGGPQKPRIFLFSSSDLDDAQYGLGSVETDSEMQYVVAVNGMDLGSRKNSIAASASENNLDELLGLNIVREAGRTVSEAAATGSASLTAHAPSSTVQSSHAPSSTLQSSQPVLVSSSNTYESSSQPCSEAKMRHGEVSQSSTPQMDGKSNVPLSPPLQYSYGSQPSNYVMPGESLVSMPVQGHVTPQVGLADMGFQVQDPEVSIKEVKLKRDSSAPKIAEPEKVRSLDKAPPTKEPKMKRDASLPKISETEKVRISEKEYSVPSNAHDSSVANHIFSEEASVTMSVPDTVSSSFPAKNFKKTQEAVQNVVLPEVVTEGRKNVEDDHFYTASGPFTSGAGGSEADPNDFSCLEPSVIPQRVFHSERIPREQAEMNRLSKSDDSFGSQFLMSQAHSDPSQIIREAVDKIHDGNLSPQADQSVQSANPRSKNPRTVMDGLAEFENYKGFADKIISNISEEGLESTKEKSELKQVSVKSTVDEAAVGLNHPTAGQRTSVKHLDDPSLKPSDFERIEKDENKNAGNHTKGHNQPLVWAENPTRATSTVPPAASVCSSEHGDILIDINDRFPRDLLSDIFSKARMSQNLYDISPFSGDGAGLSLNMENHEPKHWSYFRNLAQDEFVRKDVSLMDQDHLGFSSPLTNIEVGAPIDYSYPPLKSAGGVALAQIKPDISFDEDIRQESVSVAATNNLDIGSEYKKSPLEGDESVQAGQSLQVPESEFEDGKLDIQNTGVPLVDHCHGEFDISTLQIIKNVDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKICFTGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVNGSLRHVLLSKDRQLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPVRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPPVPSYCDPEWKLLMEQCWAPDPVVRPSFTEIARRLRIMSSACQTKPQGHQMQNQASK >KJB47982 pep chromosome:Graimondii2_0_v6:8:6850628:6856516:-1 gene:B456_008G049200 transcript:KJB47982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLGKGLMDQQKNHEQIRYNNMEAGNETLESANQRFFHDPSSNINTNIRPPDYSMTVGARPVLNYSIQTGEEFALEFMRERVNPRQHFVQNAYGDPNSGPLYMDLKGILGISHTGSESGYDISMLNTVEKPCPQEFERKSPSVHEEKSYYDSMRSVSQSSSRNDISQGHQGFVSRNASLSSSTKVKFLCSFGGKILPRPRDRKLRYVGGETRMIRLSRDISFQELVQKMLAIYDQAHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVLEEGGPQKPRIFLFSSSDLDDAQYGLGSVETDSEMQYVVAVNGMDLGSRKNSIAASASENNLDELLGLNIVREAGRTVSEAAATGSASLTAHAPSSTVQSSHAPSSTLQSSQPVLVSSSNTYESSSQPCSEAKMRHGEVSQSSTPQMDGKSNVPLSPPLQYSYGSQPSNYVMPGESLVSMPVQGHVTPQVGLADMGFQVQDPEVSIKEVKLKRDSSAPKIAEPEKVRSLDKAPPTKEPKMKRDASLPKISETEKVRISEKEYSVPSNAHDSSVANHIFSEEASVTMSVPDTVSSSFPAKNFKKTQEAVQNVVLPEVVTEGRKNVEDDHFYTASGPFTSGAGGSEADPNDFSCLEPSVIPQRVFHSERIPREQAEMNRLSKSDDSFGSQFLMSQAHSDPSQIIREAVDKIHDGNLSPQADQSVQSANPRSKNPRTVMDGLAEFENYKGFADKIISNISEEGLESTKEKSELKQVSVKSTVDEAAVGLNHPTAGQRTSVKHLDDPSLKPSDFERIEKDENKNAGNHTKGHNQPLVWAENPTRATSTVPPAASVCSSEHGDILIDINDRFPRDLLSDIFSKARMSQNLYDISPFSGDGAGLSLNMENHEPKHWSYFRNLAQDEFVRKDVSLMDQDHLGFSSPLTNIEVGAPIDYSYPPLKSAGGVALAQIKPDISFDEDIRQESVSVAATNNLDIGSEYKKSPLEGDESVQAGQSLQVPESEFEDGKLDIQNTGVPLVDHCHGEFDISTLQIIKNVDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKICFTGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVNGSLRHVLLSKDRQLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPVRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPPVPSYCDPEWKLLMEQCWAPDPVVRPSFTEIARRLRIMSSACQTKPQGHQMQNQASK >KJB47984 pep chromosome:Graimondii2_0_v6:8:6850628:6856516:-1 gene:B456_008G049200 transcript:KJB47984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLGKGLMDQQKNHEQIRYNNMEAGNETLESANQRFFHDPSSNINTNIRPPDYSMTVGARPVLNYSIQTGEEFALEFMRERVNPRQHFVQNAYGDPNSGPLYMDLKGILGISHTGSESGYDISMLNTVEKPCPQEFERKSPSVHEEKSYYDSMRSVSQSSSRNDISQGHQGFVSRNASLSSSTKVKFLCSFGGKILPRPRDRKLRYVGGETRMIRLSRDISFQELVQKMLAIYDQAHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVLEEGGPQKPRIFLFSSSDLDDAQYGLGSVETDSEMQYVVAVNGMDLGSRKNSIAASASENNLDELLGLNIVREAGRTVSEAAATGSASLTAHAPSSTVQSSHAPSSTLQSSQPVLVSSSNTYESSSQPCSEAKMRHGEVSQSSTPQMDGKSNVPLSPPLQYSYGSQPSNYVMPGESLVSMPVQGHVTPQVGLADMGFQVQDPEVSIKEVKLKRDSSAPKIAEPEKVRSLDKAPPTKEPKMKRDASLPKISETEKVRISEKEYSVPSNAHDSSVANHIFSEEASVTMSVPDTVSSSFPAKNFKKTQEAVQNVVLPEVVTEGRKNVEDDHFYTASGPFTSGAGGSEADPNDFSCLEPSVIPQRVFHSERIPREQAEMNRLSKSDDSFGSQFLMSQAHSDPSQIIREAVDKIHDGNLSPQADQSVQSANPRSKNPRTVMDGLAEFENYKGFADKIISNISEEGLESTKEKSELKQVSVKSTVDEAAVGLNHPTAGQRTSVKHLDDPSLKPSDFERIEKDENKNAGNHTKGHNQPLVWAENPTRATSTVPPAASVCSSEHGDILIDINDRFPRDLLSDIFSKARMSQNLYDISPFSGDGAGLSLNMENHEPKHWSYFRNLAQDEFVRKDVSLMDQDHLGFSSPLTNIEVGAPIDYSYPPLKSAGGVALAQIKPDISFDEDIRQESVSVAATNNLDIGSEYKKSPLEGDESVQAGQSLQVPESEFEDGKLDIQNTGVPLVDHCHGEFDISTLQIIKNVDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKICFTGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVNGSLRHVLLSKDRQLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPVRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPPVPSYCDPEWKLLMEQCWAPDPVVRPSFTEIARRLRIMSSACQTKPQGHQMQNQASK >KJB47987 pep chromosome:Graimondii2_0_v6:8:6849456:6857874:-1 gene:B456_008G049200 transcript:KJB47987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLGKGLMDQQKNHEQIRYNNMEAGNETLESANQRFFHDPSSNINTNIRPPDYSMTVGARPVLNYSIQTGEEFALEFMRERVNPRQHFVQNAYGDPNSGPLYMDLKGILGISHTGSESGYDISMLNTVEKPCPQEFERKSPSVHEEKSYYDSMRSVSQSSSRNDISQGHQGFVSRNASLSSSTKVKFLCSFGGKILPRPRDRKLRYVGGETRMIRLSRDISFQELVQKMLAIYDQAHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVLEEGGPQKPRIFLFSSSDLDDAQYGLGSVETDSEMQYVVAVNGMDLGSRKNSIAASASENNLDELLGLNIVREAGRTVSEAAATGSASLTAHAPSSTVQSSHAPSSTLQSSQPVLVSSSNTYESSSQPCSEAKMRHGEVSQSSTPQMDGKSNVPLSPPLQYSYGSQPSNYVMPGESLVSMPVQGHVTPQVGLADMGFQVQDPEVSIKEVKLKRDSSAPKIAEPEKVRSLDKAPPTKEPKMKRDASLPKISETEKVRISEKEYSVPSNAHDSSVANHIFSEEASVTMSVPDTVSSSFPAKNFKKTQEAVQNVVLPEVVTEGRKNVEDDHFYTASGPFTSGAGGSEADPNDFSCLEPSVIPQRVFHSERIPREQAEMNRLSKSDDSFGSQFLMSQAHSDPSQIIREAVDKIHDGNLSPQADQSVQSANPRSKNPRTVMDGLAEFENYKGFADKIISNISEEGLESTKEKSELKQVSVKSTVDEAAVGLNHPTAGQRTSVKHLDDPSLKPSDFERIEKDENKNAGNHTKGHNQPLVWAENPTRATSTVPPAASVCSSEHGDILIDINDRFPRDLLSDIFSKARMSQNLYDISPFSGDGAGLSLNMENHEPKHWSYFRNLAQDEFVRKDVSLMDQDHLGFSSPLTNIEVGAPIDYSYPPLKSAGGVALAQIKPDISFDEDIRQESVSVAATNNLDIGSEYKKSPLEGDESVQAGQSLQVPESEFEDGKLDIQNTGVPLVDHCHGEFDISTLQIIKNVDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKICFTGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVNGSLRHVLLSKDRQLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPVRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPPVPSYCDPEWKLLMEQCWAPDPVVRPSFTEIARRLRIMSSACQTKPQGHQMQNQASNVQCS >KJB47988 pep chromosome:Graimondii2_0_v6:8:6850150:6857874:-1 gene:B456_008G049200 transcript:KJB47988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLGKGLMDQQKNHEQIRYNNMEAGNETLESANQRFFHDPSSNINTNIRPPDYSMTVGARPVLNYSIQTGEEFALEFMRERVNPRQHFVQNAYGDPNSGPLYMDLKGILGISHTGSESGYDISMLNTVEKPCPQEFERKSPSVHEEKSYYDSMRSVSQSSSRNDISQGHQGFVSRNASLSSSTKVKFLCSFGGKILPRPRDRKLRYVGGETRMIRLSRDISFQELVQKMLAIYDQAHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVLEEGGPQKPRIFLFSSSDLDDAQYGLGSVETDSEMQYVVAVNGMDLGSRKNSIAASASENNLDELLGLNIVREAGRTVSEAAATGSASLTAHAPSSTVQSSHAPSSTLQSSQPVLVSSSNTYESSSQPCSEAKMRHGEVSQSSTPQMDGKSNVPLSPPLQYSYGSQPSNYVMPGESLVSMPVQGHVTPQVGLADMGFQVQDPEVSIKEVKLKRDSSAPKIAEPEKVRSLDKAPPTKEPKMKRDASLPKISETEKVRISEKEYSVPSNAHDSSVANHIFSEEASVTMSVPDTVSSSFPAKNFKKTQEAVQNVVLPEVVTEGRKNVEDDHFYTASGPFTSGAGGSEADPNDFSCLEPSVIPQRVFHSERIPREQAEMNRLSKSDDSFGSQFLMSQAHSDPSQIIREAVDKIHDGNLSPQADQSVQSANPRSKNPRTVMDGLAEFENYKGFADKIISNISEEGLESTKEKSELKQVSVKSTVDEAAVGLNHPTAGQRTSVKHLDDPSLKPSDFERIEKDENKNAGNHTKGHNQPLVWAENPTRATSTVPPAASVCSSEHGDILIDINDRFPRDLLSDIFSKARMSQNLYDISPFSGDGAGLSLNMENHEPKHWSYFRNLAQDEFVRKDVSLMDQDHLGFSSPLTNIEVGAPIDYSYPPLKSAGGVALAQIKPDISFDEDIRQESVSVAATNNLDIGSEYKKSPLEGDESVQAGQSLQVPESEFEDGKLDIQNTGVPLVDHCHGEFDISTLQIIKNVDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKICFTGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVNGSLRHVLLSKDRQLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPVRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGASLATRSGHPYQATVTQNGSY >KJB49446 pep chromosome:Graimondii2_0_v6:8:35649162:35653476:1 gene:B456_008G120100 transcript:KJB49446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIESRSGSADHGIKGVATHGGGYIKYNVYGSLFEVSRKYVPPIRPVGRGANGIVCAAVNSETREEVAIKKIGNAFDNRIDAKRALREIKLLRHMDHENVIAIKDIIRPPLKEDFNDVYIVYELMDTDLHQIIRSNQSLTDDHCRYFLYQVLRGLKYVHSANVLHRDLKPKYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTTQPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSENARRYVRQLPQYPKQNFSARFPNSSSGAVDLLEKMLIFDPHRRITVEEALCHPYLAPFYDINEEPICPRPFNFDFEQPLFTEEIIKELIYRESVKFNTEPIH >KJB49445 pep chromosome:Graimondii2_0_v6:8:35649162:35653476:1 gene:B456_008G120100 transcript:KJB49445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHENVIAIKDIIRPPLKEDFNDVYIVYELMDTDLHQIIRSNQSLTDDHCRYFLYQVLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARATSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTTQPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSENARRYVRQLPQYPKQNFSARFPNSSSGAVDLLEKMLIFDPHRRITVEEALCHPYLAPFYDINEEPICPRPFNFDFEQPLFTEEIIKELIYRESVKFNTEPIH >KJB49444 pep chromosome:Graimondii2_0_v6:8:35648851:35653601:1 gene:B456_008G120100 transcript:KJB49444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIESRSGSADHGIKGVATHGGGYIKYNVYGSLFEVSRKYVPPIRPVGRGANGIVCAAVNSETREEVAIKKIGNAFDNRIDAKRALREIKLLRHMDHENVIAIKDIIRPPLKEDFNDVYIVYELMDTDLHQIIRSNQSLTDDHCRYFLYQVLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARATSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTTQPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSENARRYVRQLPQYPKQNFSARFPNSSSGAVDLLEKMLIFDPHRRITVEEALCHPYLAPFYDINEEPICPRPFNFDFEQPLFTEEIIKELIYRESVKFNTEPIH >KJB52008 pep chromosome:Graimondii2_0_v6:8:52781964:52783398:-1 gene:B456_008G241900 transcript:KJB52008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQISDSLWEIIRSEGSMRTEITETVQSVYDKLANPQGKEKGESSVREMVHVEKESESNGTIKATARRVDETLSDGDPQEPPGFSMSNNRHSNHCQQSHKAKQQLPRPYDGALKNCKEEPSHSQEGQEQDDVDVCVPPGFSVDIDQKHPCDVSDDDPDVPPGFG >KJB52012 pep chromosome:Graimondii2_0_v6:8:52782734:52783698:-1 gene:B456_008G241900 transcript:KJB52012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRQLSDAIHDEVGNKVMGQISDSLWEIIRSEGSMRTEITETVQSVYDKLANPQGKEKGESSVREMVHVEKESESNGTIKATARRVDETLSDGDPQEPPGFSMSNNRHSNHCQQSHKAKQQLPRPYDGALKNCKEEPSHSQEGQEQDDVDVCVPPGFSVDIDQKHPCDVSDDDPDVPPGFG >KJB52009 pep chromosome:Graimondii2_0_v6:8:52781964:52784660:-1 gene:B456_008G241900 transcript:KJB52009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSTSSVSKSSASSRTTNKVMGQISDSLWEIIRSEGSMRTEITETVQSVYDKLANPQGKEKGESSVREMVHVEKESESNGTIKATARRVDETLSDGDPQEPPGFSMSNNRHSNHCQQSHKAKQQLPRPYDGALKNCKEEPSHSQEGQEQDDVDVCVPPGFSVDIDQKHPCDVSDDDPDVPPGFG >KJB52011 pep chromosome:Graimondii2_0_v6:8:52781964:52784660:-1 gene:B456_008G241900 transcript:KJB52011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFMMRNKVMGQISDSLWEIIRSEGSMRTEITETVQSVYDKLANPQGKEKGESSVREMVHVEKESESNGTIKATARRVDETLSDGDPQEPPGFSMSNNRHSNHCQQSHKAKQQLPRPYDGALKNCKEEPSHSQEGQEQDDVDVCVPPGFSVDIDQKHPCDVSDDDPDVPPGFG >KJB52010 pep chromosome:Graimondii2_0_v6:8:52782734:52784448:-1 gene:B456_008G241900 transcript:KJB52010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEENKKIRKEEVIAKLKDDGDFDKLRLKIIRKLKDNEELRNNIISAVKQSAALNRPGAENMKVRQLSDAIHDEVGNKVMGQISDSLWEIIRSEGSMRTEITETVQSVYDKLANPQGKEKGESSVREMVHVEKESESNGTIKATARRVDETLSDGDPQEPPGFSMSNNRHSNHCQQSHKAKQQLPRPYDGALKNCKEEPSHSQEGQEQDDVDVCVPPGFSVDIDQKHPCDVSDDDPDVPPGFG >KJB53118 pep chromosome:Graimondii2_0_v6:8:56769856:56777036:1 gene:B456_008G294100 transcript:KJB53118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSESFNFLCIVATEGVVFVIFMGRKKRSDNPSGGESSQSHDSGAGSGQGPQRPPQQQGGSGGYSGGRGWAPQSQQGGRGGYGTGGRGRGMPQQQYGGGPPEYQGRGRGGSSQQGGRGGYGGGRGSGGHGGGPFAGGPSRPPVPELHQATQPMQVEVIRQPALSEAGSSSRPPPEPVPLTEHFQQLSIQQEAGQAIQPVPPSSKSVRFPLRPGKGSTGTRCIVKANHFFAELPDKDLHQYDVTITPEVASRGVNRAVMEQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFVSKEFKITLTDEDDGSGQPRRDRDFKVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDDRGTMKSVVEYFHETYGFIIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREYDIMKTVQHNAYHEDPYAKEFGIKISEKLASVEARILPPPWLKYHDTGKEKDCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVARRFCYELAQMCYISGMAFTPEPVLPPISARPEYVEKVLKTRYHDAMIKLQPQNKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWQDPARGTVSGGMIKELLISFRRATGQKPQRIIFYRYDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRRSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGTAAGRGGGAAAAGGRSTRGPGASAAVRPLPALKENVKRVMFYC >KJB53116 pep chromosome:Graimondii2_0_v6:8:56770460:56776749:1 gene:B456_008G294100 transcript:KJB53116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKRSDNPSGGESSQSHDSGAGSGQGPQRPPQQQGGSGGYSGGRGWAPQSQQGGRGGYGTGGRGRGMPQQQYGGGPPEYQGRGRGGSSQQGGRGGYGGGRGSGGHGGGPFAGGPSRPPVPELHQATQPMQVEVIRQPALSEAGSSSRPPPEPVPLTEHFQQLSIQQEAGQAIQPVPPSSKSVRFPLRPGKGSTGTRCIVKANHFFAELPDKDLHQYDVTITPEVASRGVNRAVMEQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFVSKEFKITLTDEDDGSGQPRRDRDFKVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDDRGTMKSVVEYFHETYGFIIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREYDIMKTVQHNAYHEDPYAKEFGIKISEKLASVEARILPPPWLKYHDTGKEKDCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVARRFCYELAQMCYISGMAFTPEPVLPPISARPEYVEKVLKTRYHDAMIKLQPQNKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWQDPARGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRRSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGTAAGRGGGAAAAGGRSTRGPGASAAVRPLPALKENVKRVMFYC >KJB53121 pep chromosome:Graimondii2_0_v6:8:56769738:56777036:1 gene:B456_008G294100 transcript:KJB53121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSESFNFLCIVATEGVVFVIFMGRKKRSDNPSGGESSQSHDSGAGSGQGPQRPPQQQGGSGGYSGGRGWAPQSQQGGRGGYGTGGRGRGMPQQQYGGGPPEYQGRGRGGSSQQGGRGGYGGGRGSGGHGGGPFAGGPSRPPVPELHQATQPMQVEVIRQPALSEAGSSSRPPPEPVPLTEHFQQLSIQQEAGQAIQPVPPSSKSVRFPLRPGKGSTGTRCIVKANHFFAELPDKDLHQYDVTITPEVASRGVNRAVMEQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFVSKEFKITLTDEDDGSGQPRRDRDFKVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDDRGTMKSVVEYFHETYGFIIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREYDIMKTVQHNAYHEDPYAKEFGIKISEKLASVEARILPPPWLKYHDTGKEKDCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVARRFCYELAQMCYISGMAFTPEPVLPPISARPEYVEKVLKTRYHDAMIKLQPQNKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWQDPARGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRRSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGTAAGRGGGAAAAGGRSTRGPGASAAVRPLPALKENVKRVMFYC >KJB53117 pep chromosome:Graimondii2_0_v6:8:56769854:56777036:1 gene:B456_008G294100 transcript:KJB53117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGRVFTLLGLSLLCPRSLRSPLLMRMMVQDSQGYRDFKVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDDRGTMKSVVEYFHETYGFIIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREYDIMKTVQHNAYHEDPYAKEFGIKISEKLASVEARILPPPWLKYHDTGKEKDCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVARRFCYELAQMCYISGMAFTPEPVLPPISARPEYVEKVLKTRYHDAMIKLQPQNKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWQDPARGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRRSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGTAAGRGGGAAAAGGRSTRGPGASAAVRPLPALKENVKRVMFYC >KJB53119 pep chromosome:Graimondii2_0_v6:8:56769856:56777059:1 gene:B456_008G294100 transcript:KJB53119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSESFNFLCIVATEGVVFVIFMGRKKRSDNPSGGESSQSHDSGAGSGQGPQRPPQQQGGSGGYSGGRGWAPQSQQGGRGGYGTGGRGRGMPQQQYGGGPPEYQGRGRGGSSQQGGRGGYGGGRGSGGHGGGPFAGGPSRPPVPELHQATQPMQVEVIRQPALSEAGSSSRPPPEPVPLTEHFQQLSIQQEAGQAIQPVPPSSKSVRFPLRPGKGSTGTRCIVKANHFFAELPDKDLHQYDVTITPEVASRGVNRAVMEQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFVSKEFKITLTDEDDGSGQPRDRDFKVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDDRGTMKSVVEYFHETYGFIIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREYDIMKTVQHNAYHEDPYAKEFGIKISEKLASVEARILPPPWLKYHDTGKEKDCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVARRFCYELAQMCYISGMAFTPEPVLPPISARPEYVEKVLKTRYHDAMIKLQPQNKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWQDPARGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRRSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGTAAGRGGGAAAAGGRSTRGPGASAAVRPLPALKENVKRVMFYC >KJB53120 pep chromosome:Graimondii2_0_v6:8:56769856:56777036:1 gene:B456_008G294100 transcript:KJB53120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSESFNFLCIVATEGVVFGIIFMGRKKRSDNPSGGESSQSHDSGAGSGQGPQRPPQQQGGSGGYSGGRGWAPQSQQGGRGGYGTGGRGRGMPQQQYGGGPPEYQGRGRGGSSQQGGRGGYGGGRGSGGHGGGPFAGGPSRPPVPELHQATQPMQVEVIRQPALSEAGSSSRPPPEPVPLTEHFQQLSIQQEAGQAIQPVPPSSKSVRFPLRPGKGSTGTRCIVKANHFFAELPDKDLHQYDVTITPEVASRGVNRAVMEQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFVSKEFKITLTDEDDGSGQPRRDRDFKVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDDRGTMKSVVEYFHETYGFIIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREYDIMKTVQHNAYHEDPYAKEFGIKISEKLASVEARILPPPWLKYHDTGKEKDCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVARRFCYELAQMCYISGMAFTPEPVLPPISARPEYVEKVLKTRYHDAMIKLQPQNKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWQDPARGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRRSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGTAAGRGGGAAAAGGRSTRGPGASAAVRPLPALKENVKRVMFYC >KJB53122 pep chromosome:Graimondii2_0_v6:8:56769947:56777059:1 gene:B456_008G294100 transcript:KJB53122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKRSDNPSGGESSQSHDSGAGSGQGPQRPPQQQGGSGGYSGGRGWAPQSQQGGRGGYGTGGRGRGMPQQQYGGGPPEYQGRGRGGSSQQGGRGGYGGGRGSGGHGGGPFAGGPSRPPVPELHQATQPMQVEVIRQPALSEAGSSSRPPPEPVPLTEHFQQLSIQQEAGQAIQPVPPSSKSVRFPLRPGKGSTGTRCIVKANHFFAELPDKDLHQYDVTITPEVASRGVNRAVMEQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFVSKEFKITLTDEDDGSGQPRRDRDFKVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDDRGTMKSVVEYFHETYGFIIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREYDIMKTVQHNAYHEDPYAKEFGIKISEKLASVEARILPPPWLKYHDTGKEKDCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVARRFCYELAQMCYISGMAFTPEPVLPPISARPEYVEKVLKTRYHDAMIKLQPQNKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWQDPARGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRRSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGTAAGRGGGAAAAGGRSTRGPGASAAVRPLPALKENVKRVMFYC >KJB48120 pep chromosome:Graimondii2_0_v6:8:7888464:7893021:1 gene:B456_008G054300 transcript:KJB48120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MVGNGKMNPSSEAEEEGVKSLLKWAAKYGIEVSESCSSLGLGHCLGVSCFPGAGGRGVAALRPISKGELLLKVPKSALITTDFLLSRDETLSLALKAHPSLSSTKVDYAIWAAQKAVTKAKYEWEQAFTLMKELKLKPPLLTFRAWIWATGTISSRTLHIPWDEAGCLCPVGDFFNYAAPTEDPDSFENVENWQNEHAKDDLDIHHSQRLTDGGYEEDVAAYCFYAKKNYNVGEQVLLSYGTYTNLELLEYYGFLLEDNPNEKVFIPLDLDMHSLSCWPKESLYIHQNGRPSFALMCALRLWATPPRQRKSIGHLAYSGCPISKGNEIYVMKWIGKKCDALLKEMPTSVEEDKSLVHLIDKMVEYENLREWVKEASAVFGGEFGDNNILKAAYGVEGDNELTSLVRTKMLIDRWKLAVQWRLMYKTVVARCISYCTDIINSLSTQ >KJB48124 pep chromosome:Graimondii2_0_v6:8:7888464:7892935:1 gene:B456_008G054300 transcript:KJB48124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MVGNGKMNPSSEAEEEGVKSLLKWAAKYGIEVSESCSSLGLGHCLGVSCFPGAGGRGVAALRPISKGELLLKVPKSALITTDFLLSRDETLSLALKAHPSLSSTKVFTVCLLYEINKGKASPWHPYFLHLPRSYSILAAFGELETQALQVDYAIWAAQKAISSRTLHIPWDEAGCLCPVGDFFNYAAPTEDPDSFENVENWQNEHAKDDLDIHHSQRLTDGGYEEDVAAYCFYAKKNYNVGEQVLLSYGTYTNLELLEYYGFLLEDNPNEKVFIPLDLDMHSLSCWPKESLYIHQNGRPSFALMCALRLWATPPRQRKSIGHLAYSGCPISKGNEIYVMKWIGKKCDALLKEMPTSVEEDKSLVHLIDKMVEYENLREWVKEASAVFGGEFGDNNILKAAYGVEGDNELTSLVRTKMLIDRWKLAVQWRLMYKTVVARCISYCTDIINSLSTQ >KJB48127 pep chromosome:Graimondii2_0_v6:8:7888464:7893164:1 gene:B456_008G054300 transcript:KJB48127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MVGNGKMNPSSEAEEEGVKSLLKWAAKYGIEVSESCSSLGLGHCLGVSCFPGAGGRGVAALRPISKGELLLKVPKSALITTDFLLSRDETLSLALKAHPSLSSTKVFTVCLLYEINKGKASPWHPYFLHLPRSYSILAAFGELETQALQVDYAIWAAQKAVTKAKYEWEQAFTLMKELKLKPPLLTFRAWIWATGTISSRTLHIPWDEAGCLCPVGDFFNYAAPTEDPDSFENVENWQNEHAKDDLDIHHSQRLTDGGYEEDVAAYCFYAKKNYNVGEQVLLSYGTYTNLELLEYYGFLLEDNPNEKVFIPLDLDMHSLSCWPKESLYIHQNGRPSFALMCALRLWATPPRQRKSIGHLAYSGCPISKGNEIYVMKWIGKKCDALLKEMPTSVEEDKSLVHLIDKMVEYENLREWVKEASAVFGGEFGDNNILKAAYGVEGDNELTSLNLDKVLEPPCLTTEEFLKGLQA >KJB48121 pep chromosome:Graimondii2_0_v6:8:7888810:7892799:1 gene:B456_008G054300 transcript:KJB48121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MKLCLLHSKPILLSLLPRQVFTVCLLYEINKGKASPWHPYFLHLPRSYSILAAFGELETQALQVDYAIWAAQKAVTKAKYEWEQAFTLMKELKLKPPLLTFRAWIWATGTISSRTLHIPWDEAGCLCPVGDFFNYAAPTEDPDSFENVENWQNEHAKDDLDIHHSQRLTDGGYEEDVAAYCFYAKKNYNVGEQVLLSYGTYTNLELLEYYGFLLEDNPNEKVFIPLDLDMHSLSCWPKESLYIHQNGRPSFALMCALRLWATPPRQRKSIGHLAYSGCPISKGNEIYVMKWIGKKCDALLKEMPTSVEEDKSLVHLIDKMVEYENLREWVKEASAVFGGEFGDNNILKAAYGVEGDNELTSLVRTKMLIDRWKLAVQWRLMYKTVVARCISYCTDIINSLSTQ >KJB48128 pep chromosome:Graimondii2_0_v6:8:7888464:7892935:1 gene:B456_008G054300 transcript:KJB48128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MKELKLKPPLLTFRAWIWATGTISSRTLHIPWDEAGCLCPVGDFFNYAAPTEDPDSFENVENWQNEHAKDDLDIHHSQRLTDGGYEEDVAAYCFYAKKNYNVGEQVLLSYGTYTNLELLEYYGFLLEDNPNEKVFIPLDLDMHSLSCWPKESLYIHQNGRPSFALMCALRLWATPPRQRKSIGHLAYSGCPISKGNEIYVMKWIGKKCDALLKEMPTSVEEDKSLVHLIDKMVEYENLREWVKEASAVFGGEFGDNNILKAAYGVEGDNELTSLVRTKMLIDRWKLAVQWRLMYKTVVARCISYCTDIINSLSTQ >KJB48123 pep chromosome:Graimondii2_0_v6:8:7888464:7892935:1 gene:B456_008G054300 transcript:KJB48123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MKELKLKPPLLTFRAWIWATGTISSRTLHIPWDEAGCLCPVGDFFNYAAPTEDPDSFENVENWQNEHAKDDLDIHHSQRLTDGGYEEDVAAYCFYAKKNYNVGEQVLLSYGTYTNLELLEYYGFLLEDNPNEKVFIPLDLDMHSLSCWPKESLYIHQNGRPSFALMCALRLWATPPRQRKSIGHLAYSGCPISKGNEIYVMKWIGKKCDALLKEMPTSVEEDKSLVHLIDKMVEYENLREWVKEASAVFGGEFGDNNILKAAYGVEGDNELTSLVRTKMLIDRWKLAVQWRLMYKTVVARCISYCTDIINSLSTQ >KJB48118 pep chromosome:Graimondii2_0_v6:8:7888400:7893449:1 gene:B456_008G054300 transcript:KJB48118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MVGNGKMNPSSEAEEEGVKSLLKWAAKYGIEVSESCSSLGLGHCLGVSCFPGAGGRGVAALRPISKGELLLKVPKSALITTDFLLSRDETLSLALKAHPSLSSTKVFTVCLLYEINKGKASPWHPYFLHLPRSYSILAAFGELETQALQVDYAIWAAQKAVTKAKYEWEQAFTLMKELKLKPPLLTFRAWIWATGTISSRTLHIPWDEAGCLCPVGDFFNYAAPTEDPDSFENVENWQNEHAKDDLDIHHSQRLTDGGYEEDVAAYCFYAKKNYNVGEQVLLSYGTYTNLELLEYYGFLLEDNPNEKVFIPLDLDMHSLSCWPKESLYIHQNGRPSFALMCALRLWATPPRQRKSIGHLAYSGCPISKGNEIYVMKWIGKKCDALLKEMPTSVEEDKSLVHLIDKMVEYENLREWVKEASAVFGGEFGDNNILKAAYGVEGDNELTSLVRTKMLIDRWKLAVQWRLMYKTVVARCISYCTDIINSLSTQ >KJB48119 pep chromosome:Graimondii2_0_v6:8:7888464:7892935:1 gene:B456_008G054300 transcript:KJB48119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MKLCLLHSKPILLSLLPSYSILAAFGELETQALQVDYAIWAAQKAVTKAKYEWEQAFTLMKELKLKPPLLTFRAWIWATGTISSRTLHIPWDEAGCLCPVGDFFNYAAPTEDPDSFENVENWQNEHAKDDLDIHHSQRLTDGGYEEDVAAYCFYAKKNYNVGEQVLLSYGTYTNLELLEYYGFLLEDNPNEKVFIPLDLDMHSLSCWPKESLYIHQNGRPSFALMCALRLWATPPRQRKSIGHLAYSGCPISKGNEIYVMKWIGKKCDALLKEMPTSVEEDKSLVHLIDKMVEYENLREWVKEASAVFGGEFGDNNILKAAYGVEGDNELTSLVRTKMLIDRWKLAVQWRLMYKTVVARCISYCTDIINSLSTQ >KJB48125 pep chromosome:Graimondii2_0_v6:8:7888464:7893021:1 gene:B456_008G054300 transcript:KJB48125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MKELKLKPPLLTFRAWIWATGTISSRTLHIPWDEAGCLCPVGDFFNYAAPTEDPDSFENVENWQNEHAKDDLDIHHSQRLTDGGYEEDVAAYCFYAKKNYNVGEQVLLSYGTYTNLELLEYYGFLLEDNPNEKVFIPLDLDMHSLSCWPKESLYIHQNGRPSFALMCALRLWATPPRQRKSIGHLAYSGCPISKGNEIYVMKWIGKKCDALLKEMPTSVEEDKSLVHLIDKMVEYENLREWVKEASAVFGGEFGDNNILKAAYGVEGDNELTSLVRTKMLIDRWKLAVQWRLMYKTVVARCISYCTDIINSLSTQ >KJB48126 pep chromosome:Graimondii2_0_v6:8:7888464:7892935:1 gene:B456_008G054300 transcript:KJB48126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MKELKLKPPLLTFRAWIWATGTISSRTLHIPWDEAGCLCPVGDFFNYAAPTEDPDSFENVENWQNEHAKDDLDIHHSQRLTDGGYEEDVAAYCFYAKKNYNVGEQVLLSYGTYTNLELLEYYGFLLEDNPNEKVFIPLDLDMHSLSCWPKESLYIHQNGRPSFALMCALRLWATPPRQRKSIGHLAYSGCPISKGNEIYVMKWIGKKCDALLKEMPTSVEEDKSLVHLIDKMVEYENLREWVKEASAVFGGEFGDNNILKAAYGVEGDNELTSLVRTKMLIDRWKLAVQWRLMYKTVVARCISYCTDIINSLSTQ >KJB48122 pep chromosome:Graimondii2_0_v6:8:7888464:7892935:1 gene:B456_008G054300 transcript:KJB48122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MVGNGKMNPSSEAEEEGVKSLLKWAAKYGIEVSESCSSLGLGHCLGVSCFPGAGGRGVAALRPISKGELLLKVPKSALITTDFLLSRDETLSLALKAHPSLSSTKASPWHPYFLHLPRSYSILAAFGELETQALQVDYAIWAAQKAVTKAKYEWEQAFTLMKELKLKPPLLTFRAWIWATGTISSRTLHIPWDEAGCLCPVGDFFNYAAPTEDPDSFENVENWQNEHAKDDLDIHHSQRLTDGGYEEDVAAYCFYAKKNYNVGEQVLLSYGTYTNLELLEYYGFLLEDNPNEKVFIPLDLDMHSLSCWPKESLYIHQNGRPSFALMCALRLWATPPRQRKSIGHLAYSGCPISKGNEIYVMKWIGKKCDALLKEMPTSVEEDKSLVHLIDKMVEYENLREWVKEASAVFGGEFGDNNILKAAYGVEGDNELTSLVRTKMLIDRWKLAVQWRLMYKTVVARCISYCTDIINSLSTQ >KJB52610 pep chromosome:Graimondii2_0_v6:8:54879706:54884177:1 gene:B456_008G270000 transcript:KJB52610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLLKECNCSNWADRNNINPEKGAFTKYSASQGPVVHKFPEKDDAGYVSGGWKSEDGRLSCGYSSFRGKRATMEDCYDIKTSKINGQTVCLFGIFDGHGGSRAAEYLKQHLFEKLMKHPQFMTDTKLAISETYQQTDADFLDSERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDREINEEFEFLVLASDGLWDVVPNEDAVSLARVEEEPETAARKLTETAFTRGSADNITCIVVRFHHGKAHPLNSDSQA >KJB52611 pep chromosome:Graimondii2_0_v6:8:54879706:54884177:1 gene:B456_008G270000 transcript:KJB52611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCNSCLKSVIVQTGQIGTILTRKRVHLQSTVRTLYAGGAGLTFLWNREFRTSAKMMVDSGAAASQGPVVHKFPEKDDAGYVSGGWKSEDGRLSCGYSSFRGKRATMEDCYDIKTSKINGQTVCLFGIFDGHGGSRAAEYLKQHLFEKLMKHPQFMTDTKLAISETYQQTDADFLDSERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDREINEEFEFLVLASDGLWDVVPNELKLYLQDAVSLARVEEEPETAARKLTETAFTRGSADNITCIVVRFHHGKAHPLNSDSQA >KJB52605 pep chromosome:Graimondii2_0_v6:8:54880675:54882996:1 gene:B456_008G270000 transcript:KJB52605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCNSCLKSVIVQTGQIGTILTRKRVHLQSTVRTLYAGGAGLTFLWNREFRTSAKMMVDSGAAASQGPVVHKFPEKDDAGYVSGGWKSEDGRLSCGYSSFRGKRATMEDCYDIKTSKINGQTVCLFGIFDGHGGSRAAEYLKQHLFEKLMKHPQFMTDTKLAISETYQQTDADFLDSERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGKTV >KJB52609 pep chromosome:Graimondii2_0_v6:8:54879706:54884177:1 gene:B456_008G270000 transcript:KJB52609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDSGAAASQGPVVHKFPEKDDAGYVSGGWKSEDGRLSCGYSSFRGKRATMEDCYDIKTSKINGQTVCLFGIFDGHGGSRAAEYLKQHLFEKLMKHPQFMTDTKLAISETYQQTDADFLDSERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDREINEEFEFLVLASDGLWDVVPNEDAVSLARVEEEPETAARKLTETAFTRGSADNITCIVVRFHHGKAHPLNSDSQA >KJB52606 pep chromosome:Graimondii2_0_v6:8:54879706:54884177:1 gene:B456_008G270000 transcript:KJB52606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCNSCLKSVIVQTGQIGTILTRKRVHLQSTVRTLYAGGAGLTFLWNREFRTSAKMMVDSGAAASQGPVVHKFPEKDDAGYVSGGWKSEDGRLSCGYSSFRGKRATMEDCYDIKTSKINGQTVCLFGIFDGHGGSRAAEYLKQHLFEKLMKHPQFMTDTKLAISETYQQTDADFLDSERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQRDK >KJB52608 pep chromosome:Graimondii2_0_v6:8:54879706:54884177:1 gene:B456_008G270000 transcript:KJB52608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCNSCLKSVIVQTGQIGTILTRKRVHLQSTVRTLYAGGAGLTFLWNREFRTSAKMMVDSGAAASQGPVVHKFPEKDDAGYVSGGWKSEDGRLSCGYSSFRGKRATMEDCYDIKTSKINGQTVCLFGIFDGHGGSRAAEYLKQHLFEKLMKHPQFMTDTKLAISETYQQTDADFLDSERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQVILNRQRDK >KJB52612 pep chromosome:Graimondii2_0_v6:8:54879706:54883535:1 gene:B456_008G270000 transcript:KJB52612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCNSCLKSVIVQTGQIGTILTRKRVHLQSTVRTLYAGGAGLTFLWNREFRTSAKMMVDSGAAASQGPVVHKFPEKDDAGYVSGGWKSEDGRLSCGYSSFRGKRATMEDCYDIKTSKINGQTVCLFGIFDGHGGSRAAEYLKQHLFEKLMKHPQFMTDTKLAISETYQQTDADFLDSERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGKTV >KJB52613 pep chromosome:Graimondii2_0_v6:8:54879706:54884943:1 gene:B456_008G270000 transcript:KJB52613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCNSCLKSVIVQTGQIGTILTRKRVHLQSTVRTLYAGGAGLTFLWNREFRTSAKMMVDSGAAASQGPVVHKFPEKDDAGYVSGGWKSEDGRLSCGYSSFRGKRATMEDCYDIKTSKINGQTVCLFGIFDGHGGSRAAEYLKQHLFEKLMKHPQFMTDTKLAISETYQQTDADFLDSERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDREINEEFEFLVLASDGLWDVVPNEDAVSLARVEEEPETAARKLTETAFTRGSADNITCIVVIKLVLAHAGRFHKSSCCVQ >KJB52607 pep chromosome:Graimondii2_0_v6:8:54880675:54883231:1 gene:B456_008G270000 transcript:KJB52607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCNSCLKSVIVQTGQIGTILTRKRVHLQSTVRTLYAGGAGLTFLWNREFRTSAKMMVDSGAAASQGPVVHKFPEKDDAGYVSGGWKSEDGRLSCGYSSFRGKRATMEDCYDIKTSKINGQTVCLFGIFDGHGGSRAAEYLKQHLFEKLMKHPQFMTDTKLAISETYQQTDADFLDSERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQVILNSIC >KJB52604 pep chromosome:Graimondii2_0_v6:8:54879630:54884238:1 gene:B456_008G270000 transcript:KJB52604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCNSCLKSVIVQTGQIGTILTRKRVHLQSTVRTLYAGGAGLTFLWNREFRTSAKMMVDSGAAASQGPVVHKFPEKDDAGYVSGGWKSEDGRLSCGYSSFRGKRATMEDCYDIKTSKINGQTVCLFGIFDGHGGSRAAEYLKQHLFEKLMKHPQFMTDTKLAISETYQQTDADFLDSERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDREINEEFEFLVLASDGLWDVVPNEDAVSLARVEEEPETAARKLTETAFTRGSADNITCIVVRFHHGKAHPLNSDSQA >KJB49748 pep chromosome:Graimondii2_0_v6:8:38529241:38531064:-1 gene:B456_008G136300 transcript:KJB49748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVYWYDFICFGVVAAAFIGSLWVLWKKEAASRCDEESVYESLLEARPDADGFIRATPRAHVGSNQLWTSCWIRVHPGWLVLTRFVSFAVMAGFLVWDIVEWDASIFVYYTEWTFVLVMVYFALGTAISAYGCWVCFTTPLPENSLRDEFVKTDVEEGRIANSVTYQAKNVWCKIKLQSHYAQEEFQRRAGFWGYLMQIIYQTCGGAVILTDIVFWLVIVPFLSNSHLGLNM >KJB49745 pep chromosome:Graimondii2_0_v6:8:38528159:38530086:-1 gene:B456_008G136300 transcript:KJB49745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYFALGTAISAYGCWVCFTTPLPENSLRDEFVKTDVEEGRIANSVTYQAKNVWCKIKLQSHYAQEEFQRRAGFWGYLMQIIYQTCGGAVILTDIVFWLVIVPFLSNSHLGLNMLMGCMHTVNAVFLIVDTLFNSLPFPWFRLAYFVQWSCLYAIFQWVLHACGFTWWPYPFLELNTPWAPLWYFSMAVVHIPCYGTYALIVKAKNSILPRLFPHDFVRSY >KJB49740 pep chromosome:Graimondii2_0_v6:8:38523365:38531190:-1 gene:B456_008G136300 transcript:KJB49740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVYWYDFICFGVVAAAFIGSLWVLWKKEAASRCDEESVYESLLEARPDADGFIRATPRAHVGSNQLWTSCWIRVHPGWLVLTRFVSFAVMAGFLVWDIVEWDASIFVYYTEWTFVLVMVYFALGTAISAYGCWVCFTTPLPENSLRDEFVKTDVEEGRIANSVTYQAKNVWCKIKLQSHYAQEEFQRRAGFWGYLMQIIYQTCGGAVILTDIVFWLVIVPFLSNSHLGLNMLMGCMHTVNAVFLIVDTLFNSLYCKDNSGTLKTSESAHTINNNNSVYYDDQCLSYDMYRDLVILNVCPYDIAKG >KJB49749 pep chromosome:Graimondii2_0_v6:8:38528334:38531190:-1 gene:B456_008G136300 transcript:KJB49749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVYWYDFICFGVVAAAFIGSLWVLWKKEAASRCDEESVYESLLEARPDADGFIRATPRAHVGSNQLWTSCWIRVHPGWLVLTRFVSFAVMAGFLVWDIVEWDASIFVYYTEWTFVLVMVYFALGTAISAYGCWVCFTTPLPENSLRDEFVKTDVEEGRIANSVTYQAKNVWCKIKLQSHYAQEEFQRRAGFWGYLMQIIYQTCGGAVILTDIVFWLVIVPFLSNSHLGLNMLMGCMHTVNAVFLIVDTLFNSLPFPWFRLAYFVQWSCLYAIFQWVLHACGFTWWPYPFLELNTPWAPLW >KJB49744 pep chromosome:Graimondii2_0_v6:8:38528549:38531064:-1 gene:B456_008G136300 transcript:KJB49744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVYWYDFICFGVVAAAFIGSLWVLWKKEAASRCDEESVYESLLEARPDADGFIRATPRAHVGSNQLWTSCWIRVHPGWLVLTRFVSFAVMAGFLVWDIVEWDASIFVYYTEWTFVLVMVYFALGTAISAYGCWVCFTTPLPENSLRDEFVKTDVEEGRIANSVTYQAKNVWCKIKLQSHYAQEEFQRRAGFWGYLMQIIYQTCGGAVILTDIVFWLVIVPFLSNSHLGLNMLMGCMHTVNAVFLIVDTLFNSLALSVS >KJB49742 pep chromosome:Graimondii2_0_v6:8:38527920:38531190:-1 gene:B456_008G136300 transcript:KJB49742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVYWYDFICFGVVAAAFIGSLWVLWKKEAASRCDEESVYESLLEARPDADGFIRATPRAHVGSNQLWTSCWIRVHPGWLVLTRFVSFAVMAGFLVWDIVEWDASIFVYYTEWTFVLVMVYFALGTAISAYGCWVCFTTPLPENSLRDEFVKTDVEEGRIANSVTYQAKNVWCKIKLQSHYAQEEFQRRAGFWGYLMQIIYQTCGGAVILTDIVFWLVIVPFLSNSHLGLNMLMGCMHTVNAVFLIVDTLFNSLVLLHGCGSHPLLWNICTDCKSKKFNPPKIVPP >KJB49741 pep chromosome:Graimondii2_0_v6:8:38528159:38530751:-1 gene:B456_008G136300 transcript:KJB49741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSLFTTPSKWTFVLVMVYFALGTAISAYGCWVCFTTPLPENSLRDEFVKTDVEEGRIANSVTYQAKNVWCKIKLQSHYAQEEFQRRAGFWGYLMQIIYQTCGGAVILTDIVFWLVIVPFLSNSHLGLNMLMGCMHTVNAVFLIVDTLFNSLPFPWFRLAYFVQWSCLYAIFQWVLHACGFTWWPYPFLELNTPWAPLWYFSMAVVHIPCYGTYALIVKAKNSILPRLFPHDFVRSY >KJB49743 pep chromosome:Graimondii2_0_v6:8:38527920:38531190:-1 gene:B456_008G136300 transcript:KJB49743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGKRVREPVRGSTGCRRVYTCNTEGSCWFQPVMDQLLDQGAPWMASAHSFRFIRGHGGVLGVGYSRMGCFHLCLLHRLGTAISAYGCWVCFTTPLPENSLRDEFVKTDVEEGRIANSVTYQAKNVWCKIKLQSHYAQEEFQRRAGFWGYLMQIIYQTCGGAVILTDIVFWLVIVPFLSNSHLGLNMLMGCMHTVNAVFLIVDTLFNSLPFPWFRLAYFVQWSCLYAIFQWVLHACGFTWWPYPFLELNTPWAPLWYFSMAVVHIPCYGTYALIVKAKNSILPRLFPHDFVRSY >KJB49746 pep chromosome:Graimondii2_0_v6:8:38527920:38531190:-1 gene:B456_008G136300 transcript:KJB49746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVYWYDFICFGVVAAAFIGSLWVLWKKEAASRCDEESVYESLLEARPDADGFIRATPRAHVGSNQLWTSCWIRVHPGWLVLTRFVSFAVMAGFLVWDIVEWDASIFVYYTEWTFVLVMVYFALGTAISAYGCWVCFTTPLPENSLRDEFVKTDVEEGRIANSVTYQAKNVWCKIKLQSHYAQEEFQRRAGFWGYLMQIIYQTCGGAVILTDIVFWLVIVPFLSNSHLGLNMLMGCMHTVNAVFLIVDTLFNSLWSCLYAIFQWVLHACGFTWWPYPFLELNTPWAPLWYFSMAVVHIPCYGTYALIVKAKNSILPRLFPHDFVRSY >KJB49747 pep chromosome:Graimondii2_0_v6:8:38527920:38531190:-1 gene:B456_008G136300 transcript:KJB49747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVYWYDFICFGVVAAAFIGSLWVLWKKEAASRCDEESVYESLLEARPDADGFIRATPRAHVGSNQLWTSCWIRVHPGWLVLTRFVSFAVMAGFLVWDIVEWDASIFVYYTEWTFVLVMVYFALGTAISAYGCWVCFTTPLPENSLRDEFVKTDVEEGRIANSVTYQAKNVWCKIKLQSHYAQEEFQRRAGFWGYLMQIIYQTCGGAVILTDIVFWLVIVPFLSNSHLGLNMLMGCMHTVNAVFLIVDTLFNSLPFPWFRLAYFVQWSCLYAIFQWVLHACGFTWWPYPFLELNTPWAPLWYFSMAVVHIPCYGTYALIVKAKNSILPRLFPHDFVRSY >KJB49311 pep chromosome:Graimondii2_0_v6:8:34379439:34383519:1 gene:B456_008G112400 transcript:KJB49311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENANSRQVTFSKRRAGLLKKAKELAILCDAEVAVIIFSNTGKLFEFSSSGMNKTISRYKSAQGSPAIAQVEHKAEKQDSKEADHLKDEIAKLQMKQLQLLGKNLTSMSLKELQLLEQQLNEGLLSVKEKKEQLLMQQLEQSRLQEQRAMLENETLRRQASYVFLDKTEYIIMIWVTDNLFYLSTHAG >KJB49313 pep chromosome:Graimondii2_0_v6:8:34379243:34383909:1 gene:B456_008G112400 transcript:KJB49313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENANSRQVTFSKRRAGLLKKAKELAILCDAEVAVIIFSNTGKLFEFSSSGMNKTISRYKSAQGSPAIAQVEHKAEKQDSKEADHLKDEIAKLQMKQLQLLGKNLTSMSLKELQLLEQQLNEGLLSVKEKKEQLLMQQLEQSRLQEQRAMLENETLRRQVEELRGFFPTTDHPIQPYLECYPVERKNSLMSHSIPSPDLTCNCTVEKGDSDTTLYLGLPSDYHKRKKPEIESHSNESESQLGLL >KJB49312 pep chromosome:Graimondii2_0_v6:8:34379439:34382933:1 gene:B456_008G112400 transcript:KJB49312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENANSRQVTFSKRRAGLLKKAKELAILCDAEVAVIIFSNTGKLFEFSSSGMNKTISRYKSAQGSPAIAQVEHKAEKQDSKEADHLKDEIAKLQMKQLQLLGKNLTSMSLKELQLLEQQLNEGLLSVKEKKEQLLMQQLEQSRLQEQRAMLENETLRRQASYVFLDKTEYIIMIWVTDNLFYLSTHAG >KJB49310 pep chromosome:Graimondii2_0_v6:8:34379439:34383816:1 gene:B456_008G112400 transcript:KJB49310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENANSRQVTFSKRRAGLLKKAKELAILCDAEVAVIIFSNTGKLFEFSSSGMNKTISRYKSAQGSPAIAQVEHKAEKQDSKEADHLKDEIAKLQMKQLQLLGKNLTSMSLKELQLLEQQLNEGLLSVKEKKEQLLMQQLEQSRLQEQRAMLENETLRRQVEELRGFFPTTDHPIQPYLECYPVERKNSLMSHSIPSPDLTCNCTVEKGDSDTTLYLGLPSDYHKRKKPEIESHSNESESQLGLL >KJB51622 pep chromosome:Graimondii2_0_v6:8:51168116:51169144:-1 gene:B456_008G225100 transcript:KJB51622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVRERRHQQGLRLSLPSPLAAADFRQRSHYAALLSAIGPTSPDIESLSDLEKLTVIGHGNGGTVYKVRNRKSSSVYALKVLRFDQNAAVIRHQVACEAEILKRVDSQFVVKCLAVFDTIGGDLCFVMEHMEKGSLYDELRVRVKLPEDVVSVIAQRVVRGLQYLHGMQIVHGDIKPSNLLINGKGEVKIADFGVSKIVVGTRNACEACMGGTCAYMSPERVDPERWDGGNADGFSGDVWSLGVVLLECLVGHYPLIGLGEKPDWAALICAICLGERLEIPETASPDFRSFVRRCLEKDWRKRGSVDELLDHPFVNRIL >KJB50826 pep chromosome:Graimondii2_0_v6:8:47082298:47083212:-1 gene:B456_008G188700 transcript:KJB50826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEECNMLAADCIVICCCSQCLILQIIIFVFLKFPAKLIKKTKQYAKKKLIGRRRKEGKMKDGLFQEVQLEVVTKSCMSMSIELRQAGFGDAQYGVQCCCIQEAEKVLEELSSRGEFAFGSFWGRSLHSDTSSSPSCHLPNGRFDFVECYELIDMSSFISAAATC >KJB48420 pep chromosome:Graimondii2_0_v6:8:11287473:11289246:-1 gene:B456_008G068500 transcript:KJB48420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKGIIRENALPYLPAKSLFRCAGVCRGWRFQISIAFFAHNQSNSFRSISGFFFQSLTGMPAFMSLDPRVYGVPDPSLTFLPEPVDVRTSCNGLLCCQGRTAYRPYYICNPANKQWKELPKPDGDHGPDPAVVLVFEPSIMNFTANYKLVCPFPSELGEYKFEVYSSDRGSWRTSGEIRFDDNEKLLPKTGVHVNGIVYWLSTRGVTSFDLNSELCRLSPNLENLGMISGKLCAACIRNQKLIVFMLTNPQSHTRRRAAVKTWTRILPEIKLDSTLPVESTTCPCNYSGRYCDGHNQLHIVFVGDIVVLRKRNSYYCIDMNKKASNCLGEYIIHPYERFVGYVNGLVNLY >KJB49051 pep chromosome:Graimondii2_0_v6:8:28744518:28749629:-1 gene:B456_008G099600 transcript:KJB49051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0623300)] MESSDKSNHQLRGGICYPNGARRPVRTPSVIVIGAGMAGIAAARALHEASFQVTVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVSKENPLAPLISRLGLPLYRTSGDNSVLYDHDLESYALFDMDGHQVPQELVTKVGETFECILEETNKVRQEHSEDMSISSAFSIVFERRPELRLEGLAHKVLQWYVCRMEGWFASDADTISLKSWDQAELLPGGHGLMVRGYLPVINTLAKGIDIRLSHRVTNIVRRYTGVKVTVEDGTTFAADAVIVAVPLGVLKAKNIKFEPRLPEWKEAAIDELGVGIENKIILHFDKVFWPNVEFLGVVADTSYHCSYFLNLHKATGHSVLVYMPAGQLARDIEKMSDEAAVEFAFMQLKKILPEACAPIQYLVSRWGTDVNTLGSYSYDAVGMSHDLYERLRVPVDNIFFAGEATSMSYPGSIHGAFSTGQMAAEDCRMRVLERYGELNLLQPVMGEEAGLCVPLLITRL >KJB49053 pep chromosome:Graimondii2_0_v6:8:28744760:28749590:-1 gene:B456_008G099600 transcript:KJB49053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0623300)] MESSDKSNHQLRGGICYPNGARRPVRTPSVIVIGAGMAGIAAARALHEASFQVTVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVSKENPLAPLISRLGLPLYRTSGDNSVLYDHDLESYALFDMDGHQVPQELVTKVGETFECILEETNKVRQEHSEDMSISSAFSIVFERRPELRLEGLAHKVLQWYVCRMEGWFASDADTISLKSWDQEELLPGGHGLMVRGYLPVINTLAKGIDIRLSHRVTNIVRRYTGVKVTVEDGTTFAADAVIVAVPLGVLKAKNIKFEPRLPEWKEAAIDELGVGIENKIILHFDKVFWPNVEFLGVVADTSYHCSYFLNLHKATGHSVLVYMPAGQLARDIEKMSDEAAVEFAFMQLKKILPEACAPIQYLVSRWGTDVNTLGSYSYDAVGMSHDLYERLRVPVDNIFFAGEATSMSYPGSIHGAFSTGQMAAEDCRMRVLERYGELNLLQPVMGEEAGLCVPLLITRL >KJB49052 pep chromosome:Graimondii2_0_v6:8:28744760:28748759:-1 gene:B456_008G099600 transcript:KJB49052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0623300)] MAGIAAARALHEASFQVTVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVSKENPLAPLISRLGLPLYRTSGDNSVLYDHDLESYALFDMDGHQVPQELVTKVGETFECILEETNKVRQEHSEDMSISSAFSIVFERRPELRLEGLAHKVLQWYVCRMEGWFASDADTISLKSWDQAELLPGGHGLMVRGYLPVINTLAKGIDIRLSHRVTNIVRRYTGVKVTVEDGTTFAADAVIVAVPLGVLKAKNIKFEPRLPEWKEAAIDELGVGIENKIILHFDKVFWPNVEFLGVVADTSYHCSYFLNLHKATGHSVLVYMPAGQLARDIEKMSDEAAVEFAFMQLKKILPEACAPIQYLVSRWGTDVNTLGSYSYDAVGMSHDLYERLRVPVDNIFFAGEATSMSYPGSIHGAFSTGQMAAEDCRMRVLERYGELNLLQPVMGEEAGLCVPLLITRL >KJB51865 pep chromosome:Graimondii2_0_v6:8:52127145:52130514:1 gene:B456_008G235200 transcript:KJB51865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHSDDLDQLLDSALDDFQNLNLTSLPQREGGGDGEEKKQESGSLPSGVVGLGMGLPDLKSKKKGKQKVSSESHVTEALDKMREQTRETIKGLESMSKPGGDDFGEDGLIDDWVKQFEELSGSQDMESIVESMMQQLLSKEILHEPMKEIGERYPKWLEEHKSSLSKEEYERYSNQYELMKELNGVYEKDPNNFTRIFDLMQKMQECGQPPNDIVQELAPEFDLTNLSQLSPEMLDSQQGCCIM >KJB51862 pep chromosome:Graimondii2_0_v6:8:52127145:52130514:1 gene:B456_008G235200 transcript:KJB51862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHSDDLDQLLDSALDDFQNLNLTSLPQREGGGDGEEKKQESGSLPSGVVGLGMGLPDLKSKKKGKQKVSSESHVTEALDKMREQTRETIKGLESMSKPGGDDFGEDGLIDDWVKQFEELSGSQDMESIVESMMQQLLSKEILHEPMKEIGERYPKWLEEHKSSLSKEEYERYSNQYELMKELNGVYEKDPNNFTRIFDLMQKMQECGQPPNDIVQELAPEFDLTNLSQLSPEMLDSQQGCCIM >KJB51863 pep chromosome:Graimondii2_0_v6:8:52127145:52130698:1 gene:B456_008G235200 transcript:KJB51863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHSDDLDQLLDSALDDFQNLNLTSLPQREGGGDGEEKKQESGSLPSGVVGLGMGLPDLKSKKKGKQKVSSESHVTEALDKMREQTRETIKGLESMSKPGGDDFGEDGLIDDWVKQFEELSGSQDMESIVESMMQQLLSKEILHEPMKEIGERYPKWLEEHKSSLSKEEYERYSNQYELMKELNGVYEKDPNNFTRIFDLMQKMQECGQPPNDIVQELAPEFDLTNLSQLSPEMLDSQQGCCIM >KJB51864 pep chromosome:Graimondii2_0_v6:8:52127505:52129393:1 gene:B456_008G235200 transcript:KJB51864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHSDDLDQLLDSALDDFQNLNLTSLPQREGGGDGEEKKQESGSLPSGVVGLGMGLPDLKSKKKGKQKVSSESHVTEALDKMREQTRETIKGLESMSKPGGDDFGEDGLIDDWVKQFEELSGSQDMESIVESMMQQLLSKEILHEPMKEIGERYPKWLEEHKSSLSKEEYERYSNQYELMKELNGVYEKDPNNFTRIFDLMQKMQECGQPPNDIVQELAPEFDLTNLSQL >KJB52765 pep chromosome:Graimondii2_0_v6:8:55382406:55387640:-1 gene:B456_008G275900 transcript:KJB52765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNRGRKARVIAKIKGFTDLEPESVNEASGKWISVHRPKGDDSETVSVSFGDESTSSRKGSYELDYCYDRSEGNDLIFSKEVKPLIEDVFNGYNATVVAYGARGSGKTFTIQGSEGKPGLAVLAMAEILSIAEESSKLIAISCYEILKDHAYDHLAPARHEVSILEDDAHGKIQLKGLSQVPVKSIEEFQKLYLSNQNSHKQSQKIIAEPHHRSHKGLIIHVFRGNESNALPFGKMNFVDLAGYEDAKRKSTGSDNLLENNKINKSIYALHNVVYALNANERYVPYRESKLTRILRDSLGGTSKILMITCLNSSFCQDSMYMANLASRSCKGSSKTIPDSTKKAKSMVRPMVVSSSRKSRLVSATTSKPIGNRVRVLENKANVKASALKGRKLFDEACHSTKPKKVSQKEKDSSSISEVVVSHTQEHFPLQAFSAEESDMAVKATTQETKILDKVNVTDEDDNHDKATPNIDSNAKALSIEADQTIDKENNLLLVNKEASPPISARLQELSNNLKLLYSSTPSCVEIPPKTDVSFEGQVSTEALEPKTPEPRLLINDKSEIVDTSCNSWKAFSARSSRMKNSLVDEYLRFLNTASKEDLKRLKGIGEKRATYILELREESPEPFKDLDDLKEIGLSAKQIKGIMKKEIGELYN >KJB52767 pep chromosome:Graimondii2_0_v6:8:55382674:55387433:-1 gene:B456_008G275900 transcript:KJB52767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNRGRKARVIAKIKGFTDLEPESVNEASGKWISVHRPKGDDSETVSVSFGDESTSSRKGSYELDYCYDRSEGNDLIFSKEVKPLIEDVFNGYNATVVAYGARGSGKTFTIQGSEGKPGLAVLAMAEILSIAEESSKLIAISCYEILKDHAYDHLAPARHEVSILEDDAHGKIQLKGLSQVPVKSIEEFQKLYLSNQNSHKQSQKIIAEPHHRSHKGLIIHVFRGNESNALPFGKMNFVDLAGYEDAKRKSTGSDNLLENNKINKSIYALHNVVYALNANERYVPYRESKLTRILRDSLGGTSKILMITCLNSSFCQDSMYMANLASRSCKGSSKTIPDSTKKAKSMVRPMVVSSSRKSRLVSATTSKPIGNRVRVLENKANVKASALKGRKLFDEACHSTKPKKVSQKESLPLENVSTITEHAIQKEEKDSSSISEVVVSHTQEHFPLQAFSAEESDMAVKATTQETKILDKVNVTDEDDNHDKATPNIDSNAKALSIEADQTIDKENNLLLVNKEASPPISARLQELSNNLKLLYSSTPSCVEIPPKTDVSFEGQVSTEALEPKTPEPRLLINDKSEIVDTSCNSWKAFSARSSRMKNSLVDEYLRFLNTASKEDLKRLKGIGEKRATYILELREESPEPFKDLDDLKEIGLSAKQIKGIMKKEIGELYN >KJB52766 pep chromosome:Graimondii2_0_v6:8:55382674:55387433:-1 gene:B456_008G275900 transcript:KJB52766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNRGRKARVIAKIKGFTDLEPESVNEASGKWISVHRPKGDDSETVSVSFGDESTSRKGSYELDYCYDRSEGNDLIFSKEVKPLIEDVFNGYNATVVAYGARGSGKTFTIQGSEGKPGLAVLAMAEILSIAEESSKLIAISCYEILKDHAYDHLAPARHEVSILEDDAHGKIQLKGLSQVPVKSIEEFQKLYLSNQNSHKQSQKIIAEPHHRSHKGLIIHVFRGNESNALPFGKMNFVDLAGYEDAKRKSTGSDNLLENNKINKSIYALHNVVYALNANERYVPYRESKLTRILRDSLGGTSKILMITCLNSSFCQDSMYMANLASRSCKGSSKTIPDSTKKAKSMVRPMVVSSSRKSRLVSATTSKPIGNRVRVLENKANVKASALKGRKLFDEACHSTKPKKVSQKESLPLENVSTITEHAIQKEEKDSSSISEVVVSHTQEHFPLQAFSAEESDMAVKATTQETKILDKVNVTDEDDNHDKATPNIDSNAKALSIEADQTIDKENNLLLVNKEASPPISARLQELSNNLKLLYSSTPSCVEIPPKTDVSFEGQVSTEALEPKTPEPRLLINDKSEIVDTSCNSWKAFSARSSRMKNSLVDEYLRFLNTASKEDLKRLKGIGEKRATYILELREESPEPFKDLDDLKEIGLSAKQIKGIMKKEIGELYN >KJB52768 pep chromosome:Graimondii2_0_v6:8:55382406:55387640:-1 gene:B456_008G275900 transcript:KJB52768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNRGRKARVIAKIKGFTDLEPESVNEASGKWISVHRPKGDDSETVSVSFGDESTSRKGSYELDYCYDRSEGNDLIFSKEVKPLIEDVFNGYNATVVAYGARGSGKTFTIQGSEGKPGLAVLAMAEILSIAEESSKLIAISCYEILKDHAYDHLAPARHEVSILEDDAHGKIQLKGLSQVPVKSIEEFQKLYLSNQNSHKQSQKIIAEPHHRSHKGLIIHVFRGNESNALPFGKMNFVDLAGYEDAKRKSTGSDNLLENNKINKSIYALHNVVYALNANERYVPYRESKLTRILRDSLGGTSKILMITCLNSSFCQDSMYMANLASRSCKGSSKTIPDSTKKAKSMVRPMVVSSSRKSRLVSATTSKPIGNRVRVLENKANVKASALKGRKLFDEACHSTKPKKVSQKEKDSSSISEVVVSHTQEHFPLQAFSAEESDMAVKATTQETKILDKVNVTDEDDNHDKATPNIDSNAKALSIEADQTIDKENNLLLVNKEASPPISARLQELSNNLKLLYSSTPSCVEIPPKTDVSFEGQVSTEALEPKTPEPRLLINDKSEIVDTSCNSWKAFSARSSRMKNSLVDEYLRFLNTASKEDLKRLKGIGEKRATYILELREESPEPFKDLDDLKEIGLSAKQIKGIMKKEIGELYN >KJB52486 pep chromosome:Graimondii2_0_v6:8:54395780:54396632:1 gene:B456_008G264400 transcript:KJB52486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKWVDLNPDTPSLILLRIPTHQRVSTASLVCKSPLSCVLDPFFWSDIDLLDWYRRHPYLEIKYVDSTVRKLIRRSKGTFRRLFSFRLGDAGFASLLTGTAIYHSGSGRCLKVLEIPMSEVNDKTLVKFAESLANLTVSKVSYCSKITHVGIEACGKNCKSWTQLKRNMPPRALERLLNTSTVNELKAMAIADIMPFVVGAILANCKALTHLEIHGCLNVKLETELEDRCRQLFAFRRPPSFHWQG >KJB47504 pep chromosome:Graimondii2_0_v6:8:3593320:3597266:1 gene:B456_008G029800 transcript:KJB47504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRVAKSDPDSDGTARKSMNHCQAAWMDHWKHARHKPSTEVRSHLLHSPGPKKDDHEDFKKHPLLSRTEIAANISTYSQGFRDVSEDRTDDTLKKRQRMASMKSGKEILDGQPLPLFSNLGNRENAMSSKNNAGTSSGGEAMKYQIDLNDGHNSIGLGRSEWTYPEGISRVPQQPIKPHEFLENNTLAVSSSFRDNVGSCSKIVPYLLNSAAAPTQSFAYSHENIDQPSPVVASKEHLADAKLCSYSNFWVHEKKADSLFESRRVENSLSRQNVAPLLLHDQSRNNSQNKQSQKVEHDSRLRLLPSLGSPEAEKSGKAYDEYLLLPRIPRSVHDVKTMRICTTIDSVEELPTGPSKISQTTHQFFITKKTGVNLTEGGQEFRDATVSPKLKGNMFNEFLSLSPSFSFHGQQGVKLQPLESSTDSERKENVQDIRTSTVCLKNESSVETDAMELDVFQKSLLSGMALCPLDQNIKGVHKPSLSETGNGIGEAGNEMVNGESPDMNERLPALPAAANSIDDGGTSTSRTQSLDAEHLLFHAEQPSNSNATACPDGPLEPDPSSRWVKRLKLSTSHPFASGTKISKMGEVSSRVKMNKISKIMNCSKTSSEPTVGRSHVRSKLALDQHPVLLKSGESSSSGSGRKSQEISLSRTWIHRWCRHIASPPNKKPDAALLCEPQSSKATSDELQEKQFPSLAAMALMGKAMNSFHPCEFRRKGSLIVWNT >KJB47506 pep chromosome:Graimondii2_0_v6:8:3592054:3597266:1 gene:B456_008G029800 transcript:KJB47506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRVAKSDPDSDGTARKSMNHCQAAWMDHWKHARHKPSTEVRSHLLHSPGPKKDDHEDFKKHPLLSRTEIAANISTYSQGFRDVSEDRTDDTLKKRQRMASMKSGKEILDGQPLPLFSNLGNRENAMSSKNNAGTSSGGEAMKYQIDLNDGHNSIGLGRSEWTYPEGISRVPQQPIKPHEFLENNTLAVSSSFRDNVGSCSKIVPYLLNSAAAPTQSFAYSHENIDQPSPVVASKEHLADAKLCSYSNFWVHEKKADSLFESRRVENSLSRQNVAPLLLHDQSRNNSQNKQSQKVEHDSRLRLLPSLGSPEAEKSGKAYDEYLLLPRIPRSVHDVKTMRICTTIDSVEELPTGPSKISQTTHQFFITKKTGVNLTEGGQEFRDATVSPKLKGNMFNEFLSLSPSFSFHGQQGVKLQPLESSTDSERKENVQDIRTSTVCLKNESSVETDAMELDVFQKSLLSGMALCPLDQNIKGVHKPSLSETGNGIGEAGNEMVNGESPDMNERLPALPAAANSIDDGGTSTSRTQSLDAEHLLFHAEQPSNSNATACPDGPLEPDPSSRWVKRLKLSTSHPFASGTKISKMGEVSSRVKMNKISKIMNCSKTSSEPTVGRSHVRSKLALDQHPVLLKSGESSSSGSGRKSQEISLSRTWIHRWCRHIASPPNKKPDAALLCEPQSSKATSDELQEKQFPSLAAMALMGKAMNSFHPCEFRRKGSLIVWNT >KJB47505 pep chromosome:Graimondii2_0_v6:8:3592054:3597266:1 gene:B456_008G029800 transcript:KJB47505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRVAKSDPDSDGTARKSMNHCQAAWMDHWKHARHKPSTEVRSHLLHSPGPKKDDHEDFKKHPLLSRTEIAANISTYSQGFRDVSEDRTDDTLKKRQRMASMKSGKEILDGQPLPLFSNLGNRENAMSSKNNAGTSSGGEAMKYQIDLNDGHNSIGLGRSEWTYPEGISRVPQQPIKPHEFLENNTLAVSSSFRDNVGSCSKIVPYLLNSAAAPTQSFAYSHENIDQPSPVVASKEHLADAKLCSYSNFWVHEKKADSLFESRRVENSLSRQNVAPLLLHDQSRNNSQNKQSQKVEHDSRLRLLPSLGSPEAEKSGKAYDEYLLLPRIPRSVHDVKTMRICTTIDSVEELPTGPSKISQTTHQFFITKKTGVNLTEGGQEFRDATVSPKLKGNMFNEFLSLSPSFSFHGQQGVKLQPLESSTDSERKENVQDIRTSTVCLKNESSVETDAMELDVFQKSLLSGMALCPLDQNIKGVHKPSLSETGNGIGEAGNEMVNGESPDMNERLPALPAAANSIDDGGTSTSRTQSLDAEHLLFHAEQPSNSNATACPDGPLEPDPSSRWVKRLKLSTSHPFASGTKISKMGEVSSRVKMNKISKIMNCSKTSSEPTVGRSHVRSKLALDQHPVLLKSGESSSSGSGRKSQEISLSRTWIHRWCRHIASPPNKKPDAALLCEPQSSKATSDELQEKQFPSLAAMALMGKAMNSFHPCEFRRKGSLIVWNT >KJB49728 pep chromosome:Graimondii2_0_v6:8:38301864:38307346:-1 gene:B456_008G135100 transcript:KJB49728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATENGFNGDTNLHKACTSKSQETSKGDEKTNTVPFHKLFVFADSTDILLMIVGTVGAVGNGLCMPLMTILFGDLVNAFGQNQSNNQVVHVVSKVSLKFVYLAVGAGVAAFLQVSCWMVTGERQAARIRGLYLKTILRQDIAFFDVETNTGEVVGRMSGDTVLIQDAMGEKVGKVLQLLSTFFGGFIIAFVKGWLLTLVMLSSIPLLVISGATMAVIISKMATRGQTAYAQAATVVEQTIGSIRTVASFTGEKQAISNYNKLLATAYKSGVHEGTAAGLGLGVVLLIIFCSYSLAVWFGGKMILEKGYTGGEVVNVIIAVLTGSMSLGQASPCMSAFAAGQAAAFKMFKTINRKPEIDPYDMSGKVLEDIHGDVELRDVYFSYPARPEEQIFSGFSLSIPCGTTAALVGESGSGKSTVISLIERFYDPLAGEVLIDGINLKDFQLRWIRGKIGLVSQEPVLFTSSIKDNIAYGKEDATIEEIQAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMGNRTTVIVAHRLSTVRNANTIAVIHRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESEQVADVSEVTPESFRQSSLRRSMKRSISRGSSIGDSSHHSFSVAFGLPTGMNVNDSSTVDTEDPSKQPLKQPLEVPIRRLAYLNKPEIPVLLLGTIAAVANGVILPIYGLLLSHVIETFFKPPDELKKDTRFWALIFMALGLASLLASPARTYFFSIAGCKLIQKIRLMCFSKVVHMEVGWFDEPDNSSGSIGARLSVDAASIRGLVGDALAQMVSNLASAIAGLVIAFVASWQLALIMLGLVPLIGFTGYFQANFMKGFSADAKMMYEDASQVANDAVGSIRTVASFCAEEKMMQLYSKKCEGPLQTGIKQGLISGSGFGLSFFLMFSVYATNFYAGAQLVKHGHVKFSDVFQVFFGLTMATIGITQSSSFAPDSSKAKSAAASIFAIIDRESKIDLSDESGTTLENVKAEIELHHVSFKYPLRPDIQIFQDLSLSIHAGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDGVEIRTLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKGGNATEAEILAASELANAHKFISSLQQGYDTVVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRIMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHDTLINIKDGLYASLVALHMSASAS >KJB49729 pep chromosome:Graimondii2_0_v6:8:38301893:38307999:-1 gene:B456_008G135100 transcript:KJB49729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATENGFNGDTNLHKACTSKSQETSKGDEKTNTVPFHKLFVFADSTDILLMIVGTVGAVGNGLCMPLMTILFGDLVNAFGQNQSNNQVVHVVSKVSLKFVYLAVGAGVAAFLQVSCWMVTGERQAARIRGLYLKTILRQDIAFFDVETNTGEVVGRMSGDTVLIQDAMGEKVGKVLQLLSTFFGGFIIAFVKGWLLTLVMLSSIPLLVISGATMAVIISKMATRGQTAYAQAATVVEQTIGSIRTVASFTGEKQAISNYNKLLATAYKSGVHEGTAAGLGLGVVLLIIFCSYSLAVWFGGKMILEKGYTGGEVVNVIIAVLTGSMSLGQASPCMSAFAAGQAAAFKMFKTINRKPEIDPYDMSGKVLEDIHGDVELRDVYFSYPARPEEQIFSGFSLSIPCGTTAALVGESGSGKSTVISLIERFYDPLAGEVLIDGINLKDFQLRWIRGKIGLVSQEPVLFTSSIKDNIAYGKEDATIEEIQAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMGNRTTVIVAHRLSTVRNANTIAVIHRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESEQVADVSEVTPESFRQSSLRRSMKRSISRGSSIGDSSHHSFSVAFGLPTGMNVNDSSTVDTEDPSKQPLKQPLEVPIRRLAYLNKPEIPVLLLGTIAAVANGVILPIYGLLLSHVIETFFKPPDELKKDTRFWALIFMALGLASLLASPARTYFFSIAGCKLIQKIRLMCFSKVVHMEVGWFDEPDNSSGSIGARLSVDAASIRGLVGDALAQMVSNLASAIAGLVIAFVASWQLALIMLGLVPLIGFTGYFQANFMKGFSADAKMMYEDASQVANDAVGSIRTVASFCAEEKMMQLYSKKCEGPLQTGIKQGLISGSGFGLSFFLMFSVYATNFYAGAQLVKHGHVKFSDVFQVFFGLTMATIGITQSSSFAPDSSKAKSAAASIFAIIDRESKIDLSDESGTTLENVKAEIELHHVSFKYPLRPDIQIFQDLSLSIHAGKVTKQSICHFIETKSYIRYCQLFFRLLLWLEKVGVGNPQ >KJB49460 pep chromosome:Graimondii2_0_v6:8:36085087:36087406:-1 gene:B456_008G122600 transcript:KJB49460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLRLHDVKRKFGEVHASCLKGCLKENFELYACSKLFYLAPDILQELKNLRPQLYSAAEYCEKSYIHSEQKQMVLDNLKDYAVQALVNAVDHLGTVAYKLTDLIEQQILEVSAMELQASCLHQQLHTCQVYTGKEGLRQHQVFAFIPKHHKHYILPNSVNKKVHFSPLVRTDSRQKYFEASCLQPFDSPAPKPLSCYLALETKPTSKGNSDTLASNEISKHSGNGSEVFRLLDNGDNTRIKSSAAPYLASNPLFPTLGITHGESEGPSMAFRSFDNRKHQIVRAPVRSQSLLSAFFVKQKAMKLKAGYVA >KJB49455 pep chromosome:Graimondii2_0_v6:8:36085653:36087591:-1 gene:B456_008G122600 transcript:KJB49455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVELPISNNLATTFDEVSLERSKSFVIALQELKNLRPQLYSAAEYCEKSYIHSEQKQMVLDNLKDYAVQALVNAVDHLGTVAYKLTDLIEQQILEVSAMELQASCLHQQLHTCQVYTGKEGLRQHQVFAFIPKHHKHYILPNSVNKKVHFSPLVRTDSRQKYFEASCLQPFDSPAPKPLSCYLALETKPTSKGNSDTLARLVWPNCSNVVLLVL >KJB49452 pep chromosome:Graimondii2_0_v6:8:36085087:36086350:-1 gene:B456_008G122600 transcript:KJB49452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQASCLHQQLHTCQVYTGKEGLRQHQVFAFIPKHHKHYILPNSVNKKVHFSPLVRTDSRQKYFEASCLQPFDSPAPKPLSCYLALETKPTSKGNSDTLASNEISKHSGNGSEVFRLLDNGDNTRIKSSAAPYLASNPLFPTLGITHGESEGPSMAFRSFDNRKHQIVRAPVRSQSLLSAFFVKQKAMKLKAGYVA >KJB49451 pep chromosome:Graimondii2_0_v6:8:36084819:36087827:-1 gene:B456_008G122600 transcript:KJB49451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVELPISNNLATTFDEVSLERSKSFVIALQELKNLRPQLYSAAEYCEKSYIHSEQKQMVLDNLKDYAVQALVNAVDHLGTVAYKLTDLIEQQILEVSAMELQASCLHQQLHTCQVYTGKEGLRQHQVFAFIPKHHKHYILPNSVNKKVHFSPLVRTDSRQKYFEASCLQPFDSPAPKPLSCYLALETKPTSKGNSDTLASNEISKHSGNGSEVFRLLDNGDNTRIKSSAAPYLASNPLFPTLGITHGESEGPSMAFRSFDNRKHQIVRAPVRSQSLLSAFFVKQKAMKLKAGYVA >KJB49456 pep chromosome:Graimondii2_0_v6:8:36084901:36087822:-1 gene:B456_008G122600 transcript:KJB49456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVELPISNNLATTFDEVSLERSKSFVIALQELKNLRPQLYSAAEYCEKSYIHSEQKQMVLDNLKDYAVQALVNAVDHLGTVAYKLTDLIEQQILEVSAMELQASCLHQQLHTCQVYTGKEGLRQHQVFAFIPKHHKHYILPNSVNKKVHFSPLVRTDSRQKYFEASCLQPFVLHQSLFHVT >KJB49461 pep chromosome:Graimondii2_0_v6:8:36085491:36087591:-1 gene:B456_008G122600 transcript:KJB49461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVELPISNNLATTFDEVSLERSKSFVIALQELKNLRPQLYSAAEYCEKSYIHSEQKQMVLDNLKDYAVQALVNAVDHLGTVAYKLTDLIEQQILEVSAMELQASCLHQQLHTCQVYTGKEGLRQHQVFAFIPKHHKHYILPNSVNKKVHFSPLVRTDSRQKYFEASCLQPFDSPAPKPLSCYLALETKPTSKGNSDTLASNEISKHSGNGSEVFRLLGTHFTLIAFLTLQDLHFDRNSCR >KJB49459 pep chromosome:Graimondii2_0_v6:8:36084901:36087822:-1 gene:B456_008G122600 transcript:KJB49459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLRLHDVKRKFGEVHASCLKGCLKENFELYACSKLFYLAPDILQELKNLRPQLYSAAEYCEKSYIHSEQKQMVLDNLKDYAVQALVNAVDHLGTVAYKLTDLIEQQILEVSAMELQASCLHQQLHTCQVYTGKEGLRQHQVFAFIPKHHKHYILPNSRQKYFEASCLQPFDSPAPKPLSCYLALETKPTSKGNSDTLASNEISKHSGNGSEVFRLLDNGDNTRIKSSAAPYLASNPLFPTLGITHGESEGPSMAFRSFDNRKHQIVRAPVRSQSLLSAFFVKQKAMKLKAGYVA >KJB49457 pep chromosome:Graimondii2_0_v6:8:36085340:36087591:-1 gene:B456_008G122600 transcript:KJB49457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVELPISNNLATTFDEVSLERSKSFVIALQELKNLRPQLYSAAEYCEKSYIHSEQKQMVLDNLKDYAVQALVNAVDHLGTVAYKLTDLIEQQILEVSAMELQASCLHQQLHTCQVYTGKEGLRQHQVFAFIPKHHKHYILPNSVNKKVHFSPLVRTDSRQKYFEASCLQPFDSPAPKPLSCYLALETKPTSKGNSDTLASNEISKHSGNGSEVFRLLDNGDNTRIKSSAAPYLASNPLFPTLGITHGVMFESTIMHTCLKPFKPMLVC >KJB49462 pep chromosome:Graimondii2_0_v6:8:36084901:36087822:-1 gene:B456_008G122600 transcript:KJB49462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQASCLHQQLHTCQVYTGKEGLRQHQVFAFIPKHHKHYILPNSVNKKVHFSPLVRTDSRQKYFEASCLQPFDSPAPKPLSCYLALETKPTSKGNSDTLASNEISKHSGNGSEVFRLLDNGDNTRIKSSAAPYLASNPLFPTLGITHGESEGPSMAFRSFDNRKHQIVRAPVRSQSLLSAFFVKQKAMKLKAGYVA >KJB49458 pep chromosome:Graimondii2_0_v6:8:36084901:36087822:-1 gene:B456_008G122600 transcript:KJB49458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVELPISNNLATTFDEVSLERSKSFVIALQNLRPQLYSAAEYCEKSYIHSEQKQMVLDNLKDYAVQALVNAVDHLGTVAYKLTDLIEQQILEVSAMELQASCLHQQLHTCQVYTGKEGLRQHQVFAFIPKHHKHYILPNSVNKKVHFSPLVRTDSRQKYFEASCLQPFDSPAPKPLSCYLALETKPTSKGNSDTLASNEISKHSGNGSEVFRLLDNGDNTRIKSSAAPYLASNPLFPTLGITHGESEGPSMAFRSFDNRKHQIVRAPVRSQSLLSAFFVKQKAMKLKAGYVA >KJB49463 pep chromosome:Graimondii2_0_v6:8:36085087:36086350:-1 gene:B456_008G122600 transcript:KJB49463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQASCLHQQLHTCQVYTGKEGLRQHQVFAFIPKHHKHYILPNSVNKKVHFSPLVRTDSRQKYFEASCLQPFDSPAPKPLSCYLALETKPTSKGNSDTLASNEISKHSGNGSEVFRLLDNGDNTRIKSSAAPYLASNPLFPTLGITHGESEGPSMAFRSFDNRKHQIVRAPVRSQSLLSAFFVKQKAMKLKAGYVA >KJB49454 pep chromosome:Graimondii2_0_v6:8:36084901:36086643:-1 gene:B456_008G122600 transcript:KJB49454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQASCLHQQLHTCQVYTGKEGLRQHQVFAFIPKHHKHYILPNSVNKKVHFSPLVRTDSRQKYFEASCLQPFDSPAPKPLSCYLALETKPTSKGNSDTLASNEISKHSGNGSEVFRLLDNGDNTRIKSSAAPYLASNPLFPTLGITHGESEGPSMAFRSFDNRKHQIVRAPVRSQSLLSAFFVKQKAMKLKAGYVA >KJB49453 pep chromosome:Graimondii2_0_v6:8:36084901:36086608:-1 gene:B456_008G122600 transcript:KJB49453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQASCLHQQLHTCQVYTGKEGLRQHQVFAFIPKHHKHYILPNSVNKKVHFSPLVRTDSRQKYFEASCLQPFDSPAPKPLSCYLALETKPTSKGNSDTLASNEISKHSGNGSEVFRLLDNGDNTRIKSSAAPYLASNPLFPTLGITHGESEGPSMAFRSFDNRKHQIVRAPVRSQSLLSAFFVKQKAMKLKAGYVA >KJB52783 pep chromosome:Graimondii2_0_v6:8:55408900:55411848:-1 gene:B456_008G276400 transcript:KJB52783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLWKLLYLLEPAPLTLILTAVAVTFGSAFRALNYGKEMERNRDLSEASITLDRSQALMIPIMSSCSLLLMFYLFSSVSQLLTAFTAIASVSSLFFCLSPHVAYLKSQLGLADPFVSRCCSKSFTRIQGLLLLACILMVAAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLVCLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGASPGGNSADFMMLGLGDMAIPAMLLALVLCFDHRKTRETVSLLDLHSSKGHKYIWYALPGYAVGLVTALAAGILTHSPQPALLYLVFCWPSVFQARAFANMM >KJB52782 pep chromosome:Graimondii2_0_v6:8:55408282:55411910:-1 gene:B456_008G276400 transcript:KJB52782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLWKLLYLLEPAPLTLILTAVAVTFGSAFRALNYGKEMERNRDLSEASITLDRSQALMIPIMSSCSLLLMFYLFSSVSQLLTAFTAIASVSSLFFCLSPHVAYLKSQLGLADPFVSRCCSKSFTRIQGLLLLACILMVAAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLVCLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGASPGGNSADFMMLGLGDMAIPAMLLALVLCFDHRKTRETVSLLDLHSSKGHKYIWYALPGYAVGLVTALAAGILTHSPQPALLYLVPSTLGPVIFISWLRKDLVELWEGTMPNLSDKARQIEV >KJB52784 pep chromosome:Graimondii2_0_v6:8:55409774:55411848:-1 gene:B456_008G276400 transcript:KJB52784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLWKLLYLLEPAPLTLILTAVAVTFGSAFRALNYGKEMERNRDLSEASITLDRSQALMIPIMSSCSLLLMFYLFSSVSQLLTAFTAIASVSSLFFCLSPHVAYLKSQLGLADPFVSRCCSKSFTRIQGLLLLACILMVAAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLVCLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGASPGGNSADFMMLGLGDMV >KJB52781 pep chromosome:Graimondii2_0_v6:8:55408306:55411664:-1 gene:B456_008G276400 transcript:KJB52781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLWKLLYLLEPAPLTLILTAVAVTFGSAFRALNYGKEMERNRDLSEASITLDRSQALMIPIMSSCSLLLMFYLFSSVSQLLTAFTAIASVSSLFFCLSPHVAYLKSQLGLADPFVSRCCSKSFTRIQGLLLLACILMVAAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLVCLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGASPGGNSADFMMLGLGDMAIPAMLLALVLCFDHRKTRETVSLLDLHSSKGHKYIWYALPGYAVGLVTALAAGILTHSPQPALLYLVPSTLGPVIFISWLRKDLVELWEGTMPNLSDKARQIEV >KJB53238 pep chromosome:Graimondii2_0_v6:8:57108099:57113642:1 gene:B456_008G298700 transcript:KJB53238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKNEWFNQSEFRVWYIEASKARIYHYGDDSVSLVAQTVLLYVFENILKLLHTFMPFVTEELWQALPNRREALIISSWPQTSLPRSTHLVKRFENLQALTRAIWNARAEYYVEPAKRITASIVGSEEVIQYIST >KJB49664 pep chromosome:Graimondii2_0_v6:8:37899747:37901357:-1 gene:B456_008G132500 transcript:KJB49664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCQQWKTVTAMVAINFAMAITNVLVKKVLDNGVSHIIILMYRQAISAVFLAPIAYFWERKSRPKLTARILCQLFFNALIGATLCQYFFLLGLEYTSATFSCAFLNMVPAITFILALPFGLEKVNIKNKSGIAKFVGTTGCIGGAMVLTLYKGKTLVRSDSETMVHAVNYVKKERWGIGSIFLAAGTVFWSSWFLVQASIGRTYPCQYSSTAFLSFFSAIQSAIISLITERDFTRWVLKEKLELVTGMVGSGLCYVGMSWCVKQKGPLFTSAFTPLVQIFAAIFDFSVLHGQIYLGSAVGSVFVVIGLYILLWGRNCEAKEETQNLKQPQMEEQDCNGTPQV >KJB49663 pep chromosome:Graimondii2_0_v6:8:37900314:37901322:-1 gene:B456_008G132500 transcript:KJB49663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCQQWKTVTAMVAINFAMAITNVLVKKVLDNGVSHIIILMYRQAISAVFLAPIAYFWERKSRPKLTARILCQLFFNALIGATLCQYFFLLGLEYTSATFSCAFLNMVPAITFILALPFGLEKVNIKNKSGIAKFVGTTGCIGGAMVLTLYKGKTLVRSDSETMVHAVNYVKKERWGIGSIFLAAGTVFWSSWFLVQASIGRTYPCQYSSTAFLSFFSAIQSAIISLITERDFTRWVLKEKLELVTVA >KJB52544 pep chromosome:Graimondii2_0_v6:8:54706233:54708712:-1 gene:B456_008G268500 transcript:KJB52544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSGWFSTVKKVFKSSHKDLPDKKRENNVEKWPNEAPDAVSFEHFPAESSPDITNNESAMSTPLNEDRNHAIAVAMATAAAAEAAVAAAQAAAKVVRLAGYGRQSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQARVRARRLQLTDHDKIPKRSDEDYEEKKGTGEVERKPRSLLKRYDSWDGGQQSSEKVKESVSKKHDAAMRRERALAYAYSYQQQQPQQQLMQPHPNGKDVGVHLNDREKAQWGWNWLEHWMSSQPYDARQLGHQEGSYMTLLTTTATTTVTDNMSEKTVEMDVVTAMDSGSYSTLQQAQSGSSNVPSYMAPTQSAKAKVRSQGPMIMKQQRVPHAPQWNPSTKKSPGCDSSSSGGGTTVYQAPRSPGQKSNGARIPSRRLGGCSPDTAGGHGGEDWRLPIGSHGW >KJB52553 pep chromosome:Graimondii2_0_v6:8:54706011:54709212:-1 gene:B456_008G268500 transcript:KJB52553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSGWFSTVKKVFKSSHKDLPDKKRENNVEKWPNEAPDAVSFEHFPAESSPDITNNESAMSTPLNEDRNHAIAVAMATAAAAEAAVAAAQAAAKVVRLAGYGRQSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQARVRARRLQLTDHDKIPKRSDEDYEEKKGTGEVERKPRSLLKRYDSWDGGQQSSEKVKESVSKKHDAAMRRERALAYAYSYQQQPQQQLMQPHPNGKDVGVHLNDREKAQWGWNWLEHWMSSQPYDARQLGHQEAMDSGSYSTLQQAQSGSSNVPSYMAPTQSAKAKVRSQGPMIMKQQRVPHAPQWNPSTKKSPGCDSSSSGGGTTVYQAPRSPGQKSNGARIPSRRLGGCSPDTAGGHGGEDWRLPIGSHGW >KJB52550 pep chromosome:Graimondii2_0_v6:8:54706004:54709223:-1 gene:B456_008G268500 transcript:KJB52550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSGWFSTVKKVFKSSHKDLPDKKRENNVEKWPNEAPDAVSFEHFPAESSPDITNNESAMSTPLNEDRNHAIAVAMATAAAAEAAVAAAQAAAKVVRLAGYGRQSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQARVRARRLQLTDHDKIPKRSDEDYEEKKGTGEVERKPRSLLKRYDSWDGGQQSSEKVKESVSKKHDAAMRRERALAYAYSYQQQPQQQLMQPHPNGKDVGVHLNDREKAQWGWNWLEHWMSSQPYDARQLGHQEGSYMTLLTTTATTTVTDNMSEKTVEMDVVTAMDSGSYSTLQQAQSGSSNVPSYMAPTQSAKAKVRSQGPMIMKQQRVPHAPQWNPSTKKSPGCDSSSSGGGTTVYQAPRSPGQKSNGARIPSRRLGGCSPDTAGGHGGEDWRLPIGSHGW >KJB52549 pep chromosome:Graimondii2_0_v6:8:54706233:54708712:-1 gene:B456_008G268500 transcript:KJB52549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSGWFSTVKKVFKSSHKDLPDKKRENNVEKWPNEAPDAVSFEHFPAESSPDITNNESAMSTPLNEDRNHAIAVAMATAAAAEAAVAAAQAAAKVVRLAGYGRQSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQARVRARRLQLTDHDKIPKRSDEDYEEKKGTGEVERKPRSLLKRYDSWDGGQQSSEKVKESVSKKHDAAMRRERALAYAYSYQQQPQQQLMQPHPNGKDVGVHLNDREKAQWGWNWLEHWMSSQPYDARQLGHQEGSYMTLLTTTATTTVTDNMSEKTVEMDVVTAMDSGSYSTLQQAQSGSSNVPSYMAPTQSAKAKVRSQGPMIMKQQRVPHAPQWNPSTKKSPGCDSSSSGGGTTVYQAPRSPGQKSNGARIPSRRLGGCSPDTAGGHGGEDWRLPIGSHGW >KJB52546 pep chromosome:Graimondii2_0_v6:8:54706011:54707854:-1 gene:B456_008G268500 transcript:KJB52546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLNEDRNHAIAVAMATAAAAEAAVAAAQAAAKVVRLAGYGRQSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQARVRARRLQLTDHDKIPKRSDEDYEEKKGTGEVERKPRSLLKRYDSWDGGQQSSEKVKESVSKKHDAAMRRERALAYAYSYQQQPQQQLMQPHPNGKDVGVHLNDREKAQWGWNWLEHWMSSQPYDARQLGHQEGSYMTLLTTTATTTVTDNMSEKTVEMDVVTAMDSGSYSTLQQAQSGSSNVPSYMAPTQSAKAKVRSQGPMIMKQQRVPHAPQWNPSTKKSPGCDSSSSGGGTTVYQAPRSPGQKSNGARIPSRRLGGCSPDTAGGHGGEDWRLPIGSHGW >KJB52551 pep chromosome:Graimondii2_0_v6:8:54706233:54708712:-1 gene:B456_008G268500 transcript:KJB52551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSGWFSTVKKVFKSSHKDLPDKKRENNVEKWPNEAPDAVSFEHFPAESSPDITNNESAMSTPLNEDRNHAIAVAMATAAAAEAAVAAAQAAAKVVRLAGYGRQSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQARVRARRLQLTDHDKIPKRSDEDYEEKKGTGEVERKPRSLLKRYDSWDGGQQSSEKVKESVSKKHDAAMRRERALAYAYSYQQQPQQQLMQPHPNGKDVGVHLNDREKAQWGWNWLEHWMSSQPYDARQLGHQEGSYMTLLTTTATTTVTDNMSEKTVEMDVVTAMDSGSYSTLQQAQSGSSNVPSYMAPTQSAKAKVRSQGPMIMKQQRVPHAPQWNPSTKKSPGCDSSSSGGGTTVYQAPRSPGQKSNGARIPSRRLGGCSPDTAGGHGGEDWRLPIGSHGW >KJB52547 pep chromosome:Graimondii2_0_v6:8:54706011:54708147:-1 gene:B456_008G268500 transcript:KJB52547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLNEDRNHAIAVAMATAAAAEAAVAAAQAAAKVVRLAGYGRQSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQARVRARRLQLTDHDKIPKRSDEDYEEKKGTGEVERKPRSLLKRYDSWDGGQQSSEKVKESVSKKHDAAMRRERALAYAYSYQQQPQQQLMQPHPNGKDVGVHLNDREKAQWGWNWLEHWMSSQPYDARQLGHQEGSYMTLLTTTATTTVTDNMSEKTVEMDVVTAMDSGSYSTLQQAQSGSSNVPSYMAPTQSAKAKVRSQGPMIMKQQRVPHAPQWNPSTKKSPGCDSSSSGGGTTVYQAPRSPGQKSNGARIPSRRLGGCSPDTAGGHGGEDWRLPIGSHGW >KJB52548 pep chromosome:Graimondii2_0_v6:8:54706233:54707220:-1 gene:B456_008G268500 transcript:KJB52548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRCMQALVRVQARVRARRLQLTDHDKIPKRSDEDYEEKKGTGEVERKPRSLLKRYDSWDGGQQSSEKVKESVSKKHDAAMRRERALAYAYSYQQQPQQQLMQPHPNGKDVGVHLNDREKAQWGWNWLEHWMSSQPYDARQLGHQEGSYMTLLTTTATTTVTDNMSEKTVEMDVVTAMDSGSYSTLQQAQSGSSNVPSYMAPTQSAKAKVRSQGPMIMKQQRVPHAPQWNPSTKKSPGCDSSSSGGGTTVYQAPRSPGQKSNGARIPSRRLGGCSPDTAGGHGGEDWRLPIGSHGW >KJB52543 pep chromosome:Graimondii2_0_v6:8:54706011:54709212:-1 gene:B456_008G268500 transcript:KJB52543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSGWFSTVKKVFKSSHKDLPDKKRENNVEKWPNEAPDAVSFEHFPAESSPDITNNESAMSTPLNEDRNHAIAVAMATAAAAEAAVAAAQAAAKVVRLAGYGRQSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQARVRARRLQLTDHDKIPKRSDEDYEEKKGTGEVERKPRSLLKRYDSWDGGQQSSEKVKESVSKKHDAAMRRERALAYAYSYQQQPQQQLMQPHPNGKDVGVHLNDREKAQWGWNWLEHWMSSQPYDARQLGHQEGSYMTLLTTTATTTVTDNMSEKTVEMDVVTAMDSGSYSTLQQAQSGSSNVPSYMAPTQSAKAKVRSQGPMIMKQQRVPHAPQWNPSTKKSPGCDSSSSGGGTTVYQAPRSPGQKSNGARIPSRRLGGCSPDTAGGHGGEDWRLPIGSHGW >KJB52555 pep chromosome:Graimondii2_0_v6:8:54706233:54707605:-1 gene:B456_008G268500 transcript:KJB52555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLNEDRNHAIAVAMATAAAAEAAVAAAQAAAKVVRLAGYGRQSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQARVRARRLQLTDHDKIPKRSDEDYEEKKGTGEVERKPRSLLKRYDSWDGGQQSSEKVKESVSKKHDAAMRRERALAYAYSYQQQPQQQLMQPHPNGKDVGVHLNDREKAQWGWNWLEHWMSSQPYDARQLGHQEGSYMTLLTTTATTTVTDNMSEKTVEMDVVTAMDSGSYSTLQQAQSGSSNVPSYMAPTQSAKAKVRSQGPMIMKQQRVPHAPQWNPSTKKSPGCDSSSSGGGTTVYQAPRSPGQKSNGARIPSRRLGGCSPDTAGGHGGEDWRLPIGSHGW >KJB52552 pep chromosome:Graimondii2_0_v6:8:54706011:54709212:-1 gene:B456_008G268500 transcript:KJB52552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSGWFSTVKKVFKSSHKDLPDKKRENNVEKWPNEAPDAVSFEHFPAESSPDITNNESAMSTPLNEDRNHAIAVAMATAAAAEAAVAAAQAAAKVVRLAGYGRQSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQARVRARRLQLTDHDKIPKRSDEDYEEKKGTGEVERKPRSLLKRYDSWDGGQQSSEKVKESVSKKHDAAMRRERALAYAYSYQQQPQQQLMQPHPNGKDVGVHLNDREKAQWGWNWLEHWMSSQPYDARQLGHQEGSYMTLLTTTATTTVTDNMSEKTVEMDVVTAMDSGSYSTLQQAQSGSSNVPSYMAPTQSAKAKVRSQGPMIMKQQRVPHAPQWNPSTKKSPGCDSSSSGGGTTVYQAPRSPGQKSNGARIPSRRLGGCSPDTAGGHGGEDWRLPIGSHGW >KJB52554 pep chromosome:Graimondii2_0_v6:8:54706697:54708712:-1 gene:B456_008G268500 transcript:KJB52554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSGWFSTVKKVFKSSHKDLPDKKRENNVEKWPNEAPDAVSFEHFPAESSPDITNNESAMSTPLNEDRNHAIAVAMATAAAAEAAVAAAQAAAKVVRLAGYGRQSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQARVRARRLQLTDHDKIPKRSDEDYEEKKGTGEVERKPRSLLKRYDSWDGGQQSSEKVKESVSKKHDAAMRRERALAYAYSYQVGSCNSHSNNSCNRTPMAKMLESTLMIARRHNGVGTGSSIGCHRNRTTLVN >KJB52545 pep chromosome:Graimondii2_0_v6:8:54706011:54709212:-1 gene:B456_008G268500 transcript:KJB52545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLNEDRNHAIAVAMATAAAAEAAVAAAQAAAKVVRLAGYGRQSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQARVRARRLQLTDHDKIPKRSDEDYEEKKGTGEVERKPRSLLKRYDSWDGGQQSSEKVKESVSKKHDAAMRRERALAYAYSYQQQPQQQLMQPHPNGKDVGVHLNDREKAQWGWNWLEHWMSSQPYDARQLGHQEGSYMTLLTTTATTTVTDNMSEKTVEMDVVTAMDSGSYSTLQQAQSGSSNVPSYMAPTQSAKAKVRSQGPMIMKQQRVPHAPQWNPSTKKSPGCDSSSSGGGTTVYQAPRSPGQKSNGARIPSRRLGGCSPDTAGGHGGEDWRLPIGSHGW >KJB52556 pep chromosome:Graimondii2_0_v6:8:54706011:54709212:-1 gene:B456_008G268500 transcript:KJB52556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLNEDRNHAIAVAMATAAAAEAAVAAAQAAAKVVRLAGYGRQSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQARVRARRLQLTDHDKIPKRSDEDYEEKKGTGEVERKPRSLLKRYDSWDGGQQSSEKVKESVSKKHDAAMRRERALAYAYSYQQQPQQQLMQPHPNGKDVGVHLNDREKAQWGWNWLEHWMSSQPYDARQLGHQEGSYMTLLTTTATTTVTDNMSEKTVEMDVVTAMDSGSYSTLQQAQSGSSNVPSYMAPTQSAKAKVRSQGPMIMKQQRVPHAPQWNPSTKKSPGCDSSSSGGGTTVYQAPRSPGQKSNGARIPSRRLGGCSPDTAGGHGGEDWRLPIGSHGW >KJB48496 pep chromosome:Graimondii2_0_v6:8:12504094:12504851:1 gene:B456_008G072000 transcript:KJB48496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRSVKEGRSLTRSFLVSVTQYLFSWMIDFYFVGVIVFYKLVVVEGMSMRALIAYRFIFATACITPLFFIFESQTWWTPSY >KJB51016 pep chromosome:Graimondii2_0_v6:8:48202973:48204769:-1 gene:B456_008G197600 transcript:KJB51016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRRGHVIVIPHAAQGHLAPLMKLSLQIAAHGVKVTLVNTEFIHEKVMASLPAKALEEDQRPPISLVSIPDGLEADDDRRDYVKMTESMQRVMPGHFMKLIENINSRSNGDEKISCVLADTAAGWALEVAEKMGIKRAAVLLSGPASMALALHVPKLVEAGILDSDGTLKTDEPITLSEDIPSWSRSELSWSCSDDPVMQKLLFAYVSTAAKTFKFAEQILCNSFHELDPSAMKLIPKALPIGPFSTTNHFETFAGNLWPEDSTCLTWLDKQTPGSVIYVALGSTTMLSPNQVGELALGLELTALPFLWVVRSNMTDGSTAEFPKGFMERVADRAKLVQWAPQEKVLAHPSVSCFLSHCGWNSTMEGLTIGVPFLCWPYFADQFHNRTYICDVWKIGLGLEKDENGIITRNELSSKINTLLSSDEIKANSFQLKGVARKSVAEGGSSFKNFKGFIDQI >KJB51017 pep chromosome:Graimondii2_0_v6:8:48203000:48204581:-1 gene:B456_008G197600 transcript:KJB51017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRRGHVIVIPHAAQGHLAPLMKLSLQIAAHGVKVTLVNTEFIHEKVMASLPAKALEEDQRPPISLVSIPDGLEADDDRRDYVKMTESMQRVMPGHFMKLIENINSRSNGDEKISCVLADTAAGWALEVAEKMGIKRAAVLLSGPASMALALHVPKLVEAGILDSDDEPITLSEDIPSWSRSELSWSCSDDPVMQKLLFAYVSTAAKTFKFAEQILCNSFHELDPSAMKLIPKALPIGPFSTTNHFETFAGNLWPEDSTCLTWLDKQTPGSVIYVALGSTTMLSPNQVGELALGLELTALPFLWVVRSNMTDGSTAEFPKGFMERVADRAKLVQWAPQEKVLAHPSVSCFLSHCGWNSTMEGLTIGVPFLCWPYFADQFHNRTYICDVWKIGLGLEKDENGIITRNELSSKINTLLSSDEIKANSFQLKGVARKSVAEGGSSFKNFKGFIDQI >KJB52478 pep chromosome:Graimondii2_0_v6:8:54371286:54374497:1 gene:B456_008G263800 transcript:KJB52478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMKKWLSWSQMVASMLLVQLFATGQQLLSKVILNQGTFIFSFMAYRHLVAALCVAPFAFFLERVDSKKMAWSTWVWLFINALTGITMAMGLFYYGLRDTTATYSTNFLNIIPIVTFVFSIFLGMEKLGLGSKAGKIKTVGAIICVGGALTTSLYKGKAFYLTHDHHPHYHSPAVAAAMAVSSPHWTRGTFMLVGSCVCYATWYILQVKLLEVFPSRYRATLITCIMASVQSTAIGLCLDRSKAAWRIEWNLQLVTIVYSGALSTAATFCLLTWSIAKQGPTYAPMFNPLSLIFVAISEALLLGEQMRLGMYVLLIPGTNSKRCLKSIILLLTFTAMVSCFQCARHGYDNSWIVLIFVGKKEGNETVRSSKCR >KJB52477 pep chromosome:Graimondii2_0_v6:8:54371221:54374545:1 gene:B456_008G263800 transcript:KJB52477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMKKWLSWSQMVASMLLVQLFATGQQLLSKVILNQGTFIFSFMAYRHLVAALCVAPFAFFLERVDSKKMAWSTWVWLFINALTGITMAMGLFYYGLRDTTATYSTNFLNIIPIVTFVFSIFLGMEKLGLGSKAGKIKTVGAIICVGGALTTSLYKGKAFYLTHDHHPHYHSPAVAAAMAVSSPHWTRGTFMLVGSCVCYATWYILQVKLLEVFPSRYRATLITCIMASVQSTAIGLCLDRSKAAWRIEWNLQLVTIVYSGALSTAATFCLLTWSIAKQGPTYAPMFNPLSLIFVAISEALLLGEQMRLGIVLGTVMIIVGLYSFLWGRRKETKPLGQASAGDETLTAKADLESGEMQLKSFVRPSPVKSVYAKEGGALKDSVISWNQ >KJB51315 pep chromosome:Graimondii2_0_v6:8:49794721:49801302:-1 gene:B456_008G211700 transcript:KJB51315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAGRTGWYKGRVKAVPSGDSLVVTAMASNRPGPPPEKTITLASLIAPRLARRGGIDEPFAWESREFLRKLCIGKEVTFRVEYAVPSIGREFGSVYLGDKNVAILVVSQGWAKVREQGQQKGEASPILSELLRLEEQAKQQGVGRWSKVPGAAEASIRDLPPSAIGDPSNLDAMGLLAANKGKPMEGIVEQVRDGSTVRVYLLPDFQFVQVFIAGIQSPSMGRRAVAETVVETDLTSDDQNGDASAEPRAPLTSAQKLSASTVAATEVSPDPFGPEAKHFTEVRCLNRDVRIVLEGVDKFSNLIGSVYYPEGETAKDLALELMENGLAKYVEWSANMMEDDAKRRLKAAELEAKKTRLRIWTNYVPPATSSKAIRDQNFTGKVVEVVSGDCIVVADDSVPYGSPLAERRVNLSSIRCPKIGNPRRDEKPAAYAREAREFLRTRLIGKQVTVEMEYSRKVPMADGAIAAAAPADSRVMDFGSVFLMSPAKGDGDDAPAALPSTAGSQPPGLNVAELVVGRGFGSVIRHRDFEERSNYYDALLAAESRAISGKKGIHSAKDPPVTHIQDLTMAPAKKARDFLHFLLHKRIPAIVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRDEPYSDEAIAVMRRKIMQRDVEIEVETVDRTGTFLGSLWESRTNMAVTLVEAGLAKLQTSFGADRIPDAHLLEQAEQSAKRQKLKIWENYVEGEEVSNGSAPVENKQKELLEVVITEVLNGGKFYVQTVGDQRVSSIQKQLASLNIQEAPVIGAFNPKKGDAVLAQFSMDNSWNRALHLV >KJB51313 pep chromosome:Graimondii2_0_v6:8:49794688:49800806:-1 gene:B456_008G211700 transcript:KJB51313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAGRTGWYKGRVKAVPSGDSLVVTAMASNRPGPPPEKTITLASLIAPRLARRGGIDEPFAWESREFLRKLCIGKEVTFRVEYAVPSIGREFGSVYLGDKNVAILVVSQGWAKVREQGQQKGEASPILSELLRLEEQAKQQGVGRWSKVPGAAEASIRDLPPSAIGDPSNLDAMGLLAANKGKPMEGIVEQVRDGSTVRVYLLPDFQFVQVFIAGIQSPSMGRRAVAETVVETDLTSDDQNGDASAEPRAPLTSAQKLSASTVAATEVSPDPFGPEAKHFTEVRCLNRDVRIVLEGVDKFSNLIGSVYYPEGETAKDLALELMENGLAKYVEWSANMMEDDAKRRLKAAELEAKKTRLRIWTNYVPPATSSKAIRDQNFTGKVVEVVSGDCIVVADDSVPYGSPLAERRVNLSSIRCPKIGNPRRDEKPAAYAREAREFLRTRLIGKQVTVEMEYSRKVPMADGAIAAAAPADSRVMDFGSVFLMSPAKGDGDDAPAALPSTAGSQPPGLNVAELVVGRGFGSVIRHRDFEERSNYYDALLAAESRAISGKKGIHSAKDPPVTHIQDLTMAPAKKARDFLHFLLHKRIPAIVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRDEPYSDEAIAVMRRKIMQRDVEIEVETVDRTGTFLGSLWESRTNMAVTLVEAGLAKLQTSFGADRIPDAHLLEQAEQSAKRQKLKIWENYVEGEEVSNGSAPVENKQKELLEVVITEVLNGGKFYVQTVGDQRVSSIQKQLASLNIQEAPVIGAFNPKKGDAVLAQFSMDNSWNRALIVNAPRGGVQSSNDRFEVFYLDYGNQELVPYNQLRPIDASLSATPGLAQLCSLAFLKVPSLDDELGTEAAQFLSEQTLGSSLQFKAVIEERDTSGGKVKGQGTGTCLVVTLFTEDPEDSINAAMLKDGLARLEKRKKWESKERKLMLNKLEECQEEAKTGRRGMWQYGDVESDDEDLFPPVAAKKTGGRR >KJB51316 pep chromosome:Graimondii2_0_v6:8:49794721:49801551:-1 gene:B456_008G211700 transcript:KJB51316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAGRTGWYKGRVKAVPSGDSLVVTAMASNRPGPPPEKTITLASLIAPRLARRGGIDEPFAWESREFLRKLCIGKEVTFRVEYAVPSIGREFGSVYLGDKNVAILVVSQGWAKVREQGQQKGEASPILSELLRLEEQAKQQGVGRWSKVPGAAEASIRDLPPSAIGDPSNLDAMGLLAANKGKPMEGIVEQVRDGSTVRVYLLPDFQFVQVFIAGIQSPSMGRRAVAETVVETDLTSDDQNGDASAEPRAPLTSAQKLSASTVAATEVSPDPFGPEAKHFTEVRCLNRDVRIVLEGVDKFSNLIGSVYYPEGETAKDLALELMENGLAKYVEWSANMMEDDAKRRLKAAELEAKKTRLRIWTNYVPPATSSKAIRDQNFTGKVVEVVSGDCIVVADDSVPYGSPLAERRVNLSSIRCPKIGNPRRDEKPAAYAREAREFLRTRLIGKQVTVEMEYSRKVPMADGAIAAAAPADSRVMDFGSVFLMSPAKGDGDDAPAALPSTAGSQPPGLNVAELVVGRGFGSVIRHRDFEERSNYYDALLAAESRAISGKKGIHSAKDPPVTHIQDLTMAPAKKARDFLHFLLHKRIPAIVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRDEPYSDEAIAVMRRKIMQRDVEIEVETVDRTGTFLGSLWESRTNMAVTLVEAGLAKLQTSFGADRIPDAHLLEQAEQSAKRQKLKIWENYVEGEEVSNGSAPVENKQKELLEVVITEVLNGGKFYVQTVGDQRVSSIQKQLASLNIQEAPVIGAFNPKKGDAVLAQFSMDNSWNRALIVNAPRGGVQSSNDRFEVFYLDYGNQELVPYNQLRPIDASLSATPGLAQLCSLAFLKVPSLDDELGTEAAQFLSEQTLGSSLQFKAVIEERDTSGGKVKGQGTGTCLVVTLFTEDPEDSINAAMLKDGLARLEKRKKWESKERKLMLNKLEECQEEAKTGRRGMWQYGDVESDDEDLFPPVAAKKTGGRR >KJB51314 pep chromosome:Graimondii2_0_v6:8:49794721:49801302:-1 gene:B456_008G211700 transcript:KJB51314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAGRTGWYKGRVKAVPSGDSLVVTAMASNRPGPPPEKTITLASLIAPRLARRGGIDEPFAWESREFLRKLCIGKEVTFRVEYAVPSIGREFGSVYLGDKNVAILVVSQGWAKVREQGQQKGEASPILSELLRLEEQAKQQGVGRWSKVPGAAEASIRDLPPSAIGDPSNLDAMGLLAANKGKPMEGIVEQVRDGSTVRVYLLPDFQFVQVFIAGIQSPSMGRRAVAETVVETDLTSDDQNGDASAEPRAPLTSAQKLSASTVAATEVSPDPFGPEAKHFTEVRCLNRDVRIVLEGVDKFSNLIGSVYYPEGETAKDLALELMENGLAKYVEWSANMMEDDAKRRLKAAELEAKKTRLRIWTNYVPPATSSKAIRDQNFTGKVVEVVSGDCIVVADDSVPYGSPLAERRVNLSSIRCPKIGNPRRDEKPAAYAREAREFLRTRLIGKQVTVEMEYSRKVPMADGAIAAAAPADSRVMDFGSVFLMSPAKGDGDDAPAALPSTAGSQPPGLNVAELVVGRGFGSVIRHRDFEERSNYYDALLAAESRAISGKKGIHSAKDPPVTHIQDLTMAPAKKARDFLHFLLHKRIPAIVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRDEPYSDEAIAVMRRKIMQRDVEIEVETVDRTGTFLGSLWESRTNMAVTLVEAGLAKLQTSFGADRIPDAHLLEQAEQSAKRQKLKIWENYVEGEEVSNGSAPVENKQKELLEVVVITEVLNGGKFYVQTVGDQRVSSIQKQLASLNIQEAPVIGAFNPKKGDAVLAQFSMDNSWNRALIVNAPRGGVQSSNDRFEVFYLDYGNQELVPYNQLRPIDASLSATPGLAQLCSLAFLKVPSLDDELGTEAAQFLSEQTLGSSLQFKAVIEERDTSGGKVKGQGTGTCLVVTLFTEDPEDSINAAMLKDGLARLEKRKKWESKERKLMLNKLEECQEEAKTGRRGMWQYGDVESDDEDLFPPVAAKKTGGRR >KJB51317 pep chromosome:Graimondii2_0_v6:8:49794808:49801302:-1 gene:B456_008G211700 transcript:KJB51317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAGRTGWYKGRVKAVPSGDSLVVTAMASNRPGPPPEKTITLASLIAPRLARRGGIDEPFAWESREFLRKLCIGKEVTFRVEYAVPSIGREFGSVYLGDKNVAILVVSQGWAKVREQGQQKGEASPILSELLRLEEQAKQQGVGRWSKVPGAAEASIRDLPPSAIGDPSNLDAMGLLAANKGKPMEGIVEQVRDGSTVRVYLLPDFQFVQVFIAGIQSPSMGRRAVAETVVETDLTSDDQNGDASAEPRAPLTSAQKLSASTVAATEVSPDPFGPEAKHFTEVRCLNRDVRIVLEGVDKFSNLIGSVYYPEGETAKDLALELMENGLAKYVEWSANMMEDDAKRRLKAAELEAKKTRLRIWTNYVPPATSSKAIRDQNFTGKVVEVVSGDCIVVADDSVPYGSPLAERRVNLSSIRCPKIGNPRRDEKPAAYAREAREFLRTRLIGKQVTVEMEYSRKVPMADGAIAAAAPADSRVMDFGSVFLMSPAKGDGDDAPAALPSTAGSQPPGLNVAELVVGRGFGSVIRHRDFEERSNYYDALLAAESRAISGKKGIHSAKDPPVTHIQDLTMAPAKKARDFLHFLLHKRIPAIVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRDEPYSDEAIAVMRRKIMQRDVEIEVETVDRTGTFLGSLWESRTNMAVTLVEAGLAKLQTSFGADRIPDAHLLEQAEQSAKRQKLKIWENYVEGEEVSNGSAPVENKQKELLEVVITEVLNGGKFYVQTVGDQRVSSIQKQLASLNIQEAPVIGAFNPKKGDAVLAQFSMDNSWNRALVSSVNLILNMFFFL >KJB52129 pep chromosome:Graimondii2_0_v6:8:53135018:53138664:1 gene:B456_008G247200 transcript:KJB52129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLLSLPKFLPPHPSYTSLKGHRHHRHRGVHFKPLRLPSLRRLPAIGPDGKYYPTPSDEDPPEAPEDSMHGVDKFQQIHRQAARARKLQEEDFNKHKSNYLSAIADVDEDELSKEKTGNDDGDDLFGEIDKAIAMKRQEMVKQGLLEQAPKKAEAIDELDPDEVVDLEEIDKLRGLTVASDSDEGENEDSDDEDSSKFDVGLSDSEGKERGNDKFNLLDPSFDLDLDSFGKSKVRIVEPKFKMSLAELLDESKVVPVSVYGDIEVEITGIQHDSRLVSAGDLFVCCVGRKTDGHLYLSEADKRGAVAVVASKEIDIEDTLGCKALVIVEDTNSVLPALAASFYRHPSRNMAVIGITGTNGKTTTSYLIKGMYEAMGLRTGMLSTVAYYIHGDNKLESPNTTPDAVLVQNLMAKMLHNGTEAVVMEASSHGLALGRCNEVDFDIAVFTNLTRHLDFHGTEEEYRDAKAKLFARMVDPERHRKVVNIDDPHAPFFVAQGNPEVPVVTFAMENKNADVHPLKFELSLFETQVLVNTPHGILEISSGLLGRHNIYNILASVAVGIAVGAPLEDIVRGIEEVDAVPGRCELIDEEQAFGVIVDYAHTPDALSRLLDSARELGPKRIITVIGCPGESDRGKRPMMAKIATDKSEVTMLTSDNPKSEDPLDILDDMLAGVGWTMQDYLKYGENDYYPPLPNGHRLFLHDIRRVAVRCAVAMGEEGDMVVIAGKGHETYQIEGDKKEFFDDREECREALQYVDELHQAGIDTSEFPWRLPESH >KJB52130 pep chromosome:Graimondii2_0_v6:8:53135018:53137868:1 gene:B456_008G247200 transcript:KJB52130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLLSLPKFLPPHPSYTSLKGHRHHRHRGVHFKPLRLPSLRRLPAIGPDGKYYPTPSDEDPPEAPEDSMHGVDKFQQIHRQAARARKLQEEDFNKHKSNYLSAIADVDEDELSKEKTGNDDGDDLFGEIDKAIAMKRQEMVKQGLLEQAPKKAEAIDELDPDEVVDLEEIDKLRGLTVASDSDEGENEDSDDEDSSKFDVGLSDSEGKERGNDKFNLLDPSFDLDLDSFGKSKVRIVEPKFKMSLAELLDESKVVPVSVYGDIEVEITGIQHDSRLVSAGDLFVCCVGRKTDGHLYLSEADKRGAVAVVASKEIDIEDTLGCKALVIVEDTNSVLPALAASFYRHPSRNMAVIGITGTNGKTTTSYLIKGMYEAMGLRTGMLSTVAYYIHGDNKLESPNTTPDAVLVQNLMAKMLHNGTEAVVMEASSHGLALGRCNEVDFDIAVFTNLTRHLDFHGTEEEYRDAKAKLFARMVDPERHRKVVNIDDPHAPFFVAQGNPEVPVVTFAMENKNADVHPLKFELSLFETQVLVNTPHGILEISSGLLGRHNIYNILASVAVGIAVGAPLEDIVRGIEEVDAVPGRCELIDEEQAFGVIVDYAHTPDALSRLLDSARELGPKRIITVIGCPGESDRGKRPMMAKIATDKSEVTMLTSDNPKSEDPLDILDDMLAGVGWTMQDYLKYGENDYYPPLPNGHRLFLHDIRRVAVRCAVAMGEEGDMVVIAGKGHETYQIEGDKKEFFDDREECREALQYVDELHQAGIDTSEFPWR >KJB52128 pep chromosome:Graimondii2_0_v6:8:53134943:53138746:1 gene:B456_008G247200 transcript:KJB52128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLLSLPKFLPPHPSYTSLKGHRHHRHRGVHFKPLRLPSLRRLPAIGPDGKYYPTPSDEDPPEAPEDSMHGVDKFQQIHRQAARARKLQEEDFNKHKSNYLSAIADVDEDELSKEKTGNDDGDDLFGEIDKAIAMKRQEMVKQGLLEQAPKKAEAIDELDPDEVVDLEEIDKLRGLTVASDSDEGENEDSDDEDSSKFDVGLSDSEGKERGNDKFNLLDPSFDLDLDSFGKSKVRIVEPKFKMSLAELLDESKVVPVSVYGDIEVEITGIQHDSRLVSAGDLFVCCVGRKTDGHLYLSEADKRGAVAVVASKEIDIEDTLGCKALVIVEDTNSVLPALAASFYRHPSRNMAVIGITGTNGKTTTSYLIKGMYEAMGLRTGMLSTVAYYIHGDNKLESPNTTPDAVLVQNLMAKMLHNGTEAVVMEASSHGLALGRCNEVDFDIAVFTNLTRHLDFHGTEEEYRDAKAKLFARMVDPERHRKVVNIDDPHAPFFVAQGNPEVPVVTFAMENKNADVHPLKFELSLFETQVLVNTPHGILEISSGLLGRHNIYNILASVAVGIAVGAPLEDIVRGIEEVDAVPGRCELIDEEQAFGVIVDYAHTPDALSRLLDSARELGPKRIITVIGCPGESDRGKRPMMAKIATDKSEVTMLTSDNPKSEDPLDILDDMLAGVGWTMQDYLKYGENDYYPPLPNGHRLFLHDIRRVAVRCAVAMGEEGDMVVIAGKGHETYQIEGDKKEFFDDREECREALQYVDELHQAGIDTSEFPWRLPESH >KJB48673 pep chromosome:Graimondii2_0_v6:8:15649759:15652017:-1 gene:B456_008G080500 transcript:KJB48673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRDVKPHNIMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLIDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNNDQLVKIAKVVGTDELNAYLNKYQLELDPQLNALVGRNSRKPWSKFINADNQHLVSLEAIDFLDKLLRYDHQERLTAKEAMVHPYFSQVRASESCRMRGQ >KJB48672 pep chromosome:Graimondii2_0_v6:8:15649535:15653290:-1 gene:B456_008G080500 transcript:KJB48672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSKTPSLVFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSHGIMHRDVKPHNIMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLIDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNNDQLVKIAKVVGTDELNAYLNKYQLELDPQLNALVGRNSRKPWSKFINADNQHLVSLEAIDFLDKLLRYDHQERLTAKEAMVHPYFSQVRASESCRMRGQ >KJB48671 pep chromosome:Graimondii2_0_v6:8:15649492:15653290:-1 gene:B456_008G080500 transcript:KJB48671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKREIKILQNLCGGPNIIKLLDIVRDQHSKTPSLVFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSHGIMHRDVKPHNIMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLIDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNNDQLVKIAKVVGTDELNAYLNKYQLELDPQLNALVGRNSRKPWSKFINADNQHLVSLEAIDFLDKLLRYDHQERLTAKEAMVHPYFSQVRASESCRMRGQ >KJB48669 pep chromosome:Graimondii2_0_v6:8:15649535:15653290:-1 gene:B456_008G080500 transcript:KJB48669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRDVKPHNIMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLIDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNNDQLVKIAKVVGTDELNAYLNKYQLELDPQLNALVGRNSRKPWSKFINADNQHLVSLEAIDFLDKLLRYDHQERLTAKEAMVHPYFSQVRASESCRMRGQ >KJB48667 pep chromosome:Graimondii2_0_v6:8:15649759:15652017:-1 gene:B456_008G080500 transcript:KJB48667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRDVKPHNIMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLIDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNNDQLVKIAKVVGTDELNAYLNKYQLELDPQLNALVGRNSRKPWSKFINADNQHLVSLEAIDFLDKLLRYDHQERLTAKEAMVHPYFSQVRASESCRMRGQ >KJB48674 pep chromosome:Graimondii2_0_v6:8:15650793:15653290:-1 gene:B456_008G080500 transcript:KJB48674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSRVYSDVNVLRPKEYWDYESLTVQWGDQDGYEVIRKVGRGKYSEVFEGKNVNNNERCVIKILKPVEKKKIKREIKILQNLCGGPNIIKLLDIVRDQHSKTPSLVFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSHGIMHRDVKPHNIMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLIDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNNDQLVKIAKHLLRSFIPLLLELGFE >KJB48670 pep chromosome:Graimondii2_0_v6:8:15649846:15652017:-1 gene:B456_008G080500 transcript:KJB48670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRDVKPHNIMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLIDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNNDQLVKIAKVVGTDELNAYLNKYQLELDPQLNALVGRNSRKPWSKFINADNQHLVSLEAIDFLDKLLRYDHQERLTAKEAMVDHSFFCSFGLIKSVIMK >KJB48668 pep chromosome:Graimondii2_0_v6:8:15649492:15653352:-1 gene:B456_008G080500 transcript:KJB48668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSRVYSDVNVLRPKEYWDYESLTVQWGDQDGYEVIRKVGRGKYSEVFEGKNVNNNERCVIKILKPVEKKKIKREIKILQNLCGGPNIIKLLDIVRDQHSKTPSLVFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSHGIMHRDVKPHNIMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLIDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNNDQLVKIAKVVGTDELNAYLNKYQLELDPQLNALVGRNSRKPWSKFINADNQHLVSLEAIDFLDKLLRYDHQERLTAKEAMVHPYFSQVRASESCRMRGQ >KJB49226 pep chromosome:Graimondii2_0_v6:8:33688521:33690932:-1 gene:B456_008G107400 transcript:KJB49226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEYEWGNPTAMILTGEEADQEPDPTRQILEHYGANTTTHHHHQAGFNETLFPHQQSAAFVPFQAQTQHGYLHSMYDPRAYTGASGYTTPHPSSLLSLDPVSGTGGNGGGGYFLVPKTEEVSRPVDFTARIGLNLGGRTYFSSAEDDFVNRLYRRSRPGEPGSTNSPRCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKSQHQQQQPSNQENQLKHSTLENGRNSSTDNNHPRSPPRPPPPQSDSRVHSSSTVTVAVSPPRMSLDYFRQRPYNATGSSSSSSSPSTFFFSSG >KJB49227 pep chromosome:Graimondii2_0_v6:8:33689341:33690826:-1 gene:B456_008G107400 transcript:KJB49227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEYEWGNPTAMILTGEEADQEPDPTRQILEHYGANTTTHHHHQAGFNETLFPHQQSAAFVPFQAQTQHGYLHSMYDPRAYTGASGYTTPHPSSLLSLDPVSGTGGNGGGGYFLVPKTEEVSRPVDFTARIGLNLGGRTYFSSAEDDFVNRLYRRSRPGEPGSTNSPRCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKSQHQQQQPSNQENQLKHSTLENGRNSSTDNNHPSKSFSKSFSF >KJB50062 pep chromosome:Graimondii2_0_v6:8:40726181:40728143:-1 gene:B456_008G151200 transcript:KJB50062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDLENPLTNSNHLCPPPSPNSTSLFLLESDHMPSHHYIQSLKAGAFPTSVRRNAIASISLQQPKAWVVRLVACSCVSLAAKMTKTEFSLIDFQGDGGFIFDAQTIERMEYLILGALKWRMRSITPFSFISFFISFFNLKDPPLRQALKARAVELILKAQMDTRLMELRPSIIAASALLSASHQLLPLQFPCFRKAISSCSYVNKENMLQCCNWMQEMEKEGEQSESVDEIMASSSNTPVNVLDQHFSCWESEIAADATKTDMKRPRINDYPDNHSVHFSQLQHC >KJB50063 pep chromosome:Graimondii2_0_v6:8:40726517:40727937:-1 gene:B456_008G151200 transcript:KJB50063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDLENPLTNSNHLCPPPSPNSTSLFLLESDHMPSHHYIQSLKAGAFPTSVRRNAIASISLFCCRFGPFLPYLAVNYLDRFLSSQGIPQQPKAWVVRLVACSCVSLAAKMTKTEFSLIDFQGDGGFIFDAQTIERMEYLILGALKWRMRSITPFSFISFFISFFNLKDPPLRQALKARAVELILKAQMDTRLMELRPSIIAASALLSASHQLLPLQFPCFRKAISSCSYVNKENMLQCCNWMQEMEKEGEQSESVDEIMASSSNTPVNVLDQHFSCWESEIAADATKTDMKRPRINDYPDNHSVHFSQLQHC >KJB50061 pep chromosome:Graimondii2_0_v6:8:40726181:40728087:-1 gene:B456_008G151200 transcript:KJB50061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDLENPLTNSNHLCPPPSPNSTSLFLLESDHMPSHHYIQSLKAGAFPTSVRRNAIASISLFCCRFGPFLPYLAVNYLDRFLSSQGIPQPKAWVVRLVACSCVSLAAKMTKTEFSLIDFQGDGGFIFDAQTIERMEYLILGALKWRMRSITPFSFISFFISFFNLKDPPLRQALKARAVELILKAQMDTRLMELRPSIIAASALLSASHQLLPLQFPCFRKAISSCSYVNKENMLQCCNWMQEMEKEGEQSESVDEIMASSSNTPVNVLDQHFSCWESEIAADATKTDMKRPRINDYPDNHSVHFSQLQHC >KJB48037 pep chromosome:Graimondii2_0_v6:8:7176078:7178186:1 gene:B456_008G051200 transcript:KJB48037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYIGKEDEVQQRPYWRWSKVDFLPEESFQNWNTYLSALSQIYSRFNDRLLSRSDDANEITQLRKQSENDMKRCLTWWDLTWFGFGSVIGAGIFVLTGQEAHHHAGPAIVLSYVASGISAMLSVFCYTEFAVEIPVAGGSFAYLRIELGDFVAFITAGNILLESIVGGAAVARAWTSYFATLLNRQPDSLRIPKGNYLLDPIAVAVLAIAATIAMISTKKTSQLNWIATALNTLVILFVLIAGFAHANTSNLTPFLPHGAKGIFQAAAIVYFAYGGFDSIATMAEETKNPSRDIPLGLLGSMSIITVVYCLMALSLSMMQKYTEIDPNAAYSTAFQSVGMKWAKYLVALGALKGMTTVLLVGALGQARYTTHIARAHMIPPWFALVHPKTGTPINATLLMTISSGLIAFFSSLDVLASLLSVSTLFIFMMMAVALLVRRYYVREVTPGINQLKLVIFLLVIIASSMGTSAYWGLRPNGWVGYVVTIPLWFLGTMGVSIFLPQQRTPKVWGVPLVPWLPSLSVAINIFLMGSLGPPAFIRFGICTVAMLVYYILVGVHATYDMAHQQQKLDSLKVKNEDEDKEEKA >KJB52330 pep chromosome:Graimondii2_0_v6:8:53865437:53867408:1 gene:B456_008G256500 transcript:KJB52330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSVPQESSHSDELLMQQGLLFSDTLKDLKSLRKQLYSAADYFERTYNEEEQKELVEDTLKDYAIKALINTVDHLGSVAYKVNNFLDDKMVEISGMDLRLSCLEQRLRTCREFVSLGGLSQQSLVFEAHNKHHKRYIFPVEQTLNDVAETIFEFHPNRMPARLDLHQFNNIDIQAVAAETPSESITDAFYALHSPQSLPRKSPRLFTSISMNQRQGKFEHCYSYLLEAIW >KJB52332 pep chromosome:Graimondii2_0_v6:8:53865437:53868184:1 gene:B456_008G256500 transcript:KJB52332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEISGMDLRLSCLEQRLRTCREFVSLGGLSQQSLVFEAHNKHHKRYIFPVEQTLNDVAETIFEFHPNRMPARLDLHQFNNIDIQAVAAETPSESITDAFYALHSPQSLPRKSPRLFTSISMNQRQENRSNSPRHFLLPRSGSVMQRSSSPSHSNAKKRWPSEPRRTVSMSTSLAERERAKDMEQYSSKGKRLLKAMLSLRKSKKNVTLHKFLDEN >KJB52329 pep chromosome:Graimondii2_0_v6:8:53865437:53867408:1 gene:B456_008G256500 transcript:KJB52329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSVPQESSHSDELLMQQGLLFSDTLKDLKSLRKQLYSAADYFERTYNEEEQKELVEDTLKDYAIKALINTVDHLGSVAYKVNNFLDDKMVEISGMDLRLSCLEQRLRTCREFVSLGGLSQQSLVFEAHNKHHKRYIFPVEQTLNDVAETIFEFHPNRMPARLDLHQFNNIDIQAVAAETPSESITDAFYALHSPQSLPRKSPRLFTSISMNQRQGKFEHCYSYLLEAIW >KJB52331 pep chromosome:Graimondii2_0_v6:8:53865437:53868184:1 gene:B456_008G256500 transcript:KJB52331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSVPQESSHSDELLMQQGLLFSDTLKDLKSLRKQLYSAADYFERTYNEEEQKELVEDTLKDYAIKALINTVDHLGSVAYKVNNFLDDKMVEISGMDLRLSCLEQRLRTCREFVSLGGLSQQSLVFEAHNKHHKRYIFPVEQTLNDVAETIFEFHPNRMPARLDLHQFNNIDIQAVAAETPSESITDAFYALHSPQSLPRKSPRLFTSISMNQRQENRSNSPRHFLLPRSGSVMQRSSSPSHSNAKKRWPSEPRRTVSMSTSLAERERAKDMEQYSSKGKRLLKAMLSLRKSKKNVTLHKFLDEN >KJB52328 pep chromosome:Graimondii2_0_v6:8:53865337:53868184:1 gene:B456_008G256500 transcript:KJB52328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSVPQESSHSDELLMQQGLLFSDTLKDLKSLRKQLYSAADYFERTYNEEEQKELVEDTLKDYAIKALINTVDHLGSVAYKVNNFLDDKMVEISGMDLRLSCLEQRLRTCREFVSLGGLSQQSLVFEAHNKHHKRYIFPVEQTLNDVAETIFEFHPNRMPARLDLHQFNNIDIQAVAAETPSESITDAFYALHSPQSLPRKSPRLFTSISMNQRQENRSNSPRHFLLPRSGSVMQRSSSPSHSNAKKRWPSEPRRTVSMSTSLAERERAKDMEQYSSKGKRLLKAMLSLRKSKKNVTLHKFLDEN >KJB52333 pep chromosome:Graimondii2_0_v6:8:53865567:53867408:1 gene:B456_008G256500 transcript:KJB52333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSVPQESSHSDELLMQQGLLFSDTLKDLKSLRKQLYSAADYFERTYNEEEQKELVEDTLKDYAIKALINTVDHLGSVAYKVNNFLDDKMVEISGMDLRLSCLEQRLRTCREFVSLGGLSQQSLVFEAHNKHHKRYIFPVEQTLNDVAETIFEFHPNRMPARLDLHQFNNIGIVYLHVHIQTFLVRQVIDDVSVSCYRTPDIQAVAAETPSESITDAFYALHSPQSLPRKSPRLFTSISMNQRQENRSNSPRHFLLPRSGSVMQRSSSPSHSNAKKRWPSEPRRTVSMSTSLAERERAKDMEQYSSKGKRLLKAMLSLRKSKKNVTLHKFLDEN >KJB49233 pep chromosome:Graimondii2_0_v6:8:33818878:33822066:1 gene:B456_008G107900 transcript:KJB49233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKDDGLGLSLSLGCGQNQPSSKLNFMFLASNPLQNLQQNKTWNRLCLSSDGHMDTGSFLRGIDVNRAPAATVDCEEEGGGVSSPNSTISTISGKKNERDHVADETEAERDSCSRASDDEDGGGNAGGGDASRKKLRLSKEQSMVLEETFKEHSTLNPKQKLALAKQLNLKPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCENLTEENRRLHKEVQELRALKLSPQLYMHMKPPTTLTMCPSCERVAAPGSSAVKRCQTSPDRQQPVPVNPWAAMPITHQPFNAPASRS >KJB46794 pep chromosome:Graimondii2_0_v6:8:12444048:12445717:1 gene:B456_008G071800 transcript:KJB46794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLYHVLLNHFLNHLRCLFDPVAIYSKTTAFHGLGVCSLVLFSPYMRKGNRFKTNNHVLDFQDDWA >KJB48754 pep chromosome:Graimondii2_0_v6:8:18287060:18287783:-1 gene:B456_008G084800 transcript:KJB48754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WKAWKKLKGEYTGLGWNPIKRTVDASDEWWESRLQVVPEAKKFRTLGIDPEFEGKLDQMFMGIVATGDKAWAPSSGTLSSDFFEDVNNEIPEENEEENMRNDVHILNDVHISNDVQIDGNSQKRKNPEMSSSHFKTGRKKFSKQIGGAARLSSQIEKLCNAADSMSQATSSLTPVMDPFGIPQAVKMFDNMSEEVPEASPLYFFALRLLL >KJB52977 pep chromosome:Graimondii2_0_v6:8:56188981:56192756:-1 gene:B456_008G286300 transcript:KJB52977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLGSFRHSLLERREKTKGYSVLGLTNEEEELQQQRCRWYSYRFISEKVTGFLKEVQYVAGQAWQMGISDPRKIVFSAKMGLALALISLLIFLKEEPIKELSQYSVWAILTVVVVFEFSIGATLSKGFNRGLGTLSAGGLALAVAELSELAGQWEEIVVVISIFITGCVKGYLNCVEYERVPSRILTYQASDDPVYNGYRSAVESTSQEEALLGFAIWEPPHGPYKSLGYPWKNYARLSGALRHCAFMVMALHGCILSEIQAPPERRRVFYHELQRVGATGARLLCELGDKVKKMEKLGSRDMLFDVLGAAEELQNKVDRKSYLLVNAESWEIGNRPHSPVEPQDSRNSDNEEHKVLVYKSLSEAVLDLKSVPIPNSWDGQQTNVGVRPSVSPVVPSNDLLKKQISWPAPRSFTTDTSPPLEESKTYENASALSLATFTSLLIEFVARLENVVDSFEELSEKANFKEPEELPAAAREPIGFWSRLFRWKWYRPGA >KJB52978 pep chromosome:Graimondii2_0_v6:8:56189315:56192756:-1 gene:B456_008G286300 transcript:KJB52978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLGSFRHSLLERREKTKGYSVLGLTNEEEELQQQRCRWYSYRFISEKVTGFLKEVQYVAGQAWQMGISDPRKIVFSAKMGLALALISLLIFLKEEPIKELSQYSVWAILTVVVVFEFSIGATLSKGFNRGLGTLSAGGLALAVAELSELAGQWEEIVVVISIFITAVNVCIYPIWAGEDLHNLVAKNFMSVANSLEGCVKGYLNCVEYERVPSRILTYQASDDPVYNGYRSAVESTSQEEALLGFAIWEPPHGPYKSLGYPWKNYARLSGALRHCAFMVMALHGCILSEIQAPPERRRVFYHELQRVGATGARLLCELGDKVKKMEKLGSRDMLFDVLGAAEELQNKVDRKSYLLVNAESWEIGNRPHSPVEPQDSRNSDNEEHKVLVYKSLSEAVLDLKSVPIPNSWDGQQTNVGVRPSVSPVVPSNDLLKKQISWPAPRSFTTDTSPPLEESKTYENASALSLATFTSLLIEFVARLENVVDSFEELSEKANFKEPEELPAAAREPIGFWSRLFRWKWYRPGA >KJB52976 pep chromosome:Graimondii2_0_v6:8:56188907:56192850:-1 gene:B456_008G286300 transcript:KJB52976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLGSFRHSLLERREKTKGYSVLGLTNEEEELQQQRCRWYSYRFISEKVTGFLKEVQYVAGQAWQMGISDPRKIVFSAKMGLALALISLLIFLKEEPIKELSQYSVWAILTVVVVFEFSIGATLSKGFNRGLGTLSAGGLALAVAELSELAGQWEEIVVVISIFITGFLSTYAKLYPTMKPYEYGFRVFVLTYCFVIVSGYRTGDFIHTAVTRFFLIALGAGVSLAVNVCIYPIWAGEDLHNLVAKNFMSVANSLEGCVKGYLNCVEYERVPSRILTYQASDDPVYNGYRSAVESTSQEEALLGFAIWEPPHGPYKSLGYPWKNYARLSGALRHCAFMVMALHGCILSEIQAPPERRRVFYHELQRVGATGARLLCELGDKVKKMEKLGSRDMLFDVLGAAEELQNKVDRKSYLLVNAESWEIGNRPHSPVEPQDSRNSDNEEHKVLVYKSLSEAVLDLKSVPIPNSWDGQQTNVGVRPSVSPVVPSNDLLKKQISWPAPRSFTTDTSPPLEESKTYENASALSLATFTSLLIEFVARLENVVDSFEELSEKANFKEPEELPAAAREPIGFWSRLFRWKWYRPGA >KJB51361 pep chromosome:Graimondii2_0_v6:8:50032807:50035471:-1 gene:B456_008G213300 transcript:KJB51361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAETNTIINDKDDQETVPKIITFLSSLLQRVAESNDHCRLFQSQKISVFHGLTRPTISIKSYLERIFKYANCSPSCFVVAYVYLDRFVQMQPSLPINSYNVHRLLITSVLVSAKFMDDMYYNNAYYAKVGGISTVEMNLLEVDFLFGLGFQLNVAPTTFHTYCTFLQREMCMQIQSPLQQLLPQPSFNIGRPLKSHCCFNEDESSHHHQLAV >KJB51363 pep chromosome:Graimondii2_0_v6:8:50033884:50035287:-1 gene:B456_008G213300 transcript:KJB51363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAETNTIINDKDDQETVPKIITFLSSLLQRVAESNDHCRLFQSQKISVFHGLTRPTISIKSYLERIFKYANCSPSCFVVAYVYLDRFVQMQPSLPINSYNVHRLLITSVLVSAKFMDDMYYNNAYYAKVGGISTVEMNLLEVDFLFGLGFQLNVAPTTFHTYCTFLQREMCMQIQSPLQQLLPQPSFNIGRPLKSHCCFNEDESSHHHQLAV >KJB51362 pep chromosome:Graimondii2_0_v6:8:50033660:50035423:-1 gene:B456_008G213300 transcript:KJB51362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAETNTIINDKDDQETVPKIITFLSSLLQRVAESNDHCRLFQSQKISVFHGLTRPTISIKSYLERIFKYANCSPSCFVVAYVYLDRFVQMQPSLPINSYNVHRLLITSVLVSAKFMDDMYYNNAYYAKVGGISTVEMNLLEVDFLFGLGFQLNVAPTTFHTYCTFLQREMCMQIQSPLQQLLPQPSFNIGRPLKSHCCFNEDESSHHHQLAV >KJB51766 pep chromosome:Graimondii2_0_v6:8:51744928:51747210:1 gene:B456_008G231200 transcript:KJB51766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVANLKPSLAWKLNHVGLSPMHLALQHKCTKMVRGLITINSQLIRVKAKGMITPLHYLAQTEDPDLLAELLSACPSSIEDTTIHCETAAHVAIKNCSIRCFKVLLGWLRRVNKEDILNWKDEDGNTALHIAISTNQTEVVKLLVKHANVNVKNFNDLTAMDIFHLQGSLQNTEIGKILNKAKAKKASDLTSNMTLGDYLSKELTLIDKRDKYFGINIQNNPNDNRTVILVVAILIATATYQAGLSPPAGYWQDDYKPPANNGTTNNTHNSSLGDGQRQHRAGQMIMSPADLFYFLAVNGSAFHLSVWTILVIIIGLPFSRAVYISTWLLLQAYYSSMTATFPTQGSVALTVGRFLYITFTVISAGVAYMIPRRAFCNHQKLKRRVDTMRGSSVLTRPEN >KJB51768 pep chromosome:Graimondii2_0_v6:8:51745356:51747210:1 gene:B456_008G231200 transcript:KJB51768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVANLKPSLAWKLNHVGLSPMHLALQHKCTKMVRGLITINSQLIRVKAKGMITPLHYLAQTEDPDLLAELLSACPSSIEDTTIHCETAAHVAIKNCSIRCFKVLLGWLRRVNKEDILNWKDEDGNTALHIAISTNQTEVVKLLVKHANVNVKNFNDLTAMDIFHLQGSLQNTEIGKILNKAKAKKASDLTSNMTLGDYLSKELTLIDKRDKYFGINIQNNPNDNRTVILVVAILIATATYQAGLSPPAGYWQDDYKPPANNGTTNNTHNSSLGDGQRQHRAGQMIMSPADLFYFLAVNGSAFHLSVWTILVIIIGLPFSRAVYISTWLLLQAYYSSMTATFPTQGSVALTVGRFLYITFTVISAGVAYMIPRRAFCNHQKLKRRVDTMRGSSVLTRPEN >KJB51767 pep chromosome:Graimondii2_0_v6:8:51745494:51747210:1 gene:B456_008G231200 transcript:KJB51767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVANLKPSLAWKLNHVGLSPMHLALQHKCTKMVRGLITINSQLIRVKAKGMITPLHYLAQTEDPDLLAELLSACPSSIEDTTIHCETAAHVAIKNCSIRCFKVLLGWLRRVNKEDILNWKDEDGNTALHIAISTNQTEVVKLLVKHANVNVKNFNDLTAMDIFHLQGSLQNTEIGKILNKAKAKKASDLTSNMTLGDYLSKELTLIDKRDKYFGINIQNNPNDNRTVILVVAILIATATYQAGLSPPAGYWQDDYKPPANNGTTNNTHNSSLGDGQRQHRAGQMIMSPADLFYFLAVNGSAFHLSVWTILVIIIGLPFSRAVYISTWLLLQAYYSSMTATFPTQGSVALTVGRFLYITFTVISAGVAYMIPRRAFCNHQKLKRRVDTMRGSSVLTRPEN >KJB47898 pep chromosome:Graimondii2_0_v6:8:6293575:6298658:-1 gene:B456_008G046600 transcript:KJB47898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICWKGNRSTKYFENNRHKKGKAHKDSTKTAKRFPVFSKKDNAVSQAASSSDDDEKKTVLSVVERPKKTPSHSQSLSMEAGDRSGHNQMRISRIIDVTGGEKGAQVVAGWPSWLAAVAGEAINGWIPRTAESFEKLEKIGQGTYSSVYKARDLESNKIVALKKVRFANMDPESVCFMSREIIVLRRLDHPNVMKLEGLISSRVSGSLYLIFEYMEHDLAGLVATPGIKFTEAQIKCYMQQLLRGLDHCHSRGVLHRDIKGANLLIDYNGNLKIGDFGLATLFRPNQKQTLTSRVVTLWYCPPELLLGSTDYGVAVDLWSSGCILAELFAGKPIMPGRTEVEQMHKIFKLCGSPSEEYWKRSKLPHATTFKPQHPYKRCVSETFKDFPTNCRGTASSALESEFFTTNPLPCGPSNLPKYPPSKEFDVKLRDDESRRQAVGGKLRRHGSVRKVSRAVPVPELNAELRASIKKRQGHFNAKSVSGVSYPQKDGSAGFLIEPPKGTARAVYSRSGRSLPPANFGSSPSMKANEIESVKASAQAFGSSRNTEEPRAQTANAHRGAAELSRFSNSVAASGSSRFDMTKENTINPHWPEECLGNRCNHLDNSGSSKEWEWSHQLRGRPKVSLVMDELLSSKESSVAYVPPNNRIHYSGPLMPPGENLDEMLKEHERQIQDAVRKARLDKTGGEQSAN >KJB47961 pep chromosome:Graimondii2_0_v6:8:7048130:7052621:-1 gene:B456_008G050100 transcript:KJB47961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTAASSAATIPTTAQTANSIRRAFQTTTFDSLSSSRHPILCARFHFLSLRFFSNVSTKAMSHSQTLHASNYHPQSSAPGNKQALISLSDKKELTLLGNGLQELGYTIVSTGGTASALENAGVSVTKVEQLTCFPEMLDGRVKTVHPNIHGGILARRDQKHHMEALNEHGIGTFDVVVVNLYPFYDKVTSTGGIAFDDGIENIDIGGPAMVRAAAKNHKDVLVVVDSQDYPALLEFLKGSQDDQQFRRKLAWKAFEHVASYDSAVSEWLWKQTGGDKFPPKLTVPLSLKSSLRYGENPHQKAAFYVDKSLSEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFRNPTCVIVKHTNPCGVASGDDILEAYRLAVKADPVSAFGGIVAFNIEVDDDNRMLGMGSGQPNRLESLRIALRKAGDEVKGAALASDAFFPFAWKDAVEEACESGIGVIAEPGGSIRDGDAVDCCNKYGVSLLFTKVRHFRH >KJB47963 pep chromosome:Graimondii2_0_v6:8:7048584:7052621:-1 gene:B456_008G050100 transcript:KJB47963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTAASSAATIPTTAQTANSIRRAFQTTTFDSLSSSRHPILCARFHFLSLRFFSNVSTKAMSHSQTLHASNYHPQSSAPGNKQALISLSDKKELTLLGNGLQELGYTIVSTGGTASALENAGVSVTKVEQLTCFPEMLDGRVKTVHPNIHGGILARRDQKHHMEALNEHGIGTFDVVVVNLYPFYDKVTSTGGIAFDDGIENIDIGGPAMVRAAAKNHKDVLVVVDSQDYPALLEFLKGSQDDQQFRRKLAWKAFEHVASYDSAVSEWLWKQTGGDKFPPKLTVPLSLKSSLRYGENPHQKAAFYVDKSLSEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFRNPTCVIVKHTNPCGVASGDDILEAYRLAVKADPVSAFGGIVAFNIEVDDALAKEIREFRSPTDGETRMFYEIVVAPKYTEKGLEILCGKSKTLRILEAKKNEKGKLSLRQVGGGWLAQDSDDLTPQDIQFNVVSEKKPQDNELRDAEFAWLCVKHVKSNAIVIAKDNRMLGMGSGQPNRLESLRIALRKAGDEVKGAALASDAFFPFGSYYLEKFCAPYCF >KJB47962 pep chromosome:Graimondii2_0_v6:8:7048130:7052621:-1 gene:B456_008G050100 transcript:KJB47962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTAASSAATIPTTAQTANSIRRAFQTTTFDSLSSSRHPILCARFHFLSLRFFSNVSTKAMSHSQTLHASNYHPQSSAPGNKQALISLSDKKELTLLGNGLQELGYTIVSTGGTASALENAGVSVTKVEQLTCFPEMLDGRVKTVHPNIHGGILARRDQKHHMEALNEHGIGTFDVVVVNLYPFYDKVTSTGGIAFDDGIENIDIGGPAMVRAAAKEMSYNNYLDADAAWNCVSEFRNPTCVIVKHTNPCGVASGDDILEAYRLAVKADPVSAFGGIVAFNIEVDDALAKEIREFRSPTDGETRMFYEIVVAPKYTEKGLEILCGKSKTLRILEAKKNEKGKLSLRQVGGGWLAQDSDDLTPQDIQFNVVSEKKPQDNELRDAEFAWLCVKHVKSNAIVIAKDNRMLGMGSGQPNRLESLRIALRKAGDEVKGAALASDAFFPFAWKDAVEEACESGIGVIAEPGGSIRDGDAVDCCNKYGVSLLFTKVRHFRH >KJB47960 pep chromosome:Graimondii2_0_v6:8:7048112:7052731:-1 gene:B456_008G050100 transcript:KJB47960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTAASSAATIPTTAQTANSIRRAFQTTTFDSLSSSRHPILCARFHFLSLRFFSNVSTKAMSHSQTLHASNYHPQSSAPGNKQALISLSDKKELTLLGNGLQELGYTIVSTGGTASALENAGVSVTKVEQLTCFPEMLDGRVKTVHPNIHGGILARRDQKHHMEALNEHGIGTFDVVVVNLYPFYDKVTSTGGIAFDDGIENIDIGGPAMVRAAAKNHKDVLVVVDSQDYPALLEFLKGSQDDQQFRRKLAWKAFEHVASYDSAVSEWLWKQTGGDKFPPKLTVPLSLKSSLRYGENPHQKAAFYVDKSLSEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFRNPTCVIVKHTNPCGVASGDDILEAYRLAVKADPVSAFGGIVAFNIEVDDALAKEIREFRSPTDGETRMFYEIVVAPKYTEKGLEILCGKSKTLRILEAKKNEKGKLSLRQVGGGWLAQDSDDLTPQDIQFNVVSEKKPQDNELRDAEFAWLCVKHVKSNAIVIAKDNRMLGMGSGQPNRLESLRIALRKAGDEVKGAALASDAFFPFAWKDAVEEACESGIGVIAEPGGSIRDGDAVDCCNKYGVSLLFTKVRHFRH >KJB50202 pep chromosome:Graimondii2_0_v6:8:42393084:42395729:-1 gene:B456_008G158800 transcript:KJB50202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKSLRKPVFTKVDQLRPGTSGHTLTVKVVSTKMVLQKGRADGPQVRQMRIAECLVGDETGMIIFTARNEQVDLMKEGATVTLRNAKIDMFKGSMRLAVDKWGRVEVDEPASFNVKEDNNLSLIEYELVNVVEE >KJB50201 pep chromosome:Graimondii2_0_v6:8:42393084:42395962:-1 gene:B456_008G158800 transcript:KJB50201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKSLRKPVFTKVDQLRPGTSGHTLTVKVVSTKMVLQKGRADGPQVRQMRIAECLVGDETGMIIFTARNEQVDLMKEGATVTLRNAKIDMFKGSMRLAVDKWGRVEVDEPASFNVKEDNNLSLIEYELVNVVEE >KJB50203 pep chromosome:Graimondii2_0_v6:8:42393084:42396101:-1 gene:B456_008G158800 transcript:KJB50203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKSLRKPVFTKVDQLRPGTSGHTLTVKVVSTKMVLQKGRADGPQVRQMRIAECLVGDETGMIIFTARNEQVDLMKEGATVTLRNAKIDMFKGSMRLAVDKWGRVEVDEPASFNVKEDNNLSLIEYELVNVVEE >KJB47862 pep chromosome:Graimondii2_0_v6:8:6206351:6212451:-1 gene:B456_008G045900 transcript:KJB47862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVFEGMKGTLDRTKVILRHLPPSITESMLLEQVDSAFSGRYNWLSFRPGKSSQKNLSYSRAYVDFKRPEDVLEFAEFFNGHVFVSEKGAQFKAVVEYAPSQRVPKQFSNKDGREGTISKDPEYLEFLEFLAKPVENLPSAEIQLERREAERAGVSKDSPIVTPLMDFVRQKRAAKGASRRSLSNGKVSKRAGGLSGGSPSSASSRRGSEKRRGSTTVYVLRDSLKNASGKDKSAYILVSKRDDKQLSDKHATLATPVGTQISEEESGVSGITDAGKKKVLLLKGKEREFSHVAGSMLRQQDIASPITKILGSTPIKQNVGREGRIIRGVLLNKDARESSRVQSEQQLQAPNLEKDRRPSRHSHAQLVLKDTNSSDDKVGSDLHGSEKPERRRRNKDRPDRGVWTLRRSDGSYASDESLSSSASQSAQMPLDSSEGAYGDTKVDLSNVRSMQPKTVRSGRNSSFDGSSKHGSRCGAVADGSSVVSDGKPGKRGNASAYGSHEKQVWVQKSSSGS >KJB47857 pep chromosome:Graimondii2_0_v6:8:6207770:6212301:-1 gene:B456_008G045900 transcript:KJB47857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTLDRTKVILRHLPPSITESMLLEQVDSAFSGRYNWLSFRPGKSSQKNLSYSRAYVDFKRPEDVLEFAEFFNGHVFVSEKGAQFKAVVEYAPSQRVPKQFSNKDGREGTISKDPEYLEFLEFLAKPVENLPSAEIQLERREAERAGVSKDSPIVTPLMDFVRQKRAAKGASRRSLSNGKVSKRAGGLSGGSPSSASSRRGSEKRRGSTTVYVLRDSLKNASGKDKSAYILVSKRDDKQLSDKHATLATPVGTQISEEESGVSGITDAGKKKVLLLKGKEREFSHVAGSMLRQQDIASPITKILGSTPIKQNVGREGRIIRGVLLNKDARESSRVQSEQQLQAPNLEKDRRPSRHSHAQLVLKDTNSSDDKVGSDLHGSEKPERRRRNKDRPDRGVWTLRRSDGSYASDESLSSSASQSAQMPLDSSEGAYGDTKVDLSNVRSMQPKTVRSGRNSSFDGSSKHGSRCGAVADGSSVVSDGKPGKRGNASAYGSHEKQVWVQKSSSGS >KJB47856 pep chromosome:Graimondii2_0_v6:8:6207770:6212301:-1 gene:B456_008G045900 transcript:KJB47856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTLDRTKVILRHLPPSITESMLLEQVDSAFSGRYNWLSFRPGKSSQKNLSYSRAYVDFKRPEDVLEFAEFFNGHVFVSEKGAQFKAVVEYAPSQRVPKQFSNKDGREGTISKDPEYLEFLEFLAKPVENLPSAEIQLERREAERAGVSKDSPIVTPLMDFVRQKRAAKGASRRSLSNGKVSKRAGGLSGGSPSSASSRRGSEKRRGSTTVYVLRDSLKNASGKDKSAYILVSKRDDKQLSDKHATLATPVGTQISEEESGVSGITDAGKKKVLLLKGKEREFSHVAGSMLRQQDIASPITKILGSTPIKQNVGREGRIIRGVLLNKDARESSRVQSEQQLQAPNLEKDRRPSRHSHAQLVLKDTNSSDDKVGSDLHGSEKPERRRRNKDRPDRGVWTLRRSDGSYASDESLSSSASQSAQMPLDSSEGAYGDTKVDLSNVRSMQPKTVRSGRNSSFDGGSSKHGSRCGAVADGSSVVSDGKPGKRGNASAYGSHEKQVWVQKSSSGS >KJB47869 pep chromosome:Graimondii2_0_v6:8:6207770:6212418:-1 gene:B456_008G045900 transcript:KJB47869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVFEGMKGTLDRTKVILRHLPPSITESMLLEQVDSAFSGRYNWLSFRPGKSSQKNLSYSRAYVDFKRPEDVLEFAEFFNGHVFVSEKGAQFKAVVEYAPSQRVPKQFSNKDGREGTISKDPEYLEFLEFLAKPVENLPSAEIQLERREAERAGVSKDSPIVTPLMDFVRQKRAAKGASRRSLSNGKVSKRAGGLSGGSPSSASSRRGSEKRRGSTTVYVLRDSLKNASGKDKSAYILVSKRDDKQLSDKHATLATPVGTQISEEESGVSGITDAGKKKVLLLKGKEREFSHVAGSMLRQQDIASPITKILGSTPIKQNVGREGRIIRGVLLNKDARESSRVQSEQQLQAPNLEKDRRPSRHSHAQLVLKDTNSSDDKVGSDLHGSEKPERRRRNKDRPDRGVWTLRRSDGSYASDESLSSSASQSAQMPLDSSEGAYGDTKVDLSNVRSMQPKTVRSGRNSSFDGGSSKHGSRCGAVADGSSVVSDGKPGKRGNASAYGSHEKQVWVQKSSSGS >KJB47864 pep chromosome:Graimondii2_0_v6:8:6206351:6212451:-1 gene:B456_008G045900 transcript:KJB47864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVFEGMKGTLDRTKVILRHLPPSITESMLLEQVDSAFSGRYNWLSFRPGKSSQKNLSYSRAYVDFKRPEDVLEFAEFFNGHVFVSEKGAQFKAVVEYAPSQRVPKQFSNKDGREGTISKDPEYLEFLEFLAKPVENLPSAEIQLERREAERAGVSKDSPIVTPLMDFVRQKRAAKGASRRSLSNGKVSKRAGGLSGGSPSSASSRRGSEKRRGSTTVYVLRDSLKNASGKDKSAYILVSKRDDKQLSDKHATLATPVGTQISEEESGVSGITDAGKKKVLLLKGKEREFSHVAGSMLRQQDIASPITKILGSTPIKQNVGREGRIIRGVLLNKDARESSRVQSEQQLQAPNLEKDRRPSRHSHAQLVLKDTNSSDDKVGSDLHGSEKPERRRRNKDRPDRGVWTLRRSDGSYASDESLSSSASQSAQMPLDSSEGSSKHGSRCGAVADGSSVVSDGKPGKRGNASAYGSHEKQVWVQKSSSGS >KJB47870 pep chromosome:Graimondii2_0_v6:8:6208073:6212418:-1 gene:B456_008G045900 transcript:KJB47870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVFEGMKGTLDRTKVILRHLPPSITESMLLEQVDSAFSGRYNWLSFRPGKSSQKNLSYSRAYVDFKRPEDVLEFAEFFNGHVFVSEKGAQFKAVVEYAPSQRVPKQFSNKDGREGTISKDPEYLEFLEFLAKPVENLPSAEIQLERREAERAGVSKDSPIVTPLMDFVRQKRAAKGASRRSLSNGKVSKRAGGLSGGSPSSASSRRGSEKRRGSTTVYVLRDSLKNASGKDKSAYILVSKRDDKQLSDKHATLATPVGTQISEEESGVSGITDAGKKKVLLLKGKEREFSHVAGSMLRQQDIASPITKILGSTPIKQNVGREGRIIRGVLLNKDARESSRVQSEQQLQAPNLEKDRRPSRHSHAQLVLKDTNSSDDKVGSDLHGSEKPERRRRNKDRPDRGVWTLRRSDGSYASDESLSSSASQSAQMPLDSSEGAYGDTKVDLSNVRSMQPKTVRSGRNSSFDGG >KJB47863 pep chromosome:Graimondii2_0_v6:8:6206351:6211460:-1 gene:B456_008G045900 transcript:KJB47863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVRQKRAAKGASRRSLSNGKVSKRAGGLSGGSPSSASSRRGSEKRRGSTTVYVLRDSLKNASGKDKSAYILVSKRDDKQLSDKHATLATPVGTQISEEESGVSGITDAGKKKVLLLKGKEREFSHVAGSMLRQQDIASPITKILGSTPIKQNVGREGRIIRGVLLNKDARESSRVQSEQQLQAPNLEKDRRPSRHSHAQLVLKDTNSSDDKVGSDLHGSEKPERRRRNKDRPDRGVWTLRRSDGSYASDESLSSSASQSAQMPLDSSEGAYGDTKVDLSNVRSMQPKTVRSGRNSSFDGSSKHGSRCGAVADGSSVVSDGKPGKRGNASAYGSHEKQVWVQKSSSGS >KJB47859 pep chromosome:Graimondii2_0_v6:8:6206317:6212637:-1 gene:B456_008G045900 transcript:KJB47859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVFEGMKGTLDRTKVILRHLPPSITESMLLEQVDSAFSGRYNWLSFRPGKSSQKNLSYSRAYVDFKRPEDVLEFAEFFNGHVFVSEKGAQFKAVVEYAPSQRVPKQFSNKDGREGTISKDPEYLEFLEFLAKPVENLPSAEIQLERREAERAGVSKDSPIVTPLMDFVRQKRAAKGASRRSLSNGKVSKRAGGLSGGSPSSASSRRGSEKRRGSTTVYVLRDSLKNASGKDKSAYILVSKRDDKQLSDKHATLATPVGTQISEEESGVSGITDAGKKKVLLLKGKEREFSHVAGSMLRQQDIASPITKILGSTPIKQNVGREGRIIRGVLLNKDARESSRVQSEQQLQAPNLEKDRRPSRHSHAQLVLKDTNSSDDKVGSDLHGSEKPERRRRNKDRPDRGVWTLRRSDGSYASDESLSSSASQSAQMPLDSSEGAYGDTKVDLSNVRSMQPKTVRSGRNSSFDGGSSKHGSRCGAVADGSSVVSDGKPGKRGNASAYGSHEKQVWVQKSSSGS >KJB47866 pep chromosome:Graimondii2_0_v6:8:6207770:6212418:-1 gene:B456_008G045900 transcript:KJB47866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVFEGMKGTLDRTKVILRHLPPSITESMLLEQVDSAFSGRYNWLSFRPGKSSQKNLSYSRAYVDFKRPEDVLEFAEFFNGHVFVSEKGAQFKAVVEYAPSQRVPKQFSNKDGREGTISKDPEYLEFLEFLAKPVENLPSAEIQLERREAERAGVSKDSPIVTPLMDFVRQKRAAKGASRRSLSNGKVSKRAGGLSGGSPSSASSRRGSEKRRGSTTVYVLRDSLKNASGKDKSAYILVSKRDDKQLSDKHATLATPVGTQISEEESGVSGITDAGKKKVLLLKGKEREFSHVAGSMLRQQDIASPITKILGSTPIKQNVGREGRIIRGVLLNKDARESSRVQSEQQLQAPNLEKDRRPSRHSHAQLVLKDTNSSDDKVGSDLHGSEKPERRRRNKDRPDRGVWTLRRSDGSYASDESLSSSASQSAQMPLDSSEGAYGDTKVDLSNVRSMQPKTVRSGRSSKHGSRCGAVADGSSVVSDGKPGKRGNASAYGSHEKQVWVQKSSSGS >KJB47867 pep chromosome:Graimondii2_0_v6:8:6206289:6212537:-1 gene:B456_008G045900 transcript:KJB47867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVFEGMKGTLDRTKVILRHLPPSITESMLLEQVDSAFSGRYNWLSFRPGKSSQKNLSYSRAYVDFKRPEDVLEFAEFFNGHVFVSEKGAQFKAVVEYAPSQRVPKQFSNKDGREGTISKDPEYLEFLEFLAKPVENLPSAEIQLERREAERAGVSKDSPIVTPLMDFVRQKRAAKGASRRSLSNGKVSKRAGGLSGGSPSSASSRRGSEKRRGSTTVYVLRDSLKNASGKDKSAYILVSKRDDKQLSDKHATLATPVGTQISEEESGVSGITDAGKKKVLLLKGKEREFSHVAGSMLRQQDIASPITKILGSTPIKQNVGREGRIIRGVLLNKDARESSRVQSEQQLQAPNLEKDRRPSRHSHAQLVLKDTNSSDDKVGSDLHGSEKPERRRRNKDRPDRGVWTLRRSDGSYASDESLSSSASQSAQMPLDSSEGAYGDTKVDLSNVRSMQPKTVRSGRNSSFDGSSKHGSRCGAVADGSSVVSDGKPGKRGNASAYGSHEQSAWSLEF >KJB47860 pep chromosome:Graimondii2_0_v6:8:6206317:6212637:-1 gene:B456_008G045900 transcript:KJB47860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVFEGMKGTLDRTKVILRHLPPSITESMLLEQVDSAFSGRYNWLSFRPGKSSQKNLSYSRAYVDFKRPEDVLEFAEFFNGHVFVSEKGAQFKAVVEYAPSQRVPKQFSNKDGREGTISKDPEYLEFLEFLAKPVENLPSAEIQLERREAERAGVSKDSPIVTPLMDFVRQKRAAKGASRRSLSNGKVSKRAGGLSGGSPSSASSRRGSEKRRGSTTVYVLRDSLKNASGKDKSAYILVSKRDDKQLSDKHATLATPVGTQISEEESGVSGITDAGKKKVLLLKGKEREFSHVAGSMLRQQDIASPITKILGSTPIKQNVGREGRIIRGVLLNKDARESSRVQSEQQLQAPNLEKDRRPSRHSHAQLVLKDTNSSDDKVGSDLHGSEKPERRRRNKDRPDRGVWTLRRSDGSYASDESLSSSASQSAQMPLDSSEGAYGDTKVDLSNVRSMQPKTVRSGRNSSFDGSSKHGSRCGAVADGSSVVSDGKPGKRGNASAYGSHEKQVWVQKSSSGS >KJB47865 pep chromosome:Graimondii2_0_v6:8:6206289:6212637:-1 gene:B456_008G045900 transcript:KJB47865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVFEGMKGTLDRTKVILRHLPPSITESMLLEQVDSAFSGRYNWLSFRPGKSSQKNLSYSRAYVDFKRPEDVLEFAEFFNGHVFVSEKGAQFKAVVEYAPSQRVPKQFSNKDGREGTISKDPEYLEFLEFLAKPVENLPSAEIQLERREAERAGVSKDSPIVTPLMDFVRQKRAAKGASRRSLSNGKVSKRAGGLSGGSPSSASSRRGSEKRRGSTTVYVLRDSLKNASGKDKSAYILVSKRDDKQLSDKHATLATPVGTQISEEESGVSGITDAGKKKVLLLKGKEREFSHVAGSMLRQQDIASPITKILGSTPIKQNVGREGRIIRGVLLNKDARESSRVQSEQQLQAPNLEKDRRPSRHSHAQLVLKDTNSSDDKVGSDLHGSEKPERRRRNKDRPDRGVWTLRRSDGSYASDESLSSSASQSAQMPLDSSEGAYGDTKVDLSNVRSMQPKTVRSGRNSSFDGSSKHGSRCGAVADGSSVVSDGKPGKRGNASAYGSHEKQVWVQKSSSGS >KJB47868 pep chromosome:Graimondii2_0_v6:8:6208073:6212418:-1 gene:B456_008G045900 transcript:KJB47868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVFEGMKGTLDRTKVILRHLPPSITESMLLEQVDSAFSGRYNWLSFRPGKSSQKNLSYSRAYVDFKRPEDVLEFAEFFNGHVFVSEKGAQFKAVVEYAPSQRVPKQFSNKDGREGTISKDPEYLEFLEFLAKPVENLPSAEIQLERREAERAGVSKDSPIVTPLMDFVRQKRAAKGASRRSLSNGKVSKRAGGLSGGSPSSASSRRGSEKRRGSTTVYVLRDSLKNASGKDKSAYILVSKRDDKQLSDKHATLATPVGTQISEEESGVSGITDAGKKKVLLLKGKEREFSHVAGSMLRQQDIASPITKILGSTPIKQNVGREGRIIRGVLLNKDARESSRVQSEQQLQAPNLEKDRRPSRHSHAQLVLKDTNSSDDKVGSDLHGSEKPERRRRNKDRPDRGVWTLRRSDGSYASDESLSSSASQSAQMPLDSSEGAYGDTKVDLSNVRSMQPKTVRSGRNSSFDGG >KJB47861 pep chromosome:Graimondii2_0_v6:8:6207469:6212451:-1 gene:B456_008G045900 transcript:KJB47861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVFEGMKGTLDRTKVILRHLPPSITESMLLEQVDSAFSGRYNWLSFRPGKSSQKNLSYSRAYVDFKRPEDVLEFAEFFNGHVFVSEKGAQFKAVVEYAPSQRVPKQFSNKDGREGTISKDPEYLEFLEFLAKPVENLPSAEIQLERREAERAGVSKDSPIVTPLMDFVRQKRAAKGASRRSLSNGKVSKRAGGLSGGSPSSASSRRGSEKRRGSTTVYVLRDSLKNASGKDKSAYILVSKRDDKQLSDKHATLATPVGTQISEEESGVSGITDAGKKKVLLLKGKEREFSHVAGSMLRQQDIASPITKILGSTPIKQNVGREGRIIRGVLLNKDARESSRVQSEQQLQAPNLEKDRRPSRHSHAQLVLKDTNSSDDKVGSDLHGSEKPERRRRNKDRPDRGVWTLRRSDGSYASDESLSSSASQSAQMPLDSSEGAYGDTKVDLSNVRSMQPKTVRSGRNSSFDGGSSKHGSRCGAVADGSSVVSDGKPGKRGNASAYGSHEKQVWVQKSSSGS >KJB47858 pep chromosome:Graimondii2_0_v6:8:6207770:6212301:-1 gene:B456_008G045900 transcript:KJB47858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTLDRTKVILRHLPPSITESMLLEQVDSAFSGRYNWLSFRPGKSSQKNLSYSRAYVDFKRPEDVLEFAEFFNGHVFVSEKGAQFKAVVEYAPSQRVPKQFSNKDGREGTISKDPEYLEFLEFLAKPVENLPSAEIQLERREAERAGVSKDSPIVTPLMDFVRQKRAAKGASRRSLSNGKVSKRAGGLSGGSPSSASSRRGSEKRRGSTTVYVLRDSLKNASGKDKSAYILVSKRDDKQLSDKHATLATPVGTQISEEESGVSGITDAGKKKVLLLKGKEREFSHVAGSMLRQQDIASPITKILGSTPIKQNVGREGRIIRGVLLNKDARESSRVQSEQQLQAPNLEKDRRPSRHSHAQLVLKDTNSSDDKVGSDLHGSEKPERRRRNKDRPDRGVWTLRRSDGSYASDESLSSSASQSAQMPLDSSEGAYGDTKVDLSNVRSMQPKTVRSGRNSSFDGGSSKHGSRCGAVADGSSVVSDGKPGKRGNASAYGSHEKQVWVQKSSSGS >KJB47855 pep chromosome:Graimondii2_0_v6:8:6207770:6212301:-1 gene:B456_008G045900 transcript:KJB47855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTLDRTKVILRHLPPSITESMLLEQVDSAFSGRYNWLSFRPGKSSQKNLSYSRAYVDFKRPEDVLEFAEFFNGHVFVSEKGAQFKAVVEYAPSQRVPKQFSNKDGREGTISKDPEYLEFLEFLAKPVENLPSAEIQLERREAERAGVSKDSPIVTPLMDFVRQKRAAKGASRRSLSNGKVSKRAGGLSGGSPSSASSRRGSEKRRGSTTVYVLRDSLKNASGKDKSAYILVSKRDDKQLSDKHATLATPVGTQISEEESGVSGITDAGKKKVLLLKGKEREFSHVAGSMLRQQDIASPITKILGSTPIKQNVGREGRIIRGVLLNKDARESSRVQSEQQLQAPNLEKDRRPSRHSHAQLVLKDTNSSDDKVGSDLHGSEKPERRRRNKDRPDRGVWTLRRSDGSYASDESLSSSASQSAQMPLDSSEGAYGDTKVDLSNVRSMQPKTVRSGRNSSFDGSSKHGSRCGAVADGSSVVSDGKPGKRGNASAYGSHEKQVWVQKSSSGS >KJB49949 pep chromosome:Graimondii2_0_v6:8:39909586:39918571:-1 gene:B456_008G146500 transcript:KJB49949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSSSSKRPLSSSPVTSNHISSKRSKASELASSPSNGATGSGSVKESGSDSPVTELRSSDLRVSDVAKADDGSVPTDKSADADVENVSLVSAGTLGEAAVDAEKAEGLSGRVKKKPAKSGSKVPWGKLLSQHSQNPHLVMCGTPFTAGQSRQCNLCLKDSNISNVLCKVKHIESNGTSIALLEVTGGKGAVQVNGRTYRKNATLILNAGDELIFTTTGDHAYIFQQLTSDNLDAPGIPSVSTLEAQTAPIKGIIEARSRDSSAVNGAATILTSLSTKKNPEMSNLPSGCNMLDDCVPELDMKDNAGNSDPATASARQKTVASDATNENPNLDRLGLDDSMDAGNMKIPGAGYPLRPLLRILAGTPSTDFDFTGSIAKILDEQREIRKMLKEFDPPTTSISAKRQAFKDALQEGILSPDNIEISFENFPYFLSNTTKNVLIASTYVHLKCNKFAKYASDLPTMSPRILLSGPAGSEIYQETLAKALAKHFGARLLVVDSLLLPGGSTSKEAVSLKETSRAERASIYAKRAVQAAVSQQKRATSSVEADITGASSLHVLPKQEVSTATSKNYTFKKGDRVKFVGTTSPSTLSSLQPALRGPTIGVRGKVVLAFEENGSSKIGVRFDRSIPEGNDLGGLCEEDHGFFCTASSLRLEPGGDDVDKPVNEIFEVAFNESKSNPLILFVKDIEKSMAGNTDVYTSLKSKLENLPANVVVIGSHAQMDNRKEKSHPGSLLFTKFGANQTALLDLAFPDNFGRLHDRSKETPKTMKQVTRLFPNKVTIQLPQDEALLLDWKQQLERDSETLKAQSNIVSLRSVLNRSGLDCPDLESLSIKDQSLANESVEKVVGWALSHHFMHSSEALVKDAKLIISAESIKYGLSILQGIQSESKSLKKSLKDVVTENEFEKKLLGDVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPDLFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDRERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEELSSNVDLEAIANMTEGYSGSDLKNLCVTAAHCPIREILDKEKKERASAVAEDRPAPSLYSSADIRSLKMDDFKYAHEQVCASVSSESTNMNELLQWNELYGEGGSRKKKPLSYFM >KJB49954 pep chromosome:Graimondii2_0_v6:8:39909057:39918732:-1 gene:B456_008G146500 transcript:KJB49954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSSSSKRPLSSSPVTSNHISSKRSKASELASSPSNGATGSGSVKESGSDSPVTELRSSDLRVSDVAKADDGSVPTDKSADADVENVSLVSAGTLGEAAVDAEKAEGLSGRVKKKPAKSGSKVPWGKLLSQHSQNPHLVMCGTPFTAGQSRQCNLCLKDSNISNVLCKVKHIESNGTSIALLEVTGGKGAVQVNGRTYRKNATLILNAGDELIFTTTGDHAYIFQQLTSDNLDAPGIPSVSTLEAQTAPIKGIIEARSRDSSAVNGAATILTSLSTKKNPEMSNLPSGCNMLDDCVPELDMKDNAGNSDPATASARQKTVASDATNENPNLDRLGLDDSMDAGNMKIPGAGYPLRPLLRILAGTPSTDFDFTGSIAKILDEQREIRKMLKEFDPPTTSISAKRQAFKDALQEGILSPDNIEISFENFPYFLSNTTKNVLIASTYVHLKCNKFAKYASDLPTMSPRILLSGPAGSEIYQETLAKALAKHFGARLLVVDSLLLPGGSTSKEAVSLKETSRAERASIYAKRAVQAAVSQQKRATSSVEADITGASSLHVLPKQEVSTATSKNYTFKKGDRVKFVGTTSPSTLSSLQPALRGPTIGVRGKVVLAFEENGSSKIGVRFDRSIPEGNDLGGLCEEDHGFFCTASSLRLEPGGDDVDKPVNEIFEVAFNESKSNPLILFVKDIEKSMAGNTDVYTSLKSKLENLPANVVVIGSHAQMDNRKEKSHPGSLLFTKFGANQTALLDLAFPDNFGRLHDRSKETPKTMKQVTRLFPNKVTIQLPQDEALLLDWKQQLERDSETLKAQSNIVSLRSVLNRSGLDCPDLESLSIKDQSLANESVEKVVGWALSHHFMHSSEALVKDAKLIISAESIKYGLSILQGIQSESKSLKKSLKDVVTENEFEKKLLGDVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPDLFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDRERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEELSSNVDLEAIANMTEGYSGSDLKNLCVTAAHCPIREILDKEKKRASAVAEDRPAPSLYSSADIRSLKMDDFKYAHEQVCASVSSESTNMNELLQWNELYGEGGSRKKKPLSYFM >KJB49952 pep chromosome:Graimondii2_0_v6:8:39908573:39918786:-1 gene:B456_008G146500 transcript:KJB49952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSSSSKRPLSSSPVTSNHISSKRSKASELASSPSNGATGSGSVKESGSDSPVTELRSSDLRVSDVAKADDGSVPTDKSADADVENVSLVSAGTLGEAAVDAEKAEGLSGRVKKKPAKSGSKVPWGKLLSQHSQNPHLVMCGTPFTAGQSRQCNLCLKDSNISNVLCKVKHIESNGTSIALLEVTGGKGAVQVNGRTYRKNATLILNAGDELIFTTTGDHAYIFQQLTSDNLDAPGIPSVSTLEAQTAPIKGIIEARSRDSSAVNGAATILTSLSTKKNPEMSNLPSGCNMLDDCVPELDMKDNAGNSDPATASARQKTVASDATNENPNLDRLGLDDSMDAGNMKIPGAGYPLRPLLRILAGTPSTDFDFTGSIAKILDEQREIRKMLKEFDPPTTSISAKRQAFKDALQEGILSPDNIEISFENFPYFLSNTTKNVLIASTYVHLKCNKFAKYASDLPTMSPRILLSGPAGSEIYQETLAKALAKHFGARLLVVDSLLLPGGSTSKEAVSLKETSRAERASIYAKRAVQAAVSQQKRATSSVEADITGASSLHVLPKQEVSTATSKNYTFKKGDRVKFVGTTSPSTLSSLQPALRGPTIGVRGKVVLAFEENGSSKIGVRFDRSIPEGNDLGGLCEEDHGFFCTASSLRLEPGGDDVDKPVNEIFEVAFNESKSNPLILFVKDIEKSMAGNTDVYTSLKSKLENLPANVVVIGSHAQMDNRKEKSHPGSLLFTKFGANQTALLDLAFPDNFGRLHDRSKETPKTMKQVTRLFPNKVTIQLPQDEALLLDWKQQLERDSETLKAQSNIVSLRSVLNRSGLDCPDLESLSIKDQSLANESVEKVVGWALSHHFMHSSEALVKDAKLIISAESIKYGLSILQGIQSESKSLKKSLKDVVTENEFEKKLLGDVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPDLFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDRERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEELSSNVDLEAIANMTEGYSGSDLKNLCVTAAHCPIREILDKEKKERASAVAEDRPAPSLYSSADIRSLKMDDFKYAHEQVCASVSSESTNMNELLQWNELYGEGGSRKKKPLSYFM >KJB49951 pep chromosome:Graimondii2_0_v6:8:39909057:39918034:-1 gene:B456_008G146500 transcript:KJB49951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGTPFTAGQSRQCNLCLKDSNISNVLCKVKHIESNGTSIALLEVTGGKGAVQVNGRTYRKNATLILNAGDELIFTTTGDHAYIFQQLTSDNLDAPGIPSVSTLEAQTAPIKGIIEARSRDSSAVNGAATILTSLSTKKNPEMSNLPSGCNMLDDCVPELDMKDNAGNSDPATASARQKTVASDATNENPNLDRLGLDDSMDAGNMKIPGAGYPLRPLLRILAGTPSTDFDFTGSIAKILDEQREIRKMLKEFDPPTTSISAKRQAFKDALQEGILSPDNIEISFENFPYFLSNTTKNVLIASTYVHLKCNKFAKYASDLPTMSPRILLSGPAGSEIYQETLAKALAKHFGARLLVVDSLLLPGGSTSKEAVSLKETSRAERASIYAKRAVQAAVSQQKRATSSVEADITGASSLHVLPKQEVSTATSKNYTFKKGDRVKFVGTTSPSTLSSLQPALRGPTIGVRGKVVLAFEENGSSKIGVRFDRSIPEGNDLGGLCEEDHGFFCTASSLRLEPGGDDVDKPVNEIFEVAFNESKSNPLILFVKDIEKSMAGNTDVYTSLKSKLENLPANVVVIGSHAQMDNRKEKSHPGSLLFTKFGANQTALLDLAFPDNFGRLHDRSKETPKTMKQVTRLFPNKVTIQLPQDEALLLDWKQQLERDSETLKAQSNIVSLRSVLNRSGLDCPDLESLSIKDQSLANESVEKVVGWALSHHFMHSSEALVKDAKLIISAESIKYGLSILQGIQSESKSLKKSLKDVVTENEFEKKLLGDVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPDLFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDRERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEELSSNVDLEAIANMTEGYSGSDLKNLCVTAAHCPIREILDKEKKERASAVAEDRPAPSLYSSADIRSLKMDDFKYAHEQVCASVSSESTNMNELLQWNELYGEGGSRKKKPLSYFM >KJB49953 pep chromosome:Graimondii2_0_v6:8:39909057:39918732:-1 gene:B456_008G146500 transcript:KJB49953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSSSSKRPLSSSPVTSNHISSKRSKASELASSPSNGATGSGSVKESGSDSPVTELRSSDLRVSDVAKADDGSVPTDKSADADVENVSLVSAGTLVGEAAVDAEKAEGLSGRVKKKPAKSGSKVPWGKLLSQHSQNPHLVMCGTPFTAGQSRQCNLCLKDSNISNVLCKVKHIESNGTSIALLEVTGGKGAVQVNGRTYRKNATLILNAGDELIFTTTGDHAYIFQQLTSDNLDAPGIPSVSTLEAQTAPIKGIIEARSRDSSAVNGAATILTSLSTKKNPEMSNLPSGCNMLDDCVPELDMKDNAGNSDPATASARQKTVASDATNENPNLDRLGLDDSMDAGNMKIPGAGYPLRPLLRILAGTPSTDFDFTGSIAKILDEQREIRKMLKEFDPPTTSISAKRQAFKDALQEGILSPDNIEISFENFPYFLSNTTKNVLIASTYVHLKCNKFAKYASDLPTMSPRILLSGPAGSEIYQETLAKALAKHFGARLLVVDSLLLPGGSTSKEAVSLKETSRAERASIYAKRAVQAAVSQQKRATSSVEADITGASSLHVLPKQEVSTATSKNYTFKKGDRVKFVGTTSPSTLSSLQPALRGPTIGVRGKVVLAFEENGSSKIGVRFDRSIPEGNDLGGLCEEDHGFFCTASSLRLEPGGDDVDKPVNEIFEVAFNESKSNPLILFVKDIEKSMAGNTDVYTSLKSKLENLPANVVVIGSHAQMDNRKEKSHPGSLLFTKFGANQTALLDLAFPDNFGRLHDRSKETPKTMKQVTRLFPNKVTIQLPQDEALLLDWKQQLERDSETLKAQSNIVSLRSVLNRSGLDCPDLESLSIKDQSLANESVEKVVGWALSHHFMHSSEALVKDAKLIISAESIKYGLSILQGIQSESKSLKKSLKDVVTENEFEKKLLGDVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPDLFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDRERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEELSSNVDLEAIANMTEGYSGSDLKNLCVTAAHCPIREILDKEKKERASAVAEDRPAPSLYSSADIRSLKMDDFKYAHEQVCASVSSESTNMNELLQWNELYGEGGSRKKKPLSYFM >KJB49948 pep chromosome:Graimondii2_0_v6:8:39909586:39918571:-1 gene:B456_008G146500 transcript:KJB49948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSSSSKRPLSSSPVTSNHISSKRSKASELASSPSNGATGSGSVKESGSDSPVTELRSSDLRVSDVAKADDGSVPTDKSADADVENVSLVSAGTLGEAAVDAEKAEGLSGRVKKKPAKSGSKVPWGKLLSQHSQNPHLVMCGTPFTAGQSRQCNLCLKDSNISNVLCKVKHIESNGTSIALLEVTGGKGAVQVNGRTYRKNATLILNAGDELIFTTTGDHAYIFQQLTSDNLDAPGIPSVSTLEAQTAPIKGIIEARSRDSSAVNGAATILTSLSTKKNPEMSNLPSGCNMLDDCVPELDMKDNAGNSDPATASARQKTVASDATNENPNLDRLGLDDSMDAGNMKIPGAGYPLRPLLRILAGTPSTDFDFTGSIAKILDEQREIRKMLKEFDPPTTSISAKRQAFKDALQEGILSPDNIEISFENFPYFLSNTTKNVLIASTYVHLKCNKFAKYASDLPTMSPRILLSGPAGSEIYQETLAKALAKHFGARLLVVDSLLLPGGSTSKEAVSLKETSRAERASIYAKRAVQAAVSQQKRATSSVEADITGASSLHVLPKQEVSTATSKNYTFKKGDRVKFVGTTSPSTLSSLQPALRGPTIGVRGKVVLAFEENGSSKIGVRFDRSIPEGNDLGGLCEEDHGFFCTASSLRLEPGGDDVDKPVNEIFEVAFNESKSNPLILFVKDIEKSMAGNTDVYTSLKSKLENLPANVVVIGSHAQMDNRKEKSHPGSLLFTKFGANQTALLDLAFPDNFGRLHDRSKETPKTMKQVTRLFPNKVTIQLPQDEALLLDWKQQLERDSETLKAQSNIVSLRSVLNRSGLDCPDLESLSIKDQSLANESVEKVVGWALSHHFMHSSEALVKDAKLIISAESIKYGLSILQGIQSESKSLKKSLKDVVTENEFEKKLLGDVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPDLFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDRERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEELSSNVDLEAIANMTEGYSGSDLKNLCVTAAHCPIREILDKEKKERASAVAEDRPAPSLYSSADIRSLKMDDFKYAHEQVCASVSSESTNMNELLQWNELYGEGGSRKKKPLSYFM >KJB49950 pep chromosome:Graimondii2_0_v6:8:39908633:39918732:-1 gene:B456_008G146500 transcript:KJB49950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSSSSKRPLSSSPVTSNHISSKRSKASELASSPSNGATGSGSVKESGSDSPVTELRSSDLRVSDVAKADDGSVPTDKSADADVENVSLVSAGTLGEAAVDAEKAEGLSGRVKKKPAKSGSKVPWGKLLSQHSQNPHLVMCGTPFTAGQSRQCNLCLKDSNISNVLCKVKHIESNGTSIALLEVTGGKGAVQVNGRTYRKNATLILNAGDELIFTTTGDHAYIFQQLTSDNLDAPGIPSVSTLEAQTAPIKGIIEARSRDSSAVNGAATILTSLSTKKNPEMSNLPSGCNMLDDCVPELDMKDNAGNSDPATASARQKTVASDATNENPNLDRLGLDDSMDAGNMKIPGAGYPLRPLLRILAGTPSTDFDFTGSIAKILDEQREIRKMLKEFDPPTTSISAKRQAFKDALQEGILSPDNIEISFENFPYFLSNTTKNVLIASTYVHLKCNKFAKYASDLPTMSPRILLSGPAGSEIYQETLAKALAKHFGARLLVVDSLLLPGGSTSKEAVSLKETSRAERASIYAKRAVQAAVSQQKRATSSVEADITGASSLHVLPKQEVSTATSKNYTFKKGDRVKFVGTTSPSTLSSLQPALRGPTIGVRGKVVLAFEENGSSKIGVRFDRSIPEGNDLGGLCEEDHGFFCTASSLRLEPGGDDVDKPVNEIFEVAFNESKSNPLILFVKDIEKSMAGNTDVYTSLKSKLENLPANVVVIGSHAQMDNRKEKSHPGSLLFTKFGANQTALLDLAFPDNFGRLHDRSKETPKTMKQVTRLFPNKVTIQLPQDEALLLDWKQQLERDSETLKAQSNIVSLRSVLNRSGLDCPDLESLSIKDQSLANESVEKVVGWALSHHFMHSSEALVKDAKLIISAESIKYGLSILQGIQSESKSLKKSLKDVVTENEFEKKLLGDVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPDLFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDRERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEELSSNVDLEAIANMTEGYSGSDLKNLCVTAAHCPIREILDKEKKERASAVAEDRPAPSLYSSADIRSLKMDDFKYAHEQVCASVSSESTNMNELLQWNELYGEGGSRKKKPLSYFM >KJB50453 pep chromosome:Graimondii2_0_v6:8:44656840:44659610:-1 gene:B456_008G171500 transcript:KJB50453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKSGIPGNFYVLNTGAKIPAIGLGTWQSGGDLCVDAVKTALSVGYRHIDCAHLYGNEIEVGEALNEAFKGSLKREDIFLTSKLYCTMNSLNKIENYVRVSLKNLGVSYLDLYLMHWPESSGFGDATDPPLKSGSQHRQFLNRLKKVWKAMEGLVDSGLVRAIGVSNFGVHQIKELLKFAKIVPAVNQVELHPFWRQDELVKFCQMKGIHVSAHTPLGVPTSSPGVSDSGSGGEDEPGTPRISFRRSRSVHGPMLKLSVVGEIADRHKKTPEQYVDLIH >KJB50451 pep chromosome:Graimondii2_0_v6:8:44655749:44659275:-1 gene:B456_008G171500 transcript:KJB50451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKSGIPGNFYVLNTGAKIPAIGLGTWQSGGDLCVDAVKTALSVGYRHIDCAHLYGNEIEVGEALNEAFKGSLKREDIFLTSKLYCTMNSLNKIENYVRVSLKNLGVSYLDLYLMHWPESSGFGDATDPPLKSGSQHRQFLNRLKKVWKAMEGLVDSGLVRAIGVSNFGVHQIKELLKFAKIVPAVNQLVKFCQMKGIHVSAHTPLGVPTSSPGVSDSGSGGEDEPGTPRISFRRSRSVHGPMLKLSVVGEIADRHKKTPEQVILRWGFQRGTSVLPCSLKPDRIKQNIDIFNWSLSDDEWNRLNRIEPQVCLYGDGPLNNLSDRGFMFGSGPLQAVREMEDDAEFNA >KJB50449 pep chromosome:Graimondii2_0_v6:8:44655548:44659610:-1 gene:B456_008G171500 transcript:KJB50449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKSGIPGNFYVLNTGAKIPAIGLGTWQSGGDLCVDAVKTALSVGYRHIDCAHLYGNEIEVGEALNEAFKGSLKREDIFLTSKLYCTMNSLNKIENYVRVSLKNLGVSYLDLYLMHWPESSGFGDATDPPLKSGSQHRQFLNRLKKVWKAMEGLVDSGLVRAIGVSNFGVHQIKELLKFAKIVPAVNQVELHPFWRQDELVKFCQMKGIHVSAHTPLGVPTSSPGVSDSGSGGEDEPGTPRISFRRSRSVHGPMLKLSVVGEIADRHKKTPEQVILRWGFQRGTSVLPCSLKPDRIKQNIDIFNWSLSDDEWNRLNRIEPQVCLYGDGPLNNLSDRGFMFGSGPLQAVREMEDDAEFNA >KJB50450 pep chromosome:Graimondii2_0_v6:8:44655749:44659275:-1 gene:B456_008G171500 transcript:KJB50450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKSGIPGNFYVLNTGAKIPAIGLGTWQSGGDLCVDAVKTALSVGYRHIDCAHLYGNEIEVGEALNEAFKGSLKREDIFLTSKLYCTMNSLNKIENYVRVSLKNLGVSYLDLYLMHWPESSGFGDATDPPLKSGSQHRQFLNRLKKVWKAMEGLVDSGLVRAIGVSNFGVHQIKELLKFAKIVPAVNQMSW >KJB50452 pep chromosome:Graimondii2_0_v6:8:44657902:44659039:-1 gene:B456_008G171500 transcript:KJB50452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKSGIPGNFYVLNTGAKIPAIGLGTWQSGGDLCVDAVKTALSVGYRHIDCAHLYGNEIEVGEALNEAFKGSLKREDIFLTSKLYCTMNSLNKIENYVRVSLKNLGVSYLDLYLMHWPESSGFGDATDPPLKSGSQHRQFLNRLKKVWKAMEGLVDSGLVRAIGVSNFGVHQIKELLKFAKIVPAVNQMSW >KJB48694 pep chromosome:Graimondii2_0_v6:8:16255670:16256566:-1 gene:B456_008G081800 transcript:KJB48694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMAVRTYSARAVEAMAVQIGTKLTSACFGNQDQGKLIATAGDAFLYNGTVCGKMFTVTCTGPRNPVPHPCIGKSVTVKIVDHCPGCPLTIDLS >KJB47432 pep chromosome:Graimondii2_0_v6:8:3084360:3087089:-1 gene:B456_008G026300 transcript:KJB47432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKMNLGFAVRKEEKEEIPVHGLTLLTPGIKNLKEESCSTGSRTSCSRAVSSSAPNAQSTFRSVPQPLSHHQQQQQQQQQQQTARKQRRCWSPELHRRFVNALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRVPASTTSPANQSVVVLGSGLWMSQDQYGESSKGSSSQSGSPQGPLQLATNTGGTSNPGGDSMEDDEDAKSESYSWKSHIHKPGKDDV >KJB47431 pep chromosome:Graimondii2_0_v6:8:3083906:3087089:-1 gene:B456_008G026300 transcript:KJB47431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPPELSLDFRPTFVPKTICTFLKEVSLIGNVPDKVSKLDAFVKRLEEEMRKIDAFKRELPLCMLLLNDAIVALKEESVQCMSRNVEPVLEEFIPLKNKKENNRSEEDGALITNKKEKDSNNNCNNNKDKKNWMSSVQLWNTDDDYSSISHKLDSKRKDGDSSQGCKNRGTATAFMPFKMNLGFAVRKEEKEEIPVHGLTLLTPGIKNLKEESCSTGSRTSCSRAVSSSAPNAQSTFRSVPQPLSHHQQQQQQQQQQQTARKQRRCWSPELHRRFVNALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRVPASTTSPANQSVVVLGSGLWMSQDQYGESSKGSSSQSGSPQGPLQLATNTGGTSNPGGDSMEDDEDAKSESYSWKSHIHKPGKDDV >KJB47434 pep chromosome:Graimondii2_0_v6:8:3084360:3087089:-1 gene:B456_008G026300 transcript:KJB47434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNVEPVLEEFIPLKNKKENNRSEEDGALITNKKEKDSNNNCNNNKDKKNWMSSVQLWNTDDDYSSISHKLDSKRKDGDSSQGCKNRGTATAFMPFKMNLGFAVRKEEKEEIPVHGLTLLTPGIKNLKEESCSTGSRTSCSRAVSSSAPNAQSTFRSVPQPLSHHQQQQQQQQQQQTARKQRRCWSPELHRRFVNALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRVPASTTSPANQSVVVLGSGLWMSQDQYGESSKGSSSQSGSPQGPLQLATNTGGTSNPGGDSMEDDEDAKSESYSWKSHIHKPGKDDV >KJB47433 pep chromosome:Graimondii2_0_v6:8:3085510:3086749:-1 gene:B456_008G026300 transcript:KJB47433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPPELSLDFRPTFVPKTICTFLKEVSLIGNVPDKVSKLDAFVKRLEEEMRKIDAFKRELPLCMLLLNDAIVALKEESVQCMSRNVEPVLEEFIPLKNKKENNRSEEDGALITNKKEKDSNNNCNNNKDKKNWMSSVQLWNTDDDYSSISHKLDSKRKDGDSSQGCKNRGTATAFMPFKMNLGFAVRKEEKEEIPVHGLTLLTPGIKNLKEESCSTGSRTSCSRAVSSSAPNAQSTFRSVPQPLSHHQQQQQQQQQQQTARKQRRCWSPELHRRFVNALQQLGGSQGEQMMFHW >KJB47723 pep chromosome:Graimondii2_0_v6:8:4814239:4815647:-1 gene:B456_008G038200 transcript:KJB47723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIKVRGKKEDEDEKAEVEIWNYVFGYAKIAVVKCAIELGIADAIDKHGSPMTLSQLTTTLKCEPPRLYRILRFLVHYQIFKEEPVTQDSIGFALTPLSRRLIRHGERSMAAFILLESSPVMLAPWHSLSARVLDSGNSPFETAHGKDVWSYAEENPGHSKLIDEAMACDARVAVRALIEGCPQVFDGIKSLVDVGGGNGTALSMLVKEFPWMHGINFDLPHVVAVAPKVDGIEYVGGDMFECVPRADAAFFMWVLHDWDDEECIQILKKCREAIPQDKGKVIIVESVLEEDENDKLEFVGLMLDMVMMAHTNKGKERTLKEWKYVLGEAGFTRINVKPIHAVQSIIEAYI >KJB50839 pep chromosome:Graimondii2_0_v6:8:47142567:47143910:-1 gene:B456_008G189100 transcript:KJB50839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHFPPASSTSPPSPSSFTSNYFLHRLSISRPPYLEFPLKPRTHLFKPTSPPVSYSTKTKLLYPSANRADFRSYALPGFDFGSVESVLEAAGVLTAIIVVHETGHFLAAYLQGIRVSKFAVGFGPILAKFNANDVEYSIRAFPLGGFVGFPDNDPDSDIPDDDANLLKNRPILDRVIVISAGVVANIIFAYAIIFTQVLSVGLPVQEPFSGVLVPDVRPFSAASRDGLLPGDVILAINGIQLPETGPRVVSQVVDVIKKNPKRNVFLKVERGKQDFEIGVTPDENVDGTGKIGVQLSPNIKITKLRPNNIFEAFNYAGKEFWGLTYNVLDSLKQTFMNFSQSASKVSGPVAIIAVGAEVARSTVDGLYEFAALLNLNLAVINLLPLPALDGGSLALVLLEAARGGRKLPLELEQRIMSSGIMFVLLLGLFLIVRDTLNLEFIKDLL >KJB50942 pep chromosome:Graimondii2_0_v6:8:47804493:47808471:-1 gene:B456_008G194100 transcript:KJB50942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKRLESYSNRQVTYSKRRTGILKKAKELSILCDIHIILLMFSPTGKPTLFHGERSTIEEVIAKFAQLTPQERAKRKLESLEALKKTFKKLDHDLNIQDFLGATQSVEEMTNEVSMLQARLSEVHKRLSYWNNPDKIDNLEHLRQMENSLRESIERIRIHKENYGKHHLLPLESTSQFQNAMPLPVMIGGVQEAQPVTWLPNNDNQQMLLHNESNFLPNLDTECATDGSLAGYSGFFVPGKQTDIGNSVQVDNTIQESNVLNELGNNAFLNSQLGKQYLYPQFSASNLQDDEKLKSEMVGNLQGDPGVYQVITDFEAPRPMSNGGHQAWISSSGPCGIAMFDGNSYHQQTKSTFMNQTPPSGQCHNL >KJB50944 pep chromosome:Graimondii2_0_v6:8:47804277:47809310:-1 gene:B456_008G194100 transcript:KJB50944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKRLESYSNRQVTYSKRRTGILKKAKELSILCDIHIILLMFSPTGKPTLFHGERSTIEEVIAKFAQLTPQERAKRKLESLEALKKTFKKLDHDLNIQDFLGATQSVEEMTNEVSMLQARLSEVHKRLSYWNNPDKIDNLEHLRQMENSLRESIERIRIHKENYGKHHLLPLESTSQNAMPLPVMIGGVQEAQPVTWLPNNDNQQMLLHNESNFLPNLDTECATDGSLAGYSGFFVPGKQTDIGNSVQVDNTIQESNVLNELGNNAFLNSQLGKQYLYPQFSASNLQDDEKLKSEMVGNLQGDPGVYQVITDFEAPRPMSNGGHQAWISSSGPCGIAMFDGNSYHQQTKSTFMNQTPPSGQCHNL >KJB50941 pep chromosome:Graimondii2_0_v6:8:47804277:47809310:-1 gene:B456_008G194100 transcript:KJB50941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKRLESYSNRQVTYSKRRTGILKKAKELSILCDIHIILLMFSPTGKPTLFHGERSTIEEVIAKFAQLTPQERAKRKLESLEALKKTFKKLDHDLNIQDFLGATQSVEEMTNEVSMLQARLSEVHKRLSYWNNPDKIDNLEHLRQMENSLRESIERIRIHKENYGKHHLLPLESTSQNAMPLPVMIGGVQEAQPVTWLPNNDNQQMLLHNESNFLPNLDTECATDGSLAGYSGFFVPGKQTDIGNSVQVDNTIQESNVLNELGNNAFLNSQLGKQYLYPQFSASNLQDDEKLKSEMVGNLQGDPGVYQVITDFEAPRPMSNGGHQAWISSSGPCGIAMFDGNSYHQQTKSTFMNQTPPSGQCHNL >KJB50943 pep chromosome:Graimondii2_0_v6:8:47804493:47808471:-1 gene:B456_008G194100 transcript:KJB50943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKRLESYSNRQVTYSKRRTGILKKAKELSILCDIHIILLMFSPTGKPTLFHGERSTIEEVIAKFAQLTPQERAKRKLESLEALKKTFKKLDHDLNIQDFLGATQSVEEMTNEVSMLQARLSEVHKRLSYWNNPDKIDNLEHLRQMENSLRESIERIRIHKENYGKHHLLPLESTSQFQNAMPLPVMIGGVQEAQPVTWLPNNDNQQMLLHNESNFLPNLDTECATDGSLAGYSGFFVPGKQTDIGNSVQVDNTIQESNVLNELGNNAFLNSQLGKQYLYPQFSASNLQDDEKLKSEMVGNLQGDPGVYQVITDFEAPRPMSNGGHQAWISSSGPCGIAMFDGNSYHQQTKSTFMNQTPPSGQCHNL >KJB48867 pep chromosome:Graimondii2_0_v6:8:21518641:21524451:1 gene:B456_008G090800 transcript:KJB48867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFYLISLPLTLGMVILTLRYFVGPDVPRYVLFTVEYAWFCSLSIIILVVVPLIQGFEDAGDFSVTERLKTSVRVNLVFYSVVGSIGLVGLILLILMNRNCVGILGLAMALSNTFGLVIGAFLLGFGFSEVPKTLWRKADWTIWQKVLSHKIAKMTVKLDETHQELSNAIVVAQATSNQMSKRDPLRPYMDVIDNMLAQMFREDLSFKPQGGLLGENDMDYDSDEKSMATLRRHLRLAREEYYWYKSEYMTYVIEALQLEDTVKNYERRNSTGWKYVSSFTSGRFGKMGMLLDKMEFIWLCILRKQLRKVLAIVLGNMSATILLAEATLLHLSIFSILIYSVKKQEVLVQVFCFIPLMYICIYTYYSLFEIGMLMFYSLTPWRTSSVSLLMICSMVARYAPPISYNFLNLINCGGKKTIFEKDSTIFIPLIMVVYTLLVASNFFDRVVGFFGNWKRLRFQTDADDMDGFDSSGLIILQKERSWLEQGCKVGEQVIPLARNFNGADIESGYDKRISLFHLKPIDSTVVEMKATTSATNGVKGSPLRTSKEETHKYGTSREAISHKYTVMIKEQGQHVSNQKTVQNNIITESHSASIEAKRFLPLRQNQEVKLIVLHLSSSNSESLDEIFQRLKRPSVDHSDEDENENGAEMKVTVSTR >KJB51484 pep chromosome:Graimondii2_0_v6:8:50471170:50473873:-1 gene:B456_008G218400 transcript:KJB51484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPRFVRPKEGDSESSPDLYVANCGPAVGLQFDTIASAFSSFGEVKGVYAADESGARVIVSFLEPASAHSAFIALNDRPCPHLGGRSLHIRHSILQPPSSRGMASVPVSLNASDLNIPGLYLFHDFISAVEEEQLLQAVDTGSWISLSKRRVQHYGYKFCYDTRNVDTKQHLGALPSFVSFILERISLSPDIPEKLDLDQLTVNEYPPGVGLSPHIDTHSAFEGLIFSLSLAGPCIMEFRRYTAGSWAPKTASISDTEVENPNSCSEVSRKAIYLPPRSMLLLSGEARCAWHHYIPHHKLYVQVLNVYGCIAKA >KJB51483 pep chromosome:Graimondii2_0_v6:8:50471170:50473873:-1 gene:B456_008G218400 transcript:KJB51483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPRFVRPKEGDSESSPDLYVANCGPAVGLQFDTIASAFSSFGEVKGVYAADESGARVIVSFLEPASAHSAFIALNDRPCPHLGGRSLHIRHSILQPPSSRGMASVPVSLNASDLNIPGLYLFHDFISAVEEEQLLQAVDTGSWISLSKRRVQHYGYKFCYDTRNVDTKQHLGALPSFVSFILERISLSPDIPEKLDLDQLTVNEYPPGVGLSPHIDTHSAFEGLIFSLSLAGPCIMEFRRYTAGSWAPKTASISDTEVENPNSCSEVSRKAIYLPPRSMLLLSGEARCAWHHYIPHHKLYVQVLNVYGCIAKA >KJB51481 pep chromosome:Graimondii2_0_v6:8:50469970:50473952:-1 gene:B456_008G218400 transcript:KJB51481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPRFVRPKEGDSESSPDLYVANCGPAVGLQFDTIASAFSSFGEVKGVYAADESGARVIVSFLEPASAHSAFIALNDRPCPHLGGRSLHIRHSILQPPSSRGMASVPVSLNASDLNIPGLYLFHDFISAVEEEQLLQAVDTGSWISLSKRRVQHYGYKFCYDTRNVDTKQHLGALPSFVSFILERISLSPDIPEKLDLDQLTVNEYPPGVGLSPHIDTHSAFEGLIFSLSLAGPCIMEFRRYTAGSWAPKTASISDTEVENPNSCSEVSRKAIYLPPRSMLLLSGEARCAWHHYIPHHKIYRQNFERLVV >KJB51482 pep chromosome:Graimondii2_0_v6:8:50469968:50474038:-1 gene:B456_008G218400 transcript:KJB51482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPRFVRPKEGDSESSPDLYVANCGPAVGLQFDTIASAFSSFGEVKGVYAADESGARVIVSFLEPASAHSAFIALNDRPCPHLGGRSLHIRHSILQPPSSRGMASVPVSLNASDLNIPGLYLFHDFISAVEEEQLLQAVDTGSWISLSKRRVQHYGYKFCYDTRNVDTKQHLGALPSFVSFILERISLSPDIPEKLDLDQLTVNEYPPGVGLSPHIDTHSAFEGLIFSLSLAGPCIMEFRRYTAGSWAPKTASISDTEVENPNSCSEVSRKAIYLPPRSMLLLSGEARCAWHHYIPHHKIDKVNETMIRRGSRRVSFTFRKVRRGPCQCEFPQYCDSQSQT >KJB51485 pep chromosome:Graimondii2_0_v6:8:50471204:50473952:-1 gene:B456_008G218400 transcript:KJB51485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPRFVRPKEGDSESSPDLYVANCGPAVGLQFDTIASAFSSFGEVKGVYAADESGARVIVSFLEPASAHSAFIALNDRPCPHLGGRSLHIRHSILQPPSSRGMASVPVSLNASDLNIPGLYLFHDFISAVEEEQLLQAVDTGSWISLSKRRVQHYGYKFCYDTRNVDTKQHLGALPSFVSFILERISLSPDIPEKLDLDQLTVNEYPPGVGLSPHIDTHSAFEGLIFSLSLAGPCIMEFRRYTAGSWAPKTASISDTEVENPNSCSEVSRKAIYLPPRSMLLLSGEARCAWHHYIPHHKVSFMIR >KJB51480 pep chromosome:Graimondii2_0_v6:8:50471096:50473873:-1 gene:B456_008G218400 transcript:KJB51480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPRFVRPKEGDSESSPDLYVANCGPAVGLQFDTIASAFSSFGEVKGVYAADESGARVIVSFLEPASAHSAFIALNDRPCPHLGGRSLHIRHSILQPPSSRGMASVPVSLNASDLNIPGLYLFHDFISAVEEEQLLQAVDTGSWISLSKRRVQHYGYKFCYDTRNVDTKQHLGALPSFVSFILERISLSPDIPEKLDLDQLTVNEYPPGVGLSPHIDTHSAFEGLIFSLSLAGPCIMEFRRYTAGSWAPKTASISDTEVENPNSCSEVSRKAIYLPPRSMLLLSGEARCAWHHYIPHHKIYRQNFERLVV >KJB51479 pep chromosome:Graimondii2_0_v6:8:50469970:50473966:-1 gene:B456_008G218400 transcript:KJB51479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPRFVRPKEGDSESSPDLYVANCGPAVGLQFDTIASAFSSFGEVKGVYAADESGARVIVSFLEPASAHSAFIALNDRPCPHLGGRSLHIRHSILQPPSSRGMASVPVSLNASDLNIPGLYLFHDFISAVEEEQLLQAVDTGSWISLSKRRVQHYGYKFCYDTRNVDTKQHLGALPSFVSFILERISLSPDIPEKLDLDQLTVNEYPPGVGLSPHIDTHSAFEGLIFSLSLAGPCIMEFRRYTAGSWAPKTASISDTEVENPNSCSEVSRKAIYLPPRSMLLLSGEARCAWHHYIPHHKIDKVNETMIRRGSRRVSFTFRKVRRGPCQCEFPQYCDSQSQT >KJB50395 pep chromosome:Graimondii2_0_v6:8:44092831:44098290:1 gene:B456_008G168700 transcript:KJB50395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLISATLSFLTKMLVSLRNLLHINRRIPARVSHPFLLFQNPHLLSFSPPSNNSIVFCPFVLFTSFCFVIKFPFGTKSNCNTNIFLDDFSRESLCKIIRQDQWNDPKIVSLFGSSLAPIWVSKILVGLKQEPHLALKFFKLAKTQKGFSPTSESYCRLVHILFYGRMYFDATAVLKEFVLSRRGVVFPGCDFFDVLWSTRNVCPYGFGVFDALFSVLVDLGLLEEASRCFTKMKRFRVLPKVRSCNAFLHRICKSGRRDQSRRFLEEMVGAGIAPSVYTYNIVIDCMCKEGDLETARMLFRQMKEIGLTPDVVTYNSLLDGYGKMMPRAFEFFREMRNKGLKPNVVTYSTFIDAFCKEGMMQQGIKFLVDMRRLGLLPNEYTYTSLIDANCKAGNLTEALKLANEMLQANIALNIVTYTTIIDGLCEAGRTKEAEEVFRAMLKAGLTPNVQTYTALTHGYMKVKKMEHALNLLKEMKEKSIKPDLLLHGTIIWGLCNDDKIEETKFVTDEMKASGLSLNPVIYTTIMDSYFKAGKTIGALNLLEEMWDLGIEVTVVTFCVLVDGLCKNGLVLEAINYFNRMPDFNLQPNVAVYTVLIDGLCKNNFIEAAKSMYDEMLSKNLVLDTTAYTALIDGNLKHGNFKEALNLRDRMIEMGMELDLSAYTSLVSGFCRCGQLEKAREFLDEMISKRILPDEILCIGVLRKYYELGHVTEAIELQNKMAKMGLITSPVHLAVPSVQT >KJB50396 pep chromosome:Graimondii2_0_v6:8:44092736:44098323:1 gene:B456_008G168700 transcript:KJB50396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLISATLSFLTKMLVSLRNLLHINRRIPARVSHPFLLFQNPHLLSFSPPSNNSIVFCPFVLFTSFCFVIKFPFGTKSNCNTNIFLDDFSRESLCKIIRQDQWNDPKIVSLFGSSLAPIWVSKILVGLKQEPHLALKFFKLAKTQKGFSPTSESYCRLVHILFYGRMYFDATAVLKEFVLSRRGVVFPGCDFFDVLWSTRNVCPYGFGVFDALFSVLVDLGLLEEASRCFTKMKRFRVLPKVRSCNAFLHRICKSGRRDQSRRFLEEMVGAGIAPSVYTYNIVIDCMCKEGDLETARMLFRQMKEIGLTPDVVTYNSLLDGYGKMMPRAFEFFREMRNKGLKPNVVTYSTFIDAFCKEGMMQQGIKFLVDMRRLGLLPNEYTYTSLIDANCKAGNLTEALKLANEMLQANIALNIVTYTTIIDGLCEAGRTKEAEEVFRAMLKAGLTPNVQTYTALTHGYMKVKKMEHALNLLKEMKEKSIKPDLLLHGTIIWGLCNDDKIEETKFVTDEMKASGLSLNPVIYTTIMDSYFKAGKTIGALNLLEEMWDLGIEVTVVTFCVLVDGLCKNGLVLEAINYFNRMPDFNLQPNVAVYTVLIDGLCKNNFIEAAKSMYDEMLSKNLVLDTTAYTALIDGNLKHGNFKEALNLRDRMIEMGMELDLSAYTSLVSGFCRCGQLEKAREFLDEMISKRILPDEILCIGVLRKYYELGHVTEAIELQNKMAKMGLITSPVHLAVPSVQT >KJB47064 pep chromosome:Graimondii2_0_v6:8:1043005:1044238:-1 gene:B456_008G009300 transcript:KJB47064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASLSQLGNILPIPTLFRQLEQEMETVIKVLQPGPLGIIEHKFSAEEIREANATVRKAVENWRRNAIIEHRNGILKDYIHR >KJB51348 pep chromosome:Graimondii2_0_v6:8:49997812:49999005:-1 gene:B456_008G212900 transcript:KJB51348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVSILLFAPIIVSQLIPADKSQVNSWFNGIIKSVKKEGGGGEFDTITKAIKSVPSGNTKRVIISIRPRSYKEKIRIERNKPFITLLRDPQNMPNLTFDSTAKQYGTIDSATLITECSYFVGANLNLLNTAPRPDGKMVGAQVVALRVSGDRSTFYNCKIFGFQDTLCDDRGNHFFKDCHIRGTIDFIFRSGKSLYLKSGMQNTKIFVEGDLGLTVITAQVRESSSEDTGYSFVHGSIFGTTKNAYTEMGNVVNPVGWSHNLQPERAKTIYYGEYKCTGQGANPKT >KJB48577 pep chromosome:Graimondii2_0_v6:8:13925743:13929337:-1 gene:B456_008G075700 transcript:KJB48577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSKSLAVTSKSITAMARKRSSKTMLISFLLLSLLAFLYFLPVFASLPSLPSYSHSHDLHFHLPRHQRLHRRQKIGVRKFEIAEDKFWKDGKPFQIIFGDLHYFRVLLEYWEDRLLRAKALGLNTIQTSIPWNLHEPEAGKLVFEGIADLVSFLKLCQKLGLLAMLRAGPYICADPRDSETVRPLDLHHSMEVHLSLSKGSVCPSFM >KJB48578 pep chromosome:Graimondii2_0_v6:8:13925743:13928586:-1 gene:B456_008G075700 transcript:KJB48578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSKSLAVTSKSITAMARKRSSKTMLISFLLLSLLAFLYFLPVFASLPSLPSYSHSHDLHFHLPRHQRLHRRQKIGVRKFEIAEDKFWKDGKPFQIIFGDLHYFRVLLEYWEDRLLRAKALGLNTIQTSIPWNLHEPEAGKLVFEGIADLVSFLKLCQKLGLLAMLRAGPYICADPRDSETVRPLDLHHSMEVHLSLSKGSVCPSFM >KJB51675 pep chromosome:Graimondii2_0_v6:8:51416573:51420588:-1 gene:B456_008G228100 transcript:KJB51675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEEIVEETNGKHEKMVEITLKIIGPARPSRLHVPCSLRVHDLRKLIAGKNRLPVENLKLISQGKVLHDREDEDDIYIQLNDGDSLIVAVKPKAPSGLDIDDDEEDLKFQLPQSTSWWKKKLYSFLLNRLKLPDTWNWFLYNFPEPWTAATW >KJB51677 pep chromosome:Graimondii2_0_v6:8:51416806:51420588:-1 gene:B456_008G228100 transcript:KJB51677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEEIVEETNGKHEKMVEITLKIIGPARPSRLHVPCSLRVHDLRKLIAGKNRLPVENLKLISQGKVLHDREDEDDIYIQLNDGDSLIVAVKPKAPSGLDIDDDEEDLKFQLPQSTSWWKKKLYSFLLNRLKLPDILLMAIFSLSLKAWILVILWFILAHVAHKWDLGPLYILGTGFCTIFLNLGRRQPGDVSAYSIFNEDFRELPGTLNADAIDRDIRTGQF >KJB51679 pep chromosome:Graimondii2_0_v6:8:51418522:51420396:-1 gene:B456_008G228100 transcript:KJB51679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEEIVEETNGKHEKMVEITLKIIGPARPSRLHVPCSLRVHDLRKLIAGKNRLPVENLKLISQGKVLHDREDEDDIYIQLNDGDSLIVAVKPKAPSGLDIDDDEEDLKFQLPQSTSWWKKKLYSFLLNRLKLPDILLMAIFSLSLKAWILVILWFILAHVAHKWDLGPLYVSWICLLCTAVYVCWMYPLFTCWEVGLYG >KJB51673 pep chromosome:Graimondii2_0_v6:8:51416760:51420588:-1 gene:B456_008G228100 transcript:KJB51673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEEIVEETNGKHEKMVEITLKIIGPARPSRLHVPCSLRVHDLRKLIAGKNRLPVENLKLISQGKVLHDREDEDDIYIQLNDGDSLIVAVKPKAPSGLDIDDDEEDLKFQLPQSTSWWKKKLYSFLLNRLKLPDTWNWFLYNFPEPWTAATW >KJB51676 pep chromosome:Graimondii2_0_v6:8:51417524:51420396:-1 gene:B456_008G228100 transcript:KJB51676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEEIVEETNGKHEKMVEITLKIIGPARPSRLHVPCSLRVHDLRKLIAGKNRLPVENLKLISQGKVLHDREDEDDIYIQLNDGDSLIVAVKPKAPSGLDIDDDEEDLKFQLPQSTSWWKKKLYSFLLNRLKLPDILLMAIFSLSLKAWILVILWFILAHVAHKWDLGPLYILGTGFCTIFLNLGRRQPGDVRYPLASKLQFLSAYSIFNEDFRELPGTLNADAIDRDIRTGQF >KJB51674 pep chromosome:Graimondii2_0_v6:8:51417524:51420396:-1 gene:B456_008G228100 transcript:KJB51674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEEIVEETNGKHEKMVEITLKIIGPARPSRLHVPCSLRVHDLRKLIAGKNRLPVENLKLISQGKVLHDREDEDDIYIQLNDGDSLIVAVKPKAPSGLDIDDDEEDLKFQLPQSTSWWKKKLYSFLLNRLKLPDILLMAIFSLSLKAWILVILWFILAHVAHKWDLGPLYILGTGFCTIFLNLGRRQPGDVSAYSIFNEDFRELPGTLNADAIDRDIRTGQF >KJB51678 pep chromosome:Graimondii2_0_v6:8:51416573:51420606:-1 gene:B456_008G228100 transcript:KJB51678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEEIVEETNGKHEKMVEITLKIIGPARPSRLHVPCSLRVHDLRKLIAGKNRLPVENLKLISQGKVLHDREDEDDIYIQLNDGDSLIVAVKPKAPSGLDIDDDEEDLKFQLPQSTSWWKKKLYSFLLNRLKLPDILLMAIFSLSLKAWILVILWFILAHVAHKWDLGPLYILGTGFCTIFLNLGRRQPGDVSAYSIFNEDFRELPGTLNADAIDRDIRTEGAQTWMMHP >KJB48111 pep chromosome:Graimondii2_0_v6:8:7786717:7788284:1 gene:B456_008G053900 transcript:KJB48111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLVDIVHFLHLEIHEAFGAAGISGAPQDNNIMLWNAVIFGLMLMSIKFWCFGLKHQFFYVRLVDLFDFLFRRLLCSIYVDVIYLKFLSCFKIYFDYYVRLGNKVNNIDDYYFDYLWCEDYKYIKVIFSDCRETSASQPRVYEDWITRNVADVVCIVFFYYQDLLD >KJB51521 pep chromosome:Graimondii2_0_v6:8:50696418:50699863:1 gene:B456_008G220100 transcript:KJB51521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MVNDGKEGSLPSDSLLKPGSSNKQSFESYQLPPSHRSYGEKSGMETEGRQFSDFYRNSSEELFIKSLMESPMGMPVPNMEMLGFKNLSQNFRADSEELFRSWLTNGENQGHNSSNIAHRTRQASRRLSTEMASLSSQQPTTLLQKKKSSDVLLLQNNSVGGETSGDLNQNSARTAGDRGFQASNLYLAKAWFHSSQPMTRSRSSELRKRYAAMQNAQTSLGMEAVLNPYGNGVNKMKEELPDPNGFNDITMSEIPNQLGTFMSPSNSSSSTFNAHQTGNVDKVSSVVSMLKGTLERKKLGNQIEKEAVEDSSIVPKGTFNQGQGNHFPEIPGGFADLPLGQVTNPGVVQAVQGPMDLELEAFVNPINTIQLSAVSREASQSESSAAAPVISSGLDACDGPSNSSQTLSICESTKKQAGNNWNSENGSKSKEFRERIIDNLKDDRKQRGGLVRYGSVTSADSVDRTDPTKKRRVERSRKMAEAKERNSTPPIPSDMQAVLKRCETLEKEVRSLKLNLSFMNRTRNKQSR >KJB51522 pep chromosome:Graimondii2_0_v6:8:50696418:50699863:1 gene:B456_008G220100 transcript:KJB51522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MVNDGKEGSLPSDSLLKPGSSNKQSFESYQLPPSHRSYGEKSGMETEGRQFSDFYRNSSEELFIKSLMESPMGMPVPNMEMLGFKNLSQNFRADSEELFRSWLTNGENQGHNSSNIAHRTRQASRRLSTEMASLSSQQPTTLLQKKKSSDVLLLQNNSVGGETSGDLNQNSARTAGDRGFQASNLYLAKAWFHSSQPMTRSRSSELRKRYAAMQNAQTSLGMEAVLNPYGNGVNKMKEELPDPNGFNDITMSEIPNQLGTFMSPSNSSSSTFNAHQTGNVDKVSSVVSMLKGTLERKKLGNQIEKEAVEDSSIVPKGTFNQGQGNHFPEIPGGFADLPLGQVTNPGVVQAVQGPMDLELEAFVNPINTIQLSAVSREASQSESSAAAPVISSGLDACDGPSNSSQTLSICESTKKQAGNNWNSENGSKSKEFRERIIDNLKDDRKQRGGLVRYGSVTSADSVDRTDPTKKRRVERSRKMAEAKERNSTPPIPSDMQAVLKRCETLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEELTDEKERLLEEIERIISDSGNM >KJB47038 pep chromosome:Graimondii2_0_v6:8:915862:916821:-1 gene:B456_008G007800 transcript:KJB47038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLINHDDDDDDDDDDGDDDDAESCSCDTTTSDVVHGVREVNRFEQKANDDDDDDDDGEVVEMRKEVRLHKKCRDDRGFNGGAAKDKKSSTVDSTKTLKEKNRLFWEACLAS >KJB47039 pep chromosome:Graimondii2_0_v6:8:916105:916527:-1 gene:B456_008G007800 transcript:KJB47039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVIDISSYLLLEATGDSESGCCFDPAMSLINHDDDDDDDDDDGDDDDAESCSCDTTTSDVVHGVREVNRFEQKANDDDDDDDDGEVVEMRKEVRLHKKCRDDRGFNGGAAKDKKSSTVDSTKTLKEKNRLFWEACLAS >KJB48589 pep chromosome:Graimondii2_0_v6:8:14278398:14281493:1 gene:B456_008G076600 transcript:KJB48589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGSVSLSHLGTFPSPGGSDYRDNGAVVSQKGWSSERVARSANSNGNSRRHISASSLTPFYSGRTLPSKWEDAERWICSPVLGYGVSKNVNYHLQRRPKSKSGPIVPPGIAFYSNCSPSMNLLDGGGSGTVTNLMAGSPFSTGVLMADGVSVHYLGCRAAAAAGDVDGDQSCMVQSDSNVARSAIIPGWSSDLVSESSLPSSQDEKLDEIKDAEMMLCRVASRRDMATQMSPDNSSSHSSTRERSSFGHSPPPILPLPAVDNNDHPSKLDIREVQIDKRATVTNRSKRHGSRRIKKGEPDFEGFYRNSAPTSALSLDIAEAATSISKLQREEAKISAWENLQRAKAEAAIRKLEKRRDQLQWIRS >KJB48588 pep chromosome:Graimondii2_0_v6:8:14278398:14281493:1 gene:B456_008G076600 transcript:KJB48588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGSVSLSHLGTFPSPGGSDYRDNGAVVSQKGWSSERVARSANSNGNSRRHISASSLTPFYSGRTLPSKWEDAERWICSPVLGYGVSKNVNYHLQRRPKSKSGPIVPPGIAFYSNCSPSMNLLDGGGSGTVTNLMAGSPFSTGVLMADGVSVHYLGCRAAAAAGDVDGDQSCMVQSDSNVARSAIIPGWSSDLVSESSLPSSQDEKLDEIKDAEMMLCRVASRRDMATQMSPDNSSSHSSTRERSSFGHSPPPILPLPAVDNNDHPSKLDIREVQIDKRATVTNRSKRHGSRRIKKGEPDFEGFYRNSAPTSALSLDIAEAATSISKLQREEAKISAWENLQRAKAEAAIRKLEMKLEKKRSASMDKILRKLRTAQVKAQEMRSSISGKEDEQIPKTSPKFTFFHIRMSFLSSCFTCHGF >KJB47398 pep chromosome:Graimondii2_0_v6:8:2828823:2831021:-1 gene:B456_008G024600 transcript:KJB47398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MLRALVRKAASISAFGTANSAPCLIANPIHHLQTKRFSIGILPDGVNRSSDNFVQNSDAMERLLSDLQSHINKVLAGGGETAVKRNRSRYKLLPRERIDRLLDPGSSFLELSQLAGHELYEDPLPSGGIITGIGPVHGRLCMFVANDPTVKGGTYYPITIKKHLRAQEIAAQCKLPCVYLVDSGGAFLPKQAEVFPDKENFGRIFYNQATLSAQGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGATVHCKTSGVSDYFAQDEMHGLALGRNIVKNLHLAGKQGMLSSSPPANLEFKEPLYDVKELRSIAPVDHKQQFDVRSVIARIVDGSEFDEFKKLYGTTLVTGFARIYGQPVGILGNNGILFNESALKGTHFIELCSQRNIPLVFLQNITGFMVGSRSEANGIAKSGAKLVMAVSCAKVGTIFQLCLLVLCHSYNIH >KJB47397 pep chromosome:Graimondii2_0_v6:8:2827633:2831021:-1 gene:B456_008G024600 transcript:KJB47397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MLRALVRKAASISAFGTANSAPCLIANPIHHLQTKRFSIGILPDGVNRSSDNFVQNSDAMERLLSDLQSHINKVLAGGGETAVKRNRSRYKLLPRERIDRLLDPGSSFLELSQLAGHELYEDPLPSGGIITGIGPVHGRLCMFVANDPTVKGGTYYPITIKKHLRAQEIAAQCKLPCVYLVDSGGAFLPKQAEVFPDKENFGRIFYNQATLSAQGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGATVHCKTSGVSDYFAQDEMHGLALGRNIVKNLHLAGKQGMLSSSPPANLEFKEPLYDVKELRSIAPVDHKQQFDVRSVIARIVDGSEFDEFKKLYGTTLVTGFARIYGQPVGILGNNGILFNESALKGTHFIELCSQRNIPLVFLQNITGFMVGSRSEANGIAKSGAKLVMAVSCAKVPKVTIMIGGSFGAGNYAMCGRAFNPNFLFLWPNSRISVMGGAQAAGVLSQIEGANKKSGQVRKKRSSRLRLWRHMRERGIRTTQQLGSGMMVL >KJB47396 pep chromosome:Graimondii2_0_v6:8:2828449:2830970:-1 gene:B456_008G024600 transcript:KJB47396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MLRALVRKAASISAFGTANSAPCLIANPIHHLQTKRFSIGILPDGVNRSSDNFVQNSDAMERLLSDLQSHINKVLAGGGETAVKRNRSRYKLLPRERIDRLLDPGSSFLELSQLAGHELYEDPLPSGGIITGIGPVHGRLCMFVANDPTVKGGTYYPITIKKHLRAQEIAAQCKLPCVYLVDSGGAFLPKQAEVFPDKENFGRIFYNQATLSAQGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGATVHCKTSGVSDYFAQDEMHGLALGRNIVKNLHLAGKQGMLSSSPPANLEFKEPLYDVKELRSIAPVDHKQQFDVRSVIARIVDGSEFDEFKKLYGTTLVTGFARIYGQPVGILGNNGILFNESALKGTHFIELCSQRNIPLVFLQNITGFMVGSRSEANGIAKSGAKLVMAVSCAKVPKVTIMIGGSFGAGNYAMCGRAFNPNFLFLWPNSRISVMGGAQLTRLINNWDSLSLSTKT >KJB47393 pep chromosome:Graimondii2_0_v6:8:2827599:2831079:-1 gene:B456_008G024600 transcript:KJB47393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MFVANDPTVKGGTYYPITIKKHLRAQEIAAQCKLPCVYLVDSGGAFLPKQAEVFPDKENFGRIFYNQATLSAQGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGATVHCKTSGVSDYFAQDEMHGLALGRNIVKNLHLAGKQGMLSSSPPANLEFKEPLYDVKELRSIAPVDHKQQFDVRSVIARIVDGSEFDEFKKLYGTTLVTGFARIYGQPVGILGNNGILFNESALKGTHFIELCSQRNIPLVFLQNITGFMVGSRSEANGIAKSGAKLVMAVSCAKVPKVTIMIGGSFGAGNYAMCGRAFNPNFLFLWPNSRISVMGGAQAAGVLSQIEGANKKRQGIQWTSEEEEKFKAKVMEAYEREGNPYYSTARLWDDGIIDPADTRKIVGLCISASMNRPLEDTKYGVFRM >KJB47394 pep chromosome:Graimondii2_0_v6:8:2827900:2830970:-1 gene:B456_008G024600 transcript:KJB47394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MLRALVRKAASISAFGTANSAPCLIANPIHHLQTKRFSIGILPDGVNRSSDNFVQNSDAMERLLSDLQSHINKVLAGGGETAVKRNRSRYKLLPRERIDRLLDPGSSFLELSQLAGHELYEDPLPSGGIITGIGPVHGRLCMFVANDPTVKGGTYYPITIKKHLRAQEIAAQCKLPCVYLVDSGGAFLPKQAEVFPDKENFGRIFYNQATLSAQGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGATVHCKTSGVSDYFAQDEMHGLALGRNIVKNLHLAGKQGMLSSSPPANLEFKEPLYDVKELRSIAPVDHKQQFDVRSVIARIVDGSEFDEFKKLYGTTLVTGFARIYGQPVGILGNNGILFNESALKGTHFIELCSQRNIPLVFLQNITGFMVGSRSEANGIAKSGAKLVMAVSCAKVPKVTIMIGGSFGAGNYAMCGRAFNPNFLFLWPNSRISVMGGAQAAGVLSQIEGANKKRQGIQWTSEEEEKFKAKVMEAYEREGNPYYSTARLWDDGIIDPADTRKIVGLCISASMNRPLEDTKYGVFRM >KJB47395 pep chromosome:Graimondii2_0_v6:8:2828449:2830970:-1 gene:B456_008G024600 transcript:KJB47395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MLRALVRKAASISAFGTANSAPCLIANPIHHLQTKRFSIGILPDGVNRSSDNFVQNSDAMERLLSDLQSHINKVLAGGGETAVKRNRSRYKLLPRERIDRLLDPGSSFLELSQLAGHELYEDPLPSGGIITGIGPVHGRLCMFVANDPTVKGGTYYPITIKKHLRAQEIAAQCKLPCVYLVDSGGAFLPKQAEVFPDKENFGRIFYNQATLSAQGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGATVHCKTSGVSDYFAQDEMHGLALGRNIVKNLHLAGKQGMLSSSPPANLEFKEPLYDVKELRSIAPVDHKQQFDVRSVIARIVDGSEFDEFKKLYGTTLVTGFARIYGQPVGILGNNGILFNESALKGTHFIELCSQRNIPLVFLQNITGFMVGSRSEANGIAKSGAKLVMAVSCAKVPKVTIMIGGSFGAGNYAMCGRAFNPNFLFLWPNSRISVMGGAQLTRLINNWDSLSLSTKT >KJB51939 pep chromosome:Graimondii2_0_v6:8:52620047:52623230:-1 gene:B456_008G239600 transcript:KJB51939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFRSSLLSHHRCLHSLQNAGPLCSHLKSRSVIRFSGPDTIKFLQGLLSNDVRRFGEPPREENSPIPTPNVASVVVPPMYAALLTPQGRFLYDLFLYRPPRPEEKLDRTGSGPGNGSGGSVEILADVDNSISDGLLATLKKYRLRSKVDIDNVAEDFSCWQRYGRDLSGKTPTVEEPEADSVGWGGGVDRADMSASHSSDVGWQWFKDPRLGCLGFRGIFPSGTMPPLVESDKETDEENYLMWRLEKGIAEGSTEIPKGEAIPLEYNFAGLNAISFDKGCYVGQELIARTHHRGVIRKRLLPLKFLDNNGKEVEGKVTPGSEVINTASGKKLGSVTTALGFRGMGVLRLDDAFKDTLTIQGQEDIKVMAIRPDWWPAEWFQDQQHTAVA >KJB51940 pep chromosome:Graimondii2_0_v6:8:52620104:52623099:-1 gene:B456_008G239600 transcript:KJB51940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFRSSLLSHHRCLHSLQNAGPLCSHLKSRSVIRFSGPDTIKFLQGLLSNDVRRFGEPPREENSPIPTPNVASVVVPPMYAALLTPQGRFLYDLFLYRPPRPEEKLDRTGSGPGNGSGGSVEILADVDNSISDGLLATLKKYRLRSKVDIDNVAEDFSCWQRYGRDLSGKTPTVEEPEADSVGWGGGVDRADMSASHSSDVGWQWFKDPRLGCLGFRGIFPSGTMREFTDLLLRLKFILNLRFKYKSWLRDNNRFRMALFLNKSCNSPIA >KJB51941 pep chromosome:Graimondii2_0_v6:8:52620592:52623099:-1 gene:B456_008G239600 transcript:KJB51941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFRSSLLSHHRCLHSLQNAGPLCSHLKSRSVIRFSGPDTIKFLQGLLSNDVRRFGEPPREENSPIPTPNVASVVVPPMYAALLTPQGRFLYDLFLYRPPRPEEKLDRTGSGPGNGSGGSVEILADVDNSISDGLLATLKKYRLRSKVDIDNVAEDFSCWQRYGRDLSGKTPTVEEPEADSVGWGGGVDRADMSASHSSDVGWQWFKDPRLGCLGFRGIFPSGTMPPLVESDKETDEENYLMWRLEKGIAEGSTEIPKGEAIPLEYNFAGLNAISFDKGCYVGQELIARTHHRGVIRKRLLPLKFLDNNGKGSGGESYPWFRGDQHCLW >KJB48876 pep chromosome:Graimondii2_0_v6:8:22168152:22169209:1 gene:B456_008G091500 transcript:KJB48876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLSRSIFLYFLTVVVVTAQSTAPPPRGDSYRLYSHFDPSMAIVVMVLVGAFFFVWILSIYIRHYDESCPIATAAAASSAQRFRPSGLDPEVIENFPVFYIPIEFEDNETLRVIPKCCHVFHLDCIDAWLAYHVTCPVCRAKLTPDSDDIALPVELGSNTTESDNNNTESSHPTRQRVEQQNELVIHVDEETRPREKITGKFTRSHSTGHSMIQPGENTERFMLRFAEEFRKQIAKGEGGGGEGSSRGKSNIDHWVFSRTPPFVSKTGPLKSPKGGGGDWDDGSHSWRGLTSVKEKLCCLNLKVEPGEKGSSPRPSN >KJB47045 pep chromosome:Graimondii2_0_v6:8:939603:940988:1 gene:B456_008G008000 transcript:KJB47045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPVVHSQRSSTRDQVTTREWNDLPSELLPSIADRLGIIELLRFRGVCKDWNFASSTASAEIEALPNRDPWFLLYGENNNSQCTLVTESGKQYIITIPEMDGATCLASSQGWLLVYREGLIFFFCPFSRARIDLPVPTKFPPMGISDHVAVFSTPPTSQDCVVCIICRTNKTDDLELYVIHRGATSWTKHKLNSFPNKIECAAYHNGVFYYFDNTDLMVCFSIKDRSISLGKVRYVKSSNERCIPLRFISNVEKEDMKKRLDLELGDVSTCGTTVSCLNADKMVPYENRANAKGGGTRRSKGVWFQPRFHQIDKMLSW >KJB52161 pep chromosome:Graimondii2_0_v6:8:53258077:53260677:1 gene:B456_008G248500 transcript:KJB52161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQEYLDKMKVRQEYRNLWHTDLMSTIQRDPPYCCLAFWCGPCVSYMLRKRALYNDMSRYTCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQVACIFSIVAMIVGSDELQDAAQLLNCLADMVYCTVCACMQV >KJB52160 pep chromosome:Graimondii2_0_v6:8:53258077:53260677:1 gene:B456_008G248500 transcript:KJB52160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNCLLRSTFWKHLTFNFCLQNTLLLLFYCSGPCVSYMLRKRALYNDMSRYTCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQVACIFSIVAMIVGSDELQDAAQLLNCLADMVYCTVCACMQTQHKIEMDKRDGMFGPQPMAIPPVQHMSRLDQPIPPAAGYPPAAYGQPYPPPQGYPPAAYPPPQYPPAGYPAPGYPPSGYPK >KJB52158 pep chromosome:Graimondii2_0_v6:8:53258077:53260677:1 gene:B456_008G248500 transcript:KJB52158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNCLLRSTFWKHLTFNFCLQNTLLLLFYCSGPCVSYMLRKRALYNDMSRYTCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQVACIFSIVAMIVGSDELQDAAQLLNCLADMVYCTVCACMQTQHKIEMDKRDGMFGPQPMAIPPVQHMSRLDQPIPPAAGYPPAAYGQPYPPPQGYPPAAYPPPQYPPAGYPAPGYPPSGYPK >KJB52156 pep chromosome:Graimondii2_0_v6:8:53258001:53260677:1 gene:B456_008G248500 transcript:KJB52156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQEYLDKMKVRQEYRNLWHTDLMSTIQRDPPYCCLAFWCGPCVSYMLRKRALYNDMSRYTCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQVACIFSIVAMIVGSDELQDAAQLLNCLADMVYCTVCACMQTQHKIEMDKRDGMFGPQPMAIPPVQHMSRLDQPIPPAAGYPPAAYGQPYPPPQGYPPAAYPPPQYPPAGYPAPGYPPSGYPK >KJB52157 pep chromosome:Graimondii2_0_v6:8:53258077:53260677:1 gene:B456_008G248500 transcript:KJB52157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQEYLDKMKVRQEYRNLWHTDLMSTIQRDPPYCCLAFWCGPCVSYMLRKRALYNDMSRYTCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQVACIFSIVAMIVGSDELQDAAQLLNCLADMVYCTVCACMQTQHKIEMDKRDGMFGPQPMAIPPVQHMSRLDQPIPPAAGYPPAAYGQPYPPPQGYPPAAYPPPQYPPAGYPAPGYPPSGYPK >KJB52159 pep chromosome:Graimondii2_0_v6:8:53258835:53260362:1 gene:B456_008G248500 transcript:KJB52159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNCLLRSTFWKHLTFNFCLQNTLLLLFYCSGPCVSYMLRKRALYNDMSRYTCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQVACIFSIVAMIVGSDELQDAAQLLNCLADMVYCTVCACMQTQHKIEMDKRDGMFGPQPMAIPPVQHMSRLDQPIPPAAGYPPAAYGQPYPPPQGYPPAAYPPPQYPPAGYPAPGYPPSGYPK >KJB47226 pep chromosome:Graimondii2_0_v6:8:1856474:1860829:1 gene:B456_008G016300 transcript:KJB47226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDNEIAKTQEERRRKEEELASLTSLTFDRDLYGGTDRDAYVTSIPVNDEDDANLDSMDSEVARKLASYTAPKSLLKEMPRGEDDDNALGFRKPAKIIDREDEYRRRRLNQVISPDRHDAFASGEKTPDPSVRTYADVMREQALAREKEETLRAIAKKKKEEEEAAKVEKESGGAAAAVPKRRNRWDQSQDDGSAAAKKAKTTSDWDLPDATPGIGRWDATPTPGRVSDATPSVGRRNRWDETPTPGRLADSDATPAGGVTPGATPAGVTWDATPKGLVTPTPKRQRSRWDETPATMGSATPTAGATPAVPLTPGVTPFGGTDLQTPTPSNLRGPMTPEQYNLLRWEKDIEERNRPLTDEELDAMFPQEGYKILEPPASYVPIRTPARKLLATPTPMGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGSLLNEENEEELTPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGANALFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLKSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAIYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVEAEYIRNDILPEFFRNFWVRRMALDRRNYRQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLMNYVWPNIFETSPHVINAVMEAIEGMRVALGAAIVLNYCLQGLFHPARKVREVYWKIYNSLYIGSQDALVAAYPILEDEQSSEQNNIYSRPELMMFV >KJB47225 pep chromosome:Graimondii2_0_v6:8:1856490:1860796:1 gene:B456_008G016300 transcript:KJB47225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDNEIAKTQEERRRKEEELASLTSLTFDRDLYGGTDRDAYVTSIPVNDEDDANLDSMDSEVARKLASYTAPKSLLKEMPRGEDDDNALGFRKPAKIIDREDEYRRRRLNQVISPDRHDAFASGEKTPDPSVRTYADVMREQALAREKEETLRAIAKKKKEEEEAAKVEKESGGAAAAVPKRRNRWDQSQDDGSAAAKKAKTTSDWDLPDATPGIGRWDATPTPGRVSDATPSVGRRNRWDETPTPGRLADSDATPAGGVTPGATPAGVTWDATPKGLVTPTPKRQRSRWDETPATMGSATPTAGATPAVPLTPGVTPFGGTDLQTPTPSNLRGPMTPEQYNLLRWEKDIEERNRPLTDEELDAMFPQEGYKILEPPASYVPIRTPARKLLATPTPMGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGSLLNEENEEELTPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGANALFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLKSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAIYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVEAEYIRNDILPEFFRNFWVRRMALDRRNYRQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLMNYVWPNIFETSPHVINAVMEAIEGMRVALGAAIVLNYCLQGLFHPARKVREVYWKIYNSLYIGSQDALVAAYPILEDEQSSEQNNIYILILDCVM >KJB47224 pep chromosome:Graimondii2_0_v6:8:1856540:1860796:1 gene:B456_008G016300 transcript:KJB47224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDNEIAKTQEERRRKEEELASLTSLTFDRDLYGGTDRDAYVTSIPVNDEDDANLDSMDSEVARKLASYTAPKSLLKEMPRGEDDDNALGFRKPAKIIDREDEYRRRRLNQVISPDRHDAFASGEKTPDPSVRTYADVMREQALAREKEETLRAIAKKKKEEEEAAKVEKESGGAAAAVPKRRNRWDQSQDDGSAAAKKAKTTSDWDLPDATPGIGRWDATPTPGRVSDATPSVGRRNRWDETPTPGRLADSDATPAGGVTPGATPAGVTWDATPKGLVTPTPKRQRSRWDETPATMGSATPTAGATPAVPLTPGVTPFGGTDLQTPTPSNLRGPMTPEQYNLLRWEKDIEERNRPLTDEELDAMFPQEGYKILEPPASYVPIRTPARKLLATPTPMGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGSLLNEENEEELTPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGANALFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLKSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAIYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVEAEYIRNDILPEFFRNFWVRRMALDRRNYRQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLMNYVWPNIFETSPHVINAVMEAIEGMRVALGAAIVLNYCLQGLFHPARKVREVYWKIYNSLYIGSQDALVAAYPILEDEQSSEQNNIYSRPELMMFV >KJB47747 pep chromosome:Graimondii2_0_v6:8:5112011:5112829:-1 gene:B456_008G039700 transcript:KJB47747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRSNSFPRSSRQHPLATEVNEHLNRLRASKEASTSSSSISHKLNGFQDLYDCVVKFLQLPLSHHALAHECADELLDGSLRLLDLCSTAKDIVMQTKESASELQSALRRRKIGEAEIASEVRKYMSSRKVAKKTIHKALGNLKVIQRKNTVSPSETVSMLKKIEAVTCSMFEDLLSLISGPKPGSWLSVSKLLHQRRIACEDAGRNVNEFEKVDVALKSFGITKSEIINLEMQNQLKDLELFIQDLEDGLECLFRCMIKARVSLLNILTL >KJB49886 pep chromosome:Graimondii2_0_v6:8:39442065:39449556:-1 gene:B456_008G143400 transcript:KJB49886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASSLSVSLECVNICKLTKAEGSGRFDCSVLSCASKAPRVLTGFLASTANPSQSSSFADARHRRRNRIRSAPDVGGWHSMDVSAFVLLEKLFRSSLLHVGCKRWQLYCSSSVFSDTSSEVSPERMWEDLKPTISYLSPKELELVHNALRLAFYAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTNVTFERIEEEFGPTVRRIVEGETKVSKLGKLKYKNENDSVQDVKADDLRQMFLSMTEEVRVIIVKLADRLHNMRTLCHMPPHKQSSIAMETLQVFAPLAKLLGMYQIKSELENLSFMYTNPEDYAKVKRRVADLYNEHEKELVEADKILMKRIENDQFLDLMTLKTEIRAVCKEPYSIYKSVLKSNSSISEVNQIAQLRIIIKPKPSVGVGPLCSPQQICYHVLGLVHDIWTPVPRAMKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMNLIAERGIAAHYSGRVFVTGLVGHAEANGRSSRGKAVCLNNANIALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPRGEIKNLPRGATVIDYAYMIHTDIGNKMVAAKVNGNLVSPTHVLANAEVVEIITYDALSSKSAFQRHKQWLQHARTRSARHKIMKFLRQQAALSAVEITTDRVNSFIADSEEESETEEFSRISRQSKPLWKKIPGNFVDFSSQGRSCEEALATKNGNIWTPKVNGKVNKHVQHVSLNANGNMSLRGNGAAKIIPANIPPPEVLPGLENWQASKIALWHNLEGHSILWFSVVCIDLRGMMAEITTAFAAVGITICSCVAETDKGRGMAVMVFHIEANLEILVNACSRVDLIPGVLGWSVGCSWPSSMENSQLLEC >KJB46979 pep chromosome:Graimondii2_0_v6:8:595853:597772:1 gene:B456_008G004000 transcript:KJB46979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLTSTVEIPERRRQSDRESGRYASESDGSPDYRRRRRSPSYEAYERDHQSRKDYQQRNPSGSSEYRNPRRRSPVESPERRRRFDRENGRYASESDDSVDYRRRRRSPSYDVYDRDQPRNRHPSESPGDENPRRRNPAHDGTLNSLPKKFGKNRSYLDRDYRNGKHSESESDEELKGLNFEEYRRLKRQKMRKALRFCIWENTPSPPRNDGDDFEDKGDEISEKYGEDNGDGKSDSDKEREMTSNKRVKSKSESENSGSSESESESETESDDSRSRRRKKGSSSKSKSRKSKRRSRKTSSYSDSESDESECESDDEEDSKQRRKSRRKGSRRNRNSKKSSTRKRSRKKSRYSDSNESASERETEESDVSKSSDDRVKSKKRKSSSNSRSRRSKKRRGSETDSQASDSDKSSDSGVDAKSKTIIDEPKIAEINAAEALMFKEMIEAQKKPALDNEPMVGPAPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFESLGFVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVQRHIGQDVGPTHNPFAGKDGADA >KJB46978 pep chromosome:Graimondii2_0_v6:8:595799:597693:1 gene:B456_008G004000 transcript:KJB46978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLTSTVEIPERRRQSDRESGRYASESDGSPDYRRRRRSPSYEAYERDHQSRKDYQQRNPSGSSEYRNPRRRSPVESPERRRRFDRENGRYASESDDSVDYRRRRRSPSYDVYDRDQPRNRHPSESPGDENPRRRNPAHDGTLNSLPKKFGKNRSYLDRDYRNGKHSESESDEELKGLNFEEYRRLKRQKMRKALRFCIWENTPSPPRNDGDDFEDKGDEISEKYGEDNGDGKSDSDKEREMTSNKRVKSKSESENSGSSESESESETESDDSRSRRRKKGSSSKSKSRKSKRRSRKTSSYSDSESDESECESDDEEDSKQRRKSRRKGSRRNRNSKKSSTRKRSRKKSRYSDSNESASERETEESDVSKSSDDRVKSKKRKSSSNSRSRRSKKRRGSETDSQASDSDKSSDSGVDAKSKTIIDEPKIAEINAAEALMFKEMIEAQKKPALDNEPMVGPAPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFESLGFVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVQRHIGQDVGPTHNPFAGKDGADA >KJB50095 pep chromosome:Graimondii2_0_v6:8:41213473:41214156:-1 gene:B456_008G153700 transcript:KJB50095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRFCIKIMQGQENHLEPLDFEISHHFFHINVEFRYISSHDPQITLETRNRSILCRRDLFFSEQNGRNILIAMVADFGASQHFIDTVLVPDVMSFAWDTHSMPMNLGRQVIKLRVELVIEVRPNDEIEESLTSSVNFKPASKSSIEALKRVIWDDDELDHIPLKKRRKLAKGLSSRKECVVCLEEFLDGEEVASLPCGHVYHYGCIVKWLETSHLCPLCRYHMPID >KJB51205 pep chromosome:Graimondii2_0_v6:8:49149106:49154894:1 gene:B456_008G206300 transcript:KJB51205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLTSCNCVLIVLLILLSLYLFDDQKSRFSFHDMSAEVVDSNPYSRLMALQRMGIVENYERIREFSVAVVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPDQVGMTKTDAAVQTLSDINPDVVLESYTLNITSVQGFETFMSSLKNKTFRPSKEGSGVDLVLSCVDNYEARMVVNQACNELNQAWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNTLKFLLGFGHVSPYLGYNSLKDFFPTMTMKPNPQCSNAACLEQQKEYILAKPARDAAAKAKMEAEAAAAAAAGDMPLHVDNEWNISIVDDNEPAKSICGTSSDALPEGLTRELPSADEFQKPQASGATDSAIDDLEDLRRQLEALNAA >KJB51207 pep chromosome:Graimondii2_0_v6:8:49148742:49155689:1 gene:B456_008G206300 transcript:KJB51207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVELKEMLDDLLSLRQSLSDPSLHASIDKLQLRVDRLTHLAKSVPVRRSKVKDMSAEVVDSNPYSRLMALQRMGIVENYERIREFSVAVVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPDQVGMTKTDAAVQTLSDINPDVVLESYTLNITSVQGFETFMSSLKNKTFRPSKEGSGVDLVLSCVDNYEARMVVNQACNELNQAWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNTLKFLLGFGHVSPYLGYNSLKDFFPTMTMKPNPQCSNAACLEQQHCR >KJB51206 pep chromosome:Graimondii2_0_v6:8:49148742:49155689:1 gene:B456_008G206300 transcript:KJB51206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVELKEMLDDLLSLRQSLSDPSLHASIDKLQLRVDRLTHLAKSVPVRRSKVKDMSAEVVDSNPYSRLMALQRMGIVENYERIREFSVAVVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPDQVGMTKTDAAVQTLSDINPDVVLEACNELNQAWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNTLKFLLGFGHVSPYLGYNSLKDFFPTMTMKPNPQCSNAACLEQQKEYILAKPARDAAAKAKMEAEAAAAAAAGDMPLHVDNEWNISIVDDNEPAKSICGTSSDALPEGLTRELPSADEFQKPQASGATDSAIDDLEDLRRQLEALNAA >KJB51204 pep chromosome:Graimondii2_0_v6:8:49148670:49155689:1 gene:B456_008G206300 transcript:KJB51204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVELKEMLDDLLSLRQSLSDPSLHASIDKLQLRVDRLTHLAKSVPVRRSKVKDMSAEVVDSNPYSRLMALQRMGIVENYERIREFSVAVVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPDQVGMTKTDAAVQTLSDINPDVVLESYTLNITSVQGFETFMSSLKNKTFRPSKEGSGVDLVLSCVDNYEARMVVNQACNELNQAWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNTLKFLLGFGHVSPYLGYNSLKDFFPTMTMKPNPQCSNAACLEQQKEYILAKPARDAAAKAKMEAEAAAAAAAGDMPLHVDNEWNISIVDDNEPAKSICGTSSDALPEGLTRELPSADEFQKPQASGATDSAIDDLEDLRRQLEALNAA >KJB51851 pep chromosome:Graimondii2_0_v6:8:52040961:52047766:-1 gene:B456_008G234100 transcript:KJB51851 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MEDDGGERSSFVIGLIENRAKEVGMAAFDLRSASLHLSQYIETSISYQNTKTLLHFYDPMVIIVPPNKLAPEGMVGVSELVDRFYASVKKVVMARGCFDDTKGAMLIKNLAAREPSALGLDTYYKQYYLCLASASAAIKWIEAEKGVIITSHSLVVTFNGSFDHMNIDTTSVHNLEIVEPLHSALWGTSNKKRSLFHMLKTTKTIGGTRLLRANLLQPLKDIETINTRLDCLDELMSNEQLFFGLSQVLRKFPKETDRVLCHFCFKPKKITNEVLGADDAKKSQMLISSIILLKTALDALPLLSKVLKDAQCFILANVYKSVCENEKYADIRKRIGEVIDEDVLHARVPFIARTQQCFAVKAGIDGLLDIARRSFCDTSEAIHNLANKYREEYKLPNLKLPFNNRRGFYFSIPRKDIQGKLPSKFIQVVKQGNNVHCSTLELASLNVRNKSAAGECYVRTEVCLEALVDAIREDIPMFTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTGTFIFQFVTCILFMFQV >KJB51847 pep chromosome:Graimondii2_0_v6:8:52038511:52045469:-1 gene:B456_008G234100 transcript:KJB51847 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MPCHYCPRIGEVIDEDVLHARVPFIARTQQCFAVKAGIDGLLDIARRSFCDTSEAIHNLANKYREEYKLPNLKLPFNNRRGFYFSIPRKDIQGKLPSKFIQVVKQGNNVHCSTLELASLNVRNKSAAGECYVRTEVCLEALVDAIREDIPMFTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTDNGPLAIDAGRHPILESIHSDFVPNSIFISEASNMVIVMGPNMSGKSTYLQQVCLIIILAQIGCYVPAHFATIRVVDRIFTRMGTMDNLESNSSTFMTEMKETAFIMQNVSQRSLIVMDELGRATSSSDGLAIAWSCCEYLLSLNAYTIFATHMENLSKLATIYPNVKILSFYVDIRNSRLDFKFRLNDGPKHVAHYGLLLAEVAGLPSSVIETARSITSRITEKEVNEMEVNCLNHNQIMMAYRAAQRLICLKYSNQKEEAIRQALHLLKENYIDGKL >KJB51850 pep chromosome:Graimondii2_0_v6:8:52040208:52047766:-1 gene:B456_008G234100 transcript:KJB51850 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MEDDGGERSSFVIGLIENRAKEVGMAAFDLRSASLHLSQYIETSISYQNTKTLLHFYDPMVIIVPPNKLAPEGMVGVSELVDRFYASVKKVVMARGCFDDTKGAMLIKNLAAREPSALGLDTYYKQYYLCLASASAAIKWIEAEKGVIITSHSLVVTFNGSFDHMNIDTTSVHNLEIVEPLHSALWGTSNKKRSLFHMLKTTKTIGGTRLLRANLLQPLKDIETINTRLDCLDELMSNEQLFFGLSQVLRKFPKETDRVLCHFCFKPKKITNEVLGADDAKKSQMLISSIILLKTALDALPLLSKVLKDAQCFILANVYKSVCENEKYADIRKRIGEVIDEDVLHARVPFIARTQQCFAVKAGIDGLLDIARRSFCDTSEAIHNLANKYREEYKLPNLKLPFNNRRGFYFSIPRKDIQGKLPSKFIQVVKQGNNVHCSTLELASLNVRNKSAAGECYVRTEVCLEALVDAIREDIPMFTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTDNGPLAIDAGRHPILESIHSDFVPNSIFISEASNMVIVMGPNIIFCLIIVFLSLSLDRLS >KJB51848 pep chromosome:Graimondii2_0_v6:8:52038511:52043576:-1 gene:B456_008G234100 transcript:KJB51848 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MVIVMGPNMSGKSTYLQQVCLIIILAQIGCYVPAHFATIRVVDRIFTRMGTMDNLESNSSTFMTEMKETAFIMQNVSQRSLIVMDELGRATSSSDGLAIAWSCCEYLLSLNAYTIFATHMENLSKLATIYPNVKILSFYVDIRNSRLDFKFRLNDGPKHVAHYGLLLAEVAGLPSSVIETARSITSRITEKEVNEMEVNCLNHNQIMMAYRAAQRLICLKYSNQKEEAIRQALHLLKENYIDGKL >KJB51849 pep chromosome:Graimondii2_0_v6:8:52038611:52047734:-1 gene:B456_008G234100 transcript:KJB51849 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MEDDGGERSSFVIGLIENRAKEVGMAAFDLRSASLHLSQYIETSISYQNTKTLLHFYDPMVIIVPPNKLAPEGMVGVSELVDRFYASVKKVVMARGCFDDTKGAMLIKNLAAREPSALGLDTYYKQYYLCLASASAAIKWIEAEKGVIITSHSLVVTFNGSFDHMNIDTTSVHNLEIVEPLHSALWGTSNKKRSLFHMLKTTKTIGGTRLLRANLLQPLKDIETINTRLDCLDELMSNEQLFFGLSQVLRKFPKETDRVLCHFCFKPKKITNEVLGADDAKKSQMLISSIILLKTALDALPLLSKVLKDAQCFILANVYKSVCENEKYADIRKRIGEVIDEDVLHARVPFIARTQQCFAVKAGIDGLLDIARRSFCDTSEAIHNLANKYREEYKLPNLKLPFNNRRGFYFSIPRKDIQGKLPSKFIQVVKQGNNVHCSTLELASLNVRNKSAAGECYVRTEVCLEALVDAIREDIPMFTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTDNGPLAIDAGRHPILESIHSDFVPNSIFISEASNMVIVMGPNMSGKSTYLQQVCLIIILAQIGCYVPAHFATIRVVDRIFTRMGTMDNLESNSSTFMTEMKETAFIMQNVSQRSLIVMDELGRATSSSDGLAIAWSCCEYLLSLNAYTIFATHMENLSKLATIYPNVKILSFYVDIRNSRLDFKFRLNDGPKHVAHYGLLLAEVAGLPSSVIETARSITSRITEKEVNEMEVNCLNHNQIMMAYRAAQRLICLKYSNQKEEAIRQALHLLKENYIDGKL >KJB52299 pep chromosome:Graimondii2_0_v6:8:53764936:53766273:1 gene:B456_008G254500 transcript:KJB52299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCMMKTLGSRPHLEEEEEEIGEAASTDYKKENEGKKSSIKVKIVLTKEELDLLLVKLKNKGTGGKSLEEILGEIEKARSEKLDSSWKPSLESIMEDDDVINS >KJB48772 pep chromosome:Graimondii2_0_v6:8:18938657:18939878:-1 gene:B456_008G086400 transcript:KJB48772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDAKLKAYIEANGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIRHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKQRKVQQARSASCLSFKQEMKRESENYRVPGMVNQASDWPLLSPPVMPLTSTNQDLYLRDQDSIRNILVKLGGRFSDDHPQSSTISTTTNPMNFRYPFDVYFSQDHQLYEDSMNILSSASSISPLNSTCSQTNSTTHFSVNQVGGPNDMIQGLDAFQAELSELIYNNNGFEGLYGTDNMVDGSSTGTSSVESSSWEDINSLAYPQIVSGFEPCQHQSIPQVSTFDESSYFGPQ >KJB48773 pep chromosome:Graimondii2_0_v6:8:18938519:18940194:-1 gene:B456_008G086400 transcript:KJB48773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFQRKRITSFAAFTSALEAAQLPGRTDNDIKNYWNTKLKKKLLGKQRKVQQARSASCLSFKQEMKRESENYRVPGMVNQASDWPLLSPPVMPLTSTNQDLYLRDQDSIRNILVKLGGRFSDDHPQSSTISTTTNPMNFRYPFDVYFSQDHQLYEDSMNILSSASSISPLNSTCSQTNSTTHFSVNQVGGPNDMIQGLDAFQAELSELIYNNNGFEGLYGTDNMVDGSSTGTSSVESSSWEDINSLAYPQIVSGFEPCQHQSIPQVSTFDESSYFGPQ >KJB52266 pep chromosome:Graimondii2_0_v6:8:53623676:53627799:-1 gene:B456_008G252700 transcript:KJB52266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKRSESAVTTIVNLAEEAKFAKEGVQAPSHAFLSICKSLVAGGVAGGVSRSAVAPLERLKILLQVQNPHGIKYNGTIQGLKYIWKTEGFRGMFKGNGTNCARIIPNSAVKFFSYEEASKGILYLYRQQSGIEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEMSPRHYRGIFHALTTVLWEEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLIKRRPFGLVEDSELGVTTKLACGAAAGTVGQTVAYPLDVIRRRMQMAGWKDAASVVTGDGNSKAPLEYTGMVDAFRKTVRYEGFGALYKGLVPNPVKVVPSIAIAFVTYELVKDVLGVELRISD >KJB48553 pep chromosome:Graimondii2_0_v6:8:14856958:14857627:1 gene:B456_008G0782001 transcript:KJB48553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIVACLCILYINFYSRGLSLCFEQEHMPYALFSFRDRQGNSETES >KJB49350 pep chromosome:Graimondii2_0_v6:8:35064773:35066159:1 gene:B456_008G116700 transcript:KJB49350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSGMNLITTAIAFATSVIFIVFICSRIICGRIRGSQSRQMFHTQSEIDLQQVEEQTRGLEPVVVAAIPALRFNSETFTYIEDTQCSICLGDYQEKQVLRIMPKCGHNFHLSCIDLWLRKQSTCPVCRLPLQDIGETKQMRAATFRTMITLQSPQCSSSSTNSDMNT >KJB49349 pep chromosome:Graimondii2_0_v6:8:35064764:35066276:1 gene:B456_008G116700 transcript:KJB49349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHTQSEIDLQQVEEQTRGLEPVVVAAIPALRFNSETFTYIEDTQCSICLGDYQEKQVLRIMPKCGHNFHLSCIDLWLRKQSTCPVCRLPLQDIGETKQMRAATFRTMITLQSPQCSSSSTNSDMNT >KJB48710 pep chromosome:Graimondii2_0_v6:8:16904930:16911309:1 gene:B456_008G082700 transcript:KJB48710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAYHILLFFILLPLSSPTSKAAVSVIQDFITYRRILHQPLFPAGSAPPPGTDNSIPPPSPPPPDPTQPFFPEGQQSPDQNQQSTPPAAAANGSIPIPTATQPTKPAKRVAIAISVGIVTLGMLSGLAFFLYRHRAKHPGDTQKLVGGNSERFQEDSGVPPSSFLYIGTVEPMRRSVSEGNGGTNGSPYHKLNSGKRWDRYRPSPELQPLPPLAKPPALENCSPPAMSPSSSSSGEESQGTGFYTPQSSTISNEESYYTPASRSISGGLVTPAKTEMNGNTNSGRPRSKRTSPKTRVLASSPEMKRVIIPSIKQQRSQLPPPPPPPPPLQQSQSLAPELHETQETTCAKRAKFSSQPPPPNMALLRSISSHSSPQRTKIPPPPPPPPPPPLPATGPSIPRTVRSLETNVSLKPSPVLKTQESSTPIPDISEESGSRKSMEEVNHKAGSCVEKTDGDDMESAKPKLKPLHWDKVRATSERATVWDQLKSSSFQLNEDMMETLFGCNSTNSVPREPIRRSVLPPVERENRVLNPKKSQNIAILLRALSVTRDEVSEALLDGNPESLGAELLETLVKMAPSKEEEIKLLEYSGDISKLGSAERFLRTVLDIPFAFKRIEAMLYRANFDTEVKYLRKSFQTLEEASEELKNSRLFLKLLEAVLRTGNRMNDGTNRGDAKAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEGAGTDSANGNLKEDDFRKQGLQVVGGLSRDLSNVKKAAGMDSDVLSSYVSKLEMGLEKVRLVLQYEKPDMQGNFFNSMRMFQKDAEEEIAKIKAYERKALLQVKEVTEYFHGNATKEEAHPFRIFMIVRDFLSILDHVCKEVGQMQDRTMVGSARSFRISATASLPVLSRYNVGQDASSDDESLSP >KJB48711 pep chromosome:Graimondii2_0_v6:8:16906417:16911309:1 gene:B456_008G082700 transcript:KJB48711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAYHILLFFILLPLSSPTSKAAVSVIQDFITYRRILHQPLFPAGSAPPPGTDNSIPPPSPPPPDPTQPFFPEGQQSPDQNQQSTPPAAAANGSIPIPTATQPTKPAKRVAIAISVGIVTLGMLSGLAFFLYRHRAKHPGDTQKLVGGNSERFQEDSGVPPSSFLYIGTVEPMRRSVSEGNGGTNGSPYHKLNSGKRWDRYRPSPELQPLPPLAKPPALENCSPPAMSPSSSSSGEESQGTGFYTPQSSTISNEESYYTPASRSISGGLVTPAKTEMNGNTNSGRPRSKRTSPKTRVLASSPEMKRVIIPSIKQQRSQLPPPPPPPPPLQQSQSLAPELHETQETTCAKRAKFSSQPPPPNMALLRSISSHSSPQRTKIPPPPPPPPPPPLPATGPSIPRTVRSLETNVSLKPSPVLKTQESSTPIPDISEESGSRKSMEEVNHKAGSCVEKTDGDDMESAKPKLKPLHWDKVRATSERATVWDQLKSSSFQLNEDMMETLFGCNSTNSVPREPIRRSVLPPVERENRVLNPKKSQNIAILLRALSVTRDEVSEALLDGNPESLGAELLETLVKMAPSKEEEIKLLEYSGDISKLGSAERFLRTVLDIPFAFKRIEAMLYRANFDTEVKYLRKSFQTLEEASEELKNSRLFLKLLEAVLRTGNRMNDGTNRGDAKAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEGAGTDSANGNLKEDDFRKQGLQVVGGLSRDLSNVKKAAGMDSDVLSSYVSKLEMGLEKVRLVLQYEKPDMQGNFFNSMRMFQKDAEEEIAKIKAYERKALLQVKEVTEYFHGNATKEEAHPFRIFMIVRDFLSILDHVCKEVGQMQDRTMVGSARSFRISATASLPVLSRYNVGQDASSDDESLSP >KJB50283 pep chromosome:Graimondii2_0_v6:8:42936158:42941937:1 gene:B456_008G162200 transcript:KJB50283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSRPAVVIDNGTGFTKMGFAGNVEPCFIQPTVVAVNESLLNQSRASSKSNWLAQYSAGVMADLDFFIGDEALTRSRSSNNYNITHPIKHGQVDNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPENREYMGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHIVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGEKVPPEDSFEVARKVKERYCYTCSDIVKEFNKHDKEPSKYIKQWRGIRLKTGTPYSCDIGYERFLGPEVLFNPEIHNSNFTTPLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASDARYGGEVKAQPVEVNVVSHPIQGFAVWFGGSVLASTPEFYAASHTKAEYEEHGASICRTNPVFKGMY >KJB50284 pep chromosome:Graimondii2_0_v6:8:42936147:42942028:1 gene:B456_008G162200 transcript:KJB50284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSRPAVVIDNGTGFTKMGFAGNVEPCFIQPTVVAVNESLLNQSRASSKSNWLAQYSAGVMADLDFFIGDEALTRSRSSNNYNITHPIKHGQVDNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPENREYMGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHIVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGEKVPPEDSFEVARKVKERYCYTCSDIVKEFNKHDKEPSKYIKQWRGIRLKTGTPYSCDIGYERFLGPEVLFNPEIHNSNFTTPLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASDARYGGEVKAQPVEVNVVSHPIQGFAVWFGGSVLASTPEFYAASHTKAEYEEHGASICRTNPVFKGMY >KJB50282 pep chromosome:Graimondii2_0_v6:8:42936158:42941937:1 gene:B456_008G162200 transcript:KJB50282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSRPAVVIDNGTGFTKMGFAGNVEPCFIQPTVVAVNESLLNQSRASSKSNWLAQYSAGVMADLDFFIGDEALTRSRSSNNYNITHPIKHGQVDNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPENREYMGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHIVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGEKVPPEDSFEVARKVKERYCYTCSDIVKEFNKHDKEPSKYIKQWRGIRLKTGTPYSCDIGYERFLGPEVLFNPEIHNSNFTTPLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASDARYGGEVKAQPVEVNVVSHPIQGFAVWFGGSVLASTPEFYAASHTKAEYEEHGASICRTNPVFKGMY >KJB50286 pep chromosome:Graimondii2_0_v6:8:42936158:42941937:1 gene:B456_008G162200 transcript:KJB50286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSRPAVVIDNGTGFTKMGFAGNVEPCFIQPTVVAVNESLLNQSRASSKSNWLAQYSAGVMADLDFFIGDEALTRSRSSNNYNITHPIKHGQVDNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPENREYMGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHIVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGEKVPPEDSFEVARKVKERYCYTCSDIVKEFNKHDKEPSKYIKQWRGIRLKTGTPYSCDIGYERFLGPEVLFNPEIHNSNFTTPLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASDARYGGEVKAQPVEVNVVSHPIQGFAVWFGGSVLASTPEFYAASHTKAEYEEHGASICRTNPVFKGMY >KJB50285 pep chromosome:Graimondii2_0_v6:8:42936158:42941937:1 gene:B456_008G162200 transcript:KJB50285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSRPAVVIDNGTGFTKMGFAGNVEPCFIQPTVVAVNESLLNQSRASSKSNWLAQYSAGVMADLDFFIGDEALTRSRSSNNYNITHPIKHGQVDNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPENREYMGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHIVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGEKVPPEDSFEVARKVKERYCYTCSDIVKEFNKHDKEPSKYIKQWRGIRLKTGTPYSCDIGYERFLGPEVLFNPEIHNSNFTTPLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASDARYGGEVKAQPVEVNVVSHPIQGFAVWFGGSVLASTPEFYAASHTKAEYEEHGASICRTNPVFKGMY >KJB50288 pep chromosome:Graimondii2_0_v6:8:42936158:42941937:1 gene:B456_008G162200 transcript:KJB50288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSRPAVVIDNGTGFTKMGFAGNVEPCFIQPTVVAVNESLLNQSRASSKSNWLAQYSAGVMADLDFFIGDEALTRSRSSNNYNITHPIKHGQVDNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPENREYMGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHIVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGEKVPPEDSFEVARKVKERYCYTCSDIVKEFNKHDKEPSKYIKQWRGIRLKTGTPYSCDIGYERFLGPEVLFNPEIHNSNFTTPLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASDARYGGEVKAQPVEVNVVSHPIQGFAVWFGGR >KJB50287 pep chromosome:Graimondii2_0_v6:8:42936071:42942109:1 gene:B456_008G162200 transcript:KJB50287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSRPAVVIDNGTGFTKMGFAGNVEPCFIQPTVVAVNESLLNQSRASSKSNWLAQYSAGVMADLDFFIGDEALTRSRSSNNYNITHPIKHGQVDNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPENREYMGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHIVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGEKVPPEDSFEVARKVKERYCYTCSDIVKEFNKHDKEPSKYIKQWRGIRLKTGTPYSCDIGYERFLGPEVLFNPEIHNSNFTTPLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASDARYGGEVKAQPVEVNVVSHPIQGFAVWFGGSVLASTPEFYAASHTKAEYEEHGASICRTNPVFKGMY >KJB52842 pep chromosome:Graimondii2_0_v6:8:55708209:55710510:1 gene:B456_008G280100 transcript:KJB52842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMKTKATGLSPAVTNGESGFVGGGEPTAHDWELRPGGMLVQKRDLDTGRPPIPPPTIRVRVKYKSIYHEISINSQATFGELKKMLTGPTGLHHQDQKLLYKDKERDSKAFLDTAGVKDKSKLVLIEDAISQEKRLLEMRKNAKLEKASKSISEISLEVDRLGNQVSTFESIITKGGKVAEKDVLNLIEQLMNQLLKLDGIMADGDVKLQRKMQVRRVQKYVETLDMLKIKNAMPSTNGAQSETQNRHKHSVRKQEQQSRQRLAPIQEQQSSNSVSHLPIHQQYQHKHQHQQASGPVVVTTKWETFDSSPATLPVTSTSTSSSAANNSAPPKFPWEFFD >KJB52841 pep chromosome:Graimondii2_0_v6:8:55708209:55710510:1 gene:B456_008G280100 transcript:KJB52841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMKTKATGLSPAVTNGESGFVGGGEPTAHDWELRPGGMLVQKRDLDTGRPPIPPPTIRVRVKYKSIYHEISINSQATFGELKKMLTGPTGLHHQDQKLLYKDKERDSKAFLDTAGVKDKSKLVLIEDAISQEKRLLEMRKNAKLEKASKSISEISLEVDRLGNQVSTFESIITKGGKVAEKDVLNLIEQLMNQLLKLDGIMADGDVKLQRKMQVRRVQKYVETLDMLKIKNAMPSTNGAQSETQNRHKHSVRKQEQQSRQRLAPIQEQQSSNSVSHLPIHQQYQHKHQHQQASGPVVVTTKWETFDSSPATLPVTSTSTSSSAANNSAPPKFPWEFFD >KJB52839 pep chromosome:Graimondii2_0_v6:8:55708209:55710510:1 gene:B456_008G280100 transcript:KJB52839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMKTKATGLSPAVTNGESGFVGGGEPTAHDWELRPGGMLVQKRDLDTGRPPIPPPTIRVRVKYKSIYHEISINSQATFGELKKMLTGPTGLHHQDQKLLYKDKERDSKAFLDTAGVKDKSKLVLIEDAISQEKRLLEMRKNAKLEKASKSISEISLEVDRLGNQVSTFESIITKGGKVAEKDVLNLIEQLMNQLLKLDGIMADGDVKLQRKMQVRRVQKYVETLDMLKIKNAMPSTNGAQSETQNRHKHSVRKQEQQSRQRLAPIQEQQSSNSVSHLPIHQQYQHKHQHQQASGPVVVTTKWETFDSSPATLPVTSTSTSSSAANNSAPPKFPWEFFD >KJB52843 pep chromosome:Graimondii2_0_v6:8:55708334:55710510:1 gene:B456_008G280100 transcript:KJB52843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGPTGLHHQDQKLLYKDKERDSKAFLDTAGVKDKSKLVLIEDAISQEKRLLEMRKNAKLEKASKSISEISLEVDRLGNQVSTFESIITKGGKVAEKDVLNLIEQLMNQLLKLDGIMADGDVKLQRKMQVRRVQKYVETLDMLKIKNAMPSTNGAQSETQNRHKHSVRKQEQQSRQRLAPIQEQQSSNSVSHLPIHQQYQHKHQHQQASGPVVVTTKWETFDSSPATLPVTSTSTSSSAANNSAPPKFPWEFFD >KJB52844 pep chromosome:Graimondii2_0_v6:8:55708187:55710510:1 gene:B456_008G280100 transcript:KJB52844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMKTKATGLSPAVTNGESGFVGGGEPTAHDWELRPGGMLVQKRDLDTGRPPIPPPTIRVRVKYKSIYHEISINSQATFGELKKMLTGPTGLHHQDQKLLYKDKERDSKAFLDTAGVKDKSKLVLIEDAISQEKRLLEMRKNAKLEKASKSISEISLEVDRLGNQVSTFESIITKGGKVAEKDVLNLIEQLMNQLLKLDGIMADGDVKLQRKMQVRRVQKYVETLDMLKIKNAMPSTNGAQSETQNRHKHSVRKQEQQSRQRLAPIQEQQSSNSVSHLPIHQQYQHKHQHQQASGPVVVTTKWETFDSSPATLPVTSTSTSSSAANNSAPPKFPWEFFD >KJB52840 pep chromosome:Graimondii2_0_v6:8:55708193:55710579:1 gene:B456_008G280100 transcript:KJB52840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMKTKATGLSPAVTNGESGFVGGGEPTAHDWELRPGGMLVQKRDLDTGRPPIPPPTIRVRVKYKSIYHEISINSQATFGELKKMLTGPTGLHHQDQKLLYKDKERDSKAFLDTAGVKDKSKLVLIEDAISQEKRLLEMRKNAKLEKASKSISEISLEVDRLGNQVSTFESIITKGGKVAEKDVLNLIEQLMNQLLKLDGIMADGDVKLQRKMQVRRVQKYVETLDMLKIKNAMPSTNGAQSETQNRHKHSVRKQEQQSRQRLAPIQEQQSSNSVSHLPIHQQYQHKHQHQQASGPVVVTTKWETFDSSPATLPVTSTSTSSSAANNSAPPKFPWEFFD >KJB49539 pep chromosome:Graimondii2_0_v6:8:36512007:36514896:1 gene:B456_008G125500 transcript:KJB49539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGLAVVPVRNEPLTAPSHISPGSGHCESESICVYLAFSGSMIPMRILESDSIESLKLRIQTCKGFVVKNQKLVCGGRELARSNSLVRDYGVNDGNVLHLVLKLSDLQVINVKTSSGKEFTFHVRRGRGCDVVYVKQQIAKREKGFTDLDDQEVVFDGERLEDQRLIDDICKHNDAVLHLLVRKSAKVRAKPVAKNFELSIMAPKSEGKRDNDVGETNGGVQYEADRKFVPRKPPERDFILEPVIVNPKVQLSSVIVKMIKSTFDGLCSKKNPIRSMEGTGGAYFMQDSSGQKFVSVFKPIDEEPLAINNPRKLPMSSDGEGLKKGTRVGEGAFREVAAYILDHPRWTIYGNQKGFAGVPPTTLVKCLHNGFNNPDGSPKAKIGSLQMFVENRGSCEDMGPGSFPVEQVHKISVLDIRLANADRHAGNILLSKDKDGQTLLIPIDHGYCLPESFEDCTFDWLYWPQARQSYSSETLNYIKSLDAEKDIALLKYLGWDIPSKCARTLRISTMLLKKGAARGLTPYAIGSIMCRENLNEESLIEEIVQEAEESVLPNTSEAAFLKKLSQIMDCRLDEIAGSHIR >KJB49540 pep chromosome:Graimondii2_0_v6:8:36512034:36514820:1 gene:B456_008G125500 transcript:KJB49540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGLAVVPVRNEPLTAPSHISPGSGHCESESICVYLAFSGSMIPMRILESDSIESLKLRIQTCKGFVVKNQKLVCGGRELARSNSLVRDYGVNDGNVLHLVLKLSDLQVINVKTSSGKEFTFHVRRGRGCDVVYVKQQIAKREKGFTDLDDQEVVFDGERLEDQRLIDDICKHNDAVLHLLVRKSAKVRAKPVAKNFELSIMAPKSEGKRDNDVGETNGGVQYEADRKFVPRKPPERDFILEPVIVNPKVQLSSVIVKMIKSTFDGLCSKKNPIRSMEGTGGAYFMQDSSGQKFVSVFKPIDEEPLAINNPRKLPMSSDGEGLKKGTRVGEGAFREVAAYILDHPRWTIYGNQKGFAGVPPTTLVKCLHNGFNNPDGSPKAKIGSLQMFVENRGSCEDMGPGSFPVEQVHKISVLDIRLANADRHAGNILLSKDKDGQTLLIPIDHGYCLPEI >KJB49538 pep chromosome:Graimondii2_0_v6:8:36511972:36514923:1 gene:B456_008G125500 transcript:KJB49538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGLAVVPVRNEPLTAPSHISPGSGHCESESICVYLAFSGSMIPMRILESDSIESLKLRIQTCKGFVVKNQKLVCGGRELARSNSLVRDYGVNDGNVLHLVLKLSDLQVINVKTSSGKEFTFHVRRGRGCDVVYVKQQIAKREKGFTDLDDQEVVFDGERLEDQRLIDDICKHNDAVLHLLVRKSAKVRAKPVAKNFELSIMAPKSEGKRDNDVGETNGGVQYEADRKFVPRKPPERDFILEPVIVNPKVQLSSVIVKMIKSTFDGLCSKKNPIRSMEGTGGAYFMQDSSGQKFVSVFKPIDEEPLAINNPRKLPMSSDGEGLKKGTRVGEGAFREVAAYILDHPRWTIYGNQKGFAGVPPTTLVKCLHNGFNNPDGSPKAKIGSLQMFVENRGSCEDMGPGSFPVEQVHKISVLDIRLANADRHAGNILLSKDKDGQTLLIPIDHGYCLPESFEDCTFDWLYWPQARQSYSSETLNYIKSLDAEKDIALLKYLGWDIPSKCARTLRISTMLLKKGAARGLTPYAIGSIMCRENLNEESLIEEIVQEAEESVLPNTSEAAFLKKLSQIMDCRLDEIAGSHIR >KJB52487 pep chromosome:Graimondii2_0_v6:8:54398026:54399320:1 gene:B456_008G264500 transcript:KJB52487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYSSNGEWGKWEDLNSEILALILVRISAEARVATASLVCKSWMSCVLGPFCWPDIDIQDWCRRRHLAVEYVDSAVRKLVRRKGTFRRLSAFRLGDSGFAFAANWCLKVLEIPMSEVNGKIVVNYCLKITHVGIEAFGKNCKSLTQFKRNMPPQELERLSSTSKVNKLEAMVIADTMPLLQHLQLGFGCFGDTGLGAILAKCKALTHLDIQGCWNLKLEGELEDRCLQLPAFKSPWVYDLFADNDEQDDENDDDEYSSTDSEIEDLVSFVIDGFCFFW >KJB47793 pep chromosome:Graimondii2_0_v6:8:5582150:5586596:-1 gene:B456_008G041900 transcript:KJB47793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIMFQTSPLPKTLLLKPSLPPPPGKHRKNLTLRCSSSSLIDGGESSVASLERCFLAPPAPVESASSGSGEVGPVMKGGQYGAFGAVTLEKGKLDLSQKQSTSSPELATGGGGGDIGKKINHGGGDGGDDDGDDDDYFDDFDDDGEGEEGGLFRKRMFLEELFDRKFVDAVLNEWHKTMMDLPAGFRQAYEMGLVSSAQMVKFLAINARPTTSRFISRTLPQGMSRAFIGRMLADPAFLYRLLLEEAATIGSSVWWEVKNRKDRIKQEWDLALMNVLTVAACNALVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFERSYPLREFDLQKRIHSLFYKAAELCIVGLTAGAVQGSLSNFLASKKKEKLSVTIPSMSTNALGYGAFLGLYANLRYQLLCGFDQAMVNHFDVIGVALFFSTALRVLNVQLGERSRLAWLGVEVDPLVQSDDLLKAYNRPSEDGTRSSSKWFISKNAIVSGLGLLGIRQGNAESAANEETRAPKARRKRIVRKKRIQYEREPLAKIFVWC >KJB47790 pep chromosome:Graimondii2_0_v6:8:5582797:5586355:-1 gene:B456_008G041900 transcript:KJB47790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIMFQTSPLPKTLLLKPSLPPPPGKHRKNLTLRCSSSSLIDGGESSVASLERCFLAPPAPVESASSGSGEVGPVMKGGQYGAFGAVTLEKGKLDLSQKQSTSSPELATGGGGGDIGKKINHGGGDGGDDDGDDDDYFDDFDDDGEGEEGGLFRKRMFLEELFDRKFVDAVLNEWHKTMMDLPAGFRQAYEMGLVSSAQMVKFLAINARPTTSRFISRTLPQGMSRAFIGRMLADPAFLYRLLLEEAATIGSSVWWEVKNRKDRIKQEWDLALMNVLTVAACNALVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFERSYPLREFDLQKRIHSLFYKAAELCIVGLTAGAVQGSLSNFLASKKKEKLSVTIPSMSTNALGYGAFLGLYANLRYQLLCGFDQAMVNHFDVIGVALFFSTALRVLNVQLGERSRLAWLGVEVDPLVQSDDLLKAYNRPSEDGTRSSSKWFISKNAIVSGLGLLGIRQGNAESAANEETRAPKARRKRIVRKKVTASSA >KJB47791 pep chromosome:Graimondii2_0_v6:8:5582209:5584998:-1 gene:B456_008G041900 transcript:KJB47791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLEELFDRKFVDAVLNEWHKTMMDLPAGFRQAYEMGLVSSAQMVKFLAINARPTTSRFISRTLPQGMSRAFIGRMLADPAFLYRLLLEEAATIGSSVWWEVKNRKDRIKQEWDLALMNVLTVAACNALVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFERSYPLREFDLQKRIHSLFYKAAELCIVGLTAGAVQGSLSNFLASKKKEKLSVTIPSMSTNALGYGAFLGLYANLRYQLLCGFDQAMVNHFDVIGVALFFSTALRVLNVQLGERSRLAWLGVEVDPLVQSDDLLKAYNRPSEDGTRSSSKWFISKNAIVSGLGLLGIRQGNAESAANEETRAPKARRKRIVRKKVTASSA >KJB47796 pep chromosome:Graimondii2_0_v6:8:5582209:5586524:-1 gene:B456_008G041900 transcript:KJB47796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIMFQTSPLPKTLLLKPSLPPPPGKHRKNLTLRYDDDYFDDFDDDGEGEEGGLFRKRMFLEELFDRKFVDAVLNEWHKTMMDLPAGFRQAYEMGLVSSAQMVKFLAINARPTTSRFISRTLPQGMSRAFIGRMLADPAFLYRLLLEEAATIGSSVWWEVKNRKDRIKQEWDLALMNVLTVAACNALVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFERSYPLREFDLQKRIHSLFYKAAELCIVGLTAGAVQGSLSNFLASKKKEKLSVTIPSMSTNALGYGAFLGLYANLRYQLLCGFDQAMVNHFDVIGVALFFSTALRVLNVQLGERSRLAWLGVEVDPLVQSDDLLKAYNRPSEDGTRSSSKWFISKNAIVSGLGLLGIRQGNAESAANEETRAPKARRKRIVRKKVTASSA >KJB47794 pep chromosome:Graimondii2_0_v6:8:5582209:5586524:-1 gene:B456_008G041900 transcript:KJB47794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEMGGEEGGLFRKRMFLEELFDRKFVDAVLNEWHKTMMDLPAGFRQAYEMGLVSSAQMVKFLAINARPTTSRFISRTLPQGMSRAFIGRMLADPAFLYRLLLEEAATIGSSVWWEVKNRKDRIKQEWDLALMNVLTVAACNALVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFERSYPLREFDLQKRIHSLFYKAAELCIVGLTAGAVQGSLSNFLASKKKEKLSVTIPSMSTNALGYGAFLGLYANLRYQLLCGFDQAMVNHFDVIGVALFFSTALRVLNVQLGERSRLAWLGVEVDPLVQSDDLLKAYNRPSEDGTRSSSKWFISKNAIVSGLGLLGIRQGNAESAANEETRAPKARRKRIVRKKVTASSA >KJB47792 pep chromosome:Graimondii2_0_v6:8:5582797:5584300:-1 gene:B456_008G041900 transcript:KJB47792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMFDVLIDRMLADPAFLYRLLLEEAATIGSSVWWEVKNRKDRIKQEWDLALMNVLTVAACNALVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFERSYPLREFDLQKRIHSLFYKAAELCIVGLTAGAVQGSLSNFLASKKKEKLSVTIPSMSTNALGYGAFLGLYANLRYQLLCGFDQAMVNHFDVIGVALFFSTALRVLNVQLGERSRLAWLGVEVDPLVQSDDLLKAYNRPSEDGTRSSSKWFISKNAIVSGLGLLGIRQGNAESAANEETRAPKARRKRIVRKKVTASSA >KJB47795 pep chromosome:Graimondii2_0_v6:8:5583478:5586355:-1 gene:B456_008G041900 transcript:KJB47795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIMFQTSPLPKTLLLKPSLPPPPGKHRKNLTLRCSSSSLIDGGESSVASLERCFLAPPAPVESASSGSGEVGPVMKGGQYGAFGAVTLEKGKLDLSQKQSTSSPELATGGGGGDIGKKINHGGGDGGDDDGDDDDYFDDFDDDGEGEEGGLFRKRMFLEELFDRKFVDAVLNEWHKTMMDLPAGFRQAYEMGLVSSAQMVKFLAINARPTTSRFISRTLPQGMSRAFIGRMLADPAFLYRLLLEEAATIGSSVWWEVKNRKDRIKQEWDLALMNVLTVAACNALVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFERSYPLREFDLQKRIHSLFYKAAELCIVGLTAGAVQGSLSNFLASKKKEKLSVTIPSMSTNALGYGAFLGLYANLRYQLLCGFDQAMVNHFDVIGVALFFSTALR >KJB53081 pep chromosome:Graimondii2_0_v6:8:56642890:56646578:1 gene:B456_008G292500 transcript:KJB53081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEPLTSGVSDLINALFSLRSLRSLLVVLNAVVLLLLLPTRRRKRTSALKSEAGKDEKHEGRKKGSVGTKFRVPAAVVQRRSTAFAVDLEAAARRSLAIRRVVQDDDGVSMREFSLVSSARGDTLFIQIWTPISVQIRGVVFLMHGLNEHSDRYNDFAKRLNTNGFKVYGMDWIGHGGSDGLHGYVHSLDYAVNDMKMFLERVFVENPGLPCFCFGHSTGGAIVLKATLDPQVENRVAGIILTSPAVGVQPSHPIFVVLAPIMSFLLPRFQVHVANRRGNPVSRDPEALVAKYSDPLVYTGPLRVRTGYEILRITSYLQHNMKRLKVPFLVLHGMDDTVTDPKASQKLYDEASTDKTIKLYKGLLHDLLFELEREAIMDDIIQWLNVRA >KJB52689 pep chromosome:Graimondii2_0_v6:8:55139860:55143624:-1 gene:B456_008G272400 transcript:KJB52689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTKARLPALLKISSEKNTISCLKKDNKRLNQKLLQLQALLEEKDAQLGLSNRSMGAANSSFQSSGIDGENLRPSSSSEDICAPATSYLGRIPQSEECAAAEPTKFASARVESSSRQDSYSASSLHASSGGVDDTAQKLYGSHFDENGREMLREEEFPEVSVEFQETFLGHTSPITRCRFSASGNNIASASVDGTVRIWTYDSSIPASRNATIYCGAEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTTDAFPRYFLESHVYRFINLFILHLFFLLFLLPSCSVLDLKCSPVEPIFVSAASSRRLGSNSIDSLGYASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSAISSLLFGPDETSIFSLGSDGKIFEWSLQNQGRVLWSKTSSWFSEPQTSKYCRHEMALDASGRQLLATSGSVRAPIYQVQGHSNGFKTLPHSAAITTVDWHPSLPIFLTGSADNSVRVTSIL >KJB52688 pep chromosome:Graimondii2_0_v6:8:55139555:55144866:-1 gene:B456_008G272400 transcript:KJB52688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMQYAEELVREFLVFRGFTNTLQAFETERCTDIGKMFQVYKIVDLIFAVYIPKFHAEKLVGLFSFFKQCFSSWSETTMLDTFLKLEGSVLRCYIVHALQSGRKDKVVEFFGMNGNDLLLKGSDWTAWFAIPYVKNPSIDPQFRVYFSKEWYEALRLSVRNFFSEIFNGTLKISSEKNTISCLKKDNKRLNQKLLQLQALLEEKDAQLGLSNRSMGAANSSFQSSGIDGENLRPSSSSEDICAPATSYLGRIPQSEECAAAEPTKFASARVESSSRQDSYSASSLHASSGGVDDTAQKLYGSHFDENGREMLREEEFPEVSVEFQETFLGHTSPITRCRFSASGNNIASASVDGTVRIWTYDSSIPASRNATIYCGAEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTTDAFPSVLDLKCSPVEPIFVSAASSRRLGSNSIDSLGYASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSAISSLLFGPDETSIFSLGSDGKIFEWSLQNQGRVLWSKTSSWFSEPQTSKYCRHEMALDASGRQLLATSGSVRAPIYQVQGHSNGFKTLPHSAAITTVDWHPSLPIFLTGSADNSVRVTSIL >KJB52691 pep chromosome:Graimondii2_0_v6:8:55139555:55144866:-1 gene:B456_008G272400 transcript:KJB52691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMQYAEELVREFLVFRGFTNTLQAFETERCTDIGKMFQVYKIVDLIFAVYIPKFHAEKLVGLFSFFKQCFSSWSETTMLDTFLKLEGSVLRCYIVHALQSGRKDKVVEFFGMNGNDLLLKGSDWTAWFAIPYVKNPSIDPQFRVYFSKEWYEALRLSVRNFFSEIFNARLPALLKISSEKNTISCLKKDNKRLNQKLLQLQALLEEKDAQLGLSNRSMGAANSSFQSSGIDGENLRPSSSSEDICAPATSYLGRIPQSEECAAAEPTKFASARVESSSRQDSYSASSLHASSGGVDDTAQKLYGSHFDENGREMLREEEFPEVSVEFQETFLGHTSPITRCRFSASGNNIASASVDGTVRIWTYDSSIPASRNATIYCGAEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTTDAFPSVLDLKCSPVEPIFVSAASSRRLGSNSIDSLGYASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSAISSLLFGPDETSIFSLGSDGKIFEWSLQNQGRVLWSKTSSWFSEPQTSKYCRHEMALDASGRQLLATSGSVRAPIYQVQGHSNGFKTLPHSAAITTVDWHPSLPIFLTGSADNSVRVTSIL >KJB52690 pep chromosome:Graimondii2_0_v6:8:55139860:55143624:-1 gene:B456_008G272400 transcript:KJB52690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTKARLPALLKISSEKNTISCLKKDNKRLNQKLLQLQALLEEKDAQLGLSNRSMGAANSSFQSSGIDGENLRPSSSSEDICAPATSYLGRIPQSEECAAAEPTKFASARVESSSRQDSYSASSLHASSGGVDDTAQKLYGSHFDENGREMLREEEFPEVSVEFQETFLGHTSPITRCRFSASGNNIASASVDGTVRIWTYDSSIPASRNATIYCGAEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTTDAFPSVLDLKCSPVEPIFVSAASSRRLGSNSIDSLGYASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSAISSLLFGPDETSIFSLGSDGKIFEWSLQNQGRVLWSKTSSWFSEPQTSKYCRHEMALDASGRQLLATSGSVRAPIYQVQGHSNGFKTLPHSAAITTVDWHPSLPIFLTGSADNSVRVTSIL >KJB52687 pep chromosome:Graimondii2_0_v6:8:55139555:55144560:-1 gene:B456_008G272400 transcript:KJB52687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTKARLPALLKISSEKNTISCLKKDNKRLNQKLLQLQALLEEKDAQLGLSNRSMGAANSSFQSSGIDGENLRPSSSSEDICAPATSYLGRIPQSEECAAAEPTKFASARVESSSRQDSYSASSLHASSGGVDDTAQKLYGSHFDENGREMLREEEFPEVSVEFQETFLGHTSPITRCRFSASGNNIASASVDGTVRIWTYDSSIPASRNATIYCGAEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTTDAFPSVLDLKCSPVEPIFVSAASSRRLGSNSIDSLGYASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSAISSLLFGPDETSIFSLGSDGKIFEWSLQNQGRVLWSKTSSWFSEPQTSKYCRHEMALDASGRQLLATSGSVRAPIYQVQGHSNGFKTLPHSAAITTVDWHPSLPIFLTGSADNSVRVTSIL >KJB52683 pep chromosome:Graimondii2_0_v6:8:55139488:55144930:-1 gene:B456_008G272400 transcript:KJB52683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMQYAEELVREFLVFRGFTNTLQAFETERCTDIGKMFQVYKIVDLIFAVYIPKFHAEKLVGLFSFFKQCFSSWSETTMLDTFLKLEGSVLRCYIVHALQSGRKDKVVEFFGMNGNDLLLKGSDWTAWFAIPYVKNPSIDPQFRVYFSKEWYEALRLSVRNFFSEIFNGTRLPALLKISSEKNTISCLKKDNKRLNQKLLQLQALLEEKDAQLGLSNRSMGAANSSFQSSGIDGENLRPSSSSEDICAPATSYLGRIPQSEECAAAEPTKFASARVESSSRQDSYSASSLHASSGGVDDTAQKLYGSHFDENGREMLREEEFPEVSVEFQETFLGHTSPITRCRFSASGNNIASASVDGTVRIWTYDSSIPASRNATIYCGAEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTTDAFPSVLDLKCSPVEPIFVSAASSRRLGSNSIDSLGYASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSAISSLLFGPDETSIFSLGSDGKIFEWSLQNQGRVLWSKTSSWFSEPQTSKYCRHEMALDASGRQLLATSGSVRAPIYQVQGHSNGFKTLPHSAAITTVDWHPSLPIFLTGSADNSVRVTSIL >KJB52686 pep chromosome:Graimondii2_0_v6:8:55139506:55144930:-1 gene:B456_008G272400 transcript:KJB52686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMQYAEELVREFLVFRGFTNTLQAFETERCTDIGKMFQVYKIVDLIFAVYIPKFHAEKLVGLFSFFKQCFSSWSETTMLDTFLKLEGSVLRCYIVHALQSGRKDKVVEFFGMNGNDLLLKGSDWTAWFAIPYVKNPSIDPQFRVYFSKEWYEALRLSVRNFFSEIFNGTRLPALLKISSEKNTISCLKKDNKRLNQKLLQLQALLEEKDAQLGLSNRSMGAANSSFQSSGIDGENLRPSSSSEDICAPATSYLGRIPQSEECAAAEPTKFASARVESSSRQDSYSASSLHASSGGVDDTAQKLYGSHFDENGREMLREEEFPEVSVEFQETFLGHTSPITRCRFSASGNNIASASVDGTVRIWTYDSSIPASRNATIYCGAEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTTDAFPSVLDLKCSPVEPIFVSAASSRRLGSNSIDSLGYASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSAISSLLFGPDETSIFSLGSDGKIFEWSLQNQGRVLWSKTSS >KJB52684 pep chromosome:Graimondii2_0_v6:8:55140305:55143624:-1 gene:B456_008G272400 transcript:KJB52684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTKARLPALLKISSEKNTISCLKKDNKRLNQKLLQLQALLEEKDAQLGLSNRSMGAANSSFQSSGIDGENLRPSSSSEDICAPATSYLGRIPQSEECAAAEPTKFASARVESSSRQDSYSASSLHASSGGVDDTAQKLYGSHFDENGREMLREEEFPEVSVEFQETFLGHTSPITRCRFSASGNNIASASVDGTVRIWTYDSSIPASRNATIYCGAEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTTDAFPSVLDLKCSPVEPIFVSAASSRRLGSNSIDSLGYASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSAISSLLFGPDETSIFSLGSDGKIFEWSLQNQGRVLWSKTSS >KJB52685 pep chromosome:Graimondii2_0_v6:8:55139860:55143624:-1 gene:B456_008G272400 transcript:KJB52685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTKARLPALLKISSEKNTISCLKKDNKRLNQKLLQLQALLEEKDAQLGLSNRSMGAANSSFQSSGIDGENLRPSSSSEDICAPATSYLGRIPQSEECAAAEPTKFASARVESSSRQDSYSASSLHASSGGVDDTAQKLYGSHFDENGREMLREEEFPEVSVEFQETFLGHTSPITRCRFSASGNNIASASVDGTVRIWTYDSSIPASRNATIYCGAEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTTDAFPSVLDLKCSPVEPIFVSAASSRRLGSNSIDSLGYASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSAISSLLFGPDETSIFSLGSDGKIFEWSLQNQGRVLWSKTSSWFSEPQTSKYCRHEMALDASGRQLLATSGSVRAPIYQVQGHSNGFKTLPHSAAITTVDWHPSLPIFLTGSADNSVRVTSIL >KJB48518 pep chromosome:Graimondii2_0_v6:8:12993715:12996144:-1 gene:B456_008G073400 transcript:KJB48518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPCAACKFLRRKCTQECVFAPYFPPDHPQKFQNVHKVYGASNVAKLLNELNPSQREEAVTSLAYEAEARLQDPVYGCVGLISILQHRLKQMQHDLGNAKKELSTYIGPQALLPILQPPLFFPQQHLGNPSSMMQHNMMPMMGIPTAASLSHGGQLVIREPQQQQQQFFEPQQQMAAVVAAREQQDFLGGYELQQLHHQQQPDMLRFNNSGFEGAGSVTATGFNQITPVAAMSPSLALGCFDNLYQIQPQEQDHPHHHSHGTLPFQSQLLLQPLQAQPQVHLRSESEEGRSTGPC >KJB48519 pep chromosome:Graimondii2_0_v6:8:12993715:12996069:-1 gene:B456_008G073400 transcript:KJB48519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPCAACKFLRRKCTQECVFAPYFPPDHPQKFQNVHKVYGASNVAKLLNELNPSQREEAVTSLAYEAEARLQDPVYGCVGLISILQHRLKQMQHDLGNAKKELSTYIGPQALLPILQPPLFFPQQHLGNPSSMMQHNMMPMMGIPTAASLSHGGQLVIREPQQQQQQFFEPQQQMAAVVAAREQQDFLGGYELQQLHHQQQPDMLRFNNSGFEGAGSVTATGFNQITPVAAMSPSLALGCFDNLYQIQPQEQDHPHHHSHGTLPFQSQLLLQPLQAQPQVHLRSESEEGRSTGPC >KJB47169 pep chromosome:Graimondii2_0_v6:8:1590996:1594638:-1 gene:B456_008G013900 transcript:KJB47169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDTVAIIGPQSSEMAHVLSNLANELQVPLLSFTALDPSLSPLQYPFFVQTAPNDEFQMTAIAEMVSYFSWSEVIAIFSDDDQSRNGIITLGDKLADRRCRISYKAALPPDPSAKRGDVLRELNKIQMMESRIIVLHSFSRTGLLVFEVAKSLGMMGKGYVWIASTSLSTVLDSFSPLKPEIANSIQGALTLRPHTPDSKRKRDFMSRWNQLSNGSIGFNPYGLYAYDTVWMIARAVRLLLDQGGKISFSNDTRLDGISGSTLNLSALNAFDGGKQLLSKILETNMTGLTGHVQFNQDRSLINPSYDIINAVGNGQRLVGYWSNHTGLSIVPPETLYSEKPNRSSSNQHLDKVVWPGGETARPRGWVFPNNGRELKIGIPRRVSYRDFVLLVNGTDKVQGYCIDVFLAAIKLLPYAFPYRFIPFGDGHKNPSYYELVNKIKSGVFDGVVGDIAIVTNRTRIVDFTLPYIESGLVVVAPVKKISSSAWSFARPFTPLMWAVTAAFFLIVGSVVWILEHRRNDEFRGSPKQQFVTILWFSFSTMFFSHRENTVSSLGRLVLIIWLFVVLIINSSYTASLTSFLTVQQLSSPIKGIDTLIGSNERIGFQVGSFTEGYLMEELNIPKSRLVPLGSPEEYALALERRNVAAVIDERPYVDLFLSEHCEFSVRGQEFTKSGWGFAFPRDSPLAIDMSTAILSLSENGELQKIHDRWLSKSACSSENSEDDIEQLDLKSFWGLFVICGIACMLALLVYFWLMFRKFSRLPPEELDTTSPSTSRSTRLQTFLSFVDEKVEKPKSSSKRKRESMSRIGYHINDESPHRSGSMERNMSQNGDSWLTQ >KJB47171 pep chromosome:Graimondii2_0_v6:8:1590731:1595285:-1 gene:B456_008G013900 transcript:KJB47171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVLLLSTFSLFIGVLSEEGLNPAVVNVGAIFSFNTINGKVAKVAMKAAEDDINSDPSVLGGRKLSIQVHDSNFSSFLGILGALQFMETDTVAIIGPQSSEMAHVLSNLANELQVPLLSFTALDPSLSPLQYPFFVQTAPNDEFQMTAIAEMVSYFSWSEVIAIFSDDDQSRNGIITLGDKLADRRCRISYKAALPPDPSAKRGDVLRELNKIQMMESRIIVLHSFSRTGLLVFEVAKSLGMMGKGYVWIASTSLSTVLDSFSPLKPEIANSIQGALTLRPHTPDSKRKRDFMSRWNQLSNGSIGFNPYGLYAYDTVWMIARAVRLLLDQGGKISFSNDTRLDGISGSTLNLSALNAFDGGKQLLSKILETNMTGLTGHVQFNQDRSLINPSYDIINAVGNGQRLVGYWSNHTGLSIVPPETLYSEKPNRSSSNQHLDKVVWPGGETARPRGWVFPNNGRELKIGIPRRVSYRDFVLLVNGTDKVQGYCIDVFLAAIKLLPYAFPYRFIPFGDGHKNPSYYELVNKIKSGVFDGVVGDIAIVTNRTRIVDFTLPYIESGLVVVAPVKKISSSAWSFARPFTPLMWAVTAAFFLIVGSVVWILEHRRNDEFRGSPKQQFVTILWFSFSTMFFSHRENTVSSLGRLVLIIWLFVVLIINSSYTASLTSFLTVQQLSSPIKGIDTLIGSNERIGFQVGSFTEGYLMEELNIPKSRLVPLGSPEEYALALERRNVAAVIDERPYVDLFLSEHCEFSVRGQEFTKSGWGFAFPRDSPLAIDMSTAILSLSENGELQKIHDRWLSKSACSSENSEDDIEQLDLKSFWGLFVICGIACMLALLVYFWLMFRKFSRLPPEELDTTSPSTSRSTRLQTFLSFVDEKVEKPKSSSKRKRESMSRIGYHINDESPHRSGSMERNMSQNGDSWLTQ >KJB47170 pep chromosome:Graimondii2_0_v6:8:1590685:1595884:-1 gene:B456_008G013900 transcript:KJB47170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVLLLSTFSLFIGVLSEEGLNPAVVNVGAIFSFNTINGKVAKVAMKAAEDDINSDPSVLGGRKLSIQVHDSNFSSFLGILGALQFMETDTVAIIGPQSSEMAHVLSNLANELQVPLLSFTALDPSLSPLQYPFFVQTAPNDEFQMTAIAEMVSYFSWSEVIAIFSDDDQSRNGIITLGDKLADRRCRISYKAALPPDPSAKRGDVLRELNKIQMMESRIIVLHSFSRTGLLVFEVAKSLGMMGKGYVWIASTSLSTVLDSFSPLKPEIANSIQGALTLRPHTPDSKRKRDFMSRWNQLSNGSIGFNPYGLYAYDTVWMIARAVRLLLDQGGKISFSNDTRLDGISGSTLNLSALNAFDGGKQLLSKILETNMTGLTGHVQFNQDRSLINPSYDIINAVGNGQRLVGYWSNHTGLSIVPPETLYSEKPNRSSSNQHLDKVVWPGGETARPRGWVFPNNGRELKIGIPRRVSYRDFVLLVNGTDKVQGYCIDVFLAAIKLLPYAFPYRFIPFGDGHKNPSYYELVNKIKSGVFDGVVGDIAIVTNRTRIVDFTLPYIESGLVVVAPVKKISSSAWSFARPFTPLMWAVTAAFFLIVGSVVWILEHRRNDEFRGSPKQQFVTILWFSFSTMFFSHRENTVSSLGRLVLIIWLFVVLIINSSYTASLTSFLTVQQLSSPIKGIDTLIGSNERIGFQVGSFTEGYLMEELNIPKSRLVPLGSPEEYALALERRNVAAVIDERPYVDLFLSEHCEFSVRGQEFTKSGWGFAFPRDSPLAIDMSTAILSLSENGELQKIHDRWLSKSACSSENSEDDIEQLDLKSFWGLFVICGIACMLALLVYFWLMFRKFSRLPPEELDTTSPSTSRSTRLQTFLSFVDEKVEKPKSSSKRKRESMSRIGYHINDESPHRSGSMERNMSQNGDSWLTQ >KJB50373 pep chromosome:Graimondii2_0_v6:8:43795240:43796481:1 gene:B456_008G167300 transcript:KJB50373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEISRTQGLNPSQQSSSLPDYPQMILEAIEALNEREGSSMSSIAKHIDSTHSDLPASHTTLLSHHLNQMKQMGQIVMLNNNYSKPDPNAPPKRGRGRPPKPKVPLPPGVVVSPPRPRGRPPKPKDLLAPPKPKPVSTGRPRGRPPKKAKTGTATAPPPPPGVKRGRGRPPKVLPSVGFQ >KJB51080 pep chromosome:Graimondii2_0_v6:8:48515368:48517764:1 gene:B456_008G200200 transcript:KJB51080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSTISPAPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPEGFDYELYNRNDINRILGPKSSCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLCPSTPFFFNTLYDPYRAGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAIMTIPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVSHHSCHHLLICCCYTCL >KJB51081 pep chromosome:Graimondii2_0_v6:8:48515368:48517764:1 gene:B456_008G200200 transcript:KJB51081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSTISPAPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPEGFDYELYNRNDINRILGPKSSCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLCPSTPFFFNTLYDPYRAGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAIMTIPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGVKTGLPYIWHSKASNPFVNLRKEYKGIYWQEELIPFFQSVTLPKDCTSACSVSS >KJB51079 pep chromosome:Graimondii2_0_v6:8:48515437:48516799:1 gene:B456_008G200200 transcript:KJB51079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSTISPAPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPEGFDYELYNRNDINRILGPKSSCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLCPSTPFFFNTLYDPYRAGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRCTLMLL >KJB51078 pep chromosome:Graimondii2_0_v6:8:48515318:48517770:1 gene:B456_008G200200 transcript:KJB51078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSTISPAPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPEGFDYELYNRNDINRILGPKSSCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLCPSTPFFFNTLYDPYRAGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAIMTIPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGVKTGLPYIWHSKASNPFVNLRKEYKGIYWQEELIPFFQSVTLPKDCTSVQKCYIEISKQVKAKLGKVDDYFNKLADAMVTWIEAWDELNPSGAKSAELSNGASK >KJB47575 pep chromosome:Graimondii2_0_v6:8:3807450:3808962:1 gene:B456_008G031600 transcript:KJB47575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVRADVAQDFPHNIGMRNWSLNPEAPEFFPARKRLLSASSPVFSPSTPIFLRYYTHPLLSASTFSHLSYTYPHPHALQPHFFIPEKAASASVPMTETVTPLLEPFKTTMVVDEAPEPRTAARKENLGGTRPSVRDKPYWKSDKRYGKKGFVIVDRNSSQARKKQCRGKPSSYSSQDKKHFAKTYPKTARGRAGNKYNKREKHPPIPLKPDGLETTVMIKNIPNRYTREMLEDVLDQHCMLTNKEAESQIGSADEDEQPSLSAFDFLYLPIDFVTKSNKGYAFVNFTNPKAARKFSRAWHNKHWPCINSNKIREIHCAKLQGREELVKHFENMEFPSENFQPLSFNPARDGSKQAVKETIVGRCIGSK >KJB49535 pep chromosome:Graimondii2_0_v6:8:36349546:36356717:1 gene:B456_008G124600 transcript:KJB49535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHEGWVVQQPPSGLLPNGLLPNEAASVIRVLDSERWMKAEERTAELIACIQPNAPSEGRRIAVADYVQRLISKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQSLKDTWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDRLIDKNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNKSFSGPLEVLYRFLEFFSKFDWENFCVSLWGPVPISSLPDITAEPPRKDGGELLLSKYFLDTCSSRYAVCQENQGQPFVSKHFNVIDPLRINNNLGRSVSKGNFFRIRSAFAFGANKLARLLDCPKEDLHHEVNQFFMNTWERHGSGQRPDAPGNDLYRLRLSNSDNAHGSNNVRNTSNSRGNDISSGCDTQAEGVGVSSQHLIHPSESTSKTSDVSTVSRTQSQKSYGSTSNSKTSDKVRRDSNSNKNVCNDTGQSSNAKENLVTDVQGRYLFARTRSSPELTETYGEVTSQGRRNRVPEGGKTQIASVRSDNNGRKSIESDMTASHNIKSSHDDPSSVRHASARQSVNAVANPNGPLNSYQDDLGLGTMGQDFSSIPGTQVMPQEEQDLVNLMASTAHGFNGQIPVPLNLAVGHLPFHIQSLSMGYNQRNLSGILPTNFQMFPQGLVSSPLAHYFSGVGLASNPEDQIEPSSENFGSSEMNQPEVEHESWHEQDRGSSGGYDLDNGGFEMLHLNDKQLSTSAGYNFVPHSRAGSTGSSTNVQQKSSKETRGSTREDYVDVSQYQDNRGNDVYVDERTANSRSLHALHTSSLRSKASFESSWEGSSAKVSKPTREKQGRKTAASVLPSAASGKGKSVSEHSSQADDEGREWNPPSNVGSEMAERTAGPQLLGSLSVPSHQMPGFEASQTSGSDPLMPIAPFLLGPGSGQRAMDNSGVPPLAFTITGPPVPFLLCPVYNIPSEAGTPDASTCHFNWDENLENNDSGQNFESEGPDQSEVSSTSSSTRKVASLDPSEHKPDILNGDIASHWQNLQYGRFCQNPRYPPLIYPSPVVVPPVYLQGHFPWDGPGRPPSNVNLFSQFMNYGPRVVPVSPLQSVPNRPSSVYQRYVDEMPRYRSGTGTYLPNPKVSMRERHSVNSRRGKYNYDRNDHHGDREGNWNGNSKSRTAGRSHNRNQNEKSRFTFDQLSGVAGESRTDRPWGSHRHDSFTSYQSRNGPVRSNSSQSSSGSMPYGMYPLPSMNPNGVSSNGPTMPSVVMLYPYDSPVEQLEFGSLGPVGYSGMNEVSQPSDGSSSGGVFDEQRFHGTSQRSSHDQPPSPHLQR >KJB49536 pep chromosome:Graimondii2_0_v6:8:36349546:36355768:1 gene:B456_008G124600 transcript:KJB49536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHEGWVVQQPPSGLLPNGLLPNEAASVIRVLDSERWMKAEERTAELIACIQPNAPSEGRRIAVADYVQRLISKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQSLKDTWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDRLIDKNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNKSFSGPLEVLYRFLEFFSKFDWENFCVSLWGPVPISSLPDITAEPPRKDGGELLLSKYFLDTCSSRYAVCQENQGQPFVSKHFNVIDPLRINNNLGRSVSKGNFFRIRSAFAFGANKLARLLDCPKEDLHHEVNQFFMNTWERHGSGQRPDAPGNDLYRLRLSNSDNAHGSNNVRNTSNSRGNDISSGCDTQAEGVGVSSQHLIHPSESTSKTSDVSTVSRTQSQKSYGSTSNSKTSDKVRRDSNSNKNVCNDTGQSSNAKENLVTDVQGRYLFARTRSSPELTETYGEVTSQGRRNRVPEGGKTQIASVRSDNNGRKSIESDMTASHNIKSSHDDPSSVRHASARQSVNAVANPNGPLNSYQDDLGLGTMGQDFSSIPGTQVMPQEEQDLVNLMASTAHGFNGQIPVPLNLAVGHLPFHIQSLSMGYNQRNLSGILPTNFQMFPQGLVSSPLAHYFSGVGLASNPEDQIEPSSENFGSSEMNQPEVEHESWHEQDRGSSGGYDLDNGGFEMLHLNDKQLSTSAGYNFVPHSRAGSTGSSTNVQQKSSKETRGSTREDYVDVSQYQDNRGNDVYVDERTANSRSLHALHTSSLRSKASFESSWEGSSAKVSKPTREKQGRKTAASVLPSAASGKGKSVSEHSSQADDEGREWNPPSNVGSEMAERTAGPQLLGSLSVPSHQMPGFEASQTSGSDPLMPIAPFLLGPGSGQRAMDNSGVPPLAFTITGPPVPFLLCPVYNIPSEAGTPDASTCHFNWDENLENNDSGQNFESEGPDQSEVSSTSSSTRKVASLDPSEHKPDILNGDIASHWQNLQYGRFCQNPRYPPLIYPSPVVVPPVYLQGHFPWDGPGRPPSNVNLFSQFMNYGPRVVPVSPLQSVPNRPSSVYQRYVDEMPRYRSGTGTYLPNPKVSMRERHSVNSRRGKYNYDRNDHHGDREGNWNGNSKSRTAGRSHNRNQNEKSRFTFDQLSGVAGESRTDRPWGSHRHDSFTSYQSRNGPVRSNSSQSSSGSMPYGMYPLPSMNPNGVSSNGPTMPSVVMLYPYDSPVEQLEFGSLGPVGYSGMNEVSQPSDGSSSGGVFDEQRFHGTSQRSSHDQPPSPHLQR >KJB49537 pep chromosome:Graimondii2_0_v6:8:36348575:36356757:1 gene:B456_008G124600 transcript:KJB49537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHEGWVVQQPPSGLLPNGLLPNEAASVIRVLDSERWMKAEERTAELIACIQPNAPSEGRRIAVADYVQRLISKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQSLKDTWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDRLIDKNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNKSFSGPLEVLYRFLEFFSKFDWENFCVSLWGPVPISSLPDITAEPPRKDGGELLLSKYFLDTCSSRYAVCQENQGQPFVSKHFNVIDPLRINNNLGRSVSKGNFFRIRSAFAFGANKLARLLDCPKEDLHHEVNQFFMNTWERHGSGQRPDAPGNDLYRLRLSNSDNAHGSNNVRNTSNSRGNDISSGCDTQAEGVGVSSQHLIHPSESTSKTSDVSTVSRTQSQKSYGSTSNSKTSDKVRRDSNSNKNVCNDTGQSSNAKENLVTDVQGRYLFARTRSSPELTETYGEVTSQGRRNRVPEGGKTQIASVRSDNNGRKSIESDMTASHNIKSSHDDPSSVRHASARQSVNAVANPNGPLNSYQDDLGLGTMGQDFSSIPGTQVMPQEEQDLVNLMASTAHGFNGQIPVPLNLAVGHLPFHIQSLSMGYNQRNLSGILPTNFQMFPQGLVSSPLAHYFSGVGLASNPEDQIEPSSENFGSSEMNQPEVEHESWHEQDRGSSGGYDLDNGGFEMLHLNDKQLSTSAGYNFVPHSRAGSTGSSTNVQQKSSKETRGSTREDYVDVSQYQDNRGNDVYVDERTANSRSLHALHTSSLRSKASFESSWEGSSAKVSKPTREKQGRKTAASVLPSAASGKGKSVSEHSSQADDEGREWNPPSNVGSEMAERTAGPQLLGSLSVPSHQMPGFEASQTSGSDPLMPIAPFLLGPGSGQRAMDNSGVPPLAFTITGPPVPFLLCPVYNIPSEAGTPDASTCHFNWDENLENNDSGQNFESEGPDQSEVSSTSSSTRKVASLDPSEHKPDILNGDIASHWQNLQYGRFCQNPRYPPLIYPSPVVVPPVYLQGHFPWDGPGRPPSNVNLFSQFMNYGPRVVPVSPLQSVPNRPSSVYQRYVDEMPRYRSGTGTYLPNPKVSMRERHSVNSRRGKYNYDRNDHHGDREGNWNGNSKSRTAGRSHNRNQNEKSRFTFDQLSGVAGESRTDRPWGSHRHDSFTSYQSRNGPVRSNSSQSSSGSMPYGMYPLPSMNPNGVSSNGPTMPSVVMLYPYDSPVEQLEFGSLGPVGYSGMNEVSQPSDGSSSGGVFDEQRFHGTSQRSSHDQPPSPHLQRRSVTHASWLVLCMYTNK >KJB51505 pep chromosome:Graimondii2_0_v6:8:50648570:50653532:1 gene:B456_008G219700 transcript:KJB51505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable apyrase 7 [Source:Projected from Arabidopsis thaliana (AT4G19180) UniProtKB/Swiss-Prot;Acc:F4JSH1] MSPTLSPQPDKNLPHGFGFANSGIKNNLRLSASLQDFSSYRRLDPEAANLISEIDKSMSYSKPPLQRENAAASFSKEKGLPGGTPFLTRKWVRLIMALLCLVLLIFLTYMVCMFIYSNWSRGASKFYVVLDCGSTGTRVYVYKASIGHKNDGSLPIVMKSLTEGLSRKPSTQSGRAYDRMETEPGLHKLVHNKSGLAAALNPLISWAEKQIPEREHKNTCLFLYATAGVRRLPNADSKWLLENAWSILKRSPFLCQKEWVKIITGTEEAYLGWTALNYLTSMLGATLKKATFGALDLGGSSLQVTFENEHRQHNETNLNLKIGVVTHHLSAYSLSGYGLNDAFDKSVVRLLRSLPDGSNANLVNGMIEIKHPCLHSGYKEQYICSQCASKGQETGSPLVQGKILDKGGKSGIPVHLTGAPNWEQCSAIAKASVNLSEWSTLYPEAALDDVLEKGREFCEKPWEVAKKSVAPQPFIEQYCFRAPYIVSLLREGLHISDSQLVVGSGSITWTMGVALLEAGKSFSSRLALPGYQILQTKIDPMILIAILLMSLVLLVCALSCVSNWTPRFFRRSYLPLFRHNSASTSVLNIPSPFRLKRWSPMNTGDGRVKMPLSPTVHGSQQTPFGLGHNLGSSIQLTESSLYPSTSSVSHSYSSSSLGQMQFDNSSVGSFWSPHRSQMRLQSRRSQSREDLNSSLAETQMVKV >KJB51507 pep chromosome:Graimondii2_0_v6:8:50648521:50653552:1 gene:B456_008G219700 transcript:KJB51507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable apyrase 7 [Source:Projected from Arabidopsis thaliana (AT4G19180) UniProtKB/Swiss-Prot;Acc:F4JSH1] MSPTLSPQPDKNLPHGFGFANSGIKNNLRLSASLQDFSSYRRLDPEAANLISEIDKSMSYSKPPLQRENAAASFSKEKGLPGGTPFLTRKWVRLIMALLCLVLLIFLTYMVCMFIYSNWSRGASKFYVVLDCGSTGTRVYVYKASIGHKNDGSLPIVMKSLTEGLSRKPSTQSGRAYDRMETEPGLHKLVHNKSGLAAALNPLISWAEKQIPEREHKNTCLFLYATAGVRRLPNADSKWLLENAWSILKRSPFLCQKEWVKIITGTEEAYLGWTALNYLTSMLGATLKKATFGALDLGGSSLQVTFENEHRQHNETNLNLKIGVVTHHLSAYSLSGYGLNDAFDKSVVRLLRSLPDGSNANLVNGMIEIKHPCLHSGYKEQYICSQCASKGQETGSPLVQGKILDKGGKSGIPVHLTGAPNWEQCSAIAKASVNLSEWSTLYPGIDCDLQPCALPDGLPRPYGQFYALSGFFVVYRFFNLSPEAALDDVLEKGREFCEKPWEVAKKSVAPQPFIEQYCFRAPYIVSLLREGLHISDSQLVVGSGSITWTMGVALLEAGKSFSSRLALPGYQILQTKIDPMILIAILLMSLVLLVCALSCVSNWTPRFFRRSYLPLFRHNSASTSVLNIPSPFRLKRWSPMNTGDGRVKMPLSPTVHGSQQTPFGLGHNLGSSIQLTESSLYPSTSSVSHSYSSSSLGQMQFDNSSVGSFWSPHRSQMRLQSRRSQSREDLNSSLAETQMVKV >KJB51504 pep chromosome:Graimondii2_0_v6:8:50648570:50653532:1 gene:B456_008G219700 transcript:KJB51504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable apyrase 7 [Source:Projected from Arabidopsis thaliana (AT4G19180) UniProtKB/Swiss-Prot;Acc:F4JSH1] MSPTLSPQPDKNLPHGFGFANSGIKNNLRLSASLQDFSSYRRLDPEAANLISEIDKSMSYSKPPLQRENAAASFSKEKGLPGGTPFLTRKWVRLIMALLCLVLLIFLTYMVCMFIYSNWSRGASKFYVVLDCGSTGTRVYVYKASIGHKNDGSLPIVMKSLTEGLSRKPSTQSGRAYDRMETEPGLHKLVHNKSGLAAALNPLISWAEKQIPEREHKNTCLFLYATAGVRRLPNADSKWLLENAWSILKRSPFLCQKEWVKIITGTEEAYLGWTALNYLTSMLGATLKKATFGALDLGGSSLQVTFENEHRQHNETNLNLKIGVVTHHLSAYSLSGYGLNDAFDKSVVRLLRSLPDGSNANLVNGMIEIKHPCLHSGYKEQYICSQCASKGQETGSPLVQGKILDKGGKSGIPVHLTGAPNWEQCSAIAKASVNLSEWSTLYPGIDCDLQPCALPDGLPRPYGQFYALSGFFVVYRFFNLSPEAALDDVLEKGREFCEKPWEVAKKSVAPQPFIEQYCFRAPYIVSLLREGLHISDSQLVVGSGSITWTMGVALLEAGKSFSSRLALPGYQILQTKIDPMILIAILLMSLVLLVCALSCVSNWTPRFFRRSYLPLFRHNSASTSVLNIPSPFRLKRWSPMNTGDGRVKMPLSPTVHGSQQTPFGLGHNLGSSIQLTESSLYPSTSSVSHSYSSSSLGQMQFDNSSVGSFWSPHRSQMRLQSRRSQSREDLNSSLAETQMVKV >KJB51506 pep chromosome:Graimondii2_0_v6:8:50648570:50653545:1 gene:B456_008G219700 transcript:KJB51506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable apyrase 7 [Source:Projected from Arabidopsis thaliana (AT4G19180) UniProtKB/Swiss-Prot;Acc:F4JSH1] MSPTLSPQPDKNLPHGFGFANSGIKNNLRLSASLQDFSSYRRLDPEAANLISEIDKSMSYSKPPLQRENAAASFSKEKGLPGGTPFLTRKWVRLIMALLCLVLLIFLTYMVCMFIYSNWSRGASKFYVVLDCGSTGTRVYVYKASIGHKNDGSLPIVMKSLTEGLSRKPSTQSGRAYDRMETEPGLHKLVHNKSGLAAALNPLISWAEKQIPEREHKNTCLFLYATAGVRRLPNADSKWLLENAWSILKRSPFLCQKEWVKIITGTEEAYLGWTALNYLTSMLGATLKKATFGALDLGGSSLQVTFENEHRQHNETNLNLKIGVVTHHLSAYSLSGYGLNDAFDKSVVRLLRSLPDGSNANLVNGMIEIKHPCLHSGYKEQYICSQCASKGQETGSPLVQGKILDKGGKSGIPVHLTGAPNWEQCSAIAKASVNLSEWSTLYPGIDCDLQPCALPDGLPRPYGQFYALSGFFVVYRFFNLSPEAALDDVLEKGREFCEKPWEVAKKSVAPQPFIEQYCFRAPYIVSLLREGLHISDSQLVVGSGSITWTMGVALLEAGKSFSSRLALPGYQILQTKIDPMILIAILLMSLVLLVCALSCVSNWTPRFFRRSYLPLFRHNSASTSVLNIPSPFRLKRWSPMNTGDGRVKMPLSPTVHGSQQTPFGLGHNLGSSIQLTESSLYPSTSSVSHSYSSSSLGQMQFDNSSVGSFWSPHRSQMRLQSRRSQSREDLNSSLAETQMVKV >KJB51336 pep chromosome:Graimondii2_0_v6:8:49869742:49872231:1 gene:B456_008G212200 transcript:KJB51336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQRGDSLNRIGTLQDDSTTVPPITVFRYHSSFSSSIKKHPVSAFFFIPSVGDRFLPNRCLMDLDKAHTLLTSKTPQFNTSSNFNQAYRQKLIENLSLDSQGRPFKIMVFRGSPKSSRKSIRFVDELRQEVAAIFEKDCKQTPYRCIPKGEKRVLDAPRLRNDYYSNIMSWGINNILAVALGPELYLWNSEDQAVHKLLQVRGGNDWPTSVTWSEDASTLAVGYMCSNLQLWDVESSKLIRSLQGHSGRIASTAWNGHILTSGSRDKSIINHDVRAANSLASCIKRHADEVCGLKWSTEGNRLASGGNENLLYIWEASKMSSSKFLHRLSDHCAAVKALAWCPYQNNVLASGGGLSDGCIKIWNTEKGICINNIETKAQICGLEWNRHHKEILSGHGYSIRENQNKLCLWRYPSMTKVGELGNHKSRIINLCQSPDGITVISAGADETLRFWDVFGPPTAGAGNSMVSDLQGLLSFRTSLLR >KJB51335 pep chromosome:Graimondii2_0_v6:8:49869671:49872416:1 gene:B456_008G212200 transcript:KJB51335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESATWRLLESDWYSPRRLHDCPTHYSFPGDRFLPNRCLMDLDKAHTLLTSKTPQFNTSSNFNQAYRQKLIENLSLDSQGRPFKIMVFRGSPKSSRKSIRFVDELRQEVAAIFEKDCKQTPYRCIPKGEKRVLDAPRLRNDYYSNIMSWGINNILAVALGPELYLWNSEDQAVHKLLQVRGGNDWPTSVTWSEDASTLAVGYMCSNLQLWDVESSKLIRSLQGHSGRIASTAWNGHILTSGSRDKSIINHDVRAANSLASCIKRHADEVCGLKWSTEGNRLASGGNENLLYIWEASKMSSSKFLHRLSDHCAAVKALAWCPYQNNVLASGGGLSDGCIKIWNTEKGICINNIETKAQICGLEWNRHHKEILSGHGYSIRENQNKLCLWRYPSMTKVGELGNHKSRIINLCQSPDGITVISAGADETLRFWDVFGPPTAGAGNSMVSDLQGLLSFRTSLLR >KJB51337 pep chromosome:Graimondii2_0_v6:8:49869949:49872423:1 gene:B456_008G212200 transcript:KJB51337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCKKGDRFLPNRCLMDLDKAHTLLTSKTPQFNTSSNFNQAYRQKLIENLSLDSQGRPFKIMVFRGSPKSSRKSIRFVDELRQEVAAIFEKDCKQTPYRCIPKGEKRVLDAPRLRNDYYSNIMSWGINNILAVALGPELYLWNSEDQAVHKLLQVRGGNDWPTSVTWSEDASTLAVGYMCSNLQLWDVESSKLIRSLQGHSGRIASTAWNGHILTSGSRDKSIINHDVRAANSLASCIKRHADEVCGLKWSTEGNRLASGGNENLLYIWEASKMSSSKFLHRLSDHCAAVKALAWCPYQNNVLASGGGLSDGCIKIWNTEKGICINNIETKAQICGLEWNRHHKEILSGHGYSIRENQNKLCLWRYPSMTKVGELGNHKSRIINLCQSPDGITVISAGADETLRFWDVFGPPTAGAGNSMVSDLQGLLSFRTSLLR >KJB51144 pep chromosome:Graimondii2_0_v6:8:48909308:48911379:-1 gene:B456_008G203400 transcript:KJB51144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNEAPTFEPDLIRAIFKHIWIKKAQERERNGFPNTEAGDSEVGAGTSKKNRPTSANTNALKLSCELLRIFITEAIQRAGTIAEAEGSTMIEPTHLERILPQLLLDF >KJB51145 pep chromosome:Graimondii2_0_v6:8:48910189:48911293:-1 gene:B456_008G203400 transcript:KJB51145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNEAPTFEPDLIRAIFKHIWIKKAQERERNGFPNTEAGDSEVGAGTSKKNRPTSANTNALKLSCELLRIFITEAIQRAGTIAEAEGSTMIEPTHLERILPQLLLDF >KJB53155 pep chromosome:Graimondii2_0_v6:8:56859419:56867128:1 gene:B456_008G295400 transcript:KJB53155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLNGDPFLGIDDNIEKLQYNNNSKEAIDKEGLVVGIGEDSSNNKNNNQGGEKDMAGNSIHRSGSRPQLDLSKAEIQGNSEERDPTILLPNQTDDISHLALDIGGSLIKLVYFSRHEDQSVDDKRKKTVKERLGISNGNRRNFPVLGGRLHFVKFETSKLNECLDFISSKQLHRGGIDSHHWNSEASANENAVIKATGGGAYKFADLFKERLGVSIDKEDEMDCLVAGANFLLKAIRHEAFTHMEGHKEFVQIDHNDLFPYLLVNIGSGVSIIKVDGDGKFQRVSGTNVGGGTYWGLGRLLTKCNSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISDKKELQDYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGAVGAFMSYQKHGLDDLMVHHLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVLKGTEITAPVPMAPPGTTGLGGFEVPSSRGATLRSDASALNVGVLHLVPTLEVFPLLADPKMYEPNTIDLSDHKELEYWFTVLSGHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFLDAYRSIKQRENEASLAVLPDLLLELDHMDEETRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRGLKMQYGF >KJB53157 pep chromosome:Graimondii2_0_v6:8:56859531:56868613:1 gene:B456_008G295400 transcript:KJB53157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNSIHRSGSRPQLDLSKAEIQGNSEERDPTILLPNQTDDISHLALDIGGSLIKLVYFSRHEDQSVDDKRKKTVKERLGISNGNRRNFPVLGGRLHFVKFETSKLNECLDFISSKQLHRGGIDSHHWNSEASANENAVIKATGGGAYKFADLFKERLGVSIDKEDEMDCLVAGANFLLKAIRHEAFTHMEGHKEFVQIDHNDLFPYLLVNIGSGVSIIKVDGDGKFQRVSGTNVGGGTYWGLGRLLTKCNSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISDKKELQDYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGAVGAFMSYQKHGLDDLMVHHLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVLKGTEITAPVPMAPPGTTGLGGFEVPSSRGATLRSDASALNVGVLHLVPTLEVFPLLADPKMYEPNTIDLSDHKELEYWFTVLSGHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFLDAYRSIKQRENEASLAVLPDLLLELDHMDEETRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDLFKERMLGSEGKKPRPHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDVLRRAAEAGGLLVDAMNNNSLDGSRGNSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKHADLVILEGMGRALHTNFNARFKCEALKLAMVKNQRLAEKLIKGKIYDCVCRYEPAT >KJB53156 pep chromosome:Graimondii2_0_v6:8:56859609:56868659:1 gene:B456_008G295400 transcript:KJB53156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLNGDPFLGIDDNIEKLQYNNNSKEAIDKEGLVVGIGEDSSNNKNNNQGGEKDMAGNSIHRSGSRPQLDLSKAEIQGNSEERDPTILLPNQTDDISHLALDIGGSLIKLVYFSRHEDQSVDDKRKKTVKERLGISNGNRRNFPVLGGRLHFVKFETSKLNECLDFISSKQLHRGGIDSHHWNSEASANENAVIKATGGGAYKFADLFKERLGVSIDKEDEMDCLVAGANFLLKAIRHEAFTHMEGHKEFVQIDHNDLFPYLLVNIGSGVSIIKVDGDGKFQRVSGTNVGGGTYWGLGRLLTKCNSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISDKKELQDYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGAVGAFMSYQKHGLDDLMVHHLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVLKGTEITAPVPMAPPGTTGLGGFEVPSSRGATLRSDASALNVGVLHLVPTLEVFPLLADPKMYEPNTIDLSDHKELEYWFTVLSGHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFLDAYRSIKQRENEASLAVLPDLLLELDHMDEETRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDLFKERMLGSEGKKPRPHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDVLRRAAEAGGLLVDAMNNNSLDGSRGNSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKHADLVILEGMGRALHTNFNARFKCEALKLAMVKNQRLAEKLIKGKIYDCVCRYEPAT >KJB53158 pep chromosome:Graimondii2_0_v6:8:56859419:56868655:1 gene:B456_008G295400 transcript:KJB53158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLNGDPFLGIDDNIEKLQYNNNSKEAIDKEGLVVGIGEDSSNNKNNNQGGEKDMAGNSIHRSGSRPQLDLSKAEIQGNSEERDPTILLPNQTDDISHLALDIGGSLIKLVYFSRHEDQSVDDKRKKTVKERLGISNGNRRNFPVLGGRLHFVKFETSKLNECLDFISSKQLHRGGIDSHHWNSEASANENAVIKATGGGAYKFADLFKERLGVSIDKEDEMDCLVAGANFLLKAIRHEAFTHMEGHKEFVQIDHNDLFPYLLVNIGSGVSIIKVDGDGKFQRVSGTNVGGGTYWGLGRLLTKCNSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISDKKELQDYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGAVGAFMSYQKHGLDDLMVHHLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVLKGTEITAPVPMAPPGTTGLGGFEVPSSRGATLRSDASALNVGVLHLVPTLEVFPLLADPKMYEPNTIDLSDHKELEYWFTVLSGHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFLDAYRSIKQRENEASLAVLPDLLLELDHMDEETRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDLFKERMLGSEGKKPRPHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDVLRRAAEAGGLLVDAMNNNSLDGSRGNSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKHADLVILEGMGRALHTNFNARFKCEALKLAMVKNQRLAEKLIKGKIYDCVCRYEPAT >KJB48203 pep chromosome:Graimondii2_0_v6:8:9086309:9103935:-1 gene:B456_008G058200 transcript:KJB48203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIHVLIFHKSLRLTHEVRKNFPSGRITNMITTDVNALQLHIFWSAPFRIIGAMVLLYQQLGVAALLGSLILVLIVPLQTIVVSKMQKMTKQRLEWTDRRVNLTNEILAAVDTVKFYAWEKCFQSRVHSIRNDELSWLQRAQLLSAFNSFILNSIPVVVIVVSFGMFTLLGGDLTPARAFTSLSLFALLRIPLECLPNLLSQPGLQAISIKNGNLSWDLKVILNSFFFSFFLLEFICLLSKHRGLLHKILAESVIFYNLEFLFYFFFSQAEKPTLSNINLDVPVGSLFAIVGGTGEGKTSLISAILGEVPRDANSNVIIRTVAYVPQVSWIFNATVRDNILFGSSFQPERYWKIIDVTTLQQDLGILPDHDLTEIGERGVNISGGQKQTVSMARAVYSNSDVYIFDDPLKQVFYRCIKEELQGKTRILVTNQLHFLPHVDYILLVSNGVSKEEGTFEEVSRKGRIFQELMENAGKMEKREGKGDEGCIDMENLEPNSNKMVENKINDILKDANAANRMKGMKATLVKQEERETGLVSWNVLMRYKDAIGGLWVVVILFTFYFSTEVLRILSSMWLRFWTDKSASKSYKPEFYILIYALLGFSQVTVILTNSFWLITSSLGAARRLHDMMLNFILRAPMLFFQTNPIGRVINRFSRDLGDIDRNVAESF >KJB49843 pep chromosome:Graimondii2_0_v6:8:39134144:39134728:1 gene:B456_008G140500 transcript:KJB49843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYFSAFICRPSDIAVVGKVILRDGTIQEFSWPLTVAELMLEHPQQVVVEYHAAVNEKKPVPLPADHKLHVAKVYVMLPVKQGKPTTLSSEEARRVLGKGNGRERLEEVGSSTELIPESMEGRVEYMNRQYSAKGWKPSLDTIKEKQIETKVPHWLF >KJB50353 pep chromosome:Graimondii2_0_v6:8:43500385:43508395:1 gene:B456_008G165600 transcript:KJB50353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCWIASTMSMNCLINCQFKCTEASLLGDSSTKMGFTCWDKISTYSFKIHHHYYQFIGSKRVSKTWWRKLLLLWVIGWTVVSIWIFCYMSSQATEKRRETLASMCDERARMLQDQFNVSMNHIQAMSILISTFHHGKNPSAIDQMTFARYTERTAFERPLTSGVAYAVRVLHSEREQFEKHQGWTIKRMDTLEKNPVHKDDYNPDLFEPSPIQEEYAPVIFAQDIISHVISIDLLSGKEDRENVLRARKSGKGVLTAPFPLLKTNRLGVILTFAVYKRDLPSNATPNERIQATNGYLGGVFDVESLVEKLLQQLASKQKILVNVLDTTNQSYPISMYGSNASDDGLELVSHLNFGDPFRKHEMRCRFKQKPPWPWLAITTSIGILVIALLVGHIFHATVNRIAKVEDDCHKMMELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDVTQLDYVRTAQASGKALVALINEVLDQAKIESGKLELEEVQFDLRAVLDDVLSLFSGKAQDKGVELAVFISDRVPEMLIGDPGRFRQIITNLMGNSIKFTEKGHIHVTVHLVEEVIDSIEVETEFSSNNTLSGFPVADRRQSWKGFRTFSQEGSIHPFSDSINIIVSVEDTGEGIPIEAQSRVFTPFMQVGPSISRTHGGTGIGLSISKCLVNLMKGEIGFVSIPKIGSTFTFTAVFTSGSSSSKEYKSQQINNQTNSVSSEFRGKRALVVDPRSVRAKVSRYHIQRLGIHVEVVSDWKQGLSSISRGSSAFNMVLIEQEVWDQDLNGSAHFIDNLEKIAITTPKVFLLSNSISSSRANTTTSGVCNLTVIPKPLRASMLAASLQRAMGDGNKGNPRNREVPSLSLRNLLLGRKILIVDDNNVNLKVAAGALRKYGADVVSASRGMEAIELLTPPHRFDACFMDIQMPEMDGYV >KJB50352 pep chromosome:Graimondii2_0_v6:8:43500036:43508877:1 gene:B456_008G165600 transcript:KJB50352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCWIASTMSMNCLINCQFKCTEASLLGDSSTKMGFTCWDKISTYSFKIHHHYYQFIGSKRVSKTWWRKLLLLWVIGWTVVSIWIFCYMSSQATEKRRETLASMCDERARMLQDQFNVSMNHIQAMSILISTFHHGKNPSAIDQMTFARYTERTAFERPLTSGVAYAVRVLHSEREQFEKHQGWTIKRMDTLEKNPVHKDDYNPDLFEPSPIQEEYAPVIFAQDIISHVISIDLLSGKEDRENVLRARKSGKGVLTAPFPLLKTNRLGVILTFAVYKRDLPSNATPNERIQATNGYLGGVFDVESLVEKLLQQLASKQKILVNVLDTTNQSYPISMYGSNASDDGLELVSHLNFGDPFRKHEMRCRFKQKPPWPWLAITTSIGILVIALLVGHIFHATVNRIAKVEDDCHKMMELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDVTQLDYVRTAQASGKALVALINEVLDQAKIESGKLELEEVQFDLRAVLDDVLSLFSGKAQDKGVELAVFISDRVPEMLIGDPGRFRQIITNLMGNSIKFTEKGHIHVTVHLVEEVIDSIEVETEFSSNNTLSGFPVADRRQSWKGFRTFSQEGSIHPFSDSINIIVSVEDTGEGIPIEAQSRVFTPFMQVGPSISRTHGGTGIGLSISKCLVNLMKGEIGFVSIPKIGSTFTFTAVFTSGSSSSKEYKSQQINNQTNSVSSEFRGKRALVVDPRSVRAKVSRYHIQRLGIHVEVVSDWKQGLSSISRGSSAFNMVLIEQEVWDQDLNGSAHFIDNLEKIAITTPKVFLLSNSISSSRANTTTSGVCNLTVIPKPLRASMLAASLQRAMGDGNKGNPRNREVPSLSLRNLLLGRKILIVDDNNVNLKVAAGALRKYGADVVSASRGMEAIELLTPPHRFDACFMDIQMPEMDGFEATRRIRDSEQNINDRIHFGELSVETYDNISNWHVPILAMTADVIQATHEACLRCGMDGYVSKPFEAEQLYREVSRFFH >KJB49597 pep chromosome:Graimondii2_0_v6:8:36825866:36830203:-1 gene:B456_008G127000 transcript:KJB49597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MDPNPQTVLPRTKRKPDITTVLRKSWYHLRLSVRHPSRVPTWDAIVLTAASPEQAQLYDWQLRRAKRMGRIAPSTITLAVPDPEGQRIGSGAATLNAIHALTKHTELVVNGGDGAVGLMGKKHILLLHAGGDSKRVPWANPMGKVFLPLPFLAADNPDGPVPLLFDHILAIASCARQAFKNEGGIFTMTGDVLPCFDASTLILPPDSSTIITVPITLDIAANHGVIVALKSEIFDESYTVSLVDNLLQKPSVDELVKNQAILDDGRALLDTGIIAVRGNAWVELVKLACSCQPLISELLKSKKEMSLYEDLVAAWVPTKHDWLQHRPLGEALVNKLGKQRMFSYCAYDFLFLHFGTSSEVLDHLSASDSALVGRRHLCSIPATTVSDIAASSVVLSCKIADGVSIGEDSLIYDSNISSGIQIGSQSIVVGMNVPKDSDNMAGNSIKFMLPDRHCLWEVPLVGCTERVIVFCGIHDNPKNPLKKDGTFCGKPWEKVMHDLGIEENNLWSSSSSQEKCLWNAKLFPILSYFEMLRVGMWLMGLSDGKNLHYLPLWRNSPRVSLEELHRSIDFSKMCTGSSNHQADLAAGIAKACINYGMLGRDLSQLCEEILQKETSGVEICKDFLALCPKLIEQNSKILPKSRAYQVQVDLLRACGEETKAYQLEHEVWASVADETASAVRYGFGEHLLESSGSKSTLAFRNNNHVSSMDKPFCPKTVKVELPVRVDFVGGWSDTPPWSLERAGCVLNMAVSLEGSLPIGTVVETTNSNGVLIIDDSGKELHIKELASIAPPFDGDDPFRLVKSALLVTGIIRENILVSNGLRIRTWANVPRGSGLGTSSILAAAVVKGLLQIIDGDDDNENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKYTRSYPGIPLRLQVFPLVASSQLISKLQERLLVVFTGQVRLAHQVLQKVVLRYLRRDNLLVSSIKRLTELAKIGREALMNCDVDKLGEIMLEAWRLHQELDPYCSNEYVDKLFAFADPYCSGYKLVGAGGGGFALLLAKNATCAKELRSMLGKNPEFDSVIYNWTIY >KJB49596 pep chromosome:Graimondii2_0_v6:8:36825866:36830163:-1 gene:B456_008G127000 transcript:KJB49596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MDPNPQTVLPRTKRKPDITTVLRKSWYHLRLSVRHPSRVPTWDAIVLTAASPEQAQLYDWQLRRAKRMGRIAPSTITLAVPDPEGQRIGSGAATLNAIHALTKHTELVVNGGDGAVGLMGKKHILLLHAGGDSKRVPWANPMGKVFLPLPFLAADNPDGPVPLLFDHILAIASCARQAFKNEDELVKNQAILDDGRALLDTGIIAVRGNAWVELVKLACSCQPLISELLKSKKEMSLYEDLVAAWVPTKHDWLQHRPLGEALVNKLGKQRMFSYCAYDFLFLHFGTSSEVLDHLSASDSALVGRRHLCSIPATTVSDIAASSVVLSCKIADGVSIGEDSLIYDSNISSGIQIGSQSIVVGMNVPKDSDNMAGNSIKFMLPDRHCLWEVPLVGCTERVIVFCGIHDNPKNPLKKDGTFCGKPWEKVMHDLGIEENNLWSSSSSQEKCLWNAKLFPILSYFEMLRVGMWLMGLSDGKNLHYLPLWRNSPRVSLEELHRSIDFSKMCTGSSNHQADLAAGIAKACINYGMLGRDLSQLCEEILQKETSGVEICKDFLALCPKLIEQNSKILPKSRAYQVQVDLLRACGEETKAYQLEHEVWASVADETASAVRYGFGEHLLESSGSKSTLAFRNNNHVSSMDKPFCPKTVKVELPVRVDFVGGWSDTPPWSLERAGCVLNMAVSLEGSLPIGTVVETTNSNGVLIIDDSGKELHIKELASIAPPFDGDDPFRLVKSALLVTGIIRENILVSNGLRIRTWANVPRGSGLGTSSILAAAVVKGLLQIIDGDDDNENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKYTRSYPGIPLRLQVFPLVASSQLISKLQERLLVVFTGQVRLAHQVLQKVVLRYLRRDNLLVSSIKRLTELAKIGREALMNCDVDKLGEIMLEAWRLHQELDPYCSNEYVDKLFAFADPYCSGYKLVGAGGGGFALLLAKNATCAKELRSMLGKNPEFDSVIYNWTIY >KJB49594 pep chromosome:Graimondii2_0_v6:8:36825866:36830022:-1 gene:B456_008G127000 transcript:KJB49594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MDPNPQTVLPRTKRKPDITTVLRKSWYHLRLSVRHPSRVPTWDAIVLTAASPEQAQLYDWQLRRAKRMGRIAPSTITLAVPDPEGQRIGSGAATLNAIHALTKHTELVVNGGDGAVGLMGKKHILLLHAGGDSKRVPWANPMGKVFLPLPFLAADNPDGPVPLLFDHILAIASCARQAFKNEGGIFTMTGDVLPCFDASTLILPPDSSTIITVPITLDIAANHGVIVALKSEIFDESYTVSLVDNLLQKPSVDELVKNQAILDDGRALLDTGIIAVRGNAWVELVKLACSCQPLISELLKSKKEMSLYEDLVAAWVPTKHDWLQHRPLGEALVNKLGKQRMFSYCAYDFLFLHFGTSSEVLDHLSASDSALVGRRHLCSIPATTVSDIAASSVVLSCKIADGVSIGEDSLIYDSNISSGIQIGSQSIVVGMNVPKDSDNMAGNSIKFMLPDRHCLWEVPLVGCTERVIVFCGIHDNPKNPLKKDGTFCGKPWEKVMHDLGIEENNLWSSSSSQEKCLWNAKLFPILSYFEMLRVGMWLMGLSDGKNLHYLPLWRNSPRVSLEELHRSIDFSKMCTGSSNHQADLAAGIAKACINYGMLGRDLSQLCEEILQKETSGVEICKDFLALCPKLIEQNSKILPKSRAYQVQVDLLRACGEETKAYQLEHEVWASVADETASAVRYGFGEHLLESSGSKSTLAFRNNNHVSSMDKPFCPKTVKVELPVRVDFVGGWSDTPPWSLERAGCVLNMAVSLEGSLPIGTVVETTNSNGVLIIDDSGKELHIKELASIAPPFDGDDPFRLVKSALLVTGIIRENILVSNGLRIRTWANVPRGSGLGTSSILAAAVVKGLLQIIDGDDDNENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKYTRSYPGIPLRLQVFPLVASSQLISKLQERLLVVFTGQVSNQVFHTCLHLRILIVILLRPCRFD >KJB49595 pep chromosome:Graimondii2_0_v6:8:36825866:36830093:-1 gene:B456_008G127000 transcript:KJB49595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MKMSLYEDLVAAWVPTKHDWLQHRPLGEALVNKLGKQRMFSYCAYDFLFLHFGTSSEVLDHLSASDSALVGRRHLCSIPATTVSDIAASSVVLSCKIADGVSIGEDSLIYDSNISSGIQIGSQSIVVGMNVPKDSDNMAGNSIKFMLPDRHCLWEVPLVGCTERVIVFCGIHDNPKNPLKKDGTFCGKPWEKVMHDLGIEENNLWSSSSSQEKCLWNAKLFPILSYFEMLRVGMWLMGLSDGKNLHYLPLWRNSPRVSLEELHRSIDFSKMCTGSSNHQADLAAGIAKACINYGMLGRDLSQLCEEILQKETSGVEICKDFLALCPKLIEQNSKILPKSRAYQVQVDLLRACGEETKAYQLEHEVWASVADETASAVRYGFGEHLLESSGSKSTLAFRNNNHVSSMDKPFCPKTVKVELPVRVDFVGGWSDTPPWSLERAGCVLNMAVSLEGSLPIGTVVETTNSNGVLIIDDSGKELHIKELASIAPPFDGDDPFRLVKSALLVTGIIRENILVSNGLRIRTWANVPRGSGLGTSSILAAAVVKGLLQIIDGDDDNENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKYTRSYPGIPLRLQVFPLVASSQLISKLQERLLVVFTGQVRLAHQVLQKVVLRYLRRDNLLVSSIKRLTELAKIGREALMNCDVDKLGEIMLEAWRLHQELDPYCSNEYVDKLFAFADPYCSGYKLVGAGGGGFALLLAKNATCAKELRSMLGKNPEFDSVIYNWTIY >KJB50704 pep chromosome:Graimondii2_0_v6:8:46108179:46112321:-1 gene:B456_008G183100 transcript:KJB50704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGVNLQDTRWEGYADWRNRPALKGRHGGIFAASFVLVVEVLENLAYLANASNLVMYLSKYMHLSPSKSANNVTNFMGTAFLLALLGGFLSDAFFTTYHIYLISAFTEFLGLIILTIQARAPSLKPPKCSPSASSIPCEEVRGGKAAMLFMGLYLVALGIGGIKGSLPTHGAEQFDENTPQGRKQRSTFFNYFVFSLSFGALIAVTFVVWIEDNKGWEWGFAISTIAILLSIPIFLAGSTFYRNKIPFGSPLTTICKVLVAAMLNNSCVSRSPSNAIANLTTSPSYRTQTSKEAEENAKHGDEIPTKSLKFINRAVENKPAYPALECSVQQVEEVKIVLKILPIFACTIILNCCLAQLSTFSVEQAATMNAKLGSLKIPPASLPVFPVVFIMILAPVYDHLIIPFARRLTKTETGISQLQRIGVGLFLSIIAMAVAALVEIKRKRVALANNSSLLNSTNPLPITIFWIALQYLFLGSADLFTLAGLLEFFFTEAPSSMRSLATSLCWASLAMGYYLSSVIVSIVNNVTEKSGNKPWLSGHTINGYRLDRFYWLMCVLSVLNFMHYLFWAMRYKYKPVGSTK >KJB50878 pep chromosome:Graimondii2_0_v6:8:47423917:47427268:1 gene:B456_008G191200 transcript:KJB50878 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 61 [Source:Projected from Arabidopsis thaliana (AT1G18860) TAIR;Acc:AT1G18860] MEENLKKRSDDRGGAVVKKEKIADSCADDEDAPAKVGDKRPCCENDDKKPSSPGKKDLSSSNNNKVSLKSDTERTEPEYSMASSSTRKEQDDQLESAKAEMGEVREENQRLKMHLNRIMKDYQKLQMQFYDIVGQDSKKSQAAENNDHHHQQEEEEPELVSLTLGRFSSDSKKDGKNKASSSHGKEEERGNEGLSLGLDYKLEASKSEVDDEALPNPSPVNGTQELKEEETWPPSKVLKTTRTGDDEVLQQNSVKKARVCVRTRCETPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPSCPVRKQVQRCAEDLSILITTYEGTHNHPLPMSATAMASTTCAAASMLLSGASSSGSSVASLTSTANLHGLNVYLSDNSKSKFYLPNSSLSAASSHPTITLDLTSTPSSSSSFPFNRFSSAYPTTSRYASASLSFGSSESNTVSWGNGLLSYGSPSTQPYMKNPLNINGRPQSTMENNSIFPSSMQKNNLNPPQQPLPDTIAAATKAITTDPNFQSALAAALTSIIGTGNNNNGGGGSGESLAQRLKWGEQTFAVNGNGCGSSFFNKPPPSTTSQPGSLIFLPPNSLPFSTPKSASTSPGDTGNHTN >KJB50136 pep chromosome:Graimondii2_0_v6:8:41433636:41443518:-1 gene:B456_008G155100 transcript:KJB50136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-DDT domain protein RLT2 [Source:Projected from Arabidopsis thaliana (AT5G44180) UniProtKB/Swiss-Prot;Acc:Q9FFH1] METGGLSGGDGGSSEGEKKKPQEGEAKVKRKMKTASQLEVLEKTYATEMYPSEATRAELSVQLGLSDRQLQMWFCHRRLKDRKAAPLKRQRKDSTSSAHLVGVAGEEMGGSEAGNEHGLGGSSFLGHGLDLKRVVPRAGMTIPRYYEMPHSMAELELRAIKFAELQLGEPIRDDGPMFGMEFDPLPPGAFGAPIGASTAVQQKQPGGPFETKIYDRRDTKTVKGSVRAVCEYQFLLEQPTVRTETYGRFPLSVNYGLPTDGPNARALSLAAGSSSLLGNEQVQPGYGFPGQIPNLNLLPQQSRPGHLLPTASGEYDYVSRTNTSTNTALDANIGSHPISALGSPFVSSDRRVCLDDDVLRKERKRKGEEDRIAREIEAHEKRIRRELEKQDMLKRKREEQIRKEMRRHEHEKRKEEERLLREKHREEERYQREQRRELERREKFLMKESIRAERLRQKEELRKEKEAARLRAANERAIARKLAKESMEPVEDEYLELMEIATSSQGLSLTLPLGFEALQNLDIFRDKLCEFPPKTVQLKRPFSIQPWNGSEENVGNLLMVWRFLITFADVLGLWPFTLDELVQAFHDYDPRLLGEIHVALLRSIIKDIEDVARTPSTGAGASQNSAANPGGGHPQIVEGAYAWGFDIRSWQRHLNVLTWPEILRQFALSAGFGPQLKKRNIEQPYLCDGNEGNDGEDIITNLRNGAAAENAIAIMQERGFSNPCRSRHRLTPGTVKFAAFHILSLEGSKGLTVLEVAEKIQKSGLRDLTTNKAQEASIAAAFSRDTKLFDRTAPSTYCVRSPYRKDPADAEAILSAARERIQVFKSEFLSVDAEVAERDEDSESDIAEDPVVDDLGAEINAKKEIHNSEERSSSVRETISGKEESGVMETPQVEVGNACKGLSSPHSGGFDKVKHIDASTEQSAGAASAGQEDMEIDESNPGELWVQGLMEGDYSDLSVEERLNALVALISIVIEGNFIRIVLKERLEAANALKKQIWTEAQLDKRRMKEDFVLRTYYSYVRNPVKNRLVMTSAECRESPQIIGDKKDHDSSVYHVVQQECLNNSQNDQNCLNNMSTEGNLPVQDFSFGPDNLQYQQPGYALDRSRSLLKSYIGHKAEEMYAYRSLPLGQDRRRNRYWRFTTTSCNDPGCGRIFIELLDGRWRLIDTEEGFGALLSSLDVRGIRESLLHAMLLKIEMSFKEAVARNKLHVNERQKGDTAKQKANEMASGLDWSVYSESPSSILCGSDSDMPETSSFSIELGRDENEKNNALKRYQDFEKWMWKGCFSSLTFSVTKYGERMCKELLGVCDSCFNVYFVKDNHCPSCHTTYIASKSALIFSEHVAQCAQKMQMGAELALDGSVFSPMRIRLIKLQLALLEVSIPFEALQSTWTEDYRNSWGMKLDSSTTAEELLQE >KJB50139 pep chromosome:Graimondii2_0_v6:8:41431013:41444230:-1 gene:B456_008G155100 transcript:KJB50139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-DDT domain protein RLT2 [Source:Projected from Arabidopsis thaliana (AT5G44180) UniProtKB/Swiss-Prot;Acc:Q9FFH1] METGGLSGGDGGSSEGEKKKPQEGEAKVKRKMKTASQLEVLEKTYATEMYPSEATRAELSVQLGLSDRQLQMWFCHRRLKDRKAAPLKRQRKDSTSSAHLVGVAGEEMGGSEAGNEHGLGGSSFLGHGLDLKRVVPRAGMTIPRYYEMPHSMAELELRAIKFAELQLGEPIRDDGPMFGMEFDPLPPGAFGAPIGASTAVQQKQPGGPFETKIYDRRDTKTVKGSVRAVCEYQFLLEQPTVRTETYGRFPLSVNYGLPTDGPNARALSLAAGSSSLLGNEQVQPGYGFPGQIPNLNLLPQQSRPGHLLPTASGEYDYVSRTNTSTNTALDANIGSHPISALGSPFVSSDRRVCLDDDVLRKERKRKGEEDRIAREIEAHEKRIRRELEKQDMLKRKREEQIRKEMRRHEHEKRKEEERLLREKHREEERYQREQRRELERREKFLMKESIRAERLRQKEELRKEKEAARLRAANERAIARKLAKESMEPVEDEYLELMEIATSSQGLSLTLPLGFEALQNLDIFRDKLCEFPPKTVQLKRPFSIQPWNGSEENVGNLLMVWRFLITFADVLGLWPFTLDELVQAFHDYDPRLLGEIHVALLRSIIKDIEDVARTPSTGAGASQNSAANPGGGHPQIVEGAYAWGFDIRSWQRHLNVLTWPEILRQFALSAGFGPQLKKRNIEQPYLCDGNEGNDGEDIITNLRNGAAAENAIAIMQERGFSNPCRSRHRLTPGTVKFAAFHILSLEGSKGLTVLEVAEKIQKSGLRDLTTNKAQEASIAAAFSRDTKLFDRTAPSTYCVRSPYRKDPADAEAILSAARERIQVFKSEFLSVDAEVAERDEDSESDIAEDPVVDDLGAEINAKKEIHNSEERSSSVRETISGKEESGVMETPQVEVGNACKGLSSPHSGGFDKVKHIDASTEQSAGAASAGQEDMEIDESNPGELWVQGLMEGDYSDLSVEERLNALVALISIVIEGNFIRIVLKERLEAANALKKQIWTEAQLDKRRMKEDFVLRTYYSYVRNPVKNRLVMTSAECRESPQIIGDKKDHDSSVYHVVQQECLNNSQNDQNCLNNMSTEGNLPVQDFSFGPDNLQYQQPGYALDRSRSLLKSYIGHKAEEMYAYRSLPLGQDRRRNRYWRFTTTSCNDPGCGRIFIELLDGRWRLIDTEEGFGALLSSLDVRGIRESLLHAMLLKIEMSFKEAVARNKLHVNERQKGDTAKQKANEMASGLDWSVYSESPSSILCGSDSDMPETSSFSIELGRDENEKNNALKRYQDFEKWMWKGCFSSLTFSVTKYGERMCKELLGVCDSCFNVYFVKDNHCPSCHTTYIASKSALIFSEHVAQCAQKMQMGAELALDGSVFSPMRIRLIKLQLALLEVSIPFEALQSTWTEDYRNSWGMKLDSSTTAEELLQILTLLESSIRRDYLSSKFETTSEVLSPSNLSGHHVDYSFNLEAVPVLPWIPKTTAAVALRLMEFNAAISYTLTQGAETKRDRGAEGFMELPPSKYAFVKNHQDDETMQTPNQVKYLQKASWDDVGIGFSGSGRGEVRRRGLGVICGGRYQRRPANSRSGSRERIATAKSGRLRPVVEWKSRSHRQGGRNHGRRSSRRPKVANRMVESAGEGESRKEIMGKSSRSCGMNAWNGDEVALLETGTADNGRSSESSGYKDEIRDECDYEAVDEYGGGFNGKDDDGEGSDYDIDGGEEAEIEERNLNGGYIKENSDEGVRDAADDLPYGYSTEGCSGFSE >KJB50137 pep chromosome:Graimondii2_0_v6:8:41431013:41444230:-1 gene:B456_008G155100 transcript:KJB50137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-DDT domain protein RLT2 [Source:Projected from Arabidopsis thaliana (AT5G44180) UniProtKB/Swiss-Prot;Acc:Q9FFH1] METGGLSGGDGGSSEGEKKKPQEGEAKVKRKMKTASQLEVLEKTYATEMYPSEATRAELSVQLGLSDRQLQMWFCHRRLKDRKAAPLKRQRKDSTSSAHLVGVAGEEMGGSEAGNEHGLGGSSFLGHGLDLKRVVPRAGMTIPRYYEMPHSMAELELRAIKFAELQLGEPIRDDGPMFGMEFDPLPPGAFGAPIAVQQKQPGGPFETKIYDRRDTKTVKGSVRAVCEYQFLLEQPTVRTETYGRFPLSVNYGLPTDGPNARALSLAAGSSSLLGNEQVQPGYGFPGQIPNLNLLPQQSRPGHLLPTASGEYDYVSRTNTSTNTALDANIGSHPISALGSPFVSSDRRVCLDDDVLRKERKRKGEEDRIAREIEAHEKRIRRELEKQDMLKRKREEQIRKEMRRHEHEKRKEEERLLREKHREEERYQREQRRELERREKFLMKESIRAERLRQKEELRKEKEAARLRAANERAIARKLAKESMEPVEDEYLELMEIATSSQGLSLTLPLGFEALQNLDIFRDKLCEFPPKTVQLKRPFSIQPWNGSEENVGNLLMVWRFLITFADVLGLWPFTLDELVQAFHDYDPRLLGEIHVALLRSIIKDIEDVARTPSTGAGASQNSAANPGGGHPQIVEGAYAWGFDIRSWQRHLNVLTWPEILRQFALSAGFGPQLKKRNIEQPYLCDGNEGNDGEDIITNLRNGAAAENAIAIMQERGFSNPCRSRHRLTPGTVKFAAFHILSLEGSKGLTVLEVAEKIQKSGLRDLTTNKAQEASIAAAFSRDTKLFDRTAPSTYCVRSPYRKDPADAEAILSAARERIQVFKSEFLSVDAEVAERDEDSESDIAEDPVVDDLGAEINAKKEIHNSEERSSSVRETISGKEESGVMETPQVEVGNACKGLSSPHSGGFDKVKHIDASTEQSAGAASAGQEDMEIDESNPGELWVQGLMEGDYSDLSVEERLNALVALISIVIEGNFIRIVLKERLEAANALKKQIWTEAQLDKRRMKEDFVLRTYYSYVRNPVKNRLVMTSAECRESPQIIGDKKDHDSSVYHVVQQECLNNSQNDQNCLNNMSTEGNLPVQDFSFGPDNLQYQQPGYALDRSRSLLKSYIGHKAEEMYAYRSLPLGQDRRRNRYWRFTTTSCNDPGCGRIFIELLDGRWRLIDTEEGFGALLSSLDVRGIRESLLHAMLLKIEMSFKEAVARNKLHVNERQKGDTAKQKANEMASGLDWSVYSESPSSILCGSDSDMPETSSFSIELGRDENEKNNALKRYQDFEKWMWKGCFSSLTFSVTKYGERMCKELLGVCDSCFNVYFVKDNHCPSCHTTYIASKSALIFSEHVAQCAQKMQMGAELALDGSVFSPMRIRLIKLQLALLEVSIPFEALQSTWTEDYRNSWGMKLDSSTTAEELLQILTLLESSIRRDYLSSKFETTSEVLSPSNLSGHHVDYSFNLEAVPVLPWIPKTTAAVALRLMEFNAAISYTLTQGAETKRDRGAEGFMELPPSKYAFVKNHQDDETMQTPNQVKYLQKASWDDVGIGFSGSGRGEVRRRGLGVICGGRYQRRPANSRSGSRERIATAKSGRLRPVVEWKSRSHRQGGRNHGRRSSRRPKVANRMVESAGEGESRKEIMGKSSRSCGMNAWNGDEVALLETGTADNGRSSESSGYKDEIRDECDYEAVDEYGGGFNGKDDDGEGSDYDIDGGEEAEIEERNLNGGYIKENSDEGVRDAADDLPYGYSTEGCSGFSE >KJB50138 pep chromosome:Graimondii2_0_v6:8:41433636:41443518:-1 gene:B456_008G155100 transcript:KJB50138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-DDT domain protein RLT2 [Source:Projected from Arabidopsis thaliana (AT5G44180) UniProtKB/Swiss-Prot;Acc:Q9FFH1] METGGLSGGDGGSSEGEKKKPQEGEAKVKRKMKTASQLEVLEKTYATEMYPSEATRAELSVQLGLSDRQLQMWFCHRRLKDRKAAPLKRQRKDSTSSAHLVGVAGEEMGGSEAGNEHGLGGSSFLGHGLDLKRVVPRAGMTIPRYYEMPHSMAELELRAIKFAELQLGEPIRDDGPMFGMEFDPLPPGAFGAPIAVQQKQPGGPFETKIYDRRDTKTVKGSVRAVCEYQFLLEQPTVRTETYGRFPLSVNYGLPTDGPNARALSLAAGSSSLLGNEQVQPGYGFPGQIPNLNLLPQQSRPGHLLPTASGEYDYVSRTNTSTNTALDANIGSHPISALGSPFVSSDRRVCLDDDVLRKERKRKGEEDRIAREIEAHEKRIRRELEKQDMLKRKREEQIRKEMRRHEHEKRKEEERLLREKHREEERYQREQRRELERREKFLMKESIRAERLRQKEELRKEKEAARLRAANERAIARKLAKESMEPVEDEYLELMEIATSSQGLSLTLPLGFEALQNLDIFRDKLCEFPPKTVQLKRPFSIQPWNGSEENVGNLLMVWRFLITFADVLGLWPFTLDELVQAFHDYDPRLLGEIHVALLRSIIKDIEDVARTPSTGAGASQNSAANPGGGHPQIVEGAYAWGFDIRSWQRHLNVLTWPEILRQFALSAGFGPQLKKRNIEQPYLCDGNEGNDGEDIITNLRNGAAAENAIAIMQERGFSNPCRSRHRLTPGTVKFAAFHILSLEGSKGLTVLEVAEKIQKSGLRDLTTNKAQEASIAAAFSRDTKLFDRTAPSTYCVRSPYRKDPADAEAILSAARERIQVFKSEFLSVDAEVAERDEDSESDIAEDPVVDDLGAEINAKKEIHNSEERSSSVRETISGKEESGVMETPQVEVGNACKGLSSPHSGGFDKVKHIDASTEQSAGAASAGQEDMEIDESNPGELWVQGLMEGDYSDLSVEERLNALVALISIVIEGNFIRIVLKERLEAANALKKQIWTEAQLDKRRMKEDFVLRTYYSYVRNPVKNRLVMTSAECRESPQIIGDKKDHDSSVYHVVQQECLNNSQNDQNCLNNMSTEGNLPVQDFSFGPDNLQYQQPGYALDRSRSLLKSYIGHKAEEMYAYRSLPLGQDRRRNRYWRFTTTSCNDPGCGRIFIELLDGRWRLIDTEEGFGALLSSLDVRGIRESLLHAMLLKIEMSFKEAVARNKLHVNERQKGDTAKQKANEMASGLDWSVYSESPSSILCGSDSDMPETSSFSIELGRDENEKNNALKRYQDFEKWMWKGCFSSLTFSVTKYGERMCKELLGVCDSCFNVYFVKDNHCPSCHTTYIASKSALIFSEHVAQCAQKMQMGAELALDGSVFSPMRIRLIKLQLALLEVSIPFEALQSTWTEDYRNSWGMKLDSSTTAEELLQE >KJB50932 pep chromosome:Graimondii2_0_v6:8:47744550:47745635:1 gene:B456_008G193600 transcript:KJB50932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSDENIEVAKLSHVCWLDVRGKLKISELSPATLYEVVYEVKLTKGASGWELPVKLRLSLPNGIVQERQVSLLQKPRGQWMELNVGSFHTPDNEDDETGEVCFDFYQHGGHWKSGLVVKGAILRPRKPEPSN >KJB50934 pep chromosome:Graimondii2_0_v6:8:47744845:47745635:1 gene:B456_008G193600 transcript:KJB50934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTWEDAKTGKKCFMLYARSLYVTWGGREYWIWNSFKDTSDENIEVAKLSHVCWLDVRGKLKISELSPATLYEVVYEVKLTKGASGWELPVKLRLSLPNGIVQERQVSLLQKPRGQWMELNVGSFHTPDNEDDETGEVCFDFYQHGGHWKSGLVVKGAILRPRKPEPSN >KJB50933 pep chromosome:Graimondii2_0_v6:8:47744550:47745655:1 gene:B456_008G193600 transcript:KJB50933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNKNKNKNEEAFLDHKTKKTWEDAKTGKKCFMLYARSLYVTWGGREYWIWNSFKDTSDENIEVAKLSHVCWLDVRGKLKISELSPATLYEVVYEVKLTKGASGWELPVKLRLSLPNGIVQERQVSLLQKPRGQWMELNVGSFHTPDNEDDETGEVCFDFYQHGGHWKSGLVVKGAILRPRKPEPSN >KJB51565 pep chromosome:Graimondii2_0_v6:8:50951720:50957976:-1 gene:B456_008G223200 transcript:KJB51565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKESESWKELVKKMLPPGASLPEDSSQLDYSIAMEYQGPPVTYELPRVEPLDVNPHAIPVAESLSESQRSVANTGPPVIEPIPLPVSYIAGVASPPAQSPRVSASSESVVSVLQNPDFSSASPSASPGSVRNPPSNPPKQAVNEARRVPVVTFNTVERSEGKDVDMDKPVFPEFVGVSKEKKKKRRICYRCGKRKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLRLGKHSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLNPDASNGNTEVYINGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFICTLFSLPVLHGQPQGAREEVSNYTTVPTYLEQKRTQKLLLLGLQGSGTSTIFKQAKFLYGNGFSTEELQDLKLMIQSNMYRYLSILLDGRERFEEEAMSRIRELGPDDQNSEAGGEVDSGETNQCIYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEELWKDPAIQQTYKRKDELHFLPDVAEYFLSRAVEVSSNEYEPSHRDILYAEGITQGNGLAFIEFSLDDRSPMSETYTDNLEAPSLPLTKYQLIRVNAKGMNEGCKWVEMFEDVRSVVFCVALSDYDQISISPESSGSGTLLQNKMLQTKELFETMIRHPCFKDTPFVLILNKYDLFEDKVNRVPLSTCEWFMDFSPVRPLHNHHSLAQQAYFYVAMKFKDLYASLTGRKLFVWQAKARDHRVSIDEAFKYIREVLKWEEEKDENYYGGEDSFYSTDVSSSPFVRQE >KJB51568 pep chromosome:Graimondii2_0_v6:8:50951866:50956700:-1 gene:B456_008G223200 transcript:KJB51568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKESESWKELVKKMLPPGASLPEDSSQLDYSIAMEYQGPPVTYELPRVEPLDVNPHAIPVAESLSESQRSVANTGPPVIEPIPLPVSYIAGVASPPAQSPRVSASSESVVSVLQNPDFSSASPSASPGSVRNPPSNPPKQAVNEARRVPVVTFNTVERSEGKDVDMDKPVFPEFVGVSKEKKKKRRICYRCGKRKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLRLGKHSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLNPDASNGNTEVYINGREITKLELRVLKVLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFICTLFSLPVLHGQPQGAREEVSNYTTVPTYLEQKRTQKLLLLGLQGSGTSTIFKQAKFLYGNGFSTEELQDLKLMIQSNMYRYLSILLDGRERFEEEAMSRIRELGPDDQNSEAGGEVDSGETNQCIYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEELWKDPAIQQTYKRKDELHFLPDVAEYFLSRAVEVSSNEYEPSHRDILYAEGITQGNGLAFIEFSLDDRSPMSETYTDNLEAPSLPLTKYQLIRVNAKGMNEGCKWVEMFEDVRSVVFCVALSDYDQISISPESSGSGTLLQNKMLQTKELFETMIRHPCFKDTPFVLILNKYDLFEDKVNRVPLSTCEWFMDFSPVRPLHNHHSLAQQAYFYVAMKFKDLYASLTGRKLFVWQAKARDHRVSIDEAFKYIREVLKWEEEKDENYYGGEDSFYSTDVSSSPFVRQE >KJB51567 pep chromosome:Graimondii2_0_v6:8:50951698:50958103:-1 gene:B456_008G223200 transcript:KJB51567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKESESWKELVKKMLPPGASLPEDSSQLDYSIAMEYQGPPVTYELPRVEPLDVNPHAIPVAESLSESQRSVANTGPPVIEPIPLPVSYIAGVASPPAQSPRVSASSESVVSVLQNPDFSSASPSASPGSVRNPPSNPPKQAVNEARRVPVVTFNTVERSEGKDVDMDKPVFPEFVGVSKEKKKKRRICYRCGKRKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLRLGKHSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLNPDASNGNTEVYINGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFICTLFSLPVLHGQPQGAREEVSNYTTVPTYLEQKRTQKLLLLGLQGSGTSTIFKQAKFLYGNGFSTEELQDLKLMIQSNMYRYLSILLDGRERFEEEAMSRIRELGPDDQNSEAGGEVDSGETNQCIYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEELWKDPAIQQTYKRKDELHFLPDVAEYFLSRAVEVSSNEYEPSHRDILYAEGITQGNGLAFIEFSLDDRSPMSETYTDNLEAPSLPLTKYQLIRVNAKGMNEGCKWVEMFEDVRSVVFCVALSDYDQISISPESSGSGTLLQNKMLQTKELFETMIRHPCFKDTPFVLILNKYDLFEDKVNRVPLSTCEWFMDFSPVRPLHNHHSLAQQAYFYVAMKFKDLYASLTGRKLFVWQAKARDHRVSIDEAFKYIREVLKWEEEKDENYYGGEDSFYSTDVSSSPFVRQE >KJB51566 pep chromosome:Graimondii2_0_v6:8:50951681:50958103:-1 gene:B456_008G223200 transcript:KJB51566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKESESWKELVKKMLPPGASLPEDSSQLDYSIAMEYQGPPVTYELPRVEPLDVNPHAIPVAESLSESQRSVANTGPPVIEPIPLPVSYIAGVASPPAQSPRVSASSESVVSVLQNPDFSSASPSASPGSVRNPPSNPPKQAVNEARRVPVVTFNTVERSEGKDVDMDKPVFPEFVGVSKEKKKKRRICYRCGKRKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLRLGKHSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLNPDASNGNTEVYINGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFICTLFSLPVLHGQPQGAREEVSNYTTVPTYLEQKRTQKLLLLGLQGSGTSTIFKQAKFLYGNGFSTEELQDLKLMIQSNMYRYLSILLDGRERFEEEAMSRIRELGPDDQNSEAGGEVDSGETNQCIYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEELWKDPAIQQTYKRKDELHFLPDVAEYFLSRAVEVSSNEYEPSHRDILYAEGITQGNGLAFIEFSLDDRSPMSETYTDNLEAPSLPLTKYQLIRVNAKGMNEGCKWVEMFEDVRSVVFCVALSDYDQISISPESSGSGTLLQNKMLQTKELFETMIRHPCFKDTPFVLILNKYDLFEDKVNRVPLSTCEWFMDFSPVRPLHNHHSLAQQAYFYVAMKFKDLYASLTGRKLFVWQAKARDHRVSIDEAFKYIREVLKWEEEKDENYYGGEDSFYSTDVSSSPFVRQE >KJB49634 pep chromosome:Graimondii2_0_v6:8:37535456:37537159:-1 gene:B456_008G130300 transcript:KJB49634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISFGIYHHLLFLSQSSSTYIVSIFVKMPENMNISVNGQSQVPPGFRFHPTEEELLQYYLRKKVSYEKIDLDVIREVDLNKLEPWDIQERCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSNCRRIGMRKTLVFYKGRAPHGQKSYWIMHEYRLDDNIVETNVSNGMMEGTQEEGWVVCRIFKKKNHHKTLENPISTSLSEKTRNLHMFNTCSEGALEHILEYMGRSCKEDNEANNNNTRFVMSMELAINNNGYYDSFTKLPSLDSPNSASSYQPIMTENEGSIINSVSGGDFNSVYNNDSGLTNWAALDRLVASHLNGQTETSRQLACFNDQQMAYCNNTNSTDHQDLELPAVRSTSPPSSSSIDIWTSFTTRSSSLSSSVIDPLCHVVNASV >KJB49633 pep chromosome:Graimondii2_0_v6:8:37535131:37537159:-1 gene:B456_008G130300 transcript:KJB49633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISFGIYHHLLFLSQSSSTYIVSIFVKMPENMNISVNGQSQVPPGFRFHPTEEELLQYYLRKKVSYEKIDLDVIREVDLNKLEPWDIQERCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSNCRRIGMRKTLVFYKGRAPHGQKSYWIMHEYRLDDNIVETNVSNGMMEGTQEEGWVVCRIFKKKNHHKTLENPISTSLSEKTRNLHMFNTCSEGALEHILEYMGRSCKEDNEANNNNTRFVMSMELAINNNGYYDSFTKLPSLDSPNSASSYQPIMTENEGSIINSVSGGDFNSVYNNDSGLTNWAALDRLVASHLNGQTETSRQLACFNDQQMAYCNNTNSTDHQDLELPAVRSTSPPSSSSIDIWTSFTTRSSSLSSSVIDPLCHVVNASVQ >KJB52727 pep chromosome:Graimondii2_0_v6:8:55325496:55325654:1 gene:B456_008G275000 transcript:KJB52727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCKGCGKLGRILPRDEPVNPYHSAFLCSPVVSVWDCIVRKMRYSCRPEFV >KJB49143 pep chromosome:Graimondii2_0_v6:8:30608945:30608968:-1 gene:B456_008G1024002 transcript:KJB49143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVEKQQK >KJB49142 pep chromosome:Graimondii2_0_v6:8:30608945:30608968:-1 gene:B456_008G1024002 transcript:KJB49142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVEKQQK >KJB49144 pep chromosome:Graimondii2_0_v6:8:30608945:30608968:-1 gene:B456_008G1024002 transcript:KJB49144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVEKQQK >KJB49145 pep chromosome:Graimondii2_0_v6:8:30608564:30608968:-1 gene:B456_008G1024002 transcript:KJB49145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVEKQQK >KJB51476 pep chromosome:Graimondii2_0_v6:8:50463840:50468950:1 gene:B456_008G218300 transcript:KJB51476 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-amylase 2 [Source:Projected from Arabidopsis thaliana (AT5G45300) TAIR;Acc:AT5G45300] MESQPQPQPQPRRPRGFAATAAAAAASVSPTGTPSGSAGASTASSGKGKREREKEKERTKLRERHRRAITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWTVEPDGTTYRHSPPPQHQQHLGAFPVRSGESPLTATSLQNCSVKATLDCQQPVVRIDDSLSPASLDSVVIAERDTRSEKYPSTSPINSVECLEADQLIQDVHSTEHDNDFTGTQYVPVYVKLSTGVINNFCQLADPDGVRQELSHMNSLNVDGVIVDCWWGIVECWNPQKYVWSGYRELFNYIREFKMKIQVVMAFHEYGRTDSADVLISLPNWILEIGKENQDIFFTDREGRRTTEFLSWGIDKERVLNGRTGVEVYFDFMRSFRTEFDDLFAEGLISAVEIGLGPSGELRYPSFSERMGWRYPGIGEFQCYDKYLQQHLQRAAKLRGHSFWARGPDNAGHYNSRPHETGFFCERGDYDSYYGRFFLHWYAQALMDHADNVLSLANLAFEETKIIVKIPAVYWWYKTSSHAAELTAGYYNPTNQDGYSPVFEVLKKHSVTVKFVCYGLQICSYENDEAFADPEGLSWQVSLSGVL >KJB51478 pep chromosome:Graimondii2_0_v6:8:50463840:50470692:1 gene:B456_008G218300 transcript:KJB51478 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-amylase 2 [Source:Projected from Arabidopsis thaliana (AT5G45300) TAIR;Acc:AT5G45300] MESQPQPQPQPRRPRGFAATAAAAAASVSPTGTPSGSAGASTASSGKGKREREKEKERTKLRERHRRAITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWTVEPDGTTYRHSPPPQHQQHLGAFPVRSGESPLTATSLQNCSVKATLDCQQPVVRIDDSLSPASLDSVVIAERDTRSEKYPSTSPINSVECLEADQLIQDVHSTEHDNDFTGTQYVPVYVKLSTGVINNFCQLADPDGVRQELSHMNSLNVDGVIVDCWWGIVECWNPQKYVWSGYRELFNYIREFKMKIQVVMAFHEYGRTDSADVLISLPNWILEIGKENQDIFFTDREGRRTTEFLSWGIDKERVLNGRTGVEVYFDFMRSFRTEFDDLFAEGLISAVEIGLGPSGELRYPSFSERMGWRYPGIGEFQCYDKYLQQHLQRAAKLRGHSFWARGPDNAGHYNSRPHETGFFCERGDYDSYYGRFFLHWYAQALMDHADNVLSLANLAFEETKIIVKIPAVYWWYKTSSHAAELTAGYYNPTNQDGYSPVFEVLKKHSVTVKFVCYGLQICSYENDEAFADPEGLSWQVLNSVWDRGLKVAGENTLSCYDKEGCLRIIETAKPRNDPDRRHFSFFVYQQPSPLVQGVICLPDLDYFIKCMHGDITGDLVP >KJB51475 pep chromosome:Graimondii2_0_v6:8:50463781:50470692:1 gene:B456_008G218300 transcript:KJB51475 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-amylase 2 [Source:Projected from Arabidopsis thaliana (AT5G45300) TAIR;Acc:AT5G45300] MESQPQPQPQPRRPRGFAATAAAAAASVSPTGTPSGSAGASTASSGKGKREREKEKERTKLRERHRRAITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWTVEPDGTTYRHSPPPQHQQHLNCSVKATLDCQQPVVRIDDSLSPASLDSVVIAERDTRSEKYPSTSPINSVECLEADQLIQDVHSTEHDNDFTGTQYVPVYVKLSTGVINNFCQLADPDGVRQELSHMNSLNVDGVIVDCWWGIVECWNPQKYVWSGYRELFNYIREFKMKIQVVMAFHEYGRTDSADVLISLPNWILEIGKENQDIFFTDREGRRTTEFLSWGIDKERVLNGRTGVEVYFDFMRSFRTEFDDLFAEGLISAVEIGLGPSGELRYPSFSERMGWRYPGIGEFQCYDKYLQQHLQRAAKLRGHSFWARGPDNAGHYNSRPHETGFFCERGDYDSYYGRFFLHWYAQALMDHADNVLSLANLAFEETKIIVKIPAVYWWYKTSSHAAELTAGYYNPTNQDGYSPVFEVLKKHSVTVKFVCYGLQICSYENDEAFADPEGLSWQVLNSVWDRGLKVAGENTLSCYDKEGCLRIIETAKPRNDPDRRHFSFFVYQQPSPLVQGVICLPDLDYFIKCMHGDITGDLVP >KJB51477 pep chromosome:Graimondii2_0_v6:8:50463840:50470692:1 gene:B456_008G218300 transcript:KJB51477 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-amylase 2 [Source:Projected from Arabidopsis thaliana (AT5G45300) TAIR;Acc:AT5G45300] MESQPQPQPQPRRPRGFAATAAAAAASVSPTGTPSGSAGASTASSGKGKREREKEKERTKLRERHRRAITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWTVEPDGTTYRHSPPPQHQQHLGAFPVRSGESPLTATSLQNCSVKATLDCQQPVVRIDDSLSPASLDSVVIAERDTRSEKYPSTSPINSVECLEADQLIQDVHSTEHDNDFTGTQYVPVYVKLSTGVINNFCQLADPDGVRQELSHMNSLNVDGVIVDCWWGIVECWNPQKYVWSGYRELFNYIREFKMKIQVVMAFHEYGRTDSADVLISLPNWILEIGKENQDIFFTDREGRRTTEFLSWGIDKERVLNGRTGVEVYFDFMRSFRTEFDDLFAEGLISAVEIGLGPSGELRYPSFSERMGWRYPGIGEFQRAAKLRGHSFWARGPDNAGHYNSRPHETGFFCERGDYDSYYGRFFLHWYAQALMDHADNVLSLANLAFEETKIIVKIPAVYWWYKTSSHAAELTAGYYNPTNQDGYSPVFEVLKKHSVTVKFVCYGLQICSYENDEAFADPEGLSWQVLNSVWDRGLKVAGENTLSCYDKEGCLRIIETAKPRNDPDRRHFSFFVYQQPSPLVQGVICLPDLDYFIKCMHGDITGDLVP >KJB52759 pep chromosome:Graimondii2_0_v6:8:55422912:55428028:1 gene:B456_008G276500 transcript:KJB52759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLEVFSGILSSNASLNPDFYNWNRVKLRYCDGASFSGDSKFDNGTSLLYFRGQRIWEAIIHDLLPKGLSRARKALLSGCSAGGLATFLHCDNFTKMLPSNASVKCLSDAGFFLDERDISLNYSMRSFYHDLVALQGIEQNLNPNCTRSISNPKLCFFPQYALNYISTPYFILNSAYDVFQFHHSLVPPSADLHGHWNRCKLDPAACSAYQISVLQGLRRDMLVALYPFYKNSRRDGMFLNSCFAHCQSESQDTWFAVDSPRIHNKTIAEAVGDWYFSRKITKEIDCPYPCDKTCHNLIPSPQVSLR >KJB52757 pep chromosome:Graimondii2_0_v6:8:55420357:55428029:1 gene:B456_008G276500 transcript:KJB52757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIRIEITLCLVLFLVLAPFCICSGERLLVGMTLVRNATAHGAVCLDGSLPAYHLHRGFGAGSNNWILQFEGGGWCNDISSCLERAKTRRGSTRYMSKLEVFSGILSSNASLNPDFYNWNRVKLRYCDGASFSGDSKFDNGTSLLYFRGQRIWEAIIHDLLPKGLSRARKALLSGCSAGGLATFLHCDNFTKMLPSNASVKCLSDAGFFLDERDISLNYSMRSFYHDLVALQGIEQNLNPNCTRSISNPKLCFFPQYALNYISTPYFILNSAYDVFQFHHSLVPPSADLHGHWNRCKLDPAACSAYQISVLQGLRRDMLVALYPFYKNSRRDGMFLNSCFAHCQSESQDTWFAVDSPRIHNKTIAEAVGDWYFSRKITKEIDCPYPCDKTCHNLIPSPQGLNATFTYNLQSS >KJB52760 pep chromosome:Graimondii2_0_v6:8:55423117:55428029:1 gene:B456_008G276500 transcript:KJB52760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTWSVPGGGWCNDISSCLERAKTRRGSTRYMSKLEVFSGILSSNASLNPDFYNWNRVKLRYCDGASFSGDSKFDNGTSLLYFRGQRIWEAIIHDLLPKGLSRARKALLSGCSAGGLATFLHCDNFTKMLPSNASVKCLSDAGFFLDERDISLNYSMRSFYHDLVALQGIEQNLNPNCTRSISNPKLCFFPQYALNYISTPYFILNSAYDVFQFHHSLVPPSADLHGHWNRCKLDPAACSAYQISVLQGLRRDMLVALYPFYKNSRRDGMFLNSCFAHCQSESQDTWFAVDSPRIHNKTIAEAVGDWYFSRKITKEIDCPYPCDKTCHNLIPSPQGLNATFTYNLQSS >KJB52758 pep chromosome:Graimondii2_0_v6:8:55420639:55428028:1 gene:B456_008G276500 transcript:KJB52758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIRIEITLCLVLFLVLAPFCICSGERLLVGMTLVRNATAHGAVCLDGSLPAYHLHRGFGAGSNNWILQFEGGGWCNDISSCLERAKTRRGSTRYMSKLEVFSGILSSNASLNPDFYNWNRVKLRYCDGASFSGDSKFDNGTSLLYFRGQRIWEAIIHDLLPKGLSRARKALLSGCSAGGLATFLHCDNFTKMLPSNASVKCLSDAGFFLDERDISLNYSMRSFYHDLVALQGIEQNLNPNCTRSISNPKLCFFPQYALNYISTPYFILNSAYDVFQFHHSLVPPSADLHGHWNRCKLDPAACSAYQISVLQGLRRDMLVALYPFYKNSRRDGMFLNSCFAHCQSESQDTWFAVDSPRIHNKTIAEAVGDWYFSRKITKEIDCPYPCDKTCHNLIPSPQVSLR >KJB47435 pep chromosome:Graimondii2_0_v6:8:3131086:3134276:-1 gene:B456_008G026400 transcript:KJB47435 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g49510 [Source:Projected from Arabidopsis thaliana (AT1G49510) UniProtKB/TrEMBL;Acc:Q9XIB8] MATIAACSSHLLSASTHFHGFPKTYLKIHSPFLHKLKDSTQYLEAHKCGLSMKQNQVILNTKPSICSAINMATGESGDPEKVNFDNIKEKARRLWDSSPQPVKRFPWNRALENFVQLTLDLTLAVVKYLSVPVLAVSSLSEMSYCAHQRKLTIIPVPLLLGFVLAGFLKETALELSPLLKDAEVPWHLIAIAIFFTLIKLPGPYYPYWGRIFIPHIANGALFRTLWFMFLWYRRSKISGAELPNSLVNARDSEVE >KJB47651 pep chromosome:Graimondii2_0_v6:8:4195096:4199552:-1 gene:B456_008G035000 transcript:KJB47651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQQLGLFVGLIRGEMSRHNQIWLNQERLDGPQSLSLSNKAFEATIVKMENGVVMVDNKIAEERVANEEVEVRVAGDSGETKEPVDEVFGEAIATQEILQEQAEKPGVDGSSLVDDAIGNVETFGDTGSEVVKENLNLEPKEETFQEAVEVLTEVGALENAVASEVGTLEVVAESVDQQQGESVSGGVVLDKIDEGGTEMGERTDELNSGKEVPEVSGTRETEVPRDKEKRNLKFDTVMEMPVKGDTYQGKESTEVKGATADLDSVDGGDKDEKANKAFAAAEDTMNGEVKDLSDARGMKNNGEIDELRDMLSEPSKSVEETVASAVGNLSSSEKFTDEMNEKIEVGKADLRTEVHDSFQSRLPDEMVGNKCQDIDFVTEQSDDKTEKNQQNKQSTPVTLEQEVQHAPGSSVSAKAEEIGKKADITQEPKTNTSVTKECLSVPAPALASSVKSTNLATPSHPAGLGRAAPLLEPAPRVVQQPRVNGSVSQAQAQAHQIEDPGNVEAEENDETREKLQLIRVKFLRLANRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGNEPLDFACTIMVLGKTGVGKSATINSIFDEIKFGTDAFQTGTNKVQDVVGTVHGIKVRVIDTPGLLPSWSDQCQNEKILHSVKRFIKKTPPDIVLYLDRLDMQTRDFGDMPLLRTITDIFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANTLLKLQDTPPGKPFATRTRAPPLPFLLSSLLQSRPQVKLPEEQYGDEDGLDDDLDESSDSEDEPEYDELPPFKRLSKAQIAKLSKAQKKAYFDELEYREKLFMKKQLKEEKKRRKMMKKMAAAAKDLPSEYGENAEEESSGASSVPVPMPDLVLPASFDSDNPTHRYRSLDSSNPWLVRPVLDTHGWDHDVGYEGINVERLFVAKEKFPISFSGQITKDKRDANVQMELASSLKHGEGKATSVGFDMQTVGKDLAYTLRSETRFSNLKKNKATAGISVTLLGDALSAGMKFEDKLIANKQFQVVMTGGAMTGRGDLAYGGSLEAQLRDKDYPLGRSLSTLGLSIMDWHGDLAIGCNIQSQVPVGRSTNLIARANLNNKGAGQVSLRINSSEQLQLALTSLFPLLKKLFDYFHQVQYGQ >KJB47649 pep chromosome:Graimondii2_0_v6:8:4195095:4199410:-1 gene:B456_008G035000 transcript:KJB47649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGVVMVDNKIAEERVANEEVEVRVAGDSGETKEPVDEVFGEAIATQEILQEQAEKPGVDGSSLVDDAIGNVETFGDTGSEVVKENLNLEPKEETFQEAVEVLTEVGALENAVASEVGTLEVVAESVDQQQGESVSGGVVLDKIDEGGTEMGERTDELNSGKEVPEVSGTRETEVPRDKEKRNLKFDTVMEMPVKGDTYQGKESTEVKGATADLDSVDGGDKDEKANKAFAAAEDTMNGEVKDLSDARGMKNNGEIDELRDMLSEPSKSVEETVASAVGNLSSSEKFTDEMNEKIEVGKADLRTEVHDSFQSRLPDEMVGNKCQDIDFVTEQSDDKTEKNQQNKQSTPVTLEQEVQHAPGSSVSAKAEEIGKKADITQEPKTNTSVTKECLSVPAPALASSVKSTNLATPSHPAGLGRAAPLLEPAPRVVQQPRVNGSVSQAQAQAHQIEDPGNVEAEENDETREKLQLIRVKFLRLANRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGNEPLDFACTIMVLGKTGVGKSATINSIFDEIKFGTDAFQTGTNKVQDVVGTVHGIKVRVIDTPGLLPSWSDQCQNEKILHSVKRFIKKTPPDIVLYLDRLDMQTRDFGDMPLLRTITDIFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANTLLKLQDTPPGKPFATRTRAPPLPFLLSSLLQSRPQVKLPEEQYGDEDGLDDDLDESSDSEDEPEYDELPPFKRLSKAQIAKLSKAQKKAYFDELEYREKLFMKKQLKEEKKRRKMMKKMAAAAKDLPSEYGENAEEESSGASSVPVPMPDLVLPASFDSDNPTHRYRSLDSSNPWLVRPVLDTHGWDHDVGYEGINVERLFVAKEKFPISFSGQITKDKRDANVQMELASSLKHGEGKATSVGFDMQTVGKDLAYTLRSETRFSNLKKNKATAGISVTLLGDALSAGMKFEDKLIANKQFQVVMTGGAMTGRGDLAYGGSLEAQLRDKDYPLGRSLSTLGLSIMDWHGDLAIGCNIQSQVPVGRSTNLIARANLNNKGAGQVSLRINSSEQLQLALTSLFPLLKKLFDYFHQVQYGQ >KJB47650 pep chromosome:Graimondii2_0_v6:8:4194431:4199410:-1 gene:B456_008G035000 transcript:KJB47650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGVVMVDNKIAEERVANEEVEVRVAGDSGETKEPVDEVFGEAIATQEILQEQAEKPGVDGSSLVDDAIGNVETFGDTGSEVVKENLNLEPKEETFQEAVEVLTEVGALENAVASEVGTLEVVAESVDQQQGESVSGGVVLDKIDEGGTEMGERTDELNSGKEVPEVSGTRETEVPRDKEKRNLKFDTVMEMPVKGDTYQGKESTEVKGATADLDSVDGGDKDEKANKAFAAAEDTMNGEVKDLSDARGMKNNGEIDELRDMLSEPSKSVEETVASAVGNLSSSEKFTDEMNEKIEVGKADLRTEVHDSFQSRLPDEMVGNKCQDIDFVTEQSDDKTEKNQQNKQSTPVTLEQEVQHAPGSSVSAKAEEIGKKADITQEPKTNTSVTKECLSVPAPALASSVKSTNLATPSHPAGLGRAAPLLEPAPRVVQQPRVNGSVSQAQAQAHQIEDPGNVEAEENDETREKLQLIRVKFLRLANRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGNEPLDFACTIMVLGKTGVGKSATINSIFDEIKFGTDAFQTGTNKVQDVVGTVHGIKVRVIDTPGLLPSWSDQCQNEKILHSVKRFIKKTPPDIVLYLDRLDMQTRDFGDMPLLRTITDIFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANTLLKLQDTPPGKPFATRTRAPPLPFLLSSLLQSRPQVKLPEEQYGDEDGLDDDLDESSDSEDEPEYDELPPFKRLSKAQIAKLSKAQKKAYFDELEYREKLFMKKQLKEEKKRRKMMKKMAAAAKDLPSEYGENAEEESSGASSVPVPMPDLVLPASFDSDNPTHRYRSLDSSNPWLVRPVLDTHGWDHDVGYEGINVERLFVAKEKFPISFSGQITKDKRDANVQMELASSLKHGEGKATSVGFDMQTVGKDLAYTLRSETRFSNLKKNKATAGISVTLLGDALSAGMKFEDKLIANKQFQVVMTGGAMTGRGDLAYGGSLEAQLRDKDYPLGRSLSTLGLSIMDWHGDLAIGCNIQSQVPVGRSTNLIARANLNNKGAGQVSLRINSSEQLQLALTSLFPLLKKLFDYFHQVQYGQ >KJB47615 pep chromosome:Graimondii2_0_v6:8:4044679:4046110:1 gene:B456_008G033700 transcript:KJB47615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYRRGDSILDSFSLSPLPYPVLLILAVTSIFLGMSWYVNYESVLETAEEQLSWVLFATPVVLILLARWVSSMDTSDMLFGSSPWERRRQTHHRPSEGSSPWIVAAFIVLLLILVQYQSVFRESWLV >KJB52050 pep chromosome:Graimondii2_0_v6:8:52937507:52939995:1 gene:B456_008G244100 transcript:KJB52050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIGTLLLICITHWVNKWRNPRCNGKLPPGSMGFPLVGETLQFFTPNTTFDIPPFVKERLKRYGPIFKTSLVGRPVIVSTDPDLNHFIFLQEGQLFQSWYPDTFTEIFGRQNVGSLHGFMYKYLKNMVLNLFGPESLKKMLPEVENTACRRLQSWSSQETIELKEATASMIFDLTAKKLISYDQDNSPENLRENFVAFIQGLISFPLNIPGTAYHKCLQGRKNAMKMLKDLLNERRSMPRKHQSDFFNFVLEELQKEGTILTEAIALDLMFVLLFASFETTSLALTLAVKFLSDNPSVLNTLTDEHEAILRNRENTDSGLTWKEYKSMRYTFQFINETVRLANIVPGIFRKTLREIQFKGYTIPAGWAVMVCPPAVHLNPAKYQNPLTFNPSRWEGTEINGASKNFMAFGGGMRFCIGTDFTKVQMAVFLHCLVTKYRWEAIKGGNVLRTPGLQFPDGFHIQLLEKTRME >KJB48417 pep chromosome:Graimondii2_0_v6:8:11238335:11245166:-1 gene:B456_008G068200 transcript:KJB48417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRCVSRSLRAPYSNRSVAANSLRSNISSFFSTHAGSPYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMNSDGSCQGVIALNMEDGTLHRFQASSTILATGGYGRTYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTIKGSDPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIQRPGQKQKPLEKDAGARTISWLDKIRNSNGSLPTSNIRLNMQRVMQNNAAVFRTQETLEEGCQLIDKTWESFHDVKLKDRSLIWNSDLIESIELENLLINACITMHSAEARKESRGAHAREDFTKRDDENWMKHTLGYWENEKVRLDYRPVHMNTLDDEVESFPPKARVY >KJB48416 pep chromosome:Graimondii2_0_v6:8:11238335:11245039:-1 gene:B456_008G068200 transcript:KJB48416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRCVSRSLRAPYSNRSVAANSLRSNISSFFSTHAGSPYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMNRSCQGVIALNMEDGTLHRFQASSTILATGGYGRTYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTIKGSDPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIQRPGQKQKPLEKDAGARTISWLDKIRNSNGSLPTSNIRLNMQRVMQNNAAVFRTQETLEEGCQLIDKTWESFHDVKLKDRSLIWNSDLIESIELENLLINACITMHSAEARKESRGAHAREDFTKRDDENWMKHTLGYWENEKVRLDYRPVHMNTLDDEVESFPPKARVY >KJB48415 pep chromosome:Graimondii2_0_v6:8:11238335:11243372:-1 gene:B456_008G068200 transcript:KJB48415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMNSDGSCQGVIALNMEDGTLHRFQASSTILATGGYGRTYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTIKGSDPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIQRPGQKQKPLEKDAGARTISWLDKIRNSNGSLPTSNIRLNMQRVMQNNAAVFRTQETLEEGCQLIDKTWESFHDVKLKDRSLIWNSDLIESIELENLLINACITMHSAEARKESRGAHAREDFTKRDDENWMKHTLGYWENEKVRLDYRPVHMNTLDDEVESFPPKARVY >KJB49378 pep chromosome:Graimondii2_0_v6:8:34874629:34878880:-1 gene:B456_008G115900 transcript:KJB49378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKESQIQEWQGYYINYKLLKKKVNRYAQQLEIGAQDHHYVLKDFSRMLDSQIEKIVLFLLEQQGQLANRLCDLRQQHDVILQLSNGGSKICELQEAYRGVGHDLLRLLFFVEMNAIGLRKILKKFDKRFGYRFTNYYVKTRANHPYSQLRQVFRHVGIGAVVGAISRNLADLQDHQGNYVSIYDQPALSHPDPIVHSIKAAVNKLSNSTNFLEFLGKHAFIMQDDLRSPSEDDVVEQRYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSLSLGAAATVCGVVIGSMAVAQVFSSVYFSAWSNRSYLRPLVFSSIVLLIGNTLYALAYDLNSIVVLLVGRLFCGLGSARAVNRRYISDCVPHKLRMRASAGFVSASALGMACGPALACLFQTDFKIYKLTFNQETLPGWIMAISWLIYLLWLSISFREPPQEIKQDIIPQEVRAGTVVNYAVENGITQPLLLNTEPKQGENVDQEYDDGEEESCEKTRKPVTSILSAYRLLTPSVKVQLLVYFMLKYAMEILLAEASVITAYYFIWSTNSVAIFLACLGLTVLPVNIIVGTYISNMFEERQVLLASEIMVLIGILLSFHIGIPYSVPQYVGSALITFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVIADGTITLSGYFGVAKLLNATLVPSLFICISSIIATCFTYNSLY >KJB49379 pep chromosome:Graimondii2_0_v6:8:34874651:34881295:-1 gene:B456_008G115900 transcript:KJB49379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKESQIQEWQGYYINYKLLKKKVNRYAQQLEIGAQDHHYVLKDFSRMLDSQIEKIVLFLLEQQGQLANRLCDLRQQHDVILQLSNGGSKICELQEAYRGVGHDLLRLLFFVEMNAIGLRKILKKFDKRFGYRFTNYYVKTRANHPYSQLRQVFRHVGIGAVVGAISRNLADLQDHQGNYVSIYDQPALSHPDPIVHSIKAAVNKLSNSTNFLEFLGKHAFIMQDDLRSPSEDDVVEQRYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSLSLGAAATVCGVVIGSMAVAQVFSSVYFSAWSNRSYLRPLVFSSIVLLIGNTLYALAYDLNSIVVLLVGRLFCGLGSARAVNRRYISDCVPHKLRMRASAGFVSASALGMACGPALACLFQTDFKIYKLTFNQETLPGWIMAISWLIYLLWLSISFREPPQEIKQDIIPQEVRAGTVVNYAVENGITQPLLLNTEPKQGENVDQEYDDGEEESCEKTRKPVTSILSAYRLLTPSVKVQLLVYFMLKYAMEILLAEASVITAYYFIWSTNSVAIFLACLGLTVLPVNIIVGTYISNMFEERQVLLASEIMVLIGILLSFHIGIPYSVPQYVGSALITFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVIADGTITLSGYFGVAKLLNATLVPSLFICISSIIATCFTYNSLY >KJB48617 pep chromosome:Graimondii2_0_v6:8:14473916:14475846:-1 gene:B456_008G077800 transcript:KJB48617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPYHQPIDDESEGCCSSQKTSIDGDEDKGKRVGSVSNSSSSALVLEEGSEEEDGERGRGGLKKRSSKIFGFSVPHEEEEEEEEEESMESEAGPVTRQFFPVDQEQETGSSSGFPQAHWVGVRFCQSEPHAPEKSGEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSIQDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDRAAIKCNGKDAVTNFDPSIYDNELINSGKTSENAGDHNLDLSLGNSNPNQNSAKPNADRQSGTSYQQHSLPLEATDWQCRGFRSMVGIISHHY >KJB48612 pep chromosome:Graimondii2_0_v6:8:14473375:14475846:-1 gene:B456_008G077800 transcript:KJB48612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPYHQPIDDESEGCCSSQKTSIDGDEDKGKRVGSVSNSSSSALVLEEGSEEEDGERGRGGLKKRSSKIFGFSVPHEEEEEEEEEESMESEAGPVTRQFFPVDQEQETGSSSGFPQAHWVGVRFCQSEPHAPEKSGEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSIQDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDRAAIKCNGKDAVTNFDPSIYDNELINSETSENAGDHNLDLSLGNSNPNQNSAKPNADRQSGTSYQQHSLPLEATDWQCRGFRSMQESRRIDEAGHGYSEEETMQLLSQTHIHSPAVSVKCNEMQRYGQLRRPGGNSNIFHIVPQHINPSPYQIHIPRSSNGGRIGSDLSLSTSDQQWQSGPHHQLFGNSAASSGFPSQIRPSQTWLHQNGFHSLI >KJB48609 pep chromosome:Graimondii2_0_v6:8:14473053:14476323:-1 gene:B456_008G077800 transcript:KJB48609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPYHQPIDDESEGCCSSQKTSIDGDEDKGKRVGSVSNSSSSALVLEEGSEEEDGERGRGGLKKRSSKIFGFSVPHEEEEEEEEEESMESEAGPVTRQFFPVDQEQETGSSSGFPQAHWVGVRFCQSEPHAPEKSGEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSIQDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDRAAIKCNGKDAVTNFDPSIYDNELINSGKTSENAGDHNLDLSLGNSNPNQNSAKPNADRQSGTSYQQHSLPLEATDWQCRGFRSMESRRIDEAGHGYSEEETMQLLSQTHIHSPAVSVKCNEMQRYGQLRRPGGNSNIFHIVPQHINPSPYQIHIPRSSNGGRIGSDLSLSTSDQQWQSGPHHQLFGNSAASSGFPSQIRPSQTWLHQNGFHSLI >KJB48613 pep chromosome:Graimondii2_0_v6:8:14473227:14476189:-1 gene:B456_008G077800 transcript:KJB48613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPYHQPIDDESEGCCSSQKTSIDGDEDKGKRVGSVSNSSSSALVLEEGSEEEDGERGRGGLKKRSSKIFGFSVPHEEEEEEEEEESMESEAGPVTRQFFPVDQEQETGSSSGFPQAHWVGVRFCQSEPHAPEKSGEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSIQDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAASRNLVELMKLAMDIVRKKQCSC >KJB48616 pep chromosome:Graimondii2_0_v6:8:14474190:14475846:-1 gene:B456_008G077800 transcript:KJB48616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPYHQPIDDESEGCCSSQKTSIDGDEDKGKRVGSVSNSSSSALVLEEGSEEEDGERGRGGLKKRSSKIFGFSVPHEEEEEEEEEESMESEAGPVTRQFFPVDQEQETGSSSGFPQAHWVGVRFCQSEPHAPEKSGEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSIQDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDRAAIKCNGKDAVTNFDPSIYDNELINSGSK >KJB48608 pep chromosome:Graimondii2_0_v6:8:14473053:14476251:-1 gene:B456_008G077800 transcript:KJB48608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPYHQPIDDESEGCCSSQKTSIDGDEDKGKRVGSVSNSSSSALVLEEGSEEEDGERGRGGLKKRSSKIFGFSVPHEEEEEEEEEESMESEAGPVTRQFFPVDQEQETGSSSGFPQAHWVGVRFCQSEPHAPEKSGEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSIQDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDRAAIKCNGKDAVTNFDPSIYDNELINSGKNAGDHNLDLSLGNSNPNQNSAKPNADRQSGTSYQQHSLPLEATDWQCRGFRSMQESRRIDEAGHGYSEEETMQLLSQTHIHSPAVSVKCNEMQRYGQLRRPGGNSNIFHIVPQHINPSPYQIHIPRSSNGGRIGSDLSLSTSDQQWQSGPHHQLFGNSAASSGFPSQIRPSQTWLHQNGFHSLI >KJB48618 pep chromosome:Graimondii2_0_v6:8:14473227:14476189:-1 gene:B456_008G077800 transcript:KJB48618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPYHQPIDDESEGCCSSQKTSIDGDEDKGKRVGSVSNSSSSALVLEEGSEEEDGERGRGGLKKRSSKIFGFSVPHEEEEEEEEEESMESEAGPVTRQFFPVDQEQETGSSSGFPQAHWVGVRFCQSEPHAPEKSGEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSIQDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDRAAIKCNGKDAVTNFDPSIYDNELINSGKTSENAGDHNLDLSLGNSNPNQNSAKPNADRQSGTSYQQHSLPLEATDWQCRGFRSMVELMKLAMDIVRKKQCSC >KJB48611 pep chromosome:Graimondii2_0_v6:8:14473122:14476323:-1 gene:B456_008G077800 transcript:KJB48611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPYHQPIDDESEGCCSSQKTSIDGDEDKGKRVGSVSNSSSSALVLEEGSEEEDGERGRGGLKKRSSKIFGFSVPHEEEEEEEEEESMESEAGPVTRQFFPVDQEQETGSSSGFPQAHWVGVRFCQSEPHAPEKSGEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSIQDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDRAAIKCNGKDAVTNFDPSIYDNELINSETSENAGDHNLDLSLGNSNPNQNSAKPNADRQSGTSYQQHSLPLEATDWQCRGFRSMESRRIDEAGHGYSEEETMQLLSQTHIHSPAVSVKCNEMQRYGQLRRPGGNSNIFHIVPQHINPSPYQIHIPRSSNGGRIGSDLSLSTSDQQWQSGPHHQLFGNSAASSGFPSQIRPSQTWLHQNGFHSLI >KJB48615 pep chromosome:Graimondii2_0_v6:8:14474190:14475846:-1 gene:B456_008G077800 transcript:KJB48615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPYHQPIDDESEGCCSSQKTSIDGDEDKGKRVGSVSNSSSSALVLEEGSEEEDGERGRGGLKKRSSKIFGFSVPHEEEEEEEEEESMESEAGPVTRQFFPVDQEQETGSSSGFPQAHWVGVRFCQSEPHAPEKSGEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSIQDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDRAAIKCNGKDAVTNFDPSIYDNELINSGSK >KJB48614 pep chromosome:Graimondii2_0_v6:8:14473227:14476189:-1 gene:B456_008G077800 transcript:KJB48614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPYHQPIDDESEGCCSSQKTSIDGDEDKGKRVGSVSNSSSSALVLEEGSEEEDGERGRGGLKKRSSKIFGFSVPHEEEEEEEEEESMESEAGPVTRQFFPVDQEQETGSSSGFPQAHWVGVRFCQSEPHAPEKSGEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSIQDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDRAAIKCNGKDAVTNFDPSIYDNELINSGKNAGDHNLDLSLGNSNPNQNSAKPNADRQSGTSYQQHSLPLEATDWQCRGFRSMESRRIDEAGHGYSEEETMQLLSQTHIHSPAVSVKCNEMQRYGQLRRPGGNSNIFHIVPQHINPSPYQIHIPRSSNGGRIGSDLSLSTSDQQWQSGPHHQLFGNSAASSGFPSQIRPSQTWLHQNGFHSLI >KJB48610 pep chromosome:Graimondii2_0_v6:8:14473375:14475846:-1 gene:B456_008G077800 transcript:KJB48610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPYHQPIDDESEGCCSSQKTSIDGDEDKGKRVGSVSNSSSSALVLEEGSEEEDGERGRGGLKKRSSKIFGFSVPHEEEEEEEEEESMESEAGPVTRQFFPVDQEQETGSSSGFPQAHWVGVRFCQSEPHAPEKSGEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSIQDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDRAAIKCNGKDAVTNFDPSIYDNELINSGKTSENAGDHNLDLSLGNSNPNQNSAKPNADRQSGTSYQQHSLPLEATDWQCRGFRSMQESRRIDEAGHGYSEEETMQLLSQTHIHSPAVSVKCNEMQRYGQLRRPGGNSNIFHIVPQHINPSPYQIHIPRSSNGGRIGSDLSLSTSDQQWQSGPHHQLFGNSAASSGFPSQIRPSQTWLHQNGFHSLI >KJB52593 pep chromosome:Graimondii2_0_v6:8:54820719:54825445:1 gene:B456_008G269500 transcript:KJB52593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MALYGFSMNPCLESVEVRHSNANLSTTLIKPRWSWFNRKSTCSFRELTKQLKEVRCSSFRKTVSPMESERNDLIVDTPVSGEEETGHVTRFKMSDFMILDRVSVGLAGRADEVISEAIVKNSASPLYNSRVVLRRLTSARAQRRGRRAIEVLNKLVGRRILYHSYSMQVYGYVSSRKGGSHSSFTLVHGYHGSFSLRHWLRQPDWLPTLEATLALDEESVRRVGDDSVGGPAVSRQLRLTRILLRDLLIGVNYLHCHGIAHTELRLENVHISPVDRHIKVGILGNAADFYEDGSNRSASDSNMDRRQMMIAFDMRCVGFMMAKMVLRELMDPVIFTKFKTFLMKGNDPSCLREFLLPILTRNSATGNIGLQILDRNWGAGWNLLSLLLAAKRSKRISCIDALRHPFLCGPRWRVVPSMDIIRWGLGSTAVRITEEYIYRTAQRDRLAHFIELMEMLNPHSRPKNWLELLPGKWRLLYSTGRHIGLTLRQPPLRVLIGDAYLTIARPSKSNTRLSVTSEIGFTAMMGHDWPHNKRGIKGKLQVNSSSSLKAGERLYLNDMAMEGFSLGQSNSEKSIIEKLSSRKWRKVIPFTELPSSLPVAKLLLDNIEVSMTLDETLKQGVDVAINIVQEIRTQIPPEMFELTNIVCGTYVDSRMLVLRGVNGSALIFTRSCVNEEL >KJB53240 pep chromosome:Graimondii2_0_v6:8:57043343:57045011:-1 gene:B456_008G298000 transcript:KJB53240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSFLFLLILLPLFFSNIPFSLSQSQLSPDYYRQSCPNLDNIIRDVVTTKQISNPTTAAGTLRLFFHDCMIGGCDASVLISSNSFNKAERDADINLSLPGDAFDVIVRAKTAVELSCPGIVSCADILALATRNAITMVGGPFYTVNLGRKDSLVSTQSSVEGHMPLANMTMDEIIKKFESKNFTVQELVALTGAHTLGFAHCKEFAYRLFRYSKTVPTDPAYNPKYAEALKKLCHNYEKNPAMSAFNDVMTPSKFDNLYYQNLEKGMGLLESDNALFQNPKTRPFVQLYAKNQTAFFNDFARAIEKLSLNGVKTGNQGEVRLKCDAFNSIHI >KJB51557 pep chromosome:Graimondii2_0_v6:8:50866449:50869198:-1 gene:B456_008G222600 transcript:KJB51557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYIFLLFCISFFLLFRRRSSAHSLPPGPINFPIFGSLHRLGSHPNQSLYELAKTYGPLMTLRLGYITTVIVSSAEFAKQVFQTHEHSFSDRTVPDCVASQPNPESTLAWALGDGRWRNRRRLCSTQLFTVQRLNSLQHLRHQKAQQLIQHINKQRASGSQVKIGEVAFATTLNLISTTIFSSDIVDPEFSTAQEFKDLVWRIMEDSAKPNLSDYFPILKRFDLQGIRKHIRPSYTRLHEIFDEMIDERMEVRASDSVSRNGDLLDVLLDQCQQDGSDFTRQNIKPLILFTNLILKLNIKQDLFIAGSDTSAITTEWAMAELLRKPGVLQKTRRELMEVIGTKRTVQESDLDKLPYLEAVVKETMRLHPAVPLLLPYKAKNDVEICGYTIPHNTQLLVNAWAIARDPKYWNHPFSFCPERFLDSSLDFRGRDFEYIPFGAGRRICPGLPLAVRMVHLILASMIHSFDWKLPHGIHPQDLDMQEQFGMTLKKAIPLCAIPI >KJB52296 pep chromosome:Graimondii2_0_v6:8:53744397:53748404:1 gene:B456_008G254400 transcript:KJB52296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLDDDGEQTVSINEYLESIEEEELEADLVLGGDEGDECTYSKGYMKRQAIFSCLTCTPEGNAGICTACCLSCHDGHEVLELWTKRNFRCDCGNSKFREFSCKLSPNKDVENVENSYNHNFKGLYCTCNRPYPDPDLQEQEEMIQCCMCEDWFHEEHISLGSPNEIPRDEEGEPLYEDFICKACSAVCSFLTLYPKTIRATGKQPVASVATGKDKSVLEDIPPKDEPGKLDNDVCSDTVQNNLVADMNHDSVSDDKKFVVGESSQNNDSSSLSQSIANSNGTCILGVDWMAASSDSENRPLFLSKNWRDVLCRCDKCLDMYKQKHISYLLDKEDSIADYEKNAKQKREEKLQKREGAELNFFNNLGHIEKMEILNGIADFKDEFRSFLGSVDPSKAITAADVNQIFENLKNKRRRV >KJB52298 pep chromosome:Graimondii2_0_v6:8:53744752:53748327:1 gene:B456_008G254400 transcript:KJB52298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLDDDGEQTVSINEYLESIEEEELEADLVLGGDEGDECTYSKGYMKRQAIFSCLTCTPEGNAGICTACCLSCHDGHEVLELWTKRNFRCDCGNSKFREFSCKLSPNKDVENVENSYNHNFKGLYCTCNRPYPDPDLQEQEEMIQCCMCEDWFHEEHISLGSPNEIPRDEEGEPLYEDFICKACSAVCSFLTLYPKTIRATGKQPVASVATGKDKSVLEDIPPKDEPGKLDNDVCSDTVQNNLVADMNHDSVSDDKKFVVGESSQNNDSSSLSQSIANSNGTCILGVDWMAASSDSENRPLFLSKNWRDVLCRCDKCLDMYKQKHISYLLDKEDSIADYEKNAKQKREEKLQKREGAELNFFNNLGHIEKMEILNGIADFKDEFRSFLGSVDPSKAITAADVNQIFENLKNKRRRV >KJB52297 pep chromosome:Graimondii2_0_v6:8:53744412:53748327:1 gene:B456_008G254400 transcript:KJB52297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLDDDGEQTVSINEYLESIEEEELADLVLGGDEGDECTYSKGYMKRQAIFSCLTCTPEGNAGICTACCLSCHDGHEVLELWTKRNFRCDCGNSKFREFSCKLSPNKDVENVENSYNHNFKGLYCTCNRPYPDPDLQEQEEMIQCCMCEDWFHEEHISLGSPNEIPRDEEGEPLYEDFICKACSAVCSFLTLYPKTIRATGKQPVASVATGKDKSVLEDIPPKDEPGKLDNDVCSDTVQNNLVADMNHDSVSDDKKFVVGESSQNNDSSSLSQSIANSNGTCILGVDWMAASSDSENRPLFLSKNWRDVLCRCDKCLDMYKQKHISYLLDKEDSIADYEKNAKQKREEKLQKREGAELNFFNNLGHIEKMEILNGIADFKDEFRSFLGSVDPSKAITAADVNQIFENLKNKRRRV >KJB52295 pep chromosome:Graimondii2_0_v6:8:53744407:53748430:1 gene:B456_008G254400 transcript:KJB52295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLDDDGEQTVSINEYLESIEEEELEADLVLGGDEGDECTYSKGYMKRQAIFSCLTCTPEGNAGICTACCLSCHDGHEVLELWTKRNFRCDCGNSKFREFSCKLSPNKDVENVENSYNHNFKGLYCTCNRPYPDPDLQEQEEMIQCCMCEDWFHEEHISLGSPNEIPRDEEGEPLYEDFICKACSAVCSFLTLYPKTIRATGKQPVASVATGKDKSVLEDIPPKDEPGKLDNDVCSDTVQNNLVADMNHDSVSDDKKFVVGESSQNNDSSSLSQSIANSNGTCILGVDWMAASSDSENRPLFLSKNWRDVLCRCDKCLDMYKQKHISYLLDKEDSIADYEKNAKQKREEKLQKREGAELNFFNNLGHIEKMEILNGIADFKDEFRSFLGSVDPSKAITAADVNQIFENLKNKRRRV >KJB50376 pep chromosome:Graimondii2_0_v6:8:43872526:43873368:1 gene:B456_008G167600 transcript:KJB50376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTVVLEPHSSLIKSIHFLSFPQFLLIFFFSPSSLKPNQHLAYAIMIGCFSSPKVAPNCCSPFPLSIALIRVLGEFPKSHKNTFNFRTSLMLT >KJB52494 pep chromosome:Graimondii2_0_v6:8:54410286:54412360:1 gene:B456_008G264900 transcript:KJB52494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISSLFSAISSFWSFITPDITMGSIGETQMTPTQVSDEEANSFAMQLASASVLPMVLKSAIELDLLEIMAKAGPGAFLSPKEVASKLPTTNPDAPVMLDRILRLLASYNVLTCSLRTLPDGKVERLYGLGPVCKFLTKNEDGVTLSALSLMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMTAFEYHGTDTRFNKVFNKGMSDHSTITMKKILDTYDGFQGLKTLVDVGGGTGATLSMIVSKYPTIKGINFDLPHVIEDAPSCPGMQLSILHKL >KJB52492 pep chromosome:Graimondii2_0_v6:8:54410070:54413154:1 gene:B456_008G264900 transcript:KJB52492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISSLFSAISSFWSFITPDITMGSIGETQMTPTQVSDEEANSFAMQLASASVLPMVLKSAIELDLLEIMAKAGPGAFLSPKEVASKLPTTNPDAPVMLDRILRLLASYNVLTCSLRTLPDGKVERLYGLGPVCKFLTKNEDGVTLSALSLMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMTAFEYHGTDTRFNKVFNKGMSDHSTITMKKILDTYDGFQGLKTLVDVGGGTGATLSMIVSKYPTIKGINFDLPHVIEDAPSCPGVEHVGGDMFVSVPKGDAIFMKWICHDWSDEHCAKFLKNCYEALPDNGKVIVAECILPDYPDPSLATKLVVHIDCVMLAHNPGGKERTAKEFKALATGAGFQGFQIKCSAFGTNIMEFLKSV >KJB52493 pep chromosome:Graimondii2_0_v6:8:54410286:54412360:1 gene:B456_008G264900 transcript:KJB52493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISSLFSAISSFWSFITPDITMGSIGETQMTPTQVSDEEANSFAMQLASASVLPMVLKSAIELDLLEIMAKAGPGAFLSPKEVASKLPTTNPDAPVMLDRILRLLASYNVLTCSLRTLPDGKVERLYGLGPVCKFLTKNEDGVTLSALSLMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMTAFEYHGTDTRFNKVFNKGMSDHSTITMKKILDTYDGFQGLKTLVDVGGGTGATLSMIVSKYPTIKGINFDLPHVIEDAPSCPGMQLSILHKL >KJB51845 pep chromosome:Graimondii2_0_v6:8:52036743:52038680:1 gene:B456_008G234000 transcript:KJB51845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRKKQPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWINEDSTYKYFEVILVDVAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKNRGLHGKGHLHHKNRPSRRATWKRNNTLSLRRYR >KJB51843 pep chromosome:Graimondii2_0_v6:8:52036680:52039709:1 gene:B456_008G234000 transcript:KJB51843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIIRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWINEDSTYKYFEVILVDVAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKNRGLHGKGHLHHKNRPSRRATWKRNNTLSLRRYR >KJB51844 pep chromosome:Graimondii2_0_v6:8:52036609:52038680:1 gene:B456_008G234000 transcript:KJB51844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIIRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWINEDSTYKYFEVILVDVAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKNRGLHGKGHLHHKNRPSRRATWKRNNTLSLRRYR >KJB51846 pep chromosome:Graimondii2_0_v6:8:52036806:52038680:1 gene:B456_008G234000 transcript:KJB51846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIIRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWINEDSTYKYFEVILVDVAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKNRGLHGKGHLHHKNRPSRRATWKRNNTLSLRRYR >KJB51842 pep chromosome:Graimondii2_0_v6:8:52036680:52039712:1 gene:B456_008G234000 transcript:KJB51842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIIRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWINEDSTYKYFEVILVDVAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKNRGLHGKGHLHHKNRPSRRATWKRNNTLSLRRYR >KJB49988 pep chromosome:Graimondii2_0_v6:8:40262855:40264437:1 gene:B456_008G148500 transcript:KJB49988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLFSTTKRLISCTSATAVATHSRSFSLIPMVIEHSSRGERAYDIFSRLLKERIVCINGPINDDTSHVVVAQLLFLESENPSKPINMYLNSPGGQVTAGLAIYDTMQYIKSPINTICLGQAASMASLLLAAGAKGERRSLPNATIMIHQPSGGYSGQAKDMTIHTKQIVRVWDSLNALYCKHTGQSIDVIQKNMDRDYFMTPEEAKEFGLIDEVIDQRPMALVTDAVANEPKDRKDSKDKGSN >KJB49989 pep chromosome:Graimondii2_0_v6:8:40262855:40265816:1 gene:B456_008G148500 transcript:KJB49989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLFSTTKRLISCTSATAVATHSRSFSLIPMVIEHSSRGERAYDIFSRLLKERIVCINGPINDDTSHVVVAQLLFLESENPSKPINMYLNSPGGQVTAGLAIYDTMQYIKSPINTICLGQAASMASLLLAAGAKGERRSLPNATIMIHQPSGGYSGQAKDMTIHTKQIVRVWDSLNALYCKHTGQSIDVIQKNMDRDYFMTPEEAKEFGLIDEVIDQRPMALVTDAVANEPKDRKDSKDKGSN >KJB49987 pep chromosome:Graimondii2_0_v6:8:40262855:40265816:1 gene:B456_008G148500 transcript:KJB49987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLFSTTKRLISCTSATAVATHSRSFSLIPMVIEHSSRGERAYDIFSRLLKERIVCINGPINDDTSHVVVAQLLFLESENPSKPINMYLNSPGGQVTAGLAIYDTMQYIKSPINTICLGQAASMASLLLAAGAKGERRSLPNATIMIHQPSGGYSGQAKDMTIHTKQIVRVWDSLNALYCKHTGQSIDVIQKNMDRDYFMTPEEAKEFGLIDEVIDQRPMALVTDAVANEPKDRKDSKDKGSN >KJB47873 pep chromosome:Graimondii2_0_v6:8:6139429:6143347:-1 gene:B456_008G045600 transcript:KJB47873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFATFNLSPSLRFVSNNKGFFDSQLSNSFLSSHLFLGTSFSVPTLSFSTILQTSCRPHINASPCSSTSFRCFAQKSPVDGLGSNGASESLVVLVIGGGGREHALCYALQRSPSCDAVFCAPGNAGISSSGNATCIPELDISDSSAVISFCRKWGVGLVVVGPEAPLVSGLANDLVKVGIPTFGPSAEAAALEGSKNFMKSICDKYGIPTAKYQTFSDASAAKQYIKEQGAPIVIKADGLAAGKGVIVAMTLEEAYEAVDSMLVEGIFGSAGCRVIVEEFLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTEELQSLVMESIILPTVKGMSAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMIRLESDLAKVLLAACRGELKGVSLNWSPGSAMVVVMASQGYPGSYEKGTVIQSLEEAENVAPCVKIFHAGTALDLDGNFVATGGRVLGVTAKGRDLKEARDRAYDAVEEIKWPGGFYRWDIGWRALPQNEFARNA >KJB47874 pep chromosome:Graimondii2_0_v6:8:6139431:6143077:-1 gene:B456_008G045600 transcript:KJB47874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFATFNLSPSLRFVSNNKGFFDSQLSNSFLSSHLFLGTSFSVPTLSFSTILQTSCRPHINASPCSSTSFRCFAQKSPVDGLGSNGASESLVVLVIGGGGREHALCYALQRSPSCDAVFCAPGNAGISSSGNATCIPELDISDSSAVISFCRKWGVGLVVVGPEAPLVSGLANDLVKVGIPTFGPSAEAAALEGSKNFMKSICDKYGIPTAKYQTFSDASAAKQYIKEQGAPIVIKADGLAAGKGVIVAMTLEEAYEAVDSMLVEGIFGSAGCRVIVEEFLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTEELQSLVMESIILPTVKGMSAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMIRLESDLAKVLLAACRGELKGVDCDSEPRRS >KJB47875 pep chromosome:Graimondii2_0_v6:8:6141113:6143077:-1 gene:B456_008G045600 transcript:KJB47875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFATFNLSPSLRFVSNNKGFFDSQLSNSFLSSHLFLGTSFSVPTLSFSTILQTSCRPHINASPCSSTSFRCFAQKSPVDGLGSNGASESLVVLVIGGGGREHALCYALQRSPSCDAVFCAPGNAGISSSGNATCIPELDISDSSAVISFCRKWGVGLVVVGPEAPLVSGLANDLVKVGIPTFGPSAEAAALEGSKNFMKSICDKYGIPTAKYQTFSDASAAKQYIKEQGAPIVIKADGLAAGKGVIVAMTLEEAYEAVDSMLVEGIFGSAGCRVIVEEFLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTEELQSLVMESIILPTVKGMSAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVSYRLLVNLYA >KJB47268 pep chromosome:Graimondii2_0_v6:8:2370421:2371523:1 gene:B456_008G020700 transcript:KJB47268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEALNSPTMPTPFTNKYDDVDTWKKGKRSKRLRTDSPTTPTTEEEHLALCLIMLARGSPQGAAHHHPHSSSSSAHPLHLNLSYKCSVCNKAFPSYQALGGHKASHRKPSTAQNPSITTETNAAGSSGRGRSHECSICHKSFPTGQALGGHKRCHYEGGNNNSSSYKSGSVSGVTLSDGGALSQSHRLNFDFDLNMPACVENEDGRFGQIYAEQEVESPLPTKKPHVLMVKE >KJB50457 pep chromosome:Graimondii2_0_v6:8:44669354:44670885:-1 gene:B456_008G171900 transcript:KJB50457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWNMIREPQQLAPIYLGYFTSNSIPYLTNRIFLSWDNEFTHLRISNQPDPTPQFENFALAPTTSLRRRNLHQIPTPKNISLDPSNPKRSCLNQNR >KJB47834 pep chromosome:Graimondii2_0_v6:8:5942909:5945638:1 gene:B456_008G044300 transcript:KJB47834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYKILKHQNPKPFILIPHQRSLFSALSSSTSDRSQSPSSEISPDVLVESARSSQWHFIKHLSPNLDSSLISTVLLNLHKTPELALQFTSHVEFHRLDIKTRCLAIAVASRLPSPKPTLNLLKRTVSSDIAGVAVVFDELALARERLGISTTLLFDLLIKACCEMKRVDEGLECFYMMKDKGFIPKIETCNALLSTFLKLNRTESAWVLYAEMFKMRIKSSVYTFNIMINVLCKEGKLKKAKDFIGFMENLGVNPNVVTYNTIIHAYCSRGRVEGARFVLNAMRSKGIEPDSYSYSSLISGLCKDKRLEEASEMFEKMKEIGLVPSAVTYNILIDGYCNEGDLGKAFRYRDEMVEQGISPTVSTYNMLVHALFMECKMDEADDLVKEMEEKGLSPDQITYSILINGYSRCGNVKKAFGFHDEMLTKGIQPTRITYTSLIYALSKRNRMTEADNLFEKIMKKGIAVDVIMFNALIDGHCANGNMERAFSLLKEMDKLNVPPDDVTYNTLMQGHCREGRVEEARELLDEMKRRGIKPDHVSYNTLISGYSRRGDMKDALRVRDEMLSTGFNPTLLTYNALIQGFCHNQEGDIAEELLKEMISKGITPDDSTYLSLIDGMGNIDQSVESCNSC >KJB50545 pep chromosome:Graimondii2_0_v6:8:45337752:45341509:1 gene:B456_008G177000 transcript:KJB50545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQGDFLPSDVPESTSSNNCERNTSSAHSNRWSGFPGVKKRGHSSRSWIKIDQDGNVKILELDKAKIMRQCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITAEEVILMNSLDINVVQYKSELCKRLQAKKDQSDDLPFEFRALELALELTCMSLDVKVKELEMEIYPVLDELASSINTLNLERVRRLKGHLLTLTQRVQKVRDELEHLMDDDGDMAEMYLTEKKLRSEGNTPHEINPLTNFSGGTRQVSKSAPVSPIASTSGAPRLQRAFSSIVTASKQGSLTSSHGGDNIEQLEMLLEAYFVVIDHTLSKLLSLKEYIDDTEDLINIKLGNVQNHLIQFELLLTAATFVATLFAAVAGVFGMNFAASIFDYPSAFSWVLIITGAGCGLLYFSFLLYFKYKKIFPL >KJB50535 pep chromosome:Graimondii2_0_v6:8:45338205:45340281:1 gene:B456_008G177000 transcript:KJB50535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQGDFLPSDVPESTSSNNCERNTSSAHSNRWSGFPGVKKRGHSSRSWIKIDQDGNVKILELDKAKIMRQCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITAEEVILMNSLDINVVQYKSELCKRLQAKKDQSDDLPFEFRALELALELTCMSLDVKVKELEMEIYPVLDELASSINTLNLERVRRLKGHLLTLTQRVQKVRDELEHLMDDDGDMAEMYLTEKKLSIDQWSSKIAKGI >KJB50539 pep chromosome:Graimondii2_0_v6:8:45337133:45341517:1 gene:B456_008G177000 transcript:KJB50539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQGDFLPSDVPESTSSNNCERNTSSAHSNRWSGFPGVKKRGHSSRSWIKIDQDGNVKILELDKAKIMRQCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITAEEVILMNSLDINVVQYKSELCKRLQAKKDQSDDLPFEFRALELALELTCMSLDVKVKELEMEIYPVLDELASSINTLNLERVRRLKGHLLTLTQRVQKVRDELEHLMDDDGDMAEMYLTEKKLSIDQWSSKIAKGI >KJB50536 pep chromosome:Graimondii2_0_v6:8:45336941:45341506:1 gene:B456_008G177000 transcript:KJB50536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQGDFLPSDVPESTSSNNCERNTSSAHSNRWSGFPGVKKRGHSSRSWIKIDQDGNVKILELDKAKIMRQCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITAEEVILMNSLDINVVQYKSELCKRLQAKKDQSDDLPFEFRALELALELTCMSLDVKVKELEMEIYPVLDELASSINTLNLERVRRLKGHLLTLTQRVQKVRDELEHLMDDDGDMAEMYLTEKKLSIDQWSSKIAKGI >KJB50542 pep chromosome:Graimondii2_0_v6:8:45336941:45341752:1 gene:B456_008G177000 transcript:KJB50542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQGDFLPSDVPESTSSNNCERNTSSAHSNRWSGFPGVKKRGHSSRSWIKIDQDGNVKILELDKAKIMRQCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITAEEVILMNSLDINVVQYKSELCKRLQAKKDQSDDLPFEFRALELALELTCMSLDVKVKELEMEIYPVLDELASSINTLNLERVRRLKGHLLTLTQRVQKVRDELEHLMDDDGDMAEMYLTEKKLSGAPRLQRAFSSIVTASKQGSLTSSHGGDNIEQLEMLLEAYFVVIDHTLSKLLSLKEYIDDTEDLINIKLGNVQNHLIQFELLLTAATFVATLFAAVAGVFGMNFAASIFDYPSAFSWVLIITGAGCGLLYFSFLLYFKYKKIFPL >KJB50543 pep chromosome:Graimondii2_0_v6:8:45337710:45341509:1 gene:B456_008G177000 transcript:KJB50543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQGDFLPSDVPESTSSNNCERNTSSAHSNRWSGFPGVKKRGHSSRSWIKIDQDGNVKILELDKAKIMRQCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITAEEVILMNSLDINVVQYKSELCKRLQAKKDQSDDLPFEFRALELALELTCMSLDVKVKELEMEIYPVLDELASSINTLNLERVRRLKGHLLTLTQRVQKVRDELEHLMDDDGDMAEMYLTEKKLRSEGNTPHEINPLTNFSGGTRQVSKSAPVSPIASTSGAPRLQRAFSSIVTASKQGSLTSSHGGDNIEQLEMLLEAYFVVIDHTLSKLLSLKEYIDDTEDLINIKLGNVQNHLIQFELLLTAATFVATLFAAVAGVFGMNFAASIFDYPSAFSWVLIITGAGCGLLYFSFLLYFKYKKIFPL >KJB50544 pep chromosome:Graimondii2_0_v6:8:45337299:45341509:1 gene:B456_008G177000 transcript:KJB50544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQGDFLPSDVPESTSSNNCERNTSSAHSNRWSGFPGVKKRGHSSRSWIKIDQDGNVKILELDKAKIMRQCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITAEEVILMNSLDINVVQYKSELCKRLQAKKDQSDDLPFEFRALELALELTCMSLDVKVKELEMEIYPVLDELASSINTLNLERVRRLKGHLLTLTQRVQKVRDELEHLMDDDGDMAEMYLTEKKLRSEGNTPHEINPLTNFSGGTRQVSKSAPVSPIASTSGAPRLQRAFSSIVTASKQGSLTSSHGGDNIEQLEMLLEAYFVVIDHTLSKLLSLKEYIDDTEDLINIKLGNVQNHLIQFELLLTAATFVATLFAAVAGVFGMNFAASIFDYPSAFSWVLIITGAGCGLLYFSFLLYFKYKKIFPL >KJB50541 pep chromosome:Graimondii2_0_v6:8:45337371:45341509:1 gene:B456_008G177000 transcript:KJB50541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQGDFLPSDVPESTSSNNCERNTSSAHSNRWSGFPGVKKRGHSSRSWIKIDQDGNVKILELDKAKIMRQCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITAEEVILMNSLDINVVQYKSELCKRLQAKKDQSDDLPFEFRALELALELTCMSLDVKVKELEMEIYPVLDELASSINTLNLERVRRLKGHLLTLTQRVQKVRDELEHLMDDDGDMAEMYLTEKKLRSEGNTPHEINPLTNFSGGTRQVSKSAPVSPIASTSGAPRLQRAFSSIVTASKQGSLTSSHGGDNIEQLEMLLEAYFVVIDHTLSKLLSLKEYIDDTEDLINIKLGNVQNHLIQFELLLTAATFVATLFAAVAGVFGMNFAASIFDYPSAFSWVLIITGAGCGLLYFSFLLYFKYKKIFPL >KJB50540 pep chromosome:Graimondii2_0_v6:8:45337299:45340857:1 gene:B456_008G177000 transcript:KJB50540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQGDFLPSDVPESTSSNNCERNTSSAHSNRWSGFPGVKKRGHSSRSWIKIDQDGNVKILELDKAKIMRQCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITAEEVILMNSLDINVVQYKSELCKRLQAKKDQSDDLPFEFRALELALELTCMSLDVKVKELEMEIYPVLDELASSINTLNLERVRRLKGHLLTLTQRVQKVRDELEHLMDDDGDMAEMYLTEKKLRSEGNTPHEINPLTNFSGGTRQVSKSAPVSPIASTSGAPRLQRAFSSIVTASKQGSLTSSHGGDNIEQLEMLLEAYFVVIDHTLSKLLSLKEYIDDTEDLINIKLVRID >KJB50537 pep chromosome:Graimondii2_0_v6:8:45337091:45341509:1 gene:B456_008G177000 transcript:KJB50537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQGDFLPSDVPESTSSNNCERNTSSAHSNRWSGFPGVKKRGHSSRSWIKIDQDGNVKILELDKAKIMRQCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITAEEVILMNSLDINVVQYKSELCKRLQAKKDQSDDLPFEFRALELALELTCMSLDVKVKELEMEIYPVLDELASSINTLNLERVRRLKGHLLTLTQRVQKVRDELEHLMDDDGDMAEMYLTEKKLRSEGNTPHEINPLTNFSGGTRQVSKSAPVSPIASTSGAPRLQRAFSSIVTASKQGSLTSSHGGDNIEQLEMLLEAYFVVIDHTLSKLLSLKEYIDDTEDLINIKLGNVQNHLIQFELLLTAATFVATLFAAVAGVFGMNFAASIFDYPSAFSWVLIITGAGCGLLYFSFLLYFKYKKIFPL >KJB50538 pep chromosome:Graimondii2_0_v6:8:45337299:45340857:1 gene:B456_008G177000 transcript:KJB50538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQGDFLPSDVPESTSSNNCERNTSSAHSNRWSGFPGVKKRGHSSRSWIKIDQDGNVKILELDKAKIMRQCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITAEEVILMNSLDINVVQYKSELCKRLQAKKDQSDDLPFEFRALELALELTCMSLDVKVKELEMEIYPVLDELASSINTLNLERVRRLKGHLLTLTQRVQKVRDELEHLMDDDGDMAEMYLTEKKLSIDQWSSKIAKGI >KJB50112 pep chromosome:Graimondii2_0_v6:8:41313189:41314311:1 gene:B456_008G154300 transcript:KJB50112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLTDNLGGNKGMKRSRCSSAKVERKIIEKNRRNHMKNLYSMLNSLLPHQNSKEPLSLPDQVDEAVKYIKRLQTKLKESRERKESLMGRTRSYRCTHSNDSTKPAEIRINENGSAMEVALMTGPGSQYMFYEMIRIFHEDGAHVLSYNFWVVGNTIFHIVHAEIGEFGVAKIIKEKLNKFVNEDRCREEELEQELYWDYEIPPETWNFHVM >KJB48630 pep chromosome:Graimondii2_0_v6:8:14960288:14960805:-1 gene:B456_008G078500 transcript:KJB48630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNMDNGPKKVKLESETQNAKCEVESYYSSAGKGQEIKNAQLIHQDSQNIPKTSGGVLTAAVAGVALTLESAKEAISQNH >KJB50333 pep chromosome:Graimondii2_0_v6:8:43300463:43303342:1 gene:B456_008G164400 transcript:KJB50333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVASFKHAPIKGILSLQRAALLRACGERWKLGTRSFSTQGATTADAPQAPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERKNLERARKEAYEAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLEASA >KJB50332 pep chromosome:Graimondii2_0_v6:8:43298638:43303342:1 gene:B456_008G164400 transcript:KJB50332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIKGILSLQRAALLRACGERWKLGTRSFSTQGATTADAPQAPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERKNLERARKEAYEAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLEASA >KJB49097 pep chromosome:Graimondii2_0_v6:8:29740674:29741524:-1 gene:B456_008G1006002 transcript:KJB49097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVQDYLKTLCPDLHITRGEYDEDTRYPETKTLTIGQFKLGLCHAMAISADCYLWLHTVYVPFQVERKVTWYVHLSYLHFLQVD >KJB49095 pep chromosome:Graimondii2_0_v6:8:29741090:29741524:-1 gene:B456_008G1006002 transcript:KJB49095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVQDYLKTLCPDLHITRGEYDEDTRYPETKTLTIGQFKLGLCHAMAIRQRSCSLFLKLLKDARRIYSFMHL >KJB49094 pep chromosome:Graimondii2_0_v6:8:29741090:29741524:-1 gene:B456_008G1006002 transcript:KJB49094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVQDYLKTLCPDLHITRGEYDEDTRYPETKTLTIGQFKLGLCHAMAIRQRSCSLFLKLLKDARRIYSFMHL >KJB49098 pep chromosome:Graimondii2_0_v6:8:29741090:29741524:-1 gene:B456_008G1006002 transcript:KJB49098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVQDYLKTLCPDLHITRGEYDEDTRYPETKTLTIGQFKLGLCHAMAIRQRSCSLFLKLLKDARRIYSFMHL >KJB49096 pep chromosome:Graimondii2_0_v6:8:29740282:29741524:-1 gene:B456_008G1006002 transcript:KJB49096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVQDYLKTLCPDLHITRGEYDEDTRYPETKTLTIGQFKLGLCHAMAISADCYLWLHTVYVPFQVERKVTWYVHLSYLHFLQVD >KJB52668 pep chromosome:Graimondii2_0_v6:8:55181690:55184016:1 gene:B456_008G272800 transcript:KJB52668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISPSLSNPSSFTANDNHLWELQYTIFFGRSNNSSRTKTQWSGKSVNKDYTFWEENVITRTDIDWKETFKRAYGGTYSKKLMSSRGYCGYCDMIVWLKNLKCSNRQCDPKHENAQIKPISPHQIVDYLMDGYTSVISSSDSDSESDEEPVSRLWAYPKDIRRIEKKPLV >KJB52666 pep chromosome:Graimondii2_0_v6:8:55181712:55183552:1 gene:B456_008G272800 transcript:KJB52666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRTERYQKLCLEESLSRIYQYPIACKELSFILRGAYANLPKPLQSLVFQHTLTAIRLLPEMQTGSAVAAAHFLLQSAEAAFPKQKKSLVVTEFKQAKVAHKRRSKAHREEKGPSQLPQDVLVHIFCLLDLQSLISAGLVCRSWTLAANDNHLWELQYTIFFGRSNNSSRTKTQWSGKSVNKDYTFWEENVITRTDIDWKETFKRAYGGDIAGIAT >KJB52665 pep chromosome:Graimondii2_0_v6:8:55181608:55184016:1 gene:B456_008G272800 transcript:KJB52665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRTERYQKLCLEESLSRIYQYPIACKELSFILRGAYANLPKPLQSLVFQHTLTAIRLLPEMQTGSAVAAAHFLLQSAEAAFPKQKKSLVVTEFKQAKVAHKRRSKAHREEKGPSQLPQDVLVHIFCLLDLQSLISAGLVCRSNNSSRTKTQWSGKSVNKDYTFWEENVITRTDIDWKETFKRAYGGTYSKKLMSSRGYCGYCDMIVWLKNLKCSNRQCDPKHENAQIKPISPHQIVDYLMDGYTSVISSSDSDSESDEEPVSRLWAYPKDIRRIEKKPLV >KJB52667 pep chromosome:Graimondii2_0_v6:8:55181608:55184161:1 gene:B456_008G272800 transcript:KJB52667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRTERYQKLCLEESLSRIYQYPIACKELSFILRGAYANLPKPLQSLVFQHTLTAIRLLPEMQTGSAVAAAHFLLQSAEAAFPKQKKSLVVTEFKQAKVAHKRRSKAHREEKGPSQLPQDVLVHIFCLLDLQSLISAGLVCRSWTLAANDNHLWELQYTIFFGRSNNSSRTKTQWSGKSVNKDYTFWEENVITRTDIDWKETFKRAYGGTYSKKLMSSRGYCGYCDMIVWLKNLKCSNRQCDPKHENAQIKPISPHQIVDYLMDGYTSVISSSDSDSESDEEPVSRLWAYPKDIRRIEKKPLV >KJB52669 pep chromosome:Graimondii2_0_v6:8:55182193:55184016:1 gene:B456_008G272800 transcript:KJB52669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTGSAVAAAHFLLQSAEAAFPKQKKSLVVTEFKQAKVAHKRRSKAHREEKGPSQLPQDVLVHIFCLLDLQSLISAGLVCRSWTLAANDNHLWELQYTIFFGRSNNSSRTKTQWSGKSVNKDYTFWEENVITRTDIDWKETFKRAYGGTYSKKLMSSRGYCGYCDMIVWLKNLKCSNRQCDPKHENAQIKPISPHQIVDYLMDGYTSVISSSDSDSESDEEPVSRLWAYPKDIRRIEKKPLV >KJB52663 pep chromosome:Graimondii2_0_v6:8:55181608:55184016:1 gene:B456_008G272800 transcript:KJB52663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRTERYQKLCLEESLSRIYQYPIACKELSFILRGAYANLPKPLQSLVFQHTLTAIRLLPEMQTGSAVAAAHFLLQSAEAAFPKQKKSLVVTEFKQAKVAHKRRSKAHREEKGPSQLPQDVLVHIFCLLDLQSLISAGLVCRGYCGYCDMIVWLKNLKCSNRQCDPKHENAQIKPISPHQIVDYLMDGYTSVISSSDSDSESDEEPVSRLWAYPKDIRRIEKKPLV >KJB52664 pep chromosome:Graimondii2_0_v6:8:55181712:55183516:1 gene:B456_008G272800 transcript:KJB52664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRTERYQKLCLEESLSRIYQYPIACKELSFILRGAYANLPKPLQSLVFQHTLTAIRLLPEMQTGSAVAAAHFLLQSAEAAFPKQKKSLVVTEFKQAKVAHKRRSKAHREEKGPSQLPQDVLVHIFCLLDLQSLISAGLVCRSWTLAANDNHLWELQYTIFFGRSNNSSRTKTQWSGKSVNKDYTFWEENVITRTDIDWKETFKRAYGGVRYWGFHFIMFISGKLSPALNISLANASSFLIFAICLKCQALIQRN >KJB52662 pep chromosome:Graimondii2_0_v6:8:55181608:55184016:1 gene:B456_008G272800 transcript:KJB52662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRTERYQKLCLEESLSRIYQYPIACKELSFILRGAYANLPKPLQSLVFQHTLTAIRLLPEMQTGSAVAAAHFLLQSAEAAFPKQKKSLVVTEFKQAKVAHKRRSKAHREEKGPSQLPQDVLVHIFCLLDLQSLISAGLVCRHLFKEINVQ >KJB51662 pep chromosome:Graimondii2_0_v6:8:51377064:51380841:1 gene:B456_008G227600 transcript:KJB51662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLLQQVRPHLSKGDAMWCLLMSDLHVGRASTMEIPSLPSPNGCSPVSNTLESVDNNGVGVVSPALCRFHGGWGFGNGGDFAVNGLFSCGAEMTLQRDIECPKRFNLSPSMKSLLKKNVAIFAASFRANSKQMQTQNQACVGTLSSGDAPLAVAGGEVSAEKSEESQNLMSQDGVNSVLSKFRDLNIDENLERAGEDQKSEMIISLLHQIKDLEKQVKERKDWAHQKAMQAARKLSSDLTELKMLRMEREEMQRMKKGKHTIEDSTMKRLSEMENALRKASGQVDRANAAVRRLETENAEIRAEMEASKLSASESVTTCLEVAKREKKCLKKLLAWEKQKAKLQDEIADEKDKIKDLQRCLARVEQDQKETELKWREELKSKELALAQVEEERCSKEAAEASNKRKLEALRLKIEIDFQRHRDDHQRLEQELSRLKLSSQSTELNHQSDNLLTGKSEGTKPQGETIAKLLNELDKLEDSSEKEVNGDRECIICSKDEVSIVFLPCAHQVLCANCNDSYGKKGKATCPCCQVPIEQRIRVFGASS >KJB51664 pep chromosome:Graimondii2_0_v6:8:51377061:51380841:1 gene:B456_008G227600 transcript:KJB51664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTRDKHIRSARRTRSVKHETDPCCLLDKASISKSILESGIKPLSYHLGVNDSTQCPNLNPNNNANANFNDHGWGYCTEEELEEILLKNLEFLYNEAITKLISLGYDEDVALKAILRNGHCYGGMDVLTNILHNSLAYLNSSCDNSNGSNSEESESGFPDLRQLEEYSLAGMICLLQQVRPHLSKGDAMWCLLMSDLHVGRASTMEIPSLPSPNGCSPVSNTLESVDNNGVGVVSPALCRFHGGWGFGNGGDFAVNGLFSCGAEMTLQRDIECPKRFNLSPSMKSLLKKNVAIFAASFRANSKQMQTQNQACVGTLSSGDAPLAVAGGEVSAEKSEESQNLMSQDGVNSVLSKFRDLNIDENLERAGEDQKSEMIISLLHQIKDLEKQVKERKDWAHQKAMQAARKLSSDLTELKMLRMEREEMQRMKKGKHTIEDSTMKRLSEMENALRKASGQVDRANAAVRRLETENAEIRAEMEASKLSASESVTTCLEVAKREKKCLKKLLAWEKQKAKLQDEIADEKDKIKDLQRCLARVEQDQKETELKWREELKSKELALAQVEEERCSKEAAEASNKRKLEALRLKIEIDFQRHRDDHQRLEQELSRLKLSSQSTELNHQSDNLLTGKSEGTKPQGETIAKLLNELDKLEDSSEKEVNGDRECIICSKDEVSIVFLPCAHQVLCANCNDSYGKKGKATCPCCQVPIEQRIRVFGASS >KJB51661 pep chromosome:Graimondii2_0_v6:8:51377164:51380841:1 gene:B456_008G227600 transcript:KJB51661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTRDKHIRSARRTRSVKHETDPCCLLDKASISKSILESGIKPLSYHLGVNDSTQCPNLNPNNNANANFNDHGWGYCTEEELEEILLKNLEFLYNEAITKLISLGYDEDVALKAILRNGHCYGGMDVLTNILHNSLAYLNSSCDNSNGSNSEESESGFPDLRQLEEYSLAGMICLLQQVRPHLSKGDAMWCLLMSDLHVGRASTMEIPSLPSPNGCSPVSNTLESVDNNGVGVVSPALCRFHGGWGFGNGGDFAVNGLFSCGAEMTLQRDIECPKRFNLSPSMKSLLKKNVAIFAASFRANSKQMQTQNQACVGTLSSGDAPLAVAGGEVSAEKSEESQNLMSQDGVNSVLSKFRDLNIDENLERAGEDQKSEMIISLLHQIKDLEKQVKERKDWAHQKAMQAARKLSSDLTELKMLRMEREEMQRMKKGKHTIEDSTMKRLSEMENALRKASGQVDRANAAVRRLETENAEIRAEMEASKLSASESVTTCLEVAKREKKCLKKLLAWEKQKAKLQDEIADEKDKIKDLQRCLARVEQDQKETELKWREELKSKELALAQVEEERCSKEAAEASNKRKLEALRLKIEIDFQRHRDDHQRLEQELSRLKLSSQSTELNHQSDNLLTGKSEGTKPQGETIAKLLNELDKLEDSSEKEVNGDRECIICSKDEVSIVFLPCAHQVLCANCNDSYGKKGKATCPCCQVPIEQRIRVFGASS >KJB51663 pep chromosome:Graimondii2_0_v6:8:51377130:51380841:1 gene:B456_008G227600 transcript:KJB51663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPSLPSPNGCSPVSNTLESVDNNGVGVVSPALCRFHGGWGFGNGGDFAVNGLFSCGAEMTLQRDIECPKRFNLSPSMKSLLKKNVAIFAASFRANSKQMQTQNQACVGTLSSGDAPLAVAGGEVSAEKSEESQNLMSQDGVNSVLSKFRDLNIDENLERAGEDQKSEMIISLLHQIKDLEKQVKERKDWAHQKAMQAARKLSSDLTELKMLRMEREEMQRMKKGKHTIEDSTMKRLSEMENALRKASGQVDRANAAVRRLETENAEIRAEMEASKLSASESVTTCLEVAKREKKCLKKLLAWEKQKAKLQDEIADEKDKIKDLQRCLARVEQDQKETELKWREELKSKELALAQVEEERCSKEAAEASNKRKLEALRLKIEIDFQRHRDDHQRLEQELSRLKLSSQSTELNHQSDNLLTGKSEGTKPQGETIAKLLNELDKLEDSSEKEVNGDRECIICSKDEVSIVFLPCAHQVLCANCNDSYGKKGKATCPCCQVPIEQRIRVFGASS >KJB48525 pep chromosome:Graimondii2_0_v6:8:14811185:14812821:1 gene:B456_008G078100 transcript:KJB48525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSNSNTKLILLHPYIQKQGSSNRLWLLAFVSFFTIAFLLTLIYTRESITTKTTAPSTTVTGPAGSAIGGPPLPTTVVNTLLHYSSKSNDSYHMTYSELKPISDVLRKCSSPCNFLVFGLTQETLLWKSLNHNGRTVFIDENRYYAAYYEELHPEIDAYDVQYTTKISETRELIASAKEQIRNECRPVQNLLFSECKLGINDLPNHVYEVDWDLILIDGPRGDGPDGPGRMQPIFTSGVLARSKKGGNPKTHIFVHDYYRDVEKMSGDDFLCKENLVEYNDTLAHFVIERMEENSFQYCRNNNNNITSTKASSSS >KJB52281 pep chromosome:Graimondii2_0_v6:8:53707136:53708866:-1 gene:B456_008G253900 transcript:KJB52281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEINHPFGFNDGADIGNSVSQLILSGGSNTLDSIFSRCEPSIAAVGTNSVYEPLGSSVYLRQRDLLLKFCQGNASFSRNSVADQLRNSQNTASTSNCVSPQKTKLYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYETPETAAYAYDRAAYKLRGEYARLNFPNLKDPAKSGLGDCARLNALKNAVDAKIQAICQKVKRERAKKNAKKGNSDRPAASPEAKNDVKVIEPCTSSSSLAFSDNLSNGLLSPPRVSEDGFRRCMNSPSSVSNDSTMMMAGEPNFEDCSLARMPSFDADLLWETLAN >KJB49205 pep chromosome:Graimondii2_0_v6:8:33500938:33502350:-1 gene:B456_008G106600 transcript:KJB49205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIVDQQSNFKHFCKICKKGFGCGRALGGHMRAHGIGDENSHIDDDDPASDWEDKLGGNVPPTNKRMYALRTNPNRLKSCRVCENCGKEFLSWKSFLEHSKCSSEDCAESLVSSPGSDDDDDEGVAARRGCGWSKRKRSLRSNVGNNFNSICPSSEEEDLANCLMMLSNATVDPFVTELEESCASASKDEERRNTLNFIAPIACKIPMDNKAKGVAKGLFECKACKKVFNSHQALGGHRASHKKVKGCFARLDHIDDSQVDEDLDVITHEEFFPTKSRSTTLQFDPGTSTNPLASTSKRKSKVHECSICHRVFSSGQALGGHKRCHWITSNSPDTSSLVKFHQVEDHIEQIQQQRPKLVDKPEPLDLNLDLNLPAPTDDNVRRNREIYLQVDNENNDTNNNNNYNDSLQNADDEADSKAKLAKQNADDEADSKAKLAKLSELKDINTTGASSPWLQVGIGSTANVGSDP >KJB49056 pep chromosome:Graimondii2_0_v6:8:28861423:28864413:-1 gene:B456_008G0998001 transcript:KJB49056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFYRWRNLFLLKSSLVPAFLPAKQTATVATTHAVSFHSTRFTCEKWKSKWNFDERSTRQPTKSYVRYAMRQKRAETKRALRNLLFNSDASTISFQDDDPIWKFDRTEGWDSDGSVKKWQSRFSGQHTRKSNHKK >KJB49055 pep chromosome:Graimondii2_0_v6:8:28861423:28864724:-1 gene:B456_008G0998001 transcript:KJB49055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKRAETKRALRNLLFNSDASTISFQDDDPIWKFDRTEGWDSDGSVKKWQSRFSGQHTRKSNHKK >KJB49238 pep chromosome:Graimondii2_0_v6:8:33834707:33836594:-1 gene:B456_008G108300 transcript:KJB49238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSNLQVKKMSELSNGYNIVGLSQGNLIGRGVIEFCDGGPPVKNFISLGGPHAGTASIPFCGSAVICILLDSLIKFGIYSDYLQEHLAPSGYLKIPTDMSDYLKGCRFLPELNNEINGMRNSTYKERLASLQNLVLIMFEDDTVLIPKETAWFGYFPDGAFEPVLPVQETKLYKEDWIGLKTLDEAGKVKFVNVSGNHLQISTSDMKKFMVPYLEDQTLAPTRQTPTEASSYQWISKVGHFFKDLIGLSEDQPLLHTVY >KJB49242 pep chromosome:Graimondii2_0_v6:8:33834850:33837820:-1 gene:B456_008G108300 transcript:KJB49242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQSTTLLFIFFITLILIPTRHAIPFIVLHGIGDKCSNRGISEFTEVLSDWSKSQGYCVEIGDGSWDSWTMPLSEQTSIVCEKVVKKMSELSNGYNIVGLSQGNLIGRGVIEFCDGGPPVKNFISLGGPHAGTASIPFCGSAVICILLDSLIKFGIYSDYLQEHLAPSGYLKIPTDMSDYLKGCRFLPELNNEINGMRNSTYKERLASLQNLVLIMFEDDTVLIPKETAWFGYFPDGAFEPVLPVQETKLYKEDWIGLKTLDEAGKVKFVNVSGNHLQISTSDMKKFMVPYLEDQTLAPTRQTPTEASSYQWISKVGHFFKDLIGLSEDQPLLHTVY >KJB49239 pep chromosome:Graimondii2_0_v6:8:33834707:33837999:-1 gene:B456_008G108300 transcript:KJB49239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQSTTLLFIFFITLILIPTRHAIPFIVLHGIGDKCSNRGISEFTEVLSDWSKSQGYCVEIGDGSWDSWTMPLSEQVKKMSELSNGYNIVGLSQGNLIGRGVIEFCDGGPPVKNFISLGGPHAGTASIPFCGSAVICILLDSLIKFGIYSDYLQEHLAPSGYLKIPTDMSDYLKGCRFLPELNNEINGMRNSTYKERLASLQNLVLIMFEDDTVLIPKETAWFGYFPDGAFEPVLPVQETKLYKEDWIGLKTLDEAGKVKFVNVSGNHLQISTSDMKKFMVPYLEDQTLAPTRQTPTEASSYQWISKVGHFFKDLIGLSEDQPLLHTVY >KJB49243 pep chromosome:Graimondii2_0_v6:8:33835183:33837820:-1 gene:B456_008G108300 transcript:KJB49243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQSTTLLFIFFITLILIPTRHAIPFIVLHGIGDKCSNRGISEFTEVLSDWSKSQGYCVEIGDGSWDSWTMPLSEQTSIVCEKVKKMSELSNGYNIVGLSQGNLIGRGVIEFCDGGPPVKNFISLGGPHAGTASIPFCGSAVICILLDSLIKFGIYSDYLQEHLAPSGYLKIPTDMSDYLKGCRFLPELNNEINGMRNSTYKERLASLQNLVLIMFEDDTVLIPKETAWFGYFPDGAFEPVLPVQEVNIYVTVI >KJB49240 pep chromosome:Graimondii2_0_v6:8:33834707:33837999:-1 gene:B456_008G108300 transcript:KJB49240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQSTTLLFIFFITLILIPTRHAIPFIVLHGIGDKCSNRGISEFTEVLSDWSKSQGYCVEIGDGSWDSWTMPLSEQTSIVCEKVKKMSELSNGYNIVGLSQGNLIGRGVIEFCDGGPPNFISLGGPHAGTASIPFCGSAVICILLDSLIKFGIYSDYLQEHLAPSGYLKIPTDMSDYLKGCRFLPELNNEINGMRNSTYKERLASLQNLVLIMFEDDTVLIPKETAWFGYFPDGAFEPVLPVQETKLYKEDWIGLKTLDEAGKVKFVNVSGNHLQISTSDMKKFMVPYLEDQTLAPTRQTPTEASSYQWISKVGHFFKDLIGLSEDQPLLHTVY >KJB49245 pep chromosome:Graimondii2_0_v6:8:33835340:33837999:-1 gene:B456_008G108300 transcript:KJB49245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQSTTLLFIFFITLILIPTRHAIPFIVLHGIGDKCSNRGISEFTEVLSDWSKSQGYCVEIGDGSWDSWTMPLSEQTSIVCEKVKKMSELSNGYNIVGLSQGNLIGRGVIEFCDGGPPVKNFISLGGPHAGTASIPFCGSAVICILLDSLIKFGIYSDYLQEHLAPSGYLKIPTDMSDYLKGCRFLPELNNEINGMRNSTYKERLASLQNLVLIMVS >KJB49244 pep chromosome:Graimondii2_0_v6:8:33834707:33838087:-1 gene:B456_008G108300 transcript:KJB49244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQSTTLLFIFFITLILIPTRHAIPFIVLHGIGDKCSNRGISEFTEVLSDWSKSQGYCVEIGDGSWDSWTMPLSEQTSIVCEKVKKMSELSNGYNIVGLSQGNLIGRGVIEFCDGGPPVKNFISLGGPHAGTASIPFCGSAVICILLDSLIKFGIYSDYLQEHLAPSGYLKIPTDMSDYLKGCRFLPELNNEINGMRNSTYKERLASLQNLVLIMFEDDTVLIPKETAWFGYFPDGAFEPVLPVQETKLYKEDWIGLKTLDEAGKVKFVNVSGNHLQISTSDMKKFMVPYLEDQTLAPTRQTPTEASSYQWISKVGHFFKDLIGLSEDQPLLHTVY >KJB49241 pep chromosome:Graimondii2_0_v6:8:33834707:33837999:-1 gene:B456_008G108300 transcript:KJB49241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQSTTLLFIFFITLILIPTRHAIPFIVLHGIGDKCSNRGISEFTEVLSDWSKSQGYCVEIGDGSWDSWTMPLSEQTSIVCEKVKKMSELSNGYNIVGLSQGNLIGRGVIEFCDGGPPVKNFISLGGPHAGTASIPFCGSAVICILLDSLIKFGIYSDYLQEHLAPSGYLKIPTDMSDYLKGCRFLPELNNEINGMRNSTYKERLASLQNLVLIMFEDDTVLIPKETAWFGYFPDGAFEPVLPVQEVNIYLYKEDWIGLKTLDEAGKVKFVNVSGNHLQISTSDMKKFMVPYLEDQTLAPTRQTPTEASSYQWISKVGHFFKDLIGLSEDQPLLHTVY >KJB46851 pep chromosome:Graimondii2_0_v6:8:48911481:48912379:1 gene:B456_008G203500 transcript:KJB46851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLMHKLFKNKSTQRFILSLKTPQHQHRLSKLSPSLISQQTHHFSLIQRENSLENDKSTEPLKLYPSFPFGYLLNPVSSIGFDPMAIMEVEEGEAETEDDNAGKVWGRRR >KJB50713 pep chromosome:Graimondii2_0_v6:8:46147308:46147706:-1 gene:B456_008G183400 transcript:KJB50713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEFDLALIGAQLQLCFSSSTTTVCARLFITALLAVSCMILCFYRQRLNIVFSTVSMRLRPKKSCSGVESFGGFHIKQRFFYLFLFLRGAHT >KJB51540 pep chromosome:Graimondii2_0_v6:8:50800587:50803410:-1 gene:B456_008G221400 transcript:KJB51540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPIPPEVQKVWDKWNIRGTILFSLSLQTFLVLLAPYRKSTRKRILIMLIWSAYLLADAAANFTVGLISNNQGSGSKKSGSSTSKSSSHHSNDALLAFWAPFLLLHLGGPDTITAFALEDNELWLRHLLGLGFQAVATLYVFAQSLPKNRFWVPTVLMFVAGTIKYVERTRALYLASLDRFRDSMLKEADPGPNYAKLMEEYASKRDNKLPTRIIMIPEPDKEARATDMPVKEGKLNNLEVVHYAYKYFLIFKGLVVDLIFSFRERNESREFFKKRKPIDALRVIEVELNFIYEVLYTKVQVVHSAWGYIFRCVAFASIVAALSIFHFRTRKHDLNQFDVGITYTLLLGAVVLDLIALLMLIFSDWTFATIKDPDSNPGILAWLFSSFLRFRMPWWRSCDCTTPLKPEEKPIERINHKVLSTPILFRRWSGSLSSFNLISYCLQSHTRRIHKFTRWPLVIAKDISTFLRIDACFDFAVDLIKKFTNAITGLLSCVIIVLDFINNKVSTAITYVVDKLNHITGLHHVIKKTSILCGHVRTFIMGSMGLKDFIDEILYVSREPFTKELWEFIFDELKTKANHADDPETAKRISAARGEWIIVDSDTKVDRSSLMPYITDVQYDESIILWHIATDLCYYTSNGKKLDKESFNYREFSKLLSDYMLYLLIWQPTMMSAVGGIGKIRFRDTYAEADRFFASRGLPSLVEKLKGSGSVEAKACEAILSVTTNVKPVAVKGDRSKSVLFDASMLAQELNRLEGEGLDKWKLMSRVWVELMSYAASHCRANTHAQQVSKGGELITFIWLLMAHFGLGEQFQINEGHARAKLIVGK >KJB50700 pep chromosome:Graimondii2_0_v6:8:46093744:46098601:-1 gene:B456_008G182900 transcript:KJB50700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSYVPKSVTSLERLIVQDPFPEYLTVENHGQSNGLLGKNAGAACDKNASVIASHTDISEEDGWIIIPNKDLPDDWDCAPNMRSLRSLDRSFVFPGEQVHVLVCLSACNQETEIITPFKVAEVMSKNGMRKGTEKQNGDMEGETSSVAGGEEVSPNGAVISQNDENLEKEKIDPATDASDSESFLRMEEQRRQTETLLKRFNNSHFFVRIAEWDEPLWSKKGASQIASDSYESDSQQSIANEAKNTTKNISSWTAVIDRGNFDANVSSGVARDTVNCCSLSNGDIVVLLQVNVGVGFFRDPVIEILQFEKYMDRNLSENQDNGVYANHDPCGELLKWLLPVDNTLLSPRALSSHPLGSGIGSPSHRSTLSASSGSQLFSFSNFRSYSMSSLPQNVPPSRGPAKALSSKLSFDLDEVDHYSSQKILKSQRTRIEDILSFRGVSLERERFSVRCGLEGIHIPGRRWRRKLEIIKPVEIHSYSANCNTDDLLCVLIKNVSPAHIPDIVVYIDAITLVLEEASKGGPPASLPIACIEAGDGHCLPNLALRRGEEHSFILKPASSVWKDLKIYGGKSKSSTLKPRLKTSDKKGSTSNVHKYAIMISCRCNYSKSRLFLKQPTNWRPRVSRDLMISVTCKMSGQYSRPNERITQLPVQVLTLQASNLTPEDLTMTVLAPASFTSPPSVVSLNSYPTTPLSPFIGFSDLAGKASSERPNSAVKRFSSMPTVSENQKQNGDARTRFTSSNEQLTTISNFIPTSYWGCTHLWLQSRVPLG >KJB50699 pep chromosome:Graimondii2_0_v6:8:46093030:46098667:-1 gene:B456_008G182900 transcript:KJB50699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLLLRSNQQGTPESPPVQEDMAESSYVPKSVTSLERLIVQDPFPEYLTVENHGQSNGLLGKNAGAACDKNASVIASHTDISEEDGWIIIPNKDLPDDWDCAPNMRSLRSLDRSFVFPGEQVHVLVCLSACNQETEIITPFKVAEVMSKNGMRKGTEKQNGDMEGETSSVAGGEEVSPNGAVISQNDENLEKEKIDPATDASDSESFLRMEEQRRQTETLLKRFNNSHFFVRIAEWDEPLWSKKGASQIASDSYESDSQQSIANEAKNTTKNISSWTAVIDRGNFDANVSSGVARDTVNCCSLSNGDIVVLLQVNVGVGFFRDPVIEILQFEKYMDRNLSENQDNGVYANHDPCGELLKWLLPVDNTLLSPRALSSHPLGSGIGSPSHRSTLSASSGSQLFSFSNFRSYSMSSLPQNVPPSRGPAKALSSKLSFDLDEVDHYSSQKILKSQRTRIEDILSFRGVSLERERFSVRCGLEGIHIPGRRWRRKLEIIKPVEIHSYSANCNTDDLLCVLIKNVSPAHIPDIVVYIDAITLVLEEASKGGPPASLPIACIEAGDGHCLPNLALRRGEEHSFILKPASSVWKDLKIYGGKSKSSTLKPRLKTSDKKGSTSNVHKYAIMISCRCNYSKSRLFLKQPTNWRPRVSRDLMISVTCKMSGQYSRPNERITQLPVQVLTLQASNLTPEDLTMTVLAPASFTSPPSVVSLNSYPTTPLSPFIGFSDLAGKASSERPNSAVKRFSSMPTVSENQKQNGDARTRFTSSNEQLTTISNFIPTSYWGCTHLWLQSRVPLGCVPAQSTATIKLELLPLIDGIITLDSLRIAVKEKGRTYIPEHSLKINATSSVSTGII >KJB50702 pep chromosome:Graimondii2_0_v6:8:46094345:46099378:-1 gene:B456_008G182900 transcript:KJB50702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSYVPKSVTSLERLIVQDPFPEYLTVENHGQSNGLLGKNAGAACDKNASVIASHTDISEEDGWIIIPNKDLPDDWDCAPNMRSLRSLDRSFVFPGEQVHVLVCLSACNQETEIITPFKVAEVMSKNGMRKGTEKQNGDMEGETSSVAGGEEVSPNGAVISQNDENLEKEKIDPATDASDSESFLRMEEQRRQTETLLKRFNNSHFFVRIAEWDEPLWSKKGASQIASDSYESDSQQSIANEAKNTTKNISSWTAVIDRGNFDANVSSGVARDTVNCCSLSNGDIVVLLQVNVGVGFFRDPVIEILQFEKYMDRNLSENQDNGVYANHDPCGELLKWLLPVDNTLLSPRALSSHPLGSGIGSPSHRSTLSASSGSQLFSFSNFRSYSMSSLPQNVPPSRGPAKALSSKLSFDLDEVDHYSSQKILKSQRTRIEDILSFRGVSLERERFSVRCGLEGIHIPGRRWRRKLEIIKPVEIHSYSANCNTDDLLCVLIKNVSPAHIPDIVVYIDAITLVLEEASKGGPPASLPIACIEAGDGHCLPNLALRRGEEHSFILKPASSVWKDLKIYGGKSKSSTLKPRLKTSDKKGSTSNVHKYAIMISCRCNYSSTCLHFS >KJB50701 pep chromosome:Graimondii2_0_v6:8:46092453:46099378:-1 gene:B456_008G182900 transcript:KJB50701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSYVPKSVTSLERLIVQDPFPEYLTVENHGQSNGLLGKNAGAACDKNASVIASHTDISEEDGWIIIPNKDLPDDWDCAPNMRSLRSLDRSFVFPGEQVHVLVCLSACNQETEIITPFKVAEVMSKNGMRKGTEKQNGDMEGETSSVAGGEEVSPNGAVISQNDENLEKEKIDPATDASDSESFLRMEEQRRQTETLLKRFNNSHFFVRIAEWDEPLWSKKGASQIASDSYESDSQQSIANEAKNTTKNISSWTAVIDRGNFDANVSSGVARDTVNCCSLSNGDIVVLLQVNVGVGFFRDPVIEILQFEKYMDRNLSENQDNGVYANHDPCGELLKWLLPVDNTLLSPRALSSHPLGSGIGSPSHRSTLSASSGSQLFSFSNFRSYSMSSLPQNVPPSRGPAKALSSKLSFDLDEVDHYSSQKILKSQRTRIEDILSFRGVSLERERFSVRCGLEGIHIPGRRWRRKLEIIKPVEIHSYSANCNTDDLLCVLIKNVSPAHIPDIVVYIDAITLVLEEASKGGPPASLPIACIEAGDGHCLPNLALRRGEEHSFILKPASSVWKDLKIYGGKSKSSTLKPRLKTSDKKGSTSNVHKYAIMISCRCNYSKSRLFLKQPTNWRPRVSRDLMISVTCKMSGQYSRPNERITQLPVQVLTLQASNLTPEDLTMTVLAPASFTSPPSVVSLNSYPTTPLSPFIGFSDLAGKASSERPNSAVKRFSSMPTVSENQKQNGDARTRFTSSNEQLTTISNFIPTSYWGCTHLWLQSRVPLGCVPAQSTATIKLELLPLIDGIITLDSLRIAVKEKGRTYIPEHSLKINATSSVSTGII >KJB50698 pep chromosome:Graimondii2_0_v6:8:46092352:46099556:-1 gene:B456_008G182900 transcript:KJB50698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLLLRSNQQGTPESPPVQEDMAESSYVPKSVTSLERLIVQDPFPEYLTVENHGQSNGLLGKNAGAACDKNASVIASHTDISEEDGWIIIPNKDLPDDWDCAPNMRSLRSLDRSFVFPGEQVHVLVCLSACNQETEIITPFKVAEVMSKNGMRKGTEKQNGDMEGETSSVAGGEEVSPNGAVISQNDENLEKEKIDPATDASDSESFLRMEEQRRQTETLLKRFNNSHFFVRIAEWDEPLWSKKGASQIASDSYESDSQQSIANEAKNTTKNISSWTAVIDRGNFDANVSSGVARDTVNCCSLSNGDIVVLLQVNVGVGFFRDPVIEILQFEKYMDRNLSENQDNGVYANHDPCGELLKWLLPVDNTLLSPRALSSHPLGSGIGSPSHRSTLSASSGSQLFSFSNFRSYSMSSLPQNVPPSRGPAKALSSKLSFDLDEVDHYSSQKILKSQRTRIEDILSFRGVSLERERFSVRCGLEGIHIPGRRWRRKLEIIKPVEIHSYSANCNTDDLLCVLIKNVSPAHIPDIVVYIDAITLVLEEASKGGPPASLPIACIEAGDGHCLPNLALRRGEEHSFILKPASSVWKDLKIYGGKSKSSTLKPRLKTSDKKGSTSNVHKYAIMISCRCNYSKSRLFLKQPTNWRPRVSRDLMISVTCKMSGQYSRPNERITQLPVQVLTLQASNLTPEDLTMTVLAPASFTSPPSVVSLNSYPTTPLSPFIGFSDLAGKASSERPNSAVKRFSSMPTVSENQKQNGDARTRFTSSNEQLTTISNFIPTSYWGCTHLWLQSRVPLGCVPAQSTATIKLELLPLIDGIITLDSLRIAVKEKGRTYIPEHSLKINATSSVSTGII >KJB46744 pep chromosome:Graimondii2_0_v6:8:7296932:7298166:-1 gene:B456_008G051800 transcript:KJB46744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSATVIGALLGLGTQMYSNALRKLPYMRHPWEHLLGMGLGAVFVNQLVNWDAQLQRDLDNMLEKAKAANERRYFG >KJB46742 pep chromosome:Graimondii2_0_v6:8:7295957:7298166:-1 gene:B456_008G051800 transcript:KJB46742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSATVIGALLGLGTQMYSNALRKLPYMRHPWEHLLGMGLGAVFVNQLVNWDAQLQRDLDNMLEKAKAANERRYFDGDDD >KJB46743 pep chromosome:Graimondii2_0_v6:8:7295923:7298252:-1 gene:B456_008G051800 transcript:KJB46743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSATVIGALLGLGTQMYSNALRKLPYMRHPWEHLLGMGLGAVFVNQLVNWDAQLQRDLDNMLEKAKAANERRYFDGDDD >KJB51625 pep chromosome:Graimondii2_0_v6:8:51177175:51181354:1 gene:B456_008G225300 transcript:KJB51625 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT1G32340) UniProtKB/TrEMBL;Acc:Q9LQM5] MDVDQNENKGREVLESKIEEDGEKIEGDEPHDGADDFVNRLEELRLRGDEPELPEEQLSINEQLQEDELLVIQSIYGDNVFILEEHMGLRSLKIHIHLEGCGEKIIKTKFNSSNVVGAKSEASDDFSYSFKVQHLPPIVLTCLLPKSYPSHLPPYFTLSVQWLHPARISDLCGQLDSLWKEQEGQEVMYQWAEWLQNFSLSYFGFDKEIILGPYGIENTGDRRAISGCVSPDVDVPIIRSYNEDKLHENFLKGLHECSICLNEHAGIDFVRLPCKHFYCWKCMETYSNMHISDATITKLQCPEAKCGGMVPPALLKRLLGDEGYERWESLMLQKTLESMSDVAYCPRCETPCIEDEEQHAQCSKCFFSFCTLCRERRHVGIACMTPEIKLRVLQERQSSSQLDHEQKRREREMINELLSLKEIMRDAKQCPSCKMAISRTEGCNKIVCENCGQYFCYRCNSAISGYDHFRDGACELFPQELIREWEERVNVRQVLGQVHAQLFADRGLPCPNCRQFNAKVGNNNHLFCWACQMHYCYLCKKIVRRGSQHYGPKGCKQHTEG >KJB51626 pep chromosome:Graimondii2_0_v6:8:51177180:51181362:1 gene:B456_008G225300 transcript:KJB51626 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT1G32340) UniProtKB/TrEMBL;Acc:Q9LQM5] MRRARKGSRGSHHQSNDTWSVKPHRGTPQQPPHGSQEQQEESNLNSPSTSSTSNQQQFPNSTQEPHKTHLNTSWKYRRGHVVKTQFVKRSDLASSKHELSSEDNNASLGVAEDMDVDQNENKGREVLESKIEEDGEKIEGDEPHDGADDFVNRLEELRLRGDEPELPEEQLSINEQLQEDELLVIQSIYGDNVFILEEHMGLRSLKIHIHLEGCGEKIIKTKFNSSNVVGAKSEASDDFSYSFKVQHLPPIVLTCLLPKSYPSHLPPYFTLSVQWLHPARISDLCGQLDSLWKEQEGQEVMYQWAEWLQNFSLSYFGFDKEIILGPYGIENTGDRRAISGCVSPDVDVPIIRSYNEDKLHENFLKGLHECSICLNEHAGIDFVRLPCKHFYCWKCMETYSNMHISDATITKLQCPEAKCGGMVPPALLKRLLGDEGYERWESLMLQKTLESMSDVAYCPRCETPCIEDEEQHAQCSKCFFSFCTLCRERRHVGIACMTPEIKLRVLQERQSSSQLDHEQKRREREMINELLSLKEIMRDAKQCPSCKMAISRTEGCNKIVCENCGQYFCYRCNSAISGYDHFRDGACELFPQELIREWEERVNVRQVLGQVHAQLFADRGLPCPNCRQFNAKVGNNNHLFCWACQMHYCYLCKKIVRRGSQHYGPKGCKQHTEG >KJB51260 pep chromosome:Graimondii2_0_v6:8:49435679:49439676:-1 gene:B456_008G208900 transcript:KJB51260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEDLVDIKFRLYDGSDIGPFRYSATSTVDMLKQRIVSDWPKGKTVVPKAVNEVKLISSGKILENDKTVGQCKVPFGEVAGGIIIMHVVVQPSLAKTKTEKKIDDSPRKTVCSCSIL >KJB51259 pep chromosome:Graimondii2_0_v6:8:49435194:49439589:-1 gene:B456_008G208900 transcript:KJB51259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEDLVDIKFRLYDGSDIGPFRYSATSTVDMLKQRIVSDWPKGKTVVPKAVNEVKLISSGKILENDKTVGQCKVPFGEVAGGIIIMHVVVQPSLAKTKTEKKIDDSPRKTVCSCSIL >KJB51258 pep chromosome:Graimondii2_0_v6:8:49435679:49439427:-1 gene:B456_008G208900 transcript:KJB51258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEDLVDIKFRLYDGSDIGPFRYSATSTVDMLKQRIVSDWPKGKTVVPKAVNEVKLISSGKILENDKTVGQCKVPFGEVAGGIIIMHVVVQPSLAKTKTEKKIDDSPRKTVCSCSIL >KJB50083 pep chromosome:Graimondii2_0_v6:8:41112187:41114853:-1 gene:B456_008G153000 transcript:KJB50083 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G23660) UniProtKB/TrEMBL;Acc:F4JPA9] MASFSVGGAHSSHRTPSLFPTPPTIRMLKHHPVLNCTPFFTTPSLFSPKNLSLRSSNHRAGIACMSTTTSPVGKDDQKLISGQLNEKVVQASSWIDYLPKEIQPFAKLARVDKPIGTWFLIFPFAWSATLAAASGSIPDFRNLAVFACAAPFFRGAACTINDFFDRDFDKMVERTKERPMASGAVTPFQGLCFFAFQLLLSHGIFLQLTNYSWIIEAAFIFLSCTYPLMKRLTYWVQAYLGLTINWGAILGWYAVKGSLQPSIVLPLFLSGCFWTILYDTIYAHQDKEDDVKVGIKSTALKFGESTKEWITGFAIASIGTLALTAYNAALGRPFYVFLAAASGQLAWQIRTVNLSSAADCNRKFVSNKWFGVLILSGMLLGRVFS >KJB53042 pep chromosome:Graimondii2_0_v6:8:56483181:56487243:-1 gene:B456_008G290000 transcript:KJB53042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:Projected from Arabidopsis thaliana (AT1G73590) UniProtKB/TrEMBL;Acc:A0A178WFG7] MITLTDFYHVMTAMVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFIASNNPYTMNFRFIAADTLQKIIVLVVLAIWSKVSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGAKMLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKDDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAGGRNSNFGSGDVYGMSASRGPTPRPSNYEEDGSGKPRFHYHGQSGVTGHYPAPNPGMFSPTGSKGVKKPNGQAHQKVEDGGGKDLHMFVWSSSASPVSDVFGGGHEYGVTDQKEVRLAVSPGKVEGHRDNQEEFMERDEFSFGNRREMNNVQESGENNKVGEAIAIANPKTMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLISFRWNVEMPAIIAKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVAAFAMAVRFLTGPAVMAAASIAVGLKGVLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGI >KJB53043 pep chromosome:Graimondii2_0_v6:8:56483295:56487169:-1 gene:B456_008G290000 transcript:KJB53043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:Projected from Arabidopsis thaliana (AT1G73590) UniProtKB/TrEMBL;Acc:A0A178WFG7] MITLTDFYHVMTAMVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFIASNNPYTMNFRFIAADTLQKIIVLVVLAIWSKVSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGAKMLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKDDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAGGRNSNFGSGDVYGMSASRGPTPRPSNYEEDGSGKPRFHYHGQSGVTGHYPAPNPGMFSPTGSKGVKKPNGQAHQKVEDGGGKDLHMFVWSSSASPVSDVFGGGHEYGVTDQKEVRLAVSPGKVEGHRDNQEEFMERDEFSFGNRREMNNVQESGENNKVGEAIAIANPKTMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLISFRWNVEMPAIIAKSISILSDAGLGMAMFSLGQCLLLQTTNHFLKKTKKKQCLVMIIYMLK >KJB48292 pep chromosome:Graimondii2_0_v6:8:10118981:10121766:1 gene:B456_008G063300 transcript:KJB48292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase A5 [Source:Projected from Arabidopsis thaliana (AT2G18030) UniProtKB/Swiss-Prot;Acc:Q9SL43] MHVQCPWLLDRPAISLSYCHCFHYTISEEMASADRNLLILNFLTLASLSLFCADKAFCIRIPDRDSTTPRELSDQSLKTAVFALGSFWRSEAVFGCLNGVVRTTSGYAGGSKINPEYRSLGDHAESVMVEYDPKEINFRQLLEVFWSSHDPRQVFGQGPDVGPQYRSIIFTNGTEEARLAAMSKEREQTKSRSSILTTQIQQLESFYPAEPEHQKFELKRHPMLLQLIGNLPEDELEVSNLAAKLNGYAAELCPQRIQKQIDAKINGIIRRGWPVLREV >KJB48297 pep chromosome:Graimondii2_0_v6:8:10118957:10122041:1 gene:B456_008G063300 transcript:KJB48297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase A5 [Source:Projected from Arabidopsis thaliana (AT2G18030) UniProtKB/Swiss-Prot;Acc:Q9SL43] MHVQCPWLLDRPAISLSYCHCFHYTISEEMASADRNLLILNFLTLASLSLFCADKAFCIRIPDRDSTTPRELSDQSLKTAVFALGSFWRSEAVFGCLNGVVRTTSGYAGGSKINPEYRSLGDHAESVMVEYDPKEINFRQLLEVFWSSHDPRQVFGQGPDVGPQYRSIIFTNGTEEARLAAMSKEREQTKSRSSILTTQIQQLESFYPAEPEHQKFELKRHPMLLQLIGNLPEDELEVSNLAAKLNGYAAELCPQRIQKQIDAKINGIIRRGWPVLREV >KJB48293 pep chromosome:Graimondii2_0_v6:8:10118981:10121766:1 gene:B456_008G063300 transcript:KJB48293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase A5 [Source:Projected from Arabidopsis thaliana (AT2G18030) UniProtKB/Swiss-Prot;Acc:Q9SL43] MHVQCPWLLDRPAISLSYCHCFHYTISEEMASADRNLLILNFLTLASLSLFCADKAFCIRIPDRDSTTPRELSDQSLKTAVFALGSFWRSEAVFGCLNGVVRTTSGYAGGSKINPEYRSLGDHAESVMVEYDPKEINFRQLLEVFWSSHDPRQVFGQGPDVGPQYRSIIFTNGTEEARLAAMSKEREQTKSRSSILTTQIQQLESFYPAEPEHQCNSLAIHVKRLVILIDVKSNLSKFTLSV >KJB48295 pep chromosome:Graimondii2_0_v6:8:10118981:10121766:1 gene:B456_008G063300 transcript:KJB48295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase A5 [Source:Projected from Arabidopsis thaliana (AT2G18030) UniProtKB/Swiss-Prot;Acc:Q9SL43] MHVQCPWLLDRPAISLSYCHCFHYTISEEMASADRNLLILNFLTLASLSLFCADKAFCIRIPDRDSTTPRELSDQSLKTAVFALGSFWRSEAVFGCLNGVVRTTSGYAGGSKINPEYRSLGDHAESVMVEYDPKEINFRQLLEVFWSSHDPRQVFGQGPDVGPQYRSIIFTNGTEEARLAAMSKEREQTKSRSSILTTQIQQLESFYPAEPEHQLTEVVFTCVDFHE >KJB48296 pep chromosome:Graimondii2_0_v6:8:10118981:10121766:1 gene:B456_008G063300 transcript:KJB48296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase A5 [Source:Projected from Arabidopsis thaliana (AT2G18030) UniProtKB/Swiss-Prot;Acc:Q9SL43] MHVQCPWLLDRPAISLSYCHCFHYTISEEMASADRNLLILNFLTLASLSLFCADKAFCIRIPDRDSTTPRELSDQSLKTAVFALGSFWRSEAVFGCLNGVVRTTSGYAGGSKINPEYRSLGDHAESVMVEYDPKEINFRQLLEVFWSSHDPRQVFGQGPDVGPQYRSIIFTNGTEEARLAAMSKEREQTKSRSSILTTQIQQLESFYPAEPEHQKFELKRHPMLLQLIGNLPEDELEVSNLAAKLNGYAAELCPQRIQKQIDAKINGIIRRGWPVLREV >KJB48294 pep chromosome:Graimondii2_0_v6:8:10118981:10121766:1 gene:B456_008G063300 transcript:KJB48294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase A5 [Source:Projected from Arabidopsis thaliana (AT2G18030) UniProtKB/Swiss-Prot;Acc:Q9SL43] MHVQCPWLLDRPAISLSYCHCFHYTISEEMASADRNLLILNFLTLASLSLFCADKAFCIRIPDRDSTTPRELSDQSLKTAVFALGSFWRSEAVFGCLNGVVRTTSGYAGGSKINPEYRSLGDHAESVMVEYDPKEINFRQLLEVFWSSHDPRQVFGQGPDVGPQYRSIIFTNGTEEARLAAMSKEREQTKSRSSILTTQIQQLESFYPAEPEHQKFELKRHPMLLQLIGNLPEDELEVSNLAAKLNGYAAELCPQRIQKQIDAKINGIIRRGWPVLREV >KJB47500 pep chromosome:Graimondii2_0_v6:8:3520590:3522462:-1 gene:B456_008G029600 transcript:KJB47500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPCKWISTITFAFLLVMFTVTLWSLESNQVQYPFAGDLTKMILGKLEGWSLGKHGVITEEGKRGTKSVGPNMTSCHPSYGRKDLLVDCCPPGFQSPLPFVDFQFPDPQSPLRIRRPAHAVDVDYIAKYDKALSIMKSLPHHDPRSFSRQANLHCLFCTGAYDQQNSNAPLSIHRTWLFFPWHRMMIYFHERILGSLIGDETFALPYWAWDIPQGCSSFYHTMRDVSHLPPQTADLNYVSDTNLSPEDQIDINLAFMYNQMVSGARKTQLFMGCTYKAGKEGYCNGPGTIELAPHNALHTWIGSSLNPGREDMGKFYSAAKDPIFYAHHANIDRLWEVWREAHKQQLDIKDPDWLDSFFYFYDENLRLVRFKVGDVVDTIKLGYSYEQVHRPWLNMRPKPSYPPKLARQMLKTKEKNKLEMLSRTHVSSSELDTHGRALDASLTVKVRNHWRKKEKEEEKVIVVHGIEVKGDAYVKFDVYVNLIDQFKISPKFREFAGTFAHIPGGGAGKKKIDLKLGVSEVLEDLEADQDESIWVTLLPTTPSCSNVTVGGVRMEYIK >KJB51324 pep chromosome:Graimondii2_0_v6:8:49854682:49858037:-1 gene:B456_008G212100 transcript:KJB51324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMSSEDGDRYHHRHHYSDTDVLGSEKVPHSGPLSGPLNKKVAAGKKTAHFNIPDSSSSNDDRYVEITLDVTADSVAVQSVKAANGGDLQEDPELSLLAKGLEKKSKVVRNASAKIRQVGHELKRLTSFSKKPARFDRTKSAAAHALMGLKFISKNECGHGWEAVEKRFDDITASNNGVLPRYRFGECIGMESKEFALQLFDALARKRNIHGDAIDKAHLKEFWDQISNQSFDARLQTFFDMVDKDADGRITEQEVKEIISLSASANRLSNIQKQAEEYAALIMEELDPDRLGYIMINNLEMLLLQAPNQSVRGESRKLSQMLSQKLKPTYDGNPVRRFCRNTKYFLQDNWQRAWVTVLWIAVMCGLFAYKYIEYQRREDVFKVLGNCVCFAKGAAETIKLNMALILLPVCRNTLTWLRNKTKLGVVVPFDDNLNFHKVIAVGVAFGVAIHGIAHLACDFPRLLHATPDEYVPMEQYFGEQAKSYWHFVEHVEGITGVLMVILMAIAFTLAAPCFRRGRINLPKHLKKLSGFNAFWYSHHLFVIVYTLLIVHGIKLFLTKKWYKKTTWMYLAVPIILYSCERLTRLLRSSIKAVTIQKVAVYPGNVLALQMSRPHGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLRTVFSEVCQQPTNGKSGLLRADCVHGTNIPE >KJB51323 pep chromosome:Graimondii2_0_v6:8:49852054:49858167:-1 gene:B456_008G212100 transcript:KJB51323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMSSEDGDRYHHRHHYSDTDVLGSEKVPHSGPLSGPLNKKVAAGKKTAHFNIPDSSSSNDDRYVEITLDVTADSVAVQSVKAANGGDLQEDPELSLLAKGLEKKSKVVRNASAKIRQVGHELKRLTSFSKKPARFDRTKSAAAHALMGLKFISKNECGHGWEAVEKRFDDITASNNGVLPRYRFGECIGMESKEFALQLFDALARKRNIHGDAIDKAHLKEFWDQISNQSFDARLQTFFDMVDKDADGRITEQEVKEIISLSASANRLSNIQKQAEEYAALIMEELDPDRLGYIMINNLEMLLLQAPNQSVRGESRKLSQMLSQKLKPTYDGNPVRRFCRNTKYFLQDNWQRAWVTVLWIAVMCGLFAYKYIEYQRREDVFKVLGNCVCFAKGAAETIKLNMALILLPVCRNTLTWLRNKTKLGVVVPFDDNLNFHKVIAVGVAFGVAIHGIAHLACDFPRLLHATPDEYVPMEQYFGEQAKSYWHFVEHVEGITGVLMVILMAIAFTLAAPCFRRGRINLPKHLKKLSGFNAFWYSHHLFVIVYTLLIVHGIKLFLTKKWYKKTTWMYLAVPIILYSCERLTRLLRSSIKAVTIQKVAVYPGNVLALQMSRPHGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLRTVFSEVCQQPTNGKSGLLRADCVHGTNIPDFPRVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDIVNNIRAMEEEEEEEKSCGIDEGNGVNKTNSTSPNSKRKENFKTRRAYFYWVTREQGSFDWFKGIMNEVAEMDHNHVIELHNYCTSVYEEGDARSALITMLQSLNHAKNGVDIVSGTRVKSHFAKPNWRSVYKHIAVNHNNSRVGVFYCGAPALTKELRQLASDFSHKTSTKFDFHKENF >KJB51329 pep chromosome:Graimondii2_0_v6:8:49854957:49857879:-1 gene:B456_008G212100 transcript:KJB51329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMSSEDGDRYHHRHHYSDTDVLGSEKVPHSGPLSGPLNKKVAAGKKTAHFNIPDSSSSNDDRYVEITLDVTADSVAVQSVKAANGGDLQEDPELSLLAKGLEKKSKVVRNASAKIRQVGHELKRLTSFSKKPARFDRTKSAAAHALMGLKFISKNECGHGWEAVEKRFDDITASNNGVLPRYRFGECIGMESKEFALQLFDALARKRNIHGDAIDKAHLKEFWDQISNQSFDARLQTFFDMVDKDADGRITEQEVKEIISLSASANRLSNIQKQAEEYAALIMEELDPDRLGYIMINNLEMLLLQAPNQSVRGESRKLSQMLSQKLKPTYDGNPVRRFCRNTKYFLQDNWQRAWVTVLWIAVMCGLFAYKYIEYQRREDVFKVLGNCVCFAKGAAETIKLNMALILLPVCRNTLTWLRNKTKLGVVVPFDDNLNFHKVIAVGVAFGVAIHGIAHLACDFPRLLHATPDEYVPMEQYFGEQAKSYWHFVEHVEGITGVLMVILMAIAFTLAAPCFRRGRINLPKHLKKLSGFNAFWYSHHLFVIVYTLLIVHGIKLFLTKKWYKKTTWMYLAVPIILYSCERLTRLLRSSIKAVTIQKVAVYPGNVLALQMSRPHGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLRTVFSEVCQQPTNGKSGLLRADCVHGTNIPE >KJB51328 pep chromosome:Graimondii2_0_v6:8:49853049:49858037:-1 gene:B456_008G212100 transcript:KJB51328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMSSEDGDRYHHRHHYSDTDVLGSEKVPHSGPLSGPLNKKVAAGKKTAHFNIPDSSSSNDDRYVEITLDVTADSVAVQSVKAANGGDLQEDPELSLLAKGLEKKSKVVRNASAKIRQVGHELKRLTSFSKKPARFDRTKSAAAHALMGLKFISKNECGHGWEAVEKRFDDITASNNGVLPRYRFGECIGMESKEFALQLFDALARKRNIHGDAIDKAHLKEFWDQISNQSFDARLQTFFDMVDKDADGRITEQEVKEIISLSASANRLSNIQKQAEEYAALIMEELDPDRLGYIMINNLEMLLLQAPNQSVRGESRKLSQMLSQKLKPTYDGNPVRRFCRNTKYFLQDNWQRAWVTVLWIAVMCGLFAYKYIEYQRREDVFKVLGNCVCFAKGAAETIKLNMALILLPVCRNTLTWLRNKTKLGVVVPFDDNLNFHKVIAVGVAFGVAIHGIAHLACDFPRLLHATPDEYVPMEQYFGEQAKSYWHFVEHVEGITGVLMVILMAIAFTLAAPCFRRGRINLPKHLKKLSGFNAFWYSHHLFVIVYTLLIVHGIKLFLTKKWYKKTTWMYLAVPIILYSCERLTRLLRSSIKAVTIQKVAVYPGNVLALQMSRPHGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLRTVFSEVCQQPTNGKSGLLRADCVHGTNIPDFPRVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDIVNNIRAMEEEEEEEKSCGIDEGNGVNKTNSTSPNSKRKENFKTRRAYFYWVTREQGSFDWFKGIMNEVAEMDHNHVIELHNYCTSVYEEGDARSALITMLQSLNHAKNGVDIVSGTRVKSHFAKPNWRSVYKHIAVNHNNSRVGTFTHHYPFLCFLFHTLRCNPTLDLIKNAYINGYKPIT >KJB51326 pep chromosome:Graimondii2_0_v6:8:49852336:49857879:-1 gene:B456_008G212100 transcript:KJB51326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMSSEDGDRYHHRHHYSDTDVLGSEKVPHSGPLSGPLNKKVAAGKKTAHFNIPDSSSSNDDRYVEITLDVTADSVAVQSVKAANGGDLQEDPELSLLAKGLEKKSKVVRNASAKIRQVGHELKRLTSFSKKPARFDRTKSAAAHALMGLKFISKNECGHGWEAVEKRFDDITASNNGVLPRYRFGECIGMESKEFALQLFDALARKRNIHGDAIDKAHLKEFWDQISNQSFDARLQTFFDMVDKDADGRITEQEVKEIISLSASANRLSNIQKQAEEYAALIMEELDPDRLGYIMINNLEMLLLQAPNQSVRGESRKLSQMLSQKLKPTYDGNPVRRFCRNTKYFLQDNWQRAWVTVLWIAVMCGLFAYKYIEYQRREDVFKVLGNCVCFAKGAAETIKLNMALILLPVCRNTLTWLRNKTKLGVVVPFDDNLNFHKVIAVGVAFGVAIHGIAHLACDFPRLLHATPDEYVPMEQYFGEQAKSYWHFVEHVEGITGVLMVILMAIAFTLAAPCFRRGRINLPKHLKKLSGFNAFWYSHHLFVIVYTLLIVHGIKLFLTKKWYKKTTWMYLAVPIILYSCERLTRLLRSSIKAVTIQKVAVYPGNVLALQMSRPHGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLRTVFSEVCQQPTNGKSGLLRADCVHGTNIPDFPRVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDIVNNIRAMEEEEEEEKSCGIDEGNGVNKTNSTSPNSKRKENFKTRRAYFYWVTREQGSFDWFKGIMNEVAEMDHNHVIELHNYCTSVYEEGDARSALITMLQSLNHAKNGVDIVSGTRVKSHFAKPNWRSVYKHIAVNHNNSRVGTGFLLWGTGADKRASPASFRFLPQDVHQV >KJB51325 pep chromosome:Graimondii2_0_v6:8:49852055:49858037:-1 gene:B456_008G212100 transcript:KJB51325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELDPDRLGYIMINNLEMLLLQAPNQSVRGESRKLSQMLSQKLKPTYDGNPVRRFCRNTKYFLQDNWQRAWVTVLWIAVMCGLFAYKYIEYQRREDVFKVLGNCVCFAKGAAETIKLNMALILLPVCRNTLTWLRNKTKLGVVVPFDDNLNFHKVIAVGVAFGVAIHGIAHLACDFPRLLHATPDEYVPMEQYFGEQAKSYWHFVEHVEGITGVLMVILMAIAFTLAAPCFRRGRINLPKHLKKLSGFNAFWYSHHLFVIVYTLLIVHGIKLFLTKKWYKKTTWMYLAVPIILYSCERLTRLLRSSIKAVTIQKVAVYPGNVLALQMSRPHGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLRTVFSEVCQQPTNGKSGLLRADCVHGTNIPDFPRVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDIVNNIRAMEEEEEEEKSCGIDEGNGVNKTNSTSPNSKRKENFKTRRAYFYWVTREQGSFDWFKGIMNEVAEMDHNHVIELHNYCTSVYEEGDARSALITMLQSLNHAKNGVDIVSGTRVKSHFAKPNWRSVYKHIAVNHNNSRVGVFYCGAPALTKELRQLASDFSHKTSTKFDFHKENF >KJB51327 pep chromosome:Graimondii2_0_v6:8:49852055:49858037:-1 gene:B456_008G212100 transcript:KJB51327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMSSEDGDRYHHRHHYSDTDVLGSEKVPHSGPLSGPLNKKVAAGKKTAHFNIPDSSSSNDDRYVEITLDVTADSVAVQSVKAANGGDLQEDPELSLLAKGLEKKSKVVRNASAKIRQVGHELKRLTSFSKKPARFDRTKSAAAHALMGLKFISKNECGHGWEAVEKRFDDITASNNGVLPRYRFGECIGMESKEFALQLFDALARKRNIHGDAIDKAHLKEFWDQISNQSFDARLQTFFDMVDKDADGRITEQEVKEIISLSASANRLSNIQKQAEEYAALIMEELDPDRLGYIMINNLEMLLLQAPNQSVRGESRKLSQMLSQKLKPTYDVMCGLFAYKYIEYQRREDVFKVLGNCVCFAKGAAETIKLNMALILLPVCRNTLTWLRNKTKLGVVVPFDDNLNFHKVIAVGVAFGVAIHGIAHLACDFPRLLHATPDEYVPMEQYFGEQAKSYWHFVEHVEGITGVLMVILMAIAFTLAAPCFRRGRINLPKHLKKLSGFNAFWYSHHLFVIVYTLLIVHGIKLFLTKKWYKKTTWMYLAVPIILYSCERLTRLLRSSIKAVTIQKVAVYPGNVLALQMSRPHGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLRTVFSEVCQQPTNGKSGLLRADCVHGTNIPDFPRVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDIVNNIRAMEEEEEEEKSCGIDEGNGVNKTNSTSPNSKRKENFKTRRAYFYWVTREQGSFDWFKGIMNEVAEMDHNHVIELHNYCTSVYEEGDARSALITMLQSLNHAKNGVDIVSGTRVKSHFAKPNWRSVYKHIAVNHNNSRVGVFYCGAPALTKELRQLASDFSHKTSTKFDFHKENF >KJB48115 pep chromosome:Graimondii2_0_v6:8:7833364:7840155:1 gene:B456_008G054100 transcript:KJB48115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGCSYWIQRLLMAAWLLSWKKWLSAIDIGAAAAAPNVKPQGNISKVEDAQNYHIYYGQTFKVIKNGIDGKSYLLIQSDTRMAGRTKYCTPRIKSFVIPLSNFSVDTTTSFPVSFFELLGVVGSMKGMTSNTVASECVLKMVEGGEISLINASEPQQLAPFAAHFVSNLDHFQACNFANFAATGEDSPLQRAEWIKFLGAFANLEKRANQVYKAVKDNYLCLIKVPEAKEKAFKPIVAWMEYQNGIWSFTKELYKLKYVEDAGGENVDASINKITYNISNPDDIEDLHAILCTVDVVIDETYSWDAIGYNTTTFLQNINIEDHSCFGFLTNQSIWRYDKRIHNLTTLDWFDGAVSQPQLVLADLIEILFPTGNYNTTHFRNLAKGEGVVSIEPNMCQRDMSTPLDPTILPCP >KJB48114 pep chromosome:Graimondii2_0_v6:8:7833364:7840155:1 gene:B456_008G054100 transcript:KJB48114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGCSYWIQRLLMAAWLLSWKKWLSAIDIGAAAAAPNVKPQGNISKVEDAQNYHIYYGQTFKVIKNGIDGKSYLLIQSDTRMAGRTKYCTPRIKSFVIPLSNFSVDTTTSFPVSFFELLGVVGSMKGMTSNTVASECVLKMVEGGEISLINASEPQQLAPFAAHFVSNLDHFQACNFANFAATGEDSPLQRAEWIKFLGAFANLEKRANQVYKAVKDNYLCLIKVPEAKEKAFKPIVAWMEYQNGIWSFTKELYKLKYVEDAGGENVDASINKITYNISNPDDIEDLHAILCWML >KJB48116 pep chromosome:Graimondii2_0_v6:8:7833420:7839959:1 gene:B456_008G054100 transcript:KJB48116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGCSYWIQRLLMAAWLLSWKKWLSAIDIGAAAAAPNVKPQGNISKVEDAQNYHIYYGQTFKVIKNGIDGKSYLLIQSDTRMAGRTKYCTPRIKSFVIPLSNFSVDTTTSFPVSFFELLGVVGSMKGMTSNTVASECVLKMVEGGEISLINASEPQQLAPFAAHFVSNLDHFQACNFANFAATGEDSPLQRAEWIKFLGAFANLEKRANQVYKAVKDNYLCLIKVPEAKEKAFKPIVAWMEYQNGIWSFTKELYKLKEEKMWTPPLTRLHTISPTLMILKICMLSYVQWML >KJB53056 pep chromosome:Graimondii2_0_v6:8:56560932:56569839:-1 gene:B456_008G291100 transcript:KJB53056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVSVGRDRLFTALGRSYTQEEFEDLCFSFGIELDDVTTEKAIISKEKHLEEEKPSADDEIIYKIEVPANRYDLLCLEGLAQALLVFNGKEKIPKYTVANISKASMLKMHVKKETSLIRPFLVCAVLRGITFDEASYNSFIDLQDKLHQNICRKRTLAAIGTHDLDTLQGPFTYEALPPPEINFVPLKQVKNFRADELMEFYKSDLKLKKFLHIIENSSVYPVIYDRNRTVLSLPPIINGAHSAITLKTKNVFIECTATDLTKAKIVLNTMVTTFSTYCKRKFEVEPVEVISFDGKSSVYPDLSEYNMEVPLSYITGSIGVPLEVDEVTILLKRMQLHAEKAGSGEAKISVSVPPTRSDILHPCDVMEDVAIAYGYNNIPKRTLSSLKPLPLNQLSDLIRYEIAMNGFTEVLTWILCSKKENFEMLNRKDDKSTAVIIGNPRSSDFEVVRTSLMPGMLKTVGHNKDHPKPIKIYEVGDVVLLDEKKDVGASNRRLLGALYCGANSGFELIHSLVDRIMEVMGTPFVPVGDKSGYFIELSNEPEFLSGRQAKIIYKGGRIGIFGIVHPEVLNNFDIPDPCSFLELDIESFL >KJB53055 pep chromosome:Graimondii2_0_v6:8:56560932:56569949:-1 gene:B456_008G291100 transcript:KJB53055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVSVGRDRLFTALGRSYTQEEFEDLCFSFGIELDDVTTEKAIISKEKHLEEEKPSADDEIIYKIEVPANRYDLLCLEGLAQALLVFNGKEKIPKYTVANISKASMLKMHVKKETSLIRPFLVCAVLRGITFDEASYNSFIDLQDKLHQNICRKRTLAAIGTHDLDTLQGPFTYEALPPPEINFVPLKQVKNFRADELMEFYKSDLKLKKFLHIIENSSVYPVIYDRNRTVLSLPPIINGAHSAITLKTKNVFIECTATDLTKAKIVLNTMVTTFSTYCKRKFEVEPVEVISFDGKSSVYPDLSEYNMEVPLSYITGSIGVPLEVDEVTILLKRMQLHAEKAGSGEAKISVSVPPTRSDILHPCDVMEDVAIAYGYNNIPKRTLSSLKPLPLNQLSDLIRYEIAMNGFTEVLTWILCSKKENFEMLNRKDDKSTAVIIGNPRSSDFEVVRTSLMPGMLKTVGHNKDHPKPIKIYEVGDVVLLDEKKDVGASNRRLLGALYCGANSGFELIHSLVDRIMEVMGTPFVPVGDKSGYFIELSNEPEFLSGRQAKIIYKGGRIGIFGIVHPEVLNNFDIPDPCSFLELDIESFL >KJB53054 pep chromosome:Graimondii2_0_v6:8:56561144:56569839:-1 gene:B456_008G291100 transcript:KJB53054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMHVKKETSLIRPFLVCAVLRGITFDEASYNSFIDLQDKLHQNICRKRTLAAIGTHDLDTLQGPFTYEALPPPEINFVPLKQVKNFRADELMEFYKSDLKLKKFLHIIENSSVYPVIYDRNRTVLSLPPIINGAHSAITLKTKNVFIECTATDLTKAKIVLNTMVTTFSTYCKRKFEVEPVEVISFDGKSSVYPDLSEYNMEVPLSYITGSIGVPLEVDEVTILLKRMQLHAEKAGSGEAKISVSVPPTRSDILHPCDVMEDVAIAYGYNNIPKRTLSSLKPLPLNQLSDLIRYEIAMNGFTEVLTWILCSKKENFEMLNRKDDKSTAVIIGNPRSSDFEVVRTSLMPGMLKTVGHNKDHPKPIKIYEVGDVVLLDEKKDVGASNRRLLGALYCGANSGFELIHSLVDRIMEVMGTPFVPVGDKSGYFIELSNEPEFLSGRQAKIIYKGGRIGIFGIVHPEVLNNFDIPDPCSFLELDIESFL >KJB48029 pep chromosome:Graimondii2_0_v6:8:7136316:7139650:1 gene:B456_008G050700 transcript:KJB48029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTRISHFSLFFSSFFFLSSTLSSHLYPASATTPEKDGKTRSLYSEILRDEAVARLNDLGKVSDADGYLERTFMSPASVRAGFLIREWMEDAGLRTWVDSMGNLHGRVEGMNASAQALLIGSHLDTVVDAGMFDGSLGIISAISALKVLKSIGKLGELKRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVTALKISDKSGVTVQDALKGNSIEITEDSLLGLKYDPASIWGYFELHIEQGPVLEWVGFPLAVVKGIAGQTRMKVTVRGSQGHAGTVPMSMRRDPMAAAAELIVLLESLCKHPRDFLSSGGNCNEQTLESLSTSLVCTVGEISTWPSASNVIPGQARNSSVNESQCQRKQKMLISSKM >KJB48028 pep chromosome:Graimondii2_0_v6:8:7136223:7140373:1 gene:B456_008G050700 transcript:KJB48028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTRISHFSLFFSSFFFLSSTLSSHLYPASATTPEKDGKTRSLYSEILRDEAVARLNDLGKVSDADGYLERTFMSPASVRAGFLIREWMEDAGLRTWVDSMGNLHGRVEGMNASAQALLIGSHLDTVVDAGMFDGSLGIISAISALKVLKSIGKLGELKRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVTALKISDKSGVTVQDALKGNSIEITEDSLLGLKYDPASIWGYFELHIEQGPVLEWVGFPLAVVKGIAGQTRMKVTVRGSQGHAGTVPMSMRRDPMAAAAELIVLLESLCKHPRDFLSSGGNCNEQTLESLSTSLVCTVGEISTWPSASNVIPGQVTFTVDLRAIDDVGREAVVYELSNQMYKICDRRSVSCIIERKVGRFPKHFAKHFA >KJB48031 pep chromosome:Graimondii2_0_v6:8:7136223:7140064:1 gene:B456_008G050700 transcript:KJB48031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTRISHFSLFFSSFFFLSSTLSSHLYPASATTPEKDGKTRSLYSEILRDEAVARLNDLGKVSDADGYLERTFMSPASVRAGFLIREWMEDAGLRTWVDSMGNLHGRVEGMNASAQALLIGSHLDTVVDAGMFDGSLGIISAISALKVLKSIGKLGELKRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVTALKISDKSGVTVQDALKGNSIEITEDSLLGLKYDPASIWGYFELHIEQGPVLEWVGFPLAVVKGIAGQTRMKVTVRGSQGHAGTVPMSMRRDPMAAAAELIVLLESLCKHPRDFLSSGGNCNEQTLESLSTSLVCTVGEISTWPSASNVIPGQARNSSVNESQCQRKQKMLISSKM >KJB48025 pep chromosome:Graimondii2_0_v6:8:7136210:7140429:1 gene:B456_008G050700 transcript:KJB48025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTRISHFSLFFSSFFFLSSTLSSHLYPASATTPEKDGKTRSLYSEILRDEAVARLNDLGKVSDADGYLERTFMSPASVRAGFLIREWMEDAGLRTWVDSMGNLHGRVEGMNASAQALLIGSHLDTVVDAGMFDGSLGIISAISALKVLKSIGKLGELKRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVTALKISDKSGVTVQDALKGNSIEITEDSLLGLKYDPASIWGYFELHIEQGPVLEWVGFPLAVVKGIAGQTRMKVTVRGSQGHAGTVPMSMRRDPMAAAAELIVLLESLCKHPRDFLSSGGNCNEQTLESLSTSLVCTVGEISTWPSASNVIPGQVTFTVDLRAIDDVGREAVVYELSNQMYKICDRRSVSCIIERKHDANAVICDQELSSRLKSAAYSAVNKMVGQIHEEVPVLMSGAGHDAMAISHLTKVGMLFVRCRGGISHSPEEHVLEDDVWAAGLAVLAFLESHM >KJB48030 pep chromosome:Graimondii2_0_v6:8:7136223:7140373:1 gene:B456_008G050700 transcript:KJB48030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTRISHFSLFFSSFFFLSSTLSSHLYPASATTPEKDGKTRSLYSEILRDEAVARLNDLGKVSDADGYLERTFMSPASVRAGFLIREWMEDAGLRTWVDSMGNLHGRVEGMNASAQALLIGSHLDTVVDAGMFDGSLGIISAISALKVLKSIGKLGELKRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVTALKISDKSGVTVQDALKGNSIEITEDSLLGLKYDPASIWGYFELHIEQGPVLEWVGFPLAVVKGIAGQTRMKVTVRGSQGHAGTVPMSMRRDPMAAAAELIVLLESLCKHPRDFLSSGGNCNEQTLESLSTSLVCTVGEISTWPSASNVIPGQVTFTVDLRAIDDVGREAVVYELSNQMYKICDRRSVSCIIERKLTVLSTKW >KJB48026 pep chromosome:Graimondii2_0_v6:8:7136316:7139650:1 gene:B456_008G050700 transcript:KJB48026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTRISHFSLFFSSFFFLSSTLSSHLYPASATTPEKDGKTRSLYSEILRDEAVARLNDLGKVSDADGYLERTFMSPASVRAGFLIREWMEDAGLRTWVDSMGNLHGRVEGMNASAQALLIGSHLDTVVDAGMFDGSLGIISAISALKVLKSIGKLGELKRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVTALKISDKSGVTVQDALKGNSIEITEDSLLGLKYDPASIWGYFELHIEQGPVLEWVGFPLAVVKGIAGQTRMKVTVRGSQGHAGTVPMSMRRDPMAAAAELIVLLESLCKHPRDFLSSGGNCNEQTLESLSTSLVCTVGEISTWPSASNVIPGQARNSSVNESQCQRKQKMLISSKM >KJB48032 pep chromosome:Graimondii2_0_v6:8:7136223:7140373:1 gene:B456_008G050700 transcript:KJB48032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPASVRAGFLIREWMEDAGLRTWVDSMGNLHGRVEGMNASAQALLIGSHLDTVVDAGMFDGSLGIISAISALKVLKSIGKLGELKRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVTALKISDKSGVTVQDALKGNSIEITEDSLLGLKYDPASIWGYFELHIEQGPVLEWVGFPLAVVKGIAGQTRMKVTVRGSQGHAGTVPMSMRRDPMAAAAELIVLLESLCKHPRDFLSSGGNCNEQTLESLSTSLVCTVGEISTWPSASNVIPGQVTFTVDLRAIDDVGREAVVYELSNQMYKICDRRSVSCIIERKHDANAVICDQELSSRLKSAAYSAVNKMVGQIHEEVPVLMSGAGHDAMAISHLTKVGMLFVRCRGGISHSPEEHVLEDDVWAAGLAVLAFLESHM >KJB48027 pep chromosome:Graimondii2_0_v6:8:7136223:7140373:1 gene:B456_008G050700 transcript:KJB48027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTRISHFSLFFSSFFFLSSTLSSHLYPASATTPEKDGKTRSLYSEILRDEAVARLNDLGKVSDADGYLERTFMSPASVRAGFLIREWMEDAGLRTWVDSMGNLHGRVEGMNASAQALLIGSHLDTVVDAGMFDGSLGIISAISALKVLKSIGKLGELKRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVTALKISDKSGVTVQDALKGNSIEITEDSLLGLKYDPASIWGYFELHIEQGPVLEWVGFPLAVVKGIAGQTRMKVTVRGSQGHAGTVPMSMRRDPMAAAAELIVLLESLCKHPRDFLSSGGNCNEQTLESLSTSLVCTVGEISTWPSASNVIPGQARNSSVNESQCQRKQKMLISSKM >KJB52093 pep chromosome:Graimondii2_0_v6:8:53083786:53088986:1 gene:B456_008G246500 transcript:KJB52093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKQETNQKKASKPMARKETQEFQFVVYNTMTQQKEVFNPKIPGKVRMYVCGVTAYDFSHLGHARAAVSFDVLYRYLKHLGYEVTYVRNFTDVDDKIIRRANETGEDPISLSDRYCKEYNIDMSDLQCLSPTHEPRVSDHMEQIKDMITQIINKDFGYVVEGDVFFTVDKFSNYGKLSGQKLENNRAGERVAVDSRKRNPSDFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSAHYLSFKFDIHGGGLDLIFPHHENEIAQSCAACKESDVSYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRYFLINAHYRSPLNYSVVQLEGASDAVFYIYQTLKDCQDALLQLQEEMPNDGKPARISPDAKECISKLRNEFQVKMSDDLSTSLILTGAFLEALKLVNNLLTMLKKKQQKQQRLLVIQSLKEIQNEVTKVLDVLGLQPPFSYNEVLLQLKEKALTRAGLVEDDVIRLIKERAEVRRNKDFLKSDQMRAHLQAQGIALMDVGTETIWRPCVPVQQDSEIVPSEGQKVPPKPESA >KJB52095 pep chromosome:Graimondii2_0_v6:8:53082805:53088883:1 gene:B456_008G246500 transcript:KJB52095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKQETNQKKASKPMARKETQEFQFVVYNTMTQQKEVFNPKIPGKVRMYVCGVTAYDFSHLGHARAAVSFDVLYRYLKHLGYEVTYVRNFTDVDDKIIRRANETGEDPISLSDRYCKEYNIDMSDLQCLSPTHEPRVSDHMEQIKDMITQIINKDFGYVVEGDVFFTVDKFSNYGKLSGQKLENNRAGERVAVDSRKRNPSDFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSAHYLSFKFDIHGGGLDLIFPHHENEIAQSCAACKESDVSYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRYFLINAHYRSPLNYSVVQLEGASDAVFYIYQTLKDCQDALLQLQEEMPNDGKPARISPDAKECISKLRNEFQVKMSDDLSTSLILTGAFLEALKLVNNLLTMLKKKQQKQQRLLVIQSLKEIQNEVTKVLDVLGLQPPFSYNEVLLQLKEKALTRAGLVEDDVIRLIKERAEVRRNKDFLKSDQMRAHLQAQGIALMDVGTETIWRPCVPVQQDSEIVPSEGQKVPPKPESA >KJB52094 pep chromosome:Graimondii2_0_v6:8:53082928:53088820:1 gene:B456_008G246500 transcript:KJB52094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKQETNQKKASKPMARKETQEFQFVVYNTMTQQKEVFNPKIPGKVRMYVCGVTAYDFSHLGHARAAVSFDVLYRYLKHLGYEVTYVRNFTDVDDKIIRRANETGEDPISLSDRYCKEYNIDMSDLQCLSPTHEPRVSDHMEQIKDMITQIINKDFGYVVEGDVFFTVDKFSNYGKLSGQKLENNRAGERVAVDSRKRNPSDFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSAHYLSFKFDIHGGGLDLIFPHHENEIAQSCAACKESDVSYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRYFLINAHYRSPLNYSVVQLEGASDAVFYIYQTLKDCQDALLQLQEEMPNDGKPARISPDAKECISKLRNEFQVKMSDDLSTSLILTGAFLEALKLVNNLLTMLKKQQKQQRLLVIQSLKEIQNEVTKVLDVLGLQPPFSYNEVLLQLKEKALTRAGLVEDDVIRLIKERAEVRRNKDFLKSDQMRAHLQAQGIALMDVGTETIWRPCVPVQQDSEIVPSEGQKVPPKPESA >KJB52096 pep chromosome:Graimondii2_0_v6:8:53082933:53088820:1 gene:B456_008G246500 transcript:KJB52096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKQETNQKKASKPMARKETQEFQFVVYNTMTQQKEVFNPKIPGKVRMYVCGVTAYDFSHLGHARAAVSFDVLYRYLKHLGYEVTYVRNFTDVDDKIIRRANETGEDPISLSDRYCKEYNIDMSDLQCLSPTHEPRVSDHMEQIKDMITQIINKDFGYVVEGDVFFTVDKFSNYGKLSGQKLENNRAGERVAVDSRKRNPSDFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSAHYLSFKFDIHGGGLDLIFPHHENEIAQSCAACKESDVSYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRYFLINAHYRSPLNYSVVQLEGASDAVFYIYQTLKDCQDALLQLQEEMPNDGKPARISPDAKECISKLRNEFQVKMSDDLSTSLILTGAFLEALKLVNNLLTMLKKKQQKQQRLLVIQSLKEIQNEVTKVLDVLGLQPPFSYNEVLLQLKEKALTRAGLVEDDVIRLIKERAEVRRNKDFLKSDQMRAHLQAQGIALMDVGTETIWRPCVPVQQDSEIVPSEGQKVPPKPESA >KJB52092 pep chromosome:Graimondii2_0_v6:8:53082904:53088986:1 gene:B456_008G246500 transcript:KJB52092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKQETNQKKASKPMARKETQEFQFVVYNTMTQQKEVFNPKIPGKVRMYVCGVTAYDFSHLGHARAAVSFDVLYRYLKHLGYEVTYVRNFTDVDDKIIRRANETGEDPISLSDRYCKEYNIDMSDLQCLSPTHEPRVSDHMEQIKDMITQIINKDFGYVVEGDVFFTVDKFSNYGKLSGQKLENNRAGERVAVDSRKRNPSDFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSAHYLSFKFDIHGGGLDLIFPHHENEIAQSCAACKESDVSYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRYFLINAHYRSPLNYSVVQLEGASDAVFYIYQTLKDCQDALLQLQEEMPNDGKPARISPDAKECISKLRNEFQVKMSDDLSTSLILTGAFLEALKLVNNLLTMLKKKQQKQQRLLVIQSLKEIQNEVTKVLDVLGLQPPFSYNEVLLQLKEKALTRAGLVEDDVIRLIKERAEVRRNKDFLKSDQMRAHLQAQGIALMDVGTETIWRPCVPVQQDSEIVPSEGQKVPPKPESA >KJB52389 pep chromosome:Graimondii2_0_v6:8:54120658:54124815:1 gene:B456_008G259800 transcript:KJB52389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNGEPHLYQSWHMHVDSRFFLFLFAFLLLVFRPSCDAKQLEFYEDRVPKSFATGVQSGKNKNLKDSVHQEPTSDGNLASNHAVERPKNNSSGKEHASEVSTSSMSGHDSIRIDGKEEKADDQLPAAITSTPKTEDLAQLPLVNKYTGITPIKEEGGGVAIDKVDGVVVEGSTRSPQDHQVDALASTALKVQGNNILKDSNSGISCSFDKSDIEVKREMNDDDSNVVLINQRSNPDDTKDTEISFHQTSETPQMNDLVGGFSHSSDSKARINESEIVADCHSDKANELSGHCSLLKCDLEGSEVSETLKKISPESNRIPRSSEESKPSINVLTPEEQSNQRKMTACVGKSSSTSSASIFAVSSVPDSSKPTDSQNNSKQQVMPDNNVSSKKGYATNDVPRDGDRHDLSRKAVKERPKSSYSSTSKVPHQSRISHASISKRNISESKDSVPSSSLKASLMQNSSVTSVSGESAGSLQSQSASFIHQNKTSASGFPQKGEKSSQSSSQPASKSAHASSVHPFATSNSTTLSDEELALLLHQELNSSPRVPRVPRVRQAGSFPQLASATATSMLMKRTSSSGGKDHSMVPRRKNKDASKDGSRGSRELDHDAKRTDKVLSSHDQRQDLGSTMDASAKRNDKNVHATPSTATNSGPSSSTEANEQNLSYVRSSPRNLSDDDTGTARGSVPRTLPGLINDIMSKGRRMTYEELCNAVLPHWPNLRKHNGERYAYSTHSQAVLDCLRNRQEWAQLVDRGPKTNSSKKRRKADAEDSDDNEFGKGRSTKEVESKSLESQKEEFPKGKRKARKRRRLALQGRGIKDVRRRRKVDFSDDDAGPFSNSSEESMFSDDEIQGSGACPVGSDASASSD >KJB52391 pep chromosome:Graimondii2_0_v6:8:54119683:54123674:1 gene:B456_008G259800 transcript:KJB52391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRTQRADTHDDWGDGSWTVDCICGVNFDDGEEMVKCDECGVWVHTRCSRYTKGEELFACDKCKNKSNRNDSEETEVAQLLVELPTKTVRIESSSTRRPFRLWTDIPMEERVHVQGVPGGEPGLFSGLSGVFTPQLWKCTGYVPKKFNFQYREFPCWDENKDDDNKNGKQNEFESGNPADNGAGVLFSLSKERVFNAPMHPKKDVLNEGKKSESEDFEGKHWQNGVRKDRGVLQPVTAPSSKQKKDEPGVFKDRSTRKKSRSSAEKEAYEKKRSVQPHKTVFRPSCDAKQLEFYEDRVPKSFATGVQSGKNKNLKDSVHQEPTSDGNLASNHAVERPKNNSSGKEHASEVSTSSMSGHDSIRIDGKEEKADDQLPAAITSTPKTEDLAQLPLVNKYTGITPIKEEGGGVAIDKVDGVVVEGSTRSPQDHQVDALASTALKVQGNNILKDSNSGISCSFDKSDIEVKREMNDDDSNVVLINQRSNPDDTKDTEISFHQTSETPQMNDLVGGFSHSSDSKARINESEIVADCHSDKANELSGHCSLLKCDLEGSEVSETLKKISPESNRIPRSSEESKPSINVLTPEEQSNQRKMTACVGKSSSTSSASIFAVSSVPDSSKPTDSQNNSKQQVMPDNNVSSKKGYATNDVPRDGDRHDLSRKAVKERPKSSYSSTSKVPHQSRISHASISKRNISESKDSVPSSSLKASLMQNSSVTSVSGESAGSLQSQSASFIHQNKTSASGFPQKGEKSSQSSSQPASKSAHASSVHPFATSNSTTLSDEELALLLHQELNSSPRVPRVPRVRQAGSFPQLASATATSMLMKRTSSSGGKDHSMVPRRKNKDASKDGSRGSRELDHDAKRTDKVLSSHDQRQDLGSTMDASAKRNDKNVHATPSTATNSGPSSSTEANEQNLSYVRSSPRNLSDDDTGTARGSVPRTLPGLINDIMSKGRRMTYEELCNAVLPVCCFSRADIGLT >KJB52386 pep chromosome:Graimondii2_0_v6:8:54119683:54123669:1 gene:B456_008G259800 transcript:KJB52386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRTQRADTHDDWGDGSWTVDCICGVNFDDGEEMVKCDECGVWVHTRCSRYTKGEELFACDKCKNKSNRNDSEETEVAQLLVELPTKTVRIESSSTRRPFRLWTDIPMEERVHVQGVPGGEPGLFSGLSGVFTPQLWKCTGYVPKKFNFQYREFPCWDENKDDDNKNGKQNEFESGNPADNGAGVLFSLSKERVFNAPMHPKKDVLNEGKKSESEDFEGKHWQNGVRKDRGVLQPVTAPSSKQKKDEPGVFKDRSTRKKSRSSAEKEAYEKKRSVQPHKTVFRPSCDAKQLEFYEDRVPKSFATGVQSGKNKNLKDSVHQEPTSDGNLASNHAVERPKNNSSGKEHASEVSTSSMSGHDSIRIDGKEEKADDQLPAAITSTPKTEDLAQLPLVNKYTGITPIKEEGGGVAIDKVDGVVVEGSTRSPQDHQVDALASTALKVQGNNILKDSNSGISCSFDKSDIEVKREMNDDDSNVVLINQRSNPDDTKDTEISFHQTSETPQMNDLVGGFSHSSDSKARINESEIVADCHSDKANELSGHCSLLKCDLEGSEVSETLKKISPESNRIPRSSEESKPSINVLTPEEQSNQRKMTACVGKSSSTSSASIFAVSSVPDSSKPTDSQNNSKQQVMPDNNVSSKKGYATNDVPRDGDRHDLSRKAVKERPKSSYSSTSKVPHQSRISHASISKRNISESKDSVPSSSLKASLMQNSSVTSVSGESAGSLQSQSASFIHQNKTSASGFPQKGEKSSQSSSQPASKSAHASSVHPFATSNSTTLSDEELALLLHQELNSSPRVPRVPRVRQAGSFPQLASATATSMLMKRTSSSGGKDHSMVPRRKNKDASKDGSRGSRELDHDAKRTDKVLSSHDQRQDLGSTMDASAKRNDKNVHATPSTATNSGPSSSTEANEQNLSYVRSSPRNLSDDDTGTARGSVPRTLPGLINDIMSKGRRMTYEELCNAVLPVCCFSRAECLLSALCHLSLLLVLGGKILLLIHFFSAFIPALA >KJB52392 pep chromosome:Graimondii2_0_v6:8:54119386:54125481:1 gene:B456_008G259800 transcript:KJB52392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRTQRADTHDDWGDGSWTVDCICGVNFDDGEEMVKCDECGVWVHTRCSRYTKGEELFACDKCKNKSNRNDSEETEVAQLLVELPTKTVRIESSSTRRPFRLWTDIPMEERVHVQGVPGGEPGLFSGLSGVFTPQLWKCTGYVPKKFNFQYREFPCWDENKDDDNKNGKQNEFESGNPADNGAGVLFSLSKERVFNAPMHPKKDVLNEGKKSESEDFEGKHWQNGVRKDRGVLQPVTAPSSKQKKDEPGVFKDRSTRKKSRSSAEKEAYEKKRSVQPHKTVFRPSCDAKQLEFYEDRVPKSFATGVQSGKNKNLKDSVHQEPTSDGNLASNHAVERPKNNSSGKEHASEVSTSSMSGHDSIRIDGKEEKADDQLPAAITSTPKTEDLAQLPLVNKYTGITPIKEEGGGVAIDKVDGVVVEGSTRSPQDHQVDALASTALKVQGNNILKDSNSGISCSFDKSDIEVKREMNDDDSNVVLINQRSNPDDTKDTEISFHQTSETPQMNDLVGGFSHSSDSKARINESEIVADCHSDKANELSGHCSLLKCDLEGSEVSETLKKISPESNRIPRSSEESKPSINVLTPEEQSNQRKMTACVGKSSSTSSASIFAVSSVPDSSKPTDSQNNSKQQVMPDNNVSSKKGYATNDVPRDGDRHDLSRKAVKERPKSSYSSTSKVPHQSRISHASISKRNISESKDSVPSSSLKASLMQNSSVTSVSGESAGSLQSQSASFIHQNKTSASGFPQKGEKSSQSSSQPASKSAHASSVHPFATSNSTTLSDEELALLLHQELNSSPRVPRVPRVRQAGSFPQLASATATSMLMKRTSSSGGKDHSMVPRRKNKDASKDGSRGSRELDHDAKRTDKVLSSHDQRQDLGSTMDASAKRNDKNVHATPSTATNSGPSSSTEANEQNLSYVRSSPRNLSDDDTGTARGSVPRTLPGLINDIMSKGRRMTYEELCNAVLPHWPNLRKHNGERYAYSTHSQAVLDCLRNRQEWAQLVDRGPKTNSSKKRRKADAEDSDDNEFGKGRSTKEVESKSLESQKEEFPKGKRKARKRRRLALQGRGIKDVRRRRKVDFSDDDAGPFSNSSEESMFSDDEIQGSGACPVGSDASASSD >KJB52390 pep chromosome:Graimondii2_0_v6:8:54119386:54125481:1 gene:B456_008G259800 transcript:KJB52390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRTQRADTHDDWGDGSWTVDCICGVNFDDGEEMVKCDECGVWVHTRCSRYTKGEELFACDKCKNKSNRNDSEETEVAQLLVELPTKTVRIESSSTRRPFRLWTDIPMEERVHVQGVPGGEPGLFSGLSGVFTPQLWKCTGYVPKKFNFQYREFPCWDENKDDDNKNGKQNEFESGNPADNGAGVLFSLSKERVFNAPMHPKKDVLNEGKKSESEDFEGKHWQNGVRKDRGVLQPVTAPSSKQKKDEPGVFKDRSTRKKSRSSAEKEAYEKKRSVQPHKTVFRPSCDAKQLEFYEDRVPKSFATGVQSGKNKNLKDSVHQEPTSDGNLASNHAVERPKNNSSGKEHASEVSTSSMSGHDSIRIDGKEEKADDQLPAAITSTPKTEDLAQLPLVNKYTGITPIKEEGGGVAIDKVDGVVVEGSTRSPQDHQVDALASTALKVQGNNILKDSNSGISCSFDKSDIEVKREMNDDDSNVVLINQRSNPDDTKDTEISFHQTSETPQMNDLVGGFSHSSDSKARINESEIVADCHSDKANELSGHCSLLKCDLEGSEVSETLKKISPESNRIPRSSEESKPSINVLTPEEQSNQRKMTACVGKSSSTSSASIFAVSSVPDSSKPTDSQNNSKQQVMPDNNVSSKKGYATNDVPRDGDRHDLSRKAVKERPKSSYSSTSKVPHQSRISHASISKRNISESKDSVPSSSLKASLMQNSSVTSVSGESAGSLQSQSASFIHQNKTSASGFPQKGEKSSQSSSQPASKSAHASSVHPFATSNSTTLSDEELALLLHQELNSSPRVPRVPRVRQAGSFPQLASATATSMLMKRTSSSGGKDHSMVPRRKNKDASKDGSRGSRELDHDAKRTDKVLSSHDQRQDLGSTMDASAKRNDKNVHATPSTATNSGPSSSTEANEQNLSYVRSSPRNLSDDDTGTARGSVPRTLPGLINDIMSKGRRMTYEELCNAVLPHWPNLRKHNGERYAYSTHSQAVLDCLRNRQEWAQLVDRGPKI >KJB52388 pep chromosome:Graimondii2_0_v6:8:54119386:54125481:1 gene:B456_008G259800 transcript:KJB52388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRTQRADTHDDWGDGSWTVDCICGVNFDDGEEMVKCDECGVWVHTRCSRYTKGEELFACDKCKNKSNRNDSEETEVAQLLVELPTKTVRIESSSTRRPFRLWTDIPMEERVHVQGVPGGEPGLFSGLSGVFTPQLWKCTGYVPKKFNFQYREFPCWDENKDDDNKNGKQNEFESGNPADNGAGVLFSLSKERVFNAPMHPKKDVLNEGKKSESEDFEGKHWQNGVRKDRGVLQPVTAPSSKQKKDEPGVFKDRSTRKKSRSSAEKEAYEKKRSVQPHKTVFRPSCDAKQLEFYEDRVPKSFATGVQSGKNKNLKDSVHQEPTSDGNLASNHAVERPKNNSSGKEHASEVSTSSMSGHDSIRIDGKEEKADDQLPAAITSTPKTEDLAQLPLVNKYTGITPIKEEGGGVAIDKVDGVVVEGSTRSPQDHQVDALASTALKVQGNNILKDSNSGISCSFDKSDIEVKREMNDDDSNVVLINQRSNPDDTKDTEISFHQTSETPQMNDLVGGFSHSSDSKARINESEIVADCHSDKANELSGHCSLLKCDLEGSEVSETLKKISPESNRIPRSSEESKPSINVLTPEEQSNQRKMTACVGKSSSTSSASIFAVSSVPDSSKPTDSQNNSKQQVMPDNNVSSKKGYATNDVPRDGDRHDLSRKAVKERPKSSYSSTSKVPHQSRISHASISKRNISESKDSVPSSSLKASLMQNSSVTSVSGESAGSLQSQSASFIHQNKTSASGFPQKGEKSSQSSSQPASKSAHASSVHPFATSNSTTLSDEELALLLHQELNSSPRVPRVPRVRQAGSFPQLASATATSMLMKRTSSSGGKDHSMVPRRKNKDASKDGSRGSRELDHDAKRTDKVLSSHDQRQDLGSTMDASAKRNDKNVHATPSTATNSGPSSSTEANEQNLSYVRSSPRNLSDDDTGTARGSVPRTLPGLINDIMSKGRRMTYEELCNAVLPHWPNLRKHNGERYAYSTHSQAVLDCLRNRQEWAQLVDRGPKTNSSKKRRKADAEDSDDNEFGKGRSTKEVESKSLESQKEEFPKGKRKARKRRRLALQGRGIKDVRRRRKVDFSDDDAGPFSNSSEESMFSDDEIQGSGACPVGSDASASSD >KJB52387 pep chromosome:Graimondii2_0_v6:8:54119386:54125481:1 gene:B456_008G259800 transcript:KJB52387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRTQRADTHDDWGDGSWTVDCICGVNFDDGEEMVKCDECGVWVHTRCSRYTKGEELFACDKCKNKSNRNDSEETEVAQLLVELPTKTVRIESSSTRRPFRLWTDIPMEERVHVQGVPGGEPGLFSGLSGVFTPQLWKCTGYVPKKFNFQYREFPCWDENKDDDNKNGKQNEFESGNPADNGAGVLFSLSKERVFNAPMHPKKDVLNEGKKSESEDFEGKHWQNGVRKDRGVLQPVTAPSSKQKKDEPGVFKDRSTRKKSRSSAEKEAYEKKRSVQPHKTDRVPKSFATGVQSGKNKNLKDSVHQEPTSDGNLASNHAVERPKNNSSGKEHASEVSTSSMSGHDSIRIDGKEEKADDQLPAAITSTPKTEDLAQLPLVNKYTGITPIKEEGGGVAIDKVDGVVVEGSTRSPQDHQVDALASTALKVQGNNILKDSNSGISCSFDKSDIEVKREMNDDDSNVVLINQRSNPDDTKDTEISFHQTSETPQMNDLVGGFSHSSDSKARINESEIVADCHSDKANELSGHCSLLKCDLEGSEVSETLKKISPESNRIPRSSEESKPSINVLTPEEQSNQRKMTACVGKSSSTSSASIFAVSSVPDSSKPTDSQNNSKQQVMPDNNVSSKKGYATNDVPRDGDRHDLSRKAVKERPKSSYSSTSKVPHQSRISHASISKRNISESKDSVPSSSLKASLMQNSSVTSVSGESAGSLQSQSASFIHQNKTSASGFPQKGEKSSQSSSQPASKSAHASSVHPFATSNSTTLSDEELALLLHQELNSSPRVPRVPRVRQAGSFPQLASATATSMLMKRTSSSGGKDHSMVPRRKNKDASKDGSRGSRELDHDAKRTDKVLSSHDQRQDLGSTMDASAKRNDKNVHATPSTATNSGPSSSTEANEQNLSYVRSSPRNLSDDDTGTARGSVPRTLPGLINDIMSKGRRMTYEELCNAVLPHWPNLRKHNGERYAYSTHSQAVLDCLRNRQEWAQLVDRGPKTNSSKKRRKADAEDSDDNEFGKGRSTKEVESKSLESQKEEFPKGKRKARKRRRLALQGRGIKDVRRRRKVDFSDDDAGPFSNSSEESMFSDDEIQGSGACPVGSDASASSD >KJB52385 pep chromosome:Graimondii2_0_v6:8:54119227:54125481:1 gene:B456_008G259800 transcript:KJB52385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGFRITSMKGRTQRADTHDDWGDGSWTVDCICGVNFDDGEEMVKCDECGVWVHTRCSRYTKGEELFACDKCKNKSNRNDSEETEVAQLLVELPTKTVRIESSSTRRPFRLWTDIPMEERVHVQGVPGGEPGLFSGLSGVFTPQLWKCTGYVPKKFNFQYREFPCWDENKDDDNKNGKQNEFESGNPADNGAGVLFSLSKERVFNAPMHPKKDVLNEGKKSESEDFEGKHWQNGVRKDRGVLQPVTAPSSKQKKDEPGVFKDRSTRKKSRSSAEKEAYEKKRSVQPHKTVFRPSCDAKQLEFYEDRVPKSFATGVQSGKNKNLKDSVHQEPTSDGNLASNHAVERPKNNSSGKEHASEVSTSSMSGHDSIRIDGKEEKADDQLPAAITSTPKTEDLAQLPLVNKYTGITPIKEEGGGVAIDKVDGVVVEGSTRSPQDHQVDALASTALKVQGNNILKDSNSGISCSFDKSDIEVKREMNDDDSNVVLINQRSNPDDTKDTEISFHQTSETPQMNDLVGGFSHSSDSKARINESEIVADCHSDKANELSGHCSLLKCDLEGSEVSETLKKISPESNRIPRSSEESKPSINVLTPEEQSNQRKMTACVGKSSSTSSASIFAVSSVPDSSKPTDSQNNSKQQVMPDNNVSSKKGYATNDVPRDGDRHDLSRKAVKERPKSSYSSTSKVPHQSRISHASISKRNISESKDSVPSSSLKASLMQNSSVTSVSGESAGSLQSQSASFIHQNKTSASGFPQKGEKSSQSSSQPASKSAHASSVHPFATSNSTTLSDEELALLLHQELNSSPRVPRVPRVRQAGSFPQLASATATSMLMKRTSSSGGKDHSMVPRRKNKDASKDGSRGSRELDHDAKRTDKVLSSHDQRQDLGSTMDASAKRNDKNVHATPSTATNSGPSSSTEANEQNLSYVRSSPRNLSDDDTGTARGSVPRTLPGLINDIMSKGRRMTYEELCNAVLPHWPNLRKHNGERYAYSTHSQAVLDCLRNRQEWAQLVDRGPKTNSSKKRRKADAEDSDDNEFGKGRSTKEVESKSLESQKEEFPKGKRKARKRRRLALQGRGIKDVRRRRKVDFSDDDAGPFSNSSEESMFSDDEIQGSGACPVGSDASASSD >KJB50511 pep chromosome:Graimondii2_0_v6:8:45069813:45078174:-1 gene:B456_008G174700 transcript:KJB50511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSLLKQASHTHKSKFVPESCTSSLSSSSSTGFCQTSAFINKFRPSKAINHGFSGCPILGFRENYVFPSQKDCLGRFGFSHSGNPLTFSGKFWNFKGYASAAEAIVSNEDDLSGSEEINELVEAMIKEERKESFSKQPKIMVGGMGVAKYNTLKRRQIKIETEAWEEAAKEYQELIADMCQQKLAPNLPYVKSLFLGWFEPLRDSIAAEQEVCKGNFKISHAAYFNELSADMMAVVTMHKLMGLLMTNTAGTGGIRVVQAACQIGEAIENEARIQKFLEKTKKKNTTDKKSVTESEPETTEQGKLAKNEEKLRKKVTQLMKKQKVHQVREIVKGRDTSKPWGQEAHVKVGCRLIQLLMENAYIQPPVDQIGDGPPDIRPAFVHALKNVIKDGNKGSRRYGVIECDPLVRKGLEKTAKHMVIPYMPMLVPPQNWTGYDQGAYLFLPSYVMRTHGAKQQRETVKRTPRKQLEPVFEALDTLGNTKWRINRRILGVVDRLWANGGRLADLVDREDVPLPEEPDTEDETEIRKWKWKVKAVKKENNERHSQRCDVELKLAVARKMKDEVGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYEGRVEFTESHLDDIFDSADRPLEGKRWWLSAEDPFQCLAACINLSEALRSSIPEATISHMPVHQDGSCNGLQHYAALGRDKLGAAAVNLVAGDKPADVYSGIAARVLDIMKRDAQEDPATNPNALHARLLINQVDRKLVKQTVMTSVYGVTYVGARDQIKRRLKERGAIADDTQLFVASCYAARTTLTALGEMFQAARSIMGWLGECAKVIASENQPVRWVTPLGLPVVQPYRQLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKKAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYEAPILENVMYL >KJB50509 pep chromosome:Graimondii2_0_v6:8:45068647:45078174:-1 gene:B456_008G174700 transcript:KJB50509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSLLKQASHTHKSKFVPESCTSSLSSSSSTGFCQTSAFINKFRPSKAINHGFSGCPILGFRENYVFPSQKDCLGRFGFSHSGNPLTFSGKFWNFKGYASAAEAIVSNEDDLSGSEEINELVEAMIKEERKESFSKQPKIMVGGMGVAKYNTLKRRQIKIETEAWEEAAKEYQELIADMCQQKLAPNLPYVKSLFLGWFEPLRDSIAAEQEVCKGNFKISHAAYFNELSADMMAVVTMHKLMGLLMTNTAGTGGIRVVQAACQIGEAIENEARIQKFLEKTKKKNTTDKKSVTESEPETTEQGKLAKNEEKLRKKVTQLMKKQKVHQVREIVKGRDTSKPWGQEAHVKVGCRLIQLLMENAYIQPPVDQIGDGPPDIRPAFVHALKNVIKDGNKGSRRYGVIECDPLVRKGLEKTAKHMVIPYMPMLVPPQNWTGYDQGAYLFLPSYVMRTHGAKQQRETVKRTPRKQLEPVFEALDTLGNTKWRINRRILGVVDRLWANGGRLADLVDREDVPLPEEPDTEDETEIRKWKWKVKAVKKENNERHSQRCDVELKLAVARKMKDEVGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYEGRVEFTESHLDDIFDSADRPLEGKRWWLSAEDPFQCLAACINLSEALRSSIPEATISHMPVHQDGSCNGLQHYAALGRDKLGAAAVNLVAGDKPADVYSGIAARVLDIMKRDAQEDPATNPNALHARLLINQVDRKLVKQTVMTSVYGVTYVGARDQIKRRLKERGAIADDTQLFVASCYAARTTLTALGEMFQAARSIMGWLGECAKVIASENQPVRWVTPLGLPVVQPYRQLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKKAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYEAPILENLLESFQKAFPSLNFPPLPERGDFDLREVLESPYFFN >KJB50510 pep chromosome:Graimondii2_0_v6:8:45068707:45078174:-1 gene:B456_008G174700 transcript:KJB50510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSLLKQASHTHKSKFVPESCTSSLSSSSSTGFCQTSAFINKFRPSKAINHGFSGCPILGFRENYVFPSQKDCLGRFGFSHSGNPLTFSGKFWNFKGYASAAEAIVSNEDDLSGSEEINELVEAMIKEERKESFSKQPKIMVGGMGVAKYNTLKRRQIKIETEAWEEAAKEYQELIADMCQQKLAPNLPYVKSLFLGWFEPLRDSIAAEQEVCKGNFKISHAAYFNELSADMMAVVTMHKLMGLLMTNTAGTGGIRVVQAACQIGEAIENEARIQKFLEKTKKKNTTDKKSVTESEPETTEQGKLAKNEEKLRKKVTQLMKKQKVHQVREIVKGRDTSKPWGQEAHVKVGCRLIQLLMENAYIQPPVDQIGDGPPDIRPAFVHALKNVIKDGNKGSRRYGVIECDPLVRKGLEKTAKHMVIPYMPMYDQGAYLFLPSYVMRTHGAKQQRETVKRTPRKQLEPVFEALDTLGNTKWRINRRILGVVDRLWANGGRLADLVDREDVPLPEEPDTEDETEIRKWKWKVKAVKKENNERHSQRCDVELKLAVARKMKDEVGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYEGRVEFTESHLDDIFDSADRPLEGKRWWLSAEDPFQCLAACINLSEALRSSIPEATISHMPVHQDGSCNGLQHYAALGRDKLGAAAVNLVAGDKPADVYSGIAARVLDIMKRDAQEDPATNPNALHARLLINQVDRKLVKQTVMTSVYGVTYVGARDQIKRRLKERGAIADDTQLFVASCYAARTTLTALGEMFQAARSIMGWLGECAKVIASENQPVRWVTPLGLPVVQPYRQLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKKAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYEAPILENLLESFQKAFPSLNFPPLPERGDFDLREVLESPYFFN >KJB49848 pep chromosome:Graimondii2_0_v6:8:39271318:39273088:-1 gene:B456_008G141200 transcript:KJB49848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLNGLTVGDPLPENLMESPARSETMFYPRDEMSWQYSSMSEDSDDSRFCETPMMSTCLSHSDSRPTSPVSPYRYQRPANGVTSAPSTSSYPLHGNVSTIGCSQPRQRGSDSEGRIPSSPSDICHSADLRRAALLRSVQMRTQPSSPSSFETPVEDREYQIEECSTLGISKPEFSQEKSLHSESG >KJB49849 pep chromosome:Graimondii2_0_v6:8:39271318:39273135:-1 gene:B456_008G141200 transcript:KJB49849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPESSSATPSSSSTPSPSGKRSRDPEDEIYIDNLHSHKRYLSEIMASSLNGLTVGDPLPENLMESPARSETMFYPRDEMSWQYSSMSEDSDDSRFCETPMMSTCLSHSDSRPTSPVSPYRYQRPANGVTSAPSTSSYPLHGNVSTIGCSQPRQRGSDSEGRIPSSPSDICHSADLRRAALLRSVQMRTQPSSPSSFETPVEDREYQIEECSTLGISKPEFSQEKSLHSESG >KJB51254 pep chromosome:Graimondii2_0_v6:8:49842607:49844663:1 gene:B456_008G211900 transcript:KJB51254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKIGSRTWYPEASDNYIQKCLEKDRRTTTVSLGFRIAGLQLYEGKESGYWRPGRKEVQSFTVYNVRSILRRFVSSNSSIGNENSDCSFAPSIYGGSAGILEQLLELKAWFEDQTIYHFHSCSLLIFFDKESVSKGSASVPEIKLIDFAHVVEGKGVIDHNFLGGLCSLIKFVSEVLSDSKESSIKDRVESEKPGICTDNGNCQ >KJB51255 pep chromosome:Graimondii2_0_v6:8:49842607:49844663:1 gene:B456_008G211900 transcript:KJB51255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVPEHQVAGHLAVDGKLGPLVDDSGRFYKPLQGDGRGNKELAFYESFSSDTRIGSRTWYPEASDNYIQKCLEKDRRTTTVSLGFRIAGLQLYEGKESGYWRPGRKEVQSFTVYNVRSILRRFVSSNSSIGNENSDCSFAPSIYGGSAGILEQLLELKAWFEDQTIYHFHSCSLLIFFDKESVSKGSASVPEIKLIDFAHVVEGKGVIDHNFLGGLCSLIKFVSEVLSDSKESSIKDRVESEKPGICTDNGNCQ >KJB51252 pep chromosome:Graimondii2_0_v6:8:49842339:49844904:1 gene:B456_008G211900 transcript:KJB51252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVPEHQVAGHLAVDGKLGPLVDDSGRFYKPLQGDGRGNKELAFYESFSSDTRVPDHIRKYFPVCYGSQLLEASNGSGLLHHLVLQDIVSSHRNPSIMDVKIGSRTWYPEASDNYIQKCLEKDRRTTTVSLGFRIAGLQLYEGKESGYWRPGRKEVQSFTVYNVRSILRRFVSSNSSIGNENSDCSFAPSIYGGSAGILEQLLELKAWFEDQTIYHFHSCSLLIFFDKESVSKGSASVPEIKLIDFAHVVEGKGVIDHNFLGGLCSLIKFVSEVLSDSKESSIKDRVESEKPGICTDNGNCQ >KJB51253 pep chromosome:Graimondii2_0_v6:8:49842353:49844904:1 gene:B456_008G211900 transcript:KJB51253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAHSSFYNMLKVPEHQVAGHLAVDGKLGPLVDDSGRFYKPLQGDGRGNKELAFYESFSSDTRVPDHIRKYFPVCYGSQLLEASNGSGLLHHLVLQDIVSSHRNPSIMDVKIGSRTWYPEASDNYIQKCLEKDRRTTTVSLGFRIAGLQLYEGKESGYWRPGRKEVQSFTVYNVRSILRRFVSSNSSIGNENSDCSFAPSIYGGSAGILEQLLELKAWFEDQTIYHFHSCSLLIFFDKESVSKGSASVPEIKLIDFAHVVEGKGVIDHNFLGGLCSLIKFVSEVLSDSKESSIKDRVESEKPGICTDNGNCQ >KJB50609 pep chromosome:Graimondii2_0_v6:8:45566216:45568522:1 gene:B456_008G179200 transcript:KJB50609 gene_biotype:protein_coding transcript_biotype:protein_coding description:VTI1B [Source:Projected from Arabidopsis thaliana (AT1G26670) UniProtKB/TrEMBL;Acc:A0A178W140] MSEVFEGYERQYCELSANLSRKCNSASAITDSEQKKQKFSEINSGIDEADVLIRKMDLEARSLQPGVKASLLAKLREYKADLNKLKKEFKRISSPNAHDELLESGIADIHSVSAEQRDRLSMSVERLNQSSERIKESRRTVLETEELGISILEDLHQQRQTLLHAHNKLYDVDSAIDKSKKVLTTMSRRITKNKWIVISIIVALVLAIILILYYKISHG >KJB50610 pep chromosome:Graimondii2_0_v6:8:45566838:45567833:1 gene:B456_008G179200 transcript:KJB50610 gene_biotype:protein_coding transcript_biotype:protein_coding description:VTI1B [Source:Projected from Arabidopsis thaliana (AT1G26670) UniProtKB/TrEMBL;Acc:A0A178W140] MDLEARSLQPGVKASLLAKLREYKADLNKLKKEFKRISSPNAHDELLESGIADIHSVSAEQRDRLSMSVERLNQSSERIKESRRTVLETEELGISILEDLHQQRQTLLHAHNKVLFLIKMLVLISRSHIVLFH >KJB50611 pep chromosome:Graimondii2_0_v6:8:45566390:45568517:1 gene:B456_008G179200 transcript:KJB50611 gene_biotype:protein_coding transcript_biotype:protein_coding description:VTI1B [Source:Projected from Arabidopsis thaliana (AT1G26670) UniProtKB/TrEMBL;Acc:A0A178W140] MDLEARSLQPGVKASLLAKLREYKADLNKLKKEFKRISSPNAHDELLESGIADIHSVSAEQRDRLSMSVERLNQSSERIKESRRTVLETEELGISILEDLHQQRQTLLHAHNKLYDVDSAIDKSKKVLTTMSRRITKNKWIVISIIVALVLAIILILYYKISHG >KJB49955 pep chromosome:Graimondii2_0_v6:8:39967215:39968132:-1 gene:B456_008G146600 transcript:KJB49955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSLIIDANFKQSTENLENIQKKLKHLYFVQVASIYTVVCVSRMHEYTKENSGPLKSRVQIVEEIVKTVIGFFNDKFHNVLFELFKFFYRKVDELLSPFECHVPSIVKHASSQARTVASKVQRVRVVDAANSITRNVYTKYGPTTKEMYDKYEVVAKQYVVVVPTNAYWLKKYNQVVQQFGEKWCLVAAYLSLGPNDIITKVFMDNRGAPVISSNEKSI >KJB47812 pep chromosome:Graimondii2_0_v6:8:5701768:5703385:1 gene:B456_008G042700 transcript:KJB47812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHILKTTPFSSSYSKALLLATAAKPAALAAAVLPCNPRLRFPFSSPKAITSSGYGSFLHLRKRGFRGSVVLTMAASGSVQKSEEEWSAILSPEQFRILRQKGTEYPGTGEYDKFFEEGIYKCAGCGTSLYKSTTKFNSGCGWPAFYEGLPGAINRHPDPDGMRTEITCAACGGHLGHVFKGEGFPTPTDERHCVNSISLKFIPANSSD >KJB47813 pep chromosome:Graimondii2_0_v6:8:5701868:5703099:1 gene:B456_008G042700 transcript:KJB47813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHILKTTPFSSSYSKALLLATAAKPAALAAAVLPCNPRLRFPFSSPKAITSSGYGSFLHLRKRGFRGSVVLTMAASGSVQKSEEEWSAILSPEQFRILRQKGTEYPGTGEYDKFFEEGIYKCAGCGTSLYKSTTKFNSGCGWPAFYEGLPGAINRHVSYLPLLLNLFSSIPDFTNQVCDV >KJB48799 pep chromosome:Graimondii2_0_v6:8:19890622:19897102:-1 gene:B456_008G087800 transcript:KJB48799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSSSITKDPPATPSWFTPERLLILFCVINMINYVDQGVIGSNGVNGSIRTCDDKGICTSGSGIQGEFDLTNFQDGLLSSAFMVGFLVASPIFASLTKSHHPFRLIGVGLSVWTLAAAGCGSAFSFWFIAICRMLVGVGEASFISLAAPFIDDSAPVSQETAWLAMFYICIPTGIAVGYVYGGFVGSQFNWRYAFWGEALLMLPFAVFGFAFEPLQLKDSEASKLHDHILVGADSMSVDEFKKTSKSVNIHNILNQFSQFAKDMKALLVDKVYAVNIFGYIAYNFIIGAYSYWGPKAGYNIYHMENADMMFGGITIVCGILGTLSGGFILDRLSASIYKAFIAPVNYVSLHCVKPSLRPLSMAISTVSIHIFGDVPSSPLMGVLQDNINNWRASALILTSILFLAAGIWFIGVSLHSDDASNDRSESQVCTVTVDNGTPLLYGNGDESSYEA >KJB48798 pep chromosome:Graimondii2_0_v6:8:19890622:19897102:-1 gene:B456_008G087800 transcript:KJB48798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSSSITKDPPATPSWFTPERLLILFCVINMINYVDQGVIGSNGVNGSIRTCDDKGICTSGSGIQGEFDLTNFQDGLLSSAFMVGFLVASPIFASLTKSHHPFRLIGVGLSVWTLAAAGCGSAFSFWFIAICRMLVGVGEASFISLAAPFIDDSAPVSQETAWLAMFYICIPTGIAVGYVYGGFVGSQFNWRYAFWGEALLMLPFAVFGFAFEPLQLKVADSEASKLHDHILVGADSMSVDEFKKTSKSVNIHNILNQFSQFAKDMKALLVDKVYAVNIFGYIAYNFIIGAYSYWGPKAGYNIYHMENADMMFGGITIVCGILGTLSGGFILDRLSASIYKAFIAPVNYVSLHCVKPSLRPLSMAISTVSIHIFGDVPSSPLMGVLQDNINNWRASALILTSILFLAAGIWFIGVSLHSDDASNDRSESQVCTVTVDNGTPLLYGNGDESSYEA >KJB50651 pep chromosome:Graimondii2_0_v6:8:46062964:46066493:-1 gene:B456_008G182800 transcript:KJB50651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 2 [Source:Projected from Arabidopsis thaliana (AT1G69840) UniProtKB/Swiss-Prot;Acc:Q9CAR7] MGQALGCIQVEQSTVAITEAFGKFDDVLQPGCHCLPWCFGKQAAGTLSLRVQQLDVRCETKTKDNVFVNVVASIQYRALAEKAQDAFYKLSNTRAQIQSYVFDVIRASVPKLELDAVFEQKNDIARAVEEELEKAMSHYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGDAESKYLAGLGVARQRQAIVDGLRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVRDIASQIRDGLLQASQNN >KJB50650 pep chromosome:Graimondii2_0_v6:8:46062944:46066880:-1 gene:B456_008G182800 transcript:KJB50650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 2 [Source:Projected from Arabidopsis thaliana (AT1G69840) UniProtKB/Swiss-Prot;Acc:Q9CAR7] MGQALGCIQVEQSTVAITEAFGKFDDVLQPGCHCLPWCFGKQAAGTLSLRVQQLDVRCETKTKDNVFVNVVASIQYRALAEKAQDAFYKLSNTRAQIQSYVFDVIRASVPKLELDAVFEQKNDIARAVEEELEKAMSHYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGDAESKYLAGLGVARQRQAIVDGLRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVRDIASQIRDGLLQASQNN >KJB50649 pep chromosome:Graimondii2_0_v6:8:46062944:46066262:-1 gene:B456_008G182800 transcript:KJB50649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 2 [Source:Projected from Arabidopsis thaliana (AT1G69840) UniProtKB/Swiss-Prot;Acc:Q9CAR7] MGQALGCIQVEQSTVAITEAFGKFDDVLQPGCHCLPWCFGKQAAGTLSLRVQQLDVRCETKTKDNVFVNVVASIQYRALAEKAQDAFYKLSNTRAQIQSYVFDVIRASVPKLELDAVFEQKNDIARAVEEELEKAMSHYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGDAESKYLAGLGVARQRQAIVDGLRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVRDIASQIRDGLLQASQNN >KJB50648 pep chromosome:Graimondii2_0_v6:8:46062942:46066294:-1 gene:B456_008G182800 transcript:KJB50648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 2 [Source:Projected from Arabidopsis thaliana (AT1G69840) UniProtKB/Swiss-Prot;Acc:Q9CAR7] MGQALGCIQVEQSTVAITEAFGKFDDVLQPGCHCLPWCFGKQAAGTLSLRVQQLDVRCETKTKDNVFVNVVASIQYRALAEKAQDAFYKLSNTRAQIQSYVFDVIRASVPKLELDAVFEQKNDIARAVEEELEKAMSHYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGDAESKYLAGLGVARQRQAIVDGLRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVRDIASQIRDGLLQASQNN >KJB50813 pep chromosome:Graimondii2_0_v6:8:46922531:46934143:1 gene:B456_008G187900 transcript:KJB50813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWKMKRNSKSSFSASNMRLSGIENMAALLEKQLASEISEMTLEEALKLARAFSHYLTLMGIAETYHRVRKGRSVTHLSKSCDDIFSQLIQGGVTPNDLYDTVCKQEVEIVLTAHPTQINRRTLQYKHIRIAHLLEYNDRPDLGHEDREMLIEDLVREITSIWQTDELRRHKPTPVDEARAGLNIVEQSLWKAVPHYLRRVSTALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAIDLYIREIDSLRFELSMNRCNDRLSRLAQEILEKETLSENLRESRNQPLSRSQLKLHGQQAPSLPTQLPDRAGLPACTDYTDGGSQYPKLELPGTDYMPLAREDGRENSSKDLSPNIPKLSANGSSANSNGSSTAVTSRGSFSSGQLLAQRKLFAESTIGRSSFHKLLEPSSALRPGIAPYRIVLGDIKEKLMKTRRRLELLLEDLPCEYDPWDYYETKDQFLEPLLLCYESLQSCGAGILADGRLADLIRRVSTFGMVLMKLDLRQESGRHAETLDAITKYLDMGTYSEWDEEKKLEFLTKELKGKRPLVPPTIEVAPDVKEVLDTFFVAAELGSESLGAYVISMASNASDVLAVELLQKDARLAVSGELGKPCPGGMLRVVPLFETVKDLRGAGSVIRKLLSIDWYREHIVKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQGDVVAACNEFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQIATRQLEIYTTAVLLATLRPPQPPREQKWCNLMEEISKISCQNYRSTVYENPEFLAYFQEATPQAELGYLNIGSRPTRRKATTGIGHLRAIPWIFAWTQTRFVLPAWLGVGAGLKGVCEKGHTEDLKAMYKEWPFFQSTVDLIEMVLGKADIPIAKHYDEVLVSESRRELGAELRRELMMTEKHVLVVSGHEKLSENNKSLRRLIESRLSYLNPMNMLQVEVLRRLRRDDENNKLRDALLITINGIAAGMRNTG >KJB50812 pep chromosome:Graimondii2_0_v6:8:46921761:46934297:1 gene:B456_008G187900 transcript:KJB50812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTTDDIAEEISFQSFEDDFKLLGNLLNDVLQREVGAQFMAKIERIRLLALSASNMRLSGIENMAALLEKQLASEISEMTLEEALKLARAFSHYLTLMGIAETYHRVRKGRSVTHLSKSCDDIFSQLIQGGVTPNDLYDTVCKQEVEIVLTAHPTQINRRTLQYKHIRIAHLLEYNDRPDLGHEDREMLIEDLVREITSIWQTDELRRHKPTPVDEARAGLNIVEQSLWKAVPHYLRRVSTALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAIDLYIREIDSLRFELSMNRCNDRLSRLAQEILEKETLSENLRESRNQPLSRSQLKLHGQQAPSLPTQLPDRAGLPACTDYTDGGSQYPKLELPGTDYMPLAREDGRENSSKDLSPNIPKLSANGSSANSNGSSTAVTSRGSFSSGQLLAQRKLFAESTIGRSSFHKLLEPSSALRPGIAPYRIVLGDIKEKLMKTRRRLELLLEDLPCEYDPWDYYETKDQFLEPLLLCYESLQSCGAGILADGRLADLIRRVSTFGMVLMKLDLRQESGRHAETLDAITKYLDMGTYSEWDEEKKLEFLTKELKGKRPLVPPTIEVAPDVKEVLDTFFVAAELGSESLGAYVISMASNASDVLAVELLQKDARLAVSGELGKPCPGGMLRVVPLFETVKDLRGAGSVIRKLLSIDWYREHIVKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQGDVVAACNEFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQIATRQLEIYTTAVLLATLRPPQPPREQKWCNLMEEISKISCQNYRSTVYENPEFLAYFQEATPQAELGYLNIGSRPTRRKATTGIGHLRAIPWIFAWTQTRFVLPAWLGVGAGLKGVCEKGHTEDLKAMYKEWPFFQSTVDLIEMVLGKADIPIAKHYDEVLVSESRRELGAELRRELMMTEKHVLVVSGHEKLSENNKSLRRLIESRLSYLNPMNMLQVEVLRRLRRDDENNKLRDALLITINGIAAGMRNTG >KJB47936 pep chromosome:Graimondii2_0_v6:8:6559060:6563017:1 gene:B456_008G047600 transcript:KJB47936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVMLMNEIEAKAASMAIDLNIDFNSIQLPRGEDCGIVSDDEDVYHDDQLEFDSGFGNVIVVDNLPVVPREKFEKLEGVIRKIYSQIGVIKEDGLWMPVDPETKKTLGYCFIEYNTPQEAELAKEKTNGYKLDRAHIFAVNMFDDFDKYMRVPDEWAPPEIKPYTPGENLQKWLTDEKARDQFVIRAGTDTEVLWNDARQSKTELVYKRSYWTESFVQWSPLGTYLATVHRQGAAVWGGANTFNRLMRYAHPQVKLIDFSPGEKFLVTYSSHEPSNPHDANRVVINIFDVRTGKVMRDFKGSADEFTIGGAGGVAGVSWPVFRWGGGKEDKYFAKLGKNMISVYETETFSLIDKKSLKVENVVDFSWSPTDPILALFVPELGGGNQPARVSLVQIPSKVELRQKNLFSVSDCKMYWQSNGEYLAVKADRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDNPRPDISFYSMKSSHNLGRVSKLTTLKGKQANALFWSPGGRFIVLAGLKGFNGQLEFFNVDELETMATAEHFMATDIEWDPTGRYVATAVTSVHEMENGFNIWSFHGKLLYRILKDHFFQFLWRPRPPSFLSAEKEEEILKYLKKYSKKYDAEDQDVSMLLSEQDREKRRMLKEEWEKWISEWRRASEEEKLERQRLRDGEASDEEEEYEAKEVEVEEILDFSEEVLFEE >KJB47938 pep chromosome:Graimondii2_0_v6:8:6559741:6563000:1 gene:B456_008G047600 transcript:KJB47938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDDFDKYMRVPDEWAPPEIKPYTPGENLQKWLTDEKARDQFVIRAGTDTEVLWNDARQSKTELVYKRSYWTESFVQWSPLGTYLATVHRQGAAVWGGANTFNRLMRYAHPQVKLIDFSPGEKFLVTYSSHEPSNPHDANRVVINIFDVRTGKVMRDFKGSADEFTIGGAGGVAGVSWPVFRWGGGKEDKYFAKLGKNMISVYETETFSLIDKKSLKVENVVDFSWSPTDPILALFVPELGGGNQPARVSLVQIPSKVELRQKNLFSVSDCKMYWQSNGEYLAVKADRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDNPRPDISFYSMKSSHNLGRVSKLTTLKGKQANALFWSPGGRFIVLAGLKGFNGQLEFFNVDELETMATAEHFMATDIEWDPTGRYVATAVTSVHEMENGFNIWSFHGKLLYRILKDHFFQFLWRPRPPSFLSAEKEEEILKYLKKYSKKYDAEDQDVSMLLSEQDREKRRMLKEEWEKWISEWRRASEEEKLERQRLRDGEASDEEEEYEAKEVEVEEILDFSEEVLFEE >KJB47937 pep chromosome:Graimondii2_0_v6:8:6559189:6563000:1 gene:B456_008G047600 transcript:KJB47937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVMLMNEIEAKAASMAIDLNIDFNSIQLPRGEDCGIVSDDEDVYHDDQLEFDSGFGNVIVVDNLPVVPREKFEKLEGVIRKIYSQIGVIKEDGLWMPVDPETKKTLGYCFIEYNTPQEAELAKEKTNGYKLDRAHIFAVNMFDDFDKYMRVPDEWAPPEIKPYTPGENLQKWLTDEKARDQFVIRAGTDTEVLWNDARQSKTELVYKRSYWTESFVQWSPLGTYLATVHRQGAAVWGGANTFNRLMRYAHPQVKLIDFSPGEKFLVTYSSHEPSNPHDANRVVINIFDVRTGKVMRDFKGSADEFTIGGAGGVAGVSWPVFRWGGGKEDKYFAKLGKNMISVYETETFSLIDKKSLKVENVVDFSWSPTDPILALFVPELGGGNQPARVSLVQIPSKVELRQKNLFSVSDCKMYWQSNGEYLAVKADRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDNPRPDISFYSMKSSHNLGRVSKLTTLKGKQANALFWSPGGRFIVLAGLKGFNGQLEFFNVDELETMATAEHFMATDIEWDPTGRYVATAVTSVHEMENGFNIWSFHGKLLYRILKDHFFQFLWRPRPPSFLSAGK >KJB47939 pep chromosome:Graimondii2_0_v6:8:6559942:6563000:1 gene:B456_008G047600 transcript:KJB47939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLQENLQKWLTDEKARDQFVIRAGTDTEVLWNDARQSKTELVYKRSYWTESFVQWSPLGTYLATVHRQGAAVWGGANTFNRLMRYAHPQVKLIDFSPGEKFLVTYSSHEPSNPHDANRVVINIFDVRTGKVMRDFKGSADEFTIGGAGGVAGVSWPVFRWGGGKEDKYFAKLGKNMISVYETETFSLIDKKSLKVENVVDFSWSPTDPILALFVPELGGGNQPARVSLVQIPSKVELRQKNLFSVSDCKMYWQSNGEYLAVKADRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDNPRPDISFYSMKSSHNLGRVSKLTTLKGKQANALFWSPGGRFIVLAGLKGFNGQLEFFNVDELETMATAEHFMATDIEWDPTGRYVATAVTSVHEMENGFNIWSFHGKLLYRILKDHFFQFLWRPRPPSFLSAEKEEEILKYLKKYSKKYDAEDQDVSMLLSEQDREKRRMLKEEWEKWISEWRRASEEEKLERQRLRDGEASDEEEEYEAKEVEVEEILDFSEEVLFEE >KJB50402 pep chromosome:Graimondii2_0_v6:8:44176244:44181768:-1 gene:B456_008G169000 transcript:KJB50402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASSSSGPFFGIREENQSQTKQQQQQQHTPTSSTGPVDPSPPPKKKRNQPGTPNPDAEVVALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKKKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQETARHPPPLNSIGTHLYGTSNYMGLGLSQVGTQISSIQDPNNNQTAADILKLGGGGASRSSQFDHLLPPSMGCSSSSSFRPQQQAMGFVMEESNQIFNQEHQSQHGLLGNSKPFQGLMQFPPDDNNNNNNNNASNASSAANLFNLSFLSNSGNNGGAGDYNLTSSGLLMSDNFNNENGGNGGTNNAANLFSNNLMSDQMTSNIPSLFSSSVQNNDMVPQMSATALLQKAAQMGSTSSNKNTNDDSLLRTFANSSSTGTKTSNFGAIFGDTAGNNLHELMNSIASGNSPIFGSGYDAVHGQESPYTNRSSMGQEQPPQSMNVSGGGSDRLTRDFLGVGQIVRNMRGGAAQQRQGIGISRLSSERNSNITAPTSQQSFGERGNFQ >KJB50401 pep chromosome:Graimondii2_0_v6:8:44176482:44181759:-1 gene:B456_008G169000 transcript:KJB50401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASSSSGPFFGIREENQSQTKQQQQQQHTPTSSTGPVDPSPPPKKKRNQPGTPNPDAEVVALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKKKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQETARHPPPLNSIGTHLYGTSNYMGLGLSQVGTQISSIQDPNNNQTAADILKLGGGGASRSSQFDHLLPPSMGCSSSSSFRPQQQAMGFVMEESNQIFNQEHQSQHGLLGNSKPFQGLMQFPPDDNNNNNNNNASNASSAANLFNLSFLSNSGNNGGAGDYNLTSSGLLMSDNFNNENGGNGGTNNAANLFSNNLMSDQMTSNIPSLFSSSVQNNDMVPQMSATALLQKAAQMGSTSSNKNTNDDSLLRTFANSSSTGTKTSNFGAIFGDTAGNNLHELMNSIASGNSPIFGSGYDAVHGQESPYTNRSSMGQEQPPQSMNVSGGGSDRLTRDFLGVGQIVRNMRGGAAQQRQGIGISRLSSERNSNITAPTSQQSFGERGNFQ >KJB50403 pep chromosome:Graimondii2_0_v6:8:44176700:44181733:-1 gene:B456_008G169000 transcript:KJB50403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMETDPDAEVVALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKKKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQETARHPPPLNSIGTHLYGTSNYMGLGLSQVGTQISSIQDPNNNQTAADILKLGGGGASRSSQFDHLLPPSMGCSSSSSFRPQQQAMGFVMEESNQIFNQEHQSQHGLLGNSKPFQGLMQFPPDDNNNNNNNNASNASSAANLFNLSFLSNSGNNGGAGDYNLTSSGLLMSDNFNNENGGNGGTNNAANLFSNNLMSDQMTSNIPSLFSSSVQNNDMVPQMSATALLQKAAQMGSTSSNKNTNDDSLLRTFANSSSTGTKTSNFGAIFGDTAGNNLHELMNSIASGNSPIFGSGYDAVHGQESPYTNRSSMGQEQPPQSMNVSGGGSDRLTRDFLGVGQIVRNMRGGAAQQRQGIGISRLSSERNSNITAPTSQQSFGERGNFQ >KJB50837 pep chromosome:Graimondii2_0_v6:8:47138166:47141410:1 gene:B456_008G189000 transcript:KJB50837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMIIKGVFRRYERWNPVHPTVGAFWGMGIGIGCGVGWGPGFGPDVVGYVGAGCGIGFSVGITLAGVGIGLPANSFFQLPYNAFRTARTRALDLVSTKITPALASYRFAPPHLSDLQREATTRLSTMLPSQTISIWEGVERFSTRFFHPRKGLKD >KJB50838 pep chromosome:Graimondii2_0_v6:8:47138310:47141534:1 gene:B456_008G189000 transcript:KJB50838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKGVFRRYERWNPVHPTVGAFWGMGIGIGCGVGWGPGFGPDVVGYVGAGCGIGFSVGITLAGVGIGLPANSFFQLPYNAFRTARTRALDLVSTKITPALASYRFAPPHLSDLQREATTRLSTMLPSQTISIWEGVERFSTRFFHPRKGLKD >KJB50836 pep chromosome:Graimondii2_0_v6:8:47138175:47141534:1 gene:B456_008G189000 transcript:KJB50836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMIIKGVFRRYERWNPVHPTVGAFWGMGIGIGCGVGWGPGFGPDVVGYVGAGCGIGFSVGITLAGVGIGLPANSFFQLPYNAFRTARTRALDLVSTKITPALASYRFAPPHLSDLQREATTRLSTMLPSQTISIWEGVERFSTRFFHPRKGLKD >KJB47532 pep chromosome:Graimondii2_0_v6:8:3671068:3674277:1 gene:B456_008G030700 transcript:KJB47532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACCVAATDKAIVNGSGSEVIRRNIRYSPRCSSRWNNQGHVVGEDTSISWFSDTISRNDGSEIKSESACTLEDRSPSESFQSCTLQKFPTSEGAAGQLRTPASDQSVSRSLSIDVNLEQVNELVESPAVSYLSPFELSLPLPSASLSVTSPSSSRSHAHPTSSTNRCSVGGERLVMPSWSNESTRGSWGGSSNGLSTHTYSAVMATSQSGRWSFDDDKWGFLHENISKSSGRLSASSSIDLQVCGVCSKSLSEKSLWSSQKIIMSNELSVVAVLTCGHVYHAECLENTTPEIDKYNPSCPICTLGEKKHKSFKAETDFKAKINKRSRSRVVDSDMDSDPLVFNHLKSSGNEKRASSSSMKNSIGRPFLRKHFSFGPKGIKSLSENHSTGKKGIFHPKSSKT >KJB47533 pep chromosome:Graimondii2_0_v6:8:3671068:3674277:1 gene:B456_008G030700 transcript:KJB47533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACCVAATDKAIVNGSGSEVIRRNIRYSPRCSSRWNNQGHVVGEDTSISWFSDTISRNDGSEIKSESACTLEDRSPSESFQSCTLQKFPTSEGAAGQLRTPASDQSVSRSLSIDVNLEQVNELVESPAVSYLSPFELSLPLPSASLSVTSPSSSRSHAHPTSSTNRCSVGGERLVMPSWSNESTRGSWGGSSNGLSTHTYSAVMATSQSGRWSFDDDKWGFLHENISKSSGRLSASSSIDLQVCGVCSKSLSEKSLWSSQKIIMSNELSVVAVLTCGHVYHAECLENTTPEIDKYNPSCPICTLGEKKHKSFKAETDFKAKINKRSRSRVVDSDMDSDPLVFNHLKSSGNEKRASSSSMKNSIGRPFLRKHFSFGPKGIKSLSENHSTGKKGIFHPKSSKT >KJB51052 pep chromosome:Graimondii2_0_v6:8:48380954:48388274:-1 gene:B456_008G199100 transcript:KJB51052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDDAAPFSDSVTIAISLSPSSSSYSSSSSSCSASVVPLPFSPLQQTAESPPFRPLLMSESGGMLSLAGWSHDGERLRFLDAEGNEWGNVVNRFNQLATVKGGRNGHQEVVKWSDFGSCIGMGETAEFGKEVLRAMRGGRRDWKRDITKSELHSYWCRMTDPWLDSRILLFFDLCDRNMDGRIDEREMKQVISLISPINKLAIKNKEAEEYAALIMEALDLQHRGYIQASEFEALCKASLPKGSSTISYKKNHGQQQEPISKAEILFRSYWRRTWIIGLWLTICFALFTWKFIQYSHRAAFQVMGYCLSTAKGAAETLKFNMALILLPVCRNTITWLRNNPGLNSVIPFNDNINFHKVIAGGIVIGIILHGGTHLACDFPRISGSDRSVFRQTIAANFGYQQPSYFQILSTTEVASGIAMVVLMMIAFPLATKWPRRQSPSLPKSVRKVTGYNTFWYSHHLFVPVYALLIVHSMFLFLTDNLVEKTTWMYIAIPVLLYAGERITRAIRSGFSAVEILKVSLYPGKVLSLKLQKPKGFRHKSGMYIFIQCPQISPFEWHPFSLTCGPEDDYLSVHIKTLGDWSCQLYSLFEEAILTGLKQYPKIYIDGPYGASSQDHIKYDIVIMVGLGIGITPFVSILKDIATRLKKSSINHAACGEGSLEKIPLKAYLYWVTREQSSFNWFTDVMKEIADANQKQAVVEVFNFLTSVYQEGDARSALITIIQSLYQAKYGIDIVSRTPLHTQFGRPNWFNIFSKLARRHRGARIGVFYCGPMTLARELEKLCTKFSTKTSTIFVFHKENY >KJB51053 pep chromosome:Graimondii2_0_v6:8:48380739:48388590:-1 gene:B456_008G199100 transcript:KJB51053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDDAAPFSDSVTIAISLSPSSSSYSSSSSSCSASVVPLPFSPLQQTAESPPFRPLLMSESGGMLSLAGWSHDGERLRFLDAEGNEWGNVVNRFNQLATVKGGRNGHQEVVKWSDFGSCIGMGETAEFGKEVLRAMRGGRRDWKRDITKSELHSYWCRMTDPCCDRNMDGRIDEREMKQVISLISPINKLAIKNKEAEEYAALIMEALDLQHRGYIQASEFEALCKASLPKGSSTISYKKNHGQQQEPISKAEILFRSYWRRTWIIGLWLTICFALFTWKFIQYSHRAAFQVMGYCLSTAKGAAETLKFNMALILLPVCRNTITWLRNNPGLNSVIPFNDNINFHKVIAGGIVIGIILHGGTHLACDFPRISGSDRSVFRQTIAANFGYQQPSYFQILSTTEVASGIAMVVLMMIAFPLATKWPRRQSPSLPKSVRKVTGYNTFWYSHHLFVPVYALLIVHSMFLFLTDNLVEKTTWMYIAIPVLLYAGERITRAIRSGFSAVEILKVSLYPGKVLSLKLQKPKGFRHKSGMYIFIQCPQISPFEWHPFSLTCGPEDDYLSVHIKTLGDWSCQLYSLFEEAILTGLKQYPKIYIDGPYGASSQDHIKYDIVIMVGLGIGITPFVSILKDIATRLKKSSINHAACGEGSLEKIPLKAYLYWVTREQSSFNWFTDVMKEIADANQKQAVVEVFNFLTSVYQEGDARSALITIIQSLYQAKYGIDIVSRTPLHTQFGRPNWFNIFSKLARRHRGARIGVFYCGPMTLARELEKLCTKFSTKTSTIFVFHKENY >KJB48469 pep chromosome:Graimondii2_0_v6:8:11846817:11849163:1 gene:B456_008G070400 transcript:KJB48469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFRFGFFILLCVFMHKFHAQQSYSGNSILNCSENDETGSSSAFLYTCNGEKPSCQAFLIFKSYPPYDSISTISNLTSADPLELAHINNISLSTIFPANKEVIVPVNCSCSGQYYQANTSYIIPSKQVDYFSIANNTYQGLSTCKSLNKENSDSGLQAGSVLQVPLRCACPTRNQTLNGIKFLMTYVVHWEDTIHDISKRFNASMESVGDANGIDLDDALIFPFTTVLVPLSTKPSSSQTIIHFQQPPASSPTTPIDVIKSSRKRFTVWLAIGVPLLALFLLLLLMFLHHKKTREAARKDKRKKWELPNDVLVSIDQNLKVYDFKDLEAATENFSNKYKMGASVYRGVLKGELLAIKQMSKAVDKEVSLLQRINHFNLISLRGACEHSGVFYLVYEFMENGSLKEWLQNKSCQKFQIFVVTTCCLIEI >KJB48470 pep chromosome:Graimondii2_0_v6:8:11846914:11848767:1 gene:B456_008G070400 transcript:KJB48470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFRFGFFILLCVFMHKFHAQQSYSGNSILNCSENDETGSSSAFLYTCNGEKPSCQAFLIFKSYPPYDSISTISNLTSADPLELAHINNISLSTIFPANKEVIVPVNCSCSGQYYQANTSYIIPSKQVDYFSIANNTYQGLSTCKSLNKENSDSGLQAGSVLQVPLRCACPTRNQTLNGIKFLMTYVVHWEDTIHDISKRFNASMESVGDANGIDLDDALIFPFTTVLVPLSTKPSSSQTIIHFQQPPASSPTTPIDVIKSSRKRFTVWLAIGVPLLALFLLLLLMFLHHKKTREAARKDKRKKWELPNDVLVSIDQNLKVYDFKDLEAATENFSNKYKMGASVYRGVLKGELLAIKQMSKAVDKEVSLLQRINHFNLISLRGACEHSGVFYLVYEFMENGSLKEWLQNKSCQKFQHMCIKIFVVTTLARSAEREDSRKSSMWSSLGTKGYKAPEYIEYGLVTPEMDIYAFGVLLLELITGKPAVFMQDEKEVLLSERILTIMKGENADAEIDHIIDPNLKGHLWMKLARQMLKLSIDCLAEEPESRLSMAEVVSYLLRIQLDAQRSEEPFPSEWR >KJB50349 pep chromosome:Graimondii2_0_v6:8:43433810:43434762:-1 gene:B456_008G165300 transcript:KJB50349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPIVLTQMATGLGVLAGAVFVKSVMDQKPMAGPFQRCPTCNGTGRVLCICSRWSDGDVGCRTCSGSGRRACSSCGGSGTGRPIPVQLIVRQPTNRSF >KJB49474 pep chromosome:Graimondii2_0_v6:8:35934322:35944248:-1 gene:B456_008G121100 transcript:KJB49474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGSGGGGGPSRNPSVGPVGRAASTSSAASPSSSSSAVSTPHLGFDSVQQQPQQQQQQQSQKQQHQIASRQSLQQQLLRKPEGNEANLAYQASGLQGLMGGSNFPSSPGSMQPPQMSRRFFDLPQQHASAQDSQNRSQGVEQQMASSAQQAYYQFAYQASQQQKALLAQQQAKMAMLGPASFKDQDMRTGNIKMQELISMQAANQAQASSSKNASEQLGCAEKQIEQGSRSASEHKPPAQATVIGQLMPGNVLRAMQTQQAPQTVQNMGNNQVAMAAQLQAWALERNIDLLQPANANLMAQLIPLMQSRMAAQQKTNERNMGTQSSPVPVSKPQITSPSVPSESSPRGNSSNDISGMSGFAKTRPMAPPNTFGSTSSVGVINSANNVSMQQLAIHGLDNQELPRQSVGHGNGMPPMHPPQVSANVSQSIDPSLPAKNSSGGIETVQMQHTKHFNRSSLQPAAPGNDGGSVNNVPSQGGASTQMPQQRFGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIVPPPLVVQQMQLPPLGGNNQDRDGGKNIEDQAKQVESKEKVAQAEQSTKGQNITKDEAYVGDDRATESTAHMQGASAMAKDPSTLPAGKEEQQSSVFSVKSDQEVERGLPKAPVRSDFSADRGKAVSPQVAASDGGQVKKPMQANSAPQLKDPASARKYHGPLFDFPFFTRKHESYGSAMPNSNNNLTLAYDVKDLLFEEGMEVLSKKRSENLRKIGNLLAVNMETKRIRPDLVLRLQIEEKKLRLKDLQARLRDEVDQQQQEIMAMPDRPYRKFVRLCERQRIELARHVQVTQKALREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLIEQQTNIPGDAAERYEVLSSFLTQTEEYLQKLGSKITAAKNQQEVADAANAAAVAARLQGLSEEEVRVAAACAGEEVMIRNRFMEMNAPREGSSVSKYYNLAHAVNEKIIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGGKEQRSKLFSQEVLAMKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSQPFQREPTHNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLKCRMSAIQSAIYDWIKSTGTLRVDPEDEKRKVQKNPIYQAKVYKTLNNRCMELRKTCNHPLLNYPYFNDFSKDFLVRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPHSECFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSQQKEDELRSGGTVDFEDDFAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLHQVNRMIARSEEEVELFDQMDEELDWTEEMTCHEQVPKWLRASVREVNAAVATLSKKPSKNILFTAGGGTESKETDTERKRGRPKKKIPSYKEIDETGEFSEASSDERNGYSGNEEEGEIGEFEDDEFSGAVGAPPVNNDQSEEDGALGDDGYEDAQASEHIRNNHILEEGGSSGSSLDSRRPTQMVSPISPQKFGSLSALDARPGSAARRLSDDLEEGEIAGSGDSHMDHQQSESWNHDRDEGEDEQVVQPKIKRKRSIRVRPRQTVERVEEKSATEEPLLQRGGSSLLSFQLDQKYQSQLRTDTERKPTRERNAFKHDPNDSSSKSRRNLPPRQIANTSKLHASVKPGRMNSMSAPSEDAGKPSRESWDSKLVNTCGSSNFGAKMSDVIQRKCKNVISKLQRRIDKEGQHIVPLLTDLWKRIENSGYTGGSGSNQLDFRKIDQRVDRLEYSGVMELVSDVQLVLKSAMHFYGFSHEVKSEAKKVHDLFFDLLKIVFTDTDFQEARNSLSFFSPASTSTSGPSSRQVAVGKRQKQMTDVESDSGLTQKSLQQRGPTHTGEETRIRVQLPQKELRLGSGSTREPYQQGDSLPTHPGELVTCKKKRKDREKSMVKPRTGSVGPISSPSIVRNIRSPTAGSVSKDVRPTQQTTHQPWPNQPAHLSNGSSGSVGWANPVKKLRTDTGKRRPSHL >KJB49477 pep chromosome:Graimondii2_0_v6:8:35933836:35944775:-1 gene:B456_008G121100 transcript:KJB49477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGSGGGGGPSRNPSVGPVGRAASTSSAASPSSSSSAVSTPHLGFDSVQQQPQQQQQQQSQKQQHQIASRQSLQQQLLRKPEGNEANLAYQASGLQGLMGGSNFPSSPGSMQPPQMSRRFFDLPQQHASAQDSQNRSQGVEQQMASSAQQAYYQFAYQASQQQKALLAQQQAKMAMLGPASFKDQDMRTGNIKMQELISMQAANQAQASSSKNASEQLGCAEKQIEQGSRSASEHKPPAQATVIGQLMPGNVLRAMQTQQAPQTVQNMGNNQVAMAAQLQAWALERNIDLLQPANANLMAQLIPLMQSRMAAQQKTNERNMGTQSSPVPVSKPQITSPSVPSESSPRGNSSNDISGMSGFAKTRPMAPPNTFGSTSSVGVINSANNVSMQQLAIHGLDNQELPRQSVGHGNGMPPMHPPQVSANVSQSIDPSLPAKNSSGGIETVQMQHTKHFNRSSLQPAAPGNDGGSVNNVPSQGGASTQMPQQRFGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIVPPPLVVQQMQLPPLGGNNQDRDGGKNIEDQAKQVESKEKVAQAEQSTKGQNITKDEAYVGDDRATESTAHMQGASAMAKDPSTLPAGKEEQQSSVFSVKSDQEVERGLPKAPVRSDFSADRGKAVSPQVAASDGGQVKKPMQANSAPQLKDPASARKYHGPLFDFPFFTRKHESYGSAMPNSNNNLTLAYDVKDLLFEEGMEVLSKKRSENLRKIGNLLAVNMETKRIRPDLVLRLQIEEKKLRLKDLQARLRDEVDQQQQEIMAMPDRPYRKFVRLCERQRIELARHVQVTQKALREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLIEQQTNIPGDAAERYEVLSSFLTQTEEYLQKLGSKITAAKNQQEVADAANAAAVAARLQGLSEEEVRVAAACAGEEVMIRNRFMEMNAPREGSSVSKYYNLAHAVNEKIIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGGKEQRSKLFSQEVLAMKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSQPFQREPTHNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLKCRMSAIQSAIYDWIKSTGTLRVDPEDEKRKVQKNPIYQAKVYKTLNNRCMELRKTCNHPLLNYPYFNDFSKDFLVRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPHSECFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSQQKEDELRSGGTVDFEDDFAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLHQVNRMIARSEEEVELFDQMDEELDWTEEMTCHEQVPKWLRASVREVNAAVATLSKKPSKNILFTAGGGTESKETDTERKRGRPKKKIPSYKEIDETGEFSEASSDERNGYSGNEEEGEIGEFEDDEFSGAVGAPPVNNDQSEEDGALGDDGYEDAQASEHIRNNHILEEGGSSGSSLDSRRPTQMVSPISPQKFGSLSALDARPGSAARRLSDDLEEGEIAGSGDSHMDHQQSESWNHDRDEGEDEQVVQPKIKRKRSIRVRPRQTVERVEEKSATEEPLLQRGGSSLLSFQLDQKYQSQLRTDTERKPTRERNAFKHDPNDSSSKSRRNLPPRQIANTSKLHASVKPGRMNSMSAPSEDAGKPSRESWDSKLVNTCGSSNFGAKMSDVIQRKCKNVISKLQRRIDKEGQHIVPLLTDLWKRIENSGYTGGSGSNQLDFRKIDQRVDRLEYSGVMELVSDVQLVLKSAMHFYGFSHEVKSEAKKVHDLFFDLLKIVFTDTDFQEARNSLSFFSPASTSTSGPSSRQVAVGKRQKQMTDVESDSGLTQKSLQQRGPTHTGEETRIRVQLPQKELRLGSGSTREPYQQGDSLPTHPGELVTCKKKRKDREKSMVKPRTGSVGPISSPSIVRNIRSPTTQVKGGQAIYDFLVSRSSP >KJB49475 pep chromosome:Graimondii2_0_v6:8:35933888:35942248:-1 gene:B456_008G121100 transcript:KJB49475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPPLGGNNQDRDGGKNIEDQAKQVESKEKVAQAEQSTKGQNITKDEAYVGDDRATESTAHMQGASAMAKDPSTLPAGKEEQQSSVFSVKSDQEVERGLPKAPVRSDFSADRGKAVSPQVAASDGGQVKKPMQANSAPQLKDPASARKYHGPLFDFPFFTRKHESYGSAMPNSNNNLTLAYDVKDLLFEEGMEVLSKKRSENLRKIGNLLAVNMETKRIRPDLVLRLQIEEKKLRLKDLQARLRDEVDQQQQEIMAMPDRPYRKFVRLCERQRIELARHVQVTQKALREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLIEQQTNIPGDAAERYEVLSSFLTQTEEYLQKLGSKITAAKNQQEVADAANAAAVAARLQGLSEEEVRVAAACAGEEVMIRNRFMEMNAPREGSSVSKYYNLAHAVNEKIIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGGKEQRSKLFSQEVLAMKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSQPFQREPTHNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLKCRMSAIQSAIYDWIKSTGTLRVDPEDEKRKVQKNPIYQAKVYKTLNNRCMELRKTCNHPLLNYPYFNDFSKDFLVRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPHSECFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSQQKEDELRSGGTVDFEDDFAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLHQVNRMIARSEEEVELFDQMDEELDWTEEMTCHEQVPKWLRASVREVNAAVATLSKKPSKNILFTAGGGTESKETDTERKRGRPKKKIPSYKEIDETGEFSEASSDERNGYSGNEEEGEIGEFEDDEFSGAVGAPPVNNDQSEEDGALGDDGYEDAQASEHIRNNHILEEGGSSGSSLDSRRPTQMVSPISPQKFGSLSALDARPGSAARRLSDDLEEGEIAGSGDSHMDHQQSESWNHDRDEGEDEQVVQPKIKRKRSIRVRPRQTVERVEEKSATEEPLLQRGGSSLLSFQLDQKYQSQLRTDTERKPTRERNAFKHDPNDSSSKSRRNLPPRQIANTSKLHASVKPGRMNSMSAPSEDAGKPSRESWDSKLVNTCGSSNFGAKMSDVIQRKCKNVISKLQRRIDKEGQHIVPLLTDLWKRIENSGYTGGSGSNQLDFRKIDQRVDRLEYSGVMELVSDVQLVLKSAMHFYGFSHEVKSEAKKVHDLFFDLLKIVFTDTDFQEARNSLSFFSPASTSTSGPSSRQVAVGKRQKQMTDVESDSGLTQKSLQQRGPTHTGEETRIRVQLPQKELRLGSGSTREPYQQGDSLPTHPGELVTCKKKRKDREKSMVKPRTGSVGPISSPSIVRNIRSPTAGSVSKDVRPTQQTTHQPWPNQPAHLSNGSSGSVGWANPVKKLRTDTGKRRPSHL >KJB49476 pep chromosome:Graimondii2_0_v6:8:35933888:35944196:-1 gene:B456_008G121100 transcript:KJB49476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIWVLSHHQYLCPSRKSLLHQFQQLAIHGLDNQELPRQSVGHGNGMPPMHPPQVSANVSQSIDPSLPAKNSSGGIETVQMQHTKHFNRSSLQPAAPGNDGGSVNNVPSQGGASTQMPQQRFGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIVPPPLVVQQMQLPPLGGNNQDRDGGKNIEDQAKQVESKEKVAQAEQSTKGQNITKDEAYVGDDRATESTAHMQGASAMAKDPSTLPAGKEEQQSSVFSVKSDQEVERGLPKAPVRSDFSADRGKAVSPQVAASDGGQVKKPMQANSAPQLKDPASARKYHGPLFDFPFFTRKHESYGSAMPNSNNNLTLAYDVKDLLFEEGMEVLSKKRSENLRKIGNLLAVNMETKRIRPDLVLRLQIEEKKLRLKDLQARLRDEVDQQQQEIMAMPDRPYRKFVRLCERQRIELARHVQVTQKALREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLIEQQTNIPGDAAERYEVLSSFLTQTEEYLQKLGSKITAAKNQQEVADAANAAAVAARLQGLSEEEVRVAAACAGEEVMIRNRFMEMNAPREGSSVSKYYNLAHAVNEKIIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGGKEQRSKLFSQEVLAMKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSQPFQREPTHNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLKCRMSAIQSAIYDWIKSTGTLRVDPEDEKRKVQKNPIYQAKVYKTLNNRCMELRKTCNHPLLNYPYFNDFSKDFLVRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPHSECFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSQQKEDELRSGGTVDFEDDFAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLHQVNRMIARSEEEVELFDQMDEELDWTEEMTCHEQVPKWLRASVREVNAAVATLSKKPSKNILFTAGGGTESKETDTERKRGRPKKKIPSYKEIDETGEFSEASSDERNGYSGNEEEGEIGEFEDDEFSGAVGAPPVNNDQSEEDGALGDDGYEDAQASEHIRNNHILEEGGSSGSSLDSRRPTQMVSPISPQKFGSLSALDARPGSAARRLSDDLEEGEIAGSGDSHMDHQQSESWNHDRDEGEDEQVVQPKIKRKRSIRVRPRQTVERVEEKSATEEPLLQRGGSSLLSFQLDQKYQSQLRTDTERKPTRERNAFKHDPNDSSSKSRRNLPPRQIANTSKLHASVKPGRMNSMSAPSEDAGKPSRESWDSKLVNTCGSSNFGAKMSDVIQRKCKNVISKLQRRIDKEGQHIVPLLTDLWKRIENSGYTGGSGSNQLDFRKIDQRVDRLEYSGVMELVSDVQLVLKSAMHFYGFSHEVKSEAKKVHDLFFDLLKIVFTDTDFQEARNSLSFFSPASTSTSGPSSRQVAVGKRQKQMTDVESDSGLTQKSLQQRGPTHTGEETRIRVQLPQKELRLGSGSTREPYQQGDSLPTHPGELVTCKKKRKDREKSMVKPRTGSVGPISSPSIVRNIRSPTAGSVSKDVRPTQQTTHQPWPNQPAHLSNGSSGSVGWANPVKKLRTDTGKRRPSHL >KJB47080 pep chromosome:Graimondii2_0_v6:8:1197081:1198882:1 gene:B456_008G010200 transcript:KJB47080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIKVHGSPMSTATQRVLACLHEKGTDFQFVPINMAAGEHKSENYLSLNPFGQVPAFEDGDLKLFESRAITHYIAHEYSDKGTQLLIPGSNKDMAVLELWKEVEAHQFDSPSSKIAWEAFYKPFFGMVTDSAAVEENEGKIAKVLDVYEARLTQSKYLASDYFTLADLHHIPNIQCLLATPAKKLIDSRPHVCAWVKEITARPAWSKVLAMQKQ >KJB52943 pep chromosome:Graimondii2_0_v6:8:56031566:56033716:1 gene:B456_008G284500 transcript:KJB52943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNIHGKIGSSINLSEQIFLTTFNVTVNMLWGGSLNGEESNLGLEFKDRFEEFLRLMVEPNVSDMFPVLRPFDLQGIESKAKKNISWFYEFFESVIEQRKKLGEGPKMADSKDFLQQLLELNQTGDVKTSLSMKEINAVLLNIVTGATDTTFTTIEWAMTELLRHPDKLRRVVEELDAIIGDQNIVEEFHLPRLLYLEAVVKETLRIHPPAPFLMPHMPSETIVVAGYTIPKNSNIFFNVWAIQRDIQFWEDPLQFEPERFLNVTEKRNYKGNSFDFFPFGSGRRICVGISMAEKIMMLVLATLLHCFEWELPNGRKPDVKEQLRLVLSKVEPLVAVPIARSSNSMQYQ >KJB53171 pep chromosome:Graimondii2_0_v6:8:56916255:56919429:1 gene:B456_008G296100 transcript:KJB53171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNSTISSYWFNWRVLVCAIWVLVTAFFSFVLIWKYEGFRKSNENGDETQQDRAGFLDEDETWRPCLKGIHPAWLLAFRLVAFFVLLILLIVTAFVDGGSIFYYYTQWTFTLITFYFGLGSLLSIRGCYQYHKSVSGDRVDNVELDAEQGNHAAVATAYGETSSNIAEKNINPEHPQVHFVRRRADTWGYVFQMIFQMNAGAVLLTDCVFWFIIVPFLAIKDYNLSVLAINMHTINAVFLLGDTALNCLHFPCFRIAYFFLWTVTYVIFQWLLHACVNIWWPYPFLDLSSPYAPLWYFSVALMHFPCYGAFALVIKLKHHVFTRWFPESYQCGR >KJB53172 pep chromosome:Graimondii2_0_v6:8:56916410:56919445:1 gene:B456_008G296100 transcript:KJB53172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNSNLGGDSWQPAMTGNSTISSYWFNWRVLVCAIWVLVTAFFSFVLIWKYEGFRKSNENGDETQQDRAGFLDEDETWRPCLKGIHPAWLLAFRLVAFFVLLILLIVTAFVDGGSIFYYYTQWTFTLITFYFGLGSLLSIRGCYQYHKSVSGDRVDNVELDAEQGNHAAVATAYGETSSNIAEKNINPEHPQVHFVRRRADTWGYVFQMIFQMNAGAVLLTDCVFWFIIVPFLAIKDYNLSVLAINMHTINAVFLLGDTALNCLHFPCFRIAYFFLWTVTYVIFQWLLHACVNIWWPYPFLDLSSPYAPLWYFSVALMHFPCYGAFALVIKLKHHVFTRWFPESYQCGR >KJB53170 pep chromosome:Graimondii2_0_v6:8:56916255:56919387:1 gene:B456_008G296100 transcript:KJB53170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNSTISSYWFNWRVLVCAIWVLVTAFFSFVLIWKYEGFRKSNENGDETQQDRAGFLDEDETWRPCLKGIHPAWLLAFRLVAFFVLLILLIVTAFVDGGSIFYYYTQWTFTLITFYFGLGSLLSIRGCYQYHKSVSGDRVDNVELDAEQGNHAAVATAYGETSSNIAEKNINPEHPQVHFVRRRADTWGYVFQMIFQMNAGAVLLTDCVFWFIIVPFLAIKDYNLSVHFPCFRIAYFFLWTVTYVIFQWLLHACVNIWWPYPFLDLSSPYAPLWYFSVALMHFPCYGAFALVIKLKHHVFTRWFPESYQCGR >KJB53169 pep chromosome:Graimondii2_0_v6:8:56916147:56919445:1 gene:B456_008G296100 transcript:KJB53169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNSTISSYWFNWRVLVCAIWVLVTAFFSFVLIWKYEGFRKSNENGDETQQDRAGFLDEDETWRPCLKGIHPAWLLAFRLVAFFVLLILLIVTAFVDGGSIFYYYTQWTFTLITFYFGLGSLLSIRGCYQYHKSVSGDRVDNVELDAEQGNHAAVATAYGETSSNIAEKNINPEHPQVHFVRRRADTWGYVFQMIFQMNAGAVLLTDCVFWFIIVPFLAIKDYNLSVLAINMHTINAVFLLGDTALNCLHFPCFRIAYFFLWTVTYVIFQWLLHACVNIWWPYPFLDLSSPYAPLWYFSVALMHFPCYGAFALVIKLKHHVFTRWFPESYQCGR >KJB53173 pep chromosome:Graimondii2_0_v6:8:56916410:56919445:1 gene:B456_008G296100 transcript:KJB53173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNSNLAGGDSWQPAMTGNSTISSYWFNWRVLVCAIWVLVTAFFSFVLIWKYEGFRKSNENGDETQQDRAGFLDEDETWRPCLKGIHPAWLLAFRLVAFFVLLILLIVTAFVDGGSIFYYYTQWTFTLITFYFGLGSLLSIRGCYQYHKSVSGDRVDNVELDAEQGNHAAVATAYGETSSNIAEKNINPEHPQVHFVRRRADTWGYVFQMIFQMNAGAVLLTDCVFWFIIVPFLAIKDYNLSVLAINMHTINAVFLLGDTALNCLHFPCFRIAYFFLWTVTYVIFQWLLHACVNIWWPYPFLDLSSPYAPLWYFSVALMHFPCYGAFALVIKLKHHVFTRWFPESYQCGR >KJB49823 pep chromosome:Graimondii2_0_v6:8:39244456:39245895:1 gene:B456_008G141000 transcript:KJB49823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKVGLKKGRWTDEEDEILTKYIQVNGEGSWRSLPKNAGLLRCGKSCRLRWINYLRTDLKRGNFTAKEDETIVNLHSTLGNRWSLIASHLPGRTDNEIKNYWNAHLSRKIYRWAMKKNKSCCFNAQKDAISSSNKPMEVVPVIPSHSESEEERNMENISRPNSSSCNTEKETGSEDIWEPCSKDIMGSELLPPNDDLSLSGYGENSIEDDLNPITSCFVDDDLFGILRKFSSRE >KJB48357 pep chromosome:Graimondii2_0_v6:8:10327463:10328296:1 gene:B456_008G0646002 transcript:KJB48357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTREVRGLVLLAVVLMISPCSVDGMDGFERDNGVPGWSNASSSNMVSSENHAFIDNVQEKGTNFSQEIFVQSNGNVHSGGKGGDTGGGGGGGGGNAGGGSGNSNGRGKGKPHWKRKGRGNGGGGGGGDGNGGGGGGGGGGSGGGGGGGNGNGHGQGWGGGNGGERRGG >KJB50955 pep chromosome:Graimondii2_0_v6:8:47968612:47970867:1 gene:B456_008G194700 transcript:KJB50955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKEAILANPPNSRALQQHLISLLQNPKIIKQKLTQVHTQIIINGFSQKNYILVHLLSFYATSSNLLQAFKLFRSIEKPSTTVWNQVIRGCSRTEIPERSLELYKQMVALGAIPDGFTYSYVLSACTRSGMLREGELIHGRVLVDGYSSNVFVRTNLINLYGMVRVGDGIVRARKLFDEMAERNAVSWNSLLAGYIRCGDVDTARRVFDEMPDKNVVSWTTMIAGFARNGKCKQALSFFKQMRRARVELDQVALVAALSACAELGDLELGKWIHSYIKETSQFRNQQLLVSLNNALIHMYASCGLIEEAYEVLRCMPERSTVSWTSMITGLAKHGFAQEAITVFECMLSLGEREVKPDEITYIGVLFACSHAGFVKKGQHYFTQMTTHWRIKPRIEHYCCMIDLFSRAGFFDEALNLIETMPLTPNDAVWGALLGGCRIHKNVELASQVAQKFDVELDPNNAAGYLVLLSNVYATAKRWQDVANVRQKMIESGVKKPAGRSRVQIDGVIHDFQAGDYTSRHTSSIYDILWQVTRQAKQQGYELDIFEAMPVVE >KJB47664 pep chromosome:Graimondii2_0_v6:8:4284465:4286851:1 gene:B456_008G035600 transcript:KJB47664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSPPKQPSSLYPQVDLSNPDATSSSPSSSSSLYPSLDMKDLAENLFPDDATALHTHQDSAEQLLLKVPGAIVHLIERETSIELACGDLCIVSLLQGDNVVAVFARLGDDIQWPLAKDEPVVKLDASHYFFTLRVPSNGSFEDGKDSNQTEDVLNYGLTIAAKGQEGLLKELDRILETYSCFSVQQVKGIENWNLVDARNVAPEELNRKEKRDLIVGSSMAYWTTLAPNVEDYSGSIAKAIASGSGYVVKGILWCGDVTVDRLKWGNEFLSKRIKSGSTSEISPEALRRMKRVKKLTKMSEKVATGILSGVVKVSGFFTGSIVNSKVGKKFFNLMPGEIVLASLDGFSKLFPLVNFTLFVMNLLYLVFSARVQLSCLLSILSYICDTICHAAII >KJB47662 pep chromosome:Graimondii2_0_v6:8:4284252:4286868:1 gene:B456_008G035600 transcript:KJB47662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSPPKQPSSLYPQVDLSNPDATSSSPSSSSSLYPSLDMKDLAENLFPDDATALHTHQDSAEQLLLKVPGAIVHLIERETSIELACGDLCIVSLLQGDNVVAVFARLGDDIQWPLAKDEPVVKLDASHYFFTLRVPSNGSFEDGKDSNQTEDVLNYGLTIAAKGQEGLLKELDRILETYSCFSVQQVKGIENWNLVDARNVAPEELNRKEKRDLIVGSSMAYWTTLAPNVEDYSGSIAKAIASGSGYVVKGILWCGDVTVDRLKWGNEFLSKRIKSGSTSEISPEALRRMKRVKKLTKMSEKVATGILSGVVKVSGFFTGSIVNSKVGKKFFNLMPGEIVLASLDGFNKVCDAVEVAGRNVMSTTSVVTTGLVSQRYGEKAGKVTNEGLDAAGHAIGTAWAVFKIRKALNPKSVFKPTTLAKAAAQANAAELKSKNNK >KJB47663 pep chromosome:Graimondii2_0_v6:8:4284538:4285428:1 gene:B456_008G035600 transcript:KJB47663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSPPKQPSSLYPQVDLSNPDATSSSPSSSSSLYPSLDMKDLAENLFPDDATALHTHQDSAEQLLLKVPGAIVHLIERETSIELACGDLCIVSLLQGDNVVAVFARLGDDIQWPLAKDEPVVKLDASHYFFTLRVPSNGSFEDGKDSNQTEDVLNYGLTIAAKGQEGLLKELDRILETYSCFSVQQVKGIENWNLVDARNVAPEELNRKEKRDLIVGSSMAYWTTLAPNVEDYSGSIAKAIASGSGYVVKGILWCGDVTVDRLKWGNEFLSKRIKSGSTSEISPEALRRMKRFVS >KJB47665 pep chromosome:Graimondii2_0_v6:8:4284465:4286851:1 gene:B456_008G035600 transcript:KJB47665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSPPKQPSSLYPQVDLSNPDATSSSPSSSSSLYPSLDMKDLAENLFPDDATALHTHQDSAEQLLLKVPGAIVHLIERETSIELACGDLCIVSLLQGDNVVAVFARLGDDIQWPLAKDEPVVKLDASHYFFTLRVPSNGSFEDGKDSNQTEDVLNYGLTIAAKGQEGLLKELDRILETYSCFSVQQVKGIENWNLVDARNVAPEELNRKEKRDLIVGSSMAYWTTLAPNVEDYSGSIAKAIASGSGYVVKGILWCGDVTVDRLKWGNEFLSKRIKSGSTSEISPEALRRMKRVKKLTKMSEKVATGILSGVVKVSGFFTGSIVNSKVGKKFFNLMPGEIVLASLDGFSKLFPLVNFTLFVMNLLYLVFSARVQLSCLLSILSYICDTICHAAII >KJB48927 pep chromosome:Graimondii2_0_v6:8:24709293:24714151:1 gene:B456_008G094300 transcript:KJB48927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKYSRVDNNNNNNNRKSSSSYCSTVTIVVFVGLCLVGIWMLTSSSVVPVQTGNGSAEEKKNQVEDQVTPVSSDNNDSSNTSDFEDNRGDLPDDATKGDANVSLAENDGKGNLNTQENQDNTEETKMAESKKDDEQSASEGGEKSGDNSGGQGDSLENSDDKKSDSDENDKKSEENNEKSDLDDGDKKQDESSSETNGDQADNQIEEMVDQNDNKELEKSPDEPKDDGQLKNQSSNEVFPSGAQSELLNETVTQTGSFSTQATESKVEKETQLSSKKYSWKLCNSTAGPDYIPCLDNLEAIRHLPSTKHYEHRERHCPEEPPTCLVPLPEGYKRPIEWPKSREKIWYYNVPHTKLAQIKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDFIEESVPDVAWGKRSRVVLDVGCGVASFGGFLFDRSVVAMSFAPKDEHEAQVQFALERGIPAVSAVMGTKRLPYPGRVFDIVHCARCRVPWHIEGGKLLLELNRVLRPGGFFLWSATPIYQDKTEDVEIWEAMVELTKSMCWELVNKTSKDAVNGVAVAAFRKPTSNDCYEQRSKQEPPVCPESDDPNAAWNVPLQTCMHKVPVDASERGSQWPDRWPERLEKAPYWLSSQVGVYGKAAPEDFAADNEHWKRVVTKSYLNGMGISWSSVRNVMDMNAVYGGFAAALKDLSLWVMNVVPIDSPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKLNKRCNLVAIVAEVDRILRPEGKLIVRDNVETINELENMLKSMQWEVRMTYSKDKEGLLCVQKSMWRPKEVETIKYAIA >KJB48929 pep chromosome:Graimondii2_0_v6:8:24709273:24714151:1 gene:B456_008G094300 transcript:KJB48929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKYSRVDNNNNNNNRKSSSSYCSTVTIVVFVGLCLVGIWMLTSSSVVPVQTGNGSAEEKKNQVEDQVTPVSSDNNDSSNTSDFEDNRGDLPDDATKGDANVSLAENDGKGNLNTQENQDNTEETKMAESKKDDEQSASEGGEKSGDNSGGQGDSLENSDDKKSDSDENDKKSEENNEKSDLDDGDKKQDESSSETNGDQADNQIEEMVDQNDNKELEKSPDEPKDDGQLKNQSSNEVFPSGAQSELLNETVTQTGSFSTQATESKVEKETQLSSKKYSWKLCNSTAGPDYIPCLDNLEAIRHLPSTKHYEHRERHCPEEPPTCLVPLPEGYKRPIEWPKSREKIWYYNVPHTKLAQIKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDFIEESVPDVAWGKRSRVVLDVGCGVASFGGFLFDRSVVAMSFAPKDEHEAQVQFALERGIPAVSAVMGTKRLPYPGRVFDIVHCARCRVPWHIEGGKLLLELNRVLRPGGFFLWSATPIYQDKTEDVEIWEAMVELTKSMCWELVNKTSKDAVNGVAVAAFRKPTSNDCYEQRSKQEPPVCPESDDPNAAWNVPLQTCMHKVPVDASERGSQWPDRWPERLEKAPYWLSSQVGVYGKAAPEDFAADNEHWKRVVTKSYLNGMGISWSSVRNVMDMNAVYGGFAAALKDLSLWVMNVVPIDSPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKLNKRCNLVAIVAEVDRILRPEGKLIVRDNVETINELENMLKSMQWEVRMTYSKDKEGLLCVQKSMWRPKEVETIKYAIA >KJB48930 pep chromosome:Graimondii2_0_v6:8:24709243:24714151:1 gene:B456_008G094300 transcript:KJB48930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKYSRVDNNNNNNNRKSSSSYCSTVTIVVFVGLCLVGIWMLTSSSVVPVQTGNGSAEEKKNQVEDQVTPVSSDNNDSSNTSDFEDNRGDLPDDATKGDANVSLAENDGKGNLNTQENQDNTEETKMAESKKDDEQSASEGGEKSGDNSGGQGDSLENSDDKKSDSDENDKKSEENNEKSDLDDGDKKQDESSSETNGDQADNQIEEMVDQNDNKELEKSPDEPKDDGQLKNQSSNEVFPSGAQSELLNETVTQTGSFSTQATESKVEKETQLSSKKYSWKLCNSTAGPDYIPCLDNLEAIRHLPSTKHYEHRERHCPEEPPTCLVPLPEGYKRPIEWPKSREKIWYYNVPHTKLAQIKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDFIEESVPDVAWGKRSRVVLDVGCGVASFGGFLFDRSVVAMSFAPKDEHEAQVQFALERGIPAVSAVMGTKRLPYPGRVFDIVHCARCRVPWHIEGGKLLLELNRVLRPGGFFLWSATPIYQDKTEDVEIWEAMVELTKSMCWELVNKTSKDAVNGVAVAAFRKPTSNDCYEQRSKQEPPVCPESDDPNAAWNVPLQTCMHKVPVDASERGSQWPDRWPERLEKAPYWLSSQVGVYGKAAPEDFAADNEHWKRVVTKSYLNGMGISWSSVRNVMDMNAVYGGFAAALKDLSLWVMNVVPIDSPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKLNKRCNLVAIVAEVDRILRPEGKLIVRDNVETINELENMLKSMQWEVRMTYSKDKEGLLCVQKSMWRPKEVETIKYAIA >KJB48928 pep chromosome:Graimondii2_0_v6:8:24709817:24713010:1 gene:B456_008G094300 transcript:KJB48928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKYSRVDNNNNNNNRKSSSSYCSTVTIVVFVGLCLVGIWMLTSSSVVPVQTGNGSAEEKKNQVEDQVTPVSSDNNDSSNTSDFEDNRGDLPDDATKGDANVSLAENDGKGNLNTQENQDNTEETKMAESKKDDEQSASEGGEKSGDNSGGQGDSLENSDDKKSDSDENDKKSEENNEKSDLDDGDKKQDESSSETNGDQADNQIEEMVDQNDNKELEKSPDEPKDDGQLKNQSSNEVFPSGAQSELLNETVTQTGSFSTQATESKVEKETQLSSKKYSWKLCNSTAGPDYIPCLDNLEAIRHLPSTKHYEHRERHCPEEPPTCLVPLPEGYKRPIEWPKSREKIWYYNVPHTKLAQIKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDFIEESVPDVAWGKRSRVVLDVGCGVASFGGFLFDRSVVAMSFAPKDEHEAQVQFALERGIPAVSAVMGTKRLPYPGRVFDIVHCARCRVPWHIEGGKLLLELNRVLRPGGFFLWSATPIYQDKTEDVEIWEAMVELTKSMCWELVNKTSKDAVNGVAVAAFRKPTSNDCYEQRSKQEPPVCPESDDPNAAWNVPLQTCMHKVPVDASERGSQWPDRWPERLEKAPYWLSSQVGVYGKAAPEDFAADNEHWKRVVTKSYLNGMGISWSSVRNVMDMNAVYGGYATNVHIYISYISMK >KJB51728 pep chromosome:Graimondii2_0_v6:8:51635262:51638651:1 gene:B456_008G230000 transcript:KJB51728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLIKGLLDVAIGHEGDNESQDERSRSSSAQAVSGDQDHQRDEHSRSSWAQVVSGDQDHEKQVQSQWQGSGSRPSGRTHKTEYGGYEKNEGDERRHGYNQNKWNRKEQEEENNDGWETVGKKPRRRPQKVNMDHWQGYKRPPSEQEYSDEVGTGTSIEPSEEELADFLQACNRLWQLDLNRLEPGKDYQIDCGEGKKVFQKEDMAEGSLFCYVDEDIFRTKPTFARFCSLLDNYNPNAGCKEVVTSEEKQEQAAFIEEISRTAPIKYLHKYLSRKGIVSENYQDFKRMLTSLWFDLYGRGGTSGSSSAFEHVFVGEIKQHCEQEVSGFHNWLQVFT >KJB51729 pep chromosome:Graimondii2_0_v6:8:51635262:51638138:1 gene:B456_008G230000 transcript:KJB51729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLIKGLLDVAIGHEGDNESQDERSRSSSAQAVSGDQDHQRDEHSRSSWAQVVSGDQDHEKQVQSQWQGSGSRPSGRTHKTEYGGYEKNEGDERRHGYNQNKWNRKEQEEENNDGWETVGKKPRRRPQKVNMDHWQGYKRPPSEQEYSDEVGTGTSIEPSEEELADFLQACNRLWQLDLNRLEPGKDYQIDCGEGKKVFQKEDMAEGSLFCYVDEDIFRTKPTFARFCSLLDNYNPNAGCKEVVTSEEKQEQAAFIEEISRTAPIKYLHKYLSRKGIVSENYQDFKRMLTSLWFDLYGRGGTSGSSSAFEHVFVGEIKQHCEQEVSGFHNWLQVC >KJB51726 pep chromosome:Graimondii2_0_v6:8:51635160:51638655:1 gene:B456_008G230000 transcript:KJB51726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLIKGLLDVAIGHEGDNESQDERSRSSSAQAVSGDQDHQRDEHSRSSWAQVVSGDQDHEKQVQSQWQGSGSRPSGRTHKTEYGGYEKNEGDERRHGYNQNKWNRKEQEEENNDGWETVGKKPRRRPQKVNMDHWQGYKRPPSEQEYSDEVGTGTSIEPSEEELADFLQACNRLWQLDLNRLEPGKDYQIDCGEGKKVFQKEDMAEGSLFCYVDEDIFRTKPTFARFCSLLDNYNPNAGCKEVVTSEEKQEQAAFIEEISRTAPIKYLHKYLSRKGIVSENYQDFKRMLTSLWFDLYGRGGTSGSSSAFEHVFVGEIKQHCEQEVSGFHNWLQFYLEEAKGCVDYHGYILPRRRGETPDSETQLLTVQFEWNGVLKSVSSTLVGVSPEFEIALYTLCFFVGGEDNYVQLGPYSVNIKCYRFGNKIGSVFPIAEC >KJB51730 pep chromosome:Graimondii2_0_v6:8:51635262:51638651:1 gene:B456_008G230000 transcript:KJB51730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLIKGLLDVAIGHEGDNESQDERSRSSSAQAVSGDQDHQRDEHSRSSWAQVVSGDQDHEKQVQSQWQGSGSRPSGRTHKTEYGGYEKNEGDERRHGYNQNKWNRKEQEEENNDGWETVGKKPRRRPQKVNMDHWQGYKRPPSEQEYSDEVGTGTSIEPSEEELADFLQACNRLWQLDLNRLEPGKDYQIDCGEGKKVFQKEDMAEGSLFCYVDEDIFRTKPTFARFCSLLDNYNPNAGCKEVVTSEEKQEQAAFIEEISRTAPIKYLHKYLSRKGIVSENYQDFKRMLTSLWFDLYGRGGTSGSSSAFEHVFVGEIKQHCEQEVSGFHNWLQFYLEEAKGCVDYHGYILPRRRGETVT >KJB51732 pep chromosome:Graimondii2_0_v6:8:51636421:51638651:1 gene:B456_008G230000 transcript:KJB51732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMDHWQGYKRPPSEQEYSDEVGTGTSIEPSEEELADFLQACNRLWQLDLNRLEPGKDYQIDCGEGKKVFQKEDMAEGSLFCYVDEDIFRTKPTFARFCSLLDNYNPNAGCKEVVTSEEKQEQAAFIEEISRTAPIKYLHKYLSRKGIVSENYQDFKRMLTSLWFDLYGRGGTSGSSSAFEHVFVGEIKQHCEQEVSGFHNWLQFYLEEAKGCVDYHGYILPRRRGETPDSETQLLTVQFEWNGVLKSVSSTLVGVSPEFEIALYTLCFFVGGEDNYVQLGPYSVNIKCYRFGNKIGSVFPIAEC >KJB51731 pep chromosome:Graimondii2_0_v6:8:51635262:51638651:1 gene:B456_008G230000 transcript:KJB51731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLIKGLLDVAIGHEGDNESQDERSRSSSAQAVSGDQDHQRDEHSRSSWAQVVSGDQDHEKQVQSQWQGSGSRPSGRTHKTEYGGYEKNEGDERRHGYNQNKWNRKEQEEENNDGWETVGKKPRRRPQKVNMDHWQGYKRPPSEQEYSDEVGTGTSIEPSEEELADFLQACNRLWQLDLNRLEPGKDYQIDCGEGKKVFQKEDMAEGSLFCYVDEDIFRTKPTFARFCSLLDNYNPNAGCKEVVTSEEKQEQAAFIEEISRTAPIKYLHKYLSRKGIVSENYQDFKRMLTSLWFDLYGRGGTSGSSSAFEHVFVGEIKQHCEQEVSGFHNWLQPDSETQLLTVQFEWNGVLKSVSSTLVGVSPEFEIALYTLCFFVGGEDNYVQLGPYSVNIKCYRFGNKIGSVFPIAEC >KJB51727 pep chromosome:Graimondii2_0_v6:8:51635343:51637478:1 gene:B456_008G230000 transcript:KJB51727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLIKGLLDVAIGHEGDNESQDERSRSSSAQAVSGDQDHQRDEHSRSSWAQVVSGDQDHEKQVQSQWQGSGSRPSGRTHKTEYGGYEKNEGDERRHGYNQNKWNRKEQEEENNDGWETVGKKPRRRPQKVNMDHWQGYKRPPSEQEYSDEVGTGTSIEPSEEELADFLQACNRLWQLDLNRLEPGKDYQIDCGEGKKVFQKEDMAEGSLFCYVDEDIFRTKPTFARFCSLLDNYNPNAGCKEVVTSEEKQEQAAFIEEISRTAPIKYLHKYLSRKGIVSENYQDFKRMLTSLWFDLYGRGGTSGSSSAFEHVFVGEIKQHCEQEVSGFHNWLQVC >KJB51733 pep chromosome:Graimondii2_0_v6:8:51636835:51638651:1 gene:B456_008G230000 transcript:KJB51733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLQVNMDHWQGYKRPPSEQEYSDEVGTGTSIEPSEEELADFLQACNRLWQLDLNRLEPGKDYQIDCGEGKKVFQKEDMAEGSLFCYVDEDIFRTKPTFARFCSLLDNYNPNAGCKEVVTSEEKQEQAAFIEEISRTAPIKYLHKYLSRKGIVSENYQDFKRMLTSLWFDLYGRGGTSGSSSAFEHVFVGEIKQHCEQEVSGFHNWLQFYLEEAKGCVDYHGYILPRRRGETPDSETQLLTVQFEWNGVLKSVSSTLVGVSPEFEIALYTLCFFVGGEDNYVQLGPYSVNIKCYRFGNKIGSVFPIAEC >KJB53284 pep chromosome:Graimondii2_0_v6:8:10314513:10317120:1 gene:B456_008G064500 transcript:KJB53284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAACKRVGQIGPNSWTIGGVSRFKGVLSPLDASTFPPSAIRLSLSPSPFSQRRQVSQQLVKSNGKRLFLIDTLALVRRLEAEGLPSKQAEAITAAITEVLNGSLENLSLSVVSKSEMQKSEMTQEANLSKLKSEVQSSQEHHFSLLQHENEKLRHDIEKMRSELRHEIDKVTAEHRLDLNLERGRIRDELTNQNAVTSNLTNKLDREIHALEAQLEGAKYDLIKYCIAHFL >KJB53285 pep chromosome:Graimondii2_0_v6:8:10314585:10317120:1 gene:B456_008G064500 transcript:KJB53285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAACKRVGQIGPNSWTIGGVSRFKGVLSPLDASTFPPSAIRLSLSPSPFSQRRQVSQQLVKSNGKRLFLIDTLALVRRLEAEGLPSKQAEAITAAITEVLNGSLENLSLSVVSKSEMQKSEMTQEANLSKLKSEVQSSQEHHFSLLQHENEKLRHDIEKMRSELRHEIDKVTAEHRLDLNLERGRIRDELTNQNAVTSNLTNKLDREIHALEAQLEGAKYDLIKYCIGTLVSITAVGLAVVRILM >KJB47688 pep chromosome:Graimondii2_0_v6:8:4622016:4624886:1 gene:B456_008G036900 transcript:KJB47688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix (bHLH) transcription factor, Tapetum development and degeneratio [Source: Projected from Oryza sativa (Os02g0120500)] MNIFQNLMERLRQVVGPKGWDYCVLWKLSDDQRFLEWVDCCCGGAESIESSGELQFPVTTVLPCRDVMFQHPKTRSCELLAQLPSCMPLDSGSHAQALISNQPKWFNFSNNSDSNVLEEIVGTRILIPVAEGLIELFVAKQVCEDQNVMDYIVTLCNISLEQSSMMNSSCMDTHFTALNAQALNEYQAKTHLSNENDRKDPIINHFQPPLTTTLETLNLPYDISIDQIRSTNTLQQYHYLSEDKNNKNMDVCVEGSHEVFLSDKVVNPLKSSVDNGLQEMDPLNSMMTNESMVIQGNEKDSIKQENGRSDSISDCSDQNDDEDDARYQRRSGSKGQSKNLVAERKRRKKLNERLYSLRSLVPKISKLDRASILGDAIEFVKELENQKKELQDELEEHSDNDNGAKKTGMNGVHKKFQSEIFLQNDQIPLYFNPEHDKGPNGFPVGVNGSVSRAQNQEVDTCADKTQQMEVQVEVAQIDGNQFFVKVFSEHKPSGFVRLMEALDSLGLEVTNANVNSFRGLVSNVFKVEKKDSEMVQADHVRESLLELTRNPSKGLSEMVKASETNNGNGVECNYHNQQQHLHNQRITSHHHELHHFPPKQAA >KJB47288 pep chromosome:Graimondii2_0_v6:8:11098256:11100964:1 gene:B456_008G068000 transcript:KJB47288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAVMVMNTQGKSRLAKFYEYLSVEKQQELIRGVFSVLCSRAENDSRLVYKHFATLYFVFVFDSSENELAVLDLIQVFVETLDKCFQNVCELDIVFNYSKIHTILDEMVFGGQVVETSSSEIMKAVEEISKCFLSPFLLSCVKMHVDDVRFSTFALFLI >KJB47285 pep chromosome:Graimondii2_0_v6:8:11098121:11100964:1 gene:B456_008G068000 transcript:KJB47285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAVMVMNTQGKSRLAKFYEYLSVEKQQELIRGVFSVLCSRAENVSNFIDAESIFGQDSRLVYKHFATLYFVFVFDSSENELAVLDLIQVFVETLDKCFQNVCELDIVFNYSKIHTILDEMVFGGQVVETSSSEIMKAVEEISKLEAASNAISLIPKSASGWRSR >KJB47289 pep chromosome:Graimondii2_0_v6:8:11098258:11100964:1 gene:B456_008G068000 transcript:KJB47289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAVMVMNTQGKSRLAKFYEYLSVEKQQELIRGVFSVLCSRAENVSNFIDAESIFGQDSRLVYKHFATLYFVFVFDSSENELAVLDLIQDVLPSVFVETLDKCFQNVCELDIVFNYSKIHTILDEMVFGGQVVETSSSEIMKAVEEISKLEAASNAISLIPKSASGWRSR >KJB47287 pep chromosome:Graimondii2_0_v6:8:11098256:11100964:1 gene:B456_008G068000 transcript:KJB47287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAVMVMNTQGKSRLAKFYEYLSVEKQQELIRGVFSVLCSRAENDSRLVYKHFATLYFVFVFDSSENELAVLDLIQVFVETLDKCFQNVCELDIVFNYSKIHTILDEMVFGGQVVETSSSEIMKAVEEISKLEAASNAISLIPKSASGWRSR >KJB47286 pep chromosome:Graimondii2_0_v6:8:11098218:11100964:1 gene:B456_008G068000 transcript:KJB47286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAVMVMNTQGKSRLAKFYEYLSVEKQQELIRGVFSVLCSRAENVSNFIDAESIFGQDSRLVYKHFATLYFVFVFDSSENELAVLDLIQVFVETLDKCFQNVCELDIVFNYSKIHTILDEMVFGGQVVETSSSEIMKAVEEISKCFLSPFLLSCVKMHVDDVRFSTFALFLI >KJB46800 pep chromosome:Graimondii2_0_v6:8:37616450:37627159:1 gene:B456_008G130800 transcript:KJB46800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADLDTLLDSHFSDSDDDSNSIVPHRTIDEILNDSDASTSSSSPSSPLSSHHRSDNRLARSNTVPQEFVELLEERDALSEGPAESSKTSPFKRIGDPVWRVSSSSSSKQLPTLFGGVKSNAKPGAALAAAAAASRSVPTPHAAAIKSRRAVSGGVLQRVVGSDDQDVSSLNGESIGISSKSSVSGEKLEIDDYTSDNKMGDFQSADAHQNSEANESKDKESEAERVIERIDSSSKLDFDDSLNKEVTVLGSGEVLDNEIDPVSVSENSLVLDANDSYEKSVLSLPSVDQERNISKDLERVGLERKNVASDMPSYEDGEENASGGDDKSSMSDISELVEERLEQLESEMMSKRVESNARATMKPLELAEELEKKQASTGLHWEEGAAAQPMRLEGVRRGSTTLGYFDVDASNIITRTLSSQAFRRDHGSPQVLAVHLNFIAVGMTKGVIILVPSKYSTHHADSMDPKMVILGLQGDRSLAPVTSMCFNQPGDLLLAGYGDGHVTVWDVQRASATKVIAGEHNAPVIHTLFLGQDSQVTRQFKAVTGDSKGLVLLHAFSVVPLLNRFSIKTQCLLDGQKTGTVLSASPLLFDGSCGSTSVTFQGNATSTSSIGSKMGGVVGGDAGWKLFAEGSSMAEEGVVIFVTYQTALVVRLTPTLEVYAQLSRPDGVREGSMPYTAWTCMAQPCGSSSENSPTETAERTSLLALAWDRKVQVAKLVKSDLKVYGKWSLDSSAIALAWLDDQMMVVLTMTGKLNLFARDGTLIHQTSFAVDGLGGDDLITYHTHFVNIFGNPEKAYHNCVSVRGASVYILGPMHLTVCRLLPWKERIQVLRKAGDWMGALNMAMTLYDGQAHGVIDLPRNLDAVQETIMPYLVELLLSYVDEVFSYISVALGNQIGKVEQPDDRESRNGSVHSEIKEQFTRVGGVAVEFCVHIRRTDILFDEIFSKFVAVQQRDTFLELLEPYILKDMLGCLPPEIMQALVEHYSSKGWLQRVEQCVLHMDISSLDFNQVVILCREHGLYGALVYLFNKGLDDFRAPLEELLVVLRNSQGEIASGLGYRMLVYLKYCFTGLAFPPGQGTLPPSRLLSLRTELLQFLLEVSDCQDKKLASTSAFEGAYLNLYYLLELDTEATLDVLKCAFIEEKSPEPDSSFSESGDANEEAKKEKDLMAESETMLVQKTIGALVHVLGKNTLQTDGLASYDDSEFIEAWPTKKDMGYLFEFIACYVACGRAKIPKTVLNQILEYLTSVNDSSQSVSTMSTERSKRREKQLLALLEVVPETDWDQSYVLQLCENACFYQVCALIHTIRHQYLAALDSYMKDVEEPIHAFAFINNMLMQLSNGDFTIFRSAVISRIPELVNLSRELTLFLIVDHFKEESSHILSELNSHPKSLFLYLKTVIEVHLSGTFNFSCLREEKIVDVLSEKRGKESEKVLKAYLENLSNFPKYLRHNPLHVTDGMIELYLELLCQFERDSVLKFLETFDSYRVEHCLRLCQEHGIIDAAAFLLERVGDVGSALLLTLSSLNDKFTELDTAIGNAVSKVSLSRSGSMEYFNSVLKMKEVNDIRNLLQACIELCQRNTPRLNPEESEMLWFRLLDSFCEPLMGSYCNDRVSEKENHAGLVGSLGSQDEEECIIKWRIPKSHKGGHILRKLFSQFIKEIVEGMIGYVRLPTIMSKLLSDNVGQEFGDFKLTILGMLGTYGFERRILDTAKSLIEDDTFYTMSLLKKGASHGYAPRSLLCCICNSLLTKTSSSFRVRVFSCGHATHIQCELLENESSTRGLSSGCPVCLPKKNTHKSRSKSAFTENGLVSSLPSRSQPAQGSTLHPHENDTLDNSYGLQQISRFEILSNLQKDQRLAQIENLPQLRLAPPAIYHEKVKKGSGVLAAGESSSQVGGIQKPNKNKQLKDLKLKGSSLRFPLKSSIFGKEKTSKP >KJB50665 pep chromosome:Graimondii2_0_v6:8:45890711:45894340:1 gene:B456_008G181500 transcript:KJB50665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCFTKCWHSKALFTSLYSLRRSSISIHSVRNSVKRAYDGLLLDAAGTLLQLSKPVEETYASLGAKHGLKLNSAEIKKGFKRAFAAPWPDKLRYEGDGKPFWKLVVSEATGCSNDDYFEEVYQHYGNGYAWRLPDGASETIWRLKNAGGHIHQFIFRFDAVIISSEVGYEKPDARIFKAALDQIDVEADKVVHVGDDLKADKVGANAVGIDCWLWGKDVKTFADIEKYIFISDP >KJB50661 pep chromosome:Graimondii2_0_v6:8:45890663:45894340:1 gene:B456_008G181500 transcript:KJB50661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCFTKCWHSKALFTSLYSLRRSSISIHSVRNSVKRAYDGLLLDAAGTLLQLSKPVEETYASLGAKHGLKLNSAEIKKGFKRAFAAPWPDKLRYEGDGKPFWKLVVSEATGCSNDDYFEEVYQHYGNGYAWRLPDGASETIWRLKNAGVKVAVVSNFDTRLRKVLKELNVIDLFDAVIISSEVGYEKPDARIFKAALDQIDVEADKVVHVGDDLKADKVGANAVGIDCWLWGKDVKTFADIEKYIFISDP >KJB50667 pep chromosome:Graimondii2_0_v6:8:45890711:45894340:1 gene:B456_008G181500 transcript:KJB50667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCFTKCWHSKALFTSLYSLRRSSISIHSVRNSVKRAYDGLLLDAAGTLLQLSKPVEETYASLGAKHGLKLNSAEIKKGFKRAFAAPWPDKLRYEGDGKPFWKLVVSEATGCSNDDYFEEVYQHYGNGYAWRLPDGASETIWRLKNAGVKVAVVSNFDTRLRKVLKELNVIDL >KJB50663 pep chromosome:Graimondii2_0_v6:8:45890790:45892197:1 gene:B456_008G181500 transcript:KJB50663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCFTKCWHSKALFTSLYSLRRSSISIHSVRNSVKRAYDGLLLDAAGTLLQLSKPVEETYASLGAKHGLKLNSAEIKKGFKRAFAAPWPDKLRYEGDGKPFWKLVVSEATGCSNDDYFEEVYQHYGNGYAWRLPDGASETIWRLKNAGGHIHQVFLFFFFHN >KJB50666 pep chromosome:Graimondii2_0_v6:8:45890711:45892940:1 gene:B456_008G181500 transcript:KJB50666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCFTKCWHSKALFTSLYSLRRSSISIHSVRNSVKRAYDGLLLDAAGTLLQLSKPVEETYASLGAKHGLKLNSAEIKKGFKRAFAAPWPDKLRYEGDGKPFWKLVVSEATGCSNDDYFEEVYQHYGNGYAWRLPDGASETIWRLKNAGVKVAVVSNFDTRLRKVLKELNVIDL >KJB50664 pep chromosome:Graimondii2_0_v6:8:45890711:45894340:1 gene:B456_008G181500 transcript:KJB50664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCFTKCWHSKALFTSLYSLRRSSISIHSVRNSVKRAYDGLLLDAAGTLLQLSKPVEETYASLGAKHGLKLNSAEIKKGFKRAFAAPWPDKLRYEGDGKPFWKLVVSEATGCSNDDYFEEVYQHYGNGYAWRLPDGASETIWRLKNAGVKVAVVSNFDTRLRKVLKELNVIDLFDAVIISSEVGYEKPDARIFKAALDQIDVEADKVVHVGDDLKADKVGANAVGIDCW >KJB50662 pep chromosome:Graimondii2_0_v6:8:45890790:45892349:1 gene:B456_008G181500 transcript:KJB50662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCFTKCWHSKALFTSLYSLRRSSISIHSVRNSVKRAYDGLLLDAAGTLLQLSKPVEETYASLGAKHGLKLNSAEIKKGFKRAFAAPWPDKLRYEGDGKPFWKLVVSEATGCSNDDYFEEVYQHYGNGYAWRLPDGASETIWRLKNAGVKVAVVSNFDTRLRKVLKELNVIDL >KJB53168 pep chromosome:Graimondii2_0_v6:8:56908609:56912867:1 gene:B456_008G296000 transcript:KJB53168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLSLKVFTDLNSAGTRRSCFPAQVGRGLVVDQRRRVGSGCWDHGGGAAGRKCWVVSCAVDGGNGGGGERPSLDPNPTQNQSSFLSRSQTYAMLKQQMEVAAKSEDYKEAARIRDSLKIFEEEEPVLRFRRLIKEAVADERFEDAARYRDELKEIAPHSLLKCSSDATTLGIRVQVRSVYIEGRSLPSRGQYFFAYRIRITNNSDRPVQLLRRHWIITDGNGKTENVWGIGVIGEQPVILPRTGFEYSSACPLSTPSGRMEGDFEMKHIDRVGSPSFNVAIAPFSLSTLGDDADIF >KJB48236 pep chromosome:Graimondii2_0_v6:8:9852364:9854012:1 gene:B456_008G061800 transcript:KJB48236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRSSRLPPRKSSSSIMFLAMLVIFTFLILILLGLGIFYIPSGDSRNSPKPNDLSSIVHNVVDRSYVDEDRGEQWVEAISWEPRAFIYHNFLSMEECEYLIDLAKPHMKKSKVVDSKTGKSEDSRVRTSSGTFLPRGRDKIIKSIEKRIADFTFIPVEHGEGLQVLHYEVGQKYEPHYDYFKDEVNTRNGGQRIATVLMYLSDVEEGGETVFPSAKGNISAVPWWNELSECGKGGLSVRPKMGDALLFWSMKPDATLDLSSLHG >KJB48238 pep chromosome:Graimondii2_0_v6:8:9852213:9855103:1 gene:B456_008G061800 transcript:KJB48238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRSSRLPPRKSSSSIMFLAMLVIFTFLILILLGLGIFYIPSGDSRNSPKPNDLSSIVHNVVDRSYVDEDRGEQWVEAISWEPRAFIYHNFLSMEECEYLIDLAKPHMKKSKVVDSKTGKSEDSRVRTSSGTFLPRGRDKIIKSIEKRIADFTFIPVEHGEGLQVLHYEVGQKYEPHYDYFKDEVNTRNGGQRIATVLMYLSDVEEGGETVFPSAKGNISAVPWWNELSECGKGGLSVRPKMGDALLFWSMKPDATLDLSSLHGGCPVIRGNKWSSTKWMRVNEYKV >KJB48235 pep chromosome:Graimondii2_0_v6:8:9852213:9855103:1 gene:B456_008G061800 transcript:KJB48235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRSSRLPPRKSSSSIMFLAMLVIFTFLILILLGLGIFYIPSGDSRNSPKPNDLSSIVHNVVDRSYVDEDRGEQWVEAISWEPRAFIYHNFLSMEECEYLIDLAKPHMKKSKVVDSKTGKSEDSRVRTSSGTFLPRGRDKIIKSIEKRIADFTFIPVEHGEGLQVLHYEVGQKYEPHYDYFKDEVNTRNGGQRIATVLMYLSDVEEGGETVFPSAKGNISAVPWWNELSECGKGGLSVRPKMGDALLFWSMKPDATLDLSSLHGGCPVIRGNKWSSTKWMRVNEYKV >KJB48239 pep chromosome:Graimondii2_0_v6:8:9852213:9855081:1 gene:B456_008G061800 transcript:KJB48239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRSSRLPPRKSSSSIMFLAMLVIFTFLILILLGLGIFYIPSGDSRNSPKPNDLSSIVHNVVDRSYVDEDRGEQWVEAISWEPRAFIYHNFLSMEECEYLIDLAKPHMKKSKVVDSKTGKSEDSRVRTSSGTFLPRGRDKIIKSIEKRIADFTFIPVEHGEGLQVLHYEVGQKYEPHYDYFKDEVNTRNGGQRIATVLMYLSDVEEGGETVFPSAKGNISAVPWWNELSECGKGGLSVRPKMGDALLFWSMKPDATLDLSSLHGGCPVIRGNKWSSTKWMRVNEYKV >KJB48237 pep chromosome:Graimondii2_0_v6:8:9852213:9855081:1 gene:B456_008G061800 transcript:KJB48237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRSSRLPPRKSSSSIMFLAMLVIFTFLILILLGLGIFYIPSGDSRNSPKPNDLSSIVHNVVDRSYVDEDRGEQWVEAISWEPRAFIYHNFLSMEECEYLIDLAKPHMKKSKVVDSKTGKSEDSRVRTSSGTFLPRGRDKIIKSIEKRIADFTFIPVEHGEGLQVLHYEVGQKYEPHYDYFKDEVNTRNGGQRIATVLMYLSDVEEGGETVFPSAKGNISAVPWWNELSECGKGGLSVRPKMGDALLFWSMKPDATLDLSSLHGGCPVIRGNKWSSTKWMRVNEYKV >KJB52918 pep chromosome:Graimondii2_0_v6:8:55949238:55952357:1 gene:B456_008G283500 transcript:KJB52918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLASPKGNGKMVAFPWGLTSIAASVVILAMFLIFASWLLVSFPIGSAIRGYFYGVDGKIVLPASKNVDYSYSNSSSGSNLELPTMSSNSSVVVNNEKAQVSIRSVEKDSMASESNVEPSSSGKDSVDLKNNATLEKPTELPKLSSRATEKKADMSGFPSSNTSESNSVDSGCDLYNGKWFYDPQGPSYTNNSCPVITQMQNCQGNGRPDKEYENWRWKPSKCDLPRFDANKFLELMRGKTLAFIGDSVARNQMESMLCLLWQVEVPQNRGNKRMQRWHFVSTSVMIVRLWSSWLVRETTEKIDFAPEGVTKLHLDAPDDKLMEFIPNFDVIVISSGHWFAKQSVYVLNNEIVGGQLWWPNGSPPMKVNNVDAFGISVGTILSAILTHPNYTGLTIVRSFSPDHYEGGAWNTGGSCTGKEKPLAIGELVENGFTNIMHEKQVSGFEHAVKKATNKSKLRLMDITEVFSYRHDGHPGPYRNPDPNKITKRGPDGKPPPQDCLHWCMPGPIDTWNELVLEIIRREFEGNRNSSS >KJB52917 pep chromosome:Graimondii2_0_v6:8:55949231:55952399:1 gene:B456_008G283500 transcript:KJB52917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLASPKGNGKMVAFPWGLTSIAASVVILAMFLIFASWLLVSFPIGSAIRGYFYGVDGKIVLPASKNVDYSYSNSSSGSNLELPTMSSNSSVVVNNEKAQVSIRSVEKDSMASESNVEPSSSGKDSVDLKNNATLEKPTELPKLSSRATEKKADMSGFPSSNTSESNSVDSGCDLYNGKWFYDPQGPSYTNNSCPVITQMQNCQGNGRPDKEYENWRWKPSKCDLPRFDANKFLELMRGKTLAFIGDSVARNQMESMLCLLWQVEVPQNRGNKRMQRWHFVSTSVMIVRLWSSWLVRETTEKIDFAPEGVTKLHLDAPDDKLMEFIPNFDVIVISSGHWFAKQSVYVLNNEIVGGQLWWPNGSPPMKVNNVDAFGISVGTILSAILTHPNYTGLTIVRSFSPDHYEGGAWNTGGSCTGKEKPLAIGELVENGFTNIMHEKQVSGFEHAVKKATNKSKLRLMDITEVFSYRHDGHPGPYRNPDPNKITKRGPDGKPPPQDCLHWCMPGPIDTWNELVLEIIRREFEGNRNSSS >KJB48619 pep chromosome:Graimondii2_0_v6:8:15409074:15410333:-1 gene:B456_008G079900 transcript:KJB48619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSAFAVALICFVVTAVGGQSPAASPTKAPPAATTPATAPATAPVSKPKSPAPTAAPTTSPPTSSPPAAAPEKSAAVPAPSKSAPASSPPAAAPVSSPPAPVPVSSPPAKSPPVAAPTTPPESSASPPALVAAPTTAEVPAPAPSKSKSKSKKSKKHHAPAPSPDMLGPPAPPTGAPGPSLDASSPGPSVAADESGAEAMKNMKKIIGGLALGWAAIALSF >KJB51365 pep chromosome:Graimondii2_0_v6:8:50042306:50043725:1 gene:B456_008G213500 transcript:KJB51365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEHDGKIIKLQIIVYDVTDQESFNNVNQWLNEISRYGSENVNKLLVGNKSDLTAKKVVSPEAAQAFADELGIPFMETSAKNATNVQQAFLAMAADIKNRMASQPASSTGRPSMVQIKGKPVNQKSGGCCSS >KJB48554 pep chromosome:Graimondii2_0_v6:8:14855261:14856580:1 gene:B456_008G0782002 transcript:KJB48554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIPLFQTPCVRSLLKILQNTLTDR >KJB50227 pep chromosome:Graimondii2_0_v6:8:42514205:42517472:1 gene:B456_008G159600 transcript:KJB50227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGNNSNSVLPIFLDENHLQYQNQTNGSNQLQLFGSLPAGCNVDPVNYFGNEHLAPMIRPNKRGRETEDIQRQQKLQISLNYNICKEEADCSAGIPNPNAVSTGLRLSYDDDERNSTVTSGSGSMTQGPSMILSLGDNIRSELDLQKEEFDQYIKIQEEHLTKGIRDMKQRHMVSFLAAIEKGISKKLREKDMELETMNNKNRELVERIKQVTAEAHNWHYRAKYNESVVNVLKSNLQQVISQGAQHGKEGFGDSEVDDATSYIDPNNFLSIPLGAAKCVSKGMKEHIICRACNAKEVSILLMPCRHLCLCKDCDLSINVCPVCQVTKTAGVQVYLS >KJB50229 pep chromosome:Graimondii2_0_v6:8:42514205:42517472:1 gene:B456_008G159600 transcript:KJB50229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGNNSNSVLPIFLDENHLQYQNQTNGSNQLQLFGSLPAGCNVDPVNYFGNEHLAPMIRPNKRGRETEDIQRQQKLQISLNYNICKEEADCSAGIPNPNAVSTGLRLSYDDDERNSTVTSGSGSMTQGPSMILSLGDNIRSELDLQKEEFDQYIKIQEEHLTKGIRDMKQRHMVSFLAAIEKGISKKLREKDMELETMNNKNRELVERIKQVTAEAHNWHYRAKYNESVVNVLKSNLQQVISQGAQHGKEGFGDSEVDDATSYIDPNNFLSIPLGAAKCVSKGMKEHIICRACNAKEVSILLMPCRHLCLCKDCDLSINVCPVCQVTKTAGVQVYLS >KJB50228 pep chromosome:Graimondii2_0_v6:8:42513919:42517472:1 gene:B456_008G159600 transcript:KJB50228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGNNSNSVLPIFLDENHLQYQNQTNGSNQLQLFGSLPAGCNVDPVNYFGNEHLAPMIRPNKRGRETEDIQRQQKLQISLNYNICKEEADCSAGIPNPNAVSTGLRLSYDDDERNSTVTSGSGSMTQGPSMILSLGDNIRSELDLQKEEFDQYIKIQEEHLTKGIRDMKQRHMVSFLAAIEKGISKKLREKDMELETMNNKNRELVERIKQVTAEAHNWHYRAKYNESVVNVLKSNLQQVISQGAQHGKEGFGDSEVDDATSYIDPNNFLSIPLGAAKCVSKGMKEHIICRACNAKEVSILLMPCRHLCLCKDCDLSINVCPVCQVTKTAGVQVYLS >KJB52807 pep chromosome:Graimondii2_0_v6:8:55597320:55598674:-1 gene:B456_008G278500 transcript:KJB52807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRRKSLADQVPTEIFWSIFNKLSFIDLIQAKAVCSSWNLAAEEFVSKMPWLMLPSKKEVEEGDGAFVNNNGYNGFFNLCENRVYNLKTTPMEFRESCCIGSSNGWLQIKIHLPSVDYLLGLKKMERNEDGEYELDYLKKNQRFFFKVCGKQQVRECFIQKAILSGKPDCNENFGVVLLCNNREEIAYHQRGYNSWTVIDVSHPPYRDIICHKNHLYALSDNNSIEVWDLGGRDYVGRIVNKSDIVLPFPDKSHTKGNSLRGFGTSKFYLVESCDDLLLIVRFIGDYVGFDGTLLTEWDLLTETCTQPKICPYRTCFFHVYKLDFDELKWVEVESLNDRALFLGGNQSVSVSVQSFPNCETNSIYFTDDCWEKMEEDYNYGGHDTGIYNIKDESFKPIYEFSSDKIQPPPCWIIPSAMLESQLGQCVASLPGCN >KJB48479 pep chromosome:Graimondii2_0_v6:8:12319063:12320350:-1 gene:B456_008G071400 transcript:KJB48479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQTYPVVKNASKACGTQALGSIVSRVKTRSLVSDAKRSCQQSARLPSCREFIDLTDSYIESLDDSPLDQKTKKKMTSPSFQPCKLRTNPSESNQAKGIKLEKEKKSLNFQYLTKEVGGELKCSVKNDSGRRSSARRRPKPDPVYGKQRACVGASAFRTSNPSFSVVIQPSYIGSSSALHIPVEFVKRYLKKSGEMVLRVADGRIWVVEYRRTASNKGRKAKFGSRSWGQFAKDNQLEVGDVCLFELMNENGNLLEVAIHRKHLLIEID >KJB50064 pep chromosome:Graimondii2_0_v6:8:40747833:40750537:-1 gene:B456_008G151300 transcript:KJB50064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSLLSSFVLLFSFFSHSFLATFLSQPVSGRHQSLKPGDYSSTDTVPAIPAQIQAQVCRLDLSAELFGGVNDACGNNLDRSRCCPVLAAWLFAAHARYALEVSAPAPAGSEQPMRPDDSQKCVNSLQNALLSKRVQIPQPNASCDPILCFCGIRLHQISSLSCPAAFSVSGFQNATPTAAVKNLERSCTNSSYAGCTKCLGALQRLKGGYSKNGTQDGSTSERASKMFNRDCQLMGLTWLLARNKTAYIPTVSAVLRAIMYSAHPHESRCSPDQENMPLAVDSLQLEKAQSSASPPPSWQSFTFPILPLIILVSLFG >KJB51280 pep chromosome:Graimondii2_0_v6:8:49563058:49570361:1 gene:B456_008G210100 transcript:KJB51280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRTESPVYGRQWSGSSSSGSSSPAHPLSRLQPGAAGGLSTIKRTQNVAAKAAAQRLAQVMASQTPDDDEEDDDLGFRFGCPPIPPTFSNNGLSRSTSPAISLTRPNRSPSPALGRNFVEHASSVRSTSAGRPATAMRSTTPNLIPPNRTSVRTPVTIPPIDPPNRSRDKRFTADVGQLKVNDIGDQRETSALRDELDMLQEENENLLDKLHSAEERREEAEARARELEKQVASLGEGVSLEAKLLSRKEAALRQREAALKAAKQTKDGREEEIAALRSELENLKDGAAKAVEQLHEAESETKALRSMTQRMILTQEEMEEVVLKRCWLARYWGLAVQHGICADIAVSKHEYWSALAPLPFEVVVSAGQKAKEEAWDKGDGHSDRSKIVRDLNDLTGEGNIESMLSVEMGLRELASLKVEDAVVQALGRYRRLGLLHQSVSGLVNVFLEKSQSVWRGR >KJB51281 pep chromosome:Graimondii2_0_v6:8:49563058:49570361:1 gene:B456_008G210100 transcript:KJB51281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRTESPVYGRQWSGSSSSGSSSPAHPLSRLQPGAAGGLSTIKRTQNVAAKAAAQRLAQVMASQTPDDDEEDDDLGFRFGCPPIPPTFSNNGLSRSTSPAISLTRPNRSPSPALGRNFVEHASSVRSTSAGRPATAMRSTTPNLIPPNRTSVRTPVTIPPIDPPNRSRDKRFTADVGQLKVNDIGDQRETSALRDELDMLQEENENLLDKLHSAEERREEAEARARELEKQVASLGEGVSLEAKLLSRKEAALRQREAALKAAKQTKDGREEEIAALRSELENLKDGAAKAVEQLHEAESETKALRSMTQRMILTQEEMEEVVLKRCWLARYWGLAVQHGICADIAVSKHEYWSALAPLPFEVVVSAGQKAKEEAWDKGDGHSDRSKIVRDLNDLTGEGNIESMLSVEMGLRELASLKVEDAVVQALGRYRRLGLLHQSVSDSKSPGDPKLIDAFELSEEEREDVLFKEAWLTYFWRRAKVYGVEDDIAEERLEFWISHSGQTPTSHDAVDVDRGLYELRKLGIEQQLWEASRKEIDHPALASLSNHKDLDNTL >KJB49234 pep chromosome:Graimondii2_0_v6:8:33822265:33824796:-1 gene:B456_008G108000 transcript:KJB49234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGAEKFVEADNAEAIITRIEHKSRKIESLLKQNKPVEALKTALEGSSHMIRDERCKSANWIVVHRALMAIKDVEGMFSSLDPEYYDILMKYLYRGLSTGDRPTCDQCLRIHEKLTERAGLGCILRSLADTVNTV >KJB49235 pep chromosome:Graimondii2_0_v6:8:33822582:33824722:-1 gene:B456_008G108000 transcript:KJB49235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGAEKFVEADNAEAIITRIEHKSRKIESLLKQNKPVEALKTALEGSSHMIRDERCKSANWIVVHRALMAIKDVEGMFSSLDPEYLYRGLSTGDRPTCDQCLRIHEKLTERAGLGCILRSLADTVNTV >KJB49654 pep chromosome:Graimondii2_0_v6:8:37749867:37758220:-1 gene:B456_008G132000 transcript:KJB49654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYSSGEELVTKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPNNPYPRKTGAATTIQDGAKDGKSETPISSSRCKQVLDLEKEPLPERPNGDEKSTNLKENQDESCSGVFTLLHEANCSSASSMNKNFIPASAALKSSCTFREFVPSPKEKIHDNGTSKASNLEYSCTSYDKPAQVQRKDDLDGALCADEMQAAQNYPRHVSVHVLDGSLRTCAQNPSLDMSFQDSVFHPMGDVHGPNLSANLAASAATEHQNNALRSTQQALPPFHTPFMHLRPNQQDYRSFLHVSSTFSSLIVSTLLQNPAAHAAASFAARFWPYANIESSGDSPACGLGGFPSRQINSAPSMAAIAAATVAAATAWWAAHGLFPVCAPLHTGFTCFPASTAAVPPMENGQPPAAKTEQKGKTDQALSLQVEQLDPENSEALQGQHSSSKSPTSSSSDCEERGDAKVNTGVKATDDEMAAEVIEPQDANKTKNKKQVDRSSCGSNTPSSSEVETDALEKHEKDKEDSKGADPNHPQVECSRRSSRSNSNLSDSWKEVSEEGRLAFQALFSREVLPQSFSPPHDGKSKGQQKENIGEDKQNPAEEDEEISTLDLNSKTLGSCSDHQVTVKNALSRDKNTTEEGLLTIGLGHSKLKAGRTGFKPYKRCSVEAKENRVMNTGSQGEEKGPKRVRLEGEAST >KJB49652 pep chromosome:Graimondii2_0_v6:8:37749808:37758708:-1 gene:B456_008G132000 transcript:KJB49652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYSSGEELVTKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPNNPYPRKTGAATTIQDGAKDGKSETPISSSRCKQVLDLEKEPLPERPNGDEKSTNLKENQDESCSGVFTLLHEANCSSASSMNKNFIPASAALKSSCTFREFVPSPKEKIHDNGTSKASNLEYSCTSYDKPAQVQRKDDLDGALCADEMQAAQNYPRHVSVHVLDGSLRTCAQNPSLDMSFQDSVFHPMGDVHGPNLSANLAASAATEHQNNALRSTQQALPPFHTPFMHLRPNQQDYRSFLHVSSTFSSLIVSTLLQNPAAHAAASFAARFWPYANIESSGDSPACGLGGFPSRQINSAPSMAAIAAATVAAATAWWAAHGLFPVCAPLHTGFTCFPASTAAVPPMENGQPPAAKTEQKGKTDQALSLQVEQLDPENSEALQGQHSSSKSPTSSSSDCEERGDAKVNTGVKATDDEMAAEVIEPQDANKTKNKKQVDRSSCGSNTPSSSEVETDALEKHEKDKEDSKGADPNHPQVECSRRSSRSNSNLSDSWKEVSEEGRLAFQALFSREVLPQSFSPPHDGKSKGQQKENIGEDKQNPAEEDEEISTLDLNSKTLGSCSDHQVTVKNALSRDKNTTEEGLLTIGLGHSKLKAGRTGFKPYKRCSVEAKENRVMNTGSQGEEKGPKRVRLEGEAST >KJB49655 pep chromosome:Graimondii2_0_v6:8:37750163:37757171:-1 gene:B456_008G132000 transcript:KJB49655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYSSGEELVTKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPNNPYPRKTGAATTIQDGAKDGKSETPISSSRCKQVLDLEKEPLPERPNGDEKSTNLKENQDESCSGVFTLLHEANCSSASSMNKNFIPASAALKSSCTFREFVPSPKEKIHDNGTSKASNLEYSCTSYDKPAQVQRKDDLDGALCADEMQAAQNYPRHVSVHVLDGSLRTCAQNPSLDMSFQDSVFHPMGDVHGPNLSANLAASAATEHQNNALRSTQQALPPFHTPFMHLRPNQQDYRSFLHVSSTFSSLIVSTLLQNPAAHAAASFAARFWPYANIESSGDSPACGLGGFPSRQINSAPSMAAIAAATVAAATAWWAAHGLFPVCAPLHTGFTCFPASTAAVPPMENGQPPAAKTEQKGKTDQALSLQVEQLDPENSEALQGQHSSSKSPTSSSSDCEERGDAKVNTGVKATDDEMAAEVIEPQDANKTKNKKQVDRSSCGSNTPSSSEVETDALEKHEKDKEDSKGADPNHPQVECSRRSSRSNSNLSDSWKEVSEEGRLAFQALFSREVLPQSFSPPHDGKSKGQQKENIGEDKQNPAEEDEEISTLDLNSKTLGSCSDHQVTVKNALSRDKNTTEEGLLTIGLGHSKLKAGRTGFKPYKRCSVEAKENRVMNTGSQGEEKGPKRVRLEGEAST >KJB49653 pep chromosome:Graimondii2_0_v6:8:37750163:37757171:-1 gene:B456_008G132000 transcript:KJB49653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYSSGEELVTKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPNNPYPRKTGAATTIQDGAKDGKSETPISSSRCKQVLDLEKEPLPERPNGDEKSTNLKENQDESCSGVFTLLHEANCSSASSMNKNFIPASAALKSSCTFREFVPSPKEKIHDNGTSKASNLEYSCTSYDKPAQVQRKDDLDGALCADEMQAAQNYPRHVSVHVLDGSLRTCAQNPSLDMSFQDSVFHPMGDVHGPNLSANLAASAATEHQNNALRSTQQALPPFHTPFMHLRPNQQDYRSFLHVSSTFSSLIVSTLLQNPAAHAAASFAARFWPYANIESSGDSPACGLGGFPSRQINSAPSMAAIAAATVAAATAWWAAHGLFPVCAPLHTGFTCFPASTAAVPPMENGQPPAAKTEQKGKTDQALSLQVEQLDPENSEALQGQHSSSKSPTSSSSDCEERGDAKVNTGVKATDDEMAAEVIEPQDANKTKNKKQVDRSSCGSNTPSSSEVETDALEKHEKDKEDSKGADPNHPQVECSRRSSRSNSNLSDSWKEVSEEGRLAFQALFSREVLPQSFSPPHDGKSKGQQKENIGEDKQNPAEEDEEISTLDLNSKTLGSCSDHQVTVKNALSRDKNTTEEGLLTIGLGHSKLKAGRTGFKPYKRCSVEAKENRVMNTGSQGEEKGPKRVRLEGEAST >KJB49656 pep chromosome:Graimondii2_0_v6:8:37750163:37757171:-1 gene:B456_008G132000 transcript:KJB49656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYSSGEELVTKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPNNPYPRKTGAATTIQDGAKDGKSETPISSSRCKQVLDLEKEPLPERPNGDEKSTNLKENQDESCSGVFTLLHEANCSSASSMNKNFIPASAALKSSCTFREFVPSPKEVKIHDNGTSKASNLEYSCTSYDKPAQVQRKDDLDGALCADEMQAAQNYPRHVSVHVLDGSLRTCAQNPSLDMSFQDSVFHPMGDVHGPNLSANLAASAATEHQNNALRSTQQALPPFHTPFMHLRPNQQDYRSFLHVSSTFSSLIVSTLLQNPAAHAAASFAARFWPYANIESSGDSPACGLGGFPSRQINSAPSMAAIAAATVAAATAWWAAHGLFPVCAPLHTGFTCFPASTAAVPPMENGQPPAAKTEQKGKTDQALSLQVEQLDPENSEALQGQHSSSKSPTSSSSDCEERGDAKVNTGVKATDDEMAAEVIEPQDANKTKNKKQVDRSSCGSNTPSSSEVETDALEKHEKDKEDSKGADPNHPQVECSRRSSRSNSNLSDSWKEVSEEGRLAFQALFSREVLPQSFSPPHDGKSKGQQKENIGEDKQNPAEEDEEISTLDLNSKTLGSCSDHQVTVKNALSRDKNTTEEGLLTIGLGHSKLKAGRTGFKPYKRCSVEAKENRVMNTGSQGEEKGPKRVRLEGEAST >KJB49815 pep chromosome:Graimondii2_0_v6:8:39008241:39010000:-1 gene:B456_008G139200 transcript:KJB49815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKMMNGCDVTKGKWVYDESYPLYTNGSCPFIDEGFNCGTNGRQDRDYMKWKWQPQDCDFPRFNAAKMLDLISGKRLVFVGDSINRNQWESMLCMLMSAVKDPKKVYETHGRRITKEKGNYSFKFVDYKCTIEYYVSHFLVHESKARIRQKRRPTLRIDAIDHGSSKWKGADVLVFNTAHWWSHFKTKAGINYYQEGNQVHPKLDVSTAFRKALMTWAGWVDRHINPRKTRVFFRNLAPSHFRGGLWNSGGHCMEATWPLNDTSGMEYPEKNRIVEEVILQMKTPVTLLNVTGLSAYRIDGHPSIYGKKTGTRYSSNIQDCSHWCLPGVPDTWNEILFFHLQSKENSKYHSKIRL >KJB49816 pep chromosome:Graimondii2_0_v6:8:39008069:39009576:-1 gene:B456_008G139200 transcript:KJB49816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKTVFSSFLCRFNAAKMLDLISGKRLVFVGDSINRNQWESMLCMLMSAVKDPKKVYETHGRRITKEKGNYSFKFVDYKCTIEYYVSHFLVHESKARIRQKRRPTLRIDAIDHGSSKWKGADVLVFNTAHWWSHFKTKAGINYYQEGNQVHPKLDVSTAFRKALMTWAGWVDRHINPRKTRVFFRNLAPSHFRGGLWNSGGHCMEATWPLNDTSGMEYPEKNRIVEEVILQMKTPVTLLNVTGLSAYRIDGHPSIYGKKTGTRYSSNIQDCSHWCLPGVPDTWNEILFFHLQSKENSKYHSKIRL >KJB49817 pep chromosome:Graimondii2_0_v6:8:39008039:39010697:-1 gene:B456_008G139200 transcript:KJB49817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQRSFSLKSTRFLVFSFAISSSLIFLVFLFTWVIKSNPAIHRETHFQFNKPLFNHKSITIESLSRFSSNGSVQSVNHSTHFLKPENESGSVKFSVFKQIQRQESEGEVVEDGDGDGEIEDVEEDTPAPTMEEDIEVDDKLELLKEHKEVKMTKEKMMNGCDVTKGKWVYDESYPLYTNGSCPFIDEGFNCGTNGRQDRDYMKWKWQPQDCDFPRFNAAKMLDLISGKRLVFVGDSINRNQWESMLCMLMSAVKDPKKVYETHGRRITKEKGNYSFKFVDYKCTIEYYVSHFLVHESKARIRQKRRPTLRIDAIDHGSSKWKGADVLVFNTAHWWSHFKTKAGINYYQEGNQVHPKLDVSTAFRKALMTWAGWVDRHINPRKTRVFFRNLAPSHFRGGLWNSGGHCMEATWPLNDTSGMEYPEKNRIVEEVILQMKTPVTLLNVTGLSAYRIDGHPSIYGKKTGTRYSSNIQDCSHWCLPGVPDTWNEILFFHLQSKENSKYHSKIRL >KJB48714 pep chromosome:Graimondii2_0_v6:8:17113698:17116305:1 gene:B456_008G082900 transcript:KJB48714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGPGLFVDIGKKAKDLLTKDYTSDQKFTVSTYTGAGVALTSTALKKGGLSTGDVAALYKYKNTQFDVKVDTNSNISTTLTFTEILPSTKTIASFKVPDYNSGKLEVQYFHDHATVTTTVGLNQTPGVDVTATIGTPSIAFGAEAGYDTTSGNFTKYTAGISMTKPDSCASIILGDKGDSIKASYVHYMDQLKKSAAVAEISRRFSTNENTFTVGGVYAVDHLTLIKAKLNSHGRLGAVLQHEVVPKSLLTISSELDTKALEKSPRFGLALALKP >KJB49000 pep chromosome:Graimondii2_0_v6:8:26692254:26695826:-1 gene:B456_008G097100 transcript:KJB49000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAASEYQPLLLGLDSHSRIPDLSSVAIEEFLQHRPIALRWWPRLVAWESRLLWLLSGSSIVLSIFNYMLSFVTLMFTGHLGALELAGASIASVGIQGLAYGIMLGMASAVQTVCGQAYGAKQYSAMGIICQRAIILHLGAAVLLTFLYWFSGDVLQAIGQTESIAQQGQVFSRGLIPQIYAFAISCPMQRFLQAQNIVNPLALMSIGVFLVHILLTWLVVNVLGCGLLGAALTLSLSWWFLVIINGLYIVLSPSCKETWSGLSFRAFTGIWPYFKLTVASAVMLCLEIWYNQGLVLISGLLSNPTIALDSISICMNYLNWDMQFMLGLSAAASVRVSNELGAGHPRVAKFSVFVVNGTSILISIVFSAIVLIFRVGLSKAFTSDSEVIEAVSDLTPLLAISVFLNGIQPILSGVAIGSGWQAIVAYVNLATYYIIGLPIGCVLGFKTSLGVAGIWWGMIIGVLLQTATLVVLTATTNWNKEVEKAADRLKKSANEETDLVRGAA >KJB49003 pep chromosome:Graimondii2_0_v6:8:26694056:26695805:-1 gene:B456_008G097100 transcript:KJB49003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAASEYQPLLLGLDSHSRIPDLSSVAIEEFLQHRPIALRWWPRLVAWESRLLWLLSGSSIVLSIFNYMLSFVTLMFTGHLGALELAGASIASVGIQGLAYGIMLGMASAVQTVCGQAYGAKQYSAMGIICQRAIILHLGAAVLLTFLYWFSGDVLQAIGQTESIAQQGQVFSRGLIPQIYAFAISCPMQRFLQAQNIVNPLALMSIGVFLVHILLTWLVVNVLGCGLLGAALTLSLSWWFLVIINGLYIVLSPSCKETWSGLSFRAFTGIWPYFKLTVASAVMLCLEIWYNQGLVLISGLLSNPTIALDSISICMNYLNWDMQFMLGLSAAASVRVSNELGAGHPRVAKFSVFVVNGTSILISIVFSAIVLIFRVGLSKAFTSDSEVIEAVSDLTPLLAISVFLNGIQPILSGNHSSNTPNPTFSSKKKLLGPYA >KJB49002 pep chromosome:Graimondii2_0_v6:8:26692684:26695735:-1 gene:B456_008G097100 transcript:KJB49002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAASEYQPLLLGLDSHSRIPDLSSVAIEEFLQHRPIALRWWPRLVAWESRLLWLLSGSSIVLSIFNYMLSFVTLMFTGHLGALELAGASIASVGIQGLAYGIMLGMASAVQTVCGQAYGAKQYSAMGIICQRAIILHLGAAVLLTFLYWFSGDVLQAIGQTESIAQQGQVFSRGLIPQIYAFAISCPMQRFLQAQNIVNPLALMSIGVFLVHILLTWLVVNVLGCGLLGAALTLSLSWWFLVIINGLYIVLSPSCKETWSGLSFRAFTGIWPYFKLTVASAVMLCLEIWYNQGLVLISGLLSNPTIALDSISICMNYLNWDMQFMLGLSAAASVRVSNELGAGHPRVAKFSVFVVNGTSILISIVFSAIVLIFRVGLSKAFTSDSEVIEAVSDLTPLLAISVFLNGIQPILSGVAIGSGWQAIVAYVNLATYYIIGLPIGCVLGFKTSLGVAVRNLVGYDYWCPPTNSNSCCSHCHNQLEQGGRKGS >KJB49001 pep chromosome:Graimondii2_0_v6:8:26692271:26695805:-1 gene:B456_008G097100 transcript:KJB49001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVQTVCGQAYGAKQYSAMGIICQRAIILHLGAAVLLTFLYWFSGDVLQAIGQTESIAQQGQVFSRGLIPQIYAFAISCPMQRFLQAQNIVNPLALMSIGVFLVHILLTWLVVNVLGCGLLGAALTLSLSWWFLVIINGLYIVLSPSCKETWSGLSFRAFTGIWPYFKLTVASAVMLCLEIWYNQGLVLISGLLSNPTIALDSISICMNYLNWDMQFMLGLSAAASVRVSNELGAGHPRVAKFSVFVVNGTSILISIVFSAIVLIFRVGLSKAFTSDSEVIEAVSDLTPLLAISVFLNGIQPILSGVAIGSGWQAIVAYVNLATYYIIGLPIGCVLGFKTSLGVAGIWWGMIIGVLLQTATLVVLTATTNWNKEVEKAADRLKKSANEETDLVRGAA >KJB53002 pep chromosome:Graimondii2_0_v6:8:56335708:56341003:1 gene:B456_008G288100 transcript:KJB53002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPREDERSLISFSSPLEDSKEKDLGKEEIIEEVKKQLWLAAPLICESLLLYCLQLISVMFVGHLGALALASASLATSFAAVLAFNLLMGMSTALETLCGQSYGAKQYHMLGTHTQRAMLILSLITIPLAIILANTGSILSAVGQDPEISRAAGVYACYMIPTLFAYAILQCLLRFLRTQNNIFPMVLSSGITTLIHGFICWILVFKLSFGNKGAALAGSISYWINVLILAFYIKFSPSCVQTWTGFSNESLYEVLPFLRLAVPSAVMVCLESWSFQILVFLSGLLPNPELETSVITICINTTAIILMIPFGLSSAASIRVSNELGAEDPKGARLAARVALVLGVSEGILVGLALVLMRNVLGYAYSNDASVIRYVSTMVPILAASNIIDGVQCVLSGIVRGCGWQKIGAYINLGSYYLVGIPLSIVLAFLFHIGVMGLWLGITAALTTQMLFLLIITVRSNLEQEAKKAMERVF >KJB53003 pep chromosome:Graimondii2_0_v6:8:56335708:56341003:1 gene:B456_008G288100 transcript:KJB53003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPREDERSLISFSSPLEDSKEKDLGKEEIIEEVKKQLWLAAPLICESLLLYCLQLISVMFVGHLGALALASASLATSFAAVLAFNLLMGMSTALETLCGQSYGAKQYHMLGTHTQRAMLILSLITIPLAIILANTGSILSAVGQDPEISRAAGVYACYMIPTLFAYAILQCLLRFLRTQNNIFPMVLSSGITTLIHGFICWILVFKLSFGNKGAALAGSISYWINVLILAFYIKFSPSCVQTWTGFSNESLYEVLPFLRLAVPSAVMVCLESWSFQILVFLSGLLPNPELETSVITICINTTAIILMIPFGLSSAASIRVSNELGAEDPKGARLAARVALVLGVSEGILVGLALVLMRNVLGYAYSNDASVIRYVSTMVPILAASNIIDGVQCVLSGALAWYHSCTHHSNVVSFDNYSSQ >KJB46939 pep chromosome:Graimondii2_0_v6:8:425234:429250:-1 gene:B456_008G002500 transcript:KJB46939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASPRTRRRPEHISHLSLSGTQLPESPRGPMEFLSRSWSASALEVSKALAPLHHHHHHHPVNGTSAAPKSASSSSCTTTSIPEDINGETEELDKATADVSNQFSFTSSATSQLVLERIMSQSEVSPLTSGRLSHSSGPLVNDNSPPVSPSEEFDDVVKYFRTHNSIQPLFNGGRTSGGNGVNTPSGAKTVGRWLKDRKEKKKQETRAHNAQLHANISVAAVASAIAAIAAATAASSSTSGKNEQSTKTDLAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSHDDILTLTAAAATALRGAATLKARALKEVWNIAAVLPAEKTASVGFCSKGSNGSHSNRNNSGELAPEDFLTACSQELLAKGSELLKRTRKGDLHWKLVSVYMNKTGQVILKMKSKHVAGTFTKKKKNVVLEVCKDIPSWPGRHLFASGEQHRYFGLKTETRGIIEFECRSEREYDMWTQGVSRLLLIAAETERKHKRQSSTTWPPGGRNLDLD >KJB48991 pep chromosome:Graimondii2_0_v6:8:28323745:28324729:-1 gene:B456_008G099100 transcript:KJB48991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKQDEQGNIDSEDQVKFLKFLFNIVITNPNNQTIRKKSIRIKEISKKVPRWSYKLIDDLEQREGENKENVTAKHEICSRKSKRIVIFTNNQANADNYTNTKDANDPDQTDEVALIHYSQQSNFWCDIIKGSIRAQRRKTIPPFFLDRGVENKQRSGDSRIGLWESNKSVVLLGETVKCKTLDGDSLVAESITSLRSDPSSMGHVESRVNQ >KJB50107 pep chromosome:Graimondii2_0_v6:8:52221725:52223204:1 gene:B456_008G236500 transcript:KJB50107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTLSLDHLPPSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLLMPSANQFHLPHNFFTPSHNLLEEISNPSPNILLNQGNTSDITLPTRGVADELPRPPVINRPPEKRQRVPSAYNRFIK >KJB50101 pep chromosome:Graimondii2_0_v6:8:52221505:52224260:1 gene:B456_008G236500 transcript:KJB50101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTLSLDHLPPSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLLMPSANQFHLPHNFFTPSHNLLEEISNPSPNILLNQGNTSDITLPTRGVADELPRPPVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQPAKRTNVRQQEGEDVLMKDGFFASANVGVTPY >KJB50100 pep chromosome:Graimondii2_0_v6:8:52221502:52224298:1 gene:B456_008G236500 transcript:KJB50100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTLSLDHLPPSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLLMPSANQFHLPHNFFTPSHNLLEEISNPSPNILLNQGNTSDITLPTRGVADELPRPPVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQPAKRTNEGEDVLMKDGFFASANVGVTPY >KJB50105 pep chromosome:Graimondii2_0_v6:8:52221505:52224260:1 gene:B456_008G236500 transcript:KJB50105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTLSLDHLPPSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLLMPSANQFHLPHNFFTPSHNLLEEISNPSPNILLNQGNTSDITLPTRGVADELPRPPVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQPAKRTNVRQQVTFPPLSSHSHHQNNYITT >KJB50102 pep chromosome:Graimondii2_0_v6:8:52221505:52224260:1 gene:B456_008G236500 transcript:KJB50102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTLSLDHLPPSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLLMPSANQFHLPHNFFTPSHNLLEEISNPSPNILLNQGNTSDITLPTRGVADELPRPPVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQPAKRTNVRQQARTFS >KJB50109 pep chromosome:Graimondii2_0_v6:8:52222570:52224260:1 gene:B456_008G236500 transcript:KJB50109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRPFPIYFYCLLQPWSSCHFARSPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQPAKRTNEGEDVLMKDGFFASANVGVTPY >KJB50103 pep chromosome:Graimondii2_0_v6:8:52221505:52224260:1 gene:B456_008G236500 transcript:KJB50103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTLSLDHLPPSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLLMPSANQFHLPHNFFTPSHNLLEEISNPSPNILLNQGNTSDITLPTRGVADELPRPPVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQPAKRTNARTFS >KJB50099 pep chromosome:Graimondii2_0_v6:8:52221441:52224180:1 gene:B456_008G236500 transcript:KJB50099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTLSLDHLPPSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLLMPSANQFHLPHNFFTPSHNLLEEISNPSPNILLNQGNTSDITLPTRGVADELPRPPVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQPAKRTNEGEDVLMKDGFFASANVGVTPY >KJB50108 pep chromosome:Graimondii2_0_v6:8:52221505:52224260:1 gene:B456_008G236500 transcript:KJB50108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTLSLDHLPPSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLLMPSANQFHLPHNFFTPSHNLLEEISNPSPNILLNQGNTSDITLPTRGVADELPRPPVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNVRSKTPD >KJB50106 pep chromosome:Graimondii2_0_v6:8:52221505:52224260:1 gene:B456_008G236500 transcript:KJB50106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLLMPSANQFHLPHNFFTPSHNLLEEISNPSPNILLNQGNTSDITLPTRGVADELPRPPVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQPAKRTNEGEDVLMKDGFFASANVGVTPY >KJB50104 pep chromosome:Graimondii2_0_v6:8:52221725:52223204:1 gene:B456_008G236500 transcript:KJB50104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTLSLDHLPPSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLLMPSANQFHLPHNFFTPSHNLLEEISNPSPNILLNQGNTSDITLPTRGVADELPRPPVINRPPEKRQRVPSAYNRFIK >KJB47216 pep chromosome:Graimondii2_0_v6:8:1773848:1780732:1 gene:B456_008G015600 transcript:KJB47216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGAGSGGSSSSRREEINGAGLSSMDSLESRWVFQDEDDSEIDDEEDDDDYDDAPHLAGVDSDDEDTQDQRLIRTGPRIDSFDVEALEVPGAPRSDYEDFGMGRKIVLAFQTLGVVFGDVGTSPLYTFSVMFSKAPINGNEDVIGALSLVIYTLILIPLIKYVLVVLWANDDGEGGTFALYSLICRHAKVSLLPNQLPSDARISSFRLKVPSAELERSLKIKERLETSLTLKKLLLVLVLAGTSMVIADGVVTPAMSVMSAVGGLKVGVDAIEQDEVVMISVAFLVILFSVQKFGTSKVGLAVGPALFIWFCSLAGIGIYNLLKYDTSVLKAFNPVHIYLYFKRNSVKAWYALGGCLLCATGSEAMFADLCYFSVQSIQLTFVFLVLPCLLLGYLGQAAYLINNPSGEEQPFFYSIPGGMFWPIFLVANIAALIASRTMTTATFSCIKQSTALGCFPRLKIVHTSRKFMGQIYIPVINWFLLVVCLIVVCSISSINEIGNAYGIAELGVMMMTTILVTIVMLLIWQINIVIVLSFVIFFLGLELTFFSSVLWSVTDGSWIILVFAVIMFLIMYIWNYGSKLKYETEVKQKLSMDLMRELGCNLGTIRAPGIGLVYNELAKGVPAIFGHFLTTLPAIHSMIIFVCIKYVPVPMVPQTQERQLESDGDEDTDNEEDTSLSRVLIAPNGSVYSLAVPLLAEFGSSSNNPISEASTSEEVTADLPVDPSISDAEHGLERELSFIRKAKESGVVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGIANLSVPHSHLMQVGMTYMV >KJB47214 pep chromosome:Graimondii2_0_v6:8:1773721:1780761:1 gene:B456_008G015600 transcript:KJB47214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGAGSGGSSSSRREEINGAGLSSMDSLESRWVFQDEDDSEIDDEEDDDDYDDAPHLAGVDSDDEDTQDQRLIRTGPRIDSFDVEALEVPGAPRSDYEDFGMGRKIVLAFQTLGVVFGDVGTSPLYTFSVMFSKAPINGNEDVIGALSLVIYTLILIPLIKYVLVVLWANDDGEGGTFALYSLICRHAKVSLLPNQLPSDARISSFRLKVPSAELERSLKIKERLETSLTLKKLLLVLVLAGTSMVIADGVVTPAMSVMSAVGGLKVGVDAIEQDEVVMISVAFLVILFSVQKFGTSKVGLAVGPALFIWFCSLAGIGIYNLLKYDTSVLKAFNPVHIYLYFKRNSVKAWYALGGCLLCATGSEAMFADLCYFSVQSIQLTFVFLVLPCLLLGYLGQAAYLINNPSGEEQPFFYSIPGGMFWPIFLVANIAALIASRTMTTATFSCIKQSTALGCFPRLKIVHTSRKFMGQIYIPVINWFLLVVCLIVVCSISSINEIGNAYGIAELGVMMMTTILVTIVMLLIWQINIVIVLSFVIFFLGLELTFFSSVLWSVTDGSWIILVFAVIMFLIMYIWNYGSKLKYETEVKQKLSMDLMRELGCNLGTIRAPGIGLVYNELAKGVPAIFGHFLTTLPAIHSMIIFVCIKYVPVPMVPQSERFLFRRVCPKSYHIFRCIARYGYKDVRKENHQTFEQLLIESIEKFIRREAQERQLESDGDEDTDNEEDTSLSRVLIAPNGSVYSLAVPLLAEFGSSSNNPISEASTSEEVTADLPVDPSISDAEHGLERELSFIRKAKESGVVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGIANLSVPHSHLMQVGMTYMV >KJB47215 pep chromosome:Graimondii2_0_v6:8:1773848:1780732:1 gene:B456_008G015600 transcript:KJB47215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGAGSGGSSSSRREEINGAGLSSMDSLESRWVFQDEDDSEIDDEEDDDDYDDAPHLAGVDSDDEDTQDQRLIRTGPRIDSFDVEALEVPGAPRSDYEDFGMGRKIVLAFQTLGVVFGDVGTSPLYTFSVMFSKAPINGNEDVIGALSLVIYTLILIPLIKYVLVVLWANDDGEGGTFALYSLICRHAKVSLLPNQLPSDARISSFRLKVPSAELERSLKIKERLETSLTLKKLLLVLVLAGTSMVIADGVVTPAMSVMSAVGGLKVGVDAIEQDEVVMISVAFLVILFSVQKFGTSKVGLAVGPALFIWFCSLAGIGIYNLLKYDTSVLKAFNPVHIYLYFKRNSVKAWYALGGCLLCATGSEAMFADLCYFSVQSIQLTFVFLVLPCLLLGYLGQAAYLINNPSGEEQPFFYSIPGGMFWPIFLVANIAALIASRTMTTATFSCIKQSTALGCFPRLKIVHTSRKFMGQIYIPVINWFLLVVCLIVVCSISSINEIGNAYGIAELGVMMMTTILVTIVMLLIWQINILKYETEVKQKLSMDLMRELGCNLGTIRAPGIGLVYNELAKGVPAIFGHFLTTLPAIHSMIIFVCIKYVPVPMVPQSERFLFRRVCPKSYHIFRCIARYGYKDVRKENHQTFEQLLIESIEKFIRREAQERQLESDGDEDTDNEEDTSLSRVLIAPNGSVYSLAVPLLAEFGSSSNNPISEASTSEEVTADLPVDPSISDAEHGLERELSFIRKAKESGVVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGIANLSVPHSHLMQVGMTYMV >KJB52186 pep chromosome:Graimondii2_0_v6:8:53366842:53368191:1 gene:B456_008G249500 transcript:KJB52186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAVNFSAQALRPRTFPRAMADLALNPFPSQTSHRLELKRTKKQPNGVAIKKQEQNKLTYNRGVSSVMSSSNSDIESILGQPSAADTIKDFYMCINEKNLKGLEGYISEDCYIEDCSFYNPFNGKREVIHFFYLLMGSMGQNMKFIIEHICEGDSFTAGVNWHLEWKQTQIPFTRGCSFYECSEEGEILVIKKARIIIESPLKPGGVVLVLLKNVTTIFDEFPQVAEWFLKSPHVILQWLLKIYAIFVAPLINPLLAGYVRIGKFMAGLFALAIKIVVYISRIFSR >KJB52001 pep chromosome:Graimondii2_0_v6:8:52768764:52769582:1 gene:B456_008G241600 transcript:KJB52001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNIFFIIAIVAFFAVPSCLATDFTVGDEKGWSLDFDYQSWAAGKEFHVGDKLVFNYRAGVHNVIGVSGIEFQQCQASSNNTVRSTGNDVITLSTPGRKWYICGVPGHCAARNMKLNITVLAQVGSPATAPGSPTSPSAATPNVAFSFYGWIAVMVSFIGLVFV >KJB50871 pep chromosome:Graimondii2_0_v6:8:47375423:47381264:-1 gene:B456_008G190900 transcript:KJB50871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVVRHYLTTCFMDSETTKCKISYALHSHTRSLPLFHNKYETRRVVALMNGCEGDPKLPIGSIETRTLTAVPSPSKAMERLDVAIRDLKCNTPPLTSGILRLQVPIEEQIEAIEWLHAQHDNLPRCFFSGRRSRANGNGSNLLMDIGNGNGDTSFTHNLVGAAGVGSAVFFQQLHPFSYHDWRSIKRFLSAKCPLIRAYGAIRFDATANISPEWKAFGSFYFMVPQVEFDELEGSSMLAITIAWDNALSWTWDEAIHSLETTMQQIASVVVKLKKEASGEPILSKTHVPNKTHWDLAVKKALQEINTSSSQLVKVVLARSSRILTATNIDPIASLACLQVEGEDAYQFCLQPPNGPAFVGNTPERLFHRKWLSISSEALAATRARGESSDLDLQLEHDLLSSPKDHLEFTVVRENIQNKLESVCDRVVVEPKKTVRKLPRIQHLYAQLTGNLRREDDEVISL >KJB50872 pep chromosome:Graimondii2_0_v6:8:47374377:47381264:-1 gene:B456_008G190900 transcript:KJB50872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVVRHYLTTCFMDSETTKCKISYALHSHTRSLPLFHNKYETRRVVALMNGCEGDPKLPIGSIETRTLTAVPSPSKAMERLDVAIRDLKCNTPPLTSGILRLQVPIEEQIEAIEWLHAQHDNLPRCFFSGRRSRANGNGSNLLMDIGNGNGDTSFTHNLVGAAGVGSAVFFQQLHPFSYHDWRSIKRFLSAKCPLIRAYGAIRFDATANISPEWKAFGSFYFMVPQVEFDELEGSSMLAITIAWDNALSWTWDEAIHSLETTMQQIASVVVKLKKEASGEPILSKTHVPNKTHWDLAVKKALQEINTSSSQLVKVVLARSSRILTATNIDPIASLACLQVEGEDAYQFCLQPPNGPAFVGNTPERLFHRKWLSISSEALAATRARGESSDLDLQLEHDLLSSPKDHLEFTVVRENIQNKLESVCDRVVVEPKKTVRKLPRIQHLYAQLTGNLRREDDEFEILSSLHPTPAVCGLPKEAARLFISETEMFDRGMYAGPVGWFGGGESEFAVGIRSALVEKVS >KJB53008 pep chromosome:Graimondii2_0_v6:8:56368561:56373982:1 gene:B456_008G288600 transcript:KJB53008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMVSGSAEPLSASGRSSEKLSLPSLQSKMKTDPEGYETELHLIRNQFYSALELFQQQAALNFSSISGVGADPSVAKDLSDRAMFLAHVTPFYPKQLAEFPSDLAAFLKSSARTLPSGLRFHATQAVILLVNRKIIDIKDTLSLFMELQTLDDRNLRKLAFSHVVHSIRRMNKNHKNEAKNRSLQNILFGLLQQDDEAKAKRSLITLCELHRRKVWFDERTANAICMACFHSSSRIMIAVLSFLLDYEKIENDDEDSDDLSSEDEMTQNPHVVISKETVYKAHHKGTAASKKKKKAKLQRAIRSMKRQQRLSSESSNCSYYSPLYHLKDAQGFVEKLFSRLQTCNERFEVKMMMLKVIARTVGLHRLILLNFYPFLQRYVQPHQKDITNLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVIREICLRMPLLMTEDLLQDLVLYKKSHEKAVSAAARSLITLFREVCPSLLVKKDRGRPMDPKAKPKAYGEVNVLSNVPDIELLEQDDDIGGSEDDESGDEAVYISSDDGNEDNDDEESQYTANDGSEDEDVLDEEGDENDSVDEYESDIDDANEDDSDDEDKGDTEELETEEDDYNEEVSGSSKAGDSAGDGGNEDQKAKASKRKLSDFEGQLIAADTSLRALKRLAEAKTSHASSDSVDGILSDEHFQRIKKLKVKKEAKTALAQQGFKIPSSDQLSFKRVDPSKLEAHVRLRLSKEERLALVKAGREDRGQYQARTAIKQKKTGGLSNRQKEHKKYMPLAAKKAKAQRSRQEKNKKKSRSGKQFRGKKAWKQ >KJB53009 pep chromosome:Graimondii2_0_v6:8:56368765:56372598:1 gene:B456_008G288600 transcript:KJB53009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMVSGSAEPLSASGRSSEKLSLPSLQSKMKTDPEGYETELHLIRNQFYSALELFQQQAALNFSSISGVGADPSVAKDLSDRAMFLAHVTPFYPKQLAEFPSDLAAFLKSSARTLPSGLRFHATQAVILLVNRKIIDIKDTLSLFMELQTLDDRNLRKLAFSHVVHSIRRMNKNHKNEAKNRSLQNILFGLLQQDDEAKAKRSLITLCELHRRKVWFDERTANAICMACFHSSSRIMIAVLSFLLDYEKIENDDEDSDDLSSEDEMTQNPHVVISKETVYKAHHKGTAASKKKKKAKLQRAIRSMKRQQRLSSESSNCSYYSPLYHLKDAQGFVEKLFSRLQTCNERFEVKMMMLKVIARTVGLHRLILLNFYPFLQRYVQPHQKDITNLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVIREICLRMPLLMTEDLLQDLVLYKKSHEKAVSAAARSLITLFREVCPSLLVKKDRGRPMDPKAKPKAYGEVNVLSNVPDIELLEQDDDIGGSEDDESGDEAVYISSDDGNEDNDDEESQYTANDGSEDEDVLDEEGDENDSVDEYESDIDDANEDDSDDEDKGDTEELETEEDDYNEEVSGSSKAGDSAGDGGNEDQKAKASKRKLSDFEGQLIAADTSLRALKRLAEAKTSHASSDSVDGILSDEHFQRIKKLKVKKEAKTALAQQGFKIPSSDQLSFKRVDPSKLEVCILCLNR >KJB53010 pep chromosome:Graimondii2_0_v6:8:56368671:56373962:1 gene:B456_008G288600 transcript:KJB53010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFHSSSRIMIAVLSFLLDYEKIENDDEDSDDLSSEDEMTQNPHVVISKETVYKAHHKGTAASKKKKKAKLQRAIRSMKRQQRLSSESSNCSYYSPLYHLKDAQGFVEKLFSRLQTCNERFEVKMMMLKVIARTVGLHRLILLNFYPFLQRYVQPHQKDITNLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVIREICLRMPLLMTEDLLQDLVLYKKSHEKAVSAAARSLITLFREVCPSLLVKKDRGRPMDPKAKPKAYGEVNVLSNVPDIELLEQDDDIGGSEDDESGDEAVYISSDDGNEDNDDEESQYTANDGSEDEDVLDEEGDENDSVDEYESDIDDANEDDSDDEDKGDTEELETEEDDYNEEVSGSSKAGDSAGDGGNEDQKAKASKRKLSDFEGQLIAADTSLRALKRLAEAKTSHASSDSVDGILSDEHFQRIKKLKVKKEAKTALAQQGFKIPSSDQLSFKRVDPSKLEAHVRLRLSKEERLALVKAGREDRGQYQARTAIKQKKTGGLSNRQKEHKKYMPLAAKKAKAQRSRQEKNKKKSRSGKQFRGKKAWKQ >KJB53011 pep chromosome:Graimondii2_0_v6:8:56368671:56373962:1 gene:B456_008G288600 transcript:KJB53011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMVSGSAEPLSASGRSSEKLSLPSLQSKMKTDPEGYETELHLIRNQFYSALELFQQQAALNFSSISGVGADPSVAKDLSDRAMFLAHVTPFYPKQLAEFPSDLAAFLKSSARTLPSGLRFHATQAVILLVNRKIIDIKDTLSLFMELQTLDDRNLRKLAFSHVVHSIRRMNKNHKNEAKNRSLQNILFGLLQQDDEAKAKRSLITLCELHRRKVWFDERTANAICMACFHSSSRIMIAVLSFLLDYEKIENDDEDSDDLSSEDEMTQNPHVVISKETVYKAHHKGTAASKKKKKAKLQRAIRSMKRQQRLSSESSNCSYYSPLYHLKDAQGFVEKLFSRLQTCNERFEVKMMMLKVIARTVGLHRLILLNFYPFLQRYVQPHQKDITNLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVIREICLRMPLLMTEDLLQDLVLYKKSHEKAVSAAARSLITLFREVCPSLLVKKDRGRPMDPKAKPKAYGEVNVLSNVPDIELLEQDDDIGGSEDDESGDEAVYISSDDGNEDNDDEESQYTANDGSEDEDVLDEEGDENDSVDEYESDIDDANEDDSDDEDKGDTEELETEEDDYNEEVSGSSKAGDSAGDGGNEDQKAKASKRKLSDFEGQLIAADTSLRALKRLAEAKTSHASSDSVDGILSDEHFQRIKKLKVKKEAKTALAQQGFKIPSSDQLSFKRVDPSKLEVCPCPTQA >KJB47627 pep chromosome:Graimondii2_0_v6:8:4590032:4593159:-1 gene:B456_008G036800 transcript:KJB47627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENGKVRPNCANAANPYHVCGAYCLEKIADGKGYKEKDKKILDNRYGIKEVVRNKRTDDGGRSQPNCPKASNPYHECNDNCTQRSSKANIQGVRKESDNHNGIKQSELRKKKGGEGRVHQNCRKASNPYHECDENCFNRITEAKKESGSKFIDDSRSFDRKKKGSESQPKSPRALEITPALGAIYHGDPNSLESHLYREKLEAENAESFSSFEQHPEEICSQEQSFDKAQIQYSQPLPMSGKIMSPGDTATKFKGEKIQISPKVSSDASTEDGREDVTSSAFSFTGITQALEESDKEDNKSIISESCVSVGKYRVKESISSTLQSIFDKYGDIAANCQLESSSMRAYYLECLCAVVQELQSTPFNELTKSKVKEIFAVLKDVESANIDVSWLRALLNEISEAINLASQRQTFEAKKVKYESSLESVKNELESRMENLSQKEKEAADAREKVAEIKARLDDMEHECSQLDKTISSIASINEKFQGKSLVDELL >KJB47623 pep chromosome:Graimondii2_0_v6:8:4589660:4594042:-1 gene:B456_008G036800 transcript:KJB47623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENGKVRPNCANAANPYHVCGAYCLEKIADGKGYKEKDKKILGSKFIDDSRSFDRKKKGSESQPKSPRALEITPALGAIYHGDPNSLESHLYREKLEAENAESFSSFEQHPEEICSQEQSFDKAQIQYSQPLPMSGKIMSPGDTATKFKGEKIQISPKVSSDASTEDGREDVTSSAFSFTGITQALEESDKEDNKSIISESCVSVGKYRVKESISSTLQSIFDKYGDIAANCQLESSSMRAYYLECLCAVVQELQSTPFNELTKSKVKEIFAVLKDVESANIDVSWLRALLNEISEAINLASQRQTFEAKKVKYESSLESVKNELESRMENLSQKEKEAADAREKVAEIKARLDDMEHECSQLDKTISSIASINEKFQGKSLVDELL >KJB47625 pep chromosome:Graimondii2_0_v6:8:4590032:4593159:-1 gene:B456_008G036800 transcript:KJB47625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENGKVRPNCANAANPYHVCGAYCLEKIADGKGYKEKDKKILDNRYGIKEVVRNKRTDDGGRSQPNCPKASNPYHECNDNCTQRSSKANIQGVRKESGSKFIDDSRSFDRKKKGSESQPKSPRALEITPALGAIYHGDPNSLESHLYREKLEAENAESFSSFEQHPEEICSQEQSFDKAQIQYSQPLPMSGKIMSPGDTATKFKGEKIQISPKVSSDASTEDGREDVTSSAFSFTGITQALEESDKEDNKSIISESCVSVGKYRVKESISSTLQSIFDKYGDIAANCQLESSSMRAYYLECLCAVVQELQSTPFNELTKSKVKEIFAVLKDVESANIDVSWLRALLNEISEAINLASQRQTFEAKKVKYESSLESVKNELESRMENLSQKEKEAADAREKVAEIKARLDDMEHECSQLDKTISSIASINEKFQGKSLVDELL >KJB47622 pep chromosome:Graimondii2_0_v6:8:4589660:4594042:-1 gene:B456_008G036800 transcript:KJB47622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENGKVRPNCANAANPYHVCGAYCLEKIADGKGYKEKDKKILGSKFIDDSRSFDRKKKGSESQPKSPRALEITPALGAIYHGDPNSLESHLYREKLEAENAESFSSFEQHPEEICSQEQSFDKAQIQYSQPLPMSGKIMSPGDTATKFKGEKIQISPKVSSDASTEDGREDVTSSAFSFTGITQALEESDKEDNKSIISESCVSVGKYRVKESISSTLQSIFDKYGDIAANCQLESSSMRAYYLECLCAVVQELQSTPFNELTKSKVKEIFAVLKDVESANIDVSWLRALLNEISEAINLASQRQTFEAKKVKYESSLESVKNELESRMENLSQKEKEAADAREKVAEIKARLDDMEHECSQLDKTISSIASINEKFQGKSLVDELL >KJB47626 pep chromosome:Graimondii2_0_v6:8:4590032:4593159:-1 gene:B456_008G036800 transcript:KJB47626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENGKVRPNCANAANPYHVCGAYCLEKIADGKGYKEKDKKILDNRYGIKEVVRNKRTDDGGRSQPNCPKASNPYHECNDNCTQRSSKANIQGVRKESDNHNGIKQSELRKKKGGEGRVHQNCRKASNPYHECDENCFNRITEAKKESGSKFIDDSRSFDRKKKGSESQPKSPRALEITPALGAIYHGDPNSLESHLYREKLEAENAESFSSFEQHPEEICSQEQSFDKAQIQYSQPLPMSGKIMSPGDTATKFKGEKIQISPKVSSDASTEDGREDVTSSAFSFTGITQALEESDKEDNKSIISESCVSVGKYRVKESISSTLQSIFDKYGDIAANCQLESSSMRAYYLECLCAVVQELQSTPFNELTKSKVKEIFAVLKDVESANIDVSWLRALLNEISEAINLASQRQTFEAKKVKYESSLESVKNELESRMENLSQKEKEAADAREKVAEIKARLDDMEHECSQLDKTISSIASINEKFQGKSLVDELL >KJB47624 pep chromosome:Graimondii2_0_v6:8:4590032:4592172:-1 gene:B456_008G036800 transcript:KJB47624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFYLLDNHNGIKQSELRKKKGGEGRVHQNCRKASNPYHECDENCFNRITEAKKESGSKFIDDSRSFDRKKKGSESQPKSPRALEITPALGAIYHGDPNSLESHLYREKLEAENAESFSSFEQHPEEICSQEQSFDKAQIQYSQPLPMSGKIMSPGDTATKFKGEKIQISPKVSSDASTEDGREDVTSSAFSFTGITQALEESDKEDNKSIISESCVSVGKYRVKESISSTLQSIFDKYGDIAANCQLESSSMRAYYLECLCAVVQELQSTPFNELTKSKVKEIFAVLKDVESANIDVSWLRALLNEISEAINLASQRQTFEAKKVKYESSLESVKNELESRMENLSQKEKEAADAREKVAEIKARLDDMEHECSQLDKTISSIASINEKFQGKSLVDELL >KJB46913 pep chromosome:Graimondii2_0_v6:8:251060:258957:1 gene:B456_008G001100 transcript:KJB46913 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 [Source:Projected from Arabidopsis thaliana (AT5G08740) TAIR;Acc:AT5G08740] MAFVAYSASITALLPFNRIFSGGMGKKWNRLSPGYSARLRAGPSTLSRTSNFSSAAAGKAGGAPPLPQLSEADKTLPIYTWPHKKKPRVCILGGGFGGLYTALRLESLLWPEDKKPQVLLVDQSERFVFKPMLYELLSGEVDEWEIAPRFSELLANTGVEFLQDRVKLLHPFDHWGMNGHKQSSCGGTVLLESGLLIEYDWLVLALGAEAKLDVVPGALEFALTFSTLEDACRVDEKLKTLERTKFGKDSFIRVAVVGCGYSGVELAATISERLQDRGIVQAINVESTICPTAPTGNREAALKVLSSRKVQLLLGYFVRCIQRVSDVEASGDATVIREGKDIAKHNSEKYVLELQPAEKGLESQNLEADLVLWTVGSKPLLPELEPCDKPHELPLNARGQAETDETLRVKGHPRIFALGDSASLRDSTGKLLPATAQVAFQQADFAGWNLWAAINHRPLLPFRFQNLGEMMTLGRNDAAISPSFVDGLTLEGPIGHAARKLAYLIRLPTEEHRFKVGLSWFAKSAVDSVALLQSTLTKVLSGS >KJB47640 pep chromosome:Graimondii2_0_v6:8:4145708:4151534:-1 gene:B456_008G034600 transcript:KJB47640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGVVMVDNKIAEERVANEEVKVTLAGDSGETEEPVDEVFEEASSTLENLQEQAEKSGVDGGSSVEDAIGNVETFGDTGSEVVKENLNLEPEAETFQEAIETQENDVPSEVGTQEAVAELVDQQKGESVGGGVVSDKIDEGGTEMGKNNDELKGGKEVPEISGTGEAEVPRDEEKRNLKSDSGMEMPVKGDTDQGKEDTEVKCATADLGSVDGDEDEKVFAAVEVEDNMNGELKDLLNARDMKLNSEIDELKDGLSEPGKSVEETVASADELKDLLNARDMKLNSEIDELKDRLFEPRKSVEETVASADRNLSSSEKFADERNEKIEAGKADKIDKAGTEMGEKTDELNGGKEVLEINGTGETEVSRDDEKRSLKSDTVIEMPVKGDTDQGQECTEVKGATAGLDSVHGGDEDEINGTGETEVPRDEEKRSLKSDTVIEMPVKGDTDQGQERTEVKGATAGLDSVDGGDGDEKANKAFAAKEVEDNMNGKVEDLSYARDMKHNGEIDELKHTQSEPSKSVEGTVASTVGNLSSSEKFTDERNEKIESGKADLRTEVHDGFQSRLPDEMVGNKCQDINFVIEHSDDNAEKNQQDKQSTQVTIEQEVQHAPGSSVSAKAEEFGKKVDMAQEPKPNNSVTRECEILPAPALSSSVKSTNPAISPHPAGLGRAAPLLEPAARVVQQPRANGSVSQAQAQAQAQQIEDIGNVEAEENDETREKLQLIRVKFLRLANRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGNEPLDFSCTIMVLGKTGVGKSATINSIFDEVKFGTDAFQTGTKKVQDVVGTVHGIKVRVIDTPGLLPSWSDQCQNEKILHSVKRFIKKTPPDIVLYLDRLDMQTRDFGDMPLLRTITEVFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSLASKILAEANTLLKLQDTPPGKPFATRARTPPLPYLLSSLLQSRPQVKLPEEQYGDEDGLDDDLDESSDSEDEPEYDELPPFKRLSKAQIAKLSKAQKKAYFDELEYREKLFMKKQLKEEKKQRKMMKKMASAAKDLPSEYGENAEEESSGASSVPVPMPDLALPASFDSDNPTHRYRSLDSSNPWLVRPVLDTHGWDHDVGYEGINVERLFVAEKKFPISFSGQVTKDKRDANVQMELASSLKHGEGKATSLGFDMQTVGKDLAYTLRSETRFSNLKKNKAMAGISVTLLGDALSAGVKFEDKLIANKQFQVVMAGGAMTGRGDLAYGGSLEAQLRDKDYPLGRSLSTLGLSIMDWHGDLAIGCNIQSQVPVGRSTNLIARANLNNKGAGQVSLRINSSEQLQLALIAVLPLLKKLFEYSHQVQYGQ >KJB47641 pep chromosome:Graimondii2_0_v6:8:4145708:4151785:-1 gene:B456_008G034600 transcript:KJB47641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGVVMVDNKIAEERVANEEVKVTLAGDSGETEEPVDEVFEEASSTLENLQEQAEKSGVDGGSSVEDAIGNVETFGDTGSEVVKENLNLEPEAETFQEAIETQENDVPSEVGTQEAVAELVDQQKGESVGGGVVSDKIDEGGTEMGKNNDELKGGKEVPEISGTGEAEVPRDEEKRNLKSDSGMEMPVKGDTDQGKEDTEVKCATADLGSVDGDEDEKVFAAVEVEDNMNGELKDLLNARDMKLNSEIDELKDGLSEPGKSVEETVASADELKDLLNARDMKLNSEIDELKDRLFEPRKSVEETVASADRNLSSSEKFADERNEKIEAGKADKIDKAGTEMGEKTDELNGGKEVLEINGTGETEVSRDDEKRSLKSDTVIEMPVKGDTDQGQECTEVKGATAGLDSVHGGDEDEINGTGETEVPRDEEKRSLKSDTVIEMPVKGDTDQGQERTEVKGATAGLDSVDGGDGDEKANKAFAAKEVEDNMNGKVEDLSYARDMKHNGEIDELKHTQSEPSKSVEGTVASTVGNLSSSEKFTDERNEKIESGKADLRTEVHDGFQSRLPDEMVGNKCQDINFVIEHSDDNAEKNQQDKQSTQVTIEQEVQHAPGSSVSAKAEEFGKKVDMAQEPKPNNSVTRECEILPAPALSSSVKSTNPAISPHPAGLGRAAPLLEPAARVVQQPRANGSVSQAQAQAQAQQIEDIGNVEAEENDETREKLQLIRVKFLRLANRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGNEPLDFSCTIMVLGKTGVGKSATINSIFDEVKFGTDAFQTGTKKVQDVVGTVHGIKVRVIDTPGLLPSWSDQCQNEKILHSVKRFIKKTPPDIVLYLDRLDMQTRDFGDMPLLRTITEVFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSLASKILAEANTLLKLQDTPPGKPFATRARTPPLPYLLSSLLQSRPQVKLPEEQYGDEDGLDDDLDESSDSEDEPEYDELPPFKRLSKAQIAKLSKAQKKAYFDELEYREKLFMKKQLKEEKKQRKMMKKMASAAKDLPSEYGENAEEESSGASSVPVPMPDLALPASFDSDNPTHRYRSLDSSNPWLVRPVLDTHGWDHDVGYEGINVERLFVAEKKFPISFSGQVTKDKRDANVQMELASSLKHGEGKATSLGFDMQTVGKDLAYTLRSETRFSNLKKNKAMAGISVTLLGDALSAGVKFEDKLIANKQFQVVMAGGAMTGRGDLAYGGSLEAQLRDKDYPLGRSLSTLGLSIMDWHGDLAIGCNIQSQVPVGRSTNLIARANLNNKVWTMMRIRLLRIIQIFKNGQVSVVFQEVSETLKVRC >KJB47639 pep chromosome:Graimondii2_0_v6:8:4145684:4151785:-1 gene:B456_008G034600 transcript:KJB47639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGVVMVDNKIAEERVANEEVKVTLAGDSGETEEPVDEVFEEASSTLENLQEQAEKSGVDGGSSVEDAIGNVETFGDTGSEVVKENLNLEPEAETFQEAIETQENDVPSEVGTQEAVAELVDQQKGESVGGGVVSDKIDEGGTEMGKNNDELKGGKEVPEISGTGEAEVPRDEEKRNLKSDSGMEMPVKGDTDQGKEDTEVKCATADLGSVDGDEDEKVFAAVEVEDNMNGELKDLLNARDMKLNSEIDELKDGLSEPGKSVEETVASADELKDLLNARDMKLNSEIDELKDRLFEPRKSVEETVASADRNLSSSEKFADERNEKIEAGKADKIDKAGTEMGEKTDELNGGKEVLEINGTGETEVSRDDEKRSLKSDTVIEMPVKGDTDQGQECTEVKGATAGLDSVHGGDEDEINGTGETEVPRDEEKRSLKSDTVIEMPVKGDTDQGQERTEVKGATAGLDSVDGGDGDEKANKAFAAKEVEDNMNGKVEDLSYARDMKHNGEIDELKHTQSEPSKSVEGTVASTVGNLSSSEKFTDERNEKIESGKADLRTEVHDGFQSRLPDEMVGNKCQDINFVIEHSDDNAEKNQQDKQSTQVTIEQEVQHAPGSSVSAKAEEFGKKVDMAQEPKPNNSVTRECEILPAPALSSSVKSTNPAISPHPAGLGRAAPLLEPAARVVQQPRANGSVSQAQAQAQAQQIEDIGNVEAEENDETREKLQLIRVKFLRLANRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGNEPLDFSCTIMVLGKTGVGKSATINSIFDEVKFGTDAFQTGTKKVQDVVGTVHGIKVRVIDTPGLLPSWSDQCQNEKILHSVKRFIKKTPPDIVLYLDRLDMQTRDFGDMPLLRTITEVFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSLASKILAEANTLLKLQDTPPGKPFATRARTPPLPYLLSSLLQSRPQVKLPEEQYGDEDGLDDDLDESSDSEDEPEYDELPPFKRLSKAQIAKLSKAQKKAYFDELEYREKLFMKKQLKEEKKQRKMMKKMASAAKDLPSEYGENAEEESSGASSVPVPMPDLALPASFDSDNPTHRYRSLDSSNPWLVRPVLDTHGWDHDVGYEGINVERLFVAEKKFPISFSGQVTKDKRDANVQMELASSLKHGEGKATSLGFDMQTVGKDLAYTLRSETRFSNLKKNKAMAGISVTLLGDALSAGVKFEDKLIANKQFQVVMAGGAMTGRGDLAYGGSLEAQLRDKDYPLGRSLSTLGLSIMDWHGDLAIGCNIQSQVPVGRSTNLIARANLNNKVWTMMRIRLLRIIQIFKNGQVSVVFQEVTPPEPV >KJB47637 pep chromosome:Graimondii2_0_v6:8:4146982:4151250:-1 gene:B456_008G034600 transcript:KJB47637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGVVMVDNKIAEERVANEEVKVTLAGDSGETEEPVDEVFEEASSTLENLQEQAEKSGVDGGSSVEDAIGNVETFGDTGSEVVKENLNLEPEAETFQEAIETQENDVPSEVGTQEAVAELVDQQKGESVGGGVVSDKIDEGGTEMGKNNDELKGGKEVPEISGTGEAEVPRDEEKRNLKSDSGMEMPVKGDTDQGKEDTEVKCATADLGSVDGDEDEKVFAAVEVEDNMNGELKDLLNARDMKLNSEIDELKDGLSEPGKSVEETVASADELKDLLNARDMKLNSEIDELKDRLFEPRKSVEETVASADRNLSSSEKFADERNEKIEAGKADKIDKAGTEMGEKTDELNGGKEVLEINGTGETEVSRDDEKRSLKSDTVIEMPVKGDTDQGQECTEVKGATAGLDSVHGGDEDEINGTGETEVPRDEEKRSLKSDTVIEMPVKGDTDQGQERTEVKGATAGLDSVDGGDGDEKANKAFAAKEVEDNMNGKVEDLSYARDMKHNGEIDELKHTQSEPSKSVEGTVASTVGNLSSSEKFTDERNEKIESGKADLRTEVHDGFQSRLPDEMVGNKCQDINFVIEHSDDNAEKNQQDKQSTQVTIEQEVQHAPGSSVSAKAEEFGKKVDMAQEPKPNNSVTRECEILPAPALSSSVKSTNPAISPHPAGLGRAAPLLEPAARVVQQPRANGSVSQAQAQAQAQQIEDIGNVEAEENDETREKLQLIRVKFLRLANRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGNEPLDFSCTIMVLGKTGVGKSATINSIFDEVKFGTDAFQTGTKKVQDVVGTVHGIKVRVIDTPGLLPSWSDQCQNEKILHSVKRFIKKTPPDIVLYLDRLDMQTRDFGDMPLLRTITEVFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSLASKILAEANTLLKLQDTPPGKPFATRARTPPLPYLLSSLLQSRPQVKLPEEQYGDEDGLDDDLDESSDSEDEPEYDELPPFKRLSKAQIAKLSKAQKKAYFDELEYREKLFMKKQLKEEKKQRKMMKKMASAAKDLPSEYGENAEEESSGASSVPVPMPDLALPASFDSDNPTHRYRSLDSSNPWLVRPVLDTHGWDHDVGYEGINVERLFVAEKKFPISFSGQVTKDKRDANVQMELASSLKHGEGKATSLGFDMQTVGKDLAYTLRSETRFSNLKKNKAMAGISVTLLGDALSAGVKFEDKLIANKQFQVVMAGGAMTGRGDLAYGGSLEAQLRDKDYPLGRSLSTLGLSIMDWHGDLAIGCNIQSQVPVGRSTNLIARANLNNKGAGQVSLRINSSEQLQLALIAVLPLLKKLFEYSHQVQYGQ >KJB47638 pep chromosome:Graimondii2_0_v6:8:4146237:4151785:-1 gene:B456_008G034600 transcript:KJB47638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGVVMVDNKIAEERVANEEVKVTLAGDSGETEEPVDEVFEEASSTLENLQEQAEKSGVDGGSSVEDAIGNVETFGDTGSEVVKENLNLEPEAETFQEAIETQENDVPSEVGTQEAVAELVDQQKGESVGGGVVSDKIDEGGTEMGKNNDELKGGKEVPEISGTGEAEVPRDEEKRNLKSDSGMEMPVKGDTDQGKEDTEVKCATADLGSVDGDEDEKVFAAVEVEDNMNGELKDLLNARDMKLNSEIDELKDGLSEPGKSVEETVASADELKDLLNARDMKLNSEIDELKDRLFEPRKSVEETVASADRNLSSSEKFADERNEKIEAGKADKIDKAGTEMGEKTDELNGGKEVLEINGTGETEVSRDDEKRSLKSDTVIEMPVKGDTDQGQECTEVKGATAGLDSVHGGDEDEINGTGETEVPRDEEKRSLKSDTVIEMPVKGDTDQGQERTEVKGATAGLDSVDGGDGDEKANKAFAAKEVEDNMNGKVEDLSYARDMKHNGEIDELKHTQSEPSKSVEGTVASTVGNLSSSEKFTDERNEKIESGKADLRTEVHDGFQSRLPDEMVGNKCQDINFVIEHSDDNAEKNQQDKQSTQVTIEQEVQHAPGSSVSAKAEEFGKKVDMAQEPKPNNSVTRECEILPAPALSSSVKSTNPAISPHPAGLGRAAPLLEPAARVVQQPRANGSVSQAQAQAQAQQIEDIGNVEAEENDETREKLQLIRVKFLRLANRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGNEPLDFSCTIMVLGKTGVGKSATINSIFDEVKFGTDAFQTGTKKVQDVVGTVHGIKVRVIDTPGLLPSWSDQCQNEKILHSVKRFIKKTPPDIVLYLDRLDMQTRDFGDMPLLRTITEVFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSLASKILAEANTLLKLQDTPPGKPFATRARTPPLPYLLSSLLQSRPQVKLPEEQYGDEDGLDDDLDESSDSEDEPEYDELPPFKRLSKAQIAKLSKAQKKAYFDELEYREKLFMKKQLKEEKKQRKMMKKMASAAKDLPSEYGENAEEESSGASSVPVPMPDLALPASFDSDNPTHRYRSLDSSNPWLVRPVLDTHGWDHDVGYEGINVERLFVAEKKFPISFSGQVTKDKRDANVQMELASSLKHGEGKATSLGFDMQTVGKDLAYTLRSETRFSNLKKNKAMAGISVTLLGDALSAGVKFEDKLIANKQFQVVMAGGAMTGRGDLAYGGSLEAQLRDKDYPLGRSLSTLGLSIMDWHGDLAIGCNIQSQVPVGRSTNLIARANLNNKGAGQVSLRINSSEQLQLALIAVLPLLKKLFEYSHQVQYGQ >KJB47642 pep chromosome:Graimondii2_0_v6:8:4145225:4151787:-1 gene:B456_008G034600 transcript:KJB47642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGVVMVDNKIAEERVANEEVKVTLAGDSGETEEPVDEVFEEASSTLENLQEQAEKSGVDGGSSVEDAIGNVETFGDTGSEVVKENLNLEPEAETFQEAIETQENDVPSEVGTQEAVAELVDQQKGESVGGGVVSDKIDEGGTEMGKNNDELKGGKEVPEISGTGEAEVPRDEEKRNLKSDSGMEMPVKGDTDQGKEDTEVKCATADLGSVDGDEDEKVFAAVEVEDNMNGELKDLLNARDMKLNSEIDELKDGLSEPGKSVEETVASADELKDLLNARDMKLNSEIDELKDRLFEPRKSVEETVASADRNLSSSEKFADERNEKIEAGKADKIDKAGTEMGEKTDELNGGKEVLEINGTGETEVSRDDEKRSLKSDTVIEMPVKGDTDQGQECTEVKGATAGLDSVHGGDEDEINGTGETEVPRDEEKRSLKSDTVIEMPVKGDTDQGQERTEVKGATAGLDSVDGGDGDEKANKAFAAKEVEDNMNGKVEDLSYARDMKHNGEIDELKHTQSEPSKSVEGTVASTVGNLSSSEKFTDERNEKIESGKADLRTEVHDGFQSRLPDEMVGNKCQDINFVIEHSDDNAEKNQQDKQSTQVTIEQEVQHAPGSSVSAKAEEFGKKVDMAQEPKPNNSVTRECEILPAPALSSSVKSTNPAISPHPAGLGRAAPLLEPAARVVQQPRANGSVSQAQAQAQAQQIEDIGNVEAEENDETREKLQLIRVKFLRLANRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGNEPLDFSCTIMVLGKTGVGKSATINSIFDEVKFGTDAFQTGTKKVQDVVGTVHGIKVRVIDTPGLLPSWSDQCQNEKILHSVKRFIKKTPPDIVLYLDRLDMQTRDFGDMPLLRTITEVFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSLASKILAEANTLLKLQDTPPGKPFATRARTPPLPYLLSSLLQSRPQVKLPEEQYGDEDGLDDDLDESSDSEDEPEYDELPPFKRLSKAQIAKLSKAQKKAYFDELEYREKLFMKKQLKEEKKQRKMMKKMASAAKDLPSEYGENAEEESSGASSVPVPMPDLALPASFDSDNPTHRYRSLDSSNPWLVRPVLDTHGWDHDVGYEGINVERLFVAEKKFPISFSGQVTKDKRDANVQMELASSLKHGEGKATSLGFDMQTVGKDLAYTLRSETRFSNLKKNKAMAGISVTLLGDALSAGVKFEDKLIANKQFQVVMAGGAMTGRGDLAYGGSLEAQLRDKDYPLGRSLSTLGLSIMDWHGDLAIGCNIQSQVPVGRSTNLIARANLNNKGAGQVSLRINSSEQLQLALIAVLPLLKKLFEYSHQVQYGQ >KJB47636 pep chromosome:Graimondii2_0_v6:8:4145225:4151785:-1 gene:B456_008G034600 transcript:KJB47636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKVEDLSYARDMKHNGEIDELKHTQSEPSKSVEGTVASTVGNLSSSEKFTDERNEKIESGKADLRTEVHDGFQSRLPDEMVGNKCQDINFVIEHSDDNAEKNQQDKQSTQVTIEQEVQHAPGSSVSAKAEEFGKKVDMAQEPKPNNSVTRECEILPAPALSSSVKSTNPAISPHPAGLGRAAPLLEPAARVVQQPRANGSVSQAQAQAQAQQIEDIGNVEAEENDETREKLQLIRVKFLRLANRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGNEPLDFSCTIMVLGKTGVGKSATINSIFDEVKFGTDAFQTGTKKVQDVVGTVHGIKVRVIDTPGLLPSWSDQCQNEKILHSVKRFIKKTPPDIVLYLDRLDMQTRDFGDMPLLRTITEVFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSLASKILAEANTLLKLQDTPPGKPFATRARTPPLPYLLSSLLQSRPQVKLPEEQYGDEDGLDDDLDESSDSEDEPEYDELPPFKRLSKAQIAKLSKAQKKAYFDELEYREKLFMKKQLKEEKKQRKMMKKMASAAKDLPSEYGENAEEESSGASSVPVPMPDLALPASFDSDNPTHRYRSLDSSNPWLVRPVLDTHGWDHDVGYEGINVERLFVAEKKFPISFSGQVTKDKRDANVQMELASSLKHGEGKATSLGFDMQTVGKDLAYTLRSETRFSNLKKNKAMAGISVTLLGDALSAGVKFEDKLIANKQFQVVMAGGAMTGRGDLAYGGSLEAQLRDKDYPLGRSLSTLGLSIMDWHGDLAIGCNIQSQVPVGRSTNLIARANLNNKGAGQVSLRINSSEQLQLALIAVLPLLKKLFEYSHQVQYGQ >KJB48510 pep chromosome:Graimondii2_0_v6:8:12722061:12724855:-1 gene:B456_008G073000 transcript:KJB48510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVIFLQVLLGILCCFSIFCANAEDPYRYYTWVVTYGTRAPLGVYQRVILINNQFPGPPIEAVTNDNIIVNVINQLDEPFLITWHGIKQRKASWQDGVLGTNCPIPPHSNWTYKFQLKDQIGTYMYYPSTSMHRAIGGFGAVNVNQRSVISIPYPAFAGDFTLLIGDWYKAGDKALRKRLNSGLVLPLPDGLLINGLHKSSVFTGQKGKTYRFRITNVGISTSINFRIQGHSLILVEVEGSHSLQEVYESIDIHPGQSITALVTLRGAIKDYYIVASTRFTKPILTTTGILRYQGSHTPPSLPLPIAPTYHVHWSMKQARTIRLNLTANAARPNPQGTFHYGQINIVRRLVLANAEVKINGKLRYTVNGISYVDPTTPLKLADWFNIPGVFSLNNIKDLPTSRPPALGVSVFGLTLHDFVEIVFQNTEPTIQSWHLDGSSFYVVGYGGGKWTTALKKRYNLVDAISRHTVQVYPNSWTAVLVSLDNKGMWNLRSQIWSDRFLGKQTYLRVWNDEKSLYTETDIPPNALRCGKAIHL >KJB48551 pep chromosome:Graimondii2_0_v6:8:13537172:13540786:-1 gene:B456_008G074600 transcript:KJB48551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRVRTTLQSMKAPLNHDRHHNKKEKMEKCQGSRTLGTSKAVTNRRRSIREKKIALLQDVDKLKRKLRHEENVHRALERAFTRPLGALPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVSFRQGLYQEAVYASSKRNVENLNESTVEQSPVRSSKHQRSKSLSVNEMSLVPTIARSQPSLSRSVSSRKMLPPDTIYDRAGQCFIRPTNGKQASTKFNSASGDVRGKENQSFANAVKDKQSPDKKVSNIVTPVKRLPTKLDSADKYLDPLKVQLDGRLVKQENAQASPSSSTDDKVSEVDSAPNKISEDIVRCLFRIFARLSTLKDKAAESGTLPRESEVRDPYGICSDLKTRDIGPYQHLCAIEVNTINLNRSTNALFLIHKLKFLLGKLASVNLEGLSHQQKLAFWINTYNSCMMNATIVVGGHLLNAITIEHFILRLPFHLKFTCPKATKNDEVKARNIFGLEWSEPLVTFALACGSWSSPAVSWLQNQSPFVDSQKHS >KJB48547 pep chromosome:Graimondii2_0_v6:8:13537420:13540167:-1 gene:B456_008G074600 transcript:KJB48547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKCQGSRTLGTSKAVTNRRRSIREKKIALLQDVDKLKRKLRHEENVHRALERAFTRPLGALPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVSFRQGLYQEAVYASSKRNVENLNESTVEQSPVRSSKHQRSKSLSVNEMSLVPTIARSQPSLSRSVSSRKMLPPDTIYDRAGQCFIRPTNGKQASTKFNSASGDVRGKENQSFANAVKDKQSPDKKVSNIVTPVKRLPTKLDSADKYLDPLKVQLDGRLVKQENAQASPSSSTDDKVSEVDSAPNKISEDIVRCLFRIFARLSTLKDKAAESGTLPRESEVRDPYGICSDLKTRDIGPYQHLCAIEVNTINLNRSTNALFLIHKLKFLLGKLASVNLEGLSHQQKLAFWINTYNSCMMNAILEHGVPELPERVVALMQKATIVVGGHLLNAITIEHFILRLPFHLKFTCPKATKNDEVKARNIFGLEWSEPLVTFALACGSWSSPAVRVYTAAHVEEELETAKRDYLQAAVGISRTNKLTIPKLLDWYLLDFAKDLESLLDWVCLQLPNDVRNEAVKCLERNGKETLSQLVQVMPYDFSFRLLLQR >KJB48550 pep chromosome:Graimondii2_0_v6:8:13537087:13540951:-1 gene:B456_008G074600 transcript:KJB48550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRVRTTLQSMKAPLNHDRHHNKKEKMEKCQGSRTLGTSKAVTNRRRSIREKKIALLQDVDKLKRKLRHEENVHRALERAFTRPLGALPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVSFRQGLYQEAVYASSKRNVENLNESTVEQSPVRSSKHQRSKSLSVNEMSLVPTIARSQPSLSRSVSSRKMLPPDTIYDRAGQCFIRPTNGKQASTKFNSASGDVRGKENQSFANAVKDKQSPDKKVSNIVTPVKRLPTKLDSADKYLDPLKVQLDGRLVKQENAQASPSSSTDDKVSEVDSAPNKISEDIVRCLFRIFARLSTLKDKAAESGTLPRESEVRDPYGICSDLKTRDIGPYQHLCAIEVNTINLNRSTNALFLIHKLKFLLGKLASVNLEGLSHQQKLAFWINTYNSCMMNAILEHGVPELPERVVALMQKATIVVGGHLLNAITIEHFILRLPFHLKFTCPKATKNDEVKARNIFGLEWSEPLVTFALACGSWSSPAVRVYTAAHVEEELETAKRDYLQAAVGISRTNKLTIPKLLDWYLLDFAKDLESLLDWVCLQLPNDVRNEAVKCLERNGKETLSQLVQVMPYDFSFRLLLQR >KJB48545 pep chromosome:Graimondii2_0_v6:8:13537420:13540632:-1 gene:B456_008G074600 transcript:KJB48545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRVRTTLQSMKAPLNHDRHHNKEKMEKCQGSRTLGTSKAVTNRRRSIREKKIALLQDVDKLKRKLRHEENVHRALERAFTRPLGALPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVSFRQGLYQEAVYASSKRNVENLNESTVEQSPVRSSKHQRSKSLSVNEMSLVPTIARSQPSLSRSVSSRKMLPPDTIYDRAGQCFIRPTNGKQASTKFNSASGDVRGKENQSFANAVKDKQSPDKKVSNIVTPVKRLPTKLDSADKYLDPLKVQLDGRLVKQENAQASPSSSTDDKVSEVDSAPNKISEDIVRCLFRIFARLSTLKDKAAESGTLPRESEVRDPYGICSDLKTRDIGPYQHLCAIEVNTINLNRSTNALFLIHKLKFLLGKLASVNLEGLSHQQKLAFWINTYNSCMMNAILEHGVPELPERVVALMQKATIVVGGHLLNAITIEHFILRLPFHLKFTCPKATKNDEVKARNIFGLEWSEPLVTFALACGSWSSPAVRVYTAAHVEEELETAKRDYLQAAVGISRTNKLTIPKLLDWYLLDFAKDLESLLDWVCLQLPNDVRNEAVKCLERNGKETLSQLVQVMPYDFSFRLLLQR >KJB48546 pep chromosome:Graimondii2_0_v6:8:13537055:13540786:-1 gene:B456_008G074600 transcript:KJB48546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRVRTTLQSMKAPLNHDRHHNKKMEKCQGSRTLGTSKAVTNRRRSIREKKIALLQDVDKLKRKLRHEENVHRALERAFTRPLGALPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVSFRQGLYQEAVYASSKRNVENLNESTVEQSPVRSSKHQRSKSLSVNEMSLVPTIARSQPSLSRSVSSRKMLPPDTIYDRAGQCFIRPTNGKQASTKFNSASGDVRGKENQSFANAVKDKQSPDKKVSNIVTPVKRLPTKLDSADKYLDPLKVQLDGRLVKQENAQASPSSSTDDKVSEVDSAPNKISEDIVRCLFRIFARLSTLKDKAAESGTLPRESEVRDPYGICSDLKTRDIGPYQHLCAIEVNTINLNRSTNALFLIHKLKFLLGKLASVNLEGLSHQQKLAFWINTYNSCMMNAILEHGVPELPERVVALMQKATIVVGGHLLNAITIEHFILRLPFHLKFTCPKATKNDEVKARNIFGLEWSEPLVTFALACGSWSSPAVRVYTAAHVEEELETAKRDYLQAAVGISRTNKLTIPKLLDWYLLDFAKDLESLLDWVCLQLPNDVRNEAVKCLERNGKETLSQLVQVMPYDFSFRLLLQR >KJB48549 pep chromosome:Graimondii2_0_v6:8:13537094:13540786:-1 gene:B456_008G074600 transcript:KJB48549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRVRTTLQSMKAPLNHDRHHNKKEKMEKCQGSRTLGTSKAVTNRRRSIREKKIALLQDVDKLKRKLRHEENVHRALERAFTRPLGALPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVSFRQGLYQEAVYASSKRNVENLNESTVEQSPVRSSKHQRSKSLSVNEMSLVPTIARSQPSLSRSVSSRKMLPPDTIYDRAGQCFIRPTNGKQASTKFNSASGDVRGKENQSFANAVKDKQSPDKKVSNIVTPVKRLPTKLDSADKYLDPLKVQLDGRLVKQENAQASPSSSTDDKVSEVDSAPNKISEDIVRCLFRIFARLSTLKDKAAESGTLPRESEVRDPYGICSDLKTRDIGPYQHLCAIEVNTINLNRSTNALFLIHKLKFLLGKLASVNLEGLSHQQKLAFWINTYNSCMMNAILEHGVPELPERVVALMQKATIVVGGHLLNAITIEHFILRLPFHLKFTCPKATKNDEVKARNIFGLEWSEPLVTFALACGSWSSPAVRVYTAAHVEEELETAKRDYLQAAVGISRTNKLTIPKLLDWYLLDFAKDLESLLDWVCLQLPNDVRNEAVKCLERNGKETLSQLVQVMPYDFSFRLLLQR >KJB48552 pep chromosome:Graimondii2_0_v6:8:13537790:13540632:-1 gene:B456_008G074600 transcript:KJB48552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRVRTTLQSMKAPLNHDRHHNKKEKMEKCQGSRTLGTSKAVTNRRRSIREKKIALLQDVDKLKRKLRHEENVHRALERAFTRPLGALPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVSFRQGLYQEAVYASSKRNVENLNESTVEQSPVRSSKHQRSKSLSVNEMSLVPTIARSQPSLSRSVSSRKMLPPDTIYDRAGQCFIRPTNGKQASTKFNSASGDVRGKENQSFANAVKDKQSPDKKVSNIVTPVKRLPTKLDSADKYLDPLKVQLDGRLVKQENAQASPSSSTDDKVSEVDSAPNKISEDIVRCLFRIFARLSTLKDKAAESGTLPRESEVRDPYGICSDLKTRDIGPYQHLCAIEVNTINLNRSTNALFLIHKLKFLLGKLASVNLEGLSHQQKLAFWINTYNSCMMNAILEHGVPELPERVVALMQKATIVVGGHLLNAITIEHFILRLPFHLKFTCPKATKNDEVKARNIFGLEWSEPLVTFALACGSWSSPAVSWLQNQSPFVDSQKHS >KJB48548 pep chromosome:Graimondii2_0_v6:8:13537087:13540458:-1 gene:B456_008G074600 transcript:KJB48548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKCQGSRTLGTSKAVTNRRRSIREKKIALLQDVDKLKRKLRHEENVHRALERAFTRPLGALPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVSFRQGLYQEAVYASSKRNVENLNESTVEQSPVRSSKHQRSKSLSVNEMSLVPTIARSQPSLSRSVSSRKMLPPDTIYDRAGQCFIRPTNGKQASTKFNSASGDVRGKENQSFANAVKDKQSPDKKVSNIVTPVKRLPTKLDSADKYLDPLKVQLDGRLVKQENAQASPSSSTDDKVSEVDSAPNKISEDIVRCLFRIFARLSTLKDKAAESGTLPRESEVRDPYGICSDLKTRDIGPYQHLCAIEVNTINLNRSTNALFLIHKLKFLLGKLASVNLEGLSHQQKLAFWINTYNSCMMNAILEHGVPELPERVVALMQKATIVVGGHLLNAITIEHFILRLPFHLKFTCPKATKNDEVKARNIFGLEWSEPLVTFALACGSWSSPAVRVYTAAHVEEELETAKRDYLQAAVGISRTNKLTIPKLLDWYLLDFAKDLESLLDWVCLQLPNDVRNEAVKCLERNGKETLSQLVQVMPYDFSFRLLLQR >KJB50499 pep chromosome:Graimondii2_0_v6:8:44983640:44988441:-1 gene:B456_008G174100 transcript:KJB50499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDASGIETCHKKPGLLKDQVPLVKRKDIDRHEIVSIEDPLSFEQGFFIVIRACQSLAQKNDGIVLVGLAGPSGAGKTVFTEKMLNFMPNIAIITMDNYNDSSRIVDGNFDDPRLTDYDMLLQNVHDLKEGKDVQVPLYDFKTSSRTGYRTLEVPISRIVIIEGIYALSEKLRPMLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSARKFTVDQIKSVVSKAHMETEEQTYDIYLLPPGEDPDSCQSYLRMQNKDGKYSLMFEEWVTDIPFVISPRITFEVSVRLLGGLMALGYTISAILKRNSHVFSDDNVCVKIDWLEQLNRQYLQVQGRDRSVVKDVAERLGLEGSYIPRTYIEQIRLEKLVNEVMALPEDLKTKLSLDEDLVSSPKEALLGASMDMVALRNMHLRREKYISNFAGYSVNNQRFGERNSESALANKGVINQLSEQISSLNDRMDEFKTRVEELNSKLTIKRRTSSQQNLAFRAESCKGSACTSYFINGLGNGSIITNSSSSSQLAKDSPLMEEQISTVAEGQRRIMHQLDSLSNLLHERLGERSEQANTKRKYMVAGAEPIKVPLILTTLTIGGLGIFLFRGFLCNSSSQVF >KJB50497 pep chromosome:Graimondii2_0_v6:8:44983428:44988673:-1 gene:B456_008G174100 transcript:KJB50497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEVAVIFSMAQDASGIETCHKKPGLLKDQVPLVKRKDIDRHEIVSIEDPLSFEQGFFIVIRACQSLAQKNDGIVLVGLAGPSGAGKTVFTEKMLNFMPNIAIITMDNYNDSSRIVDGNFDDPRLTDYDMLLQNVHDLKEGKDVQVPLYDFKTSSRTGYRTLEVPISRIVIIEGIYALSEKLRPMLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSARKFTVDQIKSVVSKAHMETEEQTYDIYLLPPGEDPDSCQSYLRMQNKDGKYSLMFEEWVTDIPFVISPRITFEVSVRLLGGLMALGYTISAILKRNSHVFSDDNVCVKIDWLEQLNRQYLQVQGRDRSVVKDVAERLGLEGSYIPRTYIEQIRLEKLVNEVMALPEDLKTKLSLDEDLVSSPKEALLGASMDMVALRNMHLRREKYISNFAGYSVNNQRFGERNSESALANKGVINQLSEQISSLNDRMDEFKTRVEELNSKLTIKRRTSSQQNLAFRAESCKGSACTSYFINGLGNGSIITNSSSSSQLAKDSPLMEEISTVAEGQRRIMHQLDSLSNLLHERLGERSEQANTKRKYMVAGAEPIKVPLILTTLTIGGLGIFLFRGFLCNSSSQVF >KJB50498 pep chromosome:Graimondii2_0_v6:8:44983640:44988441:-1 gene:B456_008G174100 transcript:KJB50498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDASGIETCHKKPGLLKDQVPLVKRKDIDRHEIVSIEDPLSFEQGFFIVIRACQSLAQKNDGIVLVGLAGPSGAGKTVFTEKMLNFMPNIAIITMDNYNDSSRIVDGNFDDPRLTDYDMLLQNVHDLKEGKDVQVPLYDFKTSSRTGYRTLEVPISRIVIIEGIYALSEKLRPMLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSARKFTVDQIKSVVSKAHMETEEQTYDIYLLPPGEDPDSCQSYLRMQNKDGKYSLMFEEWVTDIPFVISPRITFEVSVRLLGGLMALGYTISAILKRNSHVFSDDNVCVKIDWLEQLNRQYLQVQGRDRSVVKDVAERLGLEGSYIPRTYIEQIRLEKLVNEVMALPEDLKTKLSLDEDLVSSPKEALLGASMDMVALRNMHLRREKYISNFAGYSVNNQRFGERNSESALANKGVINQLSEQISSLNDRMDEFKTRVEELNSKLTIKRRTSSQQNLAFRAESCKGSACTSYFINGLGNGSIITNSSSSSQLAKDSPLMEEISTVAEGQRRIMHQLDSLSNLLHERLGERSEQANTKRKYMVAGAEPIKVPLILTTLTIGGLGIFLFRGFLCNSSSQVF >KJB50500 pep chromosome:Graimondii2_0_v6:8:44983428:44990304:-1 gene:B456_008G174100 transcript:KJB50500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDASGIETCHKKPGLLKDQVPLVKRKDIDRHEIVSIEDPLSFEQGFFIVIRACQSLAQKNDGIVLVGLAGPSGAGKTVFTEKMLNFMPNIAIITMDNYNDSSRIVDGNFDDPRLTDYDMLLQNVHDLKEGKDVQVPLYDFKTSSRTGYRTLEVPISRIVIIEGIYALSEKLRPMLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSARKFTVDQIKSVVSKAHMETEEQTYDIYLLPPGEDPDSCQSYLRMQNKDGKYSLMFEEWVTDIPFVISPRITFEVSVRLLGGLMALGYTISAILKRNSHVFSDDNVCVKIDWLEQLNRQYLQVQGRDRSVVKDVAERLGLEGSYIPRTYIEQIRLEKLVNEVMALPEDLKTKLSLDEDLVSSPKEALLGASMDMVALRNMHLRREKYISNFAGYSVNNQRFGERNSESALANKGVINQLSEQISSLNDRMDEFKTRVEELNSKLTIKRRTSSQQNLAFRAESCKGSACTSYFINGLGNGSIITNSSSSSQLAKDSPLMEEISTVAEGQRRIMHQLDSLSNLLHERLGERSEQANTKRKYMVAGAEPIKVPLILTTLTIGGLGIFLFRGFLCNSSSQVF >KJB50501 pep chromosome:Graimondii2_0_v6:8:44984817:44990276:-1 gene:B456_008G174100 transcript:KJB50501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDASGIETCHKKPGLLKDQVPLVKRKDIDRHEIVSIEDPLSFEQGFFIVIRACQSLAQKNDGIVLVGLAGPSGAGKTVFTEKMLNFMPNIAIITMDNYNDSSRIVDGNFDDPRLTDYDMLLQNVHDLKEGKDVQVPLYDFKTSSRTGYRTLEVPISRIVIIEGIYALSEKLRPMLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSARKFTVDQIKSVVSKAHMETEEQTYDIYLLPPGEDPDSCQSYLRMQNKDGKYSLMFEEWVTDIPFVISPRITFEVSVRLLGGLMALGYTISAILKRNSHVFSDDNVCVKIDWLEQLNRQYLQVQGRDRSVVKDVAERLGLEGSYIPRTYIEQIRLEKLVNEVMALPEDLKTKLSLDEDLVSSPKEALLGASMDMVALRNMHLRRCELLIQFFSLLHKNVV >KJB50496 pep chromosome:Graimondii2_0_v6:8:44983640:44987359:-1 gene:B456_008G174100 transcript:KJB50496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSARKFTVDQIKSVVSKAHMETEEQTYDIYLLPPGEDPDSCQSYLRMQNKDGKYSLMFEEWVTDIPFVISPRITFEVSVRLLGGLMALGYTISAILKRNSHVFSDDNVCVKIDWLEQLNRQYLQVQGRDRSVVKDVAERLGLEGSYIPRTYIEQIRLEKLVNEVMALPEDLKTKLSLDEDLVSSPKEALLGASMDMVALRNMHLRREKYISNFAGYSVNNQRFGERNSESALANKGVINQLSEQISSLNDRMDEFKTRVEELNSKLTIKRRTSSQQNLAFRAESCKGSACTSYFINGLGNGSIITNSSSSSQLAKDSPLMEEISTVAEGQRRIMHQLDSLSNLLHERLGERSEQANTKRKYMVAGAEPIKVPLILTTLTIGGLGIFLFRGFLCNSSSQVF >KJB52425 pep chromosome:Graimondii2_0_v6:8:54207191:54211923:-1 gene:B456_008G261400 transcript:KJB52425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLTMGTLPLGFRFRPTDEELINHYLRLKINGRHSEVEVIPEIDVCKWEPWDLPGLSVIKSDDLEWFFFCPRDRKYPNGHRSNRATDKGYWKATGKDRTIKSKKSLIGMKKTLVFYKGRAPKGERTNWIMHEYRPTTKELDGTAPGQSAFVLCRLFHKVEGRNDIVKYDEVEQTGYSPAMIKSSPDDTSSDLLQDTVSSDTQAQKPDNLMQNDQITGDTSSCNSHMTSNADYHATEETMMEKYPLPGSNSNLYEPNYGEIDSKVFSPLMNSQLFEDLPFFVDSPYANDFGHDQNGFHFQDGTSEQDVSFAFLDDILSNHYDSCEESNTQKNLVDGTEMPLFGDSFISKTPPPEISYLKENGRPADTDTEMPQLQFGTEVGARRWLGGPIDNNQSLQMQTSFQPTHTQPALYNQEYRTRNIGGLGNYSVGQVTFTDSAMGNINNLQQLTSLKNYVNSGGDLGGGIGMKTGTCQPLKQSNSENFGTLGTGIKIRTRGSQQQPNSDIVNQGTAPRRIRLMKLSSGPMKGSVGCVDDEKMMSTCLVEEEEVQSALTEATEDEATGQISSSCETSSSDESEVENRFLKFEGSRDADESYSKPRLRVKQVDEQHSCSQKGPSLHLKAAPALHRPNSLLVPGIAIFTITLLFALFMGIWL >KJB52428 pep chromosome:Graimondii2_0_v6:8:54207245:54211923:-1 gene:B456_008G261400 transcript:KJB52428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLTMGTLPLGFRFRPTDEELINHYLRLKINGRHSEVEVIPEIDVCKWEPWDLPGLSVIKSDDLEWFFFCPRDRKYPNGHRSNRATDKGYWKATGKDRTIKSKKSLIGMKKTLVFYKGRAPKGERTNWIMHEYRPTTKELDGTAPGQSAFVLCRLFHKVEGRNDIVKYDEVEQTGYSPAMIKSSPDDTSSDLLQDTVSSDTQAQKPDNLMQNDQITGDTSSCNSHMTSNADYHATEETMMEKYPLPGSNSNLYEPNYGEIDSKVFSPLMNSQLFEDLPFFVDSPYANDFGHDQNGFHFQDGTSEQDVSFAFLDDILSNHYDSCEESNTQKNLVDGTEMPLFGDSFISKTPPPEISYLKENGRPADTDTEMPQLQFGTEVGARRWLGGPIDNNQSLQMQTSFQPTHTQPALYNQEYRTRNIGGLGNYSVGQVTFTDSAMGNINNLQQLTSLKNYVNSGGDLGGGIGMKTGTCQPLKQSNSENFGTLGTGIKIRTRGSQQQPNSDIVNQGTAPRRIRLMKLSSGPMKGSVGCVDDEKMMSTCLVEEEEVQSALTEVTEAEAAEQTSSSDESEKNIVNQGTAPRRIRLQTKLSTGAMKGSAGCDNGGNMTSPGLVEEEVQSALTEVTEAEAAGLTSSSDELEKNIVNQGTAPRRIRLQTNLSTGPMKGSAGCVDGGSMTSPGLVEEEVQSALTEVTEAEAAGQTSSSDESEKNIVNQAIAPRRIRLQLSTGPMNGSAGCVDGGNMTSPGLGKEEVQSALTEATEDEATGQISSSCETSSSDESEVENRFLKFEGSRDADESYSKPRLRVKQVDEQHSCSQKGPSLHLKAAPALHRPNSLLVPGIAIFTITLLFALFMGIWL >KJB52426 pep chromosome:Graimondii2_0_v6:8:54207646:54211499:-1 gene:B456_008G261400 transcript:KJB52426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLTMGTLPLGFRFRPTDEELINHYLRLKINGRHSEVEVIPEIDVCKWEPWDLPGLSVIKSDDLEWFFFCPRDRKYPNGHRSNRATDKGYWKATGKDRTIKSKKSLIGMKKTLVFYKGRAPKGERTNWIMHEYRPTTKELDGTAPGQSAFVLCRLFHKVEGRNDIVKYDEVEQTGYSPAMIKSSPDDTSSDLLQDTVSSDTQAQKPDNLMQNDQITGDTSSCNSHMTSNADYHATEETMMEKYPLPGSNSNLYEPNYGEIDSKVFSPLMNSQLFEDLPFFVDSPYANDFGHDQNGFHFQDGTSEQDVSFAFLDDILSNHYDSCEESNTQKNLVDGTEMPLFGDSFISKTPPPEISYLKENGRPADTDTEMPQLQFGTEVGARRWLGGPIDNNQSLQMQTSFQPTHTQPALYNQEYRTRNIGGLGNYSVGQVTFTDSAMGNINNLQQLTSLKNYVNSGGDLGGGIGMKTGTCQPLKQSNSENFGTLGTGIKIRTRGSQQQPNSDIVNQGTAPRRIRLMKLSSGPMKGSVGCVDDEKMMSTCLVEEEEVQSALTEVTEAEAAGQTSSSDESEKNIVNQAIAPRRIRLQLSTGPMNGSAGCVDGGNMTSPGLGKEEVQSALTEATEDEATGQISSSCETSSSDESEVENRFLKFEGSRDADESYSKPRLRVKQVDEQHSCSQKGPSLHLKAAPALHRPNSLLVPGIAIFTITLLFALFMGIWL >KJB52427 pep chromosome:Graimondii2_0_v6:8:54207254:54211764:-1 gene:B456_008G261400 transcript:KJB52427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLTMGTLPLGFRFRPTDEELINHYLRLKINGRHSEVEVIPEIDVCKWEPWDLPGLSVIKSDDLEWFFFCPRDRKYPNGHRSNRATDKGYWKATGKDRTIKSKKSLIGMKKTLVFYKGRAPKGERTNWIMHEYRPTTKELDGTAPGQSAFVLCRLFHKVEGRNDIVKYDEVEQTGYSPAMIKSSPDDTSSDLLQDTVSSDTQAQKPDNLMQNDQITGDTSSCNSHMTSNADYHATEETMMEKYPLPGSNSNLYEPNYGEIDSKVFSPLMNSQLFEDLPFFVDSPYANDFGHDQNGFHFQDGTSEQDVSFAFLDDILSNHYDSCEESNTQKNLVDGTEMPLFGDSFISKTPPPEISYLKENGRPADTDTEMPQLQFGTEVGARRWLGGPIDNNQSLQMQTSFQPTHTQPALYNQEYRTRNIGGLGNYSVGQVTFTDSAMGNINNLQQLTSLKNYVNSGGDLGGGIGMKTGTCQPLKQSNSENFGTLGTGIKIRTRGSQQQPNSDIVNQGTAPRRIRLMKLSSGPMKGSVGCVDDEKMMSTCLVEEEEVQSALTEVTEAEAAEQTSSSDESEKNIVNQGTAPRRIRLQTKLSTGAMKGSAGCDNGGNMTSPGLVEEEVQSALTEVTEAEAAGQTSSSDESEKNIVNQAIAPRRIRLQLSTGPMNGSAGCVDGGNMTSPGLGKEEVQSALTEATEDEATGQISSSCETSSSDESEVENRFLKFEGSRDADESYSKPRLRVKQVDEQHSCSQKGPSLHLKAAPALHRPNSLLVPGIAIFTITLLFALFMGIWL >KJB52429 pep chromosome:Graimondii2_0_v6:8:54208034:54211499:-1 gene:B456_008G261400 transcript:KJB52429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLTMGTLPLGFRFRPTDEELINHYLRLKINGRHSEVEVIPEIDVCKWEPWDLPGLSVIKSDDLEWFFFCPRDRKYPNGHRSNRATDKGYWKATGKDRTIKSKKSLIGMKKTLVFYKGRAPKGERTNWIMHEYRPTTKELDGTAPGQSAFVLCRLFHKVEGRNDIVKYDEVEQTGYSPAMIKSSPDDTSSDLLQDTVSSDTQAQKPDNLMQNDQITGDTSSCNSHMTSNADYHATEETMMEKYPLPGSNSNLYEPNYGEIDSKVFSPLMNSQLFEDLPFFVDSPYANDFGHDQNGFHFQDGTSEQDVSFAFLDDILSNHYDSCEESNTQKNLVDGTEMPLFGDSFISKTPPPEISYLKENGRPADTDTEMPQLQFGTEVGARRWLGGPIDNNQSLQMQTSFQPTHTQPALYNQEYRTRNIGGLGNYSVGQVTFTDSAMGNINNLQQLTSLKNYVNSGGDLGGGIGMKTGTCQPLKQSNSENFGTLGTGIKIRTRGSQQQPNSDIVNQGTAPRRIRLMKLSSGPMKGSVGCVDDEKMMSTCLVEEEEVQSALTEVTEAEAAEQTSSSDESEKNIVNQGTAPRRIRLQTKLSTGAMKGSAGCDNGGNMTSPGLVEEEVQSALTEVTEAEAAGLTSSSDELEKNIVNQGTAPRRIRLQTNLSTGPMKGSAGCVDGGSMTSPGLVEEEVQSALTEVTEAEAAGQTSSSDESEKNIVNQAIAPRRIRLQLSTGPMNGSAGCVDGGNMTSPGLGKEEVQSALTEVEELGKVNFSTIRILFIIPSILF >KJB49332 pep chromosome:Graimondii2_0_v6:8:34601459:34605100:1 gene:B456_008G113800 transcript:KJB49332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVALKKLGVDVEHDNDEKRAVVKGCRGWFLVEDMEGQEIKFFLGNARTAIRLLTAVIASAGGSSSYILDGIPRMRERSIEDLVTGLKQLGVDIYCTLGTNCPLVYINGKGGLPGGKMKLSRSISSLYLSASLMAAHLAHRDVEIEIIDRLISSPYVEMTIKVMERFGVTVEHTNNLDRFFIRGGQKYNASYFLAGAAVRGGTVTVEGCGTSSLQGDVKFAEMMGAKVTWTETSVTVTGPPKKFIEEETLAWRVKETERMIAICTELRKLGAMVEEGPDYCVITPPEKLNVTVIDTSDDHRMAMAFSLAACAEVPVTSKDPGCTRKTFPEYFKILKRVTKH >KJB51710 pep chromosome:Graimondii2_0_v6:8:51507608:51512360:-1 gene:B456_008G228900 transcript:KJB51710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKHNPSGNKKRSPLSIFAVIGLCCFFYILGAWQRSGFGKGDSIALEVTKQADCSIFTNLNFETHHNDVEIVEPSKPKAQVFKPCDVKYTDYTPCQEQDRAMKFPRDNMIYRERHCPPEEEKLHCLIPAPKGYMTPFEWPKSRDYVHYANVPHKSLTVEKAVQNWVQFKGNVFKFPGGGTMFPQGADAYIDELASVIPIADGSVRTALDTGCGVASWGAYMLKRNVLAMSFAPRDNHEAQVQFALERGVPAVIGVLGSINLPYPSRAFDMAQCSRCLIPWTANDGMYLMEVDRVLRPGGYWVLSGPPINWKTYYQVWKRTKEDLKAEQKKIEELAEQLCWEKKYEKGDIAIFRKKVNDKSCRRNSANMCKPRGSDDVWYKKMETCITPFPKVSSASEVAGGELKKFPARLFAVPPHISKGLVEGVSVESYQEDNKLWKKHVNAYKRINRLIGTARYRNVMDMNAGLGGFAAALESPKSWVMNVVPTIGKDTLGVIYERGLIGIYHDWCEGFSTYPRTYDLIHANGVFSLYQDKCSFEDILLEMDRILRPEGAVIFRDDVDVLNKVRKIAGGMRWDTKMNDHEDGPLVPEKILVAVKQYWVGVIKGNSTSSAE >KJB51712 pep chromosome:Graimondii2_0_v6:8:51508895:51512897:-1 gene:B456_008G228900 transcript:KJB51712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKHNPSGNKKRSPLSIFAVIGLCCFFYILGAWQRSGFGKGDSIALEVTKQADCSIFTNLNFETHHNDVEIVEPSKPKAQVFKPCDVKYTDYTPCQEQDRAMKFPRDNMIYRERHCPPEEEKLHCLIPAPKGYMTPFEWPKSRDYVHYANVPHKSLTVEKAVQNWVQFKGNVFKFPGGGTMFPQGADAYIDELASVIPIADGSVRTALDTGCGVASWGAYMLKRNVLAMSFAPRDNHEAQVQFALERGVPAVIGVLGSINLPYPSRAFDMAQCSRCLIPWTANDGMYLMEVDRVLRPGGYWVLSGPPINWKTYYQVWKRTKEDLKAEQKKIEELAEQLCWEKKYEKGDIAIFRKKVNDKSCRRNSANMCKPRGSDDVWYKKMETCITPFPKVSSASEVAGGELKKFPARLFAVPPHISKGLVEGVSVESYQEDNKLWKKHVNAYKRINRLIGTARYRNVMDMNAGLGGFAAALESPKSWVMNVVPTIGKDTLGVIYERGLIGIYHDWYVSIILLMICSYWISFSFLSS >KJB51709 pep chromosome:Graimondii2_0_v6:8:51507608:51513104:-1 gene:B456_008G228900 transcript:KJB51709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKHNPSGNKKRSPLSIFAVIGLCCFFYILGAWQRSGFGKGDSIALEVTKQADCSIFTNLNFETHHNDVEIVEPSKPKAQVFKPCDVKYTDYTPCQEQDRAMKFPRDNMIYRERHCPPEEEKLHCLIPAPKGYMTPFEWPKSRDYVHYANVPHKSLTVEKAVQNWVQFKGNVFKFPGGGTMFPQGADAYIDELASVIPIADGSVRTALDTGCGVASWGAYMLKRNVLAMSFAPRDNHEAQVQFALERGVPAVIGVLGSINLPYPSRAFDMAQCSRCLIPWTANDGMYLMEVDRVLRPGGYWVLSGPPINWKTYYQVWKRTKEDLKAEQKKIEELAEQLCWEKKYEKGDIAIFRKKVNDKSCRRNSANMCKPRGSDDVWYKKMETCITPFPKVSSASEVAGGELKKFPARLFAVPPHISKGLVEGVSVESYQEDNKLWKKHVNAYKRINRLIGTARYRNVMDMNAGLGGFAAALESPKSWVMNVVPTIGKDTLGVIYERGLIGIYHDWCEGFSTYPRTYDLIHANGVFSLYQDKCSFEDILLEMDRILRPEGAVIFRDDVDVLNKVRKIAGGMRWDTKMNDHEDGPLVPEKILVAVKQYWVGVIKGNSTSSAE >KJB51711 pep chromosome:Graimondii2_0_v6:8:51507608:51512835:-1 gene:B456_008G228900 transcript:KJB51711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKHNPSGNKKRSPLSIFAVIGLCCFFYILGAWQRSGFGKGDSIALEVTKQADCSIFTNLNFETHHNDVEIVEPSKPKAQVFKPCDVKYTDYTPCQEQDRAMKFPRDNMIYRERHCPPEEEKLHCLIPAPKGYMTPFEWPKSRDYVHYANVPHKSLTVEKAVQNWVQFKGNVFKFPGGGTMFPQGADAYIDELASVIPIADGSVRTALDTGCGVASWGAYMLKRNVLAMSFAPRDNHEAQVQFALERGVPAVIGVLGSINLPYPSRAFDMAQCSRCLIPWTANDGMYLMEVDRVLRPGGYWVLSGPPINWKTYYQVWKRTKEDLKAEQKKIEELAEQLCWEKKYEKGDIAIFRKKVNDKSCRRNSANMCKPRGSDDVWYKKMETCITPFPKVSSASEVAGGELKKFPARLFAVPPHISKGLVEGVSVESYQEDNKLWKKHVNAYKRINRLIGTARYRNVMDMNAGLGGFAAALESPKSWVMNVVPTIGKDTLGVIYERGLIGIYHDWCEGFSTYPRTYDLIHANGVFSLYQDKCSFEDILLEMDRILRPEGAVIFRDDVDVLNKVRKIAGGMRWDTKMNDHEDGPLVPEKILVAVKQYWVGVIKGNSTSSAE >KJB51322 pep chromosome:Graimondii2_0_v6:8:49847432:49852003:1 gene:B456_008G212000 transcript:KJB51322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLASVLSDQVLYSWGGRDIVRKVIVLSSCLPETIDSALKETLTDAADKCVSVEFILLEQRSNHLSDIRANISTFSRCISDLDNCSFRCCLPEIKVFHGLVKRWLQDLRDDMEEPLQAHFIFNSNLAGSVKQISCNLSASVNHIIDGFNPCKTCRCHGVPLGNSEKTRIERPSCQVSGHELGAFDVIQNSVKVGENAILFMPSFQSSVKLQQASSPVNFNIIDRTNLGSLSEGLIFGNPYFVTPSASPEIEAASDEMDQLELNAQVFKGLCSALHLMDQGLICSSNCNLETMREATFNCYYILQPSDNGPMLLRDQRKSCLFLMSIDLLLTQCLRRLRFPFSPPYPRCVAPKRNDATCEPNPTNPDSTEVNARPISSVGVMVVFDEMSQLDQTAEERKKPTSIAEEWERLVANEIPVKYSPTKPKSDQSVILLSSPDNSKQLDINTTRILERLELPRQLKSKQGSPGNNSNRILDVANMSMKKPPIPFQPNQAADQGVTSSQLIRPSFQRLKRKHK >KJB51320 pep chromosome:Graimondii2_0_v6:8:49845796:49851968:1 gene:B456_008G212000 transcript:KJB51320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTLVLFIIILCSTSISICLADNDNLQDACPTNTTVTRMVFINGFPCKNPSSISSADFTTSNLKDAGDTDNFLHSSVNIVTAADFPGLNTLGLSIARTDLDLDGMVMPHSHPRASELFFVRKGIVLAGFIDTNNNLFESLINEGDVFLFPRGLLHFCMNAGYEPAIAFSVMNSQNPGVVSIGGAVFETDKLLIDKISLLQMANFYTISSNWRNKLDSLRDRIGLCYVIKNRISSSDELKVAYGPRGDYSLRDFHHAVNSLPTDSFSPVINESGSISCYDMKLASVLSDQVLYSWGGRDIVRKVIVLSSCLPETIDSALKETLTDAADKCVSVEFILLEQRSNHLSDIRANISTFSRCISDLDNCSFRCCLPEIKVFHGLVKRWLQDLRDDMEEPLQAHFIFNSNLAGSVKQISCNLSASVNHIIDGFNPCKTCRCHGVPLGNSEKTRIERPSCQVSGHELGAFDVIQNSVKVGENAILFMPSFQSSVKLQQASSPVNFNIIDRTNLGSLSEGLIFGNPYFVTPSASPEIEAASDEMDQLELNAQVFKGLCSALHLMDQGLICSSNCNLETMREATFNCYYILQPSDNGPMLLRDQRKSCLFLMSIDLLLTQCLRRLRFPFSPPYPSWNLETTIQSYMIEVSIKNLTCL >KJB51319 pep chromosome:Graimondii2_0_v6:8:49845796:49851968:1 gene:B456_008G212000 transcript:KJB51319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTLVLFIIILCSTSISICLADNDNLQDACPTNTTVTRMVFINGFPCKNPSSISSADFTTSNLKDAGDTDNFLHSSVNIVTAADFPGLNTLGLSIARTDLDLDGMVMPHSHPRASELFFVRKGIVLAGFIDTNNNLFESLINEGDVFLFPRGLLHFCMNAGYEPAIAFSVMNSQNPGVVSIGGAVFETDKLLIDKISLLQMANFYTISSNWRNKLDSLRDRIGLCYVIKNRISSSDELKVAYGPRGDYSLRDFHHAVNSLPTDSFSPVINESGSISCYDMKLASVLSDQVLYSWGGRDIVRKVIVLSSCLPETIDSALKETLTDAADKCVSVEFILLEQRSNHLSDIRANISTFSRCISDLDNCSFRCCLPEIKVFHGLVKRWLQDLRDDMEEPLQAHFIFNSNLAGSVKQISCNLSASVNHIIDGFNPCKTCRCHGVPLGNSEKTRIERPSCQVSGHELGAFDVIQNSVKVGENAILFMPSFQSSVKLQQASSPVNFNIIDRTNLGSLSEGLIFGNPYFVTPSASPEIEAASDEMDQLELNAQVFKGLCSALHLMDQGLICSSNCNLETMREATFNCYYILQPSDNGPMLLRRLAGSEEVLPFSDVNRFVAHSVPKEIEISIQSSLSKLESRDYNPVLYDRGFHQKLNLLVKESLQFGCVAPKRNDATCEPNPTNPDSTEVNARPISSVGVMVVFDEMSQLDQTAEERKKPTSIAEEWERLVANEIPVKYSPTKPKSDQSVILLSSPDNSKQLDINTTRILERLELPRQLKSKQGSPGNNSNRILDVANMSMKKPPIPFQPNQAADQGVTSSQLIRPSFQRLKRKHK >KJB51321 pep chromosome:Graimondii2_0_v6:8:49846946:49851968:1 gene:B456_008G212000 transcript:KJB51321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVCFLLDLRSLSPPLLRDIKQSLLQMANFYTISSNWRNKLDSLRDRIGLCYVIKNRISSSDELKVAYGPRGDYSLRDFHHAVNSLPTDSFSPVINESGSISCYDMKLASVLSDQVLYSWGGRDIVRKVIVLSSCLPETIDSALKETLTDAADKCVSVEFILLEQRSNHLSDIRANISTFSRCISDLDNCSFRCCLPEIKVFHGLVKRWLQDLRDDMEEPLQAHFIFNSNLAGSVKQISCNLSASVNHIIDGFNPCKTCRCHGVPLGNSEKTRIERPSCQVSGHELGAFDVIQNSVKVGENAILFMPSFQSSVKLQQASSPVNFNIIDRTNLGSLSEGLIFGNPYFVTPSASPEIEAASDEMDQLELNAQVFKGLCSALHLMDQGLICSSNCNLETMREATFNCYYILQPSDNGPMLLRRLAGSEEVLPFSDVNRFVAHSVPKEIEISIQSSLSKVCSS >KJB49368 pep chromosome:Graimondii2_0_v6:8:34844973:34848445:1 gene:B456_008G115600 transcript:KJB49368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METATMMCKTAMLMLIFIVTPSNASMNMQTYIVHMDRTKMTTTTSDRWYESVIESVNELFDQEDHESEKPELVHVYKTAISGFAAKLSTKQVESLKRLNGFISATPDEMLALHTTHSPQFLGLEPGKGLWSGSNLESDVIIGVVDSGIWPEHVSFNDIGMSPVPSKWKGECEEGTKFSKSNCNKKLIGARAFFEGYEAAVGKINETEDYRSARDAEGHGTHTASTAAGNLAHASIFGLAKGLAGGVRYTTRIAVYKACWSQGCASSDILAAIDQAIADGVDVLSLSLGGSAKPYHSDLIAIGAFQAIKNGISVSCSAGNSGPSSSTVSNTAPWIMTVGASYLDRSFEAIVELGDRQIFEGSSLYIGKALKQLPLFYGDQRAAFCIDGSLKKNLVKGKIVICQRGITSRAEKGEVVKSAGGAGMLLINSVNEGEELFADAHVLPASALGAIAGKAIKAYLNSTNKPTASITFKGTVYGKPAPLMAAFSSRGPNRVGLDLLKPDVTAPGMNILAAWPPSTSPTQLKSDKRTVLFNIASGTSMSCPHVSGLAALLKSVHKDWSPAAIKSALMTTAYVHDNLNRHILDVAFSTPTNATPFAYGSGHVDPQKASDPGLIYDITPQDYQNYLCTLNYSASDMALFAGDGFKCPKASSTMEPGDLNYPTFAVNFKKNSKSNIVTLKRTVTHVGIPNVTYTVQMNEPDGVSVMVEPQVLRFKKPGEKLSYKVTFMQKKGFMVQGGSFGVLEWVYLNMYHVRSSIAVTWI >KJB49713 pep chromosome:Graimondii2_0_v6:8:38249106:38255285:-1 gene:B456_008G134600 transcript:KJB49713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) UniProtKB/TrEMBL;Acc:F4JG57] MATAAPIFDSTLGNHHHSLSVSPFTSNFIFNYRNKTYPSIPHVGSSFLSGKSLRVVQIGAKTPRLGTRRKKRVGVTASLGGLLGGIFKGNDTGESTRQQYAATVTTVNKLEPTMAALSDTELKEKTFALKERASQGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLKSAEELVLRDFNYCIIDEVDSILIDEARTPLIISGTAEKPSDAYYKAAKIAAAFERDVHYTVDEKQKTVLLSEQGYEDAEEILDVKDLYDPREQWASYLLNAIKAKELFLKDVNYIIRGKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETITLASISYQNFFLQFPKLCGMTGTAATESTEFESIYKLKVTIVPTNKPMIRKDESDVVFRATNGKWRAVVVEISRMNKTGRPVLVGTTSVEQSDSLSEQLQQAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVKPAGGVFVSVKKPPPMKTWKVNEKLFPCKLSDKNTKLAEEAVELSVNTWGKKSLSELEAEELLSYSCEKGPAQDEVIAKLRSAFLEIVKEYKAYTEEERKQVVAAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYTERRRALMSDNLQSLIIEYAELTMDDILEANIGSDAPKESWDLEKLIAKVQQYCYLLNDLTPDLLRSECSSYEELQDYLCRRGREAYLQKRDMVEKQAEGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSIYQFKPVMVKKDEDDRSDEVVTNGRSGNKKPDPVGAVESSSSTASA >KJB49714 pep chromosome:Graimondii2_0_v6:8:38249517:38255285:-1 gene:B456_008G134600 transcript:KJB49714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) UniProtKB/TrEMBL;Acc:F4JG57] MATAAPIFDSTLGNHHHSLSVSPFTSNFIFNYRNKTYPSIPHVGSSFLSGKSLRVVQIGAKTPRLGTRRKKRVGVTASLGGLLGGIFKGNDTGESTRQQYAATVTTVNKLEPTMAALSDTELKEKTFALKERASQGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLKSAEELVLRDFNYCIIDEVDSILIDEARTPLIISGTAEKPSDAYYKAAKIAAAFERDVHYTVDEKQKTVLLSEQGYEDAEEILDVKDLYDPREQWASYLLNAIKAKELFLKDVNYIIRGKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETITLASISYQNFFLQFPKLCGMTGTAATESTEFESIYKLKVTIVPTNKPMIRKDESDVVFRATNGKWRAVVVEISRMNKTGRPVLVGTTSVEQSDSLSEQLQQAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVKPAGGVFVSVKKPPPMKTWKVNEKLFPCKLSDKNTKLAEEAVELSVNTWGKKSLSELEAEELLSYSCEKGPAQDEVIAKLRSAFLEIVKEYKAYTEEERKQVVAAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYTERRRALMSDNLQSLIIEYAELTMDDILEANIGSDAPKESWDLEKLIAKVQQYCYLLNDLTPDLLRSECSSYEELQDYLCRRGREAYLQKRDMVEKQAEGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSIYQV >KJB49715 pep chromosome:Graimondii2_0_v6:8:38249859:38255176:-1 gene:B456_008G134600 transcript:KJB49715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) UniProtKB/TrEMBL;Acc:F4JG57] MATAAPIFDSTLGNHHHSLSVSPFTSNFIFNYRNKTYPSIPHVGSSFLSGKSLRVVQIGAKTPRLGTRRKKRVGVTASLGGLLGGIFKGNDTGESTRQQYAATVTTVNKLEPTMAALSDTELKEKTFALKERASQGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLKSAEELVLRDFNYCIIDEVDSILIDEARTPLIISGTAEKPSDAYYKAAKIAAAFERDVHYTVDEKQKTVLLSEQGYEDAEEILDVKDLYDPREQWASYLLNAIKAKELFLKDVNYIIRGKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETITLASISYQNFFLQFPKLCGMTGTAATESTEFESIYKLKVTIVPTNKPMIRKDESDVVFRATNGKWRAVVVEISRMNKTGRPVLVGTTSVEQSDSLSEQLQQAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVKPAGGVFVSVKKPPPMKTWKVNEKLFPCKLSDKNTKLAEEAVELSVNTWGKKSLSELEAEELLSYSCEKGPAQDEVIAKLRSAFLEIVKEYKAYTEEERKQVVAAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYTERRRALMSDNLQSLIIEYAELTMDDILEANIGSDAPKESWDLEKLIAKVQQYCYLLNDLTPDLLRSECSSYEELQDYLCRRGREAYLQKRVSISTILNKSKPS >KJB47906 pep chromosome:Graimondii2_0_v6:8:6366264:6366527:-1 gene:B456_008G047000 transcript:KJB47906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANVWHPIGGISISDLNKDIFLFRLYHKMDTNHIKAGDHWNFNSHLLVMCKLRYGDDPKNVPLFNVDFWVLVQDLPHGFMSELVAK >KJB48768 pep chromosome:Graimondii2_0_v6:8:18788697:18790732:1 gene:B456_008G086100 transcript:KJB48768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLIVLLLTCAALPWASSDPSDEACLTHLSQTLKDPLKNLQNWTKSTFVNPCSGFTSYLPGATCNNGRIYKLSLTNLSLQGSISPFLSNCTNLQSLDLSSNSISGTIPQDLQYLVNLAVLNLSSNRLEGEIPPQLTLCAYLNVIDLHDNLLTGQIPQELGLLARLSAFDVSYNKLSGPIPASLGNRSGALPRFNATSFEGNKNLYGYPLPPMKTKGLSVLAIVGIGLGSGLASLVLSFTGVCIWLKITEEKMAAEEGKVSQYMPDY >KJB52472 pep chromosome:Graimondii2_0_v6:8:54363574:54364504:-1 gene:B456_008G263500 transcript:KJB52472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCASKPKEFDTTAGAPMKPEKSKPDTAANDDANGGEKKTEKNISDSKEGESSSAEPEKDDGKSGSKNDDDDDDKVKASGEPVNNDEVEHDGASSKEEAKTN >KJB52471 pep chromosome:Graimondii2_0_v6:8:54363565:54364504:-1 gene:B456_008G263500 transcript:KJB52471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCASKPKEFDTTAGAPMKPEKSKPDTAANDDANGGEKKTEKNISDSKEGESSSAEPEKDDGKSGSKNDDDDDDKVKASGEPVNNDEVEHDGASSKEEAKTN >KJB47829 pep chromosome:Graimondii2_0_v6:8:5821585:5823080:-1 gene:B456_008G043900 transcript:KJB47829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSSSSSSSSSSSSSSLLSPLQLPMKPIPGDCGHTFFGPFRDRLAYFYHEGRDKFFENRIQKHKSTIIKTNMPPGPLIASCPRVVCLLDAVSFPVLFDTSKVEKRDVLDGTFLPSLAYTGGHRVCAYLDPSEPKHTSLKSFILSTLSARHHKFIPLFQTGLSEIFNELEAQISSKKEAYFNTLSDTMSFNYVFRLFCDKSPSETGIGFKGPKLVDKWLLFQLAPLGSLGLLPNLLCYFEDILLRTFTFPFFLVKSDYKKLYDVFYEFGSSVLDEAESKFGIERDEACHNLVFLAGFNAYGGMKVLFPGLIKWVALAGQKVHKKLADEIRTTVEVEDPKVFENPEEFVGDRFVGEGEKLLKYVYWSNGRETEETRAGNKHCAGKDLVVLLCRVMVVELFLRYETFEVESGTFMFAPSVTFKSLTKVSSP >KJB49408 pep chromosome:Graimondii2_0_v6:8:35303173:35306127:1 gene:B456_008G117500 transcript:KJB49408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRNIRLRREYLYRKSLEGKERLLYEKKRKIKEALEEGKPIPTELRNEEAALRQEIDLEDNYTSIPKTHIDDEYANATVRDPKILLTTSRDPSAPLIQFVKELKFVFPNAERMNRGSQVISEIIESCRSHEFTDVILVHEHRGIPDGLIISHLPFGPMAYFGLLNVVTRHDIKDKKAIGTMPEAYPHLILDNFKTKLGERTANILKHLFPVPKPDTKRIVTFANRSDYILFRHHIYEKPGGPKSVELKEIGPRFELRLYQVKLGTMEQSEAQIEWVIRPYMNTTKKRSFIGNEPEPDDKRKRNKA >KJB49407 pep chromosome:Graimondii2_0_v6:8:35301783:35306127:1 gene:B456_008G117500 transcript:KJB49407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGGGRCKWVDAAPRKSLEGKERLLYEKKRKIKEALEEGKPIPTELRNEEAALRQEIDLEDNYTSIPKTHIDDEYANATVRDPKILLTTSRDPSAPLIQFVKELKFVFPNAERMNRGSQVISEIIESCRSHEFTDVILVHEHRGIPDGLIISHLPFGPMAYFGLLNVVTRHDIKDKKAIGTMPEAYPHLILDNFKTKLGERTANILKHLFPVPKPDTKRIVTFANRSDYILFRHHIYEKPGGPKSVELKEIGPRFELRLYQVKLGTMEQSEAQIEWVIRPYMNTTKKRSFIGNEPEPDDKRKRNKA >KJB49409 pep chromosome:Graimondii2_0_v6:8:35303148:35306078:1 gene:B456_008G117500 transcript:KJB49409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRNIRLRREYLYRKSLEGKERLLYEKKRKIKEALEEGKPIPTELRNEEAALRQEIDLEDNYTSIPKTHIDDEYANATVRDPKILLTTSRDPSAPLIQFVKELKFVFPNAERMNRGSQVISEIIESCRSHEFTDVILVHEHRGIPDGLIISHLPFGPMAYFGLLNVVTRHDIKDKKAIGTMPEAYPHLILDNFKTKLGERTANILKHLFPVPKPDTKRIVTFANRSDYILFRHHIYEKPGGPKSVELKEIGPRFELRLYQVKLGTMEQSEAQIEWVIRPYMNTTKKRSFIGNEPEPDDKRKRNKA >KJB53273 pep chromosome:Graimondii2_0_v6:8:41655737:41658423:1 gene:B456_008G155900 transcript:KJB53273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNDKLLNTGILIVATLVVAKLISFLIMPRSKKRVPPAVKAWPVIGGLLRFVKGPMVMLREEYPKLGSVFTLNLFNKKITFLIGPEVSSHFFKASESDLSQQEVYQFNVPTFGPGVVFDVDYTIRQEQFRFFTEALRVNKLKGYVDQMVTEAEDYFSKWGDSGEVDLKYELEHLIILTASRCLLGQEVRNKLFDDVSALFHDLDNGMLPVSVIFPYLPIPAHRRRDRARKKLAEIFANIIASRKSAGKSENDMLQCFIESKYKDGRPTTEAEVTGLLIAALFAGQHTSSITSTWTGAYLLRHKEFLSAVIEEQKQIMQKHGNKVDHDILSEMDTLYRCIKEALRLHPPLIMLLRSSHSDFSVKTRDGKEYDIPKGHIVATSPAFANRLPYIYKDPDTYDPDRFSVGREEDKVAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPEIDWNAMVVGVKGKVMVHYKRRQLSVN >KJB53271 pep chromosome:Graimondii2_0_v6:8:41655269:41658423:1 gene:B456_008G155900 transcript:KJB53271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNDKLLNTGILIVATLVVAKLISFLIMPRSKKRVPPAVKAWPVIGGLLRFVKGPMVMLREEYPKLGSVFTLNLFNKKITFLIGPEVSSHFFKASESDLSQQEVYQFNVPTFGPGVVFDVDYTIRQEQFRFFTEALRVNKLKGYVDQMVTEAEDYFSKWGDSGEVDLKYELEHLIILTASRCLLGQEVRNKLFDDVSALFHDLDNGMLPVSVIFPYLPIPAHRRRDRARKKLAEIFANIIASRKSAGKSENDMLQCFIESKYKDGRPTTEAEVTGLLIAALFAGQHTSSITSTWTGAYLLRHKEFLSAVIEEQKQIMQKHGNKVDHDILSEMDTLYRCIKEALRLHPPLIMLLRSSHSDFSVKTRDGKEYDIPKGHIVATSPAFANRLPYIYKDPDTYDPDRFSVGREEDKVAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPEIDWNAMVVGVKGKVMVHYKRRQLSVN >KJB53272 pep chromosome:Graimondii2_0_v6:8:41655053:41658679:1 gene:B456_008G155900 transcript:KJB53272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNDKLLNTGILIVATLVVAKLISFLIMPRSKKRVPPAVKAWPVIGGLLRFVKGPMVMLREEYPKLGSVFTLNLFNKKITFLIGPEVSSHFFKASESDLSQQEVYQFNVPTFGPGVVFDVDYTIRQEQFRFFTEALRVNKLKGYVDQMVTEAEDYFSKWGDSGEVDLKYELEHLIILTASRCLLGQEVRNKLFDDVSALFHDLDNGMLPVSVIFPYLPIPAHRRRDRARKKLAEIFANIIASRKSAGKSENDMLQCFIESKYKDGRPTTEAEVTGLLIAALFAGQHTSSITSTWTGAYLLRHKEFLSAVIEEQKQIMQKHGNKVDHDILSEMDTLYRCIKEALRLHPPLIMLLRSSHSDFSVKTRDGKEYDIPKGHIVATSPAFANRLPYIYKDPDTYDPDRFSVGREEDKVAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPEIDWNAMVVGVKGKVMVHYKRRQLSVN >KJB48749 pep chromosome:Graimondii2_0_v6:8:18012409:18015289:-1 gene:B456_008G084200 transcript:KJB48749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYIKAVCFYMVFVMNWCKPAVSFSVVDSLPGFTAPLPFKLETGYTEVDDLEFFYYFIESERNPAEDPLVLWLTGGPGCSSLSGFFLEIGPLRFNMVEYNGSLPTFFLNQYAWTKVSSIIFLDAPVGTGFSYSRTAQGFKTGDMKHAISCYNFLRKWLQSHPKFISNPLYIAGDSYSGMIVPIITQAISDDIEAKPVLNLKGYLLGNPFTDAKFDGNSKIIYYNRMALISDELYESAKSNCKQEYIDVEISNRMCVKDLQTISECTAHINTMHILEPYCPSEFPEVRKYLLETHEDSLHLSDGYPQFGCRNYYCYLCKVWATDISVQKALHIRKGTIKEWVRCNKSMDYDYDVASVVSYHLCLNTRGYRALIYSGDHDLAVTYVGTESWIKSLNLSIVDDWRPWIVDGQVAGYSREYGNNFTFATVKATGHTAPEYKPKESFSMFKRWISQQPL >KJB48748 pep chromosome:Graimondii2_0_v6:8:18012230:18015396:-1 gene:B456_008G084200 transcript:KJB48748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYIKAVCFYMVFVMNWCKPAVSFSVVDSLPGFTAPLPFKLETGYTEVDDLEFFYYFIESERNPAEDPLVLWLTGGPGCSSLSGFFLEIGPLRFNMVEYNGSLPTFFLNQYAWTKVSSIIFLDAPVGTGFSYSRTAQGFKTGDMKHAISCYNFLRKWLQSHPKFISNPLYIAGDSYSGMIVPIITQAISDDIEAKPVLNLKGYLLGNPFTDAKFDGNSKIIYYNRMALISDELYESAKSNCKQEYIDVEISNRMCVKDLQTISECTAHINTMHILEPYCPSEFPEVRKYLLETHEDSLHLSDGYPQFGCRNYYCYLCKVWATDISVQKALHIRKGTIKEWVRCNKSMDYDYDVASVVSYHLCLNTRGYRALIYSGDHDLAVTYVGTESWIKSLNLSIVDDWRPWIVDGQVAGYSREYGNNFTFATVKGAGHTAPEYKPKESFSMFKRWISQQPL >KJB53108 pep chromosome:Graimondii2_0_v6:8:56746342:56749284:1 gene:B456_008G293800 transcript:KJB53108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRRHYSIQKHSTMIHIFVAFLLVSTSLGPVLSLQSVPDSGHRQLQNCTLNPYKDIQKLRRVQAYLKKINKPAVKTIQSPDGDVIDCVPSHLQPAFDHPQLKGQKPLKPAVRPKGHNLTEAVSESFQLWRDTGESCPKGTVPIRRTTEQDILRASSVRRYGRIRRHVRRDSTGYGHEHAVVFENGDQYYGARASLSVWAPRVTNEYEFSLSQIWIISGSFGNDLNTIEAGWQLYVHVSFRLALNYMEIITQGSSHTGQVMHTRLQDVTTCFVLVLSKPTTRLPLEQQSHQGPLTMADSSISD >KJB53109 pep chromosome:Graimondii2_0_v6:8:56746342:56750504:1 gene:B456_008G293800 transcript:KJB53109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRRHYSIQKHSTMIHIFVAFLLVSTSLGPVLSLQSVPDSGHRQLQNCTLNPYKDIQKLRRVQAYLKKINKPAVKTIQSPDGDVIDCVPSHLQPAFDHPQLKGQKPLKPAVRPKGHNLTEAVSESFQLWRDTGESCPKGTVPIRRTTEQDILRASSVRRYGRIRRHVRRDSTGYGHEHAVVFENGDQYYGARASLSVWAPRVTNEYEFSLSQIWIISGSFGNDLNTIEAGWQVSPELYGDNYPRFFTYWTSDAYQTTGCYNLLCSGFVQTNNKVAIGAAISPRSSYNGRQFDIGLMVWKDPKHGHWWLEFGPGLLVGYWPAFLFSHLRSHANMVQFGGEIVNTRSSGFHTSTQMGSGHFAEEGFRKAAYFRNLQTVDWDNNLVPLTNIHLKADRANCYDIRQGRNRVWGTYFYYGGPGRNLLLSFETA >KJB53107 pep chromosome:Graimondii2_0_v6:8:56746342:56749284:1 gene:B456_008G293800 transcript:KJB53107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRRHYSIQKHSTMIHIFVAFLLVSTSLGPVLSLQSVPDSGHRQLQNCTLNPYKDIQKLRRVQAYLKKINKPAVKTIQSPDGDVIDCVPSHLQPAFDHPQLKGQKPLKPAVRPKGHNLTEAVSESFQLWRDTGESCPKGTVPIRRTTEQDILRASSVRRYGRIRRHVRRDSTGYGHEHAVVFENGDQYYGARASLSVWAPRVTNEYEFSLSQIWIISGSFGNDLNTIEAGWQASLNYMEIITQGSSHTGQVMHTRLQDVTTCFVLVLSKPTTRLPLEQQSHQGPLTMADSSISD >KJB53106 pep chromosome:Graimondii2_0_v6:8:56746487:56747580:1 gene:B456_008G293800 transcript:KJB53106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRRHYSIQKHSTMIHIFVAFLLVSTSLGPVLSLQSVPDSGHRQLQNCTLNPYKDIQKLRRVQAYLKKINKPAVKTIQSPDGDVIDCVPSHLQPAFDHPQLKGQKPLKPAVRPKGHNLTEAVSESFQLWRDTGESCPKGTVPIRRTTEQDILRASSVRRYGRIRRHVRRDSTGYGHEHAVVFENGDQYYGARASLSVWAPRVTNEYEFSLSQIWIISGSFGNDLNTIEAGWQAC >KJB53105 pep chromosome:Graimondii2_0_v6:8:56746085:56749325:1 gene:B456_008G293800 transcript:KJB53105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRRHYSIQKHSTMIHIFVAFLLVSTSLGPVLSLQSVPDSGHRQLQNCTLNPYKDIQKLRRVQAYLKKINKPAVKTIQSPDGDVIDCVPSHLQPAFDHPQLKGQKPLKPAVRPKGHNLTEAVSESFQLWRDTGESCPKGTVPIRRTTEQDILRASSVRRYGRIRRHVRRDSTGYGHEHAVVFENGDQYYGARASLSVWAPRVTNEYEFSLSQIWIISGSFGNDLNTIEAGWQVSPELYGDNYPRFFTYWTSDAYQTTGCYNLLCSGFVQTNNKVAIGAAISPRSSYNGRQFDIGLMVWKDPKHGHWWLEFGPGLLVGYWPAFLFSHLRSHANMVQFGGEIVNTRSSGFHTSTQMGSGHFAEEGFRKAAYFRNLQTVDWDNNLVPLTNIHLKADRANCYDIRQGRNRVWGTYFYYGGPGRNVRCP >KJB51318 pep chromosome:Graimondii2_0_v6:8:49835559:49838392:-1 gene:B456_008G2118002 transcript:KJB51318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLELGELIKKKQITSEELTGIFLKRLRRYNPVLESVVTYTEDLAYQQAKEADKLLSQGVHLGPLHGIPYGLKDIISVPLYKTTWVSTTFKNQVLNIDAWVYKRNPWNIEEFSTGSSAGPAACTSAGMIPFAIGSETAGSITYPASRCGVTTLRPTFGSVGRTGVMSLSESLLGPFCRNAADCAVILDAIRGKDPDDLSSGDIPFGDPFSVDITKLTVGYLDDADMEVVHVLESKGIKVVPFKLNYTVDSVQGILNFTMDVDMLAHFDEWQRSGKDDDYEAQDQWPVELRRARVVSAVDYLQAQRARGKLIQEVKENFNIDAFIGNATDWERVCVGNLVGLPVIVVPTGFKNIPNPPPTGTRRRTTVNTGIYAPPNHDHIALALAMAYQSATNHHKQRPPIDDLGPNGTIPNPPTVPIPPRRLHM >KJB52283 pep chromosome:Graimondii2_0_v6:8:53725636:53729546:1 gene:B456_008G254000 transcript:KJB52283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLMRLISMAPLFLLLCLPFAFGGHDYNQALSKSILFFEAQRSGYLPHNQRITWRANSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIIEYGKQMVANGELGHAMEAVKWGTDYFIKAHPQPYVLYGEVGDGNSDHYCWQRPEDMTTDRRAYKIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSRELLRHAYQLFEFADKYRGKYDSSITVAQKYYRSISGYNDELLWAAAWLYQASNNKYYLNYLAKNGDSMGGTGWAMTEFGWDVKYAGVQTLVAKFLMQGEAGHHAPVFEKYRQKAEYFMCSLIGKGSRNIQKTPGGLIYRQRWNNMQFVTSASFLATVYSDYLTSYRGSLKCAAGNVAPSELLSFAKSQVDYLLGDNPRATSYMVGYGNNYPRQVHHRGSSIVSIKVNPKFVACRQGYATWYTRKASDPNVLTGALVGGPDAYDNFADERDNYEQTEPATYNNAPLLGILARLAGGHGGYNQLLPVVAPAPNPVIAKLKPAPKPKRTPTPGILIF >KJB52282 pep chromosome:Graimondii2_0_v6:8:53725585:53729564:1 gene:B456_008G254000 transcript:KJB52282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLMRLISMAPLFLLLCLPFAFGGHDYNQALSKSILFFEAQRSGYLPHNQRITWRANSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIIEYGKQMVANGELGHAMEAVKWGTDYFIKAHPQPYVLYGEVGDGNSDHYCWQRPEDMTTDRRAYKIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSRELLRHAYQLFEFADKYRGKYDSSITVAQKYYRSISGYNDELLWAAAWLYQASNNKYYLNYLAKNGDSMGGTGWAMTEFGWDVKYAGVQTLVAKFLMQGEAGHHAPVFEKYRQKAEYFMCSLIGKGSRNIQKTPGGLIYRQRWNNMQFVTSASFLATVYSDYLTSYRGSLKCAAGNVAPSELLSFAKSQVDYLLGDNPRATSYMVGYGNNYPRQVHHRGSSIVSIKVNPKFVACRQGYATWYTRKASDPNVLTGALVGGPDAYDNFADERDNYEQTEPATYNNAPLLGILARLAGGHGGYNQLLPVVAPAPNPVIAKLKPAPKPKRTPTPASSSSPITINQKMTTSWNHKGKTYYRYSTVVTNKSYKTVKALKLSISKLYGPIWGLTKSGNSYGFPEWLNTLPAGKSLEFVYIHAASPADVSVSSYNLA >KJB53261 pep chromosome:Graimondii2_0_v6:8:48064136:48067095:-1 gene:B456_008G195800 transcript:KJB53261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDIVPSSHNCDVRNNGTLHKRFHMSIVLITS >KJB53263 pep chromosome:Graimondii2_0_v6:8:48065750:48067017:-1 gene:B456_008G195800 transcript:KJB53263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDIVPSSHNCDVRNNGTLHKRFLLFY >KJB53262 pep chromosome:Graimondii2_0_v6:8:48064136:48067095:-1 gene:B456_008G195800 transcript:KJB53262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSEFAD >KJB53264 pep chromosome:Graimondii2_0_v6:8:48064930:48067095:-1 gene:B456_008G195800 transcript:KJB53264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDSGDTKDDLRLPTDDNLLKQVIEYRVSLEVI >KJB53260 pep chromosome:Graimondii2_0_v6:8:48064135:48067162:-1 gene:B456_008G195800 transcript:KJB53260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDSGDTKDDLRLPTDDNLLKQIKDGFAEGKDLVVSVMSAMGEEQICALKDIGPK >KJB50995 pep chromosome:Graimondii2_0_v6:8:48131631:48135659:-1 gene:B456_008G196800 transcript:KJB50995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLREKIDHLIGELNSSNSERLLLMQEIENKEEELRQSALCIEKLEESVSSMALESQCEIESLKLDITALEQMSLEARKSEEENAQENSQMNVLIEELEVQLQNAHEIIEALEKENKALSGKLIASEKNAKIFCQNIKQWLKSKDRSQLDTDAVFGEPESIITISKDTSSLYGTPFSVLSRKIDSGCKELFGALLSDVALVLESDSNSKEQIKSMSDQINEYELLVKQLKEELREQKLKAKEEAEDLAQEMAELRYQMTGLLEEECKRRACIEQVSLQRIAELEAQIQKEPRNSMAVVRHLRES >KJB50994 pep chromosome:Graimondii2_0_v6:8:48131605:48137157:-1 gene:B456_008G196800 transcript:KJB50994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSRGDGENSIDVEELLEIETRCRELRKEKDMLKESQPQGFELIRGLELHVKSLSEACTQDKKHIQKLERELKNCSQEIDYLQDQLSARNEEVKFLNDHVHDLEIKLVDMEDLREKIDHLIGELNSSNSERLLLMQEIENKEEELRQSALCIEKLEESVSSMALESQCEIESLKLDITALEQMSLEARKSEEENAQENSQMNVLIEELEVQLQNAHEIIEALEKENKALSGKLIASEKNAKIFCQNIKQWLKSKDRSQLDTDAVFGEPESIITISKDTSGCKELFGALLSDVALVLESDSNSKEQIKSMSDQINEYELLVKQLKEELREQKLKAKEEAEDLAQEMAELRYQMTGLLEEECKRRACIEQVSLQRIAELEAQIQKEPRNSMAVVRHLRES >KJB51003 pep chromosome:Graimondii2_0_v6:8:48131605:48135659:-1 gene:B456_008G196800 transcript:KJB51003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLREKIDHLIGELNSSNSERLLLMQEIENKEEELRQSALCIEKLEESVSSMALESQCEIESLKLDITALEQMSLEARKSEEENAQENSQMNVLIEELEVQLQNAHEIIEALEKENKALSGKLIASEKNAKIFCQNIKQWLKSKDRSQLDTDAVFGEPESIITISKDTSSLYGTPFSVLSRKIDSGCKELFGALLSDVALVLESDSNSKEQIKSMSDQINEYELLVKQLKEELREQKLKAKEEAEDLAQEMAELRYQMTGLLEEECKRRACIEQVSLQRIAELEAQIQKEPRNSMAVVRHLRES >KJB50997 pep chromosome:Graimondii2_0_v6:8:48131605:48137120:-1 gene:B456_008G196800 transcript:KJB50997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKESQPQGFELIRGLELHVKSLSEACTQDKKHIQKLERELKNCSQEIDYLQDQLSARNEEVKFLNDHVHDLEIKLVDMEDLREKIDHLIGELNSSNSERLLLMQEIENKEEELRQSALCIEKLEESVSSMALESQCEIESLKLDITALEQMSLEARKSEEENAQENSQMNVLIEELEVQLQNAHEIIEALEKENKALSGKLIASEKNAKIFCQNIKQWLKSKDRSQLDTDAVFGEPESIITISKDTSGCKELFGALLSDVALVLESDSNSKEQIKSMSDQINEYELLVKQLKEELREQKLKAKEEAEDLAQEMAELRYQMTGLLEEECKRRACIEQVSLQRIAELEAQIQKEPRNSMAVVRHLRES >KJB50998 pep chromosome:Graimondii2_0_v6:8:48131605:48137529:-1 gene:B456_008G196800 transcript:KJB50998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSRGDGENSIDVEELLEIETRCRELRKEKDMLKESQPQGFELIRGLELHVKSLSEACTQDKKHIQKLERELKNCSQEIDYLQDQLSARNEEVKFLNDHVHDLEIKLVDMEDLREKIDHLIGELNSSNSERLLLMQEIENKEEELRQSALCIEKLEESVSSMALESQCEIESLKLDITALEQMSLEARKSEEENAQENSQMNVLIEELEVQLQNAHEIIEALEKENKALSGKLIASEKNAKIFCQNIKQWLKSKDRSQLDTDAVFGEPESIITISKDTSSLYGTPFSVLSRKIDSGCKELFGALLSDVALVLESDSNSKEQIKSMSDQINEYELLVKQLKEELREQKLKAKEEAEDLAQEMAELRYQMTGLLEEECKRRACIEQVSLQRIAELEAQIQKEPRNSMAVVRHLRES >KJB50999 pep chromosome:Graimondii2_0_v6:8:48131605:48137557:-1 gene:B456_008G196800 transcript:KJB50999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSRGDGENSIDVEELLEIETRCRELRKEKDMLKESQPQGFELIRGLELHVKSLSEACTQDKKHIQKLERELKNCSQEIDYLQDQLSARNEEVKFLNDHVHDLEIKLVDMEDLREKIDHLIGELNSSNSERLLLMQEIENKEEELRQSALCIEKLEESVSSMALESQCEIESLKLDITALEQMSLEARKSEEENAQENSQMNVLIEELEVQLQNAHEIIEALEKENKALSGKLIASEKNAKIFCQNIKQWLKSKDRSQLDTDAVFGEPESIITISKDTSGCKELFGALLSDVALVLESDSNSKEQIKSMSDQINEYELLVKQLKEELREQKLKAKEEAEDLAQEMAELRYQMTGLLEEECKRRACIEQVSLQRIAELEAQIQKEPRNSMAVVRHLRES >KJB51002 pep chromosome:Graimondii2_0_v6:8:48133177:48136996:-1 gene:B456_008G196800 transcript:KJB51002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSRGDGENSIDVEELLEIETRCRELRKEKDMLKESQPQGFELIRGLELHVKSLSEACTQDKKHIQKLERELKNCSQEIDYLQDQLSARNEEVKFLNDHVHDLEIKLVDMEDLREKIDHLIGELNSSNSERLLLMQEIENKEEELRQSALCIEKLEESVSSMALESQCEIESLKLDITALEQMSLEARKSEEENAQENSQMNVLIEELEVQLQNAHEIIEALEKENKALSGKLIASEKNAKIFCQNIKQWLKSKDRSQLDTDAVFGEPESIITISKDTSGCKELFGALLSDVALVLESDSNSKEQIKSMSDQINEYELLVKQLKEELREQKLKAKEEAEDLAQEMAELRYQMTGLLEEECKRRACIEQVSLQRIAELEAQVILLRFLILCIPSNVSDLGIILPSSSFFVKIQKEPRNSMAVVRHLRES >KJB51000 pep chromosome:Graimondii2_0_v6:8:48133588:48136996:-1 gene:B456_008G196800 transcript:KJB51000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSRGDGENSIDVEELLEIETRCRELRKEKDMLKESQPQGFELIRGLELHVKSLSEACTQDKKHIQKLERELKNCSQEIDYLQDQLSARNEEVKFLNDHVHDLEIKLVDMEDLREKIDHLIGELNSSNSERLLLMQEIENKEEELRQSALCIEKLEESVSSMALESQCEIESLKLDITALEQMSLEARKSEEENAQENSQMNVLIEELEVQLQNAHEIIEALEKENKALSGKLIASEKNAKIFCQNIKQWLKSKDRSQLDTDAVFGEPESIITISKDTSGCKELFGALLSDVALVLESDSNSKEQIKSMSDQINEYELLVKQLKVKIVI >KJB51001 pep chromosome:Graimondii2_0_v6:8:48133177:48134609:-1 gene:B456_008G196800 transcript:KJB51001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLREKIDHLIGELNSSNSERLLLMQEIENKEEELRQSALCIEKLEESVSSMALESQCEIESLKLDITALEQMSLEARKSEEENAQENSQMNVLIEELEVQLQNAHEIIEALEKENKALSGKLIASEKNAKIFCQNIKQWLKSKDRSQLDTDAVFGEPESIITISKDTSGCKELFGALLSDVALVLESDSNSKEQIKSMSDQINEYELLVKQLKEELREQKLKAKEEAEDLAQEMAELRYQMTGLLEEECKRRACIEQVSLQRIAELEAQIQKEPRNSMAVVRHLRES >KJB50993 pep chromosome:Graimondii2_0_v6:8:48131605:48137557:-1 gene:B456_008G196800 transcript:KJB50993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSRGDGENSIDVEELLEIETRCRELRKEKDMLKESQPQGFELIRGLELHVKSLSEACTQDKKHIQKLERELKNCSQEIDYLQDQLSARNEEVKFLNDHVHDLEIKLVDMEDLREKIDHLIGELNSSNSERLLLMQEIENKEEELRQSALCIEKLEESVSSMALESQCEIESLKLDITALEQMSLEARKSEEENAQENSQMNVLIEELEVQLQNAHEIIEALEKENKALSGKLIASEKNAKIFCQNIKQWLKSKDRSQLDTDAVFGEPESIITISKDTSGCKELFGALLSDVALVLESDSNSKEQIKSMSDQINEYELLVKQLKEELREQKLKAKEEAEDLAQEMAELRYQMTGLLEEECKRRACIEQVSLQRIAELEAQIQKEPRNSMAVVRHLRES >KJB50996 pep chromosome:Graimondii2_0_v6:8:48131511:48137578:-1 gene:B456_008G196800 transcript:KJB50996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSRGDGENSIDVEELLEIETRCRELRKEKDMLKESQPQGFELIRGLELHVKSLSEACTQDKKHIQKLERELKNCSQEIDYLQDQLSARNEEVKFLNDHVHDLEIKLVDMEDLREKIDHLIGELNSSNSERLLLMQEIENKEEELRQSALCIEKLEESVSSMALESQCEIESLKLDITALEQMSLEARKSEEENAQENSQMNVLIEELEVQLQNAHEIIEALEKENKALSGKLIASEKNAKIFCQNIKQWLKSKDRSQLDTDAVFGEPESIITISKDTSGCKELFGALLSDVALVLESDSNSKEQIKSMSDQINEYELLVKQLKEELREQKLKAKEEAEDLAQEMAELRYQMTGLLEEECKRRACIEQVSLQRIAELEAQIQKEPRNSMAVVRHLRES >KJB51268 pep chromosome:Graimondii2_0_v6:8:49505531:49509523:1 gene:B456_008G209600 transcript:KJB51268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIPYLTALTTYFSYGLLFAFGQFRDFFRNIFDWWHASNLEGYAPICLGLEDFYIRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDNNKTLKRTDKISRCLNLGSYNYLGFAAADEYCTPRVIDSLCRFSASTCSTRVEGGTTTLHKELEECVANFVGKPAAVVFGMGYVTNSAILPVIIGRGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEKVLREQIADGQPRTHRPWKKIIVVVEGIYSMEGELCKLPEIIAICKKYKAYVYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKELIQYLKYTCPAHLYATSISPPAAQQIISSIKVILGEDGSSRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPIMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISASHTKEDLLKALEVISQVGDMVGIKYFPAEPNKQQQDQQQQQPGKDTIKLD >KJB51269 pep chromosome:Graimondii2_0_v6:8:49505617:49509486:1 gene:B456_008G209600 transcript:KJB51269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIPYLTALTTYFSYGLLFAFGQFRDFFRNIFDWWHASNLEGYAPICLGLEDFYIRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDNNKTLKRTDKISRCLNLGSYNYLGFAAADEYCTPRVIDSLCRFSASTCSTRVEGGTTTLHKELEECVANFVGKPAAVVFGMGYVTNSAILPVIIGRGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEKVLREQIADGQPRTHRPWKKIIIIAICKKYKAYVYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKELIQYLKYTCPAHLYATSISPPAAQQIISSIKVILGEDGSSRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPIMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISASHTKEDLLKALEVISQVGDMVGIKYFPAEPNKQQQDQQQQQPGKDTIKLD >KJB52991 pep chromosome:Graimondii2_0_v6:8:56274843:56278186:-1 gene:B456_008G287300 transcript:KJB52991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVETEEVAAPPPPPAVPIPPQRRPRVREVSSRFMSPVSSSHSSGDHSRSPLHKQHHLQRQRQQSEPEVDENIPAVAPCDTPRASLESPFINITTVQKKHRHSRTYSDTSKLFGRSTANNTPLRPDTPTIERTSSLPSSTIRLNHRTANVPATAKASAAAKLLQSSGIGLSSKPNASSSSSQEPSVNESGRTALSLIDLGTSLPEADNNGDGAEPSFKLSRSLNSPLLSSDPSLFHLPNGLMKGKSAKVTPFSLPPVPSHTKHGTDTVRGSKKISRHQEDLHSLKLLYNCYLQWRFANAKAENSTQIQKRETERILYSLEVKISELYDCVRRKRMELQLLQRMKTLSNILESHMPYLEEWSTFQRDYLNSLSEAIQSLLNISLRLPINGNVKVDTREVGEAMTSAIKMMEMIVSNVQSFMPKAEEMESSVSELARVAIGERAVIEECGDLFYKTNTFQVEDCSLRAQLIQLQAMGSCTNKLLLQE >KJB47661 pep chromosome:Graimondii2_0_v6:8:4279782:4280492:-1 gene:B456_008G035500 transcript:KJB47661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACYLSWLARIVIMLTAILLFFSLIGEAAPICQGKCEEIADCDGFCRRIGFSGGACQPPLFQFCCCN >KJB46775 pep chromosome:Graimondii2_0_v6:8:48058054:48063149:-1 gene:B456_008G195700 transcript:KJB46775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPSPRRSRSSNGEEERPRFFDSKAKSKCWANAETVPGRHPERWRKDAAGNIVCKRFCNCQGCLCFEYDHIVPFSKGGESTAENCQILQTRVNRFKSNKEDLDTTRLKGYSCEVQFTDKELDIIEMAVYGDVIRPGNQCRCRTIAEMLGQYKSKDNLAACKLPLDKESI >KJB52902 pep chromosome:Graimondii2_0_v6:8:55913719:55915272:-1 gene:B456_008G283000 transcript:KJB52902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIHSPKPPSSTTKATTTPELNSIERKNTSSSFRSQNSFVFPNSNRLWLLLVIVSLQIIILLMARGLPLSHRRTHFPSPLSYDHKPVQNPANFSTHRSKSANISISSTVSSPPDRCSSGRIFVYDLPAVFNRELLDNCADLDPWHSRCEALSNGGFGREAAGLSGVIPEGLVPAWYWTDQFAMEVIYHNRVLSHECRTTEPDSAMAFYIPFYAGLAVGKYLWLGYSSKDRDRYCEMMLEWIKDQPYWNRSDGWDHFMTMGRITWDFRRSKNEDWGSSCIYMPGMRNITRLLIERNPWDYFDVVVPYPTGFHPRSNSDIVQWQNFVRNRRRKTLFCFVGAPRAAIKNDFRGLLLNHCKNASGSCKAADCTGSRCSNGTSVILQTFLDSDFCLQPRGDSFTRRSVFDCMIAGSIPVFFWHRTAYLQYQWFLPNDPKSYSVFIHRDLVKNGTSSIKTVLQSYSKQEINQMREKVIQYIPKLVYAKPEKGLNNTKDAFDIAIDGVLKRIKEQEQPGFKWK >KJB52903 pep chromosome:Graimondii2_0_v6:8:55913139:55915522:-1 gene:B456_008G283000 transcript:KJB52903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIHSPKPPSSTTKATTTPELNSIERKNTSSSFRSQNSFVFPNSNRLWLLLVIVSLQIIILLMARGLPLSHRRTHFPSPLSYDHKPVQNPANFSTHRSKSANISISSTVSSPPDRCSSGRIFVYDLPAVFNRELLDNCADLDPWHSRCEALSNGGFGREAAGLSGVIPEGLVPAWYWTDQFAMEVIYHNRVLSHECRTTEPDSAMAFYIPFYAGLAVGKYLWLGYSSKDRDRYCEMMLEWIKDQPYWNRSDGWDHFMTMGRITWDFRRSKNEDWGSSCIYMPGMRNITRLLIERNPWDYFDVVVPYPTGFHPRSNSDIVQWQNFVRNRRRKTLFCFVGAPRAAIKNDFRGLLLNHCKNASGSCKAADCTGSRCSNGTSVILQTFLDSDFCLQPRGDSFTRRSVFDCMIAGSIPVFFWHRTAYLQYQWFLPNDPKSYSVFIHRDLVKNGTSSIKTVLQSYSKQEINQMREKVIQYIPKLVYAKPEKGLNNTKDAFDIAIDGVLKRIKEQEQPGFKWK >KJB52901 pep chromosome:Graimondii2_0_v6:8:55913150:55915522:-1 gene:B456_008G283000 transcript:KJB52901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIHSPKPPSSTTKATTTPELNSIERKNTSSSFRSQNSFVFPNSNRLWLLLVIVSLQIIILLMARGLPLSHRRTHFPSPLSYDHKPVQNPANFSTHRSKSANISISSTVSSPPDRCSSGRIFVYDLPAVFNRELLDNCADLDPWHSRCEALSNGGFGREAAGLSGVIPEGLVPAWYWTDQFAMEVIYHNRVLSHECRTTEPDSAMAFYIPFYAGLAVGKYLWLGYSSKDRDRYCEMMLEWIKDQPYWNRSDGWDHFMTMGRITWDFRRSKNEDWGSSCIYMPGMRNITRLLIERNPWDYFDVVVPYPTGFHPRSNSDIVQWQNFVRNRRRKTLFCFVGAPRAAIKNDFRGLLLNHCKNASGSCKAADCTGSRCSNGTSVILQTFLDSDFCLQPRGDSFTRRSVFDCMIAGSIPVFFWHRTAYLQYQWFLPNDPKSYSVFIHRDLVKNGTSSIKTVLQSYSKQEINQMREKVIQYIPKLVYAKPEKGLNNTKDAFDIAIDGVLKRIKEQEQPGFKWK >KJB50334 pep chromosome:Graimondii2_0_v6:8:43658829:43664523:1 gene:B456_008G166400 transcript:KJB50334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATALNLCRPWQSPPTHNQFITKPRPSIRPPITCYAANKSKREYTSVMIVPTGVGASIGGFAGDALPIARVLSSVVDCLISHPNVLNAAMLYWPMPNVMYVEGYALDRFAQGLWALQPVHQNKVGLVLDAGIEEHLRVRHLQVADATRASLGLPVVEYAVTDTPLEVEKWVNPTTGQSTGRIKHPDSLLRAVENLVKRSQVDAVAVVGRFPDDEVDDLDDYRLGIGIDILAGVEAIISHLVVKEFQIPCAHAPAVSPLPLTSSLSPKSAAEEVRVSNYWEAIGVVAAHKAGIDPNSLRRNKIRNIQCLSDVQANGFAVSTASSVT >KJB50336 pep chromosome:Graimondii2_0_v6:8:43658859:43664443:1 gene:B456_008G166400 transcript:KJB50336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATALNLCRPWQSPPTHNQFITKPRPSIRPPITCYAANKSKREYTSVMIVPTGVGASIGGFAGDALPIARVLSSVVDCLISHPNVLNAAMLYWPMPNVMYVEGYALDRFAQGLWALQPVHQNKVGLVLDAGIEEHLRVRHLQVADATRASLGLPVVEYAVTDTPLEVEKWVNPTTGQSTGRIKHPDSLLRAVENLVKRSQVDAVAVVGRFPDDEVDDLDDYRLGIGIDILAGVEAIISHLVVKEFQIPCAHAPAVSPLPLTSSLSPKSAAEEMLVEEMVLLLLLEAREISL >KJB50335 pep chromosome:Graimondii2_0_v6:8:43658829:43664533:1 gene:B456_008G166400 transcript:KJB50335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATALNLCRPWQSPPTHNQFITKPRPSIRPPITCYAANKSKREYTSVMIVPTGVGASIGGFAGDALPIARVLSSVVDCLISHPNVLNAAMLYWPMPNVMYVEGYALDRFAQGLWALQPVHQNKVGLVLDAGIEEHLRVRHLQVADATRASLGLPVVEYAVTDTPLEVEKWVNPTTGQSTGRIKHPDSLLRAVENLVKRSQVDAVAVVGRFPDDEVDDLDDYRLGIGIDILAGVEAIISHLVVKEFQIPCAHAPAVSPLPLTSSLSPKSAAEEIGYTFLPCVLAGLSNAPQYLVKNPESLAKGCILASDVDSVILPVDACGGDGALAFARSKRNKPLIICVEENETVLNDTADKLGIKVVRVSNYWEAIGVVAAHKAGIDPNSLRRNKIRNIQCLSDVQANGFAVSTASSVT >KJB50337 pep chromosome:Graimondii2_0_v6:8:43658859:43664443:1 gene:B456_008G166400 transcript:KJB50337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATALNLCRPWQSPPTHNQFITKPRPSIRPPITCYAANKSKREYTSVMIVPTGVGASIGGFAGDALPIARVLSSVVDCLISHPNVLNAAMLYWPMPNVMYVEGYALDRFAQGLWALQPVHQNKVGLVLDAGIEEHLRVRHLQVADATRASLGLPVVEYAVTDTPLEVEKWVNPTTGQSTGRIKHPDSLLRAVENLVKRSQVDAVAVVGRFPDDEVDDLDDYRLGIGIDILAGVEAIISHLVVKEFQIPCAHAPAVSPLPLTSSLSPKSAAEEDTHFCHVSLRDLVMHHSTWLKTLSPWQRVVSWQAMLTVLFCQ >KJB51454 pep chromosome:Graimondii2_0_v6:8:50373554:50375566:-1 gene:B456_008G216900 transcript:KJB51454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g25270, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25270) UniProtKB/Swiss-Prot;Acc:Q9SB36] MVALLQAQPHAIPLTLHFHCSSKGKKNQKQKRRQFEHNKNTAPALPFPRSSPTPLFINNKPFPQTKLQAVDSIVKDLEASVKKGIIIDSEIFSSLLETCYQLKSIDHGIAIHRLVPQNLLRKNTGISSKLLRLYATAGRMESAHQVFDQMSKRNEYAFPWNSLISGYAELGQYEDALALYFQMEEEGVEPDRFTFPRALKACAGIGSIHVGQAVHRDVVRKGFGNDLFVLNALIDMYAKCGDIVKARRVFDSIACKDNISWNSMLTGYIRQGLLAGALQVFRGMIQEGFEPDSVTISTILSSFCSLKTAAQIHGWVLRRGIEWNTSVVNAMIVVYSNLGKLDGASWLFQRMPERDIVSWNSIISGHSKNPDALLYFEQMVRSCTSPDSITFVAILSACAHLGLVKDGERLFWLMRKKYGIDPRMEHYACMINLYGRAGLIDEAFNMIVERMEFEAGPTVWGAMLYACSVHGNIQIGEIAGQKLFELEPDNQHNFELLMKIYSNAGRVEDAERVRKLMLDRGL >KJB48879 pep chromosome:Graimondii2_0_v6:8:22374395:22376037:1 gene:B456_008G091700 transcript:KJB48879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQIPRKPRILCLHGFRTSAEILKRQVLRWPAAVLDKLDLIFLDAPYPAQGKSGVERFFDPPYYEWFQATEDFTEYTNFEECLAFIEDNMMKSGPFDGFLGFSQGALLSAALPGMQRDGLALTRVPRIKFLIIISGAKFGGPKFAHHKLTSNAYSSPLECPSLHIIGETDFMKQESISLLEYFVDPFVINHPKGHTIPELDEKSTEVMLGFIERIQKTMATADEQIYLNAET >KJB48881 pep chromosome:Graimondii2_0_v6:8:22374424:22375971:1 gene:B456_008G091700 transcript:KJB48881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQIPRKPRILCLHGFRTSAEILKRQVLRWPAAVLDKLDLIFLDAPYPAQGKSGVERFFDPPYYEWFQATEDFTEYTNFEECLAFIEDNMMKSGPFDGFLGFSQGALLSAALPGMQRDGLALTRVPRIKFLIIISGAKFGGPKFAHHKLTSNAYSSPLECPSLHIIGETDFMKQESISLLEYFVDPFVINHPKGHTIPELGACKEN >KJB48883 pep chromosome:Graimondii2_0_v6:8:22374424:22376024:1 gene:B456_008G091700 transcript:KJB48883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQIPRKPRILCLHGFRTSAEILKRQVLRWPAAVLDKLDLIFLDAPYPAQGKSGVERFFDPPYYEWFQATEDFTEYTNFEECLAFIEDNMMKSGPFDGFLGFSQGALLSAALPGMQRDGLALTRVPRIKFLIIISGAKFGGPKFAHHKLTSNAYSSPLECPSLHIIDEKSTEVMLGFIERIQKTMATADEQIYLNAET >KJB48880 pep chromosome:Graimondii2_0_v6:8:22374424:22375971:1 gene:B456_008G091700 transcript:KJB48880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQIPRKPRILCLHGFRTSAEILKRQVLRWPAAVLDKLDLIFLDAPYPAQGKSGVERFFDPPYYEWFQATEDFTEYTNFEECLAFIEDNMMKSGPFDGFLGFSQVGTFICCIAWNAERRISPYQGSKNQVSDNNIRSQVWRAKVRTS >KJB48882 pep chromosome:Graimondii2_0_v6:8:22374644:22375371:1 gene:B456_008G091700 transcript:KJB48882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQIPRKPRILCLHGFRTSAEILKRQVLRWPAAVLDKLDLIFLDAPYPAQGKSGVERFFDPPYYEWFQATEDFTEYTNFEECLAFIEDNMMKSGPFDGFLGFSQGALLSAALPGMQRDGLALTRVPRIKFLIIISGAKFGGPKFAHHKLTSNAYSSPLECPSLHIIGILKL >KJB49364 pep chromosome:Graimondii2_0_v6:8:34789587:34794452:-1 gene:B456_008G115300 transcript:KJB49364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYDLTSPLLSPRSSDQPQTVLTVDEDSEPSDQSPSNHQPPLQTHNDEKTTLTSSNPYAFLGSDAHSVPAPTTVDPFRNGTPFISGVYEAVKIVLCLPIMLLRLVLFGACLAVGYIATRIALEGWKDKQNPMPKWRCRIMWVTRICARFILFSFGYQWIRRKGKPAPREIAPIVVSNHVSYIEPIFYFYELFPTIVAAESHDSMPFVGTIIRAMQVIYVNRFSPASRKNAVNEIKRRASCDKFPRVLLFPEGTTTNGKVLISFQLGAFIPGHPIQPIIVRYPHVHFDQSWGLISLAKLMFRMFTQFHNYMEVEYLPIIMPPDNEKLSAVHFAERTGQAMASALNVVQTSHSYGDLMLLMKAAELQQERPWSYMVEMLYHISSLEAVDFLDKFLSMNPDTSGCVKLHDFSRGLRLKACKLSEEIFGFLDVEKNGSITFKQFLFGVAHVMKKPLFMQACELAFAECDVRGDNYCMKEELSNILRHAIPDLNEDEVHGLLNLFDSNNDGRISRDDFITCLRKNPLLIALFSPRLLQKDFSRGGDRMLQDIV >KJB49365 pep chromosome:Graimondii2_0_v6:8:34789746:34794241:-1 gene:B456_008G115300 transcript:KJB49365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYDLTSPLLSPRSSDQPQTVLTVDEDSEPSDQSPSNHQPPLQTHNDEKTTLTSSNPYAFLGSDAHSVPAPTTVDPFRNGTPFISGVYEAVKIVLCLPIMLLRLVLFGACLAVGYIATRIALEGWKDKQNPMPKWRCRIMWVTRICARFILFSFGYQWIRRKGKPAPREIAPIVVSNHVSYIEPIFYFYELFPTIVAAESHDSMPFVGTIIRAMQVIYVNRFSPASRKNAVNEIKRRASCDKFPRVLLFPEGTTTNGKVLISFQLGAFIPGHPIQPIIVRYPHVHFDQSWGLISLAKLMFRMFTQFHNYMEVEYLPIIMPPDNEKLSAVHFAERTGQAMASALNVVQTSHSYGDLMLLMKAAELQQERPWSYMVEMARIESLYHISSLEAVDFLDKFLSMNPDTSGCVKLHDFSRGLRLKACKLSEEIFGFLDVEKNGSITFKQFLFGVAHVMKKPLFMQACELAFAECDVRGDNYCMKEELSNILRHAIPDLNEDEVHGLLNLFDSNNDGRISRDDFITCLRKNPLLIALFSPRLLQKDFSRGGDRMLQDIV >KJB49171 pep chromosome:Graimondii2_0_v6:8:31978831:31979469:-1 gene:B456_008G104400 transcript:KJB49171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLMREPQNVKPNGDPNSVSPLLSFSPVVFSDGEDCVYSVYIRTGSIIKGGTDSIIGLRLYDANGYSVEISNIEAWGGLMGPGYNYFERGNLDIFSGRGRCLDAPLCAMNLTSDGSGEHHGWYCNYVEVTMTGVHKPCSQQQFTVEQWLALDAPPYDLTAIRNYCPSEVPDDRRHRKSSSSM >KJB49170 pep chromosome:Graimondii2_0_v6:8:31978620:31979543:-1 gene:B456_008G104400 transcript:KJB49170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLMREPQNVKPNGDPNSDGEDCVYSVYIRTGSIIKGGTDSIIGLRLYDANGYSVEISNIEAWGGLMGPGYNYFERGNLDIFSGRGRCLDAPLCAMNLTSDGSGEHHGWYCNYVEVTMTGVHKPCSQQQFTVEQWLALDAPPYDLTAIRNYCPSEVPDDRRHRKSSSSM >KJB46698 pep chromosome:Graimondii2_0_v6:8:43219636:43219807:1 gene:B456_008G1639002 transcript:KJB46698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSFNLFIFAVILIFAT >KJB46699 pep chromosome:Graimondii2_0_v6:8:43219636:43220221:1 gene:B456_008G1639002 transcript:KJB46699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSFNLFIFAVILIFATHSEMMAEARGPVISCRCSKTEDCQGICAVCPNYSCINNLCTCLSNAPLFP >KJB52043 pep chromosome:Graimondii2_0_v6:8:52911771:52920289:1 gene:B456_008G243800 transcript:KJB52043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGVTSGSGKNYPGKFTSKVFFTCIVAASGGLIFGYDLGISGGVTSMDSFLEKFFPKVYRKEISVKPSDDQYCKFDSQTLTLFTSSLYLAALLSSMTASRITRGLGRRMTMMLGGLFFAIGAVINGFAENVLMLIIGRVLLGFGIGFANQSVPIYLSEIAPFKYRGAMNIMFQFSITIGILIANLLNYFTAKIEGGWGWRLSLGGAVVPGLVFFFGCFFLTDSPNSLLERNKFEEAKVQLQKIRGIDNVEEEFNDLAKASEAAKLVQNPWREILTRKYRPQLIFAVLIPLFQQLTGMNVFVFYAPVLFKSMGFGNNASLMSALITSVVNFFATLVSIATVDKYGRRTLFLEGGLQMLLCQFVMTVSIASKFGTSGNPGELPLWFSMLVVIAMCVYIAGFAWSWGPLGWLVPSEIFPLEIRSAAQSITVAVNMIFTFCIAQVFTTMLCNLKFGLFIFFAVCVVGMSIFIYKFLPETKGVPIEEMTTVWKNHPRWTSWITRGLGRRMTMMFGGLFFAIGAVINGFAENVLMLIIGQVLLGFGIGFANQSIPIYLSEIAPSKYRGALNIMFQLSITIGILVANLLNYFTAKIEGGWGWRLSLGGAVVPGLIFFFGCFFLTDSPNSLLECDKFEEAKEELNDLAKASEAAKLVQNPWREILTRKYMPQLIFAILIPLFQQLTGMNVFVFYAHVLFKSMGFGNNASLIFDKYGRRTLFLEGGLQMLLCQLVMTVSIASKFGTSGNPGELPLWFSMLVVIAMCVYIAGFAGSIGLRRITVAVNMIFTFCIAQVFTTMLCNLKFGYFIFFAVCVVGMSIFIYKFLPETKGVPIEEMTIVWKNHPRWSKYFVEKDSGFEMGKI >KJB53235 pep chromosome:Graimondii2_0_v6:8:57021187:57026419:-1 gene:B456_008G297800 transcript:KJB53235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIVYHHRYRLAFGVFLLLELVVVMSQKRPQEDGKARPSEGNSPDQDKRRRVPTLRNVVQEVMKLQSVQHLLEPVLEPLIRRVVKEEVELALRKHLNNMKRNGGKEVNSSESRSLQLQFLNNLSLPVFTGARIEAEDCSTIKVAIVDALTGQIVTSGPESSAKVEVVVLEGDFDGDEEDNWAVEEFNNNIVKEREGKKPLLTGDAFLTLTEGIGLVGEISFTDNSSWTRCRRFRLGARVVDGSGGTRVREAKTESFIVRDHRGELYKKHHPPSLSDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTMLCINPPRLRHVRFFCFISLFVKLGV >KJB53234 pep chromosome:Graimondii2_0_v6:8:57021187:57026428:-1 gene:B456_008G297800 transcript:KJB53234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIVYHHRYRLAFGVFLLLELVVVMSQKRPQEDGKARPSEGNSPDQDKRRRVPTLRNVVQEVMKLQSVQHLLEPVLEPLIRRVVKEEVELALRKHLNNMKRNGGKEVNSSESRSLQLQFLNNLSLPVFTGARIEAEDCSTIKVAIVDALTGQIVTSGPESSAKVEVVVLEGDFDGDEEDNWAVEEFNNNIVKEREGKKPLLTGDAFLTLTEGIGLVGEISFTDNSSWTRCRRFRLGARVVDGSGGTRVREAKTESFIVRDHRGELYKKHHPPSLSDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTMLCINPPRLRHILGTGMSAKMWEITVEHARTCVLDKRRHVYCPPGSQQKSGVVFNVVGQLTGLLSECQYLTTDKLSETEKIEAQNLVISAFEHWAEVISFDDEASLISSCSKLAKIPCTNSAKTENSNGSKVLASQKMDGYDYAQTSASSPDIISTIYSVWGMGGLEDYALHGIENPDLRYDQTLSYPGQVNNSLTCDADISQTFGDEDHLGYFDGDLHSQGLGLESQADLQTAVDGFLVQRKVADQAKSRWTKVFSVLKWFSIKRKVKEKFLGLRYGTGL >KJB53237 pep chromosome:Graimondii2_0_v6:8:57023525:57026419:-1 gene:B456_008G297800 transcript:KJB53237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIVYHHRYRLAFGVFLLLELVVVMSQKRPQEDGKARPSEGNSPDQDKRRRVPTLRNVVQEVMKLQSVQHLLEPVLEPLIRRVVKEEVELALRKHLNNMKRNGGKEVNSSESRSLQLQFLNNLSLPVFTGARIEAEDCSTIKVAIVDALTGQIVTSGPESSAKVEVVVLEGDFDGDEEDNWAVEEFNNNIVKEREGKKPLLTGDAFLTLTEGIGLVGEISFTDNSSWTRCRRFRLGARVVDGSGGTRVREAKTESFIVRDHRGECKNLIKSIFDEHVSIYCVANFCLN >KJB53232 pep chromosome:Graimondii2_0_v6:8:57021175:57026454:-1 gene:B456_008G297800 transcript:KJB53232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIVYHHRYRLAFGVFLLLELVVVMSQKRPQEDGKARPSEGNSPDQDKRRRVPTLRNVVQEVMKLQSVQHLLEPVLEPLIRRVVKEEVELALRKHLNNMKRNGGKEVNSSESRSLQLQFLNNLSLPVFTGARIEAEDCSTIKVAIVDALTGQIVTSGPESSAKVEVVVLEGDFDGDEEDNWAVEEFNNNIVKEREGKKPLLTGDAFLTLTEGIGLVGEISFTDNSSWTRCRRFRLGARVVDGSGGTRVREAKTESFIVRDHRGELYKKHHPPSLSDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTMLCINPPRLRHILGTGMSAKMWEITVEHARTCVLDKRRHVYCPPGSQQKSGVVFNVVGQLTGLLSECQYLTTDKLSETEKIEAQNLVISAFEHWAEVISFDDEASLISSCSKLAKIPCTNSAKTENSNGSKVLASQKMDGYDYAQTSASSPDIISTIYSVWGMGGLEDYALHGIENPDLRYDQTLSYPGQVNNSLTCDADISQTFGDEDHLGYFDGDLHSQGLGLESQADLQTAVDGFLVQRKVADQAKSRWTKVFSVLKWFSIKRKVKEKFLGLRYGTGL >KJB53236 pep chromosome:Graimondii2_0_v6:8:57021187:57026419:-1 gene:B456_008G297800 transcript:KJB53236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIVYHHRYRLAFGVFLLLELVVVMSQKRPQEDGKARPSEGNSPDQDKRRRVPTLRNVVQEVMKLQSVQHLLEPVLEPLIRRVVKEEVELALRKHLNNMKRNGGKEVNSSESRSLQLQFLNNLSLPVFTGARIEAEDCSTIKVAIVDALTGQIVTSGPESSAKVEVVVLEGDFDGDEEDNWAVEEFNNNIVKEREGKKPLLTGDAFLTLTEGIGLVGEISFTDNSSWTRCRRFRLGARVVDGSGGTRVREAKTESFIVRDHRGELYKKHHPPSLSDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTMLCINPPRLRHILGTGMSAKMWEITVEHARTCVLDKRRHVYCPPGSQQKSGVVFNVVGQLTGLLSECQYLTTDKLSETEKIEAQNLVISAFEHWAEVISFDDEASLISSCSKLAKIPCTNSAKTENSNGSKVLASQKMDGYDYAQTSASSPDIISTIYSVWGMGGLEDYALHGIENPDLRYDQTLSYPGQVNNSLTCDADISQTFGDEDHLGYFDGDLHSQGLGLESQADLQTAVDGFLVQRKVADQAKSRWTKVFSVLKWFSIKRKVKEKFLGLRYGTGL >KJB53233 pep chromosome:Graimondii2_0_v6:8:57022227:57026104:-1 gene:B456_008G297800 transcript:KJB53233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIVYHHRYRLAFGVFLLLELVVVMSQKRPQEDGKARPSEGNSPDQDKRRRVPTLRNVVQEVMKLQSVQHLLEPVLEPLIRRVVKEEVELALRKHLNNMKRNGGKEVNSSESRSLQLQFLNNLSLPVFTGARIEAEDCSTIKVAIVDALTGQIVTSGPESSAKVEVVVLEGDFDGDEEDNWAVEEFNNNIVKEREGKKPLLTGDAFLTLTEGIGLVGEISFTDNSSWTRCRRFRLGARVVDGSGGTRVREAKTESFIVRDHRGELYKKHHPPSLSDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTMLCINPPRLRHILGTGMSAKMWEITVEHARTCVLDKRRHVYCPPGSQQKSGVVFNVVGQLTGLLSECQYLTTDKLSETEKVKLPLSNLIIIISLHFFTPFINGF >KJB51800 pep chromosome:Graimondii2_0_v6:8:51860802:51863138:1 gene:B456_008G232200 transcript:KJB51800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTALTKSLGYHCAKRSKISTFSQNFPSHNPRFQTLRTLILEPSLSESVKLNRLSDYDSGIVEVNLDRPEAKNAIGKEMLSGLRHAFEAVDRDSSARVVMISSSVPKVFCAGADLKERKKMTAAEVHSFVNYLRSTFSLIEELQIPTIAVIEGAALGGGLEMALSCDLRIWENALLGLPETGLAIIPGAGGTQRLPRLVGKSIAKDIIFTGRRMGGRDAMSMGLVNYCVPAGEAHPKALEIAREINQKGPIAIRMAKRAINEGIDREMVSALDLEEECYEQTLNTKDRLEGLAAFAEKRKPIYTGE >KJB51801 pep chromosome:Graimondii2_0_v6:8:51860917:51862331:1 gene:B456_008G232200 transcript:KJB51801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTALTKSLGYHCAKRSKISTFSQNFPSHNPRFQTLRTLILEPSLSESVKLNRLSDYDSGIVEVNLDRPEAKNAIGKEMLSGLRHAFEAVDRDSSARVVMISSSVPKVFCAGADLKERKKMTAAEVHSFVNYLRSTFSLIEELQIPTIAVIEGAALGGGLEMALSCDLRICGENALLGLPETGLAIIPGFELNLISVFDQVFN >KJB51799 pep chromosome:Graimondii2_0_v6:8:51860739:51863140:1 gene:B456_008G232200 transcript:KJB51799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTALTKSLGYHCAKRSKISTFSQNFPSHNPRFQTLRTLILEPSLSESVKLNRLSDYDSGIVEVNLDRPEAKNAIGKEMLSGLRHAFEAVDRDSSARVVMISSSVPKVFCAGADLKERKKMTAAEVHSFVNYLRSTFSLIEELQIPTIAVIEGAALGGGLEMALSCDLRICGENALLGLPETGLAIIPGAGGTQRLPRLVGKSIAKDIIFTGRRMGGRDAMSMGLVNYCVPAGEAHPKALEIAREINQKGPIAIRMAKRAINEGIDREMVSALDLEEECYEQTLNTKDRLEGLAAFAEKRKPIYTGE >KJB51802 pep chromosome:Graimondii2_0_v6:8:51860802:51863138:1 gene:B456_008G232200 transcript:KJB51802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTALTKSLGYHCAKRSKISTFSQNFPSHNPRFQTLRTLILEPSLSESVKLNRLSDYDSGIVEVNLDRPEAKNAIGKEMLSGLRHAFEAVDRDSSARVVMISSSVPKVFCAGADLKERKKMTAAEELQIPTIAVIEGAALGGGLEMALSCDLRICGENALLGLPETGLAIIPGAGGTQRLPRLVGKSIAKDIIFTGRRMGGRDAMSMGLVNYCVPAGEAHPKALEIAREINQKGPIAIRMAKRAINEGIDREMVSALDLEEECYEQTLNTKDRLEGLAAFAEKRKPIYTGE >KJB49616 pep chromosome:Graimondii2_0_v6:8:37125443:37127861:1 gene:B456_008G128900 transcript:KJB49616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDECCSVQLIDGDGSFNGTGIESFIKEVKLYECGLSYAVVSIMGPQSSVATPKQIRELMQVDGLTNDKVKSHSFMSHCLVSVHYFGLKLLVVGICQWKQPCFCSCIPFFH >KJB50822 pep chromosome:Graimondii2_0_v6:8:47022581:47028026:-1 gene:B456_008G188400 transcript:KJB50822 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYSSYSISSSVLSLRSNGSLSFSFNDCSSNVFNSSLDKTPLSSSPCSSCCSSCACCCATFACATPRLPITPCFLYGLRQSALVHCSPSRRLILPAGHRCLLRFPTCDLDRAPFEVSTASMLTRKTKGRFRCVPSEESAARCLLGGVDAAEAMISLLSEEVNGECLGTAERNRSSYKIVKVSKENDYDSKCDSLKKKIKQVDKLASYGNECSNGPKQRKKLEERGGHANECYRQKTKIVGSSLLESDWKDEYESTAIESREESRRKAESKSSLTAENLRGRTKSSSCSSYYSLSSSGDLESDTELPEAEQFMEESFSGHVTESIGDEISRSEGQVTTGLKRDNGGGNSVDWDLRKKSEKKLAEVSAEEIQSGGKSSHEYARRVKNDESDYAKRSNFHDQLDVKDWQIRKGHTHIRQSESRRKNQDIREISKIHVSDVDKTSQEKHFTGGEANVEVSEIRDSAERISTLQQQSESRMKIEEEDRDPVQSWSGSRMKIWEEDTTMAQSSFQQTRKQQQQRGERITGQLEMRRKSSEINEAKNKKTSISQSETQKKKQDDTSSLNFTSNPETKKQSFPKDKTLPQRIEPGQGMQAITNISIGHADNTKLVTNSQTSSGERLTEHENNFTPALGLINERSQVHKEANSRVQQTKSRKENLKPTTVSSSWGKAREGSSFQAYLSLVSETREQQSHVDLAEPEKRSTEDVLMPPHPQAIAGGLLHDDSMTRISTEASGGTSESGSATSYLHSRGRTMFAHHESEPSKRSETYGESLNLTTHEDSLGSAQRLEESSLQFVGEFVEKARHDVVTSGVQQGSRISDFTSTYEGDKHGPDPSGQHGKEELKIKRHDSRQSSKGSGGKGPSDEMWDVMDSPVQELPEAETQGISTSGHAVIKRSGRSLWTLMGDIIRLRWSSRSQTPSSAARSAGRTSPNESVGTETWFSGHEQNENNEENLRRESSSLPSEVVSYQLGQGTQGEGDFSDSMRSTEKVRPLEGNISPSSNTLETAPASEVISLTSQKVKHDESSFEVASSGKEVVQSSLPLPAGSTRTPLVVEEISKTDKVDTKGSGSVRVMEQPVGARLAEASGSQGKEGELKQRKLQRTKQVPRDRFDEWEEAYRLEREQQKIDEMFMIEALLEAKKAADSWEVPVGAVLVQHGKIIARGRNLVEELRDSTAHAEMICIREASSILHSWRLADTTLYVTLEPCPMCAGAILQARIDTLVWGAPNKLLGADGSWIRLFPDERGGNGSEQTDKPAAPVHPFHPNMGIRRGVLASECADMMQQFFQLRRKNKGKNTEQLSSSSSSCLPITTSHRSKLFTRIHDAFHLMFCL >KJB50820 pep chromosome:Graimondii2_0_v6:8:47022581:47028026:-1 gene:B456_008G188400 transcript:KJB50820 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MEESFSGHVTESIGDEISRSEGQVTTGLKRDNGGGNSVDWDLRKKSEKKLAEVSAEEIQSGGKSSHEYARRVKNDESDYAKRSNFHDQLDVKDWQIRKGHTHIRQSESRRKNQDIREISKIHVSDVDKTSQEKHFTGGEANVEVSEIRDSAERISTLQQQSESRMKIEEEDRDPVQSWSGSRMKIWEEDTTMAQSSFQQTRKQQQQRGERITGQLEMRRKSSEINEAKNKKTSISQSETQKKKQDDTSSLNFTSNPETKKQSFPKDKTLPQRIEPGQGMQAITNISIGHADNTKLVTNSQTSSGERLTEHENNFTPALGLINERSQVHKEANSRVQQTKSRKENLKPTTVSSSWGKAREGSSFQAYLSLVSETREQQSHVDLAEPEKRSTEDVLMPPHPQAIAGGLLHDDSMTRISTEASGGTSESGSATSYLHSRGRTMFAHHESEPSKRSETYGESLNLTTHEDSLGSAQRLEESSLQFVGEFVEKARHDVVTSGVQQGSRISDFTSTYEGDKHGPDPSGQHGKEELKIKRHDSRQSSKGSGGKGPSDEMWDVMDSPVQELPEAETQGISTSGHAVIKRSGRSLWTLMGDIIRLRWSSRSQTPSSAARSAGRTSPNESVGTETWFSGHEQNENNEENLRRESSSLPSEVVSYQLGQGTQGEGDFSDSMRSTEKVRPLEGNISPSSNTLETAPASEVISLTSQKVKHDESSFEVASSGKEVVQSSLPLPAGSTRTPLVVEEISKTDKVDTKGSGSVRVMEQPVGARLAEASGSQGKEGELKQRKLQRTKQVPRDRFDEWEEAYRLEREQQKIDEMFMIEALLEAKKAADSWEVPVGAVLVQHGKIIARGRNLVEELRDSTAHAEMICIREASSILHSWRLADTTLYVTLEPCPMCAGAILQARIDTLVWGAPNKLLGADGSWIRLFPDERGGNGSEQTDKPAAPVHPFHPNMGIRRGVLASECADMMQQFFQLRRKNKGKNTEQLSSSSSSCLPITTSHRSKLFTRIHDAFHLMFCL >KJB50819 pep chromosome:Graimondii2_0_v6:8:47022377:47028038:-1 gene:B456_008G188400 transcript:KJB50819 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYSSYSISSSVLSLRSNGSLSFSFNDCSSNVFNSSLDKTPLSSSPCSSCCSSCACCCATFACATPRLPITPCFLYGLRQSALVHCSPSRRLILPAGHRCLLRFPTCDLDRAPFEVSTASMLTRKTKGRFRCVPSEESAARCLLGGVDAAEAMISLLSEEVNGECLGTAERNRSSYKIVKVSKENDYDSKCDSLKKKIKQVDKLASYGNECSNGPKQRKKLEERGGHANECYRQKTKIVGSSLLESDWKDEYESTAIESREESRRKAESKSSLTAENLRGRTKSSSCSSYYSLSSSGDLESDTELPEAEQFMEESFSGHVTESIGDEISRSEGQVTTGLKRDNGGGNSVDWDLRKKSEKKLAEVSAEEIQSGGKSSHEYARRVKNDESDYAKRSNFHDQLDVKDWQIRKGHTHIRQSESRRKNQDIREISKIHVSDVDKTSQEKHFTGGEANVEVSEIRDSAERISTLQQQSESRMKIEEEDRDPVQSWSGSRMKIWEEDTTMAQSSFQQTRKQQQQRGERITGQLEMRRKSSEINEAKNKKTSISQSETQKKKQDDTSSLNFTSNPETKKQSFPKDKTLPQRIEPGQGMQAITNISIGHADNTKLVTNSQTSSGERLTEHENNFTPALGLINERSQVHKEANSRVQQTKSRKENLKPTTVSSSWGKAREGSSFQAYLSLVSETREQQSHVDLAEPEKRSTEDVLMPPHPQAIAGGLLHDDSMTRISTEASGGTSESGSATSYLHSRGRTMFAHHESEPSKRSETYGESLNLTTHEDSLGSAQRLEESSLQFVGEFVEKARHDVVTSGVQQGSRISDFTSTYEGDKHGPDPSGQHGKEELKIKRHDSRQSSKGSGGKGPSDEMWDVMDSPVQELPEAETQGISTSGHAVIKRSGRSLWTLMGDIIRLRWSSRSQTPSSAARSAGRTSPNESVGTETWFSGHEQNENNEENLRRESSSLPSEVVSYQLGQGTQGEGDFSDSMRSTEKVRPLEGNISPSSNTLETAPASEVISLTSQKVKHDESSFEVASSGKEVVQSSLPLPAGSTRTPLVVEEISKTDKVDTKGSGSVRVMEQPVGARLAEASGSQGKEGELKQRKLQRTKQVPRDRFDEWEEAYRLEREQQKIDEMFMIEALLEAKKAADSWEVPVGAVLVQHGKIIARGRNLVEELRDSTAHAEMICIREASSILHSWRLADTTLYVTLEPCPMCAGAILQARIDTLVWGAPNKLLGADGSWIRLFPDERGGNGSEQTDKPAAPVHPFHPNMGIRRGVLASECADMMQQFFQLRRKNKGKNTEQLSSSSSSCLPITTSHRSKLFTRIHDAFHLMFCL >KJB50823 pep chromosome:Graimondii2_0_v6:8:47023231:47027732:-1 gene:B456_008G188400 transcript:KJB50823 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYSSYSISSSVLSLRSNGSLSFSFNDCSSNVFNSSLDKTPLSSSPCSSCCSSCACCCATFACATPRLPITPCFLYGLRQSALVHCSPSRRLILPAGHRCLLRFPTCDLDRAPFEVSTASMLTRKTKGRFRCVPSEESAARCLLGGVDAAEAMISLLSEEVNGECLGTAERNRSSYKIVKVSKENDYDSKCDSLKKKIKQVDKLASYGNECSNGPKQRKKLEERGGHANECYRQKTKIVGSSLLESDWKDEYESTAIESREESRRKAESKSSLTAENLRGRTKSSSCSSYYSLSSSGDLESDTELPEAEQFMEESFSGHVTESIGDEISRSEGQVTTGLKRDNGGGNSVDWDLRKKSEKKLAEVSAEEIQSGGKSSHEYARRVKNDESDYAKRSNFHDQLDVKDWQIRKGHTHIRQSESRRKNQDIREISKIHVSDVDKTSQEKHFTGGEANVEVSEIRDSAERISTLQQQSESRMKIEEEDRDPVQSWSGSRMKIWEEDTTMAQSSFQQTRKQQQQRGERITGQLEMRRKSSEINEAKNKKTSISQSETQKKKQDDTSSLNFTSNPETKKQSFPKDKTLPQRIEPGQGMQAITNISIGHADNTKLVTNSQTSSGERLTEHENNFTPALGLINERSQVHKEANSRVQQTKSRKENLKPTTVSSSWGKAREGSSFQAYLSLVSETREQQSHVDLAEPEKRSTEDVLMPPHPQAIAGGLLHDDSMTRISTEASGGTSESGSATSYLHSRGRTMFAHHESEPSKRSETYGESLNLTTHEDSLGSAQRLEESSLQFVGEFVEKARHDVVTSGVQQGSRISDFTSTYEGDKHGPDPSGQHGKEELKIKRHDSRQSSKGSGGKGPSDEMWDVMDSPVQELPEAETQGISTSGHAVIKRSGRSLWTLMGDIIRLRWSSRSQTPSSAARSAGRTSPNESVGTETWFSGHEQNENNEENLRRESSSLPSEVVSYQLGQGTQGEGDFSDSMRSTEKVRPLEGNISPSSNTLETAPASEVISLTSQKVKHDESSFEVASSGKEVVQSSLPLPAGSTRTPLVVEEISKTDKVDTKGSGSVRVMEQPVGARLAEASGSQGKEGELKQRKLQRTKQVPRDRFDEWEEAYRLEREQQKIDEMFMIEALLEAKKAADSWEVPVGAVLVQHGKIIARGRNLVEELRDSTAHAEMICIREASSILHSWRLADTTLYVTLEPCPMCAGAILQARIDTLVWGAPNKLLGADGSWIRYEL >KJB50821 pep chromosome:Graimondii2_0_v6:8:47022581:47028026:-1 gene:B456_008G188400 transcript:KJB50821 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYSSYSISSSVLSLRSNGSLSFSFNDCSSNVFNSSLDKTPLSSSPCSSCCSSCACCCATFACATPRLPITPCFLYGLRQSALVHCSPSRRLILPAGHRCLLRFPTCDLDRAPFEVSTASMLTRKTKGRFRCVPSEESAARCLLGGVDAAEAMISLLSEEVNGECLGTAERNRSSYKIVKVSKENDYDSKCDSLKKKIKQVDKLASYGNECSNGPKQRKKLEERGGHANECYRQKTKIVGSSLLESDWKDEYESTAIESREESRRKAESKSSLTAENLRGRTKSSSCSSYYSLSSSGDLESDTELPEAEQFMEESFSGHVTESIGDEISRSEGQVTTGLKRDNGGGNSVDWDLRKKSEKKLAEVSAEEIQSGGKSSHEYARRVKNDESDYAKRSNFHDQLDVKDWQIRKGHTHIRQSESRRKNQDIREISKIHVSDVDKTSQEKHFTGGEANVEVSEIRDSAERISTLQQQSESRMKIEEEDRDPVQSWSGSRMKIWEEDTTMAQSSFQQTRKQQQQRGERITGQLEMRRKSSEINEAKNKKTSISQSETQKKKQDDTSSLNFTSNPETKKQSFPKDKTLPQRIEPGQGMQAITNISIGHADNTKLVTNSQTSSGERLTEHENNFTPALGLINERSQVHKEANSRVQQTKSRKENLKPTTVSSSWGKAREGSSFQAYLSLVSETREQQSHVDLAEPEKRSTEDVLMPPHPQAIAGGLLHDDSMTRISTEASGGTSESGSATSYLHSRGRTMFAHHESEPSKRSETYGESLNLTTHEDSLGSAQRLEESSLQFVGEFVEKARHDVVTSGVQQGSRISDFTSTYEGDKHGPDPSGQHGKEELKIKRHDSRQSSKGSGGKGPSDEMWDVMDSPVQELPEAETQGISTSGHAVIKRSGRSLWTLMGDIIRLRWSSRSQTPSSAARSAGRTSPNESVGTETWFSGHEQNENNEENLRRESSSLPSEVVSYQLGQGTQGEGDFSDSMRSTEKVRPLEGNISPSSNTLETAPASEVISLTSQKVKHDESSFEVASSGKEVVQSSLPLPAGSTRTPLVVEEISKTDKVDTKGSGSVRVMEQPVGARLAEASGSQGKEGELKQRKLQRTKQVPRDRFDEWEEIIARGRNLVEELRDSTAHAEMICIREASSILHSWRLADTTLYVTLEPCPMCAGAILQARIDTLVWGAPNKLLGADGSWIRLFPDERGGNGSEQTDKPAAPVHPFHPNMGIRRGVLASECADMMQQFFQLRRKNKGKNTEQLSSSSSSCLPITTSHRSKLFTRIHDAFHLMFCL >KJB47072 pep chromosome:Graimondii2_0_v6:8:1178250:1181364:1 gene:B456_008G010100 transcript:KJB47072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSAYPNTNTNTNTNFLSPTDQSNLDRESIPPMQNHPSAEPDSDANPNFHSPLLDPPDSVPPAVAPSSLLHLSFNQDHGCFAAGTDHGFRIYNCDPFREIFRRDFDRGGGIGVVEMLFRCNILALVGGGPDPQYPPNKVMIWDDHQSRCISELSFRSEVRSVRLRRDRIIVVLEQKIFVYNFVDLKLLHQIETIANPKGLCAVSQGAGSLVLVCPGLQKGQVRVEHYASKRTKFIMAHDSRIACFALSQDGQLLATASTKGTLVRIYNTIDGSLLQEV >KJB47071 pep chromosome:Graimondii2_0_v6:8:1178224:1181364:1 gene:B456_008G010100 transcript:KJB47071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSAYPNTNTNTNTNFLSPTDQSNLDRESIPPMQNHPSAEPDSDANPNFHSPLLDPPDSVPPAVAPSSLLHLSFNQDHGCFAAGTDHGFRIYNCDPFREIFRRDFDRGGGIGVVEMLFRCNILALVGGGPDPQYPPNKVMIWDDHQSRCISELSFRSEVRSVRLRRDRIIVVLEQKIFVYNFVDLKLLHQIETIANPKGLCAVSQGAGSLVLVCPGLQKGQVRVEHYASKRTKFIMAHDSRIACFALSQDGQLLATASTKGTLVRIYNTIDGSLLQEVRRGADRAEIYSLAFSSNAQWLAVSSDKGTVHVFSLKISAGSPGTTQSRSTSEPVVSSHSSLSFIKGVLPKYFSSEWSVAQFRLVEGSQYLVAFGHQKNTVVILGIDGSFYRCQFDPVNGGEMTQLEYHNFLKPEAAF >KJB47070 pep chromosome:Graimondii2_0_v6:8:1178224:1181318:1 gene:B456_008G010100 transcript:KJB47070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSAYPNTNTNTNTNFLSPTDQSNLDRESIPPMQNHPSAEPDSDANPNFHSPLLDPPDSVPPAVAPSSLLHLSFNQDHGCFAAGTDHGFRIYNCDPFREIFRRDFDRGGGIGVVEMLFRCNILALVGGGPDPQYPPNKVMIWDDHQSRCISELSFRSEVRSVRLRRDRIIVVLEQKIFVYNFVDLKLLHQIETIANPKGLCAVSQGAGSLVLVCPGLQKGQVRVEHYASKRTKFIMAHDSRIACFALSQDGQLLATASTKGTLVRIYNTIDGSLLQEVRRGADRAEIYSLAFSSNAQWLAVSSDKGTVHVFSLKISAGSPGTTQSRSTSEPVVSSHSSLSFIKGVLPKYFSSEWSVAQFRLVEGSQYLVAFGHQKNTVVILGIDGR >KJB52966 pep chromosome:Graimondii2_0_v6:8:56154632:56158046:-1 gene:B456_008G285600 transcript:KJB52966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPSPSSKKKNKNKKRNNANIETTRPTDSAYSTDPPPPQLSPKRRRNSSPGVRLIHGRIYDSQNGKTCHQCRQKTVDFAASCKTKINGKQCTIHFCHKCLLNRYGEKAEEVALLNDWTCPRCRGICNCSFCMKKRGHQPTGVLVHAAKANGFASVSDMLHLKDSEKSGSQEPVDVAVSSKKRKAAEDEDSEVKGTGNSRKESSCKEPNGLIRANDKRIVTSNTKLIKWSKNGKEIVSEGNDLQKISPKKLKTSMEVSNKGEVINDMITAIQLIDMKVPMKCDHGEDEVLNNADPVVRNKPICRSPNLKKKNVKAKNEASDAEIVLPQGTSLNHIDGIDLPVKDVGHALQFLEFCEVFGEVLNMKKGQSQLLLKELFTGKSKRKHKLRHPSIVQFHILLLSMMQKDLGKEYPCLNKNLSEKSWVQVLGEYINDSQYPLKQPLLDCLDVGGGDKYERLNSSKKLKVLNFLCDEALSTTEFRSWIDKQNLKFVERQKKAKEKLLSQREKERNLEKEMKKKLQDEIAKAILMRNGAPLSISENEELLSRIKSEVAQTLEVARTLASTLEVSETVVDEEDEPLNPVRSEPIFWDGDGHRFWKLRSYSSETDVLLQVSTNVQILRAVI >KJB52969 pep chromosome:Graimondii2_0_v6:8:56153078:56158130:-1 gene:B456_008G285600 transcript:KJB52969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSNKGEVINDMITAIQLIDMKVPMKCDHGEDEVLNNADPVVRNKPICRSPNLKKKNVKAKNEASDAEIVLPQGTSLNHIDGIDLPVKDVGHALQFLEFCEVFGEVLNMKKGQSQLLLKELFTGKSKRKHKLRHPSIVQFHILLLSMMQKDLGKEYPCLNKNLSEKSWVQVLGEYINDSQYPLKQPLLDCLDVGGGDKYERLNSSKKLKVLNFLCDEALSTTEFRSWIDKQNLKFVERQKKAKEKLLSQREKERNLEKEMKKKLQDEIAKAILMRNGAPLSISENEELLSRIKSEVAQTLEVARTLASTLEVSETVVDEEDEPLNPVRSEPIFWDGDGHRFWKLRSYSSETDVLLQDIEGSDLVAAKEKWYTYSTEQKPIVEKYISSFRMQRK >KJB52968 pep chromosome:Graimondii2_0_v6:8:56153002:56158132:-1 gene:B456_008G285600 transcript:KJB52968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPSPSSKKKNKNKKRNNANIETTRPTDSAYSTDPPPPQLSPKRRRNSSPGVRLIHGRIYDSQNGKTCHQCRQKTVDFAASCKTKINGKQCTIHFCHKCLLNRKKRGHQPTGVLVHAAKANGFASVSDMLHLKDSEKSGSQEPVDVAVSSKKRKAAEDEDSEVKGTGNSRKESSCKEPNGLIRANDKRIVTSNTKLIKWSKNGKEIVSEGNDLQKISPKKLKTSMEVSNKGEVINDMITAIQLIDMKVPMKCDHGEDEVLNNADPVVRNKPICRSPNLKKKNVKAKNEASDAEIVLPQGTSLNHIDGIDLPVKDVGHALQFLEFCEVFGEVLNMKKGQSQLLLKELFTGKSKRKHKLRHPSIVQFHILLLSMMQKDLGKEYPCLNKNLSEKSWVQVLGEYINDSQYPLKQPLLDCLDVGGGDKYERLNSSKKLKVLNFLCDEALSTTEFRSWIDKQNLKFVERQKKAKEKLLSQREKERNLEKEMKKKLQDEIAKAILMRNGAPLSISENEELLSRIKSEVAQTLEVARTLASTLEVSETVVDEEDEPLNPVRSEPIFWDGDGHRFWKLRSYSSETDVLLQDIEGSDLVAAKEKWYTYSTEQKPIVEKYISSFRMQRK >KJB52965 pep chromosome:Graimondii2_0_v6:8:56154632:56158046:-1 gene:B456_008G285600 transcript:KJB52965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPSPSSKKKNKNKKRNNANIETTRPTDSAYSTDPPPPQLSPKRRRNSSPGVRLIHGRIYDSQNGKTCHQCRQKTVDFAASCKTKINGKQCTIHFCHKCLLNRKKRGHQPTGVLVHAAKANGFASVSDMLHLKDSEKSGSQEPVDVAVSSKKRKAAEDEDSEVKGTGNSRKESSCKEPNGLIRANDKRIVTSNTKLIKWSKNGKEIVSEGNDLQKISPKKLKTSMEVSNKGEVINDMITAIQLIDMKVPMKCDHGEDEVLNNADPVVRNKPICRSPNLKKKNVKAKNEASDAEIVLPQGTSLNHIDGIDLPVKDVGHALQFLEFCEVFGEVLNMKKGQSQLLLKELFTGKSKRKHKLRHPSIVQFHILLLSMMQKDLGKEYPCLNKNLSEKSWVQVLGEYINDSQYPLKQPLLDCLDVGGGDKYERLNSSKKLKVLNFLCDEALSTTEFRSWIDKQNLKFVERQKKAKEKLLSQREKERNLEKEMKKKLQDEIAKAILMRNGAPLSISENEELLSRIKSEVAQTLEVARTLASTLEVSETVVDEEDEPLNPVRSEPIFWDGDGHRFWKLRSYSSETDVLLQVSTNVQILRAVI >KJB52963 pep chromosome:Graimondii2_0_v6:8:56153002:56158132:-1 gene:B456_008G285600 transcript:KJB52963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESMILRTARLVTRQKTVDFAASCKTKINGKQCTIHFCHKCLLNRYGEKAEEVALLNDWTCPRCRGICNCSFCMKKRGHQPTGVLVHAAKANGFASVSDMLHLKDSEKSGSQEPVDVAVSSKKRKAAEDEDSEVKGTGNSRKESSCKEPNGLIRANDKRIVTSNTKLIKWSKNGKEIVSEGNDLQKISPKKLKTSMEVSNKGEVINDMITAIQLIDMKVPMKCDHGEDEVLNNADPVVRNKPICRSPNLKKKNVKAKNEASDAEIVLPQGTSLNHIDGIDLPVKDVGHALQFLEFCEVFGEVLNMKKGQSQLLLKELFTGKSKRKHKLRHPSIVQFHILLLSMMQKDLGKEYPCLNKNLSEKSWVQVLGEYINDSQYPLKQPLLDCLDVGGGDKYERLNSSKKLKVLNFLCDEALSTTEFRSWIDKQNLKFVERQKKAKEKLLSQREKERNLEKEMKKKLQDEIAKAILMRNGAPLSISENEELLSRIKSEVAQTLEVARTLASTLEVSETVVDEEDEPLNPVRSEPIFWDGDGHRFWKLRSYSSETDVLLQDIEGSDLVAAKEKWYTYSTEQKPIVEKYISSFRMQRK >KJB52967 pep chromosome:Graimondii2_0_v6:8:56153002:56158237:-1 gene:B456_008G285600 transcript:KJB52967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPSPSSKKKNKNKKRNNANIETTRPTDSAYSTDPPPPQLSPKRRRNSSPGVRLIHGRIYDSQNGKTCHQCRQKTVDFAASCKTKINGKQCTIHFCHKCLLNRYGEKAEEVALLNDWTCPRCRGICNCSFCMKKRGHQPTGVLVHAAKANGFASVSDMLHLKDSEKSGSQEPVDVAVSSKKRKAAEDEDSEVKGTGNSRKESSCKEPNGLIRANDKRIVTSNTKLIKWSKNGKEIVSEGNDLQKISPKKLKTSMEVSNKGEVINDMITAIQLIDMKVPMKCDHGEDEVLNNADPVVRNKPICRSPNLKKKNVKAKNEASDAEIVLPQGTSLNHIDGIDLPVKDVGHALQFLEFCEVFGEVLNMKKGQSQLLLKELFTGKSKRKHKLRHPSIVQFHILLLSMMQKDLGKEYPCLNKNLSEKSWVQVLGEYINDSQYPLKQPLLDCLDVGGGDKYERLNSSKKLKVLNFLCDEALSTTEFRSWIDKQNLKFVERQKKAKEKLLSQREKERNLEKEMKKKLQDEIAKAILMRNGAPLSISENEELLSRIKSEVAQTLEVARTLASTLEVSETVVDEEDEPLNPVRSEPIFWDGDGHRFWKLRSYSSETDVLLQDIEGSDLVAAKEKWYTYSTEQKPIVEKYISSFRMQRK >KJB52964 pep chromosome:Graimondii2_0_v6:8:56154632:56157874:-1 gene:B456_008G285600 transcript:KJB52964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESMILRTARLVTRQKTVDFAASCKTKINGKQCTIHFCHKCLLNRYGEKAEEVALLNDWTCPRCRGICNCSFCMKKRGHQPTGVLVHAAKANGFASVSDMLHLKDSEKSGSQEPVDVAVSSKKRKAAEDEDSEVKGTGNSRKESSCKEPNGLIRANDKRIVTSNTKLIKWSKNGKEIVSEGNDLQKISPKKLKTSMEVSNKGEVINDMITAIQLIDMKVPMKCDHGEDEVLNNADPVVRNKPICRSPNLKKKNVKAKNEASDAEIVLPQGTSLNHIDGIDLPVKDVGHALQFLEFCEVFGEVLNMKKGQSQLLLKELFTGKSKRKHKLRHPSIVQFHILLLSMMQKDLGKEYPCLNKNLSEKSWVQVLGEYINDSQYPLKQPLLDCLDVGGGDKYERLNSSKKLKVLNFLCDEALSTTEFRSWIDKQNLKFVERQKKAKEKLLSQREKERNLEKEMKKKLQDEIAKAILMRNGAPLSISENEELLSRIKSEVAQTLEVARTLASTLEVSETVVDEEDEPLNPVRSEPIFWDGDGHRFWKLRSYSSETDVLLQVSTNVQILRAVI >KJB48538 pep chromosome:Graimondii2_0_v6:8:13454469:13457513:1 gene:B456_008G074400 transcript:KJB48538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKKGKAKPTLISLSSSEEEDETEEEDGDDDDYEDKSFSSSSGDETEEEEEEEEEEENDSDDNDRTENDDSLCNGVITLLKEGGDLESLSLKQLKAYLRNHGLRITGTKAVCQQRILEHWRIKDGRAEALYPRSSFFINCTGDVCKGDVVLFTQKVYKKFNKMTRRGKLLGKRTIAGRVVKESYGKAKQQHTFTVEVLWSKGSKKLPPLFPLLVKGRNLYNLKTYRRHWSDEAERKHVLAEKHKRGNAARLVKAMKRTRKWSTDVGTKCQKHSHQSRPSKKRKTTEPDRGKINNPRRKTPIPRCPSMGNNYQVSSPVGKVKKKQNSRLRVSNTSYSYEKPVHFAKDTGAFHHSYAGTILNPYQPQRNFNHQSAPHGFSSYNIGSTSTMVRSLPFRPYVDPWTIPASQNQQFN >KJB48537 pep chromosome:Graimondii2_0_v6:8:13454469:13457513:1 gene:B456_008G074400 transcript:KJB48537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKKGKAKPTLISLSSSEEEDETEEEDGDDDDYEDKSFSSSSGDETEEEEEEEEEEENDSDDNDRTENDDSLCNGVITLLKEGGDLESLSLKQLKAYLRNHGLRITGTKAVCQQRILEHWRIKDGRAEALYPRSSFFINCTGDVCKGDVVLFTQKVYKKFNKMTRRGKLLGKRTIAGRVVKESYGKAKQQHTFTVEVLWSKGSKKLPPLFPLLVKGRNLYNLKTYRRHWSDEAERKHVLAEKHKRGNAARLVKAMKRTRKWSTDVGTKCQKHSHQSRPSKKRKTTEPDRGKINNPRRKTPIPRCPSMGNNYQVSSPVGKVKKKQNSRLRVSNTSYSYEKPVHFAKDTGAFHHSYAGTILNPYQPQRNFNHQSAPHGFSSYNIGSTSTMVRSLPFRPYVDPWTIPASQNQQFN >KJB48536 pep chromosome:Graimondii2_0_v6:8:13454469:13457513:1 gene:B456_008G074400 transcript:KJB48536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKKGKAKPTLISLSSSEEEDETEEEDGDDDDYEDKSFSSSSGDETEEEEEEEEEEENDSDDNDRTENDDSLCNGVITLLKEGGDLESLSLKQLKAYLRNHGLRITGTKAVCQQRILEHWRIKDGRAEALYPRSSFFINCTGDVCKGDVVLFTQKVYKKFNKMTRRGKLLGKRTIAGRVVKESYGKAKQQHTFTVEVLWSKGSKKLPPLFPLLVKGRNLYNLKTYRRHWSDEAERKHVLAEKHKRGNAARLVKAMKRTRKWSTDVGTKCQKHSHQSRPSKKRKTTEPDRGKINNPRRKTPIPRCPSMGNNYQVSSPVGKVKKKQNSRLRVSNTSYSYEKPVHFAKDTGAFHHSYAGTILNPYQPQRNFNHQSAPHGFSSYNIGSTSTMVRSLPFRPYVDPWTIPASQNQQFN >KJB48535 pep chromosome:Graimondii2_0_v6:8:13454469:13457045:1 gene:B456_008G074400 transcript:KJB48535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKKGKAKPTLISLSSSEEEDETEEEDGDDDDYEDKSFSSSSGDETEEEEEEEEEEENDSDDNDRTENDDSLCNGVITLLKEGGDLESLSLKQLKAYLRNHGLRITGTKAVCQQRILEHWRIKDGRAEALYPRSSFFINCTGDVCKGDVVLFTQKVYKKFNKMTRRGKLLGKRTIAGRVVKESYGKAKQQHTFTVEVLWSKGSKKLPPLFPLLVKGRNLYNLKTYRRHWSDEAERKHVLAEKHKRGNAARLVKAMKRTRKWSTDVGMLESEMVKVQNAKSIHINQDHRKKEKLLNQTGERLITHEEKPLFQGVQVWVTITKCLLQLEK >KJB46693 pep chromosome:Graimondii2_0_v6:8:35541170:35545324:1 gene:B456_008G1193001 transcript:KJB46693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIRRLQMELNRQEDESTDDTQDNLKRAIATLEKENTHLKMEKNELEAALESSRKPLTGKIDPNASETLKLDSSGSSPRMQEMELSLQQMEKDLKETCRERDKALQELSRLKQHLLEKESEESEKMDEDSKIIEELRESNEYQRAQISRLEKALKLAMAGQEEAKMTNNNELQKSKEIIDDLNKKLANCMRTIDAKNVELLNLQTALGQYYAEIEAKEHLERDLALAREESSRLSGLLKDADQQVELSKREKEEILAKLLQTERMLAEGKARVNKLEEDNSKLRRALEHSMTRLNRMSMDSDYLVDRRIVIKLLVTYFQRNHSKEVLELMVRMLGFSDEDKQRIGIAQQGTGKGVVRGVLGLPGRLVGGILGGGSADVPASIAPDNQSIADLWVDFLLKETEEREKRAEDASKSNEDLNGRNPNATGPTTSATDQTTGGSGFSRSSFSPSPTPSVGNLRQYEHSDSEFSTVPLTTSEGSGRLSRLLPKY >KJB46691 pep chromosome:Graimondii2_0_v6:8:35539108:35543527:1 gene:B456_008G1193001 transcript:KJB46691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VERYRAEIKKLQESEAEIKALSFNYAALLKEKEEQILRLNQENGSLKQNLNATNAALSAARSESSKVSSNGINAPKGNGDQSPHQLRKSASLVKNRHGGNQMSNGLTSKHDGREKELADLLEEKNRSLEAVQASHEQQIKQFKMELEKERDKLVNVQMRLQEEHKQNESFQEELKLLKSEKDKTFTELSKLRSELNGKMVEIRRLQMELNRQEDESTDDTQDNLKRAIATLEKENTHLKMEKNELEAALESSRKPLTGKIDPNASETLKLDSSGSSPRMQEMELSLQQMEKDLKETCRERDKALQELSRLKQHLLEKESEESEKMDEDSKIIEELRESNEYQRAQISRLEKALKLAMAGQEEAKMTNNNELQKSKEIIDDLNKKLANCMRTIDAKNVELLNLQTALGQYYAEIEAKEHLERDLALAREESSRLSGLLKDADQQVELSKREKEEILAKLLQTERMLAEGKARVNKLEEDNSKLRRALEHSMTRLNRMSMDSDYLVDRLACLDFESYSYYEELSTF >KJB46689 pep chromosome:Graimondii2_0_v6:8:35539108:35545324:1 gene:B456_008G1193001 transcript:KJB46689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VERYRAEIKKLQESEAEIKALSFNYAALLKEKEEQILRLNQENGSLKQNLNATNAALSAARSESSKVSSNGINAPKGNGDQSPHQLRKSASLVKNRHGGNQMSNGLTSKHDGREKELADLLEEKNRSLEAVQASHEQQIKQFKMELEKERDKLVNVQMRLQEEHKQNESFQEELKLLKSEKDKTFTELSKLRSELNGKMVEIRRLQMELNRQEDESTDDTQDNLKRAIATLEKENTHLKMEKNELEAALESSRKPLTGKIDPNASETLKLDSSGSSPRMQEMELSLQQMEKDLKETCRERDKALQELSRLKQHLLEKESEESEKMDEDSKIIEELRESNEYQRAQISRLEKALKLAMAGQEEAKMTNNNELQKSKEIIDDLNKKLANCMRTIDAKNVELLNLQTALGQYYAEIEAKEHLERDLALAREESSRLSGLLKDADQQVELSKREKEEILAKLLQTERMLAEGKARVNKLEEDNSKLRRALEHSMTRLNRMSMDSDYLVDRRIVIKLLVTYFQRNHSKEMKTSSG >KJB46692 pep chromosome:Graimondii2_0_v6:8:35539107:35545350:1 gene:B456_008G1193001 transcript:KJB46692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VERYRAEIKKLQESEAEIKALSFNYAALLKEKEEQILRLNQENGSLKQNLNATNAALSAARSESSKVSSNGINAPKGNGDQSPHQLRKSASLVKNRHGGNQMSNGLTSKHDGREKELADLLEEKNRSLEAVQASHEQQIKQFKMELEKERDKLVNVQMRLQEEHKQNESFQEELKLLKSEKDKTFTELSKLRSELNGKMVEIRRLQMELNRQEDESTDDTQDNLKRAIATLEKENTHLKMEKNELEAALESSRKPLTGKIDPNASETLKLDSSGSSPRMQEMELSLQQMEKDLKETCRERDKALQELSRLKQHLLEKESEESEKMDEDSKIIEELRESNEYQRAQISRLEKALKLAMAGQEEAKMTNNNELQKSKEIIDDLNKKLANCMRTIDAKNVELLNLQTALGQYYAEIEAKEHLERDLALAREESSRLSGLLKDADQQVELSKREKEEILAKLLQTERMLAEGKARVNKLEEDNSKLRRALEHSMTRLNRMSMDSDYLVDRRIVIKLLVTYFQRNHSKEVLELMVRMLGFSDEDKQRIGIAQQGTGKGVVRGVLGLPGRLVGGILGGGSADVPASIAPDNQSIADLWVDFLLKETEEREKRAEDASKSNEDLNGRNPNATGPTTSATDQTTGGSGFSRSSFSPSPTPSVGNLRQYEHSDSEFSTVPLTTSEGSGRLSRLLPKY >KJB46687 pep chromosome:Graimondii2_0_v6:8:35539108:35545324:1 gene:B456_008G1193001 transcript:KJB46687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGLTSKHDGREKELADLLEEKNRSLEAVQASHEQQIKQFKMELEKERDKLVNVQMRLQEEHKQNESFQEELKLLKSEKDKTFTELSKLRSELNGKMVEIRRLQMELNRQEDESTDDTQDNLKRAIATLEKENTHLKMEKNELEAALESSRKPLTGKIDPNASETLKLDSSGSSPRMQEMELSLQQMEKDLKETCRERDKALQELSRLKQHLLEKESEESEKMDEDSKIIEELRESNEYQRAQISRLEKALKLAMAGQEEAKMTNNNELQKSKEIIDDLNKKLANCMRTIDAKNVELLNLQTALGQYYAEIEAKEHLERDLALAREESSRLSGLLKDADQQVELSKREKEEILAKLLQTERMLAEGKARVNKLEEDNSKLRRALEHSMTRLNRMSMDSDYLVDRRIVIKLLVTYFQRNHSKEVLELMVRMLGFSDEDKQRIGIAQQGTGKGVVRGVLGLPGRLVGGILGGGSADVPASIAPDNQSIADLWVDFLLKETEEREKRAEDASKSNEDLNGRNPNATGPTTSATDQTTGGSGFSRSSFSPSPTPSVGNLRQYEHSDSEFSTVPLTTSEGSGRLSRLLPKY >KJB46688 pep chromosome:Graimondii2_0_v6:8:35539108:35545324:1 gene:B456_008G1193001 transcript:KJB46688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VERYRAEIKKLQESEAEIKALSFNYAALLKEKEEQILRLNQENGSLKQNLNATNAALSAARSESSKVSSNGINAPKVGNGDQSPHQLRKSASLVKNRHGGNQMSNGLTSKHDGREKELADLLEEKNRSLEAVQASHEQQIKQFKMELEKERDKLVNVQMRLQEEHKQNESFQEELKLLKSEKDKTFTELSKLRSELNGKMVEIRRLQMELNRQEDESTDDTQDNLKRAIATLEKENTHLKMEKNELEAALESSRKPLTGKIDPNASETLKLDSSGSSPRMQEMELSLQQMEKDLKETCRERDKALQELSRLKQHLLEKESEESEKMDEDSKIIEELRESNEYQRAQISRLEKALKLAMAGQEEAKMTNNNELQKSKEIIDDLNKKLANCMRTIDAKNVELLNLQTALGQYYAEIEAKEHLERDLALAREESSRLSGLLKDADQQVELSKREKEEILAKLLQTERMLAEGKARVNKLEEDNSKLRRALEHSMTRLNRMSMDSDYLVDRRIVIKLLVTYFQRNHSKEVLELMVRMLGFSDEDKQRIGIAQQGTGKGVVRGVLGLPGRLVGGILGGGSADVPASIAPDNQSIADLWVDFLLKETEEREKRAEDASKSNEDLNGRNPNATGPTTSATDQTTGGSGFSRSSFSPSPTPSVGNLRQYEHSDSEFSTVPLTTSEGSGRLSRLLPKY >KJB46690 pep chromosome:Graimondii2_0_v6:8:35539108:35545350:1 gene:B456_008G1193001 transcript:KJB46690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VERYRAEIKKLQESEAEIKALSFNYAALLKEKEEQILRLNQENGSLKQNLNATNAALSAARSESSKVSSNGINAPKGNGDQSPHQLRKSASLVKNRHGGNQMSNGLTSKHDGREKELADLLEEKNRSLEAVQASHEQQIKQFKMELEKERDKLVNVQMRLQEEHKQNESFQEELKLLKSEKDKTFTELSKLRSELNGKMVEIRRLQMELNRQEDESTDDTQDNLKRAIATLEKENTHLKMEKNELEAALESSRKPLTGKIDPNASETLKLDSSGSSPRMQEMELSLQQMEKDLKETCRERDKALQELSRLKQHLLEKESEESEKMDEDSKIIEELRESNEYQRAQISRLEKALKLAMAGQEEAKMTNNNELQKSKEIIDDLNKKLANCMRTIDAKNVELLNLQTALGQYYAEIEAKEHLERDLALAREESSRLSGLLKDADQQVELSKREKEEILAKLLQTERMLAEGKARVNKLEEDNSKLRRALEHSMTRLNRMSMDSDYLVDRRIVIKLLVTYFQRNHSKEVLELMVRMLGFSDEDKQRIGIAQQGTGKGVVRGVLGLPGRLVGGILGGGSADVPASIAPDNQSIADLWVDFLLKETEEREKRAEDASKSNEDLNGRNPNATGPTTSATDQTTGGSGFSRSSFSPSPTPSVGNLRQYEHSDSEFSTVPLTTSEGSGRLSRLLPKY >KJB48003 pep chromosome:Graimondii2_0_v6:8:7044401:7045517:-1 gene:B456_008G049900 transcript:KJB48003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVIFLITNSSLNNISTAIPLTNELMHHKPQIPYDSTMIYEYNNITVTSVNIIAKIFKSYILHFSPTLHPLHRITSMPYNGSYIINPNTLHKSKRMCRYVTKLN >KJB50612 pep chromosome:Graimondii2_0_v6:8:45574634:45579790:1 gene:B456_008G179300 transcript:KJB50612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTCGGGSPAQGSNEKGSSTSSVDKQRDKARVSRTSLILWHAHQNDAAAVRKLLEEDRSLVQARDYDNRTPLHVASLHGWIDVAKCLIDYGADVNAQDRWKNTPLADAEGAKKHDMIELLKSHGGLSYGQNGSHFESKPVPPPLPNKCDWEIDPSELDFSNSNIIGKGSFGEILKASWRGTPVAVKRILPSLSDDRLVIQDFRHEVKLLVKLRHPNIVQFLGAVTDRKPLMLITEYLRGGDLHQHLKEKGALHPSTAINFALDIARGMAYLHTEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYQMLEGDPPLSNYEPYEAAKYVADGHRPIFRSKSYLPELRDLTSQCWAADMNQRPSFLDILKRLEKIKENVP >KJB50613 pep chromosome:Graimondii2_0_v6:8:45574623:45579790:1 gene:B456_008G179300 transcript:KJB50613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTCGGGSPAQGSNEKGSSTSSVDKQRDKARVSRTSLILWHAHQNDAAAVRKLLEEDRSLVQARDYDNRTPLHVASLHGWIDVAKCLIDYGADVNAQDRWKNTPLADAEGAKKHDMIELLKSHGGLSYGQNGSHFESKPVPPPLPNKCDWEIDPSELDFSNSNIIGKGSFGEILKASWRGTPVAVKRILPSLSDDRLVIQDFRHEVKLLVKLRHPNIVQFLGAVTDRKPLMLITEYLRGGDLHQHLKEKGALHPSTAINFALDIARGMAYLHTEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYQMLEGDPPLSNYEPYEAAKYVADGHRPIFRSKSYLPELRDLTSQCWAADMNQRPSFLDILKRLEKIKENVP >KJB50614 pep chromosome:Graimondii2_0_v6:8:45574593:45579790:1 gene:B456_008G179300 transcript:KJB50614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTCGGGSPAQGSNEKGSSTSSVDKQRDKARVSRTSLILWHAHQNDAAAVRKLLEEDRSLVQARDYDNRTPLHVASLHGWIDVAKCLIDYGADVNAQDRWKNTPLADAEGAKKHDMIELLKSHGGLSYGQNGSHFESKPVPPPLPNKCDWEIDPSELDFSNSNIIGKGSFGEILKASWRGTPVAVKRILPSLSDDRLVIQDFRHEVKLLVKLRHPNIVQFLGAVTDRKPLMLITEYLRGGDLHQHLKEKGALHPSTAINFALDIARGMAYLHTEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYQMLEGDPPLSNYEPYEAAKYVADGHRPIFRSKSYLPELRDLTSQCWAADMNQRPSFLDILKRLEKIKENVP >KJB50615 pep chromosome:Graimondii2_0_v6:8:45574650:45579790:1 gene:B456_008G179300 transcript:KJB50615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTCGGGSPAQGSNEKGSSTSSVDKQRDKARVSRTSLILWHAHQNDAAAVRKLLEEDRSLVQARDYDNRTPLHVASLHGWIDVAKCLIDYGADVNAQDRWKNTPLADAEGAKKHDMIELLKSHGGLSYGQNGSHFESKPVPPPLPNKCDWEIDPSELDFSNSNIIGKGSFGEILKASWRGTPVAVKRILPSLSDDRLVIQDFRHEVKLLVKLRHPNIVQFLGAVTDRKPLMLITEYLRGGDLHQHLKEKGALHPSTAINFALDIARGMAYLHTEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYQMLEGDPPLSNYEPYEAAKYVADGHRPIFRSKSYLPELRDLTSQCWAADMNQRPSFLDILKRLEKIKENVP >KJB52399 pep chromosome:Graimondii2_0_v6:8:54126184:54132378:1 gene:B456_008G259900 transcript:KJB52399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPDSGCVMENGASCLPCTPEEEKKIVTDLRNESERNLKEGNLYFVISSSWFRKWERYVGIDGDENLIGNQSSDSRHINGASSVVAERPGPIDNSDIVENGSDSDGKGNDIQLRRMLIEGQDYVLVPQGVWEKLHGWYKGGPELPRKMILQGVYHKKFDVEVYPLCLKLVDSRDESQSTIWLSRKASLTELFQKVCALKGIEQDKVRIWDYFNKRKYAQLYVSNKTLEESNLQMDQDILLELVDGHQSSKIGMDSTGNDLALVSLEPSRSPLTIAGGPTLSNGHSGYRSNLYPGSSLGSGLTDMDDGFDACNSARKGEKGGLAGLQNLGNTCFMNSALQCLVHTPPLVEYFLKDCRAEINTDNPLGMHGELALAFGDLLRKLWSSGRTAIAPRVFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDSDGRPDEEVAAECWKNHKARNDSVIVDVCQGQYKSTLVCPVCSKISITFDPFMYLSLPLPSTITRTMTVTVFYGDGSRLPMPFTVSVLKNGFCKDLLLALGTACGLKSDESLMLAEVYENKIYRYLEMPLEPLSSIKDDEHIVAFRFQKKEIGKTRLVIFHRWQEKAELFGTPLVTYLVADQSSGADIEAAVSKVLSPFKKISSAKAHVGKENGILLDGLDEECSCSDAQSVENAELEGTSCTDLSIPLRLTDDRVMNFNAFKKDTLFESGKIIGKLLRVVLDWTDKEQELYDSSYLKDIPEVYKAGLAAKKTQQEAISLSSCLDAFLIEEPLGPDDMWYCPRCKEHRQAIKKLDLWMLPEIIVFHLKRFTYGRYLKNKIDTFVNFPIHDLDLSKYVMSKDGQSYLYELYAISNHYGGLGGGHYTAYAKVN >KJB52397 pep chromosome:Graimondii2_0_v6:8:54125706:54132470:1 gene:B456_008G259900 transcript:KJB52397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPDSGCVMENGASCLPCTPEEEKKIVTDLRNESERNLKEGNLYFVISSSWFRKWERYVGIDGDENLIGNQSSDSRHINGASSVVAERPGPIDNSDIVENGSDSDGKGNDIQLRRMLIEGQDYVLVPQGVWEKLHGWYKGGPELPRKMILQGVYHKKFDVEVYPLCLKLVDSRDESQSTIWLSRKASLTELFQKVCALKGIEQDKVRIWDYFNKRKYAQLYVSNKTLEESNLQMDQDILLELVDGHQSSKIGMDSTGNDLALVSLEPSRSPLTIAGGPTLSNGHSGYRSNLYPGSSLGSGLTDMDDGFDACNSARKGEKGGLAGLQNLGNTCFMNSALQCLVHTPPLVEYFLKDCRAEINTDNPLGMHGELALAFGDLLRKLWSSGRTAIAPRVFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDSDGRPDEEVAAECWKNHKARNDSVIVDVCQGQYKSTLVCPVCSKISITFDPFMYLSLPLPSTITRTMTVTVFYGDGSRLPMPFTVSVLKNGFCKDLLLALGTACGLKSDESLMLAEVYENKIYRYLEMPLEPLSSIKDDEHIVAFRFQKKEIGKTRLVIFHRWQEKAELFGTPLVTYLVADQSSGADIEAAVSKVLSPFKKISSAKAHVGKENGILLDGLDEECSCSDAQSVENAELEGTSCTDLSIPLRLTDDRVMNFNAFKKDTLFESGKIIGKLLRVVLDWTDKEQELYDSSYLKDIPEVYKAGLAAKKTQQEAISLSSCLDAFLIEEPLGPDDMWYCPRCKEHRQAIKKLDLWMLPEIIVFHLKRFTYGRYLKNKIDTFVNFPIHDLDLSKYVMSKDGQSYLYELYAISNHYGGLGGGHYTAYAKLIDENRWYHFDDSHVSAVNESDIKTSAAYLLFYKRVRSESKVEAGEASHSHSIS >KJB52398 pep chromosome:Graimondii2_0_v6:8:54126084:54132378:1 gene:B456_008G259900 transcript:KJB52398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGASCLPCTPEEEKKIVTDLRNESERNLKEGNLYFVISSSWFRKWERYVGIDGDENLIGNQSSDSRHINGASSVVAERPGPIDNSDIVENGSDSDGKGNDIQLRRMLIEGQDYVLVPQGVWEKLHGWYKGGPELPRKMILQGVYHKKFDVEVYPLCLKLVDSRDESQSTIWLSRKASLTELFQKVCALKGIEQDKVRIWDYFNKRKYAQLYVSNKTLEESNLQMDQDILLELVDGHQSSKIGMDSTGNDLALVSLEPSRSPLTIAGGPTLSNGHSGYRSNLYPGSSLGSGLTDMDDGFDACNSARKGEKGGLAGLQNLGNTCFMNSALQCLVHTPPLVEYFLKDCRAEINTDNPLGMHGELALAFGDLLRKLWSSGRTAIAPRVFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDSDGRPDEEVAAECWKNHKARNDSVIVDVCQGQYKSTLVCPVCSKISITFDPFMYLSLPLPSTITRTMTVTVFYGDGSRLPMPFTVSVLKNGFCKDLLLALGTACGLKSDESLMLAEVYENKIYRYLEMPLEPLSSIKDDEHIVAFRFQKKEIGKTRLVIFHRWQEKAELFGTPLVTYLVADQSSGADIEAAVSKVLSPFKKISSAKAHVGKENGILLDGLDEECSCSDAQSVENAELEGTSCTDLSIPLRLTDDRVMNFNAFKKDTLFESGKIIGKLLRVVLDWTDKEQELYDSSYLKDIPEVYKAGLAAKKTQQEAISLSSCLDAFLIEEPLGPDDMWYCPRCKEHRQAIKKLDLWMLPEIIVFHLKRFTYGRYLKNKIDTFVNFPIHDLDLSKYVMSKDGQSYLYELYAISNHYGGLGGGHYTAYAKLIDENRWYHFDDSHVSAVNESDIKTSAAYLLFYKRVRSESKVEAGEASHSHSIS >KJB52623 pep chromosome:Graimondii2_0_v6:8:54948050:54951538:-1 gene:B456_008G270500 transcript:KJB52623 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigE, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24120) UniProtKB/Swiss-Prot;Acc:Q9ZNX9] MGVVSVSSSAARTPVGLGTKFSTQRCTFRRPCIVAFKADKSNNTALVTLRDHNLLPVETAKEHPKRRGKAKKASKTVNRDFTDEGSPYTVDVDYNEAAAKLENIYKLSPSTQTFDEKGSEGETKGRQLRRKRSKESDGKADNGDDKIVVRSQTKKNRRLGLDKRIELKKNREEKSVVSGQSKKGISNESEKIDRLVRDYSASTDLVSLDWKKMKMPPVLPSTEHTWLFKLMQPMKALLEAKENLQKDLGRDPTEDELAEATNSSAAQVRRQLEVGRAARNKLIKHNLRLVLFVIKKYFQDFANGPRFQDLCQAGVKGLITAIDRFEPRRRFRLSTYGLFWIRHAIIRSMTLSSFTRVSFGLESVRVEIQRAKLELLFELHREPTDDEVIKRVGISPERYQEVMRASKPVASLHLRHSVTQEEFISGITDVDGVGGDHRRQPALLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLSISREMVRKHEVKALMKLKHPARVDYLRRYVV >KJB52622 pep chromosome:Graimondii2_0_v6:8:54948050:54951248:-1 gene:B456_008G270500 transcript:KJB52622 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigE, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24120) UniProtKB/Swiss-Prot;Acc:Q9ZNX9] MNLLHVSCTAADARVCLVAVFCLNFAKRQFEMGVVSVSSSAARTPVGLGTKFSTQRCTFRRPCIVAFKADKSNNTALVTLRDHNLLPVETAKEHPKRRGKAKKASKTVNRDFTDEGSPYTVDVDYNEAAAKLENIYKLSPSTQTFDEKGSEGETKGRQLRRKRSKESDGKADNGDDKIVVRSQTKKNRRLGLDKRIELKKNREEKSVVSGQSKKGISNESEKIDRLVRDYSASTDLVSLDWKKMKMPPVLPSTEHTWLFKLMQPMKALLEAKENLQKDLGRDPTEDELAEATNSSAAQVRRQLEVGRAARNKLIKHNLRLVLFVIKKYFQDFANGPRFQDLCQAGVKGLITAIDRFEPRRRFRLSTYGLFWIRHAIIRSMTLSSFTRVSFGLESVRVEIQRAKLELLFELHREPTDDEVIKRVGISPERYQEVMRASKPVASLHLRHSVTQEEFISGITDVDGVGGDHRRQPALLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLSISREMVRKHEVKALMKLKHPARVDYLRRYVV >KJB52624 pep chromosome:Graimondii2_0_v6:8:54948050:54951354:-1 gene:B456_008G270500 transcript:KJB52624 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigE, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24120) UniProtKB/Swiss-Prot;Acc:Q9ZNX9] MGVVSVSSSAARTPVGLGTKFSTQRCTFRRPCIVAFKADKSNNTALVTLRDHNLLPVETAKEHPKRRGKAKKASKTVNRDFTDEGSPYTVDVDYNEAAAKLENIYKLSPSTQTFDEKGSEGETKGRQLRRKRSKESDGKADNGDDKIVVRSQTKKNRRLGLDKRIELKKNREEKSVVSGQSKKGISNESEKIDRLVRDYSASTDLVSLDWKKMKMPPVLPSTEHTWLFKLMQPMKALLEAKENLQKDLGRDPTEDELAEATNSSAAQVRRQLEVGRAARNKLIKHNLRLVLFVIKKYFQDFANGPRFQDLCQAGVKGLITAIDRFEPRRRFRLSTYGLFWIRHAIIRSMTLSSFTRVSFGLESVRVEIQRAKLELLFELHREPTDDEVIKRVGISPERYQEVMRASKPVASLHLRHSVTQEEFISGITDVDGVGGDHRRQPALLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLSISREMVRKHEVKALMKLKHPARVDYLRRYVV >KJB49517 pep chromosome:Graimondii2_0_v6:8:36168041:36171801:-1 gene:B456_008G123600 transcript:KJB49517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSDYRNLYNHENIFVSDHGGGAGNNWASGYHQGKGVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLEALNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTVNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQSKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPGHSLTAEGNASGTLDPKLEV >KJB49518 pep chromosome:Graimondii2_0_v6:8:36167408:36171962:-1 gene:B456_008G123600 transcript:KJB49518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSDYRNLYNHENIFVSDHGGGAGNNWASGYHQGKGVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLEALNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTVNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQSKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPGHSLTAEGNASGTLDPKLEV >KJB48571 pep chromosome:Graimondii2_0_v6:8:13820942:13823471:-1 gene:B456_008G075300 transcript:KJB48571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDAIEASSPPCQSPSNFSSFNQLRHFYVAVDRLHFKMETLIDLLGVAGRRPCLPIVVCCNSRDELDALCSAVSDLPYISLSCLFSDQAEAERGLFLEKFREATMKWSQHVAVETGDGHEIDKEEQKSCMIVVTDACLPLLASGESPMSARVLINYELPTKKETYMRRLTSCLAAVTLKSLEESSGLIIAEMPINISEIL >KJB48574 pep chromosome:Graimondii2_0_v6:8:13821720:13823371:-1 gene:B456_008G075300 transcript:KJB48574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDAIEASSPPCQSPSNFSSFNQLRHFYVAVDRLHFKMETLIDLLGVAGRRPCLPIVVCCNSRDELDALCSAVSDLPYISLSCLFSDQAEAERGLFLEKFREATMKWSQHVAVETGDGHEIDKEEQKSCMIVVTDACLPLLASGESPMSARVLINYELPTKKETYMRRLTSCLAADGIVINMVVGGEVVTLKSLEESSGLIIAEMPINVSTVLACVLCFTDL >KJB48572 pep chromosome:Graimondii2_0_v6:8:13821079:13823471:-1 gene:B456_008G075300 transcript:KJB48572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPSAPPYRISLTFPCPVCDQAEAERGLFLEKFREATMKWSQHVAVETGDGHEIDKEEQKSCMIVVTDACLPLLASGESPMSARVLINYELPTKKETYMRRLTSCLAADGIVINMVVGGEVVTLKSLEESSGLIIAEMPINISEIL >KJB48576 pep chromosome:Graimondii2_0_v6:8:13821079:13823471:-1 gene:B456_008G075300 transcript:KJB48576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDAIEASSPPCQSPSNFSSFNQLRHFYVAVDRLHFKMETLIDLLGVAGRRPCLPIVVCCNSRDELDALCSAVSDLPYISLSCLPSICQFSDQAEAERGLFLEKFREATMKWSQHVAVETGDGHEIDKEEQKSCMIVVTDACLPLLASGESPMSARVLINYELPTKKETYMRRLTSCLAADGIVINMVVGGEVVTLKSLEESSGLIIAEMPINISEIL >KJB48573 pep chromosome:Graimondii2_0_v6:8:13820942:13823496:-1 gene:B456_008G075300 transcript:KJB48573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDAIEASSPPCQSPSNFSSFNQLRHFYVAVDRLHFKMETLIDLLGVAGRRPCLPIVVCCNSRDELDALCSAVSDLPYISLSCLFSDQAEAERGLFLEKFREATMKWSQHVAVETGDGHEIDKEEQKSCMIVVTDACLPLLASGESPMSARVLINYELPTKKETYMRRLTSCLAADGIVINMVVGGEVVTLKSLEESSGLIIAEMPINISEIL >KJB48569 pep chromosome:Graimondii2_0_v6:8:13820640:13823488:-1 gene:B456_008G075300 transcript:KJB48569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDAIEASSPPCQSPSNFSSFNQLRHFYVAVDRLHFKMETLIDLLGVAGRRPCLPIVVCCNSRDELDALCSAVSDLPYISLSCLFSDQAEAERGLFLEKFREATMKWSQHVAVETGDGHEIDKEEQKSCMIVVTDACLPLLASGESPMSARVLINYELPTKKETYMRRLTSCLAADGIVINMVVGGEVVTLKSLEESSGLIIAEMPINCRDYIYSFCKVQGLVIEFNLRNICLLS >KJB48570 pep chromosome:Graimondii2_0_v6:8:13820942:13823471:-1 gene:B456_008G075300 transcript:KJB48570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPSAPPYRISLTFPCPVCDQAEAERGLFLEKFREATMKWSQHVAVETGDGHEIDKEEQKSCMIVVTDACLPLLASGESPMSARVLINYELPTKKETYMRRLTSCLAAVTLKSLEESSGLIIAEMPINISEIL >KJB48575 pep chromosome:Graimondii2_0_v6:8:13821851:13823371:-1 gene:B456_008G075300 transcript:KJB48575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDAIEASSPPCQSPSNFSSFNQLRHFYVAVDRLHFKMETLIDLLGVAGRRPCLPIVVCCNSRDELDALCSAVSDLPYISLSCLFSDQAEAERGLFLEKFREATMKWSQHVAVETGDGHEIDKEEQKSCMIVVTDACLPLLASGESPMSARVLINYELPTKKETYMRRLTSCLAAGFFLAVIFYYWHIMFNSHNDFSLNRMQMES >KJB50080 pep chromosome:Graimondii2_0_v6:8:41069618:41072294:1 gene:B456_008G152800 transcript:KJB50080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDTAFTRSNLDCFLHCTTPTLKSQFLPKSEISNLNRLWHPWEREKVEYFTLSDLWDCFDEWSAYGAGIPIVLNDSETLVQYFVPYLSAIQIFTSNPSVNSFREETESGDGERDSFSDSSSEESESDKLWRWEGCSSEEGGSEQDSLCHLNNRLGYLYFQYFERSAPYGRVPLMDKINGLSGRYPGLMSLRSVDLSPASWMAVAWSLSSSLSLSNAHTTSYL >KJB50078 pep chromosome:Graimondii2_0_v6:8:41069618:41072294:1 gene:B456_008G152800 transcript:KJB50078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDTAFTRSNLDCFLHCTTPTLKSQFLPKSEISNLNRLWHPWEREKVEYFTLSDLWDCFDEWSAYGAGIPIVLNDSETLVQYFVPYLSAIQIFTSNPSVNSFREETESGDGERDSFSDSSSEESESDKLWRWEGCSSEEGGSEQDSLCHLNNRLGYLYFQYFERSAPYGRVPLMDKINGLSGRYPGLMSLRSVDLSPASWMAVAWSLSSSLSLSNAHTTSYL >KJB50079 pep chromosome:Graimondii2_0_v6:8:41069618:41072294:1 gene:B456_008G152800 transcript:KJB50079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDTAFTRSNLDCFLHCTTPTLKSQFLPKSEISNLNRLWHPWEREKVEYFTLSDLWDCFDEWSAYGAGIPIVLNDSETLVQYFVPYLSAIQIFTSNPSVNSFREETESGDGERDSFSDSSSEESESDKLWRWEGCSSEEGGSEQDSLCHLNNRLGYLYFQYFERSAPYGRVPLMDKINGLSGRYPGLMSLRSVDLSPASWMAVAWSLSSSLSLSNAHTTSYL >KJB50077 pep chromosome:Graimondii2_0_v6:8:41069373:41072314:1 gene:B456_008G152800 transcript:KJB50077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDTAFTRSNLDCFLHCTTPTLKSQFLPKSEISNLNRLWHPWEREKVEYFTLSDLWDCFDEWSAYGAGIPIVLNDSETLVQYFVPYLSAIQIFTSNPSVNSFREETESGDGERDSFSDSSSEESESDKLWRWEGCSSEEGGSEQDSLCHLNNRLGYLYFQYFERSAPYGRVPLMDKINGLSGRYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMDPENEIEGGERKGKEGECISLPPFGMATYKMQGEVWASGNSGRDQERLVSLLSVADSWLKQLRVQHHDFNYFTGIRRG >KJB46924 pep chromosome:Graimondii2_0_v6:8:353910:355806:1 gene:B456_008G001700 transcript:KJB46924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSTIIERWWFVTPGNNNISVLQNLTMPIEAFNGFPMHKQSPMPPSVIENEEPDKSSNEPLSSSQSCIILTETSSSQVPLSGLQNSKPALPCLQTANLPDLSDSVKQATTSFQQPSGLGDAKLSELSDKIEARTADSSLVSVLEAQNQEQPKDNDDEVTVTMEEERKCNIFEGKWVYDPKESPLYDSAMCPFLSETTSCRRNGRPDKEYEKWRWEINECKIPRFNAKDMLERLRGKRVVIVGDSINHSQFESLACLLYSAIPGLSSFDARNRVFRAESYNLVIQFDWTEFLVEVLVNKTDGKKTLKLDSLVPTARKWKDADIMVFNTGHWWDFFGYKRKVFADMKIETAFKVAMKTWARWVEKNVDTSKTTVYFRGMSPPHFGKNWCYKSTRPIMDESYKLTFGKSLKEIVEQTLQAMRTPVKYLNITRLSQYRVDAHSSIYATKQGKFLVLRKQKPPTMVADCSHWCLPGVPDTWNHLLYASMVLERSKSISTTLNILT >KJB51068 pep chromosome:Graimondii2_0_v6:8:48469859:48475419:-1 gene:B456_008G199800 transcript:KJB51068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQILAHVSATSISRVLLFVCMNSSKSTLKSPNPPNPSFRPRKIIEEIKMRWEKVPLYQQEVGGIGEACSGPGKRWGHTCNSIKGGRFLYVFGGYGKDYCQTNQVHVFDTAKQTWSQPVTKGTPPSARDSHSCTTIGDNLFVFGGTDGVKPLKDLHILETCTNTWICPSVRGEGPEEREGHGAAVVGKRLFIFGGCGKSSDNNDEVYYNNLYILNTETFVWKLAAISGNPPSARDSHTCSSWKNKIIVIGGEDAHDYYLSDVHIFDADTLAWKELNTLGQILPPRAGHSTVGFGKNLFVFGGFSYAQNLYDDLYMLDVETGLWTKEITMGDGPSARFSVSGDCLDPLKGGVLIFIGGCNKTLEALDDMYYLYTGLVVKDERKLEKLSLRKQLKLKCQQENLSNLVRDKAFVSIEADNDVHHQPISLFSCGQARRDSFPSNEVLLQGKKIFHANVTESFPHGYTIETIIDGTHLRGILFSNKPNSIGVANYNLCRKRTSMESGDSVFGDCNSNSKSKSSRSMMQDYGDCKQGDVHEKDCSLHEAEAPAPSSRNPASYDLSIRKDLAKQESSVAHLNLTDDKANDAPNSGSEVLKGIGSVRTDFSVALSPRR >KJB51067 pep chromosome:Graimondii2_0_v6:8:48469286:48475458:-1 gene:B456_008G199800 transcript:KJB51067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQILAHVSATSISRVLLFVCMNSSKSTLKSPNPPNPSFRPRKIIEEIKMRWEKVPLYQQEVGGIGEACSGPGKRWGHTCNSIKGGRFLYVFGGYGKDYCQTNQVHVFDTAKQTWSQPVTKGTPPSARDSHSCTTIGDNLFVFGGTDGVKPLKDLHILETCTNTWICPSVRGEGPEEREGHGAAVVGKRLFIFGGCGKSSDNNDEVYYNNLYILNTGNPPSARDSHTCSSWKNKIIVIGGEDAHDYYLSDVHIFDADTLAWKELNTLGQILPPRAGHSTVGFGKNLFVFGGFSYAQNLYDDLYMLDVETGLWTKEITMGDGPSARFSVSGDCLDPLKGGVLIFIGGCNKTLEALDDMYYLYTGLVVKDERKLEKLSLRKQLKLKCQQENLSNLVRDKAFVSIEADNDVHHQPISLFSCGQARRDSFPSNEVLLQGKKIFHANVTESFPHGYTIETIIDGTHLRGILFSNKPNSIGVANYNLCRKRTSMESGDSVFGDCNSNSKSKSSRSMMQDYGDCKQGDVHEKDCSLHEAEAPAPSSRNPASYDLSIRKDLAKQESSVAHLNLTDDKANDAPNSGSEVLKGIGSVRTDFSVALSPRR >KJB51165 pep chromosome:Graimondii2_0_v6:8:48995826:48997460:1 gene:B456_008G204600 transcript:KJB51165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLFSTHSSSQNILITFTIIFLASSLLPSYSAITETDQRRPFKKIYAFGDSFTDTGNTESLSGPNGFVRVSNPPYGTTFFHHPTNRYSDGRLVIDFVAQSLSLPFLPPYRNRKANRTYGVNFAVAGSTAINHAFFVKNNLSLDITPESIQTQMMWFDKYLESEGCKDPESDQCKEAFDDALFWVGEIGVNDYAYTIGSTVSGDTIRKLAINTFTEFLQGLLKKGAKYVVVEALPTTGCLPLAMTLAPSDDRDDIGCVKSVNNQSNAHNLVLQSKVSDLRQQFPQAIIVYADYWNAYRTVMQSPEKYGFKESLKACCGTGEPYNFEVFNTCGNPSVTACSNPAQYINWDGVHLTEAMYKVVADMFIDGNLCNPPFKTLLERKLRQP >KJB49135 pep chromosome:Graimondii2_0_v6:8:30511777:30512112:1 gene:B456_008G102100 transcript:KJB49135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSISFHNMYREMVIKSQMSNIMPTPLEVKGRNHLSKRQKPEVVSSKLDKCTSKEQPRRFIKGKC >KJB49549 pep chromosome:Graimondii2_0_v6:8:36437503:36441662:-1 gene:B456_008G125000 transcript:KJB49549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFIIFFTFLFPLLHTSTTAQPCPITRCAQNQVPIRFPFYQQATKHPQNCGYPGFNLSCKTQSTTYLSLPYSGDFYIRDINYLDQLITLYDPNNCLPNRLLTFNISGTPFVIPFHENYTFLTCPSEVIKSRFDIIECMSNSTHSVLATSSMRLVSSLVSSYSCRVVVAALPVPVSWPVKEDEEFTAELGGDIQLTWYVPQCGDCEAQGGICGFKSNNSDEIDCFRRLPESSQTGNGLRVFGIICLSIAVPALACATGIALFACCFSSRNHAGESPMQRNNRPAAVLPQPAVVVTGLDESTIESYEKLVLGESRRIPGPNDSTCPICLSEYLSKDTIRCIPECKHCFHAECIDEWLRMNSTCPVCRKSPTAEGATPHTNPV >KJB52692 pep chromosome:Graimondii2_0_v6:8:55149828:55152821:-1 gene:B456_008G272500 transcript:KJB52692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITCVLLFFVVLVGLSGDCIAGQIGLGSRLLASDQGPPWVSDNGTFAFGFTPSETRDRFLLGIWFADLPGDRTMVWSANRNSLVTKDALVELDTTGNLVLIDGDITVWTSNTSGSRVEFAVMSESGNFILYTANNRLAWQSFAYPTDTLLPNQALTVSLELTSSKSPSHGGYYGLKMLQQPTSLTLALTYNLPHSIDDSPEGYTNYSYWASPDISNVTGDVVAVLDEAGSFGVVYGQSSNGAIYVYKNDGDYDGLSSATNKSNVRLLVLRRLIIETNGNLRLYRWDNDVNGSRQWVPEWAAVSNPCDIPGICGNGICNLDRSKTNASCTCFPGTSKVNGAAGESYCSRNSSVTENCDRRNKNRTSDFKIATVQQTNYYFSYASVLANYSDIATVSRCGDACLLDCDCVASVYGLDDEKPYCWILKSLDYGGFEDPGSTLFVKVRSNVSLEPGGDTGGSGSGDASNVHEKVLVIPIVLAMGVLIGLLCLLLYYNVHRKRYLKRSIESSLILEGAPLHFSYRDLQLRTSNFSQLLGTGGFGSVYKGSLSDGTLIAVKKLDRVFPHGQKEFITEVNTIGSMHHMNLVRLCGYCSEGSHRLLVYEFMKNGSLDKWIFPSYQCRDRLLYWPTRFHIAVATAQGIAYFHEQCRNRIIHCDIKPENILLDENFCPKVSDFGLAKLMGREHSHVVTMVRGTRGYLAPEWVSNRPITVKADVYSYGMLLLEILGGRRNLDMSYDAEDFFYPGWAYKEMTNGTPLKAVDKRLGGAVDEEELTRALKVAFWCIQDEVSMRPSMGEVVKMLEGSMDIGAPPMPQTVLELVEEGLEQVYRAMKRDFNQSSSFTMTTCTGTSSRATCSYSTMSPR >KJB48000 pep chromosome:Graimondii2_0_v6:8:7039470:7045522:1 gene:B456_008G049800 transcript:KJB48000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPTMVVGADSNAAAAAVGGADGSPLPPPTSSPPQSLVERLKDYGQEHVFALWDELSPDERLHLVKDIQSLDLSRIDRIIRCSLRSQGLPVAAIEPVPESCVSSVEERTMEERERWWKMGLKAISEGKLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQRLAAQAKNEGSVTIHWYVMTSPFTDDATRKFFESHKYFGLEADQVTFFQQGTQPCISKDGRYVMETPFKVAKSPDGNGGVYTALKSSRLLEDMAARGIKYVDCYGVDNALVRVADPTFLGYFIDKGVAAAAKVVKKAYPQEKVGVFVRRGKGGPLTVVEYSELDSSLASAVNQQTGRLRFCWSNVCLHMFTLDFLSQVADGLEKDGIYHLAEKKVPSIHGYTMGLKLEQFVFDAFPYAPSTALFEVLREEEFAPVKNANGSNYDTPDSARLLVLRLHTRWVVAAGGFLTHSVPLYATGNFHDRIALILFVVFGILVYHTL >KJB48001 pep chromosome:Graimondii2_0_v6:8:7039470:7045522:1 gene:B456_008G049800 transcript:KJB48001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPTMVVGADSNAAAAAVGGADGSPLPPPTSSPPQSLVERLKDYGQEHVFALWDELSPDERLHLVKDIQSLDLSRIDRIIRCSLRSQGLPVAAIEPVPESCVSSVEERTMEERERWWKMGLKAISEGKLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQRLAAQAKNEGSVTIHWYVMTSPFTDDATRKFFESHKYFGLEADQVTFFQQGTQPCISKDGRYVMETPFKVAKSPDGNGGVYTALKSSRLLEDMAARGIKYVDCYGVDNALVRVADPTFLGYFIDKGVAAAAKVVKKAYPQEKVGVFVRRGKGGPLTVVEYSELDSSLASAVNQQTGRLRFCWSNVCLHMFTLDFLSQVADGLEKDGIYHLAEKKVPSIHGYTMGLKLEQFVFDAFPYAPSTALFEVLREEEFAPVKNANGSNYDTPDSARLLVLRLHTRWVVAAGGFLTHSVPLYATVCLG >KJB47998 pep chromosome:Graimondii2_0_v6:8:7039642:7044778:1 gene:B456_008G049800 transcript:KJB47998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPTMVVGADSNAAAAAVGGADGSPLPPPTSSPPQSLVERLKDYGQEHVFALWDELSPDERLHLVKDIQSLDLSRIDRIIRCSLRSQGLPVAAIEPVPESCVSSVEERTMEERERWWKMGLKAISEGKLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQRLAAQAKNEGSVTIHWYVMTSPFTDDATRKFFESHKYFGLEADQVTFFQQGTQPCISKDGRYVMETPFKVAKSPDGNGGVYTALKSSRLLEDMAARGIKYVDCYGVDNALVRVADPTFLGYFIDKGVAAAAKVVKKAYPQEKVGVFVRRGKGGPLTVVEYSELDSSLASAVNQQTGRLRFCWSNVCLHMFTLDFLSQVADGLEKDGIYHLAEKKVPSIHGYTMGLKLEQFVFDAFPYAPSTALFEVITSPFYFLLQLITICSSPPPF >KJB47997 pep chromosome:Graimondii2_0_v6:8:7039247:7045538:1 gene:B456_008G049800 transcript:KJB47997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPTMVVGADSNAAAAAVGGADGSPLPPPTSSPPQSLVERLKDYGQEHVFALWDELSPDERLHLVKDIQSLDLSRIDRIIRCSLRSQGLPVAAIEPVPESCVSSVEERTMEERERWWKMGLKAISEGKLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQRLAAQAKNEGSVTIHWYVMTSPFTDDATRKFFESHKYFGLEADQVTFFQQGTQPCISKDGRYVMETPFKVAKSPDGNGGVYTALKSSRLLEDMAARGIKYVDCYGVDNALVRVADPTFLGYFIDKGVAAAAKVVKKAYPQEKVGVFVRRGKGGPLTVVEYSELDSSLASAVNQQTGRLRFCWSNVCLHMFTLDFLSQVADGLEKDGIYHLAEKKVPSIHGYTMGLKLEQFVFDAFPYAPSTALFEVLREEEFAPVKNANGSNYDTPDSARLLVLRLHTRWVVAAGGFLTHSVPLYATGVEVSPLCSYTGENLESICRGRTFHAPCEIAF >KJB48002 pep chromosome:Graimondii2_0_v6:8:7040053:7045522:1 gene:B456_008G049800 transcript:KJB48002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERERWWKMGLKAISEGKLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQRLAAQAKNEGSVTIHWYVMTSPFTDDATRKFFESHKYFGLEADQVTFFQQGTQPCISKDGRYVMETPFKVAKSPDGNGGVYTALKSSRLLEDMAARGIKYVDCYGVDNALVRVADPTFLGYFIDKGVAAAAKVVKKAYPQEKVGVFVRRGKGGPLTVVEYSELDSSLASAVNQQTGRLRFCWSNVCLHMFTLDFLSQVADGLEKDGIYHLAEKKVPSIHGYTMGLKLEQFVFDAFPYAPSTALFEVLREEEFAPVKNANGSNYDTPDSARLLVLRLHTRWVVAAGGFLTHSVPLYATGVEVSPLCSYTGENLESICRGRTFHAPCEIAF >KJB47999 pep chromosome:Graimondii2_0_v6:8:7039470:7045522:1 gene:B456_008G049800 transcript:KJB47999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPTMVVGADSNAAAAAVGGADGSPLPPPTSSPPQSLVERLKDYGQEHVFALWDELSPDERLHLVKDIQSLDLSRIDRIIRCSLRSQGLPVAAIEPVPESCVSSVEERTMEERERWWKMGLKAISEGKLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQRLAAQAKNEGSVTIHWYVMTSPFTDDATRKFFESHKYFGLEADQVTFFQQGTQPCISKDGRYVMETPFKVAKSPDGNGGVYTALKSSRLLEDMAARGIKYVDCYGVDNALVRVADPTFLGYFIDKGVAAAAKVVKKVAYPQEKVGVFVRRGKGGPLTVVEYSELDSSLASAVNQQTGRLRFCWSNVCLHMFTLDFLSQVADGLEKDGIYHLAEKKVPSIHGYTMGLKLEQFVFDAFPYAPSTALFEVLREEEFAPVKNANGSNYDTPDSARLLVLRLHTRWVVAAGGFLTHSVPLYATGVEVSPLCSYTGENLESICRGRTFHAPCEIAF >KJB49897 pep chromosome:Graimondii2_0_v6:8:39684941:39685834:1 gene:B456_008G144400 transcript:KJB49897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHLKPIPSIAFLSLILLFLISSATGEDSPTAYEVLEQYDFPIGLLPKGVSSYELDETTGKFSVYLNGSCSYSIDNYELKYKSTITGVISKDKLSSLSGIKVKVLFLWLSIRSVTRDDDELEFSVGVASADFAVDNFSECPTCGCGFDCDTVNGGKNIKPNHQLISSA >KJB51532 pep chromosome:Graimondii2_0_v6:8:50727473:50728617:1 gene:B456_008G220600 transcript:KJB51532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGNLEETERAKERANNMEQVCFWSNCYLFRVFFFQEVLDWRFLARGDFLLVSFVNCT >KJB50546 pep chromosome:Graimondii2_0_v6:8:45217531:45225370:1 gene:B456_008G175800 transcript:KJB50546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKEGEQTAKLDDLEIVSIGSLYKGPWEKKYWSSSRGKDRYPYPVGYQAVRAHNGSTYKTEIHEGPRGPLFVISCDGQSCSGQTPDIAWEKFQKMGCPHLKIWHGKRFSCKIDGVEFFGFKNPFVQRLLRELVANVNGTAERSLLYSSFCNGASRMDNDNGSSTICTASDLLPYLARPQIRKKRSTRCEKMQSKLVDRPRLKRPRSKDLTYDAEGSNLVPGNQVKHEHGFSVTHNALEDEIDRFPEASAVHSKSAGQIVENSPAKDGFPSKSVDFVGHHGENEAKGKFISTQNEKFTRVANIAYKELDRSQDTVLEGFCFPIKTDDRPEDSSFPSDSMGINDVHLYAPDTLDFEDDRTNVASGAKDINGLVTESHQEEEIGTSNSNTGSEKSEFDSVGQEMAKLMMTVLLPQAVPLLKESSKKKKETISPCNVLPHVMNSREDNIVTNHLLKLPSSEDAHTEQDTRLHIQGLDHGLVVPNLEHLNSVILDSFENSQGGDHVASQAILFSKSLEVNQTSFNKEAFDSNIQEQLVSIKPNQETPVCCGESSGDQDTICHKEVNMAESVLECASPIMKTLSEDIQGVSITLDENSADIENHSKQKKPKNALNCAEVVGANDINSRGIASSLKISGKDSSAETRAPTTNSSHQDQNKVYTRKKISKQAYSTRKYVGPLSESIICRNSGDDYAPNNSAMPGTSLVSKSCHSSDDKPCNRDVFGNTSMLEGRSCGLPTEKTTAYCKPEINNMPPILSNENQKLTCASKKDASCLLNQPVSLERGYQENCYKERFIVENGCSASYQNQVTSFCDKNLSTAMEVQGGSGVNHHGGVELSSDLRGIVNLVGGYFHPLPISSVLLGTKGNEIHICVSCGLLVDTDRTLFIYKVATEVPRKGCPSFVGYTSVALPSSEIGVEKCGLQFTPDGQCLVLLDSIKTPYCREGRIDCICSICFSGCSKENAVKIVRVNPGYVSLVAKLETVESVLCILVCENDYLLAAGKSGRLYLWAMNSTWSAWTEEFIIPSGDCISACVVELKRIPKCAHLVIGHNGFGDFVIWDILKRVIISRYSGSGDPIKQFLPISFLSWQPVFSYDDMKERIDEITTSTKFWFSKHKDSSFLPLEGKDVAIWLLVLTNSGAQHEHLSSNRLANTSRWWRLALLVKDTMILGSTLDPRAATVSASLDHGIMGRDDGLVYMWELSTGTRLGVLHHFKGGRVSCIATDESRPEVVAVAADDGQLLLYLHNQENLVKK >KJB50547 pep chromosome:Graimondii2_0_v6:8:45217531:45225370:1 gene:B456_008G175800 transcript:KJB50547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKEGEQTAKLDDLEIVSIGSLYKGPWEKKYWSSSRGKDRYPYPVGYQAVRAHNGSTYKTEIHEGPRGPLFVISCDGQSCSGQTPDIAWEKFQKMGCPHLKIWHGKRFSCKIDGVEFFGFKNPFVQRLLRELVANVNGTAERSLLYSSFCNGASRMDNDNGSSTICTASDLLPYLARPQIRKKRSTRCEKMQSKLVDRPRLKRPRSKDLTYDAEGSNLVPGNQVKHEHGFSVTHNALEDEIDRFPEASAVHSKSAGQIVENSPAKDGFPSKSVDFVGHHGENEAKGKFISTQNEKFTRVANIAYKELDRSQDTVLEGFCFPIKTDDRPEDSSFPSDSMGINDVHLYAPDTLDFEDDRTNVASGAKDINGLVTESHQEEEIGTSNSNTGSEKSEFDSVGQEMAKLMMTVLLPQAVPLLKESSKKKKETISPCNVLPHVMNSREDNIVTNHLLKLPSSAFILTEDAHTEQDTRLHIQGLDHGLVVPNLEHLNSVILDSFENSQGGDHVASQAILFSKSLEVNQTSFNKEAFDSNIQEQLVSIKPNQETPVCCGESSGDQDTICHKEVNMAESVLECASPIMKTLSEDIQGVSITLDENSADIENHSKQKKPKNALNCAEVVGANDINSRGIASSLKISGKDSSAETRAPTTNSSHQDQNKVYTRKKISKQAYSTRKYVGPLSESIICRNSGDDYAPNNSAMPGTSLVSKSCHSSDDKPCNRDVFGNTSMLEGRSCGLPTEKTTAYCKPEINNMPPILSNENQKLTCASKKDASCLLNQPVSLERGYQENCYKERFIVENGCSASYQNQVTSFCDKNLSTAMEVQGGSGVNHHGGVELSSDLRGIVNLVGGYFHPLPISSVLLGTKGNEIHICVSCGLLVDTDRTLFIYKVATEVPRKGCPSFVGYTSVALPSSEIGVEKCGLQFTPDGQCLVLLDSIKTPYCREGRIDCICSICFSGCSKENAVKIVRVNPGYVSLVAKLETVESVLCILVCENDYLLAAGKSGRLYLWAMNSTWSAWTEEFIIPSGDCISACVVELKRIPKCAHLVIGHNGFGDFVIWDILKRVIISRYSGSGDPIKQFLPISFLSWQPVFSYDDMKERIDEITTSTKFWFSKHKDSSFLPLEGKDVAIWLLVLTNSGAQHEHLSSNRLANTSRWWRLALLVKDTMILGSTLDPRAATVSASLDHGIMGRDDGLVYMWELSTGTRLGVLHHFKGGRVSCIATDESRPEVVAVAADDGQLLLYLHNQENLVKK >KJB52042 pep chromosome:Graimondii2_0_v6:8:52907814:52908767:-1 gene:B456_008G243700 transcript:KJB52042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTDPNNPTDDHPSQPPIPSSFDHPNIQVPHPLFTHTCEDLPSPCDKLPPAGKSPMASSSSPGKHPMYRGIRYRSGKWVSEIREPRKTTRIWLGTYPTPEMAAAAYDVAALALKGSEAVVNFPASVASYRLPVSTSSADIRTAASAAASLKKDEMKSGINIGTGEYHQAKDREEMSKEEYVDEDALLDLPNLLVDMAQGMLVSPPRIRSTPSDDSPENSDGESLWSY >KJB52889 pep chromosome:Graimondii2_0_v6:8:55849480:55854042:1 gene:B456_008G282000 transcript:KJB52889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51820) UniProtKB/Swiss-Prot;Acc:Q9SCY0] MASCCNSLRLEVFFSSAFKSSKRSSNAIPIPSSSFSHLGNHRFALQSISFSVPRSPSFTIKASSSSSSPSTTIAEPEGIKITSVPTKPIEGQKTGTSGLRKKVKVFMEENYLSNWIQSLFNSLPPEDYKNGVLVLGGDGRYFNREASQIIIKIAAGNGVGKILVGREGIMSTPAVSAVIRKRKANGGFIMSASHNPGGPEYDWGIKFNYNSGQPAPESITDKIYGNTLSISEIKMAEIPDVDLSRLGVTKYGNFTVEVIDPVSDYLELMESVFDFQMIKNLLSRSDFSFAFDAMHAVTGAYAKPIFVDKLGARPDSISNGVPLEDFGHGHPDPNLTYAKDLVDTMYSENGPDFGAASDGDGDRNMILGKKFFVTPSDSVAIIAANAQAGIPYFRGGPKGLARSMPTSGALDRVAEKLGLTFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAYRNKDKKPGEKLVSISDVVKEHWATYGRNFFSRYDYEECESEGANKMIEYLRELISKSKAGEKYGNYVLQFADDFSYTDPVDGSVASKQGVRFVFTDGSRIIFRLSVRSGFLFPVIFKGLYSLPLF >KJB52888 pep chromosome:Graimondii2_0_v6:8:55849316:55854042:1 gene:B456_008G282000 transcript:KJB52888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51820) UniProtKB/Swiss-Prot;Acc:Q9SCY0] MASCCNSLRLEVFFSSAFKSSKRSSNAIPIPSSSFSHLGNHRFALQSISFSVPRSPSFTIKASSSSSSPSTTIAEPEGIKITSVPTKPIEGQKTGTSGLRKKVKVFMEENYLSNWIQSLFNSLPPEDYKNGVLVLGGDGRYFNREASQIIIKIAAGNGVGKILVGREGIMSTPAVSAVIRKRKANGGFIMSASHNPGGPEYDWGIKFNYNSGQPAPESITDKIYGNTLSISEIKMAEIPDVDLSRLGVTKYGNFTVEVIDPVSDYLELMESVFDFQMIKNLLSRSDFSFAFDAMHAVTGAYAKPIFVDKLGARPDSISNGVPLEDFGHGHPDPNLTYAKDLVDTMYSENGPDFGAASDGDGDRNMILGKKFFVTPSDSVAIIAANAQAGIPYFRGGPKGLARSMPTSGALDRVAEKLGLTFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAYRNKDKKPGEKLVSISDVVKEHWATYGRNFFSRYDYEECESEGANKMIEYLRELISKSKAGEKYGNYVLQFADDFSYTDPVDGSVASKQGVRFVFTDGSRIIFRLSGTGSAGATVRIYIEQFEPDASKHDMDAQVALKPLIDLALSVSKLKDFTGREKPTVIT >KJB52601 pep chromosome:Graimondii2_0_v6:8:54873102:54878267:1 gene:B456_008G269900 transcript:KJB52601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDAGKGLECPKLMDGKGNSGNGSEKVIPSCCLKARASAPELEAKCHSTVVSGWFSESQSSSDDAGKMVYFNNPMWPGEAHSLKVESILFKEKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKTVLVVGGGDGGVLREISRHSSVEHIDICEIDKMVIDVSKKFFPELAVGFEDPRVDLHVGDAIEFLRHAPKGKYDAIIVDSSDPVGPAQELVEKPFFETLAQALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGPVHYAWASVPTYPSGVIGFLLCSTEGPPVDFLNPINPIEKLDGAYLHKRELRFYNSEMHRAAFALPSFLKREVRLL >KJB52598 pep chromosome:Graimondii2_0_v6:8:54873102:54878267:1 gene:B456_008G269900 transcript:KJB52598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDAGKGLECPKLMDGKGNSGNGSEKVIPSCCLKARASAPELEAKCHSTVVSGWFSESQSSSDDAGKMVYFNNPMWPGEAHSLKVESILFKEKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKTVSKKFFPELAVGFEDPRVDLHVGDAIEFLRHAPKGKYDAIIVDSSDPVGPAQELVEKPFFETLAQALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGPVHYAWASVPTYPSGVIGFLLCSTEGPPVDFLNPINPIEKLDGAYLHKRELRFYNSEMHRAAFALPSFLKREVRLL >KJB52603 pep chromosome:Graimondii2_0_v6:8:54875094:54878267:1 gene:B456_008G269900 transcript:KJB52603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFFSVGEAHSLKVESILFKEKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKTVLVVGGGDGGVLREISRHSSVEHIDICEIDKMVIDVSKKFFPELAVGFEDPRVDLHVGDAIEFLRHAPKGKYDAIIVDSSDPVGPAQELVEKPFFETLAQALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGPVHYAWASVPTYPSGVIGFLLCSTEGPPVDFLNPINPIEKLDGAYLHKRELRFYNSEMHRAAFALPSFLKREVRLL >KJB52600 pep chromosome:Graimondii2_0_v6:8:54873102:54878267:1 gene:B456_008G269900 transcript:KJB52600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDAGKGLECPKLMDGKGNSGNGSEKVIPSCCLKARASAPELEAKCHSTVVSGWFSESQSSSDDAGKMVYFNNPMWPGEAHSLKVESILFKEKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKTVLVVGGGDGGVLREISRHSSVEHIDICEIDKMVIDVSKKFFPELAVGFEDPRVDLHVGDAIEFLRHAPKGKYDAIIVDSSDPVLLKSL >KJB52599 pep chromosome:Graimondii2_0_v6:8:54874743:54877766:1 gene:B456_008G269900 transcript:KJB52599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFYCCFWVVLGISVFLWSFSLNYLFPAPFFSPVLLVLIFFLIFSSFADDAGKMVYFNNPMWPGEAHSLKVESILFKEKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKTVLVVGGGDGGVLREISRHSSVEHIDICEIDKMVIDVSKKFFPELAVGFEDPRVDLHVGDAIEFLRHAPKGKYDAIIVDSSDPVGPAQELVEKPFFETLAQALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGPVHYAWASVPTYPSGVIGFLLCSTEGPPVDFLNPINPIEKLDGAYLHKRELRFYNSEMHRAAFALPSFLKREVRLL >KJB52597 pep chromosome:Graimondii2_0_v6:8:54873668:54878333:1 gene:B456_008G269900 transcript:KJB52597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDAGKGLECPKLMDGKGNSGNGSEKVIPSCCLKARASAPELEAKCHSTVVSGWFSESQSSSDDAGKMVYFNNPMWPGEAHSLKVESILFKEKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKTVLVVGGGDGGVLREISRHSSVEHIDICEIDKMVIDVSKKFFPELAVGFEDPRVDLHVGDAIEFLRHAPKGKYDAIIVDSSDPVGPAQELVEKPFFETLAQALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGPVHYAWASVPTYPSGVIGFLLCSTEGPPVDFLNPINPIEKLDGAYLHKRELRFYNSEMHRAAFALPSFLKREVRLL >KJB52602 pep chromosome:Graimondii2_0_v6:8:54873008:54878333:1 gene:B456_008G269900 transcript:KJB52602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDAGKGLECPKLMDGKGNSGNGSEKVIPSCCLKARASAPELEAKCHSTVVSGWFSESQSSSDDAGKMVYFNNPMWPGEAHSLKVESILFKEKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKTVLVVGGGDGGVLREISRHSSVEHIDICEIDKMVIDVSKKFFPELAVGFEDPRVDLHVGDAIEFLRHAPKGKYDAIIVDSSDPVGPAQELVEKPFFETLAQALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGPVHYAWASVPTYPSGVIGFLLCSTEGPPVDFLNPINPIEKLDGAYLHKRELRFYNSEMHRAAFALPSFLKREVRLL >KJB51526 pep chromosome:Graimondii2_0_v6:8:50713681:50716453:1 gene:B456_008G220400 transcript:KJB51526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGFKGINEDTSACSFNETDIQKCPFLKNINKPTDFSFPSMSFPMPGTRGPLFEDGPNFDMAFKLFHGKDGILPLSGRSDVPCDRDKQEPTIQFNPLAGKAATISLSAFSSGGPFSFGPFSDKRKNQKKKSDSSNKQEPSSQKGNSSNHDAMGNEWLQNGNCPIAKSYRAVSRVLPLVATPFHLSPAMKFRCPPAVVAARAALARTALVKNLRPQPLPAKMFVIALLGMAANVPLGAWKEHTEKFSLSWFVAVHAAVPFIAMLRKSVVMPKTAMALTIGASILGQVIGSRAERQRLKAVAEREKVAAETAVAAAVAGYNDLSQVDPSGASHCGREGAIWGPIPVKVSRPSSSSDSVCY >KJB51527 pep chromosome:Graimondii2_0_v6:8:50715495:50716157:1 gene:B456_008G220400 transcript:KJB51527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQKGNSSNHDAMGNEWLQNGNCPIAKSYRAVSRVLPLVATPFHLSPAMKFRCPPAVVAARAALARTALVKNLRPQPLPAKMFVIALLGMAANVPLGAWKEHTEKFSLSWFVAVHAAVPFIAMLRKSVVMPKTAMALTIGASILGQVIGSRAERQRLKAVAEREKVAAETAVAAAVAGYNDLSQVDPSGASHCGREGAIWGPIPVKVSRPSSSSDSVCY >KJB51525 pep chromosome:Graimondii2_0_v6:8:50713723:50716422:1 gene:B456_008G220400 transcript:KJB51525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKLFHGKDGILPLSGRSDVPCDRDKQEPTIQFNPLAGKAATISLSAFSSGGPFSFGPFSDKRKNQKKKSDSSNKQEPSSQKGNSSNHDAMGNEWLQNGNCPIAKSYRAVSRVLPLVATPFHLSPAMKFRCPPAVVAARAALARTALVKNLRPQPLPAKMFVIALLGMAANVPLGAWKEHTEKFSLSWFVAVHAAVPFIAMLRKSVVMPKTAMALTIGASILGQVIGSRAERQRLKAVAEREKVAAETAVAAAVAGYNDLSQVDPSGASHCGREGAIWGPIPVKVSRPSSSSDSVCY >KJB51528 pep chromosome:Graimondii2_0_v6:8:50713681:50716422:1 gene:B456_008G220400 transcript:KJB51528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKLFHGKDGILPLSGRSDVPCDRDKQEPTIQFNPLAGKAATISLSAFSSGGPFSFGPFSDKRKNQKKKSDSSNKQEPSSQKGNSSNHDAMGNEWLQNGNCPIAKSYRAVSRVLPLVATPFHLSPAMKFRCPPAVVAARAALARTALVKNLRPQPLPAKMFVIALLGMAANVPLGAWKEHTEKFSLSWFVAVHAAVPFIAMLRKSVVMPKTAMALTIGASILGQVIGSRAERQRLKAVAEREKVAAETAVAAAVAGYNDLSQVDPSGASHCGREGAIWGPIPVKVSRPSSSSDSVCY >KJB50798 pep chromosome:Graimondii2_0_v6:8:46839870:46843934:-1 gene:B456_008G187400 transcript:KJB50798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENSSSKSASTADSYIGSLISLTSKSEIRYEGVLYNINPDESSIGLRNVRSFGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSSPPTQPTPPINNDPAIIQSHFPHSVSTTTSMPSAASGPLTDLTSHNTQMGLAGFNFQAALPLYQPGGNIGSWGASPQPPTANGSGLAMPMYWQGYYAPPNALPHLHQQTLLRPSPGLSVPPSMPQPMQYPNFNASLPAGSANLHSSSLPEIPPSLLPTSTSSHMLTSSLSFATSSSLPPAPSATLASETLSASLTSPSPPPATLSASSPALAPLTSSSPELNSIAPPIANKRSEIPTLPYQSASEVASSVIGVSNSIHVETSTPSLVTPGQLLQSGSTVVPSSQPAATAHKDVEVVQVSSSLSPEPSVPVVSEAQPPLLPLPVSSHVAPKPNGASFQPRYGYRGYERGRGRGTGSSRPVTKFTEDFDFVAMNEKFKKDEVWGHLGNSSKSHTKDKEADAVEEYGSQDEDDAEISKIQTKPVYNKDDFFDTLSCNALDSDLQNGRPRFSEQMKLDTETFGDFSRHRGGRGRGRGPGRGRGGRFRGGYYGRGYGYVGRGRG >KJB50801 pep chromosome:Graimondii2_0_v6:8:46840127:46843127:-1 gene:B456_008G187400 transcript:KJB50801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQLSRLRIKNEILIQSLTYQILKLFISLQSHFPHSVSTTTSMPSAASGPLTDLTSHNTQMGLAGFNFQAALPLYQPGGNIGSWGASPQPPTANGSGLAMPMYWQGYYAPPNALPHLHQQTLLRPSPGLSVPPSMPQPMQYPNFNASLPAGSANLHSSSLPEIPPSLLPTSTSSHMLTSSLSFATSSSLPPAPSATLASETLSASLTSPSPPPATLSASSPALAPLTSSSPELNSIAPPIANKRSEIPTLPYQSASEVASSVIGVSNSIHVETSTPSLVTPGQLLQSGSTVVPSSQPAATAHKDVEVVQVSSSLSPEPSVPVVSEAQPPLLPLPVSSHVAPKPNGASFQPRYGYRGYERGRGRGTGSSRPVTKFTEDFDFVAMNEKFKKDEVWGHLGNSSKSHTKDKEADAVEEYGSQDEDDAEISKIQTKPVYNKDDFFDTLSCNALDSDLQNGRPRFSEQMKLDTETFGDFSRHRGGRGRGRGPGRGRGGRFRGGYYGRGYGYVGRGRG >KJB50799 pep chromosome:Graimondii2_0_v6:8:46839899:46843864:-1 gene:B456_008G187400 transcript:KJB50799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENSSSKSASTADSYIGSLISLTSKSEIRYEGVLYNINPDESSIGLRNVRSFGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSSPPTQPTPPINNDPAIIQSHFPHSVSTTTSMPSAASGPLTDLTSHNTQMGLAGFNFQAALPLYQPGGNIGSWGASPQPPTANGSGLAMPMYWQGYYAPPNALPHLHQQTLLRPSPGLSVPPSMPQPMQYPNFNASLPAGSANLHSSSLPEIPPSLLPTSTSSHMLTSSLSFATSSSLPPAPSATLASETLSASLTSPSPPPATLSASSPALAPLTSSSPELNSIAPPIANKRSEIPTLPYQSASESGSTVVPSSQPAATAHKDVEVVQVSSSLSPEPSVPVVSEAQPPLLPLPVSSHVAPKPNGASFQPRYGYRGYERGRGRGTGSSRPVTKFTEDFDFVAMNEKFKKDEVWGHLGNSSKSHTKDKEADAVEEYGSQDEDDAEISKIQTKPVYNKDDFFDTLSCNALDSDLQNGRPRFSEQMKLDTETFGDFSRHRGGRGRGRGPGRGRGGRFRGGYYGRGYGYVGRGRG >KJB50802 pep chromosome:Graimondii2_0_v6:8:46840127:46843778:-1 gene:B456_008G187400 transcript:KJB50802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENSSSKSASTADSYIGSLISLTSKSEIRYEGVLYNINPDESSIGLRNVRSFGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSSPPTQPTPPINNDPAIIQSHFPHSVSTTTSMPSAASGPLTDLTSHNTQMGLAGFNFQAALPLYQPGGNIGSWGASPQPPTANGSGLAMPMYWQGYYAPPNALPHLHQQTLLRPSPGLSVPPSMPQPMQYPNFNASLPAGSANLHSSSLPEIPPSLLPTSTSSHMLTSSLSFATSSSLPPAPSATLASETLSASLTSPSPPPATLSASSPALAPLTSSSPELNSIAPPIANKRSEIPTLPYQSASEVASSVIGVSNSIHVETSTPSLVTPGQLLQSGSTVVPSSQPAATAHKDVEVVQVSSSLSPEPSVPVVSEAQPPLLPLPVSSHVAPKPNGASFQPRYGYRGYERGRGRGTGVNFSSRPVTKFTEDFDFVAMNEKFKKDEVWGHLGNSSKSHTKDKEADAVEEYGSQDEDDAEISKIQTKPVYNKDDFFDTLSCNALDSDLQNGRPRFSEQMKLDTETFGDFSRHRGGRGRGRGPGRGRGGRFRGGYYGRGYGYVGRGRG >KJB50800 pep chromosome:Graimondii2_0_v6:8:46839899:46843864:-1 gene:B456_008G187400 transcript:KJB50800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENSSSKSASTADSYIGSLISLTSKSEIRYEGVLYNINPDESSIGLRNVRSFGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSSPPTQPTPPINNDPAIIQSHFPHSVSTTTSMPSAASGPLTDLTSHNTQMGLAGFNFQAALPLYQPGGNIGSWGASPQPPTANGSGLAMPMYWQGYYAPPNALPHLHQQTLLRPSPGLSVPPSMPQPMQYPNFNASLPAGSANLHSSSLPEIPPSLLPTSTSSHMLTSSLSFATSSSLPPAPSATLASETLSASLTSPSPPPATLSASSPALAPLTSSSPELNSIAPPIANKRSEIPTLPYQSASEVASSVIGVSNSIHVETSTPSLVTPGQLLQSGSTVVPSSQPAATAHKDVEVVQVSSSLSPEPSVPVVSEAQPPLLPLPVSSHVAPKSSRPVTKFTEDFDFVAMNEKFKKDEVWGHLGNSSKSHTKDKEADAVEEYGSQDEDDAEISKIQTKPVYNKDDFFDTLSCNALDSDLQNGRPRFSEQMKLDTETFGDFSRHRGGRGRGRGPGRGRGGRFRGGYYGRGYGYVGRGRG >KJB50803 pep chromosome:Graimondii2_0_v6:8:46839899:46843864:-1 gene:B456_008G187400 transcript:KJB50803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAASGPLTDLTSHNTQMGLAGFNFQAALPLYQPGGNIGSWGASPQPPTANGSGLAMPMYWQGYYAPPNALPHLHQQTLLRPSPGLSVPPSMPQPMQYPNFNASLPAGSANLHSSSLPEIPPSLLPTSTSSHMLTSSLSFATSSSLPPAPSATLASETLSASLTSPSPPPATLSASSPALAPLTSSSPELNSIAPPIANKRSEIPTLPYQSASEVASSVIGVSNSIHVETSTPSLVTPGQLLQSGSTVVPSSQPAATAHKDVEVVQVSSSLSPEPSVPVVSEAQPPLLPLPVSSHVAPKPNGASFQPRYGYRGYERGRGRGTGSSRPVTKFTEDFDFVAMNEKFKKDEVWGHLGNSSKSHTKDKEADAVEEYGSQDEDDAEISKIQTKPVYNKDDFFDTLSCNALDSDLQNGRPRFSEQMKLDTETFGDFSRHRGGRGRGRGPGRGRGGRFRGGYYGRGYGYVGRGRG >KJB50804 pep chromosome:Graimondii2_0_v6:8:46840127:46842998:-1 gene:B456_008G187400 transcript:KJB50804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAASGPLTDLTSHNTQMGLAGFNFQAALPLYQPGGNIGSWGASPQPPTANGSGLAMPMYWQGYYAPPNALPHLHQQTLLRPSPGLSVPPSMPQPMQYPNFNASLPAGSANLHSSSLPEIPPSLLPTSTSSHMLTSSLSFATSSSLPPAPSATLASETLSASLTSPSPPPATLSASSPALAPLTSSSPELNSIAPPIANKRSEIPTLPYQSASEVASSVIGVSNSIHVETSTPSLVTPGQLLQSGSTVVPSSQPAATAHKDVEVVQVSSSLSPEPSVPVVSEAQPPLLPLPVSSHVAPKPNGASFQPRYGYRGYERGRGRGTGSSRPVTKFTEDFDFVAMNEKFKKDEVWGHLGNSSKSHTKDKEADAVEEYGSQDEDDAEISKIQTKPVYNKDDFFDTLSCNALDSDLQNGRPRFSEQMKLDTETFGDFSRHRGGRGRGRGPGRGRGGRFRGGYYGRGYGYVGRGRG >KJB46893 pep chromosome:Graimondii2_0_v6:8:112602:115793:-1 gene:B456_008G000500 transcript:KJB46893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWWGKKSNKNKEESQNRSPRGTSIGVIKLSPNKPDATAGFSGGGASGKMKVAAAAADDKNNNYSKSFDGGGGLVLTTSNSPRASREFSVVVGCSGGGSSGFSGLDSDSGEKIGIPLPTPSTSSMQSDHVVGLGSGWHSVSSDSSSEDNQIANDPVQFLAYRSYIDPRGQGETRMNTRSRSPGPGSRGATSPTSPLHHQLSAVSLESPTGRKEDGKSVCHKLPLPPGSPTSPSAALPSTRTCGVNENTPFTLSKWRRGRLLGRGTFGHVYLGFNSESGQMCAIKEVRLVSDDQTSKESLKQLNQEINLLSQLSHPNIVRYYGSELGEETLSVYLEYVSGGSIHKLLQEYGAFKEPVIQNYTRQILSGLAYLHGRNTVHRDIKGANILVDPTGEIKLADFGMAKHITACGTMLSFKGSPYWMAPEVVMNTNGYNLAVDIWSLGCTILEMATSKPPWNQYEGVAAIFKIGNSKDIPEIPDRLSNEAKSFIRLCLQREPSARPTALQLLDHPFIHDQATTRVANICITKDAFPYTFDGSRTPKTLGTTSATWF >KJB46894 pep chromosome:Graimondii2_0_v6:8:112595:115944:-1 gene:B456_008G000500 transcript:KJB46894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWWGKKSNKNKEESQNRSPRGTSIGVIKLSPNKPDATAGFSGGGASGKMKVAAAAADDKNNNYSKSFDGGGGLVLTTSNSPRASREFSVVVGCSGGGSSGFSGLDSDSGEKIGIPLPTPSTSSMQSDHVVGLGSGWHSVSSDSSSEDNQIANDPVQFLAYRGQGETRMNTRSRSPGPGSRGATSPTSPLHHQLSAVSLESPTGRKEDGKSVCHKLPLPPGSPTSPSAALPSTRTCGVNENTPFTLSKWRRGRLLGRGTFGHVYLGFNSESGQMCAIKEVRLVSDDQTSKESLKQLNQEINLLSQLSHPNIVRYYGSELGEETLSVYLEYVSGGSIHKLLQEYGAFKEPVIQNYTRQILSGLAYLHGRNTVHRDIKGANILVDPTGEIKLADFGMAKHITACGTMLSFKGSPYWMAPEVVMNTNGYNLAVDIWSLGCTILEMATSKPPWNQYEGVAAIFKIGNSKDIPEIPDRLSNEAKSFIRLCLQREPSARPTALQLLDHPFIHDQATTRVANICITKDAFPYTFDGSRTPKTLGTTSATWF >KJB46891 pep chromosome:Graimondii2_0_v6:8:111588:115793:-1 gene:B456_008G000500 transcript:KJB46891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWWGKKSNKNKEESQNRSPRGTSIGVIKLSPNKPDATAGFSGGGASGKMKVAAAAADDKNNNYSKSFDGGGGLVLTTSNSPRASREFSVVVGCSGGGSSGFSGLDSDSGEKIGIPLPTPSTSSMQSDHVVGLGSGWHSVSSDSSSEDNQIANDPVQFLAYRSYIDPRGQGETRMNTRSRSPGPGSRGATSPTSPLHHQLSAVSLESPTGRKEDGKSVCHKLPLPPGSPTSPSAALPSTRTCGVNENTPFTLSKWRRGRLLGRGTFGHVYLGFNSESGQMCAIKEVRLVSDDQTSKESLKQLNQEINLLSQLSHPNIVRYYGSELGEETLSVYLEYVSGGSIHKLLQEYGAFKEPVIQNYTRQILSGLAYLHGRNTVHRDIKGANILVDPTGEIKLADFGMAKHITACGTMLSFKGSPYWMAPEVVMNTNGYNLAVDIWSLGCTILEMATSKPPWNQYEGVAAIFKIGNSKDIPEIPDRLSNEAKSFIRLCLQREPSARPTALQLLDHPFIHDQATTRVANICITKDAFPYTFDGSRTPPILELQSIRNNVPSFDGDYEMRGMTTASRALRNPRDNARAITSLPVSPCSSPLRYGAAHKSCFLSPPHPAYQFVGQSDYNLCGISGNASRPNPKYNLDPWLQTSLLKVQTPSTPPRTRPI >KJB46890 pep chromosome:Graimondii2_0_v6:8:110748:115944:-1 gene:B456_008G000500 transcript:KJB46890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWWGKKSNKNKEESQNRSPRGTSIGVIKLSPNKPDATAGFSGGGASGKMKVAAAAADDKNNNYSKSFDGGGGLVLTTSNSPRASREFSVVVGCSGGGSSGFSGLDSDSGEKIGIPLPTPSTSSMQSDHVVGLGSGWHSVSSDSSSEDNQIANDPVQFLAYRGQGETRMNTRSRSPGPGSRGATSPTSPLHHQLSAVSLESPTGRKEDGKSVCHKLPLPPGSPTSPSAALPSTRTCGVNENTPFTLSKWRRGRLLGRGTFGHVYLGFNSESGQMCAIKEVRLVSDDQTSKESLKQLNQEINLLSQLSHPNIVRYYGSELGEETLSVYLEYVSGGSIHKLLQEYGAFKEPVIQNYTRQILSGLAYLHGRNTVHRDIKGANILVDPTGEIKLADFGMAKHITACGTMLSFKGSPYWMAPEVVMNTNGYNLAVDIWSLGCTILEMATSKPPWNQYEGVAAIFKIGNSKDIPEIPDRLSNEAKSFIRLCLQREPSARPTALQLLDHPFIHDQATTRVANICITKDAFPYTFDGSRTPPILELQSIRNNVPSFDGDYEMRGMTTASRALRNPRDNARAITSLPVSPCSSPLRYGAAHKSCFLSPPHPAYQFVGQSDYNLCGISGNASRPNPKYNLDPWLQTSLLKVQTPSTPPRTRPI >KJB46892 pep chromosome:Graimondii2_0_v6:8:111896:115793:-1 gene:B456_008G000500 transcript:KJB46892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWWGKKSNKNKEESQNRSPRGTSIGVIKLSPNKPDATAGFSGGGASGKMKVAAAAADDKNNNYSKSFDGGGGLVLTTSNSPRASREFSVVVGCSGGGSSGFSGLDSDSGEKIGIPLPTPSTSSMQSDHVVGLGSGWHSVSSDSSSEDNQIANDPVQFLAYRSYIDPRGQGETRMNTRSRSPGPGSRGATSPTSPLHHQLSAVSLESPTGRKEDGKSVCHKLPLPPGSPTSPSAALPSTRTCGVNENTPFTLSKWRRGRLLGRGTFGHVYLGFNSESGQMCAIKEVRLVSDDQTSKESLKQLNQEINLLSQLSHPNIVRYYGSELGEETLSVYLEYVSGGSIHKLLQEYGAFKEPVIQNYTRQILSGLAYLHGRNTVHRDIKGANILVDPTGEIKLADFGMAKHITACGTMLSFKGSPYWMAPEVVMNTNGYNLAVDIWSLGCTILEMATSKPPWNQYEGVAAIFKIGNSKDIPEIPDRLSNEAKSFIRLCLQREPSARPTALQLLDHPFIHDQATTRVANICITKDAFPYTFDGSRTPPILELQSIRNNVPSFDGDYEMRGMTTASRALRNPRY >KJB49868 pep chromosome:Graimondii2_0_v6:8:39398378:39402804:-1 gene:B456_008G142900 transcript:KJB49868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTASSPSSSPVTITVSSSGGKETGSRSMGLTSPVPRASKSNNPSSPSPLGSRGNRRASSGGRYCSMSQDDPIPIEEINSEFVTYTVHIPPTPDHQSISTSQTSLNEEGKDGLKLKPERSFISGTIFTGGYNCVTRGHVIDGSLERPETLKSGLVCGMKGCDEKEIEGKCECGFKICGDCYLDCVASGGGHCPGCKEPYKDVSDDDEDDGVRSDSEEDDQALPLPSMRESKLDKRLSLVKSFKGPNHPPDFDHTRWLFETKGTYGYGNALWPKDGYGSGANGFENPPDFGERSKRPLTRKVVVSTAILSPYRLLIILRLVALGFFLTWRIRHPNRDAMWLWGMSITCELWFAFSWLLDQLPKLCPVNRITDLSVLKERFESPNLRNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAVDYPVEKVACYLSDDGGALLTFEALAETASFARVWVPFCRKHNIEPRNPDAYFGQKRDFLKNKVRLDFVRERRRVKREYDEFKVRINSLPESIRRRSDAYNAHEELRAKKTQMKMGGNLSDPIKVPKATWMSDGSHWPGTWASAEPDHSKGDHAGIIQAMLAPPNAEPVYGAEADGENLIDTREVDTRLPLLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYIYNSLALREGMCFMLDRGGDRICYVQFPQRFEGIDPNDRYANHNTVFFDVSMRALDGLQGPMYVGTGCIFRRTALYGFSPPRATEHHGWFGRRKIKLLLRKPKVTKKAEDEIVLPINGEHNDDDDDTDIESLLLPKRFGNSTSLVASIPVAEYQGRLLQDMQGMRNQGRPAGSLAVPREPLDAATVAEAISVISCFYEDKTEWGKRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFATRRMKFLQRVAYFNVGMYPFTSMFLLVYCILPAVSLFSGKFIVQALSVTFLIFLLAITITLCLLAILEIKWSGITLHDWWRNEQFWLIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKSATPDDEEDEFAELYVVKWSFLMIPPITIMMVNSIAIAVAVARTMYSPFPEWSKLLGGVFFSFWVLCHLYPFAKGLMGRRGKVPTIVFVWSGLLSIIVSLLWVYINPPSGSKDYMKFKFP >KJB52244 pep chromosome:Graimondii2_0_v6:8:53649137:53650968:1 gene:B456_008G253200 transcript:KJB52244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQATSKVCCVTGGSGYLGSWLVKKLLHKGYTVHATLRNLDDKSKVGFLKSLPGAHTKLVLFQADIYNPDEFKHAVQGCEYVFHVATAKQTADTQSSQEIIEATVSGVRSIAESCLKSPSVKRLIYTASVMAVSPLMKDGFNVKSCVDESYWTNVDVASIHGLQYMRTYTISKTLAEKVALSYNGEANVNGDKLEVVTLPCGLVGGETLLSFVPLSVAVMFSPLTGNSSTLVALQYMEQLLGSVPIVHIDDVCDAHIFCMEKPTMAGRFICAAANPTIRQIATHFRENYPKYQIPEELMGEEKEGIAWDSSKLVKMGFEYREDMGKILDDSVKCGRRLAAVGSLCY >KJB52696 pep chromosome:Graimondii2_0_v6:8:55173200:55178753:1 gene:B456_008G272700 transcript:KJB52696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKALAHYFESKLLLLDITDFSLKMQSKYGCTKKEFALKRSISEMTLERMNGLFGSFSLLSPREETNGTLRRPGSAIDIKSRAVEGSNNHPKLHRNFSAASDMSSISSASVTNPVHKRTSSWCFNQKLFLQSLYKVLVSVSETGSIILYLRDVEKLLLQSERLYNLFQKLLNKLPHSVLILGSRMLGPEDDYREVDERLSALFPYNIEIKPPEDENNLDSWKAKLEEDMKVLQAQDNRNHIAEVLAANDLECDDLGSICYSDTMILGNYIEEIVVSAISYHLMNNKDPEYRNGKLVISSKSLSHGLNIFQEGKSCGKDTLKLETNAESSKEKEGEEAVSAKTEPKSDAAASESKSETEKSLSGVKKDGENPPAAKAPEVPPDNEFEKRIRPEVIPANEIGVTFADIGAMDDIKESLQELVMLPLRRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVAPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKAGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESREIILRTLLAKEKVEDLDFKELATMTEGYSGSDLKNLCITAAYRPVRELIKQERLKDQERKRREEASKNSEDASDTKDENEEERVTALRPLNMEDMRQAKNQVAASFASEGSVMAELKQWNDLYGEGGSRKKEQLTYFL >KJB52695 pep chromosome:Graimondii2_0_v6:8:55172244:55178753:1 gene:B456_008G272700 transcript:KJB52695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKGILLSALSVGVGIGVGLGLASGQTVSKWAGNNTIADDGITGEQIEQELMRQVIDGKLSKVSFDDFPYYLSERTRALLTSTAYVQLKHSDVSRHTRNLSPVSKAILLSGPAELYQQMLAKALAHYFESKLLLLDITDFSLKMQSKYGCTKKEFALKRSISEMTLERMNGLFGSFSLLSPREETNGTLRRPGSAIDIKSRAVEGSNNHPKLHRNFSAASDMSSISSASVTNPAVHKRTSSWCFNQKLFLQSLYKVLVSVSETGSIILYLRDVEKLLLQSERLYNLFQKLLNKLPHSVLILGSRMLGPEDDYREVDERLSALFPYNIEIKPPEDENNLDSWKAKLEEDMKVLQAQDNRNHIAEVLAANDLECDDLGSICYSDTMILGNYIEEIVVSAISYHLMNNKDPEYRNGKLVISSKSLSHGLNIFQEGKSCGKDTLKLETNAESSKEKEGEEAVSAKTEPKSDAAASESKSETEKSLSGVKKDGENPPAAKAPEVPPDNEFEKRIRPEVIPANEIGVTFADIGAMDDIKESLQELVMLPLRRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVAPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKAGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESREIILRTLLAKEKVEDLDFKELATMTEGYSGSDLKNLCITAAYRPVRELIKQERLKDQERKRREEASKNSEDASDTKDENEEERVTALRPLNMEDMRQAKNQVAASFASEGSVMAELKQWNDLYGEGGSRKKEQLTYFL >KJB52697 pep chromosome:Graimondii2_0_v6:8:55172866:55178821:1 gene:B456_008G272700 transcript:KJB52697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKALAHYFESKLLLLDITDFSLKMQSKYGCTKKEFALKRSISEMTLERMNGLFGSFSLLSPREETNGTLRRPGSAIDIKSRAVEGSNNHPKLHRNFSAASDMSSISSASVTNPVHKRTSSWCFNQKLFLQSLYKVLVSVSETGSIILYLRDVEKLLLQSERLYNLFQKLLNKLPHSVLILGSRMLGPEDDYREVDERLSALFPYNIEIKPPEDENNLDSWKAKLEEDMKVLQAQDNRNHIAEVLAANDLECDDLGSICYSDTMILGNYIEEIVVSAISYHLMNNKDPEYRNGKLVISSKSLSHGLNIFQEGKSCGKDTLKLETNAESSKEKEGEEAVSAKTEPKSDAAASESKSETEKSLSGVKKDGENPPAAKAPEVPPDNEFEKRIRPEVIPANEIGVTFADIGAMDDIKESLQELVMLPLRRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVAPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKAGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESREIILRTLLAKEKVEDLDFKELATMTEGYSGSDLKNLCITAAYRPVRELIKQERLKDQERKRREEASKNSEDASDTKDENEEERVTALRPLNMEDMRQAKNQVAASFASEGSVMAELKQWNDLYGEGGSRKKEQLTYFL >KJB52694 pep chromosome:Graimondii2_0_v6:8:55171858:55178821:1 gene:B456_008G272700 transcript:KJB52694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKGILLSALSVGVGIGVGLGLASGQTVSKWAGNNTIADDGITGEQIEQELMRQVIDGKLSKVSFDDFPYYLSERTRALLTSTAYVQLKHSDVSRHTRNLSPVSKAILLSGPAELYQQMLAKALAHYFESKLLLLDITDFSLKMQSKYGCTKKEFALKRSISEMTLERMNGLFGSFSLLSPREETNGTLRRPGSAIDIKSRAVEGSNNHPKLHRNFSAASDMSSISSASVTNPVHKRTSSWCFNQKLFLQSLYKVLVSVSETGSIILYLRDVEKLLLQSERLYNLFQKLLNKLPHSVLILGSRMLGPEDDYREVDERLSALFPYNIEIKPPEDENNLDSWKAKLEEDMKVLQAQDNRNHIAEVLAANDLECDDLGSICYSDTMILGNYIEEIVVSAISYHLMNNKDPEYRNGKLVISSKSLSHGLNIFQEGKSCGKDTLKLETNAESSKEKEGEEAVSAKTEPKSDAAASESKSETEKSLSGVKKDGENPPAAKAPEVPPDNEFEKRIRPEVIPANEIGVTFADIGAMDDIKESLQELVMLPLRRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVAPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKAGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESREIILRTLLAKEKVEDLDFKELATMTEGYSGSDLKNLCITAAYRPVRELIKQERLKDQERKRREEASKNSEDASDTKDENEEERVTALRPLNMEDMRQAKNQVAASFASEGSVMAELKQWNDLYGEGGSRKKEQLTYFL >KJB52476 pep chromosome:Graimondii2_0_v6:8:54366676:54369891:-1 gene:B456_008G263700 transcript:KJB52476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIWRVNSLNKAVKTLRYLQESSFSTAVISQHSSSTLRLFSPPFATTVRHLRAGRDPGIRYEAAPPVNWGIRIVPEKKAYVIERFGKYLKTLPSGIHFLIPFVDRIAYVHSLKEEAIPIPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVENPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVESINVAARDWGLQCLRYEIRDISPPRGVRAAMEMQAEAERKRRAQVLESEGERQADINIADGRKSSVILASEAARMDQVNRAQGEAEAILARAQATAKGIALVSQSLKENGGVEAASLRIAEQYVQAFSNIAKEGTTMLLPSSTANPSNMIAQALTMYKSLVKNASTDGSHAKDSPSEEPEGETRDLPTSVEARDTSHDDQPGFSLQSSKKKE >KJB52474 pep chromosome:Graimondii2_0_v6:8:54367579:54369804:-1 gene:B456_008G263700 transcript:KJB52474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIWRVNSLNKAVKTLRYLQESSFSTAVISQHSSSTLRLFSPPFATTVRHLRAGRDPGIRYEAAPPVNWGIRIVPEKKAYVIERFGKYLKTLPSGIHFLIPFVDRIAYVHSLKEEAIPIPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVENPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVESINVAARDWGLQCLRYEIRDISPPRGVRAAMEMQAEAERKRRAQVLESEGERQADINIADGRKSSVILASEAARMDQVNRAQGEAEAILARAQATAKGIALVSQSLKENGGVEVRFFHHCHHVCVIGADISIMNFL >KJB52475 pep chromosome:Graimondii2_0_v6:8:54367579:54369804:-1 gene:B456_008G263700 transcript:KJB52475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIWRVNSLNKAVKTLRYLQESSFSTAVISQHSSSTLRLFSPPFATTVRHLRAGRDPGIRYEAAPPVNWGIRIVPEKKAYVIERFGKYLKTLPSGIHFLIPFVDRIAYVHSLKEEAIPIPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVENPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVESINVAARDWGLQCLRYEIRDISPPRGVRAAMEMQAEAERKRRAQVLESEGERQADINIADGRKSSVILASEAARMDQVNRAQGEAEAILARAQATAKGIALVSQSLKENGGVEVRFFHHCHHVCVIGADISIMNFL >KJB48905 pep chromosome:Graimondii2_0_v6:8:31686122:31686967:-1 gene:B456_008G104000 transcript:KJB48905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DOWNSTREAM OF FLC [Source:Projected from Arabidopsis thaliana (AT5G10130) UniProtKB/Swiss-Prot;Acc:Q9LX15] MATKLFLLLAICVLPVLVNANNKAFQIVGRVYCDACRAGFETSKCSYIHGARVEIKCFDRPTLKLKYSIGAETDETGTYNIVVEDDHEDQICYATLVSSPIPSCRIVDPRRNKATAILTRSNGAISNLHYTNAMGFLQDTVADGCQELLLKLLQDDE >KJB53033 pep chromosome:Graimondii2_0_v6:8:56430643:56434535:-1 gene:B456_008G289500 transcript:KJB53033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSYDEECDYLFKAVLIGDSAVGKSNLLSRFATDEFRLDSKPTIGVEFAYRHVKIGDKVIKAQIWDTAGQERAITSSYYRGALGAVLVYDITRRTTFENVKKWMHELREFGNSDIVVVLVGNKSDLTQFRQVSEEEGRNLAESHGLFFMETSALQNLNVEEAFLRMITKIHEITSKKYLDSKLNDNIGSLKGGKEIISLDEVTATKQSNNCCY >KJB53032 pep chromosome:Graimondii2_0_v6:8:56430621:56434706:-1 gene:B456_008G289500 transcript:KJB53032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSYDEECDYLFKAVLIGDSAVGKSNLLSRFATDEFRLDSKPTIGVEFAYRHVKIGDKVIKAQIWDTAGQERFRAITSSYYRGALGAVLVYDITRRTTFENVKKWMHELREFGNSDIVVVLVGNKSDLTQFRQVSEEEGRNLAESHGLFFMETSALQNLNVEEAFLRMITKIHEITSKKYLDSKLNDNIGSLKGGKEIISLDEVTATKQSNNCCY >KJB53085 pep chromosome:Graimondii2_0_v6:8:56668980:56671010:1 gene:B456_008G292900 transcript:KJB53085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQGMINEVGADQNGTIDFPEFLNLIARKMKDTESEEELKEAFKVFDKDQNGFISATDLRHAMTNLGEILTDEEVDEMIHEADTDGDGQVNYEEFVRMMMVAK >KJB52862 pep chromosome:Graimondii2_0_v6:8:55751143:55752437:1 gene:B456_008G280600 transcript:KJB52862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLVQDYDMVDSGSVSESGTDRPVNFSDDYVMLASSYPKRRAGRKKFRETRHPVYRGVRRRNPGKWVSEVREPNKKSRIWLGTFPTADMAARAHDVAETFRTAEHSSGNSRNDAKRSENTEMEKGFYLDEEALFGTQRFWANMAAGMMMSPPRSGHDGGWEEHEVDDYVPLWSYSI >KJB52860 pep chromosome:Graimondii2_0_v6:8:55751143:55752437:1 gene:B456_008G280600 transcript:KJB52860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAHDVAAIALRGKSACLNFADSAWNLPVPASSDRKDIQKTVAEVAETFRTAEHSSGNSRNDAKRSENTEMEKGFYLDEEALFGTQRFWANMAAGMMMSPPRSGHDGGWEEHEVDDYVPLWSYSI >KJB52863 pep chromosome:Graimondii2_0_v6:8:55751143:55752437:1 gene:B456_008G280600 transcript:KJB52863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSGSVSESGTDRPVNFSDDYVMLASSYPKRRAGRKKFRETRHPVYRGVRRRNPGKWVSEVREPNKKSRIWLGTFPTADMAARAHDVAAIALRGKSACLNFADSAWNLPVPASSDRKDIQKTVAEVAETFRTAEHSSGNSRNDAKRSENTEMEKGFYLDEEALFGTQRFWANMAAGMMMSPPRSGHDGGWEEHEVDDYVPLWSYSI >KJB52861 pep chromosome:Graimondii2_0_v6:8:55751143:55752437:1 gene:B456_008G280600 transcript:KJB52861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAHDVAAIALRGKSACLNFADSAWNLPVPASSDRKDIQKTVAEVAETFRTAEHSSGNSRNDAKRSENTEMEKGFYLDEEALFGTQRFWANMAAGMMMSPPRSGHDGGWEEHEVDDYVPLWSYSI >KJB47392 pep chromosome:Graimondii2_0_v6:8:2897245:2901704:1 gene:B456_008G025000 transcript:KJB47392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYIWSSNARPDALHFLVALYFALSFPVARFLLDKFIFRRLSVWLLSNGSAPLRMNEATQVKITKCSESMWKLTYFATVETWVLKITYYEPWFGDSKGYFKDWPNQELKLSLSLFYMCQCGFYIYSIFALLTWETRRKDFSVMMSHHIITSILIGYSYVTSFFRIGSIILALHDASDVFLEAAKVFKYSEREHGASACFGLFAISWLVLRIIIFPFWVIKSSSYDVMECLSLSESYSKFLYYFLNTMLFMLLVFHVYWWVLICSMIMRQWQNRGKVGEDIRSDSEDDGD >KJB47391 pep chromosome:Graimondii2_0_v6:8:2897245:2901474:1 gene:B456_008G025000 transcript:KJB47391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEATQVKITKCSESMWKLTYFATVETWVLKITYYEPWFGDSKGYFKDWPNQELKLSLSLFYMCQCGFYIYSIFALLTWETRRKDFSVMMSHHIITSILIGYSYVTSFFRIGSIILALHDASDVFLEAAKVFKYSEREHGASACFGLFAISWLVLRIIIFPFWVIKSSSYDVMECLSLSESYSKFLYYFLNTMLFMLLVFHVYWWVLICSMIMRQWQNRGKVGEDIRSDSEDDGD >KJB50265 pep chromosome:Graimondii2_0_v6:8:42829396:42833475:-1 gene:B456_008G161300 transcript:KJB50265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFTRSVVSRKPNESMRLIVTAFVGVVFGFLIGLCFPKLSLTKFSLSTSIFTAIDFKYTEYTKLGPSSSTRVSHPVVNNGSSANVTLKKIWVPSNPRGAERLPPGIVRAESDLYLRRLWGKPSEDLTSKPKYLVTFTVGYDQRKNIDAAVKKFSGNFTILLFHYDGRTTEWDEFEWSKKAIHVSVRRQTKWWYAKRFLHPDIVATYDYIFIWDEDLGVEHFNAEEYIKLVRKHGLEISQPGLEPNKGLTWQMTKRRGDHEVHKETEEKPGWCNHPHVPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQSVPSLGNQGESLDGKAPWKGVRERCKKEWSIFQTRLSRAEKAYLKEINSTSH >KJB50267 pep chromosome:Graimondii2_0_v6:8:42829168:42834724:-1 gene:B456_008G161300 transcript:KJB50267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFTRSVVSRKPNESMRLIVTAFVGVVFGFLIGLCFPKLSLTKFSLSTSIFTAIDFKYTEYTKLGPSSSTRVSHPVVNNGSSANVTLKKIWVPSNPRGAERLPPGIVRAESDLYLRRLWGKPSEDLTSKPKYLVTFTVGYDQRKNIDAAVKKFSGNFTILLFHYDGRTTEWDEFEWSKKAIHVSVRRQTKWWYAKRFLHPDIVATYDYIFIWDEDLGVEHFNAEEYIKLVRKHGLEISQPGLEPNKGLTWQMTKRRGDHEVHKETEEKPGWCNHPHVPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQSVPSLGNQGESLDGKAPWKGVRERCKKEWSIFQTRLSRAEKAYLKEINSTSH >KJB50268 pep chromosome:Graimondii2_0_v6:8:42829396:42834402:-1 gene:B456_008G161300 transcript:KJB50268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFTRSVVSRKPNESMRLIVTAFVGVVFGFLIGLCFPKLSLTKFSLSTSIFTAIDFKYTEYTKLGPSSSTRVSHPVVNNGSSANVTLKKIWVPSNPRGAERLPPGIVRAESDLYLRRLWGKPSEFSGNFTILLFHYDGRTTEWDEFEWSKKAIHVSVRRQTKWWYAKRFLHPDIVATYDYIFIWDEDLGVEHFNAEEYIKLVRKHGLEISQPGLEPNKGLTWQMTKRRGDHEVHKETEEKPGWCNHPHVPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQSVPSLGNQGESLDGKAPWKGVRERCKKEWSIFQTRLSRAEKAYLKEINSTSH >KJB50266 pep chromosome:Graimondii2_0_v6:8:42829217:42834424:-1 gene:B456_008G161300 transcript:KJB50266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIVTAFVGVVFGFLIGLCFPKLSLTKFSLSTSIFTAIDFKYTEYTKLGPSSSTRVSHPVVNNGSSANVTLKKIWVPSNPRGAERLPPGIVRAESDLYLRRLWGKPSEDLTSKPKYLVTFTVGYDQRKNIDAAVKKFSGNFTILLFHYDGRTTEWDEFEWSKKAIHVSVRRQTKWWYAKRFLHPDIVATYDYIFIWDEDLGVEHFNAEEYIKLVRKHGLEISQPGLEPNKGLTWQMTKRRGDHEVHKETEEKPGWCNHPHVPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQSVPSLGNQGESLDGKAPWKGVRERCKKEWSIFQTRLSRAEKAYLKEINSTSH >KJB48199 pep chromosome:Graimondii2_0_v6:8:9023564:9025126:-1 gene:B456_008G058000 transcript:KJB48199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLCGQAYGAKQYQKFGSYTYCAIMCLIPICLPVCLLSVFMDKLLVLTGQDPEIAKMAWRYGIWLIPALFPYSILQSQVRYFQTQSLILPMLFVSLATLCFHVPVCWVLVFKSGLENTGAALSIGLSYWLNVILLGFHMRYSASCEKTRCFILKDVFSSVKHFFRFGIPSAVMLCLEWWSFEILILLSGLLPDAELQTSVISICFTSSSLHYYIPFGISVAASTRVSNELGGGNPEAAQISTIVVTLVTLAEAVIASVILFCCRHIFGYAYSDDKEVVNNVAKMVPLMCLSIIMDSLHVVLAGIVRGIGWQHIGAYANLGAYYLVGIPMGVLGAFVLHLRIESGRSLAWNGVWIHRTRNPPCFSIHFHKLEKPGNKG >KJB48201 pep chromosome:Graimondii2_0_v6:8:9023564:9026334:-1 gene:B456_008G058000 transcript:KJB48201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVLLGKREARRWGLFLEELMKVSSVAVPFMAVAVSQYLLQAVSVMMAGHLGQLSLSAVAIATSFCNVTGFSLLSGFAGAMETLCGQAYGAKQYQKFGSYTYCAIMCLIPICLPVCLLSVFMDKLLVLTGQDPEIAKMAWRYGIWLIPALFPYSILQSQVRYFQTQSLILPMLFVSLATLCFHVPVCWVLVFKSGLENTGAALSIGLSYWLNVILLGFHMRYSASCEKTRCFILKDVFSSVKHFFRFGIPSAVMLCLEWWSFEILILLSGLLPDAELQTSVISICFTSSSLHYYIPFGISVAASTRVSNELGGGNPEAAQISTIVVTLVTLAEAVIASVILFCCRHIFGYAYSDDKEVVNNVAKMVPLMCLSIIMDSLHVVLAGIVRGIGWQHIGAYANLGAYYLVGIPMGVLGAFVLHLRIESGRSLAWNGVWIHRTRNPPCFSIHFHKLEKPGNKG >KJB48200 pep chromosome:Graimondii2_0_v6:8:9023324:9026554:-1 gene:B456_008G058000 transcript:KJB48200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVLLGKREARRWGLFLEELMKVSSVAVPFMAVAVSQYLLQAVSVMMAGHLGQLSLSAVAIATSFCNVTGFSLLSGFAGAMETLCGQAYGAKQYQKFGSYTYCAIMCLIPICLPVCLLSVFMDKLLVLTGQDPEIAKMAWRYGIWLIPALFPYSILQSQVRYFQTQSLILPMLFVSLATLCFHVPVCWVLVFKSGLENTGAALSIGLSYWLNVILLGFHMRYSASCEKTRCFILKDVFSSVKHFFRFGIPSAVMLCLEWWSFEILILLSGLLPDAELQTSVISICFTSSSLHYYIPFGISVAASTRVSNELGGGNPEAAQISTIVVTLVTLAEAVIASLTRGTCWHCERNWVAAYWSLCKSWGILSCWNTDGSIRCICFAFEN >KJB47478 pep chromosome:Graimondii2_0_v6:8:13056905:13057779:1 gene:B456_008G073500 transcript:KJB47478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLWRGLKTVVQTSPANTHHYHTIQAIPRECTGSRISSRDRAQGRIPAVVFSQGLLEKNPSNRSPSRKQILTTERKQIRSIIKSVQLPFFCSTRFQLQIRAGSGSSVLLESGTVLPIKIHRDEESGKILNLVFVWADEGTELKVDVPVVFKGLEDCPGLKKGMQFSAIVPI >KJB47477 pep chromosome:Graimondii2_0_v6:8:13056905:13059207:1 gene:B456_008G073500 transcript:KJB47477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLWRGLKTVVQTSPANTHHYHTIQAIPRECTGSRISSRDRAQGRIPAVVFSQGLLEKNPSNRSPSRKQILTTERKQIRSIIKSVQLPFFCSTRFQLQIRAGSGSSVLLESGTVLPIKIHRDEESGKILNLVFVWADEGTELKVDVPVVFKGLEDCPGLKKGGYFKMIRSSLKFQCPAEHIPQKIEVDVSKSDIDDRVLMHDIEVHPSMKLLSKNESMPICKIAPTYIENPEPIKV >KJB47479 pep chromosome:Graimondii2_0_v6:8:13056732:13059207:1 gene:B456_008G073500 transcript:KJB47479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLWRGLKTVVQTSPANTHHYHTIQAIPRECTGSRISSRDRAQGRIPAVVFSQGLLEKNPSNRSPSRKQILTTERKQIRSIIKSVQLPFFCSTRFQLQIRAGSGSSVLLESGTVLPIKIHRDEESGKILNLVFVWADEGTELKVDVPVVFKGLEDCPGLKKGGYFKMIRSSLKFQCPAEHIPQKIEVDVSKSDIDDRVLMHDIEVHPSMKLLSKNESMPICKIAPTYIENPEPIKV >KJB53038 pep chromosome:Graimondii2_0_v6:8:56462425:56467372:-1 gene:B456_008G289900 transcript:KJB53038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGEREVQKNYWMEHSIDLTEDGTILDSKDADLDKEDRLEVLSLLPPYEGKCILELGAGIGRFTGELAQKADSVIALDFIEDVIKKNESINGHYKNIKFLCADATSPDLDISEGSMDLIFSNLLLMYLSNEEVENLAQGMMKWLKVGGHIFFREACFDQSGDCKQKHAPSHYREPRFYTQVFKECHATDDSGNSFKLSLVGYKCISAYVKHKKNQNQICWIWEKVSSDNDGGFQRFLDTVQYKANSILRYERTFGEGFVSTGGIETTKEFVAKLDLKPGQKVLDVGCGIGGGDFYMAKEFGVYVVGIDLSINMISFALERANGLNCSVEFEVADCTTKTYPDNSFDVIYSRDTILHIQDKPALFRYFYKWLKPGGKVLISDYCKSAGASSPEFAEYIKQRGYDLHDVKAYGQVIAEDRTDQFIQVLQRELDKVEKDKDTFITDFSQEDYDDIVGGWKAKLIRTRSGEQRWGLFIANKN >KJB53040 pep chromosome:Graimondii2_0_v6:8:56462425:56467421:-1 gene:B456_008G289900 transcript:KJB53040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGEREVQKNYWMEHSIDLTEDGTILDSKDADLDKEDRLEVLSLLPPYEGKCILELGAGIGRFTGELAQKADSVIALDFIEDVIKKNESINGHYKNIKFLCADATSPDLDISEGSMDLIFSNLLLMYLSNEEVENLAQGMMKWLKVGGHIFFREACFDQSGDCKQKHAPSHYREPRFYTQVFKECHATDDSGNSFKLSLVGYKCISAYVKHKKNQNQICWIWEKVSSDNDGGFQRFLDTVQYKANSILRYERTFGEGFVSTGGIETTKEFVAKLDLKPGQKVLDVGCGIGGGDFYMAKEFGVYVVGIDLSINMISFALERANGLNCSVEFEVADCTTKTYPDNSFDVIYSRDTILHIQDKPALFRYFYKWLKPGGKVLISDYCKSAGASSPEFAEYIKQRGYDLHDVKAYGQMLTDAGFDQVIAEDRTDQFIQVLQRELDKVEKDKDTFITDFSQEDYDDIVGGWKAKLIRTRSGEQRWGLFIANKN >KJB53039 pep chromosome:Graimondii2_0_v6:8:56462599:56466976:-1 gene:B456_008G289900 transcript:KJB53039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGEREVQKNYWMEHSIDLTEDGTILDSKDADLDKEDRLEVLSLLPPYEGKCILELGAGIGRFTGELAQKADSVIALDFIEDVIKKNESINGHYKNIKFLCADATSPDLDISEGSMDLIFSNLLLMYLSNEEVENLAQGMMKWLKVGGHIFFREACFDQSGDCKQKHAPSHYREPRFYTQVFKECHATDDSGNSFKLSLVGYKCISAYVKHKKNQNQICWIWEKVSSDNDGGFQRFLDTVQYKANSILRYERTFGEGFVSTGGIETTKEFVAKLDLKPGQKVLDVGCGIGGGDFYMAKEFGVYVVGIDLSINMISFALERANGLNCSVEFEVADCTTKTYPDNSFDVIYSRDTILHIQDKPALFRYFYKWLKPGGKVLISDYCKSAGASSPEFAEYIKQRGYDLHDVKAYGQMLTDAGFDQVIAEDRTDQFIQVLQRELDKVEKDKDTFITDFSQEDYDDIVGGWKAKLIRTRSGEQRWGLFIANKN >KJB53041 pep chromosome:Graimondii2_0_v6:8:56462752:56467372:-1 gene:B456_008G289900 transcript:KJB53041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGEREVQKNYWMEHSIDLTEDGTILDSKDADLDKEDRLEVLSLLPPYEGKCILELGAGIGRFTGELAQKADSVIALDFIEDVIKKNESINGHYKNIKFLCADATSPDLDISEGSMDLIFSNLLLMYLSNEEVENLAQGMMKWLKVGGHIFFREACFDQSGDCKQKHAPSHYREPRFYTQVFKECHATDDSGNSFKLSLVGYKCISAYVKHKKNQNQICWIWEKVSSDNDGGFQRFLDTVQYKANSILRYERTFGEGFVSTGGIETTKEFVAKLDLKPGQKVLDVGCGIGGGDFYMAKEFGVYVVGIDLSINMISFALERANGLNCSVEFEVADCTTKTYPDNSFDVIYSRDTILHIQDKPALFRYFYKWLKPGGKVLISDYCKSAGASSPEFAEYIKQRGYDLHDVKAYGQMLTDAGFDQVIAEDRTDQVQINRPFYFFRMIVIL >KJB48414 pep chromosome:Graimondii2_0_v6:8:11148106:11150834:-1 gene:B456_008G068100 transcript:KJB48414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMCADSGNLMAIAQQVIKQQQQQEQQQEQHHHQQQQLLGVSHVTLNPWPNNPHHGVSNSPILGYGLSGPGFTDLFQGDTGEGFQFPNMGHHHSSGFRFPDFGGGSGGEFDTDEWMDCLMNSGDSTDSSNLPSGCEVWQNNADFGLYGADPFSACPNRLSVACPTPSDLNRVIFPTEAQKNLSPTLQPQHQLPTWSPSPSPPPPPPPHVAVKETKASSPPSQTPLTNDATGVSADSTKIESAPPLLKALLDCARLSDSEPERAIKSLVELRESVSERGDPTERVAFYFTQALYSRVSLPAEKRLNLLETTSEDFTLSYKVLNDACPYSKFAHLTANQAILEATERATKIHIVDFGIVQGVQWAALLQALAARSAGKPTRIRISGIPAPVLGSSPAPSLYATGNRLRDFAKLLDLNFEFEPILTPIKELKESCFRVDNDEVLAVNFMLQLYNLLDATPVTVEAALCLAKSLNPKIVTLGEYEASLNRVGFVNRFKNALRYYTAVFESLEPNLPRDSPERVEVERQLLGGKIGAIIGAEEAEKRRERMEDKEQWKVLMESAGFETVSLSHYAISQAKILLWNYNYSSSYSLIESKPGFLTLAWKEVPLLTVSSWR >KJB48413 pep chromosome:Graimondii2_0_v6:8:11148191:11150769:-1 gene:B456_008G068100 transcript:KJB48413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMCADSGNLMAIAQQVIKQQQQQEQQQEQHHHQQQQLLGVSHVTLNPWPNNPHHGVSNSPILGYGLSGPGFTDLFQGDTGEGFQFPNMGHHHSSGFRFPDFGGGSGGEFDTDEWMDCLMNSGDSTDSSNLPSGCEVWQNNADFGLYGADPFSACPNRLSVACPTPSDLNRVIFPTEAQKNLSPTLQPQHQLPTWSPSPSPPPPPPPHVAVKETKASSPPSQTPLTNDATGVSADSTKIESAPPLLKALLDCARLSDSEPERAIKSLVELRESVSERGDPTERVAFYFTQALYSRVSLPAEKRLNLLETTSEDFTLSYKVLNDACPYSKFAHLTANQAILEATERATKIHIVDFGIVQGVQWAALLQALAARSAGKPTRIRISGIPAPVLGSSPAPSLYATGNRLRDFAKLLDLNFEFEPILTPIKELKESCFRVDNDEVLAVNFMLQLYNLLDATPVTVEAALCLAKSLNPKIVTLGEYEASLNRVGFVNRFKNALRYYTAVFESLEPNLPRDSPERVEVERQLLGGKIGAIIGAEEAEKRRERMEDKEQWKVLMESAGFETVSLSHYAISQAKILLWNYNYSSSYSLIESKPGFLTLAWKEVPLLTVSSWR >KJB49607 pep chromosome:Graimondii2_0_v6:8:36990144:36993024:-1 gene:B456_008G128200 transcript:KJB49607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPYAIKGKKRKNRGEKYDKEEQEHEEQVEEEEREPAKQAAIEKPTEEVEGKEEEEGGEAGTDELEGIPIAPSDKKNNKNGVIFVLEKASLEVAKVGKSFQLLNSDDHANFLRKNNKNPADYRPDITHQALLSILDSPVNKAGRLQAVYVRTEKGVLFEVKPHVRIPRTYKRFSGIMLQLLQKLNITAVGKREKLLRVIKNPVTNYFPVNSRKIGFSYSSDKLAKMRKYVDAVGDDVNLVFVVGAMAHGKIEVDYIDDFIAISGYPLSAAMCIARITEALADKWSIL >KJB49608 pep chromosome:Graimondii2_0_v6:8:36990691:36992933:-1 gene:B456_008G128200 transcript:KJB49608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPYAIKGKKRKNRGEKYDKEEQEHEEQVEEEEREPAKQAAIEKPTEEVEGKEEEEGGEAGTDELEGIPIAPSDKKNNKNGVIFVLEKASLEVAKVGKSFQLLNSDDHANFLRKNNKNPADYRPDITHQALLSILDSPVNKAGRLQAVYVRTEKGVLFEVKPHVRIPRTYKRFSGIMLQLLQKLNITAVGKREKLLRVIKNPVTNYFPVNSRKIGFSYSSDKLAKMRKYVDAVGDDVNLVFVVGAMAHGKIEVDYIDDFIASKFHISYLYVC >KJB48504 pep chromosome:Graimondii2_0_v6:8:12578948:12583575:1 gene:B456_008G072600 transcript:KJB48504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMSSPSLTESQFIRICRLINDSLHPFTVSENLSFSKQEEKNLLLILSQVSNETRRLIPSADTSSPLNPNSQNHHCLSKSISHLIPLLNLESLYIQHLAGNVLVTFSEFLASSVKTWEFFIHSLSICLELSISNISSCSFEPSITGAGGSGSDLLNLVGLFKPKLKNTSLFTVAGIIRTLRNILKFLKEECDDELVLVLLNSISFFISNVPWDSMDEIFGGNGGEDDERNALFLGNFIQLLSSFVDQISFAEGLDDSLDKNVILSKIINLVPKLLYWSLRKEGKCVNTCISRYFSHKLLVLMIRLSLQIPLDFLVLVSWLQLLHSYFEDLLYQPLTDVMNQDDYLEDSPFMLSNFDGEVHSMHSRHLQRQAIFLFLRCSFSLINLGKATRKHYSSATVKSSIDVDAISEQSCGREKGLLEIYAWLSGHVVVDKLVAHEMYREKSINFSFSLLKLYTHEDDILFKFLLELLSLQACEEQKFHKERLAPQDEMEDVLFHVSYIFNPIRLFHLFLAELHYDHQVLLDYLISKDTGISCAEYLLRCLRIVCDSWQTFMEFSVYGKLSNQLSSKRRKILSESSNFKIEPSSGPVKTIPLSLEKKFNGNLEYRHMKQMYELAKGCLLSLKKSVENLHLKNLFPYNPEVLLKRLERFQELCFKQ >KJB48502 pep chromosome:Graimondii2_0_v6:8:12578948:12583575:1 gene:B456_008G072600 transcript:KJB48502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMSSPSLTESQFIRICRLINDSLHPFTVSENLSFSKQEEKNLLLILSQVSNETRRLIPSADTSSPLNPNSQNHHCLSKSISHLIPLLNLESLYIQHLAGNVLVTFSEFLASSVKTWEFFIHSLSICLELSISNISSCSFEPSITGAGGSGSDLLNLVGLFKPKLKNTSLFTVAGIIRTLRNILKFLKEECDDELVLVLLNSISFFISNVPWDSMDEIFGGNGGEDDERNALFLGNFIQLLSSFVDQISFAEGLDDSLDKNVILSKIINLVPKLLYWSLRKEGKCVNTCISRYFSHKLLVLMIRLSLQIPLDFLVLVSWLQLLHSYFEDLLYQPLTDVMNQDDYLEDSPFMLSNFDGEVHSMHSRHLQRQAIFLFLRCSFSLINLGKATRKHYSSATVKSSIDVDAISEQSCGREKGLLEIYAWLSGHVVVDKLVAHEMYREKSINFSFSLLKLYTHEDDILFKFLLELLSLQACEEQKFHKERLAPQDEMEDVLFHVSYIFNPIRLFHLFLAELHYDHQVLLDYLISKDTGISCAEYLLRCLRIVCDSWQTFMEFSVYGKLSNQLSSKRRKILSESSNFKIEPSSGPVKTIPLSLEKKFNGNLEYRHMKQMYELAKGCLLSLKKSVENLHLKNLFPYNPEVLLKRLERFQELCFKQ >KJB48503 pep chromosome:Graimondii2_0_v6:8:12578963:12583525:1 gene:B456_008G072600 transcript:KJB48503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMSSPSLTESQFIRICRLINDSLHPFTVSENLSFSKQEEKNLLLILSQVSNETRRLIPSADTSSPLNPNSQNHHCLSKSISHLIPLLNLESLYIQHLAGNVLVTFSEFLASSVKTWEFFIHSLSICLELSISNISSCSFEPSITGAGGSGSDLLNLVGLFKPKLKNTSLFTVAGIIRTLRNILKFLKEECDDELVLVLLNSISFFISNVPWDSMDEIFGGNGGEDDERNALFLGNFIQLLSSFVDQISFAEGLDDSLDKNVILSKIINLVPKLLYWSLRKEGKCVNTCISRYFSHKLLVLMIRLSLQIPLDFLVLVSWLQLLHSYFEDLLYQPLTDVMNQDDYLEDSPFMLSNFDGEVHSMHSRHLQRQAIFLFLRCSFSLINLGKATRKHYSSATVKSSIDVDAISEQSCGREKGLLEIYAWLSGHVVVDKLVAHEMYREKSINFSFSLLKLYTHEDDILFKFLLELLSLQACEEQKFHKERLAPQDEMEDVLFHVSYIFNPIRLFHLFLAELHYDHQVLLDYLISKDTGISCAEYLLRCLRIVCDSWQTFMEFSVYGKLSNQLSSKRRKILSESSNFKIEPSSGPVKTIPLSLEKKFNGNLEYRHMKQMYELAKGCLLSLKKSVENLHLKNLFPYNPEVLLKRLERFQELCFKQ >KJB46901 pep chromosome:Graimondii2_0_v6:8:300867:306616:1 gene:B456_008G001400 transcript:KJB46901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAAGGGGGGGGGQQPRQQQQQNGFGQTITGIIRIAVFWYFASKFFSPKKPTDPSNLISNLFQKGEPLDMWLYLSEHEKFNDFGNEASLVWHETNIPYVTWGPESTRTLSLKYYPSQALKNNGSLYAHVFFARSGYPPDPNDPEFQPQATFGRTHSVVAYLPKSRSDKRKSLLGNTKEGEAVESVAMVADDAETDSKDDGPDEWIAYWKPNITINLVEDFTRYGHNAVPPNIAPYLNIEPSTGNYYPTIFFNEFWLLRDKLIAINESVTELPLNLEVGPISMMKWQLFLQIDQSFQIHRSYGSMLEGEADELKRVFLEGNPYLLVITMVVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFLCQLVVFLYLLDNETSWMILASSGIGVCIEFWKIGKAMHIEIDRSGRIPMLRFRDRDSYASNKTKEYDDIAMKYLSYVLFFLVACFSVYSLMYERHKSWSSWILSSLTSCVYMFGKPSVLSCFLL >KJB46902 pep chromosome:Graimondii2_0_v6:8:300867:306641:1 gene:B456_008G001400 transcript:KJB46902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAAGGGGGGGGGQQPRQQQQQNGFGQTITGIIRIAVFWYFASKFFSPKKPTDPSNLISNLFQKGEPLDMWLYLSEHEKFNDFGNEASLVWHETNIPYVTWGPESTRTLSLKYYPSQALKNNGSLYAHVFFARSGYPPDPNDPEFQPQATFGRTHSVVAYLPKSRSDKRKSLLGNTKEGEAVESVAMVADDAETDSKDDGPDEWIAYWKPNITINLVEDFTRYGHNAVPPNIAPYLNIEPSTGNYYPTIFFNEFWLLRDKLIAINESVTELPLNLEVGPISMMKWQLFLQIDQSFQIHRSYGSMLEGEADELKRVFLEGNPYLLVITMVVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFLCQLVVFLYLLDNETSWMILASSGIGVCIEFWKIGKAMHIEIDRSGRIPMLRFRDRDSYASNKTKEYDDIAMKYLSYVLFFLVACFSVYSLMYERHKSWSSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYLYQRWVYPVDKKRINEFGFGGEDEHGQTSAIADQDDKKTN >KJB46898 pep chromosome:Graimondii2_0_v6:8:300867:307087:1 gene:B456_008G001400 transcript:KJB46898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAAGGGGGGGGGQQPRQQQQQNGFGQTITGIIRIAVFWYFASKFFSPKKPTDPSNLISNLFQKGEPLDMWLYLSEHEKFNDFGNEASLVWHETNIPYVTWGPESTRTLSLKYYPSQALKNNGSLYAHVFFARSGYPPDPNDPEFQPQATFGRTHSVVAYLPKSRSDKRKSLLGNTKEGEAVESVAMVADDAETDSKDDGPDEWIAYWKPNITINLVEDFTRYGHNAVPPNIAPYLNIEPSTGNYYPTIFFNEFWLLRDKLIAINESVTELPLNLEVGPISMMKWQLFLQIDQSFQIHRSYGSMLEGEADELKRVFLEGNPYLLVITMVVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFLCQLVVFLYLLDNETSWMILASSGIGVCIEFWKIGKAMHIEIDRSGRIPMLRFRDRDSYASNKTKEYDDIAMKYLSYVLFFLVACFSVYSLMYERHKSWSSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYLYQRWVYPVDKKRINEFGFGGEDEHGQTSAIADQDDKKTN >KJB46900 pep chromosome:Graimondii2_0_v6:8:300867:306616:1 gene:B456_008G001400 transcript:KJB46900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAAGGGGGGGGGQQPRQQQQQNGFGQTITGIIRIAVFWYFASKFFSPKKPTDPSNLISNLFQKGEPLDMWLYLSEHEKFNDFGNEASLVWHETNIPYVTWGPESTRTLSLKYYPSQALKNNGSLYAHVFFARSGYPPDPNDPEFQPQATFGRTHSVVAYLPKSRSDKRKSLLGNTKEGEAVESVAMVADDAETDSKDDGPDEWIAYWKPNITINLVEDFTRYGHNAVPPNIAPYLNIEPSTGNYYPTIFFNEFWLLRDKLIAINESVTELPLNLEVGPISMMKWQLFLQIDQSFQIHRSYGSMLEGEADELKIDRSGRIPMLRFRDRDSYASNKTKEYDDIAMKYLSYVLFFLVACFSVYSLMYERHKSWSSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYLYQRWVYPVDKKRINEFGFGGEDEHGQTSAIADQDDKKTN >KJB46899 pep chromosome:Graimondii2_0_v6:8:300867:306616:1 gene:B456_008G001400 transcript:KJB46899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAAGGGGGGGGGQQPRQQQQQNGFGQTITGIIRIAVFWYFASKFFSPKKPTDPSNLISNLFQKGEPLDMWLYLSEHEKFNDFGNEASLVWHETNIPYVTWGPESTRTLSLKYYPSQALKNNGSLYAHVFFARSGYPPDPNDPEFQPQATFGRTHSVVAYLPKSRSDKRKSLLGNTKEGEAVESVAMHGIMLLQVADDAETDSKDDGPDEWIAYWKPNITINLVEDFTRYGHNAVPPNIAPYLNIEPSTGNYYPTIFFNEFWLLRDKLIAINESVTELPLNLEVGPISMMKWQLFLQIDQSFQIHRSYGSMLEGEADELKRVFLEGNPYLLVITMVVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFLCQLVVFLYLLDNETSWMILASSGIGVCIEFWKIGKAMHIEIDRSGRIPMLRFRDRDSYASNKTKEYDDIAMKYLSYVLFFLVACFSVYSLMYERHKSWSSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYLYQRWVYPVDKKRINEFGFGGEDEHGQTSAIADQDDKKTN >KJB46903 pep chromosome:Graimondii2_0_v6:8:299431:306863:1 gene:B456_008G001400 transcript:KJB46903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAAGGGGGGGGGQQPRQQQQQNGFGQTITGIIRIAVFWYFASKFFSPKKPTDPSNLISNLFQKGEPLDMWLYLSEHEKFNDFGNEASLVWHETNIPYVTWGPESTRTLSLKYYPSQALKNNGSLYAHVFFARSGYPPDPNDPEFQPQATFGRTHSVVAYLPKSRSDKRKSLLGNTKEGEAVESVAMVADDAETDSKDDGPDEWIAYWKPNITINLVEDFTRYGHNAVPPNIAPYLNIEPSTGNYYPTIFFNEFWLLRDKLIAINESVTELPLNLEVGPISMMKWQLFLQIDQSFQIHRSYGSMLEGEADELKRVFLEGNPYLLVITMVVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFLCQLVVFLYLLDNETSWMILASSGIGVCIEFWKIGKAMHIEIDRSGRIPMLRFRDRDSYASNKTKEYDDIAMKYLSYVLFFLVACFSVYSLMYERHKSWSSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYLYQRWVYPVDKKRINEFGFGGEDEHGQTSAIADQDDKKTN >KJB47296 pep chromosome:Graimondii2_0_v6:8:2255794:2259143:1 gene:B456_008G019700 transcript:KJB47296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIAQSVLMALTVTVNQFASSNVLAVHRKQGKSPSPTSKPKPTNTKTITAAAANAGDTGIARRGLILYAVASAPQLNDSRTELLKNYLKKTEENKAKNDKERMENYYRRNYKDYFEFVEGTLKGKDEQQLSEAEKAASKRVPKSEESSSPFKELRTVACALLAVCTVATASPVIAANQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKSNLKGKSLAAALMSDAKFDGADMSEAVMSKAYAVGASFKGTDFSNAVLDRVNFGKANLQGAIFKNTVLSGSTFDNAQLEDAVFEDTIIGYIDLQKLCTNTSISAEGRVELGCR >KJB47294 pep chromosome:Graimondii2_0_v6:8:2255723:2259185:1 gene:B456_008G019700 transcript:KJB47294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIAQSVLMALTVTVNQFASSNVLAVHRKQGKSPSPTSKPKPTNTKTITAAAANAGDTGIARRGLILYAVASAPQLNDSRTELLKNYLKKTEENKAKNDKERMENYYRRNYKDYFEFVEGTLKGKDEQQLSEAEKASKRVPKSEESSSPFKELRTVACALLAVCTVATASPVIAANQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKSNLKGKSLAAALMSDAKFDGADMSEAVMSKAYAVGASFKGTDFSNAVLDRVNFGKANLQGAIFKNTVLSGSTFDNAQLEDAVFEDTIIGYIDLQKLCTNTSISAEGRVELGCR >KJB47295 pep chromosome:Graimondii2_0_v6:8:2255794:2258508:1 gene:B456_008G019700 transcript:KJB47295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIAQSVLMALTVTVNQFASSNVLAVHRKQGKSPSPTSKPKPTNTKTITAAAANAGDTGIARRGLILYAVASAPQLNDSRTELLKNYLKKTEENKAKNDKERMENYYRRNYKDYFEFVEGTLKGKDEQQLSEAEKASKRVPKSEESSSPFKELRTVACALLAVCTVATASPVIAANQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKSNLKGKSLAAALMSDAKFDGADMSEAVMSKAYAVGASFKGKSSLCFAFSAIIHLIVT >KJB47646 pep chromosome:Graimondii2_0_v6:8:4188197:4191675:-1 gene:B456_008G034900 transcript:KJB47646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNRWIPHGQLCPTVPNRSNYIHWIEDLLSSHIIPRDNTDGGNVRGFDIGTGANCIYPLLGASLLGWSFVASDMTDVAIEWAERNVKNNPNISELIEIRKVKCSQNTLSPEGLSGESSYSEEREGLPSSSLDISASEDKSYYGPAILVDVVRDGETFDFCMCNPPFFESFEEAGLNPKTSCGGTYEEMVCPGGEKAFITRIIEDSVVLKQSFRWYTSMVGRKVNLKFLVSKLREVGVTVVKTTEFVQGKTFRWGLAWSFVPPAKKIVTPHVTEKNILSFMLEGIQRQFGAIHVLQSVESFFLAGGASCKLNASSFVVDITASADHCNALLNNDVKYIDEVASCSNVQEAPSNLCFRILVFQQIPGTLLVKGSLQHRDSALSGLFSSIIQQLEASLRQKFCKGKTGTNYI >KJB47647 pep chromosome:Graimondii2_0_v6:8:4187833:4192257:-1 gene:B456_008G034900 transcript:KJB47647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIVENSRDLFYDNLERKKMPSKKRRRKEERPKIHPKNKYSDNPPDFALLASLYPTFKPFVFYTREGRPRIDWTDFNATRELTRVLLLHDHNLHWWIPHGQLCPTVPNRSNYIHWIEDLLSSHIIPRDNTDGGNVRGFDIGTGANCIYPLLGASLLGWSFVASDMTDVAIEWAERNVKNNPNISELIEIRKVKCSQNTLSPEGLSGESSYSEEREGLPSSSLDISASEDKSYYGPAILVDVVRDGETFDFCMCNPPFFESFEEAGLNPKTSCGGTYEEMVCPGGEKAFITRIIEDSVVLKQSFRWYTSMVGRKVNLKFLVSKLREVGVTVVKTTEFVQGKTFRWGLAWSFVPPAKKIVTPHVTEKNILSFMLEGIQRQFGAIHVLQSVESFFLAGGASCKLNASSFVVDITASADHCNALLNNDVKYIDEVASCSNVQEAPSNLCFRILVFQQIPGTLLVKGSLQHRDSALSGLFSSIIQQLEASLRQKFCKGKTGTNYI >KJB47645 pep chromosome:Graimondii2_0_v6:8:4188197:4192257:-1 gene:B456_008G034900 transcript:KJB47645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKKRRRKEERPKIHPKNKYSDNPPDFALLASLYPTFKPFVFYTREGRPRIDWTDFNATRELTRVLLLHDHNLHWWIPHGQLCPTVPNRSNYIHWIEDLLSSHIIPRDNTDGGNVRGFDIGTGANCIYPLLGASLLGWSFVASDMTDVAIEWAERNVKNNPNISELIEIRKVKCSQNTLSPEGLSGESSYSEEREGLPSSSLDISASEDKSYYGPAILVDVVRDGETFDFCMCNPPFFESFEEAGLNPKTSCGGTYEEMVCPGGEKAFITRIIEDSVVLKQSFRWYTSMVGRKVNLKFLVSKLREVGVTVVKTTEFVQGKTFRWGLAWSFVPPAKKIVTPHVTEKNILSFMLEGIQRQFGAIHVLQSVESFFLAGGASCKLNASSFVVDITASADHCNALLNNDVKYIDEVASCSNVQEAPSNLCFRILVFQQIPGTLLVKGSLQHRDSALSGLFSSIIQQLEASLRQKFCKGKTGTNYI >KJB47648 pep chromosome:Graimondii2_0_v6:8:4188965:4191675:-1 gene:B456_008G034900 transcript:KJB47648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKKRRRKEERPKIHPKNKYSDNPPDFALLASLYPTFKPFVFYTREGRPRIDWTDFNATRELTRVLLLHDHNLHWWIPHGQLCPTVPNRSNYIHWIEDLLSSHIIPRDNTDGGNVRGFDIGTGANCIYPLLGASLLGWSFVASDMTDVAIEWAERNVKNNPNISELIEIRKVKCSQNTLSPEGLSGESSYSEEREGLPSSSLDISASEDKSYYGPAILVDVVRDGETFDFCMCNPPFFESFEEAGLNPKTSCGGTYEEMVCPGGEKAFITRIIEDSVVLKQSFRWYTSMVGRKVNLKFLVSKLREVGVTVVKTTEFVQGKTFRWGLAWSFVPPAKKIVTPHVTEKNILSFMLEGIQRQFGAIHVLQSVESFFLAGGASCKLNASSFVVDITASADHCNALLNNDVKYIDEVASCSNVQEAPSNLCFRILVFQQIPGTLLVKGSLQHRDSALSGFHLLFS >KJB48180 pep chromosome:Graimondii2_0_v6:8:8091343:8094117:-1 gene:B456_008G055100 transcript:KJB48180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPLLTRISHPFSSLYPTKITPFYLATEQSFKFPSTPFNFVKHLSSNDNPTIFHNFNFDSFYANLIDNSSHKINITQVHAKLLLLGIQQNGFLVSKLVNAAVNLGEISYARKVFDKFPDPDVFLWNAIIRGYSKYNLFASAVEMYSRMQVLWVSPDGYTLPHVLKACGGIPSFRMGQQVHGQIFRLGFEKDVFVQNGVVAFYAKCGKIASAKVVFDRLEIRNVVSWTSMISGYAQNGQPIEALRFFDEMRSTGVMPDWIALVSVIRAHTDVEDLEHGKSIHSCVIKMGLELEPDLLIALTAMYAKCGQVMVARSFFNLVKVPNLILWNAMISGYAKNGYAEEAVKLFRDMISHNIKTDSITARSAVLACAQVGSFDLARWMDNYISKSEHKDDVFVNSALIDMFAKCGNVDMSRMVFNRTLDKDVVVWSSMIVGYGLHGRGREALDLYQLMKQSGVSPNAVTFLGLLTACNHSGLVEDGWQLFHCMKDYGIEPRHQHYSCLVDLLGRSGYLDQAYDFIMNMPIEPGVSVWGALLSACKIHRHVTLGEYAAEWLFSLESYNTGHYVQLSNLYASARMWDRVAKIRVLMREKGLSKDLGYSLIEINGKLEAFRVGDKSHPRSKEIFEELESLERRLKQAGFVPDRNSSLHDLNEEEMEETLCNHSERLAIAFGLISTAQGTTLRITKNLRACINCHSATKLISKLVNREIIVRDANRFHHFKDGVCSCGDYW >KJB53089 pep chromosome:Graimondii2_0_v6:8:56690018:56694116:1 gene:B456_008G293200 transcript:KJB53089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTTTTPAPEQYVESSTFASRYVRQPLPRFQMPKNSMPKEAAYQVITDELMLDGNPRLNLASFVTTWMEPECDKLMMASINKNYVDMDEYPVTTELQNRCVNMIANLFNAPIGSGEAAVGVGTVGSSEAIMLAGLAFKRKWQQKMKSQGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLKEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFENVKLLNELLTKKNTETGWDTPIHVDAASGGFIAPFVYPQLEWDFRLPLVKSINVSGHKYGLVYAGIGWVVWRSKEDLPDDLVFHINYLGSDQPTFTLNFSKGSSQILAQYYQLIRLGFEGYKRIMENCIGNALILKEGIEKTGRFEVVSKDVGVPLVAFALKDSTKYTVFQISDALRKFGWIVPAYTMPADAEHIAVLRVVVREDFSCSLAERLISHIQEVLKELDSLPSRIVKDSHVVAVAKEVVEENKDGEAAKMGDRKIQEKVTKQWRHYVKTKKTGVC >KJB48160 pep chromosome:Graimondii2_0_v6:8:7982456:7998834:1 gene:B456_008G054600 transcript:KJB48160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCIMLFETEESSVRRYMGTVTGISDLDQVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGSSSLHDNRDDVSSGLMWLRGGSGESFSTFPWMQQRVDPNFPVNDHNLQYQAMLANGLQNLGSGDPLRQQLQQSLPYVQQPGNHSLLLQQQQQRGVSQSVPHNIVQVQSQILSESLPSVLGREQVSNHPEEQAQQQHNMIQSDQLLQRQPANLPSSFLKTDFINSGKFSGSVPPVQNMLGSLCPESSANLLNFSRSGQSMLAGQLTQRSWAPKYTHSEVNAFGSSTSLPQVFPGKDAIIEPDIGNSGAQNSALFGNNNSYGLLLPTMMPAIATSSCEADVPSIPLGDSSFQNPLYGCMQDSSELQSRGQVDPPTPTPTFVKVYKSGSVGRSLDISRFSSYHELREELAQMFGIEGKLEDPLRSGWQLVFVDRENDILLLGDDPWEAFVNNVWYIKILSPEDVQKMGEQRAEPFSPTTPGQRMNSTGTDTGTGRLSSVGYLEY >KJB48161 pep chromosome:Graimondii2_0_v6:8:7991910:7998834:1 gene:B456_008G054600 transcript:KJB48161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSLFPLRLKRPWHPGSSSLHDNRDDVSSGLMWLRGGSGESFSTFPWMQQRVDPNFPVNDHNLQYQAMLANGLQNLGSGDPLRQQLQQSLPYVQQPGNHSLLLQQQQQRGVSQSVPHNIVQVQSQILSESLPSVLGREQVSNHPEEQAQQQHNMIQSDQLLQRQPANLPSSFLKTDFINSGKFSGSVPPVQNMLGSLCPESSANLLNFSRSGQSMLAGQLTQRSWAPKYTHSEVNAFGSSTSLPQVFPGKDAIIEPDIGNSGAQNSALFGNNNSYGLLLPTMMPAIATSSCEADVPSIPLGDSSFQNPLYGCMQDSSELQSRGQVDPPTPTPTFVKVYKSGSVGRSLDISRFSSYHELREELAQMFGIEGKLEDPLRSGWQLVFVDRENDILLLGDDPWEAFVNNVWYIKILSPEDVQKMGEQRAEPFSPTTPGQRMNSTGTDTGTGRLSSVGYLEY >KJB48159 pep chromosome:Graimondii2_0_v6:8:7982437:7998834:1 gene:B456_008G054600 transcript:KJB48159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSASGLGQQAAHEGENKCLNSELWHACAGPLVCLPTVGSRVVYFPQGHSEQVAATTNKEVDAHIPNYPNLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPEEQKDTFLPMELGIPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDIEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNENNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYIKAVFHTRVSVGMRFRMLFETEESSVRRYMGTVTGISDLDQVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGSSSLHDNRDDVSSGLMWLRGGSGESFSTFPWMQQRVDPNFPVNDHNLQYQAMLANGLQNLGSGDPLRQQLQQSLPYVQQPGNHSLLLQQQQQRGVSQSVPHNIVQVQSQILSESLPSVLGREQVSNHPEEQAQQQHNMIQSDQLLQRQPANLPSSFLKTDFINSGKFSGSVPPVQNMLGSLCPESSANLLNFSRSGQSMLAGQLTQRSWAPKYTHSEVNAFGSSTSLPQVFPGKDAIIEPDIGNSGAQNSALFGNNNSYGLLLPTMMPAIATSSCEADVPSIPLGDSSFQNPLYGCMQDSSELQSRGQVDPPTPTPTFVKVYKSGSVGRSLDISRFSSYHELREELAQMFGIEGKLEDPLRSGWQLVFVDRENDILLLGDDPWEAFVNNVWYIKILSPEDVQKMGEQRAEPFSPTTPGQRMNSTGTDTGTGRLSSVGYLEY >KJB53159 pep chromosome:Graimondii2_0_v6:8:56872609:56874357:-1 gene:B456_008G295600 transcript:KJB53159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTSLRVCMDSSDWLQGTMHEESEMDSSSLSGDMLTCSRPLVERRLRPQHEQALKCPRCESTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVVSKKPNTDQSSNSNNNVVGSSNAHHHNPTHLHLSFPEMHLNNMLATHHAADGNFIGGNKYNSLLGNPMPIDFMESKLEAIVGSSRNYDFLGNGNEMGLVEGVGDMGIAPNLHGLCSQYGMSTIDGTGGGFMMLPYDTNEDQQHAIDVKPNNKLLSLEWKDQGYPDAGKDSYGYMNSLGSWPGVMNIMDPPQQIH >KJB46664 pep chromosome:Graimondii2_0_v6:8:48783793:48784104:-1 gene:B456_008G2022002 transcript:KJB46664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYVIFPVPSLLLASPFMLMQEKYEKAIAALAEMEKRAVMAESMEEGTLHYHSGQNKAQPSFSPRCVVDFPLSNLLCLGEKHFIMDDKTKPDQLVQLILQRTW >KJB46663 pep chromosome:Graimondii2_0_v6:8:48783516:48784270:-1 gene:B456_008G2022002 transcript:KJB46663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILMQFEQDQKETKDILLKCFSKQMLYVIFPVPSLLLASPFMLMQEKYEKAIAALAEMEKRAVMAESMEEGTLHYHSGQNKAQPSFSPRCVVDFPLSNLLCLGEKHFIMDDKTKPDQLVQLILQRTW >KJB46666 pep chromosome:Graimondii2_0_v6:8:48783516:48784614:-1 gene:B456_008G2022002 transcript:KJB46666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFEQDQKETKDILLKCFSKQMLYVIFPVPSLLLASPFMLMQEKYEKAIAALAEMEKRAVMAESMEEGTLHYHSGQNKAQPSFSPRYAIAFLNYRYCAAIAGTDYYVITADA >KJB46665 pep chromosome:Graimondii2_0_v6:8:48783793:48784104:-1 gene:B456_008G2022002 transcript:KJB46665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYVIFPVPSLLLASPFMLMQEKYEKAIAALAEMEKRAVMAESMEEGTLHYHSGQNKAQPSFSPRCVVDFPLSNLLCLGEKHFIMDDKTKPDQLVQLILQRTW >KJB46662 pep chromosome:Graimondii2_0_v6:8:48783516:48784041:-1 gene:B456_008G2022002 transcript:KJB46662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKYEKAIAALAEMEKRAVMAESMEEGTLHYHSGQNKAQPSFSPRCVVDFPLSNLLCLGEKHFIMDDKTKPDQLVQLILQRTW >KJB52167 pep chromosome:Graimondii2_0_v6:8:53266593:53267924:-1 gene:B456_008G248600 transcript:KJB52167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGDVSRQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYEKKEKQVEVRKKIEYSMQLNASRIKVLQAQDDVVNEMKESAAKELLNVSRDHHVYKTLLKDVIVQSLVRLKEPAILLRCRKDDVPLVESVLDSAKEEYASKVNVHPPEIFIDNVNLPPAPSHHNAHGPFWYA >KJB52163 pep chromosome:Graimondii2_0_v6:8:53265350:53267474:-1 gene:B456_008G248600 transcript:KJB52163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNASRIKVLQAQDDVVNEMKESAAKELLNVSRDHHVYKTLLKDVIVQSLVRLKEPAILLRCRKDDVPLVESVLDSAKEEYASKVNVHPPEIFIDNVNLPPAPSHHNAHGPFCSGGVVIASRDGKIVCENTLDARLDVAFNKKLPEIRKWLFGQVAA >KJB52164 pep chromosome:Graimondii2_0_v6:8:53266925:53267786:-1 gene:B456_008G248600 transcript:KJB52164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGDVSRQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYEKKEKQVEVRKKIEYSMQLNASRIKVLQAQDDVVNEMKESAAKELLNVSRDHHVYKTLLKDVIVQVSEWQDYKTIFANIPKLQH >KJB52162 pep chromosome:Graimondii2_0_v6:8:53265136:53267965:-1 gene:B456_008G248600 transcript:KJB52162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGDVSRQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYEKKEKQVEVRKKIEYSMQLNASRIKVLQAQDDVVNEMKESAAKELLNVSRDHHVYKTLLKDVIVQSLVRLKEPAILLRCRKDDVPLVESVLDSAKEEYASKVNVHPPEIFIDNVNLPPAPSHHNAHGPFCSGGVVIASRDGKIVCENTLDARLDVAFNKKLPEIRKWLFGQVAA >KJB52166 pep chromosome:Graimondii2_0_v6:8:53265350:53267924:-1 gene:B456_008G248600 transcript:KJB52166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGDVSRQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYEKKEKQVEVRKKIEYSMQLNASRIKVLQAQDDVVNEMKESAAKELLNVSRDHHVYKTLLKDVIVQSLVRLKEPAILLRCRKDDVPLVESVLDSAKEEYASKVNVHPPEIFIDNVNLPPAPSHHNAHGPFCSGGVVIASRDGKIVCENTLDARLDVAFNKKLPEIRKWLFGQVAA >KJB52165 pep chromosome:Graimondii2_0_v6:8:53265350:53267924:-1 gene:B456_008G248600 transcript:KJB52165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNASRIKVLQAQDDVVNEMKESAAKELLNVSRDHHVYKTLLKDVIVQSLVRLKEPAILLRCRKDDVPLVESVLDSAKEEYASKVNVHPPEIFIDNVNLPPAPSHHNAHGPFCSGGVVIASRDGKIVCENTLDARLDVAFNKKLPEIRKWLFGQVAA >KJB47041 pep chromosome:Graimondii2_0_v6:8:920412:927126:-1 gene:B456_008G007900 transcript:KJB47041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKKEKKATNEESVKLFVGQVPKHMTEAQVLAMFEEFALVDEVNIIKDKATRASREADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEDEVSALFSKYGTLKDLQILRGSQQTSKGCAFLKYETKEQALAALEAINGKHKMEGSSVPLVVKWADTEKERQARRAQKAQSQASNMPNADSPHPSLFGALPMGYVPPYNGYGYQAPGSYGLMQYRLPPMQNQPAFNNMIPHVNQGSSLRGITPDLAPNIAPRNYPVPPTSYVGSAYPAVPGLQYPMAYPGGIMNHRLLTGSPGSVPQANINSNSSSSSPVGTNSGGHIEGPPGANLFIYHIPQEFGDQELANAFQGFGRVLSTKVFVDKATGVSKCFGFVSYDSPAAAQNAINMMNGCQLGGKKLKVQLKRDNKQNKPY >KJB47043 pep chromosome:Graimondii2_0_v6:8:920412:927140:-1 gene:B456_008G007900 transcript:KJB47043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKKEKKATNEESVKLFVGQVPKHMTEAQVLAMFEEFALVDEVNIIKDKATRASRGCCFVICPSREEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEDEVSALFSKYGTLKDLQILRGSQQTSKGCAFLKYETKEQALAALEAINGKHKMEGSSVPLVVKWADTEKERQARRAQKAQSQASNMPNADSPHPSLFGALPMGYVPPYNGYGYQAPGSYGLMQYRLPPMQNQPAFNNMIPHVNQGSSLRGITPDLAPNIAPRNYPVPPTSYVGSAYPAVPGLQYPMAYPGGIMNHRLLTGSPGSVPQANINSNSSSSSPVGTNSGGHIEGPPGANLFIYHIPQEFGDQELANAFQGFGRVLSTKVFVDKATGVSKCFGFVSYDSPAAAQNAINMMNGCQLGGKKLKVQLKRDNKQNKPY >KJB47040 pep chromosome:Graimondii2_0_v6:8:922335:926597:-1 gene:B456_008G007900 transcript:KJB47040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVIIRRHYLGDNGKREDHSIKVQHAASSPLQVKYADGELERLEHKLFVGMLPKNVSEDEVSALFSKYGTLKDLQILRGSQQTSKGCAFLKYETKEQALAALEAINGKHKMEGSSVPLVVKWADTEKERQARRAQKAQSQASNMPNADSPHPSLFGALPMGYVPPYNGYGYQAPGSYGLMQYRLPPMQNQPAFNNMIPHVNQGSSLRGITPDLAPNIAPRNYPVPPTSYVGSAYPAVPGLQYPMAYPGGIMNHRLLTGSPGSVPQANINSNSSSSSPVGTNSGGHIEGPPGANLFIYHIPQEFGDQELANAFQGFGRVLSTKVFVDKATGVSKCFGFVSYDSPAAAQNAINMMNGCQLGGKKLKVQLKRDNKQNKPY >KJB47044 pep chromosome:Graimondii2_0_v6:8:921854:927090:-1 gene:B456_008G007900 transcript:KJB47044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKKEKKATNEESVKLFVGQVPKHMTEAQVLAMFEEFALVDEVNIIKDKATRASRGCCFVICPSREEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEDEVSALFSKYGTLKDLQILRGSQQTSKGCAFLKYETKEQALAALEAINGKHKMEGSSVPLVVKWADTEKERQARRAQKAQSQASNMPNADSPHPSLFGALPMGYVPPYNGYGYQAPGSYGLMQYRLPPMQNQPAFNNMIPHVNQGSSLRGITPDLAPNIAPRNYPVPPTSYVGSAYPAVPGLQYPMAYPGGIMNHRLLTGSPGSVPQANINSNSSSSSPVGTNSGGHIEGPPGANLFIYHIPQEFGDQELANAFQGFGRVLSTKVFVDKATGVSKCFGKCVLFYLLI >KJB47042 pep chromosome:Graimondii2_0_v6:8:920412:927126:-1 gene:B456_008G007900 transcript:KJB47042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVIIRRHYLGDNGKREDHSIKVQHAASSPLQVKYADGELERLEHKLFVGMLPKNVSEDEVSALFSKYGTLKDLQILRGSQQTSKGCAFLKYETKEQALAALEAINGKHKMEGSSVPLVVKWADTEKERQARRAQKAQSQASNMPNADSPHPSLFGALPMGYVPPYNGYGYQAPGSYGLMQYRLPPMQNQPAFNNMIPHVNQGSSLRGITPDLAPNIAPRNYPVPPTSYVGSAYPAVPGLQYPMAYPGGIMNHRLLTGSPGSVPQANINSNSSSSSPVGTNSGGHIEGPPGANLFIYHIPQEFGDQELANAFQGFGRVLSTKVFVDKATGVSKCFGFVSYDSPAAAQNAINMMNGCQLGGKKLKVQLKRDNKQNKPY >KJB47406 pep chromosome:Graimondii2_0_v6:8:3337477:3340254:-1 gene:B456_008G028300 transcript:KJB47406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGVNVEEAFQCIAKNALKSGEEEEIYLPDTIDVASSSQPRSTGCEC >KJB47407 pep chromosome:Graimondii2_0_v6:8:3337477:3340254:-1 gene:B456_008G028300 transcript:KJB47407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGVNVEEAFQCIAKNALKSGEEEEISQPRSTGCEC >KJB47405 pep chromosome:Graimondii2_0_v6:8:3337402:3340297:-1 gene:B456_008G028300 transcript:KJB47405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGVNVEEAFQCIAKNALKSGEEEEIYLPDTIDVASSSQPRSTGCEC >KJB51060 pep chromosome:Graimondii2_0_v6:8:48402097:48405500:1 gene:B456_008G199300 transcript:KJB51060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDECQSAIPAHDGDLHVVAAAHHIVKALGTTKNLSDDLRKILIDLDAHLSLITSNIDSKGGKGFVEVEERLKRVERKIVIWESDRIMIWDSGPKEASDYLEAVDEVQTLIDSLRGLSMNENQKQKELLHRASSLLQMAMSRLEEELIHMLVKHKQQSEPTYIPSPSSQRNVVYNESVVSLDNESVKEALSKNSCTDECGEYIVDLVPEHVIPDIKSIAKVMFASDYGQEFCEAFIGVRKEALEQHFDILENGKLSIEDLLKMEWCSLSTEMNKWTWSMKIIVGVYLMSEKRLCDQVLGKFGSVNSFCFLEIAKTTILCLLNFGEAIAMGPQEPEKLLRLLDMYETLADLVIDIDALFSEDGGSFVRLEFHKLLEGLADSVKAAFNAFGVAVSSNGSLYPFPGGGVHPLSKYVMNYISMFPEYCSTLNLLLEDQHSDVASLVSEPQCGPTASLSTSCPMACHLRSITSSLESNLHKKSKLHKDEALQHIFLMNNLHYMVQKVKGSELRPFFGDEWIRKHNAKFQQHEMNYERVTWSSVVLLLKDDNPGSSSLSKSAFKEKCKGFSVAFEEVYKNQTSWCIPDPQLREDLRISTSLKVVHAYRTFLGRNPAHVDDKCIKHTVEDVEKLLLDLFEGSPRSLRNSRRMMKT >KJB51058 pep chromosome:Graimondii2_0_v6:8:48402610:48405500:1 gene:B456_008G199300 transcript:KJB51058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDECQSAIPAHDGDLHVVAAAHHIVKALGTTKNLSDDLRKILIDLDAHLSLITSNIDSKGGKGFVEVEERLKRVERKIVIWESDRIMIWDSGPKEASDYLEAVDEVQTLIDSLRGLSMNENQKQKELLHRASSLLQMAMSRLEEELIHMLVKHKQQSEPTYIPSPSSQRNVVYNESVVSLDNESVKEALSKNSCTDECGEYIVDLVPEHVIPDIKSIAKVMFASDYGQEFCEAFIGVRKEALEQHFDILENGKLSIEDLLKMEWCSLSTEMNKWTWSMKIIVGVYLMSEKRLCDQVLGKFGSVNSFCFLEIAKTTILCLLNFGEAIAMGPQEPEKLLRLLDMYETLADLVIDIDALFSEDGGSFVRLEFHKLLEGLADSVKAAFNAFGVAVSSNGSLYPFPGGGVHPLSKYVMNYISMFPEYCSTLNLLLEDQHSDVASLVSEPQCGPTASLSTSCPMACHLRSITSSLESNLHKKSKLHKDEALQHIFLMNNLHYMVQKVKGSELRPFFGDEWIRKHNAKFQQHEMNYERVTWSSVVLLLKDDNPGSSSLSKSAFKEKCKGFSVAFEEVYKNQTSWCIPDPQLREDLRISTSLKVVHAYRTFLGRNPAHVDDKCIKHTVEDVEKLLLDLFEGSPRSLRNSRRMMKT >KJB51059 pep chromosome:Graimondii2_0_v6:8:48402097:48405920:1 gene:B456_008G199300 transcript:KJB51059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDECQSAIPAHDGDLHVVAAAHHIVKALGTTKNLSDDLRKILIDLDAHLSLITSNIDSKGGKGFVEVEERLKRVERKIVIWESDRIMIWDSGPKEASDYLEAVDEVQTLIDSLRGLSMNENQKQKELLHRASSLLQMAMSRLEEELIHMLVKHKQQSEPTYIPSPSSQRNVVYNESVVSLDNESVKEALSKNSCTDECGEYIVDLVPEHVIPDIKSIAKVMFASDYGQEFCEAFIGVRKEALEQHFDILENGKLSIEDLLKMEWCSLSTEMNKWTWSMKIIVGVYLMSEKRLCDQVLGKFGSVNSFCFLEIAKTTILCLLNFGEAIAMGPQEPEKLLRLLDMYETLADLVIDIDALFSEDGGSFVRLEFHKLLEGLADSVKAAFNAFGVAVSSNGSLYPFPGGGVHPLSKYVMNYISMFPEYCSTLNLLLEDQHSDVASLVSEPQCGPTASLSTSCPMACHLRSITSSLESNLHKKSKLHKDEALQHIFLMNNLHYMVQKVKGSELRPFFGDEWIRKHNAKFQQHEMNYERVTWSSVVLLLKDDNPGSSSLSKSAFKEKCKGFSVAFEEVYKNQTSWCIPDPQLREDLRISTSLKVVHAYRTFLGRNPAHVDDKCIKHTVEDVEKLLLDLFEGSPRSLRNSRRMMKT >KJB53071 pep chromosome:Graimondii2_0_v6:8:56603652:56604617:-1 gene:B456_008G291800 transcript:KJB53071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVRHQRHINLRLALPYVTDRRPRFPLPLPPSESTTTAVAASTVNIPATELERLGVLGHGNGGTVYKVQDKRTSKIYALKVIHHGGDETTRRKVYKEIDILRKTDSPHVVRCYETYEKPWGDVAILMEYVDAGNLATLRRKKGTLSDPELADITRQILKGLSYLHGNKIIHLDIKPSNLLVNDKMQVKIADFGESMIMDRTSDLCNSYVGTCAYMSPERFDPNVNGGNYNLFLADIWSLGVTLMELYVGHFPLLPAGKKPDWPSLMCAICFDDPPTLPPTASDELRNFLECCLQKDPSKRWTAFQLLEHPFLIKYTANDD >KJB49801 pep chromosome:Graimondii2_0_v6:8:38936225:38938913:1 gene:B456_008G138800 transcript:KJB49801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTCVTTSASSIQFSSLLYNPNSSTSLDSHNLSLPSHTRRTRDDLQLPSSPYIPMNAQGQGPPPMVPERFQSVVSQLFQHRIIRCGGAVDDDMANIIVAQLLYLDAVDPQKDIVMYVNSPGGSVTAGMAIFDTMRYIRPDVLTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYHTGQSLDQINQDTDRDFFMSAKEAKEYGLIDSVIMNPLKALQPLAPTADSNE >KJB49802 pep chromosome:Graimondii2_0_v6:8:38936225:38938913:1 gene:B456_008G138800 transcript:KJB49802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTCVTTSASSIQFSSLLYNPNSSTSLDSHNLSLPSHTRRTRKLKKLVSNQNNVVMRFQPKAFWDAETSSRKGIWSITDDLQLPSSPYIPMNAQGQGPPPMVPERFQSVVSQLFQHRIIRCGGAVDDDMANIIVAQLLYLDAVDPQKDIVMYVNSPGGSVTAGMAIFDTMRYIRPDVLTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYHTGQSLDQINQDTDRDFFMSAKEAKEYGLIDSVIMNPLKALQPLAPTADSNE >KJB50432 pep chromosome:Graimondii2_0_v6:8:44722321:44724384:-1 gene:B456_008G172200 transcript:KJB50432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYKQLLKNQVQGKMEIGQRVLLCLHIQLIIVVMVLNHSNAQGLKLGFYSETCPNAESIIRKTTYGFISRAPTLAAPLLRLHFHDCFVRGCDGSVLLNSTKNQAEKDAIPNLSLRGYHVIDAVKSAVEQACPGVVSCADILALAARDSVSMINGPSWKVPLGRRDGRISKLSEALANLPSPFFNVTQLKQNFASKGLNMKDLAVLSGGHTIGTSHCVAFGLRLYNFSGKGDADPSMDPTYVTQLKQKCKPGDITSLVEMDPGSFKTFDEAYYTLVSKRRGLFGSDAALLNNAETKAYVLQASRHGSTFAKDFAVSMEKMGKVEVLTGNQGEIRKHCAMVN >KJB50433 pep chromosome:Graimondii2_0_v6:8:44722323:44724230:-1 gene:B456_008G172200 transcript:KJB50433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGQRVLLCLHIQLIIVVMVLNHSNAQGLKLGFYSETCPNAESIIRKTTYGFISRAPTLAAPLLRLHFHDCFVRGCDGSVLLNSTKNQAEKDAIPNLSLRGYHVIDAVKSAVEQACPGVVSCADILALAARDSVSMINGPSWKVPLGRRDGRISKLSEALANLPSPFFNVTQLKQNFASKGGHTIGTSHCVAFGLRLYNFSGKGDADPSMDPTYVTQLKQKCKPGDITSLVEMDPGSFKTFDEAYYTLVSKRRGLFGSDAALLNNAETKAYVLQASRHGSTFAKDFAVSMEKMGKVEVLTGNQGEIRKHCAMVN >KJB47889 pep chromosome:Graimondii2_0_v6:8:6278074:6280439:1 gene:B456_008G046400 transcript:KJB47889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPDEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVSRWLKELRDHADSNIVIMMIGNKTDLKHLRAVATEDGQSYAEKEGLSFIETSALEAINVEKAFQTILSEIYRKISKKSLSSDEPAPASVREGKTIDVGAPEANTKKACCSSS >KJB51449 pep chromosome:Graimondii2_0_v6:8:50369475:50371875:1 gene:B456_008G216800 transcript:KJB51449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMEASSSTSHDPALFHAPLLHHPRRRSSRPLKGFAVIIGSVVFLLSLVILIVNQSPEPLASNPSSVTEAGSYSMAAQPRGIAEGVSAKSNPSLFDKVGFNWTNAMFYWQRTAYHFQPQKNWMNDPDGPLYHKGWYHLFYQYNPDSAIWGNITWGHAVSKDLIHWFYLPLAMVPDQWYDINGCWTGSATLLPDGRIVMLYTGSTNDSVQVQNLAYPANLSDPLLLQWLKYPGNPVVVPPTGIEDEEFRDPTTAWLGPDGSWRIAVGTRFNTTIGTALVFQTTNFSDYELLDGVLHAVPGTGMWECVDFYPVAINGSVGLDTTALGPGIKHVLKASLDDTKVDHYAIGTYDMITDKWTPDNPEEDVGIGLKVDYGRYYASKTFFDQSKQRRILYGWVNETDSEADDLEKGWASIQVNYIHTYIHYHYEYYNIHNILFYTIVFCFRNLQTIPRSVLYDNKTGTHLLQWPVEEVESLRLNATVFKDVVVEAGSVVPLDIGTATQVL >KJB51450 pep chromosome:Graimondii2_0_v6:8:50369199:50372895:1 gene:B456_008G216800 transcript:KJB51450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMEASSSTSHDPALFHAPLLHHPRRRSSRPLKGFAVIIGSVVFLLSLVILIVNQSPEPLASNPSSVTEAGSYSMAAQPRGIAEGVSAKSNPSLFDKVGFNWTNAMFYWQRTAYHFQPQKNWMNDPDGPLYHKGWYHLFYQYNPDSAIWGNITWGHAVSKDLIHWFYLPLAMVPDQWYDINGCWTGSATLLPDGRIVMLYTGSTNDSVQVQNLAYPANLSDPLLLQWLKYPGNPVVVPPTGIEDEEFRDPTTAWLGPDGSWRIAVGTRFNTTIGTALVFQTTNFSDYELLDGVLHAVPGTGMWECVDFYPVAINGSVGLDTTALGPGIKHVLKASLDDTKVDHYAIGTYDMITDKWTPDNPEEDVGIGLKVDYGRYYASKTFFDQSKQRRILYGWVNETDSEADDLEKGWASIQWPVEEVESLRLNATVFKDVVVEAGSVVPLDIGTATQLDILAEFEIETLVLNSTEDEVSDCGDGAVDRSTYGPFGVLVIADDSLSELTPIYFRPLNTSDGSLETYFCADETRSSKAPDVTKRVYGGKIPVLDDENYNMRVLVDHSVVESFGEGGRTVITSRVYPTEAIYGAARLFLFNNASGVNVKATLKIWEMNSAFIRPFPFEETLFQEMVAST >KJB51452 pep chromosome:Graimondii2_0_v6:8:50369199:50372922:1 gene:B456_008G216800 transcript:KJB51452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMEASSSTSHDPALFHAPLLHHPRRRSSRPLKGFAVIIGSVVFLLSLVILIVNQSPEPLASNPSSVTEAGSYSMAAQPRGIAEGVSAKSNPSLFDKVGFNWTNAMFYWQRTAYHFQPQKNWMNDPDGPLYHKGWYHLFYQYNPDSAIWGNITWGHAVSKDLIHWFYLPLAMVPDQWYDINGCWTGSATLLPDGRIVMLYTGSTNDSVQVQNLAYPANLSDPLLLQWLKYPGNPVVVPPTGIEDEEFRDPTTAWLGPDGSWRIAVGTRFNTTIGTALVFQTTNFSDYELLDGVLHAVPGTGMWECVDFYPVAINGSVGLDTTALGPGIKHVLKASLDDTKVDHYAIGTYDMITDKWTPDNPEEDVGIGLKVDYGRYYASKTFFDQSKQRRILYGWVNETDSEADDLEKGWASIQTIPRSVLYDNKTGTHLLQWPVEEVESLRLNATVFKDVVVEAGSVVPLDIGTATQLDILAEFEIETLVLNSTEDEVSDCGDGAVDRSTYGPFGVLVIADDSLSELTPIYFRPLNTSDGSLETYFCADETRSSKAPDVTKRVYGGKIPVLDDENYNMRVLVDHSVVESFGEGGRTVITSRVYPTEAIYGAARLFLFNNASGVNVKATLKIWEMNSAFIRPFPFEETLFQEMVAST >KJB51448 pep chromosome:Graimondii2_0_v6:8:50369199:50372895:1 gene:B456_008G216800 transcript:KJB51448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMEASSSTSHDPALFHAPLLHHPRRRSSRPLKGFAVIIGSVVFLLSLVILIVNQSPEPLASNPSSVTEAGSYSMAAQPRGIAEGVSAKSNPSLFDKVGFNWTNAMFYWQRTAYHFQPQKNWMNDPDGPLYHKGWYHLFYQYNPDSAIWGNITWGHAVSKDLIHWFYLPLAMVPDQWYDINGCWTGSATLLPDGRIVMLYTGSTNDSVQVQNLAYPANLSDPLLLQWLKYPGNPVVVPPTGIEDEEFRDPTTAWLGPDGSWRIAVGTRFNTTIGTALVFQTTNFSDYELLDGVLHAVPGTGMWECVDFYPVAINGSVGLDTTALGPGIKHVLKASLDDTKVDHYAIGTYDMITDKWTPDNPEEDVGIGLKVDYGRYYASKTFFDQSKQRRILYGWVNETDSEADDLEKGWASIQTIPRSVLYDNKTGTHLLQWPVEEVESLRLNATVFKDVVVEAGSVVPLDIGTATQLDILAEFEIETLVLNSTEDEVSDCGDGAVDRSTYGPFGVLVIADDSLSELTPIYFRPLNTSDGSLETYFCADETRFALFYICI >KJB51453 pep chromosome:Graimondii2_0_v6:8:50369985:50372895:1 gene:B456_008G216800 transcript:KJB51453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPDQWYDINGCWTGSATLLPDGRIVMLYTGSTNDSVQVQNLAYPANLSDPLLLQWLKYPGNPVVVPPTGIEDEEFRDPTTAWLGPDGSWRIAVGTRFNTTIGTALVFQTTNFSDYELLDGVLHAVPGTGMWECVDFYPVAINGSVGLDTTALGPGIKHVLKASLDDTKVDHYAIGTYDMITDKWTPDNPEEDVGIGLKVDYGRYYASKTFFDQSKQRRILYGWVNETDSEADDLEKGWASIQTIPRSVLYDNKTGTHLLQWPVEEVESLRLNATVFKDVVVEAGSVVPLDIGTATQLDILAEFEIETLVLNSTEDEVSDCGDGAVDRSTYGPFGVLVIADDSLSELTPIYFRPLNTSDGSLETYFCADETRSSKAPDVTKRVYGGKIPVLDDENYNMRVLVDHSVVESFGEGGRTVITSRVYPTEAIYGAARLFLFNNASGVNVKATLKIWEMNSAFIRPFPFEETLFQEMVAST >KJB51451 pep chromosome:Graimondii2_0_v6:8:50369199:50372895:1 gene:B456_008G216800 transcript:KJB51451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMEASSSTSHDPALFHAPLLHHPRRRSSRPLKGFAVIIGSVVFLLSLVILIVNQSPEPLASNPSSVTEAGSYSMAAQPRGIAEGVSAKSNPSLFDKVGFNWTNAMFYWQRTAYHFQPQKNWMNDPDGPLYHKGWYHLFYQYNPDSAIWGNITWGHAVSKDLIHWFYLPLAMVPDQWYDINGCWTGSATLLPDGRIVMLYTGSTNDSVQVQNLAYPANLSDPLLLQWLKYPGNPVVVPPTGIEDEEFRDPTTAWLGPDGSWRIAVGTRFNTTIGTALVFQTTNFSDYELLDGVLHAVPGTGMWECVDFYPVAINGSVGLDTTALGPGIKHVLKASLDDTKVDHYAIGTYDMITDKWTPDNPEEDVGIGLKVDYGRYYASKTFFDQSKQRRILYGWVNETDSEADDLEKGWASIQVNYIHTYIHYHYEYYNIHNILFYTIVFCFRNLQTIPRSVLYDNKTGTHLLQWPVEEVESLRLNATVFKDVVVEAGSVVPLDIGTATQLDILAEFEIETLVLNSTEDEVSDCGDGAVDRSTYGPFGVLVIADDSLSELTPIYFRPLNTSDGSLETYFCADETRSSKAPDVTKRVYGGKIPVLDDENYNMRVLVDHSVVESFGEGGRTVITSRVYPTEAIYGAARLFLFNNASGVNVKATLKIWEMNSAFIRPFPFEETLFQEMVAST >KJB48351 pep chromosome:Graimondii2_0_v6:8:10307287:10308711:-1 gene:B456_008G064300 transcript:KJB48351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSGLIASPSRCCLRLPDCNQGLVCRQVPSTPIASKPKEKFGKLHLERSRLTVSFRRWKMQKTHLIKCAMDASYGDMASESGAAMFPRINVRDPYKRLGISREASEDEIQAARNFLISKYGGHKPSVDAIEAAHDKIIMQKFYERKNPKIDIKKKVREVKQSRVVQAVTSRFQTPATKFIVKTSIAFIVLGVLTVLFPTEEGPTLQVAISLIATFYFIHDRLKSKIRTLLYGYVITLWC >KJB48348 pep chromosome:Graimondii2_0_v6:8:10306462:10308566:-1 gene:B456_008G064300 transcript:KJB48348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTHLIKCAMDASYGDMASESGAAMFPRINVRDPYKRLGISREASEDEIQAARNFLISKYGGHKPSVDAIEAAHDKIIMQKFYERKNPKIDIKKKVREVKQSRVVQAVTSRFQTPATKFIVKTSIAFIVLGVLTVLFPTEEGPTLQVAISLIATFYFIHDRLKSKIRTLLYGAGAFIFSWFLGTFLMVSVIPPIPILKGPRSFEVLTSLITYVLLWVSSTYLK >KJB48350 pep chromosome:Graimondii2_0_v6:8:10306825:10308711:-1 gene:B456_008G064300 transcript:KJB48350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSGLIASPSRCCLRLPDCNQGLVCRQVPSTPIASKPKEKFGKLHLERSRLTVSFRRWKMQKTHLIKCAMDASYGDMASESGAAMFPRINVRDPYKRLGISREASEDEIQAARNFLISKYGGHKPSVDAIEAAHDKIIMQKFYERKNPKIDIKKKVREVKQSRVVQAVTSRFQTPATKFIVKTSIAFIVLGVLTVLFPTEEGPTLQVAISLIATFYFIHDRLKSKIRTLLYGAGAFIFSWFLGTFLMVSVIPPIPILKGPRSFEVLTSLITYVLLWVSSTYLK >KJB48349 pep chromosome:Graimondii2_0_v6:8:10306462:10309333:-1 gene:B456_008G064300 transcript:KJB48349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSGLIASPSRCCLRLPDCNQGLVCRQVPSTPIASKPKEKFGKLHLERSRLTVSFRRWKMQKTHLIKCAMDASYGDMASESAMFPRINVRDPYKRLGISREASEDEIQAARNFLISKYGGHKPSVDAIEAAHDKIIMQKFYERKNPKIDIKKKVREVKQSRVVQAVTSRFQTPATKFIVKTSIAFIVLGVLTVLFPTEEGPTLQVAISLIATFYFIHDRLKSKIRTLLYGAGAFIFSWFLGTFLMVSVIPPIPILKGPRSFEVLTSLITYVLLWVSSTYLK >KJB48343 pep chromosome:Graimondii2_0_v6:8:10306460:10309352:-1 gene:B456_008G064300 transcript:KJB48343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSGLIASPSRCCLRLPDCNQGLVCRQVPSTPIASKPKEKFGKLHLERSRLTVSFRRWKMQKTHLIKCAMDASYGDMASESGAAMFPRINVRDPYKRLGISREASEDEIQAARNFLISKYGGHKPSVDAIEAAHDKIIMQKFYERKNPKIDIKKKVREVKQSRVVQAVTSRFQTPATKFIVKTSIAFIVLGVLTVLFPTEEGPTLQVAISLIATFYFIHDRLKSKIRTLLYGAGAFIFSWFLGTFLMVSVIPPIPILKGPRSFEVLTSLITYVLLWVSSTYLK >KJB48345 pep chromosome:Graimondii2_0_v6:8:10306462:10309313:-1 gene:B456_008G064300 transcript:KJB48345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRINVRDPYKRLGISREASEDEIQAARNFLISKYGGHKPSVDAIEAAHDKIIMQKFYERKNPKIDIKKKVREVKQSRVVQAVTSRFQTPATKFIVKTSIAFIVLGVLTVLFPTEEGPTLQVAISLIATFYFIHDRLKSKIRTLLYGAGAFIFSWFLGTFLMVSVIPPIPILKGPRSFEVLTSLITYVLLWVSSTYLK >KJB48346 pep chromosome:Graimondii2_0_v6:8:10306825:10308448:-1 gene:B456_008G064300 transcript:KJB48346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTHLIKCAMDASYGDMASESGAAMFPRINVRDPYKRLGISREASEDEIQAARNFLISKYGGHKPSVDAIEAAHDKIIMQKFYERKNPKIDIKKKVREVKQSRVVQAVTSRFQTPATKFIVKTSIAFIVLGVLTVLFPTEEGPTLQVAISLIATFYFIHDRLKSKIRTLLYGAGAFIFSWFLGTFLMVSVIPPIPILKGPRSFEVLTSLITYVLLWVSSTYLK >KJB48347 pep chromosome:Graimondii2_0_v6:8:10306462:10308731:-1 gene:B456_008G064300 transcript:KJB48347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSGLIASPSRCCLRLPDCNQGLVCRQVPSTPIASKPKEKFGKLHLERLTVSFRRWKMQKTHLIKCAMDASYGDMASESGAAMFPRINVRDPYKRLGISREASEDEIQAARNFLISKYGGHKPSVDAIEAAHDKIIMQKFYERKNPKIDIKKKVREVKQSRVVQAVTSRFQTPATKFIVKTSIAFIVLGVLTVLFPTEEGPTLQVAISLIATFYFIHDRLKSKIRTLLYGAGAFIFSWFLGTFLMVSVIPPIPILKGPRSFEVLTSLITYVLLWVSSTYLK >KJB48344 pep chromosome:Graimondii2_0_v6:8:10306825:10308711:-1 gene:B456_008G064300 transcript:KJB48344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSGLIASPSRCCLRLPDCNQGLVCRQVPSTPIASKPKEKFGKLHLERSRLTVSFRRWKMQKTHLIKCAMDASYGDMASESGGAAMFPRINVRDPYKRLGISREASEDEIQAARNFLISKYGGHKPSVDAIEAAHDKIIMQKFYERKNPKIDIKKKVREVKQSRVVQAVTSRFQTPATKFIVKTSIAFIVLGVLTVLFPTEEGPTLQVAISLIATFYFIHDRLKSKIRTLLYGAGAFIFSWFLGTFLMVSVIPPIPILKGPRSFEVLTSLITYVLLWVSSTYLK >KJB48084 pep chromosome:Graimondii2_0_v6:8:7466118:7469618:-1 gene:B456_008G052800 transcript:KJB48084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGICSSFSPSEEEIGAADLQDTNTNQRKPAGWKAMPFVLGNETFERLASFGLMANFMVYLQSEYHMNQVKAATLLNTWSAASNFAPVIGAYVSDAFIGKFWTIAFGSFSSLLLRPPPCTHEGQLHGQCVGHNKAQLGILIASLCWLSIGTGGIKPCSIPFSVDQFDLTTEEGRKGNNSFYNLYYTTQTIVLLITQTVVVYIQNDISWALGFGIPALCMLFAIVLFFVGTKVYIYIKPEGSVFAAVAQVFVAAYKKRQLNLPADEVDGQFYNPPFSRSLLPELHLTRQYSCLNKAALIVGDEVKQDGLCENPWRLCSGQQVENVKCLINIIPIWLTSVLGFLAMNQQGTFTVAQALKMDLQFGPSIKIPAGSVGVITLIAIAIWLPFYDRVVVAALEKVTKQEGFIETERRLSALSHGGTDGIAPMTVMWLTPQLILIGFSEIFSIVGLIEFYNKQFPEHMRSIGNSLIYLTFSFASYASSIVISVVHDVSARHGSNWLSDDINTSKLDYFYFLIAGISSLNFLFFLFCARRFRYRNV >KJB48086 pep chromosome:Graimondii2_0_v6:8:7466867:7469618:-1 gene:B456_008G052800 transcript:KJB48086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQVKAATLLNTWSAASNFAPVIGAYVSDAFIGKFWTIAFGSFSSLLGMIIMTLTALLPQLRPPPCTHEGQLHGQCVGHNKAQLGILIASLCWLSIGTGGIKPCSIPFSVDQFDLTTEEGRKGNNSFYNLYYTTQTIVLLITQTVVVYIQNDISWALGFGIPALCMLFAIVLFFVGTKVYIYIKPEGSVFAAVAQVFVAAYKKRQLNLPADEVDGQFYNPPFSRSLLPELHLTRQYSCLNKAALIVGDEVKQDGLCENPWRLCSGQQVENVKCLINIIPIWLTSVLGFLAMNQQGTFTVAQALKMDLQFGPSIKIPAGSVGVITLIAIAIWLPFYDRVVVAALEKVTKQEGGITLLQRIGIGNLFSILTMLVSGFIETERRLSALSHGGTDGIAPMTVMWLTPQLILIGFSEIFSIVGLIEFYNKQFPEHMRSIGNSLIYLTFSFASYASSIVISVVHDVSARHGSNWLSDDINTSKLDYFYFLIAGISSLNFLFFLFCARRYHYRSSVKLM >KJB48085 pep chromosome:Graimondii2_0_v6:8:7466847:7469566:-1 gene:B456_008G052800 transcript:KJB48085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGICSSFSPSEEEIGAADLQDTNTNQRKPAGWKAMPFVLGNETFERLASFGLMANFMVYLQSEYHMNQVKAATLLNTWSAASNFAPVIGAYVSDAFIGKFWTIAFGSFSSLLGMIIMTLTALLPQLRPPPCTHEGQLHGQCVGHNKAQLGILIASLCWLSIGTGGIKPCSIPFSVDQFDLTTEEGRKGNNSFYNLYYTTQTIVLLITQTVVVYIQNDISWALGFGIPALCMLFAIVLFFVGTKVYIYIKPEGSVFAAVAQVFVAAYKKRQLNLPADEVDGQFYNPPFSRSLLPELHLTRQYSCLNKAALIVGDEVKQDGLCENPWRLCSGQQVENVKCLINIIPIWLTSVLGFLAMNQQGTFTVAQALKMDLQFGPSIKIPAGSVGVITLIAIAIWLPFYDRVVVAALEKVTKQEGGITLLQRIGIGNLFSILTMLVSGFIETERRLSALSHGGTDGIAPMTVMWLTPQLILIGFSEIFSIVGLIEFYNKQFPEHMRSIGNSLIYLTFSFASYASSIVISVVHDVSARHGSNWLSDDINTSKLDYFYFLIAGISSLNFLFFLFCARRYHYRSSVKLM >KJB48083 pep chromosome:Graimondii2_0_v6:8:7466082:7469628:-1 gene:B456_008G052800 transcript:KJB48083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGICSSFSPSEEEIGAADLQDTNTNQRKPAGWKAMPFVLGNETFERLASFGLMANFMVYLQSEYHMNQVKAATLLNTWSAASNFAPVIGAYVSDAFIGKFWTIAFGSFSSLLGMIIMTLTALLPQLRPPPCTHEGQLHGQCVGHNKAQLGILIASLCWLSIGTGGIKPCSIPFSVDQFDLTTEEGRKGNNSFYNLYYTTQTIVLLITQTVVVYIQNDISWALGFGIPALCMLFAIVLFFVGTKVYIYIKPEGSVFAAVAQVFVAAYKKRQLNLPADEVDGQFYNPPFSRSLLPELHLTRQYSCLNKAALIVGDEVKQDGLCENPWRLCSGQQVENVKCLINIIPIWLTSVLGFLAMNQQGTFTVAQALKMDLQFGPSIKIPAGSVGVITLIAIAIWLPFYDRVVVAALEKVTKQEGFIETERRLSALSHGGTDGIAPMTVMWLTPQLILIGFSEIFSIVGLIEFYNKQFPEHMRSIGNSLIYLTFSFASYASSIVISVVHDVSARHGSNWLSDDINTSKLDYFYFLIAGISSLNFLFFLFCARRFRYRNV >KJB52223 pep chromosome:Graimondii2_0_v6:8:53497191:53503570:-1 gene:B456_008G251200 transcript:KJB52223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELVSSNKSITMRSPVVKSCCVLFLILFLSRHYPVSATKKILNPKKPCKRFILYYHDILFDGNDVANATSAATANATKLGNTDFGKLVVFDDPMTKDQHLLSHPVARAQGFYFYDMKTTYNAWFAYTLVFNSSDYKGTLNIMGADMMREKARDLSIVGGTGDFFMTRGIVTFQTDTQEVAITRTTSSTQTEAERESCLPERMKGITLRDSGLVLHSLLPPSPKPPNSFTSHFLSFNVNSLSKKQYPNLSSRHHSLLFSRWYRCEGWFGGLLQKVRKYVDCKKRGETIALGHKSEDCCVSDHAPVNNNLRKENYIAANLAVSTTLFNNALKFMALFGLLTFHDLQPAVAVSDIASGLQSIPYVGDLGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSAVVTFTGTFGALAAMTIISVVLGRTFHYVDEILPFSFGGADLPIDDIAAVFLLVYFGVSTLLDAASSDNQKAEDEQKEAELAVSEFSGNGAGIFAAANTVISTFFLVFVAEWGDKSFFSTIALAAASSPLGVIAGALAGHGVATLVAVLGGSLLGTFLSEKTIAYIGGTLFLVFAAVTLFEIVN >KJB52224 pep chromosome:Graimondii2_0_v6:8:53497395:53503570:-1 gene:B456_008G251200 transcript:KJB52224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELVSSNKSITMRSPVVKSCCVLFLILFLSRHYPVSATKKILNPKKPCKRFILYYHDILFDGNDVANATSAATANATKLGNTDFGKLVVFDDPMTKDQHLLSHPVARAQGFYFYDMKTTYNAWFAYTLVFNSSDYKGTLNIMGADMMREKARDLSIVGGTGDFFMTRGIVTFQTDTQEVAITRTTSSTQTEAERESCLPERMKGITLRDSGLVLHSLLPPSPKPPNSFTSHFLSFNVNSLSKKQYPNLSSRHHSLLFSRWYRCEGWFGGLLQKERKYVDCKKRGETIALGHKSEDCCVSDHAPVNNNLRKENYIAANLAVSTTLFNNALKFMALFGLLTFHDLQPAVAVSDIASGLQSIPYVGDLGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSAVVTFTGTFGALAAMTIISVVLGRTFHYVDEILPFSFGGADLPIDDIAAVFLLVYFGVSTLLDAASSDNQKAEDEQKEAELAVSEFSGNGAGIFAAANTVISTFFLVFVAEWGDKSFFSTIALAAASSPLGVIAGALAGHGVATLVAVLGGSLLGTFLSEKTIAYIGGTLFLVFAAVTLFEIVN >KJB52225 pep chromosome:Graimondii2_0_v6:8:53497191:53503570:-1 gene:B456_008G251200 transcript:KJB52225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELVSSNKSITMRSPVVKSCCVLFLILFLSRHYPVSATKKILNPKKPCKRFILYYHDILFDGNDVANATSAATANATKLGNTDFGKLVVFDDPMTKDQHLLSHPVARAQGFYFYDMKTTYNAWFAYTLVFNSSDYKGTLNIMGADMMREKARDLSIVGGTGDFFMTRGIVTFQTDTQEVAITRTTSSTQTEAERESCLPERMKGITLRDSGLVLHSLLPPSPKPPNSFTSHFLSFNVNSLSKKQYPNLSSRHHSLLFSRWYRCEGWFGGLLQKERKYVDCKKRGETIALGHKSEDCCVSDHAPVNNNLRKENYIAANLAVSTTLFNNALKFMALFGLLTFHDLQPAVAVSDIASGLQSIPYVGDLGDISTGFASALLAARNSAVVTFTGTFGALAAMTIISVVLGRTFHYVDEILPFSFGGADLPIDDIAAVFLLVYFGVSTLLDAASSDNQKAEDEQKEAELAVSEFSGNGAGIFAAANTVISTFFLVFVAEWGDKSFFSTIALAAASSPLGVIAGALAGHGVATLVAVLGGSLLGTFLSEKTIAYIGGTLFLVFAAVTLFEIVN >KJB52227 pep chromosome:Graimondii2_0_v6:8:53500851:53503570:-1 gene:B456_008G251200 transcript:KJB52227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELVSSNKSITMRSPVVKSCCVLFLILFLSRHYPVSATKKILNPKKPCKRFILYYHDILFDGNDVANATSAATANATKLGNTDFGKLVVFDDPMTKDQHLLSHPVARAQGFYFYDMKTTYNAWFAYTLVFNSSDYKGTLNIMGADMMREKARDLSIVGGTGDFFMTRGIVTFQTDTQEVAITRTTSSTQTEAERESCLPERMKGITLRDSGLVLHSLLPPSPKPPNSFTSHFLSFNVNSLSKKQYPNLSSRHHSLLFSRWYRCEGWFGGLLQKERKYVDCKKRGETIALGHKSEDCCVSDHAPVNNNLRKENYIAANLAVSTTLFNNALKFMALFGLLTFHDLQPAVAVSDIASGLQSIPYVGDLGDISTGFASVRKISL >KJB52228 pep chromosome:Graimondii2_0_v6:8:53500953:53503570:-1 gene:B456_008G251200 transcript:KJB52228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELVSSNKSITMRSPVVKSCCVLFLILFLSRHYPVSATKKILNPKKPCKRFILYYHDILFDGNDVANATSAATANATKLGNTDFGKLVVFDDPMTKDQHLLSHPVARAQGFYFYDMKTTYNAWFAYTLVFNSSDYKGTLNIMGADMMREKARDLSIVGGTGDFFMTRGIVTFQTDTQEVAITRTTSSTQTEAERESCLPERMKGITLRDSGLVLHSLLPPSPKPPNSFTSHFLSFNVNSLSKKQYPNLSSRHHSLLFSRWYRCEGWFGGLLQKVVERQHCLERKYVDCKKRGETIALGHKSEDCCVSDHAPVNNNLRKENYIAANLAVSTTLFNNALKFMALFGLLTFHDLQPAVAVSDIASGLQSIPYVGDLGDISTGFASVRKISL >KJB52226 pep chromosome:Graimondii2_0_v6:8:53498330:53503570:-1 gene:B456_008G251200 transcript:KJB52226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELVSSNKSITMRSPVVKSCCVLFLILFLSRHYPVSATKKILNPKKPCKRFILYYHDILFDGNDVANATSAATANATKLGNTDFGKLVVFDDPMTKDQHLLSHPVARAQGFYFYDMKTTYNAWFAYTLVFNSSDYKGTLNIMGADMMREKARDLSIVGGTGDFFMTRGIVTFQTDTQEVAITRTTSSTQTEAERESCLPERMKGITLRDSGLVLHSLLPPSPKPPNSFTSHFLSFNVNSLSKKQYPNLSSRHHSLLFSRWYRCEGWFGGLLQKERKYVDCKKRGETIALGHKSEDCCVSDHAPVNNNLRKENYIAANLAVSTTLFNNALKFMALFGLLTFHDLQPAVAVSDIASGLQSIPYVGDLGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSAVVTFTGTFGALAAMTIISVVLGRTFHYVDEILPFSFGGADLPIDDIAAVFLLVYFPPTFRLGFICQVKMGKHIIGSEV >KJB49491 pep chromosome:Graimondii2_0_v6:8:36022946:36024939:1 gene:B456_008G121800 transcript:KJB49491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQAPCFEIDHSEVDMEEATRIGEGAYGEVFLVNWRGTEVAAKTIRSTIASNPKAKNTFLKELALWQQLRHPNIVQFLGVLKHSDRLIFLTEYLRNGSLYDILKKKGRLDTKTAISYALDIARGMNYLHQHNPHAIIHRDLTPRNVLQDEAGRLKVTDFGLSKIAQEKDSYGYKMTGGTGSYRYMAPEVYRRESYGKSVDVFSFALILHEMFQGGPSNRAETAEQIADKRAYEDSRPPLSSFLYPEPIKMLLKECWHKNPDCRPTFGEIISQLEVIEQDFQNEKAMKACCCCVVL >KJB49489 pep chromosome:Graimondii2_0_v6:8:36021052:36024939:1 gene:B456_008G121800 transcript:KJB49489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFRPSHSSSTKLVGQKVDSGGLYRLLQCASKGDKAGVIQELDKGVEPNGADYDRRTALHLAACEGWIEVVDLLLEKGADVNSLDRWGRTPLSDAHSFRHHEVCKILEARGGIDPVGMDSQAPCFEIDHSEVDMEEATRIGEGAYGEVFLVNWRGTEVAAKTIRSTIASNPKAKNTFLKELALWQQLRHPNIVQFLGVLKHSDRLIFLTEYLRNGSLYDILKKKGRLDTKTAISYALDIARGMNYLHQHNPHAIIHRDLTPRNVLQDEAGRLKVTDFGLSKIAQEKDSYGYKMTGGTGSYRYMAPEVYRRESYGKSVDVFSFALILHEMFQGGPSNRAETAEQIADKRAYEDSRPPLSSFLYPEPIKMLLKECWHKNPDCRPTFGEIISQLEVIEQDFQNEKAMKACCCCVVL >KJB49490 pep chromosome:Graimondii2_0_v6:8:36022078:36024997:1 gene:B456_008G121800 transcript:KJB49490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQAPCFEIDHSEVDMEEATRIGEGAYGEVFLVNWRGTEVAAKTIRSTIASNPKAKNTFLKELALWQQLRHPNIVQFLGVLKHSDRLIFLTEYLRNGSLYDILKKKGRLDTKTAISYALDIARGMNYLHQHNPHAIIHRDLTPRNVLQDEAGRLKVTDFGLSKIAQEKDSYGYKMTGGTGSYRYMAPEVYRRESYGKSVDVFSFALILHEMFQGGPSNRAETAEQIADKRAYEDSRPPLSSFLYPEPIKMLLKECWHKNPDCRPTFGEIISQLEVIEQDFQNEKAMKACCCCVVL >KJB50125 pep chromosome:Graimondii2_0_v6:8:41353746:41359869:1 gene:B456_008G154600 transcript:KJB50125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEERTRNKQIQASIAQIFQRQSEALVKRRSLGKESSTFSARSQRHHRSAHPRRRRNSRGVEHQGSEDNEDENDDNGGKDSSSTDERCTEVRQRRRKRRPGIRLSLPSSSVVNSDGGYVENDTEVSRDSRGISPGLVWNPDMLAWGRGGARSHTRHGNSSSGSSKSSRARLNRLVEYLRSLEENDDELDVHLKLISVDEHSTSSLQQPYLCCRPSLSVKQLCEYIALQTPLRAEEVEILMVKGQYHTDEKCTNPSEDTLQILEGQETLAGLKGKCSSGINHLILAYRQRQSC >KJB50124 pep chromosome:Graimondii2_0_v6:8:41352657:41359864:1 gene:B456_008G154600 transcript:KJB50124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRSLPENLDDEESSLHHQSHTKQSRNEDGQHKPEDETTQLEQEQPEPDQDQQHLKQDPDDQNHQAQLQGDDEDEDDDEDGDDEDDSDGSQSSTSQEKPEFVLVELPEIRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEERTRNKQIQASIAQIFQRQSEALVKRRSLGKESSTFSARSQRHHRSAHPRRRRNSRGVEHQGSEDNEDENDDNGGKDSSSTDERCTEVRQRRRKRRPGIRLSLPSSSVVNSDGGYVENDTEVSRDSRGISPGLVWNPDMLAWGRGGARSHTRHGNSSSGSSKSSRARLNRLVEYLRSLEENDDELDVHLKLISVDEHSTSSLQQPYLCCRPSLSVKQLCELTQFQLRVTLIQCLVGVPI >KJB50123 pep chromosome:Graimondii2_0_v6:8:41352596:41359902:1 gene:B456_008G154600 transcript:KJB50123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRSLPENLDDEESSLHHQSHTKQSRNEDGQHKPEDETTQLEQEQPEPDQDQQHLKQDPDDQNHQAQLQGDDEDEDDDEDGDDEDDSDGSQSSTSQEKPEFVLVELPEIRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEERTRNKQIQASIAQIFQRQSEALVKRRSLGKESSTFSARSQRHHRSAHPRRRRNSRGVEHQGSEDNEDENDDNGGKDSSSTDERCTEVRQRRRKRRPGIRLSLPSSSVVNSDGGYVENDTEVSRDSRGISPGLVWNPDMLAWGRGGARSHTRHGNSSSGSSKSSRARLNRLVEYLRSLEENDDELDVHLKLISVDEHSTSSLQQPYLCCRPSLSVKQLCEYIALQTPLRAEEVEILMVKGQYHTDEKCTNPSEDTLQILEGQETLAGLKGKCSSGINHLILAYRQRQSC >KJB52995 pep chromosome:Graimondii2_0_v6:8:56287506:56291476:1 gene:B456_008G287500 transcript:KJB52995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRILSNPFSDQADEPKEKRTRPTSSFTSVIREAVMDKCLSTALEPILRRVVHEEVERSLRAQIRSFSRSPSLRIQAPQPSTLKLIFPKALTVPIFTGGKIVDEESNQLRVMLVDTRGNQTVLFPSPFKVDIVVLDGDFPLNGKNWSSEEFDRYIVKERTGKRPLLAGELVVTVRDGVGLIENIEFTDNSSWIRSRKFRIGAKVVGGSCQGVRIREAMTEAFVVKDHRGELYKKHHPPTLGDEVWRLEKIGKDGVFHKRLASEGVHTVQDFLKMWVVDHAKLRTILGPAMSEKMWNVTVKHAKTCVMGNKHYVFRGPDYKVLLTPICQLVNAEINGSIYTTHNLSDINIAYLENLVRKAYANWCSLEEIEGISDEIGLLTHGDHPNHQQPMVGSYQYKVYMTDRLIEGYIQNEMQANREISPIYFNTSSENGVRLNMFPSNSDDDLTWG >KJB52994 pep chromosome:Graimondii2_0_v6:8:56287506:56291476:1 gene:B456_008G287500 transcript:KJB52994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDTRGNQTVLFPSPFKVDIVVLDGDFPLNGKNWSSEEFDRYIVKERTGKRPLLAGELVVTVRDGVGLIENIEFTDNSSWIRSRKFRIGAKVVGGSCQGVRIREAMTEAFVVKDHRGELYKKHHPPTLGDEVWRLEKIGKDGVFHKRLASEGVHTVQDFLKMWVVDHAKLRTILGPAMSEKMWNVTVKHAKTCVMGNKHYVFRGPDYKVLLTPICQLVNAEINGSIYTTHNLSDINIAYLENLVRKAYANWCSLEEIEGISDEIGLLTHGDHPNHQQPMVGSYQYKVYMTDRLIEGYIQNEMQANREISPIYFNTSSENGVRLNMFPSNSDDDLTWG >KJB52834 pep chromosome:Graimondii2_0_v6:8:55690088:55691225:1 gene:B456_008G279900 transcript:KJB52834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLIDTVGHKQNDCDELECLRKNHRIYTLLAQAFNPFVRKDCISEAVIASSTDNYPEESIHNTLEPFDRIDTRASYWSSEGQNNPAVPETLLYKLMTKMCIVTEIHVQPFQAYFQYNFPIYSSKAVRFRMGHKLQSEMTDSSTSSNKWADDEFIWTYTSPEFPMVQENCLQKFKLPEPVLCIGGYMQVELLGRVQRQEMDGLYYICISHVQAVGRPLLPRFDIEMPDSSGRCALKYLPETGKRTLSSQPLTRETVHLLVFVPSLQDYYREAQEVGNKCY >KJB52836 pep chromosome:Graimondii2_0_v6:8:55689407:55691539:1 gene:B456_008G279900 transcript:KJB52836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVNPSDLIRVSLVSSSWHQFVIENGLGKQLCLKLFPEISGIVHTIEMNNLIDTVGHKQNDCDELECLRKNHRIYTLLAQAFNPFVRKDCISEAVIASSTDNYPEESIHNTLEPFDRIDTRASYWSSEGQNNPAVPETLLYKLMTKMCIVTEIHVQPFQGFNSKLSCSSFACPTCL >KJB52833 pep chromosome:Graimondii2_0_v6:8:55690088:55691225:1 gene:B456_008G279900 transcript:KJB52833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLIDTVGHKQNDCDELECLRKNHRIYTLLAQAFNPFVRKDCISEAVIASSTDNYPEESIHNTLEPFDRIDTRASYWSSEGQNNPAVPETLLYKLMTKMCIVTEIHVQPFQAYFQYNFPIYSSKAVRFRMGHKLQSEMTDSSTSSNKWADDEFIWTYTSPEFPMVQENCLQKFKLPEPVLCIGGYMQVELLGRVQRQEMDGLYYICISHVQAVGRPLLPRFDIEMPDSSGRCALKYLPETGKRTLSSQPLTRETVHLLVFVPSLQDYYREAQEVGNKCY >KJB52835 pep chromosome:Graimondii2_0_v6:8:55689407:55691539:1 gene:B456_008G279900 transcript:KJB52835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYCGDFIELLGPDISTKILMNLVNPSDLIRVSLVSSSWHQFVIENGLGKQLCLKLFPEISGIVHTIEMNNLIDTVGHKQNDCDELECLRKNHRIYTLLAQAFNPFVRKDCISEAVIASSTDNYPEESIHNTLEPFDRIDTRASYWSSEGQNNPAVPETLLYKLMTKMCIVTEIHVQPFQAYFQYNFPIYSSKAVRFRMGHKLQSEMTDSSTSSNKWADDEFIWTYTSPEFPMVQENCLQKFKLPEPVLCIGGYMQVELLGRVQRQEMDGLYYIWEVCFEVLARNRETYTFFTTTYTGNGASSRFRTFTARLLQRGTRGWEQMLLNTLLQARAGRANDADNEPPPASP >KJB52832 pep chromosome:Graimondii2_0_v6:8:55689407:55691539:1 gene:B456_008G279900 transcript:KJB52832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYCGDFIELLGPDISTKILMNLVNPSDLIRVSLVSSSWHQFVIENGLGKQLCLKLFPEISGIVHTIEMNNLIDTVGHKQNDCDELECLRKNHRIYTLLAQAFNPFVRKDCISEAVIASSTDNYPEESIHNTLEPFDRIDTRASYWSSEGQNNPAVPETLLYKLMTKMCIVTEIHVQPFQAYFQYNFPIYSSKAVRFRMGHKLQSEMTDSSTSSNKWADDEFIWTYTSPEFPMVQFKLPEPVLCIGGYMQVELLGRVQRQEMDGLYYICISHVQAVGRPLLPRFDIEMPDSSGRCALKYLPETGKRTLSSQPLTRETVHLLVFVPSLQDYYREAQEVGNKCY >KJB52831 pep chromosome:Graimondii2_0_v6:8:55689337:55691584:1 gene:B456_008G279900 transcript:KJB52831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYCGDFIELLGPDISTKILMNLVNPSDLIRVSLVSSSWHQFVIENGLGKQLCLKLFPEISGIVHTIEMNNLIDTVGHKQNDCDELECLRKNHRIYTLLAQAFNPFVRKDCISEAVIASSTDNYPEESIHNTLEPFDRIDTRASYWSSEGQNNPAVPETLLYKLMTKMCIVTEIHVQPFQAYFQYNFPIYSSKAVRFRMGHKLQSEMTDSSTSSNKWADDEFIWTYTSPEFPMVQENCLQKFKLPEPVLCIGGYMQVELLGRVQRQEMDGLYYICISHVQAVGRPLLPRFDIEMPDSSGRCALKYLPETGKRTLSSQPLTRETVHLLVFVPSLQDYYREAQEVGNKCY >KJB52830 pep chromosome:Graimondii2_0_v6:8:55689336:55691643:1 gene:B456_008G279900 transcript:KJB52830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYCGDFIELLGPDISTKILMNLVNPSDLIRVSLVSSSWHQFVIENGLGKQLCLKLFPEISGIVHTIEMNNLIDTVGHKQNDCDELECLRKNHRIYTLLAQAFNPFVRKDCISEAVIASSTDNYPEESIHNTLEPFDRIDTRASYWSSEGQNNPAVPETLLYKLMTKMCIVTEIHVQPFQAYFQYNFPIYSSKAVRFRMGHKLQSEMTDSSTSSNKWADDEFIWTYTSPEFPMVQENCLQKFKLPEPVLCIGGYMQVELLGRVQRQEMDGLYYICISHVQAVGRPLLPRFDIEMPDSSGRCALKYLPETGKRTLSSQPLTRETVHLLVFVPSLQDYYREAQEVGNKCY >KJB52322 pep chromosome:Graimondii2_0_v6:8:53823934:53825963:-1 gene:B456_008G255900 transcript:KJB52322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFCLKKVRNKSGYKDPTILASETPFTVNEVKALYDLFKRVSSSIIDDGLIHKVEFQHAVFRNSSKQNLFADRVFDLFDVKHNGVIEFGEFVRSLSVFHPNAPIADKIAFLFRLYDLRQTGYIEGEELKEMLLALLGESDLLLSNDMVEMIVEKTMVEADSNGDGKIDEEEWREFVKNNPSVLKNMTLPYLKDLTSLAFPSFVLNNEAEIIGNK >KJB52325 pep chromosome:Graimondii2_0_v6:8:53824033:53825931:-1 gene:B456_008G255900 transcript:KJB52325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFCLKKVRNKSGYKDPTILASETPFTVNEVKALYDLFKRVSSSIIDDGLIHKVEFQHAVFRNSSKQNLFADRVFDLFDVKHNGVIEFGEFVRSLSVFHPNAPIADKIAFLFRLYDLRQTGYIEGEELKEMLLALLGESDLLLSNDMVEMIVEKADSNGDGKIDEEEWREFVKNNPSVLKNMTLPYLKDLTSLAFPSFVLNNEAEIIGNK >KJB52323 pep chromosome:Graimondii2_0_v6:8:53823929:53825963:-1 gene:B456_008G255900 transcript:KJB52323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFCLKKVRNKSGYKDPTILASETPFTVNEVKALYDLFKRVSSSIIDDGLIHKVEFQHAVFRNSSKQNLFADRVFDLFDVKHNGVIEFGEFVRSLSVFHPNAPIADKIAFLFRLYDLRQTGYIEGEELKEMLLALLGESDLLLSNDMVEMIVEKTMVEADSNGDGKIDEEEWREFVKNNPSVLKNMTLPYLKDLTSLAFPSFVLNNEAEIIGNK >KJB52324 pep chromosome:Graimondii2_0_v6:8:53824008:53825627:-1 gene:B456_008G255900 transcript:KJB52324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFCLKKVRNKSGYKDPTILASETPFTVNEVKALYDLFKRVSSSIIDDGLIHKVEFQHAVFRNSSKQNLFADRVFDLFDVKHNGVIEFGEFVRSLSVFHPNAPIADKIAFLFRLYDLRQTGYIEGEELKEMLLALLGESDLLLSNDMVEMIVEKTMVEADSNGDGKIDEEEWREFVKNNPSVLKNMTLPYLKDLTSLAFPSFVLNNEAEIIGNK >KJB52321 pep chromosome:Graimondii2_0_v6:8:53824621:53825464:-1 gene:B456_008G255900 transcript:KJB52321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFCLKKVRNKSGYKDPTILASETPFTVNEVKALYDLFKRVSSSIIDDGLIHKVEFQHAVFRNSSKQNLFADRVFDLFDVKHNGVIEFGEFVRSLSVFHPNAPIADKIAFLFRLYDLRQTGYIEGEEAKEKNHQLIYIYIYIIYLLFGCLSVFPLFFFFNDQTGS >KJB50975 pep chromosome:Graimondii2_0_v6:8:48077110:48081059:1 gene:B456_008G195900 transcript:KJB50975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKNQAFSWRFTFFMFLVLLITGTVVAAGDSLDTDKHVLLKLKSYLEEQNRVNRGRYSEWDTRNSTPCQWYGVSCSPDGQRVIGINLSDNNISGDMFNQFSALTELRELDLSGNTIGGAIPEDLNRCSSLVYLNLSHNILEGELKLTGLNSLEKLDLSMNRRIEGDIEVSFPAICKRLVIANLSTNNFSGTIDKCFDECWNLQYLDLSSNNFVGQIWSGFARLVEYSVSENSVSGALSGSMFTNNCSLQVLDLSENNLEGQLPGEISNCKNLAVLNLWGNHFTGKIPSEIGMISSLEGLFLGKNSFSNVIPESLMNLTNLAFLDLSKNNFGGKIQEIFGRFTQVKFLLLHGNAYTGGIISSGILKLPKVSRLDLSFNNFSGPLPIEISEMKSLNFLTLAYNQFTGGIPPEYGNLPQLQALDLSFNQLTGSIPLALGKLSTLLWLMLANNSLTGDIPPEIGNCSSLLWLNLANNQLSGEIPPELAKIGRNATQTFESNRLRNDRIIPGSGECLSMKRWIPADYPPFSFVYTILTKKTCRSLWDQLLKGHGIFQVCTAGSTVRTDQISGYLQLSGNQLSGQIPLDIGMMQNFSMLHFGFNDLNGKLPANIGQLPLVVLNITRNRFSGEIPDEIGNMKCLLNLDLSFNNFSGIFPTSFNNLTELSKFNISYNPLISGVIPATGQLATFEKESYLGDPLLDVPDFIDNGTSRPQKYNSMHKRSAKLAVFLALLSLILAFFVFGVLTLVACVLVKGPEEPHGYLLQDIKYRHELASSSGGSSPWLSDTVKVIRLDKTAFTHADILKATGNFSENRIIGKGGFGTVYRGVLSDGREVAVKKLQREGIQGEREFRAEMEVLSGNSFGWPHPNLVTLYGWCLDGLEKILVYEYMEGGSLEDIISDRLWFTWRRRIDVAVDIARALVFLHHECYPAIVHRDVKASNVLLDKDGTARVTDFGLARFVDVGDSHVSTIVAGTIGYVAPEYGQTWQATTKGDVYSYGVLAMELATGRRAVDGGEECLVEWARRMMGNGRNGLGRAVIPVVLFGSGLADGAEEMCELLRVGVQCTAEAPQARPNMKEVLAMLIRITSSNGQNLKCS >KJB50720 pep chromosome:Graimondii2_0_v6:8:46447087:46448103:-1 gene:B456_008G184600 transcript:KJB50720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIAMDPSLSLQIGRGSNQHGAVPVKEEIQGLIKVYKDGHVERPQIVPYVTPALPPELGVTTKDFVIDNFTNVWARFYVPKSNWKLPLVVYFHGGGFCAGSAAWSCYHEFLGKLAAKAGCLIVSINYRLAPENPLPAAYEDGVKCLMWLKQEALKGSSEWWSKQCNLCSVFLAGDSAGANIAHNVTTRLNSKNLYPLTLKGTILIQPFFGGEARTNSEKNMVQSPRSALSLPASDTYWRLALPCGTNRDHPWCNPLGKGSAKMEEMKLLPTLVCISEMDILRDRNLEFCAALGKAGKKVEHVLYKGVGHAFQVLSKSRLSQSRTNEMIARVKAFVNR >KJB47980 pep chromosome:Graimondii2_0_v6:8:6827560:6831703:1 gene:B456_008G049100 transcript:KJB47980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRHHWSHTHPCCHAGGTFALYSLLCRHAKIKTIPNQHRTDEELTTYSRSTFHEQSFAAKTKRWLERHVSRKNALLILVLVGTCMVIGDGILTPAISVLSAAGGIKVDHPSMSNGVVVVVAVVILVGLFSLQHYGTDKVSWLFAPIVLVWFLVIGGIGIYNIWKYDSSVLKAFSPVYIYRYFRRGGKDGWTSLGGIMLSITGTEALFADLSHFPVAAVQLAFTVVVFPCLLLAYSGQAAYLMSNRDHVVDAFYRSIPDSIYWPVFIIATAAAIVASQATITATFSIIKQALALGCFPRVKVVHTSKKFLGQIYVPDINWILMILCIAVTAGFKNQNQIGNAYGTAVVIVMLVTTLLMTLIMILVWRCHWILVLLFTGLSLVVECTYFSAVLFKVDQGGWVPLVIAAAFLLIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDEFEKKLFDSLFLFVRLESMMEGCSDSDEYSLYGQQTERSREGLLNNNGNGNTITSYADTTISSVDSIVPVKSPMQGSMTVRSSEHVSSQTETDELEFLNSCRDAGVVHILGNTVVRARRESRFYKKIAIDYVYAFLRKICREHSVIFNVPHESLLNVGQVFYV >KJB47974 pep chromosome:Graimondii2_0_v6:8:6826204:6831761:1 gene:B456_008G049100 transcript:KJB47974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTSRIEMDEDNDNRGSMWDLDQKLDQPMDEEAGRLRNMYKEKKSSALLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPGKIEDPEDVVGALSLIIYSLTLIPLLKYVFVVCKANDNGQGGTFALYSLLCRHAKIKTIPNQHRTDEELTTYSRSTFHEQSFAAKTKRWLERHVSRKNALLILVLVGTCMVIGDGILTPAISVLSAAGGIKVDHPSMSNGVVVVVAVVILVGLFSLQHYGTDKVSWLFAPIVLVWFLVIGGIGIYNIWKYDSSVLKAFSPVYIYRYFRRGGKDGWTSLGGIMLSITGTEALFADLSHFPVAAVQLAFTVVVFPCLLLAYSGQAAYLMSNRDHVVDAFYRSIPDSIYWPVFIIATAAAIVASQATITATFSIIKQALALGCFPRVKVVHTSKKFLGQIYVPDINWILMILCIAVTAGFKNQNQIGNAYGTAVVIVMLVTTLLMTLIMILVWRCHWILVLLFTGLSLVVECTYFSAVLFKVDQGGWVPLVIAAAFLLIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDEFEKKLFDSLFLFVRLESMMEGCSDSDEYSLYGQQTERSREGLLNNNGNGNTITSYADTTISSVDSIVPVKSPMQGSMTVRSSEHVSSQTETDELEFLNSCRDAGVVHILGNTVVRARRESRFYKKIAIDYVYAFLRKICREHSVIFNVPHESLLNVGQVFYV >KJB47978 pep chromosome:Graimondii2_0_v6:8:6826683:6831703:1 gene:B456_008G049100 transcript:KJB47978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRHHWSHTHPCCHAGGTFALYSLLCRHAKIKTIPNQHRTDEELTTYSRSTFHEQSFAAKTKRWLERHVSRKNALLILVLVGTCMVIGDGILTPAISVLSAAGGIKVDHPSMSNGVVVVVAVVILVGLFSLQHYGTDKVSWLFAPIVLVWFLVIGGIGIYNIWKYDSSVLKAFSPVYIYRYFRRGGKDGWTSLGGIMLSITGTEALFADLSHFPVAAVQLAFTVVVFPCLLLAYSGQAAYLMSNRDHVVDAFYRSIPDSIYWPVFIIATAAAIVASQATITATFSIIKQALALGCFPRVKVVHTSKKFLGQIYVPDINWILMILCIAVTAGFKNQNQIGNAYGTAVVIVMLVTTLLMTLIMILVWRCHWILVLLFTGLSLVVECTYFSAVLFKVDQGGWVPLVIAAAFLLIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDEFEKKLFDSLFLFVRLESMMEGCSDSDEYSLYGQQTERSREGLLNNNGNGNTITSYADTTISSVDSIVPVKSPMQGSMTVRSSEHVSSQTETDELEFLNSCRDAGVVHILGNTVVRARRESRFYKKIAIDYVYAFLRKICREHSVIFNVPHESLLNVGQVFYV >KJB47975 pep chromosome:Graimondii2_0_v6:8:6826204:6831761:1 gene:B456_008G049100 transcript:KJB47975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTSRIEMDEDNDNRGSMWDLDQKLDQPMDEEAGRLRNMYKEKKSSALLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPGKIEDPEDVVGALSLIIYSLTLIPLLKYVFVVCKANDNGQGGTFALYSLLCRHAKIKTIPNQHRTDEELTTYSRSTFHEQSFAAKTKRWLERHVSRKNALLILVLVGTCMVIGDGILTPAISVLSAAGGIKVDHPSMSNGVVVVVAVVILVGLFSLQHYGTDKVSWLFAPIVLVWFLVIGGIGIYNIWKYDSSVLKAFSPVYIYRYFRRGGKDGWTSLGGIMLSITGTEALFADLSHFPVAAVQLAFTVVVFPCLLLAYSGQAAYLMSNRDHVVDAFYRSIPDSIYWPVFIIATAAAIVASQATITATFSIIKQALALGCFPRVKVVHTSKKFLGQIYVPDINWILMILCIAVTAGFKNQNQIGNAYGTAVVIVMLVTTLLMTLIMILVWRCHWILVLLFTGLSLVVECTYFSAVLFKVDQGGWVPLVIAAAFLLIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDEFEKKLFDSLFLFVRLESMMEGCSDSDEYSLYGQQTERSREGLLNNNGNGNTITSYADTTISSVDSIVPVKSPMQGSMTVRSSEHVSSQTETDELEFLNSCRDAGVVHILGNTVVRARRESRFYKKIAIDYVYAFLRKICREHSVIFNVPHESLLNVGQVFYV >KJB47979 pep chromosome:Graimondii2_0_v6:8:6826683:6831703:1 gene:B456_008G049100 transcript:KJB47979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRHHWSHTHPCCHAGGTFALYSLLCRHAKIKTIPNQHRTDEELTTYSRSTFHEQSFAAKTKRWLERHVSRKNALLILVLVGTCMVIGDGILTPAISVLSAAGGIKVDHPSMSNGVVVVVAVVILVGLFSLQHYGTDKVSWLFAPIVLVWFLVIGGIGIYNIWKYDSSVLKAFSPVYIYRYFRRGGKDGWTSLGGIMLSITGTEALFADLSHFPVAAVQLAFTVVVFPCLLLAYSGQAAYLMSNRDHVVDAFYRSIPDSIYWPVFIIATAAAIVASQATITATFSIIKQALALGCFPRVKVVHTSKKFLGQIYVPDINWILMILCIAVTAGFKNQNQIGNAYGTAVVIVMLVTTLLMTLIMILVWRCHWILVLLFTGLSLVVECTYFSAVLFKVDQGGWVPLVIAAAFLLIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDEFEKKLFDSLFLFVRLESMMEGCSDSDEYSLYGQQTERSREGLLNNNGNGNTITSYADTTISSVDSIVPVKSPMQGSMTVRSSEHVSSQTETDELEFLNSCRDAGVVHILGNTVVRARRESRFYKKIAIDYVYAFLRKICREHSVIFNVPHESLLNVGQVFYV >KJB47977 pep chromosome:Graimondii2_0_v6:8:6826183:6831738:1 gene:B456_008G049100 transcript:KJB47977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTSRIEMDEDNDNRGSMWDLDQKLDQPMDEEAGRLRNMYKEKKSSALLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPGKIEDPEDVVGALSLIIYSLTLIPLLKYVFVVCKANDNGQGGTFALYSLLCRHAKIKTIPNQHRTDEELTTYSRSTFHEQSFAAKTKRWLERHVSRKNALLILVLVGTCMVIGDGILTPAISVLSAAGGIKVDHPSMSNGVVVVVAVVILVGLFSLQHYGTDKVSWLFAPIVLVWFLVIGGIGIYNIWKYDSSVLKAFSPVYIYRYFRRGGKDGWTSLGGIMLSITGTEALFADLSHFPVAAVQLAFTVVVFPCLLLAYSGQAAYLMSNRDHVVDAFYRSIPDSIYWPVFIIATAAAIVASQATITATFSIIKQALALGCFPRVKVVHTSKKFLGQIYVPDINWILMILCIAVTAGFKNQNQIGNAYGTAVVIVMLVTTLLMTLIMILVWRCHWILVLLFTGLSLVVECTYFSAVLFKVDQGGWVPLVIAAAFLLIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDEFEKKLFDSLFLFVRLESMMEGCSDSDEYSLYGQQTERSREGLLNNNGNGNTITSYADTTISSVDSIVPVKSPMQGSMTVRSSEHVSSQTETDELEFLNSCRDAGVVHILGNTVVRARRESRFYKKIAIDYVYAFLRKICREHSVIFNVPHESLLNVGQVFYV >KJB47973 pep chromosome:Graimondii2_0_v6:8:6826401:6831703:1 gene:B456_008G049100 transcript:KJB47973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTSRIEMDEDNDNRGSMWDLDQKLDQPMDEEAGRLRNMYKEKKSSALLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPGKIEDPEDVVGALSLIIYSLTLIPLLKYVFVVCKANDNGQGGTFALYSLLCRHAKIKTIPNQHRTDEELTTYSRSTFHEQSFAAKTKRWLERHVSRKNALLILVLVGTCMVIGDGILTPAISVLSAAGGIKVDHPSMSNGVVVVVAVVILVGLFSLQHYGTDKVSWLFAPIVLVWFLVIGGIGIYNIWKYDSSVLKAFSPVYIYRYFRRGGKDGWTSLGGIMLSITGTEALFADLSHFPVAAVQLAFTVVVFPCLLLAYSGQAAYLMSNRDHVVDAFYRSIPDSIYWPVFIIATAAAIVASQATITATFSIIKQALALGCFPRVKVVHTSKKFLGQIYVPDINWILMILCIAVTAGFKNQNQIGNAYGTAVVIVMLVTTLLMTLIMILVWRCHWILVLLFTGLSLVVECTYFSAVLFKVDQGGWVPLVIAAAFLLIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDEFEKKLFDSLFLFVRLESMMEGCSDSDEYSLYGQQTERSREGLLNNNGNGNTITSYADTTISSVDSIVPVKSPMQGSMTVRSSEHVSSQTETDELEFLNSCRDAGVVHILGNTVVRARRESRFYKKIAIDYVYAFLRKICREHSVIFNVPHESLLNVGQVFYV >KJB47976 pep chromosome:Graimondii2_0_v6:8:6828122:6831633:1 gene:B456_008G049100 transcript:KJB47976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRHHWSHTHPCCHAGGTFALYSLLCRHAKIKTIPNQHRTDEELTTYSRSTFHEQSFAAKTKRWLERHVSRKNALLILVLVGTCMVIGDGILTPAISVLSAAGGIKVDHPSMSNGVVVVVAVVILVGLFSLQHYGTDKVSWLFAPIVLVWFLVIGGIGIYNIWKYDSSVLKAFSPVYIYRYFRRGGKDGWTSLGGIMLSITGTEALFADLSHFPVAAVQLAFTVVVFPCLLLAYSGQAAYLMSNRDHVVDAFYRSIPDSIYWPVFIIATAAAIVASQATITATFSIIKQALALGCFPRVKVVHTSKKFLGQIYVPDINWILMILCIAVTAGFKNQNQIGNAYGTAVVIVMLVTTLLMTLIMILVWRCHWILVLLFTGLSLVVECTYFSAVLFKVDQGGWVPLVIAAAFLLIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDEFEKKLFDSLFLFVRLESMMEGCSDSDEYSLYGQQTERSREGLLNNNGNGNTITSYADTTISSVDSIVPVKSPMQGSMTVRSSEHVSSQTETDELEFLNSCRDAGVVHILGNTVVRARRESRFYKKIAIDYVYAFLRKICREHSVIFNVPHESLLNVGQVFYV >KJB52589 pep chromosome:Graimondii2_0_v6:8:54786317:54790210:1 gene:B456_008G269200 transcript:KJB52589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNKKEETSSCSRVPNKGNGLDLENYSWTQSLHEVTVNVPVPSGTRSKLVACEIKKNHLKVGLKGQPPIIDGELFQAVKPDDCYWSIEDNRSISILLTKHNQMEWWKSLVKGDPEIDTQKVEPENSKLSDLDPETRQTVEKMMFDQRQKAMGLPTSDELQKQEILKKFMSEHPEMDFSKAKLM >KJB52590 pep chromosome:Graimondii2_0_v6:8:54786325:54790104:1 gene:B456_008G269200 transcript:KJB52590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNKKEETSSCSRVPNKGNGLDLENYSWTQSLHEVTVNVPVPSGTRSKLVACEIKKNHLKVGLKGQPPIIDGELFQAVKPDDCYWSIEDNRSISILLTKHNQMEWWKSLVKGDPEIDTQKVEPENSKLSDLDPETRQTVEKMMFDQRQKAMGLPTSDELQKQEILKKFMSEVTIH >KJB52368 pep chromosome:Graimondii2_0_v6:8:53965872:53968467:1 gene:B456_008G258500 transcript:KJB52368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETQSRGLVFAGKKRGTGTDDFDGDDIFVAKKSMLPTMATESDPAAALANARHEFGEHGGVNMSIEASATFTVMEPETMRRMFAGELGPDRDFFIYSRHFNPTVLNLGRLMAALEGTEAAYCTASGMSAISSVLLQLCSSGGHVVASRTLYGGTHALLTHFFPRACNITTTFVDIGDLEAVKNAIVEGKTKVLYFESMSNPTLTVANIPALSRIGHEKGTTVVVDNTFAPMVLSPARLGADVVLHSISKFISGGADVIAGAVCGPASLVNSMMDLHQGALMLLGPTMNAKVAFELSERIPHLGLRMKEHCRRAMEYAIRMKKLGLKVIYPGLEDHPQHELLKSMANKEYGYGGLLCVDMETEERANRLMHHLQNYTQFGFMAVSLGYYETLMSCSGSSTSSEMNAEEKELAGISPGLVRMSIGYIGTLEQKWSQLEKALSRMQDGTLLNKN >KJB52133 pep chromosome:Graimondii2_0_v6:8:53160416:53162605:1 gene:B456_008G247400 transcript:KJB52133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDMEFQQRWEFRRNDDELDSSFDDSVSSDGSLIRKQKLVSRLISLGDDESSEISRLKQKDNENPAKEDQINVGKVKKRKTRNMSSRNKMKKDSVEKVDLQKGETSAYDHHAPLDDLKNFMDSLLKDLKVTRESLLKWMMDEMQKLVTDHPRGKKPGDDKVQLQTRKKSKKVEDRNGKFHMESTRFRHSSKTDKCTRVQQQNKLQVPIRVEENNNKQHQNGFGYGMDRSLTRFPTGNTATGSTDYFNTLGDRLGSGKVVELITSPKRKGDSSLIAAANPNLQTSDTDQNVQVHCHTGVVLAIEAQKAKSGSMKRSAKGKETVDLRDHHQVPEDQASHGQGITTIGAGTNIEKPGSSVVQHFLSSPFGQAPWAIYPTLPTLLNDPKFANQGLDASSCNHVVPRVNQMLEPGSNQGSFPIIPPDETIQRFAWMGSSTPTSGIGTGFPFPFHQGLDFGLSIPNPKQVNPQNLSQETNKPLGLKMNGGATKFSSGSYNLPEYNAAHNHHSHGRLISYQMQNLKDGHLFLQ >KJB52409 pep chromosome:Graimondii2_0_v6:8:54149612:54153241:-1 gene:B456_008G260300 transcript:KJB52409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRTHKTANGVSTRWVSFFCIASFFLGVLVINRLWTSSDPVKINEASSVLTHGRKEVHPLVNCDKDTSVEAGDILSRVSQTHDVIMTLDKTISSLEVQLAAARAAKGDSNEGSPMVTKPGIENLKERPKLFFVMGIITAFSSRKRRDSIRETWMPQGEELKRLEKEKGIIMRFVIGHSATPGGILDRGIEAEEEQYKDFLRLNHIEGYHELSSKTQIYFSTAVAKWDADFYIKVDDDVHVNLGMVGSTLARHRSKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAVSKDLATYISVNRHILHRYANEDVSLGSWFIGLDVEHIDERSLCCGTLLDCEWKAQAGNPCGASFDWSCSGICKSVERMQEVHQRCGEGDDAIWHTSF >KJB52408 pep chromosome:Graimondii2_0_v6:8:54150183:54152092:-1 gene:B456_008G260300 transcript:KJB52408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKFIPWLIVIRQDTSVEAGDILSRVSQTHDVIMTLDKTISSLEVQLAAARAAKGDSNEGSPMVTKPGIENLKERPKLFFVMGIITAFSSRKRRDSIRETWMPQGEELKRLEKEKGIIMRFVIGHSATPGGILDRGIEAEEEQYKDFLRLNHIEGYHELSSKTQIYFSTAVAKWDADFYIKVDDDVHVNLGMVGSTLARHRSKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAVSKDLATYISVNRHILHRYANEDVSLGSWFIGLDVEHIDERSLCCGTLLDCEWKAQAGNPCGASFDWSCSGICKSVERMQEVHQRCGEGDDAIWHTSF >KJB52405 pep chromosome:Graimondii2_0_v6:8:54149637:54153241:-1 gene:B456_008G260300 transcript:KJB52405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRTHKTANGVSTRWVSFFCIASFFLGVLVINRLWTSSDPVKINEASSVLTHGRKEVHPLVNCDKDTSVEAGDILSRVSQTHDVIMTLDKTISSLEVQLAAARAAKGDSNEGSPMVTKPGIENLKERPKLFFVMGIITAFSSRKRRDSIRETWMPQGEELKRLEKEKGIIMRFVIGHSATPGGILDRGIEAEEEQYKDFLRLNHIEGYHELSSKTQIYFSTAVAKWDADFYIKVDDDVHVNLGMVGSTLARHRSKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAVSKDLATYISVNRHILHRYANEDVSLGSWFIGLDVEHIDERSLCCGTLLDCEWKAQAGNPCGASFDWSCSGICKSVERMQEVHQRCGEGDDAIWHTSF >KJB52407 pep chromosome:Graimondii2_0_v6:8:54150607:54152935:-1 gene:B456_008G260300 transcript:KJB52407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRTHKTANGVSTRWVSFFCIASFFLGVLVINRLWTSSDPVKINEASSVLTHGRKEVHPLVNCDKDTSVEAGDILSRVSQTHDVIMTLDKTISSLEVQLAAARAAKGDSNEGSPMVTKPGIENLKERPKLFFVMGIITAFSSRKRRDSIRETWMPQGEELKRLEKEKGIIMRFVIGHSATPGGILDRGIEAEEEQYKDFLRLNHIEGYHELSSKTQIYFSTAVAKWDADFYIKVDDDVHVNLGMVGSTLARHRSKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAVSKDLATYISVNR >KJB52406 pep chromosome:Graimondii2_0_v6:8:54149637:54153241:-1 gene:B456_008G260300 transcript:KJB52406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRTHKTANGVSTRWVSFFCIASFFLGVLVINRLWTSSDPVKINEASSVLTHGRKEVHPLVNCDKDTSVEAGDILSRVSQTHDVIMTLDKTISSLEVQLAAARAAKGDSNEGSPMVTKPGIENLKERPKLFFVMGIITAFSSRKRRDSIRETWMPQGEELKRLEKEKGIIMRFVIGHSATPGGILDRGIEAEEEQYKDFLRLNHIEGYHELSSKTQIYFSTAVAKWDADFYIKVDDDVHVNLGMVGSTLARHRSKPRVYIGCMKSGPVLAQKGQIP >KJB50313 pep chromosome:Graimondii2_0_v6:8:43086893:43090560:1 gene:B456_008G163000 transcript:KJB50313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNFSHRPVVPAHLTEDRVSPMRIVNGYLVEGIPQKNGDGYLESWHSNCEREDCIDYGRDKSGAQFGSLEPVSNDIIDLLPSDPFGMDITSTFTAITGWLEDLEVDSGGYVRDDVGIGDGSHQLFAGLNFIWNNSMWFQTFPGECKGSVSGGLGVCSQAKERGNVSDHIGFGSAHSTQGILCFGNEDMVSVDQENDEFQDCEVCSEEHEGAPHEALNFAFGYLGLQDLFAVESVCMSLRSVVQNDPLLWRNIHIDQPLSEKITDDVLLQITRRAQGSLQCLSLVDCQRITDEGLQCVVEENPKLVKLSVPGCTRLSIEGILNSLKALKSVGRQGVKHLRIAGVYGVTQKHFEELKLLLGMDNQIQQIMHKPHFYNRRNVCEDDRAIDIEMCLRCENVRLVYDCPAEGCQQKDHTAQLCRGCTLCIPRCVQCGRCINDGEYEETFSLELLCSDCWRLQIKS >KJB48440 pep chromosome:Graimondii2_0_v6:8:11474804:11479984:1 gene:B456_008G069200 transcript:KJB48440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADILASLRSLMASQSPPLDALLVPSEDYHQSEYVSARDKRREFVSGFTGSAGLALITKNEARLWTDGRYFLQATQQLSDQWKLMRIGEDPPLDVWMSDNLQKEAAIGIDPWCVSVDTAQRWERAFAKKNQKLVQTSTNLVDEIWKNRPPAEINPAVDHPLEFAGRSVAEKLKALREKLSSEKARGIIITALDEVAWLYNIRGSDVSYSPVVHAFAIVTLNSAFLYVDKRKVSSKVSSSLQANGIEVREYGAVSSDAAMLASNQLDQATGVNFGQNGVCQNDTCDNDLIWVDPASCCYALFSKLDANKVLLQQSPLALAKALKNPVELDGLKNAHIRDGAAVVQYLVWLDKQMQEIYGASGYFLEGEVTSKKIPETMKLTEVSVSDKLEGFRASKEHFRGLSFPTISSVGPNAAIIHYSPQAKTCAELDPNSIYLFDSGAQYLDGTTDITRTVHFGKPSAHEKACYTSVLKGHIALGNARFPNGTNGYSLDILARIPLWRYGLDYRHGTGHGIGSYLNVHEGPHQISFRPQARNVPLQVSMTVTDEPGYYEDGNFGIRLENVLVIKEADTEFNFGDKGYLSFEHITWAPYQIKLIDLSILTPEEIQWLNRYHSKCREILAPSLHKNEMEWLKKATEPVSA >KJB48441 pep chromosome:Graimondii2_0_v6:8:11474687:11480199:1 gene:B456_008G069200 transcript:KJB48441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADILASLRSLMASQSPPLDALLVPSEDYHQSEYVSARDKRREFVSGFTGSAGLALITKNEARLWTDGRYFLQATQQLSDQWKLMRIGEDPPLDVWMSDNLQKEAAIGIDPWCVSVDTAQRWERAFAKKNQKLVQTSTNLVDEIWKNRPPAEINPAVDHPLEFAGRSVAEKLKALREKLSSEKARGIIITALDEVAWLYNIRGSDVSYSPVVHAFAIVTLNSAFLYVDKRKVSSKVSSSLQANGIEVREYGAQSPLALAKALKNPVELDGLKNAHIRDGAAVVQYLVWLDKQMQEIYGASGYFLEGEVTSKKIPETMKLTEVSVSDKLEGFRASKEHFRGLSFPTISSVGPNAAIIHYSPQAKTCAELDPNSIYLFDSGAQYLDGTTDITRTVHFGKPSAHEKACYTSVLKGHIALGNARFPNGTNGYSLDILARIPLWRYGLDYRHGTGHGIGSYLNVHEGPHQISFRPQARNVPLQVSMTVTDEPGYYEDGNFGIRLENVLVIKEADTEFNFGDKGYLSFEHITWAPYQIKLIDLSILTPEEIQWLNRYHSKCREILAPSLHKNEMEWLKKATEPVSA >KJB48443 pep chromosome:Graimondii2_0_v6:8:11474687:11480199:1 gene:B456_008G069200 transcript:KJB48443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADILASLRSLMASQSPPLDALLVPSEDYHQSEYVSARDKRREFVSGFTGSAGLALITKNEARLWTDGRYFLQATQQLSDQWKLMRIGEDPPLDVWMSDNLQKEAAIGIDPWCVSVDTAQRWERAFAKKNQKLVQTSTNLVDEIWKNRPPAEINPAVDHPLEFAGRSVAEKLKALREKLSSEKARGIIITALDEVAWLYNIRGSDVSYSPVVHAFAIVTLNSAFLYVDKRKVSSKVSSSLQANGIEVREYGAVSSDAAMLASNQLDQATGVNFGQNGVCQNDTCDNDLIWVDPASCCYALFSKLDANKVLLQQSPLALAKALKNPVELDGLKNAHIRDGAAVVQYLVWLDKQMQEIYGASGYFLEGEVTSKKIPNAPCRETMKLTEVSVSDKLEGFRASKEHFRGLSFPTISSVGPNAAIIHYSPQAKTCAELDPNSIYLFDSGAQYLDGTTDITRTVHFGKPSAHEKACYTSVLKGHIALGNARFPNGTNGYSLDILARIPLWRYGLDYRHGTGHGIGSYLNVHEGPHQISFRPQARNVPLQVSMTVTDEPGYYEDGNFGIRLENVLVIKEADTEFNFGDKGYLSFEHITWAPYQIKLIDLSILTPEEIQWLNRYHSKCREILAPSLHKNEMEWLKKATEPVSA >KJB48445 pep chromosome:Graimondii2_0_v6:8:11474615:11480599:1 gene:B456_008G069200 transcript:KJB48445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADILASLRSLMASQSPPLDALLVPSEDYHQSEYVSARDKRREFVSGFTGSAGLALITKNEARLWTDGRYFLQATQQLSDQWKLMRIGEDPPLDVWMSDNLQKEAAIGIDPWCVSVDTAQRWERAFAKKNQKLVQTSTNLVDEIWKNRPPAEINPAVDHPLEFAGRSVAEKLKALREKLSSEKARGIIITALDEVAWLYNIRGSDVSYSPVVHAFAIVTLNSAFLYVDKRKVSSKVSSSLQANGIEVREYGAVSSDAAMLASNQLDQATGVNFGQNGVCQNDTCDNDLIWVDPASCCYALFSKLDANKVLLQQSPLALAKALKNPVELDGLKNAHIRDGAAVVQYLVWLDKQMQEIYGASGYFLEGEVTSKKIPETMKLTEVSVSDKLEGFRASKEHFRGLSFPTISSVGPNAAIIHYSPQAKTCAELDPNSIYLFDSGAQYLDGTTDITRTVHFGKPSAHEKACYTSVLKGHIALGNARFPNGTNGYSLDILARIPLWRYGLDYRHGTGHGIGSYLNVHEGPHQISFRPQARNVPLQVSMTVTDEPGYYEDGNFGIRLENVLVIKEADTEFNFGDKGYLSFEHITWAPYQIKLIDLSILTPEEIQWLNRYHSKCREILAPSLHKNEMEWLKKATEPHSSFVGHMVAT >KJB48442 pep chromosome:Graimondii2_0_v6:8:11474687:11480199:1 gene:B456_008G069200 transcript:KJB48442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADILASLRSLMASQSPPLDALLVPSEDYHQSEYVSARDKRREFVSGFTGSAGLALITKNEARLWTDGRYFLQATQQLSDQWKLMRIGEDPPLDVWMSDNLQKEAAIGIDPWCVSVDTAQRWERAFAKKNQKLVQTSTNLVDEIWKNRPPAEINPAVDHPLEFAGRSVAEKLKALREKLSSEKARGIIITALDEVAWLYNIRGSDVSYSPVVHAFAIVTLNSAFLYVDKRKVSSKVSSSLQANGIEVREYGAVSSDAAMLASNQLDQATGVNFGQNGVCQNDTCDNDLIWVDPASCCYALFSKLDANKVLLQQSPLALAKALKNPVELDGLKNAHIRDGAAVVQYLVWLDKQMQEIYGASGYFLEGEVTSKKIPNAPCRETMKLTEVSVSDKLEGFRASKEAKTCAELDPNSIYLFDSGAQYLDGTTDITRTVHFGKPSAHEKACYTSVLKGHIALGNARFPNGTNGYSLDILARIPLWRYGLDYRHGTGHGIGSYLNVHEGPHQISFRPQARNVPLQVSMTVTDEPGYYEDGNFGIRLENVLVIKEADTEFNFGDKGYLSFEHITWAPYQIKLIDLSILTPEEIQWLNRYHSKCREILAPSLHKNEMEWLKKATEPVSA >KJB48444 pep chromosome:Graimondii2_0_v6:8:11474687:11480577:1 gene:B456_008G069200 transcript:KJB48444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADILASLRSLMASQSPPLDALLVPSEDYHQSEYVSARDKRREFVSGFTGSAGLALITKNEARLWTDGRYFLQATQQLSDQWKLMRIGEDPPLDVWMSDNLQKEAAIGIDPWCVSVDTAQRWERAFAKKNQKLVQTSTNLVDEIWKNRPPAEINPAVDHPLEFAGRSVAEKLKALREKLSSEKARGIIITALDEVAWLYNIRGSDVSYSPVVHAFAIVTLNSAFLYVDKRKVSSKVSSSLQANGIEVREYGAVSSDAAMLASNQLDQATGVNFGQNGVCQNDTCDNDLIWVDPASCCYALFSKLDANKVLLQQSPLALAKALKNPVELDGLKNAHIRDGAAVVQYLVWLDKQMQEIYGASGYFLEGEVTSKKIPETMKLTEVSVSDKLEGFRASKEHFRGLSFPTISSVGPNAAIIHYSPQAKTCAELDPNSIYLFDSGAQYLDGTTDITRTVHFGKPSAHEKACYTSVLKGHIALGNARFPNGTNGYSLDILARIPLWRYGLDYRHGTGHGIGSYLNVHEGPHQISFRPQARNVPLQVSMTVTDEPGYYEDGNFGIRLENVLVIKEADTEFNFGDKGYLSFEHITWAPYQIKLIDLSILTPEEIQWLNRYHSKCREILAPSLHKNEMEWLKKATEPMKG >KJB47276 pep chromosome:Graimondii2_0_v6:8:2172052:2172723:1 gene:B456_008G018700 transcript:KJB47276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EFTGCDDDQVLLAYKNDSIILTRKFQSVFAPNLAILKESGVPESTIIVELVLHPRIFSVKPDKFRGIVEEVKKLGFDPSKRSFLTAVQAFLQLSKSTWERKIDLLKQWGWSNEEVVSAFEKYPKTMMFSEQKISAIMSLFVDKMGWKSSYIAKRPVLLAYNLERRIIPRCLVLQALLSKGLIQKFSLNFLVESTEKKFLQRFVIPYKDPYLLKPYEQKLGLPE >KJB53126 pep chromosome:Graimondii2_0_v6:8:56813357:56817368:-1 gene:B456_008G294700 transcript:KJB53126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIGATMGSSGLQIQTENGQIGLPNSVCCVVVEYLPGGALKSFLIKNRRRKLAFKVVVQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMLEAIDTSKGGGMIPVDQQQSCLCFRRYRGP >KJB53127 pep chromosome:Graimondii2_0_v6:8:56813357:56817413:-1 gene:B456_008G294700 transcript:KJB53127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMKENSDGFVRADQIDLKSLDEQLEKHLNRVWSSENNTKKDDGGGDGDGDGDDHGGDQDDNNDEKLKLAGNSTNMATTFTKRERLEWEIDPSKLIIKTVIARGTFGTVHRGIYDDLDVAVKLLDWGEEGHRTDAEIAALRAAFSQEVAVWHKLDHPNVTKFIGATMGSSGLQIQTENGQIGLPNSVCCVVVEYLPGGALKSFLIKNRRRKLAFKVVVQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMLEAIDTSKGGGMIPVDQQQSCLCFRRYRGP >KJB53123 pep chromosome:Graimondii2_0_v6:8:56813540:56815465:-1 gene:B456_008G294700 transcript:KJB53123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGLQIQTENGQIGLPNSVCCVVVEYLPGGALKSFLIKNRRRKLAFKVVVQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMLEAIDTSKGGGMIPVDQQQSCLCFRRYRGP >KJB53124 pep chromosome:Graimondii2_0_v6:8:56813540:56815465:-1 gene:B456_008G294700 transcript:KJB53124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGLQIQTENGQIGLPNSVCCVVVEYLPGGALKSFLIKNRRRKLAFKVVVQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMLEAIDTSKGGGMIPVDQQQSCLCFRRYRGP >KJB53125 pep chromosome:Graimondii2_0_v6:8:56813357:56817298:-1 gene:B456_008G294700 transcript:KJB53125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMKENSDGFVRADQIDLKSLDEQLEKHLNRVWSSENNTKKDDGGGDGDGDGDDHGGDQDDNNDEKLKLAGNSTNMATTFTKRERLEWEIDPSKLIIKTVIARGTFGTVHRGIYDDLDVAVKLLDWGEEGHRTDAEIAALRAAFSQEVAVWHKLDHPNVTKFIGATMGSSGLQIQTENGQIGLPNSVCCVVVEYLPGGALKSFLIKNRRRKLAFKVVVQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEFRDLFVGDILL >KJB48130 pep chromosome:Graimondii2_0_v6:8:8098092:8102105:-1 gene:B456_008G055200 transcript:KJB48130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESIYRALRDGALEGELAPALTIKDSVASPFALQVFSHVISQLFSSILAGKSQSRGLVVVSFSRSPSFYLDLLKNKGTDVASSNKQIQILDCYSDPLGWKDQLAGSRNFTALSNEAMVSSNAIVFREVKHMDKLYNSIIELGKGLVGGGKIRFSIAIDSADEMLRHAPVSSVARLLSNLRSHDQISSIFWLLHSDLHEARVTAVLEYLSSIVASLEPSHQLANGQRGDLENFSLIKHNSKKGKFHVRFKKRNGRVRVMSEEVHIEQSGINFASLPSEGAINQGLVPKVQFSLQLSEKERIDKANVVLPFEHQGNGKPIQIYDGRRSLVDSKHERPVSAVENVQTNENCGSGEIIYYRDSDDEMPDSDEDPDDDLDI >KJB48135 pep chromosome:Graimondii2_0_v6:8:8097813:8102234:-1 gene:B456_008G055200 transcript:KJB48135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESIYRALRDGALEGELAPALTIKDSVASPFALQVFSHVISQLFSSILAGKSQSRGLVVVSFSRSPSFYLDLLKNKGTDVASSNKQIQILDCYSDPLGWKDQLAGSRNFTALSNEAMVSSNAIVFREVKHMDKLYNSIIELGKGLVGGGKIRFSIAIDSADEMLRHAPVSSVARLLSNLRSHDQISSIFWLLHSDLHEARVTAVLEYLSSIVASLEPSHQLANGQRGDLENFSLIKHNSKKGKFHVRFKKRNGRVRVMSEEVHIEQSGINFASLPSEGAINQGLVPKVQFSLQLSEKERIDKANVVLPFEHQGNGKPIQIYDGRRSLVDSKHERPVSAVENVQTNENCGSGEIIYYRDSDDEMPDSDEDPDDDLDI >KJB48133 pep chromosome:Graimondii2_0_v6:8:8097340:8102234:-1 gene:B456_008G055200 transcript:KJB48133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESIYRALRDGALEGELAPALTIKDSVASPFALQVFSHVISQLFSSILAGKSQSRGLVVVSFSRSPSFYLDLLKNKGTDVASSNKQIQILDCYSDPLGWKDQLAGSRNFTALSNEAMVSSNAIVFREVKHMDKLYNSIIELGKDQISSIFWLLHSDLHEARVTAVLEYLSSIVASLEPSHQLANGQRGDLENFSLIKHNSKKGKFHVRFKKRNGRSEEVHIEQSGINFASLPSEGAINQGLVPKVQFSLQLSEKERIDKANVVLPFEHQGNGKPIQIYDGRRSLVDSKHERPVSAVENVQTNENCGSGEIIYYRDSDDEMPDSDEDPDDDLDI >KJB48142 pep chromosome:Graimondii2_0_v6:8:8095531:8102234:-1 gene:B456_008G055200 transcript:KJB48142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESIYRALRDGALEGELAPALTIKDSVASPFALQVFSHVISQLFSSILAGKSQSRGLVVVSFSRSPSFYLDLLKNKGTDVASSNKQIQILDCYSDPLGWKDQLAGSRNFTALSNEAMVSSNAIVFREVKHMDKLYNSIIELGKDQISSIFWLLHSDLHEARVTAVLEYLSSIVASLEPSHQLANGQRGDLENFSLIKHNSKKGKFHVRFKKRNGRVRVMSEEVHIEQSGINFASLPSEGAINQGLVPKVQFSLQLSEKERIDKANVVLPFEHQGNGKPIQIYDGRRSLVDSKHERPVSAVENVQTNENCGSGEIIYYRDSDDEMPDSDEDPDDDLDI >KJB48136 pep chromosome:Graimondii2_0_v6:8:8095451:8102234:-1 gene:B456_008G055200 transcript:KJB48136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESIYRALRDGALEGELAPALTIKDSVASPFALQVFSHVISQLFSSILAGKSQSRGLVVVSFSRSPSFYLDLLKNKGTDVASSNKQIQILDCYSDPLGWKDQLAGSRNFTALSNEAMVSSNAIVFREVKHMDKLYNSIIELGKGLVGGGKIRFSIAIDSADEMLRHAPVSSVARLLSNLRSHDQISSIFWLLHSDLHEARVTAVLEYLSSIVASLEPSHQLANGQRGDLENFSLIKHNSKKGKFHVRFKKRNGRVRVMSEEVHIEQSGINFASLPSEGAINQGLVPKVMVNPYKFMMAGDLWWIANMRDQFLQWKMCRQTRTAAVVRLFITVIQMMRCLILMRTQMMIWISDYACPIC >KJB48137 pep chromosome:Graimondii2_0_v6:8:8095531:8102245:-1 gene:B456_008G055200 transcript:KJB48137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESIYRALRDGALEGELAPALTIKDSVASPFALQVFSHVISQLFSSILAGKSQSRGLVVVSFSRSPSFYLDLLKNKGTDVASSNKQIQILDCYSDPLGWKDQLAGSRNFTALSNEAMVSSNAIVFREVKHMDKLYNSIIELGKGLVGGGKIRFSIAIDSADEMLRHAPVSSVARLLSNLRSHDQISSIFWLLHSDLHEARVTAVLEYLSSIVASLEPSHQLANGQRGDLENFSLIKHNSKKGKFHVRFKKRNGRVRVMSEEVHIEQSGINFASLPSEGAINQGLVPKVQFSLQLSEKERIDKANVVLPFEHQGNGKPIQIYDGRRSLVDSKHERPVSAVENVQTNENCGSGEIIYYRDSDDEMPDSDEDPDDDLDI >KJB48134 pep chromosome:Graimondii2_0_v6:8:8098092:8102105:-1 gene:B456_008G055200 transcript:KJB48134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESIYRALRDGALEGELAPALTIKDSVASPFALQVFSHVISQLFSSILAGKSQSRGLVVVSFSRSPSFYLDLLKNKGTDVASSNKQIQILDCYSDPLGWKDQLAGSRNFTALSNEAMVSSNAIVFREVKHMDKLYNSIIELGKDQISSIFWLLHSDLHEARVTAVLEYLSSIVASLEPSHQLANGQRGDLENFSLIKHNSKKGKFHVRFKKRNGRVRVMSEEVHIEQSGINFASLPSEGAINQGLVPKVQFSLQLSEKERIDKANVVLPFEHQGNGKPIQIYDGRRSLVDSKHERPVSAVENVQTNENCGSGEIIYYRDSDDEMPDSDEDPDDDLDI >KJB48141 pep chromosome:Graimondii2_0_v6:8:8097340:8102234:-1 gene:B456_008G055200 transcript:KJB48141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESIYRALRDGALEGELAPALTIKDSVASPFALQVFSHVISQLFSSILAGKSQSRGLVVVSFSRSPSFYLDLLKNKGTDVASSNKQIQILDCYSDPLGWKDQLAGSRNFTALSNEAMVSSNAIVFREVKHMDKLYNSIIELGKGLVGGGKIRFSIAIDSADEMLRHAPVSSVARLLSNLRSHDQISSIFWLLHSDLHEARVTAVLEYLSSIVASLEPSHQLANGQRGDLENFSLIKHNSKKGKFHVRFKKRNGRSEEVHIEQSGINFASLPSEGAINQGLVPKVQFSLQLSEKERIDKANVVLPFEHQGNGKPIQIYDGRRSLVDSKHERPVSAVENVQTNENCGSGEIIYYRDSDDEMPDSDEDPDDDLDI >KJB48140 pep chromosome:Graimondii2_0_v6:8:8097340:8101880:-1 gene:B456_008G055200 transcript:KJB48140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MVSSNAIVFREVKHMDKLYNSIIELGKGLVGGGKIRFSIAIDSADEMLRHAPVSSVARLLSNLRSHDQISSIFWLLHSDLHEARVTAVLEYLSSIVASLEPSHQLANGQRGDLENFSLIKHNSKKGKFHVRFKKRNGRVRVMSEEVHIEQSGINFASLPSEGAINQGLVPKVQFSLQLSEKERIDKANVVLPFEHQGNGKPIQIYDGRRSLVDSKHERPVSAVENVQTNENCGSGEIIYYRDSDDEMPDSDEDPDDDLDI >KJB48129 pep chromosome:Graimondii2_0_v6:8:8095754:8102234:-1 gene:B456_008G055200 transcript:KJB48129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESIYRALRDGALEGELAPALTIKDSVASPFALQVFSHVISQLFSSILAGKSQSRGLVVVSFSRSPSFYLDLLKNKGTDVASSNKQIQILDCYSDPLGWKDQLAGSRNFTALSNEAMVSSNAIVFREVKHMDKLYNSIIELGKGLVGGGKIRFSIAIDSADEMLRHAPVSSVARLLSNLRSHDQISSIFWLLHSDLHEARVTAVLEYLSSIVASLEPSHQLANGQRGDLENFSLIKHNSKKGKFHVRFKKRNGRVRVMSEEVHIEQSGINFASLPSEGAINQGLVPKVQFSLQLSEKERIDKANVVLPFEHQGNGKPIQIYDGRRSLVDSKHERPVSAVENVQTNENCGSGEIIYYRDSDDEMPDSDEDPDDDLDI >KJB48132 pep chromosome:Graimondii2_0_v6:8:8095531:8102234:-1 gene:B456_008G055200 transcript:KJB48132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESIYRALRDGALEGELAPALTIKDSVASPFALQVFSHVISQLFSSILAGKSQSRGLVVVSFSRSPSFYLDLLKNKGTDVASSNKQIQILDCYSDPLGWKDQLAGSRNFTALSNEAMVSSNAIVFREVKHMDKLYNSIIELGKDQISSIFWLLHSDLHEARVTAVLEYLSSIVASLEPSHQLANGQRGDLENFSLIKHNSKKGKFHVRFKKRNGRSEEVHIEQSGINFASLPSEGAINQGLVPKVQFSLQLSEKERIDKANVVLPFEHQGNGKPIQIYDGRRSLVDSKHERPVSAVENVQTNENCGSGEIIYYRDSDDEMPDSDEDPDDDLDI >KJB48143 pep chromosome:Graimondii2_0_v6:8:8098092:8102105:-1 gene:B456_008G055200 transcript:KJB48143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESIYRALRDGALEGELAPALTIKDSVASPFALQVFSHVISQLFSSILAGKSQSRGLVVVSFSRSPSFYLDLLKNKGTDVASSNKQIQILDCYSDPLGWKDQLAGSRNFTALSNEAMVSSNAIVFREVKHMDKLYNSIIELGKDQISSIFWLLHSDLHEARVTAVLEYLSSIVASLEPSHQLANGQRGDLENFSLIKHNSKKGKFHVRFKKRNGRSEEVHIEQSGINFASLPSEGAINQGLVPKVQFSLQLSEKERIDKANVVLPFEHQGNGKPIQIYDGRRSLVDSKHERPVSAVENVQTNENCGSGEIIYYRDSDDEMPDSDEDPDDDLDI >KJB48131 pep chromosome:Graimondii2_0_v6:8:8098092:8102105:-1 gene:B456_008G055200 transcript:KJB48131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESIYRALRDGALEGELAPALTIKDSVASPFALQVFSHVISQLFSSILAGKSQSRGLVVVSFSRSPSFYLDLLKNKGTDVASSNKQIQILDCYSDPLGWKDQLAGSRNFTALSNEAMVSSNAIVFREVKHMDKLYNSIIELGKDQISSIFWLLHSDLHEARVTAVLEYLSSIVASLEPSHQLANGQRGDLENFSLIKHNSKKGKFHVRFKKRNGRVRVMSEEVHIEQSGINFASLPSEGAINQGLVPKVQFSLQLSEKERIDKANVVLPFEHQGNGKPIQIYDGRRSLVDSKHERPVSAVENVQTNENCGSGEIIYYRDSDDEMPDSDEDPDDDLDI >KJB48144 pep chromosome:Graimondii2_0_v6:8:8095845:8102245:-1 gene:B456_008G055200 transcript:KJB48144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESIYRALRDGALEGELAPALTIKDSVASPFALQVFSHVISQLFSSILAGKSQSRGLVVVSFSRSPSFYLDLLKNKGTDVASSNKQIQILDCYSDPLGWKDQLAGSRNFTALSNEAMVSSNAIVFREVKHMDKLYNSIIELGKGLVGGGKIRFSIAIDSADEMLRHAPVSSVARLLSNLRSHDQISSIFWLLHSDLHEARVTAVLEYLSSIVASLEPSHQLANGQRGDLENFSLIKHNSKKGKFHVRFKKRNGRVRVMSEEVHIEQSGINFASLPSEGAINQGLVPKVQFSLQLSEKERIDKANVVLPFEHQGNGKPIQIYDGRRSLVDSKHERPVSAVENVQTNENCGSGEIIYYRDSDDEMPDSDEDPDDDLDI >KJB48138 pep chromosome:Graimondii2_0_v6:8:8096639:8102234:-1 gene:B456_008G055200 transcript:KJB48138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESIYRALRDGALEGELAPALTIKDSVASPFALQVFSHVISQLFSSILAGKSQSRGLVVVSFSRSPSFYLDLLKNKGTDVASSNKQIQILDCYSDPLGWKDQLAGSRNFTALSNEAMVSSNAIVFREVKHMDKLYNSIIELGKGLVGGGKIRFSIAIDSADEMLRHAPVSSVARLLSNLRSHDQISSIFWLLHSDLHEARVTAVLEYLSSIVASLEPSHQLANGQRGDLENFSLIKHNSKKGKFHVRFKKRNGRVRVMSEEVHIEQSGINFASLPSEGAINQGLVPKVQFSLQLSEKERIDKANVVLPFEHQGNGKPIQIYDGRRSLVDSKHERPVSAVENVQTNENCGSGEIIYYRDSDDEMPDSDEDPDDDLDI >KJB48139 pep chromosome:Graimondii2_0_v6:8:8095503:8102245:-1 gene:B456_008G055200 transcript:KJB48139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESIYRALRDGALEGELAPALTIKDSVASPFALQVFSHVISQLFSSILAGKSQSRGLVVVSFSRSPSFYLDLLKNKGTDVASSNKQIQILDCYSDPLGWKDQLAGSRNFTALSNEAMVSSNAIVFREVKHMDKLYNSIIELGKGLVGGGKIRFSIAIDSADEMLRHAPVSSVARLLSNLRSHDQISSIFWLLHSDLHEARVTAVLEYLSSIVASLEPSHQLANGQRGDLENFSLIKHNSKKGKFHVRFKKRNGRVRVMSEEVHIEQSGINFASLPSEGAINQGLVPKVQFSLQLSEKERIDKANVVLPFEHQGNGKPIQIYDGRRSLVDSKHERPVSAVENVQTNENCGSGEIIYYRDSDDEMPDSDEDPDDDLDI >KJB46943 pep chromosome:Graimondii2_0_v6:8:436384:437409:-1 gene:B456_008G002600 transcript:KJB46943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKYAQGTEAIESKPSDGVGSHLNLENETMGRTSDIEDDVFDNLENMFKALGLCMMEIGLCLARICDMAIGGNELEQSLLESCAAKGRLIHYHSMVDSLVLREAGPKKGSSKRNANNHARSKENLLKGANLDTNGNEVRLREIHPNLWQQWHFDYGIFTLLTDPMFLLSSHRTTVKSEFSNSSGQECASPSGHSYLQVFHPNKNKVLMVKASPESFIVQVGESADILSKGKLRSTLHCVRRPARFENLSRETFVVFLQPAWSKTFSISDYPMEHYNPSVHHLEQAEDHYFADQDQNALTQEIQKIVPPLSARLKDGMTFAEFSRETTKQYYGGSGLQSNK >KJB46945 pep chromosome:Graimondii2_0_v6:8:436384:438293:-1 gene:B456_008G002600 transcript:KJB46945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSEILQLYEIQYSDLLLLSSSSSSCSHYQEKMIMENLGPTGPGLLAITNVPDASLLRRKLLPLARKLALLSPDDRKRILRDHNLGSDVPLKNPDRNVSSFAMQLKYAQGTEAIESKPSDGVGSHLNLENETMGRTSDIEDDVFDNLENMFKALGLCMMEIGLCLARICDMAIGGNELEQSLLESCAAKGRLIHYHSMVDSLVLREAGPKKGSSKRNANNHARSKENLLKGANLDTNGNEVRLREIHPNLWQQWHFDYGIFTLLTDPMFLLSSHRTTVKSEFSNSSGQECASPSGHSYLQVFHPNKNKVLMVKASPESFIVQVGESADILSKGKLRSTLHCVRRPARFENLSRETFVVFLQPAWSKTFSISDYPMEHYNPSVHHLEQAEDHYFADQDQNALTQEIQKIVPPLSARLKDGMTFAEFSRETTKQYYGGSGLQSNK >KJB46940 pep chromosome:Graimondii2_0_v6:8:436384:437409:-1 gene:B456_008G002600 transcript:KJB46940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKYAQGTEAIESKPSDGVGSHLNLENETMGRTSDIEDDVFDNLENMFKALGLCMMEIGLCLARICDMAIGGNELEQSLLESCAAKGRLIHYHSMVDSLVLREAGPKKGSSKRNANNHARSKENLLKGANLDTNGNEVRLREIHPNLWQQWHFDYGIFTLLTDPMFLLSSHRTTVKSEFSNSSGQECASPSGHSYLQVFHPNKNKVLMVKASPESFIVQPAWSKTFSISDYPMEHYNPSVHHLEQAEDHYFADQDQNALTQEIQKIVPPLSARLKDGMTFAEFSRETTKQYYGGSGLQSNK >KJB46942 pep chromosome:Graimondii2_0_v6:8:436098:438411:-1 gene:B456_008G002600 transcript:KJB46942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIANDHNLGSDVPLKNPDRNVSSFAMQLKYAQGTEAIESKPSDGVGSHLNLENETMGRTSDIEDDVFDNLENMFKALGLCMMEIGLCLARICDMAIGGNELEQSLLESCAAKGRLIHYHSMVDSLVLREAGPKKGSSKRNANNHARSKENLLKGANLDTNGNEVRLREIHPNLWQQWHFDYGIFTLLTDPMFLLSSHRTTVKSEFSNSSGQECASPSGHSYLQVFHPNKNKVLMVKASPESFIVQPAWSKTFSISDYPMEHYNPSVHHLEQAEDHYFADQDQNALTQEIQKIVPPLSARLKDGMTFAEFSRETTKQYYGGSGLQSNK >KJB46941 pep chromosome:Graimondii2_0_v6:8:436083:438414:-1 gene:B456_008G002600 transcript:KJB46941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSEILQLYEIQYSDLLLLSSSSSSCSHYQEKMIMENLGPTGPGLLAITNVPDASLLRRKLLPLARKLALLSPDDRKRILRDHNLGSDVPLKNPDRNVSSFAMQLKYAQGTEAIESKPSDGVGSHLNLENETMGRTSDIEDDVFDNLENMFKALGLCMMEIGLCLARICDMAIGGNELEQSLLESCAAKGRLIHYHSMVDSLVLREAGPKKGSSKRNANNHARSKENLLKGANLDTNGNEVRLREIHPNLWQQWHFDYGIFTLLTDPMFLLSSHRTTVKSEFSNSSGQECASPSGHSYLQVFHPNKNKVLMVKASPESFIVQPAWSKTFSISDYPMEHYNPSVHHLEQAEDHYFADQDQNALTQEIQKIVPPLSARLKDGMTFAEFSRETTKQYYGGSGLQSNK >KJB46944 pep chromosome:Graimondii2_0_v6:8:436103:438329:-1 gene:B456_008G002600 transcript:KJB46944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIANDHNLGSDVPLKNPDRNVSSFAMQLKYAQGTEAIESKPSDGVGSHLNLENETMGRTSDIEDDVFDNLENMFKALGLCMMEIGLCLARICDMAIGGNELEQSLLESCAAKGRLIHYHSMVDSLVLREAGPKKGSSKRNANNHARSKENLLKGANLDTNGNEVRLREIHPNLWQQWHFDYGIFTLLTDPMFLLSSHRTTVKSEFSNSSGQECASPSGHSYLQVFHPNKNKVLMVKASPESFIVQVGESADILSKGKLRSTLHCVRRPARFENLSRETFVVFLQPAWSKTFSISDYPMEHYNPSVHHLEQAEDHYFADQDQNALTQEIQKIVPPLSARLKDGMTFAEFSRETTKQYYGGSGLQSNK >KJB46836 pep chromosome:Graimondii2_0_v6:8:1726745:1729488:-1 gene:B456_008G015200 transcript:KJB46836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMEVAKDQELVDGTVAQESGKSMPSSQQEEAVVKKKYGGIMPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLQALRPKLQPTQQQTRYRKSPYAPSDVEDGGSGQPEDGTANE >KJB46837 pep chromosome:Graimondii2_0_v6:8:1726745:1729881:-1 gene:B456_008G015200 transcript:KJB46837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMEVAKDQELVDGTVAQESGKSMPSSQQEEAVVKKKYGGIMPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLQALRPKLQWPTRGWDCQ >KJB46834 pep chromosome:Graimondii2_0_v6:8:1726719:1729971:-1 gene:B456_008G015200 transcript:KJB46834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMEVAKDQELVDGTVAQESGKSMPSSQQEEAVVKKKYGGIMPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLQALRPKLQPTQQQTRYRKSPYAPSDVEDGGSGQPEDGTANE >KJB46835 pep chromosome:Graimondii2_0_v6:8:1726740:1729114:-1 gene:B456_008G015200 transcript:KJB46835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMEVAKDQELVDGTVAQESGKSMPSSQQEEAVVKKKYGGIMPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLQALRPKLQPTQQQTRYRKSPYAPSDVEDGGSGQPEDGTANE >KJB49961 pep chromosome:Graimondii2_0_v6:8:40062181:40063683:-1 gene:B456_008G147200 transcript:KJB49961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKNQLLEQVIGVPMKSIVYRVERTPRRYLPDAEGSATFRTSKGNFVLKRMNKLGKKADSLAHGIREHVRLGPKISETVKGKLSLGARILQVGGVEKIFKQLFSVKEGEKLLKACQCYLFTTSGPIAGLLFISSAKVAFCSDRSIKIPSSNGDFVRVHYKVLIPLEKIKGVNESENMKKPSQKYMEIVTVDDFDFWFMGFLNYQKAFKFLQQAVVSQRVEDEQVTF >KJB49960 pep chromosome:Graimondii2_0_v6:8:40062181:40063674:-1 gene:B456_008G147200 transcript:KJB49960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKNQLLEQVIGVPMKSIVYRVERTPRRYLPDAEGSATFRTSKGNFVLKRMNKLGKKADSLAHGIREHVRLGPKISETVKGKLSLGARILQVGGVEKIFKQLFSVKEGEKLLKACQCYLFTTSGPIAGLLFISSAKVAFCSDRSIKIPSSNGDFVRVHYKVSYMIASLSS >KJB52560 pep chromosome:Graimondii2_0_v6:8:54641963:54645622:-1 gene:B456_008G267600 transcript:KJB52560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTHQLQRFLAIEFNFISNPKIAYRNQLTATTAIRSSPRSPCRCSAIAINAPSSLTDVSGIRWGSTSVQGRREEMEDDLVIRSDGLDGFSFAAVFDGHGGVASVKYLRDELYKECVTALQGGILLNEGDFNAIKKGLAEAFQNADKKLLNWLEKIGDGDDESGSTATVMLIGNEVLFISHVGDSCVVLSCAGKVQVLTDSHRPYGSNKASLQEIRRIREAGGWISNGRICGDIAVSRAFGDTRFKTKKNEMLKKGVEEKRWSEKFISRVVFNDDLVIASPDTFKVALGSDAEFVLLASDGLWDYINSSDAVAFVRNQLREHGDVQVACDALAQAALDKGSEDNVSIIIADFGHTEWQKLPVEQQNFLFEFGQAIATVGVVSLGIWLSSQVSF >KJB52561 pep chromosome:Graimondii2_0_v6:8:54642593:54645622:-1 gene:B456_008G267600 transcript:KJB52561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTHQLQRFLAIEFNFISNPKIAYRNQLTATTAIRSSPRSPCRCSAIAINAPSSLTDVSGIRWGSTSVQGRREEMEDDLVIRSDGLDGFSFAAVFDGHGGVASVKYLRDELYKECVTALQGGILLNEGDFNAIKKGLAEAFQNADKKLLNWLEKIGDGDDESGSTATVMLIGNEVLFISHVGDSCVVLSCAGKVQVLTDSHRPYGSNKASLQEIRRIREAGGWISNGRICGDIAVSRAFGDTRFKTKKNEMLKKGVEEKRWSEKFISRVVFNDDLVIASPDTFKVALGSDAEFVLLASDGLWDYINSSDAVAFVRNQLREHGDVQVACDALAQAALDKGSEDNVSIIIADFGYAAFPTSNNCCYAFVEFKLS >KJB51873 pep chromosome:Graimondii2_0_v6:8:52164340:52167204:1 gene:B456_008G235700 transcript:KJB51873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSSEPSELKFPFELKKQISCSLQLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCDVIVTMQAQKEAPPDMQCRDKFLLQSVKVNDGLTANDITAEMFNKEAGNVVEECKLKVVYISPPSTAQEGSEEGPSPGVSFSDGKHANAAEFASGARAFTEGLEAQEISSEEKALMTKLTEEKNKAIQQSNKLRRELDLFKREGSKSGGGVSFMFVMLIGLLGIIMGYIMKKW >KJB51874 pep chromosome:Graimondii2_0_v6:8:52164382:52166017:1 gene:B456_008G235700 transcript:KJB51874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSSEPSELKFPFELKKQISCSLQLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCDVIVTMQAQKEAPPDMQCRDKFLLQSVKVNDGLTANDITAEMFNKEAGNVVEECKLKVVYISPPSTAQEGSEEGPSPGVSFSDGKHANAAEFASGARAFTEGLEAQEISSEVKFEFSIMILLCASAYAGLILIYLNNCNLFW >KJB51876 pep chromosome:Graimondii2_0_v6:8:52165116:52166566:1 gene:B456_008G235700 transcript:KJB51876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDFDLVTMQAQKEAPPDMQCRDKFLLQSVKVNDGLTANDITAEMFNKEAGNVVEECKLKVVYISPPSTAQEGSEEGPSPGVSFSDGKHANAAEFASGARAFTEGLEAQEISSEEKALMTKLTEEKNKAIQQSNKLRRELDLFKREGSKSGGGVSFMFVMLIGLLGIIMGYIMKKW >KJB51875 pep chromosome:Graimondii2_0_v6:8:52164382:52167204:1 gene:B456_008G235700 transcript:KJB51875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSSEPSELKFPFELKKQISCSLQLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCDVIVTMQAQKEAPPDMQCRDKFLLQSVKVNDGLTANDITAEMFNKEAGNVVEECKLKVVYISPPSTAQEGSEEGPSPGVSFSDGKHANAAEFASVRSRCMCFCY >KJB51878 pep chromosome:Graimondii2_0_v6:8:52164646:52167204:1 gene:B456_008G235700 transcript:KJB51878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQKEAPPDMQCRDKFLLQSVKVNDGLTANDITAEMFNKEAGNVVEECKLKVVYISPPSTAQEGSEEGPSPGVSFSDGKHANAAEFASGARAFTEGLEAQEISSEEKALMTKLTEEKNKAIQQSNKLRRELDLFKREGSKSGGGVSFMFVMLIGLLGIIMGYIMKKW >KJB51877 pep chromosome:Graimondii2_0_v6:8:52164382:52167204:1 gene:B456_008G235700 transcript:KJB51877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSSEPSELKFPFELKKQISCSLQLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCDVIVTMQAQKEAPPDMQCRDKFLLQSVKVNDGLTANDITAEMAIQQSNKLRRELDLFKREGSKSGGGVSFMFVMLIGLLGIIMGYIMKKW >KJB51606 pep chromosome:Graimondii2_0_v6:8:51092419:51097761:-1 gene:B456_008G224400 transcript:KJB51606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transcription factor KAN2 [Source:Projected from Arabidopsis thaliana (AT1G32240) UniProtKB/Swiss-Prot;Acc:Q9C616] MELFPDLSLQISPPNPKPTSTCRTREEDIDLGFWKRPLDSRNSISSMAKPDNCIDLSLSNPRISESNSNHLQLLHNGTANCNGNLFHAYNQNHFPYHHKHLQHPLLYQHQQQRQQQQQGLGQELGFLRPIRGIPVYQNPPPPPFPFAQQPLDSSLASSPSSLASNTINTSSTSLSPFQSQGLMRSRFMSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAASSGQSDAFENGSSGDTSEDLMMDIQNPRRSEMSVQQGRSSSSSNAYQDKEYHGLWSNSSREAWLHGKPKDSAGNVPSLQKDMDPKCLSHERVSDGSSSSLSGTSPKKPNLEFTLGVLPH >KJB51605 pep chromosome:Graimondii2_0_v6:8:51092411:51098024:-1 gene:B456_008G224400 transcript:KJB51605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transcription factor KAN2 [Source:Projected from Arabidopsis thaliana (AT1G32240) UniProtKB/Swiss-Prot;Acc:Q9C616] MELFPDLSLQISPPNPKPTSTCRTREEDIDLGFWKRPLDSRNSISSMAKPDNCIDLSLSNPRISESNSNHLQLLHNGTANCNGNLFHAYNQNHFPYHHKHLQHPLLYQHQQQRQQQQQGLGQELGFLRPIRGIPVYQNPPPPPFPFAQQPLDSSLASSPSSLASNTINTSSTSLSPFQSQGLMRSRFMSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAASSGQSDAFENGSSGDTSEDLMMDIQNPRRSEMSVQQGRSSSSSNAYQDKEYHGLWSNSSREAWLHGKPKDSAGNVPSLQKDMDPKCLSHERVSDGSSSSLSGTSPKKPNLEFTLGREVK >KJB48315 pep chromosome:Graimondii2_0_v6:8:10084303:10086065:1 gene:B456_008G063100 transcript:KJB48315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGGGGEVKRRPIRPTFYLLLSNLLFSSSPNILPLIAMETPSSTRRVTRSQTLAALNNSNIPLSKGNKEKPQERSALIDITNDSPIVGLAMETPSSATGKQRSSRAKSMMMTPGSGEALLRGQVKTLLQKVEEEAEVSKVTMESRPSLRFQAGCGNSPMGLMAPTPANTPLFSGLCEDGNGIGGINNSGLGPIVMALPVVEQQFRTSEVVSLESQRSVITRSLLLDFSEQSSESGVTDQGKSSACKEKASSIWSIQVNASIDDEDDEEPIEEMGDDYYEGEEEEEDDDDGGLVDELCEGLSKISMKEMFRGRHTRFVYNSDDEIEREEDMIRLKGLPTPKGKHLRFPIEEEEGA >KJB52444 pep chromosome:Graimondii2_0_v6:8:54249050:54250668:1 gene:B456_008G262000 transcript:KJB52444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMDIPDGVNIKVKAKMIEVEGPRGKLTRNFKHLNLDFHLTKDEETGKGKLRIEAWFGTRKTSAAIRTALSHIENLITGVTKGYRYKMRFVYAHFPINASITSGNKSIEIRNFLGEKKVRKVDMLEGVAITRSEKVKDEIVLDGNDIELVSRSAALINQVNVM >KJB52443 pep chromosome:Graimondii2_0_v6:8:54249206:54250421:1 gene:B456_008G262000 transcript:KJB52443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMDIPDGVNIKVKAKMIEVEGPRGKLTRNFKHLNLDFHLTKDEETGKGKLRIEAWFGTRKTSAAIRTALSHIENLITGVTKGYRYKMRFVYAHFPINASITSGNKSIEIRNFLGEKKVRKVDMLEGVAITRSEKVKDEIVLDGNDIELVSRSAALINQV >KJB52442 pep chromosome:Graimondii2_0_v6:8:54249050:54250668:1 gene:B456_008G262000 transcript:KJB52442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMDIPDGVNIKVKAKMIEVEGPRGKLTRNFKHLNLDFHLTKDEETGKGKLRIEAWFGTRKTSAAIRTALSHIENLITGVTKGYRYKMRFVYAHFPINASITSGNKSIEIRNFLGEKKGLPLLDPRRSRMRSFWMVMILNSCLVQQL >KJB52445 pep chromosome:Graimondii2_0_v6:8:54249050:54250780:1 gene:B456_008G262000 transcript:KJB52445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMDIPDGVNIKVKAKMIEVEGPRGKLTRNFKHLNLDFHLTKDEETGKGKLRIEAWFGTRKTSAAIRTALSHIENLITGVTKGYRYKMRFVYAHFPINASITSGNKSIEIRNFLGEKKVRKVDMLEGVAITRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGRIAEEE >KJB48907 pep chromosome:Graimondii2_0_v6:8:23434803:23436677:1 gene:B456_008G093400 transcript:KJB48907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGSLLSSLLSSLVLCSSALCWFSIPLPSATLVLCSHLCPLPILCSSSPPPVSLSFSSLLLYIFVYLYYGIDFYHYFFCIFSPLLHHRCFSLEDFLLPFKIHIFISSPIRNLFGRFSSRRLNNNSLTDMNPSDSEEKNLHQYLNFNSNMGLIHTPCSEKYLPSEKRKNGVFV >KJB48908 pep chromosome:Graimondii2_0_v6:8:23434803:23437930:1 gene:B456_008G093400 transcript:KJB48908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGSLLSSLLSSLVLCSSALCWFSIPLPSATLVLCSHLCPLPILCSSSPPPVSLSFSSLLLYIFVYLYYGIDFYHYFFCIFSPLLHHRCFSLEDFLLPFKIHIFISSPIRNLFGRFSSRRLNNNSLTEILKGNRSGTHFTKDEWLKIMTNFEKETGKGFSQRQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDTSDNWWESRLQVVPEAKKFRTLGI >KJB52311 pep chromosome:Graimondii2_0_v6:8:53800931:53803712:1 gene:B456_008G255200 transcript:KJB52311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEREDFGLLGPLHLNSIDWANSNHRRSIAASLVQGTYILERDRQEKRQGSQALAPPWWEFFHFKLIRQLVDDADSCVFGAIYEYAPPSSHCNDSIDRSPRYVIAFRGTINKPDSFSRDFSLDIHIIRNGLHQTSRFEIAMKAVRNMVAMVGDSSVWLAGHSLGAAMAMLAGKTIAKTGNFLEAFLFNPPFLSAPIERINYGNVKHGLRFASSFITAGLVLATKGNSQTSQSEDPFFILSAWTPCLFVNPTDHLCSEYIGYFEHRKKMEEIGYGAIERLATQNSLGDLFMSVVRRSAEAAEPLHLLPSAYLTVNLTPSEDFKQAHGIQQWWRPDLHLKCNLYKYK >KJB52313 pep chromosome:Graimondii2_0_v6:8:53802061:53803712:1 gene:B456_008G255200 transcript:KJB52313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEREDFGLLGPLHLNSIDWANSNHRRSIAASLVQGTYILERDRQEKRQGSQALAPPWWEFFHFKLIRQLVDDADSCVFGAIYEYAPPSSHCNDSIDRSPRYVIAFRGTINKPDSFSRDFSLDIHIIRNGLHQTSRFEIAMKAVRNMVAMVGDSSVWLAGHSLGAAMAMLAGKTIAKTGNFLEAFLFNPPFLSAPIERINYGNVKHGLRFASSFITAGLVLATKGNSQTSQSEDPFFILSAWTPCLFVNPTDHLCSEYIGYFEHRKKMEEIGYGAIERLATQNSLGDLFMSVVRRSAEAAEPLHLLPSAYLTVNLTPSEDFKQAHGIQQWWRPDLHLKCNLYKYK >KJB52312 pep chromosome:Graimondii2_0_v6:8:53801656:53803712:1 gene:B456_008G255200 transcript:KJB52312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSISEYQKERTRTANAVMSFEREDFGLLGPLHLNSIDWANSNHRRSIAASLVQGTYILERDRQEKRQGSQALAPPWWEFFHFKLIRQLVDDADSCVFGAIYEYAPPSSHCNDSIDRSPRYVIAFRGTINKPDSFSRDFSLDIHIIRNGLHQTSRFEIAMKAVRNMVAMVGDSSVWLAGHSLGAAMAMLAGKTIAKTGNFLEAFLFNPPFLSAPIERINYGNVKHGLRFASSFITAGLVLATKGNSQTSQSEDPFFILSAWTPCLFVNPTDHLCSEYIGYFEHRKKMEEIGYGAIERLATQNSLGDLFMSVVRRSAEAAEPLHLLPSAYLTVNLTPSEDFKQAHGIQQWWRPDLHLKCNLYKYK >KJB48625 pep chromosome:Graimondii2_0_v6:8:14911987:14915065:1 gene:B456_008G078300 transcript:KJB48625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTLLFPLFFFTLSSATYISTLTLNQNHPSSSSSWRSDDEVMGLYKSWVIQHGKAYNGIGEEEKRFEIFKDNLRFIDEHNSNNNTTYKLGLNKFADLTNQEYRAKFLGTRTDPRRRLMKSKVPSSRYAHRAGDNLPDSVDWRDHGAVSPVKDQGSCGSCWAFSTIAAVEGINKIVSGELVSLSEQELVDCDRSYDAGCNGGLMDYAFQFIIDNGGIDTEKDYPYLGFNNQCDPTKKNVKVVSIDGYEDVPNNENALKKAVAHQPVSIAIEAGGRAFQLYESVIFNGECGLALDHGVVAVGYGTDDNSQDYWIVKNSWGSNWGENGYIRMERNINANTGKSGIAMEASYPVKNGANIIQPYYNESTENISSA >KJB48626 pep chromosome:Graimondii2_0_v6:8:14911987:14915065:1 gene:B456_008G078300 transcript:KJB48626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTLLFPLFFFTLSSATYISTLTLNQNHPSSSSSWRSDDEVMGLYKSWVIQHGKAYNGIGEEEKRFEIFKDNLRFIDEHNSNNNTTYKLGLNKFADLTNQEYRAKFLGTRTDPRRRLMKSKVPSSRYAHRAGDNLPDSVDWRDHGAVSPVKDQGSCGSCWAFSTIAAVEGINKIVSGELVSLSEQELVDCDRSYDAGCNGGLMDYAFQFIIDNGGIDTEKDYPYLGFNNQCDPTKKNVKVVSIDGYEDVPNNENALKKAVAHQPVSIAIEAGGRAFQLYESVRSF >KJB48622 pep chromosome:Graimondii2_0_v6:8:14911920:14915065:1 gene:B456_008G078300 transcript:KJB48622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTLLFPLFFFTLSSATYISTLTLNQNHPSSSSSWRSDDEVMGLYKSWVIQHGKAYNGIGEEEKRFEIFKDNLRFIDEHNSNNNTTYKLGLNKFADLTNQEYRAKFLGTRTDPRRRLMKSKVPSSRYAHRAGDNLPDSVDWRDHGAVSPVKDQGSCGSCWAFSTIAAVEGINKIVSGELVSLSEQELVDCDRSYDAGCNGGLMDYAFQFIIDNGGIDTEKDYPYLGFNNQCDPTKKNVKVVSIDGYEDVPNNENALKKAVAHQPVSIAIEAGGRAFQLYESGVFNGECGLALDHGVVAVGYGTDDNSQDYWIVKNSWGSNWGENGYIRMERNINANTGKSGIAMEASYPVKNGANIIQPYYNESTENISSA >KJB48624 pep chromosome:Graimondii2_0_v6:8:14912179:14914082:1 gene:B456_008G078300 transcript:KJB48624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTLLFPLFFFTLSSATYISTLTLNQNHPSSSSSWRSDDEVMGLYKSWVIQHGKAYNGIGEEEKRFEIFKDNLRFIDEHNSNNNTTYKLGLNKFADLTNQEYRAKFLGTRTDPRRRLMKSKVPSSRYAHRAGDNLPDSVDWRDHGAVSPVKDQGSCGSCWAFSTIAAVEGINKIVSGELVSLSEQELVDCDRSYDAGCNGGLMDYAFQFIIDNGGIDTEKDYPYLGFNNQCDPTKKNVKVVSIDGYEDVPNNENALKKAVAHQPVSIAIEAGGRAFQLYESVSSPIFLMQNNTLQELECFTICHCLNIFPGSF >KJB48627 pep chromosome:Graimondii2_0_v6:8:14913098:14915065:1 gene:B456_008G078300 transcript:KJB48627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKLLVCFFYTYFNKLSANKGIMTTKNTKNWLQNLNLTPPCYHLFFSLRSGSCWAFSTIAAVEGINKIVSGELVSLSEQELVDCDRSYDAGCNGGLMDYAFQFIIDNGGIDTEKDYPYLGFNNQCDPTKKNVKVVSIDGYEDVPNNENALKKAVAHQPVSIAIEAGGRAFQLYESGVFNGECGLALDHGVVAVGYGTDDNSQDYWIVKNSWGSNWGENGYIRMERNINANTGKSGIAMEASYPVKNGANIIQPYYNESTENISSA >KJB48623 pep chromosome:Graimondii2_0_v6:8:14911987:14915065:1 gene:B456_008G078300 transcript:KJB48623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTLLFPLFFFTLSSATYISTLTLNQNHPSSSSSWRSDDEVMGLYKSWVIQHGKAYNGIGEEEKRFEIFKDNLRFIDEHNSNNNTTYKLGLNKFADLTNQEYRAKFLGTRTDPRRRLMKSKVPSSRYAHRAGDNLPDSVDWRDHGAVSPVKDQGSCGSCWAFSTIAAVEGINKIVSGELVSLSEQELVDCDRSYDAGCNGGLMDYAFQFIIDNGGIDTEKDYPYLGFNNQCDPTKVKKR >KJB52907 pep chromosome:Graimondii2_0_v6:8:56869105:56869692:-1 gene:B456_008G295500 transcript:KJB52907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRLVKAVCARPSICEIMGPLHVRTFQHDFVPRDPNAKPKRFKYPQVYDPYGPRPPPSDKVVELAERIAALPPEERRQIGPTLTERLRHPKMQVIADEGMDLGAQGGAGAGAGAPKAEEKKEKTAFDVKLEKFDAAAKIKVIKEVRAFTNLGLKEAKELVEKAPVILKQGITKDEANDIMEKIKAAGGVAVME >KJB52909 pep chromosome:Graimondii2_0_v6:8:56869105:56869692:-1 gene:B456_008G295500 transcript:KJB52909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRLVKAVCARPSICEIMGPLHVRTFQHDFVPRDPNAKPKRFKYPQVYDPYGPRPPPSDKVVELAERIAALPPEERRQIGPTLTERLRHPKMQVIADEGMDLGAQGGAGAGAGAPKAEEKKEKTAFDVKLEKFDAAAKIKVIKEVRAFTNLGLKEAKELVEKAPVILKQGITKDEANDIMEKIKAAGGVAVME >KJB52912 pep chromosome:Graimondii2_0_v6:8:56868794:56871591:-1 gene:B456_008G295500 transcript:KJB52912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRLVKAVCARPSICEIMGPLHVRTFQHDFVPRDPNAKPKRFKYPQVYDPYGPRPPPSDKVVELAERIAALPPEERRQIGPTLTERLRHPKMQVIADEGMDLGAQGGAGAGAGAPKAEEKKEKTAFDVKLEKFDAAAKIKVIKEVRAFTNLGLKEAKELVEKAPVILKQGITKDEANDIMEKIKAAGGVAVME >KJB52910 pep chromosome:Graimondii2_0_v6:8:56868798:56871591:-1 gene:B456_008G295500 transcript:KJB52910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRLVKAVCARPSICEIMGPLHVRTFQHDFVPRDPNAKPKRFKYPQVYDPYGPRPPPSDKVVELAERIAALPPEERRQIGPTLTERLRHPKMQVIADEGMDLGAQGGAGAGAGAPKAEEKKEKTAFDVKLEKFDAAAKIKVIKEVRAFTNLGLKEAKELVEKAPVILKQGITKDEANDIMEKIKAAGGVAVME >KJB52913 pep chromosome:Graimondii2_0_v6:8:56869105:56869692:-1 gene:B456_008G295500 transcript:KJB52913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRLVKAVCARPSICEIMGPLHVRTFQHDFVPRDPNAKPKRFKYPQVYDPYGPRPPPSDKVVELAERIAALPPEERRQIGPTLTERLRHPKMQVIADEGMDLGAQGGAGAGAGAPKAEEKKEKTAFDVKLEKFDAAAKIKVIKEVRAFTNLGLKEAKELVEKAPVILKQGITKDEANDIMEKIKAAGGVAVME >KJB52914 pep chromosome:Graimondii2_0_v6:8:56868834:56871445:-1 gene:B456_008G295500 transcript:KJB52914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFLEIPMLSLKERIAALPPEERRQIGPTLTERLRHPKMQVIADEGMDLGAQGGAGAGAGAPKAEEKKEKTAFDVKLEKFDAAAKIKVIKEVRAFTNLGLKEAKELVEKAPVILKQGITKDEANDIMEKIKAAGGVAVME >KJB52911 pep chromosome:Graimondii2_0_v6:8:56868794:56871512:-1 gene:B456_008G295500 transcript:KJB52911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRLVKAVCARPSICEIMGPLHVRTFQHDFVPRDPNAKPKRFKYPQVYDPYGPRPPPSDKVVELAERIAALPPEERRQIGPTLTERLRHPKMQVIADEGMDLGAQGGAGAGAGAPKAEEKKEKTAFDVKLEKFDAAAKIKVIKEVRAFTNLGLKEAKELVEKAPVILKQGITKDEANDIMEKIKAAGGVAVME >KJB52908 pep chromosome:Graimondii2_0_v6:8:56869105:56869692:-1 gene:B456_008G295500 transcript:KJB52908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRLVKAVCARPSICEIMGPLHVRTFQHDFVPRDPNAKPKRFKYPQVYDPYGPRPPPSDKVVELAERIAALPPEERRQIGPTLTERLRHPKMQVIADEGMDLGAQGGAGAGAGAPKAEEKKEKTAFDVKLEKFDAAAKIKVIKEVRAFTNLGLKEAKELVEKAPVILKQGITKDEANDIMEKIKAAGGVAVME >KJB49629 pep chromosome:Graimondii2_0_v6:8:37385051:37390832:-1 gene:B456_008G130100 transcript:KJB49629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIPMLFSNKFNVLLLWKCLCLLVVLVGCNPPGEPVKCSTKDSNCTVTNSYGMFPDRAICRAGNVAYPTSEQELVSIVSAATKSKRKMKVVTHFSHSIPKLVCPDGQDGLLVSTKNLNRVLKTDTEAMTMTVESGVTLRQLIKEAAKAGLALPYAPYWWGLTIGGLLGTGAHGSSLWGKGSSVHDYVVEMRIVSPAKAEDGYAKVWVLNERDKDLDAAKVSLGVLGVISQVTFKLQPLFKRSITYVRKDDTDLGDEAVTFGKLHEFADIFWYPSQRKAIYRIDDRVPINVSGNGVYNFTPFRSTLSLVLALVRSSEETQESSGDAEGKCLNAKLVTSTLQSSVYGLTNNGAIFTGYPVIGFHNRLQSSGTCLDSLEDSLITACPWDPRIKGEFFHQTTFSIGLSVVKSFIQDVQKLVSMDPKSLCGLELYNGILMRYVKASTAYLGKQEDAIDFDITYYRSKDPMAPRLYQDVLEEIEQMALLKYNALPHWGKNRNLVFDGVMKRYKNGGEFLKVKNKYDPWGLFSSEWTDQVLGLRNGVIVLKEGCALEGLCICSQDVHCAPSKGYLCKPGKTFPDARVCARVNTKT >KJB47613 pep chromosome:Graimondii2_0_v6:8:4025804:4027112:-1 gene:B456_008G033500 transcript:KJB47613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKPVVVESYDEIVFTEPPESFLARVTLPPPDESKKKRGDTKDHPLSPWFLNFSEADELLQLVAARQQVQAHIAKLRRQISLTDGQNQQFTTLNSPGNFETSTSSLN >KJB47611 pep chromosome:Graimondii2_0_v6:8:4025664:4027164:-1 gene:B456_008G033500 transcript:KJB47611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKPVVVESYDEIVFTEPPESFLARVTLPPPDESKKKRGDTKDHPLSPWFLNFSEADELLQLVAARQQVQAHIAKLRRQISLTDGQNQQFTTLNSPGNFETSTSSLN >KJB47612 pep chromosome:Graimondii2_0_v6:8:4025694:4028621:-1 gene:B456_008G033500 transcript:KJB47612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKPVVVESYDEIVFTEPPESFLARVTLPPPDESKKKRGDTKDHPLSPWFLNFSEADELLQLVAARQQVQAHIAKLRRQISLTDGQNQQFTTLNSPGNFETSTSSLN >KJB47822 pep chromosome:Graimondii2_0_v6:8:5745408:5748850:-1 gene:B456_008G043300 transcript:KJB47822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYKCCGTDFFIHILVIVLLVVFAGLMSGLTLGLMSMSLVDLEVLAMSGTPNDRRHAAKILPVVRKQHLLLCTLLLCNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGARVAPFVQVLVWVCFPIAYPISKLLDLLLGHGHVALFRRAELKTLVNLHGNEAGKGGELTHNETTIIAGALELGAKTAKDAMTPISEAFAVDINAKLDRDLMNLVLEKGHSRVPVYYEQTTNIIGLILVNNLLTIHPEDEVPVKSVTIRRIPRVEEELPLYDILNEFQKGHSHMAVVVRQCNRTDESPSNRDGRPLQEVRVDMDADKHPKEKVLRRKRSLKWKSFPATGRSFKGGSRSKKWTKGTDSDILHLNDNPLPTLPEEAIGIITMQDVIEELLQVPLTLFNKFLISIFSTLDSYM >KJB47820 pep chromosome:Graimondii2_0_v6:8:5744974:5748980:-1 gene:B456_008G043300 transcript:KJB47820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYKCCGTDFFIHILVIVLLVVFAGLMSGLTLGLMSMSLVDLEVLAMSGTPNDRRHAAKILPVVRKQHLLLCTLLLCNAAAMEIIPQSVCSRYGLAIGARVAPFVQVLVWVCFPIAYPISKLLDLLLGHGHVALFRRAELKTLVNLHGNEAGKGGELTHNETTIIAGALELGAKTAKDAMTPISEAFAVDINAKLDRDLMNLVLEKGHSRVPVYYEQTTNIIGLILVNNLLTIHPEDEVPVKSVTIRRIPRVEEELPLYDILNEFQKGHSHMAVVVRQCNRTDESPSNRDGRPLQEVRVDMDADKHPKEKVLRRKRSLKWKSFPATGRSFKGGSRSKKWTKGTDSDILHLNDNPLPTLPEEAIGIITMQDVIEELLQEEIFDETDHHYEDS >KJB47823 pep chromosome:Graimondii2_0_v6:8:5744992:5749062:-1 gene:B456_008G043300 transcript:KJB47823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYKCCGTDFFIHILVIVLLVVFAGLMSGLTLGLMSMSLVDLEVLAMSGTPNDRRHAAKILPVVRKQHLLLCTLLLCNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGARVAPFVQVLVWVCFPIAYPISKLLDLLLGHGHVALFRRAELKTLVNLHGNEAGKGGELTHNETTIIAGALELGAKTAKDAMTPISEAFAVDINAKLDRDLMNLVLEKGHSRVPVYYEQTTNIIGLILG >KJB47821 pep chromosome:Graimondii2_0_v6:8:5744974:5749062:-1 gene:B456_008G043300 transcript:KJB47821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYKCCGTDFFIHILVIVLLVVFAGLMSGLTLGLMSMSLVDLEVLAMSGTPNDRRHAAKILPVVRKQHLLLCTLLLCNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGARVAPFVQVLVWVCFPIAYPISKLLDLLLGHGHVALFRRAELKTLVNLHGNEAGKGGELTHNETTIIAGALELGAKTAKDAMTPISEAFAVDINAKLDRDLMNLVLEKGHSRVPVYYEQTTNIIGLILVNNLLTIHPEDEVPVKSVTIRRIPRVEEELPLYDILNEFQKGHSHMAVVVRQCNRTDESPSNRDGRPLQEVRVDMDADKHPKEKVLRRKRSLKWKSFPATGRSFKGGSRSKKWTKGTDSDILHLNDNPLPTLPEEAIGIITMQDVIEELLQEEIFDETDHHYEDS >KJB49020 pep chromosome:Graimondii2_0_v6:8:27759714:27766227:1 gene:B456_008G098100 transcript:KJB49020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLVCSSGFSFINLDVDLSLYKKEPCLQLLGHCDGIICLSNYRDDIVLCNPATRETMVLPESCLPCYSSISNLIPQTSALGFGYDSRTHHCKVVRIISYWEERSGSGLPHHSRVEVYSLATGSWKELNVKVPAHVWYSPCFETYFNGAFHWYAIDDNRNEVILSFHMGNEEFQVIPMPSALSLYDYSMCRSLFVWNGRIALVIYPRKGIEKSFQIYVMKEYGVRESWTKILTIGPLTKVEMPLAFWKNDEILMEGSDGLVVSYNLKTQELKDLPIYGVPKSFATLVYINSLVSVKGGNRVLDGDNTGENVSTPVTFFFSSLQIIKTDDLLLKSLLLLHFPCLFR >KJB49021 pep chromosome:Graimondii2_0_v6:8:27759714:27766227:1 gene:B456_008G098100 transcript:KJB49021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIGDLPRELFLEILLRLPVESLMRCKCVCKYWYALISNPKFIELHLKYNCNNNVCVLLKRCLLTCLGERENMLSLVCSSGFSFINLDVDLSLYKKEPCLQLLGHCDGIICLSNYRDDIVLCNPATRETMVLPESCLPCYSSISNLIPQTSALGFGYDSRTHHCKVVRIISYWEERSGSGLPHHSRVEVYSLATGSWKELNVKVPAHVWYSPCFETYFNGAFHWYAIDDNRNEVILSFHMGNEEFQVIPMPSALSLYDYSMCRSLFVWNGRIALVIYPRKGIEKSFQIYVMKEYGVRESWTKILTIGPLTKVEMPLAFWKNDEILMEGSDGLVVSYNLKTQELKDLPIYGVPKSFATLVYINSLVSVKGGNRVLDGDNTGENVSTPVTFFFSSLQIIKTDDLLLKSLLLLHFPCLFR >KJB49019 pep chromosome:Graimondii2_0_v6:8:27761922:27767307:1 gene:B456_008G098100 transcript:KJB49019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIGDLPRELFLEILLRLPVESLMRCKCVCKYWYALISNPKFIELHLKYNCNNNVCVLLKRCLLTCLGERENMLSLVCSSGFSFINLDVDLSLYKKEPCLQLLGHCDGIICLSNYRDDIVLCNPATRETMVLPESCLPCYSSISNLIPQTSALGFGYDSRTHHCKVVRIISYWEERSGSGLPHHSRVEVYSLATGSWKELNVKVPAHVWYSPCFETYFNGAFHWYAIDDNRNEVILSFHMGNEEFQVIPMPSALSLYDYSMCRSLFVWNGRIALVIYPRKGIEKSFQIYVMKEYGVRESWTKILTIGPLTKVEMPLAFWKNDEILMEGSDGLVVSYNLKTQELKDLPIYGVPKSFATLVYINSLVSVKGGNRVLDGDNTDFDW >KJB49024 pep chromosome:Graimondii2_0_v6:8:27761922:27767307:1 gene:B456_008G098100 transcript:KJB49024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIGDLPRELFLEILLRLPVESLMRCKCVCKYWYALISNPKFIELHLKYNCNNNVCVLLKRCLLTCLGERENMLSLVCSSGFSFINLDVDLSLYKKEPCLQLLGHCDGIICLSNYRDDIVLCNPATRETMVLPESCLPCYSSISNLIPQTSALGFGYDSRTHHCKVVRIISYWEERSGSGLPHHSRVEVYSLATGSWKELNVKVPAHVWYSPCFETYFNGAFHWYAIDDNRNEVILSFHMGNEEFQVIPMPSALSLYDYSMCRSLFVWNGRIALVIYPRKGIEKSFQIYVMKEYGVRESWTKILTIGPLTKVEMPLAFWKNDEILMEGSDGLVVSYNLKTQELKDLPIYGVPKSFATLVYINSLVSVKGGNRVLDGDNTDFDW >KJB49022 pep chromosome:Graimondii2_0_v6:8:27759714:27767307:1 gene:B456_008G098100 transcript:KJB49022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIGDLPRELFLEILLRLPVESLMRCKCVCKYWYALISNPKFIELHLKYNCNNNVCVLLKRCLLTCLGERENMLSLVCSSGFSFINLDVDLSLYKKEPCLQLLGHCDGIICLSNYRDDIVLCNPATRETMVLPESCLPCYSSISNLIPQTSALGFGYDSRTHHCKVVRIISYWEERSGSGLPHHSRVEVYSLATGSWKELNVKVPAHVWYSPCFETYFNGAFHWYAIDDNRNEVILSFHMGNEEFQVIPMPSALSLYDYSMCRSLFVWNGRIALVIYPRKGIEKSFQIYVMKEYGVRESWTKILTIGPLTKVEMPLAFWKNDEILMEGSDGLVVSYNLKTQELKDLPIYGVPKSFATLVYINSLVSVKGGNRVLDGDNTDFDW >KJB49023 pep chromosome:Graimondii2_0_v6:8:27759704:27767307:1 gene:B456_008G098100 transcript:KJB49023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIGDLPRELFLEILLRLPVESLMRCKCVCKYWYALISNPKFIELHLKYNCNNNVCVLLKRCLLTCLGERENMLSLVCSSGFSFINLDVDLSLYKKEPCLQLLGHCDGIICLSNYRDDIVLCNPATRETMVLPESCLPCYSSISNLIPQTSALGFGYDSRTHHCKVVRIISYWEERSGSGLPHHSRVEVYSLATGSWKELNVKVPAHVWYSPCFETYFNGAFHWYAIDDNRNEVILSFHMGNEEFQVIPMPSALSLYDYSMCRSLFVWNGRIALVIYPRKGIEKSFQIYVMKEYGVRESWTKILTIGPLTKVEMPLAFWKNDEILMEGSDGLVVSYNLKTQELKDLPIYGVPKSFATLVYINSLVSVKGGNRVLDGDNTDFDW >KJB46860 pep chromosome:Graimondii2_0_v6:8:54538418:54540512:-1 gene:B456_008G266200 transcript:KJB46860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPKVHIFEEVANHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSATGKDATDDFEDVGHSDSAREMMEKYYIGEIDPKTVPAKRTYIPPQQTPYNPDKTPEFVIKILQFLVPLLILGLAIAVRHYTKKE >KJB46853 pep chromosome:Graimondii2_0_v6:8:54538418:54539690:-1 gene:B456_008G266200 transcript:KJB46853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDHPGGDEVLLSATGKDATDDFEDVGHSDSAREMMEKYYIGEIDPKTVPAKRTYIPPQQTPYNPDKTPEFVIKILQFLVPLLILGLAIAVRHYTKKE >KJB46855 pep chromosome:Graimondii2_0_v6:8:54538951:54539444:-1 gene:B456_008G266200 transcript:KJB46855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSPLKSRTRYLKLNYCLRLRFLLIQVYDVTPFMDDHPGGDEVLLSATGKDATDDFEDVGHSDSAREMMEKYYIGEIDPKTVPAKRTYIPPQQTPYNPDKTPEFVIKILQFLVPLLILGLAIAVRHYTKKE >KJB46856 pep chromosome:Graimondii2_0_v6:8:54538418:54540740:-1 gene:B456_008G266200 transcript:KJB46856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPKVHIFEEVANHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSATGKDATDDFEDVGHSDSAREMMEKYYIGEIDPKTVPAKRTYIPPQQTPYNPDKTPEFVIKILQFLVPLLILGLAIAVRHYTKKE >KJB46854 pep chromosome:Graimondii2_0_v6:8:54538418:54540717:-1 gene:B456_008G266200 transcript:KJB46854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPKVHIFEEVANHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSATGKDATDDFEDVGHSDSAREMMEKYYIGEIDPKTVPAKRTYIPPQQTPYNPDKTPEFVIKILQFLVPLLILGLAIAVRHYTKKE >KJB46861 pep chromosome:Graimondii2_0_v6:8:54538418:54540785:-1 gene:B456_008G266200 transcript:KJB46861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPKVHIFEEVANHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSATGKDATDDFEDVGHSDSAREMMEKYYIGEIDPKTVPAKRTYIPPQQTPYNPDKTPEFVIKILQFLVPLLILGLAIAVRHYTKKE >KJB46857 pep chromosome:Graimondii2_0_v6:8:54538418:54540717:-1 gene:B456_008G266200 transcript:KJB46857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPKVHIFEEVANHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSATGKDATDDFEDVGHSDSQTPYNPDKTPEFVIKILQFLVPLLILGLAIAVRHYTKKE >KJB46858 pep chromosome:Graimondii2_0_v6:8:54538418:54540795:-1 gene:B456_008G266200 transcript:KJB46858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELAISTVRLTQKKHKDSEGRKLKPFLPFHRMASDPKVHIFEEVANHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSATGKDATDDFEDVGHSDSAREMMEKYYIGEIDPKTVPAKRTYIPPQQTPYNPDKTPEFVIKILQFLVPLLILGLAIAVRHYTKKE >KJB46859 pep chromosome:Graimondii2_0_v6:8:54538418:54540717:-1 gene:B456_008G266200 transcript:KJB46859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPKVHIFEEVANHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSATGKDATDDFEDVGHSDSAREMMEKYYIGEIDPKTVPAKRTYIPPQQTPYNPDKTPEFVIKILQFLVPLLILGLAIAVRHYTKKE >KJB51131 pep chromosome:Graimondii2_0_v6:8:48839754:48843874:1 gene:B456_008G202700 transcript:KJB51131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIISKRSTTSQSSSVASNSYSWDSHRYAQPSYAPSGQDYVPEQRYAPPYHSYGGYAPESKRRLERKFSKIDDNYNSLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRRSLHHIGDEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDETFCNGFEEVLRRYRELVPNLKLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTERGQLGPQETKTVEAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNMDRSRKEAEFALSALMEIPSQYKATLELNILGNTRGKAIDRVPLPPPLYGAGSFSNSKLSWSSGFHPSAPSSARREAPVQTAPPASSASDNHLCPICICNAKDMAFGCGHQTCCECGQDLQLCPICRGTIDTRIRLY >KJB51126 pep chromosome:Graimondii2_0_v6:8:48839754:48843874:1 gene:B456_008G202700 transcript:KJB51126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIISKRSTTSQSSSVASNSYSWDSHRYAQPSYAPSGQDYVPEQRYAPPYHSYGGYAPESKRRLERKFSKIDDNYNSLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRRSLHHIGDEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDETFCNGFEEVLRRYRELVPNLKLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTERGQLGPQETKTVEAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNMDRSRKEAEFALSALMEIPSQYKATLELNILGNTRGKAIDRVPLPPPLYGAGSFSNSKLSWSSGFHPSAPSSARREAPVQTAPPASSASDNHVIYLIFNDLKITILINQSLKVFACFSDSYALFAFAMQRIWPLVVDIR >KJB51133 pep chromosome:Graimondii2_0_v6:8:48839719:48843933:1 gene:B456_008G202700 transcript:KJB51133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIISKRSTTSQSSSVASNSYSWDSHRYAQPSYAPSGQDYVPEQRYAPPYHSYGGYAPESKRRLERKFSKIDDNYNSLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRRSLHHIGDEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDETFCNGFEEVLRRYRELVPNLKLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTERGQLGPQETKTVEAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNMDRSRKEAEFALSALMEIPSQYKATLELNILGNTRGKAIDRVPLPPPLYGAGSFSNSKLSWSSGFHPSAPSSARREAPVQTAPPASSASDNHLCPICICNAKDMAFGCGHQTCCECGQDLQLCPICRGTIDTRIRLY >KJB51129 pep chromosome:Graimondii2_0_v6:8:48839768:48843874:1 gene:B456_008G202700 transcript:KJB51129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLAEYISIIELMGGIISKRSTTSQSSSVASNSYSWDSHRYAQPSYAPSGQDYVPEQRYAPPYHSYGGYAPESKRRLERKFSKIDDNYNSLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRRSLHHIGDEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDETFCNGFEEVLRRYRELVPNLKLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTERGQLGPQETKTVEAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNMDRSRKEAEFALSALMEIPSQYKATLELNILGNTRGKAIDRVPLPPPLYGAGSFSNSKLSWSSGFHPSAPSSARREAPVQTAPPASSASDNHLCPICICNAKDMAFGCGHQTCCECGQDLQLCPICRGTIDTRIRLY >KJB51130 pep chromosome:Graimondii2_0_v6:8:48841346:48843484:1 gene:B456_008G202700 transcript:KJB51130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWPVLAWSLRILLLALISLRAMSGQVRHSLNVFKGFLIMVQHLLMCFKIGARSFHRRSLHHIGDEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDETFCNGFEEVLRRYRELVPNLKLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTERGQLGPQETKTVEAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNMDRSRKEAEFALSALMEIPSQYKATLELNILGNTRGKAIDRVPLPPPLYGAGSFSNSKLSWSSGFHPSAPSSARREAPVQTAPPASSASDNHLCPICICNAKDMAFGCGHQTCCECGQDLQLCPICRGTIDTRIRLY >KJB51128 pep chromosome:Graimondii2_0_v6:8:48840842:48843334:1 gene:B456_008G202700 transcript:KJB51128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIISKRSTTSQSSSVASNSYSWDSHRYAQPSYAPSGQDYVPEQRYAPPYHSYGGYAPESKRRLERKFSKIDDNYNSLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRRSLHHIGDEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDETFCNGFEEVLRRYRELVPNLKLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTERGQLGPQETKTVEAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNMDRSRKEAEFALSALMEIPSQYKATLELNILGNTRGKAIDRVPLPPPLYGAGSFSNSKLSWSSGFHPSAPSSARREAPVQTAPPASSASDNHLCPICICNAKDMAFGCGHQVT >KJB51132 pep chromosome:Graimondii2_0_v6:8:48839856:48843874:1 gene:B456_008G202700 transcript:KJB51132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIISKRSTTSQSSSVASNSYSWDSHRYAQPSYAPSGQDYVPEQRYAPPYHSYGGYAPESKRRLERKFSKIDDNYNSLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRRSLHHIGDEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDETFCNGFEEVLRRYRELVPNLKLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTERGQLGPQETKTVEAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNMDRSRKEAEFALSALMEIPSQYKATLELNILGNTRGKAIDRVPLPPPLYGAGSFSNSKLSWSSGFHPSAPSSARREAPVQTAPPASSASDNHLCPICICNAKDMAFGCGHQTCCECGQDLQLCPICRGTIDTRIRLY >KJB51124 pep chromosome:Graimondii2_0_v6:8:48839847:48843874:1 gene:B456_008G202700 transcript:KJB51124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIISKRSTTSQSSSVASNSYSWDSHRYAQPSYAPSGQDYVPEQRYAPPYHSYGGYAPESKRRLERKFSKIDDNYNSLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRRSLHHIGDEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDETFCNGFEEVLRRYRELVPNLKLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTERGQLGPQETKTVEAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNMDRSRKEAEFALSALMEIPSQYKATLELNILGNTRGKAIDRVPLPPPLYGAGSFSNSKLSWSSGFHPSAPSSARREAPVQTAPPASSASDNHLCPICICNAKDMAFGCGHQTCCECGQDLQLCPICRGTIDTRIRLY >KJB51127 pep chromosome:Graimondii2_0_v6:8:48839754:48843874:1 gene:B456_008G202700 transcript:KJB51127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIISKRSTTSQSSSVASNSYSWDSHRYAQPSYAPSGQDYVPEQRYAPPYHSYGGYAPESKRRLERKFSKIDDNYNSLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRRSLHHIGDEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDETFCNGFEEVLRRYRELVPNLKLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTERGQLGPQETKTVEAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQVPKRGRVCSFSIDGNSVSVQSNAGAQHIG >KJB51125 pep chromosome:Graimondii2_0_v6:8:48839754:48843874:1 gene:B456_008G202700 transcript:KJB51125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIISKRSTTSQSSSVASNSYSWDSHRYAQPSYAPSGQDYVPEQRYAPPYHSYGGYAPESKRRLERKFSKIDDNYNSLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRRSLHHIGDEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDETFCNGFEEVLRRYRELVPNLKLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTERGQLGPQETKTVEAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNMDRSRKEAEFALSALMEIPSQYKATLELNILGGFHPSAPSSARREAPVQTAPPASSASDNHLCPICICNAKDMAFGCGHQTCCECGQDLQLCPICRGTIDTRIRLY >KJB53152 pep chromosome:Graimondii2_0_v6:8:56850397:56853026:-1 gene:B456_008G295300 transcript:KJB53152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIGNQYNHLEKDLYKAPTVFSSGSTSLDTANDKESVVSDCESGVSGPCFDQPSLCNNGLIQLCSGDKAHDLITRRFLSNLGSMAVHIKDLTIQKNCFAGVTWQARLQSFQIFNKAMEKKCGGGGDANVKYAWCAATRDEVCKIVEHGFGHCGLPKNSGLYGHGIYLSPDDSPMESVKNAVADKNGVRYLMLCRVILGKAELVQPGSKQCHPSSDEFDSGVDDLSSPKKYVVWSTHLNTHILPEFIVSFRATSSLKGFRGMQDRLKMPTSPWISFPALISALSKYLPPTAMNLISKYYRDHKDKKISRHELIQLVRQFAGDKLLIAVIKSSRTKQYRHK >KJB53154 pep chromosome:Graimondii2_0_v6:8:56850417:56852826:-1 gene:B456_008G295300 transcript:KJB53154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIGNQYNHLEKDLYKAPTVFSSGSTSLDTANDKESVVSDCESGVSGPCFDQPSLCNNGLIQLCSGDKAHDLITRRFLSNLGSMAVHIKDLTIQKNCFAGVTWQARLQSFQIFNKAMEKKCGGGGDANVKYAWCAATRDEVCKIVEHGFGHCGLPKNSGLYGHGIYLSPDDSPMESVKNAVADKNGVRYLMLCRVILGKAELVQPGSKQCHPSSDEFDSGVDDLSSPKKYVVWSTHLNTHILPEFIVSFRATSSLKGKQNFDFGLPWRLNSFESQS >KJB53153 pep chromosome:Graimondii2_0_v6:8:56851461:56852826:-1 gene:B456_008G295300 transcript:KJB53153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIGNQYNHLEKDLYKAPTVFSSGSTSLDTANDKESVVSDCESGVSGPCFDQPSLCNNGLIQLCSGDKAHDLITRRFLSNLGSMAVHIKDLTIQKNCFAGVTWQARLQSFQIFNKAMEKKCGGGGDANVKYAWCAATRDEVCKIVEHGFGHCGLPKNSGLYGHGIYLSPDDSPMESVKNAVADKNGVRYLMLCRVILGKAELVQPGSKQCHPSSDEFDSGVDDLSSPKKYVVWSTHLNTHILPEFIVSFRATSSLKGKQNFDFGLPWRLNSFESQS >KJB50840 pep chromosome:Graimondii2_0_v6:8:47145622:47148253:-1 gene:B456_008G189200 transcript:KJB50840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCRGDRLRACCFIIILVMLLLCCCTNGEDPYRFYTWNVTYGDIYPLGVKQQVILINSQFPGPQIETVTNENLIINVFNSLDQPFLISWNGVQQRRNSWQDGVYGTNCPIPPGRNFTYTLQVKDQIGSYFYFPSLALHKAAGGYGGFGILSRSVVPVPFPPPAADYTILAGDWYNKNHNDLKAILDSGHDLPFPDGLIINGRGANGYTFTVDQGKIYRFRISNVGITTSINFRIQGHKLLLVEVEGTHTLQNTYDSLDIHLGQSLSVLVTADQPARDYYIVASTRFTSQVLATTAILHYSNSAAAVSGPPPGGPTTQIDWSLEQARSIRLNLTASAARPNPQGSYHYGLVKTTRTIRLASSAAVINGKQRYAVNGVSFIPADTPLKLADYFKISGVFSLGSIPDAPTGGGAYLKTSVMAADYRGYVEIVFENPEDTVQSWHIDGYSFFVVGMDRGQWTPASRLGYNLRDAIARCTVQVYPKSWSAVYIALDNVGMWNVRSENWVRQYLGQQFYLRIFSTANSWRDEYPIPRNALVCGQALGRRKPNENL >KJB51918 pep chromosome:Graimondii2_0_v6:8:52483753:52484308:-1 gene:B456_008G237900 transcript:KJB51918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVSAILLLSLNLLFFSVANANIFKHSECPKNNVNVCVNVLRPGGSLAKDSPCCTHIQHLVALKAALCLCAIVKANNLGLVEADPTVQLELLLNGCGCRPTRTYYC >KJB50979 pep chromosome:Graimondii2_0_v6:8:48102886:48104158:-1 gene:B456_008G196300 transcript:KJB50979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDDYNTELAVGVAAAAYIINSLVEDEARHRIKIRRSAQDTITGVRSSDRVTMRYSSKEIETAGETSSRKLMEKDNRSQESSLPRSKKGGSSSGRPVIMEAGDRSRKGNSSQLNVGETKADAWEKAEMEKLNKRCENMKASILAWENEKKLRAKVKMDKRKKELERRIKINQQLYQTKISRIDHIGGGAKAEVDEKRRHEELKIKEKARKIRASGKVPVSCFCF >KJB50980 pep chromosome:Graimondii2_0_v6:8:48102619:48104610:-1 gene:B456_008G196300 transcript:KJB50980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDDYNTELAVGVAAAAYIINSLVEDEARHRIKIRRSAQDTITGVRSSDRVTMRYSSKEIETAGETSSRKLMEKDNRSQESSLPRSKKGGSSSGRPVIMEAGDRSRKGNSSQLNVGETKADAWEKAEMEKLNKRCENMKASILAWENEKKLRAKVKMDKRKKELERRIKINQQLYQTKISRIDHIGGGAKAEVDEKRRHEELKIKEKARKIRASGKVPVSCFCF >KJB49625 pep chromosome:Graimondii2_0_v6:8:37275521:37275751:-1 gene:B456_008G129600 transcript:KJB49625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFEELSIPCKLVLNVVGIEETEALAVLKSTVCVSGFDPSSIFNLLKAVLVLPISKECLGGILCDLLKFNSTVPSWC >KJB48308 pep chromosome:Graimondii2_0_v6:8:10920007:10922706:-1 gene:B456_008G066900 transcript:KJB48308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGGEATSGKSAGFSRVALNERILSSMTRRSIAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKTSGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLILMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDIKQLPPHRLAEIRRFFEDYKKNENKKVDVEDFLPAETAIEAIKYSMDLYASYIVESLRK >KJB48310 pep chromosome:Graimondii2_0_v6:8:10920128:10922704:-1 gene:B456_008G066900 transcript:KJB48310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGGEATSGKSAGFSRVALNERILSSMTRRSIAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKTSGLIKGEKDDKIIAVCADDPEFRHYTDIKQLPPHRLAEIRRFFEDCIHSHVYLILSYKKNENKKVDVEDFLPAETAIEAIKYSMDLYASYIVESLRK >KJB48309 pep chromosome:Graimondii2_0_v6:8:10920391:10922378:-1 gene:B456_008G066900 transcript:KJB48309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGGEATSGKSAGFSRVALNERILSSMTRRSIAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKTSGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLILMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDIKQLPPHRLAEIRRFFEDCIHSHVYLILSYKKNENKKVDVEDFLPAETAIEAIKYSMDLYASYIVESLRK >KJB48311 pep chromosome:Graimondii2_0_v6:8:10920391:10922378:-1 gene:B456_008G066900 transcript:KJB48311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGGEATSGKSAGFSRVALNERILSSMTRRSIAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKTSGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLILMQVEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDIKQLPPHRLAEIRRFFEDYKKNENKKVDVEDFLPAETAIEAIKYSMDLYASYIVESLRK >KJB48313 pep chromosome:Graimondii2_0_v6:8:10919960:10922699:-1 gene:B456_008G066900 transcript:KJB48313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGGEATSGKSAGFSRVALNERILSSMTRRSIAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKTSGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLILMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDIKQLPPHRLAEIRRFFEDYKKNENKKVDVEDFLPAETAIEAIKYSMDLYASYIVESLRK >KJB48306 pep chromosome:Graimondii2_0_v6:8:10919264:10922478:-1 gene:B456_008G066900 transcript:KJB48306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFLSIERSMANNGGEATSGKSAGFSRVALNERILSSMTRRSIAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKTSGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLILMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDIKQLPPHRLAEIRRFFEDYKKNENKKVDVEDFLPAETAIEAIKYSMDLYASYIVESLRK >KJB48312 pep chromosome:Graimondii2_0_v6:8:10920175:10922704:-1 gene:B456_008G066900 transcript:KJB48312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGGEATSGKSAGFSRVALNERILSSMTRRSIAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKTSGLIKGEKDDKIIAVCADDPEFRHYTDIKQLPPHRLAEIRRFFEDYKKNENKKVDVEDFLPAETAIEAIKYSMDLYASYIVESLRK >KJB48307 pep chromosome:Graimondii2_0_v6:8:10920391:10922378:-1 gene:B456_008G066900 transcript:KJB48307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGGEATSGKSAGFSRVALNERILSSMTRRSIAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKTSGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLILMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDIKQLPPHRLAEIRRFFEDYKKNENKKVDVEDFLPAETAIEAIKYSMDLYASYIVESLRK >KJB49215 pep chromosome:Graimondii2_0_v6:8:33588029:33590207:1 gene:B456_008G107000 transcript:KJB49215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSPKSPNTNPNPNSDQDYLNLEPPPSLKSQWKPKALSLPDIWVKEQALKHVICNMQQQFSRTPSISPPSEPDLTSFQSFPLDPIEPDYSSLLSDVVLLGIFSKLPVSQHVSNSLVCKRWLYLTGRLVQSLKVKDWSFISSGRVFNRFPNLTDLDLVGSCIQMPKDSGVLLTHKTVSVYVDTSFTFAGFLGKTALMPLHVVDTGLAMVAEKYPNLRRLVVIGASEEGLRQIAARCYTLQELELHCCGDLALKGISGIKILQVMKLIGSVNGFYDSTVSDIGLTLLAQGCKRLVKLELCGCEGSYDGVKAIGQCCQMLEELSFYDHRMDGGWLAGLSYCSNLKTLKLKSCKNTDTSPGADEHLGTCLTLEELHLQQCHIRDKQSVKALFLVCENVRAIDFRNCWGLDDDVFSLASICRRVKLLSVEGCSLVTIKGLESVLLSWKELQQLRVMSRNNIKDTEVTPELATLFSMLKELIWRQDSRSLLLSNLVGTGMGKKGGRFFQRSKD >KJB51789 pep chromosome:Graimondii2_0_v6:8:51792417:51793692:-1 gene:B456_008G231600 transcript:KJB51789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLREFGRKALFYVRVLSGYEERRIRNYRLQLEQRLQQAQARKAALRKIPEQTVLQEVRRMVEDMQALNKRLEETEAAIEEYFKPIDKEVETIMKIQLDGEEKTMKEMMATMQRQALLEKMEAEKIANTHQPDTNQGNQDATTSSRSQDAQMR >KJB51791 pep chromosome:Graimondii2_0_v6:8:51792050:51794158:-1 gene:B456_008G231600 transcript:KJB51791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLREFGRKALFYVRVLSGYEERRIRNYRLQLEQRLQQAQARKAALRKIPEQTVLQEVRRMVEDMQALNKRLEETEAAIEEYFKPIDKEVETIMKIQLDGEEKTMKEMMATMQRQALLEKMEAEKIANTHQPDTNQGNQDATTSSRSQDAQMR >KJB51787 pep chromosome:Graimondii2_0_v6:8:51792101:51794088:-1 gene:B456_008G231600 transcript:KJB51787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLREFGRKALFYVRVLSGYEERRIRNYRLQLEQRLQQAQARKAALRKIPEQTVLQEVRRMVEDMQALNKRLEETEAAIEEYFKPIDKEVETIMKIQLDGEEKTMKEMMATMQRQALLEKMEAEKIANTHQPDTNQGNQDATTSSRSQDAQMR >KJB51788 pep chromosome:Graimondii2_0_v6:8:51792061:51794102:-1 gene:B456_008G231600 transcript:KJB51788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLREFGRKALFYVRVLSGYEERRIRNYRLQLEQRLQQAQARKAALRKIPEQTVLQEVRRMVEDMQALNKRLEETEAAIEEYFKPIDKEVETIMKIQLDGEEKTMKEMMATMQRQALLEKMEAEKIANTHQPDTNQGNQDATTSSRSQDAQMR >KJB51790 pep chromosome:Graimondii2_0_v6:8:51792101:51794088:-1 gene:B456_008G231600 transcript:KJB51790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLREFGRKALFYVRVLSGYEERRIRNYRLQLEQRLQQAQARKAALRKIPEQTVLQEVRRMVEDMQALNKRLEETVTAIEEYFKPIDKEVETIMKIQLDGEEKTMKEMMATMQRQALLEKMEAEKIANTHQPDTNQGNQDATTSSRSQDAQMR >KJB52557 pep chromosome:Graimondii2_0_v6:8:54628598:54633062:1 gene:B456_008G267300 transcript:KJB52557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTQVLFNAQSIDGTVRKNAEESLKQFQEQNLPGFLLSLSGELANEEKPIETRKLAGLILKNALDAKEQHRKFELVQRWLSLDATAKSQIKACLLQTLSSPVFDAHSTTSQVIAKVAGIELPQKQWPELIGSLLSNVHQIPAYAKQATLETLGYLCEEVSPDVIDQDQVNKILTAVVQGMSASEGNIDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLSPEVRIRQAAFECLVSISSTYYEKLTPYIQDIFNITSKAVREDEEPVALQAIEFWSSICDEEIDILEEYGGEFTGDSDVPCFYFIKKALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVAQTVGDDIVPLVVPFVEENITKPDWRQREAATYAFGSILDGPSPEKLTPLVNVALTFMLSALTKDPNSHVKDTTAWALGRIFEFLLGSAVDLPIITQANCQQIVTVLLQSMKDTPNVAEKACGALYFLAQGYEGEGEPSPLTPFFQEIVQSLLTVTHREDVGDSRLRTAAYETLNEVVRCSTVETAPLVLQLVAVIMMELHNTLESQKLSSEEREKQSELQGLLCGCLQVIIQKLGSSETTKYAFMQYADQIMGLFLRVFACRSATVHEEAMLAIGALAYATGADFAKYMPEFYRYLEMGLQNFEEYQVCAVTVGVVGDISRALEEKIVPYCDGIMTQLLKNLSSNQLHRSVKPPIFSCFGDIALAVGEYFEKYLMWAMSALQSAADLSTHIAGDDELVEYTNSLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHVLQFLDGIYMEKDMDDMVMKTAIGVLGDLADTLGNHASSMIQQSVSSKDFLNECLSSEDLLVKESAQWAKLAISRAISV >KJB47902 pep chromosome:Graimondii2_0_v6:8:6332643:6338456:-1 gene:B456_008G046800 transcript:KJB47902 gene_biotype:protein_coding transcript_biotype:protein_coding description:30-kDa cleavage and polyadenylation specificity factor 30 [Source:Projected from Arabidopsis thaliana (AT1G30460) UniProtKB/Swiss-Prot;Acc:A9LNK9] MDDAEGGLSFDFEGGLDAGPPAPTASMPVVNSDPSAANNTNNFTAPGGVQASINDPVANQGGGAGRRSFRQTVCRHWLRSLCMKGDACGFLHQYDKSRMPVCRFFRLFGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPPVEEVLQKIQQLSAYNYNNKFYQQRNAGFPQQTEKSQIPQAQNNVNQGAAGKPSATESTNVQQQQLQQQQQQIQQPQQQVSQTQIQNVPNGQSNQANRTAIPLPQGISRYFIVKSCNRENLELSVQQGVWATQRSNEAKLNEAFDSAENVILVFSVNRTRHFQGCAKMTSKIGGSVAGGNWKYAHGTAHYGRNFSVKWLKLCELSFHKTRHLRNPYNENLPVKISRDCQELEPSVGEQLASLLYLEPDSELMAISLAAESKREEEKAKGVNSDNAENPDIVPFEDNEEEEEEESEEEDESFGAAAQGRGRGRGIMWPPHMPLARGARPMPGMRGFPPMMMGGDGFSYGPVTPDGFGMPDLFGAPRPFAPYGPRFSGDFTGPASGMMFPGRPPQPGGMFPSGGIGMMMGPGRAPFMGGMGPTGANPARGGRPVGMPPMFPLPPAPASQNSGRAIKRDQRTPTNDRSSAGSEQGRGQEMGGPGGGLEDGTQYQQEGQKAHHEDQFAAGNSFRNDDSESEDEAPRRSRHGEGKKKRRGLEGDVATASDH >KJB47903 pep chromosome:Graimondii2_0_v6:8:6332699:6338419:-1 gene:B456_008G046800 transcript:KJB47903 gene_biotype:protein_coding transcript_biotype:protein_coding description:30-kDa cleavage and polyadenylation specificity factor 30 [Source:Projected from Arabidopsis thaliana (AT1G30460) UniProtKB/Swiss-Prot;Acc:A9LNK9] MDDAEGGLSFDFEGGLDAGPPAPTASMPVVNSDPSAANNTNNFTAPGGVQASINDPVANQGGGAGRRSFRQTVCRHWLRSLCMKGDACGFLHQYDKSRMPVCRFFRLFGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPPVEEVLQKIQQLSAYNYNNKFYQQRNAGFPQQTEKSQIPQAQNNVNQGAAGKPSATESTNVQQQQLQQQQQQIQQPQQQVSQTQIQNVPNGQSNQANRTAIPLPQGISRYFIVKSCNRENLELSVQQGVWATQRSNEAKLNEAFDSAENVILVFSVNRTRHFQVGCAKMTSKIGGSVAGGNWKYAHGTAHYGRNFSVKWLKLCELSFHKTRHLRNPYNENLPVKISRDCQELEPSVGEQLASLLYLEPDSELMAISLAAESKREEEKAKGVNSDNAENPDIVPFEDNEEEEEEESEEEDESFGAAAQGRGRGRGIMWPPHMPLARGARPMPGMRGFPPMMMGGDGFSYGPVTPDGFGMPDLFGAPRPFAPYGPRFSGDFTGPASGMMFPGRPPQPGGMFPSGGIGMMMGPGRAPFMGGMGPTGANPARGGRPVGMPPMFPLPPAPASQNSGRAIKRDQRTPTNDRSSAGSEQGRGQEMGGPGGGLEDGTQYQQEGQKAHHEDQFAAGNSFRNDDSESEDEAPRRSRHGEGKKKRRGLEGDVATASDH >KJB51524 pep chromosome:Graimondii2_0_v6:8:50709437:50713243:1 gene:B456_008G220300 transcript:KJB51524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNLTGGAVARIINREVSTERDLKPLLQVIELKEVQTTSKNPQQQQQEPKKSERYRLLLSDGSLTQQGMLATSKNELVKSSKLQIGSVIQLTQYICNVIQDRMIVIIIELDVIVEKCDIIGKPVAAPRSSRLTQAPTDQPGTVMAQSNSLGGSSVGGSMADRLNSAGTTLQQPRMNQWHGNSRSNESDQINYPAANAPPSYPKADPSAGFPGSSPLDRPYGVQSTGFNNPRPATSRPLSTSNYQSVPTYQQPSPMYTNRGPVAKNEAPPRIIPISALNPYQGRWTIKARVTAKGELRRYNNARGEGKVFSFDLLDSDGGEIRVTCFNAVVDQFYNQIETGKIYLISRGSLKPAQKAFNHLNNEHEILLDSTSTIQPCYEDDNRIPRQQFHFRTISDIECMENNSIVDIIGVVSFISPAASIMRKNGVETQKRTLHLKDMSGRSVELTLWGSLCNAEGQKLQSLCDSGEFPVLAVKAGRVNDFNGKAVGSISSTQLFIDPDFPEAHSLKNWFVTDGRNTPTVSISRETSSVSRTDNRKTLSQVKDEKLGTSEKPDWITVAARIAYIKLDNFCYTACPIMNGDRQCNKKVTNNGDGKWWCDKCDRSVDECDYRYIIQLQIQDHTGIIWVTAFQESGQDIMGVPAKDLYYLRYENQDDEKFMEITRRVMFNKYIFKLKVKEETFSDEQRVKSTVVKVEKVNFSSEARYLLDLIAKIKANDSGLFAPKAEFTTPNTGLDYTGVGIDGRRDLAPPTASREYGLPVNQGGQYGNHYGGSGVRESASNMDIFCNSCGVTGHSSTNCPTIMNGPVQAIGRGYSDRVFTGASVGGVSGECFKCHQTGHWARDCPNSGRLPPLKH >KJB51435 pep chromosome:Graimondii2_0_v6:8:50308118:50309529:1 gene:B456_008G216100 transcript:KJB51435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLALKRLLSCNILPSSLRVVRPMAIAPSSSRLFNTNAMREFDDDGDERDLSDERQRSLSRRGDGFFAGKVFDPFAPTRSLSQVLNMMDQFMESPFLSASRGMGGGLRRSWDAKETEDALNLRIDMPGLGKEDVKVSVEQNTLVIKGEAKESEEEENGGRYTSRIHLPEKVYKTDQIKAEMKNGVLKVVVPKMKEEERNDVIQVQID >KJB51434 pep chromosome:Graimondii2_0_v6:8:50308037:50309529:1 gene:B456_008G216100 transcript:KJB51434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLALKRLLSCNILPSSLRVVRPMAIAPSSSRLFNTNAMREFDDDGDERDLSDERQRSLSRRGDGFFAEVFDPFAPTRSLSQVLNMMDQFMESPFLSASRGMGGGLRRSWDAKETEDALNLRIDMPGLGKEDVKVSVEQNTLVIKGEAKESEEEENGGRYTSRIHLPEKVYKTDQIKAEMKNGVLKVVVPKMKEEERNDVIQVQID >KJB51437 pep chromosome:Graimondii2_0_v6:8:50308118:50309529:1 gene:B456_008G216100 transcript:KJB51437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLALKRLLSCNILPSSLRVVRPMAIAPSSSRLFNTNAMREFDDDGDERDLSDERQRSLSRRGDGFFAEVFDPFAPTRSLSQVLNMMDQFMESPFLSASRGMGGGLRRSWDAKETEDALNLRIDMPGLGKEDVKVSVEQNTLVIKGEAKESEEEENGGRYTSRIHLPEKVYKTDQIKAEMKNGVLKVVVPKMKEEERNDVIQVQID >KJB51436 pep chromosome:Graimondii2_0_v6:8:50308118:50309529:1 gene:B456_008G216100 transcript:KJB51436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCVSSTTMVMSVTSVMNASVHFLAAVTVSSQVVFDPFAPTRSLSQVLNMMDQFMESPFLSASRGMGGGLRRSWDAKETEDALNLRIDMPGLGKEDVKVSVEQNTLVIKGEAKESEEEENGGRYTSRIHLPEKVYKTDQIKAEMKNGVLKVVVPKMKEEERNDVIQVQID >KJB51861 pep chromosome:Graimondii2_0_v6:8:52118972:52120319:1 gene:B456_008G235100 transcript:KJB51861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQKCKLCARTFSNGRALGGHMKGHLATHPLPRKTAQHHQLGGRTDSASSSSSSSGEEQEEKTKVLEDKCFVYGLGENPMKSFRYADPDFSFAVIDSGSVVLDTESETESRNPTRRRSKRCPKACTNTKTTDGIKKQKLLRKPSLVESPTEPEPVSSVSDTSPEEHIAVCLMMLSRDVWKSKNVEQNSEGNKKVRKSSKKKIHGKHRCELCKKTFRSFYTLDEHKRVCSETKKASKVATTAAGNNGKIFECPLCYRVFGSGQALGGHKRSHLLAATPNSGKFYNNLIDLNLPAPLEDDEFSVVSDA >KJB47257 pep chromosome:Graimondii2_0_v6:8:2102671:2106472:1 gene:B456_008G018300 transcript:KJB47257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAALLRSFRRRDVAASPLSAYRCLTNNGKTSAGINWTSFCRAFSSKPAGNDVIGIDLGTTNSCVAVMEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTVFGTKRLIGRRYEDPQTKKEMGMVPFKIVKAPNGDAWVEANGQQYSPSQIGAFILTKMKETAEAYLGKGVSKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVSEFKKTEGIDLSKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFESLVNHLIERTKAPCKNCLKDAGISTNDVDEVLLVGGMTRVPKVQEVVSGIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKRSQVFSTAADNQTQVGIKVLQGEREMAADNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVLAKDKATSKEQQITIRSSGGLSEDEIEKMVKEAELHAQKDQQRKSLIDVKNNADTTIYSVEKSLNEYRDKIPSEIAKEIEGAVADLRKATEGEDVDEIKAKIDAANKGVSKIGEHMSGGSGGAQGGSSGGAQGGDQAQEAEYEEVKK >KJB47258 pep chromosome:Graimondii2_0_v6:8:2102729:2106404:1 gene:B456_008G018300 transcript:KJB47258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTVFGTKRLIGRRYEDPQTKKEMGMVPFKIVKAPNGDAWVEANGQQYSPSQIGAFILTKMKETAEAYLGKGVSKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVSEFKKTEGIDLSKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFESLVNHLIERTKAPCKNCLKDAGISTNDVDEVLLVGGMTRVPKVQEVVSGIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKRSQVFSTAADNQTQVGIKVLQGEREMAADNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVLAKDKATSKEQQITIRSSGGLSEDEIEKMVKEAELHAQKDQQRKSLIDVKNNADTTIYSVEKSLNEYRDKIPSEIAKEIEGAVADLRKATEGEDVDEIKAKIDAANKGVSKIGEHMSGGSGGAQGGSSGGAQGGDQAQEAEYEEVKK >KJB47545 pep chromosome:Graimondii2_0_v6:8:3714524:3722015:1 gene:B456_008G031000 transcript:KJB47545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPLPVLPTNYGISNEFCSKGCKRTRLSSDSKDYDSTISAANPQDGNEKTKPKVLPNAIDFSDPLAYAKMLETFNTGGKYGSVTKDVETLISVNTQLVSKVLALHPRLSNMSADVEKTQRKEASKVPSRQLSHLSRNNFIDLEDDSIGNDITSSVSPVVILDSDDEDNRNPRSLHPVQEIVLRKPSGNLIYKEIKVGEPNLFQFGVSMGNRVYKEEKISLTSEFDIKKDKGVYVGVEDDVDAQMENEDDGLGDIWQEMSMALEFSKDAIEDTSNEHMLEDDEDCDHSFVLKDDLGYVCRICGVIQRGIETIIDIQYNKAKKSTNAYAFEPRNGKNRESIETGVKFSEDDLAVTGITAHPRHMKQMKPHQVEGFNFLLSNLVADNPGGCILAHAPGSGKTFMIISFMQSFLAKYPHAKPLVVLPKGILATWKKEFETWQVEDIPLLDFYTVKADNRSQQLDVLKKWVECKSILFLGYKQFSTIICDGGTSQTSISCREILLRAPSILILDEGHTPRNENTDVLQSLAKVQTARKVVLSGTLYQNHVKEVFNILNLVRPKFLRLDTSKSVIKRIMSKVHIAGVKKQLKAGADAAFYDLVEHTLQKDENFERKVSVIHDLREMTSKVLHYYKGDFLDELPGLVDFTVVLSLGPRQKDEVHKLRRFQRKFKISSVGSAVYLHPKLNSFSENSDTTDDKMDELLNTLDVREGVKAKFFLNMLNLCESAGEKLLVFSQYLVPLKFLERLSVKVKGWQPGIQVFSITGESSSDHREWSMDRFNNSPDAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQKKKVYTYRLVAGDSPEEEDHSTCFKKELIAKMWFEWNKYCGNRDFDMETVNLNACNDLFLESQLLREDIRDLYRRLVCLCNNILIYFIKVLAFQFLISLYFR >KJB47544 pep chromosome:Graimondii2_0_v6:8:3714524:3722015:1 gene:B456_008G031000 transcript:KJB47544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPLPVLPTNYGISNEFCSKGCKRTRLSSDSKDYDSTISAANPQDGNEKTKPKVLPNAIDFSDPLAYAKMLETFNTGGKYGSVTKDVETLISVNTQLVSKVLALHPRLSNMSADVEKTQRKEASKVPSRQLSHLSRNNFIDLEDDSIGNDITSSVSPVVILDSDDEDNRNPRSLHPVQEIVLRKPSGNLIYKEIKVGEPNLFQFGVSMGNRVYKEEKISLTSEFDIKKDKGVYVGVEDDVDAQMENEDDGLGDIWQEMSMALEFSKDAIEDTSNEHMLEDDEDCDHSFVLKDDLGYVCRICGVIQRGIETIIDIQYNKAKKSTNAYAFEPRNGKNRESIETGVKFSEDDLAVTGITAHPRHMKQMKPHQVEGFNFLLSNLVADNPGGCILAHAPGSGKTFMIISFMQSFLAKYPHAKPLVVLPKGILATWKKEFETWQVEDIPLLDFYTVKADNRSQQLDVLKKWVECKSILFLGYKQFSTIICDGGTSQTSISCREILLRAPSILILDEGHTPRNENTDVLQSLAKVQTARKVVLSGTLYQNHVKEVFNILNLVRPKFLRLDTSKSVIKRIMSKVHIAGVKKQLKAGADAAFYDLVEHTLQKDENFERKVSVIHDLREMTSKVLHYYKGDFLDELPGLVDFTVVLSLGPRQKDEVHKLRRFQRKFKISSVGSAVYLHPKLNSFSENSDTTDDKMDELLNTLDVREGVKAKFFLNMLNLCESAGEKLLVFSQYLVPLKFLERLSVKVKGWQPGIQVFSITGESSSDHREWSMDRFNNSPDAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQKKKVYTYRLVAGDSPEEEDHSTCFKKELIAKMWFEWNKYCGNRDFDMETVNLNACNDLFLESQLLREDIRDLYRR >KJB47543 pep chromosome:Graimondii2_0_v6:8:3714473:3722015:1 gene:B456_008G031000 transcript:KJB47543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPLPVLPTNYGISNEFCSKGCKRTRLSSDSKDYDSTISAANPQDGNEKTKPKVLPNAIDFSDPLAYAKMLETFNTGGKYGSVTKDVETLISVNTQLVSKVLALHPRLSNMSADVEKTQRKEASKVPSRQLSHLSRNNFIDLEDDSIGNDITSSVSPVVILDSDDEDNRNPRSLHPVQEIVLRKPSGNLIYKEIKVGEPNLFQFGVSMGNRVYKEEKISLTSEFDIKKDKGVYVGVEDDVDAQMENEDDGLGDIWQEMSMALEFSKDAIEDTSNEHMLEDDEDCDHSFVLKDDLGYVCRICGVIQRGIETIIDIQYNKAKKSTNAYAFEPRNGKNRESIETGVKFSEDDLAVTGITAHPRHMKQMKPHQVEGFNFLLSNLVADNPGGCILAHAPGSGKTFMIISFMQSFLAKYPHAKPLVVLPKGILATWKKEFETWQVEDIPLLDFYTVKADNRSQQLDVLKKWVECKSILFLGYKQFSTIICDGGTSQTSISCREILLRAPSILILDEGHTPRNENTDVLQSLAKVQTARKVVLSGTLYQNHVKEVFNILNLVRPKFLRLDTSKSVIKRIMSKVHIAGVKKQLKAGADAAFYDLVEHTLQKDENFERKVSVIHDLREMTSKVLHYYKGDFLDELPGLVDFTVVLSLGPRQKDEVHKLRRFQRKFKISSVGSAVYLHPKLNSFSENSDTTDDKMDELLNTLDVREGVKAKFFLNMLNLCESAGEKLLVFSQYLVPLKFLERLSVKVKGWQPGIQVFSITGESSSDHREWSMDRFNNSPDAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQKKKVYTYRLVAGDSPEEEDHSTCFKKELIAKMWFEWNKYCGNRDFDMETVNLNACNDLFLESQLLREDIRDLYRR >KJB50307 pep chromosome:Graimondii2_0_v6:8:43053968:43055992:-1 gene:B456_008G162800 transcript:KJB50307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYYQLSKSSYQDFLKILKADIQHANALLQGNLGELDDDKEAGGSSAKKRVDLDNRHGNIDFEREDECGICLEPCTKMVLPNCCHAMCIKCYRNWNTKSESCPFCRGSLKRVNSEDLWVLTCNDDVVDNKTVSQEDLLRFYLYINSLPKDFPDALFLVYYEYLI >KJB50305 pep chromosome:Graimondii2_0_v6:8:43053435:43056239:-1 gene:B456_008G162800 transcript:KJB50305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVYYQLSKSSYQDFLKILKADIQHANALAAAIPRAKSGAHLQMKLVYNHLAPLFLFLLQWMDSSCICLLPRFLNLFHILVYKVYTDGRSNISRRGRKATIREFYGVILPSLQRLQGNLGELDDDKEAGGSSAKKRVDLDNRHGNIDFEREDECGICLEPCTKMVLPNCCHAMCIKCYRNWNTKSESCPFCRGSLKRVNSEDLWVLTCNDDVVDNKTVSQEDLLRFYLYINSLPKDFPDALFLVYYEYLI >KJB50306 pep chromosome:Graimondii2_0_v6:8:43053968:43056167:-1 gene:B456_008G162800 transcript:KJB50306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVYNHLAPLFLFLLQWMDSSCICLLPRFLNLFHILVYKVYTDGRSNISRRGRKATIREFYGVILPSLQRLQGNLGELDDDKEAGGSSAKKRVDLDNRHGNIDFEREDECGICLEPCTKMVLPNCCHAMCIKCYRNWNTKSESCPFCRGSLKRVNSEDLWVLTCNDDVVDNKTVSQEDLLRFYLYINSLPKDFPDALFLVYYEYLI >KJB50310 pep chromosome:Graimondii2_0_v6:8:43053968:43056163:-1 gene:B456_008G162800 transcript:KJB50310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVYYQLSKSSYQDFLKILKADIQHANALAAAIPRAKSGAHLQMKLVYNHLAPLFLFLLQWMDSSCICLLPRFLNLFHILVYKVHYTGLFCSPLLHLFSYIFICAPCSFPHCLLQVYTDGRSNISRRGRKATIREFYGVILPSLQRLQGNLGELDDDKEAGGSSAKKRVDLDNRHGNIDFEREDECGICLEPCTKMVLPNCCHAMCIKCYRNWNTKSESCPFCRGSLKRVNSEDLWVLTCNDDVVDNKTVSQEDLLRFYLYINSLPKDFPDALFLVYYEYLI >KJB50309 pep chromosome:Graimondii2_0_v6:8:43053968:43056163:-1 gene:B456_008G162800 transcript:KJB50309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVYNHLAPLFLFLLQWMDSSCICLLPRFLNLFHILVYKVYTDGRSNISRRGRKATIREFYGVILPSLQRLQGNLGELDDDKEAGGSSAKKRVDLDNRHGNIDFEREDECGICLEPCTKMVLPNCCHAMCIKCYRNWNTKSESCPFCRGSLKRVNSEDLWVLTCNDDVVDNKTVSQEDLLRFYLYINSLPKDFPDALFLVYYEYLI >KJB50311 pep chromosome:Graimondii2_0_v6:8:43054552:43055997:-1 gene:B456_008G162800 transcript:KJB50311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVYYQLSKSSYQDFLKILKADIQHANALAAAIPRAKSGAHLQMKLVYNHLAPLFLFLLQWMDSSCICLLPRFLNLFHILVYKVYTDGRSNISRRGRKATIREFYGVILPSLQRLQGNLGELDDDKEAGGSSAKKRVDLDNRHGNIDFEREDECGICLEPCTKMVLPNCCHAMCIKCYRNW >KJB50308 pep chromosome:Graimondii2_0_v6:8:43053968:43055510:-1 gene:B456_008G162800 transcript:KJB50308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVYNHLAPLFLFLLQWMDSSCICLLPRFLNLFHILVYKVYTDGRSNISRRGRKATIREFYGVILPSLQRLQGNLGELDDDKEAGGSSAKKRVDLDNRHGNIDFEREDECGICLEPCTKMVLPNCCHAMCIKCYRNWNTKSESCPFCRGSLKRVNSEDLWVLTCNDDVVDNKTVSQEDLLRFYLYINSLPKDFPDALFLVYYEYLI >KJB52820 pep chromosome:Graimondii2_0_v6:8:55643782:55647627:-1 gene:B456_008G279200 transcript:KJB52820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSFGCSASGERLVSAARDGDLEEAKMLLNCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGRWEVVQTLLLFRCNVTRADYLSGRTALHFAAVNGHVRCIRLVVADFVPSASFEAINTQIECERGDSGVKNKNDQSALSRFVDKAADGGITALHMAALNGYFDCVQLLLDLQANVSAVTFHFGTSVDLIGAGSTPLHYAACGGNLKCCQILLARGASRMTLNCNGWLPLDVARMWGRHWLEPLLAPNSDSTIPRFPLSNYLSLPLLSVLNIARECGLQSSTTSSDDVDTCAVCLERACSVAAEGCGHELCVRCALYLCSTSHIPSNMVAPTGSIPCPLCRHGILSFVKLPSSPIKEIKLQLSFGLCTPCMLHPRDADCPSPTSEIRKNRVSSVSSDIFCPVTCSPFPSVAIPLCTCNDSPCPSFEHRETTAETQEESPRRSQATSIEQDKLEGPRLERTTCSSMFWGRRSCSREHQCNSEINA >KJB52821 pep chromosome:Graimondii2_0_v6:8:55643787:55647484:-1 gene:B456_008G279200 transcript:KJB52821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSFGCSASGERLVSAARDGDLEEAKMLLNCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGRWEVVQTLLLFRCNVTRADYLSGRTALHFAAVNGHVRCIRLVVADFVPSASFEAINTQIECERGDSGVKNKNDQSALSRFVDKAADGGITALHMAALNGYFDCVQLLLDLQANVSAVTFHFGTSVDLIGAGSTPLHYAACGGNLKCCQILLARGASRMTLNCNGWLPLDVARMWGRHWLEPLLAPNSDSTIPRFPLSNYLSLPLLSVLNIARECGLQSSTTSSDDVDTCAVCLERACSVAAEDLGALCTREYPFYLLRFCSSGKMQVAKIASKRCGHELCVRCALYLCSTSHIPSNMVAPTGSIPCPLCRHGILSFVKLPSSPIKEIKLQLSFGLCTPCMLHPRDADCPSPTSEIRKNRVSSVSSDIFCPVTCSPFPSVAIPLCTCNDSPCPSFEHRETTAETQEESPRRSQATSIEQDKLEGPRLERTTCSSMFWGRRSCSREHQCNSEINA >KJB49778 pep chromosome:Graimondii2_0_v6:8:38778677:38780956:1 gene:B456_008G137700 transcript:KJB49778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIITPILPRKNPRHLSTLPTINLDNDINNCLQKTRFNEPQKLFHQTPIAGNIFSWNAMMKPNLGNGQTEHAQELFDEVSLKTRASWNTFLSSLNKRQNPEVVYKGFLEMGRVGFKPKESTISTLVSAVSETKFNVLVPQVHALVVCLGLNMSMFVGPVLMKWYSRMGDVEGLGRVFDEILVKNAACWNALVSGYMEVGYFKQARRVFDKMPERDIVSWTSLIDGYIRNKWVNKARSMFNKMNQKNVVSWTAMINGYVQNERFREALKLFVLMLRSDTRPNQFTFSNVLDACAGCSYLITGLQVHSCILKFGIPQDLVLSASLVDMYAKCRNIDAAFCVFESMQEKSLVSWNSLIGGYARQGLGRRALQEFDRMISTGINPSQVTMFNVLLACRGSGLVKEGERQFNSMDCKYGIQPGLEHYACMMEIYGKAGQLGKAETLIKGMILKFDVVLWAFRKIHGGTGKRSGVIEFRPSKEMKQRRNIAKQKVLSQIESPVEVK >KJB49779 pep chromosome:Graimondii2_0_v6:8:38778677:38780956:1 gene:B456_008G137700 transcript:KJB49779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIITPILPRKNPRHLSTLPTINLDNDINNCLQKTRFNEPQKLFHQTPIAGNIFSWNAMMKPNLGNGQTEHAQELFDEVSLKTRASWNTFLSSLNKRQNPEVVYKGFLEMGRVGFKPKESTISTLVSAVSETKFNVLVPQVHALVVCLGLNMSMFVGPVLMKWYSRMGDVEGLGRVFDEILVKNAACWNALVSGYMEVGYFKQARRVFDKMPERDIVSWTSLIDGYIRNKWVNKARSMFNKMNQKNVVSWTAMINGYVQNERFREALKLFVLMLRSDTRPNQFTFSNVLDACAGCSYLITGLQVHSCILKFGIPQDLVLSASLVDMYAKCRNIDAAFCVFESMQEKSLVSWNSLIGGYARQGLGRRALQEFDRMISTGINPSQVTMFNVLLACRGSGLVKEGERQFNSMDCKYGIQPGLEHYACMMEIYGKAGQLGKAETLIKGMILKFDVVLWVYAAFRKIHGGTGKRSGVIEFRPSKEMKQRRNIAKQKVLSQIESPVEVK >KJB47242 pep chromosome:Graimondii2_0_v6:8:1973567:1975516:-1 gene:B456_008G017600 transcript:KJB47242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQTIDVLKNELPLEQELVVLPEDVVTGLVLVDIINGFCTVGAGNLAPREPNRQISGMISESVRLARLFCDKKLPVMAFLDSHHPDKPEDPYPPHCIQGTDESNLVPALQWIQNETNVTIRRKDCYDGYLGSMEADGSNVFADWVKNNHIEAILVVGVCTDICVLDFVCSTLSVRNRGFLAPLKDVIVYSRACATFDVPLHIATNTKGALPHPQELMHHIGLYMAKERGAKIANEVLFGALK >KJB52350 pep chromosome:Graimondii2_0_v6:8:53918908:53922470:1 gene:B456_008G257500 transcript:KJB52350 gene_biotype:protein_coding transcript_biotype:protein_coding description:N6-adenosine-methyltransferase MT-A70-like [Source:Projected from Arabidopsis thaliana (AT4G10760) UniProtKB/Swiss-Prot;Acc:O82486] MESNSGGEDTVATIKSIRTQLETRIQDQHATHLDLLASLQTLDPNIVPTLDLSLRFVSAFNRRPFSPTPPLPTPKKISHPPQHPPNHSVPDPKQLALVKPEGGDKFADESGNPLSVMRAMVAECLLQRVPFKAIDSSTVLRKLENDENITTAEKAAMRELGGDSGAIVAVEMALRSMAEDNGGLEIEEFVVGGKSRVMVLSIDRTWLVRELPEEPQNHQKRERINNVNESENLKMNSNSNNEWLAPRQMSEIWMGGGDPGMMYPPGGPMAGPRGRGMGMMGRPPMAPNSGLLPSQRQSTEEDDLKDLEALLNKKSFKEMQKSKTGEEILNIINRPTARETAVAAKFKSKGGSQVREYCSALTKEDCRRQSGSFLACKKVHFKRIIAPHTDISLGDCSFLDTCRHMKTCKYVHYELDQTQDDLGPEKPLKPPRAEYCSEVELGEPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRNLNVPALQTDGLIFLWVTGRAMELGRECLEQWGYKRCEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEINKNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNTHAGWISLGNQLNGVRLVDEGLRARYKAAYPHVEVQPLSPPKASAMEIDSTSARSPFATESRSQFADPAAPDAGHAPEERAMAVDTDMTT >KJB47766 pep chromosome:Graimondii2_0_v6:8:5327032:5332963:1 gene:B456_008G041000 transcript:KJB47766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMREIMNTPAIQSLVNNPELMRTLIMSNPQMREIIDRNPELGHILNDPSILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNNGNSPGSNPFAALLGNQGGSQARDSPSNISVTGSESIQGQTSPNTNPLPNPWNNTVRGVTQTNTTARSNPAGDARTPGIGGLGGLGLPDMPPMLNGMPDASQMTQLLQNPAISQMMQSIMSNPQYMNQIMNLNPQLREMFDLNPQLREMMQNPEVLRQMFSPDTMQQMLSLQQSLMSQLNRQQTSQDSTQTSGTAGAPPTASLDLLMNMFGGLGAGGLSVPNQPDVPPEELYATQLSQLQEMGFYDTQENIRALRATAGNVHAAVERLLGNSGQ >KJB47764 pep chromosome:Graimondii2_0_v6:8:5327032:5330278:1 gene:B456_008G041000 transcript:KJB47764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDGDSSESRLGDNGGGEEEGVMVNIRCSNGSKFTVRTKLESTIGSFKVVLAQSCDIPADQQRLIYKGRILKDDQTLQSYGLQADHTIHMVRSFAPSSSAPPPVATTNVGTPNTTPGVTRGVGSNEGAGLGASIFPGLNPLGGNGGSFGLFGSGLPEFEQVQQQLTQNPNMMREIMNTPAIQSLVNNPELMRTLIMSNPQMREIIDRNPELGHILNDPSILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNNGNSPGSNPFAALLGNQGGSQARDSPSNISVTGSESIQGQTSPNTNPLPNPWNNTVRGVTQTNTTARSNPAGDARTPGIGGLGGLGLPDMPPMLNGMPDASQMTQLLQNPAISQMMQSIMSNPQYMNQVIEESLL >KJB47762 pep chromosome:Graimondii2_0_v6:8:5327025:5333015:1 gene:B456_008G041000 transcript:KJB47762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDGDSSESRLGDNGGGEEEGVMVNIRCSNGSKFTVRTKLESTIGSFKVVLAQSCDIPADQQRLIYKGRILKDDQTLQSYGLQADHTIHMVRSFAPSSSAPPPVATTNVGTPNTTPGVTRGVGSNEGAGLGASIFPGLNPLGGNGGSFGLFGSGLPEFEQVQQQLTQNPNMMREIMNTPAIQSLVNNPELMRTLIMSNPQMREIIDRNPELGHILNDPSILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNNGNSPGSNPFAALLGNQGGSQARDSPSNISVTGSESIQGQTSPNTNPLPNPWNNTVRGVTQTNTTARSNPAGDARTPGIGGLGGLGLPDMPPMLNGMPDASQMTQLLQNPAISQMMQSIMSNPQYMNQIMNLNPQLREMFDLNPQLREMMQNPEVLRQMFSPDTMQQMLSLQQSLMSQLNRQQTSQDSTQTSGTAGAPPTASLDLLMNMFGGLGAGGLSVPNQPDVPPEELYATQLSQLQEMGFYDTQENIRALRATAGNVHAAVERLLGNSGQ >KJB47765 pep chromosome:Graimondii2_0_v6:8:5327032:5332963:1 gene:B456_008G041000 transcript:KJB47765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDGDSSESRLGDNGGGEEEGVMVNIRCSNGSKFTVRTKLESTIGSFKVVLAQSCDIPADQQRLIYKGRILKDDQTLQSYGLQADHTIHMVRSFAPSSSAPPPVATTNVGTPNTTPGVTRGVGSNEGAGLGASIFPGLNPLGGNGGSFGLFGSGLPEFEQVQQQLTQNPNMMREIMNTPAIQSLVNNPELMRTLIMSNPQMREIIDRNPELGHILNDPSILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNNGNSPGSNPFAALLGNQGGSQARDSPSNISVTGSESIQGQTSPNTNPLPNPWNNTVRGVTQTNTTARSNPAGDARTPGIGGLGGLGLPDMPPMLNGMPDASQMTQLLQNPAISQMMQSIMSNPQYMNQIMNLNPQLREMFDLNPQLREMMQNPEVLRQMFSPDTMQQMLSLQQSLMSQLNRQQTSQDSTQTSGTAGAPPTASLDLLMNMFGGLGAGGLSVPNQPDG >KJB47763 pep chromosome:Graimondii2_0_v6:8:5327032:5329469:1 gene:B456_008G041000 transcript:KJB47763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDGDSSESRLGDNGGGEEEGVMVNIRCSNGSKFTVRTKLESTIGSFKVVLAQSCDIPADQQRLIYKGRILKDDQTLQSYGLQADHTIHMVRSFAPSSSAPPPVATTNVGTPNTTPGVTRGVGSNEGAGLGASIFPGLNPLGGNGGSFGLFGSGLPEFEQVQQQLTQNPNMMREIMNTPAIQSLVNNPELMRTLIMSNPQMREIIDRNPELGHILNDPSILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNNGNSPGSNPFAALLGNQGGSQARDSPSNISVTGSESIQGQTSPNTNPLPNPWNNTVRGGNFINTFFSV >KJB50207 pep chromosome:Graimondii2_0_v6:8:42429495:42432162:-1 gene:B456_008G159000 transcript:KJB50207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFERAVEWLRSFVYSNAWDFCVVWKLGDDPSRFIEWKDCCCSGGINVKVEQDKLQLQGPPLCRDAQFQHSIRSKACEALSHFPFAVSLYAGENRIHGEVAISSQPKWLNHANISTSLSSEETMGTQVLIPVFGGLIELFASKNIPKDQNIVELIRTECNALLKAETTTAESYRKANLHKWYLNTLQEKDLPFSMSLSTVNPRIQFIPSIGHPPFSSASAYISQDEQLKQPIGTYYGTKRLGCSQNVCAQQTEIGLVLDCKVNSVNDKMRTAKQPEKVNYHSKNLITERNRRKKIKDGLFKLRALVPKISKMDITAILTDAMEYIGNLQEEEKKLRNELKETEEGDCGKSNAELKSAKLDWLHRKNMSTIEENHIPSGISEMAKIEVHVEVNQITKREFWIKLCYKHKRSGFAKLMEGMDSLGLGVIDANITTFNGNVLNIFKVEANRDFESRQLRDLLTHLTN >KJB50212 pep chromosome:Graimondii2_0_v6:8:42430622:42432087:-1 gene:B456_008G159000 transcript:KJB50212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFERAVEWLRSFVYSNAWDFCVVWKLGDDPSRFIEWKDCCCSGGINVKVEQDKLQLQGPPLCRDAQFQHSIRSKACEALSHFPFAVSLYAGENRIHGEVAISSQPKWLNHANISTSLSSEETMGTQVLIPVFGGLIELFASKNIPKDQNIVELIRTECNALLKAETTTAESYRKANLHKWYLNTLQEKDLPFSMSLSTVNPRIQFIPSIGHPPFSSASAYISQDEQLKQPIGTYYGTKRLGCSQNVCAQQTEIGLVLDCKVNSVNDKMRTAKQPEKVNYHSKNLITERNRRKKIKDGLFKLRALVPKISKVGSQFP >KJB50213 pep chromosome:Graimondii2_0_v6:8:42430292:42432087:-1 gene:B456_008G159000 transcript:KJB50213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFERAVEWLRSFVYSNAWDFCVVWKLGDDPSRFIEWKDCCCSGGINVKVEQDKLQLQGPPLCRDAQFQHSIRSKACEALSHFPFAVSLYAGENRIHGEVAISSQPKWLNHANISTSLSSEETMGTQVLIPVFGGLIELFASKNIPKDQNIVELIRTECNALLKAETTTAESYRKANLHKWYLNTLQEKDLPFSMSLSTVNPRIQFIPSIGHPPFSSASAYISQDEQLKQPIGTYYGTKRLGCSQNVCAQQTEIGLVLDCKVNSVNDKMRTAKQPEKVNYHSKNLITERNRRKKIKDGLFKLRALVPKISKMDITAILTDAMEYIGNLQEEEKKLRNELKETEEGDCGKSNAELKSAKLDWLHRKNMSTIEENHIPSGISEMAKIEVDGCVAHRSNHQ >KJB50208 pep chromosome:Graimondii2_0_v6:8:42429410:42432617:-1 gene:B456_008G159000 transcript:KJB50208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFERAVEWLRSFVYSNAWDFCVVWKLGDDPSRFIEWKDCCCSGGINVKVEQDKLQLQGPPLCRDAQFQHSIRSKACEALSHFPFAVSLYAGENRIHGEVAISSQPKWLNHANISTSLSSEETMGTQVLIPVFGGLIELFASKNIPKDQNIVELIRTECNALLKAETTTAESYRKANLHKWYLNTLQEKDLPFSMSLSTVNPRIQFIPSIGHPPFSSASAYISQDEQLKQPIGTYYGTKRLGCSQNVCAQQTEIGLVLDCKVNSVNDKMRTAKQPEKVNYHSKNLITERNRRKKIKDGLFKLRALVPKISKMDITAILTDAMEYIGNLQEEEKKLRNELKETEEGDCGKSNAELKSAKLDWLHRKNMSTIEENHIPSGISEMAKIEVHVEVNQITKREFWIKLCYKHKRSGFAKLMEGMDSLGLGVIDANITTFNGNVLNIFKVEGFRVQAIERLVDPPNKLDKQRYTV >KJB50211 pep chromosome:Graimondii2_0_v6:8:42430622:42432087:-1 gene:B456_008G159000 transcript:KJB50211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFERAVEWLRSFVYSNAWDFCVVWKLGDDPSRFIEWKDCCCSGGINVKVEQDKLQLQGPPLCRDAQFQHSIRSKACEALSHFPFAVSLYAGENRIHGEVAISSQPKWLNHANISTSLSSEETMGTQVLIPVFGGLIELFASKNIPKDQNIVELIRTECNALLKAETTTAESYRKANLHKWYLNTLQEKDLPFSMSLSTVNPRIQFIPSIGHPPFSSASAYISQDEQLKQPIGTYYGTKRLGCSQNVCAQQTEIGLVLDCKVNSVNDKMRTAKQPEKVNYHSKNLITERNRRKKIKDGLFKLRALVPKISKVGSQFP >KJB50209 pep chromosome:Graimondii2_0_v6:8:42429429:42432617:-1 gene:B456_008G159000 transcript:KJB50209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFERAVEWLRSFVYSNAWDFCVVWKLGDDPSRFIEWKDCCCSGGINVKVEQDKLQLQGPPLCRDAQFQHSIRSKACEALSHFPFAVSLYAGENRIHGEVAISSQPKWLNHANISTSLSSEETMGTQVLIPVFGGLIELFASKNIPKDQNIVELIRTECNALLKAETTTAESYRKANLHKWYLNTLQEKDLPFSMSLSTVNPRIQFIPSIGHPPFSSASAYISQDEQLKQPIGTYYGTKRLGCSQNVCAQQTEIGLVLDCKVNSVNDKMRTAKQPEKVNYHSKNLITERNRRKKIKDGLFKLRALVPKISKEIYRRRKRNSGMSSRKPRKGTVERAMQN >KJB50214 pep chromosome:Graimondii2_0_v6:8:42429972:42432162:-1 gene:B456_008G159000 transcript:KJB50214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFERAVEWLRSFVYSNAWDFCVVWKLGDDPSRFIEWKDCCCSGGINVKVEQDKLQLQGPPLCRDAQFQHSIRSKACEALSHFPFAVSLYAGENRIHGEVAISSQPKWLNHANISTSLSSEETMGTQVLIPVFGGLIELFASKNIPKDQNIVELIRTECNALLKAETTTAESYRKANLHKWYLNTLQEKDLPFSMSLSTVNPRIQFIPSIGHPPFSSASAYISQDEQLKQPIGTYYGTKRLGCSQNVCAQQTEIGLVLDCKVNSVNDKMRTAKQPEKVNYHSKNLITERNRRKKIKDGLFKLRALVPKISKMDITAILTDAMEYIGNLQEEEKKLRNELKETEEGDCGKSNAELKSAKLDWLHRKNMSTIEENHIPSGISEMAKIEVHVEVNQITKREFWIKLCYKHKRSGFAKLMEGMDSLGLGVIDANITTFNGNVLNIFKVEVRLTEWLMSMEQYEFS >KJB50210 pep chromosome:Graimondii2_0_v6:8:42429436:42432617:-1 gene:B456_008G159000 transcript:KJB50210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFERAVEWLRSFVYSNAWDFCVVWKLGDDPSRFIEWKDCCCSGGINVKVEQDKLQLQGPPLCRDAQFQHSIRSKACEALSHFPFAVSLYAGENRIHGEVAISSQPKWLNHANISTSLSSEETMGTQVLIPVFGGLIELFASKNIPKDQNIVELIRTECNALLKAETTTAESYRKANLHKWYLNTLQEKDLPFSMSLSTVNPRIQFIPSIGHPPFSSASAYISQDEQLKQPIGTYYGTKRLGCSQNVCAQQTEIGLVLDCKVNSVNDKMRTAKQPEKVNYHSKNLITERNRRKKIKDGLFKLRALVPKISKEIYRRRKRNSGMSSRKPRKGTVERAMQN >KJB51779 pep chromosome:Graimondii2_0_v6:8:51747802:51750511:1 gene:B456_008G231300 transcript:KJB51779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATAAASSFALHTRMLSPPTSARIANPTRTLTSPPSTSLRASLSTNFLSPFPTVGSVSGDFSGLKLRPDSLYPASLCRSKPKRGVVTMVIPFSRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDEQKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGATGNRSALPSSTIMIRQPIARFQGQATDVDLARKEVKNVKTELVLYNERSSEDSGVVSDLKKAQLI >KJB51778 pep chromosome:Graimondii2_0_v6:8:51747802:51750511:1 gene:B456_008G231300 transcript:KJB51778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATAAASSFALHTRMLSPPTSARIANPTRTLTSPPSTSLRASLSTNFLSPFPTVGSVSGDFSGLKLRPDSLYPASLCRSKPKRGVVTMVIPFSRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDEQKPIYLYINSTGTTKVKLYAKHMGKSTEQIEEDIRRPKYFSPSEAVEYGIIDKVLYNERSSEDSGVVSDLKKAQLI >KJB51772 pep chromosome:Graimondii2_0_v6:8:51747802:51750505:1 gene:B456_008G231300 transcript:KJB51772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATAAASSFALHTRMLSPPTSARIANPTRTLTSPPSTSLRASLSTNFLSPFPTVGSVSGDFSGLKLRPDSLYPASLCRSKPKRGVVTMVIPFSRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDEQKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGATGNRSALPSSTIMIRQPIARFQGQATDVDLARKEVKNVKTELVKLYAKHMGKSTEQIEEDIRRPKYFSPSEAVEYGIIDKVLYNERSSEDSGVVSDLKKAQLI >KJB51769 pep chromosome:Graimondii2_0_v6:8:51747802:51750505:1 gene:B456_008G231300 transcript:KJB51769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATAAASSFALHTRMLSPPTSARIANPTRTLTSPPSTSLRASLSTNFLSPFPTVGSVSGDFSGLKLRPDSLYPASLCRSKPKRGVVTMVIPFSRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDEQKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGATGNRSALPSSTIMIRQPIARFQGQATDVDLARKEVKNVKTELVKLYAKHMGKSTEQIEEDIRRPKYFSPSEAVEYGIIDKVLYNERSSEDSGVVSDLKKAQLI >KJB51771 pep chromosome:Graimondii2_0_v6:8:51747846:51749498:1 gene:B456_008G231300 transcript:KJB51771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATAAASSFALHTRMLSPPTSARIANPTRTLTSPPSTSLRASLSTNFLSPFPTVGSVSGDFSGLKLRPDSLYPASLCRSKPKRGVVTMVIPFSRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDEQKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGATGNRSALPSSTIMIRQVGCWTVNL >KJB51774 pep chromosome:Graimondii2_0_v6:8:51747802:51750505:1 gene:B456_008G231300 transcript:KJB51774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATAAASSFALHTRMLSPPTSARIANPTRTLTSPPSTSLRASLSTNFLSPFPTVGSVSGDFSGLKLRPDSLYPASLCRSKPKRGVVTMVIPFSRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDEQKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGATGNRSALPSSTIMIRQPIARFQGQATDVDLARKEVKNVKTELVKLYAKHMGKSTEQIEEDIRRPKYFSPSEAVEYGIIDKVLILEVVICSVLIFLHFCTIFFFQFL >KJB51776 pep chromosome:Graimondii2_0_v6:8:51747802:51750094:1 gene:B456_008G231300 transcript:KJB51776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATAAASSFALHTRMLSPPTSARIANPTRTLTSPPSTSLRASLSTNFLSPFPTVGSVSGDFSGLKLRPDSLYPASLCRSKPKRGVVTMVIPFSRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDEQKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGATGNRSALPSSTIMIRQPIARFQGQATDVDLARKEVKNVKTELVRK >KJB51775 pep chromosome:Graimondii2_0_v6:8:51747802:51750505:1 gene:B456_008G231300 transcript:KJB51775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATAAASSFALHTRMLSPPTSARIANPTRTLTSPPSTSLRASLSTNFLSPFPTVGSVSGDFSGLKLRPDSLYPASLCRSKPKRGVVTMGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGATGNRSALPSSTIMIRQPIARFQGQATDVDLARKEVKNVKTELVKLYAKHMGKSTEQIEEDIRRPKYFSPSEAVEYGIIDKVLYNERSSEDSGVVSDLKKAQLI >KJB51773 pep chromosome:Graimondii2_0_v6:8:51747750:51750572:1 gene:B456_008G231300 transcript:KJB51773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATAAASSFALHTRMLSPPTSARIANPTRTLTSPPSTSLRASLSTNFLSPFPTVGSVSGDFSGLKLRPDSLYPASLCRSKPKRGVVTMVIPFSRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDEQKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGATGNRSALPSSTIMIRQPIARFQGQATDVDLARKEVKNVKTELVKLYAKHMGKSTEQIEEDIRRPKYFSPSEAVEYGIIDKVLYNERSSEDSGVVSDLKKAQLI >KJB51770 pep chromosome:Graimondii2_0_v6:8:51747794:51750508:1 gene:B456_008G231300 transcript:KJB51770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATAAASSFALHTRMLSPPTSARIANPTRTLTSPPSTSLRASLSTNFLSPFPTVGSVSGDFSGLKLRPDSLYPASLCRSKPKRGVVTMVIPFSRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDEQKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGATGNRSALPSSTIMIRQPIARFQGQATDVDLARKEVKNVKTELVKLYAKHMGKSTEQIEEDIRRPKYFSPSEAVEYGIIDKVLYNERSSEDSGVVSDLKKAQLI >KJB51777 pep chromosome:Graimondii2_0_v6:8:51747802:51750508:1 gene:B456_008G231300 transcript:KJB51777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATAAASSFALHTRMLSPPTSARIANPTRTLTSPPSTSLRASLSTNFLSPFPTVGSVSGDFSGLKLRPDSLYPASLCRSKPKRGVVTMVIPFSRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDEQKPIYLYINSTGTTKVKLYAKHMGKSTEQIEEDIRRPKYFSPSEAVEYGIIDKVLYNERSSEDSGVVSDLKKAQLI >KJB51734 pep chromosome:Graimondii2_0_v6:8:51641062:51644006:1 gene:B456_008G230100 transcript:KJB51734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLRSSTSFIKLKETNNFKTPDDFLGSISFAQMKPSCRIRARNSMSMQEAPLVHGKISTKETEKLYSLTISHAENNSKVPVYVMLPLDTITLGGSLNKPRAMNASLMALKSAGVEGVMVDAWWGLVEKDGPLNYNWEGYTELVKMVEKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNQDLVYTDRLGRRNPEYISLGCDSVPVLRGRTPIQAYTDYMRSFRERFRDYLGRVIVEIQVGMGPCGELRYPSYPESNGTWKFPGIGEFQCHDKYMRASLEAAAEAIGQKDWGKGGPHDSGHYKQVPEETGFFKRDGTWNTEYGQFFLEWYSRKLLEHGDRILAAAKGTFHGTGAKLSAKVAGIHWHYRTRSHAAELTAGYYNTRHHDGYLPIAQMFSKHGVVFNFTCMEMRDGEQPEYANCSPEGLVRQVKMATKIARVELAGENALERYDAGSYAQVLATSRSDSGNGLSAFTYLRMNKRLFEGDNWRHLVEFVKNMSEGGRKISECDSRGTNLYIGFIKDKTVEKKEVALV >KJB51735 pep chromosome:Graimondii2_0_v6:8:51641300:51644003:1 gene:B456_008G230100 transcript:KJB51735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAWWGLVEKDGPLNYNWEGYTELVKMVEKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNQDLVYTDRLGRRNPEYISLGCDSVPVLRGRTPIQAYTDYMRSFRERFRDYLGRVIVEIQVGMGPCGELRYPSYPESNGTWKFPGIGEFQCHDKYMRASLEAAAEAIGQKDWGKGGPHDSGHYKQVPEETGFFKRDGTWNTEYGQFFLEWYSRKLLEHGDRILAAAKGTFHGTGAKLSAKVAGIHWHYRTRSHAAELTAGYYNTRHHDGYLPIAQMFSKHGVVFNFTCMEMRDGEQPEYANCSPEGLVRQVKMATKIARVELAGENALERYDAGSYAQVLATSRSDSGNGLSAFTYLRMNKRLFEGDNWRHLVEFVKNMSEGGRKISECDSRGTNLYIGFIKDKTVEKKEVALV >KJB51736 pep chromosome:Graimondii2_0_v6:8:51641300:51644003:1 gene:B456_008G230100 transcript:KJB51736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLRSSTSFIKLKETNNFKTPDDFLGSISFAQMKPSCRIRARNSMSMQEAPLVHGKISTKETEKLYSLTISHAENNSKSAGVEGVMVDAWWGLVEKDGPLNYNWEGYTELVKMVEKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNQDLVYTDRLGRRNPEYISLGCDSVPVLRGRTPIQAYTDYMRSFRERFRDYLGRVIVEIQVGMGPCGELRYPSYPESNGTWKFPGIGEFQCHDKYMRASLEAAAEAIGQKDWGKGGPHDSGHYKQVPEETGFFKRDGTWNTEYGQFFLEWYSRKLLEHGDRILAAAKGTFHGTGAKLSAKVAGIHWHYRTRSHAAELTAGYYNTRHHDGYLPIAQMFSKHGVVFNFTCMEMRDGEQPEYANCSPEGLVRQVKMATKIARVELAGENALERYDAGSYAQVLATSRSDSGNGLSAFTYLRMNKRLFEGDNWRHLVEFVKNMSEGGRKISECDSRGTNLYIGFIKDKTVEKKEVALV >KJB50384 pep chromosome:Graimondii2_0_v6:8:43928819:43929691:-1 gene:B456_008G168100 transcript:KJB50384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGSIFSRKKKHHSPNSTASPAVPPSGLAFLQSPMPTPSRNFAQIQPQELEHIFKMFDANGDGKISSSELASIMGSLGQQPSDEEVQKMIKEFDADGDGFINFEEFVELNTKGVDSKEVLENLKDAFSVYDLDGNGSISAEELHKVLKSLGDDCSITECRKMISGVDNDGNGMIDFEEFKVMMLAGPRYDSMDS >KJB46774 pep chromosome:Graimondii2_0_v6:8:48757494:48758617:-1 gene:B456_008G202100 transcript:KJB46774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVRGDVCMDLGNGLANGQGKHPLLSFGVISDVQYADIPDGHTSLGVPRYYRHSILVLQRAVKNWNDHKNLNFVINFGDIIDGKCPKDQSLNAVKKVASEFENFHSPVYHMIGNHCLYSFPRDKLLPLLKIPNHGDGRTHAYYDFSPTPGYRFVVLDGYDIKNPNSDKYSPEGLKGVDRRFVKHNGAVGNEQMEWLDNVLKDATNMKQKVQQAKKMLLWNYDQVMDLIQRYDCVKVCLSGHNHQEGYSFDSRGVHHRVLNAASECPPGTNAFGYIDVYDKMLSLVGTDRVKSTGFCFDF >KJB46955 pep chromosome:Graimondii2_0_v6:8:6280486:6284247:-1 gene:B456_008G046500 transcript:KJB46955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTEMESFNNVKQWLNEIDRYANDSVCKLLVGNKCDLVENKVVDTQTAKAFADEIGIPFLETSAKDSINVEQAFLTMAGEIKKKMGNQPTGNKSAGTVQMKGQPIEQKNNCCG >KJB46953 pep chromosome:Graimondii2_0_v6:8:6281489:6284008:-1 gene:B456_008G046500 transcript:KJB46953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTEMESFNNVKQWLNEIDRYANDSVCKLLVGNKCDLVENKVVDTQTAKVCFVIFYVGTVIIS >KJB46954 pep chromosome:Graimondii2_0_v6:8:6280486:6284129:-1 gene:B456_008G046500 transcript:KJB46954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIICSSFYLSAIPPSENPACFSDLLMILTWIATSVPSVLISTVELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTEMESFNNVKQWLNEIDRYANDSVCKLLVGNKCDLVENKVVDTQTAKAFADEIGIPFLETSAKDSINVEQAFLTMAGEIKKKMGNQPTGNKSAGTVQMKGQPIEQKNNCCG >KJB49090 pep chromosome:Graimondii2_0_v6:8:29742580:29742711:-1 gene:B456_008G1006001 transcript:KJB49090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVLGMIQHVFCTGNLCIK >KJB49092 pep chromosome:Graimondii2_0_v6:8:29742580:29743304:-1 gene:B456_008G1006001 transcript:KJB49092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVLGMIQHVFCTGNLCIK >KJB49091 pep chromosome:Graimondii2_0_v6:8:29742580:29742711:-1 gene:B456_008G1006001 transcript:KJB49091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVLGMIQHVFCTGNLCIK >KJB49089 pep chromosome:Graimondii2_0_v6:8:29742580:29743280:-1 gene:B456_008G1006001 transcript:KJB49089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVLGMIQHVFCTGNLCIK >KJB49093 pep chromosome:Graimondii2_0_v6:8:29742580:29742711:-1 gene:B456_008G1006001 transcript:KJB49093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVLGMIQHVFCTGNLCIK >KJB50854 pep chromosome:Graimondii2_0_v6:8:47248926:47251322:-1 gene:B456_008G190000 transcript:KJB50854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLNLKHKLGDKWISTLASIWIQCTSGSLYTFSIYSPILKSTQRYDQSTLDTVSVFKDIGANCGVLSGFLYTFATPSNRRNAYFGGPCLVLAAGAIQCFMGYFLIWASVVGLIPRPPVVGMCFFVLLAAHAQTFFNTANVVTAVRNFPDYSGTAVGIMKGFVGLSGAILIQFYETIFHNKPTSYLLILALLPTINSLLLMWFVRIYDTKEQEEKKLLNAISCVALLLAAYLMAVIIVDHLFTFQLLVRIATFVVLLLLLSSPLCIVPSLRAREKDSSVIHQSLISEGQRSDQEMEVHDTRDSLEEDNLNLLQAMASVYFWILFFGMACGMGSGLATVNNLGQIGESLGYSNFETNTLVSLWSIWNFLGRFGAGYVSDHFLYVKGWARPLFMVLTLSSMSVGHAVIASGMPGALYAGSILVGVCYGSQWSLMPTIASEIFGVKHMGTIFNAITIASPVGSYIFSVRVVGFIYDKEAWGSGCSGTHCFMLSFLIMASATLLGSLAALALFFHTKNFYNQVILRRLLHSLRE >KJB49560 pep chromosome:Graimondii2_0_v6:8:36477647:36487461:1 gene:B456_008G125200 transcript:KJB49560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFLERNKFANLPYGFRANTWLVPPIAAQSPSNFPPLPTEDETWGGNGGGLGRDGKNDLIPWANEFSFIASMPCKTAEERQIRDRKAFLLHSLFVDVAIFRSIKAVKHVTEKLSPSSINNDEPLYSKRVGDLNIMVMKDASNASCKVDTKIDGIQAIGDQKNLLERNLLKGITADENTAAHDISTLGVLNVRYCGYIATVKVERRENQQSSHPSQSIELEQPEGGANALNINSLRLLLHKTKPSEPNKPASPSKILEHEEPSSSQISVEGLLEESIAKLEEEELKQEPFVRWELGACWIQHLQDQKNTEKDKKPSRERPKNEMKVEGLGTPLRSLKNKKKTDGNVGSVNSNSHPGAVEKVTGAPMESTLQTNSRDGELVLKSKLSEEAFARLKESDTGLHCKSLQELIDLSQKYYTEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHVVQAVIATVDNSDKMAESIASALNLLLGVPDNGELHKSCRIHSLVWKWLQLFLMKRYEWDISNLDFNEIRKFAILRGLCHKVGIELVPRDFDMDSPTPFRPSDVVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALSKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALQYVKRTLYLLHLTCGMSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTKKPDASIASKGHLSVSDLLDYINPNHDAKGKGIVAGKRRSFVMKVKGKVHPERSEDSPKEAAKEDSDEEKQFPEQEDKPDANQQTSTVTLQPHAPVAEETTEAKINVDNHIHSELHAEGDDGWQHVQRPRASASHGGRRLKQRRATIGKVFSYQKKNVDPHVEFPLVKATNQNSRFYLLKKRTISHGAYTEQHTMSPSQGSKFGRGIIRTVAYRVKSTPSSKSSAKVSGNGGEVLNSSGGSASTFAPNDLRPTKNSLVSLGKSPSYKEVALAPPGSISKLHIRPETDCHDSQEAPDHNAEHHQDEINEAKDSFDQVTTGTENISKEKNENALLDSTDSSKEEIDAVETKETRSPSVMEDNSSLVVTEREEGQELEVGGDEAREVIQDGIYINCMPSSIDSPEKEFSEKDLSPSFEPHSISSSALQGVEELKEKPLVLTSGNGQGLTNRKLSASAAPFNPSTPIPRAAPCPVNIVLPPGPGPVPAIAPWPVNMPIHPASPPVLPNPACSSPHHPFPSPPPTPNMMQHLPFMYPPYSQPQPVPTSTFPLTSSPFHPSQFSWQCNVGPSVPEFIPGTVWPGHPMEFPIPSRIVEPITDQILEPKIQGDDSNPTSASMLPVDIETIGEAKEEVNISASEAINSSNEVSRAGLESLQENGHLNHCLDNSGNKPSQYNSPNKNAGGSVERKSDDEKTFSILVRGRRNRKQTLRMPISLLSRPYGSQSFKLIYNRVIRGSEVPKTTGLYLSDNCTASATAT >KJB49559 pep chromosome:Graimondii2_0_v6:8:36472941:36488748:1 gene:B456_008G125200 transcript:KJB49559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRNSRGKAKGEKKKKEDKLLPLAMDITVHLPDETHVILKGISTDKILDVRRLLSVKTETCHVTNFSLSHEVRGPRLKDAVDVSALKPCVLTLTEEDYDEDGAVAHVRRVLDIVACTTCFGPSATAKDQIKPDASKNAPAAGEKGGVAAKKTAASTNKESLSKSPAKDVPVYVDGEISHSRPKLGSFYEFFSLSHLTPPLQFIRRTAKRHVEEIATDDHLFSLEVKLCNGKLVHVEACRKGFYSVGKQQILCHNLVDLLRQLSRAFDNAYADLMKAFLERNKFANLPYGFRANTWLVPPIAAQSPSNFPPLPTEDETWGGNGGGLGRDGKNDLIPWANEFSFIASMPCKTAEERQIRDRKAFLLHSLFVDVAIFRSIKAVKHVTEKLSPSSINNDEPLYSKRVGDLNIMVMKDASNASCKVDTKIDGIQAIGDQKNLLERNLLKGITADENTAAHDISTLGVLNVRYCGYIATVKVERRENQQSSHPSQSIELEQPEGGANALNINSLRLLLHKTKPSEPNKPASPSKILEHEEPSSSQISVEGLLEESIAKLEEEELKQEPFVRWELGACWIQHLQDQKNTEKDKKPSRERPKNEMKVEGLGTPLRSLKNKKKTDGNVGSVNSNSHPGAVEKVTGAPMESTLQTNSRDGELVLKSKLSEEAFARLKESDTGLHCKSLQELIDLSQKYYTEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHVVQAVIATVDNSDKMAESIASALNLLLGVPDNGELHKSCRIHSLVWKWLQLFLMKRYEWDISNLDFNEIRKFAILRGLCHKVGIELVPRDFDMDSPTPFRPSDVVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALSKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALQYVKRTLYLLHLTCGMSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTKKPDASIASKGHLSVSDLLDYINPNHDAKGKGIVAGKRRSFVMKVKGKVHPERSEDSPKEAAKEDSDEEKQFPEQEDKPDANQQTSTVTLQPHAPVAEETTEAKINVDNHIHSELHAEGDDGWQHVQRPRASASHGGRRLKQRRATIGKVFSYQKKNVDPHVEFPLVKATNQNSRFYLLKKRTISHGAYTEQHTMSPSQGSKFGRGIIRTVAYRVKSTPSSKSSAKVSGNGGEVLNSSGGSASTFAPNDLRPTKNSLVSLGKSPSYKEVALAPPGSISKLHIRPETDCHDSQEAPDHNAEHHQDEINEAKDSFDQVTTGTENISKEKNENALLDSTDSSKEEIDAVETKETRSPSVMEDNSSLVVTEREEGQELEVGGDEAREVIQDGIYINCMPSSIDSPEKEFSEKDLSPSFEPHSISSSALQGVEELKEKPLVLTSGNGQGLTNRKLSASAAPFNPSTPIPRAAPCPVNIVLPPGPGPVPAIAPWPVNMPIHPASPPVLPNPACSSPHHPFPSPPPTPNMMQHLPFMYPPYSQPQPVPTSTFPLTSSPFHPSQFSWQCNVGPSVPEFIPGTVWPGHPMEFPIPSRIVEPITDQILEPKIQGDDSNPTSASMLPVDIETIGEAKEEVNISASEAINSSNEVSRAGLESLQENGHLNHCLDNSGNKPSQYNSPNKNAGGSVERKSDDEKTFSILVRGRRNRKQTLRMPISLLSRPYGSQSFKLIYNRVIRGSEVPKTTGLYLSDNCTASATAT >KJB51027 pep chromosome:Graimondii2_0_v6:8:48267082:48276107:1 gene:B456_008G198100 transcript:KJB51027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LEO1 homolog [Source:Projected from Arabidopsis thaliana (AT5G61150) UniProtKB/Swiss-Prot;Acc:Q9FNQ0] MGEEKRHEMMQNLFGDQSEEDEEIDSEHESNPHPNYASDEAEGAMEPEGEGEGEAEVEGHGEAEVESDGDLRDLEPDPGESEGERVQSSQEVDIGDQREESEAKETDSDEKEDYGQRVVTSRRREVIESGSERSEENHYHDNEDEEVDQTRSLSKSPEEEKDPAHLSHSAAEIRDVFGASDEEEEEAEYAVRHDMEQEEIRSPMEEEGSYGKSPRLEDMVHDEDARYESDDEHVEVKQKEKPVGPPLELEVPFRPAPAHPTKYESNARFVRWSDGSLQLLIGNEVLDISVQEAQHDQSHLFLRHGKGILQSQGRILSKMRFMPSSLSSNSHRLLTALVDSHHKKVYKVKNCITDVDPEREKEEKEKAENQTIRANVLLNRKREKVNRKYTQTVERKRQLSTGYLEGALDEDDEMDYNDSRRSRRRFEEDLEVEARAEKRIMNAKKSQGYRDVPRKSSVSDVKSSRRPISFSESDREESEYETEGEEDERSAHNRIEDEEPEYEESEEEEEEERYEEADANANRASEEEEEAEEPRQKVKESVGSSKRKGIESDEDSPPRKAPTHRRMAVVYDSDEE >KJB51030 pep chromosome:Graimondii2_0_v6:8:48267082:48276204:1 gene:B456_008G198100 transcript:KJB51030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LEO1 homolog [Source:Projected from Arabidopsis thaliana (AT5G61150) UniProtKB/Swiss-Prot;Acc:Q9FNQ0] MGEEKRHEMMQNLFGDQSEEDEEIDSEHESNPHPNYASDEAEGAMEPEGEGEGEAEVEGHGEAEVESDGDLRDLEPDPGESEGERVQSSQEVDIGDQREESEAKETDSDEKEDYGQRVVTSRRREVIESGSERSEENHYHDNEDEEVDQTRSLSKSPEEEKDPAHLSHSAAEIRDVFGASDEEEEEAEYAVRHDMEQEEIRSPMEEEGSYGKSPRLEDMVHDEDARYESDDEHVEVKQKEKPVGPPLELEVPFRPAPAHPTKMNMIKVSNIMGIDPKPFDPKTYVEEDTFVTDESGSKKRIRLENNIVRWRTVRKKDGTTSYESNARFVRWSDGSLQLLIGNEVLDISVQEAQHDQSHLFLRHGKGILQSQGRILSKMRFMPSSLSSNSHRLLTALVDSHHKKVYKVKNCITDVDPEREKEEKEKAENQTIRANVLLNRKREKVNRKYTQTVERKRQLSTGYLEGALDEDDEMDYNDSRRSRRRFEEDLEVEARAEKRIMNAKKSQGYRDVPRKSSVSDVKSSRRPISFSESDREESEYETEGEEDERSAHNRIEDEEPEYEESEEEEEEERYEEADANANRASEEEEEAEEPRQKVKESVGSSKRKGIESDEDSPPRKAPTHRRMAVVYDSDEE >KJB51029 pep chromosome:Graimondii2_0_v6:8:48267082:48276121:1 gene:B456_008G198100 transcript:KJB51029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LEO1 homolog [Source:Projected from Arabidopsis thaliana (AT5G61150) UniProtKB/Swiss-Prot;Acc:Q9FNQ0] MGEEKRHEMMQNLFGDQSEEDEEIDSEHESNPHPNYASDEAEGAMEPEGEGEGEAEVEGHGEAEVESDGDLRDLEPDPGESEGERVQSSQEVDIGDQREESEAKETDSDEKEDYGQRVVTSRRREVIESGSERSEENHYHDNEDEEVDQTRSLSKSPEEEKDPAHLSHSAAEIRDVFGASDEEEEEAEYAVRHDMEQEEIRSPMEEEGSYGKSPRLEDMVHDEDARYESDDEHVEVKQKEKPVGPPLELEVPFRPAPAHPTKMNMIKVSNIMGIDPKPFDPKTYVEEDTFVTDESGSKKRIRLENNIVRWRTVRKKDGTTSYESNARFVRWSDGSLQLLIGNEVLDISVQEAQHDQSHLFLRHGKGILQSQGRILSKMRFMPSSLSSNSHRLLTALVDSHHKKVYKVKNCITDVDPEREKEEKEKAENQTIRANVLLNRKREKVNRKYTQTVERKRQLSTGYLEGALDEDDEMDYNDSRRSRRRFEEDLEVEARAEKRIMNAKKSQGYRDVPRKSSVSDVKSSRRPISFSESDREESEYETEGEEDERSAHNRIEDEEPEYEESEEEEEEERYEEADANANRASEEEEEAEAKSEGVCWQQ >KJB51031 pep chromosome:Graimondii2_0_v6:8:48268805:48276107:1 gene:B456_008G198100 transcript:KJB51031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LEO1 homolog [Source:Projected from Arabidopsis thaliana (AT5G61150) UniProtKB/Swiss-Prot;Acc:Q9FNQ0] MEEEGSYGKSPRLEDMVHDEDARYESDDEHVEVKQKEKPVGPPLELEVPFRPAPAHPTKMNMIKVSNIMGIDPKPFDPKTYVEEDTFVTDESGSKKRIRLENNIVRWRTVRKKDGTTSYESNARFVRWSDGSLQLLIGNEVLDISVQEAQHDQSHLFLRHGKGILQSQGRILSKMRFMPSSLSSNSHRLLTALVDSHHKKVYKVKNCITDVDPEREKEEKEKAENQTIRANVLLNRKREKVNRKYTQTVERKRQLSTGYLEGALDEDDEMDYNDSRRSRRRFEEDLEVEARAEKRIMNAKKSQGYRDVPRKSSVSDVKSSRRPISFSESDREESEYETEGEEDERSAHNRIEDEEPEYEESEEEEEEERYEEADANANRASEEEEEAEEPRQKVKESVGSSKRKGIESDEDSPPRKAPTHRRMAVVYDSDEE >KJB51028 pep chromosome:Graimondii2_0_v6:8:48267082:48276107:1 gene:B456_008G198100 transcript:KJB51028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LEO1 homolog [Source:Projected from Arabidopsis thaliana (AT5G61150) UniProtKB/Swiss-Prot;Acc:Q9FNQ0] MGEEKRHEMMQNLFGDQSEEDEEIDSEHESNPHPNYASDEAEGAMEPEGEGEGEAEVEGHGEAEVESDGDLRDLEPDPGESEGERVQSSQEVDIGDQREESEAKETDSDEKEDYGQRVVTSRRREVIESGSERSEENHYHDNEDEEVDQTRSLSKSPEEEKDPAHLSHSAAEIRDVFGASDEEEEEAEYAVRHDMEQEEIRSPMEEEGSYGKSPRLEDMVHDEDARYESDDEHVEVKQKEKPVGPPLELEVPFRPAPAHPTKMNMIKVSNIMGIDPKPFDPKTYVEEDTFVTDESGSKKRIRLENNIVRWRTVRKKDGTTSYESNARFVRWSDGSLQLLIGNEVLDISVQEAQHDQSHLFLRHGKGILQSQGRILSKMRFMPSSLSSNSHRLLTALVDSHHKKVYKVKNCITDVDPEREKEEKEKAENQTIRANVLLNRKREKVNRKYTQTVERKRQLSTGYLEGALDEDDEMDYNDSRRSRRRFEEDLEVEARAEKRIMNAKKGYRDVPRKSSVSDVKSSRRPISFSESDREESEYETEGEEDERSAHNRIEDEEPEYEESEEEEEEERYEEADANANRASEEEEEAEEPRQKVKESVGSSKRKGIESDEDSPPRKAPTHRRMAVVYDSDEE >KJB52898 pep chromosome:Graimondii2_0_v6:8:55902284:55906923:-1 gene:B456_008G282800 transcript:KJB52898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLALSDHKHKKEDKKMKNKLALKNPETDKKVKKLKDPKVKEDENDLEVKKSKKKRKASELQLEEDERSETSSEIVEPVDVKVKVKVKVTEKKKKKNKEKLEKDDEDKGKSEDPNLVSRFRISEAVRKKLKSKGIESLFPIQALTFDVILDGTDLVGRARTGQGKTLAFVLPILESLTNGTVKASRKNGYGRAPSVLVLLPTRELAKQVFEDFEVYGEVVGLTSCCLYGGAPYHTQEMKMKKGVDIVIGTPGRIKDHIERGNIHLGSLKFRVLDEADEMLRMGFVDDVELILGKVKDASKVQTLLFSATLPDWVKGIAARFLKTSKKTVDLVGKEKMKASTSVKHIVLPCSKSARSQLIPDIIRCYSSGRTIIFTETKDSASELAGLLPGSRALHGDIQQAQREVTLNGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPKRSSISKIERESGVKFEHISAPQPVDVAKSAGVEAAKIIAEVSDSVIPAFKSIAQELLETSGLSAEDLLAKALAKAAGYSEIKSRSLLTSMENHVTLLLEAGKPIYTLSFVFGVLKRFLPEEKVHSVQGLTLTADGMGAVFDVAEDDVGTFLAGAENANNVRLETLKKKLPRLQERDQSRGRFGGGRGDRSGGGGSCGGGKFSGRRGGRGGFSDRVNDRFSGGGRGRYSSKKW >KJB52899 pep chromosome:Graimondii2_0_v6:8:55902440:55906923:-1 gene:B456_008G282800 transcript:KJB52899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLALSDHKHKKEDKKMKNKLALKNPETDKKVKKLKDPKVKEDENDLEVKKSKKKRKASELQLEEDERSETSSEIVEPVDVKVKVKVKVTEKKKKKNKEKLEKDDEDKGKSEDPNLVSRFRISEAVRKKLKSKGIESLFPIQALTFDVILDGTDLVGRARTGQGKTLAFVLPILESLTNGTVKASRKNGYGRAPSVLVLLPTRELAKQVFEDFEVYGEVVGLTSCCLYGGAPYHTQEMKMKKGVDIVIGTPGRIKDHIERGNIHLGSLKFRVLDEADEMLRMGFVDDVELILGKVKDASKVQTLLFSATLPDWVKGIAARFLKTSKKTVDLVGKEKMKASTSVKHIVLPCSKSARSQLIPDIIRCYSSSGRTIIFTETKDSASELAGLLPGSRALHGDIQQAQREVTLNGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPKRSSISKIERESGVKFEHISAPQPVDVAKSAGVEAAKIIAEVSDSVIPAFKSIAQELLETSGLSAEDLLAKALAKAAGYSEIKSRSLLTSMENHVTLLLEAGKPIYTLSFVFGVLKRFLPEEKVHSVQGLTLTADGMGAVFDVAEDDVGTFLAGAENANNVRLETLKKKLPRLQERDQSRGRFGGGRGDRSGGGGSCGGGKFSGRRGGRGGFSDRVNDRFSGGGRGRYSSKKW >KJB52872 pep chromosome:Graimondii2_0_v6:8:55764925:55767160:1 gene:B456_008G281000 transcript:KJB52872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFISVSFKFSLSPQTRLPCRKSFISRSSPGLLNIRAVQENGGPRRLVDIIRLVPDISRNYFRSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVICVLLTEYVTRFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >KJB48068 pep chromosome:Graimondii2_0_v6:8:7371578:7375184:-1 gene:B456_008G052300 transcript:KJB48068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLQSHSRFLLQTLLNRIQNLEKCIELDHHWVEFDDVRYHVQVSMKNPHILLLSLSLPTPPLETVFVGGLPFGAIEAIKAAYGVIVQILDPPRDGFNLTLKLNLSKLPQDEDQRHTLLVKIASVREVVLGAPLRVILKHLASRTVAPDIDQFVALVHRPNESFFLVPQAEKVTVVFPMRFKDSIDTVLATSFLQEFMESRRTAGLSNAPPCLWSQTPPLELKGVTDDALSANAGFVTFVILPRHVEGRKLDRTVWNLSTFHAYVNYHVKVSFILFNFLCSISALKFEKQLSQELVT >KJB48061 pep chromosome:Graimondii2_0_v6:8:7368252:7375214:-1 gene:B456_008G052300 transcript:KJB48061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLQSHSRFLLQTLLNRIQNLEKCIELDHHWVEFDDVRYHVQVSMKNPHILLLSLSLPTPPLETVFVGGLPFGAIEAIKAAYGVIVQILDPPRDGFNLTLKLNLSKLPQDEDQRHTLLVKIASVREVVLGAPLRVILKHLASRTVAPDIDQFVALVHRPNESFFLVPQAEKVTVVFPMRFKDSIDTVLATSFLQEFMESRRTAGLSNAPPCLWSQTPPLELKGVTDDALSANAGFVTFVILPRHVEGRKLDRTVWNLSTFHAYVNYHVKCSEGFMHSRMRRRVESLIQALNCAKPDQEKTKKTPQTRSFKRLNLKDSRTNSSSS >KJB48064 pep chromosome:Graimondii2_0_v6:8:7368255:7375035:-1 gene:B456_008G052300 transcript:KJB48064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLQSHSRFLLQTLLNRIQNSCMYLMFERHVGNSSRVSLEKCIELDHHWVEFDDVRYHVQVSMKNPHILLLSLSLPTPPLETVFVGGLPFGAIEAIKAAYGVIVQILDPPRDGFNLTLKLNLSKLPQDEDQRHTLLVKIASVREVVLGAPLRVILKHLASRTVAPDIDQFVALVHRPNESFFLVPQAEKVTVVFPMRFKDSIDTVLATSFLQEFMESRRTAGLSNAPPCLWSQTPPLELKGVTDDALSANAGFVTFVILPRHVEGRKLDRTVWNLSTFHAYVNYHVKCSEGFMHSRMRRRVESLIQALNCAKPDQEKTKKTPQTRSFKRLNLKDSRTNSSSS >KJB48065 pep chromosome:Graimondii2_0_v6:8:7371855:7375025:-1 gene:B456_008G052300 transcript:KJB48065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLQSHSRFLLQTLLNRIQNLEKCIELDHHWVEFDDVRYHVQVSMKNPHILLLSLSLPTPPLETVFVGGLPFGAIEAIKAAYGVIVQILDPPRDGFNLTLKLNLSKLPQDEDQRHTLLVKIASVREVVLGAPLRVILKHLASRTVAPDIDQFVALVHRPNESFFLVPQAEKVTVVFPMRFKDSIDTVLATSFLQEFMESRRTAGLSNAPPCLWSQTPPLELKGVTDDALSANAGFVTFGNIRRLEFCFFPLVVLLPI >KJB48069 pep chromosome:Graimondii2_0_v6:8:7371855:7375025:-1 gene:B456_008G052300 transcript:KJB48069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLQSHSRFLLQTLLNRIQNLEKCIELDHHWVEFDDVRYHVQVSMKNPHILLLSLSLPTPPLETVFVGGLPFGAIEAIKAAYGVIVQILDPPRDGFNLTLKLNLSKLPQDEDQRHTLLVKIASVREVVLGAPLRVILKHLASRTVAPDIDQFVALVHRPNESFFLVPQAEKVTVVFPMRFKDSIDTVLATSFLQEFMESRRTAGLSNAPPCLWSQTPPLELKGVTDDALSANAGFVTFGNIRRLEFCFFPLVVLLPI >KJB48063 pep chromosome:Graimondii2_0_v6:8:7368255:7375184:-1 gene:B456_008G052300 transcript:KJB48063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPHILLLSLSLPTPPLETVFVGGLPFGAIEAIKAAYGVIVQILDPPRDGFNLTLKLNLSKLPQDEDQRHTLLVKIASVREVVLGAPLRVILKHLASRTVAPDIDQFVALVHRPNESFFLVPQAEKVTVVFPMRFKDSIDTVLATSFLQEFMESRRTAGLSNAPPCLWSQTPPLELKGVTDDALSANAGFVTFVILPRHVEGRKLDRTVWNLSTFHAYVNYHVKCSEGFMHSRMRRRVESLIQALNCAKPDQEKTKKTPQTRSFKRLNLKDSRTNSSSS >KJB48066 pep chromosome:Graimondii2_0_v6:8:7368255:7375184:-1 gene:B456_008G052300 transcript:KJB48066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLQSHSRFLLQTLLNRIQNLEKCIELDHHWVEFDDVRYHVQVSMKNPHILLLSLSLPTPPLETVFVGGLPFGAIEAIKAAYGVIVQILDPPRDGFNLTLKLNLSKLPQDEDQRHTLLVKIASVREVVLGAPLRVILKHLASRTVAPDIDQFVALVHRPNESFFLVPQQAEKVTVVFPMRFKDSIDTVLATSFLQEFMESRRTAGLSNAPPCLWSQTPPLELKGVTDDALSANAGFVTFVILPRHVEGRKLDRTVWNLSTFHAYVNYHVKCSEGFMHSRMRRRVESLIQALNCAKPDQEKTKKTPQTRSFKRLNLKDSRTNSSSS >KJB48062 pep chromosome:Graimondii2_0_v6:8:7368255:7375035:-1 gene:B456_008G052300 transcript:KJB48062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPHILLLSLSLPTPPLETVFVGGLPFGAIEAIKAAYGVIVQILDPPRDGFNLTLKLNLSKLPQDEDQRHTLLVKIASVREVVLGAPLRVILKHLASRTVAPDIDQFVALVHRPNESFFLVPQAEKVTVVFPMRFKDSIDTVLATSFLQEFMESRRTAGLSNAPPCLWSQTPPLELKGVTDDALSANAGFVTFVILPRHVEGRKLDRTVWNLSTFHAYVNYHVKCSEGFMHSRMRRRVESLIQALNCAKPDQEKTKKTPQTRSFKRLNLKDSRTNSSSS >KJB48067 pep chromosome:Graimondii2_0_v6:8:7368255:7375199:-1 gene:B456_008G052300 transcript:KJB48067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLQSHSRFLLQTLLNRIQNLEKCIELDHHWVEFDDVRYHVQVSMKNPHILLLSLSLPTPPLETVFVGGLPFGAIEAIKAAYGVIVQILDPPRDGFNLTLKLNLSKLPQDEDQRHTLLVKIASVREVVLGAPLRVILKHLASRTVAPDIDQFVALVHRPNESFFLVPQAEKVTVVFPMRFKDSIDTVLATSFLQEFMESRRTAGLSNAPPCLWSQTPPLELKGVTDDALSANAGFVTFVILPRHVEGRKLDRTVWNLSTFHAYVNYHVKELKRSIMHLCIFNCSEGFMHSRMRRRVESLIQALNCAKPDQEKTKKTPQTRSFKRLNLKDSRTNSSSS >KJB50625 pep chromosome:Graimondii2_0_v6:8:45588078:45590454:1 gene:B456_008G179400 transcript:KJB50625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVARLLNLTLVVPELDKTSFWADPSDFGDIFDVSHFIDSLRDEVRIIKRLPKKFSRKYGFQAFRMSPVSWSNEKYYLEQILPLFSKHKVVHFNRTDTRLANNGIQLDLQKLRCRVNFQGLKFTPEIETLGYKLVRILQDKGPFVALHLRYEMDMLAFSGCTHGCTVEEAEELKRLRYAYPWWREKEIMSEERRQQGLCPLTPEEATLVLQALGFDKDTQIYIASGEIFGSERRLASLRAAFPHIVKKETILDPAELPQFQNHSSQMAALDFMVSVASNTFIPTYYGNMAKVVEGHRRYLGFKRSILPDRKKLVELLDLHQNGTLPWNDFALAVRQVHEKRMGQPFRRRIIPDKPKEEDYFYANPEECLCEGTKCEDLVGPSNSSTLH >KJB50623 pep chromosome:Graimondii2_0_v6:8:45586065:45590756:1 gene:B456_008G179400 transcript:KJB50623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSENNIQVRCDKIPCQLIPRTRLQVWFFRVCSSILLWTCLIQLLAVCELWHPRLLTGLTNRIPWTSRPPLRLQHSLHSPPPLPPPRNYRSNGFLKVSCNGGLNQMRAAICDMVIVARLLNLTLVVPELDKTSFWADPSDFGDIFDVSHFIDSLRDEVRIIKRLPKKFSRKYGFQAFRMSPVSWSNEKYYLEQILPLFSKHKVVHFNRTDTRLANNGIQLDLQKLRCRVNFQGLKFTPEIETLGYKLVRILQDKGPFVALHLRYEMDMLAFSGCTHGCTVEEAEELKRLRYAYPWWREKEIMSEERRQQGLCPLTPEEATLVLQALGFDKDTQIYIASGEIFGSERRLASLRAAFPHIVRF >KJB50624 pep chromosome:Graimondii2_0_v6:8:45586065:45590756:1 gene:B456_008G179400 transcript:KJB50624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSENNIQVRCDKIPCQLIPRTRLQVWFFRVCSSILLWTCLIQLLAVCELWHPRLLTGLTNRIPWTSRPPLRLQHSLHSPPPLPPPRNYRSNGFLKVSCNGGLNQMRAANLIRHHSGRTLGIFIILERDEVRIIKRLPKKFSRKYGFQAFRMSPVSWSNEKYYLEQILPLFSKHKVVHFNRTDTRLANNGIQLDLQKLRCRVNFQGLKFTPEIETLGYKLVRILQDKGPFVALHLRYEMDMLAFSGCTHGCTVEEAEELKRLRYAYPWWREKEIMSEERRQQGLCPLTPEEATLVLQALGFDKDTQIYIASGEIFGSERRLASLRAAFPHIVKKETILDPAELPQFQNHSSQMAALDFMVSVASNTFIPTYYGNMAKVVEGHRRYLGFKRSILPDRKKLVELLDLHQNGTLPWNDFALAVRQVHEKRMGQPFRRRIIPDKPKEEDYFYANPEECLCEGTKCEDLVGPSNSSTLH >KJB50621 pep chromosome:Graimondii2_0_v6:8:45586065:45590756:1 gene:B456_008G179400 transcript:KJB50621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSENNIQVRCDKIPCQLIPRTRLQVWFFRVCSSILLWTCLIQLLAVCELWHPRLLTGLTNRIPWTSRPPLRLQHSLHSPPPLPPPRNYRSNGFLKVSCNGGLNQMRAAICDMVIVARLLNLTLVVPELDKTSFWADPSDFGDIFDVSHFIDSLRDEVRIIKRLPKKFSRKYGFQAFRMSPVSWSNEKYYLEQILPLFSKHKVVHFNRTDTRLANNGIQLDLQKLRCRVNFQGLKFTPEIETLGYKLVRILQDKGPFVALHLRYEMDMLAFSGCTHGCTVEEAEELKRLRYAYPWWREKEIMSEERRQQGLCPLTPEEATLVLQALGFDKDTQIYIASGEIFGSERRLASLRAAFPHIVKKETILDPAELPQFQNHSSQMAALDFMVSVASNTFIPTYYGNMAKVVEGHRRYLGFKRSILPDRKKLVELLDLHQNGTLPWNDFALAVRQVHEKRMGQPFRRRIIPDKPKEEDYFYANPEECLCEGTKCEDLVGPSNSSTLH >KJB50626 pep chromosome:Graimondii2_0_v6:8:45587533:45590763:1 gene:B456_008G179400 transcript:KJB50626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAICDMVIVARLLNLTLVVPELDKTSFWADPSDFGDIFDVSHFIDSLRDEVRIIKRLPKKFSRKYGFQAFRMSPVSWSNEKYYLEQILPLFSKHKVVHFNRTDTRLANNGIQLDLQKLRCRVNFQGLKFTPEIETLGYKLVRILQDKGPFVALHLRYEMDMLAFSGCTHGCTVEEAEELKRLRYAYPWWREKEIMSEERRQQGLCPLTPEEATLVLQALGFDKDTQIYIASGEIFGSERRLASLRAAFPHIVKKETILDPAELPQFQNHSSQMAALDFMVSVASNTFIPTYYGNMAKVVEGHRRYLGFKRSILPDRKKLVELLDLHQNGTLPWNDFALAVRQVHEKRMGQPFRRRIIPDKPKEEDYFYANPEECLCEGTKCEDLVGPSNSSTLH >KJB50622 pep chromosome:Graimondii2_0_v6:8:45586001:45590763:1 gene:B456_008G179400 transcript:KJB50622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSENNIQVRCDKIPCQLIPRTRLQVWFFRVCSSILLWTCLIQLLAVCELWHPRLLTGLTNRIPWTSRPPLRLQHSLHSPPPLPPPRNYRSNGFLKVSCNGGLNQMRAAICDMVIVARLLNLTLVVPELDKTSFWADPSDFGDIFDVSHFIDSLRDEVRIIKRLPKKFSRKYGFQAFRMSPVSWSNEKYYLEQILPLFSKHKVVHFNRTDTRLANNGIQLDLQKLRCRVNFQGLKFTPEIETLGYKLVRILQDKGPFVALHLRYEMDMLAFSGCTHGCTVEEAEELKRLRYAYPWWREKEIMSEERRQQGLCPLTPEEATLVLQALGFDKDTQIYIASGEIFGSERRLASLRAAFPHIVKKETILDPAELPQFQNHSSQMAALDFMVSVASNTFIPTYYGNMAKVVEGHRRYLGFKRSILPDRKKLVELLDLHQNGTLPWNDFALAVRQVHEKRMGQPFRRRIIPDKPKEEDYFYANPEECLCEGTKCEDLQSGSGLNSQTHPFIQHMHLKEFCKKRQLKIPPAPLCFVLFLPLTC >KJB50768 pep chromosome:Graimondii2_0_v6:8:46771143:46773319:1 gene:B456_008G186800 transcript:KJB50768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSSNSYNPCPSTIHAMPGNSISADQHPADSSLEDHPPPFFNFPASFFDDDDDDGLLMSHLLSQAQQQILGSSSNTAPPDSEINAAPPIKETKKVPPNRKRSSSNGAKQGIPRKRTGKKDRHSKIYTAHGPRDRRMRLSLQIARKFFDLQDMLGFDKASKTIEWLFSKSKAAIKELTENLPALKHRCSEGGKSVSSTSESEVVSAAKECQDNMGDQQGIIASGEAMRSTTTARVTKERKSRKVSFNHIVARESRDKARARARERTREKMRMRDLEKTNKCSDESNPNELEELQSSSPLEIGENSGPSTETNYSLKVVTEKPHRNTADSDSIEHQMDFVSTVEKFLGITRSSSMFNYSNNIADSREENSAENCPVFSGNWGMNNERIHYSYCAMTNIKDSTGITQEQNPSIIFMTDPNEQEQKFSSSFMSNSNTQAENSTTNLIANSYAKMMNHNSDLTNPSNAHEGRNPTSILMSSSHIGLHSDYHENPAVASKFHHFYL >KJB53246 pep chromosome:Graimondii2_0_v6:8:57072363:57078672:1 gene:B456_008G298400 transcript:KJB53246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGSKAGDWAFKGLTAGLGLATIYLTATFSVNVYRGLAWHNSQSVIS >KJB53247 pep chromosome:Graimondii2_0_v6:8:57072363:57078672:1 gene:B456_008G298400 transcript:KJB53247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGSKAGDWAFKGLTAGLGLATIYLTATFSVNVYRGLAWHNSQSLESSP >KJB53245 pep chromosome:Graimondii2_0_v6:8:57072296:57078672:1 gene:B456_008G298400 transcript:KJB53245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGSKAGDWAFKGLTAGLGLATIYLTATFSVNVYRGLAWHNSQSKIEKQGSNEQSS >KJB47579 pep chromosome:Graimondii2_0_v6:8:3838394:3841324:1 gene:B456_008G031800 transcript:KJB47579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSLLFLYLIFFHGFSPLVESSYLDYADALSKSILFFEGQRSGFLPQDQRIGWRGNSGLSDGWMYNTDLTGGYYDAGDNVKFGFPMAFTTTMLAWSVIEFGDLMPPNELRNALVAIRWATDYLLKTVSQPNRLFVQVGDPNIDHSCWERPEDMDTARSVYAVDAPNPASDVASETAAALAASSMAFRSVDAGYADTLLRNAVQVFQFADNFRGAYSDNAHIRDGACPFYCDFSGYQDELLWGAAWLRRASQDNSYLSYLENNGKTLGADDNINEFGWDNKHAGLNVLVSKEVLDGNMYTLESYKASADSFMCTLIPDSSSSHIEYTPGGLIYKPGGSNLQHATTISFILLVYAKYLDRTSQTVNCGNEFVSPVLLRMQAKKQVDYILGENPMGLSYMVGYSNYFPQRIHHRGSSLPSVKDHPGFIACKDGSIYFNSTNPNPNVLVGAIVGGPGEDDVYGDDRADFRKSEPTTYINAPFVGALAYFAANPNPS >KJB48176 pep chromosome:Graimondii2_0_v6:8:8077809:8084894:1 gene:B456_008G055000 transcript:KJB48176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCTCFSFPSSPPIFSFYSNSINRFSSSSSLLFSSASHNCSVKLSPLLFAKSTRFRTNCKAKDPEVVDCVGTGLDVECLVSQNEKQDLEIEDVEKAESNILLEWAVLVSPFFFWGTAMVAMKEVLPKAGPFFVASFRLIPAGLLLVAFANSKGKPLPSGLTAWLSIALFALVDAACFQGFLAQGLQRTSAGLGSVIIDSQPLTVAVLASFLFNESIGLVGAAGLVLGVVGLLLLEVPALSLDDSNFSLWGSGEWWMLLAAQSMAVGTVMVRWVSKYSDPIMATGWHMIIGGLPLLGISILNHDPVFSGSFQELSASDLLALFYTSIFGSAISYGVFFYSATKGELDEAQLPHLLDAYVRFNFWVFVLWRDLLTLATGWCHCHHSCHIHG >KJB48173 pep chromosome:Graimondii2_0_v6:8:8077809:8082945:1 gene:B456_008G055000 transcript:KJB48173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCTCFSFPSSPPIFSFYSNSINRFSSSSSLLFSSASHNCSVKLSPLLFAKSTRFRTNCKAKDPEVVDCVGTGLDVECLVSQNEKQDLEIEDVEKAESNILLEWAVLVSPFFFWGTAMVAMKEVLPKAGPFFVASFRLIPAGLLLVAFANSKGKPLPSGLTAWLSIALFALVDAACFQGFLAQGLQRTSAGLGSVIIDSQPLTVAVLASFLFNESIGLVGAAGLVLGVVGLLLLEVPALSLDDSNFSLWGSGEWWMLLAAQSMAVGTVMVRWVSKYSDPIMATGWVGLPIFSIISLLLISDSY >KJB48168 pep chromosome:Graimondii2_0_v6:8:8077775:8085026:1 gene:B456_008G055000 transcript:KJB48168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMKEVLPKAGPFFVASFRLIPAGLLLVAFANSKGKPLPSGLTAWLSIALFALVDAACFQGFLAQGLQRTSAGLGSVIIDSQPLTVAVLASFLFNESIGLVGAAGLVLGVVGLLLLEVPALSLDDSNFSLWGSGEWWMLLAAQSMAVGTVMVRWVSKYSDPIMATGWHMIIGGLPLLGISILNHDPVFSGSFQELSASDLLALFYTSIFGSAISYGVFFYSATKGSLTKLSSLTFLTPMFASIFGFLYFGETFSPLQLVGAIVTIVAIYMVNFRGKPS >KJB48175 pep chromosome:Graimondii2_0_v6:8:8077809:8084980:1 gene:B456_008G055000 transcript:KJB48175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCTCFSFPSSPPIFSFYSNSINRFSSSSSLLFSSASHNCSVKLSPLLFAKSTRFRTNCKAKDPEVVDCVGTGLDVECLVSQNEKQDLEIEDVEKAESNILLEWAVLVSPFFFWGTAMVAMKEVLPKAGPFFVASFRLIPAGLLLVAFANSKGKPLPSGLTAWLSIALFALVDAACFQVIIDSQPLTVAVLASFLFNESIGLVGAAGLVLGVVGLLLLEVPALSLDDSNFSLWGSGEWWMLLAAQSMAVGTVMVRWVSKYSDPIMATGWHMIIGGLPLLGISILNHDPVFSGSFQELSASDLLALFYTSIFGSAISYGVFFYSATKGSLTKLSSLTFLTPMFASIFGFLYFGETFSPLQLVGAIVTIVAIYMVNFRGKPS >KJB48174 pep chromosome:Graimondii2_0_v6:8:8077809:8082945:1 gene:B456_008G055000 transcript:KJB48174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCTCFSFPSSPPIFSFYSNSINRFSSSSSLLFSSASHNCSVKLSPLLFAKSTRFRTNCKAKDPEVVDCVGTGLDVECLVSQNEKQDLEIEDVEKAESNILLEWAVLVSPFFFWGTAMVAMKEVLPKAGPFFVASFRLIPAGLLLVAFANSKGKPLPSGLTAWLSIALFALVDAACFQVIIDSQPLTVAVLASFLFNESIGLVGAAGLVLGVVGLLLLEVPALSLDDSNFSLWGSGEWWMLLAAQSMAVGTVMVRWVSKYSDPIMATGWVGLPIFSIISLLLISDSY >KJB48171 pep chromosome:Graimondii2_0_v6:8:8077809:8079411:1 gene:B456_008G055000 transcript:KJB48171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCTCFSFPSSPPIFSFYSNSINRFSSSSSLLFSSASHNCSVKLSPLLFAKSTRFRTNCKAKDPEVVDCVGTGLDVECLVSQNEKQDLEIEDVEKAESNILLEWAVLVSPFFFWGTAMVAMKEVLPKAGPFFVASFRLIPAGLLLVAFANSKGKPLPSGLTAWLSIALFALVDAACFQVIIDSQPLTVAVLASFLFNESIGLVGAAGLVLGVVGLLLLEVNHKPHIYYHSPSYAFSDIY >KJB48170 pep chromosome:Graimondii2_0_v6:8:8077809:8079411:1 gene:B456_008G055000 transcript:KJB48170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCTCFSFPSSPPIFSFYSNSINRFSSSSSLLFSSASHNCSVKLSPLLFAKSTRFRTNCKAKDPEVVDCVGTGLDVECLVSQNEKQDLEIEDVEKAESNILLEWAVLVSPFFFWGTAMVAMKEVLPKAGPFFVASFRLIPAGLLLVAFANSKGKPLPSGLTAWLSIALFALVDAACFQGFLAQGLQRTSAGLGSVIIDSQPLTVAVLASFLFNESIGLVGAAGLVLGVVGLLLLEVNHKPHIYYHSPSYAFSDIY >KJB48172 pep chromosome:Graimondii2_0_v6:8:8077831:8078445:1 gene:B456_008G055000 transcript:KJB48172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCTCFSFPSSPPIFSFYSNSINRFSSSSSLLFSSASHNCSVKLSPLLFAKSTRFRTNCKAKDPEVVDCVGTGLDVECLVSQNEKQDLEIEDVEKAESNILLEWAVLVSPFFFWGTAMVAMKEVLPKAGPFFVASFRLIPAGLLLVAFANSKGKPLPSGLTAWLSIALFALVDAACFQVFVFFFPLIYYFSSKAGKPLYHSVC >KJB48169 pep chromosome:Graimondii2_0_v6:8:8077775:8085026:1 gene:B456_008G055000 transcript:KJB48169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCTCFSFPSSPPIFSFYSNSINRFSSSSSLLFSSASHNCSVKLSPLLFAKSTRFRTNCKAKDPEVVDCVGTGLDVECLVSQNEKQDLEIEDVEKAESNILLEWAVLVSPFFFWGTAMVAMKEVLPKAGPFFVASFRLIPAGLLLVAFANSKGKPLPSGLTAWLSIALFALVDAACFQGFLAQGLQRTSAGLGSVIIDSQPLTVAVLASFLFNESIGLVGAAGLVLGVVGLLLLEVPALSLDDSNFSLWGSGEWWMLLAAQSMAVGTVMVRWVSKYSDPIMATGWHMIIGGLPLLGISILNHDPVFSGSFQELSASDLLALFYTSIFGSAISYGVFFYSATKGSLTKLSSLTFLTPMFASIFGFLYFGETFSPLQLVGAIVTIVAIYMVNFRGKPS >KJB48177 pep chromosome:Graimondii2_0_v6:8:8077831:8084284:1 gene:B456_008G055000 transcript:KJB48177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCTCFSFPSSPPIFSFYSNSINRFSSSSSLLFSSASHNCSVKLSPLLFAKSTRFRTNCKAKDPEVVDCVGTGLDVECLVSQNEKQDLEIEDVEKAESNILLEWAVLVSPFFFWGTAMVAMKEVLPKAGPFFVASFRLIPAGLLLVAFANSKGKPLPSGLTAWLSIALFALVDAACFQGFLAQGLQRTSAGLGSVIIDSQPLTVAVLASFLFNESIGLVGAAGLVLGVVGLLLLEVPALSLDDSNFSLWGSGEWWMLLAAQSMAVGTVMVRWVSKYSDPIMATGWHMIIGGLPLLGISILNHDPVFSGSFQELSASDLLALFYTSIFGSAISYGVFFYSATKGSLTKLSSLTFLTPMFASIFG >KJB50568 pep chromosome:Graimondii2_0_v6:8:45542838:45544388:1 gene:B456_008G178700 transcript:KJB50568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEDHHFESKADAGASKTFPQQAGTIRKNGHIVIKSRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKVEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTESGNTKDDLRLPTDEFLLTQIKTGFAEGKDLIVTVMSAMGEEQICALKDIGPK >KJB50331 pep chromosome:Graimondii2_0_v6:8:43288437:43290998:1 gene:B456_008G164300 transcript:KJB50331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVVDPNSGFCSKTMTFHSLRSPVSLPPESAPISFTDFFFFMLQSSPPSPTAVVLIDATTRRRILHTELIFRFENLVTSLRTHFGLSKGDCAVVFTPNNIFTPILYLSLLSIGVVISPVNPAATAPEIHDLIRHSKPVIAFASSDSAHKIPLLKHGVVAIDSVEFESLMESPSEKTENRGIKVNQSDVATILYSSGTTGGIKGVALTHRNLTATIAGGMRPVRSSPTVVLCTVPFFHVYGLVFSLRSMVSGDCMVITGGSRSFGLRKMYGVIYEYRVSLLALAPSLIVKMVNDTAIMDGYDLSSLEAVLCGGAHLSKSMIQRLRKRLPKVRLAQAYGLTETTGRVFSTMVPDETQAEGATGKLMPNCEAKIVDPETGAALPPAKSGELWVRGPVIMRGYVDNEEATVGTLDSDGWLRTGDLCYINNEGFLFFVDRIKELIKCKGYQVAPAELEHLLNSHPDVVESAVVPYVTFTSRYSHLTFQMD >KJB50329 pep chromosome:Graimondii2_0_v6:8:43288369:43290998:1 gene:B456_008G164300 transcript:KJB50329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVVDPNSGFCSKTMTFHSLRSPVSLPPESAPISFTDFFFFMLQSSPPSPTAVVLIDATTRRRILHTELIFRFENLVTSLRTHFGLSKGDCAVVFTPNNIFTPILYLSLLSIGVVISPVNPAATAPEIHDLIRHSKPVIAFASSDSAHKIPLLKHGVVAIDSVEFESLMESPSEKTENRGIKVNQSDVATILYSSGTTGGIKGVALTHRNLTATIAGGMRPVRSSPTVVLCTVPFFHVYGLVFSLRSMVSGDCMVITGGSRSFGLRKMYGVIYEYRVSLLALAPSLIVKMVNDTAIMDGYDLSSLEAVLCGGAHLSKSMIQRLRKRLPKVRLAQAYGLTETTGRVFSTMVPDETQAEGATGKLMPNCEAKIVDPETGAALPPAKSGELWVRGPVIMRGYVDNEEATVGTLDSDGWLRTGDLCYINNEGFLFFVDRIKELIKCKGYQVAPAELEHLLNSHPDVVESAVVPLSDEEAGQVPVAFVVRQSGSNIDESKLKHFVAQQVSPYKRIRRIMFIDSLPRNASGKVMRKELVIKLSSATSKL >KJB50330 pep chromosome:Graimondii2_0_v6:8:43288437:43290597:1 gene:B456_008G164300 transcript:KJB50330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVVDPNSGFCSKTMTFHSLRSPVSLPPESAPISFTDFFFFMLQSSPPSPTAVVLIDATTRRRILHTELIFRFENLVTSLRTHFGLSKGDCAVVFTPNNIFTPILYLSLLSIGVVISPVNPAATAPEIHDLIRHSKPVIAFASSDSAHKIPLLKHGVVAIDSVEFESLMESPSEKTENRGIKVNQSDVATILYSSGTTGGIKGVALTHRNLTATIAGGMRPVRSSPTVVLCTVPFFHVYGLVFSLRSMVSGDCMVITGGSRSFGLRKMYGVIYEYRVSLLALAPSLIVKMVNDTAIMDGYDLSSLEAVLCGGAHLSKSMIQRLRKRLPKVRLAQAYGLTETTGRVFSTMVPDETQAEGATGKLMPNCEAKIVDPETGAALPPAKSGELWVRGPVIMRGYVDNEEATVGTLDSDGWLRTGDLCYINNEGFLFFVDRIKELIKCKGYQVAPAELEHLLNSHPDVVESAVVPLSDEEAGQVPVAFVVRQSGSNIDESKLKHFVAQQACVASN >KJB49990 pep chromosome:Graimondii2_0_v6:8:40267148:40267950:-1 gene:B456_008G148600 transcript:KJB49990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QSSANKTPAQHLPPELFDSFQSLRLKTSPRMLSSTMSSLQGYYGLKATEKKNTSEGFEMAVYRKGEAHHLEDGPFLKPSSASNPPWSFSGNIMSAVEAKDGGPDQANEKLVRRRQKSEADLTARTPERLLKEDSTNGGGYSTIIAKGLALRTKAVNRTKSGADAEFAGFNPTPTGLGDGFVVAGFSTVRKSSSTSSLQDQDRSSSSLSSLWPAASKWSLKPDLQGVSTVGNTIAIFHGLPKPMTARKSKAALD >KJB47552 pep chromosome:Graimondii2_0_v6:8:3779687:3782063:-1 gene:B456_008G031500 transcript:KJB47552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREANPSELQKLVVENILAFNETFWIRLAARSDTCKSDDDKKDYEELATAVMSIVDRIVHKTHEKIDSATDVLKEILEPVVNEEEETPWPPKDPEALKTMEKKVFQMEQEGKLDEGFLAEVSAQLRQAKEDADKPGLQAMLQKVLQLYASTVLSKRSYVKKGNEVLKAEQFLETVIKAPEQEWNKLLIDGLAVGKGEVSAEDFYAVIKKRVERTLIRTEGGSYQQRILTEYLKGIESRAEEVVQFLQGNTA >KJB47559 pep chromosome:Graimondii2_0_v6:8:3779558:3783116:-1 gene:B456_008G031500 transcript:KJB47559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFTLPRPTLSFYFPKYRKVFNCNGLQSPFLKDWHLGLSRKERFEPFTSTASIELRRRRRTLICAVNQDAEKAFKKTVEVDRLIDMLREANPSELQKLVVENILAFNETFWIRLAARSDTCKSDDDKKDYEELATAVMSIVDRIVHKTHEKIDSATDVLKEILEPVVNEEEETPWPPKDPEALKTMEKKVFQMEQEGKLDEGFLAEVSAQLRQAKEDADKPGLQAMLQKVLQLYASTVLSKRSYVKKGNEVLKAEQFLETVIKAPEQEWNKLLIDGLAVGKGEVSAEDFYAVIKKRVERTLIRTEGGSYQQRILTEYLKGIESRAEEVVQFLQGNTA >KJB47561 pep chromosome:Graimondii2_0_v6:8:3779235:3783116:-1 gene:B456_008G031500 transcript:KJB47561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFTLPRPTLSFYFPKYRKVFNCNGLQSPFLKDWHLGLSRKERFEPFTSTASIELRRRRRTLICAVNQDAEKAFKKTVEVDRLIDMLREANPSELQKLVVENILAFNETFWIRLAARSDTCKSDDDKKDYEELATAVMSIVDRIVHKTHEKIDSATDVLKEILEPVVNEEEETPWPPKDPEALKTMEKKVFQMEQEGKLDEGFLAEVSAQLRQAKEDADKPGLQAMLQKVLQLYASTVLSKRSYVKKGNEVLKAEQFLETVIKAPEQEWNKLLIDGLAVGKGEVSAEDFYAVIKKRVERTLIRTEGGSYQQRILTEYLKGIESRAEEVVQFLQGNTA >KJB47556 pep chromosome:Graimondii2_0_v6:8:3779235:3783116:-1 gene:B456_008G031500 transcript:KJB47556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFTLPRPTLSFYFPKYRKVFNCNGLQSPFLKDWHLGLSRKERFEPFTSTASIELRRRRRTLICAVNQDAEKAFKKTVEVDRLIDMLREANPSELQKLVVENILAFNETFWIRLAARSDTCKSDDDKKDYEELATAVMSIVDRIVHKTHEKIDSATDVLKEILEPVVNEEEETPWPPKDPEALKTMEKKVFQMEQEGKLDEGFLAEVSAQLRQAKEDADKPGLQAMLQKVLQLYASTVLSKRSYVKKGNEVLKAEQFLETVIKAPEQEWNKLLIDGLAVGKGEVSAEDFYAVIKKRVERTLIRTEGGSYQQRILTEYLKGIESRAEEVVQFLQGNTA >KJB47551 pep chromosome:Graimondii2_0_v6:8:3779687:3782063:-1 gene:B456_008G031500 transcript:KJB47551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREANPSELQKLVVENILAFNETFWIRLAARSDTCKSDDDKKDYEELATAVMSIVDRIVHKTHEKIDSATDVLKEILEPVVNEEEETPWPPKDPEALKTMEKKVFQMEQEGKLDEGFLAEVSAQLRQAKEDADKPGLQAMLQKVLQLYASTVLSKRSYVKKGNEVLKAEQFLETVIKAPEQEWNKLLIDGLAVGKGEVSAEDFYAVIKKRVERTLIRTEGGSYQQRILTEYLKGIESRAEEVVQFLQGNTA >KJB47553 pep chromosome:Graimondii2_0_v6:8:3779235:3782455:-1 gene:B456_008G031500 transcript:KJB47553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREANPSELQKLVVENILAFNETFWIRLAARSDTCKSDDDKKDYEELATAVMSIVDRIVHKTHEKIDSATDVLKEILEPVVNEEEETPWPPKDPEALKTMEKKVFQMEQEGKLDEGFLAEVSAQLRQAKEDADKPGLQAMLQKVLQLYASTVLSKRSYVKKGNEVLKAEQFLETVIKAPEQEWNKLLIDGLAVGKGEVSAEDFYAVIKKRVERTLIRTEGGSYQQRILTEYLKGIESRAEEVVQFLQGNTA >KJB47558 pep chromosome:Graimondii2_0_v6:8:3780062:3783029:-1 gene:B456_008G031500 transcript:KJB47558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFTLPRPTLSFYFPKYRKVFNCNGLQSPFLKDWHLGLSRKERFEPFTSTASIELRRRRRTLICAVNQDAEKAFKKTVEVDRLIDMLREANPSELQKLVVENILAFNETFWIRLAARSDTCKSDDDKKDYEELATAVMSIVDRIVHKTHEKIDSATDVLKEILEPVVNEEEETPWPPKDPEALKTMEKKVFQMEQEGKLDEGFLAEVSAQLRQAKEDADKPGLQAMLQKVLQLYASTVLSKRSYVKKGNEVLKAEQFLETVIKGFLILFSIIHLMT >KJB47554 pep chromosome:Graimondii2_0_v6:8:3779687:3783029:-1 gene:B456_008G031500 transcript:KJB47554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFTLPRPTLSFYFPKYRKVFNCNGLQSPFLKDWHLGLSRKERFEPFTSTASIELRRRRRTLICAVNQDAEKAFKKTVEVDRLIDMLREANPSELQKLVVENILAFNETFWIRLAARSDTCKSDDDKKDYEELATAVMSIVDRIVHKTHEKIDSATDVLKEILEPVVNEEEETPWPPKDPEALKTMEKKVFQMEQEGKLDEGFLAEVSAQLRQAKEDADKPGLQAMLQKVLQLYASTVLSKRSYVKKGNEVLKAEQFLETVIKAPEQEWNKLLIDGLAVGKGEVSAEDFYAVIKKRVERTLIRTEGGSYQQRILTEYLKGIESRAEEVVQFLQGNTA >KJB47557 pep chromosome:Graimondii2_0_v6:8:3779235:3783116:-1 gene:B456_008G031500 transcript:KJB47557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFTLPRPTLSFYFPKYRKVFNCNGLQSPFLKDWHLGLSRKERFEPFTSTASIELRRRRRTLICAVNQDAEKAFKKTVEVDRLIDMLREANPSELQKLVVENILAFNETFWIRLAARSDTCKSDDDKKDYEELATAVMSIVDRIVHKTHEKIDSATDVLKEILEPVVNEEEETPWPPKDPEALKTMEKKVFQMEQEGKLDEGFLAEVSAQLRQAKEDADKPGLQAMLQKVLQLYASTVLSKRSYVKKGNEVLKAEQFLETVIKAPEQEWNKLLIDGLAVGKGEVSAEDFYAVIKKRVERTLIRTEGGSYQQRILTEYLKGIESRAEEVVQFLQGNTA >KJB47550 pep chromosome:Graimondii2_0_v6:8:3779178:3782482:-1 gene:B456_008G031500 transcript:KJB47550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREANPSELQKLVVENILAFNETFWIRLAARSDTCKSDDDKKDYEELATAVMSIVDRIVHKTHEKIDSATDVLKEILEPVVNEEEETPWPPKDPEALKTMEKKVFQMEQEGKLDEGFLAEVSAQLRQAKEDADKPGLQAMLQKVLQLYASTVLSKRSYVKKGNEVLKAEQFLETVIKAPEQEWNKLLIDGLAVGKGEVSAEDFYAVIKKRVERTLIRTEGGSYQQRILTEYLKGIESRAEEVVQFLQGNTA >KJB47549 pep chromosome:Graimondii2_0_v6:8:3779178:3782482:-1 gene:B456_008G031500 transcript:KJB47549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREANPSELQKLVVENILAFNETFWIRLAARSDTCKSDDDKKDYEELATAVMSIVDRIVHKTHEKIDSATDVLKEILEPVVNEEEETPWPPKDPEALKTMEKKVFQMEQEGKLDEGFLAEVSAQLRQAKEDADKPGLQAMLQKVLQLYASTVLSKRSYVKKGNEVLKAEQFLETVIKAPEQEWNKLLIDGLAVGKGEVSAEDFYAVIKKRVERTLIRTEGGSYQQRILTEYLKGIESRAEEVVQFLQGNTA >KJB47560 pep chromosome:Graimondii2_0_v6:8:3779687:3783029:-1 gene:B456_008G031500 transcript:KJB47560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFTLPRPTLSFYFPKYRKVFNCNGLQSPFLKDWHLGLSRKERFEPFTSTASIELRRRRRTLICAVNQDAEKAFKKTVEVDRLIDMLREANPSELQKLVVENILAFNETFWIRLAARSDTCKSDDDKKDYEELATAVMSIVDRIVHKTHEKIDSATDVLKEILEPVVNEEEETPWPPKDPEALKTMEKKVFQMEQEGKLDEGFLAEVSAQLRQAKEDADKPGLQAMLQKVLQLYASTVLSKRSYVKKGNEVLKAEQFLETVIKAPEQEWNKLLIDGLAVGKGEVSAEDFYAVIKKRVERTLIRTEGGSYQQRILTEYLKGIESRAEEVVQFLQGNTA >KJB47555 pep chromosome:Graimondii2_0_v6:8:3779235:3783116:-1 gene:B456_008G031500 transcript:KJB47555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFTLPRPTLSFYFPKYRKVFNCNGLQSPFLKDWHLGLSRKERFEPFTSTASIELRRRRRTLICAVNQDAEKAFKKTVEVDRLIDMLREANPSELQKLVVENILAFNETFWIRLAARSDTCKSDDDKKDYEELATAVMSIVDRIVHKTHEKIDSATDVLKEILEPVVNEEEETPWPPKDPEALKTMEKKVFQMEQEGKLDEGFLAEVSAQLRQAKEDADKPGLQAMLQKVLQLYASTVLSKRSYVKKGNEVLKAEQFLETVIKAPEQEWNKLLIDGLAVGKGEVSAEDFYAVIKKRVERTLIRTEGGSYQQRILTEYLKGIESRAEEVVQFLQGNTA >KJB47104 pep chromosome:Graimondii2_0_v6:8:1289679:1290091:1 gene:B456_008G011400 transcript:KJB47104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIWGSFNNAIFPDYFGDEFGLPLPPPQSSIMKIGATATQPSELGNTGVTKAALKDTNNGLMRCLRMAEKKGALAYAPIFDGLYCFETLVYY >KJB53206 pep chromosome:Graimondii2_0_v6:8:56951496:56958022:-1 gene:B456_008G296800 transcript:KJB53206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHYGYHSSVVYPMETTQPENVLSYLPVVNYSTQPPAFEEHVFGLSGSSPGISDADSVIAEIPRAGFEDDRVSSTDIRAKIASHPLYPKLLQAHIDCHKLGTPPGIATMLDETGGADERGLDLVPCSVDADPQLDHFMETYCEMLVKFKSDLSKPFDEATTFLDNIQMQLSHLCNGSSEEDLSGGEMVPHGYHKNGDPQLKDKLLEKYSGYISTLKHEFSKHKKKGKLPRDARQILLHWWDLHYKWPYPTEADKVGLAEATGLDQKQINNWFINQRKRHWKPSGNMQIAIMDNLYGPFSMNV >KJB53207 pep chromosome:Graimondii2_0_v6:8:56951769:56957817:-1 gene:B456_008G296800 transcript:KJB53207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHYGYHSSVVYPMETTQPENVLSYLPVVNYSTQPPAFEEHVFGLSGSSPGISDADSVIAEIPRAGFEDDRVSSTDIRAKIASHPLYPKLLQAHIDCHKLGTPPGIATMLDETGGADERGLDLVPCSVDADPQLDHFMETYCEMLVKFKSDLSKPFDEATTFLDNIQMQLSHLCNGSSEEDLSGGEMVPHGYHKNGDPQLKDKLLEKYSGYISTLKHEFSKHKKKGKLPRDARQILLHWWDLHYKWPYPTKFNHTGSRQGWSS >KJB47267 pep chromosome:Graimondii2_0_v6:8:2159971:2165826:1 gene:B456_008G018500 transcript:KJB47267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKELDLSTVKYEKETIQAPHLTGSILKLFVRIIEIPIIGSLIISFMKKENNMVEMLQNTEIPEKPMFKPEFPPQEAEPSVVIVDEEGKPTDRVESALKCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQAAASTQRFKEGNPLSILDGIFMAIKDDIDCYPHPTKGASTWMHEVRSVEKDAVSVSRLRSCGVILIGKANMHEFGMGTTGNNPNYGTTRNPYAHERYTGGSSSGPAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTYGRTNMGGSLCGSGTVEIAGPIASTVEDVILVYAAILGSSPEDRISLKPSPPCLPILSSLENVNILGSLQLGKYTEWFNDVHSTDISDVCEDVLNLLSKSHGCKTIEIVIPELHEMRIAHLVSIGSESIRSLNPDIEDGKGVKLNYDTRTCMALFRTFTASDYVAAQCLRRRIMHHHLEIFKKVDVIVTPTTGMTAPKIPPSALENGESNMQVSGYLMRFILAANLLGLPAITVPLWC >KJB47262 pep chromosome:Graimondii2_0_v6:8:2159971:2163592:1 gene:B456_008G018500 transcript:KJB47262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKELDLSTVKYEKETIQAPHLTGSILKLFVRIIEIPIIGSLIISFMKKENNMVEMLQNTEIPEKPMFKPEFPPQEAEPSVVIVDEEGKPTDRVESALKCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQAAASTQRFKEGNPLSILDGIFMAIKDDIDCYPHPTKGASTWMHEVRSVEKDAVSVSRLRSCGVILIGKANMHEFGMGTTGNNPNYGTTRNPYAHERYTGGSSSGPAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTYGRTNMGGSLCGSGTVEIAGPIASTVEDVILVYAAILGSSPEDRISLKPSPPCLPILSSLENVNILGSLQLGKYTEWFNDVHSTDISDVCEDVLNLLSKSHGCKTIEIVIPELHEMRIAHLVSIGSESIRSLNPDIEDGKGVKLNYDTRTCMALFRTFTASDYVAAQCLR >KJB47264 pep chromosome:Graimondii2_0_v6:8:2160071:2165455:1 gene:B456_008G018500 transcript:KJB47264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKELDLSTVKYEKETIQAPHLTGSILKLFVRIIEIPIIGSLIISFMKKENNMVEMLQNTEIPEKPMFKPEFPPQEAEPSVVIVDEEGKPTDRVESALKCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQAAASTQRFKEGNPLSILDGIFMAIKDDIDCYPHPTKGASTWMHEVRSVEKDAVSVSRLRSCGVILIGKANMHEFGMGTTGNNPNYGTTRNPYAHERYTGGSSSGPAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTYGRTNMGGSLCGSGTVEIAGPIASTVEDVILVYAAILGSSPEDRISLKPSPPCLPILSSLENVNILGSLQLGKYTEWFNDVHSTDISDVCEDVLNLLSKSHGCKTIEIVIPELHEMRIAHLVSIGSESIRSLNPDIEDGKGVKLNYDTRTCMALFRTFTASDYVAAQCLRRRIMHHHLEIFKKVDVIVTPTTGMTAPKIPPSALENGESNMQVSGYLMRFILAANLLGLPAITVPLWC >KJB47263 pep chromosome:Graimondii2_0_v6:8:2159971:2165826:1 gene:B456_008G018500 transcript:KJB47263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKELDLSTVKYEKETIQAPHLTGSILKLFVRIIEIPIIGSLIISFMKKENNMVEMLQNTEIPEKPMFKPEFPPQEAEPSVVIVDEEGKPTDRVESALKCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQAAASTQRFKEGNPLSILDGIFMAIKDDIDCYPHPTKGASTWMHEVRSVEKDAVSVSRLRSCGVILIGKANMHEFGMGTTGNNPNYGTTRNPYAHERYTGGSSSGPAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTYGRTNMGGSLCGSGTVEIAGPIASTVEDVILVYAAILGSSPEDRISLKPSPPCLPILSSLENVNILGSLQLGKYTEWFNDVHSTDISDVCEDVLNLLSKSHGCKTIEIVIPELHEMRIAHLVSIGSESIRSLNPDIEDGKGVKLNYDTRTCMALFRTFTASDYVAAQCLRRRIMHHHLEIFKKVDVIVTPTTGMTAPKIPPSALENGESNMQVSGYLMRFILAANLLGLPAITVPVSDMHFFSP >KJB47260 pep chromosome:Graimondii2_0_v6:8:2159767:2166626:1 gene:B456_008G018500 transcript:KJB47260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKELDLSTVKYEKETIQAPHLTGSILKLFVRIIEIPIIGSLIISFMKKENNMVEMLQNTEIPEKPMFKPEFPPQEAEPSVVIVDEEGKPTDRVESALKCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQAAASTQRFKEGNPLSILDGIFMAIKDDIDCYPHPTKGASTWMHEVRSVEKDAVSVSRLRSCGVILIGKANMHEFGMGTTGNNPNYGTTRNPYAHERYTGGSSSGPAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTYGRTNMGGSLCGSGTVEIAGPIASTVEDVILVYAAILGSSPEDRISLKPSPPCLPILSSLENVNILGSLQLGKYTEWFNDVHSTDISDVCEDVLNLLSKSHGCKTIEIVIPELHEMRIAHLVSIGSESIRSLNPDIEDGKGVKLNYDTRTCMALFRTFTASDYVAAQCLRRRIMHHHLEIFKKVDVIVTPTTGMTAPKIPPSALENGESNMQVSGYLMRFILAANLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEATILRLASAIEELCAESRRKPASFHDILKTK >KJB47261 pep chromosome:Graimondii2_0_v6:8:2159971:2163539:1 gene:B456_008G018500 transcript:KJB47261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKELDLSTVKYEKETIQAPHLTGSILKLFVRIIEIPIIGSLIISFMKKENNMVEMLQNTEIPEKPMFKPEFPPQEAEPSVVIVDEEGKPTDRVESALKCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQAAASTQRFKEGNPLSILDGIFMAIKDDIDCYPHPTKGASTWMHEVRSVEKDAVSVSRLRSCGVILIGKANMHEFGMGTTGNNPNYGTTRNPYAHERYTGGSSSGPAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTYGRTNMGGSLCGSGTVEIAGPIASTVEDVILVYAAILGSSPEDRISLKPSPPCLPILSSLENVNILGSLQLGKYTEWFNDVHSTDISDVCEDVLNLLSKSHGCKTIEIVIPELHEMRIAHLVSIGSESIRSLNPDIEDG >KJB47266 pep chromosome:Graimondii2_0_v6:8:2159971:2166500:1 gene:B456_008G018500 transcript:KJB47266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKELDLSTVKYEKETIQAPHLTGSILKLFVRIIEIPIIGSLIISFMKKENNMVEMLQNTEIPEKPMFKPEFPPQAEPSVVIVDEEGKPTDRVESALKCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQAAASTQRFKEGNPLSILDGIFMAIKDDIDCYPHPTKGASTWMHEVRSVEKDAVSVSRLRSCGVILIGKANMHEFGMGTTGNNPNYGTTRNPYAHERYTGGSSSGPAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTYGRTNMGGSLCGSGTVEIAGPIASTVEDVILVYAAILGSSPEDRISLKPSPPCLPILSSLENVNILGSLQLGKYTEWFNDVHSTDISDVCEDVLNLLSKSHGCKTIEIVIPELHEMRIAHLVSIGSESIRSLNPDIEDGKGVKLNYDTRTCMALFRTFTASDYVAAQCLRRRIMHHHLEIFKKVDVIVTPTTGMTAPKIPPSALENGESNMQVSGYLMRFILAANLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEATILRLASAIEELCAESRRKPASFHDILKTK >KJB47265 pep chromosome:Graimondii2_0_v6:8:2159971:2166500:1 gene:B456_008G018500 transcript:KJB47265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKELDLSTVKYEKETIQAPHLTGSILKLFVRIIEIPIIGSLIISFMKKENNMVEMLQNTEIPEKPMFKPEFPPQEAEPSVVIVDEEGKPTDRVESALKCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYRSKLVTPSKIAEQIITLVEGCKYHKAPTPLLISFDAEDIRKQAAASTQRFKEGNPLSILDGIFMAIKDDIDCYPHPTKGASTWMHEVRSVEKDAVSVSRLRSCGVILIGKANMHEFGMGTTGNNPNYGTTRNPYAHERYTGGSSSGPAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTYGRTNMGGSLCGSGTVEIAGPIASTVEDVILVYAAILGSSPEDRISLKPWFNDVHSTDISDVCEDVLNLLSKSHGCKTIEIVIPELHEMRIAHLVSIGSESIRSLNPDIEDGKGVKLNYDTRTCMALFRTFTASDYVAAQCLRRRIMHHHLEIFKKVDVIVTPTTGMTAPKIPPSALENGESNMQVSGYLMRFILAANLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEATILRLASAIEELCAESRRKPASFHDILKTK >KJB50006 pep chromosome:Graimondii2_0_v6:8:40384157:40393552:-1 gene:B456_008G149200 transcript:KJB50006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTAEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50017 pep chromosome:Graimondii2_0_v6:8:40384157:40393728:-1 gene:B456_008G149200 transcript:KJB50017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTDKSLAVLSQSQQQAPARTLYPDVTGVSSAPTESVASEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50011 pep chromosome:Graimondii2_0_v6:8:40387457:40393054:-1 gene:B456_008G149200 transcript:KJB50011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWLQARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTDKSLAVLSQSQQQAPARTLYPDVTGVSSAPTESVASEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVSVTLETSETINRRFVHPSRRNSPTITKVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50007 pep chromosome:Graimondii2_0_v6:8:40387457:40393054:-1 gene:B456_008G149200 transcript:KJB50007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTAEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVSVTLETSETINRRFVHPSRRNSPTITKVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50003 pep chromosome:Graimondii2_0_v6:8:40387457:40393054:-1 gene:B456_008G149200 transcript:KJB50003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTDKSLAVLSQSQQQAPARTLYPDVTGVSSAPTESVASEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVSVTLETSETINRRFVHPSRRNSPTITKVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50004 pep chromosome:Graimondii2_0_v6:8:40387457:40393054:-1 gene:B456_008G149200 transcript:KJB50004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWLQARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTDKSLAVLSQSQQQAPARTLYPDVTGVSSAPTESVASEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVSVTLETSETINRRFVHPSRRNSPTITKVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50014 pep chromosome:Graimondii2_0_v6:8:40384157:40393552:-1 gene:B456_008G149200 transcript:KJB50014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTDKSLAVLSQSQQQAPARTLYPDVTGVSSAPTESVASEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50013 pep chromosome:Graimondii2_0_v6:8:40387457:40393159:-1 gene:B456_008G149200 transcript:KJB50013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFMCSIKQSLILHLLYILQVDFIVDEFHEICLEAMLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTDKSLAVLSQSQQQAPARTLYPDVTGVSSAPTESVASEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVSVTLETSETINRRFVHPSRRNSPTITKVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50001 pep chromosome:Graimondii2_0_v6:8:40384157:40393728:-1 gene:B456_008G149200 transcript:KJB50001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTAEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50010 pep chromosome:Graimondii2_0_v6:8:40387457:40393054:-1 gene:B456_008G149200 transcript:KJB50010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTDKSLAVLSQSQQQAPARTLYPDVTGVSSAPTESVASEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVSVTLETSETINRRFVHPSRRNSPTITKVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50012 pep chromosome:Graimondii2_0_v6:8:40386932:40393232:-1 gene:B456_008G149200 transcript:KJB50012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFMCSIKQSLILHLLYILQVDFIVDEFHEICLEAMLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTDKSLAVLSQSQQQAPARTLYPDVTGVSSAPTESVASEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50009 pep chromosome:Graimondii2_0_v6:8:40384157:40393552:-1 gene:B456_008G149200 transcript:KJB50009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWLQARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTDKSLAVLSQSQQQAPARTLYPDVTGVSSAPTESVASEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50000 pep chromosome:Graimondii2_0_v6:8:40387457:40393054:-1 gene:B456_008G149200 transcript:KJB50000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTAEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVSVTLETSETINRRFVHPSRRNSPTITKVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50018 pep chromosome:Graimondii2_0_v6:8:40387457:40393159:-1 gene:B456_008G149200 transcript:KJB50018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFMCSIKQSLILHLLYILQVDFIVDEFHEICLEAMLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWLQARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTDKSLAVLSQSQQQAPARTLYPDVTGVSSAPTESVASEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVSVTLETSETINRRFVHPSRRNSPTITKVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50008 pep chromosome:Graimondii2_0_v6:8:40387017:40393538:-1 gene:B456_008G149200 transcript:KJB50008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTDKSLAVLSQSQQQAPARTLYPDVTGVSSAPTESVASEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50016 pep chromosome:Graimondii2_0_v6:8:40387457:40393054:-1 gene:B456_008G149200 transcript:KJB50016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTDKSLAVLSQSQQQAPARTLYPDVTGVSSAPTESVASEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVSVTLETSETINRRFVHPSRRNSPTITKVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50015 pep chromosome:Graimondii2_0_v6:8:40387457:40393054:-1 gene:B456_008G149200 transcript:KJB50015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTDKSLAVLSQSQQQAPARTLYPDVTGVSSAPTESVASEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVSVTLETSETINRRFVHPSRRNSPTITKVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50005 pep chromosome:Graimondii2_0_v6:8:40387017:40393728:-1 gene:B456_008G149200 transcript:KJB50005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTDKSLAVLSQSQQQAPARTLYPDVTGVSSAPTESVASEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB50002 pep chromosome:Graimondii2_0_v6:8:40384157:40393728:-1 gene:B456_008G149200 transcript:KJB50002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYRFSFFGDGGYSKVGTEDGDISQLNPKLKLQTDRDVYRPGDSIYVTIEICNPLIGDKTSSTVPSLWVERLGFEIKGIEKLDTQWFAIQKPSTGMKHGRGEHVFLDSSTPSMVSNQIVNSGSSKNYVVRAMLPSVIPPSYKGTTIRYLYYIKSTMSVPWLLMENGHSSKESVKDLTKVEARVPFQVWVTEKGNGLVMEDGQSDDIVPSTTIQTDMYWKEIDGDSEWLQARVSDTNDGVEEGYESSRDEISSVSSYNPLKENLIKSFRSSLSFESTTARSSNRDGPYHDMDYSSLPSNVGLHRLSVAEVMHDSNTDKSLAVLSQSQQQAPARTLYPDVTGVSSAPTESVASEGFIRGRSYNIRMDEHVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHISVEWALRFEFFTTPKNLDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTSSTLTRTEKPFSLEPLWVHS >KJB51192 pep chromosome:Graimondii2_0_v6:8:49252352:49253177:1 gene:B456_008G207400 transcript:KJB51192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGTLIPIIEYTLDPDRNTIGRISSELKLPEKAGVTNYSTRFVWLEFLWLPMPCSLVHSKWVVIFRIYGLTKVILIFLKAFD >KJB51097 pep chromosome:Graimondii2_0_v6:8:48660141:48667693:1 gene:B456_008G201000 transcript:KJB51097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSTLYRLYYRCLSTSCLAKKYVERDSQDTSFFVTTYHGLHNHDEWNSRGLSKLHSQPCIDHRANNVKDAAEAAKSICPTKGIPEASIQDESQRSGVEPQPSALEIIVSESTESTPLPLTGSPLSGDFDRDFKEQDQFNLDASKKSETQQVQVRPGSALTPDDSFCWRISEQKNVIGEKYPRSFFRCTHRHNQGCLATKTVQRLDDDPTFFEITYHRKHTCNLASNVMPPTAPSRNQEQGTRIEPQQQYNQLPEENQKQQSQDLLVLPSTPGQCVEQSLNQKSNSGNDQQTISQEDNNSTIVCQASSPSPSDSSSMRSQLSAAALSTAQLQAQRFEEPSKQNQLYKKHYPPMLGDEVWRLDMIGKNGIIHKRLASEGINTVQDFLKMSVVSPGELRRILGPRMSDRMWDNATKHARTCAMGNKYYVFRGSNYRILLNPICQLMGAEVNGSIYPTHSLSNIDTVYLEKLVRQAYVNWSSLEEIEGISNEIIGPLTQDIMAQRMGANVINTIPPNLPAMPPSGPWLPELPDHPVLMDNSNVLSSPTTGECVVQSLNQKNNSGNDQQTISQEDDNSIIVYHAPPPSHSNSSAMIFELSATTLPIVCTYLIVCA >KJB51098 pep chromosome:Graimondii2_0_v6:8:48660141:48668419:1 gene:B456_008G201000 transcript:KJB51098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSTLYRLYYRCLSTSCLAKKYVERDSQDTSFFVTTYHGLHNHDEWNSRGLSKLHSQPCIDHRANNVKDAAEAAKSICPTKGIPEASIQDESQRSGVEPQPSALEIIVSESTESTPLPLTGSPLSGDFDRDFKEQDQFNLDASKKSETQQVQVRPGSALTPDDSFCWRISEQKNVIGEKYPRSFFRCTHRHNQGCLATKTVQRLDDDPTFFEITYHRKHTCNLASNVMPPTAPSRNQEQGTRIEPQQQYNQLPEENQKQQSQDLLVLPSTPGQCVEQSLNQKSNSGNDQQTISQEDNNSTIVCQASSPSPSDSSSMRSQLSAAALSTAQLQAQRFEEPSKQNQLYKKHYPPMLGDEVWRLDMIGKNGIIHKRLASEGINTVQDFLKMSVVSPGELRRILGPRMSDRMWDNATKHARTCAMGNKYYVFRGSNYRILLNPICQLMGAEVNGSIYPTHSLSNIDTVYLEKLVRQAYVNWSSLEEIEGISNEIIGPLTQDIMAQRMGANVINTIPPNLPAMPPSGPWLPELPDHPVLMDNSNVLSSPTTGECVVQSLNQKNNSGNDQQTISQEDDNSIIVYHAPPPSHSNSSAMIFELSATTLPIAQVQAQSFEELAERNQSKGNLQFQACCYKQDSDLTKSGKAADAYTWKCHGTKGLIGNRRKSFYRCAHPGCQAKKSVERSLDGKSFIVHSRASHNHPKSLPTRTSSLSAFSHIRASNHLTIKIPDKSSVTYEGGQMDMDGLVFFVRSVEDETGLQNLMDKKSDQPSDRHKAFVGLGVRKAKTSFRKRAKTTVFKLSSDTNFREMMQSFTGKHTNEVQEEKVTRGIPRKKAWDANLKEISGNDIHCVRENVG >KJB48471 pep chromosome:Graimondii2_0_v6:8:11849164:11851782:-1 gene:B456_008G070500 transcript:KJB48471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIYQSFHFDKIAMIFERFSPFKKLFSLLNQQVRSFARDPFPNKLTHYLHRAKLIDSIRLALRSNSPNSLNPLLQTRLLDSVVVANAFRSAPSADSAISFFENLKQVPNFAHSQNTIFAFATVLAKFKRKEELKALIGDAKDGKFNNVKVGFMNLLFWYSTAGDLQEVLETWEEYRNEENRLSTEAHNIVMGLYAQKGMNFEAVEAFRGMIDQGVIPNSRTYTIVIEHLVRLGKLGAAMEVFTVLPSMRIKRTLKQFLILVEGFVGGERFDVVKSLLKEMREDGKLPGRAMRIYLERMKEAGFAGETDEFLVEMLPDGRIKSVGSCEDSSDEDEDGDDDVNEGVDVHTVKLKPWLDPKALANALKQWSPEVVTILEDAKFVWTSRLVCKVLRNFISPETAWNFFCWVASQPGFSHDIYTVQRMMTLLARHGNVELVDKLINKVRREQMILPFSTIRLLVEFYGISKNADAALKVFRDDRTLCGHISMFNLMLLYSSLLRALTKCRRNTDALDILDEMILNGICPDIQTFSGLIYHFALQGDIKTVQQLFSMIRQSGMEPDAYMFKLLIQAYCKCQRAALAYRAFVDMRNSNLMPDAATKDLLVKSLWQEGRRKEAVIVEERYEETDGVLPLALRGHVWTVSSEDLTRVYSIYSNSVIALA >KJB47586 pep chromosome:Graimondii2_0_v6:8:3872531:3874522:-1 gene:B456_008G032300 transcript:KJB47586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVNLSSTPSNPISKLPSFSLNSPQKTSFSLFPNSSSFPCKPFSHSLKSQFITTSSKNPISDLFPPNKSGPEGDIVSSFSDDDDKPREECGVVGIFGDPEASRLCYLALHALQHRGQEGAGIVAVNNNVLQTVTGVGLVSEVFNESKLDQLPGEMAIGHVRYSTAGSSMLKNVQPFVAGYRFGSVGVAHNGNLVNYRALRAMLEDNGSIFNTSSDTEVLLHLIAISKARPFLLRIVDACEKLEGAYSMVFVTGDKLVAVRDPYGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVYPGEVLVVDKKDGVQSLCLMPHPEPKQCIFEHIYFALPNSLVFGRSVYESRRVFGEILATEAPVDCDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRAVLEGKRVVVVDDSIVRGTTSSKIVRLIKEAGAKEIHMRIASPPIIGSCYYGVDTPSAEELISNRMSVEEIRKFIGCDSLAFLPFDSLKKMLSTDSPKFCYACFSGKYPVMPREVKVKGVGDSMDDGLNTPLASIDGHWIKGPPNFDLEKEIDPLYQESKI >KJB53161 pep chromosome:Graimondii2_0_v6:8:56889186:56892519:1 gene:B456_008G295700 transcript:KJB53161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADSDHSRRKVSESESIQNQIHGEETMRPNIVLFGDSITQESFRSGGWGASLADTYSRKADVVLRGYGGYNTRWALFLLHHLFPLGSTKPPVATTIFLGANDAALAGRTSERQHVPVEEYKENLRKIVRHLKECSPTMLIVLITPPPIDEEGRMEYARETYGEKAMTLPERTNETTGVYAKGCIELAGELGVRSINLWSKMQETDGWQKKYLRRQCSSVRGSCEGFQGSMA >KJB53160 pep chromosome:Graimondii2_0_v6:8:56889178:56892633:1 gene:B456_008G295700 transcript:KJB53160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADSDHSRRKVSESESIQNQIHGEETMRPNIVLFGDSITQESFRSGGWGASLADTYSRKADVVLRGYGGYNTRWALFLLHHLFPLGSTKPPVATTIFLGANDAALAGRTSERQHVPVEEYKENLRKIVRHLKECSPTMLIVLITPPPIDEEGRMEYARETYGEKAMTLPERTNETTGVYAKGCIELAGELGVRSINLWSKMQETDGWQKKYLRDGLHLTAEGNAVVFEEVVKVFKEAWLDASEMPYDFPHHSEIDGKNPEKAFQQKCL >KJB53162 pep chromosome:Graimondii2_0_v6:8:56889186:56892519:1 gene:B456_008G295700 transcript:KJB53162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADSDHSRRKVSESESIQNQIHGEETMRPNIVLFGDSITQESFRSGGWGASLADTYSRKGSTKPPVATTIFLGANDAALAGRTSERQHVPVEEYKENLRKIVRHLKECSPTMLIVLITPPPIDEEGRMEYARETYGEKAMTLPERTNETTGVYAKGCIELAGELGVRSINLWSKMQETDGWQKKYLRDGLHLTAEGNAVVFEEVVKVFKEAWLDASEMPYDFPHHSEIDGKNPEKAFQQKCL >KJB52438 pep chromosome:Graimondii2_0_v6:8:54225868:54228195:-1 gene:B456_008G261700 transcript:KJB52438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKSCNEWNPFFLMIAIDFSFAVVNILLKKVLDDGMNHLVLITFRLSISTIFLAPIGYFWERNTRPKLTPRILCYLFCSAIVGASLTQYFFLLGIQYTSATFACAFVNMVPVVTFIMALPFRIETVNLKSNSGRAKILGSVICVGGGLLLTLYKGRPLFKHQHSHAVAQTVANVVKLSPSRRAQRWTIGCLALVVGTLLWSSWFIIQSFVGRRYPCQFSSTAIMSFFGAIQSAALSLFTSRDLSMWVLKGKVEIITVLYAGMIGSGLSYVGMAWCVKKRGPVFTAAFSPLVQIMAAMFDIPLLHEQLNLGSLLGSIIVILGLYILLWGKNREMQNCALKIAQEAKEIREQEVQLPVITVACDSSYPETK >KJB47965 pep chromosome:Graimondii2_0_v6:8:7151126:7154184:1 gene:B456_008G050900 transcript:KJB47965 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRVPSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEEEEEAPSKAAKLDIPSTQLVGGLMPGPLGVGYPPQSTLGAVQPMYNAAVPVPPVGWAVPPRPQPWLPQHPAASVPLSAPMGYVQQPLFPVQGVRPPLAVPSTSPSLQPSQIAPPGLPVSTPTLPVSQPLFPVVNNSVPTQSSPFSASLPTSVPEGKGSIEAHSSGSASLTGGAGGNSHSYASGPNTGGPSIGPPPVIANKAPAIQPAVNEVYLVWDDEAMSMEERRMSVAKYQMHDENSQVSHNYLSSTRSWFAHLTR >KJB47971 pep chromosome:Graimondii2_0_v6:8:7150996:7156511:1 gene:B456_008G050900 transcript:KJB47971 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRVPSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEEEEEAPSKAAKLDIPSTQLVGGLMPGPLGVGYPPQSTLGAVQPMYNAAVPVPPVGWAVPPRPQPWLPQHPAASVPLSAPMGYVQQPLFPVQGVRPPLAVPSTSPSLQPSQIAPPGLPVSTPTLPVSQPLFPVVNNSVPTQSSPFSASLPTSVPEGKGSIEAHSSGSASLTGGAGGNSHSYASGPNTGGPSIGPPPVIANKAPAIQPAVNEVYLVWDDEAMSMEERRMSVAKYQMHDENSQMSSIDAAIDRRILESRLAGRMAF >KJB47966 pep chromosome:Graimondii2_0_v6:8:7150996:7156053:1 gene:B456_008G050900 transcript:KJB47966 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MTRRSWCSTRKPSTSSAMSATRNSPPPVVWPSTFFRVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEEEEEAPSKAAKLDIPSTQLVGGLMPGPLGVGYPPQSTLGAVQPMYNAAVPVPPVGWAVPPRPQPWLPQHPAASVPLSAPMGYVQQPLFPVQGVRPPLAVPSTSPSLQPSQIAPPGLPVSTPTLPVSQPLFPVVNNSVPTQSSPFSASLPTSVPEGKGSIEAHSSGSASLTGGAGGNSHSYASGPNTGGPSIGPPPVIANKAPAIQPAVNEVYLVWDDEAMSMEERRMSVAKYQMHDENSQMSSIDAAIDRRILESRLAGRMAF >KJB47972 pep chromosome:Graimondii2_0_v6:8:7150996:7155309:1 gene:B456_008G050900 transcript:KJB47972 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRVPSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEEEEEAPSKAAKLDIPSTQLVGGLMPGPLGVGYPPQSTLGAVQPMYNAAVPVPPVGWAVPPRPQPWLPQHPAASVPLSAPMGYVQQPLFPVQGVRPPLAVPSTSPSLQPSQIAPPGLPVSTPTLPVSQPLFPVVNNSVPTQSSPFSASLPTSVPEGKGSIEAHSSGSASLTGGAGGNSHSYASGPNTGGPSIGPPPVIANKAPAIQPAVNEVYLVWDDEAMSMEERRMSVAKYQMHDENSQVSHNYLSSTRSWFAHLTR >KJB47967 pep chromosome:Graimondii2_0_v6:8:7151126:7154184:1 gene:B456_008G050900 transcript:KJB47967 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRVPSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEEEEEAPSKAAKLDIPSTQLVGGLMPGPLGVGYPPQSTLGAVQPMYNAAVPVPPVGWAVPPRPQPWLPQHPAASVPLSAPMGYVQQPLFPVQGVRPPLAVPSTSPSLQPSQIAPPGLPVSTPTLPVSQPLFPVVNNSVPTQSSPFSASLPTSVPEGKGSIEAHSSGSASLTGGAGGNSHSYASGPNTGGPSIGPPPVIANKAPAIQPAVNEVYLVWDDEAMSMEERRMSVAKYQMHDENSQVSHNYLSSTRSWFAHLTR >KJB47970 pep chromosome:Graimondii2_0_v6:8:7150996:7156511:1 gene:B456_008G050900 transcript:KJB47970 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRVPSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEEEEEAPSKAAKLDIPSTQLVGGLMPGPLGVGYPPQSTLGAVQPMYNAAVPVPPVGWAVPPRPQPWLPQHPAASVPLSAPMGYVQQPLFPVQGVRPPLAVPSTSPSLQPSQIAPPGLPVSTPTLPVSQPLFPVVNNSVPTQSSPFSASLPTSVPEGKGSIEAHSSGSASLTGGAGGNSHSYASGPNTGGPSIGPPPVIANKAPAIQPAVNEVYLVWDDEAMSMEERRMSVAKYQMHDENSQMSSIDAAIDRRILESRLAGRMAF >KJB47964 pep chromosome:Graimondii2_0_v6:8:7150996:7154002:1 gene:B456_008G050900 transcript:KJB47964 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRVPSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEEEEEAPSKAAKLDIPSTQLVGGLMPGPLGVGYPPQSTLGAVQPMYNAAVPVPPVGWAVPPRPQPWLPQHPAASVPLSAPMGYVQQPLFPVQGVRPPLAVPSTSPSLQPSQIAPPGLPVSTPTLPVSQPLFPVVNNSVPTQSSPFSASLPTSVPEGKGSIEAHSSGSASLTGSYHLLPCCCTST >KJB47968 pep chromosome:Graimondii2_0_v6:8:7150996:7156053:1 gene:B456_008G050900 transcript:KJB47968 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRVPSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEEEEAPSKAAKLDIPSTQLVGGLMPGPLGVGYPPQSTLGAVQPMYNAAVPVPPVGWAVPPRPQPWLPQHPAASVPLSAPMGYVQQPLFPVQGVRPPLAVPSTSPSLQPSQIAPPGLPVSTPTLPVSQPLFPVVNNSVPTQSSPFSASLPTSVPEGKGSIEAHSSGSASLTGGAGGNSHSYASGPNTGGPSIGPPPVIANKAPAIQPAVNEVYLVWDDEAMSMEERRMSVAKYQMHDENSQMSSIDAAIDRRILESRLAGRMAF >KJB47969 pep chromosome:Graimondii2_0_v6:8:7150996:7156053:1 gene:B456_008G050900 transcript:KJB47969 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRVPSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEEEEEAPSKAAKLDIPSTQLVGGLMPGPLGVGYPPQSTLGAVQPMYNAAVPVPPVGWAVPPRPQPWLPQHPAASVPLSAPMGYVQQPLFPVQGVRPPLAVPSTSPSLQPSQIAPPGLPVSTPTLPVSQPLFPVVNNSVPTQSSPFSASLPTSVPEGKGSIEAHSSGSASLTGGAGGNSHSYASGPNTGGPSIGPPPVIANKAPAIQPAVNEVYLVWDDEAMSMEERRMSVAKYQMHDENSQCGVWVEQDDTQQIFAQINSIDREFRLFQVSFFRKVWYLLHRTRTKLLTN >KJB50970 pep chromosome:Graimondii2_0_v6:8:48033435:48035534:1 gene:B456_008G195500 transcript:KJB50970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIACICVVYDIQEKSLWFKHKLPCFSEFCFFLYYLKSAVEVNSGSSSPMEKSTTFLIRRSVYTFLQYYHYFTATAAILALPYSLSVLVSQFFVPSSPLLPSIHNHLKAIFMAAEFPPSSDFFRVLSFKISQTISSSIFALPFTLSFFLVAKASIIHLLNHHHTPTSPPSLSSVLSLYKPLLSTFICNFFVLLSANSTAFCLLFFGFHLFNGFGFSSSPNWLIFMDGEIRWVFSNFKSLCFNKGKGFNCFSIGSALESGVGSH >KJB50969 pep chromosome:Graimondii2_0_v6:8:48033435:48035534:1 gene:B456_008G195500 transcript:KJB50969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIACICVVYDIQEKSLWFKHKLPCFSEFCFFLYYLKSAVEVNSGSSSPMEKSTTFLIRRSVYTFLQYYHYFTATAAILALPYSLSVLVSQFFVPSSPLLPSIHNHLKAIFMAAEFPPSSDFFRVLSFKISQTISSSIFALPFTLSFFLVAKASIIHLLNHHHTPTSPPSLSSVLSLYKPLLSTFICNFFVLLSANSTAFCLLFFGFHLFNGFGFSSSPNWLIFMSAAGMEKSGGYSAILRACVLIKGRASTALALAVPWNLALAAIEALFHYRVVRAYHSGDFNSFSIALEVVLIAYLYSNVVVLDTVVSSMLFKSCKTGCLMDEEGRDCYRIEIVAEKDGNAYVKLTNIEQLP >KJB52851 pep chromosome:Graimondii2_0_v6:8:55723888:55725519:-1 gene:B456_008G280200 transcript:KJB52851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQFTSSCKCDDDDSNIPSLLSINASKGSFNVYESLIPKSPTIHTQKKLGSLFSVSLAVKEAISIANIAFPMILTGLMLYSRSLISMLFLGRLGELALAGGSLAIGFANITGYSILSGLAMGMESICGQAFGAKKYTLLGITLQRTVLLLLASSLPISVLWMNMKKILIVCGQDESIANEAQRYLVYSVSDLLAQSLLHPLRIYLRTQSITLPLTCCAILSILLHVPINYFLVTHLKLGIKGVALSGVWTNINLVGSLIIYILYFGVHKRTWGGFSMECFKEWKSLLNLAIPSCISVCLEWWWYEIMILLCGLLLNPKATVASMGILIQTTALIYIFPSSLSFSVSTRVGNELGANQPKKAKLAAFVGLNCGFILGLSALLFAVLVRNIWATMFTADKDIIALTSLVLPIIGLCELGNCPQTTGCGVLRGTARPKYGANINLGCFYLVGMPVAVWLAFFAGFDFKGLWLGMLAAQVSCMATMLLVLVRTDWDFEAERAMKLTGTQMAVDDDDDDSKLHENPHQAQIKQDSISLLEDLSHYCLV >KJB51743 pep chromosome:Graimondii2_0_v6:8:51631154:51632565:1 gene:B456_008G229900 transcript:KJB51743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGPSRRTCFIQEDDGLASIVDMEAGYSGSHYQKVNQNGFLSRPLCYSRRSSLRNLSSFSSSSSSGSVSSPRPARFYDARFEDVHQPYFLDVCFLCKKPLGCNRDIFMYRGDTPFCSEDCRQEQIEIDEAKEKDMNLSSSVKAMRKKGQRESTSPNEAQGYSIRTSTVAAA >KJB47374 pep chromosome:Graimondii2_0_v6:8:2666503:2669951:1 gene:B456_008G023300 transcript:KJB47374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKTPALKNPSLSLSSKPRLPSAFSVSFRDNLRFSRSSSRPQRRFLIITASAASISSKPTVLVAEKLGEAGLTLLKEFANVDCSYSLSPEELCTKISLCDALIVRSGTKVSREVFESAGGRLKVVGRAGHGIALLAAMARNVAQADASMKAGKWQRNKYVGVSLVGKTLAVLGFGKVGSEVARRAKGLGMHVIAHDPYASADRARAIGVELVSFEEAISTADFISLHMPLTAATNKMLNDETFAKMKKGVRIVNVARGGVIDEEALVRALDAGIVAQAALDVFTEEPPKQDSKLVQHERVTVTPHLGASTIEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVLTELKPYVELAEKLGRLAVQLVAGVTGVKNVKVSYASCRAPDDLDTRLLRAMITKGLIEPISSVYVNLVNADYTAKQRGLRITEERIVIDGSSECPLESIQVQIANVESKFASAISESGEIKVEGQVKDGIPHLTKVGSFEVDVSLEGSIILCRQVDQPGLIGKVGSILGQENVNVSFMSVGRIAPRKQAVMAIGVDDQPSKGSLQRIGEVPAIEEFVFLKL >KJB47373 pep chromosome:Graimondii2_0_v6:8:2666260:2669991:1 gene:B456_008G023300 transcript:KJB47373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKTPALKNPSLSLSSKPRLPSAFSVSFRDNLRFSRSSSRPQRRFLIITASAASISSKPTVLVAEKLGEAGLTLLKEFANVDCSYSLSPEELCTKISLCDALIVRSGTKVSREVFESAGGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLAAMARNVAQADASMKAGKWQRNKYVGVSLVGKTLAVLGFGKVGSEVARRAKGLGMHVIAHDPYASADRARAIGVELVSFEEAISTADFISLHMPLTAATNKMLNDETFAKMKKGVRIVNVARGGVIDEEALVRALDAGIVAQAALDVFTEEPPKQDSKLVQHERVTVTPHLGASTIEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVLTELKPYVELAEKLGRLAVQLVAGVTGVKNVKVSYASCRAPDDLDTRLLRAMITKGLIEPISSVYVNLVNADYTAKQRGLRITEERIVIDGSSECPLESIQVQIANVESKFASAISESGEIKVEGQVKDGIPHLTKVGSFEVDVSLEGSIILCRQVDQPGLIGKVGSILGQENVNVSFMSVGRIAPRKQAVMAIGVDDQPSKGSLQRIGEVPAIEEFVFLKL >KJB52987 pep chromosome:Graimondii2_0_v6:8:56240988:56249621:-1 gene:B456_008G287000 transcript:KJB52987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVEMGRRKRRSVFNVDGVMDFFNNVMMEKPYLPLLIPLVLMLWALEKWIFSLSNWVPLVLAVWVTVQHRNHQHRMAVEDLNEKWKRFALSSSPKTPLEHCEWLNKLLIEIWSNYINPKLSLRFQSIVEKRLKRNKSRLIEKLELLEFSLGSSPPWLGLQGTRWSTFGDQQVMRLGFDWDTTDISIMLLAKVAKPFFGTAKIVINSLRIKGDLLLMPILDGKAILYSFISTPEVRITVTFGSGTELPGVSSWLVKLLTDTLAKTMVEPRRQCFSLSAVDLRKKAVGGIVYVTVISGNKLSRSSLKGSPSRRQPSSGVDGLREHSDDKDLQTFVEVELGELTRRTNVRPGSSPQWDSTFNMILHDNTGIVRFHLFEHTPGRMMCDYLASCEIKMRYNTDDSTTFWAIGPDSSVIARHSESCGKAVEMVLPFEGVNIGELAVKLVIKEWQFSDGSLSFNNLRVSSQPSLNGSSNFLPGTGRKIIVTVVEGKDLITKDKSGKCNPYVKLQYGKVLQKTKTAHSFNPVWNQRFEFDEIGDNEYLKIKCYTEEVFGDDSIGSAHISLEGLVEGSPRDVWIPLEKVNSGELRIQIEAVRIDDYEGSRGSSYSGNGWIELVLIEARDLVAADLRGTSDPYVRVQYGNLKRRTKVMYKTLNPKWHQTLEFPDNGNPLELHVKDHNAVLPTSSIGDCVVEYQRLPPNQMADKWIPLQGVKRGEIHIQVTRKVPELEKKPSVDPDTSLTKAHQISSQMKQMMIKLQSLIDDGILEGISTPLSELEALQDMQEEYMVQLETEQMLLLNKIKEVGQEMLNSSPSLSRNSFGS >KJB52986 pep chromosome:Graimondii2_0_v6:8:56240988:56249610:-1 gene:B456_008G287000 transcript:KJB52986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKRRSVFNVDGVMDFFNNVMMEKPYLPLLIPLVLMLWALEKWIFSLSNWVPLVLAVWVTVQHRNHQHRMAVEDLNEKWKRFALSSSPKTPLEHCEWLNKLLIEIWSNYINPKLSLRFQSIVEKRLKRNKSRLIEKLELLEFSLGSSPPWLGLQGTRWSTFGDQQVMRLGFDWDTTDISIMLLAKVAKPFFGTAKIVINSLRIKGDLLLMPILDGKAILYSFISTPEVRITVTFGSGTELPGVSSWLVKLLTDTLAKTMVEPRRQCFSLSAVDLRKKAVGGIVYVTVISGNKLSRSSLKGSPSRRQPSSGVDGLREHSDDKDLQTFVEVELGELTRRTNVRPGSSPQWDSTFNMILHDNTGIVRFHLFEHTPGRMMCDYLASCEIKMRYNTDDSTTFWAIGPDSSVIARHSESCGKAVEMVLPFEGVNIGELAVKLVIKEWQFSDGSLSFNNLRVSSQPSLNGSSNFLPGTGRKIIVTVVEGKDLITKDKSGKCNPYVKLQYGKVLQKTKTAHSFNPVWNQRFEFDEIGDNEYLKIKCYTEEVFGDDSIGSAHISLEGLVEGSPRDVWIPLEKVNSGELRIQIEAVRIDDYEGSRGSSYSGNGWIELVLIEARDLVAADLRGTSDPYVRVQYGNLKRRTKVMYKTLNPKWHQTLEFPDNGNPLELHVKDHNAVLPTSSIGDCVVEYQRLPPNQMADKWIPLQGVKRGEIHIQVTRKVPELEKKPSVDPDTSLTKAHQISSQMKQMMIKLQSLIDDGILEGISTPLSELEALQDMQEEYMVQLETEQMLLLNKIKEVGQEMLNSSPSLSRNSFGS >KJB49336 pep chromosome:Graimondii2_0_v6:8:34668381:34670930:-1 gene:B456_008G114100 transcript:KJB49336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGTTMAWNVFKFCTVLRGLGSIMILLVLGVVGVTYYAVVFTNYGPALFDGGLHSFIAVVVLILFHFLLVLLLWSYFTVVLTDSGTVPPNWKPASDEERGEVDPLNESEFDGLQPDLSSQRIRYCWKCNQFKPPRCHHCSVSFFSDEEIPGTTATLATTFLSFVLNLAFALSVFGFLIMHVSLVSANTTTIEAYEKKTTPKWRYDLGRKKNFEQVFGTDKRYWFIPAYSDEDLRRIPALQGLEFPSNPDFDSEEF >KJB49337 pep chromosome:Graimondii2_0_v6:8:34668401:34670885:-1 gene:B456_008G114100 transcript:KJB49337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGTTMAWNVFKFCTVLRGLGSIMILLVLGVVGVTYYAVVFTNYGPALFDGGLHSFIAVVVLILFHFLLVLLLWSYFTVVLTDSGTVPPNWKPASDEERGEVDPLNESEFDGLQPDLSSQRIRYCWKCNQFKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLVTLALFSHFLAFFSDEEIPGTTATLATTFLSFVLNLAFALSVFGFLIMHVSLVSANTTTIEVFGTDKRYWFIPAYSDEDLRRIPALQGLEFPSNPDFDSEEF >KJB49338 pep chromosome:Graimondii2_0_v6:8:34668404:34670878:-1 gene:B456_008G114100 transcript:KJB49338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGTTMAWNVFKFCTVLRGLGSIMILLVLGVVGVTYYAVVFTNYGPALFDGGLHSFIAVVVLILFHFLLVLLLWSYFTVVLTDSGTVPPNWKPASDEERGEVDPLNESEFDGLQPDLSSQRIRYCWKCNQFKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLVTLALFSHFLAFFSDEEIPGTTATLATTFLSFGTGCSASFLLTCSTIFS >KJB49335 pep chromosome:Graimondii2_0_v6:8:34668378:34670949:-1 gene:B456_008G114100 transcript:KJB49335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGTTMAWNVFKFCTVLRGLGSIMILLVLGVVGVTYYAVVFTNYGPALFDGGLHSFIAVVVLILFHFLLVLLLWSYFTVVLTDSGTVPPNWKPASDEERGEVDPLNESEFDGLQPDLSSQRIRYCWKCNQFKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLVTLALFSHFLAFFSDEEIPGTTATLATTFLSFVLNLAFALSVFGFLIMHVSLVSANTTTIEAYEKKTTPKWRYDLGRKKNFEQVFGTDKRYWFIPAYSDEDLRRIPALQGLEFPSNPDFDSEEF >KJB47198 pep chromosome:Graimondii2_0_v6:8:1740290:1745524:-1 gene:B456_008G015300 transcript:KJB47198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLCMFELVDNVWDEFGASNDHIVPHTVDEYGSQFEVQGDSRKKPRHEVIEVSRSSEDMTKYSIVGEKEKGMHTLTKNRMLEKGLWSHSPDGMFPTAGDNESIKEVTSLASDDPRLSNYGLKTVNIDSVGSEFYSDDSILVDKCDTEDNNVYRFPLNHISKADDDLSFFNNKNEDKENSDLLYYGWGDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQVTESCQDALKADTKLNNLLEHCASSRPDSAASATIGSSKKSVCLSDGISSLNVKGDNAGVAHMSYLNVFNEEPETKDELTPNEQQISPKKQSKQLSASGERKDQHLENGGSFNQYGNIKHSADVKHTFTDSSCQFFSPSDLQQHKQNIGPDSVSCVQTNIPYMHLNYSSPLDQLLGCRTFSSIKSENNGYPSSTNESSYASDQVQSIESSSGPSFGGPAIIMNEKREELHYQQDKQAPLKKNVKHAKVGSKMAFYDPVTVQKQVRQSEQDEGHSEVEGVSVGKLTQLDSSNDQLSSYMSSVLDEVSLEASSFWQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCTNTKGGIKEEKDEAGSFVANETNKCTRFMDMETGTNTIDRSIAHLLFHRPSGPSLNHTTDNAFKPHGLIHGSIM >KJB47202 pep chromosome:Graimondii2_0_v6:8:1740290:1745329:-1 gene:B456_008G015300 transcript:KJB47202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLCMFELVDNVWDEFGASNDHIVPHTVDEYGSQFEVQGDSRKKPRHEVIEVSRSSEDMTKYSIVGEKEKGMHTLTKNRMLEKGLWSHSPDGMFPTAGDNESIKEVTSLASDDPRLSNYGLKTVNIDSVGSEFYSDDSILVDKCDTEDNNVYRFPLNHISKADDDLSFFNNKNEDKENSDLLYYGWGDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQVTESCQDALKADTKLNNLLEHCASSRPDSAASATIGSSKKSVCLSDGISSLNVKGDNAGVAHMSYLNVFNEEPETKDELTPNEQQISPKKQSKQLSASGERKDQHLENGGSFNQYGNIKHSADVKHTFTDSSCQFFSPSDLQQHKQNIGPDSVSCVQTNIPYMHLNYSSPLDQLLGCRTFSSIKSENNGYPSSTNESSYASDQVQSIESSSGPSFGGPAIIMNEKREELHYQQDKQAPLKKNVKHAKVGSKMAFYDPVTVQKQVRQSEQDEGHSEVEGVSVGKLTQLDSSNDQLSSYMSSVLDEVSLEASSFWQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCTNTKGGIKEEKDEAGSFVANETNKCTRFMDMETGTNTIDRSIAHLLFHRPSGPSLNHTTDNAFKPHGLIHGSIM >KJB47197 pep chromosome:Graimondii2_0_v6:8:1739968:1745897:-1 gene:B456_008G015300 transcript:KJB47197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLCMFELVDNVWDEFGASNDHIVPHTVDEYGSQFEVQGDSRKKPRHEVIEVSRSSEDMTKYSIVGEKEKGMHTLTKNRMLEKGLWSHSPDGMFPTAGDNESIKEVTSLASDDPRLSNYGLKTVNIDSVGSEFYSDDSILVDKCDTEDNNVYRFPLNHISKADDDLSFFNNKNEDKENSDLLYYGWGDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQVTESCQDALKADTKLNNLLEHCASSRPDSAASATIGSSKKSVCLSDGISSLNVKGDNAGVAHMSYLNVFNEEPETKDELTPNEQQISPKKQSKQLSASGERKDQHLENGGSFNQYGNIKHSADVKHTFTDSSCQFFSPSDLQQHKQNIGPDSVSCVQTNIPYMHLNYSSPLDQLLGCRTFSSIKSENNGYPSSTNESSYASDQVQSIESSSGPSFGGPAIIMNEKREELHYQQDKQAPLKKNVKHAKVGSKMAFYDPVTVQKQVRQSEQDEGHSEVEGVSVGKLTQLDSSNDQLSSYMSSVLDEVSLEASSFWQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCTNTKGGIKEEKDEAGSFVANETNKCTRFMDMETGTNTIDRSIAHLLFHRPSGPSLNHTTDNAFKPHGLIHGSIM >KJB47204 pep chromosome:Graimondii2_0_v6:8:1741028:1745404:-1 gene:B456_008G015300 transcript:KJB47204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLCMFELVDNVWDEFGASNDHIVPHTVDEYGSQFEVQGDSRKKPRHEVIEVSRSSEDMTKYSIVGEKEKGMHTLTKNRMLEKGLWSHSPDGMFPTAGDNESIKEVTSLASDDPRLSNYGLKTVNIDSVGSEFYSDDSILVDKCDTEDNNVYRFPLNHISKADDDLSFFNNKNEDKENSDLLYYGWGDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQVTESCQDALKADTKLNNLLEHCASSRPDSAASATIGSSKKSVCLSDGISSLNVKGDNAGVAHMSYLNVFNEEPETKDELTPNEQQISPKKQSKQLSASGERKDQHLENGGSFNQYGNIKHSADVKHTFTDSSCQFFSPSDLQQHKQNIGPDSVSCVQTNIPYMHLNYSSPLDQLLGCRTFSSIKSENNGYPSSTNESSYASDQVQSIESSSGPSFGGPAIIMNEKREELHYQQDKQAPLKKNVKHAKVGSKMAFYDPVTVQKQVRQSEQDEGHSEVEGVSVGKLTQLDSSNDQLSSYMSSVLDEVSLEASSFWQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCTNTKGGIKEEKDEAGSFVANETNKYVVVA >KJB47196 pep chromosome:Graimondii2_0_v6:8:1740374:1744765:-1 gene:B456_008G015300 transcript:KJB47196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLCMFELVDNVWDEFGASNDHIVPHTVDEYGSQFEVQGDSRKKPRHEVIEVSRSSEDMTKYSIVGEKEKGMHTLTKNRMLEKGLWSHSPDGMFPTAGDNESIKEVTSLASDDPRLSNYGLKTVNIDSVGSEFYSDDSILVDKCDTEDNNVYRFPLNHISKADDDLSFFNNKNEDKENSDLLYYGWGDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQVTESCQDALKADTKLNNLLEHCASSRPDSAASATIGSSKKSVCLSDGISSLNVKGDNAGVAHMSYLNVFNEEPETKDELTPNEQQISPKKQSKQLSASGERKDQHLENGGSFNQYGNIKHSADVKHTFTDSSCQFFSPSDLQQHKQNIGPDSVSCVQTNIPYMHLNYSSPLDQLLGCRTFSSIKSENNGYPSSTNESSYASDQVQSIESSSGPSFGGPAIIMNEKREELHYQQDKQAPLKKNVKHAKVGSKMAFYDPVTVQKQVRQSEQDEGHSEVEGVSVGKLTQLDSSNDQLSSYMSSVLDEVSLEASSFWQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCTNTKGGIKEEKDEAGSFVANETNKCTRFMDMETGTNTIDRSIAHLLFHRPSGPSLNHTTDNAFKPHGLQIHGSIM >KJB47200 pep chromosome:Graimondii2_0_v6:8:1740290:1745861:-1 gene:B456_008G015300 transcript:KJB47200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLCMFELVDNVWDEFGASNDHIVPHTVDEYGSQFEVQGDSRKKPRHEVIEVSRSSEDMTKYSIVGEKEKGMHTLTKNRMLEKGLWSHSPDGMFPTAGDNESIKEVTSLASDDPRLSNYGLKTVNIDSVGSEFYSDDSILVDKCDTEDNNVYRFPLNHISKADDDLSFFNNKNEDKENSDLLYYGWGDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQVTESCQDALKADTKLNNLLEHCASSRPDSAASATIGSSKKSVCLSDGISSLNVKGDNAGVAHMSYLNVFNEEPETKDELTPNEQQISPKKQSKQLSASGERKDQHLENGGSFNQYGNIKHSADVKHTFTDSSCQFFSPSDLQQHKQNIGPDSVSCVQTNIPYMHLNYSSPLDQLLGCRTFSSIKSENNGYPSSTNESSYASDQVQSIESSSGPSFGGPAIIMNEKREELHYQQDKQAPLKKNVKHAKVGSKMAFYDPVTVQKQVRQSEQDEGHSEVEGVSVGKLTQLDSSNDQLSSYMSSVLDEVSLEASSFWQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCTNTKGGIKEEKDEAGSFVANETNKCTRFMDMETGTNTIDRSIAHLLFHRPSGPSLNHTTDNAFKPHGLIHGSIM >KJB47201 pep chromosome:Graimondii2_0_v6:8:1739968:1745897:-1 gene:B456_008G015300 transcript:KJB47201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLCMFELVDNVWDEFGASNDHIVPHTVDEYGSQFEVQGDSRKKPRHEVIEVSRSSEDMTKYSIVGEKEKGMHTLTKNRMLEKGLWSHSPDGMFPTAGDNESIKEVTSLASDDPRLSNYGLKTVNIDSVGSEFYSDDSILVDKCDTEDNNVYRFPLNHISKADDDLSFFNNKNEDKENSDLLYYGWGDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQVTESCQDALKADTKLNNLLEHCASSRPDSAASATIGSSKKSVCLSDGISSLNVKGDNAGVAHMSYLNVFNEEPETKDELTPNEQQISPKKQSKQLSASGERKDQHLENGGSFNQYGNIKHSADVKHTFTDSSCQFFSPSDLQQHKQNIGPDSVSCVQTNIPYMHLNYSSPLDQLLGCRTFSSIKSENNGYPSSTNESSYASDQVQSIESSSGPSFGGPAIIMNEKREELHYQQDKQAPLKKNVKHAKVGSKMAFYDPVTVQKQVRQSEQDEGHSEVEGVSVGKLTQLDSSNDQLSSYMSSVLDEVSLEASSFWQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCTNTKGGIKEEKDEAGSFVANETNKCTRFMDMETGTNTIDRSIAHLLFHRPSGPSLNHTTDNAFKPHGLIHGSIM >KJB47199 pep chromosome:Graimondii2_0_v6:8:1740374:1744765:-1 gene:B456_008G015300 transcript:KJB47199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLCMFELVDNVWDEFGASNDHIVPHTVDEYGSQFEVQGDSRKKPRHEVIEVSRSSEDMTKYSIVGEKEKGMHTLTKNRMLEKGLWSHSPDGMFPTAGDNESIKEVTSLASDDPRLSNYGLKTVNIDSVGSEFYSDDSILVDKCDTEDNNVYRFPLNHISKADDDLSFFNNKNEDKENSDLLYYGWGDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQVTESCQDALKADTKLNNLLEHCASSRPDSAASATIGSSKKSVCLSDGISSLNVKGDNAGVAHMSYLNVFNEEPETKDELTPNEQQISPKKQSKQLSASGERKDQHLENGGSFNQYGNIKHSADVKHTFTDSSCQFFSPSDLQQHKQNIGPDSVSCVQTNIPYMHLNYSSPLDQLLGCRTFSSIKSENNGYPSSTNESSYASDQVQSIESSSGPSFGGPAIIMNEKREELHYQQDKQAPLKKNVKHAKVGSKMAFYDPVTVQKQVRQSEQDEGHSEVEGVSVGKLTQLDSSNDQLSSYMSSVLDEVSLEASSFWQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCTNTKGGIKEEKDEAGSFVANETNKCTRFMDMETGTNTIDRSIAHLLFHRPSGPSLNHTTDNAFKPHGLQIHGSIM >KJB47203 pep chromosome:Graimondii2_0_v6:8:1741487:1744765:-1 gene:B456_008G015300 transcript:KJB47203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLCMFELVDNVWDEFGASNDHIVPHTVDEYGSQFEVQGDSRKKPRHEVIEVSRSSEDMTKYSIVGEKEKGMHTLTKNRMLEKGLWSHSPDGMFPTAGDNESIKEVTSLASDDPRLSNYGLKTVNIDSVGSEFYSDDSILVDKCDTEDNNVYRFPLNHISKADDDLSFFNNKNEDKENSDLLYYGWGDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQVTESCQDALKADTKLNNLLEHCASSRPDSAASATIGSSKKSVCLSDGISSLNVKGDNAGVAHMSYLNVFNEEPETKDELTPNEQQISPKKQSKQLSASGERKDQHLENGGSFNQYGNIKHSADVKHTFTDSSCQFFSPSDLQQHKQNIGPDSVSCVQTNIPYMHLNYSSPLDQLLGCRTFSSIKSENNGYPSSTNESSYASDQVQSIESSSGPSFGGPAIIMNEKREELHYQQDKQAPLKKNVKHAKVGSKMAFYDPVTVQKQVRQSEQDEGHSEVEGVSVGKLTQLDSSNDQLSSYMSSVLDEVSLEASSFWQLQQVMEKVS >KJB52232 pep chromosome:Graimondii2_0_v6:8:53519366:53520243:1 gene:B456_008G251500 transcript:KJB52232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFRWLLQLHKDVPKAAKFYSQGLDFSVNVCTLRWAELQSGPLKLALMQSPCDNAMQNGCSSVLSFTVNDINSTVTKLMALGAELDGPIKYEIHGKVAALRCIDGHMVGLYEPA >KJB51462 pep chromosome:Graimondii2_0_v6:8:50393618:50398507:-1 gene:B456_008G217100 transcript:KJB51462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLKSYPLLSYVLSRLPSISSRLQSSPSSDTPFDLEQPPPIDAASSSTAPPPIVDQMPHLSHPKVLASMTHAIYDVAQTRSVLQTLGPRPDHESVDMAHHKLAEIDSNLSKSLEELVLSPRPEGVDQAEWRANLADKEQQIRQQAEQEKSMCKSILQLDEMHEAYGKLVKQAEERLVKIYEKAGEVADDSEPVEETNPEVVGILEEAQGKGLERVDLCGRKLRYLPEAFGKISGLLSLNLSGNQFEVIPDSLAGLEKLEELNVSSNLLESLPDSIGLLQNLKILDVSGNKLNALPDSICYCRSLVELDLSFNSLAYLPTNLGNELGNLERLSIYLNKLRSLPNSICKMRSLRFLDAHFNELRGLPNEIGRLTNLEVLNVCSNFSDLTELPETLGELTNLKELDLSNNQIQALPDTFGRLDNLKKLNLEQNPLVIPPLEVVEQGVDAVKLFMAKRWADKLAEEERKSMIEVNEEEENGWLTRSTTWLKRSVSVVGETVSGYLGTAGPTDPILDEER >KJB51461 pep chromosome:Graimondii2_0_v6:8:50392821:50398451:-1 gene:B456_008G217100 transcript:KJB51461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLKSYPLLSYVLSRLPSISSRLQSSPSSDTPFDLEQPPPIDAASSSTAPPPIVDQMPHLSHPKVLASMTHAIYDVAQTRSVLQTLGPRPDHESVDMAHHKLAEIDSNLSKSLEELVLSPRPEGVDQAEWRANLADKEQQIRQQAEQEKSMCKSILQLDEMHEAYGKLVKQAEERLVKIYEKAGEVADDSEPVEETNPEVVGILEEAQGKGLERVDLCGRKLRYLPEAFGKISGLLSLNLSGNQFEVIPDSLAGLEKLEELNVSSNLLESLPDSIGLLQNLKILDVSGNKLNALPDSICYCRSLVELDLSFNSLAYLPTNLGNELGNLERLSIYLNKLRSLPNSICKMRSLRFLDAHFNELRGLPNEIGRLTNLEVLNVCSNFSDLTELPETLGELTNLKELDLSNNQIQALPDTFGRLDNLKKLNLEQNPLVIPPLEVVEQGVDAVKLFMAKRWADKLAEEERKSMIEVNEEEENGWLTRSTTWRF >KJB52034 pep chromosome:Graimondii2_0_v6:8:52983309:52984194:1 gene:B456_008G244900 transcript:KJB52034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLTISQISSILFFSVLFISAHAARFEIRNECPYTVWAAASPGGGRRLDPRQSWTINVPAGTAMARIWGRTNCNFDANGRGHCQTGDCGGLLECQGWGVPPNTLAEYSLNQFGNMDFYDISLIDGFNIPMVFGPTNGGCHNIRCTADLKGQCPNELRAPGGCNNPCTVFKTNEYCCTQGYGTCGPTYFSRFFKDRCHDSYSYPQDDPSSTFTCPAGSNYRVVFCPRGSPRIEMVGSKNQEK >KJB51949 pep chromosome:Graimondii2_0_v6:8:52649823:52654614:1 gene:B456_008G239900 transcript:KJB51949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTTFRDLIPTKLAAGIWNCLVKYKSIPNFPQKETCELLILDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPSKIGGPPEKKEVLLEEHDPIWLELRHAHIADASERLHEKMTTFISKNKAAQLQHGSRDGGEISTRELQKMVQALPQYSEQIDKLSLHVEIAGKINRIIREQGLRELGQLEQDLAFGDAGMKDVIKFLSTNEVASRENKLRLLMILAAIYPEKFEGEKGLNLMKLARLPLEDMTAVNNMALLAPPSEAKKSSGSAFSLKFDMHKKKHATRKDRSGGQETWQLSRFYPIIEELVEKLGKGELSKDDYPCMNDPSPTSHGTSHTASVHEAPVAHSMRSRRTPTWARPRGSDDGYSSDSVLRHASSDFKKFGKRIFVFIVGGATRSELRVCHKLTGKLNREVILGSTSLDDPPQFITKMKLLTAHELSLDDLQI >KJB51947 pep chromosome:Graimondii2_0_v6:8:52646303:52654614:1 gene:B456_008G239900 transcript:KJB51947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSDSDSSSASGDYKNFRQISRERLLHEMLRSAKVKDSKSTWKVLIMDKVTVKIMSYSCKMADITAEGVSLVEDIYRRRQPLPSMEAIYFIQPTKENVIMFLSDMSGRTPLYKKAFVYFSSPVPRELVAHIKKDSSVLPRIGALSEMNLEYFAIDSQGFITDNVKALENLFGDEENTRKGDACLNVMATRIATVFASLREFPFVRYRAAKSLDPMTMTTFRDLIPTKLAAGIWNCLVKYKSIPNFPQKETCELLILDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPSKIGGPPEKKEVLLEEHDPIWLELRHAHIADASERLHEKMTTFISKNKAAQLQHGSRDGGEISTRELQKMVQALPQYSEQIDKLSLHVEIAGKINRIIREQGLRELGQLEQDLAFGDAGMKDVIKFLSTNEVASRENKLRLLMILAAIYPEKFEGEKGLNLMKLARLPLEDMTAVNNMALLAPPSEAKKSSGSAFSLKFDMHKKHATRKDRSGGQETWQLSRFYPIIEELVEKLGKGELSKDDYPCMNDPSPTSHGTSHTASVHEAPVAHSMRSRRTPTWARPRGSDDGYSSDSVLRHASSDFKKFGKRIFVFIVGGATRSELRVCHKLTGKLNREVILGSTSLDDPPQFITKMKLLTAHELSLDDLQI >KJB51948 pep chromosome:Graimondii2_0_v6:8:52647814:52654614:1 gene:B456_008G239900 transcript:KJB51948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSAKVKDSKSTWKVLIMDKVTVKIMSYSCKMADITAEGVSLVEDIYRRRQPLPSMEAIYFIQPTKENVIMFLSDMSGRTPLYKKAFVYFSSPVPRELVAHIKKDSSVLPRIGALSEMNLEYFAIDSQGFITDNVKALENLFGDEENTRKGDACLNVMATRIATVFASLREFPFVRYRAAKSLDPMTMTTFRDLIPTKLAAGIWNCLVKYKSIPNFPQKETCELLILDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPSKIGGPPEKKEVLLEEHDPIWLELRHAHIADASERLHEKMTTFISKNKAAQLQHGSRDGGEISTRELQKMVQALPQYSEQIDKLSLHVEIAGKINRIIREQGLRELGQLEQDLAFGDAGMKDVIKFLSTNEVASRENKLRLLMILAAIYPEKFEGEKGLNLMKLARLPLEDMTAVNNMALLAPPSEAKKSSGSAFSLKFDMHKKKHATRKDRSGGQETWQLSRFYPIIEELVEKLGKGELSKDDYPCMNDPSPTSHGTSHTASVHEAPVAHSMRSRRTPTWARPRGSDDGYSSDSVLRHASSDFKKFGKRIFVFIVGGATRSELRVCHKLTGKLNREVILGSTSLDDPPQFITKMKLLTAHELSLDDLQI >KJB51946 pep chromosome:Graimondii2_0_v6:8:52646236:52654655:1 gene:B456_008G239900 transcript:KJB51946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSDSDSSSASGDYKNFRQISRERLLHEMLRSAKVKDSKSTWKVLIMDKVTVKIMSYSCKMADITAEGVSLVEDIYRRRQPLPSMEAIYFIQPTKENVIMFLSDMSGRTPLYKKAFVYFSSPVPRELVAHIKKDSSVLPRIGALSEMNLEYFAIDSQGFITDNVKALENLFGDEENTRKGDACLNVMATRIATVFASLREFPFVRYRAAKSLDPMTMTTFRDLIPTKLAAGIWNCLVKYKSIPNFPQKETCELLILDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPSKIGGPPEKKEVLLEEHDPIWLELRHAHIADASERLHEKMTTFISKNKAAQLQHGSRDGGEISTRELQKMVQALPQYSEQIDKLSLHVEIAGKINRIIREQGLRELGQLEQDLAFGDAGMKDVIKFLSTNEVASRENKLRLLMILAAIYPEKFEGEKGLNLMKLARLPLEDMTAVNNMALLAPPSEAKKSSGSAFSLKFDMHKKKHATRKDRSGGQETWQLSRFYPIIEELVEKLGKGELSKDDYPCMNDPSPTSHGTSHTASVHEAPVAHSMRSRRTPTWARPRGSDDGYSSDSVLRHASSDFKKFGKRIFVFIVGGATRSELRVCHKLTGKLNREVILGSTSLDDPPQFITKMKLLTAHELSLDDLQI >KJB46977 pep chromosome:Graimondii2_0_v6:8:565117:566834:-1 gene:B456_008G003500 transcript:KJB46977 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g48140/F11L15.4 [Source:Projected from Arabidopsis thaliana (AT2G48140) UniProtKB/TrEMBL;Acc:Q94AX3] MEGFKVLHLIAILSTLSMVSVNGQISTACTASMISSFTPCLNFITGSSGNGSSSPTQGCCGSLKSLMSTSMDCACLIITASVPFQLPINRTLALSLPRACNMGGVPVQCKASGTPLPAPGPVPFLLPPTLAPAAASPLSPGASEASTPESDTPLDLAPASPPEAPSATMNPGIRPVLQPSASTPSYVSLPLAFTLLIGTTIFKFN >KJB46976 pep chromosome:Graimondii2_0_v6:8:565117:566797:-1 gene:B456_008G003500 transcript:KJB46976 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g48140/F11L15.4 [Source:Projected from Arabidopsis thaliana (AT2G48140) UniProtKB/TrEMBL;Acc:Q94AX3] MEGFKVLHLIAILSTLSMVSVNGQISTACTASMISSFTPCLNFITGSSGNGSSSPTQGCCGSLKSLMSTSMDCACLIITASVPFQLPINRTLALSLPRACNMGGVPVQCKASGTPLPAPEMLGGLFVLLGDLATRRSVTHKALFPFYFHQLWHQQPHLP >KJB50067 pep chromosome:Graimondii2_0_v6:8:40891262:40914937:-1 gene:B456_008G151800 transcript:KJB50067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIRGWNSFKRKLEPLMAGSKYDADFLKEFYIPTYIFSGETNISDVPEAPKYPVLVFINSKSGGQLGGDLLVTYRALLNERQVTDLGEEAPDKVLSRLYISLEKLKQENDKFAAKIYERLKIIVAGGDGTAGWLLGVVCDLKLSHPPPIATVPLGTGNNLPFAFGWGKKNPETDRNSVLSFLEQVMKSKEMKIDNWHLLMRMKIPKGGSCDPVAPLELPHSLHAFSRVSSTDELNMEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKVNPEKFKNQLVNQTTYAKLGCKQGWFAASTFHPSTTNVAQLVKVRIKKKLGQWEVLQIHHSIRSIVCLNLPSFSGGLNPWGVPSGRRQRDKDFTPPYVDDGLLEVVGFRNAWHGLVLLAPKGHGTRLAQAHRIRFEFHKGAADHTFMRIDGEPWKQPLPVDSDTVVVEISHLGQVNMLATQDCRSKSINDDTSSSSHRSQVNDDDDDDDDDDDDDDGGGGDDDDPEEFRKFGAANTFKIPDDLEISHLS >KJB50143 pep chromosome:Graimondii2_0_v6:8:41533733:41534467:1 gene:B456_008G155400 transcript:KJB50143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPLSPILLSLFLVFICGVSAQTAPAPAPSGPLNFTGILDKNGQYTYFLQLLAQTQVGSQVQTQLKTTTEGFTVFAPTDNAFNNLKPGTVNNLDPQQKVQLVLYHVIPKYYSLNDLQFVSNPVRTQAGQDFGLNVTGLNNQVNVSSGVVETQINNALYQKKPLAIYQADKVLLPEEFFEAKSPAAAPSPATKKSSTGSKSNSRASATADEPASADNSGSTGRNMGLGFVVGLALACMGFLS >KJB48794 pep chromosome:Graimondii2_0_v6:8:34045841:34047453:1 gene:B456_008G109400 transcript:KJB48794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTFIMIKPDGVQRGLVGEIIGRFEKKGFYLKGLKLISVDRSFAEKHYADLSAKPFFNGLVEYIISGPVVAMIWEGKNVVTTGRKIIGATNPAESAPGTIRGDFAIDIGRYIWNVIHGSDSVESARKEIALWFPECPVNWQSSLHPWIYE >KJB48793 pep chromosome:Graimondii2_0_v6:8:34045945:34046894:1 gene:B456_008G109400 transcript:KJB48793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTFIMIKPDGVQRGLVGEIIGRFEKKGFYLKGLKLISVDRSFAEKHYADLSAKPFFNGLVEYIISGPVVAMIWEGKNVVTTGRKIIGATNPAESAPGTIRGDFAIDIGRYIWCLPFFTFNC >KJB48792 pep chromosome:Graimondii2_0_v6:8:34045785:34047453:1 gene:B456_008G109400 transcript:KJB48792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTFIMIKPDGVQRGLVGEIIGRFEKKGFYLKGLKLISVDRSFAEKHYADLSAKPFFNGLVEYIISGPVVAMIWEGKNVVTTGRKIIGATNPAESAPGTIRGDFAIDIGRNVIHGSDSVESARKEIALWFPECPVNWQSSLHPWIYE >KJB49123 pep chromosome:Graimondii2_0_v6:8:30507516:30514111:-1 gene:B456_008G102000 transcript:KJB49123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGGKRKSTSQKQETEVKASSSSQENQKENHKLASQAKRAKTSKPQPQLEYFEDKRNLEDLWKAAFPVGTEWDQLDSVYQFNWNFSNLEEAFEEGGRLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAAQKPVFCEFDWELDELDEFTDQLIEADELDKDKKDAFKEFVKERVREAKKANRQAREARKKALEEMSEETKAAFENMRFYKFYPIPTPDTPDVSNVKVLWEGS >KJB49127 pep chromosome:Graimondii2_0_v6:8:30507530:30513670:-1 gene:B456_008G102000 transcript:KJB49127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGGKRKSTSQKQETEVKASSSSQENQKENHKLASQAKRAKTSKPQPQLEYFEDKRNLEDLWKAAFPVGTEWDQLDSVYQFNWNFSNLEEAFEEGGRLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRDSQVDRLQSQMFILSCTQRRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAAQKPVFCEFDWELDELDEFTDQLIEADELDKDKKDAFKEFVKERVREAKKANRQAREARKKALEEMSEETKAAFENMRFYKFYPIPTPDTPDVSNVKAPFINRYYGKAHEVL >KJB49134 pep chromosome:Graimondii2_0_v6:8:30509278:30513620:-1 gene:B456_008G102000 transcript:KJB49134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGGKRKSTSQKQETEVKASSSSQENQKENHKLASQAKRAKTSKPQPQLEYFEDKRNLEDLWKAAFPVGTEWDQLDSVYQFNWNFSNLEEAFEEGGRLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRDSQVDRLQSQMFILSCTQRRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAAQKPVFCEFDWELDELDEFTDQLIEADELDKDKKDAFKVKFI >KJB49124 pep chromosome:Graimondii2_0_v6:8:30507516:30514111:-1 gene:B456_008G102000 transcript:KJB49124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGGKRKSTSQKQETEVKASSSSQENQKENHKLASQAKRAKTSKPQPQLEYFEDKRNLEDLWKAAFPVGTEWDQLDSVYQFNWNFSNLEEAFEEGGRLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAAQKPVFCEFDWELDELDAREARKKALEEMSEETKAAFENMRFYKFYPIPTPDTPDVSNVKVLWEGS >KJB49122 pep chromosome:Graimondii2_0_v6:8:30508250:30513670:-1 gene:B456_008G102000 transcript:KJB49122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGGKRKSTSQKQETEVKASSSSQENQKENHKLASQAKRAKTSKPQPQLEYFEDKRNLEDLWKAAFPVGTEWDQLDSVYQFNWNFSNLEEAFEEGGRLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRDSQVDRLQSQMFILSCTQRRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAAQKPVFCEFDWELDELDAREARKKALEEMSEETKAAFENMRFYKFYPIPTPDTPDVSNVKAPFINRYYGKAHEVL >KJB49132 pep chromosome:Graimondii2_0_v6:8:30508169:30514111:-1 gene:B456_008G102000 transcript:KJB49132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGGKRKSTSQKQETEVKASSSSQENQKENHKLASQAKRAKTSKPQPQLEYFEDKRNLEDLWKAAFPVGTEWDQLDSVYQFNWNFSNLEEAFEEGGRLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRDSQVDRLQSQMFILSCTQRRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAAQKPVFCEFDWELDELDEFTDQLIEADELDKDKKDAFKEFVKERVREAKKANRQAREARKKALEEMSEETKAAFENMRFYKFYPIPTPDTPDVSNVKAPFINRYYGKAHEVL >KJB49133 pep chromosome:Graimondii2_0_v6:8:30508582:30513620:-1 gene:B456_008G102000 transcript:KJB49133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGGKRKSTSQKQETEVKASSSSQENQKENHKLASQAKRAKTSKPQPQLEYFEDKRNLEDLWKAAFPVGTEWDQLDSVYQFNWNFSNLEEAFEEGGRLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRDSQVDRLQSQMFILSCTQRSSFCRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAAQKPVFCEFDWELDELDEFTDQLIEADELDKDKKDAFKEFVKERVREAKKANRQAREARKKALEEMSEETKAAFENMRFYKFYPIPTPDTPDVSNVKAPFINRYYGKAHEVL >KJB49131 pep chromosome:Graimondii2_0_v6:8:30507530:30513670:-1 gene:B456_008G102000 transcript:KJB49131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCISCWNRVGSIGQCLPIQLELFKSRSQRHLKRGGGYMGRKFISSVAQSVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAAQKPVFCEFDWELDELDEFTDQLIEADELDKDKKDAFKEFVKERVREAKKANRQAREARKKALEEMSEETKAAFENMRFYKFYPIPTPDTPDVSNVKAPFINRYYGKAHEVL >KJB49125 pep chromosome:Graimondii2_0_v6:8:30508592:30513620:-1 gene:B456_008G102000 transcript:KJB49125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGGKRKSTSQKQETEVKASSSSQENQKENHKLASQAKRAKTSKPQPQLEYFEDKRNLEDLWKAAFPVGTEWDQLDSVYQFNWNFSNLEEAFEEGGRLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRDSQVDRLQSQMFILSCTQRRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAAQKPVFCEFDWELDELDEFTDQLIEADELDKDKKDAFKEFVKERVREAKKANRQAREARKKALEEMSEETKAAFENMRFYKFYPIPTPDTPDVSNVKVLWEGS >KJB49130 pep chromosome:Graimondii2_0_v6:8:30508919:30513620:-1 gene:B456_008G102000 transcript:KJB49130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGGKRKSTSQKQETEVKASSSSQENQKENHKLASQAKRAKTSKPQPQLEYFEDKRNLEDLWKAAFPVGTEWDQLDSVYQFNWNFSNLEEAFEEGGRLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRDSQVDRLQSQMFILSCTQRRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAAQKPVFCEFDWELDELDEFTDQLIEADELDKDKKDAFKEFVKERVREAKKANRQAREARKKALEEMSEETKAAFENMRFYKFYPIPTPDTPDVSNVKVRFYDLLLM >KJB49128 pep chromosome:Graimondii2_0_v6:8:30508582:30513620:-1 gene:B456_008G102000 transcript:KJB49128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGGKRKSTSQKQETEVKASSSSQENQKENHKLASQAKRAKTSKPQPQLEYFEDKRNLEDLWKAAFPVGTEWDQLDSVYQFNWNFSNLEEAFEEGGRLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAAQKPVFCEFDWELDELDAREARKKALEEMSEETKAAFENMRFYKFYPIPTPDTPDVSNVKAPFINRYYGKAHEVL >KJB49121 pep chromosome:Graimondii2_0_v6:8:30507516:30513855:-1 gene:B456_008G102000 transcript:KJB49121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGGKRKSTSQKQETEVKASSSSQENQKENHKLASQAKRAKTSKPQPQLEYFEDKRNLEDLWKAAFPVGTEWDQLDSVYQFNWNFSNLEEAFEEGGRLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAAQKPVFCEFDWELDELDEFTDQLIEADELDKDKKDAFKEFVKERVREAKKANRQAREARKKALEEMSEETKAAFENMRFYKFYPIPTPDTPDVSNVKAPFINRYYGKAHEVL >KJB49129 pep chromosome:Graimondii2_0_v6:8:30508582:30513620:-1 gene:B456_008G102000 transcript:KJB49129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGGKRKSTSQKQETEVKASSSSQENQKENHKLASQAKRAKTSKPQPQLEYFEDKRNLEDLWKAAFPVGTEWDQLDSVYQFNWNFSNLEEAFEEGGRLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRDSQVDRLQSQMFILSCTQRSSFCRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAAQKPVFCEFDWELDELDEFTDQLIEADELDKDKKDAFKEFVKERVREAKKANRQAREARKKALEEMSEETKAAFENMRFYKFYPIPTPDTPDVSNVKAPFINRYYGKAHEVL >KJB49126 pep chromosome:Graimondii2_0_v6:8:30507516:30514111:-1 gene:B456_008G102000 transcript:KJB49126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGGKRKSTSQKQETEVKASSSSQENQKENHKLASQAKRAKTSKPQPQLEYFEDKRNLEDLWKAAFPVGTEWDQLDSVYQFNWNFSNLEEAFEEGGRLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRDSQVDRLQSQMFILSCTQRRVALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAAQKPVFCEFDWELDELDAREARKKALEEMSEETKAAFENMRFYKFYPIPTPDTPDVSNVKVLWEGS >KJB47990 pep chromosome:Graimondii2_0_v6:8:6980808:6983870:1 gene:B456_008G049500 transcript:KJB47990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRSMLCFTKLVAQALNVVNCAKKGTLVLEHKDERDLGLHLLQFAEVVEEACTNLLPNVVCDYLYNLSEIFSKFYSNPECKVIGSDKETSRLLLCEATAMVMRKCFNLLGITPIYKI >KJB47991 pep chromosome:Graimondii2_0_v6:8:6981957:6983545:1 gene:B456_008G049500 transcript:KJB47991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRSMLCFTKLVAQALNVVNCAKKGTLVLEHKDERDLGLHLLQFAEVVEEACTNLLPNVVCDYLYNLSEIFSKFYSNPECKVKDVAMKL >KJB51811 pep chromosome:Graimondii2_0_v6:8:51922395:51927366:1 gene:B456_008G232800 transcript:KJB51811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEKKAVSLEAINKEIVDLENIPIEEVLEKLKCTKEGLTTDEVQQRLELFGYNKLEEKKENKILKFLGFMWNPLSWVMEAAAVMAIALAHGGKKETDYHDFVGILALLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWNEEDASVLVPGDIISIKLGDIIPADARLLQGDPLKIDQSALTGESLPVTKHPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVESTTHVGHFQQVLTSIGNFCICSIAIGMLAELIVIYGAQRRSYRTGIDNLLVILIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFVNNVDKDTVILMAARASRLENQDAIDTAIVAMLADPKEARAGITEVHFLPFNPTDKRTALTYVDEAGKMHRVSKGAPEQILNLAYNKSEIGKKVHSIIDKYAERGLRSLAVARQEVPAGTKDSPGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDHKNEEIGAFSIDELIENADGFAGVFPEHKFEIVKRLQAKKHIVGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTLIWRLNFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGSYLALMTLIFFWAAYETDFFPDKFGVRSLSKKSFDLTQESERRKVNALLSSAVYLQVSTISQALIFVTRSRGWSFTERPGLLLVTAFIIAQLVATVISAQATWSFAGIRAVGWGWCGVIWIYNILTYFLLDPIKFAVRYALSGKAWDLVLNQRTAFSTQKDFGKEAREAAWAAEQRTLHGLQSISDAKITEKHNFRDISVMAEEARRRAEIARLREIHTLKGKVESFAKLRGLDIDVNPHYTV >KJB51812 pep chromosome:Graimondii2_0_v6:8:51923710:51927366:1 gene:B456_008G232800 transcript:KJB51812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAELIVIYGAQRRSYRTGIDNLLVILIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFVNNVDKDTVILMAARASRLENQDAIDTAIVAMLADPKEARAGITEVHFLPFNPTDKRTALTYVDEAGKMHRVSKGAPEQILNLAYNKSEIGKKVHSIIDKYAERGLRSLAVARQEVPAGTKDSPGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDHKNEEIGAFSIDELIENADGFAGVFPEHKFEIVKRLQAKKHIVGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTLIWRLNFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGSYLALMTLIFFWAAYETDFFPDKFGVRSLSKKSFDLTQESERRKVNALLSSAVYLQVSTISQALIFVTRSRGWSFTERPGLLLVTAFIIAQLVATVISAQATWSFAGIRAVGWGWCGVIWIYNILTYFLLDPIKFAVRYALSGKAWDLVLNQRTAFSTQKDFGKEAREAAWAAEQRTLHGLQSISDAKITEKHNFRDISVMAEEARRRAEIARLREIHTLKGKVESFAKLRGLDIDVNPHYTV >KJB48080 pep chromosome:Graimondii2_0_v6:8:7453633:7455338:-1 gene:B456_008G052600 transcript:KJB48080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYQAFELTILVSLSFASLAASLFPNITANPPWLHPNATFLAPWDAFIKFAGCHSGETRHGLSKLKHYFSNFGYIPITAPNFSDDFDDELEKALKTYQQNFNLNVTGQLDDQTLQQIVKPRCGNADIVNGTTSMNSGKSPSFHTTGHFHTTGHYSFFPGTPRWPANRRDLTYGFLPGNELTDEIKAVFSSAFQKWSAVTPLTFSQTDSLLTADIKIGFYSGDHGDGEPFDGVLGTLAHAFSPPSGRFHLDADENWIVSGDVMRSAVPSAVDLETVAVHEIGHLLGLGHSSVEDAIMYPTITSRTRKVELANDDIEGIQWLYGSNPNYNGSTTPTSTTEERETSGGEIRYLASRWTLAVFLAVGFRALLL >KJB52349 pep chromosome:Graimondii2_0_v6:8:53912912:53914738:-1 gene:B456_008G257400 transcript:KJB52349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEIVGPRLYSCCNCRNQVALHDDVISKSFQGRNGRAFLFSHAMNVMVGPKEDRQLMTGLHTVADVYCRDCREVLGWKYERAYEETQKYKEGKFILEKSKIVKENW >KJB51164 pep chromosome:Graimondii2_0_v6:8:48990786:48991929:-1 gene:B456_008G204500 transcript:KJB51164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGKALPKFGEWDLKDPATADGFTVIFNKARNEKKTRATAPPPPSIVPQKVDTVNKPPPSPPKTKCFCFVRV >KJB51162 pep chromosome:Graimondii2_0_v6:8:48990436:48992053:-1 gene:B456_008G204500 transcript:KJB51162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGKALPKFGEWDLKDPATADGFTVIFNKARNEKKTRATAPPPPSIVPQKVDTVNKPPPSPPKSLKGSI >KJB51163 pep chromosome:Graimondii2_0_v6:8:48990786:48991929:-1 gene:B456_008G204500 transcript:KJB51163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGKALPKFGEWDLKDPATADGFTVIFNKARNEKKTRATAPPPPSIVPQKVDTVNKPPPSPPKTKCFCFVRV >KJB51065 pep chromosome:Graimondii2_0_v6:8:48462197:48464598:1 gene:B456_008G199700 transcript:KJB51065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSREAKQEGETNDGSGGGNKLSKGPSSSSSSRHWSSAGFRNPRIVRVSRSFGGKDRHSKVCTVRGLRDRRIRLSVPTAIQLYDLQERLGVGQPSKVVDWLLEATKDDIDKLPPLQMPLGFNNQFHQPFLVPHEPNPSFLDPNSMLMKDGEEEDQRMAGDRDKGKWIKMNEDENHGGNNNNNNIEDQRLFPLTNHSPFPGLLNNGMPLNSCYHWEPSGLSLSQFGNHGLMAPQTENFFNGNTTSVPLPCSTTVPSATMASFFPTYTPYGTNPGSNDSRQMNYLQLLSSNFLSNSMKPFSLNVNAGLTHTQNDDENHGDDQDNTDS >KJB51066 pep chromosome:Graimondii2_0_v6:8:48462197:48464598:1 gene:B456_008G199700 transcript:KJB51066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSREAKQEGETNDGSGGGNKLSKGPSSSSSSRHWSSAGFRNPRIVRVSRSFGGKDRHSKVCTVRGLRDRRIRLSVPTAIQLYDLQERLGVGQPSKVVDWLLEATKDDIDKLPPLQMPLGFNNQFHQPFLVPHEPNPSFLDPNSMLMKDGEEEDQRMAGDRDKGKWIKMNEDENHGGNNNNNNIEDQRLFPLTNHSPFPGLLNNGMPLNSCYHWEPSGLSLSQFGNHGLMAPQTENFFNGNTTSVPLPCSTTVPSATMASFFPTYTPYGTNPGSNDSRQMNYLQLLSSNFLSNSMKPFSLNVNAGLTHTQNDDENHGDDQDNTDS >KJB47896 pep chromosome:Graimondii2_0_v6:8:6223718:6226749:1 gene:B456_008G046100 transcript:KJB47896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGWSVMQTEENGHMQRVPPIQAASVGWPGLPRAPTTPIVKRVVRLDVPVDKYPNYNFVGRILGPRGNSLKRVEAMTECRVYIRGKGSVKDSVKEEKLKDKPGYEHLNEPLHVLVEAEFPEDMVNSRLEYAVAILDNLLKPVDESLDSYKKQQLRELALLNGTLREESPSMSPSMSPSMSPGMSPFNSTGMKRAKTGR >KJB47893 pep chromosome:Graimondii2_0_v6:8:6223189:6226749:1 gene:B456_008G046100 transcript:KJB47893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGERIPPGSYFQYPPSGVPASPHRASSLPTDRDRYLAELLAEKHKLVPFTQVLPLCTRLVNQEIRRVSSLTPSFVDQERFEHDSPFRSLGQHPNGRQMDLEGWSVMQTEENGHMQRVPPIQAASVGWPGLPRAPTTPIVKRVVRLDVPVDKYPNYNFVGRILGPRGNSLKRVEAMTECRVYIRGKGSVKDSVKEEKLKDKPGYEHLNEPLHVLVEAEFPEDMVNSRLEYAVAILDNLLKPVDESLDSYKKQQLRELALLNGTLREESPSMSPSMSPSMSPGMSPFNSTGMKRAKTGR >KJB47892 pep chromosome:Graimondii2_0_v6:8:6223189:6226749:1 gene:B456_008G046100 transcript:KJB47892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGERIPPGSYFQYPPSGVPASPHRASSLPTDRDRYLAELLAEKHKLVPFTQVLPLCTRLVNQEIRRVSSLTPSFVDQERFEHDSPFRSLGQHPNGRQMDLEGWSVMQTEENGHMQRVPPIQAASVGWPGLPRAPTTPIVKRVVRLDVPVDKYPNEEKLKDKPGYEHLNEPLHVLVEAEFPEDMVNSRLEYAVAILDNLLKPVDESLDSYKKQQLRELALLNGTLREESPSMSPSMSPSMSPGMSPFNSTGMKRAKTGR >KJB47894 pep chromosome:Graimondii2_0_v6:8:6223219:6226749:1 gene:B456_008G046100 transcript:KJB47894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGERIPPGSYFQYPPSGVPASPHRASSLPTDRDRYLAELLAEKHKLVPFTQVLPLCTRLVNQEIRRVSSLTPSFVDQERFEHDSPFRSLGQHPNGRQMDLEGWSVMQTEENGHMQRVPPIQAASVGWPGLPRAPTTPIVKRVVRLDVPVDKYPNYNFVGRILGPRGNSLKRVEAMTECRVYIRGKGSVKDSVKEEKLKDKPGYEHLNEPLHVLVEAEFPEDMVNSRLEYAVAILDNLLKPVDESLDSYKKQQLRELALLNGTLREESPSMSPSMSPSMSPGMSPFNSTGMKRAKTGR >KJB47895 pep chromosome:Graimondii2_0_v6:8:6223718:6226749:1 gene:B456_008G046100 transcript:KJB47895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGWSVMQTEENGHMQRVPPIQAASVGWPGLPRAPTTPIVKRVVRLDVPVDKYPNYNFVGRILGPRGNSLKRVEAMTECRVYIRGKGSVKDSVKEEKLKDKPGYEHLNEPLHVLVEAEFPEDMVNSRLEYAVAILDNLLKPVDESLDSYKKQQLRELALLNGTLREESPSMSPSMSPSMSPGMSPFNSTGMKRAKTGR >KJB47891 pep chromosome:Graimondii2_0_v6:8:6223189:6226749:1 gene:B456_008G046100 transcript:KJB47891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGERIPPGSYFQYPPSGVPASPHRASSLPTDRDRYLAELLAEKHKLVPFTQVLPLCTRLVNQEIRRVSSLTPSFVDQERFEHDSPFRSLGQHPNGRQMDLEGWSVMQTEENGHMQRVPPIQAASVGWPGLPRAPTTPIVKRVVRLDVPVDKYPNYNFVGRILGPRGNSLKRVEAMTECRVYIRGKETER >KJB51942 pep chromosome:Graimondii2_0_v6:8:52630536:52631691:1 gene:B456_008G239700 transcript:KJB51942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVWSPETASKAYIDTVKSCELHHESGVAELVSAMAAGWNARFIVETWSQGGATATSVGLAVASSHTNGRHVSIVPDERSRLEYVEALEEAGMSPPEVIVGEPEEAMNLLNGIDFMVVDSQRRDFSRVLRLAKLSNRGAVLICKNASSKNGSSFKWRSVIDDGSRRLVRSVFLPVGKGLDIAHIATSGGNSGSGKVQRRWIKHVDRQSGEEHVIRK >KJB51906 pep chromosome:Graimondii2_0_v6:8:52333476:52338907:1 gene:B456_008G237100 transcript:KJB51906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFHSSEEKMLMLGDFDLNSVQRYTDSLKDIFKLTMLDQEIIFRNQVHELHRLYSVQKTLMKDLHLQEHETYNLWKENDQSWSQETRLPADSIPMLGSRVSSSQKLLGEWKGNNYHKFQRGPFDLQLLPDQYTNLGDDNLPNKRKVGDHLKEAIYVNSQQDADFSDPLDLRLSLSLGVATGKKEDTRRSWYGKNSNTFPRIVIDLEESNERTSDEEAKHLPSDFVAKVADSGGKHDSEVTVISNPVTSRSMKKELCCGIAESSSFVMDSKCCIDWSCSDQGSKRLDNMAHENFLTRKQQFKSYGVRHLDLNEVQLGDSSCHLNDAIAAHPSTTSLSGGFSELVSRSRKTLCPTAFVIKEIKFSNNNFEMLQQEDGVKLALMNSNSKDRRKDVQVRNSELNGKNECETSFVGLACISSTQTNLSQEHGSHHSNTQNGRDVLMPELQTDSAHGLNTARAVAMQVNCKKTEKGGTLLCSDKTQIIIEDEHPDQSPTSGKSSCISDNDSSPVRTMQSRTEPYDSNLPASDQFSGTHERSQVVETFSSELDQRSSDSNEMKHECNNNREESAEVDDLLQTAAESLIHLSLENPAFHHESSTKTESNELENEDKGHRQCTSDYFELMTLQLTESSVDVYSVASKPFEVSELEGKDFSIKLRRGRRLKDFQRDILPGLACLSRHEIREDVNILEGVLRSREYKRMRAKMGNGESWCTPMRGKRSRLTYVGRKSFR >KJB51907 pep chromosome:Graimondii2_0_v6:8:52333476:52338907:1 gene:B456_008G237100 transcript:KJB51907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFHSSEEKMLMLGDFDLNSVQRYTDSLKDIFKLTMLDQEIIFRNQVHELHRLYSVQKTLMKDLHLQEHETYNLWKENDQSWSQETRLPADSIPMLGSRVSSSQKLLGEWKGNNYHKFQRGPFDLQLLPDQYTNLGDDNLPNKRKVGDHLKEAIYVNSQQDADFSDPLDLRLSLSLGVATGKKEDTRRSWYGKNSNTFPRIVIDLEESNERTSDEEAKHLPSDFVAKVADSGGKHDSEVTVISNPVTSRSMKKELCCGIAESSSFVMDSKCCIDWSCSDQGSKRLDNMAHENFLTRKQQFKSYGVRHLDLNEVQLGDSSCHLNDAIAAHPSTTSLSGGFSELVSRSRKTLCPTAFVIKEIKFSNNNFEMLQQEDGVKLALMNSNSKDRRKDVQVRNSELNGKNECETSFVGLACISSTQTNLSQEHGSHHSNTQNGRDVLMPELQTDSAHGLNTARAVAMQVNCKKTEKGGTLLCSDKTQIIIEDEHPDQSPTSGKSSCISDNDSSPVRTMQSRTEPYDSNLPASDQFSGTHERSQVVETFSSELDQRSSDSNEMKHECNNNREESAEVDDLLQTAAESLIHLSLENPAFHHESSTKTESNELENEDKGHRQCTSDYFELMTLQLTESSVDVYSVASKPFEVSELEGKDFSIKLRRGRRLKDFQRDILPGLACLSRHEIREDVNILEGVLRSREYKRMRAKMGNGESWCTPMRGKRSRLTYVGRKSFR >KJB51902 pep chromosome:Graimondii2_0_v6:8:52333614:52338907:1 gene:B456_008G237100 transcript:KJB51902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFHSSEEKMLMLGDFDLNSVQRYTDSLKDIFKLTMLDQEIIFRNQVHELHRLYSVQKTLMKDLHLQEHETYNLWKENDQSWSQETRLPADSIPMLGSRVSSSQKLLGEWKGNNYHKFQRGPFDLQLLPDQYTNLGDDNLPNKRKVGDHLKEAIYVNSQQDADFSDPLDLRLSLSLGVATGKKEDTRRSWYGKNSNTFPRIVIDLEESNERTSDEEAKHLPSDFVAKVADSGGKHDSEVTVISNPVTSRSMKKELCCGIAESSSFVMDSKCCIDWSCSDQGSKRLDNMAHENFLTRKQQFKSYGVRHLDLNEVQLGDSSCHLNDAIAAHPSTTSLSGGFSELVSRSRKTLCPTAFVIKEIKFSNNNFEMLQQEDGVKLALMNSNSKDRRKDVQVRNSELNGKNECETSFVGLACISSTQTNLSQEHGSHHSNTQNGRDVLMPELQTDSAHGLNTARAVAMQVNCKKTEKGGTLLCSDKTQIIIEDEHPDQSPTSGKSSCISDNDSSPVRTMQSRTEPYDSNLPASDQFSGTHERSQVVETFSSELDQRSSDSNEMKHECNNNREESAEVDDLLQTAAESLIHLSLENPAFHHESSTKTESNELENEDKGHRQCTSDYFELMTLQLTESSVDVYSVASKPFEVSELEGKDFSIKLRRGRRLKDFQRDILPGLACLSRHEIREDVNILEGVLRSREYKRMRAKMGNGESWCTPMRGKRSRLTYVGRKSFR >KJB51903 pep chromosome:Graimondii2_0_v6:8:52336072:52338266:1 gene:B456_008G237100 transcript:KJB51903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPCNRDMLGSRVSSSQKLLGEWKGNNYHKFQRGPFDLQLLPDQYTNLGDDNLPNKRKVGDHLKEAIYVNSQQDADFSDPLDLRLSLSLGVATGKKEDTRRSWYGKNSNTFPRIVIDLEESNERTSDEEAKHLPSDFVAKVADSGGKHDSEVTVISNPVTSRSMKKELCCGIAESSSFVMDSKCCIDWSCSDQGSKRLDNMAHENFLTRKQQFKSYGVRHLDLNEVQLGDSSCHLNDAIAAHPSTTSLSGGFSELVSRSRKTLCPTAFVIKEIKFSNNNFEMLQQEDGVKLALMNSNSKDRRKDVQVRNSELNGKNECETSFVGLACISSTQTNLSQEHGSHHSNTQNGRDVLMPELQTDSAHGLNTARAVAMQVNCKKTEKGGTLLCSDKTQIIIEDEHPDQSPTSGKSSCISDNDSSPVRTMQSRTEPYDSNLPASDQFSGTHERSQVVETFSSELDQRSSDSNEMKHECNNNREESAEVDDLLQTAAESLIHLSLENPAFHHESSTKTESNELENEDKGHRQCTSDYFELMTLQLTESSVDVYSVASKPFEVSELEGKDFSIKLRRGRRLKDFQRDILPGLACLSRHEIREDVNILEGVLRSREYKRMRAKMGNGESWCTPMRGKRSRLTYVGRKSFR >KJB51905 pep chromosome:Graimondii2_0_v6:8:52336072:52338266:1 gene:B456_008G237100 transcript:KJB51905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPCNRDMLGSRVSSSQKLLGEWKGNNYHKFQRGPFDLQLLPDQYTNLGDDNLPNKRKVGDHLKEAIYVNSQQDADFSDPLDLRLSLSLGVATGKKEDTRRSWYGKNSNTFPRIVIDLEESNERTSDEEAKHLPSDFVAKVADSGGKHDSEVTVISNPVTSRSMKKELCCGIAESSSFVMDSKCCIDWSCSDQGSKRLDNMAHENFLTRKQQFKSYGVRHLDLNEVQLGDSSCHLNDAIAAHPSTTSLSGGFSELVSRSRKTLCPTAFVIKEIKFSNNNFEMLQQEDGVKLALMNSNSKDRRKDVQVRNSELNGKNECETSFVGLACISSTQTNLSQEHGSHHSNTQNGRDVLMPELQTDSAHGLNTARAVAMQVNCKKTEKGGTLLCSDKTQIIIEDEHPDQSPTSGKSSCISDNDSSPVRTMQSRTEPYDSNLPASDQFSGTHERSQVVETFSSELDQRSSDSNEMKHECNNNREESAEVDDLLQTAAESLIHLSLENPAFHHESSTKTESNELENEDKGHRQCTSDYFELMTLQLTESSVDVYSVASKPFEVSELEGKDFSIKLRRGRRLKDFQRDILPGLACLSRHEIREDVNILEGVLRSREYKRMRAKMGNGESWCTPMRGKRSRLTYVGRKSFR >KJB51904 pep chromosome:Graimondii2_0_v6:8:52333779:52338520:1 gene:B456_008G237100 transcript:KJB51904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFHSSEEKMLMLGDFDLNSVQRYTDSLKDIFKLTMLDQEIIFRNQVHELHRLYSVQKTLMKDLHLQEHETYNLWKENDQSWSQETRLPADSIPMLGSRVSSSQKLLGEWKGNNYHKFQRGPFDLQLLPDQYTNLGDDNLPNKRKVGDHLKEAIYVNSQQDADFSDPLDLRLSLSLGVATGKKEDTRRSWYGKNSNTFPRIVIDLEESNERTSDEEAKHLPSDFVAKVADSGGKHDSEVTVISNPVTSRSMKKELCCGIAESSSFVMDSKCCIDWSCSDQGSKRLDNMAHENFLTRKQQFKSYGVRHLDLNEVQLGDSSCHLNDAIAAHPSTTSLSGGFSELVSRSRKTLCPTAFVIKEIKFSNNNFEMLQQEDGVKLALMNSNSKDRRKDVQVRNSELNGKNECETSFVGLACISSTQTNLSQEHGSHHSNTQNGRDVLMPELQTDSAHGLNTARAVAMQVNCKKTEKGGTLLCSDKTQIIIEDEHPDQSPTSGKSSCISDNDSSPVRTMQSRTEPYDSNLPASDQFSGTHERSQVVETFSSELDQRSSDSNEMKHECNNNREESAEVDDLLQTAAESLIHLSLENPAFHHESSTKTESNELENEDKGHRQCTSDYFELMTLQLTESSVDVYSVASKPFEVSELEGKDFSIKLRRGRRLKDFQRDILPGLACLSRHEIREDVNILEGVLRSREYKRMRAKMGNGESWCTPMRGKRSRLTYVGRKSFR >KJB52067 pep chromosome:Graimondii2_0_v6:8:53003640:53005263:-1 gene:B456_008G245300 transcript:KJB52067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSGTIDKCTVCDKTVHFVDLLTADGIPYHKTCFKCTHCNGLLVMSNYCSMEGVLYCKPHFEQLFKETGTYTKNFQSKKSDKPNGQTRTPNRLAAFFSGTQDKCGVCNKTAYPLEKVTVEGENYHKSCFRCSPGGCLLTPSTYAAMDGILYCKHHFAQLFMEKGCYSHLAKQACMKKNLAGSSPEQKPEDAVESEDDAKPEAEETEEKSEEDGAET >KJB52069 pep chromosome:Graimondii2_0_v6:8:53003990:53004547:-1 gene:B456_008G245300 transcript:KJB52069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLMFILFYLQTRTPNRLAAFFSGTQDKCGVCNKTAYPLEKVTVEGENYHKSCFRCSPGGCLLTPSTYAAMDGILYCKHHFAQLFMEKGCYSHLAKQACMKKNLAGSSPEQKPEDAVESEDDAKPEAEETEEKSEEDGAET >KJB52068 pep chromosome:Graimondii2_0_v6:8:53003647:53005141:-1 gene:B456_008G245300 transcript:KJB52068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLIIRPASNAPIAMAYSWYMSNYCSMEGVLYCKPHFEQLFKETGTYTKNFQSKKSDKPNGQTRTPNRLAAFFSGTQDKCGVCNKTAYPLEKVTVEGENYHKSCFRCSPGGCLLTPSTYAAMDGILYCKHHFAQLFMEKGCYSHLAKQACMKKNLAGSSPEQKPEDAVESEDDAKPEAEETEEKSEEDGAET >KJB47539 pep chromosome:Graimondii2_0_v6:8:3698200:3701717:-1 gene:B456_008G030900 transcript:KJB47539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEQISAIKGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPNISITPYHANVKESRFNVDFYKEFDVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHLKGKTECYECQAKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNLENDLNVRSSDATNLSEHSEDVFECRKGEDIEQYGRRIYDHVFGHNIEVALSNEETWKNRNKPCAIYSKDVFPDKLTKENGKTEKGSTTEDVSAMASLGLKNPQDVWSLAENSRVFYESLRLFFSKRQKEIGNLTFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLKKDSNNYRMTYCLEHPSKKLLLMPVEPYEPNKSCYVCSETPLSLEVNTHHSKLRDFVEKIVKAKLGMNFPVIMSGASIIYEVGEDLEEDMVAIYAANLEKVKFFFPCSKNIKTINMNLFSFLQNVGLESTGIFLLHFSSLNYFT >KJB47538 pep chromosome:Graimondii2_0_v6:8:3695995:3701830:-1 gene:B456_008G030900 transcript:KJB47538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCISSMFSYQVARDAVLRFRPNISITPYHANVKESRFNVDFYKEFDVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHLKGKTECYECQAKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNLENDLNVRSSDATNLSEHSEDVFECRKGEDIEQYGRRIYDHVFGHNIEVALSNEETWKNRNKPCAIYSKDVFPDKLTKENGKTEKGSTTEDVSAMASLGLKNPQDVWSLAENSRVFYESLRLFFSKRQKEIGNLTFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLKKDSNNYRMTYCLEHPSKKLLLMPVEPYEPNKSCYVCSETPLSLEVNTHHSKLRDFVEKIVKAKLGMNFPVIMSGASIIYEVGEDLEEDMVAIYAANLEKALSELPSPVISGTVLTVEDLQQEFSCRINIKHREEFDEEKEPDGMLLSGRVEAPVDKNSNKPIGNGESTSSALSTEEIQEGERDVEIQETSESAENVTGKKRKLSEVSKGSIPDHSGLPDESSRNQNQLEKLDVDDEDDELIISNDWESLTKKKRL >KJB47535 pep chromosome:Graimondii2_0_v6:8:3695995:3701830:-1 gene:B456_008G030900 transcript:KJB47535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEQISAIKIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPNISITPYHANVKESRFNVDFYKEFDVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHLKGKTECYECQAKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNLENDLNVRSSDATNLSEHSEDVFECRKGEDIEQYGRRIYDHVFGHNIEVALSNEETWKNRNKPCAIYSKDVFPDKLTKENGKTEKGSTTEDVSAMASLGLKNPQDVWSLAENSRVFYESLRLFFSKRQKEIGNLTFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLKKDSNNYRMTYCLEHPSKKLLLMPVEPYEPNKSCYVCSETPLSLEVNTHHSKLRDFVEKIVKAKLGMNFPVIMSGASIIYEVGEDLEEDMVAIYAANLEKALSELPSPVISGTVLTVEDLQQEFSCRINIKHREEFDEEKEPDGMLLSGRVEAPVDKNSNKPIGNGESTSSALSTEEIQEGERDVEIQETSESAENVTGKKRKLSEVSKGSIPDHSGLPDESSRNQNQLEKLDVDDEDDELIISNDWESLTKKKRL >KJB47540 pep chromosome:Graimondii2_0_v6:8:3698917:3701717:-1 gene:B456_008G030900 transcript:KJB47540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEQISAIKGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPNISITPYHANVKESRFNVDFYKEFDVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHLKGKTECYECQAKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNLENDLNVRSSDATNLSEHSEDVFECRKGEDIEQYGRRIYDHVFGHNIEVALSNEETWKNRNKPCAIYSKDVFPDKLTKENGKTEKGSTTEDVSAMASLGLKNPQDVWSLAENSRVFYESLRLFFSKRQKEIGNLTFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLKKDSNNYRFSSVILH >KJB47536 pep chromosome:Graimondii2_0_v6:8:3698917:3701717:-1 gene:B456_008G030900 transcript:KJB47536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEQISAIKGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPNISITPYHANVKESRFNVDFYKEFDVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHLKGKTECYECQAKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNLENDLNVRSSDATNLSEHSEDVFECRKGEDIEQYGRRIYDHVFGHNIEVALSNEETWKNRNKPCAIYSKDVFPDKLTKENGKTEKGSTTEDVSAMASLGLKNPQDVWSLAENSRVFYESLRLFFSKRQKEIGNLTFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLKKDSNNYRFSSVILH >KJB47541 pep chromosome:Graimondii2_0_v6:8:3698200:3701717:-1 gene:B456_008G030900 transcript:KJB47541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEQISAIKGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPNISITPYHANVKESRFNVDFYKEFDVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHLKGKTECYECQAKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNLENDLNVRSSDATNLSEHSEDVFECRKGEDIEQYGRRIYDHVFGHNIEVALSNEETWKNRNKPCAIYSKDVFPDKLTKENGKTEKGSTTEDVSAMASLGLKNPQDVWSLAENSRVFYESLRLFFSKRQKEIGNLTFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLKKDSNNYRMTYCLEHPSKKLLLMPVEPYEPNKSCYVCSETPLSLEVNTHHSKLRDFVEKIVKAKLGMNFPVIMSGASIIYEVGEDLEEDMVAIYAANLEKVKFFFPCSKNIKTINMNLFSFLQNVGLESTGIFLLHFSSLNYFT >KJB47537 pep chromosome:Graimondii2_0_v6:8:3695995:3701830:-1 gene:B456_008G030900 transcript:KJB47537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEQISAIKGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPNISITPYHANVKESRFNVDFYKEFDVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHLKGKTECYECQAKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNLENDLNVRSSDATNLSEHSEDVFECRKGEDIEQYGRRIYDHVFGHNIEVALSNEETWKNRNKPCAIYSKDVFPDKLTKENGKTEKGSTTEDVSAMASLGLKNPQDVWSLAENSRVFYESLRLFFSKRQKEIGNLTFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLKKDSNNYRMTYCLEHPSKKLLLMPVEPYEPNKSCYVCSETPLSLEVNTHHSKLRDFVEKIVKAKLGMNFPVIMSGASIIYEVGEDLEEDMVAIYAANLEKALSELPSPVISGTVLTVEDLQQEFSCRINIKHREEFDEEKEPDGMLLSGRVEAPVDKNSNKPIGNGESTSSALSTEEIQEGERDVEIQETSESAENVTGKKRKLSEVSKGSIPDHSGLPDESSRNQNQLEKLDVDDEDDELIISNDWESLTKKKRL >KJB47401 pep chromosome:Graimondii2_0_v6:8:2846260:2850665:-1 gene:B456_008G024700 transcript:KJB47401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHLNFKNFGDAPSMEGNESKPLGNFPLTRQSSIYSLTFDELQNTFSGIGKDFGSMNMDELLKNISTAEETQAFMTATVPGGEGSLSGGNLQRQGSLTLPRTLSQKTVDEVWRNLMKENDGAKDGSSGGGGGGGANLPQRQRTLGEMTLEEFLVKAGVVREDMQQFGVPNNTGFFGNNNSGVALGFQQINRNNGFLSNNNSVLSQPPRLPQNMTGTKSSQPQQQQQQQQQPPQQQQQPQARPLFPKQQTVAFAPSMHLMNTTQLASPGGRSSMVGIGDPSMNSNIVQSSGLQSGGMGIVGIGSPGSQISSDVISKNSVDTSSLSPVPYVFGRGRKCSAALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVAKLKEINEELQKKQEEMMEMQKIQTLEAVNRAWGGGKRQCLRRTLTGPW >KJB47400 pep chromosome:Graimondii2_0_v6:8:2847376:2849512:-1 gene:B456_008G024700 transcript:KJB47400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHLNFKNFGDAPSMEGNESKPLGNFPLTRQSSIYSLTFDELQNTFSGIGKDFGSMNMDELLKNISTAEETQAFMTATVPGGEGSLSGGNLQRQGSLTLPRTLSQKTVDEVWRNLMKENDGAKDGSSGGGGGGGANLPQRQRTLGEMTLEEFLVKAGVVREDMQQFGVPNNTGFFGNNNSGVALGFQQINRNNGFLSNNNSVLSQPPRLPQNMTGTKSSQPQQQQQQQQQPPQQQQQPQARPLFPKQQTVAFAPSMHLMNTTQLASPGGRSSMVGIGDPSMNSNIVQSSGLQSGGMGIVGIGSPGSQISSDVISKNSVDTSSLSPVPYVFGRGRKCSAALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVAKLKEINEELQKKQVSTVC >KJB47399 pep chromosome:Graimondii2_0_v6:8:2846282:2850132:-1 gene:B456_008G024700 transcript:KJB47399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHLNFKNFGDAPSMEGNESKPLGNFPLTRQSSIYSLTFDELQNTFSGIGKDFGSMNMDELLKNISTAEETQAFMTATVPGGEGSLSGGNLQRQGSLTLPRTLSQKTVDEVWRNLMKENDGAKDGSSGGGGGGGANLPQRQRTLGEMTLEEFLVKAGVVREDMQQFGVPNNTGFFGNNNSGVALGFQQINRNNGFLSNNNSVLSQPPRLPQNMTGTKSSQPQQQQQQQQQPPQQQQQPQARPLFPKQQTVAFAPSMHLMNTTQLASPGGRSSMVGIGDPSMNSNIVQSSGLQSGGMGIVGIGSPGSQISSDVISKNSVDTSSLSPVPYVFGRGRKCSAALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVAKLKEINEELQKKQEEMMEMQKIQTLEAVNRAWGGGKRQCLRRTLTGPW >KJB47402 pep chromosome:Graimondii2_0_v6:8:2846282:2849602:-1 gene:B456_008G024700 transcript:KJB47402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHLNFKNFGDAPSMEGNESKPLGNFPLTRQSSIYSLTFDELQNTFSGIGKDFGSMNMDELLKNISTAEETQAFMTATVPGGEGSLSGGNLQRQGSLTLPRTLSQKTVDEVWRNLMKENDGAKDGSSGGGGGGGANLPQRQRTLGEMTLEEFLVKAGVVREDMQQFGVPNNTGFFGNNNSGVALGFQQINRNNGFLSNNNSVLSQPPRLPQNMTGTKSSQPQQQQQQQQQPPQQQQQPQARPLFPKQQTVAFAPSMHLMNTTQLASPGGRSSMVGIGDPSMNSNIVQSSGLQSGGMGIVGIGSPGSQISSDVISKNSVDTSSLSPVPYVFGRGRKCSAALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVAKLKEINEELQKKQEEMMEMQKIQVMLISSISTLHLY >KJB48010 pep chromosome:Graimondii2_0_v6:8:7076268:7079301:-1 gene:B456_008G050300 transcript:KJB48010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKLYSAASCYLIMLLLLQKTSCSTGIRQDKLFELGSKLQELASPPSVPLHLDSLDSVTKNNGRVFYPIAYGADPTGVQESSDAISQALNDAFQVQTTPQMLPGLKDLGGVVIDLLGGSYKISNPIRFPASGGANIVNLLGISLNFQDKQAEKTLDAYGLAFAAMHVLSMPRCLYILVKGGSLRASDTFPGDRHLIEVWSPNSQTSILTGFNDTKDENVGIYYEDVTFRDILFDSSFRGGGIFVIDSARIRIDNCFFLHFSTQGILVQKGHETFISSCFLGQVSTVGGDKGERGFSGTAIQLSSNDNAITDIAIFSAAIGILLIGQANIVTGVHCYNKATAFGGVGILVKSTAELTRIDNCYLDFTAIVMEDPVQVHVTNGLFLGDANVVLKPLKGQISGLNIVNNMFNGNPGNMVPNIQLDGTFSTVNQVVIQHNNVNGMSLKSTVGEMTVAGNGTKWVADFSSLLVFPDRINHFQYSFHIQKEVSAGFPVHAVTNTSNNIVVVESDKAVNGVVSVAVDQFNRIGETSSLKV >KJB48011 pep chromosome:Graimondii2_0_v6:8:7076268:7079594:-1 gene:B456_008G050300 transcript:KJB48011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKLYSAASCYLIMLLLLQKTSCSTGIRQDKLFELGSKLQELASPPSVPLHLDSLDSVTKNNGRVFYPIAYGADPTGVQESSDAISQALNDAFQVQTTPQMLPGLKDLGGVVIDLLGGSYKISNPIRFPASGGANIVVKGGSLRASDTFPGDRHLIEVWSPNSQTSILTGFNDTKDENVGIYYEDVTFRDILFDSSFRGGGIFVIDSARIRIDNCFFLHFSTQGILVQKGHETFISSCFLGQVSTVGGDKGERGFSGTAIQLSSNDNAITDIAIFSAAIGILLIGQANIVTGVHCYNKATAFGGVGILVKSTAELTRIDNCYLDFTAIVMEDPVQVHVTNGLFLGDANVVLKPLKGQISGLNIVNNMFNGNPGNMVPNIQLDGTFSTVNQVVIQHNNVNGMSLKSTVGEMTVAGNGTKWVADFSSLLVFPDRINHFQYSFHIQKEVSAGFPVHAVTNTSNNIVVVESDKAVNGVVSVAVDQFNRIGETSSLKV >KJB47490 pep chromosome:Graimondii2_0_v6:8:3357501:3360246:-1 gene:B456_008G028600 transcript:KJB47490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTGETDDGNNNHSISHILSYKTPLFNLKLYLVISIFLAFFLLLFSFTIFLCCRLNRNARKRKVKHSSGLIPLVSKEIVEIKALDQHADCFRDEGKIGILVPKKSNEGVVDDASGASDVSGDAQNIGWGRWYSMRELEMATRGFAEENVIGEGGYGIVFKGILQDGSVVAVKNLLNNKGQAEKEFTVEVEAIGKVRHKNLVGLVGYCAEGARRMLVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMKIAIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDKKWNPKVSDFGLAKLLGSEASYVTTRVMGTFGYVSPEYASTGMLNEGSDVYSFGVLLMEIITGRSPIDYSRPPGEMNLVDWFKGMVASRHGEEIVDPLIEVQPSPRALKRALLVCLRCIDMDANKRPKMGQIVHMLEADDFPFRTVSPVACFLHNLV >KJB47489 pep chromosome:Graimondii2_0_v6:8:3357070:3360246:-1 gene:B456_008G028600 transcript:KJB47489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTGETDDGNNNHSISHILSYKTPLFNLKLYLVISIFLAFFLLLFSFTIFLCCRLNRNARKRKVKHSSGLIPLVSKEIVEIKALDQHADCFRDEGKIGILVPKKSNEGVVDDASGASDVSGDAQNIGWGRWYSMRELEMATRGFAEENVIGEGGYGIVFKGILQDGSVVAVKNLLNNKGQAEKEFTVEVEAIGKVRHKNLVGLVGYCAEGARRMLVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMKIAIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDKKWNPKVSDFGLAKLLGSEASYVTTRVMGTFGYVSPEYASTGMLNEGSDVYSFGVLLMEIITGRSPIDYSRPPGEVRVFLLQ >KJB47488 pep chromosome:Graimondii2_0_v6:8:3357023:3360392:-1 gene:B456_008G028600 transcript:KJB47488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTGETDDGNNNHSISHILSYKTPLFNLKLYLVISIFLAFFLLLFSFTIFLCCRLNRNARKRKVKHSSGLIPLVSKEIVEIKALDQHADCFRDEGKIGILVPKKSNEGVVDDASGASDVSGDAQNIGWGRWYSMRELEMATRGFAEENVIGEGGYGIVFKGILQDGSVVAVKNLLNNKGQAEKEFTVEVEAIGKVRHKNLVGLVGYCAEGARRMLVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMKIAIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDKKWNPKVSDFGLAKLLGSEASYVTTRVMGTFGYVSPEYASTGMLNEGSDVYSFGVLLMEIITGRSPIDYSRPPGEMNLVDWFKGMVASRHGEEIVDPLIEVQPSPRALKRALLVCLRCIDMDANKRPKMGQIVHMLEADDFPFRTEHRQARERDTVPPSVPKAGHPKRFDNVDIEKSRWR >KJB48855 pep chromosome:Graimondii2_0_v6:8:21321993:21324324:-1 gene:B456_008G090300 transcript:KJB48855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNSEPFLTRGSHKKSVVMPLARYFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRGRIAEKKKRVAKAKAEAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSIAA >KJB48853 pep chromosome:Graimondii2_0_v6:8:21321993:21324324:-1 gene:B456_008G090300 transcript:KJB48853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRK >KJB48852 pep chromosome:Graimondii2_0_v6:8:21321993:21323418:-1 gene:B456_008G090300 transcript:KJB48852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRGRIAEKKKRVAKAKAEAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSIAA >KJB48851 pep chromosome:Graimondii2_0_v6:8:21321912:21324403:-1 gene:B456_008G090300 transcript:KJB48851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRGRIAEKKKRVAKAKAEAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSIAA >KJB48856 pep chromosome:Graimondii2_0_v6:8:21321993:21324324:-1 gene:B456_008G090300 transcript:KJB48856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKNGKVSKAPKIQRLVTPLTLQRKRGRIAEKKKRVAKAKAEAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSIAA >KJB48854 pep chromosome:Graimondii2_0_v6:8:21322584:21324150:-1 gene:B456_008G090300 transcript:KJB48854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKNGVQNIYHCFKL >KJB52014 pep chromosome:Graimondii2_0_v6:8:52795775:52798472:1 gene:B456_008G242200 transcript:KJB52014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEIEDRCISLHTFIPYLISLSLQQNQSETNQITLLLHHHQFNRFSIGPMASKLALTLTPHRFLNGHIQKPLVSFSSSTRVLSNGKQLCCRHRLFVLPIKAAADQQGQVEEDNVVDSKILPYCSIDKKEKKSLGEMEQEFLQALQAFYYEGKAIMSNEEFDNLKEELTWEGSSVVMLSSDEQKFLEASMAYVSGKPILSDEEFDDLKLGLKMEGSEIVVEGPRCSLRSRKVYSDLSVDYLKMVLLNVPATVVALGLFFFLDDLTGFEITYVLELPKPFSFIFTWFAAVPLIVWLAQSLTKLVVKDSLILKGLCPNCGTENVSFFGTILSISNGGTTNTLKCSNCGTPLEYNSKTRLITLPEGTQA >KJB52016 pep chromosome:Graimondii2_0_v6:8:52795775:52798515:1 gene:B456_008G242200 transcript:KJB52016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEIEDRCISLHTFIPYLISLSLQQNQSETNQITLLLHHHQFNRFSIGPMASKLALTLTPHRFLNGHIQKPLVSFSSSTRVLSNGKQLCCRHRLFVLPIKAAADQQGQVEEDNVVDSKILPYCSIDKKEKKSLGEMEQEFLQALQAFYYEGKAIMSNEEFDNLKEELTWEGSSVVMLSSDEQKFLEASMAYVSGKPILSDEEFDDLKLGLKMEGSEIVVEGPRCSLRSRKVYSDLSVDYLKMVLLNVPATVVALGLFFFLDDLTGFEITYVLEGLCPNCGTENVSFFGTILSISNGGTTNTLKCSNCGTPLEYNSKTRLITLPEGTQA >KJB52015 pep chromosome:Graimondii2_0_v6:8:52795775:52798515:1 gene:B456_008G242200 transcript:KJB52015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEIEDRCISLHTFIPYLISLSLQQNQSETNQITLLLHHHQFNRFSIGPMASKLALTLTPHRFLNGHIQKPLVSFSSSTRVLSNGKQLCCRHRLFVLPIKAAADQQGQVEEDNVVDSKILPYCSIDKKEKKSLGEMEQEFLQALQAFYYEGKAIMSNEEFDNLKEELTWEGSSVVMLSSDEQKFLEASMAYVSGKPILSDEEFDDLKLGLKMEGSEIVVEGPRCSLRSRKVYSDLSVDYLKMVLLNVPATVVALGLFFFLDDLTGFEITYVLELPKPFSFIFTWFAAVPLIVWLAQSLTKLVVKDSLILKGLCPNCGTENVSFFGTILSISNGGTTNTLKCSNCGTPLEYNSKTRLITLPEGTQA >KJB52017 pep chromosome:Graimondii2_0_v6:8:52795775:52798551:1 gene:B456_008G242200 transcript:KJB52017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEIEDRCISLHTFIPYLISLSLQQNQSETNQITLLLHHHQFNRFSIGPMASKLALTLTPHRFLNGHIQKPLVSFSSSTRVLSNGKQLCCRHRLFVLPIKAAADQQGQVEEDNVVDSKILPYCSIDKKEKKSLGEMEQEFLQALQAFYYEGKAIMSNEEFDNLKEELTWEGSSVVMLSSDEQKFLEASMAYVSGKPILSDEEFDDLKLGLKVYSDLSVDYLKMVLLNVPATVVALGLFFFLDDLTGFEITYVLELPKPFSFIFTWFAAVPLIVWLAQSLTKLVVKDSLILKGLCPNCGTENVSFFGTILSISNGGTTNTLKCSNCGTPLEYNSKTRLITLPEGTQA >KJB50963 pep chromosome:Graimondii2_0_v6:8:48036929:48046019:-1 gene:B456_008G1956002 transcript:KJB50963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPPPMRGAPAPPPPPMRGAPAPPPPPMRGAPAPPPPPMRGAPPPPPGGAPPPPPPPGGRAPGPPAPPGAPRGAPPPPPLGARAADVRGRGRGLSRPGAAAAPRRSSLKPLHWSKVTRAIQGSLWEELQRYGEPQIAPEFDVSEIETLFSAVVPKPADSGGKSGGQRKSAGSKPDKVHLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKGYTGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQISEFRKSLNTVNSACNEVRNSVKLKEIMKKILYLGNTLNQGTARGSAIGFKLDSLLKLTDTRASTSKMTLMHYLCKVLAAKAPALLDFHLEFVSLEAATKIQLKSLAEEMQAIIKGLEKLKQELVASENDGPVSEVFRKTLKEFISVAETEVVSLTNLYSMVGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENVKQAELDKKKAEKEAEMEKAKEANHKKKSAK >KJB50966 pep chromosome:Graimondii2_0_v6:8:48041269:48046019:-1 gene:B456_008G1956002 transcript:KJB50966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPPPMRGAPAPPPPPMRGAPAPPPPPMRGAPAPPPPPMRGAPPPPPGGAPPPPPPPGGRAPGPPAPPGAPRGAPPPPPLGARAADVRGRGRGLSRPGAAAAPRRSSLKPLHWSKVTRAIQGSLWEELQRYGEPQIAPEFDVSEIETLFSAVVPKPADSGGKSGGQRKSAGSKPDKVHLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKGYTGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQISEFRKSLNTVNSACNEVRNSVKLKEIMKKILYLGNTLNQGTARGMCHV >KJB50964 pep chromosome:Graimondii2_0_v6:8:48036944:48046019:-1 gene:B456_008G1956002 transcript:KJB50964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPPPMRGAPAPPPPPMRGAPAPPPPPMRGAPAPPPPPMRGAPPPPPGGAPPPPPPPGGRAPGPPAPPGAPRGAPPPPPLGARAADVRGRGRGLSRPGAAAAPRRSSLKPLHWSKVTRAIQGSLWEELQRYGEPQIAPEFDVSEIETLFSAVVPKPADSGGKSGGQRKSAGSKPDKVHLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKGYTGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQISEFRKSLNTVNSACNEVRNSVKLKEIMKKILYLGNTLNQGTARGSAIGFKLDSLLKLTDTRASTSKMTLMHYLCKVLAAKAPALLDFHLEFVSLEAATKIQLKSLAEEMQAIIKGLEKLKQELVASENDGPVSEVFRKTLKEFISVAETEVVSLTNLYSMVGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENVKQAELDKKKAEKEAEMEKAKEANHKKKSAK >KJB50965 pep chromosome:Graimondii2_0_v6:8:48037816:48046017:-1 gene:B456_008G1956002 transcript:KJB50965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPPPMRGAPAPPPPPMRGAPAPPPPPMRGAPAPPPPPMRGAPPPPPGGAPPPPPPPGGRAPGPPAPPGAPRGAPPPPPLGARAADVRGRGRGLSRPGAAAAPRRSSLKPLHWSKVTRAIQGSLWEELQRYGEPQIAPEFDVSEIETLFSAVVPKPADSGGKSGGQRKSAGSKPDKVHLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKGYTGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQISEFRKSLNTVNSACNEVRNSVKLKEIMKKILYLGNTLNQGTARGSAIGFKLDSLLKLTDTRASTSKMTLMHYLCKVLAAKAPALLDFHLEFVSLEAATKIQLKSLAEEMQAIIKGLEKLKQELVASENDGPVSEVFRKTLKEFISVAETEVVSLTNLYSMVGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENVKQAELDKKKAEKEAEMEKAKEANHKKKSAK >KJB46684 pep chromosome:Graimondii2_0_v6:8:30609463:30610515:-1 gene:B456_008G1024003 transcript:KJB46684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSRVKDSIPAWIKFSVQAPGEIPYSGIYYDPPEEEKYVFKHPHTKRPKSLRIYESHAGMISMDAATGSVSRNLDNPLLVVPLKPSVAEFPVAKKEQSDRLSNLAGAGYQAFEDAILNKLTK >KJB46686 pep chromosome:Graimondii2_0_v6:8:30609463:30610515:-1 gene:B456_008G1024003 transcript:KJB46686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSRVKDSIPAWIKFSVQAPGEIPYSGIYYDPPEEEKYVFKHPHTKRPKSLRIYESHAGMISMDAATGSVSRNLDNPLLVVPLKPSVAEFPVAKKEQSDRLSNLAGAGYQAFEDAILNKLTK >KJB46683 pep chromosome:Graimondii2_0_v6:8:30609463:30610521:-1 gene:B456_008G1024003 transcript:KJB46683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRMETRSRVKDSIPAWIKFSVQAPGEIPYSGIYYDPPEEEKYVFKHPHTKRPKSLRIYESHAGMISMDAATGSVSRNLDNPLLVVPLKPSVAEFPVAKKEQSDRLSNLAGAGYQAFEDAILNKLTK >KJB46685 pep chromosome:Graimondii2_0_v6:8:30609463:30610521:-1 gene:B456_008G1024003 transcript:KJB46685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRMETRSRVKDSIPAWIKFSVQAPGEIPYSGIYYDPPEEDAATGSVSRNLDNPLLVVPLKPSVAEFPVAKKEQSDRLSNLAGAGYQAFEDAILNKLTK >KJB51347 pep chromosome:Graimondii2_0_v6:8:49986581:49989750:1 gene:B456_008G212800 transcript:KJB51347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSILLFAPIVVSQLIPVDKSKVEAWFNGIIKPVKERGKTLYPELVKAETEPRIIMVMQDGDGEFDNITKAIESVPLGNAKRVIISIGPGSYKEKIRIERNKPFITLTAKQYGTIDSATLTTESSYFTLRVSGDRSAFSNCKIIGFPDTLCNDSGNHFFKDCHIRGTVDFIFGSGTSLYLNSKIFVEGDLERDPKMAVITAQARESSSKDTGYSFVHGRIIGTAKDIFLGRAWKSSPRVVYSYTEMDEIVHPGGWSSNHQPGRAETVYYKEYKCTGKGATPATRKKFVKQLSGAEVEPFLILDYVEGTK >KJB47120 pep chromosome:Graimondii2_0_v6:8:1334779:1342356:1 gene:B456_008G011900 transcript:KJB47120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKVWDIRKKGCIHTYKGHNRGVNAIRFTPDGRWVVSGGEDNIVKVWDLTAGKLLHDFKSHEGQIQSLDFHPNEFLLATGSADRTVKFWDLETFELIGSTGPETTGVRCLTFNPDGRTVLCGLHESLKVFSWEPIRCHDSVDVGWSRLSDLTVHEGKLLGCSYNQSCVGVWVVDISRIDPHAVPDANCVNSHSEPKSSSGGNPAVLNENTTKASIGRLSVSQISDTLVKETKSLGRLSISQNSDPAKESKNLASTGNVPDTPQRVNLNTAPKTTQPSSVTVPSGAAPKRSSMRTSSSVNAPNFNKLDVIPVIVPRNDMRLEQAVESRKEVGISRRSLEQAAESRREVGIAGRSLEPSQPAAESRKETGPGERTLELGTDPRKELGIVGRTMPFSLQSKTSSFRKFQNNREDMDQPAISAPSEGAGSKVDGFSSVLDKSIFPSVKGPMKGMPVAERNAREDMCVGSDKSEPNSVVELPPNYWDENYSQVKRTHRDAYPLESQKGGNASMSNMSSFNSYKQRGYHPSVEKEMPSASDEDAVADVMEQHDQFIGSMQSHLAKLQVVHRYWERNDVKGAISAMEKMADHAVLADVLSIVTEKIDIVTLDICTCLLPLLSSLLGSEMDRHLCICLDMLLKLVRVFGPMIYSTLSASTPVGVDIEAEQRFERCNLCFVELEKVKRCLPTVTRRGGSVAKSAQELNLAFQEVS >KJB47119 pep chromosome:Graimondii2_0_v6:8:1334779:1342356:1 gene:B456_008G011900 transcript:KJB47119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKVWDIRKKGCIHTYKGHNRGVNAIRFTPDGRWVVSGGEDNIVKVWDLTAGKLLHDFKSHEGQIQSLDFHPNEFLLATGSADRTVKFWDLETFELIGSTGPETTGVRCLTFNPDGRTVLCGLHESLKVFSWEPIRCHDSVDVGWSRLSDLTVHEGKLLGCSYNQSCVGVWVVDISRIDPHAVPDANCVNSHSEPKSSSGGNPAVLNENTTKASIGRLSVSQISDTLVKETKSLGRLSISQNSDPAKESKNLASTGNVPDTPQRVNLNTAPKTTQPSSVTVPSGAAPKRSSMRTSSSVNAPNFNKLDVIPVIVPRNDMRLEQAVESRKEVGISRRSLEQAAESRREVGIAGRSLEPSQPAAESRKETGPGERTLELGTDPRKELGIVGRTMPFSLQSKTSSFRKFQNNREDMDQPAISAPSEGAGSKVDGFSSVLDKSIFPSVKGPMKGMPVAERNAREDMCVGSDKSEPNSVVELPPNYWDENYSQVKRTHRDAYPLESQKGGKTHVVINLEKRGKPSNYDRPNFGISTGNASMSNMSSFNSYKQRGYHPSVEKEMPSASDEDAVADVMEQHDQFIGSMQSHLAKLQVVHRYWERNDVKGAISAMEKMADHAVLADVLSIVTEKIDIVTLDICTCLLPLLSSLLGSEMDRHLCICLDMLLKLVRVFGPMIYSTLSASTPVGVDIEAEQRFERCNLCFVELEKVKRCLPTVTRGGSVAKSAQELNLAFQEVS >KJB47118 pep chromosome:Graimondii2_0_v6:8:1334779:1342356:1 gene:B456_008G011900 transcript:KJB47118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKVWDIRKKGCIHTYKGHNRGVNAIRFTPDGRWVVSGGEDNIVKVWDLTAGKLLHDFKSHEGQIQSLDFHPNEFLLATGSADRTVKFWDLETFELIGSTGPETTGVRCLTFNPDGRTVLCGLHESLKVFSWEPIRCHDSVDVGWSRLSDLTVHEGKLLGCSYNQSCVGVWVVDISRIDPHAVPDANCVNSHSEPKSSSGGNPAVLNENTTKASIGRLSVSQISDTLVKETKSLGRLSISQNSDPAKESKNLASTGNVPDTPQRVNLNTAPKTTQPSSVTVPSGAAPKRSSMRTSSSVNAPNFNKLDVIPVIVPRNDMRLEQAVESRKEVGISRRSLEQAAESRREVGIAGRSLEPSQPAAESRKETGPGERTLELGTDPRKELGIVGRTMPFSLQSKTSSFRKFQNNREDMDQPAISAPSEGAGSKVDGFSSVLDKSIFPSVKGPMKGMPVAERNAREDMCVGSDKSEPNSVVELPPNYWDENWNASMSNMSSFNSYKQRGYHPSVEKEMPSASDEDAVADVMEQHDQFIGSMQSHLAKLQVVHRYWERNDVKGAISAMEKMADHAVLADVLSIVTEKIDIVTLDICTCLLPLLSSLLGSEMDRHLCICLDMLLKLVRVFGPMIYSTLSASTPVGVDIEAEQRFERCNLCFVELEKVKRCLPTVTRGGSVAKSAQELNLAFQEVS >KJB47122 pep chromosome:Graimondii2_0_v6:8:1334779:1342356:1 gene:B456_008G011900 transcript:KJB47122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKVWDIRKKGCIHTYKGHNRGVNAIRFTPDGRWVVSGGEDNIVKVWDLTAGKLLHDFKSHEGQIQSLDFHPNEFLLATGSADRTVKFWDLETFELIGSTGPETTGVRCLTFNPDGRTVLCGLHESLKVFSWEPIRCHDSVDVGWSRLSDLTVHEGKLLGCSYNQSCVGVWVVDISRIDPHAVPDANCVNSHSEPKSSSGGNPAVLNENTTKASIGRLSVSQISDTLVKETKSLGRLSISQNSDPAKESKNLASTGNVPDTPQRVNLNTAPKTTQPSSVTVPSGAAPKRSSMRTSSSVNAPNFNKLDVIPVIVPRNDMRLEQAVESRKEVGISRRSLEQAAESRREVGIAGRSLEPSQPAAESRKETGPGERTLELGTDPRKELGIVGRTMPFSLQSKTSSFRKFQNNREDMDQPAISAPSEGAGSKVDGFSSVLDKSIFPSVKGPMKGMPVAERNAREDMCVGSDKSEPNSVVELPPNYWDENYSQVKRTHRDAYPLESQKGGNASMSNMSSFNSYKQRGYHPSVEKEMPSASDEDAVADVMEQHDQFIGSMQSHLAKLQVVHRYWERNDVKGAISAMEKMADHAVLADVLSIVTEKIDIVTLDICTCLLPLLSSLLGSEMDRHLCICLDMLLKLVRVFGPMIYSTLSASTPVGVDIEAEQRFERCNLCFVELEKVKRCLPTVTRGGSVAKSAQELNLAFQEVS >KJB47123 pep chromosome:Graimondii2_0_v6:8:1334779:1342356:1 gene:B456_008G011900 transcript:KJB47123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKVWDIRKKGCIHTYKGHNRGVNAIRFTPDGRWVVSGGEDNIVKVWDLTAGKLLHDFKSHEGQIQSLDFHPNEFLLATGSADRTVKFWDLETFELIGSTGPETTGVRCLTFNPDGRTVLCGLHESLKVFSWEPIRCHDSVDVGWSRLSDLTVHEGKLLGCSYNQSCVGVWVVDISRIDPHAVPDANCVNSHSEPKSSSGGNPAVLNENTTKASIGRLSVSQISDTLVKETKSLGRLSISQNSDPAKESKNLASTGNVPDTPQRVNLNTAPKTTQPSSVTVPSGAAPKRSSMRTSSSVNAPNFNKLDVIPVIVPRNDMRLEQAVESRKEVGISRRSLEQAAESRREVGIAGRSLEPSQPAAESRKETGPGERTLELGTDPRKELGIVGRTMPFSLQSKTSSFRKFQNNREDMDQPAISAPSEGAGSKVDGFSSVLDKSIFPSVKGPMKGMPVAERNAREDMCVGSDKSEPNSVVELPPNYWDENWNASMSNMSSFNSYKQRGYHPSVEKEMPSASDEDAVADVMEQHDQFIGSMQSHLAKLQVVHRYWERNDVKGAISAMEKMADHAVLADVLSIVTEKIDIVTLDICTCLLPLLSSLLGSEMDRHLCICLDMLLKLVRVFGPMIYSTLSASTPVGVDIEAEQRFERCNLCFVELEKVKRCLPTVTRRGGSVAKSAQELNLAFQEVS >KJB47121 pep chromosome:Graimondii2_0_v6:8:1334779:1342356:1 gene:B456_008G011900 transcript:KJB47121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKVWDIRKKGCIHTYKGHNRGVNAIRFTPDGRWVVSGGEDNIVKVWDLTAGKLLHDFKSHEGQIQSLDFHPNEFLLATGSADRTVKFWDLETFELIGSTGPETTGVRCLTFNPDGRTVLCGLHESLKVFSWEPIRCHDSVDVGWSRLSDLTVHEGKLLGCSYNQSCVGVWVVDISRIDPHAVPDANCVNSHSEPKSSSGGNPAVLNENTTKASIGRLSVSQISDTLVKETKSLGRLSISQNSDPAKESKNLASTGNVPDTPQRVNLNTAPKTTQPSSVTVPSGAAPKRSSMRTSSSVNAPNFNKLDVIPVIVPRNDMRLEQAVESRKEVGISRRSLEQAAESRREVGIAGRSLEPSQPAAESRKETGPGERTLELGTDPRKELGIVGRTMPFSLQSKTSSFRKFQNNREDMDQPAISAPSEGAGSKVDGFSSVLDKSIFPSVKGPMKGMPVAERNAREDMCVGSDKSEPNSVVELPPNYWDENYSQVKRTHRDAYPLESQKGGKTHVVINLEKRGKPSNYDRPNFGISTGNASMSNMSSFNSYKQRGYHPSVEKEMPSASDEDAVADVMEQHDQFIGSMQSHLAKLQVVHRYWERNDVKGAISAMEKMADHAVLADVLSIVTEKIDIVTLDICTCLLPLLSSLLGSEMDRHLCICLDMLLKLVRVFGPMIYSTLSASTPVGVDIEAEQRFERCNLCFVELEKVKRCLPTVTRRGGSVAKSAQELNLAFQEVS >KJB51560 pep chromosome:Graimondii2_0_v6:8:50896280:50901178:-1 gene:B456_008G222800 transcript:KJB51560 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATR interacting protein [Source:Projected from Arabidopsis thaliana (AT5G45610) UniProtKB/TrEMBL;Acc:C8KI33] MSEENLEEWGASFLEELIQFEELALSRSQVNQNNPTSSSSYLPSLPSQPPPSSHPQPIHITPPPSISYSPPRELSQRPTDLGGASNSSGVIAKCAAPTTPARRGGSSSKGKDLEIELLKKELGRVSKQLADLEHECSELKKERNKEDQLKFPNSNNEAKVANANDISLNDREHGIPVAAHHGVVQEFPNRKSFNDQIGQRTVKSSCQASGIQTNFSACLDLSEKLEGIWGLPSEQKFGRNLISKLFAVCSADIDVLFGFIKMSSPSKTVEPPAVKSSDDMSLKTSIHPFLSPEAAKISRFYSALTKTSSGMLQLQALFESLFDLCTVENVVIVYRSLRILHVLLSHLLTFERKSKGRENFLAEHLYSGSSIDDIFGYETRDWVRIGMDGTYTSCMPTGVTPSEAKYICGKGRWDTSSASLFSHVNWIYLFEFVHLIVMNSSEECVRLEAVSIMNVILMRSDAYTERERFGLSEVFDSISQLLKMEAGLLVQKEAVHSLYLLLNCPKLVVTFCSACTTEASADAANGAENTAATERFTMILEGLADCIACSGNSLQALELRKSAITLLAFVASSGKSGFEILVNNKLSREANFLTLIMQLLASEINLEASVNTDSDETFRAR >KJB51559 pep chromosome:Graimondii2_0_v6:8:50895400:50901295:-1 gene:B456_008G222800 transcript:KJB51559 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATR interacting protein [Source:Projected from Arabidopsis thaliana (AT5G45610) UniProtKB/TrEMBL;Acc:C8KI33] MSEENLEEWGASFLEELIQFEELALSRSQVNQNNPTSSSSYLPSLPSQPPPSSHPQPIHITPPPSISYSPPRELSQRPTDLGGASNSSGVIAKCAAPTTPARRGGSSSKGKDLEIELLKKELGRVSKQLADLEHECSELKKERNKEDQLKFPNSNNEAKVANANDISLNDREHGIPVAAHHGVVQEFPNRKSFNDQIGQRTVKSSCQASGIQTNFSACLDLSEKLEGIWGLPSEQKFGRNLISKLFAVCSADIDVLFGFIKMSSPSKTVEPPAVKSSDDMSLKTSIHPFLSPEAAKISRFYSALTKTSSGMLQLQALFESLFDLCTVENVVIVYRSLRILHVLLSHLLTFERKSKGRENFLAEHLYSGSSIDDIFGYETRDWVRIGMDGTYTSCMPTGVTPSEAKYICGKGRWDTSSASLFSHVNWIYLFEFVHLIVMNSSEECVRLEAVSIMNVILMRSDAYTERERFGLSEVFDSISQLLKMEAGLLVQKEAVHSLYLLLNCPKLVVTFCSACTTEASADAANGAENTAATERFTMILEGLADCIACSGNSLQALELRKSAITLLAFVASSGKSGFEILVNNKLSREANFLTLIMQLLASEINLEASVNTDSDETFRARTLLIREVLILLNRLVSNPIHSATVLRLLTNSRDMVSLTVAIANRLSRKEQIQRLSESITKQMRESEIVDLGRMFKRRVSTYLGE >KJB51561 pep chromosome:Graimondii2_0_v6:8:50895482:50901178:-1 gene:B456_008G222800 transcript:KJB51561 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATR interacting protein [Source:Projected from Arabidopsis thaliana (AT5G45610) UniProtKB/TrEMBL;Acc:C8KI33] MSEENLEEWGASFLEELIQFEELALSRSQVNQNNPTSSSSYLPSLPSQPPPSSHPQPIHITPPPSISYSPPRELSQRPTDLGGASNSSGVIAKCAAPTTPARRGGSSSKGKDLEIELLKKELGRVSKQLADLEHECSELKKERNKEDQLKFPNSNNEAKVANANDISLNDREHGIPVAAHHGVVQEFPNRKSFNDQIGQRTVKSSCQASGIQTNFSACLDLSEKLEGIWGLPSEQKFGRNLISKLFAVCSADIDVLFGFIKMSSPSKTVEPPAVKSSDDMSLKTSIHPFLSPEAAKISRFYSALTKTSSGMLQLQALFESLFDLCTVENVVIVYRSLRILHVLLSHLLTFERKSKGRENFLAEHLYSGSSIDDIFGYETRDWVRIGMDGTYTSCMPTGVTPSEAKYICGKGRWDTSSASLFSHVNWIYLFEFVHLIVMNSSEECVRLEAVSIMNVILMRSDAYTERERFGLSEVFDSISQLLKMEAGLLVQKEAVHSLYLLLNCPKLVVTFCSACTTEASADAANGAENTAATERFTMILEGLADCIACSGNSLQALELRKSAITLLAFVASSGKSGFEILVNNKLSREANFLTLIMQLLASEINLEASVNTDSDETFRASSISAGHY >KJB48185 pep chromosome:Graimondii2_0_v6:8:8281028:8288113:-1 gene:B456_008G055800 transcript:KJB48185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSSNLLRSHLFYGFLLKWRREKSRVMAKPLKFKGVDEELQKILDANMDEAPARRRAREAFKHIQLGLDHILFKTPLDGLKMKESYEMNSRGLEIFSKSWLPQTSYPKAMVFFCHGYGDTCTFFAEGIFAYCWIARKLASCGYGVFAMDYPGFGLSEGLHGYIHRFDWLVDDVIEQYTKIKENPEFQTLPCFLFGESLGGAVALKMHLKQPNAWSGACLVAPMCKIADDMVPPWILKQILIGVANILPKQKLVPQKDLAEAAFRDIKKRELTPYNVIAYKDKPRLRTALEMLRTTAEIEQNLEKVSLPILILHGENDIVTDPAVSKALYEKASSSDKNIIIYKDAGHSLLEGEPDDMINRVFSDIISWLDEHSSNTAG >KJB47272 pep chromosome:Graimondii2_0_v6:8:2166926:2169598:-1 gene:B456_008G018600 transcript:KJB47272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSNESRVLHRCSAQIGNLLLLSLWHLVHSIISICNFTLDIANVLESYLISSGLLGRYKSLHIAKLRYLAIVIESEEAYQTSKIIELLQWLEAVGVKHVCLYDKEGILKKSKDFILENLDGAIWFQDAHENNVLLDQQHMTLEFVSFSDGKEAVAKAANVLFMKYSKSGVTDQNQKEKIFTESQMSEALKTVGSGGPEPDLLLVYGPARCHLGFPAWRIKYTEIVHMGPLKSMNYGSLIKAIYKFTMVRQNYGK >KJB47274 pep chromosome:Graimondii2_0_v6:8:2166638:2169814:-1 gene:B456_008G018600 transcript:KJB47274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSNESRVLHRCSAQVLDIGNLLLLSLWHLVHSIISICNFTLDIANVLESYLISSGLLGRYKSLHIAKLRYLAIVIESEEAYQTSKIIELLQWLEAVGVKHVCLYDKEGILKKSKDFILENLDGAIWFQVLSYWQPSIYAMQDAHENNVLLDQQHMTLEFVSFSDGKEAVAKAANVLFMKYSKSGVTDQNQKEKIFTESQMSEALKTVGSGGPEPDLLLVYGPARCHLGFPAWRIKYTEIVHMGPLKSMNYGSLIKAIYKFTMVRQNYGK >KJB47275 pep chromosome:Graimondii2_0_v6:8:2167784:2169814:-1 gene:B456_008G018600 transcript:KJB47275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSNESRVLHRCSAQVLDIGNLLLLSLWHLVHSIISICNFTLDIANVLESYLISSGLLGRYKSLHIAKLRYLAIVIESEEAYQTSKIIELLQWLEAVGVKHVCLYDKEGILKKSKDFILENLDGAIWFQDAHENNVLLDQQHMTLEFVSFSDGKEAVAKAANVLFMKYSKSGVTDQNQKEKIFTESQMSEALKTVGSGGPEPDLLLVYGPARCHLGFPAWRIKYTEIV >KJB47270 pep chromosome:Graimondii2_0_v6:8:2166583:2169986:-1 gene:B456_008G018600 transcript:KJB47270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSNESRVLHRCSAQIGNLLLLSLWHLVHSIISICNFTLDIANVLESYLISSGLLGRYKSLHIAKLRYLAIVIESEEAYQTSKIIELLQWLEAVGVKHVCLYDKEGILKKSKDFILENLDGAIWFQDAHENNVLLDQQHMTLEFVSFSDGKEAVAKAANVLFMKYSKSGVTDQNQKEKIFTESQMSEALKTVGSGGPEPDLLLVYGPARCHLGFPAWRIKYTEIV >KJB47273 pep chromosome:Graimondii2_0_v6:8:2166588:2169986:-1 gene:B456_008G018600 transcript:KJB47273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSNESRVLHRCSAQVLDIGNLLLLSLWHLVHSIISICNFTLDIANVLESYLISSGLLGRYKSLHIAKLRYLAIVIESEEAYQTSKIIELLQWLEAVGVKHVCLYDKEGILKKSKDFILENLDGAIWFQDAHENNVLLDQQHMTLEFVSFSDGKEAVAKAANVLFMKYSKSGVTDQNQKEKIFTESQMSEALKTVGSGGPEPDLLLVYGPARCHLGFPAWRIKYTEIV >KJB47271 pep chromosome:Graimondii2_0_v6:8:2166926:2169598:-1 gene:B456_008G018600 transcript:KJB47271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSNESRVLHRCSAQVLDIGNLLLLSLWHLVHSIISICNFTLDIANVLESYLISSGLLGRYKSLHIAKLRYLAIVIESEEAYQTSKIIELLQWLEAVGVKHVCLYDKEGILKKSKDFILENLDGAIWFQDAHENNVLLDQQHMTLEFVSFSDGKEAVAKAANVLFMKYSKSGVTDQNQKEKIFTESQMSEALKTVGSGGPEPDLLLVYGPARCHLGFPAWRIKYTEIVHMGPLKSMNYGSLIKAIYKFTMVRQNYGK >KJB49225 pep chromosome:Graimondii2_0_v6:8:33649708:33652180:1 gene:B456_008G107300 transcript:KJB49225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDDMFMGNRSQTGNKYNPNNGTTNSFNFETTLSESHALNHHQQQRHSLYAGDGSSRIQQSADPHLVCLKLGKRHYFEGSTDLNNHRHSAGGYSIGKKGKPYYDNLSGEGGGPSSSTVPRCQVEGCNVTLVNAKEYHRRHKVCETHSKAPKVVVLGLEQRFCQQCSRFHVVSEFDDSKRSCRRRLAGHNERRRKTSHDSATRNFAQVCCQTDNKPMTGSFPYVSSPTGRALSLLSSKVDSWISPSNLSTRSSAALRELIVENRAAVLARQPVLERDWQYIGEPQPAGSNPIFVQHHSLLDPHGWDVQFPETGGQVTLDLMQASNSAFGMLSVRGKTKEEEECSELWHSLQGTHG >KJB49223 pep chromosome:Graimondii2_0_v6:8:33649708:33652180:1 gene:B456_008G107300 transcript:KJB49223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDDMFMGNRSQTGNKYNPNNGTTNSFNFETTLSESHALNHHQQQRHSLYAGDGSSRIQQSADPHLVCLKLGKRHYFEGSTDLNNHRHSAGGYSIGKKGKPYYDNLSGEGGGPSSSTVPRCQVEGCNVTLVNAKEYHRRHKVCETHSKAPKVVVLGLEQRFCQQCSRFHVVSEFDDSKRSCRRRLAGHNERRRKTSHDSATRNFAQGRALSLLSSKVDSWISPSNLSTRSSAALRELIVENRAAVLARQPVLERDWQYIGEPQPAGSNPIFVQHHSLLDPHGWDVQFPETGGQVTLDLMQASNSAFGMLSVRGKTKEEEECSELWHSLQGTHG >KJB49224 pep chromosome:Graimondii2_0_v6:8:33649708:33652180:1 gene:B456_008G107300 transcript:KJB49224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDDMFMGNRSQTGNKYNPNNGTTNSFNFETTLSESHALNHHQQQRHSLYAGDGSSRIQQSADPHLVCLKLGKRHYFEGSTDLNNHRHSAGGYSIGKKGKPYYDNLSGEGGGPSSSTVPRCQVEGCNVTLVNAKEYHRRHKVCETHSKAPKVVVLGLEQRFCQQCSRRRLAGHNERRRKTSHDSATRNFAQDNKPMTGSFPYVSSPTGRALSLLSSKVDSWISPSNLSTRSSAALRELIVENRAAVLARQPVLERDWQYIGEPQPAGSNPIFVQHHSLLDPHGWDVQFPETGGQVTLDLMQASNSAFGMLSVRGKTKEEEECSELWHSLQGTHG >KJB49222 pep chromosome:Graimondii2_0_v6:8:33649465:33652275:1 gene:B456_008G107300 transcript:KJB49222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDDMFMGNRSQTGNKYNPNNGTTNSFNFETTLSESHALNHHQQQRHSLYAGDGSSRIQQSADPHLVCLKLGKRHYFEGSTDLNNHRHSAGGYSIGKKGKPYYDNLSGEGGGPSSSTVPRCQVEGCNVTLVNAKEYHRRHKVCETHSKAPKVVVLGLEQRFCQQCSRFHVVSEFDDSKRSCRRRLAGHNERRRKTSHDSATRNFAQDNKPMTGSFPYVSSPTGRALSLLSSKVDSWISPSNLSTRSSAALRELIVENRAAVLARQPVLERDWQYIGEPQPAGSNPIFVQHHSLLDPHGWDVQFPETGGQVTLDLMQASNSAFGMLSVRGKTKEEEECSELWHSLQGTHG >KJB46670 pep chromosome:Graimondii2_0_v6:8:37724974:37726248:1 gene:B456_008G1316001 transcript:KJB46670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQNPQHGQYIEINVDVGQGQRRIGGSKFCGEAPCGFSDAGTSSKDAEERSASMRKLLIAVVLCVIFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEANPRQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINNTGEVYGFLMFLVAAFGLVVNIIMALLLGHDHSHGHGHGHDHGHGHSHSHSHSHSHSDHSHGVSVTTHHHHEGHSTGEHHHHHHHPEGHSKTEHSHHYEETEHVKDEDHHHDVHKEQSKPLLDKPKKRRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIVDLICTLIFSVVVLGTTIKMLRSILEVLMESTPREIDATKLETGLLEMGDVVAIHELHIWAITVGKVLLACHVKIRPEADADIVLDNVIEYIRREYNISHVTIQIER >KJB46669 pep chromosome:Graimondii2_0_v6:8:37724974:37726248:1 gene:B456_008G1316001 transcript:KJB46669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQNPQHGQYIEINVDVGQGQRRIGGSKFCGEAPCGFSDAGTSSKDAEERSASMRKLLIAVVLCVIFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEANPRQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINNTGEVYGFLMFLVAAFGLVVNIIMALLLGHDHSHGHGHGHDHGHGHSHSHSHSHSHSDHSHGVSVTTHHHHEGHSTGEHHHHHHHPEGHSKTEHSHHYEETEHVKDEDHHHDVHKEQSKPLLDKPKKRRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIVDLICTLIFSVVVLGTTIKMLRSILEVLMESTPREIDATKLETGLLEMGDVVAIHELHIWAITVGKVLLACHVKIRPEADADIVLDNVIEYIRREYNISHVTIQIER >KJB46671 pep chromosome:Graimondii2_0_v6:8:37724974:37726248:1 gene:B456_008G1316001 transcript:KJB46671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQNPQHGQYIEINVDVGQGQRRIGGSKFCGEAPCGFSDAGTSSKDAEERSASMRKLLIAVVLCVIFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEANPRQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINNTGEVYGFLMFLVAAFGLVVNIIMALLLGHDHSHGHGHGHDHGHGHSHSHSHSHSHSDHSHGVSVTTHHHHEGHSTGEHHHHHHHPEGHSKTEHSHHYEETEHVKDEDHHHDVHKEQSKPLLDKPKKRRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIVDLICTLIFSVVVLGTTIKMLRSILEVLMESTPREIDATKLETGLLEMGDVVAIHELHIWAITVGKVLLACHVKIRPEADADIVLDNVIEYIRREYNISHVTIQIER >KJB46667 pep chromosome:Graimondii2_0_v6:8:37724974:37726248:1 gene:B456_008G1316001 transcript:KJB46667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQNPQHGQYIEINVDVGQGQRRIGGSKFCGEAPCGFSDAGTSSKDAEERSASMRKLLIAVVLCVIFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEANPRQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINNTGEVYGFLMFLVAAFGLVVNIIMALLLGHDHSHGHGHGHDHGHGHSHSHSHSHSHSDHSHGVSVTTHHHHEGHSTGEHHHHHHHPEGHSKTEHSHHYEETEHVKDEDHHHDVHKEQSKPLLDKPKKRRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIVDLICTLIFSVVVLGTTIKMLRSILEVLMESTPREIDATKLETGLLEMGDVVAIHELHIWAITVGKVLLACHVKIRPEADADIVLDNVIEYIRREYNISHVTIQIER >KJB46668 pep chromosome:Graimondii2_0_v6:8:37724974:37726425:1 gene:B456_008G1316001 transcript:KJB46668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQNPQHGQYIEINVDVGQGQRRIGGSKFCGEAPCGFSDAGTSSKDAEERSASMRKLLIAVVLCVIFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEANPRQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINNTGEVYGFLMFLVAAFGLVVNIIMALLLGHDHSHGHGHGHDHGHGHSHSHSHSHSHSDHSHGVSVTTHHHHEGHSTGEHHHHHHHPEGHSKTEHSHHYEETEHVKDEDHHHDVHKEQSKPLLDKPKKRRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIVDLICTLIFSVVVLGTTIKMLRSILEVLMESTPREIDATKLETGLLEMGDVVAIHELHIWAITVGKVLLACHVKIRPEADADIVLDNVIEYIRREYNISHVTIQIER >KJB51405 pep chromosome:Graimondii2_0_v6:8:50216409:50221767:1 gene:B456_008G215300 transcript:KJB51405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKASSTVPSETPKDGDRANLSRTLKYLLATQFLSRGIPFIFNSWIVRHLTQEDYAVYAVQFHLFVTCILFLSREGFRRACMRADIKFEGASAKDNAALLKVAWMSFPLGVVITIAGCVFVFWWQGLQHSDPYAQAILINGFACILELLAEPLYILSQTLFLLKLRLVVETLATFSRCVTMYILIVNLTNMEKGIVFALSQAAYGACIFLGYWSYFLLFRAYRSSDLIPFRLGHMMNFDKQLSNMCMLFTLQSFRKLILQEGEKIVLVWLDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYSTFARSASGQSPNKSKNLGRRLTEAMKLVLLIGLLFLAFGPSYSYCLIRLLYGQKWSDGEASTALRYYCLYIIVLAMNGTSEAFLHAVATENQLKRSNNSLLVFSLIYVALNVLLIRSVGAIGLILANSLSILIILRRIS >KJB51404 pep chromosome:Graimondii2_0_v6:8:50216234:50223006:1 gene:B456_008G215300 transcript:KJB51404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKASSTVPSETPKDGDRANLSRTLKYLLATQFLSRGIPFIFNSWIVRHLTQEDYAVYAVQFHLFVTCILFLSREGFRRACMRADIKFEGASAKDNAALLKVAWMSFPLGVVITIAGCVFVFWWQGLQHSDPYAQAILINGFACILELLAEPLYILSQTLFLLKLRLVVETLATFSRCVTMYILIVNLTNMEKGIVFALSQAAYGACIFLGYWSYFLLFRAYRSSDLIPFRLGHMMNFDKQLSNMCMLFTLQSFRKLILQEGEKIVLVWLDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYSTFARSASGQSPNKSKNLGRRLTEAMKLVLLIGLLFLAFGPSYSYCLIRLLYGQKWSDGEASTALRYYCLYIIVLAMNGTSEAFLHAVATENQLKRSNNSLLVFSLIYVALNVLLIRSVGAIGLILANSLNMILRIIYSAIFIKHFFQESSSFSFCSCLPSGWTILLLSGVATLISEKVFLDRENFWSSFFIHFCIGFAFFCTSAFVIYRRERPFINKIIRFRDHSD >KJB51407 pep chromosome:Graimondii2_0_v6:8:50216305:50223006:1 gene:B456_008G215300 transcript:KJB51407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKASSTVPSETPKDGDRANLSRTLKYLLATQFLSRGIPFIFNSWIVRHLTQEDYAVYAVQFHLFVTCILFLSREGFRRACMRADIKLCEGASAKDNAALLKVAWMSFPLGVVITIAGCVFVFWWQGLQHSDPYAQAILINGFACILELLAEPLYILSQTLFLLKLRLVVETLATFSRCVTMYILIVNLTNMEKGIVFALSQAAYGACIFLGYWSYFLLFRAYRSSDLIPFRLGHMMNFDKQLSNMCMLFTLQSFRKLILQEGEKIVLVWLDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYSTFARSASGQSPNKSKNLGRRLTEAMKLVLLIGLLFLAFGPSYSYCLIRLLYGQKWSDGEASTALRYYCLYIIVLAMNGTSEAFLHAVATENQLKRSNNSLLVFSLIYVALNVLLIRSVGAIGLILANSLNMILRIIYSAIFIKHFFQESSSFSFCSCLPSGWTILLLSGVATLISEKVFLDRENFWSSFFIHFCIGFAFFCTSAFVIYRRERPFINKIIRFRDHSD >KJB51406 pep chromosome:Graimondii2_0_v6:8:50216305:50223006:1 gene:B456_008G215300 transcript:KJB51406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKASSTVPSETPKDGDRANLSRTLKYLLATQFLSRGIPFIFNSWIVRHLTQEDYAVYAVQFHLFVTCILFLSREGFRRACMRADIKFEGASAKDNAALLKVAWMSFPLGVVITIAGCVFVFWWQGLQHSDPYAQAILINGFACILELLAEPLYILSQTLFLLKLRLVVETLATFSRCVTMYILIVNLTNMEKGIVFALSQAAYGACIFLGYWSYFLLFRAYRSSDLIPFRLGHMMNFDKQLSNMCMLFTLQSFRKLILQEGEKIVLVWLVFLPFEESSYSTFARSASGQSPNKSKNLGRRLTEAMKLVLLIGLLFLAFGPSYSYCLIRLLYGQKWSDGEASTALRYYCLYIIVLAMNGTSEAFLHAVATENQLKRSNNSLLVFSLIYVALNVLLIRSVGAIGLILANSLNMILRIIYSAIFIKHFFQESSSFSFCSCLPSGWTILLLSGVATLISEKVFLDRENFWSSFFIHFCIGFAFFCTSAFVIYRRERPFINKIIRFRDHSD >KJB51408 pep chromosome:Graimondii2_0_v6:8:50217188:50223006:1 gene:B456_008G215300 transcript:KJB51408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSCLFTPRILVFIYSEGASAKDNAALLKVAWMSFPLGVVITIAGCVFVFWWQGLQHSDPYAQAILINGFACILELLAEPLYILSQTLFLLKLRLVVETLATFSRCVTMYILIVNLTNMEKGIVFALSQAAYGACIFLGYWSYFLLFRAYRSSDLIPFRLGHMMNFDKQLSNMCMLFTLQSFRKLILQEGEKIVLVWLDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYSTFARSASGQSPNKSKNLGRRLTEAMKLVLLIGLLFLAFGPSYSYCLIRLLYGQKWSDGEASTALRYYCLYIIVLAMNGTSEAFLHAVATENQLKRSNNSLLVFSLIYVALNVLLIRSVGAIGLILANSLNMILRIIYSAIFIKHFFQESSSFSFCSCLPSGWTILLLSGVATLISEKVFLDRENFWSSFFIHFCIGFAFFCTSAFVIYRRERPFINKIIRFRDHSD >KJB48813 pep chromosome:Graimondii2_0_v6:8:20108346:20109368:1 gene:B456_008G088400 transcript:KJB48813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYNTRIFSLVDWLRCEFTKVDNEYAGSGKRVYVGRVLALANMGFWCFNLFGFLLPVYLPKAFKMYYSETKVKVVE >KJB48812 pep chromosome:Graimondii2_0_v6:8:20108346:20109368:1 gene:B456_008G088400 transcript:KJB48812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYNTRIFSLVDWLRCEFTKVDNEYAGSGKRVYVGRVLALANMGFWCFNLFGFLLPVYLPKAFKMYYSETKVKVVE >KJB50324 pep chromosome:Graimondii2_0_v6:8:43179998:43180580:1 gene:B456_008G163800 transcript:KJB50324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFSFNLFIFAVILIFATHSEMMAEARGPVISCRCSKTEDCQGICAACPNYSCINNLCTCLSNAPPFP >KJB50168 pep chromosome:Graimondii2_0_v6:8:41907488:41911373:-1 gene:B456_008G157100 transcript:KJB50168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 5 [Source:Projected from Arabidopsis thaliana (AT5G13130) UniProtKB/Swiss-Prot;Acc:F4K2G3] MASPVNGNQIRVKTEPDVLNDKIQHTPAQQPAIDISSDSSSSSMSSGDSSGIGDSLLRFQQSSAAPEKRNSDDPLDSFPTKKRKTMFLAPLPPEPLSVQVPETVPLSLRETVGVLKGLAGAVVETRQQSKVSRGRKQFWKAGDYEGGNACDSAMSSTVGMDHVRVHPKFLHSNATSHKWALGAFAELWDNALDEACNGATYVSIDMLQNKKDDSKMLVVEDNGGGMSPDKMRQCMSLGYSSKSKMANTIGQYGNGFKTSTMRLGADVIVFSQSLGTDGKSPTRSIGVLSYTFLTETGKEDIVVPIIDFEQKGRDWTKMTRCFEDDWNRNLETIIHWSPYTSEAHLLDQFNFLKDHGTRIIIYNLWEDDEGKLELDFDTDLHDIQIRGVNRDEKNIEMAKTFHNSRQFLTYRHSLRSYASILYLRLPTNFTMILRGKDIEHHNIVNDMMLTTKITYRPQIVSGKAPISSDMVATVTIGFAKDAQHHIDIQGFNVYHKNRLIKPFWRVWNAAGSSGRGVLGVLEANFVEPAHDKQGFERTVVLSRLEAKLVGIQKDYWFKNCHEVGYAPRRPTKSSISKAPNFVPCVGEKSSLHPTQKEQGSISKGWNMKSGIKEKSWVPNQNGCGTPNTMDKSSSHPNIYEQVFIGGSFRTQVDKMLEQRPLVDEANQDGSSTKGESHQHPTSKTMSQISHLKVNKCCW >KJB48523 pep chromosome:Graimondii2_0_v6:8:13248496:13252045:1 gene:B456_008G073900 transcript:KJB48523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPRCYLDISIGGELEGRIVVELYTDVVPKTAENFRTLCTGEKGIAPNSAASLHYKGVRFHRIIRGFMIQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANMGPNTNGSQFFITTTRTSHLDGKHVVFGKVIKGMGVVRSIELVATKDGDYPTQEVIIADCGEIPEGADDGVSNFFKDGDIYPDWPVDLEKKPDEISWWMKAVDSIKAFANEQYKKQDYKVALRKYWKALRYLDVCWDLEGIDQAKSSYLRKTKSQMFTNSSACKLKLGDLKGALLNADFAIRDGEDNVKAFFRQGQANMALNDLDSAVESFKKALDLEPNDGGIKKELAAARKKIADRRDQEKKAYSRMFQ >KJB48524 pep chromosome:Graimondii2_0_v6:8:13248448:13252253:1 gene:B456_008G073900 transcript:KJB48524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPRCYLDISIGGELEGRIVVELYTDVVPKTAENFRTLCTGEKGIAPNSAASLHYKGVRFHRIIRGFMIQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANMGPNTNGSQFFITTTRTSHLDGKHVVFGKVIKGMGVVRSIELVATKDGDYPTQEVIIADCGEIPEGADDGVSNFFKDGDIYPDWPVDLEKKPDEISWWMKAVDSIKAFANEQYKKQDYKVALRKYWKALRYLDVCWDLEGIDQAKSSYLRKTKSQMFTNSSACKLKLGDLKGALLNADFAIRDGEDNVKAFFRQGQANMALNDLDSAVESFKKALDLEPNDGGIKKELAAARKKIADRRDQEKKAYSRMFQ >KJB47127 pep chromosome:Graimondii2_0_v6:8:2377133:2379767:-1 gene:B456_008G020900 transcript:KJB47127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSVISLSLCFLLPLLSPAISTNSEGNALHALRRRLSDPTNVLQSWDPTLVNPCTWFHITCDSNNHVIRLDLGNSNISGTLGPELAQLQHLQELYKNDISGEIPKELGNLKNLVGLDLYGNRFEGEIPKSFSGLKSLRFLRLNNNNLTGSIPRELTALSNLKVFDVSNNDLCGTIPVDGPFGMFSMPSYANNMRLNGPELKGLVPYNFGC >KJB47129 pep chromosome:Graimondii2_0_v6:8:2378353:2379634:-1 gene:B456_008G020900 transcript:KJB47129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSVISLSLCFLLPLLSPAISTNSEGNALHALRRRLSDPTNVLQSWDPTLVNPCTWFHITCDSNNHVIRLDLGNSNISGTLGPELAQLQHLQYLELYKNDISGEIPKELGNLKNLVGLDLYGNRFEGEIPKSFSGLKSLRFLRLNNNNLTGSIPRELTALSNLKVL >KJB47130 pep chromosome:Graimondii2_0_v6:8:2378147:2379634:-1 gene:B456_008G020900 transcript:KJB47130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSVISLSLCFLLPLLSPAISTNSEGNALHALRRRLSDPTNVLQSWDPTLVNPCTWFHITCDSNNHVIRLDLGNSNISGTLGPELAQLQHLQYLELYKNDISGEIPKELGNLKNLVGLDLYGNRFEGEIPKSFSGLKSLRFLRLNNNNLTGSIPRELTALSNLKVFDVSNNDLCGTIPVDGPFGMFSMPRYHFYCSLC >KJB47126 pep chromosome:Graimondii2_0_v6:8:2378353:2379634:-1 gene:B456_008G020900 transcript:KJB47126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSVISLSLCFLLPLLSPAISTNSEGNALHALRRRLSDPTNVLQSWDPTLVNPCTWFHITCDSNNHVIRLDLGNSNISGTLGPELAQLQHLQYLELYKNDISGEIPKELGNLKNLVGLDLYGNRFEGEIPKSFSGLKSLRFLRLNNNNLTGSIPRELTALSNLKVL >KJB47125 pep chromosome:Graimondii2_0_v6:8:2377086:2379880:-1 gene:B456_008G020900 transcript:KJB47125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSVISLSLCFLLPLLSPAISTNSEGNALHALRRRLSDPTNVLQSWDPTLVNPCTWFHITCDSNNHVIRLDLGNSNISGTLGPELAQLQHLQYLELYKNDISGEIPKELGNLKNLVGLDLYGNRFEGEIPKSFSGLKSLRFLRLNNNNLTGSIPRELTALSNLKVFDVSNNDLCGTIPVDGPFGMFSMPSYANNMRLNGPELKGLVPYNFGC >KJB47128 pep chromosome:Graimondii2_0_v6:8:2377133:2379767:-1 gene:B456_008G020900 transcript:KJB47128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSVISLSLCFLLPLLSPAISTNSEGNALHALRRRLSDPTNVLQSWDPTLVNPCTWFHITCDSNNHVIRLDLGNSNISGTLGPELAQLQHLQYLELYKNDISGEIPKELGNLKNLVGLDLYGNRFEGEIPKSFSGLKSLRFLIHSEGAYCPL >KJB49198 pep chromosome:Graimondii2_0_v6:8:33341183:33344070:1 gene:B456_008G106000 transcript:KJB49198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMPLSFEEFQGKGALDFSSSTSSCSDSSLLLQHQHQHHQKEGKWQNKGGDCCYVGSEPIDNNNKTRRKRSPSPSSTLSSSLGGGGSGGGASTDTAGVAATTTVVSATTNTSQSLDVGKCGLGMEDWESVLSGSPNQDQSILRLIMGDIDDPSMGLNKILQPPSGGGGGGSENMEFNAGFGMVDHGFGFDSITSSVSLINNVDPPISCSDFPVTSNPPSLLPPPPPGVFPQQQSHLQVMDEKPQIFNPQMIINQNQARFTQNPTMFLPLSYAQLQEHSLLSPPPPKRFNSGGPFSGSGPELYLRRQQQQQIQMLQQRPITGKPKIVTDDLANQQLQQAIIDQLIQAAELIETGDPVLAQGILARLNHQLSPVGKPFIRAAFYFKEALQLLLPLNTSNTSVMSNYNMIFKIGAYKSFSEISPIVQFANFTCNQALLEVFEGCNRVHIIDFDVGYGGQWASLIQELVLRNGGAPSMKITAFASPSSHDDIELGFTIENLKHYASEINMDFDIEIMSLEALNSGSWPLPLHLGENEAIAVNLPIGSFSNYPSILPLVLRFVKQLSPKIVVSLDRGCDRTDVPFPHHIIHALQSYSGLLESLDAVNMNLDALEKIERFFLQPSIEKIVLGRHRSLERRPPWRSLFIQSGFSPLTFSNFTESQAECLIQRTPIRGFHVEKRQSSLVLCWQRRELIAASAWRC >KJB50866 pep chromosome:Graimondii2_0_v6:8:47323351:47325035:-1 gene:B456_008G190300 transcript:KJB50866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRVYRSNTSADAGSNNLSVLLQSQWVPSSSEPLDTLFIPGSSPSPFLVSGTRSMVSFEGVDRRRSYFRTFDGEEKVEEDIEEYLHRSEKKRRLTVDQVQFLEKSFEAENKLEPDRKVQLAKDLGLQSRQVAIWFQNRRARWKTKQLEKDYDSLQASYNSLKADYDNLVKETDKLKEELTDKLLLEGKDKGEPELPDAKTSSQELPSEAAEGEESKVVPVVSAKSDYTEGVHSSVLLEGAGSTYPFEPDQSDLSQDEEDNLSKGLLHLPSCVFPKLQDIDYSDPPAGSCNFGFPLDDHAFWSWAC >KJB50864 pep chromosome:Graimondii2_0_v6:8:47323323:47325215:-1 gene:B456_008G190300 transcript:KJB50864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRVYRSNTSADAGSNNLSVLLQSQWVPSSSEPLDTLFIPGSSPSPFLGTRSMVSFEGVDRRRSYFRTFDGEEKVEEDIEEYLHRSEKKRRLTVDQVQFLEKSFEAENKLEPDRKVQLAKDLGLQSRQVAIWFQNRRARWKTKQLEKDYDSLQASYNSLKADYDNLVKETDKLKEEVVQLTDKLLLEGKDKGEPELPDAKTSSQELPSEAAEGEESKVVPVVSAKSDYTEGVHSSVLLEGAGSTYPFEPDQSDLSQDEEDNLSKGLLHLPSCVFPKLQDIDYSDPPAGSCNFGFPLDDHAFWSWAC >KJB50867 pep chromosome:Graimondii2_0_v6:8:47323611:47324443:-1 gene:B456_008G190300 transcript:KJB50867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEGVDRRRSYFRTFDGEEKVEEDIEEYLHRSEKKRRLTVDQVQFLEKSFEAENKLEPDRKVQLAKDLGLQSRQVAIWFQNRRARWKTKQLEKDYDSLQASYNSLKADYDNLVKETDKLKEEVVQLTDKLLLEGKDKGEPELPDAKTSSQELPSEAAEGEESKVVPVVSAKSDYTEGVHSSVLLEGAGSTYPFEPDQSDLSQDEEDNLSKGLLHLPSCVFPKLQDIDYSDPPAGSCNFGFPLDDHAFWSWAC >KJB50865 pep chromosome:Graimondii2_0_v6:8:47323611:47324685:-1 gene:B456_008G190300 transcript:KJB50865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRVYRSNTSADAGSNNLSVLLQSQWVPSSSEPLDTLFIPGSSPSPFLVSGTRSMVSFEGVDRRRSYFRTFDGEEKVEEDIEEYLHRSEKKRRLTVDQVQFLEKSFEAENKLEPDRKVQLAKDLGLQSRQVAIWFQNRRARWKTKQLEKDYDSLQASYNSLKADYDNLVKETDKLKEEVVQLTDKLLLEGKDKGEPELPDAKTSSQELPSEAAEGEESKVVPVVSAKSDYTEGVHSSVLLEGAGSTYPFEPDQSDLSQDEEDNLSKGLLHLPSCVFPKLQDIDYSDPPAGSCNFGFPLDDHAFWSWAC >KJB51045 pep chromosome:Graimondii2_0_v6:8:48357445:48362412:1 gene:B456_008G198700 transcript:KJB51045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSRETHLDKICDICGDVGFEELIRSCSQCTMGRHLYCMRVVVRDDLEDWICEGCLSKNDINSLNSGQAENVMDSSTKVYFDLWGQVPRKRRKAVETGKVKFLPTEEVIKLSSLLPLPKRAFPSNSNSGLKPVPAKFTLSPSKRVFMGSKYAGPCYNPIKVRRNPTFLQLGSDNVPRGRGGQISASIRHQHSVERPNKSKEDEEKASRTPAKQYGSNEEPVSSVMAANEVTGDVDSKATNTMKETLSIANAVERAHLVPNKENVCKGKISDTILPNKELTVLHTKTEDAMRSSRPSPSRHHTTIMSSGQNIHGAAEPENSDVPKTETWSRLKVSLYRPHAPSLHPTWMGGFKFFNTTGELYGDFLALPPCRVHRKAYEFSKKMPAVLQVNLLQQWHLHSHILQNGCLDLLDIALYFCPVDMERSQGNYNKLFQLMGKENSVMISYIDDLELLIFTSEQLHADSWGKSLAF >KJB51044 pep chromosome:Graimondii2_0_v6:8:48357348:48363212:1 gene:B456_008G198700 transcript:KJB51044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSRETHLDKICDICGDVGFEELIRSCSQCTMGRHLYCMRVVVRDDLEDWICEGCLSKNDINSLNSGQAENVMDSSTKVYFDLWGQVPRKRRKAVETGKVKFLPTEEVIKLSSLLPLPKRAFPSNSNSGLKPVPAKFTLSPSKRVFMGSKYAGPCYNPIKVRRNPTFLQLGSDNVPRGRGGQISASIRHQHSVERPNKSKEDEEKASRTPAKQYGSNEEPVSSVMAANEVTGDVDSKATNTMKETLSIANAVERAHLVPNKENVCKGKISDTILPNKELTVLHTKTEDAMRSSRPSPSRHHTTIMSSGQNIHGAAEPENSDVPKTETWSRLKVSLYRPHAPSLHPTWMGGFKFFNTTGELYGDFLALPPCRVHRKAYEFSKKMPAVLQVNLLQQWHLHSHILQNGCLDLLDIALYFCPVDMERSQGNYNKLFQLMGKENSVMISYIDDLELLIFTSEQLHADSWGVFTGSNKEFFGGVFRRVKEHQKLPSLVSHTQDAGEADDMVSGKMVGISNMALSK >KJB50973 pep chromosome:Graimondii2_0_v6:8:48046213:48048947:-1 gene:B456_008G1956001 transcript:KJB50973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEISERVYVFDCCFSTDVLEEDEYKVYMGGIVAQLQDHFPDASFMVFNFREGEKRSQISDILTQYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPILAFMLSGLLLYRKQYTGEQKTLEMIYKQAPRDLLQLLSPLNPQPSQLRYLQYISRRNLGSDWPPSDTPLFLDRLILRVLPLFEGGKGCRPAVRVYGQDPETPANRSSKLLFSTSKTKKQIRHFLKEECAMVKIDIHCRIQGDIVLECIHLDEDLVREEMIFRVMFNTAFVRANVLMLYRDEIDVLWDAKDQFPKDFTAEVLFSDPDAVVPGLTRVVASDDGNEIESASPEEFFEVEEIFSNAVDAVEGKVDDSSLIVPHNKPDQKDVWREDVDPPTFQDCASDDGNHKQDTKVFSSIDAVKDIAVDDVNYKLDKISSDINTVKDISVDDGDMKIDSVVFTVDVLRDRETKEVIEDVIDKLEEMQDKGNRDDTIPLKKSESKMFEQRLKADVSQPKPEKLLSASKKQAALDPKPTLDSVLVKPKKDQLEPQSPARQAKPNIISRWIPPNNGSYTNSMHVSYPPSRYNSAPPVLSSITSDSGSNRKGSIGAVILEDVSSEQKSQMVEPLKSTDSPKEISTTPIIPTSTT >KJB50971 pep chromosome:Graimondii2_0_v6:8:48046213:48048947:-1 gene:B456_008G1956001 transcript:KJB50971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEISERVYVFDCCFSTDVLEEDEYKVYMGGIVAQLQDHFPDASFMVFNFREGEKRSQISDILTQYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPILAFMLSGLLLYRKQYTGEQKTLEMIYKQAPRDLLQLLSPLNPQPSQLRYLQYISRRNLGSDWPPSDTPLFLDRLILRVLPLFEGGKGCRPAVRVYGQDPETPANRSSKLLFSTSKTKKQIRHFLKEECAMVKIDIHCRIQGDIVLECIHLDEDLVREEMIFRVMFNTAFVRANVLMLYRDEIDVLWDAKDQFPKDFTAEVLFSDPDAVVPGLTRVVASDDGNEIESASPEEFFEVEEIFSNAVDAVEGKVDDSSLIVPHNKPDQKDVWREDVDPPTFQDCASDDGNHKQDTKVFSSIDAVKDIAVDDVNYKLDKISSDINTVKDISVDDGDMKIDSVVFTVDVLRDRETKEVIEDVIDKLEEMQDKGNRDDTIPLKKSESKMFEQRLKADVSQPKPEKLLSASKKQAALDPKPTLDSVLVKPKKDQLEPQSPARQAKPNIISRWIPPNNGSYTNSMHVSYPPSRYNSAPPVLSSITSDSGSNRKGSIGAVILEDVSSEQKSQMVEPLKSTDSPKEISTTPIIPTSTT >KJB50974 pep chromosome:Graimondii2_0_v6:8:48046213:48048947:-1 gene:B456_008G1956001 transcript:KJB50974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEISERVYVFDCCFSTDVLEEDEYKVYMGGIVAQLQDHFPDASFMVFNFREGEKRSQISDILTQYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPILAFMLSGLLLYRKQYTGEQKTLEMIYKQAPRDLLQLLSPLNPQPSQLRYLQYISRRNLGSDWPPSDTPLFLDRLILRVLPLFEGGKGCRPAVRVYGQDPETPANRSSKLLFSTSKTKKQIRHFLKEECAMVKIDIHCRIQGDIVLECIHLDEDLVREEMIFRVMFNTAFVRANVLMLYRDEIDVLWDAKDQFPKDFTAEVLFSDPDAVVPGLTRVVASDDGNEIESASPEEFFEVEEIFSNAVDAVEGKVDDSSLIVPHNKPDQKDVWREDVDPPTFQDCASDDGNHKQDTKVFSSIDAVKDIAVDDVNYKLDKISSDINTVKDISVDDGDMKIDSVVFTVDVLRDRETKEVIEDVIDKLEEMQDKGNRDDTIPLKKSESKMFEQRLKADVSQPKPEKLLSASKKQAALDPKPTLDSVLVKPKKDQLEPQSPARQAKPNIISRWIPPNNGSYTNSMHVSYPPSRYNSAPPVLSSITSDSGSNRKGSIGAVILEDVSSEQKSQMVEPLKSTDSPKEISTTPIIPTSTT >KJB50972 pep chromosome:Graimondii2_0_v6:8:48046213:48048947:-1 gene:B456_008G1956001 transcript:KJB50972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEISERVYVFDCCFSTDVLEEDEYKVYMGGIVAQLQDHFPDASFMVFNFREGEKRSQISDILTQYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPILAFMLSGLLLYRKQYTGEQKTLEMIYKQAPRDLLQLLSPLNPQPSQLRYLQYISRRNLGSDWPPSDTPLFLDRLILRVLPLFEGGKGCRPAVRVYGQDPETPANRSSKLLFSTSKTKKQIRHFLKEECAMVKIDIHCRIQGDIVLECIHLDEDLVREEMIFRVMFNTAFVRANVLMLYRDEIDVLWDAKDQFPKDFTAEVLFSDPDAVVPGLTRVVASDDGNEIESASPEEFFEVEEIFSNAVDAVEGKVDDSSLIVPHNKPDQKDVWREDVDPPTFQDCASDDGNHKQDTKVFSSIDAVKDIAVDDVNYKLDKISSDINTVKDISVDDGDMKIDSVVFTVDVLRDRETKEVIEDVIDKLEEMQDKGNRDDTIPLKKSESKMFEQRLKADVSQPKPEKLLSASKKQAALDPKPTLDSVLVKPKKDQLEPQSPARQAKPNIISRWIPPNNGSYTNSMHVSYPPSRYNSAPPVLSSITSDSGSNRKGSIGAVILEDVSSEQKSQMVEPLKSTDSPKEISTTPIIPTSTT >KJB51646 pep chromosome:Graimondii2_0_v6:8:51317115:51324114:-1 gene:B456_008G226700 transcript:KJB51646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFNAENGFGGTQLSSRELGLKEEPGTCNACVSSFSPCVSKQMALTATKTNEFLGKACQKKDSNHYSFNDADLSSPRMNRACNDRQRTSSESSHPLSVCLSRESFSDVVESEETLRDCNTYESIKMITKTNLPSACANNIGPLKAPIFHNKFVPNKFEKQESECLGDNISFVCVSDYAKTRAADHTSDADKKNASYNSASVDRFPGTEKEVNVQPACFLVGSPCGDVDDNHPRRSNRSSIESSQEILYCSNKSDLSEISSLRDSCAGASSAKREHSECSEEQVQSSFARTGAVQVGSQIGDGHNYAESMEVDNRTNEREQTAELKSTTVAKEINMEETNIGSQPAAACSDAPDSIEYEVKVCDICGDIGREELLAVCSNCSDGAEHIYCMRVKMDSVPKGDWMCEECALSKETERQKQDKMEGGVKILKKTHASESETKPLEVEESEAHKVSSTPSFTSKRPSGSLQAVRNKAFGTDLKSPTTSSCSSKVSNHQSGGHSSSTTPRIVCSPTELRSKSLKLPSQYQVSKGLLSKSKSFSNRSLKEDVQLLKEGSCGNEGLAKGTAASESERRIKTVSKSMSLKNMSYTVNNSNHDTKLLPNSSRVEDLKRSRHPKGQSPIKTEKKLKLSNSNALAWADKRIASVKNGLACPSSSLCHDLVDVKGHETSDNSLKTSSTSAQKSFLTEEKKRVLNVRHCVEYSTVVPAISKKHSSAAVQSERPCPRDSTIFASGVHVPSWISVVPQLDSIWQGKFEIQRSGGLPFTCDGLQAHLSTYASHKVLEVVQKLPLKLSLEEAPRLSMWPTQFMKSHATEDNIALYFFAKELDSYERSYKNLLDRMIKYDFSLKGNFGGFELLIFPSNLLPEKSQRWNNMLFLWGVFRGKRVQCSEQISAMSASEKLFPPGKSSESLSALNSNAFPDSMTVASGKNAEVCETKESSSEQKMNAPDVQISSQQVGIIDSSQREERESRKRPEIDLNCSLQEKQEYFADHVEADDTNDGKRLKSCFGGMAVDRNRIKDIINDRCSLPVNGRGPTIYGNEVYDMSLVPPESDSIGNKYSWKHLLQQQVLSNDSGKQVKSSVSNLELALGVERSLSAHRNMPPSFMVMSSGDPRDEISDTNPSLALSLALPYPKAGGSGSGSGTTLKLASDMKLPKCQEVNTTLSLFGGSSES >KJB51648 pep chromosome:Graimondii2_0_v6:8:51317165:51324045:-1 gene:B456_008G226700 transcript:KJB51648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFNAENGFGGTQLSSRELGLKEEPGTCNACVSSFSPCVSKQMALTATKTNEFLGKACQKKDSNHYSFNDADLSSPRMNRACNDRQRTSSESSHPLSVCLSRESFSDVVESEETLRDCNTYESIKMITKTNLPSACANNIGPLKAPIFHNKFVPNKFEKQESECLGDNISFVCVSDYAKTRAADHTSDADKKNASYNSASVDRFPGTEKEVNVQPACFLVGSPCGDVDDNHPRRSNRSSIESSQEILYCSNKSDLSEISSLRDSCAGASSAKREHSECSEEQVQSSFARTGAVQVGSQIGDGHNYAESMEVDNRTNEREQTAELKSTTVAKEINMEETNIGSQPAAACSDAPDSIEYEVKVCDICGDIGREELLAVCSNCSDGAEHIYCMRVKMDSVPKGDWMCEECALSKETERQKQDKMEGGVKILKKTHASESETKPLEVEESEAHKVSSTPSFTSKRPSGSLQAVRNKAFGTDLKSPTTSSCSSKVSNHQSGGHSSSTTPRIVCSPTELRSKSLKLPSQYQVSKGLLSKSKSFSNRSLKEDVQLLKEGSCGNEGLAKGTAASESERRIKTVSKSMSLKNMSYTVNNSNHDTKLLPNSSRVEDLKRSRHPKGQSPIKTEKKLKLSNSNALAWADKRIASVKNGLACPSSSLCHDLVDVKGHETSDNSLKTSSTSAQKSFLTEEKKRVLNVRHCVEYSTVVPAISKKHSSAAVQSERPCPRDSTIFASGVHVPSWISVVPQLDSIWQGKFEIQRSGGLPFTCDGLQAHLSTYASHKVLEVVQKLPLKLSLEEAPRLSMWPTQFMKSHATEDNIALYFFAKELDRFVEMFLCRRANFLSDNSGYFTCFLCSYERSYKNLLDRMIKYDFSLKGNFGGFELLIFPSNLLPEKSQRWNNMLFLWGVFRGKRVQCSEQISAMSASEKLFPPGKSSESLSALNSNAFPDSMTVASGKNAEVCETKESSSEQKMNAPDVQISSQQVGIIDSSQREERESRKRPEIDLNCSLQEKQEYFADHVEADDTNDGKRLKSCFGGMAVDRNRIKDIINDRCSLPVNGRGPTIYGNEVYDMSLVPPESDSIGNKYSWKHLLQQQVLSNDSGKQVKSSVSNLELALGVERSLSAHRNMPPSFMVMSSGDPRDEISDTNPSLALSLALPYPKAGGSGSGSGTTLKLASDMKLPKCQEVNTTLSLFGGSSES >KJB51644 pep chromosome:Graimondii2_0_v6:8:51317165:51323783:-1 gene:B456_008G226700 transcript:KJB51644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFNAENGFGGTQLSSRELGLKEEPGTCNACVSSFSPCVSKQMALTATKTNEFLGKACQKKDSNHYSFNDADLSSPRMNRACNDRQRTSSESSHPLSVCLSRESFSDVVESEETLRDCNTYESIKMITKTNLPSACANNIGPLKAPIFHNKFVPNKFEKQESECLGDNISFVCVSDYAKTRAADHTSDADKKNASYNSASVDRFPGTEKEVNVQPACFLVGSPCGDVDDNHPRRSNRSSIESSQEILYCSNKSDLSEISSLRDSCAGASSAKREHSECSEEQVQSSFARTGAVQVGSQIGDGHNYAESMEVDNRTNEREQTAELKSTTVAKEINMEETNIGSQPAAACSDAPDSIEYEVKVCDICGDIGREELLAVCSNCSDGAEHIYCMRVKMDSVPKGDWMCEECALSKETERQKQDKMEGGVKILKKTHASESETKPLEVEESEAHKVSSTPSFTSKRPSGSLQAVRNKAFGTDLKSPTTSSCSSKVSNHQSGGHSSSTTPRIVCSPTELRSKSLKLPSQYQVSKGLLSKSKSFSNRSLKEDVQLLKEGSCGNEGLAKGTAASESERRIKTVSKSMSLKNMSYTVNNSNHDTKLLPNSSRVEDLKRSRHPKGQSPIKTEKKLKLSNSNALAWADKRIASVKNGLACPSSSLCHDLVDVKGHETSDNSLKTSSTSAQKSFLTEEKKRVLNVRHCVEYSTVVPAISKKHSSAAVQSERPCPRDSTIFASGVHVPSWISVVPQLDSIWQGKFEIQRSGGLPFTCDGLQAHLSTYASHKVLEVVQKLPLKLSLEEAPRLSMWPTQFMKSHATEDNIALYFFAKELDSYERSYKNLLDRMIKYDFSLKGNFGGFELLIFPSNLLPEKSQRWNNMLFLWGVFRGKRVQCSEQISAMSASEKLFPPGKSSESLSALNSNAFPDSMTVASGKNAEVCETKESSSEQKMNAPDVQISSQQVGIIDSSQREERESRKRPEIDLNCSLQEKQEYFADHVEADDTNDGKRLKSCFGGMAVDRNRIKDIINDRCSLPVNGRGPTIYGNEVYDMSLVPPESDSIGNKYSWKHLLQQQVLSNDSGKQVKSSVSNLELALGVERSLSAHRNMPPSFMVMSSGDPRDEISDTNPSLALSLALPYPKAGGSGSGSGTTLKLASDMKLPKCQEVNTTLSLFGGSSES >KJB51647 pep chromosome:Graimondii2_0_v6:8:51318111:51323013:-1 gene:B456_008G226700 transcript:KJB51647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFNAENGFGGTQLSSRELGLKEEPGTCNACVSSFSPCVSKQMALTATKTNEFLGKACQKKDSNHYSFNDADLSSPRMNRACNDRQRTSSESSHPLSVCLSRESFSDVVESEETLRDCNTYESIKMITKTNLPSACANNIGPLKAPIFHNKFVPNKFEKQESECLGDNISFVCVSDYAKTRAADHTSDADKKNASYNSASVDRFPGTEKEVNVQPACFLVGSPCGDVDDNHPRRSNRSSIESSQEILYCSNKSDLSEISSLRDSCAGASSAKREHSECSEEQVQSSFARTGAVQVGSQIGDGHNYAESMEVDNRTNEREQTAELKSTTVAKEINMEETNIGSQPAAACSDAPDSIEYEVKVCDICGDIGREELLAVCSNCSDGAEHIYCMRVKMDSVPKGDWMCEECALSKETERQKQDKMEGGVKILKKTHASESETKPLEVEESEAHKVSSTPSFTSKRPSGSLQAVRNKAFGTDLKSPTTSSCSSKVSNHQSGGHSSSTTPRIVCSPTELRSKSLKLPSQYQVSKGLLSKSKSFSNRSLKEDVQLLKEGSCGNEGLAKGTAASESERRIKTVSKSMSLKNMSYTVNNSNHDTKLLPNSSRVEDLKRSRHPKGQSPIKTEKKLKLSNSNALAWADKRIASVKNGLACPSSSLCHDLVDVKGHETSDNSLKTSSTSAQKSFLTEEKKRVLNVRHCVEYSTVVPAISKKHSSAAVQSERPCPRDSTIFASGVHVPSWISVVPQLDSIWQGKFEIQRSGGLPFTCDGLQAHLSTYASHKVLEVVQKLPLKLSLEEAPRLSMWPTQFMKSHATEDNIALYFFAKELDSYERSYKNLLDRMIKYDFSLKGNFGGFELLIFPSNLLPEKSQRWNNMLFLWGVFRGKRVQCSEQISAMSASEKLFPPGKSSESLSALNSNAFPDSMTVASGKNAEVCETKESSSEQKMNAPDVQISSQQVGIIDSSQVKFFTL >KJB51645 pep chromosome:Graimondii2_0_v6:8:51317165:51324045:-1 gene:B456_008G226700 transcript:KJB51645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFNAENGFGGTQLSSRELGLKEEPGTCNACVSSFSPCVSKQMALTATKTNEFLGKACQKKDSNHYSFNDADLSSPRMNRACNDRQRTSSESSHPLSVCLSRESFSDVVESEETLRDCNTYESIKMITKTNLPSACANNIGPLKAPIFHNKFVPNKFEKQESECLGDNISFVCVSDYAKTRAADHTSDADKKNASYNSASVDRFPGTEKEVNVQPACFLVGSPCGDVDDNHPRRSNRSSIESSQEILYCSNKSDLSEISSLRDSCAGASSAKREHSECSEEQVQSSFARTGAVQVGSQIGDGHNYAESMEVDNRTNEREQTAELKSTTVAKEINMEETNIGSQPAAACSDAPDSIEYEVKVCDICGDIGREELLAVCSNCSDGAEHIYCMRVKMDSVPKGDWMCEECALSKETERQKQDKMEGGVKILKKTHASESETKPLEVEESEAHKVSSTPSFTSKRPSGSLQAVRNKAFGTDLKSPTTSSCSSKVSNHQSGGHSSSTTPRIVCSPTELRSKSLKLPSQYQVSKGLLSKSKSFSNRSLKEDVQLLKEGSCGNEGLAKGTAASESERRIKTVSKSMSLKNMSYTVNNSNHDTKLLPNSSRVEDLKRSRHPKGQSPIKTEKKLKLSNSNALAWADKRIASVKNGLACPSSSLCHDLVDVKGHETSDNSLKTSSTSAQKSFLTEEKKRVLNVRHCVEYSTVVPAISKKHSSAAVQSERPCPRDSTIFASGVHVPSWISVVPQLDSIWQGKFEIQRSGGLPFTCDGLQAHLSTYASHKVLEVVQKLPLKLSLEEAPRLSMWPTQFMKSHATEDNIALYFFAKELDSYERSYKNLLDRMIKYDFSLKGNFGGFELLIFPSNLLPEKSQRWNNMLFLWGVFRGKRVQCSEQISAMSASEKLFPPGKSSESLSALNSNAFPDSMTVASGKNAEVCETKESSSEQKMNAPDVQISSQQVGIIDSSQCFMQREERESRKRPEIDLNCSLQEKQEYFADHVEADDTNDGKRLKSCFGGMAVDRNRIKDIINDRCSLPVNGRGPTIYGNEVYDMSLVPPESDSIGNKYSWKHLLQQQVLSNDSGKQVKSSVSNLELALGVERSLSAHRNMPPSFMVMSSGDPRDEISDTNPSLALSLALPYPKAGGSGSGSGTTLKLASDMKLPKCQEVNTTLSLFGGSSES >KJB51649 pep chromosome:Graimondii2_0_v6:8:51319024:51324045:-1 gene:B456_008G226700 transcript:KJB51649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFNAENGFGGTQLSSRELGLKEEPGTCNACVSSFSPCVSKQMALTATKTNEFLGKACQKKDSNHYSFNDADLSSPRMNRACNDRQRTSSESSHPLSVCLSRESFSDVVESEETLRDCNTYESIKMITKTNLPSACANNIGPLKAPIFHNKFVPNKFEKQESECLGDNISFVCVSDYAKTRAADHTSDADKKNASYNSASVDRFPGTEKEVNVQPACFLVGSPCGDVDDNHPRRSNRSSIESSQEILYCSNKSDLSEISSLRDSCAGASSAKREHSECSEEQVQSSFARTGAVQVGSQIGDGHNYAESMEVDNRTNEREQTAELKSTTVAKEINMEETNIGSQPAAACSDAPDSIEYEVKVCDICGDIGREELLAVCSNCSDGAEHIYCMRVKMDSVPKGDWMCEECALSKETERQKQDKMEGGVKILKKTHASESETKPLEVEESEAHKVSSTPSFTSKRPSGSLQAVRNKAFGTDLKSPTTSSCSSKVSNHQSGGHSSSTTPRIVCSPTELRSKSLKLPSQYQVSKGLLSKSKSFSNRSLKEDVQLLKEGSCGNEGLAKGTAASESERRIKTVSKSMSLKNMSYTVNNSNHDTKLLPNSSRVEDLKRSRHPKGQSPIKTEKKLKLSNSNALAWADKRIASVKNGLACPSSSLCHDLVDVKGHETSDNSLKTSSTSAQKSFLTEEKKRVLNVRHCVEYSTVVPAISKKHSSAAVQSERPCPRDSTIFASGVHVPSWISVVPQLDSIWQYGFYCLSCCSF >KJB50257 pep chromosome:Graimondii2_0_v6:8:42649564:42654819:-1 gene:B456_008G160800 transcript:KJB50257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQEKATHCCIPKTNDDIKNNNKAPPPPPPPSSTKVLQNWSVALVSGSNPSEDTISKRASMATLIRPVEPISDPPATNTTTSKGISIMPRAQTSHPLDPLSAAEISVAVATVRAAGKTPEVRDSMRFIEVALVEPEKHVVALADAYFFPPFQPSLLPRTKGGPVIPSKLPPRQARLVVYNKRSNETSIWIVELSEVHAATRGGHHRGKVISSKVVPDVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSDADAPTRRLAKPLIFCRTESDCPIENGYARPVEGIHVLVDMQNMVVIEFEDRKLVPLPPADPLRNYTAGETRGGVDRSDVKPLQIIQPEGPSFRVSGNFVEWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGVLWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVNLKVEEPGKNNVHNNAFYAEEELLKSELQAMRDCDPLSARHWIVRNTRNVNRTGQLTGFKLVPGSNCLPLAGSEAKFLRRATFLKHNLWVTPYSREEMHPGGEFPNQNPRVGEGLATWVKQNRSLEEADIVLWYVFGVTHVPRLEDWPVMPVERIGFMLMRLMFLLAQLIWSSRTLTLQQSLSKTG >KJB50259 pep chromosome:Graimondii2_0_v6:8:42651627:42654941:-1 gene:B456_008G160800 transcript:KJB50259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQEKATHCCIPKTNDDIKNNNKAPPPPPPPSSTKVLQNWSVALVSGSNPSEDTISKRASMATLIRPVEPISDPPATNTTTSKGISIMPRAQTSHPLDPLSAAEISVAVATVRAAGKTPEVRDSMRFIEVALVEPEKHVVALADAYFFPPFQPSLLPRTKGGPVIPSKLPPRQARLVVYNKRSNETSIWIVELSEVHAATRGGHHRGKVISSKVVPDVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSDADAPTRRLAKPLIFCRTESDCPIENGYARPVEGIHVLVDMQNMVVIEFEDRKLVPLPPADPLRNYTAGETRGGVDRSDVKPLQIIQPEGPSFRVSGNFVEWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGVLWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQASWCLSGFSLQTFCMNWLLNMTLFRLLGN >KJB50260 pep chromosome:Graimondii2_0_v6:8:42652136:42654941:-1 gene:B456_008G160800 transcript:KJB50260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQEKATHCCIPKTNDDIKNNNKAPPPPPPPSSTKVLQNWSVALVSGSNPSEDTISKRASMATLIRPVEPISDPPATNTTTSKGISIMPRAQTSHPLDPLSAAEISVAVATVRAAGKTPEVRDSMRFIEVALVEPEKHVVALADAYFFPPFQPSLLPRTKGGPVIPSKLPPRQARLVVYNKRSNETSIWIVELSEVHAATRGGHHRGKVISSKVVPDVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSDADAPTRRLAKPLIFCRTESDCPIENGYARPVEGIHVLVDMQNMVVIEFEDRKLVPLPPADPLRNYTAGETRGGVDRSDVKPLQIIQPEGPSFRWNFRIGFTPREGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKVFLFFYIFAFSC >KJB50254 pep chromosome:Graimondii2_0_v6:8:42649135:42655066:-1 gene:B456_008G160800 transcript:KJB50254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQEKATHCCIPKTNDDIKNNNKAPPPPPPPSSTKVLQNWSVALVSGSNPSEDTISKRASMATLIRPVEPISDPPATNTTTSKGISIMPRAQTSHPLDPLSAAEISVAVATVRAAGKTPEVRDSMRFIEVALVEPEKHVVALADAYFFPPFQPSLLPRTKGGPVIPSKLPPRQARLVVYNKRSNETSIWIVELSEVHAATRGGHHRGKVISSKVVPDVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSDADAPTRRLAKPLIFCRTESDCPIENGYARPVEGIHVLVDMQNMVVIEFEDRKLVPLPPADPLRNYTAGETRGGVDRSDVKPLQIIQPEGPSFRVSGNFVEWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGVLWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVNLKVEEPGKNNVHNNAFYAEEELLKSELQAMRDCDPLSARHWIVRNTRNVNRTGQLTGFKLVPGSNCLPLAGSEAKFLRRATFLKHNLWVTPYSREEMHPGGEFPNQNPRVGEGLATWVKQNRSLEEADIVLWYVFGVTHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPSATDLELKDTDIATKPIQNGIIAKL >KJB50258 pep chromosome:Graimondii2_0_v6:8:42649177:42654941:-1 gene:B456_008G160800 transcript:KJB50258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQEKATHCCIPKTNDDIKNNNKAPPPPPPPSSTKVLQNWSVALVSGSNPSEDTISKRASMATLIRPVEPISDPPATNTTTSKGISIMPRAQTSHPLDPLSAAEISVAVATVRAAGKTPEVRDSMRFIEVALVEPEKHVVALADAYFFPPFQPSLLPRTKGGPVIPSKLPPRQARLVVYNKRSNETSIWIVELSEVHAATRGGHHRGKVISSKVVPDVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSDADAPTRRLAKPLIFCRTESDCPIENGYARPVEGIHVLVDMQNMVVIEFEDRKLVPLPPADPLRNYTAGETRGGVDRSDVKPLQIIQPEGPSFRWNFRIGFTPREGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGVLWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVNLKVEEPGKNNVHNNAFYAEEELLKSELQAMRDCDPLSARHWIVRNTRNVNRTGQLTGFKLVPGSNCLPLAGSEAKFLRRATFLKHNLWVTPYSREEMHPGGEFPNQNPRVGEGLATWVKQNRSLEEADIVLWYVFGVTHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPSATDLELKDTDIATKPIQNGIIAKL >KJB50256 pep chromosome:Graimondii2_0_v6:8:42649255:42654941:-1 gene:B456_008G160800 transcript:KJB50256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQEKATHCCIPKTNDDIKNNNKAPPPPPPPSSTKVLQNWSVALVSGSNPSEDTISKRASMATLIRPVEPISDPPATNTTTSKGISIMPRAQTSHPLDPLSAAEISVAVATVRAAGKTPEVRDSMRFIEVALVEPEKHVVALADAYFFPPFQPSLLPRTKGGPVIPSKLPPRQARLVVYNKRSNETSIWIVELSEVHAATRGGHHRGKVISSKVVPDVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSDADAPTRRLAKPLIFCRTESDCPIENGYARPVEGIHVLVDMQNMVVIEFEDRKLVPLPPADPLRNYTAGETRGGVDRSDVKPLQIIQPEGPSFRVSGNFVEWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGVLWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVNLKVEEPGKNNVHNNAFYAEEELLKSELQAMRDCDPLSARHWIVRNTRNVNRTGQLTGFKLVPGSNCLPLAGSEAKFLRRATFLKHNLWVTPYSREEMHPGGEFPNQNPRVGEGLATWVKQNRSLEEADIVLWYVFGVTHVPRLEDWPVMPVERIGFMLMRN >KJB50255 pep chromosome:Graimondii2_0_v6:8:42650127:42654819:-1 gene:B456_008G160800 transcript:KJB50255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQEKATHCCIPKTNDDIKNNNKAPPPPPPPSSTKVLQNWSVALVSGSNPSEDTISKRASMATLIRPVEPISDPPATNTTTSKGISIMPRAQTSHPLDPLSAAEISVAVATVRAAGKTPEVRDSMRFIEVALVEPEKHVVALADAYFFPPFQPSLLPRTKGGPVIPSKLPPRQARLVVYNKRSNETSIWIVELSEVHAATRGGHHRGKVISSKVVPDVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSDADAPTRRLAKPLIFCRTESDCPIENGYARPVEGIHVLVDMQNMVVIEFEDRKLVPLPPADPLRNYTAGETRGGVDRSDVKPLQIIQPEGPSFRVSGNFVEWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGVLWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVNLKVEEPGKNNVHNNAFYAEEELLKSELQAMRDCDPLSARHWIVRNTRNVNRTGQLTGFKLVPGSNCLPLAGSEAKFLRRATFLKHNLWVTPYSREEMHPGGEFPNQNPRVGEGLATWVKQNRSLEEADIVLWYVFGVTHVPRLEDWPVMPVERIGFMLMVLDILCFS >KJB51312 pep chromosome:Graimondii2_0_v6:8:49791424:49794217:1 gene:B456_008G211600 transcript:KJB51312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGRLALLLLLAFASLQLFCFADDDNNDDDVVFYESFEESFEGRWIVSNKDDYKGLWKHSKSEGHEDYGLLVSEKARKYAIVKVVDEPVSLKDGTTVLQFETRLQSGIECGGAYIKFLRPQEAGWKPEEFDNESPYSIMFGPDKCGTTNKVHFIFKHKNPKNGEYVEHHLKYPPSVPSDKLTHVYTATLKRDKEVKIMIDGEEKKKANFLAAEDFDPPLIPAKTIPDPDDKKPEDWDDKEKLPDPNAVKPDDWDEDAPMEIEDEEAVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGVWEPPKMYNPKCAIAPGCGEWKRPMKSNPAYKGKWSPPLIDNPNYKGVWKAREIPNPNYFELDKPDFEPIAAIGIEIWTMQEGILFDNILIAKNEKVAKSYRETKWKPKFEVEKERQQAEEEASGLDFLASIKRKVFNALYQIADIPFLSNYKPQILDHIKKAEKQPNLTMGVLVSTVAIILTIFLKLIFGGKNQQCPRIETKPVPVVAETSDDQGSDGEKVEKAEKNEAAAAARRRRRET >KJB51311 pep chromosome:Graimondii2_0_v6:8:49791424:49794217:1 gene:B456_008G211600 transcript:KJB51311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGRLALLLLLAFASLQLFCFADDDNNDDDVVFYESFEESFEGRWIVSNKDDYKGLWKHSKSEGHEDYGLLVSEKARKYAIVKVVDEPVSLKDGTTVLQFETRLQSGIECGGAYIKFLRPQEAGWKPEEFDNESPYSIMFGPDKCGTTNKLTHVYTATLKRDKEVKIMIDGEEKKKANFLAAEDFDPPLIPAKTIPDPDDKKPEDWDDKEKLPDPNAVKPDDWDEDAPMEIEDEEAVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGVWEPPKMYNPKCAIAPGCGEWKRPMKSNPAYKGKWSPPLIDNPNYKGVWKAREIPNPNYFELDKPDFEPIAAIGIEIWTMQEGILFDNILIAKNEKVAKSYRETKWKPKFEVEKERQQAEEEASGLDFLASIKRKVFNALYQIADIPFLSNYKPQILDHIKKAEKQPNLTMGVLVSTVAIILTIFLKLIFGGKNQQCPRIETKPVPVVAETSDDQGSDGEKVEKAEKNEAAAAARRRRRET >KJB46763 pep chromosome:Graimondii2_0_v6:8:54842951:54855054:1 gene:B456_008G269600 transcript:KJB46763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPTSSSLVQLRKSSCSLPSINKSYLNPQLNVTLSNRRKTSNARCSVTKKSSAALEKKFLGTRLRGSEKLHFWQSEGPGRVPKLRVMVRSALSGVPEKPLGLYDPSFDKDSCGVGFVAELSGDSSRKTVTDALEMLIRMSHRGACGCETNTGDGAGILVALPHGFYKEVAKDVGFELPPPGEYAVGMFFLPTSESRREESKNVFTKVAESLGHRVLGWRSVPTDNSGLGNAALQTEPVIEQVFLTPTPRSKADLEQQMYILRRVSMVAIRAALNLQHGGVRDFYICSLSSRTVVYKGQLKPDQLQNYYYADLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNINWMKAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNMDPQRKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVLRKGRLNPGMMLLVDFENHIVVDDEALKQQYSLARPYGEWLQRQKIELNDIVDSVQESERLPPSIAGSMPASNDDDNMDNLGIHGLLAPLKAFGYTVEALEMLLLPMAKDGTEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLSIEETEAIKKMNFKGWRSKVLDITYSKDCGRKGLEETLDRICAEARDAIKEGYTLLVLSDRAFSSKRVAVSSLLAVGAVHHHLVKNLERTRVGLIVESAEPREVHHFCTLVGFGADAICPYLAIETIWRLQVDGKIPPKSSGEFHSKEELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEMLAHDALHLHELAFPSRAFAPGSAEAVALPNPGDYHWRKGGEVHLNDPLAIAKLQEAARSNSVAAYKEYAKRIHELNKTCNLRGMLKFKESEAKIPLDEVEPASEIVKRFCTGAMSYGSISLEAHATLAIAMNTLGGKSNTGEGGEQPSRMVPLPDGSRNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPSARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREIMSQLGFRTVTEMVGRSDMLEVDKEVLSNNEKLQNIDLSLLLRPAADIRPEAAQYCIQKQDHGLDMALDQKLIKLSTAALEKGLPVYIETPICNVNRAVGTMLSHEVTKRYHLAGLPAGTIHIKLSGSAGQSLGAFLCPGIMLELEGDSNDYVGKGLSGGKIVVYPPKGSRFDPKENIVIGNVALYGATSGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDGKFQSRCNPELVDLDKIEEEEDIVTLKMMIQQHQRHTNSQLAREVLAAFESLLPKFIKVFPRDYKRVLAKMKDQEASERAAKEAEEQDEVELMEKDAFEELKKLAAASSNEKSSLTVEAEPVKRPTQVSDAVKHRGFVAYEREGVQYRDPNVRMNDWKEVMEESKPGPLFKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLKRTGKSIAIIGSGPSGLAAADQLNRMGHSVTVYERADRIGGLMMYGVPNMKTDKVDVVQRRVNLMAEEGVKFVVNANIGKDPSYSLDRLREENDAIVLAIGATKPRDLPVPGRDLSGVHFAMEFLHANTKSLLDCDLQDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLPQPPQTRAPGNPWPQV >KJB46762 pep chromosome:Graimondii2_0_v6:8:54842951:54855054:1 gene:B456_008G269600 transcript:KJB46762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPTSSSLVQLRKSSCSLPSINKSYLNPQLNVTLSNRRKTSNARCSVTKKSSAALEKKFLGTRLRGSEKLHFWQSEGPGRVPKLRVMVRSALSGVPEKPLGLYDPSFDKDSCGVGFVAELSGDSSRKTVTDALEMLIRMSHRGACGCETNTGDGAGILVALPHGFYKEVAKDVGFELPPPGEYAVGMFFLPTSESRREESKNVFTKVAESLGHRVLGWRSVPTDNSGLGNAALQTEPVIEQVFLTPTPRSKADLEQQMYILRRVSMVAIRAALNLQHGGVRDFYICSLSSRTVVYKGQLKPDQLQNYYYADLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNINWMKAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNMDPQRKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVLRKGRLNPGMMLLVDFENHIVVDDEALKQQYSLARPYGEWLQRQKIELNDIVDSVQESERLPPSIAGSMPASNDDDNMDNLGIHGLLAPLKAFGYTVEALEMLLLPMAKDGTEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLSIEETEAIKKMNFKGWRSKVLDITYSKDCGRKGLEETLDRICAEARDAIKEGYTLLVLSDRAFSSKRVAVSSLLAVGAVHHHLVKNLERTRVGLIVESAEPREVHHFCTLVGFGADAICPYLAIETIWRLQVDGKIPPKSSGEFHSKEELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEMLAHDALHLHELAFPSRAFAPGSAEAVALPNPGDYHWRKGGEVHLNDPLAIAKLQEAARSNSVAAYKEYAKRIHELNKTCNLRGMLKFKESEAKIPLDEVEPASEIVKRFCTGAMSYGSISLEAHATLAIAMNTLGGKSNTGEGGEQPSRMVPLPDGSRNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPSARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREIMSQLGFRTVTEMVGRSDMLEVDKEVLSNNEKLQNIDLSLLLRPAADIRPEAAQYCIQKQDHGLDMALDQKLIKLSTAALEKGLPVYIETPICNVNRAVGTMLSHEVTKRYHLAGLPAGTIHIKLSGSAGQSLGAFLCPGIMLELEGDSNDYVGKGLSGGKIVVYPPKGSRFDPKENIVIGNVALYGATSGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDGKFQSRCNPELVDLDKIEEEEDIVTLKMMIQQHQRHTNSQLAREVLAAFESLLPKFIKVFPRDYKRVLAKMKDQEASERAAKEAEEQDEVELMEKDAFEELKKLAAASSNEKSSLTVEAEPVKRPTQVSDAVKHRGFVAYEREGVQYRDPNVRMNDWKEVMEESKPGPLFKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLKRTGKSIAIIGSGPSGLAAADQLNRMGHSVTVYERADRIGGLMMYGVPNMKTDKVDVVQRRVNLMAEEGVKFVVNANIGKDPSYSLDRLREENDAIVLAIGATKPRDLPVPGRDLSGVHFAMEFLHANTKSLLDCDLQDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLPQPPQTRAPGNPWPQWPRIFRVDYGHQEAATKFGKDPRSYEVLTKRFIGDDNGTVKGLEVVRVRWEKDASGRFQFKEVEGSEEIIEADLVLLAMGFLGPESVSIVTISLYF >KJB46764 pep chromosome:Graimondii2_0_v6:8:54842951:54855077:1 gene:B456_008G269600 transcript:KJB46764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPTSSSLVQLRKSSCSLPSINKSYLNPQLNVTLSNRRKTSNARCSVTKKSSAALEKKFLGTRLRGSEKLHFWQSEGPGRVPKLRVMVRSALSGVPEKPLGLYDPSFDKDSCGVGFVAELSGDSSRKTVTDALEMLIRMSHRGACGCETNTGDGAGILVALPHGFYKEVAKDVGFELPPPGEYAVGMFFLPTSESRREESKNVFTKVAESLGHRVLGWRSVPTDNSGLGNAALQTEPVIEQVFLTPTPRSKADLEQQMYILRRVSMVAIRAALNLQHGGVRDFYICSLSSRTVVYKGQLKPDQLQNYYYADLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNINWMKAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNMDPQRKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVLRKGRLNPGMMLLVDFENHIVVDDEALKQQYSLARPYGEWLQRQKIELNDIVDSVQESERLPPSIAGSMPASNDDDNMDNLGIHGLLAPLKAFGYTVEALEMLLLPMAKDGTEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLSIEETEAIKKMNFKGWRSKVLDITYSKDCGRKGLEETLDRICAEARDAIKEGYTLLVLSDRAFSSKRVAVSSLLAVGAVHHHLVKNLERTRVGLIVESAEPREVHHFCTLVGFGADAICPYLAIETIWRLQVDGKIPPKSSGEFHSKEELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEMLAHDALHLHELAFPSRAFAPGSAEAVALPNPGDYHWRKGGEVHLNDPLAIAKLQEAARSNSVAAYKEYAKRIHELNKTCNLRGMLKFKESEAKIPLDEVEPASEIVKRFCTGAMSYGSISLEAHATLAIAMNTLGGKSNTGEGGEQPSRMVPLPDGSRNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPSARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREIMSQLGFRTVTEMVGRSDMLEVDKEVLSNNEKLQNIDLSLLLRPAADIRPEAAQYCIQKQDHGLDMALDQKLIKLSTAALEKGLPVYIETPICNVNRAVGTMLSHEVTKRYHLAGLPAGTIHIKLSGSAGQSLGAFLCPGIMLELEGDSNDYVGKGLSGGKIVVYPPKGSRFDPKENIVIGNVALYGATSGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDGKFQSRCNPELVDLDKIEEEEDIVTLKMMIQQHQRHTNSQLAREVLAAFESLLPKFIKVFPRDYKRVLAKMKDQEASERAAKEAEEQDEVELMEKDAFEELKKLAAASSNEKSSLTVEAEPVKRPTQVSDAVKHRGFVAYEREGVQYRDPNVRMNDWKEVMEESKPGPLFKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLKRTGKSIAIIGSGPSGLAAADQLNRMGHSVTVYERADRIGGLMMYGVPNMKTDKVDVVQRRVNLMAEEGVKFVVNANIGKDPSYSLDRLREENDAIVLAIGATKPRDLPVPGRDLSGVHFAMEFLHANTKSLLDCDLQDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLPQPPQTRAPGNPWPQWPRIFRVDYGHQEAATKFGKDPRSYEVLTKRFIGDDNGTVKGLEVVRVRWEKDASGRFQFKEVEGSEEIIEADLVLLAMGFLGPESTLAEKLGVEQDNRSNLKAEYGRFTTNVDGVFAAGDCRRGQSLVVWAISEGRQAAAQVDKYLTKEDKDTSVEGENQDSVKRHQDLPQKQQTVMK >KJB46761 pep chromosome:Graimondii2_0_v6:8:54843428:54853617:1 gene:B456_008G269600 transcript:KJB46761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPTSSSLVQLRKSSCSLPSINKSYLNPQLNVTLSNRRKTSNARCSVTKKSSAALEKKFLGTRLRGSEKLHFWQSEGPGRVPKLRVMVRSALSGVPEKPLGLYDPSFDKDSCGVGFVAELSGDSSRKTVTDALEMLIRMSHRGACGCETNTGDGAGILVALPHGFYKEVAKDVGFELPPPGEYAVGMFFLPTSESRREESKNVFTKVAESLGHRVLGWRSVPTDNSGLGNAALQTEPVIEQVFLTPTPRSKADLEQQMYILRRVSMVAIRAALNLQHGGVRDFYICSLSSRTVVYKGQLKPDQLQNYYYADLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNINWMKAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNMDPQRKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVLRKGRLNPGMMLLVDFENHIVVDDEALKQQYSLARPYGEWLQRQKIELNDIVDSVQESERLPPSIAGSMPASNDDDNMDNLGIHGLLAPLKAFGYTVEALEMLLLPMAKDGTEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLSIEETEAIKKMNFKGWRSKVLDITYSKDCGRKGLEETLDRICAEARDAIKEGYTLLVLSDRAFSSKRVAVSSLLAVGAVHHHLVKNLERTRVGLIVESAEPREVHHFCTLVGFGADAICPYLAIETIWRLQVDGKIPPKSSGEFHSKEELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEMLAHDALHLHELAFPSRAFAPGSAEAVALPNPGDYHWRKGGEVHLNDPLAIAKLQEAARSNSVAAYKEYAKRIHELNKTCNLRGMLKFKESEAKIPLDEVEPASEIVKRFCTGAMSYGSISLEAHATLAIAMNTLGGKSNTGEGGEQPSRMVPLPDGSRNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPSARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREIMSQLGFRTVTEMVGRSDMLEVDKEVLSNNEKLQNIDLSLLLRPAADIRPEAAQYCIQKQDHGLDMALDQKLIKLSTAALEKGLPVYIETPICNVNRAVGTMLSHEVTKRYHLAGLPAGTIHIKLSGSAGQSLGAFLCPGIMLELEGDSNDYVGKGLSGGKIVVYPPKGSRFDPKENIVIGNVALYGATSGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDGKFQSRCNPELVDLDKIEEEEDIVTLKMMIQQHQRHTNSQLAREVLAAFESLLPKFIKVFPRDYKRVLAKMKDQEASERAAKEAEEQDEVELMEKDAFEELKKLAAASSNEKSSLTVEAEPVKRPTQVSDAVKHRGFVAYEREGVQYRDPNVRMNDWKEVMEESKPGPLFKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLKRTGKSIAIIGSGPSGLAAADQLNRMGHSVTVYERADRIGGLMMYGVPNMKTDKVDVVQRRVNLMAEEGVKFVVNANIGKDPSYSLDRLREENDAIVLAIGATKPRDLPVPGRDLSGVHFAMEFLHANTKSLLDCDLQDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLPQPPQTRAPGNPWPQV >KJB46765 pep chromosome:Graimondii2_0_v6:8:54842951:54855054:1 gene:B456_008G269600 transcript:KJB46765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLPTSESRREESKNVFTKVAESLGHRVLGWRSVPTDNSGLGNAALQTEPVIEQVFLTPTPRSKADLEQQMYILRRVSMVAIRAALNLQHGGVRDFYICSLSSRTVVYKGQLKPDQLQNYYYADLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNINWMKAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNMDPQRKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVLRKGRLNPGMMLLVDFENHIVVDDEALKQQYSLARPYGEWLQRQKIELNDIVDSVQESERLPPSIAGSMPASNDDDNMDNLGIHGLLAPLKAFGYTVEALEMLLLPMAKDGTEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLSIEETEAIKKMNFKGWRSKVLDITYSKDCGRKGLEETLDRICAEARDAIKEGYTLLVLSDRAFSSKRVAVSSLLAVGAVHHHLVKNLERTRVGLIVESAEPREVHHFCTLVGFGADAICPYLAIETIWRLQVDGKIPPKSSGEFHSKEELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEMLAHDALHLHELAFPSRAFAPGSAEAVALPNPGDYHWRKGGEVHLNDPLAIAKLQEAARSNSVAAYKEYAKRIHELNKTCNLRGMLKFKESEAKIPLDEVEPASEIVKRFCTGAMSYGSISLEAHATLAIAMNTLGGKSNTGEGGEQPSRMVPLPDGSRNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPSARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREIMSQLGFRTVTEMVGRSDMLEVDKEVLSNNEKLQNIDLSLLLRPAADIRPEAAQYCIQKQDHGLDMALDQKLIKLSTAALEKGLPVYIETPICNVNRAVGTMLSHEVTKRYHLAGLPAGTIHIKLSGSAGQSLGAFLCPGIMLELEGDSNDYVGKGLSGGKIVVYPPKGSRFDPKENIVIGNVALYGATSGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDGKFQSRCNPELVDLDKIEEEEDIVTLKMMIQQHQRHTNSQLAREVLAAFESLLPKFIKVFPRDYKRVLAKMKDQEASERAAKEAEEQDEVELMEKDAFEELKKLAAASSNEKSSLTVEAEPVKRPTQVSDAVKHRGFVAYEREGVQYRDPNVRMNDWKEVMEESKPGPLFKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLKRTGKSIAIIGSGPSGLAAADQLNRMGHSVTVYERADRIGGLMMYGVPNMKTDKVDVVQRRVNLMAEEGVKFVVNANIGKDPSYSLDRLREENDAIVLAIGATKPRDLPVPGRDLSGVHFAMEFLHANTKSLLDCDLQDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLPQPPQTRAPGNPWPQWPRIFRVDYGHQEAATKFGKDPRSYEVLTKRFIGDDNGTVKGLEVVRVRWEKDASGRFQFKEVEGSEEIIEADLVLLAMGFLGPESTLAEKLGVEQDNRSNLKAEYGRFTTNVDGVFAAGDCRRGQSLVVWAISEGRQAAAQVDKYLTKEDKDTSVEGENQDSVKRHQDLPQKQQTVMK >KJB52488 pep chromosome:Graimondii2_0_v6:8:54399815:54401072:-1 gene:B456_008G264600 transcript:KJB52488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAVVEAANPETEQVPSTEESKIELQSEEAVVEDVKEDEKEHDDDDDEDDDDDDDDDKEDGAQGANGSSKQSRSEKKSRKAMLKLGMKPVTGVSRVTIKRTKNVLFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDIGSLAAKPDTSTAAAAPADEEEEEVDETGVEPRDIDLVMTQAGVSRAKAVKALKSNNGDIVSAIMELTT >KJB52489 pep chromosome:Graimondii2_0_v6:8:54399458:54401176:-1 gene:B456_008G264600 transcript:KJB52489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAVVEAANPETEQVPSTEESKIELQSEEAVVEDVKEDEKEHDDDDDEDDDDDDDDDKEDGANGSSKQSRSEKKSRKAMLKLGMKPVTGVSRVTIKRTKNVLFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDIGSLAAKPDTSTAAAAPADEEEEEVDETGVEPRDIDLVMTQAGVSRAKAVKALKSNNGDIVSAIMELTT >KJB51307 pep chromosome:Graimondii2_0_v6:8:49717144:49718525:1 gene:B456_008G211100 transcript:KJB51307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAPTISIKPSNSPLAPTPNAGLRPPFDRFALKSSFFSPSLHLLLPSPHQRRPTNTASPAPKFSMRVASKQAYICRDCGYIYNERTPFEKVSDSYFCPVCGAPKRRFKPYQPAVTRYANDTDIRKARKEQIKRDEAVG >KJB51306 pep chromosome:Graimondii2_0_v6:8:49717077:49718606:1 gene:B456_008G211100 transcript:KJB51306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAPTISIKPSNSPLAPTPNAGLRPPFDRFALKSSFFSPSLHLLLPSPHQRRPTNTASPAPKFSMRVASKQAYICRDCGYIYNERTPFEKVSDSYFCPVCGAPKRRFKPYQPAVTRYANDTDIRKARKEQIKRDEAVGKALPIAIVVGIAVLVGLYFYLNSTISG >KJB46888 pep chromosome:Graimondii2_0_v6:8:46157:48768:1 gene:B456_008G000300 transcript:KJB46888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWERKKLWLCKISPAMASLLQSTTFLFIFSITLILIPKRHAIPFIVLHVFSRIACIWRTLGGPYLDAHVRACVGDEFRHSSYLDQIKSWVKI >KJB48288 pep chromosome:Graimondii2_0_v6:8:9979257:9980984:-1 gene:B456_008G062300 transcript:KJB48288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSGHQHSIITFFSIILLVFLEGVTAATFTFINKCDYTVWPGILANPGSPKLESTGFELTKGSSRSFQAPTGWAGRFWGRTGCNFDDSGHGSCATGDCGSGEMECNGAGAIPPATLAEFTLGSGSQDFYDVSLVDGYNLPMIVEGSGGSGECATTGCLTDLNKKCPSELKIDGGAACKSACDAFGKPEYCCSGAYNSPTACKPSMFSQVFKSACPKSYSYAFDDATSTFTCSGADYAITFCPNVPSLKSSKDPAAAKATGSDPDSDPMQASALASQWLANLATGDSTEIRPFSLIRFGFAITIFLVLSFLL >KJB48289 pep chromosome:Graimondii2_0_v6:8:9979780:9980909:-1 gene:B456_008G062300 transcript:KJB48289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSGHQHSIITFFSIILLVFLEGVTAATFTFINKCDYTVWPGILANPGSPKLESTGFELTKGSSRSFQAPTGWAGRFWGRTGCNFDDSGHGSCATGDCGSGEMECNGAGAIPPATLAEFTLGSGSQDFYDVSLVDGYNLPMIVEGSGGSGECATTGCLTDLNKKCPSELKIDGGAACKSACDAFGKPEYCCSGAYNSPTACKPSMFSQVFKSACPKSYSYAFDDATSTFTCSGADYAITFCPNVPR >KJB51592 pep chromosome:Graimondii2_0_v6:8:51051387:51053884:-1 gene:B456_008G224100 transcript:KJB51592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKESELWDDSALIDAFDNAMSKYKKMHGKKNSEANVSVSVHQTGDEAIKPRDAGENSSSRANTGMEMEAAKDVEPVKENHTVKLQTPETCIDSSSLPMQDKQDGNKAYSDSQAAQDYNQLLTQYYEVEDKRQMILQQLQQFGSWNYQYSGEGSSTAAQWSTSCASQEYPIPTSQASHSTVICSCCPYACQSLATTCTSYPCCSLAGTSVGKISTEPNGAVAYGNLPPFIDSDIVKTAMGAAERAISSMTTKASINPNVNEENKEKKDGEEEMNQSTSCETDLTVLLNAWYSAGFYTGK >KJB51591 pep chromosome:Graimondii2_0_v6:8:51051274:51053942:-1 gene:B456_008G224100 transcript:KJB51591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKESELWDDSALIDAFDNAMSKYKKMHGKKNSEANVSVSVHQTGDEAIKPRDAGENSSSRANTGMEMEAAKDVEPVKENHTVKLQTPETCIDSSSLPMQDKQDGNKAYSDSQAAQDYNQLLTQYYEVEDKRQMILQQLQQFGSWNYQYSGEGSSTAAQWSTSCASQEYPIPTSQASHSTVICSCCPYACQSLATTCTSYPCCSLAGTSVGKISTEPNGAVAYGNLPPFIDSDIVKTAMGAAERAISSMTTKASINPNVNEENKEKKDGEEEMNQSTSCETDLTVLLNAWYSAGFYTGKYLVEQSIAKRRQ >KJB49766 pep chromosome:Graimondii2_0_v6:8:38591824:38594143:1 gene:B456_008G136700 transcript:KJB49766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSCVSLLTFCMSLLCFLISTQGRWHHHHHHTKHKHHSHHDTMPEISAPPEPSIALAPEPSGPPDDENSGGSTEVFDVRKFGAIGDGVTDDTNAFKMAWDTACQVNSSSTIYVPNGFSFMIQSTIFTGPCQGGLVFQIDGTLMPPDGPEEWPKNNSKRQWLVFYRVNQMSLQGGGAIDGRGQKWWDLPCKPHKGINATTLPGPCDSPVAIRFFMSSNLTVQGLKVKDSPQFHFRFDGCQNVHVESLHITAPALSPNTDGIHIANTNGVQIYNSVISNGDDCVSIGSGCYDVDIRNLTCGPGHGISIGSLGNHNSKACVHNVTVRDSVIKVSDNGVRIKTWQDVRRLCVGHTL >KJB49767 pep chromosome:Graimondii2_0_v6:8:38591824:38594143:1 gene:B456_008G136700 transcript:KJB49767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSCVSLLTFCMSLLCFLISTQGRWHHHHHHTKHKHHSHHDTMPEISAPPEPSIALAPEPSGPPDDENSGGSTEVFDVRKFGAIGDGVTDDTNAFKMAWDTACQVNSSSTIYVPNGFSFMIQSTIFTGPCQGGLVFQIDGTLMPPDGPEEWPKNNSKRQWLVFYRVNQMSLQGGGAIDGRGQKWWDLPCKPHKGINATTLPGPCDSPVAIRFFMSSNLTVQGLKVKDSPQFHFRFDGCQNVHVESLHITAPALSPNTDGIHIANTNGVQIYNSVISNGDDCVSIGSGCYDVDIRNLTCGPGHGISIGSLGNHNSKACVHNVTVRDSVIKVSDNGVRIKTWQGGSGAVSGIMFGNIHMISVRNPIIIDQFYCLTKDCLNQTSAVYVSDILYEGIKGTYDTRSPPMHFGCSDSVPCTNITLSDIELLPAQGDIVLDPFCWNAYGELETLTIPPVYCLREGVPRSILDNKDMDHC >KJB50958 pep chromosome:Graimondii2_0_v6:8:47993498:47998910:1 gene:B456_008G194900 transcript:KJB50958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETDGILESLLFEWENFNSIASKSEPSQLIKQPRSMVMIRDSLPQSNLSIFPPINHENLHYQIQQQQQNPVSEPSLLPPSGSGDVIQSSGDHGFGVWLGTLLQIVRAKIVTLACYFSYENGTIGRAFRSFRGIADVALLVLLWWLCKRIRRRRCGEESMERLKTIIKEKDEKIMGLLNQIAQMNARMGSKS >KJB50957 pep chromosome:Graimondii2_0_v6:8:47993498:47995373:1 gene:B456_008G194900 transcript:KJB50957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETDGILESLLFEWENFNSIASKSEPSQLIKQPRSMVMIRDSLPQSNLSIFPPINHENLHYQIQQQQQNPVSEPSLLPPSGSGDVIQSSGDHGFGVWLGTLLQIVRAKIVTLACYFSYENGTIGRAFRSFRGIADVALLVLLWWLCKRIRRRRCGEESMERLKTIIKEKDEKIMGLLNQIAQMNARMGSKS >KJB49931 pep chromosome:Graimondii2_0_v6:8:39886099:39889446:1 gene:B456_008G146100 transcript:KJB49931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEIEASEMEVEEQQPIPSSTKKFGLKDYIQTNYGDDYVFQIVPTDDWTSMAVSLSTNAVKLYSPMTGQYFGECKGHSSTINHISFSGGSNQHVLHSCSSDGTIRAWDTRTFQQVFRFSFGGSDDNLLAAGCQSQILFWDWRNKKQVACLEESHVDDVTQVHFVPNHQNKLASASADGLICIFDTNGDINDDDHLESVINVGTSIAKVGVFGDSYEKLWCLTNIETLSVWDWKEGTNEANFEEARSLASESWTLDHVDYFVDCHSSGGDNLWVIGGTNAGSIGYFPVKYKGTAMIGPPEAVLGGGHMGVVRSILPMPSMQSGTAQGLFGWTGGEDGRLCCWKGDDSPVISRSWISSTSTLALKVPRNRKNSRRCPY >KJB49927 pep chromosome:Graimondii2_0_v6:8:39886099:39889446:1 gene:B456_008G146100 transcript:KJB49927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEIEASEMEVEEQQPIPSSTKKFGLKDYIQTNYGDDYVFQIVPTDDWTSMAVSLSTNAVKLYSPMTGQYFGECKGHSSTINHISFSGGSNQHVLHSCSSDGTIRAWDTRTFQQVSCVTAGSSQEVFRFSFGGSDDNLLAAGCQSQILFWDWRNKKQVACLEESHVDDVTQVHFVPNHQNKLASASADGLICIFDTNGDINDDDHLESVINVGTSIAKVGVFGDSYEKLWCLTNIETLSVWDWKEGTNEANFEEARSLASESWTLDHVDYFVDCHSSGGDNLWVIGGTNAGSIGYFPVKYKGTAMIGPPEAVLGGGHMGVVRSILPMPSMQSGTAQGLFGWTGGEDGRLCCWKGDDSPVISRSWISSTSTLALKVPRNRKNSRRCPY >KJB49930 pep chromosome:Graimondii2_0_v6:8:39885976:39889446:1 gene:B456_008G146100 transcript:KJB49930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEIEASEMEVEEQQPIPSSTKKFGLKDYIQTNYGDDYVFQIVPTDDWTSMAVSLSTNAVKLYSPMTGQYFGECKGHSSTINHISFSGGSNQHVLHSCSSDGTIRAWDTRTFQQVSCVTAGSSQEVFRFSFGGSDDNLLAAGCQSQILFWDWRNKKQVACLEESHVDDVTQVHFVPNHQNKLASASADGLICIFDTNGDINDDDHLESVINVGTSIAKVGVFGDSYEKLWCLTNIETLSVWDWKEGTNEANFEEARSLASESWTLDHVDYFVDCHSSGGDNLWVIGGTNAGSIGYFPVKYKGTAMIGPPEAVLGGGHMGVVRSILPMPSMQSGTAQGLFGWTGGEDGRLCCWKGDDSPVISRSWISSTSTLALKVPRNRKNSRRCPY >KJB49929 pep chromosome:Graimondii2_0_v6:8:39886788:39888907:1 gene:B456_008G146100 transcript:KJB49929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIFWLLDVNPRQILFWDWRNKKQVACLEESHVDDVTQVHFVPNHQNKLASASADGLICIFDTNGDINDDDHLESVINVGTSIAKVGVFGDSYEKLWCLTNIETLSVWDWKEGTNEANFEEARSLASESWTLDHVDYFVDCHSSGGDNLWVIGGTNAGSIGYFPVKYKGTAMIGPPEAVLGGGHMGVVRSILPMPSMQSGTAQGLFGWTGGEDGRLCCWKGDDSPVISRSWISSTSTLALKVPRNRKNSRRCPY >KJB49928 pep chromosome:Graimondii2_0_v6:8:39886293:39888907:1 gene:B456_008G146100 transcript:KJB49928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKSSPRTDDWTSMAVSLSTNAVKLYSPMTGQYFGECKGHSSTINHISFSGGSNQHVLHSCSSDGTIRAWDTRTFQQVSCVTAGSSQEVFRFSFGGSDDNLLAAGCQSQILFWDWRNKKQVACLEESHVDDVTQVHFVPNHQNKLASASADGLICIFDTNGDINDDDHLESVINVGTSIAKVGVFGDSYEKLWCLTNIETLSVWDWKEGTNEANFEEARSLASESWTLDHVDYFVDCHSSGGDNLWVIGGTNAGSIGYFPVKYKGTAMIGPPEAVLGGGHMGVVRSILPMPSMQSGTAQGLFGWTGGEDGRLCCWKGDDSPVISRSWISSTSTLALKVPRNRKNSRRCPY >KJB52256 pep chromosome:Graimondii2_0_v6:8:53612594:53613954:-1 gene:B456_008G252500 transcript:KJB52256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTCSSTTSPILIHGSLIAYKNGFTWTSFQHASFNKHTGCPKVNKKKKMSGTNCSKAFDMAARVMANGNHHQAKSNDKCCYFQMPLHYPRYKKSDYENMPEWQLDGLLNQYGLPIIGDANQKRKFAMGAFLWPSQVE >KJB52711 pep chromosome:Graimondii2_0_v6:8:55246066:55251592:1 gene:B456_008G273800 transcript:KJB52711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEENSALFPIFILTIMALPLVPYTILKLCRAASKKTKVIHCQCAECSRSGKYRKSIFKRISNFSTCSNLTLVLLWVIMIFLVYYIKSISQEIQVFEPFSILGLQPGATDSEIKKAYRRLSVQYHPDKNPDPEAHKYFVEYIAKAYQALTDPISRENFEKYGHPDGRQGFQMGIALPQFLLDIDGASGGILLLWIVGVCILLPLVIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMEVFIKAAEYMEILVRRTDDEPLQKLFMSVRSELNLDLKNIKQEQAKFWKQHPAIVKTELLIQAQLTRESAALSPALLGDFKRMLELAPRLLEELQKMALIPRTAQGHGWLRPAVGVVELSQCIIQAVPLSARKATGGSSEGIASFLQLPHFSEAVVKKIARKKVRTFQDLRDMTMEDRAQLLTQVAGFSPAEVQDVEMVLEMMPSLTVEVTCETEGEEGIQEGDVVTVQAWITLERGNGLIGALPHAPYFPFHKEENFWFLLADSVSNNVWFSQKVSFMDEATAITAASKTIQETMEVSGVSAKETSEAVKRTIEKVRDGSRLVMGKFPAPTEGNYNLTCFCLCDSWIGCDKKTNLKVKILKRTRAGTRGGLVSEEGPIVEDGIEEEEENEEDYDDYESEYSEEEEEEKDTKKKGPAANGAVHNKGSSSEGSGSDEE >KJB52710 pep chromosome:Graimondii2_0_v6:8:55246032:55251684:1 gene:B456_008G273800 transcript:KJB52710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEENSALFPIFILTIMALPLVPYTILKLCRAASKKTKVIHCQCAECSRSGKYRKSIFKRISNFSTCSNLTLVLLWVIMIFLVYYIKSISQEIQVFEPFSILGLQPGATDSEIKKAYRRLSVQYHPDKNPDPEAHKYFVEYIAKAYQALTDPISRENFEKYGHPDGRQGFQMGIALPQFLLDIDGASGGILLLWIVGVCILLPLVIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMEVFIKAAEYMEILVRRTDDEPLQKLFMSVRSELNLDLKNIKQEQAKFWKQHPAIVKTELLIQAQLTRESAALSPALLGDFKRMLELAPRLLEELQKMALIPRTAQGHGWLRPAVGVVELSQCIIQAVPLSARKATGGSSEGIASFLQLPHFSEAVVKKIARKKVRTFQDLRDMTMEDRAQLLTQVAGFSPAEVQDVEMVLEMMPSLTVEVTCETEGEEGIQEGDVVTVQAWITLERGNGLIGALPHAPYFPFHKEENFWFLLADSVSNNVWFSQKVSFMDEATAITAASKTIQETMEVSGVSAKETSEAVKRTIEKVRDGSRLVMGKFPAPTEGNYNLTCFCLCDSWIGCDKKTNLKVKILKRTRAGTRGGLVSEEGPIVEDGIEEEEENEEDYDDYESEYSEEEEEEKDTKKKGPAANGAVHNKGSSSEGSGSDEE >KJB52712 pep chromosome:Graimondii2_0_v6:8:55246559:55251592:1 gene:B456_008G273800 transcript:KJB52712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEENSALFPIFILTIMALPLVPYTILKLCRAASKKTKVIHCQCAECSRSGKYRKSIFKRISNFSTCSNLTLVLLWVIMIFLVYYIKSISQEIQVFEPFSILGLQPGATDSEIKKAYRRLSVQYHPDKNPDPEAHKYFVEYIAKAYQALTDPISRENFEKYGHPDGRQGFQMGIALPQFLLDIDGASGGILLLWIVGVCILLPLVIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMEVFIKAAEYMEILVRRTDDEPLQKLFMSVRSELNLDLKNIKQEQAKFWKQHPAIVKTELLIQAQLTRESAALSPALLGDFKRMLELAPRLLEELQKMALIPRTAQGHGWLRPAVGVVELSQCIIQAVPLSARKATGGSSEGIASFLQLPHFSEAVVKKIARKKVRTFQDLRDMTMEDRAQLLTQVAGFSPAEVQDVEMVLEMMPSLTVEVTCETEGEEGIQEGDVVTVQAWITLERGNGLIGALPHAPYFPFHKEENFWFLLADSVSNNVWFSQKVSFMDEATAITAASKTIQETMEVSGVSAKETSEAVKRTIEKVRDGSRLVMGKFPAPTEGNYNLTCFCLCDSWIGCDKKTNLKVKILKRTRAGTRGGLVSEEGPIVEDGIEEEEENEEDYDDYESEYSEEEEEEKDTKKKGPAANGAVHNKGSSSEGSGSDEE >KJB52709 pep chromosome:Graimondii2_0_v6:8:55246068:55251592:1 gene:B456_008G273800 transcript:KJB52709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEENSALFPIFILTIMALPLVPYTILKLCRAASKKTKVIHCQCAECSRSGKYRKSIFKRISNFSTCSNLTLVLLWVIMIFLVYYIKSISQEIQVFEPFSILGLQPGATDSEIKKAYRRLSVQYHPDKNPDPEAHKYFVEYIAKAYQALTDPISRENFEKYGHPDGRQGFQMGIALPQFLLDIDGASGGILLLWIVGVCILLPLVIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMEVFIKAAEYMEILVRRTDDEPLQKLFMSVRSELNLDLKNIKQEQAKFWKQHPAIVKTELLIQAQLTRESAALSPALLGDFKRMLELAPRLLEELQKMALIPRTAQGHGWLRPAVGVVELSQCIIQAVPLSARKATGGSSEGIASFLQLPHFSEAVVKKIARKKVRTFQDLRDMTMEDRAQLLTQVAGFSPAEVQDVEMVLEMMPSLTVEVTCETEGEEGIQEGDVVTVQAWITLERGNGLIGALPHAPYFPFHKEENFWFLLADSVSNNVWFSQKVSFMDEATAITAASKTIQETMEVSGVSAKETSEAVKRTIEKVRDGSRLVMGKFPAPTEGNYNLTCFCLCDSWIGCDKKTNLKVKILKRTRAGTRGGLVSEEGPIVEDGIEEEEENEEDYDDYESEYSEEEEEEKDTKKKGPAANGAVHNKGSSSEGSGSDEE >KJB52713 pep chromosome:Graimondii2_0_v6:8:55245975:55251664:1 gene:B456_008G273800 transcript:KJB52713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEENSALFPIFILTIMALPLVPYTILKLCRAASKKTKVIHCQCAECSRSGKYRKSIFKRISNFSTCSNLTLVLLWVIMIFLVYYIKSISQEIQVFEPFSILGLQPGATDSEIKKAYRRLSVQYHPDKNPDPEAHKYFVEYIAKAYQALTDPISRENFEKYGHPDGRQGFQMGIALPQFLLDIDGASGGILLLWIVGVCILLPLVIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMEVFIKAAEYMEILVRRTDDEPLQKLFMSVRSELNLDLKNIKQEQAKFWKQHPAIVKTELLIQAQLTRESAALSPALLGDFKRMLELAPRLLEELQKMALIPRTAQGHGWLRPAVGVVELSQCIIQAVPLSARKATGGSSEGIASFLQLPHFSEAVVKKIARKKVRTFQDLRDMTMEDRAQLLTQVAGFSPAEVQDVEMVLEMMPSLTVEVTCETEGEEGIQEGDVVTVQAWITLERGNGLIGALPHAPYFPFHKEENFWFLLADSVSNNVWFSQKVSFMDEATAITAASKTIQETMEVSGVSAKETSEAVKRTIEKVRDGSRLVMGKFPAPTEGNYNLTCFCLCDSWIGCDKKTNLKVKILKRTRAGTRGGLVSEEGPIVEDGIEEEEENEEDYDDYESEYSEEEEEEKDTKKKGPAANGAVHNKGSSSEGSGSDEE >KJB48980 pep chromosome:Graimondii2_0_v6:8:26326429:26330369:1 gene:B456_008G096200 transcript:KJB48980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKKSLRSKAVHFVTDLTTGLLNPISDKSSSPLHPPPENLSESKRSQLEKELISKEDGDLVDGPDTSSFTAFLCSLLSSSESGHDLNQAERNDNQEETGDSSDNIMKENGRRKSLLSRGKQSLRALYQATRISGNKNKDKGDSDVKSDDEGDAKFDGIEMRHMQNVKELLALGELPESSEPSLLLTEKTRNALYASLPAIVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGLSLLVVGDRKGAVFGGLVEAPLKPTNKKYQGTNSTFVFTDRPGDPVIFRPTGANRYFTLCSTEFLAIGGGGHFALYLDSDLLNGSSSFSETYGNPCLALSEDFEVKEIELWGFVYGSKYDEILALSRTEMPGICRW >KJB48982 pep chromosome:Graimondii2_0_v6:8:26326636:26329620:1 gene:B456_008G096200 transcript:KJB48982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKKSLRSKAVHFVTDLTTGLLNPISDKSSSPLHPPPENLSESKRSQLEKELISKEDGDLVDGPDTSSFTAFLCSLLSSSESGHDLNQAERNDNQEETGDSSDNIMKENGRRKSLLSRGKQSLRALYQATRISGNKNKDKGDSDVKSDDEGDAKFDGIEMRHMQNVKELLALGELPESSEPSLLLTEKTRNALYASLPAIVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGLSLLVVGDRKGAVFGGLVEAPLKPTNKKYQGTNSTFVFTDRPGDPVIFRPTGANRYFTLCSTEFLAIGGGGHFALYLDSDL >KJB48985 pep chromosome:Graimondii2_0_v6:8:26327508:26330307:1 gene:B456_008G096200 transcript:KJB48985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENGRRKSLLSRGKQSLRALYQATRISGNKNKDKGDSDVKSDDEGDAKFDGIEMRHMQNVKELLALGELPESSEPSLLLTEKTRNALYASLPAIVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGLSLLVVGDRKGAVFGGLVEAPLKPTNKKYQGTNSTFVFTDRPGDPVIFRPTGANRYFTLCSTEFLAIGGGGHFALYLDSDLLNGSSSFSETYGNPCLALSEDFEVKEIELWGFVYGSKYDEILALSRTEMPGICRW >KJB48983 pep chromosome:Graimondii2_0_v6:8:26326636:26330307:1 gene:B456_008G096200 transcript:KJB48983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKKSLRSKAVHFVTDLTTGLLNPISDKSSSPLHPPPENLSESKRSQLEKELISKEDGDLVDGPDTSSFTAFLCSLLSSSESGHDLNQAERNDNQEETGDSSDNIMKENGRRKSLLSRGKQSLRALYQATRISGNKNKDKGDSDVKSDDEGDAKFDGIEMRHMQNVKELLALGELPESSEPSLLLTEKTRNALYASLPAIVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGLSLLVVGDRKGAVFGGLVEAPLKPTNKKYQGTNSTFVFTDRPGDPVIFRPTGANRYFTLCSTEFLAIGGGGHFALYLDSDLNLREPLSCII >KJB48981 pep chromosome:Graimondii2_0_v6:8:26326636:26329603:1 gene:B456_008G096200 transcript:KJB48981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKKSLRSKAVHFVTDLTTGLLNPISDKSSSPLHPPPENLSESKRSQLEKELISKEDGDLVDGPDTSSFTAFLCSLLSSSESGHDLNQAERNDNQEETGDSSDNIMKENGRRKSLLSRGKQSLRALYQATRISGNKNKDKGDSDVKSDDEGDAKFDGIEMRHMQNVKELLALGELPESSEPSLLLTEKTRNALYASLPAIVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGLSLLVVGDRKGAVFGGLVEAPLKPTNKKYQGTNSTFVFTDRPGDPVIFRPTGIPIFVYRFHCHIY >KJB48984 pep chromosome:Graimondii2_0_v6:8:26326636:26330307:1 gene:B456_008G096200 transcript:KJB48984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENGRRKSLLSRGKQSLRALYQATRISGNKNKDKGDSDVKSDDEGDAKFDGIEMRHMQNVKELLALGELPESSEPSLLLTEKTRNALYASLPAIVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGLSLLVVGDRKGAVFGGLVEAPLKPTNKKYQGTNSTFVFTDRPGDPVIFRPTGANRYFTLCSTEFLAIGGGGHFALYLDSDLLNGSSSFSETYGNPCLALSEDFEVKEIELWGFVYGSKYDEILALSRTEMPGICRW >KJB47133 pep chromosome:Graimondii2_0_v6:8:1339824:1340038:-1 gene:B456_008G012000 transcript:KJB47133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSLCNQKYRCHYLDLYKVLSIVPSTSQFQLFLLSLKKTYGCYQTVIYLKHFNRKNFSQNLIQKLI >KJB50234 pep chromosome:Graimondii2_0_v6:8:42536330:42539958:1 gene:B456_008G159900 transcript:KJB50234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKQHFKRFSAYDLPIKSAQVTESTDFNELVEKEPWLLSQKLVVKPDMLFGKRGKSGLVGLNLDFAQVTAFVKERLGKEVEMSGCRGPVTTFIVEPFIPHNEEYYLNIVSERLGCSISFSECGGIEIEENWDKVKTIFIPTDSSFTSETMAPLVATLPLEVKGEIEQFIKVIFTLFQDLDFTFLEMNPFTLVNGKPYPLDMRGELDDTASFKNFKKWGNIEFPMPFGRVMSATESYIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFASELGNYAEYSGAPNEGEVLQYARVVIDCATAEPDGRERALVIGGGIANFTDVGATFNGIIRALKEKESKLKAANMHIYVRRGGPNYQKGLAKMRALGEEIGIPIEVYGPEATMTGICQEAIQYITAAA >KJB50235 pep chromosome:Graimondii2_0_v6:8:42536047:42540022:1 gene:B456_008G159900 transcript:KJB50235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKQHFKRFSAYDLPIKSAQVTESTDFNELVEKEPWLLSQKLVVKPDMLFGKRGKSGLVGLNLDFAQVTAFVKERLGKEVEMSGCRGPVTTFIVEPFIPHNEEYYLNIVSERLGCSISFSECGGIEIEENWDKVKTIFIPTDSSFTSETMAPLVATLPLEVKGEIEQFIKVIFTLFQDLDFTFLEMNPFTLVNGKPYPLDMRGELDDTASFKNFKKWGNIEFPMPFGRVMSATESYIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFASELGNYAEYSGAPNEGEVLQYARVVIDCATAEPDGRERALVIGGGIANFTDVGATFNGIIRALKEKESKLKAANMHIYVRRGGPNYQKGLAKMRALGEEIGIPIEVYGPEATMTGICQEAIQYITAAA >KJB50233 pep chromosome:Graimondii2_0_v6:8:42536436:42539958:1 gene:B456_008G159900 transcript:KJB50233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKQHFKRFSAYDLPIKSAQVTESTDFNELVEKEPWLLSQKLVVKPDMLFGKRGKSGLVGLNLDFAQVTAFVKERLGKEVEMSGCRGPVTTFIVEPFIPHNEEYYLNIVSERLGCSISFSECGGIEIEENWDKVKTIFIPTDSSFTSETMAPLVATLPLEVKGEIEQFIKVIFTLFQDLDFTFLEMNPFTLVNGKPYPLDMRGELDDTASFKNFKKWGNIEFPMPFGRVMSATESYIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFASELGNYAEYSGAPNEGEVLQYARVVIDCATAEPDGRERALVIGGGIANFTDVGATFNGIIRALKEKESKLKAANMHIYVRRGGPNYQKGLAKMRALGEEIGIPIEVYGPEATMTGICQEAIQYITAAA >KJB51833 pep chromosome:Graimondii2_0_v6:8:51970633:51972935:1 gene:B456_008G233500 transcript:KJB51833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLGLQKLGKGDWRGISRNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADESGDTPMVSPDLFTANHPLDETQSTDQLPIPPPLDEEDESMDSNNSNDGEPVPHPTSEISEPCYPVVYPAYFPPFYPFSFPYWMGYNTEPTKTDTHEVVKPTAVHSKSPINVDELVGMSKLSLGESIGDNGPSSLSLKLDGSSRQSAFHANPSSRNSSGSPIHAV >KJB51831 pep chromosome:Graimondii2_0_v6:8:51969577:51972935:1 gene:B456_008G233500 transcript:KJB51831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSHNGHNSRTCPNRGVKLFGVRLTDGLVRKSASMSNLSQFAGSNSAGHNGNGSGSPGEGPDHADGYASEDFVPGSSSSRDRKKGVPWTEEEHRMFLLGLQKLGKGDWRGISRNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADESGDTPMVSPDLFTANHPLDETQSTDQLPIPPPLDEEDESMDSNNSNDGEPVPHPTSEISEPCYPVVYPAYFPPFYPFSFPYWMGYNTEPTKTDTHEVVKPTAVHSKSPINVDELVGMSKLSLGESIGDNGPSSLSLKLDGSSRQSAFHANPSSRNSSGSPIHAV >KJB51832 pep chromosome:Graimondii2_0_v6:8:51970130:51972935:1 gene:B456_008G233500 transcript:KJB51832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWVIRLRTMSDGVPWTEEEHRMFLLGLQKLGKGDWRGISRNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADESGDTPMVSPDLFTANHPLDETQSTDQLPIPPPLDEEDESMDSNNSNDGEPVPHPTSEISEPCYPVVYPAYFPPFYPFSFPYWMGYNTEPTKTDTHEVVKPTAVHSKSPINVDELVGMSKLSLGESIGDNGPSSLSLKLDGSSRQSAFHANPSSRNSSGSPIHAV >KJB50582 pep chromosome:Graimondii2_0_v6:8:45461075:45463472:1 gene:B456_008G177900 transcript:KJB50582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIALKCPEIEVAVVDISVSRITAWNSDALPIYEPGLDEVVKKCRGKNLFFSTDVEKHVSEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILTHNSKGIDFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGQKAIAALRDVYAHWVPVDRIICSNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVAHAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKTRFVNRIVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKALLSIYDPQVNEEQIQRDLAMKKFDWDHPVHLQPMSPTSIKQVNVVWDAYAATKDAHGVCILTEWDEFKTLDYQRIYNNMRKPAFIFDGRNVVDEAKLRAIGFIVYSIGKPLDQWLKDMPAVA >KJB50583 pep chromosome:Graimondii2_0_v6:8:45461077:45463472:1 gene:B456_008G177900 transcript:KJB50583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPEIEVAVVDISVSRITAWNSDALPIYEPGLDEVVKKCRGKNLFFSTDVEKHVSEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILTHNSKGIDFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGQKAIAALRDVYAHWVPVDRIICSNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVAHAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKTRFVNRIVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKALLSIYDPQVNEEQIQRDLAMKKFDWDHPVHLQPMSPTSIKQVNVVWDAYAATKDAHGVCILTEWDEFKTLDYQRIYNNMRKPAFIFDGRNVVDEAKLRAIGFIVYSIGKPLDQWLKDMPAVA >KJB50581 pep chromosome:Graimondii2_0_v6:8:45460969:45463472:1 gene:B456_008G177900 transcript:KJB50581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPEIEVAVVDISVSRITAWNSDALPIYEPGLDEVVKKCRGKNLFFSTDVEKHVSEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILTHNSKGIDFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGQKAIAALRDVYAHWVPVDRIICSNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVAHAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKTRFVNRIVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKALLSIYDPQVNEEQIQRDLAMKKFDWDHPVHLQPMSPTSIKQVNVVWDAYAATKDAHGVCILTEWDEFKTLDYQRIYNNMRKPAFIFDGRNVVDEAKLRAIGFIVYSIGKPLDQWLKDMPAVA >KJB50328 pep chromosome:Graimondii2_0_v6:8:43264751:43271194:-1 gene:B456_008G164200 transcript:KJB50328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAGSNVVALNSAVKFGDCSNCRFVQIRQCSPVSGGTGSIRTRPCIGLRCRSRGVRAQVATVEQASPESAQKLEAPVAIITGASRGIGKAVALALGKAGCKVLVNYARSSKEAEDVSKEIESYGSQALTFGGDVSKEADVDAMIKTAVDTWGTVDILINNAGITRDTLLMRMKKAQWQEVIDLNLTGVFLCTQAAAKIMIKKKKGKIINIASVVGLVGNVGQANYSAAKAGVIGFTKTVAKEYASRNINVNAVAPGFIASDMTAKLGEDIEKKILETIPLGRYGQPEEVAGLVEFLALNPASSYITGQVFTIDGGMVM >KJB51391 pep chromosome:Graimondii2_0_v6:8:50163976:50167803:-1 gene:B456_008G215000 transcript:KJB51391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAVSQQWQEKATGFFSSSGVKLKEASQTAGTFVGEVAKDAKGNVTDVAERVGSMVKSRWAFLRQPATRHAVQESLISAAATTGTFLRKGITGTKDRVVVGKTKVEEVAKKTAQKSKTILTDIERWQKGVASTDVFGVPIEVTVQRQQAIKPIPLILVKCADYLILSGINSQYLFKAEGDKKVIEQLVSAYNQDFNASIPEGVNPIDVAALAKYYIASLPEPLTTFELYDEIKSARSSIHAMRNVLKMLPSVNYMTLEFITALLLRVSQKSVLNKMDARSLALEMAPVIMWQKDRKPESYRKYWSHPLKSPSKGSMDSTPTYSAWDMLEDDGEDMDASSHIPLDDGIPVDFGAIEVIQCLIEQHNPIFTDANETVWR >KJB51389 pep chromosome:Graimondii2_0_v6:8:50164300:50167794:-1 gene:B456_008G215000 transcript:KJB51389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAVSQQWQEKATGFFSSSGVKLKEASQTAGTFVGEVAKDAKGNVTDVAERVGSMVKSRWAFLRQPATRHAVQESLISAAATTGTFLRKGITGTKDRVVVGKTKVEEVAKKTAQKSKTILTDIERWQKGVASTDVFGVPIEVTVQRQQAIKPIPLILVKCADYLILSGINSQYLFKAEGDKKVIEQLVSAYNQDFNASIPEGVNPIDVAALAKYYIASLPEPLTTFELYDEIKSARSSIHAMRNVLKMLPSVNYMTLEFITALLLRVSQKSVLNKMDARSLALEMAPVIMWQKDRKPESYRKYWSHPLKSPSKGSMDSTPTYSAWDMLEDDGEDMDASSHIPLDDGIPVDFGAIEVIQCLIEQHNPIFTDANETVWR >KJB51390 pep chromosome:Graimondii2_0_v6:8:50163990:50167794:-1 gene:B456_008G215000 transcript:KJB51390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAVSQQWQEKATGFFSSSGVKLKEASQTAGTFVGEVAKDAKGNVTDVAERVGSMVKSRWAFLRQPATRHAVQESLISAAATTGTFLRKGITGTKDRVVVGKTKVEEVAKKTAQKSKTILTDIERWQKGVASTDVFGVPIEVTVQRQQAIKPIPLILVKCADYLILSGINSQYLFKAEGDKKVIEQLVSAYNQGILHVICYNLTRNYSIWIVIICRHMYITDFNASIPEGVNPIDVAALAKYYIASLPEPLTTFELYDEIKSARSSIHAMRNVLKMLPSVNYMTLEFITALLLRVSQKSVLNKMDARSLALEMAPVIMWQKDRKPESYRKYWSHPLKSPSKGSMDSTPTYSAWDMLEDDGEDMDASSHIPLDDGIPVDFGAIEVIQCLIEQHNPIFTDANETVWR >KJB47028 pep chromosome:Graimondii2_0_v6:8:818525:819823:1 gene:B456_008G006900 transcript:KJB47028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVNQLQRKFIDYSSSLHREGIVDDQFTQLRKLEDENSPHFVMEVASLFFEDCEKLINNMAIALEQKQGVDFKQIDECIHQLKGSSSSSLMNTPY >KJB47027 pep chromosome:Graimondii2_0_v6:8:818525:819823:1 gene:B456_008G006900 transcript:KJB47027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVNQLQRKFIDYSSSLHREGIVDDQFTQLRKLEDENSPHFVMEVASLFFEDCEKLINNMAIALEQKQGVDFKQIDECIHQLKGSSSSIGALRVKNACIAFRSFSDGQNREGSLMNTPY >KJB47026 pep chromosome:Graimondii2_0_v6:8:818493:819899:1 gene:B456_008G006900 transcript:KJB47026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVNQLQRKFIDYSSSLHREGIVDDQFTQLRKLEDENSPHFVMEVASLFFEDCEKLINNMAIALEQKQGVDFKQIDECIHQLKGSSSSIGALRVKNACIAFRSFSDGQNREGCLRCLQQLSHEYSLLKNKLQTLFRLEKQIVAAGGSIPRVH >KJB47029 pep chromosome:Graimondii2_0_v6:8:818930:819899:1 gene:B456_008G006900 transcript:KJB47029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASLFFEDCEKLINNMAIALEQKQGVDFKQIDECIHQLKGSSSSIGALRVKNACIAFRSFSDGQNREGCLRCLQQLSHEYSLLKNKLQTLFRLEKQIVAAGGSIPRVH >KJB47030 pep chromosome:Graimondii2_0_v6:8:818752:819899:1 gene:B456_008G006900 transcript:KJB47030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASLFFEDCEKLINNMAIALEQKQGVDFKQIDECIHQLKGSSSSIGALRVKNACIAFRSFSDGQNREGCLRCLQQLSHEYSLLKNKLQTLFRLEKQIVAAGGSIPRVH >KJB53182 pep chromosome:Graimondii2_0_v6:8:56989007:56992711:1 gene:B456_008G297200 transcript:KJB53182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLADHPGLLPVSTAQGEELRKQIGAAYYIECSSKTQQNVKAVFDDAIKVVIKPPQKQKEKKKKPSRGCLINVFCGRNFVPAK >KJB53183 pep chromosome:Graimondii2_0_v6:8:56989021:56990381:1 gene:B456_008G297200 transcript:KJB53183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKVNYLGYSTSFNSCDVLC >KJB53192 pep chromosome:Graimondii2_0_v6:8:56989021:56992711:1 gene:B456_008G297200 transcript:KJB53192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLARLACLFAIQDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLADHPGLLPVSTAQGEELRKQIGAAYYIECSSKTQQNVKAVFDDAIKVVIKPPQKQKEKKKKPSRGCLINVFCGRNFVPAK >KJB53187 pep chromosome:Graimondii2_0_v6:8:56989021:56992711:1 gene:B456_008G297200 transcript:KJB53187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLADHPGLLPVSTAQGEELRKQIGAAYYIECSSKTQQNVKAVFDDAIKVVIKPPQKQKEKKKKPSRGCLMSKCLLREELRAC >KJB53186 pep chromosome:Graimondii2_0_v6:8:56989021:56992711:1 gene:B456_008G297200 transcript:KJB53186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLADHPGLLPVSTAQGEELRKQIGAAYYIECSSKTQQNVKAVFDDAIKVVIKPPQKQKEKKKKPSRGCLINVFCGRNFVPAK >KJB53185 pep chromosome:Graimondii2_0_v6:8:56989021:56992711:1 gene:B456_008G297200 transcript:KJB53185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKHYAPGVPVVLVGTKLDLREDKHYLADHPGLLPVSTAQGEELRKQIGAAYYIECSSKTQQNVKAVFDDAIKVVIKPPQKQKEKKKKPSRGCLINVFCGRNFVPAK >KJB53188 pep chromosome:Graimondii2_0_v6:8:56989021:56992711:1 gene:B456_008G297200 transcript:KJB53188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLADHPGLLPVSTAQGEELRKQIGAAYYIECSSKTQQNVKAVFDDAIKVVIKPPQKQKEKKKKPSRGCLMSVFSLIKVLGACYYLTVLTYEI >KJB53191 pep chromosome:Graimondii2_0_v6:8:56989021:56992711:1 gene:B456_008G297200 transcript:KJB53191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLADHPGLLPVSTAQGEELRKQIGAAYYIECSSKTQQNVKAVFDDAIKVVIKPPQKQKEKKKKPSRGCLMT >KJB53193 pep chromosome:Graimondii2_0_v6:8:56989362:56991421:1 gene:B456_008G297200 transcript:KJB53193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLADHPGLLPVSTAQVARSSANR >KJB53194 pep chromosome:Graimondii2_0_v6:8:56989408:56992336:1 gene:B456_008G297200 transcript:KJB53194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLARLACLFAIQVTSSRPYDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLADHPGLLPVSTAQGEELRKQIGAAYYIECSSKTQQNVKAVFDDAIKVVIKPPQKQKEKKKKPSRGCLINVFCGRNFVPAK >KJB53190 pep chromosome:Graimondii2_0_v6:8:56989021:56992711:1 gene:B456_008G297200 transcript:KJB53190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLARLACLFAIQVTSSRPANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLADHPGLLPVSTAQGEELRKQIGAAYYIECSSKTQQNVKAVFDDAIKVVIKPPQKQKEKKKKPSRGCLINVFCGRNFVPAK >KJB53189 pep chromosome:Graimondii2_0_v6:8:56989362:56991468:1 gene:B456_008G297200 transcript:KJB53189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLADHPGLLPVSTAQGEELRKQIGAAYYIECSSKTQQV >KJB53184 pep chromosome:Graimondii2_0_v6:8:56989021:56990699:1 gene:B456_008G297200 transcript:KJB53184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLVGTKLGNSAITSLAFLVKLNLNLVFHILYFVVE >KJB47899 pep chromosome:Graimondii2_0_v6:8:6316255:6325452:1 gene:B456_008G046700 transcript:KJB47899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERKILENGFDEGDKDTSNHSINKVDTGKPPSLTWRRKLNGEGRVPSMFTLTFQEKLQMAPIGIRLWQLIRESSAKGRRGIIIDPFAKRHITSSHGIPLGGVGAGSIGRSYKGEFQRWQLFPRICEEKPVLANQFSVFVSRSSGEKYSSVLCPASSELLKEDAVSGIGSWDWNLRGNNSTYHALYPRAWTVYEGEPDPELKIVCRQISPVIPDNYKESSFPVSAFTFTLYNTGNINADVTLLFTWANSVGGVSEFSGRHSNSKLIMKDGVHGVLLHHMTADEQPPVTFAIAAQETDGIRISECPCFLISGNSQGITAKEMWQEIKEHGSFEHLKSTEASVPSEQGSSIGAAIAASVTIPSDAVRTVNFSLAWDCPEVNFMGGKTYYRRYTKFYGSNGDAAANIAHDAILEHNSWESQIETWQRPVLEDKRLPEWYPFTLFNELYYLNSGGTIWTDGSSPVHSLVSIGGKKFSLDKSQLGLKSIIGVPHKNDTAIDILGRMTSILEQIHTPITSNSALGTNLLQEGEENIGQFLYLEGIEYHMWNTYDVHFYASFALIMLFPKLQLSIQRDFAAAVMMHDPSKMKLLHDGQLVARKVLGAVPHDIGMDDPWFEVNAYCLYDTDRWKDLNPKFVLQVYRDVIATGDKKFAQTVWPSVYVAMAYMDQFDKDGDGMIENDGFPDQTYDTWSVSGVSAYSGGLWVAALQAASALAHEVGDKGSEDYFWYKFLKAKDVYQKLWNGSYFNYDNSGSRTSSSIQADQLAGQWYARACGLFPVVDEDKARSVLEKVYNYNVLKVKGGKRGAVNGMLPDGRVDMSSMQAREIWSGVTYAVAATMIHEDLVDMAFHTASGIFESVWSEEGLGYSFQTPEAWNTDDQYRSLTYMRPLAIWAMQWALSRPKVPKQELKPEMEADSLRIHHAGFSKVARLLKLPEDQRSKSLLQIMFDYTCKRMLT >KJB47901 pep chromosome:Graimondii2_0_v6:8:6316666:6325384:1 gene:B456_008G046700 transcript:KJB47901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERKILENGFDEGDKDTSNHSINKVDTGKPPSLTWRRKLNGEGRVPSMFTLTFQEKLQMAPIGIRLWQLIRESSAKGRRGIIIDPFAKRHITSSHGIPLGGVGAGSIGRSYKGEFQRWQLFPRICEEKPVLANQFSVFVSRSSGEKYSSVLCPASSELLKEDAVSGIGSWDWNLRGNNSTYHALYPRAWTVYEGEPDPELKIVCRQISPVIPDNYKESSFPVSAFTFTLYNTGNINADVTLLFTWANSVGGVSEFSGRHSNSKLIMKDGVHGVLLHHMTADEQPPVTFAIAAQETDGIRISECPCFLISGNSQGITAKEMWQEIKEHGSFEHLKSTEASVPSEQGSSIGAAIAASVTIPSDAVRTVNFSLAWDCPEVNFMGGKTYYRRYTKFYGSNGDAAANIAHDAILEHNSWESQIETWQRPVLEDKRLPEWYPFTLFNELYYLNSGGTIWTDGSSPVHSLVSIGGKKFSLDKSQLGLKSIIGVPHKNDTAIDILGRMTSILEQIHTPITSNSALGTNLLQEGEENIGQFLYLEGIEYHMWNTYDVHFYASFALIMLFPKLQLSIQRDFAAAVMMHDPSKMKLLHDGQLVARKVLGAVPHDIGMDDPWFEVNAYCLYDTDRWKDLNPKFVLQVYRDVIATGDKKFAQTVWPSVYVAMAYMDQFDKDGDGMIENDGFPDQTYDTWSVSGVSAYSGGLWVAALQAASALAHEVGDKGSEDYFWYARACGLFPVVDEDKARSVLEKVYNYNVLKVKGGKRGAVNGMLPDGRVDMSSMQAREIWSGVTYAVAATMIHEDLVDMAFHTASGIFESVWSEEGLGYSFQTPEAWNTDDQYRSLTYMRPLAIWAMQWALSRPKVPKQELKPEMEADSLRIHHAGFSKVARLLKLPEDQRSKSLLQIMFDYTCKRMLT >KJB47900 pep chromosome:Graimondii2_0_v6:8:6316666:6323891:1 gene:B456_008G046700 transcript:KJB47900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERKILENGFDEGDKDTSNHSINKVDTGKPPSLTWRRKLNGEGRVPSMFTLTFQEKLQMAPIGIRLWQLIRESSAKGRRGIIIDPFAKRHITSSHGIPLGGVGAGSIGRSYKGEFQRWQLFPRICEEKPVLANQFSVFVSRSSGEKYSSVLCPASSELLKEDAVSGIGSWDWNLRGNNSTYHALYPRAWTVYEGEPDPELKIVCRQISPVIPDNYKESSFPVSAFTFTLYNTGNINADVTLLFTWANSVGGVSEFSGRHSNSKLIMKDGVHGVLLHHMTADEQPPVTFAIAAQETDGIRISECPCFLISGNSQGITAKEMWQEIKEHGSFEHLKSTEASVPSEQGSSIGAAIAASVTIPSDAVRTVNFSLAWDCPEVNFMGGKTYYRRYTKFYGSNGDAAANIAHDAILEHNSWESQIETWQRPVLEDKRLPEWYPFTLFNELYYLNSGGTIWTDGSSPVHSLVSIGGKKFSLDKSQLGLKSIIGVPHKNDTAIDILGRMTSILEQIHTPITSNSALGTNLLQEGEENIGQFLYLEGIEYHMWNTYDVHFYASFALIMLFPKLQLSIQRDFAAAVMMHDPSKMKLLHDGQLVARKVLGAVPHDIGMDDPWFEVNAYCLYDTDRWKDLNPKFVLQVYRDVIATGDKKFAQTVWPSVYVAMAYMDQFDKDGDGMIENDGFPDQTYDTWSVSGVSAYSGGLWVAALQAASALAHEVGDKGSEDYFWYKFLKAKDVYQKLWNGSYFNYDNSGSRTSSSIQADQLAGQWSVMMDVT >KJB49167 pep chromosome:Graimondii2_0_v6:8:31801795:31805817:1 gene:B456_008G104100 transcript:KJB49167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESQLGDDEGQCINRPSSPTPTATSPENSVKNDKKKKIFSYKRRARGGSSDEDFGSPDCEGVSSELEKKITSNTKEFMEAAPFMKKCFSEMYTMMLICYLIPEREESSGGLIEGLNLSDFGCSMASAKTEIRDFRIFVATWNVGGKAPNLDMNLEDFLIMEDSADIYVCGFQEIVPLNAGNVLVIEDNEPAAKWLALINHALNKPDYDLTHPSPDASQSSKHFNTLLKDHFFYKPSLKVLSRNFRAESSLLKTCNCPVESTHGEKRGQKKLRDLASKLDLGPLPARRDGAVDELVELSGMPPNFSSGQMGYRLVASKQMVGIFLSIWARTELVPYIAHLRVSCIGTGILGRLGNKGCIAVSMTLHQTSFCFVCSHLASGEKEGDELKRNADVNEILKSTQFPKICKASNPRAPDKIIEHDRVLWLGDLNYRVALSYEKTRTLLEDNNWDILLEKDQLNIERDAGRVFSGFKEGQIHFAPTYKYSDNSDTYAGETVKSKKKRRTPAWCDRILWHGSGIEQLCYDRGESRFSDHRPVSSMFLVEVEVARKSDNKFRKGYSCALKMSEYEDSIPKRHSFYDL >KJB47757 pep chromosome:Graimondii2_0_v6:8:5284794:5289568:1 gene:B456_008G040600 transcript:KJB47757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITDYLLGYSPPIWATLIAGVFLVIALTLSVYLIFQHLSSYKHPEEQKFLIGVILMVPCYSVESFVSLVDPSISVYCSILRDCYESFAMYCFGRYLVACLGGEERTIEFMERLGYASSKTPLLGLDCEKGTVKHPFPMNYILRPWKLGQWFYQVVKFGIVQYMIIKLLTALLSLILEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLVQFYTVTNDELAHIKPLAKFLTFKSIVFLTWWQGVAIALFYSLGLFRSKIAEGLELKSSVQDFIICIEMGIASVVHLYVFPSKPYELMGDRIPGSVSVLGDYASVDCPLDPDEVRDSERPIKLRLPQPDIEARSGMTIKESVKDVFIGGGGYIVNDVKFTVNQAVEPVEKGITKFNEKLHKISQNIKRHDKDRRKTKDDSCITTTARRVIRGIDDPLLHGSISDSGIGRGKKHRRRSGYTSAESGGESSSDQSYGGYQIRGSRWVTKD >KJB47756 pep chromosome:Graimondii2_0_v6:8:5285977:5289532:1 gene:B456_008G040600 transcript:KJB47756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLGYASSKTPLLGLDCEKGTVKHPFPMNYILRPWKLGQWFYQVVKFGIVQYMIIKLLTALLSLILEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLVQFYTVTNDELAHIKPLAKFLTFKSIVFLTWWQGVAIALFYSLGLFRSKIAEGLELKSSVQDFIICIEMGIASVVHLYVFPSKPYELMGDRIPGSVSVLGDYASVDCPLDPDEVRDSERPIKLRLPQPDIEARSGMTIKESVKDVFIGGGGYIVNDVKFTVNQAVEPVEKGITKFNEKLHKISQNIKRHDKDRRKTKDDSCITTTARRVIRGIDDPLLHGSISDSGIGRGKKHRRRSGYTSAESGGESSSDQSYGGYQIRGSRWVTKD >KJB47759 pep chromosome:Graimondii2_0_v6:8:5284794:5289568:1 gene:B456_008G040600 transcript:KJB47759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLGYASSKTPLLGLDCEKGTVKHPFPMNYILRPWKLGQWFYQVVKFGIVQYMIIKLLTALLSLILEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLVQFYTVTNDELAHIKPLAKFLTFKSIVFLTWWQGVAIALFYSLGLFRSKIAEGLELKSSVQDFIICIEMGIASVVHLYVFPSKPYELMGDRIPGSVSVLGDYASVDCPLDPDEVRDSERPIKLRLPQPDIEARSGMTIKESVKDVFIGGGGYIVNDVKFTVNQAVEPVEKGITKFNEKLHKISQNIKRHDKDRRKTKDDSCITTTARRVIRGIDDPLLHGSISDSGIGRGKKHRRRSGYTSAESGGESSSDQSYGGYQIRGSRWVTKD >KJB47758 pep chromosome:Graimondii2_0_v6:8:5284981:5289532:1 gene:B456_008G040600 transcript:KJB47758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITDYLLGYSPPIWATLIAGVFLVIALTLSVYLIFQHLSSYKHPEEQKFLIGFVSLVDPSISVYCSILRDCYESFAMYCFGRYLVACLGGEERTIEFMERLGYASSKTPLLGLDCEKGTVKHPFPMNYILRPWKLGQWFYQVVKFGIVQYMIIKLLTALLSLILEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLVQFYTVTNDELAHIKPLAKFLTFKSIVFLTWWQGVAIALFYSLGLFRSKIAEGLELKSSVQDFIICIEMGIASVVHLYVFPSKPYELMGDRIPGSVSVLGDYASVDCPLDPDEVRDSERPIKLRLPQPDIEARSGMTIKESVKDVFIGGGGYIVNDVKFTVNQAVEPVEKGITKFNEKLHKISQNIKRHDKDRRKTKDDSCITTTARRVIRGIDDPLLHGSISDSGIGRGKKHRRRSGYTSAESGGESSSDQSYGGYQIRGSRWVTKD >KJB46964 pep chromosome:Graimondii2_0_v6:8:574408:577809:1 gene:B456_008G003800 transcript:KJB46964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAQMEDFRIEECENENNTHEDSQMEEPEQNNKHMEVAPALISVHPTRNSVAVAVGSDLRVFNLLEDCAVILVDEAGGASHNDSIRGIKYGANGKLFVSAGDDKLVKVWSTESWRCIATVCSEKRVSAVAISNDGLHVCFADKFGVVWVVDLPGVDETQAPLNKKAVPLLAHYCSIITSLEFSPDGHFIVSADRDFKIRVTVFPKKPLDGAHEVQSFCLGHTEFVSCLAFICTPDSPQGILVSGGGDSTVRMWDIISGSLLDTCAVGAKAQFESDTTEGNCSTVTDICTIPACTLIAVAIQSLRGIMLLSCDLSSRTLSVMKVVSIIGENFVPTSLGSSISGGLLWMVTGASKLRGSDVSSLSRVKVISGFEKSSPDASEQEPAVLVDSEIPGGAKLLEKLQGSISVDEKVFLAAADAVKTAMCNLLIKKQYSDEKREFRKRTRNDRKSKH >KJB50890 pep chromosome:Graimondii2_0_v6:8:47610773:47614026:1 gene:B456_008G192600 transcript:KJB50890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPALLPKHVAAVVKHQRDPLKALQMFNAVKKEDGFKHTLLTYKCMIDKLGFHGEFEAMEKVLLEMRLNVDNSLLEGVYIGCMRNYGRKQKVQEAIDVFERMDFYNCEPTVLSYNAIMNILVEYGYYNQAHKVYMRMRDKGIIPDVYTFTIRIKSFCRTKRPHAALRLLRNMPMLGCEINAAAYCTVVGGFFEENHHIEAYELFDEMLKLGIAPNITAFNKLIHILCKKGNVRESEKLLNKVMKRGVSPNLFTVNIFIQGLCRKGALNEAVSFLNGVAKEGLKPDTVTYNTLICGLCKSAKVVEAEIFLHKMVNEGLEPDGFTYNAVIDGYCKLGIIQDADKILNDAVFKGFIPDEFTYCSLINGLCQHGADFASLAADE >KJB50891 pep chromosome:Graimondii2_0_v6:8:47610773:47614031:1 gene:B456_008G192600 transcript:KJB50891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPALLPKHVAAVVKHQRDPLKALQMFNAVKKEDGFKHTLLTYKCMIDKLGFHGEFEAMEKVLLEMRLNVDNSLLEGVYIGCMRNYGRKQKVQEAIDVFERMDFYNCEPTVLSYNAIMNILVEYGYYNQAHKVYMRMRDKGIIPDVYTFTIRIKSFCRTKRPHAALRLLRNMPMLGCEINAAAYCTVVGGFFEENHHIEAYELFDEMLKLGIAPNITAFNKLIHILCKKGNVRESEKLLNKVMKRGVSPNLFTVNIFIQGLCRKGALNEAVSFLNGVAKEGLKPDTVTYNTLICGLCKSAKVVEAEIFLHKMVNEGLEPDGFTYNAVIDGYCKLGIIQDADKILNDAVFKGFIPDEFTYCSLINGLCQHGETDRAVAVFNEALGKGLKPNIIMYNTLIKGLSLQGLILQALQLMNEMSENGCSPNIWTYNIVINGLCKMGCVSDANNLLNDAIAKGYLPDIFTFNTLIDGYCKQLKVENAVEILNQMWNYDVIPDVITYNSVLNGLCKTFKSEDVMETFKTMMEKGCIPNVITYNILVESLCKARKVNEAMDLLEEIEKKGLSPDIVSFGTLIHGFCDNGDLDGAYKLFRRMGQRYKVCHTTATYNIMINAFSEKLKINMAEKLFHEMGENGCTPDSYTYRVMIDGFCKTGIVDSGYDFLLEKVTKGFIPSLTTFGRVINCLCIENRVNNAVGLIHLMVKKGIVPEIVNTIFESDKKEIAAPKIVVEDLLKKGHITYYAYDLLHDGVRDKKLLKKLQSG >KJB49972 pep chromosome:Graimondii2_0_v6:8:40125394:40126277:1 gene:B456_008G147600 transcript:KJB49972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIGLCLCGKRKLRSLFWRVRAEIRRQVKGRTSKQKFSFHYDPFSYALNFDNGNFGFLC >KJB51291 pep chromosome:Graimondii2_0_v6:8:49623602:49624977:1 gene:B456_008G210300 transcript:KJB51291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESPFLKLKRWQWWLLVAINIYFLIAGQAAAVLLGRFYYDKGGNSKCMATLVQTAGFPVLCIPWFLLHPSREASTSSTSPSIKTLALLYFVLGVLVAGDNMLYSVGLLYLSASTYSLICATQLAFNAVFSYFLNSQKFTALILNSVVILSLSAALIAVNDDSDGPSGVPKGKFLIGFLCTLGASALYSLLLSFMQLSFQKVLKKETFSVVLEMQIYTSVVASCLSTIGLFASGEWKSLQHEMEVFGTGRVSYVLTLVWTAITWQVCAVGVVGLIFVVSSLFSNVISTLSLAVTPLAALVVFHDKKNGVKKARRLQTHVREIQHTA >KJB52882 pep chromosome:Graimondii2_0_v6:8:55786670:55787615:1 gene:B456_008G281400 transcript:KJB52882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSSSSSKTMKKKPTALIDTASWYCSVTLLALILIGSIRANSVSDEPVRGSQLRNRPCDEIYVVGEGETLHSISDKCGDPFIVERNPHIHDPDDVFPGLVIKIIASTDRKL >KJB50746 pep chromosome:Graimondii2_0_v6:8:46558215:46559592:-1 gene:B456_008G185600 transcript:KJB50746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSLKPIPSINLPSQSHRALASNFSYSKPFPFHGLNLSSVTETSSFTSSRSSNPFTTTAFFFNKFKQEAAPHTPKPTKVQELHVYEMNERDRSSPAVLKLSQKPVNSLGDLVPFTNKLYSGDLQKRVGITAGLCVLIQHVPEKKGDRYEAIYSFYFGDYGHLSVQGPYLTYEDTYLAVTGGSGIFEGAYGQVKLHQIVFPMKLYYTFYLKGIGDLPAELLGKPVPPSPAVEPSAAAKATEPHGSIPNFTN >KJB50748 pep chromosome:Graimondii2_0_v6:8:46558215:46559592:-1 gene:B456_008G185600 transcript:KJB50748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSLKPIPSINLPSQSHRALASNFSYSKPFPFHGLNLSSVTETSSFTSSRSSNPFTTTAFFFNKFKQEAAPHTPKPNLQKRVGITAGLCVLIQHVPEKKGDRYEAIYSFYFGDYGHLSVQGPYLTYEDTYLAVTGGSGIFEGAYGQVKLHQIVFPMKLYYTFYLKGIGDLPAELLGKPVPPSPAVEPSAAAKATEPHGSIPNFTN >KJB50747 pep chromosome:Graimondii2_0_v6:8:46558188:46559592:-1 gene:B456_008G185600 transcript:KJB50747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSLKPIPSINLPSQSHRALASNFSYSKPFPFHGLNLSSVTETSSFTSSRSSNPFTTTAFFFNKFKQEAAPHTPKPTKVQELHVYEMNERDRSSPAVLKLSQKPVNSLGDLVPFTNKLYSGDLQKRVGITAGLCVLIQHVPEKKGDRYEAIYSFYFGDYGHLSVQGPYLTYEDTYLAVTGGSGIFEGAYGQVKLHQIVFPMKLYYTFYLKGIGDLPAELLGKPVPPSPAVEPSAAAKATEPHGSIPNFTN >KJB52787 pep chromosome:Graimondii2_0_v6:8:55493607:55496777:1 gene:B456_008G276900 transcript:KJB52787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKRQKLARKQYKEAHPELFPTPQLTPAKDPDKKKKKKNSKFKRKREGSKELKSPNNGYKKGIRKHPLRVPGMRPGESCYICKAKDHIAKLCPQKAQWEKHMICLHCRQRGHSLKNCTEVMDKKTCYNCGEAGHSLSKCPQPLQDGGTKFAQCFVCKEAGHLSKNCPKNTHGIYPKGGCCKICGGVTHLAKDCPDKGKRASISASREGSLSFGTGERPTGKVTKFSSGDDLEDDFIIQESESATNDAKIKSKNQKGPKVVNFEGKKKISIP >KJB52789 pep chromosome:Graimondii2_0_v6:8:55493628:55496673:1 gene:B456_008G276900 transcript:KJB52789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKRQKLARKQYKEAHPELFPTPQLTPAKDPDKKKKKKNSKFKRKREGSKELKSPNNGYKKGIRKHPLRVPGMRPGESCYICKAKDHIAKLCPQKAQWEKHMICLHCRQRGHSLKNCTEVMDKKTCYNCGEAGHSLSKCPQPLQDGGTKFAQCFVCKEAGHLSKNCPKNTHGIYPKGGCCKICGGVTHLAKDCPDKGKRASISASREAFGTGERPTGKVTKFSSGDDLEDDFIIQESESATNDAKIKSKNQKGPKVVNFEGKKKISIP >KJB52788 pep chromosome:Graimondii2_0_v6:8:55493796:55496327:1 gene:B456_008G276900 transcript:KJB52788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKRQKLARKQYKEAHPELFPTPQLTPAKDPDKKKKKKNSKFKRKREGSKELKSPNNGYKKGIRKHPLRVPGMRPGESCYICKAKDHIAKLCPQKAQWEKHMICLHCRQRGHSLKNCTEVMDKKTCYNCGEAGHSLSKCPQPLQDGGTKFAQCFVCKEAGHLSKNCPKNTHGIYPKGGCCKICGGVTHLAKDCPDKGKRASISASREGSLCNVWNRRKAYR >KJB47487 pep chromosome:Graimondii2_0_v6:8:3348796:3353558:1 gene:B456_008G028500 transcript:KJB47487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPFLKKDDDHDDEVDYSPFLGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSRDLGLRRMVYVIIKELSPSADEVIIVTSSLMKDMTSKTDMYRANAIRVLCQITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVNKLVSSLTRGSVRSPLAQCLLIRYTSQVIRESASNTQTGDRPFYDFLEGCLRHKAEMVIFEAARAITELNGVTSRELTPAITVLQLFLSSTKPVLRFAAVRTLNKVAMTHPIAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITTFMSDIADEFKIVVIEAIRSLCLKFPLKHRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPEAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVHLENATVRAGAVSTLAKFGAMVDSLKPRIFILLRRCLFDTDDEVRDRATLYLNTLGADGAVEDMKEFLFGSLDIPLVNLERSLKNYEPSEESFDINSVPKEVKIQPLAEKKAPGKKPTGLGGPPPGPPSTIDAYEKLLSSIPEFANFGKLFKSSAPVELTEAETEYAVNVVKHIFDGHVVFQYNCTNTIPEQLLENVTVIVDASEAEEFAEVASKPLRSLPYDSPGQTFVAFEKPEGVPAVGKFSNMLRFIVKEV >KJB47486 pep chromosome:Graimondii2_0_v6:8:3348640:3355107:1 gene:B456_008G028500 transcript:KJB47486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPFLKKDDDHDDEVDYSPFLGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSRDLGLRRMVYVIIKELSPSADEVIIVTSSLMKDMTSKTDMYRANAIRVLCQITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVNKLVSSLTRGSVRSPLAQCLLIRYTSQVIRESASNTQTGDRPFYDFLEGCLRHKAEMVIFEAARAITELNGVTSRELTPAITVLQLFLSSTKPVLRFAAVRTLNKVAMTHPIAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITTFMSDIADEFKIVVIEAIRSLCLKFPLKHRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPEAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVHLENATVRAGAVSTLAKFGAMVDSLKPRIFILLRRCLFDTDDEVRDRATLYLNTLGADGAVEDMKEFLFGSLDIPLVNLERSLKNYEPSEESFDINSVPKEVKIQPLAEKKAPGKKPTGLGGPPPGPPSTIDAYEKLLSSIPEFANFGKLFKSSAPVELTEAETEYAVNVVKHIFDGHVVFQYNCTNTIPEQLLENVTVIVDASEAEEFAEVASKPLRSLPYDSPGQTFVAFEKPEGVPAVGKFSNMLRFIVKEVDPSTGEAEDDGVEDEYQLEELEVVAADYMLKVGVSNFRNAWESMGPDCERVDEYDLGPRENLAEAVNAVINLLGMQPCEGTEVVPSNSRSHTCLLSGVYIGNVKVLVRLQFGIDGPKDVAMKLAVRSEDEAVSDAIHEIVASG >KJB47485 pep chromosome:Graimondii2_0_v6:8:3348640:3355107:1 gene:B456_008G028500 transcript:KJB47485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPFLKKDDDHDDEVDYSPFLGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSRDLGLRRMVYVIIKELSPSADEVIIVTSSLMKDMTSKTDMYRANAIRVLCQITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVNKLVSSLTRGSVRSPLAQCLLIRYTSQVIRESASNTQTGDRPFYDFLEGCLRHKAEMVIFEAARAITELNGVTSRELTPAITVLQLFLSSTKPVLRFAAVRTLNKVAMTHPIAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITTFMSDIADEFKIVVIEAIRSLCLKFPLKHRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPEAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVHLENATVRAGAVSTLAKFGAMVDSLKPRIFILLRRCLFDTDDEVRDRATLYLNTLGADGAVEDMKEFLFGSLDIPLVNLERSLKNYEPSEESFDINSVPKEVKIQPLAEKKAPGKKPTGLGGPPPGPPSTIDAYEKLLSSIPEFANFGKLFKSSAPVELTEAETEYAVNVVKHIFDGHVVFQYNCTNTIPEQLLENVTVIVDASEAEEFAEVASKPLRSLPYDSPGQTFVAFEKPEGVPAVGKFSNMLRFIVKEVDPSTGEAEDDGVEDEYQLEELEVVAADYMLKVGVSNFRNAWESMGPDCERVDEYDLGPRENLAEAVNAVINLLGMQPCEGTEVVPSNSRSHTCLLSGVYIGNVKVLVRLQFGIDGPKDVAMKLAVRSEDEAVSDAIHEIVASG >KJB51672 pep chromosome:Graimondii2_0_v6:8:51401923:51405175:-1 gene:B456_008G228000 transcript:KJB51672 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLL1 [Source:Projected from Arabidopsis thaliana (AT2G35350) UniProtKB/TrEMBL;Acc:A0A178VV40] MGSGVSTLCPCFNPVTDRSNRPPENHADLIFAASEPLDETLGHSFCYVRSSNRFLSPTPSDRFVSPSHSLRFSPSHDPKTRTGPETLFKAISGASVSANTSTPRTVLQLDNIYDDATENTLGYGVKSSIVSGNGFESTSSFCSLPLQPVPRGGAHDPVERGGYFMSGPLERGAVSGPLEANAGPEGRVHFSAPLGGIYVKKKRKKSISGILRNFSDKKRPWVVPVLNFVGRRENSGGTEAAAAADEKTGGDVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVFHELHGLFWELDEEIENKTENENENEAENKTNPSNILIATGSLNKTDSETENQNNSVEEVTSVGQGLIGENESSGVVQERVRRVTFEPEGTEIRRRRLWEFLAEDDPEDGLDLSGSDRFAFSVDDAITVSKEGSAVSRRWLLLSKLRQGLSKHREGTGSLLFPWRFRLEEKEKVEEVDNRVEERVQKTGRRRKEGPVDHELVLRALSRALEETELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEDVGSSMEGRKHEENGSNMEGIVEETLAPGEKAIKPVIGAPAESMRLTALQLSTDHSTSIEEEVIRIKNEHPDDNQCIVNDRVKGRLKVTRAFGAGFLKKVVSHAFSLLKC >KJB51671 pep chromosome:Graimondii2_0_v6:8:51400142:51405477:-1 gene:B456_008G228000 transcript:KJB51671 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLL1 [Source:Projected from Arabidopsis thaliana (AT2G35350) UniProtKB/TrEMBL;Acc:A0A178VV40] MGSGVSTLCPCFNPVTDRSNRPPENHADLIFAASEPLDETLGHSFCYVRSSNRFLSPTPSDRFVSPSHSLRFSPSHDPKTRTGPETLFKAISGASVSANTSTPRTVLQLDNIYDDATENTLGYGVKSSIVSGNGFESTSSFCSLPLQPVPRGGAHDPVERGGYFMSGPLERGAVSGPLEANAGPEGRVHFSAPLGGIYVKKKRKKSISGILRNFSDKKRPWVVPVLNFVGRRENSGGTEAAAAADEKTGGDVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVFHELHGLFWELDEEIENKTENENENEAENKTNPSNILIATGSLNKTDSETENQNNSVEEVTSVGQGLIGENESSGVVQERVRRVTFEPEGTEIRRRRLWEFLAEDDPEDGLDLSGSDRFAFSVDDAITVSKEGSAVSRRWLLLSKLRQGLSKHREGTGSLLFPWRFRLEEKEKVEEVDNRVEERVQKTGRRRKEGPVDHELVLRALSRALEETELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEDVGSSMEGRKHEENGSNMEGIVEETLAPGEKAIKPVIGAPAESMRLTALQLSTDHSTSIEEEVIRIKNEHPDDNQCIVNDRVKGRLKVTRAFGAGFLKKPKLNDALLEMFRNEYIGTAPYISCSPSLRHHRLCPRDQFLILSSDGLYQYLSNQKVVSLVESFMEKFPDGDPAQHLIEEVLMHAAKKAGMDFHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYV >KJB49636 pep chromosome:Graimondii2_0_v6:8:37570520:37571450:1 gene:B456_008G130500 transcript:KJB49636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWFGRSKLKVTPLEDDIGMTTKSREDGGERSRKSLDATTSLVMIKVRMTKAKLDELKAHASMSEGDSTLGHLIVKECLEGRLCTRVVVGQPHALVNSRSRLLSMSIIDEKRI >KJB50404 pep chromosome:Graimondii2_0_v6:8:44202902:44205444:-1 gene:B456_008G169100 transcript:KJB50404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TNKEEVESRHPPLMEVQYLHKNTGIKANPLRMRAPDAGEQISSKDIKMIVEQNNYTNINLHTIGKQLDYIKNLVKSQPIRKESVKEIIEKSSKEPIFTPYDIPKTFQKSQNEFVTKIQNRRNALESYKFELIALDTPIQTQYSSDEQQINKMAWKEPKILYYPKITAPDLNIEEKLVFQNKYNANTIYEWNIDGKLVFQKSQNEFLTEIKNRHGMSEYNILSLLQQMTMVLNVYKTQNQNGLISETQNQNGLISDHAIANLLVAGFTGQLKEWNEPSSSKTCCPEKPKNKKKNISEYYKKPQYRKYRKGKKQQKTENKIDKTIKCYRSGKPGHISKYYEEIEQKLNEILLETISFENYTSTETDELQIDELHTTSQSSSDENEPSINMLTKDQEFMIELEIKQIKLELSQLKTEQQEMKEQMRSLKHETAEKSLSETEPEPEENTQEYMMILTEVSIQRYLIKINTVINNEFQLETIALFDTGADQNYIREG >KJB46881 pep chromosome:Graimondii2_0_v6:8:188964:191164:-1 gene:B456_008G000800 transcript:KJB46881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAAVPFWRASGMTYITYSNICANLVRNCLKEPYKTEALSREKVHFSISKWTDGKPEKPTLRSDSPEE >KJB51962 pep chromosome:Graimondii2_0_v6:8:52672432:52674948:1 gene:B456_008G240400 transcript:KJB51962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLFIWLISFFILIALLVLIVYQLMCLADLEFDYINPYDSSSRINKVVLPEFILQGFLCVFYLLTGHWVMALLCGPYLYNNVRLYTQKKHLVDVTEIFNMLPREKKQRLFKLGYLVLLLFFSLFWMIWSTLEDMDD >KJB52853 pep chromosome:Graimondii2_0_v6:8:55732572:55735926:-1 gene:B456_008G280300 transcript:KJB52853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERDAEDAIRALDRTEFGQKGRRLRVEWTKHERGIRRPEGGGGGSRRSAANTRPSKTLFVINFDPYHTRTRDLERHFESYGKIVSVRIRRNFAFVQYDSQDDATRALEATNMSKLMDRVISVEYAVRDDDDRRNGCSPERGRDRSPERGRDRRRSPSPFRRERGSPDYGRGSSRSPYRKERGSPDYGRGHGPSLYKRDRGSPEYGQITSRSPQRRERTSSDHARGSSRSPYRKERASPENIQGSSRSPYRKEKRSAENDRSPSRSPYRRERPTSDNGRAPSHSPYGRERVSPENGRGSSPGSMPERRASPYGGEAESPVNERYGSQSPAAEE >KJB52855 pep chromosome:Graimondii2_0_v6:8:55732572:55736633:-1 gene:B456_008G280300 transcript:KJB52855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERDAEDAIRALDRTEFGQKGRRLRVEWTKHERGIRRPEGGGGGSRRSAANTRPSKTLFVINFDPYHTRTRDLERHFESYGKIVSVRIRRNFAFVQYDSQDDATRALEATNMSKLMDRVISVEYAVRDDDDRRNGCSPERGRDRSPERGRDRRRSPSPFRRERGSPDYGRGSSRSPYRKERGSPDYGRGHGPSLYKRDRGSPEYGQITSRSPQRRERTSSDHARGSSRSPYRKERASPENIQGSSRSPYRKEKRSAENDRSPSRSPYRRERPTSDNGRAPSHSPYGRERVSPENGRGSSPGSMPERRASPYGGEAESPVNERYGSQSPAAEE >KJB52852 pep chromosome:Graimondii2_0_v6:8:55732554:55736706:-1 gene:B456_008G280300 transcript:KJB52852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIFCGNFEYDARQSDLERLFRKFGRIERVDMKSGFAFIYMEDERDAEDAIRALDRTEFGQKGRRLRVEWTKHERGIRRPEGGGGGSRRSAANTRPSKTLFVINFDPYHTRTRDLERHFESYGKIVSVRIRRNFAFVQYDSQDDATRALEATNMSKLMDRVISVEYAVRDDDDRRNGCSPERGRDRSPERGRDRRRSPSPFRRERGSPDYGRGSSRSPYRKERGSPDYGRGHGPSLYKRDRGSPEYGQITSRSPQRRERTSSDHARGSSRSPYRKERASPENIQGSSRSPYRKEKRSAENDRSPSRSPYRRERPTSDNGRAPSHSPYGRERVSPENGRGSSPGSMPERRASPYGGEAESPVNERYGSQSPAAEE >KJB52856 pep chromosome:Graimondii2_0_v6:8:55732890:55734175:-1 gene:B456_008G280300 transcript:KJB52856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERDAEDAIRALDRTEFGQKGRRLRVEWTKHERGIRRPEGGGGGSRRSAANTRPSKTLFVINFDPYHTRTRDLERHFESYGKIVSVRIRRNFAFVQYDSQDDATRALEATNMSKLMDRVISVEYAVRDDDDRRNGCSPERGRDRSPERGRDRRRSPSPFRRERGSPDYGRGSSRSPYRKERGSPDYGRGHGPSLYKRDRGSPEYGQITSRSPQRRERTSSDHARGSSRSPYRKERASPENIQGSSRSPYRKEKRSAENDRSPSRSPYRRERPTSDNGRAPSHSPYGRERVSPENGRGSSPGSMPERRASPYGGEAESPVNERYGSQSPAAEE >KJB52854 pep chromosome:Graimondii2_0_v6:8:55732572:55736633:-1 gene:B456_008G280300 transcript:KJB52854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERDAEDAIRALDRTEFGQKGRRLRVEWTKHERGIRRPEGGGGGSRRSAANTRPSKTLFVINFDPYHTRTRDLERHFESYGKIVSVRIRRNFAFVQYDSQDDATRALEATNMSKLMDRVISVEYAVRDDDDRRNGCSPERGRDRSPERGRDRRRSPSPFRRERGSPDYGRGSSRSPYRKERGSPDYGRGHGPSLYKRDRGSPEYGQITSRSPQRRERTSSDHARGSSRSPYRKERASPENIQGSSRSPYRKEKRSAENDRSPSRSPYRRERPTSDNGRAPSHSPYGRERVSPENGRGSSPGSMPERRASPYGGEAESPVNERYGSQSPAAEE >KJB52857 pep chromosome:Graimondii2_0_v6:8:55732986:55734175:-1 gene:B456_008G280300 transcript:KJB52857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERDAEDAIRALDRTEFGQKGRRLRVEWTKHERGIRRPEGGGGGSRRSAANTRPSKTLFVINFDPYHTRTRDLERHFESYGKIVSVRIRRNFAFVQYDSQDDATRALEATNMSKLMDRVISVEYAVRDDDDRRNGCSPERGRDRSPERGRDRRRSPSPFRRERGSPDYGRGSSRSPYRKERGSPDYGRGHGPSLYKRDRGSPEYGQITSRSPQRRERTSSDHARGSSRSPYRKERASPENIQGSSRSPYRKEKRSAENDRSPSRSPYRRERPTSDNGRAPSHSPYGRERVSPENGRGSSPGSMPERRASPYGGEAESPVNERYGRSV >KJB47672 pep chromosome:Graimondii2_0_v6:8:4471124:4473980:1 gene:B456_008G036100 transcript:KJB47672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLALPPPSHGTKEYRNKFHLLSEKAIHFGSTIYWF >KJB47673 pep chromosome:Graimondii2_0_v6:8:4471124:4475353:1 gene:B456_008G036100 transcript:KJB47673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLREDKQFFIDHPGAVPITTAQFKNTTECESGL >KJB47674 pep chromosome:Graimondii2_0_v6:8:4472307:4474924:1 gene:B456_008G036100 transcript:KJB47674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLIWDCGILLFFYHGTGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLREDKQFFIDHPGAVPITTAQGEELRKLIGAPFYIECSSKTQQNVKAVFDAAIKVVLQPPKKKKKKKRKAQKACSIL >KJB47671 pep chromosome:Graimondii2_0_v6:8:4471111:4475676:1 gene:B456_008G036100 transcript:KJB47671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLREDKQFFIDHPGAVPITTAQGEELRKLIGAPFYIECSSKTQQNVKAVFDAAIKVVLQPPKKKKKKKRKAQKACSIL >KJB51758 pep chromosome:Graimondii2_0_v6:8:52022692:52025763:1 gene:B456_008G233800 transcript:KJB51758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFFSTPFQPYVYQSPQDAVTPFQILGGEAQIVQIMLKPQEKVIAKPGSMCFMSGSIEMENTYVPENEVGMWQWLFGKSITSIALRNNGPNDGFVGIAAPSLARVLPIDLAMFGGVLLCQPDAFLCSINDVKVNNTVDQRPRNVVTGVEGFLRQKLTGQGLAFILAGGSVVQKNLEVGEVLAVDISCIAALTSSIDVQIKYNGPIRRAVFGVRCFRLVLFSDTEVVEWGYWCMYVVLFTIVCLYKHFLFYFSQQFCYC >KJB51757 pep chromosome:Graimondii2_0_v6:8:52022633:52026709:1 gene:B456_008G233800 transcript:KJB51757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFFSTPFQPYVYQSPQDAVTPFQILGGEAQIVQIMLKPQEKVIAKPGSMCFMSGSIEMENTYVPENEVGMWQWLFGKSITSIALRNNGPNDGFVGIAAPSLARVLPIDLAMFGGVLLCQPDAFLCSINDVKVNNTVDQRPRNVVTGVEGFLRQKLTGQGLAFILAGGSVVQKNLEVGEVLAVDISCIAALTSSIDVQIKYNGPIRRAVFGGDNLVTAVLTGPGIVFIQSLPFHRFSQRIARAVTSPNMRENPKFFVQIAIFFFLAYVVIVSSLILTDV >KJB51218 pep chromosome:Graimondii2_0_v6:8:49224115:49227870:1 gene:B456_008G207100 transcript:KJB51218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEELTEQETALYDRQIRVWGADAQRRLSKSHILVYGMKGTVAEFCKNIVLAGVGSVTLVDDREVTEEALSANFLILPDENLYHGKTLAEVCCDSLKEFNPMVHVSVEKGDISTFGVEFFGKFDAVVISCCSLAKKKLINQKCRKLSKRVAFYTVDCRGSCGEIFVDLKDYKYSKKKLEETVECQLEFPSFEDTISVPWKALPKRVSKLYFAMRVIEQFEDAEGRNPGETSIADLPGVLKLRKELCETNSVNESQIPDALLERLLMDTSEYPPVCAIIGGILGQEVIKAISGKGDPLKNFFFFDAMDGKGLIEDISEPKPVS >KJB52958 pep chromosome:Graimondii2_0_v6:8:56122037:56124149:-1 gene:B456_008G285400 transcript:KJB52958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:lar2 MKSTHMNGSYPNESETGQTLVIGSSGFIGRFITEACLDSGRPTYILVRSSSNSPSKASTIKFLQDKGAIVIYGSITDQEFMEKVLREYKIEVVISAVGGESILDQFSLIEAIKNVNTVKRFVPSEFGHDIDRAEPVEPGLTMYEQKSKIRRQIEECGIPYSYICCNSIAAWPYHDNTHPADVLPPLDRFQIYGDGTVKAYFVAGSDIGKFTVMSIDDDRTLNKTVHFQPPSNLLNMNEMASLWETKIGRVLPRVNITEQDLLQRAQGSF >KJB52962 pep chromosome:Graimondii2_0_v6:8:56122544:56124297:-1 gene:B456_008G285400 transcript:KJB52962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:lar2 MKSTHMNGSYPNESETGQTLVIGSSGFIGRFITEACLDSGRPTYILVRSSSNSPSKASTIKFLQDKGAIVIYGSITDQEFMEKVLREYKIEVVISAVGGESILDQFSLIEAIKNVNTVKRFVPSEFGHDIDRAEPVEPGLTMYEQKSKIRRQIEECGIPYSYICCNSIAAWPYHDNTHPADVLPPLDRFQIYGDGTVKGTPALHLSFL >KJB52956 pep chromosome:Graimondii2_0_v6:8:56121390:56123272:-1 gene:B456_008G285400 transcript:KJB52956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:lar2 MYEQKSKIRRQIEECGIPYSYICCNSIAAWPYHDNTHPADVLPPLDRFQIYGDGTVKAYFVAGSDIGKFTVMSIDDDRTLNKTVHFQPPSNLLNMNEMASLWETKIGRVLPRVNITEQDLLQRAQEMRIPQSVVAAITHDIFINGCQINFSLDKTTDVEVCSLYPNTSFRTIAECFDDFAKKISDNEKAVSKPVTASNTDIFVPTAKPEALAITAICT >KJB52957 pep chromosome:Graimondii2_0_v6:8:56121390:56124297:-1 gene:B456_008G285400 transcript:KJB52957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:lar2 MKSTHMNGSYPNESETGQTLVIGSSGFIGRFITEACLDSGRPTYILVRSSSNSPSKASTIKFLQDKGAIVIYGSITDQEFMEKVLREYKIEVVISAVGGESILDQFSLIEAIKNVNTVKRFVPSEFGHDIDRAEPVEPGLTMYEQKSKIRRQIEECGIPYSYICCNSIAAWPYHDNTHPADVLPPLDRFQIYGDGTVKAYFVAGSDIGKFTVMSIDDDRTLNKTVHFQPPSNLLNMNEMASLWETKIGRVLPRVNITEQDLLQRAQDADPAECGCCDNS >KJB52960 pep chromosome:Graimondii2_0_v6:8:56121668:56123722:-1 gene:B456_008G285400 transcript:KJB52960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:lar2 MEKVLREYKIEVVISAVGGESILDQFSLIEAIKNVNTVKRFVPSEFGHDIDRAEPVEPGLTMYEQKSKIRRQIEECGIPYSYICCNSIAAWPYHDNTHPADVLPPLDRFQIYGDGTVKAYFVAGSDIGKFTVMSIDDDRTLNKTVHFQPPSNLLNMNEMASLWETKIGRVLPRVNITEQDLLQRAQEMRIPQSVVAAITHDIFINGCQINFSLDKTTDVEVCSLYPNTSFRTIAECFDDFAKKISDNEKAVSKPVTASNTDIFVPTAKPEALAITAICT >KJB52961 pep chromosome:Graimondii2_0_v6:8:56121390:56124310:-1 gene:B456_008G285400 transcript:KJB52961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:lar2 MKSTHMNGSYPNESETGQTLVIGSSGFIGRFITEACLDSGRPTYILVRSSSNSPSKASTIKFLQDKGAIVIYGSITDQEFMEKVLREYKIEVVISAVGGESILDQFSLIEAIKNVNTVKRFVPSEFGHDIDRAEPVEPGLTMYEQKSKIRRQIEECGIPYSYICCNSIAAWPYHDNTHPADVLPPLDRFQIYGDGTVKAYFVAGSDIGKFTVMSIDDDRTLNKTVHFQPPSNLLNMNEMASLWETKIGRVLPRVNITEQDLLQRAQEMRIPQSVVAAITHDIFINGCQINFSLDKTTDVEVCSLYPNTSFRTIAECFDDFAKKISDNEKAVSKPVTASNTDIFVPTAKPEALAITAICT >KJB52959 pep chromosome:Graimondii2_0_v6:8:56121390:56124297:-1 gene:B456_008G285400 transcript:KJB52959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:lar2 MKSTHMNGSYPNESETGQTLVIGSSGFIGRFITEACLDSGRPTYILVRSSSNSPSKASTIKFLQDKGAIVIYGSITDQEFMEKVLREYKIEVVISAVGGESILDQFSLIEAIKNVNTVKRFVPSEFGHDIDRAEPVEPGLTMYEQKSKIRRQIEECGIPYSYICCNSIAAWPYHDNTHPADVLPPLDRFQIYGDGTVKDRPRAA >KJB50263 pep chromosome:Graimondii2_0_v6:8:42776066:42777490:-1 gene:B456_008G161100 transcript:KJB50263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSEVSSDLIIQVKGSRYMLHKFPLLSKCMRLQRICSESLETLQPQTIQLPDFPSGIEAFELCTKFCYGITITLSAYNIMAVRCAAEYLQMTEDVEKGNLVYKIEVFFNSCILHGWKDFIITLQSTKAFSLWSEYLGITSRCIESIASKVLTHPSKVSLSHSHSRRVRDDMSCNGAESQRHQQTIKGIKSGGKIPSNLIGEALQVYASRWLPNISRKVKTNREATTTSTSDSDSAGEVTSKHRLVLESLEVRVSDMLIPCLSHSSDTLYDIDIILTIFEQFMLQGQSPPTSPPRSKLGIERRRRSRSAKNVDLKFQEARRSSASHSSKLKVGRIVDGYLQETSKNVNLPLSKFIAIAETIPNFSRPDHDDLY >KJB50264 pep chromosome:Graimondii2_0_v6:8:42772763:42777490:-1 gene:B456_008G161100 transcript:KJB50264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSEVSSDLIIQVKGSRYMLHKFPLLSKCMRLQRICSESLETLQPQTIQLPDFPSGIEAFELCTKFCYGITITLSAYNIMAVRCAAEYLQMTEDVEKGNLVYKIEVFFNSCILHGWKDFIITLQSTKAFSLWSEYLGITSRCIESIASKVLTHPSKVSLSHSHSRRVRDDMSCNGAESQRHQQTIKGIKSGGKIPSNLIGEALQVYASRWLPNISRKVKTNREATTTSTSDSDSAGEVTSKHRLVLESLEVRVSDMLIPCLSHSSDTLYDIDIILTIFEQFMLQGQSPPTSPPRSKLGIERRRRSRSAKNVDLKFQEARRSSASHSSKLKVGRIVDGYLQETSKNAHPELNKIERKRLCRILDCRKLSVEACMHVAQNEKLPLRVVVQVLFFEQARAAATGAKVADLPNNIKALLASYNIDPSKPPGPLSSTTSIPTDDEWSNSGIKSPKSRNSRLRTKVAGEDDLDENEMNRDGMGRPSKFKAFCALPRRPKRMFNKLLSINRSGSEKN >KJB51953 pep chromosome:Graimondii2_0_v6:8:52660820:52661537:1 gene:B456_008G240100 transcript:KJB51953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVNSLNYQCPFIPLLTKPKNPNFNSLKFNPNQASRFCFPSRRCVLVNGTLLNSHRNTPEEDDEVDNLGVKAALSMLKFYKREISPVIPKSCRFVPSCSEYSMEAYKKYGVVKGTVLTAWRLCRCNPLGGSGFDPPRWFDEEKPTEE >KJB51956 pep chromosome:Graimondii2_0_v6:8:52660820:52662492:1 gene:B456_008G240100 transcript:KJB51956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVNSLNYQCPFIPLLTKPKNPNFNSLKFNPNQASRFCFPSRRCVLVNGTLLNSHRNTPEEDDEVDNLGVKAALSMLKFYKREISPVIPKSCRFVPSCSEYSMEAYKKYGVVKGTVLTAWRLCRCNPLGGSGFDPPRWFDEEKPTEE >KJB51952 pep chromosome:Graimondii2_0_v6:8:52660820:52661466:1 gene:B456_008G240100 transcript:KJB51952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVNSLNYQCPFIPLLTKPKNPNFNSLKFNPNQASRFCFPSRRCVLVNGTLLNSHRNTPEEDDEVDNLGVKAALSMLKFYKREISPVIPKSCRFVPSCSEYSMEAYKKYGVVKGTVLTAWRLCRCNPLGNLTFFPLKKNREKDL >KJB51954 pep chromosome:Graimondii2_0_v6:8:52660820:52661760:1 gene:B456_008G240100 transcript:KJB51954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVNSLNYQCPFIPLLTKPKNPNFNSLKFNPNQASRFCFPSRRCVLVNGTLLNSHRNTPEEDDEVDNLGVKAALSMLKFYKREISPVIPKSCRFVPSCSEYSMEAYKKYGVVKGTVLTAWRLCRCNPLGGSGFDPPRWFDEEKPTEE >KJB51951 pep chromosome:Graimondii2_0_v6:8:52660741:52661828:1 gene:B456_008G240100 transcript:KJB51951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVNSLNYQCPFIPLLTKPKNPNFNSLKFNPNQASRFCFPSRRCVLVNGTLLNSHRNTPEEDDEVDNLGVKAALSMLKFYKREISPVIPKSCRFVPSCSEYSMEAYKKYGVVKGTVLTAWRLCRCNPLGGSGFDPPRWFDEEKPTEE >KJB51957 pep chromosome:Graimondii2_0_v6:8:52660820:52661780:1 gene:B456_008G240100 transcript:KJB51957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVNSLNYQCPFIPLLTKPKNPNFNSLKFNPNQASRFCFPSRRCVLVNGTLLNSHRNTPEEDDEVDNLGVKAALSMLKFYKREISPVIPKSCRFVPSCSEYSMEAYKKYGVVKGTVLTAWRLCRCNPLGGSGFDPPRWFDEEKPTEE >KJB51955 pep chromosome:Graimondii2_0_v6:8:52660820:52662845:1 gene:B456_008G240100 transcript:KJB51955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVNSLNYQCPFIPLLTKPKNPNFNSLKFNPNQASRFCFPSRRCVLVNGTLLNSHRNTPEEDDEVDNLGVKAALSMLKFYKREISPVIPKSCRFVPSCSEYSMEAYKKYGVVKGTVLTAWRLCRCNPLGLVDMLLAWFPPRGKLFAVVF >KJB47241 pep chromosome:Graimondii2_0_v6:8:1966301:1968156:1 gene:B456_008G017400 transcript:KJB47241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEERVKEEALQLIGMFQLLPRLVVFDLDYTLWPFYCLRRSKRETPSLYPHAKGILYALKDKRINLAIASRSPTADTANTFLDKLSIKSMFVTKEIFFSCKNKTDHFRRIQSRTGIPFNSMLFFDDEDWNIEAASKMGVTSIYVDNGVNLRALRRGLTQFTENQNASDKNQRK >KJB49926 pep chromosome:Graimondii2_0_v6:8:39863515:39865921:1 gene:B456_008G146000 transcript:KJB49926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVKWAQRTDKVFITVLLPDSKNAKLDLFDKVNVEESKINIGVRSIFCILEKAEKVWWKKLLRGDGKAPHYVKVDWDKWVDEDEDKGLGDLDLGGMDFSNFGGMGGMGDMMGGMGGMGGMGGMGDMMGGMGGMGGMDEFEDSDDEGQEVTKPDDKAEGDAKPEEHGIGSSEKKEAEPST >KJB49924 pep chromosome:Graimondii2_0_v6:8:39863515:39865921:1 gene:B456_008G146000 transcript:KJB49924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVKWAQRTDKVFITVLLPDSKNAKVNLEPEGVFNFSATAGTDNNTYELKLDLFDKVNVEESKINIGVRSIFCILEKAEKVWWKKLLRGDGKAPHYVKVDWDKWVDEDEDKGLGDLDLGGMDFSNFGGMGGMGDMMGGMGGMGGMGGMGDMMGGMGGMGGMDEFEDSDDEEVTKPDDKAEGDAKPEEHGIGSSEKKEAEPST >KJB49925 pep chromosome:Graimondii2_0_v6:8:39863515:39865921:1 gene:B456_008G146000 transcript:KJB49925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVKWAQRTDKVFITVLLPDSKNAKVNLEPEGVFNFSATAGTDNNTYELKLDLFDKVNVEESKINIGVRSIFCILEKAEKVWWKKLLRGDGKAPHYVKVDWDKWVDEDEDKVGLGDLDLGGMDFSNFGGMGGMGDMMGGMGGMGGMGGMGDMMGGMGGMGGMDEFEDSDDEGQEVTKPDDKAEGDAKPEEHGIGSSEKKEAEPST >KJB49923 pep chromosome:Graimondii2_0_v6:8:39863434:39865921:1 gene:B456_008G146000 transcript:KJB49923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVKWAQRTDKVFITVLLPDSKNAKVNLEPEGVFNFSATAGTDNNTYELKLDLFDKVNVEESKINIGVRSIFCILEKAEKVWWKKLLRGDGKAPHYVKVDWDKWVDEDEDKGLGDLDLGGMDFSNFGGMGGMGDMMGGMGGMGGMGGMGDMMGGMGGMGGMDEFEDSDDEGQEVTKPDDKAEGDAKPEEHGIGSSEKKEAEPST >KJB52509 pep chromosome:Graimondii2_0_v6:8:54493435:54499629:-1 gene:B456_008G265800 transcript:KJB52509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASVAASRSGSLPMQSSSRKEWRAVSDNHVVRNPGDDVGLDRSKLGQSDERTLYEMQHGGEPADADFFPITVDGSLDVDILQQRIHDVARQREQLQQMEVELRAQAIARSSVLEMQSRYDAEIKAHANTAAKLEEQLRESEQTIHQLERKMEEKDRELHAIKVEKEEAWAKEDLLREQNKELATFRREHDHSEAERAQHIKQIHDLQEHVQEKERQIIELQEQYRAAQEAILFKDEQLREAQTWLSRVQEVDVLQSSTNHTLQAELRERTEQYNQLWHGCQRQFAEMERHHLHTVHQLQLELADARERKGTYSDESRLTQANSKDLPQFGQHNGNQVDSNGSGATNVNTGVISKGASASVQPFSGNASNLNQNDHVRSGPIAPLGMPAYLPPEQVTALQSFVMHQQGVPHSVVSHVGPYSMQAMSSVQQWQNQQVSSEGFQPSGPNQLPPSQTDQSLGRSDVSHECEISVDGQAICPDHVDHISQGSESISVISSSTGKAQVVESINSSYLVKPQSEPNLQQISSQFHDALKLGTLEQSCESKEQNMLNMKNHMLKDQDLTVEEGSTAASASLSPPDSSVQSVGSCETTISNGTGAILPKKSVTTEQTNILMPGKTSEAALLEERALLACIVRTIPPGGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVAVHPELFVIEDDYIRLREGAQEIIAATAAVAKVAAAAAASSPHSTFLPSVAVTPIAPPNRLKKGVPSVDSNHVRNENAVFKKQAAVSKNAADDHSQLLGMQKQQPNGISFGVAGSLSNVKILSKSKDPREINGANFERTSIESKASGHGRSNSNFVGKQDRATGAALTSRR >KJB52511 pep chromosome:Graimondii2_0_v6:8:54493435:54499413:-1 gene:B456_008G265800 transcript:KJB52511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASVAASRSGSLPMQSSSRKEWRAVSDNHVVRNPGDDVGLDRSKLGQSDERTLYEMQHGGEPADADFFPITVDGSLDVDILQQRIHDVARQREQLQQMEVELRAQAIARSSVLEMQSRYDAEIKAHANTAAKLEEQLRESEQTIHQLERKMEEKDRELHAIKVEKEEAWAKEDLLREQNKELATFRREHDHSEAERAQHIKQIHDLQEHVQEKERQIIELQEQYRAAQEAILFKDEQLREAQTWLSRVQEVDVLQSSTNHTLQAELRERTEQYNQLWHGCQRQFAEMERHHLHTVHQLQLELADARERKGTYSDESRLTQANSKDLPQFGQHNGNQVDSNGSGATNVNTGVISKGASASVQPFSGNASNLNDHVRSGPIAPLGMPAYLPPEQVTALQSFVMHQQGVPHSVVSHVGPYSMQAMSSVQQWQNQQVSSEGFQPSGPNQLPPSQTDQSLGRSDVSHECEISVDGQAICPDHVDHISQGSESISVISSSTGKAQVVESINSSYLVKPQSEPNLQQISSQFHDALKLGTLEQSCESKEQNMLNMKNHMLKDQDLTVEEGSTAASASLSPPDSSVQSVGSCETTISNGTGAILPKKSVTTEQTNILMPGKTSEAALLEERALLACIVRTIPPGGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVAVHPELFVIEDDYIRLREGAQEIIAATAAVAKVAAAAAASSPHSTFLPSVAVTPIAPPNRLKKGVPSVDSNHVRNENAVFKKQAAVSKNAADDHSQLLGMQKQQPNGISFGVAGSLSNVKILSKSKDPREINGANFERTSIESKASGHGRSNSNFVGKQDRATGAALTSRR >KJB52512 pep chromosome:Graimondii2_0_v6:8:54494109:54499233:-1 gene:B456_008G265800 transcript:KJB52512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASVAASRSGSLPMQSSSRKEWRAVSDNHVVRNPGDDVGLDRSKLGQSDERTLYEMQHGGEPADADFFPITVDGSLDVDILQQRIHDVARQREQLQQMEVELRAQAIARSSVLEMQSRYDAEIKAHANTAAKLEEQLRESEQTIHQLERKMEEKDRELHAIKVEKEEAWAKEDLLREQNKELATFRREHDHSEAERAQHIKQIHDLQEHVQEKERQIIELQEQYRAAQEAILFKDEQLREAQTWLSRVQEVDVLQSSTNHTLQAELRERTEQYNQLWHGCQRQFAEMERHHLHTVHQLQLELADARERKGTYSDESRLTQANSKDLPQFGQHNGNQVDSNGSGATNVNTGVISKGASASVQPFSGNASNLNQNDHVRSGPIAPLGMPAYLPPEQVTALQSFVMHQQGVPHSVVSHVGPYSMQAMSSVQQWQNQQVSSEGFQPSGPNQLPPSQTDQSLGRSDVSHECEISVDGQAICPDHVDHISQGSESISVISSSTGKAQVVESINSSYLVKPQSEPNLQQISSQFHDALKLGTLEQSCESKEQNMLNMKNHMLKDQDLTVEEGSTAASASLSPPDSSVQSVGSCETTISNGTGAILPKKSVTTEQTNILMPGKTSEAALLEERALLACIVRTIPPGGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVAVHPELFVIEDDYIRLREGAQEIIAATAAVAKVAAAAAASSPHSTFLPSVAVTPIAPPNRLKKGVPSVDSNHVRNENAVFKKQAAVSKNAADDHSQLLGMQKQQPNGISFGVAGSLSNVKILSKSKDPREINGANFERTSIESKASGHGRSNSNFVGKQDRATGAALTSRR >KJB52507 pep chromosome:Graimondii2_0_v6:8:54493435:54498504:-1 gene:B456_008G265800 transcript:KJB52507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKMQHGGEPADADFFPITVDGSLDVDILQQRIHDVARQREQLQQMEVELRAQAIARSSVLEMQSRYDAEIKAHANTAAKLEEQLRESEQTIHQLERKMEEKDRELHAIKVEKEEAWAKEDLLREQNKELATFRREHDHSEAERAQHIKQIHDLQEHVQEKERQIIELQEQYRAAQEAILFKDEQLREAQTWLSRVQEVDVLQSSTNHTLQAELRERTEQYNQLWHGCQRQFAEMERHHLHTVHQLQLELADARERKGTYSDESRLTQANSKDLPQFGQHNGNQVDSNGSGATNVNTGVISKGASASVQPFSGNASNLNQNDHVRSGPIAPLGMPAYLPPEQVTALQSFVMHQQGVPHSVVSHVGPYSMQAMSSVQQWQNQQVSSEGFQPSGPNQLPPSQTDQSLGRSDVSHECEISVDGQAICPDHVDHISQGSESISVISSSTGKAQVVESINSSYLVKPQSEPNLQQISSQFHDALKLGTLEQSCESKEQNMLNMKNHMLKDQDLTVEEGSTAASASLSPPDSSVQSVGSCETTISNGTGAILPKKSVTTEQTNILMPGKTSEAALLEERALLACIVRTIPPGGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVAVHPELFVIEDDYIRLREGAQEIIAATAAVAKVAAAAAASSPHSTFLPSVAVTPIAPPNRLKKGVPSVDSNHVRNENAVFKKQAAVSKNAADDHSQLLGMQKQQPNGISFGVAGSLSNVKILSKSKDPREINGANFERTSIESKASGHGRSNSNFVGKQDRATGAALTSRR >KJB52514 pep chromosome:Graimondii2_0_v6:8:54494109:54499233:-1 gene:B456_008G265800 transcript:KJB52514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASVAASRSGSLPMQSSSRKEWRAVSDNHVVRNPGDDVGLDRSKLGQSDERTLYEMQHGGEPADADFFPITVDGSLDVDILQQRIHDVARQREQLQQMEVELRAQAIARSSVLEMQSRYDAEIKAHANTAAKLEEQLRESEQTIHQLERKMEEKDRELHAIKVEKEEAWAKEDLLREQNKELATFRREHDHSEAERAQHIKQIHDLQEHVQEKERQIIELQEQYRAAQEAILFKDEQLREAQTWLSRVQEVDVLQSSTNHTLQAELRERTEQYNQLWHGCQRQFAEMERHHLHTVHQLQLELADARERKGTYSDESRLTQANSKDLPQFGQHNGNQVDSNGSGATNVNTGVISKGASASVQPFSGNASNLNQNDHVRSGPIAPLGMPAYLPPEQVTALQSFVMHQQGVPHSVVSHVGPYSMQAMSSVQQWQNQQVSSEGFQPSGPNQLPPSQTDQSLGRSDVSHECEISVDGQAICPDHVDHISQGSESISVISSSTGKAQVVESINSSYLVKPQSEPNLQQISSQFHDALKLGTLEQSCESKEQNMLNMKNHMLKDQDLTVEEGSTAASASLSPPDSSVQSVGSCETTISNGTGAILPKKSVTTEQTNILMPGKTSEAALLEERALLACIVRTIPPGGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVAVHPELFVIEDDYIRLREGAQEIIAATAAVAKVAAAAAASSPHSTFLPSVAVTPIAPPNRLKKGVPSVDSNHVRNENAVFKKQAAVSKNAADDHSQLLGMQKQQPNGISFGVAGSLSNVKILSKSKDPREINGANFERTSIESKASGHGRSNSNFVGKQDRATGAALTSRR >KJB52510 pep chromosome:Graimondii2_0_v6:8:54494109:54499233:-1 gene:B456_008G265800 transcript:KJB52510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASVAASRSGSLPMQSSSRKEWRAVSDNHVVRNPGDDVGLDRSKLGQSDERTLYEMQHGGEPADADFFPITVDGSLDVDILQQRIHDVARQREQLQQMEVELRAQAIARSSVLEMQSRYDAEIKAHANTAAKLEEQLRESEQTIHQLERKMEEKDRELHAIKVEKEEAWAKEDLLREQNKELATFRREHDHSEAERAQHIKQIHDLQEHVQEKERQIIELQEQYRAAQEAILFKDEQLREAQTWLSRVQEVDVLQSSTNHTLQAELRERTEQYNQLWHGCQRQFAEMERHHLHTVHQLQLELADARERKGTYSDESRLTQANSKDLPQFGQHNGNQVDSNGSGATNVNTGVISKGASASVQPFSGNASNLNQNDHVRSGPIAPLGMPAYLPPEQVTALQSFVMHQQGVPHSVVSHVGPYSMQAMSSVQQWQNQQVSSEGFQPSGPNQLPPSQTDQSLGRSDVSHECEISVDGQAICPDHVDHISQGSESISVISSSTGKAQVVESINSSYLVKPQSEPNLQQISSQFHDALKLGTLEQSCESKEQNMLNMKNHMLKDQDLTVEEGSTAASASLSPPDSSVQSVGSCETTISNGTGAILPKKSVTTEQTNILMPGKTSEAALLEERALLACIVRTIPPGGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVAVHPELFVIEDDYIRLREGAQEIIAATAAVAKVAAAAAASSPHSTFLPSVAVTPIAPPNRLKKGVPSVDSNHVRNENAVFKKQAAVSKNAADDHSQLLGMQKQQPNGISFGVAGSLSNVKILSKSKDPREINGANFERTSIESKASGHGRSNSNFVGKQDRATGAALTSRR >KJB52508 pep chromosome:Graimondii2_0_v6:8:54493435:54498716:-1 gene:B456_008G265800 transcript:KJB52508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHGGEPADADFFPITVDGSLDVDILQQRIHDVARQREQLQQMEVELRAQAIARSSVLEMQSRYDAEIKAHANTAAKLEEQLRESEQTIHQLERKMEEKDRELHAIKVEKEEAWAKEDLLREQNKELATFRREHDHSEAERAQHIKQIHDLQEHVQEKERQIIELQEQYRAAQEAILFKDEQLREAQTWLSRVQEVDVLQSSTNHTLQAELRERTEQYNQLWHGCQRQFAEMERHHLHTVHQLQLELADARERKGTYSDESRLTQANSKDLPQFGQHNGNQVDSNGSGATNVNTGVISKGASASVQPFSGNASNLNQNDHVRSGPIAPLGMPAYLPPEQVTALQSFVMHQQGVPHSVVSHVGPYSMQAMSSVQQWQNQQVSSEGFQPSGPNQLPPSQTDQSLGRSDVSHECEISVDGQAICPDHVDHISQGSESISVISSSTGKAQVVESINSSYLVKPQSEPNLQQISSQFHDALKLGTLEQSCESKEQNMLNMKNHMLKDQDLTVEEGSTAASASLSPPDSSVQSVGSCETTISNGTGAILPKKSVTTEQTNILMPGKTSEAALLEERALLACIVRTIPPGGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVAVHPELFVIEDDYIRLREGAQEIIAATAAVAKVAAAAAASSPHSTFLPSVAVTPIAPPNRLKKGVPSVDSNHVRNENAVFKKQAAVSKNAADDHSQLLGMQKQQPNGISFGVAGSLSNVKILSKSKDPREINGANFERTSIESKASGHGRSNSNFVGKQDRATGAALTSRR >KJB52513 pep chromosome:Graimondii2_0_v6:8:54494109:54499233:-1 gene:B456_008G265800 transcript:KJB52513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASVAASRSGSLPMQSSSRKEWRAVSDNHVVRNPGDDVGLDRSKLGQSDERTLYEMQHGGEPADADFFPITVDGSLDVDILQQRIHDVARQREQLQQMEVELRAQAIARSSVLEMQSRYDAEIKAHANTAAKLEEQLRESEQTIHQLERKMEEKDRELHAIKVEKEEAWAKEDLLREQNKELATFRREHDHSEAERAQHIKQIHDLQEHVQEKERQIIELQEQYRAAQEAILFKDEQLREAQTWLSRVQEVDVLQSSTNHTLQAELRERTEQYNQLWHGCQRQFAEMERHHLHTVHQLQLELADARERKGTYSDESRLTQANSKDLPQFGQHNGNQVDSNGSGATNVNTGVISKGASASVQPFSGNASNLNQNDHVRSGPIAPLGMPAYLPPEQVTALQSFVMHQQGVPHSVVSHVGPYSMQAMSSVQQWQNQQVSSEGFQPSGPNQLPPSQTDQSLGRSDVSHECEISVDGQAICPDHVDHISQGSESISVISSSTGKAQVVESINSSYLVKPQSEPNLQQISSQFHDALKLGTLEQSCESKEQNMLNMKNHMLKDQDLTVEEGSTAASASLSPPDSSVQSVGSCETTISNGTGAILPKKSVTTEQTNILMPGKTSEAALLEERALLACIVRTIPPGGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVAVHPELFVIEDDYIRLREGAQEIIAATAAVAKVAAAAAASSPHSTFLPSVAVTPIAPPNRLKKGVPSVDSNHVRNENAVFKKQAAVSKNAADDHSQLLGMQKQQPNGISFGVAGSLSNVKILSKSKDPREINGANFERTSIESKASGHGRSNSNFVGKQDRATGAALTSRR >KJB51077 pep chromosome:Graimondii2_0_v6:8:48975909:48979479:1 gene:B456_008G204300 transcript:KJB51077 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFKPIEKEGSAKKPSLSPSKNGAENGDALPEVDVKKEPLKFLTWNANSLLLRVKSNWPEFSNFVSNLDPDVIAIQEVRMPAAGSKGAPKKPGELKDDTSPSREEKQILMRALSSPPFGNYHVWWALADTKYAGTALLVKKCLRPLKVSFSLDGTVSKHEPDGRVILAEFETLRILNTYAPNNGWKDEENSFQRRRKWDKRLLEFVVQSSDKPLIWCGDLNVSHEDIDVSHPEFFSAAKMNGYVPPNKEDCGQPGFTLAERKRFGAILKECVISLENPFFYG >KJB51074 pep chromosome:Graimondii2_0_v6:8:48975834:48981637:1 gene:B456_008G204300 transcript:KJB51074 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFKPIEKEGSAKKPSLSPSKNGAENGDALPEVDVKKEPLKFLTWNANSLLLRVKSNWPEFSNFVSNLDPDVIAIQEVRMPAAGSKGAPKKPGELKDDTSPSREEKQILMRALSSPPFGNYHVWWALADTKYAGTALLVKKCLRPLKVSFSLDGTVSKHEPDGRVILAEFETLRILNTYAPNNGWKDEENSFQRRRKWDKRLLEFVVQSSDKPLIWCGDLNVSHEDIDVSHPEFFSAAKMNGYVPPNKEGKVSRRV >KJB51075 pep chromosome:Graimondii2_0_v6:8:48975834:48981637:1 gene:B456_008G204300 transcript:KJB51075 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFKPIEKEGSAKKPSLSPSKNGAENGDALPEVDVKKEPLKFLTWNANSLLLRVKSNWPEFSNFVSNLDPDVIAIQEVRMPAAGSKGAPKKPGELKDDTSPSREEKQILMRALSSPPFGNYHVWWALADTKYAGTALLVKKCLRPLKVSFSLDGTVSKHEPDGRVILAEFETLRILNTYAPNNGWKDEENSFQRRRKWDKRLLEFVVQSSDKPLIWCGDLNVSHEDIDVSHPEFFSAAKMNGYVPPNKEDCGQPGFTLAERKRFGAILKEGRLVDAYRYLHKEKDMECGFSWSGNPIGKYRGKRMRIDYFIVSEKLKERVAECEIHGKGIELEGFYGSDHCPVSLQLSEGCKEDK >KJB51076 pep chromosome:Graimondii2_0_v6:8:48975909:48978750:1 gene:B456_008G204300 transcript:KJB51076 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFKPIEKEGSAKKPSLSPSKNGAENGDALPEVDVKKEPLKFLTWNANSLLLRVKSNWPEFSNFVSNLDPDVIAIQEVRMPAAGSKGAPKKPGELKDDTSPSREEKQILMRALSSPPFGNYHVWWALADTKYAGTALLVKKCLRPLKVSFSLDGTVSKHEPDGRVILAEFETLRILNTYAPNNGWKDEENSFQRRRKWDKRLLEFVVQSSDKPLIWCGDLNVSHEDIDVSHPEFFSAAKMNGYVPPNKEVINFFFFFSLRVKFDMNHL >KJB47521 pep chromosome:Graimondii2_0_v6:8:3651544:3655441:1 gene:B456_008G030300 transcript:KJB47521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTLSQPVERQVRIGAEKIDIYNSEDEKKKKLGSLKKKALSASSKFRHSMRKKSRRHSRVMSVVNIEDGLDSEELQAVDAFRQALILDELLPAKHDDHHMMLRFLRARKYDLDKAKLMWADMLQWRKEFGADTIMEDFDFKEYSEVVKYYPQGYHGVDKDGRPVYIERLGQVDVNKLIKVTTIDRYLKYHVKEFEKTFAVKFPAASIAAKKQINQSTTILDVEGVGLSSFNKSARELLQSLQKIDGDNYPETLNRMFIINAGPGFRMLWGTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDADQLPEFLGGTCNCADKGSCMVSDKGPWNDPDILKKVQNGQGKCTRKNITDVEEKTSEEDMKKSCHAKTATMPENPIKRKTQSSFACDKFMPLVDKGVHPSWPNAENFGMPKDCFQTKDVRMATQGMGTNIFGGIVALIMGIIALVRLSRKIPRRPTEPLLYGSQVYYANPMITGPAAQQAPPINDADIFAMMKRMAELEEKVTVLVDKPATLPPEKKEMLNTALSRVGTLEQELSVTKKALETAIDKQRELQTYIDKKKKKKKFNPFRWLR >KJB47522 pep chromosome:Graimondii2_0_v6:8:3651658:3654081:1 gene:B456_008G030300 transcript:KJB47522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTLSQPVERQVRIGAEKIDIYNSEDEKKKKLGSLKKKALSASSKFRHSMRKKSRRHSRVMSVVNIEDGLDSEELQAVDAFRQALILDELLPAKHDDHHMMLRFLRARKYDLDKAKLMWADMLQWRKEFGADTIMEDFDFKEYSEVVKYYPQGYHGVDKDGRPVYIERLGQVDVNKLIKVTTIDRYLKYHVKEFEKTFAVKFPAASIAAKKQINQSTTILDVEGVGLSSFNKSARELLQSLQKIDGDNYPETLNRMFIINAGPGFRMLWGTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDADQLPEFLGGTCNCADKGSCMVSDKGPWNDPDILKKVQNGQGKCTRKNITDVEEKTSEEDMKKSCHAKTATMPENPIKRKTQSSFACDKFMPLVDKGVHPSWPNAENFGMPKGRYFAFEYVFLSFKECSNNTIRL >KJB51707 pep chromosome:Graimondii2_0_v6:8:51498743:51503237:-1 gene:B456_008G228800 transcript:KJB51707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICTTNDLSAWKDFPKGLKVLLLDEDSNSASELKSKLEAMDYIVYTFCNENEALSAVSSRPESFHVAIVEINTNKKNGSFKFLETAKDLPTIMTSNIHCISTMMKCIALGAVEFLKKPLSEEKLRNIWQHVVRKAFNAVGTDLSESVKPVKESLVSMLYLQLENGEPKNKDLDKTQDASVIHENDPEPSTGSDKYPAPSTPQIEQGGRLSANGDCQDHANCSIEKESSEEDGESKSVETTSDNTIAEVTIPVGQPQGPRDTMVTEEADLVDGTKGKSTTYSQTENGVNSKNSQAVAEKPSTVSGIHSSCLNKANRKKSKVDWTPALHKKFVQAVDQLGIDQAIPSRILELMKIEGLTRHNVASHLQQKYRMHRKHILPKEDDRRWPQRDQTQKSCYPHKPIIAFPSHYSNHVVPVGPLYPMWGAPPYPSSIQMWGSQGYPLWQPTESWQWKPYPGVHADAWGCPVMPPPHGYSSAFTQVSSYQNASVFHCSGTMDNRSGMPQNSVEHQPAEEVIDKVVKEAINKPWLPLPLGLKPPSTDTVLAELSKQGISTFPPHINGLNSSCHGTT >KJB51708 pep chromosome:Graimondii2_0_v6:8:51498448:51504263:-1 gene:B456_008G228800 transcript:KJB51708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICTTNDLSAWKDFPKGLKVLLLDEDSNSASELKSKLEAMDYIVYTFCNENEALSAVSSRPESFHVAIVEINTNKKNGSFKFLETAKDLPTIMTSNIHCISTMMKCIALGAVEFLKKPLSEEKLRNIWQHVVRKAFNAVGTDLSESVKPVKESLVSMLYLQLENGEPKNKDLDKTQDASVIHENDPEPSTGSDKYPAPSTPQIEQGGRLSANGDCQDHANCSIEKESSEEDGESKSVETTSDNTIAEVTIPVGQPQGPRDTMVTEEADLVDGTKGKSTTYSQTENGVNSKNSQAVAEKPSTVSGIHSSCLNKANRKKSKVDWTPALHKKFVQAVDQLGIDQAIPSRILELMKIEGLTRHNVASHLQKYRMHRKHILPKEDDRRWPQRDQTQKSCYPHKPIIAFPSHYSNHVVPVGPLYPMWGAPPYPSSIQMWGSQGYPLWQPTESWQWKPYPGVHADAWGCPVMPPPHGYSSAFTQNASVFHCSGTMDNRSGMPQNSVEHQPAEEVIDKVVKEAINKPWLPLPLGLKPPSTDTVLAELSKQGISTFPPHINGLNSSCHGTT >KJB51706 pep chromosome:Graimondii2_0_v6:8:51498743:51503237:-1 gene:B456_008G228800 transcript:KJB51706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICTTNDLSAWKDFPKGLKVLLLDEDSNSASELKSKLEAMDYIVYTFCNENEALSAVSSRPESFHVAIVEINTNKKNGSFKFLETAKDLPTIMTSNIHCISTMMKCIALGAVEFLKKPLSEEKLRNIWQHVVRKAFNAVGTDLSESVKPVKESLVSMLYLQLENGEPKNKDLDKTQDASVIHENDPEPSTGSDKYPAPSTPQIEQGGRLSANGDCQDHANCSIEKESSEEDGESKSVETTSDNTIAEVTIPVGQPQGPRDTMVTEEADLVDGTKGKSTTYSQTENGVNSKNSQAVAEKPSTVSGIHSSCLNKANRKKSKVDWTPALHKKFVQAVDQLGIDQAIPSRILELMKIEGLTRHNVASHLQKYRMHRKHILPKEDDRRWPQRDQTQKSCYPHKPIIAFPSHYSNHVVPVGPLYPMWGAPPYPSSIQMWGSQGYPLWQPTESWQWKPYPGVHADAWGCPVMPPPHGYSSAFTQVSSYQNASVFHCSGTMDNRSGMPQNSVEHQPAEEVIDKVVKEAINKPWLPLPLGLKPPSTDTVLAELSKQGISTFPPHINGLNSSCHGTT >KJB48493 pep chromosome:Graimondii2_0_v6:8:12366274:12368065:-1 gene:B456_008G071600 transcript:KJB48493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIDASNQHEQNSKLEVLSSGTSPDAQRSVKTKAFSCVQRLAAIEKAHAVQIASAFESTENPVFMIVMQPSYVRGRMFIPSDFARKFLTVHKSNLTLCNSTGKTWHAKLYYPANKKPNAHLYGGWREFVEDNHLNVGDICVFELIKYPEILMKTRSSVQHCKMTRTSLSGSIQAKGIKHEKGKSLNFQYSTEELGGGLKNSAKGDSGRKSGARRCLKPDPVYQKRRACTGGGAFRTSNPSFSVVIHPSHVGSCSTVHIPEEFGKRYLKKSGEMMLRVADGRSWNVEYERRGRSKGRKAVFGGKSWGQFAMDNELEVGDVCVFELMNENGNLLEVVIHRKLLLVEIN >KJB48491 pep chromosome:Graimondii2_0_v6:8:12366274:12370086:-1 gene:B456_008G071600 transcript:KJB48491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIDASNQHEQNSKLEVLSSGTSPDAQRSVKTKAFSCVQRLAAIEKAHAVQIASAFESTENPVFMIVMQPSYVRGRMFIPSDFARKFLTVHKSNLTLCNSTGKTWHAKLYYPANKKPNAHLYGGWREFVEDNHLNVGDICVFELIKYPEILMKTRSSVQHCKMTRTSLSGSIQAKGIKHEKGKSLNFQYSTEELGGGLKNSAKGDSGRKSGARRCLKPDPVYQKRRACTGGGAFRTSNPSFSVVIHPSHVGSCSTVHIPEEFGKRYLKKSGEMMLRVADGRSWNVEYERRGRSKGRKAVFGGKSWGQFAMDNELEVGDVCVFELMNENGNLLEVVIHRKLLLVEIN >KJB48492 pep chromosome:Graimondii2_0_v6:8:12366274:12369530:-1 gene:B456_008G071600 transcript:KJB48492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSKTPHFFKVILQETLRDGILGIPRKFVRKYGNQLSSPVKLEVPSGAIWQVELAKTDERVRLQNGWREFAEHYSLELGSFVVFRYEGNDHFHVLIFDKSASETEYPHTSTEGNDVSRKSKEKSHLPCPLPQKKMRIDASNQHEQNSKLEVLSSGTSPDAQRSVKTKAFSCVQRLAAIEKAHAVQIASAFESTENPVFMIVMQPSYVRGRMFIPSDFARKFLTVHKSNLTLCNSTGKTWHAKLYYPANKKPNAHLYGGWREFVEDNHLNVGDICVFELIKYPEILMKTRSSVQHCKMTRTSLSGSIQAKGIKHEKGKSLNFQYSTEELGGGLKNSAKGDSGRKSGARRCLKPDPVYQKRRACTGGGAFRTSNPSFSVVIHPSHVGSCSTVHIPEEFGKRYLKKSGEMMLRVADGRSWNVEYERRGRSKGRKAVFGGKSWGQFAMDNELEVGDVCVFELMNENGNLLEVVIHRKLLLVEIN >KJB49976 pep chromosome:Graimondii2_0_v6:8:40154920:40155915:-1 gene:B456_008G147900 transcript:KJB49976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVGDVSSVGGASTVGVNNKQFFCHQCNRTVSITISPSSDPSCPLCNEGFLEEYENPNLNPGSAFHDPNPNFNPFSDPYLTMSDPVSSFLQLLFPSSSSSSPASVGSTRSGSGDPFAFDPFAFIQGHLSDLRSRGAQIEFVIQSNPSEPGFRIPVNIGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAMDTLPSVNISKHNLSSEFNQCAVCMDEFEDGTEAKQMPCKHLYHKDCIFPWLELHNSCPVCRHELPTDDPDYERRVRGAQGTGGGNDGSSSGLADNAQRSAGDNRTVERSFRISLPWPFRARGSGSGSGDNAETRQEDLD >KJB49974 pep chromosome:Graimondii2_0_v6:8:40152537:40156066:-1 gene:B456_008G147900 transcript:KJB49974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVGDVSSVGGASTVGVNNKQFFCHQCNRTVSITISPSSDPSCPLCNEGFLEEYENPNLNPGSAFHDPNPNFNPFSDPYLTMSDPVSSFLQLLFPSSSSSSPASVGSTRSGSGDPFAFDPFAFIQGHLSDLRSRGAQIEFVIQSNPSEPGFRIPVNIGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAMDTLPSVNISKHNLSSEFNQCAVCMDEFEDGTEAKQMPCKHLYHKDCIFPWLELHNSCPVCRHELPTDDPDYERRVRGAQGTGGGNDGSSSGLADNAQRSAGDNRTVERSFRISLPWPFRARGSGSGSGDNAETRQEDLD >KJB49973 pep chromosome:Graimondii2_0_v6:8:40154920:40155915:-1 gene:B456_008G147900 transcript:KJB49973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVGDVSSVGGASTVGVNNKQFFCHQCNRTVSITISPSSDPSCPLCNEGFLEEYENPNLNPGSAFHDPNPNFNPFSDPYLTMSDPVSSFLQLLFPSSSSSSPASVGSTRSGSGDPFAFDPFAFIQGHLSDLRSRGAQIEFVIQSNPSEPGFRIPVNIGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAMDTLPSVNISKHNLSSEFNQCAVCMDEFEDGTEAKQMPCKHLYHKDCIFPWLELHNSCPVCRHELPTDDPDYERRVRGAQGTGGGNDGSSSGLADNAQRSAGDNRTVERSFRISLPWPFRARGSGSGSGDNAETRQEDLD >KJB49975 pep chromosome:Graimondii2_0_v6:8:40154920:40155915:-1 gene:B456_008G147900 transcript:KJB49975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVGDVSSVGGASTVGVNNKQFFCHQCNRTVSITISPSSDPSCPLCNEGFLEEYENPNLNPGSAFHDPNPNFNPFSDPYLTMSDPVSSFLQLLFPSSSSSSPASVGSTRSGSGDPFAFDPFAFIQGHLSDLRSRGAQIEFVIQSNPSEPGFRIPVNIGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAMDTLPSVNISKHNLSSEFNQCAVCMDEFEDGTEAKQMPCKHLYHKDCIFPWLELHNSCPVCRHELPTDDPDYERRVRGAQGTGGGNDGSSSGLADNAQRSAGDNRTVERSFRISLPWPFRARGSGSGSGDNAETRQEDLD >KJB50962 pep chromosome:Graimondii2_0_v6:8:48018936:48021194:1 gene:B456_008G195300 transcript:KJB50962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTPTSDNRPEICFFDLETTVPQRRGQGYSILEFGAILVCPKRLLELHSYSSLVRPDDLSLISPASARCNGITREAVISAPSFSEIADEVHDLLHGRVWAGHNIVRFDCVRIREAFEKIGRAAPEPKGIIDSLALLTQRFGRRAGNMKMATLANYFGIGVQSHRSLDDVRMNLEVVKYCATVLFLESSLPDILTWNPQGSPISPSSDDGKSSPEQPSPNMHTLSSSPTSENVPNLSLAGVGNSEHHPRISLLTHHIGGANADVSNPVQPDPFNMSLLRNKIETEALQSDVTMEEKTEQESQDIDIAEGSSSYAGFLALDEVSLNSINASLVPYYRGTQRIKLLHENVGLQLFCPCLRVRFGVDGKFLDQGGWPRLSFVVDASLSLCKILNACDNAAKRIFEDCESSSEWKPIMGINYRYINNPTVRLHIPTVVNGNIARYAAEIHQKDSSGTVQKLVFSKFDAAELGNLIRGGIFVDAFFSLDTYDYLQNAGIRLVAKKLVIHSN >KJB47007 pep chromosome:Graimondii2_0_v6:8:749702:754185:-1 gene:B456_008G005900 transcript:KJB47007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVLRNPNSRRLLSFSSPIYWSCRGFISASNFSVSDLLFGNEEAAVHANANPWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETMKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNEEIGKKAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGTIKVGEEVEILGLTQGAPLKTTVTGVEMFKKILDQGQAGDNVGLLLRGLKRDDVQRGMVIAKPGSVKTYKRFEAEIYVLTKDEGGRHTAFESNYRPQFYLRTADVTGKVELPESVKMVLPGDNVTATFELISPVPLEAGQRFALREGGRTVGAGVVSKVLT >KJB47005 pep chromosome:Graimondii2_0_v6:8:750185:753954:-1 gene:B456_008G005900 transcript:KJB47005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVLRNPNSRRLLSFSSPIYWSCRGFISASNFSVSDLLFGNEEAAVHANANPWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETMKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNEEIGKKAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGTIKVGEEVEILGLTQGAPLKTTVTGVEMFKKILDQGQAGDNVGLLLRGLKRDDVQRGMVIAKPGSVKTYKRFEAEIYVLTKDEGGRHTAFESNYRPQFYLRTADVTGKVELPESVKMVLPGDNVTATFELISPVPLEAGQRFALREGGRTVGAGVVSKVLT >KJB47006 pep chromosome:Graimondii2_0_v6:8:749736:754081:-1 gene:B456_008G005900 transcript:KJB47006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVLRNPNSRRLLSFSSPIYWSCRGFISASNFSVSDLLFGNEEAAVHANANPWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAIAFDEIDKAPEEKKRGITIATNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNEEIGKKAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGTIKVGEEVEILGLTQGAPLKTTVTGVEMFKKILDQGQAGDNVGLLLRGLKRDDVQRGMVIAKPGSVKTYKRFEAEIYVLTKDEGGRHTAFESNYRPQFYLRTADVTGKVELPESVKMVLPGDNVTATFELISPVPLEAGQRFALREGGRTVGAGVVSKVLT >KJB51009 pep chromosome:Graimondii2_0_v6:8:48148549:48150131:1 gene:B456_008G197000 transcript:KJB51009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNCVAIVIGVTGLVGRELTKRLISKSKWKIYGVARQPEIIPIKSSSYHFIPCDLLDPFETKQKLSILHDVTHVFWVTWASQHPLDTAECCEENKAMLSNVLSAILPIAKGMKHFVLQTGMSHYVPVAVNGKTTHLFDEEWPRPKVSSNFYYVLEDTLHERLDGKVGWTVHRPGLLMGSSNRTLFNFIGSLCVYGTVCKHLNLPFVFGGTSECWEESYIDGSDARLVAEQQIWAATRNGDGVSSNGEAFNAINGSSFSWKEIWPILGKKFGVRVPKETCMEEFWYTRAMGDKKRVWEEIVEKERLVETKMEELANWQFMDILFRFRAKLLGSRAKVDGLSFTMRCNTLDSILYWIDVMRHENFIP >KJB48105 pep chromosome:Graimondii2_0_v6:8:7755170:7771354:-1 gene:B456_008G053700 transcript:KJB48105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRAAINENDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASTGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNISSAEMARDLAPDVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFMHPASSLLKEKHHGVLITGVQLCTDLCKVSSEALEYFRENCIEGLVKTLRDIANSPYSPEYDIAGITDPFLHIRLLKLLRILGQGDAGASDCMTDILAQVASRTESNKNAGNAILYECVETIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVGLNMLMKAIMVDAKAVQRHRATILECVKDSDASIQKRALELVYLLVNESNVKPLTKELIEYLEVSNQEFKGDITAKICSLVEKFSPAKIWYIDQMLKVLSEAGNFVKDEVWHALIIVISNASDLHGYTVRALYRVFQASTEQESLVRVAVWCVGEYGDMLVNNVGMLDIEEPITVTESDAVDAMEVAIKCQRLGLTTKAMALIALFKLSSRFPSCSERIKDIIVQNKRSLVLELQQRSIEFSCILQKHHNIRSALVERMPILDEATFTGRKAGSLPTAVSASSIIPCNLPNGIAKPAAAPIGDLLDLSSDDAPPAPVSSGGDFLQDLLGVDLSPASAPSGTSQSPKTGTDVLLDLLSIGTLPPVQSSSSAIDILSSSHDNKAPVANLNGLASHSSLSANATSPASSAGMMDLLNGTGAITQKHEENGPAYPSLVAYESSTLRMTFNFSKQPGNPQTTFIQATFTNLSPNVYNGFLFQAAVPKFLQLHLDPASSNTLPASGNGSITQCLKVTNTQHGKLTLTWDGIYVGFLGLGLL >KJB48108 pep chromosome:Graimondii2_0_v6:8:7754565:7771772:-1 gene:B456_008G053700 transcript:KJB48108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRAAINENDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASTGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNISSAEMARDLAPDVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFMHPASSLLKEKHHGVLITGVQLCTDLCKVSSEALEYFRENCIEGLVKTLRDIANSPYSPEYDIAGITDPFLHIRLLKLLRILGQGDAGASDCMTDILAQVASRTESNKNAGNAILYECVETIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVGLNMLMKAIMVDAKAVQRHRATILECVKDSDASIQKRALELVYLLVNESNVKPLTKELIEYLEVSNQEFKGDITAKICSLVEKFSPAKIWYIDQMLKVLSEAGNFVKDEVWHALIIVISNASDLHGYTVRALYRVFQASTEQESLVRVAVWCVGEYGDMLVNNVGMLDIEEPITVTESDAVDAMEVAIKCQRLGLTTKAMALIALFKLSSRFPSCSERIKDIIVQNKRSLVLELQQRSIEFSCILQKHHNIRSALVERMPILDEATFTGRKAGSLPTAVSASSIIPCNLPNGIAKPAAAPIGDLLDLSSDDAPPAPVSSGGDFLQDLLGVDLSPASAPSGTSQSPKTGTDVLLDLLSIGTLPPVQSSSSAIDILSSSHDNKAPVANLNGLASHSSLSANATSPASSAGMMDLLNGTGAITQKHEENGPAYPSLVAYESSTLRMTFNFSKQPGNPQTTFIQATFTNLSPNVYNGFLFQAAVPKFLQLHLDPASSNTLPASGNGSITQCLKVTNTQHGKLTLTWDGIYVGFLGLGLL >KJB48107 pep chromosome:Graimondii2_0_v6:8:7753318:7771772:-1 gene:B456_008G053700 transcript:KJB48107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRAAINENDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASTGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNISSAEMARDLAPDVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFMHPASSLLKEKHHGVLITGVQLCTDLCKVSSEALEYFRENCIEGLVKTLRDIANSPYSPEYDIAGITDPFLHIRLLKLLRILGQGDAGASDCMTDILAQVASRTESNKNAGNAILYECVETIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVGLNMLMKAIMVDAKAVQRHRATILECVKDSDASIQKRALELVYLLVNESNVKPLTKELIEYLEVSNQEFKGDITAKICSLVEKFSPAKIWYIDQMLKVLSEAGNFVKDEVWHALIIVISNASDLHGYTVRALYRVFQASTEQESLVRVAVWCVGEYGDMLVNNVGMLDIEEPITVTESDAVDAMEVAIKCQRLGLTTKAMALIALFKLSSRFPSCSERIKDIIVQNKRSLVLELQQRSIEFSCILQKHHNIRSALVERMPILDEATFTGRKAGSLPTAVSASSIIPCNLPNGIAKPAAAPIGDLLDLSSDDAPPAPVSSGGDFLQDLLGVDLSPASAPSGTSQSPKTGTDVLLDLLSIGTLPPVQSSSSAIDILSSSHDNKAPVANLNGLASHSSLSANATSPASSAGMMDLLNGTGAITQKHEENGPAYPSLVAYESSTLRMTFNFSKQPGNPQTTFIQATFTNLSPNVYNGFLFQAAVPKFLQLHLDPASSNTLPASGNGSITQCLKVTNTQHGKKSLVMRIRIAYKTNDKDVLEEGQINNFPRDL >KJB48104 pep chromosome:Graimondii2_0_v6:8:7753396:7771721:-1 gene:B456_008G053700 transcript:KJB48104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRAAINENDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASTGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNISSAEMARDLAPDVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFMHPASSLLKEKHHGVLITGVQLCTDLCKVSSEALEYFRENCIEGLVKTLRDIANSPYSPEYDIAGITDPFLHIRLLKLLRILGQGDAGASDCMTDILAQVASRTESNKNAGNAILYECVETIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVGLNMLMKAIMVDAKAVQRHRATILECVKDSDASIQKRALELVYLLVNESNVKPLTKELIEYLEVSNQEFKGDITAKICSLVEKFSPAKIWYIDQMLKVLSEAGNFVKDEVWHALIIVISNASDLHGYTVRALYRVFQASTEQESLVRVAVWCVGEYGDMLVNNVGMLDIEEPITVTESDAVDAMEVAIKCQRLGLTTKAMALIALFKLSSRFPSCSERIKDIIVQNKRSLVLELQQRSIEFSCILQKHHNIRSALVERMPILDEATFTGRKAGSLPTAVSASSIIPCNLPNGIAKPAAAPIGDLLDLSSDDAPPAPVSSGGDFLQDLLGVDLSPASAPSGTSQSPKTGTDVLLDLLSIGTLPPVQSSSSAIDILSSSHDNKAPVANLNGLASHSSLSANATSPASSAGMMDLLNGTGAITQKHEENGPAYPSLVAYESSTLRMTFNFSKQPGNPQTTFIQATFTNLSPNVYNGFLFQAAVPKFLQLHLDPASSNTLPASGNGSITQCLKVTNTQHGKKSLVMRIRIAYKTNDKDVLEEGQINNFPRDL >KJB48106 pep chromosome:Graimondii2_0_v6:8:7753522:7771721:-1 gene:B456_008G053700 transcript:KJB48106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPASSLLKEKHHGVLITGVQLCTDLCKVSSEALEYFRENCIEGLVKTLRDIANSPYSPEYDIAGITDPFLHIRLLKLLRILGQGDAGASDCMTDILAQVASRTESNKNAGNAILYECVETIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVGLNMLMKAIMVDAKAVQRHRATILECVKDSDASIQKRALELVYLLVNESNVKPLTKELIEYLEVSNQEFKGDITAKICSLVEKFSPAKIWYIDQMLKVLSEAGNFVKDEVWHALIIVISNASDLHGYTVRALYRVFQASTEQESLVRVAVWCVGEYGDMLVNNVGMLDIEEPITVTESDAVDAMEVAIKCQRLGLTTKAMALIALFKLSSRFPSCSERIKDIIVQNKRSLVLELQQRSIEFSCILQKHHNIRSALVERMPILDEATFTGRKAGSLPTAVSASSIIPCNLPNGIAKPAAAPIGDLLDLSSDDAPPAPVSSGGDFLQDLLGVDLSPASAPSGTSQSPKTGTDVLLDLLSIGTLPPVQSSSSAIDILSSSHDNKAPVANLNGLASHSSLSANATSPASSAGMMDLLNGTGAITQKHEENGPAYPSLVAYESSTLRMTFNFSKQPGNPQTTFIQATFTNLSPNVYNGFLFQAAVPKFLQLHLDPASSNTLPASGNGSITQCLKVTNTQHGKKSLVMRIRIAYKTNDKDVLEEGQINNFPRDL >KJB49675 pep chromosome:Graimondii2_0_v6:8:37929999:37932329:-1 gene:B456_008G133100 transcript:KJB49675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSAAKNPTPPDLYLFLQMANIFAINRCSSSVYQRFIVQFNSTQRFSSLSSHVSKSRFIKLNNLRRNCSLSSDAAAPFIVADDEKYGNKQVISITPRLYDYILANAREPPVLRQLREETANMRGSQMQVSPDQAQLLAMLVQILGAARCIELGVYTGYSSLAIALALPESGCLVACERDAKSLEVAKRYYELAGVSHKVSVKHGLAADVLKSMISNGETCSYDFAFVDAEKRMNQKYFELLLQLVRVGGVIVIDNVLWHGKVADPLVNDAKTVSIRNFNRNLMADDRVSISLVPIGDGMTICRKR >KJB49677 pep chromosome:Graimondii2_0_v6:8:37929685:37932302:-1 gene:B456_008G133100 transcript:KJB49677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIFAINRCSSSVYQRFIVQFNSTQRFSSLSSHVSKSRFIKLNNLRRNCSLSSDAAAPFIVADDEKYGNKQVISITPRLYDYILANAREPPVLRQLREETANMRGSQMQVSPDQAQLLAMLVQILGAARCIELGVYTGYSSLAIALALPESGCLVACERDAKSLEVAKRYYELAGVSHKVSVKHGLAADVLKSMISNGETCSYDFAFVDAEKRMNQKYFELLLQLVRVGGVIVIDNVLWHGKVADPLVNDAKTVSIRNFNRNLMADDRVPIGDGMTICRKR >KJB49676 pep chromosome:Graimondii2_0_v6:8:37929392:37932353:-1 gene:B456_008G133100 transcript:KJB49676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIFAINRCSSSVYQRFIVQFNSTQRFSSLSSHVSKSRFIKLNNLRRNCSLSSDAAAPFIVADDEKYGNKQVISITPRLYDYILANAREPPVLRQLREETANMRGSQMQVSPDQAQLLAMLVQILGAARCIELGVYTGYSSLAIALALPESGCLVACERDAKSLEVAKRYYELAGVSHKVSVKHGLAADVLKSMISNGETCSYDFAFVDAEKRMNQKYFELLLQLVRVGGVIVIDNVLWHGKVADPLVNDAKTVSIRNFNRNLMADDRVSISLARSKSIRPLNRRNHR >KJB46878 pep chromosome:Graimondii2_0_v6:8:36890200:36890658:-1 gene:B456_008G127700 transcript:KJB46878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKVYNVTQFLEDHPGGDEVLLAASGKDATNDFEDVGHSDDAREQMQKYYIGEVDATTIPARRMYKAQTSTAATQQEEPGFLFKIIQFLVPLLILGFAFGLQFLGKKEKTET >KJB46876 pep chromosome:Graimondii2_0_v6:8:36889888:36891261:-1 gene:B456_008G127700 transcript:KJB46876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEKVFVYEDLVKHKDRNDCWLLISRKVYNVTQFLEDHPGGDEVLLAASGKDATNDFEDVGHSDDAREQMQKYYIGEVDATTIPARRMYKAQTSTAATQQEEPGFLFKIIQFLVPLLILGFAFGLQFLGKKEKTET >KJB46879 pep chromosome:Graimondii2_0_v6:8:36889888:36891269:-1 gene:B456_008G127700 transcript:KJB46879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEKVFVYEDLVKHKDRNDCWLLISRKVYNVTQFLEDHPGGDEVLLAASGKDATNDFEDVGHSDDAREQMQKYYIGEVDATTIPARRMYKAQTSTAATQQEEPGFLFKIIQFLVPLLILGFAFGLQFLGKKEKTET >KJB46877 pep chromosome:Graimondii2_0_v6:8:36889888:36891261:-1 gene:B456_008G127700 transcript:KJB46877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCLLLVVRYATNDFEDVGHSDDAREQMQKYYIGEVDATTIPARRMYKAQTSTAATQQEEPGFLFKIIQFLVPLLILGFAFGLQFLGKKEKTET >KJB48038 pep chromosome:Graimondii2_0_v6:8:7178401:7181257:1 gene:B456_008G051300 transcript:KJB48038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g57430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57430) UniProtKB/Swiss-Prot;Acc:Q7Y211] MSSPFLYSPPPTSIPSLQTHQSIPTTTHSLTRQSWTQSLRANTQSNQFHQAILTYVNMTSSGILPDHFAFPAILKAVAALQHLPLANQIHAHVLKYGYGTSFVPVANSLLNVYGKCGDISDVYKVFERIPQSHRDTVSWNSFISALCRFEDWETALEAFRLMLLDDVEPSSFTLVSIAHACSNLPRHHGLRLGKQLHGYSLRMGDIKTFTNNALMAMYSKLGHLNDAKVVFELFEERDLVSWNTMLSSLSQNDMFLEALLLLHRMVLQGLKPDGVTIASVLPACSHLELLEVGKQLHAYALRHDILIDNSFVASALVDMYCNCRKVHSGRRVFDYATEKKTALWNAMITGYAQNEFDEEALMLFIEMEAAAGLCPNATTMASIVPACVRSEAFVHKLGIHGYVLKRGLGTDHYVQNALMDLYSRMGNIQIAKTIFDNMDVRDIVSWNTMITGYVICGQHNNALLLLHEMQRVDQEKNESSYEHEKRIPLKPNSITLMTVLPGCATLAALAKGKEIHAYAIRNMLASDVGVGSALVDMYAKCGCLNTSRKVFDTIPCRNLITWNVIIMAYGMHGKGAEALELFNCMVKEVKPNKVTFIAIFAACSHSGMVREGQNLFYRMKDEYGVEPTADHYACIVDLLGRAGQVEEAYQLINDMPLELDKTGAWSSLLGSCRIHQKVEIGEIAARNLFHLEPDVASHYVLLSNIYSSAQLWDKATDIRKRMKEMGVKKEPGCSWIEFDDEVHKFIAGDASHPQSGQLYGFLEILSEKMRKEGYVPDTSCVLHNVDEEEKETLLCGHSEKLAIVFGILNSPPGTTIRVAKNLRVCNDCHEATKYISRITDREIILRDVRRFHQFRDGRCSCGDYW >KJB53283 pep chromosome:Graimondii2_0_v6:8:15247029:15249651:-1 gene:B456_008G079200 transcript:KJB53283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEEILICSLLSVLILWVATKLITSTHKNHPPSPLALPILGHLHLLKEPLHRTLFTLSQKHGPIFSLKLGSRFLVVVSSPSTVQECFTKNDIVLANRPRFIMGKYVGYNYTTLGLAPYGDHWRNLRRLSTIDIFSSTRLNMSLDIRRDEVSRLLRRLYQVSADGFAKVELKSVFSELTFNIIMRMMAGKRYFGDEATQNSDEGRRFRKMIKELFELAVSSYPGDFLPILQLVDYDGYIKRIKDLGSKTDELMQGMIHEHRSKKGDLNIKNTMITHLLSMQESQPEYYTDEIIKGLIQVILNAGTDTTAITLEWAMSNLLNNPHVLEKARAELDKLVGQEKLVEEADVAKLPYLQSIISETLRLYPAAPLLVPHSASEICSIEGYEIPKDAIVMVNAWAIQRDPNLWDDALSFKPERFEEGKEMSDQIYKLMPFGLGRRACPGMVLAQHVLGLTLGALIQCFEWERVSEKKIDMTDGQGLTMPKVQPLEAMCKASQLGKKLLCF >KJB51824 pep chromosome:Graimondii2_0_v6:8:51938333:51940394:1 gene:B456_008G233100 transcript:KJB51824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVDTYTKGQQLVKEHNQANPSKCHTNYLYKAFVVIIFLVIIPLFPSQAPEFINQSLLTRSWELLHLLFVGIAVSYGLFSRRNDETEKEHNNNYNNQSKFDSVQSFVSRFLQVSSVFDDEAETLSGSDETKVQTWSSLYHRNEPPVIVAKEHAVLDDNTSSSPRNPEKPLLLPVRSLRSRVLNETGRGNSANSNSLSRSNSGLSSKRFSTKGTNGELRGSDQETLVEKWSDNNVVLPSPIPWRSRSGRMEMKEDIESRSFKRSQSNRLSRSNSMSSSPKKSVSPPPPSTESQAKSAEDFVKKKSMYRSPPSPPPPPPMIHKPSSLKPVSPLTRNGEIRNGINGRAVRFDQTSSRTEKLVMQNPTFMEFPQQENAGKFVVETSDDDSESEGETPPIVSSTETRPNNEEANQSSGCIDGGSDVDKKADEFIAKVREQIRLQRIDSIKRSSGQFKRSSTSC >KJB49699 pep chromosome:Graimondii2_0_v6:8:38105656:38106383:1 gene:B456_008G134000 transcript:KJB49699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATSSSSPSSSFESSTNYHHFAASSASSHMDFTTDLRLGLSISASPREQPPDWQPQVKLRQAYGEEEHECNSATFFVKVYMEGIPIGRKLDLLAHDNYYELIRTLQHMFNTNIIWAEAEVDGDHYEKYHVLTYEDKEGDWMMVGDVPWE >KJB49700 pep chromosome:Graimondii2_0_v6:8:38105656:38106783:1 gene:B456_008G134000 transcript:KJB49700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATSSSSPSSSFESSTNYHHFAASSASSHMDFTTDLRLGLSISASPREQPPDWQPQVKLRQAYGEEEHECNSATFFVKVYMEGIPIGRKLDLLAHDNYYELIRTLQHMFNTNIIWAEAEVDGDHYEKYHVLTYEDKEGDWMMVGDVPWEMFLSAVRRLKISKC >KJB48186 pep chromosome:Graimondii2_0_v6:8:8319217:8321068:1 gene:B456_008G055900 transcript:KJB48186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCNLQQNATVSAYEELRGFISITDHQKGGAVVCTKPRRIEVLANNPTKPLRLHMSHQAEVSDSKAGADLLDIILNKEDLGTEEEQSIASSPPFFCGSPPSRAANPLVQDARFGDERLAQALSTLQIPSPSSPSSLARKGGCVRMKFGLKPAAVRVEGFDCLNRDRQNSIPATA >KJB48187 pep chromosome:Graimondii2_0_v6:8:8319436:8321035:1 gene:B456_008G055900 transcript:KJB48187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCNLQQNATVSAYEELRGFISITDHQKGGAVVCTKPRRIEVLANNPTKPLRLHMSHQAEVSDSKAGADLLDIILNKEDLGTEEEQSIASSPPFFCGSPPSRAANPLVQDARFGDERLAQALSTLQIPSPSSPSSLARKGGCVRMKFGLKPAAVRVEGFDCLNRDRQNSIPATA >KJB51929 pep chromosome:Graimondii2_0_v6:8:52570763:52572301:1 gene:B456_008G238800 transcript:KJB51929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKLGVKKGPWTAEEDQKLINFILTNGQCCWRALPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLSEAEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLVKMGIDPVTHEPLNKQSKTEQSPSHGHNSADNHITENDGTAANSSEDNSSTTPTENCSTTDDSILLDSICNDESLLTSLWLDEPPLADDSWNSTVPAAETCNDQTSLPSWEDSIAWLLDCQDFGIHDFGFDCLNDNELNTTNTYAKQ >KJB51928 pep chromosome:Graimondii2_0_v6:8:52570763:52572329:1 gene:B456_008G238800 transcript:KJB51928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKLGVKKGPWTAEEDQKLINFILTNGQCCWRALPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLSEAEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLVKMGIDPVTHEPLNKQSKTEQSPSHGHNSADNHITENDGTAANSSEDNSSTTPTENCSTTDDSILLDSICNDESLLTSLWLDEPPLADDSWNSTVPAAETCNDQTSLPSWEDSIAWLLDCQDFGIHDFGFDCLNDNELNTTNTYAKQ >KJB51739 pep chromosome:Graimondii2_0_v6:8:51597276:51599403:1 gene:B456_008G229800 transcript:KJB51739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNVLLLNRSGQEGHEFSLQQQGGGVYIDQSHMFFNSNNNNNNPRKRGREMAAVATTAPMNSFSLQTQPPQFIELSQLQRPNVISTGLRLSFGDQQHLHQNQNQNQNQSYEHQHQNLVSSSTDFLSITSDELATQIKRQREELDQFLQAQGEALRRTLAEKRHRHFRALLGAAEESVARRLRDKEAEVEKAKRRNAELEARVAQLNVESQVWQAKARAQEATAASLQAQLQQAIMSGGAAASMQDSRRGEEGVKCGEGQAVDAESAYVDPDRVVASWGPACKACRKRLASVVLLPCRHLCLCTECDRVAQACPLCLTARNSSVEAFLS >KJB51740 pep chromosome:Graimondii2_0_v6:8:51597276:51599403:1 gene:B456_008G229800 transcript:KJB51740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNVLLLNSGQEGHEFSLQQQGGGVYIDQSHMFFNSNNNNNNPRKRGREMAAVATTAPMNSFSLQTQPPQFIELSQLQRPNVISTGLRLSFGDQQHLHQNQNQNQNQSYEHQHQNLVSSSTDFLSITSDELATQIKRQREELDQFLQAQGEALRRTLAEKRHRHFRALLGAAEESVARRLRDKEAEVEKAKRRNAELEARVAQLNVESQVWQAKARAQEATAASLQAQLQQAIMSGGAAASMQDSRRGEEGVKCGEGQAVDAESAYVDPDRVVASWGPACKACRKRLASVVLLPCRHLCLCTECDRVAQACPLCLTARNSSVEAFLS >KJB51741 pep chromosome:Graimondii2_0_v6:8:51597499:51599193:1 gene:B456_008G229800 transcript:KJB51741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNVLLLNSNNNNNNPRKRGREMAAVATTAPMNSFSLQTQPPQFIELSQLQRPNVISTGLRLSFGDQQHLHQNQNQNQNQSYEHQHQNLVSSSTDFLSITSDELATQIKRQREELDQFLQAQGEALRRTLAEKRHRHFRALLGAAEESVARRLRDKEAEVEKAKRRNAELEARVAQLNVESQVWQAKARAQEATAASLQAQLQQAIMSGGAAASMQDSRRGEEGVKCGEGQAVDAESAYVDPDRVVASWGPACKACRKRLASVVLLPCRHLCLCTECDRVAQACPLCLTARNSSVEAFLS >KJB51742 pep chromosome:Graimondii2_0_v6:8:51597507:51599193:1 gene:B456_008G229800 transcript:KJB51742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNSNNNNNNPRKRGREMAAVATTAPMNSFSLQTQPPQFIELSQLQRPNVISTGLRLSFGDQQHLHQNQNQNQNQSYEHQHQNLVSSSTDFLSITSDELATQIKRQREELDQFLQAQGEALRRTLAEKRHRHFRALLGAAEESVARRLRDKEAEVEKAKRRNAELEARVAQLNVESQVWQAKARAQEATAASLQAQLQQAIMSGGAAASMQDSRRGEEGVKCGEGQAVDAESAYVDPDRVVASWGPACKACRKRLASVVLLPCRHLCLCTECDRVAQACPLCLTARNSSVEAFLS >KJB52260 pep chromosome:Graimondii2_0_v6:8:53615909:53622854:-1 gene:B456_008G252600 transcript:KJB52260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPA1-RELATED 2 [Source:Projected from Arabidopsis thaliana (AT4G11110) UniProtKB/Swiss-Prot;Acc:Q9T014] MDEEIIEEVAPIDAAEGAHLQGKEVEYLVKSDNCNVLVSQEMVIPVEVNASFRVLGDVLEGKNALEHGCTSPCTYNDENDMVEELTLRNYNGSNIPVVGTSNYREKTQMRQSRWQHLYQLGSGSGSGGSCGKMDNSQAMPSMPLDARCASFPEILGHKPLSDGQTEAAAQLIGGENNEVSGSQQSHGGIKTKILSKSGFSEFFVKTTLKGKGIICRGPSHDASRVDLRHRNNTKSTGQTMVAPIPPVKPAGSPVVASNTSLILDNRAVVTSPNGIIVPRAGERDHDGINLREWLKVQSHKANKAECLYIFRQIVDLVDYSHSQGAILHDLRPSCFKLLQANQVKYIGSGVQKGLLDTMWDKDSSPSENFMTRRRPMKQGMISSIGLCAKKQKINENTNLTRWPLFHSRANLKNETINTQFSHNGSSEHCPNTQFSNFGSSHSSNSAQHQSVSVNEQLEEKWYASPEDINEALLCQFESERGHAAAMLDLRHRIFPPTFLSENLKEAGFCLRLLHPEPSLRPTTRDILQSEVLNGFQEVFAEELSSSINQDDTESELLLHFLGLSKEQKQKHASKLMEDIACLEADIKEVEKRRHFSRKPFTYSSINARECRHHSKEPPISEMHLSLYPFSSDNEMRLMRNINQLESAYFSMRSRVPFHETDSMRRPDKDLLKNRDNGHLTQNNEEIPNPPDCLGAFFDGLCKYARYSKFEVRGIMRSGEFNNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFNALFNDSVDVHYPVIEMLNKSKLSCVCWNNYIKNYLASTDYDGLVKLWDASTGQAISHYIEHEKRAWSVDFSQVYPTKLASGSDDCSVKLWSINEMNCLGTIRNIANVCCVQFSAHSPHLLAFGSADYKTYCYDLRNARAPWCVLDGHDKAVSYVKFLDSETVVTASTDNTLKLWDLNKTSSGGLSSNACSLTFSGHTNEKNFVGLSVVDGFIACGSETNEVYAYYRSLPMPITSHKFGSIDPISGKDTDDDNGLFVSSVCWRGKSDMVVAANSSGCIKVLQMV >KJB52259 pep chromosome:Graimondii2_0_v6:8:53615909:53622854:-1 gene:B456_008G252600 transcript:KJB52259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPA1-RELATED 2 [Source:Projected from Arabidopsis thaliana (AT4G11110) UniProtKB/Swiss-Prot;Acc:Q9T014] MDEEIIEEVAPIDAAEGAHLQGKEVEYLVKSDNCNVLVSQEMVIPVEVNASFRVLGDVLEGKNALEHGCTSPCTYNDENDMVEELTLRNYNGSNIPVVGTSNYREKTQMRQSRWQHLYQLGSGSGSGGSCGKMDNSQAMPSMPLDARCASFPEILGHKPLSDGQTEAAAQLIGGENNEVSGSQQSHGGIKTKILSKSGFSEFFVKTTLKGKGIICRGPSHDASRVDLRHRNNTKSTGQTMVAPIPPVKPAGSPVVASNTSLILDNRAVVTSPNGIIVPRAGERDHDGINLREWLKVQSHKANKAECLYIFRQIVDLVDYSHSQGAILHDLRPSCFKLLQANQVKYIGSGVQKGLLDTMWDKDSSPSENFMTRRRPMKQGMISSIGLCAKKQKINENTNLTRWPLFHSRANLKNETINTQFSHNGSSEHCPNTQFSNFGSSHSSNSAQHQSVSVNEQLEEKWYASPEDINEAVCTILSNIYSLGVLLFELLCQFESERGHAAAMLDLRHRIFPPTFLSENLKEAGFCLRLLHPEPSLRPTTRDILQSEVLNGFQEVFAEELSSSINQDDTESELLLHFLGLSKEQKQKHASKLMEDIACLEADIKEVEKRRHFSRKPFTYSSINARECRHHSKEPPISEMHLSLYPFSSDNEMRLMRNINQLESAYFSMRSRVPFHETDSMRRPDKDLLKNRDNGHLTQNNEEIPNPPDCLGAFFDGLCKYARYSKFEVRGIMRSGEFNNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFNALFNDSVDVHYPVIEMLNKSKLSCVCWNNYIKNYLASTDYDGLVKLWDASTGQAISHYIEHEKRAWSVDFSQVYPTKLASGSDDCSVKLWSINEMNCLGTIRNIANVCCVQFSAHSPHLLAFGSADYKTYCYDLRNARAPWCVLDGHDKAVSYVKFLDSETVVTASTDNTLKLWDLNKTSSGGLSSNACSLTFSGHTNEKNFVGLSVVDGFIACGSETNEITAYANNFS >KJB52257 pep chromosome:Graimondii2_0_v6:8:53615905:53622902:-1 gene:B456_008G252600 transcript:KJB52257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPA1-RELATED 2 [Source:Projected from Arabidopsis thaliana (AT4G11110) UniProtKB/Swiss-Prot;Acc:Q9T014] MDEEIIEEVAPIDAAEGAHLQGKEVEYLVKSDNCNVLVSQEMVIPVEVNASFRVLGDVLEGKNALEHGCTSPCTYNDENDMVEELTLRNYNGSNIPVVGTSNYREKTQMRQSRWQHLYQLGSGSGSGGSCGKMDNSQAMPSMPLDARCASFPEILGHKPLSDGQTEAAAQLIGGENNEVSGSQQSHGGIKTKILSKSGFSEFFVKTTLKGKGIICRGPSHDASRVDLRHRNNTKSTGQTMVAPIPPVKPAGSPVVASNTSLILDNRAVVTSPNGIIVPRAGERDHDGINLREWLKVQSHKANKAECLYIFRQIVDLVDYSHSQGAILHDLRPSCFKLLQANQVKYIGSGVQKGLLDTMWDKDSSPSENFMTRRRPMKQGMISSIGLCAKKQKINENTNLTRWPLFHSRANLKNETINTQFSHNGSSEHCPNTQFSNFGSSHSSNSAQHQSVSVNEQLEEKWYASPEDINEAVCTILSNIYSLGVLLFELLCQFESERGHAAAMLDLRHRIFPPTFLSENLKEAGFCLRLLHPEPSLRPTTRDILQSEVLNGFQEVFAEELSSSINQDDTESELLLHFLGLSKEQKQKHASKLMEDIACLEADIKEVEKRRHFSRKPFTYSSINARECRHHSKEPPISEMHLSLYPFSSDNEMRLMRNINQLESAYFSMRSRVPFHETDSMRRPDKDLLKNRDNGHLTQNNEEIPNPPDCLGAFFDGLCKYARYSKFEVRGIMRSGEFNNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFNALFNDSVDVHYPVIEMLNKSKLSCVCWNNYIKNYLASTDYDGLVKLWDASTGQAISHYIEHEKRAWSVDFSQVYPTKLASGSDDCSVKLWSINEMNCLGTIRNIANVCCVQFSAHSPHLLAFGSADYKTYCYDLRNARAPWCVLDGHDKAVSYVKFLDSETVVTASTDNTLKLWDLNKTSSGGLSSNACSLTFSGHTNEKNFVGLSVVDGFIACGSETNEVYAYYRSLPMPITSHKFGSIDPISGKDTDDDNGLFVSSVCWRGKSDMVVAANSSGCIKVLQMV >KJB52258 pep chromosome:Graimondii2_0_v6:8:53616591:53622116:-1 gene:B456_008G252600 transcript:KJB52258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPA1-RELATED 2 [Source:Projected from Arabidopsis thaliana (AT4G11110) UniProtKB/Swiss-Prot;Acc:Q9T014] MDEEIIEEVAPIDAAEGAHLQGKEVEYLVKSDNCNVLVSQEMVIPVEVNASFRVLGDVLEGKNALEHGCTSPCTYNDENDMVEELTLRNYNGSNIPVVGTSNYREKTQMRQSRWQHLYQLGSGSGSGGSCGKMDNSQAMPSMPLDARCASFPEILGHKPLSDGQTEAAAQLIGGENNEVSGSQQSHGGIKTKILSKSGFSEFFVKTTLKGKGIICRGPSHDASRVDLRHRNNTKSTGQTMVAPIPPVKPAGSPVVASNTSLILDNRAVVTSPNGIIVPRAGERDHDGINLREWLKVQSHKANKAECLYIFRQIVDLVDYSHSQGAILHDLRPSCFKLLQANQVKYIGSGVQKGLLDTMWDKDSSPSENFMTRRRPMKQGMISSIGLCAKKQKINENTNLTRWPLFHSRANLKNETINTQFSHNGSSEHCPNTQFSNFGSSHSSNSAQHQSVSVNEQLEEKWYASPEDINEAVCTILSNIYSLGVLLFELLCQFESERGHAAAMLDLRHRIFPPTFLSENLKEAGFCLRLLHPEPSLRPTTRDILQSEVLNGFQEVFAEELSSSINQDDTESELLLHFLGLSKEQKQKHASKLMEDIACLEADIKEVEKRRHFSRKPFTYSSINARECRHHSKEPPISEMHLSLYPFSSDNEMRLMRNINQLESAYFSMRSRVPFHETDSMRRPDKDLLKNRDNGHLTQNNEEIPNPPDCLGAFFDGLCKYARYSKFEVRGIMRSGEFNNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFNALFNDSVDVHYPVIEMLNKSKLSCVCWNNYIKNYLASTDYDGLVKLWDASTGQAISHYIEHEKRAWSVDFSQVYPTKLASGSDDCSVKLWSINEMNCLGTIRNIANVCCVQFSAHSPHLLAFGSADYKTYCYDLRNARAPWCVLDGHDKAVSYVKFLDSETVVTASTDNTLKLWDLNKTSSGGLSSNACSLTFSGHTNEKNFVGLSVVDGFIACGSETNEVYAYYRSLPMPITSHKFGSIDPISGKDTDDDNGLFVSSVCWRGKSDMVVAANSSGCIKVLQMV >KJB52262 pep chromosome:Graimondii2_0_v6:8:53617659:53622854:-1 gene:B456_008G252600 transcript:KJB52262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPA1-RELATED 2 [Source:Projected from Arabidopsis thaliana (AT4G11110) UniProtKB/Swiss-Prot;Acc:Q9T014] MDEEIIEEVAPIDAAEGAHLQGKEVEYLVKSDNCNVLVSQEMVIPVEVNASFRVLGDVLEGKNALEHGCTSPCTYNDENDMVEELTLRNYNGSNIPVVGTSNYREKTQMRQSRWQHLYQLGSGSGSGGSCGKMDNSQAMPSMPLDARCASFPEILGHKPLSDGQTEAAAQLIGGENNEVSGSQQSHGGIKTKILSKSGFSEFFVKTTLKGKGIICRGPSHDASRVDLRHRNNTKSTGQTMVAPIPPVKPAGSPVVASNTSLILDNRAVVTSPNGIIVPRAGERDHDGINLREWLKVQSHKANKAECLYIFRQIVDLVDYSHSQGAILHDLRPSCFKLLQANQVKYIGSGVQKGLLDTMWDKDSSPSENFMTRRRPMKQGMISSIGLCAKKQKINENTNLTRWPLFHSRANLKNETINTQFSHNGSSEHCPNTQFSNFGSSHSSNSAQHQSVSVNEQLEEKWYASPEDINEAVCTILSNIYSLGVLLFELLCQFESERGHAAAMLDLRHRIFPPTFLSENLKEAGFCLRLLHPEPSLRPTTRDILQSEVLNGFQEVFAEELSSSINQDDTESELLLHFLGLSKEQKQKHASKLMEDIACLEADIKEVEKRRHFSRKPFTYSSINARECRHHSKEPPISEMHLSLYPFSSDNEMRLMRNINQLESAYFSMRSRVPFHETDSMRRPDKDLLKNRDNGHLTQNNEEIPNPPDCLGAFFDGLCKYARYSKFEVRGIMRSGEFNNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFNALFNDSVDVHYPVIEMLNKSKLSCVCWNNYIKNYLASTDYDGLVKLWDASTGQAISHYIEHEKRAWSVDFSQVYPTKLASGSDDCSVKLWSINEVEQQKEYKCRSWISYPG >KJB52261 pep chromosome:Graimondii2_0_v6:8:53617466:53622116:-1 gene:B456_008G252600 transcript:KJB52261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPA1-RELATED 2 [Source:Projected from Arabidopsis thaliana (AT4G11110) UniProtKB/Swiss-Prot;Acc:Q9T014] MDEEIIEEVAPIDAAEGAHLQGKEVEYLVKSDNCNVLVSQEMVIPVEVNASFRVLGDVLEGKNALEHGCTSPCTYNDENDMVEELTLRNYNGSNIPVVGTSNYREKTQMRQSRWQHLYQLGSGSGSGGSCGKMDNSQAMPSMPLDARCASFPEILGHKPLSDGQTEAAAQLIGGENNEVSGSQQSHGGIKTKILSKSGFSEFFVKTTLKGKGIICRGPSHDASRVDLRHRNNTKSTGQTMVAPIPPVKPAGSPVVASNTSLILDNRAVVTSPNGIIVPRAGERDHDGINLREWLKVQSHKANKAECLYIFRQIVDLVDYSHSQGAILHDLRPSCFKLLQANQVKYIGSGVQKGLLDTMWDKDSSPSENFMTRRRPMKQGMISSIGLCAKKQKINENTNLTRWPLFHSRANLKNETINTQFSHNGSSEHCPNTQFSNFGSSHSSNSAQHQSVSVNEQLEEKWYASPEDINEAVCTILSNIYSLGVLLFELLCQFESERGHAAAMLDLRHRIFPPTFLSENLKEAGFCLRLLHPEPSLRPTTRDILQSEVLNGFQEVFAEELSSSINQDDTESELLLHFLGLSKEQKQKHASKLMEDIACLEADIKEVEKRRHFSRKPFTYSSINARECRHHSKEPPISEMHLSLYPFSSDNEMRLMRNINQLESAYFSMRSRVPFHETDSMRRPDKDLLKNRDNGHLTQNNEEIPNPPDCLGAFFDGLCKYARYSKFEVRGIMRSGEFNNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFNALFNDSVDVHYPVIEMLNKSKLSCVCWNNYIKNYLASTDYDGLVKLWDASTGQAISHYIEHEKRAWSVDFSQVYPTKLASGSDDCSVKLWSINEVGYEFELFLHKHNDLPSFKVP >KJB51183 pep chromosome:Graimondii2_0_v6:8:49237853:49238511:1 gene:B456_008G207300 transcript:KJB51183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAPITVVLKVHMHCEACAQEIKKRIQRMKGVESAEPDLKSSEVTVKGVFEPPKLVAYVYKRTGKHALIMKEEATETDKKEGEEKEKAEDGNKEEKKGEEKEGGEEVKKEAGGEEDNKDKKEEGDQSTEAKASAAEAAAEGAMEETKVAVEVKKNEYYYYPPRYATEFYAYPPQIFSDENPNACTVM >KJB51186 pep chromosome:Graimondii2_0_v6:8:49236970:49238723:1 gene:B456_008G207300 transcript:KJB51186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEKKPADEKKTEEQVSEKDGKKGDEEEVKQAEKPVEEKKAEESKEETPPPPPQEIILKVYMHCEGCARKVRRCLKGFEGVEDVMTDYKSNKVVVKGEKADPLKVLERVQRKSHRKVELLSPVPKPPSPEEKQPEEKEKPKPEAKIEKPAPITVVLKVHMHCEACAQEIKKRVESAEPDLKSSEVTVKGVFEPPKLVAYVYKRTGKHALIMKEEATETDKKEGEEKEKAEDGNKEEKKGEEKEGGEEVKKEAGGEEDNKDKKEEGDQSTEAKASAAEAAAEGAMEETKVAVEVKKNEYYYYPPRYATEFYAYPPQIFSDENPNACTVM >KJB51185 pep chromosome:Graimondii2_0_v6:8:49236970:49238723:1 gene:B456_008G207300 transcript:KJB51185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEKKPADEKKTEEQVSEKDGKKGDEEEVKQAEKPVEEKKAEESKEETPPPPPQEIILKVYMHCEGCARKVRRCLKGFEGVEDVMTDYKSNKVVVKGEKADPLKVLERVQRKSHRKVELLSPVPKPPSPEEKQPEEKEKPKPEAKIEKPAPITVVLKVHMHCEACAQEIKKRIQRMKGVFEPPKLVAYVYKRTGKHALIMKEEATETDKKEGEEKEKAEDGNKEEKKGEEKEGGEEVKKEAGGEEDNKDKKEEGDQSTEAKASAAEAAAEGAMEETKVAVEVKKNEYYYYPPRYATEFYAYPPQIFSDENPNACTVM >KJB51184 pep chromosome:Graimondii2_0_v6:8:49237512:49238511:1 gene:B456_008G207300 transcript:KJB51184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMVFFFFLVGFVGVEDVMTDYKSNKVVVKGEKADPLKVLERVQRKSHRKVELLSPVPKPPSPEEKQPEEKEKPKPEAKIEKPAPITVVLKVHMHCEACAQEIKKRIQRMKGVESAEPDLKSSEVTVKGVFEPPKLVAYVYKRTGKHALIMKEEATETDKKEGEEKEKAEDGNKEEKKGEEKEGGEEVKKEAGGEEDNKDKKEEGDQSTEAKASAAEAAAEGAMEETKVAVEVKKNEYYYYPPRYATEFYAYPPQIFSDENPNACTVM >KJB51182 pep chromosome:Graimondii2_0_v6:8:49236747:49238771:1 gene:B456_008G207300 transcript:KJB51182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEKKPADEKKTEEQVSEKDGKKGDEEEVKQAEKPVEEKKAEESKEETPPPPPQEIILKVYMHCEGCARKVRRCLKGFEGVEDVMTDYKSNKVVVKGEKADPLKVLERVQRKSHRKVELLSPVPKPPSPEEKQPEEKEKPKPEAKIEKPAPITVVLKVHMHCEACAQEIKKRIQRMKGVESAEPDLKSSEVTVKGVFEPPKLVAYVYKRTGKHALIMKEEATETDKKEGEEKEKAEDGNKEEKKGEEKEGGEEVKKEAGGEEDNKDKKEEGDQSTEAKASAAEAAAEGAMEETKVAVEVKKNEYYYYPPRYATEFYAYPPQIFSDENPNACTVM >KJB48323 pep chromosome:Graimondii2_0_v6:8:10142969:10146407:-1 gene:B456_008G063500 transcript:KJB48323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESSLAAYSLSSTDQISTISQRSETVDPVLEKLKSLRITSPILTTPPTDGSLTDILVRRPSSSSSQVAVNPKVFLELLSVYRDWQEEKAQTICKKQEDIEYKIEVADALATSPKFKPLSFPNEDCFTTFSRSLGSLKKG >KJB48324 pep chromosome:Graimondii2_0_v6:8:10143528:10146195:-1 gene:B456_008G063500 transcript:KJB48324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESSLAAYSLSSTDQISTISQRSETVDPVLEKLKSLRITSPILTTPPTDGSLTDILVRRPSSSSSQVAVNPKVFLELLSVYRDWQEEKAQTICKKQEDIEYKIEVADALATSPKFKPLSFPNEDCFTTFSRSGAWGA >KJB49185 pep chromosome:Graimondii2_0_v6:8:33145346:33146041:-1 gene:B456_008G105400 transcript:KJB49185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFGSKVLPVFLFGLVLTGFVDKGEGNACSSTFFSALVQLIPCRAAVAPFSPIPPSETCCNAIKALGQPCLCVIVNGPPISGVDRNMALQLPEKCTANFEPCDILK >KJB48280 pep chromosome:Graimondii2_0_v6:8:9913495:9915660:1 gene:B456_008G062100 transcript:KJB48280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYEYPSRFSLSSLSLFGDFVEKVKYFCNFAVSAILGNIFSAILTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLVLWQSDESRIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAAETTFEDVQNIFDIGGSKGLAGDLVEKIPKIIITNNNNVDASGEKISCSVCLQDFQLGETVRSLPQCHHMFHLPCIDKWLVSHGSCPLCRRDL >KJB48281 pep chromosome:Graimondii2_0_v6:8:9913698:9915574:1 gene:B456_008G062100 transcript:KJB48281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYEYPSRFSLSSLSLFGDFVEKVKYFCNFAVSAILGNIFSAILTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLVLWQSDESRIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAAETTFEDVQNIFDIGGSKGLAGDLVEKIPKIIITNNNNVDASGEKISCSVCLQV >KJB51762 pep chromosome:Graimondii2_0_v6:8:51740026:51742606:1 gene:B456_008G231000 transcript:KJB51762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFQLAARILGLDSKLHKSLLIPFREIKVECTVPRDDGSFVSYIGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANLPYGGAKGGIGCNPRELTVSELQRVTRVFTQKIHDLIGIHRDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPIELGGSLGREAATGLGVVFATEFLLDEYGMSIANMKFAIQGFGNVGSWAASFIHQKGGKVVAVSDITGAVKNPNGIDIPALLKHKEKAYSLKDFEGGDAMDLNDVLVHECDVLIPCALGGVLNKENAADVKAKFIIEAANHPTDPEADEILSRKGVTILPDIYANSGGVTVSYFEWVQNIQGFMWEEEKVNYELKRYMKRAFNDIKAMCHTHNCNLRMGAFALGVNKVARATVLRGWEA >KJB51763 pep chromosome:Graimondii2_0_v6:8:51740039:51742606:1 gene:B456_008G231000 transcript:KJB51763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPDEVNALAQLMTWKTAVANLPYGGAKGGIGCNPRELTVSELQRVTRVFTQKIHDLIGIHRDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPIELGGSLGREAATGLGVVFATEFLLDEYGMSIANMKFAIQGFGNVGSWAASFIHQKGGKVVAVSDITGAVKNPNGIDIPALLKHKEKAYSLKDFEGGDAMDLNDVLVHECDVLIPCALGGVLNKENAADVKAKFIIEAANHPTDPEADEILSRKGVTILPDIYANSGGVTVSYFEWVQNIQGFMWEEEKVNYELKRYMKRAFNDIKAMCHTHNCNLRMGAFALGVNKVARATVLRGWEA >KJB51764 pep chromosome:Graimondii2_0_v6:8:51740192:51742143:1 gene:B456_008G231000 transcript:KJB51764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFQLAARILGLDSKLHKSLLIPFREIKVECTVPRDDGSFVSYIGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANLPYGGAKGGIGCNPRELTVSELQRVTRVFTQKIHDLIGIHRDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPIELGGSLGREAATGLGVVFATEFLLDEYGMSIANMKFAIQGFGNVGSWAASFIHQKGGKVVAVSDITGAVKNPNGIDIPALLKHKEKAYSLKDFEGGDAMDLNDVLVHECDVLIPCALGGVLNKENAADVKAKFIIEAANHPTDPEADEILSRKGVTILPDIYANSGGVTVSYFEWVQVVPTIHFPTLLLKHND >KJB48782 pep chromosome:Graimondii2_0_v6:8:19489863:19490590:1 gene:B456_008G087100 transcript:KJB48782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSKMLDLTRDFPIISLLAELEYNYGSHRSPKLFIHHIKNNIKLAIIWYATQSELRVTEHIKQHKAGYFIVSIIKIGCKIYSLII >KJB51691 pep chromosome:Graimondii2_0_v6:8:51469113:51473980:-1 gene:B456_008G228600 transcript:KJB51691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRRGRRSEKWLNIKPKVYDFSEDEVDTETESEDDACSIKDSRLDDDEDHTHRTPGKQSDCRSRISDSPSKGYRFRHRRGKSETLRAQYINIKDVRLRICTWNVAGRLPFEDLKIDDWLCTEELADIYIIGFQEVVPLNAGNVFGAEDNRPIPKWEAIIRRTLNKSSEPESKHKCYSAPPSPVLRTSSVADVLADEIDATPLGIMRDLETANGYELEEKELKKVSDIGQNLNMKRIYGVDFDTRLDWPEHSLDATPQVVSSHSKLRRVLSSSARIGFTLADNSILCSPHHALLTGRRLKRSHFSSGNLESIVHEEPKLEVGDSFSEISDEFPEEEDDTFLEVPVEQQDNDGATSRPKYVRIVSKQMVGIYISIWVRKRLRRHINNLEVSPVGIGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGHKVGAEQKRNSDVYEIIRRTHFSSALDTDQPLTIPSHDQIFWFGDLNYRLNLLDAEVRKLVALKRWDKLINYDQLHNELCSGHVFDGWKEGVIDFPPTYKYEMDSDRYVGEIPREGEKKRSPAWCDRILWLGKGIKQLCYQQAEIRFSDHRPISSTFLLEVEVLDHRKLQRVLNVSTAAVHPDIFFNENEDFEL >KJB51689 pep chromosome:Graimondii2_0_v6:8:51470616:51473098:-1 gene:B456_008G228600 transcript:KJB51689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRRGRRSEAFWPSIVMKKWLNIKPKVYDFSEDEVDTETESEDDACSIKDSRLDDDEDHTHRTPGKQSDCRSRISDSPSKGYRFRHRRGKSETLRAQYINIKDVRLRICTWNVAGRLPFEDLKIDDWLCTEELADIYIIGFQEVVPLNAGNVFGAEDNRPIPKWEAIIRRTLNKSSEPESKHKCYSAPPSPVLRTSSVADVLADEIDATPLGIMRDLETANGYELEEKELKKVSDIGQNLNMKRIYGVDFDTRLDWPEHSLDATPQVVSSHSKLRRVLSSSARIGFTLADNSILCSPHHALLTGRRLKRSHFSSGNLESIVHEEPKLEVGDSFSEISDEFPEEEDDTFLEVPVEQQDNDGATSRPKYVRIVSKQMVGIYISIWVRKRLRRHINNLEVSPVGIGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGHKVGAEQKRNSDVYEIIRRTHFSSALDTDQPLTIPSHE >KJB51693 pep chromosome:Graimondii2_0_v6:8:51470273:51473776:-1 gene:B456_008G228600 transcript:KJB51693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRRGRRSEAFWPSIVMKKWLNIKPKVYDFSEDEVDTETESEDDACSIKDSRLDDDEDHTHRTPGKQSDCRSRISDSPSKGYRFRHRRGKSETLRAQYINIKDVRLRICTWNVAGRLPFEDLKIDDWLCTEELADIYIIGFQEVVPLNAGNVFGAEDNRPIPKWEAIIRRTLNKSSEPESKHKCYSAPPSPVLRTSSVADVLADEIDATPLGIMRDLETANGYELEEKELKKVSDIGQNLNMKRIYGVDFDTRLDWPEHSLDATPQVVSSHSKLRRVLSSSARIGFTLADNSILCSPHHALLTGRRLKRSHFSSGNLESIVHEEPKLEVGDSFSEISDEFPEEEDDTFLEVPVEQQDNDGATSRPKYVRIVSKQMVGIYISIWVRKRLRRHINNLEVSPVGIGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGHKVGAEQKRNSDVYEIIRRTHFSSALDTDQPLTIPSHDQIFWFGDLNYRLNLLDAEVRKLVALKRWDKLINYDQVSIRVI >KJB51692 pep chromosome:Graimondii2_0_v6:8:51469113:51473980:-1 gene:B456_008G228600 transcript:KJB51692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRRGRRSEAFWPSIVMKKWLNIKPKVYDFSEDEVDTETESEDDACSIKDSRLDDDEDHTHRTPGKQSDCRSRISDSPSKGYRFRHRRGKSETLRAQYINIKDVRLRICTWNVAGRLPFEDLKIDDWLCTEELADIYIIGFQEVVPLNAGNVFGAEDNRPIPKWEAIIRRTLNKSSEPESKHKCYSAPPSPVLRTSSVADVLADEIDATPLGIMRDLETANGYELEEKELKKVSDIGQNLNMKRIYGVDFDTRLDWPEHSLDATPQVVSSHSKLRRVLSSSARIGFTLADNSILCSPHHALLTGRRLKRSHFSSGNLESIVHEEPKLEVGDSFSEISDEFPEEEDDTFLEVPVEQQDNDGATSRPKYVRIVSKQMVGIYISIWVRKRLRRHINNLEVSPVGIGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGHKVGAEQKRNSDVYEIIRRTHFSSALDTDQPLTIPSHDQIFWFGDLNYRLNLLDAEVRKLVALKRWDKLINYDQV >KJB51690 pep chromosome:Graimondii2_0_v6:8:51469113:51473776:-1 gene:B456_008G228600 transcript:KJB51690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRRGRRSEAFWPSIVMKKWLNIKPKVYDFSEDEVDTETESEDDACSIKDSRLDDDEDHTHRTPGKQSDCRSRISDSPSKGYRFRHRRGKSETLRAQYINIKDVRLRICTWNVAGRLPFEDLKIDDWLCTEELADIYIIGFQEVVPLNAGNVFGAEDNRPIPKWEAIIRRTLNKSSEPESKHKCYSAPPSPVLRTSSVADVLADEIDATPLGIMRDLETANGYELEEKELKKVSDIGQNLNMKRIYGVDFDTRLDWPEHSLDATPQVVSSHSKLRRVLSSSARIGFTLADNSILCSPHHALLTGRRLKRSHFSSGNLESIVHEEPKLEVGDSFSEISDEFPEEEDDTFLEVPVEQQDNDGATSRPKYVRIVSKQMVGIYISIWVRKRLRRHINNLEVSPVGIGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGHKVGAEQKRNSDVYEIIRRTHFSSALDTDQPLTIPSHDQIFWFGDLNYRLNLLDAEVRKLVALKRWDKLINYDQLHNELCSGHVFDGWKEGVIDFPPTYKYEMDSDRYVGEIPREGEKKRSPAWCDRILWLGKGIKQLCYQQAEIRFSDHRPISSTFLLEVEVLDHRKLQRVLNVSTAAVHPDIFFNENEDFEL >KJB51688 pep chromosome:Graimondii2_0_v6:8:51469025:51473980:-1 gene:B456_008G228600 transcript:KJB51688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRRGRRSEAFWPSIVMKKWLNIKPKVYDFSEDEVDTETESEDDACSIKDSRLDDDEDHTHRTPGKQSDCRSRISDSPSKGYRFRHRRGKSETLRAQYINIKDVRLRICTWNVAGRLPFEDLKIDDWLCTEELADIYIIGFQEVVPLNAGNVFGAEDNRPIPKWEAIIRRTLNKSSEPESKHKCYSAPPSPVLRTSSVADVLADEIDATPLGIMRDLETANGYELEEKELKKVSDIGQNLNMKRIYGVDFDTRLDWPEHSLDATPQVVSSHSKLRRVLSSSARIGFTLADNSILCSPHHALLTGRRLKRSHFSSGNLESIVHEEPKLEVGDSFSEISDEFPEEEDDTFLEVPVEQQDNDGATSRPKYVRIVSKQMVGIYISIWVRKRLRRHINNLEVSPVGIGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGHKVGAEQKRNSDVYEIIRRTHFSSALDTDQPLTIPSHDQIFWFGDLNYRLNLLDAEVRKLVALKRWDKLINYDQLHNELCSGHVFDGWKEGVIDFPPTYKYEMDSDRYVGEIPREGEKKRSPAWCDRILWLGKGIKQLCYQQAEIRFSDHRPISSTFLLEVEVLDHRKLQRVLNVSTAAVHPDIFFNENEDFEL >KJB49830 pep chromosome:Graimondii2_0_v6:8:39049591:39056382:1 gene:B456_008G139800 transcript:KJB49830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEIKNIDTDLQMLVYENYNKFISATDAIKRMKSNIVGMETNMDHLLDKIMSVQSKSDGVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPVRLEKCIKSEAYADAVKFYTGAMPIFKAYGDSSFQDCKQASEEAIAIIVKNLQGKLFSDSESIQARAEAAVLLKQLDFPVDSLQAKLLEKLKESLGDLQLKPDEIENVSVESNDPKQGEVSDSIPIAAHEGSVLGFAEAIRAYRVIFPDSEGQLTKLAQDLVVKHFETTQQYVKGLISSGSLLGVLRIIWTDVLLMDEVLSEAVLPGFSLEAAQVALKQYVASTFSYLLGDISDALLRVNVSSKEAAEELPLQVALEASKKAVLQGSMDVLLDFRKLLDDDLGLLVQLRDFIIDWVQEGFQDFFRALDDRFLLLSGRKSSSSQDQDLTGAHGEKVLAGLVLVLAQLSVFIEQTAVPRITEEIAASFSGGGGRGYENGPAFVPGEICRIFRSAGEKLLLHYTKMRTQKVSTLLRKRFTTPNWVKHKEPREVHMFVDLFLQELKEIGSEVRQILPQGLSRKHRRSDSNGSTASSRSNQLRDDKMTRSNTQRARSQLLETHLAKLFKQKVEIFTKVEYTQESVVTTIVKLCLKSLQEFARLQTFNRSGFQQIQLDIQFLRTPLKETVEDEAAIDFLLDEVIVAASERCLDPIPLEPPILDRLIQAKLAKWKEQNPVTP >KJB49831 pep chromosome:Graimondii2_0_v6:8:39049591:39056382:1 gene:B456_008G139800 transcript:KJB49831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEDVPLDDKAKRMRDLLSSFYSPDPSSTNDASSNHGSLDAIDTTSFDADQYMNLLIRKSNLEALLQRHVEMAAEIKNIDTDLQMLVYENYNKFISATDAIKRMKSNIVGMETNMDHLLDKIMSVQSKSDGVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPVRLEKCIKSEAYADAVKFYTGAMPIFKAYGDSSFQDCKQASEEAIAIIVKNLQGKLFSDSESIQARAEAAVLLKQLDFPVDSLQAKLLEKLKESLGDLQLKPDEIENVSVESNDPKQGEVSDSIPIAAHEGSVLGFAEAIRAYRVIFPDSEGQLTKLAQDLVVKHFETTQQYVKGLISSGSLLGVLRIIWTDVLLMDEVLSEAVLPGFSLEAAQVALKQYVASTFSYLLGDISDALLRVNVSSKEAAEELPLQVALEASKKAVLQGSMDVLLDFRKLLDDDLGLLVQLRDFIIDWVQEGFQDFFRALDDRFLLLSGRKSSSSQDQDLTGAHGEKVLAGLVLVLAQLSVFIEQTAVPRITEEIAASFSGGGGRGYENGPAFVPGEICRIFRSAGEKLLLHYTKMRTQKVSTLLRKRFTTPNWVKHKEPREVHMFVDLFLQELKEIGSEVRQILPQGLSRKHRRSDSNGSTASSRSNQLRDDKMTRSNTQRARSQLLETHLAKLFKQKVEIFTKVEYTQVWSMNT >KJB49829 pep chromosome:Graimondii2_0_v6:8:39049524:39056436:1 gene:B456_008G139800 transcript:KJB49829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEDVPLDDKAKRMRDLLSSFYSPDPSSTNDASSNHGSLDAIDTTSFDADQYMNLLIRKSNLEALLQRHVEMAAEIKNIDTDLQMLVYENYNKFISATDAIKRMKSNIVGMETNMDHLLDKIMSVQSKSDGVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPVRLEKCIKSEAYADAVKFYTGAMPIFKAYGDSSFQDCKQASEEAIAIIVKNLQGKLFSDSESIQARAEAAVLLKQLDFPVDSLQAKLLEKLKESLGDLQLKPDEIENVSVESNDPKQGEVSDSIPIAAHEGSVLGFAEAIRAYRVIFPDSEGQLTKLAQDLVVKHFETTQQYVKGLISSGSLLGVLRIIWTDVLLMDEVLSEAVLPGFSLEAAQVALKQYVASTFSYLLGDISDALLRVNVSSKEAAEELPLQVALEASKKAVLQGSMDVLLDFRKLLDDDLGLLVQLRDFIIDWVQEGFQDFFRALDDRFLLLSGRKSSSSQDQDLTGAHGEKVLAGLVLVLAQLSVFIEQTAVPRITEEIAASFSGGGGRGYENGPAFVPGEICRIFRSAGEKLLLHYTKMRTQKVSTLLRKRFTTPNWVKHKEPREVHMFVDLFLQELKEIGSEVRQILPQGLSRKHRRSDSNGSTASSRSNQLRDDKMTRSNTQRARSQLLETHLAKLFKQKVEIFTKVEYTQESVVTTIVKLCLKSLQEFARLQTFNRSGFQQIQLDIQFLRTPLKETVEDEAAIDFLLDEVIVAASERCLDPIPLEPPILDRLIQAKLAKWKEQNPVTP >KJB50041 pep chromosome:Graimondii2_0_v6:8:40511243:40522409:-1 gene:B456_008G149700 transcript:KJB50041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASILSNNISGVLAYPRLLAAPRIRISRVFGCLFTITSNPPSCTFSSLAAMSGVDAPEMEWPAKKVRDTFIKFFEGKNHVNWKSSPVVPHNDPTLLFANAGMNQFKPIFLGTIDPNTAMSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGNYFKKDAIEWAWELLTKVYSLPTDRIYATYFGGDDKAGLPPDNEAKDIWLKFLPPEHVLPFGCKENFWEMGDTGPCGPCTEIHYDRVGNRNAASLVNNDDPSCIEIWNLVFIQFNRESDGSLKPLPAKHVDTGMGFERLTSVLQNKMSNYDTDVFFPIFDVIQQVTGARPYSGKVGPDDIDKVDMAYRVVADHIRTLSFAIADGASPGNEGREYVLRRILRRAVRYGSEVLKAPEGFFSKLVKIVVEVMGDVFPELKQHEVRIGDIIAAEEASFGKTLVKGIEKFKKAAQDVQGRILSGQDAFILWDTYGFPLDLTQLMAEERGLIVDVEGFNNAMDEAREKSRSARNKQAGGAIVMDADATSALLRKGVSTTDGSFKFIWFQDYESVIKAIYTGSEFVESVSAGDDVGIVLESTNFYAEQGGQIFDTGSLGGSFGSFQVCNVQIFGGFVLHIGSLSGMTGKFSVGAKVTCKVDYDRRRLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHDPNRDGAINADHLRKIESIVNEQIKAELDVYSKEATLAEAKRINGLRAVFGEVYPDPVRVVAIGRKVEELLANPENREWSSISSELCGGTHITNTREAKAFALLSEEGIAKGVRRITAVTTESALKAMELGDLLLQEVDDASKMEVNLLEKKVASLKTSVDSASIPAAKKADIRAKIAQLQNQLKKAQKKIAEQNMQKAVTIAIELAELAAKEGKTFCISRIDVGLDAAALREAVSKVIQQKEMPVMVFSIDETTNKAVVYAGVPEKSESIKRLEVSEWLTKALGPLKGKCGRGKGGLATGQVGNLFQNSVL >KJB50035 pep chromosome:Graimondii2_0_v6:8:40511547:40522376:-1 gene:B456_008G149700 transcript:KJB50035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASILSNNISGVLAYPRLLAAPRIRISRVFGCLFTITSNPPSCTFSSLAAMSGVDAPEMEWPAKKVRDTFIKFFEGKNHVNWKSSPVVPHNDPTLLFANAGMNQFKPIFLGTIDPNTAMSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGNYFKKDAIEWAWELLTKVYSLPTDRIYATYFGGDDKAGLPPDNEAKDIWLKFLPPEHVLPFGCKENFWEMGDTGPCGPCTEIHYDRVGNRNAASLVNNDDPSCIEIWNLVFIQFNRESDGSLKPLPAKHVDTGMGFERLTSVLQNKMSNYDTDVFFPIFDVIQQVTGARPYSGKVGPDDIDKVDMAYRVVADHIRTLSFAIADGASPGNEGREYVLRRILRRAVRYGSEVLKAPEGFFSKLVKIVVEVMGDVFPELKQHEVRIGDIIAAEEASFGKTLVKGIEKFKKAAQDVQGRILSGQDAFILWDTYGFPLDLTQLMAEERGLIVDVEGFNNAMDEAREKSRSARNKQAGGAIVMDADATSALLRKGVSTTDGSFKFIWFQDYESVIKAIYTGSEFVESVSAGDDVGIVLESTNFYAEQGGQIFDTGSLGGSFGSFQVCNVQIFGGFVLHIGSLSGMTGKFSVGAKVTCKVDYDRRRLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHDPNRDGAINADHLRKIESIVNEQIKAELDVYSKEATLAEAKRINGLRAVFGEVYPDPVRVVAIGRKVEELLANPENREWSSISSELCGGTHITNTREAKAFALLSEEGIAKGVRRITAVTTESALKAMELGDLLLQEVDDASKMEVNLLEKKVASLKTSVDSASIPAAKKADIRAKIAQLQNQLKKAQKKIAEQNMQKAVTIAIELAELAAKEGKTFCISRIDVGLDAAALREAVSKVIQQKVSS >KJB50036 pep chromosome:Graimondii2_0_v6:8:40509965:40522409:-1 gene:B456_008G149700 transcript:KJB50036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASILSNNISGVLAYPRLLAAPRIRISRVFGCLFTITSNPPSCTFSSLAAMSGVDAPEMEWPAKKVRDTFIKFFEGKNHVNWKSSPVVPHNDPTLLFANAGMNQFKPIFLGTIDPNTAMSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGNYFKKDAIEWAWELLTKVYSLPTDRIYATYFGGDDKAGLPPDNEAKDIWLKFLPPEHVLPFGCKENFWEMGDTGPCGPCTEIHYDRVGNRNAASLVNNDDPSCIEIWNLVFIQFNRESDGSLKPLPAKHVDTGMGFERLTSVLQNKMSNYDTDVFFPIFDVIQQVTGARPYSGKVGPDDIDKVDMAYRVVADHIRTLSFAIADGASPGNEGREYVLRRILRRAVRYGSEVLKAPEGFFSKLVKIVVEVMGDVFPELKQHEVRIGDIIAAEEASFGKTLVKGIEKFKKAAQDVQGRILSGQDAFILWDTYGFPLDLTQLMAEERGLIVDVEGFNNAMDEAREKSRSARNKQAGGAIVMDADATSALLRKGVSTTDGSFKFIWFQDYESVIKAIYTGSEFVESVSAGDDVGIVLESTNFYAEQGGQIFDTGSLGGSFGSFQVCNVQIFGGFVLHIGSLSGMTGKFSVGAKVTCKVDYDRRRLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHDPNRDGAINADHLRKIESIVNEQIKAELDVYSKEATLAEAKRINGLRAVFGEVYPDPVRVVAIGRKVEELLANPENREWSSISSELCGGTHITNTREAKAFALLSEEGIAKGVRRITAVTTESALKAMELGDLLLQEVDDASKMEVNLLEKKVASLKTSVDSASIPAAKKADIRAKIAQLQNQLKKAQKKIAEQNMQKAVTIAIELAELAAKEGKTFCISRIDVGLDAAALREAVSKVIQQKEMPVMVFSIDETTNKAVVYAGVPEKSESIKRLEVSEWLTKALGPLKGKCGRGKGGLATGQGTDASRVNEAIDLATSFASMKLR >KJB50037 pep chromosome:Graimondii2_0_v6:8:40510383:40522376:-1 gene:B456_008G149700 transcript:KJB50037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASILSNNISGVLAYPRLLAAPRIRISRVFGCLFTITSNPPSCTFSSLAAMSGVDAPEMEWPAKKVRDTFIKFFEGKNHVNWKSSPVVPHNDPTLLFANAGMNQFKPIFLGTIDPNTAMSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGNYFKKDAIEWAWELLTKVYSLPTDRIYATYFGGDDKAGLPPDNEAKDIWLKFLPPEHVLPFGCKENFWEMGDTGPCGPCTEIHYDRVGNRNAASLVNNDDPSCIEIWNLVFIQFNRESDGSLKPLPAKHVDTGMGFERLTSVLQNKMSNYDTDVFFPIFDVIQQVTGARPYSGKVGPDDIDKVDMAYRVVADHIRTLSFAIADGASPGNEGREYVLRRILRRAVRYGSEVLKAPEGFFSKLVKIVVEVMGDVFPELKQHEVRIGDIIAAEEASFGKTLVKGIEKFKKAAQDVQGRILSGQDAFILWDTYGFPLDLTQLMAEERGLIVDVEGFNNAMDEAREKSRSARNKQAGGAIVMDADATSALLRKGVSTTDGSFKFIWFQDYESVIKAIYTGSEFVESVSAGDDVGIVLESTNFYAEQGGQIFDTGSLGGSFGSFQVCNVQIFGGFVLHIGSLSGMTGKFSVGAKVTCKVDYDRRRLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHDPNRDGAINADHLRKIESIVNEQIKAELDVYSKEATLAEAKRINGLRAVFGEVYPDPVRVVAIGRKVEELLANPENREWSSISSELCGGTHITNTREAKAFALLSEEGIAKGVRRITAVTTESALKAMELGDLLLQEVDDASKMEVNLLEKKVASLKTSVDSASIPAAKKADIRAKIAQLQNQLKKAQKKIAEQNMQKAVTIAIELAELAAKEGKTFCISRIDVGLDAAALREAVSKVIQQKEMPVMVFSIDETTNKAVVYAGVPEKSESIKRLEVSEWLTKALGPLKGKCGRGKGGLATGQEMPVMVFSIDETTNKAVVYAGVPEKSESIKRLEVSEWLTKALGPLKGKCGRGKGGLATGQGTDASRVNEAIDLATSFASMKLR >KJB50040 pep chromosome:Graimondii2_0_v6:8:40509965:40522409:-1 gene:B456_008G149700 transcript:KJB50040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASILSNNISGVLAYPRLLAAPRIRISRVFGCLFTITSNPPSCTFSSLAAMSGVDAPEMEWPAKKVRDTFIKFFEGKNHVNWKSSPVVPHNDPTLLFANAGMNQFKPIFLGTIDPNTAMSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGNYFKKDAIEWAWELLTKVYSLPTDRIYATYFGGDDKAGLPPDNEAKDIWLKFLPPEHVLPFGCKENFWEMGDTGPCGPCTEIHYDRVGNRNAASLVNNDDPSCIEIWNLVFIQFNRESDGSLKPLPAKHVDTGMGFERLTSVLQNKMSNYDTDVFFPIFDVIQQVTGARPYSGKVGPDDIDKVDMAYRVVADHIRTLSFAIADGASPGNEGREYVLRRILRRAVRYGSEVLKAPEGFFSKLVKIVVEVMGDVFPELKQHEVRIGDIIAAEEASFGKTLVKGIEKFKKAAQDVQGRILSGQDAFILWDTYGFPLDLTQLMAEERGLIVDVEGFNNAMDEAREKSRSARNKQAGGAIVMDADATSALLRKGVSTTDGSFKFIWFQDYESVIKAIYTGSEFVESVSAGDDVGIVLESTNFYAEQGGQIFDTGSLGGSFGSFQVCNVQIFGGFVLHIGSLSGMTGKFSVGAKVTCKVDYDRRRLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHDPNRDGAINADHLRKIESIVNEQIKAELDVYSKEATLAEAKRINGLRAVFGEVYPDPVRVVAIGRKVEELLANPENREWSSISSELCGGTHITNTREAKAFALLSEEGIAKGVRRITAVTTESALKAMELGDLLLQEVDDASKMEVNLLEKKVASLKTSVDSASIPAAKKADIRAKIAQLQNQLKKAQKKIAEQNMQKAVTIAIELAELAAKEGKTFCISRIDVGLDAAALREAVSKVIQQKRNLNLSSDWRYQNG >KJB50039 pep chromosome:Graimondii2_0_v6:8:40510847:40522376:-1 gene:B456_008G149700 transcript:KJB50039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASILSNNISGVLAYPRLLAAPRIRISRVFGCLFTITSNPPSCTFSSLAAMSGVDAPEMEWPAKKVRDTFIKFFEGKNHVNWKSSPVVPHNDPTLLFANAGMNQFKPIFLGTIDPNTAMSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGNYFKKDAIEWAWELLTKVYSLPTDRIYATYFGGDDKAGLPPDNEAKDIWLKFLPPEHVLPFGCKENFWEMGDTGPCGPCTEIHYDRVGNRNAASLVNNDDPSCIEIWNLVFIQFNRESDGSLKPLPAKHVDTGMGFERLTSVLQNKMSNYDTDVFFPIFDVIQQVTGARPYSGKVGPDDIDKVDMAYRVVADHIRTLSFAIADGASPGNEGREYVLRRILRRAVRYGSEVLKAPEGFFSKLVKIVVEVMGDVFPELKQHEVRIGDIIAAEEASFGKTLVKGIEKFKKAAQDVQGRILSGQDAFILWDTYGFPLDLTQLMAEERGLIVDVEGFNNAMDEAREKSRSARNKQAGGAIVMDADATSALLRKGVSTTDGSFKFIWFQDYESVIKAIYTGSEFVESVSAGDDVGIVLESTNFYAEQGGQIFDTGSLGGSFGSFQVCNVQIFGGFVLHIGSLSGMTGKFSVGAKVTCKVDYDRRRLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHDPNRDGAINADHLRKIESIVNEQIKAELDVYSKEATLAEAKRINGLRAVFGEVYPDPVRVVAIGRKVEELLANPENREWSSISSELCGGTHITNTREAKAFALLSEEGIAKGVRRITAVTTESALKAMELGDLLLQEVDDASKMEVNLLEKKVASLKTSVDSASIPAAKKADIRAKIAQLQNQLKKAQKKIAEQNMQKAVTIAIELAELAAKEGKTFCISRIDVGLDAAALREAVSKVIQQKEMPVMVFSIDETTNKAVVYAGVPEKSESIKRLEVSEWLTKALGPLKGKCGRGKGGLATGQRNLNLSSDWRYQNG >KJB50034 pep chromosome:Graimondii2_0_v6:8:40511470:40522376:-1 gene:B456_008G149700 transcript:KJB50034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASILSNNISGVLAYPRLLAAPRIRISRVFGCLFTITSNPPSCTFSSLAAMSGVDAPEMEWPAKKVRDTFIKFFEGKNHVNWKSSPVVPHNDPTLLFANAGMNQFKPIFLGTIDPNTAMSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGNYFKKDAIEWAWELLTKVYSLPTDRIYATYFGGDDKAGLPPDNEAKDIWLKFLPPEHVLPFGCKENFWEMGDTGPCGPCTEIHYDRVGNRNAASLVNNDDPSCIEIWNLVFIQFNRESDGSLKPLPAKHVDTGMGFERLTSVLQNKMSNYDTDVFFPIFDVIQQVTGARPYSGKVGPDDIDKVDMAYRVVADHIRTLSFAIADGASPGNEGREYVLRRILRRAVRYGSEVLKAPEGFFSKLVKIVVEVMGDVFPELKQHEVRIGDIIAAEEASFGKTLVKGIEKFKKAAQDVQGRILSGQDAFILWDTYGFPLDLTQLMAEERGLIVDVEGFNNAMDEAREKSRSARNKQAGGAIVMDADATSALLRKGVSTTDGSFKFIWFQDYESVIKAIYTGSEFVESVSAGDDVGIVLESTNFYAEQGGQIFDTGSLGGSFGSFQVCNVQIFGGFVLHIGSLSGMTGKFSVGAKVTCKVDYDRRRLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHDPNRDGAINADHLRKIESIVNEQIKAELDVYSKEATLAEAKRINGLRAVFGEVYPDPVRVVAIGRKVEELLANPENREWSSISSELCGGTHITNTREAKAFALLSEEGIAKGVRRITAVTTESALKAMELGDLLLQEVDDASKMEVNLLEKKVASLKTSVDSASIPAAKKADIRAKIAQLQNQLKKAQKKIAEQNMQKAVTIAIELAELAAKEGKTFCISRIDVGLDAAALREAVSKVIQQK >KJB50038 pep chromosome:Graimondii2_0_v6:8:40509965:40522409:-1 gene:B456_008G149700 transcript:KJB50038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASILSNNISGVLAYPRLLAAPRIRISRVFGCLFTITSNPPSCTFSSLAAMSGVDAPEMEWPAKKVRDTFIKFFEGKNHVNWKSSPVVPHNDPTLLFANAGMNQFKPIFLGTIDPNTAMSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGNYFKKDAIEWAWELLTKVYSLPTDRIYATYFGGDDKAGLPPDNEAKDIWLKFLPPEHVLPFGCKENFWEMGDTGPCGPCTEIHYDRVGNRNAASLVNNDDPSCIEIWNLVFIQFNRESDGSLKPLPAKHVDTGMGFERLTSVLQNKMSNYDTDVFFPIFDVIQQVTGARPYSGKVGPDDIDKVDMAYRVVADHIRTLSFAIADGASPGNEGREYVLRRILRRAVRYGSEVLKAPEGFFSKLVKIVVEVMGDVFPELKQHEVRIGDIIAAEEASFGKTLVKGIEKFKKAAQDVQGRILSGQDAFILWDTYGFPLDLTQLMAEERGLIVDVEGFNNAMDEAREKSRSARNKQAGGAIVMDADATSALLRKGVSTTDGSFKFIWFQDYESVIKAIYTGSEFVESVSAGDDVGIVLESTNFYAEQGGQIFDTGSLGGSFGSFQVCNVQIFGGFVLHIGSLSGMTGKFSVGAKVTCKVDYDRRRLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHDPNRDGAINADHLRKIESIVNEQIKAELDVYSKEATLAEAKRINGLRAVFGEVYPDPVRVVAIGRKVEELLANPENREWSSISSELCGGTHITNTREAKAFALLSEEGIAKGVRRITAVTTESALKAMELGDLLLQEVDDASKMEVNLLEKKVASLKTSVDSASIPAAKKADIRAKIAQLQNQLKKAQKKIAEQNMQKAVTIAIELAELAAKEGKTFCISRIDVGLDAAALREAVSKVIQQKRNLNLSSDWRYQNG >KJB53259 pep chromosome:Graimondii2_0_v6:8:49771405:49774062:1 gene:B456_008G211400 transcript:KJB53259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYNPGWLVLVGILVTLSLPYSHAFWGNENKIHTAVFLSPKFVLGPGSVENRFYFNVDFPKGHIALKSFDAEVIDETGNPVPLHETYLHHWVVVRYYVRKGVEISKLDDLKKVNRSDYISGGNSGICQNGILSQFFGLGSETRKTSTHIPDPYGIEVGNPAEVPSGFEEQWMLNVHAIDTRGAEDKLGCTECRCDLYNVTDDEYGRPLRPYYKGGLLCCYDRTQCKVKHGFEAVRRTLYLRYTVKWIDMDRFVLPVKIYIFDITDSWKRTPSSTEINAEHKCKIEYDIESCDATGLGNDGCIDTKRISLDVPFGGYLIYGVAHQHSGGTGSALYREDGQLMCSSLPTYGKGEEPGNEAGYIVGMTTCYPKPGTVEISEGETLILEFNYSRIRHHTGVMGLFYILVADDLPKPMHTLRTVVQDSIMVVTVLWAAVALIGVVAVIALAVHYQFKREGEDGYEAIGM >KJB53256 pep chromosome:Graimondii2_0_v6:8:49771324:49774086:1 gene:B456_008G211400 transcript:KJB53256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYNPGWLVLVGILVTLSLPYSHAFWGNENKIHTAVFLSPKFVLGPGSVENRFYFNVDFPKGHIALKSFDAEVIDETGNPVPLHETYLHHWVVVRYYVRKGVEISKLDDLKKVNRSDYISGGNSGICQNGILSQFFGLGSETRKTSTHIPDPYGIEVGNPAEVPSGFEEQWMLNVHAIDTRGAEDKLGCTECRCDLYNVTDDEYGRPLRPYYKGGLLCCYDRTQCKVKHGFEAVRRTLYLRYTVKWIDMDRFVLPVKIYIFDITDSWKRTPSSTEINAEHKCKIEYDIESCDATGLGNDGCIDTKRISLDVPFGGYLIYGVAHQHSGGTGSALYREDGQLMCSSLPTYGKGEEPGNEAGYIVGMTTCYPKPGTVEISEGETLILEFNYSRIRHHTGVMGLFYILVADDLPKPMHTLRTVVQTQDSIMVVTVLWAAVALIGVVAVIALAVHYQFKREGEDGYEAIGM >KJB53258 pep chromosome:Graimondii2_0_v6:8:49771405:49774062:1 gene:B456_008G211400 transcript:KJB53258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYNPGWLVLVGILVTLSLPYSHAFWGNENKIHTAVFLSPKFVLGPGSVENRFYFNVDFPKGHIALKSFDAEVIDETGNPVPLHETYLHHWVVVRYYVRKGVEISKLDDLKKVNRSDYISGGNSGICQNGILSQFFGLGSETRKTSTHIPDPYGIEVGNPAEVPSGFEEQWMLNVHAIDTRGAEDKLGCTECRCDLYNVTDDEYGRPLRPYYKGGLLCCYDRTQCKVKHGFEAVRRTLYLRYTVKWIDMDRFVLPVKIYIFDITDSWKRTPSSTEINAEHKCKIEYDIESCDATGLGNDGCIDTKRISLDVPFGGYLIYGVAHQHSGGTGSALYRECLFDFGDFEDGQLMCSSLPTYGKGEEPGNEAGYIVGMTTCYPKPGTVEISEGETLILEFNYSRIRHHTGVMGLFYILVADDLPKPMHTLRTVVQTQDSIMVVTVLWAAVALIGVVAVIALAVHYQFKREGEDGYEAIGM >KJB53255 pep chromosome:Graimondii2_0_v6:8:49771252:49774111:1 gene:B456_008G211400 transcript:KJB53255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYNPGWLVLVGILVTLSLPYSHAFWGNENKIHTAVFLSPKFVLGPGSVENRFYFNVDFPKGHIALKSFDAEVIDETGNPVPLHETYLHHWVVVRYYVRKGVEISKLDDLKKVNRSDYISGGNSGICQNGILSQFFGLGSETRKTSTHIPDPYGIEVGNPAEVPSGFEEQWMLNVHAIDTRGAEDKLGCTECRCDLYNVTDDEYGRPLRPYYKGGLLCCYDRTQCKVKHGFEAVRRTLYLRYTVKWIDMDRFVLPVKIYIFDITDSWKRTPSSTEINAEHKCKIEYDIESCDATGLGNDGCIDTKRISLDVPFGGYLIYGVAHQHSGGTGSALYREDGQLMCSSLPTYGKGEEPGNEAGYIVGMTTCYPKPGTVEISEGETLILEFNYSRIRHHTGVMGLFYILVADDLPKPMHTLRTVVQDSIMVVTVLWAAVALIGVVAVIALAVHYQFKREGEDGYEAIGM >KJB53254 pep chromosome:Graimondii2_0_v6:8:49768814:49774073:1 gene:B456_008G211400 transcript:KJB53254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMASYNPGWLVLVGILVTLSLPYSHAFWGNENKIHTAVFLSPKFVLGPGSVENRFYFNVDFPKGHIALKSFDAEVIDETGNPVPLHETYLHHWVVVRYYVRKGVEISKLDDLKKVNRSDYISGGNSGICQNGILSQFFGLGSETRKTSTHIPDPYGIEVGNPAEVPSGFEEQWMLNVHAIDTRGAEDKLGCTECRCDLYNVTDDEYGRPLRPYYKGGLLCCYDRTQCKVKHGFEAVRRTLYLRYTVKWIDMDRFVLPVKIYIFDITDSWKRTPSSTEINAEHKCKIEYDIESCDATGLGNDGCIDTKRISLDVPFGGYLIYGVAHQHSGGTGSALYREDGQLMCSSLPTYGKGEEPGNEAGYIVGMTTCYPKPGTVEISEGETLILEFNYSRIRHHTGVMGLFYILVADDLPKPMHTLRTVVQDSIMVVTVLWAAVALIGVVAVIALAVHYQFKREGEDGYEAIGM >KJB53257 pep chromosome:Graimondii2_0_v6:8:49772083:49773237:1 gene:B456_008G211400 transcript:KJB53257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYNPGWLVLVGILVTLSLPYSHAFWGNENKIHTAVFLSPKFVLGPGSVENRFYFNVDFPKGHIALKSFDAEVIDETGNPVPLHETYLHHWVVVRYYVRKGVEISKLDDLKKVNRSDYISGGNSGICQNGILSQFFGLGSETRKTSTHIPDPYGIEVGNPAEVPSGFEEQWMLNVHAIDTRGAEDKLGCTECRCDLYNVTDDEYGRPLRPYYKGGLLCCYDRTQCKVKHGFEAVRRTLYLRYTVKWIDMDRFVLPVKIYIFDITDSWKRTPSSTEINAEHKCKIEYDIESCDATGLGNDGCIDTKRISLDVPFGGYLIYGVAHQHSGGTGSALYREVLKCLISAHHFYTII >KJB50590 pep chromosome:Graimondii2_0_v6:8:45514113:45519811:1 gene:B456_008G178300 transcript:KJB50590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVQLKSYLPGYYSMRDLNEDSNSCSWPHYYGDKTLTNGQYCTSFFSRAIVDVYPGYDKDSLKRIMLEHEAIFKNQVSELHRLYRIQRELMDEIKKKDLQKNRFPVETSLSPSLLASQITTEDAHKWHIPGFPAANSLCGRPSVSGVEDSHSPLSSVKGSSSQAGTFRSQNGGNSKDVKVLECRPTKVRRKMFDLQLPAEEYIDTEEAEQFRDDTASGTSSYLPNGNGKIGPASDGKLFHVGKTDCLEDASRSDSCSRGKTSLADLNEPAQFEETDGSAYSRFLGHGPYQGGRELSAKLKETSVNVLRSSDDRSVSNIHIEENGITRGFFSNVLEAENSKSNSKSISHGFLPQKLPVPSQQVHVLYGKTLDPPTFSVTDQSKEDISRERMIQGLEVPEKTREISSNGHPESIVMSNVPSLNPFASSDVVKPWSHSASSWDKPSSSLSEKPMTVPTLPFLNSSGPSSKSSVISSRSNGIFGEKWQVSSNSRPNPSFGSELPNRNGFYYGSSSGSREHAIRFPSMSYEYPNCTNDSKGVPGHFTSQGSTKPYNCSNSVDMKSASGVNLNMVLSNSSSNEPILQQGPQMDGQRNHEDHLRGLPWLRAMPVCKNEATSAGRDLSVGELNFSQSSLKKPTNKNGTGNDFNQNFTQYVKPVSFSNDVDTSRSEIGECLHNRKILVVPIFEKHYVTKNELSSTTPYASVPQPSEAEAENKGRKYYLILTYLVM >KJB50592 pep chromosome:Graimondii2_0_v6:8:45515209:45519789:1 gene:B456_008G178300 transcript:KJB50592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFWTCLLFSGMGTKVQLKSYLPGYYSMRDLNEDSNSCSWPHYYGDKTLTNGQYCTSFFSRAIVDVYPGYDKDSLKRIMLEHEAIFKNQVSELHRLYRIQRELMDEIKKKDLQKNRFPVETSLSPSLLASQITTEDAHKWHIPGFPAANSLCGRPSVSGVEDSHSPLSSVKGSSSQAGTFRSQNGGNSKDVKVLECRPTKVRRKMFDLQLPAEEYIDTEEAEQFRDDTASGTSSYLPNGNGKIGPASDGKLFHVGKTDCLEDASRSDSCSRGKTSLADLNEPAQFEETDGSAYSRFLGHGPYQGGRELSAKLKETSVNVLRSSDDRSVSNIHIEENGITRGFFSNVLEAENSKSNSKSISHGFLPQKLPVPSQQVHVLYGKTLDPPTFSVTDQSKEDISRERMIQGLEVPEKTREISSNGHPESIVMSNVPSLNPFASSDVVKPWSHSASSWDKPSSSLSEKPMTVPTLPFLNSSGPSSKSSVISSRSNGIFGEKWQVSSNSRPNPSFGSELPNRNGFYYGSSSGSREHAIRFPSMSYEYPNCTNDSKGVPGHFTSQGSTKPYNCSNSVDMKSASGVNLNMVLSNSSSNEPILQQGPQMDGQRNHEDHLRGLPWLRAMPVCKNEATSAGRDLSVGELNFSQSSLKKPTNKNGTGNDFNQNFTQYVKPVSFSNDVDTSRSEIGECLHNRKILVVPIFEKHYVTKNELSSTTPYASVPQPSEAEAENKGRKYYLILTYLVM >KJB50591 pep chromosome:Graimondii2_0_v6:8:45514113:45519906:1 gene:B456_008G178300 transcript:KJB50591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVQLKSYLPGYYSMRDLNEDSNSCSWPHYYGDKTLTNGQYCTSFFSRAIVDVYPGYDKDSLKRIMLEHEAIFKNQVSELHRLYRIQRELMDEIKKKDLQKNRFPVETSLSPSLLASQITTEDAHKWHIPGFPAANSLCGRPSVSGVEDSHSPLSSVKGSSSQAGTFRSQNGGNSKDVKVLECRPTKVRRKMFDLQLPAEEYIDTEEAEQFRDDTASGTSSYLPNGNGKIGPASDGKLFHVGKTDCLEDASRSDSCSRGKTSLADLNEPAQFEETDGSAYSRFLGHGPYQGGRELSAKLKETSVNVLRSSDDRSVSNIHIEENGITRGFFSNVLEAENSKSNSKSISHGFLPQKLPVPSQQVHVLYGKTLDPPTFSVTDQSKEDISRERMIQGLEVPEKTREISSNGHPESIVMSNVPSLNPFASSDVVKPWSHSASSWDKPSSSLSEKPMTVPTLPFLNSSGPSSKSSVISSRSNGIFGEKWQVSSNSRPNPSFGSELPNRNGFYYGSSSGSREHAIRFPSMSYEYPNCTNDSKGVPGHFTSQGSTKPYNCSNSVDMKSASGVNLNMVLSNSSSNEPILQQGPQMDGQRNHEDHLRGLPWLRAMPVCKNEATSAGRDLSVGELNFSQSSLKKPTNKNGTGNDFNQNFTQYVKPVSFSNDVDTSRSEIGECLHNRKILVVPIFEKHYVTKNELSSTTPYASVPQPSEAEAENKGRKYYLILTYLVM >KJB49836 pep chromosome:Graimondii2_0_v6:8:39090955:39095030:-1 gene:B456_008G140100 transcript:KJB49836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIALFIDDLPSDPSISHCRICHEEEFESMKSLEAPCACSGSVKFAHRDCIQRWCNEKGNTTCEICLQEYEPGYTVIAPSKKSQLIEAAVTIRDSLQIPRREVEPLEVMNDENEFFQCTSTIERSAACCRSMALTFTVVLLIKHLFAVINGETDDYPFALLMILLLRATGILLPMYIVIRSITAIRNNIRRRRPRQHHDSEEIAMSEDDDDD >KJB49835 pep chromosome:Graimondii2_0_v6:8:39092407:39094893:-1 gene:B456_008G140100 transcript:KJB49835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIALFIDDLPSDPSISHCRICHEEEFESMKSLEAPCACSGSVKFAHRDCIQRWCNEKGNTTCEICLQEYEPGYTVIAPSKKSQLIEAAVTIRDSLQIPRREVEPLEVMNDENEFFQCTSTIERSAACCRSMALTFTVVLLIKHLFAVINGETDDYPFALLMILLLRATGILLPMYIVIRSITAIRNNIRRRRPRQHHDSEEIAMSEDDDDD >KJB49833 pep chromosome:Graimondii2_0_v6:8:39090703:39094940:-1 gene:B456_008G140100 transcript:KJB49833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIALFIDDLPSDPSISHCRICHEEEFESMKSLEAPCACSGSVKFAHRDCIQRWCNEKGNTTCEICLQEYEPGYTVIAPSKKSQLIEAAVTIRDSLQIPRREVEPLEVMNDENEFFQCTSTIERSAACCRSMALTFTVVLLIKHLFAVINGETDDYPFALLMILLLRATGILLPMYIVIRSITAIRNNIRRRRPRQHHDSEEIAMSEDDDDD >KJB49834 pep chromosome:Graimondii2_0_v6:8:39088555:39094940:-1 gene:B456_008G140100 transcript:KJB49834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIALFIDDLPSDPSISHCRICHEEEFESMKSLEAPCACSGSVKFAHRDCIQRWCNEKGNTTCEICLQEYEPGYTVIAPSKKSQLIEAAVTIRDSLQIPRREVEPLEVMNDENEFFQCTSTIERSAACCRSMALTFTVVLLIKHLFAVINGETDDYPFALLMILLLRATGILLPMYIVIRSITAIRNNIRRRRPRQHHDSEEIAMSEDDDDD >KJB48986 pep chromosome:Graimondii2_0_v6:8:26330779:26337513:-1 gene:B456_008G096300 transcript:KJB48986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCIDNSQAKRSRIIELSRRLRHRGPDWSGLHCHQNCYLSHQRLAIVDPTSGDQPLYNEDKTVVVTVNGEIYNHKQLREKLNSHQFRTGSDCEVIAHLYEEYGEDFVDMLDGMFSFVLLDTRDKSFIAARDAIGITPLYIGWGLDGSVWFASEMKALSDDCEQFMSFLPGHIYSSKQGALRRWYNPPWCSEKIPSTPYDPKVLREAFEKAVFKRLMTDVPFGVLLSGGLDSSLVAAVAARQLANSEVACQWGSQLHTFCIGLKGSPDLKAAKEVADYIGTRHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHEETCRKIKALHLYDCLRANKSTSAWGLEARVPFLDKEFINIAMSIDPEWKMIRPDLGRIEKWILRNAFDNDKKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANKQVTDAMLINANFIYPENTPTTKEGYYYRTIFEKFFPKNAARSTVPGGPSVACSTAKAVEWDAAWSENPDPSGRAALGVHASAYEAQKGGIAGKIAIA >KJB48988 pep chromosome:Graimondii2_0_v6:8:26331904:26337451:-1 gene:B456_008G096300 transcript:KJB48988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCIDNSQAKRSRIIELSRRLRHRGPDWSGLHCHQNCYLSHQRLAIVDPTSGDQPLYNEDKTVVVTVNGEIYNHKQLREKLNSHQFRTGSDCEVIAHLYEEYGEDFVDMLDGMFSFVLLDTRDKSFIAARDAIGITPLYIGWGLDGSVWFASEMKALSDDCEQFMSFLPGHIYSSKQGALRRWYNPPWCSEKIPSTPYDPKVLREAFEKAVFKRLMTDVPFGVLLSGGLDSSLVAAVAARQLANSEVACQWGSQLHTFCIGLKGSPDLKAAKEVADYIGTRHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHEETCRKIKALHLYDCLRANKSTSAWGLEARVPFLDKEFINIAMSIDPEWKMIRPDLGRIEKWILRNAFDNDKKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANKQVTDAMLINANFIYPENTPTTKEGYYYRTIFEKFFPKVLRCSPCCLSLSFLLV >KJB48987 pep chromosome:Graimondii2_0_v6:8:26330785:26337451:-1 gene:B456_008G096300 transcript:KJB48987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCIDNSQAKRSRIIELSRRLRHRGPDWSGLHCHQNCYLSHQRLAIVDPTSGDQPLYNEDKTVVVTVNGEIYNHKQLREKLNSHQFRTGSDCEVIAHLYEEYGEDFVDMLDGMFSFVLLDTRDKSFIAARDAIGITPLYIGWGLDGSVWFASEMKALSDDCEQFMSFLPGHIYSSKQGALRRWYNPPWCSEKIPSTPYDPKVLREAFEKAVFKRLMTDVPFGVLLSGGLDSSLVAAVAARQLANSEVACQWGSQLHTFCIGLKGSPDLKAAKEVADYIGTRHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHEETCRKIKALHLYDCLRANKSTSAWGLEARVPFLDKEFINIAMSIDPEWKMIRPDLGRIEKWILRNAFDNDKKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANKQVTDAMLINANFIYPENTPTTKEGYYYRTIFEKFFPKQNAARSTVPGGPSVACSTAKAVEWDAAWSENPDPSGRAALGVHASAYEAQKGGIAGKIAIA >KJB52730 pep chromosome:Graimondii2_0_v6:8:55302679:55305173:1 gene:B456_008G274800 transcript:KJB52730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLSAAVPLKTFRSFHPSPKPRLVFNPLLRLPQLTHGARKETRLSICFVLEEQKHVEPLTVVNLVEEGSGSEDFGNKQILIPPHVAEKLARKKSERFTYLVAAVMSSFGITSMAIMAVYYRFSWQMEGGEVPLSEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAIINAVPAIALLSYGFFNKGLVPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIANVPYFRKVAAAHQLHHSEKFQGVPYGLFLGPKELEEVGGLEELEKEINRRIKSSKSL >KJB52731 pep chromosome:Graimondii2_0_v6:8:55302703:55305134:1 gene:B456_008G274800 transcript:KJB52731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLSAAVPLKTFRSFHPSPKPRLVFNPLLRLPQLTHGARKETRLSICFVLEEQKHVEPLTVVNLVEEGSGSEDFGNKQILIPPHVAEKLARKKSERFTYLVAAVMSSFGITSMAIMAVYYRFSWQMEGGEVPLSEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAIINAVPAIALLSYGFFNKGLVPGLCFGAVSLFVFFLVFNYYFFSLS >KJB50226 pep chromosome:Graimondii2_0_v6:8:46494351:46495246:1 gene:B456_008G184800 transcript:KJB50226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 12 [Source:Projected from Arabidopsis thaliana (AT1G68630) UniProtKB/Swiss-Prot;Acc:Q9SX26] MFLHKPETAIPVFRPDTEDSPFRAYMTTQLPEGLWTTGLCECYGDVPNCLFTSICPCITMGRNSEIINRGEISCRSASLLHLATGVVLFGWIFGSKNRTKLRQHFSLPESPLPDWCAHLLCMWCTLCQEHRELRTRGADPSLGWEGNLSKWLKDGLTPPIVVPRMVTFNIKND >KJB49328 pep chromosome:Graimondii2_0_v6:8:34559815:34563846:1 gene:B456_008G113500 transcript:KJB49328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSLTLSQALLARTIFSDGSIQSSDYRVSLPTTTFSGLKLTTPRSRRVLPTHLNRSLRVRSAAVETIGTPAETSLLEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEIMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVQEDDLKNFRQWQSRTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDNEIVDHYTYAILGDGCQMEGVANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTENVEERFKGLGWHVIWVKNGNTGYDEIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANSYSVHGSALGAKEVDATRKNLAWPHEPFHVPEDVKGHWSRHVQQGAALEAEWNAKFAEYEKKYKNEAAELKLIITGEPPAGWEKALPTYTPESPADATRNLSQQNLNALVKVLPGFLGGSADLASSNMTLLKMYGNFQQDTPEERNLRFGVREHGMGAICNGIAHHSPGLIPYCATFFVFTDYMRAAIRMSALSQAGVIYVMTHDSIGLGEDGPTHQPIEHLASLRAMPNVFMLRPADGNETAGAYKVAILNRNTPSIIALSRQKLPQLPGTSIEGVEKGGYIISDNSSGNNPDIILIGTGSELEIAAKAAEELRKGGKAVRVVSLVSWELFDNQSDAYKESVLPSGVSARVSIEAGSTFGWEKIVGSKGKAIGIDRFGASAPAGRLYKEFGLTPEAVVAAAKELC >KJB46739 pep chromosome:Graimondii2_0_v6:8:2956570:2957946:1 gene:B456_008G025500 transcript:KJB46739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPSRDIPARSLSIMKSQYQENRTRNTTEQMLQPSKQKPQKQRKFKANLKHNKRRHKTTTHQNLKT >KJB52342 pep chromosome:Graimondii2_0_v6:8:53884476:53887133:1 gene:B456_008G256800 transcript:KJB52342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAQWPQEIVVKPIEEIVTNTCPKPTGLERKIRPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSSTSSSSSISTSLTSSKKLPGLVTPPSLSQCSTQNPKIHDGQDLNLAFPTASQGYRSLSELVQVPLENNNKNQIPSSSSSSPTTSQLSALELLTGITSRGFNSFIPMPVPDPNTVYTPGNFPMQDFKPTLNFSLDGLGNGYGSLHGVQETTGRLLFPFEDLKQVSTTTDIDQHKDQGDSTGYWTGGGDLFGFLHY >KJB52341 pep chromosome:Graimondii2_0_v6:8:53884476:53886489:1 gene:B456_008G256800 transcript:KJB52341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAQWPQEIVVKPIEEIVTNTCPKPTGLERKIRPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSSTSSSSSISTSLTSSKKLPGLVTPPSLSQCSTQNPKIHDGQDLNLAFPTASQGYRSLSELVQVPLENNNKNQIPSSSSSSPTTSQLSALELLTGITSRGFNSFIPMPVPDPNTVYTPGNFPMQDFKPTLNFSLDGLGNGYGSLHGVQETTGRLLFPFEDLKQVSTTTDIDQHKDQGDSTGYWTGMLGGGSW >KJB52340 pep chromosome:Graimondii2_0_v6:8:53884799:53886037:1 gene:B456_008G256800 transcript:KJB52340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAQWPQEIVVKPIEEIVTNTCPKPTGLERKIRPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSSTSSSSSISTSLTSSKKLPGLVTPPSLSQCSTQNPKIHDGQDLNLAFPTASQGYRSLSELVQVPLENNNKNQIPSSSSSSPTTSQLSALELLTGITSRGFNSFIPMPVPDPNTVYTPGNFPMQDFKPTLNFSLDGLGNGYGSLHGVQETTGRLLFPFEDLKQVSTTTDIDQHKDQGDSTGYWTGMLGGGSW >KJB52343 pep chromosome:Graimondii2_0_v6:8:53884476:53887133:1 gene:B456_008G256800 transcript:KJB52343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAQWPQEIVVKPIEEIVTNTCPKPTGLERKIRPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSSTSSSSSISTSLTSSKKLPGLVTPPSLSQCSTQNPKIHDGQDLNLAFPTASQGYRSLSELVQVPLENNNKNQIPSSSSSSPTTSQLSALELLTGITSRGFNSFIPMPVPDPNTVYTPGNFPMQDFKPTLNFSLDGLGNGYGSLHGVQETTGRLLFPFEDLKQVSTTTDIDQHKDQGGGDLFGFLHY >KJB49268 pep chromosome:Graimondii2_0_v6:8:34056566:34059350:1 gene:B456_008G109600 transcript:KJB49268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGERGGVHNYELQVSFSTPQPINEMGFVQFEENQVLSLLALAHSSHHHHHHHQISQPLNTTTTTTTTNSTAMGFTTQNNNQVVNTEDPKAVNDENNCPSKANDGNNSWWRSSASEKSKLKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHTPSDDSNSSEHECFTSF >KJB52823 pep chromosome:Graimondii2_0_v6:8:55662417:55663776:1 gene:B456_008G279300 transcript:KJB52823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:Projected from Arabidopsis thaliana (AT5G07250) UniProtKB/TrEMBL;Acc:A0A178UBV1] MAGGDIENRGGGAKSYSNSINDSSGGSFISSSTYMIDDTEPQWTSWLVPVFLVANVAVFVVVMYVNNCPKHKLVGKCVARFLGRFSFEPLRENPLFGPSSSTLKKLGALEWTKVVINHQGWRLITCIWLHAGVIHLLANMLSLIFIGIRLEQQFGFVRIGILYLVSGFGGSVLSSLFIRNNISVGASGALFGLLGAMLSELITNWTIYTNKV >KJB52822 pep chromosome:Graimondii2_0_v6:8:55662123:55664558:1 gene:B456_008G279300 transcript:KJB52822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:Projected from Arabidopsis thaliana (AT5G07250) UniProtKB/TrEMBL;Acc:A0A178UBV1] MAGGDIENRGGGAKSYSNSINDSSGGSFISSSTYMIDDTEPQWTSWLVPVFLVANVAVFVVVMYVNNCPKHKLVGKCVARFLGRFSFEPLRENPLFGPSSSTLKKLGALEWTKVVINHQGWRLITCIWLHAGVIHLLANMLSLIFIGIRLEQQFGFVRIGILYLVSGFGGSVLSSLFIRNNISVGASGALFGLLGAMLSELITNWTIYTNKASALLTLVVIIVINLAIGIFPHIDNFTHIGGFLTGFLLGFILLPRPQLGWLEHGNIPVRTGLKSKYKPHQYVLWVASIVLLVVGLAVALVVLFREEDGNEYCTWCHYLSCVPTSRWDCNQNN >KJB52824 pep chromosome:Graimondii2_0_v6:8:55662323:55664500:1 gene:B456_008G279300 transcript:KJB52824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:Projected from Arabidopsis thaliana (AT5G07250) UniProtKB/TrEMBL;Acc:A0A178UBV1] MAGGDIENRGGGAKSYSNSINDSSGGSFISSSTYMIDDTEPQWTSWLVPVFLVANVAVFVVVMYVNNCPKHKLVGKCVARFLGRFSFEPLRENPLFGPSSSTLKKLGALEWTKVVINHQGWRLITCIWLHAGVIHLLANMLSLIFIGIRLEQQFGFVRIGILYLVSGFGGSVLSSLFIRNNISVGASGALFGLLGAMLSELITNWTIYTNKASALLTLVVIIVINLAIGIFPHIDNFTHIGGFLTGFLLGFILLPRLTNMSCG >KJB52825 pep chromosome:Graimondii2_0_v6:8:55662323:55664500:1 gene:B456_008G279300 transcript:KJB52825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:Projected from Arabidopsis thaliana (AT5G07250) UniProtKB/TrEMBL;Acc:A0A178UBV1] MAGGDIENRGGGAKSYSNSINDSSGGSFISSSTYMIDDTEPQWTSWLVPVFLVANVAVFVVVMYVNNCPKHKLVGKCVARFLGRFSFEPLRENPLFGPSSSTLKKLGALEWTKVVINHQGWRLITCIWLHAGVIHLLANMLSLIFIGIRLEQQFGFVRIGILYLVSGFGGSVLSSLFIRNNISVGASGALFGLLGAMLSELITNWTIYTNKGGWNMGTSRSGLV >KJB49934 pep chromosome:Graimondii2_0_v6:8:39889522:39891738:-1 gene:B456_008G146200 transcript:KJB49934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPVLGRGRGRGREDGPGGRQPKGAGRGFEDGAKGAGGRGRGGSGGKTSGSRGKFSKSQTSGCRSVYSYVGIENTEILLKFSR >KJB49938 pep chromosome:Graimondii2_0_v6:8:39888549:39891738:-1 gene:B456_008G146200 transcript:KJB49938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPVLGRGRGRGREDGPGGRQPKGAGRGFEDGAKGAGGRGRGGSGGKTSGSRGGGRGRG >KJB49933 pep chromosome:Graimondii2_0_v6:8:39888549:39891006:-1 gene:B456_008G146200 transcript:KJB49933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPVLGRGRGRGREDGPGGRQPKGAGRGFEDGAKGAGGRGRGGSGGKTSGSRGGGRGRG >KJB49937 pep chromosome:Graimondii2_0_v6:8:39888549:39891738:-1 gene:B456_008G146200 transcript:KJB49937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPVLGGGRGRG >KJB49932 pep chromosome:Graimondii2_0_v6:8:39888541:39891813:-1 gene:B456_008G146200 transcript:KJB49932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPVLGRGRGRGREDGPGGRQPKGAGRGFEDGAKGAGGRGRGGSGGKTSGSRGGGRGRG >KJB49936 pep chromosome:Graimondii2_0_v6:8:39890204:39891339:-1 gene:B456_008G146200 transcript:KJB49936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRAGMI >KJB49939 pep chromosome:Graimondii2_0_v6:8:39890204:39891339:-1 gene:B456_008G146200 transcript:KJB49939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRAGMI >KJB49935 pep chromosome:Graimondii2_0_v6:8:39888549:39891738:-1 gene:B456_008G146200 transcript:KJB49935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPVLGRGRGRGREDGPGGKTSGSRGGGRGRG >KJB51370 pep chromosome:Graimondii2_0_v6:8:50108304:50109762:1 gene:B456_008G214000 transcript:KJB51370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSEETSAQLELIRQHLFTDFASMETPPPFYQLSNSESSHVYSPTRLKQSSLSQRRPSINVMIPPTSFNIGPNPVPDSAAVAVESYEKSHYRGVRRRPWGKFAAEIRDPNKKGARVWLGTFDTAIEAAKAYDRAAFKLRGSKAILNFPHEAGKSNFSESGKRRRNEEEGEGEKRVSLLESKAGRREEEVTVAECGTPSNQTGIGDNEDINEIFGGPLLSPLSSFPWFGYSRLEVM >KJB48962 pep chromosome:Graimondii2_0_v6:8:25873281:25877584:-1 gene:B456_008G095400 transcript:KJB48962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNHISLRLTSTTATISQEQPTPNPRPTKVILPKKKPLKWSTGLAPGDYGGPPTTTKLRKYWGGEEDDPLTSDDFIWNKDFVGRMKKLIQDPASEDSSLQSSPEEPSGFLSLNRVMSLDSLEVDLSKELATSSKPLLQQAVETSTQSSGSMSRKWKLVPTRHEQEKWDKATKASTGGSGVMLRELRQPQGDPEVLAAQSREQYYKLKKKMQVLTLVIGGFGLVSAYVSYSPEVAASFGAGLLGSLVYIRMLGSSVDSLAEGAKGVMK >KJB48964 pep chromosome:Graimondii2_0_v6:8:25870852:25877705:-1 gene:B456_008G095400 transcript:KJB48964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNHISLRLTSTTATISQEQPTPNPRPTKVILPKKKPLKWSTGLAPGDYGGPPTTTKLRKYWGGEEDDPLTSDDFIWNKDFVGRMKKLIQDPASEDSSLQSSPEEPSGFLSLNRVMSLDSLEVDLSKELATSSKPLLQQAVETSTQSSGSMSRKWKLVPTRHEQEKWDKATKASTGGSGVMLRELRQPQGDPEVLAAQSREQYYKLKKKMQVLTLVIGGFGLVSAYVSYSPEVAASFGAGLLGSLVYIRMLGSSVDSLAEGAKGVMKGAVAQPRLLVPVVLVMIYNRWNGILVPEYGYMQLELIPMLVGFFTYKIATFFQAIDDAVNVVGGKREMSILVIFRFRKVHFAKFQPLRV >KJB48961 pep chromosome:Graimondii2_0_v6:8:25870941:25877705:-1 gene:B456_008G095400 transcript:KJB48961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNHISLRLTSTTATISQEQPTPNPRPTKVILPKKKPLKWSTGLAPGDYGGPPTTTKLRKYWGGEEDDPLTSDDFIWNKDFVGRMKKLIQDPASEDSSLQSSPEEPSGFLSLNRVMSLDSLEVDLSKELATSSKPLLQQAVETSTQSSGSMSRKWKLVPTRHEQEKWDKATKASTGGSGVMLRELRQPQGDPEVLAAQSREQYYKLKKKMQVLTLVIGGFGLVSAYVSYSPEVAASFGAGLLGSLVYIRMLGSSVDSLAEGAKGVMKGAVAQPRLLVPVVLVMIYNRWNGILVPEYGYMQLELIPMLVGFFTYKIATFFQAIDDAVNVVGGKREV >KJB48960 pep chromosome:Graimondii2_0_v6:8:25871189:25877584:-1 gene:B456_008G095400 transcript:KJB48960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNHISLRLTSTTATISQEQPTPNPRPTKVILPKKKPLKWSTGLAPGDYGGPPTTTKLRKYWGGEEDDPLTSDDFIWNKDFVGRMKKLIQDPASEDSSLQSSPEEPSGFLSLNRVMSLDSLEVDLSKELATSSKPLLQQAVETSTQQSSGSMSRKWKLVPTRHEQEKWDKATKASTGGSGVMLRELRQPQGDPEVLAAQSREQYYKLKKKMQVLTLVIGGFGLVSAYVSYSPEVAASFGAGLLGSLVYIRMLGSSVDSLAEGAKGVMKGAVAQPRLLVPVVLVMIYNRWNGILVPEYGYMQLELIPMLVGFFTYKIATFFQAIDDAVNVVGGKREV >KJB48963 pep chromosome:Graimondii2_0_v6:8:25870941:25877705:-1 gene:B456_008G095400 transcript:KJB48963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYNSVHMAWCEQEEPSGFLSLNRVMSLDSLEVDLSKELATSSKPLLQQAVETSTQSSGSMSRKWKLVPTRHEQEKWDKATKASTGGSGVMLRELRQPQGDPEVLAAQSREQYYKLKKKMQVLTLVIGGFGLVSAYVSYSPEVAASFGAGLLGSLVYIRMLGSSVDSLAEGAKGVMKGAVAQPRLLVPVVLVMIYNRWNGILVPEYGYMQLELIPMLVGFFTYKIATFFQAIDDAVNVVGGKREV >KJB50115 pep chromosome:Graimondii2_0_v6:8:41324517:41330239:1 gene:B456_008G154400 transcript:KJB50115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MSLLRSFKETLKPCCNSSSFSQPSLSQQPPLPSVINQRKPPKSSLSRQLQRLEHDYLPSTQECHFGNPKFSLPQLKNDAHMHGQQDDDDHQEEAEEEEVKEFGRAELGRVQFEDTGPYEPLVLSSDGEFPIIQVPAYINCRLLAHQREGVKFLYMLYKSNHGGILGDDMGLGKTIQTIAFLAAVYGKDEEYGDSRVLKENQLGQKGPVLIICPTSVICNWKCEFSRWAPFNVSLYHGSSCELILEKLQANGVEVLVTSFDTFRIHGNLLSEIKWEIVVVDEAHRLKNEKSKFYSACLEIKTRRRIGLTGTIMQNKIMELFNLFDWAAPGSLGTREHFREFYDEPLKHGQRATAPERFVRVAGERKQHLVAVLHKYMLRRTKEETIGQLMLGKEDNVVFCAMSELQKRVYQRMLQLPDVQCLINKDLPCSCGSPLAQVECCKRIVPKGIIWPYLHRGSPEGCDSCPFCLVLPCLVKLQQISNHLELIKPNPRDEPDKQRKDAEFASAVFGPDIDIVGGNAPSKSFMDLSDTRYCGKMRALEKLMSSWALMGDKILLFSYSVRMLDILEKFLIRKGFCFSRLDGSTPTNMRQSLVDEFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPAQDLQAQDRSFRFGQRRHVVVFRLLAAGSLEELVYSRQVYKQQLSNIAVSGKMEKRYFEGVQV >KJB50117 pep chromosome:Graimondii2_0_v6:8:41324517:41330293:1 gene:B456_008G154400 transcript:KJB50117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MSLLRSFKETLKPCCNSSSFSQPSLSQQPPLPSVINQRKPPKSSLSRQLQRLEHDYLPSTQECHFGNPKFSLPQLKNDAHMHGQQDDDDHQEEAEEEEVKEFGRAELGRVQFEDTGPYEPLVLSSDGEFPIIQVPAYINCRLLAHQREGVKFLYMLYKSNHGGILGDDMGLGKTIQTIAFLAAVYGKDEEYGDSRVLKENQLGQKGPVLIICPTSVICNWKCEFSRWAPFNVSLYHGSSCELILEKLQANGVEVLVTSFDTFRIHGNLLSEIKWEIVVVDEAHRLKNEKSKFYSACLEIKTRRRIGLTGTIMQNKIMELFNLFDWAAPGSLGTREHFREFYDEPLKHGQRATAPERFVRVAGERKQHLVAVLHKYMLRRTKEETIGQLMLGKEDNVVFCAMSELQKRVYQRMLQLPDVQCLINKDLPCSCGSPLAQVECCKRIVPKGIIWPYLHRGSPEGCDSCPFCLVLPCLVKLQQISNHLELIKPNPRDEPDKQRKDAEFASAVFGPDIDIVGGNAPSKSFMDLSDTRYCGKMRALEKLMSSWALMGDKILLFSYSVRMLDILEKFLIRKGFCFSRLDGSTPTNMRQSLVDEFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPAQDLQAQDRSFRFGQRRHVVVFRLLAAGSLEELVYSRQVYKQQLSNIAVSGKMEKRYFEGVQDCKEFQGELFGICNLFRDLSDKLFTSEILELHEKQGQQHTEHHSDKQELTSLGSLPTPAEGSETFSSVSKNLHPSDIEIAATDKPVLEDLGILYAHRNEDIVNSRPGIQQKIIVLTGDNNPRIDTNASWKRKTDGEENVVSTRDRKKIQYGRLAQLKGMGVVEFSKWVLSATPSDRESLLRNYKRRKKEA >KJB50116 pep chromosome:Graimondii2_0_v6:8:41324517:41330239:1 gene:B456_008G154400 transcript:KJB50116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MSLLRSFKETLKPCCNSSSFSQPSLSQQPPLPSVINQRKPPKSSLSRQLQRLEHDYLPSTQECHFGNPKFSLPQLKNDAHMHGQQDDDDHQEEAEEEEVKEFGRAELGRVQFEDTGPYEPLVLSSDGEFPIIQVPAYINCRLLAHQREGVKFLYMLYKSNHGGILGDDMGLGKTIQTIAFLAAVYGKDEEYGDSRVLKENQLGQKGPVLIICPTSVICNWKCEFSRWAPFNVSLYHGSSCELILEKLQANGVEVLVTSFDTFRIHGNLLSEIKWEIVVVDEAHRLKNEKSKFYSACLEIKTRRRIGLTGTIMQNKIMELFNLFDWAAPGSLGTREHFREFYDEPLKHGQRATAPERFVRVAGERKQHLVAVLHKYMLRRTKEETIGQLMLGKEDNVVFCAMSELQKRVYQRMLQLPDVQCLINKDLPCSCGSPLAQVECCKRIVPKGIIWPYLHRGSPEGCDSCPFCLVLPCLVKLQQISNHLELIKPNPRDEPDKQRKDAEFASAVFGPDIDIVGGNAPSKSFMDLSDTRYCGKMRALEKLMSSWALMGDKILLFSYSVRMLDILEKFLIRKGFCFSRLDGSTPTNMRQSLVDEFNSSPSKQVFFELI >KJB50114 pep chromosome:Graimondii2_0_v6:8:41324517:41330239:1 gene:B456_008G154400 transcript:KJB50114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MSLLRSFKETLKPCCNSSSFSQPSLSQQPPLPSVINQRKPPKSSLSRQLQRLEHDYLPSTQECHFGNPKFSLPQLKNDAHMHGQQDDDDHQEEAEEEEVKEFGRAELGRVQFEDTGPYEPLVLSSDGEFPIIQVPAYINCRLLAHQREGVKFLYMLYKSNHGGILGDDMGLGKTIQTIAFLAAVYGKDEEYGDSRVLKENQLGQKGPVLIICPTSVICNWKCEFSRWAPFNVSLYHGSSCELILEKLQANGVEVLVTSFDTFRIHGNLLSEIKWEIVVVDEAHRLKNEKSKFYSACLEIKTRRRIGLTGTIMQNKIMELFNLFDWAAPGSLGTREHFREFYDEPLKHGQRATAPERFVRVAGERKQHLVAVLHKYMLRRTKEETIGQLMLGKEDNVVFCAMSELQKRVYQRMLQLPDVQCLINKDLPCSCGSPLAQVECCKRIVPKGIIWPYLHRGSPEGCDSCPFCLVLPCLVKLQQISNHLELIKPNPRDEPDKQRKDAEFASAVFGPDIDIVGGNAPSKSFMDLSDTRYCGKMRALEKLMSSWALMGDKILLFSYSVRCSLYQPELVDLD >KJB50113 pep chromosome:Graimondii2_0_v6:8:41323487:41330255:1 gene:B456_008G154400 transcript:KJB50113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MSLLRSFKETLKPCCNSSSFSQPSLSQQPPLPSVINQRKPPKSSLSRQLQRLEHDYLPSTQECHFGNPKFSLPQLKNDAHMHGQQDDDDHQEEAEEEEVKEFGRAELGRVQFEDTGPYEPLVLSSDGEFPIIQVPAYINCRLLAHQREGVKFLYMLYKSNHGGILGDDMGLGKTIQTIAFLAAVYGKDEEYGDSRVLKENQLGQKGPVLIICPTSVICNWKCEFSRWAPFNVSLYHGSSCELILEKLQANGVEVLVTSFDTFRIHGNLLSEIKWEIVVVDEAHRLKNEKSKFYSACLEIKTRRRIGLTGTIMQNKIMELFNLFDWAAPGSLGTREHFREFYDEPLKHGQRATAPERFVRVAGERKQHLVAVLHKYMLRRTKEETIGQLMLGKEDNVVFCAMSELQKRVYQRMLQLPDVQCLINKDLPCSCGSPLAQVECCKRIVPKGIIWPYLHRGSPEGCDSCPFCLVLPCLVKLQQISNHLELIKPNPRDEPDKQRKDAEFASAVFGPDIDIVGGNAPSKSFMDLSDTRYCGKMRALEKLMSSWALMGDKILLFSYSVRMLDILEKFLIRKGFCFSRLDGSTPTNMRQSLVDEFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPAQDLQAQDRSFRFGQRRHVVVFRLLAAGSLEELVYSRQVYKQQLSNIAVSGKMEKRYFEGVQDCKEFQGELFGICNLFRDLSDKLFTSEILELHEKQGQQHTEHHSDKQELTSLGSLPTPAEGSETFSSVSKNLHPSDIEIAATDKPVLEDLGILYAHRNEDIVNSRPGIQQKIIVLTGDNNPRIDTNASWKRKTDGEENVVSTRDRKKIQYGRLAQLKGMGVVEFSKWVLSATPSDRESLLRNYKRRKKEA >KJB52533 pep chromosome:Graimondii2_0_v6:8:54548741:54552527:1 gene:B456_008G266400 transcript:KJB52533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFMVFGALGAVVAALELSKNSKDRINTSPAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPQYKILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAILSGLFGNLLVDSLSLGPVAPFDAAACFLAIGMAIILSSWTENFGDSSENKDLLTQFRGAAVAIASDEKIAVLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSPRVESYMQIVFVISSASLLLPIITNLLVPPSKEKGGSISFAGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVNAFPITVMFGMCSIFLFVASILQRRLMAISDKPSIWKIGQL >KJB52530 pep chromosome:Graimondii2_0_v6:8:54548479:54552527:1 gene:B456_008G266400 transcript:KJB52530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFMVFGALGAVVAALELSKNSKDRINTSPAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPQYKILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAILSGLFGNLLVDSLSLGPVAPFDAAACFLAIGMAIILSSWTENFGDSSENKDLLTQFRGAAVAIASDEKIAVLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSPRVESYMQIVFVISSASLLLPIITNLLVPPSKEKGGSISFAGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVNAFPITVMFGMCSIFLFVASILQRRLMAISDKPKMENWTAMKERDPEAEPLND >KJB52531 pep chromosome:Graimondii2_0_v6:8:54548741:54552527:1 gene:B456_008G266400 transcript:KJB52531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFMVFGALGAVVAALELSKNSKDRINTSPAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPQYKILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAILSGLFGNLLVDSLSLGPVAPFDAAACFLAIGMAIILSSWTENFGDSSENKDLLTQFRGAAVAIASDEKIAVLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSPRVESYMQIVFVISSASLLLPIITNVFVGASFKGERRKHLICWLSSASWLLYF >KJB52532 pep chromosome:Graimondii2_0_v6:8:54548741:54552527:1 gene:B456_008G266400 transcript:KJB52532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFMVFGALGAVVAALELSKNSKDRINTSPAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPQYKILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAILSGLFGNLLVDSLSLGPVAPFDAAACFLAIGMAIILSSWTENFGDSSENKDLLTQFRGAAVAIASDEKIAVLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSPRVESYMQIVFVISSASLLLPIITNLLVPPSKEKGGSISFAGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVCYKLFYNSFI >KJB47526 pep chromosome:Graimondii2_0_v6:8:3655905:3659928:-1 gene:B456_008G030400 transcript:KJB47526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGFYGSDERRERKSDFENSEDERRTRIGSLKKKAISASARFKHSLKKKSNRRKSDGRVSSVSIEDVRNAEELRAVDQFRQALIMEELLPEKHDDYHMMLRFLKARKFDIDKAKHMWADMLQWRKEFGADTIMEDFEFKELNEVLRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFTMKFPACTIAAKRHIDSSTTILDVHGVGFKNFTKSARDLIMRLQKIDGDNYPETLYQMFIINSGPGFRLLWNTVKTFLDPKTTSKIHVIGNKYQSKLLEIIDASELPEFLGGSCTCADQGGCLRSDKGPWKNPEIMKMVLNGVALGARQFVKVLNSDGKVIAYVKPQCPMLKGSDTSTAESGSEAEDIASPKAMKSYSQLLLTPVCEETKVVGKTSYAGNFSGYDEFVPMVDKAVDSSWKKQTSLLRPSASKGTLAAPETPKAQEGIRAWILLMLMAFFMTLYTLFHSVALNITRKLPNSVSGHCQNVTEPTPNATQKEECRAPSPTALHTQADLLSSVIERLGELEEKVGTLQAKPSKMPYEKEELLNSAVCRVEALEAELIAAKKVLLAILIMVLFFQTLSIFLSLNRIL >KJB47524 pep chromosome:Graimondii2_0_v6:8:3654121:3660071:-1 gene:B456_008G030400 transcript:KJB47524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGFYGSDERRERKSDFENSEDERRTRIGSLKKKAISASARFKHSLKKKSNRRKSDGRVSSVSIEDVRNAEELRAVDQFRQALIMEELLPEKHDDYHMMLRFLKARKFDIDKAKHMWADMLQWRKEFGADTIMEDFEFKELNEVLRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFTMKFPACTIAAKRHIDSSTTILDVHGVGFKNFTKSARDLIMRLQKIDGDNYPETLYQMFIINSGPGFRLLWNTVKTFLDPKTTSKIHVIGNKYQSKLLEIIDASELPEFLGGSCTCADQGGCLRSDKGPWKNPEIMKMVLNGVALGARQFVKVLNSDGKVIAYVKPQCPMLKGSDTSTAESGSEAEDIASPKAMKSYSQLLLTPVCEETKVVGKTSYAGNFSGYDEFVPMVDKAVDSSWKKQTSLLRPSASKGTLAAPETPKAQEGIRAWILLMLMAFFMTLYTLFHSVALNITRKLPNSVSGHCQNVTEPTPNATQKEECRAPSPTALHTQADLLSSVIERLGELEEKVGTLQAKPSKMPYEKEELLNSAVCRVEALEAELIAAKKALHEALMRQEELFASLDSREQAKLRKKKFCW >KJB47525 pep chromosome:Graimondii2_0_v6:8:3654944:3660071:-1 gene:B456_008G030400 transcript:KJB47525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGFYGSDERRERKSDFENSEDERRTRIGSLKKKAISASARFKHSLKKKSNRRKSDGRVSSVSIEDVRNAEELRAVDQFRQALIMEELLPEKHDDYHMMLRFLKARKFDIDKAKHMWADMLQWRKEFGADTIMEDFEFKELNEVLRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFTMKFPACTIAAKRHIDSSTTILDVHGVGFKNFTKSARDLIMRLQKIDGDNYPETLYQMFIINSGPGFRLLWNTVKTFLDPKTTSKIHVIGNKYQSKLLEIIDASELPEFLGGSCTCADQGGCLRSDKGPWKNPEIMKMVLNGVALGARQFVKVLNSDGKVIAYVKPQCPMLKGSDTSTAESGSEAEDIASPKAMKSYSQLLLTPVCEETKVVGKTSYAGNFSGYDEFVPMVDKAVDSSWKKQTSLLRPSASKGTLAAPETPKAQEGIRAWILLMLMAFFMTLYTLFHSVALNITRKLPNSVSGHCQNVTEPTPNATQKEECRAPSPTALHTQADLLSSVIERLGELEEKVGTLQAKPSKMPYEKEELLNSAVCRVEALEAELIAAKKALHEALMRQEELFASLDSREQAKLRV >KJB47527 pep chromosome:Graimondii2_0_v6:8:3654944:3660071:-1 gene:B456_008G030400 transcript:KJB47527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGFYGSDERRERKSDFENSEDERRTRIGSLKKKAISASARFKHSLKKKSNRRKSDGRVSSVSIEDVRNAEELRAVDQFRQALIMEELLPEKHDDYHMMLRFLKARKFDIDKAKHMWADMLQWRKEFGADTIMEDFEFKELNEVLRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFTMKFPACTIAAKRHIDSSTTILDVHGVGFKNFTKSARDLIMRLQKIDGDNYPETLYQMFIINSGPGFRLLWNTVKTFLDPKTTSKIHVIGNKYQSKLLEIIDASELPEFLGGSCTCADQGGCLRSDKGPWKNPEIMKMVLNGVALGARQFVKVLNSDGKVIAYVKPQCPMLKGSDTSTAESGSEAEDIASPKAMKSYSQLLLTPVCEETKVVGKTSYAGNFSGYDEFVPMVDKAVDSSWKKQTSLLRPSASKAPETPKAQEGIRAWILLMLMAFFMTLYTLFHSVALNITRKLPNSVSGHCQNVTEPTPNATQKEECRAPSPTALHTQADLLSSVIERLGELEEKVGTLQAKPSKMPYEKEELLNSAVCRVEALEAELIAAKKANWLPRLLIFLEISVSSMEYSLSTD >KJB47528 pep chromosome:Graimondii2_0_v6:8:3654944:3660071:-1 gene:B456_008G030400 transcript:KJB47528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGFYGSDERRERKSDFENSEDERRTRIGSLKKKAISASARFKHSLKKKSNRRKSDGRVSSVSIEDVRNAEELRAVDQFRQALIMEELLPEKHDDYHMMLRFLKARKFDIDKAKHMWADMLQWRKEFGADTIMEDFEFKELNEVLRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFTMKFPACTIAAKRHIDSSTTILDVHGVGFKNFTKSARDLIMRLQKIDGDNYPEVCHLNFLTLYQMFIINSGPGFRLLWNTVKTFLDPKTTSKIHVIGNKYQSKLLEIIDASELPEFLGGSCTCADQGGCLRSDKGPWKNPEIMKMVLNGVALGARQFVKVLNSDGKVIAYVKPQCPMLKGSDTSTAESGSEAEDIASPKAMKSYSQLLLTPVCEETKVVGKTSYAGNFSGYDEFVPMVDKAVDSSWKKQTSLLRPSASKGTLAAPETPKAQEGIRAWILLMLMAFFMTLYTLFHSVALNITRKLPNSVSGHCQNVTEPTPNATQKEECRAPSPTALHTQADLLSSVIERLGELEEKVGTLQAKPSKMPYEKEELLNSAVCRVEALEAELIAAKKALHEALMRQEELFASLDSREQAKLRKKKFCW >KJB47523 pep chromosome:Graimondii2_0_v6:8:3654819:3660071:-1 gene:B456_008G030400 transcript:KJB47523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGFYGSDERRERKSDFENSEDERRTRIGSLKKKAISASARFKHSLKKKSNRRKSDGRVSSVSIEDVRNAEELRAVDQFRQALIMEELLPEKHDDYHMMLRFLKARKFDIDKAKHMWADMLQWRKEFGADTIMEDFEFKELNEVLRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFTMKFPACTIAAKRHIDSSTTILDVHGVGFKNFTKSARDLIMRLQKIDGDNYPETLYQMFIINSGPGFRLLWNTVKTFLDPKTTSKIHVIGNKYQSKLLEIIDASELPEFLGGSCTCADQGGCLRSDKGPWKNPEIMKMVLNGVALGARQFVKVLNSDGKVIAYVKPQCPMLKGSDTSTAESGSEAEDIASPKAMKSYSQLLLTPVCEETKVVGKTSYAGNFSGYDEFVPMVDKAVDSSWKKQTSLLRPSASKGTLAAPETPKAQEGIRAWILLMLMAFFMTLYTLFHSVALNITRKLPNSVSGHCQNVTEPTPNATQKEECRAPSPTALHTQADLLSSVIERLGELEEKVGTLQAKPSKMPYEKEELLNSAVCRVEALEAELIAAKKALHEALMRQEELFASLDSREQAKLRKKKFCW >KJB47529 pep chromosome:Graimondii2_0_v6:8:3654944:3660071:-1 gene:B456_008G030400 transcript:KJB47529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGFYGSDERRERKSDFENSEDERRTRIGSLKKKAISASARFKHSLKKKSNRRKSDGRVSSVSIEDVRNAEELRAVDQFRQALIMEELLPEKHDDYHMMLRFLKARKFDIDKAKHMWADMLQWRKEFGADTIMEDFEFKELNEVLRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFTMKFPACTIAAKRHIDSSTTILDVHGVGFKNFTKSARDLIMRLQKIDGDNYPETLYQMFIINSGPGFRLLWNTVKTFLDPKTTSKIHVIGNKYQSKLLEIIDASELPEFLGGSCTCADQGGCLRSDKGPWKNPEIMKMVLNGVALGARQFVKVLNSDGKVIAYVKPQCPMLKGSDTSTAESGSEAEDIASPKAMKSYSQLLLTPVCEETKVVGKTSYAGNFSGYDEFVPMVDKAVDSSWKKQTSLLRPSASKGTLAAPETPKAQEGIRAWILLMLMAFFMTLYTLFHSVALNITRKLPNSVSGHCQNVTEPTPNATQKEECRAPSPTALHTQADLLSSVIERLGELEEKVGTLQAKPSKMPYEKEELLNSAVCRVEALEAELIAAKKKSQCLLWNIHYQLINHLFWALHEALMRQEELFASLDSREQAKLRKKKFCW >KJB47531 pep chromosome:Graimondii2_0_v6:8:3655683:3660071:-1 gene:B456_008G030400 transcript:KJB47531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGFYGSDERRERKSDFENSEDERRTRIGSLKKKAISASARFKHSLKKKSNRRKSDGRVSSVSIEDVRNAEELRAVDQFRQALIMEELLPEKHDDYHMMLRFLKARKFDIDKAKHMWADMLQWRKEFGADTIMEDFEFKELNEVLRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFTMKFPACTIAAKRHIDSSTTILDVHGVGFKNFTKSARDLIMRLQKIDGDNYPETLYQMFIINSGPGFRLLWNTVKTFLDPKTTSKIHVIGNKYQSKLLEIIDASELPEFLGGSCTCADQGGCLRSDKGPWKNPEIMKMVLNGVALGARQFVKVLNSDGKVIAYVKPQCPMLKGSDTSTAESGSEAEDIASPKAMKSYSQLLLTPVCEETKVVGKTSYAGNFSGYDEFVPMVDKAVDSSWKKQTSLLRPSASKGTLAAPETPKAQEGIRAWILLMLMAFFMTLYTLFHSVALNITRKLPNSVSGHCQNVTEPTPNATQKEECRAPSPTALHTQADLLSSVIERLGELEEKVGTLQAKPSKMPYEKEELLNSAVCRVEALEAELIAAKKVLLAILIMVLFFQTLSIFLSLNRIL >KJB47530 pep chromosome:Graimondii2_0_v6:8:3654944:3660592:-1 gene:B456_008G030400 transcript:KJB47530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGFYGSDERRERKSDFENSEDERRTRIGSLKKKAISASARFKHSLKKKSNRRKSDGRVSSVSIEDVRNAEELRAVDQFRQALIMEELLPEKHDDYHMMLRFLKARKFDIDKAKHMWADMLQWRKEFGADTIMEDFEFKELNEVLRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFTMKFPACTIAAKRHIDSSTTILDVHGVGFKNFTKSARDLIMRLQKIDGDNYPETLYQMFIINSGPGFRLLWNTVKTFLDPKTTSKIHVIGNKYQSKLLEIIDASELPEFLGGSCTCADQGGCLRSDKGPWKNPEIMKMVLNGVALGARQFVKVLNSDGKVIAYVKPQCPMLKGSDTSTAESGSEAEDIASPKAMKSYSQLLLTPVCEETKVVGKTSYAGNFSGYDEFVPMVDKAVDSSWKKQTSLLRPSASKGTLAAPETPKAQEGIRAWILLMLMAFFMTLYTLFHSVALNITRKLPNSVSGHCQNVTEPTPNATQKEECRAPSPTALHTQADLLSSVIERLGELEEKVGTLQAKPSKMPYEKEELLNSAVCRVEALEAELIAAKKALHEALMRQEELFASLDSREQAKLRKKKFCW >KJB47595 pep chromosome:Graimondii2_0_v6:8:3957394:3959301:-1 gene:B456_008G032700 transcript:KJB47595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14b [Source:Projected from Arabidopsis thaliana (AT5G45600) UniProtKB/Swiss-Prot;Acc:Q9FH40] MVDTSLSKKKDPDQPEISLPTLKSQRTKMGKSEDSEKKKKIKDVEISVPIVYGNAAFWLGKKASEYQSHKWTVYVRGATNEDLSVVVKRAVFQLHSSFNNPTRVVESAPFELSESGWGEFEIAITLFFHNDVCEKPLNLYHHLKLYPEDESGPMSTKKPVVVESYDEVVFTEPSESFLARVQNHPAVTFPRLPAGVTLPPPVSIEDESKKKRGDTKDHPLSQWFLNFSEADELLQLAAARQQVSKHDFYFLG >KJB47591 pep chromosome:Graimondii2_0_v6:8:3956218:3959554:-1 gene:B456_008G032700 transcript:KJB47591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14b [Source:Projected from Arabidopsis thaliana (AT5G45600) UniProtKB/Swiss-Prot;Acc:Q9FH40] MVDTSLSKKKDPDQPEISLPTLKSQRTKMGKSEDSEKKKKIKDVEISVPIVYGNAAFWLGKKASEYQSHKWTVYVRGATNEDLSVVVKRAVFQLHSSFNNPTRVVESAPFELSESGWGEFEIAITLFFHNDVCEKPLNLYHHLKLYPEDESGPMSTKKPVVVESYDEVVFTEPSESFLARVQNHPAVTFPRLPAGVTLPPPVSIEDESKKKRGDTKDHPLSQWFLNFSEADELLQLAAARQQVQAHIAKLRRQISLTDGQNQQFKPL >KJB47593 pep chromosome:Graimondii2_0_v6:8:3956904:3959554:-1 gene:B456_008G032700 transcript:KJB47593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14b [Source:Projected from Arabidopsis thaliana (AT5G45600) UniProtKB/Swiss-Prot;Acc:Q9FH40] MVDTSLSKKKDPDQPEISLPTLKSQRTKMGKSEDSEKKKIKDVEISVPIVYGNAAFWLGKKASEYQSHKWTVYVRGATNEDLSVVVKRAVFQLHSSFNNPTRVVESAPFELSESGWGEFEIAITLFFHNDVCEKPLNLYHHLKLYPEDESGPMSTKKPVVVESYDEVVFTEPSESFLARVQNHPAVTFPRLPAGVTLPPPVSIEDESKKKRGDTKDHPLSQWFLNFSEADELLQLAAARQQVQAHIAKLRRQISLTDGQNQQFKPL >KJB47592 pep chromosome:Graimondii2_0_v6:8:3956400:3959554:-1 gene:B456_008G032700 transcript:KJB47592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14b [Source:Projected from Arabidopsis thaliana (AT5G45600) UniProtKB/Swiss-Prot;Acc:Q9FH40] MVDTSLSKKKDPDQPEISLPTLKSQRTKMGKSEDSEKKKKIKDVEISVPIVYGNAAFWLGKKASEYQSHKWTVYVRGATNEDLSVVVKRAVFQLHSSFNNPTRVVESAPFELSESGWGEFEIAITLFFHNDVCEKPLNLYHHLKLYPEDESGPMSTKKPVVVESYDEVVFTEPSESFLARVQNHPAVTFPRLPAGVTLPPPVSIEDESKKKRGDTKDHPLSQWFLNFSEADELLQLAAARQQVQAHIAKLRRQISLTDGQNQQFKPL >KJB47594 pep chromosome:Graimondii2_0_v6:8:3957241:3959301:-1 gene:B456_008G032700 transcript:KJB47594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14b [Source:Projected from Arabidopsis thaliana (AT5G45600) UniProtKB/Swiss-Prot;Acc:Q9FH40] MVDTSLSKKKDPDQPEISLPTLKSQRTKMGKSEDSEKKKKIKDVEISVPIVYGNAAFWLGKKASEYQSHKWTVYVRGATNEDLSVVVKRAVFQLHSSFNNPTRVVESAPFELSESGWGEFEIAITLFFHNDVCEKPLNLYHHLKLYPEDESGPMSTKKPVVVESYDEVVFTEPSESFLARVQNHPAVTFPRLPAGVTLPPPVSIEDESKKKRGDTKDHPLSQWFLNFSEADELLQLAAARQQMSRNSNVWIF >KJB47590 pep chromosome:Graimondii2_0_v6:8:3955664:3959554:-1 gene:B456_008G032700 transcript:KJB47590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14b [Source:Projected from Arabidopsis thaliana (AT5G45600) UniProtKB/Swiss-Prot;Acc:Q9FH40] MVDTSLSKKKDPDQPEISLPTLKSQRTKMGKSEDSEKKKKIKDVEISVPIVYGNAAFWLGKKASEYQSHKWTVYVRGATNEDLSVVVKRAVFQLHSSFNNPTRVVESAPFELSESGWGEFEIAITLFFHNDVCEKPLNLYHHLKLYPEDESGPMSTKKPVVVESYDEVVFTEPSESFLARVQNHPAVTFPRLPAGVTLPPPVSIEDESKKKRGDTKDHPLSQWFLNFSEADELLQLAAARQQVQAHIAKLRRQISLTDGQNQQFKPL >KJB53296 pep chromosome:Graimondii2_0_v6:8:49715415:49716731:1 gene:B456_008G211000 transcript:KJB53296 gene_biotype:protein_coding transcript_biotype:protein_coding description:GUT1 [Source:Projected from Arabidopsis thaliana (AT5G61840) UniProtKB/TrEMBL;Acc:A0A178UAL2] MLLQEEKAIERGILPLLQRATLVQTFGQRNHVCLKEGSITIPPYAPPQKMQTHLIPDKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVFVDEKDVPNLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQVLNGLARKLPHDKSVYLKPGERVLNWTAGPVGDLKPW >KJB53295 pep chromosome:Graimondii2_0_v6:8:49713254:49716760:1 gene:B456_008G211000 transcript:KJB53295 gene_biotype:protein_coding transcript_biotype:protein_coding description:GUT1 [Source:Projected from Arabidopsis thaliana (AT5G61840) UniProtKB/TrEMBL;Acc:A0A178UAL2] MEIWGWVFIGILFLADFVVKIEPFKLGRSQPTERISGSAGDVLEDNPVGRLKVFVYELPSKYNKKILQKDPRCLNHMFAAEIYMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFLVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLKEGSITIPPYAPPQKMQTHLIPDKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVFVDEKDVPNLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQVLNGLARKLPHDKSVYLKPGERVLNWTAGPVGDLKPW >KJB51159 pep chromosome:Graimondii2_0_v6:8:48971519:48972706:1 gene:B456_008G204200 transcript:KJB51159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMNPSSLPKLPILLKPFLLSLFLSLFFLAFLASHSYSPLLRLRTALQTPTIPPSRAGNGGASLRIRPGYSSYNAYIERQLNKTLNPKLRKIWTTRDWDRKIRVFARFFQSLKQRNLISNHSKALSIGARVGQEVAAMKLVGVSDSVGIDLVPYPPLVMKGDFHAQPFENQTFDFEFSNVFDHALYPWKFVGEIERTLKPGGVCVLHVAVLRRADKYSANDLYSVQPLVELFKESELLEVSKVDAFGLDTEVVFRKKKKIENS >KJB48829 pep chromosome:Graimondii2_0_v6:8:20526259:20526753:-1 gene:B456_008G089200 transcript:KJB48829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTRFEIEKFDGETNFNLWQVRMMAILVQSGLKKVVTRKKPENLNKTKWEELDGKALSVIQLCLANTVLQEVLMEKTSSALWKRLETLYATKSLANRLVLKQHLFTFRMNEGEILRDHISQFITLLNDLKKVEVHIDDEDQAMLLLCSLPPSYKSFKEILIYG >KJB53029 pep chromosome:Graimondii2_0_v6:8:56423621:56429253:-1 gene:B456_008G289400 transcript:KJB53029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWISSKLKVAETLLQQIDQQAAESLKKNEKPLSDEIKIDTPTKTGGVVSLKDQLKKKPQENYDYQGKLFSDQNAKVSSNDDSNSNKAVNVPNLDKEVSSSKASVKRKTSLTDSDWTELLSTPSHGTGSLGNTRGNVVSGIRGLGKDARRKGKLGSNSLSLERKKNEKSDVSASKSVRRSDIVSGNKLNGKPNEGEESSSSGRASNNSIDIQNDGKTLEGLKLNHEVTDSISMVKLKDDMDEENGWQLDSGDLLSDAEGLSRSVSKNHLPPNMPELGNTDGVPDVKIGMPDAHDQLVTTVSEKSKSTGSSRSLVSNDVKRTSQPTSDGSSDSDSDSDSSSGSESELEREERRRRKQRILAERAAAKAIEAIKERENMIAKLEGEKQSLEKILEERAKQQAKEASELQTTMMEMMEAVELEKQKHNNTRMEALQRLAKLETRNADLARSLATAQKKLEVEINQIADLRQQIELKETAHEELKRRISSSYQSGTYPNQLAASKGIEFECEILEAEYCLVIDKIGRLQGKAKQLEASIELTRKEMEDPTEVEVELNRRLGQLTDRLIQKQAQVESLSSEKATLTFRIEAVLRMLDENSSVNTSDAASSDLESGTWDLSDSKLKPLFKDKIRSGKKQLGSIVKQLDAIFVAGAIFLRRNAAAKLWSLVYLVCLHFWVLYILMTHSQPSDEGRSGAVMSLENINNTASG >KJB53030 pep chromosome:Graimondii2_0_v6:8:56423394:56429570:-1 gene:B456_008G289400 transcript:KJB53030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWISSKLKVAETLLQQIDQQAAESLKKNEKPLSDEIKIDTPTKTGGVVSLKDQLKKKPQENYDYQGKLFSDQNAKVSSNDDSNSNKAVNVPNLDKEVSSSKASVKRKTSLTDSDWTELLSTPSHGTGSLGNTRGNVVSGIRGLGKDARRKGKLGSNSLSLERKKNEKSDVSASKSVRRSDIVSGNKLNGKPNEGEESSSSGRASNNSIDIQNDGKTLEGLKLNHEVTDSISMVKLKDDMDEENGWQLDSGDLLSDAEGLSRSVSKNHLPPNMPELGNTDGVPDVKIGMPDAHDQLVTTVSEKSKSTGSSRSLVSNDVKRTSQPTSDGSSDSDSDSDSSSGSESELEREERRRRKQRILAERAAAKAIEAIKERENMIAKLEGEKQSLEKILEERAKQQAKEASELQTTMMEMMEAVELEKQKHNNTRMEALQRLAKLETRNADLARSLATAQKKLEVEINQIADLRQQIELKETAHEELKRRISSSYQSGTYPNQLAASKGIEFECEILEAEYCLVIDKIGRLQGKAKQLEASIELTRKEMEDPTEVEVELNRRLGQLTDRLIQKQAQVESLSSEKATLTFRIEAVLRMLDENSSVNTSDAASSDLESGTWDLSDSKLKPLFKDKIRSGKKQLGSIVKQLDAIFVAGAIFLRRNAAAKLWSLVYLVCLHFWVLYILMTHSQPSDEGRSGAVMSLENINNTASGVFVPPMKITNRFVAS >KJB53031 pep chromosome:Graimondii2_0_v6:8:56423434:56429322:-1 gene:B456_008G289400 transcript:KJB53031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWISSKLKVAETLLQQIDQQAAESLKKNEKPLSDEIKIDTPTKTGGVVSLKDQLKKKPQENYDYQGKLFSDQNAKVSSNDDSNSNKAVNVPNLDKEVSSSKASVKRKTSLTDSDWTELLSTPSHGTGSLGNTRGNVVSGIRGLGKDARRKGKLGSNSLSLERKKNEKSDVSASKSVRRSDIVSGNKLNGKPNEGEESSSSGRASNNSIDIQNDGKTLEGLKLNHEVTDSISMVKLKDDMDEENGWQLDSGDLLSDAEGLSRSVSKNHLPPNMPELGNTDGVPDVKIGMPDAHDQLVTTVSEKSKSTGSSRSLVSNDVKRTSQPTSDGSSDSDSDSDSSSGSESELEREERRRRKQRILAERAAAKAIEAIKERENMIAKLEGEKQSLEKILEERAKQQAKEASELQTTMMEMMEAVELEKQKHNNTRMEALQRLAKLETRNADLARSLATAQKKLEVEINQIADLRQQIELKETAHEGHRKELKRRISSSYQSGTYPNQLAASKGIEFECEILEAEYCLVIDKIGRLQGKAKQLEASIELTRKEMEDPTEVEVELNRRLGQLTDRLIQKQAQVESLSSEKATLTFRIEAVLRMLDENSSVNTSDAASSDLESGTWDLSDSKLKPLFKDKIRSGKKQLGSIVKQLDAIFVAGAIFLRRNAAAKLWSLVYLVCLHFWVLYILMTHSQPSDEGRSGAVMSLENINNTASG >KJB49512 pep chromosome:Graimondii2_0_v6:8:36137435:36141078:1 gene:B456_008G123200 transcript:KJB49512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEGKSSKSDKSSSPAPPDQTNIHVYPDWAAMQAYYGPRVPMPPYYNSAVASGHAPPPYMWGPTQAIMPPYGTPYATIYPHGGVYVHPTVPMGSHGHGVPSSPKAAPETPTKFSGNTNGGLMKKLKGFDGLAMSIGNSTAENAESGAEPRPSQSAETEGSTDDSDGNTTGADQTRWKRSREGTPAIGVEGNNEARSKPVAAREATATISPKPVGSVLPSGMTTSLELSNPPAKSSPTNVPRVMTSEIWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTAENTTLRSEINQLTEKSEKLRLENATLVEGLKNGELGYAKDIIMNKKADKEGEMYEKKSNSGAKLHQLFDPSPRADAVAAS >KJB49509 pep chromosome:Graimondii2_0_v6:8:36137435:36140015:1 gene:B456_008G123200 transcript:KJB49509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEGKSSKSDKSSSPAPPDQTNIHVYPDWAAMQAYYGPRVPMPPYYNSAVASGHAPPPYMWGPTQAIMPPYGTPYATIYPHGGVYVHPTVPMGSHGHGVPSSPKAAPETPTKFSGNTNGGLMKKLKGFDGLAMSIGNSTAENAESGAEPRPSQSAETEGSTDDSDGNTTGADQTRWKRSREGTPAIGVEGNNEARSKPVAAREATATISPKPVGSVLPSGMTTSLELSNPPAKSSPTNVPRVMTSEIWLQNERELKRERRKQSNRESARRSRLRKQV >KJB49510 pep chromosome:Graimondii2_0_v6:8:36137435:36140632:1 gene:B456_008G123200 transcript:KJB49510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEGKSSKSDKSSSPAPPDQTNIHVYPDWAAMQAYYGPRVPMPPYYNSAVASGHAPPPYMWGPTQAIMPPYGTPYATIYPHGGVYVHPTVPMGSHGHGVPSSPKAAPETPTKFSGNTNGGLMKKLKGFDGLAMSIGNSTAENAESGAEPRPSQSAETEGSTDDSDGNTTGADQTRWKRSREGTPAIAGVEGNNEARSKPVAAREATATISPKPVGSVLPSGMTTSLELSNPPAKSSPTNVPRVMTSEIWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTAENTTLRSEINQLTEKSEKLRLENATLVEGLKNGELGYAKDIIMNKKADKEGEMYEKKSNSGAKLHQLFDPSPRADAVAAS >KJB49513 pep chromosome:Graimondii2_0_v6:8:36138575:36140632:1 gene:B456_008G123200 transcript:KJB49513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAPETPTKFSGNTNGGLMKKLKGFDGLAMSIGNSTAENAESGAEPRPSQSAETEGSTDDSDGNTTGADQTRWKRSREGTPAIGVEGNNEARSKPVAAREATATISPKPVGSVLPSGMTTSLELSNPPAKSSPTNVPRVMTSEIWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTAENTTLRSEINQLTEKSEKLRLENATLVEGLKNGELGYAKDIIMNKKADKEGEMYEKKSNSGAKLHQLFDPSPRADAVAAS >KJB49511 pep chromosome:Graimondii2_0_v6:8:36137435:36140632:1 gene:B456_008G123200 transcript:KJB49511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEGKSSKSDKSSSPAPPDQTNIHVYPDWAAMQAYYGPRVPMPPYYNSAVASGHAPPPYMWGPTQAIMPPYGTPYATIYPHGGVYVHPTVPMGSHGHGVPSSPKAAPETPTKFSGNTNGGLMKKLKGFDGLAMSIGNSTAENAESGAEPRPSQSAETEGSTDDSDGNTTGADQTRWKRSREGTPAIGVEGNNEARSKPVAAREATATISPKPVGSVLPSGMTTSLELSNPPAKSSPTNVPRVMTSEIWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVESLTAENTTLRSEINQLTEKSEKLRLENATLVVGKFNFLEITPLLKLHSNDW >KJB49104 pep chromosome:Graimondii2_0_v6:8:30126477:30131292:1 gene:B456_008G101200 transcript:KJB49104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVQGKYASTNSPSRGLDKLKQEHGYAAKRGEKEDGGVAGNGEKVVNREGETVRSNDANGNVSQKHASKKIVGDDQVVDGWPKWLVDNVPFEVLSGLVPKSADSYDKLAKVGQGTYSNVYKARDKDTGKIVALKKVRFNTAEPESVKFMAREIMILQRLNHPNIISLEGLATSRMQYSLYLVFDFMQSDLSKIISRPGDRLTEPQIKCYMQQLLSGLEHCHERGILHRDIKGSNLLIDSNGVLKIADFGLANIFNPKPKRPLTSRVVTLWYRAPELLLGSTDYGVGVDLWSAGCLLAEMFAGRPIMPGRTEVEQLHRIFKLCGSPSEEYWKKMKLPASFRPPQHYKPGYYEEFGDFPSSSFGLLTMLLNLDPSYRGTAASALQTEFFTSSPLACDLSDLPVIYKEEEPYKAKDRKKHRTSKTKQSSRKKHEGDETKELIMTVEKKDDTDTSREEKQKGNEACNNAKSSFRVKPIKHNEQRLPASLSPILRSNAKIAPRTEAHPNATQNIQNFTLLQASITDIMKNNLGNPQYRRSFSTWDFRTFDPDKISKPSGVE >KJB52983 pep chromosome:Graimondii2_0_v6:8:56223420:56228596:-1 gene:B456_008G286800 transcript:KJB52983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MTKKECLLMPEETSSATVKRKHIYFEEFHCNRQTKRQKLVSSETKTKTKTLTLNPEPVRNPPGWNRFHAIRPCSSSSSRVHKRWQKRNNCRSSEASRNWVFSSYDCSDCKDKVVIVSYNILGVENAAKHPDLYLNVPRKFLKWDRRKGLICKEVNHYNADILCFQEVDRFKDLDDLLHENGFKGVYKVRTGDACDGCALFWNEKKFTLLHEDSIEFQDFDLRNNVAQFCVLKMNRHQSESSSHAKPSKKRQMRSRRLLVGNIHVLFNPNRGDIKLGQVRLFLEKAYKLSQEWGNIPVILVGDFNSIPQSAMYQFLASSELNIHLHDRRRISGQLEHSSRRREIRAQNKDIDRHHVWTPFSRQLTSSWSDEELVLATGNKGVTHLQHKLNLSSAYLGAPGSHRTRDSHGEPLATSYHSKFMGTVDYIWHTGELIPVKVLDTLPVDVLRRNASLPSERWGSDHLALVCELAFADDCKEP >KJB47205 pep chromosome:Graimondii2_0_v6:8:1752435:1754117:1 gene:B456_008G015400 transcript:KJB47205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRTVAGSEIMEQEVKGKGSEERWTGAIANLTEMASNLDSLQKLLLKKAVFVDEDTFAKASLTSEQARTIKVLEQRVETLEREVDAAITAAASARSEKRQAEAAQKAAELRAQEVTKELENTTKVFELHMEELRAKQEEISKRDKEIKLLEAIIQTLGGKDSRSSNLE >KJB47206 pep chromosome:Graimondii2_0_v6:8:1752509:1753369:1 gene:B456_008G015400 transcript:KJB47206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEVKGKGSEERWTGAIANLTEMASNLDSLQKLLLKKAVFVDEDTFAKASLTSEQARTIKVLEQRVETLEREVDAAITAAASARSEKRQAEAAQKAAELRAQEVTKELENTTIFELHMEELRAKQEEISKRDKEIKLLEAIIQTLGGKDSRSSNLE >KJB52105 pep chromosome:Graimondii2_0_v6:8:53104824:53110778:1 gene:B456_008G246800 transcript:KJB52105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGCSNLSACCWSLDQNGSIPEADNVENEDKGEVDDLPAFREYSIETLQMATSGFAMENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRSAWPDARQFLEEAKAVGQLRNHRLANLLGCCCEGDERLLVAEFMPNETLAKHLFHWEAQPMKWVMRLRVALHLAEALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPKSLVAALIPLQRDTEVPSHELMGILHGADAVPLSPLGEACLRMDLTAIHDVLEKLGYKDDEGAATELSFQMWTNQMQETLTSKKKGDVAFRHKDFRAALECYTQFIDVGTVVSPTVYARRSLSYLMSNMPQEALNDAVQAQVISPIWHIASYLQASALLALGKEDEAQAALREATELENKKNATS >KJB52103 pep chromosome:Graimondii2_0_v6:8:53105106:53110603:1 gene:B456_008G246800 transcript:KJB52103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGCSNLSACCWSLDQNGSIPEADNVENEDKGEVDDLPAFREYSIETLQMATSGFAMENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRSAWPDARQFLEEAKAVGQLRNHRLANLLGCCCEGDERLLVAEFMPNETLAKHLFHWEAQPMKWVMRLRVALHLAEALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPKSLVAALIPLQRDTEVPSHELMGILHGADAVPLSPLGEACLRMDLTAIHDVLEKLGYKDDEGAATELSFQMWTNQMQETLTSKKKGDVAFRHKDFRAALECYTQFIDVGTVVSPTVYARRSLSYLMSNMPQEALNDAVQAQVISPIWHIASYLQASALLALGKEDEAQAALREATELENKKNATS >KJB52101 pep chromosome:Graimondii2_0_v6:8:53104824:53110671:1 gene:B456_008G246800 transcript:KJB52101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGCSNLSACCWSLDQNGSIPEADNVENEDKGEVDDLPAFREYSIETLQMATSGFAMENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRSAWPDARQFLEEAKAVGQLRNHRLANLLGCCCEGDERLLVAEFMPNETLAKHLFHWEAQPMKWVMRLRVALHLAEALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPKSLVAALIPLQRDTEVPSHELMGILHGADAVPLSPLGEACLRMDLTAIHDVLEKLGYKDDEGAATELSFQMWTNQMQETLTSKKKGDVAFRHKDFRAALECYTQFIDVGTVVSPTVYARRSLSYLMSNMPQEALNDAVQAQVISPIWHIASYLQASALLALGKEDEAQAALREATELENKKNATS >KJB52104 pep chromosome:Graimondii2_0_v6:8:53105106:53110603:1 gene:B456_008G246800 transcript:KJB52104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGCSNLSACCWSLDQNGSIPEADNVENEDKGEVDDLPAFREYSIETLQMATSGFAMENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRSAWPDARQFLEEAKAVGQLRNHRLANLLGCCCEGDERLLVAEFMPNETLAKHLFHWEAQPMKWVMRLRVALHLAEALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPKSLVAALIPLQRDTEVPSHELMGILHGADAVPLSPLGEACLRMDLTAIHDVLEKLGYKDDEGAATELSFQMWTNQMQETLTSKKKGDVAFRHKDFRAALECYTQFVLPHEQHAPRSPQ >KJB52102 pep chromosome:Graimondii2_0_v6:8:53104824:53110671:1 gene:B456_008G246800 transcript:KJB52102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGCSNLSACCWSLDQNGSIPEADNVENEDKGEVDDLPAFREYSIETLQMATSGFAMENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRSAWPDARQFLEEAKAVGQLRNHRLANLLGCCCEGDERLLVAEFMPNETLAKHLFHWEAQPMKWVMRLRVALHLAEALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPKSLVAALIPLQRDTEVPSHELMGILHGADAVPLSPLGEACLRMDLTAIHDVLEKLGYKDDEGAATELSFQMWTNQMQETLTSKKKGDVAFRHKDFRAALECYTQFIDVGTVVSPTVYARRSLSYLMSNMPQEALNDAVQAQVISPIWHIASYLQASALLALGKEDEAQAALREATELENKKNATS >KJB50731 pep chromosome:Graimondii2_0_v6:8:46387476:46391240:1 gene:B456_008G184400 transcript:KJB50731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRSFLRGTESKEKLLPRKGYSEFGFNSSDVSEDRVKCSCFRSCCDSIDNFWSGLQELFVKLYEMGRSDPRKVIFATKMGFSLMSISLLMLFKEPLKETSQYSIWAILTVVVVFEFSVGATLNKGFNRAIGTFSAGALALGIAQVSTLSGKYEEIIILISIFIAGFAASYCKLYPPLKTYEYGFRVFLLTFCIVLVSGNNSRTFFRTAFYRLLLIFIGAGLCLIINICIYPIWSGEDLHKLVVKNFKNVASSLEGCVNGYLQCVEYERIPSKILTYQASDDPLYSAYRSVVQSSSQEDTLLDFALWEPPHGPYRSFNYPWRNYVKVSGALRHCAFMVMAMHGCILSEIQAPAEKRHVFALELQRAGNAGAKVLRELGQKVEKMEKLIPGDILREVHEAGEDLQMKIDEKSYLLVNSESWATAPPHRELEESGSMADVKDNEHKVIKSLSDMWEVQHSIDPRAPDLITSESMLQKPSWPRLSFTAEALLQQQESKIYESASALSLATFASLLIEFVARLQNLVDAFQELSEKANFKVPVDQSPQKEAPGFWRRLRNCFQSKN >KJB50732 pep chromosome:Graimondii2_0_v6:8:46387602:46391155:1 gene:B456_008G184400 transcript:KJB50732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRSFLRGTESKEKLLPRKGYSEFGFNSSDVSEDRVKCSCFRSCCDSIDNFWSGLQELFVKLYEMGRSDPRKVIFATKMGFSLMSISLLMLFKEPLKETSQYSIWAILTVVVVFEFSVGATLNKGFNRAIGTFSAGALALGIAQVSTLSGKYEEIIILISIFIAGFAASYCKLYPPLKTYEYGFRVFLLTFCIVLVSGNNSRTFFRTAFYRLLLIFIGAGLCLIINICIYPIWSGEDLHKLVVKNFKNVASSLEGCVNGYLQCVEYERIPSKILTYQASDDPLYSAYRSVVQSSSQEDTLLDFALWEPPHGPYRSFNYPWRNYVKAPAEKRHVFALELQRAGNAGAKVLRELGQKVEKMEKLIPGDILREVHEAGEDLQMKIDEKSYLLVNSESWATAPPHRELEESGSMADVKDNEHKVIKSLSDMWEVQHSIDPRAPDLITSESMLQKPSWPRLSFTAEALLQQQESKIYESASALSLATFASLLIEFVARLQNLVDAFQELSEKANFKVPVDQSPQKEAPGFWRRLRNCFQSKN >KJB50733 pep chromosome:Graimondii2_0_v6:8:46387602:46391155:1 gene:B456_008G184400 transcript:KJB50733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRSFLRGTESKEKLLPRKGYSEFGFNSSDVSEDRVKCSCFRSCCDSIDNFWSGLQELFVKLYEMGRSDPRKVIFATKMGFSLMSISLLMLFKEPLKETSQYSIWAILTVVVVFEFSVGFNRAIGTFSAGALALGIAQVSTLSGKYEEIIILISIFIAGFAASYCKLYPPLKTYEYGFRVFLLTFCIVLVSGNNSRTFFRTAFYRLLLIFIGAGLCLIINICIYPIWSGEDLHKLVVKNFKNVASSLEGCVNGYLQCVEYERIPSKILTYQASDDPLYSAYRSVVQSSSQEDTLLDFALWEPPHGPYRSFNYPWRNYVKVSGALRHCAFMVMAMHGCILSEIQAPAEKRHVFALELQRAGNAGAKVLRELGQKVEKMEKLIPGDILREVHEAGEDLQMKIDEKSYLLVNSESWATAPPHRELEESGSMADVKDNEHKVIKSLSDMWEVQHSIDPRAPDLITSESMLQKPSWPRLSFTAEALLQQQESKIYESASALSLATFASLLIEFVARLQNLVDAFQELSEKANFKVPVDQSPQKEAPGFWRRLRNCFQSKN >KJB47326 pep chromosome:Graimondii2_0_v6:8:2405453:2407192:-1 gene:B456_008G021200 transcript:KJB47326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSAFLSTFISPQTVSFSPKSSSSLRLLPPTSVRMVRTVTLATASQPATGPRTPRGIMKPRRVTPEMQDVVGVPEIPRTQALKQIWAYIKEHNLQDPENKKIINCDEKLKKIFGGKDRIGFLEIAGLISPHFL >KJB48757 pep chromosome:Graimondii2_0_v6:8:18534203:18535050:1 gene:B456_008G085300 transcript:KJB48757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTVPAIISSNPMIQSDPFPSLQSAWDCSQLFSNTQSVGPARSGSASIEPNQTQTHSNSCLDESNQTLSIIDERKRRRMISNRESARRSRMRKRNHLENLRNQANRLRIENRELTNRLRFLLYHCHRVRTDNDRLRSESTVLRLKLSDMHQILLFKQLQQFSSAWPCNNVTVMSEQIPPPLII >KJB50504 pep chromosome:Graimondii2_0_v6:8:45011919:45016939:-1 gene:B456_008G174300 transcript:KJB50504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDTNTTDNKNKVIKFKESAWKCIYFLSAEFLALYVTSKEPWFNNTRHFWVGPGDQVWPDQKIKLKLKGLYMYAAGFYTYSIFALIFWETRRSDFGVLMGHHFATVTLVVLSYIFRFGRVGSVVLAIHDASDVFLEIGKMSKYCGAEKLASIAFIIFVLSWILLRLIYFPFWVLWSTSYEVVQTLDKEKHPVVGPICYYLFNTLLFCLLVLHIYWWVLMYRMLVNQIQAGGKISEDVRSDSEDEHED >KJB50503 pep chromosome:Graimondii2_0_v6:8:45011853:45016939:-1 gene:B456_008G174300 transcript:KJB50503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIQLMKSINWEEEDYPAQEDFIVLPFFFMFFPSVRFFLDRVLFERVGRRLIFGKGYQMQDTNTTDNKNKVIKFKESAWKCIYFLSAEFLALYVTSKEPWFNNTRHFWVGPGDQVWPDQKIKLKLKGLYMYAAGFYTYSIFALIFWETRRSDFGVLMGHHFATVTLVVLSYIFRFGRVGSVVLAIHDASDVFLEIGKMSKYCGAEKLASIAFIIFVLSWILLRLIYFPFWVLWSTSYEVVQTLDKEKHPVVGPICYYLFNTLLFCLLVLHIYWWVLMYRMLVNQIQAGGKISEDVRSDSEDEHED >KJB50505 pep chromosome:Graimondii2_0_v6:8:45012548:45014584:-1 gene:B456_008G174300 transcript:KJB50505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDTNTTDNKNKVIKFKESAWKCIYFLSAEFLALYVTSKEPWFNNTRHFWVGPGDQVWPDQKIKLKLKGLYMYAAGFYTYSIFALIFWETRRSDFGVLMGHHFATVTLVVLSYIFRFGRVGSVVLAIHDASDVFLEIGKMSKYCGAEKLASIAFIIFVLSWILLRLIYFPFWVLWSTSYEVVQTLDKEKHPVVGPICYYLFNTLLFCLLVLHIYWWVLMYRMLVNQIQAGGKISEDVRSDSEDEHED >KJB51444 pep chromosome:Graimondii2_0_v6:8:50365454:50367338:1 gene:B456_008G216700 transcript:KJB51444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSNHTAPVLADPAPISKSRLVASSLFPYSSPAPVFSPNLLLTVPRKKTGILDDVRASSWLDAMKSSSPRQTSTRDYNHEIVSTDTDVAYRTWMVKYPSALSSFEQITNFAKGKRIALFLDYDGTLSPIVDNPDFAFMSIDMRAAVAKVAKYFPTAIISGRSRDKVYGFVGLTDLYYAGSHGMDIMGPVRESSDDPPNCIRSTDKQGKGVKLFQPASEFLPMIDEVFNSLVNSTKEIKGAKVENNKFCVSVHYRNVDEKNWKTVAQCVHDVIRNYPRLRLSHGRKVLEVRPVINWDKGKALTFLLESLGKA >KJB51446 pep chromosome:Graimondii2_0_v6:8:50362362:50368208:1 gene:B456_008G216700 transcript:KJB51446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSNHTAPVLADPAPISKSRLVASSLFPYSSPAPVFSPNLLLTVPRKKTGILDDVRASSWLDAMKSSSPRQTSTRDYNHEIVSTDTDVAYRTWMVKYPSALSSFEQITNFAKGKRIALFLDYDGTLSPIVDNPDFAFMSIDMRAAVAKVAKYFPTAIISGRSRDKVYGFVGLTDLYYAGSHGMDIMGPVRESSDDPPNCIRSTDKQGKGVKLFQPASEFLPMIDEVFNSLVNSTKEIKGAKVENNKFCVSVHYRNVDEKVDTFLGIRNIYLLEVMLSGMSIFLAYFINFCAHSYICFQNWKTVAQCVHDVIRNYPRLRLSHGRKVLEVRPVINWDKGKALTFLLESLGLSNHDDVLPIYVGDDRTDEDAFKVLREGNLGYGILVSSAPKESNAFFSLRDPQEVMEFLKSLVNWKKTSVL >KJB51445 pep chromosome:Graimondii2_0_v6:8:50362362:50368208:1 gene:B456_008G216700 transcript:KJB51445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSNHTAPVLADPAPISKSRLVASSLFPYSSPAPVFSPNLLLTVPRKKTGILDDVRASSWLDAMKSSSPRQTSTRDYNHEIVSTDTDVAYRTWMVKYPSALSSFEQITNFAKGKRIALFLDYDGTLSPIVDNPDFAFMSIDMRAAVAKVAKYFPTAIISGRSRDKVYGFVGLTDLYYAGSHGMDIMGPVRESSDDPPNCIRSTDKQGKGVKLFQPASEFLPMIDEVFNSLVNSTKEIKGAKVENNKFCVSVHYRNVDEKNWKTVAQCVHDVIRNYPRLRLSHGRKVLEVRPVINWDKGKALTFLLESLGLSNHDDVLPIYVGDDRTDEDAFKVLREGNLGYGILVSSAPKESNAFFSLRDPQEVMEFLKSLVNWKKTSVL >KJB51447 pep chromosome:Graimondii2_0_v6:8:50362362:50368208:1 gene:B456_008G216700 transcript:KJB51447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSNHTAPVLADPAPISKSRLVASSLFPYSSPAPVFSPNLLLTVPRKKTGILDDVRASSWLDAMKSSSPRQTSTRDYNHEIVSTDTDVAYRTWMVKYPSALSSFEQITNFAKGKRIALFLDYDGTLSPIVDNPDFAFMSIDMRAAVAKVAKYFPTAIISGRSRDKVYGFVGLTDLYYAGSHGMDIMGPVRESSDDPPNCIRSTDKQGKGVKLFQPASEFLPMIDEVFNSLVNSTKEIKGAKVENNKFCVSVHYRNVDEKNWKTVAQCVHDVIRNYPRLRLSHGRKVLEVRPVINWDKGKALTFLLESLGLSNHDDVLPIYVGDDRTDEDAFKVLREGNLGYGILVSSAPKESNAFFSLRDPQEVMEFLKSLVNWKKTSVL >KJB49276 pep chromosome:Graimondii2_0_v6:8:34170194:34172089:-1 gene:B456_008G110600 transcript:KJB49276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKTEGLNLLILSVVLTGFVGLLFHLYSGVLLKPKKLRLKLQNQGIKGPCPSFLYGNIHEMKRIQLQAQSTAKSAHKDLKPDFSHHWFPTLFPYLDKWRNEYGSMFLYSTGNLQLLCTTEMEMVKEIGLHKSLSLGKPSYLTKDRGPLLGQGILSSSGPIWSHQRKIIAPEFFPDKVKGTVNLMVDATISMLKSWESRVEWEKGILEIIVDEDLRSLSADIISRACFGSNYSKGEEIFSKLKALQMAMAKTYIGIPGMRYLPSKNNRDIWKLEKEIDSLILSVVNHRTEEATHGKDLLQMILDGAETYDDYKGLSKERFIVDNCKNMYFAGYETTATTLSWTLMLLAASPDWQARVRAEVLETCKDGFLPDANALRNMKMLTMVILETLRLYPPATFVIRLALEDIDFKGIMIPKDMNIQIPIPALQQSLQLWGPDAHRFNPERFANGIVEACKVPQAYMPFGIGARICTGQHFAMAELKVALSLLLSKFCFSLSPAYVHSPAFRLVIQPEHGVRLLIKRV >KJB49275 pep chromosome:Graimondii2_0_v6:8:34170042:34172939:-1 gene:B456_008G110600 transcript:KJB49275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKTEGLNLLILSVVLTGFVGLLFHLYSGVLLKPKKLRLKLQNQGIKGPCPSFLYGNIHEMKRIQLQAQSTAKSAHKDLKPDFSHHWFPTLFPYLDKWRNEYGSMFLYSTGNLQLLCTTEMEMVKEIGLHKSLSLGKPSYLTKDRGPLLGQGILSSSGPIWSHQRKIIAPEFFPDKVKGTVNLMVDATISMLKSWESRVEWEKGILEIIVDEDLRSLSADIISRACFGSNYSKGEEIFSKLKALQMAMAKTYIGIPGMRYLPSKNNRDIWKLEKEIDSLILSVVNHRTEEATHGKDLLQMILDGAETYDDYKGLSKERFIVDNCKNMYFAGYETTATTLSWTLMLLAASPDWQARVRAEVLETCKDGFLPDANALRNMKMLTMVILETLRLYPPATFVIRLALEDIDFKGIMIPKDMNIQIPIPALQQSLQLWGPDAHRFNPERFANGIVEACKVPQAYMPFGIGARICTGQHFAMAELKVALSLLLSKFCFSLSPAYVHSPAFRLVIQPEHGVRLLIKRV >KJB52190 pep chromosome:Graimondii2_0_v6:8:53384557:53387205:-1 gene:B456_008G249800 transcript:KJB52190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEMGSTKHSSSEGSSIKGVPTHGGKYVHYNVYGNLFEVSSKYVPPIRPIGRGANGIVCAAVNSETRQEVAIKKIGNAFDNIIDARRTLREIKLLRHMDHENVIAIKDIIRPPKKETFNDVYIVYELMDTDLHHIIRSDQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSSLLLNAKCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDMWSVGCIFGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSNNARRYFRQLPQCRKQQFSARFPNMSPGAVDLLEKMLVFDPNKRITGKLDFQAHHFCLVSPCTYL >KJB52189 pep chromosome:Graimondii2_0_v6:8:53384405:53387337:-1 gene:B456_008G249800 transcript:KJB52189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEMGSTKHSSSEGSSIKGVPTHGGKYVHYNVYGNLFEVSSKYVPPIRPIGRGANGIVCAAVNSETRQEVAIKKIGNAFDNIIDARRTLREIKLLRHMDHENVIAIKDIIRPPKKETFNDVYIVYELMDTDLHHIIRSDQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSSLLLNAKCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDMWSVGCIFGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSNNARRYFRQLPQCRKQQFSARFPNMSPGAVDLLEKMLVFDPNKRITAEEALCHPYLASLHDINDEPVCPRPFSFDFEQSSCTEDHIKELIWRESLQFNPDPVH >KJB48542 pep chromosome:Graimondii2_0_v6:8:13458394:13470561:1 gene:B456_008G074500 transcript:KJB48542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTTLQHLDLRHVARGIYKPLQPHAAAFHPTQALVSAAIGTYIIEFDALTGSKLATIDIGSPVVRMAYSPTSGHSVIAILEDCTIRSCDFDAEQTCVLHSPEKKTEHISSETEVHLALTPLQPVVFFGFHKRMSVTVVGTIEGGRPPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQLDNTIKLVGAGAFAFHPTLEWIFVGDRRGTLLAWDVSTERPIMIGITQVGTQPITSLAWLPMLRLLVILSKDGTLQVWKTRLLVNPNKPPTQVNFFEPASIESLDIPRILSQQGGEAVYPLPHIRALEVHPKLNLAALLFANMSGGDNMKNRASYTREGRKQLFAVLQSARGSSASVLKEKLSSMGSSGILADHQLQAQLQEQHIKGQSDLAISDIARKAFLYSHFMEGHAKTAPISRLPLISIVDAKNKLKDIPVCQPFHLELNFFNKENRVLHYPVRAFYVDGVNLMAYNLSSGGDSIYKKLFTSIPGNVEYYPKYMVYGKKRHLFLIVYEFSGTTNEVVLYWEHTDIKLANNKGSTIKGCDAAFIGPNENQFAILDEDKSGLALYILPGAALQEADGKNAAVEPNFLPDQPVDGNPNSIQGPMPFLFDTEIDRIFCTPIESTLMFACNGKQIGLAKLVQGYILPSSDGHYISTKTEGKKFIRLKANEIVLQVHWQETPRGYVAGVLTTHRVLMVSADLDVLASSSFKFDEGNPSFRSLLWVGPTLLFSTATAVCILGWDGKVRTVLSISMPNAALVGALNDRLLLANPTDINPRQKKGVEIKSCLVGLLEPLLIGFATMQQNFDQKLDLSEILYQITSRFDSLRITPRSLDILAGGPPVCGDLAVSLSQAGPQFTQVMRGLYAIKALRFSTALSVLKDEFVRSRDYPKCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQRLEEEGADSELRRYCERILRVRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNFKSIPQWELAAEVMPYMKTDDGAIPSIITDHIGVYLGSIKGRGNIIEVKEGSLVIPAAGDHKPNGVHTSVAKSTDSSMGVTSGETKAGSLMGLETLIKPNHSSTAADEQAKAAEEFKKTMYGTADSGSSSDEEGVSKMKKLQIRIREKPTSGTVDVNKIKEATKRLGDGLGLPIARTKSWTGQDLGQSQQQPYPATSASLTNPTVSAPGDLFGTDSWVQPALVSESAPATKGVGTAAGPIPEDFFQNTIPSLQVAAALPPPGSYLSKLDQTPQKVEVGGKVPPDHVNAPAADIGLPGGGVPPQSAEQPIPPESLALPGGGIPPQYSAPAAGLPQPQVQPAQMPLSTQPLDLSALGVPNSAESEKPTSSAPTPTSVRPGQVPRGAAAPICFKTGLAHLEQNQLPDSLSCFDEAFLALAKDNSRGADIKAQATICAQYKIAVTLLQEITRLQKVQGPRALSAKDEMARLSRHLGSLPLQAKHRINCIRTAIKRNMDVQNYAYAKQMLELLLSKAPPGKQEELRSLIDICVQRGLTNKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSALSAPGCIICGMGSIKRSDALGGAGPVPSPFG >KJB48543 pep chromosome:Graimondii2_0_v6:8:13458293:13470541:1 gene:B456_008G074500 transcript:KJB48543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTTLQHLDLRHVARGIYKPLQPHAAAFHPTQALVSAAIGTYIIEFDALTGSKLATIDIGSPVVRMAYSPTSGHSVIAILEDCTIRSCDFDAEQTCVLHSPEKKTEHISSETEVHLALTPLQPVVFFGFHKRMSVTVVGTIEGGRPPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQLDNTIKLVGAGAFAFHPTLEWIFVGDRRGTLLAWDVSTERPIMIGITQVGTQPITSLAWLPMLRLLVILSKDGTLQVWKTRLLVNPNKPPTQVNFFEPASIESLDIPRILSQQGGEAVYPLPHIRALEVHPKLNLAALLFANMSGGDNMKNRASYTREGRKQLFAVLQSARGSSASVLKEKLSSMGSSGILADHQLQAQLQEQHIKGQSDLAISDIARKAFLYSHFMEGHAKTAPISRLPLISIVDAKNKLKDIPVCQPFHLELNFFNKENRVLHYPVRAFYVDGVNLMAYNLSSGGDSIYKKLFTSIPGNVEYYPKYMVYGKKRHLFLIVYEFSGTTNEVVLYWEHTDIKLANNKGSTIKGCDAAFIGPNENQFAILDEDKSGLALYILPGAALQEADGKNAAVEPNFLPDQPVDGNPNSIQGPMPFLFDTEIDRIFCTPIESTLMFACNGKQIGLAKLVQGYILPSSDGHYISTKTEGKKFIRLKANEIVLQVHWQETPRGYVAGVLTTHRVLMVSADLDHFRSLLWVGPTLLFSTATAVCILGWDGKVRTVLSISMPNAALVGALNDRLLLANPTDINPRQKKGVEIKSCLVGLLEPLLIGFATMQQNFDQKLDLSEILYQITSRFDSLRITPRSLDILAGGPPVCGDLAVSLSQAGPQFTQVMRGLYAIKALRFSTALSVLKDEFVRSRDYPKCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQRLEEEGADSELRRYCERILRVRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNFKSIPQWELAAEVMPYMKTDDGAIPSIITDHIGVYLGSIKGRGNIIEVKEGSLVIPAAGDHKPNGVHTSVAKSTDSSMGVTSGETKAGSLMGLETLIKPNHSSTAADEQAKAAEEFKKTMYGTADSGSSSDEEGVSKMKKLQIRIREKPTSGTVDVNKIKEATKRLGDGLGLPIARTKSWTGQDLGQSQQQPYPATSASLTNPTVSAPGDLFGTDSWVQPALVSESAPATKGVGTAAGPIPEDFFQNTIPSLQVAAALPPPGSYLSKLDQTPQKVEVGGKVPPDHVNAPAADIGLPGGGVPPQSAEQPIPPESLALPGGGIPPQYSAPAAGLPQPQVQPAQMPLSTQPLDLSALGVPNSAESEKPTSSAPTPTSVRPGQVPRGAAAPICFKTGLAHLEQNQLPDSLSCFDEAFLALAKDNSRGADIKAQATICAQYKIAVTLLQEITRLQKVQGPRALSAKDEMARLSRHLGSLPLQAKHRINCIRTAIKRNMDVQNYAYAKQMLELLLSKAPPGKQEELRSLIDICVQRGLTNKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSALSAPGCIICGMGSIKRSDALGGAGPVPSPFG >KJB48540 pep chromosome:Graimondii2_0_v6:8:13458010:13470567:1 gene:B456_008G074500 transcript:KJB48540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTTLQHLDLRHVARGIYKPLQPHAAAFHPTQALVSAAIGTYIIEFDALTGSKLATIDIGSPVVRMAYSPTSGHSVIAILEDCTIRSCDFDAEQTCVLHSPEKKTEHISSETEVHLALTPLQPVVFFGFHKRMSVTVVGTIEGGRPPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQLDNTIKLVGAGAFAFHPTLEWIFVGDRRGTLLAWDVSTERPIMIGITQVGTQPITSLAWLPMLRLLVILSKDGTLQVWKTRLLVNPNKPPTQVNFFEPASIESLDIPRILSQQGGEAVYPLPHIRALEVHPKLNLAALLFANMSGGDNMKNRASYTREGRKQLFAVLQSARGSSGGHLMDHLSTSSVLKEKLSSMGSSGILADHQLQAQLQEQHIKGQSDLAISDIARKAFLYSHFMEGHAKTAPISRLPLISIVDAKNKLKDIPVCQPFHLELNFFNKENRVLHYPVRAFYVDGVNLMAYNLSSGGDSIYKKLFTSIPGNVEYYPKYMVYGKKRHLFLIVYEFSGTTNEVVLYWEHTDIKLANNKGSTIKGCDAAFIGPNENQFAILDEDKSGLALYILPGAALQEADGKNAAVEPNFLPDQPVDGNPNSIQGPMPFLFDTEIDRIFCTPIESTLMFACNGKQIGLAKLVQGYILPSSDGHYISTKTEGKKFIRLKANEIVLQVHWQETPRGYVAGVLTTHRVLMVSADLDVLASSSFKFDEGNPSFRSLLWVGPTLLFSTATAVCILGWDGKVRTVLSISMPNAALVGALNDRLLLANPTDINPRQKKGVEIKSCLVGLLEPLLIGFATMQQNFDQKLDLSEILYQITSRFDSLRITPRSLDILAGGPPVCGDLAVSLSQAGPQFTQVMRGLYAIKALRFSTALSVLKDEFVRSRDYPKCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQRLEEEGADSELRRYCERILRVRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNFKSIPQWELAAEVMPYMKTDDGAIPSIITDHIGVYLGSIKGRGNIIEVKEGSLVIPAAGDHKPNGVHTSVAKSTDSSMGVTSGETKAGSLMGLETLIKPNHSSTAADEQAKAAEEFKKTMYGTADSGSSSDEEGVSKMKKLQIRIREKPTSGTVDVNKIKEATKRLGDGLGLPIARTKSWTGQDLGQSQQQPYPATSASLTNPTVSAPGDLFGTDSWVQPALVSESAPATKGVGTAAGPIPEDFFQNTIPSLQVAAALPPPGSYLSKLDQTPQKVEVGGKVPPDHVNAPAADIGLPGGGVPPQSAEQPIPPESLALPGGGIPPQYSAPAAGLPQPQVQPAQMPLSTQPLDLSALGVPNSAESEKPTSSAPTPTSVRPGQVPRGAAAPICFKTGLAHLEQNQLPDSLSCFDEAFLALAKDNSRGADIKAQATICAQYKIAVTLLQEITRLQKVQGPRALSAKDEMARLSRHLGSLPLQAKHRINCIRTAIKRNMDVQNYAYAKQMLELLLSKAPPGKQEELRSLIDICVQRGLTNKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSALSAPGCIICGMGSIKRSDALGGAGPVPSPFG >KJB48539 pep chromosome:Graimondii2_0_v6:8:13458010:13470561:1 gene:B456_008G074500 transcript:KJB48539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTTLQHLDLRHVARGIYKPLQPHAAAFHPTQALVSAAIGTYIIEFDALTGSKLATIDIGSPVVRMAYSPTSGHSVIAILEDCTIRSCDFDAEQTCVLHSPEKKTEHISSETEVHLALTPLQPVVFFGFHKRMSVTVVGTIEGGRPPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQLDNTIKLVGAGAFAFHPTLEWIFVGDRRGTLLAWDVSTERPIMIGITQVGTQPITSLAWLPMLRLLVILSKDGTLQVWKTRLLVNPNKPPTQVNFFEPASIESLDIPRILSQQGGEAVYPLPHIRALEVHPKLNLAALLFANMSGGDNMKNRASYTREGRKQLFAVLQSARGSSASVLKEKLSSMGSSGILADHQLQAQLQEQHIKGQSDLAISDIARKAFLYSHFMEGHAKTAPISRLPLISIVDAKNKLKDIPVCQPFHLELNFFNKENRVLHYPVRAFYVDGVNLMAYNLSSGGDSIYKKLFTSIPGNVEYYPKYMVYGKKRHLFLIVYEFSGTTNEVVLYWEHTDIKLANNKGSTIKGCDAAFIGPNENQFAILDEDKSGLALYILPGAALQEADGKNAAVEPNFLPDQPVDGNPNSIQGPMPFLFDTEIDRIFCTPIESTLMFACNGKQIGLAKLVQGYILPSSDGHYISTKTEGKKFIRLKANEIVLQVHWQETPRGYVAGVLTTHRVLMVSADLDVLASSSFKFDEGNPSFRSLLWVGPTLLFSTATAVCILGWDGKVRTVLSISMPNAALVGALNDRLLLANPTDINPRQKKGVEIKSCLVGLLEPLLIGFATMQQNFDQKLDLSEILYQITSRFDSLRITPRSLDILAGGPPVCGDLAVSLSQAGPQFTQVMRGLYAIKALRFSTALSVLKDEFVRSRDYPKCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQRLEEEGADSELRRYCERILRVRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNFKSIPQWELAAEVMPYMKTDDGAIPSIITDHIGVYLGSIKGRGNIIEVKEGSLVIPAAGDHKPNGVHTSVAKSTDSSMGVTSGETKAGSLMGLETLIKPNHSSTAADEQAKAAEEFKKTMYGTADSGSSSDEEGVSKMKKLQIRIREKPTSGTVDVNKIKEATKRLGDGLGLPIARTKSWTGQDLGQSQQQPYPATSASLTNPTVSAPGDLFGTDSWVQPALVSESAPATKGVGTAAGPIPEDFFQNTIPSLQVAAALPPPGSYLSKLDQTPQKVEVGGKVPPDHVNAPAADIGLPGGGVPPQSAEQPIPPESLALPGGGIPPQYSAPAAGLPQPQVQPAQMPLSTQPLDLSALGVPNSAESEKPTSSAPTPTSVRPGQVPRGAAAPICFKTGLAHLEQNQLPDSLSCFDEAFLALAKDNSRGADIKAQATICAQYKIAVTLLQEITRLQKVQGPRALSAKDEMARLSRHLGSLPLQAKHRINCIRTAIKRNMDVQNYAYAKQMLELLLSKAPPGKQEELRSLIDICVQRGLTNKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSALSAPGCIICGMGSIKRSDALGGAGPVPSPFG >KJB48541 pep chromosome:Graimondii2_0_v6:8:13458508:13469544:1 gene:B456_008G074500 transcript:KJB48541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTTLQHLDLRHVARGIYKPLQPHAAAFHPTQALVSAAIGTYIIEFDALTGSKLATIDIGSPVVRMAYSPTSGHSVIAILEDCTIRSCDFDAEQTCVLHSPEKKTEHISSETEVHLALTPLQPVVFFGFHKRMSVTVVGTIEGGRPPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQLDNTIKLVGAGAFAFHPTLEWIFVGDRRGTLLAWDVSTERPIMIGITQVGTQPITSLAWLPMLRLLVILSKDGTLQVWKTRLLVNPNKPPTQVNFFEPASIESLDIPRILSQQGGEAVYPLPHIRALEVHPKLNLAALLFANMSGGDNMKNRASYTREGRKQLFAVLQSARGSSASVLKEKLSSMGSSGILADHQLQAQLQEQHIKGQSDLAISDIARKAFLYSHFMEGHAKTAPISRLPLISIVDAKNKLKDIPVCQPFHLELNFFNKENRVLHYPVRAFYVDGVNLMAYNLSSGGDSIYKKLFTSIPGNVEYYPKYMVYGKKRHLFLIVYEFSGTTNEVVLYWEHTDIKLANNKGSTIKGCDAAFIGPNENQFAILDEDKSGLALYILPGAALQEADGKNAAVEPNFLPDQPVDGNPNSIQGPMPFLFDTEIDRIFCTPIESTLMFACNGKQIGLAKLVQGYILPSSDGHYISTKTEGKKFIRLKANEIVLQVHWQETPRGYVAGVLTTHRVLMVSADLDHFRSLLWVGPTLLFSTATAVCILGWDGKVRTVLSISMPNAALVGALNDRLLLANPTDINPRQKKGVEIKSCLVGLLEPLLIGFATMQQNFDQKLDLSEILYQITSRFDSLRITPRSLDILAGGPPVCGDLAVSLSQAGPQFTQVMRGLYAIKALRFSTALSVLKDEFVRSRDYPKCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQRLEEEGADSELRRYCERILRVRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNFKSIPQWELAAEVMPYMKTDDGAIPSIITDHIGVYLGSIKGRGNIIEVKEGSLVIPAAGDHKPNGVHTSVAKSTDSSMGVTSGETKAGSLMGLETLIKPNHSSTAADEQAKAAEEFKKTMYGTADSGSSSDEEGVSKMKKLQIRIREKPTSGTVDVNKIKEATKRLGDGLGLPIARTKSWTGQDLGQSQQQPYPATSASLTNPTVSAPGDLFGTDSWVQPALVSESAPATKGVGTAAGPIPEDFFQNTIPSLQVAAALPPPGSYLSKLDQTPQKVEVGGKVPPDHVNAPAADIGLPGGGVPPQSAEQPIPPESLALPGGGIPPQYSAPAAGLPQPQVQPAQMPLSTQPLDLSALGVPNSAESEKPTSSAPTPTSVRPGQVPRGAAAPICFKTGLAHLEQNQLPDSLSCFDEAFLALAKDNSRGADIKAQATICAQYKIAVTLLQV >KJB48544 pep chromosome:Graimondii2_0_v6:8:13458674:13470019:1 gene:B456_008G074500 transcript:KJB48544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSFLVAEFDALTGSKLATIDIGSPVVRMAYSPTSGHSVIAILEDCTIRSCDFDAEQTCVLHSPEKKTEHISSETEVHLALTPLQPVVFFGFHKRMSVTVVGTIEGGRPPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQLDNTIKLVGAGAFAFHPTLEWIFVGDRRGTLLAWDVSTERPIMIGITQVGTQPITSLAWLPMLRLLVILSKDGTLQVWKTRLLVNPNKPPTQVNFFEPASIESLDIPRILSQQGGEAVYPLPHIRALEVHPKLNLAALLFANMSGGDNMKNRASYTREGRKQLFAVLQSARGSSASVLKEKLSSMGSSGILADHQLQAQLQEQHIKGQSDLAISDIARKAFLYSHFMEGHAKTAPISRLPLISIVDAKNKLKDIPVCQPFHLELNFFNKENRVLHYPVRAFYVDGVNLMAYNLSSGGDSIYKKLFTSIPGNVEYYPKYMVYGKKRHLFLIVYEFSGTTNEVVLYWEHTDIKLANNKGSTIKGCDAAFIGPNENQFAILDEDKSGLALYILPGAALQEADGKNAAVEPNFLPDQPVDGNPNSIQGPMPFLFDTEIDRIFCTPIESTLMFACNGKQIGLAKLVQGYILPSSDGHYISTKTEGKKFIRLKANEIVLQVHWQETPRGYVAGVLTTHRVLMVSADLDHFRSLLWVGPTLLFSTATAVCILGWDGKVRTVLSISMPNAALVGALNDRLLLANPTDINPRQKKGVEIKSCLVGLLEPLLIGFATMQQNFDQKLDLSEILYQITSRFDSLRITPRSLDILAGGPPVCGDLAVSLSQAGPQFTQVMRGLYAIKALRFSTALSVLKDEFVRSRDYPKCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQRLEEEGADSELRRYCERILRVRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNFKSIPQWELAAEVMPYMKTDDGAIPSIITDHIGVYLGSIKGRGNIIEVKEGSLVIPAAGDHKPNGVHTSVAKSTDSSMGVTSGETKAGSLMGLETLIKPNHSSTAADEQAKAAEEFKKTMYGTADSGSSSDEEGVSKMKKLQIRIREKPTSGTVDVNKIKEATKRLGDGLGLPIARTKSWTGQDLGQSQQQPYPATSASLTNPTVSAPGDLFGTDSWVQPALVSESAPATKGVGTAAGPIPEDFFQNTIPSLQVAAALPPPGSYLSKLDQTPQKVEVGGKVPPDHVNAPAADIGLPGGGVPPQSAEQPIPPESLALPGGGIPPQYSAPAAGLPQPQVQPAQMPLSTQPLDLSALGVPNSAESEKPTSSAPTPTSVRPGQVPRGAAAPICFKTGLAHLEQNQLPDSLSCFDEAFLALAKDNSRGADIKAQATICAQYKIAVTLLQEITRLQKVQGPRALSAKDEMARLSRHLGSLPLQAKHRINCIRTAIKRNMDVQNYAYAKQMLELLLSKAPPGKQEELRSLIDICVQRGLTNKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSALSAPGCIICGMGSIKRSDALGGAGPVPSPFG >KJB52707 pep chromosome:Graimondii2_0_v6:8:55225526:55227270:-1 gene:B456_008G273600 transcript:KJB52707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRLVSRPLLAKFKETTGIVGLDVVPNAREVLIGLYNKTLKEIQAVPEDEGYRKAVESFTRHRLKVCQEEEDWEMIEKRLGCGQVEELIEEARDELTLVGKMIEWVPWGVPDDYGCEIIENDAPIPKHVPQHRPGPLPEEFYKTLEAVSKKDEPKITSGEPQIKE >KJB51871 pep chromosome:Graimondii2_0_v6:8:52157323:52160797:1 gene:B456_008G235600 transcript:KJB51871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFDLQKAKEMFLNYLQWRKDYGVDAIQKEFKFTELAEVKKCYPHGFHGVDRSGRPVYIERVGMVDLNALLQATSIDRFVKYHVSEQEKTLNLRYPACSIAAKRHIASTTSILDVKGVGMSNFSKPARYLFMEIQKIDSNYYPETLNRLFIINAGSGFRMLWKVLKAFMDARTLAKIHVLGSNYLSNLTEGIDPSNLPAFLGGSCTCADYGGCLLSDKGPWKNPEITEMLQAISVTEDTNNEGNGDLASGDALMHDMENGKSKEDMGKEERGMSDKFAPQKVMALEASLADTNKVLRGLAQHIKDLNL >KJB51872 pep chromosome:Graimondii2_0_v6:8:52157626:52160549:1 gene:B456_008G235600 transcript:KJB51872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKSNEKYREITIDKGGGGDSDSPHRDASQFPKVMNRPIHPPIETHFLLPPPPRKEAEKPSLSGLKSVLSYPLKVRDSLKKLGKSKSMERILEGTHDPKDEQIVQSFRELLFLEDQFPAKHNDYHTLLRMRDFDLQKAKEMFLNYLQWRKDYGVDAIQKARPPALFAQISYCLFTELAEVKKCYPHGFHGVDRSGRPVYIERVGMVDLNALLQATSIDRFVKYHVSEQEKTLNLRYPACSIAAKRHIASTTSILDVKGVGMSNFSKPARYLFMEIQKIDSNYYPEVLGSNYLSNLTEGIDPSNLPAFLGGSCTCADYGGCLLSDKGPWKNPEITEMLQAISVTEDTNNEGNGDLASGDALMHDMENGKSKEDMGKEERGMSDKFAPQKVMALEASLADTNKKIDALEVALEDTKMVLRGLAQHIKDLNL >KJB51870 pep chromosome:Graimondii2_0_v6:8:52157323:52160797:1 gene:B456_008G235600 transcript:KJB51870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFDLQKAKEMFLNYLQWRKDYGVDAIQKEFKFTELAEVKKCYPHGFHGVDRSGRPVYIERVGMVDLNALLQATSIDRFVKYHVSEQEKTLNLRYPACSIAAKRHIASTTSILDVKGVGMSNFSKPARYLFMEIQKIDSNYYPETLNRLFIINAGSGFRMLWKVLKAFMDARTLAKIHVLGSNYLSNLTEGIDPSNLPAFLGGSCTCADYGGCLLSDKGPWKNPEITEMLQAISVTEDTNNEGNGDLASGDALMHDMENGKSKEDMGKEERGMSDKFAPQKVMALEASLADTNKKIDALEVALEDTKMVLRGLAQHIKDLNL >KJB46798 pep chromosome:Graimondii2_0_v6:8:13759299:13768909:-1 gene:B456_008G075200 transcript:KJB46798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGGGNKFVSVNLNKYYAQQSSKQHYHSHHSGSYESNRARAGAGSGGMVVLSRPRSSQKSGSKLSVPPPLNLPSLRKEHERFDSLGPGGVPACGGASVSGPRPGSSGMGWAKPGSVAWQEKEGLVGGDDHVNDGVDRLNADDGMTKVSSGVYMPPSVRSGSTSSMSASAIGFLPLDKATVLKREEFPSLQAALPVVLGAEKKQTDGLNQKQKQLAMAGQELSDKHGDGSRSNSVTDMLPQLRSGRIAVDSELSEKGGEARRTSGSRLLEQGRKQDEYFPGPLPLVRLNPRSDWADDERDTGHVSDHRRDQGYSKTEAYWDRDFEMPRAGVLPDKPAHGLFDRRGLRDNDAGRTLSSEVAKLDPYSIDARLPSREVREGNAWRASSPLPKDGIGTQEIARDRNSVGTRPSSMNREKENKYTLSFRDNAQGDNGRRDLGYGNGGRQAWNNSADSFNSRGSERSTRERYGNEQYNRHKGNAFQNSSLSKPSFPLGGKAVSLNDPILNFSRDKRPLSKNEKSYLEDPLVKDFGATRFNGWDPFSANLVGVVKKKKDTVKQTDFHDPVRESFEAELERVQKMQEQERQRIIEEQERALELARREEEERQRLAREQEEQQRRLEEEAREAAWRAEQERLDALRRAEEQRIAREEEKRRIVMEEKRRKQAAKQKLLELEERIAKRQAEAVKGVSADEKIPGMAKETDASKATGVSDWEDGERMVERITTSASSDSSGLNRSFETASRPSLSYAAASFSDRDKPFNSWKRDVFEYGNNSAFTGQEIENGHHSPRQDVSTVSRPFPRKEFQGASPYLSSRPHFRAGVPEPSLDNFGQPKGQRWNGSGADHYGRNAEIGSEYHENLADNYGDVTWGQHSRGNIYPPYHERFYHNPEDDGLYSFGRSQYSVRQPRVLPPPSMPSMQKSSYRAENEHPGPSTFLENEVQYNQATRCGSSMEIIYDGGQQDDLGQQGIIDTQPDNTDNEVQKLDGNAARCDSQSSLSVSSPPVSPIHLSHDDLDESGGSAMLSAEENKEVDLSRPEIKPLVLQSEAGKENVRTASSSISAGDDEEWTVDNNELLQEQEEYDEDGDGYLEEDEVHEGDDGNIDLTRELDELHLEDNESPDMMDNLVLGFNDGVEVGMPNDEFESSQKDSAYVIPQISIGSLEEKISLDDMHSDRKILQSMDAPCPEGLDSSSRILQETEKGVQDLTTQPNTSPQASTASQLIDHVDATSCTGVSTEHNLPYSVNMASHSSSGQISMPTSTSVTNHTEVPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPQVGPPSVTQMHRSQPLFQFGQLRYTSPISQGSLPLAPQSVSSVLQNVPANFSLNPNPNPNPGAPLPVQPSQDTSGFDMMKCEVSSPLDDNQSGLLRSLHLLHDNMLNEESSTQAGESRKSVLTQHGTVEISNIGATRFKSGFSSEYQGHQNSVHRNFKALSSKQSEGELQTVLTSSHSLSKEEDLSGPRGQTYNNRGKKYVFTAKGSNSRSGFLASGSSRKDSTGYQRRPRCTRTEFRIRENSGKRQPSGIISSNHTNQVGLDENSGANGRGTGFSVTNRMRKIVVSKSKQTVESECPSSALGSSQEMETGNRNEKRLGKGSLMRSQNITYSGKGNLKRNIKEDVDAPLQSGIVRVYEQPGIEAASDEDDFIEVRSKRQVLNDRREQREKEIKAKSRIAKPPRKPRSIPQSSNRNSASANGVMNNVRTDFVTSEGHNLANTELSAGFGVNTVSQPLAPIGTHASKIDVQADIRAQAVKSLQPSSVQTTSVGGPSLVSGLMFESKNKVFDNVQASFGSWGNSCINQKVMTLTQTQLDDAMKPVQFDTCAPVGDRNSSVTDPSMPPPSSILLKDKSFSNATSPINSLLAGEKIQFGAVTSPTVIPPSSRAVSHGIGLPGSSRSEIQIPCNLSAAEKDCALFFKKEEHASESCVHMEDCEAEAAASAVAVAAISSDEIVGNGMSTCIVSASDNKGFGGVDIDVINTGDGGQQLASQSKAEESLSVSLPADLSVENPPISLWPPLASPQSSSSQMISHFPGGPPSHFPFYDIPMMGGPVFALGPHEESSSTQSQSQKNSTPASGPLGTWQQCHSRVDSFYGPPTGFTGHFITPPGGIPGVQGPPHMVVYNHFAPVGQFGLSFMGTTYIPSGKQPDWKHNPPSSAIGEGDVNNLNMTASQGNSSNIPAQIQHLPPGPGSPLLPVASPLAMFDVSPFQSTPDMSVQARWSHVPASPMQSVAPSMPLHIMVTLPGIIIREEMRSHKRMERVNGPNVEQVSKEGTNPWVETRTSPLQR >KJB46797 pep chromosome:Graimondii2_0_v6:8:13761939:13768672:-1 gene:B456_008G075200 transcript:KJB46797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGGGNKFVSVNLNKYYAQQSSKQHYHSHHSGSYESNRARAGAGSGGMVVLSRPRSSQKSGSKLSVPPPLNLPSLRKEHERFDSLGPGGVPACGGASVSGPRPGSSGMGWAKPGSVAWQEKEGLVGGDDHVNDGVDRLNADDGMTKVSSGVYMPPSVRSGSTSSMSASAIGFLPLDKATVLKREEFPSLQAALPVVLGAEKKQTDGLNQKQKQLAMAGQELSDKHGDGSRSNSVTDMLPQLRSGRIAVDSELSEKGGEARRTSGSRLLEQGRKQDEYFPGPLPLVRLNPRSDWADDERDTGHVSDHRRDQGYSKTEAYWDRDFEMPRAGVLPDKPAHGLFDRRGLRDNDAGRTLSSEVAKLDPYSIDARLPSREVREGNAWRASSPLPKDGIGTQEIARDRNSVGTRPSSMNREKENKYTLSFRDNAQGDNGRRDLGYGNGGRQAWNNSADSFNSRGSERSTRERYGNEQYNRHKGNAFQNSSLSKPSFPLGGKAVSLNDPILNFSRDKRPLSKNEKSYLEDPLVKDFGATRFNGWDPFSANLVGVVKKKKDTVKQTDFHDPVRESFEAELERVQKMQEQERQRIIEEQERALELARREEEERQRLAREQEEQQRRLEEEAREAAWRAEQERLDALRRAEEQRIAREEEKRRIVMEEKRRKQAAKQKLLELEERIAKRQAEAVKGVSADEKIPGMAKETDASKATGVSDWEDGERMVERITTSASSDSSGLNRSFETASRPSLSYAAASFSDRDKPFNSWKRDVFEYGNNSAFTGQEIENGHHSPRQDVSTVSRPFPRKEFQGASPYLSSRPHFRAGVPEPSLDNFGQPKGQRWNGSGADHYGRNAEIGSEYHENLADNYGDVTWGQHSRGNIYPPYHERFYHNPEDDGLYSFGRSQYSVRQPRVLPPPSMPSMQKSSYRAENEHPGPSTFLENEVQYNQATRCGSSMEIIYDGGQQDDLGQQGIIDTQPDNTDNEVQKLDGNAARCDSQSSLSVSSPPVSPIHLSHDDLDESGGSAMLSAEENKEVDLSRPEIKPLVLQSEAGKENVRTASSSISAGDDEEWTVDNNELLQEQEEYDEDGDGYLEEDEVHEGDDGNIDLTRELDELHLEDNESPDMMDNLVLGFNDGVEVGMPNDEFESSQKDSAYVIPQISIGSLEEKISLDDMHSDRKILQSMDAPCPEGLDSSSRILQETEKGVQDLTTQPNTSPQASTASQLIDHVDATSCTGVSTEHNLPYSVNMASHSSSGQISMPTSTSVTNHTEVPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPQVGPPSVTQMHRSQPLFQFGQLRYTSPISQGSLPLAPQSVSSVLQNVPANFSLNPNPNPNPGAPLPVQPSQDTSGFDMMKCEVSSPLDDNQSGLLRSLHLLHDNMLNEESSTQAGESRKSVLTQHGTVEISNIGATRFKSGFSSEYQGHQNSVHRNFKALSSKQSEGELQTVLTSSHSLSKEEDLSGPRGQTYNNRGKKYVFTAKGSNSRSGFLASGSSRKDSTGYQRRPRCTRTEFRIRENSGKRQPSGIISSNHTNQVGLDENSGANGRGTGFSVTNRMRKIVVSKSKQTVESECPSSALGSSQEMETGNRNEKRLGKGSLMRSQNITYSGKGNLKRNIKEDVDAPLQSGIVRVYEQPGIEAASDEDDFIEVRSKRQVLNDRREQREKEIKAKSRIAKPPRKPRSIPQSSNRNSASANGVMNNVRTDFVTSEGHNLANTELSAGFGVNTVSQPLAPIGTHASKIDVQADIRAQAVKSLQPSSVQTTSVGGPSLVSGLMFESKNKVFDNVQASFGSWGNSCINQKVMTLTQTQLDDAMKPVQFDTCAPVGDRNSSVTDPSMPPPSSILLKDKSFSNATSPINSLLAGEKIQFGWSSYISYSYSS >KJB46796 pep chromosome:Graimondii2_0_v6:8:13762036:13768672:-1 gene:B456_008G075200 transcript:KJB46796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGGGNKFVSVNLNKYYAQQSSKQHYHSHHSGSYESNRARAGAGSGGMVVLSRPRSSQKSGSKLSVPPPLNLPSLRKEHERFDSLGPGGVPACGGASVSGPRPGSSGMGWAKPGSVAWQEKEGLVGGDDHVNDGVDRLNADDGMTKVSSGVYMPPSVRSGSTSSMSASAIGFLPLDKATVLKREEFPSLQAALPVVLGAEKKQTDGLNQKQKQLAMAGQELSDKHGDGSRSNSVTDMLPQLRSGRIAVDSELSEKGGEARRTSGSRLLEQGRKQDEYFPGPLPLVRLNPRSDWADDERDTGHVSDHRRDQGYSKTEAYWDRDFEMPRAGVLPDKPAHGLFDRRGLRDNDAGRTLSSEVAKLDPYSIDARLPSREVREGNAWRASSPLPKDGIGTQEIARDRNSVGTRPSSMNREKENKYTLSFRDNAQGDNGRRDLGYGNGGRQAWNNSADSFNSRGSERSTRERYGNEQYNRHKGNAFQNSSLSKPSFPLGGKAVSLNDPILNFSRDKRPLSKNEKSYLEDPLVKDFGATRFNGWDPFSANLVGVVKKKKDTVKQTDFHDPVRESFEAELERVQKMQEQERQRIIEEQERALELARREEEERQRLAREQEEQQRRLEEEAREAAWRAEQERLDALRRAEEQRIAREEEKRRIVMEEKRRKQAAKQKLLELEERIAKRQAEAVKGVSADEKIPGMAKETDASKATGVSDWEDGERMVERITTSASSDSSGLNRSFETASRPSLSYAAASFSDRDKPFNSWKRDVFEYGNNSAFTGQEIENGHHSPRQDVSTVSRPFPRKEFQGASPYLSSRPHFRAGVPEPSLDNFGQPKGQRWNGSGADHYGRNAEIGSEYHENLADNYGDVTWGQHSRGNIYPPYHERFYHNPEDDGLYSFGRSQYSVRQPRVLPPPSMPSMQKSSYRAENEHPGPSTFLENEVQYNQATRCGSSMEIIYDGGQQDDLGQQGIIDTQPDNTDNEVQKLDGNAARCDSQSSLSVSSPPVSPIHLSHDDLDESGGSAMLSAEENKEVDLSRPEIKPLVLQSEAGKENVRTASSSISAGDDEEWTVDNNELLQEQEEYDEDGDGYLEEDEVHEGDDGNIDLTRELDELHLEDNESPDMMDNLVLGFNDGVEVGMPNDEFESSQKDSAYVIPQISIGSLEEKISLDDMHSDRKILQSMDAPCPEGLDSSSRILQETEKGVQDLTTQPNTSPQASTASQLIDHVDATSCTGVSTEHNLPYSVNMASHSSSGQISMPTSTSVTNHTEVPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPQVGPPSVTQMHRSQPLFQFGQLRYTSPISQGSLPLAPQSVSSVLQNVPANFSLNPNPNPNPGAPLPVQPSQDTSGFDMMKCEVSSPLDDNQSGLLRSLHLLHDNMLNEESSTQAGESRKSVLTQHGTVEISNIGATRFKSGFSSEYQGHQNSVHRNFKALSSKQSEGELQTVLTSSHSLSKEEDLSGPRGQTYNNRGKKYVFTAKGSNSRSGFLASGSSRKDSTGYQRRPRCTRTEFRIRENSGKRQPSGIISSNHTNQVGLDENSGANGRGTGFSVTNRMRKIVVSKSKQTVESECPSSALGSSQEMETGNRNEKRLGKGSLMRSQNITYSGKGNLKRNIKEDVDAPLQSGIVRVYEQPGIEAASDEDDFIEVRSKRQVLNDRREQREKEIKAKSRIAKPPRKPRSIPQSSNRNSASANGVMNNVRTDFVTSEGHNLANTELSAGFGVNTVSQPLAPIGTHASKIDVQADIRAQAVKSLQPSSVQTTSVGGPSLVSGLMFESKNKVFDNVQASFGSWGNSCINQKVMTLTQTQLDDAMKPVQFDTCAPVGDRNSSVTDPSMPPPSSILLKDKSFSNATSPINSLLAGEKIQFGWCIY >KJB46795 pep chromosome:Graimondii2_0_v6:8:13759245:13768909:-1 gene:B456_008G075200 transcript:KJB46795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGGGNKFVSVNLNKYYAQQSSKQHYHSHHSGSYESNRARAGAGSGGMVVLSRPRSSQKSGSKLSVPPPLNLPSLRKEHERFDSLGPGGVPACGGASVSGPRPGSSGMGWAKPGSVAWQEKEGLVGGDDHVNDGVDRLNADDGMTKVSSGVYMPPSVRSGSTSSMSASAIGFLPLDKATVLKREEFPSLQAALPVVLGAEKKQTDGLNQKQKQLAMAGQELSDKHGDGSRSNSVTDMLPQLRSGRIAVDSELSEKGGEARRTSGSRLLEQGRKQDEYFPGPLPLVRLNPRSDWADDERDTGHVSDHRRDQGYSKTEAYWDRDFEMPRAGVLPDKPAHGLFDRRGLRDNDAGRTLSSEVAKLDPYSIDARLPSREVREGNAWRASSPLPKDGIGTQEIARDRNSVGTRPSSMNREKENKYTLSFRDNAQGDNGRRDLGYGNGGRQAWNNSADSFNSRGSERSTRERYGNEQYNRHKGNAFQNSSLSKPSFPLGGKAVSLNDPILNFSRDKRPLSKNEKSYLEDPLVKDFGATRFNGWDPFSANLVGVVKKKKDTVKQTDFHDPVRESFEAELERVQKMQEQERQRIIEEQERALELARREEEERQRLAREQEEQQRRLEEEAREAAWRAEQERLDALRRAEEQRIAREEEKRRIVMEEKRRKQAAKQKLLELEERIAKRQAEAVKGVSADEKIPGMAKETDASKATGVSDWEDGERMVERITTSASSDSSGLNRSFETASRPSLSYAAASFSDRDKPFNSWKRDVFEYGNNSAFTGQEIENGHHSPRQDVSTVSRPFPRKEFQGASPYLSSRPHFRAGVPEPSLDNFGQPKGQRWNGSGADHYGRNAEIGSEYHENLADNYGDVTWGQHSRGNIYPPYHERFYHNPEDDGLYSFGRSQYSVRQPRVLPPPSMPSMQKSSYRAENEHPGPSTFLENEVQYNQATRCGSSMEIIYDGGQQDDLGQQGIIDTQPDNTDNEVQKLDGNAARCDSQSSLSVSSPPVSPIHLSHDDLDESGGSAMLSAEENKEVDLSRPEIKPLVLQSEAGKENVRTASSSISAGDDEEWTVDNNELLQEQEEYDEDGDGYLEEDEVHEGDDGNIDLTRELDELHLEDNESPDMMDNLVLGFNDGVEVGMPNDEFESSQKDSAYVIPQISIGSLEEKISLDDMHSDRKILQSMDAPCPEGLDSSSRILQETEKGVQDLTTQPNTSPQASTASQLIDHVDATSCTGVSTEHNLPYSVNMASHSSSGQISMPTSTSVTNHTEVPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPQVGPPSVTQMHRSQPLFQFGQLRYTSPISQGSLPLAPQSVSSVLQNVPANFSLNPNPNPNPGAPLPVQPSQDTSGFDMMKCEVSSPLDDNQSGLLRSLHLLHDNMLNEESSTQAGESRKSVLTQHGTVEISNIGATRFKSGFSSEYQGHQNSVHRNFKALSSKQSEGELQTVLTSSHSLSKEEDLSGPRGQTYNNRGKKYVFTAKGSNSRSGFLASGSSRKDSTGYQRRPRCTRTEFRIRENSGKRQPSGIISSNHTNQVGLDENSGANGRGTGFSVTNRMRKIVVSKSKQTVESECPSSALGSSQEMETGNRNEKRLGKGSLMRSQNITYSGKGNLKRNIKEDVDAPLQSGIVRVYEQPGIEAASDEDDFIEVRSKRQVLNDRREQREKEIKAKSRIAKPPRKPRSIPQSSNRNSASANGVMNNVRTDFVTSEGHNLANTELSAGFGVNTVSQPLAPIGTHASKIDVQADIRAQAVKSLQPSSVQTTSVGGPSLVSGLMFESKNKVFDNVQASFGSWGNSCINQKVMTLTQTQLDDAMKPVQFDTCAPVGDRNSSVTDPSMPPPSSILLKDKSFSNATSPINSLLAGEKIQFGAVTSPTVIPPSSRAVSHGIGLPGSSRSEIQIPCNLSAAEKDCALFFKKEEHASESCVHMEDCEAEAAASAVAVAAISSDEIVGNGMSTCIVSASDNKGFGGVDIDVINTGDGGQQLASQSKAEESLSVSLPADLSVENPPISLWPPLASPQSSSSQMISHFPGGPPSHFPFYDIPMMGGPVFALGPHEESSSTQSQSQKNSTPASGPLGTWQQCHSRVDSFYGPPTGFTGHFITPPGGIPGVQGPPHMVVYNHFAPVGQFGLSFMGTTYIPSGKQPDWKHNPPSSAIGEGDVNNLNMTASQGNSSNIPAQIQHLPPGPGSPLLPVASPLAMFDVSPFQSTPDMSVQARWSHVPASPMQSVAPSMPLQQQAGVLHSQFSHGPPVDQSLSSNRFSDSRTSASSDSSRKFPVATDATITQLPDELGLVEPSSSTIPAASAQHDTKSPSLTKVADAGKNDGIRSSRQSTNTALKAVQSSSQQKNIASPQHYGNSSWYNHQRGNAVSQKNGAGEWTQRRTGFQGRNQSMGGDKNFPTSKMKQIYVAKQTNNGSSSS >KJB51166 pep chromosome:Graimondii2_0_v6:8:48999116:49005629:1 gene:B456_008G204700 transcript:KJB51166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSKKPGKGKEKTERKTAKAEEKRARRESKKLSPEDDIDAILLSIQKEEAKKKDVHVDENVPAPSPRSNCSLTVNPLKDTELILYGGEFYNGSKTFVYGDLYRFDVEKMEWKLVSSPNSPPPRSAHQAVAWKNYLYIFGGEFTSPNQERFHHYKDFWMLDLKTNQWEQLNLKGCPSPRSGHRMVLYKHKIIVFGGFYDTLREVRYYNDLHIFDLDEFKWQEIKPRLGSMWPSARSGFQFFVYQDQIYLYGGYYKEVSSDKNSSASEKGIVHADMWSLDPKTWEWNKVKKSGMPPSARAGFSMCVHKKRAMLFGGVVDMEMEGDVMMSLFLNELYGFQLDNHRWYPLELRKEKSTKVKSRKDSKQETSGSDYNDEVNIEAETSGIDDKDQIMEYDEQAGDEESNIDEMSEHIVANMTIDDERSIKSASKPQQTKSKSKSNVQDSVSPEVVKPCGRINSCMVVGKDTLYIYGGMMEVKDQEITLDDLYSLNLSKLDEWKCIIPASESEWVEASEDEDDEDEDDDDDDDSEDEGESGSDDEETDDDDEAKGTNDGTKSVQMGDAVALIRGEGKTLRRKEKRVRIEQIRANLGLSDSQRTPTPGESLRDFYRRTSLYWQMAAHEHTQHTGKELRKDGFDLAEARYRELKPLLDELAILEAEQKAEEAEGPETSSRKRGKKRV >KJB51549 pep chromosome:Graimondii2_0_v6:8:50849255:50855781:1 gene:B456_008G222200 transcript:KJB51549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSDIGVSALINILSAFAFLLAFALLRIQPVNDRVYFPKWYINGERASPRRGGNFVAKFVNLDFKTYLTFLNWMPQALKMSETQLINHAGLDSAVFLRIYTLGLKIFVPIAVVALLILIPVNVSSGTLFFLRKELVVSDIDKLSISNVPPKSIRFFVHIGLEYLFTIWICYMLYKEYDNVATMRLHFLASQRRRAEQFTVAVRNVPQIPGHSIADSLDHFFKTNHPDTYLCHQAVYNANKFASLVRKRDRLQNWLDYNQLKFERNPEKRPTKKIGFLGLWGERVDSIDFYKQQIKEFDKRMELERQKVLKDSKSILPVAFVSFKSRWGAAVCAQTQQSKNPTLWLTDWAPEPRDVYWRNLAIPFVSLTIRKLIISLSVFALVFFYMIPIAFVQSLANLEGLERVAPFLRPVIELKFIKSFLQGFLPGLALKIFLYVLPTILMIMSKIEGHIAISTLERRASAKYYYFMLVNVFLGSIVTGTAFQQLHSFLHQPPTQIPRTIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLVIFHLKNMFLVKTERDREMAMDPGSVDYPETLPSLQLYFLLGIVYAVVTPILLPFILVFFAFAYLVYRHQIINVYNPQYESGAAFWPHVHSRIIASLLISQLLLMGLLSTKEAANSTPLLVILPILTLSFHKYCKSRFEPAFRKHPLEEAMAKDLLDRTTEPDINLKAFLADAYLHPIFRSFEEEELVEIRVDKVRVDRHQSYADNAQSRDDISSPSPPHHAYHPTSPPHHSYHQPTTSPPQDIYHHGIPPQYDYNHYGYHYEAESWTSRD >KJB51550 pep chromosome:Graimondii2_0_v6:8:50850479:50855706:1 gene:B456_008G222200 transcript:KJB51550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVFTDFLKIFVPIAVVALLILIPVNVSSGTLFFLRKELVVSDIDKLSISNVPPKSIRFFVHIGLEYLFTIWICYMLYKEYDNVATMRLHFLASQRRRAEQFTVAVRNVPQIPGHSIADSLDHFFKTNHPDTYLCHQAVYNANKFASLVRKRDRLQNWLDYNQLKFERNPEKRPTKKIGFLGLWGERVDSIDFYKQQIKEFDKRMELERQKVLKDSKSILPVAFVSFKSRWGAAVCAQTQQSKNPTLWLTDWAPEPRDVYWRNLAIPFVSLTIRKLIISLSVFALVFFYMIPIAFVQSLANLEGLERVAPFLRPVIELKFIKSFLQGFLPGLALKIFLYVLPTILMIMSKIEGHIAISTLERRASAKYYYFMLVNVFLGSIVTGTAFQQLHSFLHQPPTQIPRTIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLVIFHLKNMFLVKTERDREMAMDPGSVDYPETLPSLQLYFLLGIVYAVVTPILLPFILVFFAFAYLVYRHQIINVYNPQYESGAAFWPHVHSRIIASLLISQLLLMGLLSTKEAANSTPLLVILPILTLSFHKYCKSRFEPAFRKHPLEEAMAKDLLDRTTEPDINLKAFLADAYLHPIFRSFEEEELVEIRVDKVRVDRHQSYADNAQSRDDISSPSPPHHAYHPTSPPHHSYHQPTTSPPQDIYHHGIPPQYDYNHYGYHYEAESWTSRD >KJB47292 pep chromosome:Graimondii2_0_v6:8:2251054:2254544:1 gene:B456_008G019500 transcript:KJB47292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLGPLRPRSTVLVKRPWLTKPFNWRALSSIPPSDYSNQSRGGLPRFFSETLPSSKGGVIRVQGDEFWHMTKVLRLKTDDRVELFNGKGGLVEGFIQNIDRSSIDFVALEDPKLVLPQTPQWHIFAAFGSLKGGRADWLVEKCTELGASSVTPLLTQRSSTISDNRVERLQRVILAAAKQCQRLHEMKLNHPMKIDNLIPLVAKSKQSFIAIAEGTPLVSALTSTTKESSGLIIVGPEGDFTEKEVDLITEAGATAVGLGPHRLRVETATIAILATLTLWSGSQQISNC >KJB47290 pep chromosome:Graimondii2_0_v6:8:2251049:2254024:1 gene:B456_008G019500 transcript:KJB47290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLGPLRPRSTVLVKRPWLTKPFNWRALSSIPPSDYSNQSRGGLPRFFSETLPSSKGGVIRVQGDEFWHMTKVLRLKTDDRVELFNGKGGLVEGFIQNIDRSSIDFVALEDPKLVLPQTPQWHIFAAFGSLKGGRADWLVEKCTELGASSVTPLLTQRSSTISDNRVERLQRVILAAAKQCQRLHEMKLNHPMKIDNLIPLVAKSKQSFIAIAEGTPLVSALTSTTKESSGLIIVGPEGDFTEKEVDLITEAGATAVGLGPHRLRVETATIAILATLTLWSGSQQISNC >KJB47291 pep chromosome:Graimondii2_0_v6:8:2251054:2254544:1 gene:B456_008G019500 transcript:KJB47291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLGPLRPRSTVLVKRPWLTKPFNWRALSSIPPSDYSNQSRGGLPRFFSETLPSSKGGVIRVQGDEFWHMTKVLRLKTDDRVELFNGKGGLVEGFIQNIDRSSIDFVALEDPKLVLPQTPQWHIFAAFGSLKGGRADWLVEKCTELGASSVTPLLTQRSSTISDNRVERLQRVILAAAKQCQRLHEMKLNHPMKIDNLIPLVAKSKQSFIAIAEGTPLVSALTSTTKESSGLIIVGPEGDFTEKEVDLITEAGATAVGLGPHRLRVETATIAILATLTLWSGSQQISNC >KJB49380 pep chromosome:Graimondii2_0_v6:8:34884167:34885446:-1 gene:B456_008G116000 transcript:KJB49380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIFKITEGHVRSLFLKLKKSLLLTSVYYVLCRNSYVGNLSLFYEIEEKLKQLPGWYIAWLLLKMEHYFIGFPQILILDANRSIPLSLLILTSLE >KJB49381 pep chromosome:Graimondii2_0_v6:8:34884167:34885234:-1 gene:B456_008G116000 transcript:KJB49381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIFKITEGHVRSLFLKLKKSLLLTSVYYVLCRNSYVGNLSLFYEIEEKLKQGHNVVLISNHHTEADPIIISLLLEKANPHIVENMLPGWYIAWLLLKMEHYFIGFPQILILDANRSIPLSLLILTSLE >KJB49503 pep chromosome:Graimondii2_0_v6:8:36080956:36084004:1 gene:B456_008G122500 transcript:KJB49503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECDKKYITIQQLEQHNKPGDLWVSINGKVYNVSDWSKYHPGGETPLLNLAGKDVTDAFTAYHPATAWQYLNKFFTGYRLKDYKVSEVSKDYRNLIHEFTKAGMFENKGHTAVFTLTSVAAMFGFVFYGVLCCNSLWVHLCSAMVLGMAWIQSAYIGHDSGHYIVMSGHGWNKLVQLITGNCLTGISIAWWKWTHNAHHIACNSLDCDPDLQHIPVFAVSSWLFNSTNGTLDISCSPWMDWFFANELTIRTLKMAAMEARDVNNSGVSKNFLWEAVTIHG >KJB49504 pep chromosome:Graimondii2_0_v6:8:36080956:36084004:1 gene:B456_008G122500 transcript:KJB49504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECDKKYITIQQLEQHNKPGDLWVSINGKVYNVSDWSKYHPGGETPLLNLAGKDVTDAFTAYHPATAWQYLNKFFTGYRLKDYKVSEVSKDYRNLIHEFTKAGMFENKGHTAVFTLTSVAAMFGFVFYGVLCCNSLWVHLCSAMVLGMAWIQSAYIGHDSGHYIVMSGHGWNKLVQLITGNCLTGISIAWWKWTHNAHHIACNSLDCDPDLQHIPVFAVSSWLFNSDIEDGCYGS >KJB49502 pep chromosome:Graimondii2_0_v6:8:36080956:36084004:1 gene:B456_008G122500 transcript:KJB49502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECDKKYITIQQLEQHNKPGDLWVSINGKVYNVSDWSKYHPGGETPLLNLAGKDVTDAFTAYHPATAWQYLNKFFTGYRLKDYKVSEVSKDYRNLIHEFTKAGMFENKGHTAVFTLTSVAAMFGFVFYGVLCCNSLWVHLCSAMVLGMAWIQSAYIGHDSGHYIVMSGHGWNKLVQLITGNCLTGISIAWWKWTHNAHHIACNSLDCDPDLQHIPVFAVSSWLFNSTNGTLDISCSPWMDWFFGGLQFQLEHHLFPRLPRCHLRQVAPLVKDLCNKHNLPYKSLSFWEANELTIRTLKMAAMEARDVNNSGVSKNFLWEAVTIHG >KJB51705 pep chromosome:Graimondii2_0_v6:8:51711923:51715684:-1 gene:B456_008G230800 transcript:KJB51705 gene_biotype:protein_coding transcript_biotype:protein_coding description:(S)-ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) UniProtKB/Swiss-Prot;Acc:Q8GXV5] MQNPSLPRLLLLSFTLTSVFNQVLGDEGFCSAPSILDQTDSSSKPLYWKVTSPTLSPSHLQDLPGFTRSVYRRDHALITPESHVFSPLPDWTNTLGAYLITPAIGSHFVMYLAKMQENSRSGLPPNDVERLIFVTQGAVTLTNSSGISNKLVVDSYAYLPPNFDHSLKCDGSATLVVFERRYAFLDNHITEHIVGSTDKQPLLETPGEVFELRKLLPASMPYDFNIHVMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDSW >KJB51704 pep chromosome:Graimondii2_0_v6:8:51711426:51715684:-1 gene:B456_008G230800 transcript:KJB51704 gene_biotype:protein_coding transcript_biotype:protein_coding description:(S)-ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) UniProtKB/Swiss-Prot;Acc:Q8GXV5] MQNPSLPRLLLLSFTLTSVFNQVLGDEGFCSAPSILDQTDSSSKPLYWKVTSPTLSPSHLQDLPGFTRSVYRRDHALITPESHVFSPLPDWTNTLGAYLITPAIGSHFVMYLAKMQENSRSGLPPNDVERLIFVTQGAVTLTNSSGISNKLVVDSYAYLPPNFDHSLKCDGSATLVVFERRYAFLDNHITEHIVGSTDKQPLLETPGEVFELRKLLPASMPYDFNIHVMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDVIWMAPFVPQW >KJB51701 pep chromosome:Graimondii2_0_v6:8:51711340:51715684:-1 gene:B456_008G230800 transcript:KJB51701 gene_biotype:protein_coding transcript_biotype:protein_coding description:(S)-ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) UniProtKB/Swiss-Prot;Acc:Q8GXV5] MQNPSLPRLLLLSFTLTSVFNQVLGDEGFCSAPSILDQTDSSSKPLYWKVTSPTLSPSHLQDLPGFTRSVYRRDHALITPESHVFSPLPDWTNTLGAYLITPAIGSHFVMYLAKMQENSRSGLPPNDVERLIFVTQGAVTLTNSSGISNKLVVDSYAYLPPNFDHSLKCDGSATLVVFERRYAFLDNHITEHIVGSTDKQPLLETPGEVFELRKLLPASMPYDFNIHVMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDVIWMAPFVPQWYAALGKTRSRYLLYKDVNRNPL >KJB51703 pep chromosome:Graimondii2_0_v6:8:51711426:51715684:-1 gene:B456_008G230800 transcript:KJB51703 gene_biotype:protein_coding transcript_biotype:protein_coding description:(S)-ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) UniProtKB/Swiss-Prot;Acc:Q8GXV5] MKDSVPLLPYWTKLIVPLNLCTGKSLAQPCLLRISKVNLPGFTRSVYRRDHALITPESHVFSPLPDWTNTLGAYLITPAIGSHFVMYLAKMQENSRSGLPPNDVERLIFVTQGAVTLTNSSGISNKLVVDSYAYLPPNFDHSLKCDGSATLVVFERRYAFLDNHITEHIVGSTDKQPLLETPGEVFELRKLLPASMPYDFNIHVMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDVIWMAPFVPQWYAALGKTRSRYLLYKDVNRNPL >KJB51702 pep chromosome:Graimondii2_0_v6:8:51711426:51715399:-1 gene:B456_008G230800 transcript:KJB51702 gene_biotype:protein_coding transcript_biotype:protein_coding description:(S)-ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) UniProtKB/Swiss-Prot;Acc:Q8GXV5] VCVCACVRISFAFSGVFNQVLGDEGFCSAPSILDQTDSSSKPLYWKVTSPTLSPSHLQDLPGFTRSVYRRDHALITPESHVFSPLPDWTNTLGAYLITPAIGSHFVMYLAKMQENSRSGLPPNDVERLIFVTQGAVTLTNSSGISNKLVVDSYAYLPPNFDHSLKCDGSATLVVFERRYAFLDNHITEHIVGSTDKQPLLETPGEVFELRKLLPASMPYDFNIHVMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDVIWMAPFVPQWYAALGKTRSRYLLYKDVNRNPL >KJB53143 pep chromosome:Graimondii2_0_v6:8:56831658:56833704:1 gene:B456_008G294900 transcript:KJB53143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNQYPNEIMKRSSSSNSETMTHYESPHSPLRLHTHFRSDQGDSDNNTSNYASPEASPVPQHPVVDNSMALIAIDKSTQHNANSSPTTLPSPPLPPSTPAQQSLHLTMNRAVREEGQGVTTKTKVSGGAGGGGARAEALRRSKVYHTATKAALGFRLSEIVLCLISFSVMAADKTQGWSGDSYDRYKEYRYCLAVNVIGFVYAGFQAYDVSYYLMTQTHVIHHYLFPPFEFFMDQILAYLLISASSAAATRVDDWQSNWGKDEFTEMASASIAMAFLAFIAFAFSSIISGFKLWTHQTP >KJB53140 pep chromosome:Graimondii2_0_v6:8:56831500:56834681:1 gene:B456_008G294900 transcript:KJB53140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNQYPNEIMKRSSSSNSETMTHYESPHSPLRLHTHFRSDQGDSDNNTSNYASPEASPVPQHPVVDNSMALIAIDKSTQHNANSSPTTLPSPPLPPSTPAQQSLHLTMNRAVREEGQGVTTKTKVSGGAGGGGARAEALRRSKVYHTATKAALGFRLSEIVLCLISFSVMAADKTQGWSGDSYDRYKEYRYCLAVNVIGFVYAGFQAYDVSYYLMTQTHVIHHYLFPPFEFFMDQILAYLLISASSAAATRVDDWQSNWGKDEFTEMASASIAMAFLAFIAFAFSSIISGFKLWTHQTP >KJB53141 pep chromosome:Graimondii2_0_v6:8:56831563:56832894:1 gene:B456_008G294900 transcript:KJB53141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNQYPNEIMKRSSSSNSETMTHYESPHSPLRLHTHFRSDQGDSDNNTSNYASPEASPVPQHPVVDNSMALIAIDKSTQHNANSSPTTLPSPPLPPSTPAQQSLHLTMNRAVREEGQGVTTKTKVSGGAGGGGARAEALRRSKVYHTATKAALGFRLSEIVLCLISFSVMAADKTQGWSGDSYDRYKEYRYCLAVNVIGFVYAGFQAYDVSYYLMTQTHVIHHYLFPPFEFFMDQASFP >KJB53142 pep chromosome:Graimondii2_0_v6:8:56831563:56834270:1 gene:B456_008G294900 transcript:KJB53142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNQYPNEIMKRSSSSNSETMTHYESPHSPLRLHTHFRSDQGDSDNNTSNYASPEASPVPQHPVVDNSMALIAIDKSTQHNANSSPTTLPSPPLPPSTPAQQSLHLTMNRAVREEGQGVTTKTKVSGGAGGGGARAEALRRSKVYHTATKAALGFRLSEIVLCLISFSVMAADKTQGWSGDSYDRYKEYRYCLAVNVIGFVYAGFQAYDVSYYLMTQTHVIHHYLFPPFEFFMDQHHQQQPHEWTIGNLTGGKMSSLRWLVPQLQWPFWLSLLLHLAQLYLVSNSGPIKLHEISLRQVIDCTEITFNSWVKTT >KJB47154 pep chromosome:Graimondii2_0_v6:8:1420654:1421593:-1 gene:B456_008G012900 transcript:KJB47154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDEGISNPIHPHHKLKLEYTEIPFNCDGCKEAGIGLKYSCRRCEFDLHKACAMPSPTITHPFYKKCEFQFNYRPPGQHMRICDACRNDVLGFVYHCKRCDFDLHPCCANLPQVLDDGEHNLYLCFKLSSSCHHCGGKGPGWSYRSQCKSYNLHVACVKELLVESWQAMYLNADKNRVREIQTRIPSLSGKLRNHHGGRGGKVKKCCQMAGGAVRLIVSAILGDPTAIIGAAVAGFMSMSK >KJB50160 pep chromosome:Graimondii2_0_v6:8:41776254:41783450:-1 gene:B456_008G156700 transcript:KJB50160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIARQRMLGQSFKKILPAVSVLRSYSSAAKQITVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKITKGLLEKYGPERVLDTPITEAGFTGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLSPYNSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIEREGKDVTITAFSKMAAEMLEKDGIYAEVINLRSIRPLDRSTINASVRKTNRLITVEEGFPQHGVGAEICASVVEESFSYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRSVPLAATA >KJB50161 pep chromosome:Graimondii2_0_v6:8:41776703:41783450:-1 gene:B456_008G156700 transcript:KJB50161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIARQRMLGQSFKKILPAVSVLRSYSSAAKQITVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKITKGLLEKYGPERVLDTPITEAGFTGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLSPYNSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALKAAEMLEKDGIYAEVINLRSIRPLDRSTINASVRKTNRLITVEEGFPQHGVGAEICASVVEESFSYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRSVPLAATA >KJB50158 pep chromosome:Graimondii2_0_v6:8:41776034:41783464:-1 gene:B456_008G156700 transcript:KJB50158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIARQRMLGQSFKKILPAVSVLRSYSSAAKQITVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKITKGLLEKYGPERVLDTPITEAGFTGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLSPYNSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALKAAEMLEKDGIYAEVINLRSIRPLDRSTINASVRKTNRLITVEEGFPQHGVGAEICASVVEESFSYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRSVPLAATA >KJB50162 pep chromosome:Graimondii2_0_v6:8:41776777:41782834:-1 gene:B456_008G156700 transcript:KJB50162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIARQRMLGQSFKKILPAVSVLRSYSSAAKQITVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKITKGLLEKYGPERVLDTPITEAGFTGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLSPYNSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALKAAEMLEKDGIYAEVINLRSIRPLDRSTINASVRKTNRLITVEEGFPQHGVGAEICASVVEESFSYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRSVPLAATA >KJB50159 pep chromosome:Graimondii2_0_v6:8:41776254:41782135:-1 gene:B456_008G156700 transcript:KJB50159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLSPYNSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALKAAEMLEKDGIYAEVINLRSIRPLDRSTINASVRKTNRLITVEEGFPQHGVGAEICASVVEESFSYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRSVPLAATA >KJB50164 pep chromosome:Graimondii2_0_v6:8:41779503:41783450:-1 gene:B456_008G156700 transcript:KJB50164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIARQRMLGQSFKKILPAVSVLRSYSSAAKQITVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKITKGLLEKYGPERVLDTPITEAGFTGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLSPYNSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALKVCLLCSVYLDKLCLISI >KJB50163 pep chromosome:Graimondii2_0_v6:8:41777670:41783450:-1 gene:B456_008G156700 transcript:KJB50163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIARQRMLGQSFKKILPAVSVLRSYSSAAKQITVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKITKGLLEKYGPERVLDTPITEAGFTGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLSPYNSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKVMLI >KJB51235 pep chromosome:Graimondii2_0_v6:8:49310785:49312590:1 gene:B456_008G208000 transcript:KJB51235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAISAANSHFIAHLPAPSNDPNPRAKPISNKPNHLQILKKCTHLIQFKQVHAQFIKTPLHQSNTYLSKLIQALVDSGDLPYARQVFDQVTQPSTFAFNTMIRCYGTNNLGHDGIDLYIRMRHQGVDADNFTYPFLLKACSGLKQGKGVHSLVVKDKRFSSEIHSLTSLTTFYCSFGDVGSARLLFDSMPERNVVTWTGIIKGYVKQKRYKEGIELFNQMKNYGVEINELTLVCILSACANLGALEIGQWVHEYTDRKRIFLNPKLGAALIDMYGKCGHIDKAYRVFKTLPCKGVYVWNALIGGLAMHGYGIEAIKRFREMQGNGIKPDRITFISVLSACSHSGLVEKGKEIFHSMRKDFGFEPGIKHYGCFVDILCRAGLLNEAYEVIMNMPMEPNAVLWGTLLNACAAAANVELAEAAMERLMVLEPCNDGNYVLMSNIYAVKKRWNDVARIRKIMKDEQILRNPGHSSIEVDNVVHEFRVGDVRHPCSEQIYDMLEKVVITIKEPYF >KJB49587 pep chromosome:Graimondii2_0_v6:8:36806220:36807699:-1 gene:B456_008G126700 transcript:KJB49587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCSKVGLHRGPWTPREDTLLVKYIQAHGEGHWRSLPKKAGLLRCGKSCRLRWMNYLRPDIKRGNITPDEEDLIIRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLSKRLLSQGTDPNTHKKLPPDNPVVVLVPKKKRKNKSTKPTEQEKPKIHLPKPSRFTSSVCTTKSSSQGGENGQVLVPWSEYINDDENRTGFLCYNDNHDLINSSDFECQSHHDHDHDQVLGAEGDSNDSLEKIYEEYLQLLKTNEDQVQLDSFAESLLI >KJB49499 pep chromosome:Graimondii2_0_v6:8:36056221:36058728:-1 gene:B456_008G122300 transcript:KJB49499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAEGKAIGIDLGTTYSCVGMWQNDRVEIIANDQGNRTTPYVAFTDTERLIGDAAKNQVAMNPSNTVFDAKRLIGRRFTDPSVQSDMKQWPFKVVAGPGDKPMIVVTYKGEDKQFAAKEISSMVLTKMKEVAEAYLGQTVKNAVITTSYKEAGAIAGLNVLRIINEPTAAAIAYGLDKKGSKSSEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKQKKDISSNARALRRLRTACERAKRTLSSTAQTTVEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLKDSKLDKSQVNEVVLVGGSTRIPKVQQLLQDFFNGKELCKSMNPDEAAAYGAAVQAAILSGEGDDKVQDLLLLDVTPLSLGIETAGGVMTVLIFSTYADNQPGVLIKVYEGERARTKDNNLLGKFELTGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEEIERMVQEAERYKAEDEQVKKKVEAKNALENYAYNMRNTIKDDKIAGKLDPSDKGKMEKAIDETIEWLEPIG >KJB48737 pep chromosome:Graimondii2_0_v6:8:17821269:17828858:1 gene:B456_008G083900 transcript:KJB48737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MGKLGKKARKFAKKNLQSVLKRKRQLKSMFKKKASKRDEKDEAENLEEDQIGKSSGRDLECENIEDIELDAVFSDESDVVEDDSESDGYLSEGSSCPYPDENGTESDLDEDMDVDNGGAIELLEQNRAICIELTEKKKKLERLQKKDPEFSKFLESYENGLGKLRDDENYSDEDNTSEDGTESPEKGTVNLRKGKLLTSSAFNLLCQLVREHRSISALTSLLNEYRAACHYGSEPSDLHDVALCSGLQDSKTFSKILIFMLQEADNIFREMLGISSSSCKKEAILELKNSSKWKTMKPLIKSYLRSTLFLLNQVTNSEILAFSLVRVRASVIFFATFHPLLRRLIKIAVHLWVTGEGTLALHSFLVIKDVASVFSSDCFNSCLIKTYKAFITHCKFVDPVSSKHIQFLHNSFIELCSLDVQNSSRKAMVCVEQLSKILQTGLKTKKKEAVKRICSRQSTNCINLWVSFISANVKDYDLQPLLYMIIQIINGVAVLFPGPRYLPLRIKCIQWLNNLSSASGVFIPVASFAMDILEYKTGKDNGKPGKDFNFSSTIKLPKHWLKSRKFQDKCVSSVIELLATHFAQWSCHITFPELATIPLICLRKFLETTTIERFQRMVKRFVDQVEQNIEFMQRKRDEVAFSPKDHQIVDSFLQFEKSNANASFTQYYKSIIEKAASSKPVMNQKIRTCSSSSQKKPKRKERQLPNNTVRLNAIDEVVEEKKKSTDNGAGGKMRKKRKN >KJB48740 pep chromosome:Graimondii2_0_v6:8:17823427:17828781:1 gene:B456_008G083900 transcript:KJB48740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MGKLGKKARKFAKKNLQSVLKRKRQLKSMFKKKASKRDEKDEAENLEEDQIGKSSGRDLECENIEDIELDAVFSDESDVVEDDSESDGYLSEGSSCPYPDENGTESDLDEDMDVDNGGAIELLEQNRAICIELTEKKKKLERLQKKDPEFSKFLESYENGLGKLRDDENYSDEDNTSEDGTESPEKGTVNLRKGKLLTSSAFNLLCQLVREHRSISALTSLLNEYRAACHYGSEPSDLHDVALCSGLQDSKTFSKILIFMLQEADNIFREMLGISSSSCKKEAILELKNSSKWKTMKPLIKSYLRSTLFLLNQVTNSEILAFSLVRVRASVIFFATFHPLLRRLIKIAVHLWVTGEGTLALHSFLVIKDVASVFSSDCFNSCLIKTYKAFITHCKFVDPVSSKHIQFLHNSFIELCSLDVQNSSRKAMVCVEQLSKILQTGLKTKKKEAVKRICSRQSTNCINLWVSFISANVKDYDLQPLLYMIIQIINGVAVLFPGPRYLPLRIKCIQWLNNLSSASGVFIPVASFAMDILEYKTGKDNGKPGKDFNFSSTIKLPKHWLKSRKFQDKCVSSVIELLATHFAQWSCHITFPELATIPLICLRKFLETTTIERFQRMVKRFVDQVEQNIEFMQRKRDEVAFSPKDHQIVDSFLQFEKSNANASFTQYYKSIIEKAASSKPVMNQKISSSSQKKPKRKERQLPNNTVRLNAIDEVVEEKKKSTDNGAGGKMRKKRKN >KJB48738 pep chromosome:Graimondii2_0_v6:8:17821622:17828876:1 gene:B456_008G083900 transcript:KJB48738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MGKLGKKARKFAKKNLQSVLKRKRQLKSMFKKKASKRDEKDEAENLEEDQIGKSSGRDLECENIEDIELDAVFSDESDVVEDDSESDGYLSEGSSCPYPDENGTESDLDEDMDVDNGGAIELLEQNRAICIELTEKKKKLERLQKKDPEFSKFLESYENGLGKLRDDENYSDEDNTSEDGTESPEKGTVNLRKGKLLTSSAFNLLCQLVREHRSISALTSLLNEYRAACHYGSEPSDLHDVALCSGLQDSKTFSKILIFMLQEADNIFREMLGISSSSCKKEAILELKNSSKWKTMKPLIKSYLRSTLFLLNQVTNSEILAFSLVRVRASVIFFATFHPLLRRLIKIAVHLWVTGEGTLALHSFLVIKDVASVFSSDCFNSCLIKTYKAFITHCKFVDPVSSKHIQFLHNSFIELCSLDVQNSSRKAMVCVEQLSKILQTGLKTKKKEAVKRICSRQSTNCINLWVSFISANVKDYDLQPLLYMIIQIINGVAVLFPGPRYLPLRIKCIQWLNNLSSASGVFIPVASFAMDILEYKTGKDNGKPGKDFNFSSTIKLPKHWLKSRKFQDKCVSSVIELLATHFAQWSCHITFPELATIPLICLRKFLETTTIERFQRMVKRFVDQVEQNIEFMQRKRDEVAFSPKDHQIVDSFLQFEKSNANASFTQYYKSIIEKAASSKPVMNQKISSSSQKKPKRKERQLPNNTVRLNAIDEVVEEKKKSTDNGAGGKMRKKRKN >KJB48732 pep chromosome:Graimondii2_0_v6:8:17820887:17828876:1 gene:B456_008G083900 transcript:KJB48732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MGKLGKKARKFAKKNLQSVLKRKRQLKSMFKKKASKRDEKDEAENLEEDQIGKSSGRDLECENIEDIELDAVFSDESDVVEDDSESDGYLSEGSSCPYPDENGTESDLDEDMDVDNGGAIELLEQNRAICIELTEKKKKLERLQKKDPEFSKFLESYENGLGKLRDDENYSDEDNTSEDGTESPEKGTVNLRKGKLLTSSAFNLLCQLVREHRSISALTSLLNEYRAACHYGSEPSDLHDVALCSGLQDSKTFSKILIFMLQEADNIFREMLGISSSSCKKEAILELKNSSKWKTMKPLIKSYLRSTLFLLNQVTNSEILAFSLVRVRASVIFFATFHPLLRRLIKIAVHLWVTGEGTLALHSFLVIKDVASVFSSDCFNSCLIKTYKAFITHCKFVDPVSSKHIQFLHNSFIELCSLDVQNSSRKAMVCVEQLSKILQTGLKTKKKEAVKRICSRQSTNCINLWVSFISANVKDYDLQPLLYMIIQIINGVAVLFPGPRYLPLRIKCIQWLNNLSSASGVFIPVASFAMDILEYKTGKDNGKPGKDFNFSSTIKLPKHWLKSRKFQDKCVSSVIELLATHFAQWSCHITFPELATIPLICLRKFLETTTIERFQRMVKRFVDQVEQNIEFMQRKRDEVAFSPKDHQIVDSFLQFEKSNANASFTQYYKSIIEKAASSKPVMNQKISSSSQKKPKRKERQLPNNTVRLNAIDEVVEEKKKSTDNGAGGKMRKKRKN >KJB48735 pep chromosome:Graimondii2_0_v6:8:17821269:17828858:1 gene:B456_008G083900 transcript:KJB48735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MGKLGKKARKFAKKNLQSVLKRKRQLKSMFKKKASKRDEKDEAENLEEDQIGKSSGRDLECENIEDIELDAVFSDESDVVEDDSESDGYLSEGSSCPYPDENGTESDLDEDMDVDNGGAIELLEQNRAICIELTEKKKKLERLQKKDPEFSKFLESYENGLGKLRDDENYSDEDNTSEDGTESPEKGTVNLRKGKLLTSSAFNLLCQLVREHRSISALTSLLNEYRAACHYGSEPSDLHDVALCSGLQDSKTFSKILIFMLQEADNIFREMLGISSSSCKKEAILELKNSSKWKTMKPLIKSYLRSTLFLLNQVTNSEILAFSLVRVRASVIFFATFHPLLRRLIKIAVHLWVTGEGTLALHSFLVIKDVASVFSSDCFNSCLIKTYKAFITHCKFVDPVSSKHIQFLHNSFIELCSLDVQNSSRKAMVCVEQLSKILQTGLKTKKKEAVKRICSRQSTNCINLWVSFISANVKDYDLQPLLYMIIQIINGVAVLFPGPRYLPLRIKCIQWLNNLSSASGVFIPVASFAMDILEYKTGKDNGKPGKDFNFSSTIKLPKHWLKSRKFQDKCVSSVIELLATHFAQWSCHITFPELATIPLICLRKFLETTTIERFQRMVKRFVDQVSILTCT >KJB48739 pep chromosome:Graimondii2_0_v6:8:17821269:17828858:1 gene:B456_008G083900 transcript:KJB48739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MGKLGKKARKFAKKNLQSVLKRKRQLKSMFKKKASKRDEKDEAENLEEDQIGKSSGRDLECENIEDIELDAVFSDESDVVEDDSESDGYLSEGSSCPYPDENGTESDLDEDMDVDNGGAIELLEQNRAICIELTEKKKKLERLQKKDPEFSKFLESYENGLGKLRDDENYSDEDNTSEDGTESPEKGTVNLRKGKLLTSSAFNLLCQLVREHRSISALTSLLNEYRAACHYGSEPSDLHDVALCSGLQDSKTFSKILIFMLQEADNIFREMLGISSSSCKKEAILELKNSSKWKTMKPLIKSYLRSTLFLLNQVTNSEILAFSLVRVRASVIFFATFHPLLRRLIKIAVHLWVTGEGTLALHSFLVIKDVASVFSSDCFNSCLIKTYKAFITHCKFVDPVSSKHIQFLHNSFIELCSLDVQNSSRKAMVCVEQLSKILQTGLKTKKKEAVKRICSRQSTNCINLWVSFISANVKDYDLQPLLYMIIQIINGVAVLFPGPRYLPLRIKCIQWLNNLSSASGVFIPVASFAMDILEYKTGKDNGKPGKDFNFSSTIKLPKHWLKSRKFQDKCVSSVIELLATHFAQWSCHITFPELATIPLICLRKFLETTTIERFQRMVKRFVDQVEQNIEFMQRKRDEVAFSPKDHQIVDSFLQFEKSNANASFTQYYKSIIEKAASSKPVMNQKISSSSQKKPKRKERQLPNNTVRLNAIDEVVEEKKKSTDNGAGGKMRKKRKN >KJB48734 pep chromosome:Graimondii2_0_v6:8:17823704:17828286:1 gene:B456_008G083900 transcript:KJB48734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MGKLGKKARKFAKKNLQSVLKRKRQLKSMFKKKASKRDEKDEAENLEEDQIGKSSGRDLECENIEDIELDAVFSDESDVVEDDSESDGYLSEGSSCPYPDENGTESDLDEDMDVDNGGAIELLEQNRAICIELTEKKKKLERLQKKDPEFSKFLESYENGLGKLRDDENYSDEDNTSEDGTESPEKGTVNLRKGKLLTSSAFNLLCQLVREHRSISALTSLLNEYRAACHYGSEPSDLHDVALCSGLQDSKTFSKILIFMLQEADNIFREMLGISSSSCKKEAILELKNSSKWKTMKPLIKSYLRSTLFLLNQVTNSEILAFSLVRVRASVIFFATFHPLLRRLIKIAVHLWVTGEGTLALHSFLVIKDVASVFSSDCFNSCLIKTYKAFITHCKFVDPVSSKHIQFLHNSFIELCSLDVQNSSRKAMVCVEQLSKILQTGLKTKKKEAVKRICSRQSTNCINLWVSFISANVKDYDLQPLLYMIIQIINGVAVLFPGPRYLPLRIKCIQWLNNLSSASGVFIPVASFAMDILEYKTGKDNGKPGKDFNFSSTIKLPKHWLKSRKFQDKCVSSVIELLATHFAQWSCHITFPELATIPLICLRKFLETTTIERFQRMVKRFVDQVEQNIEFMQRKRDEVAFSPKDHQIVDSFLQFEKSNANASFTQYYKSIIEKAASSKPVMNQKIRCPSFYL >KJB48736 pep chromosome:Graimondii2_0_v6:8:17821269:17828858:1 gene:B456_008G083900 transcript:KJB48736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MGKLGKKARKFAKKNLQSVLKRKRQLKSMFKKKASKRDEKDEAENLEEDQIGKSSGRDLECENIEDIELDAVFSDESDVVEDDSESDGYLSEGSSCPYPDENGTESDLDEDMDVDNGGAIELLEQNRAICIELTEKKKKLERLQKKDPEFSKFLESYENGLGKLRDDENYSDEDNTSEDGTESPEKGTVNLRKGKLLTSSAFNLLCQLVREHRSISALTSLLNEYRAACHYGSEPSDLHDVALCSGLQDSKTFSKILIFMLQEADNIFREMLGISSSSCKKEAILELKNSSKWKTMKPLIKSYLRSTLFLLNQVTNSEILAFSLVRVRASVIFFATFHPLLRRLIKIAVHLWVTGEGTLALHSFLVIKDVASVFSSDCFNSCLIKTYKAFITHCKFVDPVSSKHIQFLHNSFIELCSLDVQNSSRKAMVCVEQLSKILQTGLKTKKKEAVKRICSRQSTNCINLWVSFISANVKDYDLQPLLYMIIQIINGVAVLFPGPRYLPLRIKCIQWLNNLSSASGVFIPVASFAMDILEYKTGKDNGKPGKDFNFSSTIKLPKHWLKSRKFQDKCVSSVIELLATHFAQWSCHITFPELATIPLICLRKFLETTTIERFQRMVKRFVDQVSILTCT >KJB48733 pep chromosome:Graimondii2_0_v6:8:17821269:17827843:1 gene:B456_008G083900 transcript:KJB48733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MGKLGKKARKFAKKNLQSVLKRKRQLKSMFKKKASKRDEKDEAENLEEDQIGKSSGRDLECENIEDIELDAVFSDESDVVEDDSESDGYLSEGSSCPYPDENGTESDLDEDMDVDNGGAIELLEQNRAICIELTEKKKKLERLQKKDPEFSKFLESYENGLGKLRDDENYSDEDNTSEDGTESPEKGTVNLRKGKLLTSSAFNLLCQLVREHRSISALTSLLNEYRAACHYGSEPSDLHDVALCSGLQDSKTFSKILIFMLQEADNIFREMLGISSSSCKKEAILELKNSSKWKTMKPLIKSYLRSTLFLLNQVTNSEILAFSLVRVRASVIFFATFHPLLRRLIKIAVHLWVTGEGTLALHSFLVIKDVASVFSSDCFNSCLIKTYKAFITHCKFVDPVSSKHIQFLHNSFIELCSLDVQNSSRKAMVCVEQLSKILQTGLKTKKKEAVKRICSRQSTNCINLWVSFISANVKDYDLQPLLYMIIQIINGVAVLFPGPRYLPLRIKCIQWLNNLSSASGVFIPVASFAMDILEYKTGKDNGKPGKDFNFSSTIKLPKHWLKSRKFQDKCVSSVIELLATHFAQWSCHITFPELATIPLICLRKFLETTTIERFQRMVKRFVDQVPTFRSFRVVFC >KJB51040 pep chromosome:Graimondii2_0_v6:8:48328986:48333310:1 gene:B456_008G198500 transcript:KJB51040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLLFALLILAITAGHSTGGATGKWCVCKDGVGDGNLQKTLDYACGNGADCNAIRSNGPCYNPNTVKAHCNYAVNSYFQKKGQGQGSCEFAGTAAITTTDPSSAGCSYPSSASGGGTTLTPTTPGTTTTPSSSTTTTPSIMTPGTNTPTSTTPYSSTTPTGVLGGVGTGLGPSGTTSTNTDYSHGGFRLQPISSFTTLLFSGFMLIWG >KJB51039 pep chromosome:Graimondii2_0_v6:8:48328930:48333310:1 gene:B456_008G198500 transcript:KJB51039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLLFALLILAITAGHSSGATGKWCVCKDGVGDGNLQKTLDYACGNGADCNAIRSNGPCYNPNTVKAHCNYAVNSYFQKKGQGQGSCEFAGTAAITTTDPSSAGCSYPSSASGGGTTLTPTTPGTTTTPSSSTTTTPSIMTPGTNTPTSTTPYSSTTPTGVLGGVGTGLGPSGTTSTNTDYSHGGFRLQPISSFTTLLFSGFMLIWG >KJB46702 pep chromosome:Graimondii2_0_v6:8:45341527:45345781:-1 gene:B456_008G1771002 transcript:KJB46702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMWTILLVLAILHRASSHGIQPLSRIAIHKATLALDNLAYVKASPTVLGLNGQNTEWVTVEYSSPNPSMDDWIGVFSPANFSASTCPAENPRVYPPLLCSAPIKFQYANYTSPDYKVNGKGSLKLQLINQRSDFSFALFSNGFLNPKLVAVSNTVSFANPNAPVYPCLAQGKQWDEMTVTWTSGYGIDEAEPFVQWGPKGGHQQHSPAVTLTFDRSSVCGAPARTIGWRDPGFIHTSFLKELWLNRVYTYKLGHRLFNSTYVWSQEYQFRASPFPGQNSLQRVVIFGDMGKDEADGSNEYNNFQRGSLNATKQLIKDLKNIDIVFRIGDICYANGYISQWDQFTAQIEPIASAVPYMLASGNHERDWPGTGSFYENNDSGGECGVLAETMFFVPAENRAKYWYSTDYGMFRFCIADSEHDWREGTEQYKFIEHCLASVDRQKQPWLVFLAHRVLGYSSDLSYAVEGSFGEPMAKENLQKLWQKYKVDIAIYGHAHNYERTCPIYENQCTDNEKRYYKGTLKGTIHVVAGGAGASLSPFTTLKTKWSLFRDYDYGFIKLTAFDHSNLLFEYKKSSDGKVYDSFRISRDYRDILACTVDSCPLTTLAS >KJB46703 pep chromosome:Graimondii2_0_v6:8:45341527:45345791:-1 gene:B456_008G1771002 transcript:KJB46703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGIRGLRMIMWTILLVLAILHRASSHGIQPLSRIAIHKATLALDNLAYVKASPTVLGLNGQNTEWVTVEYSSPNPSMDDWIGVFSPANFSASTCPAENPRVYPPLLCSAPIKFQYANYTSPDYKVNGKGSLKLQLINQRSDFSFALFSNGFLNPKLVAVSNTVSFANPNAPVYPCLAQGKQWDEMTVTWTSGYGIDEAEPFVQWGPKGGHQQHSPAVTLTFDRSSVCGAPARTIGWRDPGFIHTSFLKELWLNRVYTYKLGHRLFNSTYVWSQEYQFRASPFPGQNSLQRVVIFGDMGKDEADGSNEYNNFQRGSLNATKQLIKDLKNIDIVFRIGDICYANGYISQWDQFTAQIEPIASAVPYMLASGNHERDWPGTGSFYENNDSGGECGVLAETMFFVPAENRAKYWYSTDYGMFRFCIADSEHDWREGTEQYKFIEHCLASVDRQKQPWLVFLAHRVLGYSSDLSYAVEGSFGEPMAKENLQKLWQKYKVDIAIYGHAHNYERTCPIYENQCTDNEKRYYKGTLKGTIHVVAGGAGASLSPFTTLKTKWSLFRDYDYGFIKLTAFDHSNLLFEYKKSSDGKVYDSFRISRDYRDILACTVDSCPLTTLAS >KJB46704 pep chromosome:Graimondii2_0_v6:8:45341527:45346245:-1 gene:B456_008G1771002 transcript:KJB46704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKESSFSTFLYFISHFTIKKTLSTSHKSPTPLKVSSLVTQSCFLIPILLSSILHAFSFWGIRGLRMIMWTILLVLAILHRASSHGIQPLSRIAIHKATLALDNLAYVKASPTVLGLNGQNTEWVTVEYSSPNPSMDDWIGVFSPANFSASTCPAENPRVYPPLLCSAPIKFQYANYTSPDYKVNGKGSLKLQLINQRSDFSFALFSNGFLNPKLVAVSNTVSFANPNAPVYPCLAQGKQWDEMTVTWTSGYGIDEAEPFVQWGPKGGHQQHSPAVTLTFDRSSVCGAPARTIGWRDPGFIHTSFLKELWLNRVYTYKLGHRLFNSTYVWSQEYQFRASPFPGQNSLQRVVIFGDMGKDEADGSNEYNNFQRGSLNATKQLIKDLKNIDIVFRIGDICYANGYISQWDQFTAQIEPIASAVPYMLASGNHERDWPGTGSFYENNDSGGECGVLAETMFFVPAENRAKYWYSTDYGMFRFCIADSEHDWREGTEQYKFIEHCLASVDRQKQPWLVFLAHRVLGYSSDLSYAVEGSFGEPMAKENLQKLWQKYKVDIAIYGHAHNYERTCPIYENQCTDNEKRYYKGTLKGTIHVVAGGAGASLSPFTTLKTKWSLFRDYDYGFIKLTAFDHSNLLFEYKKSSDGKVYDSFRISRDYRDILACTVDSCPLTTLAS >KJB46705 pep chromosome:Graimondii2_0_v6:8:45341703:45345987:-1 gene:B456_008G1771002 transcript:KJB46705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFLFGMFVGIRGLRMIMWTILLVLAILHRASSHGIQPLSRIAIHKATLALDNLAYVKASPTVLGLNGQNTEWVTVEYSSPNPSMDDWIGVFSPANFSASTCPAENPRVYPPLLCSAPIKFQYANYTSPDYKVNGKGSLKLQLINQRSDFSFALFSNGFLNPKLVAVSNTVSFANPNAPVYPCLAQGKQWDEMTVTWTSGYGIDEAEPFVQWGPKGGHQQHSPAVTLTFDRSSVCGAPARTIGWRDPGFIHTSFLKELWLNRVYTYKLGHRLFNSTYVWSQEYQFRASPFPGQNSLQRVVIFGDMGKDEADGSNEYNNFQRGSLNATKQLIKDLKNIDIVFRIGDICYANGYISQWDQFTAQIEPIASAVPYMLASGNHERDWPGTGSFYENNDSGGECGVLAETMFFVPAENRAKYWYSTDYGMFRFCIADSEHDWREGTEQYKFIEHCLASVDRQKQPWLVFLAHRVLGYSSDLSYAVEGSFGEPMAKENLQKLWQKYKVDIAIYGHAHNYERTCPIYENQCTDNEKRYYKGTLKGTIHVVAGGAGASLSPFTTLKTKWSLFRDYDYGFIKLTAFDHSNLLFEYKKSSDGKVYDSFRISRDYRDILACTVDSCPLTTLAS >KJB52970 pep chromosome:Graimondii2_0_v6:8:56169750:56172009:-1 gene:B456_008G285900 transcript:KJB52970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSSSKLSLFFLFSFLFASTLARNSPSPTTKILDVLASLKQAQHILSFDPQISNGFSKSQPLFFNSSSSSGSGFSIPLHSRGSLRKTHHVDYKNLVRSRLDRDSARVNTLTTEVLLAVNGVRKTELKPVVTELEPEALSTPVISGTSQGSGEYFTRVGVGNPAKQFYMVLDTGSDVNWIQCEPCTDCYQQSDPIFDPSASSTYSPVTCESRQCSLLRQSACRGGKCLYQVSYGDGSYTVGDFVTETVSFGNSGDIKGVAMGCGHTNEGLFVAAAGLVGLGGGPLSLTSQIKATSFSYCLVDRDSAGSSTLDFNSGLPADSVVAPLIRSRKVDTFYYVGLTGLSVGGQPVQLPPGFFELEQSGNGGVIVDCGTAITRLQAEAYNALRDAFVKLSPDLPTTGGVALFDTCYDLSSRTSVRVPTVAFHFSGGMSLDLPAKNYLIPVDSSGTYCLAFAPTTSSLSIIGNVQQQGTRVSFDLANNKVGFSPHKC >KJB48743 pep chromosome:Graimondii2_0_v6:8:18269727:18272855:-1 gene:B456_008G084700 transcript:KJB48743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 [Source:Projected from Arabidopsis thaliana (AT3G11220) UniProtKB/Swiss-Prot;Acc:Q9C778] MAAAKTRTSTFSRNLSTAPPSHGPGLKCGPNGTVFLSSGIPDLDKILGGGFPLGSLVMVMEDAEAPHHMLLLRNFMAQGLVLGQHLLYSSPARDPRGFLGTLPSPAASKDDKSRERDPDQEKGLRIAWQYKKYFGENQLDGQRDGKHEYSNEFDLRKPLERHFINGPRIDCVSIQDSDLSTLRDRCATFLSQFPRSGICFHLLDLSKAWSGLQIQSP >KJB48742 pep chromosome:Graimondii2_0_v6:8:18269727:18272200:-1 gene:B456_008G084700 transcript:KJB48742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 [Source:Projected from Arabidopsis thaliana (AT3G11220) UniProtKB/Swiss-Prot;Acc:Q9C778] MVMEDAEAPHHMLLLRNFMAQGLVLGQHLLYSSPARDPRGFLGTLPSPAASKDDKSRERDPDQEKGLRIAWQYKKYFGENQLDGQRDGKHEYSNEFDLRKPLERHFINGPRIDCVSIQDSDLSTLRDRCATFLSQFPRNDGSISCAGRIAIQSFCAPQCAYSNMEWDMLSFIRSLKSMVRSSNSVAIVTFPPSLLSPSFCKRWQHMADTLLSVKAIQDEDKELAQLLTGYQDMVGFLNVHKVARINTQVPVILEATTFSIKLQKRRYLVLECLNQAPVDGSSGTSYGTSGGCSSSSKTGNLDF >KJB48745 pep chromosome:Graimondii2_0_v6:8:18269727:18272931:-1 gene:B456_008G084700 transcript:KJB48745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 [Source:Projected from Arabidopsis thaliana (AT3G11220) UniProtKB/Swiss-Prot;Acc:Q9C778] MAAAKTRTSTFSRNLSTAPPSHGPGLKCGPNGTVFLSSGIPDLDKILGGGFPLGSLVMVMEDAEAPHHMLLLRNFMAQGLVLGQHLLYSSPARDPRGFLGTLPSPAASKDDKSRERDPDQEKGLRIAWQYKKYFGENQLDGQRDGKHEYSNEFDLRKPLERHFINGPRIDCVSIQDSDLSTLRDRCATFLSQFPRNDGSISCAGRIAIQSFCAPQCAYSNMEWDMLSFIRSLKSMVRSSNSVAIVTFPPSLLSPSFCKRWQHMADTLLSVKAIQDEDKELAQLLTGYQDMVGFLNVHKVARINTQVPVILEATTFSIKLQKRRYLVLECLNQAPVDGSSGTSYGTSGGCSSSSKTGNLDF >KJB48744 pep chromosome:Graimondii2_0_v6:8:18270483:18272411:-1 gene:B456_008G084700 transcript:KJB48744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 [Source:Projected from Arabidopsis thaliana (AT3G11220) UniProtKB/Swiss-Prot;Acc:Q9C778] MAAAKTRTSTFSRNLSTAPPSHGPGLKCGPNGTVFLSSGIPDLDKILGGGFPLGSLVMVMEDAEAPHHMLLLRNFMAQGLVLGQHLLYSSPARDPRGFLGTLPSPAASKDDKSRERDPDQEKGLRIAWQYKKYFGENQLDGQRDGKHEYSNEFDLRKPLERHFINGPRIDCVSIQDSDLSTLRDRCATFLSQFPRNDGSISCAGRIAIQSFCAPQCAYSNMEWDMLSFIRSLKSMVRSSNSVAIVTFPPSLLSPSFCKRWQHMADTLLSVKAIQDEDKELAQLLTGYQDMVGFLNVHKVARINTQVY >KJB48741 pep chromosome:Graimondii2_0_v6:8:18269652:18272940:-1 gene:B456_008G084700 transcript:KJB48741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 [Source:Projected from Arabidopsis thaliana (AT3G11220) UniProtKB/Swiss-Prot;Acc:Q9C778] MAAAKTRTSTFSRNLSTAPPSHGPGLKCGPNGTVFLSSGIPDLDKILGGGFPLGSLVMVMEDAEAPHHMLLLRNFMAQGLVLGQHLLYSSPARDPRGFLGTLPSPAASKDDKSRERDPDQEKGLRIAWQYKKYFGENQLDGQRDGKHEYSNEFDLRKPLERHFINGPRIDCVSIQDSDLSTLRDRCATFLSQFPRNDGSISCAGRIAIQSFCAPQCAYSNMEWDMLSFIRSLKSMVRSSNSVAIVTFPPSLLSPSFCKRWQHMADTLLSVKAIQDEDKELAQLLTGYQDMVGFLNVHKVARINTQVPVILEATTFSIKLQKRRYLVLECLNQAPVDGSSGTSYGTSGGCSSSSKTGNLDF >KJB50620 pep chromosome:Graimondii2_0_v6:8:52593801:52594972:-1 gene:B456_008G239100 transcript:KJB50620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGDWRRYTSSIKLRSTIFGTNFRKSKPRIEMGSLGGMPQSVYLGRLELWRCGARRRRVFSHGRR >KJB49644 pep chromosome:Graimondii2_0_v6:8:37671822:37672544:1 gene:B456_008G131300 transcript:KJB49644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDQCQRQPPMCDQCQPPPPPPPQQHPPPPPPTNGEGKPPPIPSPPPPPQGGAGRSCCLCIFIFLLLAGAAVLTVWLIYRPHKPRFVVVGAAIYELNATSQPFISTSMQFTIVMRNPNKRVSIYYDKLQAYVSYRNQQITPPLDLPPLYHATKTTVALSPVLGSGMVPASAEVVDGLMIDETYGVVALRVVLLGKLRWKAGAIKTVKYGFYVRCDVWVGLKKGGVGPVPLLGAPPCKVDI >KJB49997 pep chromosome:Graimondii2_0_v6:8:40348912:40351211:-1 gene:B456_008G149100 transcript:KJB49997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SMTLFLANFPFTLQESDDSEDDSSDESEDEQPAAKKSKVAPDSGKAAKVKKVSSSEEEESEESSDDDEESDDEKTPKKKVHDTDVEMLDATTPQKNAKQQEVRSGKKAPQTPATPQVQSTGSKTSFVGNLSFQIEQDEIKNFFKDAGELVDIRLATDAEGNFKGYGHVEFATAEAAQKALELNGEYLMNRAVRLDLARERGAYTPHSSNGNNSFQKGGRGNVRTIYVRGFDQSLGQDEIKNSLKEHFGPCGEISRVAIPVDWETGGVKGYAYLDFNDGDSFNKALVPWVAHGISQS >KJB49999 pep chromosome:Graimondii2_0_v6:8:40348665:40351681:-1 gene:B456_008G149100 transcript:KJB49999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APSNKVLVASKKPFPTAGSKQPKEESDDSEDDSSDESEDEQPAAKKSKVAPDSGKAAKVKKVSSSEEEESEESSDDDEESDDEKTPKKKDTDVEMLDATTPQKNAKQQEVRSGKKAPQTPATPQVQSTGSKTSFVGNLSFQIEQDEIKNFFKDAGELVDIRLATDAEGNFKGYGHVEFATAEAAQKALELNGEYLMNRAVRLDLARERGAYTPHSSNGNNSFQKGGRGNVRTIYVRGFDQSLGQDEIKNSLKEHFGPCGEISRVAIPVDWETGGVKGYAYLDFNDGDSFNKALVPWVAHGISQS >KJB49998 pep chromosome:Graimondii2_0_v6:8:40348665:40351211:-1 gene:B456_008G149100 transcript:KJB49998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SMTLFLANFPFTLQESDDSEDDSSDESEDEQPAAKKSKVAPDSGKAAKVKKVSSSEEEESEESSDDDEESDDEKTPKKKDTDVEMLDATTPQKNAKQQEVRSGKKAPQTPATPQVQSTGSKTSFVGNLSFQIEQDEIKNFFKDAGELVDIRLATDAEGNFKGYGHVEFATAEAAQKALELNGEYLMNRAVRLDLARERGAYTPHSSNGNNSFQKGGRGNVRTIYVRGFDQSLGQDEIKNSLKEHFGPCGEISRVAIPVDWETGGVKGYAYLDFNDGDSFNKALVPWVAHGISQS >KJB51035 pep chromosome:Graimondii2_0_v6:8:48312293:48318180:-1 gene:B456_008G1984001 transcript:KJB51035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSQSRMPSYGTQVTVQTESEKQIDKLRRKEEKRNRRATEYGAESDMSAASFSSLLQASEKRSPFEDLIGSGQGSNSVAVTALPQGTVRKHFKGYEEVIIPPTPTAQMKPGEKLIEIKELDDFAQAAFRGYKSLNRIQSRIFQTVYHTNENILVCAPTGAGKTNIAMISILHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTSTFSQRLSPLNMCVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQSMIRIVGLSATLPNYLEVAQFLRVNAETGLFFFDSSYRPVPLAQQYIGISEQNFVARNELLNEKCYKKVVDSLRQGHQAMVFVHSRKDTVKTAEKLVELARKYEGLELFKNDAHPQFSLIKKEVVKSRNKDLVQLFDFGVGVHHAGMLRSDRGLTERLFSDGILRVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRLNPLAYGIGWDE >KJB51036 pep chromosome:Graimondii2_0_v6:8:48312293:48321142:-1 gene:B456_008G1984001 transcript:KJB51036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQLPRLTNSLREPFDIDQAYLQRKIILETQKKAINSGNPLDESELARKIVHRWEEASVEVRQVYKQFIGAVVELIDGDVPSEEFREVVLTAYRLFGGSVEEGEVDKNINEKTVELQKVIGHGVSHANVRKVSSLAQKLSQSQPRDSGAILGSEKHVDGSGDDSEFGADLAFKAPARFLVDVSLEDVELLGEESIAPSSSFIEGWHDKNGPRNYHGNTDSRNFNLSWLRDSCELIVRGSTSQLSRDDLAMAICRVLDSDKPGEEIAGDLLDLVGDSAFETVQDLLSHRKELVEAIHHGLSVLKSEKMTSSSQSRMPSYGTQVTVQTESEKQIDKLRRKEEKRNRRATEYGAESDMSAASFSSLLQASEKRSPFEDLIGSGQGSNSVAVTALPQGTVRKHFKGYEEVIIPPTPTAQMKPGEKLIEIKELDDFAQAAFRGYKSLNRIQSRIFQTVYHTNENILVCAPTGAGKTNIAMISILHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTSTFSQRLSPLNMCVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQSMIRIVGLSATLPNYLEVAQFLRVNAETGLFFFDSSYRPVPLAQQYIGISEQNFVARNELLNEKCYKKVVDSLRQGHQAMVFVHSRKDTVKTAEKLVELARKYEGLELFKNDAHPQFSLIKKEVVKSRNKDLVQLFDFGVGVHHAGMLRSDRGLTERLFSDGILRVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRLNPLAYGIGWDE >KJB50589 pep chromosome:Graimondii2_0_v6:8:45498419:45500835:-1 gene:B456_008G178200 transcript:KJB50589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYGNLRVDATLASYHQHPFRTYIRSSKVEFVGFMNGGSRFSEITPKWNGMTINSRSFGSKNKRSVGIMGDYKFSPNAVHEEVESFLLHAINMSFFERLHLAWKIVFPSPASRRSSNANIAKQRLKMILFSDRCAVSDEAKQKIVKNIVHALSDFVEIESKDKVQLSVSTDSDLGTIYSVTVPVRRVKAEYQEADETGTITNIDYKDTGERSGSVDVRFDFYVPDE >KJB51492 pep chromosome:Graimondii2_0_v6:8:50527228:50529586:-1 gene:B456_008G218900 transcript:KJB51492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTFIFCFIPPFLFIFLFHSLLLHFFKPNRPKLPLPPGTMGWPYIGETFHLYSQNPNVFFASKQKRYGSIFKTHILGCPCVMISSPDAAKFVLVTKSHLFKPTFPASKERMLGKQAIFFNQGPYHAKLRKLVLRAFMPDSIKNIVPNIESIAKHSLHSLQGRLITTFQEMKTYTFNVALLSIFGKDDQVLYREDLKRCYYILEKGYNSMPINLPGTLFNKSMKARKEIAQILAKIISTRRQTKQVDCNDLLGSFMSDKEGLTDEQIADNVISVIFAARDTTASVLTWIIKYLGENPSVLQAVTEEQEAIMRGKEEQELSWEDTKKMPLTSRVIQETLRVASILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHSPEIFPDPQKFDPSRFEVNFGSGSKLAV >KJB51491 pep chromosome:Graimondii2_0_v6:8:50527220:50529590:-1 gene:B456_008G218900 transcript:KJB51491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTFIFCFIPPFLFIFLFHSLLLHFFKPNRPKLPLPPGTMGWPYIGETFHLYSQNPNVFFASKQKRYGSIFKTHILGCPCVMISSPDAAKFVLVTKSHLFKPTFPASKERMLGKQAIFFNQGPYHAKLRKLVLRAFMPDSIKNIVPNIESIAKHSLHSLQGRLITTFQEMKTYTFNVALLSIFGKDDQVLYREDLKRCYYILEKGYNSMPINLPGTLFNKSMKARKEIAQILAKIISTRRQTKQVDCNDLLGSFMSDKEGLTDEQIADNVISVIFAARDTTASVLTWIIKYLGENPSVLQAVTEEQEAIMRGKEEQELSWEDTKKMPLTSRVIQETLRVASILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHSPEIFPDPQKFDPSRFEVAPKPNTFMPFGSGTHSCPGNELAKLEIMVLLHHLTTKYRWSMVGSNSGIQYGPFALPQNGLPIRLVRK >KJB51033 pep chromosome:Graimondii2_0_v6:8:48277461:48281820:1 gene:B456_008G198200 transcript:KJB51033 gene_biotype:protein_coding transcript_biotype:protein_coding description:TT7 [Source:Projected from Arabidopsis thaliana (AT5G07990) UniProtKB/TrEMBL;Acc:A0A178UNZ9] MASFVLYSILSAVFLYFVFITSRKRRRLPLPPGPKPWPIIGNLPHMSPVPHQGLAAMAKVYGPLMHLRLGFVDVVVAASASMAAQFLKVHDSNFSSRPPNAGAKYVAYNYQDLVFAPYGPRWRLLRKISSLHLFSGKALDDFRQIREEEIRVLVRALASAKTKVNLGQLLNVCTVNALGQVMMGKRVFGDGSGGSDPEADEFKSMVVELMQLAGVFNIGDFIPALEWLDLQGVQAKMKKLHNRFDRFLSAILEEHKTKARQSNGQVKHKDFLSTLISLENVDGAEGGKLSDTEIKALLLNMFTAGTDTSSSTVEWAMAELIRHPNIMAQVRKELDSVVGRDRLVSDLDLPNLTYFQAVIKETFRIHPSTPLSLPRMASDSCDINGYHIPKGSCPAAKGRTLMLGAMILRSYRSAPGVESVPE >KJB51032 pep chromosome:Graimondii2_0_v6:8:48277406:48281826:1 gene:B456_008G198200 transcript:KJB51032 gene_biotype:protein_coding transcript_biotype:protein_coding description:TT7 [Source:Projected from Arabidopsis thaliana (AT5G07990) UniProtKB/TrEMBL;Acc:A0A178UNZ9] MASFVLYSILSAVFLYFVFITSRKRRRLPLPPGPKPWPIIGNLPHMSPVPHQGLAAMAKVYGPLMHLRLGFVDVVVAASASMAAQFLKVHDSNFSSRPPNAGAKYVAYNYQDLVFAPYGPRWRLLRKISSLHLFSGKALDDFRQIREEEIRVLVRALASAKTKVNLGQLLNVCTVNALGQVMMGKRVFGDGSGGSDPEADEFKSMVVELMQLAGVFNIGDFIPALEWLDLQGVQAKMKKLHNRFDRFLSAILEEHKTKARQSNGQVKHKDFLSTLISLENVDGAEGGKLSDTEIKALLLNMFTAGTDTSSSTVEWAMAELIRHPNIMAQVRKELDSVVGRDRLVSDLDLPNLTYFQAVIKETFRIHPSTPLSLPRMASDSCDINGYHIPKGATLLVNVWAISRDPNEWNNPLEFRPERFLPGGERPNADVRGNDFEVIPFGAGRRICAGMSLGLRMVQLLTATLAHAFEWELADGLMPEKLDMEEAYGLTLQRAAPLMVHPRPRLSKHAY >KJB52168 pep chromosome:Graimondii2_0_v6:8:53270128:53273432:1 gene:B456_008G248700 transcript:KJB52168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTKISSAQLKVQVQPIKPKRRKCKETTISSSASSVATGSAASGATNNCAESGGVHGVLSRKLDSPTIVSPDSSWCCPASKPLPTPPPSPPPQARRVPDQGLTDSLSGFRIRYSPGSVLPVMDFTGGTLLSNGHSPSSFNKFNSALTAGLLNPMSPPPPADKIRSSPTLFEMMASEPDIHQRTQNQAQIQAPISAPRQNQPPPVMDKQVLTMQRISDLLSTRSPGNQFNDPGLSDIKLTLSSKDGISVSMNVHRQILVAHSRFFAVRLSDRWTKQQRNGSTGPYIVEISDCDDVEVYIETLRLMYCKDLRKKLMREDVSKVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAELHLENVGAGEVLKRVSVEVANGTDEGGDNEEVLLKLLHVVLEGKDEKARREMKGLVFKMLRENSSHNDLRKESLYSACDSCLELLRHHFFRAASLDLQDASQIARQADNLHWILDILIDRQIAEDFLKTWASQSELSDSHSKVPVFHRFEVSRVTARLFVGIGKGQLLASKELRCLLLQTWLVPFYDDFGWMRRASKGLDRHLIEDGLSNSILTLPLAWQQEIFLAWFDRFLNSGEDCPNIQRGFEVWWRRAFWRRSGEQEPPLPIRVITTAIENS >KJB52169 pep chromosome:Graimondii2_0_v6:8:53270172:53273432:1 gene:B456_008G248700 transcript:KJB52169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTKISSAQLKVQVQPIKPKRRKCKETTISSSASSVATGSAASGATNNCAESGGVHGVLSRKLDSPTIVSPDSSWCCPASKPLPTPPPSPPPQARRVPDQGLTDSLSGFRIRYSPGSVLPVMDFTGGTLLSNGHSPSSFNKFNSALTAGLLNPMSPPPPADKIRSSPTLFEMMASEPDIHQRTQNQAQIQAPISAPRQNQPPPVMDKQVLTMQRISDLLSTRSPGNQFNDPGLSDIKLTLSSKDGISVSMNVHRQILVAHSRFFAVRLSDRWTKQQRNGSTGPYIVEISDCDDVEVYIETLRLMYCKDLRKKLMREDVSKVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAELHLENVGAGEVLKRVSVEVANGTDEGGDNEEVLLKLLHVVLEGKDEKARREMKGLVFKMLRENSSHNDLRKESLYSACDSCLELLRHHFFRAASLDLQDASQIARQADNLHWILDILIDRQIAEDFLKTWASQSELSDSHSKVPVFHRFEVSRVTARLFVGIGKGQLLASKELRCLLLQTWLVPFYDDFGWMRRASKGLDRHLIEDGLSNSILTLPLAWQQEIFLAWFDRFLNSGEDCPNIQRGFEVWWRRAFWRRSGEQEPPLPIRVITTAIENS >KJB52170 pep chromosome:Graimondii2_0_v6:8:53270071:53273466:1 gene:B456_008G248700 transcript:KJB52170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTKISSAQLKVQVQPIKPKRRKCKETTISSSASSVATGSAASGATNNCAESGGVHGVLSRKLDSPTIVSPDSSWCCPASKPLPTPPPSPPPQARRVPDQGLTDSLSGFRIRYSPGSVLPVMDFTGGTLLSNGHSPSSFNKFNSALTAGLLNPMSPPPPADKIRSSPTLFEMMASEPDIHQRTQNQAQIQAPISAPRQNQPPPVMDKQVLTMQRISDLLSTRSPGNQFNDPGLSDIKLTLSSKDGISVSMNVHRQILVAHSRFFAVRLSDRWTKQQRNGSTGPYIVEISDCDDVEVYIETLRLMYCKDLRKKLMREDVSKVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAELHLENVGAGEVLKRVSVEVANGTDEGGDNEEVLLKLLHVVLEGKDEKARREMKGLVFKMLRENSSHNDLRKESLYSACDSCLELLRHHFFRAASLDLQDASQIARQADNLHWILDILIDRQIAEDFLKTWASQSELSDSHSKVPVFHRFEVSRVTARLFVGIGKGQLLASKELRCLLLQTWLVPFYDDFGWMRRASKGLDRHLIEDGLSNSILTLPLAWQQEIFLAWFDRFLNSGEDCPNIQRGFEVWWRRAFWRRSGEQEPPLPIRVITTAIENS >KJB53208 pep chromosome:Graimondii2_0_v6:8:56969984:56974560:1 gene:B456_008G296900 transcript:KJB53208 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G11130) UniProtKB/Swiss-Prot;Acc:O82504] MDGTEIERPTLRLTNIPQTAVAKDLLDFFESKLGPDSVFAIEISTDRNNWKSRGFGRVQFAAPQAKSDALRLSRHDHLLFKSHSLKLSRTYDDIIPRPIRADHRLDGGVLHAGFMSSDDCLRVLERWEGVRGWIMPERRRLEFWVWTDGECYKLDFLFDDIFETVGCCFDGSACNALLLRVRYAPRIYQKVSGPNVASKFSTDRYHICKEKFDFLWVRTTDFSRIKSIGQSTSFYWEFNAGFSISDMSTYLPCYREDIQSPSLEARREFSSPSEIVPLVKFPSDSKLAYEILFQLNALVHTQKISIAAVDTDLIGILSGLPVETAVMILQKLRLLQSPCYNPVSFVKAKLPTGKNYRIPLSVSERLKNHNVMSCRRALITPTKIYCLGPELETANYVVKNFAEYASYFMRVTFVEEDWSKLSANAISTGVHLGVFSRPFKTKIYDRILYVLQNGIVIGDKRFEFLAFSASQLRSNSVWMFASNDEVKAEDIREWMGCFKKIRSISKCASRMGQLFSSSMPTLVVPVQDVEIIDDIEVKTDGINYCFSDGIGKISLPFARQVAEKCGLNHIPSAFQIRYGGYKGVVAVDRNSFWKMSLRDSMLKFESKVRMLNVTKWSESMPCFLNREIVTLFSTLGIKDEVFERMQEEQLCLLGKMLTNREAALDTLQSLGGVNSKNILVEMLQFYEPNVQPYLSMMLQAHYENLLSDLKSRCRIFVPKGRILIGCLDETGTLNYDQVYLCIKMKKAELECADQSYFRKVDEETAIVIGKVVVTKNPCLHPGDVRVLEAVYEPQLEEKGLVDCLVFPQKGERPHPNECSGGDLDGDQFFISWDKDLIPCQTEPPMDYTGRRPRIMDHEVTLEEIQKFFVDYMINDTLGAISTAHLVHADREPDKACSENCLALATLHSMAVDFAKTGAPAEMPRALKPREFPDFMQRGNKPMYTSSGVLGKLYRATINSTVQTRSKFVWTKEMAELVYDHDLEVNGFESLISVAETHKEMYEERMSLLMSYYDVEYEDEILTGNIYNKAQFLLRDNRRYGEMKERIVLSVKDLQREAKEWFKSSCSKADEHQKLASAWYYVTYHPNYFQERMNSLSFPWIVGDILLRVKSRNKFLNSREIQRNRPKFDNVYIKSPRRHTSGDESSME >KJB52630 pep chromosome:Graimondii2_0_v6:8:54989278:54991983:1 gene:B456_008G270900 transcript:KJB52630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEINLEEADENVPKTDFVYDGGVGNCRCSELESRSKKAETRCLELESEVRMRKSDYEVLETRFRSLEAAHLALQNEIKVLRGRNSEVGDRMVGGYGGKGLIEGAVDLTEESDEEDMVSKLMVENRVLECEKSKAQNEAEFWKLKFTELESLMSRLQESSVLKSTERPVDMMNEGVKSKDGITSNDLPAVDKAVSFMDSAPTLVSPGKGIGNLQPAVTPCNDTPYKLFTFEKGDHGIESSKRVKRLLPFREERSPGKQMAPSTPAGVKPASVIIIDIHGSDDELNLVHDEIPLTSNWEDVDGKHEIEGIVDSEIETRTITDQNQEGKEDTVSFIAVSKRKRASNVFTSDTESDDDNVPIATLRKMHHEEAVPAATTRGSFTPRKRRLVSLRQSEGVKRCSSRKEGECELCKLITPTTEDVEDDGSDKIGSDSESDSDSLNGFIVEDTDTTNCDDSCSDSLQDGSDCNDACSRQEDVSSDSNDEVDFDMIISQLKRKKDHKSDWKFEGEMLAAFGKDPELCMKAVCALYRQQTSGEKLSKAALCQNQRGFNKIDAYRGCTLAEFLTDGDPQGDLMKSVKELEAYDRNAVELCRNLATKYSKQLFEIYKSKEDPYFLPP >KJB52629 pep chromosome:Graimondii2_0_v6:8:54989292:54992198:1 gene:B456_008G270900 transcript:KJB52629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEINLEEADENVPKTDFVYDGGVGNCRCSELESRSKKAETRCLELESEVRMRKSDYEVLETRFRSLEAAHLALQNEIKVLRGRNSEVGDRMVGGYGGKGLIEGAVDLTEESDEEDMVSKLMVENRVLECEKSKAQNEAEFWKLKFTELESLMSRLQESSVLKSTERPVDMMNEGVKSKDGITSNDLPAVDKAVSFMDSAPTLVSPGKGIGNLQPAVTPCNDTPYKLFTFEKGDHGIESSKRVKRLLPFREERSPGKQMAPSTPAGVKPASVIIIDIHGSDDELNLVHDEIPLTSNWEDVDGKHEIEGIVDSEIETRTITDQNQEGKEDTVSFIAVSKRKRASNVFTSDTESDDDNVPIATLRKMHHEEAVPAATTRGSFTPRKRRLVSLRQSEGVKRCSSRKEGECELCKLITPTTEDVEDDGSDKIGSDSESDSDSLNGFIVEDTDTTNCDDSCSDSLQDGSDCNDACSRQEDVSSDSNDEVDFDMIISQLKRKKDHKSDWKFEGEMLAAFGKDPELCMKAVCALYRQQTSGEKLSKAALCQNQRGFNKIDAYRGCTLAEFLTDGDPQGDLMKSVKELEAYDRNAVELCRNLATKYSKQLFEIYKSKEDPYFLPP >KJB52631 pep chromosome:Graimondii2_0_v6:8:54989715:54991500:1 gene:B456_008G270900 transcript:KJB52631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEINLEEADENVPKTDFVYDGGVGNCRCSELESRSKKAETRCLELESEVRMRKSDYEVLETRFRSLEAAHLALQNEIKVLRGRNSEVGDRMVGGYGGKGLIEGAVDLTEESDEEDMVSKLMVENRVLECEKSKAQNEAEFWKLKFTELESLMSRLQESSVLKSTERPVDMMNEGVKSKDGITSNDLPAVDKAVSFMDSAPTLVSPGKGIGNLQPAVTPCNDTPYKLFTFEKGDHGIESSKRVKRLLPFREERSPGKQMAPSTPAGVKPASVIIIDIHGSDDELNLVHDEIPLTSNWEDVDGKHEIEGIVDSEIETRTITDQNQEGKEDTVSFIAVSKRKRASNVFTSDTESDDDNVPIATLRKMHHEEAVPAATTRGSFTPRKRRLVSLRQSEGVKRCSSRKEGECELCKLITPTTEDVEDDGSDKIGSDSESDSDSLNGFIVEDTDTTNCDDSCSDSLQDGSDCNDACSRQEDVSSDSNDEVDFDMIISQLKRKKDHKSDWKFEGEMLAAFGKDPELCMKAVCALYRQQTSGEKLSKAALCQNQRGFNKIDAYRYMNS >KJB52628 pep chromosome:Graimondii2_0_v6:8:54989328:54991983:1 gene:B456_008G270900 transcript:KJB52628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEINLEEADENVPKTDFVYDGGVGNCRCSELESRSKKAETRCLELESEVRMRKSDYEVLETRFRSLEAAHLALQNEIKVLRGRNSEVGDRMVGGYGGKGLIEGAVDLTEESDEEDMVSKLMVENRVLECEKSKAQNEAEFWKLKFTELESLMSRLQESSVLKSTERPVDMMNEGVKSKDGITSNDLPAVDKAVSFMDSAPTLVSPGKGIGNLQPAVTPCNDTPYKLFTFEKGDHGIESSKRVKRLLPFREERSPGKQMAPSTPAGVKPASVIIIDIHGSDDELNLVHDEIPLTSNWEDVDGKHEIEGIVDSEIETRTITDQNQEGKEDTVSFIAVSKRKRASNVFTSDTESDDDNVPIATLRKMHHEEAVPAATTRGSFTPRKRRLVSLRQSEGVKRCSSRKEGECELCKLITPTTEDVEDDGSDKIGSDSESDSDSLNGFIVEDTDTTNCDDSCSDSLQDGSDCNDACSRQEDVSSDSNDEVDFDMIISQLKRKKDHKSDWKFEGEMLAAFGKDPELCMKAVCALYRQQTSGEKLSKAALCQNQRGFNKIDAYRGCTLAEFLTDGDPQGDLMKSVKELEAYDRNAVELCRNLATKYSKQLFEIYKSKEDPYFLPP >KJB52886 pep chromosome:Graimondii2_0_v6:8:55832632:55837779:-1 gene:B456_008G281800 transcript:KJB52886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNPTLLPEIGPDGLAREPSVIAYTEKIIEEERLQLTKYIAENYSKIHDIERELANLTMEMKLTAGPKKAALEHMRKKIEMSTERIRIAKENEEQARKAWESASKALHDEEAIKQKLCEDLNNLVQESSNSQFARLEELKRRLEALNPSKKSTFSDHDMKAIGLTQHAATIGVSSVPQTTESGSTVSTTVPHQGNGGNVQVMNGQNQLATNDGEVKGKKKNTFQGRGKGIGAVPKSRGSAAPGWTGAGFDVDART >KJB49228 pep chromosome:Graimondii2_0_v6:8:33858188:33859096:1 gene:B456_008G108500 transcript:KJB49228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIISESEFNILESFHHYLFDDFYETPAAAITCSSDNGVFVDQPCYNRSLSFSGVFLNESWGDLPLKMDDSEDMVVYNALRDAANSGWTPSNEVTAAEAVEEVVVSGGEEKEGIVNVERETNAPLRPRGMNFKGVRRRPWGKYAAEIRDPKRNGSRIWLGTYETPEDAALAYDRAAFEMRGAKAKLNFPHLIGSNTSDPIRVGSRRRSPEPSASTTPYGLRDSSPKPKKRKSASNSEDKATPEVVQLNTWPTFGDQFWII >KJB51149 pep chromosome:Graimondii2_0_v6:8:48942867:48944869:1 gene:B456_008G203900 transcript:KJB51149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPSLSLLFLFTSLLIPSPISSSPVQDPELVVEDVHRAINASRRNLGYLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKNAIGGRDGKIYVVTDSSDNDAVNPKPGTLRHAVIQDEPLWIIFARDMTIRLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGLNIHDCKQGGNAMVRDSPRHYGWRTISDGDGVSIFGGSHVWVDHNSLSNCNDGLVDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFTAPNDRFSKEVTKHEDAPESDWKSWNWRSEGDLMVNGAFFTASGAGASSSYSKASSLGARPSSLVATITTNAGSLNCKKGSRC >KJB51150 pep chromosome:Graimondii2_0_v6:8:48942885:48944810:1 gene:B456_008G203900 transcript:KJB51150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPSLSLLFLFTSLLIPSPISSSPVQDPELVVEDVHRAINASRRNLGYLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKNAIGGRDGKIYVVTDSSDNDAVNPKPGTLRHAVIQDEPLWIIFARDMTIRLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGLNIHDCKQGGNAMVRDSPRHYGWRTISDGDGVSIFGGSHVWVDHNSLSNCNDGLVDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPR >KJB51152 pep chromosome:Graimondii2_0_v6:8:48943065:48944810:1 gene:B456_008G203900 transcript:KJB51152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGLNIHDCKQGGNAMVRDSPRHYGWRTISDGDGVSIFGGSHVWVDHNSLSNCNDGLVDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFTAPNDRFSKEVTKHEDAPESDWKSWNWRSEGDLMVNGAFFTASGAGASSSYSKASSLGARPSSLVATITTNAGSLNCKKGSRC >KJB51151 pep chromosome:Graimondii2_0_v6:8:48942885:48944810:1 gene:B456_008G203900 transcript:KJB51151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPSLSLLFLFTSLLIPSPISSSPVQDPELVVEDVHRAINASRRNLGYLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKNAIGGRDGKIYVVTDSSDNDAVNPKPGTLRHAVIQDEPLWIIFARDMTIRLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGLNIHDCKQGGNAMVRDSPRHYGWRTISDGDGVSIFGGSHVWVDHNSLSNCNDGLVDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFTAPNDRFSKEVKTH >KJB51721 pep chromosome:Graimondii2_0_v6:8:51530155:51533611:-1 gene:B456_008G229500 transcript:KJB51721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMESLIVTVNRIQRACTVLGDHGGDTNLPTLWEALPSVVVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTERGLQEYGEFLHLPKKKFTDFSMVRKEIQDETDRMTGKSKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIEIMVRSYVEKPNCIILAITPANQDIATSDAMKLSREVDPTGERTFGVLTKLDLMDKGTNAIDVLEGRAYPLQHPWVGIVNRSQADIKKNVDMIASRRKEREFFASSPDYGHLASKMGSEYLAKVLSKHLESVIRARLPGITSLINKSIEELEAELSHLGRSVAVDAGAQLYTILELCRAFDRIFKEHLDGGRPGGDRIYGVFDHQLPAALRKLPFDRHLSLQNIRKVVSEADGYQPHLIAPEQGYRRLIDGALNYFRGPAEASVDAVHFLLKELVRRSIAETQVRSL >KJB51719 pep chromosome:Graimondii2_0_v6:8:51529584:51533611:-1 gene:B456_008G229500 transcript:KJB51719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMESLIVTVNRIQRACTVLGDHGGDTNLPTLWEALPSVVVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTERGLQEYGEFLHLPKKKFTDFSMVRKEIQDETDRMTGKSKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIEIMVRSYVEKPNCIILAITPANQDIATSDAMKLSREVDPTGERTFGVLTKLDLMDKGTNAIDVLEGRAYPLQHPWVGIVNRSQADIKKNVDMIASRRKEREFFASSPDYGHLASKMGSEYLAKVLSKHLESVIRARLPGITSLINKSIEELEAELSHLGRSVAVDAGAQLYTILELCRAFDRIFKEHLDGGRPGGDRIYGVFDHQLPAALRKLPFDRHLSLQNIRKVVSEADGYQPHLIAPEQGYRRLIDGALNYFRGPAEASVDAVHFLLKELVRRSIAETQELKRFPTLQAEIAAAASEALERFREESKKTTLRMVDMESSYLTVDFFRKLPQEVEKGGNPTSSSVDRYAESHFRRIGSNVYSYVGMVSDTLRNTIPKAVVYCQVREAKQSLLDHFYIQLGKKEVPIIFTPAFSLTCTYIHFDTSMCHPLGNLIGTGFIQTVVD >KJB51720 pep chromosome:Graimondii2_0_v6:8:51528789:51533732:-1 gene:B456_008G229500 transcript:KJB51720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMESLIVTVNRIQRACTVLGDHGGDTNLPTLWEALPSVVVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTERGLQEYGEFLHLPKKKFTDFSMVRKEIQDETDRMTGKSKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIEIMVRSYVEKPNCIILAITPANQDIATSDAMKLSREVDPTGERTFGVLTKLDLMDKGTNAIDVLEGRAYPLQHPWVGIVNRSQADIKKNVDMIASRRKEREFFASSPDYGHLASKMGSEYLAKVLSKHLESVIRARLPGITSLINKSIEELEAELSHLGRSVAVDAGAQLYTILELCRAFDRIFKEHLDGGRPGGDRIYGVFDHQLPAALRKLPFDRHLSLQNIRKVVSEADGYQPHLIAPEQGYRRLIDGALNYFRGPAEASVDAVHFLLKELVRRSIAETQELKRFPTLQAEIAAAASEALERFREESKKTTLRMVDMESSYLTVDFFRKLPQEVEKEIPFLRLWFIAK >KJB51722 pep chromosome:Graimondii2_0_v6:8:51528789:51533732:-1 gene:B456_008G229500 transcript:KJB51722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMESLIVTVNRIQRACTVLGDHGGDTNLPTLWEALPSVVVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTERGLQEYGEFLHLPKKKFTDFSMVRKEIQDETDRMTGKSKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIEIMVRSYVEKPNCIILAITPANQDIATSDAMKLSREVDPTGERTFGVLTKLDLMDKGTNAIDVLEGRAYPLQHPWVGIVNRSQADIKKNVDMIASRRKEREFFASSPDYGHLASKMGSEYLAKVLSKHLESVIRARLPGITSLINKSIEELEAELSHLGRSVAVDAGAQLYTILELCRAFDRIFKEHLDGGRPGGDRIYGVFDHQLPAALRKLPFDRHLSLQNIRKVVSEADGYQPHLIAPEQGYRLIILDSGFLSEAPPRSGERWKPNFLIC >KJB51718 pep chromosome:Graimondii2_0_v6:8:51528783:51533829:-1 gene:B456_008G229500 transcript:KJB51718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMESLIVTVNRIQRACTVLGDHGGDTNLPTLWEALPSVVVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTERGLQEYGEFLHLPKKKFTDFSMVRKEIQDETDRMTGKSKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIEIMVRSYVEKPNCIILAITPANQDIATSDAMKLSREVDPTGERTFGVLTKLDLMDKGTNAIDVLEGRAYPLQHPWVGIVNRSQADIKKNVDMIASRRKEREFFASSPDYGHLASKMGSEYLAKVLSKHLESVIRARLPGITSLINKSIEELEAELSHLGRSVAVDAGAQLYTILELCRAFDRIFKEHLDGGRPGGDRIYGVFDHQLPAALRKLPFDRHLSLQNIRKVVSEADGYQPHLIAPEQGYRRLIDGALNYFRGPAEASVDAVHFLLKELVRRSIAETQELKRFPTLQAEIAAAASEALERFREESKKTTLRMVDMESSYLTVDFFRKLPQEVEKGGNPTSSSVDRYAESHFRRIGSNVYSYVGMVSDTLRNTIPKAVVYCQVREAKQSLLDHFYIQLGKKEGKQLAQLLDEDPALMERRQQCVKRLELNKSARDEIDSVLWAR >KJB48957 pep chromosome:Graimondii2_0_v6:8:25751144:25762534:1 gene:B456_008G095100 transcript:KJB48957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVEDDEPASKRMKLSSEELIHLSNGSTVKESIVGSSRDLMARPLQSDGGEEVVGSKGVIKKVEFVRIMAKALYSLGYRRSGAHLEEESGIALHSSIINVFMRQVLEGDWDESVATLHNIGLTDEMTIKSASFLILEQKFFEFLDEEKVMDALKTLRTEISPLCINHSRVRELSLSIVSPSHCFTVRSPKQDTSRARSRTKLLEELQKLLPPTVMIPERRLEHLVEQALGLQRDACMFHNSLDEEISLFADHQCARDQIPSYALQILQAHTDEIWFLQFSHNGKYLASSSHDCSAIIWEVDANGISLKHKLSGHQKPVSSVSWSPDDHQLLTCGVEEVVRRWDASSGECLSVYEKAGLGMVSCGWSPDGKWIFSGVNDKSISMWELDGRELECWKGQRTLKISDLEITSDGKQIISICRETAILLLDREAKVERFIEEDQTITSFSLSRDNRFLLVNLLNQEIHLWSIEDDLKLVSKYRGHKRTRFIIRSCFGGLEQAFVASGSEDSLVYIWHRGTGELIEALPGHSGAVNCVSWNPTNPHMLASASDDRTIRIWGLNNLSAKQKDTHGNGFHYSNGGT >KJB48956 pep chromosome:Graimondii2_0_v6:8:25752855:25762534:1 gene:B456_008G095100 transcript:KJB48956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVEDDEPASKRMKLSSEELIHLSNGSTVKESIVGSSRDLMARPLQSDGGEEVVGSKGVIKKVEFVRIMAKALYSLGYRRSGAHLEEESGIALHSSIINVFMRQVLEGDWDESVATLHNIGLTDEMTIKSASFLILEQKFFEFLDEEKVMDALKTLRTEISPLCINHSRVRELSLSIVSPSHCFTVRSPKQDTSRARSRTKLLEELQKLLPPTVMIPERRLEHLVEQALGLQRDACMFHNSLDEEISLFADHQCARDQIPSYALQILQAHTDEIWFLQFSHNGKYLASSSHDCSAIIWEVDANGISLKHKLSGHQKPVSSVSWSPDDHQLLTCGVEEVVRRWDASSGECLSVYEKAGLGMVSCGWSPDGKWIFSGVNDKSISMWELDGRELECWKGQRTLKISDLEITSDGKQIISICRETAILLLDREAKVERFIEEDQTITSFSLSRDNRFLLVNLLNQEIHLWSIEDDLKLVSKYRGHKRTRFIIRSCFGGLEQAFVASGSEDSLVYIWHRGTGELIEALPGHSGAVNCVSWNPTNPHMLASASDDRTIRIWGLNNLSAKQKDTHGNGFHYSNGGT >KJB48958 pep chromosome:Graimondii2_0_v6:8:25751144:25762534:1 gene:B456_008G095100 transcript:KJB48958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVEDDEPASKRMKLSSEELIHLSNGSTVKESIVGSSRDLMARPLQSDGGEEVVGSKGVIKKVEFVRIMAKALYSLGYRRSGAHLEEESGIALHSSIINVFMRQVLEGDWDESVATLHNIGLTDEMTIKSASFLILEQKFFEFLDEEKVMDALKTLRTEISPLCINHSRVRELSLSIVSPSHCFTVRSPKQDTSRARSRTKLLEELQKLLPPTVMIPERRLEHLVEQALGLQRDACMFHNSLDEEISLFADHQCARDQIPSYALQILQAHTDEIWFLQFSHNGKYLASSSHDCSAIIWEVDANGISLKHKLSGHQKPVSSVSWSPDDHQLLTCGVEEVVRRWDASSGECLSVYEKAGLGMVSCGWSPDGKWIFSGVNDKSISMWELDGRELECWKGQRTLKISDLEITSDGKQIISICRETAILLLDREAKVERFIEEDQTITSFSLSRDNRFLLVNLLNQEIHLWSIEDDLKLVSKYRGHKRTRFIIRSCFGGLEQAFVASGSEDSLVYIWHRGTGELIEALPGHSGAVNCVSWNPTNPHMLASASDDRTIRIWGLNNLSAKQKDTHGNGFHYSNGGT >KJB47749 pep chromosome:Graimondii2_0_v6:8:5162067:5166731:-1 gene:B456_008G040100 transcript:KJB47749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCQSSFQTETHPENDQTQQQQEPQNRSQSSPGAVPDPAVANGVPSFSEFSLAGLKAATNNFSSDNIVSESGEKAPNLVYKGRLKNRKWIAVKKFTKMAWPDPKQFAEEAWGVGKLRHKRLANLIGYCCDGDERLLVAEYMINDTLAKHLFHWENQTIEWAMRLRVAFCIAEALDYCSSEGHPLYHDLNAYRVIFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLLDLISGKHIPPSHALDMIRGKNIILLMDSHLEGKFSTEEATVVVGLASQCLQYEPWERPSTRDLVATLAPLQTKPDVPSYVMLGISKYEEAPPTPQRALSPMGEACSRHDLTAIHQILVMNHYKDDEGSNELSFQEWTQQMRDMLEARKRGDYAFRDKDFKTAIDCYSQFIDVGTMVSSTVFARRSLCYLFCDQPDAALADAMQAQIVNPDWPTAFYMQSVALAKLDMQKDAADMLNEAAGLEEKKQRGAKGS >KJB47748 pep chromosome:Graimondii2_0_v6:8:5162205:5166605:-1 gene:B456_008G040100 transcript:KJB47748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCQSSFQTETHPENDQTQQQQEPQNRSQSSPGAVPDPAVANGVPSFSEFSLAGLKAATNNFSSDNIVSESGEKAPNLVYKGRLKNRKWIAVKKFTKMAWPDPKQFAEEAWGVGKLRHKRLANLIGYCCDGDERLLVAEYMINDTLAKHLFHWENQTIEWAMRLRVAFCIAEALDYCSSEGHPLYHDLNAYRVIFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLLDLISGKHIPPSHALDMIRGKNIILLMDSHLEGKFSTEEATVVVGLASQCLQYEPWERPSTRDLVATLAPLQTKPDVPSYVMLGISKYEEAPPTPQRALSPMGEACSRHDLTAIHQILVMNHYKDDEGSNELSFQEWTQQMRDMLEARKRGDYAFRDKDFKTAIDCYSQFIDVGTMVSSTVFARRSLCYLFCDQPDAALADAMQAQIVNPDWPTAFYMQSVALAKLDMQKDAADMLNEAAGLEEKKQRGAKGS >KJB47751 pep chromosome:Graimondii2_0_v6:8:5162692:5165820:-1 gene:B456_008G040100 transcript:KJB47751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLLSICFTVRENQTIEWAMRLRVAFCIAEALDYCSSEGHPLYHDLNAYRVIFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLLDLISGKHIPPSHALDMIRGKNIILLMDSHLEGKFSTEEATVVVGLASQCLQYEPWERPSTRDLVATLAPLQTKPDVPSYVMLGISKYEEAPPTPQRALSPMGEACSRHDLTAIHQILVMNHYKDDEGSNELSFQEWTQQMRDMLEARKRGDYAFRDKDFKTAIDCYSQFIDVGTMVSSTVFARRSLCYLFCDQPDAALADAMQAQIVNPDWPTAFYMQSVALAKLDMQKDAADMLNEAAGLEEKKQRGAKGS >KJB47750 pep chromosome:Graimondii2_0_v6:8:5162263:5166377:-1 gene:B456_008G040100 transcript:KJB47750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCQSSFQTETHPENDQTQQQQEPQNRSQSSPGAVPDPAVANGVPSFSEFSLAGLKAATNNFSSDNIVSESGEKAPNLVYKGRLKNRKWIAVKKFTKMAWPDPKQFADGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLLDLISGKHIPPSHALDMIRGKNIILLMDSHLEGKFSTEEATVVVGLASQCLQYEPWERPSTRDLVATLAPLQTKPDVPSYVMLGISKYEEAPPTPQRALSPMGEACSRHDLTAIHQILVMNHYKDDEGSNELSFQEWTQQMRDMLEARKRGDYAFRDKDFKTAIDCYSQFIDVGTMVSSTVFARRSLCYLFCDQPDAALADAMQAQIVNPDWPTAFYMQSVALAKLDMQKDAADMLNEAAGLEEKKQRGAKGS >KJB49565 pep chromosome:Graimondii2_0_v6:8:36515167:36520616:-1 gene:B456_008G125600 transcript:KJB49565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSIDCMASSDVMDDDEIHHHQLSSSLSKTHSNNGNSNNSSLSSAVHPSTTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYMSLGCPEIFPYYSKLKHEALCNFRPYSCPYAGSECAVVGDIPFLVTHLRDDHKVDMHSGCTFNHRYVKSNPQEVENATWMLTPFSSEWLLFTWHSFVSWAMRLKLATTVIA >KJB49566 pep chromosome:Graimondii2_0_v6:8:36515191:36520616:-1 gene:B456_008G125600 transcript:KJB49566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSIDCMASSDVMDDDEIHHHQLSSSLSKTHSNNGNSNNSSLSSAVHPSTTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYMSLGCPEIFPYYSKLKHEALCNFRPYSCPYAGSECAVVGDIPFLVTHLRDDHKVDMHSGCTFNHRYVKSNPQEVENATWMLTVFHCFGQYFCLHFEAFQLGVAPVYMAFLRFMGDEIEARNYSYSLEVGGNGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPEGGACIPNLCS >KJB49567 pep chromosome:Graimondii2_0_v6:8:36515979:36518157:-1 gene:B456_008G125600 transcript:KJB49567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGCPEIFPYYSKLKHEALCNFRPYSCPYAGSECAVVGDIPFLVTHLRDDHKVDMHSGCTFNHRYVKSNPQEVENATWMLTVFHCFGQYFCLHFEAFQLGVAPVYMAFLRFMGDEIEARNYSYSLEVGGNGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPEGGACIPNLCS >KJB49568 pep chromosome:Graimondii2_0_v6:8:36515979:36518157:-1 gene:B456_008G125600 transcript:KJB49568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGCPEIFPYYSKLKHEALCNFRPYSCPYAGSECAVVGDIPFLVTHLRDDHKVDMHSGCTFNHRYVKSNPQEVENATWMLTVFHCFGQYFCLHFEAFQLGVAPVYMAFLRFMGDEIEARNYSYSLEVGGNGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPEGGACIPNLCS >KJB48482 pep chromosome:Graimondii2_0_v6:8:12220468:12221610:-1 gene:B456_008G071000 transcript:KJB48482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSSTSTSKPGKTVGGGGGSSSSTKRSSMEATVVHMDGRVQQFRQSIQANNIISLNPDCFLCSSESMSIGTCVPQMPADEELQPGQIYFLLPLSQSHKPLTLPDLCSLAIKASAGLGRYSVDLSSSRSKLILR >KJB47036 pep chromosome:Graimondii2_0_v6:8:859166:860266:1 gene:B456_008G007300 transcript:KJB47036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLEAIVPAAQNNINTQFILLEKGKITWDGQNKMCLGLVADKTAAVHLQLWGKECEAFEAGDIIRMENGIFSYNKNNLVLRAGRRGKVEKVGNFIMEFVETPNLSEVKWVPDPNNSNKYVQHSVISPHSRIFPPIP >KJB47035 pep chromosome:Graimondii2_0_v6:8:859041:860237:1 gene:B456_008G007300 transcript:KJB47035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWIFQKWKDPIDIISTVEQKTGVTGYCTLTGRFIHQLFGYLFELGKSIVRREVRTIVPAAQNNINTQFILLEKGKITWDGQNKMCLGLVADKTAAVHLQLWGKECEAFEAGDIIRMENGIFSYNKNNLVLRAGRRGKVEKVGNFIMEFVETPNLSEVKWVPDPNNSNKYVQHSVISPHSRIFPPIP >KJB48112 pep chromosome:Graimondii2_0_v6:8:9014148:9014718:1 gene:B456_008G057900 transcript:KJB48112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFNNKKIQLSRWKSPFFMHYPYNHFSVAFVCICFSRIVIFLAVDSYKVRISIKLIKSTSSELTKIDYDDI >KJB49588 pep chromosome:Graimondii2_0_v6:8:36818533:36820119:1 gene:B456_008G126800 transcript:KJB49588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDKWSQGFQVKSMEEMMVANNNTCTKGTTTTTTVLEKKTRPPEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSISASSSSSSSSSSSSTAFASDSAKVLDLNPSTFSLLSSSQNPNKVHYKGQDLNLTFPPMQEPGLYDHQHNYYYYYNNTGMVSRGLNSFVPAPAAPATPAPATLFSMQDYKPTLSSTTFPIHSGVQGFPFGEMKQVSSTNNEVDDDQNKEQSNSTGFWNNNGVLGGGGSW >KJB53133 pep chromosome:Graimondii2_0_v6:8:56791406:56794944:1 gene:B456_008G294300 transcript:KJB53133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRISSLLTRSLSVSSSSVSTSLFSSLGKNSGRNGIGKRFSTAAALEDLIVPPVQIAYTQNLIDGKFVDAASGKTFPTYDPRTGDVIANVAEGDAEDIDRAVAAARKAFDEGPWPKMTPYERSRIMLRFADLVEKHSEELAALETWNNGKPHEQSAKSELPMFIRLFHYYAGWADKIHGLTVPADGPHHVQTLHEPIGVAGQIIPWNFPLIMFAWKVGPALACGNTIVLKTAEQTPLTALYVAKLFHEAGLPPGVLNVVSGYGPTAGAALASHMDVDKIVLELAAKSNLKPVTLELGGKSPFIICEDADVDKAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFLEKAKARALRRVVGDPFRKGVEQGPQIDTEQFEKVLRYIRAGIESNATLECGGDRLGTKGYFVQPTVFSNVMDDMLIAKDEIFGPVQSILKFKDIDEVIRRANNTRYGLAAGVFTKSVETANTLTRALRAGTVWVNCFDVFDAAIPFGGYKMSGIGREKGIYSLHNYLQVKAVVTPLKNPAWL >KJB53134 pep chromosome:Graimondii2_0_v6:8:56792970:56794944:1 gene:B456_008G294300 transcript:KJB53134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAWKVGPALACGNTIVLKTAEQTPLTALYVAKLFHEAGLPPGVLNVVSGYGPTAGAALASHMDVDKVAFTGSTDTGKIVLELAAKSNLKPVTLELGGKSPFIICEDADVDKAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFLEKAKARALRRVVGDPFRKGVEQGPQIDTEQFEKVLRYIRAGIESNATLECGGDRLGTKGYFVQPTVFSNVMDDMLIAKDEIFGPVQSILKFKDIDEVIRRANNTRYGLAAGVFTKSVETANTLTRALRAGTVWVNCFDVFDAAIPFGGYKMSGIGREKGIYSLHNYLQVKAVVTPLKNPAWL >KJB53132 pep chromosome:Graimondii2_0_v6:8:56791598:56794475:1 gene:B456_008G294300 transcript:KJB53132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRISSLLTRSLSVSSSSVSTSLFSSLGKNSGRNGIGKRFSTAAALEDLIVPPVQIAYTQNLIDGKFVDAASGKTFPTYDPRTGDVIANVAEGDAEDIDRAVAAARKAFDEGPWPKMTPYERSRIMLRFADLVEKHSEELAALETWNNGKPHEQSAKSELPMFIRLFHYYAGWADKIHGLTVPADGPHHVQTLHEPIGVAGQIIPWNFPLIMFAWKVGPALACGNTIVLKTAEQTPLTALYVAKLFHEAGLPPGVLNVVSGYGPTAGAALASHMDVDKVAFTGSTDTGKIVLELAAKSNLKPVTLELGGKSPFIICEDADVDKAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFLEKAKARALRRVVGDPFRKGVEQGPQIDTEQFEKVLRYIRAGIESNATLECGGDRLGTKGYFVQPTVFSNVMDDMLIAKDEIFGPVQSILKFK >KJB53131 pep chromosome:Graimondii2_0_v6:8:56791314:56795111:1 gene:B456_008G294300 transcript:KJB53131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRISSLLTRSLSVSSSSVSTSLFSSLGKNSGRNGIGKRFSTAAALEDLIVPPVQIAYTQNLIDGKFVDAASGKTFPTYDPRTGDVIANVAEGDAEDIDRAVAAARKAFDEGPWPKMTPYERSRIMLRFADLVEKHSEELAALETWNNGKPHEQSAKSELPMFIRLFHYYAGWADKIHGLTVPADGPHHVQTLHEPIGVAGQIIPWNFPLIMFAWKVGPALACGNTIVLKTAEQTPLTALYVAKLFHEAGLPPGVLNVVSGYGPTAGAALASHMDVDKVAFTGSTDTGKIVLELAAKSNLKPVTLELGGKSPFIICEDADVDKAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFLEKAKARALRRVVGDPFRKGVEQGPQIDTEQFEKVLRYIRAGIESNATLECGGDRLGTKGYFVQPTVFSNVMDDMLIAKDEIFGPVQSILKFKDIDEVIRRANNTRYGLAAGVFTKSVETANTLTRALRAGTVWVNCFDVFDAAIPFGGYKMSGIGREKGIYSLHNYLQVKAVVTPLKNPAWL >KJB47605 pep chromosome:Graimondii2_0_v6:8:4090253:4091087:1 gene:B456_008G034100 transcript:KJB47605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPKVFFDMTVGGQPAGRIVMELFADCTPRTAENFRALCTGEKGVGRSGKPLHYKGSSFHRVIPNFMCQGGDFTAGNGTGGESIYGAKFADENFIKKHTGPGILSMANAGPGTNGSQFFICTTKTEWLDGKHVVFGQVVEGMDVVKAIEKVGSSGGRTSKPVVIADCGQL >KJB50738 pep chromosome:Graimondii2_0_v6:8:46509882:46511850:-1 gene:B456_008G185200 transcript:KJB50738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLFALLFAGCFFFTAPFVGYSRSLLQTPQPTTALDVAEALVKTKNVLSFDPTKKPAFTPLDQSLSASSPSSSPSSISLQIHPRLSMHKSSHLDYKALTLSRLQRDSARVSSLVTRLNLAVNGISRSDLKPLDTGLEFGPEDLEGPIVSGSSQGSGEYFSRVGIGKPPSQVYMVLDTGSDVNWVQCAPCADCYQQSDPIFEPSLSSSYSPLKCDTQQCKYLDDSECRNDRTCVYEVSYGDGSYTVGDFVTETITLGSDSVNNVAIGCGHNNEGLFVGAGGLLGLGGGPLSFTSQLNASSFSYCLVDRDSDSASTLEFDSSFPPNTITAPLIRNHQLDTFYYLGLIGISVGGELLPIPESAFQMDESGNGGIIIDSGTAVTRLQSDTYNVLRDAFAKGTKNLPSADSVALFDTCYNLSTKSSVDVPTLSFHFPEGKVLPLPAKNYMIPVDSVGTFCFAFAPTSSSLSIIGNVQQQGTRVGFDLGNSRVGFVPNKC >KJB49892 pep chromosome:Graimondii2_0_v6:8:39589240:39590166:-1 gene:B456_008G143900 transcript:KJB49892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIAKNVALALSFFISLSFFPIINTAVTNPLLTETCQKVKNKDLCTSSLGAEHATQDAKDVAALALIAINVASNHGVNASVYIKKQLLDGKILEPTTEQNFEDCSENFDDAMQELDDALAVTLSRDFKQVKIELESAIDDADTCISVLNQKAGKDKELYEKTNHFRQLVSNAYDIANILAPK >KJB52793 pep chromosome:Graimondii2_0_v6:8:55523660:55525463:-1 gene:B456_008G277400 transcript:KJB52793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLALFGVRNVFKSCYIIVLSRKFCSGSFDSGKLDSDFYCSDEPLKRMWKGPSLDSVFDEIHDDCDGDENWNRNSSKSRFTTRKGFFETGRDDARTILEVLEKDGPGFDVKAAISKMQVRVSGFLVREVLLGVLKNTKYTNKTRCAKLGYKFFVWAGQQENYRHTVDSYHLIMKIFAECEEYKAMWRLVDEMVENGFPTTARTFNILICACGETGLAKKVVERFIKSKTFNYRPFKHSYNAILHTLLAINQYKLIEWVYQQMLAEGFSPDILTYNIIMYAKYRLGKLDQFHRLLDEMSRSGFSPDFHTYNILLHVLGKGDKPLAALNLLNHMKEVGLNPGVLHFTTLIDGLSRSGNLDACKYFFDEMIKNGCMPDVVCYTVIITGFIAAGELEKAQEMFDDMITKGQLPNVFTYNSMIRGYCMAGKFEEACAILKEMEARGCNPNFVVYSTLVSHLRSAGKLSEAREVIRNMVEKGQYVHLLPKIRRYRRC >KJB50968 pep chromosome:Graimondii2_0_v6:8:48022470:48026788:1 gene:B456_008G195400 transcript:KJB50968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGKGVDQMDQLDQFHRNEAISAVADESFLGEEDDDYEDLYNDVNVGEGFLQSLRRNEDLGFRNEETKNSSNNNNGGKVGGSPMGAPESGVSIPGVAGVGEREDSRVSYESQGFRAGGGDVKGPSAGFGGCGGGGLRVELAQGSNKLNEVAAEQSGNKSISLGSVGGMGHQGHGVGNVGSVENEGLVRQGVGGPSVNGPGGSVGGGGSVGGGTMVGNGGGNVGVAGAGVGPGVGASGGAGGGTILFVGDLHWWTTDAELESELCKYGPVKEVKFFDEKASGKSKGYCQVEFYDPAAATACKEGMNGHMFNGRPCVVAFASPFTVKKMGEAQLNRNQQMAQSSLSQGRRGPNDAGGKTGAANIQTGGNYQGGDNNRGYGRGNWGRGNTQGMGNRGPVGPMRNRAGGMGGRGIMGNGGNGFGQGMGATPPLMHPQSMMGQGFDPAFGAPMGRMGGYGGFPGAPTPPFSGILPSFPPVGGVGLPGVAPHVNPAFFGRGMPMNGMGMMPSSGVDGPNMGMWSDPSMGGWGGDEHGGGRAGESSYGEEAASDHQYGEVSHERGGWQNPSKEKDRASEREWSGSSERRYRDDREPGYDRDIPREKDMGHGHDWPERRHRDDRDIGRERDRERSRDRDRDRDRERDRDRDRDRYREDRDRYADHRRYRDREPEHDDDWDRGRSSRTHSKSRLSQEDEHRSRSRDADYGKRPRLTSE >KJB50967 pep chromosome:Graimondii2_0_v6:8:48022470:48026788:1 gene:B456_008G195400 transcript:KJB50967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGKGVDQMDQLDQFHRNEAISAVADESFLGEEDDDYEDLYNDVNVGEGFLQSLRRNEDLGFRNEETKNSSNNNNGGKVGGSPMGAPESGVSIPGVAGVGEREDSRVSYESQGFRAGGGDVKGPSAGFGGCGGGGLRVELAQGSNKLNEVAAEQSGNKSISLGSVGGMGHQGHGVGNVGSVENEGLVRQGVGGPSVNGPGGSVGGGGSVGGGTMVGNGGGNVGVAGAGVGPGVGASGGAGGGTILFVGDLHWWTTDAELESELCKYGPVKEVKFFDEKASGKSKGYCQVEFYDPAAATACKEGMNGHMFNGRPCVVAFASPFTVKKMGEAQLNRNQQMAQSSLSQGRRGPNDAGGKTGAANIQTGGNYQGGDNNRGYGRGNWGRGNTQGMGNRGPVGPMRNRAGGMGGRGIMGNGGNGFGQGMGATPPLMHPQSMMGQGFDPAFGAPMGRMGGYGGFPGAPTPPFSGILPSFPPVGGVGLPGVAPHVNPAFFGRGMPMNGMGMMPSSGVDGPNMGMWSDPSMGGWGGDEHGGGRAGESSYGEEAASDHQYGEVSHERGGWQNPSKEKDRASEREWSGSSERRYRDDREPGYDRDIPREKDMGHGHDWPERRHRDDRDIGRERDRERSRDRDRDRDRERDRDRDRDRYREDRDRYADHRRYRDREPEHDDDWDRGRSSRTHSKSRLSQEDEHRSRSRDADYGKRPRLTSE >KJB51650 pep chromosome:Graimondii2_0_v6:8:51332225:51332984:-1 gene:B456_008G226800 transcript:KJB51650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMPGVRSVQPPQNHSLPNPNLMPALCMWNPKAQPQAADDVSWEVRAFSEDIGNVLGTTWPPRSYPCSFCTREFRSAQALGGHMNVHRRDRARLRQTYPATSSILSATAISSSNLLIPTQHFPQSAGFWVLHHHDGVPTSQPMNACSIDSPSTLVSISPYPPPVMPPRFSHFSSLYYSNLNGGSNAGGISFRETSIEELDLELRLGHPPPTS >KJB51971 pep chromosome:Graimondii2_0_v6:8:52737124:52738916:-1 gene:B456_008G241100 transcript:KJB51971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANATLLCSPLPIFKQTHSLPTLRCPEFFKKPRPLTGNLSIPSLNWKPKSVIGIVGSALALALAGSASASELPLLLGTSLPLSEPANALSLPTWAIHVSSVVEWITAMALVWQYGEKSGFESWKGLSWGMVPLLGGAFCACTWHFFYNSESLENSSLSACEMDVHSVSVETESLKSLHSANEL >KJB51973 pep chromosome:Graimondii2_0_v6:8:52737016:52738916:-1 gene:B456_008G241100 transcript:KJB51973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANATLLCSPLPIFKQTHSLPTLRCPEFFKKPRPLTGNLSIPSLNWKPKSVIGIVGSALALALAGSASASELPLLLGTSLPLSEPANALSLPTWAIHVSSVVEWITAMALVWQYGEKSGFESWKGLSWGMVPLLGGAFCACTWHFFYNSESLEVLVALQAALTVIGNATMCYAAFRICKVTDKNSQKL >KJB51972 pep chromosome:Graimondii2_0_v6:8:52736605:52739024:-1 gene:B456_008G241100 transcript:KJB51972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANATLLCSPLPIFKQTHSLPTLRCPEFFKKPRPLTGNLSIPSLNWKPKSVIGIVGSALALALAGSASASELPLLLGTSLPLSEPANALSLPTWAIHVSSVVEWITAMALVWQYGEKSGFESWKGLSWGMVLVALQAALTVIGNATMCYAAFRICKVTDKNSQKL >KJB51969 pep chromosome:Graimondii2_0_v6:8:52736605:52739024:-1 gene:B456_008G241100 transcript:KJB51969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANATLLCSPLPIFKQTHSLPTLRCPEFFKKPRPLTGNLSIPSLNWKPKSVIGIVGSALALALAGSASASELPLLLGTSLPLSEPANALSLPTWAIHVSSVVECNGFGVAIWREIWV >KJB51970 pep chromosome:Graimondii2_0_v6:8:52737810:52738916:-1 gene:B456_008G241100 transcript:KJB51970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANATLLCSPLPIFKQTHSLPTLRCPEFFKKPRPLTGNLSIPSLNWKPKSVIGIVGSALALALAGSASASELPLLLGTSLPLSEPANALSLPTWAIHVSSVVEWITAMALVWQYGEKSGFESWKGLSWGMVPLLGGAFCACTWHFFYNSESLEKH >KJB49736 pep chromosome:Graimondii2_0_v6:8:38374921:38380104:-1 gene:B456_008G135500 transcript:KJB49736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDVTGAITSAAMAAASYGGGAAEPQYVSAKTSVWWDIENCHVPKNCDPHAIAQNISSALAKMNYCGPVSISAYGDTNRIPSSVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPMKASAPLVAAAKSVWLWMSLSAGGPPLSSGESTKLANGQNSFNSEMSYNPIPEMVQYSQPMISSSENVTLGQNVSNAGRNGDNKYKGKYIRKTTNQPSISRASSAPTTAIQENMNNGYSYQPEYAQTKTFKKAPHEFFGSNEPAVSASKFTPNLFPSNPDPSGSNNSNFMGVPQNPPPPSMRPINLPLRPAFAQDKLLPPNSQNHGFRPIPPRVEGPRFPALFSNMPDVGKLNISEHSTYPQNSNNFPHQIGEKFKTSSVESMPNQTGLNAPQRSHFHTGQASQHDTYSNRYPRGPEFPPPSSSAISSSSNGVWGAEGRSPPSEYVQGLIGVILLALNTLKNEKIMPTEANITDCIRFGDPKHRNTNVRKALDSAIEQHMVLKQSLGAVQLYVGRNEKLWKCINPIGGNPNQYPKTTWDGIQKFLSSPAGRSAMTASQCRYEAALALRKGCLEEFALGDVLQILNMIIAMKKWIIHHQSGWQPITVTLPEARTEIGTETAA >KJB49735 pep chromosome:Graimondii2_0_v6:8:38374921:38380242:-1 gene:B456_008G135500 transcript:KJB49735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDVTGAITSAAMAAASYGGGAAEPQYVSAKTSVWWDIENCHVPKNCDPHAIAQNISSALAKMNYCGPVSISAYGDTNRIPSSVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPMKASAPLVAAAKSVWLWMSLSAGGPPLSSGESTKLANGQNSFNSEMSYNPIPEMVQYSQPMISSSENVTLGQNVSNAGRNGDNKYKGKYIRKTTNQPSISRASSAPTTAIQENMNNGYSYQPEYAQTKTFKKAPHEFFGSNEPAVSASKFTPNLFPSNPDPSGSNNSNFMGVPQNPPPPSMRPINLPLRPAFAQDKLLPPNSQNHGFRPIPPRVEGPRFPALFSNMPDVGKLNISEHSTYPQNSNNFPHQIGEKFKTSSVESMPNQTGLNAPQRSHFHTGQASQHDTYSNRYPRGPEFPPPSSSAISSSSNGVWGAEGRSPPSEYVQGLIGVILLALNTLKNEKIMPTEANITDCIRFGDPKHRNTNVRKALDSAIEQHMVLKQSLGAVQLYVGRNEKLWKCINPIGGNPNQYPKTTWDGIQKFLSSPAGRSAMTASQCRYEAALALRKGCLEEFALGDVLQILNMIIAMKKWIIHHQSGWQPITVTLPEARTEIGTETAA >KJB49734 pep chromosome:Graimondii2_0_v6:8:38375324:38380214:-1 gene:B456_008G135500 transcript:KJB49734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDVTGAITSAAMAAASYGGGAAEPQYVSAKTSVWWDIENCHVPKNCDPHAIAQNISSALAKMNYCGPVSISAYGDTNRIPSSVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPMKASAPLVAAAKSVWLWMSLSAGGPPLSSGESTKLANGQNSFNSEMSYNPIPEMVQYSQPMISSSENVTLGQNVSNAGRNGDNKYKGKYIRKTTNQPSISRASSAPTTAIQENMNNGYSYQPEYAQTKTFKKAPHEFFGSNEPAVSASKFTPNLFPSNPDPSGSNNSNFMGVPQNPPPPSMRPINLPLRPAFAQDKLLPPNSQNHGFRPIPPRVEGPRFPALFSNMPDVGKLNISEHSTYPQNSNNFPHQIGEKFKTSSVESMPNQTGLNAPQRSHFHTGQASQHDTYSNRYPRGPEFPPPSSSAISSSSNGVWGAEGRSPPSEYVQGLIGVILLALNTLKNEKIMPTEANITDCIRFGDPKHRNTNVRKALDSAIEQHMVLKQSLGAVQLYVGRNEKLWKCINPIGGNPNQYPKTTWDGIQKFLSSPAGRSAMTASQCRYEAALALRKGCLEEFALGDVLQILNMIIAMKKWIIHHQSGWQPITVTLPEARTEIGTETAA >KJB49797 pep chromosome:Graimondii2_0_v6:8:38920422:38921096:1 gene:B456_008G138600 transcript:KJB49797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCACIYNILVSLLRMACRGCLECLLKLLNFLMTVAGLAMVGYGFYLFVKYKDAADTVMLFSPVGSDQDLIHIGRLCLFRLVYLIIYQKHGVSSQYLPIIRYFFVLFQNMAT >KJB49799 pep chromosome:Graimondii2_0_v6:8:38920139:38921096:1 gene:B456_008G138600 transcript:KJB49799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCACIYNILVSLLRMACRGCLECLLKLLNFLMTVAGLAMVGYGFYLFVKYKDAADTVMLFSPVGSDQDLIHIGRLCLFRLVYLIIYQKHGVSSQYLPIIRYFFVLFQNMAT >KJB49798 pep chromosome:Graimondii2_0_v6:8:38920139:38921157:1 gene:B456_008G138600 transcript:KJB49798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRGCLECLLKLLNFLMTVAGLAMVGYGFYLFVKYKDAADTVMLFSPVGSDQDLIHIGRLCLFRLVYLIIYQKHGVSSQYLPIIRYFFVLFQNMAT >KJB52197 pep chromosome:Graimondii2_0_v6:8:53414931:53418355:1 gene:B456_008G250200 transcript:KJB52197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAPEAPLRYVGIPRQSAAFRLMKQMGWEEGEGLGKDKQGIKSYVRVKNKQDTIGVGLEKPNPWAFDTAQFDSILKRLKVQAAQINDEAEKNENQELTETNVSNDSEGQVVKATRPQGRYKKRERGKLVQAYSSEDLEGILAKRVEESSPASPNVGGEMELIEATESQDFPTGGDTAESVPPEWWGHKYGFISGGFLGESTRKKSNKTGECKILNGRTVFFEDDQENLYKLVQDNATTGKQGLGIKDRPKKIAGVRFQGKKTSFSDSDDENSDDFGPPVKRMQDNAFETEKAGETNLKLKKLCKQLLRKVPGETLKLKQLKVLIDEQSSSVFCNRSSKKEALAYLKQKLESSSTFSVEGKRVSLTSRSS >KJB52199 pep chromosome:Graimondii2_0_v6:8:53414943:53418302:1 gene:B456_008G250200 transcript:KJB52199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAPEAPLRYVGIPRQSAAFRLMKQMGWEEGEGLGKDKQGIKSYVRVKNKQDTIAGVGLEKPNPWAFDTAQFDSILKRLKVQAAQINDEAEKNENQELTETNVSNDSEGQVVKATRPQGRYKKRERGKLVQAYSSEDLEGILAKRVEESSPASPNVGGEMELIEATESQDFPTGGDTAESVPPEWWGHKYGFISGGFLGESTRKKSNKTGECKILNGRTVFFEDDQENLYKLVQDNATTGKQGLGIKDRPKKIAGVRFQGKKTSFSDSDDENSDDFGPPVKRMQDNAFETEKAGETNLKLKKLCKQLLRKVPGETLKLKQLKVLIDEQSSSVFCNRSSKKEALAYLKQKLESSSTFSVEGKRVSLTSRSS >KJB52200 pep chromosome:Graimondii2_0_v6:8:53414943:53418302:1 gene:B456_008G250200 transcript:KJB52200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAPEAPLRYVGIPRQSAAFRLMKQMGWEEGEGLGKDKQGIKSYVRVKNKQDTIGVGLEKPNPWAFDTAQFDSILKRLKVQAAQINDEAEKNENQELTETNVSNDSEGQVVKATRPQGRYKKRERGKLVQAYSSEDLEGILAKRVEESSPASPNVGGEMELIEATESQDFPTGGDTAESVPPEWWGHKYGFISGGFLGESTRKKSNKTGECKILNGRTVFFEDDQENLYKLVQDNATTGKQGLGIKDRPKKIAGVRFQGKKTSFSDSDDENSDDFGPPVKRMQDNAFETEKAGETNLKLKKLCKQLLRTWRDIKTKAVKSSHR >KJB52198 pep chromosome:Graimondii2_0_v6:8:53414943:53418302:1 gene:B456_008G250200 transcript:KJB52198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAPEAPLRYVGIPRQSAAFRLMKQMGWEEGEGLGKDKQGIKSYVRVKNKQDTIGVGLEKPNPWAFDTAQFDSILKRLKVQAAQINDEAEKNENQELTETNVSNDSEGQVVKATRPQGRYKKRERGKLVQAYSSEDLEGILAKRVEESSPASPNVGGEMELIEATESQDFPTGGDTAESVPPEWWGHKYGFISGGFLGESTRKKSNKTGECKILNGRTVFFEDDQENLYKLDNATTGKQGLGIKDRPKKIAGVRFQGKKTSFSDSDDENSDDFGPPVKRMQDNAFETEKAGETNLKLKKLCKQLLRKVPGETLKLKQLKVLIDEQSSSVFCNRSSKKEALAYLKQKLESSSTFSVEGKRVSLTSRSS >KJB52208 pep chromosome:Graimondii2_0_v6:8:53466439:53467584:1 gene:B456_008G250700 transcript:KJB52208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEREQVKPFASVTLGGVRSSSSSDDDEALSMEMQLRKRRYIQCCGCIAALFLILAVVVLVLSFTVFRIGDPLIRLNSLTIQSLDISTNGSLKTHVNLTLLVDVSVKNPNAATFKFDNGSTTIYYGGRVVGEGVHFQEKIKPRRTLRRNVTVEIDPVKFVVVPGFITDLMVAKRLNVSSHTRISGRVNIMNLVKKHVVVKFSCSMTVRFPSNGFHGEKCKPELDF >KJB52207 pep chromosome:Graimondii2_0_v6:8:53466327:53467631:1 gene:B456_008G250700 transcript:KJB52207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEREQVKPFASVTLGGVRSSSSSDDDEALSMEMQLRKRRYIQCCGCIAALFLILAVVVLVLSFTVFRIGDPLIRLNSLTIQSLDISTNGSLKTHVNLTLLVDVSVKNPNAATFKFDNGSTTIYYGGRVVGEGVHFQEKIKPRRTLRRNVTVEIDPVKFVVVPGFITDLMVAKRLNVSSHTRISGRVNIMNLVKKHVVVKFSCSMTVRFPSNGFHGEKCKPELDF >KJB48419 pep chromosome:Graimondii2_0_v6:8:11250853:11255129:-1 gene:B456_008G068400 transcript:KJB48419 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase DDM1 [Source:Projected from Arabidopsis thaliana (AT5G66750) UniProtKB/Swiss-Prot;Acc:Q9XFH4] MGAENGKGNDVSAESPTSVLEDEKCKEEITKLEEETVLDAKNGDTSLLSEAMVEEEEKLREARLKEEIKQGEPEESVHLNDTQFTRLDELLTQTQMYSEFLLEKMEDITFSVPKPEAAQTKRGRGSKRRAANQYNNRKVKRAVAAMLTRSKEGETENAEDENLTEEEKNEKEQGELVPLLTGGKLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIAFLAHLKGNGMNGPYLIIAPLSTLSNWMNEIARFTPSINAIIYHGTQKERDEIRRKHMPKVIGPSFPVVVTSYEMAMNDAKKFLRHYEWKYVVVDEGHRLKNFQCKLVKELKHLRAGNKLLLTGTPLQNNLAELWSLLNFILPDIFQSHEEFESWFDFSGKSNGEVSKEEMEEKRRAQVVAKLHAILRPFLLRRMKSDVEQMLPRKKEIILYASLTEYQRNFQDHLLNKTLESHLRDRGDSGRGMKGKLNNLMIQLRKNCNHPDLLEAAFDGSYFYPPVEQIVEQCGKFQLLERLLTRLFERKHKFSSLASGRRFWI >KJB48418 pep chromosome:Graimondii2_0_v6:8:11250164:11254784:-1 gene:B456_008G068400 transcript:KJB48418 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase DDM1 [Source:Projected from Arabidopsis thaliana (AT5G66750) UniProtKB/Swiss-Prot;Acc:Q9XFH4] MGAENGKGNDVSAESPTSVLEDEEKCKEEITKLEEETVLDAKNGDTSLLSEAMVEEEEKLREARLKEEIKQGEPEESVHLNDTQFTRLDELLTQTQMYSEFLLEKMEDITFSVPKPEAAQTKRGRGSKRRAANQYNNRKVKRAVAAMLTRSKEGETENAEDENLTEEEKNEKEQGELVPLLTGGKLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIAFLAHLKGNGMNGPYLIIAPLSTLSNWMNEIARFTPSINAIIYHGTQKERDEIRRKHMPKVIGPSFPVVVTSYEMAMNDAKKFLRHYEWKYVVVDEGHRLKNFQCKLVKELKHLRAGNKLLLTGTPLQNNLAELWSLLNFILPDIFQSHEEFESWFDFSGKSNGEVSKEEMEEKRRAQVVAKLHAILRPFLLRRMKSDVEQMLPRKKEIILYASLTEYQRNFQDHLLNKTLESHLRDRGDSGRGMKGKLNNLMIQLRKNCNHPDLLEAAFDGSYFYPPVEQIVEQCGKFQLLERLLTRLFERKHNQWTKVLDIMDYYFSEKGFNVCRIDGSVKLEERRRQIQEFNDVNSDYRIFILSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSVECRILKRAYSKLKLEHVVIGKGQFHQERKQSNDLVEEEDLLALLRDEETAEDKMIQTDISDEDLERILDRSDLIADTSDKEKAQASADAVPLKGPGWEVVLPTGTGGMLSTLNS >KJB47207 pep chromosome:Graimondii2_0_v6:8:1970347:1973540:1 gene:B456_008G017500 transcript:KJB47207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDGIGKEHGSTEENQSFKDGSICGYNSLHHLLSANLKPQLYQEVSRLLLGLNCGKALETIVPPESAKALSSKHDFDLQAFKFSADKELLREPRVVRVGLIQNSIALPTTAPFSDQKKAIFEKLGPIIDAAGASGVNILCLQEAWMMPFAFCTREKRWCEFAEPVNGESTQFLQEFALKYNMVIISSILERDINHGETLWNTAVIIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEIFPNPFTSGDGKPPHPDFGHFYGSSHFSAPDASCTPSLSRYKDGLMISDMDLNLCRQLKDKWGFRMTARYELYADTLASYLKPDFEPQVISDPLLHKKSF >KJB47211 pep chromosome:Graimondii2_0_v6:8:1972077:1973540:1 gene:B456_008G017500 transcript:KJB47211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQVQEAWMMPFAFCTREKRWCEFAEPVNGESTQFLQEFALKYNMVIISSILERDINHGETLWNTAVIIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEIFPNPFTSGDGKPPHPDFGHFYGSSHFSAPDASCTPSLSRYKDGLMISDMDLNLCRQLKDKWGFRMTARYELYADTLASYLKPDFEPQVISDPLLHKKSF >KJB47210 pep chromosome:Graimondii2_0_v6:8:1970976:1973540:1 gene:B456_008G017500 transcript:KJB47210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLVIVILEVSRLLLGLNCGKALETIVPPESAKALSSKHDFDLQAFKFSADKELLREPRVVRVGLIQNSIALPTTAPFSDQKKAIFEKLGPIIDAAGASGVNILCLQEAWMMPFAFCTREKRWCEFAEPVNGESTQFLQEFALKYNMVIISSILERDINHGETLWNTAVIIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEIFPNPFTSGDGKPPHPDFGHFYGSSHFSAPDASCTPSLSRYKDGLMISDMDLNLCRQLKDKWGFRMTARYELYADTLASYLKPDFEPQVISDPLLHKKSF >KJB47209 pep chromosome:Graimondii2_0_v6:8:1970608:1973540:1 gene:B456_008G017500 transcript:KJB47209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDGIGKEHGSTEENQSFKDGSICGYNSLHHLLSANLKPQLYQEVSRLLLGLNCGKALETIVPPESAKALSSKHDFDLQAFKFSADKELLREPRVVRVGLIQNSIALPTTAPFSDQKKAIFEKLGPIIDAAGASGVNILCLQEAWMMPFAFCTREKRWCEFAEPVNGESTQFLQEFALKYNMVIISSILERDINHGETLWNTAVIIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEVILYPHFI >KJB47208 pep chromosome:Graimondii2_0_v6:8:1970608:1973540:1 gene:B456_008G017500 transcript:KJB47208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVQEAWMMPFAFCTREKRWCEFAEPVNGESTQFLQEFALKYNMVIISSILERDINHGETLWNTAVIIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEIFPNPFTSGDGKPPHPDFGHFYGSSHFSAPDASCTPSLSRYKDGLMISDMDLNLCRQLKDKWGFRMTARYELYADTLASYLKPDFEPQVISDPLLHKKSF >KJB49357 pep chromosome:Graimondii2_0_v6:8:34754132:34758494:1 gene:B456_008G115000 transcript:KJB49357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDLRWGGDPNIVLEVDTPVGNIPIQLKDLQVFTVVRVIFHLAEEMPCISALLVALLSEPKPRIDYTLKAVGGSLTGLPGISDMIDDTVESIVTDTLQWPHRIVVPMGGTAVDASEFELKPEGRLTVTVVRANDLKNLEMIGKSDPYVVVHIRPRFKVKTKTIDNNLSPVWNETFELIAEDRETQGLTVEVFDKDIGQDQRLGIAKVRLSELEPEKPKEVNLRLLASLDTLKVKDKKDRGTCTIKLLYHLFSKEEQLVALEEEKRILEARKEMKEAGVIESTADAVDASASGSSTATGGSGIMGSVGRELSKAGKFMGRTITGHSKRIE >KJB49355 pep chromosome:Graimondii2_0_v6:8:34752254:34758494:1 gene:B456_008G115000 transcript:KJB49355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISGILMGMIFGVSLMAGWLQMMKYRSGKRIGKAVDIKTLSTLNRDDLRKICGDNYPEWISFPVFEQAKWLNKQLSKLWPFVAEAASAVLKESVEPLLEDYRPTAVNSLKFAKLSLGTVAPKIEGIRVQSLKKGQVTMDIDLRWGGDPNIVLEVDTPVGNIPIQLKDLQVFTVVRVIFHLAEEMPCISALLVALLSEPKPRIDYTLKAVGGSLTGLPGISDMIDDTVESIVTDTLQWPHRIVVPMGGTAVDASEFELKPEGRLTVTVVRANDLKNLEMIGKSDPYVVVHIRPRFKVKTKTIDNNLSPVWNETFELIAEDRETQGLTVEVFDKDIGQDQRLGIAKVRLSELEPEKPKEVNLRLLASLDTLKVKDKKDRGTCTIKLLYHLFSKEEQLVALEEEKRILEARKEMKEAGVIESTADAVDASASGSSTATGGSGIMGSVGRELSKAGKFMGRTITGHSKRIE >KJB49354 pep chromosome:Graimondii2_0_v6:8:34752234:34758494:1 gene:B456_008G115000 transcript:KJB49354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISGILMGMIFGVSLMAGWLQMMKYRSGKRIGKAVDIKTLSTLNRDDLRKICGDNYPEWISFPVFEQAKWLNKQLSKLWPFVAEAASAVLKESVEPLLEDYRPTAVNSLKFAKLSLGTVAPKIEGIRVQSLKKGQVTMDIDLRWGGDPNIVLEVDTPVGNIPIQLKDLQVFTVVRVIFHLAEEMPCISALLVALLSEPKPRIDYTLKAVGGSLTGLPGISDMIDDTVESIVTDTLQWPHRIVVPMGGTAVDASEFELKPEGRLTVTVVRANDLKNLEMIGKSDPYVVVHIRPRFKVKTKTIDNNLSPVWNETFELIAEDRETQGLTVEVFDKDIGQDQRLGIAKVRLSELEPEKPKEVNLRLLASLDTLKVKDKKDRGTCTIKLLYHLFSKEEQLVALEEEKRILEARKEMKEAGVIESTADAVDASASGSSTATGGSGIMGSVGRELSKAGKFMGRTITGHSKRIE >KJB49358 pep chromosome:Graimondii2_0_v6:8:34755431:34758494:1 gene:B456_008G115000 transcript:KJB49358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDDTVESIVTDTLQWPHRIVVPMGGTAVDASEFELKPEGRLTVTVVRANDLKNLEMIGKSDPYVVVHIRPRFKVKTKTIDNNLSPVWNETFELIAEDRETQGLTVEVFDKDIGQDQRLGIAKVRLSELEPEKPKEVNLRLLASLDTLKVKDKKDRGTCTIKLLYHLFSKEEQLVALEEEKRILEARKEMKEAGVIESTADAVDASASGSSTATGGSGIMGSVGRELSKAGKFMGRTITGHSKRIE >KJB49353 pep chromosome:Graimondii2_0_v6:8:34752235:34758912:1 gene:B456_008G115000 transcript:KJB49353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISGILMGMIFGVSLMAGWLQMMKYRSGKRIGKAVDIKTLSTLNRDDLRKICGDNYPEWISFPVFEQAKWLNKQLSKLWPFVAEAASAVLKESVEPLLEDYRPTAVNSLKFAKLSLGTVAPKIEGIRVQSLKKGQVTMDIDLRWGGDPNIVLEVDTPVGNIPIQLKDLQVFTVVRVIFHLAEEMPCISALLVALLSEPKPRIDYTLKAVGGSLTGLPGISDMIDDTVESIVTDTLQWPHRIVVPMGGTAVDASEFELKPEGRLTVTVVRANDLKNLEMIGKSDPYVVVHIRPRFKVKTKTIDNNLSPVWNETFELIAEDRETQGLTVEVFDKDIGQDQRLGIAKVRLSELEPEKPKEVNLRLLASLDTLKVKDKKDRGTCTIKLLYHLFSKEEQLVALEEEKRILEARKEMKEAGVIESTADAVDASASGSSTATGGSGIMGSVGRELSKAGKFMGRTITGHSKRIE >KJB49356 pep chromosome:Graimondii2_0_v6:8:34752443:34758494:1 gene:B456_008G115000 transcript:KJB49356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISGILMGMIFGVSLMAGWLQMMKYRSGKRIGKAVDIKTLSTLNRDDLRKICGDNYPEWISFPVFEQAKWLNKQLSKLWPFVAEAASAVLKESVEPLLEDYRPTAVNSLKFAKLSLGTVAPKIEGIRVQSLKKGQVTMDIDLRWGGDPNIVLEVDTPVGNIPIQLKDLQVFTVVRVIFHLAEEMPCISALLVALLSEPKPRIDYTLKAVGGSLTGLPGISDMIDDTVESIVTDTLQWPHRIVVPMGGTAVDASEFELKPEGRLTVTVVRANDLKNLEMIGKSDPYVVVHIRPRFKVKTKTIDNNLSPVWNETFELIAEDRETQGLTVEVFDKDIGQDQRLGIAKVRLSELEPEKPKEVNLRLLASLDTLKVKDKKDRGTCTIKQGGTIGCARRRKEDLRSKKRNERSRSYREHGGCGRRVGIWVQYRYRR >KJB51881 pep chromosome:Graimondii2_0_v6:8:52172750:52175509:1 gene:B456_008G236000 transcript:KJB51881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGCGIDGVLNEAKFSEPLPWIGVYIAAASLACALAMAADVIHGFRHSKLWFPCKLFTINATSLTIIAVAVKLSVDLNTPMPRGQDQLAKLSSAALICTVMGNSMPSLGTMENKEILSNVVALGILVITVVVNICIQLGTGVIYVFVLEHAIIMFLMLVLLLVFSFSALTVPTIKRYLELKYKKKYEMAQKECLMAKESGRPLVDKLREALMKYWMMAHTCSPQFVMGRSVTCTASGALCLLSAATLAEAMVRFYVMRGSFEFCKGDSDYKWSINLVLLTQAVAVGMGTIAPAMRWFLAINFRCPTRGRKKGCDSNYKLEEYWIKALVEMKECPLSYIPIHHPQCRRILHDAKIKLLNLCIGIQAGIVFMSKVIRFVSVYFMGTILLCYDHGRDWLMKFTPNNSIRNDSSPESPPNYKQDLSRFVLHLEGEDALVDLMMKENRDATDYWWQKAKKRQPKHLMELLELSRPSEGFKGLTEFDSFKVPPLDTEEAPNCWSLSLVTLTSIAFAVPNINGYSVKHLITGVNEGLVYVRSIEHMHGKLVNNGKAADIVWLSVELYHRWLNVDLRKLSLQGKSTKEILEVLSDSAKNIFMEFKKNNANLCLMDSPSKWPIKVLAANSMYRISQSLLLDYKDKNYETSERLFEAIRVMVSDILAACLTNLQCFISNKCSTSAIEEREESVRHAAHVMGKTEKVLKLLHQKSLPGLTPDQMAVMDEWRSLYKLNSSLCDTPHSELSSPTPREVYLIID >KJB50416 pep chromosome:Graimondii2_0_v6:8:44377757:44388161:-1 gene:B456_008G169700 transcript:KJB50416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEKTPIFFMVVLVLVSLDLCFGSELGMSPAHGDHGHHHHCDHGHGHDHHHHHRHVEEQEKKKIMLPEELAEEEDMKIYGFGPYLDDHDHDHDHHHQNLSSLGLWLRALGCSLLVSLASLVCLIILPVIFIQGKPSKAVVDSLALFGAGAMLGDAFLHQLPHSFGGAHSHSQDDHGDHAHHTHTGHDNTHAHSLKDLSVGLSVLAGIVLFLIVEKLVRYVEESSGGASRWSHGHHHHHHKSNKNLKKKDEDAAHRNSLQPVGDTPYDSLNADNASQPEISKIKSSAGTNDGKSDIGFADGSANSVKTSALKETSHSQSNLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQENCSLRCSQINGFSDPSKVGASNFVYLGTTPIG >KJB50417 pep chromosome:Graimondii2_0_v6:8:44379767:44388161:-1 gene:B456_008G169700 transcript:KJB50417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEKTPIFFMVVLVLVSLDLCFGSELGMSPAHGDHGHHHHCDHGHGHDHHHHHRHVEEQEKKKIMLPEELAEEEDMKIYGFGPYLDDHDHDHDHHHQNLSSLGLWLRALGCSLLVSLASLVCLIILPVIFIQGKPSKAVVDSLALFGAGAMLGDAFLHQLPHSFGGAHSHSQDDHGDHAHHTHTGHDNTHAHSLKDLSVGLSVLAGIVLFLIVEKLVRYVEESSGGASRWSHGHHHHHHKSNKNLKKKDEDAAHRNSLQPVGDTPYDSLNADNASQPEISKIKSSAGTNDGKSDIGFADGSANSVKTSALKETSHSQSNLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEV >KJB50414 pep chromosome:Graimondii2_0_v6:8:44375738:44388328:-1 gene:B456_008G169700 transcript:KJB50414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEKTPIFFMVVLVLVSLDLCFGSELGMSPAHGDHGHHHHCDHGHGHDHHHHHRHVEEQEKKKIMLPEELAEEEDMKIYGFGPYLDDHDHDHDHHHQNLSSLGLWLRALGCSLLVSLASLVCLIILPVIFIQGKPSKAVVDSLALFGAGAMLGDAFLHQLPHSFGGAHSHSQDDHGDHAHHTHTGHDNTHAHSLKDLSVGLSVLAGIVLFLIVEKLVRYVEESSGGASRWSHGHHHHHHKSNKNLKKKDEDAAHRNSLQPVGDTPYDSLNADNASQPEISKIKSSAGTNDGKSDIGFADGSANSVKTSALKETSHSQSNLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFSVSKALFFNFLSALVALAGTAMALLWGQDPGQSSLIEGFTAGGFIYIAVAGVLAEMNNNGKTTLKNTAVQLLSLASGMAVALCISLVE >KJB50415 pep chromosome:Graimondii2_0_v6:8:44375910:44388161:-1 gene:B456_008G169700 transcript:KJB50415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEKTPIFFMVVLVLVSLDLCFGSELGMSPAHGDHGHHHHCDHGHGHDHHHHHRHVEEQEKKKIMLPEELAEEEDMKIYGFGPYLDDHDHDHDHHHQNLSSLGLWLRALGCSLLVSLASLVCLIILPVIFIQGKPSKAVVDSLALFGAGAMLGDAFLHQLPHSFGGAHSHSQDDHGDHAHHTHTGHDNTHAHSLKDLSVGLSVLAGIVLFLIVEKLVRYVEESSGGASRWSHGHHHHHHKSNKNLKKKDEDAAHRNSLQPVGDTPYDSLNADNASQPEISKLWHYYKFWEQNWVALHEIKSSAGTNDGKSDIGFADGSANSVKTSALKETSHSQSNLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFSVSKALFFNFLSALVALAGTAMALLWGQDPGQSSLIEGFTAGGFIYIAVAGVLAEMNNNGKTTLKNTAVQLLSLASGMAVALCISLVE >KJB51457 pep chromosome:Graimondii2_0_v6:8:50375912:50379716:-1 gene:B456_008G217000 transcript:KJB51457 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRRVASLSSSVSSSFLAQTASRLNTWESLTTGIAQQAKGVIAPKEKTPFITFVLGGPGSGKGTQCIKIVETFGFAHLSAGDLLRREIASKSADGAMILNTIKEGKIVPSQVTVKLIQKEMELSYNHKFLIDGFPRTEENRVSFERIIGVEPNVVLFFDCPEEEMVKRVLNRNEGRVDDNLETIKKRLTVFQALSLPVINYYSEKGKLYTIKAIGTEDEIFEQVRPIFAAFEQTVG >KJB51459 pep chromosome:Graimondii2_0_v6:8:50376156:50379716:-1 gene:B456_008G217000 transcript:KJB51459 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRRVASLSSSVSSSFLAQTASRLNTWESLTTGIAQQAKGVIAPKEKTPFITFVLGGPGSGKGTQCIKIVETFGFAHLSAGDLLRREIASKSADGAMILNTIKEGKIVPSQVTVKLIQKEMELSYNHKFLIDGFPRTEENRVSFERIIGVEPNVVLFFDCPEEEMVKRVLNRNEGRVDDNLETIKKRLTVFQALSLPVINYYSEKGKLYT >KJB51456 pep chromosome:Graimondii2_0_v6:8:50375903:50379850:-1 gene:B456_008G217000 transcript:KJB51456 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRRVASLSSSVSSSFLAQTASRLNTWESLTTGIAQQAKGVIAPKEKTPFITFVLGGPGSGKGTQCIKIVETFGFAHLSAGDLLRREIASKSADGAMILNTIKEGKIVPSQVTVKLIQKEMELSYNHKFLIDGFPRTEENRVSFERIIGVEPNVVLFFDCPEEEMVKRVLNRNEGRVDDNLETIKKRLTVFQALSLPVINYYSEKGKLYTIKAIGTEDEIFEQVRPIFAAFETVG >KJB51458 pep chromosome:Graimondii2_0_v6:8:50375990:50379716:-1 gene:B456_008G217000 transcript:KJB51458 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRRVASLSSSVSSSFLAQTASRLNTWESLTTGIAQQAKGVIAPKEKTPFITFVLGGPGSGKGTQCIKIVETFGFAHLSAGDLLRREIASKSADGAMILNTIKEGKIVPSQVTVKLIQKEMELSYNHKFLIDGFPRTEENRVSFERILSEWQSQIGVEPNVVLFFDCPEEEMVKRVLNRNEGRVDDNLETIKKRLTVFQALSLPVINYYSEKGKLYTIKAIGTEDEIFEQVRPIFAAFEQTVG >KJB51455 pep chromosome:Graimondii2_0_v6:8:50376445:50379342:-1 gene:B456_008G217000 transcript:KJB51455 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRRVASLSSSVSSSFLAQTASRLNTWESLTTGIAQQAKGVIAPKEKTPFITFVLGGPGSGKGTQCIKIVETFGFAHLSAGDLLRREIASKSADGAMILNTIKEGKIVPSQVTVKLIQKEMELSYNHKFLIDGFPRTEENRVSFERIIGVEPNVVLFFDCPEEEMVKRVLNRNEGRVDDNLETIKKRLTVFQALSLPVINYYSEKGKLYTIKAIGTEDEIFEQVRPIFAAFEKRPSL >KJB51460 pep chromosome:Graimondii2_0_v6:8:50376308:50379850:-1 gene:B456_008G217000 transcript:KJB51460 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRRVASLSSSVSSSFLAQTASRLNTWESLTTGIAQQAKGVIAPKEKTPFITFVLGGPGSGKGTQCIKIVETFGFAHLSAGDLLRREIASKSADGAMILNTIKEGKIVPSQVTVKLIQKEMELSYNHKFLIDGFPRTEENRVSFERIIGVEPNVVLFFDCPEEEMVKRVLNRNEGRVDDNLETIKKRLTVFQALSLPVINYYSEKGKLYTIKAIGTEDEIFEQVRPIFAAFEAIPLNTRWNWNISALVVVIDMSQRENSRKNNIIVLQQL >KJB47309 pep chromosome:Graimondii2_0_v6:8:2336152:2338727:1 gene:B456_008G020300 transcript:KJB47309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTHMVAVSNFCYQNPRIWSRTQALSSSQHSVSPLPSSSNRFAPKTHLNTLQEAAKPYVRTTSNGIKEATVNMPSMSDILASSRAQNLDVQLRTLGPLFRITAKSLETNRELGRAEGIVRVWFGGKILHLDSIRLNRETLGMERSIFGIGLFIGAVAIRYGYDCGCKTAELLAINDSDLYHSKLVRFYKRIGFKAVHEVTGSTIGDMPHMLMWGGVGTRMDASIAELLVKWCSRFKSQD >KJB50338 pep chromosome:Graimondii2_0_v6:8:43307823:43313340:1 gene:B456_008G164500 transcript:KJB50338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLRTKNRKGCLHQLVYTVNVKEINPWTPLQSLQSAQSVMLQWETGDKSSGSIASTIDNGKIEFNESFKLPLTLRQEASRKSTNHLSFHKNCLEFYLYDSRKENVSKAQLLGSAIVNLADYGIIKETISISAPFNLKKSSRNIEHPVLYLNIRPFDKDSSSALSKEVSLDKDGSECVSEFINEGNDEEIEIASFTDDDVDDLSSQSSHITSSSSSVFDHSRESHIQHDKNQSESATGGFERLGLTLPSAGTNVNSGVRPIVEAFKQVNGNTSPSSSMDLCSNSMNPVTNPMAKVASPEHGATTIPVETNLDHVKDEDLSNNPKNLVTYPKAEVASSETSVTIPVNTNLEYVKGKGLSKNPVSYPMAKVESAETSVTIPVDTNLDHVTDNGLPNSPKNPVTSPMAKVTSSETSITIPVDMNFDHVKDSHAKREGDRKALRHDQSHVDRSLSSISHVGQRKENEEKTLWEVELDSQILNAKEYSPQDMLGFIPTQDSTENKISWRSNTFASSCETTEVKGGFIANDGQKHAAPVQLHFDNNGLSKKIQFMEKAKEHDISEEISNGNSSDMLSEREETVNNFSNGKFNSGNSYGPLKNTKFMEKAKESDIPEEIHNGSTKDTCNESEEDANSFSNSKVELESKIEMLEQELREAAVVEASLYSVIAEHGGSVNKVHAPARRLSRFYIHACRTSNPDKRASAARAAVSGLVLVSKACGNDVPRLTFWLSNSIVLRAIVSNAIGGIQLYSGPCLNSSSEGMVLEDNSHLQEECNSTESFEEWVDPQTFLLALEKFETWIFSRIIESVWWQTLTPHMQSAAAKSSSSRKTSTKRYGLGDEEQGNFSVELWKKAFKDACERLCPIRACGHECGCLSMLAKLVMEQLVGRLDVAMFNAILRESVDEMPTDPVSDPICDSKVLPIPAGKSSFGAGVQLKNAIGNWSRWLTDLFGIDDNDGPEDSNEVDDDKKAGFEASFKAFGLLNALSDLMMLPSDMLVNRSLRKEVCPKFSPRLIIKVLNNFVPDEFNPNLVSEAVFESLDEDISEVGDESITNFPCMAAPTVYSPPSAASLTGIVGEVGSQALRRSRSVLRKSYTSDDELEELDSPITSIIIENRSDSTDSKSLNWMRMGKGDRKVIRYQLIREIWKEGEW >KJB50339 pep chromosome:Graimondii2_0_v6:8:43307200:43313340:1 gene:B456_008G164500 transcript:KJB50339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLRTKNRKGCLHQLVYTVNVKEINPWTPLQSLQSAQSVMLQWETGDKSSGSIASTIDNGKIEFNESFKLPLTLRQEASRKSTNHLSFHKNCLEFYLYDSRKENVSKAQLLGSAIVNLADYGIIKETISISAPFNLKKSSRNIEHPVLYLNIRPFDKDSSSALSKEVSLDKDGSECVSEFINEGNDEEIEIASFTDDDVDDLSSQSSHITSSSSSVFDHSRESHIQHDKNQSESATGGFERLGLTLPSAGTNVNSGVRPIVEAFKQVNGNTSPSSSMDLCSNSMNPVTNPMAKVASPEHGATTIPVETNLDHVKDEDLSNNPKNLVTYPKAEVASSETSVTIPVNTNLEYVKGKGLSKNPVSYPMAKVESAETSVTIPVDTNLDHVTDNGLPNSPKNPVTSPMAKVTSSETSITIPVDMNFDHVKDSHAKREGDRKALRHDQSHVDRSLSSISHVGQRKENEEKTLWEVELDSQILNAKEYSPQDMLGFIPTQDSTENKISWRSNTFASSCETTEVKGGFIANDGQKHAAPVQLHFDNNGLSKKIQFMEKAKEHDISEEISNGNSSDMLSEREETVNNFSNGKFNSGNSYGPLKNTKFMEKAKESDIPEEIHNGSTKDTCNESEEDANSFSNSKVELESKIEMLEQELREAAVVEASLYSVIAEHGGSVNKVHAPARRLSRFYIHACRTSNPDKRASAARAAVSGLVLVSKACGNDVPRLTFWLSNSIVLRAIVSNAIGGIQLYSGPCLNSSSEGMVLEDNSHLQEECNSTESFEEWVDPQTFLLALEKFETWIFSRIIESVWWQTLTPHMQSAAAKSSSSRKTSTKRYGLGDEEQGNFSVELWKKAFKDACERLCPIRACGHECGCLSMLAKLVMEQLVGRLDVAMFNAILRESVDEMPTDPVSDPICDSKVLPIPAGKSSFGAGVQLKNAIGNWSRWLTDLFGIDDNDGPEDSNEVDDDKKAGFEASFKAFGLLNALSDLMMLPSDMLVNRSLRKEVCPKFSPRLIIKVLNNFVPDEFNPNLVSEAVFESLDEDISEVGDESITNFPCMAAPTVYSPPSAASLTGIVGEVGSQALRRSRSVLRKSYTSDDELEELDSPITSIIIENRSDSTDSKSLNWMRMGKGDRKVIRYQLIREIWKEGEW >KJB50714 pep chromosome:Graimondii2_0_v6:8:46170235:46175134:-1 gene:B456_008G183500 transcript:KJB50714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPTYLLSSSSLYVLLVLLSCFYGGFSSAPTKIGKGYRLISAEETPDGGFLGHLQVKQKNNIYGPDIPLLQLYVKHETGDRLRVHITDAEKQRWEVPYNLLPREQPPALKQTIGRSRKNPLTVSELAGSELIFSFIADPFSFAVKRKSNGQTLFNSSSGGSDSFGEIVFKDQYLEISTQLPKDASLYGLGENTQPHGIKLYPNDPYTLYTTDVSAINLNTDLYGSHPVYMDLRNVGGQPFAHAVLLLNSNGMDVFYRGNSLTYKIIGGVFDFYFFSGPTPLGVVDQYTSFIGRPAPMPYWSLGFHQCRWGYHNLSVVEDVVENYRKAQIPLDVIWNDDDHMDGHKDFTLNPVNYPRPKLLAFLDKIHSRGMKYIVIIDPGIGVNSSYGVYQRGIANDVFIKYDGEPYLAQVWPGAVNFPDFLNPNTVAWWGDEVRRFHELVPVDGLWIDMNEASNFCSGKCTIPKGKQCPSGTGPGWICCLDCKNITKTRWDDPPYKINASGLQVPIGFKTIATSAVHYNGVLEYDAHSLYGFSQAIATHKALQGLEGKRPFILSRSTYVGSGKYAAHWTGDNKGTWEDLKYSITTVLNFGMFGVPMVGADICGFYPAPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWKTVTKSAQNALGMRYKLLPYLYTLNYLAHTSGAPIARPLFFSFPAYKECYGLSTQFLLGSSLMVSPVLEKGKTSVKALFPPGSWYSLFDMTQTIVSKGQYFTLDAPLHVVNVHLYQNTILPMQQGGMISKEARMTPFTLIVTFPAGASEAQAKGNLYLDNDELPEMKLGNGYSTHVDLYATLKQGLVKIWSEVQEGKFALDKGWKIEKITVLGLSGSVDTSGLEINGSPVANGASNIELTSVEQMHLQDVEDGVGKKKSLIVSLSGLNLYVGQKFDMSMKMGVQG >KJB50715 pep chromosome:Graimondii2_0_v6:8:46170237:46175134:-1 gene:B456_008G183500 transcript:KJB50715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRNVGGQPFAHAVLLLNSNGMDVFYRGNSLTYKIIGGVFDFYFFSGPTPLGVVDQYTSFIGRPAPMPYWSLGFHQCRWGYHNLSVVEDVVENYRKAQIPLDVIWNDDDHMDGHKDFTLNPVNYPRPKLLAFLDKIHSRGMKYIVIIDPGIGVNSSYGVYQRGIANDVFIKYDGEPYLAQVWPGAVNFPDFLNPNTVAWWGDEVRRFHELVPVDGLWIDMNEASNFCSGKCTIPKGKQCPSGTGPGWICCLDCKNITKTRWDDPPYKINASGLQVPIGFKTIATSAVHYNGVLEYDAHSLYGFSQAIATHKALQGLEGKRPFILSRSTYVGSGKYAAHWTGDNKGTWEDLKYSITTVLNFGMFGVPMVGADICGFYPAPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWKTVTKSAQNALGMRYKLLPYLYTLNYLAHTSGAPIARPLFFSFPAYKECYGLSTQFLLGSSLMVSPVLEKGKTSVKALFPPGSWYSLFDMTQTIVSKGQYFTLDAPLHVVNVHLYQNTILPMQQGGMISKEARMTPFTLIVTFPAGASEAQAKGNLYLDNDELPEMKLGNGYSTHVDLYATLKQGLVKIWSEVQEGKFALDKGWKIEKITVLGLSGSVDTSGLEINGSPVANGASNIELTSVEQMHLQDVEDGVGKKKSLIVSLSGLNLYVGQKFDMSMKMGVQG >KJB47569 pep chromosome:Graimondii2_0_v6:8:3858800:3861727:-1 gene:B456_008G032200 transcript:KJB47569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFDRYGYHGTSFEQSYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNDAAERASHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPERPAVPTSSKAHSQVEEAPAETEPKFSAFTGTGRRLDGKPLKQQHPPVSWSGTKDKELAASNRNNRQPSPGASSQSSARQAQGKLVFGSNVSRSKETKQEPGKQAKQEQPEKKEDPKFQPFTGRKYSLKG >KJB47572 pep chromosome:Graimondii2_0_v6:8:3858800:3861086:-1 gene:B456_008G032200 transcript:KJB47572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFELRNDAAERASHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPERPAVPTSSKAHSQVEEAPAETEPKFSAFTGTGRRLDGKPLKQQHPPVSWSGTKDKELAASNRNNRQPSPGASSQSSARQAQGKLVFGSNVSRSKETKQEPGKQAKQEQPEKKEDPKFQPFTGRKYSLKG >KJB47573 pep chromosome:Graimondii2_0_v6:8:3858722:3861881:-1 gene:B456_008G032200 transcript:KJB47573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFDRYGYHGTSFEQSYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNDAAERASHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPERPAVPTSSKAHSQVEEAPAETEPKFSAFTGTGRRLDGKPLKQQHPPVSWSGTKDKELAASNRNNRQPSPGASSQSSARQAQGKLVFGSNVSRSKETKQEPGKQAKQEQPEKKEDPKFQPFTGRKYSLKG >KJB47570 pep chromosome:Graimondii2_0_v6:8:3859112:3860807:-1 gene:B456_008G032200 transcript:KJB47570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFELRNDAAERASHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPERPAVPTSSKAHSQVEEAPAETEPKFSAFTGTGRRLDGKPLKQQHPPVSWSGTKDKELAASNRNNRQPSPGASSQSSARQAQGKLVFGSNVSRSKETKQEPGKQAKQEQPEKKEDPKFQPFTGRKYSLKG >KJB47571 pep chromosome:Graimondii2_0_v6:8:3858800:3861544:-1 gene:B456_008G032200 transcript:KJB47571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMGRHLSRVIDVTLHLSLKSLETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPERPAVPTSSKAHSQVEEAPAETEPKFSAFTGTGRRLDGKPLKQQHPPVSWSGTKDKELAASNRNNRQPSPGASSQSSARQAQGKLVFGSNVSRSKETKQEPGKQAKQEQPEKKEDPKFQPFTGRKYSLKG >KJB47574 pep chromosome:Graimondii2_0_v6:8:3858800:3861860:-1 gene:B456_008G032200 transcript:KJB47574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFDRYGYHGTSFEQSYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNDAAERASHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPERPAVPTSSKAHSQEAPAETEPKFSAFTGTGRRLDGKPLKQQHPPVSWSGTKDKELAASNRNNRQPSPGASSQSSARQAQGKLVFGSNVSRSKETKQEPGKQAKQEQPEKKEDPKFQPFTGRKYSLKG >KJB50569 pep chromosome:Graimondii2_0_v6:8:45357126:45358966:-1 gene:B456_008G177300 transcript:KJB50569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEALQGDCTRSAPGIEILSVRVKKSTIPESIRRNYEQMEEKRTKVLVSIERQKVAEKEAETQKMAVSEAEKTANVSKILMEQKRMEKESSRRQQEIENQMYIARQKSLGDSDFYREMKEAEANRLKLTPEFLELKFNEAIADNTKIFFGDKVPNMVVDHKMLEVFQ >KJB50530 pep chromosome:Graimondii2_0_v6:8:45211963:45214950:1 gene:B456_008G175700 transcript:KJB50530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHNKRKLPNIKHESIQRSFETLARADEITNAVDFIVPDYISSSFLISCYSMAPITFQILKQLGRHNIISIKVSSFNPDTMLKKSFHHRNGSGELDVFEAARYFSGYNEAASYNCGTLISQKVTRDERQPLKGDRISLDVAMRRNPLLHQSHLVEKHAKEKKHKQPSSPGGKLATFLNSLFNQTGSKKKKNSKSTTQSMKDEEVSPAGRRKRRISISHFRSSNTVDTKSFYSSSSSGFRTPPPYTATPTKRNETSKLIDGFLEKYKNIEPHHQDKNMNIRVDRYQSEEKDFSKLCEVDDGADSDSSSDLFELQSYSSGLPVFETTNMDSFKRGAPVSNGAL >KJB50044 pep chromosome:Graimondii2_0_v6:8:40563872:40568577:-1 gene:B456_008G149900 transcript:KJB50044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPSHLSSFKDELIQLIKRLGTYLALKMSNLFSISLQKLDPRSVGAIAGLAVAIIFTYRFTRSPAPPTRRQPKRQAPTTSSTAISTQSNITLMPSGVYSSSEDLRAQNTFDEFFQPVKPTLGQIVRQKLNEGRKVTCRLLGVILEESSPEELQTKATVRPSMFDVLLEITKFCDLYLMERVIDDESEKNVLLALENAGIFTSGGLVKDKVLFCSTENGRTSFVRQLEPDWHIDTNPEIVSQLARFIKYQLHVSPVKTEWTTGKVLSSPSLEKFFG >KJB50046 pep chromosome:Graimondii2_0_v6:8:40564188:40568577:-1 gene:B456_008G149900 transcript:KJB50046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPSHLSSFKDELIQLIKRLGTYLALKMSNLFSISLQKLDPRSVGAIAGLAVAIIFTYRFTRSPAPPTRRQPKRQAPTTSSTAISTQSNITLMPSGVYSSSEDLRAQNTFDEFFQPVKQPTLGQIVRQKLNEGRKVTCRLLGVILEESSPEELQTKATVRPSMFDVLLEITKFCDLYLMERVIDDESEKNVLLALENAGIFTSGGLVKDKVLFCSTENGRTSFVRQLEPDWHIDTNPEIVSQLARFIKYQLHVSPVKTEWTTGKVLSSPSLEKFFGF >KJB50045 pep chromosome:Graimondii2_0_v6:8:40563872:40568638:-1 gene:B456_008G149900 transcript:KJB50045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPSHLSSFKDELIQLIKRLGTYLALKMSNLFSISLQKLDPRSVGAIAGLAVAIIFTYRFTRSPAPPTRRQPKRQAPTTSSTAISTQSNITLMPSGVYSSSEDLRAQNTFDEFFQPVKPTLGQIVRQKLNEGRKVTCRLLGVILEESSPEELQTKATVRPSMFDVLLEITKFCDLYLMERVIDDESEKNVLLALENAGIFTSGGLVKDKVLFCSTENGRTSFVRQLEPDWHIDTNPEIVSQLARFIKYQLHVSPVKTEWTTGKVLSSPSLEKFFGF >KJB50047 pep chromosome:Graimondii2_0_v6:8:40564415:40568314:-1 gene:B456_008G149900 transcript:KJB50047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPSHLSSFKDELIQLIKRLGTYLALKMSNLFSISLQKLDPRSVGAIAGLAVAIIFTYRFTRSPAPPTRRQPKRQAPTTSSTAISTQSNITLMPSGVYSSSEDLRAQNTFDEFFQPVKQPTLGQIVRQKLNEGRKVTCRLLGVILEESSPEELQTKATVRPSMFDVLLEITKFCDLYLMERVIDDESEKNVLLALENAGIFTSGGLVKDKVLFCSTENGRTSFVRQLEPDWHIDTNPEIVSQLARFIKYQLHVSPVKTEWTTGKVLSSPSLEKFFG >KJB50793 pep chromosome:Graimondii2_0_v6:8:46801420:46805697:-1 gene:B456_008G187100 transcript:KJB50793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGPLFKLLRLRKQIKKVSFSFSFTEELLFLYKIWVQSEMRFCCSPGLSSIFVGSLIGSSLAIAISISYLLFYKKGFKFQFRGPLNTFHEVFSWRETRDDQTEARHYLESDEQAAEATPDHASLAVTETVPSAPSHKQNLSAPTYKHERIVVPVAVDSTQQEALSILKKLKIIEDDLRADELCTRREYARWLVRTSSLLERNPRHRIVPCIALSGSETSAFDDIGANDPDFESIQALAEAGIIPSKLSGRNTASDGSKGEINFFPDRFISREDLINWKALVEYDFEPGVIEQLLKPNLCTVQISRTKADFMDLKEISPDSSPGLFIDMLAGEKSILRKVFGQIKRFQSNKPSTKAQVAVALTSGRMAEAISNELLKLEMESCSKRAQMKEIKSELLEKGEIQRFWNEKLDEERTRGFEVEKLYFSAVLDVEEEKIVQEKCSAEFLKEKAAMACQRQLVLSLKEEVAEMSERLASERTMYVTERSKLQDTLSGLQSKQEEIIDAKSILEAEIEAIKILRSWVEDEARKSQARAKVLEEVGRRWKWDDKA >KJB50792 pep chromosome:Graimondii2_0_v6:8:46801918:46805348:-1 gene:B456_008G187100 transcript:KJB50792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTAFPSSRFIASEPPSFLPRRSGCFSSFTSPTSFVSYRRSSNSRILHISASLLHSNVDVSWFPPDPNSLPNNYGGWAVVQAPPTPKTNKKGLSSIFVGSLIGSSLAIAISISYLLFYKKGFKFQFRGPLNTFHEVFSWRETRDDQTEARHYLESDEQAAEATPDHASLAVTETVPSAPSHKQNLSAPTYKHERIVVPVAVDSTQQEALSILKKLKIIEDDLRADELCTRREYARWLVRTSSLLERNPRHRIVPCIALSGSETSAFDDIGANDPDFESIQALAEAGIIPSKLSGRNTASDGSKGEINFFPDRFISREDLINWKALVEYDFEPGVIEQLLKPNLCTVQISRTKADFMDLKEISPDSSPGLFIDMLAGEKSILRKVFGQIKRFQSNKPSTKAQVAVALTSGRMAEAISNELLKLEMESCSKRAQMKEIKSELLEKGEIQRFWNEKLDEERTRGFEVEKLYFSAVLDVEEEKIVQEKCSAEFLKEKAAMACQRQLVLSLKEEVAEMSERLASERTMYVTERSKLQDTLSGLQSKQEEIIDAKSILEAEIEAIKILR >KJB50794 pep chromosome:Graimondii2_0_v6:8:46801420:46805697:-1 gene:B456_008G187100 transcript:KJB50794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTAFPSSRFIASEPPSFLPRRSGCFSSFTSPTSFVSYRRSSNSRILHISASLLHSNVDVSWFPPDPNSLPNNYGGWAVVQAPPTPKTNKKGLSSIFVGSLIGSSLAIAISISYLLFYKKGFKFQFRGPLNTFHEVFSWRETRDDQTEARHYLESDEQAAEATPDHASLAVTETVPSAPSHKQNLSAPTYKHERIVVPVAVDSTQQEALSILKKLKIIEDDLRADELCTRREYARWLVRTSSLLERNPRHRIVPCIALSGSETSAFDDIGANDPDFESIQALAEAGIIPSKLSGRNTASDGSKGEINFFPDRFISREDLINWKALVEYDFEPGVIEQLLKPNLCTVQISRTKADFMDLKEISPDSSPGLFIDMLAGEKSILRKVFGQIKRFQSNKPSTKAQVAVALTSGRMAEAISNELLKLEMESCSKRAQMKEIKSELLEKGEIQRFWNEKLDEERTRGFEVEKLYFSAVLDVEEEKIVQEKCSAEFLKEKAAMACQRQLVLSLKEEVAEMSERLASERTMYVTERSKLQDTLSGLQSKQEEIIDAKSILEAEIEAIKILRSWVEDEARKSQARAKVLEEVGRRWKWDDKA >KJB50791 pep chromosome:Graimondii2_0_v6:8:46801369:46805740:-1 gene:B456_008G187100 transcript:KJB50791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTAFPSSRFIASEPPSFLPRRSGCFSSFTSPTSFVSYRRSSNSRILHISASLLHSNVDVSWFPPDPNSLPNNYGGWAVVQAPPTPKTNKKGLSSIFVGSLIGSSLAIAISISYLLFYKKGFKFQFRGPLNTFHEVFSWRETRDDQTEARHYLESDEQAAEATPDHASLAVTETVPSAPSHKQNLSAPTYKHERIVVPVAVDSTQQEALSILKKLKIIEDDLRADELCTRREYARWLVRTSSLLERNPRHRIVPCIALSGSETSAFDDIGANDPDFESIQALAEAGIIPSKLSGRNTASDGSKGEINFFPDRFISREDLINWKALVEYDFEPGVIEQISRTKADFMDLKEISPDSSPGLFIDMLAGEKSILRKVFGQIKRFQSNKPSTKAQVAVALTSGRMAEAISNELLKLEMESCSKRAQMKEIKSELLEKGEIQRFWNEKLDEERTRGFEVEKLYFSAVLDVEEEKIVQEKCSAEFLKEKAAMACQRQLVLSLKEEVAEMSERLASERTMYVTERSKLQDTLSGLQSKQEEIIDAKSILEAEIEAIKILRSWVEDEARKSQARAKVLEEVGRRWKWDDKA >KJB46715 pep chromosome:Graimondii2_0_v6:8:49043598:49047668:1 gene:B456_008G2053002 transcript:KJB46715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCTLFLLISCLSWSLFVLCDSQLQTSQSQVLLQLKKHLEYPKQLEIWYDRKTEFCSLPPSANLNITCEFNSVTELNIMGDKPATKASDFAGFPIPNKTLSHSFSMDSFVTTLSRLSSLKTLSLISLGIWGPLPDKIRRLSSLEYLDLSSNFLFGSIPPKMFTLLKLQTFVLDDNVFNDTVPSWLNSLPNLTTLRMKKNRLKGPFPSSIEGIKTLVDLDLSSNEISGDLPDLSSLTNLKLLDLRGNKLGSHLPTLPKGLLMVFLNNNSFTGEIPTQYGQLNQLQHIDVSFNMLTGKPPPELFSLPSLAHLNLASNTMKGSLPDNLNCGRNLEFVDISNNRLMGSLPSCLNSETRDNRVIKFSGNCLSIAGHHQHPESYCREFKVHVYKSNNGGKGIGVSVGLIVGIAVLIVLLAIGLLIVCRRYCPRVISEQHLLQKSVPENSTAGLSSEILTNPRHVFESSKSEVQILPSCRSFSLEELKEATNNFDSSAFLGEGSYGKIFKGLLKNGTQVAIRCLPTSKKYSIRNLKLRLDMLAKIRHPHLVCILGHCIEVEQDDHCSINRVFFVLEYIPNGNFRSHLSENSPGEVLSWPERLAVLICVCKAVHFLHTGVIPGFFHNRLKTTNILINEHRLAKLGDYGLSIISEETGNYGTKGQEPKSWQMTRLDDDVYSFGLILLESMLGPSVPAKTEATLRGIIKQAGRACKVDGPSSVSDVFRRINNSGD >KJB46716 pep chromosome:Graimondii2_0_v6:8:49043382:49047668:1 gene:B456_008G2053002 transcript:KJB46716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCTLFLLISCLSWSLFVLCDSQLQTSQSQVLLQLKKHLEYPKQLEIWYDRKTEFCSLPPSANLNITCEFNSVTELNIMGDKPATKASDFAGFPIPNKTLSHSFSMDSFVTTLSRLSSLKTLSLISLGIWGPLPDKIRRLSSLEYLDLSSNFLFGSIPPKMFTLLKLQTFVLDDNVFNDTVPSWLNSLPNLTTLRMKKNRLKGPFPSSIEGIKTLVDLDLSSNEISGDLPDLSSLTNLKLLDLRGNKLGSHLPTLPKGLLMVFLNNNSFTGEIPTQYGQLNQLQHIDVSFNMLTGKPPPELFSLPSLAHLNLASNTMKGSLPDNLNCGRNLEFVDISNNRLMGSLPSCLNSETRDNRVIKFSGNCLSIAGHHQHPESYCREFKVHVYKSNNGGKGIGVSVGLIVGIAVLIVLLAIGLLIVCRRYCPRVISEQHLLQKSVPENSTAGLSSEILTNPRHVFESSKSEVQILPSCRSFSLEELKEATNNFDSSAFLGEGSYGKIFKGLLKNGTQVAIRCLPTSKKYSIRNLKLRLDMLAKIRHPHLVCILGHCIEVEQDDHCSINRVFFVLEYIPNGNFRSHLSENSPGEVLSWPERLAVLICVCKAVHFLHTGVIPGFFHNRLKTTNILINEHRLAKLGDYGLSIISEETGNYGTKGQEPKSWQMTRLDDDVYSFGLILLESMLGPSVPAKTEATLRGELASLSKQEGRVRLMDPVVLATCSEESITVVISLTYKCICPELWSRPTFDDILWNLQHAAHLQPNISHA >KJB46713 pep chromosome:Graimondii2_0_v6:8:49043598:49047668:1 gene:B456_008G2053002 transcript:KJB46713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCTLFLLISCLSWSLFVLCDSQLQTSQSQVLLQLKKHLEYPKQLEIWYDRKTEFCSLPPSANLNITCEFNSVTELNIMGDKPATKASDFAGFPIPNKTLSHSFSMDSFVTTLSRLSSLKTLSLISLGIWGPLPDKIRRLSSLEYLDLSSNFLFGSIPPKMFTLLKLQTFVLDDNVFNDTVPSWLNSLPNLTTLRMKKNRLKGPFPSSIEGIKTLVDLDLSSNEISGDLPDLSSLTNLKLLDLRGNKLGSHLPTLPKGLLMVFLNNNSFTGEIPTQYGQLNQLQHIDVSFNMLTGKPPPELFSLPSLAHLNLASNTMKGSLPDNLNCGRNLEFVDISNNRLMGSLPSCLNSETRDNRVIKFSGNCLSIAGHHQHPESYCREFKVHVYKSNNGGKGIGVSVGLIVGIAVLIVLLAIGLLIVCRRYCPRVISEQHLLQKSVPENSTAGLSSEILTNPRHVFESSKSEVQILPSCRSFSLEELKEATNNFDSSAFLGEGSYGKIFKGLLKNGTQVAIRCLPTSKKYSIRNLKLRLDMLAKIRHPHLVCILGHCIEVEQDDHCSINRVFFVLEYIPNGNFRSHLSENSPGEVLSWPERLAVLICVCKAVHFLHTGVIPGFFHNRLKTTNILINEHRLAKLGDYGLSIISEETGNYGTKGQEPKSWQMTRLDDDVYSFGLILLESMLGPSVPAKTEATLRGELASLSKQEGRVRLMDPVVLATCSEESITVVISLTYKCICPELWSRPTFDDILWNLQHAAHLQPNISHA >KJB46714 pep chromosome:Graimondii2_0_v6:8:49043541:49047668:1 gene:B456_008G2053002 transcript:KJB46714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLLKLQTFVLDDNVFNDTVPSWLNSLPNLTTLRMKKNRLKGPFPSSIEGIKTLVDLDLSSNEISGDLPDLSSLTNLKLLDLRGNKLGSHLPTLPKGLLMVFLNNNSFTGEIPTQYGQLNQLQHIDVSFNMLTGKPPPELFSLPSLAHLNLASNTMKGSLPDNLNCGRNLEFVDISNNRLMGSLPSCLNSETRDNRVIKFSGNCLSIAGHHQHPESYCREFKVHVYKSNNGGKGIGVSVGLIVGIAVLIVLLAIGLLIVCRRYCPRVISEQHLLQKSVPENSTAGLSSEILTNPRHVFESSKSEVQILPSCRSFSLEELKEATNNFDSSAFLGEGSYGKIFKGLLKNGTQVAIRCLPTSKKYSIRNLKLRLDMLAKIRHPHLVCILGHCIEVEQDDHCSINRVFFVLEYIPNGNFRSHLSENSPGEVLSWPERLAVLICVCKAVHFLHTGVIPGFFHNRLKTTNILINEHRLAKLGDYGLSIISEETGNYGTKGQEPKSWQMTRLDDDVYSFGLILLESMLGPSVPAKTEATLRGELASLSKQEGRVRLMDPVVLATCSEESITVVISLTYKCICPELWSRPTFDDILWNLQHAAHLQPNISHA >KJB49821 pep chromosome:Graimondii2_0_v6:8:39012552:39017256:-1 gene:B456_008G139300 transcript:KJB49821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLYKVYHRLSPFSSSCCCLLHPFSLGNARFPSSTLRSFRHLRTHSTNLFKPAMSASRFNRLVPVNSALAEDGSAAGNGSANASLTFAEDDDESVIGVKYRVPPPEIRDIVDAPPLPALSFSPLRDKILFMKRRSLPPLAELARPEEKLAGLRIDGKCNTRSRMSFYTGIGIHSLMPDGSLGPETEVRGLPDGAKINFVTWSNDGKHLAFSVRFEEEESSSSKLRVWVADVETGMARPLFQSPDIYLNAVFDNYVWVDNSTLLVCTIPLSRGDPPKKPLVPSGPKIQSNEQKTIVQVRTFQDLLKDEYDEDLFDYYATSQLVLASLDGKVKEVGSPAIYTSLDPSPDEKYILISSIHRPYSFIVPCGRFPKKVDLWTADGNFVRELCDLPLAEDIPIASNSVRNGMRSLNWRADKPSTLYWAETQDGGDAKVEVSPRDIVYTQPAEPQEGEEPEILHKLDLRYGGISWCDDSLALVYESWYKTRRTRTWVISPGSKDVSPRILFDRSSEDVYSDPGSPMLRRTSTGNYVIAKLRKENDDATYLLLNGNGATPEGDIPFLDLFDINTGSKERIWESDKEKYYESVVALLSDQKEGDIHINDLKILTSKESKTENTQYYIQSWPDKKLCQITDFPHPYPQLASLQKDMIRYERKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVIRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATNTYVEMSPFMSANRIKKPILLIHGEEDNNAGTLTMQSDRFFNALKGHGALCRLVILPFESHGYSARESIMHVLWETDRWLQKHCVSNTSEVSADIGKSKDGEGKEVTDIENKAVAASGGGGAELSDDIESGQFHSKPRSLM >KJB49822 pep chromosome:Graimondii2_0_v6:8:39011984:39017282:-1 gene:B456_008G139300 transcript:KJB49822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLYKVYHRLSPFSSSCCCLLHPFSLGNARFPSSTLRSFRHLRTHSTNLFKPAMSASRFNRLVPVNSALAEDGSAAGNGSANASLTFAEDDDESVIGVKYRVPPPEIRDIVDAPPLPALSFSPLRDKILFMKRRSLPPLAELARPEEKLAGLRIDGKCNTRSRMSFYTGIGIHSLMPDGSLGPETEVRGLPDGAKINFVTWSNDGKHLAFSVRFEEEESSSSKLRVWVADVETGMARPLFQSPDIYLNAVFDNYVWVDNSTLLVCTIPLSRGDPPKKPLVPSGPKIQSNEQKTIVQVRTFQDLLKDEYDEDLFDYYATSQLVLASLDGKVKEVGSPAIYTSLDPSPDEKYILISSIHRPYSFIVPCGRFPKKVDLWTADGNFVRELCDLPLAEDIPIASNSVRNGMRSLNWRADKPSTLYWAETQDGGDAKVEVSPRDIVYTQPAEPQEGEEPEILHKLDLRYGGISWCDDSLALVYESWYKTRRTRTWVISPGSKDVSPRILFDRSSEDVYSDPGSPMLRRTSTGNYVIAKLRKENDDATYLLLNGNGATPEGDIPFLDLFDINTGSKERIWESDKEKYYESVVALLSDQKEGDIHINDLKILTSKESKTENTQYYIQSWPDKKLCQITDFPHPYPQLASLQKDMIRYERKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVIRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATNTYVEMSPFMSANRIKKPILLIHGEEDNNAGTLTMQSDRFFNALKGHGALCRLVILPFESHGYSARESIMHVLWETDRWLQKHCVSNTSEVSADIGKSKDGEGKEVTDIENKAVAASGGGGAELSDDIESGQFHSKPRSLILHY >KJB49820 pep chromosome:Graimondii2_0_v6:8:39011984:39017256:-1 gene:B456_008G139300 transcript:KJB49820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLYKVYHRLSPFSSSCCCLLHPFSLGNARFPSSTLRSFRHLRTHSTNLFKPAMSASRFNRLVPVNSALAEDGSAAGNGSANASLTFAEDDDESVIGVKYRVPPPEIRDIVDAPPLPALSFSPLRDKILFMKRRSLPPLAELARPEEKLAGLRIDGKCNTRSRMSFYTGIGIHSLMPDGSLGPETEVRGLPDGAKINFVTWSNDGKHLAFSVRFEEEESSSSKLRVWVADVETGMARPLFQSPDIYLNAVFDNYVWVDNSTLLVCTIPLSRGDPPKKPLVPSGPKIQSNEQKTIVQVRTFQDLLKDEYDEDLFDYYATSQLVLASLDGKVKEVGSPAIYTSLDPSPDEKYILISSIHRPYSFIVPCGRFPKKVDLWTADGNFVRELCDLPLAEDIPIASNSVRNGMRSLNWRADKPSTLYWAETQDGGDAKVEVSPRDIVYTQPAEPQEGEEPEILHKLDLRYGGISWCDDSLALVYESWYKTRRTRTWVISPGSKDVSPRILFDRSSEDVYSDPGSPMLRRTSTGNYVIAKLRKENDDATYLLLNGNGATPEGDIPFLDLFDINTGSKERIWESDKEKYYESVVALLSDQKEGDIHINDLKILTSKESKTENTQYYIQSWPDKKLCQITDFPHPYPQLASLQKDMIRYERKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVIRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQVNEDRTLWEATNTYVEMSPFMSANRIKKPILLIHGEEDNNAGTLTMQSDRFFNALKGHGALCRLVILPFESHGYSARESIMHVLWETDRWLQKHCVSNTSEVSADIGKSKDGEGKEVTDIENKAVAASGGGGAELSDDIESGQFHSKPRSLM >KJB49818 pep chromosome:Graimondii2_0_v6:8:39011984:39016735:-1 gene:B456_008G139300 transcript:KJB49818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRSLPPLAELARPEEKLAGLRIDGKCNTRSRMSFYTGIGIHSLMPDGSLGPETEVRGLPDGAKINFVTWSNDGKHLAFSVRFEEEESSSSKLRVWVADVETGMARPLFQSPDIYLNAVFDNYVWVDNSTLLVCTIPLSRGDPPKKPLVPSGPKIQSNEQKTIVQVRTFQDLLKDEYDEDLFDYYATSQLVLASLDGKVKEVGSPAIYTSLDPSPDEKYILISSIHRPYSFIVPCGRFPKKVDLWTADGNFVRELCDLPLAEDIPIASNSVRNGMRSLNWRADKPSTLYWAETQDGGDAKVEVSPRDIVYTQPAEPQEGEEPEILHKLDLRYGGISWCDDSLALVYESWYKTRRTRTWVISPGSKDVSPRILFDRSSEDVYSDPGSPMLRRTSTGNYVIAKLRKENDDATYLLLNGNGATPEGDIPFLDLFDINTGSKERIWESDKEKYYESVVALLSDQKEGDIHINDLKILTSKESKTENTQYYIQSWPDKKLCQITDFPHPYPQLASLQKDMIRYERKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVIRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATNTYVEMSPFMSANRIKKPILLIHGEEDNNAGTLTMQSDRFFNALKGHGALCRLVILPFESHGYSARESIMHVLWETDRWLQKHCVSNTSEVSADIGKSKDGEGKEVTDIENKAVAASGGGGAELSDDIESGQFHSKPRSLM >KJB49819 pep chromosome:Graimondii2_0_v6:8:39011984:39017252:-1 gene:B456_008G139300 transcript:KJB49819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTDGNFVRELCDLPLAEDIPIASNSVRNGMRSLNWRADKPSTLYWAETQDGGDAKVEVSPRDIVYTQPAEPQEGEEPEILHKLDLRYGGISWCDDSLALVYESWYKTRRTRTWVISPGSKDVSPRILFDRSSEDVYSDPGSPMLRRTSTGNYVIAKLRKENDDATYLLLNGNGATPEGDIPFLDLFDINTGSKERIWESDKEKYYESVVALLSDQKEGDIHINDLKILTSKESKTENTQYYIQSWPDKKLCQITDFPHPYPQLASLQKDMIRYERKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVIRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATNTYVEMSPFMSANRIKKPILLIHGEEDNNAGTLTMQSDRFFNALKGHGALCRLVILPFESHGYSARESIMHVLWETDRWLQKHCVSNTSEVSADIGKSKDGEGKEVTDIENKAVAASGGGGAELSDDIESGQFHSKPRSLM >KJB50447 pep chromosome:Graimondii2_0_v6:8:44626957:44628783:1 gene:B456_008G171300 transcript:KJB50447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTWIGCCVVATLLLCSLGRQDSRVVEMSLCFSSVRDPLFRLNRDLLSQSVKAFLVRGTKTETLDGRISHLNIHSFHYQSKAPRILFMQINLLFSYRIYRIPHLTMYVKLYPKTMAKLTLLAASLLLTLSIVNVVAVSSSRKGLRGCEKFLTESCRRVRDDSCRERMKLCCGELECIEVQRRCSVIRRLVKELLEDFRSKQKREMLQKARNLPALCRMGIGRCDIRVPFPPSWFTKFAYVFG >KJB47186 pep chromosome:Graimondii2_0_v6:8:1681266:1681906:-1 gene:B456_008G014700 transcript:KJB47186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENSSVNLPPGFRFCPTDEELVVHFLQRKAALLPCHPDVIPDLELYPHDPWELDGKALGEGNQWYFYSRRTQKRITGNGYWKPMGIEEDVINSRSKKVGMKKYLVFYIGEGPAAIKTNWIMQEYRLSKSDSSSTKSSKRRGHSKVVIYILHIKYVQLDLFVFYLLTCSVDFSDMLGL >KJB47184 pep chromosome:Graimondii2_0_v6:8:1680759:1682018:-1 gene:B456_008G014700 transcript:KJB47184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENSSVNLPPGFRFCPTDEELVVHFLQRKAALLPCHPDVIPDLELYPHDPWELDGKALGEGNQWYFYSRRTQKRITGNGYWKPMGIEEDVINSRSKKVGMKKYLVFYIGEGPAAIKTNWIMQEYRLSKSDSSSTKSSKRRGHSKDYSKWVVCRVYERNCSEDEDDGDDDDDGTQLSCLDEVFLSLDDMDEISLPFN >KJB47185 pep chromosome:Graimondii2_0_v6:8:1680940:1681946:-1 gene:B456_008G014700 transcript:KJB47185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENSSVNLPPGFRFCPTDEELVVHFLQRKAALLPCHPDVIPDLELYPHDPWELDGKALGEGNQWYFYSRRTQKRITGNGYWKPMGIEEDVINSRSKKVGMKKYLVFYIGEGPAAIKTNWIMQEYRLSKSDSSSTKSSKRRGHSKVDYSKWVVCRVYERNCSEDEDDGDDDDDGTQLSCLDEVFLSLDDMDEISLPFN >KJB46677 pep chromosome:Graimondii2_0_v6:8:2544492:2546676:-1 gene:B456_008G0224001 transcript:KJB46677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSEFRPLDEKSLIEYIKATPSLSSKIVDNYDGLKIKEVGDGNLNFVYIIVAPSGSFVIKQALPYIRCIGESWPMTKERAYFEVLALKQHGALCPEHVPEVYHFDRTMSLIGMRYLEPPHIILRKGLIAGIEYPLLAEHMSEYMAKTLFCTSLLYRSTTEHKRAVAEFCGNVELCRLTEQVVFSDPYKVSEYNRWTSPYLDRDAETVREDNLLKIEVAELKSKFCERAQALIHGDLHTGSVMVTPDSTQVIDPEFAFYGPMGFDIGAFIGNLILAFFAQDGHAGQGNDRK >KJB46675 pep chromosome:Graimondii2_0_v6:8:2544755:2546341:-1 gene:B456_008G0224001 transcript:KJB46675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSEFRPLDEKSLIEYIKATPSLSSKIVDNYDGLKIKEVGDGNLNFVYIIVAPSGSFVIKQALPYIRCIGESWPMTKERAYFEVLALKQHGALCPEHVPEVYHFDRTMSLIGMRYLEPPHIILRKGLIAGIEYPLLAEHMSEYMAKTLFCTSLLYRSTTEHKRAVAEFCGNVELCRLTEQVVFSDPYKVSEYNRWTSPYLDRDAETVREDNLLKIEVAELKSKYGCFSF >KJB46676 pep chromosome:Graimondii2_0_v6:8:2544362:2546629:-1 gene:B456_008G0224001 transcript:KJB46676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSEFRPLDEKSLIEYIKATPSLSSKIVDNYDGLKIKEVGDGNLNFVYIIVAPSGSFVIKQALPYIRCIGESWPMTKERAYFEVLALKQHGALCPEHVPEVYHFDRTMSLIGMRYLEPPHIILRKGLIAGIEYPLLAEHMSEYMAKTLFCTSLLYRSTTEHKRAVAEFCGNVELCRLTEQVVFSDPYKVSEYNRWTSPYLDRDAETVREDNLLKIEVAELKSKFCERAQALIHGDLHTGSVMVTPDSTQVIDPEFAFYGPMGFDIGAFIGNLILAFFAQDGHAGQGNDRK >KJB52518 pep chromosome:Graimondii2_0_v6:8:54544503:54547849:1 gene:B456_008G266300 transcript:KJB52518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLLGAFKPACNILITFSDAKTRKQVPMKKENGQMVMVPLFQSQENIAGKISIEPLQGKKIEHNGVKVELLGQIVRELDVPGDIYERKTYPFEFSTVEMPYETYSGVNVRLRYVLKVTVSRNYGGSIVEYQDFMVRNYSPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKYELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLLDS >KJB52515 pep chromosome:Graimondii2_0_v6:8:54544350:54547849:1 gene:B456_008G266300 transcript:KJB52515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLLGAFKPACNILITFSDAKTRKQVPMKKENGQMVMVPLFQSQENIAGKISIEPLQGKKIEHNGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGDIYERKTYPFEFSTVEMPYETYSGVNVRLRYVLKVTVSRNYGGSIVEYQDFMVRNYSPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKYELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLLDS >KJB52517 pep chromosome:Graimondii2_0_v6:8:54544503:54547849:1 gene:B456_008G266300 transcript:KJB52517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKENGQMVMVPLFQSQENIAGKISIEPLQGKKIEHNGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGDIYERKTYPFEFSTVEMPYETYSGVNVRLRYVLKVTVSRNYGGSIVEYQDFMVRNYSPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKYELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLLDS >KJB52516 pep chromosome:Graimondii2_0_v6:8:54545042:54547399:1 gene:B456_008G266300 transcript:KJB52516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKENGQMVMVPLFQSQENIAGKISIEPLQGKKIEHNGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGDIYERKTYPFEFSTVEMPYETYSGVNVRLRYVLKVTVSRNYGGSIVEYQDFMVRNYSPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKYELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLLDS >KJB50054 pep chromosome:Graimondii2_0_v6:8:40634968:40635896:-1 gene:B456_008G150600 transcript:KJB50054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIPSLFGNRRGSSILDPFSLDLWDPFKDLPFPSSLTTRNSENSAFVNARMDWKETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERNVEKEDKNDTWHRLERSSGKFMRRFRLPENVKMDQVKASMDNGVLTVTVPKQEVKKPDVKAIEISG >KJB48331 pep chromosome:Graimondii2_0_v6:8:10217440:10222989:-1 gene:B456_008G063800 transcript:KJB48331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDGGEEQMQESAGCEERIFVSVRLRPLNYKEIARHDVSDWECINDNTIIYRNSLSVSERSMYPTAYTFDRVFSPDCPNQQVYEAGAKAVAISVVSGINSSVFAYGQTSSGKTYTMIGITEYAMTDIYDYIQRHKEREFILKFSAMEIYNESVRDLLSADSTPLRLLDDPERGTVVEKLTEETLQDWNHFKQLLSVCEAQRQIGETSLNETSSRSHQILRVMIESSAREFLGNGKSSTLAATVNFVDLAGSERASQTLSAGARLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQSRNTLLFASCAKEVTTNAQVNVVMSDKALVKQLQRELARLENELRSARTTSISSDSAVLLREKDLEIEKLKKEVATLKQQRELARSEVENLRQAVTNESPEDEKPVKIWAGPDPHYPKLRLQNSWDFEHSTIGTPVMAVGARSFTPSDRQSCSSGESFLQLSDIKLNIPHPSSSPQLSPKIPDFVGSNMPQEENDELVDENSEALCKEVRCIDSGRSSMNRYSDSNLSESSPKNYQNYNRSSPRENTAISGLMDVGNEDRSKRESVSLHLKSSNNHPDIAFPSPEKSCLWQLKEEISSCRSLKLTRSISCKASLMTSLTSQWIERLGQDESTPPMGDEKDFSGRPDILRGELPALKYDLQNERLSRNGSQSSSTSATEYELNAPIAKNKSQSSSIEHMAPVVPTPEDQNNAVFSTSMGGTEKMCDPKYEKQLSDHAVQVTEPILHGKDVKDVGLDPIPDNHESPSEWPSEFKRLQREIIELWHACNVSLVHRTYFFLLFKGDPKDYIYMEVEYRRLSFLKSLFSHGNQMEVANEQVENPASSAKALRRERHMLIQQMSKRLSNEERENLFIKWGIGLNTKHRRLQLAYCFWTDCKDMDHIAESAAIVAKLVGFVDPEKTFKEMFGLNFTSGQQSNKRNHSLKRIVLSFL >KJB48330 pep chromosome:Graimondii2_0_v6:8:10217478:10222760:-1 gene:B456_008G063800 transcript:KJB48330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDGGEEQMQESAGCEERIFVSVRLRPLNYKEIARHDVSDWECINDNTIIYRNSLSVSERSMYPTAYTFDRVFSPDCPNQQVYEAGAKAVAISVVSGINSSVFAYGQTSSGKTYTMIGITEYAMTDIYDYIQRHKEREFILKFSAMEIYNESVRDLLSADSTPLRLLDDPERGTVVEKLTEETLQDWNHFKQLLSVCEAQRQIGETSLNETSSRSHQILRVMIESSAREFLGNGKSSTLAATVNFVDLAGSERASQTLSAGARLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQSRNTLLFASCAKEVTTNAQVNVVMSDKALVKQLQRELARLENELRSARTTSISSDSAVLLREKDLEIEKLKKEVATLKQQRELARSEVENLRQAVTNESPEDEKPVKIWAGPDPHYPKLRLQNSWDFEHSTIGTPVMAVGARSFTPSDRQSCSSGESFLQLSDIKLNIPHPSSSPQLSPKIPDFVGSNMPQEENDELVDENSEALCKEVRCIDSGRSSMNRYSDSNLSESSPKNYQNYNRSSPRENTAISGLMDVGNEDRSKRESVSLHLKSSNNHPDIAFPSPEKSCLWQLKEEISSCRSLKLTRSISCKASLMTSLTSQWIERLGQDESTPPMGDEKDFSGRPDILRGELPALKYDLQNERLSRNGSQSSSTSATEYELNAPIAKNKSQSSSIEHMAPVVPTPEDQNNAVFSTSMGGTEKMCDPKYEKQLSDHAVQVTEPILHGKDVKDVGLDPIPDNHESPSEWPSEFKRLQREIIELWHACNVSLVHRTYFFLLFKGDPKDYIYMEVEYRRLSFLKSLFSHGNQMEVANEQVENPASSAKALRRERHMLIQQMSKRLSNEERENLFIKWGIGLNTKHRRLQLAYCFWTDCKDMDHIAESAAIVAKLVGFVDPEKTFKEMFGLNFTSGQQSNKRNHSLKRIVLSFL >KJB48184 pep chromosome:Graimondii2_0_v6:8:8235861:8239458:-1 gene:B456_008G055600 transcript:KJB48184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABA DEFICIENT 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67080) UniProtKB/Swiss-Prot;Acc:Q8LFP9] MFFSSCIAHPLISPKISQFGQPGNLHRNVEVDQRFTSALKIRTTDHPSQQARIGAGLLDEWSFARGTRLVIRQKVSTFIPFRKSFEVQASWLPTSQIASSVFTLGTAAVLPFYTLMVFAPKSELTKKSMESSVPFIVLGLLYAYLLYLSWTPDTLKLMFASKYWLPELSGMAKMFSSEMTLASAWIHLLAVDLFAARQVFQDGLQNQIETRHSVSLCLLFCPIGIVTHFVTKAVAKTAADDRHKMH >KJB48183 pep chromosome:Graimondii2_0_v6:8:8235861:8239325:-1 gene:B456_008G055600 transcript:KJB48183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABA DEFICIENT 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67080) UniProtKB/Swiss-Prot;Acc:Q8LFP9] MFFSSCIAHPLISPKSYLSWHSCYCSNEEDERLSASFLRFKGLPTSQIASSVFTLGTAAVLPFYTLMVFAPKSELTKKSMESSVPFIVLGLLYAYLLYLSWTPDTLKLMFASKYWLPELSGMAKMFSSEMTLASAWIHLLAVDLFAARQVFQDGLQNQIETRHSVSLCLLFCPIGIVTHFVTKAVAKTAADDRHKMH >KJB50672 pep chromosome:Graimondii2_0_v6:8:45974622:45980482:1 gene:B456_008G181900 transcript:KJB50672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMSDSLSIRVPYSNLKNESEVEMIVDPHFQMDLNSSPSFRSISSSSPSSRTPHGNFNLSSPIHVRSKDSSLMTLVLSCMIAAGVQFGWALQLSLLTPYIQTLGIGHTFSSFIWLCGPITGLVVQPCVGIWSDKCTSKYGRRRPFILAGSLMISVAVITIGFSADVGYILGDTKEDCSTFKGTRTRAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSANAIFCLWMAVGNILGFSAGASGSWHRWFPFLKSRACCEACANLKAAFLVAVVFLFFCTLVTICFAKEVPLSVPANQPAHVSDSAPLLDDSNQHGFQHPKSKSEVSVVVNANRNHTENGYERVSKSEHAERKDTNVQDEVFSDGPGAVVKLLTILRHLPSGMHSVLIVMALSWLSLFPFFLFDTDWMGREVYHGDPKGNASEIKLYDQGVREGAFGLLLNSVVLGVSSLFIELMCKRLGSRLVWAMSNYIVFGCMAVTAIISLVSVREYSQGIEHVMGGNAAIRIAALVIFILLGFPLAITFCVPFSVTAELTAESGGGQGLAIGVLNLAIVVPQIFVSLGAGPWDALFGGGNVPAFILGSLCALAAGVIATFRLPDLSSSFKSSGFHFG >KJB50671 pep chromosome:Graimondii2_0_v6:8:45974622:45980476:1 gene:B456_008G181900 transcript:KJB50671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMSDSLSIRVPYSNLKNESEVEMIVDPHFQMDLNSSPSFRSISSSSPSSRTPHGNFNLSSPIHVRSKDSSLMTLVLSCMIAAGVQFGWALQLSLLTPYIQTLGIGHTFSSFIWLCGPITGLVVQPCVGIWSDKCTSKYGRRRPFILAGSLMISVAVITIGFSADVGYILGDTKEDCSTFKGTRTRAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSANAIFCLWMAVGNILGFSAGASGSWHRWFPFLKSRACCEACANLKAAFLVAVVFLFFCTLVTICFAKEVPLSVPANQPAHVSDSAPLLDDSNQHGFQHPKSKSEVSVVVNANRNHTENGYERVSKSEHAERKDTNVQDEVFSDGPGAVVKLLTILRHLPSGMHSVLIVMALSWLSLFPFFLFDTDWMGREVYHGDPKGNASEIKLYDQGVREGAFGLLLNSVVLGVSSLFIELMCKRLGSRLVWAMSNYIVFGCMAVTAIISLVSVREYSQGIEHVMGGNAAIRIAALVIFILLGFPLAITFCVPFSVTAELTAESGGGQDICIAGCGSVGCSIWWRKCASLYFGFLMRSGCRGYRNFQAA >KJB52935 pep chromosome:Graimondii2_0_v6:8:55985847:55988594:-1 gene:B456_008G284000 transcript:KJB52935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMPYPLFFFFFFFFFLLFTIQCCFSSNTSELRALMDIKAALDPDNQYLTSWTTKGDPCSFEGLACNEQGQVANISLQGKGLSGKISPVIAELKHLTGLYLHYNSLYGEVPREIANLTQLADLYLNMNNLSGEIPPEIAQMDSLRVLQLCYNQLTGSIPTQFGSLKKLNVLALQSNQLTGAIPASLGDLGTLIRLDLSFNDLFGSIPTKLADAPLLEVLDIRNNSLSGNVPLALKRLNDGFLFQNNLGLCGSGFASLEPCNTSYQTNPTRPEAYGQGVTGMSREIPETANLRLPCEQSQCSKPLKSRKGPILVGLVVVTVALSAIGLLTFKQYRNRKPKLGTSFEEPEAKEGDRKKGSPLVSLEYTNGWDPLDGSRNFNGFTQDVLQSFRFNLEEIETATQYFSESNLLGKSNFAATYKGFLRDGSAVVIKSISKTSCKSDDSEFLKGLNVLASLKHENVVRLRGFCCSKARGGCFLVYDFIPNGNLLQYLDVKDGDGKVLDWSTRVSIVKGIAKGWSPVMFFALF >KJB52934 pep chromosome:Graimondii2_0_v6:8:55985842:55988712:-1 gene:B456_008G284000 transcript:KJB52934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMPYPLFFFFFFFFFLLFTIQCCFSSNTSELRALMDIKAALDPDNQYLTSWTTKGDPCSFEGLACNEQGQVANISLQGKGLSGKISPVIAELKHLTGLYLHYNSLYGEVPREIANLTQLADLYLNMNNLSGEIPPEIAQMDSLRVLQLCYNQLTGSIPTQFGSLKKLNVLALQSNQLTGAIPASLGDLGTLIRLDLSFNDLFGSIPTKLADAPLLEVLDIRNNSLSGNVPLALKRLNDGFLFQNNLGLCGSGFASLEPCNTSYQTNPTRPEAYGQGVTGMSREIPETANLRLPCEQSQCSKPLKSRKGPILVGLVVVTVALSAIGLLTFKQYRNRKPKLGTSFEEPEAKEGDRKKGSPLVSLEYTNGWDPLDGSRNFNGFTQDVLQSFRFNLEEIETATQYFSESNLLGKSNFAATYKGFLRDGSAVVIKSISKTSCKSDDSEFLKGLNVLASLKHENVVRLRGFCCSKARGGCFLVYDFIPNGNLLQYLDVKDGDGKVLDWSTRVSIVKGIAKGIAYLHEYKVNKPALVHQNISAEKVLVDHRFKPLLSDSGLHNILTIDIVFGSLKASAAMGYLAPEYANTGRFTEKSDVYAFGTLVLQLLSGKQKVTSSVRLGAETRKYQDFIDPNLHGRFFEHEAAKLARIAWLCAHECPIERPSMEEVVQELGNCNGRP >KJB50053 pep chromosome:Graimondii2_0_v6:8:40632491:40634780:1 gene:B456_008G150500 transcript:KJB50053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSVSGNRNLIEKTMKSIEEISYWTWSDLLATLKHCQDLQSSGIVERCLDSLVGRLAIPSEASPCASTSSLDSPGFWLSCDTKSTKSLKDGFSQATWWFEDLSILSPDMIEMLIKSMVSRKYNHVIIGRFLFHYQKSKFYTASPDEKHQVLETVIDMLYTLDTNSISCKSLFRIYRVVLNLNISKNSRNKLECMIGSQLDQATLDNLLIPSPYGASYLYDVNLVLRFLKAFLCGGDQQVSPMRIKKVGSLMDMYIAEVAPDPCLKSSKFLALVVALPDSARDSSNGLYHAIDIYLEVHAGLSDEEKMKICCALNYEKLSTEAGIHLSQNAKFPSKSAVQALISQQLKLKNLLQGTNNTKLYTNSPCNFIETKGKAKKDEACEPTLYSERLDISVDNEKLREHLQGMQCRVMELEKVCKKMQSEMAKIMKSKAAAHSSSARSLPRLCS >KJB50051 pep chromosome:Graimondii2_0_v6:8:40632280:40634699:1 gene:B456_008G150500 transcript:KJB50051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSVSGNRNLIEKTMKSIEEISYWTWSDLLATLKHCQDLQSSGIVERCLDSLVGRLAIPSEASPCASTSSLDSPGFWLSCDTKSTKSLKDGFSQATWWFEDLSILSPDMIEMLIKSMVSRKYNHVIIGRFLFHYQKSKFYTASPDEKHQVLETVIDMLYTLDTNSISCKSLFRIYRVVLNLNISKNSRNKLECMIGSQLDQATLDNLLIPSPYGASYLYDVNLVLRFLKAFLCGGDQQVSPMRIKKVGSLMDMYIAEVAPDPCLKSSKFLALVVALPDSARDSSNGLYHAIDIYLEVHAGLSDEEKMKICCALNYEKLSTEAGIHLSQNAKFPSKSAVQALISQQLKLKNLLQGTNNTKLYTNSPCNFIETKGKAKKDEACEPTLYSERLDISVDNEKLREHLQGMQCRVMELEKVCKKMQSEMAKIMKSKAAAHSSSARSLPRLCS >KJB50052 pep chromosome:Graimondii2_0_v6:8:40631896:40634781:1 gene:B456_008G150500 transcript:KJB50052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCCDLEVDVNGEETFLLDKKIICSFSGRLSKLFDKSTNAKRNKVIFRDFPGGVENFELIARFCYNNGKIDINPSNVSLLYVAAQFLDMNNSVSGNRNLIEKTMKSIEEISYWTWSDLLATLKHCQDLQSSGIVERCLDSLVGRLAIPSEASPCASTSSLDSPGFWLSCDTKSTKSLKDGFSQATWWFEDLSILSPDMIEMLIKSMVSRKYNHVIIGRFLFHYQKSKFYTASPDEKHQVLETVIDMLYTLDTNSISCKSLFRIYRVVLNLNISKNSRNKLECMIGSQLDQATLDNLLIPSPYGASYLYDVNLVLRFLKAFLCGGDQQVSPMRIKKVGSLMDMYIAEVAPDPCLKSSKFLALVVALPDSARDSSNGLYHAIDIYLEVHAGLSDEEKMKICCALNYEKLSTEAGIHLSQNAKFPSKSAVQALISQQLKLKNLLQGTNNTKLYTNSPCNFIETKGKAKKDEACEPTLYSERLDISVDNEKLREHLQGMQCRVMELEKVCKKMQSEMAKIMKSKAAAHSSSARSLPRLCS >KJB50251 pep chromosome:Graimondii2_0_v6:8:42825607:42828422:1 gene:B456_008G161200 transcript:KJB50251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWMMNEGGGHYCSKKSDDICGDVCGQESSRLSMSRIRCILRGIDFKTYIFVFVMIPTCIFGIYLHGQKISYFLRPLWESPPKPFHDIPHYYHENVSMETLCKLHGWGIREFPRRVYDAVLFSNEVDILTLRWQELYPYITQFVLLESNSTFTGIPKPMVFASNRDQFKFVEPRLTYGTIGGRFKKAENPFVEEALQRVALDQLLKIAGITDDDLLIMSDVDEIPSRHTINLLRWCDDIPQVLHLRLKNYLYSFEFLVDNNSWRASVHRYQTGKTRYAHYRQSDEILADAGWHCSFCFRRISEFIFKMKAYSHNDRVRFSHYLNPKRIQRVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSFLLENADKYKFLLPGNCIRESG >KJB50252 pep chromosome:Graimondii2_0_v6:8:42825784:42828326:1 gene:B456_008G161200 transcript:KJB50252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIRCILRGIDFKTYIFVFVMIPTCIFGIYLHGQKISYFLRPLWESPPKPFHDIPHYYHENVSMETLCKLHGWGIREFPRRVYDAVLFSNEVDILTLRWQELYPYITQFVLLESNSTFTGIPKPMVFASNRDQFKFVEPRLTYGTIGGRFKKAENPFVEEALQRVALDQLLKIAGITDDDLLIMSDVDEIPSRHTINLLRWCDDIPQVLHLRLKNYLYSFEFLVDNNSWRASVHRYQTGKTRYAHYRQSDEILADAGWHCSFCFRRISEFIFKMKAYSHNDRVRFSHYLNPKRIQRVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSFLLENADKYKFLLPGNCIRESG >KJB47548 pep chromosome:Graimondii2_0_v6:8:3722103:3726216:-1 gene:B456_008G031100 transcript:KJB47548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYDTGSDNQKDTAPMIYFRESMPGSFPEAPVLPGNAIMYMNSGSYLDAFAGNSQQQNNCVEILAVEASDSTPQQQEILSNLGGSRVGDFGTWRDGRNEILVMHPMDGTASILHSGQNLQGQGLSLSLGTQIPSGIQMTSIPYRNPNSTFASFLSPNPTLTGEGGSRTSSCRDEQSRNAEYVPPGFSGGNQDSNKGDLSAYGMSSTSRTIPNSKYLKAVQQLLDEVVNVRMALKQCNGEKNQSSEDNRMKSSKEDDGGSKKMPSNRQESSNNTPNQLSQAEKQELQSKLTKLLSMLDEFLLQVDRRYKQYYHQMQIVVSSFDVIAGCGAAKPYTALALQTISCHFRCLRDAINGQIRATRKSLGEQDTSENGKGVGITRLRYVDQQLRQQRALQQLGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVANWFINARVRLWKPMVEEMYKEEFADAEMDSNSSSENAVKATKGDTRTSEDRGEDVQQSESSLAIERCDTRQLVDSKPNPVPSLVDMAGPVTGAGFQYFTRGETETEHMLLQLREEQRPNIDDSNLFPNAISHPDGDSGRIMAVAAYQMSGFGNFGNRSSVSLTLGLQHCEGGNIPISGGGHQDFVAMRGDDICNPTASTVGAAETSDFECINPGNRQHGFSSSHLLHDFVT >KJB47547 pep chromosome:Graimondii2_0_v6:8:3722103:3725625:-1 gene:B456_008G031100 transcript:KJB47547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYDTGSDNQKDTAPMIYFRESMPGSFPEAPVLPGNAIMYMNSGSYLDAFAGNSQQQNNCVEILAVEASDSTPQQQEILSNLGGSRVGDFGTWRDGRNEILVMHPMDGTASILHSGQNLQGQGLSLSLGTQIPSGIQMTSIPYRNPNSTFASFLSPNPTLTGEGGSRTSSCRDEQSRNAEYVPPGFSGGNQDSNKGDLSAYGMSSTSRTIPNSKYLKAVQQLLDEVVNVRMALKQCNGEKNQSSEDNRMKSSKEDDGGSKKMPSNRQESSNNTPNQLSQAEKQELQSKLTKLLSMLDEVDRRYKQYYHQMQIVVSSFDVIAGCGAAKPYTALALQTISCHFRCLRDAINGQIRATRKSLGEQDTSENGKGVGITRLRYVDQQLRQQRALQQLGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVANWFINARVRLWKPMVEEMYKEEFADAEMDSNSSSENAVKATKGDTRTSEDRGEDVQQSESSLAIERCDTRQLVDSKPNPVPSLVDMAGPVTGAGFQYFTRGETETEHMLLQLREEQRPNIDDSNLFPNAISHPDGDSGRIMAVAAYQMSGFGNFGNRSSVSLTLGLQHCEGGNIPISGGGHQDFVAMRGDDICNPTASTVGAAETSDFECINPGNRQHGFSSSHLLHDFVT >KJB47546 pep chromosome:Graimondii2_0_v6:8:3722033:3726910:-1 gene:B456_008G031100 transcript:KJB47546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYDTGSDNQKDTAPMIYFRESMPGSFPEAPVLPGNAIMYMNSGSYLDAFAGNSQQQNNCVEILAVEASDSTPQQQEILSNLGGSRVGDFGTWRDGRNEILVMHPMDGTASILHSGQNLQGQGLSLSLGTQIPSGIQMTSIPYRNPNSTFASFLSPNPTLTGEGGSRTSSCRDEQSRNAEYVPPGFSGGNQDSNKGDLSAYGMSSTSRTIPNSKYLKAVQQLLDEVVNVRMALKQCNGEKNQSSEDNRMKSSKEDDGGSKKMPSNRQESSNNTPNQLSQAEKQELQSKLTKLLSMLDEVDRRYKQYYHQMQIVVSSFDVIAGCGAAKPYTALALQTISCHFRCLRDAINGQIRATRKSLGEQDTSENGKGVGITRLRYVDQQLRQQRALQQLGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVANWFINARVRLWKPMVEEMYKEEFADAEMDSNSSSENAVKATKGDTRTSEDRGEDVQQSESSLAIERCDTRQLVDSKPNPVPSLVDMAGPVTGAGFQYFTRGETETEHMLLQLREEQRPNIDDSNLFPNAISHPDGDSGRIMAVAAYQMSGFGNFGNRSSVSLTLGLQHCEGGNIPISGGGHQDFVAMRGDDICNPTASTVGAAETSDFECINPGNRQHGFSSSHLLHDFVT >KJB50833 pep chromosome:Graimondii2_0_v6:8:47085806:47089049:-1 gene:B456_008G188800 transcript:KJB50833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSPPPQSSPPAPSPASATSPPPSTPPPANAIPPPSQSQSPPPDVSTAPPPLTLSPPSPAESSPPPLQASPPPPPISPTSPPSTSTSPPPSPPPSSPPPSPPPSAPRPSPPPPQTPSPPPPTPSTQPPVPSPPPPAPPPRLPPPVSSPPPSSQPPLSTLPPSRSPPPSQTPPTEPPNSPPPPSSISPAPTSPSKRTPPSSQPTPSPSNSTPSSSPPPSISRLSPPPPPQVLTPPTDNRTVNAPGPSAPESSDSGNDGIGVGGAVAIGVAVGIIVLSLIGLAVWCLRRQKKTKLTRVNGGYVMPSPLGSSPRSDSSPTKTHSSAPLIGSSSGSDFVHSPPMMPEPGGLGNSKTWFTYEELTIATNGFSDQNLLGEGGFGAVYKGCLPDGREVAVKQLKIGGGQGEREFKAEVAIISRIHHRHLVSLVGYCISENRRLLIYDYVPNNTLYFHLHREGMPVLDWATRLKIAAGAARGIAYLHEDCKYFHPDINGQA >KJB50828 pep chromosome:Graimondii2_0_v6:8:47083627:47089488:-1 gene:B456_008G188800 transcript:KJB50828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSPPPQSSPPAPSPASATSPPPSTPPPANAIPPPSQSQSPPPDVSTAPPPLTLSPPSPAESSPPPLQASPPPPPISPTSPPSTSTSPPPSPPPSSPPPSPPPSAPRPSPPPPQTPSPPPPTPSTQPPVPSPPPPAPPPRLPPPVSSPPPSSQPPLSTLPPSRSPPPSQTPPTEPPNSPPPPSSISPAPTSPSKRTPPSSQPTPSPSNSTPSSSPPPSISRLSPPPPPQVLTPPTDNRTVNAPGPSAPESSDSGNDGIGVGGAVAIGVAVGIIVLSLIGLAVWCLRRQKKTKLTRVNGGYVMPSPLGSSPRSDSSPTKTHSSAPLIGSSSGSDFVHSPPMMPEPGGLGNSKTWFTYEELTIATNGFSDQNLLGEGGFGAVYKGCLPDGREVAVKQLKIGGGQGEREFKAEVAIISRIHHRHLVSLVGYCISENRRLLIYDYVPNNTLYFHLHREGMPVLDWATRLKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVSDFGLAKLALDANTHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLSHALDSEDFGYLADPKLGGNYVESEMFRMIEAATACVRHSAAKRPRMGQIVRAFESLATSDLSNGMKVGESEVFNSAQQSEEIRWFRRMAFGSQNYSTDYFSESSISRGS >KJB50830 pep chromosome:Graimondii2_0_v6:8:47083627:47089502:-1 gene:B456_008G188800 transcript:KJB50830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSPPPQSSPPAPSPASATSPPPSTPPPANAIPPPSQSQSPPPDVSTAPPPLTLSPPSPAESSPPPLQASPPPPPISPTSPPSTSTSPPPSPPPSSPPPSPPPSAPRPSPPPPQTPSPPPPTPSTQPPVPSPPPPAPPPRLPPPVSSPPPSSQPPLSTLPPSRSPPPSQTPPTEPPNSPPPPSSISPAPTSPSKRTPPSSQPTPSPSNSTPSSSPPPSISRLSPPPPPQVLTPPTDNRTVNAPGPSAPESSDSGNDGIGVGGAVAIGVAVGIIVLSLIGLAVWCLRRQKKTKLTRVNGGYVMPSPLGSSPRSDSSPTKTHSSAPLIGSSSGSDFVHSPPMMPEPGGLGNSKTWFTYEELTIATNGFSDQNLLGEGGFGAVYKGCLPDGREVAVKQLKIGGGQGEREFKAEVAIISRIHHRHLVSLVGYCISENRRLLIYDYVPNNTLYFHLHREGMPVLDWATRLKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVSDFGLAKLALDANTHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLSHALDSEDFGYLADPKLGGNYVESEMFRMIEAATACVRHSAAKRPRMGQIVRAFESLATSDLSNGMKVGESEVFNSAQQSEEIRWFRRMAFGSQNYSTDYFSESSISRGS >KJB50829 pep chromosome:Graimondii2_0_v6:8:47083627:47088608:-1 gene:B456_008G188800 transcript:KJB50829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSPPPQSSPPAPSPASATSPPPSTPPPANAIPPPSQSQSPPPDVSTAPPPLTLSPPSPAESSPPPLQASPPPPPISPTSPPSTSTSPPPSPPPSSPPPSPPPSAPRPSPPPPQTPSPPPPTPSTQPPVPSPPPPAPPPRLPPPVSSPPPSSQPPLSTLPPSRSPPPSQTPPTEPPNSPPPPSSISPAPTSPSKRTPPSSQPTPSPSNSTPSSSPPPSISRLSPPPPPQVLTPPTDNRTVNAPGPSAPESSDSGNDGIGVGGAVAIGVAVGIIVLSLIGLAVWCLRRQKKTKLTRVNGGYVMPSPLGSSPRSDSSPTKTHSSAPLIGSSSGSDFVHSPPMMPEPGGLGNSKTWFTYEELTIATNGFSDQNLLGEGGFGAVYKGCLPDGREVAVKQLKIGGGQGEREFKAEVAIISRIHHRHLVSLVGYCISENRRLLIYDYVPNNTLYFHLHREGMPVLDWATRLKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVSDFGLAKLALDANTHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLSHALDSEDFGYLADPKLGGNYVESEMFRMIEAATACVRHSAAKRPRMGQIVRAFESLATSDLSNGMKVGESEVFNSAQQSEEIRWFRRMAFGSQNYSTDYFSESSISRGS >KJB50827 pep chromosome:Graimondii2_0_v6:8:47084356:47088608:-1 gene:B456_008G188800 transcript:KJB50827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSPPPQSSPPAPSPASATSPPPSTPPPANAIPPPSQSQSPPPDVSTAPPPLTLSPPSPAESSPPPLQASPPPPPISPTSPPSTSTSPPPSPPPSSPPPSPPPSAPRPSPPPPQTPSPPPPTPSTQPPVPSPPPPAPPPRLPPPVSSPPPSSQPPLSTLPPSRSPPPSQTPPTEPPNSPPPPSSISPAPTSPSKRTPPSSQPTPSPSNSTPSSSPPPSISRLSPPPPPQVLTPPTDNRTVNAPGPSAPESSDSGNDGIGVGGAVAIGVAVGIIVLSLIGLAVWCLRRQKKTKLTRVNGGYVMPSPLGSSPRSDSSPTKTHSSAPLIGSSSGSDFVHSPPMMPEPGGLGNSKTWFTYEELTIATNGFSDQNLLGEGGFGAVYKGCLPDGREVAVKQLKIGGGQGEREFKAEVAIISRIHHRHLVSLVGYCISENRRLLIYDYVPNNTLYFHLHREGMPVLDWATRLKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVSDFGLAKLALDANTHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLSHALDSEDFGYLADPKLGGNYVESEMFRMIEAATACVRHSAAKRPRMGQVVKVCY >KJB50831 pep chromosome:Graimondii2_0_v6:8:47084801:47088608:-1 gene:B456_008G188800 transcript:KJB50831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSPPPQSSPPAPSPASATSPPPSTPPPANAIPPPSQSQSPPPDVSTAPPPLTLSPPSPAESSPPPLQASPPPPPISPTSPPSTSTSPPPSPPPSSPPPSPPPSAPRPSPPPPQTPSPPPPTPSTQPPVPSPPPPAPPPRLPPPVSSPPPSSQPPLSTLPPSRSPPPSQTPPTEPPNSPPPPSSISPAPTSPSKRTPPSSQPTPSPSNSTPSSSPPPSISRLSPPPPPQVLTPPTDNRTVNAPGPSAPESSDSGNDGIGVGGAVAIGVAVGIIVLSLIGLAVWCLRRQKKTKLTRVNGGYVMPSPLGSSPRSDSSPTKTHSSAPLIGSSSGSDFVHSPPMMPEPGGLGNSKTWFTYEELTIATNGFSDQNLLGEGGFGAVYKGCLPDGREVAVKQLKIGGGQGEREFKAEVAIISRIHHRHLVSLVGYCISENRRLLIYDYVPNNTLYFHLHREGMPVLDWATRLKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVSDFGLAKLALDANTHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDASQPLGDESLVEWVIY >KJB50832 pep chromosome:Graimondii2_0_v6:8:47086189:47089374:-1 gene:B456_008G188800 transcript:KJB50832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSPPPQSSPPAPSPASATSPPPSTPPPANAIPPPSQSQSPPPDVSTAPPPLTLSPPSPAESSPPPLQASPPPPPISPTSPPSTSTSPPPSPPPSSPPPSPPPSAPRPSPPPPQTPSPPPPTPSTQPPVPSPPPPAPPPRLPPPVSSPPPSSQPPLSTLPPSRSPPPSQTPPTEPPNSPPPPSSISPAPTSPSKRTPPSSQPTPSPSNSTPSSSPPPSISRLSPPPPPQVLTPPTDNRTVNAPGPSAPESSDSGNDGIGVGGAVAIGVAVGIIVLSLIGLAVWCLRRQKKTKLTRVNGGYVMPSPLGSSPRSDSSPTKTHSSAPLIGSSSGSDFVHSPPMMPEPGGLGNSKTWFTYEELTIATNGFSDQNLLGEGGFGAVYKGCLPDGREVAVKQLKIGGGQGEREFKAEVAIISRIHHRHLVSLVGYCISENRRLLIYDYVPNNTLYFHLHREGMPVLDWATRLKIAAGAARGIAYLHEDCKYFHPDINGQA >KJB49331 pep chromosome:Graimondii2_0_v6:8:44733748:44735681:-1 gene:B456_008G172400 transcript:KJB49331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPTVPTDKYRNSTTYQRPPLSTTTILPPLNQPCRLLLLLQFPRLKQQLLCLKLLFMADMDGSSVDSKEESTGDSKLDFSEDEETLIIRMFNLVGERWSLIAGRIPGRTAEEIQKYWASRFSYNNPMPNPS >KJB49330 pep chromosome:Graimondii2_0_v6:8:44733841:44735596:-1 gene:B456_008G172400 transcript:KJB49330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPTVPTDKYRNSTTYQRPPLSTTTILPPLNQPCRLLLLLQFPRLKQQLLCLKLLFMADMDGSSVDSKEESTGDSKLDFSEDEETLIIRMFNLVGERWSLIAGRIPGRTAEEIQKYWASRFSYNNPMPNPS >KJB53181 pep chromosome:Graimondii2_0_v6:8:56922791:56926250:-1 gene:B456_008G296200 transcript:KJB53181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEEGTRENNLAQVASSDPLDLSHSRSDGASTASSPHYSSCGESQLDRYCSANSALGTPSSIATFNDCFGESEFGSARSFSGFALGDDFENFSLDGNLKVPPNRRIEFRKDRTEEGRSFLNVKSVEEGSSSCLDMDLREEDGNSSRYEHSEGEDSMSNYGTNEDEFSNNPYYRKKEDDENKNMIENPLGINSSVAFGANDWDDFEQEAGMGDLAAFMLDASVARKSFQGSDELQEEFNTFGAMAIGFPSSGESEFLEVKDIPVAEDTVEEAKCYSVNAVSSSRICDGEKYVKDIAVAKNQLHDADDDMGYLETCSVTDVFAMDPDPPVEKAPVEVGLNVVDSDRVRQHQSSEAREFIVVDESKLSERLEIDKYEAELDALDDCVHPVYYPQKTNAELYNNCKPDSPASTSESKVSTTFKSLPVPPDEFEEHPGVVEMKNVELNEFYDEVVHDMEEILLESVDSPGAMFSQGNRIIQPRLPLPLQDGGSNPSTSDADDAYLRSARILRIDGVEVVGAKQKKGNVSLSERLVGVKEYTVYKIRVWCGDDQWEVERRYRDFCTLYRRLKSIFSEQGWNLPPPWSSVETESRKLFGNASPNVIAERSVLIQECLRSIICSRFSSNPPGALIWFLSPQDAFPGIPPSNTHLSQSTYFSRGQGTENISPLGKTISLIVEIRAPKSMKQLLETQHYTCAGCHKHFDEGMTLLRDFVQSFGWGKPRLCEYTGQLFCSSCHTNEMAVLPARVLHHWDFTRYPVSQLAKSYLDSIHDQVMTWNMLEYRYR >KJB53179 pep chromosome:Graimondii2_0_v6:8:56920188:56926250:-1 gene:B456_008G296200 transcript:KJB53179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEEGTRENNLAQVASSDPLDLSHSRSDGASTASSPHYSSCGESQLDRYCSANSALGTPSSIATFNDCFGESEFGSARSFSGFALGDDFENFSLDGNLKVPPNRRIEFRKDRTEEGRSFLNVKSVEEGSSSCLDMDLREEDGNSSRYEHSEGEDSMSNYGTNEDEFSNNPYYRKKEDDENKNMIENPLGINSSVAFGANDWDDFEQEAGMGDLAAFMLDASVARKSFQGSDELQEEFNTFGAMAIGFPSSGESEFLEVKDIPVAEDTVEEAKCYSVNAVSSSRICDGEKYVKDIAVAKNQLHDADDDMGYLETCSVTDVFAMDPDPPVEKAPVEVGLNVVDSDRVRQHQSSEAREFIVVDESKLSERLEIDKYEAELDALDDCVHPVYYPQKTNAELYNNCKPDSPASTSESKVSTTFKSLPVPPDEFEEHPGVVEMKNVELNEFYDEVVHDMEEILLESVDSPGAMFSQGNRIIQPRLPLPLQDGGSNPSTSDADDAYLRSARILRIDGVEVVGAKQKKGNVSLSERLVGVKEYTVYKIRVWCGDDQWEVERRYRDFCTLYRRLKSIFSEQGWNLPPPWSSVETESRKLFGNASPNVIAERSVLIQECLRSIICSRFSSNPPGALIWFLSPQDAFPGIPPSNTHLSQSTYFSRGQGTENISPLGKTISLIVEIRAPKSMKQLLETQHYTCAGCHKHFDEGMTLLRDFVQSFGWGKPRLCEYTGQLFCSSCHTNEMAVLPARVLHHWDFTRYPVSQLAKSYLDSIHDQVPALNHVMGIRKKIGKMLPYVHCPFRMSINKGLGSRRYLLESNDFFALRDLIDLSKGAFAALPVMVETVSKKIQEHIIEQCLICCDVGVPCSARHSCIDPSSLIFPFQEGEIEKCISCGSVFHKHCFKAIANCPCGAVLRADEAMRCSNSQICGLSFGANGALDLLGKRSSSELLPGGFLSRLFSKTKQEEMEHKDNENTILMGSMPSNYL >KJB53178 pep chromosome:Graimondii2_0_v6:8:56921654:56926073:-1 gene:B456_008G296200 transcript:KJB53178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEEGTRENNLAQVASSDPLDLSHSRSDGASTASSPHYSSCGESQLDRYCSANSALGTPSSIATFNDCFGESEFGSARSFSGFALGDDFENFSLDGNLKVPPNRRIEFRKDRTEEGRSFLNVKSVEEGSSSCLDMDLREEDGNSSRYEHSEGEDSMSNYGTNEDEFSNNPYYRKKEDDENKNMIENPLGINSSVAFGANDWDDFEQEAGMGDLAAFMLDASVARKSFQGSDELQEEFNTFGAMAIGFPSSGESEFLEVKDIPVAEDTVEEAKCYSVNAVSSSRICDGEKYVKDIAVAKNQLHDADDDMGYLETCSVTDVFAMDPDPPVEKAPVEVGLNVVDSDRVRQHQSSEAREFIVVDESKLSERLEIDKYEAELDALDDCVHPVYYPQKTNAELYNNCKPDSPASTSESKVSTTFKSLPVPPDEFEEHPGVVEMKNVELNEFYDEVVHDMEEILLESVDSPGAMFSQGNRIIQPRLPLPLQDGGSNPSTSDADDAYLRSARILRIDGVEVVGAKQKKGNVSLSERLVGVKEYTVYKIRVWCGDDQWEVERRYRDFCTLYRRLKSIFSEQGWNLPPPWSSVETESRKLFGNASPNVIAERSVLIQECLRSIICSRFSSNPPGALIWFLSPQDAFPGIPPSNTHLSQSTYFSRGQGTENISPLGKTISLIVEIRAPKSMKQLLETQHYTCAGCHKHFDEGMTLLRDFVQSFGWGKPRLCEYTGQLFCSSCHTNEMAVLPARVLHHWDFTRYPVSQLAKSYLDSIHDQPMLCVSAVNPFLFSKVPALNHVMGIRKKIGKMLPYVHCPFRMSINKGLGSRRYLLESNDFFALRDLIDLSKGAFAAFSSHVDLTLK >KJB53177 pep chromosome:Graimondii2_0_v6:8:56921654:56926073:-1 gene:B456_008G296200 transcript:KJB53177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEEGTRENNLAQVASSDPLDLSHSRSDGASTASSPHYSSCGESQLDRYCSANSALGTPSSIATFNDCFGESEFGSARSFSGFALGDDFENFSLDGNLKVPPNRRIEFRKDRTEEGRSFLNVKSVEEGSSSCLDMDLREEDGNSSRYEHSEGEDSMSNYGTNEDEFSNNPYYRKKEDDENKNMIENPLGINSSVAFGANDWDDFEQEAGMGDLAAFMLDASVARKSFQGSDELQEEFNTFGAMAIGFPSSGESEFLEVKDIPVAEDTVEEAKCYSVNAVSSSRICDGEKYVKDIAVAKNQLHDADDDMGYLETCSVTDVFAMDPDPPVEKAPVEVGLNVVDSDRVRQHQSSEAREFIVVDESKLSERLEIDKYEAELDALDDCVHPVYYPQKTNAELYNNCKPDSPASTSESKVSTTFKSLPVPPDEFEEHPGVVEMKNVELNEFYDEVVHDMEEILLESVDSPGAMFSQGNRIIQPRLPLPLQDGGSNPSTSDADDAYLRSARILRIDGVEVVGAKQKKGNVSLSERLVGVKEYTVYKIRVWCGDDQWEVERRYRDFCTLYRRLKSIFSEQGWNLPPPWSSVETESRKLFGNASPNVIAERSVLIQECLRSIICSRFSSNPPGALIWFLSPQDAFPGIPPSNTHLSQSTYFSRGQGTENISPLGKTISLIVEIRAPKSMKQLLETQHYTCAGCHKHFDEGMTLLRDFVQSFGWGKPRLCEYTGQLFCSSCHTNEMAVLPARVLHHWDFTRYPVSQLAKSYLDSIHDQPMLCVSAVNPFLFSKVPALNHVMGIRKKIGKMLPYVHCPFRMSINKGLGSRRYLLESNDFFALRDLIDLSKGAFAAFSSHVDLTLK >KJB53174 pep chromosome:Graimondii2_0_v6:8:56920074:56926250:-1 gene:B456_008G296200 transcript:KJB53174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEEGTRENNLAQVASSDPLDLSHSRSDGASTASSPHYSSCGESQLDRYCSANSALGTPSSIATFNDCFGESEFGSARSFSGFALGDDFENFSLDGNLKVPPNRRIEFRKDRTEEGRSFLNVKSVEEGSSSCLDMDLREEDGNSSRYEHSEGEDSMSNYGTNEDEFSNNPYYRKKEDDENKNMIENPLGINSSVAFGANDWDDFEQEAGMGDLAAFMLDASVARKSFQGSDELQEEFNTFGAMAIGFPSSGESEFLEVKDIPVAEDTVEEAKCYSVNAVSSSRICDGEKYVKDIAVAKNQLHDADDDMGYLETCSVTDVFAMDPDPPVEKAPVEVGLNVVDSDRVRQHQSSEAREFIVVDESKLSERLEIDKYEAELDALDDCVHPVYYPQKTNAELYNNCKPDSPASTSESKVSTTFKSLPVPPDEFEEHPGVVEMKNVELNEFYDEVVHDMEEILLESVDSPGAMFSQGNRIIQPRLPLPLQDGGSNPSTSDADDAYLRSARILRIDGVEVVGAKQKKGNVSLSERLVGVKEYTVYKIRVWCGDDQWEVERRYRDFCTLYRRLKSIFSEQGWNLPPPWSSVETESRKLFGNASPNVIAERSVLIQECLRSIICSRFSSNPPGALIWFLSPQDAFPGIPPSNTHLSQSTYFSRGQGTENISPLGKTISLIVEIRAPKSMKQLLETQHYTCAGCHKHFDEGMTLLRDFVQSFGWGKPRLCEYTGQLFCSSCHTNEMAVLPARVLHHWDFTRYPVSQLAKSYLDSIHDQPMLCVSAVNPFLFSKVPALNHVMGIRKKIGKMLPYVHCPFRMSINKGLGSRRYLLESNDFFALRDLIDLSKGAFAALPVMVETVSKKIQEHIIEQCLICCDVGVPCSARHSCIDPSSLIFPFQEGEIEKCISCGSVFHKHCFKAIANCPCGAVLRADEAMRCSNSQICGLSFGANGALDLLGKRSSSELLPGGFLSRLFSKTKQEEMEHKDNENTILMGSMPSNYL >KJB53180 pep chromosome:Graimondii2_0_v6:8:56919998:56926250:-1 gene:B456_008G296200 transcript:KJB53180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEEGTRENNLAQVASSDPLDLSHSRSDGASTASSPHYSSCGESQLDRYCSANSALGTPSSIATFNDCFGESEFGSARSFSGFALGDDFENFSLDGNLKVPPNRRIEFRKDRTEEGRSFLNVKSVEEGSSSCLDMDLREEDGNSSRYEHSEGEDSMSNYGTNEDEFSNNPYYRKKEDDENKNMIENPLGINSSVAFGANDWDDFEQEAGMGDLAAFMLDASVARKSFQGSDELQEEFNTFGAMAIGFPSSGESEFLEVKDIPVAEDTVEEAKCYSVNAVSSSRICDGEKYVKDIAVAKNQLHDADDDMGYLETCSVTDVFAMDPDPPVEKAPVEVGLNVVDSDRVRQHQSSEAREFIVVDESKLSERLEIDKYEAELDALDDCVHPVYYPQKTNAELYNNCKPDSPASTSESKVSTTFKSLPVPPDEFEEHPGVVEMKNVELNEFYDEVVHDMEEILLESVDSPGAMFSQGNRIIQPRLPLPLQDGGSNPSTSDADDAYLRSARILRIDGVEVVGAKQKKGNVSLSERLVGVKEYTVYKIRVWCGDDQWEVERRYRDFCTLYRRLKSIFSEQGWNLPPPWSSVETESRKLFGNASPNVIAERSVLIQECLRSIICSRFSSNPPGALIWFLSPQDAFPGIPPSNTHLSQSTYFSRGQGTENISPLGKTISLIVEIRAPKSMKQLLETQHYTCAGCHKHFDEGMTLLRDFVQSFGWGKPRLCEYTGQLFCSSCHTNEMAVLPARVLHHWDFTRYPVSQLAKSYLDSIHDQPMLCVSAVNPFLFSKVPALNHVMGIRKKIGKMLPYVHCPFRMSINKGLGSRRYLLESNDFFALRDLIDLSKGAFAALPVMVETVSKKIQEHIIEQCLICCDVGVPCSARHSCIDPSSLIFPFQEGEIEKCISCGSVFHKHCFKAIANCPCGAVLRADEAMRCSNSQICGLSFGANGALDLLGKRSSSELLPGGFLSRLFSKTKQEEMEHKDNENTILMGSMPSNYL >KJB53176 pep chromosome:Graimondii2_0_v6:8:56920489:56926250:-1 gene:B456_008G296200 transcript:KJB53176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEEGTRENNLAQVASSDPLDLSHSRSDGASTASSPHYSSCGESQLDRYCSANSALGTPSSIATFNDCFGESEFGSARSFSGFALGDDFENFSLDGNLKVPPNRRIEFRKDRTEEGRSFLNVKSVEEGSSSCLDMDLREEDGNSSRYEHSEGEDSMSNYGTNEDEFSNNPYYRKKEDDENKNMIENPLGINSSVAFGANDWDDFEQEAGMGDLAAFMLDASVARKSFQGSDELQEEFNTFGAMAIGFPSSGESEFLEVKDIPVAEDTVEEAKCYSVNAVSSSRICDGEKYVKDIAVAKNQLHDADDDMGYLETCSVTDVFAMDPDPPVEKAPVEVGLNVVDSDRVRQHQSSEAREFIVVDESKLSERLEIDKYEAELDALDDCVHPVYYPQKTNAELYNNCKPDSPASTSESKVSTTFKSLPVPPDEFEEHPGVVEMKNVELNEFYDEVVHDMEEILLESVDSPGAMFSQGNRIIQPRLPLPLQDGGSNPSTSDADDAYLRSARILRIDGVEVVGAKQKKGNVSLSERLVGVKEYTVYKIRVWCGDDQWEVERRYRDFCTLYRRLKSIFSEQGWNLPPPWSSVETESRKLFGNASPNVIAERSVLIQECLRSIICSRFSSNPPGALIWFLSPQDAFPGIPPSNTHLSQSTYFSRGQGTENISPLGKTISLIVEIRAPKSMKQLLETQHYTCAGCHKHFDEGMTLLRDFVQSFGWGKPRLCEYTGQLFCSSCHTNEMAVLPARVLHHWDFTRYPVSQLAKSYLDSIHDQPMLCVSAVNPFLFSKVPALNHVMGIRKKIGKMLPYVHCPFRMSINKGLGSRRYLLESNDFFALRDLIDLSKGAFAALPVMVETVSKKIQEHIIEQCLICCDVGVPCSARHSCIDPSSLIFPFQEGEIEKCISCGSVFHKHCFKAIANCPCGAVLRADEAMRCSNSQICGLSFGANGALDLLGKRSSSELLPGGFLSRLFSKTKQEEMEHKDNENTILMGSMPSNYL >KJB53175 pep chromosome:Graimondii2_0_v6:8:56920840:56926073:-1 gene:B456_008G296200 transcript:KJB53175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEEGTRENNLAQVASSDPLDLSHSRSDGASTASSPHYSSCGESQLDRYCSANSALGTPSSIATFNDCFGESEFGSARSFSGFALGDDFENFSLDGNLKVPPNRRIEFRKDRTEEGRSFLNVKSVEEGSSSCLDMDLREEDGNSSRYEHSEGEDSMSNYGTNEDEFSNNPYYRKKEDDENKNMIENPLGINSSVAFGANDWDDFEQEAGMGDLAAFMLDASVARKSFQGSDELQEEFNTFGAMAIGFPSSGESEFLEVKDIPVAEDTVEEAKCYSVNAVSSSRICDGEKYVKDIAVAKNQLHDADDDMGYLETCSVTDVFAMDPDPPVEKAPVEVGLNVVDSDRVRQHQSSEAREFIVVDESKLSERLEIDKYEAELDALDDCVHPVYYPQKTNAELYNNCKPDSPASTSESKVSTTFKSLPVPPDEFEEHPGVVEMKNVELNEFYDEVVHDMEEILLESVDSPGAMFSQGNRIIQPRLPLPLQDGGSNPSTSDADDAYLRSARILRIDGVEVVGAKQKKGNVSLSERLVGVKEYTVYKIRVWCGDDQWEVERRYRDFCTLYRRLKSIFSEQGWNLPPPWSSVETESRKLFGNASPNVIAERSVLIQECLRSIICSRFSSNPPGALIWFLSPQDAFPGIPPSNTHLSQSTYFSRGQGTENISPLGKTISLIVEIRAPKSMKQLLETQHYTCAGCHKHFDEGMTLLRDFVQSFGWGKPRLCEYTGQLFCSSCHTNEMAVLPARVLHHWDFTRYPVSQLAKSYLDSIHDQPMLCVSAVNPFLFSKVPALNHVMGIRKKIGKMLPYVHCPFRMSINKGLGSRRYLLESNDFFALRDLIDLSKGAFAALPVMVETVSKKIQEHIIEQCLICCDVGVPCSARHSCIDPSSLIFPFQEGEIEKCISCGSVFHKHCFKAIANCPCGAVLRADEAMRCSNSQICGLSFGANGALDLLGKRSSSELLPGGFLSRLFSKTKQEEMEHKDNENTILMGSMPSNYL >KJB46957 pep chromosome:Graimondii2_0_v6:8:469360:476794:-1 gene:B456_008G002900 transcript:KJB46957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVMHSDSKGMYSWWWNSHISPKNSKWLQENLTDMDTKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRAMAERYDHATGVLRQAHRTMAEAFPNQVPLVFGDESPGGSATEVGPCSPEMPPHLRALSEPDELQKDAVGLSSYAIKRNGEFSEESESAMSRKGHKQFNDMFGSDEATNHVKFAEGRARKSLNFHDTEEKDQSLQNNGGPDLIVQVPSESERVSKAEMEILNLKYALAKLEAEKEAGLLEYRQSLERLSNLEQEVSRAQEDSLGLNERASQAEAEVLTLKDSLTKLEAEREANLVRYQQCLEKINNLENSISQAQKNAGELNERASKAEIEAQALKQDLTKVEAEKKDALAQYKQCLETISNLEQTLLNAEESARRMTERAEKAETELETLKLVVVELTKDKEAAVLQYQQCLETISSLANKLDHAQEEAQRLNHEKDEGAAKLKGAEERCSMLERANQNLHTEFESLVQKMGDQSQEITEKQKEMGRLWTSIQEERLRFMEAETAFHTLQRLHSQSQEELRSLATELQNRAQNVQDTETRNQGLEAELQRVKDENKGLNELNLSSAMSIENLQVAILRLRETIAKLEAEVELRLDQRNALQQEIYCLKEELNEFNKRHQDMTGQLKSVGLTPENFASSVKELQDENRKLKDVCVRDKDEKLALLEKLKIMEKIIEKNTLLENSLSDLNLELEGVRGRVKTLEESCNSLLGEKSTLAAENNMLISQLQVATENLEKLLKKNNFLENSLFDANSKLEGLRVKLSNLENSCLLLGDEKSGLITQTEGLIAQLDVSQKRFEDLEKRYCGLEEKYVSLEKERELTFCEVEELQKSLEAEKQEHASFAQSQVTALEAQIHFLQVESLCRKKEYEEELDKSVTAQVEIFILQKCAQDLEEKNLSLSLECRKLSEASMLSEKLISGLELGNSEKQMDIKSLFDQITILRMGLYEMLRTLEIDAIHGHDDTIEQDQSVLNCVFGRLREKQHSFLKSLDENQQFFIENSVLIAMLGQLKLEAEDLAKEKNSLHQELKVWSEQFSELQRRAEKLVDMNEELKSKVIEGDQREEVLQTEIGSVRRQLLVLQREHQSSLEDNRKVVDERKSLMKEVLDLGKEKHNLEEENYAVFAEAISQSNITLIFKDIIADNFEEIKHLTDNLDKLKCANDDLDGKLRIMERKFEDMQMENSHLKDSMRNLENELVSVRSDGDRLNDEVSKGKDLLGQKEIVLLEAERMLSASQEERAQLHEVIEELKTKYEEVKLIGEDQKKQILKLSGEYDHQSKETESIRQANQKLEVELSRLKEEVEERKNREDSLSVELQKGRSEVERWECQAAALMGELQMSAVRAALLEETTHEFSKECEALESRSISKAMEVEELEKSARILERENGELKAQLAAYIPAVVSLMDSVTSLGSRTCLSPKFPTDQNDEDADLTTELHAENCQQTGEDRIASVPDGFPDLQGIHRRIKSIEKAVLEMQKLASMENLNLNSKLETAMRQIEELRFRSNSRRERVRPKRHVNARQDGGKLGHGLGSNVKIQRPTPEISEEDNEMMTKDIMLDQTSECSSYGLSRRETADLDNQMLELWETTDQDVNIALKVGRAQKVVIAPTGNQRIGAARARKGKSLSTESLVKELGVDRESSKRFTEPYQEGSKRKIIERLDSDAQKLANLQITVQDLKRKVDITEAGKMVIGIEYGTVKQQLEEAEEAIMQLFDVNRKLTTHVEDRSRSLDGKPALESDESGSFRRRRVSEQVRRGSEKIVRLQLEVQKIQFMLLKLDEKESKGQTRIMERKTRVVLRDYLYGGIRKNHKRKKATFCACAKPPTKGD >KJB46959 pep chromosome:Graimondii2_0_v6:8:471192:476794:-1 gene:B456_008G002900 transcript:KJB46959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVMHSDSKGMYSWWWNSHISPKNSKWLQENLTDMDTKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRAMAERYDHATGVLRQAHRTMAEAFPNQVPLVFGDESPGGSATEVGPCSPEMPPHLRALSEPDELQKDAVGLSSYAIKRNGEFSEESESAMSRKGHKQFNDMFGSDEATNHVKFAEGRARKSLNFHDTEEKDQSLQNNGGPDLIVQVPSESERVSKAEMEILNLKYALAKLEAEKEAGLLEYRQSLERLSNLEQEVSRAQEDSLGLNERASQAEAEVLTLKDSLTKLEAEREANLVRYQQCLEKINNLENSISQAQKNAGELNERASKAEIEAQALKQDLTKVEAEKKDALAQYKQCLETISNLEQTLLNAEESARRMTERAEKAETELETLKLVVVELTKDKEAAVLQYQQCLETISSLANKLDHAQEEAQRLNHEKDEGAAKLKGAEERCSMLERANQNLHTEFESLVQKMGDQSQEITEKQKEMGRLWTSIQEERLRFMEAETAFHTLQRLHSQSQEELRSLATELQNRAQNVQDTETRNQGLEAELQRVKDENKGLNELNLSSAMSIENLQVAILRLRETIAKLEAEVELRLDQRNALQQEIYCLKEELNEFNKRHQDMTGQLKSVGLTPENFASSVKELQDENRKLKDVCVRDKDEKLALLEKLKIMEKIIEKNTLLENSLSDLNLELEGVRGRVKTLEESCNSLLGEKSTLAAENNMLISQLQVATENLEKLLKKNNFLENSLFDANSKLEGLRVKLSNLENSCLLLGDEKSGLITQTEGLIAQLDVSQKRFEDLEKRYCGLEEKYVSLEKERELTFCEVEELQKSLEAEKQEHASFAQSQVTALEAQIHFLQVESLCRKKEYEEELDKSVTAQVEIFILQKCAQDLEEKNLSLSLECRKLSEASMLSEKLISGLELGNSEKQMDIKSLFDQITILRMGLYEMLRTLEIDAIHGHDDTIEQDQSVLNCVFGRLREKQHSFLKSLDENQQFFIENSVLIAMLGQLKLEAEDLAKEKNSLHQELKVWSEQFSELQRRAEKLVDMNEELKSKVIEGDQREEVLQTEIGSVRRQLLVLQREHQSSLEDNRKVVDERKSLMKEVLDLGKEKHNLEEENYAVFAEAISQSNITLIFKDIIADNFEEIKHLTDNLDKLKCANDDLDGKLRIMERKFEDMQMENSHLKDSMRNLENELVSVRSDGDRLNDEVSKGKDLLGQKEIVLLEAERMLSASQEERAQLHEVIEELKTKYEEVKLIGEDQKKQILKLSGEYDHQSKETESIRQANQKLEVELSRLKEEVEERKNREDSLSVELQKGRSEVERWECQAAALMGELQMSAVRAALLEETTHEFSKECEALESRSISKAMEVEELEKSARILERENGELKAQLAAYIPAVVSLMDSVTSLGSRTCLSPKFPTDQNDEVKVMSICLLMSGLMSAF >KJB46958 pep chromosome:Graimondii2_0_v6:8:469360:476776:-1 gene:B456_008G002900 transcript:KJB46958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVMHSDSKGMYSWWWNSHISPKNSKWLQENLTDMDTKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRAMAERYDHATGVLRQAHRTMAEAFPNQVPLVFGDESPGGSATEVGPCSPEMPPHLRALSEPDELQKDAVGLSSYAIKRNGEFSEESESAMSRKGHKQFNDMFGSDEATNHVKFAEGRARKSLNFHDTEEKDQSLQNNGGPDLIVQVPSESERVSKAEMEILNLKYALAKLEAEKEAGLLEYRQSLERLSNLEQEVSRAQEDSLGLNERASQAEAEVLTLKDSLTKLEAEREANLVRYQQCLEKINNLENSISQAQKNAGELNERASKAEIEAQALKQDLTKVEAEKKDALAQYKQCLETISNLEQTLLNAEESARRMTERAEKAETELETLKLVVVELTKDKEAAVLQYQQCLETISSLANKLDHAQEEAQRLNHEKDEGAAKLKGAEERCSMLERANQNLHTEFESLVQKMGDQSQEITEKQKEMGRLWTSIQEERLRFMEAETAFHTLQRLHSQSQEELRSLATELQNRAQNVQDTETRNQGLEAELQRVKDENKGLNELNLSSAMSIENLQVAILRLRETIAKLEAEVELRLDQRNALQQEIYCLKEELNEFNKRHQDMTGQLKSVGLTPENFASSVKELQDENRKLKDVCVRDKDEKLALLEKLKIMEKIIEKNTLLENSLSDLNLELEGVRGRVKTLEESCNSLLGEKSTLAAENNMLISQLQVATENLEKLLKKNNFLENSLFDANSKLEGLRVKLSNLENSCLLLGDEKSGLITQTEGLIAQLDVSQKRFEDLEKRYCGLEEKYVSLEKERELTFCEVEELQKSLEAEKQEHASFAQSQVTALEAQIHFLQVESLCRKKEYEEELDKSVTAQVEIFILQKCAQDLEEKNLSLSLECRKLSEASMLSEKLISGLELGNSEKQMDIKSLFDQITILRMGLYEMLRTLEIDAIHGHDDTIEQDQSVLNCVFGRLREKQHSFLKSLDENQQFFIENSVLIAMLGQLKLEAEDLAKEKNSLHQELKVWSEQFSELQRRAEKLVDMNEELKSKVIEGDQREEVLQTEIGSVRRQLLVLQREHQSSLEDNRKVVDERKSLMKEVLDLGKEKHNLEEENYAVFAEAISQSNITLIFKDIIADNFEEIKHLTDNLDKLKCANDDLDGKLRIMERKFEDMQMENSHLKDSMRNLENELVSVRSDGDRLNDEVSKGKDLLGQKEIVLLEAERMLSASQEERAQLHEVIEELKTKYEEVKLIGEDQKKQILKLSGEYDHQSKETESIRQANQKLEVELSRLKEEVEERKNREDSLSVELQKGRSEVERWECQAAALMGELQMSAVRAALLEETTHEFSKECEALESRSISKAMEVEELEKSARILERENGELKAQLAAYIPAVVSLMDSVTSLGSRTCLSPKFPTDQNDEVKDADLTTELHAENCQQTGEDRIASVPDGFPDLQGIHRRIKSIEKAVLEMQKLASMENLNLNSKLETAMRQIEELRFRSNSRRERVRPKRHVNARQDGGKLGHGLGSNVKIQRPTPEISEEDNEMMTKDIMLDQTSECSSYGLSRRETADLDNQMLELWETTDQDVNIALKVGRAQKVVIAPTGNQRIGAARARKGKSLSTESLVKELGVDRESSKRFTEPYQEGSKRKIIERLDSDAQKLANLQITVQDLKRKVDITEAGKMVIGIEYGTVKQQLEEAEEAIMQLFDVNRKLTTHVEDRSRSLDGKPALESDESGSFRRRRVSEQVRRGSEKIVRLQLEVQKIQFMLLKLDEKESKGQTRIMERKTRVVLRDYLYGGIRKNHKRKKATFCACAKPPTKGD >KJB46956 pep chromosome:Graimondii2_0_v6:8:469360:476884:-1 gene:B456_008G002900 transcript:KJB46956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVMHSDSKGMYSWWWNSHISPKNSKWLQENLTDMDTKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRAMAERYDHATGVLRQAHRTMAEAFPNQVPLVFGDESPGGSATEVGPCSPEMPPHLRALSEPDELQKDAVGLSSYAIKRNGEFSEESESAMSRKGHKQFNDMFGSDEATNHVKFAEGRARKSLNFHDTEEKDQSLQNNGGPDLIVQVPSESERVSKAEMEILNLKYALAKLEAEKEAGLLEYRQSLERLSNLEQEVSRAQEDSLGLNERASQAEAEVLTLKDSLTKLEAEREANLVRYQQCLEKINNLENSISQAQKNAGELNERASKAEIEAQALKQDLTKVEAEKKDALAQYKQCLETISNLEQTLLNAEESARRMTERAEKAETELETLKLVVVELTKDKEAAVLQYQQCLETISSLANKLDHAQEEAQRLNHEKDEGAAKLKGAEERCSMLERANQNLHTEFESLVQKMGDQSQEITEKQKEMGRLWTSIQEERLRFMEAETAFHTLQRLHSQSQEELRSLATELQNRAQNVQDTETRNQGLEAELQRVKDENKGLNELNLSSAMSIENLQVAILRLRETIAKLEAEVELRLDQRNALQQEIYCLKEELNEFNKRHQDMTGQLKSVGLTPENFASSVKELQDENRKLKDVCVRDKDEKLALLEKLKIMEKIIEKNTLLENSLSDLNLELEGVRGRVKTLEESCNSLLGEKSTLAAENNMLISQLQVATENLEKLLKKNNFLENSLFDANSKLEGLRVKLSNLENSCLLLGDEKSGLITQTEGLIAQLDVSQKRFEDLEKRYCGLEEKYVSLEKERELTFCEVEELQKSLEAEKQEHASFAQSQVTALEAQIHFLQVESLCRKKEYEEELDKSVTAQVEIFILQKCAQDLEEKNLSLSLECRKLSEASMLSEKLISGLELGNSEKQMDIKSLFDQITILRMGLYEMLRTLEIDAIHGHDDTIEQDQSVLNCVFGRLREKQHSFLKSLDENQQFFIENSVLIAMLGQLKLEAEDLAKEKNSLHQELKVWSEQFSELQRRAEKLVDMNEELKSKVIEGDQREEVLQTEIGSVRRQLLVLQREHQSSLEDNRKVVDERKSLMKEVLDLGKEKHNLEEENYAVFAEAISQSNITLIFKDIIADNFEEIKHLTDNLDKLKCANDDLDGKLRIMERKFEDMQMENSHLKDSMRNLENELVSVRSDGDRLNDEVSKGKDLLGQKEIVLLEAERMLSASQEERAQLHEVIEELKTKYEEVKLIGEDQKKQILKLSGEYDHQSKETESIRQANQKLEVELSRLKEEVEERKNREDSLSVELQKGRSEVERWECQAAALMGELQMSAVRAALLEETTHEFSKECEALESRSISKAMEVEELEKSARILERENGELKAQLAAYIPAVVSLMDSVTSLGSRTCLSPKFPTDQNDEVKDADLTTELHAENCQQTGEDRIASVPDGFPDLQGIHRRIKSIEKAVLEMQKLASMENLNLNSKLETAMRQIEELRFRSNSRRERVRPKRHVNARQDGGKLGHGLGSNVKIQRPTPEISEEDNEMMTKDIMLDQTSECSSYGLSRRETADLDNQMLELWETTDQDVNIALKVGRAQKVVIAPTGNQRIGAARARKGKSLSTESLVKELGVDRESSKRFTEPYQEGSKRKIIERLDSDAQKLANLQITVQDLKRKVDITEAGKMVIGIEYGTVKQQLEEAEEAIMQLFDVNRKLTTHVEDRSRSLDGKPALESDESGSFRRRRVSEQVRRGSEKIVRLQLEVQKIQFMLLKLDEKESKGQTRIMERKTRVVLRDYLYGGIRKNHKRKKATFCACAKPPTKGD >KJB47942 pep chromosome:Graimondii2_0_v6:8:6607985:6609323:1 gene:B456_008G047800 transcript:KJB47942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVALVFKCSLLLMTCCFLVQDKADAAIPVTTLSPPEGNTTFLDGTTWCVALAGVSQIDLQNALDWACGLGMSDCGAIQEGGKCYEPDTLLSHASYAFNNYYQQNGNSDIACNFGGTATLTKNNPSYGKCLYAAPGSDRSATPPLSKYKSSFLWWEIVGILLLLYKGS >KJB47941 pep chromosome:Graimondii2_0_v6:8:6607951:6609351:1 gene:B456_008G047800 transcript:KJB47941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVALVFKCSLLLMTCCFLVPTMETSVQDKADAAIPVTTLSPPEGNTTFLDGTTWCVALAGVSQIDLQNALDWACGLGMSDCGAIQEGGKCYEPDTLLSHASYAFNNYYQQNGNSDIACNFGGTATLTKNNPSYGKCLYAAPGSDRSATPPLSKYKSSFLWWEIVGILLLLYKGS >KJB48394 pep chromosome:Graimondii2_0_v6:8:10928205:10929830:-1 gene:B456_008G067100 transcript:KJB48394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSKILIIGGAGYIGNFMVEASTKLGHPTFVLVRERTISDPEKSKLIESFKGSGVTILYGDIYDHESLLRAIKQVDIVISTLGTQQLADQVRIIEAIKEAGNVKRFLPSEFGMDADRIHAVEPAASIFRIKAKIRRAIEAEGIPYTYISSNAFAGHFLPNLMQENATVPPRDKVVILGDGNPKGIFVQEDDIATYTIKAAEDPRTLNKILYIRPPSNVLSFNEVVSLWERKIGKTLEKSYVPEEQLLNIIQGLQ >KJB48395 pep chromosome:Graimondii2_0_v6:8:10927728:10930013:-1 gene:B456_008G067100 transcript:KJB48395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADRIHAVEPAASIFRIKAKIRRAIEAEGIPYTYISSNAFAGHFLPNLMQENATVPPRDKVVILGDGNPKGIFVQEDDIATYTIKAAEDPRTLNKILYIRPPSNVLSFNEVVSLWERKIGKTLEKSYVPEEQLLNIIQESPVPWNFFLSFAHPMFVKGEASNFGIEAWFGVEASELYPELKYTSVDEYLHQFV >KJB48396 pep chromosome:Graimondii2_0_v6:8:10928205:10929281:-1 gene:B456_008G067100 transcript:KJB48396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADRIHAVEPAASIFRIKAKIRRAIEAEGIPYTYISSNAFAGHFLPNLMQENATVPPRDKVVILGDGNPKGIFVQEDDIATYTIKAAEDPRTLNKILYIRPPSNVLSFNEVVSLWERKIGKTLEKSYVPEEQLLNIIQGLQ >KJB48397 pep chromosome:Graimondii2_0_v6:8:10927728:10930057:-1 gene:B456_008G067100 transcript:KJB48397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSKILIIGGAGYIGNFMVEASTKLGHPTFVLVRERTISDPEKSKLIESFKGSGVTILYGDIYDHESLLRAIKQVDIVISTLGTQQLADQVRIIEAIKEAGNVKRFLPSEFGMDADRIHAVEPAASIFRIKAKIRRAIEAEGIPYTYISSNAFAGHFLPNLMQENATVPPRDKVVILGDGNPKGIFVQEDDIATYTIKAAEDPRTLNKILYIRPPSNVLSFNEVVSLWERKIGKTLEKSYVPEEQLLNIIQESPVPWNFFLSFAHPMFVKGEASNFGIEAWFGVEASELYPELKYTSVDEYLHQFV >KJB50630 pep chromosome:Graimondii2_0_v6:8:45683230:45685232:-1 gene:B456_008G179800 transcript:KJB50630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKMRPPSPNRKKEVRLKRGPWTAEEDKLLTAYIQKHGYGSWGSLPHKAGLERCGKSCRLRWINYLRPDIKRGKFSLEEEQTIIQLHAFLGNRWSAIAAHLPKRTDNEIKNHWNTHLKKRLIKMGIDPMTHKPSTSPSPKNGSNLSHMTQWESARLQAEARLVRESKQVVPNLTTRPTRRSQLTRSSPRCLDVLKAWQGIVAGMFVFSTQDPRSLTTSTLRFPGWVEAEEWRGQGKKGSSDADDAWFEEDSVILHSLPIANIMEGLSDAFILNSWMGVDKSTDENTVMENGNCWDSVLNFLNSSPCGSPVLG >KJB48336 pep chromosome:Graimondii2_0_v6:8:10300633:10303440:1 gene:B456_008G064100 transcript:KJB48336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILCDACESAPAIVFCAADEAALCRACDEKVHMCNKLASRHVRVGLANPSDVPRCDICENAPAFFYCEIDGSSLCLQCDMVVHVGGKRTHGRNLLLRQRVEFPGDKPAHIDETRRGQNHPRKTPVGEKQQNHKVSPVHGADAAAAAVGHLETDTKMIDLNMKPHRIHGQASNNQEDGPNNQTLMQVMYGKHYRCGIPISAYRWAKKLIRIRRSRWSTDDDKW >KJB48337 pep chromosome:Graimondii2_0_v6:8:10300809:10302926:1 gene:B456_008G064100 transcript:KJB48337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILCDACESAPAIVFCAADEAALCRACDEKVHMCNKLASRHVRVGLANPSDVPRCDICENAPAFFYCEIDGSSLCLQCDMVVHVGGKRTHGRNLLLRQRVEFPGDKPAHIDETRRGQNHPRKTPVGEKQQNHKVSPVHGADAAAAAVGHLETDTKMIDLNMKPHRIHGQASNNQVRTTL >KJB48335 pep chromosome:Graimondii2_0_v6:8:10300809:10302926:1 gene:B456_008G064100 transcript:KJB48335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILCDACESAPAIVFCAADEAALCRACDEKVHMCNKLASRHVRVGLANPSDVPRCDICENAPAFFYCEIDGSSLCLQCDMVVHVGGKRTHGRNLLLRQRVEFPGDKPAHIDETRRGQNHPRKTPVGEKQQNHKVSPVHGADAAAAAVGHLETDTKMIDLNMKPHRIHGQASNNQG >KJB48338 pep chromosome:Graimondii2_0_v6:8:10301043:10302092:1 gene:B456_008G064100 transcript:KJB48338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILCDACESAPAIVFCAADEAALCRACDEKVHMCNKLASRHVRVGLANPSDVPRCDICENAPAFFYCEIDGSSLCLQCDMVVHVGGKRTHGRNLLLRQRVEVCYLISVKILGHKFPPGVLMCHWNLISRPLYSFQGINLLI >KJB52345 pep chromosome:Graimondii2_0_v6:8:53892260:53894489:-1 gene:B456_008G257000 transcript:KJB52345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTAVAKPCSFGDKGTSDVVLRLRNSEGRPEWFYSHSSVLVSKSGFFADRLSNPGSGSCIEIHCSDSTYDHHVNLLRLLYLPTNSLLDSLDSVQSALGVLPLAIAFRCENITNCCIQYLEAVPWEDKEEEQIVKEVLKLGPVAMPILARIQPVDLSATKSVFISAVRFATSIGGSCPPFGDELKTSAQEQVEFMLGGDEDTPLVTADDEVKSVVKSGLSQVCSLFENELSSLLLVPDITAETAETRILQCLSDVEWMCNILPKMDLMKDFVCSWGEMSRKILEIVEDKKLDNAMWVLKVKLIEVTGKVLEAVGYGNVILPAPCRVQLLKTWLPYIRKIKPLLDAKADEDTDFPYKMDEDLCQSIEGAIVSLVLALPSNDQADILSDWIKTEQLKYPDLSEAFEVWCYRTKSAKRRLMEGLDRVGNTTISL >KJB52420 pep chromosome:Graimondii2_0_v6:8:54197068:54201354:-1 gene:B456_008G261200 transcript:KJB52420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FNNFFFSGCGLVWRTMSERSKAAMNVFSIPTPSSRLSPLARPFTITSPFNRHESFDPLLDSTSGLDQPFPYLNLGGQRQQGYCAYHSDSTAITATPFVNDLDFEPNSCFINNPFVDPLVQTSFTPSSSSFSNVDPESGVLGTATNHHGLQGNLLQQGLISSSRPQHTQSQLSYSVPLLSTSHCDSTIINNERCFPNLASCAAETLVSCAPKHFAYSAQTFKPSSASYNPPIVNPVPLENVAYGGIDALSKTDSYFGYVVPGMIGSDMVQSPLDKVACQDLLLRTIVEPACNETKSPSIMAKSKLQIACPNVHEDLALEQHGAKAGIADDKCSSNSDDSDVDSPCWPGTQAYKSLFSCSVPVNSEDSKGQSPFRVSVSPKLEHSKNEKVARNSLNPLAPVFIPANSKQKADYHQKDCHGDNSLASQNIGALAAISSRENELLGSARAGTCPSERIDDIGFHFSFDAYDSRLEYGSPCCFQPPGKESVISESQLENVTGCMEGIANATYNALDSVADMAQAGQSSSISFPTTEISLTSHSIGDGVFSDLTQRFQEPPKSTPPKLDVNLMINTIQFLSELLLQNYSFALGSMSEHEHDKILNIINNLYGVIRHWAGERGVRPESSHLSTLYGKRQAADHREIQVIKEHEIPPEALFYRKLWLEAKEASNLMKYRAHGSHTKPELEKC >KJB52421 pep chromosome:Graimondii2_0_v6:8:54197068:54201374:-1 gene:B456_008G261200 transcript:KJB52421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKKKKTASIISFSGFCVCVFLSGCGLVWRTMSERSKAAMNVFSIPTPSSRLSPLARPFTITSPFNRHESFDPLLDSTSGLDQPFPYLNLGGQRQQGYCAYHSDSTAITATPFVNDLDFEPNSCFINNPFVDPLVQTSFTPSSSSFSNVDPESGVLGTATNHHGLQGNLLQQGTTIEVSKLVSETSSVLHERDDRIRPEYKDKTLTESSVFRMANSKVNLSNICLTKSCSIASGLISSSRPQHTQSQLSYSVPLLSTSHCDSTIINNERCFPNLASCAAETLVSCAPKHFAYSAQTFKPSSASYNPPIVNPVPLENVAYGGIDALSKTDSYFGYVVPGMIGSDMVQSPLDKVACQDLLLRTIVEPACNETKSPSIMAKSKLQIACPNVHEDLALEQHGAKAGIADDKCSSNSDDSDVDSPCWPGTQAYKSLFSCSVPVNSEDSKGQSPFRVSVSPKLEHSKNEKVARNSLNPLAPVFIPANSKQKADYHQKDCHGDNSLASQNIGALAAISSRENELLGSARAGTCPSERIDDIGFHFSFDAYDSRLEYGSPCCFQPPGKESVISESQLENVTGCMEGIANATYNALDSVADMAQAGQSSSISFPTTEISLTSHSIGDGVFSDLTQRFQEPPKSTPPKLDVNLMINTIQFLSELLLQNYSFALGSMSEHEHDKILNIINNLYGVIRHWAGERGVRPESSHLSTLYGKRQAADHREIQVIKEHEIPPEALFYRKLWLEAKEASNLMKYRAHGSHTKPELEKC >KJB52423 pep chromosome:Graimondii2_0_v6:8:54197912:54201353:-1 gene:B456_008G261200 transcript:KJB52423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIISFSGFCVCVFLSGCGLVWRTMSERSKAAMNVFSIPTPSSRLSPLARPFTITSPFNRHESFDPLLDSTSGLDQPFPYLNLGGQRQQGYCAYHSDSTAITATPFVNDLDFEPNSCFINNPFVDPLVQTSFTPSSSSFSNVDPESGVLGTATNHHGLQGNLLQQGTTIEVSKLVSETSSVLHERDDRIRPEYKDKTLTESSVFRMANSKVNLSNICLTKSCSIASGLISSSRPQHTQSQLSYSVPLLSTSHCDSTIINNERCFPNLASCAAETLVSCAPKHFAYSAQTFKPSSASYNPPIVNPVPLENVAYGGIDALSKTDSYFGYVVPGMIGSDMVQSPLDKVACQDLLLRTIVEPACNETKSPSIMAKSKLQIACPNVHEDLALEQHGAKAGIADDKCSSNSDDSDVDSPCWPGTQAYKSLFSCSVPVNSEDSKGQSPFRVSVSPKLEHSKNEKVARNSLNPLAPVFIPANSKQKADYHQKDCHGDNSLASQNIGALAAISSRENELLGSARAGTCPSERIDDIGFHFSFDAYDSRLEYGSPCCFQPPGKESVISESQLENVTGCMEGIANATYNALDSVADMAQAGQSSSISFPTTEISLTSHSIGDGVFSDLTQRFQEPPKSTPPKLDVNLMINTIQFLSELLLQNYSFALGSMSEHEHDKILNIINNLYGVIRHWAGERGVRPESSHLSTLYGKRQAADHREVRSRLLRSMKFHQKPYFIGNCGLRLKKLLT >KJB52419 pep chromosome:Graimondii2_0_v6:8:54197034:54201471:-1 gene:B456_008G261200 transcript:KJB52419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERSKAAMNVFSIPTPSSRLSPLARPFTITSPFNRHESFDPLLDSTSGLDQPFPYLNLGGQRQQGYCAYHSDSTAITATPFVNDLDFEPNSCFINNPFVDPLVQTSFTPSSSSFSNVDPESGVLGTATNHHGLQGNLLQQGTTIEVSKLVSETSSVLHERDDRIRPEYKDKTLTESSVFRMANSKVNLSNICLTKSCSIASGLISSSRPQHTQSQLSYSVPLLSTSHCDSTIINNERCFPNLASCAAETLVSCAPKHFAYSAQTFKPSSASYNPPIVNPVPLENVAYGGIDALSKTDSYFGYVVPGMIGSDMVQSPLDKVACQDLLLRTIVEPACNETKSPSIMAKSKLQIACPNVHEDLALEQHGAKAGIADDKCSSNSDDSDVDSPCWPGTQAYKSLFSCSVPVNSEDSKGQSPFRVSVSPKLEHSKNEKVARNSLNPLAPVFIPANSKQKADYHQKDCHGDNSLASQNIGALAAISSRENELLGSARAGTCPSERIDDIGFHFSFDAYDSRLEYGSPCCFQPPGKESVISESQLENVTGCMEGIANATYNALDSVADMAQAGQSSSISFPTTEISLTSHSIGDGVFSDLTQRFQEPPKSTPPKLDVNLMINTIQFLSELLLQNYSFALGSMSEHEHDKILNIINNLYGVIRHWAGERGVRPESSHLSTLYGKRQAADHREVIKEHEIPPEALFYRKLWLEAKEASNLMKYRAHGSHTKPELEKC >KJB52422 pep chromosome:Graimondii2_0_v6:8:54198550:54201353:-1 gene:B456_008G261200 transcript:KJB52422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIISFSGFCVCVFLSGCGLVWRTMSERSKAAMNVFSIPTPSSRLSPLARPFTITSPFNRHESFDPLLDSTSGLDQPFPYLNLGGQRQQGYCAYHSDSTAITATPFVNDLDFEPNSCFINNPFVDPLVQTSFTPSSSSFSNVDPESGVLGTATNHHGLQGNLLQQGTTIEVSKLVSETSSVLHERDDRIRPEYKDKTLTESSVFRMANSKVNLSNICLTKSCSIASGLISSSRPQHTQSQLSYSVPLLSTSHCDSTIINNERCFPNLASCAAETLVSCAPKHFAYSAQTFKPSSASYNPPIVNPVPLENVAYGGIDALSKTDSYFGYVVPGMIGSDMVQSPLDKVACQDLLLRTIVEPACNETKSPSIMAKSKLQIACPNVHEDLALEQHGAKAGIADDKCSSNSDDSDVDSPCWPGTQAYKSLFSCSVPVNSEDSKGQSPFRVSVSPKLEHSKNEKVARNSLNPLAPVFIPANSKQKADYHQKDCHGDNSLASQNIGALAAISSRENELLGSARAGTCPSERIDDIGFHFSFDAYDSRLEYGSPCCFQPPGKESVISESQLENVTGCMEGIANATYNALDSVADMAQAGQSSSISFPTTEISLTSHSIGDGVFSDLTQRFQEPPKSTPPKLDVNLMINTIQFLSELLLQNYSFALGSMSEHEHDKILNIINNLYGVIRHWAGERGVRPESSHLSTLYGKRQAADHREVRYFYIFFRILGLICVILLNVLKITVIMFL >KJB52418 pep chromosome:Graimondii2_0_v6:8:54197079:54201353:-1 gene:B456_008G261200 transcript:KJB52418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERSKAAMNVFSIPTPSSRLSPLARPFTITSPFNRHESFDPLLDSTSGLDQPFPYLNLGGQRQQGYCAYHSDSTAITATPFVNDLDFEPNSCFINNPFVDPLVQTSFTPSSSSFSNVDPESGVLGTATNHHGLQGNLLQQGTTIEVSKLVSETSSVLHERDDRIRPEYKDKTLTESSVFRMANSKVNLSNICLTKSCSIASGLISSSRPQHTQSQLSYSVPLLSTSHCDSTIINNERCFPNLASCAAETLVSCAPKHFAYSAQTFKPSSASYNPPIVNPVPLENVAYGGIDALSKTDSYFGYVVPGMIGSDMVQSPLDKVACQDLLLRTIVEPACNETKSPSIMAKSKLQIACPNVHEDLALEQHGAKAGIADDKCSSNSDDSDVDSPCWPGTQAYKSLFSCSVPVNSEDSKGQSPFRVSVSPKLEHSKNEKVARNSLNPLAPVFIPANSKQKADYHQKDCHGDNSLASQNIGALAAISSRENELLGSARAGTCPSERIDDIGFHFSFDAYDSRLEYGSPCCFQPPGKESVISESQLENVTGCMEGIANATYNALDSVADMAQAGQSSSISFPTTEISLTSHSIGDGVFSDLTQRFQEPPKSTPPKLDVNLMINTIQFLSELLLQNYSFALGSMSEHEHDKILNIINNLYGVIRHWAGERGVRPESSHLSTLYGKRQAADHREIQVIKEHEIPPEALFYRKLWLEAKEASNLMKYRAHGSHTKPELEKC >KJB52585 pep chromosome:Graimondii2_0_v6:8:54736915:54743208:1 gene:B456_008G268800 transcript:KJB52585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFRCKPSAETASLTVSQFRHFLSNYMHYGRMASSSHCTTIRNKWDDHFVNTPYHFTSFKPVSLRGELVEKGSRFLDIRRNSHDLSKDVDRELREKLGCNSFRVLSSYGDPPEVWQPPGDGIAIRVSGVNLGRGGGGGGAGGGGGGGGGPTAGSGGGFGSDSKDGCWGGSNLGHNFPTPKEICKGLDKFVIGQEKAKKVLSVAVYNHYMRIYHESSQKRSAGDSGSDIAYVLDDDIVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLVVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGENIQIDTKDILFICGGAFIDIEKTISERLQDSSIGFGAPVRANMRAGGVTNAALTSSLMETVESTDLVAYGLIPEFVGRFPVLVSLLALTEEQLVQVLTEPKNALCKQYKKMFQMNGVNLHITEGALKSIARKAITKNTGARGLRAILENILMDAMYEIPDVRTGDDIIDAVVVDEEAVELKGRGSGAKILYGKGALDSYLSQPKLKDLEYYIKKYCVCRQVGNPRWKQSFFLQLLPVCKDLDVNLVVHSKLTLSI >KJB52584 pep chromosome:Graimondii2_0_v6:8:54736915:54743208:1 gene:B456_008G268800 transcript:KJB52584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFRCKPSAETASLTVSQFRHFLSNYMHYGRMASSSHCTTIRNKWDDHFVNTPYHFTSFKPVSLRGELVEKGSRFLDIRRNSHDLSKDVDRELREKLGCNSFRVLSSYGDPPEVWQPPGDGIAIRVSGVNLGRGGGGGGAGGGGGGGGGPTAGSGGGFGSDSKDGCWGGSNLGHNFPTPKEICKGLDKFVIGQEKAKKVLSVAVYNHYMRIYHESSQKRSAGDSGSDIAYVLDDDIVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLVVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGENIQIDTKDILFICGGAFIDIEKTISERLQDSSIGFGAPVRANMRAGGVTNAALTSSLMETVESTDLVAYGLIPEFVGRFPVLVSLLALTEEQLVQVLTEPKNALCKQYKKMFQMNGVNLHITEGALKSIARKAITKNTGARGLRAILENILMDAMYEIPDVRTGDDIIDAVVVDEEAVELKGRGSGAKILYGKGALDSYLSQPKLKDLETSGEPEVETELLPSVVASM >KJB51497 pep chromosome:Graimondii2_0_v6:8:50562298:50563067:-1 gene:B456_008G219300 transcript:KJB51497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF091 [Source:Projected from Arabidopsis thaliana (AT4G18450) UniProtKB/Swiss-Prot;Acc:O49515] MAVESRQDTASDKLLLEHVWANFIGGKEANTAAEPSMEILERLPSLGRWISMGADAWEGLLDGIIPSGNKIDQSCSDKTSSKVCSMRVDKVATTRHYRGVRRRPWGKYAAEIRDSSRKGARVWLGTFETAEEAARAYDKAALRIRGPKAYLNFPLETVANKATMVDNSITGLGCVGNVPNDRKRGCRDWEQNREYVMVEQPTMKRMASVAEQVVEDGYGLFEFPDLGSDYLESLLSSF >KJB50142 pep chromosome:Graimondii2_0_v6:8:41470348:41474207:-1 gene:B456_008G155300 transcript:KJB50142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITAWQYKNYANKTEIIFGFPMLAEPNLILELTEKMTEESISDVFVINPIPGSNPPVAKKKRNLPGTPVIFVNLMTKCCLRFPHMIWVIADPEAEVIALSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRSTKEVRKRVYVCPEKTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKQYAVQSDWKAHSKTCGTREYKCDCGTLFSRRDSFITHRAFCDALAEETARVNAASSMHSNINHLMGNQIGPPMAQHFPPIFKPISSNNVTIDQTSCGLSLWMGQASQFHDSIPKSLQEIHQFGSVISGSIYSDPLVSISNPPASGYHLNWVLGNKVSPSHAEELTSTSLPLNNVKENGARLVSVPSLFSTQKLHSHQTTSANMSATALLQKAAQIGATSTDTSFLGSLGTKCSISQIQEGNKYSGLYVSNTPPTSFGSDLENSANDISNLNQLQMQPAKRQRLQNEDSSGGQTRDFLGVGVLPICHPSSINGWT >KJB47357 pep chromosome:Graimondii2_0_v6:8:2614552:2616594:1 gene:B456_008G022800 transcript:KJB47357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSFIPSLFSNTPTVKKKREKFDQTVKMGGLETERTTTGWAARDPSGVLTPYTYTLRSTGPEDVFVKVMCCGICHTDLHQAKNDLGMSNYPMVPGHEVVGEVLEVGSDVSKFRVGDIVGVGCIVGCCRNCRPCDSDNEQYCLKKIWSYNDVYTDGKPTQGGFAGSMVVDQKFVVNIPEGMAPEQVAPLLCAGVTVYSPLNHFGLMGSGLRGGILGLGGVGHMGVKIAKAMGHHVTVISSSDKKKVEALEHLGADDYLVSSDAEGMQKAADSLDYIIDTVPVFHPLEPYLSLLKLDGKLILTGVINTPLQFVSPMVMLGRKSITGSFIGSMKETEEMLNFCKEKNLTSMIEVVKMDYINTAMERLEKNDVRYRFVVDVAGSKLDQ >KJB47361 pep chromosome:Graimondii2_0_v6:8:2614583:2616520:1 gene:B456_008G022800 transcript:KJB47361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVICCNNNSVCRSTGPEDVFVKVMCCGICHTDLHQAKNDLGMSNYPMVPGHEVVGEVLEVGSDVSKFRVGDIVGVGCIVGCCRNCRPCDSDNEQYCLKKIWSYNDVYTDGKPTQGGFAGSMVVDQKFVVNIPEGMAPEQVAPLLCAGVTVYSPLNHFGLMGSGLRGGILGLGGVGHMGVKIAKAMGHHVTVISSSDKKKVEALEHLGADDYLVSSDAEGMQKAADSLDYIIDTVPVFHPLEPYLSLLKLDGKLILTGVINTPLQFVSPMVMLGRKSITGSFIGSMKETEEMLNFCKEKNLTSMIEVVKMDYINTAMERLEKNDVRYRFVVDVAGSKLDQ >KJB47360 pep chromosome:Graimondii2_0_v6:8:2614583:2616520:1 gene:B456_008G022800 transcript:KJB47360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSFIPSLFSNTPTVKKKREKFDQTVKMGGLETERTTTGWAARDPSGVLTPYTYTLRSTGPEDVFVKVMCCGICHTDLHQAKNDLGMSNYPMVPGHEVVGEVLEVGSDVSKFRVGDIVGVGCIVGCCRNCRPCDSDNEQYCLKKIWSYNDVYTDGKPTQGGFAGSMVVDQKFVVNIPEGMAPEQVAPLLCAGVTVYSPLNHFGLMGSGLRGGILGLGGVGHMGVKIAKAMGHHVTVISSSDKKKVEALEHLGADDYLVSSDAEGMQKAADSLDYIIDTVPVFHPLEPYLSLLKLDGKLILTGVINTPLQFVSPMVMLGESISLTLNLYIDASLLAALVCF >KJB47358 pep chromosome:Graimondii2_0_v6:8:2614894:2616270:1 gene:B456_008G022800 transcript:KJB47358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLRLCAVESATPIFIKPKMILACQTTQWFLGTTRTVFFMFPSLFLYLHVHESMNFFWTFLDCEFITFSRHEVVGEVLEVGSDVSKFRVGDIVGVGCIVGCCRNCRPCDSDNEQYCLKKIWSYNDVYTDGKPTQGGFAGSMVVDQKFVVNIPEGMAPEQVAPLLCAGVTVYSPLNHFGLMGSGLRGGILGLGGVGHMGVKIAKAMGHHVTVISSSDKKKVEALEHLGADDYLVSSDAEGMQKAADSLDYIIDTVPVFHPLEPYLSLLKLDGKLILTGVINTPLQFVSPMVMLGRKSITGSFIGSMKETEEMLNFCKEKNLTSMIEVVKMDYINTAMERLEKNDVRYRFVVDVAGSKLDQ >KJB47359 pep chromosome:Graimondii2_0_v6:8:2614583:2616520:1 gene:B456_008G022800 transcript:KJB47359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLRLCAVESATPIFIKPKMILACQTTQWFLGTTRTVFFMFPSLFLYLHVHESMNFFWTFLDCEFITFSRHEVVGEVLEVGSDVSKFRVGDIVGVGCIVGCCRNCRPCDSDNEQYCLKKIWSYNDVYTDGKPTQGGFAGSMVVDQKFVVNIPEGMAPEQVAPLLCAGVTVYSPLNHFGLMGSGLRGGILGLGGVGHMGVKIAKAMGHHVTVISSSDKKKVEALEHLGADDYLVSSDAEGMQKAADSLDYIIDTVPVFHPLEPYLSLLKLDGKLILTGVINTPLQFVSPMVMLGRKSITGSFIGSMKETEEMLNFCKEKNLTSMIEVVKMDYINTAMERLEKNDVRYRFVVDVAGSKLDQ >KJB46791 pep chromosome:Graimondii2_0_v6:8:4756343:4768220:-1 gene:B456_008G037900 transcript:KJB46791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MANTSNSRILSSLVHALRERIAATPSTPPNNNLSTCADDDALETKFRAVLPNLLNGYVVPSSSANEREVIAVLKLISHTARNFPGVFYHGKPSAVLPLIGRILPFFAEPAFSSRHGVIFETVGPLLSLLRTGSRDAYRTLFIDAMCTIEDILHIASLSSENSRITEAARLHLKCFHRSFSGNLSDSTCLCDLPTSNKPIDGPGILINLLGRDRWLPFATWIIKFLSKCLTEGTLYVEGLMNTSFVSAACSLLCYGDADLQMACFDFARVIGSVMSYDTVPHQNLIQSISTILGEDKEGLPVFRSSAYDSSIGGCLRAMHTSCPDDVVKLTAEDLVNIFHRSMWRTKSMELKVALCTAYIRISRTCPPHIWRPESLINVLCCPEPCILLIDCVQVALSVLGPHRVGERTDHTKLVLSTSSDKLIASPKVGEKRRIIDVDNFDIKRQKIDGAIKFSNANVPRDIKITDIISYGREGYADFMHESLLLFIETLNAPRVKNDTLRPDVALTALSLLSIAFCRYPQTNMSHSIFRQLQSWIPWICEQAKLESAITVDISVYLEGIHSMLLIQGSHFFEENLFKSENDVDINVVLKLPWTHTPVVPKPHLPWKSKLFSIQVASKLGPSFSSRTGFEVLDLALHDEVEEVRKEALVSMPVMVISSGLDTLANMFRRLESLEKDKHEKVKKVIPYCLGFLSCLYGSYRGVDGTQRCSCKLFLNIKDERQIETLDYLLEGFWCSKCDGSVLHKDEPNSRVMLPLEPNSLGSSRSFDFAHFYSLYINLLFDESSEEVQLACVAAIRRVVLHGPQDALFKMRTEWVKCIDFLLLNRKKSIREAFCTQISSFLQDPIVSFLFSDGNGSSKSSEENFLDMIKNALAATEDPQIIETLLESTAEIMMAVDVYSKLFLFSLILLVDQLDNLYLTVKLNASRLIHKSCCFHFNGGFELLLSKAVYIRNELFDYLSIRLASRPKMVKEFAEAVLGVETKELLNKMIPVVLPKLVVSQRDNNQAVDTLYELAKCLNTDVVPLIVNWLPKVLAFALHQADEKELFSALQFYHAQIGSNNQEIFAAALPALLDELICFLDGGDLNEINSRLDRVPHMIKKVARVLTDAEDLPGFLRNHFVGLLNSIDRKMLHSEDFSLQKQALKRIEMLIKMMGSHLNTYVPKLMVILMHAIGKESLQSEGLSVLHYFIVQLAMVSPSSTKHVISQVFAALIPLLEKDTENSSAHLHKVVEILEELVLKNRVILKEHIHEFPLLPSILALTEVNKAIQEARGAMTLKNQLRDVVAGLNHENLNVRYMVVTELSKLLKLRKEDVAALVNGEGGSDMDILSSLITSLLRGCAEESRTVVGQRLKLICADCLGALGAVDPAKLRNVSCQRFKIQCSDDDLIFELIHKHLARAFRAAPDTVVQDSAALAIQELLKIAGCEASLDENAASMSQTKKDKEPLKTSSLGIKTSYSSSGNSSRGQKLWDRFSNYVKEIIAPCLTSRFQLPNMADSASAGPIYRPSMSFRRWIFSWIKKLTAHAIGSRASIFNACRGIVRHDMQTAMYLLPYLVLNAVCHGTEEARHGITEEIQSVLNAAASENSGAAVYGVSGRQSEVCIQAVFTLLDNLGQWVDDVKQELALSQSLSSASRQQASKSKDQSLALSASQDQLLVQCKYVSELLSAIPKVTLARASFRCQAYARSLMYFESFVRGRSGSFNPASERSGIFEDEDISYLMEIYSCLDEPDGLSGLACLRKSHSLQDQLLINKKAGNWAEVLTVCEQALQMEPTSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRIPKYKKTWCMQGVQAAWRLGRWDLMNEYLSGADEEGLLCSSSESNASFDLDVAKILQAMMKRDQFSVAEKIALSKQSLIAPLAAAGMDSYTRAYPIIVKLHLLRELEDFHTLLIDESFLDKSFHLGDFGFSKVMENWESRLRFTQPSLWAREPLLAFRRLVFGASNLGAQVGYCWLQYAKLCRLAGHYETANQAILEAQASGAPNVHMEKAKLLWSTRRSDGAIAELQQSLLNMPVEVVGSAAISSITSLSLVPLNPQPLPGDTLAMNENQEIAKTLLLYSRWIHYTGQKQKEDVISLYSRVRELQPKWEKGYFYMAKYCDEVLVDARKRQEENFELGPRMIPSASAIAPPSNSNTEKYWWYYLPDVLLFYAKGLHRGHKNLFQALPRLLTLWFDFGSIYQRSSAASNRDLKNVQGKVTSIMRGCLKDLPTYQWLTVLPQLVSRICHQNEDIVKLVKNIIISVVRQYPQQALWIMAAVSKSTVPSRREAAAEIIQVARKAFSLGTNGNNLFLQFASLVDHLIKLCFHAGQPKSRTINISTEFSALKRMMPLGIIMPIQQSLTVSLPTYDVDLTESLSSDIFAGVELPTISGIADEAEILSSLQRPKKIVLLGSDGIERPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRHILQDIYITCGKFDRQKTNPQIKRIYDQCSGKIPEDEMLKNKILPMFPPVFHQWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQVNGL >KJB46790 pep chromosome:Graimondii2_0_v6:8:4755524:4768232:-1 gene:B456_008G037900 transcript:KJB46790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MANTSNSRILSSLVHALRERIAATPSTPPNNNLSTCADDDALETKFRAVLPNLLNGYVVPSSSANEREVIAVLKLISHTARNFPGVFYHGKPSAVLPLIGRILPFFAEPAFSSRHGVIFETVGPLLSLLRTGSRDAYRTLFIDAMCTIEDILHIASLSSENSRITEAARLHLKCFHRSFSGNLSDSTCLCDLPTSNKPIDGPGILINLLGRDRWLPFATWIIKFLSKCLTEGTLYVEGLMNTSFVSAACSLLCYGDADLQMACFDFARVIGSVMSYDTVPHQNLIQSISTILGEDKEGLPVFRSSAYDSSIGGCLRAMHTSCPDDVVKLTAEDLVNIFHRSMWRTKSMELKVALCTAYIRISRTCPPHIWRPESLINVLCCPEPCILLIDCVQVALSVLGPHRVGERTDHTKLVLSTSSDKLIASPKVGEKRRIIDVDNFDIKRQKIDGAIKFSNANVPRDIKITDIISYGREGYADFMHESLLLFIETLNAPRVKNDTLRPDVALTALSLLSIAFCRYPQTNMSHSIFRQLQSWIPWICEQAKLESAITVDISVYLEGIHSMLLIQGSHFFEENLFKSENDVDINVVLKLPWTHTPVVPKPHLPWKSKLFSIQVASKLGPSFSSRTGFEVLDLALHDEVEEVRKEALVSMPVMVISSGLDTLANMFRRLESLEKDKHEKVKKVIPYCLGFLSCLYGSYRGVDGTQRCSCKLFLNIKDERQIETLDYLLEGFWCSKCDGSVLHKDEPNSRVMLPLEPNSLGSSRSFDFAHFYSLYINLLFDESSEEVQLACVAAIRRVVLHGPQDALFKMRTEWVKCIDFLLLNRKKSIREAFCTQISSFLQDPIVSFLFSDGNGSSKSSEENFLDMIKNALAATEDPQIIETLLESTAEIMMAVDVYSKLFLFSLILLVDQLDNLYLTVKLNASRLIHKSCCFHFNGGFELLLSKAVYIRNELFDYLSIRLASRPKMVKEFAEAVLGVETKELLNKMIPVVLPKLVVSQRDNNQAVDTLYELAKCLNTDVVPLIVNWLPKVLAFALHQADEKELFSALQFYHAQIGSNNQEIFAAALPALLDELICFLDGGDLNEINSRLDRVPHMIKKVARVLTDAEDLPGFLRNHFVGLLNSIDRKMLHSEDFSLQKQALKRIEMLIKMMGSHLNTYVPKLMVILMHAIGKESLQSEGLSVLHYFIVQLAMVSPSSTKHVISQVFAALIPLLEKDTENSSAHLHKVVEILEELVLKNRVILKEHIHEFPLLPSILALTEVNKAIQEARGAMTLKNQLRDVVAGLNHENLNVRYMVVTELSKLLKLRKEDVAALVNGEGGSDMDILSSLITSLLRGCAEESRTVVGQRLKLICADCLGALGAVDPAKLRNVSCQRFKIQCSDDDLIFELIHKHLARAFRAAPDTVVQDSAALAIQELLKIAGCEASLDENAASMSQTKKDKEPLKTSSLGIKTSYSSSGNSSRGQKLWDRFSNYVKEIIAPCLTSRFQLPNMADSASAGPIYRPSMSFRRWIFSWIKKLTAHAIGSRASIFNACRGIVRHDMQTAMYLLPYLVLNAVCHGTEEARHGITEEIQSVLNAAASENSGAAVYGVSGRQSEVCIQAVFTLLDNLGQWVDDVKQELALSQSLSSASRQQASKSKDQSLALSASQDQLLVQCKYVSELLSAIPKVTLARASFRCQAYARSLMYFESFVRGRSGSFNPASERSGIFEDEDISYLMEIYSCLDEPDGLSGLACLRKSHSLQDQLLINKKAGNWAEVLTVCEQALQMEPTSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRIPKYKKTWCMQGVQAAWRLGRWDLMNEYLSGADEEGLLCSSSESNASFDLDVAKILQAMMKRDQFSVAEKIALSKQSLIAPLAAAGMDSYTRAYPIIVKLHLLRELEDFHTLLIDESFLDKSFHLGDFGFSKVMENWESRLRFTQPSLWAREPLLAFRRLVFGASNLGAQVGYCWLQYAKLCRLAGHYETANQAILEAQASGAPNVHMEKAKLLWSTRRSDGAIAELQQSLLNMPVEVVGSAAISSITSLSLVPLNPQPLPGDTLAMNENQEIAKTLLLYSRWIHYTGQKQKEDVISLYSRVRELQPKWEKGYFYMAKYCDEVLVDARKRQEENFELGPRMIPSASAIAPPSNSNTEKYWWYYLPDVLLFYAKGLHRGHKNLFQALPRLLTLWFDFGSIYQRSSAASNRDLKNVQGKVTSIMRGCLKDLPTYQWLTVLPQLVSRICHQNEDIVKLVKNIIISVVRQYPQQALWIMAAVSKSTVPSRREAAAEIIQVARKAFSLGTNGNNLFLQFASLVDHLIKLCFHAGQPKSRTINISTEFSALKRMMPLGIIMPIQQSLTVSLPTYDVDLTESLSSDIFAGVELPTISGIADEAEILSSLQRPKKIVLLGSDGIERPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRHILQDIYITCGKFDRQKTNPQIKRIYDQCSGKIPEDEMLKNKILPMFPPVFHQWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRICEITLSVLRTHRETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAISNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >KJB49398 pep chromosome:Graimondii2_0_v6:8:35226334:35230559:1 gene:B456_008G117200 transcript:KJB49398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGGFIDDSSGPNEGLGGARIVADVPYNTTTMPTGVFSQPRLVSSSIPKNMFNSPGLSLALQPNIDNQGDETRLGENFEGSIGRRSREEEHESRSGSDNMDGGSGDDHDPTTAAGDKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIRDAMRNPICTNCGGPAIIGDMSLEEQHLRIENARLKDELDRVCALAGKFLGRPITGPPLPNSSLELGVGTNGTFGTTMATTTTLPLGHDALPTMVVPSNRPATTLDRSMFLELALAAMDELVKMAQTDEPLWIKNIEGGREMLNHDEYLRTFTPCIGLKPNGFVTEASRETGVVIINSLALVETLMDSNRWAEMFHCMIARTSTTDVISNGMGGTRNGALQLMNAELQILSPLVPVREVSFLRFCKQHAEGVWAVVDVSIDTIKESTTFVTCRRLPSGCVVQDMPNGYSKVIWVEHAEYDESQVHQLYRPLLSSGVGFGAQRWVATLQRQCECLAILMSSTVPTRDHTGKFINQEH >KJB49401 pep chromosome:Graimondii2_0_v6:8:35226334:35230559:1 gene:B456_008G117200 transcript:KJB49401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGGFIDDSSGPNEGLGGARIVADVPYNTTTMPTGVFSQPRLVSSSIPKNMFNSPGLSLALQPNIDNQGDETRLGENFEGSIGRRSREEEHESRSGSDNMDGGSGDDHDPTTAAGDKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIRDAMRNPICTNCGGPAIIGDMSLEEQHLRIENARLKDELDRVCALAGKFLGRPITGPPLPNSSLELGVGTNGTFGTTMATTTTLPLGHDALPTMVVPSNRPATTLDRSMFLELALAAMDELVKMAQTDEPLWIKNIEGGREMLNHDEYLRTFTPCIGLKPNGFVTEASRETGVVIINSLALVETLMDSNRWAEMFHCMIARTSTTDVISNGMGGTRNGALQLMNAELQILSPLVPVREVSFLRFCKQHAEGVWAVVDVSIDTIKESTTFVTCRRLPSGCVVQDMPNGYSKVIWVEHAEYDESQVHQLYRPLLSSGVGFGAQRWVATLQRQCECLAILMSSTVPTRDHTAITASGRRSMLKLAQRMTDNFCAGVCASTVHKWNKLNAGNVDEDVRVMTRKSIDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASVNKIPT >KJB49399 pep chromosome:Graimondii2_0_v6:8:35226334:35230559:1 gene:B456_008G117200 transcript:KJB49399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGGFIDDSSGPNEGLGGARIVADVPYNTTTMPTGVFSQPRLVSSSIPKNMFNSPGLSLALQPNIDNQGDETRLGENFEGSIGRRSREEEHESRSGSDNMDGGSGDDHDPTTAAGDKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIRDAMRNPICTNCGGPAIIGDMSLEEQHLRIENARLKDELDRVCALAGKFLGRPITGPPLPNSSLELGVGTNGTFGTTMATTTTLPLGHDALPTMVVPSNRPATTLDRSMFLELALAAMDELVKMAQTDEPLWIKNIEGGREMLNHDEYLRTFTPCIGLKPNGFVTEASRETGVVIINSLALVETLMDSNRWAEMFHCMIARTSTTDVISNGMGGTRNGALQLMNAELQILSPLVPVREVSFLRFCKQHAEGVWAVVDVSIDTIKESTTFVTCRRLPSGCVVQDMPNGYSKVIWVEHAEYDESQVHQLYRPLLSSGVGFGAQRWVATLQRQCECLAILMSSTVPTRDHTGHERKSEQHVDIAGNMHRRSRVACSVRAS >KJB49396 pep chromosome:Graimondii2_0_v6:8:35226333:35230559:1 gene:B456_008G117200 transcript:KJB49396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGGFIDDSSGPNEGLGGARIVADVPYNTTTMPTGVFSQPRLVSSSIPKNMFNSPGLSLALQPNIDNQGDETRLGENFEGSIGRRSREEEHESRSGSDNMDGGSGDDHDPTTAAGDKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIRDAMRNPICTNCGGPAIIGDMSLEEQHLRIENARLKDELDRVCALAGKFLGRPITGPPLPNSSLELGVGTNGTFGTTMATTTTLPLGHDALPTMVVPSNRPATTLDRSMFLELALAAMDELVKMAQTDEPLWIKNIEGGREMLNHDEYLRTFTPCIGLKPNGFVTEASRETGVVIINSLALVETLMDSNRWAEMFHCMIARTSTTDVISNGMGGTRNGALQLMNAELQILSPLVPVREVSFLRFCKQHAEGVWAVVDVSIDTIKESTTFVTCRRLPSGCVVQDMPNGYSKVIWVEHAEYDESQVHQLYRPLLSSGVGFGAQRWVATLQRQCECLAILMSSTVPTRDHTAITASGRRSMLKLAQRMTDNFCAGVCASTVHKWNKLNAGNVDEDVRVMTRKSIDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSHGPISNGHVNGNTGGGSSRVGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCES >KJB49397 pep chromosome:Graimondii2_0_v6:8:35225700:35230559:1 gene:B456_008G117200 transcript:KJB49397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGGFIDDSSGPNEGLGGARIVADVPYNTTTMPTGVFSQPRLVSSSIPKNMFNSPGLSLALQPNIDNQGDETRLGENFEGSIGRRSREEEHESRSGSDNMDGGSGDDHDPTTAAGDKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIRDAMRNPICTNCGGPAIIGDMSLEEQHLRIENARLKDELDRVCALAGKFLGRPITGPPLPNSSLELGVGTNGTFGTTMATTTTLPLGHDALPTMVVPSNRPATTLDRSMFLELALAAMDELVKMAQTDEPLWIKNIEGGREMLNHDEYLRTFTPCIGLKPNGFVTEASRETGVVIINSLALVETLMDSNRWAEMFHCMIARTSTTDVISNGMGGTRNGALQLMNAELQILSPLVPVREVSFLRFCKQHAEGVWAVVDVSIDTIKESTTFVTCRRLPSGCVVQDMPNGYSKVIWVEHAEYDESQVHQLYRPLLSSGVGFGAQRWVATLQRQCECLAILMSSTVPTRDHTAITASGRRSMLKLAQRMTDNFCAGVCASTVHKWNKLNAGNVDEDVRVMTRKSIDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSHGPISNGHVNGNTGGGSSRVGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCEKCDSVSWDEWGY >KJB49395 pep chromosome:Graimondii2_0_v6:8:35226342:35230092:1 gene:B456_008G117200 transcript:KJB49395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGGFIDDSSGPNEGLGGARIVADVPYNTTTMPTGVFSQPRLVSSSIPKNMFNSPGLSLALQQPNIDNQGDETRLGENFEGSIGRRSREEEHESRSGSDNMDGGSGDDHDPTTAAGDKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIRDAMRNPICTNCGGPAIIGDMSLEEQHLRIENARLKDELDRVCALAGKFLGRPITGPPLPNSSLELGVGTNGTFGTTMATTTTLPLGHDALPTMVVPSNRPATTLDRSMFLELALAAMDELVKMAQTDEPLWIKNIEGGREMLNHDEYLRTFTPCIGLKPNGFVTEASRETGVVIINSLALVETLMDSNRWAEMFHCMIARTSTTDVISNGMGGTRNGALQLMNAELQILSPLVPVREVSFLRFCKQHAEGVWAVVDVSIDTIKESTTFVTCRRLPSGCVVQDMPNGYSKVIWVEHAEYDESQVHQLYRPLLSSGVGFGAQRWVATLQRQCECLAILMSSTVPTRDHTAITASGRRSMLKLAQRMTDNFCAGVCASTVHKWNKLNAGNVDEDVRVMTRKSIDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSHGPISNGHVNGNTGGGSSRVGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCES >KJB49400 pep chromosome:Graimondii2_0_v6:8:35227052:35230092:1 gene:B456_008G117200 transcript:KJB49400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVFCKNLPLMVFIHFKIWGLFFFFQTQLERHENSLLRQENDKLRAENMSIRDAMRNPICTNCGGPAIIGDMSLEEQHLRIENARLKDELDRVCALAGKFLGRPITGPPLPNSSLELGVGTNGTFGTTMATTTTLPLGHDALPTMVVPSNRPATTLDRSMFLELALAAMDELVKMAQTDEPLWIKNIEGGREMLNHDEYLRTFTPCIGLKPNGFVTEASRETGVVIINSLALVETLMDSNRWAEMFHCMIARTSTTDVISNGMGGTRNGALQLMNAELQILSPLVPVREVSFLRFCKQHAEGVWAVVDVSIDTIKESTTFVTCRRLPSGCVVQDMPNGYSKVIWVEHAEYDESQVHQLYRPLLSSGVGFGAQRWVATLQRQCECLAILMSSTVPTRDHTAITASGRRSMLKLAQRMTDNFCAGVCASTVHKWNKLNAGNVDEDVRVMTRKSIDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSHGPISNGHVNGNTGGGSSRVGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCES >KJB49528 pep chromosome:Graimondii2_0_v6:8:36238142:36241696:1 gene:B456_008G124000 transcript:KJB49528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGRPDSGYLYWRWNPRSCELPRFDPEKFLDLMKNKWWAFIGDSISRNHVQSFLCILSQVEQAVEVYHDEQYKSKRWHFPSHNFTLSVIWSPFLLKADIFEDINGVSSAETQLHLDKLDKTWTDQYENFDYAVIAGGKWFLKTAIYHENGTVTGCHSCLGKNLTEMSFEYAYRRALKSILDFMMGSGQKAFVFLRTTTPDHFENGEWFSGGTCNRTGPFKEGEVDMKDVDAEMRGIEMEEFEKASIVGAENGVTLKLLDTTRMSMLRPDGHPGPYRQFQPFAKDKNAKVQNDCLHWCLPGPIDSWNDILMEMVIRGAH >KJB49527 pep chromosome:Graimondii2_0_v6:8:36236765:36241720:1 gene:B456_008G124000 transcript:KJB49527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKETSRVLDLNPPSLPKRHHIILKFLISFLLLGLAFRLFVSDSLSFFTSSVVEAPPPLVEETNVVIEPPLPATSAGDLLSVNESKSIPNEEISMSFNASNAAGECDLFVGDWVPDPSAPVYTNSSCRDIEAHQNCMMNGRPDSGYLYWRWNPRSCELPRFDPEKFLDLMKNKWWAFIGDSISRNHVQSFLCILSQVEQAVEVYHDEQYKSKRWHFPSHNFTLSVIWSPFLLKADIFEDINGVSSAETQLHLDKLDKTWTDQYENFDYAVIAGGKWFLKTAIYHENGTVTGCHSCLGKNLTEMSFEYAYRRALKSILDFMMGSGQKAFVFLRTTTPDHFENGEWFSGGTCNRTGPFKEGEVDMKDVDAEMRGIEMEEFEKASIVGAENGVTLKLLDTTRMSMLRPDGHPGPYRQFQPFAKDKNAKVQNDCLHWCLPGPIDSWNDILMEMVIRGAH >KJB51548 pep chromosome:Graimondii2_0_v6:8:50839944:50841701:-1 gene:B456_008G222100 transcript:KJB51548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESSEFQNTQGLVHAPESNEREIIDRSIFDVGDTSMKPERANKEAYSTYSRVEHKPTRKEIWAWYAYELCSYFVHTVLIPVVFSLTIGQIVEEPTEPLRGWSESAKGLACKINEMKLYERLTQRSISVGNSKVSPLEWTSISWAIGLVLAAPALRSISTNLDQGQNLQVFAGAATAIGALFCLPVGFFKVTWIFPIYIAPVVAAIIVATASHTRHHGLMIRGFSGTTIQRHQFPDRRGVSSWLSLYATAAGCLGSAVIAAFVYYMLRIQDIFTGLWVVSIFSGLKWLAGIVHVFTLRPGEAITSPSPTNHFLSIFKYHHGLGSLIIVGLSSFTSMCIFTGGVLYLVGQLCLKPVFLLYFWLIYFIFPSISLPLLQPIQLVFKANAVKMHLLGLILSLVTSGTGFQFRKENWQRHHILIFAAVQSTSAGVLHAFGRVLLMDCSPAGKEGAFAVWYSWVKMVGTCLGFAIASGAAAGNVGTSFATAFCTAAVAMVISIYANISDVGGAVAAGLVSEEGETASPITKGLDESDSMSMSMSNVDGNAKKQAVGEEAA >KJB52348 pep chromosome:Graimondii2_0_v6:8:53908072:53911893:1 gene:B456_008G257300 transcript:KJB52348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVADDCDFAEKDPSGRYVRYDEVLGRGAFKTVYKGFDEADGIEVAWNQINVEDVLQKPEQLERLYSEVHLLKSLKHVNIIKFYDSWVDDKNKTINMITELFTSGSLRQYRKKHKNVEVKAIKSWARQILRGLHYLHSHNPPIIHRDLKCDNIFVNGNNGEVKIGDLGLATVLQQPTARSVIGTPEFMAPELYDEEYNELVDIYSFGLCILEMVTCEYPYNECKNPAQIYKKVTSGIKPASLGKVNDPQIKQFIEKCLLPASMRLPAAELLKDLFLLAETPKEPASGPPVNLIQTEPHLMEIDLNCKMIMVKPSTESIKETPRFSALELQSFTQNNEFRLKGEKNDDNTISLTLRIADQCGRARNIHFSFYLDSDTAISIAEEMVQQLDLSNEDVTAIAELIDSMIMKLVPCWKPSVGSISCLQDCLCYPSQATIKTVGEQEVFPRLAVLNCQDTEESFGSDISAESNGMVASDGSNNKPMGSSDHSYVECYNGLNAYDFGLDIGAYNHKDTSDEKNLREYIAIKHSAKNSDTSLMDSCSFASQDMSLSSLGSLSLADKDKMEELKLELDAIDSQYQQCFQELLRMREEAMENARKRWISKKKVSVM >KJB48868 pep chromosome:Graimondii2_0_v6:8:21571137:21571480:-1 gene:B456_008G090900 transcript:KJB48868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLETEDGCKIHPFHHQKQGVCPSCLRERLSGLCSTSYDEASRLSPYYYYYCSPSADTLLHHRVRSIACVPRKVDDEEKGFWSKLLGLKGKKDIVMHSNSMRL >KJB50796 pep chromosome:Graimondii2_0_v6:8:46832784:46834530:-1 gene:B456_008G187200 transcript:KJB50796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNKPMAAVSPPLVPPLLFARISGILVAALVLSWALLFKSSFLPHSSLPSQEDLIFAVLHPLLMVIGFILISGEGKSENEVKIDYYFFQTIRGSSFFSAILIHRWLPGSRNLKKSVHLCLQGVALGCGVFGVWTKFHGQDGIVANFFSLHSWMGLICVSLFGAQWLVGFLSFWHRGEVRTTRAKVLPWHIFLGLYTYGLAVATAETGLLEKLTFLQSRRTASKHCPESMIVNSLGLGLVLLCGIVILTAVSPKYHALQTKLMYSSDTKCLSS >KJB50795 pep chromosome:Graimondii2_0_v6:8:46832716:46834579:-1 gene:B456_008G187200 transcript:KJB50795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNKPMAAVSPPLVPPLLFARISGILVAALVLSWALLFKSSFLPHSSLPSQEDLIFAVLHPLLMVIGFILISGEAILIHRWLPGSRNLKKSVHLCLQGVALGCGVFGVWTKFHGQDGIVANFFSLHSWMGLICVSLFGAQWLVGFLSFWHRGEVRTTRAKVLPWHIFLGLYTYGLAVATAETGLLEKLTFLQSRRTASKHCPESMIVNSLGLGLVLLCGIVILTAVSPKYHALQTKLMYSSDTKCLSS >KJB53098 pep chromosome:Graimondii2_0_v6:8:56711705:56715803:1 gene:B456_008G293400 transcript:KJB53098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCLSLSSYSFCEKIALKKYRRCVKRGCIKRPQVVSGAKKDGFGLRVFVLSDLHTDYPENMAWVRSLSTKRHEKDVLLVAGDVAEMYDNFILTMSLLKERFEYVFFVPGNHDLWCRWETEDFDSLEKLNKLLDACKQLGVETNPAVIDGLGIIPLFSWYHEACKDFHACKWPGNLSNRDTSLALYFDLMNEKNQNTVKRIQSTCSQIITFSHFVPRQELCPEKRMLFYPNLPKVIGSDWLEDRIRSIHGVESSSFACHVFGHTHFCWDAVVDGIRYVQAPLAYPRERKRRMNGGETWLPFCIYLDGEFGAKVMPCYWSDYYAINPRTPSNMELAPWVARFYNLI >KJB53095 pep chromosome:Graimondii2_0_v6:8:56711037:56715803:1 gene:B456_008G293400 transcript:KJB53095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCLSLSSYSFCEKIALKKYRRCVKRGCIKRPQVVSGAKKDGFGLRVFVLSDLHTDYPENMAWVRSLSTKRHEKDVLLVAGDVAEMYDNFILTMSLLKERFEYVFFVPGNHDLWCRWETEDFDSLEKLNKLLDACKQLGVETNPAVIDGLGIIPLFSWYHESFDREDDIVGVRIPSLDMACKDFHACKWPGNLSNRDTSLALYFDLMNEKNQNTVKRIQSTCSQIITFSHFVPRQELCPEKRMLFYPNLPKVIGSDWLEDRIRSIHGVESSSFACHVFGHTHFCWDAVVDGIRYVQAPLAYPRERKRRMNGGETWLPFCIYLDGEFGAKVMPCYWSDYYAINPRTPSNMELAPWVARFYNLI >KJB53100 pep chromosome:Graimondii2_0_v6:8:56711838:56715803:1 gene:B456_008G293400 transcript:KJB53100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKDFHACKWPGNLSNRDTSLALYFDLMNEKNQNTVKRIQSTCSQIITFSHFVPRQELCPEKRMLFYPNLPKVIGSDWLEDRIRSIHGVESSSFACHVFGHTHFCWDAVVDGIRYVQAPLAYPRERKRRMNGGETWLPFCIYLDGEFGAKVMPCYWSDYYAINPRTPSNMELAPWVARFYNLI >KJB53097 pep chromosome:Graimondii2_0_v6:8:56711455:56715803:1 gene:B456_008G293400 transcript:KJB53097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCLSLSSYSFCEKIALKKYRRCVKRGCIKRPQVVSGAKKDGFGLRVFVLSDLHTDYPENMAWVRSLSTKRHEKDVLLVAGDVAEMYDNFILTMSLLKERFEYVFFVPGNHDLWCRWETEDFDSLEKLNKLLDACKQLGVETNPAVIDGLGIIPLFSWYHESFDREDDIVGVRIPSLDMACKDFHACKWPGNLSNRDTSLALYFDLMNEKNQNTVKRIQSTCSQIITFSHFVPRQELCPEKRMLFYPNLPKVIGSDWLEDRIRSIHGVESSSFACHVFGHTHFCWDAVVDGIRYVQAPLAYPRERKRRMNGGETWLPFCIYLDGEFGAKVMPCYWSDYYAINPRTPSNMELAPWVARFYNLI >KJB53096 pep chromosome:Graimondii2_0_v6:8:56711052:56715803:1 gene:B456_008G293400 transcript:KJB53096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCLSLSSYSFCEKIALKKYRRCVKRGCIKRPQVVSGAKKDGFGLRVFVLSDLHTDYPENMAWVRSLSTKRHEKDVLLVAGDVAEMYDNFILTMSLLKERFEYVFFVPGNHDLWCRWETEDFDSLEKLNKLLDACKQLGVETNPAVIDGLGIIPLFSWYHESFDREDDIVGVRIPSLDMACKDFHACKWPGNLSNRDTSLALYFDLMNEKNQNTVKRIQSTCSQIITFSHFVPRQELCPEKRMLFYPNLPKVIGSDWLEDRIRSIHGVESSSFACHVFGHTHFCWDAVVDGIRYVQAPLAYPRERKRRMNGGETWLPFCIYLDGEFGAKVMPCYWSDYYAINPRTPSNMELAPWVARFYNLI >KJB53099 pep chromosome:Graimondii2_0_v6:8:56711705:56715803:1 gene:B456_008G293400 transcript:KJB53099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCLSLSSYSFCEKIALKKYRRCVKRGCIKRPQVVSGAKKDGFGLRVFVLSDLHTDYPENMAWVRSLSTKRHEKDVLLVAGDVAEMYDNFILTMSLLKERFEYVFFVPGNHDLWCRWETEDFDSLEKLNKLLDACKQLGVETNPAVIDGLGIIPLFSWYHESFDREDDIVGVRIPSLDMACKDFHACKWPGNLSNRDTSLALYFDLMNEKNQNTVKRIQSTCSQIITFSHFVPRQELCPEKRMLFYPNLPKVIGSDWLEDRIRSIHGVESSSFACHVFGHTHFCWDAVVDGIRYVQAPLAYPRERKRRMNGEVLS >KJB53094 pep chromosome:Graimondii2_0_v6:8:56711034:56715803:1 gene:B456_008G293400 transcript:KJB53094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKILFQKAFDSLEKLNKLLDACKQLGVETNPAVIDGLGIIPLFSWYHESFDREDDIVGVRIPSLDMACKDFHACKWPGNLSNRDTSLALYFDLMNEKNQNTVKRIQSTCSQIITFSHFVPRQELCPEKRMLFYPNLPKVIGSDWLEDRIRSIHGVESSSFACHVFGHTHFCWDAVVDGIRYVQAPLAYPRERKRRMNGGETWLPFCIYLDGEFGAKVMPCYWSDYYAINPRTPSNMELAPWVARFYNLI >KJB51569 pep chromosome:Graimondii2_0_v6:8:51025766:51029690:-1 gene:B456_008G223800 transcript:KJB51569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMLSQLAAKFAFFPPSPPTYQVKKGDNGKLTVVSSSSMPAPVADDPSLDVLLIDTKRGNKIVAFYLKNPYARLTVLYSHGNAADLGQLYDLFVQLKVNLRVNLMGYDYSGYGASTGKPSESNTYADIEAVYQCLQTEYGISQEDLILYGQSVGSGPTLHLAAKLPRLRGVVLHSGILSGLRVLCHVKFNFCFDIYQNIKKIQKVKCPVLVIHGTEDDVVNWLHGNGLWKMAREPYEPLWIKGGGHCNLELYPDYILHLCKFIYEMENTTTAIRLKRIRESLGLPTRSNTNSSAQVDRCCKIKICQPKCLKCPKPRCGKCFWWPKSLCCWKPCNCCWKPECRLSCCCCCFCFKCSEWRCCVGIHKGINGKQEG >KJB51570 pep chromosome:Graimondii2_0_v6:8:51025803:51029611:-1 gene:B456_008G223800 transcript:KJB51570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMLSQLAAKFAFFPPSPPTYQVKKGDNGKLTVVSSSSMPAPVADDPSLDVLLIDTKRGNKIVAFYLKNPYARLTVLYSHGNAADLGQLYDLFVQLKVNLRVNLMGYDYSGYGASTGKPSESNTYADIEAVYQCLQTEYGISQEDLILYGQSVGSGPTLHLAAKLPRLRGVVLHSGILSGLRVLCHNIKKIQKMMLSIGCMEMDCGKWQGNRTSLCGSKEVVTATWSYTLITYSIFASSFMKWRTRQQRFGLKGFVKVSVYQQGQIPTLQLRLIGAVRLKYANLNASNVQNQGAVNVSGGRKAFVVGSPATVAGSRNAG >KJB46952 pep chromosome:Graimondii2_0_v6:8:463542:469273:1 gene:B456_008G002800 transcript:KJB46952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGDFMYPSSSDRQCVTHRVAIPPPQPFFMSFKNSLKETFFPDDPLRQFKNKTPSRRFILGLQYFLPILEWAPRYSFQFLKSDLVAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLVYAMMGSSRDLAVGTVAVASLLTASMLGQEVNAAQNPTLFLHLAFTATFFAGILQASLGLLRLGFIVDFLSHATIVGFMAGAATVVILQQLKGILGLDHFTHSTDIISVLHSVFSQIHQWRWESGVLGVGFLFFLLVTRYFSKRRPKFFWISAMAPLTSVILGSLLVYLTHAEKHGVQVIGNLKKGLNPLSFGDLVFTKPYITTSLKTGIITGIIALAEGIAVGRSFAMFKHYHIDGNKEMVAIGTMNIVGSCFSCYLTTGPFSRSAVNFNAGCKTAMSNVIMAIAVMLTLLFLTPLFYYTPLVVLSAIIISAMLGLIDYEAAIHLWNVDKFDFLVCIGAYAGVVFASVEVGLVIAVAISVVRLLLFVARPRTSVLGNLPDSTIYRNVEQYPNANHVHGVLILEIDAPIYFANSSYLRERYYHFTLNIKINSRKKLSDS >KJB46949 pep chromosome:Graimondii2_0_v6:8:463483:469417:1 gene:B456_008G002800 transcript:KJB46949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGDFMYPSSSDRQCVTHRVAIPPPQPFFMSFKNSLKETFFPDDPLRQFKNKTPSRRFILGLQYFLPILEWAPRYSFQFLKSDLVAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLVYAMMGSSRDLAVGTVAVASLLTASMLGQEVNAAQNPTLFLHLAFTATFFAGILQASLGLLRLGFIVDFLSHATIVGFMAGAATVVILQQLKGILGLDHFTHSTDIISVLHSVFSQIHQWRWESGVLGVGFLFFLLVTRYFSKRRPKFFWISAMAPLTSVILGSLLVYLTHAEKHGVQVIGNLKKGLNPLSFGDLVFTKPYITTSLKTGIITGIIALAEGIAVGRSFAMFKHYHIDGNKEMVAIGTMNIVGSCFSCYLTTGPFSRSAVNFNAGCKTAMSNVIMAIAVMLTLLFLTPLFYYTPLVVLSAIIISAMLGLIDYEAAIHLWNVDKFDFLVCIGAYAGVVFASVEVGLVIAVAISVVRLLLFVARPRTSVLGNLPDSTIYRNVEQYPNANHVHGVLILEIDAPIYFANSSYLRERISRWIDEEEDKLKATGKTSLQYVILDMTAVGNIDTSGISMLEELKKTTERRELKLVLANPGAEVMKKLNKSKFLENIGQEWIYLTVGEAVEACNFKLHTCKPEESQPWNNV >KJB46950 pep chromosome:Graimondii2_0_v6:8:463646:468574:1 gene:B456_008G002800 transcript:KJB46950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGDFMYPSSSDRQCVTHRVAIPPPQPFFMSFKNSLKETFFPDDPLRQFKNKTPSRRFILGLQYFLPILEWAPRYSFQFLKSDLVAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLVYAMMGSSRDLAVGTVAVASLLTASMLGQEVNAAQNPTLFLHLAFTATFFAGILQASLGLLRLGFIVDFLSHATIVGFMAGAATVVILQQLKGILGLDHFTHSTDIISVLHSVFSQIHQWRWESGVLGVGFLFFLLVTRYFSKRRPKFFWISAMAPLTSVILGSLLVYLTHAEKHGVQVIGNLKKGLNPLSFGDLVFTKPYITTSLKTGIITGIIALAEGIAVGRSFAMFKHYHIDGNKEMVAIGTMNIVGSCFSCYLTTGPFSRSAVNFNAGCKTAMSNVIMAIAVMLTLLFLTPLFYYTPLVVLSAIIISAMLGLIDYEAAIHLWNVDKFDFLVCIGAYAGVVFASVEVGLVIAVAISVVRLLLFVARPRTSVLGNLPDSTIYRNVEQYPNANHVHGVLILEIDAPIYFANSSYLRERYYHFTLNIKINSRKKLSDS >KJB46951 pep chromosome:Graimondii2_0_v6:8:463542:469273:1 gene:B456_008G002800 transcript:KJB46951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGDFMYPSSSDRQCVTHRVAIPPPQPFFMSFKNSLKETFFPDDPLRQFKNKTPSRRFILGLQYFLPILEWAPRYSFQFLKSDLVAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLVYAMMGSSRDLAVGTVAVASLLTASMLGQEVNAAQNPTLFLHLAFTATFFAGILQASLGLLRLGFIVDFLSHATIVGFMAGAATVVILQQLKGILGLDHFTHSTDIISVLHSVFSQIHQWRWESGVLGVGFLFFLLVTRYFSKRRPKFFWISAMAPLTSVILGSLLVYLTHAEKHGVQVIGNLKKGLNPLSFGDLVFTKPYITTSLKTGIITGIIALAEGIAVGRSFAMFKHYHIDGNKEMVAIGTMNIVGSCFSCYLTTGPFSRSAVNFNAGCKTAMSNVIMAIAVMLTLLFLTPLFYYTPLVVLSAIIISAMLGLIDYEAAIHLWNVDKFDFLVCIGAYAGVVFASVEVGLVIAVAISVVRLLLFVARPRTSVLGNLPDSTIYRNVEQYPNANHVHGVLILEIDAPIYFANSSYLRERISRWIDEEEDKLKATGKTSLQYVILDMTVG >KJB50486 pep chromosome:Graimondii2_0_v6:8:44926474:44943778:-1 gene:B456_008G173700 transcript:KJB50486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVAVDKDQQWLTNCLSASLDPNQEVRSFAEASLDQASLQPGFGRGLSQVAANRDLPFGLRQLAALILKQFIRKHWQEGDESFQSPAVSSDEKAVIRGLLLSTLDDPNRKLCTAISMAIAAIAVYDWPESWPDLLPFLLKLIGDQTSMNGVHGGLRCLALLSGDLDDTMIPTLVPVLFPCLYTIVSSSQTYNKYLRTKALSVVYACTSMLGAMSGVYQVETNVLMEPMLKPWLDQFSFILEHPVQPEDTDDWSIRMEVLKCLNQFVQNFPSFNESLFMVIVGPLWQTFVSSLSVYTRSSIEGTEDPYEGSYDSDGAEKSLDSFVIQLFEFLLTIVGSTKFVQVVANNIADLVYYTIAFLQVTEQQVHTWSMDANQFVADEDDVTYSCRVSGALLLEEVATCCGGDGIDAIINAASKRFSESQQEKAAGSVVWWRMKEATLFALASLSEQLLEAEVSGLTKVSIGNLLEQMITEDMGIGVHEYPFLYARMFISVAKFSSVMSGGILEHFLLAAMKTIGMDVPPAVKVGACRALSQLLPEAKKNTIEPQMMGLLSSLTDLLHRASDETLHLVLETLQAAIKAGHESSASAEPIISPIILNMWVLHISDPFICIDAIEVLEAIKNTPGCFLPLASRILPYIGPVLNKPQQQPNGLVAGSLDLLTMLLKNAPTDVVKAAYDVCFDAIIRIVLESDDHSEMQNATECLASFVSGGRQELLFWGSDSGFTMRSLLDAASRLLDPDLESSGSLFVGSYILQLILHLPSQMGQHIQNLIVALVRRMQSASIEGLRSSLLLIFARLIHLSAPNVEQFINLLMTIPAEGYQNAFVYVMSEWTKQQGEIQGAYQIKVTTSALALLLSTRHPELTNINVQGHLIKSISGITTRSKAKSAPDQWTIVPLPAKILALLADALIEIQEQVRDAEDEDSDWEEIHGDMDSDKDLLSSAAATPFGRSGYEHLEAMAKAYNENQEDEYEDNILSVTDPLNELNLANYLADFLSKFSQSDQQLFENLCQCLTRAQQDAIKIALNR >KJB50483 pep chromosome:Graimondii2_0_v6:8:44925416:44943796:-1 gene:B456_008G173700 transcript:KJB50483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVAVDKDQQWLTNCLSASLDPNQEVRSFAEASLDQASLQPGFGRGLSQVAANRDLPFGLRQLAALILKQFIRKHWQEGDESFQSPAVSSDEKAVIRGLLLSTLDDPNRKLCTAISMAIAAIAVYDWPESWPDLLPFLLKLIGDQTSMNGVHGGLRCLALLSGDLDDTMIPTLVPVLFPCLYTIVSSSQTYNKYLRTKALSVVYACTSMLGAMSGVYQVETNVLMEPMLKPWLDQFSFILEHPVQPEDTDDWSIRMEVLKCLNQFVQNFPSFNESLFMVIVGPLWQTFVSSLSVYTRSSIEGTEDPYEGSYDSDGAEKSLDSFVIQLFEFLLTIVGSTKFVQVVANNIADLVYYTIAFLQVTEQQVHTWSMDANQFVADEDDVTYSCRVSGALLLEEVATCCGGDGIDAIINAASKRFSESQQEKAAGSVVWWRMKEATLFALASLSEQLLEAEVSGLTKVSIGNLLEQMITEDMGIGVHEYPFLYARMFISVAKFSSVMSGGILEHFLLAAMKTIGMDVPPAVKVGACRALSQLLPEAKKNTIEPQMMGLLSSLTDLLHRASDETLHLVLETLQAAIKAGHESSASAEPIISPIILNMWVLHISDPFICIDAIEVLEAIKNTPGCFLPLASRILPYIGPVLNKPQQQPNGLVAGSLDLLTMLLKNAPTDVVKAAYDVCFDAIIRIVLESDDHSEMQNATECLASFVSGGRQELLFWGSDSGFTMRSLLDAASRLLDPDLESSGSLFVGSYILQLILHLPSQMGQHIQNLIVALVRRMQSASIEGLRSSLLLIFARLIHLSAPNVEQFINLLMTIPAEGYQNAFVYVMSEWTKQQGEIQGAYQIKVTTSALALLLSTRHPELTNINVQGHLIKSISGITTRSKAKSAPDQWTIVPLPAKILALLADALIEIQEQVRDAEDEDSDWEEIHGDMDSDKDLLSSAAATPFGRSGYEHLEAMAKAYNENQEDEYEDNILSVTDPLNELNLANYLADFLSKFSQSDQQLFENLCQCLTRAQQDAIKIALNR >KJB50487 pep chromosome:Graimondii2_0_v6:8:44932851:44943573:-1 gene:B456_008G173700 transcript:KJB50487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVAVDKDQQWLTNCLSASLDPNQEVRSFAEASLDQASLQPGFGRGLSQVAANRDLPFGLRQISFSETALILKQFIRKHWQEGDESFQSPAVSSDEKAVIRGLLLSTLDDPNRKLCTAISMAIAAIAVYDWPESWPDLLPFLLKLIGDQTSMNGVHGGLRCLALLSGDLDDTMIPTLVPVLFPCLYTIVSSSQTYNKYLRTKALSVVYACTSMLGAMSGVYQVETNVLMEPMLKPWLDQFSFILEHPVQPEDTDDWSIRMEVLKCLNQFVQNFPSFNESLFMVIVGPLWQTFVSSLSVYTRSSIEGTEDPYEGSYDSDGAEKSLDSFVIQLFEFLLTIVGSTKFVQVVANNIADLVYYTIAFLQVTEQQVHTWSMDANQFVADEDDVTYSCRVSGALLLEEVATCCGGDGIDAIINAASKRFSESQQEKAAGSVVWWRMKEATLFALASLSEQLLEAEVSGLTKVSIGNLLEQMITEDMGIGVHEYPFLYARMFISVAKFSSVMSGGILEHFLLAAMKTIGMDVPPAVKVGACRALSQLLPEAKKNTIEPQMMGLLSSLTDLLHRASDETLHLVLETLQAAIKAGHESSASAEPIISPIILNMWVLHISDPFICIDAIEVLEVVMQMLLTVYNKQ >KJB50484 pep chromosome:Graimondii2_0_v6:8:44926742:44939717:-1 gene:B456_008G173700 transcript:KJB50484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAIAVYDWPESWPDLLPFLLKLIGDQTSMNGVHGGLRCLALLSGDLDDTMIPTLVPVLFPCLYTIVSSSQTYNKYLRTKALSVVYACTSMLGAMSGVYQVETNVLMEPMLKPWLDQFSFILEHPVQPEDTDDWSIRMEVLKCLNQFVQNFPSFNESLFMVIVGPLWQTFVSSLSVYTRSSIEGTEDPYEGSYDSDGAEKSLDSFVIQLFEFLLTIVGSTKFVQVVANNIADLVYYTIAFLQVTEQQVHTWSMDANQFVADEDDVTYSCRVSGALLLEEVATCCGGDGIDAIINAASKRFSESQQEKAAGSVVWWRMKEATLFALASLSEQLLEAEVSGLTKVSIGNLLEQMITEDMGIGVHEYPFLYARMFISVAKFSSVMSGGILEHFLLAAMKTIGMDVPPAVKVGACRALSQLLPEAKKNTIEPQMMGLLSSLTDLLHRASDETLHLVLETLQAAIKAGHESSASAEPIISPIILNMWVLHISDPFICIDAIEVLEAIKNTPGCFLPLASRILPYIGPVLNKPQQQPNGLVAGSLDLLTMLLKNAPTDVVKAAYDVCFDAIIRIVLESDDHSEMQNATECLASFVSGGRQELLFWGSDSGFTMRSLLDAASRLLDPDLESSGSLFVGSYILQLILHLPSQMGQHIQNLIVALVRRMQSASIEGLRSSLLLIFARLIHLSAPNVEQFINLLMTIPAEGYQNAFVYVMSEWTKQQGEIQGAYQIKVTTSALALLLSTRHPELTNINVQGHLIKSISGITTRSKAKSAPDQWTIVPLPAKILALLADALIEIQEQVRDAEDEDSDWEEIHGDMDSDKDLLSSAAATPFGRSGYEHLEAMAKAYNENQEDEYEDNILSVTDPLNELNLANYLADFLSKFSQSDQQLFENLCQCLTRAQQDAIKIALNR >KJB50481 pep chromosome:Graimondii2_0_v6:8:44926742:44943573:-1 gene:B456_008G173700 transcript:KJB50481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVAVDKDQQWLTNCLSASLDPNQEVRSFAEASLDQASLQPGFGRGLSQVAANRDLPFGLRQLAALILKQFIRKHWQEGDESFQSPAVSSDEKAVIRGLLLSTLDDPNRKLCTAISMAIAAIAVYDWPESWPDLLPFLLKLIGDQTSMNGVHGGLRCLALLSGDLDDTMIPTLVPVLFPCLYTIVSSSQTYNKYLRTKALSVVYACTSMLGAMSGVYQVETNVLMEPMLKPWLDQFSFILEHPVQPEDTDDWSIRMEVLKCLNQFVQNFPSFNESLFMVIVGPLWQTFVSSLSVYTRSSIEGTEDPYEGSYDSDGAEKSLDSFVIQLFEFLLTIVGSTKFVQVVANNIADLVYYTIAFLQVTEQQVHTWSMDANQFVADEDDVTYSCRVSGALLLEEVATCCGGDGIDAIINAASKRFSESQQEKAAGSVVWWRMKEATLFALASLSEQLLEAEVSGLTKVSIGNLLEQMITEDMGIGVHEYPFLYARMFISVAKFSSVMSGGILEHFLLAAMKTIGMDVPPAVKVGACRALSQLLPEAKKNTIEPQMMGLLSSLTDLLHRASDETLHLVLETLQAAIKAGHESSASAEPIISPIILNMWVLHISDPFICIDAIEVLEAIKNTPGCFLPLASRILPYIGPVLNKPQQQPNGLVAGSLDLLTMLLKNAPTDVVKAAYDVCFDAIIRIVLESDDHSEMQNATECLASFVSGGRQELLFWGSDSGFTMRSLLDAASRLLDPDLESSGSLFVGSYILQLILHLPSQMGQHIQNLIVALVRRMQSASIEGLRSSLLLIFARLIHLSAPNVEQFINLLMTIPAEGYQNAFVYVMSEWTKQQGEIQGAYQIKVTTSALALLLSTRHPELTNINVQGHLIKSISGITTRSKAKSAPDQWTIVPLPAKILALLADALIEIQEQVRDAEDEDSDWEEIHGDMDSDKDLLSSAAATPFGRSGYEHLEAMAKAYNENQEDEYEDNILSVTDPLNELNLANYLADFLSKFSQSDQQLFENLCQCLTRAQQDAIKIALNR >KJB50485 pep chromosome:Graimondii2_0_v6:8:44926471:44939812:-1 gene:B456_008G173700 transcript:KJB50485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAIAVYDWPESWPDLLPFLLKLIGDQTSMNGVHGGLRCLALLSGDLDDTMIPTLVPVLFPCLYTIVSSSQTYNKYLRTKALSVVYACTSMLGAMSGVYQVETNVLMEPMLKPWLDQFSFILEHPVQPEDTDDWSIRMEVLKCLNQFVQNFPSFNESLFMVIVGPLWQTFVSSLSVYTRSSIEGTEDPYEGSYDSDGAEKSLDSFVIQLFEFLLTIVGSTKFVQVVANNIADLVYYTIAFLQVTEQQVHTWSMDANQFVADEDDVTYSCRVSGALLLEEVATCCGGDGIDAIINAASKRFSESQQEKAAGSVVWWRMKEATLFALASLSEQLLEAEVSGLTKVSIGNLLEQMITEDMGIGVHEYPFLYARMFISVAKFSSVMSGGILEHFLLAAMKTIGMDVPPAVKVGACRALSQLLPEAKKNTIEPQMMGLLSSLTDLLHRASDETLHLVLETLQAAIKAGHESSASAEPIISPIILNMWVLHISDPFICIDAIEVLEAIKNTPGCFLPLASRILPYIGPVLNKPQQQPNGLVAGSLDLLTMLLKNAPTDVVKAAYDVCFDAIIRIVLESDDHSEMQNATECLASFVSGGRQELLFWGSDSGFTMRSLLDAASRLLDPDLESSGSLFVGSYILQLILHLPSQMGQHIQNLIVALVRRMQSASIEGLRSSLLLIFARLIHLSAPNVEQFINLLMTIPAEGYQNAFVYVMSEWTKQQGITTRSKAKSAPDQWTIVPLPAKILALLADALIEIQEQVRDAEDEDSDWEEIHGDMDSDKDLLSSAAATPFGRSGYEHLEAMAKAYNENQEDEYEDNILSVTDPLNELNLANYLADFLSKFSQSDQQLFENLCQCLTRAQQDAIKIALNR >KJB50482 pep chromosome:Graimondii2_0_v6:8:44925922:44943796:-1 gene:B456_008G173700 transcript:KJB50482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVAVDKDQQWLTNCLSASLDPNQEVRSFAEASLDQASLQPGFGRGLSQVAANRDLPFGLRQLAALILKQFIRKHWQEGDESFQSPAVSSDEKAVIRGLLLSTLDDPNRKLCTAISMAIAAIAVYDWPESWPDLLPFLLKLIGDQTSMNGVHGGLRCLALLSGDLDDTMIPTLVPVLFPCLYTIVSSSQTYNKYLRTKALSVVYACTSMLGAMSGVYQVETNVLMEPMLKPWLDQFSFILEHPVQPEDTDDWSIRMEVLKCLNQFVQNFPSFNESLFMVIVGPLWQTFVSSLSVYTRSSIEGTEDPYEGSYDSDGAEKSLDSFVIQLFEFLLTIVGSTKFVQVVANNIADLVYYTIAFLQVTEQQVHTWSMDANQFVADEDDVTYSCRVSGALLLEEVATCCGGDGIDAIINAASKRFSESQQEKAAGSVVWWRMKEATLFALASLSEQLLEAEVSGLTKVSIGNLLEQMITEDMGIGVHEYPFLYARMFISVAKFSSVMSGGILEHFLLAAMKTIGMDVPPAVKVGACRALSQLLPEAKKNTIEPQMMGLLSSLTDLLHRASDETLHLVLETLQAAIKAGHESSASAEPIISPIILNMWVLHISDPFICIDAIEVLEAIKNTPGCFLPLASRILPYIGPVLNKPQQQPNGLVAGSLDLLTMLLKNAPTDVVKAAYDVCFDAIIRIVLESDDHSEMQNATECLASFVSGGRQELLFWGSDSGFTMRSLLDAASRLLDPDLESSGSLFVGSYILQLILHLPSQMGQHIQNLIVALVRRMQSASIEGLRSSLLLIFARLIHLSAPNVEQFINLLMTIPAEGYQNAFVYVMSEWTKQQGEIQGAYQIKVTTSALALLLSTRHPELTNINVQGHLIKSISGITTRSKAKSAPDQWTIVPLPAKILALLADALIEIQEQVRDAEDEDSDWEEIHGDMDSDKDLLSSAAATPFGRSGYEHLEAMAKAYNENQEDEYEDNILSVTDPLNELNLANYLADFLSKFSQSDQQLFENLCQCLTRAQQDAIKIALNR >KJB47443 pep chromosome:Graimondii2_0_v6:8:3150171:3151995:-1 gene:B456_008G026800 transcript:KJB47443 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCG-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT2G15890) UniProtKB/Swiss-Prot;Acc:Q9XIM0] MIKSILLRPYSAPILVEVKDIHRSGLSPLSKTRFASTIRCSSSNDAYIPKLEPFSRTKFERVVKDPPLIEKSENDLAGTSITCITFKKEINNDLVFILVISLFSDYCSTLEGDESYSCWRAYFELKDLEKEVAKEEVEHLIIQAGGVKSLIGFLHGIASIHNTGNNKGISSKAKPLQTEHEGKRFSHIPDGLPKSAEEIEEEERARMLDSPFTRMLRTKGTFPAWYSPAPDHETH >KJB47442 pep chromosome:Graimondii2_0_v6:8:3150121:3151995:-1 gene:B456_008G026800 transcript:KJB47442 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCG-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT2G15890) UniProtKB/Swiss-Prot;Acc:Q9XIM0] MIKSILLRPYSAPILVEVKDIHRSGLSPLSKTRFASTIRCSSSNDAYIPKLEPFSRTKFERVVKDPPLIEKSENDLADYCSTLEGDESYSCWRAYFELKDLEKEVAKEEVEHLIIQAGGVKSLIGFLHGIASIHNTGNNKGISSKAKPLQTEHEGKRFSHIPDGLPKSAEEIEEEERARMLDSPFTRMLRTKGTFPAWYSPAPDHETH >KJB52749 pep chromosome:Graimondii2_0_v6:8:55360960:55364686:-1 gene:B456_008G275600 transcript:KJB52749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAKLKAANSANLMKSEDLNDSLDTFIRQAIGKEPFLPFSRTGDSPVQWIQLLHALDQQDIPGWPLLSPLKVQAQKCDKCSREFCSPINYRRHIRVHHRLKKLDKDSAKNRDLLAAFWDKLSEDEAKEVVSFKDVLLEGVPGSSVIKSLTTHVKRQGFSALPQVCLRAGSALLDLVQARPSIFPISSQELFSILDDASERTFLCGAAVSMQKYIFDGEAGKIGLETKNLVACTSFLVEQKLVKEWLADKDAEALRCQKLLVEEEEAAQKRQVELLERKRQKKLRQKEQKAKEQRHWELEESKPNMDDLLEVNTLAETSSPSAVDSDGQNPLMSTDQIIPSVEPNLFSKLEENVDYEIQTGFSNGYSDPGISQNIERRTEQVGHRHIVVARRQTPPNSQRGVLNDFHASQNSHGFKCGGINKHGTNRERFAPIGNGNKIWSQKPKAVNEGESLKIRMEKQAANQLNQNKNHELLIGSISVTLENYSNHDGNNLAEACDRSLAECRIPKNNVQEKSSKLDPVQGVTNRSTIKFWRPVSRHESKSSSPVQNGASESEVQVIAEKDGARTSSNETCLRSCSTDGIDGVVSMDLISTLKESVQPESSQFDSHTAKAILAQRWKEALAGEHVTLVLTPNLDPPGCSEIEADSSEKLVVKARAFEASTAGPARGKFRRKPEKGAKIKYIPKQRSAT >KJB52750 pep chromosome:Graimondii2_0_v6:8:55360444:55366058:-1 gene:B456_008G275600 transcript:KJB52750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAKLKAANSANLMKSEDLNDSLDTFIRQAIGKEPFLPFSRTGDSPVQWIQLLHALDQQDIPGWPLLSPLKVQAQKCDKCSREFCSPINYRRHIRVHHRLKKLDKDSAKNRDLLAAFWDKLSEDEAKEVVSFKDVLLEGVPGSSVIKSLTTHVKRQGFSALPQVCLRAGSALLDLVQARPSIFPISSQELFSILDDASERTFLCGAAVSMQKYIFDGEAGKIGLETKNLVACTSFLVEQKLVKEWLADKDAEALRCQKLLVEEEEAAQKRQVELLERKRQKKLRQKEQKAKEQRHWELEESKPNMDDLLEVNTLAETSSPSAVDSDGQNPLMSTDQIIPSVEPNLFSKLEENVDYEIQTGFSNGYSDPGISQNIERRTEQVGHRHIVVARRQTPPNSQRGVLNDFHASQNSHGFKCGGINKHGTNRERFAPIGNGNKIWSQKPKAVNEGESLKIRMEKQAANQLNQNKNHELLIGSISVTLENYSNHDGNNLAEACDRSLAECRIPKNNVQEKSSKLDPVQGVTNRSTIKFWRPVSRHESKSSSPVQNGASESEVQVIAEKDGARTSSNETCLRSCSTDGIDGVVSMDLISTLKESVQPESSQFDSHTAKAILAQRWKEALAGEHVTLVLTPNLDPPGCSEIEADSSEKLVVKARAFEASTAGPARGKFRRKPEKGAKIKYIPKQRSAT >KJB52751 pep chromosome:Graimondii2_0_v6:8:55360444:55366298:-1 gene:B456_008G275600 transcript:KJB52751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEDLNDSLDTFIRQAIGKEPFLPFSRTGDSPVQWIQLLHALDQQDIPGWPLLSPLKVQAQKCDKCSREFCSPINYRRHIRVHHRLKKLDKDSAKNRDLLAAFWDKLSEDEAKEVVSFKDVLLEGVPGSSVIKSLTTHVKRQGFSALPQVCLRAGSALLDLVQARPSIFPISSQELFSILDDASERTFLCGAAVSMQKYIFDGEAGKIGLETKNLVACTSFLVEQKLVKEWLADKDAEALRCQKLLVEEEEAAQKRQVELLERKRQKKLRQKEQKAKEQRHWELEESKPNMDDLLEVNTLAETSSPSAVDSDGQNPLMSTDQIIPSVEPNLFSKLEENVDYEIQTGFSNGYSDPGISQNIERRTEQVGHRHIVVARRQTPPNSQRGVLNDFHASQNSHGFKCGGINKHGTNRERFAPIGNGNKIWSQKPKAVNEGESLKIRMEKQAANQLNQNKNHELLIGSISVTLENYSNHDGNNLAEACDRSLAECRIPKNNVQEKSSKLDPVQGVTNRSTIKFWRPVSRHESKSSSPVQNGASESEVQVIAEKDGARTSSNETCLRSCSTDGIDGVVSMDLISTLKESVQPESSQFDSHTAKAILAQRWKEALAGEHVTLVLTPNLDPPGCSEIEADSSEKLVVKARAFEASTAGPARGKFRRKPEKGAKIKYIPKQRSAT >KJB52748 pep chromosome:Graimondii2_0_v6:8:55361222:55364686:-1 gene:B456_008G275600 transcript:KJB52748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAKLKAANSANLMKSEDLNDSLDTFIRQAIGKEPFLPFSRTGDSPVQWIQLLHALDQQDIPGWPLLSPLKVQAQKCDKCSREFCSPINYRRHIRVHHRLKKLDKDSAKNRDLLAAFWDKLSEDEAKEVVSFKDVLLEGVPGSSVIKSLTTHVKRQGFSALPQVCLRAGSALLDLVQARPSIFPISSQELFSILDDASERTFLCGAAVSMQKYIFDGEAGKIGLETKNLVACTSFLVEQKLVKEWLADKDAEALRCQKLLVEEEEAAQKRQVELLERKRQKKLRQKEQKAKEQRHWELEESKPNMDDLLEVNTLAETSSPSAVDSDGQNPLMSTDQIIPSVEPNLFSKLEENVDYEIQTGFSNGYSDPGISQNIERRTEQVGHRHIVVARRQTPPNSQRGVLNDFHASQNSHGFKCGGINKHGTNRERFAPIGNGNKIWSQKPKAVNEGESLKIRMEKQAANQLNQNKNHELLIGSISVTLENYSNHDGNNLAEACDRSLAECRIPKNNVQEKSSKLDPVQGVTNRSTIKFWRPVSRHESKSSSPVQNGASESEVQVIAEKDGARTSSNETCLRSCSTDGIDGVVSMDLISTLKESVQPESSQFDSHTAKAILAQSKSGCLNDLSNNSISTVLLLPN >KJB52240 pep chromosome:Graimondii2_0_v6:8:53546983:53550185:-1 gene:B456_008G251900 transcript:KJB52240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGVGKKGLNASLIRALASAPVGLSAGLNSSSTFDLAVKSLSASFKCVPGLSFSLFLRGSRYHKILKNEPLIRYFHASPELLARKGIEEELGLKVSKKKPKGKYSKRERSPPVVAPYVPKLKRTNKSLQERTVEIFDGMTLVELAKRTGEGIGVLQDILINVGESIDSEFHPLSIDIAELIAMEVGASVKRIHVSEGKEILPRPPVVTVMGHVDHGKTSLLDSLRQTSVAANEAGGITQHLGAFVVSMQSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAISHAKAANVPIVVAVNKCDKPAANSERVKLQLASEGLLLEDMGGDIQVVEVSALKKTGLDNLEEALLLQAEVMNLKARVDGPAQAYVVEARLHKGRGPLATAIVKAGTLVCGQHVVVGSEWGRIRAIRDVVGNLAERATPAMPVEIEGLKGLPMAGDDIIVVQSEERARMLSAGRKKKFERDRLLKISNGRAEELEQSEEVPQRAEMPIIVKADVQGTVQAVTDALRSLNSPQVCESRAILSDKYILYIHVHDLNPHCLGC >KJB52241 pep chromosome:Graimondii2_0_v6:8:53545545:53550646:-1 gene:B456_008G251900 transcript:KJB52241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGVGKKGLNASLIRALASAPVGLSAGLNSSSTFDLAVKSLSASFKCVPGLSFSLFLRGSRYHKILKNEPLIRYFHASPELLARKGIEEELGLKVSKKKPKGKYSKRERSPPVVAPYVPKLKRTNKSLQERTVEIFDGMTLVELAKRTGEGIGVLQDILINVGESIDSEFHPLSIDIAELIAMEVGASVKRIHVSEGKEILPRPPVVTVMGHVDHGKTSLLDSLRQTSVAANEAGGITQHLGAFVVSMQSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAISHAKAANVPIVVAVNKCDKPAANSERVKLQLASEGLLLEDMGGDIQVVEVSALKKTGLDNLEEALLLQAEVMNLKARVDGPAQAYVVEARLHKGRGPLATAIVKAGTLVCGQHVVVGSEWGRIRAIRDVVGNLAERATPAMPVEIEGLKGLPMAGDDIIVVQSEERARMLSAGRKKKFERDRLLKISNGRAEELEQSEEVPQRAEMPIIVKADVQGTVQAVTDALRSLNSPQVFVNVVHVGVGPVCQSDVDLAQACGACIVGFNVKSPASSITMAATQAGIKILMHRVIYHLLEDIGNMIVEKAPGTFETQVAGEAEVLNIFEIKGKSKAKGGDVKIAGCRVIDGCVSKTATMRLLRSGEVVFEGPCASLKQEKHDVEKVGKGNECGLVLCNCDAFQIGDVIQCLEQVVRKPKFISSESGAVRIEC >KJB52239 pep chromosome:Graimondii2_0_v6:8:53545545:53549010:-1 gene:B456_008G251900 transcript:KJB52239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVELAKRTGEGIGVLQDILINVGESIDSEFHPLSIDIAELIAMEVGASVKRIHVSEGKEILPRPPVVTVMGHVDHGKTSLLDSLRQTSVAANEAGGITQHLGAFVVSMQSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAISHAKAANVPIVVAVNKCDKPAANSERVKLQLASEGLLLEDMGGDIQVVEVSALKKTGLDNLEEALLLQAEVMNLKARVDGPAQAYVVEARLHKGRGPLATAIVKAGTLVCGQHVVVGSEWGRIRAIRDVVGNLAERATPAMPVEIEGLKGLPMAGDDIIVVQSEERARMLSAGRKKKFERDRLLKISNGRAEELEQSEEVPQRAEMPIIVKADVQGTVQAVTDALRSLNSPQVFVNVVHVGVGPVCQSDVDLAQACGACIVGFNVKSPASSITMAATQAGIKILMHRVIYHLLEDIGNMIVEKAPGTFETQVAGEAEVLNIFEIKGKSKAKGGDVKIAGCRVIDGCVSKTATMRLLRSGEVVFEGPCASLKQEKHDVEKVGKGNECGLVLCNCDAFQIGDVIQCLEQVVRKPKFISSESGAVRIEC >KJB52243 pep chromosome:Graimondii2_0_v6:8:53545618:53550666:-1 gene:B456_008G251900 transcript:KJB52243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGVGKKGLNASLIRALASAPVGLSAGLNSSSTFDLAVKSLSASFKCVPGLSFSLFLRGSRYHKILKNEPLIRYFHASPELLARKGIEEELGLKVSKKKPKGKYSKRERSPPVVAPYVPKLKRTNKSLQERTVEIFDGMTLVELAKRTGEGIGVLQDILINVGESIDSEFHPLSIDIAELIAMEVGASVKRIHVSEGKEILPRPPVVTVMGHVDHGKTSLLDSLRQTSVAANEAGGITQHLGAFVVSMQSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAISHAKAANVPIVVAVNKCDKPAANSERVKLQLASEGLLLEDMGGDIQVVEVSALKKTGLDNLEEALLLQAEVMNLKARVDGPAQAYVVEARLHKGRGPLATAIVKAGTLVCGQHVVVGSEWGRIRAIRDVVGNLAERATPAMPVEIEGLKGLPMAGDDIIVVQSEERARMLSAGRKKKFERDRLLKISNGRAEELEQSEEVPQRAEMPIIVKADVQGTVQAVTDALRSLNSPQVFVNVVHVGVGPVCQSDVDLAQACGACIVGFNVKSPASSITMAATQAGIKILMHRVIYHLLEDIGNMIVEKAPGTFETQVAGEAEVLNIFEIKGKSKAKGGDVKIAGCRVIDGCVSKTATMRLLRSGEVVFEGPCASLKQEKHDVEKVGKGNECGLVLCNCDAFQIGDVIQCLEQVVRKPKFISSESGAVRIEC >KJB52242 pep chromosome:Graimondii2_0_v6:8:53545618:53550670:-1 gene:B456_008G251900 transcript:KJB52242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGVGKKGLNASLIRALASAPVGLSAGLNSSSTFDLAVKSLSASFKCVPGLSFSLFLRGSRYHKILKNEPLIRYFHASPELLARKGIEEELGLKVSKKKPKGKYSKRERSPPVVAPYVPKLKRTNKSLQERTVEIFDGMTLVELAKRTGEGIGVLQDILINVGESIDSEFHPLSIDIAELIAMEVGASVKRIHVSEGKEILPRPPVVTVMGHVDHGKTSLLDSLRQTSVAANEAGGITQHLGAFVVSMQSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAISHAKAANVPIVVAVNKCDKPAANSERVKLQLASEGLLLEDMGGDIQVVEVSALKKTGLDNLEEALLLQAEVMNLKARVDGPAQAYVVEARLHKGRGPLATAIVKAGTLVCGQHVVVGSEWGRIRAIRDVVGNLAERATPAMPVEIEGLKGLPMAGDDIIVVQSEERARMLSAGRKKKFERDRLLKISNGRAEELEQSEEVPQRAEMPIIVKADVQGTVQAVTDALRSLNSPQVFVNVVHVGVGPVCQSDVDLAQACGACIVGFNVKSPASSITMAATQAGIKILMHRVIYHLLEDIGNMIVEKAPGTFETQVAGEAEVLNIFEIKGKSKAKGGDVKIAGCRVIDGCVSKTATMRLLRSGEVVFEGPCASLKQEKHDVEKVGKGNECGLVLCNCDAFQIGDVIQCLEQVVRKPKFISSESGAVRIEC >KJB46749 pep chromosome:Graimondii2_0_v6:8:29884084:29910897:-1 gene:B456_008G100800 transcript:KJB46749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSASSEGPAILKLHKWGPSELPLNLSEYREAFISPTRELLLLLSYQCQALLLPLTTGGSVDADVSESCHDKISQNLDLLACRSNLKEDIPSSSGSATDCDDVISQKHGFSRSNGYPFLCDVNSLAWGMCGDTYNQHKDGSFRELLFVSGNQGVMVHAFSHPDNSSEPAAMLEGEFREGKWVEWGPSSLPFKHIEAEKPVDLSFEATQNTIDKNIANGNLGVPDKISKKVGVDVLSETSSSKRWLRSFFTKAETVEYEGSIWTRFPQKSSFPSSAKVVSFGIFSSNFPVLRFLCKENSSSSGESCQETIRNLENGSHENVELGTSDVGSNTSYKCTRVFSSNSHQLIGFFLTLMSSASSSTSDGSERRTKNMIVIGRLDIWGIQWVSLVKLQQNVNTCPLNDWKDFHFSDDVLICLNASGLVFFYDAISGEHVAHLDILQTCRLSCSANLRESERSSLDDDMQSKSNYQHGDLFGRRTFKRLLLASFTSHLAVVDENDIVYVIYGGDHLPDKYHSIEKLLPHYQHLGLGMLVGWDVGNSDISHQRIYISSSNSCNLNSSSKKNEIVSFCDNTGNNILQKIHGWNRYGNGCLSDSVLNGFSAASKVTDEKVHDSQIQFHLMRKIFLPTYRYSDDDCICFSPFGITRLIRRHNFKDSKNSKIVHFDLHTDSVVQDDRFLNSGSKKFSLKGREEVSIGEAIGCTFQGCFYLVTDGGLSVVLPSVSVSSNLLLIETVGFQQPNISTGIGCQAKNILGLEEPKMFWSPWKVEILDRVLLFEGPEEADRLCLENGWDLRFSRMRRLQVALDYLKFDEAKQSLEMLVGVNLAEEGVLRLLFAAVYLMFGKNGNDNEVSAASRLLKLATWFATKMIREYGLLQLKRDAFMFHGLDKPGVLALPSVLPDKTQNEVGTSMKLREMAHFLEVIRNLQYQLRAKLKKPGQALVDRKESLTIVDPSSLQDEFQFSTPSVDSLETLNQHELQIPALAFLPNNNEKLALVPNNSISTESYLNSEDPGEATALIRHGVGSGKILPTENPKEMIARWKIDNLDLKTVVKDALLSGRLPLAVLQLHLHRSSEFTSDEEPHDTFNEVSDIGRDIAYDLFLKGETELAIATLQRLGEDVEICLKQLLFGTVRKTLRVQIAEEMRRYGYLGSVEWKLLERISLIERLYPSCCFWKTFHDRLKECMRVTSTLNSPEGVRVTSTLNSPEGVHLRLLDFFNNLKIECGEIDGVVLGAWANVNENSSDTVPDQDDVHAGYWAAAAVWSKVWDQRTIDRIVLDQPFVMGVHVSWESQLEYHAYHNDWEEVFKLLDFIPTSVLSNGSLQIALDGFQSASTIECNRFPDFGNYICSVEELDAVCMDIPDIKIFRSSSVFMCSTWLRMLIEQELVKKLIFLKEYWEGTAELASLLARSGFITERYKISFEDNSIERSPDLDFSSRNGNFRLDTVQALDKLLIHYCAQNNLPNLLDLYLDCLKLVFNDESLLSLQEATGDCHWARWLLLSRFNGHEYDASFENTRSIMSHNLIHGGNLHGHEVDEVIHTIDDIAEGGGEMAALATLMYASAPIQNCLTSGSVNRHNSSTAQCTLENLRPTLQHYPTLWRTLVSGCFGQDTSFGFFHTGAKNALADYLNWRDNIFFSTGRDTSLLQMLPCWFPKAVRRLVQLYVQGPLGWQSLSGLPTGESLLDRDVDFYINADEQAEINAISWEATIQKHVEEELYHSSLKETGLGLEHHLHRGRALAAFNHLLISRVEKLKIEGRTNASGQTNVQSDVQTLLAPISEKEECLLSSIMPFAITHFEDNVLVASCAFLLELCGLSASMLRVDVASLRRISLFYKSIQNKDNSRQLSSKGSAFQPATHDDSIMESLARALADECMHGDNSRNSKQRGSLISVYGKQPSRALMLVLQHLEKASLPQLVEGKTCGSWLLTGNGDGTELRSQQKAASQYWSLVTVFCQIHQLPLSTKYLAVLARDNDWVGFLCEAQIGGYSFDTVFQVASKEFSDPRLKIHILTVLKSIQSKKKASSQSYLDKKSESPFLEENVYMPVELFRVLADCEKQKNPGEALLLKAKDFSWSILAMIASCFPDVSPLSCLTVWLEITAARETKSIKVNDIATQMADNVAAAVEATNSLPGGSRSLSFHYNRRNPKRRWLLDTSCRAPLSEASDSSTRIFSAEGSTAGEEKKVELSEQINVSSDFNEGPASLAKMVAVLCEQHLFLPLLRAFELFLPSCSFLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPSHLQTNIGRDGQVGMSWISSTAIKAADATLSTCPSPYEKRCLLQLLAAADFGDGGFAAACYRRLYWKINLAEPSLRKNDGLHLGNETLDDASLLTALEENMQWEQARNWARQLEASGGPWKSSFHQVTETQAESMVAEWKEFLWDVPEERVALWGHCQTLFIRYSYPALQAGLFFLKHAEAVEKDLPARELLEMLLLSLQWLSGMITQSNPVYPLHLLREIETRVWLLAVESEAQVKSEGEISLAGSSQNHLTGNISDIIDRTASIITKMDNHINSMKNRTVEKYDGRDLLHRNQALDSSSSAVAIGSSKTKRRAKGYLPSRRPLVDLVDKSPEPEDGSNPPNLRNDVQLQDENLKIEISFSKWEERVGPRELERAVLSLLEFGQISAAKQLQQKLSPGQMPSEFILVDTALKLAAMSTPTSEIPIAILDEELLSVIQSYTPIDQHLIYPLQVLENLATVFIEGSGRGLCKRIIAVVKAANVLGLSFPEAFGKQPIELLQLLSLKAQESFEEAHLLVQTHVMPAASIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGQEIPLACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVSEGDFACLARLITGVGNFHALNFILGILIENGQLDLLLQKYSTAADTNTGTAEAVRGFRMAVLTSLKHFNPYDLDAFAMVYNHFDMKHETASLLESRAEQASLQWFECYDRDQNEDLLESMRYFIEAAEVHSSIDAGNKTRRACAQASLVSLQIRIPDSKWLNLSETNARRALVEQSRFQEALIVAEAYGLNQPTEWALVLWNQMLNPELTEEFVAEFVAVLPLQPSMLSELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLRLRLQLATSATGFADVVDACMKALDRVPDTAAPLVLRKGHGGAYLPLM >KJB46751 pep chromosome:Graimondii2_0_v6:8:29883554:29911650:-1 gene:B456_008G100800 transcript:KJB46751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFMIHKYSSTLCERFFFPHIDIVMMTVFVFLLSGLLGSLEGIISRIARILKLFILICIQTQYKKFSLKGREEVSIGEAIGCTFQGCFYLVTDGGLSVVLPSVSVSSNLLLIETVGFQQPNISTGIGCQAKNILGLEEPKMFWSPWKVEILDRVLLFEGPEEADRLCLENGWDLRFSRMRRLQVALDYLKFDEAKQSLEMLVGVNLAEEGVLRLLFAAVYLMFGKNGNDNEVSAASRLLKLATWFATKMIREYGLLQLKRDAFMFHGLDKPGVLALPSVLPDKTQNEVGTSMKLREMAHFLEVIRNLQYQLRAKLKKPGQALVDRKESLTIVDPSSLQDEFQFSTPSVDSLETLNQHELQIPALAFLPNNNEKLALVPNNSISTESYLNSEDPGEATALIRHGVGSGKILPTENPKEMIARWKIDNLDLKTVVKDALLSGRLPLAVLQLHLHRSSEFTSDEEPHDTFNEVSDIGRDIAYDLFLKGETELAIATLQRLGEDVEICLKQLLFGTVRKTLRVQIAEEMRRYGYLGSVEWKLLERISLIERLYPSCCFWKTFHDRLKECMRVTSTLNSPEGVRVTSTLNSPEGVHLRLLDFFNNLKIECGEIDGVVLGAWANVNENSSDTVPDQDDVHAGYWAAAAVWSKVWDQRTIDRIVLDQPFVMGVHVSWESQLEYHAYHNDWEEVFKLLDFIPTSVLSNGSLQIALDGFQSASTIECNRFPDFGNYICSVEELDAVCMDIPDIKIFRSSSVFMCSTWLRMLIEQELVKKLIFLKEYWEGTAELASLLARSGFITERYKISFEDNSIERSPDLDFSSRNGNFRLDTVQALDKLLIHYCAQNNLPNLLDLYLDCLKLVFNDESLLSLQEATGDCHWARWLLLSRFNGHEYDASFENTRSIMSHNLIHGGNLHGHEVDEVIHTIDDIAEGGGEMAALATLMYASAPIQNCLTSGSVNRHNSSTAQCTLENLRPTLQHYPTLWRTLVSGCFGQDTSFGFFHTGAKNALADYLNWRDNIFFSTGRDTSLLQMLPCWFPKAVRRLVQLYVQGPLGWQSLSGLPTGESLLDRDVDFYINADEQAEINAISWEATIQKHVEEELYHSSLKETGLGLEHHLHRGRALAAFNHLLISRVEKLKIEGRTNASGQTNVQSDVQTLLAPISEKEECLLSSIMPFAITHFEDNVLVASCAFLLELCGLSASMLRVDVASLRRISLFYKSIQNKDNSRQLSSKGSAFQPATHDDSIMESLARALADECMHGDNSRNSKQRGSLISVYGKQPSRALMLVLQHLEKASLPQLVEGKTCGSWLLTGNGDGTELRSQQKAASQYWSLVTVFCQIHQLPLSTKYLAVLARDNDWVGFLCEAQIGGYSFDTVFQVASKEFSDPRLKIHILTVLKSIQSKKKASSQSYLDKKSESPFLEENVYMPVELFRVLADCEKQKNPGEALLLKAKDFSWSILAMIASCFPDVSPLSCLTVWLEITAARETKSIKVNDIATQMADNVAAAVEATNSLPGGSRSLSFHYNRRNPKRRWLLDTSCRAPLSEASDSSTRIFSAEGSTAGEEKKVELSEQINVSSDFNEGPASLAKMVAVLCEQHLFLPLLRAFELFLPSCSFLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPSHLQTNIGRDGQVGMSWISSTAIKAADATLSTCPSPYEKRCLLQLLAAADFGDGGFAAACYRRLYWKINLAEPSLRKNDGLHLGNETLDDASLLTALEENMQWEQARNWARQLEASGGPWKSSFHQVTETQAESMVAEWKEFLWDVPEERVALWGHCQTLFIRYSYPALQAGLFFLKHAEAVEKDLPARELLEMLLLSLQWLSGMITQSNPVYPLHLLREIETRVWLLAVESEAQVKSEGEISLAGSSQNHLTGNISDIIDRTASIITKMDNHINSMKNRTVEKYDGRDLLHRNQALDSSSSAVAIGSSKTKRRAKGYLPSRRPLVDLVDKSPEPEDGSNPPNLRNDVQLQDENLKIEISFSKWEERVGPRELERAVLSLLEFGQISAAKQLQQKLSPGQMPSEFILVDTALKLAAMSTPTSEIPIAILDEELLSVIQSYTPIDQHLIYPLQVLENLATVFIEGSGRGLCKRIIAVVKAANVLGLSFPEAFGKQPIELLQLLSLKAQESFEEAHLLVQTHVMPAASIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGQEIPLACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVSEGDFACLARLITGVGNFHALNFILGILIENGQLDLLLQKYSTAADTNTGTAEAVRGFRMAVLTSLKHFNPYDLDAFAMVYNHFDMKHETASLLESRAEQASLQWFECYDRDQNEDLLESMRYFIEAAEVHSSIDAGNKTRRACAQASLVSLQIRIPDSKWLNLSETNARRALVEQSRFQEALIVAEAYGLNQPTEWALVLWNQMLNPELTEEFVAEFVAVLPLQPSMLSELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLRLRLQLATSATGFADVVDACMKALDRVPDTAAPLVLRKGHGGAYLPLM >KJB46750 pep chromosome:Graimondii2_0_v6:8:29883512:29911781:-1 gene:B456_008G100800 transcript:KJB46750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSASSEGPAILKLHKWGPSELPLNLSEYREAFISPTRELLLLLSYQCQALLLPLTTGGSVDADVSESCHDKISQNLDLLACRSNLKEDIPSSSGSATDCDDVISQKHGFSRSNGYPFLCDVNSLAWGMCGDTYNQHKDGSFRELLFVSGNQGVMVHAFSHPDNSSEPAAMLEGEFREGKWVEWGPSSLPFKHIEAEKPVDLSFEATQNTIDKNIANGNLGVPDKISKKVGVDVLSETSSSKRWLRSFFTKAETVEYEGSIWTRFPQKSSFPSSAKVVSFGIFSSNFPVLRFLCKENSSSSGESCQETIRNLENGSHENVELGTSDVGSNTSYKCTRVFSSNSHQLIGFFLTLMSSASSSTSDGSERRTKNMIVIGRLDIWGIQWVSLVKLQQNVNTCPLNDWKDFHFSDDVLICLNASGLVFFYDAISGEHVAHLDILQTCRLSCSANLRESERSSLDDDMQSKSNYQHGDLFGRRTFKRLLLASFTSHLAVVDENDIVYVIYGGDHLPDKYHSIEKLLPHYQHLGLGMLVGWDVGNSDISHQRIYISSSNSCNLNSSSKKNEIVSFCDNTGNNILQKIHGWNRYGNGCLSDSVLNGFSAASKVTDEKVHDSQIQFHLMRKIFLPTYRYSDDDCICFSPFGITRLIRRHNFKDSKNSKIVHFDLHTDSVVQDDRFLNSGSKKFSLKGREEVSIGEAIGCTFQGCFYLVTDGGLSVVLPSVSVSSNLLLIETVGFQQPNISTGIGCQAKNILGLEEPKMFWSPWKVEILDRVLLFEGPEEADRLCLENGWDLRFSRMRRLQVALDYLKFDEAKQSLEMLVGVNLAEEGVLRLLFAAVYLMFGKNGNDNEVSAASRLLKLATWFATKMIREYGLLQLKRDAFMFHGLDKPGVLALPSVLPDKTQNEVGTSMKLREMAHFLEVIRNLQYQLRAKLKKPGQALDEFQFSTPSVDSLETLNQHELQIPALAFLPNNNEKLALVPNNSISTESYLNSEDPGEATALIRHGVGSGKILPTENPKEMIARWKIDNLDLKTVVKDALLSGRLPLAVLQLHLHRSSEFTSDEEPHDTFNEVSDIGRDIAYDLFLKGETELAIATLQRLGEDVEICLKQLLFGTVRKTLRVQIAEEMRRYGYLGSVEWKLLERISLIERLYPSCCFWKTFHDRLKECMRVTSTLNSPEGVRVTSTLNSPEGVHLRLLDFFNNLKIECGEIDGVVLGAWANVNENSSDTVPDQDDVHAGYWAAAAVWSKVWDQRTIDRIVLDQPFVMGVHVSWESQLEYHAYHNDWEEVFKLLDFIPTSVLSNGSLQIALDGFQSASTIECNRFPDFGNYICSVEELDAVCMDIPDIKIFRSSSVFMCSTWLRMLIEQELVKKLIFLKEYWEGTAELASLLARSGFITERYKISFEDNSIERSPDLDFSSRNGNFRLDTVQALDKLLIHYCAQNNLPNLLDLYLDCLKLVFNDESLLSLQEATGDCHWARWLLLSRFNGHEYDASFENTRSIMSHNLIHGGNLHGHEVDEVIHTIDDIAEGGGEMAALATLMYASAPIQNCLTSGSVNRHNSSTAQCTLENLRPTLQHYPTLWRTLVSGCFGQDTSFGFFHTGAKNALADYLNWRDNIFFSTGRDTSLLQMLPCWFPKAVRRLVQLYVQGPLGWQSLSGLPTGESLLDRDVDFYINADEQAEINAISWEATIQKHVEEELYHSSLKETGLGLEHHLHRGRALAAFNHLLISRVEKLKIEGRTNASGQTNVQSDVQTLLAPISEKEECLLSSIMPFAITHFEDNVLVASCAFLLELCGLSASMLRVDVASLRRISLFYKSIQNKDNSRQLSSKGSAFQPATHDDSIMESLARALADECMHGDNSRNSKQRGSLISVYGKQPSRALMLVLQHLEKASLPQLVEGKTCGSWLLTGNGDGTELRSQQKAASQYWSLVTVFCQIHQLPLSTKYLAVLARDNDWVGFLCEAQIGGYSFDTVFQVASKEFSDPRLKIHILTVLKSIQSKKKASSQSYLDKKSESPFLEENVYMPVELFRVLADCEKQKNPGEALLLKAKDFSWSILAMIASCFPDVSPLSCLTVWLEITAARETKSIKVNDIATQMADNVAAAVEATNSLPGGSRSLSFHYNRRNPKRRWLLDTSCRAPLSEASDSSTRIFSAEGSTAGEEKKVELSEQINVSSDFNEGPASLAKMVAVLCEQHLFLPLLRAFELFLPSCSFLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPSHLQTNIGRDGQVGMSWISSTAIKAADATLSTCPSPYEKRCLLQLLAAADFGDGGFAAACYRRLYWKINLAEPSLRKNDGLHLGNETLDDASLLTALEENMQWEQARNWARQLEASGGPWKSSFHQVTETQAESMVAEWKEFLWDVPEERVALWGHCQTLFIRYSYPALQAGLFFLKHAEAVEKDLPARELLEMLLLSLQWLSGMITQSNPVYPLHLLREIETRVWLLAVESEAQVKSEGEISLAGSSQNHLTGNISDIIDRTASIITKMDNHINSMKNRTVEKYDGRDLLHRNQALDSSSSAVAIGSSKTKRRAKGYLPSRRPLVDLVDKSPEPEDGSNPPNLRNDVQLQDENLKIEISFSKWEERVGPRELERAVLSLLEFGQISAAKQLQQKLSPGQMPSEFILVDTALKLAAMSTPTSEIPIAILDEELLSVIQSYTPIDQHLIYPLQVLENLATVFIEGSGRGLCKRIIAVVKAANVLGLSFPEAFGKQPIELLQLLSLKAQESFEEAHLLVQTHVMPAASIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGQEIPLACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVSEGDFACLARLITGVGNFHALNFILGILIENGQLDLLLQKYSTAADTNTGTAEAVRGFRMAVLTSLKHFNPYDLDAFAMVYNHFDMKHETASLLESRAEQASLQWFECYDRDQNEDLLESMRYFIEAAEVHSSIDAGNKTRRACAQASLVSLQIRIPDSKWLNLSETNARRALVEQSRFQEALIVAEAYGLNQPTEWALVLWNQMLNPELTEEFVAEFVAVLPLQPSMLSELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLRLRLQLATSATGFADVVDACMKALDRVPDTAAPLVLRKGHGGAYLPLM >KJB51417 pep chromosome:Graimondii2_0_v6:8:50733653:50737889:-1 gene:B456_008G220800 transcript:KJB51417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTCIACTKQMADGGEEVEGARGSGTPSTKEAVKSLTTQIKDMALKFSGAYKQCKPCTGSSSYKKGSRPYPDFDAASEGVPYPYMGGSSSSTPAWDFTNASHHPGRSDSRFTGAFSGDKTPGYRESFSVQDLVLEDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFNRQALHTPPRSEDETQRDSTYSRVGSAMESPMAHWTPRNNYKPYSAGPSGFCPGGTRGDASFDASRTTTSSRDEPSVSVSNASEMEAEWVEQDEPGVYITIRQLADGTRELRRVRFSRERFGEVNAKQWWEENRERIQAQYL >KJB51416 pep chromosome:Graimondii2_0_v6:8:50733292:50738766:-1 gene:B456_008G220800 transcript:KJB51416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTCIACTKQMADGGEEVEGARGSGTPSTKEAVKSLTTQIKDMALKFSGAYKQCKPCTGSSSYKKGSRPYPDFDAASEGVPYPYMGGSSSSTPAWDFTNASHHPGRSDSRFTGAFSGDKTPGYRESFSVQDLVLEDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFNRQALHTPPRSEDETQRDSTYSRVGSAMESPMAHWTPRNNYKPYSAGPSGFCPGGTRGDASFDASRTTTSSRDEPSVSVSNASEMEAEWVEQDEPGVYITIRQLADGTRELRRVRFSRERFGEVNAKQWWEENRERIQAQYL >KJB51415 pep chromosome:Graimondii2_0_v6:8:50733653:50737613:-1 gene:B456_008G220800 transcript:KJB51415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTCIACTKQMADGGEEVEGARGSGTPSTKEAVKSLTTQIKDMALKFSGAYKQCKPCTGSSSYKKGSRPYPDFDAASEGVPYPYMGGSSSSTPAWDFTNASHHPGRSDSRFTGAFSGDKTPGYRESFSVQDLVLEDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFNRQALHTPPRSEDETQRDSTYSRVGSAMESPMAHWTPRNNYKPYSAGPSGFCPGGTRGDASFDASRTTTSSRDEPSVSVSNASEMEAEWVEQDEPGVYITIRQLADGTRELRRVRFSRERFGEVNAKQWWEENRERIQAQYL >KJB51899 pep chromosome:Graimondii2_0_v6:8:52324353:52325847:1 gene:B456_008G237000 transcript:KJB51899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPRPIPHWLGVLLGQKFFDPCILHESAKKNEKNIFCLNCCITICPHCLPLHRHHRRLQVHSSIISPRIILKFTIADQIHSVFPCTQIRRYVYQDVIRLSDAQKLINCSLVQPYTTNSAKVIFLNERPMSRPFRGSGNLCVKCDRSLQDPFLFCSLSCKVKHLLYKSRNDFWLVEQEVDPQMTPDSVLDFHRSSWSGGSANTAATSNGDSGTNCKPSSLLCTATTEFLKINKKKRSCILTPRVPHRHRCSQTESNSRRKGVPHRSPLN >KJB51897 pep chromosome:Graimondii2_0_v6:8:52324116:52325932:1 gene:B456_008G237000 transcript:KJB51897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPRPIPHWLGVLLGQKFFDPCILHESAKKNEKNIFCLNCCITICPHCLPLHRHHRRLQIRRYVYQDVIRLSDAQKLINCSLVQPYTTNSAKVIFLNERPMSRPFRGSGNLCVKCDRSLQDPFLFCSLSCKVKHLLYKSRNDFWLVEQEVDPQMTPDSVLDFHRSSWSGGSANTAATSNGDSGTNCKPSSLLCTATTEFLKINKKKRSCILTPRVPHRHRCSQTESNSRRKGVPHRSPLN >KJB51898 pep chromosome:Graimondii2_0_v6:8:52324353:52325847:1 gene:B456_008G237000 transcript:KJB51898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPRPIPHWLGVLLGQKFFDPCILHESAKKNEKNIFCLNCCITICPHCLPLHRHHRRLQIRRYVYQDVIRLSDAQKLINCSLVQPYTTNSAKVIFLNERPMSRPFRGSGNLCVKCDRSLQDPFLFCSLSCKVHPIFTLPNFDVLTMPLLLFLSLFSTVNLMSFFRNMHAG >KJB47809 pep chromosome:Graimondii2_0_v6:8:5672065:5676144:1 gene:B456_008G042400 transcript:KJB47809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDLSRSRSRSPSYRRRHSPSPAGHRYGRRSRRDRSRSPYSSYSFSRRKSRSISPRRRKSRSPITRRHKSRSPTPKRYKRLRSRSSSLSPVHKSSSPSLGSIERKNASEKLKKEEEERKRRQQEAELKLIEEETAKRVEEAIQKKVEERLNSEEIKQEIQKRLEEGRRRLNDEVAAQLEKEKEAAILEARQKEEVARKENEELEKMLEENRNRVEETQIREAMEQQRREEERYRELEELQRQKEEAMKRKKQQEEEERLNQMKLLGKNKLRPKLSFALGSK >KJB47808 pep chromosome:Graimondii2_0_v6:8:5672275:5676001:1 gene:B456_008G042400 transcript:KJB47808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDLSRSRSRSPSYRRRHSPSPAGHRYGRRSRRDRSRSPYSSYSFSRRKSRSISPRRRKSRSPITRRHKSRSPTPKRYKRLRSRSSSLSPVHKSSSPSLGSIERKNASEKLKKEEEERKRRQQEAELKLIEEETAKRVEEAIQKKVEERLNSEEIKQEIQKRLEEGRRRLNDEVAAQLEKEKEAAILEARQKEEVARKENEELEKMLEENRNRVEETQIREAMEQQRREEERYRELEELQRQKEEAMKRKKQQEEEERLNQMKLLGKNKLRPKLSFALGSK >KJB47807 pep chromosome:Graimondii2_0_v6:8:5672275:5676001:1 gene:B456_008G042400 transcript:KJB47807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDLSRSRSRSPSYRRRHSPSPAGHRYGRRSRRDRSRSPYSSYSFSRRKSRSISPRRRKSRSPITRRHKSRSPTPKRYKRLRSRSSSLSPVHKSSSPSLGSIERKNASEKLKKEEEERKRRQQEAELKLIEEETAKRVEEAIQKKVEERLNSEEIKQEIQKRLEEGRRRLNDEVAAQLEKEKEAAILEARQKEEVARKENEELEKMLEENRNRVEETQIREAMEQQRREEERYRELEELQRQKEEAMKRKKQQEEEERLNQMKLLGKNKLRPKLSFALGSK >KJB52055 pep chromosome:Graimondii2_0_v6:8:52968914:52971031:-1 gene:B456_008G244400 transcript:KJB52055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor B-2b [Source:Projected from Arabidopsis thaliana (AT4G11660) UniProtKB/Swiss-Prot;Acc:Q9T0D3] MACGSTASAAADSQRSLPTPFLAKTYQLVDDPSVDDLISWNEDGSAFIVWHPAEFARDLLPRYFKHNNFSSFGFRKIVPDRWEFANACFRRGEKELLRDIHRRKISPPAATPATVTVAVVPCEVSPTNSGDEQVISSHSPPVATILHRMTSCTTTPELLEENERLRRENMQLSQELTQLKGLCNNILTLMTNHASGQLESHSILAEGKALDLLSARDSARSTEDSGSKEVAEEEDVTPKLFGVSIGVKRLRKEGEDEMQNSFQVRQQDTEPASKMKEEPWMGKVMIKNRGSWSLVNDQTTHNAI >KJB52056 pep chromosome:Graimondii2_0_v6:8:52968914:52971176:-1 gene:B456_008G244400 transcript:KJB52056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor B-2b [Source:Projected from Arabidopsis thaliana (AT4G11660) UniProtKB/Swiss-Prot;Acc:Q9T0D3] MACGSTASAAADSQRSLPTPFLAKTYQLVDDPSVDDLISWNEDGSAFIVWHPAEFARDLLPRYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANACFRRGEKELLRDIHRRKISPPAATPATVTVAVVPCEVSPTNSGDEQVISSHSPPVATILHRMTSCTTTPELLEENERLRRENMQLSQELTQLKGLCNNILTLMTNHASGQLESHSILAEGKALDLLSARDSARSTEDSGSKEVAEEEDVTPKLFGVSIGVKRLRKEGEDEMQNSFQVRQQDTEPASKMKEEPWMGKVMIKNRGSWSLVNDQTTHNAI >KJB52267 pep chromosome:Graimondii2_0_v6:8:53630389:53631075:1 gene:B456_008G252800 transcript:KJB52267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCHGIKKKTNGSVFSFMEKKRPMLSEKKKELDENIWDCGSPLYDSYELASISRILERHTIALPYPCYHYDSMRSGFISNKPEPEGRSEGKMGNLHALGLFRKVVSWTLWKTRIRRYRNNKDEKV >KJB51073 pep chromosome:Graimondii2_0_v6:8:48491268:48493540:-1 gene:B456_008G200100 transcript:KJB51073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNQSTQTRYYGCRKCCLFPGMKSICILVVVFLLMLPNADAFDPLDPTGNITIKWDIVSWTADGYVAAVTMSNFQMYRSIMNPGWTLGWQWAKKEVIWSMVGAQTTEQGDCSKFKGNVPHCCKKNPIVVDLLPGVPYNQQFSNCCKAGVMSAWGQDPTGSVSAFQVSVGLAGTSNKTVKLPKNFNLLGQGLGYTCGPAKVVPSTVYLTPDRRRKTQALMTWNVTCTYSQYLASRNPSCCVSFSSFYNETITPCPTCACGCQNKNNCVMSDSKQAHRKGINTPRKDNAPLLQCTHHMCPIRVHWHVKLNYKDYWRVKIAIMNFNYRMNYTQWTLVAQHPNLNSVTQVFSFDYKPLVPYESINDTGMFYGMKFYNDLLMQACLKEMFSQRCSLGKTRIHLPSSRGGHFLGKSTLTAMNACCHLQIPTLFYQTLPK >KJB51072 pep chromosome:Graimondii2_0_v6:8:48491535:48493653:-1 gene:B456_008G200100 transcript:KJB51072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNQSTQTRYYGCRKCCLFPGMKSICILVVVFLLMLPNADAFDPLDPTGNITIKWDIVSWTADGYVAAVTMSNFQMYRSIMNPGWTLGWQWAKKEVIWSMVGAQTTEQGDCSKFKGNVPHCCKKNPIVVDLLPGVPYNQQFSNCCKAGVMSAWGQDPTGSVSAFQVSVGLAGTSNKTVKLPKNFNLLGQGLGYTCGPAKVVPSTVYLTPDRRRKTQALMTWNVTCTYSQYLASRNPSCCVSFSSFYNETITPCPTCACGCQNKNNCVMSDSKQAHRKGINTPRKDNAPLLQCTHHMCPIRVHWHVKLNYKDYWRVKIAIMNFNYRMNYTQWTLVAQHPNLNSVTQVFSFDYKPLVPYESINDTGMFYGMKFYNDLLMQACLKEMFSQRCSLGKTRIHLPSSRGGHFLGKSTLTAMNACCHLQIPTLFYQTLPK >KJB52677 pep chromosome:Graimondii2_0_v6:8:55129134:55138708:1 gene:B456_008G272300 transcript:KJB52677 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MDMEGLSSICASLGILEEDETTKQMVYTKGEHCLDALKDLLRFLRRDNPETREVFKQVCRWNIVSKDLIPIIEHCQHDRNLVLNAVKVLVFLSMPIEPSSSDIPQQIEYLWNMKFSLTSSDAVAVIVSLLEGPLENLECELFTEDDWKLVQLVLTLFRNILSIQDISSLQKAGQFLSLRDRFLELLFRENVMDLIIVITQQIGGSRGYLRQDNLLLLDIFHYIFMGQDPELLAKAHLKRSEEGGDAKACIDDLKSIMEEEAKKRRVSRLQHTNRHSQFSGTFTRFTVGGSTVVVKGNPNYASQNTLLKSHGGHGISTGHGDLPLMRKNILALLHDFVNQFLSGGYNVFMKSVREDIEKEHHTVQKGDIIVFFKVAEFVTSFQYHKCLASKPSDGNAASEASADNCADDSFFQGDVCGPIAASMNESMFQLVISSWRNALEGLKETNDYKFLSAASSLLKNMIRMCNLVLKLFPEDSKEPLTARILLYKLFYDQTDQGMTQFILNQIKMFNSRKQPKSDLADLVEMMHVIMQLMENLQARGLLRVSRKSRKGKKKKAASETVTKSKQFEDHAAAPDVDGSSVCERPAEYVGEQESPMKVASGLKEDTNTSPLVDGLGTSETKMGSPGDVPQVDDNAPGHADDNLCCCTDDSSDDEQAAAVNEVDFKVSSLISAFANCSFIQNLCWLLKFYRSNSSNTNQYIIGLLRKITDDLELAPMLYQLSLLVTFYDILEEQKLSPSEDHADIVVFITSLVRKMLKKMKHQPLLFIEILFWKTRRECHYINAEYLLHELGHLKKGNRNQDSVPGNTEVKSSEAANEWVRRSIADALGDDEADVVIAHDIGHQKFKDQPNCSRLIADSLDPSGGVLPAQVSNKLKQLGLKVAPKKRIRNDGRNFTSSSDQQGGGSTLNDSNNLEGSSQRQPLNTRKRVSAFSKDQEAMIKDLFEQFKDHKRCSYMIANALDADNKFTAAQVSRKLKQLGLYVPRQKKSEENMRLRDEELNDLSANEMHDSDNETLLSFKNRKKDNGGLFNQEFPGQNMEREASDDDDDETLSSVFKKTRKLPSKSKNEKLAAVATGERYKISGAVVANDVTERDENNQFTEMDVTFHHDSKEGTSEAVNPSPENIEMESADQQVEEQVEDELADSGDDAVIVEASIDRRRRRMVIDAEDDD >KJB52678 pep chromosome:Graimondii2_0_v6:8:55129134:55138708:1 gene:B456_008G272300 transcript:KJB52678 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MDMEGLSSICASLGILEEDETTKQMVYTKGEHCLDALKDLLRFLRRDNPETREVFKQVCRWNIVSKDLIPIIEHCQHDRNLVLNAVKVLVFLSMPIEPSSSDIPQQIEYLWNMKFSLTSSDAVAVIVSLLEGPLENLECELFTEDDWKLVQLVLTLFRNILSIQDISSLQKAGQFLSLRDRFLELLFRENVMDLIIVITQQIGGSRGYLRQDNLLLLDIFHYIFMGQDPELLAKAHLKRSEEGGDAKACIDDLKSIMEEEAKKRRVSRLQHTNRHSQFSGTFTRFTVGGSTVVVKGNPNYASQNTLLKSHGGHGISTGHGDLPLMRKNILALLHDFVNQFLSGGYNVFMKSVREDIEKEHHTVQKGDIIVFFKVAEFVTSFQYHKCLASKPSDGNAASEASADNCADDSFFQGDVCGPIAASMNESMFQLVISSWRNALEGLKETNDYKFLSAASSLLKNMIRMCNLVLKLFPEDSKEPLTARILLYKLFYDQTDQGMTQFILNQIKMFNSRKQPKSDLADLVEMMHVIMQLMENLQARGLLRVSRKSRKGKKKKAASETVTKSKQFEDHAAAPDVDGSSVCERPAEYVGEQESPMKVASGLKEDTNTSPLVDGLGTSETKMGSPGDVPQVDDNAPGHADDNLCCCTDDSSDDEQAAAVNEVDFKVSSLISAFANCSFIQNLCWLLKFYRSNSSNTNQYIIGLLRKITDDLELAPMLYQLSLLVTFYDILEEQKLSPSEDHADIVVFITSLVRKMLKKMKHQPLLFIEILFWKTRRECHYINAEYLLHELGHLKKGNRNQDSVPGNTEVKSSEAANEWVRRSIADALGDDEADVVIAHDIGHQNGENSLENESEKIYERNRRFVFNDDMEAKLKDLYEEFKDQPNCSRLIADSLDPSGGVLPAQVSNKLKQLGLKVAPKKRIRNDGRNFTSSSDQQGGGSTLNDSNNLEGSSQRQPLNTRKRVSAFSKDQEAMIKDLFEQFKDHKRCSYMIANALDADNKFTAAQVSRKLKQLGLYVPRQKKSEENMRLRDEELNDLSANEMHDSDNETLLSFKNRKKDNGGLFNQEFPGQNMEREASDDDDDETLSSVFKKTRKLPSKSKNEKLAAVATGERYKISGAVVANDVTERDENNQFTEMDVTFHHDSKEGTSEAVNPSPENIEMESADQQVEEQVEDELADSGDDAVIVEASIDRRRRRMVIDAEDDD >KJB48897 pep chromosome:Graimondii2_0_v6:8:23295687:23308846:-1 gene:B456_008G092700 transcript:KJB48897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSGSLQLSYDLGLCRNQVYKTKFKIVLGRGKLDLLSSDLSSRASFLQQHGQDVWRSSLSNKLYRPMHFVPYRNDAFRCHAFGVPAQILELPGVKAASISLTRSYNTLQASPLALKLIPAATIIIFSLYGVGPLIRRGRSLLLHKSDNSWKKSRTHYVTTSYVQPLLLWAGAILMCRTLDPLVLPTEASQVVKQRLLNFVRSLSTVLAFAFCLSSTIQQMQKFFMETSDSSDTRNFAGKAIYSAVWVAAVSLFMELLGFSTEKWLTAGGLGTVLLTLAGREIFTNFLSSAMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIVRGEDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHMDVHKISNIVADMRKVLAKNPQVEQQRLHRRVFLENINPENQALMILVSCFVKTSHFEEYLCVKEAILLDLLRVISHHCARLATPIRTVQKIYSDADLENIPFADSMYNHDGIQSNRPLLLIEPSYKINGEDRTKGRSSRPAGEQDGKTTVRPSADTKGDNKAGMIPKPDSKSKGTPSVEPKANAKIGETPSSDTKENFKATFEPTSDDKVLLKSPLKSVSKTNSNAAETSSSSPKVPDSISDDLLKNKKVTDKQQKNARQSSELENPSLTLQETGIDKAGGLREPFQSKQEDEKLPVSQPPMTRSALEENIVLGVALEGSKRTLPIDEGLTSSAVDAKEVASSSRNGNGSTAGDKKDGQILSTSKTPNNQ >KJB48898 pep chromosome:Graimondii2_0_v6:8:23295901:23308031:-1 gene:B456_008G092700 transcript:KJB48898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSGSLQLSYDLGLCRNQVYKTKFKIVLGRGKLDLLSSDLSSRASFLQQHGQDVWRSSLSNKLYRPMHFVPYRNDAFRCHAFGVPAQILELPGVKAASISLTRSYNTLQASPLALKLIPAATIIIFSLYGVGPLIRRGRSLLLHKSDNSWKKSRTHYVTTSYVQPLLLWAGAILMCRTLDPLVLPTEASQVVKQRLLNFVRSLSTVLAFAFCLSSTIQQMQKFFMETSDSSDTRNMGFQFAGKAIYSAVWVAAVSLFMELLGFSTEKWLTAGGLGTVLLTLAGREIFTNFLSSAMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIVRGEDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHMDVHKISNIVADMRKVLAKNPQVEQQRLHRRVFLENINPENQALMILVSCFVKTSHFEEYLCVKEAILLDLLRVISHHCARLATPIRTVQKIYSDADLENIPFADSMYNHDGIQSNRPLLLIEPSYKINGEDRTKGRSSRPAGEQDGKTTVRPSADTKGDNKAGMIPKPDSKSKGTPSVEPKANAKIGETPSSDTKENFKATFEPTSDDKVLLKSPLKSVSKTNSNAAETSSSSPKVPDSISDDLLKNKKVTDKQQKNARQSSELENPSLTLQETGIDKAGGLREPFQSKQEDEKLPVSQPPMTRSALEENIVLGVALEGSKRTLPIDEGLTSSAVDAKEVASSSRNGNGSTAGDKKDGQILSTSKTPNNQ >KJB47022 pep chromosome:Graimondii2_0_v6:8:802110:809655:1 gene:B456_008G006700 transcript:KJB47022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18g [Source:Projected from Arabidopsis thaliana (AT1G03380) UniProtKB/Swiss-Prot;Acc:Q8GUL1] MRKGKGRNNGLLPNSLRIISSCLKTVSSNASNVASTVRSAGASVAASISTSSEDHKDQVTWAGFDRLELGPSHFKRVLLLGYQNGFQVLDVEDASNYSELVSKRDGPVSFLQMQPCPLSSDGQEGFKSSHPMLLVVAGYDTNSSRLAQNTGHSVGVAQDCRMEPQSGNTVNSPTTVRFYSLQSHSYVHVLRFRSSVCMIRCSSRIVAVGLATQIYCFDALTLENKFSVLTYPVPQLAGQGAVGVNVGLGPMAVGPRWLAYASNNPLLSNTGRLSPQNLTPSPGVSPSTSPGGSSLVARYAMESSKHLATGLINLGDMGYRTLSKCCQELLPDGSHSPVSQNSVWKVGRLAGTDMDNAGMVVIKDFVSRDVISQFKAHTSPISALSFDPSGTLLVTASVYGNNINIFRIMPSYVRSGSGVQSSDWSSSHVHLYKLHRGMTSAMIQDICFSHYSQWVAIVSSKGTCHIFVLSPFGGDTGFQTLSSQGEEPSLFPVISLPWWSTSSCVTNQQSFPPPLPVALSVVSRIKYSSFGWLNTVSNAANSATGKVFVPSGAVAAAFHNSISLAPQHVVSRTNSLEHLLVYTPSGHVVQHELLPSIGADSGASNLRFQTASYTHVQEDDLRVKVEPVQWWDVCRRSDWPEREESISKATLERQDLAEVVQSKSVCEEYSINSLEINDNARGEKTSTPLPTKPHESFHWYLSNAEVQVNSWRLPTWQKSKISFYMMDSSRANSHNGGEFEIEEVPVHEVEIKSKELLPFFDRFHRIKSSWNDRCFSVGKYPLSLSPDLHQGEYKASQEIIICHSKPASLSSTESSEGGSSRRLDNILDFDQINSEKPYPSIYQGLNETCHGKMGNGFIEPLVLNQESLTVKSSPFQHSENIYNDTGHSERSDFSSLERELPPSRSKAEGIPSFNAVGIGAASMLHVDHYDAPKNILADESSLSAQQIAVDFVHFREGHYEIIQQNGSGKLSVHANDDVDSISSNHCGKEKLEEDGENDEMLGGIFLFSEEG >KJB47024 pep chromosome:Graimondii2_0_v6:8:802110:809655:1 gene:B456_008G006700 transcript:KJB47024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18g [Source:Projected from Arabidopsis thaliana (AT1G03380) UniProtKB/Swiss-Prot;Acc:Q8GUL1] MRKGKGRNNGLLPNSLRIISSCLKTVSSNASNVASTVRSAGASVAASISTSSEDHKDQVTWAGFDRLELGPSHFKRVLLLGYQNGFQVLDVEDASNYSELVSKRDGPVSFLQMQPCPLSSDGQEGFKSSHPMLLVVAGYDTNSSRLAQNTGHSVGVAQDCRMEPQSGNTVNSPTTVRFYSLQSHSYVHVLRFRSSVCMIRCSSRIVAVGLATQIYCFDALTLENKFSVLTYPVPQLAGQGAVGVNVGLGPMAVGPRWLAYASNNPLLSNTGRLSPQNLTPSPGVSPSTSPGGSSLVARYAMESSKHLATGLINLGDMGYRTLSKCCQELLPDGSHSPVSQNSVWKVGRLAGTDMDNAGMVVIKDFVSRDVISQFKAHTSPISALSFDPSGTLLVTASVYGNNINIFRIMPSYVRSGSGVQSSDWSSSHVHLYKLHRGMTSAMIQDICFSHYSQWVAIVSSKGTCHIFVLSPFGGDTGFQTLSSQGEEPSLFPVISLPWWSTSSCVTNQQSFPPPLPVALSVVSRIKYSSFGWLNTVSNAANSATGKVFVPSGAVAAAFHNSISLAPQHVVSRTNSLEHLLVYTPSGHVVQHELLPSIGADSGASNLRFQTASYTHVQEDDLRVKVEPVQWWDVCRRSDWPEREESISKATLERQDLAEVVQSKSVCEEYSINSLEINDNARGEKTSTPLPTKPHESFHWYLSNAEVQVNSWRLPTWQKSKISFYMMDSSRANSHNGGEFEIEEVPVHEVEIKSKELLPFFDRFHRIKSSWNDRCFSVGKYPLSLSPDLHQGEYKASQEIIICHSKPASLSSTESSEGGSSRRLDNILDFDQINSEKPYPSIYQGLNETCHGKMGNGFIEPLVLNQESLTVKSSPFQHSENIYNDTGHSERSDFSSLERELPPSRSKAEGIPSFNAVGIGAASMLHVDHYDAPKNILADESSLSAQQIAVDFVHFREGHYEIIQQNGSGKLSVHANDDVDSISSNHCGKEKLEEDGENDEMLGGIFLFSEEGRKLQVMGQHFLKHHLLSSLIMLFIHLCLKLN >KJB47023 pep chromosome:Graimondii2_0_v6:8:802110:809655:1 gene:B456_008G006700 transcript:KJB47023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18g [Source:Projected from Arabidopsis thaliana (AT1G03380) UniProtKB/Swiss-Prot;Acc:Q8GUL1] MRKGKGRNNGLLPNSLRIISSCLKTVSSNASNVASTVRSAGASVAASISTSSEDHKDQVTWAGFDRLELGPSHFKRVLLLGYQNGFQVLDVEDASNYSELVSKRDGPVSFLQMQPCPLSSDGQEGFKSSHPMLLVVAGYDTNSSRLAQNTGHSVGVAQDCRMEPQSGNTVNSPTTVRFYSLQSHSYVHVLRFRSSVCMIRCSSRIVAVGLATQIYCFDALTLENKFSVLTYPVPQLAGQGAVGVNVGLGPMAVGPRWLAYASNNPLLSNTGRLSPQNLTPSPGVSPSTSPGGSSLVARYAMESSKHLATGLINLGDMGYRTLSKCCQELLPDGSHSPVSQNSVWKVGRLAGTDMDNAGMVVIKDFVSRDVISQFKAHTSPISALSFDPSGTLLVTASVYGNNINIFRIMPSYVRSGSGVQSSDWSSSHVHLYKLHRGMTSAMIQDICFSHYSQWVAIVSSKGTCHIFVLSPFGGDTGFQTLSSQGEEPSLFPVISLPWWSTSSCVTNQQSFPPPLPVALSVVSRIKYSSFGWLNTVSNAANSATGKVFVPSGAVAAAFHNSISLAPQHVVSRTNSLEHLLVYTPSGHVVQHELLPSIGADSGASNLRFQTASYTHVQEDDLRVKVEPVQWWDVCRRSDWPEREESISKATLERQDLAEVVQSKSVCEEYSINSLEINDNARGEKTSTPLPTKPHESFHWYLSNAEVQVNSWRLPTWQKSKISFYMMDSSRANSHNGGEFEIEEVPVHEVEIKSKELLPFFDRFHRIKSSWNDRCFSVGKYPLSLSPDLHQGEYKASQEIIICHSKPASLSSTESSEGGSSRRLDNILDFDQINSEKPYPSIYQGLNETCHGKMGNGFIEPLVLNQESLTVKSSPFQHSENIYNDTGHSERSDFSSLERELPPSRSKAEGIPSFNAVGIGAASMLHVDHYDAPKNILADESSLSAQQIAVDFVHFREGHYEIIQQNGSGKLSVHANDDVDSISSNHCGKEKLEEDGENDEMLGGIFLFSEEG >KJB48584 pep chromosome:Graimondii2_0_v6:8:14240618:14241977:-1 gene:B456_008G076300 transcript:KJB48584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPKYASPNFFFTLRFEQIDHFQKIHSRTGNRFNSVLFFDDEDRNIQAVSECDKQKKPEKGFVTLEEIGKRNTSAGNTVDGTQLDAEIAGPENIKVTIYSFYILKQYKKVTYPIPANDSVQFVYLICNMITKCLMLEKKKDGAKGTGKKATIKYVFIIFLQFLFLGLRFECFDRMDSFYLFF >KJB48438 pep chromosome:Graimondii2_0_v6:8:18311854:18312537:-1 gene:B456_008G085100 transcript:KJB48438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLATFFFLTLLLVSTLSFAARSGPAFPNQSPTKTQAKGITVVETEVEQSIEAVEDSCQGVGEEECLMRRTLAAHVDYIYTQNHKP >KJB49551 pep chromosome:Graimondii2_0_v6:8:36489526:36491409:-1 gene:B456_008G125300 transcript:KJB49551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYHQREDNVMTIILSAVYTTGWVGIGFSRNGMMLGSSAMVGWFNRKGHARIKQYYLQGAHASQVIPDKGELPLNGIPPVVSLHGAMIYLAFQAKFEHRLGRQPILLAFGTRYPTGFHHLTKHDDKTAVWFDFSQASVLNIDTSQRKNHGILGLMAWGLILPAGAIVPRYLKHKDPLWYYLHAVIQFLGFILGLASVLLGIQLYQGMNADIPAHRGIGIFVLVLSILQVMAFFLRPNKDSKYRRYWNWYHLWFGRMALFFGSLNIVLGIQYAGAGDDWKIGYGFLLAITLLVVIILETFSCMRRRRDKSNLPSNFQMNTI >KJB49552 pep chromosome:Graimondii2_0_v6:8:36489526:36491558:-1 gene:B456_008G125300 transcript:KJB49552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSFFVSFTKILVGFCLLCMVHKDFVVAGFEEVRVEHDTNEPLTNGSITTAGTGEDGRSELCGTDLSFLGPPYGNMSTAKMVCSPIWNTFVLRYHQREDNVMTIILSAVYTTGWVGIGFSRNGMMLGSSAMVGWFNRKGHARIKQYYLQGAHASQVIPDKGELPLNGIPPVVSLHGAMIYLAFQAKFEHRLGRQPILLAFGTRYPTGFHHLTKHDDKTAVWFDFSQASVLNIDTSQRKNHGILGLMAWGLILPAGAIVPRYLKHKDPLWYYLHAVIQFLGFILGLASVLLGIQLYQGMNADIPAHRGIGIFVLVLSILQVMAFFLRPNKDSKYRRYWNWYHLWFGRMALFFGSLNIVLGIQYAGAGDDWKIGYGFLLAITLLVVIILETFSCMRRRRDKSNLPSNFQMNTI >KJB49550 pep chromosome:Graimondii2_0_v6:8:36489522:36491598:-1 gene:B456_008G125300 transcript:KJB49550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSFFVSFTKILVGFCLLCMVHKDFVVAGFEEVRVEHDTNEPLTNGSITTAGTGEDGRSELCGTDLSFLGPPYGNMSTAKMVCSPIWNTFVLRYHQREDNVMTIILSAVYTTGWVGIGFSRNGMMLGSSAMVGWFNRKGHARIKQYYLQGAHASQVIPDKGELPLNGIPPVVSLHGAMIYLAFQAKFEHRLGRQPILLAFGTRYPTGFHHLTKHDDKTAVWFDFSQASVLNIDTSQRKNHGILGLMAWGLILPAGAIVPRYLKHKDPLWYYLHAVIQFLGFILGLASVLLGIQLYQGMNADIPAHRGIGIFVLVLSILQVMAFFLRPNKDSKYRRYWNWYHLWFGRMALFFGSLNIVLGIQYAGAGDDWKIGYGFLLAITLLVVIILETFSCMRRRRDKSNLPSNFQMNTI >KJB48005 pep chromosome:Graimondii2_0_v6:8:7045575:7047709:-1 gene:B456_008G050000 transcript:KJB48005 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MEMEKGKVVVEKVRGKSSVIRSFSKYPLKFITPRKVGSSITDAVWIYSLTYGGGIVSGDSISVEFTIGDGCTAVLTTQSSTKVYKSLGSKCSEQVLEKQVFRVVSDSSLVIVDWFTSGRHESGEKWDFELYRSSNCIFAEGNQPVFLDTVLLEQSSPVDIAERMHEYQVIAMVLIYGPKLKHVQDQVQENVKRLMSQQLQIPSTRLGCCAKTNSDNCLVRPAFIASCSAFGPKGMGVVVRVASTTTEAVYRFLHHQLAGMEPLLGVSPYS >KJB48007 pep chromosome:Graimondii2_0_v6:8:7045587:7047707:-1 gene:B456_008G050000 transcript:KJB48007 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MEMEKGKVVVEKVRGKSSVIRSFSKYPLKFITPRKVGSSITDAVWIYSLTYGGGIVSGDSISVEFTIGDGCTAVLTTQSSTKVYKSLGSKCSEQVLEARIGSGALFVVIPDPVTCFSTARYSQKQVFRVVSDSSLVIVDWFTSGRHESGEKWDFELYRSSNCIFAEGNQPVFLDTVLLEQSSPVDIAERMHEYQVIAMVLIYG >KJB48006 pep chromosome:Graimondii2_0_v6:8:7045585:7047707:-1 gene:B456_008G050000 transcript:KJB48006 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MEMEKGKVVVEKVRGKSSVIRSFSKYPLKFITPRKVGSSITDAVWIYSLTYGGGIVSGDSISVEFTIGDGCTAVLTTQSSTKVYKSLGSKCSEQVLEARIGSGALFVVIPDPVTCFSTARYSQKQVFRVVSDSSLVIVDWFTSGRHESGEKWDFELYRSSNCIFAEGNQPVFLDTVILSLLPLVVMLICL >KJB48008 pep chromosome:Graimondii2_0_v6:8:7046083:7047707:-1 gene:B456_008G050000 transcript:KJB48008 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MEMEKGKVVVEKVRGKSSVIRSFSKYPLKFITPRKVGSSITDAVWIYSLTYGGGIVSGDSISVEFTIGDGCTAVLTTQSSTKVYKSLGSKCSEQVLEARIGSGALFVVIPDPVTCFSTARYSQKQVFRVVSDSSLVIVDWFTSGRHESGEKWDFELYRSSNCIFAEGNQPVFLDTVLLEQSSPVDIAERMHEYQVIAMVLIYGPKLKHVQDQVQENVKRLMSQQLQIPSTRLGCCAKTNSDNCLVRPAFIASCSAFGPKVFSSDVTDTKSALLLY >KJB48004 pep chromosome:Graimondii2_0_v6:8:7045556:7047749:-1 gene:B456_008G050000 transcript:KJB48004 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MEMEKGKVVVEKVRGKSSVIRSFSKYPLKFITPRKVGSSITDAVWIYSLTYGGGIVSGDSISVEFTIGDGCTAVLTTQSSTKVYKSLGSKCSEQVLEARIGSGALFVVIPDPVTCFSTARYSQKQVFRVVSDSSLVIVDWFTSGRHESGEKWDFELYRSSNCIFAEGNQPVFLDTVLLEQSSPVDIAERMHEYQVIAMVLIYGPKLKHVQDQVQENVKRLMSQQLQIPSTRLGCCAKTNSDNCLVRPAFIASCSAFGPKGMGVVVRVASTTTEAVYRFLHHQLAGMEPLLGVSPYS >KJB52806 pep chromosome:Graimondii2_0_v6:8:55569953:55577252:1 gene:B456_008G278000 transcript:KJB52806 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MGKQKQQVISRFFASKPKIPAASTPPPSNPSSHSSPPPKPVISSPNVKTTVSFSPSKRKLLSSHLASTPKRLKPTLSPHTQNPVPPQSNPSLHQKFLQKLLEPPSPPLLESSIEPSESDHKKYTPLEQQVVDLKNKYRDVLLMVEVGYRFRFFGKDAEIAAKVLGIYAHVDRNFLTASVPTFRLNVYVRRLVSAGYKVGVVKQTETAAIKAHGSNRVGPFGRGLSALYTKATLEAAEDVGGKEEGCGAESNYLVSVVEKVLDVSGSVSNFGGANVRIGIVGVEISTGDVVYGEFDDGVMRSGLEAVVLSLAPAELLLGQPLSKQTEKLLMAYAGPASNVRLEHASCDCFKDGGALEDVMSLYEKMVEDNLADNVNQLAEATEQRNSIQGVLNMPDLALQALALTIRHLKQFGFERILCCGASFRSLSSRVEMNLSANTLQQLEILRNNSDGSETGSLLGVMNHTLTTYGSRLLRHWVTHPLCDRNMITARLDAVSEIALSMGSYKGSQSIVEIEGGDSDATIMQPELSCLLSSVLTFLGRSPDIQRGITRIFHRTATPSEFTAVIQAILSAGKQLKRLHINEEYEDYGCNKIGVAIVQSALLRRLILTASSSKVLGNAVKLLSTLNKEAADKGDFTDLIIISKDQFPEVARARKALQLAKEKLDNLIGLYRKQFRNHKLEFTCVSGTTHLVELSIDAKVPSNWVKVSSTKKTVRYHPPEVLTALDQLRLANEELTIICRAAWGSFLMEFGEYYMDFQAAVQALATLDCLHSLAILSKNKNYVRPIFMEDNRPVQIQIHAGRHPVLETILQDNFVPNDTILHADREYCQIVTGPNMGGKSCYIRQVALIAMMAQVESESATLPVLDAIYSRMGASDSIQQGRSTFLEELSEASQILHSCTANSLVIIDELGRGTSTHDGVAIAYATLHYLLEQKKCMVLFVTHYPKIADIKAEFPGSVEAYHVSYLTSNNDEGTIDSKSDHEITYLYKLVPGVSARSFGFKVALLAQLPSSCINKAMIMATRLETIESSRARKKSGEKQLQETPSSDQELETQAIVLKSTASFRGERTEDSEEFVSAVRDLLSKLKSATTDDDCAKSLELFKEAQGIANELINR >KJB52803 pep chromosome:Graimondii2_0_v6:8:55569924:55577252:1 gene:B456_008G278000 transcript:KJB52803 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MGKQKQQVISRFFASKPKIPAASTPPPSNPSSHSSPPPKPVISSPNVKTTVSFSPSKRKLLSSHLASTPKRLKPTLSPHTQNPVPPQSNPSLHQKFLQKLLEPPSPPLLESSIEPSESDHKKYTPLEQQVVDLKNKYRDVLLMVEVGYRFRFFGKDAEIAAKVLGIYAHVDRNFLTASVPTFRLNVYVRRLVSAGYKVGVVKQTETAAIKAHGSNRVGPFGRGLSALYTKATLEAAEDVGGKEEGCGAESNYLVSVVEKVLDVSGSVSNFGGANVRIGIVGVEISTGDVVYGEFDDGVMRSGLEAVVLSLAPAELLLGQPLSKQTEKLLMAYAGPASNVRLEHASCDCFKDGGALEDVMSLYEKMVEDNLADNVNQLAEATEQRNSIQGVLNMPDLALQALALTIRHLKQFGFERILCCGASFRSLSSRVEMNLSANTLQQLEILRNNSDGSETGSLLGVMNHTLTTYGSRLLRHWVTHPLCDRNMITARLDAVSEIALSMGSYKGSQSIVEIEGGDSDATIMQPELSCLLSSVLTFLGRSPDIQRGITRIFHRTATPSEFTAVIQAILSAGKQLKRLHINEEYEDYGCNKIGVAIVQSALLRRLILTASSSKVLGNAVKLLSTLNKEAADKGDFTDLIIISKDQFPEVARARKALQLAKEKLDNLIGLYRKQFRNHKLEFTCVSGTTHLVELSIDAKVPSNWVKVSSTKKTVRYHPPEVLTALDQLRLANEELTIICRAAWGSFLMEFGEYYMDFQAAVQALATLDCLHSLAILSKNKNYVRPIFMEDNRPVQIQIHAGRHPVLETILQDNFVPNDTILHADREYCQIVTGPNMGGKSCYIRQVALIAMMAQVGSFVPAESATLPVLDAIYSRMGASDSIQQGRSTFLEELSEASQILHSCTANSLVIIDELGRGTSTHDGVAIAYATLHYLLEQKKCMVLFVTHYPKIADIKAEFPGSVEAYHVSYLTSNNDEGTIDSKSDHEITYLYKLVPGVSARSFGFKVALLAQLPSSCINKAMIMATRLETIESSRARKKSGEKQLQETPSSDQELETQAIVLKSTASFRGERTEDSEEFVSAVRDLLSKLKSATTDDDCAKSLELFKEAQGIANELINR >KJB52805 pep chromosome:Graimondii2_0_v6:8:55569953:55577252:1 gene:B456_008G278000 transcript:KJB52805 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MGKQKQQVISRFFASKPKIPAASTPPPSNPSSHSSPPPKPVISSPNVKTTVSFSPSKRKLLSSHLASTPKRLKPTLSPHTQNPVPPQSNPSLHQKFLQKLLEPPSPPLLESSIEPSESDHKKYTPLEQQVVDLKNKYRDVLLMVEVGYRFRFFGKDAEIAAKVLGIYAHVDRNFLTASVPTFRLNVYVRRLVSAGYKVGVVKQTETAAIKAHGSNRVGPFGRGLSALYTKATLEAAEDVGGKEEGCGAESNYLVSVVEKVLDVSGSVSNFGGANVRIGIVGVEISTGDVVYGEFDDGVMRSGLEAVVLSLAPAELLLGQPLSKQTEKLLMAYAGPASNVRLEHASCDCFKDGGALEDVMSLYEKMVEDNLADNVNQLAEATEQRNSIQGVLNMPDLALQALALTIRHLKQFGFERILCCGASFRSLSSRVEMNLSANTLQQLEILRNNSDGSETGSLLGVMNHTLTTYGSRLLRHWVTHPLCDRNMITARLDAVSEIALSMGSYKGSQSIVEIEGGDSDATIMQPELSCLLSSVLTFLGRSPDIQRGITRIFHRTATPSEFTAVIQAILSAGKQLKRLHINEEYEDYGCNKIGVAIVQSALLRRLILTASSSKVLGNAVKLLSTLNKEAADKGDFTDLIIISKDQFPEVARARKALQLAKEKLDNLIGLYRKQFRNHKLEFTCVSGTTHLVELSIDAKVPSNWVKVSSTKKTVRYHPPEVLTALDQLRLANEELTIICRAAWGSFLMEFGEYYMDFQAAVQALATLDCLHSLAILSKNKNYVRPIFMEDNRPVQIQIHAGRHPVLETILQDNFVPNDTILHADREYCQIVTGPNMGGKSCYIRQVALIAMMAQNQPLCLS >KJB52801 pep chromosome:Graimondii2_0_v6:8:55569924:55577252:1 gene:B456_008G278000 transcript:KJB52801 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MGKQKQQVISRFFASKPKIPAASTPPPSNPSSHSSPPPKPVISSPNVKTTVSFSPSKRKLLSSHLASTPKRLKPTLSPHTQNPVPPQSNPSLHQKFLQKLLEPPSPPLLESSIEPSESDHKKYTPLEQQVVDLKNKYRDVLLMVEVGYRFRFFGKDAEIAAKVLGIYAHVDRNFLTASVPTFRLNVYVRRLVSAGYKVGVVKQTETAAIKAHGSNRVGPFGRGLSALYTKATLEAAEDVGGKEEGCGAESNYLVSVVEKVLDVSGSVSNFGGANVRIGIVGVEISTGDVVYGEFDDGVMRSGLEAVVLSLAPAELLLGQPLSKQTEKLLMAYAGPASNVRLEHASCDCFKDGGALEDVMSLYEKMVEDNLADNVNQLAEATEQRNSIQGVLNMPDLALQALALTIRHLKQFGFERILCCGASFRSLSSRVEMNLSANTLQQLEFTAVIQAILSAGKQLKRLHINEEYEDYGCNKIGVAIVQSALLRRLILTASSSKVLGNAVKLLSTLNKEAADKGDFTDLIIISKDQFPEVARARKALQLAKEKLDNLIGLYRKQFRNHKLEFTCVSGTTHLVELSIDAKVPSNWVKVSSTKKTVRYHPPEVLTALDQLRLANEELTIICRAAWGSFLMEFGEYYMDFQAAVQALATLDCLHSLAILSKNKNYVRPIFMEDNRPVQIQIHAGRHPVLETILQDNFVPNDTILHADREYCQIVTGPNMGGKSCYIRQVALIAMMAQVGSFVPAESATLPVLDAIYSRMGASDSIQQGRSTFLEELSEASQILHSCTANSLVIIDELGRGTSTHDGVAIAYATLHYLLEQKKCMVLFVTHYPKIADIKAEFPGSVEAYHVSYLTSNNDEGTIDSKSDHEITYLYKLVPGVSARSFGFKVALLAQLPSSCINKAMIMATRLETIESSRARKKSGEKQLQETPSSDQELETQAIVLKSTASFRGERTEDSEEFVSAVRDLLSKLKSATTDDDCAKSLELFKEAQGIANELINR >KJB52804 pep chromosome:Graimondii2_0_v6:8:55569924:55577252:1 gene:B456_008G278000 transcript:KJB52804 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MGKQKQQVISRFFASKPKIPAASTPPPSNPSSHSSPPPKPVISSPNVKTTVSFSPSKRKLLSSHLASTPKRLKPTLSPHTQNPVPPQSNPSLHQKFLQKLLEPPSPPLLESSIEPSESDHKKYTPLEQQVVDLKNKYRDVLLMVEVGYRFRFFGKDAEIAAKVLGIYAHVDRNFLTASVPTFRLNVYVRRLVSAGYKVGVVKQTETAAIKAHGSNRVGPFGRGLSALYTKATLEAAEDVGGKEEGCGAESNYLVSVVEKVLDVSGSVSNFGGANVRIGIVGVEISTGDVVYGEFDDGVMRSGLEAVVLSLAPAELLLGQPLSKQTEKLLMAYAGPASNVRLEHASCDCFKDGGALEDVMSLYEKMVEDNLADNVNQLAEATEQRNSIQGVLNMPDLALQALALTIRHLKQFGFERILCCGASFRSLSSRVEMNLSANTLQQLEFTAVIQAILSAGKQLKRLHINEEYEDYGCNKIGVAIVQSALLRRLILTASSSKVLGNAVKLLSTLNKEAADKGDFTDLIIISKDQFPEVARARKALQLAKEKLDNLIGLYRKQFRNHKLEFTCVSGTTHLVENYVRPIFMEDNRPVQIQIHAGRHPVLETILQDNFVPNDTILHADREYCQIVTGPNMGGKSCYIRQVALIAMMAQVGSFVPAESATLPVLDAIYSRMGASDSIQQGRSTFLEELSEASQILHSCTANSLVIIDELGRGTSTHDGVAIAYATLHYLLEQKKCMVLFVTHYPKIADIKAEFPGSVEAYHVSYLTSNNDEGTIDSKSDHEITYLYKLVPGVSARSFGFKVALLAQLPSSCINKAMIMATRLETIESSRARKKSGEKQLQETPSSDQELETQAIVLKSTASFRGERTEDSEEFVSAVRDLLSKLKSATTDDDCAKSLELFKEAQGIANELINR >KJB52802 pep chromosome:Graimondii2_0_v6:8:55569924:55577252:1 gene:B456_008G278000 transcript:KJB52802 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MGKQKQQVISRFFASKPKIPAASTPPPSNPSSHSSPPPKPVISSPNVKTTVSFSPSKRKLLSSHLASTPKRLKPTLSPHTQNPVPPQSNPSLHQKFLQKLLEPPSPPLLESSIEPSESDHKKYTPLEQQVVDLKNKYRDVLLMVEVGYRFRFFGKDAEIAAKVLGIYAHVDRNFLTASVPTFRLNVYVRRLVSAGYKVGVVKQTETAAIKAHGSNRVGPFGRGLSALYTKATLEAAEDVGGKEEGCGAESNYLVSVVEKVLDVSGSVSNFGGANVRIGIVGVEISTGDVVYGEFDDGVMRSGLEAVVLSLAPAELLLGQPLSKQTEKLLMAYAGPASNVRLEHASCDCFKDGGALEDVMSLYEKMVEDNLADNVNQLAEATEQRNSIQGVLNMPDLALQALALTIRHLKQFGFERILCCGASFRSLSSRVEMNLSANTLQQLEILRNNSDGSETGSLLGVMNHTLTTYGSRLLRHWVTHPLCDRNMITARLDAVSEIALSMGSYKGSQSIVEIEGGDSDATIMQPELSCLLSSVLTFLGRSPDIQRGITRIFHRTATPSEFTAVIQAILSAGKQLKRLHINEEYEDYGCNKIGVAIVQSALLRRLILTASSSKVLGNAVKLLSTLNKEAADKGDFTDLIIISKDQFPEVARARKALQLAKEKLDNLIGLYRKQFRNHKLEFTCVSGTTHLVENYVRPIFMEDNRPVQIQIHAGRHPVLETILQDNFVPNDTILHADREYCQIVTGPNMGGKSCYIRQVALIAMMAQVGSFVPAESATLPVLDAIYSRMGASDSIQQGRSTFLEELSEASQILHSCTANSLVIIDELGRGTSTHDGVAIAYATLHYLLEQKKCMVLFVTHYPKIADIKAEFPGSVEAYHVSYLTSNNDEGTIDSKSDHEITYLYKLVPGVSARSFGFKVALLAQLPSSCINKAMIMATRLETIESSRARKKSGEKQLQETPSSDQELETQAIVLKSTASFRGERTEDSEEFVSAVRDLLSKLKSATTDDDCAKSLELFKEAQGIANELINR >KJB49164 pep chromosome:Graimondii2_0_v6:8:31394019:31397244:1 gene:B456_008G103700 transcript:KJB49164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPSPTVDPLTNQFGSLNDLSHELASLQDLAMRGSWRSILDKVSGARSLDLLAKPHDHLIYLSYNVLALTKLRRFSDASNELDSLHDFNSHHYKYETYPKLYHTRSGSMVPFSLRFINAQLPFKLGNRQEGLDRFYLLLNFIRQKIKDKGIHSLQESVKIWRKREVFVLHCLIGHHLGAKEFNLCLDLIRDLINHDYLDPVLVSKLGYIQMQIGDFEGAKGSFHRVETMLNERKNDDSSALSEVEFRNLVNRNKALVFLVGKDYVSAVREYEECMERDPADVVAVNNKALCLMYLRDLSDSIKVLENSLERVPTVALNETLVVNLCSMYELAYVNHSEIKRTLSNWIARVALDDFDASCTRV >KJB49165 pep chromosome:Graimondii2_0_v6:8:31394019:31397244:1 gene:B456_008G103700 transcript:KJB49165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPSPTVDPLTNQFGSLNDLSHELASLQDLAMRGSWRSILDKVSGARSLDLLAKPHDHLIYLSYNVLALTKLRRFSDASNELDSLHDFNSHHYKYETYPKLYHTRSGSMVPFSLRFINAQLPFKLGNRQEGLDRFYLLLNFIRQKIKDKGIHSLQESVKIWRKREVFVLHCLIGHHLGAKEFNLCLDLIRDLINHDYLDPVLVSKLGYIQMQIGDFEGAKGSFHRVETMLNERKNDDSSALSEVEFRNLVNRNKALVFLVGKDYVSAVREYEECMERDPADVVAVNNKALCLMYLRDLSDSIKVLENSLERVPTVALNETLVVNLCSMYELAYVNHSEIKRTLSNWIARVALDDFDASCTRV >KJB47956 pep chromosome:Graimondii2_0_v6:8:6745170:6747291:-1 gene:B456_008G048900 transcript:KJB47956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFSLRSRLQASALSKRRLKSKAKHGRKGMKNMEESFKRLKSEMGEISEEQKNIREGQRQVKEKFGIIESECEELKRETRLIIQQSARTQVKLALMFRILKAREAGELNTAATLTEMLREIVGREREESKADI >KJB47957 pep chromosome:Graimondii2_0_v6:8:6745197:6747232:-1 gene:B456_008G048900 transcript:KJB47957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFSLRSRLQASALSKRRLKSKAKHGRKGMKNMEESFKRLKSEMGEISEEQKNIREGQRQVKEKFGIIESECEELKRETRLIIQQSARTQVKLALMFRILKAREAGELNTAATLTEMLRLVS >KJB50357 pep chromosome:Graimondii2_0_v6:8:43557393:43558030:-1 gene:B456_008G165900 transcript:KJB50357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATHHKKGFFKGKLAKSFSRITKARTTSSGSNSSSKVVPCSTPSSTSSMYSSIPRVSTYSYASSKQPTSFREQKNVADLPSSMQKVSYASFKPHDHIGYAIETWGHADENVDLKASSYISDVRKRFQLDRV >KJB50675 pep chromosome:Graimondii2_0_v6:8:46003346:46005709:1 gene:B456_008G182100 transcript:KJB50675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLAIGDLHIPHRAPDLPPKFKSMLVPGKIQHIICTGNLCIKEVHDYFKTLCPDLHITRGEYDEDSHYPENKTLTIGQFKLGICHGHQVIPWGDLDSLAMVQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAFSSFTYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATASAH >KJB50676 pep chromosome:Graimondii2_0_v6:8:46002992:46005709:1 gene:B456_008G182100 transcript:KJB50676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLAIGDLHIPHRAPDLPPKFKSMLVPGKIQHIICTGNLCIKEVHDYFKTLCPDLHITRGEYDEDSHYPENKTLTIGQFKLGICHGHQVIPWGDLDSLAMVQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAFSSFTYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATASAH >KJB51814 pep chromosome:Graimondii2_0_v6:8:52071779:52075712:1 gene:B456_008G234400 transcript:KJB51814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLMLERAMGRASSTLSPGHRHFATQTKELIAEIELLEEEVANREQHVLSLYRSVFDQCVSRQPSEQSSGKASPAHMKHASRKHPSVISSAFCSSKKFPLKPWQALVSTNDSGKRISRSIDASQFCGKNDILFDKTSSHCVKAHEKVQGMEKSSVLRTLKDHLYQCPSKLSEEMVRCMAAVYCGLRGATSPSSNKNQSPLLSRSSTNVVLPRRGAGDDQDFSCTSDIEISWISTNTNQFSRSSYAISNYRALVEQLEKVTVNQMEFNAQIAFWINVYNALVMHAYLAYGIPHGSLRRLALFHKASYNIGGHIITANAIEQSIFCFRTPRIGRWLETILSTALRKKSGEERQIISSNFGLPYAQPLACFALSTGAFSDPVLKVYTASNVKEELEAAKRDFLQANVVVKKSKKVFLPRVLERFAKEASINSDDLLNWVLENVDKKLHNSIQKCMDGKSKKKPSHCIDWLPYSSRFRYIFSKDLTEKPWWV >KJB51813 pep chromosome:Graimondii2_0_v6:8:52070450:52075712:1 gene:B456_008G234400 transcript:KJB51813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGIVCRVADVQEMDGFRLDQEEGATTAGGGKETEESSWSSNPQVQAQHRRSKSASDRNLDVLRGRVSRPVKKEQNLQASPLSTRASRTRSPLHDCSAYGNKNISVNQRASLEKDIELLQLRLQQEKSMRLMLERAMGRASSTLSPGHRHFATQTKELIAEIELLEEEVANREQHVLSLYRSVFDQCVSRQPSEQSSGKASPAHMKHASRKHPSVISSAFCSSKKFPLKPWQALVSTNDSGKRISRSIDASQFCGKNDILFDKTSSHCVKAHEKVQGMEKSSVLRTLKDHLYQCPSKLSEEMVRCMAAVYCGLRGATSPSSNKNQSPLLSRSSTNVVLPRRGAGDDQDFSCTSDIEISWISTNTNQFSRSSYAISNYRALVEQLEKVTVNQMEFNAQIAFWINVYNALVMHAYLAYGIPHGSLRRLALFHKASYNIGGHIITANAIEQSIFCFRTPRIGRWLETILSTALRKKSGEERQIISSNFGLPYAQPLACFALSTGAFSDPVLKVYTASNVKEELEAAKRDFLQANVVVKKSKKVFLPRVLERFAKEASINSDDLLNWVLENVDKKLHNSIQKCMDGKSKKKPSHCIDWLPYSSRFRYIFSKDLTEKPWWV >KJB46818 pep chromosome:Graimondii2_0_v6:8:46137828:46137950:-1 gene:B456_008G1832001 transcript:KJB46818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTPWLGFVHSFKLH >KJB46817 pep chromosome:Graimondii2_0_v6:8:46137828:46137881:-1 gene:B456_008G1832001 transcript:KJB46817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTPWLGFVHSFKLH >KJB52064 pep chromosome:Graimondii2_0_v6:8:52991764:52996061:-1 gene:B456_008G245100 transcript:KJB52064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAVLLLRSKTHSILRTQIFTRAMGGGPRTFPGGLNKWQWKRLHEKKAKEKEKRLLDQEKQLYQARIRSQIRAKLAGRPDPSCDPTQYSPMSPNDHIKALADRFMKEGAEDLWNEHDGPLNSEEQERPRSVETARNPRSGLIHSPLNIKKLLSDSRRENEKPSGVNNSQFIKSRSYSVQANGKFKVNESSFAGIQSYFGSKDEYLKHSGRNNISRVRLGKNETSSNQHESDSVSDNNSLKRFGPGGKGEKVSFQNNGKFIKSRNRMERMRFRRNESSSSDDESDLELEDEVEGVGGWRDVKKSGSSASLGKYDVKIKRRVPLKELEKEMDFSEQVQLLRQELEKKKLAEIEKKMGEGEPIYSKKRFDECGISPWTVKALSAAGYFLMTRVQEATLSVCLEAGKDALVKAKTGTGKTAAFLLPAIETVLKAASTSTVQRVPPVFVLILCPTRELASQLAAEANALLKYHNGIGVQTLVGGTRFKVDQKRLESEPCQIIVATPGRLLDHVENKSTLSVRLMGLKMLILDEADHLLDLGFRKDVEKIVDCLPRQRQSLLFSATIPKEVRRISQLVLKREHAFIDTVGLGCVETHDKVKQSILVAPHELHFQIVHQLLKKHISVAPEYKVIVFCTTGMVTSLMYLLLREMKMNVREIHSRKPQIYRTRISDEFRDSKRLILVTSDVSARGMDYPDVTLVIQVGIPSDREQYIHRLGRTGREGKDGEGILLIAPWEEYFLDEIKDLPLEKISLPDMDPDVKQKLEFSMAKIDGNVKEAAYHAWLGYYNSIREIGRDKTTLVDLANQFSQSIGLQRPPSLFRKTALKMGLKDIPGIRIRK >KJB52063 pep chromosome:Graimondii2_0_v6:8:52991764:52996061:-1 gene:B456_008G245100 transcript:KJB52063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAVLLLRSKTHSILRTQIFTRAMGGGPRTFPGGLNKWQWKRLHEKKAKEKEKRLLDQEKQLYQARIRSQIRAKLAGRPDPSCDPTQYSPMSPNDHIKALADRFMKEGAEDLWNEHDGPLNSEEQERPRSVETARNPRSGLIHSPLNIKKLLSDSRRENEKPSGVNNSQFIKSRSYSVQANGKFKVNESSFAGIQSYFGSKDEYLKHSGRNNISRVRLGKNETSSNQHESDSVSDNNSLKRFGPGGKGEKVSFQNNGKFIKSRNRMERMRFRRNESSSSDDESDLELEDEVEGVGGWRDVKKSGSSASLGKYDVKIKRRVPLKELEKEMDFSEQVQLLRQELEKKKLAEIEKKMGEGEPIYSKKRFDECGISPWTVKALSAAGYFLMTRVQEATLSVCLEGKDALVKAKTGTGKTAAFLLPAIETVLKAASTSTVQRVPPVFVLILCPTRELASQLAAEANALLKYHNGIGVQTLVGGTRFKVDQKRLESEPCQIIVATPGRLLDHVENKSTLSVRLMGLKMLILDEADHLLDLGFRKDVEKIVDCLPRQRQSLLFSATIPKEVRRISQLVLKREHAFIDTVGLGCVETHDKVKQSILVAPHELHFQIVHQLLKKHISVAPEYKVIVFCTTGMVTSLMYLLLREMKMNVREIHSRKPQIYRTRISDEFRDSKRLILVTSDVSARGMDYPDVTLVIQVGIPSDREQYIHRLGRTGREGKDGEGILLIAPWEEYFLDEIKDLPLEKISLPDMDPDVKQKLEFSMAKIDGNVKEAAYHAWLGYYNSIREIGRDKTTLVDLANQFSQSIGLQRPPSLFRKTALKMGLKDIPGIRIRK >KJB52062 pep chromosome:Graimondii2_0_v6:8:52991359:52996142:-1 gene:B456_008G245100 transcript:KJB52062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAVLLLRSKTHSILRTQIFTRAMGGGPRTFPGGLNKWQWKRLHEKKAKEKEKRLLDQEKQLYQARIRSQIRAKLAGRPDPSCDPTQYSPMSPNDHIKALADRFMKEGAEDLWNEHDGPLNSEEQERPRSVETARNPRSGLIHSPLNIKKLLSDSRRENEKPSGVNNSQFIKSRSYSVQANGKFKVNESSFAGIQSYFGSKDEYLKHSGRNNISRVRLGKNETSSNQHESDSVSDNNSLKRFGPGGKGEKVSFQNNGKFIKSRNRMERMRFRRNESSSSDDESDLELEDEVEGVGGWRDVKKSGSSASLGKYDVKIKRRVPLKELEKEMDFSEQVQLLRQELEKKKLAEIEKKMGEGEPIYSKKRFDECGISPWTVKALSAAGYFLMTRVQEATLSVCLEGKDALVKAKTGTGKTAAFLLPAIETVLKAASTSTVQRVPPVFVLILCPTRELASQLAAEANALLKYHNGIGVQTLVGGTRFKVDQKRLESEPCQIIVATPGRLLDHVENKSTLSVRLMGLKMLILDEADHLLDLGFRKDVEKIVDCLPRQRQSLLFSATIPKEVRRISQLVLKREHAFIDTVGLGCVETHDKVKQSILVAPHELHFQIVHQLLKKHISVAPEYKGL >KJB52061 pep chromosome:Graimondii2_0_v6:8:52991359:52996142:-1 gene:B456_008G245100 transcript:KJB52061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAVLLLRSKTHSILRTQIFTRAMGGGPRTFPGGLNKWQWKRLHEKKAKEKEKRLLDQEKQLYQARIRSQIRAKLAGRPDPSCDPTQYSPMSPNDHIKALADRFMKEGAEDLWNEHDGPLNSEEQERPRSVETARNPRSGLIHSPLNIKKLLSDSRRENEKPSGVNNSQFIKSRSYSVQANGKFKVNESSFAGIQSYFGSKDEYLKHSGRNNISRVRLGKNETSSNQHESDSVSDNNSLKRFGPGGKGEKVSFQNNGKFIKSRNRMERMRFRRNESSSSDDESDLELEDEVEGVGGWRDVKKSGSSASLGKYDVKIKRRVPLKELEKEMDFSEQVQLLRQELEKKKLAEIEKKMGEGEPIYSKKRFDECGISPWTVKALSAAGYFLMTRVQEATLSVCLEAGKDALVKAKTGTGKTAAFLLPAIETVLKAASTSTVQRVPPVFVLILCPTRELASQLAAEANALLKYHNGIGVQTLVGGTRFKVDQKRLESEPCQIIVATPGRLLDHVENKSTLSVRLMGLKMLILDEADHLLDLGFRKDVEKIVDCLPRQRQSLLFSATIPKEVRRISQLVLKREHAFIDTVGLGCVETHDKVKQSILVAPHELHFQIVHQLLKKHISVAPEYKGL >KJB48770 pep chromosome:Graimondii2_0_v6:8:18816409:18819249:1 gene:B456_008G086200 transcript:KJB48770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g49740 [Source:Projected from Arabidopsis thaliana (AT3G49740) UniProtKB/Swiss-Prot;Acc:Q9M2Y4] MHSFLHLFPTTTRSLPCSTISHALSTQQLKPLLTTITEASLNQHHLIQLNSRLASLTRSTRYQDALCLFDEIHCLHHNVKPDHYTLSTALKACASLPNLEFGTKLHAYAIKSGFKPYSHVSNTLLFLYSKTHHLASVERVFNEIEHPDVYSWTTMLSSCSKLGGISYACEVFDKMPKKEVAVWNVMVTGCMENWYQGHGFDLFKQMHFLGLKHDNYSFASVLSTCYIENLGFGRQVQALVVKTGFLFRASVLNAAITMYFNCEDVENACRVLEEVECSVYDGITFNVMIDGLLNVGRVEQALLMFREMLEACLGPSELTFVSLMSSCSCRRVGDQVYAQAVKLGFEQSTSVSNAAITMYSTCGDLNAARLVFERLEQKDIVSWNTLLSTYAQRSSSSSAFVIYMEMRRSGIEPDEFTFGSLLSCSEFIEMGEMIHALVFKNGLISRVQVSNALVSSYSKHGEMNQAYQLFQMSPKNLISWNTIISGFFLNGFPAQGLEQLTKLLISNLRPNSYTFSIAISICASISSLNNGKQLHAYILRHDFSSETSLGNALITMYAKCGTLNWSLRVFNEMIAKDSISWNALISAFAQHGEAMLV >KJB47279 pep chromosome:Graimondii2_0_v6:8:2190831:2194263:-1 gene:B456_008G019000 transcript:KJB47279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLQVNRFCIRAAYDNFGGKSGALSFRKPLTVRCSGDVASSSSVAVDLDNFDAKTFRHDLTRSKNYNRKGFGHKEETLELMNQEYTSDIIKKLKENGNEYTWGNVRVKLAEAYGFCWGVERAVQIAYEARKQFPYEKIWLTNEIIHNPTVNKRLEEMEVEVIPIEEGKKQFDVVNKSNVVVFPAFGAGVDEMLTLNEKNVQIVDTTCPWVAKVWNTVEKHKKGDYTSIIHGKYAHEETIATASFAGAYIIVKNMTEAIYVCDYILGGELDGSSSTKEAFMEKFKYATSKGFDPDRDLVKVGIANQTTMLKGETEEIGKLVERTMMQKYGVENVNDHFISFNTICDATQERQDAMYKLVEENLDLMLVVGGWNSSNTSHLQEISEDHGIPSYWIDSEQRIGPGNRIAHKLKHGELVETENWLPEGPITIGVTSGASTPDKVVEEALIKVFDIKREELLRVV >KJB47280 pep chromosome:Graimondii2_0_v6:8:2190987:2194099:-1 gene:B456_008G019000 transcript:KJB47280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLQVNRFCIRAAYDNFGGKSGALSFRKPLTVRCSGDVASSSSVAVDLDNFDAKTFRHDLTRSKNYNRKGFGHKEETLELMNQEYTSDIIKKLKENGNEYTWGNVRVKLAEAYGFCWGVERAVQIAYEARKQFPYEKIWLTNEIIHNPTVNKRLEEMEVEVIPIEEGKKQFDVVNKSNVVVFPAFGAGVDEMLTLNEKNVQIVDTTCPWVAKVWNTVEKHKKGDYTSIIHGKYAHEETIATASFAGAYIIVKNMTEAIYVCDYILGGELDGSSSTKEAFMEKFKYATSKGFDPDRDLVKVGIANQTTMLKGETEEIGKLVERTMMQKYGVENVNDHFISFNTICDQHLTSSRNFRGPWDPLILD >KJB47601 pep chromosome:Graimondii2_0_v6:8:3995296:3996621:-1 gene:B456_008G033100 transcript:KJB47601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIVISSYETQTKTPHLIMSFLIAVTITVLLLATFPISGGHINPVISLAASLTGVISLSRAAIYILAQCVGGVLGALALQSVVNTKIEQTFSLGGCTLTIVVPSANGPLVIGLETRQALWLEIICTFVFLFASIWIAFDKRQAKHLGRVVVCSIIGVVVGLIVFISTTVTSTKGYAGVGMNPARCLGPALIRGGHLWNGHWVFWAGPVFACVAFALYTKLIPSQLLHN >KJB47600 pep chromosome:Graimondii2_0_v6:8:3995102:3996763:-1 gene:B456_008G033100 transcript:KJB47600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGPIGVIEDEENAYTGTRVRPFVATPRVEDEKKQSPTTLNKILCLEELFSLEVWRASLAELLGTAVLVFAMDTIVISSYETQTKTPHLIMSFLIAVTITVLLLATFPISGGHINPVISLAASLTGVISLSRAAIYILAQCVGGVLGALALQSVVNTKIEQTFSLGGCTLTIVVPSANGPLVIGLETRQALWLEIICTFVFLFASIWIAFDKRQAKHLGRVVVCSIIGVVVGLIVFISTTVTSTKGYAGVGMNPARCLGPALIRGGHLWNGHWVFWAGPVFACVAFALYTKLIPSQLLHN >KJB47306 pep chromosome:Graimondii2_0_v6:8:2317115:2319718:-1 gene:B456_008G020100 transcript:KJB47306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWQKESVLLFLPLSILLSGLVKVDALSYDYTASIECLAQPHKAQYGGGMIKNPELNEGLIGWSTFGNAVIEHRNESDGNKFVVAGKRNHFQDSVSQKLFLENGKLYTFSAWVQVSEEKALVRAVFRTVTGLKRAAETVAESKCWSMLKGGLTVDASGPAELFFETDNTSVEVWVDSVSLQPFTQEEWMSHHVESIEKTKRRVRIRVEAEGNPVVNSKISIHQKASGKPIGCAVNKGILDNVGYQNWFTSRFTHTTFENEMKWYTTEPVRGKEDYSLPDSIMTLMKQHNIAVRGHNIFWDDPHYQPNWVPSLQPNDLSAAANKRINSIMTKYKGQVIGWDVVNENLHSNFFESKLGPDASATFYKMAAGIDHSIPLFMNEFNTLEQKGDAASTPAKYLEKLKNIQTFMGAEAKRMAIGLECHFDVPSLPYIRSSLDTLAATNLPIWLTEIDVQSGSNQAMYLEQVLREGHSHPGVSGMIIWSAWNPNGCYRMCLTDNNFKNLATGDVVDKLLKEWGIKATVEGKTDAAGFFEASLFHGEYEVKISDPVEAANSSVSQRFKVEPRHDSQDQIMLLQVST >KJB47307 pep chromosome:Graimondii2_0_v6:8:2317045:2319718:-1 gene:B456_008G020100 transcript:KJB47307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWQKESVLLFLPLSILLSGLVKVDALSYDYTASIECLAQPHKAQYGGGMIKNPELNEGLIGWSTFGNAVIEHRNESDGNKFVVAGKRNHFQDSVSQKLFLENGKLYTFSAWVQVSEEKALVRAVFRTVTGLKRAAETVAESKCWSMLKGGLTVDASGPAELFFETDNTSVEVWVDSVSLQPFTQEEWMSHHVESIEKTKRRVRIRVEAEGNPVVNSKISIHQKASGKPIGCAVNKGILDNVGYQNWFTSRFTHTTFENEMKWYTTEPVRGKEDYSLPDSIMTLMKQHNIAVRGHNIFWDDPHYQPNWVPSLQPNDLSAAANKRINSIMTKYKGQVIGWDVVNENLHSNFFESKLGPDASATFYKMAAGIDHSIPLFMNEFNTLEQKGDAASTPAKYLEKLKNIQTFMGAEAKRMAIGLECHFDVPSLPYIRSSLDTLAATNLPIWLTEIDVQSGSNQAMYLEQVLREGHSHPGVSGMIIWSAWNPNGCYRMCLTDNNFKNLATGDVVDKLLKEWGIKATVEGKTDAAGFFEASLFHGEYEVKISDPVEAANSSVSQRFKVEPRHDSQDQIMLLQVST >KJB51564 pep chromosome:Graimondii2_0_v6:8:50945050:50947499:-1 gene:B456_008G223100 transcript:KJB51564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHISLYTTEAVKSIWVRWNIRWAILFSLLLQALLILVALLRKSARGKFNIILIRFAFLLADAVANFAIGLISNSQHNQYDKAGHNANQKPEENSDLLAFWAPFLLLHLRSPDTITAFALEDNELWLRHFLGLGFQAGAVVYAFIQSLPNKSLWVPTLLKFVAGMIKYGERTYSILKKLDPGPDYGKLIEEYDFKKKNKLPTQISLTLEPNKEAKASDVPPKTNRLKHLEVVHYAYKYFQTFKGLVVDLIFGFHKRGESRDFFKIRDPKDALRVIEVELNFLYETLYTTVEALHLRMQKIYVGYILRFLALACVLATFGIFNFKVNKHEFRGVDIGIIYTLLLGVIALDVIDIFILIFSDRSIASIKDPERPPKWAPIYKAFLVLMRPWWKTFTCNCKYEHSPEHELLATPLVVRRWSGSISSHNLIRYCLKSNRTSIHEFPSWWQIMFESILRFLKLYECFDKCATCICNVIEECLSPIRKGLGKIFWPCFEKIFSFCAKDLMDEMVYVSLEPFSLDLWIFIFEELKTKSKFADTPETAKRISLARDAERGKLLRYLIDVPYDESILLWLATDLCYHPDDDKVRKNTNRQDTENPTDRQDHEKEELTYRQFSKTLSDYMLYLLEFRPTMMSAVTGIGKIKFRDICAEVERFFKLVDLRPNQDKKACEELLGVKTNVGSLEKVKGGPSKSVLFEALENMSKVWVELVSYAASHFRASTHVAYVSQGGELITFFWLLMAHFGLGERFHINEGHNVRAKLIMGK >KJB49464 pep chromosome:Graimondii2_0_v6:8:35656484:35658188:1 gene:B456_008G120300 transcript:KJB49464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRLHMVMVPWSAFGHLIPFFQLALQLAKAGIKVSFISTPGNIKRLPNVPSNIATLLDLLVFPLPNLDDEQQLPEGCEATVDIPFEKIQYLKIAYDLLRQPVKQFVIDQKPDWILIDVIPHWMVEIAQEQHIPLINFSVFSASVYSFFVNQTLTRSSPESLMSPPEWFGFSSPLRYPKSLATAMHEGFHGENASGISDADRVHKILQASKAVAFRTCPEYEAEYLNAFEKITGNGSKPVFPIGLLLPEKPQGRQTGEIFRWLDVQKPNSVVFVGFGSECKLSKEQTHEIAYGVELSGLPFLWALRKPHWAFNELDALPCGFQERTRGRGMVCIGWAPQLEILGHSSIGGSLCHAGWGSIIETLQFGHSLVVLPFVIDQPLNARLLVDKGLGVEIERSEDGSFSRDDIAKALRLAMVSAEGEKLRIQTREAAQVFGNRDLHDSYFNRFVEYLKENGAAN >KJB53280 pep chromosome:Graimondii2_0_v6:8:26441242:26444990:-1 gene:B456_008G096500 transcript:KJB53280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLGRIGSQALYFCVPFREQLLEYYSSHEIGADAEDNLLTCLADLFTQINLQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEDQAAKKEGGTSSPSEKTVNGPKNPQANGVQKEPLVTWVHKNFQGILTNETRCLRCETVTARDEAFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADSEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESLCANKS >KJB53279 pep chromosome:Graimondii2_0_v6:8:26441599:26443772:-1 gene:B456_008G096500 transcript:KJB53279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFSFWQRLLIALINLQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEDQAAKKEGGTSSPSEKTVNGPKNPQANGVQKEPLVTWVHKNFQGILTNETRCLRCETVTARDEAFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADSEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESLCANKS >KJB53281 pep chromosome:Graimondii2_0_v6:8:26441198:26445603:-1 gene:B456_008G096500 transcript:KJB53281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFSFWQRLLIALINLQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEDQAAKKEGGTSSPSEKTVNGPKNPQANGVQKEPLVTWVHKNFQGILTNETRCLRCETVTARDEAFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADSEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESLCANKS >KJB53278 pep chromosome:Graimondii2_0_v6:8:26441196:26445627:-1 gene:B456_008G096500 transcript:KJB53278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYSSHEIGADAEDNLLTCLADLFTQINLQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEDQAAKKEGGTSSPSEKTVNGPKNPQANGVQKEPLVTWVHKNFQGILTNETRCLRCETVTARDEAFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADSEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESLCANKS >KJB53282 pep chromosome:Graimondii2_0_v6:8:26442220:26445590:-1 gene:B456_008G096500 transcript:KJB53282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYSSHEIGADAEDNLLTCLADLFTQINLQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEDQAAKKEGGTSSPSEKTVNGPKNPQANGVQKEPLVTWVHKNFQGILTNETRCLRCETVTARDEAFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSFRIQLVQVVYNGN >KJB49730 pep chromosome:Graimondii2_0_v6:8:38316646:38317256:1 gene:B456_008G135200 transcript:KJB49730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWFIYRHKKLLEQTSPVTIKSSTYTRRKIQPERVCLGLMVFLLKIKCMHSMNSNIRRCVPGFFPLVTEHYRSLRNKYS >KJB47448 pep chromosome:Graimondii2_0_v6:8:3203359:3206299:1 gene:B456_008G027100 transcript:KJB47448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFYFVFGRSANMVLILIFFFCPVSPSYGYDPLDPKGNITIKWDLLQSNSDTNDVKVSLLNFQLYRHIEQPGWKLGWEWLGKEVIWSIQGAEATEQGNCSRFKGGQLPHCCENKPLIVDLLPGAPYNIQTSNCCKGGVLSSMIQDPTKYAAVFQMNIRAGGAADSDFDMPQNFSLGVPGYTCAKPVQVAPSKYSSDGGRRWTQALGTWNVTCIYSQYQASTSPKCCVSLSAFYNDSIVHCPKCSCSCQGLPGSKCVKFGETPSLLQQVKDPNQEPPSIVRCTQHMCPIRVHWHVKQSYKEYWRVKITVNNLNILKNYSGWNLVALHPNLKSLTQVFSFNYHPLNQYGHINDSGMFWGVEYYNDMLLQEGEVGNVQSEMLLHKDEGIFSFREGWVFPRRILFNGDECVMPPPDDYPRLPKNTARAASFTLSIIFSPLFMLLIIFAC >KJB49991 pep chromosome:Graimondii2_0_v6:8:40269644:40271610:-1 gene:B456_008G148700 transcript:KJB49991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLDWKFSQVFGEQTAGEKIQEVDIISAIEFNRNGDHLATGDRGGRVVLFERTDTQDHVGHRRDLEKMDYPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSSNGALFLLSTNDRTIKLWKVQEKKVKKVCNMNEDSTKAMGNGPIVGSSISTSSKQYIANGGCTSNDFSFPTGGFPSLHLPVVVVVNPECLFVKILWNQLLFLSFFHGLGSV >KJB51487 pep chromosome:Graimondii2_0_v6:8:50503481:50504880:1 gene:B456_008G218600 transcript:KJB51487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLEEKQGMHEHRPPNTSSSSYLQQKNIKWPPAAMAKIAPVGCVLIFFVIASLGLVSFALCLVAEAKRTKAKDVKLDGNLCHLPTSHVFGIVVAALICLSIAQIIGSVVVCANYWWSSRGKVRNRKAKKPVLFLTAFSWVSFGGAVILMSAAMSMNRRQPYGEGWLDGECYVVRGAVYISAGLLSLVAIFALLGAAAVMKTTNPVDQGLKAYAHN >KJB52775 pep chromosome:Graimondii2_0_v6:8:55392234:55395227:-1 gene:B456_008G276100 transcript:KJB52775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIICGLPLLECIYCLACARWAWNRCLHTAGHDSVTCGLATAEEFEPVPRLCRYILAVYEDNIRHPLWEPPGGYGINPDWLILRKTYKDTRGRAPSYILYLDHEHSDIVLAIRGLNLAKESDYQVLLDNQLGKRKFDGGYVHNGLLKAAGWVLDAECEVLKELVEEHPNYTLTFAGHSLGSGVAAMLALVVAQHQDKLGNIDRSRIRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMKDTCIPEEKMLKDPRRLYAPGRLYHIVERKPFRCGRFPPVVKTAVPVDGRFEHIVLSCNATSDHAIIWIERESQRAMDVSLKLHFYVHGNGLNQIELSQLHFLGKLHSKSLNY >KJB52773 pep chromosome:Graimondii2_0_v6:8:55391401:55393950:-1 gene:B456_008G276100 transcript:KJB52773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIICGLPLLECIYCLACARWAWNRCLHTAGHDSVTCGLATAEEFEPVPRLCRYILAVYEDNIRHPLWEPPGGYGINPDWLILRKTYKDTRGRAPSYILYLDHEHSDIVLAIRGLNLAKESDYQVLLDNQLGKRKFDGGYVHNGLLKAAGWVLDAECEVLKELVEEHPNYTLTFAGHSLGSGVAAMLALVVAQHQDKLGNIDRSRIRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMKDTCIPEEKMLKDPRRLYAPGRLYHIVERKPFRCGRFPPVVKTAVPVDGRFEHIVLSCNATSDHAIIWIERESQRAMDLMLEKDRIMEIPAKQRMERQETLTKEHKQEYKAALQRAVSLSVPHAYSPPSEYGTFDESEDVEKYNSCKLSSESSVGSSGKSKSKVSWNELIERLFDRDESGHMVLKKSHRDDW >KJB52772 pep chromosome:Graimondii2_0_v6:8:55391280:55395227:-1 gene:B456_008G276100 transcript:KJB52772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIICGLPLLECIYCLACARWAWNRCLHTAGHDSVTCGLATAEEFEPVPRLCRYILAVYEDNIRHPLWEPPGGYGINPDWLILRKTYKDTRGRAPSYILYLDHEHSDIVLAIRGLNLAKESDYQVLLDNQLGKRKFDGGYVHNGLLKAAGWVLDAECEVLKELVEEHPNYTLTFAGHSLGSGVAAMLALVVAQHQDKLGNIDRSRIRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMKDTCIPEEKMLKDPRRLYAPGRLYHIVERKPFRCGRFPPVVKTAVPVDGRFEHIVLSCNATSDHAIIWIERESQRAMDLMLEKDRIMEIPAKQRMERQETLTKEHKQEYKAALQRAVSLSVPHAYSPPSEYGTFDESEDVEKYNSCKLSSESSVGSSGKSKSKVSWNELIERLFDRDESGHMVLKKSHRDDW >KJB52774 pep chromosome:Graimondii2_0_v6:8:55391235:55395269:-1 gene:B456_008G276100 transcript:KJB52774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIICGLPLLECIYCLACARWAWNRCLHTAGHDSVTCGLATAEEFEPVPRLCRYILAVYEDNIRHPLWEPPGGYGINPDWLILRKTYKDTRGRAPSYILYLDHEHSDIVLAIRGLNLAKESDYQVLLDNQLGKRKFDGGYVHNGLLKAAGWVLDAECEVLKELVEEHPNYTLTFAGHSLGSGVAAMLALVVAQHQDKLGNIDRSRIRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMKDTCIPEEKMLKDPRRLYAPGRLYHIVERKPFRCGRFPPVVKTAVPVDGRFEHIVLSCNATSDHAIIWIERESQRAMDLMLEKDRIMEIPAKQRMERQETLTKEHKQEYKAALQRAVSLSVPHAYSPPSEYGTFDESEDVEKYNSCKLSSESSVGSSGKSKSKVSWNELIERLFDRDESGHMVLKKSHRDDW >KJB47948 pep chromosome:Graimondii2_0_v6:8:6702877:6706037:-1 gene:B456_008G048600 transcript:KJB47948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASILSKSGKVLVSRQFVEMTRIRIEGLLAAFPKLLGTGKQHTYFETENVRYVYQPIEALYLVLVTNKQSNILEDLETLRLLSKLVPEYSVSLDEEGIGKTAFELIFAFDEVICLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIEKSKIDKNRGDKGGFMSMGSGRIETSLSEISIPSSGSGFGSGSGFGGLINEVDSFPTKSKGRQPSSATAPPKGSGMQLGKSQKTNQFLESLKAEGELIVEDAKPKAGQAKAATAVLTDPITLTAEEKLNVTLKRDGGMSNFDVQGTLSLQILNQEDGLIQVQIETGGNHGILFKTHPNMNKELFSNENILGLKDPNRPFPTGPAGDAAGVGLLKWRMQSADESMVPLSSGLHIY >KJB47947 pep chromosome:Graimondii2_0_v6:8:6701706:6706121:-1 gene:B456_008G048600 transcript:KJB47947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASILSKSGKVLVSRQFVEMTRIRIEGLLAAFPKLLGTGKQHTYFETENVRYVYQPIEALYLVLVTNKQSNILEDLETLRLLSKLVPEYSVSLDEEGIGKTAFELIFAFDEVICLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIEKSKIDKNRGDKGGFMSMGSGRIETSLSEISIPSSGSGFGSGSGFGGLINEVDSFPTKSKGRQPSSATAPPKGSGMQLGKSQKTNQFLESLKAEGELIVEDAKPKAGQAKAATAVLTDPITLTAEEKLNVTLKRDGGMSNFDVQGTLSLQILNQEDGLIQVQIETGGNHGILFKTHPNMNKELFSNENILGLKDPNRPFPTGPAGDAAGVGLLKWRMQSADESMVPLSINCWPSVSGNETYVSIEYEASAMFDLQNVVISIPLPALREAPSIRQIDGEWRFDSRNSILEWSIVLIDNSNRSGSMEFVVPPADSSVFFPISVRFTATSTYSDLKVVNIIPLRGGAPPKFSQRTNLVTENYQVM >KJB48649 pep chromosome:Graimondii2_0_v6:8:15564967:15568385:-1 gene:B456_008G080200 transcript:KJB48649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVIETFIWEPSSAVFIFIFFSSFLLLSLFPFYLSKHAPTTKSSAFSDHPFSSSSPRFQLYFLLLYSLASVLEGLWLVYGEFELVYYGISKEDTVTFMLIGFGAALFVGSLLGLVSDLIGRKKTCLVFFILHLIVGIWKMVAPSPSFWVANLCLSLATSIFSFSFETWAVVEHDKVDSKPGHRQDILNETFWLMTFFESASLIGSQVIGNWMVGGNLEKGIGSPSIAATLLAILGIACMSRHYDGTTKIMTFKDYRMSFSVYILGDRRIWLLACAQACLHFSIAAFWILWAPTLADGREAFLGLIYPCLLGARMLGSTVFPWLINASLRTEDCLTCAFVVQALLLSIIAYDYEEIGVLVTQFSLYHACIGLILPLLARLRTMYVPNELRGGMISLSLAPANAAILFVLMQRGYYRTIENSTMIAFAAVGLFMAAGCMYVLKRCGKQPYQNWHKL >KJB48648 pep chromosome:Graimondii2_0_v6:8:15564933:15568546:-1 gene:B456_008G080200 transcript:KJB48648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVIETFIWEPSSAVFIFIFFSSFLLLSLFPFYLSKHAPTTKSSAFSDHPFSSSSPRFQLYFLLLYSLASVLEGLWLVYGEFELVYYGISKEDTVTFMLIGFGAALFVGSLLGLVSDLIGRKKTCLVFFILHLIVGIWKMVAPSPSFWVANLCLSLATSIFSFSFETWAVVEHDKVDSKPGHRQDILNETFWLMTFFESASLIGSQVIGNWMVGGNLEKGIGSPSIAATLLAILGIACMSRHYDGTTKIMTFKDYRMSFSVYILGDRRIWLLACAQACLHFSIAAFWILWAPTLVADGREAFLGLIYPCLLGARMLGSTVFPWLINASLRTEDCLTCAFVVQALLLSIIAYDYEEIGVLVTQFSLYHACIGLILPLLARLRTMYVPNELRGGMISLSLAPANAAILFVLMQRGYYRTIENSTMIAFAAVGLFMAAGCMYVLKREAFKGDMKEEPISTFKD >KJB48647 pep chromosome:Graimondii2_0_v6:8:15565681:15568385:-1 gene:B456_008G080200 transcript:KJB48647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVIETFIWEPSSAVFIFIFFSSFLLLSLFPFYLSKHAPTTKSSAFSDHPFSSSSPRFQLYFLLLYSLASVLEGLWLVYGEFELVYYGISKEDTVTFMLIGFGAALFVGSLLGLVSDLIGRKKTCLVFFILHLIVGIWKMVAPSPSFWVANLCLSLATSIFSFSFETWAVVEHDKVDSKPGHRQDILNETFWLMTFFESASLIGSQVIGNWMVGGNLEKGIGSPSIAATLLAILGIACMSRHYDGTTKIMTFKDYRMSFSVYILGDRRIWLLACAQACLHFSIAAFWILWAPTLVADGREAFLGLIYPCLLGARMLGSTVFPWLINASLRTEDCLTCAFVVQALLLSIIAYDYEEIGVLVTQFSLYHACIGLILPLLARLRTMYVPNELRGGMISLSLAPANAAILFVLMQRGYYRTIENSTMIAFAAVGLFMAAGCMYVLKRCGKQPYQNWHKL >KJB48650 pep chromosome:Graimondii2_0_v6:8:15565681:15568385:-1 gene:B456_008G080200 transcript:KJB48650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVIETFIWEPSSAVFIFIFFSSFLLLSLFPFYLSKHAPTTKSSAFSDHPFSSSSPRFQLYFLLLYSLASVLEGLWLVYGEFELVYYGISKEDTVTFMLIGFGAALFVGSLLGLVSDLIGRKKTCLVFFILHLIVGIWKMVAPSPSFWVANLCLSLATSIFSFSFETWAVVEHDKVDSKPGHRQDILNETFWLMTFFESASLIGSQVIGNWMVGGNLEKGIGSPSIAATLLAILGIACMSRHYDGTTKIMTFKDYRMSFSVYILGDRRIWLLACAQACLHFSIAAFWILWAPTLVADGREAFLGLIYPCLLGARMLGSTVFPWLINASLRTEDCLTCAFVVQALLLSIIAYDYEEIGVLVTQFSLYHACIGLILPLLARLRTMYVPNELRGGMISLSLAPANAAILFVLMQRGYYRTIENSTMIAFAAVGLFMAAGCMYVLKRCGKQPYQNWHKL >KJB48651 pep chromosome:Graimondii2_0_v6:8:15565681:15568385:-1 gene:B456_008G080200 transcript:KJB48651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVIETFIWEPSSAVFIFIFFSSFLLLSLFPFYLSKHAPTTKSSAFSDHPFSSSSPRFQLYFLLLYSLASVLEGLWLVYGEFELVYYGISKEDTVTFMLIGFGAALFVGSLLGLVSDLIGRKKTCLVFFILHLIVGIWKMVAPSPSFWVANLCLSLATSIFSFSFETWAVVEHDKVDSKPGHRQDILNETFWLMTFFESASLIGSQVIGNWMVGGNLEKGIGSPSIAATLLAILGIACMSRHYDGTTKIMTFKDYRMSFSVYILGDRRIWLLACAQACLHFSIAAFWILWAPTLVADGREAFLGLIYPCLLGARMLGSTVFPWLINASLRTEDCLTCAFVVQALLLSIIAYDYEEIGVLVTQFSLYHACIGLILPLLARLRTMYVPNELRGGMISLSLAPANAAILFVLMQRGYYRTIENSTMIAFAAVGLFMAAGCMYVLKRCGKQPYQNWHKL >KJB48646 pep chromosome:Graimondii2_0_v6:8:15564933:15568537:-1 gene:B456_008G080200 transcript:KJB48646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVIETFIWEPSSAVFIFIFFSSFLLLSLFPFYLSKHAPTTKSSAFSDHPFSSSSPRFQLYFLLLYSLASVLEGLWLVYGEFELVYYGISKEDTVTFMLIGFGAALFVGSLLGLVSDLIGRKKTCLVFFILHLIVGIWKMVAPSPSFWVANLCLSLATSIFSFSFETWAVVEHDKVDSKPGHRQDILNETFWLMTFFESASLIGSQVIGNWMVGGNLEKGIGSPSIAATLLAILGIACMSRHYDGTTKIMTFKDYRMSFSVYILGDRRIWLLACAQACLHFSIAAFWILWAPTLVADGREAFLGLIYPCLLGARMLGSTVFPWLINASLRTEDCLTCAFVVQALLLSIIAYDYEEIGVLVTQFSLYHACIGLILPLLARLRTMYVPNELRGGMISLSLAPANAAILFVLMQRGYYRTIENSTMIAFAAVGLFMAAGCMYVLKREAFKGDMKEEPISTFKD >KJB49466 pep chromosome:Graimondii2_0_v6:8:35708757:35710695:1 gene:B456_008G120500 transcript:KJB49466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLSSHGFSLFLLWTFTLYGLCLSFSPIDNYLINSGASLDSVVDNRRFISDSSNSPDSHLSSGRTFSLCAGTLLPGLPQIYHTARVFNSPSKYVFNVKDPGTHMVRLHFHRFNSSRLDLGNSRFHVLVNGLVALTNFSGGDFVNPKVIEYLLCVNSEKVEIRFVPAKKSNFAFVNAIEVISAPKDLVLETAQYVNGDKIEEFQGLNKQAFETMYRVTVGGPKVTPFNDSLWRTWIPDDEYLKLSEGSNKVYFGGRIKYQDGGASREVGPDNVYDSARLIRSKNASIPNVNLTWEFPVSEDYKYLVRMHFCDIASISLGLLYFNVYVNEHLAYKDLDLSDVTNYMLASPFYADFVVDAGRSGVITVSVGPSSKSMGYTVDAILNGVEIMKMNNSVYSLAGKVPAELIMKCWPRRTLGILLPLIALACLLLSLSAIVHRRKSNAELFPWSKLPTDIHEISPKQAKLQLSNIVT >KJB49467 pep chromosome:Graimondii2_0_v6:8:35708664:35710839:1 gene:B456_008G120500 transcript:KJB49467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLSSHGFSLFLLWTFTLYGLCLSFSPIDNYLINSGASLDSVVDNRRFISDSSNSPDSHLSSGRTFSLCAGTLLPGLPQIYHTARVFNSPSKYVFNVKDPGTHMVRLHFHRFNSSRLDLGNSRFHVLVNGLVALTNFSGGDFVNPKVIEYLLCVNSEKVEIRFVPAKKSNFAFVNAIEVISAPKDLVLETAQYVNGDKIEEFQGLNKQAFETMYRVTVGGPKVTPFNDSLWRTWIPDDEYLKLSEGSNKVYFGGRIKYQDGGASREVGPDNVYDSARLIRSKNASIPNVNLTWEFPVSEDYKYLVRMHFCDIASISLGLLYFNVYVNEHLAYKDLDLSDVTNYMLASPFYADFVVDAGRSGVITVSVGPSSKSMGYTVDAILNGVEIMKMNNSVYSLAGKVPAELIMKCWPRRTLGILLPLIALACLLLSLSAIVHRRKSNAELFPWSKLPTDIHEISPKQAKLQLSNIVT >KJB51542 pep chromosome:Graimondii2_0_v6:8:50805008:50809593:1 gene:B456_008G221500 transcript:KJB51542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKGSSSPDISEEPNVGNIHEEINEEPIEGNTDGEGSNKGNNQEDTSGEHNQGSTNDINEEDTEVEKLTATKENARKIFYQLKEDNVSKIILLGETGTGKTWMASKICEVAVEEKYDEPIWICLDKKHDKKSFLDTVARRFSLPTSADEREEDGEKEKKDKKVNNAKRVAETMIGKLDAVKKESKFVLLVLDGQLEMMEKDQNEEMDHYIKEEILGLKDSMNNIDSLKVLITRRKSEKGDNDEKAKKFKLEPFSGCETLNLLRDNFDVSVYPEELQKVSEAFQRHRRKPAEILMFAGTINYIAKDKSGELKAALEAAANGLEQLLRFAYDKERGNSMIDCFWHGWNFLRKHGGVHYNELITSWIMEGCLNHTNQIDKAYLEGHDVLMKLIDYHMLKLQEDNIIVVEGATREMNKFCRRGYLGTADPGLASVLKDIDETDLEEIPPAQVLEGITPADGMMRTLCGDKKEKMVSSLLIDGSRLCREVHDTFFGAKENLNLLAIFSPRLKSPEELSISRPEKLLVLMLRGSYLLENVNIVEKLKALTVLEISGSRAWTIKLSDNFFHEVSRLRSLDLTGAGIESLPDSFSELTELRRLILRQCSSLTQLPKLAKFSKLEVIDLSECTSLKKIQEKSFGKLEKLKVINFSHTKIEKLPIVKTLRNLTILLLKGCSELSAMRMLKQVSSLKILDLSGATNIKEIRYDCFEETENLRELNLSETQIQYLPPEIGDLQKLRLKGCKLLRNLPDLSGHSRLEELELSGCERLENLPELSALKKLKILNLNGCSNLKSLPDLTSLLKLEKLDLHGTELWSEDVVKSLSHIKDLQI >KJB51541 pep chromosome:Graimondii2_0_v6:8:50804453:50809698:1 gene:B456_008G221500 transcript:KJB51541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKGSSSPDISEEPNVGNIHEEINEEPIEGNTDGEGSNKGNNQEDTSGEHNQGSTNDINEEDTEVEKLTATKENARKIFYQLKEDNVSKIILLGETGTGKTWMASKICEVAVEEKYDEPIWICLDKKHDKKSFLDTVARRFSLPTSADEREEDGEKEKKDKKVNNAKRVAETMIGKLDAVKKESKFVLLVLDGQLEMMEKDQNEEMDHYIKEEILGLKDSMNNIDSLKVLITRRKSEKGDNDEKAKKFKLEPFSGCETLNLLRDNFDVSVYPEELQKVSEAFQRHRRKPAEILMFAGTINYIAKDKSGELKAALEAAANGLEQLLRFAYDKERGNSMIDCFWHGWNFLRKHGGVHYNELITSWIMEGCLNHTNQIDKAYLEGHDVLMKLIDYHMLKLQEDNIIVVEGATREMNKFCRRGYLGTADPGLASVLKDIDETDLEEIPPAQVLEGITPADGMMRTLCGDKKEKMVSSLLIDGSRLCREVHDTFFGAKENLNLLAIFSPRLKSPEELSISRPEKLLVLMLRGSYLLENVNIVEKLKALTVLEISGSRAWTIKLSDNFFHEVSRLRSLDLTGAGIESLPDSFSELTELRRLILRQCSSLTQLPKLAKFSKLEVIDLSECTSLKKIQEKSFGKLEKLKVINFSHTKIEKLPIVKTLRNLTILLLKGCSELSAMRMLKQVSSLKILDLSGATNIKEIRYDCFEETENLRELNLSETQIQYLPPEIGDLQKLRLKGCKLLRNLPDLSGHSRLEELELSGCERLENLPELSALKKLKILNLNGCSNLKSLPDLTSLLKLEKLDLHGTELWSEDVVKSLSHIKDLQI >KJB47904 pep chromosome:Graimondii2_0_v6:8:6352859:6356951:-1 gene:B456_008G046900 transcript:KJB47904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Accelerated cell death 11 [Source:Projected from Arabidopsis thaliana (AT2G34690) UniProtKB/Swiss-Prot;Acc:O64587] MANSENEKILRKMADAFKELAATVNSQTADMEVAPFSRACSFVSPLFGCLGIAFKFAEMDYVAKVGDLAEASKSIATLKVMLDRDIEGNCVRKAGSHTRNLLRVKRGLDMVRVLFEQILATEGDSLKDPASKAYAQVFAPHHGWAIRKAVAAGMYALPTKAQLMKKLNEDEASARIQMQHYVAASSSVILYIDKLFLSRELGIDW >KJB47905 pep chromosome:Graimondii2_0_v6:8:6352861:6356874:-1 gene:B456_008G046900 transcript:KJB47905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Accelerated cell death 11 [Source:Projected from Arabidopsis thaliana (AT2G34690) UniProtKB/Swiss-Prot;Acc:O64587] MANSENEKILRKMADAFKELAATVNSQTADMEVAPFSRACSFVSPLFGCLGIAFKFAEMDYVAKVGDLAEASKSIATLKVMLDRDIEGNCVRKAGSHTRNLLRVKRGLDMVRVLFEQILATEGDSLKDPASKAYAQVFAPHHGWAIRKAVAAGMYALPTKAQLMKKLNEDGKWMYDFALVIK >KJB49570 pep chromosome:Graimondii2_0_v6:8:36600306:36602428:-1 gene:B456_008G125800 transcript:KJB49570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 hydroxylase, Anther cuticle and pollen exine developmen [Source: Projected from Oryza sativa (Os08g0131100)] MIPYKPIFFPLDNMELFTFALALLVGALVVNALWRWRLDWKSLFKTRKLPPGPPRWPIVGNLLQLSSLPHRDLASLCDKYGPLVYLRLGKVDAITTNDPDIIREILLRQDEVFASRPRTLAAVHLAYGCGDVALAPLGPHWKRMRRICMEHLLTTKRLESFAKHRADEAQHLVRDVSARAENGQLVNLREVLGAFSMNNVTRMLLGRQYFGAVSAGPSEAMEFMHITHELFWLLGVIYLGDYLPIWRWVDPYGCEKRMREVEKRVDDFHERIIEEHRRARELKNKGYGKDDDYGEEMDFVDVLLSLPGEDGNPHMDDTDIKALIQDMIAAATDTSAVTNEWTMAEVIKHPRVLRKIQDELDSVVGPNRMVNESDLPHLNYLRCVVRETFRMHPAGPFLIPHESLRATTINGFYIPAKTRVFINTHGLGRNTKLWDDVESFRPERHWLADGARVEISHGADFKILPFSAGKRKCPGAPLGVTLVLMALARLFHCFDWAPQNGMRPEDINTMEVYGMTMPKAEPLMAMAKPRLADHVMF >KJB51630 pep chromosome:Graimondii2_0_v6:8:51224301:51225306:1 gene:B456_008G225700 transcript:KJB51630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIYEAGMIDILVIATFIISMSPSFCYARPPTSDFSLPAPVTVAVIFFISFSFGFLTLYFCRCILESLASLWNNQRNPSPPVDVAPAAGENISNGLDPELIQAFPTFYYSTVKEFRREKYGLECAICLGEFEDEDMLRLLTICFHVFHKECVDLWLESHKTCPVCRGELDVLSKKSPLLIRSNSMHEISTNAESSANQSPVEDSVCIDIKDDNDEKVDGEDKVQVTSSTKEQQSRKNERMENFSRSHSTGHSIGRAKEEDRYTLRLPEHIKIKIVRGHHAARSCTVFGEFTSPSNDRHRGSGEPSETRIGD >KJB51198 pep chromosome:Graimondii2_0_v6:8:49082011:49084119:-1 gene:B456_008G205900 transcript:KJB51198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLACYQSISLDKIQNSFRFPSSIHEVFRTKPLFSDYCFRFNRRKCGNPCDKIKCFSLEQGLQPRRPKPKPSRNTDSDLKETEETHVKRPSVGICSQIEKLAFCNRYREALELFEILELEGGFDVGLSTYDALVSACIGLGSVRAVKRVFSYMISNGFEPDQYMSNRVLLMHVKCGMMIDARSLFDEMPERNLVSWNTIIAGLVDVGDYFEAFRLFLLMWEEFSDCGSRTIATMIRASAGLELISVGRQLHSAAVKFGVGEDIFVSCALIDMYSKCGSIEDAQWAFDEMPEKTTVGWNSIIAGYALHGYSEEALSMFYEMRDSGVKMDHFTFSMIIRISSRLASVEYAKQVHAGLIRHGFGLDIVANTALVDFYSKWGRVEDAKHVFDRMPCKNTISWNALIAGYGNHGWGAEAVELFEQMLREKMRPNHVTFLAVLSACSHSRLFERGWEIFQSMSRDHKIKPRAMHYACMIELLGQEGLLDEAFALIRGAPFKPTANMWAALLTASRVNVNLELGKYAAEKLYGMEPEKLSNYVVLLNIYHQSGKLKEAAGVLQTLRRKGLRMLPVCSWIEVNKQSHVFLSGDKSHVQTNEIYEKVDSLVHEISKHGYVPKEKTLLPDVDEQEQRILLYHSEKLAVAFGLINTMKGSPLQIVQNHRICNDCHNAIKLIALVTRREIVVRDASRFHHFKDGSCSCGDYW >KJB51197 pep chromosome:Graimondii2_0_v6:8:49081904:49084347:-1 gene:B456_008G205900 transcript:KJB51197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNGFEPDQYMSNRVLLMHVKCGMMIDARSLFDEMPERNLVSWNTIIAGLVDVGDYFEAFRLFLLMWEEFSDCGSRTIATMIRASAGLELISVGRQLHSAAVKFGVGEDIFVSCALIDMYSKCGSIEDAQWAFDEMPEKTTVGWNSIIAGYALHGYSEEALSMFYEMRDSGVKMDHFTFSMIIRISSRLASVEYAKQVHAGLIRHGFGLDIVANTALVDFYSKWGRVEDAKHVFDRMPCKNTISWNALIAGYGNHGWGAEAVELFEQMLREKMRPNHVTFLAVLSACSHSRLFERGWEIFQSMSRDHKIKPRAMHYACMIELLGQEGLLDEAFALIRGAPFKPTANMWAALLTASRVNVNLELGKYAAEKLYGMEPEKLSNYVVLLNIYHQSGKLKEAAGVLQTLRRKGLRMLPVCSWIEVNKQSHVFLSGDKSHVQTNEIYEKVDSLVHEISKHGYVPKEKTLLPDVDEQEQRILLYHSEKLAVAFGLINTMKGSPLQIVQNHRICNDCHNAIKLIALVTRREIVVRDASRFHHFKDGSCSCGDYW >KJB46934 pep chromosome:Graimondii2_0_v6:8:394626:397971:-1 gene:B456_008G002200 transcript:KJB46934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPTAMSNSTSLSEEASTTVSSCTRVVQDFCALIPIVSTISPQQQPQNIKKKRSLPGNPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNNKEIKKRAYVCPEPTCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCEKCSKIYAVQSDWKAHTKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARLSANQLAVAAATNPALHHLTSQANPTTNPSSLFPFETHISLNPWVDPTPTTNPNPNNPLHVKPESHHLAPFFQEALPPQKTLITSPFQSLHVSNNAPSIAAAATSTSPHLSATALLQKAATVGATATQINNNNNGMDFFGFASGNLATWQKSSDRFTRDFLGLTGDHHQPHGGGGGNGNVSVSMNVKDVLTYAGEVELQQHFERDHSLLKPQGFGFAEPASETWADC >KJB50166 pep chromosome:Graimondii2_0_v6:8:41852094:41852916:1 gene:B456_008G156900 transcript:KJB50166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGMGSDPMERLVKLASESAVVIFSVSSCCMCHAVKRLFCGMGVNPAVYELDQDPRGKELERALMRLLGNSQAVPVVFIGGKLIGSMDRVMASHINGTLVPLLKEAGALWL >KJB50190 pep chromosome:Graimondii2_0_v6:8:42327494:42328120:-1 gene:B456_008G158200 transcript:KJB50190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDACSSAYHTCDSGNGYSQAGSCGGKKLKLFGFELSPSKNSGNFLKGCVEGDESINSSSRAAGVDDGDSTDEKKFECRYCFKEFTNSQALGGHQNAHKKERMKKKRLQLQAKRAASINSYLQPLHDSCYPHHFTLYQEPPQISFDQYQQEARLGASQIIHFQQDSSIFTITPADSTKPSKHWCKSLDLQLGLSLQSTIHSSSGTGI >KJB52410 pep chromosome:Graimondii2_0_v6:8:54159187:54159540:1 gene:B456_008G260400 transcript:KJB52410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRIFLAHYQLITYSQTLHGANSFHFPYHEKPFSLHLALSPSRGILVIGFIGTGRSYLVKYLVTNFYVPFNTVFLNKFLNNKLKGFLIDDIDINVSDTIDRDLDTELKIARRIIIKNS >KJB51596 pep chromosome:Graimondii2_0_v6:8:51073225:51078733:1 gene:B456_008G224200 transcript:KJB51596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARNAMVSDNKPGFLHNRKRKRAAQHSTYFPGASHVILPQLPNLSLPSQKHGKLRRLEDHKGKVVSCAHPSKRSLLLCYSNFKKTGIPKRIMFFEKGEWTDFPKDLIASIRKDLITKKPFIELEKDGQSFVLDFLHMFRLDWKTGLKQPIAWIDEADGCFFPETFAVEDELYQAHEYESDHESMYNESYVPPEIKLHLEIDINGDQSRLKECSGESSSFVRNFQIAQKPATSCCAIEAEDNCNRDGDAKHSKIIEDIQQTRLNFPEKEFVDVEFGEQLDSQTVETMFLLGMSSSGGSDVINIKPCSSSSTQYRLERFLKQVQIMKKYRGDANVQHAWLACSQSDLPIIMMHGLGDCRLSRIPHKYGTGVHLATVEFTNTSANYCDVDENGIKYMILCRVIMGKMELLRPGSGQCYPSNEDFDSGVDDLQHPKYYIIWNMNISTHIYPEFVVSFKISNAEGVTASTPGLQGRLPVLSSAGELGSINHQTSESGGSHENDPSLGSNTSKTPKSPWMPFPMLFAAISNKIPRLDMDQVTNHYELFRAKKISRDDFVKKLRLIVGDSLLRSTITSLQCKFPSRHELEAAAAAKQKNMNGPGGL >KJB51595 pep chromosome:Graimondii2_0_v6:8:51073225:51078733:1 gene:B456_008G224200 transcript:KJB51595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARNAMVSDNKPGFLHNRKRKRAAQHSTYFPGASHVILPQLPNLSLPSQKHGKLRRLEDHKGKVVSCAHPSKRSLLLCYSNFKKTGIPKRIMFFEKGEWTDFPKDLIASIRKDLITKKPFIELEKDGQSFVLDFLHMFRLDWKTGLKQPIAWIDEADGCFFPETFAVEDELYQAHEYESDHESMYNESYVPPEIKLHLEIDINGDQSRLKECSGESSSFVRNFQIAQKPATSCCAIEAEDNCNRDGDAKHSKIIEDIQQTRLNFPEKEFVDVEFGEQLDSQTVETMFLLGMSSSGGSDVINIKPCSSSSTQYRLERFLKQVQIMKKYRGDANVQHAWLACSQSDLPIIMMHGLGDCRLSRIPHKYGTGVHLATVEFTNTSANYCDVDENGIKYMILCRVIMGKMELLRPGSGQCYPSNEDFDSGVDDLQHPKYYIIWNMNISTHIYPEFVVSFKISNAEGRLIGSETNHAVSGVTASTPGLQGRLPVLSSAGELGSINHQTSESGGSHENDPSLGSNTSKTPKSPWMPFPMLFAAISNKIPRLDMDQVTNHYELFRAKKISRDDFVKKLRLIVGDSLLRSTITSLQCKT >KJB51593 pep chromosome:Graimondii2_0_v6:8:51072980:51078813:1 gene:B456_008G224200 transcript:KJB51593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARNAMVSDNKPGFLHNRKRKRAAQHSTYFPGASHVILPQLPNLSLPSQKHGKLRRLEDHKGKVVSCAHPSKRSLLLCYSNFKKTGIPKRIMFFEKGEWTDFPKDLIASIRKDLITKKPFIELEKDGQSFVLDFLHMFRLDWKTGLKQPIAWIDEADGCFFPETFAVEDELYQAHEYESDHESMYNESYVPPEIKLHLEIDINGDQSRLKECSGESSSFVRNFQIAQKPATSCCAIEAEDNCNRDGDAKHSKIIEDIQQTRLNFPEKEFVDVEFGEQLDSQTVETMFLLGMSSSGGSDVINIKPCSSSSTQYRLERFLKQVQIMKKYRGDANVQHAWLACSQSDLPIIMMHGLGDCRLSRIPHKYGTGVHLATVEFTNTSANYCDVDENGIKYMILCRVIMGKMELLRPGSGQCYPSNEDFDSGVDDLQHPKYYIIWNMNISTHIYPEFVVSFKISNAEGRLIGSETNHAVSGVTASTPGLQGRLPVLSSAGELGSINHQTSESGGSHENDPSLGSNTSKTPKSPWMPFPMLFAAISNKIPRLDMDQVTNHYELFRAKKISRDDFVKKLRLIVGDSLLRSTITSLQCKFPSRHELEAAAAAKQKNMNGPGGL >KJB51598 pep chromosome:Graimondii2_0_v6:8:51074668:51077962:1 gene:B456_008G224200 transcript:KJB51598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARNAMVSDNKPGFLHNRKRKRAAQHSTYFPGASHVILPQLPNLSLPSQKHGKLRRLEDHKGKVVSCAHPSKRSLLLCYSNFKKTGIPKRIMFFEKGEWTDFPKDLIASIRKDLITKKPFIELEKDGQSFVLDFLHMFRLDWKTGLKQPIAWIDEADGCFFPETFAVEDELYQAHEYESDHESMYNESYVPPEIKLHLEIDINGDQSRLKECSGESSSFVRNFQIAQKPATSCCAIEAEDNCNRDGDAKHSKIIEDIQQTRLNFPEKEFVDVEFGEQLDSQTVETMFLLGMSSSGGSDVINIKPCSSSSTQYRLERFLKQVQIMKKYRGDANVQHAWLACSQSDLPIIMMHGLGDCRLSRIPHKYGTGVHLATVEFTNTSANYCDVDENGIKYMILCRVIMGKMELLRPGSGQCYPSNEDFDSGVDDLQHPKYYIIWNMNISTHIYPEFVVSFKISNAEGRLIGSETNHAVSGVTASTPGLQGRLPVLSSAGELVREY >KJB51599 pep chromosome:Graimondii2_0_v6:8:51073225:51078733:1 gene:B456_008G224200 transcript:KJB51599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARNAMVSDNKPGFLHNRKRKRAAQHSTYFPGASHVILPQLPNLSLPSQKHGKLRRLEDHKGKVVSCAHPSKRSLLLCYSNFKKTGIPKRIMFFEKGEWTDFPKDLIASIRKDLITKKPFIELEKDGQSFVLDFLHMFRLDWKTGLKQPIAWIDEADGCFFPETFAVEDELYQAHEYESDHESMYNESYVPPEIKLHLEIDINGDQSRLKECSGESSSFVRNFQIAQKPATSCCAIEAEDNCNRDGDAKHSKIIEDIQQTRLNFPEKEFVDVEFGEQLDSQTVETMFLLGMSSSGGSDVINIKPCSSSSTQYRLERFLKQVQIMKKYRGDANVQHAWLACSQSDLPIIMMHGLGDCRLSRIPHKYGTGVHLATVEFTNTSANYCDVDENGIKYMILCRVIMGKMELLRPGSGQCYPSNEDFDSGVDDLQHPKYYIIWNMNISTHIYPEFVVSFKISNAEGRLIGSETNHAVSGVTASTPGLQGRLPVLSSAGELVILITKPQNQVDPTKMILAWVQTLQRPLNLLGCLSQCCLLLSQTKSLV >KJB51594 pep chromosome:Graimondii2_0_v6:8:51074668:51078249:1 gene:B456_008G224200 transcript:KJB51594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARNAMVSDNKPGFLHNRKRKRAAQHSTYFPGASHVILPQLPNLSLPSQKHGKLRRLEDHKGKVVSCAHPSKRSLLLCYSNFKKTGIPKRIMFFEKGEWTDFPKDLIASIRKDLITKKPFIELEKDGQSFVLDFLHMFRLDWKTGLKQPIAWIDEADGCFFPETFAVEDELYQAHEYESDHESMYNESYVPPEIKLHLEIDINGDQSRLKECSGESSSFVRNFQIAQKPATSCCAIEAEDNCNRDGDAKHSKIIEDIQQTRLNFPEKEFVDVEFGEQLDSQTVETMFLLGMSSSGGSDVINIKPCSSSSTQYRLERFLKQVQIMKKYRGDANVQHAWLACSQSDLPIIMMHGLGDCRLSRIPHKYGTGVHLATVEFTNTSANYCDVDENGIKYMILCRVIMGKMELLRPGSGQCYPSNEDFDSGVDDLQHPKYYIIWNMNISTHIYPEFVVSFKISNAEGRLIGSETNHAVSGVTASTPGLQGRLPVLSSAGELGSINHQTSESGGSHENDPSLGSNTSKTPKSPWMPFPMLFAAISNKIPRLDMDQVTNHYELFRVCKEDKS >KJB51600 pep chromosome:Graimondii2_0_v6:8:51073225:51078733:1 gene:B456_008G224200 transcript:KJB51600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARNAMVSDNKPGFLHNRKRKRAAQHSTYFPGASHVILPQLPNLSLPSQKHGKLRRLEDHKGKVVSCAHPSKRSLLLCYSNFKKTGIPKRIMFFEKGEWTDFPKDLIASIRKDLITKKPFIELEKDGQSFVLDFLHMFRLDWKTGLKQPIAWIDEADGCFFPETFAVEDELYQAHEYESDHESMYNESYVPPEIKLHLEIDINGDQSRLKECSGESSSFVRNFQIAQKPATSCCAIEAEDNCNRDGDAKHSKIIEDIQQTRLNFPEKEFVDVEFGEQLDSQTVETMFLLGMSSSGGSDVINIKPCSSSSTQYRLERFLKQVQIMKKYRGDANVQHAWLACSQSDLPIIMMHGLGDCRLSRIPHKYGTGVHLATVEFTNTSANYCDVDENGIKYMILCRVIMGKMELLRPGSGQCYPSNEDFDSGVDDLQHPKYYIIWNMNISTHIYPEFVVSFKISNAEGRLIGSETNHAVSGVTASTPGLQGRLPVLSSAGELGSINHQTSESGGSHENDPSLGSNTSKTPKSPWMPFPMLFAAISNKIPRLDMDQVTNHYELFRAKKISRDDFVKKLRLIVGDSLLRSTITSLQCKFPSRHELEAAAAAKQKNMNGPGGL >KJB51597 pep chromosome:Graimondii2_0_v6:8:51073225:51078733:1 gene:B456_008G224200 transcript:KJB51597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARNAMVSDNKPGFLHNRKRKRAAQHSTYFPGASHVILPQLPNLSLPSQKHGKLRRLEDHKGKVVSCAHPSKRSLLLCYSNFKKTGIPKRIMFFEKGEWTDFPKDLIASIRKDLITKKPFIELEKDGQSFVLDFLHMFRLDWKTGLKQPIAWIDEADGCFFPETFAVEDELYQAHEYESDHESMYNESYVPPEIKLHLEIDINGDQSRLKECSGESSSFVRNFQIAQKPATSCCAIEAEDNCNRDGDAKHSKIIEDIQQTRLNFPEKEFVDVEFGEQLDSQTVETMFLLGMSSSGGSDVINIKPCSSSSTQYRLERFLKQVQIMKKYRGDANVQHAWLACSQSDLPIIMMHGLGDCRLSRIPHKYGTGVHLATVEFTNTSANYCDVDENGIKYMILCRVIMGKMELLRPGSGQCYPSNEDFDSGVDDLQHPKYYIIWNMNISTHIYPEFVVSFKISNAEGRLIGSETNHAVSGVTASTPGLQGRLPVLSSAGELGSINHQTSESGGSHENDPSLGSNTSKTPKSPWMPFPMLQRR >KJB52216 pep chromosome:Graimondii2_0_v6:8:53839099:53842094:1 gene:B456_008G256100 transcript:KJB52216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALLSAPPPPSHKTSFFQPPYTTSFRSIAFIKYPYKLTAASSTTPTAEDKPTASPDGVAVESESATMVAVEDPPFRGCKACGKEELERGCNGEGRIQGGIATVPGFGWWPIKAYRPCPAFVASGGRYTRRGQSMDEVASGGGGRVSSIGISDEAESSKIKQGRKKLKR >KJB52215 pep chromosome:Graimondii2_0_v6:8:53839009:53842097:1 gene:B456_008G256100 transcript:KJB52215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALLSAPPPPSHKTSFFQPPYTTSFRSIAFIKYPYKLTAASSTTPTAEDKPTASPDGVAVESESATMVAVEDPPFRGCKACGKEELERGCNGEGRIQGGIATVPGFGWWPIKAYRPCPAFVASGGRYTRRGQSMDEVASGGGGRVSSIGISDEAESSKIKQGRKKLKR >KJB52217 pep chromosome:Graimondii2_0_v6:8:53839125:53840355:1 gene:B456_008G256100 transcript:KJB52217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALLSAPPPPSHKTSFFQPPYTTSFRSIAFIKYPYKLTAASSTTPTAEDKPTASPDGVAVESESATMVAVEDPPFRGCKACGKEELERGCNGEGRIQGGIATVPGFGWWPIKAYRPCPAFVASGGRYTRRGQSMDEVASGGGGRVSSIGISDEAESR >KJB49149 pep chromosome:Graimondii2_0_v6:8:30890012:30893549:1 gene:B456_008G102700 transcript:KJB49149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQDQSPVVLITGCSQGGIGHALARAFANNNCKVVATSRSLSSMSDLQRDPRFYLQELDVLSEQSVQHAMSNVINKFGRVDVLVNNAGIQCVGPLAEVPLPSMESAMRLVQAVVPHMASKKKGKIVNVGSVSALAPGPWSGVYFASKAALHALTDTLRLELSHFGIDVINVVPGAVRSNIGNSAIASYNRMPEWKLYKPFEEVIQARASFSQRSKSTPTDVFAKDTVNVILKKSPPAWFSSGYYSTIMGIMYHLPIFVKDFIIRKAMKC >KJB49148 pep chromosome:Graimondii2_0_v6:8:30889928:30893549:1 gene:B456_008G102700 transcript:KJB49148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQDQSPVVLITGCSQGGIGHALARAFANNNCKVVATSRSLSSMSDLQRDPRFYLQELDVLSEQSVQHAMSNVINKFGRVDVLVNNAGIQCVGPLAEVPLPSMESAFNTNVFGAMRLVQAVVPHMASKKKGKIVNVGSVSALAPGPWSGVYFASKAALHALTDTLRLELSHFGIDVINVVPGAVRSNIGNSAIASYNRMPEWKLYKPFEEVIQARASFSQRSKSTPTDVFAKDTVNVILKKSPPAWFSSGYYSTIMGIMYHLPIFVKDFIIRKAMKC >KJB47256 pep chromosome:Graimondii2_0_v6:8:2085768:2089293:1 gene:B456_008G018200 transcript:KJB47256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVPFKIVKAPNGDAWVEANGQQYSPSQIGAFILTKMKETAEAYLGKGVSKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVSEFKKTEGIDLSKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFESLVNHLIERTKAPCKNCLKDAGISTNDVDEVLLVGGMTRVPKVQEVVSGIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQQITIRSSGGLSEDEIEKMVKEAELHAQKDQQRKSLIDVKNNADTTIYSVEKSLNEYRDKIPSEIAKEIEGAVADLRKATEGEDVDEIKAKIDAANKGVSKIGEHMSGGSGGAQGGSSGGAQGGDQAQEAEYEEVKK >KJB47255 pep chromosome:Graimondii2_0_v6:8:2085758:2089434:1 gene:B456_008G018200 transcript:KJB47255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAALLRSFRRRDVAASPLSAYRCLTNNGKTSAGINWTSFCRAFSSKPAGNDVIGIDLGTTNSCVAVMEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTVFGTKRLIGRRYEDPQTKKEMGMVPFKIVKAPNGDAWVEANGQQYSPSQIGAFILTKMKETAEAYLGKGVSKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVSEFKKTEGIDLSKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFESLVNHLIERTKAPCKNCLKDAGISTNDVDEVLLVGGMTRVPKVQEVVSGIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQQITIRSSGGLSEDEIEKMVKEAELHAQKDQQRKSLIDVKNNADTTIYSVEKSLNEYRDKIPSEIAKEIEGAVADLRKATEGEDVDEIKAKIDAANKGVSKIGEHMSGGSGGAQGGSSGGAQGGDQAQEAEYEEVKK >KJB52716 pep chromosome:Graimondii2_0_v6:8:55284179:55287616:1 gene:B456_008G274500 transcript:KJB52716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKNPNPAEGFYLDPTGMALPGLGPFAATDAAASTVSSSEDPNKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTNEHLPPPRPKRKAAHPYPQKASKNVHVQPQASGSLQSSNALVDTGCVLRSDPSLMLMNPVTAASSWTHNEQTISFSEAKKGSGMANKSRGSSMSTPQRRQIGEMTNQGNHGHALRVLPDFVQVYSFIGSVFDPNTTGHLQKLKKMDPIDIETVLLLMRNLSINLTSPDFEDHRKLLSSYEIDTETNYHGGACKAVGT >KJB52715 pep chromosome:Graimondii2_0_v6:8:55284179:55287629:1 gene:B456_008G274500 transcript:KJB52715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKNPNPAEGFYLDPTGMALPGLGPFAATDAAASTVSSSEDPNKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTNEHLPPPRPKRKAAHPYPQKASKNVHVQPQASGSLQSSNALVDTGCVLRSDPSLMLMNPVTAASSWTHNEQTISFSEAKKGSGMANKSRGSSMSTPQRRQIGEMTNQGNHGHALRVLPDFVQVYSFIGSVFDPNTTGHLQKLKKMDPIDIETVLLLMRNLSINLTSPDFEDHRKLLSSYEIDTETNYHGGACKAVGT >KJB52717 pep chromosome:Graimondii2_0_v6:8:55284179:55287629:1 gene:B456_008G274500 transcript:KJB52717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKNPNPAEGFYLDPTGMALPGLGPFAATDAAASTVSSSEDPNKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTNEHLPPPRPKRKAAHPYPQKASKNVHVQPQASGSLQSSNALVDTGCVLRSDPSLMLMNPVTAASSWTHNEQTISFSEAKKGSGMANKSRGSSMSTPQRRQIGEMTNQGNHGHALRVLPDFVQVYSFIGSVFDPNTTGHLQKLKKMDPIDIETVLLLMRNLSINLTSPDFEDHRKLLSSYEIDTETNYHGGACKAVGT >KJB52718 pep chromosome:Graimondii2_0_v6:8:55284179:55287453:1 gene:B456_008G274500 transcript:KJB52718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKNPNPAEGFYLDPTGMALPGLGPFAATDAAASTVSSSEDPNKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTNEHLPPPRPKRKAAHPYPQKASKNVHVQPQASGSLQSSNALVDTGCVLRSDPSLMLMNPVTAASSWTHNEQTISFSEAKKGSGMANKSRGSSMSTPQRRQIGEMTNQGNHGHALRVLPDFVQVYSFIGSVFDPNTTGHLQKLKKMDPIDIETVLLLMRNLSINLTSPDFEDHRKLLSSYEIDTETNYHGGACKAVGT >KJB52714 pep chromosome:Graimondii2_0_v6:8:55284253:55286314:1 gene:B456_008G274500 transcript:KJB52714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKNPNPAEGFYLDPTGMALPGLGPFAATDAAASTVSSSEDPNKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTNEHLPPPRPKRKAAHPYPQKASKNVHVQPQASGSLQSSNALVDTGCVLRSDPSLMLMNPVTAASSWTHNEQTISFSEAKKGSGMANKSRGSSMSTPQRRQIGEMTNQGNHGHALRVLPDFVQVYSFIGSVFDPNTTGHLQKLKKMDPIDIETVLLLMRNLSINLTSPDFEDHVSF >KJB51613 pep chromosome:Graimondii2_0_v6:8:51160250:51163706:1 gene:B456_008G224800 transcript:KJB51613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGRPLGSRQNLNNGKQDATQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASESDHRAEVSASKKVTDAKLAKDFQAVLKEFQKAQRLAAERETAYTPFVPQAVPSSSYTAGEVDTRSDESAEQRGLLVQSRRQEVLLLDNEIAFNEAIIEERDQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIDDIGTHIENSRAATVQAKSHLVKAAKTQRSNSSLTCLLLVIFAIVILIVIIVLAA >KJB51618 pep chromosome:Graimondii2_0_v6:8:51160542:51162765:1 gene:B456_008G224800 transcript:KJB51618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGRPLGSRQNLNNGKQDATQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASESDHRAEVSASKKVTDAKLAKDFQAVLKEFQKAQRLAAERETAYTPFVPQAVPSSSYTAGEVDTRSDESAEQRGLLVQSRRQEVLLLDNEIAFNEAIIEERDQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIVNQCCR >KJB51615 pep chromosome:Graimondii2_0_v6:8:51160542:51162694:1 gene:B456_008G224800 transcript:KJB51615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGRPLGSRQNLNNGKQDATQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASESDHRAEVSASKKVTDAKLAKDFQAVLKEFQKAQRLAAERETAYTPFVPQAVPSSSYTAGEVDTRSDESAEQRGLLVQSRRQEVLLLDNEIAFNEAIIEERDQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIGKKFHHLY >KJB51617 pep chromosome:Graimondii2_0_v6:8:51160323:51163686:1 gene:B456_008G224800 transcript:KJB51617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGRPLGSRQNLNNGKQDATQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASESDHRAEASKKVTDAKLAKDFQAVLKEFQKAQRLAAERETAYTPFVPQAVPSSSYTAGEVDTRSDESAEQRGLLVQSRRQEVLLLDNEIAFNEAIIEERDQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIDDIGTHIENSRAATVQAKSHLVKAAKTQRSNSSLTCLLLVIFAIVILIVIIVLAA >KJB51614 pep chromosome:Graimondii2_0_v6:8:51160323:51162956:1 gene:B456_008G224800 transcript:KJB51614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGRPLGSRQNLNNGKQDATQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASESDHRAEVSASKKVTDAKLAKDFQAVLKEFQKAQRLAAERETAYTPFVPQAVPSSSYTAGEVDTRSDESAEQRGLLVQSRRQEVLLLDNEIAFNEAIIEERDQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIDDIGTHIENSRAATVQAKSHLVKAAKTQRSNSSLVNIYSSVLIFINYKQSCARRKVPY >KJB51616 pep chromosome:Graimondii2_0_v6:8:51160323:51163686:1 gene:B456_008G224800 transcript:KJB51616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGRPLGSRQNLNNGKQDATQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASESDHRAEVSASKKVTDAKLAKDFQAVLKEFQKAQRLAAERETAYTPFVPQAVPSSSYTAGEVDTRSDESAEQRGLLVQSRRQEVLLLDNEIAFNEAIIEERDQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIGKIPSCESCKDSEIKFFPDLFATGDICNCDSDRDHSTGSLINKLIQCD >KJB48993 pep chromosome:Graimondii2_0_v6:8:26549995:26552215:-1 gene:B456_008G096800 transcript:KJB48993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISTHSVSGVSSSSKYFQTSRRVYKGLKDFGREFIDQELFNQSLDDWILENYGVDQVTGEQPFLKPPFLTDELRKLDLALEGVLFQQLFRMPCSPLASKSVKEDGYHALEDFLHVIVNGLWRTFWRKSGPLPFSLCCFHHPGSKFYAVEKAISRGRLEELRGLALISKNGNNLKVHWNQVVELVLFRQNILSGNELKLSVSTICEALFYGVHILISRCLSKSRTISDDSVFIMVFDSKFGAVIKLGGDLSKLELNTGDPYQSVAHWIKCHAEVLLSSVETIWNRLGNANWGDLGTLQVLLAAFYSIVQCNGPPRKSISSLASAHSLRLQKRRIECRLAENENAKIPYQQDGYQHGEIVELDHSDNPLVKNTSHLKLRQGEILLLEDQQQGQKSFQIQESFIGGNYFLYGAISLDYPTQLLTLYVGAHPSRLEPSWEDMSLWYQVQRQTKVLNILKHQGVSSKYLPEVIASGRILHPGPCKKQSPSGRCDHPWCGTPILVTLPVGEPLSYIVARDGPFSSDDALRCCRDCLVALRSAATASVQHGDISPENIIRVFNMQGTRHKVLYIPVSWGRAVLEDRDSPAINLQFSSSHALQHGKLCPASDAESLVYLLFFVTGGRMHPQDSIESALQWREKSWATRSIQQHLGEVSPLLKAFSDYVDSLCGTPYPVDYDIWVKRLNRAVDGSGSADRDWKMWPSLQGPLEVVLNFHFMLEDRSSKETFCFVFPLL >KJB48727 pep chromosome:Graimondii2_0_v6:8:17456944:17459905:-1 gene:B456_008G083500 transcript:KJB48727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGLELEFFFRNTMCLTMPHPSATGTTTTTPTSIHHAFETSEFSTFSPARDLTFDFSGKWATDILLEAATAIADRNSGRFQQLMWMLNELSSPYGDTDQKLGSYFLQALFNRMTDSGERCYRTLASVSEKTCSFETTRKMVLKFQEVSPWTTFGHVACNGAIMEAFEGESKLHIIDISSTYCTQWPTLLESLATRTDETPHLKLTTIVATKNGGVPGSSTSGTAAGSLASVQDIMKEIGNRMEKFARLMGVPFKFNVIHHGGDLCELDLSKLDIKEDEALAINCVGTLHSIRAVDNRRDMMISNFKKLQPRIITVVEEEADLDVGVDGMEFVKGFQECLRWFRVYFEALDESFARTSNERLMLERAAGRAIVELVACAPSESVERREPANRWSRRFHGSGFNPLVLSDEVCDDVRALLRRYKEGWSMTQCSDAGIFLSWKDQPVVWASAWRP >KJB52115 pep chromosome:Graimondii2_0_v6:8:53125211:53128274:-1 gene:B456_008G247100 transcript:KJB52115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGDGHAKNKTRFLSNEEKGQHIDDAVDNRSVAVNSRKTEVKNDVEEVMSVKHLTMKKRVICELQNQQVNPKLVGHSKKKSSRFRARGCKAAIEGYSQPSERNTAEKPSNNNLVSVTEASDNDVSTSNGGNHSCKNIGGKKHGHQTEINLRVEAFVNQKLTDGENLTINEVANRPNDFIEALEVLNSNKELFMKLLQDPNSLLVKHIQDLRDSQTENQPPQSSSNAKTSQCQPKGAEECEGSVDAEMVISKGSDMPQTSYATVVLKSGKQSYPDKISNWPSPPSSHSLRKKEKSVRQTFLSFEHMKKKLRHAMKVNKKEHRQMSLDDIRKSLHEFKQFKDDIKETSRRANESISSSKSYQDVGKMSEFFREVNRRDGIGQTENIVTGIGSKAASSTESCHRTSNMLTQRYLNGKFHPSEHLSDMLNRGNEDLSRQQKLRTLTSLPQYDLLPRLAPVRDKEHRFASPQMRFSPYNNYSTVNGYKWRVQKEKSSYLISPINTLGTQLVSDNKKPDNQLQNAKKSINGDLSPATKVLRTVYSVSDDFSHKGNETSVCPGKVMEGHHAVMWDECKSNALGVISEPNGVQNSDMTQRTEPNSPSGDRTSSWSIDVYSSSPSSIQRAENSDSTGDREEQPSPVSVLEQFFVEESVNSPSTVSLAAEPPVEPFCIDIEEHNATSILESQLDLKSTAGTSKDKQGSLSESIRAVLQVSGLNWRELSRRWLLSDQMPDASLFNNVEVWPEKSYTDRRLLFGYISEVILEIYQCYFGCSPWISLVYPRLQPAMLSKNLVHEVLRHVDWQLLLELPQQTLQQLVEKDLHKSGMWMDNRLDMEEVFTELVDSILEDLVIDAAIRLQT >KJB52114 pep chromosome:Graimondii2_0_v6:8:53124692:53129054:-1 gene:B456_008G247100 transcript:KJB52114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFPIRSVLTGDGHAKNKTRFLSNEEKGQHIDDAVDNRSVAVNSRKTEVKNDVEEVMSVKHLTMKKRVICELQNQQVNPKLVGHSKKKSSRFRARGCKAAIEGYSQPSERNTAEKPSNNNLVSVTEASDNDVSTSNGGNHSCKNIGGKKHGHQTEINLRVEAFVNQKLTDGENLTINEVANRPNDFIEALEVLNSNKELFMKLLQDPNSLLVKHIQDLRDSQTENQPPQSSSNAKTSQCQPKGAEECEGSVDAEMVISKGSDMPQTSYATVVLKSGKQSYPDKISNWPSPPSSHSLRKKEKSVRQTFLSFEHMKKKLRHAMKVNKKEHRQMSLDDIRKSLHEFKQFKDDIKETSRRANESISSSKSYQDVGKMSEFFREVNRRDGIGQTENIVTGIGSKAASSTESCHRTSNMLTQRYLNGKFHPSEHLSDMLNRGNEDLSRQQKLRTLTSLPQYDLLPRLAPVRDKEHRFASPQMRFSPYNNYSTVNGYKWRVQKEKSSYLISPINTLGTQLVSDNKKPDNQLQNAKKSINGDLSPATKVLRTVYSVSDDFSHKGNETSVCPGKVMEGHHAVMWDECKSNALGVISEPNGVQNSDMTQRTEPNSPSGDRTSSWSIDVYSSSPSSIQRAENSDSTGDREEQPSPVSVLEQFFVEESVNSPSTVSLAAEPPVEPFCIDIEEHNATSILESQLDLKSTAGTSKDKQGSLSESIRAVLQVSGLNWRELSRRWLLSDQMPDASLFNNVEVWPEKSYTDRRLLFGYISEVILEIYQCYFGCSPWISLVYPRLQPAMLSKNLVHEVLRHVDWQLLLELPQQTLQQLVEKDLHKSGMWMDNRLDMEEVFTELVDSILEDLVIDAAIRLQT >KJB52119 pep chromosome:Graimondii2_0_v6:8:53124692:53129456:-1 gene:B456_008G247100 transcript:KJB52119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLPRSPVLKSPLLSPKSPAIYEKYKSGCAWGLIHFFDFRQAHSHGKLISDKKRANRQAKCDGHAKNKTRFLSNEEKGQHIDDAVDNRSVAVNSRKTEVKNDVEEVMSVKHLTMKKRVICELQNQQVNPKLVGHSKKKSSRFRARGCKAAIEGYSQPSERNTAEKPSNNNLVSVTEASDNDVSTSNGGNHSCKNIGGKKHGHQTEINLRVEAFVNQKLTDGENLTINEVANRPNDFIEALEVLNSNKELFMKLLQDPNSLLVKHIQDLRDSQTENQPPQSSSNAKTSQCQPKGAEECEGSVDAEMVISKGSDMPQTSYATVVLKSGKQSYPDKISNWPSPPSSHSLRKKEKSVRQTFLSFEHMKKKLRHAMKVNKKEHRQMSLDDIRKSLHEFKQFKDDIKETSRRANESISSSKSYQDVGKMSEFFREVNRRDGIGQTENIVTGIGSKAASSTESCHRTSNMLTQRYLNGKFHPSEHLSDMLNRGNEDLSRQQKLRTLTSLPQYDLLPRLAPVRDKEHRFASPQMRFSPYNNYSTVNGYKWRVQKEKSSYLISPINTLGTQLVSDNKKPDNQLQNAKKSINGDLSPATKVLRTVYSVSDDFSHKGNETSVCPGKVMEGHHAVMWDECKSNALGVISEPNGVQNSDMTQRTEPNSPSGDRTSSWSIDVYSSSPSSIQRAENSDSTGDREEQPSPVSVLEQFFVEESVNSPSTVSLAAEPPVEPFCIDIEEHNATSILESQLDLKSTAGTSKDKQGSLSESIRAVLQVSGLNWRELSRRWLLSDQMPDASLFNNVEVWPEKSYTDRRLLFGYISEVILEIYQCYFGCSPWISLVYPRLQPAMLSKNLVHEVLRHVDWQLLLELPQQTLQQLVEKDLHKSGMWMDNRLDMEEVFTELVDSILEDLVIDAAIRLQT >KJB52121 pep chromosome:Graimondii2_0_v6:8:53124692:53129453:-1 gene:B456_008G247100 transcript:KJB52121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFPIRSVLTGDGHAKNKTRFLSNEEKGQHIDDAVDNRSVAVNSRKTEVKNDVEEVMSVKHLTMKKRVICELQNQQVNPKLVGHSKKKSSRFRARGCKAAIEGYSQPSERNTAEKPSNNNLVSVTEASDNDVSTSNGGNHSCKNIGGKKHGHQTEINLRVEAFVNQKLTDGENLTINEVANRPNDFIEALEVLNSNKELFMKLLQDPNSLLVKHIQDLRDSQTENQPPQSSSNAKTSQCQPKGAEECEGSVDAEMVISKGSDMPQTSYATVVLKSGKQSYPDKISNWPSPPSSHSLRKKEKSVRQTFLSFEHMKKKLRHAMKVNKKEHRQMSLDDIRKSLHEFKQFKDDIKETSRRANESISSSKSYQDVGKMSEFFREVNRRDGIGQTENIVTGIGSKAASSTESCHRTSNMLTQRYLNGKFHPSEHLSDMLNRGNEDLSRQQKLRTLTSLPQYDLLPRLAPVRDKEHRFASPQMRFSPYNNYSTVNGYKWRVQKEKSSYLISPINTLGTQLVSDNKKPDNQLQNAKKSINGDLSPATKVLRTVYSVSDDFSHKGNETSVCPGKVMEGHHAVMWDECKSNALGVISEPNGVQNSDMTQRTEPNSPSGDRTSSWSIDVYSSSPSSIQRAENSDSTGDREEQPSPVSVLEQFFVEESVNSPSTVSLAAEPPVEPFCIDIEEHNATSILESQLDLKSTAGTSKDKQGSLSESIRAVLQVSGLNWRELSRRWLLSDQMPDASLFNNVEVWPEKSYTDRRLLFGYISEVILEIYQCYFGCSPWISLVYPRLQPAMLSKNLVHEVLRHVDWQLLLELPQQTLQQLVEKDLHKSGMWMDNRLDMEEVFTELVDSILEDLVIDAAIRLQT >KJB52117 pep chromosome:Graimondii2_0_v6:8:53124692:53129437:-1 gene:B456_008G247100 transcript:KJB52117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLPRSPVLKSPLLSPKSPAIYEKYKSGCAWGLIHFFDFRQAHSHGKLISDKKRANRQAKCDGHAKNKTRFLSNEEKGQHIDDAVDNRSVAVNSRKTEVKNDVEEVMSVKHLTMKKRVICELQNQQVNPKLVGHSKKKSSRFRARGCKAAIEGYSQPSERNTAEKPSNNNLVSVTEASDNDVSTSNGGNHSCKNIGGKKHGHQTEINLRVEAFVNQKLTDGENLTINEVANRPNDFIEALEVLNSNKELFMKLLQDPNSLLVKHIQDLRDSQTENQPPQSSSNAKTSQCQPKGAEECEGSVDAEMVISKGSDMPQTSYATVVLKSGKQSYPDKISNWPSPPSSHSLRKKEKSVRQTFLSFEHMKKKLRHAMKVNKKEHRQMSLDDIRKSLHEFKQFKDDIKETSRRANESISSSKSYQDVGKMSEFFREVNRRDGIGQTENIVTGIGSKAASSTESCHRTSNMLTQRYLNGKFHPSEHLSDMLNRGNEDLSRQQKLRTLTSLPQYDLLPRLAPVRDKEHRFASPQMRFSPYNNYSTVNGYKWRVQKEKSSYLISPINTLGTQLVSDNKKPDNQLQNAKKSINGDLSPATKVLRTVYSVSDDFSHKGNETSVCPGKVMEGHHAVMWDECKSNALGVISEPNGVQNSDMTQRTEPNSPSGDRTSSWSIDVYSSSPSSIQRAENSDSTGDREEQPSPVSVLEQFFVEESVNSPSTVSLAAEPPVEPFCIDIEEHNATSILESQLDLKSTAGTSKDKQGSLSESIRAVLQVSGLNWRELSRRWLLSDQMPDASLFNNVEVWPEKSYTDRRLLFGYISEVILEIYQCYFGCSPWISLVYPRLQPAMLSKNLVHEVLRHVDWQLLLELPQQTLQQLVEKDLHKSGMWMDNRLDMEEVFTELVDSILEDLVIDAAIRLQT >KJB52122 pep chromosome:Graimondii2_0_v6:8:53124692:53129063:-1 gene:B456_008G247100 transcript:KJB52122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLPRSPVLKSPLLSPKSPAIYEKYKSGCAWGLIHFFDFRQAHSHGKLISDKKRANRQAKCDGHAKNKTRFLSNEEKGQHIDDAVDNRSVAVNSRKTEVKNDVEEVMSVKHLTMKKRVICELQNQQVNPKLVGHSKKKSSRFRARGCKAAIEGYSQPSERNTAEKPSNNNLVSVTEASDNDVSTSNGGNHSCKNIGGKKHGHQTEINLRVEAFVNQKLTDGENLTINEVANRPNDFIEALEVLNSNKELFMKLLQDPNSLLVKHIQDLRDSQTENQPPQSSSNAKTSQCQPKGAEECEGSVDAEMVISKGSDMPQTSYATVVLKSGKQSYPDKISNWPSPPSSHSLRKKEKSVRQTFLSFEHMKKKLRHAMKVNKKEHRQMSLDDIRKSLHEFKQFKDDIKETSRRANESISSSKSYQDVGKMSEFFREVNRRDGIGQTENIVTGIGSKAASSTESCHRTSNMLTQRYLNGKFHPSEHLSDMLNRGNEDLSRQQKLRTLTSLPQYDLLPRLAPVRDKEHRFASPQMRFSPYNNYSTVNGYKWRVQKEKSSYLISPINTLGTQLVSDNKKPDNQLQNAKKSINGDLSPATKVLRTVYSVSDDFSHKGNETSVCPGKVMEGHHAVMWDECKSNALGVISEPNGVQNSDMTQRTEPNSPSGDRTSSWSIDVYSSSPSSIQRAENSDSTGDREEQPSPVSVLEQFFVEESVNSPSTVSLAAEPPVEPFCIDIEEHNATSILESQLDLKSTAGTSKDKQGSLSESIRAVLQVSGLNWRELSRRWLLSDQMPDASLFNNVEVWPEKSYTDRRLLFGYISEVILEIYQCYFGCSPWISLVYPRLQPAMLSKNLVHEVLRHVDWQLLLELPQQTLQQLVEKDLHKSGMWMDNRLDMEEVFTELVDSILEDLVIDAAIRLQT >KJB52120 pep chromosome:Graimondii2_0_v6:8:53124692:53129062:-1 gene:B456_008G247100 transcript:KJB52120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLPRSPVLKSPLLSPKSPAIYEKYKSGCAWGLIHFFDFRQAHSHGKLISDKKRANRQAKCDGHAKNKTRFLSNEEKGQHIDDAVDNRSVAVNSRKTEVKNDVEEVMSVKHLTMKKRVICELQNQQVNPKLVGHSKKKSSRFRARGCKAAIEGYSQPSERNTAEKPSNNNLVSVTEASDNDVSTSNGGNHSCKNIGGKKHGHQTEINLRVEAFVNQKLTDGENLTINEVANRPNDFIEALEVLNSNKELFMKLLQDPNSLLVKHIQDLRDSQTENQPPQSSSNAKTSQCQPKGAEECEGSVDAEMVISKGSDMPQTSYATVVLKSGKQSYPDKISNWPSPPSSHSLRKKEKSVRQTFLSFEHMKKKLRHAMKVNKKEHRQMSLDDIRKSLHEFKQFKDDIKETSRRANESISSSKSYQDVGKMSEFFREVNRRDGIGQTENIVTGIGSKAASSTESCHRTSNMLTQRYLNGKFHPSEHLSDMLNRGNEDLSRQQKLRTLTSLPQYDLLPRLAPVRDKEHRFASPQMRFSPYNNYSTVNGYKWRVQKEKSSYLISPINTLGTQLVSDNKKPDNQLQNAKKSINGDLSPATKVLRTVYSVSDDFSHKGNETSVCPGKVMEGHHAVMWDECKSNALGVISEPNGVQNSDMTQRTEPNSPSGDRTSSWSIDVYSSSPSSIQRAENSDSTGDREEQPSPVSVLEQFFVEESVNSPSTVSLAAEPPVEPFCIDIEEHNATSILESQLDLKSTAGTSKDKQGSLSESIRAVLQVSGLNWRELSRRWLLSDQMPDASLFNNVEVWPEKSYTDRRLLFGYISEVILEIYQCYFGCSPWISLVYPRLQPAMLSKNLVHEVLRHVDWQLLLELPQQTLQQLVEKDLHKSGMWMDNRLDMEEVFTELVDSILEDLVIDAAIRLQT >KJB52113 pep chromosome:Graimondii2_0_v6:8:53124692:53128405:-1 gene:B456_008G247100 transcript:KJB52113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAFFKSYSCQIHLSSIMSSAGDGHAKNKTRFLSNEEKGQHIDDAVDNRSVAVNSRKTEVKNDVEEVMSVKHLTMKKRVICELQNQQVNPKLVGHSKKKSSRFRARGCKAAIEGYSQPSERNTAEKPSNNNLVSVTEASDNDVSTSNGGNHSCKNIGGKKHGHQTEINLRVEAFVNQKLTDGENLTINEVANRPNDFIEALEVLNSNKELFMKLLQDPNSLLVKHIQDLRDSQTENQPPQSSSNAKTSQCQPKGAEECEGSVDAEMVISKGSDMPQTSYATVVLKSGKQSYPDKISNWPSPPSSHSLRKKEKSVRQTFLSFEHMKKKLRHAMKVNKKEHRQMSLDDIRKSLHEFKQFKDDIKETSRRANESISSSKSYQDVGKMSEFFREVNRRDGIGQTENIVTGIGSKAASSTESCHRTSNMLTQRYLNGKFHPSEHLSDMLNRGNEDLSRQQKLRTLTSLPQYDLLPRLAPVRDKEHRFASPQMRFSPYNNYSTVNGYKWRVQKEKSSYLISPINTLGTQLVSDNKKPDNQLQNAKKSINGDLSPATKVLRTVYSVSDDFSHKGNETSVCPGKVMEGHHAVMWDECKSNALGVISEPNGVQNSDMTQRTEPNSPSGDRTSSWSIDVYSSSPSSIQRAENSDSTGDREEQPSPVSVLEQFFVEESVNSPSTVSLAAEPPVEPFCIDIEEHNATSILESQLDLKSTAGTSKDKQGSLSESIRAVLQVSGLNWRELSRRWLLSDQMPDASLFNNVEVWPEKSYTDRRLLFGYISEVILEIYQCYFGCSPWISLVYPRLQPAMLSKNLVHEVLRHVDWQLLLELPQQTLQQLVEKDLHKSGMWMDNRLDMEEVFTELVDSILEDLVIDAAIRLQT >KJB52118 pep chromosome:Graimondii2_0_v6:8:53125211:53128626:-1 gene:B456_008G247100 transcript:KJB52118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLPRSPVLKSPLLSPKSPAIYEKYKSGCAWGLIHFFDFRQAHSHGKLISDKKRANRQAKCDGHAKNKTRFLSNEEKGQHIDDAVDNRSVAVNSRKTEVKNDVEEVMSVKHLTMKKRVICELQNQQVNPKLVGHSKKKSSRFRARGCKAAIEGYSQPSERNTAEKPSNNNLVSVTEASDNDVSTSNGGNHSCKNIGGKKHGHQTEINLRVEAFVNQKLTDGENLTINEVANRPNDFIEALEVLNSNKELFMKLLQDPNSLLVKHIQDLRDSQTENQPPQSSSNAKTSQCQPKGAEECEGSVDAEMVISKGSDMPQTSYATVVLKSGKQSYPDKISNWPSPPSSHSLRKKEKSVRQTFLSFEHMKKKLRHAMKVNKKEHRQMSLDDIRKSLHEFKQFKDDIKETSRRANESISSSKSYQDVGKMSEFFREVNRRDGIGQTENIVTGIGSKAASSTESCHRTSNMLTQRYLNGKFHPSEHLSDMLNRGNEDLSRQQKLRTLTSLPQYDLLPRLAPVRDKEHRFASPQMRFSPYNNYSTVNGYKWRVQKEKSSYLISPINTLGTQLVSDNKKPDNQLQNAKKSINGDLSPATKVLRTVYSVSDDFSHKGNETSVCPGKVMEGHHAVMWDECKSNALGVISEPNGVQNSDMTQRTEPNSPSGDRTSSWSIDVYSSSPSSIQRAENSDSTGDREEQPSPVSVLEQFFVEESVNSPSTVSLAAEPPVEPFCIDIEEHNATSILESQLDLKSTAGTSKDKQGSLSESIRAVLQVSGLNWRELSRRWLLSDQMPDASLFNNVEVWPEKSYTDRRLLFGYISEVILEIYQCYFGCSPWISLVYPRLQPAMLSKNLVHEVLRHVDWQLLLELPQQTLQQLVEKDLHKSGMWMDNRLDMEEVFTELVDSILEDLVIDAAIRLQT >KJB52116 pep chromosome:Graimondii2_0_v6:8:53124692:53129054:-1 gene:B456_008G247100 transcript:KJB52116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLPRSPVLKSPLLSPKSPAIYEKYKSGCAWGLIHFFDFRQAHSHGKLISDKKRANRQAKCDGHAKNKTRFLSNEEKGQHIDDAVDNRSVAVNSRKTEVKNDVEEVMSVKHLTMKKRVICELQNQQVNPKLVGHSKKKSSRFRARGCKAAIEGYSQPSERNTAEKPSNNNLVSVTEASDNDVSTSNGGNHSCKNIGGKKHGHQTEINLRVEAFVNQKLTDGENLTINEVANRPNDFIEALEVLNSNKELFMKLLQDPNSLLVKHIQDLRDSQTENQPPQSSSNAKTSQCQPKGAEECEGSVDAEMVISKGSDMPQTSYATVVLKSGKQSYPDKISNWPSPPSSHSLRKKEKSVRQTFLSFEHMKKKLRHAMKVNKKEHRQMSLDDIRKSLHEFKQFKDDIKETSRRANESISSSKSYQDVGKMSEFFREVNRRDGIGQTENIVTGIGSKAASSTESCHRTSNMLTQRLAPVRDKEHRFASPQMRFSPYNNYSTVNGYKWRVQKEKSSYLISPINTLGTQLVSDNKKPDNQLQNAKKSINGDLSPATKVLRTVYSVSDDFSHKGNETSVCPGKVMEGHHAVMWDECKSNALGVISEPNGVQNSDMTQRTEPNSPSGDRTSSWSIDVYSSSPSSIQRAENSDSTGDREEQPSPVSVLEQFFVEESVNSPSTVSLAAEPPVEPFCIDIEEHNATSILESQLDLKSTAGTSKDKQGSLSESIRAVLQVSGLNWRELSRRWLLSDQMPDASLFNNVEVWPEKSYTDRRLLFGYISEVILEIYQCYFGCSPWISLVYPRLQPAMLSKNLVHEVLRHVDWQLLLELPQQTLQQLVEKDLHKSGMWMDNRLDMEEVFTELVDSILEDLVIDAAIRLQT >KJB47020 pep chromosome:Graimondii2_0_v6:8:1837131:1840610:-1 gene:B456_008G016100 transcript:KJB47020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDVVMAAPESTEADLDDMKRRLKEMEDEAAALRDMQAKVEKEMGSVQDPAAAATSQANREEVDSRSIFVGNVDYSCTPEEVQQHFQSCGTVNRVTIRTDKYGQPKGYAYVEFLEAEAVQEALLLNESELHGRQLKVTAKRTNIPGMKQYRPRRSNPFMQPRDPFMAPYFFSPYGYGKVPRLRMATRYSPYY >KJB49980 pep chromosome:Graimondii2_0_v6:8:40225322:40227897:1 gene:B456_008G148200 transcript:KJB49980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVVGAASNPLSNPIVSMVDGVSSKAFDEVLKATPPALSVFLASLPSIEAKEKSPQLDDGDSGLPPRDDDGGGGGGGGGGGNWSSEFFLFGFLAFLGFLKDKESEEDYRDSRRR >KJB49982 pep chromosome:Graimondii2_0_v6:8:40225220:40227897:1 gene:B456_008G148200 transcript:KJB49982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVVGAASNPLSNPIVSMVDGVSSKAFDEVLKATPPALSVFLASLPSIEAKEKSPQLDDGDSGLPPRDDDGGGGGGGGGGGNWSSEFFLFGFLAFLGFLKDKESEEDYRDSRRR >KJB49981 pep chromosome:Graimondii2_0_v6:8:40225220:40227897:1 gene:B456_008G148200 transcript:KJB49981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVVGAASNPLSNPIVSMVDGVSSKAFDEVLKATPPALSVFLASLPSIEAKEKSPQLDDGDSGLPPRDDDGGGGGGGGGGGNWSSEFFLFGFLAFLGFLKDKESEEDYRDSRRR >KJB48109 pep chromosome:Graimondii2_0_v6:8:7783857:7785208:1 gene:B456_008G053800 transcript:KJB48109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSCLFLDQKIASQNFRISALKSDIENLDTKYDSSSQKLKAVKSEIEELEEVEKERDKFYELKISEMNEFRENVKRFLTETRTRMQELRNSVNESSSEIAEAEMKRAELLAMKESLTKTLASNHQRRAELQKQIENMLVARSPERWKPIQSGNSKGV >KJB48110 pep chromosome:Graimondii2_0_v6:8:7783857:7785208:1 gene:B456_008G053800 transcript:KJB48110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSKNQRLMLLLAEKELQAHKSQVLESKLACIGSMERSCLFLDQKIASQNFRISALKSDIENLDTKYDSSSQKLKAVKSEIEELEEVEKERDKFYELKISEMNEFRENVKRFLTETRTRMQELRNSVNESSSEIAEAEMKRAELLAMKESLTKTLASNHQRRAELQKQIENMLVARSPERWKPIQSGNSKGV >KJB49620 pep chromosome:Graimondii2_0_v6:8:37179612:37180550:-1 gene:B456_008G129200 transcript:KJB49620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDQQRPVHLYNHQRNKFFIATKLFTHTTVYVIIVLLSYTLGYLSHSSPPPPPPPLPPPPSVFQLASLPTQLDNFRVAAHCSNSPLPPHLVFPTILQRVFKGWGSNGDVFEHLIKRVKPKLIVEVGTFLGASALHMVNVTRKLGLQTQILCLDDFRGWPGFRDRFKDINDINGDVLLLQQFMQNVVYFNATGSVLPVPFSTRSGLEKLCEWGIMADLLEIDAGHDFISAWGDINGAYRILKPGGVIFGHDYFTAADNHGVRRAVNLFAQINHLNIRTDGQHWVIDALL >KJB52728 pep chromosome:Graimondii2_0_v6:8:55290618:55294359:-1 gene:B456_008G274600 transcript:KJB52728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVKMADVETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIRLVPDKVNKTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDVNGEQLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEEDEPKKDEEGNVEDVEEDESKSKKKKKIKEVSHEWQLINKQKPIWLRKPEEISKEEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEYLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYDAFSKNLKLGIHEDSQNRAKLADLLRYHSTKSGDELTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLEKLKKRGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLNLEDETEEEKKKKEEKKKSFEDLCKTIKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDNSMSSYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFAGRIHRMLKLGLSIDEDETGGDDADMPTLEEDEAEGSKMEEVD >KJB48094 pep chromosome:Graimondii2_0_v6:8:7632427:7634264:1 gene:B456_008G053400 transcript:KJB48094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGEDSDSDFRDSSSDGSSDCEPERGPNVLREKRNHMASEMSLRMERLFMGDQQMLQEDFSSDEGESVNSRSCLIFEYFEQDTPYSREPLANKIADLAFQFPELKTLRSCDLLSSSWISVAWYPIYRIPTGPTLKDLDACFLTYHYLHTPIGGGQAPAMTCSNDMDGLPKILLPVFGLAWYKFKASLWTPNGTSGCHLANHLFQAADSWLRLLEVNHPDFTFFCRR >KJB48093 pep chromosome:Graimondii2_0_v6:8:7630932:7634866:1 gene:B456_008G053400 transcript:KJB48093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGEDSDSDFRDSSSDGSSDCEPERGPNVLREKRNHMASEMSLRMERLFMGDQQMLQEDFSSDEGESVNSRSCLIFEYFEQDTPYSREPLANKIADLAFQFPELKTLRSCDLLSSSWISVAWYPIYRIPTGPTLKDLDACFLTYHYLHTPIGGGQAPAMTCSNDMDGLPKILLPVFGLAWYKFKASLWTPNGTSGCHLANHLFQAADSWLRLLEVNHPDFTFFCRR >KJB48092 pep chromosome:Graimondii2_0_v6:8:7630932:7634866:1 gene:B456_008G053400 transcript:KJB48092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGEDSDSDFRDSSSDGSSDCEPERGPNVLREKRNHMASEMSLRMERLFMGDQQMLQEDFSSDEGESVNSRSCLIFEYFEQDTPYSREPLANKIADLAFQFPELKTLRSCDLLSSSWISVAWYPIYRIPTGPTLKDLDACFLTYHYLHTPIGGGQAPAMTCSNDMDGLPKILLPVFGLAWYKFKASLWTPNGTSGCHLANHLFQAADSWLRLLEVNHPDFTFFCRR >KJB48095 pep chromosome:Graimondii2_0_v6:8:7630932:7634866:1 gene:B456_008G053400 transcript:KJB48095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGWRTCNIEFEPYFVLGDLWESFKEWSAYGVGVPLILNDCDSVVQYYVPYLSGIQIYSDSRKMSSKSRQPGEDSDSDFRDSSSDGSSDCEPERGPNVLREKRNHMASEMSLRMERLFMGDQQMLQEDFSSDEGESVNSRSCLIFEYFEQDTPYSREPLANKIADLAFQFPELKTLRSCDLLSSSWISVAWYPIYRIPTGPTLKDLDACFLTYHYLHTPIGGGQAPAMTCSNDMDGLPKILLPVFGLAWYKFKASLWTPNGTSGCHLANHLFQAADSWLRLLEVNHPDFTFFCRR >KJB48096 pep chromosome:Graimondii2_0_v6:8:7630932:7634866:1 gene:B456_008G053400 transcript:KJB48096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGWRTCNIEFEPYFVLGDLWESFKEWSAYGVGVPLILNDCDSVVQYYVPYLSGIQIYSDSRKMSSKSRQPGEDSDSDFRDSSSDGSSDCEPERGPNVLREKRNHMASEMSLRMERLFMGDQQMLQEDFSSDEGESVNSRSCLIFEYFEQDTPYSREPLANKIADLAFQFPELKTLRSCDLLSSSWISVAWYPIYRIPTGPTLKDLDACFLTYHYLHTPIGGGQAPAMTCSNDMDGLPKILLPVFGLAWYKFKASLWTPNGTSGCHLANHLFQAADSWLRLLEVNHPDFTFFCRR >KJB48091 pep chromosome:Graimondii2_0_v6:8:7630814:7634934:1 gene:B456_008G053400 transcript:KJB48091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAGLEFRRGRGEDRFYNPPKARRENQNQQNDQLWRDQADVSPSLSKDKSKSDKGIASTDHPKAVPIPATEPIVSPLSNMERFLESVMPSVPALYLSKTTMRGWRTCNIEFEPYFVLGDLWESFKEWSAYGVGVPLILNDCDSVVQYYVPYLSGIQIYSDSRKMSSKSRQPGEDSDSDFRDSSSDGSSDCEPERGPNVLREKRNHMASEMSLRMERLFMGDQQMLQEDFSSDEGESVNSRSCLIFEYFEQDTPYSREPLANKIADLAFQFPELKTLRSCDLLSSSWISVAWYPIYRIPTGPTLKDLDACFLTYHYLHTPIGGGQAPAMTCSNDMDGLPKILLPVFGLAWYKFKASLWTPNGTSGCHLANHLFQAADSWLRLLEVNHPDFTFFCRR >KJB48285 pep chromosome:Graimondii2_0_v6:8:9945242:9948142:-1 gene:B456_008G062200 transcript:KJB48285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACATNAISLSLSSNPNSKLSSDFIPKLNRPVNVSLCASTSAELDPGRLFLSRPIGLSRRICANATPVMDQSVGERSSSVPTIVEVDLGNRSYPIYIGSGLLDQHELLQKHVHGKKVLVVTNTTIAPLYLDKVVDALTKGNPNVSVENVILPDGEKYKNMAIESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLSTLPDRELASGLAEVIKYGLIRDAEFFEWQEKNMEKLMARDPDAFAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGFGYGEWLHGEAVAAGTVMAVDMSYRLGWIDSSIMKRVNDILQRAKLPTAPPETMTVEMFKSVMAVDKKVADGLLRLILLKGPLGNCVFTGEYDRKALDDTLSAFCKS >KJB48282 pep chromosome:Graimondii2_0_v6:8:9943621:9948142:-1 gene:B456_008G062200 transcript:KJB48282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACATNAISLSLSSNPNSKLSSDFIPKLNRPVNVSLCASTSAELDPGRLFLSRPIGLSRRICANATPVMDQSVGERSSSVPTIVEVDLGNRSYPIYIGSGLLDQHELLQKHVHGKKVLVVTNTTIAPLYLDKVVDALTKGNPNVSVENVILPDGEKYKNMETLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLSTLPDRELASGLAEVIKYGLIRDAEFFEWQEKNMEKLMARDPDAFAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGFGYGEWLHGEAVAAGTVMAVDMSYRLGWIDSSIMKRVNDILQRAKLPTAPPETMTVEMFKSVMAVDKKVADGLLRLILLKGPLGNCVFTGEYDRKALDDTLSAFCKS >KJB48284 pep chromosome:Graimondii2_0_v6:8:9946001:9948012:-1 gene:B456_008G062200 transcript:KJB48284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACATNAISLSLSSNPNSKLSSDFIPKLNRPVNVSLCASTSAELDPGRLFLSRPIGLSRRICANATPVMDQSVGERSSSVPTIVEVDLGNRSYPIYIGSGLLDQHELLQKHVHGKKVLVVTNTTIAPLYLDKVVDALTKGNPNVSVENVILPDGEKYKNMETLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLSTLPDRELASGLAEVIKYGLIRDAEFFEWQEKNMEKLMARDPDAFAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGFGYGEWLHGEAVAAGTVM >KJB48287 pep chromosome:Graimondii2_0_v6:8:9945242:9948142:-1 gene:B456_008G062200 transcript:KJB48287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACATNAISLSLSSNPNSKLSSDFIPKLNRPVNVSLCASTSAELDPGRLFLSRPIGLSRRICANATPVMDQSVGERSSSVPTIVEVDLGNRSYPIYIGSGLLDQHELLQKHVHGKKVLVVTNTTIAPLYLDKVVDALTKGNPNVSVENVILPDGEKYKNMETLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLSTLPDRELASGLAEVIKYGLIRDAEFFEWQEKNMEKLMARDPDAFAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHVSNRNWVWIWRVATWRSCCSWHGYGC >KJB48286 pep chromosome:Graimondii2_0_v6:8:9945242:9948142:-1 gene:B456_008G062200 transcript:KJB48286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACATNAISLSLSSNPNSKLSSDFIPKLNRPVNVSLCASTSAELDPGRLFLSRPIGLSRRICANATPVMDQSVGERSSSVPTIVEVDLGNRSYPIYIGSGLLDQHELLQKHVHGKKVLVVTNTTIAPLYLDKVVDALTKGNPNVSVENVILPDGEKYKNMETLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTVMAQQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLSTLPDRELASGLAEVIKYGLIRDAEFFEWQEKNMEKLMARDPDAFAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGFGYGEWLHGEAVAAGTVMAVDMSYRLGWIDSSIMKRVNDILQRAKLPTAPPETMTVEMFKSVMAVDKKVADGLLRLILLKGPLGNCVFTGEYDRKALDDTLSAFCKS >KJB48283 pep chromosome:Graimondii2_0_v6:8:9945232:9948228:-1 gene:B456_008G062200 transcript:KJB48283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACATNAISLSLSSNPNSKLSSDFIPKLNRPVNVSLCASTSAELDPGRLFLSRPIGLSRRICANATPVMDQSVGERSSSVPTIVEVDLGNRSYPIYIGSGLLDQHELLQKHVHGKKVLVVTNTTIAPLYLDKVVDALTKGNPNVSVENVILPDGEKYKNMETLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLSTLPDRELASGLAEVIKYGLIRDAEFFEWQEKNMEKLMARDPDAFAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGFGYGEWLHGEAVAAGTVMAVDMSYRLGWIDSSIMKRVNDILQRAKLPTAPPETMTVEMFKSVMAVDKKVADGLLRLILLKGPLGNCVFTGEYDRKALDDTLSAFCKS >KJB48259 pep chromosome:Graimondii2_0_v6:8:9678509:9681329:1 gene:B456_008G060700 transcript:KJB48259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATARAVIFSRITALSVKPLSPSLSRFLPSPRNRPPSALTVSCCLKDGGAYDDYSVSTQKSNLDRGFIVISNMLKHIEPLDTSVISKGVSDSAKEAMKRTISTMLGILPSDQFSVLVSLSKPPLHRLLFSSIITGYTLWNAEYRVSLMRNLERAAPAEDLVKETEEGVSHRHREVVRNNIGEGEGGNGEYEELEKISPRVFEDLSPEALKYIEKLQAELSDMEEELSAQKKENVRMECGRENMNGLLEYLRSLDADMVTELSQPSSVQVEEMIHQLVQNILQRFFKDACMRDSGIVNTGNLQDAADETCGTSRDYLAKLLFCFFWQVYAIRSSFERLGKQIASKLCCWITVK >KJB48261 pep chromosome:Graimondii2_0_v6:8:9678509:9681681:1 gene:B456_008G060700 transcript:KJB48261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATARAVIFSRITALSVKPLSPSLSRFLPSPRNRPPSALTVSCCLKDGGAYDDYSVSTQKSNLDRGFIVISNMLKHIEPLDTSVISKGVSDSAKEAMKRTISTMLGILPSDQFSVLVSLSKPPLHRLLFSSIITGYTLWNAEYRVSLMRNLERAAPAEDLVKETEEGVSHRHREVVRNNIGEGEGGNGEYEELEKISPRVFEDLSPEALKYIEKLQAELSDMEEELSAQKKENVRMECGRENMNGLLEYLRSLDADMVTELSQPSSVQVEEMIHQLVQNILQRFFKDACMRDSGIVNTGNLQDAADETCGTSRDYLAKLLFWCMLLGHHLRGLENRLHLSCVVGLL >KJB48260 pep chromosome:Graimondii2_0_v6:8:9678509:9681330:1 gene:B456_008G060700 transcript:KJB48260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATARAVIFSRITALSVKPLSPSLSRFLPSPRNRPPSALTVSCCLKDGGAYDDYSVSTQKSNLDRGFIVISNMLKHIEPLDTSVISKGVSDSAKEAMKRTISTMLGILPSDQFSVLVSLSKPPLHRLLFSSIITGVSLMRNLERAAPAEDLVKETEEGVSHRHREVVRNNIGEGEGGNGEYEELEKISPRVFEDLSPEALKYIEKLQAELSDMEEELSAQKKENVRMECGRENMNGLLEYLRSLDADMVTELSQPSSVQVEEMIHQLVQNILQRFFKDACMRDSGIVNTGNLQDAADETCGTSRDYLAKLLFWCMLLGHHLRGLENRLHLSCVVGLL >KJB48262 pep chromosome:Graimondii2_0_v6:8:9678509:9681535:1 gene:B456_008G060700 transcript:KJB48262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATARAVIFSRITALSVKPLSPSLSRFLPSPRNRPPSALTVSCCLKDGGAYDDYSVSTQKSNLDRGFIVISNMLKHIEPLDTSVISKGVSDSAKEAMKRTISTMLGILPSDQFSVLVSLSKPPLHRLLFSSIITGYTLWNAEYRVSLMRNLERAAPAEDLVKETEEGVSHRHREVVRNNIGEGEGGNGEYEELEKISPRVFEDLSPEALKYIEKLQAELSDMEEELSAQKKENVRMECGRENMNGLLEYLRSLDADMVTELSQPSSVQVEEMIHQLVQNILQRFFKDACMRDSGIVNTGNLQDAADETCGTSRDYLAKLLFWCMLLGHHLRGLENRLHLSCVVGLL >KJB48483 pep chromosome:Graimondii2_0_v6:8:12233699:12234381:-1 gene:B456_008G071100 transcript:KJB48483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICSSCDSNHAATAKLILQNGRLQEFPYPVKVSYVLQGNPTCFICNSDEMDFDDVVSAVEEDEELQPGQLYFALPLSWLKHPLQPQQMGALAVKASSALMKSNSGSEKCGCRCKSVTPFPVPEEVASVGGGEKRGRGGRRKFKAILSDIPE >KJB50215 pep chromosome:Graimondii2_0_v6:8:43672624:43674371:1 gene:B456_008G166500 transcript:KJB50215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLGGKRSSKVMKINGETFKLKTPVTAEEVVKDYPGHVLLESEAVKRYGIGAKPLFPFQKLEPNRLYFLVELPEERVPRRVRSGLNMSAWRSVSDLSLLKHKSGAVRVKMRIPKAEVERLMKESENEADAAHKIMQLCMVKPQLLHWKGDHGSAVAQGFKGRQRRVSFVPINEGGSQRSEQWKIRVHSYIFNFPHPPQPHPTFSFSYVHTLNS >KJB48370 pep chromosome:Graimondii2_0_v6:8:10486755:10487570:-1 gene:B456_008G065300 transcript:KJB48370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVLSEILLSGFMINSTLRRRTHLVQSFSVVFLYCGASSGSSTLRSSSSSEDFQPILDERKRKRMLSNRESARRSRMRKQKHLDDLMAQVSDLTNHNNQILTSINVTTQLYSNVEAENLVLRAQMTELSNRLQSLNEIIHFINSSNGVLQNDTNFDQPAYCHPHHQLNDDSLMNPWNFSTTNQPFMPSADMMMY >KJB49212 pep chromosome:Graimondii2_0_v6:8:33582722:33586128:-1 gene:B456_008G106900 transcript:KJB49212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCVPSWELDDNNPLTTRHHSFRFNSNSTAPDVPMLDYEVAELTWENGQLAMHSLGPPRVVAKQLNATTWDKPRASGGGGGTLESIVNQATCFPYGSKDSVGAGGDELVWLDHHRVSAAASSSSATMAMDALVPCSEDKTTTAHVLESMPGLGGGGTCMMGCSTRVGSCSGTVNTQDDVTRKREKAVGVQVAPPEWEQSASASATFGRDSHRNVTVDTYDKDFGVGFTSISHGSIENTKTATDNDHDSASHSKPQEETGEEDKKETMKSSISTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKGNNLTEGYVDRCGDNHLLPHKYPFMTDKASMLDEVIEYLKQLQAQVQMMNRMNLPSMMLQQQLQMSMMAPMGMGMSVMDMNFMGRPNIIGISPVLSNPFMTMNTPWDGSNNNDRLQQAASMTDPLSTFLACQSQPMTMDAYSRMAAMYQQMQQPPASSSKS >KJB49208 pep chromosome:Graimondii2_0_v6:8:33583000:33586019:-1 gene:B456_008G106900 transcript:KJB49208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCVPSWELDDNNPLTTRHHSFRFNSNSTAPDVPMLDYEVAELTWENGQLAMHSLGPPRVVAKQLNATTWDKPRASGGGGGTLESIVNQATCFPYGSKDSVGAGGDELVWLDHHRVSAAASSSSATMAMDALVPCSEDKTTTAHVLESMPGLGGGGTCMMGCSTRVGSCSGTVNTQDDVTRKREKAVGVQVAPPEWEQSASASATFGRDSHRNVTVDTYDKDFGVGFTSISHGSIENTKTATDNDHDSASHSKPQEETGEEDKKETMKSSISTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVQMMNRMNLPSMMLQQQLQMSMMAPMGMGMSVMDMNFMGRPNIIGISPVLSNPFMTMNTPWDGSNNNDRLQQAASMTDPLSTFLACQSQPMTMDAYSRMAAMYQQMQQPPASSSKS >KJB49213 pep chromosome:Graimondii2_0_v6:8:33585068:33586019:-1 gene:B456_008G106900 transcript:KJB49213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCVPSWELDDNNPLTTRHHSFRFNSNSTAPDVPMLDYEVAELTWENGQLAMHSLGPPRVVAKQLNATTWDKPRASGGGGGTLESIVNQATCFPYGSKDSVGAGGDELVWLDHHRVSAAASSSSATMAMDALVPCSEDKTTTAHVLESMPGLGGGGTCMMGCSTRVGSCSGTVNTQDDVTRKREKAVGVQVAPPEWEQSASASATFGRDSHRNVTVDTYDKDFGVGFTSISHGSIENTKTATDNDHDSASHSKPQAKSYSIIFLSYKNTLWGHVCCLIELHNQ >KJB49211 pep chromosome:Graimondii2_0_v6:8:33582722:33586106:-1 gene:B456_008G106900 transcript:KJB49211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCVPSWELDDNNPLTTRHHSFRFNSNSTAPDVPMLDYEVAELTWENGQLAMHSLGPPRVVAKQLNATTWDKPRASGGGGGTLESIVNQATCFPYGSKDSVGAGGDELVWLDHHRVSAAASSSSATMAMDALVPCSEDKTTTAHVLESMPGLGGGGTCMMGCSTRVGSCSGTVNTQDDVTRKREKAVGVQVAPPEWEQSASASATFGRDSHRNVTVDTYDKDFGVGFTSISHGSIENTKTATDNDHDSASHSKPQEETGEEDKKETMKSSISTKRSRAAAIHNQSERTDKASMLDEVIEYLKQLQAQVQMMNRMNLPSMMLQQQLQMSMMAPMGMGMSVMDMNFMGRPNIIGISPVLSNPFMTMNTPWDGSNNNDRLQQAASMTDPLSTFLACQSQPMTMDAYSRMAAMYQQMQQPPASSSKS >KJB49210 pep chromosome:Graimondii2_0_v6:8:33582722:33586106:-1 gene:B456_008G106900 transcript:KJB49210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCVPSWELDDNNPLTTRHHSFRFNSNSTAPDVPMLDYEVAELTWENGQLAMHSLGPPRVVAKQLNATTWDKPRASGGGGGTLESIVNQATCFPYGSKDSVGAGGDELVWLDHHRVSAAASSSSATMAMDALVPCSEDKTTTAHVLESMPGLGGGGTCMMGCSTRVGSCSGTVNTQDDVTRKREKAVGVQVAPPEWEQSASASATFGRDSHRNVTVDTYDKDFGVGFTSISHGSIENTKTATDNDHDSASHSKPQEETGEEDKKETMKSSISTKRSRAAAIHNQSEQKKR >KJB49209 pep chromosome:Graimondii2_0_v6:8:33585068:33586019:-1 gene:B456_008G106900 transcript:KJB49209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCVPSWELDDNNPLTTRHHSFRFNSNSTAPDVPMLDYEVAELTWENGQLAMHSLGPPRVVAKQLNATTWDKPRASGGGGGTLESIVNQATCFPYGSKDSVGAGGDELVWLDHHRVSAAASSSSATMAMDALVPCSEDKTTTAHVLESMPGLGGGGTCMMGCSTRVGSCSGTVNTQDDVTRKREKAVGVQVAPPEWEQSASASATFGRDSHRNVTVDTYDKDFGVGFTSISHGSIENTKTATDNDHDSASHSKPQAKSYSIIFLSYKNTLWGHVCCLIELHNQ >KJB49214 pep chromosome:Graimondii2_0_v6:8:33585068:33586019:-1 gene:B456_008G106900 transcript:KJB49214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCVPSWELDDNNPLTTRHHSFRFNSNSTAPDVPMLDYEVAELTWENGQLAMHSLGPPRVVAKQLNATTWDKPRASGGGGGTLESIVNQATCFPYGSKDSVGAGGDELVWLDHHRVSAAASSSSATMAMDALVPCSEDKTTTAHVLESMPGLGGGGTCMMGCSTRVGSCSGTVNTQDDVTRKREKAVGVQVAPPEWEQSASASATFGRDSHRNVTVDTYDKDFGVGFTSISHGSIENTKTATDNDHDSASHSKPQAKSYSIIFLSYKNTLWGHVCCLIELHNQ >KJB51123 pep chromosome:Graimondii2_0_v6:8:48835033:48838629:-1 gene:B456_008G202600 transcript:KJB51123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24750) UniProtKB/Swiss-Prot;Acc:Q0WWT7] MASLAFPSLNSLTISRLHSQKAPSLNSSIPTPSILTFKHPTITLHRFQCGVIRMQAGEEDFELKQMRDMAAAKKRWDAMIREGKVKMLTPREAGYAIQLSNKPLLDVRPSSEREKAWVKGSTWVPIFEVDNKFDVGTLSRKATNFVMGGWWSGVPTLSYDSQFLSKVEEKFPKDAELIVTCQKGLRSLAACELLCNAGYKNLFWVQGGLEAAEEEDLAREGTQPLRFAGIGGLSEFLGWTDQQRAQAAREGWGYRLLYSIRLVGVFVVADALFIGAQQVGRYLQDIRSH >KJB51122 pep chromosome:Graimondii2_0_v6:8:48835033:48838550:-1 gene:B456_008G202600 transcript:KJB51122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24750) UniProtKB/Swiss-Prot;Acc:Q0WWT7] MFSYTVLKAWVKGSTWVPIFEVDNKFDVGTLSRKATNFVMGGWWSGVPTLSYDSQFLSKVEEKFPKDAELIVTCQKGLRSLAACELLCNAGYKNLFWVQGGLEAAEEEDLAREGTQPLRFAGIGGLSEFLGWTDQQRAQAAREGWGYRLLYSIRLVGVFVVADALFIGAQQVGRYLQDIRSH >KJB51120 pep chromosome:Graimondii2_0_v6:8:48835033:48838550:-1 gene:B456_008G202600 transcript:KJB51120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24750) UniProtKB/Swiss-Prot;Acc:Q0WWT7] MQAGEEDFELKQMRDMAAAKKRWDAMIREGKVKMLTPREAGYAIQLSNKPLLDVRPSSEREKAWVKGSTWVPIFEVDNKFDVGTLSRKATNFVMGGWWSGVPTLSYDSQFLSKVEEKFPKDAELIVTCQKGLRSLAACELLCNAGYKNLFWVQGGLEAAEEEDLAREGTQPLRFAGIGGLSEFLGWTDQQRAQAAREGWGYRLLYSIRLVGVFVVADALFIGAQQVGRYLQDIRSH >KJB51121 pep chromosome:Graimondii2_0_v6:8:48835860:48838482:-1 gene:B456_008G202600 transcript:KJB51121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24750) UniProtKB/Swiss-Prot;Acc:Q0WWT7] MASLAFPSLNSLTISRLHSQKAPSLNSSIPTPSILTFKHPTITLHRFQCGVIRMQAGEEDFELKQMRDMAAAKKRWDAMIREGKVKMLTPREAGYAIQLSNKPLLDVRPSSEREKAWVKGSTWVPIFEVDNKFDVGTLSRKATNFVMGGWWSGVPTLSYDSQFLSKVEEKFPKDAELIVTCQKGLRSLAACELLCNAGYKNLFWVQGGLEAAEEEDLAREGTQPLRFAGIGGLSEFLGYMIYLSLLSKINMAN >KJB49004 pep chromosome:Graimondii2_0_v6:8:26756084:26766382:-1 gene:B456_008G097200 transcript:KJB49004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSGFNQQTEEDFCTGEKKCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKNVFLLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSLQPPAQELVARDLHENEWKFRHVFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYYTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPGRWPNSHWRSVKVGWDESTAGEKQPRVSLWEIEPLTTFPMYSSPFPLRLKRPWPSALPSFHAFKDGDMNINSQMMWLQGGIGDQGLQSLNFQGFGVAPWMQPRLDTSSIQGVQPDLYQAMAAAAFQEMRTVDSSKLGSQSLLQFQQPQSMSNGSPAIIQRQMLQQSQTQNAFLQGFQENQTTSQVQLLQQLQRSNSYNNHRQQQQQQQQQQRQQQQQQQQSQQMQQIPQFSDQQQISNLIPAFPKASGSQAQSSSLPTAASECQQPTFSDPLGNSLAISNASSMQSILGSLSHAGASHLHNLKGSNPIVSSSLLSKPVAIEPQLSSETANYILPQVEHLGMVQSNVLSNVLPPFPGREYSAYQSSTDTQNNFLFGVSIDSSSLVLQHGMTNLKNIGNENDSLSLPYAASNFTSASGTDFPLNSDMTTSSCVDESGYLQSSEYVDQVNPPTGTFVKVHKLGSFGRSLDISKFSSYNELRCELARMFGLEGQLEDPQRSGWQLVFVDRENDILLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLNPAASDPSQTRHQ >KJB49005 pep chromosome:Graimondii2_0_v6:8:26756084:26766430:-1 gene:B456_008G097200 transcript:KJB49005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSGFNQQTEEGEKKCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKNVFLLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSLQPPAQELVARDLHENEWKFRHVFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYYTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPGRWPNSHWRSVKVGWDESTAGEKQPRVSLWEIEPLTTFPMYSSPFPLRLKRPWPSALPSFHAFKDGDMNINSQMMWLQGGIGDQGLQSLNFQGFGVAPWMQPRLDTSSIQGVQPDLYQAMAAAAFQEMRTVDSSKLGSQSLLQFQQPQSMSNGSPAIIQRQMLQQSQTQNAFLQGFQENQTTSQVQLLQQLQRSNSYNNHRQQQQQQQQQQRQQQQQQQQSQQMQQIPQFSDQQQISNLIPAFPKASGSQAQSSSLPTAASECQQPTFSDPLGNSLAISNASSMQSILGSLSHAGASHLHNLKGSNPIVSSSLLSKPVAIEPQLSSETANYILPQVEHLGMVQSNVLSNVLPPFPGREYSAYQSSTDTQNNFLFGVSIDSSSLVLQHGMTNLKNIGNENDSLSLPYAASNFTSASGTDFPLNSDMTTSSCVDESGYLQSSEYVDQVNPPTGTFVKVHKLGSFGRSLDISKFSSYNELRCELARMFGLEGQLEDPQRSGWQLVFVDRENDILLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLNPAASDPSQTRHQ >KJB49006 pep chromosome:Graimondii2_0_v6:8:26758251:26765838:-1 gene:B456_008G097200 transcript:KJB49006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSGFNQQTEEGEKKCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKNVFLLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSLQPPAQELVARDLHENEWKFRHVFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYYTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPGRWPNSHWRSVKVGWDESTAGEKQPRVSLWEIEPLTTFPMYSSPFPLRLKRPWPSALPSFHAFKDGDMNINSQMMWLQGGIGDQGLQSLNFQGFGVAPWMQPRLDTSSIQGVQPDLYQAMAAAAFQEMRTVDSSKLGSQSLLQFQQPQSMSNGSPAIIQRQMLQQSQTQNAFLQGFQENQTTSQVQLLQQLQRSNSYNNHRQQQQQQQQQQRQQQQQQQQSQQMQQIPQFSDQQQISNLIPAFPKASGSQAQSSSLPTAASECQQPTFSDPLGNSLAISNASSMQSILGSLSHAGASHLHNLKGSNPIVSSSLLSKPVAIEPQLSSETANYILPQVEHLGMVQSNVLSNVLPPFPGREYSAYQSSTDTQNNFLFGVSIDSSSLVLQHGMTNLKNIGNENDSLSLPYAASNFTSASGTDFPLNSDMTTSSCVDESGYLQSSEYVDQVNPPTGTFVKVHKLGSFGRSLDISKFSSYNELRCELARMFGLEGQLEDPQRSGWQLVFVDRENDILLLGDDPWQ >KJB49720 pep chromosome:Graimondii2_0_v6:8:38267504:38271845:-1 gene:B456_008G134800 transcript:KJB49720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPQASPGAPNTDKKSPMTPAPPTISPGAPRFTPPNLQQDQIASPSIKNPIMLSPENGVKTGSPVPHLSTPPGPPVFTSPVRPAAVPFRTSPVTPQPVAFSSGSSLPTSSPPHFPNGSAELQQQLPGATEESMPAGESPCVLFSAHKLLKHKKLANVPSLGFGVLVSPGRETSTGPQVIHRDPHRCHNCGAYSNLYSNILIGSGQWQCVICRNLNGSEGEYIASSKEELRNFPELSSPLVDFIQTGNKRPSFVPVTDSRTSAPVVLVIDECLDEAHLQHLQSSLHAFVDSVPPTTRIGIILYGSTVSVYDFTEESIASADVIPGGTSPTQETLKGLIYGTGIYLSPMHASKEVAHLIFSSLRPYKLNVPEVSRDRCLGTAVEVAVAIIQGPSVDMSRGVVKRPGGNSRIIVCAGGPNTYGPGSVPHSYTHPNYPHREKTAIKWMERLGREAHQHNIVVDILCAGTCPVRVPVLQPLANASGGVLVLHDDFGEAFGVNLQRASARAASSHGLLEIRCSDDILVTQVVGPGEEANIDTHETFKNDMAVSIQMLSVEETQCFSISMQNKHYIKSDYVFFQFAIQYSNVYQADIARVITIRLPTVDSVSAYLQSVQDEVAAVIIAKRTLLQAKSYSDAIDMQTTIDERIKDIASKFGSQVPKSKLYRFPKEISLLPELLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRMIAPRCLMHREGGTFEELPAYDLAMQSDTAVVLDHGTDVFIWLGAELAADEGRSAAALAACRTLAEELTELRFPAPRILAFKEGSSQARYFVSRLIPANKDPPYEQEARFPQLRTLTIEQRTKLKSSFIHFDDPSFCEWIRGLKAVPPEPI >KJB49718 pep chromosome:Graimondii2_0_v6:8:38267618:38271845:-1 gene:B456_008G134800 transcript:KJB49718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPQASPGAPNTDKKSPMTPAPPTISPGAPRFTPPNLQQDQIASPSIKNPIMLSPENGVKTGSPVPHLSTPPGPPVFTSPVRPAAVPFRTSPVTPQPVAFSSGSSLPTSSPPHFPNGSAELQQQLPGATEESMPAGESPCVLFSAHKLLKHKKLANVPSLGFGVLVSPGRETSTGPQVIHRDPHRCHNCGAYSNLYSNILIGSGQWQCVICRNLNGSEGEYIASSKEELRNFPELSSPLVDFIQTGNKRPSFVPVTDSRTSAPVVLVIDECLDEAHLQHLQSSLHAFVDSVPPTTRIGIILYGSTVSVYDFTEESIASADVIPGGTSPTQETLKGLIYGTGIYLSPMHASKEVAHLIFSSLRPYKLNVPEVSRDRCLGTAVEVAVAIIQGPSVDMSRGVVKRPGGNSRIIVCAGGPNTYGPGSVPHSYTHPNYPHREKTAIKWMERLGREAHQHNIVVDILCAGTCPVRVPVLQPLANASGGVLVLHDDFGEAFGVNLQRASARAASSHGLLEIRCSDDILVTQVVGPGEEANIDTHETFKNDMAVSIQMLSVEETQCFSISMQNKHYIKSDYVFFQFAIQYSNVYQADIARVITIRLPTVDSVSAYLQSVQDEVAAVIIAKRTLLQAKSYSDAIDMQTTIDERIKDIASKFGSQVPKSKLYRFPKEISLLPELLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRMIAPRCLMHREGGTFEELPAYDLAMQSDTAVVLDHGTDVFIWLGAELAADEGRSAAALAACRTLAEELTELRFPAPRILAFKEGSSQARYFVSRLIPANKDPPYEQEARFPQLRTLTIEQRTKLKSSFIHFDDPSFCEWIRGLKAVPPEPI >KJB49719 pep chromosome:Graimondii2_0_v6:8:38266988:38271670:-1 gene:B456_008G134800 transcript:KJB49719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPQASPGAPNTDKKSPMTPAPPTISPGAPRFTPPNLQQDQIASPSIKNPIMLSPENGVKTGSPVPHLSTPPGPPVFTSPVRPAAVPFRTSPVTPQPVAFSSGSSLPTSSPPHFPNGSAELQQQLPGATEESMPAGESPCVLFSAHKLLKHKKLANVPSLGFGVLVSPGRETSTGPQVIHRDPHRCHNCGAYSNLYSNILIGSGQWQCVICRNLNGSEGEYIASSKEELRNFPELSSPLVDFIQTGNKRPSFVPVTDSRTSAPVVLVIDECLDEAHLQHLQSSLHAFVDSVPPTTRIGIILYGSTVSVYDFTEESIASADVIPGGTSPTQETLKGLIYGTGIYLSPMHASKEVAHLIFSSLRPYKLNVPEVSRDRCLGTAVEVAVAIIQGPSVDMSRGVVKRPGGNSRIIVCAGGPNTYGPGSVPHSYTHPNYPHREKTAIKWMERLGREAHQHNIVVDILCAGTCPVRVPVLQPLANASGGVLVLHDDFGEAFGVNLQRASARAASSHGLLEIRCSDDILVTQVVGPGEEANIDTHETFKNDMAVSIQMLSVEETQCFSISMQNKHYIKSDYVFFQFAIQYSNVYQADIARVITIRLPTVDSVSAYLQSVQDEVAAVIIAKRTLLQAKSYSDAIDMQTTIDERIKDIASKFGSQVPKSKLYRFPKEISLLPELLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRMIAPRCLMHREGGTFEELPAYDLAMQSDTAVVLDHGTDVFIWLGAELAADEGRSAAALAACRTLAEELTELRFPAPRILAFKEGSSQARYFVSRLIPANKDPPYEQEARFPQLRTLTIEQRTKLKSSFIHFDDPSFCEWIRGLKAVPPEPI >KJB48758 pep chromosome:Graimondii2_0_v6:8:18543968:18545952:-1 gene:B456_008G085400 transcript:KJB48758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAMEKNLIRMGKEIEKLRAEVLSAEKKMHGTVPYVDGYMNPDPSYAPPFQGGTTYSNGYSRPVVQTGLRPIEGLIPFGNSINVPATIAATGGQTVPSSVWGAPYDPSLA >KJB48915 pep chromosome:Graimondii2_0_v6:8:23476803:23480154:-1 gene:B456_008G093600 transcript:KJB48915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSASFLPPLPCLKPTLSSYKHLCPKACAGNFEGDEGCNKMRIRKENDGWKIDFSGKKPATPLLDTINYPLHMKNLSTRELEQLAAEVRADIVHTVSKTGGHLSSSLGVVELTIALHHVFDTPDDKIIWDVGHQAYPHKILTGRRSRMHTIRKTSGLAGFPKRDESVYDTFGAGHSSTSISAGLGMAVARDLLKKKNNVISVIGDGAMTAGLAYEAMNNAGFLDSNLIVVLNDNKQVSLPTATLQGPATPVGALSRALTKIQASAKFRKLREKAKGLTKQIGGQTHEIAAKVDEYARGMISASGSTLFEELGLYYIGPVDGHNIEDLVAMFEKVKAMPAPGPVLIHIVTEKGKGYPPAEAAIDKMHGVVNFDMETGKQFKSKSSILSYTQYFAESLIKEAEADDKIVAIHAAMGGGTGLNFFQKRFPDRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDEAELMHMVATAAAIDDRPSCFRFPRGNGTGVVIPHDYKGTPLEIGKGRIIMAGNRVAILGYGSIVQQCMEAANMLRSQNIYITVADARFCKPLDGDLIKQLANEHEILITVEEGSIGGFGSHVSHFLSLTGILDGPLKLRAMVLPDRYIDHGSSQDQIEEAGLSSRHISATVLSMLGRPKQAMQFK >KJB48914 pep chromosome:Graimondii2_0_v6:8:23476803:23480083:-1 gene:B456_008G093600 transcript:KJB48914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSASFLPPLPCLKPTLSSYKHLCPKACAGNFEGDEGCNKMRIRKENDGWKIDFSGKKPATPLLDTINYPLHMKNLSTRELEQLAAEVRADIVHTVSKTGGHLSSSLGVVELTIALHHVFDTPDDKIIWDVGHQAYPHKILTGRRSRMHTIRKTSGLAGFPKRDESVYDTFGAGHSSTSISAGLGMAVARDLLKKKNNVISVIGDGAMTAGLAYEAMNNAGFLDSNLIVVLNDNKQVSLPTATLQGPATPVGALSRALTKIQASAKFRKLREKAKGLTKQIGGQTHEIAAKVDEYARGMISASGSTLFEELGLYYIGPVDGHNIEDLVAMFEKVKAMPAPGPVLIHIVTEKGKGYPPAEAAIDKMHGVVNFDMETGKQFKSKSSILSYTQYFAESLIKEAEADDKIVAIHAAMGGGTGLNFFQKRFPDRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDEAELMHMVATAAAIDDRPSCFRFPRGNGTGVVIPHDYKGTPLEIGKGRIIMAGNRVAILGYGSIVQQCMEAANMLRSQNIYITVADARFCKPLDGDLIKQLANEHEILITVEEGSIGGFGSHVSHFLSLTVKSNGASR >KJB48916 pep chromosome:Graimondii2_0_v6:8:23476994:23480031:-1 gene:B456_008G093600 transcript:KJB48916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSASFLPPLPCLKPTLSSYKHLCPKACAGNFEGDEGCNKMRIRKENDGWKIDFSGKKPATPLLDTINYPLHMKNLSTRELEQLAAEVRADIVHTVSKTGGHLSSSLGVVELTIALHHVFDTPDDKIIWDVGHQAYPHKILTGRRSRMHTIRKTSGLAGFPKRDESVYDTFGAGHSSTSISAGLGMAVARDLLKKKNNVISVIGDGAMTAGLAYEAMNNAGFLDSNLIVVLNDNKQVSLPTATLQGPATPVGALSRALTKIQASAKFRKLREKAKGLTKQIGGQTHEIAAKVDEYARGMISASGSTLFEELGLYYIGPVDGHNIEDLVAMFEKVKAMPAPGPVLIHIVTEKGKGYPPAEAAIDKMHGVVNFDMETGKQFKSKSSILSYTQYFAESLIKEAEADDKIVAIHAAMGGGTGLNFFQKRFPDRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDEAELMHMVATAAAIDDRPSCFRFPRGNGTGVVIPHDYKGTPLEVTILK >KJB47501 pep chromosome:Graimondii2_0_v6:8:3527500:3530561:-1 gene:B456_008G029700 transcript:KJB47501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGDSASVASPLPQWGHDAWRIYQYYLDKTTPHTAYRWIGTLVVAAIYCLRVYYVQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEVTDGPLLPTKGSDEFKPFIRRLPEFKFWYSMTKAFCIAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYIPFSFGKQKYTGKKASPSSDGSRVD >KJB47503 pep chromosome:Graimondii2_0_v6:8:3522860:3530514:-1 gene:B456_008G029700 transcript:KJB47503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGDSASVASPLPQWGHDAWRIYQYYLDKTTPHTAYRWIGTLVVAAIYCLRVYYVQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEVTDGPLLPTKGSDEFKPFIRRLPEFKFWYSMTKAFCIAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYIPFSFGKQKYTGKKASPSSDGSRVD >KJB47502 pep chromosome:Graimondii2_0_v6:8:3527739:3530514:-1 gene:B456_008G029700 transcript:KJB47502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGDSASVASPLPQWGHDAWRIYQYYLDKTTPHTAYRWIGTLVVAAIYCLRVYYVQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEVTDGPLLPTKGSDEFKPFIRRLPEFKFWYSMTKAFCIAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYIPFSFGKQKYTGKKASPSSDGSRVD >KJB49917 pep chromosome:Graimondii2_0_v6:8:39832163:39833833:1 gene:B456_008G145700 transcript:KJB49917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCKKYQEYMQGQEKKLPGVGFKKLKKILKNCRREFQSKNDVHGVLHNQTCPQHCPVCDGTFFPSLLKEMSDIVGCFNERAQKLLELHLASGFRKYFIWFKGKLQGSHVTLIQEGKDLVNYALINAVAIRKILKKYDKVHFSKQGQAFKSQAQSMHIEILQSPWLCELMAFHINLRETKVKSGMTPASFEGCYLTFNDGKPFLSCELFDSVKLDIDLTCSICLDTVFDPVSLTCGHIFCYMCACSAASVSIVNGLKAAEPKEKCPLCREVSV >KJB49915 pep chromosome:Graimondii2_0_v6:8:39832061:39834216:1 gene:B456_008G145700 transcript:KJB49915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCKKYQEYMQGQEKKLPGVGFKKLKKILKNCRREFQSKNDVHGVLHNQTCPQHCPVCDGTFFPSLLKEMSDIVGCFNERAQKLLELHLASGFRKYFIWFKGKLQGSHVTLIQEGKDLVNYALINAVAIRKILKKYDKVHFSKQGQAFKSQAQSMHIEILQSPWLCELMAFHINLRETKVKSGMTPASFEGCYLTFNDGKPFLSCELFDSVKLDIDLTCSICLDTVFDPVSLTCGHIFCYMCACSAASVSIVNGLKAAEPKEKCPLCREAGVYEGFVHLDELSILLRRSCSEYWEQRLQMERAERVRQAKEHWESQCQAFVGV >KJB49916 pep chromosome:Graimondii2_0_v6:8:39831968:39834216:1 gene:B456_008G145700 transcript:KJB49916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCKKYQEYMQGQEKKLPGVGFKKLKKILKNCRREFQSKNDVHGVLHNQTCPQHCPVCDGTFFPSLLKEMSDIVGCFNERAQKLLELHLASGFRKYFIWFKGKLQGSHVTLIQEGKDLVNYALINAVAIRKILKKYDKVHFSKQGQAFKSQAQSMHIEILQSPWLCELMAFHINLRETKVKSGMTPASFEGCYLTFNDGKPFLSCELFDSVKLDIDLTCSICLDTVFDPVSLTCGHIFCYMCACSAASVSIVNGLKAAEPKEKCPLCREAGVYEGFVHLDELSILLRRSCSEYWEQRLQMERAERVRQAKEHWESQCQAFVGV >KJB49985 pep chromosome:Graimondii2_0_v6:8:40260835:40262395:1 gene:B456_008G148400 transcript:KJB49985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKVQAF >KJB49986 pep chromosome:Graimondii2_0_v6:8:40260859:40262395:1 gene:B456_008G148400 transcript:KJB49986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >KJB49984 pep chromosome:Graimondii2_0_v6:8:40260766:40262433:1 gene:B456_008G148400 transcript:KJB49984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >KJB46917 pep chromosome:Graimondii2_0_v6:8:284998:294029:1 gene:B456_008G001300 transcript:KJB46917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFHERNDSLNCSSKASIDSSPTPGTGSEPGPNDSKFSKTSLWSNFFASAFSVFDTYSESSSSSACERKSSFSKTNGWTAAVKRVVSGGSMRRIHERVLGPSKIGISSSTSDIWLLGLCYKISQESSGDVDATSALAAFKQDFSSRILMTYRKGFDAIGETKITSDASWGCMLRSSQMLVAQALLFHRLGRSWRKPSQKPFDLAYIEILHQFGDSEASAFSIHNLVEAGKNYGLAAGSWVGPYAMCRSWESLARSKREEIDLECQLLPMAVYVVSGDEDGERGGAPVVCIEDASRHCFEFSRHQADWTPILLLVPLVLGLDKVNPRYIPSLQATFTFPQCLGILGGKPGASTYIVGIQEENVFYLDPHDVQPVVNLSTENLEADTSSYHCNIIRYIPLESLDPSLAIGFFCRDKGFLVNLMISMIFVSGHPN >KJB46920 pep chromosome:Graimondii2_0_v6:8:285629:293517:1 gene:B456_008G001300 transcript:KJB46920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFHERNDSLNCSSKASIDSSPTPGTGSEPGPNDSKFSKTSLWSNFFASAFSVFDTYSESSSSSACERKSSFSKTNGWTAAVKRVVSGGSMRRIHERVLGPSKIGISSSTSDIWLLGLCYKISQESSGDVDATSALAAFKQDFSSRILMTYRKGFDAIGETKITSDASWGCMLRSSQMLVAQALLFHRLGRSWRKPSQKPFDLAYIEILHQFGDSEASAFSIHNLVEAGKNYGLAAGSWVGPYAMCRSWESLARSKREEIDLECQLLPMAVYVVSGDEDGERGGAPVVCIEDASRHCFEFSRHQADWTPILLLVPLVLGLDKVNPRYIPSLQATFTFPQCLGILGGKPGASTYIVGIQEENVFYLDPHDVQPVVNLSTENLEADTSSYHCNIIRYIPLESLDPSLAIGFFCRDKGFLVNLVGIYNFTS >KJB46919 pep chromosome:Graimondii2_0_v6:8:286129:293805:1 gene:B456_008G001300 transcript:KJB46919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIHFLSCLITGFDAIGETKITSDASWGCMLRSSQMLVAQALLFHRLGRSWRKPSQKPFDLAYIEILHQFGDSEASAFSIHNLVEAGKNYGLAAGSWVGPYAMCRSWESLARSKREEIDLECQLLPMAVYVVSGDEDGERGGAPVVCIEDASRHCFEFSRHQADWTPILLLVPLVLGLDKVNPRYIPSLQATFTFPQCLGILGGKPGASTYIVGIQEENVFYLDPHDVQPVVNLSTENLEADTSSYHCNIIRYIPLESLDPSLAIGFFCRDKDDFDDFCFRASKLADESNGAPLFTVAQTHSVFKPINHGDTMANAGGDRMDDSVRVLPTGDVDGNSHEDDWQFL >KJB46916 pep chromosome:Graimondii2_0_v6:8:284976:294078:1 gene:B456_008G001300 transcript:KJB46916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFHERNDSLNCSSKASIDSSPTPGTGSEPGPNDSKFSKTSLWSNFFASAFSVFDTYSESSSSSACERKSSFSKTNGWTAAVKRVVSGGSMRRIHERVLGPSKIGISSSTSDIWLLGLCYKISQESSGDVDATSALAAFKQDFSSRILMTYRKGFDAIGETKITSDASWGCMLRSSQMLVAQALLFHRLGRSWRKPSQKPFDLAYIEILHQFGDSEASAFSIHNLVEAGKNYGLAAGSWVGPYAMCRSWESLARSKREEIDLECQLLPMAVYVVSGDEDGERGGAPVVCIEDASRHCFEFSRHQADWTPILLLVPLVLGLDKVNPRYIPSLQATFTFPQCLGILGGKPGASTYIVGIQEENVFYLDPHDVQPVVNLSTENLEADTSSYHCNIIRYIPLESLDPSLAIGFFCRDKDDFDDFCFRASKLADESNGAPLFTVAQTHSVFKPINHGDTMANAGGDRMDDSVRVLPTGDVDGNSHEDDWQFL >KJB46918 pep chromosome:Graimondii2_0_v6:8:284998:294029:1 gene:B456_008G001300 transcript:KJB46918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFHERNDSLNCSSKASIDSSPTPGTGSEPGPNDSKFSKTSLWSNFFASAFSVFDTYSESSSSSACERKSSFSKTNGWTAAVKRVVSGGSMRRIHERVLGPSKIGISSSTSDIWLLGLCYKISQESSGDVDATSALAAFKQDFSSRILMTYRKGFDAIGETKITSDASWGCMLRSSQMLVAQALLFHRLGRSWRKPSQKPFDLAYIEILHQFGDSEASAFSIHNLVEAGKNYGLAAGSWVGPYAMCRSWESLARSKREEIDLECQLLPMAVYVVSGDEDGERGGAPVVCIEDASRHCFEFSRHQADWTPILLLVPLVLGLDKVNPRYIPSLQATFTFPQCLGILGGKPGASTYIVGIQEENVFYLDPHDVQPVVNLSTENLEADTSSYHCK >KJB46921 pep chromosome:Graimondii2_0_v6:8:284998:294029:1 gene:B456_008G001300 transcript:KJB46921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFHERNDSLNCSSKASIDSSPTPGTGSEPGPNDSKFSKTSLWSNFFASAFSVFDTYSESSSSSACERKSSFSKTNGWTAAVKRVVSGGSMRRIHERVLGPSKIGISSSTSDIWLLGLCYKISQESSGDVDATSALAAFKQDFSSRILMTYRKGFDAIGETKITSDASWGCMLRSSQMLVAQALLFHRLGRSWRKPSQKPFDLAYIEILHQFGDSEASAFSIHNLVEAGKNYGLAAGSWVGPYAMCRSWESLARSKREEIDLECQLLPMAVYVVSGDEDGERGGAPVVCIEDASRHCFEFSRHQADWTPILLLVPLVLGLDKVNPRYIPSLQATFTFPQCLGILGGKPGASTYIVGIQEENVFYLDPHDVQPVVNLSTENLEADTSSYHCNIIRYIPLESLDPSLAIGFFCRDKDDFDDFCFRASKLADESNGAPLFTVAQTHSVFKPINHGDTMANAGGDRMDDSVRVLPTGDVDGNSHEDDWQFL >KJB46915 pep chromosome:Graimondii2_0_v6:8:284925:294078:1 gene:B456_008G001300 transcript:KJB46915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFHERNDSLNCSSKASIDSSPTPGTGSEPGPNDSKFSKTSLWSNFFASAFSVFDTYSESSSSSACERKSSFSKTNGWTAAVKRVVSGGSMRRIHERVLGPSKIGISSSTSDIWLLGLCYKISQESSGDVDATSALAAFKQDFSSRILMTYRKGFDAIGETKITSDASWGCMLRSSQMLVAQALLFHRLGRSWRKPSQKPFDLAYIEILHQFGDSEASAFSIHNLVEAGKNYGLAAGSWVGPYAMCRSWESLARSKREEIDLECQLLPMAVYVVSGDEDGERGGAPVVCIEDASRHCFEFSRHQADWTPILLLVPLVLGLDKVNPRYIPSLQATFTFPQCLGILGGKPGASTYIVGIQEENVFYLDPHDVQPVVNLSTENLEADTSSYHCNIIRYIPLESLDPSLAIGFFCRDKDDFDDFCFRASKLADESNGAPLFTVAQTHSVFKPINHGDTMANAGGDRMDDSVRVLPTGDVDGNSHEDDWQFL >KJB49751 pep chromosome:Graimondii2_0_v6:8:38409251:38411113:1 gene:B456_008G135800 transcript:KJB49751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVLLHADARRHGNGRNSNQKASSGGENTWCIAKPSTENLRLNGNINYSCSQKGVDCKPIQPGGTCYRPNTIVSHASYAMNLFYKAAGKNSWNCHFNGTGIIISENPSIGSCNYPM >KJB49750 pep chromosome:Graimondii2_0_v6:8:38408726:38411169:1 gene:B456_008G135800 transcript:KJB49750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCGRQLLALAFLHLAFSLLLCFGACPDARRHGNGRNSNQKASSGGENTWCIAKPSTENLRLNGNINYSCSQKGVDCKPIQPGGTCYRPNTIVSHASYAMNLFYKAAGKNSWNCHFNGTGIIISENPSIGSCNYPM >KJB53276 pep chromosome:Graimondii2_0_v6:8:29188153:29189125:1 gene:B456_008G100000 transcript:KJB53276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFSSKPTIVILLLLTTVSTADLTSKELDAAILVLQSRGYTLFPNAISTSDLQVRLLSSQNSSIFTLFAPPDSLLFSLDLLSSARLYTFSLFLHVSPHFLSSSDLLALPRPAFIDTLLPNRRLFVEHAMSTRNGTALLTVSVDGVVVSVPDLFLGSNIVVHGLDGILVARYGSLVSEGSDNAIAEPPKFPYQTYVSPANPPETLPPTGLEMATIGTQIKKDREAFRRDDDHATTKRTKHGTFFRFERVY >KJB51042 pep chromosome:Graimondii2_0_v6:8:48336483:48340736:1 gene:B456_008G198600 transcript:KJB51042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVLLTIPEIWFCEECRLSKAIVPESVTEEDVPMSSTSITSESVCTSLPSHKPVDQENEKASKSNTSETLNPEALIENQKILGSACKQGAATVGNVKKSSVSTKSEHLVRNLVSGLDQLSTMETASKSSPTRLKVASAKASIKKFKASHDHRKVRHSSSKVWSTTPQKAIQTSKTLKETSATYEKESHDTNVLGEKIETSRSQQRDGEDVSPEGSASLPVNTIASTLTKSDLEKIAMEYHIDTNRYHLSLPKSSERANSYFPCKHTFCIYADAFKAGLRLPLHPLISEILSEFSVAPTQIPPNSWRVLICFISFCYSQKVTPTVNLFRAICSLKDHSGESNKGWWFFSARIGFKLFEGFPSSIKGWRHRFFIIRTSERDTLGVNTKWGPPNSAANQLPTLSAMEVKSLDDLVAAATNNPPDIRVLLSEEALIQAGISSTILKPKVSNGEIKQRHSLSPSGLVRALQRKRAATDSGKSALSGLPCQEPILINVDQEDLPESKRSKESLQPMEQTDLLQPKELTNRSQEIYPPVTSPAQDTPHEGLPLQASPESNIRHIAGASVHAVSSVYFNTATDNLPLSNAGVRQTGSVEYTMEQSVFTHPALARKLISQMVLPHDCDSVAQKDTLVMAQELMCLAMEDATWKMAVSEKLIKMQHEINMLKEERNALRAELESQKMAVAECESTRKTTLELQRLEEEVERLEIRLKETEEKAWTWEDQFIKLERRLKQADDRAASAERKVVVAEEKWRQSEMTKSRVAEETLKNFKASEEFRHEVEDYHAEAYGMGLNVAIEKIKRQFPNLDLSIINFYSED >KJB51043 pep chromosome:Graimondii2_0_v6:8:48336111:48340736:1 gene:B456_008G198600 transcript:KJB51043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEPCDICGDIGFVEDIITCFECKIAKEHGYCMREVLLTIPEIWFCEECRLSKAIVPESVTEEDVPMSSTSITSESVCTSLPSHKPVDQENEKASKSNTSETLNPEALIENQKILGSACKQGAATVGNVKKSSVSTKSEHLVRNLVSGLDQLSTMETASKSSPTRLKVASAKASIKKFKASHDHRKVRHSSSKVWSTTPQKAIQTSKTLKETSATYEKESHDTNVLGEKIETSRSQQRDGEDVSPEGSASLPVNTIASTLTKSDLEKIAMEYHIDTNRYHLSLPKSSERANSYFPCKHTFCIYADAFKAGLRLPLHPLISEILSEFSVAPTQIPPNSWRVLICFISFCYSQKVTPTVNLFRAICSLKDHSGESNKGWWFFSARIGFKLFEGFPSSIKGWRHRFFIIRTSERDTLGVNTKWGPPNSAANQLPTLSAMEVKSLDDLVAAATNNPPDIRVLLSEEALIQAGISSTILKPKVSNGEIKQRHSLSPSGLVRALQRKRAATDSGKSALSGLPCQEPILINVDQEDLPESKRSKESLQPMEQTDLLQPKELTNRSQEIYPPVTSPAQDTPHEGLPLQASPESNIRHIAGASVHAVSSVYFNTATDNLPLSNAGVRQTGSVEYTMEQSVFTHPALARKLISQMVLPHDCDSVAQKDTLVMAQELMCLAMEDATWKMAVSEKLIKMQHEINMLKEERNALRAELESQKMAVAECESTRKTTLELQRLEEEVERLEIRLKETEEKAWTWEDQFIKLERRLKQADDRAASAERKVVVAEEKWRQSEMTKSRVAEETLKNFKASEEFRHEVEDYHAEAYGMGLNVAIEKIKRQFPNLDLSIINFYSED >KJB51041 pep chromosome:Graimondii2_0_v6:8:48336111:48340736:1 gene:B456_008G198600 transcript:KJB51041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEPCDICGDIGFVEDIITCFECKIAKEHGYCMREVLLTIPEIWFCEECRLSKAIVPESVTEEDVPMSSTSITSESVCTSLPSHKPVDQENEKASKSNTSETLNPEALIENQKILGSACKQGAATVGNVKKSSVSTKSEHLVRNLVSGLDQLSTMETASKSSPTRLKVASAKASIKKFKASHDHRKVRHSSSKVWSTTPQKAIQTSKTLKETSATYEKESHDTNVLGEKIETSRSQQRDGEDVSPEGSASLPVNTIASTLTKSDLEKIAMEYHIDTNRYHLSLPKSSERANSYFPCKHTFCIYADAFKAGLRLPLHPLISEILSEFSVAPTQIPPNSWRVLICFISFCYSQKVTPTVNLFRAICSLKDHSGESNKGWWFFSARIGFKLFEGFPSSIKGWRHRFFIIRTSERDTLGVNTKWGPPNSAANQLPTLSAMEVKSLDDLVAAATNNPPDIRVLLSEEALIQAGISSTILKPKVSNGEIKQRHSLSPSGLVRALQRKRAATDSGKSALSGLPCQEPILINVDQEDLPESKRSKESLQPMEQTDLLQPKELTNRSQEIYPPVTSPAQDTPHEGLPLQASPESNIRHIAGASVHAVSSVYFNTATDNLPLSNAGVRQTGSVEYTMEQSVFTHPALARKLISQMVLPHDCDSVAQKDTLVMAQELMCLAMEDATWKMAVSEKLIKMQHEINMLKEERNALRAELESQKMAVAECESTRKTTLELQRLEEEVERLEIRLKETEEKAWTWEDQFIKLERRLKQADDRAASAERKVVVAEEKWRQSEMTKSRVAEETLKNFKASEEFRHEVEDYHAEAYGMGLNVAIEKIKRQFPNLDLSIINFYSED >KJB50169 pep chromosome:Graimondii2_0_v6:8:41984144:41985508:1 gene:B456_008G157200 transcript:KJB50169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKKGNLIIKTWERCKSIGRGRSRHAPAIYKKSKSWPSIDVSLEEEKRTRKNRVAPEGCFTVYVGPQKQRFVIKTEYANHPLFKILLEEAESEYGFTSEGPLMLPCNVDLFCKVLLAMDDGDNTIRQGCGFANGYGSYRLLTPPWMMATNQI >KJB50170 pep chromosome:Graimondii2_0_v6:8:41984144:41985508:1 gene:B456_008G157200 transcript:KJB50170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKKGNLIIKTWERCKSIGRGRSRHAPAIYKKSKSWPSIDVSLEEEKRTRKNRVAPEGCFTVYVGPQKQRFVIKTEYANHPLFKILLEEAESEYGFTSEGPLMLPCNVDLFCKVLLAMDDGDNTIRQGCGFANGYGSYRLLTPPWMMATNQI >KJB50455 pep chromosome:Graimondii2_0_v6:8:44664816:44674208:-1 gene:B456_008G171700 transcript:KJB50455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYGADEAVIALKLKDASNWWHDINESTLWQDRIFHILAALYGLVAAVALVQLIRIQLRVPEYGWTTQKVFHFLNFLVNGVRGFVFVFRRDVQNLHPEIVQHILLDMPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFFTINAVVYTIQIGMWLILWWKYIPVLVVLSKVFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFLCFLVRCIMMCFNAFDKAADLDVLNHPVLNLIYYLLVEILPSSLVLFILRKLPPKRGITQYHPIR >KJB53101 pep chromosome:Graimondii2_0_v6:8:56729652:56732865:1 gene:B456_008G293600 transcript:KJB53101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEDQIESTASPTALKSGVCCSIGSGQSETLTQLQELYGFKHDHTPKIRKPYTITKQREKWTEEEHQKFLEALRLYGRGWRQIEEHVGTKTAVQIRSHAQKFFSKVARESNGGFESSAKSIEIPPPRPKRKPTHPYPRKSVTSLKGISPSSQLERSLSPNHSVSEQDNKSPSSVLSPFVFDAMGSSASEQPNRCSSPTSCTTNIQSLNNTSPVEKENDYVTSMEKETESLSSVKVFGQSSEEDILSLKSNTDFEEPVRAKGNEAAVVVPFTSIKLFGKTVEVKDSSKPSTGAENFQMQTSKNGVAGECLDLHLSHGTVIDNWSTVPPKSNLSPCMEIHTDKNDHVEYTSDAPLPWLAFYQGLPFYYITSFNQTHTDPRVKETPKEKETLNERSCTGSNTGSVSQIENRERNSDSVDSQCQNPCPRGKMTSQKFSKGFVPYKRCLTERDMSSSMVVSEGRERAQKARVCS >KJB46784 pep chromosome:Graimondii2_0_v6:8:36345356:36345802:1 gene:B456_008G124500 transcript:KJB46784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTNCRIEKIGYYHIALFRLSLRVGDKRTRTADIRHRDSCGSGGSCYRKTRNGGELSPFDSLVLRMLVLRMSDCPSPTLTAQPESGQLMHSTY >KJB47183 pep chromosome:Graimondii2_0_v6:8:1667245:1675584:-1 gene:B456_008G014600 transcript:KJB47183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PSD2 MKFKDKWLACVSFGEQTFRTNVSNETDKPVWNCERKLLLEKTGPRVARISVFETNRLSKNNLIGYCEINLLDYLTQDSDSDFGTFDLIDPGSSDKVVGCVCISCNVEDPIETEKNFARRILSVVDYDEDGRLSLSEFSELINAFGNNLAASKKEELFKAADKNGDGVVSLDELAELLALQQETEPIMNCCPVCGEVVEGGDQLNSLIHLSLCFDEGTGNQVMTGGFLTDKQASYGWMFKLSEWAHFSSYSFGLNSGSSASHILVFDRKTKRLVEELIDTKIVLSMRTIYQSKIGLGLMDKGAKEILQSISERQGRQMNTVESAKEIPKFVEFFKDQINMAEVKYPLEHFKTFNEFFVRELKPGARTIASIERHDVAVCAADCRLMSFKSVEDSLRFWVKGRKFSIQGLLGKEVCSNAFIDGSLVIFRLAPQDYHRFHLPVSGTIGKFVNIPGCLYTVNPIAVNSKYCNVFTENKRVVTIISTAEFGKVAFVAIGATMVGSITFVKKEGDFVKKGEELGYFSFGGSTVICVFEKGAIDIDDDLLANSGRSLETLVSVGMTLGVSKKKPGSEGLPNLENCVLRN >KJB47182 pep chromosome:Graimondii2_0_v6:8:1667223:1675724:-1 gene:B456_008G014600 transcript:KJB47182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PSD2 MGHGSSKEDGSSSSSDEDAKPSRISRVKQRLRRHRLRRRRGSDSSHKKLFAAEDFAGIAHLTLINAEMKFKDKWLACVSFGEQTFRTNVSNETDKPVWNCERKLLLEKTGPRVARISVFETNRLSKNNLIGYCEINLLDYLTQDSDSDFGTFDLIDPGSSDKVVGCVCISCNVEDPIETEKNFARRILSVVDYDEDGRLSLSEFSELINAFGNNLAASKKEELFKAADKNGDGVVSLDELAELLALQQETEPIMNCCPVCGEVVEGGDQLNSLIHLSLCFDEGTGNQVMTGGFLTDKQASYGWMFKLSEWAHFSSYSFGLNSGSSASHILVFDRKTKRLVEELIDTKIVLSMRTIYQSKIGLGLMDKGAKEILQSISERQGRQMNTVESAKEIPKFVEFFKDQINMAEVKYPLEHFKTFNEFFVRELKPGARTIASIERHDVAVCAADCRLMSFKSVEDSLRFWVKGRKFSIQGLLGKEVCSNAFIDGSLVIFRLAPQDYHRFHLPVSGTIGKFVNIPGCLYTVNPIAVNSKYCNVFTENKRVVTIISTAEFGKVAFVAIGATMVGSITFVKKEGDFVKKGEELGYFSFGGSTVICVFEKGAIDIDDDLLANSGRSLETLVSVGMTLGVSKKKPGSEGLPNLENCVLRN >KJB50059 pep chromosome:Graimondii2_0_v6:8:40676871:40679298:1 gene:B456_008G151000 transcript:KJB50059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMNIPWLILSLQIFIFFSLNRQLAFGADTISANQSLSGTQTIVSSGGHFVLGFFKPGNSSNYYIGMWSEQYWTSGAWDPQRRILSLVPEMRLNEIYNYSYVSNENESYFTYSLYDPSTISRFVMDVSGQIKQLSWLESSQRWSLIWSEPRQQCEVYAICGAFGSCNEKALPFCNCLTGFEPKSESDWNLSDFSKGCKRKTQLQCEDPTLAHGKSDKFLEMPNIKLPQHEQSMTVGSISECESTCLKNCSCNAYAYDSGDCKVWMGDVLDLKLLTEDSSNGRTIYIRLAASEFSSSSNKSGIIIGAVAGTVGVVLCLVVFAMLRWRRGTMRNPKAVEGSLLAFGYRDLQIATKNFSEKLGSGGFGSVFKGMLADSSVIAVKQLERINQGEKQFRTEVSTIGTIQHVNLVRLRGFCSDGGRKLLVYDYMPKGSLDAHLVHESNSDALDWKTRYQIALGTARGLVYLHEKCRDCIIHCDIKPENILLDAEFCPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWISGVAITPKADVYSYGMMLFEIVSGRRNSQQSEDGKVRFIPTWAASLITEGGDVLSLLDPRLNGVAPVEEISRLCKGVLDLHLPPVPRSLQVLVDEQEHVLLLSESSSAESSQSRSYISTPSHAKSSTFSTTS >KJB48467 pep chromosome:Graimondii2_0_v6:8:11782681:11783955:1 gene:B456_008G070200 transcript:KJB48467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKVSKASKWFSNKTLRLSLHRRRSKSSSSSTLTSPASSPSSPRERPKRPHQVDEMKQVFRYFDGDDDGKISALELRAYFGSIGEYMSHEDAQMVINELDSDGDSMLDYEDFLKLMKIEKRDDDDDLKKAFEMFELEKGSGCITPKGLQKMLNRLGDAKSYDECVAMIQVYDIDGNGVLDFHEFHQMMA >KJB48251 pep chromosome:Graimondii2_0_v6:8:9604815:9608632:1 gene:B456_008G060300 transcript:KJB48251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSGDLVVLGISVGLAIGILIASLVFFGIRWYRRHAKLKRGSNERSVTVLPIRTNGFNTSTDFSASLSNSIAVKAPEYHQKSSPSSWWSLHSKDRFASASGLPKYSYKDVQKATQNFTTILGEGSFGPVYKATMPTGGVAAIKVLASGSHQGEKEFHTEVCLLGRLHHRNLVNLIGYCVDKGQYMLIYEFMSNGSLATILYGEGEQGLSWDERLQIALDVSHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSKEEAFDGRNSGIKGTYGYIDPEYISTNKFTMKSDVYSFGVIIFELITAIHPHQNLMEYVNLAAMSPDGVDEILDKQLVGKCNIEEVRQLAKIAHKCLHKSPRKRPLMGEVTPAILKIKQRRLGKEDMMSMAEGDFSRIMSRIQEQHIELTKLAS >KJB48254 pep chromosome:Graimondii2_0_v6:8:9604477:9608514:1 gene:B456_008G060300 transcript:KJB48254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSGDLVVLGISVGLAIGILIASLVFFGIRWYRRHAKLKRGSNERSVTVLPIRTNGFNTSTDFSASLSNSIAVKAPEYHQKSSPSSWWSLHSKDRFASASGLPKYSYKDVQKATQNFTTILGEGSFGPVYKATMPTGGVAAIKVLASGSHQGEKEFHTEVCLLGRLHHRNLVNLIGYCVDKGQYMLIYEFMSNGSLATILYGEGEQGLSWDERLQIALDVSHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSKEEAFDGRNSGIKGTYGYIDPEYISTNKFTMKSDVYSFGVIIFELITAIHPHQNLMEYVNLAAMSPDGVDEILDKQLVGKCNIEEVRQLAKIAHKCLHKSPRKRPLMGEVTPAILKIKQRRLGKEDMMSMAEGDFSRIMSRIQEQHIELTKLAS >KJB48253 pep chromosome:Graimondii2_0_v6:8:9604410:9608645:1 gene:B456_008G060300 transcript:KJB48253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSGDLVVLGISVGLAIGILIASLVFFGIRWYRRHAKLKRGSNERSVTVLPIRTNGFNTSTDFSASLSNSIAVKAPEYHQKSSPSSWWSLHSKDRFASASGLPKYSYKDVQKATQNFTTILGEGSFGPVYKATMPTGGVAAIKVLASGSHQGEKEFHTEVCLLGRLHHRNLVNLIGYCVDKGQYMLIYEFMSNGSLATILYGEGEQGLSWDERLQIALDVSHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSKEEAFDGRNSGIKGTYGYIDPEYISTNKFTMKSDVYSFGVIIFELITAIHPHQNLMEYVNLAAMSPDGVDEILDKQLVGKCNIEEVRQLAKIAHKCLHKSPRKRPLMGEVTPAILKIKQRRLGKEDMMSMAEGDFSRIMSRIQEQHIELTKLAS >KJB48255 pep chromosome:Graimondii2_0_v6:8:9604815:9608645:1 gene:B456_008G060300 transcript:KJB48255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSGDLVVLGISVGLAIGILIASLVFFGIRWYRRHAKLKRGSNERSVTVLPIRTNGFNTSTDFSASLSNSIAVKAPEYHQKSSPSSWWSLHSKDRFASASGLPKYSYKDVQKATQNFTTILGEGSFGPVYKATMPTGGVAAIKVLASGSHQGEKEFHTEVCLLGRLHHRNLVNLIGYCVDKGQYMLIYEFMSNGSLATILYGEGEQGLSWDERLQIALDVSHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSKEEAFDGRNSGIKGTYGYIDPEYISTNKFTMKSDVYSFGVIIFELITAIHPHQNLMEYVNLAAMSPDGVDEILDKQLVGKCNIEEVRQLAKIAHKCLHKSPRKRPLMGEVTPAILKIKQRRLGKEDMMSMAEGDFSRIMSRIQEQHIELTKLAS >KJB48252 pep chromosome:Graimondii2_0_v6:8:9605499:9607999:1 gene:B456_008G060300 transcript:KJB48252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSGDLVVLGISVGLAIGILIASLVFFGIRWYRRHAKLKRGSNERSVTVLPIRTNGFNTSTDFSASLSNSIAVKAPEYHQKSSPSSWWSLHSKDRFASASGLPKYSYKDVQKATQNFTTILGEGSFGPVYKATMPTGGVAAIKVLASGSHQGEKEFHTEVCLLGRLHHRNLVNLIGYCVDKGQYMLIYEFMSNGSLATILYGEGEQGLSWDERLQIALDVSHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSKEEAFDGRNSGIKGTYGYIDPEYISTNKFTMKSDVYSFGVIIFELITAIHPHQNLMEYVNLVRVYSPYVVKSCLLVIHTSIFS >KJB48272 pep chromosome:Graimondii2_0_v6:8:9743186:9744308:-1 gene:B456_008G061200 transcript:KJB48272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQISEADQELLLLKLDIFKIRGKDKQGHKILRIIGKFFPARLLIVEVVKKYLEEHIFPRLGKRRFSVLYVHTGVQRSDNFPGISGLRWIYKAIPINVRDNLQAVYFLHPGLQAHLFLATFGRFLFSGGLYGKLRYVSRVDYLWEHVKRKEVEIPEFVYDHDEDLEYRPMTDYGLESDHPRVSLSPFVSSYSMRCIL >KJB48273 pep chromosome:Graimondii2_0_v6:8:9743560:9744155:-1 gene:B456_008G061200 transcript:KJB48273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQISEADQELLLLKLDIFKIRGKDKQGHKILRIIGKFFPARLLIVEVVKKYLEEHIFPRLGKRRFSVLYVHTGVQRSDNFPGISGLRWIYKAIPINVRDNLQAVYFLHPGLQAHLFLATFGRFLFSGGVGVGVVGCTGN >KJB48274 pep chromosome:Graimondii2_0_v6:8:9743560:9744155:-1 gene:B456_008G061200 transcript:KJB48274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQISEADQELLLLKLDIFKIRGKDKQGHKILRIIGKFFPARLLIVEVVKKYLEEHIFPRLGKRRFSVLYVHTGVQRSDNFPGISGLRWIYKAIPINVRDNLQAVYFLHPGLQAHLFLATFGRFLFSGGDVRVGVGVVGCTGN >KJB47743 pep chromosome:Graimondii2_0_v6:8:5053988:5054806:-1 gene:B456_008G039300 transcript:KJB47743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRSNSFPRSSRQHPLATEVKEHLNRLRASKEASTSSSSISHKLNGFQDLYDCVVKFLQLPLSHHALAHECAGALLDGSLRLLDLCSTAKDIVLQTKESASELQSALRRRKIGEAEIASEVRKYMSSRKVAKKTIHKALGNLKVIQRKNTVSPSETVSMLKKIEAVTCSMFEDLLSLISGPKPGSWLSVSKLLHQRRIACEDAGRNVNEFEKVDVALKSFGITKSEIINLDMQNQLKDLELFIQDLEDGLECLFRCMIKARVSLLNILTL >KJB50895 pep chromosome:Graimondii2_0_v6:8:47515846:47521025:1 gene:B456_008G191900 transcript:KJB50895 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2 [Source:Projected from Arabidopsis thaliana (AT3G47700) UniProtKB/Swiss-Prot;Acc:Q9STU3] MDSLQSLPPLSTLSTSVSSALNAKLGTNHDLTQAPSIVAEFLTQCDDLERNLVHLNRTLESNLASYASFSNRIGHLFGIVNSKLTDLGSSVCLRSSVSGSHQCKVSILILIFSSSSSSSSFVFEFVHEEHWFYLILFLCGNFCVIDGEGLGEELPSLAKEVARVEAVRAYAEVASKLDNLIGDIEDAVSSTMNKNLRKDSSAQNSEETRMVAIKTLKVTEDLLTSVTKARPQWARLVSAVDHRVDRALAILRPQAIADHRALLTSFGWPPSLSNLTSSSLDARKSSEVPNPLFTMHGDLKRRYCENFFALCRLQELQRQRKSRQLEGHNREVALHQPLWAIEELVIPVSVACQRHFSKWIDKPEFIFALVYKITRDYVDSMDELLQPLVDEAMLTGYSCKEEWISAMVTSLSTYLAKEIFPIYIGQLEEESVTGIRSQARTSWLHLIDLMVSFDNRIKPLVEQSGILLSLLEDGTPRKISSLSVFCDRPDWLDMWAEIELDDAMEKLKPEIDEERNWTKKVQGADLSNSDDYKSPAVSSITFTCLSSLIERCRLLPTVSLRSRFLRLTSTPVIIFFLDCLLLRCQEAEGLTALTDDDALIKVSNSVNAAHYSESILKEWCEDVFFLEMGLDQGEHPGESVAENSGSEVPIEEYGNGIFHEEIVKFEDFRTQWVEKITMVILRGFDARCRDYIKNRRQWQEKSEEGWTVSQTLIGALDYLQAKMAVIEENLNRVDFAGIWRSLAAGVDKLIFNGILMSNVKFHEEGVERFGYDVEVVWGVFRAWCLRPEGFFPKVSESLKLLKMEKDQLEDSLKGGQKRMKENGIRHLSVAEVEKIGKNRVYTK >KJB50894 pep chromosome:Graimondii2_0_v6:8:47515846:47521025:1 gene:B456_008G191900 transcript:KJB50894 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2 [Source:Projected from Arabidopsis thaliana (AT3G47700) UniProtKB/Swiss-Prot;Acc:Q9STU3] MDSLQSLPPLSTLSTSVSSALNAKLGTNHDLTQAPSIVAEFLTQCDDLERNLVHLNRTLESNLASYASFSNRIGHLFGIVNSKLTDLGSSVCLRSSVSDGEGLGEELPSLAKEVARVEAVRAYAEVASKLDNLIGDIEDAVSSTMNKNLRKDSSAQNSEETRMVAIKTLKVTEDLLTSVTKARPQWARLVSAVDHRVDRALAILRPQAIADHRALLTSFGWPPSLSNLTSSSLDARKSSEVPNPLFTMHGDLKRRYCENFFALCRLQELQRQRKSRQLEGHNREVALHQPLWAIEELVIPVSVACQRHFSKWIDKPEFIFALVYKITRDYVDSMDELLQPLVDEAMLTGYSCKEEWISAMVTSLSTYLAKEIFPIYIGQLEEESVTGIRSQARTSWLHLIDLMVSFDNRIKPLVEQSGILLSLLEDGTPRKISSLSVFCDRPDWLDMWAEIELDDAMEKLKPEIDEERNWTKKVQGADLSNSDDYKSPAVSSITFTCLSSLIERCRLLPTVSLRSRFLRLTSTPVIIFFLDCLLLRCQEAEGLTALTDDDALIKVSNSVNAAHYSESILKEWCEDVFFLEMGLDQGEHPGESVAENSGSEVPIEEYGNGIFHEEIVKFEDFRTQWVEKITMVILRGFDARCRDYIKNRRQWQEKSEEGWTVSQTLIGALDYLQAKMAVIEENLNRVDFAGIWRSLAAGVDKLIFNGILMSNVKFHEEGVERFGYDVEVVWGVFRAWCLRPEGFFPKVSESLKLLKMEKDQLEDSLKGGQKRMKENGIRHLSVAEVEKIGKNRVYTK >KJB52780 pep chromosome:Graimondii2_0_v6:8:55401311:55407342:-1 gene:B456_008G276300 transcript:KJB52780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G23880) UniProtKB/Swiss-Prot;Acc:Q9LKF9] MGTSVQVTPLCGVYNENPLSYLVSIDGFNFLIDCGWNDLFDPSLLQPLSRVASTVDAVLLSHSDTLHLGALPYAMKQFGLSAPFYSTEPVHRLGLLTMYDHYLSRKQVSDFDLFSLDDIDAAFQSIARLTYSQNYHLSGKGEGIVIAPHVAGHLLGGTVWKITKDGEDVIYAVDFNRRKEKHLNGTVLESFVRPAVLITDAYNALNNQPPKPQRERDRDFVEIISKTLEGGGNVLLPVDTAGRVLELLLVLEENWSLKSLNFPIYFVTYVSASTIDYVKSFLEWMSDAIAKSFETSRDNAFLLKNIKLLTSKSELDSVPDGPKVILASMASLEAGFSHDIFVEWAADVKNLVLFTERGQFGTLARMLQADPPPKAVKVTMSRRVPLTGEELIAYEEEQNRLKKEEALKASLIKEEESKASLATDVNSSDPMVIDTHNKHPSLDGLGQHGSRFRDVLIDGFVPPSSSVAPMFPFYDNTSDWDDFGEVINPDDYVIKDEDMDQGATRTGGDMDGKLDEGSASLILDTTPSKVISNELTVQVKSSLVYMDYEGRSDGRSVKSILAHVAPLKLVLVHGSAEATEHLKQHCLKHVCPHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVLFKKLGDYEIAWVDAEVGKTENDMLSLLPISTPAPPHKSVVVGDLKMADFKQFLASKGVKVEFAAGALRCGEYVTLRKVGVASQKGGGSGTQQIIIEGPLCEDYYKIREYLYSQFYLL >KJB47635 pep chromosome:Graimondii2_0_v6:8:4142784:4145186:1 gene:B456_008G034500 transcript:KJB47635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSSPWGKAGAWALDAEENEAELQQQSRVDSSAEKLADFPSLSAAASTKTKKKKSQPISLAEFAAYGSAKPSEPTGLTHEDLLLLPTGPRQRSPEELDRNRLGGGFKSYGSNRYNSSGDDSSSNSRWGSSRVSNRDSNKEMAPSRADEMDNWASAKKSTPAGNGFGGGFERGDRGEGGFFDSQSKADEVDNWASNKSSKSVNAAAPPRRFGGGFERRSSFDSLQSRDSPRDLDNWGKKKEETGSTAGSGGVRPRLVLQPRTVPVTEEC >KJB47634 pep chromosome:Graimondii2_0_v6:8:4142744:4145188:1 gene:B456_008G034500 transcript:KJB47634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSSPWGKAGAWALDAEENEAELQQQSRVDSSAEKLADFPSLSAAASTKTKKKKSQPISLAEFAAYGSAKPSEPTGLTHEDLLLLPTGPRQRSPEELDRNRLGGGFKSYGSNRYNSSGDDSSSNSRWGSSRVSNRDSNKEMAPSRADEMDNWASAKKSTPAGNGFGGGFERGDRGEGGFFDSQSKADEVDNWASNKSSKSVNAAAPPRRFGGGFERRSSFDSLQSRDSPRDLDNWGKKKEETGSTAGSGGVRPRLVLQPRTVPVTEEAKKELTAPKPKGANPFGEARPREEVLKEKGKDWKEIDEKLEAVKIKETVAVAEKERGRKASFGGNGRAPVERSWRKNESDEAAAAADRPQSSETENGHVADN >KJB50949 pep chromosome:Graimondii2_0_v6:8:47898839:47900727:1 gene:B456_008G194400 transcript:KJB50949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIGAIDQENDQMDLPPGFRFHPTDEELISHYLYKKVLDVNFSAKAIGEVDLNKSEPWELPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATDSGYWKATGKDKEIYRGKSLVGMKKTLVFYKGRAPKGEKTNWVMHEYRLEGKYSVHNLPKTAKNEWVICRVFQKSSGGKKTHISGLVNMGSFGNELGPSVLPPLMDSSSSFNAKKNPVSESVYVPCFSNPVDVQRIQLDTIDHFPENPLLLPVSSNPTNIFPRFQAPNPFFSAQPVTFPPNLHLPGSVLMQDQSILRDLLENHGSNMKSERETMVSVSQETGLTTDINNEISSVVSNLEIRKRPFNDQQHPSASTGPLDFDCFWHY >KJB50951 pep chromosome:Graimondii2_0_v6:8:47898866:47900627:1 gene:B456_008G194400 transcript:KJB50951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCLVAGKAKMGEKEWYFFCVRDRKYPTGLRTNRATDSGYWKATGKDKEIYRGKSLVGMKKTLVFYKGRAPKGEKTNWVMHEYRLEGKYSVHNLPKTAKNEWVICRVFQKSSGGKKTHISGLVNMGSFGNELGPSVLPPLMDSSSSFNAKKNPVSESVYVPCFSNPVDVQRIQLDTIDHFPENPLLLPVSSNPTNIFPRFQAPNPFFSAQPVTFPPNLHLPGSVLMQDQSILRDLLENHGSNMKSERETMVSVSQETGLTTDINNEISSVVSNLEIRKRPFNDQQHPSASTGPLDFDCFWHY >KJB50950 pep chromosome:Graimondii2_0_v6:8:47898839:47900818:1 gene:B456_008G194400 transcript:KJB50950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIGAIDQENDQMDLPPGFRFHPTDEELISHYLYKKVLDVNFSAKAIGEVDLNKSEPWELPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATDSGYWKATGKDKEIYRGKSLVGMKKTLVFYKGRAPKGEKTNWVMHEYRLEGKYSVHNLPKTAKNEWVICRVFQKSSGGKKTHISGLVNMGSFGNELGPSVLPPLMDSSSSFNAKKNPVSESVYVPCFSNPVDVQRIQLDTIDHFPENPLLLPVSSNPTNIFPRFQAPNPFFSAQPVTFPPNLHLPGSVLMQDQSILRDLLENHGSNMKSERETMVSVSQETGLTTDINNEISSVVSNLEIRKRPFNDQQHPSASTGPLDFDCFWHY >KJB51292 pep chromosome:Graimondii2_0_v6:8:49706172:49709134:1 gene:B456_008G210900 transcript:KJB51292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50990 [Source:Projected from Arabidopsis thaliana (AT5G50990) UniProtKB/Swiss-Prot;Acc:Q9FI49] MLRNGVKKLTLETSSAAIGFTSLLSAPDFLHYSRDHRSVYKVLEACRLSSDYKAASAIHARIFKLGYGTYPSLVASLVSTYLQCDRLLLARKLLDQVFRLDFNVVIVNLVIEHLMGLGEYGFAKKCFHKTPVRDVITWNLMIGGYVRNARFEEALSFFREMLDSNVEPDKFTFASVMTVCARLGAINHALWVHRLMTKKEIELNPILSSALIDMYSKCGRIQTAKEVFNSADHSDVSVWNAMINGLAAHGLPFDAIAVFSKMEVENIFPDSITFIGILTACSHSGLVEEGRKYFNLMSSRYSIEPQIEHYGVMVDLFGRAGLLEEAYAVIEAMPVEPDVVIWRALLSACRIYQKPKLGEVAIANMSRLKSGDYVLLSNMYCSMKRWESAELVRELMKKKGIRKIRGRSWIELGGVIHRFKAGDRSHPETEGLYKVLDGLIRRTKLEGFLPQTDLVLMDISEEEKEGNLNHHSEKLALAYGILKTSPGREIMISKNLRICHDCHNWIKLVSKLLIRVIIVRDRIRFHQFEGGSCSCEDYW >KJB51293 pep chromosome:Graimondii2_0_v6:8:49706194:49709001:1 gene:B456_008G210900 transcript:KJB51293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50990 [Source:Projected from Arabidopsis thaliana (AT5G50990) UniProtKB/Swiss-Prot;Acc:Q9FI49] MLRNGVKKLTLETSSAAIGFTSLLSAPDFLHYSRDHRSVYKVLEACRLSSDYKAASAIHARIFKLGYGTYPSLVASLVSTYLQCDRLLLARKLLDQVFRLDFNVVIVNLVIEHLMGLGEYGFAKKCFHKTPVRDVITWNLMIGGYVRNARFEEALSFFREMLDSNVEPDKFTFASVMTVCARLGAINHALWVHRLMTKKEIELNPILSSALIDMYSKCGRIQTAKEVFNSADHSDVSVWNAMINGLAAHGLPFDAIAVFSKMEVENIFPDSITFIGILTACSHSGLVEEGRKYFNLMSSRYSIEPQIEHYGVMVDLFGRAGLLEEAYAVIEAMPVEPDVVIWRALLSACRIYQKPKLGEVAIANMSRLKSGDYVLLSNMYCSMKRWESAELVRELMKKKGIRKIRGRSWIELGGVIHRFKAGDRSHPETEGLYKVLDGLIRRTKLEGFLPQTDLVLMDISEEEKEGNLNHHSEKLALAYGILKTSPGREIMISKNLRICHDCHNWIKLVSKLLIRVIIVRDRIRFHQFEGGSCSCEDYW >KJB52498 pep chromosome:Graimondii2_0_v6:8:54446660:54449926:-1 gene:B456_008G265100 transcript:KJB52498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFVVMSCEEVKEVKGNSDTEMENGEFEGIKKKKKKQQQESCVYGEVVKWERWMALRVLLIEADDSTRQIIAALLKKCNYKVSAVPDGLKAWEMLKGKPHNYDLILAEVDLPSISGFALLTLIMEHELCKSIPVIMMSSQDSVSTVYKCMLRGAADYLVKPVRRNELRNLWQHAWRRQSSIIGGNCPGDESIGRKRVEATSENDAARQTDKGSDTQSSCIKPDMEAESADMETIQEFSDLIKGKSQPSGSQMHEAHDCLNQNLLMHETKTSVNACKDPNLTTAYKGVELECQRTNMNISVEAGNALADSPREAIDFMGTFNRNFNFSSINSTSKFDSSPPLDLSLRRCNNNDFENNVTRERPILWHPNSSAFTRYSNRLSQPQHSTLTSISNKKKESGSNSETILSNIVSEHDAATPSPTVTSQRNMIPSTAGATDKSRHTEAATSCMEQRECPSSVNQHEPTFGVNPFHHSSLEMNSSRQFYDRLASGTNQMDQKLDSVEDKGHISPTVNQSGTGSFCNGSISQLNGIAYGSSSASNSNVDQVIVRASTERKNDDNVPAPAGNSHRSIQREAALTKFRLKRKDRCYEKKVQHYHRHHCKIKYTWIEPLRGS >KJB52499 pep chromosome:Graimondii2_0_v6:8:54446660:54449926:-1 gene:B456_008G265100 transcript:KJB52499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFVVMSCEEVKEVKGNSDTEMENGEFEGIKKKKKKQQQESCVYGEVVKWERWMALRVLLIEADDSTRQIIAALLKKCNYKVSAVPDGLKAWEMLKGKPHNYDLILAEVDLPSISGFALLTLIMEHELCKSIPVIMMSSQDSVSTVYKCMLRGAADYLVKPVRRNELRNLWQHAWRRQSSIIGGNCPGDESIGRKRVEATSENDAARQTDKGSDTQSSCIKPDMEAESADMETIQEFSDLIKGKSQPSGSQMHEAHDCLNQNLLMHETKTSAVNACKDPNLTTAYKGVELECQRTNMNISVEAGNALADSPREAIDFMGTFNRNFNFSSINSTSKFDSSPPLDLSLRRCNNNDFENNVTRERPILWHPNSSAFTRYSNRLSQPQHSTLTSISNKKKESGSNSETILSNIVSEHDAATPSPTVTSQRNMIPSTAGATDKSRHTEAATSCMEQRECPSSVNQHEPTFGVNPFHHSSLEMNSSRQFYDRLASGTNQMDQKLDSVEDKGHISPTVNQSGTGSFCNGSISQLNGIAYGSSSASNSNVDQVIVRASTERKNDDNVPAPAGNSHRSIQREAALTKFRLKRKDRCYEKKVRYESRKKLAEQRPRVKGQFVRQTQVDHPTQIQAECHYHNSPDG >KJB52497 pep chromosome:Graimondii2_0_v6:8:54446634:54450113:-1 gene:B456_008G265100 transcript:KJB52497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFVVMSCEEVKEVKGNSDTEMENGEFEGIKKKKKKQQQESCVYGEVVKWERWMALRVLLIEADDSTRQIIAALLKKCNYKVSAVPDGLKAWEMLKGKPHNYDLILAEVDLPSISGFALLTLIMEHELCKSIPVIMMSSQDSVSTVYKCMLRGAADYLVKPVRRNELRNLWQHAWRRQSSIIGGNCPGDESIGRKRVEATSENDAARQTDKGSDTQSSCIKPDMEAESADMETIQEFSDLIKGKSQPSGSQMHEAHDCLNQNLLMHETKTSVNACKDPNLTTAYKGVELECQRTNMNISVEAGNALADSPREAIDFMGTFNRNFNFSSINSTSKFDSSPPLDLSLRRCNNNDFENNVTRERPILWHPNSSAFTRYSNRLSQPQHSTLTSISNKKKESGSNSETILSNIVSEHDAATPSPTVTSQRNMIPSTAGATDKSRHTEAATSCMEQRECPSSVNQHEPTFGVNPFHHSSLEMNSSRQFYDRLASGTNQMDQKLDSVEDKGHISPTVNQSGTGSFCNGSISQLNGIAYGSSSASNSNVDQVIVRASTERKNDDNVPAPAGNSHRSIQREAALTKFRLKRKDRCYEKKVRYESRKKLAEQRPRVKGQFVRQTQVDHPTQIQAECHYHNSPDG >KJB47346 pep chromosome:Graimondii2_0_v6:8:2509375:2515050:-1 gene:B456_008G022200 transcript:KJB47346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGEWVLVRKPAEKDFWNPTSMDLADTSKPLKVTFSGPAKHWTDAIPIGNGRLGAMVWGGIASETLQLNEDTLWTGVPGDYTNPEAPAALAEVRKLVDNGDYAEATKAAVKLSDHPSDVYQLLGDIKLEFDESHVKYTEGTYSRELDLETATARVKYSVGDVEFTREHFASNPGQVLVTKISASKPGSLSFTVSLDSKLHHHSQANGQNQIILQGSCPGKRIQPKVGLNETPKGIQFTAVLDLQVSQGGVIHNIDDKKLKVEGSDWAVLLLVASSSFDGPFTMPSDSKKDPTSETLNALKSIKTLSYSDLYAHHLDDYQNLFHRVSLQLSKSSKSNLGDGSLEMKEVKSSTKNSHFSESNDGAVSTAERVKSFQTDEDPSFVELLFQYGRYLLISSSRPGTQVSNLQGIWNKDIEPAWDCAPHLNINLQMNYWPSLPCNLKECQEPLFDFISSLAINGSKTAKVNYETSGWVAHQVTDIWAKTSPDRGEAVWALWPMGGAWLCTHLWEHFTYTMDKDFLKNKAYPLLEGCTSFLLDWLIEGPGGYLETNPSTSPEHMFVAPDGKPASVSYSSTMDIAIIREVFSEIVSAAEVLGRKDDALIGKVREAQAKLPPTKIARDGSIMEWAVDFQDPEVHHRHVSHLFGLFPGHMITVEKTPDLCKAVDFTLFKRV >KJB47348 pep chromosome:Graimondii2_0_v6:8:2511332:2514924:-1 gene:B456_008G022200 transcript:KJB47348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGEWVLVRKPAEKDFWNPTSMDLADTSKPLKVTFSGPAKHWTDAIPIGNGRLGAMVWGGIASETLQLNEDTLWTGVPGDYTNPEAPAALAEVRKLVDNGDYAEATKAAVKLSDHPSDVYQLLGDIKLEFDESHVKYTEGTYSRELDLETATARVKYSVGDVEFTREHFASNPGQVLVTKISASKPGSLSFTVSLDSKLHHHSQANGQNQIILQGSCPGKRIQPKVGLNETPKGIQFTAVLDLQVSQGGVIHNIDDKKLKVEGSDWAVLLLVASSSFDGPFTMPSDSKKDPTSETLNALKSIKTLSYSDLYAHHLDDYQNLFHRVSLQLSKSSKSNLGDGSLEMKEVKSSTKNSHFSESNDGAVSTAERVKSFQTDEDPSFVELLFQYGRYLLISSSRPGTQVSNLQGIWNKDIEPAWDCAPHLNINLQMNYWPSLPCNLKECQEPLFDFISSLAINGSKTAKVNYETSGWVAHQVTDIWAKTSPDRGEAVWALWPMGGAWLCTHLWEHFTYTMDKDFLKNKAYPLLEGCTSFLLDWLIEGPGGYLETNPSTSPEHMFVAPDGKPASVSYSSTMDIAIIREVFSEIVSAAEVLGRKDDALIGKVREAQAKLPPTKIARDGSIMEWAVDFQDPEVHHRHVSHLFGLFPGHMITVEKTPDLCKAVDFTLFKRGMCVTMSSW >KJB47347 pep chromosome:Graimondii2_0_v6:8:2509293:2515171:-1 gene:B456_008G022200 transcript:KJB47347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGEWVLVRKPAEKDFWNPTSMDLADTSKPLKVTFSGPAKHWTDAIPIGNGRLGAMVWGGIASETLQLNEDTLWTGVPGDYTNPEAPAALAEVRKLVDNGDYAEATKAAVKLSDHPSDVYQLLGDIKLEFDESHVKYTEGTYSRELDLETATARVKYSVGDVEFTREHFASNPGQVLVTKISASKPGSLSFTVSLDSKLHHHSQANGQNQIILQGSCPGKRIQPKVGLNETPKGIQFTAVLDLQVSQGGVIHNIDDKKLKVEGSDWAVLLLVASSSFDGPFTMPSDSKKDPTSETLNALKSIKTLSYSDLYAHHLDDYQNLFHRVSLQLSKSSKSNLGDGSLEMKEVKSSTKNSHFSESNDGAVSTAERVKSFQTDEDPSFVELLFQYGRYLLISSSRPGTQVSNLQGIWNKDIEPAWDCAPHLNINLQMNYWPSLPCNLKECQEPLFDFISSLAINGSKTAKVNYETSGWVAHQVTDIWAKTSPDRGEAVWALWPMGGAWLCTHLWEHFTYTMDKDFLKNKAYPLLEGCTSFLLDWLIEGPGGYLETNPSTSPEHMFVAPDGKPASVSYSSTMDIAIIREVFSEIVSAAEVLGRKDDALIGKVREAQAKLPPTKIARDGSIMEWAVDFQDPEVHHRHVSHLFGLFPGHMITVEKTPDLCKAVDFTLFKRGEEGPGWSTTWKTALWARLHNSEHAYRMVKHLISLVDPTHEADFEGGLYSNLFTAHPPFQIDANFGFSAAVAEMLVQSTMKDLYLLPALPRDKWANGCVKGLKARGGVTVNICWQEGDLEEFGLWSMEENSVKRLHYRGTTITAKISTGKVYTFNRQLKCVKTYSLWEAVFS >KJB48190 pep chromosome:Graimondii2_0_v6:8:8516239:8516946:-1 gene:B456_008G056200 transcript:KJB48190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRDISKQIYSYISELDDIEEKKLTLKVDRGHKYVDRRTSVAIYFDVAFDQQSFRFTLGLVVRDVGGEILVSTTVLHSDVASPFTAEAYAGLQAIRLGISMGFSILEIVGDSRTVIKKCQTTYFDRSVIGALIRDIHSKKVHFQEIGFHFVPKTENTYTHILAKEALKMGEGHYLLGGVPGYVRRALEKSWPRLPD >KJB47244 pep chromosome:Graimondii2_0_v6:8:1998114:2001547:1 gene:B456_008G017800 transcript:KJB47244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNHQRTPMYGSGAANMQRNIDEEEDDDVPGGAGGGGEESVDNPQIGFQENGAVVAVMNNGMDEASHAHVYGQGSDSTSAPGNGGADQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGYEIPSGIPAMGTVSVTQRGLSDFPGRSIQPQRAASLNRFREKRKERCFEKKIRYTVRKEVALRMQRKKGQFTSSKAISEEVASASSGWSGTPGSGQDENIQEVLCTHCGISSKKTPMMRRGPAGPRTLCNACGLKWANKGVLRDLSKVSTVAIPDPTVKTAEQSDAEANESEAVTVTPDVVSSSNGDNSTVTAER >KJB47246 pep chromosome:Graimondii2_0_v6:8:1998114:2001185:1 gene:B456_008G017800 transcript:KJB47246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNHQRTPMYGSGAANMQRNIDEEEDDDVPGGAGGGGEESVDNPQIGFQENGAVVAVMNNGMDEASHAHVYGQGSDSTSAPGNGGADQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGYEIPSGIPAMGTVSVTQRGLSDFPGRSIQPQRAASLNRFREKRKERCFEKKIRYTVRKEVALRMQRKKGQFTSSKAISEEVASASSGWSGTPGSGQDENIQEVLCTHCGISSKKTPMMRRGPAGPRTLCNACGLKWANKGVLRDLSKVSTVAIPDPTVKTAEQVLLAQNNSIDDCYLLPFFIVIKS >KJB47245 pep chromosome:Graimondii2_0_v6:8:1998114:1999874:1 gene:B456_008G017800 transcript:KJB47245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNHQRTPMYGSGAANMQRNIDEEEDDDVPGGAGGGGEESVDNPQIGFQENGAVVAVMNNGMDEASHAHVYGQGSDSTSAPGNGGADQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGYEIPSGIPAMGTVSVTQRGLSDFPGRSIQPQRAASLNRFREKRKERCFEKKIRYTVRKEVALRMQRKKGQFTSSKAISEEVASASSGWSGTPGSGQDENIQEVL >KJB47247 pep chromosome:Graimondii2_0_v6:8:1998002:2001348:1 gene:B456_008G017800 transcript:KJB47247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNHQRTPMYGSGAANMQRNIDEEEDDDVPGGAGGGGEESVDNPQIGFQENGAVVAVMNNGMDEASHAHVYGQGSDSTSAPGNGGADQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGYEIPSGIPAMGTVSVTQRGLSDFPGRSIQPQRAASLNRFREKRKERCFEKKIRYTVRKEVALRMQRKKGQFTSSKAISEEVASASSGWSGTPGSGQDENIQEVLCTHCGISSKKTPMMRRGPAGPRTLCNACGLKWANKGVLRDLSKVSTVAIPDPTVKTAEQSDAEANESEAVTVTPDVVSSSNGDNSTVTAER >KJB49203 pep chromosome:Graimondii2_0_v6:8:34147138:34147939:-1 gene:B456_008G110200 transcript:KJB49203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLLSTGKSWYKRFQYDEDVDKPGDVRNILLIVATLIASVTFQAGVTPPGGVWQDDKDGHRAGQAIYACKFTAYYVFLLANTIAFSTSVLVIISLTCRFPFHLEIIIATISMIVTYGSAIFAVTPNELVKFRLIMLAAGVPFIIRGLIQLFNVIFRSNK >KJB51473 pep chromosome:Graimondii2_0_v6:8:50448656:50450340:-1 gene:B456_008G218100 transcript:KJB51473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENPKERKMSVILRKKCIVKAMNEYLSPERHHKLTLSNLDLLSGRFPVTYLYLYYHSRSDRGSINISNNVFESLKTSLAKTLSYYYPFAGRIVEDPQTSQTLIICDNNGALLVEAVANIPLIHLDFYNLNQTLEGILVSCLNPDEFPFPVQVQVTYYTCGGVSITFTFDHALGDATAFGNFLSSWSQLARDMPLSCIPDLDLGRSLPPRLPPTYHPYFNHVFVKCTLEDIRNIPKTGILLKRLYFVDASSINHLQTLASADSNKRTKIEAFSAYVWKIMATSIDESHANCKMGWLVDGRGRLSHSSMSNYIGNVLSVAIEEASIVEIKQGSISAIANNVHRAISKVTNEAHFQDLIDWIECHKPGLMLPRFVLGREGPALVISSGRRFPVAELDFGFGSPVLGTVSSTIENSGVGYMNQRPSARGDGSWVISAILWPQLAAALEYDSVLQPLCASHLQKS >KJB49879 pep chromosome:Graimondii2_0_v6:8:39720716:39723562:1 gene:B456_008G144600 transcript:KJB49879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISQADEIPPNMTLYINNLNEKIKIDQLKKSLHAVFSQFGKILDVLAFKTLKHKGQAWVIFEDVSSATNALRRMQGFPFYDKEMRIQYAKTKSDIIAKADGTFVPREKRKRHEEKGGKKRKEQLDPNQASAGLNPAYAGAYGATPPLSQLPYLGARPIVPEAPAPPNNILFVQNLPHDATTMMLQMLFNQYPGLKDVRTVETKPGIAFVEYENEMQSTVAMQALQGFKIQQNQMLITYAKK >KJB49880 pep chromosome:Graimondii2_0_v6:8:39720873:39723466:1 gene:B456_008G144600 transcript:KJB49880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFAELKKSLHAVFSQFGKILDVLAFKTLKHKGQAWVIFEDVSSATNALRRMQGFPFYDKEMRIQYAKTKSDIIAKADGTFVPREKRKRHEEKGGKKRKEQLDPNQASAGLNPAYAGAYGATPPLSQLPYLGARPIVPEAPAPPNNILFVQNLPHDATTMMLQMLFNQYPGLKDVRTVETKPGIAFVEYENEMQSTVAMQALQGFKIQQNQMLITYAKK >KJB50912 pep chromosome:Graimondii2_0_v6:8:47635114:47636913:-1 gene:B456_008G192800 transcript:KJB50912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRTRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKTKEKVSSKN >KJB50911 pep chromosome:Graimondii2_0_v6:8:47634318:47636992:-1 gene:B456_008G192800 transcript:KJB50911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRTRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRNRRTVNRAYGGSFGPFWLKNRR >KJB50913 pep chromosome:Graimondii2_0_v6:8:47635709:47636797:-1 gene:B456_008G192800 transcript:KJB50913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRTRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRNRRTVNRAYGGVLSGSAVRER >KJB48565 pep chromosome:Graimondii2_0_v6:8:13648691:13651958:1 gene:B456_008G074800 transcript:KJB48565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYAKFCPNNFTIIVVAISLNKICCILSKKSIIGVPLTVDLVISPFMKPKSSSQTESKKPLANTLSFQDIAGAEDKSMATSSPKSSPFNVPSPLSPSPSSSKANKNQKINARNQQKQIISLSLKERGKRKREIKSFYFPILIMRRKCRTIGEIAVMELADVGVQTRAVATTGRVQKKRRRLNDGNKEEERRKVTSSTTSTTSYIQLRSRTILADRHRREGNLCLSLNSDHDDDVSCCSSNIESSDKRIMELPDLEDESVEVETSTHFSSSERETTPLNELRAEPEDLDSTSRPSETNSRRRSTVEKMPTEAELEEFFAPAEKKLQKQFADKYNYDTVQDEPLEGRYEWVRLKP >KJB48564 pep chromosome:Graimondii2_0_v6:8:13648691:13650919:1 gene:B456_008G074800 transcript:KJB48564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYAKFCPNNFTIIVVAISLNKICCILSKKSIIGVPLTVDLVISPFMKPKSSSQTESKKPLANTLSFQDIAGAEDKSMATSSPKSSPFNVPSPLSPSPSSSKANKNQKINARNQQKQIISLSLKERGKRKREIKSFYFPILIMRRKCRTIGEIAVMELADVGVQTRAVATTGRVQKKRRRLNDGNKEEERRKVTSSTTSTTSYIQLRSRTILADRHRREGNLCLSLNSDHDDDVSCCSSNIESSDKRIMELPDLEDESVEVETSTHFSSSER >KJB46996 pep chromosome:Graimondii2_0_v6:8:701126:701560:-1 gene:B456_008G005200 transcript:KJB46996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFGVLILFIFSMFYVAMPNNLTLIPEQITFPHPLCRSQIALVNFACAMVPVLPMPQPPPPPADGNGHRHRHRRRHRHRHRHGSHETPEQRYCCEWLRQMDTLCVCEILYHLPPFLWKPNHKYTVVVDDECSVTFLCEGRQRL >KJB52154 pep chromosome:Graimondii2_0_v6:8:53226830:53227851:-1 gene:B456_008G248200 transcript:KJB52154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDPDFDNSSSGSDIGEKDRDFPSNIEFHRPAKPRVRNTKPWLPSASMKSTNRTGYRDVQSIIHATQSVNLARLDTDSLRRYCRHFKLVGPY >KJB52149 pep chromosome:Graimondii2_0_v6:8:53226492:53227851:-1 gene:B456_008G248200 transcript:KJB52149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDPDFDNSSSGSDIGEKDRDFPSNIEFHRPAKPRVRNTKPWLPSASMKSTNRTGYRDVQSIIHATQSVNLARLDTDSLRRYCRHFKLGSINGYSPREQILNTVQRHFVSQPALNEVKVISEFITAAKRLKTDDAQSEQL >KJB52152 pep chromosome:Graimondii2_0_v6:8:53226187:53229236:-1 gene:B456_008G248200 transcript:KJB52152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDPDFDNSSSGSDIGEKDRDFPSNIEFHRPAKPRVRNTKPWLPSASMKSTNRTGYRDVQSIIHATQSVNLARLDTDSLRRYCRHFKLHQWLFTKGTNT >KJB52148 pep chromosome:Graimondii2_0_v6:8:53226187:53229236:-1 gene:B456_008G248200 transcript:KJB52148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDPDFDNSSSGSDIGEKDRDFPSNIEFHRPAKPRVRNTKPWLPSASMKSTNRTGYRDVQSIIHATQSILQALQTWKHQWLFTKGTNT >KJB52151 pep chromosome:Graimondii2_0_v6:8:53226187:53229236:-1 gene:B456_008G248200 transcript:KJB52151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDPDFDNSSSGSDIGEKDRDFPSNIEFHRPAKPRVRNTKPWLPSASMKSTNRTGYRDVQSIIHATQSVNLARLDTDSLRRYCRHFKLHQWLFTKGTNT >KJB52150 pep chromosome:Graimondii2_0_v6:8:53226707:53227851:-1 gene:B456_008G248200 transcript:KJB52150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDPDFDNSSSGSDIGEKDRDFPSNIEFHRPAKPRVRNTKPWLPSASMKSTNRTGYRDVQSIIHATQSILQALQTWKHQWLFTKGTNT >KJB52147 pep chromosome:Graimondii2_0_v6:8:53226187:53228722:-1 gene:B456_008G248200 transcript:KJB52147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDPDFDNSSSGSDIGEKDRDFPSNIEFHRPAKPRVRNTKPWLPSASMKSTNRTGYRDVQSIIHATQSVNLARLDTDSLRRYCRHFKLGSINGYSPREQILNTVQRHFVSQPALNEVKVISEFITAAKRLKTDDAQSEQL >KJB52146 pep chromosome:Graimondii2_0_v6:8:53226492:53227851:-1 gene:B456_008G248200 transcript:KJB52146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDPDFDNSSSGSDIGEKDRDFPSNIEFHRPAKPRVRNTKPWLPSASMKSTNRTGYRDVQSIIHATQSVNLARLDTDSLRRYCRHFKLGSINGYSPREQILNTVQRHFVSQPALNEVKVISEFITAAKRLKTDDAQSEQL >KJB52153 pep chromosome:Graimondii2_0_v6:8:53226275:53229204:-1 gene:B456_008G248200 transcript:KJB52153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDPDFDNSSSGSDIGEKDRDFPSNIEFHRPAKPRVRNTKPWLPSASMKSTNRTGYRDVQSIIHATQSALQTCRSLLTLAFNELLYLSNSSNSSNSWGSFIFI >KJB52106 pep chromosome:Graimondii2_0_v6:8:53235271:53237510:-1 gene:B456_008G248300 transcript:KJB52106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSREGRSVSPGRRRQPRSGRSRSRSRSRESQDASNPGNNLYVTGLSTRVTAVDLEKYFSNEGKVLECHLVTDPHTKESRGFAFVTMETVEGAERCIKYLNRSVLEGRLITVEKAKRSRGRTPTPGRYQGVRDRRGQGHRRSRSYSPRQNDRDYHSRGRRGRPRSRSPYGRRRDDPDLHRRRRERSLSGDGSGHRR >KJB52107 pep chromosome:Graimondii2_0_v6:8:53235271:53239195:-1 gene:B456_008G248300 transcript:KJB52107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSREGRSVSPGRRRQPRSGRSRSRSRSRESQDASNPGNNLYVTGLSTRVTAVDLEKYFSNEGKVLECHLVTDPHTKESRGFAFVTMETVEGAERCIKYLNRSVLEGRLITVEKAKRSRGRTPTPGRYQGVRDRRGQGHRRSRSYSPRQNDRDYHSRGRRGRPRSRSPYGRRRDDPDLHRRRRERSLSGDGSGHRR >KJB52109 pep chromosome:Graimondii2_0_v6:8:53235167:53238005:-1 gene:B456_008G248300 transcript:KJB52109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSREGRSVSPGRRRQPRSGRSRSRSRSRESQDASNPGNNLYVTGLSTRVTAVDLEKYFSNEGKVLECHLVTDPHTKESRGFAFVTMETVEGAERCIKYLNRSVLEGRLITVEKAKRSRGRTPTPGRYQGVRDRRGQGHRRSRSYSPRQNDRDYHSRGRRGRPRSRSPYGRRRDDPDLHRRRRERSLSGDGSGHRR >KJB52108 pep chromosome:Graimondii2_0_v6:8:53235271:53237964:-1 gene:B456_008G248300 transcript:KJB52108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSREGRSVSPGRRRQPRSGRSRSRSRSRESQDASNPGNNLYVTGLSTRVTAVDLEKYFSNEGKVLECHLVTDPHTKESRGFAFVTMETVEGAERCIKYLNRSVLEGRLITVEKAKRSRGRTPTPGRYQGQGHRRSRSYSPRQNDRDYHSRGRRGRPRSRSPYGRRRDDPDLHRRRRERSLSGDGSGHRR >KJB51241 pep chromosome:Graimondii2_0_v6:8:49327842:49329310:1 gene:B456_008G208400 transcript:KJB51241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPEELPSKEQPCSTSGNTDEAFVQRVHEHAPRPGKEPEEQPCSTSDIKRPELTFKLGKEKACSSSNTSKTLAHNAEAPTSSNLCTTCPPKLALQFKNLVEDWVMPTLQSELTSSSDDDWLFQKKQNLNTEVKTHKDGNLNSNQMSSATWPRACFLPEAGIYALAFTVPF >KJB47168 pep chromosome:Graimondii2_0_v6:8:1583188:1586544:-1 gene:B456_008G013800 transcript:KJB47168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial phosphate carrier protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G17270) UniProtKB/Swiss-Prot;Acc:Q7DNC3] MKRAERSFREEFSPGYYGICTVGGMLSAGTTHLAVTPLDVLKVNMQVNPIKYSSISSGFSALWREQGPSSLWRGWSGKLFGYGVQGGCRFGLYEYFKELYSNVLADQDKTFIFFLSSASAQVFADVALCPFEAIKVRVQTQRTFAKGLADGFPKLYKTEGLAGFYKGLCPLWGRNLPFSMIMFSTFEHSVDFIYGSIIKQRKQDCSRAQQLGVTCLAGYAAGAVGTVVSNPADVVVSSLYNKKAENVLQAVRKIGFVNLFTRSLPVRITLVGPVITLQWFFYDTIKVLCGLPTSGGLNRQLQEANLSS >KJB51988 pep chromosome:Graimondii2_0_v6:8:52748051:52751593:1 gene:B456_008G241300 transcript:KJB51988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIHQFIVSHALFFLILSCFGIKTLFTISLAATEKEILLQFKGNITDDPYNSLTSWVPSGNPCVDFSGVFCNPEGFVDRIVLWNTSLSGRLSAALSGLSSLRVLTLFGNRFSGNIPQEFSQLQTLWKINVSSNVLSGSIPDFIGDLPNIRFLDFSKNGYTGEIPFALFKHCYKTKYVSFSHNGLSGSIPESIANCSNLEGFDFSFNNLTGELPSRICDISVLKYVSVGSNALSGSVVEEISKCQSLLGLDLSRNSFTGFAPLGVLEFKNFTYFNVSHNRFFGEISVIGTCSGTLEFIDASWNSLDGEIPTSISSCKSLKVLDLGFNKLNGTIPANIGDLGSLRAISLANNSLGGTIPAGFGSIELLLVLDLHNLNLAGGIPEDISNCRFLLELDVSGNMLEGQIPDSFYNMSNLEVLDLHHNRLNGSIPSSLGNLSKIQLLDLSQNSLSGSIPPSLGNLNMLTHFNLSYNNLSGVIPTDQTIQSFGPSAFFNNTGLCGSPLASCSGSATSSPSGKTEVLSVSAIVAIVAAAVILTGVCVVTIMNIRARKSKKQEVTVVVESTPPGSSDSNVIIGKLVLFSKSLPSKYEDWEAGTKALLDKECLIGGGSIGTVYRTSFEGGIAIAVKKLETLGRLRNQDEFEQEIGRLGNLQHQNLVAFQGYYWSSSMQLILSEFIPNGNLYDNLHGMNYPGTSSGVGNTELGWSRRFNIALGTARALSYLHHDCRPPILHLNIKSTNILLDENYEAKLSDYGLGKLLPILDNYGLTKSHNAVGYVAPELAQSMRLCEKCDVYSFGVILLELVTGRKPVENPTVNEVVILCEYVRGLLERGSASACFDSRLRGFAENELIQVMKLGLICTSEVPSRRPSMAEVVQVLESIRSGIES >KJB49371 pep chromosome:Graimondii2_0_v6:8:34890755:34893771:-1 gene:B456_008G116200 transcript:KJB49371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFESHHLRKPTGKSAFSGLGAGEPGNSVQESYVFPMVLGDMKGTTNSLTHTAAAMMPSSIFIWRFQVTLFLVWGFSCCKIGWDSVMRMNSDLRDLFLYEAFLYYNPLLLVTMMVWLWGVCLWVFSQSTISYVKIFDIDQNHLTHREIWKCSTWLTIIVPTSMTAYLYLYSHGEVSLAASQPVILYIAFALVLVFPFDIFYLSSRYFFLKTLWRIAFPLQPISFPDFFLADILTSMAKVFSDLERSACRMLHNQVATIAWFEADSICGSHSVAIPLVLVIPYIWRLMQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLPDRWTYVYHPLWLISSFVNSLYSFYWDVTRDWDLSVFTRIFKFNKPSWWSDLLYGQNWVYYWVIGSNLILRCTWTYKLSAHLRHNYLTVFTITTLEMLRRFQWIFFRVENEWNKISKSSIQLPMTDMPNEDEKLVDLSDHTV >KJB49374 pep chromosome:Graimondii2_0_v6:8:34890755:34893571:-1 gene:B456_008G116200 transcript:KJB49374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGDMKGTTNSLTHTAAAMMPSSIFIWRFQVTLFLVWGFSCCKIGWDSVMRMNSDLRDLFLYEAFLYYNPLLLVTMMVWLWGVCLWVFSQSTISYVKIFDIDQNHLTHREIWKCSTWLTIIVPTSMTAYLYLYSHGEVSLAASQPVILYIAFALVLVFPFDIFYLSSRYFFLKTLWRIAFPLQPISFPDFFLADILTSMAKVFSDLERSACRMLHNQVATIAWFEADSICGSHSVAIPLVLVIPYIWRLMQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLPDRWTYVYHPLWLISSFVNSLYSFYWDVTRDWDLSVFTRIFKFNKPSWWSDLLYGQNWVYYWVIGSNLILRCTWTYKLSAHLRHNYLTVFTITTLEMLRRFQWIFFRVENEWNKISKSSIQLPMTDMPNEDEKLVDLSDHTV >KJB49370 pep chromosome:Graimondii2_0_v6:8:34890501:34894052:-1 gene:B456_008G116200 transcript:KJB49370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFESHHLRKPTGKSAFSGLGAGEPGNSVQESYVFPMVLGDMKGTTNSLTHTAAAMMPSSIFIWRFQVTLFLVWGFSCCKIGWDSVMRMNSDLRDLFLYEAFLYYNPLLLVTMMVWLWGVCLWVFSQSTISYVKIFDIDQNHLTHREIWKCSTWLTIIVPTSMTAYLYLYSHGEVSLAASQPVILYIAFALVLVFPFDIFYLSSRYFFLKTLWRIAFPLQPISFPDFFLADILTSMAKVFSDLERSACRMLHNQVATIAWFEADSICGSHSVAIPLVLVIPYIWRLMQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLPDRWTYVYHPLWLISSFVNSLYSFYWDVTRDWDLSVFTRIFKFNKPSWWSDLLYGQNWVYYWVIGSNLILRCTWTYKLSAHLRHNYLTVFTITTLEMLRRFQWIFFRVENEWNKISKSSIQLPMTDMPNEDEKLVDLSDHTV >KJB49375 pep chromosome:Graimondii2_0_v6:8:34890755:34893813:-1 gene:B456_008G116200 transcript:KJB49375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGDMKGTTNSLTHTAAAMMPSSIFIWRFQVTLFLVWGFSCCKTMMVWLWGVCLWVFSQSTISYVKIFDIDQNHLTHREIWKCSTWLTIIVPTSMTAYLYLYSHGEVSLAASQPVILYIAFALVLVFPFDIFYLSSRYFFLKTLWRIAFPLQPISFPDFFLADILTSMAKVFSDLERSACRMLHNQVATIAWFEADSICGSHSVAIPLVLVIPYIWRLMQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLPDRWTYVYHPLWLISSFVNSLYSFYWDVTRDWDLSVFTRIFKFNKPSWWSDLLYGQNWVYYWVIGSNLILRCTWTYKLSAHLRHNYLTVFTITTLEMLRRFQWIFFRVENEWNKISKSSIQLPMTDMPNEDEKLVDLSDHTV >KJB49373 pep chromosome:Graimondii2_0_v6:8:34890755:34893051:-1 gene:B456_008G116200 transcript:KJB49373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMNSDLRDLFLYEAFLYYNPLLLVTMMVWLWGVCLWVFSQSTISYVKIFDIDQNHLTHREIWKCSTWLTIIVPTSMTAYLYLYSHGEVSLAASQPVILYIAFALVLVFPFDIFYLSSRYFFLKTLWRIAFPLQPISFPDFFLADILTSMAKVFSDLERSACRMLHNQVATIAWFEADSICGSHSVAIPLVLVIPYIWRLMQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLPDRWTYVYHPLWLISSFVNSLYSFYWDVTRDWDLSVFTRIFKFNKPSWWSDLLYGQNWVYYWVIGSNLILRCTWTYKLSAHLRHNYLTVFTITTLEMLRRFQWIFFRVENEWNKISKSSIQLPMTDMPNEDEKLVDLSDHTV >KJB49372 pep chromosome:Graimondii2_0_v6:8:34890755:34893229:-1 gene:B456_008G116200 transcript:KJB49372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMNSDLRDLFLYEAFLYYNPLLLVTMMVWLWGVCLWVFSQSTISYVKIFDIDQNHLTHREIWKCSTWLTIIVPTSMTAYLYLYSHGEVSLAASQPVILYIAFALVLVFPFDIFYLSSRYFFLKTLWRIAFPLQPISFPDFFLADILTSMAKVFSDLERSACRMLHNQVATIAWFEADSICGSHSVAIPLVLVIPYIWRLMQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLPDRWTYVYHPLWLISSFVNSLYSFYWDVTRDWDLSVFTRIFKFNKPSWWSDLLYGQNWVYYWVIGSNLILRCTWTYKLSAHLRHNYLTVFTITTLEMLRRFQWIFFRVENEWNKISKSSIQLPMTDMPNEDEKLVDLSDHTV >KJB49369 pep chromosome:Graimondii2_0_v6:8:34890536:34894052:-1 gene:B456_008G116200 transcript:KJB49369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFESHHLRKPTGKSAFSGLGAGEPGNSVQESYVFPMVLGDMKGTTNSLTHTAAAMMPSSIFIWRFQVTLFLVWGFSCCKIGWDSVMRMNSDLRDLFLYEAFLYYNPLLLVTMMVWLWGVCLWVFSQSTISYVKIFDIDQNHLTHREIWKCSTWLTIIVPTSMTAYLYLYSHGEVSLAASQPVILYIAFALVLVFPFDIFYLSSRYFFLKTLWRIAFPLQPISFPDFFLADILTSMAKVFSDLERSACRMLHNQVATIAWFEADSICGSHSVAIPLVLVIPYIWRLMQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLPDRWTYVYHPLWLISSFVNSLYSFYWDVTRDWDLSVFTRIFKFNKPSWWSDLLYGQNWVYYWVIGSNLILRCTWTYKLSAHLRHNYLTVFTITTLEMLRRFQWIFFRVENEWNKISKSSIQLPMTDMPNEDEKLVDLSDHTV >KJB47733 pep chromosome:Graimondii2_0_v6:8:5132259:5132662:-1 gene:B456_008G039900 transcript:KJB47733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHIQNKLNILGDNGAVISGLRDLEAVTISVLESVLSFISRPVAESKSSHWSLVSKLMYQKKVMCEEEQKVNKFLSAEATVRSCIKSENMKHVENMQKVTVLNIIRC >KJB50082 pep chromosome:Graimondii2_0_v6:8:41111088:41111928:-1 gene:B456_008G152900 transcript:KJB50082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYGKRLTDFISVRHLFFFLFVHQPPPLLLISVSLASPPNPSLVISSSLPSLHFEEAPKSSFPILSSMFDTKKLVHLSFFVV >KJB50081 pep chromosome:Graimondii2_0_v6:8:41110151:41112090:-1 gene:B456_008G152900 transcript:KJB50081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYGKRLTDFISVRHLFFFLFVHQPPPLLLISVSLASPPNPSLVISSSLPSLHFEEAPKSSFPILSSFMSLEAPLARMQLVMYALL >KJB50239 pep chromosome:Graimondii2_0_v6:8:42562866:42565949:-1 gene:B456_008G160000 transcript:KJB50239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPVQVVRSGYIFLVLMGFLALLEGVKGDPRAKTVNISCGHQLEHNGTIYVSNFVATMENISEQMRDSGFGTAVTGSGLDTNYGLAQCYGDLSSLDCVLCYAEARTVLPQCYPFNGGRIFLDGCFMRAENYSFFEEHTGPDDRAVCGNTSRKGLNFQESARQAVTHAVAAALENEGYAKAQVAVLGKNESAYVLANCWRTLNNTSCKTCLENASASVLGCLPWSEGRALNTGCFIRYSDTDFLNKEPGNGISRGTVIIIVVSIVSSLVILAIGVAIGVYIWKYRYIQKKRRGSNDAEKFVKLLHDSNLNFKYSTLDRATGFFNDANKLGQGGFGTVYKGVLPDGREIAVKRLFFNNRHRAADFYNEVKLISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFIFDPIRGKLLDWEKRYVVIIGTVEGLVYLHENSETKIIHRDIKASNILLDSKLRAKIADFGLARSFQEDQSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEIVTGKQNNKSKATEYSDSIVTVTWRHFQLGTMEEIYDPNLMLHNDNHGSNVRNEIFRVVQIGLLCTQEIPSLRPSMSKMEFNETSDNECYPLNRADNDSIATVTHSSFYGR >KJB50237 pep chromosome:Graimondii2_0_v6:8:42562747:42567381:-1 gene:B456_008G160000 transcript:KJB50237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPVQVVRSGYIFLVLMGFLALLEGVKGDPRAKTVNISCGHQLEHNGTIYVSNFVATMENISEQMRDSGFGTAVTGSGLDTNYGLAQCYGDLSSLDCVLCYAEARTVLPQCYPFNGGRIFLDGCFMRAENYSFFEEHTGPDDRAVCGNTSRKGLNFQESARQAVTHAVAAALENEGYAKAQVAVLGKNESAYVLANCWRTLNNTSCKTCLENASASVLGCLPWSEGRALNTGCFIRYSDTDFLNKEPGNGISRGTVIIIVVSIVSSLVILAIGVAIGVYIWKYRYIQKKRRGSNDAEKFVKLLHDSNLNFKYSTLDRATGFFNDANKLGQGGFGTVYKGVLPDGREIAVKRLFFNNRHRAADFYNEVKLISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFIFDPIRGKLLDWEKRYVVIIGTVEGLVYLHENSETKIIHRDIKASNILLDSKLRAKIADFGLARSFQEDQSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEIVTGKQNNKSKATEYSDSIVTVTWRHFQLGTMEEIYDPNLMLHNDNHGSNVRNEIFRVVQIGLLCTQEIPSLRPSMSKVLHLLTKKDEDLPAPTNPPFLDEKMEFNETSDNECYPLNRADNDSIATVTHSSFYGR >KJB50236 pep chromosome:Graimondii2_0_v6:8:42562753:42567013:-1 gene:B456_008G160000 transcript:KJB50236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPVQVVRSGYIFLVLMGFLALLEGVKGDPRAKTVNISCGHQLEHNGTIYVSNFVATMENISEQMRDSGFGTAVTGSGLDTNYGLAQCYGDLSSLDCVLCYAEARTVLPQCYPFNGGRIFLDGCFMRAENYSFFEEHTGPDDRAVCGNTSRKGLNFQESARQAVTHAVAAALENEGYAKAQVAVLGKNESAYVLANCWRTLNNTSCKTCLENASASVLGCLPWSEGRALNTGCFIRYSDTDFLNKEPGNGISRGTVIIIVVSIVSSLVILAIGVAIGVYIWKYRYIQKKRRGSNDAEKFVKLLHDSNLNFKYSTLDRATGFFNDANKLGQGGFGTVYKGVLPDGREIAVKRLFFNNRHRAADFYNEVKLISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFIFDPIRGKLLDWEKRYVVIIGTVEGLVYLHENSETKIIHRDIKASNILLDSKLRAKIADFGLARSFQEDQSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEIVTGKQNNKSKATEYSDSIVTVTWRHFQLGTMEEIYDPNLMLHNDNHGSNVRNEIFRVVQIGLLCTQEIPSLRPSMSKVLHLLTKKDEDLPAPTNPPFLDEKMEFNETSDNECYPLNRADNDSIATVTHSSFYGR >KJB50238 pep chromosome:Graimondii2_0_v6:8:42562753:42566746:-1 gene:B456_008G160000 transcript:KJB50238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPVQVVRSGYIFLVLMGFLALLEGVKGDPRAKTVNISCGHQLEHNGTIYVSNFVATMENISEQMRDSGFGTAVTGSGLDTNYGLAQCYGDLSSLDCVLCYAEARTVLPQCYPFNGGRIFLDGCFMRAENYSFFEEHTGPDDRAVCGNTSRKGLNFQESARQAVTHAVAAALENEGYAKAQVAVLGKNESAYVLANCWRTLNNTSCKTCLENASASVLGCLPWSEGRALNTGCFIRYSDTDFLNKEPGNGISRGTVIIIVVSIVSSLVILAIGVAIGVYIWKYRYIQKKRRGSNDAEKFVKLLHDSNLNFKYSTLDRATGFFNDANKLGQGGFGTVYKGVLPDGREIAVKRLFFNNRHRAADFYNEVKLISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFIFDPIRGKLLDWEKRYVVIIGTVEGLVYLHENSETKIIHRDIKASNILLDSKLRAKIADFGLARSFQEDQSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEIVTGKQNNKSKATEYSDSIVTVTWRHFQLGTMEEIYDPNLMLHNDNHGSNVRNEIFRVVQIGLLCTQEIPSLRPSMSKVLHLLTKKDEDLPAPTNPPFLDEKMEFNETSDNECYPLNRADNDSIATVTHSSFYGR >KJB47745 pep chromosome:Graimondii2_0_v6:8:5081468:5081530:-1 gene:B456_008G0395001 transcript:KJB47745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRSNSFPRSSRQHPLATE >KJB49182 pep chromosome:Graimondii2_0_v6:8:33042721:33043825:-1 gene:B456_008G105200 transcript:KJB49182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAYSISQTLIYNCNRQLKALDFVKIKLSSDSDQTKPNGVSGFQAKRQCLRCKTLYSDADNSPTSCSFHGHATGEKGLFALAPPHQGIDGDWTDGSGVIVYKWNNENNRPNTGRANWKKRWSCCQEFDENAPPCIRGWHVSYDDGFTLY >KJB49183 pep chromosome:Graimondii2_0_v6:8:33042928:33043741:-1 gene:B456_008G105200 transcript:KJB49183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAYSISQTLIYNCNRQLKALDFVKIKLSSDSDQTKPNGVSGFQAKRQCLRCKTLYSDADNSPTSCSFHGHATESLQKFAGEKGLFALAPPHQGIDGDWTDGSGVIVYKWNNENNRPNTGRANWKKRWSCCQEFDENAPPCIRGWHVSYDDGFTLY >KJB47666 pep chromosome:Graimondii2_0_v6:8:4335881:4337235:-1 gene:B456_008G035700 transcript:KJB47666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDDRLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLNRGIDPATHRPLNEAGVHQDVSSTISFNGVKQEKDKMNNPNGFVETDEKKIPAVEERCPDLNLDLRISPPLYHQTQQHADPFKTGGKTLCLFCSLGVKNSRQCICSIDTAASSSGNNTNTAYDFLGLKTGFLDYRSLEMK >KJB52567 pep chromosome:Graimondii2_0_v6:8:54667074:54669226:-1 gene:B456_008G268000 transcript:KJB52567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAIPISRQPSNYDEISMQQSLLFSDSLKDLKNLRSQLYTAAEYFELSYTNDDQKQIVVETLKDYTIKALVNTIDHLGSVTYKVNDLLDEKVEEVSGTELRVSCIEQRLRTCHEYIDHEGISQQSSVINTPKYNKRYILPVGETMHGANLTKSKYVGCSLDDEDDWHQFKNAVQATIRETPTSSVRETPTSSASFRKGRSLPPSPWPPQRSSTFSFTSTVPKKELGRMHVLLHYIFELQSYACL >KJB52566 pep chromosome:Graimondii2_0_v6:8:54666323:54669905:-1 gene:B456_008G268000 transcript:KJB52566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAIPISRQPSNYDEISMQQSLLFSDSLKDLKNLRSQLYTAAEYFELSYTNDDQKQIVVETLKDYTIKALVNTIDHLGSVTYKVNDLLDEKVEEVSGTELRVSCIEQRLRTCHEYIDHEGISQQSSVINTPKYNKRYILPVGETMHGANLTKSKYVGCSLDDEDDWHQFKNAVQATIRETPTSSVRETPTSSASFRKGRSLPPSPWPPQRSSTFSFTSTVPKKELEKRTVSPHRFPLLRTGSMSRPTTPNKSRPTTPNSAGARRRYPSEPRKSASMRLQTEKDIEQVPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >KJB52569 pep chromosome:Graimondii2_0_v6:8:54667489:54669736:-1 gene:B456_008G268000 transcript:KJB52569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAIPISRQPSNYDEISMQQSLLFSDSLKDLKNLRSQLYTAAEYFELSYTNDDQKQIVVETLKDYTIKALVNTIDHLGSVTYKVNDLLDEKVEEVSGTELRVSCIEQRLRTCHEYIDHEGISQQSSVINTPKYNKRYILPVGETMHGANLTKSKYVGCSLDDEDDWHQFKNAVQATIRETPTSSVRETPTSSAR >KJB52568 pep chromosome:Graimondii2_0_v6:8:54666323:54669858:-1 gene:B456_008G268000 transcript:KJB52568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAIPISRQPSNYDEISMQQSLLFSDSLKDLKNLRSQLYTAAEYFELSYTNDDQKQIVVETLKDYTIKALVNTIDHLGSVTYKVNDLLDEKVEEVSGTELRVSCIEQRLRTCHEYIDHEGISQQSSVINTPKYNKRYILPVGETMHGANLTKSKYVGCSLDDEDDWHQFKNAVQATIRETPTSSVRETPTSSASFRKGRSLPPSPWPPQRSSTFSFTSTVPKKELEKRTVSPHRFPLLRTGSMSRPTTPNKSRPTTPNSAGARRRYPSEPRKSASMRLQTEKDIEQVPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >KJB52586 pep chromosome:Graimondii2_0_v6:8:54744297:54747230:-1 gene:B456_008G268900 transcript:KJB52586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDRLRPSEPGSSGVTQQQNHEEPKAKNNKRKIVILSVIALTMIVASAVCAGLVIGLREAGADPVGTQVRRKPTQAISKTCSRTRFPNLCVNSLLEFPGSLTANEQDLVHISFNMTLQHFSKALYLANSISFVQMDPRVRSAYDDCLELLDDSVDALSRSLSSIIPSQDGGNNGGGSPQDVMTWLSAALTNHDTCTEGFDGVSGTVKDQVTDKLKDLSELVSNCLSIFAASGGDDFAGVPIQNRRLLSADDDLSGGNVDEENFPKWLGRKERELLNKPVSGIQADITVSKDGSGTVKTIAEAIKKAPEHSTRRIIIYVKAGRYEEDNLKVGRKKINLMFIGDGKGKTVITGGKSVAEHMTTFHTAAFAATGAGFIARDMTFENYAGPAKHQAVALRVGADHAVVYRCNIIGYQDTLYVHSNRQFYRECDVYGTVDFIFGNAAVVLQNCSLYARKPMPQQKNTITAQNRKDPNQNTGISIHACRIIPTQDLAAAKSSFPTYLGRPWKLYSRTVYMLSYMGNHVHSRGWLEWSGTFALDTLYYGEYMNSGPGAAVGQRVRWPGYRVITSEVEASKFTVAKFIYGTSWLPSTGVAFFSGLQV >KJB46982 pep chromosome:Graimondii2_0_v6:8:602180:607823:-1 gene:B456_008G004200 transcript:KJB46982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNREGRRSNIAHSNGLHKRRQRNNNIQDGEMEMQETARLRERTSKRDRERDLSNRSKRRRSNKVVLRESDKREDGEESTEESSGGEEEEEENETEQQQKQLSSRKISPSARISGQATHLKASDEMMMSFPVPRKARSASVKRSHENWVAGNGGFVDEQNHLRGSVSPARRSVESDRVSPSSSNGSVRKKMTNRPKTRLPKATKSSSSAQEDIEIEIAEVLYGLMKQSHSSKKEDSAGNPSSKLESEDANVFSTDIKTSSSPQIACSALSQSQTTVLKDPLTGLASNKSKVEVVDSPTPLKVENEQQAEMGICSPKRGQILGLNAAISDNGVAKTAPVVMESWENAMLIKQGDPKPSAVEEPNSVDRAVTKEKSVTTKKGSANLGVDYQNPTATEPVSAMTIVESQLEEKFKIDLMAPPMASSPVKDGCVDIMSDPNCKFQDAESVETLVKDEAKLVEKEMKAEDSKGKKMDTINGKRESLNLNLEKPDQAASDCCTFELGQKPQLSKVGISKVTQTASSSSVPVPNGLPPLGYMPPFQTFLPMDASVGSSMALQPFHFLLSQPRPKRCVMHHYIAQNIQSHQQYAKMNHFLPPQTGSVSLSGGKPCNFNVAPAAETLILGNPLVSLGPTLEKSKVAASFPGLTRKDKISECSKDATKKKQVVVQQASQPLSTGTLKHGPAFIFPLSQYQTTANPSGPSKSATSTGKASLTDNSTLGISTSSTVLPGVAGAVSFNYPNLAANQAPYLTILQNNGYPFSISAPVGNPSAIRGGTPSQSLPFFNGPFHSSQMFHPQLQQQQARSQPLVQPAYQNTVPSSGSSSSHKQPESQQPRGGQVCGNNFLSSTSMHSQQLQEHNVLSSNQSRKMEQEMSGERPIANAQKKVHSQNPPLPHQPLNFAFVPSASVGGGGVDLVPTQAFAMSFASTGNNKASNLNFSSMAQNPAILHSLPELARQGYQVAPAPQVAQQKNHQISDGKSGSSSTSKDDGKKASSGKSHTTNGQTYFFDNSARSLNFVSSTVSGNRTPCSITSTTVAISPPIVANSSNSHQQLLQLQKQHMVQQHHQQPPTASRNKVQTTNTMPASSIAAKFSSNAAIYTHTVPQSNPAAQSTPWKNSARTPASPATNVKTFPQQPLRPPQGQTQISFGVNTKSVLSPQAQEIPTAASLHRL >KJB46981 pep chromosome:Graimondii2_0_v6:8:602164:607872:-1 gene:B456_008G004200 transcript:KJB46981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNREGRRSNIAHSNGLHKRRQRNNNIQDGEMEMQETARLRERTSKRDRERDLSNRSKRRRSNKVVLRESDKREDGEESTEESSGGEEEEEENETEQQQKQLSSRKISPSARISGQATHLKASDEMMMSFPVPRKARSASVKRSHENWVAGNGGFVDEQNHLRGSVSPARRSVESDRVSPSSSNGSVRKKMKTNRPKTRLPKATKSSSSAQEDIEIEIAEVLYGLMKQSHSSKKEDSAGNPSSKLESEDANVFSTDIKTSSSPQIACSALSQSQTTVLKDPLTGLASNKSKVEVVDSPTPLKVENEQQAEMGICSPKRGQILGLNAAISDNGVAKTAPVVMESWENAMLIKQGDPKPSAVEEPNSVDRAVTKEKSVTTKKGSANLGVDYQNPTATEPVSAMTIVESQLEEKFKIDLMAPPMASSPVKDGCVDIMSDPNCKFQDAESKVETLVKDEAKLVEKEMKAEDSKGKKMDTINGKRESLNLNLEKPDQAASDCCTFELGQKPQLSKVGISKVTQTASSSSVPVPNGLPPLGYMPPFQTFLPMDASVGSSMALQPFHFLLSQPRPKRCVMHHYIAQNIQSHQQYAKMNHFLPPQTGSVSLSGGKPCNFNVAPAAETLILGNPLVSLGPTLEKSKVAASFPGLTRKDKISECSKDATKKKQVVVQQASQPLSTGTLKHGPAFIFPLSQYQTTANPSGPSKSATSTGKASLTDNSTLGISTSSTVLPGVAGAVSFNYPNLAANQAPYLTILQNNGYPFSISAPVGNPSAIRGGTPSQSLPFFNGPFHSSQMFHPQLQQQQARSQPLVQPAYQNTVPSSGSSSSHKQPESQQPRGGQVCGNNFLSSTSMHSQQLQEHNVLSSNQSRKMEQEMSGERPIANAQKKVHSQNPPLPHQPLNFAFVPSASVGGGGVDLVPTQAFAMSFASTGNNKASNLNFSSMAQNPAILHSLPELARQGYQVAPAPQVAQQKNHQISDGKSGSSSTSKDDGKKASSGKSHTTNGQTYFFDNSARSLNFVSSTVSGNRTPCSITSTTVAISPPIVANSSNSHQQLLQLQKQHMVQQHHQQPPTASRNKVQTTNTMPASSIAAKFSSNAAIYTHTVPQSNPAAQSTPWKNSARTPASPATNVKTFPQQPLRPPQGQTQISFGVNTKSVLSPQAQEIPTAASLHRL >KJB46984 pep chromosome:Graimondii2_0_v6:8:602180:607823:-1 gene:B456_008G004200 transcript:KJB46984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNREGRRSNIAHSNGLHKRRQRNNNIQDGEMEMQETARLRERTSKRDRERDLSNRSKRRRSNKVVLRESDKREDGEESTEESSGGEEEEEENETEQQQKQLSSRKISPSARISGQATHLKASDEMMMSFPVPRKARSASVKRSHENWVAGNGGFVDEQNHLRGSVSPARRSVESDRVSPSSSNGSVRKKMKTNRPKTRLPKATKSSSSAQEDIEIEIAEVLYGLMKQSHSSKKEDSAGNPSSKLESEDANVFSTDIKTSSSPQIACSALSQSQTTVLKDPLTGLASNKSKVEVVDSPTPLKVENEQQAEMGICSPKRGQILGLNAAISDNGVAKTAPVVMESWENAMLIKQGDPKPSAVEEPNSVDRAVTKEKSVTTKKGSANLGVDYQNPTATEPVSAMTIVESQLEEKFKIDLMAPPMASSPVKDGCVDIMSDPNCKFQDAESVETLVKDEAKLVEKEMKAEDSKGKKMDTINGKRESLNLNLEKPDQAASDCCTFELGQKPQLSKVGISKVTQTASSSSVPVPNGLPPLGYMPPFQTFLPMDASVGSSMALQPFHFLLSQPRPKRCVMHHYIAQNIQSHQQYAKMNHFLPPQTGSVSLSGGKPCNFNVAPAAETLILGNPLVSLGPTLEKSKVAASFPGLTRKDKISECSKDATKKKQVVVQQASQPLSTGTLKHGPAFIFPLSQYQTTANPSGPSKSATSTGKASLTDNSTLGISTSSTVLPGVAGAVSFNYPNLAANQAPYLTILQNNGYPFSISAPVGNPSAIRGGTPSQSLPFFNGPFHSSQMFHPQLQQQQARSQPLVQPAYQNTVPSSGSSSSHKQPESQQPRGGQVCGNNFLSSTSMHSQQLQEHNVLSSNQSRKMEQEMSGERPIANAQKKVHSQNPPLPHQPLNFAFVPSASVGGGGVDLVPTQAFAMSFASTGNNKASNLNFSSMAQNPAILHSLPELARQGYQVAPAPQVAQQKNHQISDGKSGSSSTSKDDGKKASSGKSHTTNGQTYFFDNSARSLNFVSSTVSGNRTPCSITSTTVAISPPIVANSSNSHQQLLQLQKQHMVQQHHQQPPTASRNKVQTTNTMPASSIAAKFSSNAAIYTHTVPQSNPAAQSTPWKNSARTPASPATNVKTFPQQPLRPPQGQTQISFGVNTKSVLSPQAQEIPTAASLHRL >KJB46983 pep chromosome:Graimondii2_0_v6:8:602187:607823:-1 gene:B456_008G004200 transcript:KJB46983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNREGRRSNIAHSNGLHKRRQRNNNIQDGEMEMQETARLRERTSKRDRERDLSNRSKRRRSNKVVLRESDKREDGEESTEESSGGEEEEEENETEQQQKQLSSRKISPSARISGQATHLKASDEMMMSFPVPRKARSASVKRSHENWVAGNGGFVDEQNHLRGSVSPARRSVESDRVSPSSSNGSVRKKMTNRPKTRLPKATKSSSSAQEDIEIEIAEVLYGLMKQSHSSKKEDSAGNPSSKLESEDANVFSTDIKTSSSPQIACSALSQSQTTVLKDPLTGLASNKSKVEVVDSPTPLKVENEQQAEMGICSPKRGQILGLNAAISDNGVAKTAPVVMESWENAMLIKQGDPKPSAVEEPNSVDRAVTKEKSVTTKKGSANLGVDYQNPTATEPVSAMTIVESQLEEKFKIDLMAPPMASSPVKDGCVDIMSDPNCKFQDAESKVETLVKDEAKLVEKEMKAEDSKGKKMDTINGKRESLNLNLEKPDQAASDCCTFELGQKPQLSKVGISKVTQTASSSSVPVPNGLPPLGYMPPFQTFLPMDASVGSSMALQPFHFLLSQPRPKRCVMHHYIAQNIQSHQQYAKMNHFLPPQTGSVSLSGGKPCNFNVAPAAETLILGNPLVSLGPTLEKSKVAASFPGLTRKDKISECSKDATKKKQVVVQQASQPLSTGTLKHGPAFIFPLSQYQTTANPSGPSKSATSTGKASLTDNSTLGISTSSTVLPGVAGAVSFNYPNLAANQAPYLTILQNNGYPFSISAPVGNPSAIRGGTPSQSLPFFNGPFHSSQMFHPQLQQQQARSQPLVQPAYQNTVPSSGSSSSHKQPESQQPRGGQVCGNNFLSSTSMHSQQLQEHNVLSSNQSRKMEQEMSGERPIANAQKKVHSQNPPLPHQPLNFAFVPSASVGGGGVDLVPTQAFAMSFASTGNNKASNLNFSSMAQNPAILHSLPELARQGYQVAPAPQVAQQKNHQISDGKSGSSSTSKDDGKKASSGKSHTTNGQTYFFDNSARSLNFVSSTVSGNRTPCSITSTTVAISPPIVANSSNSHQQLLQLQKQHMVQQHHQQPPTASRNKVQTTNTMPASSIAAKFSSNAAIYTHTVPQSNPAAQSTPWKNSARTPASPATNVKTFPQQPLRPPQGQTQISFGVNTKSVLSPQAQEIPTAASLHRL >KJB46986 pep chromosome:Graimondii2_0_v6:8:602187:607823:-1 gene:B456_008G004200 transcript:KJB46986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQETARLRERTSKRDRERDLSNRSKRRRSNKVVLRESDKREDGEESTEESSGGEEEEEENETEQQQKQLSSRKISPSARISGQATHLKASDEMMMSFPVPRKARSASVKRSHENWVAGNGGFVDEQNHLRGSVSPARRSVESDRVSPSSSNGSVRKKMKTNRPKTRLPKATKSSSSAQEDIEIEIAEVLYGLMKQSHSSKKEDSAGNPSSKLESEDANVFSTDIKTSSSPQIACSALSQSQTTVLKDPLTGLASNKSKVEVVDSPTPLKVENEQQAEMGICSPKRGQILGLNAAISDNGVAKTAPVVMESWENAMLIKQGDPKPSAVEEPNSVDRAVTKEKSVTTKKGSANLGVDYQNPTATEPVSAMTIVESQLEEKFKIDLMAPPMASSPVKDGCVDIMSDPNCKFQDAESKVETLVKDEAKLVEKEMKAEDSKGKKMDTINGKRESLNLNLEKPDQAASDCCTFELGQKPQLSKVGISKVTQTASSSSVPVPNGLPPLGYMPPFQTFLPMDASVGSSMALQPFHFLLSQPRPKRCVMHHYIAQNIQSHQQYAKMNHFLPPQTGSVSLSGGKPCNFNVAPAAETLILGNPLVSLGPTLEKSKVAASFPGLTRKDKISECSKDATKKKQVVVQQASQPLSTGTLKHGPAFIFPLSQYQTTANPSGPSKSATSTGKASLTDNSTLGISTSSTVLPGVAGAVSFNYPNLAANQAPYLTILQNNGYPFSISAPVGNPSAIRGGTPSQSLPFFNGPFHSSQMFHPQLQQQQARSQPLVQPAYQNTVPSSGSSSSHKQPESQQPRGGQVCGNNFLSSTSMHSQQLQEHNVLSSNQSRKMEQEMSGERPIANAQKKVHSQNPPLPHQPLNFAFVPSASVGGGGVDLVPTQAFAMSFASTGNNKASNLNFSSMAQNPAILHSLPELARQGYQVAPAPQVAQQKNHQISDGKSGSSSTSKDDGKKASSGKSHTTNGQTYFFDNSARSLNFVSSTVSGNRTPCSITSTTVAISPPIVANSSNSHQQLLQLQKQHMVQQHHQQPPTASRNKVQTTNTMPASSIAAKFSSNAAIYTHTVPQSNPAAQSTPWKNSARTPASPATNVKTFPQQPLRPPQGQTQISFGVNTKSVLSPQAQEIPTAASLHRL >KJB46985 pep chromosome:Graimondii2_0_v6:8:602921:606250:-1 gene:B456_008G004200 transcript:KJB46985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICSPKRGQILGLNAAISDNGVAKTAPVVMESWENAMLIKQGDPKPSAVEEPNSVDRAVTKEKSVTTKKGSANLGVDYQNPTATEPVSAMTIVESQLEEKFKIDLMAPPMASSPVKDGCVDIMSDPNCKFQDAESKVETLVKDEAKLVEKEMKAEDSKGKKMDTINGKRESLNLNLEKPDQAASDCCTFELGQKPQLSKVGISKVTQTASSSSVPVPNGLPPLGYMPPFQTFLPMDASVGSSMALQPFHFLLSQPRPKRCVMHHYIAQNIQSHQQYAKMNHFLPPQTGSVSLSGGKPCNFNVAPAAETLILGNPLVSLGPTLEKSKVAASFPGLTRKDKISECSKDATKKKQVVVQQASQPLSTGTLKHGPAFIFPLSQYQTTANPSGPSKSATSTGKASLTDNSTLGISTSSTVLPGVAGAVSFNYPNLAANQAPYLTILQNNGYPFSISAPVGNPSAIRGGTPSQSLPFFNGPFHSSQMFHPQLQQQQARSQPLVQPAYQNTVPSSGSSSSHKQPESQQPRGGQVCGNNFLSSTSMHSQQLQEHNVLSSNQSRKMEQEMSGERPIANAQKKVHSQNPPLPHQPLNFAFVPSASVGGGGVDLVPTQAFAMSFASTGNNKASNLNFSSMAQNPAILHSLPELARQGYQVAPAPQVAQQKNHQISDGKSGSSSTSKDDGKKASSGKSHTTNGQTYFFDNSARSLNFVSSTVSGNRTPCSITSTTVAISPPIVANSSNSHQQLLQLQKQHMVQQHHQQPPTASRNKVQTTNTMPASSIAAKFSSNAAIYTHTVPQSNPAAQSTPWKNSARTPASPATNVKTFPQQPLRPPQGQTQISFGVNTKSVLSPQAQEIPTAASLHRL >KJB47229 pep chromosome:Graimondii2_0_v6:8:1873145:1886788:1 gene:B456_008G016500 transcript:KJB47229 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MNSCNEEEVPIRLHSAVEYLLHSHSDQLRSIILSPDPKLHYPLFVDYAELMDADPPLARLVFANPTDYLRFFDQAAILAHKRVLKDMVSHEKGVEKKFIHVRFNVCGSPLEFPETFPTIGRVRVKHRGILLTLKGTVIRSGAVKMYEGQRTYQCKKCKHMFPLYPELETRNSITLPSICPSQRSNPCEGTKFLCIENTTVCHDYQEIKLQESTQVLGVGVIPRLILVILQDDLVDIVKAGDDVIITGILTAKWSPDLKDVRCDLDPILIANHVRKTNEMKLEIDIPDDVAMKFKQFWLDFRDTPLKGRNTILQGICPQVFGLFTVKLAVALTLIGGVQHVDASGTKIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGHYDPDQPLSVNTALSGPLLSRFDIVLVLLDTKNPEWDAVVSSHILGEGEYGNGKQDEDLANIWSLSILRR >KJB47228 pep chromosome:Graimondii2_0_v6:8:1872937:1888191:1 gene:B456_008G016500 transcript:KJB47228 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MNSCNEEEVPIRLHSAVEYLLHSHSDQLRSIILSPDPKLHYPLFVDYAELMDADPPLARLVFANPTDYLRFFDQAAILAHKRVLKDMVSHEKGVEKKFIHVRFNVCGSPLEFPETFPTIGRVRVKHRGILLTLKGTVIRSGAVKMYEGQRTYQCKKCKHMFPLYPELETRNSITLPSICPSQRSNPCEGTKFLCIENTTVCHDYQEIKLQESTQVLGVGVIPRLILVILQDDLVDIVKAGDDVIITGILTAKWSPDLKDVRCDLDPILIANHVRKTNEMKLEIDIPDDVAMKFKQFWLDFRDTPLKGRNTILQGICPQVFGLFTVKLAVALTLIGGVQHVDASGTKIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGHYDPDQPLSVNTALSGPLLSRFDIVLVLLDTKNPEWDAVVSSHILGEGEYGNGKQDEDLANIWSLSILRRYIGYVKNHFKPVLTKEAEKVISSYYQLQRRSATHNAARTTVRMLESLIRLAQGHARLMFRNEVTRLDAIAAILCIESSMTISAIIDSIGNALHSNFTENPDEEYAKQEKLILEKLSLIDESLESNGLEGFN >KJB52915 pep chromosome:Graimondii2_0_v6:8:55938886:55940116:-1 gene:B456_008G283300 transcript:KJB52915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEGHAKKRAIRLPPSVPFLWEVRPGIAKKDWKPEASTISRVLPPPTPIKFIASIPFNWEEKPGTPLPSFLQPAVEPMGVQPSATLMTLPPPPVYSPAYFNGCNSNDDQGDDIEAFGFETDDSFSSASSILENCSLAASTVVSTIVPEQKTYQIDNDSDHPEIPSSPASETDSTSSYATGASSLVGVSFLECLFPLLPPNAGFLEKVRFPDEEPQTAQNNFDRESNNTVIIRKPPTLGELIMMSRRRSYQRKAAQIREKNISMEFLKERRAPGCCIFGTGIKIIEGSQLKKFQKGLKFF >KJB46679 pep chromosome:Graimondii2_0_v6:8:17916482:17917852:1 gene:B456_008G0841002 transcript:KJB46679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCPEFSHPQSSSPMAKPRNRSFWCLPDAFLVFGVAFLALLLVASFWSFFTPTPNFEPTVTDPPSKLSMNPVDCSESGFGVNLKSDPKDPTFYDDPEMSYSLEKPVKDWDQKRAEWLKHHPSFAAGARERIVLVTGSQPKPCKNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNLLLHPKMGSYWAKLPVVKAAMLAHPEAEWIWWVDSDALFTDMEFKLPLERYKNHNLVVHGWPKLIYEKKSWTSLNAGVFLIRNCQWSMDLINTWSSMGPMSKDYEKWGQIQRLTFKDKLFPESDDQSALVYLLYTEKEKYYDHIYLEGEFYFEGYWVEIVGGYENTTERYLEIERGAPKLRRRHAEKVSEQYAAFREEFLKEAGNGKGSWRRPLITHFTGCQPCSGDHNLMYAGESCWNGMVKALNFADNQVLRKYGFVHSDLHDSSTVTEIPFDYPADEGPW >KJB46682 pep chromosome:Graimondii2_0_v6:8:17916135:17917882:1 gene:B456_008G0841002 transcript:KJB46682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCPEFSHPQSSSPMAKPRNRSFWCLPDAFLVFGVAFLALLLVASFWSFFTPTPNFEPTVTDPPSKLSMNPVDCSESGFGVNLKSDPKDPTFYDDPEMSYSLEKPVKDWDQKRAEWLKHHPSFAAGARERIVLVTGSQPKPCKNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNLLLHPKMGSYWAKLPVVKAAMLAHPEAEWIWWVDSDALFTDMEFKLPLERYKNHNLVVHGWPKLIYEKKSWTSLNAGVFLIRNCQWSMDLINTWSSMGPMSKDYEKWGQIQRLTFKDKLFPESDDQSALVYLLYTEKEKYYDHIYLEGEFYFEGYWVEIVGGYENTTERYLEIERGAPKLRRRHAEKVSEQYAAFREEFLKEAGNGKGSWRRPLITHFTGCQPCSGDHNLMYAGESCWNGMVKALNFADNQVLRKYGFVHSDLHDSSTVTEIPFDYPADEGPW >KJB46680 pep chromosome:Graimondii2_0_v6:8:17916135:17917877:1 gene:B456_008G0841002 transcript:KJB46680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCPEFSHPQSSSPMAKPRNRSFWCLPDAFLVFGVAFLALLLVASFWSFFTPTPNFEPTVTDPPSKLSMNPVDCSESGFGVNLKSDPKDPTFYDDPEMSYSLEKPVKDWDQKRAEWLKHHPSFAAGARERIVLVTGSQPKPCKNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNLLLHPKMGSYWAKLPVVKAAMLAHPEAEWIWWVDSDALFTDMEFKLPLERNCQWSMDLINTWSSMGPMSKDYEKWGQIQRLTFKDKLFPESDDQSALVYLLYTEKEKYYDHIYLEGEFYFEGYWVEIVGGYENTTERYLEIERGAPKLRRRHAEKVSEQYAAFREEFLKEAGNGKGSWRRPLITHFTGCQPCSGDHNLMYAGESCWNGMVKALNFADNQVLRKYGFVHSDLHDSSTVTEIPFDYPADEGPW >KJB46678 pep chromosome:Graimondii2_0_v6:8:17916482:17917852:1 gene:B456_008G0841002 transcript:KJB46678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCPEFSHPQSSSPMAKPRNRSFWCLPDAFLVFGVAFLALLLVASFWSFFTPTPNFEPTVTDPPSKLSMNPVDCSESGFGVNLKSDPKDPTFYDDPEMSYSLEKPVKDWDQKRAEWLKHHPSFAAGARERIVLVTGSQPKPCKNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNLLLHPKMGSYWAKLPVVKAAMLAHPEAEWIWWVDSDALFTDMEFKLPLERYKNHNLVVHGWPKLIYEKKSWTSLNAGVFLIRNCQWSMDLINTWSSMGPMSKDYEKWGQIQRLTFKDKLFPESDDQSALVYLLYTEKEKYYDHIYLEGEFYFEGYWVEIVGGYENTTERYLEIERGAPKLRRRHAEKVSEQYAAFREEFLKEAGNGKGSWRRPLITHFTGCQPCSGDHNLMYAGESCWNGMVKALNFADNQVLRKYGFVHSDLHDSSTVTEIPFDYPADEGPW >KJB46681 pep chromosome:Graimondii2_0_v6:8:17916135:17917877:1 gene:B456_008G0841002 transcript:KJB46681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCPEFSHPQSSSPMAKPRNRSFWCLPDAFLVFGVAFLALLLVASFWSFFTPTPNFEPTVTDPPSKLSMNPVDCSESGFGVNLKSDPKDPTFYDDPEMSYSLEKPVKDWDQKRAEWLKHHPSFAAGARERIVLVTGSQPKPCKNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNLLLHPKMGSYWAKLPVVKAAMLAHPEAEWIWWVDSDALFTDMEFKLPLERYKNHNLVVHGWPKLIYEKKSWTSLNAGVFLIRNCQWSMDLINTWSSMGPMSKDYEKWGQIQRLTFKDKLFPESDDQSALVYLLYTEKEKYYDHIYLEGEFYFEGYWVEIVGGYENTTERYLEIERGAPKLRRRHAEKVSEQYAAFREEFLKEAGNGKGSWRRPLITHFTGCQPCSGDHNLMYAGESCWNGMVKALNFADNQVLRKYGFVHSDLHDSSTVTEIPFDYPADEGPW >KJB48431 pep chromosome:Graimondii2_0_v6:8:11391431:11395468:-1 gene:B456_008G068900 transcript:KJB48431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTVSYPDWSTQMQAYYGAAATPPLFASTVASPTPHPYIWGGQHPLMPPYGTPVPYPAVYPPRGVYAHPNMAPMPSSARNNGADGKDRGATKKPKGSSGSKVGESAKATSGSGNDGGSQSGESGSEGTSDRSDESNQQEVNAGKKGSFEQMLADANAQGKAAGALVPAEPIVSMPATTLNIGMDLWSASPAATGAPKTRPNASGTVATVPAGAVMPDQWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQAKVESLANENHTLRDELKKLSDECEKLTSENSSIKDELMRICGPEAISNLEQGNPSPVGEAGGDEGNG >KJB48433 pep chromosome:Graimondii2_0_v6:8:11392371:11394219:-1 gene:B456_008G068900 transcript:KJB48433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEEESTPAKPSKPTASTQEMPTTVSYPDWSTQMQAYYGAAATPPLFASTVASPTPHPYIWGGQHPLMPPYGTPVPYPAVYPPRGVYAHPNMAPMPSSARNNGADGKDRGATKKPKGSSGSKVGESAKATSGSGNDGGSQSGESGSEGTSDRSDESNQQEVNAGKKGSFEQMLADANAQGKAAGALVPAEPIVSMPATTLNIGMDLWSASPAATGAPKTRPNASGTVATVPAGAVMPDQWIQVCAFLG >KJB48429 pep chromosome:Graimondii2_0_v6:8:11391431:11395528:-1 gene:B456_008G068900 transcript:KJB48429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEEESTPAKPSKPTASTQEMPTTVSYPDWSTQMQAYYGAAATPPLFASTVASPTPHPYIWGGQHPLMPPYGTPVPYPAVYPPRGVYAHPNMAPMPSSARNNGADGKDRGATKKPKGSSGSKVGESAKATSGSGNDGGSQSGESGSEGTSDRSDESNQQEVNAGKKGSFEQMLADANAQGKAAGALVPAEPIVSMPATTLNIGMDLWSASPAATGAPKTRPNASGTVATVPAGAVMPDQWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQAKVESLANENHTLRDELKKLSDECEKLTSENSSIKDELMRICGPEAISNLEQGNPSPVGEAGGDEGNG >KJB48435 pep chromosome:Graimondii2_0_v6:8:11392962:11395468:-1 gene:B456_008G068900 transcript:KJB48435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEEESTPAKPSKPTASTQEMPTTVSYPDWSTQMQAYYGAAATPPLFASTVASPTPHPYIWGGQHPLMPPYGTPVPYPAVYPPRGVYAHPNMAPMPSSARNNGADGKDRGATKKPKGSSGSKVGESAKATSGSGNDGGSQSGESGSEGTSDRSDESNQQEVNAGKKGSFEQMLADGTLSFHI >KJB48430 pep chromosome:Graimondii2_0_v6:8:11392547:11394219:-1 gene:B456_008G068900 transcript:KJB48430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEEESTPAKPSKPTASTQEMPTTVSYPDWSTQMQAYYGAAATPPLFASTVASPTPHPYIWGGQHPLMPPYGTPVPYPAVYPPRGVYAHPNMAPMPSSARNNGADGKDRGATKKPKGSSGSKVGESAKATSGSGNDGGSQSGESGSEGTSDRSDESNQQEVNAGKKGSFEQMLADANAQGKAAGALVPAEPIVSMPATTLNIGMDLWSASPAATGAPKTRPNASGTVATVPAGAVMPDQWIQVCAFLGDFRF >KJB48434 pep chromosome:Graimondii2_0_v6:8:11391431:11395682:-1 gene:B456_008G068900 transcript:KJB48434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEEESTPAKPSKPTASTQEMPTTVSYPDWSTQMQAYYGAAATPPLFASTVASPTPHPYIWGGQHPLMPPYGTPVPYPAVYPPRGVYAHPNMAPMPSSARNNGADGKDRGATKKPKGSSGSKVGESAKATSGSGNDGGSQSGESGSEGTSDRSDESNQQEVNAGKKGSFEQMLADANAQGKAAGALVPAEPIVSMPATTLNIGMDLWSASPAATGAPKTRPNASGTVATVPAGAVMPDQWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQAKVESLANENHTLRDELKKLSDECEKLTSENSSIKDELMRICGPEAISNLEQGNPSPVGEAGGDEGNG >KJB48432 pep chromosome:Graimondii2_0_v6:8:11392547:11394219:-1 gene:B456_008G068900 transcript:KJB48432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEEESTPAKPSKPTASTQEMPTTVSYPDWSTQMQAYYGAAATPPLFASTVASPTPHPYIWGGQHPLMPPYGTPVPYPAVYPPRGVYAHPNMAPMPSSARNNGADGKDRGATKKPKGSSGSKVGESAKATSGSGNDGGSQSGESGSEGTSDRSDESNQQEVNAGKKGSFEQMLADANAQGKAAGALVPAEPIVSMPATTLNIGMDLWSASPAATGAPKTRPNASGTVATVPAGAVMPDQWIQVCAFLGDFRF >KJB48635 pep chromosome:Graimondii2_0_v6:8:15211574:15212689:1 gene:B456_008G079000 transcript:KJB48635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQWTATKPSRSDEVLDSDQQQRITNQVRAQFYSMVPKRPTKPNRSEPDLTSSNPPSDVDQNIPELDKLRSLQSQSQVKISEGGATVEQEEFVETQYYREMNSIDKQHHTTGSGFIRVMKEGGEGNGYDIQLESGQDAISKPIFKSNPATNDWIPTLEDDQVFVSSKPNRSEGC >KJB52452 pep chromosome:Graimondii2_0_v6:8:54272630:54277138:-1 gene:B456_008G262400 transcript:KJB52452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQEGGGGGGGGGGGGQQSQYGEMTTGPPTTGAVSEASEQLVEAASPISSRPPAGNLDEFMRLASVGGGDELGLGDRHGSGGGGGAGVASGNRWPRQETLALLKIRSDMDATFRDATVKGPLWEDVSRKLAELGYKRSAKKCREKFENVHKYYKRTKETRAGRQDGKSYKFFSQLEALQTTSGAAPANVSIPVIPATVAVTATSLDVAPVSVGIPMPISSAARIVPPTTAVPMSSSSFLAMPGSALAPVPVAVPEPATAPAPAPPAATTAAPFGISFSSNSSTFSQGFDDDEEDEDEVGVGGEPSSMAGTSRKRKRSSSRGGGGSSTRRMMEFFEGLMKQVMQKQEAMQQRFLEAMEKREQDRTIREEAWKRQEMARLTREHELMAQERAIAASRDAAIVSFLQKITGQTIQLPTTATVIVPAAPPPPPPTQPTVSVVPPEVPIAPPSHQTPTLPQQKQQEQQQTHISHHQPPPPQQQPQVVQQQQQLQHMELVRHQQQPITTEIVMAIPEQQVPPQEIGGSGSLAEPASSRWPKTEVLALINLRSGLESRYQEAGPKGPLWEEISAGMSRLGYKRSAKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRKKILGGGTSSGSFSDHNRPDEETSRQHHDPTLSTAAPQPSQNESGATADVVTSKEGLPSHPFGEGYGGAAKKVNSSSHCSLINNYHCILISNHCMMRID >KJB52451 pep chromosome:Graimondii2_0_v6:8:54272621:54277138:-1 gene:B456_008G262400 transcript:KJB52451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQEGGGGGGGGGGGGQQSQYGEMTTGPPTTGAVSEASEQLVEAASPISSRPPAGNLDEFMRLASVGGGDELGLGDRHGSGGGGGAGVASGNRWPRQETLALLKIRSDMDATFRDATVKGPLWEDVSRKLAELGYKRSAKKCREKFENVHKYYKRTKETRAGRQDGKSYKFFSQLEALQTTSGAAPANVSIPVIPATVAVTATSLDVAPVSVGIPMPISSAARIVPPTTAVPMSSSSFLAMPGSALAPVPVAVPEPATAPAPAPPAATTAAPFGISFSSNSSTFSQGFDDDEEDEDEVGVGGEPSSMAGTSRKRKRSSSRGGGGSSTRRMMEFFEGLMKQVMQKQEAMQQRFLEAMEKREQDRTIREEAWKRQEMARLTREHELMAQERAIAASRDAAIVSFLQKITGQTIQLPTTATVIVPAAPPPPPPTQPTVSVVPPEVPIAPPSHQTPTLPQQKQQEQQQTHISHHQPPPPQQQPQVVQQQQQLQHMELVRHQQQPITTEIVMAIPEQQVPPQEIGGSGSLAEPASSRWPKTEVLALINLRSGLESRYQEAGPKGPLWEEISAGMSRLGYKRSAKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRKKILGGGTSSGSFSDHNRPDEETSRQHHDPTLSTAAPQPSQNESGATADVVTSKEGLPSHPFGEGYGGAAKKEVP >KJB52450 pep chromosome:Graimondii2_0_v6:8:54272520:54277322:-1 gene:B456_008G262400 transcript:KJB52450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQEGGGGGGGGGGGGQQSQYGEMTTGPPTTGAVSEASEQLVEAASPISSRPPAGNLDEFMRLASVGGGDELGLGDRHGSGGGGGAGVASGNRWPRQETLALLKIRSDMDATFRDATVKGPLWEDVSRKLAELGYKRSAKKCREKFENVHKYYKRTKETRAGRQDGKSYKFFSQLEALQTTSGAAPANVSIPVIPATVAVTATSLDVAPVSVGIPMPISSAARIVPPTTAVPMSSSSFLAMPGSALAPVPVAVPEPATAPAPAPPAATTAAPFGISFSSNSSTFSQGFDDDEEDEDEVGVGGEPSSMAGTSRKRKRSSSRGGGGSSTRRMMEFFEGLMKQVMQKQEAMQQRFLEAMEKREQDRTIREEAWKRQEMARLTREHELMAQERAIAASRDAAIVSFLQKITGQTIQLPTTATVIVPAAPPPPPPTQPTVSVVPPEVPIAPPSHQTPTLPQQKQQEQQQTHISHHQPPPPQQQPQVVQQQQQLQHMELVRHQQQPITTEIVMAIPEQQVPPQEIGGSGSLAEPASSRWPKTEVLALINLRSGLESRYQEAGPKGPLWEEISAGMSRLGYKRSAKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRKKILGGGTSSGSFSDHNRPDEETSRQHHDPTLSTAAPQPSQNESGATADVVTSKEGLPSHPFGEGYGGAAKKPGSTVRELMEEQREGLHHQQHHHRGQSLLVDGYGRIDEPDSDIMDQEVDEDDDEEDNDDDDDEELEEERKMGYKIEFQRQNSNTPNGGGNGAPSFFTMVQ >KJB47684 pep chromosome:Graimondii2_0_v6:8:4588623:4589659:1 gene:B456_008G036700 transcript:KJB47684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSTKIKLRTSDQKVFEVEKAVAVQSQTIKYIYENGCPDSVIHVHSVSGDILSKILDYCKKHVNSAAGKEDISPEELYEWDANFVKVDQNTLFDLILAANCLKIESLLDLTCQTVANMIKGKRPEEIRTTFNIKNDYTIEAEEAVRRENKWAFDMLGV >KJB51472 pep chromosome:Graimondii2_0_v6:8:50434435:50438552:1 gene:B456_008G218000 transcript:KJB51472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWDPQNLILPEVGKLCRSQSEYLDQHFKTQKDVSSSSTSSSCLLSEWTKHCSDYDNRLLHLRTILMDRTLSWISSSFRAKASLSNLNLTLSASSQYGTALKRLLGEELRLLALQLHRIHFIRQYVETALRLEALVGDLEDVVFSSGNHRPGNMFAKFSTLLTSQDFGVKEERLLKAIKATNDVEEIIVNVEKSQQWHHLLKSVDHRVDKTLAILRPEALAEHRALLASFGWPPKLLASKVESGGLSKLLNPLLLMHGNEKKSYAQSFHVLCALQQLHSRREARKFKTLGQKECEIRLWAIDELVTPLAVRMEYHFVKWAEQPEFMFALVYRVTRDFMEGVSDILQPLIDAARLTSYSANEAWISAMVHILSGSLTKNVFPALAERYKEKDMKLEVISLWLHLVDLIVAFDKQMQSLLRYETCLLFPDAQRRGISVLIVFCDRPDWLKIWAKMELKDGWKKLKAVLKDAKAWQIDDKHRVDFDVSTICETFLLSSREAHKAPFVAESALKIAQEMIDRCQTLPDILSRAKFVRSTVARFFWYFSNVLLLHCRNAELPPEELDGSAIVRACESINAARYVESKLQEWSDDASFLEMKIAESNSNMQEQHQGFYDDCFFEEEIKCLAELETNWLMEIGAVLLRQFENLTLEYNHTEDSNAFIEALQSLKSQLHVLKKNLNGKDFLDLWRSVADGLDHFICGSILGGDVKFSKKQSNEFGTDMQALFLVFQPFCARPEAFFPCIRDILKLLAMSGEEVKHLLVGKKSEKYMQSYGISHLRFDQVEKTLRKLKF >KJB46830 pep chromosome:Graimondii2_0_v6:8:41014051:41014740:-1 gene:B456_008G1527002 transcript:KJB46830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLLDFLSFSASSFSSMARFSVVTDKVSMEVVEEFILEQLNLTKG >KJB46832 pep chromosome:Graimondii2_0_v6:8:41013589:41014740:-1 gene:B456_008G1527002 transcript:KJB46832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLLDFLSFSASSFSSMARFSVVTDKVSMEDNLETLLQNDIQADLVCCSICS >KJB46833 pep chromosome:Graimondii2_0_v6:8:41014497:41014740:-1 gene:B456_008G1527002 transcript:KJB46833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLLDFLSFSASSFSSMARFSVVTDKVSMEVVEEFILEQLNLTKGTISEIIVPNSFFSFLAINHY >KJB46831 pep chromosome:Graimondii2_0_v6:8:41013890:41014740:-1 gene:B456_008G1527002 transcript:KJB46831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLLDFLSFSASSFSSMARFSVVTDKVSMEVVEEFILEQLNLTKGTISEIIDNLETLLQNDIQADLVCCSICS >KJB51428 pep chromosome:Graimondii2_0_v6:8:50296205:50300565:1 gene:B456_008G215900 transcript:KJB51428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGTARNLTEEEKKGYRELRWDDKEVCAFYMVRFCPHDLFVNTRSDLGLCPRVHDPKLKESFEKSSRHDAYVPKFEAELAKFCEKLVMDLDRRVRRGRERLAQEVEPAPPAPLSAEKSEQLSVLEEKIKNLLEQVESLGEAGKVDEAEALMRKVEALNAEKTVLTQQPQNDKVLMLAQEKKMALCEVCGSFLVANDAAERTQSHVTGKQHIGYGMVRDFISEFKEAKEKAREEEKLAREKEAEERRKQKEKEYESRRSRSDSGDRDKYRDRDKDSDRYRDRDLDRERSQEWSGRGSRDGEREWRYKNGRDGGRGRHHNRSRSRSPGRHSHRRSSRSPVRRY >KJB51432 pep chromosome:Graimondii2_0_v6:8:50297961:50300530:1 gene:B456_008G215900 transcript:KJB51432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDRRVRRGRERLAQEVEPAPPAPLSAEKSEQLSVLEEKIKNLLEQVESLGEAGKVDEAEALMRKVEALNAEKTVLTQQPQNDKVLMLAQEKKMALCEVCGSFLVANDAAERTQSHVTGKQHIGYGMVRDFISEFKEAKEKAREEEKLAREKEAEERRKQKEKEYESRRSRSDSGDRDKYRDRDKDSDRYRDRDLDRERSQEWSGRGSRDGEREWRYKNGRDGGRGRHHNRSRSRSPGRHSHRRSSRSPVRRY >KJB51429 pep chromosome:Graimondii2_0_v6:8:50296217:50300530:1 gene:B456_008G215900 transcript:KJB51429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGTARNLTEEEKKGYRELRWDDKEVCAFYMVRFCPHDLFVNTRSDLGLCPRVHDPKLKESFEKSSRHDAYVPKFEAELAKFCEKLVMDLDRRVRRGRERLAQEVEPAPPAPLSAEKSEQLSVLEEKIKNLLEQVESLGEAGKVDEAEALMRKEKKMALCEVCGSFLVANDAAERTQSHVTGKQHIGYGMVRDFISEFKEAKEKAREEEKLAREKEAEERRKQKEKEYESRRSRSDSGDRDKYRDRDKDSDRYRDRDLDRERSQEWSGRGSRDGEREWRYKNGRDGGRGRHHNRSRSRSPGRHSHRRSSRSPVRRY >KJB51430 pep chromosome:Graimondii2_0_v6:8:50296205:50300531:1 gene:B456_008G215900 transcript:KJB51430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGTARNLTEEEKKGYRELRWDDKEVCAFYMVRFCPHDLFVNTRSDLGLCPRVHDPKLKESFEKSSRHDAYVPKFEAELAKFCEKLVMDLDRRVRRGRERLAQEVEPAPPAPLSAEKSEQLSVLEEKIKNLLEQVESLGEAGKVDEAEALMRKVEALNAEKTVLTQQPQNDKVLMLAQEKKMALCEVCGSFLVANDAAERTQSHVTGKQHIGYGMVRDFISEFKEAKEKAREEEKLAREKEAEERRKQKEKEYESRRSRSDSGDRDKYRDRDKDSDRYRDRDLDRERSQEWSGRGSRDGEREWRYKNGRDGGRGRHHNRSRSRSPGRHSHRRSSRSPVRRY >KJB51427 pep chromosome:Graimondii2_0_v6:8:50296217:50300530:1 gene:B456_008G215900 transcript:KJB51427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGTARNLTEEEKKGYRELRWDDKEVCAFYMVRFCPHDLFVNTRSDLGLCPRVHDPKLKESFEKSSRHDAYVPKFEAELAKFCEKLVMDLDRRVRRGRERLAQEVEPAPPAPLSAEKSEQLSVLEEKIKNLLEQVESLGEAGKVDEAEALMRKVEALNAEKTVLTQQPQNDKVLMLAQEKKMALCEVCGSFLVANDAAERTQSHVTGKQHIGYGMVRDFISEFKEAKEKAREEEKLAREKEAEERRKQKEKEYESRRSRSDSGDRDKYRDRDKDSDRYRDRDLDRERSQEWSGRGSRDGEREWRYKNGRDGGRGRHHNRSRSRSPGRHSHRRSSRSPVRRY >KJB51431 pep chromosome:Graimondii2_0_v6:8:50296682:50300530:1 gene:B456_008G215900 transcript:KJB51431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSNYGVAFRECESLMTVLCFVVFCFGASGLCPRVHDPKLKESFEKSSRHDAYVPKFEAELAKFCEKLVMDLDRRVRRGRERLAQEVEPAPPAPLSAEKSEQLSVLEEKIKNLLEQVESLGEAGKVDEAEALMRKVEALNAEKTVLTQQPQNDKVLMLAQEKKMALCEVCGSFLVANDAAERTQSHVTGKQHIGYGMVRDFISEFKEAKEKAREEEKLAREKEAEERRKQKEKEYESRRSRSDSGDRDKYRDRDKDSDRYRDRDLDRERSQEWSGRGSRDGEREWRYKNGRDGGRGRHHNRSRSRSPGRHSHRRSSRSPVRRY >KJB48722 pep chromosome:Graimondii2_0_v6:8:17219023:17221809:1 gene:B456_008G083300 transcript:KJB48722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDYDVVNALSYHLCLLIFGVLEVGSVTVGSKFGVGVKKDQNDATNKRAKKAPPKTRKPSSRHQNDRFRLRLYFQSPAYACFPQSLNSDSTMGTRKRDLPSALLLCLIFFLLSSFSSFASAQKLSLVVGEFNKTLQLTPGVQVEKSPGLKPGTKVVCERVHVDGLPRFRNLMKFAHSVKLKVSQGNSTLHRPNVEVCFHRNASLGIGMCPQGKWEKVSNRLWAKSMSPFDRKLLDIRMTSSSTQTVEVSIEEEFFQYRIVFLALGIVLWSVAYTLSQSLVFYYGGAMAIGVILVVLIVLFQGMKLLPTGRKSSLAIVIYSSMLGLGSILLRYIPQLVQSILSEMGITEDMYNPVCKSPANFFVHLLYVSLYHRA >KJB48723 pep chromosome:Graimondii2_0_v6:8:17219023:17223423:1 gene:B456_008G083300 transcript:KJB48723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDYDVVNALSYHLCLLIFGVLEVGSVTVGSKFGVGVKKDQNDATNKRAKKAPPKTRKPSSRHQNDRFRLRLYFQSPAYACFPQSLNSDSTMGTRKRDLPSALLLCLIFFLLSSFSSFASAQKLSLVVGEFNKTLQLTPGVQVEKSPGLKPGTKVVCERVHVDGLPRNASLGIGMCPQGKWEKVSNRLWAKSMSPFDRKLLDIRMTSSSTQTVEVSIEEEFFQYRIVFLALGIVLWSVAYTLSQSLVFYYGGAMAIGVILVVLIVLFQGMKLLPTGRKSSLAIVIYSSMLGLGSILLRYIPQLVQSILSEMGITEDMYNPLAMFLLGFLVLAGAWLGFWVVRKLVLTEDGSIDISTSYFVAWTIRIVAAIMMFQSSMDPILAVEAFLSGIMLSSVLCKVTRLRFLRRVYKKLFKLAKVIGRNTQIPDLSPDLYSHDEYTYRKPEDSNFLNRRSKHLPLASCNTSLLGTTKTSPSQLSDTDSFPSIFHNTPERRNFSKGEWEKFTRDSTKRAVEELVSSPDFSKWAAANAERITVTPRSSSSSASARSRRWWFLWS >KJB48720 pep chromosome:Graimondii2_0_v6:8:17218843:17223460:1 gene:B456_008G083300 transcript:KJB48720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRKRDLPSALLLCLIFFLLSSFSSFASAQKLSLVVGEFNKTLQLTPGVQVEKSPGLKPGTKVVCERVHVDGLPRFRNLMKFAHSVKLKVSQGNSTLHRPNVEVCFHRNASLGIGMCPQGKWEKVSNRLWAKSMSPFDRKLLDIRMTSSSTQTVEVSIEEEFFQYRIVFLALGIVLWSVAYTLSQSLVFYYGGAMAIGVILVVLIVLFQGMKLLPTGRKSSLAIVIYSSMLGLGSILLRYIPQLVQSILSEMGITEDMYNPLAMFLLGFLVLAGAWLGFWVVRKLVLTEDGSIDISTSYFVAWTIRIVAAIMMFQSSMDPILAVEAFLSGIMLSSVLCKVTRLRFLRRVYKKLFKLAKVIGRNTQIPDLSPDLYSHDEYTYRKPEDSNFLNRRSKHLPLASCNTSLLGTTKTSPSQLSDTDSFPSIFHNTPERRNFSKGEWEKFTRDSTKRAVEELVSSPDFSKWAAANAERITVTPRSSSSSASARSRRWWFLWS >KJB48725 pep chromosome:Graimondii2_0_v6:8:17219267:17223460:1 gene:B456_008G083300 transcript:KJB48725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDYDVVNALSYHLCLLIFGVLEVGSVTVGSKFGVGVKKDQNDATNKRAKKAPPKTRKPSSRHQNDRFRLRLYFQSPAYACFPQSLNSDSTMGTRKRDLPSALLLCLIFFLLSSFSSFASAQKLSLVVGEFNKTLQLTPGVQVEKSPGLKPGTKVVCERVHVDGLPRFRNLMKFAHSVKLKVSQGNSTLHRPNVEVCFHRNASLGIGMCPQGKWEKVSNRLWAKSMSPFDRKLLDIRMTSSSTQTVEVSIEEEFFQYRIVFLALGIVLWSVAYTLSQSLVFYYGGAMAIGVILVVLIVLFQGMKLLPTGRKSSLAIVIYSSMLGLGSILLRYIPQLVQSILSEMGITEDMYNPLAMFLLGFLVLAGAWLGFWVVRKLVLTEDGSIDISTSYFVAWTIRIVAAIMMFQSSMDPILAVEAFLSGIMLSSVLCKVTRLRFLRRVYKKLFKLAKVIGRNTQIPDLSPDLYSHDEYTYRKPEDSNFLNRRSKHLPLASCNTSLLGTTKTSPSQLSDTDSFPSIFHNTPERRNFSKGEWEKFTRDSTKRAVEELVSSPDFSKWAAANAERITVTPRSSSSSASARSRRWWFLWS >KJB48724 pep chromosome:Graimondii2_0_v6:8:17219023:17223423:1 gene:B456_008G083300 transcript:KJB48724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDYDVVNALSYHLCLLIFGVLEVGSVTVGSKFGVGVKKDQNDATNKRAKKAPPKTRKPSSRHQNDRFRLRLYFQSPAYACFPQSLNSDSTMGTRKRDLPSALLLCLIFFLLSSFSSFASAQKLSLVVGEFNKTLQLTPGVQVEKSPGLKPGTKVVCERVHVDGLPRFRNLMKFAHSVKLKVSQGNSTLHRPNVEVCFHRNASLGIGMCPQGKWEKVSNRLWAKSMSPFDRKLLDIRMTSSSTQTVEVSIEEEFFQYRIVFLALGIVLWSVAYTLSQSLVFYYGGAMAIGVILVVLIVLFQGMKLLPTGRKSSLAIVIYSSMLGLGSILLRYIPQLVQSILSEMGITEDMYNPLAMFLLGFLVLAGAWLGFWVVRKLVLTEDGSIDISTSYFVAWTIRIVAAIMMFQSSMDPILAVEAFLSGIMLSSVLCKVTRLRFLRRVYKKLFKLAKVIGRNTQIPDLSPDLYSHDEYTYRKPEDSNFLNRRSKHLPLASCNTSLLGTTKTSPSQLSDTDSFPSIFHNTPERRNFSKGEWEKFTRDSTKRAVEELVSSPDFSKWAAANAERITVTPRSSSSSASARSRRWWFLWS >KJB48721 pep chromosome:Graimondii2_0_v6:8:17218974:17223460:1 gene:B456_008G083300 transcript:KJB48721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDYDVVNALSYHLCLLIFGVLEVGSVTVGSKFGVGVKKDQNDATNKRAKKAPPKTRKPSSRHQNDRFRLRLYFQSPAYACFPQSLNSDSTMGTRKRDLPSALLLCLIFFLLSSFSSFASAQKLSLVVGEFNKTLQLTPGVQVEKSPGLKPGTKVVCERVHVDGLPRFRNLMKFAHSVKLKVSQGNSTLHRPNVEVCFHRNASLGIGMCPQGKWEKVSNRLWAKSMSPFDRKLLDIRMTSSSTQTVEVSIEEEFFQYRIVFLALGIVLWSVAYTLSQSLVFYYGGAMAIGVILVVLIVLFQGMKLLPTGRKSSLAIVIYSSMLGLGSILLRYIPQLVQSILSEMGITEDMYNPLAMFLLGFLVLAGAWLGFWVVRKLVLTEDGSIDISTSYFVAWTIRIVAAIMMFQSSMDPILAVEAFLSGIMLSSVLCKVTRLRFLRRVYKKLFKLAKVIGRNTQIPDLSPDLYSHDEYTYRKPEDSNFLNRRSKHLPLASCNTSLLGTTKTSPSQLSDTDSFPSIFHNTPERRNFSKGEWEKFTRDSTKRAVEELVSSPDFSKWAAANAERITVTPRSSSSSASARSRRWWFLWS >KJB48719 pep chromosome:Graimondii2_0_v6:8:17218843:17223460:1 gene:B456_008G083300 transcript:KJB48719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRKRDLPSALLLCLIFFLLSSFSSFASAQKLSLVVGEFNKTLQLTPGVQVEKSPGLKPGTKVVCERVHVDGLPRFRNLMKFAHSVKLKVSQGNSTLHRPNVEVCFHRNASLGIGMCPQGKWEKVSNRLWAKSMSPFDRKLLDIRMTSSSTQTVEVSIEEEFFQYRIVFLALGIVLWSVAYTLSQSLVFYYGGAMAIGVILVVLIVLFQGMKLLPTGRKSSLAIVIYSSMLGLGSILLRYIPQLVQSILSEMGITEDMYNPLAMFLLGFLVLAGAWLGFWVVRKLVLTEDGSIDISTSYFVAWTIRIVAAIMMFQSSMDPILAVEAFLSGIMLSSVLCKVTRLRFLRRVYKKLFKLAKVIGRNTQIPDLSPDLYSHDEYTYRKPEDSNFLNRRSKHLPLASCNTSLLGTTKTSPSQLSDTDSFPSIFHNTPERRNFSKGEWEKFTRDSTKRAVEELVSSPDFSKWAAANAERITVTPRSSSSSASARSRRWWFLWS >KJB49947 pep chromosome:Graimondii2_0_v6:8:39904773:39907350:-1 gene:B456_008G146400 transcript:KJB49947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLQSIKLAKAKHLTVPSPSSLRLVKKRMIAMDLMHHSSCFLLPTIPLQRRPSLTYALLVLNQNLPRFTPLLWNHAQLHLCADGGANRLYDEMPLFFPQEGASDVRRRYKPDVIKGDMDSIRREVLEFYASLLCILVVGALGGRFDHEMGNLNVICRFSYMRIVLLSNDSLIHLLPRTYCHEIHIQTSVEGPHCGLIPIGTPSKSSTTTGLQWDLSKQYHYICSGACFLILGC >KJB49943 pep chromosome:Graimondii2_0_v6:8:39904722:39907377:-1 gene:B456_008G146400 transcript:KJB49943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLQSIKLAKAKHLTVPSPSSLRLVKKRMIAMDLMHHSSCFLLPTIPLQRRPSLTYALLVLNQNLPRFTPLLWNHAQLHLCADGGANRLYDEMPLFFPQEGASDVRRRYKPDVIKGDMDSIRREVLEFYASLLCILVVGALGGRFDHEMGNLNVICRFSYMRIVLLSNDSLIHLLPRTYCHEIHIQTSVEGPHCGLIPIGTPSKSSTTTGLQWDLNNTAMEFGGLVSTSNIVKEEKVTVQSDCDLLWTISIKKL >KJB49945 pep chromosome:Graimondii2_0_v6:8:39905038:39907330:-1 gene:B456_008G146400 transcript:KJB49945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLQSIKLAKAKHLTVPSPSSLRLVKKRMIAMDLMHHSSCFLLPTIPLQRRPSLTYALLVLNQNLPRFTPLLWNHAQLHLCADGGANRLYDEMPLFFPQEGASDVRRRYKPDVIKGDMDSIRREVLEFYASLGTEIVDKSHDQDTTDLHKCVTYIRDSASGLDKSNLCILVVGALGGRFDHEMGNLNVICRFSYMRIVLLSNDSLIHLLPRTYCHEIHIQTSVEGPHCGLIPIGTPSKSSTTTGLQWDLNNTAMEFGGLVSTSNIVKEEKVTVQSDCDLLWTISIKKL >KJB49944 pep chromosome:Graimondii2_0_v6:8:39905038:39906905:-1 gene:B456_008G146400 transcript:KJB49944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFFPQEGASDVRRRYKPDVIKGDMDSIRREVLEFYASLGTEIVDKSHDQDTTDLHKCVTYIRDSASGLDKSNLCILVVGALGGRFDHEMGNLNVICRFSYMRIVLLSNDSLIHLLPRTYCHEIHIQTSVEGPHCGLIPIGTPSKSSTTTGLQWDLNNTAMEFGGLVSTSNIVKEEKVTVQSDCDLLWTISIKKL >KJB49946 pep chromosome:Graimondii2_0_v6:8:39904726:39907335:-1 gene:B456_008G146400 transcript:KJB49946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFFPQEGASDVRRRYKPDVIKGDMDSIRREVLEFYASLLCILVVGALGGRFDHEMGNLNVICRFSYMRIVLLSNDSLIHLLPRTYCHEIHIQTSVEGPHCGLIPIGTPSKSSTTTGLQWDLNNTAMEFGGLVSTSNIVKEEKVTVQSDCDLLWTISIKKL >KJB47773 pep chromosome:Graimondii2_0_v6:8:5361813:5364632:-1 gene:B456_008G041200 transcript:KJB47773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEPVDQKKYLEEGCKPKCVKQLRAYEACVKRIEGDESGHKHCTGQYFDYWACIDKCVAQTLFSKLK >KJB47771 pep chromosome:Graimondii2_0_v6:8:5362494:5364213:-1 gene:B456_008G041200 transcript:KJB47771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEPVDQKKYLEEGCKPKCVKQLRAYEACVKRIEGDESGHKHCTGQYFDYWACIDKCVSSLP >KJB47769 pep chromosome:Graimondii2_0_v6:8:5361813:5364619:-1 gene:B456_008G041200 transcript:KJB47769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEPVDQKKYLEEGCKPKCVKQLRAYEACVKRIEGDESGHKHCTGQYFDYWACIDKCVAQTLFSKLK >KJB47770 pep chromosome:Graimondii2_0_v6:8:5361813:5364899:-1 gene:B456_008G041200 transcript:KJB47770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEPVDQKKYLEEGCKPKCVKQLRAYEACVKRIEGDESGHKHCTGQYFDYWACIDKCCTDSILEIEIIEGQCCVTLASCGAVLS >KJB47772 pep chromosome:Graimondii2_0_v6:8:5361813:5364463:-1 gene:B456_008G041200 transcript:KJB47772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEPVDQKKYLEEGCKPKCVKQLRAYEACVKRIEGDESGHKHCTGQYFDYWACIDKCVAQTLFSKLK >KJB49576 pep chromosome:Graimondii2_0_v6:8:36717327:36721133:1 gene:B456_008G126200 transcript:KJB49576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPEGIPRETGLGVDDLYPELWKLCAGPLVEIPHVQERVFYFPQGHIEQLEASTNQELNYEAPLFNLSSKILCRVLHVQLLAEQETDEVYAQITLQPEPDQSELTSPDPFPTEVPEREVHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELTAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLGNQQSTMPSSVISSQNMHLGVLATAAHAVMTQTLFVVYYKPRTSQFIIGVSKYLEAINNRSSVGMRFKMRFEGEDSPERRFTGTIVGVGDASPHWSESKWRSLKIQWDEPAMIQRPERVSPWEIEPFSASASINLIQPAVKSKRPRPVYILASDTTTNSTGSAFWCHGSTKSHELARAGSIAEVQSSESSQVVWPMRQNEADAGYNTRARLENAWPPSSLVNVSLNFFRNPADASPVELRTSNDVMRDQVEKGKKLEISTGCRLFGFNLTNSNSAVSGTVIAPSHIDENPETFQSPKQQKQNASETSTKEIKAKHGTTSSMRTRTKVQMQGIAVGRAIDLTVLKGYDDLINELEKMFDIEGELRHRTKWSVVFTDNEGDMMLVGDDPWGIL >KJB49575 pep chromosome:Graimondii2_0_v6:8:36717077:36721169:1 gene:B456_008G126200 transcript:KJB49575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPEGIPRETGLGVDDLYPELWKLCAGPLVEIPHVQERVFYFPQGHIEQLEASTNQELNYEAPLFNLSSKILCRVLHVQLLAEQETDEVYAQITLQPEPDQSELTSPDPFPTEVPEREVHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELTAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLGNQQSTMPSSVISSQNMHLGVLATAAHAVMTQTLFVVYYKPRTSQFIIGVSKYLEAINNRSSVGMRFKMRFEGEDSPERRFTGTIVGVGDASPHWSESKWRSLKIQWDEPAMIQRPERVSPWEIEPFSASASINLIQPAVKSKRPRPVYILASDTTTNSTGSAFWCHGSTKSHELARAGSIAEVQSSESSQVVWPMRQNEADAGYNTRARLENAWPPSSLVNVSLNFFRNPADASPVELRTSNDVMRDQVEKGKKLEISTGCRLFGFNLTNSNSAVSGTVIAPSHIDENPETFQSPKQQKQNASETSTKEIKAKHGTTSSMRTRTKVQMQGIAVGRAIDLTVLKGYDDLINELEKMFDIEGELRHRTKWSVVFTDNEGDMMLVGDDPWVGFCKMVRKIFIYSVDEVKKINGRCKFQASSLEGEGTVVSLGLEHRSGT >KJB49580 pep chromosome:Graimondii2_0_v6:8:36717077:36721169:1 gene:B456_008G126200 transcript:KJB49580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPEGIPRETGLGVDDLYPELWKLCAGPLVEIPHVQERVFYFPQGHIEQLEASTNQELNYEAPLFNLSSKILCRVLHVQLLAEQETDEVYAQITLQPEPDQSELTSPDPFPTEVPEREVHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELTAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLGNQQSTMPSSVISSQNMHLGVLATAAHAVMTQTLFVVYYKPRTSQFIIGVSKYLEAINNRSSVGMRFKMRFEGEDSPERRFTGTIVGVGDASPHWSESKWRSLKIQWDEPAMIQRPERVSPWEIEPFSASASINLIQPAVKSKRPRPVYILASDTTTNSTGSAFWCHGSTKSHELARAGSIAEVQSSESSQVVWPMRQNEADAADASPVELRTSNDVMRDQVEKGKKLEISTGCRLFGFNLTNSNSAVSGTVIAPSHIDENPETFQSPKQQKQNASETSTKEIKAKHGTTSSMRTRTKVQMQGIAVGRAIDLTVLKGYDDLINELEKMFDIEGELRHRTKWSVVFTDNEGDMMLVGDDPWVGFCKMVRKIFIYSVDEVKKINGRCKFQASSLEGEGTVVSLGLEHRSGT >KJB49574 pep chromosome:Graimondii2_0_v6:8:36717616:36720651:1 gene:B456_008G126200 transcript:KJB49574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYCLGVDDLYPELWKLCAGPLVEIPHVQERVFYFPQGHIEQLEASTNQELNYEAPLFNLSSKILCRVLHVQLLAEQETDEVYAQITLQPEPDQSELTSPDPFPTEVPEREVHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELTAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLGNQQSTMPSSVISSQNMHLGVLATAAHAVMTQTLFVVYYKPRTSQFIIGVSKYLEAINNRSSVGMRFKMRFEGEDSPERRFTGTIVGVGDASPHWSESKWRSLKIQWDEPAMIQRPERVSPWEIEPFSASASINLIQPAVKSKRPRPVYILASDTTTNSTGSAFWCHGSTKSHELARAGSIAEVQSSESSQVVWPMRQNEADAGYNTRARLENAWPPSSLVNVSLNFFRNPADASPVELRTSNDVMRDQVEKGKKLEISTGCRLFGFNLTNSNSAVSGTVIAPSHIDENPETFQSPKQQKQNASETSTKEIKAKHGTTSSMRTRTKVQMQGIAVGRAIDLTVLKGYDDLINELEKMFDIEGELRHRTKWSVVFTDNEGDMMLVGDDPWVGFCKMVRKIFIYSVDEVKKINGRCKFQASSLEGEGTVVSLGLEHRSGT >KJB49578 pep chromosome:Graimondii2_0_v6:8:36717327:36721133:1 gene:B456_008G126200 transcript:KJB49578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPEGIPRETGLGVDDLYPELWKLCAGPLVEIPHVQERVFYFPQGHIEQLEASTNQELNYEAPLFNLSSKILCRVLHVQLLAEQETDEVYAQITLQPEPDQSELTSPDPFPTEVPEREDMNQATPTQELTAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLGNQQSTMPSSVISSQNMHLGVLATAAHAVMTQTLFVVYYKPRTSQFIIGVSKYLEAINNRSSVGMRFKMRFEGEDSPERRFTGTIVGVGDASPHWSESKWRSLKIQWDEPAMIQRPERVSPWEIEPFSASASINLIQPAVKSKRPRPVYILASDTTTNSTGSAFWCHGSTKSHELARAGSIAEVQSSESSQVVWPMRQNEADAGYNTRARLENAWPPSSLVNVSLNFFRNPADASPVELRTSNDVMRDQVEKGKKLEISTGCRLFGFNLTNSNSAVSGTVIAPSHIDENPETFQSPKQQKQNASETSTKEIKAKHGTTSSMRTRTKVQMQGIAVGRAIDLTVLKGYDDLINELEKMFDIEGELRHRTKWSVVFTDNEGDMMLVGDDPWVGFCKMVRKIFIYSVDEVKKINGRCKFQASSLEGEGTVVSLGLEHRSGT >KJB49579 pep chromosome:Graimondii2_0_v6:8:36717327:36721133:1 gene:B456_008G126200 transcript:KJB49579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPEGIPRETGLGVDDLYPELWKLCAGPLVEIPHVQERVFYFPQGHIEQLEASTNQELNYEAPLFNLSSKILCRVLHVQLLAEQETDEVYAQITLQPEPDQSELTSPDPFPTEVPEREVHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELTAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLGNQQSTMPSSVISSQNMHLGVLATAAHAVMTQTLFVVYYKPRTSQFIIGVSKYLEAINNRSSVGMRFKMRFEGEDSPERRFTGTIVGVGDASPHWSESKWRSLKIQWDEPAMIQRPERVSPWEIEPFSASASINLIQPAVKSKRPRPVYILASDTTTNSTGSAFWCHGSTKSHELARAGSIAEVQSSESSQVVWPMRQNEADAGYNTRARLENAWPPSSLVNVSLNFFRNPADASPVELRTSNDVMRDQVEKGKKLEISTGCRLFGFNLTNSNSAVSGTVIAPSHIDENPETFQSPKQQKQNASETSTKEIKAKHGTTSSMRTRTKVQMQGIAVGRAIDLTVLKGYDDLINELEKMFDIEGELRHRTKWSVVFTDNEGDMMLVGDDPWV >KJB49581 pep chromosome:Graimondii2_0_v6:8:36717474:36720272:1 gene:B456_008G126200 transcript:KJB49581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPEGIPRETGLGVDDLYPELWKLCAGPLVEIPHVQERVFYFPQGHIEQLEASTNQELNYEAPLFNLSSKILCRVLHVQLLAEQETDEVYAQITLQPEPDQSELTSPDPFPTEVPEREVHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELTAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLGNQQSTMPSSVISSQNMHLGVLATAAHAVMTQTLFVVYYKPRTSQFIIGVSKYLEAINNRSSVGMRFKMRFEGEDSPERRFTGTIVGVGDASPHWSESKWRSLKIQWDEPAMIQRPERVSPWEIEPFSASASINLIQPAVKSKRPRPVYILASDTTTNSTGSAFWCHGSTKSHELARAGSIAEVQSSESSQVVWPMRQNEADAGYNTRARLENAWPPSSLVNVSLNFFRNPADASPVELRTSNDVMRDQVEKGKKLEISTGCRLFGFNLTNSNSAVSGTVIAPSHIDENPETFQSPKQQKQNASETSTKEIKAKHGTTSSMRTRTKVNHGVSVSAFLYSDPSCYSRYVYFPKGSNARDCRRSCY >KJB49577 pep chromosome:Graimondii2_0_v6:8:36717474:36720272:1 gene:B456_008G126200 transcript:KJB49577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPEGIPRETGLGVDDLYPELWKLCAGPLVEIPHVQERVFYFPQGHIEQLEASTNQELNYEAPLFNLSSKILCRVLHVQLLAEQETDEVYAQITLQPEPDQSELTSPDPFPTEVPEREVHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELTAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLGNQQSTMPSSVISSQNMHLGVLATAAHAVMTQTLFVVYYKPRTSQFIIGVSKYLEAINNRSSVGMRFKMRFEGEDSPERRFTGTIVGVGDASPHWSESKWRSLKIQWDEPAMIQRPERVSPWEIEPFSASASINLIQPAVKSKRPRPVYILASDTTTNSTGSAFWCHGSTKSHELARAGSIAEVQSSESSQVVWPMRQNEADAGYNTRARLENAWPPSSLVNVSLNFFRNPADASPVELRTSNDVMRDQVEKGKKLEISTGCRLFGFNLTNSNSAVSGTVIAPSHIDENPETFQSPKQQKQNASETSTKEIKAKHGTTSSMRTRTKVNHGVSVSAFLYSDPSCYSRYVYFPKGSNARDCRRSCY >KJB48451 pep chromosome:Graimondii2_0_v6:8:11712715:11714370:-1 gene:B456_008G069700 transcript:KJB48451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEKQRETAENAKDEAVRRKQTAGELRLHKDISELNLPQSCGITFPNGKDDLMNFEVSIRPDEGYYHGGTFLFSFKVSPIYPHEAPKVKCKTTIYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNYEDPLNHDAAQVLRDDPKLFESNVRWAMKGCHIGDTFYSQCI >KJB48450 pep chromosome:Graimondii2_0_v6:8:11712763:11714469:-1 gene:B456_008G069700 transcript:KJB48450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEKQRETAENAKDEAVRRKQTAGELRLHKDISELNLPQSCGITFPNGKDDLMNFEVSIRPDEGYYHGGTFLFSFKVSPIYPHEAPKVKCKTTIYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNYEDPLNHDAAQVLRDDPKLFESNVRWAMKGCHIGDTFYSQCI >KJB48449 pep chromosome:Graimondii2_0_v6:8:11713087:11714192:-1 gene:B456_008G069700 transcript:KJB48449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEKQRETAENAKDEAVRRKQTAGELRLHKDISELNLPQSCGITFPNGKDDLMNFEVSIRPDEGYYHGGTFLFSFKVSPIYPHEAPKVKCKTTIYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNYEDPLNHDAAQVLRDDPKLFESNVRWAMKGCHIGDTFYSQCI >KJB51219 pep chromosome:Graimondii2_0_v6:8:49228159:49228986:1 gene:B456_008G207200 transcript:KJB51219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPCTDEEQTGDQKLQHLLKNLEHEWDFIKKRPEKTLYRTSTGSSTKMIKTLGSLNQNSPRKLIMSPPLDEVAWKVRTNDLAVEEILRERRAAIESGKLKGRRLFEDDEDVNEVGFGRNEGSCSGFEIGLVQESEVRSVFSYESDNYEDENWPGKEHMSPSYPHCSSSSSSLCGAETLQRGSGTEMATMAEKKIGSDVGSGRVRWIVIKIWVAVSLVVFIVGIISTSSFGIYEDEEVILTPT >KJB48833 pep chromosome:Graimondii2_0_v6:8:20603895:20605386:-1 gene:B456_008G089400 transcript:KJB48833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTMTRLAEEEHPNKAFGWAARDSSGVLPPFKFSRRATGENDVAFKVLYCGICHSDLHMAKNEREHEIVGEVTEVGSKVQKFXXXXXXXXXXXXXXXXXXXXXXNNLENYCPKSILTYGAEYHDGSITYGGYFDTMVADEHFIVRIPDNLPLDVATPLLCAEITVYSPLRYYGLNKPGLHVGVVGLGGLGHVAVKFAKAMGAKVTVISTSPSKKKEALENLGPDSFLVSRDQDQLQGASGTLDGIIDSVSAQHPLFPLLGLLKSHGKLVLVGAPEKPLELPVFPVLQGWKVVAGSMTGGMKETEEMIDFAAKHNVKPDIEVIAMEYVNTAMERLLKAQLKATTS >KJB48775 pep chromosome:Graimondii2_0_v6:8:25828274:25829143:-1 gene:B456_008G095200 transcript:KJB48775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEVVKDLGAGNFGVARLLRHKGTKELVAMKYIERGHKNVAREIINHRSLRHPNIIQFKEATIVIEYAGGGELFDRICSAGRFSEDEARYFFQQLISSVNYCHSMVLR >KJB49221 pep chromosome:Graimondii2_0_v6:8:33637733:33638574:1 gene:B456_008G107200 transcript:KJB49221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDYQTVCCMCGDVGFSDKIFRCNKCRHRFQHSYCSNYYSEFAEPIELCDWCRSEERNSKHGSFSKKSPLMNRNETGIVNRSEYSGDKIKQHNDDHQHEHHHRGKNGGTPSPRPTTRRYKLLKDVMC >KJB49220 pep chromosome:Graimondii2_0_v6:8:33637704:33640529:1 gene:B456_008G107200 transcript:KJB49220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDYQTVCCMCGDVGFSDKIFRCNKCRHRFQHSYCSNYYSEFAEPIELCDWCRSEERNSKHGSFSKKSPLMNRNETGIVNRSEYSGDKIKQHNDDHQHEHHHRGKNGGTPSPRPTTRSYCLQPSGKFVHGLWRRSA >KJB49082 pep chromosome:Graimondii2_0_v6:8:34452076:34455370:-1 gene:B456_008G113000 transcript:KJB49082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 1-3 [Source:Projected from Arabidopsis thaliana (AT4G00170) UniProtKB/Swiss-Prot;Acc:Q84WW5] MQLTNKTEKYVAFKVKTTNPKKYCVRPNSGIVLPGSSCNVTVTMQAQKEAPPEPCRDKFLVQSVVTPDGTTSKDITPEMFNKEDGRVVNEFKMRVIYIPANPPSPVPEGSEEGTPPRASSQENANQNFEEVSRSLEDTKEKSSEAWSAISKLTDEKSSALQQNQRLRQELEQIRKDISKSRAGGFSLMFVMLVGLLGVLVGYFVKRG >KJB49080 pep chromosome:Graimondii2_0_v6:8:34452059:34454822:-1 gene:B456_008G113000 transcript:KJB49080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 1-3 [Source:Projected from Arabidopsis thaliana (AT4G00170) UniProtKB/Swiss-Prot;Acc:Q84WW5] MQAQKEAPPEPCRDKFLVQSVVTPDGTTSKDITPEMFNKEDGRVVNEFKMRVIYIPANPPSPVPEGSEEGTPPRASSQENANQNFEEVSRSLEDTKEKSSEAWSAISKLTDEKSSALQQNQRLRQELEQIRKDISKSRAGGFSLMFVMLVGLLGVLVGYFVKRG >KJB49079 pep chromosome:Graimondii2_0_v6:8:34452059:34455370:-1 gene:B456_008G113000 transcript:KJB49079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 1-3 [Source:Projected from Arabidopsis thaliana (AT4G00170) UniProtKB/Swiss-Prot;Acc:Q84WW5] MSMGDLISIHPSELKFPFELRRQSSCSMQLTNKTEKYVAFKVKTTNPKKYCVRPNSGIVLPGSSCNVTVTMQAQKEAPPEPCRDKFLVQSVVTPDGTTSKDITPEMFNKEDGRVVNEFKMRVIYIPANPPSPVPEGSEEGTPPRASSQENANQNFEEVSRSLEDTKEKSSEAWSAISKLTDEKSSALQQNQRLRQELEQIRKDISKSRAGGFSLMFVMLVGLLGVLVGYFVKRG >KJB49084 pep chromosome:Graimondii2_0_v6:8:34453872:34455370:-1 gene:B456_008G113000 transcript:KJB49084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 1-3 [Source:Projected from Arabidopsis thaliana (AT4G00170) UniProtKB/Swiss-Prot;Acc:Q84WW5] MSMGDLISIHPSELKFPFELRRQSSCSMQLTNKTEKYVAFKVKTTNPKKYCVRPNSGIVLPGSSCNVTVTMQAQKEAPPEPCRDKFLVQSVVTPDGTTSKDITPEMFNKEDGRVVNEFKMRVIYIPANPPSPVPEGSEEGTPPRASSQENANQNFEEVSFFLFCWLTRKCCLCFSPFINYYWRSYYSLVIYFVFHLFPNLMQNLGIKIFRGY >KJB49081 pep chromosome:Graimondii2_0_v6:8:34452059:34455074:-1 gene:B456_008G113000 transcript:KJB49081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 1-3 [Source:Projected from Arabidopsis thaliana (AT4G00170) UniProtKB/Swiss-Prot;Acc:Q84WW5] MFFLLRNFIVELRRQSSCSMQLTNKTEKYVAFKVKTTNPKKYCVRPNSGIVLPGSSCNVTVTMQAQKEAPPEPCRDKFLVQSVVTPDGTTSKDITPEMFNKEDGRVVNEFKMRVIYIPANPPSPVPEGSEEGTPPRASSQENANQNFEEVSRSLEDTKEKSSEAWSAISKLTDEKSSALQQNQRLRQELEQIRKDISKSRAGGFSLMFVMLVGLLGVLVGYFVKRG >KJB49083 pep chromosome:Graimondii2_0_v6:8:34452019:34455558:-1 gene:B456_008G113000 transcript:KJB49083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 1-3 [Source:Projected from Arabidopsis thaliana (AT4G00170) UniProtKB/Swiss-Prot;Acc:Q84WW5] MSMGDLISIHPSELKFPFELRRQSSCSMQLTNKTEKYVAFKVKTTNPKKYCVRPNSGIVLPGSSCNVTVTMQAQKEAPPEPCRDKFLVQSVVTPDGTTSKDITPEMFNKEDGRVVNEFKMRVIYIPANPPSPVPEGSEEGTPPRASSQENANQNFEEVSRSLEDTKEKSSEAWSAISKLTDEKSSALQQNQRLRQELEQIRKDISKSRAGGFSLMFVMLVGLLGVLVGYFVKRG >KJB49289 pep chromosome:Graimondii2_0_v6:8:34232894:34240538:-1 gene:B456_008G111200 transcript:KJB49289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSRSDKSEQQYRKSGRSASFNQQRSSSGAYSKGAGGGPAPSRSPSSSSSSLSSNRSLKKSNNAQGGQYRLNSLAANSTESSNTSAARTKQNGAHLQPQLQGASDASIASNVAQPVQSPIIQNSTRAVSKTPNSQPPTISSDSSLPTTAGKEDPSKAFSLQFGSITPGFMNGMQIPARTSSAPPNLDEQKRNQARHDSSFKSVPNLPTSIPKQQLPRKDSVATEQSSSGEAHSVPKIKKDAQPSAVPPVNQTQKPSPVNIPMTSMQMPFHHQPQVPIQYGGPNPQIQSQSVTASSMQMPIHIPLAMGNGPQVQQQVFVAGLQALPLPPQGMMHQGGGLSFTPPIGGQLTPQLGNLGMGIAPQYSQQQGGKFGVTRKTTPVKITHPDTHEELRLDKRTDIRADGGSSVPRSHPNMPSQSQPIPSFAPSHSINYYSNSYNTNSVYYPPPSSLPLAGSQIAPNAQGPRFNYPVSQGHQNISFMNSAAAPGSLAVNKSVNHACGTSESVNVDPVRDAQNVISFATSGSTQVTVKPATVSAGEKFEDSSFSSISPSTEKAGSLKHSMPACEVSSSQAQRDLDTFPESSVQQPKLGNESLTSESLPAAAKHSGGVPATNLDESQPSSCVSSASDSTSKESTPVFASNEGKRREGLSRSNSIKNYQKKPVQEGQIQPPVQSTSTFYLGTNPAEYGVSSESAVTEALVAKKALTSLAAADVLSQSTREFTAINEALPSSLDPKTESKIECLISVSSEVSGTGSKLDSFGLVKHAKFDGSSKLDELPRSEISGINDEEEKHLPEEHLKDSVSLEISSQPVPLKSTELKSDQDSASKVVATDNVVHTQGTEHRVLNEDLSGKVENVEVTDSKDISTSRIADSTDIEGSHVTKSGILDQQSAPVPSPDLLESSSNYEGEGVPLPSSKDKPAPQLSRTKSTITSGKKKRREILQKADAAGTTSDLYMAYKGPEEKKETVAPSASVETNSVGVNLKQTSHEALQVDAIEREKITQSKAELDDWEDAADISTPNLETSDTDEKAHGGVPSHEEDGSGNITKKYSRDFLLKFAGQYTDLPQGFEIASDIAAALMAANVNASHAVDHDSYPSPGRKLDRQSSGSRLDRRASGIVDDDRWMRPPGPFGPGRDLRLDLGYGAVAGFRPVQGGNFGVLRHPWAQTPLPYLGGVPGGQMLHMSPHGGMQHSGPDADRWHRGVMYQQKGLIPSPQTPLQTMHRAERKYQVGKVTDEEEAKQRQLKSILNKLTPQNFEKLFEQVKAVNIDNAVTLTGVISQIFDKALMEPTFCEMYANFCQCLAGELPDFIENNEKITFKRLLLNKCQEEFERGEREQEEANKIEEEGEAKLSEEEREEKRIKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYENPDEEDVEALCKLMSTIGEMIDHPKAKVHMDAYFERMAKLSNNMKLSSRIRFMLRDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQSGRLARGPGFNATARRAPMDFSPRGPMLSSPVSQMSSFRGLQGQPHGFGAQDVRMDDRQSLESRTLSVPLPQRPTGDDSITLGPQGGLGRGMSFRGPSVMSSTPLANISPISGDSRRTAGSNGFSSVSERTTYGPREDLMPRFGTDRVAPTAAYEQPSSQERGINFGNRDSRTPDRSIVRPLAASPSTQAQSSGFSQNIPPEKGWSEERLRDMSMEAIKEFYSARDEKEVVLCIKDLNSTSFHPTMIALWVTDCFERKDMERDLLAKLLVNLTRSHDGVLSQAELIKGFESVLSTLEDAVNDAPKAPEFLGRIFGKMVVEDVISMKEIGRLILEGGEEAGQIVEIGLGGDVMGSTLGMIKTEKGESVLNEIRGSSCLRLEDFRPSHPNRSRILETFF >KJB49291 pep chromosome:Graimondii2_0_v6:8:34233852:34240109:-1 gene:B456_008G111200 transcript:KJB49291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSRSDKSEQQYRKSGRSASFNQQRSSSGAYSKGAGGGPAPSRSPSSSSSSLSSNRSLKKSNNAQGGQYRLNSLAANSTESSNTSAARTKQNGAHLQPQLQGASDASIASNVAQPVQSPIIQNSTRAVSKTPNSQPPTISSDSSLPTTAGKEDPSKAFSLQFGSITPGFMNGMQIPARTSSAPPNLDEQKRNQARHDSSFKSVPNLPTSIPKQQLPRKDSVATEQSSSGEAHSVPKIKKDAQPSAVPPVNQTQKPSPVNIPMTSMQMPFHHQPQVPIQYGGPNPQIQSQSVTASSMQMPIHIPLAMGNGPQVQQQVFVAGLQALPLPPQGMMHQGGGLSFTPPIGGQLTPQLGNLGMGIAPQYSQQQGGKFGVTRKTTPVKITHPDTHEELRLDKRTDIRADGGSSVPRSHPNMPSQSQPIPSFAPSHSINYYSNSYNTNSVYYPPPSSLPLAGSQIAPNAQGPRFNYPVSQGHQNISFMNSAAAPGSLAVNKSVNHACGTSESVNVDPVRDAQNVISFATSGSTQVTVKPATVSAGEKFEDSSFSSISPSTEKAGSLKHSMPACEVSSSQAQRDLDTFPESSVQQPKLGNESLTSESLPAAAKHSGGVPATNLDESQPSSCVSSASDSTSKESTPVFASNEGKRREGLSRSNSIKNYQKKPVQEGQIQPPVQSTSTFYLGTNPAEYGVSSESAVTEALVAKKALTSLAAADVLSQSTREFTAINEALPSSLDPKTESKIECLISVSSEVSGTGSKLDSFGLVKHAKFDGSSKLDELPRSEISGINDEEEKHLPEEHLKDSVSLEISSQPVPLKSTELKSDQDSASKVVATDNVVHTQGTEHRVLNEDLSGKVENVEVTDSKDISTSRIADSTDIEGSHVTKSGILDQQSAPVPSPDLLESSSNYEGEGVPLPSSKDKPAPQLSRTKSTITSGKKKRREILQKADAAGTTSDLYMAYKGPEEKKETVAPSASVETNSVGVNLKQTSHEALQVDAIEREKITQSKAELDDWEDAADISTPNLETSDTDEKAHGGVPSHEEDGSGNITKKYSRDFLLKFAGQYTDLPQGFEIASDIAAALMAANVNASHAVDHDSYPSPGRKLDRQSSGSRLDRRASGIVDDDRWMRPPGPFGPGRDLRLDLGYGAVAGFRPVQGGNFGVLRHPWAQTPLPYLGGVPGGQMLHMSPHGGMQHSGPDADRWHRGVMYQQKGLIPSPQTPLQTMHRAERKYQVGKVTDEEEAKQRQLKSILNKLTPQNFEKLFEQVKAVNIDNAVTLTGVISQIFDKALMEPTFCEMYANFCQCLAGELPDFIENNEKITFKRLLLNKCQEEFERGEREQEEANKIEEEGEAKLSEEEREEKRIKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYENPDEEDVEALCKLMSTIGEMIDHPKAKVHMDAYFERMAKLSNNMKLSSRIRFMLRDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQSGRLARGPGFNATARRAPMDFSPRGPMLSSPVSQMSSFRGLQGQPHGFGAQDVRMDDRQSLESRTLSVPLPQRPTGDDSITLGPQGGLGRGMSFRGPSVMSSTPLANISPISGDSRRTAGSNGFSSVSERTTYGPREDLMPRFGTDRVAPTAAYEQPSSQERGINFGNRDSRTPDRSIVRPLAASPSTQAQSSGFSQNIPPEKGWSEERLRDMSMEAIKEFYRYPLGSFDFRVCYIRLVSHKKMCIYVRTHKFDLRRVFCSEYDSNMILMG >KJB49290 pep chromosome:Graimondii2_0_v6:8:34232894:34240538:-1 gene:B456_008G111200 transcript:KJB49290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSRSDKSEQQYRKSGRSASFNQQRSSSGAYSKGAGGGPAPSRSPSSSSSSLSSNRSLKKSNNAQGGQYRLNSLAANSTESSNTSAARTKQNGAHLQPQLQGASDASIASNVAQPVQSPIIQNSTRAVSKTPNSQPPTISSDSSLPTTAGKEDPSKAFSLQFGSITPGFMNGMQIPARTSSAPPNLDEQKRNQARHDSSFKSVPNLPTSIPKQQLPRKDSVATEQSSSGEAHSVPKIKKDAQPSAVPPVNQTQKPSPVNIPMTSMQMPFHHQPQVPIQYGGPNPQIQSQSVTASSMQMPIHIPLAMGNGPQVQQQVFVAGLQALPLPPQGMMHQGGGLSFTPPIGGQLTPQLGNLGMGIAPQYSQQQGGKFGVTRKTTPVKITHPDTHEELRLDKRTDIRADGGSSVPRSHPNMPSQSQPIPSFAPSHSINYYSNSYNTNSVYYPPPSSLPLAGSQIAPNAQGPRFNYPVSQGHQNISFMNSAAAPGSLAVNKSVNHACGTSESVNVDPVRDAQNVISFATSGSTQVTVKPATVSAGEKFEDSSFSSISPSTEKAGSLKHSMPACEVSSSQAQRDLDTFPESSVQQPKLGNESLTSESLPAAAKHSGGVPATNLDESQPSSCVSSASDSTSKESTPVFASNEGKRREGLSRSNSIKNYQKKPVQEGQIQPPVQSTSTFYLGTNPAEYGVSSESAVTEALVAKKALTSLAAADVLSQSTREFTAINEALPSSLDPKTESKIECLISVSSEVSGTGSKLDSFGLVKHAKFDGSSKLDELPRSEISGINDEEEKHLPEEHLKDSVSLEISSQPVPLKSTELKSDQDSASKVVATDNVVHTQGTEHRVLNEDLSGKVENVEVTDSKDISTSRIADSTDIEGSHVTKSGILDQQSAPVPSPDLLESSSNYEGEGVPLPSSKDKPAPQLSRTKSTITSGKKKRREILQKADAAGTTSDLYMAYKGPEEKKETVAPSASVETNSVGVNLKQTSHEALQVDAIEREKITQSKAELDDWEDAADISTPNLETSDTDEKAHGGVPSHEEDGSGNITKKYSRDFLLKFAGQYTDLPQGFEIASDIAAALMAANVNASHAVDHDSYPSPGRKLDRQSSGSRLDRRASGIVDDDRWMRPPGPFGPGRDLRLDLGYGAVAGFRPVQGGNFGVLRHPWAQTPLPYLGGVPGGQMLHMSPHGGMQHSGPDADRWHRGVMYQQKGLIPSPQTPLQTMHRAERKYQVGKVTDEEEAKQRQLKSILNKLTPQNFEKLFEQVKAVNIDNAVTLTGVISQIFDKALMEPTFCEMYANFCQCLAGELPDFIENNEKITFKRLLLNKCQEEFERGEREQEEANKIEEEGEAKLSEEEREEKRIKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYENPDEEDVEALCKLMSTIGEMIDHPKAKVHMDAYFERMAKLSNNMKLSSRIRFMLRDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQSGRLARGPGFNATARRAPMDFSPRGPMLSSPVSQMSSFRGLQGQPHGFGAQDVRMDDRQSLESRTLSVPLPQRPTGDDSITLGPQGGLGRGMSFRGPSVMSSTPLANISPISGDSRRTAGSNGFSSVSERTTYGPREDLMPRFGTDRVAPTAAYEQPSSQERGINFGNRDSRTPDRSIVRPLAASPSTQAQSSGFSQNIPPEKGWSEERLRDMSMEAIKEFYSARDEKEVVLCIKDLNSTSFHPTMIALWVTDCFERKDMERDLLAKLLVNLTRSHDGVLSQAELIKGFESVLSTLEDAVNDAPKAPEFLGRIFGKMVVEDVISMKEIGRLILEGGEEAGQIVEIGLGGDVMGSTLGMIKTEKGESVLNEIRGSSCLRLEDFRPSHPNRSRILETFF >KJB49288 pep chromosome:Graimondii2_0_v6:8:34232750:34240538:-1 gene:B456_008G111200 transcript:KJB49288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSRSDKSEQQYRKSGRSASFNQQRSSSGAYSKGAGGGPAPSRSPSSSSSSLSSNRSLKKSNNAQGGQYRLNSLAANSTESSNTSAARTKQNGAHLQPQLQGASDASIASNVAQPVQSPIIQNSTRAVSKTPNSQPPTISSDSSLPTTAGKDPSKAFSLQFGSITPGFMNGMQIPARTSSAPPNLDEQKRNQARHDSSFKSVPNLPTSIPKQQLPRKDSVATEQSSSGEAHSVPKIKKDAQPSAVPPVNQTQKPSPVNIPMTSMQMPFHHQPQVPIQYGGPNPQIQSQSVTASSMQMPIHIPLAMGNGPQVQQQVFVAGLQALPLPPQGMMHQGGGLSFTPPIGGQLTPQLGNLGMGIAPQYSQQQGGKFGVTRKTTPVKITHPDTHEELRLDKRTDIRADGGSSVPRSHPNMPSQSQPIPSFAPSHSINYYSNSYNTNSVYYPPPSSLPLAGSQIAPNAQGPRFNYPVSQGHQNISFMNSAAAPGSLAVNKSVNHACGTSESVNVDPVRDAQNVISFATSGSTQVTVKPATVSAGEKFEDSSFSSISPSTEKAGSLKHSMPACEVSSSQAQRDLDTFPESSVQQPKLGNESLTSESLPAAAKHSGGVPATNLDESQPSSCVSSASDSTSKESTPVFASNEGKRREGLSRSNSIKNYQKKPVQEGQIQPPVQSTSTFYLGTNPAEYGVSSESAVTEALVAKKALTSLAAADVLSQSTREFTAINEALPSSLDPKTESKIECLISVSSEVSGTGSKLDSFGLVKHAKFDGSSKLDELPRSEISGINDEEEKHLPEEHLKDSVSLEISSQPVPLKSTELKSDQDSASKVVATDNVVHTQGTEHRVLNEDLSGKVENVEVTDSKDISTSRIADSTDIEGSHVTKSGILDQQSAPVPSPDLLESSSNYEGEGVPLPSSKDKPAPQLSRTKSTITSGKKKRREILQKADAAGTTSDLYMAYKGPEEKKETVAPSASVETNSVGVNLKQTSHEALQVDAIEREKITQSKAELDDWEDAADISTPNLETSDTDEKAHGGVPSHEEDGSGNITKKYSRDFLLKFAGQYTDLPQGFEIASDIAAALMAANVNASHAVDHDSYPSPGRKLDRQSSGSRLDRRASGIVDDDRWMRPPGPFGPGRDLRLDLGYGAVAGFRPVQGGNFGVLRHPWAQTPLPYLGGVPGGQMLHMSPHGGMQHSGPDADRWHRGVMYQQKGLIPSPQTPLQTMHRAERKYQVGKVTDEEEAKQRQLKSILNKLTPQNFEKLFEQVKAVNIDNAVTLTGVISQIFDKALMEPTFCEMYANFCQCLAGELPDFIENNEKITFKRLLLNKCQEEFERGEREQEEANKIEEEGEAKLSEEEREEKRIKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYENPDEEDVEALCKLMSTIGEMIDHPKAKVHMDAYFERMAKLSNNMKLSSRIRFMLRDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQSGRLARGPGFNATARRAPMDFSPRGPMLSSPVSQMSSFRGLQGQPHGFGAQDVRMDDRQSLESRTLSVPLPQRPTGDDSITLGPQGGLGRGMSFRGPSVMSSTPLANISPISGDSRRTAGSNGFSSVSERTTYGPREDLMPRFGTDRVAPTAAYEQPSSQERGINFGNRDSRTPDRSIVRPLAASPSTQAQSSGFSQNIPPEKGWSEERLRDMSMEAIKEFYSARDEKEVVLCIKDLNSTSFHPTMIALWVTDCFERKDMERDLLAKLLVNLTRSHDGVLSQAELIKGFESVLSTLEDAVNDAPKAPEFLGRIFGKMVVEDVISMKEIGRLILEGGEEAGQIVEIGLGGDVMGSTLGMIKTEKGESVLNEIRGSSCLRLEDFRPSHPNRSRILETFF >KJB51339 pep chromosome:Graimondii2_0_v6:8:51368490:51370021:-1 gene:B456_008G227400 transcript:KJB51339 gene_biotype:protein_coding transcript_biotype:protein_coding description:lsd one like 1 [Source:Projected from Arabidopsis thaliana (AT1G32540) TAIR;Acc:AT1G32540] MPVPLAPYPTPPAPYTPPAANGAQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGGSASAAEQKFNS >KJB51340 pep chromosome:Graimondii2_0_v6:8:51368144:51370521:-1 gene:B456_008G227400 transcript:KJB51340 gene_biotype:protein_coding transcript_biotype:protein_coding description:lsd one like 1 [Source:Projected from Arabidopsis thaliana (AT1G32540) TAIR;Acc:AT1G32540] MPVPLAPYPTPPAPYTPPAANGAQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGGSASAAEQKFNS >KJB51342 pep chromosome:Graimondii2_0_v6:8:51367667:51370642:-1 gene:B456_008G227400 transcript:KJB51342 gene_biotype:protein_coding transcript_biotype:protein_coding description:lsd one like 1 [Source:Projected from Arabidopsis thaliana (AT1G32540) TAIR;Acc:AT1G32540] MPVPLAPYPTPPAPYTPPAANGAQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGGSASAAEQKFNS >KJB51341 pep chromosome:Graimondii2_0_v6:8:51368490:51370021:-1 gene:B456_008G227400 transcript:KJB51341 gene_biotype:protein_coding transcript_biotype:protein_coding description:lsd one like 1 [Source:Projected from Arabidopsis thaliana (AT1G32540) TAIR;Acc:AT1G32540] MPVPLAPYPTPPAPYTPPAANGAQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGGSASAAEQKFNS >KJB46933 pep chromosome:Graimondii2_0_v6:8:385591:386471:1 gene:B456_008G002100 transcript:KJB46933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYIVPWFALPPLGSLNRDPEFRTRTRTRTRTDKSFDFPFYFLLLFFLLVTDFGLFSFGYCFRLFGFARFGMLSGGDEWGNDGGARMVR >KJB50091 pep chromosome:Graimondii2_0_v6:8:41156641:41160695:-1 gene:B456_008G153300 transcript:KJB50091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRKRRQCFPSCSSLHRVDEDEVYWRRKKGGEELEWPHNSTHLLSQLAQCFTNAMVGPKSWLGGLFNRFGNRRNEKFLGYTLTPIQEQRLHRLQERLHIPFDETRPDHQKALIKLWHIAFPNVVLTGLISEQWKDMGWQGPNPSTDFRGCGFISLENLLFFGQNFPASFHRLLLKEDGDRATWEYPFAVAGINVSFMLIQMLDLYSEKPKNLPGLNFLKLLGEDEEAFDVLYCVAFELMDAQWLAMHASYMEFNEVLQVTRTQLQRELSLDDVHRIQDLPAYNLLYK >KJB50089 pep chromosome:Graimondii2_0_v6:8:41157322:41160695:-1 gene:B456_008G153300 transcript:KJB50089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRKRRQCFPSCSSLHRVDEDEVYWRRKKGGEELEWPHNSTHLLSQLAQCFTNAMVGPKSWLGGLFNRFGNRRNEKFLGYTLTPIQEQRLHRLQERLHIPFDETRPDHQKALIKLWHIAFPNVVLTGLISEQWKDMGWQGPNPSTDFRGCGFISLENLLFFGQNFPVIIEGRWRQSNLGIPIRRCWHQCIFYVDSDVGFIFRKTKKSTRIKFPETIRRR >KJB50090 pep chromosome:Graimondii2_0_v6:8:41156641:41159327:-1 gene:B456_008G153300 transcript:KJB50090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPKSWLGGLFNRFGNRRNEKFLGYTLTPIQEQRLHRLQERLHIPFDETRPDHQKALIKLWHIAFPNVVLTGLISEQWKDMGWQGPNPSTDFRGCGFISLENLLFFGQNFPASFHRLLLKEDGDRATWEYPFAVAGINVSFMLIQMLDLYSEKPKNLPGLNFLKLLGEDEEAFDVLYCVAFELMDAQWLAMHASYMEFNEVLQVTRTQLQRELSLDDVHRIQDLPAYNLLYK >KJB50087 pep chromosome:Graimondii2_0_v6:8:41155953:41161098:-1 gene:B456_008G153300 transcript:KJB50087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPKSWLGGLFNRFGNRRNEKFLGYTLTPIQEQRLHRLQERLHIPFDETRPDHQKALIKLWHIAFPNVVLTGLISEQWKDMGWQGPNPSTDFRGCGFISLENLLFFGQNFPVIIEGRWRQSNLGIPIRRCWHQCIFYVDSDVGFIFRKTKKSTRIKFPETIRRR >KJB50088 pep chromosome:Graimondii2_0_v6:8:41155953:41161098:-1 gene:B456_008G153300 transcript:KJB50088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRKRRQCFPSCSSLHRVDEDEVYWRRKKGGEELEWPHNSTHLLSQLAQCFTNAMVGPKSWLGGLFNRFGNRRNEKFLGYTLTPIQEQRLHRLQERLHIPFDETRPDHQKALIKLWHIAFPNVVLTGLISEQWKDMGWQGPNPSTDFRKTKKSTRIKFPETIRRR >KJB47162 pep chromosome:Graimondii2_0_v6:8:1553341:1555845:1 gene:B456_008G013400 transcript:KJB47162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEIPFKLLLPFSSFSFTGVPAGATTAGLGSQHGQLLPYNNQRTSHFFNVKTFGAQADGLTDDTKVLFPSLSFSIQTPAYHLQYLVCYFIFPFIILFNLLHSSFQAFLSAWNKACQATGEVDIMIPKGTYLVGPLKFAGPCENVSKIVVHMKATTNLFKYGDGAGWVEFRWIEGLTLTGGGTFDGQGAKAWPYNSCSTDFNCKLLPTNVKFLAMNRTIVRGITSVNSKFFHMALVECKNFKGSKIKISAPADSPNTDGIHIQRSSGVYFSRSLIGTGDDCISIGQGILKSP >KJB47164 pep chromosome:Graimondii2_0_v6:8:1554034:1555735:1 gene:B456_008G013400 transcript:KJB47164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEIPFKLLLPFSSFSFTGVPAGATTAGLGSQHGQLLPYNNQRTSHFFNVKTFGAQADGLTDDTKAFLSAWNKACQATGEVDIMIPKGTYLVGPLKFAGPCENVSKIVVHMKGYLKATTNLFKYGDGAGWVEFRWIEGLTLTGGGTFDGQGAKAWPYNSCSTDFNCKLLPTLQQNVKFLAMNRTIVRGITSVNSKFFHMALVECKNFKGSKIKISAPADSPNTDGIHIQRSSGVYFSRSLIGTGDDCISIGQGILNVGSLGRYKDEGDVSGLVVRDCTMIGTSNGIRIKTWANSPGRSEATNMTFENINMENVTNPIIIDQSYCPFASCTPMGPSQVKLSDIYFKKIKGTSLSAVAVALECSKGIPCQDIYLEDVHLELATGEKQVTSTCKNVRAKYIGTQIPPPCA >KJB47163 pep chromosome:Graimondii2_0_v6:8:1553341:1555845:1 gene:B456_008G013400 transcript:KJB47163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEIPFKLLLPFSSFSFTGVPAGATTAGLGSQHGQLLPYNNQRTSHFFNVKTFGAQADGLTDDTKVLFPSLSFSIQTPAYHLQYLVCYFIFPFIILFNLLHSSFQAFLSAWNKACQATGEVDIMIPKGTYLVGPLKFAGPCENVSKIVVHMKGYLKATTNLFKYGDGAGWVEFRWIEGLTLTGGGTFDGQGAKAWPYNSCSTDFNCKLLPTNVKFLAMNRTIVRGITSVNSKFFHMALVECKNFKGSKIKISAPADSPNTDGIHIQRSSGVYFSRSLIGTGDDCISIGQGILKSP >KJB51656 pep chromosome:Graimondii2_0_v6:8:51358244:51360119:-1 gene:B456_008G227200 transcript:KJB51656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIVSTATVSGETWCTPSEWKSREQKNSLHVPFDRLEVALSFTRDSVMFTSIVIRSNANANVACAFKFVVEAAGWFKSYFGGVFNEDAIHNNFVLIYEFLVEIMDFGYPPCNFKALHYSGRTVVPIFVGASYILRFNACWQDSYGRYMSSCTLREISWYNTVEWSL >KJB49199 pep chromosome:Graimondii2_0_v6:8:33353382:33355767:1 gene:B456_008G106100 transcript:KJB49199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAIPLSFEEFQGKGALDFASSTSSYSNSSLLLQHQQQKKWQNNEESCCYVGFDPTSTRSPSPPTSSSTLSSSFDGGSGGASTDSSGVAETVTVSKGKSQSFDIGTGKCGLNMEDWENDQSILRLIMGDVDDPSLGLNKILQPPSGSCSGGGSSENIEFNAGFGMVDHSFGFDSITSSVSLMNNDMVSCLNPVFDQNQAEFTQNPVMLFPSYAAEMQEHNLLSPPPPKRFNSGTSGPNYQVPKVQFSGSGPEHYLQRQQLLHQRPTTPKIVTDEMANQQLQQAIIDQLIQAAELIETGDPVLAQGILARLNHQLSPVGKPFIRAAFYFKEALQLLLRFNTTNTSTLYTTNIIFKIAAYKSFSEISPTIQFMNFTCNQAILEVFEGCNRVHIIDFDIGYGGQWASLMQELVLRNGGAPCMKITVFACLTSYDEFELRFTIENLKHFANEINMGFDIEIVSLEALNSCSWYSLPLHFSENETIAVNLPIGSFSNYPSTLPLILRFVKQLSPKIVVSSDRGCDRTDVPFPHHIIHALQSYSGLLESLDAVNMNLNALEKIERFFLQPSIEKIVLGRHRSLERRPPWRSLFIQFGFSPLTFSNFTESQAECLVQRTPIRGFHVEKRQSALVLCWQRRELIAASAWRC >KJB49162 pep chromosome:Graimondii2_0_v6:8:31276649:31277998:1 gene:B456_008G103500 transcript:KJB49162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISLNLIISLTLSFLSLSHSTYLPTLTSTITGNGTLPPPPPPLPPLFPVSPSPQPPHEDFPRQGIFSHTSVLPPILSHLGFNELATAALSLFSDSATSTATAWSGSYTIFAPFDSSVLTCISCSIPSLLREHMVPGLFPSDYLRKLSFGTKIETVSPGRCITVTSTSTVQNDPTMYKIFIVGVEITHPDLFNNGLIIIHGLQGYISQLSPFSCDVERMTSLSFPSNYDRSRNNQLSPQQHAALMRFMLRDVILRLRNSGFSVLSLALKLKYAELVSLRNVTIFALDDVSIFSGSYSYINSVRLHIVPNQFLTIADLERLPVGAPLTTLDREQSLVVTTAGGVLTKQMMRINYMSIKVADMMKNLNVIVHSLYLPFPHVTPMTATTDSMLGGEDPMSTVPVPVPVPPGTDEACDALDEQGKCEMRQVNHVTTHVNPHYIPEIEDHHGL >KJB50873 pep chromosome:Graimondii2_0_v6:8:47391994:47400110:-1 gene:B456_008G191000 transcript:KJB50873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYYHHHQPHSQWRQPPPPQQPSPCPVCSIPHFPFCPPYPPYHHQNPNYPQHPNYARPGFDPFQPPAPPPPPPPPQPAPALPLPPPPYINGFADPRSWHTNPNYGYDYATAGGGDIDRSYKRPRIEEGCSGPARILTEDERRLKLIRDHGAASFSGFNQENKSLHNNINNNNNVNLMPPRSSEMYNIEDSLNNYTDYYGNNNYNYNQPQQIQHTDSVPTAVNHWQGYEQRLGGYLPHPGGNHMSQPPPPPLPASPPPPLPVEAYSSSSNSSVSLFPIGVSSSVTAHSTYPVVTEPYYQNKPPPHAFHREDPQVTHRTSSVKYAANPQKELSSDKAKFVDASELFKMPHRASRPDHIVIILRGLPGSGKSYLAKMLRDLEVENGGDAPRIHSMDDYFMTEVEKDEEIEVSKSSSSVRSKKTVKKMVMEYCYEPEMEEAYRESMLKAFRRTLEDGIFSFVIVDDRNLRVADFAQFWAIGKRSGYEVYVLEATYKDPVGCAARNVHGFTLDDIQQMAGQWEEAPSLYLQLDIKSLFHGDDLKESGIQEVDMDMEDGDREEGLSGQEEQKTEKVNLPTLGDHVPEGW >KJB50876 pep chromosome:Graimondii2_0_v6:8:47391066:47400263:-1 gene:B456_008G191000 transcript:KJB50876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYYHHHQPHSQWRQPPPPQQPSPCPVCSIPHFPFCPPYPPYHHQNPNYPQHPNYARPGFDPFQPPAPPPPPPPPQPAPALPLPPPPYINGFADPRSWHTNPNYGYDYATAGGGDIDRSYKRPRIEEGCSGPARILTEDERRLKLIRDHGAASFSGFNQENKSLHNNINNNNNVNLMPPRSSEMYNIEDSLNNYTDYYGNNNYNYNQPQQIQHTDSVPTAVNHWQGYEQRLGGYLPHPGGNHMSQPPPPPLPASPPPPLPVEAYSSSSNSSVSLFPIGVSSSVTAHSTYPVVTEPYYQNKPPPHAFHREVTHRTSSVKYAANPQKELSSDKAKFVDASELFKMPHRASRPDHIVIILRGLPGSGKSYLAKMLRDLEVENGGDAPRIHSMDDYFMTEVEKDEEIEVSKSSSSVRSKKTVKKMVMEYCYEPEMEEAYRESMLKAFRRTLEDGIFSFVIVDDRNLRVADFAQFWAIGKRSGYEVYVLEATYKDPVGCAARNVHGFTLDDIQQMAGQWEEAPSLYLQLDIKSLFHGDDLKESGIQEVDMDMEDGDREEGLSGQEEQKTEKVNLPTLGDHVPEDSSKDEKRWDAEGDYLVEVKELSRSKWSNNLDEDETEGSEAIKGNLNALSGLIQAYGNKGKSVRWSDQGGDTGFLIGAAKKAKMLSLVIGPGAGYNLKSNPLPKEESHTSNSIGNSKKQSSFQERLRAEHESFKAVFDRKKRIGGLDLDEEQ >KJB50874 pep chromosome:Graimondii2_0_v6:8:47391066:47400253:-1 gene:B456_008G191000 transcript:KJB50874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYYHHHQPHSQWRQPPPPQQPSPCPVCSIPHFPFCPPYPPYHHQNPNYPQHPNYARPGFDPFQPPAPPPPPPPPQPAPALPLPPPPYINGFADPRSWHTNPNYGYDYATAGGGDIDRSYKRPRIEEGCSGPARILTEDERRLKLIRDHGAASFSGFNQENKSLHNNINNNNNVNLMPPRSSEMYNIEDSLNNYTDYYGNNNYNYNQPQQIQHTDSVPTAVNHWQGYEQRLGGYLPHPGGNHMSQPPPPPLPASPPPPLPVEAYSSSSNSSVSLFPIGVSSSVTAHSTYPVVTEPYYQNKPPPHAFHREVTHRTSSVKYAANPQKELSSDKAKFVDASELFKMPHRASRPDHIVIILRGLPGSGKSYLAKMLRDLEVENGGDAPRIHSMDDYFMTEVEKDEEIEVSKSSSSVRSKKTVKKMVMEYCYEPEMEEAYRESMLKAFRRTLEDGIFSFVIVDDRNLRVADFAQFWAIGKRSGYEVYVLEATYKDPVGCAARNVHGFTLDDIQQMAGQWEEAPSLYLQLDIKSLFHGDDLKESGIQEVDMDMEDGDREEGLSGQEEQKTEKVNLPTLGDHVPEGW >KJB50875 pep chromosome:Graimondii2_0_v6:8:47391460:47400110:-1 gene:B456_008G191000 transcript:KJB50875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYYHHHQPHSQWRQPPPPQQPSPCPVCSIPHFPFCPPYPPYHHQNPNYPQHPNYARPGFDPFQPPAPPPPPPPPQPAPALPLPPPPYINGFADPRSWHTNPNYGYDYATAGGGDIDRSYKRPRIEEGCSGPARILTEDERRLKLIRDHGAASFSGFNQENKSLHNNINNNNNVNLMPPRSSEMYNIEDSLNNYTDYYGNNNYNYNQPQQIQHTDSVPTAVNHWQGYEQRLGGYLPHPGGNHMSQPPPPPLPASPPPPLPVEAYSSSSNSSVSLFPIGVSSSVTAHSTYPVVTEPYYQNKPPPHAFHREDPQVTHRTSSVKYAANPQKELSSDKAKFVDASELFKMPHRASRPDHIVIILRGLPGSGKSYLAKMLRDLEVENGGDAPRIHSMDDYFMTEVEKDEEIEVSKSSSSVRSKKTVKKMVMEYCYEPEMEEAYRESMLKAFRRTLEDGIFSFVIVDDRNLRVADFAQFWAIGKRSGYEVYVLEATYKDPVGCAARNVHGFTLDDIQQMAGQWEEAPSLYLQLDIKSLFHGDDLKESGIQEVDMDMEDGDREEGLSGQEEQKTEKVNLPTLGDHVPEDSSKDEKRWDAEGDYLVEVKELSRSKWSNNLDEDETEGSEAIKGNLNALSGLIQAYGNKGKSVRWSDQGGDTGFLIGAAKKAKMLSLVIGPGAGYNLKSNPLPKEESHTSNSIGNSKKQSSFQERLRAEHESFKAVFDRKKRIGGLDLDEEQ >KJB50809 pep chromosome:Graimondii2_0_v6:8:46878887:46879779:1 gene:B456_008G187700 transcript:KJB50809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSLALPLQSKKISCTRQQLSNIIYVVFLITLLAGSSFARPATRPGKTIIVDDTVSMTVFPRKYETGFRYQGQMFNFFPKGIPIPPSGPSKRHNSVVDSTRN >KJB49254 pep chromosome:Graimondii2_0_v6:8:33892291:33899575:1 gene:B456_008G108800 transcript:KJB49254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTSHRDVEIQSPTTLKSEEYRQLFRLPPEEFLVQDFNCAFQDSILFQGHMYLFAHFICFYSNIFGFETKKIIAFNEIKSVTKAKTAGIFSNAIEIFAGGRKYFFTSFISRDEAFKLINDGWVQYGNGGNEITEQQELMLESCSKENGFVAIEKVNSFKTLINDMESRDGGEDVSTTNNFKVPSTSEKDTEVGPESIINTNSSTPTDSCFLVPENCDAPKVPDYFIKVAETKFPIKVEEFFNLYFSDNAINFIKSFHTRRGDKEFKCSSWFLHDKFGLVRDMSYQHPIKVYLGAKFCSCKESQKFQIYRNSHWVLETSQEVNDVPYGDYFHVEGFWDVERDSDGPVEGCISRVYVNVAFNKKTVWKGKIVKSTLEECQEAYATWLDMALSFSSIFMIIL >KJB49253 pep chromosome:Graimondii2_0_v6:8:33892291:33899120:1 gene:B456_008G108800 transcript:KJB49253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTSHRDVEIQSPTTLKSEEYRQLFRLPPEEFLVQDFNCAFQDSILFQGHMYLFAHFICFYSNIFGFETKKIIAFNEIKSVTKAKTAGIFSNAIEIFAGGRKYFFTSFISRDEAFKLINDGWVQYGNGGNEITEQQELMLESCSKENGFVAIEKVNSFKTLINDMESRDGGEDVSTTNNFKVPSTSEKDTEVGPESIINTNSSTPTDSCFLVPENCDAPKVPDYFIKVAETKFPIKVEEFFNLYFSDNAINFIKSFHTRRGDKEFKCSSWFLHDKFGLVRDMSYQHPIKVYLGAKFCSCKESQKFQIYRNSHWVLETSQEVNDVPYGDYFHVEGFWDVERDSDGPVEGCISRVYVNVAFNKKTVWKGKIVKSTLEECQEAYATWLDMARELLKQNIDKQGGVDPSGSSTENGGHQIENKVATIEEPLERSQNLSDTVRTLQMSTFMDVNQRIADLLQGTLSNASSIASFLSKFLRKSFSYSKRQGHISLLLAVAFAVIFLMQFNNKSRQIGLAGAFLSS >KJB49252 pep chromosome:Graimondii2_0_v6:8:33891921:33899604:1 gene:B456_008G108800 transcript:KJB49252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTSHRDVEIQSPTTLKSEEYRQLFRLPPEEFLVQDFNCAFQDSILFQGHMYLFAHFICFYSNIFGFETKKIIAFNEIKSVTKAKTAGIFSNAIEIFAGGRKYFFTSFISRDEAFKLINDGWVQYGNGGNEITEQQELMLESCSKENGFVAIEKVNSFKTLINDMESRDGGEDVSTTNNFKVPSTSEKDTEVGPESIINTNSSTPTDSCFLVPENCDAPKVPDYFIKVAETKFPIKVEEFFNLYFSDNAINFIKSFHTRRGDKEFKCSSWFLHDKFGLVRDMSYQHPIKVYLGAKFCSCKESQKFQIYRNSHWVLETSQEVNDVPYGDYFHVEGFWDVERDSDGPVEGCISRVYVNVAFNKKTVWKGKIVKSTLEECQEAYATWLDMARELLKQNIDKQGGVDPSGSSTENGGHQIENKVATIEEPLERSQNLSDTVRTLQMSTFMDVNQRIADLLQGTLSNASSIASFLSKFLRKSFSYSKRQGHISLLLAVAFAVIFLMQASIVVLLKKPQQVLVRYPVTYMGSAGGGAGERQAEAVAWLEKRMHELKEQMAMVESLLERMRDEHAALKAQLEQLG >KJB49255 pep chromosome:Graimondii2_0_v6:8:33892291:33898759:1 gene:B456_008G108800 transcript:KJB49255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTSHRDVEIQSPTTLKSEEYRQLFRLPPEEFLVQDFNCAFQDSILFQGHMYLFAHFICFYSNIFGFETKKIIAFNEIKSVTKAKTAGIFSNAIEIFAGGRKYFFTSFISRDEAFKLINDGWVQYGNGGNEITEQQELMLESCSKENGFVAIEKVNSFKTLINDMESRDGGEDVSTTNNFKVPSTSEKDTEVGPESIINTNSSTPTDSCFLVPENCDAPKVPDYFIKVAETKFPIKVEEFFNLYFSDNAINFIKSFHTRRGDKEFKCSSWFLHDKFGLVRDMSYQHPIKVYLGAKFCSCKESQKFQIYRNSHWVLETSQEVNDVPYGDYFHVEGFWDVERDSDGPVEGCISRVYVNVAFNKKTVWKGKIVKSTLEECQEAYATWLDMALSFSSIFMIIL >KJB47631 pep chromosome:Graimondii2_0_v6:8:4122165:4126175:1 gene:B456_008G034300 transcript:KJB47631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSDNSKGLILAMASSAFIGSSFILKKKGLKRAGASGTRAGVGGYTYLLEPLWWAGMITMIVGEVANFVAYVYAPAVLVTPLGALSIIVSACLAHFMLKERIQKMGIVGCITCIAGSVVIVIHAPQEHTPSSVQEIWTLATQPAFLIYVAATLSIVLALILHFEPRYGQTNILVYLGICSLMGSLTVVSIKAIGIAIKLTLDGINQMAYPQTWFFLTVAAICVITQLNYLNKALDTFNAAIVSPVYYVMFTTLTIIASVIMFKDWSGQNVSSIASEICGFITVLSGTIILHATREQEPPPPVGTVTWYVSGDSMKSPEDEHLITLRSSEYYEP >KJB47630 pep chromosome:Graimondii2_0_v6:8:4122052:4126175:1 gene:B456_008G034300 transcript:KJB47630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSDNSKGLILAMASSAFIGSSFILKKKGLKRAGASGTRAGVGGYTYLLEPLWWAGMITMIVGEVANFVAYVYAPAVLVTPLGALSIIVSACLAHFMLKERIQKMGIVGCITCIAGSVVIVIHAPQEHTPSSVQEIWTLATQPAFLIYVAATLSIVLALILHFEPRYGQTNILVYLGICSLMGSLTVVSIKAIGIAIKLTLDGINQMAYPQTWFFLTVAAICVITQLNYLNKALDTFNAAIVSPVYYVMFTTLTIIASVIMFKDWSGQNVSSIASEICGFITVLSGTIILHATREQEPPPPVGTVTWYVSGDSMKSPEDEHLITLRSSEYYEP >KJB47632 pep chromosome:Graimondii2_0_v6:8:4122165:4126175:1 gene:B456_008G034300 transcript:KJB47632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSDNSKGLILAMASSAFIGSSFILKKKGLKRAGASGTRAGVGGYTYLLEPLWWAGMITMIVGEVANFVAYVYAPAVLVTPLGALSIIVSACLAHFMLKERIQKMGIVGCITCIAGSVVIVIHAPQEHTPSSVQEIWTLATQPAFLIYVAATLSIVLALILHFEPRYGQTNILVYLGICSLMGSLTVVSIKAIGIAIKLTLDGINQMAYPQTWFFLTVAAICVITQLNYLNKALDTFNAAIVSPVYYVMFTTLTIIASVIMFKDWSGQNVSSIASEICGFITVLSGTIILHATREQEPPPPVVTWYVSGDSMKSPEDEHLITLRSSEYYEP >KJB48071 pep chromosome:Graimondii2_0_v6:8:9013592:9013903:-1 gene:B456_008G057800 transcript:KJB48071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEGDSKAVVAYMDQNKVVEEVQEVVKKHEAVAVDLVDGGDEGVREEEVQHSKAEVVGVHHIRGVVVVQKDLVVVGVVGVVQKGLGAVGRAGAYGTPLIGRI >KJB48225 pep chromosome:Graimondii2_0_v6:8:9230136:9231345:1 gene:B456_008G058700 transcript:KJB48225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFEETCTNSRTSKNGLIALYAPTSSSSADLHSHYNDVKTKSFLTKMIWDFGLACFLPDYQRKNGSGKSQKNNGEKKGSNLEHNKAWLLAESGGGAELTSTDPQSVHSSFRFSFCSQVELEAITANSLSSATVLMVNLDNGVSDDRAKELKWRRIESLERSISPVAKSLVRFSYGEIVSATRNFSKGRVLGRGALSFVFRGKVGLLKTTVAIKRLDKEDKESAKAFCRELMIASSLHHPNIVPLLGFCIDPEEGLFLVYKFVSGGSLERHLHDKKGAKGRPSTLPWSVRYKVALGIAEAIAYLHNGTERCVVHRDIKPSNILLSSNKTPKVKILV >KJB48223 pep chromosome:Graimondii2_0_v6:8:9230029:9233004:1 gene:B456_008G058700 transcript:KJB48223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFEETCTNSRTSKNGLIALYAPTSSSSADLHSHYNDVKTKSFLTKMIWDFGLACFLPDYQRKNGSGKSQKNNGEKKGSNLEHNKAWLLAESGGGAELTSTDPQSVHSSFRFSFCSQVELEAITANSLSSATVLMVNLDNGVSDDRAKELKWRRIESLERSISPVAKSLVRFSYGEIVSATRNFSKGRVLGRGALSFVFRGKVGLLKTTVAIKRLDKEDKESAKAFCRELMIASSLHHPNIVPLLGFCIDPEEGLFLVYKFVSGGSLERHLHDKKGAKGRPSTLPWSVRYKVALGIAEAIAYLHNGTERCVVHRDIKPSNILLSSNKTPKQLGHLHPQSHSFAKLLKAHLGIWHLSISNTEKYLIKLMFMLLGWSCWS >KJB48221 pep chromosome:Graimondii2_0_v6:8:9230029:9233004:1 gene:B456_008G058700 transcript:KJB48221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFEETCTNSRTSKNGLIALYAPTSSSSADLHSHYNDVKTKSFLTKMIWDFGLACFLPDYQRKNGSGKSQKNNGEKKGSNLEHNKAWLLAESGGGAELTSTDPQSVHSSFRFSFCSQVELEAITANSLSSATVLMVNLDNGVSDDRAKELKWRRIESLERSISPVAKSLVRFSYGEIVSATRNFSKGRVLGRGALSFVFRGKVGLLKTTVAIKRLDKEDKESAKAFCRELMIASSLHHPNIVPLLGFCIDPEEGLFLVYKFVSGGSLERHLHDKKGAKGRPSTLPWSVRYKVALGIAEAIAYLHNGTERCVVHRDIKPSNILLSSNKTPKLCDFGLATWTSAPSIPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRKPIESRRPPGEENLVLWVGFCINSS >KJB48222 pep chromosome:Graimondii2_0_v6:8:9230029:9233004:1 gene:B456_008G058700 transcript:KJB48222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFEETCTNSRTSKNGLIALYAPTSSSSADLHSHYNDVKTKSFLTKMIWDFGLACFLPDYQRKNGSGKSQKNNGEKKGSNLEHNKAWLLAESGGGAELTSTDPQSVHSSFRFSFCSQVELEAITANSLSSATVLMVNLDNGVSDDRAKELKWRRIESLERSISPVAKSLVRFSYGEIVSATRNFSKGRVLGRGALSFVFRGKVGLLKTTVAIKRLDKEDKESAKAFCRELMIASSLHHPNIVPLLGFCIDPEEGLFLVYKFVSGGSLERHLHDKKGAKGRPSTLPWSVRYKVALGIAEAIAYLHNGTERCVVHRDIKPSNILLSSNKTPKAHLGIWHLSISNTEKYLIKLMFMLLGWSCWS >KJB48227 pep chromosome:Graimondii2_0_v6:8:9230029:9233009:1 gene:B456_008G058700 transcript:KJB48227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFEETCTNSRTSKNGLIALYAPTSSSSADLHSHYNDVKTKSFLTKMIWDFGLACFLPDYQRKNGSGKSQKNNGEKKGSNLEHNKAWLLAESGGGAELTSTDPQSVHSSFRFSFCSQVELEAITANSLSSATVLMVNLDNGVSDDRAKELKWRRIESLERSISPVAKSLVRFSYGEIVSATRNFSKGRVLGRGALSFVFRGKVGLLKTTVAIKRLDKEDKESAKAFCRELMIASSLHHPNIVPLLGFCIDPEEGLFLVYKFVSGGSLERHLHDKKGAKGRPSTLPWSVRYKVALGIAEAIAYLHNGTERCVVHRDIKPSNILLSSNKTPKLCDFGLATWTSAPSIPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRKPIESRRPPGEENLVLWAKPLLHRGMAAVKELLDPRLKCTLKNSTQIARTIQAAAACISNEESRRPAIDEIIAILRGEEEPFYSIRKKSNFSGIIDCYSQLQHSKSEMKSHLALAMLGVSEFEDDDHLYCR >KJB48224 pep chromosome:Graimondii2_0_v6:8:9230136:9231345:1 gene:B456_008G058700 transcript:KJB48224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFEETCTNSRTSKNGLIALYAPTSSSSADLHSHYNDVKTKSFLTKMIWDFGLACFLPDYQRKNGSGKSQKNNGEKKGSNLEHNKAWLLAESGGGAELTSTDPQSVHSSFRFSFCSQVELEAITANSLSSATVLMVNLDNGVSDDRAKELKWRRIESLERSISPVAKSLVRFSYGEIVSATRNFSKGRVLGRGALSFVFRGKVGLLKTTVAIKRLDKEDKESAKAFCRELMIASSLHHPNIVPLLGFCIDPEEGLFLVYKFVSGGSLERHLHDKKGAKGRPSTLPWSVRYKVALGIAEAIAYLHNGTERCVVHRDIKPSNILLSSNKTPKVKILV >KJB48226 pep chromosome:Graimondii2_0_v6:8:9230029:9233009:1 gene:B456_008G058700 transcript:KJB48226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLDNGVSDDRAKELKWRRIESLERSISPVAKSLVRFSYGEIVSATRNFSKGRVLGRGALSFVFRGKVGLLKTTVAIKRLDKEDKESAKAFCRELMIASSLHHPNIVPLLGFCIDPEEGLFLVYKFVSGGSLERHLHDKKGAKGRPSTLPWSVRYKVALGIAEAIAYLHNGTERCVVHRDIKPSNILLSSNKTPKLCDFGLATWTSAPSIPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRKPIESRRPPGEENLVLWAKPLLHRGMAAVKELLDPRLKCTLKNSTQIARTIQAAAACISNEESRRPAIDEIIAILRGEEEPFYSIRKKSNFSGIIDCYSQLQHSKSEMKSHLALAMLGVSEFEDDDHLYCR >KJB49119 pep chromosome:Graimondii2_0_v6:8:30408654:30409670:-1 gene:B456_008G101800 transcript:KJB49119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESGSTFSHNESESSTTSSESHSNSTSRLQPISLVQPSQKNQKDKPKVLRVFQSVFRAFPIINPKCKFPTCPAVGVSTETHKSSTGNRVTGTLFGFHKGKVSLALQENPKCLPWLVIELALQTNVLQKELSAGMVRIVLECEKQTEKEKIKLFDEPLWTMYCNGKKTGYGVKREATEDDLSIMELLKAVSMGAGVLPGNTETEGPDGELAYMRAFFERVVGSKDSQTFYMVSPDAKNGPELSIFLVRI >KJB52090 pep chromosome:Graimondii2_0_v6:8:53075193:53077259:1 gene:B456_008G246400 transcript:KJB52090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRRNLSALAVSASLLLGKRSSPSFNQTLLSFPQISPVYLVSHSIKTGSPRSLVGSLRFDHTMAAQSSKGSVHDFTVKDAKGNDVDLSIYKGKVLLIVNVASQCGLTNSNYTELSKLYEKYKDQGFEILAFPCNQFGGQEPGNNEEILEFACTRFKAEYPIFDKVDVNGENAAPIYKFLKASKGGALGGLLGDDIKWNFAKFLVDKEGHVVDRYAPTTSPISIEKDIKKLLG >KJB52091 pep chromosome:Graimondii2_0_v6:8:53075292:53077177:1 gene:B456_008G246400 transcript:KJB52091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRRNLSALAVSASLLLGKRSSPSFNQTLLSFPQISPVYLVSHSIKTGSPRSLVGSLRFDHTMAAQSSKGSVHDFTVKDAKGNDVDLSIYKGKVLLIVNVASQCGLTNSNYTELSKLYEKYKDQGFEILAFPCNQFGGQEPGNNEEILEFACTRFKAEYPIFDKVDVNGENAAPIYKFLKASKGGALGGLLGDDIKWNFAKFLVDKEGHVVDRYAPTTSPISIELIFAEGYKETAWLMFWASSPQALSE >KJB48582 pep chromosome:Graimondii2_0_v6:8:14167404:14168237:-1 gene:B456_008G076100 transcript:KJB48582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHVPINQNETWTEETHVHLNSIEAWFVRTMLQSNDCYNLRLNHHLPDSFDSTLDCKPRTKHSTAGNGEIIVIKSRGRREM >KJB51257 pep chromosome:Graimondii2_0_v6:8:49430839:49434572:1 gene:B456_008G208800 transcript:KJB51257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRFLFLLSSLSFAVLFLFLVALFSGQGKNINGSFGLKLLRLRINQGNHTLLTPHRKLLPLAETNRIWGEKCSKADIVINQGPTAPLPSGIPTYTVEILNVCVSGCDISGIHLTCGWFSSARLINPKIFKRLRYNDCLVNDGKPLINGGTLSFQYANTFLYPLSVSRVVCS >KJB51256 pep chromosome:Graimondii2_0_v6:8:49430839:49434547:1 gene:B456_008G208800 transcript:KJB51256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRFLFLLSSLSFAVLFLFLVALFSGQGKNINGSFGLKLLRLRINQGNHTLLTPHRKLLRTALAETNRIWGEKCSKADIVINQGPTAPLPSGIPTYTVEILNVCVSGCDISGIHLTCGWFSSARLINPKIFKRLRYNDCLVNDGKPLINGGTLSFQYANTFLYPLSVSRVVCS >KJB46999 pep chromosome:Graimondii2_0_v6:8:732457:739495:-1 gene:B456_008G005500 transcript:KJB46999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSIQAKGTTVWDWVLECTKSAREKNSDPLLWAVQLGSSLNSAGVSLPSIDLAHLLVSYICWDNHVPIAWKFLEKALTVKFVPPMLVLALLSSRVIPNRKFHPAAYRLYMELLRRHASALKCQISGPNYLKIMKSIDDVLHLSKIFGVQASEPGLLLVEFVFSIVWQLLDASLDDEGLLELTPEKRSIWPIVTQDMEIDNADNFHEKRNDHHDVLYKRNTMMAIEIIGEFLQNKVTSRILLLAQRNIPSHWEAFIQQLRVLSAKSVILRNSKHTTPEALLQLTSDTHKVLSRKCKTPSQQEFHLLIGSRSLTSASGRCHGTSPSAHWLPIDLFLEDAMDRSEVAATGATERLTGLVKALQAVNSTTWHDTFLGLWIAALRLVQRERDISEGPMPRLDTCLCLLLSISPLAVTNIVEEEKSELIDEIDCSQTTQTKEKQAPGRCHKGLISSLQMLNDYEALLTPPQSVRSVANQAAAKAIMVISGLTIGNGYYECMSSNDMPINCSGNMWHLIVEACIARNLLDTSAYVWPGYVNARPNIPRSVPSQVPGWSLLMKGSPLTPTLVNALIATPASSLAEIEKIYEIATKGSNDEKIYAASILCGASLIRGWNIQEHAILFITSLLSPPVPSDYSESESYLISYAPLLNVLLLGISSADCVQILSLHGMVPILAGMLMPLCEVFGSTAPDVSWTLPTGEELTSHAVFTNAFTLLLRLWRFDHPPVEHVMMGDATPVGSQLSPEYLLLVRNSKVSDFGKSPKDHLKMKRLSKNLNLTLELIFMDSFPKLKGWYRQHNKCIASTLSGLVQGTTVHQIVDALLNMMFRKISRSGQSSGSSSPSTSGADDVPLTLNVPAWDILEATPYVLDAALTACAHGRLSPRELATGLKDLADFLPATLATIVSYFSAEVTRGIWKPVFMNGSDWPSPAANLSNIEQQIKKIIAATGVDVPSLTTGRSSPAMLPLPLAALVSLTITYKLDKASERFLVLIGPALSSLAEGCPWPCMPIIASLWAQKVKRWNDFFVFSASRTVFHHSSDAVVQLLRSCFTSTLGLSPSTIYSNGGVGALIGHGFGSHFSGGMSPVSPGILYLRVHRSVRDIMFMTEEIVSLLMSSVRDIAGSREKPKNTKFGLRYGQVSLGAAMARVKLAASLGASLVWLSGGLSLVQSLIKETLPSWFISAHSADQDAGEPAGIVAMLGGYALAYFVVLCGTFAWGVDSASPASKRRPKVLGSHLEFLASAVDGKISLGCDYATWRAYVVRFVSLMVGCTQKWILDVNIDVLKRLSYGLIRWNEEELAMALLGLGGVRATTAAAELIIEIGA >KJB53294 pep chromosome:Graimondii2_0_v6:8:52080220:52090553:1 gene:B456_008G234500 transcript:KJB53294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDSQSQNLHLAGEGNNKFPPVLRSYDLPRFDFDDNLRGHLRFDSLVETEVFLGIESSEDNQWIEEFSRGSTGIAFSSSAAEPCLISRHTNVWSEAASSESVEMLLKSVGQDETTLGQTISKDSDACDELGCMIKPMDPSLKHRYSSLSKVGDDIQPALHTGEIPGKCVDNQLVKDASQTHEGDPSVHGALEDPNSKNTDIPATERDESKDSKHIFVNENLVEASVDQSLDDSGQEDKFASGSEVNTVIPSVQSTCMTSVLIDDEDSTHLKNDIIDKNVDSLERENVGLSPELHIGGKNLVDDTVACVTSHVQKHSASDMQSREEEHATGNSTANMSEPSGRILEGNSDLHMVEECSKHAGVEILLQTSKSEDIVLSEGKLHDTSSMPIVSDITLMEHENEVSDTGTIICMSLESKVNSTMKLASDAIEKKDLLESDYHPDKKISSSKSEKSLLLAEDGKGSKDEGEDSHDTLVAGPTKVCEKYIVTEHIDDHKCDRSVSVTSKQKTNLPSDCSSADCFDDRSPVVTKGVDSSSCSAGGRVNELASNLQPDVPVSSMLVDCVLLPSDKGMPANTVLDKKEVQVPSSEASFSVVKTSGMTTEKGASCETGEQFSCKIVDQSLLMKNTTTLEGENGDQTLCGVTLEVGKDMHSSSIVSDSTVRKTDGDKALVISKVSTDSAGGASTQLNKTLMSSVPSTSMETSHNTDQNHHKDNDSKLVSEEISGRVAVHQVDVDPAKAFNTSFASAPSSESQTKFHMMESGSSSADLDNPSCGSPIVIRTSEQSQGKIENGVKRSKDQSAVASGVTNEEANKEKSISQDTEGNDATPGDKSFTFEVPPLLGVSEQESGKNWKPFATMQQDKISPAMEGTPSTSGLSKAGAKAARETSCANLQAPKRENVRGGSKGTSERKTRRTGGKSASKEAAKKGNAAKEITPARESERSDRTSNVSLSSAGTGQLVQSNEMQHYGHIEGVFQQPFTDLQQVQLRAQIFVYGALIQGTVPDEAYMISAFGGPDGGRTIWENAWRAGTERVHGKKSLLVSPETPLQSHIGAKTSDQSIKQNTLQSKVTSSPASRSTSKGTPTTSIVNPMIPLSSPLWSIRTPSGDALQPTGFPRGAVMDYQLAISPLHPPATRNLIGHNSSWMSQSPFRGPWTPQTSAFDGNACFPVRPITEAVNSNPAIASVPHSSSMKQVSAVPVVQSGSPANIFAGTPLLDTKKATLTPGQHSADPKPRKRKKSTVSEEPGQSIPHFQSESPLATVVVSQASTPAAITIPATNISKSTDKFITSVSGNHLKKGDQESDQRVSLSEETLSKHKNSQKHAEDAAALAAAAVSHSEEIWRQLDKHKNSGLAPDVETKLISAAVAIAAAAAVAKAAAAAANVASNAALQSKLMADEALVSSSYRNSTPNNAVSDSGKRLNEATPTSILRGEDAAASSNSVIVVAREVARRRVEAASAAAKQAENMDAIVKAAELAAEAVSQAGKIVAMGEPFSLAELVEAGPEAYWKVPQASPEPDGAIREQINIGGSMEAPGSSVGHLKEVPVDKREKQDNHRKSPTHREMTRVSMEDRSRLTDGGLTPVATSEKDKKGQKRRKASDVAKTKGVASESEIGFESPLMITQTDREKAGETSKDNNIREGSHVEVLRDGGGSRVAWFLADILNLNNGKAYVCYNELRQEDGDRLKEWVEVEGDRAPRIRCARPSTAMSFEGTRKRRRAAMADYNWSVGDRVDAWMQNSWWEGVVIEKSKKDETSFTVHFPAQGETSGVKAWLLRPSLMWKKGSWVEWSSSVDNNESSREGDTPQEKRQRLGSPVVEAKGKDKLSKNVDIKESGKPDDTKLLDLSANKEIFNIGKSTRDESKPDSLRMIRTGLKKKGSGVVFGVPKPGKKQKFMEVSKHYVADQSSKTHETSDSAKFTKYLMPQGSEPRGTKNKIEPKRMAVSKRKILKPGKLPSVSSRSIPQKNYLPNTMVSEPDSVVASDVSKLEDSVSHAENVSGKPNLMEFRSFSSSDGAAEGPVLFSSVAVSSDAPLKKTSASNAKSERINKGKFAPSGGKLAKIDENVLNDDTTKTSSEGVEPRRSNRRIQPTSRLLEGLQSSLAISKIPSVSFDKSHKSQSRSMRG >KJB53293 pep chromosome:Graimondii2_0_v6:8:52080220:52090553:1 gene:B456_008G234500 transcript:KJB53293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDSQSQNLHLAGEGNNKFPPVLRSYDLPRFDFDDNLRGHLRFDSLVETEVFLGIESSEDNQWIEEFSRGSTGIAFSSSAAEPCLISRHTNVWSEAASSESVEMLLKSVGQDETTLGQTISKDSDACDELGCMIKPMDPSLKHRYSSLSKVGDDIQPALHTGEIPGKCVDNQLVKDASQTHEGDPSVHGALEDPNSKNTDIPATERDESKDSKHIFVNENLVEASVDQSLDDSGQEDKFASGSEVNTVIPSVQSTCMTSVLIDDEDSTHLKNDIIDKNVDSLERENVGLSPELHIGGKNLVDDTVACVTSHVQKHSASDMQSREEEHATGNSTANMSEPSGRILEGNSDLHMVEECSKHAGVEILLQTSKSEDIVLSEGKLHDTSSMPIVSDITLMEHENEVSDTGTIICMSLESKVNSTMKLASDAIEKKDLLESDYHPDKKISSSKSEKSLLLAEDGKGSKDEGEDSHDTLVAGPTKVCEKYIVTEHIDDHKCDRSVSVTSKQKTNLPSDCSSADCFDDRSPVVTKGVDSSSCSAGGRVNELASNLQPDVPVSSMLVDCVLLPSDKGMPANTVLDKKEVQVPSSEASFSVVKTSGMTTEKGASCETGEQFSCKIVDQSLLMKNTTTLEGENGDQTLCGVTLEVGKDMHSSSIVSDSTVRKTDGDKALVISKVSTDSAGGASTQLNKTLMSSVPSTSMETSHNTDQNHHKDNDSKLVSEEISGRVAVHQVDVDPAKAFNTSFASAPSSESQTKFHMMESGSSSADLDNPSCGSPIVIRTSEQSQGKIENGVKRSKDQSAVASGVTNEEANKEKSISQDTEGNDATPGDKSFTFEVPPLLGVSEQESGKNWKPFATMQQDKISPAMEGTPSTSGLSKAGAKAARETSCANLQAPKRENVRGGSKGTSERKTRRTGGKSASKEAAKKGNAAKEITPARESERSDRTSNVSLSSAGTGQLVQSNEMQHYGHIEGVFQQPFTDLQQVQLRAQIFVYGALIQGTVPDEAYMISAFGGPDGGRTIWENAWRAGTERVHGKKSLLVSPETPLQSHIGAKTSDQSIKQNTLQSKVTSSPASRSTSKGTPTTSIVNPMIPLSSPLWSIRTPSGDALQPTGFPRGAVMDYQLAISPLHPPATRNLIGHNSSWMSQSPFRGPWTPQTSAFDGNACFPVRPITEAVNSNPAIASVPHSSSMKQVSAVPVVQSGSPANIFAGTPLLDTKKATLTPGQHSADPKPRKRKKSTVSEEPGQSIPHFQSESPLATVVVSQASTPAAITIPATNISKSTDKFITSVSGNHLKKGDQESDQRVSLSEETLSKHKNSQKHAEDAAALAAAAVSHSEEIWRQLDKHKNSGLAPDVETKLISAAVAIAAAAAVAKAAAAAANVASNAALQSKLMADEALVSSSYRNSTPNNAVSDSGKRLNEATPTSILRGEDAAASSNSVIVVAREVARRRVEAASAAAKQAENMDAIVKAAELAAEAVSQAGKIVAMGEPFSLAELVEAGPEAYWKVPQASPEPDGAIREQINIGGSMEAPGSSVGHLKEVPVDKREKQDNHRKSPTHREMTRVSMEDRSRLTDGGLTPVATSEKDKKGQKRRKASDVAKTKGVASESEIGFESPLMITQTDREKAGETSKDNNIREGSHVEVLRDGGGSRVAWFLADILNLNNGKAYVCYNELRQEDGDRLKEWVEVEGDRAPRIRCARPSTAMSFEGTRKRRRAAMADYNWSVGDRVDAWMQNSWWEGVVIEKSKKDETSFTVHFPAQGETSGVKAWLLRPSLMWKKGSWVEWSSSVDNNESSREGDTPQEKRQRLGSPVVEAKGKDKLSKNVDIKESGKPDDTKLLDLSANKEIFNIGKSTRDESKPDSLRMIRTGLKKKGSGVVFGVPKPGKKQKFMEVSKHYVADQSSKTHETSDSAKFTKYLMPQGSEPRGTKNKIEPKRMAVSKRKILKPGKLPSVSSRSIPQKNYLPNTMVSEPDSVVASDVSKLEDSVSHAENVSGKPNLMEFRSFSSSDGAAEGPVLFSSVAVSSDAPLKKTSASNAKSERINKGKFAPSGGKLAKIDENVLNDDTTKTSSEGVEPRRSNRRIQPTSRLLEGLQSSLAISKIPSVSFDKSHKSQSRSMRGET >KJB53292 pep chromosome:Graimondii2_0_v6:8:52080220:52090553:1 gene:B456_008G234500 transcript:KJB53292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDSQSQNLHLAGEGNNKFPPVLRSYDLPRFDFDDNLRGHLRFDSLVETEVFLGIESSEDNQWIEEFSRGSTGIAFSSSAAEPCLISRHTNVWSEAASSESVEMLLKSVGQDETTLGQTISKDSDACDELGCMIKPMDPSLKHRYSSLSKVGDDIQPALHTGEIPGKCVDNQLVKDASQTHEGDPSVHGALEDPNSKNTDIPATERDESKDSKHIFVNENLVEASVDQSLDDSGQEDKFASGSEVNTVIPSVQSTCMTSVLIDDEDSTHLKNDIIDKNVDSLERENVGLSPELHIGGKNLVDDTVACVTSHVQKHSASDMQSREEEHATGNSTANMSEPSGRILEGNSDLHMVEECSKHAGVEILLQTSKSEDIVLSEGKLHDTSSMPIVSDITLMEHENEVSDTGTIICMSLESKVNSTMKLASDAIEKKDLLESDYHPDKKISSSKSEKSLLLAEDGKGSKDEGEDSHDTLVAGPTKVCEKYIVTEHIDDHKCDRSVSVTSKQKTNLPSDCSSADCFDDRSPVVTKGVDSSSCSAGGRVNELASNLQPDVPVSSMLVDCVLLPSDKGMPANTVLDKKEVQVPSSEASFSVVKTSGMTTEKGASCETGEQFSCKIVDQSLLMKNTTTLEGENGDQTLCGVTLEVGKDMHSSSIVSDSTVRKTDGDKALVISKVSTDSAGGASTQLNKTLMSSVPSTSMETSHNTDQNHHKDNDSKLVSEEISGRVAVHQVDVDPAKAFNTSFASAPSSESQTKFHMMESGSSSADLDNPSCGSPIVIRTSEQSQGKIENGVKRSKDQSAVASGVTNEEANKEKSISQDTEGNDATPGDKSFTFEVPPLLGVSEQESGKNWKPFATMQQDKISPAMEGTPSTSGLSKAGAKAARETSCANLQAPKRENVRGGSKGTSERKTRRTGGKSASKEAAKKGNAAKEITPARESERSDRTSNVSLSSAGTGQLVQSNEMQHYGHIEGGNMKPFGVLSTSVSSLPDLNTSASSSAVFQQPFTDLQQVQLRAQIFVYGALIQGTVPDEAYMISAFGGPDGGRTIWENAWRAGTERVHGKKSLLVSPETPLQSHIGAKTSDQSIKQNTLQSKVTSSPASRSTSKGTPTTSIVNPMIPLSSPLWSIRTPSGDALQPTGFPRGAVMDYQLAISPLHPPATRNLIGHNSSWMSQSPFRGPWTPQTSAFDGNACFPVRPITEAVNSNPAIASVPHSSSMKQVSAVPVVQSGSPANIFAGTPLLDTKKATLTPGQHSADPKPRKRKKSTVSEEPGQSIPHFQSESPLATVVVSQASTPAAITIPATNISKSTDKFITSVSGNHLKKGDQESDQRVSLSEETLSKHKNSQKHAEDAAALAAAAVSHSEEIWRQLDKHKNSGLAPDVETKLISAAVAIAAAAAVAKAAAAAANVASNAALQSKLMADEALVSSSYRNSTPNNAVSDSGKRLNEATPTSILRGEDAAASSNSVIVVAREVARRRVEAASAAAKQAENMDAIVKAAELAAEAVSQAGKIVAMGEPFSLAELVEAGPEAYWKVPQASPEPDGAIREQINIGGSMEAPGSSVGHLKEVPVDKREKQDNHRKSPTHREMTRVSMEDRSRLTDGGLTPVATSEKDKKGQKRRKASDVAKTKGVASESEIGFESPLMITQTDREKAGETSKDNNIREGSHVEVLRDGGGSRVAWFLADILNLNNGKAYVCYNELRQEDGDRLKEWVEVEGDRAPRIRCARPSTAMSFEGTRKRRRAAMADYNWSVGDRVDAWMQNSWWEGVVIEKSKKDETSFTVHFPAQGETSGVKAWLLRPSLMWKKGSWVEWSSSVDNNESSREGDTPQEKRQRLGSPVVEAKGKDKLSKNVDIKESGKPDDTKLLDLSANKEIFNIGKSTRDESKPDSLRMIRTGLKKKGSGVVFGVPKPGKKQKFMEVSKHYVADQSSKTHETSDSAKFTKYLMPQGSEPRGTKNKIEPKRMAVSKRKILKPGKLPSVSSRSIPQKNYLPNTMVSEPDSVVASDVSKLEDSVSHAENVSGKPNLMEFRSFSSSDGAAEGPVLFSSVAVSSDAPLKKTSASNAKSERINKGKFAPSGGKLAKIDENVLNDDTTKTSSEGVEPRRSNRRIQPTSRLLEGLQSSLAISKIPSVSFDKSHKSQSRSMRG >KJB51563 pep chromosome:Graimondii2_0_v6:8:50927444:50929840:-1 gene:B456_008G223000 transcript:KJB51563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSYIPLHISKAVKSIWDRWNIRGAILFSLWLQVLLIMVAPLRKSARGKFNIILIWFAYLLADAAANFAVGLISNSQRNQYDKVGHKANQNSEENSDLLAFWAPFLLLHLGGPDTITAFALEDNELWLRHFLGLGFQAGAVFYVFIQSLPNKNLWIPTLLMFVAGMIKYGERTSALYNASLDKFRDSMLKEPDPGPNYAKLMEEYDFKKKNKLPTQISSTLEPDKEAKASDIPPKTDRLKDLEVVHYAYKYFQTFKGLVVDLIFSFRERDESRDFFKIRDPEDALKVIEVELNFLYGTLYTKVEVLHLKTKKIYVGYILRFLALACVLATLGIFYFKVNKHEFRGVDIGITYTLLLGAIALDVIAIFMLIFSDRSIASIKDPERPPWWAPIYKAFLVLMRPWWKNCTCNCKYKHNSEHKLLATPLVVRRWSGSISSHNLIRYCLKSNRKSIHEFPSWWQIMFESILRFLKLYECFDKCATCICHVIEKCLSPIRKGLGKIFWPCFEKIFFFCAKDFMDEMVYVSLEPFSLDLWKFIFVELKTKSEFADTPETATRISSARGEWALTNACEEPERGKLLKYLTGVPYDESILLWHIATDLCYHHDNEKDQKNNRQDDDTENPTDQQDNKHEELTYRQFSKTLSDYMLYLLVFRPTMMSAVAGIGKIRFRDTCAEAERFFKIRDLHRNQDEKACEELLGVRTDVGPQEVKGDRSKSVLFDACMLAKELNKMNNKWKIMSKVWVELVSYAASHCRASTHAADVSQGGELITFFWLLMAHFGLGEQFQINEGHARAKLIVGK >KJB50393 pep chromosome:Graimondii2_0_v6:8:44051003:44054008:-1 gene:B456_008G168500 transcript:KJB50393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGEEVTPSSGKRQRFSKYEDHKLERREEEGDDEDEGNSELPLKPGLLYYPTIPTSFVVSDALEPDFPVIYVNKVFEVFTGYRADEVLGRNCRFLQYRDRRAQRRHPLVDPFVVSEIRRCLEEGIEFEGELLNFRKDGTPLVNRLKLAPIRDDDGIVTHVIGIQVYSEAKLDLNHVSYPVFKETCKQQLDQSSKCSYLSGNPLFNHHQEICGILQLSDEVLAYNILSRLTPRDVASIGSVCRRIRQLTKNEHVRKMVCQNAWGKEVTGTLDMMTRKLGWGRLARELTTLEAVCWRKLTVGGAVEPSRCNFSACAAGNRLVLFGGEGVDMQPMNDTFVLNLEAANPEWQLVSVESSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKQPSWREVSGGTPPLPRSWHSSCTVDGSKLVVSGGCTDAGVLLSDTYLLDLTTEKPTWKEIPSSWTPPSRLGHSLSVYSRTKILMFGGLAKSGNLQLRSGEAYTIDLEDEEPQWRQLDCSAFTSVGNQNAVIPPPRLDHVAVSMPCGRIIIFGGSVAGLHSPSQLFLLDPSEEKPPWRTLNVPGQPPKFAWGHGTCVVGGTRVMVLGGQTGEELLLNELHELRLASRQDLDS >KJB51371 pep chromosome:Graimondii2_0_v6:8:50111467:50114899:-1 gene:B456_008G214100 transcript:KJB51371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGVAADGVCSSESANGSRDVWSCKGSDSSSADHLVIMVHGILGSSSDWKFGAEQFVKRLPDKVFVHCSERNMSKLTLDGVDVMGERLAKEVLEVIQEKPNLCKISFVAHSVGGLVARYAIGRLYRPPKEEVKEDKSGDGCKEEQRGTIGGLEAMNFITVVSPHLGSRGNKQVPFLFGVPAFEKAASCVIHWIFRRTGRHLFLTDDDEGKPPLLKRMLDDYDEFYFMSALRTFKRRVLYSNVAYDHIVGWRTSSIRRDSELPKWEESLNETYPHIVYEEHCKACDADQHATISIEDDGSSDKLEEELVRGLSRVSWEKIDVSFQSSRQRFAAHSVIQVKDEVMHIEGSDVIQHMIDHFLT >KJB51372 pep chromosome:Graimondii2_0_v6:8:50111397:50115056:-1 gene:B456_008G214100 transcript:KJB51372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGVAADGVCSSESANGSRDVWSCKGSDSSSADHLVIMVHGILGSSSDWKFGAEQFVKRLPDKVFVHCSERNMSKLTLDGVDVMGERLAKEVLEVIQEKPNLCKISFVAHSVGGLVARYAIGRLYRPPKEEVKEDKSGDGCKEEQRGTIGGLEAMNFITVVSPHLGSRGNKQVPFLFGVPAFEKAASCVIHWIFRRTGRHLFLTDDDEGKPPLLKRMLDDYDEFYFMSALRTFKRRVLYSNVAYDHIVGWRTSSIRRDSELPKWEESLNETYPHIVYEEHCKACDADQHATISIEDDGSSDKLEEELVRGLSRVSWEKIDVSFQSSRQRFAAHSVIQVKDEVMHIEGSDVIQHMIDHFLT >KJB49627 pep chromosome:Graimondii2_0_v6:8:37351298:37352070:1 gene:B456_008G129900 transcript:KJB49627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIIPVLYSNKLNALVLLKCLFLLVVLVGCSPPGEPVKCSTKDSNCTVTNSYGAFPDRTVCRAGNVVYPTSEQELVFIVSAATEAQRKMKVVTHFSHSIPKLVCPDGQDGLLISTKNLNRVVKINLAAMTMTVESGVTLRQLINEAAKAGLALPYAPYWWGLTIGGLLGTEAHGSSLWEKGSSVHDYVVEMRIVSPANAEDGYANLWVLNESDKDLDAAKVSLGVLGVISQPH >KJB52339 pep chromosome:Graimondii2_0_v6:8:53873906:53878459:1 gene:B456_008G256700 transcript:KJB52339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLISETSQKIFTSETLRAAAKQSERCLVVPVRLRRAIKKYLREQEDPYMRRKVLRLSQSFSDIKDVNLQLVAETSKELVADPLKSMEQSQRWKIKSAYGDIGLTYRDDETVAYVASRMPSVYSACYRVLSEVRRRLPGFSPTKVLDFGAGTGSAFWAMREVWPKSVEKLNIVEPSQSMQRAGRSLVQDLKNLPLIHGYTSLQALTKEVQKSERQHDLVIASYVLGEIPSLKDRITIVRQLWNLTQDVLVLVEPGTPHGSNIISQMRSHILWMEKRKFRKAKVNKEDSKDLIDLRSGAFIVAPCPHDGRCPLEKSSKYCHFVQRLQRTTSQRAYKRSKGDPLRGFEDEKFSFIAFRRGQRPRDPWPLDGMKFETLKEQRAKRNPEDFEIDYVDAEETSDMIPYEEVDPSAYDSDVMETDNIIDNDEDQEETVNANLGGGWGRIVFPPVRRGRQVHMNICRSTNPDASEGSFDHEVITQTKNPTLHLQARKSFWGDLWPSGRNVTTATS >KJB52337 pep chromosome:Graimondii2_0_v6:8:53873959:53877954:1 gene:B456_008G256700 transcript:KJB52337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLISETSQKIFTSETLRAAAKQSERCLVVPVRLRRAIKKYLREQEDPYMRRKVLRLSQSFSDIKDVNLQLVAETSKELVADPLKSMEQSQRWKIKSAYGDIGLTYRDDETVAYVASRMPSVYSACYRVLSEVRRRLPGFSPTKVLDFGAGTGSAFWAMREVWPKSVEKLNIVEPSQSMQRAGRSLVQDLKNLPLIHGYTSLQALTKEVQKSERQHDLVIASYVLGEIPSLKDRITIVRQLWNLTQDVLVLVEPGTPHGSNIISQMRSHILWMEKRKFRKAKVNKEDSKDLIDLRSGAFIVAPCPHDGRCPLEKSSKYCHFVQRLQRTTSQRAYKRSKGDPLRGFEDEKFSFIAFRRGQRPRDPWPLDGMKFETLKEQRAKRNPEDFEIDYGIVSISLYFFFFFFF >KJB52336 pep chromosome:Graimondii2_0_v6:8:53873959:53877844:1 gene:B456_008G256700 transcript:KJB52336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLISETSQKIFTSETLRAAAKQSERCLVVPVRLRRAIKKYLREQEDPYMRRKVLRLSQSFSDIKDVNLQLVAETSKELVADPLKSMEQSQRWKIKSAYGDIGLTYRDDETVAYVASRMPSVYSACYRVLSEVRRRLPGFSPTKVLDFGAGTGSAFWAMREVWPKSVEKLNIVEPSQSMQRAGRSLVQDLKNLPLIHGYTSLQALTKEVQKSERQHDLVIASYVLGEIPSLKDRITIVRQLWNLTQDVLVLVEPGTPHGSNIISQMRSHILWMEKRKFRKAKVNKEDSKDLIDLRSGAFIVAPCPHDGRCPLEKSSKYCHFVQRLQRTTSQRAYKKLFWGAKEFIFKESELGNFYRSQVSPSSLFCSAPRAILYVALKMKNFLSLLSEEDNDLGTLGLWMV >KJB52338 pep chromosome:Graimondii2_0_v6:8:53873906:53878459:1 gene:B456_008G256700 transcript:KJB52338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLISETSQKIFTSETLRAAAKQSERCLVVPVRLRRAIKKYLREQEDPYMRRKVLRLSQSFSDIKDVNLQLVAETSKELVADPLKSMEQSQRWKIKSAYGDIGLTYRDDETVAYVASRMPSVYSACYRVLSEVRRRLPGFSPTKVLDFGAGTGSAFWAMREVWPKSVEKLNIVEPSQSMQRAGRSLVQDLKNLPLIHGYTSLQALTKEVQKSERQHDLVIASYVLGEIPSLKDRITIVRQLWNLTQDVLVLVEPGTPHGSNIISQMRSHILWMEKRRSKGDPLRGFEDEKFSFIAFRRGQRPRDPWPLDGMKFETLKEQRAKRNPEDFEIDYEDLVDAEETSDMIPYEEVDPSAYDSDVMETDNIIDNDEDQEETVNANLGGGWGRIVFPPVRRGRQVHMNICRSTNPDASEGSFDHEVITQTKNPTLHLQARKSFWGDLWPSGRNVTTATS >KJB52335 pep chromosome:Graimondii2_0_v6:8:53873760:53878540:1 gene:B456_008G256700 transcript:KJB52335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLISETSQKIFTSETLRAAAKQSERCLVVPVRLRRAIKKYLREQEDPYMRRKVLRLSQSFSDIKDVNLQLVAETSKELVADPLKSMEQSQRWKIKSAYGDIGLTYRDDETVAYVASRMPSVYSACYRVLSEVRRRLPGFSPTKVLDFGAGTGSAFWAMREVWPKSVEKLNIVEPSQSMQRAGRSLVQDLKNLPLIHGYTSLQALTKEVQKSERQHDLVIASYVLGEIPSLKDRITIVRQLWNLTQDVLVLVEPGTPHGSNIISQMRSHILWMEKRKFRKAKVNKEDSKDLIDLRSGAFIVAPCPHDGRCPLEKSSKYCHFVQRLQRTTSQRAYKRSKGDPLRGFEDEKFSFIAFRRGQRPRDPWPLDGMKFETLKEQRAKRNPEDFEIDYEDLVDAEETSDMIPYEEVDPSAYDSDVMETDNIIDNDEDQEETVNANLGGGWGRIVFPPVRRGRQVHMNICRSTNPDASEGSFDHEVITQTKNPTLHLQARKSFWGDLWPSGRNVTTATS >KJB51628 pep chromosome:Graimondii2_0_v6:8:51196964:51199806:-1 gene:B456_008G225500 transcript:KJB51628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKSKEPVTVAGPDTVSSQSDIFKTIFGDITEPNAAASSLFSDDNPFKRKPEETVKNPEKVYSLDSDMVELKHRKRKEKEKAENLTLGSSEEATETQKSKKGRNSENLNLGSLDSNVESSGLDAKGKKRKRDEVEKEYEERKYGTVAVASDAEEEVVVGQKRKKPEDVGVVALVPKEGFDDDSKLMRTVFVGNLPIKAKKKVLLKEFSKFGEIESVRIRSVPLNDTKKPRKGAIMLKQINENADSVHAYIVFKTEQSAEASLANNMAVIAGNHIRVDRACPPRKKLKAENAPLYDSRRTVFVGNLPFDVKDEEIYQLFCGINNLGSSIEAVRVIRDPQFGVGKGIAYVLFKTREAANVVVKKRTLKLRDRELRLSHAKFDATSSKRKNQSSSGTDSTPAKKFAIDSRTPSTTGNKSNTKASLSYQGLRASKSGFEKKVSSRGTISPVKMKFKAQRMDKPKERLTKRPAVAARKAKAKVHKDGSVPKQTGVKRKLDSFSPASGSHKTKKGKMFR >KJB48399 pep chromosome:Graimondii2_0_v6:8:10948050:10949813:1 gene:B456_008G067200 transcript:KJB48399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) UniProtKB/Swiss-Prot;Acc:Q9ZPV8] MTMLEEQKDQSTLVNPQLSPPNSYGAVVLGGTFDRLHDGHRLFLKVKLSAAEIARDRIVVGVCDGPMLSKKQFAELIQPTEERMHNVENYIKSIKPKLVVQVEPITDPYGPSIIDEHLEAILVRLKL >KJB48402 pep chromosome:Graimondii2_0_v6:8:10948585:10949575:1 gene:B456_008G067200 transcript:KJB48402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) UniProtKB/Swiss-Prot;Acc:Q9ZPV8] MLSKKQFAELIQPTEERMHNVENYIKSIKPKLVVQVEPITDPYGPSIIDEHLEAILVSKETLPGGVSVNKKRAERGLSQLKIEVVDLVSEGCSGGKLSSTTLRKLEAEKAKNQESATSLMVTS >KJB48398 pep chromosome:Graimondii2_0_v6:8:10947734:10949813:1 gene:B456_008G067200 transcript:KJB48398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) UniProtKB/Swiss-Prot;Acc:Q9ZPV8] MTMLEEQKDQSTLVNPQLSPPNSYGAVVLGGTFDRLHDGHRLFLKSAAEIARDRIVVGVCDGPMLSKKQFAELIQPTEERMHNVENYIKSIKPKLVVQVEPITDPYGPSIIDEHLEAILVSKETLPGGVSVNKKRAERGLSQLKIEVVDLVSEGCSGGKLSSTTLRKLEAEKAKNQESATSLMVTS >KJB48400 pep chromosome:Graimondii2_0_v6:8:10948050:10949813:1 gene:B456_008G067200 transcript:KJB48400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) UniProtKB/Swiss-Prot;Acc:Q9ZPV8] MTMLEEQKDQSTLVNPQLSPPNSYGAVVLGGTFDRLHDGHRLFLKSAAEIARDRIVVGVCDGPMLSKKQFAELIQPTEERMHNVENYIKSIKPKLVVQVEPITDPYGPSIIDEHLEAILVRFVTD >KJB48404 pep chromosome:Graimondii2_0_v6:8:10948050:10949813:1 gene:B456_008G067200 transcript:KJB48404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) UniProtKB/Swiss-Prot;Acc:Q9ZPV8] MTMLEEQKDQSTLVNPQLSPPNSYGAVVLGGTFDRLHDGHRLFLKSAAEIARDRIVVGVCDGPMLSKKQFAELIQPTEERMHNVENYIKSIKPKLVVQVEPITDPYGPSIIDEHLEAILVRRHYQVESPLIRKELKEAFHS >KJB48403 pep chromosome:Graimondii2_0_v6:8:10948050:10949813:1 gene:B456_008G067200 transcript:KJB48403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) UniProtKB/Swiss-Prot;Acc:Q9ZPV8] MLSKKQSIKPKLVVQVEPITDPYGPSIIDEHLEAILVSKETLPGGVSVNKKRAERGLSQLKIEVVDLVSEGCSGGKLSSTTLRKLEAEKAKNQESATSLMVTS >KJB48401 pep chromosome:Graimondii2_0_v6:8:10948050:10949813:1 gene:B456_008G067200 transcript:KJB48401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) UniProtKB/Swiss-Prot;Acc:Q9ZPV8] MTMLEEQKDQSTLVNPQLSPPNSYGAVVLGGTFDRLHDGHRLFLKSAAEIARDRIVVGVCDGPMLSKKQFAELIQPTEERMHNVENYIKSIKPKLVVQVEPITDPYGPSIIDEHLEAILVRLKL >KJB47698 pep chromosome:Graimondii2_0_v6:8:4665921:4668630:-1 gene:B456_008G037300 transcript:KJB47698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTLSVRSNRLTPGTPIPRPPVNLPTQTYPSFKPTKTEPWRATTIVHSRRILAARAGSRADDSAPFEMSVENALKLLGVSENASFDDILRAKNSIVASIKDDQEAIAQVEAAYDMLLMRSLTQRRAGKVVDRSIRYADVKSVNPSGVGSMPRWVQTTVKNLPVSVVAPVTSELGIQAGVYGALMVLTYVNGASTSSVVPYTGPDVPGLILASSFGASLYFMTRKNVKLGKATLITIGGLVAGAVVGSAVENWLQVDIVPLLGIHSPATVVSEFILFSQFLVSMYLR >KJB47699 pep chromosome:Graimondii2_0_v6:8:4666903:4668158:-1 gene:B456_008G037300 transcript:KJB47699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTLSVRSNRLTPGTPIPRPPVNLPTQTYPSFKPTKTEPWRATTIVHSRRILAARAGSRADDSAPFEMSVENALKLLGVSENASFDDILRAKNSIVASIKDDQEAIAQVEAAYDMLLMRSLTQRRAGKVVDRSIRYADVKSVNPSGVGSMPRWVQTTVKNLPVSVVAPVTSELGIQAGVYGALMVLTYVNGASTSSVVPYTGPDVPGLILASSFGASLYFMTRKNVKLGNETSCMWILLLAIENLITCCFCQM >KJB53248 pep chromosome:Graimondii2_0_v6:8:57079140:57084119:-1 gene:B456_008G298500 transcript:KJB53248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLLSLKQASSSAFSSSCSSLLHSLPYKTFSSVANPTPQNHFISSAFSNQWRSKPCFTGETQGFLSYPNFPKRFWFYFSNTHQKFSSKSLLGCRVRFFRPQIPKSKFGFGPNSGLLKSRWRLWFQRFSASDMVLGLVLTNVAVFLLWRIADRRFMMNNFMVSLDNFKSGRLHTLITSAFSHIDIEHIVSNMIGLYFFGYNIGRIFGPEYLLRLYLAGAMGGSVFYLVHHAFLAKGQATRMMDPSRTPGLGASGAVNAIMLLDIFLNPKATLYFDFIIPVPAMLLGIFLIGKDILRIIEGNSHISGSAHLGGAAVAAIAWARLRRGRF >KJB53250 pep chromosome:Graimondii2_0_v6:8:57081192:57083910:-1 gene:B456_008G298500 transcript:KJB53250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLLSLKQASSSAFSSSCSSLLHSLPYKTFSSVANPTPQNHFISSAFSNQWRSKPCFTGETQGFLSYPNFPKRFWFYFSNTHQKFSSKSLLGCRVRFFRPQIPKSKFGFGPNSGLLKSRWRLWFQRFSASDMVLGLVLTNVAVFLLWRIADRRFMMNNFMVSLDNFKSGRLHTLITSAFSHIDIEHIVSNMIGLYFFGYNIGRIFGPEYLLRLYLAGAMGGSVFYLVHHAFLAKVP >KJB53249 pep chromosome:Graimondii2_0_v6:8:57079211:57084083:-1 gene:B456_008G298500 transcript:KJB53249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLLSLKQASSSAFSSSCSSLLHSLPYKTFSSVANPTPQNHFISSAFSNQWRSKPCFTGETQGVRFFRPQIPKSKFGFGPNSGLLKSRWRLWFQRFSASDMVLGLVLTNVAVFLLWRIADRRFMMNNFMVSLDNFKSGRLHTLITSAFSHIDIEHIVSNMIGLYFFGYNIGRIFGPEYLLRLYLAGAMGGSVFYLVHHAFLAKGQATRMMDPSRTPGLGASGAVNAIMLLDIFLNPKATLYFDFIIPVPAMLLGIFLIGKDILRIIEGNSHISGSAHLGGAAVAAIAWARLRRGRF >KJB50597 pep chromosome:Graimondii2_0_v6:8:45538662:45542742:1 gene:B456_008G178600 transcript:KJB50597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint protein BUB3.3 [Source:Projected from Arabidopsis thaliana (AT1G69400) UniProtKB/Swiss-Prot;Acc:F4I241] MNGSCLEFKNPIQDAISRVRFAPQSNNLLISSWDPCLRLYDVDCSQLRLEAPSEAALLDCCFQEESVAFSAASDGSITRYDLHSGISNKIGNHDDIATCVEYSTETRQVITAGFDKKIIAWDIFGAKPLVYLRNLDAEVESMSLSGYELIIAVGSSVDIYDLRNSDKCVQSNKFCMDVPIRCVSSIPYLKGYAVGSVDGRVKLEISYPSSSNNIGYMFRCHPRSRDGRNHLVPVNDIAFNPFISGAFVTGDNVGYVTAWDAKSRRRLFELPDCANSIASLTYNNEGQILAVASSHTYQEATEIEKPPQIFVHKLEESHLGSVSDGSSSRK >KJB50463 pep chromosome:Graimondii2_0_v6:8:44821610:44822662:-1 gene:B456_008G172900 transcript:KJB50463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMGVLGKVVDYLLLLSFFSITLTAQLDIPESILPHAYNPFYQVYTTLTQDYLVLEQPAFFKALMTLELVYQLPLALLNIYGLLYSKPWFNTTCLLFGASIVASTTAMVGDILNSQKASANLMAMYYPPFLPLGVLAIVRGVVGQSSKAAPSIGNGPSSAVKKRA >KJB48179 pep chromosome:Graimondii2_0_v6:8:8723948:8724806:-1 gene:B456_008G056800 transcript:KJB48179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNSYGNGSSWADQWDYSDPAASNGAANKKKSSSSGATAKYKQKVGDGLEKTKAVASTGMKKVKQGTSSGLQWIKDKYHKTTQKH >KJB48178 pep chromosome:Graimondii2_0_v6:8:8723948:8724719:-1 gene:B456_008G056800 transcript:KJB48178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNSYGNGSSWADQWDYSDPAASNGAANKKKSSSSGATAKYKQKVGDGLEKTKAVASTGMKKVKQGTSSGLQWIKDKYHKTTQKH >KJB47926 pep chromosome:Graimondii2_0_v6:8:6488416:6499382:-1 gene:B456_008G047400 transcript:KJB47926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVHASYCDNQVGKNIFVTIVISKMRIMTKEGLQWTDRRVSLMNEILVAMDTVKFYAWEKSFQTRVHSIRNDELSWLRRAQLLSAFNSFILNSIPVVVTVVSFGAFTLLGGDLTPARAFTSLSLFALLRFPLNTLPNLLSQVVNANVSLQRLQELFLAEERVLAPNLPLQPGLPAISIKDGNFSWDSKAEKPTLSNINLEVPVGSLVAIVGGTGEGKTSLISAMLGEIPADANSSVVIRGTVAYVPQVSWIFNATVRNNILFGCSFQPERYWKTIDVTALRHDLDILPDHDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAQQVFSRCIKEELQGKTRILVTNQLHFLPHVDYILLVANGVIKEEGTFEEISKNGRLFQKLMENAGKMEKQEEKDDGKNFDLEDLKPKSNEMVEINELQKNANPAKRRKGRKAVLVKQEERETGVVSWNVLMRYKDALGGLWVVMILFTFYFSTEVLRISSSTWLRFWTDQSTSSSYHPGYYIVIYALLGFSQVTVTLTNSFWLITSSLRAARRLHDMMLNSILKAPMLFFQTNPTGRVINRFSRDLGDIDRNVANFMNMFMNQLWQLLSTFALIGIVSIFSLWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPIYAQFGEALNGLSSIRAYKAYERVANVNGKSMDNNIRFTLANSSSNRWLTIRLETLGGLMIWLTATFAVLQNGKAENQAAFASTMGLLLSYSLNITSLLSGVLRQASRAENSLNAVERVGSYINLPSEAPDVIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFTTSPSEKIGIVGRTGAGKSSMLNALFRIVELEKGRILIDGCDISRFGLSDLRKALSIIPQSPVLFSGTVRLNLDPFNEHNDADLWEALERAHLKDVIRRNSFGLDAEVLESGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFKSCTMLVIAHRLNTIIDCDQILVLDAGQVLEHSTPEELLANEESAFSKMVQSTGPANAEYLRGLIFGGEDNRFSGDDATRLDGRKRWQASSRWAAAAQFALAVSLTSSQNDLKRFDIGDTSNIVKKTEDAVITLQSVLEGKHDEVIDDILQQHEVPRDRWWSALYRIIDGLAAMSRLAQNNRLRQLELEFEDRSVDWDEIEI >KJB47927 pep chromosome:Graimondii2_0_v6:8:6488416:6506502:-1 gene:B456_008G047400 transcript:KJB47927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPLVWYCQPLQNAAWTKLVDGAFGAYTPCAIGSGVFLVSHLVLLGLCCYRIWLIKKNSKVQRFSLSSEYYCYILGFLAGYCTIEPILRLLMGSSIFNLDGTTGLAPYEVTSLIIEATTWCSVLFMIGLETKSYIREFRWYVRFGVVYVLVGDAVLLNLILPVKDLINSYALYLTISTVFCQVLFGILLLVYFPNLHLSPGYRLIESDSLVDEKYEPLSGGEQICPERQASIISRIFFGWITPLMQQGYKRPITERDVWKLDTWDQTEILIHKFHRCWDKEAKRSKPWLLRALNSSLGGRFWLGGVFKIGCDLCQFAGPMLLNHLLQSMQRGDPAWVGYIYAFLMFLGVLCVVLLEAQYMQNVWRVGFRLRSTLVAAIFHKSLRLTHEARKNFPSGKITNMITTDATALQQICQQLHVLWSAPFRIIISMVLLYQQLGVASLLGSVILVLMVPLQTIVISKMRIMTKEGLQWTDRRVSLMNEILVAMDTVKFYAWEKSFQTRVHSIRNDELSWLRRAQLLSAFNSFILNSIPVVVTVVSFGAFTLLGGDLTPARAFTSLSLFALLRFPLNTLPNLLSQVVNANVSLQRLQELFLAEERVLAPNLPLQPGLPAISIKDGNFSWDSKAEKPTLSNINLEVPVGSLVAIVGGTGEGKTSLISAMLGEIPADANSSVVIRGTVAYVPQVSWIFNATVRNNILFGCSFQPERYWKTIDVTALRHDLDILPDHDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAQQVFSRCIKEELQGKTRILVTNQLHFLPHVDYILLVANGVIKEEGTFEEISKNGRLFQKLMENAGKMEKQEEKDDGKNFDLEDLKPKSNEMVEINELQKNANPAKRRKGRKAVLVKQEERETGVVSWNVLMRYKDALGGLWVVMILFTFYFSTEVLRISSSTWLRFWTDQSTSSSYHPGYYIVIYALLGFSQVTVTLTNSFWLITSSLRAARRLHDMMLNSILKAPMLFFQTNPTGRVINRFSRDLGDIDRNVANFMNMFMNQLWQLLSTFALIGIVSIFSLWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPIYAQFGEALNGLSSIRAYKAYERVANVNGKSMDNNIRFTLANSSSNRWLTIRLETLGGLMIWLTATFAVLQNGKAENQAAFASTMGLLLSYSLNITSLLSGVLRQASRAENSLNAVERVGSYINLPSEAPDVIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFTTSPSEKIGIVGRTGAGKSSMLNALFRIVELEKGRILIDGCDISRFGLSDLRKALSIIPQSPVLFSGTVRLNLDPFNEHNDADLWEALERAHLKDVIRRNSFGLDAEVLESGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFKSCTMLVIAHRLNTIIDCDQILVLDAGSRAQYSRGTAS >KJB47928 pep chromosome:Graimondii2_0_v6:8:6488416:6506502:-1 gene:B456_008G047400 transcript:KJB47928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPLVWYCQPLQNAAWTKLVDGAFGAYTPCAIGSGVFLVSHLVLLGLCCYRIWLIKKNSKVQRFSLSSEYYCYILGFLAGYCTIEPILRLLMGSSIFNLDGTTGLAPYEVTSLIIEATTWCSVLFMIGLETKSYIREFRWYVRFGVVYVLVGDAVLLNLILPVKDLINSYALYLTISTVFCQVLFGILLLVYFPNLHLSPGYRLIESDSLVDEKYEPLSGGEQICPERQASIISRIFFGWITPLMQQGYKRPITERDVWKLDTWDQTEILIHKFHRCWDKEAKRSKPWLLRALNSSLGGRFWLGGVFKIGCDLCQFAGPMLLNHLLQSMQRGDPAWVGYIYAFLMFLGVLCVVLLEAQYMQNVWRVGFRLRSTLVAAIFHKSLRLTHEARKNFPSGKITNMITTDATALQQICQQLHVLWSAPFRIIISMVLLYQQLGVASLLGSVILVLMVPLQTIVISKMRIMTKEGLQWTDRRVSLMNEILVAMDTVKFYAWEKSFQTRVHSIRNDELSWLRRAQLLSAFNSFILNSIPVVVTVVSFGAFTLLGGDLTPARAFTSLSLFALLRFPLNTLPNLLSQELFLAEERVLAPNLPLQPGLPAISIKDGNFSWDSKAEKPTLSNINLEVPVGSLVAIVGGTGEGKTSLISAMLGEIPADANSSVVIRGTVAYVPQVSWIFNATVRNNILFGCSFQPERYWKTIDVTALRHDLDILPDHDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAQQVFSRCIKEELQGKTRILVTNQLHFLPHVDYILLVANGVIKEEGTFEEISKNGRLFQKLMENAGKMEKQEEKDDGKNFDLEDLKPKSNEMVEINELQKNANPAKRRKGRKAVLVKQEERETGVVSWNVLMRYKDALGGLWVVMILFTFYFSTEVLRISSSTWLRFWTDQSTSSSYHPGYYIVIYALLGFSQVTVTLTNSFWLITSSLRAARRLHDMMLNSILKAPMLFFQTNPTGRVINRFSRDLGDIDRNVANFMNMFMNQLWQLLSTFALIGIVSIFSLWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPIYAQFGEALNGLSSIRAYKAYERVANVNGKSMDNNIRFTLANSSSNRWLTIRLETLGGLMIWLTATFAVLQNGKAENQAAFASTMGLLLSYSLNITSLLSGVLRQASRAENSLNAVERVGSYINLPSEAPDVIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFTTSPSEKIGIVGRTGAGKSSMLNALFRIVELEKGRILIDGCDISRFGLSDLRKALSIIPQSPVLFSGTVRLNLDPFNEHNDADLWEALERAHLKDVIRRNSFGLDAEVLESGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFKSCTMLVIAHRLNTIIDCDQILVLDAGQVLEHSTPEELLANEESAFSKMVQSTGPANAEYLRGLIFGGEDNRFSGDDATRLDGRKRWQASSRWAAAAQFALAVSLTSSQNDLKRFDIGDTSNIVKKTEDAVITLQSVLEGKHDEVIDDILQQHEVPRDRWWSALYRIIDGLAAMSRLAQNNRLRQLELEFEDRSVDWDEIEI >KJB47931 pep chromosome:Graimondii2_0_v6:8:6488522:6505842:-1 gene:B456_008G047400 transcript:KJB47931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPLVWYCQPLQNAAWTKLVDGAFGAYTPCAIGSGVFLVSHLVLLGLCCYRIWLIKKNSKVQRFSLSSEYYCYILGFLAGYCTIEPILRLLMGSSIFNLDGTTGLAPYEVTSLIIEATTWCSVLFMIGLETKSYIREFRWYVRFGVVYVLVGDAVLLNLILPVKDLINSYALYLTISTVFCQVLFGILLLVYFPNLHLSPGYRLIESDSLVDEKYEPLSGGEQICPERQASIISRIFFGWITPLMQQGYKRPITERDVWKLDTWDQTEILIHKFHRCWDKEAKRSKPWLLRALNSSLGGRFWLGGVFKIGCDLCQFAGPMLLNHLLQSMQRGDPAWVGYIYAFLMFLGVLCVVLLEAQYMQNVWRVGFRLRSTLVAAIFHKSLRLTHEARKNFPSGKITNMITTDATALQQICQQLHVLWSAPFRIIISMVLLYQQLGVASLLGSVILVLMVPLQTIVISKMRIMTKEGLQWTDRRVSLMNEILVAMDTVKFYAWEKSFQTRVHSIRNDELSWLRRAQLLSAFNSFILNSIPVVVTVVSFGAFTLLGGDLTPARAFTSLSLFALLRFPLNTLPNLLSQVVNANVSLQRLQELFLAEERVLAPNLPLQPGLPAISIKDGNFSWDSKAEKPTLSNINLEVPVGSLVAIVGGTGEGKTSLISAMLGEIPADANSSVVIRGTVAYVPQVSWIFNATVRNNILFGCSFQPERYWKTIDVTALRHDLDILPDHDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAQQVFSRCIKEELQGKTRILVTNQLHFLPHVDYILLVANGVIKEEGTFEEISKNGRLFQKLMENAGKMEKQEEKDDGKNFDLEDLKPKSNEMVEINELQKNANPAKRRKGRKAVLVKQEERETGVVSWNVLMRYKDALGGLWVVMILFTFYFSTEVLRISSSTWLRFWTDQSTSSSYHPGYYIVIYALLGFSQVTVTLTNSFWLITSSLRAARRLHDMMLNSILKAPMLFFQTNPTGRVINRFSRDLGDIDRNVANFMNMFMNQLWQLLSTFALIGIVSIFSLWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPIYAQFGEALNGLSSIRAYKAYERVANVNGKSMDNNIRFTLANSSSNRWLTIRLETLGGLMIWLTATFAVLQNGKAENQAAFASTMGLLLSYSLNITSLLSGVLRQASRAENSLNAVERVGSYINLPSEAPDVIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFTTSPSEKIGIVGRTGAGKSSMLNALFRIVELEKGRILIDGCDISRFGLSDLRKALSIIPQSPVLFSGTVRLNLDPFNEHNDADLWEALERAHLKDVIRRNSFGLDAEVLESGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFKSCTMLVIAHRLNTIIDCDQILVLDAGQVF >KJB47933 pep chromosome:Graimondii2_0_v6:8:6490853:6505842:-1 gene:B456_008G047400 transcript:KJB47933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPLVWYCQPLQNAAWTKLVDGAFGAYTPCAIGSGVFLVSHLVLLGLCCYRIWLIKKNSKVQRFSLSSEYYCYILGFLAGYCTIEPILRLLMGSSIFNLDGTTGLAPYEVTSLIIEATTWCSVLFMIGLETKSYIREFRWYVRFGVVYVLVGDAVLLNLILPVKDLINSYALYLTISTVFCQVLFGILLLVYFPNLHLSPGYRLIESDSLVDEKYEPLSGGEQICPERQASIISRIFFGWITPLMQQGYKRPITERDVWKLDTWDQTEILIHKFHRCWDKEAKRSKPWLLRALNSSLGGRFWLGGVFKIGCDLCQFAGPMLLNHLLQSMQRGDPAWVGYIYAFLMFLGVLCVVLLEAQYMQNVWRVGFRLRSTLVAAIFHKSLRLTHEARKNFPSGKITNMITTDATALQQICQQLHVLWSAPFRIIISMVLLYQQLGVASLLGSVILVLMVPLQTIVISKMRIMTKEGLQWTDRRVSLMNEILVAMDTVKFYAWEKSFQTRVHSIRNDELSWLRRAQLLSAFNSFILNSIPVVVTVVSFGAFTLLGGDLTPARAFTSLSLFALLRFPLNTLPNLLSQVVNANVSLQRLQELFLAEERVLAPNLPLQPGLPAISIKDGNFSWDSKAEKPTLSNINLEVPVGSLVAIVGGTGEGKTSLISAMLGEIPADANSSVVIRGTVAYVPQVSWIFNATVRNNILFGCSFQPERYWKTIDVTALRHDLDILPDHDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAQQVFSRCIKEELQGKTRILVTNQLHFLPHVDYILLVANGVIKEEGTFEEISKNGRLFQKLMENAGKMEKQEEKDDGKNFDLEDLKPKSNEMVEINELQKNANPAKRRKGRKAVLVKQEERETGVVSWNVLMRYKDALGGLWVVMILFTFYFSTEVLRISSSTWLRFWTDQSTSSSYHPGYYIVIYALLGFSQVTVTLTNSFWLITSSLRAARRLHDMMLNSILKAPMLFFQTNPTGRVINRFSRDLGDIDRNVANFMNMFMNQLWQLLSTFALIGIVSIFSLWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPIYAQFGEALNGLSSIRAYKAYERVANVNGKSMDNNIRFTLANSSSNRWLTIRLETLGGLMIWLTATFAVLQNGKAENQAAFASTMGLLLSYSLNITSLLSGVLRQASRAENSLNAVERVGSYINLPSEAPDVIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFTTSPSEKIGIVGRTGAGKSSMLNALFRIVELEKGRILIDGCDISRFGLSDLRKALSIIPQSPVLFSGTVRLNLDPFNEHNDADLWEALERAHLKDVIRRNSFGLDAEVFK >KJB47929 pep chromosome:Graimondii2_0_v6:8:6488522:6505842:-1 gene:B456_008G047400 transcript:KJB47929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPLVWYCQPLQNAAWTKLVDGAFGAYTPCAIGSGVFLVSHLVLLGLCCYRIWLIKKNSKVQRFSLSSEYYCYILGFLAGYCTIEPILRLLMGSSIFNLDGTTGLAPYEVTSLIIEATTWCSVLFMIGLETKSYIREFRWYVRFGVVYVLVGDAVLLNLILPVKDLINSYALYLTISTVFCQVLFGILLLVYFPNLHLSPGYRLIESDSLVDEKYEPLSGGEQICPERQASIISRIFFGWITPLMQQGYKRPITERDVWKLDTWDQTEILIHKFHRCWDKEAKRSKPWLLRALNSSLGGRFWLGGVFKIGCDLCQFAGPMLLNHLLQSMQRGDPAWVGYIYAFLMFLGVLCVVLLEAQYMQNVWRVGFRLRSTLVAAIFHKSLRLTHEARKNFPSGKITNMITTDATALQQICQQLHVLWSAPFRIIISMVLLYQQLGVASLLGSVILVLMVPLQTIVISKMRIMTKEGLQWTDRRVSLMNEILVAMDTVKFYAWEKSFQTRVHSIRNDELSWLRRAQLLSAFNSFILNSIPVVVTVVSFGAFTLLGGDLTPARAFTSLSLFALLRFPLNTLPNLLSQVVNANVSLQRLQELFLAEERVLAPNLPLQPGLPAISIKDGNFSWDSKAEKPTLSNINLEVPVGSLVAIVGGTGEGKTSLISAMLGEIPADANSSVVIRGTVAYVPQVSWIFNATVRNNILFGCSFQPERYWKTIDVTALRHDLDILPDHDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAQQVFSRCIKEELQGKTRILVTNQLHFLPHVDYILLVANGVIKEEGTFEEISKNGRLFQKLMENAGKMEKQEEKDDGKNFDLEDLKPKSNEMVEINELQKNANPAKRRKGRKAVLVKQEERETGVVSWNVLMRYKDALGGLWVVMILFTFYFSTEVLRISSSTWLRFWTDQSTSSSYHPGYYIVIYALLGFSQVTVTLTNSFWLITSSLRAARRLHDMMLNSILKAPMLFFQTNPTGRVINRFSRDLGDIDRNVANFMNMFMNQLWQLLSTFALIGIVSIFSLWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPIYAQFGEALNGLSSIRAYKAYERVANVNGKSMDNNIRFTLANSSSNRWLTIRLETLGGLMIWLTATFAVLQNGKAENQAAFASTMGLLLSYSLNITSLLSGVLRQASRAENSLNAVERVGSYINLPSEAPDVIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFTTSPSEKIGIVGRTGAGKSSMLNALFRIVELEKGRILIDGCDISRFGLSDLRKALSIIPQSPVLFSGTVRLNLDPFNEHNDADLWEALERAHLKDVIRRNSFGLDAEVLESGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFKSCTMLVIAHRLNTIIDCDQILVLDAGQVLEHSTPEELLANEESAFSKMVQSTGPANAEYLRGLIFGGEDNRFSGDDATRLDGRKRWQASSRWAAAAQFALAVSLTSSQNDLKRFDIGDTSNIVKKTEDAVITLQSVLEGKHDEVIDDILQQHEVPRDRWWSALYRIIDGLAAMSRLAQNNRLRQLELEFEDRSVDWDEIEI >KJB47932 pep chromosome:Graimondii2_0_v6:8:6488522:6505934:-1 gene:B456_008G047400 transcript:KJB47932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPLVWYCQPLQNAAWTKLVDGAFGAYTPCAIGSGVFLVSHLVLLGLCCYRIWLIKKNSKVQRFSLSSEYYCYILGFLAGYCTIEPILRLLMGSSIFNLDGTTGLAPYEVTSLIIEATTWCSVLFMIGLETKSYIREFRWYVRFGVVYVLVGDAVLLNLILPVKDLINSYALYLTISTVFCQVLFGILLLVYFPNLHLSPGYRLIESDSLVDEKYEPLSGGEQICPERQASIISRIFFGWITPLMQQGYKRPITERDVWKLDTWDQTEILIHKFHRCWDKEAKRSKPWLLRALNSSLGGRFWLGGVFKIGCDLCQFAGPMLLNHLLQSMQRGDPAWVGYIYAFLMFLGVLCVVLLEAQYMQNVWRVGFRLRSTLVAAIFHKSLRLTHEARKNFPSGKITNMITTDATALQQICQQLHVLWSAPFRIIISMVLLYQQLGVASLLGSVILVLMVPLQTIVISKMRIMTKEGLQWTDRRVSLMNEILVAMDTVKFYAWEKSFQTRVHSIRNDELSWLRRAQLLSAFNSFILNSIPVVVTVVSFGAFTLLGGDLTPARAFTSLSLFALLRFPLNTLPNLLSQVVNANVSLQRLQELFLAEERVLAPNLPLQPGLPAISIKDGNFSWDSKAEKPTLSNINLEVPVGSLVAIVGGTGEGKTSLISAMLGEIPADANSSVVIRGTVAYVPQVSWIFNATVRNNILFGCSFQPERYWKTIDVTALRHDLDILPDHDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAQQVFSRCIKEELQGKTRILVTNQLHFLPHVDYILLVANGVIKEEGTFEEISKNGRLFQKLMENAGKMEKQEEKDDGKNFDLEDLKPKSNEMVEINELQKNANPAKRRKGRKAVLVKQEERETGVVSWNVLMRYKDALGGLWVVMILFTFYFSTEVLRISSSTWLRFWTDQSTSSSYHPGYYIVIYALLGFSQVTVTLTNSFWLITSSLRAARRLHDMMLNSILKAPMLFFQTNPTGRVINRFSRDLGDIDRNVANFMNMFMNQLWQLLSTFALIGIVSIFSLWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPIYAQFGEALNGLSSIRAYKAYERVANVNGKSMDNNIRFTLANSSSNRWLTIRLETLGGLMIWLTATFAVLQNGKAENQAAFASTMGLLLSYSLNITSLLSGVLRQASRAENSLNAVERVGSYINLPSEAPDVIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFTTSPSEKIGIVGRTGAGKSSMLNALFRIVELEKGRILIDGCDISRFGLSDLRKALSIIPQSPVLFSGTVRLNLDPFNEHNDADLWEALERAHLKDVIRRNSFGLDAEVLESGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFKSCTMLVIAHRLNTIIDCDQILVLDAGQVLEHSTPEELLANEESAFSKMVQSTGPANAEYLRGLIFGGEDNRFSGDDATRLDGRKRWQASSRWAAAAQFALAVSLTSSQNDLKR >KJB47930 pep chromosome:Graimondii2_0_v6:8:6489432:6505842:-1 gene:B456_008G047400 transcript:KJB47930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPLVWYCQPLQNAAWTKLVDGAFGAYTPCAIGSGVFLVSHLVLLGLCCYRIWLIKKNSKVQRFSLSSEYYCYILGFLAGYCTIEPILRLLMGSSIFNLDGTTGLAPYEVTSLIIEATTWCSVLFMIGLETKSYIREFRWYVRFGVVYVLVGDAVLLNLILPVKDLINSYALYLTISTVFCQVLFGILLLVYFPNLHLSPGYRLIESDSLVDEKYEPLSGGEQICPERQASIISRIFFGWITPLMQQGYKRPITERDVWKLDTWDQTEILIHKFHRCWDKEAKRSKPWLLRALNSSLGGRFWLGGVFKIGCDLCQFAGPMLLNHLLQSMQRGDPAWVGYIYAFLMFLGVLCVVLLEAQYMQNVWRVGFRLRSTLVAAIFHKSLRLTHEARKNFPSGKITNMITTDATALQQICQQLHVLWSAPFRIIISMVLLYQQLGVASLLGSVILVLMVPLQTIVISKMRIMTKEGLQWTDRRVSLMNEILVAMDTVKFYAWEKSFQTRVHSIRNDELSWLRRAQLLSAFNSFILNSIPVVVTVVSFGAFTLLGGDLTPARAFTSLSLFALLRFPLNTLPNLLSQVVNANVSLQRLQELFLAEERVLAPNLPLQPGLPAISIKDGNFSWDSKAEKPTLSNINLEVPVGSLVAIVGGTGEGKTSLISAMLGEIPADANSSVVIRGTVAYVPQVSWIFNATVRNNILFGCSFQPERYWKTIDVTALRHDLDILPDHDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAQQVFSRCIKEELQGKTRILVTNQLHFLPHVDYILLVANGVIKEEGTFEEISKNGRLFQKLMENAGKMEKQEEKDDGKNFDLEDLKPKSNEMVEINELQKNANPAKRRKGRKAVLVKQEERETGVVSWNVLMRYKDALGGLWVVMILFTFYFSTEVLRISSSTWLRFWTDQSTSSSYHPGYYIVIYALLGFSQVTVTLTNSFWLITSSLRAARRLHDMMLNSILKAPMLFFQTNPTGRVINRFSRDLGDIDRNVANFMNMFMNQLWQLLSTFALIGIVSIFSLWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPIYAQFGEALNGLSSIRAYKAYERVANVNGKSMDNNIRFTLANSSSNRWLTIRLETLGGLMIWLTATFAVLQNGKAENQAAFASTMGLLLSYSLNITSLLSGVLRQASRAENSLNAVERVGSYINLPSEAPDVIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFTTSPSEKIGIVGRTGAGKSSMLNALFRIVELEKGRILIDGCDISRFGLSDLRKALSIIPQSPVLFSGTVRLNLDPFNEHNDADLWEALERAHLKDVIRRNSFGLDAEVLESGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFKSCTMLVIAHRLNTIIDCDQILVLDAGQVLEHSTPEELLANEESAFSKMVQSTGPANAEYLRGLIFGGEDNRFSGDDATRLDGRKRWQASSRWAAAAQFALAVSLTSSQNDLKRFDIGDTSNIVKKTEDAVITLQSVLEGKHDEVIDDILQQHEVPRDRWWSALYRIIDGMHIVVSHACKLPLKNPHVVQVNFMRGCQ >KJB52277 pep chromosome:Graimondii2_0_v6:8:53698116:53699073:1 gene:B456_008G253600 transcript:KJB52277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAISFIAIFVLFTVSHARLIVPDQAVTSEEIVAELPESDSTTTNVILLPNEKPNSEPAKTVDSKHDVDDASEADPDLVSVPLTIISFRPVNGHFPRHPLVPFRNGHDCRYHRRFRPLNSRFQRKRYVSHGNGKALSDERSRSDPESIRLGHQIRGRWTRFPNNGAESKEHVDFRKVRPYNHEHEQDHDNNDHHPHHRYQHQRRHHRRGEENERRESEEKDWGFIKRFRKFLINF >KJB51744 pep chromosome:Graimondii2_0_v6:8:51644865:51647478:-1 gene:B456_008G230200 transcript:KJB51744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFSIFIKDKSKKKRESRTAPELRNQSKSDNSALSQTRTSSRSLPSPRSIPELYKEKEHNLRVFSLQELRDATHGFNKLLKIGEGGFGGVYKGSITPPNGRGDPIVVAIKKLNTQGLQGHKEWLAEVQFLGVVNHPNLVKLLGYCSIDGERGIHRLLVYEYMPNRSLEDHLFKRTPTLPWKTRLEIMLGAAQGLAYLHEGLEVKVIYRDFKSSNVLLDESFKPKLSDFGLAREGPTGDRTHVSTAVVGTYGYAAPEYVKTGHLTIQSDIWTFGVVLYEILTGRRAVERNRPALEQKLLDWVKEFPPDSKKFRLLMDPRLGNSYSLSAAQKVGKLANSCLNKNAKERPTMSEVVESLKQAIQEPGGSSSANKAPPLTPSSRNGGK >KJB49877 pep chromosome:Graimondii2_0_v6:8:39436947:39438886:-1 gene:B456_008G143200 transcript:KJB49877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLSASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLADQFEAKRAKNKASRERKFARREERLAQGPGGGEKPAAAQPAAAVQPAV >KJB49873 pep chromosome:Graimondii2_0_v6:8:39436601:39438907:-1 gene:B456_008G143200 transcript:KJB49873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLSASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLADQFEAKRAKNKASRERKFARREERLAQGPGGGEKPAAAQPAAAVQPAVGSKKSKK >KJB49876 pep chromosome:Graimondii2_0_v6:8:39436773:39438817:-1 gene:B456_008G143200 transcript:KJB49876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLSASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLADQFEAKRAKNKASRERKFARREERLAQGPGGGEKPAAAQPAAAVQPAV >KJB49875 pep chromosome:Graimondii2_0_v6:8:39436612:39438886:-1 gene:B456_008G143200 transcript:KJB49875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLADQFEAKRAKNKASRERKFARREERLAQGPGGGEKPAAAQPAAAVQPAVGSKKSKK >KJB49874 pep chromosome:Graimondii2_0_v6:8:39436612:39438886:-1 gene:B456_008G143200 transcript:KJB49874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLSASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLADQFEAKRAKNKASRERKFARREERLAQVRTFVP >KJB49036 pep chromosome:Graimondii2_0_v6:8:28310163:28327771:1 gene:B456_008G099000 transcript:KJB49036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MAGTIQSLILTPPSIVTSPFPCRKTCCLFSRSLRINPPRRSISTLIISRKPSDEIHGSDLANGLNWTKPLMNFASNNFLPLALTSGVAFGLVNPTLGCLADKCNLSKFSTFGIFVVSGLTLRSDAIGAAVKAWPVGLFGLSSILFFTPYFSRIILLIPLQPQEFVTGLAIFSCMPTTLSSAVALTQLAGGNSALALAMTVLSNLLGILIIPFSISKFIAVGVGVYVPTAQLLKSLVLTLLVPLILGKVLRESSRGLANFVDHNRRLFSKINAVFLSLVPWMQVSRSRSLLIMVKPAAFIVAIGMGMYVQSSFLTSI >KJB49042 pep chromosome:Graimondii2_0_v6:8:28310163:28329192:1 gene:B456_008G099000 transcript:KJB49042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MAGTIQSLILTPPSIVTSPFPCRKTCCLFSRSLRINPPRRSISTLIISRKPSDEIHGSDLANGLNWTKPLMNFASNNFLPLALTSGVAFGLVNPTLGCLADKCNLSKFSTFGIFVVSGLTLRSDAIGAAVKAWPVGLFGLSSILFFTPYFSRIILLIPLQPQEFVTGLAIFSCMPTTLSSAVALTQLAGGNSALALAMTVLSNLLGILIIPFSISKFIAVGVGVYVPTAQLLKSLVLTLLVPLILGKVLRESSRGLANFVDHNRRLFSKINAVFLSLVPWMQVSRSRSLLIMVKPAAFIVAIGMGMLLHLGLLAFNALAIRSLSAVSGGHKSIFSKKENAQAALLVASQKTLPVMVAVVQQLGGAFGESGLLVLPCVAAHLIQIVLDSFLANFWLRKELSSNTAKVA >KJB49037 pep chromosome:Graimondii2_0_v6:8:28310163:28328776:1 gene:B456_008G099000 transcript:KJB49037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MAGTIQSLILTPPSIVTSPFPCRKTCCLFSRSLRINPPRRSISTLIISRKPSDEIHGSDLANGLNWTKPLMNFASNNFLPLALTSGVAFGLVNPTLGCLADKCNLSKFSTFGIFVVSGLTLRSDAIGAAVKAWPVGLFGLSSILFFTPYFSRIILLIPLQPQEFVTGLAIFSCMPTTLSSAVALTQLAGGNSALALAMTVLSNLLGILIIPFSISKFIAVGVGVYVPTAQLLKSLVLTLLVPLILGKVLRESSRGLANFVDHNRRLFSKINAVFLSLVPWMQVSRSRSLLIMVKPAAFIVAIGMGIKLYL >KJB49041 pep chromosome:Graimondii2_0_v6:8:28310163:28328776:1 gene:B456_008G099000 transcript:KJB49041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MCHCTFCSSILFFTPYFSRIILLIPLQPQEFVTGLAIFSCMPTTLSSAVALTQLAGGNSALALAMTVLSNLLGILIIPFSISKFIAVGVGVYVPTAQLLKSLVLTLLVPLILGKVLRESSRGLANFVDHNRRLFSKINAVFLSLVPWMQVSRSRSLLIMVKPAAFIVAIGMGMLLHLGLLAFNALAIRSLSAVSGGHKSIFSKKENAQAALLVASQKTLPVMVAVVQQLGGAFGESGLLVLPCVAAHLIQIVLDSFLANFWLRKELSSNTAKVA >KJB49040 pep chromosome:Graimondii2_0_v6:8:28310163:28328776:1 gene:B456_008G099000 transcript:KJB49040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MNFASNNFLPLALTSGVAFGLVNPTLGCLADKCNLSKFSTFGIFVVSGLTLRSDAIGAAVKAWPVGLFGLSSILFFTPYFSRIILLIPLQPQEFVTGLAIFSCMPTTLSSAVALTQLAGGNSALALAMTVLSNLLGILIIPFSISKFIAVGVGVYVPTAQLLKSLVLTLLVPLILGKVLRESSRGLANFVDHNRRLFSKINAVFLSLVPWMQVSRSRSLLIMVKPAAFIVAIGMGMLLHLGLLAFNALAIRSLSAVSGGHKSIFSKKENAQAALLVASQKTLPVMVAVVQQLGGAFGESGLLVLPCVAAHLIQIVLDSFLANFWLRKELSSNTAKVA >KJB49039 pep chromosome:Graimondii2_0_v6:8:28310163:28328776:1 gene:B456_008G099000 transcript:KJB49039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MAGTIQSLILTPPSIVTSPFPCRKTCCLFSRSLRINPPRRSISTLIISRKPSDEIHGSDLANGLNWTKPLMNFASNNFLPLALTSGVAFGLVNPTLGCLADKCNLSKFSTFGIFVVSGLTLRSDAIGAAVKAWPVGLFGLSSILFFTPYFSRIILLIPLQPQEFVTGLAIFSCMPTTLSSAVALTQLAGGNSALALAMTVLSNLLGILIIPFSISKFIAVGVGVYVPTAQLLKSLVLTLLVPLILGKVLRESSRGLANFVDHNRRLFSKINAVFLSLVPWMQVSRSRSLLIMVKPAAFIVAIGMGMNGFLQAFTPRSISF >KJB49038 pep chromosome:Graimondii2_0_v6:8:28310163:28328776:1 gene:B456_008G099000 transcript:KJB49038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MAGTIQSLILTPPSIVTSPFPCRKTCCLFSRSLRINPPRRSISTLIISRKPSDEIHGSDLANGLNWTKPLMNFASNNFLPLALTSGVAFGLVNPTLGCLADKCNLSKFSTFGIFVVSGLTLRSDAIGAAVKAWPVGLFGLSSILFFTPYFSRIILLIPLQPQEFVTGLAIFSCMPTTLSSAVALTQLAGGNSALALAMTVLSNLLGILIIPFSISKFIAVGVGVYVPTAQLLKSLVLTLLVPLILGKVLRESSRGFYTSVY >KJB50685 pep chromosome:Graimondii2_0_v6:8:46028878:46032499:1 gene:B456_008G182400 transcript:KJB50685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWKGISFSDLFWVTKRNMALAVENLTKHLDSVSDALSAAKKHLTQRIQNLDDKMETQKEISKSIQESVEEARMDLSNIEYDLDALQRMISGLDGKIGSLEYKQDLANAGVWYLCNMVGGKKAKMPEALQEQLKLSGKPRSLLMHSGTPTTKGLKDFVDIFSASMKDSGRDVIVQDDIYNLEDEPRGLHRSISGRC >KJB50682 pep chromosome:Graimondii2_0_v6:8:46028347:46032522:1 gene:B456_008G182400 transcript:KJB50682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQTGVGFSKILILAGAGYTGTILLKNGKLSDMLGELQGLEKTGDQSDDSDALLAQVRRLSTEIRQLASARQITVLNGDSGVKLTSLVIPAATLGALGYGYMWWKGISFSDLFWVTKRNMALAVENLTKHLDSVSDALSAAKKHLTQRIQNLDDKMETQKEISKSIQESVEEARMDLSNIEYDLDALQRMISGLDGKIGSLEYKQDLANAGVWYLCNMVGGKKAKMPEALQEQLKLSGKPRSLLMHSGTPTTKGLKDFVDIFSASMKDSGRDVIVQDDIYNLEDEPRGLHRSISGRC >KJB50684 pep chromosome:Graimondii2_0_v6:8:46028878:46032498:1 gene:B456_008G182400 transcript:KJB50684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWKGISFSDLFWVTKRNMALAVENLTKHLDSVSDALSAAKKHLTQRIQNLDDKMETQKEISKSIQESVEEARMDLSNIEYDLDALQRMISGLDGKIGSLEYKQDLANAGVWYLCNMVGGKKAKMPEALQEQLKLSGKPRSLLMHSGTPTTKGLKDFVDIFSASMKDSGRDVIVQDDIYNLEDEPRGLHR >KJB50683 pep chromosome:Graimondii2_0_v6:8:46028878:46032291:1 gene:B456_008G182400 transcript:KJB50683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWKGISFSDLFWVTKRNMALAVENLTKHLDSVSDALSAAKKHLTQRIQNLDDKMETQKEISKSIQESVEEARMDLSNIEYDLDALQRMISGLDGKIGSLEYKQDLANAGVWYLCNMVGGKKAKMPEALQEQLKLSGKPRSLLMHSGTPTTKGLKDFVDIFSASMKDSGRDVIVQDDIYNLEDEPRGLHRCDSQSWKSFFFFLKKVHPSFNLWKTVLDGNAITTLGACHCCGCSFFLFKKNILELIS >KJB50523 pep chromosome:Graimondii2_0_v6:8:45139249:45140689:-1 gene:B456_008G175300 transcript:KJB50523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFWKRAKSFAEEAAKKSQTLTSPNKLADLVAETAKKSKELAVEATKKADELKTAALKQADQIQIQSISKSISDFIPPQLSSLSISSPASTSSDPPPIPDSELHKFGLTDDLRDFVKGFTSTTFQNFPSPVQDEPEPSDSTTVGSNVRKDLSEWQERHATLVLTTVKEIKKLRYELCPRLMKERNFWRIYFTLVSTHVAPYEKQYMEEVKQRGVEGVKEDKPQQKPVVKAESESSLKTKTSSASAEQDLDTFLLGDFDSDGGGDDADADADADGSLDDDFDKIENSVRLNNFIHPVIKVP >KJB50521 pep chromosome:Graimondii2_0_v6:8:45139249:45140689:-1 gene:B456_008G175300 transcript:KJB50521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFWKRAKSFAEEAAKKSQTLTSPNKLADLVAETAKKSKELAVEATKKADELKTAALKQADQIQIQSISKSISDFIPPQLSSLSISSPASTSSDPPPIPDSELHKFGLTDDLRDFVKGFTSTTFQNFPSPVQDEPEPSDSTTVGSNVRKDLSEWQERHATLVLTTVKEIKKLRYELCPRLMKERNFWRIYFTLVSTHVAPYEKQYMEEVKQRGVEGVKEDKPQQKPVVKAESESSLKTKTSSASAEQDLDTFLLGDFDSDGGGDDADADADADGSLDDDFDKIENSVRLNNFIHPVIKVP >KJB50520 pep chromosome:Graimondii2_0_v6:8:45138728:45140822:-1 gene:B456_008G175300 transcript:KJB50520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFWKRAKSFAEEAAKKSQTLTSPNKLADLVAETAKKSKELAVEATKKADELKTAALKQADQIQIQSISKSISDFIPPQLSSLSISSPASTSSDPPPIPDSELHKFGLTDDLRDFVKGFTSTTFQNFPSPVQDEPEPSDSTTVGSNVRKDLSEWQERHATLVLTTVKEIKKLRYELCPRLMKERNFWRIYFTLVSTHVAPYEKQYMEEVKQRGVEGVKEDKPQQKPVVKAESESSLKTKTSSASAEQDLDTFLLGDFDSDGGGDGSLDDDFDKIENSDVEDEKKNAAGTKA >KJB50522 pep chromosome:Graimondii2_0_v6:8:45139061:45140689:-1 gene:B456_008G175300 transcript:KJB50522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFWKRAKSFAEEAAKKSQTLTSPNKLADLVAETAKKSKELAVEATKKADELKTAALKQADQIQIQSISKSISDFIPPQLSSLSISSPASTSSDPPPIPDSELHKFGLTDDLRDFVKGFTSTTFQNFPSPVQDEPEPSDSTTVGSNVRKDLSEWQERHATLVLTTVKEIKKLRYELCPRLMKERNFWRIYFTLVSTHVAPYEKQYMEEVKQRGVEGVKEDKPQQKPVVKAESESSLKTKTSSASAEQDLDTFLLGDFDSDGGGDDADADADADGSLDDDFDKIENSDVEDEKKNAAGTKA >KJB52412 pep chromosome:Graimondii2_0_v6:8:54163969:54166503:-1 gene:B456_008G260600 transcript:KJB52412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKETQQTTTWTDEEDKQAQQYAMQLVSSSVVPMVLKAAIELGVFEIIQRAGPSALLSPSQIASQLPSQTNPKAPLVLDRILRLLATHSILTYSLVTNQADGQVDRLYGLATVAKYFITSPSGGSLSPMLDLYQDKVTMDSWYHLKDAVLEGGSPFNKAHGVKTSEYMKKDPRFGNIFKAAMMDYNKLFVEEMLKSYRGFDGLNSLVDVGGGNGFILHSIVSKYPTIKGINFDLPHVIDKSPSYPGIENVAGDVFKSVPKGDAIFTKWVLHHFEDKQCVEVLRNCYEALPARGKVIAVQTVIPEGPDANLLCKSVYPFELLSTTMNESAKERTEKEFEKVAKDAGFSRFRVTCCVYGFSVLEFCKNM >KJB52059 pep chromosome:Graimondii2_0_v6:8:52973416:52975518:-1 gene:B456_008G244600 transcript:KJB52059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEKTILVTGGAGFIGTHTVVQLLNDGYRVSIIDNLDNSVIEAVHRVKDLVGPELSQKLDFNLGDLRNRDDLEKLFSKTKFDAVIHFAGLKAVAESVGNPRRYFDNNLIGTINLYEVMAKYNCKKMVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQKAEPDWSIILLRYFNPVGAHESGKIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAVRDYIHVMDLADGHIAAVRKLFSSENIGCIAYNLGTGSGTSVLEMVATFEKASGKKIPIKLCPRRPGDATAVYASTEKAQKELGWKAKYGIAEMCKDQWKWASNNPWGYQSKP >KJB52058 pep chromosome:Graimondii2_0_v6:8:52973179:52975660:-1 gene:B456_008G244600 transcript:KJB52058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEKTILVTGGAGFIGTHTVVQLLNDGYRVSIIDNLDNSVIEAVHRVKDLVGPELSQKLDFNLGDLRNRDDLEKLFSKTKFDAVIHFAGLKAVAESVGNPRRYFDNNLIGTINLYEVMAKYNCKKMVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQKAEPDWSIILLRYFNPVGAHESGKIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAVRDYIHVMDLADGHIAAVRKLFSSENIGCIAYNLGTGSGTSVLEMVATFEKASGKKIPIKLCPRRPGDATAVYASTEKAQKELGWKDVQRSMEVGKQQSMGIPVKALGFN >KJB50617 pep chromosome:Graimondii2_0_v6:8:46550709:46553175:-1 gene:B456_008G185500 transcript:KJB50617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSTTTDYMQGQSDCSEQTTSDVPVLEEFIPIKRSSNCSEEDDEQESHKSKETNVPAAVDKRKSDWLRSVQLWNNNQSPDLPLNEDDGKIGSAIEVKKNGGAFQPFQKEKTVEMSGQSAVGKTNGSATSTCTTESGSRGGEANNANKSKTEEKEGQASRKQRRCWSPELHRRFLHALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPTVHNNANPQAPQFVVVGGIWVPPPDYSTMTTTTTSTKTATVTPTSGIYTPVAAPLPALPQPSGTMAQRPQQSHSEERGSHSEGRVQSNSPSISSSTHTTTDSPAF >KJB50618 pep chromosome:Graimondii2_0_v6:8:46551804:46552771:-1 gene:B456_008G185500 transcript:KJB50618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEMDYALKKRRCHEYVEALEEERRKIQVFQRELPLCLELVNQAIEAHKKEMSGGSTTTDYMQGQSDCSEQTTSDVPVLEEFIPIKRSSNCSEEDDEQESHKSKETNVPAAVDKRKSDWLRSVQLWNNNQSPDLPLNEDDGKIGSAIEVKKNGGAFQPFQKEKTVEMSGQSAVGKTNGSATSTCTTESGSRGGEANNANKSKTEEKEGQASRKQRRCWSPELHRRFLHALQQLGGSHGLCY >KJB50619 pep chromosome:Graimondii2_0_v6:8:46551804:46552771:-1 gene:B456_008G185500 transcript:KJB50619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEMDYALKKRRCHEYVEALEEERRKIQVFQRELPLCLELVNQAIEAHKKEMSGGSTTTDYMQGQSDCSEQTTSDVPVLEEFIPIKRSSNCSEEDDEQESHKSKETNVPAAVDKRKSDWLRSVQLWNNNQSPDLPLNEDDGKIGSAIEVKKNGGAFQPFQKEKTVEMSGQSAVGKTNGSATSTCTTESGSRGGEANNANKSKTEEKEGQASRKQRRCWSPELHRRFLHALQQLGGSHGLCY >KJB50616 pep chromosome:Graimondii2_0_v6:8:46550655:46553243:-1 gene:B456_008G185500 transcript:KJB50616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEMDYALKKRRCHEYVEALEEERRKIQVFQRELPLCLELVNQAIEAHKKEMSGGSTTTDYMQGQSDCSEQTTSDVPVLEEFIPIKRSSNCSEEDDEQESHKSKETNVPAAVDKRKSDWLRSVQLWNNNQSPDLPLNEDDGKIGSAIEVKKNGGAFQPFQKEKTVEMSGQSAVGKTNGSATSTCTTESGSRGGEANNANKSKTEEKEGQASRKQRRCWSPELHRRFLHALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPTVHNNANPQAPQFVVVGGIWVPPPDYSTMTTTTTSTKTATVTPTSGIYTPVAAPLPALPQPSGTMAQRPQQSHSEERGSHSEGRVQSNSPSISSSTHTTTDSPAF >KJB50049 pep chromosome:Graimondii2_0_v6:8:40618554:40622512:1 gene:B456_008G150300 transcript:KJB50049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEGKRNTPDNESSRTTSPKINEKMAEEAWNHCTKEMEEKRDAIKDFDMYIPQASDDLTSALLAKQSMHKAIDILPPQVRDGVLDCLRKNGLIFCASDPEVSSSYLRSHPTEGALHYSLHVSKKVSGSRSPGKKGKKGKRKSLMTAIIAIVATAVATFVLVAVIFFCCLRKNSEPKEEKPLLHLNDYLSENSSQKSIKLVSLDNKELSTSSSCKIPASASASASDTNLTTKQDDGALPKPPGSDATVGAGLKPPPGRPAPPPPAPPPAAPPPKAAPPPPPPRAAAPPPPPGARPPPMPPKSKAAAPLGGRKQRSASGDDADSAQKAKLKPFFWDKVKADSGQSMVWHEIRGGSFQFNEEMIETLFGYQAANNKNNEKKEKAAEPAVQYIQIIDARKAQNLSILLRALNVTTQEVVDALQEGYRLPGELLQTLKKMTPTQDEELKLRLFAGDINQLGPAERFLKTVVEIPFAFKRIDALMFMSTFPEEVASLKESFATLEVACTKLKNSRLFLKLLEAVLKTGNRMNDGTYRGGAHAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIMRYEGIKCVRQQNSSQSQSLGSFKGVDFVEDNDQDNEDHYRSLGLEVVSGVTTELEDVRKASAIDADALTSTVAKLEGGLRKTKEFLDSDMKETESETQFYLSMTCFVDAAESDIKILSEEEKRIMEHIKSAADYFHGQAGTKEGLRLFAIVRDFLTMLDKVCKEIRDTRAKQKAQQAKEAKKEGATVTENRPSSASEPPPPSPDIRKRLFPAMAGQRMNDSSSDEESFSP >KJB51493 pep chromosome:Graimondii2_0_v6:8:50544837:50553273:1 gene:B456_008G219000 transcript:KJB51493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGWRNAVQETAASKPLFLTIYATVILGTVVSSFYVFSAIYSPTASPTRSISSSWLLAPPLSQNGVSLSSNISQPSQHRSNKLRPIWEAPSRTSKMPRLKSFRLTKELVAQRAKDNVIIVTFGNFAFMDFILTWVKHLTDLGVSNLLVGAMDTKLLKALYWKGIPVFDMGSHISTDDVGWGSPIFHKMGRQKVLLINAILPFGFELLMCDTDMVWLKNPLPYLARYPDADILTSSDQVVPTVVDDRLADWKQVGAAYNIGIFHWRPTPPAKKLAKEWIDMLLADDRIWDQNGFNELVRRQTGPAFDDESGIFYSYDGNLKLGILPESLFCSGHTYFVQALHQQFRLQPYALHTTFQYAGTEGKRHRLREAMVFFDPPEYYDAPGGFLSFRPSISKSLLLDGEHNLESHFSLINYQMRQIRSALAIASVLNRTLVMPPLWCRLDRLWFPHPGALLGSLTRQPFLCPLDHVFEVNVMLRDLPAEEFGPAINIREYSFLNNPFLPQRVRESWLDVQLCQEGTEDCHASSNTSRPGLLRFPKRSSEETLKKVFSSFKDVKVIQFSSMQDAFLGFTDKTREEKFRKRVKQYVGIWCCVENHIPGHIYYDMYWDEKPGWKPIPPKTPEDDHPPF >KJB51494 pep chromosome:Graimondii2_0_v6:8:50545049:50547568:1 gene:B456_008G219000 transcript:KJB51494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGWRNAVQETAASKPLFLTIYATVILGTVVSSFYVFSAIYSPTASPTRSISSSWLLAPPLSQNGVSLSSNISQPSQHRSNKLRPIWEAPSRTSKMPRLKSFRLTKELVAQRAKDNVIIVTFGNFAFMDFILTWVKHLTDLGVSNLLVGAMDTKLLKALYWKGIPVFDMGSHISTDDVGWGSPIFHKMGRQKVLLINAILPFGFELLMCDTDMVWLKNPLPYLARYPDADILTSSDQVVPTVVDDRLADWKQVGAAYNIGIFHWRPTPPAKKLAKEWIDMLLADDRIWDQNGFNELVRRQTGPAFDDESGIFYSYDGNLKLGILPESLFCSGHTYFVQALHQQFRLQPYALHTTFQYAGTEGKRHRLREAMVFFDPPEYYDAPGISVPAIL >KJB51101 pep chromosome:Graimondii2_0_v6:8:48694935:48698589:-1 gene:B456_008G201200 transcript:KJB51101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTFSGFNAMYDAANGGGDVWINDIRFKIVRQLGEGGFAYVYLVKEVTSDSSSISAGGLAKKVKDPSHLSDDGTYAMKKVLIQNNEQLELVREEIRVSSLFSHHNLLPLLDHAIISVKPTQEGSWNHEAYLLFPVHLDGTLLDNFKAMSAKNDFFSTSDVLQIFRQLCAGLEHMHSLEPPYAHNDIKPGNVLLTHRKGESPLAILMDFGSARPARRQIRSRSEALQLQEWASEHCAAPFRAPELWDCPSHADVDERTDIWALGCTLYAIMYGVSPFEYALGESGGSLQLAIVNVQIKWPAGPKPQYPEALHQFVTWMLQPQPSVRPRIDDIIFHVDKLISKFSQ >KJB51100 pep chromosome:Graimondii2_0_v6:8:48694107:48698494:-1 gene:B456_008G201200 transcript:KJB51100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTFSGFNAMYDAANGGGDVWINDIRFKIVRQLGEGGFAYVYLVKEVTSDSSSISAGGLAKKVKDPSHLSDDGTYAMKKVLIQNNEQLELVREEIRVSSLFSHHNLLPLLDHAIISVKPTQEGSWNHEAYLLFPVHLDGTLLDNFKAMSAKNDFFSTSDVLQIFRQLCAGLEHMHSLEPPYAHNDIKPGNVLLTHRKGESPLAILMDFGSARPARRQIRSRSEALQLQEWASEHCAAPFRAPELWDCPSHADVDERTDIWALGCTLYAIMYGVSPFEYALGESGGSLQLAIVNVQIKWPAGPKPQYPEALHQFVTWMLQPQPSVRPRIDDIIFHVDKLISKFSQ >KJB47156 pep chromosome:Graimondii2_0_v6:8:1430763:1433039:-1 gene:B456_008G013000 transcript:KJB47156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRRSSTKPVQSLKPTKTVSVDEDLTKASDALPLPLHLSNAVFFTLFFSAVYFLLSHWREKIRTSTPLSVVTFSEIIAILAFVASFIYLLGFFGIDFVQSLILRPQTEVWNSEDDDEVADVLLHKEDARKVPCGQALDCSLPPLPPPAPIVTVQKVLDENPVTVLTEEDEEIIKSVVAGTTPSYSLESKLGDCKRAAAIRREALQRLTGKSLEGLPLDGFDYESILGQCCEMPVGYVQIPVGIAGPLLINGREYSVPMATTEGCLVASTNRGCKAIHLSGGATSTLLRDGMTRAPVVRFGTAKRAADLKLYLEDPDNFDTLAVVFNRSSRFGRLQGIKCAIAGKNLYLRFTCTTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVMGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKGDVVRKVLKTTVESLVELNMLKNLTGSAMAGALGGFNAHASNIVTAVYIATGQDPAQNVESSHCITMMEAVNDGKDLHISVTMPSIEVIDCVTV >KJB47155 pep chromosome:Graimondii2_0_v6:8:1430728:1433092:-1 gene:B456_008G013000 transcript:KJB47155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRRSSTKPVQSLKPTKTVSVDEDLTKASDALPLPLHLSNAVFFTLFFSAVYFLLSHWREKIRTSTPLSVVTFSEIIAILAFVASFIYLLGFFGIDFVQSLILRPQTEVWNSEDDDEVADVLLHKEDARKVPCGQALDCSLPPLPPPAPIVTVQKVLDENPVTVLTEEDEEIIKSVVAGTTPSYSLESKLGDCKRAAAIRREALQRLTGKSLEGLPLDGFDYESILGQCCEMPVGYVQIPVGIAGPLLINGREYSVPMATTEGCLVASTNRGCKAIHLSGGATSTLLRDGMTRAPVVRFGTAKRAADLKLYLEDPDNFDTLAVVFNRSSRFGRLQGIKCAIAGKNLYLRFTCTTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVMGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKGDVVRKVLKTTVESLVELNMLKNLTGSAMAGALGGFNAHASNIVTAVYIATGQDPAQNVESSHCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESAGANSRMLAAVVAGAVLAGELSLMSALAAGQLVKSHMKYNRSNKDVSKASS >KJB47644 pep chromosome:Graimondii2_0_v6:8:4186751:4188013:1 gene:B456_008G034800 transcript:KJB47644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEVSKQIQRRKAVSMEKQMLFDLNENCGETFPGCDYRPTDRKNWMSSLGPKELHINNIVWPGTHNSATDRIGIPCISRPFAQCQTLSVYQQLALGTRVLDIRVNENNRVCHGILLTYNIDVVINDVKKFLFETTSEVIILEIRTEYGHRDPPEFEDYLEEKLSMYLIHQDDYVFGKTIAELLPKRIICVWKPRNSPQPKPGSPFWSAEYLKDNWIDTDLPSTKFDSNLKYLSDQAPVSSRYFFYRVENTVTAQPDNPVVCVRPVTGRIHGYARLFINRCFAEGCANRLQVFSTDFIGEDFVDACVGLTHARVHGEC >KJB47404 pep chromosome:Graimondii2_0_v6:8:2885827:2890627:1 gene:B456_008G024900 transcript:KJB47404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIISNLRGPRTQEHGLPLSDSLPSSTSVSNKTKCSNLMPLVVALVVIAEIAFLGRLDMAKNAAFFDSWPEMFYKYHSSDGAEVAGVEKVDIETLGGDQNLFKESCEDWLEREDGVIYSRDFAKDPIWISGADQEWETCAVSCKFGFDPSKKPDAVFGLPQQSGVASVLRSMESASYYSENNLAQARRRGYDIVMTTSLSSDVPVGYFSWAEYDIMAPVMPKTEKACAAAFISNCGARNFRLEALVGLEKANIKIDSYGSCHRNHDGNVDKVETLKRYKFSLAFENSNEEDYVTEKFLQSLVAGTIPVVVGAPNIEDFAPSPSSYLHIKELEDVPSIAKKMKYLAENPNAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATVIQEKEEKSSDFKKRPCKCTRGSETVYHTYVRERGRFKMDSIFLRSGNLTLEALEAAVLKKFKSLKHVPIWKQERPKSIRGGDELKVYRVYPVGLTQRQALYTFKFKGDADLRSHIENNPCPKFEVIFV >KJB49256 pep chromosome:Graimondii2_0_v6:8:34149745:34150269:-1 gene:B456_008G110300 transcript:KJB49256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRLSTGKSWYKCFRYEEGRDKPGDVRNVMLVVASLIASVTFQAGVNPPGGVWQDNSSGHVAGRAIYAYQSEVYYVFLIANTLALSASILVIISLTYRFPFHLEIVIATISMIVTYSSAIFAVTPDESVRFRYVIAAASVPYILRIFIQLFNMVFKNNEKPESENSEKVVLNY >KJB46779 pep chromosome:Graimondii2_0_v6:8:29803790:29806682:1 gene:B456_008G100700 transcript:KJB46779 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAMT1 [Source:Projected from Arabidopsis thaliana (AT4G39460) UniProtKB/TrEMBL;Acc:A0A178UZZ4] MHRASALFVGVYEPTKQKLLKLFPENLSAVAHLTAGAIGGIAASLIRVPTEVVKQRMQTGQFTSASNAVHVIASKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQIRIGYKAAARRDLNDPENAIIGAFAGALTGAITTPLDVIKTRLMVQGSANQYKGIFDCVQTILREEGPSALLKGIQPRVLWIGIGGSIFFGVLESTKRLLAERRSKPTQQSKHD >KJB46776 pep chromosome:Graimondii2_0_v6:8:29795961:29806711:1 gene:B456_008G100700 transcript:KJB46776 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAMT1 [Source:Projected from Arabidopsis thaliana (AT4G39460) UniProtKB/TrEMBL;Acc:A0A178UZZ4] MGPFTLAVDTKSSSLVSSDVSNRKIKNLQLQTKKCFASVSMEEEKPFDFLRILFEGIIAGGTAGVVVETALYPIDTIKTRLQAARGGGKIVLKGLYSGLAGNLAGVLPASALFVGVYEPTKQKLLKLFPENLSAVAHLTAGAIGGIAASLIRVPTEVVKQRMQTGQFTSASNAVHVIASKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQIRIGYKAAARRDLNDPENAIIGAFAGALTGAITTPLDVIKTRLMVQGSANQYKGIFDCVQTILREEGPSALLKGIQPRVLWIGIGGSIFFGVLESTKRLLAERRSKPTQQSKHD >KJB46777 pep chromosome:Graimondii2_0_v6:8:29796007:29806682:1 gene:B456_008G100700 transcript:KJB46777 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAMT1 [Source:Projected from Arabidopsis thaliana (AT4G39460) UniProtKB/TrEMBL;Acc:A0A178UZZ4] MGPFTLAVDTKSSSLVSSDVSNRKIKNLQLQTKKCFASVSMEEEKPFDFLRILFEGIIAGGTAGVVVETALYPIDTIKTRLQAARGGGKIVLKGLYSGLAGNLAGVLPASALFVGVYEPTKQKLLKLFPENLSAVAHLTAGAIGGIAASLIRVPTEVVKQRMQTGQFTSASNAVHVIASKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQIRIGYKAAARRDLNDPENAIIGAFAGALTGAITTPLDVIKTRLMVQV >KJB46778 pep chromosome:Graimondii2_0_v6:8:29796007:29806682:1 gene:B456_008G100700 transcript:KJB46778 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAMT1 [Source:Projected from Arabidopsis thaliana (AT4G39460) UniProtKB/TrEMBL;Acc:A0A178UZZ4] MEEEKPFDFLRILFEGIIAGGTAGVVVETALYPIDTIKTRLQAARGGGKIVLKGLYSGLAGNLAGVLPASALFVGVYEPTKQKLLKLFPENLSAVAHLTAGAIGGIAASLIRVPTEVVKQRMQTGQFTSASNAVHVIASKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQIRIGYKAAARRDLNDPENAIIGAFAGALTGAITTPLDVIKTRLMVQGSANQYKGIFDCVQTILREEGPSALLKGIQPRVLWIGIGGSIFFGVLESTKRLLAERRSKPTQQSKHD >KJB51402 pep chromosome:Graimondii2_0_v6:8:50193248:50194695:1 gene:B456_008G215200 transcript:KJB51402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKAGTDSRISVVLGDSFGRSVWIPELRSWGLMPYAHDYFERGNLDVFSGRGSCIGSPCRLNLTSDGSEWHHGWYCDYIEVTSTGPQQPCAQTVFYVDQWLATDIPPFQLTAFRDGCYMRDEPRKRGRNVPLIVGNPERPA >KJB51403 pep chromosome:Graimondii2_0_v6:8:50193969:50194695:1 gene:B456_008G215200 transcript:KJB51403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGQLVSISFFVLLFSVAARPTDNDCVYTLYVKTGWMMKAGTDSRISVVLGDSFGRSVWIPELRSWGLMPYAHDYFERGNLDVFSGRGSCIGSPCRLNLTSDGSEWHHGWYCDYIEVTSTGPQQPCAQTVFYVDQWLATDIPPFQLTAFRDGCYMRDEPRKRGRNVPLIVGNPERPA >KJB48717 pep chromosome:Graimondii2_0_v6:8:17134511:17137391:1 gene:B456_008G083100 transcript:KJB48717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISFLSCETEKSLLLSLPPSSIFSSQANKHSSISFLIPSLGYQVEVRLREGRAVNIHMFCARRPGLLLSTMRALDNLGLDIQQAVISCFNGFALDVFRAEVFTASDEQNLS >KJB48718 pep chromosome:Graimondii2_0_v6:8:17134732:17137333:1 gene:B456_008G083100 transcript:KJB48718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISFLSCETEKSLLLSLPPSSIFSSQANKHSSISFLIPSLGYQVEVRLREGRAVNIHMFCARRPGLLLSTMRALDNLGLDIQQAVISCFNGFALDVFRAEGRPGCIA >KJB51660 pep chromosome:Graimondii2_0_v6:8:51373929:51376723:-1 gene:B456_008G227500 transcript:KJB51660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eyes absent homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) UniProtKB/Swiss-Prot;Acc:O82162] MNNQITNVYIWDMDETLILLKSLLNGSYAEAFAGLKDAQKGVEIGKMWEKHILQISDDFFFYEQIENCNKPFLEALSKYDDGQDLSDYDFNQDGFSPPHDDLNKRKLAYRHRLIANKYKQGLHNILDPEMMDLWDALYKMTDEYTDGWLSSARALLEQCLAGNEDPTICNTVAGGVVRSNATGSRHINVLVTSGSLIPSLVKCLLFRLDNLISHENGDY >KJB51659 pep chromosome:Graimondii2_0_v6:8:51375201:51376379:-1 gene:B456_008G227500 transcript:KJB51659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eyes absent homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) UniProtKB/Swiss-Prot;Acc:O82162] MNNQITNVYIWDMDETLILLKSLLNGSYAEAFAGLKDAQKGVEIGKMWEKHILQISDDFFFYEQIENCNKPFLEALSKYDDGQDLSDYDFNQDGFSPPHDDLNKRKLAYRHRLIANKYKQGLHNILDPEMMDLWDALYKMTDEYTDGWLSSGMFRL >KJB51658 pep chromosome:Graimondii2_0_v6:8:51373182:51376723:-1 gene:B456_008G227500 transcript:KJB51658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eyes absent homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) UniProtKB/Swiss-Prot;Acc:O82162] MNNQITNVYIWDMDETLILLKSLLNGSYAEAFAGLKDAQKGVEIGKMWEKHILQISDDFFFYEQIENCNKPFLEALSKYDDGQDLSDYDFNQDGFSPPHDDLNKRKLAYRHRLIANKYKQGLHNILDPEMMDLWDALYKMTDEYTDGWLSSARALLEQCLAGNEDPTICNTVAGGVVRSNATGSRHINVLVTSGSLIPSLVKCLLFRLDNLISHENASGIFIINATQ >KJB51657 pep chromosome:Graimondii2_0_v6:8:51373155:51376890:-1 gene:B456_008G227500 transcript:KJB51657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eyes absent homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) UniProtKB/Swiss-Prot;Acc:O82162] MNNQITNVYIWDMDETLILLKSLLNGSYAEAFAGLKDAQKGVEIGKMWEKHILQISDDFFFYEQIENCNKPFLEALSKYDDGQDLSDYDFNQDGFSPPHDDLNKRKLAYRHRLIANKYKQGLHNILDPEMMDLWDALYKMTDEYTDGWLSSARALLEQCLAGNEDPTICNTVAGGVVRSNATGSRHINVLVTSGSLIPSLVKCLLFRLDNLISHENVYSSWDVGKLQCFQWIKERFDNRNTRFCAIGDGWEECEAAQTMNWPFIKVDLRPNSSHRFPGLTLRTVGFYFSIVYGNPDSENDDD >KJB50887 pep chromosome:Graimondii2_0_v6:8:47460786:47469271:1 gene:B456_008G191700 transcript:KJB50887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQRPTLGPASFWTQANALLRKNLTFQKRNIGANIRLISFPLFFCILFVVVQQVLDSSLDNADNRCGCICVERNANGNCQRRECGIEYSTVDQVATCPIPDPPEWPALLQVPGPQYRAVRSDSNMAADFPNESCRSTGTCPAISFFTGYNQTLSQILTQTMFSTSLNINSSDFASSVSSNVLGTATYPEGYNYLEPAIVQALPLYNVQSQCTSNSTVSVLINQSSIAREKEVRCVQALYLWRNSSSQVNNELYRGYRKGNSEEKINEFVSAYDFLNSDGNNFNVSVWYNSTYRNYSTGSSMALLRIPRSVNLVSNAYLQFLQGPATKMLLDFVKEMPKPETELRIDLSSLLGTLFFTWVVLQLFPVVLASLVYEKQQKLRVMMKMHGLGDGPYWMISYAYFLVISLLYMLCFVIFGSVIGLKFFTLNDYSIQFVFYFLYINLQISLAFLVAAMFSNVKTASVVGYIFVFGTGLLGGFLFQPFLEDDSFPRGWLIVMELFPGFALYRGLYEFGEYSFQGNYMGTHGMRWGDLSDSSNGMSEVMIIILVEWFVVLFVAYYVDQVSSSGAGKSPLFFLQRFRRKPASSFRSSSLQRQGSKVFVQMDKDDVNQEREKVEQLLLESTTSYPIICDNLKKIYPARDGNPAKIAVRGLSLALPRGECFGMLGPNGAGKTSLINMMIGLTKPTSGTAYLQGLDILTSMDTIYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGSALNQAVEDSLKSVNLFHGGVADKHAGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNSLWSVVKQAKRDRAIILTTHSMEEAEVLCDRLGIFVDGALQCIGNAKEVSLALIVIFST >KJB50888 pep chromosome:Graimondii2_0_v6:8:47461016:47469271:1 gene:B456_008G191700 transcript:KJB50888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADFPNESCRSTGTCPAISFFTGYNQTLSQILTQTMFSTSLNINSSDFASSVSSNVLGTATYPEGYNYLEPAIVQALPLYNVQSQCTSNSTVSVLINQSSIAREKEVRCVQALYLWRNSSSQVNNELYRGYRKGNSEEKINEFVSAYDFLNSDGNNFNVSVWYNSTYRNYSTGSSMALLRIPRSVNLVSNAYLQFLQGPATKMLLDFVKEMPKPETELRIDLSSLLGTLFFTWVVLQLFPVVLASLVYEKQQKLRVMMKMHGLGDGPYWMISYAYFLVISLLYMLCFVIFGSVIGLKFFTLNDYSIQFVFYFLYINLQISLAFLVAAMFSNVKTASVVGYIFVFGTGLLGGFLFQPFLEDDSFPRGWLIVMELFPGFALYRGLYEFGEYSFQGNYMGTHGMRWGDLSDSSNGMSEVMIIILVEWFVVLFVAYYVDQVSSSGAGKSPLFFLQRFRRKPASSFRSSSLQRQGSKVFVQMDKDDVNQEREKVEQLLLESTTSYPIICDNLKKIYPARDGNPAKIAVRGLSLALPRGECFGMLGPNGAGKTSLINMMIGLTKPTSGTAYLQGLDILTSMDTIYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGSALNQAVEDSLKSVNLFHGGVADKHAGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNSLWSVVKQAKRDRAIILTTHSMEEAEVLCDRLGIFVDGALQCIGNAKELKGRYGGSYIFTITTSSNNEEEVENMVQQLSPNANKIYRISGTQKFEMPKQEVRIADVFQAVENAKSRFTVFAWGLADTTLEDVFIKVARAAQAVNILS >KJB50886 pep chromosome:Graimondii2_0_v6:8:47460786:47469271:1 gene:B456_008G191700 transcript:KJB50886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQRPTLGPASFWTQANALLRKNLTFQKRNIGANIRLISFPLFFCILFVVVQQVLDSSLDNADNRCGCICVERNANGNCQRRECGIEYSTVDQVATCPIPDPPEWPALLQVPGPQYRAVRSDSNMAADFPNESCRSTGTCPAISFFTGYNQTLSQILTQTMFSTSLNINSSDFASSVSSNVLGTATYPEGYNYLEPAIVQALPLYNVQSQCTSNSTVSVLINQSSIAREKEVRCVQALYLWRNSSSQVNNELYRGYRKGNSEEKINEFVSAYDFLNSDGNNFNVSVWYNSTYRNYSTGSSMALLRIPRSVNLVSNAYLQFLQGPATKMLLDFVKEMPKPETELRIDLSSLLGTLFFTWVVLQLFPVVLASLVYEKQQKLRVMMKMHGLGDGPYWMISYAYFLVISLLYMLCFVIFGSVIGLKFFTLNDYSIQFVFYFLYINLQISLAFLVAAMFSNVKTASVVGYIFVFGTGLLGGFLFQPFLEDDSFPRGWLIVMELFPGFALYRGLYEFGEYSFQGNYMGTHGMRWGDLSDSSNGMSEVMIIILVEWFVVLFVAYYVDQVSSSGAGKSPLFFLQRFRRKPASSFRSSSLQRQGSKVFVQMDKDDVNQEREKVEQLLLESTTSYPIICDNLKKIYPARDGNPAKIAVRGLSLALPRGECFGMLGPNGAGKTSLINMMIGLTKPTSGTAYLQGLDILTSMDTIYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGSALNQAVEDSLKSVNLFHGGVADKHAGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNSLWSVVKQAKRDRAIILTSISLSLSLSALSLLIHHS >KJB50885 pep chromosome:Graimondii2_0_v6:8:47460489:47469271:1 gene:B456_008G191700 transcript:KJB50885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQRPTLGPASFWTQANALLRKNLTFQKRNIGANIRLISFPLFFCILFVVVQQVLDSSLDNADNRCGCICVERNANGNCQRRECGIEYSTVDQVATCPIPDPPEWPALLQVPGPQYRAVRSDSNMAADFPNESCRSTGTCPAISFFTGYNQTLSQILTQTMFSTSLNINSSDFASSVSSNVLGTATYPEGYNYLEPAIVQALPLYNVQSQCTSNSTVSVLINQSSIAREKEVRCVQALYLWRNSSSQVNNELYRGYRKGNSEEKINEFVSAYDFLNSDGNNFNVSVWYNSTYRNYSTGSSMALLRIPRSVNLVSNAYLQFLQGPATKMLLDFVKEMPKPETELRIDLSSLLGTLFFTWVVLQLFPVVLASLVYEKQQKLRVMMKMHGLGDGPYWMISYAYFLVISLLYMLCFVIFGSVIGLKFFTLNDYSIQFVFYFLYINLQISLAFLVAAMFSNVKTASVVGYIFVFGTGLLGGFLFQPFLEDDSFPRGWLIVMELFPGFALYRGLYEFGEYSFQGNYMGTHGMRWGDLSDSSNGMSEVMIIILVEWFVVLFVAYYVDQVSSSGAGKSPLFFLQRFRRKPASSFRSSSLQRQGSKVFVQMDKDDVNQEREKVEQLLLESTTSYPIICDNLKKIYPARDGNPAKIAVRGLSLALPRGECFGMLGPNGAGKTSLINMMIGLTKPTSGTAYLQGLDILTSMDTIYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGSALNQAVEDSLKSVNLFHGGVADKHAGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNSLWSVVKQAKRDRAIILTTHSMEEAEVLCDRLGIFVDGALQCIGNAKELKGRYGGSYIFTITTSSNNEEEVENMVQQLSPNANKIYRISGTQKFEMPKQEVRIADVFQAVENAKSRFTVFAWGLADTTLEDVFIKVARAAQAVNILS >KJB51049 pep chromosome:Graimondii2_0_v6:8:48370850:48375016:-1 gene:B456_008G198900 transcript:KJB51049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKSSTSTKFDAPLSHSTNKATHNRDQFFVWREFVWGAIAGAFGEGMMHPIDTIKTRIQSQVLLSGSQSQKSIVQMVQTVWVADGLRGFYRGIAPGITGSLATGATYFGFIESTKKWIEESHPNLGGHWAHFIAGAVGDTLGSFVYVPCEVMKQRMQVQGSSNSWNSAIMKDKMQMKSGAEMYGYYTGMFQAGHSIWKEQGLKGLYAGYWSTLARDVPFAGLMVMFYEALKDLTEKGRQKWAPNFHVDGSMEGLILGGLAGGFSAYLTTPLDVIKTRLQVQGSSTSYNGWLDAMNKIWKTEGAKGMFRGSIPRITWYIPASALTFMAVEFLREQFNKKLDDDNMQEVTSLSIEKPKSSFKEVTKLEQ >KJB51051 pep chromosome:Graimondii2_0_v6:8:48371119:48375048:-1 gene:B456_008G198900 transcript:KJB51051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKSSTSTKFDAPLSHSTNKATHNRDQFFVWREFVWGAIAGAFGEGMMHPIDTIKTRIQSQVLLSGSQSQKSIVQMVQTVWVADGLRGFYRGIAPGITGSLATGATYFGFIESTKKWIEESHPNLGGHWAHFIAGAVGDTLGSFVYVPCEVMKQRMQVQGSSNSWNSAIMKDKMQMKSGAEMYGYYTGMFQAGHSIWKEQGLKGLYAGYWSTLARDVPFAGLMVMFYEALKDLTEKGRQKWAPNFHVDGSMEGLILGGLAGGFSAYLTTPLDVIKTRLQVQGSSTSYNGWLDAMNKIWKTEGAKGMFRGSIPRITWYIPASALTFMAVEFLREQFNKKLDDDNMQEVTSLSIEKPKSSFKEVA >KJB51050 pep chromosome:Graimondii2_0_v6:8:48371119:48375016:-1 gene:B456_008G198900 transcript:KJB51050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKSSTSTKFDAPLSHSTNKATHNRDQFFVWREFVWGAIAGAFGEGMMHPIDTIKTRIQSQVLLSGSQQSQKSIVQMVQTVWVADGLRGFYRGIAPGITGSLATGATYFGFIESTKKWIEESHPNLGGHWAHFIAGAVGDTLGSFVYVPCEVMKQRMQVQGSSNSWNSAIMKDKMQMKSGAEMYGYYTGMFQAGHSIWKEQGLKGLYAGYWSTLARDVPFAGLMVMFYEALKDLTEKGRQKWAPNFHVDGSMEGLILGGLAGGFSAYLTTPLDVIKTRLQVQGSSTSYNGWLDAMNKIWKTEGAKGMFRGSIPRITWYIPASALTFMAVEFLREQFNKKLDDDNMQEVTSLSIEKPKSSFKEVA >KJB51168 pep chromosome:Graimondii2_0_v6:8:49008181:49011873:1 gene:B456_008G204800 transcript:KJB51168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQEGTQQSQQLILAHKVFLLKHRDVPDIEKVRLKDEVLNTVKSNYMTPYYENLVADKVLDLDHSVLDSMRAKNEEEIKKIDEKIADAEENLGESEVREAHLAKFLYFIQIGEKEKALEQLKVTENKTVAVGQKMDLVFYSLQIGFFYMDFDLISKSIDTAKNLFEKGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPHLSEFLNSLYNSGLTEQIKMDRCLHLHFRFYMREIRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQVTIKQGDFLLNRIQKLSRVIDL >KJB51169 pep chromosome:Graimondii2_0_v6:8:49008303:49011391:1 gene:B456_008G204800 transcript:KJB51169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQEGTQQSQQLILAHKVFLLKHRDVPDIEKVRLKDEVLNTVKSNYMTPYYENLVADKVLDLDHSVLDSMRAKNEEEIKKIDEKIADAEENLGESEVREAHLAKFLYFIQIGEKEKALEQLKVTENKTVAVGQKMDLVFYSLQIGFFYMDFDLISKSIDTAKNLFEKGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPHLSEFLNSLYNCQYKSFFLAFAGLTEQIKMDRCLHLHFRFYMREIRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDQ >KJB51167 pep chromosome:Graimondii2_0_v6:8:49008069:49011915:1 gene:B456_008G204800 transcript:KJB51167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQEGTQQSQQLILAHKVFLLKHRDVPDIEKVRLKDEVLNTVKSNYMTPYYENLVADKVLDLDHSVLDSMRAKNEEEIKKIDEKIADAEENLGESEVREAHLAKFLYFIQIGEKEKALEQLKVTENKTVAVGQKMDLVFYSLQIGFFYMDFDLISKSIDTAKNLFEKGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPHLSEFLNSLYNCQYKSFFLAFAGLTEQIKMDRCLHLHFRFYMREIRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQVTIKQGDFLLNRIQKLSRVIDL >KJB51170 pep chromosome:Graimondii2_0_v6:8:49008181:49011873:1 gene:B456_008G204800 transcript:KJB51170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQEGTQQSQQLILAHKVFLLKHRDVPDIEKVRLKDEVLNTVKSNYMTPYYENLVADKVLDLDHSVLDSMRAKNEEEIKKIDEKIADAEENLGESEVREAHLAKFLYFIQIGEKKALEQLKVTENKTVAVGQKMDLVFYSLQIGFFYMDFDLISKSIDTAKNLFEKGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPHLSEFLNSLYNCQYKSFFLAFAGLTEQIKMDRCLHLHFRFYMREIRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQVTIKQGDFLLNRIQKLSRVIDL >KJB51171 pep chromosome:Graimondii2_0_v6:8:49008303:49011391:1 gene:B456_008G204800 transcript:KJB51171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQEGTQQSQQLILAHKVFLLKHRDVPDIEKVRLKDEVLNTVKSNYMTPYYENLVADKVLDLDHSVLDSMRAKNEEEIKKIDEKIADAEENLGESEVREAHLAKFLYFIQIGEKEKALEQLKVTENKTVAVGQKMDLVFYSLQIGFFYMDFDLISKSIDTAKNLFEKGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPHLSEFLNSLYNCQYKSFFLAFAGLTEQIKMDRCLHLHFRFYMREIRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDQ >KJB47516 pep chromosome:Graimondii2_0_v6:8:3626833:3628777:1 gene:B456_008G030000 transcript:KJB47516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATTTPFLDRTLRHIKPQTFASFSLSKSSKLLHLLHSVTVGKKQQQQMDTARKPTFNANNNQCLSAKLIFSAAASVATTAMLIRSVAKDFVPLELRDYIFFKIKNLILSFRSEITLVIEEFDGLNENLLYKAAELYLEPTIPPDTKRIKLALPKRQGKISFSLESNEEIVDNFNGVQVKWRLVSKNFPPKNIPGSDPYNPLLLTTEARFFELSFHKKHKETILNNYMQHILEISKDLEEKKKTLKLFTLKPDRYSGRIGDMWQALNLDHPATFQTLAMDSDLKRKIMLDLDRFMKRKEYYKRVGKAWKRGYLLFGPPGTGKSSLIAAMANYLNFDVYDLELTEIKGDSELRKLLISTGNKSIIVVEDIDCSLEFQDRLAQTRAMMPQGLHRHMQVPQQQMTLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRIDVQIHMSYITPCGFKMLASNYLGITEHPQLLEIEELLKTTKVTPAEVGELLMKDEGTEKVLQGLIQFLQTPLSDAAAEPQPGMSQQ >KJB47517 pep chromosome:Graimondii2_0_v6:8:3626843:3628707:1 gene:B456_008G030000 transcript:KJB47517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATTTPFLDRTLRHIKPQTFASFSLSKSSKLLHLLHSVTVGKKQQQQMDTARKPTFNANNNQCLSAKLIFSAAASVATTAMLIRSVAKDFVPLELRDYIFFKIKNLILSFRSEITLVIEEFDGLNENLLYKAAELYLEPTIPPDTKRIKLALPKRQGKISFSLESNEEIVDNFNGVQVKWRLVSKNFPPKNIPGSDPYNPLLLTTEARFFELSFHKKHKETILNNYMQHILEISKDLEEKKKTLKLFTLKPDRYSGRIGDMWQALNLDHPATFQTLAMDSDLKRKIMLDLDRFMKRKEYYKRVGKAWKRGYLLFGPPGTGKSSLIAAMANYLNFDVYDLELTEIKGDSELRKLLISTGNKSIIVVEDIDCSLEFQDRLAQTRAMMPQGLHRHMQVPQMTLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRIDVQIHMSYITPCGFKMLASNYLGITEHPQLLEIEELLKTTKVTPAEVGELLMKDEGTEKVLQGLIQFLQTPLSDAAAEPQPGMSQQ >KJB48009 pep chromosome:Graimondii2_0_v6:8:7066493:7066960:-1 gene:B456_008G050200 transcript:KJB48009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSPSPSAGTPSQETQEPTVDLNLMIIVAALLCALVCVLGLHSMLQCVLQCTHRTLTEPREWVASRRLNSGLKKKEMVALPTLTYANSGSPSSASGCAICLADFSKGDKIRMLPKCNHGFHVACIDKWLLSHSSCPTCRYRLNSNNDQIVTDF >KJB47730 pep chromosome:Graimondii2_0_v6:8:4871017:4872792:-1 gene:B456_008G038600 transcript:KJB47730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKGWSFWQGYVVLLALAVVVKAESPYRFFDWNVTYGDIFPLGVRQQGILINGQFPGPDIYSVTNDNLIINVQNNLDEPFLLSWNGLQQRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFFYFPSLAFHKAAGGFGGIRVLSRPRIPVPFPDPAGDFTLLIGDWFKNDHRQLKAMLDGGHKLPFPDGILINGHGLNGASFTVEQGKTYRFRISNVGLQNSLNFRIQGHKMKLVEVEGTHTVQTIYESLDVHVGQSYSVLVTMDQAAQDFYIVASTRFTDNILSTTATLHYSNSNKAVSGPIPAGPTDQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINITRTIKVVSSAAQVKGKQRYAVNSVSFVPTDTPLKLADYFKIGGVFRVGSIPDNPTGQNMYLDTSVMGADYRAFVEIVFENHENIVQTWHIDGYAFWVVG >KJB47732 pep chromosome:Graimondii2_0_v6:8:4871431:4872939:-1 gene:B456_008G038600 transcript:KJB47732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKGWSFWQGYVVLLALAVVVKAESPYRFFDWNVTYGDIFPLGVRQQGILINGQFPGPDIYSVTNDNLIINVQNNLDEPFLLSWNGLQQRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFFYFPSLAFHKAAGGFGGIRVLSRPRIPVPFPDPAGDFTLLIGDWFKNDHRQLKAMLDGGHKLPFPDGILINGHGLNGASFTVEQGKTYRFRISNVGLQNSLNFRIQGHKMKLVEVEGTHTVQTIYESLDVHVGQSYSVLVTMDQAAQDFYIVASTRFTDNILSTTATLHYSNSNKAVSGPIPAGPTDQIDWSLNQARSIR >KJB47728 pep chromosome:Graimondii2_0_v6:8:4870354:4872939:-1 gene:B456_008G038600 transcript:KJB47728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGGHKLPFPDGILINGHGLNGASFTVEQGKTYRFRISNVGLQNSLNFRIQGHKMKLVEVEGTHTVQTIYESLDVHVGQSYSVLVTMDQAAQDFYIVASTRFTDNILSTTATLHYSNSNKAVSGPIPAGPTDQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINITRTIKVVSSAAQVKGKQRYAVNSVSFVPTDTPLKLADYFKIGGVFRVGSIPDNPTGQNMYLDTSVMGADYRAFVEIVFENHENIVQTWHIDGYAFWVVGMNGGVWTPNSREEYNLRDAVSRSTTQVYPKSWTAIYMALDNVGMWNVRSEFWARQYLGQQFYLRVYTPVNSLRDEYLIPKNALLCGRAQGRSTRPL >KJB47729 pep chromosome:Graimondii2_0_v6:8:4870354:4872939:-1 gene:B456_008G038600 transcript:KJB47729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKGWSFWQGYVVLLALAVVVKAESPYRFFDWNVTYGDIFPLGVRQQGILINGQFPGPDIYSVTNDNLIINVQNNLDEPFLLSWNGLQQRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFFYFPSLAFHKAAGGFGGIRVLSRPRIPVPFPDPAGDFTLLIGDWFKNDHRQLKAMLDGGHKLPFPDGILINGHGLNGASFTVEQGKTYRFRISNVGLQNSLNFRIQGHKMKLVEVEGTHTVQTIYESLDVHVGQSYSVLVTMDQAAQDFYIVASTRFTDNILSTTATLHYSNSNKAVSGPIPAGPTDQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINITRTIKVVSSAAQVKGKQRYAVNSVSFVPTDTPLKLADYFKIGGVFRVGSIPDNPTGQNMYLDTSVMGADYRAFVEIVFENHENIVQTWHIDGYAFWVVG >KJB47731 pep chromosome:Graimondii2_0_v6:8:4870698:4872792:-1 gene:B456_008G038600 transcript:KJB47731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKGWSFWQGYVVLLALAVVVKAESPYRFFDWNVTYGDIFPLGVRQQGILINGQFPGPDIYSVTNDNLIINVQNNLDEPFLLSWNGLQQRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFFYFPSLAFHKAAGGFGGIRVLSRPRIPVPFPDPAGDFTLLIGDWFKNDHRQLKAMLDGGHKLPFPDGILINGHGLNGASFTVEQGKTYRFRISNVGLQNSLNFRIQGHKMKLVEVEGTHTVQTIYESLDVHVGQSYSVLVTMDQAAQDFYIVASTRFTDNILSTTATLHYSNSNKAVSGPIPAGPTDQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINITRTIKVVSSAAQVKGKQRYAVNSVSFVPTDTPLKLADYFKIGGVFRVGSIPDNPTGQNMYLDTSVMGADYRAFVEIVFENHENIVQTWHIDGYAFWVVGMNGGVWTPNSREEYNLRDAVSRSTTQVRNLIDYYVFTQPFPSYFRKLKEWVFVCRYILNHGLLFTWLLIMLECGM >KJB47727 pep chromosome:Graimondii2_0_v6:8:4870298:4872939:-1 gene:B456_008G038600 transcript:KJB47727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKGWSFWQGYVVLLALAVVVKAESPYRFFDWNVTYGDIFPLGVRQQGILINGQFPGPDIYSVTNDNLIINVQNNLDEPFLLSWNGLQQRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFFYFPSLAFHKAAGGFGGIRVLSRPRIPVPFPDPAGDFTLLIGDWFKNDHRQLKAMLDGGHKLPFPDGILINGHGLNGASFTVEQGKTYRFRISNVGLQNSLNFRIQGHKMKLVEVEGTHTVQTIYESLDVHVGQSYSVLVTMDQAAQDFYIVASTRFTDNILSTTATLHYSNSNKAVSGPIPAGPTDQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINITRTIKVVSSAAQVKGKQRYAVNSVSFVPTDTPLKLADYFKIGGVFRVGSIPDNPTGQNMYLDTSVMGADYRAFVEIVFENHENIVQTWHIDGYAFWVVGMNGGVWTPNSREEYNLRDAVSRSTTQVYPKSWTAIYMALDNVGMWNVRSEFWARQYLGQQFYLRVYTPVNSLRDEYLIPKNALLCGRAQGRSTRPL >KJB52083 pep chromosome:Graimondii2_0_v6:8:53060171:53064448:1 gene:B456_008G246000 transcript:KJB52083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKESMLQRSGLARHFFEKPSWRPPPLKRKTPTTTAFLVLTVFLMAAFVTYSSWIDTSGVFLEHSTDKTVVISEKPKVAVRRIEIPLKCTKNKNQTQTCPKNYYPKTFRAEDIDPSSNPVCPDYFRWIHEDLRPWKTSGITRDMVERANRTATFHLVVKGGKAYVKNFRKAIQTRDVFTIWGILQLLRKYPGKLPDLEIMFDTEDRPVIKSRDYKGPNATAPPPLFRYCGDKETLDIVFPDWSFWGWAEINIKPWDSILKDIKEGKKREWIDREPYAYWKGNPFVDEKRQDLLKCNVTGQQDWNARLFIQDWILESQQGFKQSNVADQCTYRYKIYIEGYAWSVSEKYILACDSVTLIVQPEFYDFFMRSMQPVEHYWPIRNDDKCRSLKFAVDWGNNHKKKAHEIGKAASSFMQEQLKMDYIYDYMYHLLNEYAKLLKFKPRIPEGAMELCSEVMACQAEGLEGRKKKFMMESLVKGPSITNPCTLPPPYDSRALAVFLRRKNNSILQVKKWEKGYWESLNKQ >KJB47851 pep chromosome:Graimondii2_0_v6:8:6108132:6115823:1 gene:B456_008G045300 transcript:KJB47851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKRKRACKKSPARKSAAVARNGKATNQSEKAVNQNKEAFLTSINKINKEDSVSADSNDLEVTDKCDSDPDDAKRNSSSSSSSSDSDESFSAEDSSSGDSQSIDRRSRKSTKGHGNGKQKASKLPKKGRKDDVKSSKLLRSSGKRQDEFKMPQQDPRYNKKELEAALEVIKKIMKMDEAQPFNVPVDPVASGKPDYYAVIDTPMDFGMICSNLESSMKYLNSEDVFNDVQYIWENCCKCNKKGEYIVYLMKRVKKKFMKYWTAAGLSIKQSRQINVGTSYKPSTTDYATRHSGLEPFYQVSSAVGGASQMQQDQLGFSQPYGYMPPFSYSQPHQLPQPTPSTSWPQFSPLPPVSYHQHCQSQHPQPSTNQSQFSQLQACTGCNNAGYSHLQPPKEIAPKHKKHEPSSKHKKNAAMAPTASICGGAPSDSHAQQPQLSNKQPYFLRQRQSISPLQPSQLHAAADGDIAPKLKKHASMSKHRKNSSMNPASSIHGGPPGHSQTQLQAVVNGEYSHMSLTDSALRGIRCALEYSARPTTNKSNKANQDQLASVNLQPEQTPQSPEHPQRITKKKRGRGPTRCHFLNDLADGERIFVHFNKFGQPVGPESSKLSSFLGTIARNGHKAPLNFVHWRAMPDSYKEEMWEYVQTKFSLDPSGKSWVMQSIATKWRNWKADLKATYYDSLKTDEERLKVRDPRVVPDQWPSLISYWSSDDTKKHCATNRANRLKQRSGHSSGTKSYARILEEERNKRPDGKEPTRAELYILTHTRKNGQPVDETAAELISKIREQEAKKETTSQCSDESNDTLCRVMGEENHNRVRTYRMRSTCTDLFGPISSRDDLVRMASEAKKSADEEVRKMVVKMEAMEEKYARMENHIARMTSSMEKFLKKVGGSSNTLGLEQAAEPEEDDA >KJB47853 pep chromosome:Graimondii2_0_v6:8:6108618:6115781:1 gene:B456_008G045300 transcript:KJB47853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKRKRACKKSPARKSAAVARNGKATNQSEKAVNQNKEAFLTSINKINKEDSVSADSNDLEVTDKCDSDPDDAKRNSSSSSSSSDSDESFSAEDSSSGDSQSIDRRSRKSTKGHGNGKQKASKLPKKGRKDDVKSSKLLRSSGKRQDEFKMPQQDPRYNKKELEAALEVIKKIMKMDEAQPFNVPVDPVASGKPDYYAVIDTPMDFGMICSNLESSMKYLNSEDVFNDVQYIWENCCKCNKKGEYIVYLMKRVKKKFMKYWTAAGLSIKQSRQINVGTSYKPSTTDYATRHSGLEPFYQVSSAVGGASQMQQDQLGFSQPYGYMPPFSYSQPHQLPQPTPSTSWPQFSPLPPVSYHQHCQSQHPQPSTNQSQFSQLQACTGCNNAGYSHLQPPKEIAPKHKKHEPSSKHKKNAAMAPTASICGGAPSDSHAQQPQLSNKQPYFLRQRQSISPLQPSQLHAAADGDIAPKLKKHASMSKHRKNSSMNPASSIHGGPPGHSQTQLQAVVNGEYSHMSLTDSALRGIRCALEYSARPTTNKSNKANQDQLASVNLQPEQTPQSPEHPQRITKKKRGRGPTRCHFLNDLADGERIFVHFNKFGQPVGPESSKLSSFLGTIARNGHKAPLNFVHWRAMPDSYKEEMWEYVQTKFSLDPSGKSWVMQSIATKWRNWKADLKATYYDSLKTDEERLKVRDPRVVPDQWPSLISYWSSDDTKKHCATNRANRLKQRSGHSSGTKSYARILEEERNKRPDGKEPTRAELYILTHTRKNGQPVDETAAELISKIREQEAKKETTSQCSDESNDTLCRVMGEENHNRVRTYRMRSTCTDLFGPISSRDDLVRMASEAKKSADEEVRKMVVKMEAMEEKYARMENHIARMTSSMEKFLKKVGGSSNTLGLEQAAEPEEDDA >KJB47854 pep chromosome:Graimondii2_0_v6:8:6109888:6115781:1 gene:B456_008G045300 transcript:KJB47854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGMICSNLESSMKYLNSEDVFNDVQYIWENCCKCNKKGEYIVYLMKRVKKKFMKYWTAAGLSIKQSRQINVGTSYKPSTTDYATRHSGLEPFYQVSSAVGGASQMQQDQLGFSQPYGYMPPFSYSQPHQLPQPTPSTSWPQFSPLPPVSYHQHCQSQHPQPSTNQSQFSQLQACTGCNNAGYSHLQPPKEIAPKHKKHEPSSKHKKNAAMAPTASICGGAPSDSHAQQPQLSNKQPYFLRQRQSISPLQPSQLHAAADGDIAPKLKKHASMSKHRKNSSMNPASSIHGGPPGHSQTQLQAVVNGEYSHMSLTDSALRGIRCALEYSARPTTNKSNKANQDQLASVNLQPEQTPQSPEHPQRITKKKRGRGPTRCHFLNDLADGERIFVHFNKFGQPVGPESSKLSSFLGTIARNGHKAPLNFVHWRAMPDSYKEEMWEYVQTKFSLDPSGKSWVMQSIATKWRNWKADLKATYYDSLKTDEERLKVRDPRVVPDQWPSLISYWSSDDTKKHCATNRANRLKQRSGHSSGTKSYARILEEERNKRPDGKEPTRAELYILTHTRKNGQPVDETAAELISKIREQEAKKETTSQCSDESNDTLCRVMGEENHNRVRTYRMRSTCTDLFGPISSRDDLVRMASEAKKSADEEVRKMVVKMEAMEEKYARMENHIARMTSSMEKFLKKVGGSSNTLGLEQAAEPEEDDA >KJB47850 pep chromosome:Graimondii2_0_v6:8:6108132:6115823:1 gene:B456_008G045300 transcript:KJB47850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKRKRACKKSPARKSAAVARNGKATNQSEKAVNQNKEAFLTSINKINKEDSVSADSNDLEVTDKCDSDPDDAKRNSSSSSSSSDSDESFSAEDSSSGDSQSIDRRSRKSTKGHGNGKQKASKLPKKGRKDDVKSSKLLRSSGKRQDEFKMPQQDPRYNKKELEAALEVIKKIMKMDEAQPFNVPVDPVASGKPDYYAVIDTPMDFGMICSNLESSMKYLNSEDVFNDVQYIWENCCKCNKKGEYIVYLMKRVKKKFMKYWTAAGLSIKQSRQINVGTSYKPSTTDYATRHSGLEPFYQVSSAVGGASQMQQDQLGFSQPYGYMPPFSYSQPHQLPQPTPSTSWPQFSPLPPVSYHQHCQSQHPQPSTNQSQFSQLQACTGCNNAGYSHLQPPKEIAPKHKKHEPSSKHKKNAAMAPTASICGGAPSDSHAQQPQLSNKQPYFLRQRQSISPLQPSQLHAAADGDIAPKLKKHASMSKHRKNSSMNPASSIHGGPPGHSQTQLQAVVNGDYTRSSGYVPLYPVDPMAVPGQSHPQQSPLSRSESSEPQQLHPKTSHCRPQSSQLQDNLDIEYSHMSLTDSALRGIRCALEYSARPTTNKSNKANQDQLASVNLQPEQTPQSPEHPQRITKKKRGRGPTRCHFLNDLADGERIFVHFNKFGQPVGPESSKLSSFLGTIARNGHKAPLNFVHWRAMPDSYKEEMWEYVQTKFSLDPSGKSWVMQSIATKWRNWKADLKATYYDSLKTDEERLKVRDPRVVPDQWPSLISYWSSDDTKKHCATNRANRLKQRSGHSSGTKSYARILEEERNKRPDGKEPTRAELYILTHTRKNGQPVDETAAELISKIREQEAKKETTSQCSDESNDTLCRVMGEENHNRVRTYRMRSTCTDLFGPISSRDDLVRMASEAKKSADEEVRKMVVKMEAMEEKYARMENHIARMTSSMEKFLKKVGGSSNTLGLEQAAEPEEDDA >KJB47852 pep chromosome:Graimondii2_0_v6:8:6108327:6115781:1 gene:B456_008G045300 transcript:KJB47852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKRKRACKKSPARKSAAVARNGKATNQSEKAVNQNKEAFLTSINKINKEDSVSADSNDLEVTDKCDSDPDDAKRNSSSSSSSSDSDESFSAEDSSSGDSQSIDRRSRKSTKGHGNGKQKASKLPKKGRKDDVKSSKLLRSSGKRQDEFKMPQQDPRYNKKELEAALEVIKKIMKMDEAQPFNVPVDPVASGKPDYYAVIDTPMDFGMICSNLESSMKYLNSEDVFNDVQYIWENCCKCNKKGEYIVYLMKRVKKKFMKYWTAAGLSIKQSRQINVGTSYKPSTTDYATRHSGLEPFYQVSSAVGGASQMQQDQLGFSQPYGYMPPFSYSQPHQLPQPTPSTSWPQFSPLPPVSYHQHCQSQHPQPSTNQSQFSQLQACTGCNNAGYSHLQPPKEIAPKHKKHEPSSKHKKNAAMAPTASICGGAPSDSHAQQPQLSNKQPYFLRQRQSISPLQPSQLHAAADGDIAPKLKKHASMSKHRKNSSMNPASSIHGGPPGHSQTQLQAVVNGVKLHLPPQADYTRSSGYVPLYPVDPMAVPGQSHPQQSPLSRSESSEPQQLHPKTSHCRPQSSQLQDNLDIEYSHMSLTDSALRGIRCALEYSARPTTNKSNKANQDQLASVNLQPEQTPQSPEHPQRITKKKRGRGPTRCHFLNDLADGERIFVHFNKFGQPVGPESSKLSSFLGTIARNGHKAPLNFVHWRAMPDSYKEEMWEYVQTKFSLDPSGKSWVMQSIATKWRNWKADLKATYYDSLKTDEERLKVRDPRVVPDQWPSLISYWSSDDTKKHCATNRANRLKQRSGHSSGTKSYARILEEERNKRPDGKEPTRAELYILTHTRKNGQPVDETAAELISKIREQEAKKETTSQCSDESNDTLCRVMGEENHNRVRTYRMRSTCTDLFGPISSRDDLVRMASEAKKSADEEVRKMVVKMEAMEEKYARMENHIARMTSSMEKFLKKVGGSSNTLGLEQAAEPEEDDA >KJB47017 pep chromosome:Graimondii2_0_v6:8:795796:798406:1 gene:B456_008G006600 transcript:KJB47017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVDTCSPTGVLEDFFRSEEFEGTNSCKEPTNDQSSKQGGSKWRGLAQLFRSKSKKSLANLQNFGSFRLSLRSNSMRENFAVAPDFFSGSYNRRKIFTLSELQAATKNFSTENLVGKGGYAEVYKGSLRNGQLVAIKRLTKGTADEIIGDFLSELGIMAHVNHPNTAKLIGYGIEGGMHLVLELSPNGSLASLLYGSKEKLTWGIRFKIALGTAEGLRYLHEGSKRRIIHRDVKAANILLTKDFDPQICDFGLAKWLPENWTHHTVSKFEGTFGYLAPEYLMHGIVDEKTDVFAFGVLLLELVTGRRALDYSQQSLVLWAKPLLKKNEIRELIDPNLGNDYSAREMGLVLLAASLCIHRSSIRRPQMSQVVQLLNGNPNSLKSIKKCRVPFFQKSFQ >KJB47018 pep chromosome:Graimondii2_0_v6:8:795878:798391:1 gene:B456_008G006600 transcript:KJB47018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVDTCSPTGVLEDFFRSEEFEGTNSCKEPTNDQSSKQGGSKWRGLAQLFRSKSKKSLANLQNFGSFRLSLRSNSMRENFAVAPDFFSGSYNRRKIFTLSELQAATKNFSTENLVGKGGYAEVYKGSLRNGQLVAIKRLTKGTADEIIGDFLSELGIMAHVNHPNTAKLIGYGIEGGMHLVLELSPNGSLASLLYGSKEKLTWGIRFKIALGTAEGLRYLHEGSKRRIIHRDVKAANILLTKDFDPQICDFGLAKWLPENWTHHTVSKFEGTFGYLAPEYLMHGIVDEKTDVFAFGVLLLELVTGRRALDYSQQSLVLWAKPLLKKNEIRELIDPNLGNDYSAREMGLVLLAASLCIHRSSIRRPQMSQALQLLNGNPNSLKSIKKCRVPFFQKSFQ >KJB48666 pep chromosome:Graimondii2_0_v6:8:15624716:15626861:1 gene:B456_008G080400 transcript:KJB48666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNAQKSKTARERNLEKAKAGAKGSQLESNKKAMSIQCRVCMQAFMCTTSEVKCREHAEAKHPKSDVYACFPHLKK >KJB48665 pep chromosome:Graimondii2_0_v6:8:15624772:15626861:1 gene:B456_008G080400 transcript:KJB48665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNAQKSKTARERNLEKAKAGAKGSQLESNKKAMSIQCRVCMQAFMCTTSEVKCREHAEAKHPKSDVYACFPHLKK >KJB53086 pep chromosome:Graimondii2_0_v6:8:56675980:56679190:1 gene:B456_008G293000 transcript:KJB53086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANTSCSSIPSSKPIPCFENGDNNNNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPAVKKRVFVCPDPSCLHHQPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACRMGQSQKVQQPPSLSPTASTPSTPSSDTMFFSPTKDNPTTTNGGDHHNLELQLLTTSSNPTEPFVPHKENHNNTHYSTQLHLSIGSSDEKMESTVTTDTSKVSALQQLKMAMAELAYAEEARKQAKRQVELAEQEFDRAKRIRQEARAEFEKAQALKDRANKQIETTIVQITCHACKQQVQDRTPVEENSIVVSYVSSAI >KJB48902 pep chromosome:Graimondii2_0_v6:8:23408246:23409676:-1 gene:B456_008G093100 transcript:KJB48902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVVGAASNPLSNPIVSMVDGVSSKAFDEVLKATPPALSAFLAGLPSIEAKDKSPQLDDGDSGFPPRDDDGGGGDEGGGGGNWSGEFFLFGFLAFLGFLKDKESEEDYRDSRRR >KJB48903 pep chromosome:Graimondii2_0_v6:8:23407964:23409940:-1 gene:B456_008G093100 transcript:KJB48903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVVGAASNPLSNPIVSMVDGVSSKAFDEVLKATPPALSAFLAGLPSIEAKDKSPQLDDGDSGFPPRDDDGGGGDEGGGGGNWSGEFFLFGFLAFLGFLKDKESEEDYRDSRRR >KJB48073 pep chromosome:Graimondii2_0_v6:8:7410907:7415067:1 gene:B456_008G052400 transcript:KJB48073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKYVLVTGGVVSGLGKGVTASSIGLLLKECGLRVTSIKIDPYLNKDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVQLTSDNNITTGKIYQFVLDKERKGDYLGKTVQVVPHITDAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSCRVGVGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTMALDDNVKEKLSQFCHVSAEHIITLYDVPNIWHIPLLLRDQKAHEAIFKVLNLPSITEEPSLMEWTTRAAICDKLHEPVRIAIVGKYTGLSDTYLSILKALLHASVACGKKLIVDWVPASDLEDMTEIENLDAYKAAWKLLKSADGVLVPGGFGDRGVQGKILAAKHARENRIPFLGICLGMQVAVIEFARSVLGLKDANSTEFDPSTRNPCVIFMPEGSKTHKGGTMRLGSRRTHFQVSDCKSVKLYGNRRFIDERHRHRYEVNPDMVARLENAGLSFTGKDQTGQRMEVLILCS >KJB48074 pep chromosome:Graimondii2_0_v6:8:7408177:7415972:1 gene:B456_008G052400 transcript:KJB48074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKYVLVTGGVVSGLGKGVTASSIGLLLKECGLRVTSIKIDPYLNKDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVQLTSDNNITTGKIYQFVLDKERKGDYLGKTVQVVPHITDAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSCRVGVGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTMALDDNVKEKLSQFCHVSAEHIITLYDVPNIWHIPLLLRDQKAHEAIFKVLNLPSITEEPSLMEWTTRAAICDKLHEPVRIAIVGKYTGLSDTYLSILKALLHASVACGKKLIVDWVPASDLEDMTEIENLDAYKAAWKLLKSADGVLVPGGFGDRGVQGKILAAKHARENRIPFLGICLGMQVAVIEFARSVLGLKDANSTEFDPSTRNPCVIFMPEGSKTHKGGTMRLGSRRTHFQVSDCKSVKLYGNRRFIDERHRHRYEVNPDMVARLENAGLSFTGKDQTGQRMEIIELPDHPYYIGVQFHPEFKSRPGKPSALFLGLIGAACGQLNAVLQSGLESQEKVNCETVNFVIILFFAF >KJB48072 pep chromosome:Graimondii2_0_v6:8:7408133:7416072:1 gene:B456_008G052400 transcript:KJB48072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKYVLVTGGVVSGLGKGVTASSIGLLLKECGLRVTSIKIDPYLNKDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVQLTSDNNITTGKIYQFVLDKERKGDYLGKTVQVVPHITDAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSCRVGVGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTMALDDNVKEKLSQFCHVSAEHIITLYDVPNIWHIPLLLRDQKAHEAIFKVLNLPSITEEPSLMEWTTRAAICDKLHEPVRIAIVGKYTGLSDTYLSILKALLHASVACGKKLIVDWVPASDLEDMTEIENLDAYKAAWKLLKSADGVLVPGGFGDRGVQGKILAAKHARENRIPFLGICLGMQVAVIEFARSVLGLKDANSTEFDPSTRNPCVIFMPEGSKTHKGGTMRLGSRRTHFQVSDCKSVKLYGNRRFIDERHRHRYEVNPDMVARLENAGLSFTGKDQTGQRMEIIELPDHPYYIGVQFHPEFKSRPGKPSALFLGLIGAACGQLNAVLQSGLESQEKVNCETVNCPSNKKAYQNGYATKPTNIITDAVYSYCNGVHS >KJB48075 pep chromosome:Graimondii2_0_v6:8:7408177:7415972:1 gene:B456_008G052400 transcript:KJB48075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKYVLVTGGVVSGLGKGVTASSIGLLLKECGLRVTSIKIDPYLNKDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVQLTSDNNITTGKIYQFVLDKERKGDYLGKTVQVVPHITDAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSCRVGVGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTMALDDNVKEKLSQFCHVSAEHIITLYDVPNIWHIPLLLRDQKAHEAIFKVLNLPSITEEPSLMEWTTRAAICDKLHEPVRIAIVGKYTGLSDTYLSILKALLHASVACGKKLIVDWVPASDLEDMTEIENLDAYKAAWKLLKSADGVLVPGGFGDRGVQGKILAAKHARENRIPFLGICLGMQVAVIEFARSVLGLKDANSTEFDPSTRNPCVIFMPEGSKTHKGGTMRLGSRRTHFQVSDCKSVKLYGNRRFIDERHRHRYEVNPDMVARLENAGLSFTGKDQTGQRMEVLLNYPIIHTTLVFNSTPNLNQDQENLPPCS >KJB48689 pep chromosome:Graimondii2_0_v6:8:16210866:16214694:1 gene:B456_008G081600 transcript:KJB48689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHIAANCEGKANRKEGEFDEKADGKAVARKPYQFLNIWTLREYLEYEMRIPNPPFEIDLEHIVDDFIFICFFVGNDFLPHMPTLEIREDAINLLMAVYKKEFRSFGGYLTDGSKPNLSRVEHFIQAVGSYEDKIFNKRAQLHQTFSSMIPKLSSKLAKCW >KJB48687 pep chromosome:Graimondii2_0_v6:8:16210866:16214694:1 gene:B456_008G081600 transcript:KJB48687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPNPPFEIDLEHIVDDFIFICFFVGNDFLPHMPTLEIREDAINLLMAVYKKEFRSFGGYLTDGSKPNLSRVEHFIQAVGSYEDKIFNKRAQLHQTFSSMIPKLSSKLAKCW >KJB48688 pep chromosome:Graimondii2_0_v6:8:16210866:16214694:1 gene:B456_008G081600 transcript:KJB48688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHIAANCEGKANRKEGEFDEKADGKAVARKPYQFLNIWTLREYLEYEMRIPNPPFEIDLEHIVDDFIFICFFVGNDFLPHMPTLEIREDAINLLMAVYKKEFRSFGGYLTDGSKPNLSRVEHFIQAVGSYEDKIFNKRAQLHQTFSSMIPKLSSKLAKCW >KJB48691 pep chromosome:Graimondii2_0_v6:8:16211430:16214629:1 gene:B456_008G081600 transcript:KJB48691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALAPHEVHFAILREIVFTPGQDKCFICGQMGHIAANCEGKANRKEGEFDEKADGKAVARKPYQFLNIWTLREYLEYEMRIPNPPFEIDLEHIVDDFIFICFFVGNDFLPHMPTLEIREDAINLLMAVYKKEFRSFGGYLTDGSKPNLSRVEHFIQAVGSYEDKIFNKRAQLHQTFSSMIPKLSSKLAKCW >KJB48690 pep chromosome:Graimondii2_0_v6:8:16210866:16214694:1 gene:B456_008G081600 transcript:KJB48690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPNPPFEIDLEHIVDDFIFICFFVGNDFLPHMPTLEIREDAINLLMAVYKKEFRSFGGYLTDGSKPNLSRVEHFIQAVGSYEDKIFNKRAQLHQTFSSMIPKLSSKLAKCW >KJB48692 pep chromosome:Graimondii2_0_v6:8:16211430:16214629:1 gene:B456_008G081600 transcript:KJB48692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALAPHEVHFAILREIVFTPGQDKCFICGQMGHIAANCEGKANRKEGEFDEKADGKAVARKPYQFLNIWTLREYLEYEMRIPNPPFEIDLEHIVDDFIFICFFVGNDFLPHMPTLEIREDAINLLMAVYKKEFRSFGGYLTDGSKPNLSRVEHFIQAVGSYEDKIFNKRAQLHQTFSSMIPKLSSKLAKCW >KJB49971 pep chromosome:Graimondii2_0_v6:8:40090599:40093590:1 gene:B456_008G147500 transcript:KJB49971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSALPIVLVAMLHLTLSSAATASYPDFQLLNVKQTLIGTKIPRPLQTSEHHQVSDVSETQGKWKLKLVHRDKLSSNTSATFRDHSRRFHARMQRDVKRVASLLRRLSGGGGHDGGAAYEVNDFGSDVVSGMDQGSGEYFVRIGVGSPPKSQYMVIDSGSDIVWVQCQPCNQCYRQSDPVFDPADSASYAGISCSSAVCDRIENSGCNAGRCRYEVLYGDGSYTKGTLALETLTFGRTVVKNVAIGCGHINRGMFIGAAGLLGLGGGSLSLVGQLGGQTGGAFSYCLVSRGSDASGSLEFGRGAMPVGAAWVPLLRNPQAPSFYYVGLSGLGVGGIRVPVSEDIFQLTELGYGGVVMDTGTAVSRFPTLAYKALRDAFIAQTANLPRISTVSIFDTCYKLSDFVTIRVPTVSFYFSGGPILTLPASNFLIPVDDVGTFCLAFASSTSGLSIIGNIQQEGIQISFDGANGFVGFGPNVC >KJB52049 pep chromosome:Graimondii2_0_v6:8:52927163:52930903:-1 gene:B456_008G244000 transcript:KJB52049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTDQEAIETFINITGASEAVAIEKLEEHGGDLNAAVNAHFSEGDRNTTRSTSTVVPVDDAMDIDDPIEDVPSRPAFPLLPASRTMNAYSLLDPNFRRSFFDGVSDFTTREPLVTHPREVREVPIEVKDSSEPSSRSGHAPFIEDVSETAPAHEPITNETIIVDEVDEDSTMLPGQQNNPSRDQHVTPSAPVFDNLPDYGNDIEEQMIRAAIEASKWGVEDLSDPGPPPNQPHVEEDAQLAEAVSLSLKTAEQEKALHEQGWSGTSEPEASKPADVQLGHLEVSNGRLMRGSSSIHDDAEDVEEQPLVRRRTRQASSGSGESVKEIGVVEASSPSNPGQQDAGNLPRNNGNAFPSDEWGGISSEEHDEAVMLEAAIFGGIPESRYHFAYAPHQFMRPEGSNTWRSPRPPSPSLAAQRLIREQQDDEYYASLQADREKELKAIEEAEARRLEEEAARKAALEEEQRKEEELRRKVEEEQECERQLAAKEASLPQEPAANEQNAVTLLVRMPDGSRRGRRFLKSDRLQSLYDFIDIGRGVKPGTYRLVRPYPRRAFSDEESSLTLNELGLTSKQEALFLELI >KJB52048 pep chromosome:Graimondii2_0_v6:8:52926813:52931169:-1 gene:B456_008G244000 transcript:KJB52048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTDQEAIETFINITGASEAVAIEKLEEHGGDLNAAVNAHFSEGDRNTTRSTSTVVPVDDAMDIDDPIEDVPSRPAFPLLPASRTMNAYSLLDPNFRRSFFDGVSDFTTREPLVTHPREVREVPIEVKDSSEPSSRSGHAPFIEDVSETAPAHEPITNETIIVDEVDEDSTMLPGQQNNPSRDQHVTPSAPVFDNLPDYGNDIEEQMIRAAIEASKWGVEDLSDPGPPPNQPHVEEDAQLAEAVSLSLKTAEQEKALHEQGWSGTSEPEASKPADVQLGHLEVSNGRLMRGSSSIHDDAEDVEEQPLVRRRTRQASSGSGESVKEIGVVEASSPSNPGQQDAGNLPRNNGNAFPSDEWGGISSEEHDEAVMLEAAIFGGIPESRYHFAYAPHQFMRPEGSNTWRSPRPPSPSLAAQRLIREQQDDEYYASLQADREKELKAIEEAEARRLEEEAARKAALEEEQRKEEELRRKVEEEQECERQLAAKEASLPQEPAANEGPIPGVDLILITSHSYTNICRNLL >KJB52047 pep chromosome:Graimondii2_0_v6:8:52926813:52931014:-1 gene:B456_008G244000 transcript:KJB52047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTDQEAIETFINITGASEAVAIEKLEEHGGDLNAAVNAHFSEGDRNTTRSTSTVVPVDDAMDIDDPIEDVPSRPAFPLLPASRTMNAYSLLDPNFRRSFFDGVSDFTTREPLVTHPREVREVPIEVKDSSEPSSRSGHAPFIEDVSETAPAHEPITNETIIVDEVDEDSTMLPGQQNNPSRDQHVTPSAPVFDNLPDYGNDIEEQMIRAAIEASKWGVEDLSDPGPPPNQPHVEEDAQLAEAVSLSLKTAEQEKALHEQGWSGTSEPEASKPADVQLGHLEVSNGRLMRGSSSIHDDAEDVEEQPLVRRRTRQASSGSGESVKEIGVVEASSPSNPGQQDAGNLPRNNGNAFPSDEWGGISSEEHDEAVMLEAAIFGGIPESRYHFAYAPHQFMRPEGSNTWRSPRPPSPSLAAQRLIREQQDDEYYASLQADREKELKAIEEAEARRLEEEAARKAALEEEQRKEEELRRKECERQLAAKEASLPQEPAANEQNAVTLLVRMPDGSRRGRRFLKSDRLQSLYDFIDIGRGVKPGTYRLVRPYPRRAFSDEESSLTLNELGLTSKQEALFLELI >KJB50273 pep chromosome:Graimondii2_0_v6:8:42875628:42876571:1 gene:B456_008G161700 transcript:KJB50273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVASYFAMAFGAFIFWQTMDKVHVWIALHQDEKKERLEREAEIRRMREELLQQAKQNDRLP >KJB49415 pep chromosome:Graimondii2_0_v6:8:35357251:35361901:-1 gene:B456_008G118200 transcript:KJB49415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTISLVAGNCGRGRGYEDGRFEFRSNLYLGKDFLASDLFNNNPFRRRANGCLSKALKGFENGNGRRFRVIARVKKGKKHDYPWPDNIDLDIKDGHLTYLSHFKPLAEKPKPVTLPFEKPLVDLEKKIIEVCRMADETGLDFTDQIGALENKYQQALKDLYTHLTPIQRLAIARHPNRPTALDHILNITEKWVELHGDRAGYDDPAMVTGIGTMDGKSYMFIGQQKGRNTKENIFRNFAMPTPHGYRKALRMMKYADHHGLPIITFVDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIVTVVVGEGGSGGALAIACPNKLFMLENSVLYVASPEACAAILWKSSQAAPKAAEKLRITAQEHYKRKIADGIIPEPLGGAHADPVWTSQQIRLAITQAMDELTKMDTEELLHHRMLKFRSIGGFQEGKPVEPERKRNMKPSDASMLNAADIESDLEKLKKNILEAKVPSDPITDQAIEKLKQDVDNEVTRAFISMGLQEKLESLKLELSRASDNQTLNRNLKVKVDKLMQEFKQNLSRPGTYLGLKQKLEKLSMVSRLIEQKEKGKKLKAEINQKIPSEIKEKLEQLQTAQANLSKGDPLDEDLVAEAVKAKKELIEVLKSANLEIVGVAKRKAAAELQEKIVNVKKEIDGEIERVIDIAGLHGKIEELKAEMAIDSSSPKVEKLQAEIKEEIRTSLDDTALKQKVENLRREFATSSEEVIDDKVIAENGRW >KJB49416 pep chromosome:Graimondii2_0_v6:8:35357266:35361863:-1 gene:B456_008G118200 transcript:KJB49416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTISLVAGNCGRGRGYEDGRFEFRSNLYLGKDFLASDLFNNNPFRRRANGCLSKALKGFENGNGRRFRVIARVKKGKKHDYPWPDNIDLDIKDGHLTYLSHFKPLAEKPKPVTLPFEKPLVDLEKKIIEVCRMADETGLDFTDQIGALENKYQQALKDLYTHLTPIQRLAIARHPNRPTALDHILNITEKWVELHGDRAGYDDPAMVTGIGTMDGKSYMFIGQQKGRNTKENIFRNFAMPTPHGYRKALRMMKYADHHGLPIITFVDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIVTVVVGEGGSGGALAIACPNKLFMLENSVLYVASPEACAAILWKSSQAAPKAAEKLRITAQEHYKRKIADGIIPEPLGGAHADPVWTSQQIRLAITQAMDELTKMDTEELLHHRMLKFRSIGGFQEGKPVEPERKRNMKPSDASMLNAADIESDLEKLKKNILEAKVPSDPITDQAIEKLKQDVDNEVTRAFISMGLQEKLESLKLELSRASDNQTLNRNLKVKVDKLMQEFKQNLSRPGTYLGLKQKLEKLSMVSRLIEQKEKGKKLKAEINQKIPSEIKEKLEQLQTAQANLSKGDPLDEDLVAEAVKAKKELIEVLKSANLEIVGVAKRKAAAELQEKIVNVKKEIDGEIERVIDIAGLHGKIEELKAEMAIDSSSPKVEKLQAEIKEEIRTSLDDTALKQKVENLRREFATSSEEVIDDKVIAENGRW >KJB53212 pep chromosome:Graimondii2_0_v6:8:56977501:56983454:-1 gene:B456_008G297000 transcript:KJB53212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERSKSSSVSNLPLILDIDDFKGDFSFDALFGNLVNELLPSFQEEEADTAGGHGLGGTEALPNGHARASSDAAKFAQGDSIPLFPEVDALLSLFKDSCKELIDLRKQVDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELVKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIARAVPSVVGSATASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMSECAKILSQFNRGSSAMQHYVATRPMFIDVEIMNSDTRLVLGDQGSQASPSNVARGLSSLYKEITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTALLDKLLVKPSLVNPPPMEEGGLLLYLRMLAVAYEKTQELARELRAVGCGDLDVEGLTESLFSSHMDEYPEHEQASLGQLYQAKLDELRAENQNVSDSTGTIGRSKGASVASSHQQISVAVVTEFVRWNEEALTRCTLFSSQVIIYPPIRGMLC >KJB53209 pep chromosome:Graimondii2_0_v6:8:56974670:56982403:-1 gene:B456_008G297000 transcript:KJB53209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELVKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIARAVPSVVGSATASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMSECAKILSQFNRGSSAMQHYVATRPMFIDVEIMNSDTRLVLGDQGSQASPSNVARGLSSLYKEITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTALLDKLLVKPSLVNPPPMEEGGLLLYLRMLAVAYEKTQELARELRAVGCGDLDVEGLTESLFSSHMDEYPEHEQASLGQLYQAKLDELRAENQNVSDSTGTIGRSKGASVASSHQQISVAVVTEFVRWNEEALTRCTLFSSQPATLAANVKAVFTCLLDQVSQYITDGLERARDSLTEAATMRERFVLGTNLSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLYKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGILANVFIVAPESLSSLFEGTPSIRKDAQRFIQLREDYKSAKLASRLSSLWSGSS >KJB53211 pep chromosome:Graimondii2_0_v6:8:56974670:56983591:-1 gene:B456_008G297000 transcript:KJB53211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERSKSSSVSNLPLILDIDDFKGDFSFDALFGNLVNELLPSFQEEEADTAGGHGLGGTEALPNGHARASSDAAKFAQGDSIPLFPEVDALLSLFKDSCKELIDLRKQVDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELVKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIARAVPSVVGSATASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMSECAKILSQFNRGSSAMQHYVATRPMFIDVEIMNSDTRLVLGDQGSQASPSNVARGLSSLYKEITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTALLDKLLVKPSLVNPPPMEEGGLLLYLRMLAVAYEKTQELARELRAVGCGDLDVEGLTESLFSSHMDEYPEHEQASLGQLYQAKLDELRAENQNVSDSTGTIGRSKGASVASSHQQISVAVVTEFVRWNEEALTRCTLFSSQPATLAANVKAVFTCLLDQVSQYITDGLERARDSLTEAATMRERFVLGTNLSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLYKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGILANVFIVAPESLSSLFEGTPSIRKDAQRFIQLREDYKSAKLASRLSSLWSGSS >KJB53210 pep chromosome:Graimondii2_0_v6:8:56974670:56983454:-1 gene:B456_008G297000 transcript:KJB53210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERSKSSSVSNLPLILDIDDFKGDFSFDALFGNLVNELLPSFQEEEADTAGGHGLGGTEALPNGHARASSDAAKFAQGDSIPLFPEVDALLSLFKDSCKELIDLRKQVDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELVKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIARAVPSVVGSATASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMSECAKILSQFNRGSSAMQHYVATRPMFIDVEIMNSDTRLVLGDQGSQASPSNVARGLSSLYKEITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTALLDKLLVKPSLVNPPPMEEGGLLLYLRMLAVAYEKTQELARELRAVGCGDLDVEGLTESLFSSHMDEYPEHEQASLGQLYQAKLDELRAENQNVSDSTGTIGRSKGASVASSHQQISVAVVTEFVRWNEEALTRCTLFSSQPATLAANVKAVFTCLLDQVSQYITDGLERARDSLTEAATMRERFVLGTNLSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLYKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGILANVFIVAPESLSSLFEGTPSIRKDAQRFIQLREDYKSAKLASRLSSLWSGSS >KJB48367 pep chromosome:Graimondii2_0_v6:8:10467748:10470555:-1 gene:B456_008G065100 transcript:KJB48367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSASSRKALSKIACNRLQKELVEWQVNPPSGFKHKVTDNLQRWVIEVNGAAGTLYTNETYQLQVDFPEHYPMEAPQVIFLQPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSATVKQCPEDNDRYVKNCRNGRSPKQTRWWFHDDKV >KJB48368 pep chromosome:Graimondii2_0_v6:8:10467841:10470433:-1 gene:B456_008G065100 transcript:KJB48368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSASSRKALSKIACNRLQKELVEWQVNPPSGFKHKVTDNLQRWVIEVNGAAGTLYTNETYQLQVDFPEHYPMEAPQVIFLQPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSATVKQCPEDNDRYVKNCRNGRSPKQTRWWFHDDKV >KJB52404 pep chromosome:Graimondii2_0_v6:8:54142828:54143916:-1 gene:B456_008G260200 transcript:KJB52404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSGPLVATVLVASTVALTSSSSAGVQHVSFSPTSSNQESQNSASRNRTASEREKFAPRFDGLRFIETLVTAHR >KJB48827 pep chromosome:Graimondii2_0_v6:8:20467853:20468993:-1 gene:B456_008G089000 transcript:KJB48827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIEHNDVKMKSEGAVRARSKKALLVKSEDDDVKALRYNPQVSRIQTEERTKESAKLLSKREVPEPQARSLLALDKDFQQISETTKQGLVIQHIKEFKHLPGVHAHRGTSTK >KJB52826 pep chromosome:Graimondii2_0_v6:8:55666084:55668049:-1 gene:B456_008G279500 transcript:KJB52826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYPLLCLIVLFLSIVLWIYHWENPRCNGKLPPGSMGLPVAENQQVVYIMHELVMYGSLFKTSLVGRQIVVSTDTDVNRVIFQQKGKLVQSWYMDSFDDIVGKENVLSSHGFLHKCLRNLILNLFGSESLKEKQVSEMEELTSKHLRLWSCQQPSMIYDFTVRKLFGCNNEKLRGCYSAFLDGLISFPLNIPGTAYWKCLQGRNKAMRVIKIMLEERRGTLTKKQDKDFLDVALEEMNKAGTILSEQTALDLLFALPFAAFESASSAVVLALQYLQSNPLALAELTQEHETILRERETKDSGITWKEYKSMTFTHMVINETIRLGNIVPTIFRKVVKDIEIKDYNIPAGWIILACTTAVHLNPTKYKDPLTFNPWRWKGRELNAGSKFFMGFGSGVRLRAGAEFVKLQISSFLHHSVTNYRWTVMKEGMAVR >KJB48885 pep chromosome:Graimondii2_0_v6:8:22513328:22516492:1 gene:B456_008G091900 transcript:KJB48885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNHFTPSGIFPRSSSKGETLEGRKPSQSPAQRNLRHKNRIRKWTRKPRIPQWMRRALLWFQVPTTDLTKRKKRTVRIQRIAITEQNTIRVEEFPQFVDQSPAAGHCAIMSTLNGFNAYVTDAPALESSLTSFKETMDTLRDVLGRWTKKVGEATRKAEDLAGNTWQHLRTSPSFAEAAMGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLENSFACYLSTSAGPVMGVLYVSTAKLAYCSDSRLAYKTGSHTEWNYYKVVIPLHQLKSVNPSTSRVNHSEKYIQVISLDSHEFWFMGFLYYDAAVKCLQDVLQLHSFHFV >KJB47139 pep chromosome:Graimondii2_0_v6:8:1348350:1350967:1 gene:B456_008G012200 transcript:KJB47139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEKKHSSAVSNVGAWAMNVISSVGLIMANKQLMSPTGHAFAFATTLTGFHFCTTALIGLVSNATGYTTKKNVPLWELLWFSVVANTSITAMNLSLMLNSVGFYQISKLSMIPVVCFLEWLLNGKHYSSKVKMAVVVVVIGVGVCTVTDVKINAHGFLCACVAVLSTSLQQISIGSLQKKYSIGSFELLSQTAPIQALSLLLLGPFVDYFLTGKLLASYKISSAAFFFIVLSCSLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCVLILGWMLFDSELTLKNILGMSIAVNGMIIYSWAVEGDKPMQYRKDATSDVKVLMKQVDGSSLLKDVELGKSQG >KJB50280 pep chromosome:Graimondii2_0_v6:8:42930196:42934814:-1 gene:B456_008G162100 transcript:KJB50280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHCCPARTALTTINKNGFPSAQALPNTKPSGEEFMSKLLRRRWTLLSPDTNIHQITVSSKQGQKGGGLFSNFSFLNNNQPHLGNMMLYENQGLYFYIVRDDLLHPFVNGNKARKLDGLLPLIEDHGVTDVVTCGGCQSAHAAAVAVSCAERGLKSHLLLRGEQPQILTGYNLISTIYGNITYVPRTFYAHREEMLQTHASMVAGHTGSVVYCSDIIDSSLASQTFECSKFVQRDAPRGTENHSRKVAIVNEGAKDAVALLGMFRLVDYLSRDHLLGKKRAFNFVVDSGTGTTAVGLGLAAMYLGLPWKITAVMLADTMDTYRQQERRLIAEFKRQFRFLLDSHKLNGDLAMFWKEK >KJB50279 pep chromosome:Graimondii2_0_v6:8:42930525:42934718:-1 gene:B456_008G162100 transcript:KJB50279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHCCPARTALTTINKNGFPSAQALPNTKPSGEEFMSKLLRRRWTLLSPDTNIHQITVSSKQGQKGGGLFSNFSFLNNNQPHLGNMMLYENQGLYFYIVRDDLLHPFVNGNKARKLDGLLPLIEDHGVTDVVTCGGCQSAHAAAVAVSCAERGLKSHLLLRGEQPQILTGYNLISTIYGNITYVPRTFYAHREEMLQTHASMVAGHTGSVVYCSDIIDSSLASQTFECSKFVQRDAPRGTENHSRKVAIVNEGAKDAVALLGMFRLVDYLSRDHLLGKKRAFNFVVDSGTGTTAVGLGLAAMYLGLPWKITAVMLADTMDTYRQQERRLIAEFKRQFRFLLDSHKLNGGNDGIVHWVDRCHPRKFGNVLEGEIEACQQVAQQTGIPLDPVYTLAAWEMATRITCAHEDDSNVVILHTGGTLGMFGLAQRYKSYFGMLNTAIKS >KJB50281 pep chromosome:Graimondii2_0_v6:8:42931316:42934814:-1 gene:B456_008G162100 transcript:KJB50281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHCCPARTALTTINKNGFPSAQALPNTKPSGEEFMSKLLRRRWTLLSPDTNIHQITVSSKQGQKGGGLFSNFSFLNNNQPHLGNMMLYENQGLYFYIVRDDLLHPFVNGNKARKLDGLLPLIEDHGVTDVVTCGGCQSAHAAAVAVSCAERGLKSHLLLRGEQPQILTGYNLISTIYGNITYVPRTFYAHREEMLQTHASMVAGHTGSVVYCSDIIDSSLASQTFECSKFVQRDAPRGTENHSRKVAIVNEGAKDAVALLGMFRLVDYLSRDHLLGKKRAFNFVVDSGTGTTAVGLGLAAMYLGLPWKITAVMLADTMDTYRQQERRLIAEFKRQFRFLLDSHKLNGGNDGIVHWVDRCHPRK >KJB53202 pep chromosome:Graimondii2_0_v6:8:56941276:56943119:1 gene:B456_008G296600 transcript:KJB53202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSRGYTRLASSMFTMVSPRFFSTAATRGVLSNDMVKAPAVGLGVRCRSSMAAIVGGDKEQEKKQAVGGGGGSAKDDKEIVSYWGLDPTKVSKEDGSPWKWTCFRPWDTYRADLSIDLKKHHAPVTVLDKMAYWTVKSLRWPTDLFFQRRYGCRAMMLETVAAVPGMVGGMLLHCKSLRRFEHSGGWIKALLEEAENERMHLMTFMEVSDPRWYERALVFAVQGVFFNAYFLGYIISPKFAHRVVGYLEEEAIHSYTEFLKELDNGNIENVPAPPIAIDYWRLPPNSTLRDVVLAVRADEAHHRDVNHFASDIHYQGRQLKEAPAPLGYH >KJB50185 pep chromosome:Graimondii2_0_v6:8:42300144:42301195:1 gene:B456_008G158000 transcript:KJB50185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLIEEEIEVRKGPWTTEEDTLLTRYIGRHGVGPWNMLAKCAGTNTLINNLFTLFFMFWVDLPLTSSGLKRSGKSCRLRWLNYLNPDIKRGNLTLQEQQLILQLHSLWGNRWSKIAEHLPGRTDNEIKNYWRTRVQNKQPSSSSLKEMSSQFSVPCQLPECIVPSGSVINISQQIEFPQHETSPNAYAHTCVDNNNLVLNGSYNIGRSGQDMEAFRLASMSAVGEGCWICNEMTDSLWQCRELGEMGN >KJB50184 pep chromosome:Graimondii2_0_v6:8:42299918:42301289:1 gene:B456_008G158000 transcript:KJB50184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLIEEEIEVRKGPWTTEEDTLLTRYIGRHGVGPWNMLAKCAGLKRSGKSCRLRWLNYLNPDIKRGNLTLQEQQLILQLHSLWGNRWSKIAEHLPGRTDNEIKNYWRTRVQNKQPSSSSLKEMSSQFSVPCQLPECIVPSGSVINISQQIEFPQHETSPNAYAHTCVDNNNLVLNGSYNIGRSGQDMEAFRLASMSAVGEGCWICNEMTDSLWQCRELGEMGN >KJB49589 pep chromosome:Graimondii2_0_v6:8:36822773:36825158:-1 gene:B456_008G126900 transcript:KJB49589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLYVKAVPPADLNRNTEWFMYPGVWTTYILFLFFSWLLVLSIFGCSPGMAWTIVNLAHFLVTYHFFHWKKGTPFADDQGIYNGLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLFLNTLAVIILVVAKFPNMHKVRIFGINADK >KJB49590 pep chromosome:Graimondii2_0_v6:8:36822859:36825076:-1 gene:B456_008G126900 transcript:KJB49590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLYVKAVPPADLNRNTEWFMYPGVWTTYILFLFFSWLLVLSIFGCSPGMAWTIVNLAHFLVTYHFFHWKKGTPFADDQGIYNGLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLFLNTLAVIILVVAKFPNMHKVRIFGINADK >KJB51754 pep chromosome:Graimondii2_0_v6:8:51695916:51698251:1 gene:B456_008G230600 transcript:KJB51754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTSPPLPQPSSATAARRVPPPCWTKDETLALIDAYKEKWFALRRGNLKASDWDAVSDVVSSASDPGTVKSSVQCRHKIEKLRKRYRAEKQRSLKNLGKFSSSWDLYPLLDSMNFASTSVAGSDDQDHSIDHKVTVFGDFCLKSNKRENIDGNSGSNLGFDHEFRGGHNSSFNFDHKWQENGGFVAKGIKKFKSDGRIGDGYGSMVDFDHSFGQDVDSLGEFPLKTLGDRSFLNVGFKSKNYGCPNLNYDYDNDSKEYSIDEEMGFRARDSGAWDSVPQGIHQKKRGRVDMNFEPGGDCRGLNGDASCSRPGLERKNAGAGVKRGVDPVDEMVSSIKLLAEGFVRMEKMKMEMVKEIEKMRMEMEMKHNEMILESQQKIVDAFSSALSEKKKKKKKPSLMFSNMNGNGVEEWQEDAFIKKER >KJB53253 pep chromosome:Graimondii2_0_v6:8:52369358:52370376:-1 gene:B456_008G237300 transcript:KJB53253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWVKSLQCKSRAYEDVYHPSPKYLIPSTSCTRSSQSIKDVIQTAKKKPKKSDTKPNPKLLSRSSSKPESDLNSTPPPPRPRRSSSMPSRAVRNPDPVLPALTELPEGHPSRNVVEIIFHTSWSPKAFTGRIEMIFKVQNGPRTVSRFEEYRETVKTRSSSGSGGPASADEENARCVADGNEVMRFHCLGPTAGSCGMNEKWLFSGGKGAAICTYSGSGGAHERAGGGMGRKAMLVCRVIAGRVSKRVGLGYETLLEGRVGCDSVSGDNGELLVFDPRAVLPCFLIIYKL >KJB49804 pep chromosome:Graimondii2_0_v6:8:38956335:38958108:-1 gene:B456_008G138900 transcript:KJB49804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSISPKQNQSQASKRRKVVERTVVTVKMGENGGKLKNDGPPYDSWSWRKYGQKPIKGSPYPRGYYKCSTSKGCSAKKQVERCKTDASMLIITYTSSHNHPSPHLHNTKTLSQSPTKEPQSDDDDGDNDDRAPTTKQEHLPDKDEDQFHYLQSPLSFPQNQEEDPFSGNLERSTVGLLLDEEPVSCCSRMKAASSSPTPKSEENDFFDELEELPICSAFTRFMRSKFFDEGIPVVPP >KJB49803 pep chromosome:Graimondii2_0_v6:8:38956655:38957970:-1 gene:B456_008G138900 transcript:KJB49803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSISPKQNQSQASKRRKVVERTVVTVKMGENGGKLKNDGPPYDSWSWRKYGQKPIKGSPYPRGYYKCSTSKGCSAKKQVERCKTDASMLIITYTSSHNHPSPHLHNTKTLSQSPTKEPQSDDDDGDNDDRAPTTKQEHLPDKDEDQFHYLQSPLSFPQNQEEDPFSGNLERSTVGLLLDEEPVSCCSRMKAASSSPTPKSEENDFFDELEELPICSAFTRFMRSKFFDEGIPVVPP >KJB49805 pep chromosome:Graimondii2_0_v6:8:38956680:38957938:-1 gene:B456_008G138900 transcript:KJB49805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTFVMFLITRKVVERTVVTVKMGENGGKLKNDGPPYDSWSWRKYGQKPIKGSPYPRGYYKCSTSKGCSAKKQVERCKTDASMLIITYTSSHNHPSPHLHNTKTLSQSPTKEPQSDDDDGDNDDRAPTTKQEHLPDKDEDQFHYLQSPLSFPQNQEEDPFSGNLERSTVGLLLDEEPVSCCSRMKAASSSPTPKSEENDFFDELEELPICSAFTRFMRSKFFDEGIPVVPP >KJB47499 pep chromosome:Graimondii2_0_v6:8:3503904:3506392:-1 gene:B456_008G029500 transcript:KJB47499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVMEDEEYNYREVNLPSLIPVVPEPALERETGERRRGRDILIAIDHGPKSKHAFDWALIHFLRLADTIHLVHAVSSVRNDVVYETSQALMEKLAVEAFEVAMVRTQARIVEGDAGKVICKEAERLKPAAVVMGTRGRSLIQSVFQGSVSEYCFHNCKSAPVIIVPGKEAGDESLI >KJB47498 pep chromosome:Graimondii2_0_v6:8:3503904:3506372:-1 gene:B456_008G029500 transcript:KJB47498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVMEDEEYNYREVNLPSLIPVVPEPALERETGERRRGRDILIAIDHGPKSKHAFDWALIHFLRLADTIHLVHAVSSVRNDVVYETSQALMEKLAVEAFEVAMVRTQARIVEGDAGKVICKEAERLKPAAVVMGTRGRSLIQSVFQGSVSEYCFHNCKSAPVIIVPGKGTNPNQ >KJB51943 pep chromosome:Graimondii2_0_v6:8:52637448:52639756:1 gene:B456_008G239800 transcript:KJB51943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSSPSSSCSASSSKSPPPPHQSPFFTPIQECEREGQEDGGTTPNVSRDKAVTPKHFATPLHAKTTAKSYARKRHESAGNGGDGEDGSVSCNKCRPHSREKISVVPLDNNNGVNKHSFSMASPNGIFKSIFHSLTRKSPKSTDVFTSREEQWRIAVAELTHKLIQATRKRDEALLEASRLKHSMAELEKKLDKLEVDCHNLKSGLGECNSNSPYRMGKAHHPHQDRVIRANEKVIQQFLISVSEARSSIRLLSRSLSMQLRHMGSKVCERISLLLQPYDIKVSHSKNPKSLLLYLEALLSNAFFEDFESVGFRKNAVNHILNPIDRCEANYGSFNNLQGLTWEEVLNKGTRYFSEEFSKFCDRKMSEIVAMLGWTRAWPEPLLQAFFVASKSVWLVHLLANSVHPGLPIFRVDKGVRFDSLYMEDMGGERAMKLVPSMVRIMITPGFYVYGNVIKCKVICRYHNNLDTSLINKGLTPSP >KJB51944 pep chromosome:Graimondii2_0_v6:8:52637505:52639737:1 gene:B456_008G239800 transcript:KJB51944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSSPSSSCSASSSKSPPPPHQSPFFTPIQECEREGQEDGGTTPNVSRDKAVTPKHFATPLHAKTTAKSYARKRHESAGNGGDGEDGSVSCNKCRPHSREKISVVPLDNNNGVNKHSFSMASPNGIFKSIFHSLTRKSPKSTDVFTSREEQWRIAVAELTHKLIQATRKRDEALLEASRLKHSMAELEKKLDKLEVDCHNLKSGLGECNSNSPYRMARSSIRLLSRSLSMQLRHMGSKVCERISLLLQPYDIKVSHSKNPKSLLLYLEALLSNAFFEDFESVGFRKNAVNHILNPIDRCEANYGSFNNLQGLTWEEVLNKGTRYFSEEFSKFCDRKMSEIVAMLGWTRAWPEPLLQAFFVASKSVWLVHLLANSVHPGLPIFRVDKGVRFDSLYMEDMGGERAMKLVPSMVRIMITPGFYVYGNVIKCKVICRYHNNLDTSLINKGLTPSP >KJB48951 pep chromosome:Graimondii2_0_v6:8:25681866:25683344:1 gene:B456_008G094900 transcript:KJB48951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRSMSSPFWILLLGFLFMASGTLATPPRRPIAVPFGRNYVSTWAFDHIKYYNGGSEIELYLDKYTGTGFQSKGSYLFGHFNMQIKLVPRDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKAYHSYSVLWNSYQIVFFVDNVPIRVFKNCKDLGVRFPFNQPMKIYSSLWNADDWATRGGLEKTDWSKAPFIASYKGFHIDGCESSVEAKFCATQGKRWWDQKEFQDLDSYQWRRLAWVRNKFTIYNYCSDRVRFPTIHPECKRDRDI >KJB48950 pep chromosome:Graimondii2_0_v6:8:25681680:25683344:1 gene:B456_008G094900 transcript:KJB48950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPFWILLLGFLFMASGTLATPPRRPIAVPFGRNYVSTWAFDHIKYYNGGSEIELYLDKYTGTGFQSKGSYLFGHFNMQIKLVPRDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKAYHSYSVLWNSYQIVFFVDNVPIRVFKNCKDLGVRFPFNQPMKIYSSLWNADDWATRGGLEKTDWSKAPFIASYKGFHIDGCESSVEAKFCATQGKRWWDQKEFQDLDSYQWRRLAWVRNKFTIYNYCSDRVRFPTIHPECKRDRDI >KJB49674 pep chromosome:Graimondii2_0_v6:8:37925918:37928898:1 gene:B456_008G133000 transcript:KJB49674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARLLKKVLKEQEQKKQHVIEEDEQDELLNDDESVSPDSGAGSSKNPFDLLNEGDDADEDNADQVYADETSTQKQEQPRSVKNALNSASTSNNKSKKKKQKKGKEGYLSTGKRETPLDVNLEALALDIESSSQQSGLNKQPGFENFKQSKPSVLHVDPKYLNVENELRRIFGSKVVKSFEKSNQSSSSRQVRGGRRGNHHIRKTVLISPSDHWPRWDGSLSMEFLETKDGYHYFRFTHSSSYDQAQRAFEAAQAIHDLNGVASVLMYHPYHLDSLITMADYFKFVGEHQMSADAIAKCLYAMECAWHPMFTPLQGNCQLKFSHDSNKPLFKALFTHMKNMDRRGCHRSALEVCKLLLALDSDDPMGAMFCLDYFALRAGEYAWLEQFSEDYRTDTSLWLLPSFSYSLAVCRFYLEQEESSDADTAKASSADLMNQALMLHPPVLTKLVAKVPLKDQAWTNILKNSYFHSDQIGIPSVDHLINIYVEQNYLIWRLPDLQKLLRDGASHVIQTLEHNKNDAKDWTCVRKEAFPSNKNEYGHLLVQDFSDTVQTLPPDNLQNFMVDMRDPAHLGGHVANPPAGGRAPALRDVANRNPLAVLFESLLPWVNYGGPEDGIVDENRVNEQGQGNEDL >KJB50221 pep chromosome:Graimondii2_0_v6:8:42484620:42487891:1 gene:B456_008G159400 transcript:KJB50221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKVSIDVPRSDRNGKPKVLDPAFSAFLVQLPNQLQDCLKGKFHFLLSKSQIKRLAKDNVGIKSVNPFLGKEKSLSTGLGIDLEKQLQAWRENPIWVNQPPEIKVSVPKGSLCNLKAKVDVGLPPDAVYNIVTDPDNKRVFKNIKEVISRKVLVDEGKRQVVDVEQKALWRFLWWSGTISVHVLVDQNGEDNSMKFKQVDAGFMKKFEGHWRVEPLFVDEETCFPFKPKTWAEYCSCTGGKGRIGSKVSLDQLIQPAIVPPPPISWYLRGITSKTTEMLINDLLAEAARLKGSFDAENSVNEINEQHQVEQINDIKERWNLHRRNVKLRGKRLLTAESSTI >KJB50220 pep chromosome:Graimondii2_0_v6:8:42484967:42487849:1 gene:B456_008G159400 transcript:KJB50220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKVSIDVPRSDRNGKPKVLDPAFSAFLVQLPNQLQDCLKGKFHFLLSKSQIKRLAKDNVGIKSVNPFLGKEKSLSTGLGIDLEKQLQAWRENPIWVNQPPEIKVSVPKGSLCNLKAKVDVGLPPDAVYNIVTDPDNKRVFKNIKEVISRKVLVDEGKRQVVDVEQKALWRFLWWSGTISVHVLVDQNGEDNSMKFKQVDAGFMKKFEGHWRVEPLFVDEETCFPFKPKTWAEYCSCTGGKGRIGSKVSLDQLIQPAIVPPPPISWYLRGITSKTTEMLINDLLAEAARLKGSFDAENSVNEINEQHQVEQINDIKERWNLHRRNVKLRGKRLLTAESSTI >KJB50560 pep chromosome:Graimondii2_0_v6:8:45312729:45316112:-1 gene:B456_008G176800 transcript:KJB50560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCYLFCKDLILFVFQLFAFFYLASGNLASEKRILLEFKSSVFDPSGVLSSWNSSNNPNHCSWFGVSCNSRSQVISITVPGGCREVSEGNFAQPCSCSSKLSKFPFYGFGLRRSACSKGKLVGNLSPLVGKLTELRVLSLAFNDIGGEIPLELWGLQNLEELDLEGNSLTGKLPNEFVGLRNLRVLNLGFNELEGEIPGSFSRFVDLEVLNLAGNKLKGSMPSYVGSFHKLKGLYLSNNQLKGPILENFGSNCRYLEYLDLSWNFLVGSIPSSLGNCPRLRTLLLFSNMLDGVIPNELGQLHKLEVLDVSRNNLSGLIPMELGNCAQLSVLVLSNLFDPVLSEQSSSEELSFRLPLATTDEYNHFRGSIPMRITTLPKLKVLWVPRANLEGKLARNWSGCENLEMVNLAQNRFSGEIFGVFNGCKKLHHLDLSSNRLTGELDDKLPIPCMTLFDISGNLMSGSIPKFNQSVCPGFSSLSYGLLQTRDPAFVYLSFFAYKTRHAMVFPFSSSKAVLIHNFGGNSFSGSLPGLPVVPTRLEKQIDYAFLAGGNKLTGSFPGSLFGNCNKLHGLIADVSKNKLSGHIPFGIGAICRSLRYLDVSENQITGLIPRCFGYLKSLVFLDLSRNKFRGPVPEVLHQLKYLKHLSLASNNLTGSIPSSFAQLRSLEVLDLSSNLLSGEIPQGLLDLRNLTSLQLNNNKFSGEIPSGFSNLKSLSMLEVSSNDLSGSYAVNNTDVREKPLLRSHHLFSLSVQSAETTFTGSSQIGAASPSSNTIDDQSLDSIEIASIASASAIVSVLLVLVILFFYTRKWVPMSRVQVSETREITAFVDIGVPLTYEAIVQATGNFSAGNCIGNGGFGATYKAEIAPGTLVAVKRLAVGRFQGVQQFHAEVKTLETMRHPNLVTLIGYHASETEMFLIYNYLSGGNLENFIKERSTRAVDWKIIHKIASDVAHALAYLHDQCNPKVLHRDVKPSNILLDDDCNAYLSDFGLSRLLGNSETHATTGVAGTFGYVAPEYAMTCRVSEKADVYSYGVVLLELISDKKALDPSFSSHANGFNIVSWACMMLRQGQAKDVFTARLWDSGPHDHLVQLLHLAITCTVDSLSARPTMRQVVRRLKRIQPSSVR >KJB53076 pep chromosome:Graimondii2_0_v6:8:56618457:56620836:1 gene:B456_008G292100 transcript:KJB53076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAATATLVGSSLHLHPPPSSSVTRSTVYAARPRLSFPIKHYSSLACSRSWNKRMVLESRRGIVVRASSSPDSTDPSDPIAPLRMESPTGQFLSQILISHPHLVPAAVEQQLKQLQTEWDTEQKKEELSASGTDLVLYRRIAEVKANERKRALEEILYALVVQKFMDADVSLVPAITPSSKNSSGQVDTWPSQEEKFEQLHSPEAYEMIQSHLALILGNRLNDSKSVAQISKLRVGQVYAASVMYGYFLKRVDERFQLEKTMKILPNGSDSEGSSIEKAVREDIRPAGDYLAVSSHLEVSSWSGGFGNRIKPSRLRTYLMSFDGDTLQRFATIRSKEAVSIIEKQTEALFGRPEIVLTPQGTVDSSKDELIKISFGGLRKLVLEAITFGSFLWDGESFVDSRYHFVMN >KJB46929 pep chromosome:Graimondii2_0_v6:8:358729:361883:-1 gene:B456_008G001800 transcript:KJB46929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVVLDSARHFSFLKLRPCFTVGKPHKTLLGERQKTQGRRMFGVVFPNRSFPMDISSFTQIDTFHWVLDMNTFVGESYHQIGDMCIFLLNNFTLPPDKALAVYVQSPGSPFVFCGAVTLQRPSAVLSLIWPEPGGQMQLTAPDSAAPSAKIGVSVEDLVALPSLDVAAEKKIERMALKVGENLFNFMQSFCGVDGSKLVVPMDILDRWFKKFQEKAKRDSDFLKER >KJB46928 pep chromosome:Graimondii2_0_v6:8:358741:362006:-1 gene:B456_008G001800 transcript:KJB46928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVVLDSARHFSFLKLRPCFTVGKPHKTLLGERQKTQGRRMFGVVFPNRSFPMDISSFTQIDTFHWVLDMNTFVGESYHQIGDMCIFLLNNFTLPPDKALAVYVQSPGSPFVFCGAVTLQRPSAVLSLIWPEPGGQMQLTAPDSAAPSAKIGVSVEDLVALPSLDVAAEKKIERMALKVGENLFNFMQSFCGVDGSKLVVPMDILDRWFKKFQEKAKRDSDFLKER >KJB46926 pep chromosome:Graimondii2_0_v6:8:360272:361894:-1 gene:B456_008G001800 transcript:KJB46926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVVLDSARHFSFLKLRPCFTVGKPHKTLLGERQKTQGRRMFGVVFPNRSFPMDISSFTQIDTFHWVLDMNTFVGESYHQIGDMCIFLLNNFTLPPDKALAVYVQSPGSPFVFCGAVTLQRPSAVLSLIWPEPGGQMQLTAPDSAAPSAKIGVSVEDLVALPSLDVAAEKKIERMALKVGENLFNFMQSFCGVDGSKLVVPMDILDRWFKKFQEKAKRDSDFLKGFAL >KJB46927 pep chromosome:Graimondii2_0_v6:8:358729:362006:-1 gene:B456_008G001800 transcript:KJB46927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVVLDSARHFSFLKLRPCFTVGKPHKTLLGERQKTQGRRMFGVVFPNRSFPMDISSFTQIDTFHWVLDMNTFVGESYHQIGDMCIFLLNNFTLPPDKALAVYVQSPGSPFVFCGAVTLQRPSAVLSLIWPEPGGQMQLTAPDSAAPSAKIGVSVEDLVALPSLDVAAEKKIERMALKVGENLFNFMQSFCGVDGSKLVVPMDILDRWFKKFQEKAKRDSDFLKAIHFSCLSDKYASGRP >KJB46925 pep chromosome:Graimondii2_0_v6:8:361127:361816:-1 gene:B456_008G001800 transcript:KJB46925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVVLDSARHFSFLKLRPCFTVGKPHKTLLGERQKTQGRRMFGVVFPNRSFPMDISSFTQIDTFHWVLDMNTFVGESYHQIGDMCIFLLNNFTLPPDKALAVYVQSPGSPFVFCGAVTLQRPSAVLSLIWPEPGGQMQLTAPDSAAPSAKIGVSVEDLVALPSLDVAAEKKIERMALKVGENLFNFMQSFCGVDGSKLVVPMDILDRWFKKFQEKAKRDSDFLKGFAL >KJB46930 pep chromosome:Graimondii2_0_v6:8:361127:361816:-1 gene:B456_008G001800 transcript:KJB46930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVVLDSARHFSFLKLRPCFTVGKPHKTLLGERQKTQGRRMFGVVFPNRSFPMDISSFTQIDTFHWVLDMNTFVGESYHQIGDMCIFLLNNFTLPPDKALAVYVQSPGSPFVFCGAVTLQRPSAVLSLIWPEPGGQMQLTAPDSAAPSAKIGVSVEDLVALPSLDVAAEKKIERMALKVGENLFNFMQSFCGVDGSKLVVPMDILDRWFKKFQEKAKRDSDFLKGFAL >KJB48229 pep chromosome:Graimondii2_0_v6:8:9312774:9314350:1 gene:B456_008G058900 transcript:KJB48229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSQPHPSKPSASKLPIKRKSPHHPQFFTPKLEYQPIILPPPKPKPKPQPQTPPFKFQRIWSEPDEIRFLQALLRSHHLSFPKDLPLFYSSFSHSMSQPYTKSQLSEKLRRLRKKFRVVSSRLARGLNPSSLSLHDRALFDLSKRLWSPEFASSSPFGKNSSGFNGVAGNGFDDCDTIDDDGEVKINGVSLHYDFAAGRDEVISGETIDGVLAKSVLNAFDECIKEVRVMFMKQGVVCMDTTERKWKEQRVSEFDVLGRRLRLVIENSLTRR >KJB48507 pep chromosome:Graimondii2_0_v6:8:12672753:12675048:-1 gene:B456_008G072800 transcript:KJB48507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCEVCQLKELEVEHFEIREVLRCILHTIVFHRALGLVRPKDVDLELFEITYVQCGDVELEKKIDEKIDHFISWVEKHPNKKSQICLSFYEVKSKQPSWFTNKTERLYWEQWYVNLNVTQHPKHHSSKSHHTKLVVDPGESATEERSCRRAMVEASLREVLFQIIKFVNEKKDHVPPISEGVIYFPYEITIPRKFQ >KJB48506 pep chromosome:Graimondii2_0_v6:8:12672196:12675286:-1 gene:B456_008G072800 transcript:KJB48506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGILHTIVFHRALGLVRPKDVDLELFEITYVQCGDVELEKKIDEKIDHFISWVEKHPNKKSQICLSFYEVKSKQPSWFTNKTERLYWEQWYVNLNVTQHPKHHSSKSHHTKLVVDPGESATEERSCRRAMVEASLREVLFQIIKFVNEKKDHVPPISEGVIYFPYEITIPSSSDSAFGMDMIKRMLHSGHPTMLS >KJB48508 pep chromosome:Graimondii2_0_v6:8:12672196:12675464:-1 gene:B456_008G072800 transcript:KJB48508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCEVCQLKELEVEHFEIREVLRCILHTIVFHRALGLVRPKDVDLELFEITYVQCGDVELEKKIDEKIDHFISWVEKHPNKKSQICLSFYEVKSKQPSWFTNKTERLYWEQWYVNLNVTQHPKHHSSKSHHTKLVVDPGESATEERSCRRAMVEASLREVLFQIIKFVNEKKDHVPPISEGVIYFPYEITIPSSSDSAFGMDMIKRMLHSGHPTMLS >KJB53265 pep chromosome:Graimondii2_0_v6:8:45351984:45356753:1 gene:B456_008G177200 transcript:KJB53265 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MEASKMTKHPLIIEEWNGSSSTKLFKTATITFSPSLQIQRSVNGFNHVWRRFLDAFVPEGFPGSVTPDYVPFQVWDSLQGLSTYIRTMLSTQALLSAIGVGEKSATIIGATFQWFLRDLTGMLGGILFTLYQGSNLDSNAKMWRLVADLMNDLGMLMDLLSPLFPSAFIFIVCLGSLSRSFTSVASGATRAALTQHFALQNNAADIAAKEGSQETMATMIGMALGMLLARITTGNPVAIWFSFLSLTMFHMYANYKAVRCLTLDSLNFERSSILLQHFIESGQVLSPKQVSTMEHVLPLWTTLRLSKSAKPLHTNVKLGLRVSTLDHSEMANFLTSAGSFYNEAKYLLVERKGTVSVVVHKDSTAEDILKSYIHALVMVNLTVEQKSLHLECQSWMDKHYESFVQKLKLAGWKAGRLLSHSIIWKAHWSLGEKTD >KJB53269 pep chromosome:Graimondii2_0_v6:8:45352029:45356740:1 gene:B456_008G177200 transcript:KJB53269 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MEASKMTKHPLIIEEWNGSSSTKLFKTATITFSPSLQIQRSVNGFNHVWRRFLDAFVPEGFPGSVTPDYVPFQVWDSLQGLSTYIRTMLSTQALLSAIGVGEKSATIIGATFQWFLRDLTGMLGGILFTLYQGSNLDSNAKMWRLVADLMNDLGMLMDLLSPLFPSAFIFIVCLGSLSRSFTSVASGATRAALTQHFALQNNAADIAAKEGSQETMATMIGMALGMLLARITTGNPVAIWFSFLSLTMFHMYANYKAVRCLTLDSLNFERSSILLQHFIESGQVLSPKQVSTMEHVLPLWTTLRLSKSAKPLHTNVKLGLRVSTLDHSEMANFLTSAGSFYNEVFTGGEKGNGQCCRAQRFDS >KJB53270 pep chromosome:Graimondii2_0_v6:8:45352029:45356740:1 gene:B456_008G177200 transcript:KJB53270 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MEASKMTKHPLIIEEWNGSSSTKLFKTATITFSPSLQIQRSVNGFNHVWRRFLDAFVPEGFPGSVTPDYVPFQVWDSLQGLSTYIRTMLSTQALLSAIGVGEKSATIIGATFQWFLRDLTGMLGGILFTLYQGSNLDSNAKMWRLVADLMNDLGMLMDLLSPLFPSAFIFIVCLGSLSRSFTSVASGATRAALTQHFALQNNAADIAAKEGSQETMATMIGMALGMLLARITTGNPVAIWFSFLSLTMFHMYANYKAVRCLTLDSLNFERSSILLQHFIESGQGPTF >KJB53268 pep chromosome:Graimondii2_0_v6:8:45352029:45356740:1 gene:B456_008G177200 transcript:KJB53268 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MDLLLPSFSKPPLSLSPLLYKSKVNGFNHVWRRFLDAFVPEGFPGSVTPDYVPFQVWDSLQGLSTYIRTMLSTQALLSAIGVGEKSATIIGATFQWFLRDLTGMLGGILFTLYQGSNLDSNAKMWRLVADLMNDLGMLMDLLSPLFPSAFIFIVCLGSLSRSFTSVASGATRAALTQHFALQNNAADIAAKEGSQETMATMIGMALGMLLARITTGNPVAIWFSFLSLTMFHMYANYKAVRCLTLDSLNFERSSILLQHFIESGQVLSPKQVSTMEHVLPLWTTLRLSKSAKPLHTNVKLGLRVSTLDHSEMANFLTSAGSFYNEAKYLLVERKGTVSVVVHKDSTAEDILKSYIHALVMVNLTVEQKSLHLECQSWMDKHYESFVQKLKLAGWKAGRLLSHSIIWKAHWSLGEKTD >KJB53267 pep chromosome:Graimondii2_0_v6:8:45352764:45356333:1 gene:B456_008G177200 transcript:KJB53267 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MLSTQALLSAIGVGEKSATIIGATFQWFLRDLTGMLGGILFTLYQGSNLDSNAKMWRLVADLMNDLGMLMDLLSPLFPSAFIFIVCLGSLSRSFTSVASGATRAALTQHFALQNNAADIAAKEGSQETMATMIGMALGMLLARITTGNPVAIWFSFLSLTMFHMYANYKAVRCLTLDSLNFERSSILLQHFIESGQVLSPKQVSTMEHVLPLWTTLRLSKSAKPLHTNVKLGLRVSTLDHSEMANFLTSAGSFYNEAKYLLVERKGTVSVVVHKDSTAEDILKSYIHALVMVNLTVEQKSLHLECQSWMDKHYESFVQKLKLAGWKAGRLLSHSIIWKAHWSLGEKTD >KJB53266 pep chromosome:Graimondii2_0_v6:8:45352029:45355742:1 gene:B456_008G177200 transcript:KJB53266 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MEASKMTKHPLIIEEWNGSSSTKLFKTATITFSPSLQIQRSVNGFNHVWRRFLDAFVPEGFPGSVTPDYVPFQVWDSLQGLSTYIRTMLSTQALLSAIGVGEKSATIIGATFQWFLRDLTGMLGGILFTLYQGSNLDSNAKMWRLVADLMNDLGMLMDLLSPLFPSAFIFIVCLGSLSRSFTSVASGATRAALTQHFALQNNAADIAAKEGSQETMATMIGMALGMLLARITTGNPVAIWFSFLSLTMFHMYANYKAVRCLTLDSLNFERSSILLQHFIESGQVLSPKQVSTMEHVLPLWTTLRLSKSAKPLHTNVKLGLRVSTLDHSEMANFLTSAGSFYNEG >KJB48195 pep chromosome:Graimondii2_0_v6:8:8642485:8648731:1 gene:B456_008G056600 transcript:KJB48195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPPWFISILTMILSLAPKSMSANDENASSCSARIHCGDIQDIGYPFWGLDRPESCGYPGFRLNCNDDNPEITIMSATYRVVHINTSSQLLQVARMDYTESICPTYLLNSSFNSTPFAYNRESTLDIWLFYGCQPLTDVQNNSTSTQGISSQFECTINETNIIGYYLTRNVSETSFDTVIRNTLGSCNYSVSIQVMSSEVPSLEEIGNSDILEEALSLGFELRWSANDSMCKSCEDSGGQCGHDLITAEFTCYCSDGSYPRDCRLTPQGEKKDVGLRVGLGIAGAVIAGILIGIGILCFKRRQPAAGVKNKYLPTPPSSAGSSTTSTTHLSQTIPSYPTSNYDIEKESTYFGTHVFSYQELEEATDNFNPAKQLGEGGFGTVYYGMLNDRREVAVKRLYKTNFKRVDQYMNEIEIFPRIRHPNLVTLYGCTSKRSTELLLVYEYIPNGTVADHLYGKLSNSGFLTWPIRLSIAVETANALAHLHASDIIHRDVKTANILLDNSFKVKVADFGLSRLFPNGVTHVSTAPQGTPGYVDPEYFQCYQLSEKSDVYSYGVVLFELISAKPAVDTSRHRDDINLANMGMNRIRNHALHELVDPSLRFENDYATKKMVTAMAELASRCLQQERNLRPSMEQVLQTLIGIQGEQIGLQKAEKENVRCRRQEIS >KJB52025 pep chromosome:Graimondii2_0_v6:8:52830475:52831080:-1 gene:B456_008G242700 transcript:KJB52025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRRTQMKRIENAASRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFSSSRYLYAFAKVLQNTIQNILH >KJB52027 pep chromosome:Graimondii2_0_v6:8:52830475:52831347:-1 gene:B456_008G242700 transcript:KJB52027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRRTQMKRIENAASRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFSSSRYLYAFAKVLQNTIQNILH >KJB52026 pep chromosome:Graimondii2_0_v6:8:52830475:52830744:-1 gene:B456_008G242700 transcript:KJB52026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRRTQMKRIENAASRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFSSSRYLYAFAKVLQNTIQNILH >KJB52024 pep chromosome:Graimondii2_0_v6:8:52830475:52831202:-1 gene:B456_008G242700 transcript:KJB52024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRRTQMKRIENAASRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFSSSRYLYAFAKVLQNTIQNILH >KJB51510 pep chromosome:Graimondii2_0_v6:8:50673564:50677828:-1 gene:B456_008G219900 transcript:KJB51510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCWEGIDESRLLIAPVPGANGDGSARFLSLRHPKSGVRTSYLLCNRLLQELHWFKQPYGSWFLGDYVSEDGSLYTATPIDPVFIMLPLFEDARMKKGDDPGKFRQLDEILFVNDYPGYQQLFSIAKDCMEIVCESKEIGSTKFFRLDDKKVFAWLHYKVCQLKQTLPALDQNYAAREEKDTLADSVSILGEYLKDDPWLKLLCDHFKLNLPEAKRIAADIEVCPSAIESPVSSSNFSQQGKNPSEKKTGRNVKQAKKVKVETESRNIKEMFTRASRRRN >KJB51509 pep chromosome:Graimondii2_0_v6:8:50673374:50677942:-1 gene:B456_008G219900 transcript:KJB51509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCWEGIDESRLLIAPVPGANGDGSARFLSLRHPKSGVRTSYLLCNRLLQELHWFKQPYGSWFLGDYVSEDGSLYTATPIDPVFIMLPLFEDARMKKGDDPGKFRQLDEILFVNDYPGYQQLFSIAKDCMEIVCESKEIGSTKFFRLDDKKVFAWLHYKVCQLKQTLPALDQNYAAREEKDTLADSVSILGEYLKDDPWLKLLCDHFKLNLPEAKRIAADIEVCPSAIESPVSSSNFSQGKNPSEKKTGRNVKQAKKVKVETESRNIKEMFTRASRRRN >KJB47455 pep chromosome:Graimondii2_0_v6:8:3242076:3243887:-1 gene:B456_008G027500 transcript:KJB47455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G20020) UniProtKB/Swiss-Prot;Acc:O49429] MALHPLRLRRTLTTLTTFHRNLSLPTPRVPSLTAPVSNSDLAPPPSSLPSSFSIFQSRWFRSSGGPLSSPRQYKLYKEGDEITEDTVLFEGCDYNHWLIVVDFPKDNKPPPEEMIRTYENICAQGLGISVEEAKKRIYACSTTTYQGFQVLMSEEESEKFNDVPGVVFVLPDSYIDPVNKEYGGDKYENGIITPRPPPIQYGRNQGGRFRQQNRNPDQPRYDRQGNTTPNQQGNVPYNQQGFVQGDGRNYRPPQNYPPQQNYRQQPPMNNRDYAPRGPDPSHQSSYNQGRQGSYNSQERREFVQGEQRNYMPPEQRDFRVDHRNYASSQGGNYGQGPSSGYGQNFGQGANPGYGQDFGQGANPDYTQNPGQGYERGANPGYGQSFRQGSNFGPGQNYGPGAAADSGQSYGQSANPGYGQTYPGHGGGQGFPQAEQRNVQGEATGSMGQQVRHSFLFNQLELVDRMSS >KJB47454 pep chromosome:Graimondii2_0_v6:8:3241585:3243986:-1 gene:B456_008G027500 transcript:KJB47454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G20020) UniProtKB/Swiss-Prot;Acc:O49429] MALHPLRLRRTLTTLTTFHRNLSLPTPRVPSLTAPVSNSDLAPPPSSLPSSFSIFQSRWFRSSGGPLSSPRQYKLYKEGDEITEDTVLFEGCDYNHWLIVVDFPKDNKPPPEEMIRTYENICAQGLGISVEEAKKRIYACSTTTYQGFQVLMSEEESEKFNDVPGVVFVLPDSYIDPVNKEYGDKYENGIITPRPPPIQYGRNQGGRFRQQNRNPDQPRYDRQGNTTPNQQGNVPYNQQGFVQGDGRNYRPPQNYPPQQNYRQQPPMNNRDYAPRGPDPSHQSSYNQGRQGSYNSQERREFVQGEQRNYMPPEQRDFRVDHRNYASSQGGNYGQGPSSGYGQNFGQGANPGYGQDFGQGANPDYTQNPGQGYERGANPGYGQSFRQGSNFGPGQNYGPGAAADSGQSYGQSANPGYGQTYPGHGGGQGFPQAEQRNVQGEATGSMGQQGR >KJB47456 pep chromosome:Graimondii2_0_v6:8:3241585:3244035:-1 gene:B456_008G027500 transcript:KJB47456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G20020) UniProtKB/Swiss-Prot;Acc:O49429] MALHPLRLRRTLTTLTTFHRNLSLPTPRVPSLTAPVSNSDLAPPPSSLPSSFSIFQSRWFRSSGGPLSSPRQYKLYKEGDEITEDTVLFEGCDYNHWLIVVDFPKDNKPPPEEMIRTYENICAQGLGISVEEAKKRIYACSTTTYQGFQVLMSEEESEKFNDVPGVVFVLPDSYIDPVNKEYGGDKYENGIITPRPPPIQYGRNQGGRFRQQNRNPDQPRYDRQGNTTPNQQGNVPYNQQGFVQGDGRNYRPPQNYPPQQNYRQQPPMNNRDYAPRGPDPSHQSSYNQGRQGSYNSQERREFVQGEQRNYMPPEQRDFRVDHRNYASSQGGNYGQGPSSGYGQNFGQGANPGYGQDFGQGANPDYTQNPGQGYERGANPGYGQSFRQGSNFGPGQNYGPGAAADSGQSYGQSANPGYGQTYPGHGGGQGFPQAEQRNVQGEATGSMGQQGR >KJB48826 pep chromosome:Graimondii2_0_v6:8:20316633:20318690:1 gene:B456_008G088900 transcript:KJB48826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSAKQNQYSPLINLFISFLLLIAYAEPLSFNFPSFNPNTPNIHFEGDAFSSYNVLQLTKNAAIGTLTGSTGRASYNQPLRLWDARNGKLTDFTTHFSFIIKAVNLSEYGDGISFFIAPFDSKIPSNSSDGYLALFDPDSKSNSSTNNVVAVEFDSFENIGDPSDDHVGININSIRSVKTVPWRSSIKNGSTANAWVTYNSTTRNLSVFLTYADQPLYIGNSSLAYVVDLREFLPEWVRIGFSASTGRQVEIHNILSWSFQSSLETSGKRKNLGLIVGLGVGFGLVACGLGLVCFIMLRARARLKDGEAIDVTIEDEFEKGTGPKRFTYNELCRATNSFAEAGKLGEGGFGGVYKGLLSDSNTQLAVKRVSRGSKQGKKEYISEVKIISRLRHRNLVQLLGWCHEKGELLLVYEFLPNGSLDSHLFGGKIMLTWIVRYKIALGLASALLYLHEEWEQCVVHRDIKSSNVMLDSNFNAKLGDFGLARLVDHDLGSQTTVLAGTMGYLAPECVTTGKASKESDVYSFGVVALEIACGRKPVEPREEPSKVRLLEWVWDLYGKGQLPEAVDKRLGKVFDERQMECLMATGLWCCHPDYTRRPSIRQVINALNFESPLPSLPSKLPVPMYYAPPMSLCKLSYTSSSTGITDAEKCRTQCSCSSCSTHTYSSAAAGSGKALLSSHKPS >KJB49009 pep chromosome:Graimondii2_0_v6:8:26889236:26905956:1 gene:B456_008G097500 transcript:KJB49009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRPPRSVSSRDSSDGSERLDGAGSWDALEWTKIEPVTRSVSHVNSEFLLEAERVIEEGHGVVLVNRDEAGTLFITNFRLLFLSDGTRNIVPLGTIPLETIEKFNKMVVKNQSAARQIDRSPSRRLLQIIGKDMRIIVFGFRPRTKQRRVIFDALLRCAKPARIWDLYAFTCGPSKFSKPNSKVRLLNEYFRLLGKGSHCASVSMVEEGSFTLSNDLWRISNTNSNYTVCSSYPFALIVPKSISDEEVIQASTFRARCRIPVVSWCHPGTGAVLGRSAQPLVGLMMNMRSNADEKLVASLCTQLVDGKGSRRKLYIADARPRKNALANGAMGGGSESSSNYFHSEIVFFGIDNIHAMRESFARLRDYLDTHGAASSDGMSSFLRHGGSTWGGGNLSSMSASVSTLGDSGWLIHVQSVLAGSAWIAARIALESASVLVHCSDGWDRTSQLVSLANLMLDPYYRTFAGFQALVEKDWLAFGHPFSDRIGMPSVSGTSFDLTRQSSTGNLSSSPVRQSSGSFTPQTSNTSHAQNNYSPIFLQWVDCVSQLLRVYPFAFEFSSTFLVDFLDCVLSCRFGNFLCNSEKEREKCGIYEACGCLWAYFADLRSSEGSSHAHYNLFYDPLKHNGPIFPPAAALAPTLWPQFHLRWACPSESQAGELEAESRSMAIKFSELQKAKEAAEMKAKEYSVAMETLKAELRNEKRLSSSAVNLAQRASKESVAIKRAILSLGCRVNYTSNGDCTVDVESNPTGSQEKSIQSPPRKESDGTMERDDNSDLSVSVTVVSDDVSSSPLGQVCETLCPLRTRDGSCQWPSAGCAQLGSQFVGLKANFDAFDHLSIYDSYFES >KJB49777 pep chromosome:Graimondii2_0_v6:8:38746972:38749398:1 gene:B456_008G137500 transcript:KJB49777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSSATSMRTKKTKAVGIPVVDLSLDRSTVSELIVKACEDYGFFKVINHGVPSDTISRLEDEGVRFFDKEAGDKQRAGPATPFGYGLKNIGLNGDKGELEYLLLHTNPFSIAERSKSISNNPQNFSCAANDYVESVRELASEILELMAEGLWFPDKYVFSRLITDTQSDSVLRFNHYPTVKNREPCSYKDDDHRIGFGEHSDPQILTILRSNDVAGLEICLHDGFWVPVPPDPTQFYVIIGDALQVLTNGRFTSVRHRALANSSSRNSRMSIMYFAAPPVNATIGPLHELVSPENPSLYKPFTWGDYKKAAYSLRLGDCRLDLFKLHPTNDQKFPLFS >KJB48579 pep chromosome:Graimondii2_0_v6:8:13930747:13931082:1 gene:B456_008G075800 transcript:KJB48579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWLREEEEDWGGNKEEIQVLGPKTRDSRRSLGQSRNIDPILGFNLEGQSSIFKKDDFSYNLMDHDIEDRVLTVEEGNKRAMGRVKMVLQRKRIAIWRKGT >KJB51309 pep chromosome:Graimondii2_0_v6:8:49741135:49742276:1 gene:B456_008G2113002 transcript:KJB51309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCKLALCPAFQASGASNWTSRPTTNNLRTF >KJB47193 pep chromosome:Graimondii2_0_v6:8:1712186:1713688:-1 gene:B456_008G015000 transcript:KJB47193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASNFSLPLTLAAIISVWVAADAAHHHHTASAPSPSSSSSSSSVDCSSLILNMADCLSFVSSGSEVSKPEGTCCSGLKTVLKTDAECLCEAYKSSASLGVTLNVTKAMTLPALCKVSAPTTNCAISLTPAGAPGLF >KJB47194 pep chromosome:Graimondii2_0_v6:8:1713010:1713688:-1 gene:B456_008G015000 transcript:KJB47194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASNFSLPLTLAAIISVWVAADAAHHHHTASAPSPSSSSSSSSVDCSSLILNMADCLSFVSSGSEVSKPEGTCCSGLKTVLKTDAECLCEAYKSSASLGVTLNVTKAMTLPALCKVSAPTTNCASKGHV >KJB47192 pep chromosome:Graimondii2_0_v6:8:1712149:1713780:-1 gene:B456_008G015000 transcript:KJB47192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASNFSLPLTLAAIISVWVAADAAHHHHTASAPSPSSSSSSSSVDCSSLILNMADCLSFVSSGSEVSKPEGTCCSGLKTVLKTDAECLCEAYKSSASLGVTLNVTKAMTLPALCKVSAPTTNCAISLTPAGAPGMQPSTSASAPTASSEGANEVAPAPAPGSSGSPLLSVSVGSLVVGLMIVLLSGWR >KJB47188 pep chromosome:Graimondii2_0_v6:8:1688043:1691229:-1 gene:B456_008G014800 transcript:KJB47188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFLSSNYSRAGTSEPLEVSQNETCKRQKLSTCLCDENPRLIPCLPDEVSYQILARVPRINYLNAKLVSRSWKASIMSSELFNIRKELGTTEEWLYILTKNEGEKPLWYALDPLSRRWLRFPPMPNVASEDESRKGLNGLRMWNVVGSSIKIADVIRGWLVRKDALDGPSCGCAIGAVNGCLYVLGGFSRASALRCVWQYNPVLNSWSEVCPMLTARAYCKTGILNNKLYVVGGVTRGPGGLTPLQSAEVFDSHTGIWSQIPSMPFSKAQVLPTAFLADLLKPIATGLTSYRGRLFVPQSLYCWPFFVDVGGEVYDPEVNSWVEMPVGMGEGWPARQAGMKLSVTVDGELYALDPSSSLESARVKVYDYQDDAWKFVVGEVPIPNFTDSESPYLLAGLLGKLHVITKDANNNILVLQTDVRNHCTSLSPASSDMHAESAESAAGLDMDIWRVVATRTARCFELVSCQTLSI >KJB47187 pep chromosome:Graimondii2_0_v6:8:1688043:1691249:-1 gene:B456_008G014800 transcript:KJB47187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFLSSNYSRAGTSEPLEVSQNETCKRQKLSTCLCDENPRLIPCLPDEVSYQILARVPRINYLNAKLVSRSWKASIMSSELFNIRKELGTTEEWLYILTKNEGEKPLWYALDPLSRRWLRFPPMPNVASEDESRKGLNGLRMWNVVGSSIKIADVIRGWLVRKDALDGPSCGCAIGAVNGCLYVLGGFSRASALRCVWQYNPVLNSWSEVCPMLTARAYCKTGILNNKLYVVGGVTRGPGGLTPLQSAEVFDSHTGIWSQIPSMPFSKAQVLPTAFLADLLKPIATGLTSYRGRLFVPQSLYCWPFFVDVGGEVYDPEVNSWVEMPVGMGEGWPARQAGMKLSVTVDGELYALDPSSSLESARVKVYDYQDDAWKFVVGEVPIPNFTDSESPYLLAGLLGKLHVITKDANNNILVLQTDVRNHCTSLSPASSDMHAESAESAAGLDMDIWRVVATRTARCFELVSCQTLSI >KJB51398 pep chromosome:Graimondii2_0_v6:8:54322371:54322811:-1 gene:B456_008G263000 transcript:KJB51398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYGKTMAVAPANVIYLSTILGRDGPIPVHKCDWKCQNEHVCGNMYRCKLTGITHICDKNCNQRILYDNHSSLCRASGQVFPLTQAEEQAVRGVRRKFDADNSPSSDSCGFKRRRDAQFHPSPFERSFSAVSPIYSRVGDGMDTS >KJB51397 pep chromosome:Graimondii2_0_v6:8:54320606:54323393:-1 gene:B456_008G263000 transcript:KJB51397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYGKTMAVAPANVIYLSTILGRDGPIPVHKCDWKCQNEHVCGNMYRCKLTGITHICDKNCNQRILYDNHSSLCRASGQVFPLTQAEEQAVRGVRRKFDADNSPSSDSCGFKRRRDAQFHPSPFERSFSAVSPIYSRVGDGMDTS >KJB51395 pep chromosome:Graimondii2_0_v6:8:54322371:54322811:-1 gene:B456_008G263000 transcript:KJB51395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYGKTMAVAPANVIYLSTILGRDGPIPVHKCDWKCQNEHVCGNMYRCKLTGITHICDKNCNQRILYDNHSSLCRASGQVFPLTQAEEQAVRGVRRKFDADNSPSSDSCGFKRRRDAQFHPSPFERSFSAVSPIYSRVGDGMDTS >KJB51392 pep chromosome:Graimondii2_0_v6:8:54322371:54322811:-1 gene:B456_008G263000 transcript:KJB51392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYGKTMAVAPANVIYLSTILGRDGPIPVHKCDWKCQNEHVCGNMYRCKLTGITHICDKNCNQRILYDNHSSLCRASGQVFPLTQAEEQAVRGVRRKFDADNSPSSDSCGFKRRRDAQFHPSPFERSFSAVSPIYSRVGDGMDTS >KJB51396 pep chromosome:Graimondii2_0_v6:8:54322371:54322811:-1 gene:B456_008G263000 transcript:KJB51396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYGKTMAVAPANVIYLSTILGRDGPIPVHKCDWKCQNEHVCGNMYRCKLTGITHICDKNCNQRILYDNHSSLCRASGQVFPLTQAEEQAVRGVRRKFDADNSPSSDSCGFKRRRDAQFHPSPFERSFSAVSPIYSRVGDGMDTS >KJB51393 pep chromosome:Graimondii2_0_v6:8:54320606:54323393:-1 gene:B456_008G263000 transcript:KJB51393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYGKTMAVAPANVIYLSTILGRDGPIPVHKCDWKCQNEHVCGNMYRCKLTGITHICDKNCNQRILYDNHSSLCRASGQVFPLTQAEEQAVRGVRRKFDADNSPSSDSCGFKRRRDAQFHPSPFERSFSAVSPIYSRVGDGMDTS >KJB51400 pep chromosome:Graimondii2_0_v6:8:54320606:54323393:-1 gene:B456_008G263000 transcript:KJB51400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYGKTMAVAPANVIYLSTILGRDGPIPVHKCDWKCQNEHVCGNMYRCKLTGITHICDKNCNQRILYDNHSSLCRASGQVFPLTQAEEQAVRGVRRKFDADNSPSSDSCGFKRRRDAQFHPSPFERSFSAVILSLLAMDSGTNVKVPSYACFLLGISHRLQSGSTILE >KJB51394 pep chromosome:Graimondii2_0_v6:8:54322371:54322811:-1 gene:B456_008G263000 transcript:KJB51394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYGKTMAVAPANVIYLSTILGRDGPIPVHKCDWKCQNEHVCGNMYRCKLTGITHICDKNCNQRILYDNHSSLCRASGQVFPLTQAEEQAVRGVRRKFDADNSPSSDSCGFKRRRDAQFHPSPFERSFSAVSPIYSRVGDGMDTS >KJB51399 pep chromosome:Graimondii2_0_v6:8:54320606:54323449:-1 gene:B456_008G263000 transcript:KJB51399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYGKTMAVAPANVIYLSTILGRDGPIPVHKCDWKCQNEHVCGNMYRCKLTGITHICDKNCNQRILYDNHSSLCRASGQVFPLTQAEEQAVRGVRRKFDADNSPSSDSCGFKRRRDAQFHPSPFERSFSAVILSLLAMDSGTNVKVPSYACFLLGISHRLQSGSTILE >KJB48924 pep chromosome:Graimondii2_0_v6:8:24273334:24288127:-1 gene:B456_008G094100 transcript:KJB48924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLLGLSRGESDESPREITTSRTPLTSESGENGWLIRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLSGIESYLFQICYMMVHKPSPSLDKFVIDMCSKSLKMAMKVHWFLLAELEDSDDNEGLSRIQEKCQIAATLMGEWPPLVRPPNAGSSPGSKNQVLNRFLSSKQLFLSLTSSPSTQRSLSSPSSGNHLQEDGGSQLSPEENKIFKKFIPGPKVRDALLFRKSAEKDEEENEKDGFFKRILRDSRGGEDEDLTSSSDGFFKRLLKDSKGEEEEMTSSSEGFFKKLFRDSKSDSDDKMVSKPAEDDEKEGFFKKLFRDKFEDKKDVNDRIDDVHMVNSAEKASKSGEDDEKEGFFRKLFKDKSEDKKDGNDKNDDGEEEDSSDFSLFRRLFRVHPEENKTSTANEGSNSDSLFESSPGTENFFRKLFRDRDRSIEDSELFNAKKHKEKHPGSPKQQNDRSNSKPPLPNSSVSQFRKGAYHDSLYFVQSLCDTSYGLVDVFPIEDRKTALCESLAEINLHLAEAQNNGGVCFPMGKGMYRVVHIPEDEAVLLNSREKAPYLICVEVLKCELQSTKDTSNAQKLSRGGIPLANGDALLQKPPPWAYPLWTAQEAYRNSSDRMSSSTAQAIDQAMTHKSDAKVKFVNVSFSVEKLSISQLGSIDAPDPQCSMHRCNLSAASVQGGQDLTQKLKDARASDMEWVRVVLTADPRLRMEDIEGQGRPRRKEHRRVPSTVAIEEVKAAAAKGEAPPGLPLKGAGQDSSDAQPRANGGVPKAGDALSGELWEVKKERIRKASIYGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASLHSIKSRYPNLSSLREFFAAKYQENSPSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLLDEEGHLIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGYPCFKGGPRAIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >KJB48925 pep chromosome:Graimondii2_0_v6:8:24276994:24288071:-1 gene:B456_008G094100 transcript:KJB48925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLLGLSRGESDESPREITTSRTPLTSESGENGWLIRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLSGIESYLFQICYMMVHKPSPSLDKFVIDMCSKSLKMAMKVHWFLLAELEDSDDNEGLSRIQEKCQIAATLMGEWPPLVRPPNAGSSPGSKNQVLNRFLSSKQLFLSLTSSPSTQRSLSSPSSGNHLQEDGGSQLSPEENKIFKKFIPGPKVRDALLFRKSAEKDEEENEKDGFFKRILRDSRGGEDEDLTSSSDGFFKRLLKDSKGEEEEMTSSSEGFFKKLFRDSKSDSDDKMVSKPAEDDEKEGFFKKLFRDKFEDKKDVNDRIDDVHMVNSAEKASKSGEDDEKEGFFRKLFKDKSEDKKDGNDKNDDGEEEDSSDFSLFRRLFRVHPEENKTSTANEGSNSDSLFESSPGTENFFRKLFRDRDRSIEDSELFNAKKHKEKHPGSPKQQNDRSNSKPPLPNSSVSQFRKGAYHDSLYFVQSLCDTSYGLVDVFPIEDRKTALCESLAEINLHLAEAQNNGGVCFPMGKGMYRVVHIPEDEAVLLNSREKAPYLICVEVLKCELQSTKDTSNAQKLSRGGIPLANGDALLQKPPPWAYPLWTAQEAYRNSSDRMSSSTAQAIDQAMTHKSDAKVKFVNVSFSVEKLSISQLGSIDAPDPQCSMHRCNLSAASVQGGQDLTQKLKDARASDMEWVRVVLTADPRLRMEDIEGQGRPRRKEHRRVPSTVAIEEVKAAAAKGEAPPGLPLKGAGQDSSDAQPRANGGVPKAGDALSGELWEVKKERIRKASIYGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASLHSIKSRYPNLSSLREFFAAKYQENSPSFKLAQVYGNSLDICK >KJB49857 pep chromosome:Graimondii2_0_v6:8:39344519:39348779:1 gene:B456_008G142000 transcript:KJB49857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRREGLLAQQPQPQQQQMLSSGVGSENKLESLPAMVVGVWSDDKNAQLEATTQFRKLLSIERSPPINEVVQAGVVPRFVEFLNRDDFPQLQFEAAWALTNIASGTSDNTRVVIDHGAVPIFVKLLGSPTDDVREQAVWALGNVAGDSPKCRDLVLGHGALVPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPSFEQTKPALPALERLINSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLIELLLHPSPTVLIPALRTVGNIVTGDDMQTQCIIGHEALPCLLNLLTNTYKKSIKKEACWTISNITAGNVDQIQAVIKAGIIAPLVHLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEAEKNLGHTGDVNLYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWVEDEDEPLPPGDAAQSGLQFGGNQLPVPSGGFNFN >KJB49858 pep chromosome:Graimondii2_0_v6:8:39344466:39348936:1 gene:B456_008G142000 transcript:KJB49858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRREGLLAQQPQPQQQQMLSSGVGSENKLESLPAMVVGVWSDDKNAQLEATTQFRKLLSIERSPPINEVVQAGVVPRFVEFLNRDDFPQLQFEAAWALTNIASGTSDNTRVVIDHGAVPIFVKLLGSPTDDVREQAVWALGNVAGDSPKCRDLVLGHGALVPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPSFEQTKPALPALERLINSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLIELLLHPSPTVLIPALRTVGNIVTGDDMQTQCIIGHEALPCLLNLLTNTYKKSIKKEACWTISNITAGNVDQIQAVIKAGIIAPLVHLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEAEKNLGHTGDVNLYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWVEDEDEPLPPGDAAQSGLQFGGNQLPVPSGGFNFN >KJB50374 pep chromosome:Graimondii2_0_v6:8:43829046:43830367:1 gene:B456_008G167400 transcript:KJB50374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEISRTQGLNPSQQSSSLPDYPQMILEAIEALNEKEGSSMSSIAKHIDSTHSDLPASHSTLLSHHLNQMKQMGQIVMLNNNYSKPDPNAPPKRGRGRPPKPKVPLPPGVVVSPPRPRGRPPKPKDLLAPPKTKSVSTGRPRGRPPKKAKTGTNTAPPPPPGVKRGRGRPPKVLPSVGFQ >KJB51795 pep chromosome:Graimondii2_0_v6:8:51819669:51821531:-1 gene:B456_008G231900 transcript:KJB51795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIGVGHTLPAQNLHVFQARRASFKCRQTSPLNPLTKPNPCSQKPLSSACFSTESTRQHLSNLEKLLQKTNEAEPEQVITKPPINGSIGNKGKGLLEGLNLSRIWPETKAAEEMSPRHLNRLQRLLSKSNMEYSPRNSLGSRWREYHGCNDWSGLLDPLDENLRREVVRYGEFVQAAYHGFHSNPAMSTNQAPLPRHVALPDRSYKLTKSLYATSSIGLPDWVDDVAPDLGWMTQRSSWIGYVAVCDDRREIQRMGRRDIVIALRGTATCMEWAENLRAQLVRIPESDNPTQKVECGFLSLHKTPGTHVPSLAESVVEEVKRLMETYKGESLSITITGHSLGAALSLLVADEISSCAPHVPPIAVFSFGGPRVGNKGFVNRLNEKNVKVLRIVNNQDLITRVPGIFIGEGSNQQQQEDLQKKQRNDGFGKVFNMIDNNNPWAYSHIGTELRVDSKMSPYLKPDADMACCHDLEAYLHLVDGFLSSNCPFRSNAKRSLVKLVNDQRSNMKQLYTHKSLSLNLERDRLRVPIPTCLPSPSR >KJB50938 pep chromosome:Graimondii2_0_v6:8:47777663:47779647:-1 gene:B456_008G193800 transcript:KJB50938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDGKDSKTKRKSKSSPSSPRRSRSSKLTPPSASLVDGELSVTEQERSSAVTSLFEGLQISQDSNSNPRSFPYSVKQQCWEKAEKVKGRDPDRWRRDAVGNIVFRKLVGCPGCLCHDYDHIIPYSKGGKSTLENCQVLQV >KJB50936 pep chromosome:Graimondii2_0_v6:8:47775068:47779712:-1 gene:B456_008G193800 transcript:KJB50936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYTQYYPDWEKLNNVPSQTKARQHQPKREKMIIDGKDSKTKRKSKSSPSSPRRSRSSKLTPPSASLVDGELSVTEQERSSAVTSLFEGLQISQDSNSNPRSFPYSVKQQCWEKAEKVKGRDPDRWRRDAVGNIVFRKLVGCPGCLCHDYDHIIPYSKGGKSTLENCQVLQATVNRSKGNRTELSRADLIQKSSYCRVSGRDMDLIELSAYGNVHHAEDSGGCRIQ >KJB50937 pep chromosome:Graimondii2_0_v6:8:47775617:47779647:-1 gene:B456_008G193800 transcript:KJB50937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDGKDSKTKRKSKSSPSSPRRSRSSKLTPPSASLVDGELSVTEQERSSAVTSLFEGLQISQDSNSNPRSFPYSVKQQCWEKAEKVKGRDPDRWRRDAVGNIVFRKLVGCPGCLCHDYDHIIPYSKGGKSTLENCQVLQATVNRSKGNRTELSRADLIQKSSYCRVSGTANFV >KJB48158 pep chromosome:Graimondii2_0_v6:8:7921096:7927330:1 gene:B456_008G054500 transcript:KJB48158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSNALKRKRYDDDNYMEAEVDLSLLEAVEKSQNTVELLDLRTLKKLVLSFERRLKENIEARLKYPDQPERFADSEVELHEELEKLKILAGAPELYPELVNLNAIPSILNLLSHDNTDIAIDVVHLLEDLTDEDVLEDNDEPARILVDSLIENNVLELLVQNLQRLSDKDPDEMSAIYNTLASIENMIEVKPTVAELVCERTKLLRWLLGKIKVREFDSNKQYASEILAILLQNSTANQKRLGQMNGVDVVLQAVAMYKSKDPKTSDEEEMLENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKSAYASAIRALDFSMTKYPPACERFVDVLGLKTAFAAFMGKIPISKKNKKERYQEELEERLVSLIASLFGGILRGSRRERLLSKFVENECEKIDRLMELYIRYSDRVTAETQRLEQLELDDLEMDEEEKYNRKLESGLYTLQLIAVILGHLWCSEHPQMRARIELLLKQQKLTKNDIKNILQEYHDNIGDLDGAEEKEQAQTRIQKIISSF >KJB48155 pep chromosome:Graimondii2_0_v6:8:7921096:7926331:1 gene:B456_008G054500 transcript:KJB48155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSNALKRKRYDDDNYMEAEVDLSLLEAVEKSQNTVELLDLRTLKKLVLSFERRLKENIEARLKYPDQPERFADSEVELHEELEKLKILAGAPELYPELVNLNAIPSILNLLSHDNTDIAIDVVHLLEDLTDEDVLEDNDEPARILVDSLIENNVLELLVQNLQRLSDKDPDEMSAIYNTLASIENMIEVKPTVAELVCERTKLLRWLLGKIKVREFDSNKQYASEILAILLQNSTANQKRLGQMNGVDVVLQAVAMYKSKDPKTSDEEEMLENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKSAYASAIRALDFSMTKYPPACERFVDVLGLKTAFAAFMGKIPISKKNKKERYQEELEERLVSLIASLFGGILRGSRRERLLSKFVENECEKIDRLMELYIRYSDRVTAETQRLEQLELDDLEMDEEEKYNRKLESGLYTLQLIAVILGHLWCSE >KJB48157 pep chromosome:Graimondii2_0_v6:8:7921096:7928204:1 gene:B456_008G054500 transcript:KJB48157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSNALKRKRYDDDNYMEAEVDLSLLEAVEKSQNTVELLDLRTLKKLVLSFERRLKENIEARLKYPDQPERFADSEVELHEELEKLKILAGAPELYPELVNLNAIPSILNLLSHDNTDIAIDVVHLLEDLTDEDVLEDNDEPARILVDSLIENNVLELLVQNLQRLSDKDPDEMSAIYNTLASIENMIEVKPTVAELVCERTKLLRWLLGKIKVREFDSNKQYASEILAILLQNSTANQKRLGQMNGVDVVLQAVAMYKSKDPKTSDEEEMLENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKSAYASAIRALDFSMTKYPPACERFVDVLGLKTAFAAFMGKIPISKKNKKERYQEELEERLVSLIASLFGGILRGSRRERLLSKFVENECEKIDRLMELYIRYSDRVTAETQRLEQLELDDLEMDEEEKYNRKLESGLYTLQLIAVILGHLWCSEHPQMRARIELLLKQQKLTKNDIKNILQEYHDNIGDLDGAEEKEQAQTRIQKIISSF >KJB48154 pep chromosome:Graimondii2_0_v6:8:7921096:7922643:1 gene:B456_008G054500 transcript:KJB48154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSNALKRKRYDDDNYMEAEVDLSLLEAVEKSQNTVELLDLRTLKKLVLSFERRLKENIEARLKYPDQPERFADSEVELHEELEKLKILAGAPELYPELVNLNAIPSILNLLSHDNTDIAIDVVHLLEDLTDEDVLEDNDEPARILVDSLIENNVLELLVQNLQRLSDKDPDEMSAIYNTLASIENMIEVKPTVAELVCERTKLLRWLLGKIKVREFDSNKQYASEILAILLQNSTANQKRLGQMNGVDVVLQAVAMYKSKDPKTSDEEEMLENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKSAYASAIRALDFSMTKYPPACERFVDVLGLKTAFAAFMGKIPISKKNKKERYQEELEERLVSLIASLFGECL >KJB48156 pep chromosome:Graimondii2_0_v6:8:7921096:7927446:1 gene:B456_008G054500 transcript:KJB48156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSNALKRKRYDDDNYMEAEVDLSLLEAVEKSQNTVELLDLRTLKKLVLSFERRLKENIEARLKYPDQPERFADSEVELHEELEKLKILAGAPELYPELVNLNAIPSILNLLSHDNTDIAIDVVHLLEDLTDEDVLEDNDEPARILVDSLIENNVLELLVQNLQRLSDKDPDEMSAIYNTLASIENMIEVKPTVAELVCERTKLLRWLLGKIKVREFDSNKQYASEILAILLQNSTANQKRLGQMNGVDVVLQAVAMYKSKDPKTSDEEEMLENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKSAYASAIRALDFSMTKYPPACERFVDVLGLKTAFAAFMGKIPISKKNKKERYQEELEERLVSLIASLFGGILRGSRRERLLSKFVENECEKIDRLMELYIRYSDRVTAETQRLEQLELDDLEMDEEEKYNRKLESGLYTLQLIAVILGHLWCSEHPQMRARIELLLKQQKLTKNDIKNILQEYHDNIGDLDGAEEKEQAQTRIQKIISSF >KJB50660 pep chromosome:Graimondii2_0_v6:8:45884001:45889599:1 gene:B456_008G181400 transcript:KJB50660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFKYNGEWLQERRGGLIGAILNSVVARNEQGSRVARRGRRFPRNLLKPRFFRWLLLLLAVLFFCVFATFVLKLLSNGNEEEKHLPLSGEVLEQVSSYDAPLTRPKSKRRKQHFPCEVGLAAAVDNILEPKNYMNFTQFSLEYVEREVTPHANSPQSRFGGYQTLNERKKSFLARNQTIHCGFVKGMSRLSSPGFELSENDKAYMNTCRVAVSSCIFGSSDFLRRPTSRLISEFSKKNVCFVMFVDEQTLSKLSSEGHTPDEKGHVGLWKIVVVRNLPYLDMRKTGKVPKFLSHRLFPSSRYSIWLDSKMRLNTDPMLIIEFFLWRMRAEYAISNHYDRHCVWEEVLQNKRLNKYNHTAIDEQFMFYQSDGLRKFNPSDPNTPLPSYVPEGSFIIRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTYLKLTRMNPDKPFHLNMFKDCERRALAKLFRHRLLSSPPPP >KJB50659 pep chromosome:Graimondii2_0_v6:8:45883905:45889606:1 gene:B456_008G181400 transcript:KJB50659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFKYNGEWLQERRGGLIGAILNSVVARNEQGSRVARRGRRFPRNLLKPRFFRWLLLLLAVLFFCVFATFVLKLLSNGNEEEKHLPLSGEVLEQVSSYDAPLTRPKSKRRKQHFPCEVGLAAAVDNILEPKNYMNFTQFSLEYVEREVTPHANSPQSRFGGYQTLNERKKSFLARNQTIHCGFVKGMSRLSSPGFELSENDKAYMNTCRVAVSSCIFGSSDFLRRPTSRLISEFSKKNVCFVMFVDEQTLSKLSSEGHTPDEKGHVGLWKIVVVRNLPYLDMRKTGKVPKFLSHRLFPSSRYSIWLDSKMRLNTDPMLIIEFFLWRMRAEYAISNHYDRHCVWEEVLQNKRLNKYNHTAIDEQFMFYQSDGLRKFNPSDPNTPLPSYVPEGSFIIRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTYLKLTRMNPDKPFHLNMFKDCERRALAKLFRHRLLSSPPPP >KJB51468 pep chromosome:Graimondii2_0_v6:8:50421098:50425253:-1 gene:B456_008G217700 transcript:KJB51468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGECEGDGEENGEQFLFQQTQKLLSLYLGVSFSVFLAYLPESSLALLPKLQTQTRDITARLLTAEEQLRQMKSRRKEDSKANARVVEIFATHRNAWQAEEKQLLQQIRDQRAKIEELERETHESKRRIEELQDIIGFISTTSGAAGGEQQQEEEQQMEEAFAAEDTRDDQLKFNGVLNVAHNGNFPFTPDLLASASSKFWADRATVWQDIQCESLESLYHMKHFVARESPWKVDGESTGVSSKLKLLEQELLNLEKLGKSDFSRVPSLMRKQARRYQALTAKIDDLCRRMASDPSEPTLSVEFRTQRQTEFLLEAFRLQQHASETGQKLMALQTEIGKSYYKDDIGSSGSAKAGTKRSMDSIRNNLQEVQRNLEIWLARIIGDLEGILARDGSCSSRVREYCVSRYNSHFVQ >KJB51469 pep chromosome:Graimondii2_0_v6:8:50421098:50425288:-1 gene:B456_008G217700 transcript:KJB51469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGECEGDGEENGEQFLFQQTQKLLSLYLGVSFSVFLAYLPESSLALLPKLQTQTRDITARLLTAEEQLRQMKSRRKEDSKANARVVEIFATHRNAWQAEEKQLLQQIRDQRAKIEELERETHESKRRIEELQDIIGFISTTSGAAGGEQQQEEEQQMEEAFAAEDTRDDQLKFNGVLNVAHNGNFPFTPDLLASASSKFWADRATVWQDIQCESLESLYHMKHFVARRESPWKVDGESTGVSSKLKLLEQELLNLEKLGKSDFSRVPSLMRKQARRYQALTAKIDDLCRRMQASDPSEPTLSVEFRTQRQTEFLLEAFRLQQHASETGQKLMALQTEIGKSYYKDDIGSSGSAKAGTKRSMDSIRNNLQEVQRNLEIWLARIIGDLEGILARDGSCSSRVREYCVSRYNSHFVQ >KJB52539 pep chromosome:Graimondii2_0_v6:8:54588174:54590773:-1 gene:B456_008G266900 transcript:KJB52539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQVLEPIAIPPPLNQNLPKPHSHMPNTLSLFDKPVSSSTYASILESCNNLRLGKQVHAHTFKTGFSGQEFVDTKLLQMYGRFGCLGDADLLFDKMTKRNLYSWTAMISLHVDNGLFEEAFCLFDKLQFDDVLLDFFVFPVVLQICSGLGNVELGRQLHGILIKYRFVSNIYVGNALIDMYGKCGSLDDAKKVLETMPEKDRVSWNAIVTACAINGKVFEALGFFETMSLCENSRPNLVSWSAIIGGFSQNGYDEEAIEMLFRMVREGIEPNAQTLASVLPAFARLQKLNLGKEFHGYITRHRLMSNPIVVNGLIDVYRKCGDMMSAFQLFSKFSLKNVVSCNTMIVGYCENGNVSKAKELFDQMRTMAIKKDIISWNSMISGYVNNSLFEEALNLFKHVLTEDGIEPNSFTLGSVLTACTDTSSLRIGKEIHSQAIIRGLQYNTFVGGALVEMYCKCQDIMAAQIAFNEVSERDTSTWNALISGYSRCNQIEDLQHLLTKMKEDGFEPNVYSWNGIIAGHVENDHHDKAMQLFSEMQISNIRPDIYTIGIVLPACSRSATIERGKQVHAHSVRCGYDADVYIGAALVDMYAKCGSIHHAVLAYNRILDPNLVSHNAMLTAYAMHGHGEDGIALFRRMITNGCRPDHVTFLSALSSCVHVGSVEMGRELFNLMQHYDVKPTIKHYTCMIDLLSRAGQLNEAYELIKSVPVEVDSVMWGALLSGCVIHDNIKLGEVAAERLVALETNNTANYVLLANLYAYAGKWSDLARTRQKIKDIGMYKIPGCSWIEDRDDVHVFLAYDKSHKRTEEIYATLDKLTLHMKTMAL >KJB51825 pep chromosome:Graimondii2_0_v6:8:51942685:51944991:-1 gene:B456_008G233200 transcript:KJB51825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEDLGLSLSLSFPQNHHSLQRNLRPSLVPSSANSCSSPSTLTLNKHSWNDSSPPSDHNSESFRAEMGSIFRGIDMNILPSTVDCEEEAGVSSPNSTISSVSGKRSEREGNGDELEIEKSSSRGISDEEDGDTSRKKLRLSKDQSAILEENFKEHNTLNPKQKLALAKQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQELRALKLSSTQFYMQMTPPTTLTMCTSCERVAVPPNPPSSATVDPRSHHQLAQTHHRAFHMNPWAPSAAHRPLDALRPRS >KJB51826 pep chromosome:Graimondii2_0_v6:8:51942781:51944828:-1 gene:B456_008G233200 transcript:KJB51826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIFRGIDMNILPSTVDCEEEAGVSSPNSTISSVSGKRSEREGNGDELEIEKSSSRGISDEEDGDTSRKKLRLSKDQSAILEENFKEHNTLNPKQKLALAKQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQELRALKLSSTQFYMQMTPPTTLTMCTSCERVAVPPNPPSSATVDPRSHHQLAQTHHRAFHMNPWAPSAAHRPLDALRPRS >KJB48322 pep chromosome:Graimondii2_0_v6:8:10122906:10123246:-1 gene:B456_008G0634002 transcript:KJB48322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVNPLRVEVGRAADQAMDFVTFVEEELGEV >KJB52972 pep chromosome:Graimondii2_0_v6:8:56181514:56188015:-1 gene:B456_008G286100 transcript:KJB52972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRKLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHANVLLPPLETLCIVEETCVRDKAVESLCRIGAQMREQDLVEFFIPLLKRLAAGEWFTARVSSCGLFHIAYPSAPEALKTELRTLYGQLCQDDMPMVRRSAATNLGKFAATVEAPHLKVDIMSMFDDLTHDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRSDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNSELAIQNILPCVKELSTDSSQHVRSALASVIMGMAPILGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLYRMTILHAVSLLAPVMGKDITCSKLLPVVINASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPCLVELSEDPDVDVRYFATQALQSSDQQMMMSS >KJB52973 pep chromosome:Graimondii2_0_v6:8:56181514:56187641:-1 gene:B456_008G286100 transcript:KJB52973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRKLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHANVLLPPLETLCIVEETCVRDKAVESLCRIGAQMREQDLVEFFIPLLKRLAAGEWFTARVSSCGLFHIAYPSAPEALKTELRTLYGQLCQDDMPMVRRSAATNLGKFAATVEAPHLKVDIMSMFDDLTHDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRSDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNSELAIQNILPCVKELSTDSSQHVRSALASVIMGMAPILGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLYRMTILHAVSLLAPVMGKDITCSKLLPVVINASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPCLVELSEDPDVDVRYFATQALQSSDQQMMMSS >KJB52974 pep chromosome:Graimondii2_0_v6:8:56181593:56187925:-1 gene:B456_008G286100 transcript:KJB52974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRKLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHANVLLPPLETLCIVEETCVRDKAVESLCRIGAQMREQDLVEFFIPLLKRLAAGEWFTARVSSCGLFHIAYPSAPEALKTELRTLYGQLCQDDMPMVRRSAATNLGKFAATVEAPHLKVDIMSMFDDLTHDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRSDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNSELAIQNILPCVKELSTDSSQHVRSALASVIMGMAPILGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLYRMTILHAVSLLAPVMGKDITCSKLLPVVINASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPCLVELSEDPDVDVRYFATQALQSSDQQMMMSS >KJB51488 pep chromosome:Graimondii2_0_v6:8:50510124:50517788:1 gene:B456_008G218700 transcript:KJB51488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGGFYQSKEFLDLVKSIGEARSKAEEDRIVLNEIETLKRRISEPDIPKRKMKEYIIRLVYIEMLGHDASFGYIHAVKMTHDDSLLVKRTGYLAVTLFLNEDHDLIILIVNTIQKDLKSDNYLVVCAALNAVCKLINEETIPAVLPQVMELLAHPKEAVRKKAIMALHRFYQKSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLFELIARDVNSYKDLVISFVSILKQVAERRLSKAYDYHQMPAPFIQIKLLKILALLGSGDKQASENMYTVVGDIFRKCDSSSNIGNAVLYECICCVSSIYPNPKLLESAADVISRFLKSDSHNLKYMGIDALGRLIKISPEIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIDYMISINDNHYKTEIASRCVELAEQFAPSNQWFIQTMNRVFEHAGDLVNIKVAHNLMRLIAEGFGEDDDNADTKLRSSAVESYLRILGEPKLPSVFLQVICWVLGEYGTADGMFSASDITGKLCDVAEAYSNDETVKAYATTALMKIYAFEIAAWRKVDMLPECQSLMEELLASHSTDLQQRAYELQAVIGLDAHAVACIMPSDASCEDIEVDRDLSFLNDYIQEAIEKGAQPYIPESERSGMLNISNFRNQDHHEASSHGLRFEAYELPKPAVQSRIPQTLIASTEIVPVPEPMYPRESYQTTMPSIPSDAGSAELKLRLEGVQKKWGRSTYTPATSTSNSTSQKTVNGTSQGDGASTVSSMRETYDSRKPQVEVSHEKQKLAASLFGGSSKTEKRPATGHKAAKASSHVVEKSHVPKSSMEVASEKAAPAQQPPDLLDLGEPTATSTALQLDPFKQLEGLLDATEVASAVNGAPAASRSPDIMALYADTAAGIHNKNDADLLSGLSNPSVTNMPGTTAMPQVTQSSSKGPNPKDSLEKDALVRQMGVNPSSQNPNLFKDLLG >KJB51490 pep chromosome:Graimondii2_0_v6:8:50510004:50517847:1 gene:B456_008G218700 transcript:KJB51490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGGFYQSKEFLDLVKSIGEARSKAEEDRIVLNEIETLKRRISEPDIPKRKMKEYIIRLVYIEMLGHDASFGYIHAVKMTHDDSLLVKRTGYLAVTLFLNEDHDLIILIVNTIQKDLKSDNYLVVCAALNAVCKLINEETIPAVLPQVMELLAHPKEAVRKKAIMALHRFYQKSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLFELIARDVNSYKDLVISFVSILKQVAERRLSKAYDYHQMPAPFIQIKLLKILALLGSGDKQASENMYTVVGDIFRKCDSSSNIGNAVLYECICCVSSIYPNPKLLESAADVISRFLKSDSHNLKYMGIDALGRLIKISPEIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIDYMISINDNHYKTEIASRCVELAEQFAPSNQWFIQTMNRVFEHAGDLVNIKVAHNLMRLIAEGFGEDDDNADTKLRSSAVESYLRILGEPKLPSVFLQVICWVLGEYGTADGMFSASDITGKLCDVAEAYSNDETVKAYATTALMKIYAFEIAAWRKVDMLPECQSLMEELLASHSTDLQQRAYELQAVIGLDAHAVACIMPSDASCEDIEVDRDLSFLNDYIQEAIEKGAQPYIPESERSGMLNISNFRNQDHHEASSHGLRFEAYELPKPAVQSRIPQTLIASTEIVPVPEPMYPRESYQTTMPSIPSDAGSAELKLRLEGVQKKWGRSTYTPATSTSNSTSQKTVNGTSQGDGASTVSSMRETYDSRKPQVEVSHEKQKLAASLFGGSSKTEKRPATGHKAAKASSHVVEKSHVPKSSMEVASEKAAPAQQPPDLLDLGEPTATSTALQLDPFKQLEGLLDATEVASAVNGAPAASRSPDIMALYADTAAGIHNKNDADLLSGLSNPSVTNMPGTTAMPQVTQSSSKGPNPKDSLEKDALVRQMGVNPSSQNPNLFKDLLG >KJB51489 pep chromosome:Graimondii2_0_v6:8:50510124:50517788:1 gene:B456_008G218700 transcript:KJB51489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATLCPLFELIARDVNSYKDLVISFVSILKQVAERRLSKAYDYHQMPAPFIQIKLLKILALLGSGDKQASENMYTVVGDIFRKCDSSSNIGNAVLYECICCVSSIYPNPKLLESAADVISRFLKSDSHNLKYMGIDALGRLIKISPEIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIDYMISINDNHYKTEIASRCVELAEQFAPSNQWFIQTMNRVFEHAGDLVNIKVAHNLMRLIAEGFGEDDDNADTKLRSSAVESYLRILGEPKLPSVFLQVICWVLGEYGTADGMFSASDITGKLCDVAEAYSNDETVKAYATTALMKIYAFEIAAWRKVDMLPECQSLMEELLASHSTDLQQRAYELQAVIGLDAHAVACIMPSDASCEDIEVDRDLSFLNDYIQEAIEKGAQPYIPESERSGMLNISNFRNQDHHEASSHGLRFEAYELPKPAVQSRIPQTLIASTEIVPVPEPMYPRESYQTTMPSIPSDAGSAELKLRLEGVQKKWGRSTYTPATSTSNSTSQKTVNGTSQGDGASTVSSMRETYDSRKPQVEVSHEKQKLAASLFGGSSKTEKRPATGHKAAKASSHVVEKSHVPKSSMEVASEKAAPAQQPPDLLDLGEPTATSTALQLDPFKQLEGLLDATEVASAVNGAPAASRSPDIMALYADTAAGIHNKNDADLLSGLSNPSVTNMPGTTAMPQVTQSSSKGPNPKDSLEKDALVRQMGVNPSSQNPNLFKDLLG >KJB47422 pep chromosome:Graimondii2_0_v6:8:2947001:2951144:1 gene:B456_008G025400 transcript:KJB47422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSSTNAPDLNSKQQQQPPTQQTRQQQQQHPQWVPNQWMGAMQYPAAAMVMMQQQMMMYPHHHYMAYNNHHYHYQQYQQQQQQQQKQQQGCNSDEVKTIWVGDLVHWMDETYLHGCFSHTGEVSSVKIIRNKQTGQSEGYGFVEFYSRATAEKVLQSYNGSLMPNTEQTFRLNWASFSVNERRPDAGSDLSIFVGDLATDVTDSILLETFSSRFQSVKGAKVVIDSNTGRSKGYGFVRFGDENERSRAMTEMNGVYCSNRPMRIGVATPKKASGHQQQYSSQALVLAGGHASNGALAQGSQSDNDSNNTTIFVGGLDSDVSDDDLRQPFSQFGEVISVKIPPGKGCGFVQFANRKNAEEAIQSLNGTTIGQQTVRLSWGRTIGNKQWNGGHH >KJB47423 pep chromosome:Graimondii2_0_v6:8:2947110:2951113:1 gene:B456_008G025400 transcript:KJB47423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSSTNAPDLNSKQQQQPPTQQTRQQQQQHPQWVPNQWMGAMQYPAAAMVMMQQQMMMYPHHHYMAYNNHHYHYQQYQQQQQQQQKQQQGCNSDEVKTIWVGDLVHWMDETYLHGCFSHTGEVSSVKIIRNKQTGQSEGYGFVEFYSRATAEKVLQSYNGSLMPNTEQTFRLNWASFSVNERRPDAGSDLSIFVGDLATDVTDSILLETFSSRFQSVKGAKVVIDSNTGRSKGYGFVRFGDENERSRAMTEMNGVYCSNRPMRIGVATPKKASGHQQQYSSQALVLAGGHASNGALAQGSQSDNDSNNTTIFVGGLDSDVSDDDLRQPFSQFGEVISVKIPPGKGCGFVQFANRKNAEEAIQSLNGTTIGQQTVRLSWGRTIGNKQQWNGGHH >KJB49881 pep chromosome:Graimondii2_0_v6:8:40004441:40006266:1 gene:B456_008G146900 transcript:KJB49881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFINHLWEYVVTPLSVLQMPSSISFAEILSYTACRQLSQMFLAVVFFHSSEYILAVAIHGRSNVTLKSLLISKNYLLAMIFSLLEYIVEIVLFPGLKEHWWISDTGLALVVIGEFTRKLAIITAGRAFTHLIKVYHDEHHLLITHGIYRFVRHPGYSGFFIWSVGTQIMLCNPISTVGFAIVVWKFFAERIPHEEYFLKRFFGSEYEEYAHRVPSGVPFVK >KJB49884 pep chromosome:Graimondii2_0_v6:8:40005427:40006020:1 gene:B456_008G146900 transcript:KJB49884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEILSYTACRQLSQMFLAVVFFHSSEYILAVAIHGRSNVTLKSLLISKNYLLAMIFSLLEYIVEIVLFPGLKEHWWISDTGLALVVIGEFTRKLAIITAGRAFTHLIKVYHDEHHLLITHGIYRFVRHPGYSGFFIWSVGTQIMLCNPISTVGFAIVVWKFFAERIPHEEYFLKRFFGSEYEEYAHRVPSGVPFVK >KJB49883 pep chromosome:Graimondii2_0_v6:8:40004390:40006266:1 gene:B456_008G146900 transcript:KJB49883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFINHLWEYVVTPLSVLQMPSSISFAEILSYTACRQLSQMFLAVVFFHSSEYILAVAIHGRSNVTLKSLLISKNYLLAMIFSLLEYIVEIVLFPGLKEHWWISDTGLALVVIGEFTRKLAIITAGRAFTHLIKVYHDEHHLLITHGIYRFVRHPGYSGFFIWSVGTQIMLCNPISTVGFAIVVWKFFAERIPHEEYFLKRFFGSEYEEYAHRVPSGVPFVK >KJB49882 pep chromosome:Graimondii2_0_v6:8:40004379:40006266:1 gene:B456_008G146900 transcript:KJB49882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFINHLWEYVVTPLSVLQMPSSISFAEILSYTACRQLSQMFLAVVFFHSSEYILAVAIHGRSNVTLKSLLISKNYLLAMIFSLLEYIVEIVLFPGLKEHWWISDTGLALVVIGEFTRKLAIITAGRAFTHLIKVYHDEHHLLITHGIYRFVRHPGYSGFFIWSVGTQIMLCNPISTVGFAIVVWKFFAERIPHEEYFLKRFFGSEYEEYAHRVPSGVPFVK >KJB49885 pep chromosome:Graimondii2_0_v6:8:40004376:40006285:1 gene:B456_008G146900 transcript:KJB49885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFINHLWEYVVTPLSVLQMPSSISFAEILSYTACRQLSQMFLAVVFFHSSEYILAVAIHGRSNVTLKSLLISKNYLLAMIFSLLEYIVEIVLFPGLKEHWWISDTGLALVVIGEFTRKLAIITAGRAFTHLIKVYHDEHHLLITHGIYRFVRHPGYSGFFIWSVGTQIMLCNPISTVGFAIVVWKFFAERIPHEEYFLKRFFGSEYEEYAHRVPSGVPFVK >KJB52572 pep chromosome:Graimondii2_0_v6:8:54686469:54690010:-1 gene:B456_008G268200 transcript:KJB52572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGISVPEVKKETSSSAMDEKGISGSYPIFSEDKRDSLYPMYFGVSCAFFALRLLAGPEKEDEKWSESRDKMLHGSAQLLGLLIWRIQREEANEAQCELLRKLEIAKIEIKELKKRRHEDAKANEKVVSIFASQEQGWLMERKSLRQQIGALLNELRVLQKKKDQDIDDLNKKLNEMEMVVESKDKVIEEMEQKGKELEEKVMEFESIAEELKEAAKWEAQEHSNELWKHKTAFIEIVSNQRRLEAEMGRAFREVEATKLELDAVLEQKEESVLLAQNLSMEIAKMRKDLEQKDKVLSAMLRKTKLDTAEKQLLLKEVKVSKTKKKQAELETERWRAVSESKHERHSLKAMFANQASAKLDASFGAKEVSNSAKTRSLPTDAGFEYDLSELKTDPAICSPLTDCNSPEMSEDWVMTTDVKRLEHWVQTEAEKYAAVIEKRHHLELDAFAEQMRLKDEKLEAFRWRLLSMELESKRLQSHAEGLNQDMSQLRQDNMKLEALLLEKEEELDSLKEQPLSCHKNNLINLTLHDPALLTHDTVWPNVKIIKKKSTDKEQETNTTLLDESQEIPSNKETKNIRLIVQVPEKEFEEQKENPKPDPVEKETNSSALAGSVELPRQSLSKTKSTLWRMDLQALGVSYKIKRLKQQLLLLERLTGKQETGEDTEGSSDNGMKGFLLSLSLLNKQVSRYQSLQGKTDDLCKRMHDNDIDMNQGDCSTTKKSKGDTRKLEHYLEETFQLQRYMVATGQKLMEIQPKIVSGFLGVELDKVHTIDMKRVSDNVRSLFQEVQRGLEVRIARIIGDLEGTLACDGMIRFRR >KJB52575 pep chromosome:Graimondii2_0_v6:8:54686757:54689406:-1 gene:B456_008G268200 transcript:KJB52575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGISVPEVKKETSSSAMDEKGISGSYPIFSEDKRDSLYPMYFGVSCAFFALRLLAGPEKEDEKWSESRDKMLHGSAQLLGLLIWRIQREEANEAQCELLRKLEIAKIEIKELKKRRHEDAKANEKVVSIFASQEQGWLMERKSLRQQIGALLNELRVLQKKKDQDIDDLNKKLNEMEMVVESKDKVIEEMEQKGKELEEKVMEFESIAEELKEAAKWEAQEHSNELWKHKTAFIEIVSNQRRLEAEMGRAFREVEATKLELDAVLEQKEESVLLAQNLSMEIAKMRKDLEQKDKVLSAMLRKTKLDTAEKQLLLKEVKVSKTKKKQAELETERWRAVSESKHERHSLKAMFANQASAKLDASFGAKEVSNSAKTRSLPTDAGFEYDLSELKTDPAICSPLTDCNSPEMSEDWAVMTTDVKRLEHWVQTEAEKYAAVIEKRHHLELDAFAEQMRLKDEKLEAFRWRLLSMELESKRLQSHAEGLNQDMSQLRQDNMKLEALLLEKEEELDSLKEQPLSCHKNNLINLTLHDPALLTHDTVWPNVKIIKKKSTDKEQETNTTLLDESQEIPSNKETKNIRLIVQVPEKEFEEQKENPKPDPVEKETNSSALAGSVELPRQSLSKTKSTLWRMDLQALGVSYKIKRLKQQLLLLERLTGKQETGEDTEGSSDNGMKGFLLSLSLLNKQVSRYQSLQGKTDDLCKRMHDNDIDMNQGDCSTTKKSKGDTRKLEHYLEETFQLQRYMVATGQKLMEIQPKIVSGFLGVELDKVHTIDMKRVSDNVRSLFQEVQRGLEVRIARIIGDLEGTLACDGMIRFRR >KJB52573 pep chromosome:Graimondii2_0_v6:8:54686469:54690010:-1 gene:B456_008G268200 transcript:KJB52573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGISVPEVKKETSSSAMDEKGISGSYPIFSEDKRDSLYPMYFGVSCAFFALRLLAGPEKEDEKWSESRDKMLHGSAQLLGLLIWRIQREEANEAQCELLRKLEIAKIEIKELKKRRHEDAKANEKVVSIFASQEQGWLMERKSLRQQIGALLNELRVLQKKKDQDIDDLNKKLNEMEMVVESKDKVIEEMEQKGKELEEKVMEFESIAEELKEAAKWEAQEHSNELWKHKTAFIEIVSNQRRLEAEMGRAFREVEATKLELDAVLEQKEESVLLAQNLSMEIAKMRKDLEQKDKVLSAMLRKTKLDTAEKQLLLKEVKVSKTKKKQAELETERWRAVSESKHERHSLKAMFANQASAKLDASFGAKEVSNSAKTRSLPTDAGFEYDLSELKTDPAICSPLTDCNSPEMSEDWVMTTDVKRLEHWVQTEAEKYAAVIEKRHHLELDAFAEQMRLKDEKLEAFRWRLLSMELESKRLQSHAEGLNQDMSQLRQDNMKLEALLLEKEEELDSLKEQPLSCHKNNLINLTLHDPALLTHDTVWPNVKIIKKKSTDKEQETNTTLLDESQEIPSNKETKNIRLIVQVPEKEFEEQKENPKPDPVEKETNSSALAGSVELPRQSLSKTKSTLWRMDLQALGVSYKIKRLKQQLLLLERLTGKQETGEDTEGSSDNGMKGFLLSLSLLNKQVSRYQSLQGKTDDLCKRMHDNDIDMNQGDCSTTKKSKGDTRKLEHYLEETFQLQRYMVATGQKLMEIQPKIVSGFLGVELDKVHTIDMKRVSDNVRSLFQEVQRGLEVRIARIIGDLEGTLACDGMIRFRR >KJB52571 pep chromosome:Graimondii2_0_v6:8:54686469:54690010:-1 gene:B456_008G268200 transcript:KJB52571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKGISGSYPIFSEDKRDSLYPMYFGVSCAFFALRLLAGPEKEDEKWSESRDKMLHGSAQLLGLLIWRIQREEANEAQCELLRKLEIAKIEIKELKKRRHEDAKANEKVVSIFASQEQGWLMERKSLRQQIGALLNELRVLQKKKDQDIDDLNKKLNEMEMVVESKDKVIEEMEQKGKELEEKVMEFESIAEELKEAAKWEAQEHSNELWKHKTAFIEIVSNQRRLEAEMGRAFREVEATKLELDAVLEQKEESVLLAQNLSMEIAKMRKDLEQKDKVLSAMLRKTKLDTAEKQLLLKEVKVSKTKKKQAELETERWRAVSESKHERHSLKAMFANQASAKLDASFGAKEVSNSAKTRSLPTDAGFEYDLSELKTDPAICSPLTDCNSPEMSEDWVMTTDVKRLEHWVQTEAEKYAAVIEKRHHLELDAFAEQMRLKDEKLEAFRWRLLSMELESKRLQSHAEGLNQDMSQLRQDNMKLEALLLEKEEELDSLKEQPLSCHKNNLINLTLHDPALLTHDTVWPNVKIIKKKSTDKEQETNTTLLDESQEIPSNKETKNIRLIVQVPEKEFEEQKENPKPDPVEKETNSSALAGSVELPRQSLSKTKSTLWRMDLQALGVSYKIKRLKQQLLLLERLTGKQETGEDTEGSSDNGMKGFLLSLSLLNKQVSRYQSLQGKTDDLCKRMHDNDIDMNQGDCSTTKKSKGDTRKLEHYLEETFQLQRYMVATGQKLMEIQPKIVSGFLGVELDKVHTIDMKRVSDNVRSLFQEVQRGLEVRIARIIGDLEGTLACDGMIRFRR >KJB52574 pep chromosome:Graimondii2_0_v6:8:54686757:54689406:-1 gene:B456_008G268200 transcript:KJB52574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGISVPEVKKETSSSAMDEKGISGSYPIFSEDKRDSLYPMYFGVSCAFFALRLLAGPEKEDEKWSESRDKMLHGSAQLLGLLIWRIQREEANEAQCELLRKLEIAKIEIKELKKRRHEDAKANEKVVSIFASQEQGWLMERKSLRQQIGALLNELRVLQKKKDQDIDDLNKKLNEMEMVVESKDKVIEEMEQKGKELEEKVMEFESIAEELKEAAKWEAQEHSNELWKHKTAFIEIVSNQRRLEAEMGRAFREVEATKLELDAVLEQKEESVLLAQNLSMEIAKMRKDLEQKDKVLSAMLRKTKLDTAEKQLLLKEVKVSKTKKKQAELETERWRAVSESKHERHSLKAMFANQASAKLDASFGAKEVSNSAKTRSLPTDAGFEYDLSELKTDPAICSPLTDCNSPEMSEDWVMTTDVKRLEHWVQTEAEKYAAVIEKRHHLELDAFAEQMRLKDEKLEAFRWRLLSMELESKRLQSHAEGLNQDMSQLRQDNMKLEALLLEKEEELDSLKEQPLSCHKNNLINLTLHDPALLTHDTVWPNVKIIKKKSTDKEQETNTTLLDESQEIPSNKETKNIRLIVQVPEKEFEEQKENPKPDPVEKETNSSALAGSVELPRQSLSKTKSTLWRMDLQALGVSYKIKRLKQQLLLLERLTGKQETGEDTEGSSDNGMKGFLLSLSLLNKQVSRYQSLQGKTDDLCKRMHDNDIDMNQGDCSTTKKSKGDTRKLEHYLEETFQLQRYMVATGQKLMEIQPKIVSGFLGVELDKVHTIDMKRVSDNVRSLFQEVQRGLEVRIARIIGDLEGTLACDGMIRFRR >KJB50728 pep chromosome:Graimondii2_0_v6:8:46307597:46308990:1 gene:B456_008G184100 transcript:KJB50728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPSQVPKKDARRIEFNNPRSFLLPGSSLASVESLSMPLVHEVVYSADIRCAECQMRIADIISRMNDTDSVLVNVLENKVTLTSRYPGSTKLGPRQVPVIYRNPISTMAMIKKLFRLSRS >KJB50729 pep chromosome:Graimondii2_0_v6:8:46307747:46308925:1 gene:B456_008G184100 transcript:KJB50729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPSQVPKKDARRIEFNNPRSFLLPGSSLASVESLSMPLVHEVVYSADIRCAECQMRIADIISRMNADTDSVLVNVLENKVTLTSRYPGSTKLGPRQVPVIYRNPISTMAMIKKLFRLSRS >KJB50383 pep chromosome:Graimondii2_0_v6:8:43918309:43920106:-1 gene:B456_008G168000 transcript:KJB50383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVSSRAFRLYGKKLPNGTHSDIPMMLPLIDMCNHSFNSNARILQEQDAGNPKMLIKVVAEREIKQSDPLLLNYGCLSNDFFLLDYGFVIPSNPYDHIELKYDGALMDAASMAAGVSSPNFSSPAPWQQEILFQLNLDGEVPNLKVTIGGPELVEGRLLAALRVLLSNDREMVQRYDLSVLKSLSAEGPLGVANEVAAFRTIIALCVIALGHFPTKIMDDESLLKQGVSVSTELAIQFRMQKKSVIIDVMRDLTKRVKLLLSKETTTA >KJB50382 pep chromosome:Graimondii2_0_v6:8:43918275:43922924:-1 gene:B456_008G168000 transcript:KJB50382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKLLIPTLSHFRPLTCAAAVSASNPARLVPHPPDLLKWIKREGGFVHEAVTITQDTTYGLGLVASGGIPKGSDLIVLPEHVPLKFQSDKDDEADSVSLPLSNRVPEELWAMKLGLKLLQERAKVGSFWWPYISNLPETYTVPIFFSGEDIKNLQYAPLLYQVNKRCRFLLEFEQEVKNVLKNLKPSEHPFGGQDVDASSLGWAMSAVSSRAFRLYGKKLPNGTHSDIPMMLPLIDMCNHSFNSNARILQEQDAGNPKMLIKVVAEREIKQSDPLLLNYGCLSNDFFLLDYGFVIPSNPYDHIELKYDGALMDAASMAAGVSSPNFSSPAPWQQEILFQLNLDGEVPNLKVTIGGPELVEGRLLAALRVLLSNDREMVQRYDLSVLKSLSAEGPLGVANEVAAFRTIIALCVIALGHFPTKIMDDESLLKQGVSVSTELAIQFRMQKKSVIIDVMRDLTKRVKLLLSKETTTA >KJB50381 pep chromosome:Graimondii2_0_v6:8:43918623:43920293:-1 gene:B456_008G168000 transcript:KJB50381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGLKLLQERAKVGSFWWPYISNLPETYTVPIFFSGEDIKNLQYAPLLYQVNKRCRFLLEFEQEVKNVLKNLKPSEHPFGGQDVDASSLGWAMSAVSSRAFRLYGKKLPNGTHSDIPMMLPLIDMCNHSFNSNARILQEQDAGNPKMLIKVVAEREIKQSDPLLLNYGCLSNDFFLLDYGFVIPSNPYDHIELKYDGALMDAASMAAGVSSPNFSSPAPWQQEILFQLNLDGEVPNLKVTIGGPELVEGRLLAALRVLLSNDREMVQRYDLSVLKSLSAEGPLGVANEVAAFRTIIALCVIALGHFPTKIMDDESLLKQGVSVSTELAIQFRMQKKSVIIDVMRDLTKRVKLLLSKETTTA >KJB51635 pep chromosome:Graimondii2_0_v6:8:51270924:51273936:-1 gene:B456_008G226100 transcript:KJB51635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGPDEEDNRWPPWLKPLLGEHFFVQCKLHADSHKSECNMYCLDCMNGALCSLCLAHHKDHRYIQIRRSSYHDVIRVSEIQKYLDISGVQTYVINSAKVVFINERPQPRPGKGVTNTCEVCDRSLVDSFRFCSLGCKIVGTSKDFQKKKRHMAAMASDSEDSYSSSGHGKLNNKVQSFRPSTPPPTSVNYRTAKRRKGIPHRAPMGGLIIQY >KJB47009 pep chromosome:Graimondii2_0_v6:8:761050:763708:-1 gene:B456_008G006100 transcript:KJB47009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPCFISFFLLFNLLPFFQTLEASHCSIKGLPLVRNIADLPQDNYGRGGLSHITVAGSLLHGLKEVEVWLQTFAPGSRTPIHRHSCEEVFVVLKGSGTLYLASSSNKYPGKPEEHFIFSNSTFHIPVNDVHQVWNTNEHEDLQMLVIISRPPIKVYVIFLLSRFASTFSPLVDGCPFVFPLSS >KJB49615 pep chromosome:Graimondii2_0_v6:8:37083825:37085602:1 gene:B456_008G128800 transcript:KJB49615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELIISPSSSSSLVSFSQETPPSTLQQRLQFIVQSHQEWCTYAIFWQTSNDDHGRLFLAWEDGHFQGTKDTSPKSTPNNNNNNDMYSFQGLHNERRNVLKRLQALIGDNHDISGSLVDGTDITDAEWFYVMSLTRSFSLGDGVLGKVLSTGSLVWLTGAHELQFNGCERAREAQLHGIRTLVCIPTNRGVLELGSSDMIKENCEFVQQVKSLFGFDPNLTSGSTQFLERTISFPDIGLLAGIEEENGGPDNKTIRDLKPGQQSSYVDSENSDFDCPLLAVNNTENIRTPKKRGRKPCLRRDTPVNHVEAERQRREKLNHRFYALRAAVPNVSRMDKASLLSDAVTYITELKSKIKDLESQLRKVCNEKVKVETIDAMDNQSTTTSEEQAAARPSNSSSAATGRFSDLQLDVKVKGNDDAIIRVQSENVNYPSARLMSALRDLEFQVHHASMSCVNELMLQDIVAKIPYGLTSEEAIKSALLWRLHQ >KJB50242 pep chromosome:Graimondii2_0_v6:8:42570462:42573494:-1 gene:B456_008G160100 transcript:KJB50242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLIFFFFFFFFFFLLFGKLCLCDPRATEAALVCTNTTASITARESFVANFLAVMDSFTPLIVRQRYAAVVKGSGDTKVYGFGECMKDLDQGDCNICFAQCKAQIMRCLPFQIGTRGGRLFYDGCYLRYDDYNFFDESLSETDRTVCATKDVGLSNETDFRANVMQLVRNLTLEAPKNDGFFVGSMGKGNNVSVYGLAQCWELVNASACEDCLVNAVSRISSCLPKEEGRVLNAGCYLRYSTNKFYYNSTAPPVGGNRGRRKLAIILATTFSTMALVLIIATAIFFVNKKLVKKRQESKQLGALSPLLNKSKLNLSYESLEKATNYFSDTNKLGQGGSGSVYKGTLPNGKVVAIKRLFFNTRQWVDQFFNEVNLISGINHKNLVKLLGCSITGPESLLVYEFVSNQSLHDYLFVRKDVEPLRWEERYKIVLGTAEGLAYLHEESKLRIIHRDIKPGNILLDEDLTPKIADFGLVRLFPEDKTHISTAIAGTLGYMAPEYAVRGMLTQKADVYSFGVLVIEITCGKRNKCFSPDMVCILHMVWNQYEADKLGEVVDPVIEHHFREEACRLLQIGLLCVQASTELRPSMSTIVQMLTDDTCEIPCPTQPPFLSPSSSGFSLNITTSTSNYETESYIQSSGSNTMQSRMVPRWTAFIENVSDKVHEDELLCVSGITG >KJB50240 pep chromosome:Graimondii2_0_v6:8:42570437:42573626:-1 gene:B456_008G160100 transcript:KJB50240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLIFFFFFFFFFFLLFGKLCLCDPRATEAALVCTNTTASITARESFVANFLAVMDSFTPLIVRQRYAAVVKGSGDTKVYGFGECMKDLDQGDCNICFAQCKAQIMRCLPFQIGTRGGRLFYDGCYLRYDDYNFFDESLSETDRTVCATKDVGLSNETDFRANVMQLVRNLTLEAPKNDGFFVGSMGKGNNVSVYGLAQCWELVNASACEDCLVNAVSRISSCLPKEEGRVLNAGCYLRYSTNKFYYNSTAPPVGGNRARRKLAIILATTFSTMALVLIIATAIFFVNKKLVKKRQESKQLGALSPLLNKSKLNLSYESLEKATNYFSDTNKLGQGGSGSVYKGTLPNGKVVAIKRLFFNTRQWVDQFFNEVNLISGINHKNLVKLLGCSITGPESLLVYEFVSNQSLHDYLFVRKDVEPLRWEERYKIVLGTAEGLAYLHEESKLRIIHRDIKPGNILLDEDLTPKIADFGLVRLFPEDKTHISTAIAGTLGYMAPEYAVRGMLTQKADVYSFGVLVIEITCGKRNKCFSPDMVCILHMVWNQYEADKLGEVVDPVIEHHFREEACRLLQIGLLCVQASTELRPSMSTIVQMLTDDTCEIPCPTQPPFLSPSSSGFSLNITTSTSNYETESYIQSSGSNTMQSRMVPRWTAFIENVSDKVHEDELLCVSGITG >KJB50241 pep chromosome:Graimondii2_0_v6:8:42570462:42573470:-1 gene:B456_008G160100 transcript:KJB50241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLIFFFFFFFFFFLLFGKLCLCDPRATEAALVCTNTTASITARESFVANFLAVMDSFTPLIVRQRYAAVVKGSGDTKVYGFGECMKDLDQGDCNICFAQCKAQIMRCLPFQIGTRGGRLFYDGCYLRYDDYNFFDESLSETDRTVCATKDVGLSNETDFRANVMQLVRNLTLEAPKNDGFFVGSMGKGNNVSVYGLAQCWELVNASACEDCLVNAVSRISSCLPKEEGRVLNAGCYLRYSTNKFYYNSTAPPVGGNRARRKLAIILATTFSTMALVLIIATAIFFVNKKLVKKRQESKQLGALSPLLNKSKLNLSYESLEKATNYFSDTNKLGQGGSGSVYKGTLPNGKVVAIKRLFFNTRQWVDQFFNEVNLISGINHKNLVKLLGCSITGPESLLVYEFVSNQSLHDYLFVRKDVEPLRWEERYKIVLGTAEGLAYLHEESKLRIIHRDIKPGNILLDEDLTPKIADFGLVRLFPEDKTHISTAIAGTLGYMAPEYAVRGMLTQKADVYSFGVLVIEITCGKRNKCFSPDMVCILHMIYIFFELEGMESI >KJB52111 pep chromosome:Graimondii2_0_v6:8:53110853:53113342:-1 gene:B456_008G246900 transcript:KJB52111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYLDLGGCRKRRRGGEKVFKFKTFGENGCPVEFDGSFRDNVKALVEYGHLEVNLCGNGVFSWSFQLEVHRHPPLHVVLFIVEEQIQASSSSSINLHCKHCQYVGWGHHMMCNKKYHFLLPSKDTVAACFNCDETSFDDPNPEKGKFNLVELKGHIMHGVFHSNGFGHLLCVNGMEMGSDQLAGYQIMEFWDRLCTGLQARKVSLNDSSKKRGMELRLLYGVAYGHPWFGRWGYKFGRGCYGINQPIYQKAIEAIQGIPLCLLNHHLSISNNDISVIFSRYQALSDHSMVTLGDLFHFMLELRSRLPKENSIDSCNPATVETNCRWSPKRVEAATRVIVEALKNAEFRWVSRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYLVRRCLNPVTKVLEYCLEDISTVFPNSEALIINNSKAKPRCKITKVQLMKDIAYLYKHILKDQKPTLSTGVFSAIPMATRIILDTKFLVKEYTEELSMKGNSNCSNGKTFKLLCTVISKSDEIDEELKKPLPPYESVCLKENATFDELKQEVQKNFREVYWRLRSFVVETIVNLSVKGLDLVMGSVEMGQKLVFIGSNEDQIGMDDGGGSGIVDCLCGAKEDDGERLISCDICEVWQHTRCVRIPNNEEIPHVFLCKQCEEKIVFLSSLP >KJB49500 pep chromosome:Graimondii2_0_v6:8:36059626:36059916:1 gene:B456_008G122400 transcript:KJB49500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKFLPLVSSSTKATLTINNFEAGGDGGGPSECDNQHHSGNDPVEALSIGWYNHGKRCLKDINICGNGKSVSAKVVDKCDFDDSYPNNIVYALKIV >KJB52919 pep chromosome:Graimondii2_0_v6:8:55954551:55957166:1 gene:B456_008G283600 transcript:KJB52919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKEGPTLGVTVKGDECLDGFHVASYVGPTAPSIVAPPPSMVVPPGHGNGSEMMKKKRGRPRKYAPDGSLAITLSPMPISASIPMNGDFPGWNQGKAQPVDTFIKKSLNYELETNPGDKIAYFVGTNFTPHVITVNAGEDVSMKVMFFSQQGAHAICVLSANGTISNVTLRQPTSSGGTLTYEGHFEILSLSGSYMPTNNGGTKSRSGGMSISLAGPDGRVLGGGLAGLLVAAGPVQVKTILYERY >KJB52920 pep chromosome:Graimondii2_0_v6:8:55954200:55957706:1 gene:B456_008G283600 transcript:KJB52920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKEGPTLGVTVKGDECLDGFHVASYVGPTAPSIVAPPPSMVVPPGHGNGSEMMKKKRGRPRKYAPDGSLAITLSPMPISASIPMNGDFPGWNQGKAQPVDTFIKKSLNYELETNPGDKIAYFVGTNFTPHVITVNAGEDVSMKVMFFSQQGAHAICVLSANGTISNVTLRQPTSSGGTLTYEGHFEILSLSGSYMPTNNGGTKSRSGGMSISLAGPDGRVLGGGLAGLLVAAGPVQVVVGSFLPGHKQEQKHKKQRIEPTVTIISPTATHSMPADINVSYGRIKPIFTYSFHGDNSGSLNPIQSYRNSSIDNNSPSAENESNSRSLSQCQVSS >KJB52626 pep chromosome:Graimondii2_0_v6:8:54974107:54976382:-1 gene:B456_008G270700 transcript:KJB52626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNLCEFSDEPEFVGEDDLFSIFESLDGLIDFPVPFTPLEEMTTATTAAAVGVGGGSAQKSTPLMESETEETSPKTKRQKLGSLEETMNTDGPQRMSHITVERNRRKQMNEHLSVLRSLMPCFYVKRGDQASIIGGVVDYINELQQVLQALEAKKQRKVYSEVLSPRVVLSPRPSPLSPRKPPLSPRLNLPISPRTPQPGSPYKPRLQKGYLSPTMAASTSLEPSPTSSTSSVDNNNELVANSKSHVADVEVKFSGPNLVLKTVSPRIPGQALKIISALEELSLEILNVDIKTVDETMLNSFTIKIGIECQLSAEELAQQIQQTFGHC >KJB48586 pep chromosome:Graimondii2_0_v6:8:14258925:14259676:-1 gene:B456_008G076500 transcript:KJB48586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRLGKDFLQRIKQNGFIDLKNRKGQFEYRVTQESLMDRLTTAACAACLSIDQNCRVLTIYGSMDKIVPAKDALEFARFIRNHKLHIIEGADHEYTAHQDELATVVLDFVKAVREDRNTAELLQSCEKVVNFIKARI >KJB48587 pep chromosome:Graimondii2_0_v6:8:14258778:14260457:-1 gene:B456_008G076500 transcript:KJB48587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCFSMLQSTMMYPPSSIFLVGSIWRKAWKVDWVKIFYKELSRMGLLILRIEKFEYRVTQESLMDRLTTAACAACLSIDQNCRVLTIYGSMDKIVPAKDALEFARFIRNHKLHIIEGADHEYTAHQDELATVVLDFVKAVREDRNTAELLQSCEKVVNFIKARI >KJB48709 pep chromosome:Graimondii2_0_v6:8:16787008:16789252:-1 gene:B456_008G082600 transcript:KJB48709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMELMIICLFFLCFLGLTGAGQESIEYLRLYDTTPEVIHALPHGDVPIAVAVNGNVLREVSSSVLKAESWVRLHALAHFPAAKITTILVGDTILCQNGKGEEDSLGFLLPSLKNIYHSLTRWGLEKDIKVSAVFSSDCLMQNSVVFTDDLGKKVVKSLLEFFQNTNSTYSIIAPPNLSSSLHETLVLLSSHLDFMKRFGSFELRKVNVVFPSQQPKKPISRKLSMMDSKFERPFPDRPSPLPQTAPSTGISAPANVAKTPHPPQYPIASLPPISFPVDSPPPFSFPIAPELPPPFVPASSPSGFHLPPCNPAYDTAPAPETVVVQKLWCVAKPSVPTETLQEAMDYACGEGGADCKELMPDGSCFYPDTIVAHASYAYNSYWQKTKRNGGTCNFGGTAMIINADPSNLTHTLFTLKFKLYCLFNYNMVSCLFQVSLSVGLFSAEEWRMLGLFEYNVGCVGSDCKVECLVFTLYS >KJB48708 pep chromosome:Graimondii2_0_v6:8:16786997:16789252:-1 gene:B456_008G082600 transcript:KJB48708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFSFAGAGQESIEYLRLYDTTPEVIHALPHGDVPIAVAVNGNVLREVSSSVLKAESWVRLHALAHFPAAKITTILVGDTILCQNGKGEEDSLGFLLPSLKNIYHSLTRWGLEKDIKVSAVFSSDCLMQNSVVFTDDLGKKVVKSLLEFFQNTNSTYSIIAPPNLSSSLHETLVLLSSHLDFMKRFGSFELRKVNVVFPSQQPKKPISRKLSMMDSKFERPFPDRPSPLPQTAPSTGISAPANVAKTPHPPQYPIASLPPISFPVDSPPPFSFPIAPELPPPFVPASSPSGFHLPPCNPAYDTAPAPETVVVQKLWCVAKPSVPTETLQEAMDYACGEGGADCKELMPDGSCFYPDTIVAHASYAYNSYWQKTKRNGGTCNFGGTAMIINADPSFLECRFVLS >KJB48707 pep chromosome:Graimondii2_0_v6:8:16786771:16789289:-1 gene:B456_008G082600 transcript:KJB48707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMELMIICLFFLCFLGLTGAGQESIEYLRLYDTTPEVIHALPHGDVPIAVAVNGNVLREVSSSVLKAESWVRLHALAHFPAAKITTILVGDTILCQNGKGEEDSLGFLLPSLKNIYHSLTRWGLEKDIKVSAVFSSDCLMQNSVVFTDDLGKKVVKSLLEFFQNTNSTYSIIAPPNLSSSLHETLVLLSSHLDFMKRFGSFELRKVNVVFPSQQPKKPISRKLSMMDSKFERPFPDRPSPLPQTAPSTGISAPANVAKTPHPPQYPIASLPPISFPVDSPPPFSFPIAPELPPPFVPASSPSGFHLPPCNPAYDTAPAPETVVVQKLWCVAKPSVPTETLQEAMDYACGEGGADCKELMPDGSCFYPDTIVAHASYAYNSYWQKTKRNGGTCNFGGTAMIINADPSFLECRFVLS >KJB52587 pep chromosome:Graimondii2_0_v6:8:54766491:54768707:-1 gene:B456_008G269000 transcript:KJB52587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSCSGRRLRTMASSLSLPQTTISDILSRLPVKSLTRFKSVSKDWAYLTSTPAFVSDHLRRSSSDPSLIVRCYNTQSGFDSVILLITDPTQNFACRHLAVPLDEPLPLFPKIVGSIGGLVCLDVSPCYASDFVLWNPGTKQFKRLPFPLIASTRSNPIWLVFVGFGFDSFNNDYKLVRIVSFKRNDGLPFVRAEVYSWKEGFWKELEDTVCFDSAIICGGQDGVVVVDGSLNWVAIGLQRYADRKVVISFDMRREVFKTIPLPALTRIGNVKVMSYMGLLAIAVYPLVFAANGNNMNRFEFSVLSDCEDGRKHWTNVVLAENFSKSLVPMGTWRDRELMIKQMGDRDNHPKLFLYDPIDERTKRLPIDCVDLYLQCYSHVESLVSVDGRN >KJB49273 pep chromosome:Graimondii2_0_v6:8:34161310:34162832:-1 gene:B456_008G110400 transcript:KJB49273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNSKQFCPGSHVMIYLLVAIAVYVFVWFSSPFFGNYNETNSSPSNFPGIGVKDELELALREASMPNRTVIIAVINKAYVERSVNEEATMLDLFLESFWVGENTRSLLEHLLLVAVDQTAYERCQFRRLHCYRLVTEGVDFAGEKVYMSQDFINMMWRRTLFLLEILKRGYNFIFTDIDVMWFRNPFLRLSPLKEADLEISVDTFNDDPRPENKYINTGFYYIRSNSKTISLFHTWYSQKNNSTGKKEQDVLQDLNRGGLLQKLDLKVKFLETRYFSGFCQDSKDITAVTTMHANCCRNSKAKFRDLTTALRDWKQFKAAVFQHPEIIDRIGLDFKWTAHTECLNSWQ >KJB49911 pep chromosome:Graimondii2_0_v6:8:39786333:39787361:-1 gene:B456_008G145300 transcript:KJB49911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMANTNVYLTLLLLLSVLKWVQSTPHSSTNYVQDACSVTRYRALCINTLAPFSSTAKTSPSKWARAGVSITIGETKKVTQYLMKVKNYRTMKGSYKIPLSDCIECLQDAIDQLHGSLGVLRKLNARNFYAQMGDITTWLSAVLTDHETCLDGFENPRGKQAKMVRNRVLRSSYFTSNALALVNKLATSGLDNTVA >KJB49792 pep chromosome:Graimondii2_0_v6:8:38863163:38865761:1 gene:B456_008G138400 transcript:KJB49792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRFLLLAFLVFGFGLTRRVNSHEESGERSCVSNSEEMHIQADFKPGIITLDGCANDWEDIDGSEFSLRPALDPDEDHEYKDGKMTVKALHDGNNVFFLLQVDGNYVYSKGDIKKCPSVALMFQIGDNATYHNMGGCKEQKGSCTNKTCKGHEVDLMHFVIGNAIPGRLYGGGDNSFGPLVDAYAWNPHCRYLNGMGPSGNHSSGQNNWKGAWWHSSFADKSGFVEEDSPYSKGGEKGTYYFEFARPLRTMDRLQQFTIDWSSKMSVAFWYPVDGKQWVGSGHYTINCDWASLDIACGSVPTDASRSGWDMASAFALLFSVAALCIAIFVSYHVSRPNTVKFAPVENL >KJB49789 pep chromosome:Graimondii2_0_v6:8:38863100:38865772:1 gene:B456_008G138400 transcript:KJB49789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIERILATNFLLLSATLFHWSANECNPPQKKGYYKQSNKNGSTCFYNDFSTSTMVVRFLLLAFLVFGFGLTRRVNSHEESGERSCVSNSEEMHIQADFKPGIITLDGCANDWEDIDGSEFSLRPALDPDEDHEYKDGKMTVKALHDGNNVFFLLQVDGNYVYSKGDIKKCPSVALMFQIGDNATYHNMGGCKEQKGSCTNKTCKGHEVDLMHFVIGNAIPGRLYGGGDNSFGPLVDAYAWNPHCRYLNGMGPSGNHSSGQNNWKGAWWHSSFADKSGCSVHN >KJB49791 pep chromosome:Graimondii2_0_v6:8:38863163:38865761:1 gene:B456_008G138400 transcript:KJB49791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRFLLLAFLVFGFGLTRRVNSHEESGERSCVSNSEEMHIQADFKPGIITLDGCANDWEDIDGSEFSLRPALDPDEDHEYKDGKMTVKALHDGNNVFFLLQVDGNYVYSKGDIKKCPSVALMFQIGDNATYHNMGGCKEQKGSCTNKTCKGHEVDLMHFVIGNAIPGRLYGGGDNSFGPLVDAYAWNPHCRYLNGMGPSGFVEEDSPYSKGGEKGTYYFEFARPLRTMDRLQQDVQFTIDWSSKMSVAFWYPVDGKQWVGSGHYTINCDWASLDIACGSVPTDASRSGWDMASAFALLFSVAALCIAIFVSYHVSRPNTVKFAPVENL >KJB49790 pep chromosome:Graimondii2_0_v6:8:38863100:38865772:1 gene:B456_008G138400 transcript:KJB49790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIERILATNFLLLSATLFHWSANECNPPQKKGYYKQSNKNGSTCFYNDFSTSTMVVRFLLLAFLVFGFGLTRRVNSHEESGERSCVSNSEEMHIQADFKPGIITLDGCANDWEDIDGSEFSLRPALDPDEDHEYKDGKMTVKALHDGNNVFFLLQVDGNYVYSKGDIKKCPSVALMFQIGDNATYHNMGGCKEQKGSCTNKTCKGHEVDLMHFVIGNAIPGRLYGGGDNSFGPLVDAYAWNPHCRYLNGMGPSGNHSSGQNNWKGAWWHSSFADKSGFVEEDSPYSKGGEKGTYYFEFARPLRTMDRLQQDVQFTIDWSSKMSVAFWYPVDGKQWVGSGHYTINCDWASLDIACGSVPTDASRSGWDMASAFALLFSVAALCIAIFVSYHVSRPNTVKFAPVENL >KJB48472 pep chromosome:Graimondii2_0_v6:8:11863284:11868322:-1 gene:B456_008G070600 transcript:KJB48472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLTKILKGSSNKGRYHERYGDGRTWDEPRRSTEGSDGFDKDEIDCAIAISLSEVDPKGKKVIEDESEPEEESDEDVAVDGHIEDDDEKYVESHVEEEEDSYAKAHEEKEDDRHAKVQQEEDEDLAKVQLEEDEQLAKAIQESLNVESPPRSGHGGLFSPYPFFFSASYRICAGCNAEIGHGRYLSCMGSVWHPECFRCHACNQPINDYEFSVSGNRPFHKSCYKEQHHPKCDVCRKFIPTNPAGLIEYRAHPYWMQKYCPSHERDGTPRCCSCERMEPVDVKYISLDDGRRLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDMITEPHRLIRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLSPEVEEGICQVLAHMWLDSEIYAAAGSDAASSSSSSSASSSSSSSPSSSSSTSSKKGKRSDFEKKLGGFFKHQIESDSSTAYGEGFRQGNQAVNKYGLKRTLDHIRMTGSFPF >KJB48475 pep chromosome:Graimondii2_0_v6:8:11863284:11868980:-1 gene:B456_008G070600 transcript:KJB48475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLTKILKGSSNKGRYHERYGDGRTWDEPRRSTEGSDGFDKDEIDCAIAISLSEVDPKGKKVIDESEPEEESDEDVAVDGHIEDDDEKYVESHVEEEEDSYAKAHEEKEDDRHAKVQQEEDEDLAKVQLEEDEQLAKAIQESLNVESPPRSGHGGLFSPYPFFFSASYRICAGCNAEIGHGRYLSCMGSVWHPECFRCHACNQPINDYEFSVSGNRPFHKSCYKEQHHPKCDVCRKFIPTNPAGLIEYRAHPYWMQKYCPSHERDGTPRCCSCERMEPVDVKYISLDDGRRLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDMITEPHRLIRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLSPEVEEGICQVLAHMWLDSEIYAAAGSDAASSSSSSSASSSSSSSPSSSSSTSSKKGKRSDFEKKLGGFFKHQIESDSSTAYGEGFRQGNQAVNKYGLKRTLDHIRMTGSFPF >KJB48473 pep chromosome:Graimondii2_0_v6:8:11863284:11868818:-1 gene:B456_008G070600 transcript:KJB48473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLTKILKGSSNKGRYHERYGDGRTWDEPRRSTEGSDGFDKDEIDCAIAISLSEVDPKGKKVIEDESEPEEESDEDVAVDGHIEDDDEKYVESHVEEEEDSYAKAHEEKEDDRHAKVQQEEDEDLAKVQLEEDEQLAKAIQESLNVESPPRSGHGGLFSPYPFFFSASYRICAGCNAEIGHGRYLSCMGSVWHPECFRCHACNQPINDYEFSVSGNRPFHKSCYKEQHHPKCDVCRKFIPTNPAGLIEYRAHPYWMQKYCPSHERDGTPRCCSCERMEPVDVKYISLDDGRRLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDMITEPHRLIRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLSPEVEEGICQVLAHMWLDSEIYAAAGSDAASSSSSSSASSSSSSSPSSSSSTSSKKGKRSDFEKKLGGFFKHQIESDSSTAYGEGFRQGNQAVNKYGLKRTLDHIRMTGSFPF >KJB48474 pep chromosome:Graimondii2_0_v6:8:11863253:11868986:-1 gene:B456_008G070600 transcript:KJB48474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLTKILKGSSNKGRYHERYGDGRTWDEPRRSTEGSDGFDKDEIDCAIAISLSEVDPKGKKVIEDESEPEEESDEDVAVDGHIEDDDEKYVESHVEEEEDSYAKAHEEKEDDRHAKVQQEEDEDLAKVQLEEDEQLAKAIQESLNVESPPRSGHGGLFSPYPFFFSASYRICAGCNAEIGHGRYLSCMGSVWHPECFRCHACNQPINDYEFSVSGNRPFHKSCYKEQHHPKCDVCRKFIPTNPAGLIEYRAHPYWMQKYCPSHERDGTPRCCSCERMEPVDVKYISLDDGRRLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDMITEPHRLIRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLSPEVEEGICQVLAHMWLDSEIYAAAGSDAASSSSSSSASSSSSSSPSSSSSTSSKKGKRSDFEKKLGGFFKHQIESDSSTAYGEGFRQGNQAVNKYGLKRTLDHIRMTGSFPF >KJB49034 pep chromosome:Graimondii2_0_v6:8:28276902:28278056:1 gene:B456_008G098900 transcript:KJB49034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLEGFKDIVPGVRQTGSKMDKLNSQLYWHNYCIIKENERLRKKAQQLNQENQALLSELRQKLAKKGRSNPDQGSCLCSTSNPNYNQPHKP >KJB49035 pep chromosome:Graimondii2_0_v6:8:28277253:28278002:1 gene:B456_008G098900 transcript:KJB49035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNTGRILQKFQEWEQHRFKDIVPGVRQTGSKMDKLNSQLYWHNYCIIKENERLRKKAQQLNQENQALLSELRQKLAKKGRSNPDQGSCLCSTSNPNYNQPHKP >KJB46729 pep chromosome:Graimondii2_0_v6:8:6883759:6898577:1 gene:B456_008G049300 transcript:KJB46729 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKR1 [Source:Projected from Arabidopsis thaliana (AT5G44800) UniProtKB/TrEMBL;Acc:A0A178UKW2] MKDISSLNSKMINRNWVLKRKRRKLPCGPSLANGKEENLLASESPRGSSAKRRLKGEISTDQSSSKKKGNDGYYYECVICDLGGNLLCCDNCPRTYHLQCLDPPLKRIPMGKWQCPKCCKKTDSLKPITHLDSISKRARSKTIKTKAQTGIKSPTTEKVSRIFGTSIIAKKRSSSSKGKSDVAQGVDTLKKEPETSHIDVPSTPKPSLTSIGGAEEGGASCVNVDDEKTPVASPTGSSAERKLTPVAGGSSCMNVDDGMKPVASPTGSSAERKLTPVAGEVLFHSKSTNSEKNDEAPEAKHELSCDNESPTDKVVLAIGVATRKDRKRKQKVSDEASQKKRKSDKGKRTVSTSKKKGSKANNIGPGTSKTHQKQKQKPVNHGVSASLLKDDDGSKNFDTQRKDEKLSEGAMQQSNELDKGILNPPLRCEDGVPAELLQVDRVLGCRVQVNPSRLSEENSVCDIDSDTVTAENLTEGCPKTLKGSDKEESTKNDVRVDKMNVYRRSVTKKCKGGDSLDLLNKDTKDSDCAIINGKDQDESVVSVEDSGKRNEKTVVEELTADVNVKSHGTTEAPKVCETPAKMKEMGAEMKICSSVENKVQEPAVIESACSKEETVSYEFFVKWVGMSHIHNSWISESQLKILAKRKLENYKAKYGTTVINICEEKWKKPQRVISLRVTNNGQEAFVKWTGLPYDECTWERLDEPVLQQSSHLIDLFEQFERQTLEKDATKDEARAKGEQQHDIVTLAEQPKELKGGSLFPHQLEALNWLRRCWHKSKNVILADEMGLGKTVSAVAFISSLYFEFKATLPCLVLVPLSTMPNWLAEFSLWAPDLNVVEYHGCAKARAIIRQYEWHASDSNELSRKTASYKFNVLLTTYEMILVDSSHLRGVPWEVLVVDEGHRLKNSGSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKRDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPDSGSLEFLHEMRIKASAKLTLLHSMLKVLYREGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVADRQTAISRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFIDSSSGKDSGEGNNNKEDALVDTDHKHRKRVGGLGDVYQDKCTNGSNKIVWDESAILKLLDRTNLQSGPTDAEGDLENDMLGSVKSVEWNDETTEEPGGGESPPAVADDILEQTSEKKEDNVLNGTEENEWDKLLRVRWEKYQSEEEAALGRGKRQRKAVSYREAYTPHPNETTTESGGEEEKEPETEPERDYTPAGRALKAKYTKLRARQKERLARRNAIEEVHPSEGFPGLESVAQCPSMNGREVDHVNQSDQQSDKDKCLVIDLEDDKHAQSLDQPKNKDDSILRLGRLSKHKTSGQLDLSVNPLHQSSPDMILPSSNHQGTSYNQSLPSNNLLPVLGLCAPNASQFDSFHKNFSRSNGRQSRPGTGPEFPFNLAPTTGASIEKEAKGQETTLDKFKLQDSPPEVLQRLKIGNQDSWLPFNPYPSASSQGKIFERLENSGASSSDFQEKMPLPNLPFDEKLLPRFSLPTKGMMTSHHDLLPSLSLGSRLDAVTESVQDLPTMPLLPNLKYPPQDVPRYNQQERDMPPTLGLGQLPPISSFPENHRRVLENIMMRTGSGSGNLYKKKSKVEGWSEDELDFLWIGVRRHGRGSWDAMLRDPRLRFSKYKTSEDLAARWEEEQLKILDGPAFPVPKFPKLTKTTKPSSLFPSIPDGMMTRALQGSRFVAPSKFQTHLTDMKLGFGDLASSLPHFELSDQLGLQNDSFPPIPTWNPDKSRANFSGDSVAGPSDRPGPSVNVPGEKSFFLNSFGASNLGSNLNCSSSHDLHRKEDDYGSMKHGKLPSVLDKSLNMLRDSLNNGGNGESASSGFLSDPNKGLNLSYSKGKEVAGNSSSKNKLPHWLREAVSAPAKPPDPDLPPTVSAIAQSVRVLYGEDKPTIPPFVVPGPPPPQPKDPRHSLKKKKKRKSHMFRQVLPDTAGSSSLSPACSIPLAPPFQLLPQSVTGAAGLPLIESDYSRSPLNLSMMNPSSSSAYLIPPKKSSMGLSPSPEVLQLVASCVAPGPHLSLTSGMTNSSLHDGKLPLPKPVNEVGYPDSLGVSVKGKAKLSPTIDVQDQSPEERQDEPDCGDSSKTQSDHSRPEQPDVEEISSEGTVSDHPVSEHEAGIQE >KJB46726 pep chromosome:Graimondii2_0_v6:8:6883759:6898577:1 gene:B456_008G049300 transcript:KJB46726 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKR1 [Source:Projected from Arabidopsis thaliana (AT5G44800) UniProtKB/TrEMBL;Acc:A0A178UKW2] MKDISSLNSKMINRNWVLKRKRRKLPCGPSLANGKEENLLASESPRGSSAKRRLKGEISTDQSSSKKKGNDGYYYECVICDLGGNLLCCDNCPRTYHLQCLDPPLKRIPMGKWQCPKCCKKTDSLKPITHLDSISKRARSKTIKTKAQTGIKSPTTEKVSRIFGTSIIAKKRSSSSKGKSDVAQGVDTLKKEPETSHIDVPSTPKPSLTSIGGAEEGGASCVNVDDEKTPVASPTGSSAERKLTPVAGGSSCMNVDDGMKPVASPTGSSAERKLTPVAGEVLFHSKSTNSEKNDEAPEAKHELSCDNESPTDKVVLAIGVATRKDRKRKQKVSDEASQKKRKSDKGKRTVSTSKKKGSKANNIGPGTSKTHQKQKQKPVNHGVSASLLKDDDGSKNFDTQRKDEKLSEGAMQQSNELDKGILNPPLRCEDGVPAELLQVDRVLGCRVQVNPSRLSEENSVCDIDSDTVTAENLTEGCPKTLKGSDKEESTKNDVRVDKMNVYRRSVTKKCKGGDSLDLLNKDTKDSDCAIINGKDQDESVVSVEDSGKRNEKTVVEELTADVNVKSHGTTEAPKVCETPAKMKEMGAEMKICSSVENKVQEPAVIESACSKEETVSYEFFVKWVGMSHIHNSWISESQLKILAKRKLENYKAKYGTTVINICEEKWKKPQRVISLRVTNNGQEAFVKWTGLPYDECTWERLDEPVLQQSSHLIDLFEQFERQTLEKDATKDEARAKGEQQHDIVTLAEQPKELKGGSLFPHQLEALNWLRRCWHKSKNVILADEMGLGKTVSAVAFISSLYFEFKATLPCLVLVPLSTMPNWLAEFSLWAPDLNVVEYHGCAKARAIIRQYEWHASDSNELSRKTASYKFNVLLTTYEMILVDSSHLRGVPWEVLVVDEGHRLKNSGSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKRDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPDSGSLEFLHEMRIKASAKLTLLHSMLKVLYREGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVADRQTAISRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFIDSSSGKDSGEGNNNKEDALVDTDHKHRKRVGGLGDVYQDKCTNGSNKIVWDESAILKLLDRTNLQSGPTDAEGDLENDMLGSVKSVEWNDETTEEPGGGESPPAVADDILEQTSEKKEDNVLNGTEENEWDKLLRVRWEKYQSEEEAALGRGKRQRKAVSYREAYTPHPNETTTESGGEEEKEPETEPERDYTPAGRALKAKYTKLRARQKERLARRNAIEEVHPSEGFPGLESVAQCPSMNGREVDHVNQSDQQSDKDKCLVIDLEDDKHAQSLDQPKNKDDSILRLGRLSKHKTSGQLDLSVNPLHQSSPDMILPSSNHQGTSYNQSLPSNNLLPVLGLCAPNASQFDSFHKNFSRSNGRQSRPGTGPEFPFNLAPTTGASIEKEAKGQETTLDKFKLQDSPPEVLQRLKIGNQDSWLPFNPYPSASSQGKIFERLENSGASSSDFQEKMPLPNLPFDEKLLPRFSLPTKGMMTSHHDLLPSLSLGSRLDAVTESVQDLPTMPLLPNLKYPPQDVPRYNQQERDMPPTLGLGQLPPISSFPENHRRVLENIMMRTGSGSGNLYKKKSKVEGWSEDELDFLWIGVRRHGRGSWDAMLRDPRLRFSKYKTSEDLAARWEEEQLKILDGPAFPVPKFPKLTKTTKPSSLFPSIPDGMMTRALQGSRFVAPSKFQTHLTDMKLGFGDLASSLPHFELSDQLGLQNDSFPPIPTWNPDKSRANFSGDSVAGPSDRPGPSVNVPGEKSFFLNSFGASNLGSNLNCSSSHDLHRKEDDYGSMKHGKLPSVLDKSLNMLRDSLNNGGNGESASSGFLSDPNKGLNLSYSKGKEVAGNSSSKNKLPHWLREAVSAPAKPPDPDLPPTVSAIAQSVRVLYGEDKPTIPPFVVPGPPPPQPKDPRHSLKKKKKRKSHMFRQVLPDTAGSSSLSPACSIPLAPPFQLLPQSVTGAAGLPLIESDYSRSPLNLSMMNPSSSSAYLIPPKKSSMGLSPSPEVLQLVASCVAPGPHLSLTSGMTNSSLHDGKLPLPKPVNEVGYPDSLGVSVKGKAKLSPTIDVQDQSPEERQDEPDCGDSSKTQSDHSRPEQPDVEEISSEGTVSDHPVSEHEAGIQE >KJB46728 pep chromosome:Graimondii2_0_v6:8:6884407:6898012:1 gene:B456_008G049300 transcript:KJB46728 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKR1 [Source:Projected from Arabidopsis thaliana (AT5G44800) UniProtKB/TrEMBL;Acc:A0A178UKW2] MKDISSLNSKMINRNWVLKRKRRKLPCGPSLANGKEENLLASESPRGSSAKRRLKGEISTDQSSSKKKGNDGYYYECVICDLGGNLLCCDNCPRTYHLQCLDPPLKRIPMGKWQCPKCCKKTDSLKPITHLDSISKRARSKTIKTKAQTGIKSPTTEKVSRIFGTSIIAKKRSSSSKGKSDVAQGVDTLKKEPETSHIDVPSTPKPSLTSIGGAEEGGASCVNVDDEKTPVASPTGSSAERKLTPVAGGSSCMNVDDGMKPVASPTGSSAERKLTPVAGEVLFHSKSTNSEKNDEAPEAKHELSCDNESPTDKVVLAIGVATRKDRKRKQKVSDEASQKKRKSDKGKRTVSTSKKKGSKANNIGPGTSKTHQKQKQKPVNHGVSASLLKDDDGSKNFDTQRKDELSEGAMQQSNELDKGILNPPLRCEDGVPAELLQVDRVLGCRVQGDNASILHHASAALSEDMLSDDFVIAVNPSRLSEENSVCDIDSDTVTAENLTEGCPKTLKGSDKEESTKNDVRVDKMNVYRRSVTKKCKGGDSLDLLNKDTKDSDCAIINGKDQDESVVSVEDSGKRNEKTVVEELTADVNVKSHGTTEAPKVCETPAKMKEMGAEMKICSSVENKVQEPAVIESACSKEETVSYEFFVKWVGMSHIHNSWISESQLKILAKRKLENYKAKYGTTVINICEEKWKKPQRVISLRVTNNGQEAFVKWTGLPYDECTWERLDEPVLQQSSHLIDLFEQFERQTLEKDATKDEARAKGEQQHDIVTLAEQPKELKGGSLFPHQLEALNWLRRCWHKSKNVILADEMGLGKTVSAVAFISSLYFEFKATLPCLVLVPLSTMPNWLAEFSLWAPDLNVVEYHGCAKARAIIRQYEWHASDSNELSRKTASYKFNVLLTTYEMILVDSSHLRGVPWEVLVVDEGHRLKNSGSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKRDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPDSGSLEFLHEMRIKASAKLTLLHSMLKVLYREGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVADRQTAISRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFIDSSSGKDSGEGNNNKEDALVDTDHKHRKRVGGLGDVYQDKCTNGSNKIVWDESAILKLLDRTNLQSGPTDAEGDLENDMLGSVKSVEWNDETTEEPGGGESPPAVADDILEQTSEKKEDNVLNGTEENEWDKLLRVRWEKYQSEEEAALGRGKRQRKAVSYREAYTPHPNETTTESGGEEEKEPETEPERDYTPAGRALKAKYTKLRARQKERLARRNAIEEVHPSEGFPGLESVAQCPSMNGREVDHVNQSDQQSDKDKCLVIDLEDDKHAQSLDQPKNKDDSILRLGRLSKHKTSGQLDLSVNPLHQSSPDMILPSSNHQGTSYNQSLPSNNLLPVLGLCAPNASQFDSFHKNFSRSNGRQSRPGTGPEFPFNLAPTTGASIEKEAKGQETTLDKFKLQDSPPEVLQRLKIGNQDSWLPFNPYPSASSQGKIFERLENSGASSSDFQEKMPLPNLPFDEKLLPRFSLPTKGMMTSHHDLLPSLSLGSRLDAVTESVQDLPTMPLLPNLKYPPQDVPRYNQQERDMPPTLGLGQLPPISSFPENHRRVLENIMMRTGSGSGNLYKKKSKVEGWSEDELDFLWIGVRRHGRGSWDAMLRDPRLRFSKYKTSEDLAARWEEEQLKILDGPAFPVPKFPKLTKTTKPSSLFPSIPDGMMTRALQGSRFVAPSKFQTHLTDMKLGFGDLASSLPHFELSDQLGLQNDSFPPIPTWNPDKSRANFSGDSVAGPSDRPGPSVNVPGEKSFFLNSFGASNLGSNLNCSSSHDLHRKEDDYGSMKHGKLPSVLDKSLNMLRDSLNNGGNGESASSGFLSDPNKGLNLSYSKGKEVAGNSSSKNKLPHWLREAVSAPAKPPDPDLPPTVSAIAQSVRVLYGEDKPTIPPFVVPGPPPPQPKDPRHSLKKKKKRKSHMFRQVLPDTAGSSSLSPACSIPLAPPFQLLPQSVTGAAGLPLIESDYSRSPLNLSMMNPSSSSAYLIPPKKSSMGLSPSPEVLQLVASCVAPGPHLSLTSGMTNSSLHDGKLPLPKPVNEVGYPDSLGVSVKGKAKLSPTIDVQDQSPEERQDEPDCGDSSKTQSDHSRPEQPDVEEISSEGTVSDHPVSEHEAGIQE >KJB46731 pep chromosome:Graimondii2_0_v6:8:6886866:6898012:1 gene:B456_008G049300 transcript:KJB46731 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKR1 [Source:Projected from Arabidopsis thaliana (AT5G44800) UniProtKB/TrEMBL;Acc:A0A178UKW2] MGKWQCPKCCKKTDSLKPITHLDSISKRARSKTIKTKAQTGIKSPTTEKVSRIFGTSIIAKKRSSSSKGKSDVAQGVDTLKKEPETSHIDVPSTPKPSLTSIGGAEEGGASCVNVDDEKTPVASPTGSSAERKLTPVAGGSSCMNVDDGMKPVASPTGSSAERKLTPVAGEVLFHSKSTNSEKNDEAPEAKHELSCDNESPTDKVVLAIGVATRKDRKRKQKVSDEASQKKRKSDKGKRTVSTSKKKGSKANNIGPGTSKTHQKQKQKPVNHGVSASLLKDDDGSKNFDTQRKDEKLSEGAMQQSNELDKGILNPPLRCEDGVPAELLQVDRVLGCRVQGDNASILHHASAALSEDMLSDDFVIAVNPSRLSEENSVCDIDSDTVTAENLTEGCPKTLKGSDKEESTKNDVRVDKMNVYRRSVTKKCKGGDSLDLLNKDTKDSDCAIINGKDQDESVVSVEDSGKRNEKTVVEELTADVNVKSHGTTEAPKVCETPAKMKEMGAEMKICSSVENKVQEPAVIESACSKEETVSYEFFVKWVGMSHIHNSWISESQLKILAKRKLENYKAKYGTTVINICEEKWKKPQRVISLRVTNNGQEAFVKWTGLPYDECTWERLDEPVLQQSSHLIDLFEQFERQTLEKDATKDEARAKGEQQHDIVTLAEQPKELKGGSLFPHQLEALNWLRRCWHKSKNVILADEMGLGKTVSAVAFISSLYFEFKATLPCLVLVPLSTMPNWLAEFSLWAPDLNVVEYHGCAKARAIIRQYEWHASDSNELSRKTASYKFNVLLTTYEMILVDSSHLRGVPWEVLVVDEGHRLKNSGSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKRDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPDSGSLEFLHEMRIKASAKLTLLHSMLKVLYREGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVADRQTAISRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFIDSSSGKDSGEGNNNKEDALVDTDHKHRKRVGGLGDVYQDKCTNGSNKIVWDESAILKLLDRTNLQSGPTDAEGDLENDMLGSVKSVEWNDETTEEPGGGESPPAVADDILEQTSEKKEDNVLNGTEENEWDKLLRVRWEKYQSEEEAALGRGKRQRKAVSYREAYTPHPNETTTESGGEEEKEPETEPERDYTPAGRALKAKYTKLRARQKERLARRNAIEEVHPSEGFPGLESVAQCPSMNGREVDHVNQSDQQSDKDKCLVIDLEDDKHAQSLDQPKNKDDSILRLGRLSKHKTSGQLDLSVNPLHQSSPDMILPSSNHQGTSYNQSLPSNNLLPVLGLCAPNASQFDSFHKNFSRSNGRQSRPGTGPEFPFNLAPTTGASIEKEAKGQETTLDKFKLQDSPPEVLQRLKIGNQDSWLPFNPYPSASSQGKIFERLENSGASSSDFQEKMPLPNLPFDEKLLPRFSLPTKGMMTSHHDLLPSLSLGSRLDAVTESVQDLPTMPLLPNLKYPPQDVPRYNQQERDMPPTLGLGQLPPISSFPENHRRVLENIMMRTGSGSGNLYKKKSKVEGWSEDELDFLWIGVRRHGRGSWDAMLRDPRLRFSKYKTSEDLAARWEEEQLKILDGPAFPVPKFPKLTKTTKPSSLFPSIPDGMMTRALQGSRFVAPSKFQTHLTDMKLGFGDLASSLPHFELSDQLGLQNDSFPPIPTWNPDKSRANFSGDSVAGPSDRPGPSVNVPGEKSFFLNSFGASNLGSNLNCSSSHDLHRKEDDYGSMKHGKLPSVLDKSLNMLRDSLNNGGNGESASSGFLSDPNKGLNLSYSKGKEVAGNSSSKNKLPHWLREAVSAPAKPPDPDLPPTVSAIAQSVRVLYGEDKPTIPPFVVPGPPPPQPKDPRHSLKKKKKRKSHMFRQVLPDTAGSSSLSPACSIPLAPPFQLLPQSVTGAAGLPLIESDYSRSPLNLSMMNPSSSSAYLIPPKKSSMGLSPSPEVLQLVASCVAPGPHLSLTSGMTNSSLHDGKLPLPKPVNEVGYPDSLGVSVKGKAKLSPTIDVQDQSPEERQDEPDCGDSSKTQSDHSRPEQPDVEEISSEGTVSDHPVSEHEAGIQE >KJB46725 pep chromosome:Graimondii2_0_v6:8:6884407:6898518:1 gene:B456_008G049300 transcript:KJB46725 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKR1 [Source:Projected from Arabidopsis thaliana (AT5G44800) UniProtKB/TrEMBL;Acc:A0A178UKW2] MKDISSLNSKMINRNWVLKRKRRKLPCGPSLANGKEENLLASESPRGSSAKRRLKGEISTDQSSSKKKGNDGYYYECVICDLGGNLLCCDNCPRTYHLQCLDPPLKRIPMGKWQCPKCCKKTDSLKPITHLDSISKRARSKTIKTKAQTGIKSPTTEKVSRIFGTSIIAKKRSSSSKGKSDVAQGVDTLKKEPETSHIDVPSTPKPSLTSIGGAEEGGASCVNVDDEKTPVASPTGSSAERKLTPVAGGSSCMNVDDGMKPVASPTGSSAERKLTPVAGEVLFHSKSTNSEKNDEAPEAKHELSCDNESPTDKVVLAIGVATRKDRKRKQKVSDEASQKKRKSDKGKRTVSTSKKKGSKANNIGPGTSKTHQKQKQKPVNHGVSASLLKDDDGSKNFDTQRKDEKLSEGAMQQSNELDKGILNPPLRCEDGVPAELLQVDRVLGCRVQVNPSRLSEENSVCDIDSDTVTAENLTEGCPKTLKGSDKEESTKNDVRVDKMNVYRRSVTKKCKGGDSLDLLNKDTKDSDCAIINGKDQDESVVSVEDSGKRNEKTVVEELTADVNVKSHGTTEAPKVCETPAKMKEMGAEMKICSSVENKVQEPAVIESACSKEETVSYEFFVKWVGMSHIHNSWISESQLKILAKRKLENYKAKYGTTVINICEEKWKKPQRVISLRVTNNGQEAFVKWTGLPYDECTWERLDEPVLQQSSHLIDLFEQFERQTLEKDATKDEARAKGEQQHDIVTLAEQPKELKGGSLFPHQLEALNWLRRCWHKSKNVILADEMGLGKTVSAVAFISSLYFEFKATLPCLVLVPLSTMPNWLAEFSLWAPDLNVVEYHGCAKARAIIRQYEWHASDSNELSRKTASYKFNVLLTTYEMILVDSSHLRGVPWEVLVVDEGHRLKNSGSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKRDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPDSGSLEFLHEMRIKASAKLTLLHSMLKVLYREGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVADRQTAISRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFIDSSSGKDSGEGNNNKEDALVDTDHKHRKRVGGLGDVYQDKCTNGSNKIVWDESAILKLLDRTNLQSGPTDAEGDLENDMLGSVKSVEWNDETTEEPGGGESPPAVADDILEQTSEKKEDNVLNGTEENEWDKLLRVRWEKYQSEEEAALGRGKRQRKAVSYREAYTPHPNETTTESGGEEEKEPETEPERDYTPAGRALKAKYTKLRARQKERLARRNAIEEVHPSEGFPGLESVAQCPSMNGREVDHVNQSDQQSDKDKCLVIDLEDDKHAQSLDQPKNKDDSILRLGRLSKHKTSGQLDLSVNPLHQSSPDMILPSSNHQGTSYNQSLPSNNLLPVLGLCAPNASQFDSFHKNFSRSNGRQSRPGTGPEFPFNLAPTTGASIEKEAKGQETTLDKFKLQDSPPEVLQRLKIGNQDSWLPFNPYPSASSQGKIFERLENSGASSSDFQEKMPLPNLPFDEKLLPRFSLPTKGMMTSHHDLLPSLSLGSRLDAVTESVQDLPTMPLLPNLKYPPQDVPRYNQQERDMPPTLGLGQLPPISSFPENHRRVLENIMMRTGSGSGNLYKKKSKVEGWSEDELDFLWIGVRRHGRGSWDAMLRDPRLRFSKYKTSEDLAARWEEEQLKILDGPAFPVPKFPKLTKTTKPSSLFPSIPDGMMTRALQGSRFVAPSKFQTHLTDMKLGFGDLASSLPHFELSDQLGLQNDSFPPIPTWNPDKSRANFSGDSVAGPSDRPGPSVNVPGEKSFFLNSFGASNLGSNLNCSSSHDLHRKEDDYGSMKHGKLPSVLDKSLNMLRDSLNNGGNGESASSGFLSDPNKGLNLSYSKGKEVAGNSSSKNKLPHWLREAVSAPAKPPDPDLPPTVSAIAQSVRVLYGEDKPTIPPFVVPGPPPPQPKDPRHSLKKKKKRKSHMFRQVLPDTAGSSSLSPACSIPLAPPFQLLPQSVTGAAGLPLIESDYSRSPLNLSMMNPSSSSAYLIPPKKSSMGLSPSPEVLQLVASCVAPGPHLSLTSGMTNSSLHDGKLPLPKPVNEVGYPDSLGVSVKGKAKLSPTIDVQDQSPEERQDEPDCGDSSKTQSDHSRPEQPDVEEISSEGTVSDHPVSEHEAGIQE >KJB46727 pep chromosome:Graimondii2_0_v6:8:6885666:6898012:1 gene:B456_008G049300 transcript:KJB46727 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKR1 [Source:Projected from Arabidopsis thaliana (AT5G44800) UniProtKB/TrEMBL;Acc:A0A178UKW2] MKDISSLNSKMINRNWVLKRKRRKLPCGPSLANGKEENLLASESPRGSSAKRRLKGEISTDQSSSKKKGNDGYYYECVICDLGGNLLCCDNCPRTYHLQCLDPPLKRIPMGKWQCPKCCKKTDSLKPITHLDSISKRARSKTIKTKAQTGIKSPTTEKVSRIFGTSIIAKKRSSSSKGKSDVAQGVDTLKKEPETSHIDVPSTPKPSLTSIGGAEEGGASCVNVDDEKTPVASPTGSSAERKLTPVAGGSSCMNVDDGMKPVASPTGSSAERKLTPVAGEVLFHSKSTNSEKNDEAPEAKHELSCDNESPTDKVVLAIGVATRKDRKRKQKVSDEASQKKRKSDKGKRTVSTSKKKGSKANNIGPGTSKTHQKQKQKPVNHGVSASLLKDDDGSKNFDTQRKDEKLSEGAMQQSNELDKGILNPPLRCEDGVPAELLQVDRVLGCRVQGDNASILHHASAALSEDMLSDDFVIAVNPSRLSEENSVCDIDSDTVTAENLTEGCPKTLKGSDKEESTKNDVRVDKMNVYRRSVTKKCKGGDSLDLLNKDTKDSDCAIINGKDQDESVVSVEDSGKRNEKTVVEELTADVNVKSHGTTEAPKVCETPAKMKEMGAEMKICSSVENKVQEPAVIESACSKEETVSYEFFVKWVGMSHIHNSWISESQLKILAKRKLENYKAKYGTTVINICEEKWKKPQRVISLRVTNNGQEAFVKWTGLPYDECTWERLDEPVLQQSSHLIDLFEQFERQTLEKDATKDEARAKGEQQHDIVTLAEQPKELKGGSLFPHQLEALNWLRRCWHKSKNVILADEMGLGKTVSAVAFISSLYFEFKATLPCLVLVPLSTMPNWLAEFSLWAPDLNVVEYHGCAKARAIIRQYEWHASDSNELSRKTASYKFNVLLTTYEMILVDSSHLRGVPWEVLVVDEGHRLKNSGSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKRDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPDSGSLEFLHEMRIKASAKLTLLHSMLKVLYREGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVADRQTAISRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFIDSSSGKDSGEGNNNKEDALVDTDHKHRKRVGGLGDVYQDKCTNGSNKIVWDESAILKLLDRTNLQSGPTDAEGDLENDMLGSVKSVEWNDETTEEPGGGESPPAVADDILEQTSEKKEDNVLNGTEENEWDKLLRVRWEKYQSEEEAALGRGKRQRKAVSYREAYTPHPNETTTESGGEEEKEPETEPERDYTPAGRALKAKYTKLRARQKERLARRNAIEEVHPSEGFPGLESVAQCPSMNGREVDHVNQSDQQSDKDKCLVIDLEDDKHAQSLDQPKNKDDSILRLGRLSKHKTSGQLDLSVNPLHQSSPDMILPSSNHQGTSYNQSLPSNNLLPVLGLCAPNASQFDSFHKNFSRSNGRQSRPGTGPEFPFNLAPTTGASIEKEAKGQETTLDKFKLQDSPPEVLQRLKIGNQDSWLPFNPYPSASSQGKIFERLENSGASSSDFQEKMPLPNLPFDEKLLPRFSLPTKGMMTSHHDLLPSLSLGSRLDAVTESVQDLPTMPLLPNLKYPPQDVPRYNQQERDMPPTLGLGQLPPISSFPENHRRVLENIMMRTGSGSGNLYKKKSKVEGWSEDELDFLWIGVRRHGRGSWDAMLRDPRLRFSKYKTSEDLAARWEEEQLKILDGPAFPVPKFPKLTKTTKPSSLFPSIPDGMMTRALQGSRFVAPSKFQTHLTDMKLGFGDLASSLPHFELSDQLGLQNDSFPPIPTWNPDKSRANFSGDSVAGPSDRPGPSVNVPGEKSFFLNSFGASNLGSNLNCSSSHDLHRKEDDYGSMKHGKLPSVLDKSLNMLRDSLNNGGNGESASSGFLSDPNKGLNLSYSKGKEVAGNSSSKNKLPHWLREAVSAPAKPPDPDLPPTVSAIAQSVRVLYGEDKPTIPPFVVPGPPPPQPKDPRHSLKKKKKRKSHMFRQVLPDTAGSSSLSPACSIPLAPPFQLLPQSVTGAAGLPLIESDYSRSPLNLSMMNPSSSSAYLIPPKKSSMGLSPSPEVLQLVASCVAPGPHLSLTSGMTNSSLHDGKLPLPKPVNEVGYPDSLGVSVKGKAKLSPTIDVQDQSPEERQDEPDCGDSSKTQSDHSRPEQPDVEEISSEGTVSDHPVSEHEAGIQE >KJB46730 pep chromosome:Graimondii2_0_v6:8:6883759:6898577:1 gene:B456_008G049300 transcript:KJB46730 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKR1 [Source:Projected from Arabidopsis thaliana (AT5G44800) UniProtKB/TrEMBL;Acc:A0A178UKW2] MKDISSLNSKMINRNWVLKRKRRKLPCGPSLANGKEENLLASESPRGSSAKRRLKGEISTDQSSSKKKGNDGYYYECVICDLGGNLLCCDNCPRTYHLQCLDPPLKRIPMGKWQCPKCCKKTDSLKPITHLDSISKRARSKTIKTKAQTGIKSPTTEKVSRIFGTSIIAKKRSSSSKGKSDVAQGVDTLKKEPETSHIDVPSTPKPSLTSIGGAEEGGASCVNVDDEKTPVASPTGSSAERKLTPVAGGSSCMNVDDGMKPVASPTGSSAERKLTPVAGEVLFHSKSTNSEKNDEAPEAKHELSCDNESPTDKVVLAIGVATRKDRKRKQKVSDEASQKKRKSDKGKRTVSTSKKKGSKANNIGPGTSKTHQKQKQKPVNHGVSASLLKDDDGSKNFDTQRKDEKLSEGAMQQSNELDKGILNPPLRCEDGVPAELLQVDRVLGCRVQGDNASILHHASAALSEDMLSDDFVIAVNPSRLSEENSVCDIDSDTVTAENLTEGCPKTLKGSDKEESTKNDVRVDKMNVYRRSVTKKCKGGDSLDLLNKDTKDSDCAIINGKDQDESVVSVEDSGKRNEKTVVEELTADVNVKSHGTTEAPKVCETPAKMKEMGAEMKICSSVENKVQEPAVIESACSKEETVSYEFFVKWVGMSHIHNSWISESQLKILAKRKLENYKAKYGTTVINICEEKWKKPQRVISLRVTNNGQEAFVKWTGLPYDECTWERLDEPVLQQSSHLIDLFEQFERQTLEKDATKDEARAKGEQQHDIVTLAEQPKELKGGSLFPHQLEALNWLRRCWHKSKNVILADEMGLGKTVSAVAFISSLYFEFKATLPCLVLVPLSTMPNWLAEFSLWAPDLNVVEYHGCAKARAIIRQYEWHASDSNELSRKTASYKFNVLLTTYEMILVDSSHLRGVPWEVLVVDEGHRLKNSGSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKRDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPDSGSLEFLHEMRIKASAKLTLLHSMLKVLYREGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVADRQTAISRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFIDSSSGKDSGEGNNNKEDALVDTDHKHRKRVGGLGDVYQDKCTNGSNKIVWDESAILKLLDRTNLQSGPTDAEGDLENDMLGSVKSVEWNDETTEEPGGGESPPAVADDILEQTSEKKEDNVLNGTEENEWDKLLRVRWEKYQSEEEAALGRGKRQRKAVSYREAYTPHPNETTTESGGEEEKEPETEPERDYTPAGRALKAKYTKLRARQKERLARRNAIEEVHPSEGFPGLESVAQCPSMNGREVDHVNQSDQQSDKDKCLVIDLEDDKHAQSLDQPKNKDDSILRLGRLSKHKTSGQLDLSVNPLHQSSPDMILPSSNHQGTSYNQSLPSNNLLPVLGLCAPNASQFDSFHKNFSRSNGRQSRPGTGPEFPFNLAPTTGASIEKEAKGQETTLDKFKLQDSPPEVLQRLKIGNQDSWLPFNPYPSASSQGKIFERLENSGASSSDFQEKMPLPNLPFDEKLLPRFSLPTKGMMTSHHDLLPSLSLGSRLDAVTESVQDLPTMPLLPNLKYPPQDVPRYNQQERDMPPTLGLGQLPPISSFPENHRRVLENIMMRTGSGSGNLYKKKSKVEGWSEDELDFLWIGVRRHGRGSWDAMLRDPRLRFSKYKTSEDLAARWEEEQLKILDGPAFPVPKFPKLTKTTKPSSLFPSIPDGMMTRALQGSRFVAPSKFQTHLTDMKLGFGDLASSLPHFELSDQLGLQNDSFPPIPTWNPDKSRANFSGDSVAGPSDRPGPSVNVPGEKSFFLNSFGASNLGSNLNCSSSHDLHRKEDDYGSMKHGKLPSVLDKSLNMLRDSLNNGGNGESASSGFLSDPNKGLNLSYSKGKEVAGNSSSKNKLPHWLREAVSAPAKPPDPDLPPTVSAIAQSVRVLYGEDKPTIPPFVVPGPPPPQPKDPRHSLKKKKKRKSHMFRQVLPDTAGSSSLSPACSIPLAPPFQLLPQSVTGAAGLPLIESDYSRSPLNLSMMNPSSSSAYLIPPKKSSMGLSPSPEVLQLVASCVAPGPHLSLTSGMTNSSLHDGKLPLPKPVNEVGYPDSLGVSVKGKAKLSPTIDVQDQSPEERQDEPDCGDSSKTQSDHSRPEQPDVEEISSEGTVSDHPVSEHEAGIQE >KJB51755 pep chromosome:Graimondii2_0_v6:8:51698606:51701255:-1 gene:B456_008G230700 transcript:KJB51755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQSKDNKTIFFNYFKSLSQTPHRLRKRMLATWTPDQELNQVRLRSGADMKRKLKWYDLVALGVGGMLGVGVFVTTGPVARNHSGPSVFISYIIAGISALLSSLCYTEFSVQIPVAGGAFSYLRVTFGEFVGYFAGANILMEYVLSNAAVARSFTEYLCSAFGISDPNSWRVEVPGLLQGYNNTKESSILNLIMTAFHIVFFGFIIIMGFCNGSVENLVKPTGLTPNGIRGVLDGAAIVYFSYIGYDSVSTLAEEIQNPPVTLPVGIIGSVFIVSGLYCLMALALCVMVPYNQIAEKASYSMAFQRIGWKWAGNIVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPSWLSKVHPSTGTPLNATLFLGLCTASIALFTDLDIVIEIISIGTLLVFYLVANALIYRKYVLTSKNPPFPTLSFLFLITSCAIGFSISWKLEQQWWGLPLFGGIIMVVTAFFQYTVPCLGPPSEWSVPFMPWPAAVSIFLNVFLMTTLKMLSFQRFAIWGLLITLFYVLYGVHSTFEAEEMGMKMAVNEVPNPSIQLTKLEV >KJB51756 pep chromosome:Graimondii2_0_v6:8:51698623:51701255:-1 gene:B456_008G230700 transcript:KJB51756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQSKDNKTIFFNYFKSLSQTPHRLRKRMLATWTPDQELNQVRLRSGADMKRKLKWYDLVALGVGGMLGVGVFVTTGPVARNHSGPSVFISYIIAGISALLSSLCYTEFSVQIPVAGGAFSYLRVTFGEFVGYFAGANILMEYVLSNAAVARSFTEYLCSAFGISDPNSWRVEVPGLLQGYNKLDFSAVALVLILTFCLCHSTKESSILNLIMTAFHIVFFGFIIIMGFCNGSVENLVKPTGLTPNGIRGVLDGAAIVYFSYIGYDSVSTLAEEIQNPPVTLPVGIIGSVFIVSGLYCLMALALCVMVPYNQIAEKASYSMAFQRIGWKWAGNIVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPSWLSKVHPSTGTPLNATLFLGLCTASIALFTDLDIVIEIISIGTLLVFYLVANALIYRKYVLTSKNPPFPTLSFLFLITSCAIGFSISWKLEQQWWGLPLFGGIIMVVTAFFQYTVPCLGPPSEWSVPFMPWPAAVSIFLNVFLMTTLKMLSFQRFAIWGLLITLFYVLYGVHSTFEAEEMGMKMAVNEVPNPSIQLTKLEV >KJB49440 pep chromosome:Graimondii2_0_v6:8:35644846:35647417:1 gene:B456_008G120000 transcript:KJB49440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPQEPQRSFFHFGNPFRSPKGSHLPSGLLSLLDKFEKTLSERLQKLVPKDKSDILSLSWMKLAMESLSEIHRDIKNLITELEIPVTDWDEKWIDVYLDISVKLLDITIAFTSELTRLNQGHLLLQCVLHKLESNSPDQFLRAYSSLHSWREQICSKNRRVETCRPVLDNLVDSLDLPKVRNSAKGKVLMRAMYGAKVATTYICRVFAAAFSGSTDSLLDLNLTVPATLPWAQVFYNVQTTVNTEIKNIFSRGEFTVLRELLAVDNCANKLYPLLQDGFSPAQEESFKHSVSDLRKTAEKLSQGLDNLSKVVDDFFKIVLSGRDALLCNLRAGCTSPNSVLGRNTDERSVR >KJB49442 pep chromosome:Graimondii2_0_v6:8:35644941:35647301:1 gene:B456_008G120000 transcript:KJB49442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPQEPQRSFFHFGNPFRSPKGSHLPSGLLSLLDKFEKTLSERLQKLVPKDKSDILSLSWMKLAMESLSEIHRDIKNLITELEIPVTDWDEKWIDVYLDISVKLLDITIAFTSELTRLNQGHLLLQCVLHKLESNSPDQFLRAYSSLHSWREQICSKNRRVETCRPVLDNLVDSLDLPKVRNSAKGKVLMRAMYGAKVATTYICRVFAAAFSGSTDSLLDLNLTVPATLPWAQVFYNVQTTVNTEIKNIFSRGEFTVLRELLAVDNCANKLYPLLQDGFSPAQEESFKHSVSDLRKTAEKLSQGLDNLSKVVDDFFKIVLSGRDALLCNLRAGCTSPNSVLGRNTDERSVR >KJB49443 pep chromosome:Graimondii2_0_v6:8:35644846:35647417:1 gene:B456_008G120000 transcript:KJB49443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPQEPQRSFFHFGNPFRSPKGSHLPSGLLSLLDKFEKTLSERLQKLVPKDKSDILSLSWMKLAMESLSEIHRDIKNLITELEIPVTDWDEKWIDVYLDISVKLLDITIAFTSELTRLNQGHLLLQCVLHKLESNSPDQFLRAYSSLHSWREQICSKNRRVETCRPVLDNLVDSLDLPKVRNSAKGKVLMRAMYGAKVATTYICRVFAAAFSGSTDSLLDLNLTVPATLPWAQVFYNVQTTVNTEIKNIFSRGEFTVLRELLAVDNCANKLYPLLQDGFSPAQEESFKHSVSDLRKTAEKLSQGLDNLSKVVDDFFKIVLSGRDALLCNLRAGCTSPNSVLGRNTDERSVR >KJB49441 pep chromosome:Graimondii2_0_v6:8:35644944:35647665:1 gene:B456_008G120000 transcript:KJB49441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPQEPQRSFFHFGNPFRSPKGSHLPSGLLSLLDKFEKTLSERLQKLVPKDKSDILSLSWMKLAMESLSEIHRDIKNLITELEIPVTDWDEKWIDVYLDISVKLLDITIAFTSELTRLNQGHLLLQCVLHKLESNSPDQFLRAYSSLHSWREQICSKNRRVETCRPVLDNLVDSLDLPKVRNSAKGKVLMRAMYGAKVATTYICRVFAAAFSGSTDSLLDLNLTVPATLPWAQVFYNVQTTVNTEIKNIFSRGEFTVLRELLAVDNCANKLYPLLQDGFSPAQEESFKHSVSDLRKTAEKLSQGLDNLSKVVDDFFKIVLSGRDALLCNLRAGCTSPNSVLGRNTDERSVR >KJB47830 pep chromosome:Graimondii2_0_v6:8:5891836:5892522:1 gene:B456_008G044000 transcript:KJB47830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKVSTLALTCLVGLVLVLPSHAQDSQQDYLNAHNTARAAVGVGPMTWDNTVAAYAENYAKQRIADCNLVHSGGPYGENIAWGSADLSGTDAVNMWVNEKANYNYNSNRCAAGKVCGHYTQVVWRNSVHLGCAKVKCNNGGTFIVCNYSPRGNIVGQKPY >KJB51138 pep chromosome:Graimondii2_0_v6:8:48880158:48883410:1 gene:B456_008G203100 transcript:KJB51138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITAAPCVNDGCLMVRGKVVLTHVPTNIILSPGISGAAFLGSTSPISTSRHVFTLGILEGYKHLCLYRFKIWWMIPGYGKSGSEIPLETQLLLLEIKEESIVEDDDSSGPPTPTTFYVLFLPVLDGDFRTSLQGTPANELQFCAESGDANVQNSQILEPVFISSGDNPFELIKNSIKILEKHKGTFRHIENKKIPAHLDWFGWCTWDAFYTEVNPQDIKEGLQSFSDGGCSPRYLIIDDGWQDTVNEFHKEGEPLIEGTQFATRLVDIKENSKFKSLESEAGCNNLHEFISMIKGKYGLKYVYVWHALTGYWGGVLPSSETMKKYNPKIVYPIQSPGNIGNLRDIIPDSLEKYGVGIIDPQKIFDFYNDLHSYLSSNGIDGVKVDAQNLIETLGSGFGGRVSLTRRYQQALEQSTSRNFKDNNLICCMSHNSDSIYSWKTSAVARASEDFMPREPTFQTLHIASVAFNSLLLGEIVVPDWDMFHSKHDTAEFHGIARAIGGCAVYVSDKPGNHDFEILRKLVLPDGSVLRAKHAGRPTRDCLFRDPVMDGKSLLKIWNLNKLSGVVGVFNCQGAGSWPLKQTIKDMPSTPLVISGNVSPCDVEFIEDVAGENWNGDFAVYAFNSG >KJB51137 pep chromosome:Graimondii2_0_v6:8:48879919:48884081:1 gene:B456_008G203100 transcript:KJB51137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITAAPCVNDGCLMVRGKVVLTHVPTNIILSPGISGAAFLGSTSPISTSRHVFTLGILEGYKHLCLYRFKIWWMIPGYGKSGSEIPLETQLLLLEIKEESIVEDDDSSGPPTPTTFYVLFLPVLDGDFRTSLQGTPANELQFCAESGDANVQNSQILEPVFISSGDNPFELIKNSIKILEKHKGTFRHIENKKIPAHLDWFGWCTWDAFYTEVNPQDIKEGLQSFSDGGCSPRYLIIDDGWQDTVNEFHKEGEPLIEGTQFATRLVDIKENSKFKSLESEAGCNNLHEFISMIKGKYGLKYVYVWHALTGYWGGVLPSSETMKKYNPKIVYPIQSPGNIGNLRDIIPDSLEKYGVGIIDPQKIFDFYNDLHSYLSSNGIDGVKVDAQNLIETLGSGFGGRVSLTRRYQQALEQSTSRNFKDNNLICCMSHNSDSIYSWKTSAVARASEDFMPREPTFQTLHIASVAFNSLLLGEIVVPDWDMFHSKHDTAEFHGIARAIGGCAVYVSDKPGNHDFEILRKLVLPDGSVLRAKHAGRPTRDCLFRDPVMDGKSLLKIWNLNKLSGVVGVFNCQGAGSWPLKQTIKDMPSTPLVISGNVSPCDVEFIEDVAGENWNGDFAVYAFNSGSLSRLPMNGNIKVTLATLKCETFTISPIRVLGEGVHFAPIGLLDMYNSGGAVESIDENMKNSSELIKIKVRGCGRFGAYTSLKPRSCMVDMEEEEFIYNSENGLLTLDLTGDCNFRDIEFIY >KJB51139 pep chromosome:Graimondii2_0_v6:8:48880119:48884081:1 gene:B456_008G203100 transcript:KJB51139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITAAPCVNDGCLMVRGKVVLTHVPTNIILSPGISGAAFLGSTSPISTSRHVFTLGILEGYKHLCLYRFKIWWMIPGYGKSGSEIPLETQLLLLEIKEESIVEDDDSSGPPTPTTFYVLFLPVLDGDFRTSLQGTPANELQFCAESGDANVQNSQILEPVFISSGDNPFELIKNSIKILEKHKGTFRHIENKKIPAHLDWFGWCTWDAFYTEVNPQDIKEGLQSFSDGGCSPRYLIIDDGWQDTVNEFHKEGEPLIEGTQFATRLVDIKENSKFKSLESEAGCNNLHEFISMIKGKYGLKYVYVWHALTGYWGGVLPSSETMKKYNPKIVYPIQSPGNIGNLRDIIPDSLEKYGVGIIDPQKIFDFYNDLHSYLSSNGIDGVKVDAQNLIETLGSGFGGRVSLTRRYQQALEQSTSRNFKDNNLICCMSHNSDSIYSWKTSAVARASEDFMPREPTFQTLHIASVAFNSLLLGEIVVPDWDMFHSKHDTAEFHGIARAIGGCAVYVSDKPGNHDFEILRKLVLPDGSVLRAKHAGRPTRDCLFRDPVMDGKSLLKIWNLNKLSGVVGVFNCQGAGSWPLKQTIKDMPSTPLVISGNVSPCDVEFIEDVAGENWNGDFAVYAFNSGSLSRLPMNGNIKVTLATLKCETFTISPIRVSKIHPPLFFFFLKYLTYL >KJB51141 pep chromosome:Graimondii2_0_v6:8:48880119:48884081:1 gene:B456_008G203100 transcript:KJB51141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITAAPCVNDGCLMVRGKVVLTHVPTNIILSPGISGAAFLGSTSPISTSRHVFTLGILEGYKHLCLYRFKIWWMIPGYGKSGSEIPLETQLLLLEIKEESIVEDDDSSGPPTPTTFYVLFLPVLDGDFRTSLQGTPANELQFCAESGDANVQNSQILEPVFISSGDNPFELIKNSIKILEKHKGTFRHIENKKIPAHLDWFGWCTWDAFYTEVNPQDIKEGLQSWKTSAVARASEDFMPREPTFQTLHIASVAFNSLLLGEIVVPDWDMFHSKHDTAEFHGIARAIGGCAVYVSDKPGNHDFEILRKLVLPDGSVLRAKHAGRPTRDCLFRDPVMDGKSLLKIWNLNKLSGVVGVFNCQGAGSWPLKQTIKDMPSTPLVISGNVSPCDVEFIEDVAGENWNGDFAVYAFNSGSLSRLPMNGNIKVTLATLKCETFTISPIRVLGEGVHFAPIGLLDMYNSGGAVESIDENMKNSSELIKIKVRGCGRFGAYTSLKPRSCMVDMEEEEFIYNSENGLLTLDLTGDCNFRDIEFIY >KJB51140 pep chromosome:Graimondii2_0_v6:8:48880119:48884081:1 gene:B456_008G203100 transcript:KJB51140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITAAPCVNDGCLMVRGKVVLTHVPTNIILSPGISGAAFLGSTSPISTSRHVFTLGILEGYKHLCLYRFKIWWMIPGYGKSGSEIPLETQLLLLEIKEESIVEDDDSSGPPTPTTFYVLFLPVLDGDFRTSLQGTPANELQFCAESGDANVQNSQILEPVFISSGDNPFELIKNSIKILEKHKGTFRHIENKKIPAHLDWFGWCTWDAFYTEVNPQDIKEGLQSFSDGGCSPRYLIIDDGWQDTVNEFHKEGEPLIEGTQLVDIKENSKFKSLESEAGCNNLHEFISMIKGKYGLKYVYVWHALTGYWGGVLPSSETMKKYNPKIVYPIQSPGNIGNLRDIIPDSLEKYGVGIIDPQKIFDFYNDLHSYLSSNGIDGVKVDAQNLIETLGSGFGGRVSLTRRYQQALEQSTSRNFKDNNLICCMSHNSDSIYSWKTSAVARASEDFMPREPTFQTLHIASVAFNSLLLGEIVVPDWDMFHSKHDTAEFHGIARAIGGCAVYVSDKPGNHDFEILRKLVLPDGSVLRAKHAGRPTRDCLFRDPVMDGKSLLKIWNLNKLSGVVGVFNCQGAGSWPLKQTIKDMPSTPLVISGNVSPCDVEFIEDVAGENWNGDFAVYAFNSGSLSRLPMNGNIKVTLATLKCETFTISPIRVLGEGVHFAPIGLLDMYNSGGAVESIDENMKNSSELIKIKVRGCGRFGAYTSLKPRSCMVDMEEEEFIYNSENGLLTLDLTGDCNFRDIEFIY >KJB50428 pep chromosome:Graimondii2_0_v6:8:44515111:44522413:1 gene:B456_008G170400 transcript:KJB50428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSSGSRKLSFEILSKNKYLEGGGPSLIYQSSSDPFQSQNGGNPSRHNRKKKKHKKRKDSTTDLPIIAEDLVDKQRGSSGGSVLAESKSENYGSRDNGNVNRISYVGGGSVVVLEESVCQNVCGFGELRQRNVNGVVAGGGDDMETVAARADESVVEVSSSKEPFPPVAPQSMANGNVVNTLKTSELLDWKRVMAEDPNYLYTVDKSPLKYFLDEMHYGNSLRNTTTLGSEKERERVYDTIFRLPWRCEVLISVGFFICFDSFLSLLTIMPTRVLITFWRLLTTRQFKWPSAAELCDFGCFLVLACGVIVLGRTDISLIYHMIRGQGTIKLYVVYNVWEIFDKLCQRFGGDVLETLFNSAEGLANCSQENMGFWIRRFVSDQALTMAFSILHSFILLAQAITLSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSRDNIHGLAYSDSVERFHISACLLFVLAQNILEAEGPWFESFLFTLNIQTEDCKKNLTFVPLAPACVVIRVLTPVYAAHLPCSPLAWRFFWILVLISMTYIMLTSLKVMIGMGLQKHATWYVSRCRKRKHHLD >KJB50426 pep chromosome:Graimondii2_0_v6:8:44515093:44522531:1 gene:B456_008G170400 transcript:KJB50426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSSGSRKLSFEILSKNKYLEGGGPSLIYQSSSDPFQSQNGGNPSRHNRKKKKHKKRKDSTTDLPIIAEDLVDKQRGSSGGSVLAESKSENYGSRDNGNVNRISYVGGGSVVVLEESVCQNVCGFGELRQRNVNGVVAGGGDDMETVAARADESVVEVSSSKEPFPPVAPQSMANGNVVNTLKTSELLDWKRVMAEDPNYLYTVDKSPLKYFLDEMHYGNSLRNTTTLGSEKERERVYDTIFRLPWRCEVLISVGFFICFDSFLSLLTIMPTRVLITFWRLLTTRQFKWPSAAELCDFGCFLVLACGVIVLGRTDISLIYHMIRGQGTIKLYVVYNVWEIFDKLCQRFGGDVLETLFNSAEGLANCSQENMGFWIRRFVSDQALTMAFSILHSFILLAQAITLSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSRDNIHGLAYSDSVERFHISACLLFVLAQNILEAEGPWFESFLFNAFVVFVCEMLIDIIKHSFLAKFNDIKPIAYSEFLEDLCKQTLNIQTEDCKKNLTFVPLAPACVVIRVLTPVYAAHLPCSPLAWRFFWILVLISMTYIMLTSLKVMIGMGLQKHATWYVSRCRKRKHHLD >KJB50427 pep chromosome:Graimondii2_0_v6:8:44515111:44521338:1 gene:B456_008G170400 transcript:KJB50427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSSGSRKLSFEILSKNKYLEGGGPSLIYQSSSDPFQSQNGGNPSRHNRKKKKHKKRKDSTTDLPIIAEDLVDKQRGSSGGSVLAESKSENYGSRDNGNVNRISYVGGGSVVVLEESVCQNVCGFGELRQRNVNGVVAGGGDDMETVAARADESVVEVSSSKEPFPPVAPQSMANGNVVNTLKTSELLDWKRVMAEDPNYLYTVDKSPLKYFLDEMHYGNSLRNTTTLGSEKERERVYDTIFRLPWRCEVLISVGFFICFDSFLSLLTIMPTRVLITFWRLLTTRQFKWPSAAELCDFGCFLVLACGVIVLGRTDISLIYHMIRGQGTIKLYVVYNVWEIFDKLCQRFGGDVLETLFNSAEGLANCSQENMGFWIRRFVSDQALTMAFSILHSFILLAQAITLSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSRDNIHGLAYSDSVERFHISACLLFVLAQNILEAEGPWFESFLFVSHCIKSWYFMF >KJB51230 pep chromosome:Graimondii2_0_v6:8:49293848:49296668:-1 gene:B456_008G207800 transcript:KJB51230 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MENKPRHDPNPDSNRPEEPELDLYTIPSYSSWFAWNDIHETERQALKEFFEGSSISRTPKIYKEYRDFIINKYREDPSRRLTFTEIRKSLIGDVTLLHKVFRFLETWGLINFLAPPPPHEGSENDDRVRVENGAPNGVRVVATPNSLRPLSAPVVKGKNSGGGVEESGVKLPPLASYSDVFGDLKRLHCGSCGDNCHSGYHEYKKDTFIVCDKCFKNGNYGEDKSMDDFELKDCREKSAANGTVWTEAETLLLLDSVLKHGDDWDLVAQNVQTKSKLDCITKLIELPFGESLIDSAKGRGNSSGTSMSMNGIKPVVVPSSEDQENIINEDQGYDGANENEKNGDSENQEPPLKKKRTASTSDADSSLMKQVARISTMVGPQITAAAAEAAVAMLSDEMSCPREIFYGDHIDLTNGSLSPSIYQQERAHNTEESEIKERSNPSDSLAESQETHPKKSDIPLPLRIRAAVATGLGAAAAHAKLLAVQEEKEIEHLVATIIEAQLKKLNSKIKHCEDAELLMEKEYAAIEGLKEYILGERINILRTTYNTGTSKLLEHSSVQSQTSNLG >KJB51227 pep chromosome:Graimondii2_0_v6:8:49294732:49296668:-1 gene:B456_008G207800 transcript:KJB51227 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MENKPRHDPNPDSNRPEEPELDLYTIPSYSSWFAWNDIHETERQALKEFFEGSSISRTPKIYKEYRDFIINKYREDPSRRLTFTEIRKSLIGDVTLLHKVFRFLETWGLINFLAPPPPHEGSENDDRVRVENGAPNGVRVVATPNSLRPLSAPVVKGKNSGGGVEESGVKLPPLASYSDVFGDLKRLHCGSCGDNCHSGYHEYKKDTFIVCDKCFKNGNYGEDKSMDDFELKDCREKSAANGTVWTEAETLLLLDSVLKHGDDWDLVAQNVQTKSKLDCITKLIELPFGESLIDSAKGRGNSSGTSMSMNGIKPVVVPSSEDQENIINEDQGYDGANENEKNGDSENQEPPLKKKRTASTSDADSSLMKQVARISTMVGPQITAAAAEAAVAMLSDEMSCPREIFYGDHIDLTNGSLSPSIYQQERLFKS >KJB51228 pep chromosome:Graimondii2_0_v6:8:49293297:49296789:-1 gene:B456_008G207800 transcript:KJB51228 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MENKPRHDPNPDSNRPEEPELDLYTIPSYSSWFAWNDIHETERQALKEFFEGSSISRTPKIYKEYRDFIINKYREDPSRRLTFTEIRKSLIGDVTLLHKVFRFLETWGLINFLAPPPPHEGSENDDRVRVENGAPNGVRVVATPNSLRPLSAPVVKGKNSGGGVEESGVKLPPLASYSDVFGDLKRLHCGSCGDNCHSGYHEYKKDTFIVCDKCFKNGNYGEDKSMDDFELKDCREKSAANGTVWTEAETLLLLDSVLKHGDDWDLVAQNVQTKSKLDCITKLIELPFGESLIDSAKGRGNSSGTSMSMNGIKPVVVPSSEDQENIINEDQGYDGANENEKNGDSENQEPPLKKKRTASTSDADSSLMKQVARISTMVGPQITAAAAEAAVAMLSDEMSCPREIFYAKFTVRFFYISMVF >KJB51225 pep chromosome:Graimondii2_0_v6:8:49294732:49296668:-1 gene:B456_008G207800 transcript:KJB51225 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MENKPRHDPNPDSNRPEEPELDLYTIPSYSSWFAWNDIHETERQALKEFFEGSSISRTPKIYKEYRDFIINKYREDPSRRLTFTEIRKSLIGDVTLLHKVFRFLETWGLINFLAPPPPHEGSENDDRVRVENGAPNGVRVVATPNSLRPLSAPVVKGKNSGGGVEESGVKLPPLASYSDVFGDLKRLHCGSCGDNCHSGYHEYKKDTFIVCDKCFKNGNYGEDKSMDDFELKDCREKSAANGTVWTEAETLLLLDSVLKHGDDWDLVAQNVQTKSKLDCITKLIELPFGESLIDSAKGRGNSSGTSMSMNGIKPVVVPSSEDQENIINEDQGYDGANENEKNGDSENQEPPLKKKRTASTSDADSSLMKQVARISTMVGPQITAAAAEAAVAMLSDEMSCPREIFYGDHIDLTNGSLSPSIYQQERLFKS >KJB51226 pep chromosome:Graimondii2_0_v6:8:49293297:49296721:-1 gene:B456_008G207800 transcript:KJB51226 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MENKPRHDPNPDSNRPEEPELDLYTIPSYSSWFAWNDIHETERQALKEFFEGSSISRTPKIYKEYRDFIINKYREDPSRRLTFTEIRKSLIGDVTLLHKVFRFLETWGLINFLAPPPPHEGSENDDRVRVENGAPNGVRVVATPNSLRPLSAPVVKGKNSGGGVEESGVKLPPLASYSDVFGDLKRLHCGSCGDNCHSGYHEYKKDTFIVCDKCFKNGNYGEDKSMDDFELKDCREKSAANGTVWTEAETLLLLDSVLKHGDDWDLVAQNVQTKSKLDCITKLIELPFGESLIDSAKGRGNSSGTSMSMNGIKPVVVPSSEDQENIINEDQGYDGANENEKNGDSENQEPPLKKKRTASTSDADSSLMKQVARISTMVGPQITAAAAEAAVAMLSDEMSCPREIFYGDHIDLTNGSLSPSIYQQERFS >KJB51229 pep chromosome:Graimondii2_0_v6:8:49293297:49296789:-1 gene:B456_008G207800 transcript:KJB51229 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MENKPRHDPNPDSNRPEEPELDLYTIPSYSSWFAWNDIHETERQALKEFFEGSSISRTPKIYKEYRDFIINKYREDPSRRLTFTEIRKSLIGDVTLLHKVFRFLETWGLINFLAPPPPHEGSENDDRVRVENGAPNGVRVVATPNSLRPLSAPVVKGKNSGGGVEESGVKLPPLASYSDVFGDLKRLHCGSCGDNCHSGYHEYKKDTFIVCDKCFKNGNYGEDKSMDDFELKDCREKSAANGTVWTEAETLLLLDSVLKHGDDWDLVAQNVQTKSKLDCITKLIELPFGESLIDSAKGRGNSSGTSMSMNGIKPVVVPSSEDQENIINEDQGYDGANENEKNGDSENQEPPLKKKRTASTSDADSSLMKQVARISTMVGPQITAAAAEAAVAMLSDEMSCPREIFYGDHIDLTNGSLSPSIYQQERAHNTEESEIKERSNPSESQETHPKKSDIPLPLRIRAAVATGLGAAAAHAKLLAVQEEKEIEHLVATIIEAQLKKLNSKIKHCEDAELLMEKEYAAIEGLKEYILGERINILRTTYNTGTSKLLEHSSVQSQTSNLG >KJB51224 pep chromosome:Graimondii2_0_v6:8:49294732:49296668:-1 gene:B456_008G207800 transcript:KJB51224 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MENKPRHDPNPDSNRPEEPELDLYTIPSYSSWFAWNDIHETERQALKEFFEGSSISRTPKIYKEYRDFIINKYREDPSRRLTFTEIRKSLIGDVTLLHKVFRFLETWGLINFLAPPPPHEGSENDDRVRVENGAPNGVRVVATPNSLRPLSAPVVKGKNSGGGVEESGVKLPPLASYSDVFGDLKRLHCGSCGDNCHSGYHEYKKDTFIVCDKCFKNGNYGEDKSMDDFELKDCREKSAANGTVWTEAETLLLLDSVLKHGDDWDLVAQNVQTKSKLDCITKLIELPFGESLIDSAKGRGNSSGTSMSMNGIKPVVVPSSEDQENIINEDQGYDGANENEKNGDSENQEPPLKKKRTASTSDADSSLMKQVARISTMVGPQITAAAAEAAVAMLSDEMSCPREIFYGDHIDLTNGSLSPSIYQQERLFKS >KJB48515 pep chromosome:Graimondii2_0_v6:8:12771555:12774140:-1 gene:B456_008G073200 transcript:KJB48515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRADLTVGPAMDMPIMHDSDRYDFVRDIGSGNFGVARLMRDKVTKELVAVKYIERGRKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICAAGRFNEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPRDFRKTIQRILSVQYAIPDVVQISPECQHLISRIFVADPSAVSNFCLLQL >KJB48513 pep chromosome:Graimondii2_0_v6:8:12771673:12774140:-1 gene:B456_008G073200 transcript:KJB48513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRADLTVGPAMDMPIMHDSDRYDFVRDIGSGNFGVARLMRDKVTKELVAVKYIERGRKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICAAGRFNEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPRDFRKTIQNS >KJB48514 pep chromosome:Graimondii2_0_v6:8:12770650:12774472:-1 gene:B456_008G073200 transcript:KJB48514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRADLTVGPAMDMPIMHDSDRYDFVRDIGSGNFGVARLMRDKVTKELVAVKYIERGRKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICAAGRFNEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPRDFRKTIQWFLKNLPADLMDENTMGSHFEEPDQPMQSTDTIMQIIAEATIPAAGAPGLNHYIVDNLDDEDMDDLDSESELDVDSSGEIVYAM >KJB48512 pep chromosome:Graimondii2_0_v6:8:12771555:12773398:-1 gene:B456_008G073200 transcript:KJB48512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEVSFSSGFVLRVGSMRTRFIFLYLAFNFMSPFCFVFNLYISVILVQARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPRDFRKTIQRILSVQYAIPDVVQISPECQHLISRIFVADPSAVSNFCLLQL >KJB48516 pep chromosome:Graimondii2_0_v6:8:12770650:12774479:-1 gene:B456_008G073200 transcript:KJB48516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRADLTVGPAMDMPIMHDSDRYDFVRDIGSGNFGVARLMRDKVTKELVAVKYIERGRKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICAAGRFNEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPRDFRKTIQRILSVQYAIPDVVQISPECQHLISRIFVADPSARITIPDIRNHEWFLKNLPADLMDENTMGSHFEEPDQPMQSTDTIMQIIAEATIPAAGAPGLNHYIVDNLDDEDMDDLDSESELDVDSSGEIVYAM >KJB48529 pep chromosome:Graimondii2_0_v6:8:13332546:13334750:1 gene:B456_008G074200 transcript:KJB48529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPTSFSLDQPYTEIIVVRHGETAWNATGRIQGHMDVELNEVGRQQAASLAERLSRVPEISAIYSSDLKRALETAETIVATCGKFEVIKDPDLRERHLGDVQGLLFREAAKVCPQAYRAFSSRRTDQVIPGGGESLDQLYHRATSSLQRICQKHTGKRVVVVTHGGVIRALYRRACSRRFRGSILNTSVNIIQISGDDVWTIKAWGDIDHLNQTGHSMSGFGVSKTPSVVSPVLT >KJB48528 pep chromosome:Graimondii2_0_v6:8:13332546:13334750:1 gene:B456_008G074200 transcript:KJB48528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVELNEVGRQQAASLAERLSRVPEISAIYSSDLKRALETAETIVATCGKFEVIKDPDLRERHLGDVQGLLFREAAKVCPQAYRAFSSRRTDQVIPGGGESLDQLYHRATSSLQRICQKHTGKRVVVVTHGGVIRALYRRACSRRFRGSILNTSVNIIQISGDDVWTIKAWGDIDHLNQTGHSMSGFGVSKTPSVVSPVLT >KJB51611 pep chromosome:Graimondii2_0_v6:8:51150258:51152118:-1 gene:B456_008G224700 transcript:KJB51611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDNERSQIAYVTFKDSQGAETAILLSGATIVNLSVSISLAPDYKLPPAAFAPPPPIQNQTPAGADSAMRKAEDVVTGMLAKGFILGKDAVNKAKSFDEKHRLTSTASSKVASFDKKIGFTEKVSAGTSAVSGKVREVDKKFQVSEKTKSAFSAAEQKVSSAGSAIMKNRYVFTGASWVTGAFNKVAKAAGDVGQKTKEKVGAAEEERKRKVVDDFAQIHLSESPKASAPNEQHNPSKPAPAQGLIL >KJB51612 pep chromosome:Graimondii2_0_v6:8:51150258:51152557:-1 gene:B456_008G224700 transcript:KJB51612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RQSHKGFINWLQSSHLSLTMSSIKTIKVSNVSLQATERDINEFFSFSGDIEYVEMQSDNERSQIAYVTFKDSQGAETAILLSGATIVNLSVSISLAPDYKLPPAAFAPPPPIQNQTPAGADSAMRKAEDVVTGMLAKGFILGKDAVNKAKSFDEKHRLTSTASSKVASFDKKIGFTEKVSAGTSAVSGKVREVDKKFQVSEKTKSAFSAAEQKVSSAGSAIMKNRYVFTGAFCTKRTT >KJB51610 pep chromosome:Graimondii2_0_v6:8:51150217:51152737:-1 gene:B456_008G224700 transcript:KJB51610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRFDGLAPRKLLLSLSLSLSRAHVLGTSHFVHHPNPRTCVQNLLWSCFATLGILIFLSSLRQSHKGFINWLQSSHLSLTMSSIKTIKVSNVSLQATERDINEFFSFSGDIEYVEMQSDNERSQIAYVTFKDSQGAETAILLSGATIVNLSVSISLAPDYKLPPAAFAPPPPIQNQTPAGADSAMRKAEDVVTGMLAKGFILGKDAVNKAKSFDEKHRLTSTASSKVASFDKKIGFTEKVSAGTSAVSGKVREVDKKFQVSEKTKSAFSAAEQKVSSAGSAIMKNRYVFTGASWVTGAFNKVAKAAGDVGQKTKEKVGAAEEERKRKVVDDFAQIHLSESPKASAPNEQHNPSKPAPAQGLIL >KJB51069 pep chromosome:Graimondii2_0_v6:8:48478838:48481917:1 gene:B456_008G199900 transcript:KJB51069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLTSLQLRRLLHRCVSSPSPFQPHALLSPKPQFTPTHFPKLPFVISKPFSTQNPSPQTPDPVALSLSAELLKDPSLDPLAITPRLQLHFSHIKPTPLLISQTLNLSPEAGRTVLGFNDWVLSDPDFNHTDETLSFFVDYFGRRKDFKAAHDLLVNHKSVAGPKTLNSSIDRLVRAGRPTQVLGFFERMEKDYGFNRDKESLKLVVEKLCENGYASYAEKLVKDSANEIFPDEMICDLLIKGWCVDGKLEEARRLAGEMYRGGFEIGTMAYNAMLDCVCKLCREKDPFRLHSEAEKVLLDMDFNGVPRNVETFNLLLNNLCKIRKTEDAMKLFFRMGEWGCYPNAESYLIMIRSLYQAARIGEGDEMIDGMKSAGFGDQLGKKEYYGFLKILCGIERVEHAMSVFKKMKADGCEPGIKTYDLLMGKWCAHNRLDRANALYNEALKNGVPVEPKPYRVDPRYMKKTKAVKKEKKRETFSEKMARKRRRLKQIRLSFVKKSKGRMRSA >KJB46803 pep chromosome:Graimondii2_0_v6:8:46309200:46310065:1 gene:B456_008G184200 transcript:KJB46803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRLCCMVLRLNIDCYGCFRKLRRTLLNMKEIETHLIEKQQCKVSVCGRLRPSDVAIKIRKKMNRRVEILEINEVEDEQTEQNQITSQ >KJB50492 pep chromosome:Graimondii2_0_v6:8:44951539:44952558:-1 gene:B456_008G173800 transcript:KJB50492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISHERGKAVEVYNNIDIFHDYNRTSSNVLCKEHPQLSSAGVCAYCLRDRLINLVCSDCGVQRFSSCSCAGRGGEAAGIGRVSFLIENENKEQVPNAKPRRSTSAGNKSEEIFLIKRSNSGCAEIKKKNGFWGIGRLFGKKRDKDCNDCAKSEGGVDEKSDLLVVDCTGVSRSRSLCSFRGGGFFGSEDRGDLTKFSGARSSISAARSSGFNGGLGFDAERKSGLSELGEPRKSGSDSAAGFKATRKAGGGGFMEVDGGANRRVQRYFNGGDDDSGFIDLKFGFQAETKGALSAFGSMRNGCSIENASSCQITVAEREIKQSRKSFKGWRWIFKSPEK >KJB50400 pep chromosome:Graimondii2_0_v6:8:44164389:44168281:1 gene:B456_008G168900 transcript:KJB50400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASSSATFFGNSAENENPMIKQQSSAAPTSSTAPTTAPHSKKKRNQPGTPNPDVEVIALSPKSLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSTKEVKKKVYLCPEPTCVHHEPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQETARHPTNNLTPIGSHHIFGGNHHQMSLGLSQNQPLTSNMLRLGSGTGVAKFEHLNSPPSNPSSLQNMPAFFMGDAANQGFLPEHQSHHGNGPYINKPLHGLMQLPDLQGNTNSTAPNSASLFNLGFFPSNSGASSISTGENGGASNSGFLSGNQFNMGDVQVGSGMASLYSSSSMQHENISPNMSATALLQKAAQMGSTTSNNTCSLLRGLGSSSSSGVKSDRQVFAPNNFESGGSLRSQMENDSNLQGLMNSLANGNSSIFGQDHSNFGGFTGNGMTVEHHSNNANFSNVDEAKLHQKLGVRIGESDKLTLDFLGVGEMVGNMGGNGQHGINIGSLNPDVKSSAQATSQQFGCPKLV >KJB50399 pep chromosome:Graimondii2_0_v6:8:44164643:44168277:1 gene:B456_008G168900 transcript:KJB50399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASSSATFFGNSAENENPMIKQQSSAAPTSSTAPTTAPHSKKKRNQPGTPNPDVEVIALSPKSLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSTKEVKKKVYLCPEPTCVHHEPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQETARHPTNNLTPIGSHHIFGGNHHQMSLGLSQNQPLTSNMLRLGSGTGVAKFEHLNSPPSNPSSLQNMPAFFMGDAANQGFLPEHQSHHGNGPYINKPLHGLMQLPDLQGNTNSTAPNSASLFNLGFFPSNSGASSISTGENGGASNSGFLSGNQFNMGDVQVGSGMASLYSSSSMQHENISPNMSATALLQKAAQMGSTTSNNTCSLLRGLGSSSSSGVKSDRQVFAPNNFESGGSLRSQMENDSNLQGLMNSLANGNSSIFGQDHSNFGGFTGNGMTVEHHSNNANFSNVDEAKLHQKLGVRIGESDKLTLDFLGVGEMVGNMGGNGQHGINIGSLNPDVKSSAQATSQQFGCPKLV >KJB53102 pep chromosome:Graimondii2_0_v6:8:56734245:56738099:-1 gene:B456_008G293700 transcript:KJB53102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVTHQLQGSYVACPSRPLLWRKGLTLKRPVVTLHMLGRRERSISLRHSTCLCIGAHTCGLNTKSIRISAFKGSVENGESGARAIDENVPKSSIKVSYVPKDGEATTIESSKAHNGPVTYSSETGENIVGSAAIQELFKKWIMILRSQSPSRVMDDALGEEAPPRDTSEAKIDTQRNRKGKILKTVWSYFWDMNATIKIPLLIFVPWYLGVNLIYGAEVSKELTPLWVFGPLIIALYIKMLRGLCALYVFCFTQTVQLIRNLPTYYLLAYNYIAHGKLKEDVRVHVWQPVVDMKNLDYKEVCIKKMKDFQEWMMEKYLDYVESIWPYYCRTIRFLKRANLI >KJB53104 pep chromosome:Graimondii2_0_v6:8:56734245:56737876:-1 gene:B456_008G293700 transcript:KJB53104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVTHQLQGSYVACPSRPLLWRKGLTLKRPVVTLHMLGRRERSISLRHSTCLCIGAHTCGLNTKSIRISAFKGSVENGESGARAIDENVPKSSIKVSYVPKDGEATTIESSKAHNGPVTYSSETGENIVGSAAIQELFKKWIMILRSQSPSRVMDDALGEEAPPRDTSEAKIDTQRNRKGKILKTVWSYFWDMNATIKIPLLIFVPWYLGVNLIYGAEVSKELTPLWVFGPLIIALYIKMLRGLCALYVFCFTQTVQLIRNLPTYYLLAYNYIAHGKLKEDVRVHVWQPVVDMKNLDYKEVCIKKMKDFQEWMMEKYLDYVESIWPYYCRTIRFLKRANLI >KJB53103 pep chromosome:Graimondii2_0_v6:8:56734202:56737499:-1 gene:B456_008G293700 transcript:KJB53103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVTHQLQGSYVACPSRPLLWRKGLTLKRPVVTLHMLGRRERSISLRHSTCLCIGAHTCGLNTKSIRISAFKGSVENGESGARAIDENVPKSSIKVSYVPKDGEATTIESSKAHNGPVTYSSETGENIVGSAAIQELFKKWIMILRSQSPSRVMDDALGEEAPPRDTSEAKIDTQRNRKGKILKTVWSYFWDMNATIKIPLLIFVPWYLGVNLIYGAEVSKELTPLWVFGPLIIALYIKMLRGLCALYVFCFTQTVQLIRNLPTYYLLAYNYIAHGKLKEDVRVHVWQPVVDMKNLDYKEVCIKKMKDFQEWMMEKYLDYVESIWPYYCRTIRFLKRANLI >KJB47992 pep chromosome:Graimondii2_0_v6:8:6985342:6986635:-1 gene:B456_008G049600 transcript:KJB47992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSTGTSSEWMQFYEQSMDEMSASSSLGFSDVDATIVASSESNQLNLSSGRDDQLAKGSSPKPIRRRARASKKTPTTLLNADASNFRALVQRFTGCPTTPPLSTNNRRGPINLNFALGSDHHQSGTASSVMPAAASDYYYPPSHQQHHAAFNRDVPYTNENANDGNYFL >KJB47993 pep chromosome:Graimondii2_0_v6:8:6985375:6986635:-1 gene:B456_008G049600 transcript:KJB47993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSTGTSSEWMQFYEQSMDEMSASSSLGFSDVDATIVASSESNQLNLSSGRDDQLAKGSSPKPIRRRARASKKTPTTLLNADASNFRALVQRFTGCPTTPPLSTNNRRGPINLNFALGSDHHQSGTASSVMPAAASDYYYPPSHQQHHAVSFPNVHPDAYFSSSSSRANADQILHDFDLDNISLQAFNRDVPYTNENANDGNYFL >KJB47837 pep chromosome:Graimondii2_0_v6:8:6017018:6017377:1 gene:B456_008G044600 transcript:KJB47837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFIKRLTKTDIEKRLAIPTNSLEHFLGFNGRQGAYLKVKDRSHRLWTFWCSVRKTSYPKPVFSSGWLQFSHHYNLRIGDKITLRKQLKRDVSNGVQYKIEVQRKINLFGKDVWAHVL >KJB49526 pep chromosome:Graimondii2_0_v6:8:36225610:36229161:1 gene:B456_008G123900 transcript:KJB49526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLQRIPRAVVEGVRFSTSNHPDEGPSYGYSDSLQSQTDQAGSSRVHLEQDRAELLRKLDELKERLSRSCDVIDKPKEKDTLFPSGSSEAKKPSMPLYGPDQHGMGAGPSYFSHFPEPFAYPVRHDMTRHGLHPPMHNPNHIPAYGDPFGSQILGRAPQQLPGEYQQAPHPYFSRQYMESNHDPFMPYPQSSVLHQASCSCFHCYEKHRRVPPPIPSRSFGDKRFPDVPCNPMYHFENHGTFSSHFHNSRTTMPQMSVLHSQAQARWPSDLKPEIGGFVQCHPQRVVVTSGGRRFRPIAGGAPFILCYNCFELLQMPKKKQLVAKTEYKLRCGACSSVINFTIVNKKLVLCDHAEMKGTSVRVDDISDGVINDSSSYFRGRVNRIAATFSPDDYDDSGYDFQSMDQEPVVSSMGQALNSIGPQEMQSFHSSSLSISEDDNSPDVLIASREEKNSVRQPIKSTMSPPPSGSPLQDHFDYSTSNQAVNRFGKGNCSNRSGQEKFTSNKAASRQNSLKEASLPTEMEVSFDDYNTGISQDSGEAAREGDQPKVAKGGESFFVNIVKKSFKDFSRFNQTEERRKSNISVNGHPITDSVLKKAEKMAGPIQPGQYWYDFRAGFWGVLGGPCLGIIPPFIEEFNYPMPENCAGGTTGVFVNGRELHQKDLDLLANRGLPTDRDRSYIIEISGRVLDEDTGEELDCLGKLAPTVEKAKHGFGMKVPKVAA >KJB49525 pep chromosome:Graimondii2_0_v6:8:36224839:36229161:1 gene:B456_008G123900 transcript:KJB49525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESNKVRLVRCPNCENLLPELADYSVYQCGGCGAVLRAKIKNPEADTSSEKLEEDKRGRVSSKSEIPLRKRLVDLSDASHVDVKSSLGSLRRDQNDAEKKSNVDCDDTGDKQPVENGNKDEITNSVEQEQEDSCSDFGYIGRLQRQAVKQGEMEGLQRIPRAVVEGVRFSTSNHPDEGPSYGYSDSLQSQTDQAGSSRVHLEQDRAELLRKLDELKERLSRSCDVIDKPKEKDTLFPSGSSEAKKPSMPLYGPDQHGMGAGPSYFSHFPEPFAYPVRHDMTRHGLHPPMHNPNHIPAYGDPFGSQILGRAPQQLPGEYQQAPHPYFSRQYMESNHDPFMPYPQSSVLHQASCSCFHCYEKHRRVPPPIPSRSFGDKRFPDVPCNPMYHFENHGTFSSHFHNSRTTMPQMSVLHSQAQARWPSDLKPEIGGFVQCHPQRVVVTSGGRRFRPIAGGAPFILCYNCFELLQMPKKKQLVAKTEYKLRCGACSSVINFTIVNKKLVLCDHAEMKGTSVRVDDISDGVINDSSSYFRGRVNRIAATFSPDDYDDSGYDFQSMDQEPVVSSMGQALNSIGPQEMQSFHSSSLSISEDDNSPDVLIASREEKNSVRQPIKSTMSPPPSGSPLQDHFDYSTSNQAVNRFGKGNCSNRSGQEKFTSNKAASRQNSLKEASLPTEMEVSFDDYNTGISQDSGEAAREGDQPKVAKGGESFFVNIVKKSFKDFSRFNQTEERRKSNISVNGHPITDSVLKKAEKMAGPIQPGQYWYDFRAGFWGVLGGPCLGIIPPFIEEFNYPMPENCAGGTTGVFVNGRELHQKDLDLLANRGLPTDRDRSYIIEISGRVLDEDTGEELDCLGKLAPTVEKAKHGFGMKVPKVAA >KJB47049 pep chromosome:Graimondii2_0_v6:8:974320:981775:-1 gene:B456_008G008200 transcript:KJB47049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDPDQTSVDSITLFVALLCSCIVIGHLLEKNRWFNESITALAIGLCSGIIILLTTEGKRSHILVFNEELFFIYLLPPIIFNAGFQVKKKQFFRNFAMIILFGAVGTLISFVIVSIGTMQLFKKLDIGFLDIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSIVFGEGVVNDATSIVLFNAIQKFDLSHITSRIFIEFIGNFLYFFITSTLLGVGVGLISAYIIKKLYIGRHSTDREVALMILMAYLSYMMAELFNLSSILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFISEIFIFLYVGMDALDIEKWKIVSKSPGTSAGVSSILLGLVLVGRAASVFPLSFISNLFKRSESDKFTLKQQVTIWWAGLMRGSVSVALAYNQFTRSGHTQLRGNSIMITSTITVVLFSTVVFGLMTKPLIRLLLPSKHLCGGVSSGSFNSFPSKLMTDLPLIANGDAEVGGNNIPRPTSLRMLLATPTRTVHYYWRKFDDSVMRPMFGGRGFMPHVPGSPTEPLLH >KJB47050 pep chromosome:Graimondii2_0_v6:8:974326:981775:-1 gene:B456_008G008200 transcript:KJB47050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDPDQTSVDSITLFVALLCSCIVIGHLLEKNRWFNESITALAIGLCSGIIILLTTEGKRSHILVFNEELFFIYLLPPIIFNAGFQVKKKQFFRNFAMIILFGAVGTLISFVIVSIGTMQLFKKLDIGFLDIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSIVFGEGVVNDATSIVLFNAIQKFDLSHITSRIFIEFIGNFLYFFITSTLLGVGVGLISAYIIKKLYIGRHSTDREVALMILMAYLSYMMAELFNLSSILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFISEIFIFLYVGMDALDIEKWKIVSKSPGTSAGVSSILLGLVLVGRAASVFPLSFISNLFKRLQYGGQGSCEVLCLWHLLIISLLDRGIHNCVEIP >KJB51609 pep chromosome:Graimondii2_0_v6:8:51149165:51150145:1 gene:B456_008G224600 transcript:KJB51609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDKQPAKLDEEQMAELRETFPSFDRSNDGSLTQLELGWLLQSLRLNPSSSDQVEAPIQKADSNNNGLVEFSEFATMVAPELLSEKSPYSEEQLRRLFKMFDTDGNGFIKAAELAHSTWKCTDSGGVVGDDQGSRYRRGR >KJB50910 pep chromosome:Graimondii2_0_v6:8:47615366:47618303:-1 gene:B456_008G192700 transcript:KJB50910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g74600, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74600) UniProtKB/Swiss-Prot;Acc:Q9CA56] NVISQDQTTSIGSYSEMRSVLNKQFHTHISYSSCKCISTLAAIEAPSIYSVENDKTSPTFSSASNFVIDYRRSRNYAIKSTKILHAHLLKTSKLQTYIFVANNLLDRYCKWGSMEEAVKLFDKMPEPTVTSWNTLISGFNYNKLFESSWLWFSKMWISGFEPDEISYRNVLSACVAMQSISFGKQVYSVTMKNGLYSNGYVRTGMIDLFAKCCAFWDALRVFYDVSGCENVVCWNGIISAAVRNEENWIALDLFVQMGKQFLMPNSFTFSSVLTACAALKELEIGKEVQGWIIKCGGVDVFVGTALIDFYVKSGDMDEAVKAFSWMPTRNVVSWTAIISGFVQKDDCINALKFFKEMRYMNLEVNNYTATAVISACAKLNMIEEATQIHSWVIKSGFCMDSVIKVALVNMYSKIGVIGMAEIVFKEMESIRSSADTLAVLISSFAQKQSSQYVIELLTRMLKEGVRPDRFCTSSVFSVIECLKLGRQMHCYTLKTGLIFDLSVETSLFTMYSKCGTLEDSLKVFQSMPVHDNISWASMIAGFTEHGYAERAVQMFKDMQSEDTKPDQMTLSATLSACCSLCCLRKGKEIHGYAIRAGLGSEALICSTLITVYSKCRALQLARKVFDMLVQKDLVSYSSLISGYAQSGLVQEAVSLFCAMMNSNLAINSYTLSSILRACALPKKSGIGTQLHALVIKVGLDSEVSVGSSLVMMYSERGSIKDCETAFNEIDKPDLVGWTAMISSYAQHGKGLEALRIYEVMKKQGINPDPVTFVKVLSACSHSGLIEAGHYHLNSMAKDYGIQPNCRHYACMVDILGRSGKLKEAEKFINNMPIEPDAFIWGTLLAACKVHGDVELGRLAAKKVIELEPSAAGAYVSLSNICADMGQWEGALEIRSLMEGSGARKEPGWSSM >KJB51828 pep chromosome:Graimondii2_0_v6:8:51960752:51966673:1 gene:B456_008G233400 transcript:KJB51828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPEINGPLFRSSSISNGGTIRSASPVLSLQPNFSSQAVQEALEHLASIDLSELFNEAKIEYCRATRDLRSCSRYVQYALNSCGHASLCAECSQRCDLCPICRTPLIKSGNGRLRLRLFDECIDAGLISRRCDERFQDKEDRDNQLTADVQRLYSFFDVALENNLVSLVCHYVTDVCMDETAVSSDVVTALLLDEKVVKDWVKRTFKNMATELQRIYYLEIEEMKNRLGLLLKFSVRLTSLSSVLEVLESSFKGRLSAQLHDLHHLQESILKTKQHLEITIWCIRHHFLEQVRSRHADFTSWRNHVRERKSASIVRAWPPPDVLDLSTNSTGQEASLFIEDALENLDIYEKIGEESDFAFLQKNGALSFLQSKIGGITGYYPFESLRAAVDILFLRGGSDLVVAKQAIFVYYLFDRHWSRPKEEWRDIVDDFAASFGINRHSLLESFIFCLLDDHSDEALLESCQLLPEISGPETHPKIAQVLLERQNPKAAQMVIRWSGRDGGSQLVSLSEAVTIVRVKVECGLLTEAFTYQRMLCAKVREKKFKYERSGDAFDDLKGQSRSWMDWLEALVTEFCCLCIRRNMVDRIIELPWNGEEEKYIHKCLLDCASDDPTTSIGSLLVVFYLQRYRYVEAYQVNVKLWSLEEDFISTHSGNEEFLEALSRMESHRQRRKKLVDKGIELLPEVLQQQVKTGTLPDIVVASGQEDEMPTRSGSPKSASLLVPSSSDSVVLRPDHMVTPLRPPVSEIPRILDGYVNNSRVEAGNHGSTSILQGRLFADAGVSNVEIGKNFNFDNISGPGIHRVNPTYATPLKGINQSSSRELSNRHLRQKQSDKIISEGEQNGFVSQVHSPSPPYSRRVTANPASTPSSNFGLFKGAANNLSSNISGKRGQSDRDDGGWNVPPTEDVMDVSWSHRERSFEDRNAHVGLRWRSDETSDDEEQCPDRTMEVGATPVRGHRRRRFTRR >KJB51829 pep chromosome:Graimondii2_0_v6:8:51960936:51964619:1 gene:B456_008G233400 transcript:KJB51829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPEINGPLFRSSSISNGGTIRSASPVLSLQPNFSSQAVQEALEHLASIDLSELFNEAKIEYCRATRDLRSCSRYVQYALNSCGHASLCAECSQRCDLCPICRTPLIKSGNGRLRLRLFDECIDAGLISRRCDERFQDKEDRDNQLTADVQRLYSFFDVALENNLVSLVCHYVTDVCMDETAVSSDVVTALLLDEKVVKDWVKRTFKNMATELQRIYYLEIEEMKNRLGLLLKFSVRLTSLSSVLEVLESSFKGRLSAQLHDLHHLQESILKTKQHLEITIWCIRHHFLEQVRSRHADFTSWRNHVRERKSASIVRAWPPPDVLDLSTNSTGQEASLFIEDALENLDIYEKIGEESDFAFLQKNGALSFLQSKIGGITGYYPFESLRAAVDILFLRGGSDLVVAKQAIFVYYLFDRHWSRPKEEWRDIVDDFAASFGINRHSLLESFIFCLLDDHSDEALLESCQLLPEISGPETHPKIAQVLLERQNPKAAQMVIRWSGRDGGSQLVSLSEAVTIVRVKVECGLLTEAFTYQRMLCAKVREKKFKYERSGDAFDDLKGQSRSWMDWLEALVTEFCCLCIRRNMVDRIIELPWNGEEEKYIHKCLLDCASDDPTTSIGSLLVVFYLQVMYGITEDQ >KJB51830 pep chromosome:Graimondii2_0_v6:8:51960936:51966608:1 gene:B456_008G233400 transcript:KJB51830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPEINGPLFRSSSISNGGTIRSASPVLSLQPNFSSQAVQEALEHLASIDLSELFNEAKIEYCRATRDLRSCSRYVQYALNSCGHASLCAECSQRCDLCPICRTPLIKSGNGRLRLRLFDECIDAGLISRRCDERFQDKEDRDNQLTADVQRLYSFFDVALENNLVSLVCHYVTDVCMDETAVSSDVVTALLLDEKVVKDWVKRTFKNMATELQRIYYLEIEEMKNRLGLLLKFSVRLTSLSSVLEVLESSFKGRLSAQLHDLHHLQESILKTKQHLEITIWCIRHHFLEQVRSRHADFTSWRNHVRERKSASIVRAWPPPDVLDLSTNSTGQEASLFIEDALENLDIYEKIGEESDFAFLQKNGALSFLQSKIGGITGYYPFESLRAAVDILFLRGGSDLVVAKQAIFVYYLFDRHWSRPKEEWRDIVDDFAASFGINRHSLLESFIFCLLDDHSDEALLESCQLLPEISGPETHPKIAQVLLERQNPKAAQMVIRWSGRDGGSQLVSLSEAVTIVRVKVECGLLTEAFTYQRMLCAKVREKKFKYERSGDAFDDLKGQSRSWMDWLEALVTEFCCLCIRRNMVDRIIELPWNGEEEKYIHKCLLDCASDDPTTSIGSLLVVFYLQRYRYVEAYQVNVKLWSLEEDFISTHSGNEEFLEALSRMESHRQRRKKLVVR >KJB48652 pep chromosome:Graimondii2_0_v6:8:15488522:15490566:1 gene:B456_008G080000 transcript:KJB48652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLKFQAMESAASNCDEEQKCEAEEEEEEALSLCDLPVNLIKEENQIQPRNEEDGESQAIKTEEDFNFGSWDGCLSTKPEMCAADEVFFKGQILPLCVSISSDSSLIWCHRQDSQNKPRSESIDHGSLSRFTSVTSSSRSSSTGSSHYSTNSSNSTTVTAATSFNSITSSKSNPNKSINNFNTHPSPKPQIRLSKTRPMNISSSRNQTSSSVWDFFRLGLVRAPELEFHELKIRTNNNNNPSRNSVSRNSSCSSSNSQLDSIKGFLRKGLFSGCKCSVNVVETVPLNKIAVIKSMMIKKKSEKEKAMFQAAMEEKTNLLQEVKIKKKMKEKRVLSRHRTYEWLKELSHAGFVDEA >KJB49643 pep chromosome:Graimondii2_0_v6:8:37664185:37664890:-1 gene:B456_008G131200 transcript:KJB49643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAFSASKFAAQPFPLNTISPTSQNKNKLNKLHPSEKAHYAARDPITALKKYLIENRLASEADLKAIDKKIDEVVEDAVEFADESPVPPRSQLLENVFADPKGFGIGPDDRYRCEDPKFTEGTAQV >KJB49704 pep chromosome:Graimondii2_0_v6:8:38158827:38164045:1 gene:B456_008G134300 transcript:KJB49704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHHPLPFFHSDDLLRRRSAASDRIHDDSSDDHVKVKPHIMEMDFFSTDHHQDSKINTNASSSSFDVNTGLNLVSSRTASDKKSNTEMSVLKIELERLQEENRRLKSMLDHITRNYNELQGQLFMAVQKQALLAINKQQVNKEGVKGMSSHPIMSIQQSMDPRRPLTTLDVNEPSATDDKRTELSASSPANATTSTMDVVSKDGNDDHHRTVQIQGKHVFVEDVIDQTCQQSWGTSPKSSPMVDEPKKEEEQVPEVALKKARVSVRARSEAPMIIDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDKSILITTYEGNHNHHLPPAATAMAKTTCAAAAMVLSGSTTSNDGLPNSGYFHSSLPYATMATLSASPPFPTITLDLTQGPNPVPFIRPPPSPPTFPLPLHGYPKLSALPAMQIGQQQQQHTASMVETVTAAIASDPNFTAVLAAAISTFMGSPPPPLPPSNNGNNSTTSQALPGSPQLPQSCTTFSTN >KJB49705 pep chromosome:Graimondii2_0_v6:8:38158885:38164030:1 gene:B456_008G134300 transcript:KJB49705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLKIELERLQEENRRLKSMLDHITRNYNELQGQLFMAVQKQALLAINKQQVNKEGVKGMSSHPIMSIQQSMDPRRPLTTLDVNEPSATDDKRTELSASSPANATTSTMDVVSKDGNDDHHRTVQIQGKHVFVEDVIDQTCQQSWGTSPKSSPMVDEPKKEEEQVPEVALKKARVSVRARSEAPMIIDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDKSILITTYEGNHNHHLPPAATAMAKTTCAAAAMVLSGSTTSNDGLPNSGYFHSSLPYATMATLSASPPFPTITLDLTQGPNPVPFIRPPPSPPTFPLPLHGYPKLSALPAMQIGQQQQQHTASMVETVTAAIASDPNFTAVLAAAISTFMGSPPPPLPPSNNGNNSTTSQALPGSPQLPQSCTTFSTN >KJB52084 pep chromosome:Graimondii2_0_v6:8:53062734:53065943:-1 gene:B456_008G246100 transcript:KJB52084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent enzyme, beta subunit domain containing protein [Source: Projected from Oryza sativa (Os04g0555900)] MEVNKEKYAADLSSIKEARARISSFINKTPLMTSESLDAISGRRLFFKCECFQKGGAFKFRGACNAIFSLDDQQAAKGVVTHSSGNHAAALALAAKLRGITSYIVIPKNAPQCKVQNVVRYGGQVIWSETTIKSREETATTVQQETGAALIHPYNDGRIISGQGTISLELLEQAPHIDTIIVPISGGGLISGVALAAKSINPAIRVLAAEPKGANDAAQSKAAGRIVTLPETNTVADGLRAFLGEFTWPIVRDLVDDVITVDDTEIIKAMRLCYEILKVAVEPSGAIGLAAVLSDSFRTNPAWKDCNQIGIILSGGNVDLEVLWNSFSK >KJB48860 pep chromosome:Graimondii2_0_v6:8:21378841:21380548:-1 gene:B456_008G090500 transcript:KJB48860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASSNPHNKEMQIRRRISNIFNKREEDFPSLREYNDYLEEVEDMIFKLIEGIDVQAIEEKITNYQQENAEQIMINQARKAEDLAAAMAASKGIPVQADTDGALSQNSQAGFVAGTQGQYAPTVAGGQPRPTGMAPQPVPLAGGLDMHGYALDDEEMMRLRAERGGRAGGWSIELSKKRALEEAFASIWI >KJB48861 pep chromosome:Graimondii2_0_v6:8:21378536:21380893:-1 gene:B456_008G090500 transcript:KJB48861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASSNPHNKEMQIRRRISNIFNKREEDFPSLREYNDYLEEVEDMIFKLIEGIDVQAIEEKITNYQQENAEQIMINQARKAEDLAAAMAASKGIPVQADTDGNSQAGFVAGTQGQYAPTVAGGQPRPTGMAPQPVPLAGGLDMHGYALDDEEMMRLRAERGGRAGGWSIELSKKRALEEAFASIWI >KJB48862 pep chromosome:Graimondii2_0_v6:8:21379404:21380826:-1 gene:B456_008G090500 transcript:KJB48862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASSNPHNKEMQIRRRISNIFNKREEDFPSLREYNDYLEEVEDMIFKLIEGIDVQAIEEKITNYQQENAEQIMINQARKAEDLAAAMAASKGIPVQADTDGVREFYHHCCFKRLPQFLNSVSKHKACIVSLVLCS >KJB48859 pep chromosome:Graimondii2_0_v6:8:21378536:21380826:-1 gene:B456_008G090500 transcript:KJB48859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASSNPHNKEMQIRRRISNIFNKREEDFPSLREYNDYLEEVEDMIFKLIEGIDVQAIEEKITNYQQENAEQIMINQARKAEDLAAAMAASKGIPVQADTDGPELTSRVCSWYPRSICTDSCWRTATTNRHGTTTGTTCWWAGHAWLCS >KJB48015 pep chromosome:Graimondii2_0_v6:8:7093671:7098101:-1 gene:B456_008G050400 transcript:KJB48015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKISAACAMEWSIQLDKALRSSNPALAVETILQTGPRLEQWGQEPEATKALCSIFGLVPGEDRLFANAILLRLADAFRFGDKKIRLSVVRIFLTSIRCNRSKKLGKQKRRTFLNSRVYNHEELLRRVKVVFDTGDVESRAMALVLFGCWADFAKDSAEIRYLVLSSMVSSNILEVKASLFAASRFCELTDDFASVVLEMMVNMMASPETLPAVRLGGASVFTRMVCSYSVSKRAYKTGIKLVLDSSEENFIIAMLVSLSKLVSKSTSLISEQVDVLLPYLSQENSWQMRETALKCLHLLFVKEGCCSPVNMHVIKAVFSILHELELPSVMHCGALQILHKIFLLTLPNLPSFEKLEFDHLLAILENAAQSPIMSKCLAALRILTDISSKLWARKNSESFAVYSSPLPLRVISLVMAQLRSLVMQLPDPCQTSSRIFHEIKSLLKLILQLVGEHPDLGATVLGEIGSFIKYFGTPNENVLASKHIASSEVLDFEGDMYQAFRSKLLSHIHRFVATCLQILNEAGAITTNVFDKVQLLVKHLHHGRIFDCYTRTVYSLLLHSRLFGILIEHPFKQELATLEHACKMLSERDNWHSYKAGIYATNQGAWITATFIFAELMSRVQSESCYCWFKSLVQFSHSEAKLWLSPLAKQGSFLEGSVGTNELLAFLKDNFGELGQDAAGNDDVPNYRDVLVGAYKNVCSSIETLERVIISRKAFCFQRWFFSLRAKLLGAVGEILEVLDTSKQETFSIIIEVQNSALTNIKCLEKFTQFSCRLNRLAKEFNLINSSFIGMDCESLKIIARLALSCSLLAFSAGFPHFFPNLPAYKYLRTCVREHSQQSNLSSMLLQDLLGRLLHIDHEVSMDLCKLLDNGGCPTKCSHLQSGNYILKSGHEVKDILDIIRYAVSTVMHLQIETNRIQNEAIISHVTKNGVELLLEISRKWLQIPFQMPKQFFNTRAFCLQYR >KJB48012 pep chromosome:Graimondii2_0_v6:8:7092821:7095921:-1 gene:B456_008G050400 transcript:KJB48012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKCLAALRILTDISSKLWARKNSESFAVYSSPLPLRVISLVMAQLRSLVMQLPDPCQTSSRIFHEIKSLLKLILQLVGEHPDLGATVLGEIGSFIKYFGTPNENVLASKHIASSEVLDFEGDMYQAFRSKLLSHIHRFVATCLQILNEAGAITTNVFDKVQLLVKHLHHGRIFDCYTRTVYSLLLHSRLFGILIEHPFKQELATLEHACKMLSERDNWHSYKAGIYATNQGAWITATFIFAELMSRVQSESCYCWFKSLVQFSHSEAKLWLSPLAKQGSFLEGSVGTNELLAFLKDNFGELGQDAAGNDDVPNYRDVLVGAYKNVCSSIETLERVIISRKAFCFQRWFFSLRAKLLGAVGEILEVLDTSKQETFSIIIEVQNSALTNIKCLEKFTQFSCRLNRLAKEFNLINSSFIGMDCESLKIIARLALSCSLLAFSAGFPHFFPNLPAYKYLRTCVREHSQQSNLSSMLLQDLLGRLLHIDHEVSMDLCKLLDNGGCPTKCSHLQSGNYILKSGHEVKDILDIIRYAVSTVMHLQIETNRIQNEAIISHVTKNGVELLLEISRKWLQIPFQMPKQFFNTRPIIGSELFVYSTDSRNQSQITVSSGLHLSLNLCLQLRNASPELSLGLTKLYCILYSRVSFQKQSHRERNNEEMESQCQPWESEEIVEMNEKLFQYVTECAKKTTSYGKRFRDDDMNDDQMVYEFVCFEPNAKGQGFSSCVLDVSHFPVGCYRVEWYSCCIDNEGSYWSLLPLNSRPVFTVQESLFV >KJB48017 pep chromosome:Graimondii2_0_v6:8:7093098:7098519:-1 gene:B456_008G050400 transcript:KJB48017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKISAACAMEWSIQLDKALRSSNPGSICFFYLFIKFNVNPFHHLLQLHGTKLVSPYPALAVETILQTGPRLEQWGQEPEATKALCSIFGLVPGEDRLFANAILLRLADAFRFGDKKIRLSVVRIFLTSIRCNRSKKLGKQKRRTFLNSRVYNHEELLRRVKVVFDTGDVESRAMALVLFGCWADFAKDSAEIRYLVLSSMVSSNILEVKASLFAASRFCELTDDFASVVLEMMVNMMASPETLPAVRLGGASVFTRMVCSYSVSKRAYKTGIKLVLDSSEENFIIAMLVSLSKLVSKSTSLISEQVDVLLPYLSQENSWQMRETALKCLHLLFVKEGCCSPVNMHVIKAVFSILHELELPSVMHCGALQILHKIFLLTLPNLPSFEKLEFDHLLAILENAAQSPIMSKCLAALRILTDISSKLWARKNSESFAVYSSPLPLRVISLVMAQLRSLVMQLPDPCQTSSRIFHEIKSLLKLILQLVGEHPDLGATVLGEIGSFIKYFGTPNENVLASKHIASSEVLDFEGDMYQAFRSKLLSHIHRFVATCLQILNEAGAITTNVFDKVQLLVKHLHHGRIFDCYTRTVYSLLLHSRLFGILIEHPFKQELATLEHACKMLSERDNWHSYKAGIYATNQGAWITATFIFAELMSRVQSESCYCWFKSLVQFSHSEAKLWLSPLAKQGSFLEGSVGTNELLAFLKDNFGELGQDAAGNDDVPNYRDVLVGAYKNVCSSIETLERVIISRKAFCFQRWFFSLRAKLLGAVGEILEVLDTSKQETFSIIIEVQNSALTNIKCLEKFTQFSCRLNRLAKEFNLINSSFIGMDCESLKIIARLALSCSLLAFSAGFPHFFPNLPAYKYLRTCVREHSQQSNLSSMLLQDLLGRLLHIDHEVSMDLCKLLDNGGCPTKCSHLQSGNYILKSGHEVKDILDIIRYAVSTVMHLQIETNRIQNEAIISHVTKNGVELLLEISRKWLQIPFQMPKQFFNTR >KJB48020 pep chromosome:Graimondii2_0_v6:8:7093151:7098251:-1 gene:B456_008G050400 transcript:KJB48020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKISAACAMEWSIQLDKALRSSNPGSICFFYLFIKFNVNPFHHLLQLHGTKLVSPYPALAVETILQTGPRLEQWGQEPEATKALCSIFGLVPGEDRLFANAILLRLADAFRFGDKKIRLSVVRIFLTSIRCNRSKKLGKQKRRTFLNSRVYNHEELLRRVKVVFDTGDVESRAMALVLFGCWADFAKDSAEIRYLVLSSMVSSNILEVKASLFAASRFCELTDDFASVVLEMMVNMMASPETLPAVRLGGASVFTRMVCSYSVSKRAYKTGIKLVLDSSEENFIIAMLVSLSKLVSKSTSLISEQVDVLLPYLSQENSWQMRETALKCLHLLFVKEGCCSPVNMHVIKAVFSILHELELPSVMHCGALQILHKIFLLTLPNLPSFEKLEFDHLLAILENAAQSPIMSKCLAALRILTDISSKLWARKNSESFAVYSSPLPLRVISLVMAQLRSLVMQLPDPCQTSSRIFHEIKSLLKLILQLVGEHPDLGATVLGEIGSFIKYFGTPNENVLASKHIASSEVLDFEGDMYQAFRSKLLSHIHRFVATCLQILNEAGAITTNVFDKVQLLVKHLHHGRIFDCYTRTVYSLLLHSRLFGILIEHPFKQELATLEHACKMLSERDNWHSYKAGIYATNQGAWITATFIFAELMSRVQSESCYCWFKSLVQFSHSEAKLWLSPLAKQGSFLEGSVGTNELLAFLKDNFGELGQDAAGNDDVPNYRDVLVGAYKNVCSSIETLERVIISRKAFCFQRWFFSLRAKLLGAVGEILEVLDTSKQETFSIIIEVQNSALTNIKCLEKFTQFSCRLNRLAKEFNLINSSFIGMDCESLKIIARLALSCSLLAFSAGFPHFFPNLPAYKYLRTCVREHSQQSNLSSMLLQDLLGRLLHIDHEVSMDLCKLLDNGGCPTKCSHLQSGNYILKSGHEVKDILDIIRYAVSTVMHLQIETNRIQNEAIISHVTKNGVELLLEISRKWLQIPFQMPKQFFNTRPIIGSELFVYSTDSRNQSQITVSSGLHLSLNLCLQLRNASPELSLGLTKLYCILYSRVSFQKQSHRERNNEEMESQCQPWESEEIVEMNEKLFQYVTECAKKTTSYGKRFRDDDMNDDQMVYEFVCFEPNAKGQGFSSCVLDVSHFPVGCYRVEWYSCCIDNEGSYWSLLPLNSRPVFTVQESLFV >KJB48013 pep chromosome:Graimondii2_0_v6:8:7093151:7098101:-1 gene:B456_008G050400 transcript:KJB48013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKISAACAMEWSIQLDKALRSSNPALAVETILQTGPRLEQWGQEPEATKALCSIFGLVPGEDRLFANAILLRLADAFRFGDKKIRLSVVRIFLTSIRCNRSKKLGKQKRRTFLNSRVYNHEELLRRVKVVFDTGDVESRAMALVLFGCWADFAKDSAEIRYLVLSSMVSSNILEVKASLFAASRFCELTDDFASVVLEMMVNMMASPETLPAVRLGGASVFTRMVCSYSVSKRAYKTGIKLVLDSSEENFIIAMLVSLSKLVSKSTSLISEQVDVLLPYLSQENSWQMRETALKCLHLLFVKEGCCSPVNMHVIKAVFSILHELELPSVMHCGALQILHKIFLLTLPNLPSFEKLEFDHLLAILENAAQSPIMSKCLAALRILTDISSKLWARKNSESFAVYSSPLPLRVISLVMAQLRSLVMQLPDPCQTSSRIFHEIKSLLKLILQLVGEHPDLGATVLGEIGSFIKYFGTPNENVLASKHIASSEVLDFEGDMYQAFRSKLLSHIHRFVATCLQILNEAGAITTNVFDKVQLLVKHLHHGRIFDCYTRTVYSLLLHSRLFGILIEHPFKQELATLEHACKMLSERDNWHSYKAGIYATNQGAWITATFIFAELMSRVQSESCYCWFKSLVQFSHSEAKLWLSPLAKQGSFLEGSVGTNELLAFLKDNFGELGQDAAGNDDVPNYRDVLVGAYKNVCSSIETLERVIISRKAFCFQRWFFSLRAKLLGAVGEILEVLDTSKQETFSIIIEVQNSALTNIKCLEKFTQFSCRLNRLAKEFNLINSSFIGMDCESLKIIARLALSCSLLAFSAGFPHFFPNLPAYKYLRTCVREHSQQSNLSSMLLQDLLGRLLHIDHEVSMDLCKLLDNGGCPTKCSHLQSGNYILKSGHEVKDILDIIRYAVSTVMHLQIETNRIQNEAIISHVTKNGVELLLEISRKWLQIPFQMPKQFFNTRPIIGSELFVYSTDSRNQSQITVSSGLHLSLNLCLQLRNASPELSLGLTKLYCILYSRVSFQKQSHRERNNEEMESQCQPWESEEIVEMNEKLFQYVTECAKKTTSYGKRFRDDDMNDDQMVYEFVCFEPNAKGQGFSSCVLDVSHFPVGCYRVEWYSCCIDNEGSYWSLLPLNSRPVFTVQESLFV >KJB48016 pep chromosome:Graimondii2_0_v6:8:7093151:7098101:-1 gene:B456_008G050400 transcript:KJB48016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKISAACAMEWSIQLDKALRSSNPALAVETILQTGPRLEQWGQEPEATKALCSIFGLVPGEDRLFANAILLRLADAFRFGDKKIRLSVVRIFLTSIRCNRSKKLGKQKRRTFLNSRVYNHEELLRRVKVVFDTGDVESRAMALVLFGCWADFAKDSAEIRYLVLSSMVSSNILEVKASLFAASRFCELTDDFASVVLEMMVNMMASPETLPAVRLGGASVFTRMVCSYSVSKRAYKTGIKLVLDSSEENFIIAMLVSLSKLVSKSTSLISEQVDVLLPYLSQENSWQMRETALKCLHLLFVKEGCCSPVNMHVIKAVFSILHELELPSVMHCGALQILHKIFLLTLPNLPSFEKLEFDHLLAILENAAQSPIMSKCLAALRILTDISSKLWARKNSESFAVYSSPLPLRVISLVMAQLRSLVMQLPDPCQTSSRIFHEIKSLLKLILQLVGEHPDLGATVLGEIGSFIKYFGTPNENVLASKHIASSEVLDFEGDMYQAFRSKLLSHIHRFVATCLQILNEAGAITTNVFDKVQLLVKHLHHGRIFDCYTRTVYSLLLHSRLFGILIEHPFKQELATLEHACKMLSERDNWHSYKAGIYATNQGAWITATFIFAELMSRVQSESCYCWFKSLVQFSHSEAKLWLSPLAKQGSFLEGSVGTNELLAFLKDNFGELGQDAAGNDDVPNYRDVLVGAYKNVCSSIETLERVIISRKAFCFQRWFFSLRAKLLGAVGEILEVLDTSKQETFSIIIEVQNSALTNIKCLEKFTQFSCRLNRLAKEFNLINSSFIGMDCESLKIIARLALSCSLLAFSAGFPHFFPNLPAYKYLRTCVREHSQQSNLSSMLLQDLLGRLLHIDHEVSMDLCKLLDNGGCPTKCSHLQSGNYILKSGHEVKDILDIIRYAVSTVMHLQIETNRIQNEAIISHVTKNGVELLLEISRKWLQIPFQMPKQFFNTRPIIGSELFVYSTDSRNQSQITVSSGLHLSLNLCLQLRNASPELSLGLTKLYCILYSRVSFQKQSHRERNNEEMESQCQPWESEEIVEMNEKLFQYVTECAKKTTSYGKRFRDDDMNDDQMVYEFVCFEPNAKGQGFSSCVLDVSHFPVGCYRVEWYSCCIDNEGSYWSLLPLNSRPVFTVQESLFV >KJB48014 pep chromosome:Graimondii2_0_v6:8:7093151:7098101:-1 gene:B456_008G050400 transcript:KJB48014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKISAACAMEWSIQLDKALRSSNPGSICFFYLFIKFNVNPFHHLLQLHGTKLVSPYPALAVETILQTGPRLEQWGQEPEATKALCSIFGLVPGEDRLFANAILLRLADAFRFGDKKIRLSVVRIFLTSIRCNRSKKLGKQKRRTFLNSRVYNHEELLRRVKVVFDTGDVESRAMALVLFGCWADFAKDSAEIRYLVLSSMVSSNILEVKASLFAASRFCELTDDFASVVLEMMVNMMASPETLPAVRLGGASVFTRMVCSYSVSKRAYKTGIKLVLDSSEENFIIAMLVSLSKLVSKSTSLISEQVDVLLPYLSQENSWQMRETALKCLHLLFVKEGCCSPVNMHVIKAVFSILHELELPSVMHCGALQILHKIFLLTLPNLPSFEKLEFDHLLAILENAAQSPIMSKCLAALRILTDISSKLWARKNSESFAVYSSPLPLRVISLVMAQLRSLVMQLPDPCQTSSRIFHEIKSLLKLILQLVGEHPDLGATVLGEIGSFIKYFGTPNENVLASKHIASSEVLDFEGDMYQAFRSKLLSHIHRFVATCLQILNEAGAITTNVFDKVQLLVKHLHHGRIFDCYTRTVYSLLLHSRLFGILIEHPFKQELATLEHACKMLSERDNWHSYKAGIYATNQGAWITATFIFAELMSRVQSESCYCWFKSLVQFSHSEAKLWLSPLAKQGSFLEGSVGTNELLAFLKDNFGELGQDAAGNDDVPNYRDVLVGAYKNVCSSIETLERVIISRKAFCFQRWFFSLRAKLLGAVGEILEVLDTSKQETFSIIIEVQNSALTNIKCLEKFTQFSCRLNRLAKEFNLINSSFIGMDCESLKIIARLALSCSLLAFSAGFPHFFPNLPAYKYLRTCVREHSQQSNLSSMLLQDLLGRLLHIDHEVSMDLCKLLDNGGCPTKCSHLQSGNYILKSGHEVKDILDIIRYAVSTVMHLQIETNRIQNEAIISHVTKNGVELLLEISRKWLQIPFQMPKQFFNTRPIIGSELFVYSTDSRNQSQITVSSGLHLSLNLCLQLRNASPELSLGLTKLYCILYSRVSFQKQSHRERNNEEMESQCQPWESEEIVEMNEKLFQYVTECAKKTTSYGKRFRDDDMNDDQMVYEFVCFEPNAKGQGFSSCVLDVSHFPVGCYRVEWYSCCIDNEGSYWSLLPLNSRPVFTVQESLFV >KJB48019 pep chromosome:Graimondii2_0_v6:8:7093098:7098519:-1 gene:B456_008G050400 transcript:KJB48019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKISAACAMEWSIQLDKALRSSNPALAVETILQTGPRLEQWGQEPEATKALCSIFGLVPGEDRLFANAILLRLADAFRFGDKKIRLSVVRIFLTSIRCNRSKKLGKQKRRTFLNSRVYNHEELLRRVKVVFDTGDVESRAMALVLFGCWADFAKDSAEIRYLVLSSMVSSNILEVKASLFAASRFCELTDDFASVVLEMMVNMMASPETLPAVRLGGASVFTRMVCSYSVSKRAYKTGIKLVLDSSEENFIIAMLVSLSKLVSKSTSLISEQVDVLLPYLSQENSWQMRETALKCLHLLFVKEGCCSPVNMHVIKAVFSILHELELPSVMHCGALQILHKIFLLTLPNLPSFEKLEFDHLLAILENAAQSPIMSKCLAALRILTDISSKLWARKNSESFAVYSSPLPLRVISLVMAQLRSLVMQLPDPCQTSSRIFHEIKSLLKLILQLVGEHPDLGATVLGEIGSFIKYFGTPNENVLASKHIASSEVLDFEGDMYQAFRSKLLSHIHRFVATCLQILNEAGAITTNVFDKVQLLVKHLHHGRIFDCYTRTVYSLLLHSRLFGILIEHPFKQELATLEHACKMLSERDNWHSYKAGIYATNQGAWITATFIFAELMSRVQSESCYCWFKSLVQFSHSEAKLWLSPLAKQGSFLEGSVGTNELLAFLKDNFGELGQDAAGNDDVPNYRDVLVGAYKNVCSSIETLERVIISRKAFCFQRWFFSLRAKLLGAVGEILEVLDTSKQETFSIIIEVQNSALTNIKCLEKFTQFSCRLNRLAKEFNLINSSFIGMDCESLKIIARLALSCSLLAFSAGFPHFFPNLPAYKYLRTCVREHSQQSNLSSMLLQDLLGRLLHIDHEVSMDLCKLLDNGGCPTKCSHLQSGNYILKSGHEVKDILDIIRYAVSTVMHLQIETNRIQNEAIISHVTKNGVELLLEISRKWLQIPFQMPKQFFNTSTDSRNQSQITVSSGLHLSLNLCLQLRNASPELSLGLTKLYCILYSRVSFQKQSHRERNNEEMESQCQPWESEEIVEMNEKLFQYVTECAKKTTSYGKRFRDDDMNDDQMVYEFVCFEPNAKGQGFSSCVLDVSHFPVGCYRVEWYSCCIDNEGSYWSLLPLNSRPVFTVQESLFV >KJB48018 pep chromosome:Graimondii2_0_v6:8:7093048:7098519:-1 gene:B456_008G050400 transcript:KJB48018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKISAACAMEWSIQLDKALRSSNPALAVETILQTGPRLEQWGQEPEATKALCSIFGLVPGEDRLFANAILLRLADAFRFGDKKIRLSVVRIFLTSIRCNRSKKLGKQKRRTFLNSRVYNHEELLRRVKVVFDTGDVESRAMALVLFGCWADFAKDSAEIRYLVLSSMVSSNILEVKASLFAASRFCELTDDFASVVLEMMVNMMASPETLPAVRLGGASVFTRMVCSYSVSKRAYKTGIKLVLDSSEENFIIAMLVSLSKLVSKSTSLISEQVDVLLPYLSQENSWQMRETALKCLHLLFVKEGCCSPVNMHVIKAVFSILHELELPSVMHCGALQILHKIFLLTLPNLPSFEKLEFDHLLAILENAAQSPIMSKCLAALRILTDISSKLWARKNSESFAVYSSPLPLRVISLVMAQLRSLVMQLPDPCQTSSRIFHEIKSLLKLILQLVGEHPDLGATVLGEIGSFIKYFGTPNENVLASKHIASSEVLDFEGDMYQAFRSKLLSHIHRFVATCLQILNEAGAITTNVFDKVQLLVKHLHHGRIFDCYTRTVYSLLLHSRLFGILIEHPFKQELATLEHACKMLSERDNWHSYKAGIYATNQGAWITATFIFAELMSRVQSESCYCWFKSLVQFSHSEAKLWLSPLAKQGSFLEGSVGTNELLAFLKDNFGELGQDAAGNDDVPNYRDVLVGAYKNVCSSIETLERVIISRKAFCFQRWFFSLRAKLLGAVGEILEVLDTSKQETFSIIIEVQNSALTNIKCLEKFTQFSCRLNRLAKEFNLINSSFIGMDCESLKIIARLALSCSLLAFSAGFPHFFPNLPAYKYLRTCVREHSQQSNLSSMLLQDLLGRLLHIDHEVSMDLCKLLDNGGCPTKCSHLQSGNYILKSGHEVKDILDIIRYAVSTVMHLQIETNRIQNEAIISHVTKNGVELLLEISRKWLQIPFQMPKQFFNTSTDSRNQSQITVSSGLHLSLNLCLQLRNASPELSLGLTKLYCILYSRVSFQKQSHRERNNEEMESQCQPWESEEIVEMNEKLFQYVTECAKKTTSYGKRFRDDDMNDDQMVYEFVCFEPNAKGQGFSSCVLDVSHFPVGCYRVEWYSCCIDNEGSYWSLLPLNSRPVFTVQESLFV >KJB52379 pep chromosome:Graimondii2_0_v6:8:54097104:54098260:1 gene:B456_008G259500 transcript:KJB52379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 8 [Source:Projected from Arabidopsis thaliana (AT4G24150) UniProtKB/Swiss-Prot;Acc:Q9SU44] MHGVQNLMKVGEMAAAPVNARVPFTAAQWQELERQTMIYKYMMASVPVPAELLIPLTKNPSNVAIKGSLELGFSSNSSDPEPWRCRRTDGKKWRCSRDVAPDQKYCERHSHKCRPRSRKPVELPNHSNININNNDHKSQTLHNMASDGTTNQPHQNPHFTNHHDPHFFTSSFDQSRCLEWFMKGETTVPFASNPEWQRTNYGVSLQAQHHLNEQFTPSLASLEGSLNLNQTHSQETRAFIDAWSIAEREVVELEGIGSCKRPVSSNEKLPLSSLTLSMSGGGNENTQEEDDENSHHEMRGTLSWMGSSPGGPLAEALCLGIATSQTTSTSSCSKST >KJB52380 pep chromosome:Graimondii2_0_v6:8:54096514:54098315:1 gene:B456_008G259500 transcript:KJB52380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 8 [Source:Projected from Arabidopsis thaliana (AT4G24150) UniProtKB/Swiss-Prot;Acc:Q9SU44] MDTRNNAFVGTEKGLGRTDEGCDVGLGLMMMQQVEESCPNKSFMAMLPPHNHNHLSSSSSSSCYVEGFDGGASGGGPLVCNTSNQEPCRGDIYDVVGAASASVSSAAAAVVLKSLHHHSFSADPPFPHHSSGEMAAAPVNARVPFTAAQWQELERQTMIYKYMMASVPVPAELLIPLTKNPSNAIKGSLELGFSSNSSDPEPWRCRRTDGKKWRCSRDVAPDQKYCERHSHKCRPRSRKPVELPNHSNININNNDHKSQTLHNMASDGTTNQPHQNPHFTNHHDPHFFTSSFDQSRCLEWFMKGETTVPFASNPEWQRTNYGVSLQAQHHLNEQFTPSLASLEGSLNLNQTHSQETRAFIDAWSIAEREVVELEGIGSCKRPVSSNEKLPLSSLTLSMSGGGNENTQEEDDENSHHEMRGTLSWMGSSPGGPLAEALCLGIATSQTTSTSSCSKST >KJB52381 pep chromosome:Graimondii2_0_v6:8:54096514:54098315:1 gene:B456_008G259500 transcript:KJB52381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 8 [Source:Projected from Arabidopsis thaliana (AT4G24150) UniProtKB/Swiss-Prot;Acc:Q9SU44] MDTRNNAFVGTEKGLGRTDEGCDVGLGLMMMQQVEESCPNKSFMAMLPPHNHNHLSSSSSSSCYVEGFDGGASGGGPLVCNTSNQEPCRGDIYDVVGAASASVSSAAAAVVLKSLHHHSFSADPPFPHHSSVGEMAAAPVNARVPFTAAQWQELERQTMIYKYMMASVPVPAELLIPLTKNPSNVAIKGSLELGFSSNSSDPEPWRCRRTDGKKWRCSRDVAPDQKYCERHSHKCRPRSRKPVELPNHSNININNNDHKSQTLHNMASDGTTNQPHQNPHFTNHHDPHFFTSSFDQSRCLEWFMKGETTVPFASNPEWQRTNYGVSLQAQHHLNEQFTPSLASLEGSLNLNQTHSQETRAFIDAWSIAEREVVELEGIGSCKRPVSSNEKLPLSSLTLSMSGGGNENTQEEDDENSHHEMRGTLSWMGSSPGGPLAEALCLGIATSQTTSTSSCSKST >KJB52378 pep chromosome:Graimondii2_0_v6:8:54096268:54098842:1 gene:B456_008G259500 transcript:KJB52378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 8 [Source:Projected from Arabidopsis thaliana (AT4G24150) UniProtKB/Swiss-Prot;Acc:Q9SU44] MDTRNNAFVGTEKGLGRTDEGCDVGLGLMMMQQVEESCPNKSFMAMLPPHNHNHLSSSSSSSCYVEGFDGGASGGGPLVCNTSNQEPCRGDIYDVVGAASASVSSAAAAVVLKSLHHHSFSADPPFPHHSSGEMAAAPVNARVPFTAAQWQELERQTMIYKYMMASVPVPAELLIPLTKNPSNVAIKGSLELGFSSNSSDPEPWRCRRTDGKKWRCSRDVAPDQKYCERHSHKCRPRSRKPVELPNHSNININNNDHKSQTLHNMASDGTTNQPHQNPHFTNHHDPHFFTSSFDQSRCLEWFMKGETTVPFASNPEWQRTNYGVSLQAQHHLNEQFTPSLASLEGSLNLNQTHSQETRAFIDAWSIAEREVVELEGIGSCKRPVSSNEKLPLSSLTLSMSGGGNENTQEEDDENSHHEMRGTLSWMGSSPGGPLAEALCLGIATSQTTSTSSCSKST >KJB52382 pep chromosome:Graimondii2_0_v6:8:54097104:54098260:1 gene:B456_008G259500 transcript:KJB52382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 8 [Source:Projected from Arabidopsis thaliana (AT4G24150) UniProtKB/Swiss-Prot;Acc:Q9SU44] MHGVQNLMKVGEMAAAPVNARVPFTAAQWQELERQTMIYKYMMASVPVPAELLIPLTKNPSNAIKGSLELGFSSNSSDPEPWRCRRTDGKKWRCSRDVAPDQKYCERHSHKCRPRSRKPVELPNHSNININNNDHKSQTLHNMASDGTTNQPHQNPHFTNHHDPHFFTSSFDQSRCLEWFMKGETTVPFASNPEWQRTNYGVSLQAQHHLNEQFTPSLASLEGSLNLNQTHSQETRAFIDAWSIAEREVVELEGIGSCKRPVSSNEKLPLSSLTLSMSGGGNENTQEEDDENSHHEMRGTLSWMGSSPGGPLAEALCLGIATSQTTSTSSCSKST >KJB49593 pep chromosome:Graimondii2_0_v6:8:36932582:36933752:-1 gene:B456_008G128000 transcript:KJB49593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYHIQPQKEDQILVGEYTQRIIEDPFSGMPEITTTKKKKNNNNKRRFSDEQIRSLESMFESESRLEPRKKLEVAKDLGLQPRQVAIWFQNKRARRKSKQLERDYTMLQSNYDNLASKYESLKKEKQALAFQLQKLKDLVKKPKEEDDGQCCGDQQVITMEGEAIKSDSEEQHSFSMERSEHGLGVLSDDDSTIMTSYFELEQEPTSFITMVELGPSREDWHSFETDGLFDQSSNGYQWWDFWS >KJB52786 pep chromosome:Graimondii2_0_v6:8:55475333:55476078:1 gene:B456_008G276800 transcript:KJB52786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSPQCYNGCPNIIDLYFNLAAGEGIFLPKLCEAQKGNIRRGMSEIRSSGMVAPGPVGGVKFWGVAPAMAPY >KJB49333 pep chromosome:Graimondii2_0_v6:8:34643656:34644582:1 gene:B456_008G113900 transcript:KJB49333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVWSPETATKAYLETVKSCRIFNDSGVAEFLSAMAAGWNTKLIVESWSYGGPVATSIGLAVAARHTSGRHVCIVQDERSKSSYVEAMAVAGVPSTVIVGDAEEAMEGLSGIDFLVVDSKRKDFARVLRYAKLSHKGAILACKNACRRAISGFRWNRVLGKETRVVRSVVLPVGQGLDIAHIGADGGVVGYKNSSSRWIKHIDQRSGEEHFFRG >KJB47677 pep chromosome:Graimondii2_0_v6:8:4476075:4477575:1 gene:B456_008G036200 transcript:KJB47677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHWQATIIGPNDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVYHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHLCKTDKFKYESTARNWTQKYAMG >KJB47678 pep chromosome:Graimondii2_0_v6:8:4476045:4477716:1 gene:B456_008G036200 transcript:KJB47678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIIGPNDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVYHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHLCKTDKFKYESTARNWTQKYAMG >KJB47675 pep chromosome:Graimondii2_0_v6:8:4476141:4477501:1 gene:B456_008G036200 transcript:KJB47675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIIGPNDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVYHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHLCKTDKFKYESTARNWTQKYAMG >KJB47676 pep chromosome:Graimondii2_0_v6:8:4475987:4478379:1 gene:B456_008G036200 transcript:KJB47676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIIGPNDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVYHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHLCKTDKFKYESTARNWTQKYAMG >KJB47589 pep chromosome:Graimondii2_0_v6:8:3952535:3953523:-1 gene:B456_008G032600 transcript:KJB47589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRKSSKLPQTAVLKQILKRCSSLGKKHGYDEDGLPLDVPKGHFAVYVGENRSRYIVPITFLTHPEFQCLLQRAEEEFGFNHDMGLTIPCEEVVFRSLTSMLR >KJB47881 pep chromosome:Graimondii2_0_v6:8:6198991:6202516:-1 gene:B456_008G045800 transcript:KJB47881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLGNGGAGSSRSVNSFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPDVVDGVGAETGHVIRTTIGGRNGHSKQNVSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHYFFSKTDKEELYLNLVLEYVPETVNRTARSYSRINTRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKICDFGSAKMLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHSFFDELRDPNVRLPNGRPLPPLFNFKPQGHSLVSLLKLSRNLFRSMLASRIYSWHCTLSNHSKFLGFLLANLRPFDLYQHKQKIPDPTCSIDVVKEVLENK >KJB47880 pep chromosome:Graimondii2_0_v6:8:6198704:6203731:-1 gene:B456_008G045800 transcript:KJB47880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLGNGGAGSSRSVNSFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPDVVDGVGAETGHVIRTTIGGRNGHSKQNVSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHYFFSKTDKEELYLNLVLEYVPETVNRTARSYSRINTRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKICDFGSAKMLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHSFFDELRDPNVRLPNGRPLPPLFNFKPQALWYPS >KJB47882 pep chromosome:Graimondii2_0_v6:8:6199634:6202516:-1 gene:B456_008G045800 transcript:KJB47882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLGNGGAGSSRSVNSFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPDVVDGVGAETGHVIRTTIGGRNGHSKQNVSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHYFFSKTDKEELYLNLVLEYVPETVNRTARSYSRINTRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKICDFGSAKMLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTAVSVTFLSLLP >KJB47877 pep chromosome:Graimondii2_0_v6:8:6198649:6203828:-1 gene:B456_008G045800 transcript:KJB47877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLGNGGAGSSRSVNSFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPDVVDGVGAETGHVIRTTIGGRNGHSKQNVSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHYFFSKTDKEELYLNLVLEYVPETVNRTARSYSRINTRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKICDFGSAKMLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHSFFDELRDPNVRLPNGRPLPPLFNFKPQELSGIPPEVVKKLIPEHACKQNLFMALHT >KJB47879 pep chromosome:Graimondii2_0_v6:8:6198704:6203731:-1 gene:B456_008G045800 transcript:KJB47879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLGNGGAGSSRSVNSFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPDVVDGVGAETGHVIRTTIGGRNGHSKQNVSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHYFFSKTDKEELYLNLVLEYVPETVNRTARSYSRINTRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKICDFGSAKMLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHSFFDELRDPNVRLPNGRPLPPLFNFKPQELSGIPPEVVKKLIPEHACKQNLFMALHT >KJB47878 pep chromosome:Graimondii2_0_v6:8:6198704:6202924:-1 gene:B456_008G045800 transcript:KJB47878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLGNGGAGSSRSVNSFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPDVVDGVGAETGHVIRTTIGGRNGHSKQNVSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHYFFSKTDKEELYLNLVLEYVPETVNRTARSYSRINTRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKICDFGSAKMLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHSFFDELRDPNVRLPNGRPLPPLFNFKPQELSGIPPEVVKKLIPEHACKQNLFMALHT >KJB49429 pep chromosome:Graimondii2_0_v6:8:35514795:35516717:1 gene:B456_008G119200 transcript:KJB49429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAEGKAIGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPPNTVFDAKRLIGRRFTDPSVQSAMKHWPFKVVAGPGDKPMIVVTYKGEEKQFAAEEISSMELSKMKEVAEAYLGQTVKNAVITVPAYFNDSQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLDKKGSKSGEKNVLIFDLGAGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRKHKKDISGNARALRRLRTACERAKRTLSSTAQATIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLKDSKLDKSQVNEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGDDKVQDLLLLDVTPLSLGIETAGGVMTVLIPRNTTIPTKKEQIFSTYADNQPGVLIQVYEGERARTKDNNLLGKFELTGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLKRYRAEDELLKKKVEAKNALDNYAYNMRNTIKDDKIGGKLDPSDKGKMEKAIDETIEVDELEDKLKELEGLCNSIIAKMYQGGGGGGDVPMDGGAETGSSGSGAGPKIEEVD >KJB48810 pep chromosome:Graimondii2_0_v6:8:20053755:20056629:-1 gene:B456_008G088200 transcript:KJB48810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLPCIQPIRVPFKACSFFPAGVCGGFNNKKMINVVVFSSLRKISNDIYIEYKVDTLLGSIKWDDKGLAVAIAQNVDTGAILMQGFVNRDALATTITSRKATFFSRSRATLWTKGETSNNFINIYDIFVDCDRDSIIYLGKPDGPTCHTGLETCYFTSIGEKQMSCAERWRRKGQFMYCLRNGIMIYHAMVLLRRKDVKIENVLEVLQRRFSQSGIEEKQSRASTES >KJB48910 pep chromosome:Graimondii2_0_v6:8:23470390:23474649:1 gene:B456_008G093500 transcript:KJB48910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSYNHRRGRQAPPRKIVPLPGQVSFRVICHASSIGGLIGSSGAVVSQLRRETSSRIHCEESVGGSDHRVILVVGSGSVERQFSLGEGEVCYVSCAQEAMIKVFQRVWEVEAEREWGNACDGEDEEAYCGVLAETAQIGFVVGKGGKNIVRMRTESGAKIRILPPPPCGRKIDQLIQITGGTLAVKKALVAVSGYLQACPSADKEPTQMSMPTEQPSRGTSPNSGEELFPHLSSLFPPMLENLVRGVSNANFSLVVGDGDPKSDSDRTQKVVFRMLCSNGAAGAIIGKKGAIVKALQNQTGASIMFESLETDHRDRVVTISALENLESQYSPAQNAVVFVFARSVEADIERGFQSVLIKGTPVTLRLLVASNIVHCLKDKEGRVLFEIAELTGADIQVLVEELSLGHSPENVVQITGNYKSVQNAIFQVTSRLRDNLLPREVLNENRVSNCYGEVTETGPSQVLQPTSSILDNDNGPNLAQRLHTGHSENTSGPQPVKLQPQEAAGNGNMVAIQGVHHSSTTSGGSFDLETSLDSLLPCDMLNEVGGPSPYNRGSETTFSGLVQSLDVSPASDEESALTRAMGNIEFFDGVDCPTKSRLLETVERHELANGDDKGCLELERSVL >KJB48913 pep chromosome:Graimondii2_0_v6:8:23470390:23474649:1 gene:B456_008G093500 transcript:KJB48913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSYNHRRGRQAPPRKIVPLPGQVSFRVICHASSIGGLIGSSGAVVSQLRRETSSRIHCEESVGGSDHRVILVVGSGSVERQFSLGEGEVCYVSCAQEAMIKVFQRVWEVEAEREWGNACDGEDEEAYCGVLAETAQIGFVVGKGGKNIVRMRTESGAKIRILPPPPCGRKIDQLIQITGGTLAVKKALVAVSGYLQACPSADKEPTQMSMPTEQPSRGTSPNSGEELFPHLSSLFPPMLENLVRGVSNANFSLVVGDGDPKSDSDRTQKVVFRMLCSNGAAGAIIGKKGAIVKALQNQTGASIMFESLETDHRDRVVTISALENLESQYSPAQNAVVFVFARSVEADIERGFQSVLIKGTPVTLRLLVASNIVHCLKDKEGRVLFEIAELTGADIQVLVEELSLGHSPENVVQITGNYKSVQNAIFQVTSRLRDNLLPREVLNENRVSNCYGEVTETGPSQVLQPTSSILDNDNGPNLAQRLHTGHSENTSGPQPVKLQPQEAAGNGNMVAIQGVHHSSTTSGGSFDLETSLDSLLPCDMLNEVGGPSPYNRGSETTFSGLVQSLDVSPASDEESALTRAMGNIEFFDGVDCPTKSRLLETVERHELANGDDKGCLELESGKKSSIVKNTGVEIVVHEDAFDSIYGKNGCNLARLKEVMLGKRRETFPELCRSFIMFINL >KJB48912 pep chromosome:Graimondii2_0_v6:8:23470390:23474649:1 gene:B456_008G093500 transcript:KJB48912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSYNHRRGRQAPPRKIVPLPGQVSFRVICHASSIGGLIGSSGAVVSQLRRETSSRIHCEESVGGSDHRVILVVGSGSVERQFSLGEGEVCYVSCAQEAMIKVFQRVWEVEAEREWGNACDGEDEEAYCGVLAETAQIGFVVGKGGKNIVRMRTESGAKIRILPPPPCGRKIDQLIQITGGTLAVKKALVAVSGYLQACPSADKEPTQMSMPTEQPSRGTSPNSGEELFPHLSSLFPPMLENLVRGVSNANFSLVVGDGDPKSDSDRTQKVVFRMLCSNGAAGAIIGKKGAIVKALQNQTGASIMFESLETDHRDRVVTISALENLESQYSPAQNAVVFVFARSVEADIERGFQSVLIKGTPVTLRLLVASNIVHCLKDKEGRVLFEIAELTGADIQVLVEELSLGHSPENVVQITGNYKSVQNAIFQVTSRLRDNLLPREVLNENRVSNCYGEVTETGPSQVLQPTSSILDNDNGPNLAQRLHTGHSENTSGPQPVKLQPQEAAGNGNMVAIQGVHHSSTTSGGSFDLETSLDSLLPCDMLNEVGGPSPYNRGSETTFSGLVQSLDVSPASDEESALTRAMGNIEFFDGVDCPTKSRLLETVERHELANGDDKGCLELERSVL >KJB48909 pep chromosome:Graimondii2_0_v6:8:23470275:23474663:1 gene:B456_008G093500 transcript:KJB48909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSYNHRRGRQAPPRKIVPLPGQVSFRVICHASSIGGLIGSSGAVVSQLRRETSSRIHCEESVGGSDHRVILVVGSGSVERQFSLGEGEVCYVSCAQEAMIKVFQRVWEVEAEREWGNACDGEDEEAYCGVLAETAQIGFVVGKGGKNIVRMRTESGAKIRILPPPPCGRKIDQLIQITGGTLAVKKALVAVSGYLQACPSADKEPTQMSMPTEQPSRGTSPNSGEELFPHLSSLFPPMLENLVRGVSNANFSLVVGDGDPKSDSDRTQKVVFRMLCSNGAAGAIIGKKGAIVKALQNQTGASIMFESLETDHRDRVVTISALENLESQYSPAQNAVVFVFARSVEADIERGFQSVLIKGTPVTLRLLVASNIVHCLKDKEGRVLFEIAELTGADIQVLVEELSLGHSPENVVQITGNYKSVQNAIFQVTSRLRDNLLPREVLNENRVSNCYGEVTETGPSQVLQPTSSILDNDNGPNLAQRLHTGHSENTSGPQPVKLQPQEAAGNGNMVAIQGVHHSSTTSGGSFDLETSLDSLLPCDMLNEVGGPSPYNRGSETTFSGLVQSLDVSPASDEESALTRAMGNIEFFDGVDCPTKSRLLETVERHELANGDDKGCLELESGKKSSIVKNTGVEIVVHEDAFDSIYGKNGCNLARLKEISGAKVEVHEPCGGESEGRVLISGTPDQTLIAQSLLQAFIQANQNA >KJB48911 pep chromosome:Graimondii2_0_v6:8:23470575:23473577:1 gene:B456_008G093500 transcript:KJB48911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSYNHRRGRQAPPRKIVPLPGQVSFRVICHASSIGGLIGSSGAVVSQLRRETSSRIHCEESVGGSDHRVILVVGSGSVERQFSLGEGEVCYVSCAQEAMIKVFQRVWEVEAEREWGNACDGEDEEAYCGVLAETAQIGFVVGKGGKNIVRMRTESGAKIRILPPPPCGRKIDQLIQITGGTLAVKKALVAVSGYLQACPSADKEPTQMSMPTEQPSRGTSPNSGEELFPHLSSLFPPMLENLVRGVSNANFSLVVGDGDPKSDSDRTQKVVFRMLCSNGAAGAIIGKKGAIVKALQNQTGASIMFESLETDHRDRVVTISALENLESQYSPAQNAVVFVFARSVEADIERGFQSVLIKGTPVTLRLLVASNIVHCLKDKEGRVLFEIAELTGADIQVLVEELSLGHSPENVVQITGNYKSVQNAIFQVTSRLRDNLLPREVLNENRVSNCYGEVTETGPSQVLQPTSSILDNDNGPNLAQRLHTGHSENTSGPQPVKLQPQEAAGNGNMVAIQGVHHSSTTSGGSFDLETSLDSLLPCDMLNEVGGPSPYNRGSETTFSGLVQSLDVSPASDEESALTRAMGNIEFFDGVDCPTKSRLLETVERHELANGDDKGCLELERSVL >KJB52502 pep chromosome:Graimondii2_0_v6:8:54462749:54463718:-1 gene:B456_008G265500 transcript:KJB52502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVSCSFSPLVLNRANLPCSTNAIKPFSVSFPSKNSCNSRLSVGRAEATGDHNRDTSVDVHVSKDNKGQERAVEKRPKRLAMDVSPFGLLDPMSPMRSMRQMMDTMDRIFEDAMTFPGTNRTRGDVRAPWDIKDGEHDIKMRFDMPGLGKDDVKVSVEDDILVIKGEHKKEETQDDWTNRNYSSYNTSLQLPDNCDKDNIKAELKNGVLFISIPKTKVERKVIDVDIQ >KJB50322 pep chromosome:Graimondii2_0_v6:8:43165243:43165858:1 gene:B456_008G163600 transcript:KJB50322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSFNLFIFAVILIFATHSEMMAEARGPVISCRCSKTEDCQGICTACPNYSCINNLCTCLSNAPPFP >KJB53050 pep chromosome:Graimondii2_0_v6:8:56515158:56516057:1 gene:B456_008G290500 transcript:KJB53050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKQSPLFITLLIATVYMVSCMAAVNPATATGEEPILEFYMHDILGGGSPTARPITGLLGNIYGGQVPFAKPVGFLPPNGAVPIPNANGAIPTVNGVTGLPLGTGLAGTAFAGNPNQNGQPQFPVGPDGLGLGFGTITVIDDVLTVSPDLGSQVIGKAQGVYVASSADGTTQMMAFAAMIEGGEYNDNLNFYGVYKIGSTVSQVSVIGGTGKFKNACGIAEVRPLIPPGQHVTDGAETLLRVTVHLKY >KJB48620 pep chromosome:Graimondii2_0_v6:8:14806169:14809051:-1 gene:B456_008G078000 transcript:KJB48620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLRNLSHYSWVVTSHLHCTSVKIIIASLQSVNFHKVFFHPNVYPSGTICLSILNEDSGWRPAITVKQILVGIQDLLNAPNTTDPAQAEGYNILIHVCFLS >KJB48996 pep chromosome:Graimondii2_0_v6:8:26635696:26638913:-1 gene:B456_008G096900 transcript:KJB48996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERLEMKLGSYGGKVSVLEWETESGAAEETMLLWGIQQPTLSKQNAFVSHSSLQLRLDACGHSLSILQSPSSLGKPGVTGAVIWDSGVVLGKFLEHAVDLGILVLQGKKVVELGSGCGLVGCIAALLGAQVILTDLPDRLRLLKKNVESNLRHGVRGSAAVKELTWGDDPDNDLIELPPDYVF >KJB48994 pep chromosome:Graimondii2_0_v6:8:26634948:26639170:-1 gene:B456_008G096900 transcript:KJB48994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERLEMKLGSYGGKVSVLEWETESGAAEETMLLWGIQQPTLSKQNAFVSHSSLQLRLDACGHSLSILQSPSSLGKPGVTGAVIWDSGVVLGKFLEHAVDLGILVLQGKKVVELGSGCGLVGCIAALLGAQVILTDLPDRLRLLKKNVESNLRHGVRGSAAVKELTWGDDPDNDLIELPPDYVLGSDVIYSEGAVVDLLDSLTQLCRPQTTVFLSGELRNDTVLECFLEAAVKDFVVGRINQSQWHPDYRSPRVVMYILVKK >KJB48997 pep chromosome:Graimondii2_0_v6:8:26637683:26638931:-1 gene:B456_008G096900 transcript:KJB48997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERLEMKLGSYGGKVSVLEWETESGAAEETMLLWGIQQPTLSKQNAFVSHSSLQLRLDACGHSLSILQSPSSLGKPGVTGAVIWDSGVVLGKFLEHAVDLGILVLQGKKVVELGSGCGLVGCIAALLGAQVILTDLPDRLRLLKKNVESNLRHGVRGSAAVKELTWGDDPDNDLIELPPDYGTKIPLFLPNLKLWQC >KJB48995 pep chromosome:Graimondii2_0_v6:8:26634972:26639066:-1 gene:B456_008G096900 transcript:KJB48995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERLEMKLGSYGGKVSVLEWETESGAAEETMLLWGIQQPTLSKQNAFVSHSSLQLRLDACGHSLSILQSPSSLGKPGVTGAVIWDSGVVLGKFLEHAVDLGILVLQGKKVVELGSGCGLVGCIAALLGAQVILTDLPDRLRLLKKNVESNLRHGVRGSAAVKELTWGDDPDNDLIELPPDYGSDVIYSEGAVVDLLDSLTQLCRPQTTVFLSGELRNDTVLECFLEAAVKDFVVGRINQSQWHPDYRSPRVVMYILVKK >KJB48361 pep chromosome:Graimondii2_0_v6:8:10383847:10386291:-1 gene:B456_008G064900 transcript:KJB48361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENATNRQVTYSKRRNGIFKKAQELTVLCDAKVSLIMFSSTGKFHEFISPNISTKAFFDLYQKTTGTDLWISHYEKMQENYRRLKEINKKLRREIRQRMGGDLDDLNIKELQALETKMDSSLVAIRDRKYHVIKTQTDTHRKKVRNLEERHANLVFDLETKLDQQDGIVESEGYYNEAANGASNLHALRLYQIHHPNLVLHHGGRFGSNDLRLA >KJB48363 pep chromosome:Graimondii2_0_v6:8:10383885:10386094:-1 gene:B456_008G064900 transcript:KJB48363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENATNRQVTYSKRRNGIFKKAQELTVLCDAKVSLIMFSSTGKFHEFISPNISTKAFFDLYQKTTGTDLWISHYEKMQENYRRLKEINKKLRREIRQRMGGDLDDLNIKELQALETKMDSSLVAIRDRKYHVIKTQTDTHRKKVRNLEERHANLVFDLLDQQDGIVESEGYYNEAANGASNLHALRLYQIHHPNLVLHHGGRFGSNDLRLA >KJB48362 pep chromosome:Graimondii2_0_v6:8:10384182:10385815:-1 gene:B456_008G064900 transcript:KJB48362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENATNRQVTYSKRRNGIFKKAQELTVLCDAKVSLIMFSSTGKFHEFISPNISTKAFFDLYQKTTGTDLWISHYEKMQENYRRLKEINKKLRREIRQRMGGDLDDLNIKELQALETKMDSSLVAIRDRKYHVIKTQTDTHRKKVSESNTIKTVACHSISRVLIIFEDWNALQVRNLEERHANLVFDLETKLDQQDGIVESEGYYNEAANGASNLHALRLYQIHHPNLVLHHGGRFGSNDLRLA >KJB47679 pep chromosome:Graimondii2_0_v6:8:4517047:4519719:1 gene:B456_008G036300 transcript:KJB47679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKPGWPLLLRGCSETPPTKLARNMSVVQWVMNLPSRSPHHTPRCSTNKEIELSGNGGNDNSSNSSMQYELQKCLDIPLRRNSSFCQWFGYEVLKAATAEFSSENLIAEGGSNRVYKGILPDGKAVAVKIQKSSKDEYKDFANEIEIISSLKHKHIRPLVGVCVKDYDLISIYDFSSKGSLEEILHGKNKDKHPLPWVVRYNVAVAIAEGLNYLHSEHSRPVIHRDVKSSNILLSDEFEAKLSDFGLAIWGPTASSFLIEADVVGTFGYLAPEYFMYGKLSDKIDVYAFGVILLELLSGKRPISFENHKGQQSLVMWAKPMIESGDMKGILDPDLSGNINATQMHRMMVAATLCITRSARLRPKMSEVLELLRGEEAIEKWAATQNENKESQEHNIDDGDDDEVYPNSRADLHLSLAMLDVDDDSTSFSSMEQSSNISMEEYLKERWSPSSSFN >KJB47680 pep chromosome:Graimondii2_0_v6:8:4517047:4519719:1 gene:B456_008G036300 transcript:KJB47680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKPGWPLLLRGCSETPPTKLARNMSVVQWVMNLPSRSPHHTPRCSTNKEIELSGNGGNDNSSNSSMQYELQKCLDIPLRRNSSFCQWFGYEVLKAATAEFSSENLIAEGGSNRVYKGILPDGKAVAVKIQKSSKDEYKDFANEIEIISSLKHKHIRPLVGVCVKDYDLISIYDFSSKGSLEEILHGKNKDKHPLPWVVRYNVAVAIAEGLNYLHSEHSRPVIHRDVKSSNILLSDEFEAKLSDFGLAIWGPTASSFLIEADVVGTFGYLAPEYFMYGKLSDKIDVYAFGVILLELLSGKRPISFENHKGQQSLVMWAKPMIESGDMKGILDPDLSGNINATQMHRMMVAATLCITRSARLRPKMSEVLELLRGEEAIEKWAATQNENKESQEHNIDDGDDDEVYPNSRADLHLSLAMLDVDDDSTSFSSMEQSSNISMEEYLKERWSPSSSFN >KJB46738 pep chromosome:Graimondii2_0_v6:8:29723196:29724719:1 gene:B456_008G100500 transcript:KJB46738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEIVKKVANKKEKAFVENSWGGVDQEQHNQWSFMYQPMMREEAMLLPEVSREREMSAMVSALTHVVAGDIPEELTPDDNLDGFDSTTIVDNNSITSSSSGFGGKKREREEQEEGGGVMAVEGLGPFSADVRVLEGNNPAKLIPVYEYKSNEKYREEPRRRYRGVRQRPWGKWAAEIRDPFKAARVWLGTFDTAEAAARAYDEAALRFRGNKAKLNFPENVKLISLPPPPPPPAINPTTTHFPISDSPNTLFSIPSSQVSGQYIDYTQFFPGSGNYFQSQSQQQQRRQIVLSTSAGSSGQSSSSSLTPTSYPLVFPIQTSGQNLNLGSSSASQGGGVGDFSVHAWPSDSGDYYTSTSR >KJB51200 pep chromosome:Graimondii2_0_v6:8:49086423:49090801:1 gene:B456_008G206000 transcript:KJB51200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHDIRRPFKRAAISDQQKRRELSLLRQAQNRRDAQQQARCLASSILSLQSAAPESQPEQSDIELETVPEAEPESEAFSKDLDVRQASKLRGPEVRKWFARQLMLPEWMIDVPDRLAQDWYVFARPAGKRCFVVSSNGTTVSRQRNGSILHHFPSALPAGAKIRDGSGSAQSYCILDCIFHELDQTYYVIDMVCWNGYSLYDCTAEFRFYWLNSKLEESGACNAPSHYHKFRFSAVPVYNCDQSGLHAAYTGVVPYAKDGLLFYNKHALYQTGNTPLALVWKDENCSQYVIDTDGKGEIPSQQQVVLELQDDRKLVTSDDPPVLFGCLDGDIIEKVWF >KJB51199 pep chromosome:Graimondii2_0_v6:8:49086417:49090814:1 gene:B456_008G206000 transcript:KJB51199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHDIRRPFKRAAISDQQKRRELSLLRQAQNRRDAQQQARCLASSILSLQSAAPESQPEQSDIELETVPEAEPESEAFSKDLDVRQASKLRGPEVRKWFARQLMLPEWMIDVPDRLAQDWYVFARPAGKRCFVVSSNGTTVSRQRNGSILHHFPSALPAGAKIRDGSGSAQSYCILDCIFHELDQTYYVIDMVCWNGYSLYDCTAEFRFYWLNSKLEESGACNAPSHYHKFRFSAVPVYNCDQSGLHAAYTGVVPYAKDGLLFYNKHALYQTGNTPLALVWKDENCSQYVIDTDGKGEIPSQQQVVLELQDDRKLVTSDDPPVLFGCLDGDIIEKSGLHTGNLLRFAIGDGGLSFVDGKLEKADLIYLGKSNRARAFADSYSKVLFQFMVRHSPLKIDDLLASINSANDQEKTPSDIEMVG >KJB49501 pep chromosome:Graimondii2_0_v6:8:38354473:38355262:1 gene:B456_008G1353001 transcript:KJB49501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YHYYCAPGNAQHLEQPVSLCDPYSNPQAQEIVQLLPHPIWGEYGYPTEKGQGWIGDPRTWVLDTGGLASRLYFYQDPNTPPAERRWTSIDMGTEIFVSDKDEEAEWILSDLDVILL >KJB49180 pep chromosome:Graimondii2_0_v6:8:32908070:32918981:-1 gene:B456_008G105100 transcript:KJB49180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMISRGVMPVCGSLCFFCPALSTRSRHPVKRYKKFLADIFPRSPDEQPNERMIGKLCEYASKNPLRIPKITSALEQKFYKDLRTEQFHSVKVIMLVYKKLIISCKEQMPLFASSFLTIIQVLLDQTRMDDTRVLGCQALSVFVNNQRDGTYMFNLDGLIPKLCLLAQEMGEDGRVHHLRSAGLQTLSSVVWFMGEFSHVSAEFDNVVSAVLENYRGLETSDDNIDEKQDTQNSSVKDNFSSTDAITTLSWRSIVTENGEFNVPVEEAENPKFWSRVCLHNMAKLAKEATTVRRVLESLFRFFDNEELWSIQDGVALSVLQDMQLIIENCGENTHFLLSILIKHLDHKNVLKKPSMQLHIVHVATSLAQQTKVQPSVAIIGALTDMTRHLRKSIHCSLDDSNLGAEVIEYNQNFRAAVDECLVQLSNKVGDAGPVLDMMAVMLENVPNITLMARTLISAVYRTAQIMASVPNLSYQNKAFPEALFHQLLLAMVNRDHETRVGAHRIFSVVMVPSSVCPCLPSATPSSKRASNLQKTLSRTVSVFSSSAALFQKLGTEGKEKSVDNKVGNINGISMQDRLSSKKHPSTINENGTVGVDSTRLQSPSIVNRLKSSYSRAYSIKINQQSNTVEDEKSTISSVEDPALPLRLSSHQITLMLSSLWAQSISPLNIPENFEAIAHTYSLVLLFARTKNSCNDAMIRSFQLAFSLRSISLEGGPLQPSRRRSLFILATSMIIFSSKAYNIPPLFPCAKALLTEKTVDPFLRLVDDCKLQTTKVELNPAKIYGSKEDDEDALKSLSEIHLAENQSKDSLATMIVKFLGKLSDQESSKIRNQLLSNFIPDDGCPCGTNLFMETPAQTYESGSADNRSPEKVEPPLFTVDDDTIKGQTGAETSLAPETQNLLSVDELLDALSETAHQVGRQSVSAPPDMPYSEMAGNCEALLVGKQQKMSAVMSAQQNREGLVSISTKEGQPRSHADSGSLKSHTPLPVASLGCKL >KJB49181 pep chromosome:Graimondii2_0_v6:8:32908070:32919029:-1 gene:B456_008G105100 transcript:KJB49181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMISRGVMPVCGSLCFFCPALSTRSRHPVKRYKKFLADIFPRSPDEQPNERMIGKLCEYASKNPLRIPKITSALEQKFYKDLRTEQFHSVKVIMLVYKKLIISCKEQMPLFASSFLTIIQVLLDQTRMDDTRVLGCQALSVFVNNQRDGTYMFNLDGLIPKLCLLAQEMGEDGRVHHLRSAGLQTLSSVVWFMGEFSHVSAEFDNVVSAVLENYRGLETSDDNIDEKQDTQNSSVKDNFSSTDAITTLSWRSIVTENGEFNVPVEEAENPKFWSRVCLHNMAKLAKEATTVRRVLESLFRFFDNEELWSIQDGVALSVLQDMQLIIENCGENTHFLLSILIKHLDHKNVLKKPSMQLHIVHVATSLAQQTKVQPSVAIIGALTDMTRHLRKSIHCSLDDSNLGAEVIEYNQNFRAAVDECLVQLSNKVGDAGPVLDMMAVMLENVPNITLMARTLISAVYRTAQIMASVPNLSYQNKAFPEALFHQLLLAMVNRDHETRVGAHRIFSVVMVPSSVCPCLPSATPSSKRASNLQKTLSRTVSVFSSSAALFQKLGTEGKEKSVDNKVGNINGISMQDRLSSKKHPSTINENGTVGVDSTRLQSPSIVNRLKSSYSRAYSIKINQQSNTVEDEKSTISSVEDPALPLRLSSHQITLMLSSLWAQSISPLNIPENFEAIAHTYSLVLLFARTKNSCNDAMIRSFQLAFSLRSISLEGGPLQPSRRRSLFILATSMIIFSSKAYNIPPLFPCAKALLTEKTVDPFLRLVDDCKLQTTKVELNPAKIYGSKEDDEDALKSLSEIHLAENQSKDSLATMIVKFLGKLSDQESSKIRNQLLSNFIPDDGCPCGTNLFMETPAQTYESGSADNRSPEKVEPPLFTVDDDTIKGQTGAETSLAPETQNLLSVDELLDALSETAHQVGRQSVSAPPDMPYSEMAGNCEALLVGKQQKMSAVMSAQQNREGLVSISTKEGQPRSHADSGSLKSHTPLPVASLGCKL >KJB49178 pep chromosome:Graimondii2_0_v6:8:32907956:32919029:-1 gene:B456_008G105100 transcript:KJB49178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMISRGVMPVCGSLCFFCPALSTRSRHPVKRYKKFLADIFPRSPDEQPNERMIGKLCEYASKNPLRIPKITSALEQKFYKDLRTEQFHSVKVIMLVYKKLIISCKEQMPLFASSFLTIIQVLLDQTRMDDTRVLGCQALSVFVNNQRDGTYMFNLDGLIPKLCLLAQEMGEDGRVHHLRSAGLQTLSSVVWFMGEFSHVSAEFDNVVSAVLENYRGLETSDDNIDEKQDTQNSSVKDNFSSTDAITTLSWRSIVTENGEFNVPVEEAENPKFWSRVCLHNMAKLAKEATTVRRVLESLFRFFDNEELWSIQDGVALSVLQDMQLIIENCGENTHFLLSILIKHLDHKNVLKKPSMQLHIVHVATSLAQQTKVQPSVAIIGALTDMTRHLRKSIHCSLDDSNLGAEVIEYNQNFRAAVDECLVQLSNKVGDAGPVLDMMAVMLENVPNITLMARTLISAVYRTAQIMASVPNLSYQNKAFPEALFHQLLLAMVNRDHETRVGAHRIFSVVMVPSSVCPCLPSATPSSKRASNLQKTLSRTVSVFSSSAALFQKLGTEGKEKSVDNKVGNINGISMQDRLSSKKHPSTINENGTVGVDSTRLQSPSIVNRLKSSYSRAYSIKINQQSNTVEDEKSTISSVEDPALPLRLSSHQITLMLSSLWAQSISPLNIPENFEAIAHTYSLVLLFARTKNSCNDAMIRSFQLAFSLRSISLEGGPLQPSRRRSLFILATSMIIFSSKAYNIPPLFPCAKALLTEKTVDPFLRLVDDCKLQTTKVELNPAKIYGSKEDDEDALKSLSEIHLAENQSKDSLATMIVKFLGKLSDQESSKIRNQLLSNFIPDDGCPCGTNLFMETPAQTYESGSADNRSPEKVEPPLFTVDDDTIKGQTGAETSLAPETQNLLSVDELLDALSETAHQVGRQSVSAPPDMPYSEMAGNCEALLVGKQQKMSAVMSAQQNREGLVSISTKEGQPRSHADSGSLKAENPFLDDNIGTVSLNQPAGANLMLCANEHQHQPCFQLPASIPYDNFLKAAGC >KJB49179 pep chromosome:Graimondii2_0_v6:8:32908070:32918981:-1 gene:B456_008G105100 transcript:KJB49179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMISRGVMPVCGSLCFFCPALSTRSRHPVKRYKKFLADIFPRSPDEQPNERMIGKLCEYASKNPLRIPKITSALEQKFYKDLRTEQFHSVKVIMLVYKKLIISCKEQMPLFASSFLTIIQVLLDQTRMDDTRVLGCQALSVFVNNQRDGTYMFNLDGLIPKLCLLAQEMGEDGRVHHLRSAGLQTLSSVVWFMGEFSHVSAEFDNVVSAVLENYRGLETSDDNIDEKQDTQNSSVKDNFSSTDAITTLSWRSIVTENGEFNVPVEEAENPKFWSRVCLHNMAKLAKEATTVRRVLESLFRFFDNEELWSIQDGVALSVLQDMQLIIENCGENTHFLLSILIKHLDHKNVLKKPSMQLHIVHVATSLAQQTKVQPSVAIIGALTDMTRHLRKSIHCSLDDSNLGAEVIEYNQNFRAAVDECLVQLSNKVGDAGPVLDMMAVMLENVPNITLMARTLISAVYRTAQIMASVPNLSYQNKAFPEALFHQLLLAMVNRDHETRVGAHRIFSVVMVPSSVCPCLPSATPSSKRASNLQKTLSRTVSVFSSSAALFQKLGTEGKEKSVDNKVGNINGISMQDRLSSKKHPSTINENGTVGVDSTRLQSPSIVNRLKSSYSRAYSIKINQQSNTVEDEKSTISSVEDPALPLRLSSHQITLMLSSLWAQSISPLNIPENFEAIAHTYSLVLLFARTKNSCNDAMIRSFQLAFSLRSISLEGGPLQPSRRRSLFILATSMIIFSSKAYNIPPLFPCAKALLTEKTVDPFLRLVDDCKLQTTKVELNPAKIYGSKEDDEDALKSLSEIHLAENQSKDSLATMIVKFLGKLSDQESSKIRNQLLSNFIPDDGCPCGTNLFMETPAQTYESGSADNRSPEKAIIFLLQLFSSPRSPQISYQVYNVVMRRLSLHYSQ >KJB49385 pep chromosome:Graimondii2_0_v6:8:35327088:35330201:1 gene:B456_008G117900 transcript:KJB49385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKKPGLIALFDVDGTLTAPRKVATPEMLEFMKELRKVVTVGVVGGSDLVKISEQLGKSVINDYDYVFSENGLVAHKDGELIGTQSLKSFLGEDKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRLKMVSVLREKFAHLNLTFSIGGQISFDGGNDHEIYESERTVGHTVTSPGDTVKQCKALFLSNP >KJB49384 pep chromosome:Graimondii2_0_v6:8:35327323:35330201:1 gene:B456_008G117900 transcript:KJB49384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEFMKELRKVVTVGVVGGSDLVKISEQLGKSVINDYDYVFSENGLVAHKDGELIGTQSLKSFLGEDKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRLKMVSVLREKFAHLNLTFSIGGQISFDVFPEGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDHEIYESERTVGHTVTSPGDTVKQCKALFLSNP >KJB49386 pep chromosome:Graimondii2_0_v6:8:35327088:35330201:1 gene:B456_008G117900 transcript:KJB49386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKKPGLIALFDVDGTLTAPRKVATPEMLEFMKELRKVVTVGVVGGSDLVKISEQLGKSVINDYDYVFSENGLVAHKDGELIGTQSLKSFLGEDKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRLKMVSVLREKFAHLNLTFSIGGQISFDVFPEGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDHEIYESERTVGHTVTSPGDTVKQCKALFLSNP >KJB49387 pep chromosome:Graimondii2_0_v6:8:35327492:35330201:1 gene:B456_008G117900 transcript:KJB49387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEFMKELRKVVTVGVVGGSDLVKISEQLGKSVINDYDYVFSENGLVAHKDGELIGTQSLKSFLGEDKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRLKMVSVLREKFAHLNLTFSIGGQISFDVSNTYIVCFNILSTMNVKRISDCFVLIVIGFPRGLGQNILLEIS >KJB49383 pep chromosome:Graimondii2_0_v6:8:35326996:35330217:1 gene:B456_008G117900 transcript:KJB49383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKKPGLIALFDVDGTLTAPRKVATPEMLEFMKELRKVVTVGVVGGSDLVKISEQLGKSVINDYDYVFSENGLVAHKDGELIGTQSLKSFLGEDKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRLKMVSVLREKFAHLNLTFSIGGQISFDVFPEGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDHEIYESERTVGHTVTSPGDTVKQCKALFLSNP >KJB51243 pep chromosome:Graimondii2_0_v6:8:49343355:49344524:1 gene:B456_008G208600 transcript:KJB51243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVKVQSRVLDQRMRLSHDGCSRKSAVSDTNSSREGSSIADDWDERPHTVEEVKAMLQHRKEAALKREKSLSQALSQQMRRARRSPSMGGQDEWLDRWMPAKPWDNRGRASMDQRDNVKTVEMDTSQPYSYVAPNYRRTNSNHYHQRPSSPLHRAQHNAQPFHPSPITPSPSKTRPVQVRSASPYCIREDRTSFSSSQKPSLRSNYYYTDRVSTQASTSINNATTLPNYMAATESAKARIRSQSAPRHRPSTPERDRIG >KJB49417 pep chromosome:Graimondii2_0_v6:8:35364080:35367917:-1 gene:B456_008G118300 transcript:KJB49417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETKKEVAAETPVAPTPAVEAPTPVAEDLKADSKKTTVAPPPAEEKSDESKALAVVEKAPEPAPKKSSTGSHDRDVALADLEKEKKLSFIKAWEDSEKTKAENKAQKKLSAIVAWENSKKAALEAKLKKIEEQLEKKKAEYAETMKNKVASIHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGQSPKKLLGCF >KJB49420 pep chromosome:Graimondii2_0_v6:8:35365286:35367897:-1 gene:B456_008G118300 transcript:KJB49420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETKKEVAAETPVAPTPAVEAPTPVAEDLKADSKKTTVAPPPAEEKSDESKALAVVEKAPEPAPKKSSTGSHDRDVALADLEKEKKLSFIKAWEDSEKTKAENK >KJB49418 pep chromosome:Graimondii2_0_v6:8:35364091:35366060:-1 gene:B456_008G118300 transcript:KJB49418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKAPEPAPKKSSTGSHDRDVALADLEKEKKLSFIKAWEDSEKTKAENKAQKKLSAIVAWENSKKAALEAKLKKIEEQLEKKKAEYAETMKNKVASIHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGQSPKKLLGCF >KJB49419 pep chromosome:Graimondii2_0_v6:8:35364091:35367897:-1 gene:B456_008G118300 transcript:KJB49419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETKKEVAAETPVAPTPAVEAPTPVAEDLKADSKKTTVAPPPAEEKSDESKALAVVEKAPEPAPKKSSTGSHDRDVALADLEKEKKLSFIKAWEDSEKTKAENKAQKKLSAIVAWENSKKAALEAKLKKIEVFFPHSCSIFWITKG >KJB50293 pep chromosome:Graimondii2_0_v6:8:42985192:42986819:1 gene:B456_008G162500 transcript:KJB50293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPFSLILLIMLPLLQAPTSIFSSPLQHPDLVVQQVIESIMNGTIARRNLGFLSCGTGNPIDDCWRCDPHWEKNRQRLADCAIGFGKHAIGGKHGKIYVVTDPSDRDPINPKPGTLRHAAIQVEPLWVVFAHDMVIKLKQELLVNSFKTIDGRGANVHIAGGPCITIQYVSNVIIHGISIHDCKRGGNAYVRDSPSHYGWRTISDGDAVSIFGGSHVWVDHCSLSNCTDGLVDAIQGSTAITISNNYMTHHNKVMLLGHSDSYKQDKNTQVTIAFNYFGEGLVQRMPSFQLVYRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRFAAPNNVNSKEVTKHEDAPQSKWKEWNWRSEGDLMLNGAFFTASGKGTSSSYAKASSLGARPSSLVNSLTAGAGALVCKKGSRC >KJB50292 pep chromosome:Graimondii2_0_v6:8:42985110:42986954:1 gene:B456_008G162500 transcript:KJB50292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPFSLILLIMLPLLQAPTSIFSSPLQHPDLVVQQVIESIMNGTIARRNLGFLSCGTGNPIDDCWRCDPHWEKNRQRLADCAIGFGKHAIGGKHGKIYVVTDPSDRDPINPKPGTLRHAAIQVEPLWVVFAHDMVIKLKQELLVNSFKTIDGRGANVHIAGGPCITIQYVSNVIIHGISIHDCKRGGNAYVRDSPSHYGWRTISDGDAVSIFGGSHVWVDHCSLSNCTDGLVDAIQGSTAITISNNYMTHHNKVMLLGHSDSYKQDKNTQVTIAFNYFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRFAAPNNVNSKEVTKHEDAPQSKWKEWNWRSEGDLMLNGAFFTASGKGTSSSYAKASSLGARPSSLVNSLTAGAGALVCKKGSRC >KJB48316 pep chromosome:Graimondii2_0_v6:8:10093893:10095434:-1 gene:B456_008G063200 transcript:KJB48316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQQQQQQNQQAPNEDCGGGSGKGSFLCRQSSTRWTPTTDQIRILKDLYYNNGVRSPSADQIQRISARLRQYGKIEGKNVFYWFQNHKARERQKKRFTTTTNHHVPMQSPTTAANNKYPNITPGYSPTSLSSTGALTVGQIGNYGYGSITMEKSFRDCSISACGSSTSVGESLSHNFGWVGFDPTYSSSYTFFEHKKFMEERQKDEDDAEEEAAAPQIETLPLFPMHSEDVNGFCSNIRPRLDSCYSGWYRSEDGYTGSRASLELSLNPYAGRPQDSI >KJB51236 pep chromosome:Graimondii2_0_v6:8:49312908:49315154:-1 gene:B456_008G208100 transcript:KJB51236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKRGGKVAVPAKKKQEKVVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKLLLKYRPEDKAAKKERLLKKAQAEAEGKAPESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSIVHKKTASVLCLTTVKNEDKLDFSKILEAIKANFNDKYDEYRKKWGGGIMGSKSQARTKAKEKLLAKEAAQRMT >KJB51554 pep chromosome:Graimondii2_0_v6:8:50857347:50859404:1 gene:B456_008G222300 transcript:KJB51554 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC7 [Source:Projected from Arabidopsis thaliana (AT2G35550) UniProtKB/TrEMBL;Acc:A0A178W000] MKMRSYSDKNVMPETEIGSTGSPFSWLYHYNPTSKPGFSSLQRTGIHHEPGLVVSPIRTIAATTESGNNNDLGTKTTKVGKQKSSVKGSNQIAPKVLGPKQPMKKPSLPKKGKGPSIPETKREKKNPNINLDGTKFDFSGVPSPICSCTGVARVCYKWGASGWQSSCCTINISECPLPMSPTRPGARVAGRKMSNGAYFKLLLRLAAEGYDLSHPVDLKDHWARHGTNKFVTIK >KJB51551 pep chromosome:Graimondii2_0_v6:8:50857344:50859425:1 gene:B456_008G222300 transcript:KJB51551 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC7 [Source:Projected from Arabidopsis thaliana (AT2G35550) UniProtKB/TrEMBL;Acc:A0A178W000] MKMRSYSDKNVMPETEIGSTGSPFSWLYHYNPTSKPGFSSLQRTGIHHEPGLVVSPIRTIAATTESGNNNDLGTKTTKVGKQKSSVKGSNQIAPKVLGPKQPMKKPSLPKKGKGPSIPETKREKKNPNINLDGTKFDFSGVPSPICSCTGVARVCYKWGASGWQSSCCTINISECPLPMSPTRPGARVAGRKMSNGAYFKLLLRLAAEGYDLSHPVDLKDHWARHGTNKFVTIK >KJB51553 pep chromosome:Graimondii2_0_v6:8:50857347:50859404:1 gene:B456_008G222300 transcript:KJB51553 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC7 [Source:Projected from Arabidopsis thaliana (AT2G35550) UniProtKB/TrEMBL;Acc:A0A178W000] MKMRSYSDKNVMPETEIGSTGSPFSWLYHYNPTSKPGFSSLQRTGIHHEPGLVVSPIRTIAATTESGNNNDLGTKTTKVGKQKSSVKGSNQIAPKVLGPKQPMKKPSLPKKGKGPSIPETKREKKNPNINLDGTKFDFSGVPSPICSCTGVARVCYKWGASGWQSSCCTINISECPLPMSPTRPGARVAGRKMSNGAYFKLLLRLAAEGYDLSHPVDLKDHWARHGTNKFVTIK >KJB51552 pep chromosome:Graimondii2_0_v6:8:50857133:50859465:1 gene:B456_008G222300 transcript:KJB51552 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC7 [Source:Projected from Arabidopsis thaliana (AT2G35550) UniProtKB/TrEMBL;Acc:A0A178W000] MKMRSYSDKNVMPETEIGSTGSPFSWLYHYNPTSKPGFSSLQRTGIHHEPGLVVSPIRTIAATTESGNNNDLGTKTTKVGKQKSSVKGSNQIAPKVLGPKQPMKKPSLPKKGKGPSIPETKREKKNPNINLDGTKFDFSGVPSPICSCTGVARVCYKWGASGWQSSCCTINISECPLPMSPTRPGARVAGRKMSNGAYFKLLLRLAAEGYDLSHPVDLKDHWARHGTNKFVTIK >KJB47414 pep chromosome:Graimondii2_0_v6:8:2941214:2945765:1 gene:B456_008G025300 transcript:KJB47414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCGVYICTICLKQISTGSTTEFLNFRVVQKPCPEPNIEPWEIPYVHYPNPKTYSRAECACNPVRYFAIMSMQRSGSGWFETLLNNHTNISSNGEIFSVKVRRSNVSTIFETLDKIYNLDWMSSASKNECTAAVGLKWMLNQGLMQHHKEIVEYFNTRGVSTIFLFRRNLLRRMISILANSYDRDAKPLNGTHKSHVHSPYEAAILASYKPVVNVTTLIPSLRQVEETTKKGLEYFKSTRHIILYYEDVVKNHTKLAEVQEFLKVPKRELKSRQVKIHKGSLSNHIQNWVDVEKALNGTKYESYLHADYRK >KJB47410 pep chromosome:Graimondii2_0_v6:8:2941212:2945765:1 gene:B456_008G025300 transcript:KJB47410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLCFFTKDSFILKSPKKSPLVLRTVVLFFVMVCGVYICTICLKQISTGSTTEFLNFRVVQKPCPEPNIEPWEIPYVHYPNPKTYSRAECACNPVRYFAIMSMQRSGSGWFETLLNNHTNISSNGEIFSVKVRRSNVSTIFETLDKIYNLDWMSSASKNECTAAVGLKWMLNQGLMQHHKEIVEYFNTRGVSTIFLFRRNLLRRMISILANSYDRDAKPLNGTHKSHVHSPYEAAILASYKPVVNVTTLIPSLRQVEETTKKGLEYFKSTRHIILYYEDVVKNHTKLAEVQEFLKVPKRELKSRQVKIHKGSLSNHIQNWVDVEKALNGTKYESYLHADYRK >KJB47412 pep chromosome:Graimondii2_0_v6:8:2941214:2945765:1 gene:B456_008G025300 transcript:KJB47412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLCFFTKDSFILKSPKKSPLVLRTVVLFFVMVCGVYICTICLKQISTGSTTEFLNFRVVQKPCPEPNIEPWEIPYVHYPNPKTYSRAECACNPVRYFAIMSMQRSGSGWFETLLNNHTNISSNGEIFSVKVRRSNVSTIFETLDKIYNLDWMSSASKNECTAAVGLKWMLNQGLMQHHKEIVEYFNTRGVSTIFLFRRNLLRRMISILANSYDRDAKPLNGTHKSHVHSPYEVYAPPLSLTHTHKYLKVSTLTK >KJB47413 pep chromosome:Graimondii2_0_v6:8:2941214:2945765:1 gene:B456_008G025300 transcript:KJB47413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLCFFTKDSFILKSPKKSPLVLRTVVLFFVMVCGVYICTICLKQISTGSTTEFLNFRVVQKPCPEPNIEPWEIPYVHYPNPKTYSRAECACNPVRYFAIMSMQRSGSGWFETLLNNHTNISSNGEIFSVKVRRSNVSTIFETLDKIYNLDWMSSASKNECTAAVGLKWMLNQGLMQHHKEIVEYFNTRGVSTIFLFRRNLLRRMISILANSYDRDAKPLNGTHKSHVHSPYEAAILASYKPVVNVTTLIPSLRQVEETTKKGLEYFKSTRHIILYYEDVVKNHTVRKMFQKADLLVWL >KJB47411 pep chromosome:Graimondii2_0_v6:8:2941214:2942906:1 gene:B456_008G025300 transcript:KJB47411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLCFFTKDSFILKSPKKSPLVLRTVVLFFVMVCGVYICTICLKQISTGSTTEFLNFRVVQKPCPEPNIEPWEIPYVHYPNPKTYSRAECACNPVRYFAIMSMQRSGSGWFETLLNNHTNISSNGEIFSVKVRRSNVSTIFETLDKIYNLDWMSSASKNECTAAVGLKWMLNQVSTSWVKCDF >KJB53215 pep chromosome:Graimondii2_0_v6:8:56993133:56999025:-1 gene:B456_008G297300 transcript:KJB53215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATVQQGGRSPKPMNGPTSTSQLKPGSDSVQNSASSFPSQGKGKKRERGDQGFEPVKRERTSKMDDGDSGHGRPEVNLKSEIAKITEKGGLEDYAGVEKLVQLMVSERNEKKVDLVSRSMLAGVIAATDKFDCLSHFVQLRGLPVFDEWLQEVHKGKIGDGSGCKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIEIQKKARSLVDTWKKRVEAEMDAKCGSNQGVPWSARARLSDVSHSGSKHSGSSDVAMKSSVTQLSASKTGSVKLAQGEITTKSASASPGPVKAATSPASASTNLKDGQARNAAVVGTSDPQTTTRDEKSSSSSQSHNNSQSCSSDLGKTGGVSGKEDARSSAAGSGIVAKISGSSSRHRKSINGFPGPSGAQREAGSSKNSSLHRNPASEKVSQSGLTCEKLTDPPTAEGNSHKFIVKIPNRGRSPAQSASGGSLEDHPVMNSRASSPVLSEKHEQLDRNMKEKSESYRANVATDVNTESWQSNDFKDVLTGSDEGDGSPAAVHDEENCRTGEDARKTTEVTKTASSSSGNELKSGKLQDASFSSINALIDSCAKYAEANECLPVGDDAGMNLLASVATGDFSKSDGASPIDSPQRNTPLVEHSSTGNETKLKPSGDEVVQNRNQSVEGADDEHLKQGVAASNSWPKNAESKTGSSLEKLGELNEHLTSSLPKIADQCPENGKLKEIVMAALVNLPSACTVEKTTDIDDSKERLDKKSDEVDDDCCLGSTSAVNEEVIDAGVKVEKEVVEGSSSVPSIEVDADNNKKNVTEDSERSSQTHQKSANVFGHFIKGTDKEALPPGPSRDTVLEHVDEVKAEKDVETDAPSHASHNEKQKPELEIVTAQKGEHVQENIECSEGHEVHGRPSPCKASSETGQTKKPRGSKVTGVEADEAEECTSITTDTPATGVADTDAKVEFDLNEDFNADDGKFVESNNVTAPVQLISSLPFPVSSVSSSLPASITIAAAAKGPFVPPQDLLRTKGALGWKGSAATSAFRPAEPRKSLDMPLGTNNASIPDASTGKQCRPPLDIDLNVPDERVLEDLAFQSSAQGTNSALDLSNNRDLKCGLVGPAPVRSSGGLDLDLNRVDEPADLGNHSTGNSRRIDAPMHPIKSSVGILNGEASFRRDFDLNNGPTVDEASAEPSLFSHHNRNSNVLSQAPVPSLQINNAEMANFSSWFPTGNTYSAVTIPSILPDREQPFPIVATGGTQRVLGPPTGATPFNPDVYRAPVLSSAPAVPFPSTPFQYPVFPFGTTFPLPSTSFSGSSTTYADSSSGGRFCFPPVHSQLLGPAGTVPSHYTRPYVVNLPDSSYNSSAESGRKWGRHGLDLNAGPGGPDIEGRDETAPLASRHLSVASSQALAEEQARMYQVPGGVLKRKEPEGGWDGYKQSSWQ >KJB53214 pep chromosome:Graimondii2_0_v6:8:56993055:57001027:-1 gene:B456_008G297300 transcript:KJB53214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGGGEERKKARHMWTVPTRSTAVLSGDGGASLSSSSSSSTVNFFSKDGRKISVGDCALFKPPEDSPPFIGIIRCLTAGKENKLKLCVNWLYRPAEVKLGKGLLLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLPKDVELPSGICSFVCRRVYDITNKCLWWLTDQDYINELQEEVDQLLYKTRLEMHATVQQGGRSPKPMNGPTSTSQLKPGSDSVQNSASSFPSQGKGKKRERGDQGFEPVKRERTSKMDDGDSGHGRPEVNLKSEIAKITEKGGLEDYAGVEKLVQLMVSERNEKKVDLVSRSMLAGVIAATDKFDCLSHFVQLRGLPVFDEWLQEVHKGKIGDGSGCKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIEIQKKARSLVDTWKKRVEAEMDAKCGSNQGVPWSARARLSDVSHSGSKHSGSSDVAMKSSVTQLSASKTGSVKLAQGEITTKSASASPGPVKAATSPASASTNLKDGQARNAAVVGTSDPQTTTRDEKSSSSSQSHNNSQSCSSDLGKTGGVSGKEDARSSAAGSGIVAKISGSSSRHRKSINGFPGPSGAQREAGSSKNSSLHRNPASEKVSQSGLTCEKLTDPPTAEGNSHKFIVKIPNRGRSPAQSASGGSLEDHPVMNSRASSPVLSEKHEQLDRNMKEKSESYRANVATDVNTESWQSNDFKDVLTGSDEGDGSPAAVHDEENCRTGEDARKTTEVTKTASSSSGNELKSGKLQDASFSSINALIDSCAKYAEANECLPVGDDAGMNLLASVATGDFSKSDGASPIDSPQRNTPLVEHSSTGNETKLKPSGDEVVQNRNQSVEGADDEHLKQGVAASNSWPKNAESKTGSSLEKLGELNEHLTSSLPKIADQCPENGKLKEIVMAALVNLPSACTVEKTTDIDDSKERLDKKSDEVDDDCCLGSTSAVNEEVIDAGVKVEKEVVEGSSSVPSIEVDADNNKKNVTEDSERSSQTHQKSANVFGHFIKGTDKEALPPGPSRDTVLEHVDEVKAEKDVETDAPSHASHNEKQKPELEIVTAQKGEHVQENIECSEGHEVHGRPSPCKASSETGQTKKPRGSKVTGVEADEAEECTSITTDTPATGVADTDAKVEFDLNEDFNADDGKFVESNNVTAPVQLISSLPFPVSSVSSSLPASITIAAAAKGPFVPPQDLLRTKGALGWKGSAATSAFRPAEPRKSLDMPLGTNNASIPDASTGKQCRPPLDIDLNVPDERVLEDLAFQSSAQGTNSALDLSNNRDLKCGLVGPAPVRSSGGLDLDLNRVDEPADLGNHSTGNSRRIDAPMHPIKSSVGILNGEASFRRDFDLNNGPTVDEASAEPSLFSHHNRNSNVLSQAPVPSLQINNAEMANFSSWFPTGNTYSAVTIPSILPDREQPFPIVATGGTQRVLGPPTGATPFNPDVYRAPVLSSAPAVPFPSTPFQYPVFPFGTTFPLPSTSFSGSSTTYADSSSGGRFCFPPVHSQLLGPAGTVPSHYTRPYVVNLPDSSYNSSAESGRKWGRHGLDLNAGPGGPDIEGRDETAPLASRHLSVASSQALAEEQARMYQVPGGVLKRKEPEGGWDGYKQSSWQ >KJB53216 pep chromosome:Graimondii2_0_v6:8:56993133:57000992:-1 gene:B456_008G297300 transcript:KJB53216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGGGEERKKARHMWTVPTRSTAVLSGDGGASLSSSSSSSTVNFFSKDGRKINSPPFIGIIRCLTAGKENKLKLCVNWLYRPAEVKLGKGLLLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLPKDVELPSGICSFVCRRVYDITNKCLWWLTDQDYINELQEEVDQLLYKTRLEMHATVQQGGRSPKPMNGPTSTSQLKPGSDSVQNSASSFPSQGKGKKRERGDQGFEPVKRERTSKMDDGDSGHGRPEVNLKSEIAKITEKGGLEDYAGVEKLVQLMVSERNEKKVDLVSRSMLAGVIAATDKFDCLSHFVQLRGLPVFDEWLQEVHKGKIGDGSGCKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRTHKNIEIQKKARSLVDTWKKRVEAEMDAKCGSNQGVPWSARARLSDVSHSGSKHSGSSDVAMKSSVTQLSASKTGSVKLAQGEITTKSASASPGPVKAATSPASASTNLKDGQARNAAVVGTSDPQTTTRDEKSSSSSQSHNNSQSCSSDLGKTGGVSGKEDARSSAAGSGIVAKISGSSSRHRKSINGFPGPSGAQREAGSSKNSSLHRNPASEKVSQSGLTCEKLTDPPTAEGNSHKFIVKIPNRGRSPAQSASGGSLEDHPVMNSRASSPVLSEKHEQLDRNMKEKSESYRANVATDVNTESWQSNDFKDVLTGSDEGDGSPAAVHDEENCRTGEDARKTTEVTKTASSSSGNELKSGKLQDASFSSINALIDSCAKYAEANECLPVGDDAGMNLLASVATGDFSKSDGASPIDSPQRNTPLVEHSSTGNETKLKPSGDEVVQNRNQSVEGADDEHLKQGVAASNSWPKNAESKTGSSLEKLGELNEHLTSSLPKIADQCPENGKLKEIVMAALVNLPSACTVEKTTDIDDSKERLDKKSDEVDDDCCLGSTSAVNEEVIDAGVKVEKEVVEGSSSVPSIEVDADNNKKNVTEDSERSSQTHQKSANVFGHFIKGTDKEALPPGPSRDTVLEHVDEVKAEKDVETDAPSHASHNEKQKPELEIVTAQKGEHVQENIECSEGHEVHGRPSPCKASSETGQTKKPRGSKVTGVEADEAEECTSITTDTPATGVADTDAKVEFDLNEDFNADDGKFVESNNVTAPVQLISSLPFPVSSVSSSLPASITIAAAAKGPFVPPQDLLRTKGALGWKGSAATSAFRPAEPRKSLDMPLGTNNASIPDASTGKQCRPPLDIDLNVPDERVLEDLAFQSSAQGTNSALDLSNNRDLKCGLVGPAPVRSSGGLDLDLNRVDEPADLGNHSTGNSRRIDAPMHPIKSSVGILNGEASFRRDFDLNNGPTVDEASAEPSLFSHHNRNSNVLSQAPVPSLQINNAEMANFSSWFPTGNTYSAVTIPSILPDREQPFPIVATGGTQRVLGPPTGATPFNPDVYRAPVLSSAPAVPFPSTPFQYPVFPFGTTFPLPSTSFSGSSTTYADSSSGGRFCFPPVHSQLLGPAGTVPSHYTRPYVVNLPDSSYNSSAESGRKWGRHGLDLNAGPGGPDIEGRDETAPLASRHLSVASSQALAEEQARMYQVPGGVLKRKEPEGGWDGYKQSSWQ >KJB53066 pep chromosome:Graimondii2_0_v6:8:56580671:56584482:-1 gene:B456_008G291400 transcript:KJB53066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPLRHGTYCYPFKETWMHTILLSFQSIGVVYGRLSTAPLYVFGSIPQNDFKSDESAYEYFSFIFWTLTVLSLVKYTFIVLKADNDGEGGTFALYSLLCKHAKVGLLPNDKTSDDVTNNETGSPSRTKAESRARRAIAKHKSSHYLMLFLALFGSCMIICDAVLTPAISVLSAASGLRRSLTDIKYSSSRKTEDSVLKDLRKYVPVPTACAILVCLFTLQQYGSHRIGSIFAPVVILWLLFITGVGIYNIFHYDPHIVFAISPKYMYKFFQSISWRSWRSLGSITLCVAGSEAMFADIGHFSTKSIKMTFVCLIYPVLILSYAGQAAFISHALSIKDSSFAIEDYNHFYRSVPDHIHYVFTILSLLASAIGSQATITACFSIVNQCLALGCFPRVKVIHTSDKIHGQVYIPDLNWMIMILSLGITIGFHDIVRIGNASGMAIISGMLVTTCLTSLVIALYWEKSLLLSACFLVFFGSIEAIYLSSNLLNFHKGAWYLAVLLALSLTTMVAWHYGTLKKYQFDIENKVSMEWLTDPTSGLRVSRVPGIGFVYTNVVTGIPAFFSHFITNVPAFHQVLIFVSFKSLPKPFVSPTKRYLIGRVGNRDNKIYRCIVRYGYHDHIRDSDDFEEQIIRSIGEFISLEEHDAESLISTEGKMIIVGKQLPEGDALIPLHDTGATSSPRASTQTNINTTEDTNPKIKRKKVRFMLPANSPKMCPSVREELNELVDARESGTAYFLGQSHIAVRNGSNFIKRFLIMVYVFFDKNCREPHVALNIPHAALVEVGMVYTI >KJB53067 pep chromosome:Graimondii2_0_v6:8:56580878:56584320:-1 gene:B456_008G291400 transcript:KJB53067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPLRHGTYCYPFKKETWMHTILLSFQSIGVVYGRLSTAPLYVFGSIPQNDFKSDESAYEYFSFIFWTLTVLSLVKYTFIVLKADNDGEGGTFALYSLLCKHAKVGLLPNDKTSDDVTNNETGSPSRTKAESRARRAIAKHKSSHYLMLFLALFGSCMIICDAVLTPAISVLSAASGLRRSLTDIKYSSSRKTEDSVLKDLRKYVPVPTACAILVCLFTLQQYGSHRIGSIFAPVVILWLLFITGVGIYNIFHYDPHIVFAISPKYMYKFFQSISWRSWRSLGSITLCVAGSEAMFADIGHFSTKSIKMTFVCLIYPVLILSYAGQAAFISHALSIKDSSFAIEDYNHFYRSVPDHIHYVFTILSLLASAIGSQATITACFSIVNQCLALGCFPRVKVIHTSDKIHGQVYIPDLNWMIMILSLGITIGFHDIVRIGNASGMAIISGMLVTTCLTSLVIALYWEKSLLLSACFLVFFGSIEAIYLSSNLLNFHKGAWYLAVLLALSLTTMVAWHYGTLKKYQFDIENKVSMEWLTDPTSGLRVSRVPGIGFVYTNVVTGIPAFFSHFITNVPAFHQVLIFVSFKSLPKPFVSPTKRYLIGRVGNRDNKIYRCIVRYGYHDHIRDSDDFEEQIIRSIGEFISLEEHDAESLISTEGKMIIVGKQLPEGDALIPLHDTGATSSPRASTQTNINTTEDTNPKIKRKKVRFMLPANSPKMCPSVREELNELVDARESGTAYFLGQSHIAVRNGSNFIKRFLIMVYVFFDKNCREPHVALNIPHAALVEVGMVYTI >KJB52930 pep chromosome:Graimondii2_0_v6:8:56143640:56152030:-1 gene:B456_008G285500 transcript:KJB52930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MVSETVQGSCVTNGGTKSNESFKRKHLGSDEHASASRHDSSDAKGDIGTSERDIAANINEDTQADDASKKVRLPKELTFQDMYNNQDAFDDDDEDDSDWEPVQKHIEILKWFCTNCTMVNLDDVFLCDICGEHKESGILRHGFYASPFSPEVELVQVESEATGREKEIWSEASASNCSTAVGFDERMLLHSEVEIKSHPHPERPDRLRAIAASLSAAGIFPGKCCLIPAREITLEELQMVHSSEHIEVVELTRQMFSSYFTPDTYANEHSAHAARLAAGLCADLASAIFSGRVRNGFALVRPPGHHAGVTQAMGFCLHNNAAVAALAAQAAGAKKVLIVDWDVHHGNGTQEIFDQNKSVLYISLHRHEGGKFYPGTGSAFEVGTKGAEGYCVNIPWSRGGVGDNDYIFAFQHVVLPIASKFAPDFTIISAGFDAARGDPLGCCDVTPAGYTQMTHMLSTLSGGKLLVVLEGGFVVYSLLFGTVQIII >KJB52928 pep chromosome:Graimondii2_0_v6:8:56142411:56152030:-1 gene:B456_008G285500 transcript:KJB52928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MYNNQDAFDDDDEDDSDWEPVQKHIEILKWFCTNCTMVNLDDVFLCDICGEHKESGILRHGFYASPFSPEVELVQVESEATGREKEIWSEASASNCSTAVGFDERMLLHSEVEIKSHPHPERPDRLRAIAASLSAAGIFPGKCCLIPAREITLEELQMVHSSEHIEVVELTRQMFSSYFTPDTYANEHSAHAARLAAGLCADLASAIFSGRVRNGFALVRPPGHHAGVTQAMGFCLHNNAAVAALAAQAAGAKKVLIVDWDVHHGNGTQEIFDQNKSVLYISLHRHEGGKFYPGTGSAFEVGTKGAEGYCVNIPWSRGGVGDNDYIFAFQHVVLPIASKFAPDFTIISAGFDAARGDPLGCCDVTPAGYTQMTHMLSTLSGGKLLVVLEGGYNLRSISSSATAVIKVLLGESCECEPENVVPSKAGLLTVLEVLKIQMKYWPNLSSSFEKLQLQRAMYSVEKKQKQVKRRRVFEPPLWWRWGRKQLLFHILTGHFHLK >KJB52929 pep chromosome:Graimondii2_0_v6:8:56142411:56152030:-1 gene:B456_008G285500 transcript:KJB52929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MVSETVQGSCVTNGGTKSNESFKRKHLGSDEHASASRHDSSDAKGDIGTSERDIAANINEDTQADDASKKVRLPKELTFQDMYNNQDAFDDDDEDDSDWEPVQKHIEILKWFCTNCTMVNLDDVFLCDICGEHKESGILRHGFYASPFSPEVELVQVESEATGREKEIWSEASASNCSTAVGFDERMLLHSEVEIKSHPHPERPDRLRAIAASLSAAGIFPGKCCLIPAREITLEELQMVHSSEHIEVVELTRQMFSSYFTPDTYANEHSAHAARLAAGLCADLASAIFSGRVRNGFALVRPPGHHAGVTQAMGFCLHNNAAVAALAAQAAGAKKVLIVDWDVHHGNGTQEIFDQNKSVLYISLHRHEGGKFYPGTGSAFEVGTKGAEGYCVNIPWSRGGVGDNDYIFAFQHVVLPIASKFAPDFTIISAGFDAARGDPLGCCDVTPAGYTQMTHIYNLRSISSSATAVIKVLLGESCECEPENVVPSKAGLLTVLEVLKIQMKYWPNLSSSFEKLQLQRAMYSVEKKQKQVKRRRVFEPPLWWRWGRKQLLFHILTGHFHLK >KJB52932 pep chromosome:Graimondii2_0_v6:8:56142411:56152141:-1 gene:B456_008G285500 transcript:KJB52932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MVSETVQGSCVTNGGTKSNESFKRKHLGSDEHASASRHDSSDAKGDIGTSERDIAANINEDTQADDASKKVRLPKELTFQDMYNNQDAFDDDDEDDSDWEPVQKHIEILKWFCTNCTMVNLDDVFLCDICGEHKESGILRHGFYASPFSPEVELVQVESEATGREKEIWSEASASNCSTAVGFDERMLLHSEVEIKSHPHPERPDRLRAIAASLSAAGIFPGKCCLIPAREITLEELQMVHSSEHIEVVELTRQMFSSYFTPDTYANEHSAHAARLAAGLCADLASAIFSGRVRNGFALVRPPGHHAGVTQAMGFCLHNNAAVAALAAQAAGAKKVLIVDWDVHHGNGTQEIFDQNKSVLYISLHRHEGGKFYPGTGSAFEVGTKGAEGYCVNIPWSRGGVGDNDYIFAFQHVVLPIASKFAPDFTIISAGFDAARGDPLGCCDVTPAGYTQMTHMLSTLSGGKLLVVLEGGYNLRSISSSATAVIKVLLGESCECEPENVVPSKAGLLTVLEVLKIQMKYWPNLSSSFEKLQLQRAMYSVEKKQKQVKRRRVFEPPLWWRWGRKQLLFHILTGHFHLK >KJB52933 pep chromosome:Graimondii2_0_v6:8:56143860:56151027:-1 gene:B456_008G285500 transcript:KJB52933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MVSETVQGSCVTNGGTKSNESFKRKHLGSDEHASASRHDSSDAKGDIGTSERDIAANINEDTQADDASKKVRLPKELTFQDMYNNQDAFDDDDEDDSDWEPVQKHIEILKWFCTNCTMVNLDDVFLCDICGEHKESGILRHGFYASPFSPEVELVQVESEATGREKEIWSEASASNCSTAVGFDERMLLHSEVEIKSHPHPERPDRLRAIAASLSAAGIFPGKCCLIPAREITLEELQMVHSSEHIEVVELTRQMFSSYFTPDTYANEHSAHAARLAAGLCADLASAIFSGRVRNGFALVRPPGHHAGVTQAMGFCLHNNAAVAALAAQAAGAKKVLIVDWDVHHGNGTQEIFDQNKSVLYISLHRHEGGKFYPGTGSAFEVGTKGAEGYCVNIPWSRGGVGDNDYIFAFQHVVLPIASKFAPDFTIISAGFDAARGDPLGCCDVTPAGYTQMTHMLSTLSGGKLLVVLEGGFVVYSLLFGTVQIII >KJB52931 pep chromosome:Graimondii2_0_v6:8:56142833:56150668:-1 gene:B456_008G285500 transcript:KJB52931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MYNNQDAFDDDDEDDSDWEPVQKHIEILKWFCTNCTMVNLDDVFLCDICGEHKESGILRHGFYASPFSPEVELVQVESEATGREKEIWSEASASNCSTAVGFDERMLLHSEVEIKSHPHPERPDRLRAIAASLSAAGIFPGKCCLIPAREITLEELQMVHSSEHIEVVELTRQMFSSYFTPDTYANEHSAHAARLAAGLCADLASAIFSGRVRNGFALVRPPGHHAGVTQAMGFCLHNNAAVAALAAQAAGAKKVLIVDWDVHHGNGTQEIFDQNKSVLYISLHRHEGGKFYPGTGSAFEVGTKGAEGYCVNIPWSRGGVGDNDYIFAFQHVVLPIASKFAPDFTIISAGFDAARGDPLGCCDVTPAGYTQMTHMLSTLSGGKLLVVLEGGYNLRSISSSATAVIKVLLGESCECEPENVVPSKAGLLTVLEVLKIQMKYWPNLSSSFEKLQLQRAMYSVEKKQKQVKRRRVFEPPLWWRWGRKQLLFHILTGHFHLK >KJB50438 pep chromosome:Graimondii2_0_v6:8:44557174:44572074:-1 gene:B456_008G171000 transcript:KJB50438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLKLEDSPMFQKQVCSLESMADELKNRCQVLTEGSRKYIAALGEAYNADNSFAESLEAFGCGHDDPLSASIGGPIMSKFISAFRELASYKELLFSQVEHVLVDRLMHFTTVDLQDAKESRQRFDKATNGYSQAREKFMSLKKNTPGDVVAVLEEDLENSKSAFERTRFNLVNSLMNIEAKKKYEFLESISAIMDGHLRYFKLGYELLSQLEPFIHQVLTYAQQAKELANAEKNKLEKRIQEFRTQAEIDSLRASGNIEPSTSSGGIHVIGVNSDKNIEAIMQSFTNGEVQVIKQGYLLKRPSSLRGDWNRRFFVLDSQGTLYYYRNKGTEPMGSLHQYTGSAEHNSGVFSRFRANHNRSSSFNEETLGYHTIDLRISTIKMDAEDTDLRLCFRIISPLKTYTLQAENGADRMDWVNKITAVITSLFNYRIRQQHVENNDYSHSVSSNARSPNSLPPLGTDRVSNRAKPVSAVLREISGNDVCAECNAPEPDWASLNLGILLCIECSGVHRNLGVHVSKVRSLTLDVKVWESSIVELFRSLGNAYCNSVWEGSLQKNERVDESNAHGTSITKPCAKDPISHREKYINAKYVEKLLIVRDAVQPGVFPNSANIWQAVKADNLREVYRLIAISDTNIVNTTFDDVFTIELYHHVVDTQDSSLDSDKEERDPSSCPRIKDLNEPGNCLQGCSILHLACQRGNPVMVELLLQFGADINMHDFHGRTPLHHCVSEGNNPLAKHLLRRGARSTIKDWGGLSALERAMEKGAIADEELFILLSES >KJB50439 pep chromosome:Graimondii2_0_v6:8:44556685:44572140:-1 gene:B456_008G171000 transcript:KJB50439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIYLFILLHLCFLCRAALGEAYNADNSFAESLEAFGCGHDDPLSASIGGPIMSKFISAFRELASYKELLFSQVEHVLVDRLMHFTTVDLQDAKESRQRFDKATNGYSQAREKFMSLKKNTPGDVVAVLEEDLENSKSAFERTRFNLVNSLMNIEAKKKYEFLESISAIMDGHLRYFKLGYELLSQLEPFIHQVLTYAQQAKELANAEKNKLEKRIQEFRTQAEIDSLRASGNIEPSTSSGGIHVIGVNSDKNIEAIMQSFTNGEVQVIKQGYLLKRPSSLRGDWNRRFFVLDSQGTLYYYRNKGTEPMGSLHQYTGSAEHNSGVFSRFRANHNRSSSFNEETLGYHTIDLRISTIKMDAEDTDLRLCFRIISPLKTYTLQAENGADRMDWVNKITAVITSLFNYRIRQQHVENNDYSHSVSSNARSPNSLPPLGTDRVSNRAKPVSAVLREISGNDVCAECNAPEPDWASLNLGILLCIECSGVHRNLGVHVSKVRSLTLDVKVWESSIVELFRSLGNAYCNSVWEGSLQKNERVDESNAHGTSITKPCAKDPISHREKYINAKYVEKLLIVRDAVQPGVFPNSANIWQAVKADNLREVYRLIAISDTNIVNTTFDDVFTIELYHHVVDTQDSSLDSDKEERDPSSCPRIKDLNEPGNCLQGLLCTIAFLKGTTHWQSIY >KJB50437 pep chromosome:Graimondii2_0_v6:8:44556672:44572231:-1 gene:B456_008G171000 transcript:KJB50437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLKLEDSPMFQKQVCSLESMADELKNRCQVLTEGSRKYIAALGEAYNADNSFAESLEAFGCGHDDPLSASIGGPIMSKFISAFRELASYKELLFSQVEHVLVDRLMHFTTVDLQDAKESRQRFDKATNGYSQAREKFMSLKKNTPGDVVAVLEEDLENSKSAFERTRFNLVNSLMNIEAKKKYEFLESISAIMDGHLRYFKLGYELLSQLEPFIHQVLTYAQQAKELANAEKNKLEKRIQEFRTQAEIDSLRASGNIEPSTSSGGIHVIGVNSDKNIEAIMQSFTNGEVQVIKQGYLLKRPSSLRGDWNRRFFVLDSQGTLYYYRNKGTEPMGSLHQYTGSAEHNSGVFSRFRANHNRSSSFNEETLGYHTIDLRISTIKMDAEDTDLRLCFRIISPLKTYTLQAENGADRMDWVNKITAVITSLFNYRIRQQHVENNDYSHSVSSNARSPNSLPPLGTDRVSNRAKPVSAVLREISGNDVCAECNAPEPDWASLNLGILLCIECSGVHRNLGVHVSKVRSLTLDVKVWESSIVELFRSLGNAYCNSVWEGSLQKNERVDESNAHGTSITKPCAKDPISHREKYINAKYVEKLLIVRDAVQPGVFPNSANIWQAVKADNLREVYRLIAISDTNIVNTTFDDVFTIELYHHVVDTQDSSLDSDKEERDPSSCPRIKDLNEPGNCLQGLLCTIAFLKGTTHWQSIY >KJB50440 pep chromosome:Graimondii2_0_v6:8:44557174:44571097:-1 gene:B456_008G171000 transcript:KJB50440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIYLFILLHLCFLCRAALGEAYNADNSFAESLEAFGCGHDDPLSASIGGPIMSKFISAFRELASYKELLFSQVEHVLVDRLMHFTTVDLQDAKESRQRFDKATNGYSQAREKFMSLKKNTPGDVVAVLEEDLENSKSAFERTRFNLVNSLMNIEAKKKYEFLESISAIMDGHLRYFKLGYELLSQLEPFIHQVLTYAQQAKELANAEKNKLEKRIQEFRTQAEIDSLRASGNIEPSTSSGGIHVIGVNSDKNIEAIMQSFTNGEVQVIKQGYLLKRPSSLRGDWNRRFFVLDSQGTLYYYRNKGTEPMGSLHQYTGSAEHNSGVFSRFRANHNRSSSFNEETLGYHTIDLRISTIKMDAEDTDLRLCFRIISPLKTYTLQAENGADRMDWVNKITAVITSLFNYRIRQQHVENNDYSHSVSSNARSPNSLPPLGTDRVSNRAKPVSAVLREISGNDVCAECNAPEPDWASLNLGILLCIECSGVHRNLGVHVSKVRSLTLDVKVWESSIVELFRSLGNAYCNSVWEGSLQKNERVDESNAHGTSITKPCAKDPISHREKYINAKYVEKLLIVRDAVQPGVFPNSANIWQAVKADNLREVYRLIAISDTNIVNTTFDDVFTIELYHHVVDTQDSSLDSDKEERDPSSCPRIKDLNEPGNCLQGCSILHLACQRGNPVMVELLLQFGADINMHDFHGRTPLHHCVSEGNNPLAKHLLRRGARSTIKDWGGLSALERAMEKGAIADEELFILLSES >KJB49514 pep chromosome:Graimondii2_0_v6:8:36153766:36154503:-1 gene:B456_008G123300 transcript:KJB49514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPPLIPVLQKPPGYRDPNLPAAAQSGFRPPPRKPVLPPSFNPRRRNHSFCRVCCCWLCIFVLVLVLLAVIGVLVFYIWFDPKFPVFRIRSFRTTRFNVTERPDGTYLDATTTTRLEMKNPNVKITYYYGKTEVGVSVGEGGDETPVGTTAVPGFTMWKQSTMSLKVETKVSNTLVDDWVGKRLRSRYRNKILAVNVEARTKVGVSVTGLKIGKVAVTVKCDGITMKELDGGDMPKCVIDMLKW >KJB53063 pep chromosome:Graimondii2_0_v6:8:56548469:56551240:1 gene:B456_008G291000 transcript:KJB53063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSFSNKVSTVPQFLSFDGARDDKLRKATHDTLLSSGFMTIPTTDSNKKPHPGLTQKQGGNHYAATTYGLQQLDVHQSRLSHEARIFPSSSQLNHTITVSMNTPLLQTHLASPGQNIIGHTINPQPFTGVPIMAAPVSVVPPSSPIIGTTDLRNAAKSSKAPAQLTIFYAGSVCVYDDVSPDKAKAIMLLAGNGSSATQSKTAPVTQPQTHIPRPCTLSPFSGLPNHLSATSHVSLQPVAGSSGTNELTAATRIGALASTNNQPDPPKLVNPAVAVPQARKASLARFLEKRKERVSNTSPYNICKRSPESGPFASDGISFPVTSAGSSPLQAIN >KJB53062 pep chromosome:Graimondii2_0_v6:8:56545806:56551262:1 gene:B456_008G291000 transcript:KJB53062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFLGLRSKNAAITIKVEPSDAQPNDSVLLRGSGMQLSFSNKVSTVPQFLSFDGARDDKLRKATHDTLLSSGFMTIPTTDSNKKPHPGLTQKQGGNHYAATTYGLQQLDVHQSRLSHEARIFPSSSQLNHTITVSMNTPLLQTHLASPGQNIIGHTINPQPFTGVPIMAAPVSVVPPSSPIIGTTDLRNAAKSSKAPAQLTIFYAGSVCVYDDVSPDKAKAIMLLAGNGSSATQSKTAPVTQPQTHIPRPCTLSPFSGLPNHLSATSHVSLQPVAGSSGTNELTAATRIGALASTNNQPDPPKLVNPAVAVPQARKASLARFLEKRKERVSNTSPYNICKRSPESGPFASDGISFPVTSAGSSPLQAIN >KJB48182 pep chromosome:Graimondii2_0_v6:8:8229418:8234970:1 gene:B456_008G055500 transcript:KJB48182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPRPISEVRGSSNFRQTPLQIIHIVGNFLRIWSVYSTYRYLTQTGASVILFIFSCLVPSSILFLLLQKPWKGRSLSNTQVVPSVINGAITALYFILWGKGLKSCGPLRAILAEYSGAVLGVLSAVLYGRRGHLWKKVGGLIAMLASFYFLSQGWALATLSPFSFKDSLDSEVQTEQVLGMSKMMVPILAGILSALRRVIARRVSLKNQLKRRLHAITIASATSFLFPVAMWDLIIGSSDSNMELPFSAWAFLSTVLFGIILVFYVDSIAEEKLHMVFSSPRHLMAAAGCIIVMEIAYKMDFSLAGFLICSSILGIGIYEATTLERGRKDSLQKPEISNGMLDDELEMPSLPT >KJB48181 pep chromosome:Graimondii2_0_v6:8:8229376:8235120:1 gene:B456_008G055500 transcript:KJB48181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPRPISEVRGSSNFRQTPLQIIHIVGNFLRIWSVYSTYRYLTQTGASVILFIFSCLVPSSILFLLLQKPWKGRSLSNTQVVPSVINGAITALYFILWGKGLKSCGPLRAILAEYSGAVLGVLSAVLYGRRGHLWKKVGGLIAMLASFYFLSQGWALATLSPFSFKDSLDSEVQTEQVLGMSKMMVPILAGILSALRRVIARRVSLKNQLKRRLHAITIASATSFLFPVAMWDLIIGSSDSNMELPFSAWAFLSTVLFGIILVFYVDSIAEEKLHMVFSSPRHLMAAAGCIIVMEIAYKMDFSLAGFLICSSILGIGIYEATTLERGRKDSLQKPEISNGMLDDELEMPSLPT >KJB51099 pep chromosome:Graimondii2_0_v6:8:48671170:48672401:-1 gene:B456_008G201100 transcript:KJB51099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKIYGGIEECHSSESGWTMYIGSPIHGGDDSGDGHSEKADDEGVYGVDNHADEQADSDDSMASDASSGPSHKGHRGTTTLYFKHDEEEEEEEEDEDERNFFSGKKDRKSKEKKHKVGLMKNKQKKQVPLKTKTSFI >KJB50824 pep chromosome:Graimondii2_0_v6:8:47051054:47058060:1 gene:B456_008G188500 transcript:KJB50824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGRRRKVLMSRIYGVACGKASFKEDHSQIGGPGFSRIVYCNEPNSLEAGTRNYSDNYVSTTKYTVATFLPKSLFEQFRRVANFFFLVTGILSFTAIAPYSALSAIVPLIIVIGATMIKEGVEDWRRQQQDIEVNNRKVKVHQGDGNFHHTEWKNLRVGDIVKVEKDEFFPTDLILLASSYEDAVCYVETMNLDGETNLKLKQALEVTSSLHNDYNFRDFKAIVKCEDPNANLYSFVGTMEFEEQQHPLSPQQLLLRDSKLRNTDYIYGAVVFTGHDTKVMQNATDPPSKRSKIEKTMDRVIYLMFFIVFIMGFVGSIFFGIATENDYEGGRIKRRWYLRPDNAEIFFDPERAPVAAIYHFLTALLLYSYFIPISLYVSIEIVKVLQSIFINQDSHMYYEEADKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGTAYGRGVTEVERAIYRKKGSPVVHEPNGLNHIEDSADVNPAIKGFNFKDERIMNGNWVNEPRADVIQKFFRLLAICHTAIPEVDEENGNISYEAESPDEAAFVIAARVLGFEFHNRTQTSISLHELDPVSGKRVNRLYKLLNVLEFDSSRKRMSVIVRDEEGKLLLLCKGADSVMFERLAKGGRDFEEDTREHMNEYADAGLRTLVLAYRELSENEYEVFNEKMTEAKNSVSADRETLIDGVAEMIERDLILLGATAVEDKLQNGVPDCIDKLAQAGIKLWVLTGDKMETAINIGYACSLLRQGMKQIIINLDTPEIQSLEKTGDKDAVIKASRKSVMEQIVSGKSQVSALSAISEAFALIIDGKSLAYALEDDMKNIFLELAIGCASVICCRSSPKQKALVTRLVKLGTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDVAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTIFLYEAYTSFSAQPAYNDWYLTLFNVFFSSLPVIAMGVFDQDVSARFCLKFPLLYQEGVQNVLFSWRRIVSWMFNGFYSAIIIFFFCSRALEQQAFNDEGKTASKDILGGTMYTCIVWVVNLQMALSISYFTLIQHIVIWGTIAFWYVFQLAYGALPASFSTDAYRVFVEALAPAPSYWFITLFVVIATLTPYFLYSAIQMRFFPMYHEMIQWIRHEGLSDDPLYCEMVRQRSIRPTTVGFTARRAASRRQ >KJB50244 pep chromosome:Graimondii2_0_v6:8:42586479:42588598:-1 gene:B456_008G160300 transcript:KJB50244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter, Nitrate transpor [Source: Projected from Oryza sativa (Os01g0704100)] MEISSTITETEPQKFALPVDSEHKATEFHLFSAAAPHMRAFHLSWISFFSCFVSTFAAPPLLPIIRDNLNLTATDIGNAGIASVSGAVFARIAMGTACDLFGPRLASASLILLTAPAVYFTSIASSPISFLLVRFFTGFSLATFVSAQFWMSSMFSGPVVGTANGVAAGWGNLGGGATQLIMPLVFSLIRDIGAVKFTAWRIAFFIPALFQTLSAFAILIFGQDLPDGNYQRLQNSGNKQKDKFSRLFYHGITNYRGWILALTYGYCFGVELTVDNIIAEYFYDRFNLKLHTAGIIAASFGLANLVSRPAGGALSDMMAKRFGMRGRLWALWAVQTLGGVFCIILGQVGSLTASIVVMIVFSVFVQAACGLTFGVVPFVSRRSLGVISGMTGGGGNVGAVLTQLIFFKGSKYSKETGITLMGIMIVCCTLPIFLIYFPQWGGMFCGPSLEKIATEEDYYLSEWSSKEQGKGLHQASLKFADNSRSERGRRVHSQTLSSNATPSANV >KJB46772 pep chromosome:Graimondii2_0_v6:8:52361777:52367209:1 gene:B456_008G237200 transcript:KJB46772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYRDKDPRIHGIQSKIRVVPNFPKPGIMFQDITTLLLDPKAFKDTVDLFVERYKGKNISVVAGIEARGFIFGTPIALEIGAKFVPLRKPNKLPGKVISEEYELEYGRDCLEMHLGAVEPGERALVVDDLIATGGTLCAAMKLLERAGAEVVECACVIELPDLKVCI >KJB46771 pep chromosome:Graimondii2_0_v6:8:52361640:52367547:1 gene:B456_008G237200 transcript:KJB46771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYRDKDPRIHGIQSKIRVVPNFPKPGIMFQDITTLLLDPKAFKDTVDLFVERYKGKNISVVAGIEARGFIFGTPIALEIGAKFVPLRKPNKLPGKVISEEYELEYGRDCLEMHLGAVEPGERALVVDDLIATGGTLCAAMKLLERAGAEVVECACVIELPDLKGRERLNGKPLYVLLESY >KJB46773 pep chromosome:Graimondii2_0_v6:8:52362358:52367209:1 gene:B456_008G237200 transcript:KJB46773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMAGIMFQDITTLLLDPKAFKDTVDLFVERYKGKNISVVAGIEARGFIFGTPIALEIGAKFVPLRKPNKLPGKVISEEYELEYGRDCLEMHLGAVEPGERALVVDDLIATGGTLCAAMKLLERAGAEVVECACVIELPDLKGRERLNGKPLYVLLESY >KJB47152 pep chromosome:Graimondii2_0_v6:8:1403488:1406111:-1 gene:B456_008G012700 transcript:KJB47152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFVLLISRQGKVRLTKWYSPYSQKERTKVIRELSGLILTRGPKLCNFVEWRGLRVVYKRYASLYFCMCIDEDDNELEILEIIHHYVEVLDRYFGSVCELDLIYNFHKVCTVSLRAHGVL >KJB47151 pep chromosome:Graimondii2_0_v6:8:1403435:1406157:-1 gene:B456_008G012700 transcript:KJB47151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFVLLISRQGKVRLTKWYSPYSQKERTKVIRELSGLILTRGPKLCNFVEWRGLRVVYKRYASLYFCMCIDEDDNELEILEIIHHYVEVLDRYFGSVCELDLIYNFHKAYFILDELLIAGELQEPSKRTVARLVAAQDSLVETAKEQTSSITNIIAQVAK >KJB47147 pep chromosome:Graimondii2_0_v6:8:1401269:1406103:-1 gene:B456_008G012700 transcript:KJB47147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFVLLISRQGKVRLTKWYSPYSQKERTKVIRELSGLILTRGPKLCNFVEWRGLRVVYKRYASLYFCMCIDEDDNELEILEIIHHYVEVLDRYFGSVCELDLIYNFHKAYFILDELLIAGELQEPSKRTVARLVAAQDSLVETAKEQTSSITNIIAQVAK >KJB47149 pep chromosome:Graimondii2_0_v6:8:1403031:1406051:-1 gene:B456_008G012700 transcript:KJB47149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFVLLISRQGKVRLTKWYSPYSQKERTKVIRELSGLILTRGPKLCNFVEWRGLRVVYKRYASLYFCMCIDEDDNELEILEIIHHYVEVLDRYFGSVCELDLIYNFHKAYFILDELLIAGELQEPSKRTVARLVAAQDSLVETAKEQTSSITNIIAQVANTASL >KJB47150 pep chromosome:Graimondii2_0_v6:8:1402855:1406103:-1 gene:B456_008G012700 transcript:KJB47150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFVLLISRQGKVRLTKWYSPYSQKERTKVIRELSGLILTRGPKLCNFVEWRGLRVVYKRYASLYFCMCIDEDDNELEILEIIHHYVEVLDRYFGSVCELDLIYNFHKAYFILDELLIAGELQEPSKRTVARLVAAQDSLVETAKEQTSSITNIIAQVANFTIKMLHKGYGPWGSNALHLESLFLVDVCTRLVDQH >KJB47148 pep chromosome:Graimondii2_0_v6:8:1402274:1406103:-1 gene:B456_008G012700 transcript:KJB47148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFVLLISRQGKVRLTKWYSPYSQKERTKVIRELSGLILTRGPKLCNFVEWRGLRVVYKRYASLYFCMCIDEDDNELEILEIIHHYVEVLDRYFGSVCELDLIYNFHKAYFILDELLIAGELQEPSKRTVARLVAAQDSLVETAKEQTSSITNIIAQVANV >KJB49807 pep chromosome:Graimondii2_0_v6:8:39267903:39271317:1 gene:B456_008G141100 transcript:KJB49807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQICLAFGTLALLLASALADDVVVLTEANFDKEVGQDRGALIEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIGKVDCDEHKSLCSKYGVQGYPTVQWFPKGSLEPKKYEGPRTAEALAEFVNTEGGTNVKIATLPSNVAVLNADNFDEIVLDETKDVLVEFYAPWCGHCKNLAPTYEKVATAFKSEEDVVIANLDADKYRDLAEKYGISGFPTLKFFPKGNKAGEDYDGGRDLDDFVSFINEKCGTSRDAKGQLTSTAGILSSLDALVKEFVAASADEKKAVFSKIEEEVEKLKGSTARHGKIYLKAAKSCLEKGADYPNKEIERLQRMLDKSLSPAKADEFTIKKNILSTFASS >KJB49809 pep chromosome:Graimondii2_0_v6:8:39268056:39271317:1 gene:B456_008G141100 transcript:KJB49809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQICLAFGTLALLLASALADDVVVLTEANFDKEVGQDRGALIEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIGKVDCDEHKSLCSKYGVQGYPTVQWFPKGSLEPKKYEGPRTAEALAEFVNTEGVLNADNFDEIVLDETKDVLVEFYAPWCGHCKNLAPTYEKVATAFKSEEDVVIANLDADKYRDLAEKYGISGFPTLKFFPKGNKAGEDYDGGRDLDDFVSFINEKCGTSRDAKGQLTSTAGILSSLDALVKEFVAASADEKKAVFSKIEEEVEKLKGSTARHGKIYLKAAKSCLEKGADYPNKEIERLQRMLDKSLSPAKADEFTIKKNILSTFASS >KJB49808 pep chromosome:Graimondii2_0_v6:8:39268056:39270560:1 gene:B456_008G141100 transcript:KJB49808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQICLAFGTLALLLASALADDVVVLTEANFDKEVGQDRGALIEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIGKVDCDEHKSLCSKYGVQGYPTVQWFPKGSLEPKKYEGPRTAEALAEFVNTEGGTNVKIATLPSNVAVLNADNFDEIVLDETKDVLVEFYAPWCGHCKNLAPTYEKVATAFKSEEDVVIANLDADKYRDLAEKYGISGFPTLKFFPKGNKAGEDYDGGRDLDDFVSFINEKCGTSRDAKGQLTSTAGILSSLDALVKEFVAASADEKKAVFSKIEEEVEKLKGSTARHGKIYLKAAKSCLEKGADYPNKEIERLQRMLDKVKSYYCNRSTYSEWFDCYS >KJB49361 pep chromosome:Graimondii2_0_v6:8:34763816:34767955:1 gene:B456_008G115100 transcript:KJB49361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGGGDAPREPTANGGEFLLSLLQNPPQQQQQQRQSPLLSRVTPMLIPQPLQQQQLQQQPLPLDPAVAAVGRVFPLQSPSWPSNGRDLSTPWAQTISSPLVPNFLAFPQNPWSSSGNQFVGNRGDLNDDLRRLGFPSVDNNSNNLIQQKHPEQQQQQQQQKLVFGSFPSDIQILQKPEGLLNGNLFDKSNLDLSKPANSSPYAFQHQSERGKQQQHHVGNYRETLRPPPGFSGKPRGGGGSRDFGARRNHLEHNVDKLRAEYSQLSNDNEMGLRGQLDHPGPPAGSNLQSGTDIKESLMELHRFGGGQVDEVGERIVESLLIEEESEDKNDKKHHRHEKESRLDNRGQRLLSQRVRMLKRQMECRSDIHRLNAPLLAIYESLNPPKEEKTKQQQLLASLEKLVWKEWPQAKLFLYGSCANGFGVSRSDIDICLALNEDINNKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPVTGISCDICINNVLAVVNTKLLRDYAQIDARLRQLAFIVKHWAKSRGVNETYRGTLSSYAYVCFDVHSFLTAKETGYPSMLAGDGENIQCHRG >KJB49359 pep chromosome:Graimondii2_0_v6:8:34763648:34767985:1 gene:B456_008G115100 transcript:KJB49359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGGGDAPREPTANGGEFLLSLLQNPPQQQQQQRQSPLLSRVTPMLIPQPLQQQQLQQQPLPLDPAVAAVGRVFPLQSPSWPSNGRDLSTPWAQTISSPLVPNFLAFPQNPWSSSGNQFVGNRGDLNDDLRRLGFPSVDNNSNNLIQQKHPEQQQQQQQQKLVFGSFPSDIQILQKPEGLLNGNLFDKSNLDLSKPANSSPYAFQHQSERGKQQQHHVGNYRETLRPPPGFSGKPRGGGGSRDFGARRNHLEHNVDKLRAEYSQLSNDNEMGLRGQLDHPGPPAGSNLQSGTDIKESLMELHRFGGGQVDEVGERIVESLLIEEESEDKNDKKHHRHEKESRLDNRGQRLLSQRVRMLKRQMECRSDIHRLNAPLLAIYESLNPPKEEKTKQQQLLASLEKLVWKEWPQAKLFLYGSCANGFGVSRSDIDICLALNEDINNKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPVTGISCDICINNVLAVVNTKLLRDYAQIDARLRQLAFIVKHWAKSRGVNETYRGTLSSYAYVLMCIHFLQQRRPAILPCLQGMEKTYNVTVDNIECAYFDQIEKLLNFGSSNKETVAQLVWGFFNYWAYGHDYANSVISVRTGSIISKQEKDWTRRIGNDRHLICIEDPFETSHDLGRVVDKFSIRVLREEFERAADIMQFDPNPCVKLFEPYVHS >KJB49360 pep chromosome:Graimondii2_0_v6:8:34763816:34766571:1 gene:B456_008G115100 transcript:KJB49360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGGGDAPREPTANGGEFLLSLLQNPPQQQQQQRQSPLLSRVTPMLIPQPLQQQQLQQQPLPLDPAVAAVGRVFPLQSPSWPSNGRDLSTPWAQTISSPLVPNFLAFPQNPWSSSGNQFVGNRGDLNDDLRRLGFPSVDNNSNNLIQQKHPEQQQQQQQQKLVFGSFPSDIQILQKPEGLLNGNLFDKSNLDLSKPANSSPYAFQHQSERGKQQQHHVGNYRETLRPPPGFSGKPRGGGGSRDFGARRNHLEHNVDKLRAEYSQLSNDNEMGLRGQLDHPGPPAGSNLQSGTDIKESLMELHRFGGGQVDEVGERIVESLLIEEESEDKNDKKHHRHEKESRLDNRGQRLLSQRVRMLKRQMECRSDIHRLNAPLLAIYESLNPPKEEKTKQQQLLASLEKLVWKEWPQAKLFLYGSCANGFGVSRSDIDICLALNEDINNKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPVTGISCDICINNVLAVVNTKLLRDYAQIDARLRQLAFIVKHWAKSRGVNETYRGTLSSYAYVLMCIHFLQQRRPAILPCLQVCRPLCFLYLLSHMALFCYF >KJB48039 pep chromosome:Graimondii2_0_v6:8:7182740:7183665:-1 gene:B456_008G051400 transcript:KJB48039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRNMKKTLKWKKFELLFHVSTTPSKPQPQSSHSHHLILSSSSFSSVPNLTNTYLKSKPQSSPTLTSEEISKINLLLPRLCLFNHLPTAIQLTTTALTLPNPPPDPKSLSLSILIHSLTLQPDLKLPMSLLTRLSRKHPPHPYLTPISTMLIASYLKKDRPKDALKVYKWMLRPGSPCTCMVDKSAYGILVGGLCARGLVLEGLMVLRDMLRVRLLPGEGLRRKVVRSLLREARVREAKALDGLLPCAGDLNKVLDFLDHHIGNWSN >KJB53166 pep chromosome:Graimondii2_0_v6:8:56896470:56899562:-1 gene:B456_008G295900 transcript:KJB53166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAAYSLSSPCSIPSLKPRKFGSRAGSQPIRVSSSFAAPERHEHSVSLPNRSWRLSSSSSLPLRAWNLVPSDSKPDRFEVRATAAESAGEGEKSGSLVKTLELGLLFGLWYLFNIYFNIYNKQVLKVFHYPVTISAVQFAVGTIIVSLMWTFNLYKKPKVSGEQLVAIVPLALVHILGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGELPTLWVVGSLLPIVGGVVLASVTEASFNWPGFWSAMASNLANQSRNVLSKKLMVKKERITSNYHVTGIAGQHYPLLNNNNYVLYLASSCGYLCGRHKVYPCLHAICWFEC >KJB53167 pep chromosome:Graimondii2_0_v6:8:56897870:56899562:-1 gene:B456_008G295900 transcript:KJB53167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAAYSLSSPCSIPSLKPRKFGSRAGSQPIRVSSSFAAPERHEHSVSLPNRSWRLSSSSSLPLRAWNLVPSDSKPDRFEVRATAAESAGEGEKSGSLVKTLELGLLFGLWYLFNIYFNIYNKQVLKVFHYPVTISAVQFAVGTIIVSLMWTFNLYKKPKVSGEQLVAIVPLALVHILGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGELPTLWVVGSLLPIVGGVVLASVTEASFNW >KJB53164 pep chromosome:Graimondii2_0_v6:8:56896451:56899624:-1 gene:B456_008G295900 transcript:KJB53164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAAYSLSSPCSIPSLKPRKFGSRAGSQPIRVSSSFAAPERHEHSVSLPNRSWRLSSSSSLPLRAWNLVPSDSKPDRFEVRATAAESAGEGEKSGSLVKTLELGLLFGLWYLFNIYFNIYNKQVLKVFHYPVTISAVQFAVGTIIVSLMWTFNLYKKPKVSGEQLVAIVPLALVHILGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGELPTLWVVGSLLPIVGGVVLASVTEASFNWPGFWSAMASNLANQSRNVLSKKLMVKKEESLDNITLFSIITIMSFILLAPVAIFVEGIKFTPAYMQSAGLNVKEVVVRSLLAALSFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVIFFKTPVSLINSLGTGIALAGVFLYSRVKRIKPKAKAA >KJB53165 pep chromosome:Graimondii2_0_v6:8:56896470:56899562:-1 gene:B456_008G295900 transcript:KJB53165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAAYSLSSPCSIPSLKPRKFGSRAGSQPIRVSSSFAAPERHEHSVSLPNRSWRLSSSSSLPLRAWNLVPSDSKPDRFEVRATAAESAGEGEKSGSLVKTLELGLLFGLWYLFNIYFNIYNKQVLKVFHYPVTISAVQFAVGTIIVSLMWTFNLYKKPKVSGEQLVAIVPLALVHILGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGELPTLWVVGSLLPIVGGVVLASVTEASFNWPGFWSAMASNLANQSRNVLSKKLMVKKEESLDNITLFSIITIMSFILLAPVAIFVEGIKFTPAYMQSAVRFEC >KJB46815 pep chromosome:Graimondii2_0_v6:8:56399359:56399745:1 gene:B456_008G289100 transcript:KJB46815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILCFFAAKRNFSQAGLHHAGCNQTLSVYVSRSYMLELCEEAMASLARGRYNKQMQTDRVSQQAWIRVSKRLFMID >KJB50607 pep chromosome:Graimondii2_0_v6:8:45563234:45565891:1 gene:B456_008G179100 transcript:KJB50607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYLPATTDSIAQALEAKTPSEAISILYHVIENPASAPDALRIKEQAITNLSDLLRQENRAEELRSLLTQLRPFFALIPKAKTAKIVRGIIDDVAKIPGTSDLQISLCKEVVQWTRAEKRTFLRQRVEAKLAALLMENKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGNIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVASIISSKAGLQYVGPELDAMKAVADAHAKRSLKLFEIALHDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPVDHLEKKLSQMILDKKFSGTLDQGAGCLVIFDDPKTDAIFPATLETISNIGKVVDSLYVRSAKIMA >KJB50608 pep chromosome:Graimondii2_0_v6:8:45563077:45565986:1 gene:B456_008G179100 transcript:KJB50608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYLPATTDSIAQALEAKTPSEAISILYHVIENPASAPDALRIKEQAITNLSDLLRQENRAEELRSLLTQLRPFFALIPKAKTAKIVRGIIDDVAKIPGTSDLQISLCKEVVQWTRAEKRTFLRQRVEAKLAALLMENKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGNIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVASIISSKAGLQYVGPELDAMKAVADAHAKRSLKLFEIALHDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPVDHLEKKLSQMILDKKFSGTLDQGAGCLVIFDDPKTDAIFPATLETISNIGKVVDSLYVRSAKIMA >KJB52614 pep chromosome:Graimondii2_0_v6:8:54895162:54901806:1 gene:B456_008G270100 transcript:KJB52614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMRKLTKFNHTMGFQITHSNKPKKVKPFSCPNNDDDDNGGTNGGSLIPDDVPGYASWLGKHPSALNMFDSITKEAKGKKVVVFLDYDGTLSPIVDDPDKAFMSAEMRMAVREVAKHFPTSIISGRRRERVKEFVQLSNVYYAGSHGLDIMAPPRAVKACDKKGNEGAFQPAKLFLPAIQEMSIELEDTIREIQGARIEDNKFCISVHYRQVPPKDHEMLKEKVKSLVGNRPNFRLTEGFRSPAIDRMEQRRRPELFARYIGIQ >KJB52615 pep chromosome:Graimondii2_0_v6:8:54895162:54901806:1 gene:B456_008G270100 transcript:KJB52615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMRKLTKFNHTMGFQITHSNKPKKVKPFSCPNNDDDDNGGTNGGSLIPDDVPGYASWLGKHPSALNMFDSITKEAKGKKVVVFLDYDGTLSPIVDDPDKAFMSAEMRMAVREVAKHFPTSIISGRRRERVKEFVQLSNVYYAGSHGLDIMAPPRAVKACDKKGNEGAFQPAKLFLPAIQEMSIELEDTIREIQGARIEDNKFCISVHYRQVPPKDHEMLKEKVKSLVGNRPNFRLTEGKMVLEVRPSIEWNKGDALNYLLDTLGFSNAKDVLPLYIGDDRTDEDAFKVIASRREGFPIIVSSIPKDTIAWFSLRDPSEVLAFLLRLAKWKKSEIHFYK >KJB50055 pep chromosome:Graimondii2_0_v6:8:40640159:40640524:-1 gene:B456_008G150700 transcript:KJB50055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGKTAPEFEDLLPVMAEKLGGEGLMRELSNGFKMLMDKDKGVITVESLKRNAAMLGLQDLRHDELVSMVKEGDTDGDGALNEMEFCVLMFRLSPGLMEESQLLLEEILQDDLNSSAFY >KJB49272 pep chromosome:Graimondii2_0_v6:8:34101850:34103131:1 gene:B456_008G110000 transcript:KJB49272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYVLFFQNFTRRRHVKQLLSLRHQQCISASFRLSMAGFATTYYYLTFSSTRSTAAANKLEQAGFQNIAGMMKMILLPS >KJB48365 pep chromosome:Graimondii2_0_v6:8:11247091:11249771:-1 gene:B456_008G068300 transcript:KJB48365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRSKLVDQLRGYQIRSQNKCPALILFSPKPHITSWTDVGVATFWAIAFIMLMLLSYLSLYFRHYWLSIMAIWLGILLPIRLRSCRQKLVKKRERKFLLPLSM >KJB48364 pep chromosome:Graimondii2_0_v6:8:11247087:11249771:-1 gene:B456_008G068300 transcript:KJB48364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRSKLVDQLRGYQIRSQNKCPALILFSPKPHITSWHYWLSIMAIWLGILLPIRLRSCRQKLVKKRERKFLLPLSM >KJB48676 pep chromosome:Graimondii2_0_v6:8:15656445:15660451:-1 gene:B456_008G080600 transcript:KJB48676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSFNSTNSSMELSSSLTHFSKKLSDISFSSSLSPFPLQLYPQPSKPKNLRVLAVAVGPSQELPRNSPQRLLKELAHRKKATAPRKKVPPKRFILKPPLDDKKLTERFLNSPQLSLKQFPLLSSCLPSSKLNTADNTWIDEYLLEAKQALGYPLEKSDELGDDNPAKQFDTLLYLAFQHPSCDRTNARHVRSAHSRLWFLGQYVLELALAEFFLQRYPRESPGPMRERVFGLIGKRNLPNWIKAASLQNLIFPYDDMDKLIRKDREPPVKSVFWALFGAIYLCFGMPEVYRVLFEVFGMDPEAEDCQPRLRRQLEDVDYVSVEFDGNKLSWQDIATYKPPEDALFAHPRLFRACVPPGMHRFRGNIWDYDSKPQVMQILGYPLAVNDRIPEITEARNIELGLGLQLCFMHPSKYKFEHPRFCFERLEYVGQKIQDLVMAERLLMKHLDAPGRWLQEKHRRVLMNKFCGRYLREKYLHRFIIYSEQVQDAYENNRRLRNPATTAVQQALHGLSYTVYGKPDVRRLMFEVFDFEQIQPKSV >KJB48359 pep chromosome:Graimondii2_0_v6:8:10332177:10334406:-1 gene:B456_008G064800 transcript:KJB48359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGPRSLGFSPSLLHFEMNFPFTSQSHCEIKMATSKFFLSSLLLLLFVHGVLPRAESKTYWGDVDALKQLKNGVQPNSVSPGSCLGSWDFTFDPCDSLFSERFTCGFRCDLTVSGLSRVTEVTLDSAAYTGSLSSISWNLPYLQTLDLSNNFFSGRIPGSLSNLTRLTRLGLSRNAFSGEVPASIGSLSTLEELYLDNNNLQGPIPPTFNGLGSLKRLEFQSNNISGELPELGSLKNLYLLDASNNVISGYLPTTLPPFLVQISMRNNMIEGTIPPSLKYLNLLQVLDLSHNKLTGSVPYFLFNHPSLQQLTLAFNSFDSLQAPSNLGTQSELIAVDLSNNELQGWLPPFLPLMPKLSALSMENNKFSGMIPIQYALRAALPASGIAPFARLLLGGNYLFGPIPGPLLVLKPDTANVSLADNCLIRCPSRFFFCQGADQKSLMECKSFGSVIP >KJB48360 pep chromosome:Graimondii2_0_v6:8:10332286:10334176:-1 gene:B456_008G064800 transcript:KJB48360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGPRSLGFSPSLLHFEMNFPFTSQSHCEIKMATSKFFLSSLLLLLFVHGVLPRAESKTYWGDVDALKQLKNGVQPNSVSPGSCLGSWDFTFDPCDSLFSERFTCGFRIPGSLSNLTRLTRLGLSRNAFSGEVPASIGSLSTLEELYLDNNNLQGPIPPTFNGLGSLKRLEFQSNNISGELPELGSLKNLYLLDASNNVISGYLPTTLPPFLVQISMRNNMIEGTIPPSLKYLNLLQVLDLSHNKLTGSVPYFLFNHPSLQQLTLAFNSFDSLQAPSNLGTQSELIAVDLSNNELQGWLPPFLPLMPKLSALSMENNKFSGMIPIQYALRAALPASGIAPFARLLLGGNYLFGPIPGPLLVLKPDTANVSLADNCLIRCPSRFFFCQGADQKSLMECKSFGSVIP >KJB49153 pep chromosome:Graimondii2_0_v6:8:30945953:30946987:-1 gene:B456_008G103100 transcript:KJB49153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRSVKEGRSLTRSFWVSVTQYLFSWMIDFYFAGVIVFYKLDVVEGMSMRALIAYRLIFATACITPVAFIFESLQRVVNR >KJB47002 pep chromosome:Graimondii2_0_v6:8:745591:748910:1 gene:B456_008G005800 transcript:KJB47002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLCLMVSHGYPRGLGPVLHQEPGFSRMVKEFGSVFPGQLVKQDMVQIGSFDLRCNQFHFQEQPKPVTALCETKLIIDADPTAQNPVVIDKPDAYLDTARFSFRIAEKCTRHEKILKFLMSGSNELENGELDLSLLSDLMGLQPLMFGVHQQPYASSLIYPSSKIDYQVPLPDFLGEMIHYSKITVNPDGQVVLTATGTEMKDILSIVAEFYLSSNSTKSRNQFSLVPYFDRKRITKARTGTNLSSPQSEVASIAPMKSPEKIKQKPSPKKNASRKLASERDLYKKNYFHACECLLSLIVDKRRHGRTAILSLKKSGPELSQLLSQFSAGIAGTGLAVLLSVIWKVACWRVPFCTPKLFSASIGFGLVWISWAVNQLRDTVVQISKNTSKSGLKEEEMVERVEKSVNQIYFRAATLMAIAVLRFA >KJB47004 pep chromosome:Graimondii2_0_v6:8:745718:748586:1 gene:B456_008G005800 transcript:KJB47004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLLFGPKQEFGSVFPGQLVKQDMVQIGSFDLRCNQFHFQEQPKPVTALCETKLIIDADPTAQNPVVIDKPDAYLDTARFSFRIAEKCTRHEKILKFLMSGSNELENGELDLSLLSDLMGLQPLMFGVHQQPYASSLIYPSSKIDYQVPLPDFLGEMIHYSKITVNPDGQVVLTATGTEMKDILSIVAEFYLSSNSTKSRNQFSLVPYFDRKRITKARTGTNLSSPQSEVASIAPMKSPEKIKQKPSPKKNASRKLASERDLYKKNYFHACECLLSLIVDKRRHGRTAILSLKKSGPELSQLLSQFSAGIAGTGLAVLLSVIWKVACWRVPFCTPKLFSASIGFGLVWISWAVNQLRDTVVQISKNTSKSGLKEEEMVERVEKSVNQIYFRAATLMAIAVLRFA >KJB47003 pep chromosome:Graimondii2_0_v6:8:745718:748586:1 gene:B456_008G005800 transcript:KJB47003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSNELENGELDLSLLSDLMGLQPLMFGVHQQPYASSLIYPSSKIDYQVPLPDFLGEMIHYSKITVNPDGQVVLTATGTEMKDILSIVAEFYLSSNSTKSRNQFSLVPYFDRKRITKARTGTNLSSPQSEVASIAPMKSPEKIKQKPSPKKNASRKLASERDLYKKNYFHACECLLSLIVDKRRHGRTAILSLKKSGPELSQLLSQFSAGIAGTGLAVLLSVIWKVACWRVPFCTPKLFSASIGFGLVWISWAVNQLRDTVVQISKNTSKSGLKEEEMVERVEKSVNQIYFRAATLMAIAVLRFA >KJB49108 pep chromosome:Graimondii2_0_v6:8:30198417:30207758:1 gene:B456_008G101500 transcript:KJB49108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKVDERIRTLIENGVKNRHRSMFVIIGDKSRDQIVNLHYMLSKAVVKSRPTVLWCYKDKLELSSHKKKRAKQIKKLMQRGLLDPEKVDPFSLFVETGGLTYCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVQGGGLIILLLRSLSSLTSLYTMVMDVHERYRTESHSEAAGRFNERFLLSLASCKACVVMDDELNILPISSHIKSITPVPVNEDPEGLSESERDLKNLKQELSEDFPVGPLIKKCCTLDQGKAVVTFLDAILDKSLRSTVALLAARGRGKSAALGLAVAGAIAAGYSNIYVTAPSPENLKTLFEFVCKGFDAIEYKEHIDYDVVKSVNPEFKKATVRINIYKQHRQTIQYIQPHEHEKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSQLSKGVEGSLSGRVFKKIELSESIRYASADPIECWLNALLCLDVTNSVASISRLPPPSECDLYYVDRDTLFSYHKDSELFLQRMMALYVSSHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVSLEGQISRKSAIKSLSVGYQPHGDQIPWKFCEQFRDPDFPSLSGARIVRIATHPSAMRLGYGSAAIELLTRYYEGQLRSFSELDVEDAEETPQGSQLRLSEAAEKVSLLEENIKPKTDLPPLLVHLRERRPEKLHYLGVSFGLTLDLFRFWKKHKFAPFYICQIPNNVTGEHTCMVLKPLNNDDFEVSGCDEWGFFSPFYQEFKLRFSRNLSRDFRDMEYKLAMSVLDPKMDFTDIEPAPSKSDELSKLINTLLSPYDMGRLKDYSNNLIDYLSITDLLSILAHLYFQGKIPVTLTYVQASILLCMGLQNRDVSYVEEQLKKTLERQQILSYFKKVMIKLYKYLYGVASKEIESALPRLKERVLEPHSISVDEDLNDAAKKVEEEMKGKSDGVLNPQLLQQFAIEGREGELEDALENGGEKVLSGGVISVKSSRSEVQSNKSGKKRGKDEHGSKSTSKKRKS >KJB49111 pep chromosome:Graimondii2_0_v6:8:30198522:30207725:1 gene:B456_008G101500 transcript:KJB49111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKVDERIRTLIENGVKNRHRSMFVIIGDKSRDQIVNLHYMLSKAVVKSRPTVLWCYKDKLELSSHKKKRAKQIKKLMQRGLLDPEKVDPFSLFVETGGLTYCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVQGGGLIILLLRSLSSLTSLYTMVMDVHERYRTESHSEAAGRFNERFLLSLASCKACVVMDDELNILPISSHIKSITPVPVNEDPEGLSESERDLKNLKQELSEDFPVGPLIKKCCTLDQGKAVVTFLDAILDKSLRSTVALLAARGRGKSAALGLAVAGAIAAGYSNIYVTAPSPENLKTLFEFVCKGFDAIEYKEHIDYDVVKSVNPEFKKATVRINIYKQHRQTIQYIQPHEHEKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSQLSKGVEGSLSGRVFKKIELSESIRYASADPIECWLNALLCLDVTNSVASISRLPPPSECDLYYVDRDTLFSYHKDSELFLQRMMALYVSSHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVSLEGQISRKSAIKSLSVGYQPHGDQIPWKFCEQFRDPDFPSLSGARIVRIATHPSAMRLGYGSAAIELLTRYYEGQLRSFSELDVEDAEETPQGSQLRLSEAAEKVSLLEENIKPKTDLPPLLVHLRERRPEKLHYLGVSFGLTLDLFRFWKKHKFAPFYICQIPNNVTGEHTCMVLKPLNNDDFEVSGCDEWGFFSPFYQEFKLRFSRNLSRDFRDMEYKLAMSVLDPKMDFTDIEPAPSKSDELSKLINTLLSPYDMGRLKDYSNNLIDYLSITDLLSILAHLYFQGKIPVTLTYVQASILLCMGLQNRDVSYVEEQLKKTLERQQILSYFKKVMIKLYKYLYGVASKEIESALPRLKEEEMKGKSDGVLNPQLLQQFAIEGREGELEDALENGGEKVLSGGVISVKSSRSEVQSNKSGKKRGKDEHGSKSTSKKRKS >KJB49109 pep chromosome:Graimondii2_0_v6:8:30198567:30206965:1 gene:B456_008G101500 transcript:KJB49109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKVDERIRTLIENGVKNRHRSMFVIIGDKSRDQIVNLHYMLSKAVVKSRPTVLWCYKDKLELSSHKKKRAKQIKKLMQRGLLDPEKVDPFSLFVETGGLTYCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVQGGGLIILLLRSLSSLTSLYTMVMDVHERYRTESHSEAAGRFNERFLLSLASCKACVVMDDELNILPISSHIKSITPVPVNEDPEGLSESERDLKNLKQELSEDFPVGPLIKKCCTLDQGKAVVTFLDAILDKSLRSTVALLAARGRGKSAALGLAVAGAIAAGYSNIYVTAPSPENLKTLFEFVCKGFDAIEYKEHIDYDVVKSVNPEFKKATVRINIYKQHRQTIQYIQPHEHEKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSQLSKGVEGSLSGRVFKKIELSESIRYASADPIECWLNALLCLDVTNSVASISRLPPPSECDLYYVDRDTLFSYHKDSELFLQRMMALYVSSHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVSLEGQISRKSAIKSLSVGYQPHGDQIPWKFCEQFRDPDFPSLSGARIVRIATHPSAMRLGYGSAAIELLTRYYEGQLRSFSELDVEDAEETPQGSQLRLSEAAEKVSLLEENIKPKTDLPPLLVHLRERRPEKLHYLGVSFGLTLDLFRFWKKHKFAPFYICQIPNNVTGEHTCMVLKPLNNDDFEVSGCDEWGFFSPFYQEFKLRFSRNLSRDFRDMEYKLAMSVLDPKMDFTDIEPAPSKSDELSKLINTLLSPYDMGRLKDYSNNLIDYLSITDLLSILAHLYFQGKIPVTLTYVQASILLCMGLQNRDVSYVEEQLKKTLERQQILSYFKKVMIKLYKYLYGVASKEIESALPRLKEVSR >KJB49110 pep chromosome:Graimondii2_0_v6:8:30198522:30207725:1 gene:B456_008G101500 transcript:KJB49110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKVDERIRTLIENGVKNRHRSMFVIIGDKSRDQIVNLHYMLSKAVVKSRPTVLWCYKDKLELSSHKKKRAKQIKKLMQRGLLDPEKVDPFSLFVETGGLTYCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVQGGGLIILLLRSLSSLTSLYTMVMDVHERYRTESHSEAAGRFNERFLLSLASCKACVVMDDELNILPISSHIKSITPVPVNEDPEGLSESERDLKNLKQELSEDFPVGPLIKKCCTLDQGKAVVTFLDAILDKSLRSTVALLAARGRGKSAALGLAVAGAIAAGYSNIYVTAPSPENLKTLFEFVCKGFDAIEYKEHIDYDVVKSVNPEFKKATVRINIYKQHRQTIQYIQPHEHEKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSQLSKGVEGSLSGRVFKKIELSESIRYASADPIECWLNALLCLDVTNSVASISRLPPPSECDLYYVDRDTLFSYHKDSELFLQRMMALYVSSHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVSLEGQISRKSAIKSLSVGYQPHGDQIPWKFCEQFRDPDFPSLSGARIVRIATHPSAMRLGYGSAAIELLTRYYEGQLRSFSELDVEDAEETPQGSQLRLSEAAEKVSLLEENIKPKTDLPPLLVHLRERRPEKLHYLGVSFGLTLDLFRFWKKHKFAPFYICQIPNNVTGEHTCMVLKPLNNDDFEVSGCDEWGFFSPFYQEFKLRFSRNLSRDFRDMEYKLAMSVLDPKMDFTDIEPAPSKSDELSKLINTLLSPYDMGRLKDYSNNLIDYLSITDLLSILAHLYFQGKIPVTLTYVQASILLCMGLQNRDVSYVEEQLKKTLERQQILSYFKKVMIKLYKYLYGVASKEIESALPRLKEVKCWNLIVSLWMRTSMMLQRKLRRK >KJB52949 pep chromosome:Graimondii2_0_v6:8:56063250:56067876:1 gene:B456_008G284900 transcript:KJB52949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSFSRSFTLLSSPFHFFSPRSIRRRRSFVCSLSAATDVRSRSAVLWFKHDLRLDDHPALSAAETHRFVLPLYVFDRRILSRYSDDMLELLLFALEDLRKSLKEQGSNLMIRYGCAENVIKELVKEVKATDVFIEEEVEYEMRQLIGVVKKTLETSSSLDWNLDIVMWRTPFYDVKNLKELPASYNDFKKQKLLPTSPLFPVTLPVAETELDWGPLPTFSDLKEFIKEKLGKSKESWNLMKEMPAEVLLKENLSKSSGTIPKSLNDKSIEQRRLDKSVFVTRKGDVVGGGTNTLLNALAAYLRYLEGTARDDWQEVHERLRNAETREGASFTSLFGPALCLGIISRRRVHYEAIKYEKERNAGFLSPFGYSAASVAAAADAVCSMEWFWLLALKSQVSNEGLYSIRIWRWRGHLIQYTVVGLEGPAILLVHGFGAFLEHYRDNINAIAKHGNHVWGITVLGFGQSEKPNVVYTELLWAEMLRDFIIEVVGEPVHLVGNSIGGYFVSIVASLWPSLVKSVILMNTAGNVIPEFSSRRFPSERQTSAAARLGAQLLLFYLRLNIRNTLKNFYPTKTNRADDWLINEMLRASYDPGVTVVLESIFSFDLSIPLNYLLEGYEEKVLILQGMKDPLLDSKAKLAMLKEHCTGLAIRELDAGHCPHDEQPQKVNSIVCEWVVAVERKLTANSYS >KJB52950 pep chromosome:Graimondii2_0_v6:8:56063322:56067762:1 gene:B456_008G284900 transcript:KJB52950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSFSRSFTLLSSPFHFFSPRSIRRRRSFVCSLSAATDVRSRSAVLWFKHDLRLDDHPALSAAETHRFVLPLYVFDRRILSRYSDDMLELLLFALEDLRKSLKEQGSNLMIRYGCAENVIKELVKEVKATDVFIEEEVEYEMRQLIGVVKKTLETSSSLDWNLDIVMWRTPFYDVKNLKELPASYNDFKKQKLLPTSPLFPVTLPVAETELDWGPLPTFSDLKEFIKEKLGKSKESWNLMKEMPAEVLLKENLSKSSGTIPKSLNDKSIEQRRLDKSVFVTRKGDVVGGGTNTLLNALAAYLRYLEGTARDDWQEVHERLRNAETREGASFTSLFGPALCLGIISRRRVHYEAIKYEKERNAGFLSPFGYSAASVAAAADAVCSMEWFWLLALKSQVSNEGLYSIRIWRWRGHLIQYTVVGLEGPAILLVHGFGAFLEHYRDNINAIAKHGNHVWGITVLGFGQSEKPNVVYTELLWAEMLRDFIIEVVGEPVHLVGNSIGGYFVSIVASLWPSLVKSVILMNTAGNVIPEFSSRRFPSERQTSAAARLGAQLLLFYLRLNIRNTLKNFYPTKTNRADDWLINEMLRASYDPGVTVVLESIFSFDLSIPLNYLLEGYEEKVLILQGMKDPLLDSKAKLAMLKEHCTGLAIRELDAGNKPSIPKITSHAPFSVILPCFYCSPDF >KJB50693 pep chromosome:Graimondii2_0_v6:8:46044569:46052878:-1 gene:B456_008G182600 transcript:KJB50693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTIDSLLQNPTLLFRPKAKVLLKPPRSLDCSRNRKLPLSRGSCLCSFNPRRTIHVVRASSSETALIGNFDISSSDDILYKDIFPVKRIEKVEGKFFIRLDRSKDQHDWQFTVGCSLPGKWILHWGVSYLGDSGSEWDQPPKGMRPPGSIPIKDYAIETPLKKLSEGDIFHEVKIDFNPISEIAAIHFVLKDEETGAWYQHRGMDFKVPLVDYLEDDGNIVGAKRGFGVWSGALQQFSNVLLKSEASHADSQNNSIESKDSKNKNRCLEGFYEEQSIVKEVSVGNLVSVAVRKSPETGKVVVCLETDIPGDVVVHWGVCRDDAKIWKIPAAPYPPETTVFKNKALRTLLQPKATGNRSGALFTLDEEHFGFLFVLKLDDNTWLKFKENDFYVPLLGTSSVPGQYGQSDSTSEEISSKSYTDGIINEIRNLVSGLSSEKSLKTKKKEVQESILQEIEQLAAEAYSIFRSSITTVPDEVVSETETTKPAVKISSGTGTGFEILCQGFNWESHKSRRWYMELKEKASEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGTIDELKELVKSLHEVGMKVLGDVVLNHRCAHFKNQNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLGWLREEIGYDGWRLDFVRGFWGGYVKDYLEASGPYFAVGEYWDSLSYTYGEMDHNQDSHRQRIVDWINATNGTAGAFDVTTKGILHSLCPQTGNHYLMFLILGSGKM >KJB50691 pep chromosome:Graimondii2_0_v6:8:46044569:46052878:-1 gene:B456_008G182600 transcript:KJB50691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTIDSLLQNPTLLFRPKAKVLLKPPRSLDCSRNRKLPLSRGSCLCSFNPRRTIHVVRASSSETALIGNFDISSSDDILYKDIFPVKRIEKVEGKFFIRLDRSKDQHDWQFTVGCSLPGKWILHWGVSYLGDSGSEWDQPPKGMRPPGSIPIKDYAIETPLKKLSEGDIFHEVKIDFNPISEIAAIHFVLKDEETGAWYQHRGMDFKVPLVDYLEDDGNIVGAKRGFGVWSGALQQFSNVLLKSEASHADSQNNSIESKDSKNKNRCLEGFYEEQSIVKEVSVGNLVSVAVRKSPETGKVVVCLETDIPGDVVVHWGVCRDDAKIWKIPAAPYPPETTVFKNKALRTLLQPKATGNRSGALFTLDEEHFGFLFVLKLDDNTWLKFKENDFYVPLLGTSSVPGQYGQSDSTSEEISSKSYTDGIINEIRNLVSGLSSEKSLKTKKKEVQESILQEIEQLAAEAYSIFRSSITTVPDEVVSETETTKPAVKISSGTGTGFEILCQGFNWESHKSRRWYMELKEKASEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGTIDELKELVKSLHEVGMKVLGDVVLNHRCAHFKNQNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLGWLREEIGYDGWRLDFVRGFWGGYVKDYLEASGPYFAVGEYWDSLSYTYGEMDHNQDSHRQRIVDWINATNGTAGAFDVTTKGILHSVYCTWLLLVLALGSGKM >KJB50692 pep chromosome:Graimondii2_0_v6:8:46044535:46052977:-1 gene:B456_008G182600 transcript:KJB50692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTIDSLLQNPTLLFRPKAKVLLKPPRSLDCSRNRKLPLSRGSCLCSFNPRRTIHVVRASSSETALIGNFDISSSDDILYKDIFPVKRIEKGKFFIRLDRSKDQHDWQFTVGCSLPGKWILHWGVSYLGDSGSEWDQPPKGMRPPGSIPIKDYAIETPLKKLSEGDIFHEVKIDFNPISEIAAIHFVLKDEETGAWYQHRGMDFKVPLVDYLEDDGNIVGAKRGFGVWSGALQQFSNVLLKSEASHADSQNNSIESKDSKNKNRCLEGFYEEQSIVKEVSVGNLVSVAVRKSPETGKVVVCLETDIPGDVVVHWGVCRDDAKIWKIPAAPYPPETTVFKNKALRTLLQPKATGNRSGALFTLDEEHFGFLFVLKLDDNTWLKFKENDFYVPLLGTSSVPGQYGQSDSTSEEISSKSYTDGIINEIRNLVSGLSSEKSLKTKKKEVQESILQEIEQLAAEAYSIFRSSITTVPDEVVSETETTKPAVKISSGTGTGFEILCQGFNWESHKSRRWYMELKEKASEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGTIDELKELVKSLHEVGMKVLGDVVLNHRCAHFKNQNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLGWLREEIGYDGWRLDFVRGFWGGYVKDYLEASGPYFAVGEYWDSLSYTYGEMDHNQDSHRQRIVDWINATNGTAGAFDVTTKGILHSALERCEYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPAVFYDHIFSHHRSEIASLISVRNRNGIHCRSLVKIVKAERDVYAAIIDEKVAMKIGPGYYEPPSGSQRWSLALEGRDYKVWETS >KJB50690 pep chromosome:Graimondii2_0_v6:8:46044535:46052987:-1 gene:B456_008G182600 transcript:KJB50690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTIDSLLQNPTLLFRPKAKVLLKPPRSLDCSRNRKLPLSRGSCLCSFNPRRTIHVVRASSSETALIGNFDISSSDDILYKDIFPVKRIEKVEGKFFIRLDRSKDQHDWQFTVGCSLPGKWILHWGVSYLGDSGSEWDQPPKGMRPPGSIPIKDYAIETPLKKLSEGDIFHEVKIDFNPISEIAAIHFVLKDEETGAWYQHRGMDFKVPLVDYLEDDGNIVGAKRGFGVWSGALQQFSNVLLKSEASHADSQNNSIESKDSKNKNRCLEGFYEEQSIVKEVSVGNLVSVAVRKSPETGKVVVCLETDIPGDVVVHWGVCRDDAKIWKIPAAPYPPETTVFKNKALRTLLQPKATGNRSGALFTLDEEHFGFLFVLKLDDNTWLKFKENDFYVPLLGTSSVPGQYGQSDSTSEEISSKSYTDGIINEIRNLVSGLSSEKSLKTKKKEVQESILQEIEQLAAEAYSIFRSSITTVPDEVVSETETTKPAVKISSGTGTGFEILCQGFNWESHKSRRWYMELKEKASEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGTIDELKELVKSLHEVGMKVLGDVVLNHRCAHFKNQNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLGWLREEIGYDGWRLDFVRGFWGGYVKDYLEASGPYFAVGEYWDSLSYTYGEMDHNQDSHRQRIVDWINATNGTAGAFDVTTKGILHSALERCEYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPAVFYDHIFSHHRSEIASLISVRNRNGIHCRSLVKIVKAERDVYAAIIDEKVAMKIGPGYYEPPSGSQRWSLALEGRDYKVWETS >KJB50689 pep chromosome:Graimondii2_0_v6:8:46046530:46052718:-1 gene:B456_008G182600 transcript:KJB50689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTIDSLLQNPTLLFRPKAKVLLKPPRSLDCSRNRKLPLSRGSCLCSFNPRRTIHVVRASSSETALIGNFDISSSDDILYKDIFPVKRIEKVEGKFFIRLDRSKDQHDWQFTVGCSLPGKWILHWGVSYLGDSGSEWDQPPKGMRPPGSIPIKDYAIETPLKKLSEGDIFHEVKIDFNPISEIAAIHFVLKDEETGAWYQHRGMDFKVPLVDYLEDDGNIVGAKRGFGVWSGALQQFSNVLLKSEASHADSQNNSIESKDSKNKNRCLEGFYEEQSIVKEVSVGNLVSVAVRKSPETGKVVVCLETDIPGDVVVHWGVCRDDAKIWKIPAAPYPPETTVFKNKALRTLLQPKATGNRSGALFTLDEEHFGFLFVLKLDDNTWLKFKENDFYVPLLGTSSVPGQYGQSDSTSEEISSKSYTDGIINEIRNLVSGLSSEKSLKTKKKEVQESILQEIEQLAAEAYSIFRSSITTVPDEVVSETETTKPAVKISSGTGTGFEILCQGFNWESHKSRRWYMELKEKASEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGTIDELKELVKSLHEVGMKVLGDVVLNHRCAHFKNQNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLGWLREEIGYDGWRLDFVRGFWGGYVKDYLEASGPYFAVGEYWDSLSYTYGEMDHNQDSHRQRIVDWINATNGTAGAFDVTTKGILHSVYCTWLLLVLALGRLSLQLFLIIYFLK >KJB50694 pep chromosome:Graimondii2_0_v6:8:46046150:46052878:-1 gene:B456_008G182600 transcript:KJB50694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTIDSLLQNPTLLFRPKAKVLLKPPRSLDCSRNRKLPLSRGSCLCSFNPRRTIHVVRASSSETALIGNFDISSSDDILYKDIFPVKRIEKVEGKFFIRLDRSKDQHDWQFTVGCSLPGKWILHWGVSYLGDSGSEWDQPPKGMRPPGSIPIKDYAIETPLKKLSEGDIFHEVKIDFNPISEIAAIHFVLKDEETGAWYQHRGMDFKVPLVDYLEDDGNIVGAKRGFGVWSGALQQFSNVLLKSEASHADSQNNSIESKDSKNKNRCLEGFYEEQSIVKEVSVGNLVSVAVRKSPETGKVVVCLETDIPGDVVVHWGVCRDDAKIWKIPAAPYPPETTVFKNKALRTLLQPKATGNRSGALFTLDEEHFGFLFVLKLDDNTWLKFKENDFYVPLLGTSSVPGQYGQSDSTSEEISSKSYTDGIINEIRNLVSGLSSEKSLKTKKKEVQESILQEIEQLAAEAYSIFRSSITTVPDEVVSETETTKPAVKISSGTGTGFEILCQGFNWESHKSRRWYMELKEKASEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGTIDELKELVKSLHEVGMKVLGDVVLNHRCAHFKNQNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLGWLREEIGYDGWRLDFVRGFWGGYVKDYLEASGPYFAVGEYWDSLSYTYGEMDHNQDSHRQRIVDWINATNGTAGAFDVTTKGILHSALERCEYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQVLSSLESFTLPLFHFF >KJB50695 pep chromosome:Graimondii2_0_v6:8:46049816:46052878:-1 gene:B456_008G182600 transcript:KJB50695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTIDSLLQNPTLLFRPKAKVLLKPPRSLDCSRNRKLPLSRGSCLCSFNPRRTIHVVRASSSETALIGNFDISSSDDILYKDIFPVKRIEKVEGKFFIRLDRSKDQHDWQFTVGCSLPGKWILHWGVSYLGDSGSEWDQPPKGMRPPGSIPIKDYAIETPLKKLSEGDIFHEVKIDFNPISEIAAIHFVLKDEETGAWYQHRGMDFKVPLVDYLEDDGNIVGAKRGFGVWSGALQQFSNVLLKSEASHADSQNNSIESKDSKNKNRCLEGFYEEQSIVKEVSVGNLVSVAVRKSPETGKVVVCLETDIPGDVVVHWGVCRDDAKIWKIPAAPYPPETTVFKNKALRTLLQV >KJB48426 pep chromosome:Graimondii2_0_v6:8:11330798:11333513:-1 gene:B456_008G068800 transcript:KJB48426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFVFPLATIAFLGSLTIQIPGNNPTLPGVFPNMFPLATGQFGGLPLMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPSPNLNLAAVGLSPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCIYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLMLQPQGVPTKVVCLTQAISEDDLRDDEEYGDIVEDMRQEGGKHGALVNVVIPRPNPNGESLPGVGKVFLEYSDVEGSRKAQAAMNGRRFGENQVIAVFYPENKFAQGEYDG >KJB48427 pep chromosome:Graimondii2_0_v6:8:11330798:11335142:-1 gene:B456_008G068800 transcript:KJB48427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYEACYQSNGEDLENSNGGVPSPQPREGSHGGLDDLRDSKSQHGSREHERGSSRSREKEKSRDKGRDKDSDRHRDKERDKERERSKDRDREKDRDRHHRDRRRDRSRERSERRERGRDRDDDDYHRSRDYDRRRDYDRDRGDRNRRGSRRSEHRSKSRSRSRSPSKSKRISGFDMAPPASAMLAAGSGGAAAAAAAVTATTVQIPGNNPTLPGVFPNMFPLATGQFGGLPLMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPSPNLNLAAVGLSPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCIYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLMLQPQGVPTKVVCLTQAISEDDLRDDEEYGDIVEDMRQEGGKHALLVRFCY >KJB48424 pep chromosome:Graimondii2_0_v6:8:11330717:11335241:-1 gene:B456_008G068800 transcript:KJB48424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYEACYQSNGEDLENSNGGVPSPQPREGSHGGLDDLRDSKSQHGSREHERGSSRSREKEKSRDKGRDKDSDRHRDKERDKERERSKDRDREKDRDRHHRDRRRDRSRERSERRERGRDRDDDDYHRSRDYDRRRDYDRDRGDRNRRGSRRSEHRSKSRSRSRSPSKSKRISGFDMAPPASAMLAAGSGGAAAAAAAVTATTVQIPGNNPTLPGVFPNMFPLATGQFGGLPLMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPSPNLNLAAVGLSPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCIYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLMLQPQGVPTKVVCLTQAISEDDLRDDEEYGDIVEDMRQEGGKHGALVNVVIPRPNPNGESLPGVGKVFLEYSDVEGSRKAQAAMNGRRFGENQVIAVFYPENKFAQGEYDG >KJB48428 pep chromosome:Graimondii2_0_v6:8:11331706:11335142:-1 gene:B456_008G068800 transcript:KJB48428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYEACYQSNGEDLENSNGGVPSPQPREGSHGGLDDLRDSKSQHGSREHERGSSRSREKEKSRDKGRDKDSDRHRDKERDKERERSKDRDREKDRDRHHRDRRRDRSRERSERRERGRDRDDDDYHRSRDYDRRRDYDRDRGDRNRRGSRRSEHRSKSRSRSRSPSKSKRISGFDMAPPASAMLAAGSGGAAAAAAAVTATTVQIPGNNPTLPGVFPNMFPLATGQFGGLPLMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPSPNLNLAAVGLSPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCIYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLMLQPQGVPTKVVCLTQAISEDDLRDDEEYGDIVEDMRQEGGKHGKIILKTIWSILVVPDPP >KJB48425 pep chromosome:Graimondii2_0_v6:8:11331119:11335070:-1 gene:B456_008G068800 transcript:KJB48425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYEACYQSNGEDLENSNGGVPSPQPREGSHGGLDDLRDSKSQHGSREHERGSSRSREKEKSRDKGRDKDSDRHRDKERDKERERSKDRDREKDRDRHHRDRRRDRSRERSERRERGRDRDDDDYHRSRDYDRRRDYDRDRGDRNRRGSRRSEHRSKSRSRSRSPSKSKRISGFDMAPPASAMLAAGSGGAAAAAAAVTATTVQIPGNNPTLPGVFPNMFPLATGQQFGGLPLMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPSPNLNLAAVGLSPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCIYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLMLQPQGVPTKVVCLTQAISEDDLRDDEEYGDIVEDMRQEGGKHGALVNVVIPRPNPNGESLPGVGKVFLEYSDVEGSRKAQAAMNGRRFGENQVIAVFYPENKFAQGEYDG >KJB48423 pep chromosome:Graimondii2_0_v6:8:11330717:11335241:-1 gene:B456_008G068800 transcript:KJB48423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYEACYQSNGEDLENSNGGVPSPQPREGSHGGLDDLRDSKSQHGSREHERGSSRSREKEKSRDKGRDKDSDRHRDKERDKERERSKDRDREKDRDRHHRDRRRDRSRERSERRERGRDRDDDDYHRSRDYDRDRGDRNRRGSRRSEHRSKSRSRSRSPSKSKRISGFDMAPPASAMLAAGSGGAAAAAAAVTATTVQIPGNNPTLPGVFPNMFPLATGQQFGGLPLMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPSPNLNLAAVGLSPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCIYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLMLQPQGVPTKVVCLTQAISEDDLRDDEEYGDIVEDMRQEGGKHGALVNVVIPRPNPNGESLPGVGKVFLEYSDVEGSRKAQAAMNGRRFGENQVIAVFYPENKFAQGEYDG >KJB47384 pep chromosome:Graimondii2_0_v6:8:2793711:2797742:-1 gene:B456_008G024200 transcript:KJB47384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLITSLIITCLLFGFCSALKEGQTCVSNGNCDSGLHCETCVANGNVRPRCTRIQPVNPTSKVKGLPYNRYSWLTTHNSFARLGKKSATGSLILAPTNQQDSITDQLNNGVRGLMLDMYDFQNDIWLCHSFGGQCFNNTAFQPAINVLKEIQAFLEANPSEIVTIIIEDYVTSPRGLSKVFDAAGLRKFWFPVSRMPKNGGSWPTVDDMVQKNQRMVVFTSKSAKEASEGIAYQWRYMVENQYGNGGMIAGSCPNRAESPAMNATSRSLVLINYFPDIPDVTQACRHNSAPLISMMNTCHVAAGNRWPNFIAVDFYKRSDGGGAPEAIDVANGHLVCGCENIVICRANMTFGVCDMPEADISPAPATKPVAHDSSFANLESRPVPLQWLFISILVAILLQL >KJB47385 pep chromosome:Graimondii2_0_v6:8:2793888:2794852:-1 gene:B456_008G024200 transcript:KJB47385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNGGSWPTVDDMVQKNQRMVVFTSKSAKEASEGIAYQWRYMVENQYGNGGMIAGSCPNRAESPAMNATSRSLVLINYFPDIPDVTQACRHNSAPLISMMNTCHVAAGNRWPNFIAVDFYKRSDGGGAPEAIDVANGHLVCGCENIVICRANMTFGVCDMPEADISPAPATKPVAHDSSFANLESRPVPLQWLFISILVAILLQL >KJB47387 pep chromosome:Graimondii2_0_v6:8:2793715:2797623:-1 gene:B456_008G024200 transcript:KJB47387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLITSLIITCLLFGFCSALKEGQTCVSNGNCDSGLHCETCVANGNVRPRCTRIQPVNPTSKNGVRGLMLDMYDFQNDIWLCHSFGGQCFNNTAFQPAINVLKEIQAFLEANPSEIVTIIIEDYVTSPRGLSKVFDAAGLRKFWFPVSRMPKNGGSWPTVDDMVQKNQRMVVFTSKSAKEASEGIAYQWRYMVENQYGNGGMIAGSCPNRAESPAMNATSRSLVLINYFPDIPDVTQACRHNSAPLISMMNTCHVAAGNRWPNFIAVDFYKRSDGGGAPEAIDVANGHLVCGCENIVICRANMTFGVCDMPEADISPAPATKPVAHDSSFANLESRPVPLQWLFISILVAILLQL >KJB47386 pep chromosome:Graimondii2_0_v6:8:2793715:2795515:-1 gene:B456_008G024200 transcript:KJB47386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMYDFQNDIWLCHSFGGQCFNNTAFQPAINVLKEIQAFLEANPSEIVTIIIEDYVTSPRGLSKVFDAAGLRKFWFPVSRMPKNGGSWPTVDDMVQKNQRMVVFTSKSAKEASEGIAYQWRYMVENQYGNGGMIAGSCPNRAESPAMNATSRSLVLINYFPDIPDVTQACRHNSAPLISMMNTCHVAAGNRWPNFIAVDFYKRSDGGGAPEAIDVANGHLVCGCENIVICRANMTFGVCDMPEADISPAPATKPVAHDSSFANLESRPVPLQWLFISILVAILLQL >KJB52087 pep chromosome:Graimondii2_0_v6:8:53071831:53074716:1 gene:B456_008G246300 transcript:KJB52087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKVYADRMSQPSRAVIIFCKVNGIDYEEIKVHISKGQHLTPEFAEINPMKQLPAIADGRFKLFESHAILIYLACAFPGVADQWYPADVFKRSKIHSVLDWHHSNLPAEAEIILSSSLSKLESFWLKGNGRFLLGGNQPSIADLSLVCELTQLEVLDEKDRTRLLGPHKKVQQWIENTRNATNPHFDEVHRVIMLAKERQQHQRLKAAKNEGGSNMKKPLVSRM >KJB52086 pep chromosome:Graimondii2_0_v6:8:53071831:53074716:1 gene:B456_008G246300 transcript:KJB52086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKVYADRMSQPSRAVIIFCKVNGIDYEEIKVHISKGQHLTPEFAEINPMKQLPAIADGRFKLFESHAILIYLACAFPGVADQWYPADVFKRSKIHSVLDWHHSNLRRGAESFWLKGNGRFLLGGNQPSIADLSLVCELTQLEVLDEKDRTRLLGPHKKVQQWIENTRNATNPHFDEVHRVIMLAKERQQHQRLKAAKNEGGSNMKKPLVSRM >KJB52088 pep chromosome:Graimondii2_0_v6:8:53071831:53074716:1 gene:B456_008G246300 transcript:KJB52088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKVYADRMSQPSRAVIIFCKVNGIDYEEIKVHISKGQHLTPEFAEINPMKQLPAIADGRFKLFERYPADVFKRSKIHSVLDWHHSNLRRGAAPYVFNTTIAPILGRPLNPQAAAEAEIILSSSLSKLESFWLKGNGRFLLGGNQPSIADLSLVCELTQLEVLDEKDRTRLLGPHKKVQQWIENTRNATNPHFDEVHRVIMLAKERQQHQRLKAAKNEGGSNMKKPLVSRM >KJB52089 pep chromosome:Graimondii2_0_v6:8:53071831:53074716:1 gene:B456_008G246300 transcript:KJB52089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKVYADRMSQPSRAVIIFCKVNGIDYEEIKVHISKGQHLTPEFAEINPMKQLPAIADGRFKLFESHAILIYLACAFPGVADQWYPADVFKRSKIHSVLDWHHSNLRRGAAPYVFNTTIAPILGRPLNPQAAAEAEIILSSSLSKLESFWLKGNGRFLLGGNQPSIADLSLVCELTQLEVLDEKDRTRLLGPHKKVQQWIENTRNATNPHFDEVHRVIMLAKERQQHQRLKAAKNEGGSNMKKPLVSRM >KJB50132 pep chromosome:Graimondii2_0_v6:8:41379651:41383669:1 gene:B456_008G154800 transcript:KJB50132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKPQALLQQSKRKKGTARISLTTITMLILIVVLILFFVYATYRHWTQRSRIHIENRESVIEGDNSFMDSKKSDLPGYAILDTAKGSITVELFKDSSLEVVDQFLDLWWSDVAWSMQHQWPRHS >KJB50507 pep chromosome:Graimondii2_0_v6:8:45031028:45031618:-1 gene:B456_008G174500 transcript:KJB50507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSELSTGLHYLAPENPILIPDNLGMMQNTIPGFHFDRFLNIQSNSHIPLPAHEFIAQSLCTSTSDEAEEHQLSRIIDERKQRRMISNRESARRSRMRKQKHLDELLSQVVRLRNENQSLIDKLKHVSDCHDQVVQENARLKEEASDLRRMVTDLRTGSPYSLALRELEDVPCYTAYLRAEPTNQSIANSVDLLY >KJB48900 pep chromosome:Graimondii2_0_v6:8:23345070:23347920:-1 gene:B456_008G092900 transcript:KJB48900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKETAREDGEEINPEKESKSEVVERSESLKQNEESSSIREPDIPAVRGLDSDSSGGACTSNCPYYSQKPPQVFQRWNRDSYALQWKHVIDNIKKKSARSFSIIPLLTNNYEMSKKNLWRKLTKFYGSEAGVVDIDGIPVPKPSWKTFSYSDLCAATDHFSPENMLGKGGNAKVYKGHLTDGQIVAVKKLIKNEKEEEDRANDFLLELGIIAHINHPNAAHLIGFSVDGGLHLVLQFAPHGSLASVLFGSDECLDWKTRYKVATGIAEGLKYLHHDCPRRIIHRDITASNILLTEDYEAHISDFGLAKWLPENWHQHVVHPIEGTFGYLAPEYFMHGIVDEKTDVFAFGVLLLEILTGRRAVDLSRQSLMIWGKPLLESNKVKELVDPRLEDDYDQTQVKRAMLTASMCINHLANLRPSMTRVVELLKNEDGAVESQQKSCGGKAVIVDGCDLQDYSRSSYLDDLNRHMQLVLE >KJB49641 pep chromosome:Graimondii2_0_v6:8:37634666:37637649:-1 gene:B456_008G131000 transcript:KJB49641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCFLRSSKTVTTVPRSLTSLINPSFLLFYSSSPNPPPNQRQPSPSPIASPTRVLKLISAQSDPLLAKEIFDIATTQPGFRHSYSSFLVLILKLGRSKHFSLVDDLLVRLKSDQYRVTPTLFSYLIKIYAEADLPEKALSVFYKMLEFNVKPLPRHLNRILELLVSHRNFIMPAFDLFKTAHKYGVFPNTKSYNILMGAFCLNGGLSIAYKLFNKMFERDVMPDVESYRILMQGLCRKSQVNRAVDLLEDMLNKGFEPDSLSYTTLLNSLCRKKKLREAYKLLCRMKVKGCNPDIVHYNTVILGFCREGRAMDAVKVLEDMPSNGCLPNLVSYRTLVGGLCDQGMFDEAKKYMEEMLSKGFSPHFSVSHALIKGFCSVGKIDAATEVLGEMLELREVPHTDTWGTIVPTICEDYETEKMEEILEEVIKVEIKRDTRIVEAGIGLENYLIRKIRNRSK >KJB49640 pep chromosome:Graimondii2_0_v6:8:37636245:37637621:-1 gene:B456_008G131000 transcript:KJB49640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCFLRSSKTVTTVPRSLTSLINPSFLLFYSSSPNPPPNQRQPSPSPIASPTRVLKLISAQSDPLLAKEIFDIATTQPGFRHSYSSFLVLILKLGRSKHFSLVDDLLVRLKSDQYRVTPTLFSYLIKIYAEADLPEKALSVFYKMLEFNVKPLPRHLNRILELLVSHRNFIMPAFDLFKTAHKYGVFPNTKSYNILMGAFCLNGGLSIAYKLFNKMFERDVMPDVESYRILMQGLCRKSQVNRAVDLLEDMLNKGFEPDSLSYTTLLNSLCRKKKLREAYKLLCRMKVKGCNPDIVHYNTVILGFCREGRAMDAVKVLEDMPSNGCLPNLVSYRTLVGGLCDQGMFDEAKKYMEEMLSKGFSPHFSVSHALIKGFCSVGKIDAATEVLGEMLELREVPHTDTWGTIVPTICEDYETEKMEEILEEVIKVEIKRDTRIVEAGIGLENYLIRKIRNRSK >KJB47089 pep chromosome:Graimondii2_0_v6:8:1215246:1216280:1 gene:B456_008G010500 transcript:KJB47089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDRKATIIDGKAIAQTIRSEIADEVRHLSQKYGKVPGLAVVIVGNRKDSLSYVGMKRKACAEVGIRSFDMNLPEEVLESELISKVHELNANPDVHGILVQLPLPKHINEEKVLGEISLEKDVDGFHPLNIGKLAMKGREPLFQPCTPKAILQCCQILYDLAFVLFAKMVCNCFPFSLQGCLELLSRSGVSVKGKNAVVVGRSNIVGLPVSLLLLKADATVTIVHSRTPDPERLVREADIVIAAAGQAMMVIRFLVAQTF >KJB47087 pep chromosome:Graimondii2_0_v6:8:1215151:1217293:1 gene:B456_008G010500 transcript:KJB47087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDRKATIIDGKAIAQTIRSEIADEVRHLSQKYGKVPGLAVVIVGNRKDSLSYVGMKRKACAEVGIRSFDMNLPEEVLESELISKVHELNANPDVHGILVQLPLPKHINEEKVLGEISLEKDVDGFHPLNIGKLAMKGREPLFQPCTPKGCLELLSRSGVSVKGKNAVVVGRSNIVGLPVSLLLLKADATVTIVHSRTPDPERLVREADIVIAAAGQAMMIKGSWIKPGAAVIDVGTNAVDDPTKRSGYRLVGDVDFEAAFRVAGWITPVPGGVGPMTVAMLLRNTLDGAKRVIEE >KJB47084 pep chromosome:Graimondii2_0_v6:8:1215112:1217288:1 gene:B456_008G010500 transcript:KJB47084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDRKATIIDGKAIAQTIRSEIADEVRHLSQKYGKVPGLAVVIVGNRKDSLSYVGMKRKACAEVGIRSFDMNLPEEVLESELISKVHELNANPDVHGILVQLPLPKHINEEKVLGEISLEKDVDGFHPLNIGKLAMKGREPLFQPCTPKGCLELLSRSGVSVKGKNAVVVGRSNIVGLPVSLLLLKADATVTIVHSRTPDPERLVREADIVIAAAGQAMMIKGSWIKPGAAVIDVGTNAVDDPTKRSGYRLVGDVDFEAAFRVAGWITPVPGGVGPMTVAMLLRNTLDGAKRVIEE >KJB47092 pep chromosome:Graimondii2_0_v6:8:1215175:1217224:1 gene:B456_008G010500 transcript:KJB47092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKACAEVGIRSFDMNLPEEVLESELISKVHELNANPDVHGILVQLPLPKHINEEKVLGEISLEKDVDGFHPLNIGKLAMKGREPLFQPCTPKGCLELLSRSGVSVKGKNAVVVGRSNIVGLPVSLLLLKADATVTIVHSRTPDPERLVREADIVIAAAGQAMMIKGSWIKPGAAVIDVGTNAVDDPTKRSGYRLVGDVDFEAAFRVAGWITPVPGGVGPMTVAMLLRNTLDGAKRVIEE >KJB47090 pep chromosome:Graimondii2_0_v6:8:1215175:1217224:1 gene:B456_008G010500 transcript:KJB47090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDRKATIIDGKAIAQTIRSEIADEVRHLSQKYGKVPGLAVVIVGNRKDSLSYVGMKRKACAEVGIRSFDMNLPEEVLESELISKVHELNANPDVHGILVQLPLPKHINEEKVLGEISLEKDVDGFHPLNIGKLAMKGREPLFQPCTPKAILQCCQILYDLAFVLFAKMVCNCFPFSLQGCLELLSRSGVSVKGKNAVVVGRSNIVGLPVSLLLLKADATVTIVHSRTPDPERLVREADIVIAAAGQAMMIKGSWIKPGAAVIDVGTNAVDDPTKRSGYRLVGDVDFEAAFRVAGWITPVPGGVGPMTVAMLLRNTLDGAKRVIEE >KJB47091 pep chromosome:Graimondii2_0_v6:8:1215175:1217224:1 gene:B456_008G010500 transcript:KJB47091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKACAEVGIRSFDMNLPEEVLESELISKVHELNANPDVHGILVQLPLPKHINEEKVLGEISLEKDVDGFHPLNIGKLAMKGREPLFQPCTPKGCLELLSRSGVSVKGKNAVVVGRSNIVGLPVSLLLLKADATVTIVHSRTPDPERLVREADIVIAAAGQAMMIKGSWIKPGAAVIDVGTNAVDDPTKRSGYRLVGDVDFEAAFRVAGWITPVPGGVGPMTVAMLLRNTLDGAKRVIEE >KJB47085 pep chromosome:Graimondii2_0_v6:8:1215246:1216280:1 gene:B456_008G010500 transcript:KJB47085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDRKATIIDGKAIAQTIRSEIADEVRHLSQKYGKVPGLAVVIVGNRKDSLSYVGMKRKACAEVGIRSFDMNLPEEVLESELISKVHELNANPDVHGILVQLPLPKHINEEKVLGEISLEKDVDGFHPLNIGKLAMKGREPLFQPCTPKGCLELLSRSGVSVKGKNAVVVGRSNIVGLPVSLLLLKADATVTIVHSRTPDPERLVREADIVIAAAGQAMMVIRFLVAQTF >KJB47086 pep chromosome:Graimondii2_0_v6:8:1215151:1217224:1 gene:B456_008G010500 transcript:KJB47086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDRKATIIDGKAIAQTIRSEIADEVRHLSQKYGKVPGLAVVIVGNRKDSLSYVGMKRKACAEVGIRSFDMNLPEEVLESELISKVHELNANPDVHGILVQLPLPKHINEEKVLGEISLEKDVDGFHPLNIGKLAMKGREPLFQPCTPKGCLELLSRSGVSVKGKNAVVVGRSNIVGLPVSLLLLKADATVTIVHSRTPDPERLVREADIVIAAAGQAMMAVGSNLVLRLLMLEPMPSMTQLSDRDTG >KJB47088 pep chromosome:Graimondii2_0_v6:8:1215175:1217224:1 gene:B456_008G010500 transcript:KJB47088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGREPLFQPCTPKAILQCCQILYDLAFVLFAKMVCNCFPFSLQGCLELLSRSGVSVKGKNAVVVGRSNIVGLPVSLLLLKADATVTIVHSRTPDPERLVREADIVIAAAGQAMMIKGSWIKPGAAVIDVGTNAVDDPTKRSGYRLVGDVDFEAAFRVAGWITPVPGGVGPMTVAMLLRNTLDGAKRVIEE >KJB52139 pep chromosome:Graimondii2_0_v6:8:53182932:53185762:-1 gene:B456_008G247600 transcript:KJB52139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASVALMILSAVVVYLVWFKFIARSLNGPRVWPLLGSLPGLIENSNCMHEWIADNLRACGGTYQTCIAAIPFLARKQGLVTVTCDPNNLEHILKGRFDNYPKGPFWQAVFHDLLGDGIFNSDGDTWLFQRKTAALEFTTRTLRQAMSRWVNRAIKQRFWPILETAQLQGKPVDFQDLLLRLTFDNICGLTFGKDPQTASPGLPENGFATAFDRATEATLQRFILPEIIWKLKKWLGLGMEVKLSRSLDHMDKFLSEIINTRKLELLSRHQGEIPHDDLLSRFMKKKESYSDEFLRHVALNFILAGRDTTSVALCWFFWLVSQNSRVEEKIITEITTVLMKTRGTDTSKWVNEPLVFEEVDRLIYLKAALSETLRLYPSVPQDSKHVIADDVLPNGTFVPAGSNVTYSIYSAGRMKFIWGEDCLEFKPERWLSEDGKKFEPKDSYRFVTFNAGPRICLGKDLAYLQMKSIAAAVLLHHRLTVAAGHRVEQKMSLTLFMKYGLCMDVHPRNLKPVPEKMHKADEEVYTPSRFRSQESSLCATASLFWEMKTLRPWKTVFRRRR >KJB47909 pep chromosome:Graimondii2_0_v6:8:6674857:6679124:1 gene:B456_008G048200 transcript:KJB47909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 60 subunit alpha 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18820) UniProtKB/Swiss-Prot;Acc:Q56XV8] MSVSIPQTAFFSLTNVNGSRRANGVLRKPLMLRSLTVRAGPKRISFGGDCREALQAGIDKLADAVSVTLGPRGRNVVLFESEKLKVVNDGVTIARAIELSDAIENAGAMLIQEVASKMNDLAGDGTTTAIILARAMIKFGLLAVSFGANPVSLKRGMDKTVKELVKDLKKKSLPVKAREEIKAVASISAGNDDFIGNLIAEAIEKIGPDGVISLESSSSSETFVIIEEGMKIDKGYMSPQFITNQDKSLVEFDNAKVLVTDQKISSVKEIVPLLEKTTQLSVPLLIFAEDISMQVLETLVVNKMQGVINVAVVKCPGFGEGKKALLQDIALMTGADFLSGDFGMTLAAATSDQLGVARKVTITSNSTTIVSDPSTKAEIQARIMQIKKDLAETDSAYLSRRLSERIAKLSGGVAVIKVGAHTEMELEDRKLRIEDAKNAAFAAMDEGIVPGGGATYIHLSEQIHTIKNSMEDSDEQIGADIVAKALLAPSKVIATNAGVDGEVVVEKTRKLDWKIGYNAMSGRYEDLINAGVIDPCRVSRCALQSAVSVAGVVLTTQAIMVDKIKTPKPVVPLVPGISP >KJB47908 pep chromosome:Graimondii2_0_v6:8:6674857:6679051:1 gene:B456_008G048200 transcript:KJB47908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 60 subunit alpha 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18820) UniProtKB/Swiss-Prot;Acc:Q56XV8] MSVSIPQTAFFSLTNVNGSRRANGVLRKPLMLRSLTVRAGPKRISFGGDCREALQAGIDKLADAVSVTLGPRGRNVVLFESEKLKVVNDGVTIARAIELSDAIENAGAMLIQEVASKMNDLAGDGTTTAIILARAMIKFGLLAVSFGANPVSLKRGMDKTVKELVKDLKKKSLPVKAREEIKAVASISAGNDDFIGNLIAEAIEKIGPDGVISLESSSSSETFVIIEEGMKQIDKGYMSPQFITNQDKSLVEFDNAKVLVTDQKISSVKEIVPLLEKTTQLSVPLLIFAEDISMQVLETLVVNKMQGVINVAVVKCPGFGEGKKALLQDIALMTGADFLSGDFGMTLAAATSDQLGVARKVTITSNSTTIVSDPSTKAEIQARIMQIKKDLAETDSAYLSRRLSERIAKLSGGVAVIKVGAHTEMELEDRKLRIEDAKNAAFAAMDEGIVPGGGATYIHLSEQIHTIKNSMEDSDEQIGADIVAKALLAPSKVIATNAGVDGEVVVEKTRKLDWKIGYNAMSGRYEDLINAGVIDPCRVSRCALQSAVSVAGVVLTTQAIMVDKIKTPKPVVPLVPGISP >KJB47910 pep chromosome:Graimondii2_0_v6:8:6674985:6679051:1 gene:B456_008G048200 transcript:KJB47910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 60 subunit alpha 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18820) UniProtKB/Swiss-Prot;Acc:Q56XV8] MSVSIPQTAFFSLTNVNGSRRANGVLRKPLMLRSLTVRAGPKRISFGGDCREALQAGIDKLADAVSVTLGPRGRNVVLFESEKLKVVNDGVTIARAIELSDAIENAGAMLIQEVASKMNDLAGDGTTTAIILARAMIKFGLLAVSFGANPVSLKRGMDKTVKELVKDLKKKSLPVKAREEIKVYLLFIAVASISAGNDDFIGNLIAEAIEKIGPDGVISLESSSSSETFVIIEEGMKQIDKGYMSPQFITNQDKSLVEFDNAKVLVTDQKISSVKEIVPLLEKTTQLSVPLLIFAEDISMQVLETLVVNKMQGVINVAVVKCPGFGEGKKALLQDIALMTGADFLSGDFGMTLAAATSDQLGVARKVTITSNSTTIVSDPSTKAEIQARIMQIKKDLAETDSAYLSRRLSERIAKLSGGVAVIKVGAHTEMELEDRKLRIEDAKNAAFAAMDEGIVPGGGATYIHLSEQIHTIKNSMEDSDEQIGADIVAKALLAPSKVIATNAGVDGEVVVEKTRKLDWKIGYNAMSGRYEDLINAGVIDPCRVSRCALQSAVSVAGVVLTTQAIMVDKIKTPKPVVPLVPGISP >KJB53111 pep chromosome:Graimondii2_0_v6:8:56750250:56753886:-1 gene:B456_008G293900 transcript:KJB53111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYNIIREYPEYQAYITPLFFTDDWLNLYLDNYHMHDDLDADRVSNDISCSDYRFVYMGAKGSWTPLHADVFRSYSWSANVCGKKKWLFLPPQQFNLLFDRNMKNTVYNIFDDISETEFPGFKKAIWLECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNGYNLSWVWDLLLRDYKEAKEYIEDIKDICDDFEGLCQRNLAANTGMNFNDFFIFISRFSLANVVELYYLRGELNSENSIWHCSAIIEHFALNLSSIRKTALKMKSEGVKGNLGIINLLETLSDPKFLKLCTGLGRIYSVIREEENWSCTMKKALMADFAKYGSQVCSPEDLITFIDYAVSKLSSNCDEQNPLLSVLYEIQPHEQN >KJB53112 pep chromosome:Graimondii2_0_v6:8:56750250:56754580:-1 gene:B456_008G293900 transcript:KJB53112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKIGGTIEKVNGKELFYSEFAEKYLAGNQPVLLTGLMDDWIASKHWVSSNGQPNIIFFPTHFGKSKVQVADCDTREFTDQKRIEMSVSEFVNHWLQGSKENHDVNGKSVLYLKDWHFVKEYPEYQAYITPLFFTDDWLNLYLDNYHMHDDLDADRVSNDISCSDYRFVYMGAKGSRSWTPLHADVFRSYSWSANVCGKKKWLFLPPQQFNLLFDRNMKNTVYNIFDDISETEFPGFKKAIWLECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNGYNLSWVWDLLLRDYKEAKEYIEDIKDICDDFEGLCQRNLAANTGMNFNDFFIFISRFSLANVVELYYLRGELNSENSIWHCSAIIEHFALNLSSIRKTALKMKSEGVKGNLGIINLLETLSDPKFLKLCTGLGRIYSVIREEENWSCTMKKALMADFAKYGSQVCSPEDLITFIDYAVSKLSSNCDEQNPLLSVLYEIQPHEQN >KJB53110 pep chromosome:Graimondii2_0_v6:8:56750060:56754580:-1 gene:B456_008G293900 transcript:KJB53110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKIGGTIEKVNGKELFYSEFAEKYLAGNQPVLLTGLMDDWIASKHWVSSNGQPNIIFFPTHFGKSKVQVADCDTREFTDQKRIEMSVSEFVNHWLQGSKENHDVNGKSVLYLKDWHFVKEYPEYQAYITPLFFTDDWLNLYLDNYHMHDDLDADRVSNDISCSDYRFVYMGAKGSWTPLHADVFRSYSWSANVCGKKKWLFLPPQQFNLLFDRNMKNTVYNIFDDISETEFPGFKKAIWLECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNGYNLSWVWDLLLRDYKEAKEYIEDIKDICDDFEGLCQRNLAANTGMNFNDFFIFISRFSLANVVELYYLRGELNSENSIWHCSAIIEHFALNLSSIRKTALKMKSEGVKGNLGIINLLETLSDPKFLKLCTGLGRIYSVIREEENWSCTMKKALMADFAKYGSQVCSPEDLITFIDYAVSKLSSNCDEQNPLLSVLYEIQPHEQN >KJB49505 pep chromosome:Graimondii2_0_v6:8:36089310:36090464:1 gene:B456_008G122700 transcript:KJB49505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSHHLSSLCPNFFPFVVVGFLFLFSSRHYPFLRCHFLGKIPYSFFPFLDETLKFSRKIEKKKKDWHNKDRVMEKDHHHHYVLEITLISAQGLKEPSGQLRRMQTYALAWIDPSLKLRTCIDRSGGGNPTWNDKFLFKVSSDFLSKETSGVSVEIYSVGVLRDSLLGTVRLLVGNSIRTGFTIHPPSFTAVQVRRPSGRFHGVINIGVTVLDMADVPSMSGLSAVGFRDLIGESINSKKNRGLKKSKSTTLPLPGENLSDDQSDDCHSSTTSSSSPASTALREWNGIIREIEKRKNHIRSSSSEDGSLLCGLGLSSMKVGCLSPFIVGAASFNEGKNPP >KJB50770 pep chromosome:Graimondii2_0_v6:8:46790717:46792035:-1 gene:B456_008G186900 transcript:KJB50770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWTNFLTKCESLYNFQSKAWGDSQVSIRVKPIGSCILLNENKLFANFFFCVCVCVGFDGYICSAERGKLADPFYRLEHQEEDLQKKKEAEPVLVRLQRVSDARHSDDYALNKALRAKLRSQKKRVFEEESASRKMGLSIRLLPASEEDGATAAGVKFSSKFERNRKDKRALIKAASIFPGSSGSSSSNKKRLELESKRRKICAAAASNLLTQGFKPSSWSQDAVKKSASLNARKF >KJB50769 pep chromosome:Graimondii2_0_v6:8:46790655:46793557:-1 gene:B456_008G186900 transcript:KJB50769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLAAARADNFYYPPEWDPSQGSLNKFHGQHALRERARKIDQGILIIRFEMPFNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSACCKHEIVIQTDPKNCEYVIISGAQRKTEVFDVEDAETLELPADEERGKLADPFYRLEHQEEDLQKKKEAEPVLVRLQRVSDARHSDDYALNKALRAKLRSQKKRVFEEESASRKMGLSIRLLPASEEDGATAAGVKFSSKFERNRKDKRALIKAASIFPGSSGSSSSNKKRLELESKRRKICAAAASNLLTQGFKPSSWSQDAVKKSASLNARKF >KJB49026 pep chromosome:Graimondii2_0_v6:8:27810322:27811990:1 gene:B456_008G098200 transcript:KJB49026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTVINGEVENQMADNFYDADEAKLTEQDSKIKALESEKLDLSNENKELKEKMKKATLEIDQLRNKEEEMRQEMDNWEEDKKVLESVAARSADLETEVARLQHDLITSMSDADEANKQSMELKRELEEKGLEIKRLDKEITELKKEKVENEKRERELERKLGVLEVRESEERSKNVRMEEELRQQLDVFKNKVKDLEAEVARTRVELETTKEEQRESEERAMGFKLKLLELKEEVEKKAADGINGKSREIVETAESKEKGLNVPPLVAAGSAAAVLVAAAAVYLCCRKRS >KJB49025 pep chromosome:Graimondii2_0_v6:8:27810239:27811990:1 gene:B456_008G098200 transcript:KJB49025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTVINGEVENQMADNFYDADEAKLTEQDSKIKALESEKLDLSNENKELKEKMKKATLEIDQLRNKEEEMRQEMDNWEEDKKVLESVAARSADLETEVARLQHDLITSMSDADEANKQSMELKRELEEKGLEIKRLDKEITELKKEKVENEKRERELERKLGVLEVRESEERSKNVRMEEELRQQLDVFKNKVKDLEAEVARTRVELETTKEEQRESEERAMGFKLKLLELKEEVEKKAADGINGKSREIVETAESKEKGLNVPPLVAAGSAAAVLVAAAAVYLCCRKRS >KJB49218 pep chromosome:Graimondii2_0_v6:8:33590387:33607079:-1 gene:B456_008G107100 transcript:KJB49218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELSSTLSSHVRFLLQSLTEANADSVFRELCQFIEYGIEGSTLVLQTCLDCLSSHKTDSKNLQSEQVVASIFRHAMDKPNFCTVFCQSLRSMDISENFLENFSKTLQLSLSEKIAVGLALSDSENPETRMCGKNFCMAQIEELQSNPACPDSSMQIQNMVMFLQCSSAFSKHVDSFMQMLSLVQAKDVAQFVLTPILSDELREANFLRNIDFFDESEENDFDALLAEMEKEMSMGDIIKELGYGCTTDAAHCKEILSLYLPLTEVTISRILGAITRTYVGLEDNQIAFSTFSLALGCGNSLDLPPLSSWNVDVLIKTIKQLAPNTNWVQVIEHLDHEGFYIPNETAFTFFMSVYQHSCQEPFPLHAVCGSVWKNIEGQLSFLKYAVEAPPEVFTFAHSVRQLDYAEAVHGHKLQIGNGNHAWLCLDLLDVLCQLAERGHASFVRSMLDYSLKHCPEILLIGMAHVNTAYNLLQHDVTSSVFLMIIKNAVGAGTILQLWHVNPKVVLRGFVEVQNTEPDSMIRILDICQELNILSSVLEMMPFPSAIRLAVLASRKEVIDFEKWLSSILNMYKDVFFEECLKFLKEIQFGGSQEFSAKPFHHTTAVLNLYLEASTTFFKILKANSGSITSTQLLEEMERLHVTIMDSTSKLQNGGTTVSSPSDGYGDEIEAEANSYFHQMFSGQLTIDAMVEMLSRFKESSVKREQSIFECMIANLFEEYRFFHKYPERQLKIAAVLFGSVIKQQLVTHLTLGIALRGVLDALRKPADSKMFLFGTKALEQFVDRLIEWPQYCNHILQISHMRATHSELVAFIERALARISSGHLESTGSNNLSVHHQVSSQVTPGNGELNSSSIIQSGPQLSSPLRLPRHDSSLDDRNKASAASSNDVKPLLPSVGQPSVASLSDASSIQKPQNAVTSASMLSASPGFVRPSRGVTSTRFGSALNIETLVAAAERRETPIEAPTSDIQDKISFIINNISVANIEAKGKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIIQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILALLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDITPTSLLKDRKRELEGNPDFSNKDVGASQPQMVPEAKTGIISPLNHVDIPLEVASPPNPGGHTHLLSQYAGPLRLSSGALVEDEKLAALGLSDQLPSAQGLFQASPSQSPFSVSQLSTPIPNIGTHVIINQKLSALGLHLHFQRVVPIAMDRAIKEIVAGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRGSISSQLRSSLQGLNVGSDLLEQAVQLVTNDNLDLGCAVIEQAATDKAIQTIDGEIANQLALRRKHRDPAFFDPSMYGQGSMGVVPEALRPKPGHLTVSQQRVYEDFVRLPWQNQSGQTTHTMSAGPSTSPGDTGLTGTFGSTSGQVTPGYTSGPGNLGQADVASEAIETTSASLLSVPSVHIGSGTGLTQQTTENDPLNASFPSTTAAPELLSVETTDAVKEFGPTSQSLPSPAATERLGSSISETSLSTRDALDKYQIVAQKLENLVTSDGREADIQGVISEVPEIILRCVSRDEAALAVAQKVFKGLYENASNSLHVSAHLAILAAVRDVCKLAVKELTSWVIYSEDERKFNKDITVGLIRSELLNLAEYNVHMAKYIDGGRNKAATEFAVSLLQTLVSDESRVISELHNLVDALAKVASKPGAPESLQQLIEMIRNPSASMAALSSATVAKEDKAKQSRDKKGPSHAPANREDNSSMEALEPDPAGFKEQVSMLFAEWYQICELPGANDGPCNHYILQLYQNGLLKGDDMTERFFRIITELSVAHCLSSEVMSSGALQSPQQAQTLSFLAIDIYAKLVLAILKYCPVEQGSSKLFLMSKILTVTVRFIQKDAEDKKASFNPRPYFRLFINWLLDLGSLDPVTDGANFQILTAFANAFHALQPLKVPSFCFAWLELVSHRTFMPKLLTGNSQKGWPYIQRLLVDLLQFLEPFLRNAELGVPVHFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIRESPRILSEVDAALKAKQMKADVDEYLKTRPQGGCSFLTELKQRLLLSPSEAASAGTRYNVPLINSLVLYVGMQAIQQLQSRVPHAQATANTVPMSVFLPYTLLLLHLAILVC >KJB49219 pep chromosome:Graimondii2_0_v6:8:33590387:33607079:-1 gene:B456_008G107100 transcript:KJB49219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELSSTLSSHVRFLLQSLTEANADSVFRELCQFIEYGIEGSTLVLQTCLDCLSSHKTDSKNLQSEQVVASIFRHAMDKPNFCTVFCQSLRSMDISENFLENFSKTLQLSLSEKIAVGLALSDSENPETRMCGKNFCMAQIEELQSNPACPDSSMQIQNMVMFLQCSSAFSKHVDSFMQMLSLVQAKDVAQFVLTPILSDELREANFLRNIDFFDESEENDFDALLAEMEKEMSMGDIIKELGYGCTTDAAHCKEILSLYLPLTEVTISRILGAITRTYVGLEDNQIAFSTFSLALGCGNSLDLPPLSSWNVDVLIKTIKQLAPNTNWVQVIEHLDHEGFYIPNETAFTFFMSVYQHSCQEPFPLHAVCGSVWKNIEGQLSFLKYAVEAPPEVFTFAHSVRQLDYAEAVHGHKLQIGNGNHAWLCLDLLDVLCQLAERGHASFVRSMLDYSLKHCPEILLIGMAHVNTAYNLLQHDVTSSVFLMIIKNAVGAGTILQLWHVNPKVVLRGFVEVQNTEPDSMIRILDICQELNILSSVLEMMPFPSAIRLAVLASRKEVIDFEKWLSSILNMYKDVFFEECLKFLKEIQFGGSQEFSAKPFHHTTAVLNLYLEASTTFFKILKANSGSITSTQLLEEMERLHVTIMDSTSKLQNGGTTVSSPSDGYGDEIEAEANSYFHQMFSGQLTIDAMVEMLSRFKESSVKREQSIFECMIANLFEEYRFFHKYPERQLKIAAVLFGSVIKQQLVTHLTLGIALRGVLDALRKPADSKMFLFGTKALEQFVDRLIEWPQYCNHILQISHMRATHSELVAFIERALARISSGHLESTGSNNLSVHHQVSSQVTPGNGELNSSSIIQSGPQLSSPLRLPRHDSSLDDRNKASAASSNDVKPLLPSVGQPSVASLSDASSIQKPQNAVTSASMLSASPGFVRPSRGVTSTRFGSALNIETLVAAAERRETPIEAPTSDIQDKISFIINNISVANIEAKGKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIIQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILALLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDITPTSLLKDRKRELEGNPDFSNKDVGASQPQMVPEAKTGIISPLNHVDIPLEVASPPNPGGHTHLLSQYAGPLRLSSGALVEDEKLAALGLSDQLPSAQGLFQASPSQSPFSVSQLSTPIPNIGTHVIINQKLSALGLHLHFQRVVPIAMDRAIKEIVAGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRGSISSQLRSSLQGLNVGSDLLEQAVQLVTNDNLDLGCAVIEQAATDKAIQTIDGEIANQLALRRKHRDPAFFDPSMYGQGSMGVVPEALRPKPGHLTVSQQRVYEDFVRLPWQNQSGQTTHTMSAGPSTSPGDTGLTGTFGSTSGQVTPGYTSGPGNLGQADVASEAIETTSASLLSVPSVHIGSGTGLTQQTTENDPLNASFPSTTAAPELLSVETTDAVKEFGPTSQSLPSPAATERLGSSISETSLSTRDALDKYQIVAQKLENLVTSDGREADIQGVISEVPEIILRCVSRDEAALAVAQKVFKGLYENASNSLHVSAHLAILAAVRDVCKLAVKELTSWVIYSEDERKFNKDITVGLIRSELLNLAEYNVHMAKYIDGGRNTATEFAVSLLQTLVSDESRVISELHNLVDALAKVASKPGAPESLQQLIEMIRNPSASMAALSSATVAKEDKAKQSRDKKGPSHAPANREDNSSMEALEPDPAGFKEQVSMLFAEWYQICELPGANDGPCNHYILQLYQNGLLKGDDMTERFFRIITELSVAHCLSSEVMSSGALQSPQQAQTLSFLAIDIYAKLVLAILKYCPVEQGSSKLFLMSKILTVTVRFIQKDAEDKKASFNPRPYFRLFINWLLDLGSLDPVTDGANFQILTAFANAFHALQPLKVPSFCFAWLELVSHRTFMPKLLTGNSQKGWPYIQRLLVDLLQFLEPFLRNAELGVPVHFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIRESPRILSEVDAALKAKQMKADVDEYLKTRPQGGCSFLTELKQRLLLSPSEAASAGTRYNVPLINSLVLYVGMQAIQQLQSRVPHAQATANTVPMSVFLVSAALDIFQSLIGDLDTEGRYLFLNAIANQLRYPNSHTHYFSFILLYSFAEANQEIIQEQITRVLLERLIVNKPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPVDEGMVSGWVSETAH >KJB49217 pep chromosome:Graimondii2_0_v6:8:33590371:33607108:-1 gene:B456_008G107100 transcript:KJB49217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELSSTLSSHVRFLLQSLTEANADSVFRELCQFIEYGIEGSTLVLQTCLDCLSSHKTDSKNLQSEQVVASIFRHAMDKPNFCTVFCQSLRSMDISENFLENFSKTLQLSLSEKIAVGLALSDSENPETRMCGKNFCMAQIEELQSNPACPDSSMQIQNMVMFLQCSSAFSKHVDSFMQMLSLVQAKDVAQFVLTPILSDELREANFLRNIDFFDESEENDFDALLAEMEKEMSMGDIIKELGYGCTTDAAHCKEILSLYLPLTEVTISRILGAITRTYVGLEDNQIAFSTFSLALGCGNSLDLPPLSSWNVDVLIKTIKQLAPNTNWVQVIEHLDHEGFYIPNETAFTFFMSVYQHSCQEPFPLHAVCGSVWKNIEGQLSFLKYAVEAPPEVFTFAHSVRQLDYAEAVHGHKLQIGNGNHAWLCLDLLDVLCQLAERGHASFVRSMLDYSLKHCPEILLIGMAHVNTAYNLLQHDVTSSVFLMIIKNAVGAGTILQLWHVNPKVVLRGFVEVQNTEPDSMIRILDICQELNILSSVLEMMPFPSAIRLAVLASRKEVIDFEKWLSSILNMYKDVFFEECLKFLKEIQFGGSQEFSAKPFHHTTAVLNLYLEASTTFFKILKANSGSITSTQLLEEMERLHVTIMDSTSKLQNGGTTVSSPSDGYGDEIEAEANSYFHQMFSGQLTIDAMVEMLSRFKESSVKREQSIFECMIANLFEEYRFFHKYPERQLKIAAVLFGSVIKQQLVTHLTLGIALRGVLDALRKPADSKMFLFGTKALEQFVDRLIEWPQYCNHILQISHMRATHSELVAFIERALARISSGHLESTGSNNLSVHHQVSSQVTPGNGELNSSSIIQSGPQLSSPLRLPRHDSSLDDRNKASAASSNDVKPLLPSVGQPSVASLSDASSIQKPQNAVTSASMLSASPGFVRPSRGVTSTRFGSALNIETLVAAAERRETPIEAPTSDIQDKISFIINNISVANIEAKGKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIIQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILALLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDITPTSLLKDRKRELEGNPDFSNKDVGASQPQMVPEAKTGIISPLNHVDIPLEVASPPNPGGHTHLLSQYAGPLRLSSGALVEDEKLAALGLSDQLPSAQGLFQASPSQSPFSVSQLSTPIPNIGTHVIINQKLSALGLHLHFQRVVPIAMDRAIKEIVAGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRGSISSQLRSSLQGLNVGSDLLEQAVQLVTNDNLDLGCAVIEQAATDKAIQTIDGEIANQLALRRKHRDPAFFDPSMYGQGSMGVVPEALRPKPGHLTVSQQRVYEDFVRLPWQNQSGQTTHTMSAGPSTSPGDTGLTGTFGSTSGQVTPGYTSGPGNLGQADVASEAIETTSASLLSVPSVHIGSGTGLTQQTTENDPLNASFPSTTAAPELLSVETTDAVKEFGPTSQSLPSPAATERLGSSISETSLSTRDALDKYQIVAQKLENLVTSDGREADIQGVISEVPEIILRCVSRDEAALAVAQKVFKGLYENASNSLHVSAHLAILAAVRDVCKLAVKELTSWVIYSEDERKFNKDITVGLIRSELLNLAEYNVHMAKYIDGGRNKAATEFAVSLLQTLVSDESRVISELHNLVDALAKVASKPGAPESLQQLIEMIRNPSASMAALSSATVAKEDKAKQSRDKKGPSHAPANREDNSSMEALEPDPAGFKEQVSMLFAEWYQICELPGANDGPCNHYILQLYQNGLLKGDDMTERFFRIITELSVAHCLSSEVMSSGALQSPQQAQTLSFLAIDIYAKLVLAILKYCPVEQGSSKLFLMSKILTVTVRFIQKDAEDKKASFNPRPYFRLFINWLLDLGSLDPVTDGANFQILTAFANAFHALQPLKVPSFCFAWLELVSHRTFMPKLLTGNSQKGWPYIQRLLVDLLQFLEPFLRNAELGVHFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIRESPRILSEVDAALKAKQMKADVDEYLKTRPQGGCSFLTELKQRLLLSPSEAASAGTRYNVPLINSLVLYVGMQAIQQLQSRVPHAQATANTVPMSVFLVSAALDIFQSLIGDLDTEGRYLFLNAIANQLRYPNSHTHYFSFILLYSFAEANQEIIQEQITRVLLERLIVNKPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPVDEGMVSGWVSETAH >KJB49216 pep chromosome:Graimondii2_0_v6:8:33590368:33607254:-1 gene:B456_008G107100 transcript:KJB49216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELSSTLSSHVRFLLQSLTEANADSVFRELCQFIEYGIEGSTLVLQTCLDCLSSHKTDSKNLQSEQVVASIFRHAMDKPNFCTVFCQSLRSMDISENFLENFSKTLQLSLSEKIAVGLALSDSENPETRMCGKNFCMAQIEELQSNPACPDSSMQIQNMVMFLQCSSAFSKHVDSFMQMLSLVQAKDVAQFVLTPILSDELREANFLRNIDFFDESEENDFDALLAEMEKEMSMGDIIKELGYGCTTDAAHCKEILSLYLPLTEVTISRILGAITRTYVGLEDNQIAFSTFSLALGCGNSLDLPPLSSWNVDVLIKTIKQLAPNTNWVQVIEHLDHEGFYIPNETAFTFFMSVYQHSCQEPFPLHAVCGSVWKNIEGQLSFLKYAVEAPPEVFTFAHSVRQLDYAEAVHGHKLQIGNGNHAWLCLDLLDVLCQLAERGHASFVRSMLDYSLKHCPEILLIGMAHVNTAYNLLQHDVTSSVFLMIIKNAVGAGTILQLWHVNPKVVLRGFVEVQNTEPDSMIRILDICQELNILSSVLEMMPFPSAIRLAVLASRKEVIDFEKWLSSILNMYKDVFFEECLKFLKEIQFGGSQEFSAKPFHHTTAVLNLYLEASTTFFKILKANSGSITSTQLLEEMERLHVTIMDSTSKLQNGGTTVSSPSDGYGDEIEAEANSYFHQMFSGQLTIDAMVEMLSRFKESSVKREQSIFECMIANLFEEYRFFHKYPERQLKIAAVLFGSVIKQQLVTHLTLGIALRGVLDALRKPADSKMFLFGTKALEQFVDRLIEWPQYCNHILQISHMRATHSELVAFIERALARISSGHLESTGSNNLSVHHQVSSQVTPGNGELNSSSIIQSGPQLSSPLRLPRHDSSLDDRNKASAASSNDVKPLLPSVGQPSVASLSDASSIQKPQNAVTSASMLSASPGFVRPSRGVTSTRFGSALNIETLVAAAERRETPIEAPTSDIQDKISFIINNISVANIEAKGKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIIQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILALLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDITPTSLLKDRKRELEGNPDFSNKDVGASQPQMVPEAKTGIISPLNHVDIPLEVASPPNPGGHTHLLSQYAGPLRLSSGALVEDEKLAALGLSDQLPSAQGLFQASPSQSPFSVSQLSTPIPNIGTHVIINQKLSALGLHLHFQRVVPIAMDRAIKEIVAGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRGSISSQLRSSLQGLNVGSDLLEQAVQLVTNDNLDLGCAVIEQAATDKAIQTIDGEIANQLALRRKHRDPAFFDPSMYGQGSMGVVPEALRPKPGHLTVSQQRVYEDFVRLPWQNQSGQTTHTMSAGPSTSPGDTGLTGTFGSTSGQVTPGYTSGPGNLGQADVASEAIETTSASLLSVPSVHIGSGTGLTQQTTENDPLNASFPSTTAAPELLSVETTDAVKEFGPTSQSLPSPAATERLGSSISETSLSTRDALDKYQIVAQKLENLVTSDGREADIQGVISEVPEIILRCVSRDEAALAVAQKVFKGLYENASNSLHVSAHLAILAAVRDVCKLAVKELTSWVIYSEDERKFNKDITVGLIRSELLNLAEYNVHMAKYIDGGRNKAATEFAVSLLQTLVSDESRVISELHNLVDALAKVASKPGAPESLQQLIEMIRNPSASMAALSSATVAKEDKAKQSRDKKGPSHAPANREDNSSMEALEPDPAGFKEQVSMLFAEWYQICELPGANDGPCNHYILQLYQNGLLKGDDMTERFFRIITELSVAHCLSSEVMSSGALQSPQQAQTLSFLAIDIYAKLVLAILKYCPVEQGSSKLFLMSKILTVTVRFIQKDAEDKKASFNPRPYFRLFINWLLDLGSLDPVTDGANFQILTAFANAFHALQPLKVPSFCFAWLELVSHRTFMPKLLTGNSQKGWPYIQRLLVDLLQFLEPFLRNAELGVPVHFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIRESPRILSEVDAALKAKQMKADVDEYLKTRPQGGCSFLTELKQRLLLSPSEAASAGTRYNVPLINSLVLYVGMQAIQQLQSRVPHAQATANTVPMSVFLVSAALDIFQSLIGDLDTEGRYLFLNAIANQLRYPNSHTHYFSFILLYSFAEANQEIIQEQITRVLLERLIVNKPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPVDEGMVSGWVSETAH >KJB52280 pep chromosome:Graimondii2_0_v6:8:53702582:53707092:1 gene:B456_008G253800 transcript:KJB52280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEQADNGRDDMVIDGPGQANDVPDEFNANYLRIYYGKLFPHADMFKWMSYGNDGKHPGCDKSYFGRREFSFTLENDIYIRFQSFNSVTELENSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGDNVFTPVERELVFDIDISDYDDVRYCCTGADVCLECWPLMTIAIKVIDTSLRDDFGFNHILWVYSGRRGVHCWVCDGKARRLTNEQRAAIADYFHVYKGNENGHKKISLPGLVLYPFLARSYTEVLKGFFEAKLLPNQNLLASEERYEKILEMIPDASVASELRRRWQENKRASMSKDDINIVRWEQLKSILQSGKQKMQGLRRCVEEIVFSYTYPRLDMEVSKHMNHLLKAPFCVHPKTGRVCVPIDPNNCDEFDPTTVPTLPQLLEELNREGPRQDAENEWDRTSLGESVTFFRTSFLQPLLKSCKEEIENSYNAKLQQSKNALSW >KJB46814 pep chromosome:Graimondii2_0_v6:8:55665259:55666398:1 gene:B456_008G279400 transcript:KJB46814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative non-specific lipid-transfer protein 14 [Source:Projected from Arabidopsis thaliana (AT5G62065) UniProtKB/Swiss-Prot;Acc:Q9FIT2] MGAHRTMIRVLMLLSWATSIGGSAVECTTVTELISFCATFISNGSPDPYPGTPCCEAVTNLYMMTHSTDNRRSLCGCLMGLVTANNSNSTAIATLPGFCGVPLGFTIGPDTDCNFVP >KJB46813 pep chromosome:Graimondii2_0_v6:8:55665259:55665890:1 gene:B456_008G279400 transcript:KJB46813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative non-specific lipid-transfer protein 14 [Source:Projected from Arabidopsis thaliana (AT5G62065) UniProtKB/Swiss-Prot;Acc:Q9FIT2] MGAHRTMIRVLMLLSWATSIGGSAVECTTVTELISFCATFISNGSPDPYPGTPCCEAVTNLYMMTHSTDNRRSLCGCLMGLVTANNSNSTAIATLPGFCGVPLGFTIGPDTDCNLYVYLLCLE >KJB49316 pep chromosome:Graimondii2_0_v6:8:34402628:34404292:-1 gene:B456_008G112700 transcript:KJB49316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKGNLFKGQKKQKTIPPNRHGKVPHIRKGKRVVKPSKMTKEMDADRELTKFINHCNEIKAATVANKDGGQLSIVKPPPESSGNVKE >KJB53213 pep chromosome:Graimondii2_0_v6:8:56984346:56986986:-1 gene:B456_008G297100 transcript:KJB53213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGEPSFEELLEEKKRVRNPLVPVGALITAGVLTAGLISFRQGNSQLGQMLMRARVVVQGATVALMVGTAYYYGDNPWKSS >KJB50926 pep chromosome:Graimondii2_0_v6:8:47740114:47744391:-1 gene:B456_008G193500 transcript:KJB50926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIASIFVSPIVSKLTETVATLINEEFAAIKGSKKELEKLSSNLITIAAVLEDAEKKQMDAACGRSLKVWLSKLKDIAFDVEDILDTFATEAHLSKVRRIPFRIRMAPEIKQILTKFDLIAKEKSNFSLSVSTDAARSDSQSQNHFPLTNSFVDTTDVLGRDSDKDKIIDQMLSNESDSREGDVSVIPITGMGGLGKTTLAQLIFNNERVKEHFEYRMWVCVTIDFNLQRILRGIIEFHTQMEVSNNLSMDSLLARFKDILAGKDFLLVLDDVWVDNYQDWEPLGNILKLGGKGTRVLVTSRSTKVSDIMATQTPYTLQDLPQQECWSLFKKIAFKDNKNMSSELESIGREIVGKCNGLPLAVKAMGGLLRGNVDVDKWKGILRDSIWELEDEKSLNKPKILPALKLSYDHLPSYLKQCYSYCSIFPKAYVFDRKELVKLWMAQAFIQPRGQKSAEETGREYFDELLTRSFFQTLDIDNKERYRMHDLIHELAVSVSSPQCCQVMDHKSCVSSQQCRHVSLLCQDLESPTCKQVFKTCNKLRTLLLPSEYLKSFGGQTLDQMFRSLKYIRALDLSSSLLTELPDSVGVLKLLRYLDLSRTEIKKLPNSVCKLWNLQTLKLLGCLWLFELPKDLGKMVNLIYLELDDMFWFKCRELPPRMGNLTRLQNLHAFRVLSSTPGRGIGELKNMADLTGKLHISNLENAVNAAEAKLNQKESLQMLLLEWTDKDFNQEDEVRAERDLNHLQPHSNLKGLALHHFKGSNFPSWMTSGLLQNLRTLTLSHCIKCTTISVGQLPRLRELCIKGMLELEEWPEDQCPTLNRLQISTCPKLRKVPNLMLNLTVLKIKKCDSLKALPMAPYLMFLILIDNLVLEDWHEGTLTAVDEQRNPIGQPRPSLIGVLELKLQNCPNIQALPRIFFPQKLEIRGCVQVTSLPVSQRLQHLALEMCSSDALLREIPSTNSLYSLVISKISNLTCFPKLPHLPGLKSLYISECEDLSSLSEEEGSLKSLSSLQLLSIRGCPKLEALPDEGLPTALEGLMIGSCSSLSSLGSKQTLKSLHSLTDMYLEDCPLIQSFPEDGLPSSLKHLEIRGCPLLTEQCQEEGEERPKISHVTDLEIGSI >KJB50927 pep chromosome:Graimondii2_0_v6:8:47740237:47744284:-1 gene:B456_008G193500 transcript:KJB50927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIASIFVSPIVSKLTETVATLINEEFAAIKGSKKELEKLSSNLITIAAVLEDAEKKQMDAACGRSLKVWLSKLKDIAFDVEDILDTFATEAHLSKVRRIPFRIRMAPEIKQILTKFDLIAKEKSNFSLSVSTDAARSDSQSQNHFPLTNSFVDTTDVLGRDSDKDKIIDQMLSNESDSREGDVSVIPITGMGGLGKTTLAQLIFNNERVKEHFEYRMWVCVTIDFNLQRILRGIIEFHTQMEVSNNLSMDSLLARFKDILAGKDFLLVLDDVWVDNYQDWEPLGNILKLGGKGTRVLVTSRSTKVSDIMATQTPYTLQDLPQQECWSLFKKIAFKDNKNMSSELESIGREIVGKCNGLPLAVKAMGGLLRGNVDVDKWKGILRDSIWELEDEKSLNKPKILPALKLSYDHLPSYLKQCYSYCSIFPKAYVFDRKELVKLWMAQAFIQPRGQKSAEETGREYFDELLTRSFFQTLDIDNKERYRMHDLIHELAVSVSSPQCCQVMDHKSCVSSQQCRHVSLLCQDLESPTCKQVFKTCNKLRTLLLPSEYLKSFGGQTLDQMFRSLKYIRALDLSSSLLTELPDSVGVLKLLRYLDLSRTEIKKLPNSVCKLWNLQTLKLLGCLWLFELPKDLGKMVNLIYLELDDMFWFKCRELPPRMGNLTRLQNLHAFRVLSSTPGRGIGELKNMADLTGKLHISNLENAVNAAEAKLNQKESLQMLLLEWTDKDFNQEDEVRAERDLNHLQPHSNLKGLALHHFKGSNFPSWMTSGLLQNLRTLTLSHCIKCTTISVGQLPRLRELCIKGMLELEEWPEDQCPTLNRLQISTCPKLRKVPNLMLNLTVLKIKKCDSLKALPMAPYLMFLILIDNLVLEDWHEGTLTAVDEQRNPIGQPRPSLIGVLELKLQNCPNIQALPRIFFPQKLEIRGCVQVTSLPVSQRLQHLALEMCSSDALLREIPSTNSLYSLVISKISNLTCFPKLPHLPGLKSLYISECEDLSSLSEEEGSLKSLSSLQLLSIRGCPKLEALPDEGLPTALEGLMIGSCSSLSSLGSKQTLKSLHSLTDMYLEDCPLIQSFPEDGLPSSLKHLEIRGCPLLTEQCQEEGEERPKISHVTDLEIGSI >KJB52072 pep chromosome:Graimondii2_0_v6:8:53005969:53007943:-1 gene:B456_008G245400 transcript:KJB52072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEANKMEASEPKEDMQQQPQPQPVKEEEMENEDEAEVAAAETPPSVTEKSPNNRDSVLARVETEKRLALVKAWEENEKAKIDNKAYKKISAIGSWENTKKSAVEAQLKSIEEKLEKKKEEYAERMKNKVAQLHKQAEERRAMIEAKKGEDFLKIEETAAKFRSTGYTPKKFLGCFGS >KJB52073 pep chromosome:Graimondii2_0_v6:8:53005969:53008191:-1 gene:B456_008G245400 transcript:KJB52073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEANKMEASEPKEDMQQQPQPQPVKEEEMENEDEAGAEKKSVIIPLPQKVAAAETPPSVTEKSPNNRDSVLARVETEKRLALVKAWEENEKAKIDNKAYKKISAIGSWENTKKSAVEAQLKSIEEKLEKKKEEYAERMKNKVAQLHKQAEERRAMIEAKKGEDFLKIEETAAKFRSTGYTPKKFLGCFGS >KJB52074 pep chromosome:Graimondii2_0_v6:8:53005969:53008130:-1 gene:B456_008G245400 transcript:KJB52074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEANKMEASEPKEDMQQQPQPQPVKEEEMENEDEAGAEKKSVIIPLPQKVAAAETPPSVTEKSPNNRDSVLARVETEKRLALVKAWEENEKAKIDNKAYKKISAIGSWENTKKSAVEAQLKSIEEKLEKKKEEYAERMKNKVAQLHKQAEERRAMIEAKKGEDFLKIEETAAKFRSTGYTPKKFLGCFGS >KJB52071 pep chromosome:Graimondii2_0_v6:8:53005969:53007943:-1 gene:B456_008G245400 transcript:KJB52071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEANKMEASEPKEDMQQQPQPQPVKEEEMENEDEAGAEKKKTPPSVTEKSPNNRDSVLARVETEKRLALVKAWEENEKAKIDNKAYKKISAIGSWENTKKSAVEAQLKSIEEKLEKKKEEYAERMKNKVAQLHKQAEERRAMIEAKKGEDFLKIEETAAKFRSTGYTPKKFLGCFGS >KJB52070 pep chromosome:Graimondii2_0_v6:8:53005947:53007943:-1 gene:B456_008G245400 transcript:KJB52070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEANKMEASEPKEDMQQQPQPQPVKEEEMENEDEAGAEKKSVIIPLPQKVAAAETPPSVTEKSPNNRDSVLARVETEKRLALVKAWEENEKAKIDNKAYKKISAIGSWENTKKSAVEAQLKSIEEKLEKKKEEYAERMKNKVAQLHKQAEERRAMIEAKKGEDFLKIEETAAKFRSTGYTPKKFLGCFGS >KJB48923 pep chromosome:Graimondii2_0_v6:8:23646372:23647974:-1 gene:B456_008G094000 transcript:KJB48923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDNPSVKPAAKDENQSSGNRKTGSTRAAQDQQAALKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKKLKPSSRLSSDSKDSISSSEMGGGLKFFHGLSPAMDFQLGGLSLPRLNSSPPAIYNQFASFGDTDTPPPTSFTLDPSGSSTSLMGFNNYPLSSLTPGLSGAILEMGSLNVSSGLASSIESLSSINQDLHWKLQQQRLAMLFGGGGENQKENTITTTACSVPVENQPQRLQPILFHNLEISKPEISSVENPRKATTNENATEWFFGNSYAPVTPTPTTSSNGNDNTTTSNWNGVQAWNDLHHYSTLP >KJB47166 pep chromosome:Graimondii2_0_v6:8:1568035:1569361:1 gene:B456_008G013600 transcript:KJB47166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIMQSFQKSRSLPLSQTQSKEQAALPALRRRLSSLSLKLHPSISSPSAPSWAFPRSKSLSSMGDYAGSSIRKWWDWGWSWVLSRKPMFAQDLEMNEEETRVLGCHNKGSWRHVFYKLRSEIKKRMGSDKVGLPQTCRCDSLSYSKNFGVGNKIYG >KJB51991 pep chromosome:Graimondii2_0_v6:8:52761914:52767212:1 gene:B456_008G241500 transcript:KJB51991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEIEAVLDLREIDLNYEFDAARFFDFTSEESPVEAREAELWFESAPSYPPSPFVTKLVIREESLLENVTTSPKCDEDTNTLHESDPENMMALGFSAVCTINKGNEGTKGGISAHIQKILQNALNKPFQLTSGLTTYNHLPSDKLKTRPKSVKPVPRSSTLMKPTASQLAKQNRPTQVATSRFQKLLVLNSNRSLGNSSVVESQAAKRQKLEGGLLHKVEEVKQQTTLVHKAPKKDGTKDRNAINTKLRLTIPREPELETAHRAQRIRPKNDTEEEHVTSVTHRFKARPLNRKILEAPSLPLPKKSVPKLPEFQEFHLKTQERAVHLSSAVFSSSTHTNAVDKGFEKPCIISANGNETREARRPSFMDATSQDVCDKKYNFKARPLNRKIFSSKGDIGVFRNIKRETTVPMEFNFHTEKRVPQNPPIELFSKLSLTAELQPSNGSQMTSSRPTFTSTKVILGSKENRLTSFQPENEMRYLAKEKTLLWGR >KJB51994 pep chromosome:Graimondii2_0_v6:8:52762560:52765842:1 gene:B456_008G241500 transcript:KJB51994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEIEAVLDLREIDLNYEFDAARFFDFTSEESPVEAREAELWFESAPSYPPSPFVTKLVIREESLLENVTTSPKCDEDTNTLHESDPENMMALGFSAVCTINKGNEGTKGGISAHIQKILQNALNKPFQLTSGLTTYNHLPSDKLKTRPKSVKPVPRSSTLMKPTASQLAKQNRPTQVATSRFQKLLVLNSNRSLGNSSVVESQAAKRQKLEGGLLHKVEEVKQQTTLVHKAPKKDGTKDRNAINTKLRLTIPREPELETAHRAQRIRPKNDTEEEHVTSVTHRFKARPLNRKILEAPSLPLPKKSVPKLPEFQEFHLKTQERAVHLSSAVFSSSTHTNAVDKGFEKPCIISANGNETREARRPSFMDATSQDVCDKKYNFKARPLNRKIFSSKGDIGVFRNIKRETTVPMV >KJB51992 pep chromosome:Graimondii2_0_v6:8:52762080:52767071:1 gene:B456_008G241500 transcript:KJB51992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEIEAVLDLREIDLNYEFDAARFFDFTSEESPVEAREAELWFESAPSYPPSPFVTKLVIREESLLENVTTSPKCDEDTNTLHESDPENMMALGFSAVCTINKGNEGTKGGISAHIQKILQNALNKPFQLTSGLTTYNHLPSDKLKTRPKSVKPVPRSSTLMKPTASQLAKQNRPTQVATSRFQKLLVLNSNRSLGNSSVVESQAAKRQKLEGGLLHKVEEVKQQTTLVHKAPKKDGTKDRNAINTKLRLTIPREPELETAHRAQRIRPKNDTEEEHVTSVTHRFKARPLNRKILEAPSLPLPKKSVPKLPEFQEFHLKTQERAVHLSSAVFSSSTHTNAVDKGFEKPCIISANGNETREARRPSFMDATSQDVCDKKYNFKARPLNRKIFSSKGDIGVFRNIKRETTVPMEFNFHTEKRVPQNPPIELFSKLSLTAELQPSNGSQMTSSRPTFTSTKVILGSKENRLTSFQPENEMRYLAKEKTLLWGR >KJB51995 pep chromosome:Graimondii2_0_v6:8:52762557:52767058:1 gene:B456_008G241500 transcript:KJB51995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEIEAVLDLREIDLNYEFDAARFFDFTSEESPVEAREAELWFESAPSYPPSPFVTKLVIREESLLENVTTSPKCDEDTNTLHESDPENMMALGFSAVCTINKGNEGTKGGISAHIQKILQNALNKPFQLTSGLTTYNHLPSDKLKTRPKSVKPVPRSSTLMKPTASQLAKQNRPTQVATSRFQKLLVLNSNRSLGNSSVVESQAAKRQKLEGGLLHKVEEVKQQTTLVHKAPKKDGTKDRNAINTKLRLTIPREPELETAHRAQRIRPKNDTEEEHVTSVTHRFKARPLNRKILEAPSLPLPKKSVPKLPEFQEFHLKTQERAVHLSSAVFSSSTHTNAVDKGFEKPCIISANGNETREARRPSFMDATSQDVCDKKYNFKARPLNRKIFSSKGDIGVFRNIKRETTVPMEFNFHTEKRVPQNPPIELFSKLSLTAELQPSNGSQMTSSRPTFTSTKVILVCQLYFLFSTYKFSIFLSVVFI >KJB51993 pep chromosome:Graimondii2_0_v6:8:52762548:52767058:1 gene:B456_008G241500 transcript:KJB51993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALGFSAVCTINKGNEGTKGGISAHIQKILQNALNKPFQLTSGLTTYNHLPSDKLKTRPKSVKPVPRSSTLMKPTASQLAKQNRPTQVATSRFQKLLVLNSNRSLGNSSVVESQAAKRQKLEGGLLHKVEEVKQQTTLVHKAPKKDGTKDRNAINTKLRLTIPREPELETAHRAQRIRPKNDTEEEHVTSVTHRFKARPLNRKILEAPSLPLPKKSVPKLPEFQEFHLKTQERAVHLSSAVFSSSTHTNAVDKGFEKPCIISANGNETREARRPSFMDATSQDVCDKKYNFKARPLNRKIFSSKGDIGVFRNIKRETTVPMEFNFHTEKRVPQNPPIELFSKLSLTAELQPSNGSQMTSSRPTFTSTKVILGSKENRLTSFQPENEMRYLAKEKTLLWGR >KJB49480 pep chromosome:Graimondii2_0_v6:8:35984060:35993150:1 gene:B456_008G121300 transcript:KJB49480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHGAGCTSAVNNSAIGGASARDTSRADSSSLPPNFQFNSRRQSQLASYKLKCDKEPLNCRLGPPDFHPQSQTCPEETLTRENVQQGYKDTINGLEDSKEISLTQIQAFTKPVVLKCRDAIRKCLRAINESRAQKRKAGQVYGIPLSGSLLSKPGVFPEQRPCSEDFRKKWIEGLSQQNKSLCSLADQVPLGYKNRTLIEVLIRNNVPLLRATWFIKVTYLNQVRPGSAISTGSLDKAQLSRTELWTKDVIDYLQHLLDEIFSRNNSHFTQHGRDRLPQMHNAASLQHRSAPASATLDGEEPSLQFKWLYVVRLLQWHHAEGLVLPSLIIDWVLNQLQEKELLEILQLLLPIVYGVLETVILCQTYVRNLVAIAIRFIREPSPGGADLVDNSRRAYTVSALVEMLRYLIQAVPDTFVALDCFPLPTCVVSHAFSDGGFLSKSSDDAGKIKSNSADAYVVKVKGFDSQYQSLSFDHVVSTIHKCADNLVKGASAGYPSQSMAKAVQTLDKALLHGDLIEAYKHIFDDLCDGAVGEGWVAEVSPCLRSSLKWLQTVNLSLICSVFFLCEWATCDFRDFRTAPPHNLKFTGRKDFSQIYLAIHLLKLKRKEWQNPEYKKGRASGLYSTAKNTSYQNNYSRRNLLGNIYEAKSNGKYVNGRSSSSSDIFDSPGPLHDIIVCWIDQHEGLKGEGGKRLQLLILELIGSGIFYPQAYVRQLIVSGIIDISRPMADLDRRKRHHRILKQLPGQYMLDILEEARIAKGSELLEAVNVYSNERRLALHNLLFDQHNCANTSHVSTNDKKSHSTSGRDGAFQVSGDQWKTLQSSKTFRRDVDLEGLKASISVLLQFPSLSSTSADSGVEESQGSSKRSVGSTCNKPDMFEGAPGYEDCKRVKRQKLSEDKSLYLQAPSPIPSDDEDTWWMRKGQKNIESFKSDPPHKSTKQVSRGRQKTVRKTQSLAQLAAARIEGSQGASTSHICDNKINCSHHRTEVETLKPVDGIRTTHFGDIVSIEKGLKQLRFVEKRIVMVWLISVVKQLVEESEKSVAKAGQYGRPFIAADEKSPLRWKLGEDELSVILHLMDVSCDSVSAIKFLLWLLPIVSSNPGPTVHSGRNSLRVPRNVDNCACAVGEAYLLSSLRRYENILIAADLVPEALSAIMLRAAAIMATNGRVTGSGTLVFALYLLKKYGKVASVIEWEKKYKGACDKRLFSELESGQQEGDFGFPFGVPGGIEDPDDYFRKKITGGRFSRVGLSMRDMVQRHVDDVLHSILGKERKLVAAGAPKTPAVEKGGDGYQVAQQIIMGLMDCIRQTGGAAQEGDPGLVSSAVSAIVGNVGSTLAKIPDFTGGSNYSNYQPSISSLSFAKRILRIHLICLCLLKEALGERQSRAFEVALGTEASSALAVAFAPAKSSRGQFKLSPDAPESSANISGDNLNSSAKSTLGRTTKMSAAISALLLGAIVHGVISLERMVTVLRLKEGLDVVQFVRSTKTSSNGNARSVGAFKLDNSFEIYVHWFRLFVGNCRSVCDGLVLELLGEQPIVALSRMQRLLPINLVFPPAYAIFAFVIWKPFILSSNIASREDIHQLYQSLTMAIGDAVKHIPFRDVCMRDTRGFYDIIAADTTDSEFAALLELNGLDTHLKSMAFIPLRARLFLNAIIDCKMPYSAFTHDEGNRVSGHGESKALRGENDSKQGKLIRALDALQPAKFHWQWVELRLLLNEQALIDKMENHDMSLVDALRSSSPSSERASPSENEKVFIEIILTRLLVRPDAAPLFSEVVHLFGRSLEDSLLMQAKWFLGGMDVLLGRKTVRQRLINIAETKNLSTKTQFWKPWGWSYSGGDPVTNRGEKKNEATFLEEGEVIEEAVESKKCAKGSQIDVEGSNISQQHVTEKAFIKLILPCIDQSSANSRNTFANDLIKQFSTIEQQVKLVTRGISKQTGTASSIEVSTNKSNSRKSIRGASPGLARRTMAPAESVPPPPAALRASMSLRLQFIVRLLPIICADGEPSSRNMRHMLASVIFRLLGSRVVHEDVDLSFNFKQLKRDAELVSSIASSEIYRDSLFDRLLLVLHGLLSSCQPSWLRSKTANDYSGFDHEALESFQVWSLSFPC >KJB49481 pep chromosome:Graimondii2_0_v6:8:35983101:35994481:1 gene:B456_008G121300 transcript:KJB49481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHGAGCTSAVNNSAIGGASARDTSRADSSSLPPNFQFNSRRQSQLASYKLKCDKEPLNCRLGPPDFHPQSQTCPEETLTRENVQQGYKDTINGLEDSKEISLTQIQAFTKPVVLKCRDAIRKCLRAINESRAQKRKAGQVYGIPLSGSLLSKPGVFPEQRPCSEDFRKKWIEGLSQQNKSLCSLADQVPLGYKNRTLIEVLIRNNVPLLRATWFIKVTYLNQVRPGSAISTGSLDKAQLSRTELWTKDVIDYLQHLLDEIFSRNNSHFTQHGRDRLPQMHNAASLQHRSAPASATLDGEEPSLQFKWLYVVRLLQWHHAEGLVLPSLIIDWVLNQLQEKELLEILQLLLPIVYGVLETVILCQTYVRNLVAIAIRFIREPSPGGADLVDNSRRAYTVSALVEMLRYLIQAVPDTFVALDCFPLPTCVVSHAFSDGGFLSKSSDDAGKIKSNSADAYVVKVKGFDSQYQSLSFDHVVSTIHKCADNLVKGASAGYPSQSMAKAVQTLDKALLHGDLIEAYKHIFDDLCDGAVGEGWVAEVSPCLRSSLKWLQTVNLSLICSVFFLCEWATCDFRDFRTAPPHNLKFTGRKDFSQIYLAIHLLKLKRKEWQNPEYKKGRASGLYSTAKNTSYQNNYSRRNLLGNIYEAKSNGKYVNGRSSSSSDIFDSPGPLHDIIVCWIDQHEGLKGEGGKRLQLLILELIGSGIFYPQAYVRQLIVSGIIDISRPMADLDRRKRHHRILKQLPGQYMLDILEEARIAKGSELLEAVNVYSNERRLALHNLLFDQHNCANTSHVSTNDKKSHSTSGRDGAFQVSGDQWKTLQSSKTFRRDVDLEGLKASISVLLQFPSLSSTSADSGVEESQGSSKRSVGSTCNKPDMFEGAPGYEDCKRVKRQKLSEDKSLYLQAPSPIPSDDEDTWWMRKGQKNIESFKSDPPHKSTKQVSRGRQKTVRKTQSLAQLAAARIEGSQGASTSHICDNKINCSHHRTEVETLKPVDGIRTTHFGDIVSIEKGLKQLRFVEKRIVMVWLISVVKQLVEESEKSVAKAGQYGRPFIAADEKSPLRWKLGEDELSVILHLMDVSCDSVSAIKFLLWLLPIVSSNPGPTVHSGRNSLRVPRNVDNCACAVGEAYLLSSLRRYENILIAADLVPEALSAIMLRAAAIMATNGRVTGSGTLVFALYLLKKYGKVASVIEWEKKYKGACDKRLFSELESGQQEGDFGFPFGVPGGIEDPDDYFRKKITGGRFSRVGLSMRDMVQRHVDDVLHSILGKERKLVAAGAPKTPAVEKGGDGYQVAQQIIMGLMDCIRQTGGAAQEGDPGLVSSAVSAIVGNVGSTLAKIPDFTGGSNYSNYQPSISSLSFAKRILRIHLICLCLLKEALGERQSRAFEVALGTEASSALAVAFAPAKSSRGQFKLSPDAPESSANISGDNLNSSAKSTLGRTTKMSAAISALLLGAIVHGVISLERMVTVLRLKEGLDVVQFVRSTKTSSNGNARSVGAFKLDNSFEIYVHWFRLFVGNCRSVCDGLVLELLGEQPIVALSRMQRLLPINLVFPPAYAIFAFVIWKPFILSSNIASREDIHQLYQSLTMAIGDAVKHIPFRDVCMRDTRGFYDIIAADTTDSEFAALLELNGLDTHLKSMAFIPLRARLFLNAIIDCKMPYSAFTHDEGNRVSGHGESKALRGENDSKQGKLIRALDALQPAKFHWQWVELRLLLNEQALIDKMENHDMSLVDALRSSSPSSERASPSENEKVFIEIILTRLLVRPDAAPLFSEVVHLFGRSLEDSLLMQAKWFLGGMDVLLGRKTVRQRLINIAETKNLSTKTQFWKPWGWSYSGGDPVTNRGEKKNEATFLEEGEVIEEAVESKKCAKGSQIDVEGSNISQQHVTEKAFIKLILPCIDQSSANSRNTFANDLIKQFSTIEQQVKLVTRGISKQTGTASSIEVSTNKSNSRKSIRGASPGLARRTMAPAESVPPPPAALRASMSLRLQFIVRLLPIICADGEPSSRNMRHMLASVIFRLLGSRVVHEDVDLSFNFKQLKRDAELVSSIASSEIYRDSLFDRLLLVLHGLLSSCQPSWLRSKTANDYSGFDHEALESFQNELDSMQLPEIIRWRIQAAMPILFPSFRNVISCHTPSVPVGALSALQSSIYIPESCNGTLNAPQRQVASARTANNIPGKAKSMPSLQEYDMEIDPWTLLEDGAGSSLSSSGTIVIGGSDRANLRASSWLKGAVRVRRTDLTYTGAVDDGS >KJB50584 pep chromosome:Graimondii2_0_v6:8:45478417:45481259:1 gene:B456_008G178000 transcript:KJB50584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAVFSKVLSLFASFATKGSHVGSRHIRTHMKHDSFAETEEESADMPINRLISTANPRNIKRFVVSDAGGQSAAYGLRENPKKTKRFSDSGNGSSLKEMLVCKECGKGFRSLKALCGHMSCHSDNGEKQKVIMDSQSDTETSAPSKRRSRRGTPKTIGVYRNNNSENLGNGSSSVSEIVQEQEEVAMCLMMLSRDSGCRKRMNSAADSSDNNSVVLEDKSSSIDVTITIKNDMNRGGLLKMTKQRDNKLKKSPESDPSSENSDSGYFRNGPKKVESDDSVDGFLKNNEFKKPNIESGSGFRDRDATYSKCLSKFKSVKTGSPKYDLRKNGKNDYYSPQKGSKYECLTCNKTFDSHRALGGHRASHTKVNGYNESIQESYEANDSFTAPMTDTKVTKASSNGKRLGVPRGSSYNAEKRLGSKKNKGHECPFCFRVFKSGQALGGHKRSHFVGGSDDRTLVIKQDSSDMPALIDLNLPAPVEEDAFGNAAGFMP >KJB50586 pep chromosome:Graimondii2_0_v6:8:45478701:45480856:1 gene:B456_008G178000 transcript:KJB50586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHDSFAETEEESADMPINRLISTANPRNIKRFVVSDAGGQSAAYGLRENPKKTKRFSDSGNGSSLKEMLVCKECGKGFRSLKALCGHMSCHSDNGEKQKVIMDSQSDTETSAPSKRRSRRGTPKTIGVYRNNNSENLGNGSSSVSEIVQEQEEVAMCLMMLSRDSGCRKRMNSAADSSDNNSVVLEDKSSSIDVTITIKNDMNRGGLLKMTKQRDNKLKKSPESDPSSENSDSGYFRNGPKKVESDDSVDGFLKNNEFKKPNIESGSGFRDRDATYSKCLSKFKSVKTGSPKYDLRKNGKNDYYSPQKGSKYECLTCNKTFDSHRALGGHRASHTKVNGYNESIQESYEANDSFTAPMTDTKVTKASSNGKRLGVPRGSSYNAEKRLGSKKNKGHECPFCFRVFKSGQALGGHKRSHFVGGSDDRTLVIKQDSSDMPALIDLNLPAPVEEDAFGNAAGFMP >KJB50585 pep chromosome:Graimondii2_0_v6:8:45478417:45481259:1 gene:B456_008G178000 transcript:KJB50585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAVFSKVLSFATKGSHVGSRHIRTHMKHDSFAETEEESADMPINRLISTANPRNIKRFVVSDAGGQSAAYGLRENPKKTKRFSDSGNGSSLKEMLVCKECGKGFRSLKALCGHMSCHSDNGEKQKVIMDSQSDTETSAPSKRRSRRGTPKTIGVYRNNNSENLGNGSSSVSEIVQEQEEVAMCLMMLSRDSGCRKRMNSAADSSDNNSVVLEDKSSSIDVTITIKNDMNRGGLLKMTKQRDNKLKKSPESDPSSENSDSGYFRNGPKKVESDDSVDGFLKNNEFKKPNIESGSGFRDRDATYSKCLSKFKSVKTGSPKYDLRKNGKNDYYSPQKGSKYECLTCNKTFDSHRALGGHRASHTKVNGYNESIQESYEANDSFTAPMTDTKVTKASSNGKRLGVPRGSSYNAEKRLGSKKNKGHECPFCFRVFKSGQALGGHKRSHFVGGSDDRTLVIKQDSSDMPALIDLNLPAPVEEDAFGNAAGFMP >KJB47492 pep chromosome:Graimondii2_0_v6:8:3397447:3400646:1 gene:B456_008G028700 transcript:KJB47492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNSSRKRQRPPHHHASAIVEAFPHKTISEFSQKLPRTSFSFNDPSTADVILRFFIDRSPFDSSNPSDSARHSDLQIYLHSRVIFRAKYFSALLSDRWQHQANNHNAGHDSRTIANCNNMFHLNLGVHDDPTVHLTVLQLLYTYDFPTVIASASTALEILPVALELLFEDCVKACVKFLEAVPWSEEEEKRVLSLIPLLREEESKELLARVSPGEDDFYEEMLHGLIEKAMYSQPNMAFVKVFVAKLLRDFSSRESARRVLERAFDANLRIVKESLEQYSSPDFKGDHNETEAIQRLHLHTAMTNGRHLLWLVERMIELKVADLAVKQWSEQAAFTVDLQKAFRDDAWRNIVPGLPAVMLRCTCKLASAVAAGTILSSRQVRMKLVKDWLPVLISSKDNVSPMLPSHKTLYLELEETFLRIISTLPMSDAQVLLQQCLSFSTRNVEDCPHLVTAFNTWFRRARQPPQMENLG >KJB47493 pep chromosome:Graimondii2_0_v6:8:3397605:3398774:1 gene:B456_008G028700 transcript:KJB47493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNSSRKRQRPPHHHASAIVEAFPHKTISEFSQKLPRTSFSFNDPSTADVILRFFIDRSPFDSSNPSDSARHSDLQIYLHSRVIFRAKYFSALLSDRWQHQANNHNAGHDSRTIANCNNMFHLNLGVHDDPTVHLTVLQLLYTYDFPTVIASASTALEILPVALELLFEDCVKACVKFLEAVPWSEEEEKRVLSLIPLLREEESKELLARVSPGEDDFYEEMLHGLIEKAMYSQPNMAFVKVFVAKLLRDFSSRESARRVLERAFDANLRIVKESLEQYSSPDFKGDHNETEAIQRLHLHTAMTNGRHLLWLVERMIELKVADLAVKQWSEQAAFTVDLQKAFRDDAWRNIVPGLPAVMLRCTCKLASAVAAGTILSSRQVLLLLQFI >KJB47491 pep chromosome:Graimondii2_0_v6:8:3397447:3400284:1 gene:B456_008G028700 transcript:KJB47491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNSSRKRQRPPHHHASAIVEAFPHKTISEFSQKLPRTSFSFNDPSTADVILRFFIDRSPFDSSNPSDSARHSDLQIYLHSRVIFRAKYFSALLSDRWQHQANNHNAGHDSRTIANCNNMFHLNLGVHDDPTVHLTVLQLLYTYDFPTVIASASTALEILPVALELLFEDCVKACVKFLEAVPWSEEEEKRVLSLIPLLREEESKELLARVSPGEDDFYEEMLHGLIEKAMYSQPNMAFVKVFVAKLLRDFSSRESARRVLERAFDANLRIVKESLEQYSSPDFKGDHNETEAIQRLHLHTAMTNGRHLLWLVERMIELKVADLAVKQWSEQAAFTVDLQKAFRDDAWRNIVPGLPAVMLRCTCKLASAVAAGTILSSRQSLLDRVQVRSAC >KJB52018 pep chromosome:Graimondii2_0_v6:8:52799572:52800211:1 gene:B456_008G242300 transcript:KJB52018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRKSHGYQLVSPVLGLLAYNAGTDVSSGTPFEVGILARENNPIKINFSNVITNTTSSRRGIRPLCASFGGDGKVKLKNQVSPNVCVATRHGHFGLLIELPPSMPVRKRISRWKLAVGSSIGAALGAFLLGLLLVSMLVKVKKKARMEELERRAYEEEALQVSMVGHFRAPTASVTRTTPTIEHEYTPYPS >KJB52020 pep chromosome:Graimondii2_0_v6:8:52798799:52800348:1 gene:B456_008G242300 transcript:KJB52020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFFSLTLIILSWVSLLSTEAEAEGIRSARLLDLLIRDNIVKSFDKHLKTGYQLVSPVLGLLAYNAGTDVSSGTPFEVGILARENNPIKINFSNVITNTTSSRRGIRPLCASFGGDGKVKLKNQVSPNVCVATRHGHFGLLIELPPSMPVRKRISRWKLAVGSSIGAALGAFLLGLLLVSMLVKVKKKARMEELERRAYEEEALQVSMVGHFRAPTASVTRTTPTIEHEYTPYPS >KJB52019 pep chromosome:Graimondii2_0_v6:8:52798837:52800332:1 gene:B456_008G242300 transcript:KJB52019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFFSLTLIILSWVSLLSTEAEAEGIRSARLLDLLIRDNIVKSFDKHLKTGTVQTVNLPANFSGIKVETARFRCGSLHRYGAQVKEFYLGKGVNVQPCAERVMVVRQNLGSNWSSIYYANYNLSGYQLVSPVLGLLAYNAGTDVSSGTPFEVGILARENNPIKINFSNVITNTTSSRRGIRPLCASFGGDGKVKLKNQVSPNVCVATRHGHFGLLIELPPSMPVRKRISRWKLAVGSSIGAALGAFLLGLLLVSMLVKVKKKARMEELERRAYEEEALQVSMVGHFRAPTASVTRTTPTIEHEYTPYPS >KJB50389 pep chromosome:Graimondii2_0_v6:8:44022224:44027878:1 gene:B456_008G168300 transcript:KJB50389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISGEAAEIGSNGRSDSPVRMSLTTAVVAPPLAVSASFKESGGKGSSRRKVVRPSFDADNEFITLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRMSERQREKAVEELTDELSRMEEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAITERTAKSEAQLKEKYQLRLRVLEESLRGSSNSVSRSTSDGRSMSNGPSRRQSLGGADSFSKLASNGFLPKRSPSFQLRSSLSSSTVLKHAKGTSKSFDGGTRSLDRAKTLFNGSGSNISFNQPSEGTMEGEAPSTAIPDDFQPVDKEDNVPGVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVSAMRVEKEHENRATRFGNSKGSAAQLLSGRNASRSGLTRSTQ >KJB50391 pep chromosome:Graimondii2_0_v6:8:44022322:44027862:1 gene:B456_008G168300 transcript:KJB50391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISGEAAEIGSNGRSDSPVRMSLTTAVVAPPLAVSASFKESGGKGSSRRKVVRPSFDADNEFITLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRMSERQREKAVEELTDELSRMEEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAITERTAKSEAQLKEKYQLRLRVLEESLRGSSNSVSRSTSDGRSMSNGPSRRQSLGGADSFSKLASNGFLPKRSPSFQLRSSLSSSTVLKHAKGTSKSFDGGTRSLDRAKTLFNGSGSNISFNQPSEGTMEGEAPSTAIPDDFQPVDKEDNVPGVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEVDTLYFQVDVILFYHSG >KJB50390 pep chromosome:Graimondii2_0_v6:8:44022322:44026384:1 gene:B456_008G168300 transcript:KJB50390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISGEAAEIGSNGRSDSPVRMSLTTAVVAPPLAVSASFKESGGKGSSRRKVVRPSFDADNEFITLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRMSERQREKAVEELTDELSRMEEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAITERTAKSEAQLKEKYQLRLRVLEESLRGSSNSVSRSTSDGRSMSNGPSRRQSLGGADSFSKLASNGFLPKRSPSFQLRSSLSSSTVLKHAKGTSKSFDGGTRSLDRAKTLFNGSGSNISFNQPSEGTMEGEAPSTAIPDDFQPVDKEDNVPGVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVSAMRVEKEHENRATRFGNSKGSAAQLLSGRCFCFILFRFYCH >KJB52719 pep chromosome:Graimondii2_0_v6:8:55251646:55253398:-1 gene:B456_008G273900 transcript:KJB52719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAR DEFICIENT 4 [Source:Projected from Arabidopsis thaliana (AT5G52810) UniProtKB/Swiss-Prot;Acc:Q9FLY0] MASNPNPIFISSDSLHSILSHSTLIQHFHSSLPTVSSAINTPIRQHYSLSPSSSLLLMPSWSSAPSLPYIGVKLVTHFPQNSTINLPGIHANYVLFSSTTGQPLASMDGTLLTLYRTASVSGLASKILAKKNSKILIMIGAGALAPHLIKAHLSSNPSLQKVIIWNRTIKKAIDLAGTLQKSNEFKGVSFETNESLDEIVPLGDIISCATNAETPLVKGERLKHGAHLDLVGSFRETMKECDDEAVKRGRVYVDSGAALVEAGELVGAFERGVIGKGDVGGNLVELIEGKKVGRKDCEEITVFKSVGSGVVDLLAAQLVYETCMKKNKG >KJB49054 pep chromosome:Graimondii2_0_v6:8:28847706:28850833:1 gene:B456_008G099700 transcript:KJB49054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSSFPESNTVGDNVSLENEEEAKVKNEESEGNFSGNRWPRQETLALLKIRSEMDVAFRDSGVKAPLWEEVSRKLAELGYNRGAKKCKEKFENVYKYHRRTKEGRSGKSNGKSYRFFEQLEALDHHPSLVPPASGDINTSVEPLNVIHDAIPFSVRNPASNFNETSTSTTSSSSKESDGTRKKKRKLTDFFERLMREMMEKQENLQKKFIEAIEKSELDRMAREEAWKVQELARLKRERELLVQERSIAAAKDAAVLAFLQKFSDQTTSVQLPDISFPVEKVVDRQENSNGSESYMHLSTSRWPKDEVEALIRLRTNLDMQYQDAGPKGPLWEEISTAMKKLGYDRSAKRCKEKWENMNKYFKRVKESNKKRPEDSKTCPYFHQLDALYKEKTKRIDGSGYELKPEELLMHMMGAQEERLHQESATEDVESENVNQNREENRNAEGDAYQIVANDPSPMPIIG >KJB49473 pep chromosome:Graimondii2_0_v6:8:35875764:35878841:-1 gene:B456_008G121000 transcript:KJB49473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQRAIAECFTKVAETKFPIKVEEFFNLFLSDKAVNFIKSFHRRCGDKEFKCSSWCPHDKFGHVRDVSFQHPIKIYFGAKFGSCQEAQKFRIYRNSHLVIETSQDISGVSRGVQNSGKIEKIRLID >KJB52272 pep chromosome:Graimondii2_0_v6:8:53640874:53643407:1 gene:B456_008G253100 transcript:KJB52272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SEH1 [Source:Projected from Arabidopsis thaliana (AT1G64350) UniProtKB/Swiss-Prot;Acc:Q93VR9] MEKPVATLDKGAICSAWNYCGQRLAAGSVDGYLSILDSRDPLSSSFTSSFKFKVHEGCVVKVAWIPPEYGVAVACIAEDGTLSIWEELVEGTNPLEWKLCTSFKTSSKVLDVQFSVTQTSLKMVAAYSDGFVKVFELLDPLELKNWQLQAEFQNVIDSVTIVGKASCLTACISWNPQNGEGQESSFILGFNSDTPQLNSPKVWEFDPAHQRWLPVAELALPVDKGDQIYSVAWAPNIGR >KJB52273 pep chromosome:Graimondii2_0_v6:8:53640874:53643407:1 gene:B456_008G253100 transcript:KJB52273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SEH1 [Source:Projected from Arabidopsis thaliana (AT1G64350) UniProtKB/Swiss-Prot;Acc:Q93VR9] MEKPVATLDKGAICSAWNYCGQRLAAGSVDGYLSILDSRDPLSSSFTSSFKFKVHEGCVVKVAWIPPEYGVAVACIAEDGTLSIWEELVEDGFVKVFELLDPLELKNWQLQAEFQNVIDSVTIVGKASCLTACISWNPQNGEGQESSFILGFNSDTPQLNSPKVWEFDPAHQRWLPVAELALPVDKGDQIYSVAWAPNIGRPYEVIATASEKGISVWRVGSTTDMDGRLSMDKVALLSGHNSEVWQMEWDMSGMTLATTGGDGRVRLWQSNLNGTWHEQATLEPTSS >KJB52271 pep chromosome:Graimondii2_0_v6:8:53640928:53642228:1 gene:B456_008G253100 transcript:KJB52271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SEH1 [Source:Projected from Arabidopsis thaliana (AT1G64350) UniProtKB/Swiss-Prot;Acc:Q93VR9] MEKPVATLDKGAICSAWNYCGQRLAAGSVDGYLSILDSRDPLSSSFTSSFKFKVHEGCVVKVAWIPPEYGVAVACIAEDGTLSIWEELVEGTNPLEWKLCTSFKTSSKVLDVQFSVTQTSLKMVAAYSDGFVKVFELLDPLELKNWQLQAEFQNVIDSVTIVGKASCLTACISWNPQNGEGQESSFILGFNSDTPQLNSPKSSIGVGI >KJB52270 pep chromosome:Graimondii2_0_v6:8:53640776:53643426:1 gene:B456_008G253100 transcript:KJB52270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SEH1 [Source:Projected from Arabidopsis thaliana (AT1G64350) UniProtKB/Swiss-Prot;Acc:Q93VR9] MEKPVATLDKGAICSAWNYCGQRLAAGSVDGYLSILDSRDPLSSSFTSSFKFKVHEGCVVKVAWIPPEYGVAVACIAEDGTLSIWEELVEGTNPLEWKLCTSFKTSSKVLDVQFSVTQTSLKMVAAYSDGFVKVFELLDPLELKNWQLQAEFQNVIDSVTIVGKASCLTACISWNPQNGEGQESSFILGFNSDTPQLNSPKVWEFDPAHQRWLPVAELALPVDKGDQIYSVAWAPNIGRPYEVIATASEKGISVWRVGSTTDMDGRLSMDKVALLSGHNSEVWQMEWDMSGMTLATTGGDGRVRLWQSNLNGTWHEQATLEPTSS >KJB48533 pep chromosome:Graimondii2_0_v6:8:13408462:13411709:1 gene:B456_008G074300 transcript:KJB48533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCTSKPSPNPSDSTNASINTRNNDIYRKPNSVSASPLPDGVNSKEDQGKQGEEEKESSNPNNEGKKSPFFPFYSPSPAHYLFSKKSPARSSTNSTPKRFFRRPFPPPSPAKHIRAVLARRHGSVKPNEAAIPEGSDAEAAGATGTGLDKSFGFSKHFGSKYELGDEVGRGHFGYTCTAKFKKGELKGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALSGHSNLVQFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEDDAKAVMIQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSSEARDFVKRLLNKDPRKRLTAAQALSHPWIKKYNDVKVPLDILIFKLVKAYLRSSSFRKAALRALSKTLTVDELFYLKEQFALLEPNKNGTISLENIKAALMKNATDAMKDARIPEFLASLNALQYRRMDFDEFCAAALTVHQLEALDRWEQHARCAYEIFEKEGNRPIVIEELASVC >KJB48531 pep chromosome:Graimondii2_0_v6:8:13408351:13413392:1 gene:B456_008G074300 transcript:KJB48531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCTSKPSPNPSDSTNASINTRNNDIYRKPNSVSASPLPDGVNSKEDQGKQGEEEKESSNPNNEGKKSPFFPFYSPSPAHYLFSKKSPARSSTNSTPKRFFRRPFPPPSPAKHIRAVLARRHGSVKPNEAAIPEGSDAEAAGATGTGLDKSFGFSKHFGSKYELGDEVGRGHFGYTCTAKFKKGELKGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALSGHSNLVQFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEDDAKAVMIQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSSEARDFVKRLLNKDPRKRLTAAQALSHPWIKKYNDVKVPLDILIFKLVKAYLRSSSFRKAALRALSKTLTVDELFYLKEQFALLEPNKNGTISLENIKAALMKNATDAMKDARIPEFLASLNALQYRRMDFDEFCAAALTVHQLEALDRWEQHARCAYEIFEKEGNRPIVIEELASVC >KJB48532 pep chromosome:Graimondii2_0_v6:8:13408351:13412132:1 gene:B456_008G074300 transcript:KJB48532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCTSKPSPNPSDSTNASINTRNNDIYRKPNSVSASPLPDGVNSKEDQGKQGEEEKESSNPNNEGKKSPFFPFYSPSPAHYLFSKKSPARSSTNSTPKRFFRRPFPPPSPAKHIRAVLARRHGSVKPNEAAIPEGSDAEAAGATGTGLDKSFGFSKHFGSKYELGDEVGRGHFGYTCTAKFKKGELKGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALSGHSNLVQFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEDDAKAVMIQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSSEARDFVKRLLNKDPRKRLTAAQALSHPWIKKYNDVKVPLDILIFKLVKAYLRSSSFRKAALRALSKTLTVDELFYLKEQFALLEPNKNGTISLENIKAALMKNATDAMKDARIPEFLASLNALQYRRMDFDEFCAAALTVHQLEALDRWEQHARCAYEIFEKEGNRPIVIEELASVC >KJB48534 pep chromosome:Graimondii2_0_v6:8:13408351:13413392:1 gene:B456_008G074300 transcript:KJB48534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCTSKPSPNPSDSTNASINTRNNDIYRKPNSVSASPLPDGVNSKEDQGKQGEEEKESSNPNNEGKKSPFFPFYSPSPAHYLFSKKSPARSSTNSTPKRFFRRPFPPPSPAKHIRAVLARRHGSVKPNEAAIPEGSDAEAAGATGTGLDKSFGFSKHFGSKYELGDEVGRGHFGYTCTAKFKKGELKGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALSGHSNLVQFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEDDAKAVMIQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIAPWPSLSSEARDFVKRLLNKDPRKRLTAAQALSHPWIKKYNDVKVPLDILIFKLVKAYLRSSSFRKAALRALSKTLTVDELFYLKEQFALLEPNKNGTISLENIKAALMKNATDAMKDARIPEFLASLNALQYRRMDFDEFCAAALTVHQLEALDRWEQHARCAYEIFEKEGNRPIVIEELASELGLSPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGVSS >KJB48530 pep chromosome:Graimondii2_0_v6:8:13408218:13413448:1 gene:B456_008G074300 transcript:KJB48530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCTSKPSPNPSDSTNASINTRNNDIYRKPNSVSASPLPDGVNSKEDQGKQGEEEKESSNPNNEGKKSPFFPFYSPSPAHYLFSKKSPARSSTNSTPKRFFRRPFPPPSPAKHIRAVLARRHGSVKPNEAAIPEGSDAEAAGATGTGLDKSFGFSKHFGSKYELGDEVGRGHFGYTCTAKFKKGELKGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALSGHSNLVQFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEDDAKAVMIQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSSEARDFVKRLLNKDPRKRLTAAQALSHPWIKKYNDVKVPLDILIFKLVKAYLRSSSFRKAALRALSKTLTVDELFYLKEQFALLEPNKNGTISLENIKAALMKNATDAMKDARIPEFLASLNALQYRRMDFDEFCAAALTVHQLEALDRWEQHARCAYEIFEKEGNRPIVIEELASELGLSPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGVSS >KJB52439 pep chromosome:Graimondii2_0_v6:8:54230008:54230980:-1 gene:B456_008G261800 transcript:KJB52439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPIRADVVGGKKKSSSRGHHRFVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEEAARAYDDAARALRGANARTNFELPGPQLASSSSTNRFSFDKLQPFSFEEACGKGGSDDGDGFIGALKAKLLDGKGLKVLSLANPAVVPPPQRIGNMNLVQGPTSKPDRERDGEVAVGHIEAQWHQPVQSQTPSMTSMIWSNEPSFEAATWGAQMNQVPPNGLFDISTLTAATSTWPLPGTAVSTMDLSFPNRCQIEFPVNMPISQIDGTTTEGVWSSEQQFLQCDNNTLSGPIGSWGDPYLYVSSVLD >KJB46868 pep chromosome:Graimondii2_0_v6:8:9766322:9767782:1 gene:B456_008G061400 transcript:KJB46868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiosulfate sulfurtransferase 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66040) UniProtKB/Swiss-Prot;Acc:Q39129] MQLPLVHIPTMEATSLLSSSSSFTLSFLPSPLSSPHLHHRSLFFRGMFPVTVNPQTCSTAVVRKKLSFCTKAVLGGNVHVTGVPTSVPVRVAHELHQAGHRYLDVSAGHVPGAINIPYMYKVGPGMAKNPSFVAEVSSHLGKYDEIIVGCQLGKRSLMAATELLAAGFTAVTDIAGGYAAWTQNGLPTE >KJB46865 pep chromosome:Graimondii2_0_v6:8:9766427:9766863:1 gene:B456_008G061400 transcript:KJB46865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiosulfate sulfurtransferase 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66040) UniProtKB/Swiss-Prot;Acc:Q39129] MQLPLVHIPTMEATSLLSSSSSFTLSFLPSPLSSPHLHHRSLFFRGMFPVTVNPQTCSTAVVRKKLSFCTKAVLGGNVHVTGVPTSVPVRVAHELHQAGHRYLDVRYFFLF >KJB46867 pep chromosome:Graimondii2_0_v6:8:9766427:9766863:1 gene:B456_008G061400 transcript:KJB46867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiosulfate sulfurtransferase 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66040) UniProtKB/Swiss-Prot;Acc:Q39129] MQLPLVHIPTMEATSLLSSSSSFTLSFLPSPLSSPHLHHRSLFFRGMFPVTVNPQTCSTAVVRKKLSFCTKAVLGGNVHVTGVPTSVPVRVAHELHQAGHRYLDVRYFFLF >KJB46869 pep chromosome:Graimondii2_0_v6:8:9766322:9767782:1 gene:B456_008G061400 transcript:KJB46869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiosulfate sulfurtransferase 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66040) UniProtKB/Swiss-Prot;Acc:Q39129] MQLPLVHIPTMEATSLLSSSSSFTLSFLPSPLSSPHLHHRSLFFRGMFPVTVNPQTCSTAVVRKKLSFCTKAVLGGNVHVTGVPTSVPVRVAHELHQAGHRYLDVRTPEEFSAGHVPGAINIPYMYKVGPGMAKNPSFVAEVSSHLGKYDEIIVGCQLGKRSLMAATELLAALLTLPEGTRRGLRMGFQRNDDDDVGGRE >KJB46870 pep chromosome:Graimondii2_0_v6:8:9766322:9767782:1 gene:B456_008G061400 transcript:KJB46870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiosulfate sulfurtransferase 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66040) UniProtKB/Swiss-Prot;Acc:Q39129] MQLPLVHIPTMEATSLLSSSSSFTLSFLPSPLSSPHLHHRSLFFRGMFPVTVNPQTCSTAVVRKKLSFCTKAVLGGNVHVTGVPTSVPVRVAHELHQAGHRYLDVRTPEEFSAGHVPGAINIPYMYKVGPGMAKNPSFVAEVSSHLGKYDEIIVVGSCF >KJB46866 pep chromosome:Graimondii2_0_v6:8:9766322:9767782:1 gene:B456_008G061400 transcript:KJB46866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiosulfate sulfurtransferase 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66040) UniProtKB/Swiss-Prot;Acc:Q39129] MQLPLVHIPTMEATSLLSSSSSFTLSFLPSPLSSPHLHHRSLFFRGMFPVTVNPQTCSTAVVRKKLSFCTKAVLGGNVHVTGVPTSVPVRVAHELHQAGHRYLDVRVQCRACPWGH >KJB46864 pep chromosome:Graimondii2_0_v6:8:9766081:9767809:1 gene:B456_008G061400 transcript:KJB46864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiosulfate sulfurtransferase 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66040) UniProtKB/Swiss-Prot;Acc:Q39129] MSSPPCQSLCIYLRTLATDYSTAKPFVASIPLSFHLIFHQLPNHPFTFKTNPPFYIPMQLPLVHIPTMEATSLLSSSSSFTLSFLPSPLSSPHLHHRSLFFRGMFPVTVNPQTCSTAVVRKKLSFCTKAVLGGNVHVTGVPTSVPVRVAHELHQAGHRYLDVRTPEEFSAGHVPGAINIPYMYKVGPGMAKNPSFVAEVSSHLGKYDEIIVGCQLGKRSLMAATELLAAGFTAVTDIAGGYAAWTQNGLPTE >KJB48904 pep chromosome:Graimondii2_0_v6:8:23414196:23414524:-1 gene:B456_008G093200 transcript:KJB48904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSTRQEDNYAQQKALPAHKSWEKAYCSEISSKDTKLKQRWHHHWYSLIIPRFQLYPKSSLLDFDI >KJB50844 pep chromosome:Graimondii2_0_v6:8:47150711:47171880:-1 gene:B456_008G189300 transcript:KJB50844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNVATNGNGGGGYRFRRIPRHSLAHLKLDPLLDDNLEQWPHLTELIQCYKSDWIKDDNKYGHYESISPDSFQNQIFEGPDTDIETEMQLASARQIKAEDANDDDLPSSSGRQFPNSNVTKHFGQSPLPAYEPAFDWGNERSMIFGQRIPETPTTHYGSGLKISVKVLSLSFQAGIVEPFYGTMCIYNRERREKLSEDFYFSVLPSEMQDAKVPLEPSGIFYLDAPSASICLLIQLEKPATEEGGVTPSVYSRKEPVHLTERERQKLQVWSRLMPYRESFAWAIVPLFDNSIAAASGGSASPSSPLAPSMSGSSSHEGVFEPIAKVTSDGKLGCASGSSVIVEISNLKKVKESYTEESLQDPKRKVHKPVKGVLKLEIEKHQTALTELDNISEGGSATNDSLDPGEAVADLMFSRSPGNGLDGPQTSNSKWIAIDGKEVSGNGSNSHGNLDLCADDFQAFDFRTTMRNEPFLQLFHCLYVYPLTVNLSRKRNLFIQVELRKDDADARRQPLEAIHPRDRGSSLLKYAHTQVAVGARVACYHDEIKVSLPAVWTPSHHLLFTFFHVDLQTKLEAPKPVVIGYAALPLSTHAQLRSEISLPIIRELVPHYLLDSGKERLDYLEDGKNVFKLRLRLCSSLYPINERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGNGGETLQVAAFRAMVNILTRVQQESVDDSERNRSLVNYVDYAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQTRLFYHSLPLDEDVPPMQLKEGVFRCIIQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHDCKLIFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQELFLTWDHDDLSQRAKAARILVVVLCKHEFDARYQKPEDKLYIAQLYFPLIGQILDEMPVFYNLNAAEKREVLIVILQIVRNLDDASAVKAWQQSIARTRLFFKLLEECLVHFEHRKPADGMLIGSSSRNPVGDAPTSPKYSDKLSPAINNYLSEASRQEVRPQGTPENGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSAAVSLQVLEISEKFSAMAASHSIATDYGKLDCLSSIIMSFFSRNQPLVFWKAFLPVFNNVFDLHGATLMARENDRFLKQVAFHLLRLAVFRNDNIRKRAVIGLQILVRSSFYFMQTARLRVMLTITLSELMSDMQVTQMKSDGTLEESGEARRLRKSLEEMADEVKSSGLLKECGLPEDALLVTPESFKENRWSWSDVKSLSGSLLLALDASLEHALLGSVMSMDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARNDGVWSKDHVTALRKICPMVSSEITSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCASILELVIPVYKSRRAYGQLAKCHTLLTNIYESILEQESSPIPFTDATYYRVGFYGERFGKLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDGNHTLHIIPDSRQVKAEELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >KJB50843 pep chromosome:Graimondii2_0_v6:8:47150396:47171662:-1 gene:B456_008G189300 transcript:KJB50843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNVATNGNGGGGYRFRRIPRHSLAHLKLDPLLDDNLEQWPHLTELIQCYKSDWIKDDNKYGHYESISPDSFQNQIFEGPDTDIETEMQLASARQIKAEDANDDDLPSSSGRQFPNSNVTKHFGQSPLPAYEPAFDWGNERSMIFGQRIPETPTTHYGSGLKISVKVLSLSFQAGIVEPFYGTMCIYNRERREKLSEDFYFSVLPSEMQDAKVPLEPSGIFYLDAPSASICLLIQLEKPATEEGGVTPSVYSRKEPVHLTERERQKLQVWSRLMPYRESFAWAIVPLFDNSIAAASGGSASPSSPLAPSMSGSSSHEGVFEPIAKVTSDGKLGCASGSSVIVEISNLKKVKESYTEESLQDPKRKVHKPVKGVLKLEIEKHQTALTELDNISEGGSATNDSLDPGEAVADLMFSRSPGNGLDGPQTSNSKWIAIDGKEVSGNGSNSHGNLDLCADDFQAFDFRTTMRNEPFLQLFHCLYVYPLTVNLSRKRNLFIQVELRKDDADARRQPLEAIHPRDRGSSLLKYAHTQVAVGARVACYHDEIKVSLPAVWTPSHHLLFTFFHVDLQTKLEAPKPVVIGYAALPLSTHAQLRSEISLPIIRELVPHYLLDSGKERLDYLEDGKNVFKLRLRLCSSLYPINERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGNGGETLQVAAFRAMVNILTRVQQESVDDSERNRSLVNYVDYAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQTRLFYHSLPLDEDVPPMQLKEGVFRCIIQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHDCKLIFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQELFLTWDHDDLSQRAKAARILVVVLCKHEFDARYQKPEDKLYIAQLYFPLIGQILDEMPVFYNLNAAEKREVLIVILQIVRNLDDASAVKAWQQSIARTRLFFKLLEECLVHFEHRKPADGMLIGSSSRNPVGDAPTSPKYSDKLSPAINNYLSEASRQEVRPQGTPENGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSAAVSLQVLEISEKFSAMAASHSIATDYGKLDCLSSIIMSFFSRNQPLVFWKAFLPVFNNVFDLHGATLMARENDRFLKQVAFHLLRLAVFRNDNIRKRAVIGLQILVRSSFYFMQTARLRVMLTITLSELMSDMQVTQMKSDGTLEESGEARRLRKSLEEMADEVKSSGLLKECGLPEDALLVTPESFKENRWSWSDVKSLSGSLLLALDASLEHALLGSVMSMDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARNDGVWSKDHVTALRKICPMVSSEITSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCASILELVIPVYKSRRAYGQLAKCHTLLTNIYESILEQESSPIPFTDATYYRVGFYGERFGKLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDGNHTLHIIPDSRQVKAEELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >KJB50846 pep chromosome:Graimondii2_0_v6:8:47150711:47171880:-1 gene:B456_008G189300 transcript:KJB50846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNVATNGNGGGGYRFRRIPRHSLAHLKLDPLLDDNLEQWPHLTELIQCYKSDWIKDDNKYGHYESISPDSFQNQIFEGPDTDIETEMQLASARQIKAEDANDDDLPSSSGRQFPNSNVTKHFGQSPLPAYEPAFDWGNERSMIFGQRIPETPTTHYGSGLKISVKVLSLSFQAGIVEPFYGTMCIYNRERREKLSEDFYFSVLPSEMQDAKVPLEPSGIFYLDAPSASICLLIQLEKPATEEGGVTPSVYSRKEPVHLTERERQKLQVWSRLMPYRESFAWAIVPLFDNSIAAASGGSASPSSPLAPSMSGSSSHEGVFEPIAKVTSDGKLGCASGSSVIVEISNLKKVKESYTEESLQDPKRKVHKPVKGVLKLEIEKHQTALTELDNISEGGSATNDSLDPGEAVADLMFSRSPGNGLDGPQTSNSKWIAIDGKEVSGNGSNSHGNLDLCADDFQAFDFRTTMRNEPFLQLFHCLYVYPLTVNLSRKRNLFIQVELRKDDADARRQPLEAIHPRDRGSSLLKYAHTQVAVGARVACYHDEIKVSLPAVWTPSHHLLFTFFHVDLQTKLEAPKPVVIGYAALPLSTHAQLRSEISLPIIRELVPHYLLDSGKERLDYLEDGKNVFKLRLRLCSSLYPINERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGNGGETLQVAAFRAMVNILTRVQQESVDDSERNRSLVNYVDYAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQTRLFYHSLPLDEDVPPMQLKEGVFRCIIQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHDCKLIFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQELFLTWDHDDLSQRAKAARILVVVLCKHEFDARYQKPEDKLYIAQLYFPLIGQILDEMPVFYNLNAAEKREVLIVILQIVRNLDDASAVKAWQQSIARTRLFFKLLEECLVHFEHRKPADGMLIGSSSRNPVGDAPTSPKYSDKLSPAINNYLSEASRQEVRPQGTPENGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSAAVSLQVLEISEKFSAMAASHSIATDYGKLDCLSSIIMSFFSRNQPLVFWKAFLPVFNNVFDLHGATLMARENDRFLKQVAFHLLRLAVFRNDNIRKRAVIGLQILVRSSFYFMQTARLRVMLTITLSELMSDMQVTQMKSDGTLEESGEARRLRKSLEEMADEVKSSGLLKECGLPEDALLVTPESFKENRWSWSDVKSLSGSLLLALDASLEHALLGSVMSMDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARNDGVWSKDHVTALRKICPMVSSEITSEASAAEVEGYGASKLTVDSAESSPIPFTDATYYRVGFYGERFGKLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDGNHTLHIIPDSRQVKAEELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >KJB50845 pep chromosome:Graimondii2_0_v6:8:47150540:47172159:-1 gene:B456_008G189300 transcript:KJB50845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNVATNGNGGGGYRFRRIPRHSLAHLKLDPLLDDNLEQWPHLTELIQCYKSDWIKDDNKYGHYESISPDSFQNQIFEGPDTDIETEMQLASARQIKAEDANDDDLPSSSGRQFPNSNVTKHFGQSPLPAYEPAFDWGNERSMIFGQRIPETPTTHYGSGLKISVKVLSLSFQAGIVEPFYGTMCIYNRERREKLSEDFYFSVLPSEMQDAKVPLEPSGIFYLDAPSASICLLIQLEKPATEEGGVTPSVYSRKEPVHLTERERQKLQVWSRLMPYRESFAWAIVPLFDNSIAAASGGSASPSSPLAPSMSGSSSHEGVFEPIAKVTSDGKLGCASGSSVIVEISNLKKVKESYTEESLQDPKRKVHKPVKGVLKLEIEKHQTALTELDNISEGGSATNDSLDPGEAVADLMFSRSPGNGLDGPQTSNSKWIAIDGKEVSGNGSNSHGNLDLCADDFQAFDFRTTMRNEPFLQLFHCLYVYPLTVNLSRKRNLFIQVELRKDDADARRQPLEAIHPRDRGSSLLKYAHTQVAVGARVACYHDEIKVSLPAVWTPSHHLLFTFFHVDLQTKLEAPKPVVIGYAALPLSTHAQLRSEISLPIIRELVPHYLLDSGKERLDYLEDGKNVFKLRLRLCSSLYPINERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGNGGETLQVAAFRAMVNILTRVQQESVDDSERNRSLVNYVDYAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQTRLFYHSLPLDEDVPPMQLKEGVFRCIIQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHDCKLIFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQELFLTWDHDDLSQRAKAARILVVVLCKHEFDARYQKPEDKLYIAQLYFPLIGQILDEMPVFYNLNAAEKREVLIVILQIVRNLDDASAVKAWQQSIARTRLFFKLLEECLVHFEHRKPADGMLIGSSSRNPVGDAPTSPKYSDKLSPAINNYLSEASRQEVRPQGTPENGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSAAVSLQVLEISEKFSAMAASHSIATDYGKLDCLSSIIMSFFSRNQPLVFWKAFLPVFNNVFDLHGATLMARENDRFLKQVAFHLLRLAVFRNDNIRKRAVIGLQILVRSSFYFMQTARLRVMLTITLSELMSDMQVTQMKSDGTLEESGEARRLRKSLEEMADEVKSSGLLKECGLPEDALLVTPESFKENRWSWSDVKSLSGSLLLALDASLEHALLGSVMSMDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARNDGVWSKDHVTALRKICPMVSSEITSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCASILELVIPVYKSRRAYGQLAKCHTLLTNIYESILEQESSPIPFTDATYYRVGFYGERFGKLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDGNHTLHIIPDSRQVKAEELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >KJB50599 pep chromosome:Graimondii2_0_v6:8:45544495:45548070:-1 gene:B456_008G178800 transcript:KJB50599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSVHSEKARSRPHKGRFGRFCKNRGKIATSIPDECMKRMSDAGSHVTDIAVSEYVQKGASTTCNCKRSELSNVAFHLTQLQWNHSQIDANGRCQEEVWFDSVSIMESESDDDAGSVYGDAFSSLGNPIGNISNAQVFQYGNSSCLVDNGSKHERVYESYSSKGRKDGTVDSGEKTQESRKKSTVIMLSVKRKSFDGAAERYLYRPRAGLIIPCSGEKPTVGSWSEISPLLFKLRGKNYFRDKQKFPAPDCSPYVPIGVDLFICPRKVNHIAQHLELPHVKPHENVPALLIVNIQVPTYPASVFLGDANGEGLSLVLYFKVSDTFDKDVSPHFQDSIKKFIEDEMEKVKGFAKESIVPFRERLKIMASLVNPDELQLGSTEKKLIQAYNDKPVLSRPQHNFYKGPNYFEIDLDIHRFSYISRKGLESFLDRMKNGIINLGLTIQAQKPEELPEQALCCLRLNKIDFANHGQIPTIVSVKDD >KJB50600 pep chromosome:Graimondii2_0_v6:8:45544664:45547533:-1 gene:B456_008G178800 transcript:KJB50600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSVHSEKARSRPHKGRFGRFCKNRGKIATSIPDECMKRMSDAGSHVTDIAVSEYVQKGASTTCNCKRSELSNVAFHLTQLQWNHSQIDANGRCQEEVWFDSVSIMESESDDDAGSVYGDAFSSLGNPIGNISNAQVFQYGNSSCLVDNGSKHERVYESYSSKGRKDGTVDSGEKTQESRKKSTVIMLSVKRKSFDGAAERYLYRPRAGLIIPCSGEKPTVGSWSEISPLLFKLRGKNYFRDKQKFPAPDCSPYVPIGVDLFICPRKVNHIAQHLELPHVKPHENVPALLIVNIQVPTYPASVFLGDANGEGLSLVLYFKVSDTFDKDVSPHFQDSIKKFIEDEMEKVKGFAKESIVPFRERLKIMASLVNPDELQLGSTEKKLIQAYNDKPVLSRPQHNFYKGPNYFEIDLDIHRFSYISRKGLESFLDRMKNGIINLGLTIQFLVQAQKPEELPEQALCCLRLNKIDFANHGQIPTIVSVKDD >KJB50601 pep chromosome:Graimondii2_0_v6:8:45544495:45548729:-1 gene:B456_008G178800 transcript:KJB50601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMEDAKRKYGSILLALWNAFSSLGNPIGNISNAQVFQYGNSSCLVDNGSKHERVYESYSSKGRKDGTVDSGEKTQESRKKSTVIMLSVKRKSFDGAAERYLYRPRAGLIIPCSGEKPTVGSWSEISPLLFKLRGKNYFRDKQKFPAPDCSPYVPIGVDLFICPRKVNHIAQHLELPHVKPHENVPALLIVNIQVPTYPASVFLGDANGEGLSLVLYFKVSDTFDKDVSPHFQDSIKKFIEDEMEKVKGFAKESIVPFRERLKIMASLVNPDELQLGSTEKKLIQAYNDKPVLSRPQHNFYKGPNYFEIDLDIHRFSYISRKGLESFLDRMKNGIINLGLTIQAQKPEELPEQALCCLRLNKIDFANHGQIPTIVSVKDD >KJB50603 pep chromosome:Graimondii2_0_v6:8:45544495:45548948:-1 gene:B456_008G178800 transcript:KJB50603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSVHSEKARSRPHKGRFGRFCKNRGKIATSIPDECMKRMSDAGSHVTDIAVSEYVQKGASTTCNCKRSELSNVAFHLTQLQWNHSQIDANGRCQEEVWFDSVSIMESESDDDAGSVYGDAFSSLGNPIGNISNAQVFQYGNSSCLVDNGSKHERVYESYSSKGRKDGTVDSGEKTQESRKKSTVIMLSVKRKSFDGAAERYLYRPRAGLIIPCSGEKPTVGSWSEISPLLFKLRGKNYFRDKQKFPAPDCSPYVPIGVDLFICPRKVNHIAQHLELPHVKPHENVPALLIVNIQVPTYPASVFLGDANGEGLSLVLYFKVSDTFDKDVSPHFQDSIKKFIEDEMEKVKGFAKESIVPFRERLKIMASLVNPDELQLGSTEKKLIQAYNDKPVLSRPQHNFYKGPNYFEIDLDIHRFSYISRKGLESFLDRMKNGIINLGLTIQAQKPEELPEQALCCLRLNKIDFANHGQIPTIVSVKDD >KJB50602 pep chromosome:Graimondii2_0_v6:8:45544495:45548729:-1 gene:B456_008G178800 transcript:KJB50602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSVHSEKARSRPHKGRFGRFCKNRGKIATSIPDECMKRMSDAGSHVTDIAVSEYVQKGASTTCNCKRSELSNVAFHLTQLQWNHSQIDANGRCQEEVWFDSVSIMESESDDDAGSVYGDAFSSLGNPIGNISNAQVFQYGNSSCLVDNGSKHERVYESYSSKGRKDGTVDSGEKTQESRKKSTVIMLSVKRKSFDAERYLYRPRAGLIIPCSGEKPTVGSWSEISPLLFKLRGKNYFRDKQKFPAPDCSPYVPIGVDLFICPRKVNHIAQHLELPHVKPHENVPALLIVNIQVPTYPASVFLGDANGEGLSLVLYFKVSDTFDKDVSPHFQDSIKKFIEDEMEKVKGFAKESIVPFRERLKIMASLVNPDELQLGSTEKKLIQAYNDKPVLSRPQHNFYKGPNYFEIDLDIHRFSYISRKGLESFLDRMKNGIINLGLTIQAQKPEELPEQALCCLRLNKIDFANHGQIPTIVSVKDD >KJB49201 pep chromosome:Graimondii2_0_v6:8:33442512:33442919:1 gene:B456_008G106300 transcript:KJB49201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGELSWKEGGKEKWSLVELTLLISSMVNSAATVFLNIPFTGSVATIIAPFCSFVSSLSSSMGNNTSSMVFSHSLTRFETRSVVKNGCFSTFWMKGNRNNPPVRLSYFFNIFANPTNSQPLLIK >KJB48305 pep chromosome:Graimondii2_0_v6:8:10052015:10054633:1 gene:B456_008G062800 transcript:KJB48305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWVQNTAFSLGYIIVTRRSKAKENGVVSYVTLICDRGSEYKFKESSKKSGTKKTNCKFQLVGSYLKQYDGWMLRAICDQHNHPPAQHMQGHAYARWLKENKKKLLVDLTSKNDENNVSTQKTIYNARQKLHSSQNVGKTPIQVLMLLLNDKQFFTEFSVNNISNELENLFFIHPRPLDIWRAFPHVLIVDATYKTNKYDLPFQIVGVTSTNKTFSIAFAFIINEKEEKYNWALTCLKLTLEECIYPRVIATDRKFALMNACQQVFPDITRLLCRWHITENIKKHYSFRAMWTVLVESPTWILYTKNYRKLQSMLSEYPERTMNRVESHHAKLKKYLSAKKFSLDKFFGCIDQIVKSQLTSIYESFEKSRTILKHKHNLPCFRLLWGFVALEALDIIEGELQWKLDLSPSTSVENEDICCDGELKLFKENFTKQSKAGKKILLRKLRDIFQPTSRRRSHSTTSKSSVGLDLVELNKEPARHSSYPSEQVSDFIDLNQMSESCNTHPLMKEIPDMFHPYITHVQDVRGDGNCGFRAISICLGYGKDQWLYVRHQLLDELLSSYDIYARVFTDGIDELRNSLCVLIANRFWVILNYLTKRGDITFFPLWRGLEHFQYHHAITIAHVYDNHNVMVQLKGDYPMPTILAYWIRHRAPSTAG >KJB49404 pep chromosome:Graimondii2_0_v6:8:35355419:35356345:1 gene:B456_008G118100 transcript:KJB49404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFAGTRPTELNRTPSKLSSLFSGTLDKCAACEKTVYPLEKVTMEGECFHKTCFRCAHGGCPLTHSSYAALDGVLYCKHHFAQLFMVKGNYNHVLQAATHRRNNSTASSSENVETRAEPEESAADEESKEASDN >KJB49402 pep chromosome:Graimondii2_0_v6:8:35354808:35356365:1 gene:B456_008G118100 transcript:KJB49402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTGTLDKCKACDKTVHVVDMMTLEGVPYHKTCFKCSHCKGNLQMTTYSWMDGILYCKPHFEQLFKESGNFSKNFQTGTRPTELNRTPSKLSSLFSGTLDKCAACEKTVYPLEKVTMEGECFHKTCFRCAHGGCPLTHSSYAALDGVLYCKHHFAQLFMVKGNYNHVLQAATHRRNNSTASSSENVETRAEPEESAADEESKEASDN >KJB49403 pep chromosome:Graimondii2_0_v6:8:35355209:35356345:1 gene:B456_008G118100 transcript:KJB49403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYSWMDGILYCKPHFEQLFKESGNFSKNFQTGTRPTELNRTPSKLSSLFSGTLDKCAACEKTVYPLEKVTMEGECFHKTCFRCAHGGCPLTHSSYAALDGVLYCKHHFAQLFMVKGNYNHVLQAATHRRNNSTASSSENVETRAEPEESAADEESKEASDN >KJB47754 pep chromosome:Graimondii2_0_v6:8:5270975:5272728:-1 gene:B456_008G040400 transcript:KJB47754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRCKLPKLALFNFEASPVLDLRVIPTKEDCIVELFSCKFKGSDVVERQNDHFSATMINHITWDTNMSEPF >KJB52940 pep chromosome:Graimondii2_0_v6:8:56010660:56011808:1 gene:B456_008G2842002 transcript:KJB52940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFYDKVAGEGWLRRHDGLAAFTITEVVVTLTVATLVIIYFSRLVKKLIIKTKPSPLPPPPPGPIGLPILGHLLFIRPDFLQYVTEQSKIHGPIIKLQLGRKVYIIISSPSIAKQILKDHDAIFANRDIPVAAIKGTFGGLEIVWRSNGPELHKLRKLVVSEIMSNKGLNACYEFRRREIRHMVKNIHGKIGSLINLSEQIFLTTFNVTVNMLWGGSLNGEESNLGIEFKDQFEEFMRLMVEPNVSDMFPVLRPFDLQGIESKAKKNISWFYEFFESVIEQRRKLGEGPKMASSKDFLEQLLELNQTGDVKISLSMKEINAVLL >KJB49280 pep chromosome:Graimondii2_0_v6:8:34172341:34176256:1 gene:B456_008G110700 transcript:KJB49280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHRDREGGPLDWKRISDVLDKHLEKSPSSTSRGLNSKDKERSSLPSTSTGKSQLDHRSASLSKAKYSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLTSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMFWSVRYSSFKYGENLLS >KJB49279 pep chromosome:Graimondii2_0_v6:8:34172341:34175155:1 gene:B456_008G110700 transcript:KJB49279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHRDREGGPLDWKRISDVLDKHLEKSPSSTSRGLNSKDKERSSLPSTSTGKSQLDHRSASLSKAKYSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLTSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKSYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGSILSISSRILTFYYFSLEIF >KJB49281 pep chromosome:Graimondii2_0_v6:8:34172341:34176256:1 gene:B456_008G110700 transcript:KJB49281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHRDREGGPLDWKRISDVLDKHLEKSPSSTSRGLNSKDKERSSLPSTSTGKSQLDHRSASLSKAKYSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLTSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSAMHGYDFLDINKIWMELISEQHFHIYF >KJB49277 pep chromosome:Graimondii2_0_v6:8:34172277:34176312:1 gene:B456_008G110700 transcript:KJB49277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHRDREGGPLDWKRISDVLDKHLEKSPSSTSRGLNSKDKERSSLPSTSTGKSQLDHRSASLSKAKYSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLTSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKSYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNMDGAYFGTTFPHLFLMTYGHLKPQKPTQNYTPRVFGFKIHKP >KJB49278 pep chromosome:Graimondii2_0_v6:8:34172341:34174127:1 gene:B456_008G110700 transcript:KJB49278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSHRDREGGPLDWKRISDVLDKHLEKSPSSTSRGLNSKDKERSSLPSTSTGKSQLDHRSASLSKAKYSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLTSQVPYYDYALDLILDVESSHGKHFIDMDCSI >KJB47141 pep chromosome:Graimondii2_0_v6:8:1387515:1389879:1 gene:B456_008G012500 transcript:KJB47141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVKGKVCVTGASGFLASWLVKRLLLCGYHVIGTVRDPANEKKVGHLWRLEGAKERLKLVRADLLEEGSFDDAIMGCQGVFHTASPVMKSSFHPKALTVDILFEEIVEPAVKGTVNVLGSCKKNPSLRGVVLTSSSSAVNVWYALAKTQAEKAAWEFCTENKIDLVTVLPAFVVGPSLPPGLCSTASDVLALLKGETEQFQWHGRMGYVHIDDVALCHILVYEHEGASGRYLCSSTVIDNDELVSILSARYPSLPIPKGFAKLDRTYYKFNTSKLTSLGFKPIEEMFDDCIKALVEQGHLFL >KJB50858 pep chromosome:Graimondii2_0_v6:8:47359978:47361299:-1 gene:B456_008G190700 transcript:KJB50858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIRGTRTVEELVPHLNWIEDSMRHYLLVHLPGFRKEEVDVGLAYPGYVTISGERIVNDKKCTYFGQALKLPENLDMKKVGQSFEDEMLTLTFPKRTEEEEDENGNPSTTKKSLQEEEEEEEEKQKEVINEQNQTTHEQHCHAESEEQSKQTDDDNIVNATDNEMRKNGGILERVINLLRNNILLTLALAFALGVFASKRFESNGE >KJB51501 pep chromosome:Graimondii2_0_v6:8:50581169:50583906:-1 gene:B456_008G219400 transcript:KJB51501 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MNPNPNPGQVKNNNNWNNPRRIESGTFSKIPPELFTQILKFLSSEDLVSCSMVCSFLNYAASDESLWRRLYCMRWGLLPPTKIRECPWKKLYIQRDEEDMIELVRNCPSEFKEYYIQMQSAKRSQAPLPSQVKDDSIILDKTVADRVSIWKSSRGLTDKVVTDHACSGETCTYYQIGDVFVCEKTGQVHVCDDTCREVILDPNNELLVCTISGHCFDRLLSPSEMELDAEQQQAGGTDEAEPFLGSGRFARAYLLGYNCEDEKELEAALRFC >KJB51498 pep chromosome:Graimondii2_0_v6:8:50580827:50584450:-1 gene:B456_008G219400 transcript:KJB51498 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MTLSDEEDEFLANFLESELSDEEEPEAKRLRVVKEDEEKEKEGKGDGASSNMNPNPNPGQVKNNNNWNNPRRIESGTFSKIPPELFTQILKFLSSEDLVSCSMVCSFLNYAASDESLWRRLYCMRWGLLPPTKIRECPWKKLYIQRDEEDMIELVRNCPSEFKEYYIQMQSAKRSQAPLPSQVKDDSIILDKTVADRVSIWKSSRGLTDKVVTDHACSGETCTYYQIGDVFVCEKTGQVHVCDDTCREVILDPNNELLVCTISGHCFDRLLSPSEMELDAEQQQAGGTDEAEPFLGSGRFARAYLLGYNCEDEKELEAALRFC >KJB51500 pep chromosome:Graimondii2_0_v6:8:50580942:50584346:-1 gene:B456_008G219400 transcript:KJB51500 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MTLSDEEDEFLANFLESELSDEEEPEAKRLRVVKEDEEKEKEGKGDGASSNMNPNPNPGQVKNNNNWNNPRRIESGTFSKIPPELFTQILKFLSSEDLVSCSMVCSFLNYAASDESLWRRLYCMRWGLLPPTKIRECPWKKLYIQRDEEDMIELVRNCPSEFKEYYIQMQSAKRSQAPLPSQVKDDSIILDKTVADRVSIWKSSRGLTDKVVTDHACSGETCTYYQIGDVFVCEKTGQVHVCDDTCREVILDPNNELLVCTISGHCFDRLLSPSEMELDALL >KJB51499 pep chromosome:Graimondii2_0_v6:8:50580942:50583165:-1 gene:B456_008G219400 transcript:KJB51499 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MRWGLLPPTKIRECPWKKLYIQRDEEDMIELVRNCPSEFKEYYIQMQSAKRSQAPLPSQVKDDSIILDKTVADRVSIWKSSRGLTDKVVTDHACSGETCTYYQIGDVFVCEKTGQVHVCDDTCREVILDPNNELLVCTISGHCFDRLLSPSEMELDAEQQQAGGTDEAEPFLGSGRFARAYLLGYNCEDEKELEAALRFC >KJB47421 pep chromosome:Graimondii2_0_v6:8:7573004:7577086:1 gene:B456_008G053100 transcript:KJB47421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAMMSDGRYNLAEIWQYPMSESGISRGQFGHGLAQFGDPSREVSGNDPGSLEQQQRRGGMRRRRDGEDETAKVVSTSSTSSGNAVNDGEGKRIKAAGGREQNHESRVEAEPSSGKLVEEKAQPSEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVELEAVNSGINPAIEVFPRKDYGQQAFDATGMAFGSQVIREYSGGTSPEWLHIGSAFERTT >KJB47415 pep chromosome:Graimondii2_0_v6:8:7572793:7577161:1 gene:B456_008G053100 transcript:KJB47415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAMMSDGRYNLAEIWQYPMSESGISRGQFGHGLAQFGDPSREVSGNDPGSLEQQQRRGGMRRRRDGEDETAKVVSTSSTSSGNAVNDGEGKRIKAAGGREQNHESRVEAEPSSGKLVEEKAQPSEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSGINPAIEVFPRKDYGQQAFDATGMAFGSQVIREYSGGTSPEWLHIGSAFERTT >KJB47420 pep chromosome:Graimondii2_0_v6:8:7573004:7577086:1 gene:B456_008G053100 transcript:KJB47420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAMMSDGRYNLAEIWQYPMSESGISRGQFGHGLAQFGDPSREVSGNDPGSLEQQQRRGGMRRRRDGEDETAKVVSTSSTSSGNAVNDGEGKRIKAAGGREQNHESRVEAEPSSGKLVEEKAQPSEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEVWVLLLMYHYILPVISNLLS >KJB47419 pep chromosome:Graimondii2_0_v6:8:7573004:7575120:1 gene:B456_008G053100 transcript:KJB47419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAMMSDGRYNLAEIWQYPMSESGISRGQFGHGLAQFGDPSREVSGNDPGSLEQQQRRGGMRRRRDGEDETAKVVSTSSTSSGNAVNDGEGKRIKAAGGREQNHESRVEAEPSSGKLVEEKAQPSEPPKQDYIHVRARRGQATDSHSLAERVICFGIGLKSVLSTCVGPKFYIKYIPFDCETDISFTIFFFFV >KJB47416 pep chromosome:Graimondii2_0_v6:8:7573281:7574302:1 gene:B456_008G053100 transcript:KJB47416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAMMSDGRYNLAEIWQYPMSESGISRGQFGHGLAQFGDPSREVSGNDPGSLEQQQRRGGMRRRRDGEDETAKVVSTSSTSSGNAVNDGEGKRIKAAGGREQNHESRVEAEPSSGKLVEEKAQPSEPPKQDYIHVRARRGQATDSHSLAERVICFGIGLKSVLSTCVGPKFYIKYIPFDCETDISFTIFFFFV >KJB47417 pep chromosome:Graimondii2_0_v6:8:7573004:7575260:1 gene:B456_008G053100 transcript:KJB47417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAMMSDGRYNLAEIWQYPMSESGISRGQFGHGLAQFGDPSREVSGNDPGSLEQQQRRGGMRRRRDGEDETAKVVSTSSTSSGNAVNDGEGKRIKAAGGREQNHESRVEAEPSSGKLVEEKAQPSEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSGINPAIEVFPRKDVSTYPVVDNVVLFIHFRPKVILFFSNY >KJB47418 pep chromosome:Graimondii2_0_v6:8:7573004:7577086:1 gene:B456_008G053100 transcript:KJB47418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAMMSDGRYNLAEIWQYPMSESGISRGQFGHGLAQFGDPSREVSGNDPGSLEQQQRRGGMRRRRDGEDETAKVVSTSSTSSGNAVNDGEGKRIKAAGGREQNHESRVEAEPSSGKLVEEKAQPSEPPKQDYIHVRARRGQATDSHSLAERVICFGIGLKSVLSTCVGPKFYIKYIPFDCETDISFTIFFFFV >KJB50861 pep chromosome:Graimondii2_0_v6:8:47309334:47311371:-1 gene:B456_008G190200 transcript:KJB50861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNETFEKLGAIGTLANLLIYLTTVFNLKSITAATMINIFNGTTNFGTLVGAFLCDTYFGRYKTLGFATAASFLGLQAIQLTAAIPELHPHRCAAKESGECEGPTAGQLAFLLMGLGLMIVGAGGVRPCNLAFGVDQFNPKTEAGKRGIDSFFNWYFFTFTFAQMVSLTLIVYIQSNVSWAIGLGIPATLMFIACVVYFVGSKIYVKVKATGSPMTSVAQVIVVAIKKRKLKPVEQPWLSLFKYIPPNSINSKLPYTDQFRFLDKAAIVTPQDEIKGDGSPADPWRLCSLQQVEEVKCLFRVLPIWASQIMYCVTLVQLHTYAVFQAVQSDRRLGNSNFKIPAASYVVFMMLSLTIFIPVYDRAVVPFLRKIRGKEGGITILQRIGIGMFVSIFTMLVSGMVEQHRRSIALTKPTLGVVPRKGAISSMSASILIPQFILGGLTEAFASIGLIEFYYKQFPENMKSIGGSLFYCGLAGSNYFSSLLIFIIHRTTNRTATGNWLEEDLNKGRLDYYYYIIAGLGILNLGYFLLCASWYKYKGNSDNTPELELHVNGEKQQSDKP >KJB50863 pep chromosome:Graimondii2_0_v6:8:47309039:47311638:-1 gene:B456_008G190200 transcript:KJB50863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLMIVGAGGVRPCNLAFGVDQFNPKTEAGKRGIDSFFNWYFFTFTFAQMVSLTLIVYIQSNVSWAIGLGIPATLMFIACVVYFVGSKIYVKVKATGSPMTSVAQVIVVAIKKRKLKPVEQPWLSLFKYIPPNSINSKLPYTDQFRFLDKAAIVTPQDEIKGDGSPADPWRLCSLQQVEEVKCLFRVLPIWASQIMYCVTLVQLHTYAVFQAVQSDRRLGNSNFKIPAASYVVFMMLSLTIFIPVYDRAVVPFLRKIRGKEGGITILQRIGIGMFVSIFTMLVSGMVEQHRRSIALTKPTLGVVPRKGAISSMSASILIPQFILGGLTEAFASIGLIEFYYKQFPENMKSIGGSLFYCGLAGSNYFSSLLIFIIHRTTNRTATGNWLEEDLNKGRLDYYYYIIAGLGILNLGYFLLCASWYKYKGNSDNTPELELHVNGEKQQSDKP >KJB50860 pep chromosome:Graimondii2_0_v6:8:47309039:47311668:-1 gene:B456_008G190200 transcript:KJB50860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRMNKSDKDEMEKEKATVATDDEPEINYRGWKAMPFIIELHPHRCAAKESGECEGPTAGQLAFLLMGLGLMIVGAGGVRPCNLAFGVDQFNPKTEAGKRGIDSFFNWYFFTFTFAQMVSLTLIVYIQSNVSWAIGLGIPATLMFIACVVYFVGSKIYVKVKATGSPMTSVAQVIVVAIKKRKLKPVEQPWLSLFKYIPPNSINSKLPYTDQFRFLDKAAIVTPQDEIKGDGSPADPWRLCSLQQVEEVKCLFRVLPIWASQIMYCVTLVQLHTYAVFQAVQSDRRLGNSNFKIPAASYVVFMMLSLTIFIPVYDRAVVPFLRKIRGKEGGITILQRIGIGMFVSIFTMLVSGMVEQHRRSIALTKPTLGVVPRKGAISSMSASILIPQFILGGLTEAFASIGLIEFYYKQFPENMKSIGGSLFYCGLAGSNYFSSLLIFIIHRTTNRTATGNWLEEDLNKGRLDYYYYIIAGLGILNLGYFLLCASWYKYKGNSDNTPELELHVNGEKQQSDKP >KJB50859 pep chromosome:Graimondii2_0_v6:8:47309032:47311708:-1 gene:B456_008G190200 transcript:KJB50859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRMNKSDKDEMEKEKATVATDDEPEINYRGWKAMPFIIGNETFEKLGAIGTLANLLIYLTTVFNLKSITAATMINIFNGTTNFGTLVGAFLCDTYFGRYKTLGFATAASFLGLQAIQLTAAIPELHPHRCAAKESGECEGPTAGQLAFLLMGLGLMIVGAGGVRPCNLAFGVDQFNPKTEAGKRGIDSFFNWYFFTFTFAQMVSLTLIVYIQSNVSWAIGLGIPATLMFIACVVYFVGSKIYVKVKATGSPMTSVAQVIVVAIKKRKLKPVEQPWLSLFKYIPPNSINSKLPYTDQFRFLDKAAIVTPQDEIKGDGSPADPWRLCSLQQVEEVKCLFRVLPIWASQIMYCVTLVQLHTYAVFQAVQSDRRLGNSNFKIPAASYVVFMMLSLTIFIPVYDRAVVPFLRKIRGKEGGITILQRIGIGMFVSIFTMLVSGMVEQHRRSIALTKPTLGVVPRKGAISSMSASILIPQFILGGLTEAFASIGLIEFYYKQFPENMKSIGGSLFYCGLAGSNYFSSLLIFIIHRTTNRTATGNWLEEDLNKGRLDYYYYIIAGLGILNLGYFLLCASWYKYKGNSDNTPELELHVNGEKQQSDKP >KJB50862 pep chromosome:Graimondii2_0_v6:8:47309039:47311649:-1 gene:B456_008G190200 transcript:KJB50862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRMNKSDKDEMEKEKATVATDDEPEINYRGWKAMPFIIGNETFEKLGAIGTLANLLIYLTTVFNLKSITAATMINIFNGTTNFGTLVGAFLCDTYFGRYKTLGFATAASFLGLQAIQLTAAIPELHPHRCAAKESGECEGPTAGQLAFLLMGLGLMIVGAGGVRPCNLAFGVDQFNPKTEAGKRGIDSFFNWYFFTFTFAQMVSLTLIVYIQSNVSWAIGLGIPATLMFIACVVYFVGSKIYVKVKATGSPMTSVAQVIVVAIKKRKLKPVEQPWLSLFKFLDKAAIVTPQDEIKGDGSPADPWRLCSLQQVEEVKCLFRVLPIWASQIMYCVTLVQLHTYAVFQAVQSDRRLGNSNFKIPAASYVVFMMLSLTIFIPVYDRAVVPFLRKIRGKEGGITILQRIGIGMFVSIFTMLVSGMVEQHRRSIALTKPTLGVVPRKGAISSMSASILIPQFILGGLTEAFASIGLIEFYYKQFPENMKSIGGSLFYCGLAGSNYFSSLLIFIIHRTTNRTATGNWLEEDLNKGRLDYYYYIIAGLGILNLGYFLLCASWYKYKGNSDNTPELELHVNGEKQQSDKP >KJB49177 pep chromosome:Graimondii2_0_v6:8:32754280:32757131:-1 gene:B456_008G105000 transcript:KJB49177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATEQLMCQPSDSFNPLITTSFQVSCILVISHIFHLVLKPLGQPGPFAGVVLGPSLLSRIQRVKDFFIQASAAKYYQFFSFVCRMLFMFSIGLETDVPYLRRNIRVVSIVAGGGSILACLFGGPFFWLLIKVFNVTKERFAFYLLTLTVLANSASPIVIRMIAETKFDTADLGRMAIYSSLVNEMSCVTIVSTLKAFSSSGRFGGAILITLVTVAVIFVNKYLSYFFNKRNQNNRFVSNKEIFVIMFLLTCLALYAEWVGYTAIFCCFLVGLMFPREGKTARTLLHKLTYSVNTFILPVYFGYTGFQLDISNIFNKLTLALTVLMILVSVGTRIVGTLAACHYLMIPWNESVILSLLLCLKGNYDLILINTNPNPNMVWAADLHDFLLTVVVLNTLIIGPVAAILLNREESSAQYPTILEILNPESELRILACVYVPRHVSGHVSLISALGGCPTAPIKPYMVHLVELPKKRKSKLMYHQLEDGDQYSDEEEYGGNDVLEINDALDAFISETKILVHQSKIVASFLTINEDVCNGAEDLRVCIIFLPFHKHQRIDGKMENSMEEIRAINQKVLRHAPCSVGIFVDRGQTGFQQPHGSQCVQNIAILFFGGPDDREALACSKRILMHSKVNLTVIRFLHKTTASRSSNSWINDASQKDEEVIMAISNIGTENEIDNAFVETFYNRYVAQGRAGFVEKYVSSGQETVAVLREIADSYSLFIVGKGGRGTCPLTSGMSDWEECPELGLVGDLLASSELDISGSILVIQRHRHSEAEGGFLDD >KJB46911 pep chromosome:Graimondii2_0_v6:8:230833:242014:-1 gene:B456_008G001000 transcript:KJB46911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAANSHLGFLMSRNHTNSEPYQLKTKMGFSCLILVFVVQQFFGQSSAYLLIHGEPTNYVSAVGDPGMTNPNVRVALEAWNFCNEVGFEVPNVGSPRWADCTDLYCSSDFVYLGGGLVNNGSQCRVLHKVNETDNRLGAGDKFPIMGFESYADPDLFAKEKELYLGSLCEVQESPNPWQFWMIMVKNGNFDKNTTLCPENGQKVSKIVTDRKFPCFGEGCMNQPVVYHKYSMLGGNQKVYLTGEFNGTYDLDANLGENVGNNSFFSVSWKKNTSTGSWIFSHRLTTSTKYPWLMLYLRADATEGFNGGYHYNGRGMLKKLPESPNFMVRLTLDIKLGGGPNSQFYLLDIGSCWKNNGEPCDGDVLTDVTRYSEMIINPETTSWCRPDNLVSCPPYHFSPTGEIIYRNETDRFPYSAYHLYCSPGNAKYLEKPYDICDPYSNPQSQELVQILPHPEWAVHGYPEKKGDGWIGDRRTWELDVGALSSRLYFYQEQNPQGGSGLQSTLARKYM >KJB46910 pep chromosome:Graimondii2_0_v6:8:230754:242014:-1 gene:B456_008G001000 transcript:KJB46910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAANSHLGFLMSRNHTNSEPYQLKTKMGFSCLILVFVVQQFFGQSSAYLLIHGEPTNYVSAVGDPGMTNPNVRVALEAWNFCNEVGFEVPNVGSPRWADCTDLYCSSDFVYLGGGLVNNGSQCRVLHKVNETDNRLGAGDKFPIMGFESYADPDLFAKEKELYLGSLCEVQESPNPWQFWMIMVKNGNFDKNTTLCPENGQKVSKIVTDRKFPCFGEGCMNQPVVYHKYSMLGGNQKVYLTGEFNGTYDLDANLGENVGNNSFFSVSWKKNTSTGSWIFSHRLTTSTKYPWLMLYLRADATEGFNGGYHYNGRGMLKKLPESPNFMVRLTLDIKLGGGPNSQFYLLDIGSCWKNNGEPCDGDVLTDVTRYSEMIINPETTSWCRPDNLVSCPPYHFSPTGEIIYRNETDRFPYSAYHLYCSPGNAKYLEKPYDICDPYSNPQSQELVQILPHPEWAVHGYPEKKGDGWIGDRRTWELDVGALSSRLYFYQDPGTKPARRIWSSINIGTEIYVSRTRETAEWSVSDFDVLLPKFTHKADSSSSY >KJB46912 pep chromosome:Graimondii2_0_v6:8:233646:242014:-1 gene:B456_008G001000 transcript:KJB46912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAANSHLGFLMSRNHTNSEPYQLKTKMGFSCLILVFVVQQFFGQSSAYLLIHGEPTNYVSAVGDPGMTNPNVRVALEAWNFCNEVGFEVPNVGSPRWADCTDLYCSSDFVYLGGGLVNNGSQCRVLHKVNETDNRLGAGDKFPIMGFESYADPDLFAKEKELYLGSLCEVQESPNPWQFWMIMVKNGNFDKNTTLCPENGQKVSKIVTDRKFPCFGEGCMNQPVVYHKYSMLGGNQKVYLTGEFNGTYDLDANLGENVGNNSFFSVSWKKNTSTGSWIFSHRLTTSTKYPWLMLYLRADATEGFNGGYHYNGRGMLKKLPESPNFMVRLTLDIKLGGGPNSQFYLLDIGSCWKNNGEPCDGDVLTDVTRYSEMIINPETTSWCRPDNLVSCPPYHFSPTGEIIYRNETDRFPYSAYHLYCSPGNAKYLEKPYDICDPYSNPQSQELVQILPHPEWAVHGYPEKKGDGWIGDRRTWELDVGALSSRLYFYQVFVIFVEQYNEIS >KJB47668 pep chromosome:Graimondii2_0_v6:8:4424057:4433312:1 gene:B456_008G035900 transcript:KJB47668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSRKRAEASSAAPSSSPSGPTTRSHKRVRLSSSSAAAAATVAVTRSRTSRTSRTSAALMDPTTIESSSGSRRDRRSSKANQTTTSDNPNLASDRGKEKEHDPRIRDRDRDRDNRDNNSNHPERNLGLNMDTSGGDEDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSSAMGSGSSSHQSGRLKKVLSGLRADGEEGRQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMILAARALTHLCDVLPSSCAAVVHYGAVSCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADYVMEAVPLLTNLLQYHDSKVLEHASVCLTRIAEAFASSPDKLDELCNYGLVTQAASLISISNSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGVSANSSVSPALSRPAEQIFEIVNLANELLPPLPQGTISLPASSNIFVKGSILKRSPTSSSGKQEDTNRNALEVSPREKLLNDQPELLQQFGVDLLPVLIQIYGSSVNSPVRHKCLSVIGKLMYFSSAEMIQNLLSVTNISSFLAGVLAWKDPYVLVPSLQIAEILMEKLPGTFSKMFVREGVVHAVDQLVLIGNQNTTPVQASSLEKDNESVSGASSRSRRYRRRSGNSNLEGSSMEESKNPASLNIGSPTNSVEIPTANSNLRTAVSACAKAFKDKYFPSDPGAVEVGVTDDLLHLKNLCMKLNAAVNDQKTKAKGKSKASGSPWVDFSTSNEEYLTGVISEMLAELSKGDGVSTFEFIGSGVVVALLNYFSCGYFSQERISDVNLPKLRQQALKRYKSFISVALPSSVDEGSMAPMTVLVQKLQNALSSLERFPVVLSHSSRSSSGSARLSSGLGALAQPFKLRLCRAPREKSLRDYSSNIVLIDPLASLAAVEEFLWPRVQRSDTSQKLSVTVGNSESGNTPNRTDVSSPSTSTPASTTRRHSSRSRSSVNIGDVARKEQSQEKSTSSSKGKGKAVLKPSKEEPRGPQTRNAARRRAALDKDAPMKPVNDDSTSEDEELDMSPVEIDDALVIEDDDISDDEDDEHEDVLRDDSLPVCTPDKVHDVKLSDSAEDGSPAPAASDSQTNAASGSSSRAAAIRGSDSADFRSGYGSRGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPPGSSNEPPKLIFTAGNKQLNRHLTIYQAIQRQLVLDEDDDERYAGSDFTSSDGRGVWSDIYTITYQRAESQADRSSPGGSGSATASKSGKSGSSNSSSDPQPHRMSLLDSILQGELPCDLDRSNPTYTILALLRVLEGLNQLAPRLRAQIVSDNFAEGNVLTLGELSTSGSRVPHEEFINGKLTPKLARQIQDVLALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQHQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKTVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLAMWRSNSTWNKSVMEIDGDGDKNGKIAGSATINGDIVQAPLGLFPRPWPPNADASEGSQFFKVIEHFRLVGRVMAKALQDGRLLDLPLSMAFYKLVLGQELDLHDILSFDAEFGKILQELHLLVRRKQYLDSLGGDNSDAIPDLRFRGASIEDLCLDFTLPGYPDYILKLGDETVDINNLEEYISLVVDATVKTGIMHQMEAFRDGFNQVFDISSLQIFTPQELDYLLCGRRELWEAETLADHIKFDHGYTAKSPPIVNLLEIMGELTPEEQRAFCQFVTGAPRLPPGGLAVLNPRLTIVRKHSSSATAAAAANGTGLSESADEDLPSVMTCANYLKLPPYSTKEIMYKKLLYAINEGQGSFDLS >KJB47669 pep chromosome:Graimondii2_0_v6:8:4424108:4433312:1 gene:B456_008G035900 transcript:KJB47669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSRKRAEASSAAPSSSPSGPTTRSHKRVRLSSSSAAAAATVAVTRSRTSRTSRTSAALMDPTTIESSSGSRRDRRSSKANQTTTSDNPNLASDRGKEKEHDPRIRDRDRDRDNRDNNSNHPERNLGLNMDTSGGDEDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSSAMGSGSSSHQSGRLKKVLSGLRADGEEGRQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMILAARALTHLCDVLPSSCAAVVHYGAVSCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADYVMEAVPLLTNLLQYHDSKVLEHASVCLTRIAEAFASSPDKLDELCNYGLVTQAASLISISNSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGVSANSSVSPALSRPAEQIFEIVNLANELLPPLPQGTISLPASSNIFVKGSILKRSPTSSSGKQEDTNRNALEVSPREKLLNDQPELLQQFGVDLLPVLIQIYGSSVNSPVRHKCLSVIGKLMYFSSAEMIQNLLSVTNISSFLAGVLAWKDPYVLVPSLQIAEILMEKLPGTFSKMFVREGVVHAVDQLVLIGNQNTTPVQASSLEKDNESVSGASSRSRRYRRRSGNSNLEGSSMEESKNPASLNIGSPTNSVEIPTANSNLRTAVSACAKAFKDKYFPSDPGAVEVGVTDDLLHLKNLCMKLNAAVNDQKTKAKGKSKASGSPWVDFSTSNEEYLTGVISEMLAELSKGDGVSTFEFIGSGVVVALLNYFSCGYFSQERISDVNLPKLRQQALKRYKSFISVALPSSVDEGSMAPMTVLVQKLQNALSSLERFPVVLSHSSRSSSGSARLSSGLGALAQPFKLRLCRAPREKSLRDYSSNIVLIDPLASLAAVEEFLWPRVQRSDTSQKLSVTVGNSESGNTPNRTDVSSPSTSTPASTTRRHSSRSRSSVNIGDVARKEQSQEKSTSSSKGKGKAVLKPSKEEPRGPQTRNAARRRAALDKDAPMKPVNDDSTSEDEELDMSPVEIDDALVIEDDDISDDEDDEHEDVLRDDSLPVCTPDKVHDVKLSDSAEDGSPAPAASDSQTNAASGSSSRAAAIRGSDSADFRSGYGSRGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPPGSSNEPPKLIFTAGNKQLNRHLTIYQAIQRQLVLDEDDDERYAGSDFTSSDGRGVWSDIYTITYQRAESQADRSSPGGSGSATASKSGKSGSSNSSSDPQPHRMSLLDSILQGELPCDLDRSNPTYTILALLRVLEGLNQLAPRLRAQIVSDNFAEGNVLTLGELSTSGSRVPHEEFINGKLTPKLARQIQDVLALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQHQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKTVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLAMWRSNSTWNKSVMEIDGDGDKNGKIAGSATINGDIVQAPLGLFPRPWPPNADASEGSQFFKVIEHFRLVGRVMAKALQDGRLLDLPLSMAFYKLVLGQELDLHDILSFDAEFGKILQELHLLVRRKQYLDSLGGDNSDAIPDLRFRGASIEDLCLDFTLPGYPDYILKLGDETVDINNLEEYISLVVDATVKTGIMHQMEAFRDGFNQVFDISSLQIFTPQELDYLLCGRRELWEN >KJB48497 pep chromosome:Graimondii2_0_v6:8:12505062:12507266:1 gene:B456_008G072100 transcript:KJB48497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLGYHVIKTRTDTHKKKVRNLEERHANLVMDLDQMQWVAWKRLLAFENMGAFLLSAGTKGKQYSLPNSRVMIHQPLGGAEGGQIDIDIQANEMLHHKADLNLNIFLTHAALLLNSACFVFFFVFPITAAVVMQREWGLPIIMSM >KJB50431 pep chromosome:Graimondii2_0_v6:8:44536234:44537544:1 gene:B456_008G170600 transcript:KJB50431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPIRRHLSKREIGSEESNLTQSLKGATIIDFSVNISPAEDYELPPGALQSNVEKKPDATDSNVNKAEDMMSTMLAKGFILGKDAISRAKAFDERHHLIANASAAVTSIDEKMGLREKFSIGTTVVNEKMREMDERFQVSEMTKSALAVAELKASSAGTAIMSNPYVSTGASWLSNAFSVVARAAEDVSMLAREKVEKAEAEKKDIIYKERTGIINDFAHLHLDETSSPSL >KJB50430 pep chromosome:Graimondii2_0_v6:8:44533761:44537728:1 gene:B456_008G170600 transcript:KJB50430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLDHMDLSLGAVPRTNATTNWMINVSDIRTVKVSNISPSASESDIKEFFCFSGDIQYVEMRRETGNAQVAYVTFKESQGADTAMLLTGATIIDFSVNISPAEDYELPPGALQSNVEKKPDATDSNVNKAEDMMSTMLAKGFILGKDAISRAKAFDERHHLIANASAAVTSIDEKMGLREKFSIGTTVVNEKMREMDERFQVSEMTKSALAVAELKASSAGTAIMSNPYVSTGASWLSNAFSVVARAAEDVSMLAREKVEKAEAEKKDIIYKERTGIINDFAHLHLDETSSPSL >KJB50976 pep chromosome:Graimondii2_0_v6:8:48082516:48083850:1 gene:B456_008G196000 transcript:KJB50976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERRALAKKRKIEELQCQPCVDYGDETVAMQMQYPSRNPWGPNPNFSPRRSEKEQLTSLNPLNASQTKILQLESSSKNAPQQLIHFYCEVCQVPCSCSLNYKNHLNEKHKVKLQELKFGRKDGDEDCEMENPKPRCELCNIWCVDANALKQHLAGKKHKKMQGKVATVEGDIGEELKCELCGIGCPSKDLLHLHFNGKKHQEQLRKEGQAGNGGDGAQNRGQKRCRWCGTWCIDKSGLQIHLREKNHFLNELEVKKRRWQDLIRDIEANSLNSH >KJB49582 pep chromosome:Graimondii2_0_v6:8:36730706:36732593:1 gene:B456_008G126300 transcript:KJB49582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKAEKEWSSTSKLPLFSSPHAHMQSPEKQWVLTPPHHALASVPFRWEEEPGKPKPCCTTTLTTTYDLGRKCLELPPRLLILDAAKNTAGGKLYSPTTVLDGPYMGKARFQSSSFRIGSECYGSFRSGSFSPENMVVHGGSGGGAMVVSSKRVKRDKGFLGSRRRDVFPSFGDEYGSSFNTLSRSKSHFWGSIYQGLKQVVPWSKRGKKDRFMA >KJB47103 pep chromosome:Graimondii2_0_v6:8:1283048:1284137:-1 gene:B456_008G011300 transcript:KJB47103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLISFFQAMRFGDLPAWAIELSSSIRDAVFLEDHVSEPINSVTSNGGTKPCILPSNLLWREPLFDQLIVNVYHPGEGICAHVDLMRFEDGIGILSLESSCVMHFTRVEEGGSDIVEHHENHPLTTKVPVLLTPGSLVIMSREARYLWKHEINRKPGFQMWEGQELMQERRISITLRKLCQVY >KJB47102 pep chromosome:Graimondii2_0_v6:8:1282923:1285012:-1 gene:B456_008G011300 transcript:KJB47102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHEELLREVFGESSDSEDLDPQTKHHQTRDPIPSWEQIKEINGLWLCRGFLSPQHQSSLVSAVLNEGWFKEDSHNQAMRFGDLPAWAIELSSSIRDAVFLEDHVSEPINSVTSNGGTKPCILPSNLLWREPLFDQLIVNVYHPGEGICAHVDLMRFEDGIGILSLESSCVMHFTRVEEGGSDIVEHHENHPLTTKVPVLLTPGSLVIMSREARYLWKHEINRKPGFQMWEGQELMQERRISITLRKLCQVY >KJB48447 pep chromosome:Graimondii2_0_v6:8:11616939:11618360:-1 gene:B456_008G069500 transcript:KJB48447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNDAQPHVALLASPGMGHLIPIIELGKRMVSHHEFHVTIFFVASDHASITSLLKSPTPHNLDIVALPFVDISGLIEPNTHLVTKLLVIMRESLPSLRSLIAAMKIRPTALIVDMFGADAFEIAQEFGMLKYVFVTTNAWFLAVIFHSSSLDKQVILEGHVKGQKPLKIPGCKSLFFKDTLESLHDIDSVDESEFIGTKMTKSNGILINTWQDLESSTIEALRDNNYLGGLIKVPIYPIGPLVRQEGKQVLDKQVKQWLDKQPIESVIYVSFGSGGTLSAKQMTELAWGLEQSQRKFIWVVRPPSNNALGSYFTIGKTDGDGTPDYLPQGFFTRTKDIGLVIPMWAPQAQILSHPSVGGFLSHCGWNSTLESITNGVPMIAWPLYAEQKMNAAMLTEDFEIAVRPKVSTTEEIVGRGEIEGMVRMMMADKEGHAMKNIVKELKENAEKALDKGGSSYNSLSQVAQDCLHHLQV >KJB46769 pep chromosome:Graimondii2_0_v6:8:56806015:56808356:1 gene:B456_008G294500 transcript:KJB46769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEQPKPQNEDINMDLFEDDDEFEEFEIDGEWDDKEEGKEVTQQWEDEWDDDDVSDDFSLQLRKELENNTKN >KJB46770 pep chromosome:Graimondii2_0_v6:8:56805915:56808356:1 gene:B456_008G294500 transcript:KJB46770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEQPKPQNEDINMDLFEDDDEFEEFEIDGEWDDKEEGKEVTQQWEDEWDDDDVSDDFSLQLRKELENNTKN >KJB46768 pep chromosome:Graimondii2_0_v6:8:56805856:56808362:1 gene:B456_008G294500 transcript:KJB46768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEQPKPQNEDINMDLFEDDDEFEEFEIDGEWDDKEEGKEVTQQWEDEWDDDDVSDDFSLQLRKELENNTKN >KJB49856 pep chromosome:Graimondii2_0_v6:8:39340078:39342009:1 gene:B456_008G141900 transcript:KJB49856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERSNLWGGTFDITLEMEDQSIESGHRHYYDRSAYQSPLEDEVKQGWLLRPAMDMEMKRKKKKRCFNINPTVLIRTLVVVAVLAGFVALVAFLATRRHHHRPPPVQDNYTIALQRALMFFNAQRSGKLPANNHVSWRGDSCLDDGNGYLNGLEGGYYDGGDAVKYSFPASFAMTMLSWSVLEYSAKYETAGELNHVKDIIRWGTDYLLKTFNSSADTIDKIVLQVGKNSSENCWIRPESINYPRPVIPCSTCPALAAEMAAALAAASIVFKDDHKYSKKLVHGADILFKFATKGEGERYAGGSDPPSNFYNSSGFWDEFVWGGAWMYCATGNSSYIDLVTSPGLAKHAEAFWGGPDHGVHGWDNKHAGAQLLMSRLRMFLDFGYPYEEMLKNFHEQISEIICSYLPGSHNFKRTNGGLIELNHGKPKPLQYVTNAAFLATLYADYLEATLTPGWQCGAKFYPKDAFRDFAKTQIDYILGRNLRHMSYVVGLSDHFPQYVHHKGASIPGYIKHQSCQEGLRWKESKKPNPNTIVGAMVGGPDKHDGFQDNRSNYNFTEPTIAGNAGLVAALVALSDGSKTGIDKNTMFYAIPPFSMP >KJB52675 pep chromosome:Graimondii2_0_v6:8:55109958:55111136:1 gene:B456_008G272100 transcript:KJB52675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKSQAKPILFFFVLVSALIETSYAGDIAIYWGQNGNEGTLSDTCATGRYKYVNIGFLNTFGNGATPGLNLAGHCSPASNGCTSLSGEIKSCQNQGIKVMLSLGGGAGSYSLASQEDAKSVADYLWNNFLGGTSSSRPLGDAVLDGIDFDIEAGSGQYWDDLARSLSAYSSQGRKVYLTAAPQCPFPDAHLGTAINTGLFDYVWIQFYNNPLAQCQYASGNTKDILNSWNQWTSINAGSIFLGLPASPEAAGSGYIPPDVLTSQILPTIKSSGKYGGVMLWSKFFDNDYSAAIINSV >KJB48198 pep chromosome:Graimondii2_0_v6:8:8954543:8955044:1 gene:B456_008G0574001 transcript:KJB48198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGVQVSSEWRREKAIELNVYNQGMAKTELCDKWDEIGSCPYGEHCQFAHGITELRPVIRHPRYKTQACRMVLAGQICPYGHRCHFRHSLTE >KJB47515 pep chromosome:Graimondii2_0_v6:8:3598130:3599577:-1 gene:B456_008G029900 transcript:KJB47515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEKDKAPPPSSKPAKSGGGKQKKSKWSKGKQKEKVNNMVLFDQATYDKLLSEAPKYKLITPSILSDRMRINGSLARKAIRELMARGLISCFGHSAFLDEIDKVLKMSYLWKLRPSNTSFCVNLLDFEETRG >KJB47513 pep chromosome:Graimondii2_0_v6:8:3598453:3599586:-1 gene:B456_008G029900 transcript:KJB47513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSSLCTHFSLLPKHPMAPEKDKAPPPSSKPAKSGGGKQKKSKWSKGKQKEKVNNMVLFDQATYDKLLSEAPKYKLITPSILSDRMRINGSLARKAIRELMARGLIRLVSAHSSQQIYTRATNT >KJB47514 pep chromosome:Graimondii2_0_v6:8:3597930:3599691:-1 gene:B456_008G029900 transcript:KJB47514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSSLCTHFSLLPKHPMAPEKDKAPPPSSKPAKSGGGKQKKSWSKGKQKEKVNNMVLFDQATYDKLLSEAPKYKLITPSILSDRMRINGSLARKAIRELMARGLIRLVSAHSSQQIYTRATNT >KJB48805 pep chromosome:Graimondii2_0_v6:8:21259720:21261544:-1 gene:B456_008G090100 transcript:KJB48805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSIGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASE >KJB48800 pep chromosome:Graimondii2_0_v6:8:21258002:21261810:-1 gene:B456_008G090100 transcript:KJB48800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSIGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVATSIPENRGCLTGDGKTFFNKTRRHGGCELEIHRQFFPDRATRRWLCMLKTNM >KJB48804 pep chromosome:Graimondii2_0_v6:8:21258521:21261810:-1 gene:B456_008G090100 transcript:KJB48804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSIGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWMEEVRTERGSDVIIVLVGNKTDLVDKRKEIAKLVN >KJB48802 pep chromosome:Graimondii2_0_v6:8:21258863:21261544:-1 gene:B456_008G090100 transcript:KJB48802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSIGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWMEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDSKARELGVMFIETSAKAGFNIKPLFRKIAAALPGMERLSSTKQDDMVDVNLKSTVNSSQIEQQGGGCAC >KJB48801 pep chromosome:Graimondii2_0_v6:8:21258863:21261544:-1 gene:B456_008G090100 transcript:KJB48801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSIGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWMEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDSKARELGVMFIETSAKAGFNIKPLFRKIAAALPGMERLSSTKQDDMVDVNLKSTVNSSQIEQQGGGCAC >KJB48803 pep chromosome:Graimondii2_0_v6:8:21258507:21261810:-1 gene:B456_008G090100 transcript:KJB48803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSIGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWMEEVRTERGSDVIIVLVGNKTDLVDKSLYSGKSRLPYRGWKDFLQQNKTTWWM >KJB48806 pep chromosome:Graimondii2_0_v6:8:21259838:21261810:-1 gene:B456_008G090100 transcript:KJB48806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSIGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASEYELTRVAFVFFLFIR >KJB49120 pep chromosome:Graimondii2_0_v6:8:30413658:30414576:1 gene:B456_008G101900 transcript:KJB49120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRRKMNRTHAIHGSSTNINILLAKITHYLCLPFGRQKHIQMDILNNPKEQCCHGAELKLNIQVTIMIFEANKITTSRHRFNFTSTSKMVQTYLCPK >KJB51173 pep chromosome:Graimondii2_0_v6:8:49060992:49063348:1 gene:B456_008G205500 transcript:KJB51173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRAKSDRIVVKARVSIFEFRSVFIENPRPPNEVAEPQFLCQYPKQEMAVTFNDLGSAAGLKKLDEYLLTRSYISGYQASKDDITVYAALSGAPSSSYVNVSRWYKHIDALLRISGVSEEGCGVTVEGFAPAEAVATPPVADSKAAAAEDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDIKPWDDETDMKKLEEAVRSVQMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEEHLTVEPINEYVQSCDIVAFNKICKICYLGCLQ >KJB51176 pep chromosome:Graimondii2_0_v6:8:49060992:49063427:1 gene:B456_008G205500 transcript:KJB51176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRAKSDRIVVKARVSIFEFRSVFIENPRPPNEVAEPQFLCQYPKQEMAVTFNDLGSAAGLKKLDEYLLTRSYISGYQASKDDITVYAALSGAPSSSYVNVSRWYKHIDALLRISGVSEEGCGVTVEGFAPAEAVATPPVADSKAAAAEDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDIKPWDDETDMKKLEEAVRSVQMEGLLWGACN >KJB51178 pep chromosome:Graimondii2_0_v6:8:49061198:49063594:1 gene:B456_008G205500 transcript:KJB51178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFNDLGSAAGLKKLDEYLLTRSYISGYQASKDDITVYAALSGAPSSSYVNVSRWYKHIDALLRISGVSEEGCGVTVEGFAPAEAVATPPVADSKAAAAEDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDIKPWDDETDMKKLEEAVRSVQMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEEHLTVEPINEYVQSCDIVAFNKI >KJB51179 pep chromosome:Graimondii2_0_v6:8:49060992:49063348:1 gene:B456_008G205500 transcript:KJB51179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFNDLGSAAGLKKLDEYLLTRSYISGYQASKDDITVYAALSGAPSSSYVNVSRWYKHIDALLRISGVSEEGCGVTVEGFAPAEAVATPPVADSKAAAAEDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDIKPWDDETDMKKLEEAVRSVQMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEEHLTVEPINEYVQSCDIVAFNKI >KJB51177 pep chromosome:Graimondii2_0_v6:8:49060992:49063427:1 gene:B456_008G205500 transcript:KJB51177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRAKSDRIVVKARVSIFEFRSVFIENPRPPNEVAEPQFLCQYPVKMAVTFNDLGSAAGLKKLDEYLLTRSYISGYQASKDDITVYAALSGAPSSSYVNVSRWYKHIDALLRISGVSEEGCGVTVEGFAPAEAVATPPVADSKAAAAEDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDIKPWDDETDMKKLEEAVRSVQMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEEHLTVEPINEYVQSCDIVAFNKI >KJB51175 pep chromosome:Graimondii2_0_v6:8:49060992:49063427:1 gene:B456_008G205500 transcript:KJB51175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRAKSDRIVVKARVSIFEFRSVFIENPRPPNEVAEPQFLCQYPKQEMAVTFNDLGSAAGLKKLDEYLLTRSYISGYQASKDDITVYAALSGAPSSSYVNVSRWYKHIDALLRISGVSEEGCGVTVEGFAPAEAVATPPVADSKATEERAAAVKASGKKKESGKSSVLLDIKPWDDETDMKKLEEAVRSVQMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEEHLTVEPINEYVQSCDIVAFNKI >KJB51174 pep chromosome:Graimondii2_0_v6:8:49060992:49062690:1 gene:B456_008G205500 transcript:KJB51174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRAKSDRIVVKARVSIFEFRSVFIENPRPPNEVAEPQFLCQYPKQEMAVTFNDLGSAAGLKKLDEYLLTRSYISGYQASKDDITVYAALSGAPSSSYVNVSRWYKHIDALLRISGVSEEGCGVTVEGFAPAEAVATPPVADSKAAAAEDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDIKPWDDETDMKKLEEAVRSVQMEGLLWGACKWLN >KJB52925 pep chromosome:Graimondii2_0_v6:8:55980646:55983868:-1 gene:B456_008G283900 transcript:KJB52925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTSCMFCTCVKQGNIGVVERFGRFEKLAEPGLHIFNPFAGQYLAGILSTRISSLDVRIETKTKDNVFVQLLCSIQYRVVKAHADDAFYELQNPDEQIRAYVFDVVRALVPRMNLDDLFEQKGEVAKAVLEELGKVIGEYGYSIEHILMVDIIPDPAVRTAMNEINAGEAEKVLQVKRAEAEAESKYLGGVGVARQRQAITDGLRENILDFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDIGEQIRNGLMEASTAQINVE >KJB52924 pep chromosome:Graimondii2_0_v6:8:55980693:55983868:-1 gene:B456_008G283900 transcript:KJB52924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTSCMFCTCVKQGNIGVVERFGRFEKLAEPGLHIFNPFAGQYLAGILSTRISSLDVRIETKTKDNVFVQLLCSIQYRVVKAHADDAFYELQNPDEQIRAYVFDVVRALVPRMNLDDLFEQKGEVAKAVLEELGKVIGEYGYSIEHILMVDIIPDPAVRTAMNEINAAQRLQLASVYKGEAEKVLQVKRAEAEAESKYLGGVGVARQRQAITDGLRENILDFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDIGEQIRNGLMEASTAQINVE >KJB52926 pep chromosome:Graimondii2_0_v6:8:55980883:55983838:-1 gene:B456_008G283900 transcript:KJB52926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTSCMFCTCVKQGNIGVVERFGRFEKLAEPGLHIFNPFAGQYLAGILSTRISSLDVRIETKTKDNVFVQLLCSIQYRVVKAHADDAFYELQNPDEQIRAYVFDVVRALVPRMNLDDLFEQKGEVAKAVLEELGKVIGEYGYSIEHILMVDIIPDPAVRTAMNEINAAQRLQLASVYKGEAEKVLQVKRAEAEAESKYLGGVGVARQRQAITDGLRENILDFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDIGEQIRNGLMEASTAQINVE >KJB52927 pep chromosome:Graimondii2_0_v6:8:55980660:55983868:-1 gene:B456_008G283900 transcript:KJB52927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTSCMFCTCVKQGNIGVVERFGRFEKLAEPGLHIFNPFAGQYLAGILSTRISSLDVRIETKTKDNVFVQLLCSIQYRVVKAHADDAFYELQNPDEQIRAYVFDVVRALVPRMNLDDLFEQKGEVAKAVLEELGKVIGEYGYSIEHILMVDIIPDPAVRTAMNEINAAQRLQLASVYKGEAEKVLQVKRAEAEAESKYLGGVGVARQRQAITDGLRENILDFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDIGEQIRNGLMEASTAQINVE >KJB52923 pep chromosome:Graimondii2_0_v6:8:55980357:55983880:-1 gene:B456_008G283900 transcript:KJB52923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTSCMFCTCVKQGNIGVVERFGRFEKLAEPGLHIFNPFAGQYLAGILSTRISSLDVRIETKTKDNVFVQLLCSIQYRVVKAHADDAFYELQNPDEQIRAYVFDVVRALVPRMNLDDLFEQKGEVAKAVLEELGKVIGEYGYSIEHILMVDIIPDPAVRTAMNEINAAQRLQLASVYKGEAEKVLQVKRAEAEAESKYLGGVGVARQRQAITDGLRENILDFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDIGEQIRNGLMEASTAQINVE >KJB48828 pep chromosome:Graimondii2_0_v6:8:20474090:20476311:1 gene:B456_008G089100 transcript:KJB48828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSDTQQRIMPRREDREHSHCQVLAYPEAVLLTNPINPKLKGEVDDKYQYSIESKDNKLHGWIADHDAVGFWIITPSDEFRTGGPHKQDLTSHVGPTALSMFVSNHYTGKDIAEFYKERVAWKKAFGPVLIYLNSATFDHAHRYRKSLWKDAKKRLSKEIKSWPYSFAASKDYPHADHRGEVSGQLLVRDKYLHKQLMKAKSAFVGLAAPGRAGSWQTEGKGYQFWTQTDKAGRFSIKNVRRGKYNLYAWVHGFIGNYKLDRNVSVHTGYKKNLGTLIYDPPRSGPTLWEIGIPDRTAAEFFIPDPNPTFVNSILNHDDEKFRQYGLWDRYSDTYPHHDLVFKVGASNYSRDWFFAHVPRRTGNDTKATTWKIKFKLQEVNKSGKYTLQMALAAANFAEVQVRINKPDGSPHFTTNRIGYDNAVARHGIHGLYRLYSINVPGKRLRVGNNTIFLTQTRCKDEFMAVMYDYIRLEGPAV >KJB49617 pep chromosome:Graimondii2_0_v6:8:37136589:37137307:1 gene:B456_008G129000 transcript:KJB49617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSGTIQIVKVDVIDAMDNQSTTTSEEVAAARPSNSSSAATARISDLQLDVKVMGNNDVMIRVQSENVNYPGARLMSALRDLEFQVHHASMSCVNGLMLQDIVAKIPYGLTTEEAIKSALLWKLQQ >KJB46838 pep chromosome:Graimondii2_0_v6:8:8740700:8741429:-1 gene:B456_008G056900 transcript:KJB46838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKSYGNGSSWADQWDYSDRAAATSTANEKKRSSGGATAKYKQKVGDGLEKTKSVASTGMKKVKQGTSSGLQWIKDKYHKTKELSLRVLYV >KJB47105 pep chromosome:Graimondii2_0_v6:8:1303292:1304446:1 gene:B456_008G011500 transcript:KJB47105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKCDTWSEQVKFHLGVLDFDLALLEDNFAAITNSSSEEEMLYHKQWERSNRLRLIFLRMIVANNIKTTISQTGSAKEYLMFVEEYLRFANKSLNDALLAQLTTIKYDGSRGM >KJB47013 pep chromosome:Graimondii2_0_v6:8:772490:776350:-1 gene:B456_008G006300 transcript:KJB47013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKEDIERGNDSVLESAAVSLLQEENDMADKEDGYTDQTSSKASLWMVYFSTFVAVCGSFEFGSCAGYSSPTQTAIRTDLSLSLAEYSVFGSILTFGAMIGAITSGPIADFIGRKGAMRTATGFCVGGWLAIYFAKGALLLDVGRLATGYGMGVFSYVVPVFIAEIAPKNLRGALTTINQLMICTGVSVAFIIGTVLTWRALALTGLIPCAVLLFGLFFIPESPRWLAKIGREKEFEAALQDLRGKDTNISKEADEIRDYIETLERLPKAKMMDLFQQRYLNSVIIGVGLMVFQQFGGINGICFYVSNIFEMAGFSAAVGTIIYAILQVVITGLNTTVIDKAGRKPLLLVSSTGLVLGCILSGDHNLALDAVPILAVTGILIYISAFSAGMGAVPWVIMSEIFPINIKGAAGSLATLVNWFGAWAVSYTFNFLMSWSAYGTFILYAAINAGAILFVVTLVPETKGKTLEQIQAAINA >KJB47011 pep chromosome:Graimondii2_0_v6:8:772445:777771:-1 gene:B456_008G006300 transcript:KJB47011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKEDIERGNDSVLESAAVSLLQEENDMADKEDGYTDQTSSKASLWMVYFSTFVAVCGSFEFGSCAGYSSPTQTAIRTDLSLSLAEYSVFGSILTFGAMIGAITSGPIADFIGRKGAMRTATGFCVGGWLAIYFAKGALLLDVGRLATGYGMGVFSYVVPVFIAEIAPKNLRGALTTINQLMICTGVSVAFIIGTVLTWRALALTGLIPCAVLLFGLFFIPESPRWLAKIGREKEFEAALQDLRGKDTNISKEADEIRDYIETLERLPKAKMMDLFQQRYLNSVIIGVGLMVFQQFGGINGICFYVSNIFEMAGFSAAVGTIIYAILQVVITGLNTTVIDKAGRKPLLLVSSTGLVLGCILSGVSFYLRDHNLALDAVPILAVTGILIYISAFSAGMGAVPWVIMSEIFPINIKGAAGSLATLVNWFGAWAVSYTFNFLMSWSAYGTFILYAAINAGAILFVVTLVPETKGKTLEQIQAAINA >KJB47012 pep chromosome:Graimondii2_0_v6:8:772479:777799:-1 gene:B456_008G006300 transcript:KJB47012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKEDIERGNDSVLESAAVSLLQEENDMADKEDGYTDQTSSKASLWMVYFSTFVAVCGSFEFGSCAGYSSPTQTAIRTDLSLSLAEYSVFGSILTFGAMIGAITSGPIADFIGRKGAMRTATGFCVGGWLAIYFAKGALLLDVGRLATGYGMGVFSYVVPVFIAEIAPKNLRGALTTINQLMICTGVSVAFIIGTVLTWRALALTGLIPCAVLLFGLFFIPESPRWLAKIGREKEFEAALQDLRGKDTNISKEADEIRDYIETLERLPKAKMMDLFQQRYLNSVIIGVGLMVFQQFGGINGICFYVSNIFEMAGFSAAVGTIIYAILQVVITGLNTTVIDKAGRKPLLLVSSTGLVLGCILSGVSFYLRDHNLALDAVPILAVTGILIYISAFSAGMGAVPWVIMSEIFPINIKGAAGSLATLVNWFGAWAVSYTFNFLMSWSAYGTFILYAAINAGAILFVVTLVPETKGKTLEQIQAAINA >KJB47014 pep chromosome:Graimondii2_0_v6:8:772841:776007:-1 gene:B456_008G006300 transcript:KJB47014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKEDIERGNDSVLESAAVSLLQEENDMADKEDGYTDQTSSKASLWMVYFSTFVAVCGSFEFGSCAGYSSPTQTAIRTDLSLSLAEYSVFGSILTFGAMIGAITSGPIADFIGRKGAMRTATGFCVGGWLAIYFAKGALLLDVGRLATGYGMGVFSYVVPVFIAEIAPKNLRGALTTINQLMICTGVSVAFIIGTVLTWRALALTGLIPCAVLLFGLFFIPESPRWLAKIGREKEFEAALQDLRGKDTNISKEADEIRDYIETLERLPKAKMMDLFQQRYLNSVIIGVGLMVFQQFGGINGICFYVSNIFEMAGFSAAVGTIIYAILQVVITGLNTTVIDKAGRKPLLLVSSTGLVLGCILSGVSFYLRDHNLALDAVPILAVTGILIYISAFSAGMGAVPWVIMSEIFPINIKGAAGSLATLVNWFGAWAVSYTFNFLMSWSAYGTFILYAAINAGAILFVVTLVPETKGKTLEQIQAAINA >KJB49941 pep chromosome:Graimondii2_0_v6:8:39898506:39903060:-1 gene:B456_008G146300 transcript:KJB49941 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein PMS1 [Source:Projected from Arabidopsis thaliana (AT4G02460) UniProtKB/Swiss-Prot;Acc:Q941I6] MEGSPQSKSPVIKPINKGVVHRICSGQVILDLSSAVKELVENSLDAGATSIEIALKEYGEEWFQVIDNGCGISPANFKVVALKHHTSKLADFPDLESLTTFGFRGEALSSLCALGNLTIETRTKNEPVAMHLTFDHSGLLIAEKKTARQIGTTVTVKKLFSNLPVRSKEFHRNIRKEYGKLISLLNAYALTAKGVRLVCSNTSRKNLKSVVIKTQGSGSLKDSIIQVFGMNMFNCLEPVSICISDDCKIEGFLSKSGQGSGRSMGDRQYFFVNGRPVDMPKVSKLVNEIYKGANSKQYPVAVMNFIIPTRACDVNVTPDKRKVFFSDESMILQSLREGLQQIYSSSNASYIVNGVDDTNEAGSFEFCSSPEKSNILSEQSPPAGVNLKVSMREHSPEDKTSFRTVNINAQSLQLLEGSVSSDLENIPTLGVQGTNMVDGVFEANAGQLTSHMDDTAKKDLSGGLTLRHHEDSLKDFTLQVRRMNKIDGFMESNDGGLTTHMNSIASKNSSSPSTSIGKGNSVSRDCSSHSGYVQSSLNKFVTVNKRKHESISTVLSEVPVLRNQSLHYQSKNSNLNLPALGLRDQVDDSSKLNENEPRKFLRAHSILDETENPSSPSGNTSGGKPGEESENHEKAVPSAGTELIDLFHKNPEDVPEKASTVPTSESLSSALIVDAPVPPSDKKICSTLEFRFEDLLTKRRQRMSRVLYTGYRFLGMKKTRCYTAATLELSQPQNVEQKVQALDAATRELEKLFKKEDFSRMKVVGQFNLGFIIGKLDEDLFIVDQHAADEKYNFEHLAQSTIFNQQPLLR >KJB49942 pep chromosome:Graimondii2_0_v6:8:39899192:39903060:-1 gene:B456_008G146300 transcript:KJB49942 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein PMS1 [Source:Projected from Arabidopsis thaliana (AT4G02460) UniProtKB/Swiss-Prot;Acc:Q941I6] MEGSPQSKSPVIKPINKGVVHRICSGQVILDLSSAVKELVENSLDAGATSIEIALKEYGEEWFQVIDNGCGISPANFKVVALKHHTSKLADFPDLESLTTFGFRGEALSSLCALGNLTIETRTKNEPVAMHLTFDHSGLLIAEKKTARQIGTTVTVKKLFSNLPVRSKEFHRNIRKEYGKLISLLNAYALTAKGVRLVCSNTSRKNLKSVVIKTQGSGSLKDSIIQVFGMNMFNCLEPVSICISDDCKIEGFLSKSGQGSGRSMGDRQYFFVNGRPVDMPKVSKLVNEIYKGANSKQYPVAVMNFIIPTRACDVNVTPDKRKVFFSDESMILQSLREGLQQIYSSSNASYIVNGVDDTNEAGSFEFCSSPEKSNILSEQSPPAGVNLKVSMREHSPEDKTSFRTVNINAQSLQLLEGSVSSDLENIPTLGVQGTNMVDGVFEANAGQLTSHMDDTAKKDLSGGLTLRHHEDSLKDFTLQVRRMNKIDGFMESNDGGLTTHMNSIASKNSSSPSTSIGKGNSVSRDCSSHSGYVQSSLNKFVTVNKRKHESISTVLSEVPVLRNQSLHYQSKNSNLNLPALGLRDQVDDSSKLNENEPRKFLRAHSILDETENPSSPSGNTSGGKPGEESENHEKAVPSAGTELIDLFHKNPEDVPEKASTVPTSESLSSALIVDAPVPPSDKKICSTLEFRFEDLLTKRRQRMSRVLYTGYRFLGMKKTRCYTAATLELSQPQNVEQKVQALDAATRELEKLFKKEDFSRMKVFMLQKPFISFCFVGPGVLWETLSCLAYAFCGHA >KJB49940 pep chromosome:Graimondii2_0_v6:8:39896358:39903179:-1 gene:B456_008G146300 transcript:KJB49940 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein PMS1 [Source:Projected from Arabidopsis thaliana (AT4G02460) UniProtKB/Swiss-Prot;Acc:Q941I6] MEGSPQSKSPVIKPINKGVVHRICSGQVILDLSSAVKELVENSLDAGATSIEIALKEYGEEWFQVIDNGCGISPANFKVVALKHHTSKLADFPDLESLTTFGFRGEALSSLCALGNLTIETRTKNEPVAMHLTFDHSGLLIAEKKTARQIGTTVTVKKLFSNLPVRSKEFHRNIRKEYGKLISLLNAYALTAKGVRLVCSNTSRKNLKSVVIKTQGSGSLKDSIIQVFGMNMFNCLEPVSICISDDCKIEGFLSKSGQGSGRSMGDRQYFFVNGRPVDMPKVSKLVNEIYKGANSKQYPVAVMNFIIPTRACDVNVTPDKRKVFFSDESMILQSLREGLQQIYSSSNASYIVNGVDDTNEAGSFEFCSSPEKSNILSEQSPPAGVNLKVSMREHSPEDKTSFRTVNINAQSLQLLEGSVSSDLENIPTLGVQGTNMVDGVFEANAGQLTSHMDDTAKKDLSGGLTLRHHEDSLKDFTLQVRRMNKIDGFMESNDGGLTTHMNSIASKNSSSPSTSIGKGNSVSRDCSSHSGYVQSSLNKFVTVNKRKHESISTVLSEVPVLRNQSLHYQSKNSNLNLPALGLRDQVDDSSKLNENEPRKFLRAHSILDETENPSSPSGNTSGGKPGEESENHEKAVPSAGTELIDLFHKNPEDVPEKASTVPTSESLSSALIVDAPVPPSDKKICSTLEFRFEDLLTKRRQRMSRVLYTGYRFLGMKKTRCYTAATLELSQPQNVEQKVQALDAATRELEKLFKKEDFSRMKVVGQFNLGFIIGKLDEDLFIVDQHAADEKYNFEHLAQSTIFNQQPLLRPLRLELSPEEEVVASMHMDIIRKNGFILEEDPHAPPGHHFNLRAVPFSKNITFGVEDVKDLISTLSDSQGECSIIGSYKMDTSDSVCPTRVRAMLASRACRSSVMVGDPLGRNEMQKIVEHLADLKSPWNCPHGRPTMRHLVDLTTLSKEPDEDTSC >KJB48631 pep chromosome:Graimondii2_0_v6:8:14965641:14968594:-1 gene:B456_008G078600 transcript:KJB48631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSLKLHLWWRILVVVEFLVVQALGLNTDGILLLSFRYLILSDPLNVLQSWNSADQTPCSWNGVTCGAVSNSIKGDLRVTGLSLPNSQLLGAIPSDLGLLQHLQNLDLSNNSLNGSLPVSLFNSTQIRFLDLSNNLISGGIPETIGTLQNLQFLNLSDNALTGALPATLTTIQNLTVVSLKNNYFSGNLPTSFQSVQVLDLSSNLVEGSLPPNFGGSNLNYLNFSYNRLSGKIPPEFAEKIPSNATIDLSFNNLTGEVPDSVVFKNQDSKSFSGNPHLCGEATERDCPSPSPTYPPAMAAIPKKTDPGAKASRRNKLKPGTIVGIIVGDIAGVGLVIMVFLFVYKIKRKNRVLETTLKQEANTASPSSESKVFAIWACLIKRGKYEEESDSTEEEDDDDDDDQRQQGQEDDKKGTLVTVDGEKKLDLDTLLKASAYILGATGSNILYKAVLEDGTSLAVRRIGENSVDRFRDFETQVRIISKLVHPNLVRIRGFYWGVDEKLIIYDFVPNGSLANARYRKVGSSPCHLPWEARLKIAKGVARGLAYLHEKKHVHANLKPSNILLDSNMEPKIGDFGLERLVTGDTSSKVGMSAVNFGSKRSTASRDSLQDVVGPSPSPSPSPSSFGVSPYHAPESLRSLKPNPKWDVYAFGVILLELLTGKVIIVDELGQGNGVVVEDQNKALRMADAAIRGDLEGKEDALLACFKLGYNCASPNPQKRPTMKEALQILDKIPSSSHYYGY >KJB51294 pep chromosome:Graimondii2_0_v6:8:49650150:49658720:1 gene:B456_008G210400 transcript:KJB51294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEIMDQKNASASSHFSEDVCFPAERQIGFWKPNAMSDNQDKMVPSSPPNLGRDQEEKFDTSWNGIANLSEPSWNSVNHHPISLSNLHMQPVVNFNRNSGNANVIQHESSLFSSSLSEIFSRKLRLLGNDLPSQHAGIAASHREEESFKSMKEIEAQTIGNLLPDEDDLFSGVIDELGLNTHASKGDELEDFDLFSSGGGMELEGDDHVSISQRNPDLIGVLNGQGGPNGSIVGEHPYGELPSRTLFVRNINSNVEDSELKTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMRALQNKPLRRRELDIHYSIPKDNASEKDVDQGAVVVYKVDSFVSTDELQRVFGAFGEIKEIREVPNKHNHKVIEFYDVRAAEAALNALNRSNSAGKQIKLEPSRPGGLRRFIQPEQEQDEPNICGSPFDELSGHIGVIASGGMENASNQVLHSVIQSPVNTFVEPHRSSTVPINLASPARVAPIGQKLSLREPNHSMDEMKYANHGIPSFHPHSLPEYHDSLANGIAFNSPSTITNMASSASSMMAEGLDNRHVRGASSNGHLIEPTAGVFGSSGNGSLPVNGNSYMWKTNNSHQQHPSSAMVWPNSPSFVNGVHAYRLPHMPAFPRAPPVMFNVGSPVHHHIGSAPPNSALWDRRHHFAGESPETSGFHLGSLGSVGFPGSSPSHPVEIASHNIFSHVGGMDLMKNGGVHSPQQMSHLYPGRNPMISMPTSLDSPNERVRSFSHRRNELNSSNADKKQYELDIDRIIRGDDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFDGKKWEKFNSEKVATLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPMGTNIRSRPGRPRTSGTEENHRQSSSSTLANGEEYSNGAESLGSSKDSD >KJB51295 pep chromosome:Graimondii2_0_v6:8:49650148:49658698:1 gene:B456_008G210400 transcript:KJB51295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEIMDQKNASASSHFSEDVCFPAERQIGFWKPNAMSDNQDKMVPSSPPNLGRDQEEKFDTSWNGIANLSEPSWNSVNHHPISLSNLHMQPVVNFNRNSGNANVIQHESSLFSSSLSEIFSRKLRLLGNDLPSQHAGIAASHREEESFKSMKEIEAQTIGNLLPDEDDLFSGVIDELGLNTHASKGDELEDFDLFSSGGGMELEGDDHVSISQRNPDLIGVLNGQGGPNGSIVGEHPYGELPSRTLFVRNINSNVEDSELKTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMRALQNKPLRRRELDIHYSIPKDNASEKDVDQGAVVVYKVDSFVSTDELQRVFGAFGEIKEIREVPNKHNHKVIEFYDVRAAEAALNALNRSNSAGKQIKLEPSRPGGLRRFIQPEQEQDEPNICGSPFDELSGHIGVIASGGMENASNQVLHSVIQSPVNTFVEPHRSSTVPINLASPARVAPIGQKLSLREPNHSMDEMKYANHGIPSFHPHSLPEYHDSLANGIAFNSPSTITNMASSASSMMAEGLDNRHVRGASSNGHLIEPTAGVFGSSGNGSLPVNGNSYMWKTNNSHQQHPSSAMVWPNSPSFVNGVHAYRLPHMPAFPRAPPVMFNVGSPVHHHIGSAPPNSALWDRRHHFAGESPETSGFHLGSLGSVGFPGSSPSHPVEIASHNIFSHVGGMDLMKNGGVHSPQQMSHLYPGRNPMISMPTSLDSPNERVRSFSHRRNELNSSNADKKQYELDIDRIIRGDDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFDGKKWEKFNSEKVATLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPMGTNIRSRPGRPRTSGTEENHRQSSSSTLANGEEYSNGAESLGSSKDSD >KJB51296 pep chromosome:Graimondii2_0_v6:8:49650358:49658693:1 gene:B456_008G210400 transcript:KJB51296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEIMDQKNASASSHFSEDVCFPAERQIGFWKPNAMSDNQDKMVPSSPPNLGRDQEEKFDTSWNGIANLSEPSWNSVNHHPISLSNLHMQPVVNFNRNSGNANVIQHESSLFSSSLSEIFSRKLRLLGNDLPSQHAGIAASHREEESFKSMKEIEAQTIGNLLPDEDDLFSGVIDELGLNTHASKGDELEDFDLFSSGGGMELEGDDHVSISQRNPDLIGVLNGQGGPNGSIVGEHPYGELPSRTLFVRNINSNVEDSELKTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMRALQNKPLRRRELDIHYSIPKDNASEKDVDQGAVVVYKVDSFVSTDELQRVFGAFGEIKEIREVPNKHNHKVIEFYDVRAAEAALNALNRSNSAGKQIKLEPSRPGGLRRFIQPEQEQDEPNICGSPFDELSGHIGVIASGGMENASNQVLHSVIQSPVNTFVEPHRSSTVPINLASPARVAPIGQKLSLREPNHSMDEMKYANHGIPSFHPHSLPEYHDSLANGIAFNSPSTITNMASSASSMMAEGLDNRHVRGASSNGHLIEPTAGVFGSSGNGSLPVNGNSYMWKTNNSHQQHPSSAMVWPNSPSFVNGVHAYRLPHMPAFPRAPPVMFNVGSPVHHHIGSAPPNSALWDRRHHFAGESPETSGFHLGSLGSVGFPGSSPSHPVEIASHNIFSHVGGMDLMKNGGVHSPQQMSHLYPGRNPMISMPTSLDSPNERVRSFSHRRNELNSSNADKKQYELDIDRIIRGDDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFDGKKWEKFNSEKVATLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQVTLSYGYQYSIKARETSNIWH >KJB48165 pep chromosome:Graimondii2_0_v6:8:8027084:8039098:1 gene:B456_008G054800 transcript:KJB48165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain containing protein [Source: Projected from Oryza sativa (Os10g0487300)] MVDEMTQSCQVRIRDLSKYGTFINKNLSSNKKVHHFPNKQTSLEDGDLLSFGTGNATFRFSYVPLVLYICCAEASQMNHHLQDKVSSIGARLTHVYSEDCTHVIVDQHVPLKRDLLDAIVAKKPLLRTSWLELVAERSIQTKFPDCCSHVPTIMVDGVFVEVSDPKTRENCLGGYTFLLESTQVYKFGDRLQSLLEVSGSRVNYVEEICSSSQAFGCGENTCLTYVIPGRSVDKFDHLDNLGLSYRVDEMALIRALLSGKLDQSILISSSVPVSSSCSTDETVVADSDEEIEMATSVNVNATTCKEGAQNYVSRDGISMEAPSCVSKAEVPTCQDAIRLKDDRVTSKDDNCCLTARRDEADQVTSKDDNCCLTARRDKADESEYGSSNIVYSQVLIVRDVNITSKNSFKEDNGVINYKRFRKASIQSGNSFNNLVPFSKHPYKDYEFGSGDLAESVKEEKKRKKMDAIADDMFNSEKGGRQRGLSGSLRRGLLHTRG >KJB48163 pep chromosome:Graimondii2_0_v6:8:8026497:8039450:1 gene:B456_008G054800 transcript:KJB48163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain containing protein [Source: Projected from Oryza sativa (Os10g0487300)] MVWALLPVDPSSAEQEEEEEDKYYIFKNGTYSVGRKGCDIIIHKDKGVSRIHAHIMVDEMTQSCQVRIRDLSKYGTFINKNLSSNKKVHHFPNKQTSLEDGDLLSFGTGNATFRFSYVPLVLYICCAEASQMNHHLQDKVSSIGARLTHVYSEDCTHVIVDQHVPLKRDLLDAIVAKKPLLRTSWLELVAERSIQTKFPDCCSHVPTIMVDGVFVEVSDPKTRENCLGGYTFLLESTQVYKFGDRLQSLLEVSGSRVNYVEEICSSSQAFGCGENTCLTYVIPGRSVDKFDHLDNLGLSYRVDEMALIRALLSGKLDQSILISSSVPVSSSCSTDETVVADSDEEIEMATSVNVNATTCKEGAQNYVSRDGISMEAPSCVSKAEVPTCQDAIRLKDDRVTSKDDNCCLTARRDEADQVTSKDDNCCLTARRDKADESEYGSSNIVYSQVLIVRDVNITSKNSFKEDNGVINYKRFRKASIQSGNSFNNLVPFSKHPYKDYEFGSGDLAESVKEEKKRKKMDAIADDMFNSEKGGRQRGLSGSLRRGLLHTRG >KJB48166 pep chromosome:Graimondii2_0_v6:8:8026973:8039329:1 gene:B456_008G054800 transcript:KJB48166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain containing protein [Source: Projected from Oryza sativa (Os10g0487300)] MVDEMTQSCQVRIRDLSKYGTFINKNLSSNKKVHHFPNKQTSLEDGDLLSFGTGNATFRFSYVPLVLYICCAEASQMNHHLQDKVSSIGARLTHVYSEDCTHVIVDQHVPLKRDLLDAIVAKKPLLRTSWLELVAERSIQTKFPDCCSHVPTIMVDGVFVEVSDPKTRENCLGGYTFLLESTQVYKFGDRLQSLLEVSGSRVNYVEEICSSSQAFGCGENTCLTYVIPGRSVDKFDHLDNLGLSYRVDEMALIRALLSGKLDQSILISSSVPVSSSCSTDETVVADSDEEIEMATSVNVNATTCKEGAQNYVSRDGISMEAPSCVSKAEVPTCQDAIRLKDDRVTSKDDNCCLTARRDEADQVTSKDDNCCLTARRDKADESEYGSSNIVYSQVLIVRDVNITSKNSFKEDNGVINYKRFRKASIQSGNSFNNLVPFSKHPYKDYEFGSGDLAESVKEEKKRKKMDAIADDMFNSEKGGRQRGLSGSLRRGLLHTRG >KJB48164 pep chromosome:Graimondii2_0_v6:8:8026540:8039329:1 gene:B456_008G054800 transcript:KJB48164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain containing protein [Source: Projected from Oryza sativa (Os10g0487300)] MVWALLPVDPSSAEQEEEEEDKYYIFKNGTYSVGRKGCDIIIHKDKGVSRIHAHIMVDEMTQSCQVRIRDLSKYGTFINKNLSSNKKVHHFPNKQTSLEDGDLLSFGTGNATFRFSYVPLVLYICCAEASQMNHHLQDKVSSIGARLTHVYSEDCTHVIVDQHVPLKRDLLDAIVAKKPLLRTSWLELVAERSIQTKFPDCCSHVPTIMVDGVFVEVSDPKTRENCLGGYTFLLESTQVYKFGDRLQSLLEVSGSRVNYVEEICSSSQAFGCGENTCLTYVIPGRSVDKFDHLDNLGLSYRVDEMALIRALLSGKLDQSILISSSVPVSSSCSTDETVVADSDEEIEMATSVNVNATTCKEGAQNYVSRDGISMEAPSCVSKAEVPTCQDAIRLKDDRVTSKDDNCCLTARRDEADESEYGSSNIVYSQVLIVRDVNITSKNSFKEDNGVINYKRFRKASIQSGNSFNNLVPFSKHPYKDYEFGSGDLAESVKEEKKRKKMDAIADDMFNSEKGGRQRGLSGSLRRGLLHTRG >KJB47231 pep chromosome:Graimondii2_0_v6:8:1948202:1950377:-1 gene:B456_008G017100 transcript:KJB47231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTCQAAPAATFLSFFVDWFGSFNSIVLLIGSVPLTQSMEVVTTQYRKLAPPLNPAALFPFRSLESLQTQMLPPLPKGTQPQVAQPLSVVRSSSGDGYATLQGGQFSLNTNLPESTRPTLCVALDQLVSNTLILPWINRLGPIPMSQMDQSGNATSSQFDQTESTRMGQINQATPPSTEHSETDTTDVTWKTDSTRPSKPPDSESLTFWTACPYCYVLYEYPKVYEDCTLRCQAENCRRAFHAVVIPSPPPVDGKETYLCTMGFFPLGFSGNGENMGGNFPRWSPVSTMFACPNNKNAEGEEEEESQRGRICGEKR >KJB47233 pep chromosome:Graimondii2_0_v6:8:1948938:1950377:-1 gene:B456_008G017100 transcript:KJB47233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTCQAAPAATFLSFFVDWFGSFNSIVLLIGSVPLTQSMEVVTTQYRKLAPPLNPAALFPFRSLESLQTQMLPPLPKGTQPQVAQPLSVVRSSSGDGYATLQGGQFSLNTNLPESTRPTLCVALDQLVSNTLILPWINRLGPIPMSQMDQSGNATSSQFDQTESTRMGQINQATPPSTEHSETDTTDVTWKTDSTRPSKPPDSESLTFWTACPYCYVLYEYPKVYEDCTLRCQAENCRRAFHAVVIPSPPPVDGKETYLCTMGFFPLGFSGNGENMGGNFPRWSPVSTMFACPNNKNAGKQTSAQKSAPRVFYDEDDIYIEISSAEDTNNDDDDDKWQKERRKKKAKGEGSVVRNAKTQRSERVNGNGRGVSMVPEAEPSKRGVTNSGYVEGLEEFLSSLHMLAVGGGR >KJB47232 pep chromosome:Graimondii2_0_v6:8:1949098:1950377:-1 gene:B456_008G017100 transcript:KJB47232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTCQAAPAATFLSFFVDWFGSFNSIVLLIGSVPLTQSMEVVTTQYRKLAPPLNPAALFPFRSLESLQTQMLPPLPKGTQPQVAQPLSVVRSSSGDGYATLQGGQFSLNTNLPESTRPTLCVALDQLVSNTLILPWINRLGPIPMSQMDQSGNATSSQFDQTESTRMGQINQATPPSTEHSETDTTDVTWKTDSTRPSKPPDSESLTFWTACPYCYVLYEYPKVYEDCTLRCQAENCRRAFHAVVIPSPPPVDGKETYLCTMGFFPLGFSGNGENMGGNFPRWSPVSTMFACPNNKNAVAEGEEEEESQRGRICGEKR >KJB50261 pep chromosome:Graimondii2_0_v6:8:42698996:42700408:1 gene:B456_008G160900 transcript:KJB50261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHPNHKPTIKFLCSYRGKILPRYPDRKLYYHGGETRVLVVDRSISFSELSLKMGEMCGTSVSLRCQLPTEDLDALVSITSDEELAYLIEEYDRLASPASFLKIRAFLGMPKSITKSISLSSSSLSLSSTSSSNSSSSSSTPRSSCGRHIPRTPPVAFPLCSSKKSTTNNIPCYGYRVHHGNHIQIYVRTGNTGNCNSRNAAS >KJB52916 pep chromosome:Graimondii2_0_v6:8:55941964:55943142:-1 gene:B456_008G283400 transcript:KJB52916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETWLIKVKRTISKHPNHKSNVGILSFEIASLMTKLLHLWNSLSDKAIIRLHNESIPFEGVTKLVSNNELFLLKLACAELVENIKLVAKSISRLSSRCQDAKLRCFDQCFDSFTDSGHDFHLWALSSNDMEAKNKKMATLIAITATLYKEMEELSTMENNLRKCNCFSMKDQQRLYCQSQEVKHLKQKSLWNTTFDNVVSILVRAVFSILARFKLVFGLGFFKSNSNFLKPPLDTLGASALALHYANLIITLEKMIRSPHLIGLATRDELYSILPSTIRSSLRGRLKGILGFIADDPLLAVEWRSAMGKILSWLSPLAHNMIKWQNERSFEHWDFNLLPKTNVLLLETLFFANKEKAEAAITELLVGLNYIWRFEREMTARTLFECSKIV >KJB51463 pep chromosome:Graimondii2_0_v6:8:50399508:50403234:-1 gene:B456_008G217200 transcript:KJB51463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHDEVIWQVIRHKHCSFMSKITTGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHKPNDLWERVKLPRNYEKALELIDKHLMYWPKLLVHKIKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAAVLDKAIEKELLARLENGIYDGIYNLPFNKFLQTLDTDDLQAEDENEEESEVEYVEDNFELEEEDDIEDLGMKDYHIGNDEEVDDDDDDDEVNAVEEQRVRRKSKSAHEKSEKDEFGKKLKKRPRIHVEVEHEGGERQKVTF >KJB51464 pep chromosome:Graimondii2_0_v6:8:50399558:50403177:-1 gene:B456_008G217200 transcript:KJB51464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHDEVIWQVIRHKHCSFMSKITTGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHKPNDLWERVKLPRNYEKALELIDKHLMYWPKLLVHKIKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAAVLDKAIEKELLARLENGIYDGIYNLPFNKFLQTLDTDDLQAEDENEEESEVEYVEDNFELEEEDDIEDLGMKDYHIDEEVDDDDDDDEVNAVEEQRVRRKSKSAHEKSEKDEFGKKLKKRPRIHVEVEHEGGERQKVTF >KJB49853 pep chromosome:Graimondii2_0_v6:8:39323676:39324845:-1 gene:B456_008G141600 transcript:KJB49853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYGSGQRPYGADRRMEIVSGKNTSVGSNQIYSTTRSRSPDLPPVPTRTSQGSSKPWGFTDPEMKRKKRIAKYKVYTVEGKMKASLSKGLRWIKNKCSQIAHGY >KJB49600 pep chromosome:Graimondii2_0_v6:8:36835914:36838333:-1 gene:B456_008G127200 transcript:KJB49600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFVGNFEYETRQSELERLFSKYGRIERVDMKSGFAFVYYEDERDAEDAIRELDNIPFGYDRRRLSVEWAKGERGRRGDGSRSVTNHRPTKTLFVINFDPIRTRERDILRHFEPYGKVLHVRIRRNFAFVQFATQDDATKALEATQRSKLLDRVVSVEYALRDDDERDNRYDSPKRGGYDRRGDSPYGRSRSPVYHRRQSPDYGRACSPVYERYNGPVYDRRKSPDYGRHRSPEYGRYRSRSPVRRSRT >KJB49599 pep chromosome:Graimondii2_0_v6:8:36836025:36837120:-1 gene:B456_008G127200 transcript:KJB49599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLYILMCISPFLHMYAGFAFVYYEDERDAEDAIRELDNIPFGYDRRRLSVEWAKGERGRRGDGSRSVTNHRPTKTLFVINFDPIRTRERDILRHFEPYGKVLHVRIRRNFAFVQFATQDDATKALEATQRSKLLDRVVSVEYALRDDDERDNRYDSPKRGGYDRRGDSPYGRSRSPVYHRRQSPDYGRACSPVYERYNGPVYDRRKSPDYGRHRSPEYGRYRSRSPVRRSRT >KJB49603 pep chromosome:Graimondii2_0_v6:8:36836120:36838310:-1 gene:B456_008G127200 transcript:KJB49603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFVGNFEYETRQSELERLFSKYGRIERVDMKSGFAFVYYEDERDAEDAIRELDNIPFGYDRRRLSVEWAKGERGRRGDGSRSVTNHRPTKTLFVINFDPIRTRERDILRHFEPYGKVLHVRIRRNFAFVQFATQDDATKALEATQRSKLLDRVVSVEYALRDDDERDNRYDSPKRGGYDRRGDSPYGRSRSPVYHRRQSPDYGRACSPVYERYNGPVYDRRKSPDYGRHRSPEYGRYRR >KJB49601 pep chromosome:Graimondii2_0_v6:8:36835914:36838260:-1 gene:B456_008G127200 transcript:KJB49601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFVGNFEYETRQSELERLFSKYGRIERVDMKSGFAFVYYEDERDAEDAIRELDNIPFGYDRRRLSVEWAKGERGRRGDGSRSVTNHRPTKTLFVINFDPIRTRERDILRHFEPYGKVLHVRIRRNFAFVQFATQDDATKALEATQRSKLLDRVVSVEYALRDDDERDNRYDSPKRGGYDRRGDSPYGRSRSPVYHRRQSPDYGRACSPVYERYNGPVYDRRKSPDYGRHRSPEYGRYRSRSPVRRSRT >KJB49602 pep chromosome:Graimondii2_0_v6:8:36835914:36838294:-1 gene:B456_008G127200 transcript:KJB49602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFVGNFEYETRQSELERLFSKYGRIERVDMKSGFAFVYYEDERDAEDAIRELDNIPFGYDRRRLSVEWAKGERGRRGDGSRSVTNHRPTKTLFVINFDPIRTRERDILRHFEPYGKVLHVRIRRNFAFVQFATQDDATKALEATQRSKLLDRVVSVEYALRDDDERDNRYDSPKRGGYDRRGDSPYGRSRSPVYHRRQSPDYGRACSPVYERYNGPVYDRRKSPDYGRHRSPEYGRYRSRSPVRRSRT >KJB50605 pep chromosome:Graimondii2_0_v6:8:45560501:45562276:1 gene:B456_008G179000 transcript:KJB50605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGETWGGFNDWEQVKVPTHSSSIHHQSTPPAEPDIVHRGLEMSSGDEAEVNSSVASNNGDEPNSGPLKKANEFGRILSNGVVKVAARLGYYLRILPFGATTGILAALLVPFVYAKMRKWRARVKEEKKDPLILLIQEKDQMINKLLIQIAHMKELISTRRRVPVLRNISRPGPRSGQLT >KJB50606 pep chromosome:Graimondii2_0_v6:8:45560623:45561446:1 gene:B456_008G179000 transcript:KJB50606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGETWGGFNDWEQVKVPTHSSSIHHQSTPPAEPDIVHRGLEMSSGDEAEVNSSVASNNGDEPNSGPLKKANEFGRILSNGVVKVAARLGYYLRILPFGATTGILAALLVPFVYAKMRKWRARVKEEKKDPLILLIQEKDQMINKLLIQIAHMKELISTRRRVPVLRVS >KJB48353 pep chromosome:Graimondii2_0_v6:8:10311808:10313746:1 gene:B456_008G064400 transcript:KJB48353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMRLFMFILVLGGASFEVTSNRLSQYEVIEKDYMNWVKQKSSFKHSLFGKPKNKLKPCLTIRVNKKPSLAEFATVKKAISSIPVVNHCRVVISIGAGIYREKIEIPATMAYITIVGAGADKTVIEWDDTADKMGQSGHSLGTYGSATFAINSPYFIAKNITFKNKAPLPPSGALGKQAVALRISADAAAFIGCKFIGAQDTLYDHIGRHYFKHCYIQGSVDFIFGNGLSLYKHCHLHAVTNSYGALTAQKRESMLEETGFSFVKCKVTGSGALYLGRAWGVFSRVVFVYTYMDKIITPRGWYDWGDKNREMTVFYGQYKCSGPGAEFGGRVSWARELTRQEAKPFISVDFIDGHSWLSVL >KJB48352 pep chromosome:Graimondii2_0_v6:8:10311593:10313866:1 gene:B456_008G064400 transcript:KJB48352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMRLFMFILVLGGASFEVTSNRLSQYEVIEKDYMNWVKQKSSFKHSLFGKPKNKLKPCLTIRVNKKPSLAEFATVKKAISSIPVVNHCRVVISIGAGIYREKIEIPATMAYITIVGAGADKTVIEWDDTADKMGQSGHSLGTYGSATFAINSPYFIAKNITFKNKAPLPPSGALGKQAVALRISADAAAFIGCKFIGAQDTLYDHIGRHYFKHCYIQGSVDFIFGNGLSLYKHCHLHAVTNSYGALTAQKRESMLEETGFSFVKCKVTGSGALYLGRAWGVFSRVVFVYTYMDKIITPRGWYDWGDKNREMTVFYGQYKCSGPGAEFGGRVSWARELTRQEAKPFISVDFIDGHSWLSVL >KJB48354 pep chromosome:Graimondii2_0_v6:8:10311824:10313413:1 gene:B456_008G064400 transcript:KJB48354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMRLFMFILVLGGASFEVTSNRLSQYEVIEKDYMNWVKQKSSFKHSLFGKPKNKLKPCLTIRVNKKPSLAEFATVKKAISSIPVVNHCRVVISIGAGIYREKIEIPATMAYITIVGAGADKTVIEWDDTADKMGQSGHSLGTYGSATFAINSPYFIAKNITFKNKAPLPPSGALGKQAVALRISADAAAFIGCKFIGAQDTLYDHIGRHYFKHCYIQGSVDFIFGNGLSLYKHCHLHAVTNSYGALTAQKRESMLEETGFSFVKCKVTGSGALYLGRAWGVFSRVVFVYTYMDKIITPRGWYDWGDKNREM >KJB48751 pep chromosome:Graimondii2_0_v6:8:18060470:18061447:-1 gene:B456_008G084400 transcript:KJB48751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYIKAVSFYMVFVMNWCKPAVSFSVVDSLPGFTAPLPFKLETGHTEVDDLEFFYYFIESERNPAKDPLLLWLTGGPGCSSLSGFFLEIGPLRFNMVEYNGSLPTFFLNQYAWAKVSSIIFLDAPVGTGFSYSRTAQGFKTGDMKHAISYYNFLRKV >KJB47883 pep chromosome:Graimondii2_0_v6:8:6259672:6262400:-1 gene:B456_008G046300 transcript:KJB47883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLHAGKTNKNAFKALIAAEYSGVQVKMVENFEMGVSNKTPEFIKMNPLGKVPVLETPEGPVFESNAIARYVARSKVNNPICGSSLIDYGHIEQWIDFAAMEIDANIAKWLYPRLGYGVYLPPAEEAAIAALKRALGALNTHLASNTFLVGHFVTLADIIMTCNLYLGFFQIMTKSFTSEFPHVERYFWTLVNQPKIKKILGEVKQAVSLPPVPSKKPAAQPKETKPKAEPKKEAKKEVEKQAAKAEAAEEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNEENTVSFVTMNKVGGFLQRMDLARKYAFGKMLVIGANPPFKVKGLWLFRGQEIPQFVIDECYDMELYEWKKVDLSDAAQKERVNQMIEDCEPFEGEPLLDAKCFK >KJB47885 pep chromosome:Graimondii2_0_v6:8:6259415:6261326:-1 gene:B456_008G046300 transcript:KJB47885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDANIAKWLYPRLGYGVYLPPAEEAAIAALKRALGALNTHLASNTFLVGHFVTLADIIMTCNLYLGFFQIMTKSFTSEFPHVERYFWTLVNQPKIKKILGEVKQAVSLPPVPSKKPAAQPKETKPKAEPKKEAKKEVEKQAAKAEAAEEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNEENTVSFVTMNKVGGFLQRMDLARKYAFGKMLVIGANPPFKVKGLWLFRGQEIPQFVIDECYDMELYEWKKVDLSDAAQKERVNQMIEDCEPFEGEPLLDAKCFK >KJB47886 pep chromosome:Graimondii2_0_v6:8:6259415:6262692:-1 gene:B456_008G046300 transcript:KJB47886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLHAGKTNKNAFKALIAAEYSGVQVKMVENFEMGVSNKTPEFIKMNPLGKVPVLETPEGPVFESNAIARYVARSKVNNPICGSSLIDYGHIEQWIDFAAMEIDANIAKWLYPRLGYGVYLPPAEEAAIAALKRALGALNTHLASNTFLVGHFVTLADIIMTCNLYLGFFQIMTKSFTSEFPHVERYFWTLVNQPKIKKILGEVKQAVSLPPKLNQRLNQRRKPKRRLRNRQQRQRQLRRRRHQSPNQRILLICCLQVRWYWMTGRGFTLTQRPISERLQLKDSGTCMIPRDTHCGFVTTSTMRRIQFHLSQ >KJB47884 pep chromosome:Graimondii2_0_v6:8:6259415:6260732:-1 gene:B456_008G046300 transcript:KJB47884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCNLYLGFFQIMTKSFTSEFPHVERYFWTLVNQPKIKKILGEVKQAVSLPPVPSKKPAAQPKETKPKAEPKKEAKKEVEKQAAKAEAAEEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNEENTVSFVTMNKVGGFLQRMDLARKYAFGKMLVIGANPPFKVKGLWLFRGQEIPQFVIDECYDMELYEWKKVDLSDAAQKERVNQMIEDCEPFEGEPLLDAKCFK >KJB47887 pep chromosome:Graimondii2_0_v6:8:6259415:6272680:-1 gene:B456_008G046300 transcript:KJB47887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLHAGKTNKNAFKALIAAEYSGVQVKMVENFEMGVSNKTPEFIKMNPLGKVPVLETPEGPVFESNAIARYVARSKVNNPICGSSLIDYGHIEQWIDFAAMEIDANIAKWLYPRLGYGVYLPPAEEAAIAALKRALGALNTHLASNTFLVGHFVTLADIIMTCNLYLGFFQIMTKSFTSEFPHVERYFWTLVNQPKIKKILGEVKQAVSLPPVPSKKPAAQPKETKPKAEPKKEAKKEVEKQAAKAEAAEEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNEENTVSFVTMNKVGGFLQRMDLARKYAFGKMLVIGANPPFKVKGLWLFRGQEIPQFVIDECYDMELYEWKKVDLSDAAQKERVNQMIEDCEPFEGEPLLDAKCFK >KJB47888 pep chromosome:Graimondii2_0_v6:8:6259352:6262692:-1 gene:B456_008G046300 transcript:KJB47888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLHAGKTNKNAFKALIAAEYSGVQVKMVENFEMGVSNKTPEFIKMNPLGKVPVLETPEGPVFESNAIARYVARSKVNNPICGSSLIDYGHIEQWIDFAAMEIDANIAKWLYPRLGYGVYLPPAEEAAIAALKRALGALNTHLASNTFLVGHFVTLADIIMTCNLYLGFFQIMTKSFTSEFPHVERYFWTLVNQPKIKKILGEVKQAVSLPPVPSKKPAAQPKETKPKAEPKKEAKKEVEKQAAKAEAAEEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNEENTVSFVTMNKVGGFLQRMDLARKYAFGKMLVIGANPPFKVKGLWLFRGQEIPQFVIDECYDMELYEWKKVDLSDAAQKERVNQMIEDCEPFEGEPLLDAKCFK >KJB52375 pep chromosome:Graimondii2_0_v6:8:54073138:54075541:1 gene:B456_008G259200 transcript:KJB52375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFEVILKPICLVILIVLCLHAMPSSCNFTSFVFGDSLVDAGNNDYIFTLSKADSPPYGIDFTPSGGQPTGRFTNGRTIADIVGQSLGAKSFPPPYLAPNAEAQAILRGINYASGASGIMDKTGFFFIGRIPLGEQVSNFEQNRIYMVNAMGENYTRKFLRKAIFSLTIGSNDVLNYIQLSIPFLRHDKVSPSTFLDFMISNLTLQLERLHEMGARKFIVVGVGPLGCIPFVRALKLLGRGQCSSAVNTLIQAYNHRLKELLSRLNQEMGPETIFVFANSYDVFMDIIANYHQYGLENANDPCCGGYFPPFVCFKSSDANTSALCDDRSKYVFWDAYHPTEAANLIIAKQLVDGDENVSFPINIRKLYNYNNS >KJB52440 pep chromosome:Graimondii2_0_v6:8:54244968:54246844:1 gene:B456_008G2619001 transcript:KJB52440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLQPTAQPSGEICSGVSTAPTSPCGSSPTFGQTSVNPHSITSTNPFGVSNSPVFGTVGTFGASSAPAFGTSLTHAVGASNSAFGTSKTPVFGVSNSAFGVSDTPTFGSSSTPSFSSGSSAAFGQSTPAFASSPFGTTTIGAQSSFGP >KJB51640 pep chromosome:Graimondii2_0_v6:8:51309508:51310140:-1 gene:B456_008G226500 transcript:KJB51640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSKPAPFSSFSQTTTHHLTLPPGISHDEFHDLIPSITQLHTYSDGPGKCSSLLAKRISAPHDLVWSIVRRFDKPQAYKHFIQSCAVEQGSQMVVGCTRKVNVISGLPADTSTERLDILDDERRVTGFSIIGGEHRLRNYRSVTTVHGFNRNGKIWTVVLESYVVDVPEGNTEEDTRLFADTVVKLNLQKLASVTEGLARDDDNDGNNS >KJB52581 pep chromosome:Graimondii2_0_v6:8:54718591:54722721:1 gene:B456_008G268600 transcript:KJB52581 gene_biotype:protein_coding transcript_biotype:protein_coding description:IDN1 [Source:Projected from Arabidopsis thaliana (AT3G49250) UniProtKB/TrEMBL;Acc:A0A178VBM0] MFPSNHQFSGQPRALAVVETTTTSIQVDLNDASLVSRDEVQNGGFSEAKSIMESSEKLQDDLRMLGVKIKQHEDSLKLLRNQKNKLDDTILDMQVTLGKYHSSSSPGVNKDESHLQSEHETTEQILQHEKSAAGILCQLKAHHGSQASHLSLTKDVLGVVATLGKVDDENLSRIFSEYLGVQTMLAVVCNTFEGVKALETFNQDGCIDKTSGLHGLAASIGRSLDGRFLVICLENLRPYAGDFVAEDRQRRLDLLKPRLPNGECPPGFLGFAVNMINVDSSNLSFVTASGEGLRETLFYNLFSHLQVYQTRAEMVRALPCISEGAVSLDGGMIRSNGVFSLGSREEVDVRFPKTSTMLEELESYSETEKQMIEMRWQKEKLEEDIKRELALLNTAKFNFERKKQDFVKFLAQNSTYATQFQAGRR >KJB52580 pep chromosome:Graimondii2_0_v6:8:54718352:54722882:1 gene:B456_008G268600 transcript:KJB52580 gene_biotype:protein_coding transcript_biotype:protein_coding description:IDN1 [Source:Projected from Arabidopsis thaliana (AT3G49250) UniProtKB/TrEMBL;Acc:A0A178VBM0] MFPSNHQFSGQPRALAVVETTTTSIQVDLNDASLVSRDEVQNGGFSEAKSIMESSEKLQDDLRMLGVKIKQHEDSLKLLRNQKNKLDDTILDMQVTLGKYHSSSSPGVNKDESHLQSEHETTEQILQHEKSAAGILCQLKAHHGSQASHLSLTKDVLGVVATLGKVDDENLSRIFSEYLGVQTMLAVVCNTFEGVKALETFNQDGCIDKTSGLHGLAASIGRSLDGRFLVICLENLRPYAGDFVAEDRQRRLDLLKPRLPNGECPPGFLGFAVNMINVDSSNLSFVTASGEGLRETLFYNLFSHLQVYQTRAEMVRALPCISEGAVSLDGGMIRSNGVFSLGSREEVDVRFPKTSTMLEELESYSETEKQMIEMRWQKEKLEEDIKRELALLNTAKFNFERKKQDFVKFLAQNSTYATQHQFQAGRR >KJB49673 pep chromosome:Graimondii2_0_v6:8:37918125:37920333:-1 gene:B456_008G132900 transcript:KJB49673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSNFNNAVVSFLAPLPSILFYLYFVNHLQTNNGGASLSPLWSWCFHHPLLLANVLFFFNVNVLFWVIGHIQSSNWMIDLYWTVIPVMLVYYYATHPLAQFDLWRSKVVIMLTWVWSIRLTHNYFRRENWQWGAREDWRFTDMRRQYGRHWWWISFFAVYFSQQIFLIGICLPLYVVHSVDKPLNMWDFVAASVCLCGIVIAYFADTQLHDFVTTNSKLKQLGKPMVPNLDRGLWRYSRHPNYFGEQLWWWGLVVFAWNLGHGWTFVGALINSMCLAYVTVLVERQMLKQEYRAEAYRLYQKTTSGCVPWFKSSVIAVKDKDT >KJB50719 pep chromosome:Graimondii2_0_v6:8:46276709:46278062:1 gene:B456_008G183900 transcript:KJB50719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSQQMNEFGNSLRKTLKMVTKTPLSTIAQAFEELSQCGTKDEGLPLDKFCDACSLVSVLFNSLGLAFKFAEMEYVAKVGNLVEASKRFATLENIVDADVGNGTVKKQRSPSRDLRRVRQGLDLVRALFEQFLSSKDYSLRNAASTAYAQVCAPYHTWAVRTAVSAGMHTLPSREQLLLKLNETDHSAKKKMRRYIKASRPLIDYIDKLYISRKIRLDW >KJB52724 pep chromosome:Graimondii2_0_v6:8:55266873:55267656:-1 gene:B456_008G274200 transcript:KJB52724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIVLKLDLHNDKCRQKGMKMASGLSGVESVAIDKDQKLTVIGDVDPVKAAKKLGKLCHTEIVSVGPAKEPEKKKEEPKKPPEPKKDPPKELVVQYPYPMICHPPYYPPFPDFYYKPIEEHPPACIIS >KJB47776 pep chromosome:Graimondii2_0_v6:8:14649547:14652904:-1 gene:B456_008G077900 transcript:KJB47776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGTGVVASRESSRKSTAERPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPDLLAGERVGSAGGGSLGGDLSAATIRKKAIEVGARVDALEAAHHHHHHHHHNHRGHNYNHGYDEELKPSTGGFLHRVDLNKMPDPEDSDGEWERK >KJB47777 pep chromosome:Graimondii2_0_v6:8:14651990:14652514:-1 gene:B456_008G077900 transcript:KJB47777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGTGVVASRESSRKSTAERPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPDLLAGERVGSAGGGSLGGDLSAATIRKKAIEVGARVDALEAAHHHHHHHHHNHRGHNYNHGYDEELKPSTGGFLHRVDLNKMPDPEDSDGEWERK >KJB47775 pep chromosome:Graimondii2_0_v6:8:14651990:14652514:-1 gene:B456_008G077900 transcript:KJB47775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGTGVVASRESSRKSTAERPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPDLLAGERVGSAGGGSLGGDLSAATIRKKAIEVGARVDALEAAHHHHHHHHHNHRGHNYNHGYDEELKPSTGGFLHRVDLNKMPDPEDSDGEWERK >KJB47778 pep chromosome:Graimondii2_0_v6:8:14651990:14652514:-1 gene:B456_008G077900 transcript:KJB47778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGTGVVASRESSRKSTAERPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPDLLAGERVGSAGGGSLGGDLSAATIRKKAIEVGARVDALEAAHHHHHHHHHNHRGHNYNHGYDEELKPSTGGFLHRVDLNKMPDPEDSDGEWERK >KJB51641 pep chromosome:Graimondii2_0_v6:8:51312810:51316064:-1 gene:B456_008G226600 transcript:KJB51641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLISGTVGGASQLIVGHPFDTIKVKLQSQSAPLPGQPPKYAGAMDAVRQTVAAEGPRGLYKGMGAPLATVAGLNAVLFMVRGQMEALLRSESGASLTVNQQIVAGAGAGVAVSFLACPTELIKCRLQAQSALAHSGSASVAVKYGGPMDVARHVLRSEGGVRGLFKGLVPTLAREVPGNAAMFGVYEALKQYMAEGPDTSKLGRGSLIVAGGLAGASFWIFVYPVDVIKSVVQVDDYRNPKYTGSMNAFNRILASEGVKGLFKGFGPAMARSIPANAACFLAFEVARSALG >KJB51643 pep chromosome:Graimondii2_0_v6:8:51312894:51315999:-1 gene:B456_008G226600 transcript:KJB51643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLISGTVGGASQLIVGHPFDTIKVKLQSQSAPLPGQPPKYAGAMDAVRQTVAAEGPRGLYKGMGAPLATVAGLNAVLFMVRGQMEALLRSESGASLTVNQQIVAGAGAGVAVSFLACPTELIKCRLQAQSALAHSGSASVAVKYGGPMDVARHVLRSEGGVRGLFKGLVPTLAREVPGNAAMFGVYEALKQYMAEGPDTSKLGRGSLIVAGGLAGASFWIFVYPVDVIKSVVQVDDYRNPKYTGSMNAFNRILASEGVKGLFKGFGPAMARSIPANAACFLAFEVARSALG >KJB51642 pep chromosome:Graimondii2_0_v6:8:51312810:51316051:-1 gene:B456_008G226600 transcript:KJB51642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLISGTVGGASQLIVGHPFDTIKVKLQSQSAPLPGQPPKYAGAMDAVRQTVAAEGPRGLYKGMGAPLATVAGLNAVLFMVRGQMEALLRSESGASLTVNQQIVAGAGAGVAVSFLACPTELIKCRLQAQSALAHSGSASVAVKYGGPMDVARHVLRSEGGVRGLFKGLVPTLAREVPGNAAMFGVYEALKQYMAEGPDTSKLGRGSLIVAGGLAGASFWIFVYPVDVIKSVVQVDDYRNPKYTGSMNAFNRILASEGVKGLFKGFGPAMARSIPANAACFLAFEVARSALG >KJB51246 pep chromosome:Graimondii2_0_v6:8:49419237:49426149:1 gene:B456_008G208700 transcript:KJB51246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVRVKQEIMETLNAERRKLSGVVREQSASVIELSSSSSDSDSSDSDDLDENDNPNGVVSAGEGPEGRASKKRKVNDVDFVLPLGFLAPLPPEDPAPIPLAYDTAAAEVSATVSPLEQAASASLSPSSSVLCKQFWKAGDYEGAPSSAWELSTGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVCNGATYVNVDVLKSKKDGSQMLVIEDNGGGMDPDKMRQCMSLGYSVKSKIANTIGQYGNGFKTSTMRLGADVIVFSRCCGKNGKRPTQSIGLLSYTFLTSTGKEDIVVPMLDYEWKQDEWSKITRSTVSDWDRNAETIVQWSPFSSEADLLRQFNLMKDHGTRIIIYNLWEDDQGLLELDFHADQHDIQLRGVNRDEKNIQMAKEFPNSRHFLTYRHSLRSYASILYLRLPPNFRIILRGKDVEHHNIVNDMMLTEMITYRPNPSAEGAPKDLNLAALVTIGFVKDAKYHIDVQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTTVLSRLETRLKEIQKLYWTTNCHRIGYAPRRNKKDPSSDHDAQQSTQSNKKSTSSTKRPTDLDKLYSPSNWNKKGKECQELPKAVDGGNGNGHVFSKGENRKTARMDSSAKVTTRPGKGLSSVETSSPSAEDDSDDVCEVLPKRQANGSSQKFVTRSKSKERGLNDERCHSQTDLHILEQLKQENSELKERLEKYEGEHQQQLHDDLQQERNRCQSLEIELAEALKKIEQLNNEQESIISIFSEERDRRDKEEEKLRKKLKDASNTIQELLDKVKILEKMKTPNIKQERRELL >KJB51245 pep chromosome:Graimondii2_0_v6:8:49419237:49426149:1 gene:B456_008G208700 transcript:KJB51245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVRVKQEIMETLNAERRKLSGVVREQSASVIELSSSSSDSDSSDSDDLDENDNPNGVVSAGEGPEGRASKKRKVNDVDFVLPLGFLAPLPPEDPAPIPLAYDTAAAEVSATVSPLEQAASASLSPSSSVLCKQFWKAGDYEGAPSSAWELSTGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVCNGATYVNVDVLKSKKDGSQMLVIEDNGGGMDPDKMRQCMSLGYSVKSKIANTIGQYGNGFKTSTMRLGADVIVFSRCCGKNGKRPTQSIGLLSYTFLTSTGKEDIVVPMLDYEWKQDEWSKITRSTVSDWDRNAETIVQWSPFSSEADLLRQFNLMKDHGTRIIIYNLWEDDQGLLELDFHADQHDIQLRGVNRDEKNIQMAKEFPNSRHFLTYRHSLRSYASILYLRLPPNFRIILRGKDVEHHNIVNDMMLTEMITYRPNPSAEGAPKDLNLAALVTIGFVKDAKYHIDVQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTTVLSRLETRLKEIQKLYWTTNCHRIGYAPRRNKKGIEQSLGKDPSSDHDAQQSTQSNKKSTSSTKRPTDLDKLYSPSNWNKKGKECQELPKAVDGGNGNGHVFSKGENRKTARMDSSAKVTTRPGKGLSSVETSSPSAEDDSDDVCEVLPKRQANGSSQKFVTRSKSKERGLNDERCHSQTDLHILEQLKQENSELKERLEKYEGEHQQQLHDDLQQERNRCQSLEIELAEALKKIEQLNNEQESIISIFSEERDRRDKEEEKYWRR >KJB51247 pep chromosome:Graimondii2_0_v6:8:49419237:49426149:1 gene:B456_008G208700 transcript:KJB51247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVRVKQEIMETLNAERRKLSGVVREQSASVIELSSSSSDSDSSDSDDLDENDNPNGVVSAGEGPEGRASKKRKVNDVDFVLPLGFLAPLPPEDPAPIPLAYDTAAAEVSATVSPLEQAASASLSPSSSVLCKQFWKAGDYEGAPSSAWELSTGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVCNGATYVNVDVLKSKKDGSQMLVIEDNGGGMDPDKMRQCMSLGYSVKSKIANTIGQYGNGFKTSTMRLGADVIVFSRCCGKNGKRPTQSIGLLSYTFLTSTGKEDIVVPMLDYEWKQDEWSKITRSTVSDWDRNAETIVQWSPFSSEADLLRQFNLMKDHGTRIIIYNLWEDDQGLLELDFHADQHDIQLRGVNRDEKNIQMAKEFPNSRHFLTYRHSLRSYASILYLRLPPNFRIILRGKDVEHHNIVNDMMLTEMITYRPNPSAEGAPKDLNLAALVTIGFVKDAKYHIDVQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTTVLSRLETRLKEIQKLYWTTNCHRIGYAPRRNKKGIEQSLGKDPSSDHDAQQSTQSNKKSTSSTKRPTDLDKLYSPSNWNKKGKECQELPKAVDGGNGNGHVFSKGENRKTARMDSSAKVTTRPGKGLSSVETSSPSAEDDSDDVCEVLPKRQANGSSQKFVTRSKSKERGLNDERCHSQTDLHILEQLKQENSELKERFLEDIYYIVSLMVSGLHDFNLKDTTMFCRLEKYEGEHQQQLHDDLQQERNRCQSLEIELAEALKKIEQLNNEQESIISIFSEERDRRDKEEEKLRKKLKDASNTIQELLDKVKILEKMKTPNIKQERRELL >KJB51244 pep chromosome:Graimondii2_0_v6:8:49418977:49426205:1 gene:B456_008G208700 transcript:KJB51244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVRVKQEIMETLNAERRKLSGVVREQSASVIELSSSSSDSDSSDSDDLDENDNPNGVVSAGEGPEGRASKKRKVNDVDFVLPLGFLAPLPPEDPAPIPLAYDTAAAEVSATVSPLEQAASASLSPSSSVLCKQFWKAGDYEGAPSSAWELSTGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVCNGATYVNVDVLKSKKDGSQMLVIEDNGGGMDPDKMRQCMSLGYSVKSKIANTIGQYGNGFKTSTMRLGADVIVFSRCCGKNGKRPTQSIGLLSYTFLTSTGKEDIVVPMLDYEWKQDEWSKITRSTVSDWDRNAETIVQWSPFSSEADLLRQFNLMKDHGTRIIIYNLWEDDQGLLELDFHADQHDIQLRGVNRDEKNIQMAKEFPNSRHFLTYRHSLRSYASILYLRLPPNFRIILRGKDVEHHNIVNDMMLTEMITYRPNPSAEGAPKDLNLAALVTIGFVKDAKYHIDVQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTTVLSRLETRLKEIQKLYWTTNCHRIGYAPRRNKKGIEQSLGKDPSSDHDAQQSTQSNKKSTSSTKRPTDLDKLYSPSNWNKKGKECQELPKAVDGGNGNGHVFSKGENRKTARMDSSAKVTTRPGKGLSSVETSSPSAEDDSDDVCEVLPKRQANGSSQKFVTRSKSKERGLNDERCHSQTDLHILEQLKQENSELKERLEKYEGEHQQQLHDDLQQERNRCQSLEIELAEALKKIEQLNNEQESIISIFSEERDRRDKEEEKLRKKLKDASNTIQELLDKVKILEKMKTPNIKQERRELL >KJB51511 pep chromosome:Graimondii2_0_v6:8:50678577:50682990:-1 gene:B456_008G220000 transcript:KJB51511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNREMDACSILNERRNVSSPSEAIDWDSLEQMPLGGKTIPEWLSELDAIAKEVEAELVSRDIGCHLVEVLEAVNLVLFESRGLTRSPVLVDSKYSYLHSVLSSRCGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQTGYPEELFKVTSGHSLFAIVNGRCVEDPRSMASDLTGTSLLGLEIATNRDIIGIALANLIRLHWKRASRSNHGLMLTSPLRHVNDAGEKPNMMDKSNVPLLRPQDLRLAIMASERLLILQPHNWALRRDHGMMLYYNREYGKAVQELSICMAFAPEEEAEILEPFVEKLHLMRLESSWKSLGHTGRLTVP >KJB51514 pep chromosome:Graimondii2_0_v6:8:50678653:50682701:-1 gene:B456_008G220000 transcript:KJB51514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTSYWVPTAAMAFPTFPDHCFFRYDHHHRNDHKDLRRWRIRTTAAAYPLFSNQIQLTKDSSSRHKLYQEAIKTSRDKFTREISFQSKDKNISLAKALLYVAAEDEAFMSFNREMDACSILNERRNVSSPSEAIDWDSLEQMPLGGKTIPEWLSELDAIAKEVEAELVSRDIGCHLVEVLEAVNLVLFESRGLTRSPVLVDSKYSYLHSVLSSRCGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQTGYPEELFKVTSGHSLFAIVNGRCVEDPRSMASDLTGTSLLGLEIATNRDIIGIALANLIVSFTGNVLQDQIMD >KJB51512 pep chromosome:Graimondii2_0_v6:8:50678653:50682701:-1 gene:B456_008G220000 transcript:KJB51512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTSYWVPTAAMAFPTFPDHCFFRYDHHHRNDHKDLRRWRIRTTAAAYPLFSNQIQLTKDSSSRHKLYQEAIKTSRDKFTREISFQSKDKNISLAKALLYVAAEDEAFMSFNREMDACSILNERRNVSSPSEAIDWDSLEQMPLGGKTIPEWLSELDAIAKEVEAELVSRDIGCHLVEVLEAVNLVLFESRGLTRSPVLVDSKYSYLHSVLSSRCGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQTGYPEELFKVTSGHSLFAIVNGRCVEDPRSMASDLTGTSLLGLEIATNRDIIGIALANLIRLHWKRASRSNHGLMLTSPLRHVNDAGEKPNMMDKSNVPLLRPQDLRLAIMASERLLILQPHNWALRRDHGMMLYYNREYGKAVQELSICMAFAPEEEAEILEPFVEKLHLMRLESSWKSLGHTGRLTVP >KJB51513 pep chromosome:Graimondii2_0_v6:8:50678653:50681509:-1 gene:B456_008G220000 transcript:KJB51513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNREMDACSILNERRNVSSPSEAIDWDSLEQMPLGGKTIPEWLSELDAIAKEVEAELVSRDIGCHLVEVLEAVNLVLFESRGLTRSPVLVDSKYSYLHSVLSSRCGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQTGYPEELFKVTSGHSLFAIVNGRCVEDPRSMASDLTGTSLLGLEIATNRDIIGIALANLIRLHWKRASRSNHGLMLTSPLRHVNDAGEKPNMMDKSNVPLLRPQDLRLAIMASERLLILQPHNWALRRDHGMMLYYNREYGKAVQELSICMAFAPEEEAEILEPFVEKLHLMRLESSWKSLGHTGRLTVP >KJB51515 pep chromosome:Graimondii2_0_v6:8:50679171:50681443:-1 gene:B456_008G220000 transcript:KJB51515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNREMDACSILNERRNVSSPSEAIDWDSLEQMPLGGKTIPEWLSELDAIAKEVEAELVSRDIGCHLVEVLEAVNLVLFESRGLTRSPVLVDSKYSYLHSVLSSRCGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQTGYPEELFKVTSGHSLFAIVNGRCVEDPRSMASDLTGTSLLGLEIATNRDIIGIALANLIRLHWKRASRSNHGLMLTSPLRHVNDAGEKPNMMDKSNVPLLRPQDLRLAIMASERLLILQPHNWALRRDHGMMLYYNREYGKAVQELSICMAFAPEEEAEILEPFVEKLHLMRLESSWKSLGHTGRLTVP >KJB51827 pep chromosome:Graimondii2_0_v6:8:51956572:51959271:-1 gene:B456_008G233300 transcript:KJB51827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METATIKSRPLHNLNRRRSPEYDSGYNSLRPERVGSRSTRIHRLSFCPPLIPIKLAHGEKEAKQKQRAEESLEPQKNKPDEEGEEVAVQRPWNLRPRKMVMEETSAAAATTVPPEKTTETAAPKSTRSRVLAENGGVVEKKEKRKFRIALSKDEIEEDIFALTGARPARRPKKRPKNIEKQLDNVFPGLWLVGLTPEAYRIVDAAPNKK >KJB53018 pep chromosome:Graimondii2_0_v6:8:56716746:56723056:1 gene:B456_008G293500 transcript:KJB53018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVSTPKDTGGNRRRPGSIGEVSVYVPGLRIPKPVDFSQSLSGHVSKTLVERLTAMRTRIVVMAGQEALTITRTRRKTTTQHGRSTLADLHQALEDYLPVLLGLVSDGSQLQFKVQFIWVNQEDDAEETAMFNAWYEVLSVLHLMAVLSLSQANLLLLPRTSADFYQPKASEESRRGSIDIFLKAAGYLDCAVRHVLPRLPSELRRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNLMNLPLSNGWGEKHRHFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFEESKKACEVFNAAHPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKNMGTPPTLPDFALALKPNEYQLPPVDPSWNENVQLGHIGTNEVKRG >KJB53014 pep chromosome:Graimondii2_0_v6:8:56716743:56723056:1 gene:B456_008G293500 transcript:KJB53014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVSTPKDTGGNRRRPGSIGEVSVYVPGLRIPKPVDFSQSLSGHVSKTLVERLTAMRTRIVVMAGQEALTITRTRRKTTTQHGRSTLADLHQALEDYLPVLLGLVSDGSQLQFKVQFIWVNQEDDAEETAMFNAWYEVLSVLHLMAVLSLSQANLLLLPRTSADFYQPKASEESRRGSIDIFLKATLAVKRRLACEMVKYWQQAQDNLMNLPLSNGWGEKHRHFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFEESKKACEVFNAAHPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKNMGTPPTLPDFALALKPNEYQLPPVDPSWNENVQLGHIGTNEVKRG >KJB53019 pep chromosome:Graimondii2_0_v6:8:56716666:56723056:1 gene:B456_008G293500 transcript:KJB53019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVSTPKDTGGNRRRPGSIGEVSVYVPGLRIPKPVDFSQSLSGHVSKTLVERLTAMRTRIVVMAGQEALTITRTRRKTTTQHGRSTLADLHQALEDYLPVLLGLVSDGSQLQFKVQFIWVNQEDDAEETAMFNAWYEVLSVLHLMAVLSLSQANLLLLPRTSADFYQPKASEESRRGSIDIFLKAAGYLDCAVRHVLPRLPSELRRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNLMNLPLSNGWGEKHRHFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFEESKKACEVFNAAHPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKNMGTPPTLPDFALALKPNEYQLPPVDPSWNENVQLGHIGTNEVKRG >KJB53017 pep chromosome:Graimondii2_0_v6:8:56716771:56723056:1 gene:B456_008G293500 transcript:KJB53017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVSTPKDTGGNRRRPGSIGEVSVYVPGLRIPKPVDFSQSLSGHVSKTLVERLTAMRTRIVVMAGQEALTITRTRRKTTTQHGRSTLADLHQALEDYLPVLLGLVSDGSQLQFKVQFIWVNQEDDAEETAMFNAWYEVLSVLHLMAVLSLSQANLLLLPRTSADFYQPKASEESRRGSIDIFLKAAGYLDCAVRHVLPRLPSELRRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNLMNLPLSNGWGEKHRHFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFEESKKACEVFNAAHPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKNMGTPPTLPDFALALKPNEYQLPPVDPSWNENVQLGHIGTNEVKRG >KJB53016 pep chromosome:Graimondii2_0_v6:8:56716939:56723056:1 gene:B456_008G293500 transcript:KJB53016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVSTPKDTGGNRRRPGSIGEVSVYVPGLRIPKPVDFSQSLSGHVSKTLVERLTAMRTRIVVMAGQEALTITRTRRKTTTQHGRSTLADLHQALEDYLPVLLGLVSDGSQLQFKVQFIWVNQEDDAEETAMFNAWYEVLSVLHLMAVLSLSQANLLLLPRTSADFYQPKASEESRRGSIDIFLKAAGYLDCAVRHVLPRLPSELRRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNLMNLPLSNGWGEKHRHFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFEESKKACEVFNAAHPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKNMGTPPTLPDFALALKPNEYQLPPVDPSWNENVQLGHIGTNEVKRG >KJB53012 pep chromosome:Graimondii2_0_v6:8:56716666:56723060:1 gene:B456_008G293500 transcript:KJB53012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVSTPKDTGGNRRRPGSIGEVSVYVPGLRIPKPVDFSQSLSGHVSKTLVERLTAMRTRIVVMAGQEALTITRTRRKTTTQHGRSTLADLHQALEDYLPVLLGLVSDGSQLQFKVQFIWVNQEDDAEETAMFNAWYEVLSVLHLMAVLSLSQANLLLLPRTSADFYQPKASEESRRGSIDIFLKAAGYLDCAVRHVLPRLPSELRRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNLMNLPLSNGWGEKHRHFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFEESKKACEVFNAAHPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKNMGTPPTLPDFALALKPNEYQLPPVDPSWNENVQLGHIGTNEVKRG >KJB53013 pep chromosome:Graimondii2_0_v6:8:56717032:56723056:1 gene:B456_008G293500 transcript:KJB53013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVSTPKDTGGNRRRPGSIGEVSVYVPGLRIPKPVDFSQSLSGHVSKTLVERLTAMRTRIVVMAGQEALTITRTRRKTTTQHGRSTLADLHQALEDYLPVLLGLVSDGSQLQFKVQFIWVNQEDDAEETAMFNAWYEVLSVLHLMAVLSLSQANLLLLPRTSADFYQPKASEESRRGSIDIFLKAAGYLDCAVRHVLPRLPSELRRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNLMNLPLSNGWGEKHRHFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFEESKKACEVFNAAHPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKNMGTPPTLPDFALALKPNEYQLPPVDPSWNENVQLGHIGTNEVKRG >KJB53015 pep chromosome:Graimondii2_0_v6:8:56716743:56723056:1 gene:B456_008G293500 transcript:KJB53015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVSTPKDTGGNRRRPGSIGEVSVYVPGLRIPKPVDFSQSLSGHVSKTLVERLTAMRTRIVVMAGQEALTITRTRRKTTTQHGRSTLADLHQALEDYLPVLLGLVSDGSQLQFKVQFIWVNQEDDAEETAMFNAWYEVLSVLHLMAVLSLSQANLLLLPRTSADFYQPKASEGSRRGSIDIFLKAAGYLDCAVRHVLPRLPSELRRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNLMNLPLSNGWGEKHRHFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFEESKKACEVFNAAHPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKNMGTPPTLPDFALALKPNEYQLPPVDPSWNENVQLGHIGTNEVKRG >KJB50405 pep chromosome:Graimondii2_0_v6:8:44730286:44731235:1 gene:B456_008G172300 transcript:KJB50405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTIVAWGREFAMEIGFQESVLAIKGKLEQFLGIPVGSQTLSVSGWELVDGLDMEDYPIITQGTKIDLITKPLSHPFNHCSKMQIIVKFSAKQIAIEVDRSETVSSLKEKIHIVDGTPIKRMSLFFSGIELADDFRNLSEYGISEYSEIIVFLKTMSRLREDPPTRKLNIVVQMSSSLLNAAAITFEMKDCSTVNDLRELLLSRKILPQDDYLFIHKQRIMRENCSLKWHGVENGDCLYVFRGTVSRSGC >KJB52175 pep chromosome:Graimondii2_0_v6:8:53835636:53838762:1 gene:B456_008G256000 transcript:KJB52175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISYNPSIALQSHQSLIIATIVTLLPLLLAVRSLLFRRPHKPNVSRPAPSAGKEETKQATPSCSCNGTSGSEAFLNGDKAAPAEEDMVTADVSKVAAERQSGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQDSITPVNGWKAYYAATRAIMNVNTEFFNIIRNRSLTAMSCFWLNADYVKCVHASGEHFSGLFILLQCKLLEYLVDDLVPLSMP >KJB52173 pep chromosome:Graimondii2_0_v6:8:53835608:53838833:1 gene:B456_008G256000 transcript:KJB52173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISYNPSIALQSHQSLIIATIVTLLPLLLAVRSLLFRRPHKPNVSRPAPSAGKEETKQATPSCSCNGTSGSEAFLNGDKAAPAEEDMVTADVSKVAAERQSGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQDSITPVNGWKAYYAATRAIMNVNTEFFNIIRNRSLTAMSCFWLNADYVKCVHASGEHFSGYNAVIQSWQLAFNWEQGVDFQVRDVRARVLTDMAWVTMKTFVDMDSGPFNMTNIFEFHHGRWYLVHHHSSVMLLADADMEHQIVHG >KJB52174 pep chromosome:Graimondii2_0_v6:8:53835859:53837381:1 gene:B456_008G256000 transcript:KJB52174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISYNPSIALQSHQSLIIATIVTLLPLLLAVRSLLFRRPHKPNVSRPAPSAGKEETKQATPSCSCNGTSGSEAFLNGDKAAPAEEDMVTADVSKVAAERQSGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQDSITPVNGWKAYYAATRAIMNVNTEFFNIIRNRSLTAMSCFWLNADYVKCVHASGEHFSGFHQASAMDLKF >KJB47096 pep chromosome:Graimondii2_0_v6:8:44923170:44925239:1 gene:B456_008G173600 transcript:KJB47096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVERADKSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLGPEKAIFIFVKNILPPTAAMMSAIYDENHDEDGFLYMTYSGENTFGIH >KJB47095 pep chromosome:Graimondii2_0_v6:8:44922921:44925336:1 gene:B456_008G173600 transcript:KJB47095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLSQNWFWTSGPHTCKGNNFFHNKSKDISIVFFNPLDHSLPLPIDFVSMAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVERADKSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLGPEKAIFIFVKNILPPTAAMMSAIYDENHDEDGFLYMTYSGENTFGIH >KJB52171 pep chromosome:Graimondii2_0_v6:8:53274461:53275885:-1 gene:B456_008G248800 transcript:KJB52171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCESRPLNSQSMVATLTSTPPSFLPLWRRKLPTYNPTQCLGTGKEALEISSNGYIKTNTIGFPEKGISTRPRTSSTPRPPRPRRIILVRHGESEGNVDETVYTRVPDPKIDLTEKGKAEAEECGWRIREMIEKDGANDWKVYFYVSPYKRTLETLRHLGLAFERSRISGMREEPRIREQDFGNFQDREKMRLDKALRLRYGRFFYRFPNGESAADVYDRITGFRETLKADIDIGRFQPPGEQSPNVNLIIVSHGLALRVFLMRWYKWTVQQFEGLNNMGNGNLIVMQKGYGGRYFFSPKWTHTHTRISASNGDLLFKNPQIQLIYASQ >KJB52172 pep chromosome:Graimondii2_0_v6:8:53274044:53275923:-1 gene:B456_008G248800 transcript:KJB52172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCESRPLNSQSMVATLTSTPPSFLPLWRRKLPTYNPTQCLGTGKEALEISSNGYIKTNTIGFPEKGISTRPRTSSTPRPPRPRRIILVRHGESEGNVDETVYTRVPDPKIDLTEKGKAEAEECGWRIREMIEKDGANDWKVYFYVSPYKRTLETLRHLGLAFERSRISGMREEPRIREQDFGNFQDREKMRLDKALRLRYGRFFYRFPNGESAADVYDRITGFRETLKADIDIGRFQPPGEQSPNVNLIIVSHGLALRVFLMRWYKWTVQQFEGLNNMGNGNLIVMQKGYGGRYSLSMHHNEEELREFGLTDEMLIDQEWQKTARIGELNYDCPMVNSFFPHFEDEGCIT >KJB47581 pep chromosome:Graimondii2_0_v6:8:3846552:3849126:1 gene:B456_008G031900 transcript:KJB47581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEDDTREGLASSIILQSLESTQQGDKISDEDLAWVNSCLVTDTEILERNWSSFKDVLLEIIGDQPEPLDSSATGSNGFLSGTEISIVPAIKEAEAANYSGRTDEDLLVIPVDGDNGINTNNIPIKKRTGTQLQFLQEDSAEMFWGDPFQPTYKENERKDSAVDSGVKSSLSAEEMNSSTADIFRVWDLDIPVDGDNGINTNNIPIKKRTGTELQFLQEDSTEVFRGDPFRPTYKENERKDSAADSGVKSSLSAEEMNSSTVDIFKVWDLDIPDEEDDLIKELNEVAAETTTSFPSMPLPFDDSTALKDLEDETLDNLIAGIADLSLNAEKF >KJB47580 pep chromosome:Graimondii2_0_v6:8:3846552:3849126:1 gene:B456_008G031900 transcript:KJB47580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEDDTREGLASSIILQSLESTQQGDKISDEDLAWVNSCLVTDTEILERNWSSFKDVLLEIIGDQPEPLDSSATGSNGFLSGTEISIVPAIKEAEAANYSGRTDEDLLVIPVDGDNGINTNNIPIKKRTGTQLQFLQEDSAEMFWGDPFQPTYKENERKDSAVDSGVKSSLSAEEMNSSTADIFRVWDLDIPVDGDNGINTNNIPIKKRTGTELQFLQEDSTEVFRGDPFRPTYKENERKDSAADSGVKSSLSAEEMNSSTVDIFKVWDLDIPDEEDDLIKELNEVAAETTTSFPSMPLPFDDSTALKDLEDETLDNLIAGIADLSLNAEKF >KJB47564 pep chromosome:Graimondii2_0_v6:8:3748947:3754532:-1 gene:B456_008G031300 transcript:KJB47564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHTKRPWILASLHSGVIQLWDYRMGTLIDRFEEHDGPVRGVHFHMSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLKKKTASPADDILRLSHMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHVNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGLQTFRREHDRFWILAVHPEMNILAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRNFDFPTQREAQVIPIRRPGSTSLNQSPRTLSYSPTENAVLICSDVDGGLYELYVIPKDSFGRGDGSLEARKGVGSSAIFVARNRFAVLDKGNNQVLVKNLKNEVVKKSGLPVPTDAIFYAGTGSLLCRSEDRVVIFDLQQRLVLGDLQTPFVKYVVWSNDMESVALLSKHSIIITNKKLVHKCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIRTLEVPIYITKVSGNTIFCLDRDGKNKSVVIDATEYIFKLSLLRKRYDHVMNMIRNSQLCGEAMIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAAIVEYAYQRTKNFERLSFLYLVNGNLEKLSKMLKIAEVKNDVMGQFHNALYLGDIQERVKILENAGHLPLAYITASVHGLQDVAERLAAELGDDVPPLPEGKEPSLLIPPTPVMCGGDWPLLRVMKGIFDGGFDGGRGAVDEEEEGAEGDWGEDLDVVDGLRIGDVSAILEDGEVAEENEEGGWDLEDLELPAEVETPKVSGNARSSIFVAPTPGMPVSQIWIQRSSLAAEHVAAGNFDAAMRLLSRQLGIRNFAPLKSMFLDLYTGSHSYLHALTSAPVVPLAVERGWSESAAPNVRCPPSLVFNFSQLNDKLKAGYKSTTAGKFTDALRLFLSILHTVPLIVVESGREVDEVKELIIIAKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQLPHLRLALLNAMTICYKAKNLATAGNFARRLLETNPTNENQAKTARQVLQAAERKMTDASQLNYDFRNPFVTCGATYVPIYRGQKDVSCPYCTARFVPSQDGQLCAVCDIAVVGADASGLLCSPSQIRNQIR >KJB47562 pep chromosome:Graimondii2_0_v6:8:3748618:3754741:-1 gene:B456_008G031300 transcript:KJB47562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHTKRPWILASLHSGVIQLWDYRMGTLIDRFEEHDGPVRGVHFHMSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLKKKTASPADDILRLSHMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHVNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGLQTFRREHDRFWILAVHPEMNILAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRNFDFPTQREAQVIPIRRPGSTSLNQSPRTLSYSPTENAVLICSDVDGGLYELYVIPKDSFGRGDGSLEARKGVGSSAIFVARNRFAVLDKGNNQVLVKNLKNEVVKKSGLPVPTDAIFYAGTGSLLCRSEDRVVIFDLQQRLVLGDLQTPFVKYVVWSNDMESVALLSKHSIIITNKKLVHKCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIRTLEVPIYITKVSGNTIFCLDRDGKNKSVVIDATEYIFKLSLLRKRYDHVMNMIRNSQLCGEAMIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAAIVEYAYQRTKNFERLSFLYLVNGNLEKLSKMLKIAEVKNDVMGQFHNALYLGDIQERVKILENAGHLPLAYITASVHGLQDVAERLAAELGDDVPPLPEGKEPSLLIPPTPVMCGGDWPLLRVMKGIFDGGFDGGRGAVDEEEEGAEGDWGEDLDVVDGLRIGDVSAILEDGEVAEENEEGGWDLEDLELPAEVETPKVSGNARSSIFVAPTPGMPVSQIWIQRSSLAAEHVAAGNFDAAMRLLSRQLGIRNFAPLKSMFLDLYTGSHSYLHALTSAPVVPLAVERGWSESAAPNVRCPPSLVFNFSQLNDKLKAGYKSTTAGKFTDALRLFLSILHTVPLIVVESGREVDEVKELIIIAKEYTCSAECNDHLL >KJB47563 pep chromosome:Graimondii2_0_v6:8:3748947:3754048:-1 gene:B456_008G031300 transcript:KJB47563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASFHPKEDLVVSASLDQTVRVWDIGSLKKKTASPADDILRLSHMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHVNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGLQTFRREHDRFWILAVHPEMNILAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRNFDFPTQREAQVIPIRRPGSTSLNQSPRTLSYSPTENAVLICSDVDGGLYELYVIPKDSFGRGDGSLEARKGVGSSAIFVARNRFAVLDKGNNQVLVKNLKNEVVKKSGLPVPTDAIFYAGTGSLLCRSEDRVVIFDLQQRLVLGDLQTPFVKYVVWSNDMESVALLSKHSIIITNKKLVHKCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIRTLEVPIYITKVSGNTIFCLDRDGKNKSVVIDATEYIFKLSLLRKRYDHVMNMIRNSQLCGEAMIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAAIVEYAYQRTKNFERLSFLYLVNGNLEKLSKMLKIAEVKNDVMGQFHNALYLGDIQERVKILENAGHLPLAYITASVHGLQDVAERLAAELGDDVPPLPEGKEPSLLIPPTPVMCGGDWPLLRVMKGIFDGGFDGGRGAVDEEEEGAEGDWGEDLDVVDGLRIGDVSAILEDGEVAEENEEGGWDLEDLELPAEVETPKVSGNARSSIFVAPTPGMPVSQIWIQRSSLAAEHVAAGNFDAAMRLLSRQLGIRNFAPLKSMFLDLYTGSHSYLHALTSAPVVPLAVERGWSESAAPNVRCPPSLVFNFSQLNDKLKAGYKSTTAGKFTDALRLFLSILHTVPLIVVESGREVDEVKELIIIAKEYTCSAECNDHLL >KJB50141 pep chromosome:Graimondii2_0_v6:8:41461458:41463862:1 gene:B456_008G155200 transcript:KJB50141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWCNDCSDVQTIERSSPPSSHKTVIAQRHKDCLLRACPSCGHQIKYRDQGGIHDLPGLPAGVKFDPTDQELLEHLEGKVRSDARKLHPLIDEFIPTIEGENGICYTHPEKLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHTDTEGGETRWHKTGKTRPVFIGGKVKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGNNEEEKDGELVISKVFYQTQPRQCGSIKDSLKLQSGHEASHHLKNNNNNGLVEFYNPSFISFDQGGQSRTNPTQLLPHFAVHDGSFIP >KJB50413 pep chromosome:Graimondii2_0_v6:8:44359943:44361922:-1 gene:B456_008G169600 transcript:KJB50413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSYLRSTESKERLLSKKGCSGLGGAEEGAKSGCFRSCCGSTDNFWNGFQQVSAKLYKMGRSDPRKVFFAMKMGLSLTVVSLLMLFKEPLRGVSQYSVWAILTVVLVFEFSVGATLSKGINRAIGTLSAGAIALGIAELSIKSGEYEEIVILISIFITGFISSYCKLYPRVKPYEYGFRVFLLTFCIVLVSGNNSRNFIHTAVFRLLHIFFGAGLSMIINICIYPIWSGEDLHKLVVKNFKNAASSLEGCVNGYLQCVEYERISSNILTYQASDDPLYSAYRSVVQSSCQEETLPPHGPYKSFNYPWRNYVKVSGALRHCAFMVMAMHGCMLSEIQASPEKREVFAVEIKRVGNEGAKVLRELGAKVEKMEKLSPGDILREVHEAGEDLQMKIDDKSYLLVNSETWATPLHNKEHEEEAKDDEHKLNMKSLSDISVDPSDVVIPESTMHKLSWPCLSFTADALLPQRRESKIYQSASSLSLATFTSLLIEFVARLQNLVDAFQELSELADFKVPLEQPLEKEVMGCWNRLTSCFQSNK >KJB52229 pep chromosome:Graimondii2_0_v6:8:53509473:53511987:-1 gene:B456_008G251300 transcript:KJB52229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCSNLHTPLSFPLLPRQQKVPSCFFVNSKVNFNQRKVTTTRMITCKKASSRGPDSDRVVKNKFEKTVAKQGDKKFVHEGLITESLPNGMFRVLLDNKDLILGYLSGKIRKNFVRVLPGDRVRVELSPYDSTKGRIVYRLRNKDPSG >KJB53047 pep chromosome:Graimondii2_0_v6:8:56507226:56510247:1 gene:B456_008G290300 transcript:KJB53047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNSEKELKTEVPSSHEAEQPQIQAIRMPSMEEIRAQEVWNNCAVRSVASGVMGGGLGFMMGMFLGALDNPIMQDQMSGKQQLLYNLKQMGSRSWSSAKTFAVMGVVFSAVECVVEKARAKHDTTNTVVAGCVTGGTMSAKAGPKAACVGCVGFAAFSVAIEKFFDRHT >KJB53048 pep chromosome:Graimondii2_0_v6:8:56507305:56508468:1 gene:B456_008G290300 transcript:KJB53048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNSEKELKTEVPSSHEAEQPQIQAIRMPSMEEIRAQEVWNNCAVRSVASGVMGGGLGFMMGMFLGALDNPIMQDQMSGKQQLLYNLKQMGSRSWSSAKTFAVMGVVFSAVECVVEKARAKHDTTNTVVAGCVTGGTMSAKGIYFLLLFLLLLVMVSMILVRSFLM >KJB48755 pep chromosome:Graimondii2_0_v6:8:18288695:18291194:1 gene:B456_008G084900 transcript:KJB48755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKNQFVCNRLKKVKEQVRSTSWPEKQKISAFSSSQKYFRKVSLLAPINFFLHYFSPKSTVGCKSAFQLARRTGLKG >KJB51251 pep chromosome:Graimondii2_0_v6:8:49486920:49488228:1 gene:B456_008G209200 transcript:KJB51251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT3G48760) UniProtKB/Swiss-Prot;Acc:Q9M306] MFVFSTTLLCLYVHGFCWVYIRRIMNGEEATIWKAMTKTPASIVLIIYTFISVWFVGGLTVFHLYLISTNQSTYENFRYRYDSHENPYNKGVIRNFLEVFCTSIPPSKINLRAKVPKEPAIAPRTVSGGFISPNNGKAIGDIEMGRKPVWDEALGDISDYEGQHSVDYSDKDSRLPDVSPDLSRILPPDNTEGRGVMHHPRRSSWGRNSERWEISPEILPLSRIGESKGMNGKSNGESTNQNQQFEMRLSTVK >KJB51249 pep chromosome:Graimondii2_0_v6:8:49484973:49488228:1 gene:B456_008G209200 transcript:KJB51249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT3G48760) UniProtKB/Swiss-Prot;Acc:Q9M306] MTEVRPGQTPPLRLPRTKDVIVNGISVKIKYCDTCMLYRPPRCSHCSICNNCVDRFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCLYVHGFCWVYIRRIMNGEEATIWKAMTKTPASIVLIIYTFISVWFVGGLTVFHLYLISTNQSTYENFRYRYDSHENPYNKGVIRNFLEVFCTSIPPSKINLRAKVPKEPAIAPRTVSGGFISPNNGKAIGDIEMGRKPVWDEALGDISDYEGQHSVDYSDKDSRLPDVSPDLSRILPPDNTEGRGVMHHPRRSSWGRNSERWEISPEILPLSRIGESKGMNGKSNGESTNQNQQFEMRLSTVK >KJB51250 pep chromosome:Graimondii2_0_v6:8:49484973:49488228:1 gene:B456_008G209200 transcript:KJB51250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT3G48760) UniProtKB/Swiss-Prot;Acc:Q9M306] MNGLQRPRPDLADEANHGDGFIRTYKAWKGSNVFVLGGRFIFGPDVRSLFFTIFLIVAPVAVFCVFVARKLMDDFPHHLGISIMAVVVALTLSDITLLLLASGRDPGVIPRNLHPPEPEGYEGMTEVRPGQTPPLRLPRTKDVIVNGISVKIKYCDTCMLYRPPRCSHCSICNNCVDRFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCLYVHGFCWVYIRRIMNGEEATIWKAMTKTPASIVLIIYTFISVWFVGGLTVFHLYLISTNQVIFIFQFIIRSYCQNFQILALRICFNVHHFSCKPRTTSKPTTYSDKFIMLESCGVLFLVLCIIFSWK >KJB51248 pep chromosome:Graimondii2_0_v6:8:49484901:49488270:1 gene:B456_008G209200 transcript:KJB51248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT3G48760) UniProtKB/Swiss-Prot;Acc:Q9M306] MNGLQRPRPDLADEANHGDGFIRTYKAWKGSNVFVLGGRFIFGPDVRSLFFTIFLIVAPVAVFCVFVARKLMDDFPHHLGISIMAVVVALTLSDITLLLLASGRDPGVIPRNLHPPEPEGYEGMTEVRPGQTPPLRLPRTKDVIVNGISVKIKYCDTCMLYRPPRCSHCSICNNCVDRFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCLYVHGFCWVYIRRIMNGEEATIWKAMTKTPASIVLIIYTFISVWFVGGLTVFHLYLISTNQSTYENFRYRYDSHENPYNKGVIRNFLEVFCTSIPPSKINLRAKVPKEPAIAPRTVSGGFISPNNGKAIGDIEMGRKPVWDEALGDISDYEGQHSVDYSDKDSRLPDVSPDLSRILPPDNTEGRGVMHHPRRSSWGRNSERWEISPEILPLSRIGESKGMNGKSNGESTNQNQQFEMRLSTVK >KJB51857 pep chromosome:Graimondii2_0_v6:8:52106568:52108851:1 gene:B456_008G234900 transcript:KJB51857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTKTAFLPKKRVRKGNARVLIITTGNHNVTRHNFTRFKDVEKCSHVRGMSELKKYFQQFDCLSIDPNNQNNNFTDIFDAQFESVLGLPVIGKLESKMTSTIMSLRCSVSDISLTIHAKKHFTYFYGRSRWDCGLPMTLTYAFSFTNMIDYISLPKIKTVFKRLFSMWASIILVNSMEIDDYPMANIRIEDFKVDHDNNQPFEGVLRNGRFHLYEDETWAIAFEKVKSKVYFEPMATHEIGHMLELTHSSINEIVMYLNLKPQNKKMNLKLDNVESTQALYG >KJB52642 pep chromosome:Graimondii2_0_v6:8:54992599:54997157:-1 gene:B456_008G271000 transcript:KJB52642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAIEMAETQRRTHNPWMSSEQSSRVEINGHDLSVMEPTITDILETELGEPQDQDDNGPTNSNLGIGERAFSAAGAAVLSAIIVNPLDVVKTRLQAQAAGVPYSHPLSNLIGRMAYFGPNTMFADLRCSPSCTRAGVHGTVSICPPDCFQYKGTLDVFNKIIRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWMEGLAAQYMPSATPYAPLFAGSLARSLACATCYPIELARTRMQAFKDTQIGKKPPGVFNTLLGVVSDVKGRNPQSSLQGYRVLWTGMGAQLARDVPFSGICWSTLEPIRRKLLGLVGEESNVATVLGANFSAGFFAGSLAAAATCPLDVAKTRRQIEKDPVRALRMTTRQTLMEVWRDGGMKGLFTGVGPRVGRAGPSVGIVVSFYEVVKYVLHNHYASS >KJB52644 pep chromosome:Graimondii2_0_v6:8:54993238:54996971:-1 gene:B456_008G271000 transcript:KJB52644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAIEMAETQRRTHNPWMSSEQSSRVEINGHDLSVMEPTITDILETELGEPQDQDDNGPTNSNLGIGERAFSAAGAAVLSAIIVNPLDVVKTRLQAQAAGVPYSHPLSNLIGRMAYFGPNTMFADLRCSPSCTRAGVHGTVSICPPDCFQYKGTLDVFNKIIRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWMEGLAAQYMPSATPYAPLFAGSLARSLACATCYPIELARTRMQAFKDTQIGKKPPGVFNTLLGVVSDVKGRNPQSSLQGYRVLWTGMGAQLARDVPFSGICWSTLEPIRRKLLGLVGEESNVATVLGANFSAGFFAGSLAAAATCPLDVAKTRRQIEKDPVRALRMTTRQTLMEVWRDGGMKGLFTGVGPRVGRAGPSVGIVVSFYEVVKYVLHNHYASS >KJB52643 pep chromosome:Graimondii2_0_v6:8:54992645:54997218:-1 gene:B456_008G271000 transcript:KJB52643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAIEMAETQRRTHNPWMSSEQSSRVEINGHDLSVMEPTITDILETELGEPQDQDDNGPTNSNLGIGERAFSAAGAAVLSAIIVNPLDVVKTRLQAQAAGVPYSHPLSNLIGRMAYFGPNTMFADLRCSPSCTRAGVHGTVSICPPDCFQYKGTLDVFNKIIRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWMEGLAAQYMPSATPYAPLFAGSLARSLACATCYPIELARTRMQAFKDTQIGKKPPGVFNTLLGVVSDVKGRNPQSSLQGYRVLWTGMGAQLARDVPFSGICWSTLEPKDPVRALRMTTRQTLMEVWRDGGMKGLFTGVGPRVGRAGPSVGIVVSFYEVVKYVLHNHYASS >KJB52645 pep chromosome:Graimondii2_0_v6:8:54992778:54997071:-1 gene:B456_008G271000 transcript:KJB52645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAIEMAETQRRTHNPWMSSEQSSRVEINGHDLSVMEPTITDILETELGEPQDQDDNGPTNSNLGIGERAFSAAGAAVLSAIIVNPLDVVKTRLQAQAAGVPYSHPLSNLIGRMAYFGPNTMFADLRCSPSCTRAGVHGTVSICPPDCFQYKGTLDVFNKIIRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWMEGLAAQYMPSATPYAPLFAGSLARSLACATCYPIELARTRMQAFKDTQIGKKPPGVFNTLLGVVSDVKGRNPQSSLQGYRVLWTGMGAQLARDVPFSGICWSTLEPIRRKLLGLVGEESNVATVLGANFSAGFFAGSLAAAATCPLDVAKTRRQIEDPVRALRMTTRQTLMEVWRDGGMKGLFTGVGPRVGRAGPSVGIVVSFYEVVKYVLHNHYASS >KJB50594 pep chromosome:Graimondii2_0_v6:8:45524381:45525812:-1 gene:B456_008G178500 transcript:KJB50594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCPKQSLRLPYMSHLVPKPLPWNRRDGASSIILQTMLAISEKTVWKWKTTPLYFLMPLIFWGLFGSLSMLKVMLVIPLLRFPNFHTIIISCQCSMFSVKTTLHYFLMPLIFLEALQFPLNAESDDDITPTTIPKLSHTLSMLHIFTEDGLIHVAELEDDAPPVVADDILSMLHNFT >KJB49306 pep chromosome:Graimondii2_0_v6:8:34347619:34350613:1 gene:B456_008G112000 transcript:KJB49306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSQEFYTKGKYKIIYGMRNEFTICYNETKPIVNNYWQSVNPMMKTLPIFLLQLSVVIAIGRVFMIVLRPLRQPRFLSQLLAGVLLGPGALGISGRVANDLTPFEGSMFLETMANLGVTFYMFLVGLEMDLTPIQKMGKTALSVAISGMLLPLAAGVGLHSMALQRQTVRAPEMGAYFWSIALTLTSFPDLARMLSDLKLMYTDLGKTALTAAVVNDLSCWCLLVVAVSLINGEKELYVAIPVLVGMTIFWFSLRPLIRKILRYISATSKEATTSDKHIYFILSLVFLSGFVTELCGAHSIFGAFMFGLMIPGGELGTTIMDKVEEFVVGILLPPIFLITGTRTNIQYIFADYSVGLVIAVVLLASSAKIISALLVCLYLKCPVRDGLALGVLMNTKGVLALIILNEGRNMKGFDQQTFSWIVVAIAFMTAIIGPIVSYTHKSERHLKYMDRHLEKCKTEAPIRILACVHSTRNLSAMITLLEISNATRKSPVTVFAVHLVELAGRASAMLIFHDKDKTAETGHTCSREKAEAEQIVSAFESFEDNNHGATVKPLTAVSPYATMHEDVNHFALDKIANIILIPFHRRSDPVGGWTEENVQHKLVNQNLLATSPCSIGLLVDRGLSSFAESQKDTQQECRIAMLFVEGTDDREALAYAWRMAGTPSVTLSVIRFVPGKDGSEFMENSGDNEEEPDIFTAMFERETQKQLDDDYINQFRFKTMHDQSIAYVEIQVNSGDQIVSAISSEFYDFDLYVVGRGHGSTSQLTAGLSTWSEFPELGVMGETLISMNLETPASVLVVQQSHPVSSGSKKFTSNSTKDVFGNKGVPAFVNHRKSVDGF >KJB49780 pep chromosome:Graimondii2_0_v6:8:40133983:40134714:-1 gene:B456_008G147800 transcript:KJB49780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSEGGANNGAIMDPQRQQATVNGSLAIKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTMSVSVRGGANSTSLSSTTSSPSLDHKPLLGPTPFILGKRVRSDDDNPGKYDTGGVMVGPGVVGPAGGFWALPGRTDFGQLWSFPPPAEMAAHQPAAALFVQQQQAMGEASAARVGNYLPGHLNLLASLSGAPGSSGRRGEDPR >KJB50065 pep chromosome:Graimondii2_0_v6:8:40857349:40859570:1 gene:B456_008G151700 transcript:KJB50065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYINFAPISLKLLFCSFNLGSMAGPSYLVNILDSNHVSAPKGSVPSTTLALTYLDLPWFPSAPMQSLFFYEFPHPISHFMQTTLPTLTQSLSLTLQHFFPLAAKLICPPPPLKPFILYSDGDSVPLIVAEFTGADVDHLTANYPRDFKLLHPFVPELPPSTMSSDGTLVMPIMAVKVTVFPNTGVCIGMVHNHVAADGSAFMHFMRSWSSVCKSKGDSTFLDEESRRPFYNRDALGDPDGCNEEALKCYWHWISSWRENLAGPTKEISSVDKVRATFVLTRAQITKLKQWLTTQCKNSSNDSEEVKISTFVVTCSIMWICMIKSQENNIQVSTNGDDDDDDDTFYYLLFAVDCRNRIGFSLPVTYFGNCLAPGIIRAKKSELMGAKGIVTAGKAIAKKVKEMESGALTEAEKGPSNLEEMFRSGHHVGISGSPKFRVYDMDFGWGRPLKIEFIHIDDGGSLSLIESKNESGGMEVSLVLSKNQMDAFTSNLAESLKAF >KJB50066 pep chromosome:Graimondii2_0_v6:8:40857349:40859570:1 gene:B456_008G151700 transcript:KJB50066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYINFAPISLKLLFCSFNLGSMAGPSYLVNILDSNHVSAPKGSVPSTTLALTYLDLPWFPSAPMQSLFFYEFPHPISHFMQTTLPTLTQSLSLTLQHFFPLAAKLICPPPPLKPFILYSDGDSVPLIVAEFTGADVDHLTANYPRDFKLLHPFVPELPPSTMSSDGTLVMPIMAVKVTVFPNTGVCIGMVHNHVAADGSAFMHFMRSWSSVCKSKGDSTFLDEESRRPFYNRDALGDPDGCNEEALKCYWHWISSWRENLAGPTKEISSVDKVRATFVLTRAQITKLKQWLTTQCKNSSNDSEEVKISTFVVTCSIMWICMIKSQENNIQVSTNGDDDDDDDTFYYLLFAVDCRNRIGFSLPVTYFGNCLAPGIIRAKKSELMGAKGIVTAGKAIAKKVKEMESGALTEAEKGPSNLEEMFRSGHHVGISGSPKFRVYDMDFGWGRPLKIEFIHIDDGGSLSLIESKNESGGMEVSLVLSKNQMDAFTSNLAESLKAF >KJB47322 pep chromosome:Graimondii2_0_v6:8:2386610:2390461:-1 gene:B456_008G021000 transcript:KJB47322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGCLEDCMFVSDKKSPKIVVDSQIKEVEATNEPLASSSSRIGKNKSSKDTSPSALNALNKFTSQIKKPPHRKNSPLNWFPRKKIDSYLKRKIKMLQEVDGMNLTLDETLEDSNPHYCRVLREKMAAREAAHRAIEARKAALVEASWCRILQAARIRSKEADELLLKAEKTAAEAFESATALGVIMYDIPNCPKSTCQIEASTINKAGSTTHTIRASFETAFEVDKQVAAAVKTAFLRLASCPSFDKDEFKDLLRKINENPDTGDNNLESTEFSSDCQSSEPASELETLTQKDDFKSEDGNCKMSASETRQKKIKRRQSLEKFNPEKLVEIMLERLKCLQEDELSSLATIVATCGLNAALEEVEYTKLHNPSSVDDHSSASALYFARRASSFGAGTVRKTNQTGQVDSELPSLDKFLVKHMTKLEREVQEARSRREGSKDESGHTTLKQSSNSEEEIQEKEKQFEENSGMDHKKSDADTSVEAIHDLGSILVKHSSKLEKEIQEAKRNCGNKYELNGKKRGGMSNGVPSHKNGDILEVPSLDKFLVKHVSRLEREVEEAKSRRKNDMVEKGKVANGEVFEKENINLNKEVNRTESEDSLDKILVKPVSRLEREKMQALSSGSNYGNPSSHKKQGGITDCESLDKVLVKHVSRLEKEKLRFNANKEMVKVKRGGGVNMPQVDDQGSLDQILVKHKSKLEKEKMTSSEQASEQIRLSVSRREARERELQEAWGGLSLGNSIKQQVADDQGSLDQILVKHKSKLEKEKMATSEQPSEQIRFSVSRREARERELQEAWGGLGLGNSIKPHLSRLERDKAAWRKAEEEERMLAME >KJB47321 pep chromosome:Graimondii2_0_v6:8:2386473:2390409:-1 gene:B456_008G021000 transcript:KJB47321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGCLEDCMFVSDKKSPKIVVDSQIKEVEATNEPLASSSSRIGKNKSSKDTSPSALNALNKFTSQIKKPPHRKNSPLNWFPRKKIDSYLKRKIKMLQEVDGMNLTLDETLEDSNPHYCRVLREKMAAREAAHRAIEARKAALVEASWCRILQAARIRSKEADELLLKAEKTAAEAFESATALGVIMYDIPNCPKSTCQIEASTINKAGSTTHTIRASFETAFEVDKQVAAAVKTAFLRLASCPSFDKDEFKDLLRKINENPDTGDNNLESTEFSSDCQSSEPASELETLTQKDDFKSEDGNCKMSASETRQKKIKRRQSLEKFNPEKLVEIMLERLKCLQEDELSSLATIVATCGLNAALEEVEYTKLHNPSSVDDHSSASALYFARRASSFGAGTVRKTNQTGQVDSELPSLDKFLVKHMTKLEREVQEARSRREGSKDESGHTTLKQSSNSEEEIQEKEKQFEENSGMDHKKSDADTSVEAIHDLGSILVKHSSKLEKEIQEAKRNCGNKYELNGKKRGGMSNGVPSHKNGDILEVPSLDKFLVKHVSRLEREVEEAKSRRKNDMVEKGKVANGEVFEKENINLNKEVNRTESEDSLDKILVKPVSRLEREKMQALSSGSNYGNPSSHKKQGGITDCESLDKVLVKHVSRLEKEKLRFNANKEMVKVKRGGGVNMPQVDDQGSLDQILVKHKSKLEKEKMTSSEQASEQIRLSVSRREARERELQEAWGGLSLGNSIKPHLSRLERDKAAWRKAEEEERMLAME >KJB51914 pep chromosome:Graimondii2_0_v6:8:52472291:52473063:1 gene:B456_008G237600 transcript:KJB51914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKRTASLALFFALNILFFSLVSACGSCPSPNPKPKPKPKPNPTPSPSSSGKCPRDALKLGVCADLLGGLLNVTIGTPPVQPCCSLIQGLADLEAAVCLCTAIKANILGINLNVPLSLSLLLNVCSKKVPSGFQCR >KJB50712 pep chromosome:Graimondii2_0_v6:8:46146161:46147177:-1 gene:B456_008G183300 transcript:KJB50712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHRKQTMNLSKSCKKPKKPQSTMSEEETQMTRKVRVIFHDPYATDSSSSEDESEGSAARKAPRGRRFVREMRVPVLGLAPQVKPLESETSSQDSNSKTPTSRKRVLSRTLEDKPLVAKTKKPVGVRQRKWGKWAAEIRHPLKKTRIWLGTYDTLEEAAKAYEAKKLEFEALTVAAAASASSDKTNDLSCSAAASHNNTVPSASEESESLVSHTSPSSVHELDTSASVSVSNNNDDCGDANKEVFDANFADIPIPDLCFIDDPLLSGSVGQELNIDAEAADYLFVNDFGMMLEDYCSIEDLSICGIGADEPSDLPDCDFSTDDFVFDEVAPLNIACP >KJB48696 pep chromosome:Graimondii2_0_v6:8:16516170:16520287:1 gene:B456_008G082000 transcript:KJB48696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILDLSYNYLNDSILLSLSELSSLRCLDLSFNRFERSSHPRGFQWLSRLTKLESLNSRGNSLKNSIFLHMRNLSSLKTLSLSGNQLGGKLLHIQDCSLDIQSSGDVNLTSRTETEPPKSRAKSLT >KJB48695 pep chromosome:Graimondii2_0_v6:8:16266363:16267219:-1 gene:B456_008G081900 transcript:KJB48695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNYSLIFVCMVVCLVSFASAKSGIATFYTKYIPSACFKNKDQGKMIAAAGDALWKNGAVCGKKFTVKYTGPRNGVPHPCTGKSVTVKVVDQCPGCPSTMDLSREAFEIIAKPVAGIINMDYKKV >KJB50446 pep chromosome:Graimondii2_0_v6:8:44616321:44621133:-1 gene:B456_008G171200 transcript:KJB50446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIVSRYCFLFFSIFISLLSLIPLVRSGDAEALLTLKSSVDPFNSLPWKGTNVCAWEGIKECMNGRVTKLVLEHLSLSGPLDEQSLNQLDQLRVLSFKNNSLSGQIPDLSGLLNLKSLYLNDNNFTGEFPESITSLHRLKIIVLSGNQITGQIPASLLKLKRLYTLYLQDNSFNGTLPALNQTSLRFFNVSNNQLHGQIPVTPALVRFNLSSFSGNIDLCGEQIGSPCRTINLGPAMSPIYPNSSSNPTSKNHSRLIKIVIVSCIGGLALLLISVFLVRFISKRRRGKGKENDVKSKGVVSVEGVEGGGEALDGGGGGGSGGMRGNNSGKQGGFWEAEGLGSLVFLGTGDQQMNYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDARYPRLEEFKRHVDLIGRLKHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGTRTCGGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGPDFESCLTDYGLTIFRDPDSVQEPGAATFFYRAPECRDIRKSSTQPADVYSFGVLLLELLTGKTPFQDLVQEHGSDIPRWVKSVREEETESGDDPNSGNEASEGKLQALLNIAMACVAIAPDNRPAMREVLKMIRDVRAEAQVSSNSSDHSPGRWSDTVQSLPRDEHLSI >KJB46883 pep chromosome:Graimondii2_0_v6:8:1932428:1933046:-1 gene:B456_008G016900 transcript:KJB46883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNCFVLALFMALSFSSVNVGLAARHLLQLPTLPPLPSIPNLPQPTMPTLPQPSIPNPVALPPLPTIPTLPPLPSLPSIPTLPTTIPSFSPPPARTTP >KJB51555 pep chromosome:Graimondii2_0_v6:8:50859664:50862466:-1 gene:B456_008G222400 transcript:KJB51555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCSAYTTQSLNSTCSISTPAKTHLGFHQKQVVFYRRSSKRGGSGSSSGPCVITCSAGDSQTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDMTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKAIKSGVAVDKPIYNHVTGLLDPPELIKPPKILVIEGLHPMFDERVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKKYADAVIEVLPTQLIPDDNEGKVLRVRLIMKEGVKHFSPVYLFDEGSTISWIPCGRKLTCSYPGIKFHYGPDTYFSNEVSILEMDGQFDRLDELIYVESHLSNISTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQITSSKTAAPLEATKA >KJB48258 pep chromosome:Graimondii2_0_v6:8:9645354:9647488:1 gene:B456_008G060600 transcript:KJB48258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQAVQKNTLYVGGLAEEVNETILHAAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEKEDAAAAMDNMDGAELYGRVLTVNYALPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRIQAENQAAMRAAEELHRKKMAEERQGEKEDETEMKDDPMARAEAEVSKQNN >KJB48953 pep chromosome:Graimondii2_0_v6:8:25735558:25739988:-1 gene:B456_008G095000 transcript:KJB48953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIFDIYFKTPIVHGMDLVSPRFSPSAKRLVLLVVDGLRADKFFEPDLEGNFRAPFLRNMIKNQSRSLGSISCSASNGVKAWTCCYNFSIIRTGMPNLFWII >KJB48954 pep chromosome:Graimondii2_0_v6:8:25735707:25739924:-1 gene:B456_008G095000 transcript:KJB48954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIFDIYFKTPIVHGMDLVSPRFSPSAKRLVLLVVDGLRADKFFEPDLEGNFRAPFLRNMIKNQSRSLGSISCSASNGVKAWTCCYNFSIIRTGMPNLFWII >KJB48952 pep chromosome:Graimondii2_0_v6:8:25738972:25739629:-1 gene:B456_008G095000 transcript:KJB48952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIFDIYFKTPIVHGMDLVSPRFSPSAKRLVLLVVDGLRADKFFEPDLEGNFRAPFLRNMIKNQSRSLGSISCSASNGVKAWTCCYNFSIIRTGMPNLFWII >KJB48955 pep chromosome:Graimondii2_0_v6:8:25738972:25739629:-1 gene:B456_008G095000 transcript:KJB48955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIFDIYFKTPIVHGMDLVSPRFSPSAKRLVLLVVDGLRADKFFEPDLEGNFRAPFLRNMIKNQSRSLGSISCSASNGVKAWTCCYNFSIIRTGMPNLFWII >KJB49139 pep chromosome:Graimondii2_0_v6:8:30534515:30537205:1 gene:B456_008G102200 transcript:KJB49139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22070 [Source:Projected from Arabidopsis thaliana (AT2G22070) UniProtKB/Swiss-Prot;Acc:Q9SHZ8] MNIPDPFSLSYHNARLLQESLKSNDLLAGKLVHARIIKSGLHFSVILLNNLMNFYSRTGCFSDAQLLFDEMPVKTIFTWNTLLSAYSRQAKMSEATEIFRKIPNRDSVSWSTIIMGYNQLGRFESALKVFDKMIKERVIPTQYTITSVLASCAAIKALDIGREVHSFVVKFGLSGYVSVVNSLLNMYAKSGDPMMTRVIFDRMEYRNTSSWNVMISLHMQHGRVDLARGQFERMCERDIVTWNSMIAGYNQHGHDFDALCTFSNMLRDSLLLPDKFTFINALSACTNLEMLKLGKQIHARIASTNFDTYGPVGNALISMYAKSGGVQIAQKIVEQSGISHLDVIAFTSLLDGYVKLGDLKPARQIFDSLKDRDVVAWTAMIVGYLQNGLNTDAVELFRLMVRDGPKPNNFTLAAMLSVSSSLTSLNHGKEIHASAIRTGQASLVSVNNALITMYARVGSINCARKVFNQIHWFRDVVSWTSMIMALAQHGLGEEALEHFEKLVAAGIKPDHITYVGVLSACTHVGLVEQGRRYYNMMKDFHKIEPTLSHYALMVDLLGRAGLTQEAYDFIEKMPIEPDAVTWGSLLSSCKVYKNVELGKVAAKRLLLIDPDNSGAYSALANLYSVCGKWDDAAKIRKLMKDGGVKKEQGISWVQIKNKFHVFGAEDGLHPQKDEIYKMMAKIWEDIKKIGFVPDTESVLHDLEEEVKEQMLRHHSEKLAIAFALISTPENTTLRIMKNLRVCNDCHSAIKFISKLSGREIIVRDATRFHHFKDGFCSCRDYW >KJB50467 pep chromosome:Graimondii2_0_v6:8:44861758:44863388:-1 gene:B456_008G173100 transcript:KJB50467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCKVVDTLLLVAFLAAFLMAPLICAQTVLQESSFPEALIHLKQCYSDDFQDYLMAEKPHFFVALVWLELTFQWPLALLNIYGILASKSWFNTTCLIYGASVITSVSAVLGELIGSHKASEKLLQLYWVFMGAGVVAMLRGLVPQSSKTPIIGKRPAAGMGRKKRA >KJB50466 pep chromosome:Graimondii2_0_v6:8:44862230:44863388:-1 gene:B456_008G173100 transcript:KJB50466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCKVVDTLLLVAFLAAFLMAPLICAQTVLQESSFPEALIHLKQCYSDDFQDYLMAEKPHFFVALVWLELTFQWPLALLNIYGILASKSWFNTTCLIYGASVITSVSAVLGELIGSHKASEKLLQLYWVFMGAGVVAMLRGLVPQSSKTPIIGKRPAAGMGRKKRA >KJB50465 pep chromosome:Graimondii2_0_v6:8:44862496:44863084:-1 gene:B456_008G173100 transcript:KJB50465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCKVVDTLLLVAFLAAFLMAPLICAQTVLQESSFPEALIHLKQCYSDDFQDYLMAEKPHFFVALVWLELTFQWPLALLNIYGILASKSWFNTTCLIYGASVITSVSAVLGELIGSHKASEKLLQLYWVFMGAGVVAMLRGLVPQSSKTPIIGKRPAAGMGRKKRA >KJB49690 pep chromosome:Graimondii2_0_v6:8:38033631:38035360:-1 gene:B456_008G133700 transcript:KJB49690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSIPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEENLIIELHAVLGNRWSQIAARLPGRTDNEIKNLWNSCLKKKLKQKGIDPVTHKPLSEVENGEGNGSNKPSLVGHHPIHQYTSPPPPPPKLSSNWFNPEFSPPMTASYGPLYYGTTTGGSANNTTWGLVQTQTEEEEEETKWTELLNSPLLMAAALQNQTPQSYYNIEIKSETCYLTNSSSSSSSSNDMWWPQTQQQQLPLQHEPLQNPDICGKDMQTLTAAAFGHI >KJB49691 pep chromosome:Graimondii2_0_v6:8:38033894:38035189:-1 gene:B456_008G133700 transcript:KJB49691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSIPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEENLIIELHAVLGNRYIHIHIHSHIYICFFFFFLLEFLWRILGFSRFSIRWSQIAARLPGRTDNEIKNLWNSCLKKKLKQKGIDPVTHKPLSEVENGEGNGSNKPSLVGHHPIHQYTSPPPPPPKLSSNWFNPEFSPPMTASYGPLYYGTTTGGSANNTTWGLVQTQTEEEEEETKWTELLNSPLLMAAALQNQTPQSYYNIEIKSETCYLTNSSSSSSSSNDMWWPQTQQQQLPLQHEPLQNPDICGKDMQTLTAAAFGHI >KJB52955 pep chromosome:Graimondii2_0_v6:8:56105392:56106838:-1 gene:B456_008G285300 transcript:KJB52955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSNSNGSSEEPINDHSFFHFVMDSKQEDPSFGFFNFPSPYYSQCELEQVFQDQDHDVFLHQHHDLLFHHEQNHQPLMADSVSGTIVNVPATSAVDCTKKKSNNHNADTEVKQQKRSSSKRDRHSKINTANGPRDRRMRLSLDVAREFFGLQDMLGYDKASRTVEWLLIQARHEIMKLARTRVSHNNINSVAAAAAAEAAAVAKSPSSTSEGEVVSALEGTISKGKPTEKTVKKTTFQFHPLARDLREKARARAKARTKAKNMWNQKLCCSTDETKLSSWWSFQTGEESSIQQHHHNKKINPSLQQVAGGDMIDESLAIINKWNPDSMFNCLQNSGINQEHQITGFLPFGKPWEGYNNKI >KJB52641 pep chromosome:Graimondii2_0_v6:8:55198319:55200207:1 gene:B456_008G273000 transcript:KJB52641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNFLMFWKPSNGVHKVNQTQPCDGNGAAATVTVTATVASGVVSDHELDEGEDSFIDLEFPLRDFNGNGGARRELTNNFVGNKELSLSPADHFSKRKIMPIEPISKPQSPIALLKSAQRFRVFTPKKSKSMANTGNNTRLPEKTKLVGVSMETPKHGNHNSREHFTRENSFRRTKGKIEDDPSKRLSKDLVHKYLNVIKPLYTKISKKHSQTHKFEVSGDLSTLSPATSPATVYSVKEKQGNTNIKAGYKHLGKSRSASAAASPISRRDDSLLLQHDGIQSAILHCKRSFNSSRESSWLSRCTSDCSSQEKLSNASSTESSLFSRVTSISSYEKLMDSARTSSEEGNGFTT >KJB52640 pep chromosome:Graimondii2_0_v6:8:55198369:55200207:1 gene:B456_008G273000 transcript:KJB52640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNFLMFWKPSNGVHKVNQTQPCDGNGAAATVTVTATVASGVVSDHELDEGEDSFIDLEFPLRDFNGNGGARRELTNNFVGNKELSLSPADHFSKRKIMPIEPISKPQSPIALLKSAQRFRVFTPKKSKSMANTGNNTRLPEKTKLVGVSMETPKHGNHNSREHFTRENSFRRTKGKIEDDPSKRLSKDLVHKYLNVIKPLYTKISKKHSQTHKFEVSGDLSTLSPATSPATVYSVKEKQGNTNIKAGYKHLGKSRSASAAASPISRRDDSLLLQHDGIQSAILHCKRSFNSSRESSWLSRCTSDCSSQEKLSNASSTESSLFSRVTSISSYEKLMDSARTSSEEGNGFTT >KJB50468 pep chromosome:Graimondii2_0_v6:8:44864281:44866340:-1 gene:B456_008G173200 transcript:KJB50468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQFCPRMARHNFRHRLQRRVLVLRRCFCCFWDRLLMCSPGKPIRYRMLSRAPTPAVPSAAADGSIVASSRNLTPSPFCHPKDSDLVPLKISLLGDPQIGKTSFLAKYIGDEKDEQGEDHQEKGLNLMDKTFVVEGARIYYSIWEVDGAEKSPDHIPKACQDSVAILFMFDLTSRCTLNNVISWYQEARKWNQTAIPILIGTKFDEFIQLPIDLQWTIASQARAYAKAINATLFFSSATYNINVNKIFKFVTAKLFDLPWTVERNLTIGEPIIDF >KJB50470 pep chromosome:Graimondii2_0_v6:8:44864950:44866207:-1 gene:B456_008G173200 transcript:KJB50470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQFCPRMARHNFRHRLQRRVLVLRRCFCCFWDRLLMCSPGKPIRYRMLSRAPTPAVPSAAADGSIVASSRNLTPSPFCHPKDSDLVPLKISLLGDPQIGKTSFLAKYIGDEKDEQGEDHQEKGLNLMDKTFVVEGARIYYSIWEVDGAEKSPDHIPKACQDSVAILFMFDLTSRCTLNKYDPQPLTPFSNLYISINNVFPGTSKSG >KJB50469 pep chromosome:Graimondii2_0_v6:8:44864369:44866053:-1 gene:B456_008G173200 transcript:KJB50469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQFCPRMARHNFRHRLQRRVLVLRRCFCCFWDRLLMCSPGKPIRYRMLSRAPTPAVPSAAADGSIVASSRNLTPSPFCHPKDSDLVPLKISLLGDPQIGKTSFLAKYIGDEKDEQGEDHQEKGLNLMDKTFVVEGARIYYSIWEVDGAEKSPDHIPKACQDSVAILFMFDLTSRCTLNKYDPQPLTPFSNLYISINNVFPGTSKSGVISWYQEARKWNQVFTSVFYFLPYHTIVENFIFLIMQSLMMQTAIPILIGTKFDEFIQLPIDLQWTIASQARAYAKAINATLFFSSATYNINVNKIFKFVTAKLFDLPWTVERNLTIGEPIIDF >KJB48320 pep chromosome:Graimondii2_0_v6:8:11087935:11089091:1 gene:B456_008G067900 transcript:KJB48320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYYERSSVVGAMVLKAFAGKGSKDWQGSSSCGFLRMVSSRAEIYTLFRCKLKINKTSDCVKRRREIESAGLFMKGCVRCQGKKSY >KJB48318 pep chromosome:Graimondii2_0_v6:8:11087935:11090854:1 gene:B456_008G067900 transcript:KJB48318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYYERSSVVGAMVLKAFAGKGSKDWQGSSSCGFLRMVSSRAEIYTLFRCKLKINKTSDCVKRRREIESAGLFMKGCVRCQGKKSY >KJB48319 pep chromosome:Graimondii2_0_v6:8:11087888:11091436:1 gene:B456_008G067900 transcript:KJB48319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYYERSSVVGAMVLKAFAGKGSKDWQGSSSCGFLRMVSSRAEIYTLFRCKLKINKTSDCVKRRREIESAGLFMKGCVRCQGKKSY >KJB48317 pep chromosome:Graimondii2_0_v6:8:11087935:11090846:1 gene:B456_008G067900 transcript:KJB48317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYYERSSVVGAMVLKAFAGKGSKDWQGSSSCGFLRMVSSRAEIYTLFRCKLKINKTSDCVKRRREIESAGLFMKGCVRCQGKKSY >KJB51425 pep chromosome:Graimondii2_0_v6:8:50272979:50280762:1 gene:B456_008G215700 transcript:KJB51425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEYEKMNNNNNKGKGIAVKIRVDNNQSDTGKGDDRITRSIATAKFSPRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAVKISLELKKLLIDNSLLDISQSDLEANLFKLMEWRGYGQEYISRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTATDAPLASTPVWARDFSSSEELIIEFCRECRIVRKGLNGDLKKAMKDGKPVIIEGIHLDPSIYLMNDELKAPTSVDGPSQQSVASDDNSSVQKENNFITTSQSNTENGKNHPVRLSEGVSADNVNKVSESVKSMSLETASDNKGETVKVPEVNGSTARKEKSGPKPIIIPIVLKMSEFDHKALLEERITTQALAGKCLVQDTDNLITNLKTIQNYLCSFKSQGLTVVNLSATTFSQTLDWLHGYILQLLEPGKH >KJB51424 pep chromosome:Graimondii2_0_v6:8:50272913:50281436:1 gene:B456_008G215700 transcript:KJB51424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEYEKMNNNNNKGKGIAVKIRVDNNQSDTGKGDDRITRSIATAKFSPRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAVKISLELKKLLIDNSLLDISQSDLEANLFKLMEWRGYGQEYISRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTATDAPLASTPVWARDFSSSEELIIEFCRECRIVRKGLNGDLKKAMKDGKPVIIEGIHLDPSIYLMNDELKAPTSVDGPSQQSVASDDNSSVQKENNFITTSQSNTENGKNHPVRLSEGVSADNVNKVSESVKSMSLETASDNKGETVKVPEVNGSTARKEKSGPKPIIIPIVLKMSEFDHKALLEERITTQALAGKCLVQDTDNLITNLKTIQNYLCSFKSQGLTVVNLSATTFSQTLDWLHGYILQSWDEGMKYYGS >KJB48234 pep chromosome:Graimondii2_0_v6:8:9364607:9369384:1 gene:B456_008G059400 transcript:KJB48234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADILHEEGVSGDEFNSMELEAGSSRADILDGISSGGEVNEEFGRANEILTRVELDLACSSEKLVNLSVLTMHLATRETDFESFMSEKDNMLVESMEKALEFDLLSGILDSEVKELNKFMEHLETYIISSREAISSFKHLGETFFKMEEKLLDSEESLKQSRNQVSEIKMQAADFHRILSCLHGNENRNDENGVNVSEGDRLSSXRIYTLEEGMVSMEEETMDVSERLFEAQNAAVVFMGISKELLGRLQLAQFNLNNSTHRETELRSKLEESTVKLKAKESALRTLQSSDTRLSDFVQAQVDTLKEKLTEVEKKFILADSEAFTMREKADSLEKQLKESELNLSNAKASLDENREQHDALYSLINTLENDTADLKAKLFETEKRAHNAESKCKLIVETNTELIEELSLLKGQDLTSEKVEFLEMQLKESEIRLLNAVASAEASQEKQNMLYSTIGDMENLIEDLKLKVSKAENRADSAEDKCIILSETNAELSEELRFLRGRLGCLEASLNQAEEMKMATARDIGIRTQLIANLLMQLGMERERLHQQISGLATENKVLIVKLKQTYKDHSIVQSHENKGNVKDFLFSKQDSTAASARETKEEITKSPVGGSELDKTTESVGESEVKPTDGTSQYETVRTIDARLLSFKHISLALLVLLASTAAAYYFQKQKSPFY >KJB48233 pep chromosome:Graimondii2_0_v6:8:9364607:9367893:1 gene:B456_008G059400 transcript:KJB48233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADILHEEGVSGDEFNSMELEAGSSRADILDGISSGGEVNEEFGRANEILTRVELDLACSSEKLVNLSVLTMHLATRETDFESFMSEKDNMLVESMEKALEFDLLSGILDSEVKELNKFMEHLETYIISSREAISSFKHLGETFFKMEEKLLDSEESLKQSRNQVSEIKMQAADFHRILSCLHGNENRNDENGVNVSEGDRLSSXRIYTLEEGMVSMEEETMDVSERLFEAQNAAVVFMGISKELLGRLQLAQFNLNNSTHRETELRSKLEESTVKLKAKESALRTLQSSDTRLSDFVQAQVDTLKEKLTEVEKKFILADSEAFTMREKADSLEKQLKESELNLSNAKASLDENREQHDALYSLINTLENDTADLKAKLFETEKRAHNAESKCKLIVETNTELIEELSLLKGQDLTSEKVEFLEMQLKESEIRLLNAVASAEASQEKQNMLYSTIGDMENLIEDLKLKVSKAENRADSAEDKCIILSETNAELSEELRFLRGRLGCLEASLNQAEEMKMATARDIGIRTQLIANLLMQLGMERERLHQQISGLATENKVLIVKLKQTYKDHSIVQSHENKGNVKDFLFSKQDSTAASARETKEEITKSPVGGSEVGFS >KJB52596 pep chromosome:Graimondii2_0_v6:8:54859516:54862873:1 gene:B456_008G269800 transcript:KJB52596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEENILSLHSPSTKHPWKMTSDSGDFSRLTVIKTENARQRRLKCTCQTVTISENTSTGVDKDRDLLLNGSKTCKQNDDVQTLTCRSSHGVVSVIGRRREMEDAVKVGLGFMVKGGEKFDFYGVYDGHGGSGVAEECKERLHKVLVEEIVVEEGGNGIDWGRTMKRSFEKMDEEVSRGRLGEEMVGSTAVVAVVGNGKVVVANCGDSRAVLSRGGVAVALSFDHKPEGPVELERIEAAGGRVINWNGHRVLGVLATSRSIGDGYLKPFVICKPEVNIRELTDGDEFLILASDGLWDVVSNKVACQVVRKCLNSRVRRKSIAIVDGNRNRAAGAAAVLVELAMARGSKDNISVIVVELNKT >KJB52595 pep chromosome:Graimondii2_0_v6:8:54859516:54862873:1 gene:B456_008G269800 transcript:KJB52595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEENILSLHSPSTKHPWKMTSDSGDFSRLTVIKTENARQRRLKCTCQTVTISENTSTGVDKDRDLLLNGSKTCKQNDDVQTLTCRSSHGVVSVIGRRREMEDAVKVGLGFMVKGGEKFDFYGVYDGHGGSGVAEECKERLHKVLVEEIVVEEGGNGIDWGRTMKRSFEKMDEEVSRGRLGEEMVGSTAVVAVVGNGKVVVANCGDSRAVLSRGGVAVALSFDHKPEGPVELERIEAAGGRVINWNGHRVLGVLATSRSIVHAGDGYLKPFVICKPEVNIRELTDGDEFLILASDGLWDVVSNKVACQVVRKCLNSRVRRKSIAIVDGNRNRAAGAAAVLVELAMARGSKDNISVIVVELNKT >KJB52670 pep chromosome:Graimondii2_0_v6:8:55088443:55095147:1 gene:B456_008G271700 transcript:KJB52670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLWTMFCGESDCSDRYGKPCDSALWHFINPFSCINQSMIICFDILLLLMLLFNMVQKPSPKTVGIPARFRNRSLQIASAIVNGGIGLVYLCFGIWILEEKLRKTQTVLPLNWWVLALFQGSTWLLVGLTVSLRGNQFRRTPIQLLSILAIIYAVIVCVLSLFAVILSGIVKTKIFLDALSLPGAILLLFCAYKGYKYGDGDQKTDESDLYDHLVAEANGSTKDDKTVQVTPFATAGCFSKFSFWWLNPLMKKGREKTLTEEDMPKLSEAERADSCYLLFLELLNKQKQANPSSQPSILKTIIICHWREILVSGFFAFLKILTLSAGPLILNSFILVAEGHESFEYEGYLLAISLFCAKCIESLSQRQWYFRVRLIGLKIRSLLTAAIYKKQLRLSNAARSMHSSGEITNYVTVDAYRIGEFPFWFHQTWTTSLQLCIALVILFRAVGLATFAALIVIILTVICNAPLAKLQHKFQRNLMVSQDERLKASSEALINMKVLKLYAWESHFKKVIEKFRAVEYKWLQAVQLRKAYNSFLFWSSPVLVSAATFGACYFLNIPLHASNVFTFVATLRLVQDPIRAIPDVIGIVIQAKVAFARVLKFLEAPELQSGNVRKKRHMENGDLAISIKSGGFSWEENASKPTLRDITLEVRMGEKVAVCGEVGSGKSTLLAAILGEVPNVQGSIQVFGKIAYVSQTAWIQTGTIQDNILFGSAMDKQRYEETLERCSLVKDLELFPYGDQTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATSLFNEYVMEALASKAVLLVTHQVDFLPAFDSVLLMSDGEILQAASYHHLLASSQEFQDLVHAHKETAGGGRAAEVNPAKGHGTSTTEIKKSYVEKEFKEPVGDQLIKQEEREKGDTGLKPYLQYLNQNKGFVFFFLSTFFHLLFVCGQIAQNSWMAANVDNPNVSSLKLITVYLAIGVFSTLLLLSRSLFTVTLGMRSSRSLFSQLLNSLFRAPMSFYDSTPLGRILSRVSVDLSIVDLDVPFSLIFAFVATLNTYSNLGVLAVVTWQVLFVSVPMIYLTIRLQNYYFSTAKELMRINGTTKSLVANHLAESIAGAVTIRAFEEEERFFATSLDLIDTNASPFFHSFTANEWLIQRLETLSAFVLASAALCMVLLPPGTFSSGFIGMALSYGLSLNMSLVFSIQNQCTIANYIISVERLNQYMDIPSEAPEVIEENRPPSSWPAVGKVEIRDLQIRYRPDAPLVLRGISCTFQGGHKIGIVGRTGSGKTTLISALFRLVEPARGKILVDGIDICTIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHTDQEIWEVLDKCQLREAVQEKEDGLDSLVVEDGLNWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTMVLAISDGKLVEYDEPTKLIQREGSLFGQLVQEYWSHYHSAESH >KJB52671 pep chromosome:Graimondii2_0_v6:8:55088477:55095133:1 gene:B456_008G271700 transcript:KJB52671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLWTMFCGESDCSDRYGKPCDSALWHFINPFSCINQSMIICFDILLLLMLLFNMVQKPSPKTVGIPARFRNRSLQIASAIVNGGIGLVYLCFGIWILEEKLRKTQTVLPLNWWVLALFQGSTWLLVGLTVSLRGNQFRRTPIQLLSILAIIYAVIVCVLSLFAVILSGIVKTKIFLDALSLPGAILLLFCAYKGYKYGDGDQKTDESDLYDHLVAEANGSTKDDKTVQVTPFATAGCFSKFSFWWLNPLMKKGREKTLTEEDMPKLSEAERADSCYLLFLELLNKQKQANPSSQPSILKTIIICHWREILVSGFFAFLKILTLSAGPLILNSFILVAEGHESFEYEGYLLAISLFCAKCIESLSQRQWYFRVRLIGLKIRSLLTAAIYKKQLRLSNAARSMHSSGEITNYVTVDAYRIGEFPFWFHQTWTTSLQLCIALVILFRAVGLATFAALIVIILTVICNAPLAKLQHKFQRNLMVSQDERLKASSEALINMKVLKLYAWESHFKKVIEKFRAVEYKWLQAVQLRKAYNSFLFWSSPVLVSAATFGACYFLNIPLHASNVFTFVATLRLVQDPIRAIPDVIGIVIQAKVAFARVLKFLEAPELQSGNVRKKRHMENGDLAISIKSGGFSWEENASKPTLRDITLEVRMGEKVAVCGEVGSGKSTLLAAILGEVPNVQGSIQVFGKIAYVSQTAWIQTGTIQDNILFGSAMDKQRYEETLERCSLVKDLELFPYGDQTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATSLFNEYVMEALASKAVLLVTHQVDFLPAFDSVLLMSDGEILQAASYHHLLASSQEFQDLVHAHKETAGGGRAAEVNPAKGHGTSTTEIKKSYVEKEFKEPVGDQLIKQEEREKGDTGLKPYLQYLNQNKGFVFFFLSTFFHLLFVCGQIAQNSWMAANVDNPNVSSLKLITVYLAIGVFSTLLLLSRSLFTVTLGMRSSRSLFSQLLNSLFRAPMSFYDSTPLGRILSRVSVDLSIVDLDVPFSLIFAFVATLNTYSNLGVLAVVTWQVLFVSVPMIYLTIRLQVTRQLSVLEYDKFKSLLLKFKKFHGCMQNYYFSTAKELMRINGTTKSLVANHLAESIAGAVTIRAFEEEERFFATSLDLIDTNASPFFHSFTANEWLIQRLETLSAFVLASAALCMVLLPPGTFSSGFIGMALSYGLSLNMSLVFSIQNQCTIANYIISVERLNQYMDIPSEAPEVIEENRPPSSWPAVGKVEIRDLQIRYRPDAPLVLRGISCTFQGGHKIGIVGRTGSGKTTLISALFRLVEPARGKILVDGIDICTIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHTDQEIWEVLDKCQLREAVQEKEDGLDSLVVEDGLNWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTMVLAISDGKLVEYDEPTKLIQREGSLFGQLVQEYWSHYHSAESH >KJB49262 pep chromosome:Graimondii2_0_v6:8:34047969:34050586:1 gene:B456_008G109500 transcript:KJB49262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQALKLPLYLLLFLACVISANKFIHLHNMQSPCLHLCKNSYLGFHFHRPYSQNLFFSSSSLSLASKCPERLVVTQSQNRPNDSHNKTNPKGKVTVKGKKENVWSIDNNIAKAEKENDKGNTKQRRRKKGKKVVKGRKNKIGRVLVSGSMLMEVETVLQTQEPVIKPAWNTFASSVSGIWKGVGAVFSPITAEMEPIEVGSRNEYLYDCYMLTRVEAVLPPSGKTSVIHRKINWVTLNPHGEVPEHVGVGVGNKEESGVEKPTLPPKGNDGTMNHVLPRFGSFDLTTSDVMEEDVMGIEPGLVFFEDGSYSRGPLDIPVGEVDDSNYYLSPTFKFEQCLVKGCHKRLRIVHTIEFGNGGSDVQIMRVATYKEEWVSPAKIQLESVSDPEFDLKPFSQRKRTRPSELVGPWKVFEVSATPIYGDETVAAESNGAPYVYLCTETIKKRSLPENSVYFREEEVLDMQDVTVLWLPGGVTSYVDVSNDGTLTIGVGWYSDEGINLVMERDYGIDGKLKEVRWKSEVKRRWSHPVSL >KJB49264 pep chromosome:Graimondii2_0_v6:8:34047979:34050586:1 gene:B456_008G109500 transcript:KJB49264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQALKLPLYLLLFLACVISANKFIHLHNMQSPCLHLCKNSYLGFHFHRPYSQNLFFSSSSLSLASKCPERLVVTQSQNRPNDSHNKTNPKGKVTVKGKKENVWSIDNNIAKAEKENDKGNTKQRRRKKGKKVVKGRKNKIGRVLVSGSMLMEVETVLQTQEPVIKPAWNTFASSVSGIWKGVGAVFSPITAEMEPIEVGSRNEYLYDCYMLTRVEAVLPPSGKTSVIHRKINWVTLNPHGEVPEHVGVGVGNKEESGVEKPTLPPKGNDGTMNHVLPRFGSFDLTTSDVMEEDVMGIEPGLVFFEDGSYSRGPLDIPVGEVDDSNYYLSPTFKFEQVMFGQRLSQKTPYRSYDRVW >KJB49266 pep chromosome:Graimondii2_0_v6:8:34047979:34050586:1 gene:B456_008G109500 transcript:KJB49266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQALKLPLYLLLFLACVISANKFIHLHNMQSPCLHLCKNSYLGFHFHRPYSQNLFFSSSSLSLASKCPERLVVTQSQNRPNDSHNKTNPKGKVTVKGKKENVWSIDNNIAKAEKENDKGNTKQRRRKKGKKVVKGRKNKIGRVLVSGSMLMEVETVLQTQEPVIKPAWNTFASSVSGIWKGVGAVFSPITAEMEPIEVGSRNEYLYDCYMLTRVEAVLPPSGKTSVIHRKINWVTLNPHGEVPEHVGVGVGNKEESGVEKPTLPPKGNDGTMNHVLPRFGSFDLTTSDVMEEDVMGIEPGLVFFEDGSYSRGPLDIPVGEVDDSNYYLSPTFKFEQCLVKGCHKRLRIVHTIEFGNGGSDVQIMRVATYKEEWVSPAKIQLERYSVSIRIYMFDNSFA >KJB49265 pep chromosome:Graimondii2_0_v6:8:34047979:34050586:1 gene:B456_008G109500 transcript:KJB49265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQALKLPLYLLLFLACVISANKFIHLHNMQSPCLHLCKNSYLGFHFHRPYSQNLFFSSSSLSLASKCPERLVVTQSQNRPNDSHNKTNPKGKVTVKGKKENVWSIDNNIAKAEKENDKGNTKQRRRKKGKKVVKGRKNKIGRVLVSGSMLMEVETVLQTQEPVIKPAWNTFASSVSGIWKGVGAVFSPITAEMEPIEVGSRNEYLYDCYMLTRVEAVLPPSGKTSVIHRKINWVTLNPHGEVPEHVGVGVGNKEESGVEKPTLPPKGNDGTMNHVLPRFGSFDLTTSDVMEEDVMGIEPGLVFFEDGSYSRGPLDIPVGEVDDSNYYLSPTFKFEQVSFAWRVVFFKHLRQIDTIVFLLS >KJB49263 pep chromosome:Graimondii2_0_v6:8:34047979:34050586:1 gene:B456_008G109500 transcript:KJB49263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQALKLPLYLLLFLACVISANKFIHLHNMQSPCLHLCKNSYLGFHFHRPYSQNLFFSSSSLSLASKCPERLVVTQSQNRPNDSHNKTNPKGKVTVKGKKENVWSIDNNIAKAEKENDKGNTKQRRRKKGKKVVKGRKNKIGRVLVSGSMLMEVETVLQTQEPVIKPAWNTFASSVSGIWKGVGAVFSPITAEMEPIEVGSRNEYLYDCYMLTRVEAVLPPSGKTSVIHRKINWVTLNPHGEVPEHVGVGVGNKEESGVEKPTLPPKGNDGTMNHVLPRFGSFDLTTSDVMEEDVMGIEPGLVFFEGAARYSCW >KJB49267 pep chromosome:Graimondii2_0_v6:8:34047979:34049622:1 gene:B456_008G109500 transcript:KJB49267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQALKLPLYLLLFLACVISANKFIHLHNMQSPCLHLCKNSYLGFHFHRPYSQNLFFSSSSLSLASKCPERLVVTQSQNRPNDSHNKTNPKGKVTVKGKKENVWSIDNNIAKAEKENDKGNTKQRRRKKGKKVVKGRKNKIGRVLVSGSMLMEVETVLQTQEPVIKPAWNTFASSVSGIWKGVGAVFSPITAEMEPIEVGSRNEYLYDCYMLTRVEAVLPPSGKTSVIHRKINWVTLNPHGEVPEHVGVGVGNKEESGVEKPTLPPKGNDGTMNHVLPRFGSFDLTTSDVMEEDVMGIEPGLVFFEDGSYSRGPLDIPVGEVDDSNYYLSPTFKFEQVSFAWRVVFFKHLRQIDTIVFLLS >KJB47180 pep chromosome:Graimondii2_0_v6:8:1644807:1647388:1 gene:B456_008G014200 transcript:KJB47180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICWGCPTPSTTAHLSSVISQTTSNTASSTATSRGSNMSGDSVFSASSGDESSPNDHILPTPNLRIFSFAELKSATKNFRPDVVLGEGGFGKVFKGWLDEKASGKSGSGTLVAVKKLNPESLQGFEEWQCEVNFLEKLSHPHLVRLLGYCWEDKELLLVYEFMQKGSLENHLFGRGCTVQSLDWNIRLKIVIGAAKGLCFLHTANNQVIYRDFKASNILLDGSYTAKISDFGLAKFGPSASQSHVTTRIMGTCGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDPNRPSGQCNLVDWAKPYLSDRRKLNNIMDQGLDGKYPPKAAFQIAQLALKCLVPEPKNRPSMKEVVETLEQIKSINENPKEPRNRSARRHGKQSLRHRSPLAPKHGVR >KJB47179 pep chromosome:Graimondii2_0_v6:8:1644631:1647388:1 gene:B456_008G014200 transcript:KJB47179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSLVLFEGKKQLIYVSGYAPTRRQSEVKKERKRRTLKMGICWGCPTPSTTAHLSSVISQTTSNTASSTATSRGSNMSGDSVFSASSGDESSPNDHILPTPNLRIFSFAELKSATKNFRPDVVLGEGGFGKVFKGWLDEKASGKSGSGTLVAVKKLNPESLQGFEEWQCEVNFLEKLSHPHLVRLLGYCWEDKELLLVYEFMQKGSLENHLFGRGCTVQSLDWNIRLKIVIGAAKGLCFLHTANNQVIYRDFKASNILLDGSYTAKISDFGLAKFGPSASQSHVTTRIMGTCGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDPNRPSGQCNLVDWAKPYLSDRRKLNNIMDQGLDGKYPPKAAFQIAQLALKCLVPEPKNRPSMKEVVETLEQIKSINENPKEPRNRSARRHGKQSLRHRSPLAPKHGVR >KJB49075 pep chromosome:Graimondii2_0_v6:8:29314826:29318967:1 gene:B456_008G100200 transcript:KJB49075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIERRAPEWYFTQKIDYLKDKVHPTFVRERRAMKREYEEFKVRINGLVATAQKVPEDGWTMQDGTPWPGNSVRDHPGMIQVFLGHSGVRDVEGNELPCLVYVSREKRPGFEHHKKAGAMNALIRVSAVLSNAPYLLNVDSMCFLMDPISGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPVTKKPPGKTCNRLPKWCCCLCCCSRKNKKTKQKKTVKKSKQREASKQIHALENIEEGISDSISQKSSEASQMKLEKKFGQSPVFVASTLLENGGGPRNAIPSSLLSEAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPKQPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGMKWLERFSYINSVVYPWTSIPLLVYCTLPAICLLTGKFIVPEISNYAGVVFMALFVSIAVTGILEMQWGGVGIDDRWRNEQFWVIGGVSSHLFALFQGLLKVLAGVSTSFTVTSKAADDGEFSELYLFKWTSLLIPPTTLLIINVVGVVVGVSDAINNGYDSWGPLFGRLFFALWVIIHLYPFLKGLLGKEDRMPTIILVWSILLASILTLMWVRINPFVSKDGPVLEICGLNCDD >KJB49076 pep chromosome:Graimondii2_0_v6:8:29316296:29318967:1 gene:B456_008G100200 transcript:KJB49076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFLMDPISGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPVTKKPPGKTCNRLPKWCCCLCCCSRKNKKTKQKKTVKKSKQREASKQIHALENIEEGISDSISQKSSEASQMKLEKKFGQSPVFVASTLLENGGGPRNAIPSSLLSEAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPKQPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGMKWLERFSYINSVVYPWTSIPLLVYCTLPAICLLTGKFIVPEISNYAGVVFMALFVSIAVTGILEMQWGGVGIDDRWRNEQFWVIGGVSSHLFALFQGLLKVLAGVSTSFTVTSKAADDGEFSELYLFKWTSLLIPPTTLLIINVVGVVVGVSDAINNGYDSWGPLFGRLFFALWVIIHLYPFLKGLLGKEDRMPTIILVWSILLASILTLMWVRINPFVSKDGPVLEICGLNCDD >KJB50575 pep chromosome:Graimondii2_0_v6:8:45362659:45371865:1 gene:B456_008G177500 transcript:KJB50575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMLSSLSSSCLEWRYTFPLLEEAGLETWAIDILGWGFSNLERIKSCDVASKREHFYQLWKSYIKRPMTLVGPSLGAAVAVDFAVNHPEAVENLVLIDASVYAEGTGNLAKLPRAVAYAGVSILKSFPLRLYTTFLAFKGVSFDTGLDWVNIGKLHCLYPWWEDATVSFMISGGYNVSRQIDQVMQKTLIIWGEDDQIISNKLAVRLHFELPNSNIRQIPDCGHLPHVEKPDSAARLILEFMQNHSCQKKAQLAPTEEVVY >KJB50574 pep chromosome:Graimondii2_0_v6:8:45362659:45371865:1 gene:B456_008G177500 transcript:KJB50574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLTSASSALTLFPECKTQRRRFSISAAADYKFPSFLPKQMEEIKDPFARKLATRIERLPVNVSFSEKSIMSSCVKPLIQSNQNPVVLLHSFDSSCLEWRYTFPLLEEAGLETWAIDILGWGFSNLERIKSCDVASKREHFYQIGKLHCLYPWWEDATVSFMISGGYNVSRQIDQVMQKTLIIWGEDDQIISNKLAVRLHFELPNSNIRQIPDCGHLPHVEKPDSAARLILEFMQNHSCQKKAQLAPTEEVVY >KJB50572 pep chromosome:Graimondii2_0_v6:8:45362602:45371865:1 gene:B456_008G177500 transcript:KJB50572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLTSASSALTLFPECKTQRRRFSISAAADYKFPSFLPKQMEEIKDPFARKLATRIERLPVNVSFSEKSIMSSCVKPLIQSNQNPVVLLHSFDSSCLEWRYTFPLLEEAGLETWAIDILGWGFSNLERIKSCDVASKREHFYQLWKSYIKRPMTLVGPSLGAAVAVDFAVNHPEAVSILKSFPLRLYTTFLAFKGVSFDTGLDWVNIGKLHCLYPWWEDATVSFMISGGYNVSRQIDQVMQKTLIIWGEDDQIISNKLAVRLHFELPNSNIRQIPDCGHLPHVEKPDSAARLILEFMQNHSCQKKAQLAPTEEVVY >KJB50576 pep chromosome:Graimondii2_0_v6:8:45363727:45371616:1 gene:B456_008G177500 transcript:KJB50576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVGPSLGAAVAVDFAVNHPEAVENLVLIDASVYAEGTGNLAKLPRAVAYAGVSILKSFPLRLYTTFLAFKGVSFDTGLDWVNIGKLHCLYPWWEDATVSFMISGGYNVSRQIDQVMQKTLIIWGEDDQIISNKLAVRLHFELPNSNIRQIPDCGHLPHVEKPDSAARLILEFMQNHSCQKKAQLAPTEEVVY >KJB50573 pep chromosome:Graimondii2_0_v6:8:45362659:45363910:1 gene:B456_008G177500 transcript:KJB50573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLTSASSALTLFPECKTQRRRFSISAAADYKFPSFLPKQMEEIKDPFARKLATRIERLPVNVSFSEKSIMSSCVKPLIQSNQNPVVLLHSFDSSCLEWRYTFPLLEEAGLETWAIDILGWGFSNLERIKSCDVASKREHFYQLWKSYIKRPMTLVGPSLGAAVAVDFAVNHPEAVSILDNLEYDFFSIRLVE >KJB50571 pep chromosome:Graimondii2_0_v6:8:45362602:45371865:1 gene:B456_008G177500 transcript:KJB50571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLTSASSALTLFPECKTQRRRFSISAAADYKFPSFLPKQMEEIKDPFARKLATRIERLPVNVSFSEKSIMSSCVKPLIQSNQNPVVLLHSFDSSCLEWRYTFPLLEEAGLETWAIDILGWGFSNLERIKSCDVASKREHFYQLWKSYIKRPMTLVGPSLGAAVAVDFAVNHPEAVENLVLIDASVYAEGTGNLAKLPRAVAYAGVSILKSFPLRLYTTFLAFKGVSFDTGLDWVNIGKLHCLYPWWEDATVSFMISGGYNVSRQIDQVMQKTLIIWGEDDQIISNKLAVRLHFELPNSNIRQIPDCGHLPHVEKPDSAARLILEFMQNHSCQKKAQLAPTEEVVY >KJB52648 pep chromosome:Graimondii2_0_v6:8:55032792:55034654:-1 gene:B456_008G271200 transcript:KJB52648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSILCPIKYTEHKNLTRKFRKPAVKSKKVSSDYDRTYGGEGGDVRRIVRVSVTDPDATDSSSDEDADFFGRQRVKRYVNEINIEVVAAAATTTSGAVNGNRKRTAAEAATGCRRPVKLSSTNGSGRKFRGVRQRPWGKWAAEIRDPARRVRLWLGTYDTAEEAAMVYDNAAIKLRGPDALTNFVTPPAKENNEKPENLNVSSVSGYESGDESAHNLSSPTSVLNFRTQSSEETAVEPKKQPHESQEEESKPLPLKEEEHLVPTESQGETETNLPDISMYDLPLDFPSVDEFFNTSVSGLSVFDDTDMVLPDSSVFSDGFGDLLVHSPPDFGCSSSSTICQVDDYFEDIDDLFFSDPLVAL >KJB49411 pep chromosome:Graimondii2_0_v6:8:35316220:35318757:1 gene:B456_008G117700 transcript:KJB49411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAYAIKGMKRKNRGEKYDKEEQEHNEQVGEAEKERAKRAAIEKPTEEVEVKEEEEGAEAGTDELVGIPIAPSEKMNNTNGVIFVLEKASLEVAKVGKSFQLLNSDDHANFLRKNNKNPADYRPDITHQALLSILDSPVNKAGRLQAVYVRTEKGVLFEVKAHVRIPRTYKRFSGIMLQLLQKLNITAVGKREKLLRVIKNPVTNYFPVNSRKIGFSYSSDKLVKMRKYVDAVGDDVNLVFVVGAMAHGKIEVDYIDDFIAISGYPLSAAMCIARITEALADKWSIL >KJB49412 pep chromosome:Graimondii2_0_v6:8:35316083:35318771:1 gene:B456_008G117700 transcript:KJB49412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAYAIKGMKRKNRGEKYDKEEQEHNEQVGEAEKERAKRAAIEKPTEEVEVKEEEEGAEAGTDELVGIPIAPSEKMNNTNGVIFVLEKASLEVAKVGKSFQLLNSDDHANFLRKNNKNPADYRPDITHQALLSILDSPVNKAGRLQAVYVRTEKGVLFEVKAHVRIPRTYKRFSGIMLQLLQKLNITAVGKREKLLRVIKNPVTNYFPVNSRKIGFSYSSDKLVKMRKYVDAVGDDVNLVFVVGAMAHGKIEVDYIDDFIAISGYPLSAAMCIARITEALADKWSIL >KJB52646 pep chromosome:Graimondii2_0_v6:8:55013801:55016732:1 gene:B456_008G271100 transcript:KJB52646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein PDV1 [Source:Projected from Arabidopsis thaliana (AT5G53280) UniProtKB/Swiss-Prot;Acc:Q9FK13] MVEMKWDMEIEEIEAVLEKIWDLHDKLSDAIHSISRAHFLNSIKALKESDKKKLYNDVVVGADDNRTGFVYVKEFRIDDGDSAIQEAKSLNAIRTALENLEDQLEFFHTVQIQQRVERDAAIARLEQSRIILALRLADHHGKKYKVIDEALAFVGDVHDASRYISPKNLYCSPISPSGENLASHEGKHTNMLIKLIVSSFNYAKKSLKFDHMGGILSNAAIFAVSMIAMMHLHQIAFTDGRPEENINNRRNPRKNSQLEGPSSYDHSSHLDVYLARG >KJB52647 pep chromosome:Graimondii2_0_v6:8:55013898:55016579:1 gene:B456_008G271100 transcript:KJB52647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein PDV1 [Source:Projected from Arabidopsis thaliana (AT5G53280) UniProtKB/Swiss-Prot;Acc:Q9FK13] MVEMKWDMEIEEIEAVLEKIWDLHDKLSDAIHSISRAHFLNSIKALKESDKKKLYNDVVVGADDNRTGFVYVKEFRIDDGDSAIQEAKSLNAIRTALENLEDQLEFFHTVQIQQRVERDAAIARLEQSRIILALRLADHHGKKYKVIDEALAFVGDVHDASRYISPKNLYCSPISPSGENLASHEGKHTNMLIKLIVSSFNYAKKSLKFDHMGGILSNAAIFAVSMIAMMHLHQIAFTDGRPEENINNRRNPRKNSQLEGPSSYDHSSHLDVYLARG >KJB49324 pep chromosome:Graimondii2_0_v6:8:34534673:34538281:1 gene:B456_008G113300 transcript:KJB49324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDAAETLSCARCSNPASLQCPKCVELKLPREGAAFCTQDCFKASWSSHKPVHLKAKLSSLGTDGAGGQNSDLASEDWLYCLRKGQSRTPKLPPFNWTGSLRPYPISVKRNVPAHIDKPDWANDGVPKVEPNSDWQHRVEIKTPDQIERMRETCRIAREVLDAAARMIRPGVTTDEIDRVVHEATVAAGAYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIINVDVTVYYKGVHGDLNETYFVGNVDEASRKLVQCTYECLDKAISIVKPGVRFRDVGEVINRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYGSILIRSSFANLLHFIFMFNCPKCFMENRGKSTMRVLVLGVLLPFT >KJB49325 pep chromosome:Graimondii2_0_v6:8:34534673:34539618:1 gene:B456_008G113300 transcript:KJB49325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDAAETLSCARCSNPASLQCPKCVELKLPREGAAFCTQDCFKASWSSHKPVHLKAKLSSLGTDGAGGQNSDLASEDWLYCLRKGQSRTPKLPPFNWTGSLRPYPISVKRNVPAHIDKPDWANDGVPKVEPNSDWQHRVEIKTPDQIERMRETCRIAREVLDAAARMIRPGVTTDEIDRVVHEATVAAGAYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIINVDVTVYYKGVHGDLNETYFVGNVDEASRKLVQCTYECLDKAISIVKPGVRFRDVGEVINRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGVMKAGQTFTIEPMINAFGVIKCGLMGGLL >KJB49323 pep chromosome:Graimondii2_0_v6:8:34534597:34539618:1 gene:B456_008G113300 transcript:KJB49323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDAAETLSCARCSNPASLQCPKCVELKLPREGAAFCTQDCFKASWSSHKPVHLKAKLSSLGTDGAGGQNSDLASEDWLYCLRKGQSRTPKLPPFNWTGSLRPYPISVKRNVPAHIDKPDWANDGVPKVEPNSDWQHRVEIKTPDQIERMRETCRIAREVLDAAARMIRPGVTTDEIDRVVHEATVAAGAYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIINVDVTVYYKGVHGDLNETYFVGNVDEASRKLVQCTYECLDKAISIVKPGVRFRDVGEVINRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGVMKAGQTFTIEPMINAGVWRDQMWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVFPWSNK >KJB49326 pep chromosome:Graimondii2_0_v6:8:34534673:34539618:1 gene:B456_008G113300 transcript:KJB49326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDAAETLSCARCSNPASLQCPKCVELKLPREGAAFCTQDCFKASWSSHKPVHLKAKLSSLGTDGAGGQNSDLASEDWLYCLRKGQSRTPKLPPFNWTGSLRPYPISVKRNVPAHIDKPDWANDGVPKVEPNSDWQHRVEIKTPDQIERMRETCRIAREVLDAAARMIRPGVTTDEIDRVVHEATVAAGAYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIINVDVTVYYKGVHDEASRKLVQCTYECLDKAISIVKPGVRFRDVGEVINRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGVMKAGQTFTIEPMINAGVWRDQMWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVFPWSNK >KJB52621 pep chromosome:Graimondii2_0_v6:8:54940284:54942276:-1 gene:B456_008G270400 transcript:KJB52621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRLRLAVGIMGNASSLLLYAAPILTFTRVIRKRSTEEFSCIPYIVALSNCLLYTWYGLPVVSYKWENFPVITINGLGIILELSFIFIYLWFAPTRGKIKAGTTTTMVMVIFTVTAIISAFVFHDHHHRKVFVGTIGLVASVAMYAAPLVVVKQVIMTKSVEFMPFYLSFFSFLASVLWLAYGLLSHDLLLASPNLVGLPLGILQLGLYCKYRKRGIIEEEPSKWDLEHNNLQEKPKHIQLSMNEDINGKI >KJB49855 pep chromosome:Graimondii2_0_v6:8:39325566:39332076:-1 gene:B456_008G141800 transcript:KJB49855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQIAIEEEQQQQPLLHSTTTDGIEEEVVTSSLSNEPDALKRKLIGSNQRLLSLDVFRGLTVALMILVDDAGGAFPSINHAPWFGVTIADFVMPFFLFGVGVSISLVFKKASSKSLATKKVVLRTVKLFLLGLFLQGGYFHGRNNLAYGVDVAKIRWLGVLQRISIGYLLASITEIWLVRNVMVDSPTAFVQKYYIQWIIATLLLSLYMCVLYGLYVPNWEFQSPSLTLSTNGPHTQIVHCGVRGSLEPPCNAVGYIDRYFLGEPHLYRRPVYRRTKECSVNSPDYGPLPPHSPEWCLAPFDPEGILSSLMAVLTCIVGLHFGHILLHHKGQMHRVVLWSMSSFALLFSGFVLQLLGIPFSKPLYTLSYMCITAGASGLFLTIIFYIVDVKHFRKPVVLLQWMGMNALIIYALAACDIFPAAVQGFYWRSPENNLVDGLESLLQSVLRSSKWGTLYW >KJB48658 pep chromosome:Graimondii2_0_v6:8:17138521:17141941:-1 gene:B456_008G083200 transcript:KJB48658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45680) UniProtKB/Swiss-Prot;Acc:Q9SCY2] MKSLPFSAGTYIPKIPNPKILNKNVENPLIRWSKTKPKMGSIGIVEQQNEKGIVLLKRREGVGLGLYVGIADVLLQLLPQPCPSGAAVEVAPAACELTVSPSGLAFCDKVVGKGPEAVKGQLIKAHYVGRLENGKVFDSSYNRGKPLTFRVGVGDQRLGPRYFRW >KJB48661 pep chromosome:Graimondii2_0_v6:8:17140147:17141842:-1 gene:B456_008G083200 transcript:KJB48661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45680) UniProtKB/Swiss-Prot;Acc:Q9SCY2] MKSLPFSAGTYIPKIPNPKILNKNVENPLIRWSKTKPKMGSIGIVEQQNEKGIVLLKRREGVGLGLYVGIADVLLQLLPQPCPSGAAVEVAPAACELTVSPSGLAFCDKVVGKGPEAVKGQLIKAHYVGRLENGKVFDSSYNRGKPLTFRVGVAMLSFYLWFQFYRIDKKEKR >KJB48662 pep chromosome:Graimondii2_0_v6:8:17140257:17141842:-1 gene:B456_008G083200 transcript:KJB48662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45680) UniProtKB/Swiss-Prot;Acc:Q9SCY2] MKSLPFSAGTYIPKIPNPKILNKNVENPLIRWSKTKPKMGSIGIVEQQNEKGIVLLKRREGVGLGLYVGIADVLLQLLPQPCPSGAAVEVAPAACELTVSPSGLAFCDKVVGKGPEAVKGQLIKAHYVGRLENGKVFDSSYNRGKPLTFRVGVGEVLPFFSSFTCFP >KJB48657 pep chromosome:Graimondii2_0_v6:8:17138471:17141981:-1 gene:B456_008G083200 transcript:KJB48657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45680) UniProtKB/Swiss-Prot;Acc:Q9SCY2] MKSLPFSAGTYIPKIPNPKILNKNVENPLIRWSKTKPKMGSIGIVEQQNEKGIVLLKRREGVGLGLYVGIADVLLQLLPQPCPSGAAVEVAPAACELTVSPSGLAFCDKVVGKGPEAVKGQLIKVIKGWDQGILGGDGVPPMLAGGKRTLRLPPELGYGVRGAGCKGGSCIIPPDSVLLFDVEFIGKA >KJB48660 pep chromosome:Graimondii2_0_v6:8:17140165:17141941:-1 gene:B456_008G083200 transcript:KJB48660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45680) UniProtKB/Swiss-Prot;Acc:Q9SCY2] MKSLPFSAGTYIPKIPNPKILNKNVENPLIRWSKTKPKMGSIGIVEQQNEKGIVLLKRREGVGLGLYVGIADVLLQLLPQPCPSGAAVEVAPAACELTVSPSGLAFCDKVVGKGPEAVKGQLIKAHYVGRLENGKVFDSSYNRGKPLTFRVGVGEVIRQQ >KJB48659 pep chromosome:Graimondii2_0_v6:8:17138757:17141842:-1 gene:B456_008G083200 transcript:KJB48659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45680) UniProtKB/Swiss-Prot;Acc:Q9SCY2] MKSLPFSAGTYIPKIPNPKILNKNVENPLIRWSKTKPKMGSIGIVEQQNEKGIVLLKRREGVGLGLYVGIADVLLQLLPQPCPSGAAVEVAPAACELTVSPSGLAFCDKVVGKGPEAVKGQLIKAHYVGRLENGKVFDSSYNRGKPLTFRVGVGEVIKGWDQGILGGDGVPPMLAGGKRTLRLPPELGYGVRGAGCKGGSCIIPPDSVLLFDVEFIGKA >KJB50276 pep chromosome:Graimondii2_0_v6:8:42886485:42887286:1 gene:B456_008G161900 transcript:KJB50276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISQLALLSFHSDGIQRNEETSHSNGSLWSKLLEAKAKRRLKAKKQRKGTRSRSILMKRRAVGEGSRRLVVSPIVKKVKTLKKLIPNNGSMGLDGLFRDTAEYILSLQMRIKVMQIMVNVLTDSDE >KJB50277 pep chromosome:Graimondii2_0_v6:8:42886485:42887270:1 gene:B456_008G161900 transcript:KJB50277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISQLALLSFHSDGIQRNEETSHSNGSLWSKLLEAKAKRRLKAKKQRKGTRSRSILMKRRAVGEGSRRLVVSPIVKKVKTLKKLIPNNGSMGLDGLFRDTAEYILSLQMRIKVMQIMVNVLTDSDE >KJB52326 pep chromosome:Graimondii2_0_v6:8:53855757:53860122:1 gene:B456_008G256300 transcript:KJB52326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPPLRDYTATNAASAPPLLNTLTPSRRTSSNRAFAAVYTCAIVALFYRHTQTLLSSKTLVSFYVTLTLFVSDLILAFNWASGQAFRMRPVYRKEFPENLEKVVKTSDLPGLDVFICTADPYKEPPISVVNTALSVMAYDYPTEKISVYVSDDGGSAFTLFAFMEAARFAAHWLPFCRKNDIAKRNPDEYFATDYKTSSETEDIKIMYESMKMSVEHVVETGKISEEYNTCDEVRQVFSQWSIDGFTRQNHPTVIQVLLDQNKDKDITGNCLPNLVYVSRQKSKTSPHHFKAGALNVLVRVSAAMTNAPVILTLDCDMYSNDPTTPLRALCYILDPEMQSNLGYVQFPQEFHGLNKSDIYACEHKRLFKVHPVGYDGLCGPNYVGTGCFFRRRALCGDPSTFILPEIDGLCPDSVVNKPLTAPSVLELAHHVAGCNYENQTKWGSKIGFRYGSLVEDYYTGYRMKCEGWRSIFCYPETAAFLGDVPFNLLDVLSQNKRWAIGLLEVAFSRYSTITFGVKSMGLFMGLGYSYYAFWAILTIPITTYCLLPQLALLNELSIFPKVSDPWFLLYMFLFLGAYGQDLLEFVVDGGTIQRWWSDQRMWIMRGLSCHAFGLTEFVVKSIGIPTEGFNVTSKIINDEEGKRYEQGVFEFGVPSPLFVTLTMAAIINLLSLIIGLVHFIVDGGSNKEGLVMQLVLTGLIVMNYLPVYQAIALRSDKGKMPTKTTVTATLLASAVYVVASLFLK >KJB47604 pep chromosome:Graimondii2_0_v6:8:4014295:4016460:1 gene:B456_008G033200 transcript:KJB47604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFLSLERSLALMLISLITACTLSDCYDPLDPNGNITVTFDINQWIPEGYVARVTIQNYYQYRHVDKPGWKLGWTWAKNETILSMAGAFATKQGNCSSFKFQTPPHSCEKSPVIADLMPDALSENKPDGCCHGGLLAAWAINPSKSFSSFEMTIGNLGTNPNVQAPINLTLMAPGPGYTCDLIEDTDPSVYSDIGGKRQLQAYRTWKSTCTYSSFIANQAPVCCVSLSTFYNPKITSCPTCSCGCREADKNTVSCIREGYSEPESDSFDNNDIVKCTDHMCPVRIHWHIMTNYVTHWKVKLTISNYNYERNYSNWNVLIQHPGFSQSTTAYSFNSTMLPSFGFSDEVALFWGIEYYNDELLYAEEDKVSAVSTQILLRKDLDSFTLRNGWALPRRIYFNGEDCQMPLPDTFPMLPNVSSSLKPTNPVFLLCIYLTFKRLFT >KJB47602 pep chromosome:Graimondii2_0_v6:8:4014112:4016312:1 gene:B456_008G033200 transcript:KJB47602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAFATKQGNCSSFKFQTPPHSCEKSPVIADLMPDALSENKPDGCCHGGLLAAWAINPSKSFSSFEMTIGNLGTNPNVQAPINLTLMAPGPGYTCDLIEDTDPSVYSDIGGKRQLQAYTICSPGTWKSTCTYSSFIANQAPVCCVSLSTFYNPKITSCPTCSCGCREADKNTVSCIREGYSEPESDSFDNNDIVKCTDHMCPVRIHWHIMTNYVTHWKVKLTISNYNYERNYSNWNVLIQHPGFSQSTTAYSFNSTMLPSFGFSDEVALFWGIEYYNDELLYAEEDKVSAVSTQILLRKDLDSFTLRNGWALPRRIYFNGEDCQMPLPDTFPMLPNVSSSLKPTNPVFLLCIYLTFKRLFT >KJB47603 pep chromosome:Graimondii2_0_v6:8:4014112:4016348:1 gene:B456_008G033200 transcript:KJB47603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAFATKQGNCSSFKFQTPPHSCEKSPVIADLMPDALSENKPDGCCHGGLLAAWAINPSKSFSSFEMTIGNLGTNPNVQAPINLTLMAPGPGYTCDLIEDTDPSVYSDIGGKRQLQAYRTWKSTCTYSSFIANQAPVCCVSLSTFYNPKITSCPTCSCGCREADKNTVSCIREGYSEPESDSFDNNDIVKCTDHMCPVRIHWHIMTNYVTHWKVKLTISNYNYERNYSNWNVLIQHPGFSQSTTAYSFNSTMLPSFGFSDEVALFWGIEYYNDELLYAEEDKVSAVSTQILLRKDLDSFTLRNGWALPRRIYFNGEDCQMPLPDTFPMLPNVSSSLKPTNPVFLLCIYLTFKRLFT >KJB47621 pep chromosome:Graimondii2_0_v6:8:4073794:4087995:1 gene:B456_008G034000 transcript:KJB47621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFDVFEMREGGPLILAWAVFLCLMSSLPQKEESNEFMEIDHVGYVRQAFEASSLSYFLEILQSGILKESDGPVAGYRSVLRTFISAFIASYEISLQEEDGTLNLILGILCYVYRGEESLCIQFWDRASFTDGPIRCLLCNLEGEFPFRTVELLRLLSSLCEGSWPAECVYNFLDKSTGISSLFDITSESLLDNVSQIVETQHPVPIPGIDGLHIPSRTHGHVLKVVDGRTALVRWEHTKSAVFVLLLRLAQIPYLENNEEAFLTLDLLSRMVSCNTAVCFALMDSCNICHLQATGMNGQIENNVWVVEIISNIVRNLSPNPSGAALMSMAFVILAKMLKCCPSNVAAVALKANIFDVASNSSTFNIGWNGLSSGSWLLSGKLAKMLLIDSEQNDYDCPLTISVLDFTMELVRTGVEDDIVVSLIVFSLQYILVNHEYWKYKVKNTRWKVTLKVLEVMKTCILATASSEKLSDVIRDLLLDDSSIHNTLFRIMCTTSEALERLYLNRLIELVEIEGLQLAISSALDISYVMLTKISKDMTSSIPAFHQAVLSSTTKPISVIAAVISLISFFRDLAIQVAAAKLLAILLQMAEPHPFINSCFCPDDKRMADLRLSINRILLEHRILNDDLFIAVLNLLASAARFQPAFLLAIFDTKEDTAVQLANIGGVKQTTNEPLSGSLGSETCSLVNAILQFVESSNDVINSNPCVLLNALNFLKALWHGAGLYTTILERLKSSDKFWKQLSNSIFQSAALEVPVLKSIKESEASLLGHKYRCQSAILETMAYDVFLMKKLLYAESLVKDPSESNKKIEADNNVMKSILSNWCNSSVLGSLIKSYTSCKYDNEIYFHAKVALSLLTVHIMGKLVAGDAGSLSVSLVEKIRHVYKKLTVQPAFSELLAQYSLRGYSEGKELKALIMSDLYYHLQGELEGRKMSAGPFKELSQFLIESKIVKIYENKCSLDLFLNADDVHVFDLGRIQADLGLDMWDYSEWRTSKGIAETMLSCMQKANSMVLIGNSKLSSLKALITVLTVYEDSLLEKMTEVGGKIPDQLIFSCMEHICRSFLDTLEPLSRVPDVSEDVLDFLTSQADLLLHLTRSVRKSLSMSVCLLLLKTSGTGLKMLNDLRTIVSGVNNTMKLLIMLILLSMEFCWLDSHISGVKDKESIEGFAEISNVSLGLLPILCNCVTVAECHSLCLTALDLTLKGFLTPDTWFPIIHKHLQLQHVVLKLQDKNSFGSVPVLLKFFLTIARVRGGAEMLLNAGFFSSLKLLFADMSDGRVSSVINSGKKLSTLSDKIEKPQLIWGLGLAVITAMVHSLGDSSLSIDIVANVIPYLFSEKAHLISYFLSAPDFPSDDHDKKRPRAQRTWTSLSKLMETEQTLMLMCVLAQHWNTWVKAMKDTDSQLREMSIHLLAFISRGNQRLGEAPSRIPPLICPPILKDELDCCNKPSFVNSKNGWFALSPLGCISKPKFSGISTTALVIKDQATESNNYVSQTYFSDSVAIQIYRIAFLLLKFLCLQAEGAAKRAEELGYVDLAHFPELPMPEILHGIQDQAIAIVTELCETNRSKQIQSEVQQVCLLLLQTIEMALYLELCVLQICGIKPMLGRVEDVSKEVKLLMKATEGHAFLKGSMKSLSQIISLVYPGLP >KJB47619 pep chromosome:Graimondii2_0_v6:8:4071476:4087573:1 gene:B456_008G034000 transcript:KJB47619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATKSVDRSLWWEPFSSLLTDLENASPSDDLPEPLAKKLKENHDWFVETVARFKSPNEKSKEALMSSEQIKIGPHELTVKPDFRDKALQVSSYLCLDEVQSYILVDRYLERGNVAENYIVHDPIHVVLLQYFIERQCLLKCTRQILMHALYLGNSLKEESLIREEALKLIYDGLEGKLISVLEVLMSCSHPEQMDVDLFTLWAEETLLEDNLVLDIIFLIYYESLCTCTAERWKNLCLLYKVTLSGSYNFGKLAISPEALSSFYQAKIQLLLILIEALNLENLLHMVHDEIPFRQGACGFTLTDVREIDALMSGFDVFEMREGGPLILAWAVFLCLMSSLPQKEESNEFMEIDHVGYVRQAFEASSLSYFLEILQSGILKESDGPVAGYRSVLRTFISAFIASYEISLQEEDGTLNLILGILCYVYRGEESLCIQFWDRASFTDGPIRCLLCNLEGEFPFRTVELLRLLSSLCEGSWPAECVYNFLDKSTGISSLFDITSESLLDNVSQIVETQHPVPIPGIDGLHIPSRTHGHVLKVVDGRTALVRWEHTKSAVFVLLLRLAQIPYLENNEEAFLTLDLLSRMVSCNTAVCFALMDSCNICHLQATGMNGQIENNVWVVEIISNIVRNLSPNPSGAALMSMAFVILAKMLKCCPSNVAAVALKANIFDVASNSSTFNIGWNGLSSGSWLLSGKLAKMLLIDSEQNDYDCPLTISVLDFTMELVRTGVEDDIVVSLIVFSLQYILVNHEYWKYKVKNTRWKVTLKVLEVMKTCILATASSEKLSDVIRDLLLDDSSIHNTLFRIMCTTSEALERLYLNRLIELVEIEGLQLAISSALDISYVMLTKISKDMTSSIPAFHQAVLSSTTKPISVIAAVISLISFFRDLAIQVAAAKLLAILLQMAEPHPFINSCFCPDDKRMADLRLSINRILLEHRILNDDLFIAVLNLLASAARFQPAFLLAIFDTKEDTAVQLANIGGVKQTTNEPLSGSLGSETCSLVNAILQFVESSNDVINSNPCVLLNALNFLKALWHGAGLYTTILERLKSSDKFWKQLSNSIFQSAALEVPVLKSIKESEASLLGHKYRCQSAILETMAYDVFLMKKLLYAESLVKDPSESNKKIEADNNVMKSILSNWCNSSVLGSLIKSYTSCKYDNEIYFHAKVALSLLTVHIMGKLVAGDAGSLSVSLVEKIRHVYKKLTVQPAFSELLAQYSLRGYSEGKELKALIMSDLYYHLQGELEGRKMSAGPFKELSQFLIESKIVKIYENKCSLDLFLNADDVHVFDLGRIQADLGLDMWDYSEWRTSKGIAETMLSCMQKANSMVLIGNSKLSSLKALITVLTVYEDSLLEKMTEVGGKIPDQLIFSCMEHICRSFLDTLEPLSRVPDVSEDVLDFLTSQADLLLHLTRSVRKSLSMSVCLLLLKTSGTGLKMLNDLRTIVSGVNNTMKLLIMLILLSMEFCWLDSHISGVKDKESIEGFAEISNVSLGLLPILCNCVTVAECHSLCLTALDLTLKGFLTPDTWFPIIHKHLQLQHVVLKLQDKNSFGSVPVLLKFFLTIARVRGGAEMLLNAGFFSSLKLLFADMSDGRVSSVINSGKKLSTLSDKIEKPQLIWGLGLAVITAMVHSLGDSSLSIDIVANVIPYLFSEKAHLISYFLSAPDFPSDDHDKKRPRAQRTWTSLSKLMETEQTLMLMCVLAQHWNTWVKAMKDTDSQLREMSIHLLAFISRGNQRLGEAPSRIPPLICPPILKDELDCCNKPSFVNSKNGWFALSPLGCISKPKFSGISTTALVIKDQATESNNYVSQTYFSDSVAIQIYRIAFLLLKFLCLQAEGAAKRAEELGYVDLAHFPELPMPEILHGIQDQAIAIVTELCETNRSKQIQSEVQQVCLLLLQTIEMALYLELCVLQICGIKPMLGRVEDVSKEVKLLMKATEGHAFLKGSMKSLSQIISLVYPGLP >KJB47620 pep chromosome:Graimondii2_0_v6:8:4071476:4087995:1 gene:B456_008G034000 transcript:KJB47620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATKSVDRSLWWEPFSSLLTDLENASPSDDLPEPLAKKLKENHDWFVETVARFKSPNEKSKEALMSSEQIKIGPHELTVKPDFRDKALQVSSYLCLDEVQSYILVDRYLERGNVAENYIVHDPIHVVLLQYFIERQCLLKCTRQILMHALYLGNSLKEESLIREEALKLIYDGLEGKLISVLEVLMSCSHPEQMDVDLFTLWAEETLLEDNLVLDIIFLIYYESLCTCTAERWKNLCLLYKVTLSGSYNFGKLAISPEALSSFYQAKIQLLLILIEALNLENLLHMVHDEIPFRQGACGFTLTDVREIDALMSGFDVFEMREGGPLILAWAVFLCLMSSLPQKEESNEFMEIDHVGYVRQAFEASSLSYFLEILQSGILKESDGPVAGYRSVLRTFISAFIASYEISLQEEDGTLNLILGILCYVYRGEESLCIQFWDRASFTDGPIRCLLCNLEGEFPFRTVELLRLLSSLCEGSWPAECVYNFLDKSTGISSLFDITSESLLDNVSQIVETQHPVPIPGIDGLHIPSRTHGHVLKVVDGRTALVRWEHTKSAVFVLLLRLAQIPYLENNEEAFLTLDLLSRMVSCNTAVCFALMDSCNICHLQATGMNGQIENNVWVVEIISNIVRNLSPNPSGAALMSMAFVILAKMLKCCPSNVAAVALKANIFDVASNSSTFNIGWNGLSSGSWLLSGKLAKMLLIDSEQNDYDCPLTISVLDFTMELVRTGVEDDIVVSLIVFSLQYILVNHEYWKYKVKNTRWKVTLKVLEVMKTCILATASSEKLSDVIRDLLLDDSSIHNTLFRIMCTTSEALERLYLNRLIELVEIEGLQLAISSALDISYVMLTKISKDMTSSIPAFHQAVLSSTTKPISVIAAVISLISFFRDLAIQVAAAKLLAILLQMAEPHPFINSCFCPDDKRMADLRLSINRILLEHRILNDDLFIAVLNLLASAARFQPAFLLAIFDTKEDTAVQLANIGGVKQTTNEPLSGSLGSETCSLVNAILQFVESSNDVINSNPCVLLNALNFLKALWHGAGLYTTILERLKSSDKFWKQLSNSIFQSAALEVPVLKSIKESEASLLGHKYRCQSAILETMAYDVFLMKKLLYAESLVKDPSESNKKIEADNNVMKSILSNWCNSSVLGSLIKSYTSCKYDNEIYFHAKVALSLLTVHIMGKLVAGDAGSLSVSLVEKIRHVYKKLTVQPAFSELLAQYSLRGYSEGKELKALIMSDLYYHLQGELEGRKMSAGPFKELSQFLIESKIVKIYENKCSLDLFLNADDVHVFDLGRIQADLGLDMWDYSEWRTSKGIAETMLSCMQKANSMVLIGNSKLSSLKALITVLTVYEDSLLEKMTEVGGKIPDQLIFSCMEHICRSFLDTLEPLSRVPDVSEDVLDFLTSQADLLLHLTRSVRKSLSMSVCLLLLKTSGTGLKMLNDLRTIVSGVNNTMKLLIMLILLSMEFCWLDSHISGVKDKESIEGFAEISNVSLGLLPILCNCVTVAECHSLCLTALDLTLKGFLTPDTWFPIIHKHLQLQHVVLKLQDKNSFGSVPVLLKFFLTIARVRGGAEMLLNAGFFSSLKLLFADMSDGRVSSVINSGKKLSTLSDKIEKPQLIWGLGLAVITAMVHSLGDSSLSIDIVANVIPYLFSEKAHLISYFLSAPDFPSDDHDKKRPRAQRTWTSLSKLMETEQTLMLMCVLAQHWNTWVKAMKDTDSQLREMSIHLLAFISRGNQRLGEAPSRIPPLICPPILKDELDCCNKPSFVNSKNGWFALSPLGCISKPKFSGISTTALVIKDQATESNNYVSQTYFSDSVAIQIYRIAFLLLKFLCLQAEGAAKRAEELGYVDLAHFPELPMPEILHGIQDQAIAIVTELCETNRSKQIQSEVQQVCLLLLQTIEMALYLELCVLQICGIKPMLGRVEDVSKEVKLLMKATEGHAFLKGSMKSLSQIISLVYPGLP >KJB47618 pep chromosome:Graimondii2_0_v6:8:4071301:4087494:1 gene:B456_008G034000 transcript:KJB47618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATKSVDRSLWWEPFSSLLTDLENASPSDDLPEPLAKKLKENHDWFVETVARFKSPNEKSKEALMSSEQIKIGPHELTVKPDFRDKALQVSSYLCLDEVQSYILVDRYLERGNVAENYIVHDPIHVVLLQYFIERQCLLKCTRQILMHALYLGNSLKEESLIREEALKLIYDGLEGKLISVLEVLMSCSHPEQMDVDLFTLWAEETLLEDNLVLDIIFLIYYESLCTCTAERWKNLCLLYKVTLSGSYNFGKLAISPEALSSFYQAKIQLLLILIEALNLENLLHMVHDEIPFRQGACGFTLTDVREIDALMSGFDVFEMREGGPLILAWAVFLCLMSSLPQKEESNEFMEIDHVGYVRQAFEASSLSYFLEILQSGILKESDGPVAGYRSVLRTFISAFIASYEISLQEEDGTLNLILGILCYVYRGEESLCIQFWDRASFTDGPIRCLLCNLEGEFPFRTVELLRLLSSLCEGSWPAECVYNFLDKSTGISSLFDITSESLLDNVSQIVETQHPVPIPGIDGLHIPSRTHGHVLKVVDGRTALVRWEHTKSAVFVLLLRLAQIPYLENNEEAFLTLDLLSRMVSCNTAVCFALMDSCNICHLQATGMNGQIENNVWVVEIISNIVRNLSPNPSGAALMSMAFVILAKMLKCCPSNVAAVALKANIFDVASNSSTFNIGWNGLSSGSWLLSGKLAKMLLIDSEQNDYDCPLTISVLDFTMELVRTGVEDDIVVSLIVFSLQYILVNHEYWKYKVKNTRWKVTLKVLEVMKTCILATASSEKLSDVIRDLLLDDSSIHNTLFRIMCTTSEALERLYLNRLIELVEIEGLQLAISSALDISYVMLTKISKDMTSSIPAFHQAVLSSTTKPISVIAAVISLISFFRDLAIQVAAAKLLAILLQMAEPHPFINSCFCPDDKRMADLRLSINRILLEHRILNDDLFIAVLNLLASAARFQPAFLLAIFDTKEDTAVQLANIGGVKQTTNEPLSGSLGSETCSLVNAILQFVESSNDVINSNPCVLLNALNFLKALWHGAGLYTTILERLKSSDKFWKQLSNSIFQSAALEVPVLKSIKESEASLLGHKYRCQSAILETMAYDVFLMKKLLYAESLVKDPSESNKKIEADNNVMKSILSNWCNSSVLGSLIKSYTSCKYDNEIYFHAKVALSLLTVHIMGKLVAGDAGSLSVSLVEKIRHVYKKLTVQPAFSELLAQYSLRGYSEGKELKALIMSDLYYHLQGELEGRKMSAGPFKELSQFLIESKIVKIYENKCSLDLFLNADDVHVFDLGRIQADLGLDMWDYSEWRTSKGIAETMLSCMQKANSMVLIGNSKLSSLKALITVLTVYEDSLLEKMTEVGGKIPDQLIFSCMEHICRSFLDTLEPLSRVPDVSEDVLDFLTSQADLLLHLTRSVRKSLSMSVCLLLLKTSGTGLKMLNDLRTIVSGVNNTMKLLIMLILLSMEFCWLDSHISGVKDKESIEGFAEISNVSLGLLPILCNCVTVAECHSLCLTALDLTLKGFLTPDTWFPIIHKHLQLQHVVLKLQDKNSFGSVPVLLKFFLTIARVRGGAEMLLNAGFFSSLKLLFADMSDGRVSSVINSGKKLSTLSDKIEKPQLIWGLGLAVITAMVHSLGDSSLSIDIVANVIPYLFSEKAHLISYFLSAPDFPSDDHDKKRPRAQRTWTSLSKLMETEQTLMLMCVLAQHWNTWVKAMKDTDSQLREMSIHLLAFISRGNQRLGEAPSRIPPLICPPILKDELDCCNKPSFVNSKNGWFALSPLGCISKPKFSGISTTALVIKDQATESNNYVSQTYFSDSVAIQIYRIAFLLLKFLCLQAEGAAKRAEELGYVDLAHFPELPMPEILHGIQDQAIAIVTELCETNRSKQIQSEVQQVCLLLLQTIEMALYLELCVLQICGIKPMLGRVEDVSKEVKLLMKATEGHAFLKGSMKSLSQIISLVYPGLP >KJB50187 pep chromosome:Graimondii2_0_v6:8:42311508:42313309:-1 gene:B456_008G158100 transcript:KJB50187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMVFSGERGMAMAPERSKPLHNFNLPCLKWGNRRYLRCMKLDDASTATDSSTAPPADHNQHCRRRVLQRRRSPPSKFDSLMVGGMRRRESESSPSSKNTDYAREQRLRISKGEAAAGIEAVREKIIKDLKTAADEIKDAIFRDEVSDDDDVDDDEEEFEEPKHKHKVKDKEREESPAVEVEARPWNLRTRRAACKAPVDGEVTNNNYSSPMRNEVFQSSRVRDKGPPAASAAASDKKRSRPKFSVPLSKKEIEEDFMVMAGHRPPRRPKKRARNVQKQLDYLFPGLWLTEVTADAYKVPELAENGKR >KJB50188 pep chromosome:Graimondii2_0_v6:8:42310518:42313388:-1 gene:B456_008G158100 transcript:KJB50188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMVFSGERGMAMAPERSKPLHNFNLPCLKWGNRRYLRCMKLDDASTATDSSTAPPADHNQHCRRRVLQRRRSPPSKFDSLMVGGMRRRESESSPSSKNTDYAREQRLRISKGEAAAGIEAVREKIIKDLKTAADEIKDAIFRDEVSDDDDVDDDEEEFEEPKHKHKVKDKEREESPAVEVEARPWNLRTRRAACKAPVDGEVTNNNYSSPMRNEVFQSSRVRDKGPPAASAAASDKKRSRPKFSVPLSKKEIEEDFMVMAGHRPPRRPKKRARNVQKQLDYLFPGLWLTEVTADAYKVPELAENGKR >KJB50186 pep chromosome:Graimondii2_0_v6:8:42310976:42313309:-1 gene:B456_008G158100 transcript:KJB50186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMVFSGERGMAMAPERSKPLHNFNLPCLKWGNRRYLRCMKLDDASTATDSSTAPPADHNQHCRRRVLQRRRSPPSKFDSLMVGGMRRRESESSPSSKNTDYAREQRLRISKGEAAAGIEAVREKIIKDLKTAADEIKDAIFRDEVSDDDDVDDDEEEFEEPKHKHKVKDKEREESPAVEVEARPWNLRTRRAACKAPVDGEVTNNNYSSPMRNEVFQSSRVRDKGPPAASAAASDKKRSRPKFSVPLSKKEIEEDFMVMAGHRPPRRPKKRARNVQKQLDYLFPGLWLTEVTADAYKVPELAENGKR >KJB50189 pep chromosome:Graimondii2_0_v6:8:42310519:42313328:-1 gene:B456_008G158100 transcript:KJB50189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMVFSGERGMAMAPERSKPLHNFNLPCLKWGNRRYLRCMKLDDASTATDSSTAPPADHNQHCRRRVLQRRRSPPSKFDSLMVGGMRRRESESSPSSKNTDYAREQRLRISKGEAAAGIEAVREKIIKDLKTAADEIKDAIFRDEVSDDDDVDDDEEEFEEPKHKHKVKDKEREESPAVEVEARPWNLRTRRAACKAPVDGEVTNNNYSSPMRNEVFQSSRVRDKGPPAASAAASDKKRSRPKFSVPLSKKEIEEDFMVMAGHRPPRRPKKRARNVQKQLDYLFPGLWLTEVTADAYKVPELAENGKR >KJB52081 pep chromosome:Graimondii2_0_v6:8:53048170:53054355:1 gene:B456_008G245800 transcript:KJB52081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKQETNQKKASKTMAEKETQEFQFVVYNTMTQQKEVFKPKTPGKVRMYVCGVTAYDFSHLGHARAAVSFDVLYRYLKYLGYEVTYVRNFTDVDDKIIRRANETGEDPISLSDRYCKEYNIDMSDLQCLSPTHEPRVSDHMEQIKDMITQIINKDFGYVVDGDVFFAVDKFPNYGKLSGQKLENNRAGERVAVDSRKRNPSDFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSAHYLSFKFDIHGGGLDLIFPHHENEIAQSCAACEESDVSYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRYFLINAHYRSPLNYSVVQLEGASDAVFYIYQTLKDCQDALLQLQEEMPNDGKPARISPDAKECISKLRNEFQVKMSDDLSTSLILTGAFLEALKLVNNLLTMLKKKQQKQQRLLVIQSLKEVEKEVTKILDVLGLQLPCSYNEVLLQLKEKALTRAGLVEDDVIRLINERAEVRRNKDFLKSDQMRAHLQAKGIALMDVGTETIWRPCVPVQQESGIVPSEGQKVPPKPETAEECRS >KJB52079 pep chromosome:Graimondii2_0_v6:8:53045163:53054355:1 gene:B456_008G245800 transcript:KJB52079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKQETNQKKASKTMAEKETQEFQFVVYNTMTQQKEVFKPKTPGKVRMYVCGVTAYDFSHLGHARAAVSFDVLYRYLKYLGYEVTYVRNFTDVDDKIIRRANETGEDPISLSDRYCKEYNIDMSDLQCLSPTHEPRVSDHMEQIKDMITQIINKDFGYVVDGDVFFAVDKFPNYGKLSGQKLENNRAGERVAVDSRKRNPSDFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSAHYLSFKFDIHGGGLDLIFPHHENEIAQSCAACEESDVSYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRYFLINAHYRSPLNYSVVQLEGASDAVFYIYQTLKDCQDALLQLQEEMPNDGKPARISPDAKECISKLRNEFQVKMSDDLSTSLILTGAFLEALKLVNNLLTMLKKKQQKQQRLLVIQSLKEVEKEVTKILDVLGLQLPCSYNEVLLQLKEKALTRAGLVEDDVIRLINERAEVRRNKDFLKSDQMRAHLQAKGIALMDVGTETIWRPCVPVQQESGIVPSEGQKVPPKPETAEECRS >KJB52080 pep chromosome:Graimondii2_0_v6:8:53047463:53053782:1 gene:B456_008G245800 transcript:KJB52080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKQETNQKKASKTMAEKETQEFQFVVYNTMTQQKEVFKPKTPGKVRMYVCGVTAYDFSHLGHARAAVSFDVLYRYLKYLGYEVTYVRNFTDVDDKIIRRANETGEDPISLSDRYCKEYNIDMSDLQCLSPTHEPRVSDHMEQIKDMITQIINKDFGYVVDGDVFFAVDKFPNYGKLSGQKLENNRAGERVAVDSRKRNPSDFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSAHYLSFKFDIHGGGLDLIFPHHENEIAQSCAACEESDVSYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRYFLINAHYRSPLNYSVVQLEGASDAVFYIYQTLKDCQDALLQLQEEMPNDGKPARISPDAKECISKLRNEFQVKMSDDLSTSLILTGAFLEALKLVNNLLTMLKKQQKQQRLLVIQSLKEVEKEVTKILDVLGLQLPCSYNEVLLQLKEKALTRAGLVEDDVIRLINERAEVRRNKDFLKSDQMRAHLQAKGIALMDVGTETIWRPCVPVQQESGIVPSEGQKVPPKPETAEECRS >KJB52998 pep chromosome:Graimondii2_0_v6:8:56299761:56300352:-1 gene:B456_008G287700 transcript:KJB52998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASYTAVFSAAAVVVLLLLAEAKVSVAVTCSPTQLSSCVSAITSSSPPSKLCCSKIKEQKPCLCQYLKNPNLKKFINTPNARKVASTCGTPFPKC >KJB46788 pep chromosome:Graimondii2_0_v6:8:2199168:2221357:1 gene:B456_008G019100 transcript:KJB46788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLQSLRFCGPAASVPPGGSFETLNRILADLCTRANPKEGAPLALKKHLEEEARELSGEAFSRFMDQLYDRISSLLESNDVAQNMGALRAIDELIDVALGENASKVSKFSNYMRTVFEVKRDPEILILASKVLGHLARAGGAMTADEVEFQVKTALEWLRGERIEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPTLAVREHAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPIHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILAVLRIPAERASGFIALGEMAGALDGELVHYLPTITSHLRDAIAPRRGRPSLEALACVGNIAKAMGPAMESHVRSLLDVMFAAGLSPTLVESLEQITVSIPSLLPTIQDRLLDCISLVLSKSHYFHARPAAALVRGTAANIPQPVSELGGSALVQLALQTLARFNFKGHELLEFARESVVVYLDDEDGTTRKDAALCCCKLVANSFSGIASAQFGSSRSNRAGGKRRRLVEELVEKLLIAAVADADVTVRHSIFTSLHGNSGFDDFLAQADCLSAVFAALNDEDFDVREYAISVAGRLSEKNPAYVLPALRRHLIQLLTYLGQSADNKCKEESAKLLGCLIRNCERLILPYIAPVHKALVARLLEGTGVNANNGIISGVLVTVGDLARVGGFAMREYIPELMPLIVEALLDGAAVTKREVAVATLGHVVQSTGYVIAPYNEYPQLLGLLLKLLNGELVWSTRREVLKVLGIMGALDPHAHKKNQQSLSGSHGDVTHAASDSGQHIPSSMDELSMDLWPSFATSEDYYSTVAINSLMRILRDPSLASYHQKVVGSLMFIFKSMGLGCVPYLPKVLPDLFQIVRTCDDHLKDFITWKLGTLVSIVRQHIRKYLPELLSLISELWSSFSLPASNRPSRGFPVLHLVEQLCLALNDEFRKYLPAILPCCIQVLSDAERCNDYTYVLDILHTLEGFGGTLDEHMHLLLPALIRLFKVDASVEIRRAAIKTLIRLIPRVQVAGHISSLVHHLKLVLDGKNDELRKDAVDALCCLAHSLGEDFTIFIPSIHKLLLRHRLRHKEFEEIEGRLRRREPLIVGSSAAQQLSRQLPVEVVSDPLDDVENDPYEDVSDVQRHSRGHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCVRLAQLQPFVGRELFAAGFVSCWSQLNESSQRQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDERPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGAFSKKMDANPVSVVEALIHINNQLHQHEAAVGILTYALQNLDVQLKESWYEKLQRWDDALKAYTAKATQASSPHLVLEATLGRMRCLAALARWEELNNLCKEYWTPAEPSARLEMAPMAANAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTAASGDGSSNGTFFRVVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPVGNPVAEGRRALIRNMWTERIQGAKRNVEVWQGLLAVRALVLPPTEDIETWLKFASLCRKNGRISQARSTLIKLLQYDPEASPENVRYHGPPQVMLAYLKYQWSLGDDHKRKEAFARLQNLVREFSISLNIQSIASTASMSGTNANVPLLARVYHKLGAWQWSLSPGLDDDSIQEILTAFRNATQCATKWAKAWHAWALFNTAVMSHYTLRGFPTIASQFVVAAVTGYFHSIACAANTKGVNDSLQDILRLLTLWFNHGATSEVQMALQIGFSHVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRKAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHESWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMRDNTTIKERGFIEAYHHDLSQAYECCVKYKRTGKDAELTQAWDLYYHVFKRIDKQLQSLTTLDLQSVSPELVECRDLELAVPGTYRAESPVVTIASFAHQLDVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTAEKDLSIQQYAVIPLSPNSGLIGWVPNCDTLHHLIREYRDARRITLNQEHKYMLSFAPDYDHLPLISKVEVFEYALLNTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLLKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQISMFGSNHAPAVINTEETAQSKELGQPQRGARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSSSCSSIPACSMQNVVDHSTLTSGDNREVEHGLSVKLQVQKLILQATSHENLCQNYVGWCPFW >KJB46804 pep chromosome:Graimondii2_0_v6:8:50410306:50412098:1 gene:B456_008G217400 transcript:KJB46804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFPAFMTQFPSTMRTIPSSLLLPSQWPQPHNEELLLAMEESNLEDKLNEIRDTNRNLVVIGKTTADNDKEDDNDADDDDADNAEESEGEEFEQETG >KJB51747 pep chromosome:Graimondii2_0_v6:8:51651183:51653162:1 gene:B456_008G230300 transcript:KJB51747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNLTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >KJB51746 pep chromosome:Graimondii2_0_v6:8:51650708:51653162:1 gene:B456_008G230300 transcript:KJB51746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >KJB51745 pep chromosome:Graimondii2_0_v6:8:51650843:51653117:1 gene:B456_008G230300 transcript:KJB51745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >KJB52362 pep chromosome:Graimondii2_0_v6:8:53931794:53934354:-1 gene:B456_008G257900 transcript:KJB52362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRSDRSLLQDLLLYAASIAFSCLVLAGLKQLNPNRDASKKSIANKNAISKRLGRPLIHTDPYEDVIVGDVVNPDHIDVEFDSVGGLDRIKKALYELVILPLKRPELFAYGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRVSNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRATDHEAMAIMKTEFMALWDGFTTDQNARVLVLGATNRPAELDEAILRRFSQVFEIGKPDCDDREKILKVILKNERVEDTIDLYHIARLCEGYTGSDLFELCKQAAYNPLRDLLNEEKAKKTRQAPRPLSQLDFEKALAASMKTSIGVDEHNGYSSCSST >KJB52361 pep chromosome:Graimondii2_0_v6:8:53932071:53934127:-1 gene:B456_008G257900 transcript:KJB52361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRSDRSLLQDLLLYAASIAFSCLVLAGLKQLNPNRDASKKSIANKNAISKRLGRPLIHTDPYEDVIVGDVVNPDHIDVEFDSVGGLDRIKKALYELVILPLKRPELFAYGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRVSNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRATDHEAMAIMKTEFMALWDGFTTDQNARVLVLGATNRPAELDEAILRRFSQVFEIGKPDCDDREKILKVILKNERVEDTIDLYHIARLCEGYTGSDLFELCKQAAYNPLRDLLNEEKAKKTRQLQAPRPLSQLDFEKALAASMKTSIGVDEHNGYSSCSST >KJB47460 pep chromosome:Graimondii2_0_v6:8:3255220:3259686:-1 gene:B456_008G027700 transcript:KJB47460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSVRSFSLSVFIFFVLFSSLAFASESDHKYQPDDPITLWVNKVGPYNNPQETYNYYILPFCHPGVNPAHKWGGLGEVLGGNELIDSQIDIKFRKNVDKGTICQLELDEAKVKQFKDAIENSYWFEFFVDDLPLWGFVGELHPDKNSDNGKHVLYTHKNVVIKYNKDQIIHVNLTQGSPKPLEAGRVLDMTYSIKWLPTNVTFARRFDVYLDYPFFEHQIHWFSVFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPCGLVLLSAVVGTGAQLALLVLLVILLAIVGTLYVGRGAIVTTFILCYAFTSFISGYVSGGMYSRNGGKSWIKSMILSASLFPFLCFGIGFILNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILVIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYMYSVYYYYVKTKMSGFFQTSFYFGYTLMFCLGLGILCGEF >KJB47459 pep chromosome:Graimondii2_0_v6:8:3254527:3257749:-1 gene:B456_008G027700 transcript:KJB47459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYSIKWLPTNVTFARRFDVYLDYPFFEHQIHWFSVFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPCGLVLLSAVVGTGAQLALLVLLVILLAIVGTLYVGRGAIVTTFILCYAFTSFISGYVSGGMYSRNGGKSWIKSMILSASLFPFLCFGIGFILNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILVIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYMYSVYYYYVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >KJB47458 pep chromosome:Graimondii2_0_v6:8:3254479:3259904:-1 gene:B456_008G027700 transcript:KJB47458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSVRSFSLSVFIFFVLFSSLAFASESDHKYQPDDPITLWVNKVGPYNNPQETYNYYILPFCHPGVNPAHKWGGLGEVLGGNELIDSQIDIKFRKNVDKGTICQLELDEAKVKQFKDAIENSYWFEFFVDDLPLWGFVGELHPDKNSDNGKHVLYTHKNVVIKYNKDQIIHVNLTQGSPKPLEAGRVLDMTYSIKWLPTNVTFARRFDVYLDYPFFEHQIHWFSVFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPCGLVLLSAVVGTGAQLALLVLLVILLAIVGTLYVGRGAIVTTFILCYAFTSFISGYVSGGMYSRNGGKSWIKSMILSASLFPFLCFGIGFILNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILVIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYMYSVYYYYVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >KJB47461 pep chromosome:Graimondii2_0_v6:8:3254527:3259838:-1 gene:B456_008G027700 transcript:KJB47461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSVRSFSLSVFIFFVLFSSLAFASESDHKYQPDDPITLWVNKVGPYNNPQETYNYYILPFCHPGVNPAHKWGGLGEVLGGNELIDSQIDIKFRKNVDKGTICQLELDEAKVKQFKDAIENSYWFEFFVDDLPLWGFVGELHPDKNSDNGKHVLYTHKNVVIKYNKDQIIHVNLTQGSPKPLEAGRVLDMTYSIKWLPTNVTFARRFDVYLDYPFFEHQIHWFSVFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPCGLVLLSAVVGTGAQLALLVLLVILLAIVGTLYVGRGAIVTTFILCYAFTSFISGYVSGGMYSRNGGKSWIKSMILSASLFPFLCFGIGFILNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILVIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYMYSVYYYYVKTKMSGFFQTSFYFGYTLMFCLGLGILCGDCWLSWF >KJB48760 pep chromosome:Graimondii2_0_v6:8:18604383:18605290:-1 gene:B456_008G085600 transcript:KJB48760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTKVHSLGNIPFSWEEKPGVSKSSKLTHYDHQHCPIDSSKNLVHDKKVPPPPPFSKQPLPKRSASVKGWRWWPQDPFLAAYKECTKSGGNAKLTSDASRNGGSKLLRKKKISFSCKDSADVRDDNFVRFSNLPPLPKTRIRPPPEFVYPLQHFF >KJB48388 pep chromosome:Graimondii2_0_v6:8:10807663:10809359:1 gene:B456_008G066600 transcript:KJB48388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFSSSSSILPFPSSSSSVLVLPKNMSPISTLSHPLVFKFNLNSSPKLLKSFDPEFFLHLRTRKTSSLGGILTDKTGVRDSSRTMIKTSVLKESRDRDDGIQALEQEVEGFIDGSMRVQGFESTLNRLSKWLVSALFGGVILWRHDAEALWMAMGSIVNAVLSVGLKRLLNQERPVAGLKSDPGMPSSHAQSIFFTVVFAIASLLEWLGNNALSVGISVLALAFGSYLSWLRVSQKLHTMNQILVGAVVGSIFSVLWYLSWDAVVLEAFSSSLWVRVIVLLACAGFGLGFLTYVIQYWLQDER >KJB48389 pep chromosome:Graimondii2_0_v6:8:10807725:10809359:1 gene:B456_008G066600 transcript:KJB48389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFSSSSSILPFPSSSSSVLVLPKNMSPISTLSHPLVFKFNLNSSPKLLKSFDPEFFLHLRTRKTSSLGGILTDKTGVRDSSRTMIKTSVLKESRDRDDGIQALEQEVEGFIDGSMRVQGFESTLNRLSKWLVSALFGGVILWRHDAEALWMAMGSIVNAVLSVGLKRLLNQERPVAGLKSDPGMPSSHAQSIFFTVVFAIASLLEWLGNNALSVGISVLALAFGSYLVIMASSVTKASYDESNSCGCCGGFHFLSFMVPVMGCCCFGSI >KJB52522 pep chromosome:Graimondii2_0_v6:8:54802983:54805863:1 gene:B456_008G269300 transcript:KJB52522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLFIPYMQNFAQDFQQFEDYYKTQKPNASMNDLAETSTISEYNLEGEGDLFRALEPIIEEPIVGLDSMTAAISLISCGEDVITSQELKAADIESFQNEQLLEVLYECEKDLMARGAIGTAPAAVLDIDTPFVKTNESQNRDASFEECVSSDCLSSMECMQGAAVEPNFLDFTGMDFGSVYGMRRAFSEGDIKLMHQIREC >KJB52526 pep chromosome:Graimondii2_0_v6:8:54803856:54805924:1 gene:B456_008G269300 transcript:KJB52526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAISLISCGEDVITSQELKAADIESFQNEQLLEVLYECEKDLMARGAIGTAPAAVLDIDTPFVKTNESQNRDASFEECVSSDCLSSMECMQGAAVEPNFLDFTGMDFGSVYGMRRAFSEGDIKTLGNGDVSVIHSSVKWPLIVRSCSSEDRREKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIHGRFAKTEVSDNSKRQLWSTN >KJB52521 pep chromosome:Graimondii2_0_v6:8:54802983:54805863:1 gene:B456_008G269300 transcript:KJB52521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLFIPYMQNFAQDFQQFEDYYKTQKPNASMNDLAETSTISEYNLEGEGDLFRALEPIIEEPIVGLDSMTAAISLISCGEDVITSQELKAADIESFQNEQLLEVLYECEKDLMARGAIGTAPAAVLDIDTPFVKTNESQNRDASFEECVSSDCLSSMECMQGAAVEPNFLDFTGMDFGSVYGMRRAFSEGDIKVSLKIFSVVF >KJB52520 pep chromosome:Graimondii2_0_v6:8:54802983:54805422:1 gene:B456_008G269300 transcript:KJB52520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLFIPYMQNFAQDFQQFEDYYKTQKPNASMNDLAETSTISEYNLEGEGDLFRALEPIIEEPIVGLDSMTAAISLISCGEDVITSQELKAADIESFQNEQLLEVLYECEKDLMARGAIGTAPAAVLDIDTPFVKTNESQNRDASFEECVSSDCLSSMECMQGAAVEPNFLDFTGMDFGSVYGMRRAFSEGDIKVSLKIFSVVF >KJB52523 pep chromosome:Graimondii2_0_v6:8:54803822:54805863:1 gene:B456_008G269300 transcript:KJB52523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAISLISCGEDVITSQELKAADIESFQNEQLLEVLYECEKDLMARGAIGTAPAAVLDIDTPFVKTNESQNRDASFEECVSSDCLSSMECMQGAAVEPNFLDFTGMDFGSVYGMRRAFSEGDIKTLGNGDVSVIHSSVKWPLIVRSCSSEDRREKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIHGRFAKTEVSDNSKRQLWSTN >KJB52525 pep chromosome:Graimondii2_0_v6:8:54804059:54805863:1 gene:B456_008G269300 transcript:KJB52525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAISLISCGEDVITSQELKAADIESFQNEQLLEVLYECEKDLMARGAIGTAPAAVLDIDTPFVKTNESQNRDASFEECVSSDCLSSMECMQGAAVEPNFLDFTGMDFGSVYGMRRAFSEGDIKTLGNGDVSVIHSSVKWPLIVRSCSSEDRREKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIHGRFAKTEVSDNSKRQLWSTN >KJB52524 pep chromosome:Graimondii2_0_v6:8:54803734:54805924:1 gene:B456_008G269300 transcript:KJB52524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDLAETSTISEYNLEGEGDLFRALEPIIEEPIVGLDSMTAAISLISCGEDVITSQELKAADIESFQNEQLLEVLYECEKDLMARGAIGTAPAAVLDIDTPFVKTNESQNRDASFEECVSSDCLSSMECMQGAAVEPNFLDFTGMDFGSVYGMRRAFSEGDIKTLGNGDVSVIHSSVKWPLIVRSCSSEDRREKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIHGRFAKTEVSDNSKRQLWSTN >KJB52519 pep chromosome:Graimondii2_0_v6:8:54802842:54805924:1 gene:B456_008G269300 transcript:KJB52519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLFIPYMQNFAQDFQQFEDYYKTQKPNASMNDLAETSTISEYNLEGEGDLFRALEPIIEEPIVGLDSMTAAISLISCGEDVITSQELKAADIESFQNEQLLEVLYECEKDLMARGAIGTAPAAVLDIDTPFVKTNESQNRDASFEECVSSDCLSSMECMQGAAVEPNFLDFTGMDFGSVYGMRRAFSEGDIKTLGNGDVSVIHSSVKWPLIVRSCSSEDRREKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIHGRFAKTEVSDNSKRQLWSTN >KJB47447 pep chromosome:Graimondii2_0_v6:8:3185997:3186433:-1 gene:B456_008G0270002 transcript:KJB47447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFRDIV >KJB48947 pep chromosome:Graimondii2_0_v6:8:25258693:25262139:-1 gene:B456_008G094600 transcript:KJB48947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDEGGGGTVLVGVKFDADSNELLTWALVKVAQPGDQIIALHILDAAAESPASILSLVKTFDYTLGVYEGFCNLKQVDLKLKVCRGSSPKRILVREAKSFETTKLILGTSKTHNPLQSPASVAKFCARKLPNCFSVYAVRNGKVVFQREAIQTNLNQFQDKIPQDKSLVHLVSLPKSIRTDNGNCSYKSGLFSKHKTLEKNCAACASALKLPENSNTRLREELPGNGSTDNRLSLVPIRTTEDNAVLVRKLPGWSLLRWLFLRKRYHLENSSAKKSVDQWVLKLPSQQSSAVVYPDLKQNCYDRKKNRSSDLDGETSAIVPVGCEAIFPLSPCDFPEELQSLLEKSSSSCRLFSYQELLGATSSFMPENMIGKGGSSHVYKGYLPDGKELAVKIVKPTEHAIKEFVQEIEIITSLNHKNLISLFGFCFEQNKLLLVYNFLSRGSLEENLHGNKKDGNAFGWQERYKVAVGLAEALDYLHNSCEQPVIHRDVKSSNVLLSNDFEPQLSDFGLASQVSSSASHMTCMDVAGTFGYLAPEYLMHGKMSDKIDVYAFGIVLLELLTGRKPIDNNRPKGQESLAVWLLNPQLGSGCEFHEIERMVLAATLCIRRAPLMRPQISLILKLLLGDQEVTNWAEQQVRTSEEVDVSDEELYPTNMESHLNLALLDLEDDSVSASSDEQSLEIEDYLQKRWRRSSSFA >KJB48946 pep chromosome:Graimondii2_0_v6:8:25259682:25262065:-1 gene:B456_008G094600 transcript:KJB48946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDEGGGGTVLVGVKFDADSNELLTWALVKVAQPGDQIIALHILDAAAESPASILSLVKTFDYTLGVYEGFCNLKQVDLKLKVCRGSSPKRILVREAKSFETTKLILGTSKTHNPLQSPASVAKFCARKLPNCFSVYAVRNGKVVFQREAIQTNLNQFQDKIPQDKSLVHLVSLPKSIRTDNGNCSYKSGLFSKHKTLEKNCAACASALKLPENSNTRLREELPGNGSTDNRLSLVPIRTTEDNAVLVRKLPGWSLLRWLFLRKRYHLENSSAKKSVDQWVLKLPSQQSSAVVYPDLKQNCYDRKKNRSSDLDGETSAIVPVGCEAIFPLSPCDFPEELQSLLEKSSSSCRLFSYQELLGATSSFMPENMIGKGGSSHVYKGYLPDGKELAVKIVKPTEHAIKEFVQEIEIITSLNHKNLISLFGFCFEQNKLLLVYNFLSRGSLEENLHGNKKDGNAFGWQERYKVAVGLAEALDYLHNSCEQPVIHRDVKSSNVLLSNDFEPQLSDFGLASQVSSSASHMTCMDVAGTFGYLAPEYLMHGKMSDKIDVYAFGIVLLELLTGRKPIDNNRPKGQESLAVWVSSYISLLVICL >KJB48948 pep chromosome:Graimondii2_0_v6:8:25258693:25262139:-1 gene:B456_008G094600 transcript:KJB48948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDEGGGGTVLVGVKFDADSNELLTWALVKVAQPGDQIIALHILDAAAESPASILSLVKTFDYTLGVYEGFCNLKQVDLKLKVCRGSSPKRILVREAKSFETTKLILGTSKTHNPLQSPASVAKFCARKLPNCFSVYAVRNGKVVFQREAIQTNLNQFQDKIPQDKSLVHLVSLPKSIRTDNGNCSYKSGLFSKHKTLEKNCAACASALKLPENSNTRLREELPGNGSTDNRLSLVPIRTTEDNAVLVRKLPGWSLLRWLFLRKRYHLENSSAKKSVDQWVLKLPSQQSSAVVYPDLKQNCYDRKKNRSSDLDGETSAIVPVGCEAIFPLSPCDFPEELQSLLEKSSSSCRLFSYQELLGATSSFMPENMIGKGGSSHVYKGYLPDGKELAVKIVKPTEHAIKEFVQEIEIITSLNHKNLISLFGFCFEQNKLLLVYNFLSRGSLEENLHGNKKDGNAFGWQERYKVAVGLAEALDYLHNSCEQPVIHRDVKSSNVLLSNDFEPQLSDFGLASQVSSSASHMTCMDVAGTFGYVICLKIF >KJB48945 pep chromosome:Graimondii2_0_v6:8:25258612:25262393:-1 gene:B456_008G094600 transcript:KJB48945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDEGGGGTVLVGVKFDADSNELLTWALVKVAQPGDQIIALHILDAAAESPASILSLVKTFDYTLGVYEGFCNLKQVDLKLKVCRGSSPKRILVREAKSFETTKLILGTSKTHNPLQSPASVAKFCARKLPNCFSVYAVRNGKVVFQREAIQTNLNQFQDKIPQDKSLVHLVSLPKSIRTDNGNCSYKSGLFSKHKTLEKNCAACASALKLPENSNTRLREELPGNGSTDNRLSLVPIRTTEDNAVLVRKLPGWSLLRWLFLRKRYHLENSSAKKSVDQWVLKLPSQQSSAVVYPDLKQNCYDRKKNRSSDLDGETSAIVPVGCEAIFPLSPCDFPEELQSLLEKSSSSCRLFSYQELLGATSSFMPENMIGKGGSSHVYKGYLPDGKELAVKIVKPTEHAIKEFVQEIEIITSLNHKNLISLFGFCFEQNKLLLVYNFLSRGSLEENLHGNKKDGNAFGWQERYKVAVGLAEALDYLHNSCEQPVIHRDVKSSNVLLSNDFEPQLSDFGLASQVSSSASHMTCMDVAGTFGYLAPEYLMHGKMSDKIDVYAFGIVLLELLTGRKPIDNNRPKGQESLAVWAKPILKDHDISQLLNPQLGSGCEFHEIERMVLAATLCIRRAPLMRPQISLILKLLLGDQEVTNWAEQQVRTSEEVDVSDEELYPTNMESHLNLALLDLEDDSVSASSDEQSLEIEDYLQKRWRRSSSFA >KJB47629 pep chromosome:Graimondii2_0_v6:8:4117703:4117909:-1 gene:B456_008G0342002 transcript:KJB47629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YAGISRTLIHGAATKDGMPRSTLPVSVPFGNGKSGKEIETKTAPLKRRNSRMRGLRGSFDRSLALAVV >KJB49405 pep chromosome:Graimondii2_0_v6:8:35265290:35270077:-1 gene:B456_008G117300 transcript:KJB49405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKSRTLEITVLSAEDLRIDNKPVKKNAYVVVSVDPFNNKATKVDGEGGSYPSWNDKLVMEMPLQTRFVTLLVKCKGSRGEKTVGLARIPVMDFVGGYSPATCLQFLSYRLRDPKGLKNGIINVSLRVKEPLQDCSSQAAAAGGGNAATIGLGIPIDGRRDYGMVTGIPIWTGYPSNSLF >KJB46990 pep chromosome:Graimondii2_0_v6:8:624893:626846:1 gene:B456_008G004400 transcript:KJB46990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSKATFEIFTKLEQKWLSHCEPTKKIRILSIDGGGTTGIVAAAALIHLEDQIRLKTGDPYAQIADFFDMISGTGVGAILAAMLSADDGTGHPLFTAREAVKFITLNNSRLFRVNKLAGVLHRRKKFSGKSMDKVLKEMFRREDGTVLTLKDTCKPLLVPCFDLNSSAPFVFSRADASGSPSFNFELWKVCRATSATPSLFKPFRLSSIDGKTSCYAVDGGLVMNNPTAAAVTHVLHNKRDFPSVNGVEDLMVLSLGNGPSCGRWKVGSNGECSTSSVVDIVLDGVSETVDQMLGNAFCWNRTDYVRIQANGLGSGRMRMEEVLKERGVESLPFGGKRLLTETNGNRIESFVQRLVASGKTSLPPSPCKESAVSPLANGR >KJB47917 pep chromosome:Graimondii2_0_v6:8:6389021:6407990:-1 gene:B456_008G047200 transcript:KJB47917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPLVWYCQPVANTAWTKVVDGAFGVYTSCAINSMVISISHLVLLGLCCYRIFLIKKNSKVQRFSLSSKCYSCILGLLAGCCTIEPLLRLLMGISIFNLNGETGLAPYEATSLIIEATAWCSVLIMIRLETKSYICEFRWYVRFGIVYVLLGNIVLLNLILPVKDLFRSYAIYLSISTFFCKILFGILLLVYFPNLNPCPGYILVQNESYDDEEYKPLSGREQICPERHANFISRISFGWITPLMQQGYRRPITEYDVWKLDTWDQTETLIQKFDTCWAKENQRSKPWLLRALNNSLGRRFWWGGVIKVVFILCQYTGPILLNHLLQSIQQGDPAWFGYTYAFLMFLGVLCFILLEAQYVQNIWRVGFRLRSTLVAAIFHKSLRLTHEVRKNFPSGRITNMITTDVNALQQVCQQLHIFWSAPFSIINAMVLLYQQLGVAALLGSLILVLIVPLQTVVVSKMQKMTKQRLEWTDRRINLTNEILSAADIVKFYAWEKCFQSRVHSIRNAELSWLQRAQLLSAFNRFILNSIPVVVTVVSFGMFTLLGGDLTPARAFTSLSLFALLRIPLECLPNLLSQVAKANISLQRLEELFLAEERVLAPNPPLQPGLPAISIKNGNFSWDLKAEKPTLSNINIDVPVGSLFAIVGGTGEGKTSLISAILGELPPDANSSVVIRGTVAYVPQVSWIFNATVRDNILFGSSFQLERYWKTIDVTALQQDLDILPDHGLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAEQVFNRCIKEELQGKTRILVTNQLHFLPHVDYILLISNGVIKEEGTFEEVSRKGRIFQKLMENAGKMEKREGKVDGRYIDMEKLEPNSNKMVENEINDIPKDANAANRRKGMTAILVKQEERETGLVSWNVLMRYKDAIGGLWVVMILFTFYFSTEVLRILSSMWLRFWTDRSASKSYKPEFYILIYALLGFSQVTVTLTNSFWLITSSLGAARRLHDMMLNSILRAPMLFFQTNPIGRVINRFSRDLGDIDCNVATSMDVFLNQLWQLLSTFTLISIVSIFSFWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPVYAQYREAFDGFSSIRAYKAYDRIANVIGRSMDNNIRFTLANTSSNHWLAIRLETLGGLLIWLTATIAVLQNGRAENKAAFASTMGLLLSYTLNITRLLSGVLRQASKAENSLNAVERVGAYINLPSEAPDIIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFIISPSEKLGIVGRTGAGKSSVLNALFRIVELERGRILIDGCDLARFGLTDVRKVLSIIPQSPVLFSGTVRLNLDPFNEHNDDDLWQALERAHLKDVILRNTFRLDAEVLEGGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFKSCTMLIIAHRLNTIIDCDRILVLDAGQVLLLTYVIPSYFWLLFLSAKFPSHSIYCL >KJB47918 pep chromosome:Graimondii2_0_v6:8:6388269:6407990:-1 gene:B456_008G047200 transcript:KJB47918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPLVWYCQPVANTAWTKVVDGAFGVYTSCAINSMVISISHLVLLGLCCYRIFLIKKNSKVQRFSLSSKCYSCILGLLAGCCTIEPLLRLLMGISIFNLNGETGLAPYEATSLIIEATAWCSVLIMIRLETKSYICEFRWYVRFGIVYVLLGNIVLLNLILPVKDLFRSYAIYLSISTFFCKILFGILLLVYFPNLNPCPGYILVQNESYDDEEYKPLSGREQICPERHANFISRISFGWITPLMQQGYRRPITEYDVWKLDTWDQTETLIQKFDTCWAKENQRSKPWLLRALNNSLGRRFWWGGVIKVVFILCQYTGPILLNHLLQSIQQGDPAWFGYTYAFLMFLGVLCFILLEAQYVQNIWRVGFRLRSTLVAAIFHKSLRLTHEVRKNFPSGRITNMITTDVNALQQVCQQLHIFWSAPFSIINAMVLLYQQLGVAALLGSLILVLIVPLQTVVVSKMQKMTKQRLEWTDRRINLTNEILSAADIVKFYAWEKCFQSRVHSIRNAELSWLQRAQLLSAFNRFILNSIPVVVTVVSFGMFTLLGGDLTPARAFTSLSLFALLRIPLECLPNLLSQVAKANISLQRLEELFLAEERVLAPNPPLQPGLPAISIKNGNFSWDLKAEKPTLSNINIDVPVGSLFAIVGGTGEGKTSLISAILGELPPDANSSVVIRGTVAYVPQVSWIFNATVRDNILFGSSFQLERYWKTIDVTALQQDLDILPDHGLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAEQVFNRCIKEELQGKTRILVTNQLHFLPHVDYILLISNGVIKEEGTFEEVSRKGRIFQKLMENAGKMEKREGKVDGRYIDMEKLEPNSNKMVENEINDIPKDANAANRRKGMTAILVKQEERETGLVSWNVLMRYKDAIGGLWVVMILFTFYFSTEVLRILSSMWLRFWTDRSASKSYKPEFYILIYALLGFSQVTVTLTNSFWLITSSLGAARRLHDMMLNSILRAPMLFFQTNPIGRVINRFSRDLGDIDCNVATSMDVFLNQLWQLLSTFTLISIVSIFSFWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPVYAQYREAFDGFSSIRAYKAYDRIANVIGRSMDNNIRFTLANTSSNHWLAIRLETLGGLLIWLTATIAVLQNGRAENKAAFASTMGLLLSYTLNITRLLSGVLRQASKAENSLNAVERVGAYINLPSEAPDIIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFIISPSEKLGIVGRTGAGKSSVLNALFRIVELERGRILIDGCDLARFGLTDVRKVLSIIPQSPVLFSGTVRLNLDPFNEHNDDDLWQALERAHLKDVILRNTFRLDAEVLEGGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFKSCTMLIIAHRLNTIIDCDRILVLDAAI >KJB47920 pep chromosome:Graimondii2_0_v6:8:6388916:6409238:-1 gene:B456_008G047200 transcript:KJB47920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPLVWYCQPVANTAWTKVVDGAFGVYTSCAINSMVISISHLVLLGLCCYRIFLIKKNSKVQRFSLSSKCYSCILGLLAGCCTIEPLLRLLMGISIFNLNGETGLAPYEATSLIIEATAWCSVLIMIRLETKSYICEFRWYVRFGIVYVLLGNIVLLNLILPVKDLFRSYAIYLSISTFFCKILFGILLLVYFPNLNPCPGYILVQNESYDDEEYKPLSGREQICPERHANFISRISFGWITPLMQQGYRRPITEYDVWKLDTWDQTETLIQKFDTCWAKENQRSKPWLLRALNNSLGRRFWWGGVIKVVFILCQYTGPILLNHLLQSIQQGDPAWFGYTYAFLMFLGVLCFILLEAQYVQNIWRVGFRLRSTLVAAIFHKSLRLTHEVRKNFPSGRITNMITTDVNALQQVCQQLHIFWSAPFSIINAMVLLYQQLGVAALLGSLILVLIVPLQTVVVSKMQKMTKQRLEWTDRRINLTNEILSAADIVKFYAWEKCFQSRVHSIRNAELSWLQRAQLLSAFNRFILNSIPVVVTVVSFGMFTLLGGDLTPARAFTSLSLFALLRIPLECLPNLLSQVAKANISLQRLEELFLAEERVLAPNPPLQPGLPAISIKNGNFSWDLKAEKPTLSNINIDVPVGSLFAIVGGTGEGKTSLISAILGELPPDANSSVVIRGTVAYVPQVSWIFNATVRDNILFGSSFQLERYWKTIDVTALQQDLDILPDHGLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAEQVFNRCIKEELQGKTRILVTNQLHFLPHVDYILLISNGVIKEEGTFEEVSRKGRIFQKLMENAGKMEKREGKVDGRYIDMEKLEPNSNKMVENEINDIPKDANAANRRKGMTAILVKQEERETGLVSWNVLMRYKDAIGGLWVVMILFTFYFSTEVLRILSSMWLRFWTDRSASKSYKPEFYILIYALLGFSQVTVTLTNSFWLITSSLGAARRLHDMMLNSILRAPMLFFQTNPIGRVINRFSRDLGDIDCNVATSMDVFLNQLWQLLSTFTLISIVSIFSFWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPVYAQYREAFDGFSSIRAYKAYDRIANVIGRSMDNNIRFTLANTSSNHWLAIRLETLGGLLIWLTATIAVLQNGRAENKAAFASTMGLLLSYTLNITRLLSGVLRQASKAENSLNAVERVGAYINLPSEAPDIIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFIISPSEKLGIVGRTGAGKSSVLNALFRIVELERGRILIDGCDLARFGLTDVRKVLSIIPQSPVLFSGTVRLNLDPFNEHNDDDLWQALERAHLKDVILRNTFRLDAEVLEGGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFKSCTMLIIAHRLNTIIDCDRILVLDAGQLYDIFKAKFTPL >KJB47914 pep chromosome:Graimondii2_0_v6:8:6388142:6406229:-1 gene:B456_008G047200 transcript:KJB47914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGYRRPITEYDVWKLDTWDQTETLIQKFDTCWAKENQRSKPWLLRALNNSLGRRFWWGGVIKVVFILCQYTGPILLNHLLQSIQQGDPAWFGYTYAFLMFLGVLCFILLEAQYVQNIWRVGFRLRSTLVAAIFHKSLRLTHEVRKNFPSGRITNMITTDVNALQQVCQQLHIFWSAPFSIINAMVLLYQQLGVAALLGSLILVLIVPLQTVVVSKMQKMTKQRLEWTDRRINLTNEILSAADIVKFYAWEKCFQSRVHSIRNAELSWLQRAQLLSAFNRFILNSIPVVVTVVSFGMFTLLGGDLTPARAFTSLSLFALLRIPLECLPNLLSQVAKANISLQRLEELFLAEERVLAPNPPLQPGLPAISIKNGNFSWDLKAEKPTLSNINIDVPVGSLFAIVGGTGEGKTSLISAILGELPPDANSSVVIRGTVAYVPQVSWIFNATVRDNILFGSSFQLERYWKTIDVTALQQDLDILPDHGLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAEQVFNRCIKEELQGKTRILVTNQLHFLPHVDYILLISNGVIKEEGTFEEVSRKGRIFQKLMENAGKMEKREGKVDGRYIDMEKLEPNSNKMVENEINDIPKDANAANRRKGMTAILVKQEERETGLVSWNVLMRYKDAIGGLWVVMILFTFYFSTEVLRILSSMWLRFWTDRSASKSYKPEFYILIYALLGFSQVTVTLTNSFWLITSSLGAARRLHDMMLNSILRAPMLFFQTNPIGRVINRFSRDLGDIDCNVATSMDVFLNQLWQLLSTFTLISIVSIFSFWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPVYAQYREAFDGFSSIRAYKAYDRIANVIGRSMDNNIRFTLANTSSNHWLAIRLETLGGLLIWLTATIAVLQNGRAENKAAFASTMGLLLSYTLNITRLLSGVLRQASKAENSLNAVERVGAYINLPSEAPDIIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFIISPSEKLGIVGRTGAGKSSVLNALFRIVELERGRILIDGCDLARFGLTDVRKVLSIIPQSPVLFSGTVRLNLDPFNEHNDDDLWQALERAHLKDVILRNTFRLDAEVLEGGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFKSCTMLIIAHRLNTIIDCDRILVLDAGQVLEHNTPKELLVNRESAFSKMVQCTGPANAEYLRSLVLEGEEKGFSKEHATRLDGQKRGQASSHWIADAQSALPVSLTSSLNDLQRLDTGDRENHI >KJB47915 pep chromosome:Graimondii2_0_v6:8:6388142:6409207:-1 gene:B456_008G047200 transcript:KJB47915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPLVWYCQPVANTAWTKVVDGAFGVYTSCAINSMVISISHLVLLGLCCYRIFLIKKNSKVQRFSLSSKCYSCILGLLAGCCTIEPLLRLLMGISIFNLNGETGLAPYEATSLIIEATAWCSVLIMIRLETKSYICEFRWYVRFGIVYVLLGNIVLLNLILPVKDLFRSYAIYLSISTFFCKILFGILLLVYFPNLNPCPGYILVQNESYDDEEYKPLSGREQICPERHANFISRISFGWITPLMQQGYRRPITEYDVWKLDTWDQTETLIQKFDTCWAKENQRSKPWLLRALNNSLGRRFWWGGVIKVVFILCQYTGPILLNHLLQSIQQGDPAWFGYTYAFLMFLGVLCFILLEAQYVQNIWRVGFRLRSTLVAAIFHKSLRLTHEVRKNFPSGRITNMITTDVNALQQVCQQLHIFWSAPFSIINAMVLLYQQLGVAALLGSLILVLIVPLQTVVVSKMQKMTKQRLEWTDRRINLTNEILSAADIVKFYAWEKCFQSRVHSIRNAELSWLQRAQLLSAFNRFILNSIPVVVTVVSFGMFTLLGGDLTPARAFTSLSLFALLRIPLECLPNLLSQVAKANISLQRLEELFLAEERVLAPNPPLQPGLPAISIKNGNFSWDLKAEKPTLSNINIDVPVGSLFAIVGGTGEGKTSLISAILGELPPDANSSVVIRGTVAYVPQVSWIFNATVRDNILFGSSFQLERYWKTIDVTALQQDLDILPDHGLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAEQVFNRCIKEELQGKTRILVTNQLHFLPHVDYILLISNGVIKEEGTFEEVSRKGRIFQKLMENAGKMEKREGKVDGRYIDMEKLEPNSNKMVENEINDIPKDANAANRRKGMTAILVKQEERETGLVSWNVLMRYKDAIGGLWVVMILFTFYFSTEVLRILSSMWLRFWTDRSASKSYKPEFYILIYALLGFSQVTVTLTNSFWLITSSLGAARRLHDMMLNSILRAPMLFFQTNPIGRVINRFSRDLGDIDCNVATSMDVFLNQLWQLLSTFTLISIVSIFSFWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPVYAQYREAFDGFSSIRAYKAYDRIANVIGRSMDNNIRFTLANTSSNHWLAIRLETLGGLLIWLTATIAVLQNGRAENKAAFASTMGLLLSYTLNITRLLSGVLRQASKAENSLNAVERVGAYINLPSEAPDIIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFIISPSEKLGIVGRTGAGKSSVLNALFRIVELERGRILIDGCDLARFGLTDVRKVLSIIPQSPVLFSGTVRLNLDPFNEHNDDDLWQALERAHLKDVILRNTFRLDAEVLEGGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFKSCTMLIIAHRLNTIIDCDRILVLDAGQVLEHNTPKELLVNRESAFSKMVQCTGPANAEYLRSLVLEGEEKGFSKEHATRLDGQKRGQASSHWIADAQSALPVSLTSSLNDLQRLDTGDRENHI >KJB47921 pep chromosome:Graimondii2_0_v6:8:6388916:6409207:-1 gene:B456_008G047200 transcript:KJB47921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPLVWYCQPVANTAWTKVVDGAFGVYTSCAINSMVISISHLVLLGLCCYRIFLIKKNSKVQRFSLSSKCYSCILGLLAGCCTIEPLLRLLMGISIFNLNGETGLAPYEATSLIIEATAWCSVLIMIRLETKSYICEFRWYVRFGIVYVLLGNIVLLNLILPVKDLFRSYAIYLSISTFFCKILFGILLLVYFPNLNPCPGYILVQNESYDDEEYKPLSGREQICPERHANFISRISFGWITPLMQQGYRRPITEYDVWKLDTWDQTETLIQKFDTCWAKENQRSKPWLLRALNNSLGRRFWWGGVIKVVFILCQYTGPILLNHLLQSIQQGDPAWFGYTYAFLMFLGVLCFILLEAQYVQNIWRVGFRLRSTLVAAIFHKSLRLTHEVRKNFPSGRITNMITTDVNALQQVCQQLHIFWSAPFSIINAMVLLYQQLGVAALLGSLILVLIVPLQTVVVSKMQKMTKQRLEWTDRRINLTNEILSAADIVKFYAWEKCFQSRVHSIRNAELSWLQRAQLLSAFNRFILNSIPVVVTVVSFGMFTLLGGDLTPARAFTSLSLFALLRIPLECLPNLLSQVAKANISLQRLEELFLAEERVLAPNPPLQPGLPAISIKNGNFSWDLKAEKPTLSNINIDVPVGSLFAIVGGTGEGKTSLISAILGELPPDANSSVVIRGTVAYVPQVSWIFNATVRDNILFGSSFQLERYWKTIDVTALQQDLDILPDHGLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAEQVFNRCIKEELQGKTRILVTNQLHFLPHVDYILLISNGVIKEEGTFEEVSRKGRIFQKLMENAGKMEKREGKVDGRYIDMEKLEPNSNKMVENEINDIPKDANAANRRKGMTAILVKQEERETGLVSWNVLMRYKDAIGGLWVVMILFTFYFSTEVLRILSSMWLRFWTDRSASKSYKPEFYILIYALLGFSQVTVTLTNSFWLITSSLGAARRLHDMMLNSILRAPMLFFQTNPIGRVINRFSRDLGDIDCNVATSMDVFLNQLWQLLSTFTLISIVSIFSFWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPVYAQYREAFDGFSSIRAYKAYDRIANVIGRSMDNNIRFTLANTSSNHWLAIRLETLGGLLIWLTATIAVLQNGRAENKAAFASTMGLLLSYTLNITRLLSGVLRQASKAENSLNAVERVGAYINLPSEAPDIIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFIISPSEKLGIVGRTGAGKSSVLNALFRIVELERGRILIDGCDLARFGLTDVRKVLSIIPQSPVLFSGTVRLNLDPFNEHNDDDLWQALERAHLKDVILRNTFRLDAEVLEGGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFKSCTMLIIAHRLNTIIDCDRILVLDAGQLYDIFKAKFTPL >KJB47916 pep chromosome:Graimondii2_0_v6:8:6388142:6409238:-1 gene:B456_008G047200 transcript:KJB47916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPLVWYCQPVANTAWTKVVDGAFGVYTSCAINSMVISISHLVLLGLCCYRIFLIKKNSKVQRFSLSSKCYSCILGLLAGCCTIEPLLRLLMGISIFNLNGETGLAPYEATSLIIEATAWCSVLIMIRLETKSYICEFRWYVRFGIVYVLLGNIVLLNLILPVKDLFRSYAIYLSISTFFCKILFGILLLVYFPNLNPCPGYILVQNESYDDEEYKPLSGREQICPERHANFISRISFGWITPLMQQGYRRPITEYDVWKLDTWDQTETLIQKFDTCWAKENQRSKPWLLRALNNSLGRRFWWGGVIKVVFILCQYTGPILLNHLLQSIQQGDPAWFGYTYAFLMFLGVLCFILLEAQYVQNIWRVGFRLRSTLVAAIFHKSLRLTHEVRKNFPSGRITNMITTDVNALQQVCQQLHIFWSAPFSIINAMVLLYQQLGVAALLGSLILVLIVPLQTVVVSKMQKMTKQRLEWTDRRINLTNEILSAADIVKFYAWEKCFQSRVHSIRNAELSWLQRAQLLSAFNRFILNSIPVVVTVVSFGMFTLLGGDLTPARAFTSLSLFALLRIPLECLPNLLSQVAKANISLQRLEELFLAEERVLAPNPPLQPGLPAISIKNGNFSWDLKAEKPTLSNINIDVPVGSLFAIVGGTGEGKTSLISAILGELPPDANSSVVIRGTVAYVPQVSWIFNATVRDNILFGSSFQLERYWKTIDVTALQQDLDILPDHGLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAEQVFNRCIKEELQGKTRILVTNQLHFLPHVDYILLISNGVIKEEGTFEEVSRKGRIFQKLMENAGKMEKREGKVDGRYIDMEKLEPNSNKMVENEINDIPKDANAANRRKGMTAILVKQEERETGLVSWNVLMRYKDAIGGLWVVMILFTFYFSTEVLRILSSMWLRFWTDRSASKSYKPEFYILIYALLGFSQVTVTLTNSFWLITSSLGAARRLHDMMLNSILRAPMLFFQTNPIGRVINRFSRDLGDIDCNVATSMDVFLNQLWQLLSTFTLISIVSIFSFWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPVYAQYREAFDGFSSIRAYKAYDRIANVIGRSMDNNIRFTLANTSSNHWLAIRLETLGGLLIWLTATIAVLQNGRAENKAAFASTMGLLLSYTLNITRLLSGVLRQASKAENSLNAVERVGAYINLPSEAPDIIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFIISPSEKLGIVGRTGAGKSSVLNALFRIVELERGRILIDGCDLARFGLTDVRKVLSIIPQSPVLFSGTVRLNLDPFNEHNDDDLWQALERAHLKDVILRNTFRLDAEVLEGGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFKSCTMLIIAHRLNTIIDCDRILVLDAGQVLEHNTPKELLVNRESAFSKMVQCTGPANAEYLRSLVLEGEEKGFSKEHATRLDGQKRGQASSHWIADAQSALPVSLTSSLNDLQRLDTGDRENHI >KJB47919 pep chromosome:Graimondii2_0_v6:8:6388916:6406229:-1 gene:B456_008G047200 transcript:KJB47919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGYRRPITEYDVWKLDTWDQTETLIQKFDTCWAKENQRSKPWLLRALNNSLGRRFWWGGVIKVVFILCQYTGPILLNHLLQSIQQGDPAWFGYTYAFLMFLGVLCFILLEAQYVQNIWRVGFRLRSTLVAAIFHKSLRLTHEVRKNFPSGRITNMITTDVNALQQVCQQLHIFWSAPFSIINAMVLLYQQLGVAALLGSLILVLIVPLQTVVVSKMQKMTKQRLEWTDRRINLTNEILSAADIVKFYAWEKCFQSRVHSIRNAELSWLQRAQLLSAFNRFILNSIPVVVTVVSFGMFTLLGGDLTPARAFTSLSLFALLRIPLECLPNLLSQVAKANISLQRLEELFLAEERVLAPNPPLQPGLPAISIKNGNFSWDLKAEKPTLSNINIDVPVGSLFAIVGGTGEGKTSLISAILGELPPDANSSVVIRGTVAYVPQVSWIFNATVRDNILFGSSFQLERYWKTIDVTALQQDLDILPDHGLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAEQVFNRCIKEELQGKTRILVTNQLHFLPHVDYILLISNGVIKEEGTFEEVSRKGRIFQKLMENAGKMEKREGKVDGRYIDMEKLEPNSNKMVENEINDIPKDANAANRRKGMTAILVKQEERETGLVSWNVLMRYKDAIGGLWVVMILFTFYFSTEVLRILSSMWLRFWTDRSASKSYKPEFYILIYALLGFSQVTVTLTNSFWLITSSLGAARRLHDMMLNSILRAPMLFFQTNPIGRVINRFSRDLGDIDCNVATSMDVFLNQLWQLLSTFTLISIVSIFSFWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPVYAQYREAFDGFSSIRAYKAYDRIANVIGRSMDNNIRFTLANTSSNHWLAIRLETLGGLLIWLTATIAVLQNGRAENKAAFASTMGLLLSYTLNITRLLSGVLRQASKAENSLNAVERVGAYINLPSEAPDIIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFIISPSEKLGIVGRTGAGKSSVLNALFRIVELERGRILIDGCDLARFGLTDVRKVLSIIPQSPVLFSGTVRLNLDPFNEHNDDDLWQALERAHLKDVILRNTFRLDAEVLEGGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFKSCTMLIIAHRLNTIIDCDRILVLDAGQLYDIFKAKFTPL >KJB50677 pep chromosome:Graimondii2_0_v6:8:46009098:46009856:1 gene:B456_008G182200 transcript:KJB50677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSSSFSHQRDGLYHDVYQPRTRSKRLYPIPQCVQIEVTISFNLITRHHHCLTDRLVTVSDTHFRQESRRFDLQVLRNQDQAYQILGAMLRRFPIGPTSLTFDVVIDKIIRHGLRISKWGSNMGRQVLPVRAKLWEIVTRHLVDDRALLRRALAESALLTNNTMVPANETSVKKMLKRVRVEDRDQRQDVQQSTKKRRVEAESCTICLEEIKVGSSASQMPCSHMFHSGCIEKWLKQSHYCPLCRFELPT >KJB48568 pep chromosome:Graimondii2_0_v6:8:13756914:13757365:1 gene:B456_008G075100 transcript:KJB48568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGLDEEDSLEEGGQATEKKRRLSMHQVKALEKNFDVGNKLEPERKVKLAEELGLQPRQVAIWFQNRRARWKTKVLEKDYAMLKANREKEPLWKSFS >KJB49558 pep chromosome:Graimondii2_0_v6:8:41237786:41238220:-1 gene:B456_008G154000 transcript:KJB49558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSMFFVICILHSVIAITSGSLIMFYLHEIYILGHGVETAQKLLGSTPHDQLLIQTSNSFVGLLLFVVGFLVFMVAFIKDNLFRSFFAKGCVLLHLSMAVWRVNFERRLEDLAWDWPRQVMGDILLALSWVFFLLYTWKAKYD >KJB49556 pep chromosome:Graimondii2_0_v6:8:41237355:41238691:-1 gene:B456_008G154000 transcript:KJB49556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSMFFVICILHSVIAITSGSLIMFYLHEIYILGHGVETAQKLLGSTPHDQLLIQTSNSFVGLLLFVVGFLVFMVAFIKDNLFRSFFAKGCVLLHLSMAVWRVNFERRLEDLAWDWPRQVMGDILLALSWVFFLLYTWKAKYD >KJB49557 pep chromosome:Graimondii2_0_v6:8:41237383:41238691:-1 gene:B456_008G154000 transcript:KJB49557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSMFFVICILHSVIAITSGSLIMFYLHEIYILGHGVETAQKLLGSTPHDQLLIQTSNSFVGLLLFVVGFLVFMVAFIKDNLFRSFFAKGCVLLHLSMAVWRVNFERRLEDLAWDWPRQVMGDILLALSWVFFLLYTWKAKYD >KJB49435 pep chromosome:Graimondii2_0_v6:8:35576158:35579003:-1 gene:B456_008G119700 transcript:KJB49435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIEEEYYINLYVVGKFVRDLHVRCSGGEMVRFKEDLDTISYFELCKIVKKEHEIDTAIFADDDLMLPVAIVEGAGDGNEGVEIAGSKSGEGVEGLNEKGVEVAGSEGGEGLGGEGVEVVGSKGGTSVEGENGECAEVAGSKGGEGVEGLGGEGVEVAGNEGGEGGEGGKGVEGLDGLDASIEGLEEGDGGLNSCVEETGEEGVEDESDSDLKDENIESEISGEQFEVEVLEKVNGEGLNDRVDREEEGNETEYFDSDDYGSILGSDDDDNIDAYRRRSRFPAYNPNLASSHFYTGMMFKDGNLFKSVIRKYLMCCRRELKIIKNKLNKVRVKCIASKKCEWGIFASYNNMSRCMQVKNFHDEHNYCVSFRNKMVNVKVIADNFEATIRDHPKMKLKEIQRRVTSEIHVNVNMIRCRKDKKMVKDKLAGNFVDEFVMLWDYADELRLKNIGSTIKMIVNRVTSESPPHFKRFYVCFEALKRGWKKGCIPILGLNDCFLKGLFKSEMFSSVERNGNNQMYLVFWAIAEGQCIDSWSWFLSLLTTDLGMENGLHSVSDIVDNNLCEAFNSSMVESKFKSIITMLEEIIVKMMTKIVDKRKYYQNETYLKAYAYVLQPINGLHEWRKIVIEPMLPLVEKIMLGRQKNELAKEE >KJB52799 pep chromosome:Graimondii2_0_v6:8:55552523:55554161:1 gene:B456_008G277800 transcript:KJB52799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTIVGRVKDGLPLAQEARYMNNETTTSHNLSSNYKQQAEFLLNEISKGALPLPSMTIRVDHHSFNYLVVNGICFITLCDSSYPRKLAFNYLQDLQKEFDKFDNGLIHKITKPYSFVKFDSIISSIRKQYIDTRTQANLSKLNANRHRELDIVTGNMSDIVERRRNSEILETPAANNTPQISSLLWGSPRLEAIALIWTPIAIITVIAWILLWASLVFTDDYLLSTL >KJB52576 pep chromosome:Graimondii2_0_v6:8:54691683:54695245:1 gene:B456_008G268300 transcript:KJB52576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCESKMDDVPLPSLFEQASKIHRTATESGADQDLVKKGCEALGKCEDMISKLGLFSSNETKDDISTTNLKYILVPFYLAELTEKIVQDNRIQILKTSQAKLKEFMSFCEAMKLEPQEELEVAVQGASNSFADRRALKIARFKRQRAAEAKLTEIKERKERRERSTKAAAISTPVEHGEEDAWLTTISLAICKAFDLLEMLKKEEEMLAAIREKHLKEGEKEFSQAILDDRTKKAEAWHRDAATRARYTKPAPPITCATFAQDVIEGRANVSQAHDHKHQPMIFGPKSLTGGSLTSERERMAAQAFQPFHRMPTISIEEAGLKEMEMMNKWKERNAKMFEEANSAWYKDRPKLRPSEDDDDAQEKARAWDDWKDDNPRGAGNKKLTPCG >KJB49438 pep chromosome:Graimondii2_0_v6:8:35618275:35621027:1 gene:B456_008G119900 transcript:KJB49438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYDAAFVNSELSKPTSVFGLKLWVVIGILFGTFIVLSLFLLSLCLTARRKSRRHRQLDPAPPICKEIQEIVHHRQPLAPDHQRVAPPVPEVKVDLGKTEQQQHVVTVFSSGESKGHTSVSETPSFGSGTVGPEVSHLGWGRWFTLRELELATDGLCEENVIGEGGYGIVYYGVLTDGTKIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLHGYCVEGAYRMLVYEYVDNGNLEQWLHGDVGDVSPLTWDIRMNIILGTAKGLPS >KJB49439 pep chromosome:Graimondii2_0_v6:8:35618434:35619519:1 gene:B456_008G119900 transcript:KJB49439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYDAAFVNSELSKPTSVFGLKLWVVIGILFGTFIVLSLFLLSLCLTARRKSRRHRQLDPAPPICKEIQEIVHHRQPLAPDHQRVAPPVPEVKVDLGKTEQQQHVVTVFSSGESKGHTSVSETPSFGSGTVGPEVSHLGWGRWFTLRELELATDGLCEENVIGEGGYGIVYYGVLTDGTKIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLHGYCVEGAYRMLVYEYVDNGNLEQWLHGDVGDVSPLTWDIRMNIILGTAKG >KJB49437 pep chromosome:Graimondii2_0_v6:8:35618275:35619880:1 gene:B456_008G119900 transcript:KJB49437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYDAAFVNSELSKPTSVFGLKLWVVIGILFGTFIVLSLFLLSLCLTARRKSRRHRQLDPAPPICKEIQEIVHHRQPLAPDHQRVAPPVPEVKVDLGKTEQQQHVVTVFSSGESKGHTSVSETPSFGSGTVGPEVSHLGWGRWFTLRELELATDGLCEENVIGEGGYGIVYYGVLTDGTKIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLHGYCVEGAYRMLVYEYVDNGNLEQWLHGDVGDVSPLTWDIRMNIILGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRQWYPKVSDFGLAKLLCSERSYVTTRVMGTFGLV >KJB49436 pep chromosome:Graimondii2_0_v6:8:35618274:35621028:1 gene:B456_008G119900 transcript:KJB49436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYDAAFVNSELSKPTSVFGLKLWVVIGILFGTFIVLSLFLLSLCLTARRKSRRHRQLDPAPPICKEIQEIVHHRQPLAPDHQRVAPPVPEVKVDLGKTEQQQHVVTVFSSGESKGHTSVSETPSFGSGTVGPEVSHLGWGRWFTLRELELATDGLCEENVIGEGGYGIVYYGVLTDGTKIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLHGYCVEGAYRMLVYEYVDNGNLEQWLHGDVGDVSPLTWDIRMNIILGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRQWYPKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYACTGMLTEKSDVYSFGILIMEIITGRSPVDFTRPQGEVHLVEWLKAMVGNRKAEEVIDPKLPVMPASKALKRVLLVALRCVDPDATKRPKMGHVIHMLEADDLLFRDERRITKESSDLHSGDRQTNHNATKLG >KJB48821 pep chromosome:Graimondii2_0_v6:8:20134635:20139164:-1 gene:B456_008G088600 transcript:KJB48821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVEDLKSYSKSQGASEADSLSHWDISFWSERLRESKYDINEEELRPYFSFSKVMDGLFNLAKILFGIDIEAADGLAPVWNKDVRFYCVKDSAGSPIAYFYFDPYSRPSEKREGAWMDEVVSRSRVLSHNGTTARLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGVEWDAVELPSQFMENWCYHRDTLMSIAKHYQTGESIPEEVYLKLLAARTFRAGSLSLRQIRFASLDLELHTKYIPDGPESVYDVDQRVSRKTQVIPPLPEDRFLCGFNHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLEDSKAVKETGHKFRETVLALGGGKAPLEVSVCKLCLWSSVDVNLHPRHCSGTMGYYQSQLEISSFLSCHSGFYQMRHGNQVFTGHSSCYYTPCLCSVQLFKTLLVIVLKRKEKENTTHLGLNSCGVVL >KJB48820 pep chromosome:Graimondii2_0_v6:8:20134328:20139164:-1 gene:B456_008G088600 transcript:KJB48820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVEDLKSYSKSQGASEADSLSHWDISFWSERLRESKYDINEEELRPYFSFSKVMDGLFNLAKILFGIDIEAADGLAPVWNKDVRFYCVKDSAGSPIAYFYFDPYSRPSEKREGAWMDEVVSRSRVLSHNGTTARLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGVEWDAVELPSQFMENWCYHRDTLMSIAKHYQTGESIPEEVYLKLLAARTFRAGSLSLRQIRFASLDLELHTKYIPDGPESVYDVDQRVSRKTQVIPPLPEDRFLCGFNHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLEDSKAVKETGHKFRETVLALGGGKAPLEVFVEFRGREPSPEALLRHNGLLPVTA >KJB48822 pep chromosome:Graimondii2_0_v6:8:20134102:20139164:-1 gene:B456_008G088600 transcript:KJB48822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVEDLKSYSKSQGASEADSLSHWDISFWSERLRESKYDINEEELRPYFSFSKVMDGLFNLAKILFGIDIEAADGLAPVWNKDVRFYCVKDSAGSPIAYFYFDPYSRPSEKREGAWMDEVVSRSRVLSHNGTTARLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGVEWDAVELPSQFMENWCYHRDTLMSIAKHYQTGESIPEEVYLKLLAARTFRAGSLSLRQIRFASLDLELHTKYIPDGPESVYDVDQRVSRKTQVIPPLPEDRFLCGFNHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLEDSKAVKETGHKFRETVLALGGGKAPLEVFVEFRGREPSPEALLRHNGLLPVTA >KJB48374 pep chromosome:Graimondii2_0_v6:8:10528884:10531525:1 gene:B456_008G065500 transcript:KJB48374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESANLHPHGNKVQEQHLKYSSLGTPTSHQVSTIDEWNQNLFPNIGSKYSRDLNETISKSRDPWEEPPLIRTSMNQDSFSQQSASEFLIANIKDEMSDSFPKLSEMMYHYPQYSSTHHDNLWHSSFPISNNMTELQLSSGELHRNDHHPPPYVGTTSSASRYDFNHIFQSTSISTSDLCSTLFSSSLDLNLKSLDLLTSTYDGGSYNQSLIDIPGKLNRSVLMGHESLDHRREHSDDTSTGSKHKVSAFVSGTTTSTKRPGSFSDTKESTHTDAKKHRSSMSRSPCPTLKLQVRKEKLGDRVAALQKLVAPFGKTDTATVLSEAIGYIQFLHDQVQTLSVPFMKSSQSKFYRTMQGGSRVEGQEEHKRDLRSRGLCLVPQSSASYFINSCTGGV >KJB49236 pep chromosome:Graimondii2_0_v6:8:33826513:33828711:-1 gene:B456_008G108100 transcript:KJB49236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WLLWIYLAVILILILLGLGFSGFSYAVSRKATAESLPGKGHKEYKLEKYSIVIQKAINETQNWNDIKSCIVDSKICSSYENKYHNDTIQMFFKERLNPIQSGCCKLPDECAFTYRGLTNWTKESGVFDNPDCKTWENDPKVLCFNCKSCKAGVADNLKQSLKKKATVNIVFLVLLTIVFCVCICAF >KJB47953 pep chromosome:Graimondii2_0_v6:8:6728782:6729348:-1 gene:B456_008G048800 transcript:KJB47953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFSLRSRLQASALSKRRLKSKAKHGRKGMKNMEESFKRLKSEMEEISEEQKNIREGQRQVKEKFGIIESECEELKRETRLIIQQSARTQVKLALMFRILKAREAGELNTAATLTEMLRLVS >KJB47954 pep chromosome:Graimondii2_0_v6:8:6728782:6729348:-1 gene:B456_008G048800 transcript:KJB47954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFSLRSRLQASALSKRRLKSKAKHGRKGMKNMEESFKRLKSEMEEISEEQKNIREGQRQVKEKFGIIESECEELKRETRLIIQQSARTQVKLALMFRILKAREAGELNTAATLTEMLRLVS >KJB47951 pep chromosome:Graimondii2_0_v6:8:6727407:6729469:-1 gene:B456_008G048800 transcript:KJB47951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFSLRSRLQASALSKRRLKSKAKHGRKGMKNMEESFKRLKSEMEEISEEQKNIREGQRQVKEKFGIIESECEELKRETRLIIQQSARTQVKLALMFRILKAREAGELNTAATLTEMLREIVGREREESKADI >KJB47952 pep chromosome:Graimondii2_0_v6:8:6727407:6729268:-1 gene:B456_008G048800 transcript:KJB47952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMEESFKRLKSEMEEISEEQKNIREGQRQVKEKFGIIESECEELKRETRLIIQQSARTQVKLALMFRILKAREAGELNTAATLTEMLREIVGREREESKADI >KJB47955 pep chromosome:Graimondii2_0_v6:8:6727302:6730614:-1 gene:B456_008G048800 transcript:KJB47955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFSLRSRLQASALSKRRLKSKAKHGRKGMKNMEESFKRLKSEMEEISEEQKNIREGQRQVKEKFGIIESECEELKRETRLIIQQSARTQVKLALMFRILKAREAGELNTAATLTEICSKC >KJB47950 pep chromosome:Graimondii2_0_v6:8:6727857:6729348:-1 gene:B456_008G048800 transcript:KJB47950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFSLRSRLQASALSKRRLKSKAKHGRKGMKNMEESFKRLKSEMEEISEEQKNIREGQRQVKEKFGIIESECEELKRETRLIIQQSARTQVKLALMFRILKAREAGELNTAATLTEMLREIVGREREESKADI >KJB50434 pep chromosome:Graimondii2_0_v6:8:44538828:44543070:-1 gene:B456_008G170700 transcript:KJB50434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein [Source:Projected from Arabidopsis thaliana (AT1G14750) TAIR;Acc:AT1G14750] MKLNIKSTKNQKIMEPEALPLAATSSIFIIKKLRSKRPRRGRSQIASFVIQNQTIDLSVDSGSCSNFDVVDVSCDSCSVSNQKKRKFAEIKGGCVAKAKKNLGNEGIGESKFRRITRSYYKKELEAKGHEQAAEVSESSCVESNSGTDFLAFGKRTSKLRKASQDLEKTEKNDAVSASLGASTQSDISGVELIPHEISKLSSENKENDLVSVTSGFEYSSTSNLDAAIKENVKDVVDANFTVSNSESVVDQKPKSFSGLDSSHLACNEQFSLEEVVLVSDYSSSHETVFSELQSDFFPETSDLDFSDYTPLLSFDSGSQFSEKSTNDSPTSATYSLFLEFKQQFSRSSSHLDPKFTSHAEDERQLNSTLARFENEEDEESYKRLRDRERRQVYLHDYAEEYRFMTDYGDLILQQRSFMIRWIVEQCTAKEFQHETIFLGVCLLDRFLSKGFFRNKRSLQIVGIACLALATRIEENQPYNSVRQRTIYIGTNKYSRNEVVAMEWLVMEVLNFQCFLPTIYNFLWFYLKAAKADADVEKRAKYLAVLALSDHEQLRYWPSTVAAGVVIMASMDSNQHGPYHQVIEIHMRTKDNDLPECMKVRLVIHNGIVLLQKIIFYYIVIMPKNVAALLHIYH >KJB52356 pep chromosome:Graimondii2_0_v6:8:53924780:53926127:-1 gene:B456_008G257700 transcript:KJB52356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAITRGGFNQKIFNLPKTLSRPLMATSNLIRVSSPSYSTSSPNHAPFKRVGTHNGSFHCDEALGCFMIRLTDKFSNSEIIRTRDPKVLEGLDAVLDVGGVYDPNHDRYDHHQKGFEEVFGHGFNTKLSSAGLVYKHFGKEIIAKELQLGEDHPDVHRLFLAIYKSFMEAIDAIDNGINQFDTDKPPKYVNNTHISSRVGRLNLDWTDPDQSPEKENEAFQRAMALAGSEFLEVRLCQN >KJB52359 pep chromosome:Graimondii2_0_v6:8:53924442:53926127:-1 gene:B456_008G257700 transcript:KJB52359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAITRGGFNQKIFNLPKTLSRPLMATSNLIRVSSPSYSTSSPNHAPFKRVGTHNGSFHCDEALGCFMIRLTDKFSNSEIIRTRDPKVLEGLDAVLDVGGVYDPNHDRYDHHQKGFEEVFGHGFNTKLSSAGLVYKHFGKEIIAKELQLGEDHPDVHRLFLAIYKSFMEAIDAIDNGINQFDTDKPPKYVNNTHISSRVGRLNLDWTDPDQSPEKENEAFQRAMALAGSEFLESVRFHARSWLPARSIVMECIAERFDIDPSGEIMVLKRFCPVSRFSNLLSSYCYVLLQLALQKCML >KJB52352 pep chromosome:Graimondii2_0_v6:8:53923516:53926234:-1 gene:B456_008G257700 transcript:KJB52352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAITRGGFNQKIFNLPKTLSRPLMATSNLIRVSSPSYSTSSPNHAPFKRVGTHNGSFHCDEALGCFMIRLTDKFSNSEIIRTRDPKVLEGLDAVLDVGGVYDPNHDRYDHHQKGFEEVFGHGFNTKLSSAGLVYKHFGKEIIAKELQLGEDHPDVHRLFLAIYKSFMEAIDAIDNGINQFDTDKPPKYVNNTHISSRVGRLNLDWTDPDQSPEKENEAFQRAMALAGSEFLESVRFHARSWLPARSIVMECIAERFDIDPSGEIMVLKRFCPWKLHLFELEAELKVEPLIKYVLYGDERGKQWRVQAVAASPDSFESRKPLPAQWRGLRDDELSKETRISGCVFVHMSGFIGGNQTYEGALVMARTALKM >KJB52357 pep chromosome:Graimondii2_0_v6:8:53923537:53926175:-1 gene:B456_008G257700 transcript:KJB52357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAITRGGFNQKIFNLPKTLSRPLMATSNLIRVSSPSYSTSSPNHAPFKRVGTHNGSFHCDEALGCFMIRLTDKFSNSEIIRTRDPKVLEGLDAVLDVGGVYDPNHDRYDHHQKGFEEVFGHGFNTKLSSAGLVYKHFGKEIIAKELQLGEDHPDVHRLFLAIYKSFMEAIDAIDNGINQFDTDKPPKYVNNTHISSRVGRLNLDWTDPDQSPEKENEAFQRAMALAGSEFLESVRFHARSWLPARSIVMECIAERFDIDPSGEIMVLKSGSFTYLSLRRS >KJB52358 pep chromosome:Graimondii2_0_v6:8:53923537:53926175:-1 gene:B456_008G257700 transcript:KJB52358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAITRGGFNQKIFNLPKTLSRPLMATSNLIRVSSPSYSTSSPNHAPFKRVGTHNGSFHCDEALGCFMIRLTDKFSNSEIIRTRDPKVLEGLDAVLDVGGVYDPNHDRYDHHQKGFEEVFGHGFNTKLSSAGLVYKAIDAIDNGINQFDTDKPPKYVNNTHISSRVGRLNLDWTDPDQSPEKENEAFQRAMALAGSEFLESVRFHARSWLPARSIVMECIAERFDIDPSGEIMVLKRFCPWKLHLFELEAELKVEPLIKYVLYGDERGKQWRVQAVAASPDSFESRKPLPAQWRGLRDDELSKETRISGCVFVHMSGFIGGNQTYEGALVMARTALKM >KJB52353 pep chromosome:Graimondii2_0_v6:8:53924088:53926175:-1 gene:B456_008G257700 transcript:KJB52353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAITRGGFNQKIFNLPKTLSRPLMATSNLIRVSSPSYSTSSPNHAPFKRVGTHNGSFHCDEALGCFMIRLTDKFSNSEIIRTRDPKVLEGLDAVLDVGGVYDPNHDRYDHHQKGFEEVFGHGFNTKLSSAGLVYKHFGKEIIAKELQLGEDHPDVHRLFLAIYKSFMEAIDAIDNGINQFDTDKPPKYVNNTHISSRVGRLNLDWTDPDQSPEKENEAFQRAMALAGSEFLESVRFHARSWLPARSIVMECIAERFDIDPSGEIMVLKRFCPVMEASLI >KJB52355 pep chromosome:Graimondii2_0_v6:8:53923537:53926175:-1 gene:B456_008G257700 transcript:KJB52355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAITRGGFNQKIFNLPKTLSRPLMATSNLIRVSSPSYSTSSPNHAPFKRVGTHNGSFHCDEALGCFMIRLTDKFSNSEIIRTRDPKVLEGLDAVLDVGGVYDPNHDRYDHHQKGFEEVFGHGFNTKLSSAGLVYKHFGKEIIAKELQLGEDHPDVHRLFLAIYKSFMEAIDAIDNGINQFDTDKPPKYVNNTHISSRVGRLNLDWTDPDQSPEKENEAFQRAMALAGSEFLESVRFHARSWLPARSIVMECIAERFDIDPSGEIMVLKRFCPDERGKQWRVQAVAASPDSFESRKPLPAQWRGLRDDELSKETRISGCVFVHMSGFIGGNQTYEGALVMARTALKM >KJB52354 pep chromosome:Graimondii2_0_v6:8:53924442:53926127:-1 gene:B456_008G257700 transcript:KJB52354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAITRGGFNQKIFNLPKTLSRPLMATSNLIRVSSPSYSTSSPNHAPFKRVGTHNGSFHCDEALGCFMIRLTDKFSNSEIIRTRDPKVLEGLDAVLDVGGVYDPNHDRYDHHQKGFEEVFGHGFNTKLSSAGLVYKHFGKEIIAKELQLGEDHPDVHRLFLAIYKSFMEAIDAIDNGINQFDTDKPPKYVNNTHISSRVGRLNLDWTDPDQSPEKENEAFQRAMALAGSEFLESVRFHARSWLPARSIVMECIAERFDIDPSGEIMVLKRFCPVSRFSNLLSSYCYVLLQLALQKCML >KJB53144 pep chromosome:Graimondii2_0_v6:8:56833880:56837989:-1 gene:B456_008G295000 transcript:KJB53144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKQYSADVVVEGLRKILISEEVKLDEEVQGKVETLIAELQGRIPFPVPDEDAQTLYNGFQSFKINVFDQHPNLFSQLAKAQHPRYLVFACSDSRVSPSTIFNLMPGRAFVSRNIANMVPPFDQLRHTETGAVIEYAIKALKVRNILVIGHSRCGGVERLMNLPDDSDSHTYDFIDDWVKIGLPAKKKVLEENSGLPSEEQLKLCEKESVNNSLGNLLTYPYVRDAMTSGQLALRGGYYDFVNGHFEQWRAFTPAPPLPPMPQPF >KJB50788 pep chromosome:Graimondii2_0_v6:8:47445729:47448097:-1 gene:B456_008G191600 transcript:KJB50788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAVKEQQSAAQISGSAGKSKLRYPLRSSMKPKEQKPPAPDLSNSSSSRSGFDLFGVDRGRATPSVSKSVSVLDLSKEKSGSGKPPRRLSIPTKSTVTPSPKFAGTTTPIFEGRAAKKPSNGQGKSDTPLSDSSRSSTRRRFNVLSSASYWLSQIKLSESASKHSVSLGFFKLALEAGCEPLQKMSDELKSYIRRHNLGENGEAINELLESYNVPDNPEQPQVSETCSQVPDEGTRSSDDEVHRVSPVAAAARRLKPKSLNTDAAQVSSVTKSAKEATPKNNAANRNRLLNKTSSNSRSVSDTGSRKLLTKTPKTTKTEPVKGKEKTKRQGTKSADQEDQVCPSAAAETVEEDKENMDAPLTGESSLTGVM >KJB50786 pep chromosome:Graimondii2_0_v6:8:47445729:47448097:-1 gene:B456_008G191600 transcript:KJB50786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAVKEQQSAAQISGSAGKSKLRYPLRSSMKPKEQKPPAPDLSNSSSSRSVSKSVSVLDLSKEKSGSGKPPRRLSIPTKSTVTPSPKFAGTTTPIFEGRAAKKPSNGQGKSDTPLSDSSRSSTRRRFNVLSSASYWLSQIKLSESASKHSVSLGFFKLALEAGCEPLQKMSDELKSYIRRHNLGENGEAINELLESYNVPDNPEQPQVSETCSQVPDEGTRSSDDEVHRVSPVAAAARRLKPKSLNTDAAQVSSVTKSAKEATPKNNAANRNRLLNKTSSNSRSVSDTGSRKLLTKTPKTTKTEPVKGKEKTKRQGTKSADQEDQVCPSAAAETVEEDKENMDAPLTGESSLTGVM >KJB50785 pep chromosome:Graimondii2_0_v6:8:47446037:47448097:-1 gene:B456_008G191600 transcript:KJB50785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAVKEQQSAAQISGSAGKSKLRYPLRSSMKPKEQKPPAPDLSNSSSSRRGRATPSVSKSVSVLDLSKEKSGSGKPPRRLSIPTKSTVTPSPKFAGTTTPIFEGRAAKKPSNGQGKSDTPLSDSSRSSTRRRFNVLSSASYWLSQIKLSESASKHSVSLGFFKLALEAGCEPLQKMSDELKSYIRRHNLGENGEAINELLESYNVPDNPEQPQVSETCSQVPDEGTRSSDDEVHRVSPVAAAARRLKPKSLNTDAAQVSSVTKSAKEATPKNNAANRNRLLNKTSSNSRSVSDTGSRKLLTKTPKTTKTEPVKGKEKTKRQGTKSADQEGKLV >KJB50790 pep chromosome:Graimondii2_0_v6:8:47445839:47448290:-1 gene:B456_008G191600 transcript:KJB50790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAVKEQQSAAQISGSAGKSKLRYPLRSSMKPKEQKPPAPDLSNSSSSRRGRATPSVSKSVSVLDLSKEKSGSGKPPRRLSIPTKSTVTPSPKFAGTTTPIFEGRAAKKPSNGQGKSDTPLSDSSRSSTRRRFNVLSSASYWLSQIKLSESASKHSVSLGFFKLALEAGCEPLQKMSDELKSYIRRHNLGENGEAINELLESYNVPDNPEQPQVSETCSQVPDEGTRSSDDEVHRVSPVAAAARRLKPKSLNTDAAQVSSVTKSAKEATPKNNAANRNRLLNKTSSNSRSVSDTGSRKLLTKTPKTTKTEPVKGKEKTKRQGTKSADQEGKLV >KJB50787 pep chromosome:Graimondii2_0_v6:8:47445461:47448290:-1 gene:B456_008G191600 transcript:KJB50787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAVKEQQSAAQISGSAGKSKLRYPLRSSMKPKEQKPPAPDLSNSSSSRRSSTRRRFNVLSSASYWLSQIKLSESASKHSVSLGFFKLALEAGCEPLQKMSDELKSYIRRHNLGENGEAINELLESYNVPDNPEQPQVSETCSQVPDEGTRSSDDEVHRVSPVAAAARRLKPKSLNTDAAQVSSVTKSAKEATPKNNAANRNRLLNKTSSNSRSVSDTGSRKLLTKTPKTTKTEPVKGKEKTKRQGTKSADQEDQVCPSAAAETVEEDKENMDAPLTGESSLTGVM >KJB50789 pep chromosome:Graimondii2_0_v6:8:47445461:47448290:-1 gene:B456_008G191600 transcript:KJB50789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAVKEQQSAAQISGSAGKSKLRYPLRSSMKPKEQKPPAPDLSNSSSSRRGRATPSVSKSVSVLDLSKEKSGSGKPPRRLSIPTKSTVTPSPKFAGTTTPIFEGRAAKKPSNGQGKSDTPLSDSSRSSTRRRFNVLSSASYWLSQIKLSESASKHSVSLGFFKLALEAGCEKMSDELKSYIRRHNLGENGEAINELLESYNVPDNPEQPQVSETCSQVPDEGTRSSDDEVHRVSPVAAAARRLKPKSLNTDAAQVSSVTKSAKEATPKNNAANRNRLLNKTSSNSRSVSDTGSRKLLTKTPKTTKTEPVKGKEKTKRQGTKSADQEDQVCPSAAAETVEEDKENMDAPLTGESSLTGVM >KJB50784 pep chromosome:Graimondii2_0_v6:8:47445442:47448403:-1 gene:B456_008G191600 transcript:KJB50784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAVKEQQSAAQISGSAGKSKLRYPLRSSMKPKEQKPPAPDLSNSSSSRRGRATPSVSKSVSVLDLSKEKSGSGKPPRRLSIPTKSTVTPSPKFAGTTTPIFEGRAAKKPSNGQGKSDTPLSDSSRSSTRRRFNVLSSASYWLSQIKLSESASKHSVSLGFFKLALEAGCEPLQKMSDELKSYIRRHNLGENGEAINELLESYNVPDNPEQPQVSETCSQVPDEGTRSSDDEVHRVSPVAAAARRLKPKSLNTDAAQVSSVTKSAKEATPKNNAANRNRLLNKTSSNSRSVSDTGSRKLLTKTPKTTKTEPVKGKEKTKRQGTKSADQEDQVCPSAAAETVEEDKENMDAPLTGESSLTGVM >KJB49584 pep chromosome:Graimondii2_0_v6:8:36733405:36734876:-1 gene:B456_008G126400 transcript:KJB49584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMEKLKIFVVQEPVVAASCLIAGFGLFLPAVVRPILDSMDSSKQVPQPALRDISNPCIQFQDYI >KJB49583 pep chromosome:Graimondii2_0_v6:8:36732722:36734876:-1 gene:B456_008G126400 transcript:KJB49583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMEKLKIFVVQEPVVAASCLIAGFGLFLPAVVRPILDSMDSSKQVPQPALRDVVSGVTGKKQG >KJB47643 pep chromosome:Graimondii2_0_v6:8:4183449:4186656:1 gene:B456_008G034700 transcript:KJB47643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FITLVDQTIYSEHLFIFVYVVCIDYGCRKEARFLINCRIISNGKNLCYCFIFNGNVKCGKMGEGRELLDEMKVKDVLVWTTLVSGYDQWGDLESANEMLEKNQLCSCVCACASIASLPHGRQIHACLIQTNFRPNMIVVSSLVDMYSKCDCLKVGKWNFGLTHNKQVPVLWNTKFSALAQYGHSVEAVKCLITWYFQCISFDYGILSDQQHYTCLIDLLGGAARFDQLMNHLKLDSHLYVLLSSIYGATGKWELVEKIRHLMDKRQVKKKVDFSTKWEGTENVKDQQTT >KJB50841 pep chromosome:Graimondii2_0_v6:8:47190198:47194432:1 gene:B456_008G189500 transcript:KJB50841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMFGPGSSTANIYVPEEWSEAANSIAYDSVTSPPPFSFICGAKNSGKTTFSRHLLNILLQRYGKVAYLDTDVGQPEFTVPGFVSLTVVDKITPDLAIPCLKTPERCFFFGDVSSKRDPSTYLKYIFTLFDYYREEYCMFDKSENAGRIELPLIVNTPGWVKGIGYEILVDMLRYICPTHVVKINISAESKNLPGGAFWLDGDCDGMVNIIEIKSAQQDNFNRSVLVQKDASLLRDLRIMAYFRQCFPSDKPITTIKELAHALASHPPYEVPISIIKIRHLHCQVPSTETYYSLNATIVGLAVSSEESEDLPWCVGLGIVRGIDTFKGVLYVITPVPPSTLEKVNLFLQGFIQIPTCLLQVKGCRSPYVTSNVLSDRFY >KJB51631 pep chromosome:Graimondii2_0_v6:8:51235256:51238527:1 gene:B456_008G225800 transcript:KJB51631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESGLVFRETRIARYIVWRCRLGFSREMTLETLSSNDLLNFVIYDTISATPYNSNDSFVTTFSMEEQSTSTALNCFPMVTPPQCCRSTATEAMERRPNLAVQGRKKRRRKPRVCKNKEEAETQRMTHIAVERNRRKQMNEHLAVLRSLMPESYVQRGDQASIVGGAIEFVKELEHLLQTLEAEKFRVLQQVTPAAAANEETTNTNSKMLSSPPFAQFLMQPQYTWSQIPNKYTSKTEASTADIEVTLIETHANLRILLRKGPTQLCKLVAGFQSLYLSILHLNVTTLDPFVLYSISAKVEEGCQLSSVDDIARAVHHMIRIIEQDATALC >KJB51632 pep chromosome:Graimondii2_0_v6:8:51235931:51237803:1 gene:B456_008G225800 transcript:KJB51632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESGLVFRETRIARYIVWRCRLGFSREMTLETLSSNDLLNFVIYDTISATPYNSNDSFVTTFSMEEQSTSTALNCFPMVTPPQCCRSTATEAMERRPNLAVQGRKKRRRKPRVCKNKEEAETQRMTHIAVERNRRKQMNEHLAVLRSLMPESYVQRGDQASIVGGAIEFVKELEHLLQTLEAEKFRVLQQVTPAAAANEETTNTNSKMLSSPPFAQFLMQPQYTWSQIPNKYTSKTEASTADIEVTLIETHANLRILLRKGPTQLCKLVAGFQSLYLSILHLNVTTLDPFVLYSISAKVIL >KJB49611 pep chromosome:Graimondii2_0_v6:8:37001752:37003877:1 gene:B456_008G128400 transcript:KJB49611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFRSKSCGLLGLSEFFHQTKTNDDHEEEEDFAEDGMNPIATTPLISSKSRFGCSHGGRRDNNQFQFMDILAALLRKSLVTCSVDTDDISSLDISLPTDVKHVSHVTFDCFNGFLGLPTELQPDVPSKVPSASASAFGVSPKSMQCSYDGKGNSLPTILLMMQSRFYDEGGLKAEGIFRINAENSQEEYVREKLNKGVVPHGIDVHCLAGLIKAWFRELPSGVLDCLTPEQVMHCNTEDDCVELVKLLPSTEAALLDWAINLMADVVEYEQYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTIRERDESATKDRLLPSCSDCPTGLTDTCASKEPATAKFLRVATLSRLESDPEEKQWSIQDSNREEEVESISGNNILNEWEMGNVETECRSGYDNGDRLSLRKGVRRLCRHPIFQLSKPTKKTRNLGIVNTRGRGGEAWV >KJB49610 pep chromosome:Graimondii2_0_v6:8:37001589:37003877:1 gene:B456_008G128400 transcript:KJB49610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFRSKSCGLLGLSEFFHQTKTNDDHEEEEDFAEDGMNPIATTPLISSKSRFGCSHGGRRDNNQFQFMDILAALLRKSLVTCSVDTDDISSLDISLPTDVKHVSHVTFDCFNGFLGLPTELQPDVPSKVPSASASAFGVSPKSMQCSYDGKGNSLPTILLMMQSRFYDEGGLKAEGIFRINAENSQEEYVREKLNKGVVPHGIDVHCLAGLIKAWFRELPSGVLDCLTPEQVMHCNTEDDCVELVKLLPSTEAALLDWAINLMADVVEYEQYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTIRERDESATKDRLLPSCSDCPTGLTDTCASKEPATAKFLRVATLSRLESDPEEKQWSIQDSNREEEVESISGNNILNEWEMGNVETECRSGYDNGDRLSLRKGVRRLCRHPIFQLSKPTKKTRNLGIVNTRGRGGEAWV >KJB46816 pep chromosome:Graimondii2_0_v6:8:40278984:40279034:-1 gene:B456_008G1489002 transcript:KJB46816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLIWTVVGLFGSERTL >KJB50021 pep chromosome:Graimondii2_0_v6:8:40846143:40847992:-1 gene:B456_008G151600 transcript:KJB50021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTIRGTAKARDQPSCNGFFCRMVCLPKCIFGGFSRVMGRRNQYQPSELQLQHPQLLHPPVVPEDWAFLVSFEQQYGTTHPFFYACSFMQALKIAEDEHKLMFMYLHSPEHPFTPSFCSRTLSSELVVQFLDANFVCWGAIADRGEGLQMAATLQPASFPFCAVIAPAAGNNIAVLQQMEGPIDPAELVEILQRTMEEQGSAFGTTVRGREEEHMRARIKEEERIKARAKEQEKLRADRQLREEQDAAYFVALQRDQVCAYSIWKRKILGMQELKNQ >KJB50022 pep chromosome:Graimondii2_0_v6:8:40845563:40848118:-1 gene:B456_008G151600 transcript:KJB50022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTIRGTAKARDQPSCNGFFCRMVCLPKCIFGGFSRVMGRRNQYQPSELQLQHPQLLHPPVVPEDWAFLVSFEQQYGTTHPFFYACSFMQALKIAEDEHKLMFMYLHSPEHPFTPSFCSRTLSSELVVQFLDANFVCWGAIADRGEGLQMAATLQPASFPFCAVIAPAAGNNIAVLQQMEGPIDPAELVEILQRTMEEQGSAFGTTVRGREEEHMRARIKEEERIKARAKEQEKKILGMQELKNQ >KJB50020 pep chromosome:Graimondii2_0_v6:8:40845507:40848286:-1 gene:B456_008G151600 transcript:KJB50020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTIRGTAKARDQPSCNGFFCRMVCLPKCIFGGFSRVMGRRNQYQPSELQLQHPQLLHPPVVPEDWAFLVSFEQQYGTTHPFFYACSFMQALKIAEDEHKLMFMYLHSPEHPFTPSFCSRTLSSELVVQFLDANFVCWGAIADRGEGLQMAATLQPASFPFCAVIAPAAGNNIAVLQQMEGPIDPAELVEILQRTMEEQGSAFGTTVRGREEEHMRARIKEEERIKARAKEQEKLRADRQLREEQDAAYFVALQRDQEKENLRNARAQKPIEASNQTNYQNPRQMHREKQLGKPRQSSSVGEAQYKETITQGKDTQILIRFPGGERREHNFSCTDKILSIYRYIDSLGLPGIANYRLISSFPRRVYSFDQMGMTLKDAGLHPRASLFVELL >KJB50023 pep chromosome:Graimondii2_0_v6:8:40846560:40848118:-1 gene:B456_008G151600 transcript:KJB50023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTIRGTAKARDQPSCNGFFCRMVCLPKCIFGGFSRVMGRRNQYQPSELQLQHPQLLHPPVVPEDWAFLVSFEQQYGTTHPFFYACSFMQALKIAEDEHKLMFMYLHSPEHPFTPSFCSRTLSSELVVQFLDANFVCWGAIADRGEGLQMAATLQPASFPFCAVIAPAAGNNIAVLQQMEGPIDPAELVEILQRTMEEQGSAFGTTVRGREEEHMRARIKEEERIKARAKEQEKLRADRQLREEQDAAYFVALQRDQVCAYSIWFVSHVFVKQNL >KJB48677 pep chromosome:Graimondii2_0_v6:8:15744559:15747850:1 gene:B456_008G080700 transcript:KJB48677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFFKEEIAPTSLNMSSKKELLSSAMKRTSEWIFSQEIPSDVTVQVGGVSFSLHKFPLISKSGFVRKVVSESNDADHSIIEIPNVPGGADSFELAAKFCYGINFEISTENIAMLRCAAEYLEMTEDYAVGNLVGRTEAYLNEVALKSLAGAVSVLHMSESLLPIAEEVKLVSRCIDAIAYLACKESQFYMSSRSDSGNDSTISLTTTNSRPIVDWWAEDLAVLRIDIFQRVLIAMVARGFKQYDLGPVLMLYAQKALRGLEVFGKGRKKIEPRQEHEKRVVLETIVSLLPREKNAMSVSFLSVLLRAAIYLETTVACRLDLERRMALQLGQAVLDDLLIPAYSVTGDTLFDVDTMQRILTNYVEYETEGHHFGYKEDDEYISPPPSDMERVGKLMESYLVEIASDRNLPISKFIGLAELIPEQSRVTEDGMYRAIDIYLKAHPTITDMERKKVCSLMDCQKLSREACAHAAQNDRLPVQTVVQVLYHEQQRLRDVMNGSGDSPAVIPSKVNLYPTDVHPVSGELSSLKRENQDLKLELVKMKMRLKEIEKSTSAKTAISSPMGSITPSSDKPPLPKKSFMNSVSKKLGRLYPFVPSGAKGRTRPSKDRRHSIS >KJB48679 pep chromosome:Graimondii2_0_v6:8:15744946:15747802:1 gene:B456_008G080700 transcript:KJB48679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVFGKGRKKIEPRQEHEKRVVLETIVSLLPREKNAMSVSFLSVLLRAAIYLETTVACRLDLERRMALQLGQAVLDDLLIPAYSVTGDTLFDVDTMQRILTNYVEYETEGHHFGYKEDDEYISPPPSDMERVGKLMESYLVEIASDRNLPISKFIGLAELIPEQSRVTEDGMYRAIDIYLKAHPTITDMERKKVCSLMDCQKLSREACAHAAQNDRLPVQTVVQVLYHEQQRLRDVMNGSGDSPAVIPSKVNLYPTDVHPVSGELSSLKRENQDLKLELVKMKMRLKEIEKSTSAKTAISSPMGSITPSSDKPPLPKKSFMNSVSKKLGRLYPFVPSGAKGRTRPSKDRRHSIS >KJB48678 pep chromosome:Graimondii2_0_v6:8:15744946:15747802:1 gene:B456_008G080700 transcript:KJB48678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCAAEYLEMTEDYAVGNLVGRTEAYLNEVALKSLAGAVSVLHMSESLLPIAEEVKLVSRCIDAIAYLACKESQFYMSSRSDSGNDSTISLTTTNSRPIVDWWAEDLAVLRIDIFQRVLIAMVARGFKQYDLGPVLMLYAQKALRGLEVFGKGRKKIEPRQEHEKRVVLETIVSLLPREKNAMSVSFLSVLLRAAIYLETTVACRLDLERRMALQLGQAVLDDLLIPAYSVTGDTLFDVDTMQRILTNYVEYETEGHHFGYKEDDEYISPPPSDMERVGKLMESYLVEIASDRNLPISKFIGLAELIPEQSRVTEDGMYRAIDIYLKAHPTITDMERKKVCSLMDCQKLSREACAHAAQNDRLPVQTVVQVLYHEQQRLRDVMNGSGDSPAVIPSKVNLYPTDVHPVSGELSSLKRENQDLKLELVKMKMRLKEIEKSTSAKTAISSPMGSITPSSDKPPLPKKSFMNSVSKKLGRLYPFVPSGAKGRTRPSKDRRHSIS >KJB48680 pep chromosome:Graimondii2_0_v6:8:15745047:15747802:1 gene:B456_008G080700 transcript:KJB48680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFFKEEIAPTSLNMSSKKELLSSAMKRTSEWIFSQEIPSDVTVQVGGVSFSLHKFPLISKSGFVRKVVSESNDADHSIIEIPNVPGGADSFELAAKFCYGINFEISTENIAMLRCAAEYLEMTEDYAVGNLVGRTEAYLNEVALKSLAGAVSVLHMSESLLPIAEEVKLVSRCIDAIAYLACKESQFYMSSRSDSGNDSTISLTTTNSRPIVDWWAEDLAVLRIDIFQRVLIAMVARGFKQYDLGPVLMLYAQKALRGLEVFGKGRKKIEPRQEHEKRVVLETIVSLLPREKNAMSVSFLSVLLRAAIYLETTVACRLDLERRMALQLGQAVLDDLLIPAYSVTGDTLFDVDTMQRILTNYVEYETEGHHFGYKEDDEYISPPPSDMERVGKLMESYLVEIASDRNLPISKFIGLAELIPEQSRVTEDGMYRAIDIYLKVHKSPS >KJB52454 pep chromosome:Graimondii2_0_v6:8:54298309:54300163:1 gene:B456_008G262600 transcript:KJB52454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLTTDKSKVIRTWILCVPNFVCWYYRSCLFPSIFLLLPLFLSSSPLTFCVASTLPHTSGTDPPTPSFKTTQPHSHPQIDILITVSLVLVLALLFAMGFLFRFLKLRKLRRSKPTSAAQPEETESKGKDSVGGGGGGEGFVRKFSWDEIKNVTEDFSLVIGQGGFSNVYLANLSGNSRQGAVKVHIGGDRLNQVFKQELDILLRLRHDNIVKLLGYCNDLEEGAMVFEYIPNGNLQEKLHERNNEVLPWKTRTAIAYQLAQAIEYLHEKCTLQIIHGDIKASNILLDERFNCKLCDFGSAKMGFSSTVMPPSCSRTTKQVMIGSPGYTDPHYLRTGLASKKNDVYSLGVIILELVTGMEAFCPERGQLLTSIMAPNLKAISEVGTAVDVAELVDPRLGAEFDLDEAKAMVSIAGLCLHQSPTVRPSASQIMQIMKEKMGSIDLLLSPAKDCRHKN >KJB52455 pep chromosome:Graimondii2_0_v6:8:54298337:54300039:1 gene:B456_008G262600 transcript:KJB52455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLTTDKSKVIRTWILCVPNFVCWYYRSCLFPSIFLLLPLFLSSSPLTFCVASTLPHTSGTDPPTPSFKTTQPHSHPQIDILITVSLVLVLALLFAMGFLFRFLKLRKLRRSKPTSAAQPEETESKGKDSVGGGGGGEGFVRKFSWDEIKNVTEDFSLVIGQGGFSNVYLANLSGNSRQGAVKVHIGGDRLNQVFKQELDILLRLRHDNIVKLLGYCNDLGALQEGAMVFEYIPNGNLQEKLHERNNEVLPWKTRTAIAYQLAQAIEYLHEKCTLQIIHGDIKASNILLDERFNCKLCDFGSAKMGFSSTVMPPSCSRTTKQVMIGSPGYTDPHYLRTGLASKKNDVYSLGVIILELVTGMEAFCPERGQLLTSIMAPNLKAISEVGTAVDVAELVDPRLGAEFDLDEAKAMVSIAGLCLHQSPTVRPSASQIMQIMKEKMGSIDLLLSPAKDCRHKN >KJB49902 pep chromosome:Graimondii2_0_v6:8:39749939:39755336:1 gene:B456_008G144800 transcript:KJB49902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKKRKERAVGGESGNSKKFKKYSNSKGPMKKNKNDTRKKGKGPRLPSALRTELDRLDPKIASDSDDGIDLDVGNDVYEYEEEVPQEESRKNRRFDPVENYDYELPEDFEDENVPSDDEDDDGDFGVGGNKGSRNDDVDASDGDEEEDDERHLRMLQGVTGMSTDAFGGKKKRNSVVISEAHPESEDNPTRDVLEGDSHITLQDLLDPIQGKAGYSKLRKRVQHMDRKSTSVQAPLPKVDREKLERMAVYEHSKKDITKWEHLVKRNREAPTVFFGGDVDLGFSTVGAIASEFEPRTEFEKKIASLVYDDKVMEAHKADGSKLLELNKISEEDYMKHRDHVAKMRSLLFRHEMKQKRIKKIKSKTYHRLKNKDKLKVESAEMLMDPEAAKEQARKQEFKRAEERMTLKHKNKSKWARRILERGLNAQDEGTRAAMAEQLHQHALLTRKINTVKDSSSSSDSSSDDDDEGSNEDRASELLEKAKEKTLKVLEDDEELPNSGVLSLPFMVRGLKKRREEAIEEAKLALQEYEQLEGTNDAENSKPATASGRRVFGRANNEVPDSNKKTKTDNKMKMDNYYGNSDSEGDLEAKANVNIEGGKRNDVEKDVGPNYDRKEAADVSIFKNFDDNGDPGSKTTYEVAIFASDSWRKMKSENGVDKNAKKLQQVKEAIVHNKDMEEGEEDSDSESEQMVDGILSSAPKESYELPSQSELIRHAFAGDDVEEEFEKDKQEILNEENPEPDKPVLLPGWGQWTHVQQKKGLPWWMLKEHEDAKRKREESLKKRKDAQLKHVIISEKVDKKAEKLQTKSLPYPFTSKELFEQSMRMPIGSESNPETAIRSLNRPEVMKKPGVIIKPIKFVEMHHHEKSEDNKRSGQKQKKNKSKGASGKNKKQSN >KJB49901 pep chromosome:Graimondii2_0_v6:8:39749939:39755336:1 gene:B456_008G144800 transcript:KJB49901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKKRKERAVGGESGNSKKFKKYSNSKGPMKKNKNDTRKKGKGPRLPSALRTELDRLDPKIASDSDDGIDLDVGNDVYEYEEEVPQEESRKNRRFDPVENYDYELPEDFEDENVPSDDEDDDGDFGVGGNKGSRNDDVDASDGDEEEDDERHLRMLQGVTGMSTDAFGGKKKRNSVVISEAHPESEDNPTRDVLEGDSHITLQDLLDPIQGKAGYSKLRKRVQHMDRKSTSVQAPLPKVDREKLERMAVYEHSKKDITKWEHLVKRNREAPTVFFGGDVDLGFSTVGAIASEFEPRTEFEKKIASLVYDDKVMEAHKADGSKLLELNKISEEDYMKHRDHVAKMRSLLFRHEMKQKRIKKIKSKTYHRLKNKDKLKVESAEMLMDPEAAKEQARKQEFKRAEERMTLKHKNKSKWARRILERGLNAQDEGTRAAMAEQLHQHALLTRKINTVKDSSSSSDSSSDDDDEGSNEDRASELLEKAKEKTLKVLEDDEELPNSGVLSLPFMVRGLKKRREEAIEEAKLALQEYEQLEGTNDAENSKPATASGRRVFGRANNEVPDSNKKTKTDNKMKMDNYYGNSDSEGDLEAKANVNIEGGKRNDVEKDVGPNYDRKEAADVSIFKNFDDNGDPGSKTTYEVAIFASDSWRKMKSENGVDKNAKKLQQVKEAIVHNKDMEEGEEDSDSESEQMVDGILSSAPKESYELPSQSELIRHAFAGDDVEEEFEKDKQEILNEENPEPDKPVLLPGWGQWTHVQQKKGLPWWMLKEHEDAKRKREESLKKRKDAQLKHVIISEKVDKKAEKLQTKSLPYPFTSKELFEQSMRMPIGSESNPETAIRSLNRPEVVKKPGVIIKPIKFVEMHHHEKSEDNKRSGQKQKKNKSKGASGKNKKQSN >KJB49899 pep chromosome:Graimondii2_0_v6:8:39749081:39755612:1 gene:B456_008G144800 transcript:KJB49899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKKRKERAVGGESGNSKKFKKYSNSKGPMKKNKNDTRKKGKGPRLPSALRTELDRLDPKIASDSDDGIDLDVGNDVYEYEEEVPQEESRKNRRFDPVENYDYELPEDFEDENVPSDDEDDDGDFGVGGNKGSRNDDVDASDGDEEEDDERHLRMLQGVTGMSTDAFGGKKKRNSVVISEAHPESEDNPTRDVLEGDSHITLQDLLDPIQGKAGYSKLRKRVQHMDRKSTSVQAPLPKVDREKLERMAVYEHSKKDITKWEHLVKRNREAPTVFFGGDVDLGFSTVGAIASEFEPRTEFEKKIASLVYDDKVMEAHKADGSKLLELNKISEEDYMKHRDHVAKMRSLLFRHEMKQKRIKKIKSKTYHRLKNKDKLKVESAEMLMDPEAAKEQARKQEFKRAEERMTLKHKNKSKWARRILERGLNAQDEGTRAAMAEQLHQHALLTRKINTVKDSSSSSDSSSDDDDEGSNEDRASELLEKAKEKTLKVLEDDEELPNSGVLSLPFMVRGLKKRREEAIEEAKLALQEYEQLEGTNDAENSKPATASGRRVFGRANNEVPDSNKKTKTDNKMKMDNYYGNSDSEGDLEAKANVNIEGGKRNDVEKDVGPNYDRKEAADVSIFKNFDDNGDPGSKTTYEVAIFASDSWRKMKSENGVDKNAKKLQQVKEAIVHNKDMEEGEEDSDSESEQMVDGILSSAPKESYELPSQSELIRHAFAGDDVEEEFEKDKQEILNEENPEPDKPVLLPGWGQWTHVQQKKGLPWWMLKEHEDAKRKREESLKKRKDAQLKHVIISEKVDKKAEKLQTKSLPYPFTSKELFEQSMRMPIGSESNPETAIRSLNRPEVVKKPGVIIKPIKFVEMHHHEKSEDNKRSGQKQKKNKSKGASGKNKKQSN >KJB49900 pep chromosome:Graimondii2_0_v6:8:39750258:39754010:1 gene:B456_008G144800 transcript:KJB49900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKKRKERAVGGESGNSKKFKKYSNSKGPMKKNKNDTRKKGKGPRLPSALRTELDRLDPKIASDSDDGIDLDVGNDVYEYEEEVPQEESRKNRRFDPVENYDYELPEDFEDENVPSDDEDDDGDFGVGGNKGSRNDDVDASDGDEEEDDERHLRMLQGVTGMSTDAFGGKKKRNSVVISEAHPESEDNPTRDVLEGDSHITLQDLLDPIQGKAGYSKLRKRVQHMDRKSTSVQAPLPKVDREKLERMAVYEHSKKDITKWEHLVKRNREAPTVFFGGDVDLGFSTVGAIASEFEPRTEFEKKIASLVYDDKVMEAHKADGSKLLELNKISEEDYMKHRDHVAKMRSLLFRHEMKQKRIKKIKSKTYHRLKNKDKLKVESAEMLMDPEAAKEQARKQEFKRAEERMTLKHKNKSKWARRILERGLNAQDEGTRAAMAEQLHQHALLTRKINTVKDSSSSSDSSSDDDDEGSNEDRASELLEKAKEKTLKVLEDDEELPNSGVLSLPFMVRGLKKRREEAIEEAKLALQEYEQLEGTNDAENSKPATASGRRVFGRANNEVPDSNKKTKTDNKMKMDNYYGNSDSEGDLEAKANVNIEGGKRNDVEKDVGPNYDRKEAADVSIFKVITLMIFL >KJB48264 pep chromosome:Graimondii2_0_v6:8:9684947:9692971:-1 gene:B456_008G060800 transcript:KJB48264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRDDIYSGSQFKRPFGSSKAESNGQNQIPGGGGERGSGGGAVGGEGEVAVQKLTTNDALSYLKEVKEMFQDQKEKYDIFLEVMKDFKAQRTDTVGVIARVKELFRGHNNLIYGFNTFLPKGYEITLDEEEAPLKKTVEFDEAISFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDIDEVYREVASLFVGHPDLLEEFARFLPDASAAPLTQQVPNGRNSTHRYNERSSATPTLRHIQMDKQRRRDRIITSHADRDLSVGRPDLEDDKAMVKMQKEQRKRVEKEIRDRRTRDQDDPEHENNRDFNMQHFPDKKRTGRKIEGFASYDEKDTLKSMCNQGIVFCGKVKERLCSSDDYQVFLKCLNIYSNGIIKRNDLQNLVTDLLGKYPDLMIEFNRFLERCENTDGLLAGVISKKSLSGNGHASRLFKSEEKDKEQKRETDSAKEKERYREKYMDKSIQELDLSNCQRCTPSYRLLPDDYPIPIASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRVEDLLNSINENEISLESPFCVEDHFTVLNLRCIERLYGDHGLDVMEILRKTPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYSRNHYKSLDHRSFYFKQQDSKNLSAKSLVAEIKELKEKNQKEDDVLVASVAGHRQPLAPHLEYDYLDVSIHEDLYKLIEYSCEEICSTREELNKVMRLWTSFLEMMLGVPPRPSGREVTDDAGKAQSPAVNCTASSIAESDGNPRAVAAVRSGQQRATSDGDGNSFPELTNSCRNGLTNEETRVEERSDHVYSDDSKLEKEIKFIADKRPVINKLATGLGTLAIEAENNHIGNSVEGASGCGATASRPSISASVDHEPEANADLAHSLEGGDVTKHGLVAANGVPTDGSNASRYHESAGPSRTEKEEGELSPNGDFEEDNFVSYGDNGVKAASKSNHGGETRQYRSGNRKKLHYEDAGGENDADDDNSENALEAGDDASGSESAGDECSHEEEEEVECDEVDGKAESEGEAEGTADTHVGGDGAFLSVPERFLFTVKPLAKHVPVLLPKEARNSSCIFYANDDFYVLFRLHQILYERILSAKTNSTGSEIKWKIPTEATPTELYARFMSELYSLLDGSADNAKFEDECRAIIGNQSYVLFTLDKLLYKLVKQFSWQLQAVSADDMDTKLLQLFEYEKSRKHGKTMDSVYYENARVLLHEENVYRLKCSSSPPRLCIQLMDNVIEKPEAFAVSMEPNFSAFLHNDFLTVFPGKKEPHGITLKRNKKKYATLDEYGATCMAMEGVEVVNGLENKIACNSYKISYVLDTEDFFFRRRKSPQHKSSNIYQAKVQRFHR >KJB48265 pep chromosome:Graimondii2_0_v6:8:9684947:9693366:-1 gene:B456_008G060800 transcript:KJB48265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRDDIYSGSQFKRPFGSSKAESNGQNQIPGGGGERGSGGGAVGGEGEVAVQKLTTNDALSYLKEVKEMFQDQKEKYDIFLEVMKDFKAQRTDTVGVIARVKELFRGHNNLIYGFNTFLPKGYEITLDEEEAPLKKTVEFDEAISFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDIDEVYREVASLFVGHPDLLEEFARFLPDASAAPLTQQVPNGRNSTHRYNERSSATPTLRHIQMDKQRRRDRIITSHADRDLSVGRPDLEDDKAMVKMQKEQRKRVEKEIRDRRTRDQDDPEHENNRDFNMQHFPDKKRTGRKIEGFASYDEKDTLKSMCNQGIVFCGKVKERLCSSDDYQVFLKCLNIYSNGIIKRNDLQNLVTDLLGKYPDLMIEFNRFLERCENTDGLLAGVISKKSLSGNGHASRLFKSEEKDKEQKRETDSAKEKERYREKYMDKSIQELDLSNCQRCTPSYRLLPDDYPIPIASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRVEDLLNSINENEISLESPFCVEDHFTVLNLRCIERLYGDHGLDVMEILRKTPALALPVILTRLKQKQEEWTKCRLDFNKVWAEIYSRNHYKSLDHRSFYFKQQDSKNLSAKSLVAEIKELKEKNQKEDDVLVASVAGHRQPLAPHLEYDYLDVSIHEDLYKLIEYSCEEICSTREELNKVMRLWTSFLEMMLGVPPRPSGREVTDDAGKAQSPAVNCTASSIAESDGNPRAVAAVRSGQQRATSDGDGNSFPELTNSCRNGLTNEETRVEERSDHVYSDDSKLEKEIKFIADKRPVINKLATGLGTLAIEAENNHIGNSVEGASGCGATASRPSISASVDHEPEANADLAHSLEGGDVTKHGLVAANGVPTDGSNASRYHESAGPSRTEKEEGELSPNGDFEEDNFVSYGDNGVKAASKSNHGGETRQYRSGNRKKLHYEDAGGENDADDDNSENALEAGDDASGSESAGDECSHEEEEEVECDEVDGKAESEGEAEGTADTHVGGDGAFLSVPERFLFTVKPLAKHVPVLLPKEARNSSCIFYANDDFYVLFRLHQILYERILSAKTNSTGSEIKWKIPTEATPTELYARFMSELYSLLDGSADNAKFEDECRAIIGNQSYVLFTLDKLLYKLVKQLQAVSADDMDTKLLQLFEYEKSRKHGKTMDSVYYENARVLLHEENVYRLKCSSSPPRLCIQLMDNVIEKPEAFAVSMEPNFSAFLHNDFLTVFPGKKEPHGITLKRNKKKYATLDEYGATCMAMEGVEVVNGLENKIACNSYKISYVLDTEDFFFRRRKSPQHKSSNIYQAKVQRFHR >KJB50842 pep chromosome:Graimondii2_0_v6:8:48570162:48571049:1 gene:B456_008G200600 transcript:KJB50842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPGFDVDGDPQPHGHGSLIQSLFAFCPSQKALTTSATHINMESWDGNSSSSQHPDSGIFSHRLSPNTQIHLFSPNPSNEDEGRMCQASIVVDEKRLRRMISNRESARRSRMRKKQQIEELQSQVNQLQTINRQLSQKLINLLENNHEILQENAQLKEKVSTLHMVLSDVFAPLRNSDDSSSMKPKSAS >KJB50596 pep chromosome:Graimondii2_0_v6:8:45724788:45726109:1 gene:B456_008G179900 transcript:KJB50596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNTSSKSDLPPGFRFHPTDEELIMFYLKNQAKSKPCPVSIIPEVDIYKFDPWQLPDKAEFGENEWYFFSPRDRKYPNGVRPNRATVSGYWKATGTDKAIHSGSKYVGVKKALVFYNGRPPKGVKTNWIMHEYRLSDSHKQIKKHNGSMRLDDWVLCRIYKKKNSAEKIMDHKVEESNTQIDRGLKMLRSYSLETYLTITVPSQGIFK >KJB50595 pep chromosome:Graimondii2_0_v6:8:45724731:45726136:1 gene:B456_008G179900 transcript:KJB50595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNTSSKSDLPPGFRFHPTDEELIMFYLKNQAKSKPCPVSIIPEVDIYKFDPWQLPDKAEFGENEWYFFSPRDRKYPNGVRPNRATVSGYWKATGTDKAIHSGSKYVGVKKALVFYNGRPPKGVKTNWIMHEYRLSDSHKQIKKHNGSMRLDDWVLCRIYKKKNSAEKIMDHKVEESNTQIDRVGYSNDDSESQELLKFPKTGSYSRLLELDYMGPVSHLLNENTYISSFDFPNTIANTGIEDVEKLQLGNIPYNYSTESGNFQVNQNGILMNPMVYQFQ >KJB48746 pep chromosome:Graimondii2_0_v6:8:17887038:17889284:1 gene:B456_008G084000 transcript:KJB48746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDLAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMIKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKSAAKKGK >KJB48747 pep chromosome:Graimondii2_0_v6:8:17886869:17889698:1 gene:B456_008G084000 transcript:KJB48747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDLAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMIKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKSAAKKGK >KJB47058 pep chromosome:Graimondii2_0_v6:8:1019842:1021213:1 gene:B456_008G008700 transcript:KJB47058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVQDIDGKPCEEKLPSTSLKHETREMLSRHRKEISQLQNKEIELKKAAAKEISQLSANLKEKHAEELASLGFSHNGNDKSNTDNLVKAIAGVSVAPQQGHPKTSKGAKRREKRAEQEAARGQRIQEEQSSIMSDRMIEGEKFERKLKPLGFTIKEIKPNGHCLYRAVEDQLSLQSGGSSPYAYQELREMAAAYMRKHASDFLPFFLSENAIDGDSDESPAEKFEDYCKEVESIAAWGGQLELGALTHCLRKHIMIYSGSFPDVEMGIEYKSDGGCSSSTGTIRLSYHKHAFGLGEHYNSVFPDLNIQV >KJB50176 pep chromosome:Graimondii2_0_v6:8:42062786:42065054:1 gene:B456_008G157500 transcript:KJB50176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDNFATVYGDLAAKQSKDHQSDGVFSSSTTSSSTSAASVFALYHPRILMQQHQDMINRHNLCLTRLREAAKEAEALRQENTSLRSANRDLNKQLSALIQASVQNHFSSSDYNTTPFELVNALRGLCLGSGGGGEVDVSDESPTSVMEGGAVDVERVMLPKSISVRSNGYLKMMNQAGASHRGKTRGPTRTGNTSQLSGAKVYVQGGKKEEEPLELEVYNQGMFKTELCNKWQETGACPYGDHCQFAHGIEELRPVIRHPRYKTEVCRMVLAGDVCPYGHRCHFRHALTEQEKFMDHLKPRNR >KJB50178 pep chromosome:Graimondii2_0_v6:8:42063023:42065043:1 gene:B456_008G157500 transcript:KJB50178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDNFATVYGDLAAKQSKDHQSDGVFSSSTTSSSTSAASVFALYHPRILMQQHQDMINRHNLCLTRLREAAKEAEALRQENTSLRSANRDLNKQLSALIQASVQNHFSSSDYNTTPFELVNALRGLCLGSGGGGEVDVSDESPTSVMEGGAVDVERVMLPKSISVRSNGYLKMMNQAGASHRGKTRGPTRTGNTSQLSGAVNVQQKVYVQGGKKEEEPLELEVYNQGMFKTELCNKWQETGACPYGDHCQFAHGIEELRPVIRHPRYKTEVCRMVLAGDVCPYGHRCHFRHALTEQEKFMDHLKPRNR >KJB50175 pep chromosome:Graimondii2_0_v6:8:42062556:42065148:1 gene:B456_008G157500 transcript:KJB50175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDNFATVYGDLAAKQSKDHQSDGVFSSSTTSSSTSAASVFALYHPRILMQQHQDMINRHNLCLTRLREAAKEAEALRQENTSLRSANRDLNKQLSALIQASVQNHFSSSDYNTTPFELVNALRGLCLGSGGGGEVDVSDESPTSVMEGGAVDVERVMLPKSISVRSNGYLKMMNQAGASHRGKTRGPTRTGNTSQLSGAKVYVQGGKKEEEPLELEVYNQGMFKTELCNKWQETGACPYGDHCQFAHGIEELRPVIRHPRYKTEVCRMVLAGDVCPYGHRCHFRHALTEQEKFMDHLKPRNR >KJB50177 pep chromosome:Graimondii2_0_v6:8:42063011:42065043:1 gene:B456_008G157500 transcript:KJB50177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDNFATVYGDLAAKQSKDHQSDGVFSSSTTSSSTSAASVFALYHPRILMQQHQDMINRHNLCLTRLREAAKEAEALRQENTSLRSANRDLNKQLSALIQASVQNHFSSSDYNTTPFELVNALRGLCLGSGGGGEVDVSDESPTSVMEGGAVDVERVMLPKSISVRSNGYLKMMNQAGASHRGKTRGPTRTGNTSQLSGAQKVYVQGGKKEEEPLELEVYNQGMFKTELCNKWQETGACPYGDHCQFAHGIEELRPVIRHPRYKTEVCRMVLAGDVCPYGHRCHFRHALTEQEKFMDHLKPRNR >KJB51153 pep chromosome:Graimondii2_0_v6:8:48958578:48960912:1 gene:B456_008G204000 transcript:KJB51153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASELPEPDVQILTSSGVRIPAHSSILAMVSPVLDNIMERPVEHGSSERVIPILGVPCDAVTAFIKYLYNSMCTEEQMEKYGIHLLVLSHVYLVPQLKQRCSRGVSQRLTVENVVDVLQLTGLCDVPDLYLKCLKQRKKRRWRQRKEQRLYLQLSDGLECLEHICREGCTTVGPYDVETAKKPSPCDKYATCQGVQMLIKHLVLCKRRASGVGCCRCNRMWQLLRLHSSICDHPDSCRVPLCSKQKMREDAKWKLLVKKVCSAKAMSSLSLPKRKREEELKETMGRTAHALKTFRLY >KJB49839 pep chromosome:Graimondii2_0_v6:8:39105380:39106404:1 gene:B456_008G140200 transcript:KJB49839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVNMKKTDPKIVVAVDESDESMYALSWCLANLISQTSTSNLVLLYVKPSPPVYSSLDAARYMFSTDVIVALEKYGSDMVNTVMRRAEAICRKSTTKINVERIVGSGDAKDEICNIVGKIKADTLVMGCHDYGFFKRYIIKFQ >KJB49838 pep chromosome:Graimondii2_0_v6:8:39105442:39105839:1 gene:B456_008G140200 transcript:KJB49838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVNMKKTDPKIVVAVDESDESMYALSWCLANLISQTSTSNLVLLYVKPSPPVYSSLDAARYMFSTDVIVALEKYGSDMVNTVMRRAEAICRKSTTKVKASLFL >KJB49837 pep chromosome:Graimondii2_0_v6:8:39105287:39106490:1 gene:B456_008G140200 transcript:KJB49837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVNMKKTDPKIVVAVDESDESMYALSWCLANLISQTSTSNLVLLYVKPSPPVYSSLDAARYMFSTDVIVALEKYGSDMVNTVMRRAEAICRKSTTKINVERIVGSGDAKDEICNIVGKIKADTLVMGCHDYGFFKRAILGSVSDHCAKHVKCPVVIVKHPKQI >KJB49840 pep chromosome:Graimondii2_0_v6:8:39105380:39106404:1 gene:B456_008G140200 transcript:KJB49840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVNMKKTDPKIVVAVDESDESMYALSWCLANLISQTSTSNLVLLYVKPSPPVYSSLDAARYMFSTDVIVALEKYGSDMVNTVMRRAEAICRKSTTKINVERIVGSGDAKDEICNIVGKIKADTLVMGCHDYGFFKSVSDHCAKHVKCPVVIVKHPKQI >KJB52997 pep chromosome:Graimondii2_0_v6:8:56293146:56298697:1 gene:B456_008G287600 transcript:KJB52997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKTPGTPSNKIDKTPVTTPGGPKSKEEKIVVTVRLRPLSKREQLAKDQVVWDCVDDHTIVSKHPSQERTAQPSSFTFDKVFGPSCLNETVYEGVKNVALSALGGINATIFAYGQTSSGKTYTMRGITEKAVNDIYQHIMNTPERDFTIKISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTVVEKLVEETASNDQHLRHLISICEAQRQVGETALNDSSSRSHQIIRLTIESTLRENSDCVRSFVASLNFVDLAGSERASQTNADGARLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHFEQSRNTLFFATRAKEVTNNAQVNMVVSNKQLVKHLQKEVARLEAELRTPDPSREKDLKIQQMEIEIEELKRQRDLAQSQVDELRRKLQEDQQILNPIESPSPSVKKCLSYSDVLSPKLDGKDLGCHDKTRKTMLLRQSMRQSSTAPFALMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQNAAETIAKLQAEIREMRSVRSDPKEVEVGAAIAPNKSVSANLKEEITRLHSQGSTIADLEEQLENVQKSIDKLVMSLPSNQESNCEATPKTKNQSKKKKLLPLTSSHVTNRPNFIKSPCAPLSTSRQVVEPENEENRPPEDDDNVSKDTIIEFEKETPVKSEDCGDVTSKEGTPGYRRSSSVNMKKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYNLEDNESEIEHEEPQVAWHVTFKEQRRQIIELWDVCYVSIIHRTQFYLLFKGDPADQIYMEVELRRLNWLQQHFAELGNASPAITGDEPTVSLSSSIRALKREREFLAKRLTTRLTFDERDALYIKWNVPLDGKHRRLQFINKLWTDPHDPKHIEESAQIVAKLVGFCESGNLSKEMFELNFALPADKKPWMVGWNQISNLLNL >KJB52996 pep chromosome:Graimondii2_0_v6:8:56293258:56298697:1 gene:B456_008G287600 transcript:KJB52996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKTPGTPSNKIDKTPVTTPGGPKSKEEKIVVTVRLRPLSKREQLAKDQVVWDCVDDHTIVSKHPSQERTAQPSSFTFDKVFGPSCLNETVYEGVKNVALSALGGINATIFAYGQTSSGKTYTMRGITEKAVNDIYQHIMNTPERDFTIKISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTVVEKLVEETASNDQHLRHLISICEAQRQVGETALNDSSSRSHQIIRLTIESTLRENSDCVRSFVASLNFVDLAGSERASQTNADGARLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHFEQSRNTLFFATRAKEVTNNAQVNMVVSNKQLVKHLQKEVARLEAELRTPDPSREKDLKIQQMEIEIEELKRQRDLAQSQVDELRRKLQEDQQILNPIESPSPSVKKCLSYSDVLSPKLDGKDLGCHDKTRKTMLLRQSMRQSSTAPFALMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQNAAETIAKLQAEIREMRSVRSDPKEVEVGAAIAPNKSVSANLKEEITRLHSQGSTIADLEEQLENVQKSIDKLVMSLPSNQESNCEATPKTKNQSKKKKLLPLTSSHVTNRPNFIKSPCAPLSTSRQVVEPENEENRPPEDDDNVSKDTIIEFEKETPVKSEDCGDVTSKEGTPGYRRSSSVNMKKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYNLEDNESEIEHEEPQVAWHVTFKEQRRQIIELWDVCYVSIIHRTQFYLLFKGDPADQIYMEVELRRLNWLQQHFAELGNASPAITGDEPTVSLSSSIRALKREREFLAKRLTTRLTFDERDALYIKWNVPLDGKHRRLQFINKLWTDPHDPKHIEESAQIVAKLVGFCESGNLSKEMFELNFALPADKKPWMVGWNQISNLLNL >KJB49722 pep chromosome:Graimondii2_0_v6:8:40830418:40834229:1 gene:B456_008G151500 transcript:KJB49722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLKVRAVHPDNGEKTDISRVFLVEQVKGMLDKIQQNLYDVAKQKRDACIEVVKTWDEFVKALGQKKLVLAPWCDEEEVEKDVKARTRGEMGAAKSLFVPHSNSLNSQKVRHRLRKDHGIEGGIPVVFSLEKPKAQLLPFRGPIGEEDNPSDYQWGNSSNILKQNLK >KJB49724 pep chromosome:Graimondii2_0_v6:8:40830418:40834229:1 gene:B456_008G151500 transcript:KJB49724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLKVRAVHPDNGEKTDISRVFLVEQVKGMLDKIQQNLYDVAKQKRDACIEVVKTWDEFVKALGQKKLVLAPWCDEEEVEKDVKARTRGEMGAAKSLFVPHSNSLNSQKVRHRLRKDHGIEGGIPVVFSLEKPKAQLLPFRGPIGEEDNPSDYQVRMLASYFCSFKVLCLIT >KJB49723 pep chromosome:Graimondii2_0_v6:8:40830418:40834229:1 gene:B456_008G151500 transcript:KJB49723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLKVRAVHPDNGEKTDISRVFLVEQVKGMLDKIQQNLYDVAKQKRDACIEVVKTWDEFVKALGQKKLVLAPWCDEEEVEKDVKARTRGEMGAAKSLFVPHSNSLNSQKVRHRLRKDHGIEGGIPVVFSLEKPKAQLLPFRGPIGEEDNPSDYQWGNSSNILKQNLK >KJB48809 pep chromosome:Graimondii2_0_v6:8:19990660:19992419:1 gene:B456_008G088100 transcript:KJB48809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQVVSPPHVDASRPSLGFPLGTALLLIIIFSLSGIFSCCYHWDKLRSLRRSSAGHTDPHPDIEASPSKPMPDFLDLKKNQSQSLPVLMPGDEIPKFIALPCPCQPPRQDKVEVKMEKPPKPARCPVPFGLAI >KJB49542 pep chromosome:Graimondii2_0_v6:8:40128634:40130347:1 gene:B456_008G147700 transcript:KJB49542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSKSNPPKPRKRVDAASAPAPAPASSLVRAKDGSAFAKCDECNKTVPVALINMHSCSLEAKIKMNLESQVIEKPAEAKKKPAERKKPPTTTESNPKKPKKLKKGKDPNAPKRPPTAFFLFMDDFRKSYKEANPDAKGVTGVAKEGGEKWKSMSEEVSLLRG >KJB49541 pep chromosome:Graimondii2_0_v6:8:40128523:40130530:1 gene:B456_008G147700 transcript:KJB49541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSKSNPPKPRKRVDAASAPAPAPASSLVRAKDGSAFAKCDECNKTVPVALINMHSCSLEAKIKMNLESQVIEKPAEAKKKPAERKKPPTTTESNPKKPKKLKKGKDPNAPKRPPTAFFLFMDDFRKSYKEANPDAKGVTGVAKEGGEKWKSMSEEEKKCYVDKAAELKAEYEKAMEEAKNADHQNEEEEGGSDKETPAAEKATEEEVLDDY >KJB47658 pep chromosome:Graimondii2_0_v6:8:4235361:4236450:1 gene:B456_008G035300 transcript:KJB47658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPNLFLYINPITAFFSCHLQFQSFSYQSKFSSLKQQPTTMAMAFKMATTGMWVTDECKNSFMEMKWKKVHRYIVFKIDEKSRLVTVDKVGGPGESYDDLTASLPIDDCRYAVFDFDFVTVDNCRKSKLFFIAWSPAASRIRAKMLYATSKDGLRRVLDGISYEVQATDPTEMGIDVIKHKAN >KJB47659 pep chromosome:Graimondii2_0_v6:8:4235516:4236449:1 gene:B456_008G035300 transcript:KJB47659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFKMATTGMWVTDECKNSFMEMKWKKVHRYIVFKIDEKSRLVTVDKVGGPGESYDDLTASLPIDDCRYAVFDFDFVTVDNCRKSKLFFIAWFVVFPTCLVPYIILFNLIYI >KJB47753 pep chromosome:Graimondii2_0_v6:8:5210071:5210334:1 gene:B456_008G040300 transcript:KJB47753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIRAKDEYVSVFVNNLPEGMQWRWLKQLFEYHGKVIDVFILNKRNIRGKRFGFVRYANINDANKAINRMGILASKVAYRCQFGKI >KJB47115 pep chromosome:Graimondii2_0_v6:8:1322581:1329393:1 gene:B456_008G011700 transcript:KJB47115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSGSLSRSSNSPSVRVSSPPPPPSQQSVRRLSLCSQIATHSSPIVFPEKRTKKLKAFSKRSEAPVTDDQTDKSKGEEHRIDIGGDEKSDLLGYVVYTGKLILDKRKNTPNIANLADVEQNSSTDIAKQESVAAKLTSKALVWGSHVLPLDDVISVSYNVGVRHFTVHSYPLKKGSYGLSCFIKPKRSRKDFRFLASSVEEAVQWVGGFADQQCFINCLPHPLVSSKKQASSELFPIDAPPELVFRCRNPPKMLVILNPRSGRGRSSKVFHGIVEPIFKLAGFKLEVVKTTSAGHAKKLASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAISIVKGGLTATDVFAVEWIQTGIIHFGMTVSYYGFVSDVLKLSEKYQKRFGPLRYFVAGFFKFLCLPTYNYEVEYLPAEKEDREGKTSADREVVDMSGLYTDVIRRSCIDGIPRASSLSSIDSIMTPSRMSGGEMDTSSNMHASTEPSEYVRGLDPKTKRLSSSRSNVTAEPEVIHPQIPLSSTLNWPRTRSKSRTDKGWSGLTAAHDPSRCSWGNAATNDREDISSTLSDPGPIWDAEPKWDTEANWDVENPIELPGPSDDVDSGINKEVVPRLEDKWVLTKGPFLGIIVCNHACRTVQSSQVVAPRAEHDDNTMDMLLVHGSGRLRLMRFFLLLQMGKHLSLPYVEYVKVKTVKIKAGKHTHNGCGIDGEFFPLNGQVASSLLPEQCRLIGRFPDRHV >KJB47113 pep chromosome:Graimondii2_0_v6:8:1322352:1329393:1 gene:B456_008G011700 transcript:KJB47113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSGSLSRSSNSPSVRVSSPPPPPSQQSVRRLSLCSQIATHSSPIVFPEKRTKKLKAFSKRSEAPVTDDQTDKSKGEEHRIDIGGDEKSDLLGYVVYTGKLILDKRKNTPNIANLADVEQNSSTDIAKQESVAAKLTSKALVWGSHVLPLDDVISVSYNVGVRHFTVHSYPLKKGSYGLSCFIKPKRSRKDFRFLASSVEEAVQWVGGFADQQCFINCLPHPLVSSKKQASSELFPIDAPPELVFRCRNPPKMLVILNPRSGRGRSSKVFHGIVEPIFKLAGFKLEVVKTTSAGHAKKLASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAISIVKGGLTATDVFAVEWIQTGIIHFGMTVSYYGFVSDVLKLSEKYQKRFGPLRYFVAGFFKFLCLPTYNYEVEYLPAEKEDREGKTSADREVVDMSGLYTDVIRRSCIDGIPRASSLSSIDSIMTPSRMSGGEMDTSSNMHASTEPSEYVRGLDPKTKRLSSSRSNVTAEPEVIHPQIPLSSTLNWPRTRSKSRTDKGWSGLTAAHDPSRCSWGNAATNDREDISSTLSDPGPIWDAEPKWDTEANWDVENPIELPGPSDDVDSGINKEVVPRLEDKWVLTKGPFLGIIVCNHACRTVQSSQVVAPRAEHDDNTMDMLLVHGSGRLRLMRFFLLLQMGKHLSLPYVEYVKVKTVKIKAGKHTHNGCGIDGEFFPLNGQVASSLLPEQCRLIGRFPDRHV >KJB47111 pep chromosome:Graimondii2_0_v6:8:1322297:1329393:1 gene:B456_008G011700 transcript:KJB47111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSGSLSRSSNSPSVRVSSPPPPPSQQSVRRLSLCSQIATHSSPIVFPEKRTKKLKAFSKRSEAPVTDDQTDKSKGEEHRIDIGGDEKSDLLGYVVYTGKLILDKRKNTPNIANLADVEQNSSTDIAKQESVAAKLTSKALVWGSHVLPLDDVISVSYNVGVRHFTVHSYPLKKGSYGLSCFIKPKRSRKDFRFLASSVEEAVQWVGGFADQQCFINCLPHPLVSSKKQASSELFPIDAPPELVFRCRNPPKMLVILNPRSGRGRSSKVFHGIVEPIFKLAGFKLEVVKTTSAGHAKKLASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAISIVKGGLTATDVFAVEWIQTGIIHFGMTVSYYGFVSDVLKLSEKYQKRFGPLRYFVAGFFKFLCLPTYNYEVEYLPAEKEDREGKTSADREVVDMSGLYTDVIRRSCIDGIPRASSLSSIDSIMTPSRMSGGEMDTSSNMHASTEPSEYVRGLDPKTKRLSSSRSNVTAEPEVIHPQIPLSSTLNWPRTRSKSRTDKGWSGLTAAHDPSRCSWGNAATNDREDISSTLSDPGPIWDAEPKWDTEANWDVENPIELPGPSDDVDSGINKEVVPRLEDKWVLTKGPFLGIIVCNHACRTVQSSQVVAPRAEHDDNTMDMLLVHGSGRLRLMRFFLLLQMGKHLSLPYVEYVKVKTVKIKAGKHTHNGCGIDGEFFPLNGQVASSLLPEQCRLIGRFPDRHV >KJB47114 pep chromosome:Graimondii2_0_v6:8:1322262:1329308:1 gene:B456_008G011700 transcript:KJB47114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSGSLSRSSNSPSVRVSSPPPPPSQQSVRRLSLCSQIATHSSPIVFPEKRTKKLKAFSKRSEAPVTDDQTDKSKGEEHRIDIGGDEKSDLLGYVVYTGKLILDKRKNTPNIANLADVEQNSSTDIAKQESVAAKLTSKALVWGSHVLPLDDVISVSYNVGVRHFTVHSYPLKKGSYGLSCFIKPKRSRKDFRFLASSVEEAVQWVGGFADQQCFINCLPHPLVSSKKQASSELFPIDAPPELVFRCRNPPKMLVILNPRSGRGRSSKVFHGIVEPIFKLAGFKLEVVKTTSAGHAKKLASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAISIVKGGLTATDVFAVEWIQTGIIHFGMTVSYYGFVSDVLKLSEKYQKRFGPLRYFVAGFFKFLCLPTYNYEVEYLPAEKEDREGKTSADREVVDMSGLYTDVIRRSCIDGIPRASSLSSIDSIMTPSRMSGGEMDTSSNMHASTEPSEYVRGLDPKTKRLSSSRSNVTAEPEVIHPQIPLSSTLNWPRTRSKSRTDKGWSGLTAAHDPSRCSWGNAATNDREDISSTLSDPGPIWDAEPKWDTEANWDVENPIELPGPSDDVDSGINKEVVPRLEDKWVLTKGPFLGIIVCNHACRTVQSSQVVAPRAEHDDNTMDMLLVHGSGRLRLMRFFLLLQMGKHLSLPYVEYVKVKTVKIKAGKHTHNGCGIDGEFFPLNGQVASSLLPEQCRLIGRFPDRHV >KJB47112 pep chromosome:Graimondii2_0_v6:8:1322300:1329393:1 gene:B456_008G011700 transcript:KJB47112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSGSLSRSSNSPSVRVSSPPPPPSQQSVRRLSLCSQIATHSSPIVFPEKRTKKLKAFSKRSEAPVTDDQTDKSKGEEHRIDIGGDEKSDLLGYVVYTGKLILDKRKNTPNIANLADVEQNSSTDIAKQESVAAKLTSKALVWGSHVLPLDDVISVSYNVGVRHFTVHSYPLKKGSYGLSCFIKPKRSRKDFRFLASSVEEAVQWVGGFADQQCFINCLPHPLVSSKKQASSELFPIDAPPELVFRCRNPPKMLVILNPRSGRGRSSKVFHGIVEPIFKLAGFKLEVVKTTSAGHAKKLASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAISIVKGGLTATDVFAVEWIQTGIIHFGMTVSYYGFVSDVLKLSEKYQKRFGPLRYFVAGFFKFLCLPTYNYEVEYLPAEKEDREGKTSADREVVDMSGLYTDVIRRSCIDGIPRASSLSSIDSIMTPSRMSGGEMDTSSNMHASTEPSEYVRGLDPKTKRLSSSRSNVTAEPEVIHPQIPLSSTLNWPRTRSKSRTDKGWSGLTAAHDPSRCSWGNAATNDREDISSTLSDPGPIWDAEPKWDTEANWDVENPIELPGPSDDVDSGINKEVVPRLEDKWVLTKGPFLGIIVCNHACRTVQSSQVVAPRAEHDDNTMDMLLVHGSGRLRLMRFFLLLQMGKHLSLPYVEYVKVKTVKIKAGKHTHNGCGIDGEFFPLNGQVASSLLPEQCRLIGRFPDRHV >KJB49050 pep chromosome:Graimondii2_0_v6:8:28709251:28711359:1 gene:B456_008G099500 transcript:KJB49050 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein MAX2 [Source:Projected from Arabidopsis thaliana (AT2G42620) UniProtKB/Swiss-Prot;Acc:Q9SIM9] MATINDIPDVILSNIFASISDTRTRNSLSLVSRKFMLLDRATRVSLTLRGNARDLFMIPTCFRSVTDLDLSFLSPWGHSLLSSPLSDTDPQLLAHRLRVAFPAVTSLTVYARSPLTIKILVQQWPGLKRVKLVRWHQRLASWPIGEDFVCLLEQCENLNWLDLSTFYYWTEDLPPVLQACPKVSASLVHLNLLTTSFAEGFKSQEIKEITTACPNLKNFLVACNFDPRYIGFVGDETLLAVANNCPKLTLLHLADTSSLTDVRGDPDNEGFTSEDAMVTKGTLVEFFSGLPLLQDLVLDVGRNVRDTSMALEMLNSKCKDLRVLKLGQFHGICLANESQLDGIALCSGLEELSIKNCGDLTDMGLIAIGRGCSKLSRFEVQGCKRITEKGLRTMACLLRNTLVKVKISCCKNLDAAASLRSVEPIRDRIQWLHIDCVWNGWEEIGNSEQVGLSFWHNQVEEPSSLFSLMGSEYDHEMRRKKCKYSSDVSDGYILENNGFWCKKWDRLQYLSLWIGVGELLTPLPAAGLLNCPNLEEIRIKVEGDCRGRSKPSERAFGLSSLALHPRLSKMQLDCSETIGYALTAPSGQMDLSLWERFFLNGIGELRLSELDYWPPQDRDVNHRSLSLPAAGLLAECLELRKLFIHGTAHEHFMMFLLKIPNLRDVQLREDYYPAPENDMSTEMRAGSCSRFEAALNRRHILD >KJB51911 pep chromosome:Graimondii2_0_v6:8:52675278:52677461:1 gene:B456_008G240500 transcript:KJB51911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNATKGLFVSCDIPMAQFIVNLNASLPAAHKFIIHVLDSTHFFVQPDVAGMIRSAISEFRDQNSYEKPT >KJB51912 pep chromosome:Graimondii2_0_v6:8:52675395:52677444:1 gene:B456_008G240500 transcript:KJB51912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNATKGLFVSCDIPMAQFIVNLNASLPAAHKFIIHVLDSTHFFVQPDVAGMIRSAISEFRDQNSYEKPT >KJB51680 pep chromosome:Graimondii2_0_v6:8:51439903:51444590:1 gene:B456_008G228200 transcript:KJB51680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTIISPCNSSSSSLSSSSSSLFAGSSLSHKTVGYNQSRSRTCASNSFKCNLPQPVDIGNGKPTVPIVNERTLPKFLESARMETSVNRNGAKLKVFSGTANPALSQEIAWYMGLELGKINIKRFADGEIYVQLQESVRGCDVYLVQSTCPPANENLMELLIMVDACRRASAKNITVVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVHCQSVILDYLASKTISSDDMVVVSPDVGGVARARSFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACCSHAVFSPPAIDRLSSGLFQEVIITNTLPVAEKNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >KJB51685 pep chromosome:Graimondii2_0_v6:8:51441170:51444648:1 gene:B456_008G228200 transcript:KJB51685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPACMTFRQFLLQRWICTAITRFCKFFLELLYLVKLHVFFMLLSIRSTLRLLTYAIMLSYFLQLAFACYVILLQEIAWYMGLELGKINIKRFADGEIYVQLQESVRGCDVYLVQSTCPPANENLMELLIMVDACRRASAKNITVVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVHCQSVILDYLASKTISSDDMVVVSPDVGGVARARSFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACCSHAVFSPPAIDRLSSGLFQEVIITNTLPVAEKNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >KJB51683 pep chromosome:Graimondii2_0_v6:8:51440006:51444357:1 gene:B456_008G228200 transcript:KJB51683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTIISPCNSSSSSLSSSSSSLFAGSSLSHKTVGYNQSRSRTCASNSFKCNLPQPVDIGNGKPTVPIVNERTLPKFLESARMETSVNRNGAKLKVFSGTANPALSQEIAWYMGLELGKINIKRFADGEIYVQLQESVRGCDVYLVQSTCPPANENLMELLIMVDACRRASAKNITVVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVHCQSVILDYLASKTISSDDMVVVSPDVGGVARARSFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGE >KJB51682 pep chromosome:Graimondii2_0_v6:8:51440006:51443518:1 gene:B456_008G228200 transcript:KJB51682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTIISPCNSSSSSLSSSSSSLFAGSSLSHKTVGYNQSRSRTCASNSFKCNLPQPVDIGNGKPTVPIVNERTLPKFLESARMETSVNRNGAKLKVFSGTANPALSQEIAWYMGLELGKINIKRFADGEIYVQLQESVRGCDVYLVQSTCPPANENLMELLIMVDACRRASAKNITVVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVHCQSVILDYLASKTISSDDMVVVSPDVGGVARARSFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGE >KJB51684 pep chromosome:Graimondii2_0_v6:8:51440006:51444357:1 gene:B456_008G228200 transcript:KJB51684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTIISPCNSSSSSLSSSSSSLFAGSSLSHKTVGYNQSRSRTCASNSFKCNLPQPVDIGNGKPTVPIVNERTLPKFLESARMETSVNRNGAKLKVFSGTANPALSQEIAWYMGLELGKINIKRFADGEIYVQLQESVRGCDVYLVQSTCPPANENLMELLIMVDACRRASAKNITVVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVHCQSVILDYLASKTISSDDMVVVSPDVGGVARARSFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGE >KJB51681 pep chromosome:Graimondii2_0_v6:8:51439926:51444590:1 gene:B456_008G228200 transcript:KJB51681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPACMTFRQFLLQRWICTAITRFCKFFLELLYLVKLHVFFMLLSIRSTLRLLTYAIMLSYFLQLAFACYVILLQEIAWYMGLELGKINIKRFADGEIYVQLQESVRGCDVYLVQSTCPPANENLMELLIMVDACRRASAKNITVVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVHCQSVILDYLASKTISSDDMVVVSPDVGGVARARSFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACCSHAVFSPPAIDRLSSGLFQEVIITNTLPVAEKNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >KJB51471 pep chromosome:Graimondii2_0_v6:8:50431098:50433253:1 gene:B456_008G217900 transcript:KJB51471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNNKPKKPHLISFHSNVFATLLFILAFTVPLLFLILHTSTSYLCKPPFASNKVKSWSGDLRNALFAWNRLSFPHIQSPPVTFKIAVFSRKWPIGTTPGGMERHAYTLHTALARRGHRVHVFTSPVVNNNGHPPDVLTTSSNDSPRIHCHEGEAGKWQYKKAWELFNDENQRQPFDVIHSESVALPHSLARNLKNLAVSWHGIALESLQSSIYQDLTRKPNEPMSPAFNASLYGVVPKVLNEIRFFHNYAHHVAISDSCGEMLRDVYQIPRKRVHVILNGVDENGFGNDLSLGLDFRSKIGVPKNASLVLGVAGRLVKDKGHPLLYEAFSRLITKHPDVYLLVAGSGPWEQRYKDLGARVQVLGSMSPSQLKAFYNAIDIFVNPTLRPQGLDLTLMEAMMSGKAVIASRFPSIKGSIVVNDELGFMFSPNVDSLVEALEEVVREGPKRVAQRGKASREYAASMFTASKMALAYERLFLCIKNDTFCVYP >KJB51368 pep chromosome:Graimondii2_0_v6:8:50065531:50070183:1 gene:B456_008G213800 transcript:KJB51368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDLVRSIHTSYARRMIYYCYTKLMLKIKLFKLLERLICFDEYEAYRKNQRRRCLRFHRETVVDKYDYGSKSIISNKEQQFISSFNLAQAHAEAEAEAQAQAQAQAQAQAQAQALSRYNNALDEAIYGSDEFRMYAYKIKRCTRMRSHDWTECPYAHRGEKAQRRDPRKIPYTAIACPAFRNGKCQKGDACEFAHGVFEYWLHPVKYRTRACNAGMFCQRKVCFFAHTPDQLRSDSKFSGPFAHKGRMVMKRGGDHQLMMMMGSPSGSVEGSTSMQAQYVEPSPPPPHQLEGVSTLLKSLRALKMREDEERELNNKKNKNKSIKYEDEDEDGDGDGDGVEMADMDLPHLDWITELVK >KJB50443 pep chromosome:Graimondii2_0_v6:8:44610615:44617366:1 gene:B456_008G171100 transcript:KJB50443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQHDISFQSAAIDSSSEMIPMGGYFAPPPMNFSGNSSIFTTSPALIEPGNSSGSSLIDSVAGFTHDTGLAVEWSVDEQYVLKEGLEKYKKEPNIMKYIKIAATLPDKTVRDVALRSRWMQRKRRKPEELNAGKRVNNRKDKLVESSSKMNMPSDLPQNTAPYPLTMHPLDQNGRIPSEGIFGTTMHLLKQNSQVLSQITSNLSAYRLQDNVDLFCHAKNNITAMLKDMRDMPGLMSHMLPLPVSVSEDLANSMLCSATQATILGINLKQEPRC >KJB50441 pep chromosome:Graimondii2_0_v6:8:44610300:44616281:1 gene:B456_008G171100 transcript:KJB50441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQHDISFQSAAIDSSSEMIPMGGYFAPPPMNFSGNSSIFTTSPALIEPGNSSGSSLIDSVAGFTHDTGLAVEWSVDEQYVLKEGLEKYKKEPNIMKYIKIAATLPDKTVRDVALRSRWMQRKRRKPEELNAGKRVNNRKDKLVESSSKMNMPSDLPQNTAPYPLTMHPLDQNGRIPSEGIFGTTMHLLKQNSQVLSQITSNLSAYRLQDNVDLFCHAKNNITAMLKDMRDMPGLMSHMLPLPVSVSEDLANSMLCSATQATILGINLKQEPRC >KJB50445 pep chromosome:Graimondii2_0_v6:8:44610962:44617366:1 gene:B456_008G171100 transcript:KJB50445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQHDISFQSAAIDSSSEMIPMGGYFAPPPMNFSGNSRFTHDTGLAVEWSVDEQYVLKEGLEKYKKEPNIMKYIKIAATLPDKTVRDVALRSRWMQRKRRKPEELNAGKRVNNRKDKLVESSSKMNMPSDLPQNTAPYPLTMHPLDQNGRIPSEGIFGTTMHLLKQNSQVLSQITSNLSAYRLQDNVDLFCHAKNNITAMLKDMRDMPGLMSHMLPLPVSVSEDLANSMLCSATQATILGINLKQEPRC >KJB50442 pep chromosome:Graimondii2_0_v6:8:44610154:44616268:1 gene:B456_008G171100 transcript:KJB50442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQHDISFQSAAIDSSSEMIPMGGYFAPPPMNFSGNSSIFTTSPALIEPGNSSGSSLIDSVAGFTHDTGLAVEWSVDEQYVLKEGLEKYKKEPNIMKYIKIAATLPDKTVRDVALRSRWMQRKRRKPEELNAGKRVNNRKDKLVESSSKMNMPSDLPQNTAPYPLTMHPLDQNGRIPSEGIFGTTMHLLKQNSQVLSQITSNLSAYRLQDNVDLFCHAKNNITAMLKDMRDMPGLMSHMLPLPVSVSEDLANSMLCSATQATILGINLKQEPRC >KJB50444 pep chromosome:Graimondii2_0_v6:8:44610300:44617366:1 gene:B456_008G171100 transcript:KJB50444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQHDISFQSAAIDSSSEMIPMGGYFAPPPMNFSGNSSIFTTSPALIEPGNSSGSSLIDSVAGFTHDTGLAVEWSVDEQYVLKEGLEKYKKEPNIMKYIKIAATLPDKTVRDVALRSRWMQRKRRKPEELNAGKRVNNRKDKLVESSSKMNMPSDLPQNTAPYPLTMHPLDQNGRIPSEGIFGTTMHLLKQNSQVLSQITSNLSAYRLQDNVDLFCHAKNNITAMLKDMRDMPGLMSHMLPLPVSVSEDLANSMLCSATQATILGINLKQEPRC >KJB48060 pep chromosome:Graimondii2_0_v6:8:7333616:7336675:1 gene:B456_008G052100 transcript:KJB48060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKERPGGEKQKRMVVGVVCNFAAELKLLLTALLLLCTLVTLLQFVPSRFTISASDLRFCISPIAASPSPSLSDTQSDDLLSSGILRRAFHPYGAAAYNFITMGTYRGGPNTFAIVGLASKPLHLYSRPAYQCQWLPSNTKQNNTNITSSLAYKILPDWGYGRVYTVVVVNCTFSHPVNLDNSGGTLLLHASTSGGGDSKFNLTDTIPALTEPPGTFNLSLFTSKPKYDYLYCGSSLYGTLSPQRVREWIAYHVRLFGERSHFVIHDAGGVHEEVLEVLKPWMELGYVSLQDIREQERFDGYYHNQFLVVNDCLHRYKFMAKWIFFFDVDEYIFVPPKTTLGSVLDSLSDYSQITIEQMPMSNKLCHSVDAAKRHRKWGFEKLVYRDVKRGIRRDRKYAIQPRNVYATGVHMSQNLGAGAKTTHKTEGRIKYFHYHGTIAQRREPCRNLVNSTDINFENNPFVLDTTLRDLAGSVKKFELKMIGSRLYNTRQ >KJB47833 pep chromosome:Graimondii2_0_v6:8:5940939:5942157:1 gene:B456_008G044200 transcript:KJB47833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDDVEIEDMQWNEELQAYTYPCPCGDLFQITKEELKIGEEIARCPSCSLYITVIYNAEDFADKKSNNNLEPPKRQAVAVA >KJB47832 pep chromosome:Graimondii2_0_v6:8:5940885:5942461:1 gene:B456_008G044200 transcript:KJB47832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDDVEIEDMQWNEELQAYTYPCPCGDLFQITKEELKIGEEIARCPSCSLYITVIYNAEDFADKKSNNNLEPPKRQAVAVA >KJB48663 pep chromosome:Graimondii2_0_v6:8:15569425:15572020:-1 gene:B456_008G080300 transcript:KJB48663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFLEFLFTISFSFVISFLLAKLLSFSSIIDQHLEIVSRLYAEVKTESVKHEVEEVDALCESVEEIGTHEESSNGSEELIRETEGTVEGISSPEENFVSETTEIELAKEDEEGIEDLMCDKENGGGCLLEGSSSSSSSDDDWEGIERTELEKDFGAAVSFLVQKSNADQDLKLGNDLKMQLYGLHKIATQGPCHEPQPMPFKLSARAKWNAWKKLGNMSLEAAMELYITLVSRSIPGWMQPDVCGDGKQDYVDAKASSKPPLDVKTIAVNQAIDVDYSYVI >KJB48664 pep chromosome:Graimondii2_0_v6:8:15570424:15571910:-1 gene:B456_008G080300 transcript:KJB48664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFLEFLFTISFSFVISFLLAKLLSFSSIIDQHLEIVSRLYAEVKTESVKHEVEEVDALCESVEEIGTHEESSNGSEELIRETEGTVEGISSPEENFVSETTEIELAKEDEEGIEDLMCDKENGGGCLLEGSSSSSSSDDDWEGIERTELEKDFGAAVSFLVQKSNADQDLKLGNDLKMQLYGLHKIATQGPCHEPQPMPFKLSARAKWNAWKKLGNMSLEAAMELYITLVSRSIPGWMQPDVCGDGKQDYVDAKASSKPPLDVKTIAVNQAIDVDYRTSNELMTNIENIDWMKPAVYTP >KJB51034 pep chromosome:Graimondii2_0_v6:8:48283634:48287271:-1 gene:B456_008G198300 transcript:KJB51034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRKNVLQFYFCKTFFGKSSSPLTIFLLLPAKTKSDSSKETMAEPYIEQVEYLDVLTKTGKKTGVSKPRGDVHRDGDYHKAVHVWIFAESTQELLLQKRADCKDSWPGLWDISSAGHISAGDSSLITAQRELQEELGVILPKDAFELIFVFLEECVTNNGKFINNEYSDVYLVTTLEPIPREAFTLQ >KJB49106 pep chromosome:Graimondii2_0_v6:8:30173479:30176140:1 gene:B456_008G101300 transcript:KJB49106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRIVAIVRASPRLSSSLTSINFLTPNHSRRYLCRASPTHDEEAAAKAAAINSDSGAPTIFDKIIAKEIPSTIVYEDDKVLAFKDISPQAPVHVLVIPKFRDGLTQLGKAEQRHGEIVGQLLLLDAPLLKLSYPSSLASSPVVPSFRLTKLLCMYYSDVFMVYKNVFTVSELINTS >KJB49105 pep chromosome:Graimondii2_0_v6:8:30173479:30176140:1 gene:B456_008G101300 transcript:KJB49105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRIVAIVRASPRLSSSLTSINFLTPNHSRRYLCRASPTHDEEAAAKAAAINSDSGAPTIFDKIIAKEIPSTIVYEDDKVLAFKDISPQAPVHVLVIPKFRDGLTQLGKAEQRHGEIVGQLLLLDAPLLKLSYPSSLASSPVVPSFRLTKLLCMYYSDVFMVYKNVFTVSELINTS >KJB49197 pep chromosome:Graimondii2_0_v6:8:33313649:33315435:-1 gene:B456_008G105900 transcript:KJB49197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKSKLWVNCIPRPRKAQLARSPHLIPLLQIYHHTITYYYIISLVRISPSNMSLTSCFLVFLLCLSLHACTARRLGAVHIQNNMPQNKLHTSTKVEVDGVKFKTGEKEKDRTGPGAIQTNNKHGVSVSWKVPHRKHGEKLSGFINLDYSPPKTHPPSHN >KJB51366 pep chromosome:Graimondii2_0_v6:8:50044525:50045298:-1 gene:B456_008G213600 transcript:KJB51366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGTGRKCSHCGHNGHNSRTCSNGKGGYVKLFGVNIAAMAQTQECLMQRSFSMGNLPFHAENHNNGGGVDDGYFSEGHTHSENHNAAAHDIKRAKPWTEEEHRMFLEGLRKLGKGDWRGISKNYVTSRTPVQVASHAQKYFLRLQAAGNNRKKRRPSLFDMSFQESKSESVLPLNPILTTCLYVQFNLFMLMTR >KJB50928 pep chromosome:Graimondii2_0_v6:8:48113652:48118077:-1 gene:B456_008G196500 transcript:KJB50928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCSLTLILISIFAFSISIANSKTLKRDVKALNEIKASLGWRVVYAWIGDDPCGDGDLPPWSGVTCSTQGDYRVVTELEVYAVSIVGPFPIAVTNLLDLTRLNLRWNKLQDVLPPEIGELKRLTHLSLSFNNFKGEIPRELANLPELRYLYLQENRFTGRVPAELGTLQNLRHLDVGNNHLVGTIRELIRIEGGFPVLRNLYFNNNYLTGGIPAQLANLTNLEILYLSYNKMSGAIPTALAHIPKLTYLYLDHNQFSGRIPDAFYKHPFLKELYIEGNAFRPGVNPIGAHKVLELSDTDFLV >KJB50929 pep chromosome:Graimondii2_0_v6:8:48114147:48118013:-1 gene:B456_008G196500 transcript:KJB50929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCSLTLILISIFAFSISIANSKTLKRDVKALNEIKASLGWRVVYAWIGDDPCGDGDLPPWSGVTCSTQGDYRVVTELEVYAVSIVGPFPIAVTNLLDLTRLDLHNNKLTGPIPPQIGRLRRLRMLNLRWNKLQDVLPPEIGELKRLTHLSLSFNNFKGEIPRELANLPELRYLYLQENRFTGRVPAELGTLQNLRHLDVGNNHLVGTIRELIRIEGGFPVLRNLYFNNNYLTGGIPAQLANLTNLEILYLSYNKMSGAIPTALAHIPKLTYLYLDHNQFSGRIPDAFYKHPFLKEL >KJB50931 pep chromosome:Graimondii2_0_v6:8:48113652:48118167:-1 gene:B456_008G196500 transcript:KJB50931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCSLTLILISIFAFSISIANSKTLKRDVKALNEIKASLGWRVVYAWIGDDPCGDGDLPPWSGVTCSTQGDYRVVTELEVYAVSIVGPFPIAVTNLLDLTRLDLHNNKLTGPIPPQIGRLRRLRMLNLRWNKLQDVLPPEIGELKRLTHLSLSFNNFKGEIPRELANLPELRYLYLQENRFTGRVPAELGTLQNLRHLDVGNNHLVGTIRELIRIEGGFPVLRNLYFNNNYLTGGIPAQLANLTNLEILYLSYNKMSGAIPTALAHIPKLTYLYLDHNQFSGRIPDAFYKHPFLKELYIEGNAFRPGVNPIGAHKVLELSDTDFLV >KJB50930 pep chromosome:Graimondii2_0_v6:8:48113950:48118013:-1 gene:B456_008G196500 transcript:KJB50930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCSLTLILISIFAFSISIANSKTLKRDVKALNEIKASLGWRVVYAWIGDDPCGDGDLPPWSGVTCSTQGDYRVVTELEVYAVSIVGPFPIAVTNLLDLTRLDLHNNKLTGPIPPQIGRLRRLRINLRWNKLQDVLPPEIGELKRLTHLSLSFNNFKGEIPRELANLPELRYLYLQENRFTGRVPAELGTLQNLRHLDVGNNHLVGTIRELIRIEGGFPVLRNLYFNNNYLTGGIPAQLANLTNLEILYLSYNKMSGAIPTALAHIPKLTYLYLDHNQFSGRIPDAFYKHPFLKELYIEGNAFRPGVNPIGAHKVLELSDTDFLV >KJB51580 pep chromosome:Graimondii2_0_v6:8:51049144:51051068:-1 gene:B456_008G224000 transcript:KJB51580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSSSKENAQALFHSLRSAYAATPVNLKIIDLYVGFAVFTALIQVVYMASVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >KJB48884 pep chromosome:Graimondii2_0_v6:8:22447563:22448868:-1 gene:B456_008G091800 transcript:KJB48884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSGTIVLFNRKMLRNFQKDDYNWKKKKDGKTIKEAHEHLKIHVYYALGEDNSTFVRRCYWLLDKSLEQIVLVHYRETKEVSLATHSNLSLLTYQSTPLLVTEEFDSGIANTYSEELGESVNVRNHEMKLLEINTLEWDELLVANGAIDSIASRGDNVSCFDQQNQMAVNDFSNYVSRTIS >KJB52582 pep chromosome:Graimondii2_0_v6:8:54726773:54736162:1 gene:B456_008G268700 transcript:KJB52582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESGTSQRKKRKRLIPVVEEVGKDGDQQIKAGDGNSGDQGDQSWLGVGGGGGGVESVLQWFGEDGVNGGGEGFKLWGVGNEGGFGLGMDGSGEGGAGFGDDGVFGGVGGSGGVAFGGMGLADGLMEGLFGGVCEPNKGFINVGGEVSQLFAGEAECSNVGGNGIQGFLDETLVAGLGNEGKQAKGKRGRPKGSKKNNNNNKKTIGGEHIIEGLSDNKVEMEDPLKPKRGRPKGAMNKKKKTIGGEQILEGLSDNKVEMEDPLKPKRGRPKGTMKKKKRKKKKTIGSEHCIVGLSDSRVEMENPLKPKRGRPKGSTKKKKKKTIGGEQSIEGLSDSKVEMEDPLKPKRGRPKGTMNKKKKKTIGGEQSIEVFSNSMVEMEDPLKAKPKRGRPKGSKNKQKKLASGVGADDKVGNEIVCVMEKQGLAMGTSGDNVQLNSRNGKPKVSKNEKEIIVEENQDMSGEIGGGEGADGIHKRDNVVQPKRKVGRGRPKGSKNKPKSSDEKLGLSSEQQTFPVDIVGGYFVDRGFGLVRTPLVREEDMVMPVEAVTCGDAIGKKIDGRRWNRGRPKGSKNKRKRPYFLMKKSCSIKSQAERKRFVGNTLKMNKGISSKHLRDNLGMRKKVLTAGVRGHLNKRRNVLTANFGNAQRKSRTRKKNSSSQSESSDSTLDYASQKRVKRAFKRGFMCHQCWKSDKSVVNCSSCNRKRYCVECLAKWYPEKTREDVEVSCPFCRGNCNCRLCLREKLAVMDEHEVADTNIKLQKLLYLLHEILPLLRHIQQEQHAELEVEASIHGVQLTEQDIMVSVIDDDDRVYCDNCNTSIVNFHRSCPDCLYDLCITCCHELRKGSQPGGNEAKSSHQLSAKRVNSRAMGSDDQIPAVAARNDWRAEEDGRIPCPPNGKGGCGRETLSLRRLFETNSVEQLIQSAEELTINFQLPDIEFSQGCSLCQTSCSAGNEAKNFEVRQAAHREKSHDNFVYCPNVMQLEDNSIQHFQTHWMRGEPVIVRNVLEKSSGLSWEPLVMWRAFIGAKKILKEEAKRVKAIDCLDWCEVEVNILRFFKGYMEGRWYKNGWPEMLKLKDWPATNSFEECLPRHAAEFIAMLPFKDYTHPNSGILNLATKLPAVLKPDLGPKTYIAYGSLKELGRGDSVTKLHCDISDAVNVLTHTTNVNLPWQSKIIDELQKAYDAENLHTRCCGQRRKVPQIFGRKRKKRRHKDERKNPEMMCNQEHNDNIVGKTHNSDEESSLNGIDLGSVRLDTNMIRESVTENLCSENELGGAVWDIFRREDVPKLIEYLQKHHKEFYHISNLPVNFVIHPIHDQTLYLTERHKRQLKEEFNVEPWTFEQHVGEAVFIPAGCPHQVRNRQSCIKVALDFVSPENVQECIRLTEEFRLLPKSHRAKEDKLEVKKMAVYAATLAVSEAKDLSTKLK >KJB52583 pep chromosome:Graimondii2_0_v6:8:54726773:54735602:1 gene:B456_008G268700 transcript:KJB52583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESGTSQRKKRKRLIPVVEEVGKDGDQQIKAGDGNSGDQGDQSWLGVGGGGGGVESVLQWFGEDGVNGGGEGFKLWGVGNEGGFGLGMDGSGEGGAGFGDDGVFGGVGGSGGVAFGGMGLADGLMEGLFGGVCEPNKGFINVGGEVSQLFAGEAECSNVGGNGIQGFLDETLVAGLGNEGKQAKGKRGRPKGSKKNNNNNKKTIGGEHIIEGLSDNKVEMEDPLKPKRGRPKGAMNKKKKTIGGEQILEGLSDNKVEMEDPLKPKRGRPKGTMKKKKRKKKKTIGSEHCIVGLSDSRVEMENPLKPKRGRPKGSTKKKKKKTIGGEQSIEGLSDSKVEMEDPLKPKRGRPKGTMNKKKKKTIGGEQSIEVFSNSMVEMEDPLKAKPKRGRPKGSKNKQKKLASGVGADDKVGNEIVCVMEKQGLAMGTSGDNVQLNSRNGKPKVSKNEKEIIVEENQDMSGEIGGGEGADGIHKRDNVVQPKRKVGRGRPKGSKNKPKSSDEKLGLSSEQQTFPVDIVGGYFVDRGFGLVRTPLVREEDMVMPVEAVTCGDAIGKKIDGRRWNRGRPKGSKNKRKRPYFLMKKSCSIKSQAERKRFVGNTLKMNKGISSKHLRDNLGMRKKVLTAGVRGHLNKRRNVLTANFGNAQRKSRTRKKNSSSQSESSDSTLDYASQKRVKRAFKRGFMCHQCWKSDKSVVNCSSCNRKRYCVECLAKWYPEKTREDVEVSCPFCRGNCNCRLCLREKLAVMDEHEVADTNIKLQKLLYLLHEILPLLRHIQQEQHAELEVEASIHGVQLTEQDIMVSVIDDDDRVYCDNCNTSIVNFHRSCPDCLYDLCITCCHELRKGSQPGGNEAKSSHQLSAKRVNSRAMGSDDQIPAVAARNDWRAEEDGRIPCPPNGKGGCGRETLSLRRLFETNSVEQLIQSAEELTINFQLPDIEFSQGCSLCQTSCSAGNEAKNFEVRQAAHREKSHDNFVYCPNVMQLEDNSIQHFQTHWMRGEPVIVRNVLEKSSGLSWEPLVMWRAFIGAKKILKEEAKRVKAIDCLDWCEVEVNILRFFKGYMEGRWYKNGWPEMLKLKDWPATNSFEECLPRHAAEFIAMLPFKDYTHPNSGILNLATKLPAVLKPDLGPKTYIAYGSLKELGRGDSVTKLHCDISDAVNVLTHTTNVNLPWQSKIIDELQKAYDAENLHTRCCGQRRKVPQIFGRKRKKRRHKDERKNPEMMCNQEHNDNIVGKTHNSDEESSLNGIDLGSVRLDTNMIRESVTENLCSENELGGAVWDIFRREDVPKLIEYLQKHHKEFYHISNLPVNFVIHPIHDQTLYLTERHKRQLKEEFNVEPWTFEQHVGEAVFIPAGCPHQVRNRQSCIKVALDFVSPENVQECIRLTEEFRLLPKSHRAKEDKLEVKKMAVYAATLAVSEAKDLSTKLK >KJB46995 pep chromosome:Graimondii2_0_v6:8:697000:698310:-1 gene:B456_008G005100 transcript:KJB46995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHCFLFTCLLFFFSSPSLAQQSFRPKALVIPVAKDASTLQYLTTISQRTPLMPIDLVVDLGGRHMWVDCDRDYVSSTYRPARCRSAQCSLAGADGCGDCFSAPRPGCNNNTCGVTPDNSVTHIATSGEVAQDVVSIQSTDGKNPGRVVSVPRFLFACAPSFLLQGLATGVVGMAGLGRTRIGLPSQFAAAFSFHRKFAVCLSSSTSANGVIFFGDGPYVFLPGVDASQSLTYTPLFINPVSTASAYPMGEASAEYFIGVKSIKVNENPISLNTTLLSINNEGVGGTKISTVDPYTVLESSIFKAVTEAFINEAAAMNISRVAGVAPFEVCFSSSNIASTRLGPTVPSIDLVLQNQNVFWRMFGSNSMVEVNRDVLCLGFVNGGSNPTTSIVIGGHQLENTLLQFDLATSRLGFSATLLGRQTTCANFNFTSNA >KJB51586 pep chromosome:Graimondii2_0_v6:8:51001584:51005811:-1 gene:B456_008G223500 transcript:KJB51586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYRDEEGEAIMDFDDFQSDPGSPEPPQDLLDDLEDWGQQERPQTPVYDTDKVGKPRKRLVKKGGSTGKESMVAPELLDEDEESNFGREGSESDAKKSKKKEKRHKEKFSGGVSEKGTVKKLGKSEEVNEMWEWVNPENDQEGARTMDDDDFIDDSGVDPADRYGSDNEARSPGAAPQAEEDDEDPEIKELFKMGKKRKKNEKSPAEIALLVESVMAELEVTAEEDAELNRQGKPAISKLKKLPLLTEVLSKKQLQPEFLDHGVLTLLKNWLEPLPDGSLPNINIRAAILQILSDFPIDLEQHDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKDLVDKWSRPIFNKSTRFEDMRNVDDDRVPLRRPPVKRPANRAAAMESRDGDFDLDISRDQKSRRSTSAQDASRSESSSRLHASRPDATPMDFVVRPQSKIDPDEIRARAKQVIQDQRRLKMNKKLQQLKAPKKKNLQATKLSVEGRGMLKYL >KJB51583 pep chromosome:Graimondii2_0_v6:8:51002691:51005671:-1 gene:B456_008G223500 transcript:KJB51583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYRDEEGEAIMDFDDFQSDPGSPEPPQDLLDDLEDWGQQERPQTPVYDTDKVGKPRKRLVKKGGSTGKESMVAPELLDEDEESNFGREGSESDAKKSKKKEKRHKEKFSGGVSEKGTVKKLGKSEEVNEMWEWVNPENDQEGARTMDDDDFIDDSGVDPADRYGSDNEARSPGAAPQAEEDDEDPEIKELFKMGKKRKKNEKSPAEIALLVESVMAELEVTAEEDAELNRQGKPAISKLKKLPLLTEVLSKKQLQPEFLDHGVLTLLKNWLEPLPDGSLPNINIRAAILQILSDFPIDLEQHDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKDLVDKWSRPIFNKSTRFEDMRNVDDDRVPLRRPPVKRPANRAAAMESRDGDFDLDISR >KJB51585 pep chromosome:Graimondii2_0_v6:8:51001584:51005805:-1 gene:B456_008G223500 transcript:KJB51585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYRDEEGEAIMDFDDFQSDPGSPEPPQDLLDDLEDWGQQERPQTPVYDTDKVGKPRKRLVKKGGSTGKESMVAPELLDEDEESNFGREGSESDAKKSKKKEKRHKEKFSGGVSEKGTVKKLGKSEEVNEMWEWVNPENDQEGARTMDDDDFIDDSGVDPADRYGSDNEARSPGAAPQAEEDDEDPEIKELFKMGKKRKKNEKSPAEIALLVESVMAELEVTAEEDAELNRQGKPAISKLKKLPLLTEVLSKKQLQPEFLDHGVLTLLKNWLEPLPDGSLPNINIRAAILQILSDFPIDLEQHDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKDLVDKWVIPIFNKSTRFEDMRNVDDDRVPLRRPPVKRPANRAAAMESRDGDFDLDISRDQKSRRSTSAQDASRSESSSRLHASRPDATPMDFVVRPQSKIDPDEIRARAKQVIQDQRRLKMNKKLQQLKAPKKKNLQATKLSVEGRGMLKYL >KJB51584 pep chromosome:Graimondii2_0_v6:8:51001584:51005805:-1 gene:B456_008G223500 transcript:KJB51584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYRDEEGEAIMDFDDFQSDPGSPEPPQDLLDDLEDWGQQERPQTPVYDTDKVGKPRKRLVKKGGSTGKESMVAPELLDEDEESNFGREGSESDAKKSKKKEKRHKEKFSGGVSEKGTVKKLGKSEEVNEMWEWVNPENDQEGARTMDDDDFIDDSGVDPADRYGSDNEARSPGAAPQAEEDDEDPEIKELFKMGKKRKKNEKSPAEIALLVESVMAELEVTAEEDAELNRQGKPAISKLKKLPLLTEFPIDLEQHDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKDLVDKWSRPIFNKSTRFEDMRNVDDDRVPLRRPPVKRPANRAAAMESRDGDFDLDISRDQKSRRSTSAQDASRSESSSRLHASRPDATPMDFVVRPQSKIDPDEIRARAKQVIQDQRRLKMNKKLQQLKAPKKKNLQATKLSVEGRGMLKYL >KJB50253 pep chromosome:Graimondii2_0_v6:8:43683983:43685088:1 gene:B456_008G166600 transcript:KJB50253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKSTRFNPPAIAVTIFLVIATFAYPINGLKSRELDESGDQGVKCTPSCTQTPPPPPPPCPPPPSPPALSPPPTPKKPPTHCCPLPPTPPSIIYTTGPPGSLYPIDQNFGGASRNFQVGCLALLSGFMLLLAF >KJB50312 pep chromosome:Graimondii2_0_v6:8:43063816:43065087:1 gene:B456_008G162900 transcript:KJB50312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKVQVISEEIVKPSSPTADRLRRYQLSFLDQLNASFYNALVYFYPKICDTEADKITVSNRLKHSISNALTYFYPLAGRITDDQLFVDCNDEGIPFLEVGVECQLSDVLNNPIPKELNKLLPFEFHGAKKVPLGIQFNVFDCGGIAVGVCISHKIGDALSFFSFVNMWAAIARGETNLIAPEFKSASLFPPRDLWGYTPGASLIKKGQTITKRFVFCATKVEEIRRKYAENTNQTRPTRVEALSAFIWERFLTAFGARSRPDTLCAIVHLVNLRARIEPPMPGSLFGNLSGLAMTIPSMDSNIVTQIRDSIKTVNKEYVMKLKNGYTHQEYLRDMAASYGKGEMVSLTFTSLCRFPMYEADFGWGKPIWAGSADRQIKNVTTFTDAINGDGIEAWVTLNEEEMAKFDCDEELVAYVNNPKSL >KJB51352 pep chromosome:Graimondii2_0_v6:8:50027386:50028784:1 gene:B456_008G213100 transcript:KJB51352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEEQEHEVYGGEIPDEEGEMDAEFDMTAGAEDYQGNDQGLQQDPSSSKDLEDMKKRLREIEEEAGALREMQAKVEKEMGAVQDSSSASASQAEKEEVDSRSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVDAVQNALLLNESELHGRQLKVYILIYV >KJB51353 pep chromosome:Graimondii2_0_v6:8:50027236:50029862:1 gene:B456_008G213100 transcript:KJB51353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEEQEHEVYGGEIPDEEGEMDAEFDMTAGAEDYQGNDQGLQQDPSSSKDLEDMKKRLREIEEEAGALREMQAKVEKEMGAVQDSSSASASQAEKEEVDSRSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVDAVQNALLLNESELHGRQLKVSAKRTNIPGMKQYRGRPSNPYFRSRRPFMPAFYPPYGYG >KJB51354 pep chromosome:Graimondii2_0_v6:8:50027236:50029908:1 gene:B456_008G213100 transcript:KJB51354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEEQEHEVYGGEIPDEEGEMDAEFDMTAGAEDYQGNDQGLQQDPSSSKDLEDMKKRLREIEEEAGALREMQAKVEKEMGAVQDSSSASASQAEKEEVDSRSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVDAVQNALLLNESELHGRQLKVSAKRTNIPGMKQYRGRPSNPYFRSRRPFMPAFYPPYGYGRVPRFRRPMRYRPY >KJB51351 pep chromosome:Graimondii2_0_v6:8:50027236:50029862:1 gene:B456_008G213100 transcript:KJB51351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEEQEHEVYGGEIPDEEGEMDAEFDMTAGAEDYQGNDQGLQQDPSSSKDLEDMKKRLREIEEEAGALREMQAKVEKEMGAVQDSSSASASQAEKEEVDSRSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVDAVQNALLLNESELHGRQLKVSAKRTNIPGMKQYRGRPSNPYFRSRRPFMPAFYPPYGYGFPGS >KJB50548 pep chromosome:Graimondii2_0_v6:8:45245574:45248256:1 gene:B456_008G176000 transcript:KJB50548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGKGREHISSYIKMRTWKRIFRGLLSRIRIWFRWPEQLVIFTFGLVFCKGLMIYVLNNLMTFLTDFWNLNLKEAAAIVNLQEGLRNMLQICVALCIDAYLGYRWMLILSSVLYSAGLVLLAFSVPEYFFNNGKECPLKDCFKTLRHTPFWEGLALLIVGGASQVIPLYSLSFEQTKVVKAPKDSGPTRVKVACCRFVVKLGGWRQFLQKVIRWLCRGFLIMGIITSVYGFLSFKNNWHQRYLASAIAIVIGLLWFLCGFPFYGRRRLQPSPLLTMMRTVIAALQKRHLNYQEHLGQLHRHDGEDQNQLLTDHLEMLNNAAVKESLADDNLPTGNWRLCSVKEVEQSKLLLDLIPMSATFIVYGMVKSLGNTFFLEQADSTSGDIPIIVLQVIKGVTRNAVKGGYKVVFAKRIQRMQRQYSDGVKIGIGMLTSIICCAVASSVESKRLEALRESGLLNDPKARAPISAAWLLLQFCSLGAMEGLAGSGIADFFGHYVPDSRRYGPVFTDTLAGFGILLNIGFIAMIDYYSRFRYKESWVGDSVNESRLDLIYRAYVILAILNCFLYAYVSSSYSYDNIIGKPEDEPEIPFLEVKQEEETVAYELQSIPVR >KJB52467 pep chromosome:Graimondii2_0_v6:8:54346705:54347968:1 gene:B456_008G263200 transcript:KJB52467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEKQYLDCVLVPMGILLMVAYHLWLLYRILKHPTKTVIGINAINRRFWVQAMMEDGSKGVLAVQSLRNNIMASTLLASTAIMLSSLIAILMTGGSSASDRSSWFVFGDKSDLVYSIKFFSILVCFLVAFLLNLQSIRYYSHASILINVPLNKMSHRHQHLTLEYVANTVNRGSYFWSLGLRAFYFSFPLFLWIFGPLPMFFSCTALVFMLYFLDVTFQFGWAIGAVDDKGHNEDEELGVVQLDRSPSNSYYQI >KJB49351 pep chromosome:Graimondii2_0_v6:8:34727731:34730087:1 gene:B456_008G114800 transcript:KJB49351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINNVAYIRIKEEETELEPEKQRKEEEEEEEEENSCSSEVEFPLQEEPLPQILTDEKRSNRLLKFIAIGIPSAILVIVAIKWVGPFVLKKVIVPALQWEAHAFSTTERILVIVTSLALFPTLCLPSTPSMWMAGMAYGYIKGLLIVMTGVSLGVSLPFFVGSMFHTKIQRLLGKYPKEASILRLAGEGNLLHQFRTVTLIRISPLPYIMFNYAAVATNVHYIPYMMGTWMGMLPEVFIALYSGILIRSFAEATQDKRSLTPRQIIYNVVGFCASVTATIFIGIRTKKRLDRLQEEELVQ >KJB52045 pep chromosome:Graimondii2_0_v6:8:52920523:52923784:-1 gene:B456_008G243900 transcript:KJB52045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGCSSSTLVSPRHRLRSEASAQFQACHFPTSMSTQRLDLPCSFSRKDTSRSQPIRPVGLSVEKPTESKTSGCSLKQNIRLPPLTTTAHEGRREIKDEFWEKGKCLKRFAAEGFIDESVIDRRAKRKKGSCHNEISGDIHEGGGDNLSLGQLGAGEFWFQPSFAGHNAPQLPFSLTASGDEERVCFVPGEVISPPLPLSNNPWTESVITEITDVGEKDVETIHRPVKEASGSSTSSESHSLGLRLNEQGTEHEVGNGSGNPYPHEGTGVGVYREEEINHREHQGFELIHLLTACVEAIGSKNIAAINHYIAKLGDLASPRGSAISRLTAYYTEALTLRVTRLWPHIFHITTPRELDRVDDDNGTALRLLNQVSPIPKFFHFTSNEILLRAFEGKDRVHIIDFDIKQGLQWPSLFQSLASRANPPSHVRVTGIGESKQELNDTGDRLSGFAEALNLPFEFHPVVDRLEDVRLWMLHVKEKETVAVNCVFQLHKTLYDGNGGALRDLLGLIRSTNPAVVVMAEQEAEHNVLSLEARVTNSLRYYSAIFDSIDSSLPMESPVRMKVEEMFAREIRNIIACEGSDRFERHESFEKWRKLMEQGRFRCIGISERELLQSQMLLKMYTCENYSVKKQGEDGGALTLSWLDQPLYSVSAWTPIDVAGSSSSFPQPN >KJB52046 pep chromosome:Graimondii2_0_v6:8:52920872:52922884:-1 gene:B456_008G243900 transcript:KJB52046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGCSSSTLVSPRHRLRSEASAQFQACHFPTSMSTQRLDLPCSFSRKDTSRSQPIRPVGLSVEKPTESKTSGCSLKQNIRLPPLTTTAHEGRREIKDEFWEKGKCLKRFAAEGFIDESVIDRRAKRKKGSCHNEISGDIHEGGGDNLSLGQLGAGEFWFQPSFAGHNAPQLPFSLTASGDEERVCFVPGEVISPPLPLSNNPWTESVITEITDVGEKDVETIHRPVKEASGSSTSSESHSLGLRLNEQGTEHEVGNGSGNPYPHEGTGVGVYREEEINHREHQGFELIHLLTACVEAIGSKNIAAINHYIAKLGDLASPRGSAISRLTAYYTEALTLRVTRLWPHIFHITTPRELDRVDDDNGTALRLLNQVSPIPKFFHFTSNEILLRAFEGKDRVHIIDFDIKQGLQWPSLFQSLASRANPPSHVRVTGIGESKQELNDTGDRLSGFAEALNLPFEFHPVVDRLEDVRLWMLHVKEKETVAVNCVFQLHKTLYDGNGGALRDLLGLIRSTNPAVVVMAEQEAEHNVLSLEARVTNSLRYYSAIFDSIDSSLPMESPVRMKVEEMFAREIRNIIACEGSDRFERHESFEKWRKLMEQGRFRCIGISERELLQSQMLLKMYTCENYSVKKQGEDGGALTLSWLDQPLYSVSAWTPIDVAGSSSSFPQPN >KJB52044 pep chromosome:Graimondii2_0_v6:8:52920523:52923890:-1 gene:B456_008G243900 transcript:KJB52044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGCSSSTLVSPRHRLRSEASAQFQACHFPTSMSTQRLDLPCSFSRKDTSRSQPIRPVGLSVEKPTESKTSGCSLKQNIRLPPLTTTAHEGRREIKDEFWEKGKCLKRFAAEGFIDESVIDRRAKRKKGSCHNEISGDIHEGGGDNLSLGQLGAGEFWFQPSFAGHNAPQLPFSLTASGDEERVCFVPGEVISPPLPLSNNPWTESVITEITDVGEKDVETIHRPVKEASGSSTSSESHSLGLRLNEQGTEHEVGNGSGNPYPHEGTGVGVYREEEINHREHQGFELIHLLTACVEAIGSKNIAAINHYIAKLGDLASPRGSAISRLTAYYTEALTLRVTRLWPHIFHITTPRELDRVDDDNGTALRLLNQVSPIPKFFHFTSNEILLRAFEGKDRVHIIDFDIKQGLQWPSLFQSLASRANPPSHVRVTGIGESKQELNDTGDRLSGFAEALNLPFEFHPVVDRLEDVRLWMLHVKEKETVAVNCVFQLHKTLYDGNGGALRDLLGLIRSTNPAVVVMAEQEAEHNVLSLEARVTNSLRYYSAIFDSIDSSLPMESPVRMKVEEMFAREIRNIIACEGSDRFERHESFEKWRKLMEQGRFRCIGISERELLQSQMLLKMYTCENYSVKKQGEDGGALTLSWLDQPLYSVSAWTPIDVAGSSSSFPQPN >KJB49573 pep chromosome:Graimondii2_0_v6:8:36677226:36679242:-1 gene:B456_008G126100 transcript:KJB49573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENRTTSRLEKGMGGPLLAQRVRGRPERHHQWNLLTLLVKKSFIYLISSEADNVHVSLLTTFFSLFLDAMFNCK >KJB49898 pep chromosome:Graimondii2_0_v6:8:39689111:39691673:1 gene:B456_008G144500 transcript:KJB49898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLTTAIPISLLLLFLFVQSPHAVTGDSPSAYDVLQGYNFPVGLLPKGVIKYDLDESTGRFHAYLNDTCSFSLEGSYQLKYKSTISGIISNNRLKDLSGISVKVFFVWLNIVEVIRDDDELEFSVGIASASFPIDNFYECPQCGCGLDCGNGRVSKLRIKSSFSSF >KJB48701 pep chromosome:Graimondii2_0_v6:8:16784144:16786049:-1 gene:B456_008G082500 transcript:KJB48701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETLNSPTSAPPLLHHDDTVDHHCVEPWTRRKRTKRSRTENPPTEEEYLALCLLMLAKGTTRNNPSGSAAAKNSLNHNYKCEVCNKSFPTYQSLGGHKSSHRKFVGADEDPTTTAAAEDKFTASTTATNSHPMISNQGGKTHTCSICYKTFSSGQALGGHKRCHYEAGSNNNNSGNDGVKSWSQSQRDFDLNLPAGPDETSMDVLRQDKFCRNDEEARVRLPTLKHGSCKV >KJB48752 pep chromosome:Graimondii2_0_v6:8:18150236:18152557:1 gene:B456_008G084500 transcript:KJB48752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARVVCGSGGLIAEGRVSKMPMFQPSKRDGVVVAAFDGSGDGQVLDLDTAVKDGVLGGVGGGVIGAAVGEKLDLKKMIEELDLPEIPSVFICPISLEPMQDPVTLCTGQTYERSNILKWFNLGHYTCPTTMQELWDDSVTPNRTLYHLIYTWFSQKYLLMKKRSEDVQGRVSELLQTLKKAKGQARIQALKELKQVVAARATAMKTVVDEGGVSLISSLLGPFTSHAVGSEAIAVLVNLELDSESKTNLMQPTKISLMVDMLNEGSVETKINCTRLIEKLMEEKEFRAESISSHRLLVGLMRLVKDKRHTNGILPGLSLLRSICLHKQVMGLIVSIGAVSQLVELLPALEPDCLELALFILDILSSLPEGKAALKDCGNTIPNMVRLLMRISESCTQYALSILWSVCKLAPEECSSVAVEAGLAAKLLLVIQSGCNPVLKQKSSELLKLCSLNYTDTIFISKCKLTRTIL >KJB48697 pep chromosome:Graimondii2_0_v6:8:16612245:16613130:1 gene:B456_008G082100 transcript:KJB48697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNSRTEVRRNKYKVAVDAEERRQMREDNMMEIRKNHREESLQKKRREVLQAQPMPASLHSFTVEKKLENLPAMVAGVWADDNNMQLEATTQFRKLFSIG >KJB48387 pep chromosome:Graimondii2_0_v6:8:10803772:10805012:1 gene:B456_008G066500 transcript:KJB48387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTRILVMPIIPIRETHHRRENSPSACSTPLTPYYSREPFPDTISFQDKVGVGVQSTTITSSELAADSLK >KJB52993 pep chromosome:Graimondii2_0_v6:8:56281539:56284685:1 gene:B456_008G287400 transcript:KJB52993 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit delta [Source:Projected from Arabidopsis thaliana (AT1G48760) UniProtKB/Swiss-Prot;Acc:Q9C744] MSGPSLMDSLFQRTLEDLIKGLRQQLIGEQAFISKALEEIRKEIKSTDLSTKSTALLKLCYLSNLHFHDMSFAAFHALEVLSSPRFSHKKIAYHAISLSFHDSTPVLLLITNHLRKDLTSTNEFESLLFECVRTVVTSFSEYESAVRLAVGKIREFLVDEDPNLKYLGLHALSIVASKHLWVVSENKEVVIKSLSDPDPNIKIESLRLVMAMVSEHNVAEISKVLVNYALKSDPLFCNEILGSILSTCSRNVYEIIVDFDWYVSLLGEMSRIPHCQMGEEIENQLIDIGLRVKDVRLELVRVARDLLIDPALLGNSFLHRVLSAAAWVSGEYVEFSRNPLELMEALLQPRTSLLPPSIMAIYIQSAFKVLVFCLHTCFVRGGSTAGVSASASYESFDDLSIENGAGSTVAHGQTCTSAPITNESIVNLLKLVELALSPLLGSHDVEVQERARNLLGFVDLIKLEALNSLGQEENDSEQKGVEATKIIRLVHDAFSKELGPVSLSAQGKVPVPDGLTLNENLGDLETICSDIELPSSNTFSFGYPSEEKDVSSFSNLQIKEDSGQSNESTSLLAEHRKRHGLYYLPSGKNEVISNDYPPANDPALQGDNNDTASDLVKLTAESLVPKRKPNHSKPRPVVVKLDEADEKPVAVKHPESRDDSLSGAVRDILFGSEDILPTSSRSNLPSSNRKGKEKQHMITQVESKENVVGDGNSSSRRRKDHSHGKERRHRKKNAEERNETDRKEKESSSNHPRRHKSRQRAEEPLKVPPQTSVIPDFLL >KJB52992 pep chromosome:Graimondii2_0_v6:8:56281376:56284685:1 gene:B456_008G287400 transcript:KJB52992 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit delta [Source:Projected from Arabidopsis thaliana (AT1G48760) UniProtKB/Swiss-Prot;Acc:Q9C744] MSGPSLMDSLFQRTLEDLIKGLRQQLIGEQAFISKALEEIRKEIKSTDLSTKSTALLKLCYLSNLHFHDMSFAAFHALEVLSSPRFSHKKIAYHAISLSFHDSTPVLLLITNHLRKDLTSTNEFEVSLSLQCLSRIANVDLARDLTPEVFTLLSSNKLYVRKRAVAVVLRVFEKYPDSVRVCFKRLVENLENYDPQILSAVVGVFSELACKDPRSYLPLAPEFYKILVDSKSNWISIKVLKIFAKLAPLEPRLSNRVVEPICDLMRKTGAKSLLFECVRTVVTSFSEYESAVRLAVGKIREFLVDEDPNLKYLGLHALSIVASKHLWVVSENKEVVIKSLSDPDPNIKIESLRLVMAMVSEHNVAEISKVLVNYALKSDPLFCNEILGSILSTCSRNVYEIIVDFDWYVSLLGEMSRIPHCQMGEEIENQLIDIGLRVKDVRLELVRVARDLLIDPALLGNSFLHRVLSAAAWVSGEYVEFSRNPLELMEALLQPRTSLLPPSIMAIYIQSAFKVLVFCLHTCFVRGGSTAGVSASASYESFDDLSIENGAGSTVAHGQTCTSAPITNESIVNLLKLVELALSPLLGSHDVEVQERARNLLGFVDLIKLEALNSLGQEENDSEQKGVEATKIIRLVHDAFSKELGPVSLSAQGKVPVPDGLTLNENLGDLETICSDIELPSSNTFSFGYPSEEKDVSSFSNLQIKEDSGQSNESTSLLAEHRKRHGLYYLPSGKNEVISNDYPPANDPALQGDNNDTASDLVKLTAESLVPKRKPNHSKPRPVVVKLDEADEKPVAVKHPESRDDSLSGAVRDILFGSEDILPTSSRSNLPSSNRKGKEKQHMITQVESKENVVGDGNSSSRRRKDHSHGKERRHRKKNAEERNETDRKEKESSSNHPRRHKSRQRAEEPLKVPPQTSVIPDFLL >KJB47576 pep chromosome:Graimondii2_0_v6:8:3810021:3812250:1 gene:B456_008G031700 transcript:KJB47576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLGLLLRPLCFSITRFHNKFPILQNPILSSSSSSLTLPRIHSLSITYSSNSSHNDSYPLSLLDKELNEDQDLVFESEEHDRNDEEDEDDDDDDYGSENGEEGSPKNLGSEVVAENTEVKNVKLLNLTVKEKKELASYAHGLGKKLKCQLVGKSGVTDNVIFSFLETLEANELLKIKIHRTCPGELEDVVSQLEQATGSVVVGQIGRTVIIYKPSLTKMKAEEKKKEFQRAFIRRQSKLKPTLTNKGPLPRFSGRGRRGTSRV >KJB47578 pep chromosome:Graimondii2_0_v6:8:3810116:3812197:1 gene:B456_008G031700 transcript:KJB47578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLGLLLRPLCFSITRFHNKFPILQNPILSSSSSSLTLPRIHSLSITYSSNSSHNDSYPLSLLDKELNEDQDLVFESEEHDRNDEEDEDDDDDDYGSENGEEGSPKNLGSEVVAENTEVKNVKLLNLTVKEKKELASYAHGLGKKLKCQLVGKSGVTDNVIFSFLETLEANELLKIKIHRTCPGELEDVVSQLEQATGSVVVGQIGRTVIIYKPSLTKMKAEEKKKEFQRAFIRRQSKLKPTLTNKGPLPRFSGRGRRGTSRV >KJB47577 pep chromosome:Graimondii2_0_v6:8:3810140:3811621:1 gene:B456_008G031700 transcript:KJB47577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLGLLLRPLCFSITRFHNKFPILQNPILSSSSSSLTLPRIHSLSITYSSNSSHNDSYPLSLLDKELNEDQDLVFESEEHDRNDEEDEDDDDDDYGSENGEEGSPKNLGSEVVAENTEVKNVKLLNLTVKEKKELASYAHGLGKKLKCQLVGKSGVTDNVIFSFLETLEANELLKIKIHRTCPGELEDVVSQLEQATGSVVVGQIGRTVIIYKPSLTKMKAEEKKKEFQRAFIRRQSKLKPTLTVKTKDTEQNNYAFL >KJB49708 pep chromosome:Graimondii2_0_v6:8:38548556:38550887:-1 gene:B456_008G136500 transcript:KJB49708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEHIRIDDLSSQLGSLFKFPKPSAFYGVFDGHGGPEAAAYVRRHVLRLFFEDVNFPQSCEVDDDFLAGVENSVRKSFLLADLALADDCTVNSSSGTTALTALIFGRLLMVANTGDCRAVLCRKGEAIDMSEDHRPIYPSERRRVEELGGFIDDGYLNGVLSVSRALGDWDMKLPKGSSSPLIAEPEVRQVVLTEDDEFLIIGCDGIWDVMSSQHAVSLVRRGLRRHDDPEQCARDLVMEALRRNTFDNLTVIVICFSEPDYREQPSPRQRRLRCCSLSAEALCSLRSAMRIRDR >KJB49710 pep chromosome:Graimondii2_0_v6:8:38548556:38550845:-1 gene:B456_008G136500 transcript:KJB49710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAEVVCQQSVPVLEVPFFGKGSNFEEINEIVAIPSPVSSPEFRQVGRGATESVSVDLSTSQLDVKSLDEFPDPCNIESTVLQFVPSIRSGSFADIGPRRYMEDEHIRIDDLSSQLGSLFKFPKPSAFYGVFDGHGGPEAAAYVRRHVLRLFFEDVNFPQSCEVDDDFLAGVENSVRKSFLLADLALADDCTVNSSSGTTALTALIFGRLLMVANTGDCRAVLCRKGEAIDMSEDHRPIYPSERRRVEELGGFIDDGYLNGVLSVSRALGDWDMKLPKGSSSPLIAEPEVRQVVLTEDDEFLIIGCDGIWDVMSSQHAVSLVRRGLRRHDDPEQCARDLVMEALRRNTFDNLTVIVICFSEPDYREQPSPRQRRLRCCSLSAEALCSLRSAMRIRDR >KJB49709 pep chromosome:Graimondii2_0_v6:8:38548900:38549957:-1 gene:B456_008G136500 transcript:KJB49709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEHIRIDDLSSQLGSLFKFPKPSAFYGVFDGHGGPEAAAYVRRHVLRLFFEDVNFPQSCEVDDDFLAGVENSVRKSFLLADLALADDCTVNSSSGTTALTALIFGRLLMVANTGDCRAVLCRKGEAIDMSEDHRPIYPSERRRVEELGGFIDDGYLNGVLSVSRALGDWDMKLPKGSSSPLIAEPEVRQVVLTEDDEFLIIGCDGIWDVMSSQHAVSLVRRGLRRHDDPEQCARDLVMEALRRNTFDNLTVIVICFSEPDYREQPSPRQRRLRCCSLSAEALCSLRSAMRIRDR >KJB47259 pep chromosome:Graimondii2_0_v6:8:2132858:2136532:1 gene:B456_008G018400 transcript:KJB47259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAALLRSFRRRDVAASPLSAYRCLTNNGKTSAGINWTSFCRAFSSKPAGNDVIGIDLGTTNSCVAVMEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTVFGTKRLIGRRYEDPQTKKEMGMVPFKIVKAPNGDAWVEANGQQCSPSQIGAFILTKMKETAEAYLGKGVSKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTSAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVSEFKKTEGIDLSKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFESLVNHLIERTKAPCKNCLKDAGISTNDVDEVLLVGGMTRVPKVQEVVSGIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKRSQVFSTAADNQTQVGIKVLQGEREMAADNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVLAKDKATSKEQQITIRSSGGLSEDEIEKMVKEAELHAQKDQQRKSLIDVKNNADTTIYSVEKSLNEYRDKIPSEIAKEIEGAVADLRKATEGEDVDEIKAKIDAANKGVSKIGEHMSGGSGGAQGGSSGGAQGGDQAQEAEYEEVKK >KJB47826 pep chromosome:Graimondii2_0_v6:8:5797138:5797659:-1 gene:B456_008G043600 transcript:KJB47826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICHSTSSVLTAKLILQDGSLQEFSNPVRVSHVLQRNPHCFVCSSDDMDFDTTLCAIDADDQLQPGELYFVLPLRLLNSPLGTQEMRALAVKATQALNLGHATGIANNLCGCGINKKMDPPLLLNNNLQKSSFLVTHGGGGGGEGFRVRRKTRTTKSSFNSKLTVILEEQFD >KJB48478 pep chromosome:Graimondii2_0_v6:8:12162212:12164809:1 gene:B456_008G070800 transcript:KJB48478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIATSPVTPSILHQIPFQEKSNHSTNSMSQDYHHQAAAGIFSFSNGFERSAMSHQEQHQQQQQQQHLVQQIRRDKQRVQGFEPPSLPLVGIESNALPVYEAEGMLSEMFNFPTGVAASAELLDQHVEPNYRAHRPPGNSNEWFSNRRGVVGGLGLVGESKSHDNRDTLAAQQQHQQLPSFNADSAAAMNLFLMNPQPRSPSPPAPPSSSSNTLHILLPNPSTSLQGFNVSGPAGGTFRTTSTVLSPPQFTWVPNSAHEGDDSGSQLNNSSQIGGVVEGQGLSLSLSSSLQHLEAAKAEKLRMYYNQEAGSSASAADQFHYKNLATHNHHHLQGGVGENHQVHVGFGSSLGMVNVLRNSKYVKAAQELLEEFCSVGRGNFKRNKSCRNNTNPTFNPPGSNGDGGSSSSTKDPLPLSTADRIEHQRRKVKLLSMLDEVDRRYNHYCEQMQTVVNSFDLVMGFGAAVPYTALAKKAMSRHFKCLKDAITAQLKHSCEALGEKDGAGNSGITKGETPRLRVLEQSLRQQRAFHQMGMVEQEAWRPQRGLPERSVNTLRAWLFEHFLHPYVYFLLPLLILSHSNTKI >KJB48477 pep chromosome:Graimondii2_0_v6:8:12161507:12167493:1 gene:B456_008G070800 transcript:KJB48477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIATSPVTPSILHQIPFQEKSNHSTNSMSQDYHHQAAAGIFSFSNGFERSAMSHQEQHQQQQQQQHLVQQIRRDKQRVQGFEPPSLPLVGIESNALPVYEAEGMLSEMFNFPTGVAASAELLDQHVEPNYRAHRPPGNSNEWFSNRRGVVGGLGLVGESKSHDNRDTLAAQQQHQQLPSFNADSAAAMNLFLMNPQPRSPSPPAPPSSSSNTLHILLPNPSTSLQGFNVSGPAGGTFRTTSTVLSPPQFTWVPNSAHEGDDSGSQLNNSSQIGGVVEGQGLSLSLSSSLQHLEAAKAEKLRMYYNQEAGSSASAADQFHYKNLATHNHHHLQGGVGENHQVHVGFGSSLGMVNVLRNSKYVKAAQELLEEFCSVGRGNFKRNKSCRNNTNPTFNPPGSNGDGGSSSSTKDPLPLSTADRIEHQRRKVKLLSMLDEVDRRYNHYCEQMQTVVNSFDLVMGFGAAVPYTALAKKAMSRHFKCLKDAITAQLKHSCEALGEKDGAGNSGITKGETPRLRVLEQSLRQQRAFHQMGMVEQEAWRPQRGLPERSVNTLRAWLFEHFLHPYPSDADKLLLARQTGLSKNQVSNWFINARVRLWKPMVEDMYQQEIKEEDEDNNEGHNSNSAQKSTPSTTAGAAASTPSEPPPTTAAAGGKRSEINAMENDPSLIAINRQDFSENQAKQCTHHDTSATNTTIIATGPPPPTTFKATATEVAPPFSYGVDGTTTCRRGSIVSADYGTTTRPGNDSDIGSTLIRFGTTTGDVSLTLGLRHAGNMPENTSSFSVRDVGGLLN >KJB49099 pep chromosome:Graimondii2_0_v6:8:30019696:30024534:-1 gene:B456_008G100900 transcript:KJB49099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNRKRRIKALEPHSEINVHEGCKGVDKSRKTSVEQQLQGATGKTEKDEHSSPSGSGEAPSSRSKTTKTRIVEGITIPALDLNTEERLHPSTKIKLQLFPIDESTRLGLEKDGFHPYLELTLSARKKISSVLKHLGSKWGSSSIAVGEPMLFPYVAECLTGYRWTRNDICISASDVYAAIGSPAVFRLRYGWMSDSNLETKTLGQPSVSAPFKASSKLEDVQKSCHTYMQNAYVNGEKAEVTGEESGKPIIMSGETNVAVAEKASNGGVGSMENEVTMDGSIEQSLAMWADSLTNISIGGLLSEASLQGRFGNCDKKSNGISSGLQSSQLISDSFDAFLSGQMNPSRSLRPPLQDSHSSILDADDTCHAFPFQKISSLGKNPIATGGSAYFHAGNQDTSSKSFKNPNLTEANIRSQGQACQQSDTDLILCSRVYNDESSLGLSGIKWTESLGPFDLGLSSSRKVINGDNTSINGIVR >KJB49100 pep chromosome:Graimondii2_0_v6:8:30019700:30023636:-1 gene:B456_008G100900 transcript:KJB49100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNRKRRIKALEPHSEINVHEGCKGVDKSRKTSVEQQLQGATGKTEKDEHSSPSGSGEAPSSRSKTTKTRIVEGITIPALDLNTEERLHPSTKIKLQLFPIDESTRLGLEKDGFHPYLELTLSARKKISSVLKHLGSKWGSSSIAVGEPMLFPYVAECLTGYRWTRNDICISASDVYAAIGSPAVFRLRYGWMSDSNLETKTLGQPSVSAPFKASSKLEDVQKSCHTYMQNAYVNGEKAEVTGEESGKPIIMSGETNVAVAEKASNGGVGSMENEVTMDGSIEQSLAMWADSLTNISIGGLLSEASLQGRSLRPPLQDSHSSILDADDTCHAFPFQKISSLGKNPIATGGSAYFHAGNQDTSSKSFKNPNLTEANIRSQGQACQQSDTDLILCSRVYNDESSLGLSGIKWTESLGPFDLGLSSSRKVINGDNTSINGIVR >KJB49101 pep chromosome:Graimondii2_0_v6:8:30021017:30023636:-1 gene:B456_008G100900 transcript:KJB49101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNRKRRIKALEPHSEINVHEGCKGVDKSRKTSVEQQLQGATGKTEKDEHSSPSGSGEAPSSRSKTTKTRIVEGITIPALDLNTEERLHPSTKIKLQLFPIDESTRLGLEKDGFHPYLELTLSARKKISSVLKHLGSKWGSSSIAVGEPMLFPYVAECLTGYRWTRNDICISASDVYAAIGSPAVFRLRYGWMSDSNLETKTLGQPSVSAPFKASSKLEDVQKSCHTYMQNAYVNGEKAEVTGEESGKPIIMSGETNVAVAEKASNGGVGSMENEVTMDGSIEQSLAMWADSLTNISIGGLLSEASLQGRFGNCDKKSNGISSGLQSSQLISDSFDAFLSGQMNPSRSLRPPLQDSHSSILDADDTCHAFPFQKISSLGKNPIATGGSAYFHAGNQDTSSKSFKNPNLTEVC >KJB51116 pep chromosome:Graimondii2_0_v6:8:48732563:48733661:-1 gene:B456_008G201800 transcript:KJB51116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRFGSRTEKLMSRGSSGGFLVPGLSPLAGMVFTSSSRCMTTAASTTMDSSESVTDILDKEAVEEVKGKREIPDIKPGYIVQLKVEVPENKRRVSNVKGIVIARRNAGLNTTFRIRRMVAGVGVESLFPLMCDKYSPNIKDIKVVDKKKVRRAKLYYLRNKMNALR >KJB52219 pep chromosome:Graimondii2_0_v6:8:53521057:53522120:-1 gene:B456_008G251600 transcript:KJB52219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKLLEMFEVGPCEDDYQLGFLIGQRFCNQIRSRLAGDLILQNQLLPFARTPHAQPLLKALSETNQKKFPRYWAELLGTADGSGVPVLDIILVNFRKEILPFISKTTMNSNADTTDDCSDVLIVGDSMAVAAHNEDANVALVGHTYLIKGKLSNGLSFIAYTYAGELPSCAFGLNSQGLAFTLNSVPPVEDEIAPAGIGRNFVSRDLLEATSTADALAVRPSNVCLLYLMKFKISLII >KJB52220 pep chromosome:Graimondii2_0_v6:8:53520247:53522120:-1 gene:B456_008G251600 transcript:KJB52220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKLLEMFEVGPCEDDYQLGFLIGQRFCNQIRSRLAGDLILQNQLLPFARTPHAQPLLKALSETNQKKFPRYWAELLGTADGSGVPVLDIILVNFRKEILPFISKTTMNSNADTTDDCSDVLIVGDSMAVAAHNEDANVALVGHTYLIKGKLSNGLSFIAYTYAGELPSCAFGLNSQGLAFTLNSVPPVEDEIAPAGIGRNFVSRDLLEATSTADALARIRSSEVSVGHSYNLIDIQKRMILNVETASKSRVSVHEVGTTPFFHANMYLHLQVQQVHDENSISRQKRAAVLPQGSKTDFLSLLGDTEDTKYPIYMTGPTLYTLCTTVIDLDERTLTIIEGNPKYGKVSHVFSMSSNELNLKLVNHAEGTHL >KJB52218 pep chromosome:Graimondii2_0_v6:8:53520484:53522120:-1 gene:B456_008G251600 transcript:KJB52218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKLLEMFEVGPCEDDYQLGFLIGQRFCNQIRSRLAGDLILQNQLLPFARTPHAQPLLKALSETNQKKFPRYWAELLGTADGSGVPVLDIILVNFRKEILPFISKTTMNSNADTTDDCSDVLIVGDSMAVAAHNEDANVALVGHTYLIKGKLSNGLSFIAYTYAGELPSCAFGLNSQGLAFTLNSVPPVEDEIAPAGIGRNFVSRDLLEATSTADALARIRSSEVSVGHSYNLIDIQKRMILNVETASKSRVSVHEVGTTPFFHANMYLHLQVQQVHDENSISRQKRAAVLPQGSKTDFLSLLGDTEDTKYPIYMTGKN >KJB51203 pep chromosome:Graimondii2_0_v6:8:49115831:49117504:-1 gene:B456_008G206200 transcript:KJB51203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRKKQRISRKFTDKSDLFDGLPEDLVISILSKLASSASSPSDFINILVTCKRLNRLALHPLVLSKAGSKALAVKARNWCDSAHHFLKHCINAGNVEACYTLGMIQFYCLQNRGSGASLLAKAAIKSHAPALYSLGVIQFNGSGGSKNDKDLRAGVALCARAAFLGHVDALRELGHCLQDGYGVRQHIAEGRRLLIRANARELASSLNALVKRKPQQQHQRRLNYQHYSFKAGSGCPLLSDFGCNVPAPEVHPVNVFSKEWFESGRGALGQGLRLCSHKGCGRPETRAHEFRRCSVCGTVNYCSRGCQALDWKLRHKAECGPIERWLEEGGNGDDGGHGGVGEMEEVVEAEHFAI >KJB51202 pep chromosome:Graimondii2_0_v6:8:49115831:49117467:-1 gene:B456_008G206200 transcript:KJB51202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQFYCLQNRGSGASLLAKAAIKSHAPALYSLGVIQFNGSGGSKNDKDLRAGVALCARAAFLGHVDALRELGHCLQDGYGVRQHIAEGRRLLIRANARELASSLNALVKRKPQQQHQRRLNYQHYSFKAGSGCPLLSDFGCNVPAPEVHPVNVFSKEWFESGRGALGQGLRLCSHKGCGRPETRAHEFRRCSVCGTVNYCSRGCQALDWKLRHKAECGPIERWLEEGGNGDDGGHGGVGEMEEVVEAEHFAI >KJB50753 pep chromosome:Graimondii2_0_v6:8:46608514:46610599:1 gene:B456_008G186000 transcript:KJB50753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYCNSAPFSCKAWRNRDPTPLSALLPTRLFSLLQPPFSFHPDRLKLSTCRWDKVASAVVSEESAVGSSSSGTDVFNLTYLEGNSWLWDISGVRVLVDPILVGNLDFGIPWLYDAAKKFLKNFELTDLPQVDCLLITQSLDDHCHLKTLKPLSEMSPNLRVIATPNAKSLLDPLFRNVTYLEPGQESEVEAANGSKVRIQATAGPVLGPPWQRPENGYLVISPQGQLTLYYEPHCVYDKDFLQKEHADIVITPVIKQLLPNFTLVSGQEDAVQLAKLLHAKFIVPMKNGDLDSKGFLASIVQGEGTIESFKVNSLPLS >KJB50752 pep chromosome:Graimondii2_0_v6:8:46608454:46611083:1 gene:B456_008G186000 transcript:KJB50752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYCNSAPFSCKAWRNRDPTPLSALLPTRLFSLLQPPFSFHPDRLKLSTCRWDKVASAVVSEESAVGSSSSGTDVFNLTYLEGNSWLWDISGVRVLVDPILVGNLDFGIPWLYDAAKKFLKNFELTDLPQVDCLLITQSLDDHCHLKTLKPLSEMSPNLRVIATPNAKSLLDPLFRNVTYLEPGQESEVEAANGSKVRIQATAGPVLGPPWQRPENGYLVISPQGQLTLYYEPHCVYDKDFLQKEHADIVITPVIKQLLPNFTLVSGQEDAVQLAKLLHAKFIVPMKNGDLDSKGFLASIVQGEGTIESFKELLSKELPDAKTLEPTPGEPLQIPPP >KJB50754 pep chromosome:Graimondii2_0_v6:8:46608514:46611078:1 gene:B456_008G186000 transcript:KJB50754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYCNSAPFSCKAWRNRDPTPLSALLPTRLFSLLQPPFSFHPDRLKLSTCRWDKVASAVVSEESAVGSSSSGTDVFNLTYLELTDLPQVDCLLITQSLDDHCHLKTLKPLSEMSPNLRVIATPNAKSLLDPLFRNVTYLEPGQESEVEAANGSKVRIQATAGPVLGPPWQRPENGYLVISPQGQLTLYYEPHCVYDKDFLQKEHADIVITPVIKQLLPNFTLVSGQEDAVQLAKLLHAKFIVPMKNGDLDSKGFLASIVQGEGTIESFKELLSKELPDAKTLEPTPGEPLQIPPP >KJB50755 pep chromosome:Graimondii2_0_v6:8:46608514:46611078:1 gene:B456_008G186000 transcript:KJB50755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYCNSAPFSCKAWRNRDPTPLSALLPTRLFSLLQPPFSFHPDRLKLSTCRWDKVASAVVSEESAVGSSSSGTDVFNLTYLEGNSWLWDISGVRVLVDPILVGNLDFGIPWLYDAAKKFLKNFELTDLPQVDCLLITQSLDDHCHLKTLKPLSEMSPNLRVIATPNAKSLLDPLFRNVTYLEPGQESEVEAANGSKVRIQATAGPVLGPPWQRPENGAN >KJB49859 pep chromosome:Graimondii2_0_v6:8:39349373:39351301:1 gene:B456_008G142100 transcript:KJB49859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRVSCVDEDDCDSCRLAYCWWRTAAEFDKCVKVKLGFPNVSILTPRLRLLRELERLALVAPDGLNELQHKLLEYRSGDFWVPTGGIAKEDMDIPPVNTILLVGFSGSGKSSLINLMYSILGRSGLIPFAQTSSGRSNYTTMYMEEHNVLRSTRSGFCIYDSRGFDYHGTREALEEMSSWMSEGIHHNQPCFRYNDRATTADDAAMENVTLRSSSNFLQREVNCVMVVANIAQIYKALKAGDLKPLEATRQLFSFPALRKSKENPLLILTHGDLLSTEERIDGRMKICEHLEISETNGVYDILCLNEYGFPVEESDPVSAYALTEAVYRALLISDRSHFPKMKFKDWAMLILLWLTRFIGFCFAFLADVCSNLGKKQKLRNEFDSRHYKLKP >KJB50856 pep chromosome:Graimondii2_0_v6:8:47290943:47300433:1 gene:B456_008G190100 transcript:KJB50856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGQAHSDPPDKEEDRKSPLAAVAGLRLQDAPILFLLYFHTAIRAELTELRRLAVAAAADGKSDSYSREFVGELFRRFEFLKLVCKYHCAAEDEVVFLALDAHVKNVACTYSLEHESIDHNFDSVFYCLNALEGSENTSKALQELVFCIGAIQASICQHMLKEEKQVFPLLVKHFSFQEQASLVWRFIGSIPVILLQDFLPWVISFSQPDEQEEIKNFVREVVPKEKSLQEVVVSWLDKKHRTGFEFHIELAKGVQPLDGPISIKSKFKFHLIKNPLGWMKAPCFQTNTGNNPIDGLLFWQGAIQKDLKEILAELHQVKTSSCFQNLDFIVLRLKFLADVLIFYCNALEKLFYPVLVDVSNIQLSLPSQDLYIASDIKHLLYLENCNSRKGITANEFVKELCQKLESFVMNIDIKFSLQENEVFPIISKNCSKEMQQQLLCISLQVVPLGLLKCVVTWFAAHLSEDESRSILHIIKKGYSLTHASFASLLLEWFLLGYSGKTSVESFRRDLEKLFSNRCSFLPVSIEEDAGSSSFLSDMCLGKGSKSKIIKPVFVYKGKKDFPYSSASSHGIKHDETSNCGGINLHIFFPKMTRDLRFFPDFSVEKNCVDYAIDEPIPMDMIFFFHKALKKDLDYLVLGSALLTENAGFLPEFRQRFHLIHFLYRIHSDAEDEVAFPALEAKGKHRNISHSYSLDHEIEAENFSKISLILDEIYELQLEYSSGEPVTLDWVAKRQKLCIDLQDTCKSMHKLLSDHVHREEVELWPLFRECFTLKEQERIIGNMLGRTGAEILQDMIPWLMSSLTPDEQQTLKSLWHSATRNTMFDEWLGEWWEGHKIAKETEESTIPSWTPDPLEIIATYYPEVLNKREAICGNFSRTSSNGADVELLRLSNIDDKVKAFKGDENCSECSKLFSMSSDKRCNEAADLMGWTNEPDQKFQVTHNTGQCKQLKTMSQEDLEAAIRRVSSDTSLDPERKSHVMQNLLMSRWILKQQISNLEVNNSNNGEGIPGQHPSYRDPLELALGCKHYKRNCKLFSPCCNQLYTCIHCHNDVADHKLDRKSVTKMMCMKCLVIQPIGFTCSTVSCHNLSMGKYYCRICKLFDDERQIYHCPYCNLCRVGKGLGVDYFHCMNCNACLSRSLSLHVCREKSFEDNCPICHEDFFSSTAPVKALPCGHMMHSACFQDYTCTHYTCPICSKSLGDMQVYFKMLDAFLAEEKIPDEHHDRNQVHRVVYLSWFIIGTLLCKL >KJB50855 pep chromosome:Graimondii2_0_v6:8:47290641:47300447:1 gene:B456_008G190100 transcript:KJB50855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGQAHSDPPDKEEDRKSPLAAVAGLRLQDAPILFLLYFHTAIRAELTELRRLAVAAAADGKSDSYSREFVGELFRRFEFLKLVCKYHCAAEDEVVFLALDAHVKNVACTYSLEHESIDHNFDSVFYCLNALEGSENTSKALQELVFCIGAIQASICQHMLKEEKQVFPLLVKHFSFQEQASLVWRFIGSIPVILLQDFLPWVISFSQPDEQEEIKNFVREVVPKEKSLQEVVVSWLDKKHRTGFEFHIELAKGVQPLDGPISIKSKFKFHLIKNPLGWMKAPCFQTNTGNNPIDGLLFWQGAIQKDLKEILAELHQVKTSSCFQNLDFIVLRLKFLADVLIFYCNALEKLFYPVLVDVSNIQLSLPSQDLYIASDIKHLLYLENCNSRKGITANEFVKELCQKLESFVMNIDIKFSLQENEVFPIISKNCSKEMQQQLLCISLQVVPLGLLKCVVTWFAAHLSEDESRSILHIIKKGYSLTHASFASLLLEWFLLGYSGKTSVESFRRDLEKLFSNRCSFLPVSIEEDAGSSSFLSDMCLGKGSKSKIIKPVFVYKGKKDFPYSSASSHGIKHDETSNCGGINLHIFFPKMTRDLRFFPDFSVEKNCVDYAIDEPIPMDMIFFFHKALKKDLDYLVLGSALLTENAGFLPEFRQRFHLIHFLYRIHSDAEDEVAFPALEAKGKHRNISHSYSLDHEIEAENFSKISLILDEIYELQLEYSSGEPVTLDWVAKRQKLCIDLQDTCKSMHKLLSDHVHREEVELWPLFRECFTLKEQERIIGNMLGRTGAEILQDMIPWLMSSLTPDEQQTLKSLWHSATRNTMFDEWLGEWWEGHKIAKETEESTIPSWTPDPLEIIATYYPEVLNKREAICGNFSRTSSNGADVELLRLSNIDDKVKAFKGDENCSECSKLFSMSSDKRCNEAADLMGWTNEPDQKFQVTHNTGQCKQLKTMSQEDLEAAIRRVSSDTSLDPERKSHVMQNLLMSRWILKQQISNLEVNNSNNGEGIPGQHPSYRDPLELALGCKHYKRNCKLFSPCCNQLYTCIHCHNDVADHKLDRKSVTKMMCMKCLVIQPIGFTCSTVSCHNLSMGKYYCRICKLFDDERQIYHCPYCNLCRVGKGLGVDYFHCMNCNACLSRSLSLHVCREKSFEDNCPICHEDFFSSTAPVKALPCGHMMHSACFQDYTCTHYTCPICSKSLGDMQVYFKMLDAFLAEEKIPDEHHDRNQAILCNDCETKGTAPYHWKYHKCSNCGSYNTRVL >KJB50857 pep chromosome:Graimondii2_0_v6:8:47290943:47300433:1 gene:B456_008G190100 transcript:KJB50857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGQAHSDPPDKEEDRKSPLAAVAGLRLQDAPILFLLYFHTAIRAELTELRRLAVAAAADGKSDSYSREFVGELFRRFEFLKLVCKYHCAAEDEVVFLALDAHVKNVACTYSLEHESIDHNFDSVFYCLNALEGSENTSKALQELVFCIGAIQASICQHMLKEEKQVFPLLVKHFSFQEQASLVWRFIGSIPVILLQDFLPWVISFSQPDEQEEIKNFVREVVPKEKSLQEVVVSWLDKKHRTGFEFHIELAKGVQPLDGPISIKSKFKFHLIKNPLGWMKAPCFQTNTGNNPIDGLLFWQGAIQKDLKEILAELHQVKTSSCFQNLDFIVLRLKFLADVLIFYCNALEKLFYPVLVDVSNIQLSLPSQDLYIASDIKHLLYLENCNSRKGITANEFVKELCQKLESFVMNIDIKFSLQENEVFPIISKNCSKEMQQQLLCISLQVVPLGLLKCVVTWFAAHLSEDESRSILHIIKKGYSLTHASFASLLLEWFLLGYSGKTSVESFRRDLEKLFSNRCSFLPVSIEEDAGSSSFLSDMCLGKGSKSKIIKPVFVYKGKKDFPYSSASSHGIKHDETSNCGGINLHIFFPKMTRDLRFFPDFSVEKNCVDYAIDEPIPMDMIFFFHKALKKDLDYLVLGSALLTENAGFLPEFRQRFHLIHFLYRIHSDAEDEVAFPALEAKGKHRNISHSYSLDHEIEAENFSKISLILDEIYELQLEYSSGEPVTLDWVAKRQKLCIDLQDTCKSMHKLLSDHVHREEVELWPLFRECFTLKEQERIIGNMLGRTGAEILQDMIPWLMSSLTPDEQQTLKSLWHSATRNTMFDEWLGEWWEGHKIAKETEESTIPSWTPDPLEIIATYYPEVLNKREAICGNFSRTSSNGADVELLRLSNIDDKVKAFKGDENCSECSKLFSMSSDKRCNEAADLMGWTNEPDQKFQVTHNTGQCKQLKTMSQEDLEAAIRRVSSDTSLDPERKSHVMQNLLMSRWILKQQISNLEVNNSNNGEGIPGQHPSYRDPLELALGCKHYKRNCKLFSPCCNQLYTCIHCHNDVADHKLDRKSVTKMMCMKCLVIQPIGFTCSTVSCHNLSMGKYYCRICKLFDDERQIYHCPYCNLCRVGKGLGVDYFHCMNCNACLSRSLSLHVCREKSFEDNCPICHEDFFSSTAPVKALPCGHMMHSACFQDYTCTHYTCPICSKSLGDMQA >KJB52432 pep chromosome:Graimondii2_0_v6:8:54251090:54253556:-1 gene:B456_008G262100 transcript:KJB52432 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAD1 [Source:Projected from Arabidopsis thaliana (AT1G72680) UniProtKB/TrEMBL;Acc:A0A178WLT3] MDSQTKTENCLGWAATDPTGVLSPYKFSRRPLGSDDVSIKITHCGVCYADVIWSRNMFGDSIYPLVPGHEIAGIVKEVGSNVQRINVGDPVGVGTYVNSCRNCEYCNDGVEVQCVKGPVLTFNHIDIDGTVTKGGYSSHIVVHERYCFKIPDNYPLASAAPLLCAGITVYTPMMRYNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLSVTVLSTSISKKEEALSLLGADNFVVTSDQEQMKGLSKSLDFIIDTASGDHPFDPYLSLLKSSGVYALVGFPSEIKFSPASLNPGMKTFAGSVTGGTKMIQEMIGFCAARKIYPQIEVIPIQYANEALERLVKKDVKYRFVIDIENTLK >KJB52430 pep chromosome:Graimondii2_0_v6:8:54251040:54253616:-1 gene:B456_008G262100 transcript:KJB52430 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAD1 [Source:Projected from Arabidopsis thaliana (AT1G72680) UniProtKB/TrEMBL;Acc:A0A178WLT3] MDSQTKTENCLGWAATDPTGVLSPYKFSRRPLGSDDVSIKITHCGVCYADVIWSRNMFGDSIYPLVPGHEIAGIVKEVGSNVQRINVGDPVGVGTYVNSCRNCEYCNDGVEVQCVKGPVLTFNHIDIDGTVTKGGYSSHIVVHERYCFKIPDNYPLASAAPLLCAGITVYTPMMRYNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLSVTVLSTSISKKEEALSLLGADNFVVTSDQEQMKGLSKSLDFIIDTASGDHPFDPYLSLLKSSGVYALVGFPSEIKFSPASLNPGMKTFAGSVTGGTKMIQEMIGFCAARKIYPQIEVIPIQYANEALERLVKKDVKYRFVIDIENTLK >KJB52431 pep chromosome:Graimondii2_0_v6:8:54251121:54253230:-1 gene:B456_008G262100 transcript:KJB52431 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAD1 [Source:Projected from Arabidopsis thaliana (AT1G72680) UniProtKB/TrEMBL;Acc:A0A178WLT3] MDSQTKTENCLGWAATDPTGVLSPYKFSRRPLGSDDVSIKITHCGVCYADVIWSRNMFGDSIYPLVPGHEIAGIVKEVGSNVQRINVGDPVGVGTYVNSCRNCEYCNDGVEVQCVKGPVLTFNHIDIDGTVTKGGYSSHIVVHERYCFKIPDNYPLASAAPLLCAGITVYTPMMRYNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLSVTVLSTSISKKEEALSLLGADNFVVTSDQEQMKGLSKSLDFIIDTASGDHPFDPYLSLLKSSGVYALVGFPSEIKFSPASLNPGMKTFAGSVTGGTKMIQEMIGFCAARKIYPQIEVIPIQYANEALERLVKKDVKYRFVIDIENTLK >KJB52500 pep chromosome:Graimondii2_0_v6:8:54455167:54457712:1 gene:B456_008G265200 transcript:KJB52500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLVPFWIAFLVGILVGWAWKPKWAELLTQKLSSVVSKSLELSLPSSSSMSPMFCLKNFGSSSCLNSLNLQPEAWVIDNGEQGKTLSVSPSEYDNSSTSRSVVNEGCSSAITEEDLKHLCQLVEMRDGGPTWMHMMDRSTPNMSYQAWRRDPKTGPPQYRSRTVFENATARIVRDFFWDDEFRPKWDHMLAYSATIEECSTTGTMVVRWIRKFPFFCSDREYIIGRRIWEVDGSYYCVTKGVTCASVPRRNKPRRVDLYYSSWYIRAVESRRGNGQLTACEVLLFHHEDMGIPWEIAKLGVRQGMWGTVKKIKPGLCAYQKERESLASLSQSAYMAQVNTKISMEYLISLESNEVLSRSDVDSTSENPLAQNISRALIYGGLIVLACSLDHGALSKTFIFGMGRKLTDGK >KJB49752 pep chromosome:Graimondii2_0_v6:8:38437760:38440523:-1 gene:B456_008G135900 transcript:KJB49752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRSIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPEFMPLSHVLATKLGARLTDVRKNGTCPWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILQIVKENFDFRPGMITINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWDKPQS >KJB49753 pep chromosome:Graimondii2_0_v6:8:38437864:38439861:-1 gene:B456_008G135900 transcript:KJB49753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTRMETFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRSIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPEFMPLSHVLATKLGARLTDVRKNGTCPWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILQIVKENFDFRPGMITINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWDKPQS >KJB49956 pep chromosome:Graimondii2_0_v6:8:39970378:39971340:-1 gene:B456_008G146700 transcript:KJB49956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTLVDADFKQPVEAESTMKSTVESNHKQIEAISNPENIQKKLKYLDFIQVVSIYVVVCVSSIYEYAKENSGPLKPGVQTVEETVKTVIGPVYDKFRDVPFELLKFVDCKVDKSLSQLERHVPSMVKQSSSQARTVASEVQRVGVVDVAKSITRDIYTKYKLTAKAMYDKYERVAEQYAVFAWRSLNRLPFFPQVAQVVLPTTAYWSEKYNQVVQHFGENGYVVAAYLPLIPINRIAKVFIDDGRAPVVSSNGESVLRQ >KJB47363 pep chromosome:Graimondii2_0_v6:8:2624350:2629448:-1 gene:B456_008G022900 transcript:KJB47363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYYGGCSYQHTKYHKQTKNQWARDDPAFVVICSLLLAVATIAYCAAYDHSAAHAVFVVISVLLFHFLLTGVFLATCCWFLTNAYLREEAPNSHVVEQRVEWLYAFDVHCNSFFPMFVMLYVIHYFVSPLLVAHGFIPELLSNLLFMVAASYYHYLNFLGYDVLPFLERTTFFLYPIGVIIVLSPILILSGFNPSRYVMNMYFSQRV >KJB47366 pep chromosome:Graimondii2_0_v6:8:2624350:2629468:-1 gene:B456_008G022900 transcript:KJB47366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTVSKTRSTPSTSRPNSMFPQYLRRIVKWQQMDIEYTFWQMLHLCTAPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLAVATIAYCAAYDHSAAHAVFVVISVLLFHFLLTGVFLATCCWFLTNAYLREEAPNSHVVEQRVEWLYAFDVHCNSFFPMFVMLYVIHYFVSPLLVAHGFIPELLSNLLFMVAASYYHYLNFLGYDVLPFLERTTFFLYPIGVIIVLSPICSDFKWLQSFKICYEYVF >KJB47364 pep chromosome:Graimondii2_0_v6:8:2625220:2629288:-1 gene:B456_008G022900 transcript:KJB47364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTVSKTRSTPSTSRPNSMFPQYLRRIVKWQQMDIEYTFWQMLHLCTAPKVVSIVHMNYYGGCSYQHTKYHKQTKNQWARDDPAFVVICSLLLAVATIAYCAAYDHSAAHAVFVVISVLLFHFLLTGVFLATCCWFLTNAYLREEAPNSHVVEQRVEWLYAFDVHCNSFFPMFVMLYGNFMVNVCLTLRL >KJB47362 pep chromosome:Graimondii2_0_v6:8:2624240:2629497:-1 gene:B456_008G022900 transcript:KJB47362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTVSKTRSTPSTSRPNSMFPQYLRRIVKWQQMDIEYTFWQMLHLCTAPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLAVATIAYCAAYDHSAAHAVFVVISVLLFHFLLTGVFLATCCWFLTNAYLREEAPNSHVVEQRVEWLYAFDVHCNSFFPMFVMLYVIHYFVSPLLVAHGFIPELLSNLLFMVAASYYHYLNFLGYDVLPFLERTTFFLYPIGVIIVLSPILILSGFNPSRYVMNMYFSQRV >KJB47365 pep chromosome:Graimondii2_0_v6:8:2624350:2629448:-1 gene:B456_008G022900 transcript:KJB47365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTVSKTRSTPSTSRPNSMFPQYLRRIVKWQQMDIEYTFWQMLHLCTAPKVVSIVHMNYYGGCSYQHTKYHKQTKNQWARDDPAFVVICSLLLAVATIAYCAAYDHSAAHAVFVVISVLLFHFLLTGVFLATCCWFLTNAYLREEAPNSHVVEQRVEWLYAFDVHCNSFFPMFVMLYVIHYFVSPLLVAHGFIPELLSNLLFMVAASYYHYLNFLGYDGKLICLHFFIYVSGDWPIIIFRGLCNFLKMWLTITFAN >KJB48499 pep chromosome:Graimondii2_0_v6:8:12545544:12546790:-1 gene:B456_008G072300 transcript:KJB48499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMEFIYLDGVVEDRNNYTMFDLEIGLKETIEHGSFTDNSAATFSLTDEDHTIANAVRFTLNQDPRVTFCGYSIPHPSEARVNIRVQTTGDPAREVLKDACQNLMLMCRHVRCTFDKAVEDFKASNAVKAMKIDSQDSSGDDSEESE >KJB51669 pep chromosome:Graimondii2_0_v6:8:51447054:51450635:-1 gene:B456_008G228300 transcript:KJB51669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKVQSTDMLYSLPVENVQALASKNLNRIPSRYIRPEVEFDVVSIDESQQIPVIDMSKLDHHDEQKKLHQACKDWGFFQLINHGVADEVIEKMKTDTQEFFNLPLEEKMAYAKIPNYLEGYGQAFVLSEDQKLDWGDMLFLFGLPVSTRDPRFRPTNPPSFGASFDKYSMELHKVMIHLMKLMANNLGTDPEMLASFFEDGIQGIRMNYYPPCAEASKVYGLAPHSDATGLTLLLQVNEVEGLQIKRNEKWVPVKPIPASFIINIGDMMEIMSNGEYKSIEHRAVVNPEKERLSIAAFHNPKRCTQIGPLPDLTKTNKALYKTVSFQEFMELKMSSKLDGKFMVKKLKL >KJB51668 pep chromosome:Graimondii2_0_v6:8:51447054:51450398:-1 gene:B456_008G228300 transcript:KJB51668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKVQSTDMLYSLPVENVQALASKNLNRIPSRYIRPEVEFDVVSIDESQQIPVIDMSKLDHHDEQKKLHQACKDWGFFQLINHGVADEVIEKMKTDTQEFFNLPLEEKMAYAKIPNYLEGYGQAFVLSEDQKLDWGDMLFLFGLPVSTRDPRFRPTNPPSFGASFDKYSMELHKVMIHLMKLMANNLGTDPEMLASFFEDGIQGIRMNYYPPCAEASKVYGLAPHSDATGLTLLLQVNEVEGLQIKRNEKWVPVKPIPASFIINIGDMMEMLYMQIMSNGEYKSIEHRAVVNPEKERLSIAAFHNPKRCTQIGPLPDLTKTNKALYKTVSFQEFMELKMSSKLDGKFMVKKLKL >KJB51667 pep chromosome:Graimondii2_0_v6:8:51447054:51450398:-1 gene:B456_008G228300 transcript:KJB51667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVVHSYCWVQQLINHGVADEVIEKMKTDTQEFFNLPLEEKMAYAKIPNYLEGYGQAFVLSEDQKLDWGDMLFLFGLPVSTRDPRFRPTNPPSFGASFDKYSMELHKVMIHLMKLMANNLGTDPEMLASFFEDGIQGIRMNYYPPCAEASKVYGLAPHSDATGLTLLLQVNEVEGLQIKRNEKWVPVKPIPASFIINIGDMMEIMSNGEYKSIEHRAVVNPEKERLSIAAFHNPKRCTQIGPLPDLTKTNKALYKTVSFQEFMELKMSSKLDGKFMVKKLKL >KJB49531 pep chromosome:Graimondii2_0_v6:8:36314893:36318987:-1 gene:B456_008G124200 transcript:KJB49531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLHPLPFTLLSVLYKPKNFPSSSASFASPPKAPPAFIKTPPAALHGGIVVLSSVFPTTLAKALSYDEALQQTTTSFSSDGVLDSVVNFISDNPTVVAGGAVALAVPLILSQVLKGSKPWGIETARSAYGKLGEDPNAQLLDIRGPKEFKDVGSPDIKGFGKKPVSIAFNGEDKLGFLKKLDLKFKEPENTTLFIIDKFNGNSELVAELVTVNGFKAAYAIKDGAEGPQGWMNSGLPWIPPKKGLDLSNLTETLSGAFGDESDGLSVTLGIAAATGLGLLAFSEIETILQVLGSAAIIQLLSKKLLFAEDRKKTLQQVDEFLSTKVAPNELVDDIKQIGVALIPTTTTSKALPAPTEANPEPKSEVSAEPPPQINAVPESESRAKGSSGTPRPLSPYPSYPDFKPPTSPTPSQP >KJB52785 pep chromosome:Graimondii2_0_v6:8:55428301:55433223:-1 gene:B456_008G276600 transcript:KJB52785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFLLFQIFFTIATISFSLHFFSTLIFSCWVLPIRAYKKIKKNGFTGPIPSFPMGNITEMKNSMNINVSSSLNVVSHDIHSTVFPYFARWQKSYGKLFIYWLGTEPFLYVAEPEFLKNMSAGVLGKSWGKPKVFKHDREPMFGSGLVMVEGDEWVRHRHVITPAFSPCNLKAMASLMVEPTTKMLDQWATLISSGYPEIDVEREITTTAGEIIARTSFGLSYQNGNKVFEKLRAMQITLFNSNRYVGVPFSKWMCPKKNLEAKRLGKEIDQLLLSIIDARKKSLDIGTSPQKDLLGLLMEGGLVDGRSGKSLTARELVDECKTFFFGGHETTALALTWTLLLLATYPDWQNQLRDEIKQVIGDGEIDFTKLADLKKMGWVMKEVLRLYSPAPNAQRQAREDIKVDDLVIPNGTNIWIDVVAMHHDPTLWGDDVNEFKPERFKDDPLYGGCKHKMGFLPFGFGGRMCVGKNLTTMEYKVIVTLMLTRFSFSLSSNYRHSPSILLSLRPRYGLPLLVKPL >KJB46757 pep chromosome:Graimondii2_0_v6:8:45200973:45201699:1 gene:B456_008G175600 transcript:KJB46757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCNESNSSWKAVAEVAGAIATGLLALHAMGLFSSSSNRKTMKAPGRNYRIYRDDFERDPASYFRNLRK >KJB47923 pep chromosome:Graimondii2_0_v6:8:6658921:6662024:1 gene:B456_008G048100 transcript:KJB47923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMLWASRAASYLRISVFHRGFSTVLKDLKYADSHEWVKVEGNSATIGITDHAQDHLGDVVYVELPEVGAAVKQGESFGAVESVKATSDVNSPVSGKVVEVNDELSGSPAKVNSSPYEDGWIIKVEMKDAGELKNLMDSEEYSKFCEEEDSKH >KJB47924 pep chromosome:Graimondii2_0_v6:8:6660632:6661920:1 gene:B456_008G048100 transcript:KJB47924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFRFLPVLKDLKYADSHEWVKVEGNSATIGITDHAQDHLGDVVYVELPEVGAAVKQGESFGAVESVKATSDVNSPVSGKVVEVNDELSGSPAKVNSSPYEDGWIIKVEMKDAGELKNLMDSEEYSKFCEEEDSKH >KJB49707 pep chromosome:Graimondii2_0_v6:8:38228975:38232682:1 gene:B456_008G134400 transcript:KJB49707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRIMRRNGWQRPLHPLQVKKHSKHCRTCNRCVEGFDHHCRWLNNCVGKRNYTTFILLMIFVLLMLILEGGTAIAIFIRCFADKKGIELELEKRLYIKFPREVLATITVLLALFTVYGSAAMGQLFFFHVVLIRKGMRTYDYILAMKEENQFTVDPFDDSDVSSDDSSDFDSPEKATFLSRFICKGLRTQDPPRLSIRIDEDPKASTSTSKKQGFHVSIDPWKLINLSRDKALLAADKARQRLMIQKPAVEIDALKPLPLETKHGPLMNPERNMANSGTAATPLVSKGRIPGSPGRLTSPRRRFSGSPTMFSAMPSPSPKQKYRSNFDLKLTEVSRELETYISRQVLCSVIKKDESEASPR >KJB49706 pep chromosome:Graimondii2_0_v6:8:38228936:38232682:1 gene:B456_008G134400 transcript:KJB49706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRIMRRNGWQRPLHPLQMVGMAVFSFLVVAFYTFLGLLLGNRIAEITITTIFSFVALSVMFLFVRCTAIDPTDKTSFKKKKKAKSKGILKLNYGFILTQIVIRFFRRLEKKILRTFIRRKYLDPWKTNFQMEPLLPFPLVMKDDAVSPDVKEDDNISYCSLCDFEVKKHSKHCRTCNRCVEGFDHHCRWLNNCVGKRNYTTFILLMIFVLLMLILEGGTAIAIFIRCFADKKGIELELEKRLYIKFPREVLATITVLLALFTVYGSAAMGQLFFFHVVLIRKGMRTYDYILAMKEENQFTVDPFDDSDVSSDDSSDFDSPEKATFLSRFICKGLRTQDPPRLSIRIDEDPKASTSTSKKQGFHVSIDPWKLINLSRDKALLAADKARQRLMIQKPAVEIDALKPLPLETKHGPLMNPERNMANSGTAATPLVSKGRIPGSPGRLTSPRRRFSGSPTMFSAMPSPSPKQKYRSNFDLKLTEVSRELETYISRQVLCSVIKKDESEASPR >KJB48605 pep chromosome:Graimondii2_0_v6:8:14439741:14441137:1 gene:B456_008G077500 transcript:KJB48605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAEIDRLPIDLLAHILVMITSFTDLAQASGVCRKWKQGVKQALARRHTLSFAGCKMDDESTSRLVRHAYSLEELDISRSRWGCQITDNGLYQISLAKCVSNLTSVSLWGMTGITDKGVVQLITRANSLKHLNIGGTFITDESLSAIAHSCPHLKVSYLLDCPLLFPENLIEILSFFFPHYLISEHRAMELPSCYRKWAVCSCQ >KJB48606 pep chromosome:Graimondii2_0_v6:8:14439741:14441514:1 gene:B456_008G077500 transcript:KJB48606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAEIDRLPIDLLAHILVMITSFTDLAQASGVCRKWKQGVKQALARRHTLSFAGCKMDDESTSRLVRHAYSLEELDISRSRWGCQITDNGLYQISLAKCVSNLTSVSLWGMTGITDKGVVQLITRANSLKHLNIGGTFITDESLSAIAHSCPHLKSIVLWSCRHVTESGLFVLVNKCRKLGSINVWGTRVSLDCFIGLLTIRPALQIKPQGLPLNVGAVATMLPVV >KJB48246 pep chromosome:Graimondii2_0_v6:8:9451492:9453391:1 gene:B456_008G059900 transcript:KJB48246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEEISDAGGGNDWARICDTCRSAACTVYCRADSAYLCAGCDARVHAANRVASRHERVWVCEVCERAPAALLCKADAASLCTTCDAEIHSANPLARRHQRVPILPISGCLYDPLPTDHGCRKMASATETEDGFMCTNGDETIAEDEDEAASWLLLNPGKNSTNQNNGFMLAGEVDDYLDLVEYNSSVENQFTDQHQQQHYGVPHKSYGGDSVVPVQSGEAKDHFQQQQQQHQNFQYGLDYDASKAAYSYNGSITRTVSLSSVDVGIVPESTMSDISISHPRPPKGTIDLFSGPPTQMPTQLAPMEREARVLRYREKKKTRKFEKTIRYASRKAYAEMRPRIKGRFAKRTDVEVEVDQMFSTTVITGYGIVPSF >KJB52729 pep chromosome:Graimondii2_0_v6:8:55295149:55298256:-1 gene:B456_008G274700 transcript:KJB52729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSRKSQVFETLISAIFLLELLRPNVAEFRAVGLATSTITFPALNCRKHSAVLTDFGGIGDGKTSNTKAFEAAIMNLSQVAPDGGAQLIVPPGKWLTGSFNLTSHFTLFVHKDAVILGSQDESEWPPVALLPSYGRGRDAPSGRLSSLIFGTNLTDVVITGNNGAIDGQGSYWWKLFRDNKMTVTRPYMIEIMYSKHVQISNLTLVNSPSWNVHPIYSSDIIIQHLTVFAPVDSPNTDGIDPDSCTNTRIEDSFVVSGDDCIAVKSGWDEYGIKFNMPTKQLVIRRFACISPSSATIALGSEMSGGIEDVRVEDVTAINTESGVRIKTGVGRGAYVKDVYVRNMTLNTMKYVFWMTGDYGSHPDAEFDQNAFPVIKGINYRDIVADNVTQSAQLDGIGKHPFTDICISNVNIKLAAKPKGVQWNCSDVQGVANRVSPEPCNLLPRKEIDCPFPKDRLPIEDVQLKTCSISGNF >KJB47616 pep chromosome:Graimondii2_0_v6:8:4046575:4046976:-1 gene:B456_008G033800 transcript:KJB47616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLYPRRRGPQWKQGWSGQTLPSMSAPPLPLLTIFGIVLVLLWFSQYADYKAHLHSSAINFQLFLILLPVLLVFFMVSFSSSGRFAFWQHRLERHTVHPSRGSPWGIAILVGLLFVLLFYQSSFQSKWFGPL >KJB47849 pep chromosome:Graimondii2_0_v6:8:8998222:8998896:1 gene:B456_008G057600 transcript:KJB47849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSKAFHRFSHKLKFFPRPPIPVISRGLSLSLTSSLICLYMEMEES >KJB52131 pep chromosome:Graimondii2_0_v6:8:53142145:53158507:-1 gene:B456_008G247300 transcript:KJB52131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGNLPLDYEALAEKKRKALADMQGEGSSKKARKEDISEGIMDEIMQTLNCGRRRKSSKKRGRRKGRGNKLSPQIQRIVGDATLHYGNGRFKEAITVLKEGVQLEPKFPHLYHMLGLSYKALGDNKRAFQFYIIAGLLKPKDPDLWKLLFTWSIEQENVSLTCHCLSKAIMADPTDISLRYLQASLYDELGDYQKAAESYEQIQQISPDNVEALKSGAKLYQKCGQIERSFAILEGYLEGHQDEADLSVINLLVSMLMQNNAYERALQQIEQAHAIYYSGKELPINLKTKSGICHIHLGDIEKAEVFCSVLQSMAHDHADLVTEVADTFMTLGHVNSALKYYNMLENDDGIDDDHLHHKIAQCYISTGEKVLAIKFLRKALERLENNVDARLNLASLLIEDSKEDEAISLLSPPQSFDLQNINKNSDTSKSWWLNGKIKLKLCNIYRAKGMMEDFVNTILPLVQESLYIESLGIKIKVRRRLRDSDLFERVKKVDDRQTDGVFCGSRPMVTAADRLKASRARKLLQKKAALKEEKKAAALAAGLDWHSDDANDESEEEHFKEPPLVNLLKDEEQQDLIIDLCKALESLERYYEALDIIKLVLKSGHNFFPVEKQQQLRSLGAQMAYYTMDSKHGFDCIKHIVQQHPYSITAWNCYYKVLPRSGKCYSRHCKFLRFFRSKHKDCIPPIVISGHQFTVLSHHQDAAREYLEAYKLLPENPLINLCVGTALINLTLGLRLQNKHQCIAQGLAFLYNNLRLCENSQEALYNIGRACHHVGLVTLAVSYYEKVLAMKEKDHPIPKLPNENWDHAVENHKKDGYCDLRREAAFNLHLIYRKCGAMDLARQVLKDHCTFD >KJB52132 pep chromosome:Graimondii2_0_v6:8:53142363:53157864:-1 gene:B456_008G247300 transcript:KJB52132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGNLPLDYEALAEKKRKALADMQGSEGSSKKARKEDISEGIMDEIMQTLNCGRRRKSSKKRGRRKGRGNKLSPQIQRIVGDATLHYGNGRFKEAITVLKEGVQLEPKFPHLYHMLGLSYKALGDNKRAFQFYIIAGLLKPKDPDLWKLLFTWSIEQENVSLTCHCLSKAIMADPTDISLRYLQASLYDELGDYQKAAESYEQIQQISPDNVEALKSGAKLYQKCGQIERSFAILEGYLEGHQDEADLSVINLLVSMLMQNNAYERALQQIEQAHAIYYSGKELPINLKTKSGICHIHLGDIEKAEVFCSVLQSMAHDHADLVTEVADTFMTLGHVNSALKYYNMLENDDGIDDDHLHHKIAQCYISTGEKVLAIKFLRKALERLENNVDARLNLASLLIEDSKEDEAISLLSPPQSFDLQNINKNSDTSKSWWLNGKIKLKLCNIYRAKGMMEDFVNTILPLVQESLYIESLGIKIKVRRRLRDSDLFERVKKVDDRQTDGVFCGSRPMVTAADRLKASRARKLLQKKAALKEEKKAAALAAGLDWHSDDANDESEEEHFKEPPLVNLLKDEEQQDLIIDLCKALESLERYYEALDIIKLVLKSGHNFFPVEKQQQLRSLGAQMAYYTMDSKHGFDCIKHIVQQHPYSITAWNCYYKVLPRSGKCYSRHCKFLRFFRSKHKDCIPPIVISGHQFTVLSHHQDAAREYLEAYKLLPENPLINLCVGTALINLTLGLRLQNKHQCIAQGLAFLYNNLRLCENSQEALYNIGRACHHVGLVTLAVSYYEKVLAMKEKDHPIPKLPNENWDHAVENHKKDGYCDLRREAAFNLHLIYRKCGAMDLARQVLKDHCTFD >KJB50070 pep chromosome:Graimondii2_0_v6:8:40944125:40947169:1 gene:B456_008G152100 transcript:KJB50070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCATDPPKLRGSKSRVVASRFLSPTSTPTHDSPLHSPNKPLSPLRRKSTSTDPRKHRSLEDPPSGLLGGLWPSSTTTTTSSSSNPKKLDTLADFLGNERLKDFIERKIYEKRTNSVPFSLTKQTSHSEFSRFVENDKQISAKENHRPSVGGSMRYTSKLGFSRKSSSSSSSTSSASSPNILPGRFSVDESALYKKTSSSQRKPDSITDNSHVLDSDPEISDPCSASNNDSPAMAKTDSSLSDRKSGIEVCSKYLKDVPSRNRSRGTTWDSNNLNPVSADSSPKIKKFAIKNVIRRTNSHGASPSQWALSPGRSGSPPMSVESKVKPMSFSSLKPPTSPSRTRGVEKFLNLGLMDLFKSRKSSPLQVCSGDVESVHQLRLIYNSSIQWRYANAKADAVNRNIHNQVQNDLSSGWNSLMKLQHSVVQKKLKLQKEKLEIKMDSILQSQMMALECWADMERQHLAAISMTKQCLHSVVCKVPLVEGAKVDAPSASMALRHAFDLTASIKTMLTVSSPGIEKTVSVVSELAEVVAQEKLLLEECLELFRMISILEIQERSLTCCIIELNSQQRQIQQQTA >KJB50642 pep chromosome:Graimondii2_0_v6:8:45805780:45807528:-1 gene:B456_008G180800 transcript:KJB50642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKFLLLIYLLVLQSLALLCASQDFDFFYFVQQWPGAYCDSDKNSCCYPTTGKPAADFSIHGLWPNYNDGSYPQNCDSNNPFDASKISDLISSMRKNWPSLSCPSNDGESFWSHEWEKHGTCSESVFDQHSYFETTLGLKQQANLLKALKAAGIEPDGSSYSLENIKDAIKEGSGYTPWIECNEDSSGNSQLYQVYLCLDKSGSNLIECPVFPKGKRCGSEIEFPNF >KJB50436 pep chromosome:Graimondii2_0_v6:8:44551947:44555184:-1 gene:B456_008G170900 transcript:KJB50436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSHPLKPRFLSTPKPKLQTNKCPTKVRMSFQESGPSIAVVGVTGAVGQEFLSVLSDRDFPYRSLKLLASKRSARKSISFQDRTFTVQELTADSFDDVDIALFSAGGSISKQFGPIAADKGAIVVDNSSAFRMVDGVPLVIPEVNPEAMAGIKIGNKKGALIANPNCSTIICLMAATPLHRHAKVTRMVVSTYQAASGAGAAAMHELELQTREVLEGKPPTCDIFKQQYAFNLFSHNAPVLENGYNEEEMKMLKETRKIWNDMNVKVTATCIRVPVMRAHAESVNLQFEKPLDEDTAREILKTAPGVVVIDDRTSNRFPTPLEVSNKDEVAVGRIRQDVSQEGNHGLDMFVCGDQVRKGAALNAVQIAELLL >KJB47178 pep chromosome:Graimondii2_0_v6:8:1636245:1641562:1 gene:B456_008G014100 transcript:KJB47178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIVEKKQKKKKGRPSLLDLQKRNLKEQQQNQHNTKINDSTPQITLNYDSATATSLRRSTRRHRNDDDDDADEEEEQEQGEHELARKKRREKKMKLVLKLPSSQQKSPVNSESRGSDSNREDSNAGSSHKKRKINSIGDGSGIADSKKEDKSVSGANPASNSQGGQLDSGPSTALPDKKLLLFILDRLQKKDTYGVFSEPVDPEELPDYHEVIEHPMDFGTIRKKLASGAYANLEQFENDVFLICSNAMQYNAPDTIYFRQARSIQELAKKNFDNLRQDSDDNEAEPKVIRRGRPPTKHFKKPPGRPSLERAASEFASDATPATGQENTLWSNHDMRRGPLASDKSNFADSSGKFYGSHNDVYSGSFTENKSDRNDEGIGSVLVGYMMKHGKKHFVLDENRRNTYNPSSAAREASVLNTFNGERKQLLTVGVYYEHGYTRSLARFAANLGPVAWKIASKRIEKCLPAAVNFGPGWVGENDIPVPRPLQLPSLSLPPGQKTFGQNSYSSTEPYAPETREDKQSDKPEADNLSEKHVPSVQSVSGGHSSKPIPASATTTSSLLAAKRSPESWNQKTEASEGFSNTGFNMTNSSAGAGQPRPPFPIHPGMNGYNNGAYGFNLPAHMAKLIGTARPPGFSFQSSQRLDTVSRNATNFAHPATANSNPNDPKLSENSCTMNPSSPSPKSRCETVATPRSRIHPPPLWEELSPHQKLESMLSPQQKPDSSLSPKQKPDSVPPDLNIRFQSPGSPSSSRADPAHPDLALQL >KJB47177 pep chromosome:Graimondii2_0_v6:8:1636186:1641565:1 gene:B456_008G014100 transcript:KJB47177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIVEKKQKKKKGRPSLLDLQKRNLKEQQQNQHNTKINDSTPQITLNYDSATATSLRRSTRRHRNDDDDDADEEEEQEQGEHELARKKRREKKMKLVLKLPSSQQKSPVNSESRGSDSNREDSNAGSSHKKRKINSIGDGSGIADSKKEDKSVSGANPASNSQGGQLDSGPSTALPDKKLLLFILDRLQKKDTYGVFSEPVDPEELPDYHEVIEHPMDFGTIRKKLASGAYANLEQFENDVFLICSNAMQYNAPDTIYFRQARSIQELAKKNFDNLRQDSDDNEAEPKVIRRGRPPTKHFKKPPGRPSLERAASEFASDATPATGQENTLWSNHDMRRGPLASDKSNFADSSGKFYGSHNDVYSGSFTENKSDRNDEGSVLVGYMMKHGKKHFVLDENRRNTYNPSSAAREASVLNTFNGERKQLLTVGVYYEHGYTRSLARFAANLGPVAWKIASKRIEKCLPAAVNFGPGWVGENDIPVPRPLQLPSLSLPPGQKTFGQNSYSSTEPYAPETREDKQSDKPEADNLSEKHVPSVQSVSGGHSSKPIPASATTTSSLLAAKRSPESWNQKTEASEGFSNTGFNMTNSSAGAGQPRPPFPIHPGMNGYNNGAYGFNLPAHMAKLIGTARPPGFSFQSSQRLDTVSRNATNFAHPATANSNPNDPKLSENSCTMNPSSPSPKSRCETVATPRSRIHPPPLWEELSPHQKLESMLSPQQKPDSSLSPKQKPDSVPPDLNIRFQSPGSPSSSRADPAHPDLALQL >KJB52462 pep chromosome:Graimondii2_0_v6:8:54301977:54306976:-1 gene:B456_008G262700 transcript:KJB52462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVVEPQQQLDTELVNKSSWLNQEDEEEEEVGVNLLTRHSSSSHPPLNGVGAGDEFEPEPEPVPVSAPTSGTTSESSDQEEEDKGGVRNEEMRGEKGDGNSIYYDKIEGTWKCRHCNWTHQNGSPSIVDIESHEGYFHKAMNTKTLNQWGPCFSLETKGAESINETYEMQSEITKTLTMEVSHQTVRNVEDQKGFGLSPAPVEHLHEEANGSFKHFEKEAKVDANVDLNGEIREDLYCPNGSFKNIEEETKDANIDLIGEIEEDLMDLDVEGVLEKQNTHDLYCPKCNSCITKRVILVRRKPKVSIRHKPKRGKKPDLIPTSAGFIVSGDTPEIHSDPSPAAAPDEQDASREQEQEAFSCLSCFSLFIPIGNGCFKIFQFFRGGRQTEHTQSPQEIRQREHSESTEGTNLSENNLSPQGTNLSENTPSPSEINSEGKTQSPLEISPEGKTQSPQGISQNEYPETPQLISGNDDTQSPQKRSHDANMSSPQCINNNEDAQKPQDIIWNGGTQSPQKKNRDANMSSPQHINPNEDAQKARDINRNEDTQSPQKISRNENAQNPQDVNQNDSKQRPQKIPTEKTNWILSIFGYYKSKGEYPPVQAGHAVSVQSAAVPTPSVINGEHIDATAIKLKEPGISDIFSSTDSSLLGKLKGESTVQKPDADLFQRNIAGENDIKDIETGLLEPLLHPSVEVPPSSQTITETEYRGTDAGEVREWEILKSIVYGGLIESITSLGVVSSAAGAGAGTLNVLALGVANLIGGLIVICHNLRELKNDQPRASDVEEDRYQVLLGRRQNFKLHAFVAILSFLIFGLVPPVVYGFSFRNSDDKDFKLAAMAGASLICIILLALGKGHVRKPNRAYFRTVFYYVSLGITASGISYVVGQLLKKLAEQLGLFDSSSTVSMPFLETIPMEMGRASY >KJB52460 pep chromosome:Graimondii2_0_v6:8:54301705:54307161:-1 gene:B456_008G262700 transcript:KJB52460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVVEPQQQLDTELVNKSSWLNQEDEEEEEVGVNLLTRHSSSSHPPLNGVGAGDEFEPEPEPVPVSAPTSGTTSESSDQEEEDKGGVRNEEMRGEKGDGNSIYYDKIEGAESINETYEMQSEITKTLTMEVSHQTVRNVEDQKGFGLSPAPVEHLHEEANGSFKHFEKEAKVDANVDLNGEIREDLYCPNGSFKNIEEETKDANIDLIGEIEEDLMDLDVEGVLEKQNTHDLYCPKCNSCITKRVILVRRKPKVSIRHKPKRGKKPDLIPTSAGFIVSGDTPEIHSDPSPAAAPDEQDASREQEQEAFSCLSCFSLFIPIGNGCFKIFQFFRGGRQTEHTQSPQEIRQREHSESTEGTNLSENNLSPQGTNLSENTPSPSEINSEGKTQSPLEISPEGKTQSPQGISQNEYPETPQLISGNDDTQSPQKRSHDANMSSPQCINNNEDAQKPQDIIWNGGTQSPQKKNRDANMSSPQHINPNEDAQKARDINRNEDTQSPQKISRNENAQNPQDVNQNDSKQRPQKIPTEKTNWILSIFGYYKSKGEYPPVQAGHAVSVQSAAVPTPSVINGEHIDATAIKLKEPGISDIFSSTDSSLLGKLKGESTVQKPDADLFQRNIGENDIKDIETGLLEPLLHPSVEVPPSSQTITETEYRGTDAGEVREWEILKSIVYGGLIESITSLGVVSSAAGAGAGTLNVLALGVANLIGGLIVICHNLRELKNDQPRASDVEEDRYQVLLGRRQNFKLHAFVAILSFLIFGLVPPVVYGFSFRNSDDKDFKLAAMAGASLICIILLALGKGHVRKPNRAYFRTVFYYVSLGITASGISYVVGQLLKKLAEQLGLFDSSSTVSMPFLETIPMEMGRASY >KJB52464 pep chromosome:Graimondii2_0_v6:8:54301737:54305994:-1 gene:B456_008G262700 transcript:KJB52464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKTLNQWGPCFSLETKGAESINETYEMQSEITKTLTMEVSHQTVRNVEDQKGFGLSPAPVEHLHEEANGSFKHFEKEAKVDANVDLNGEIREDLYCPNGSFKNIEEETKDANIDLIGEIEEDLMDLDVEGVLEKQNTHDLYCPKCNSCITKRVILVRRKPKVSIRHKPKRGKKPDLIPTSAGFIVSGDTPEIHSDPSPAAAPDEQDASREQEQEAFSCLSCFSLFIPIGNGCFKIFQFFRGGRQTEHTQSPQEIRQREHSESTEGTNLSENNLSPQGTNLSENTPSPSEINSEGKTQSPLEISPEGKTQSPQGISQNEYPETPQLISGNDDTQSPQKRSHDANMSSPQCINNNEDAQKPQDIIWNGGTQSPQKKNRDANMSSPQHINPNEDAQKARDINRNEDTQSPQKISRNENAQNPQDVNQNDSKQRPQKIPTEKTNWILSIFGYYKSKGEYPPVQAGHAVSVQSAAVPTPSVINGEHIDATAIKLKEPGISDIFSSTDSSLLGKLKGESTVQKPDADLFQRNIGENDIKDIETGLLEPLLHPSVEVPPSSQTITETEYRGTDAGEVREWEILKSIVYGGLIESITSLGVVSSAAGAGAGTLNVLALGVANLIGGLIVICHNLRELKNDQPRASDVEEDRYQVLLGRRQNFKLHAFVAILSFLIFGLVPPVVYGFSFRNSDDKDFKLAAMAGASLICIILLALGKGHVRKPNRAYFRTVFYYVSLGITASGISYVVGQLLKKLAEQLGLFDSSSTVSMPFLETIPMEMGRASY >KJB52465 pep chromosome:Graimondii2_0_v6:8:54301756:54304293:-1 gene:B456_008G262700 transcript:KJB52465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPQCINNNEDAQKPQDIIWNGGTQSPQKKNRDANMSSPQHINPNEDAQKARDINRNEDTQSPQKISRNENAQNPQDVNQNDSKQRPQKIPTEKTNWILSIFGYYKSKGEYPPVQAGHAVSVQSAAVPTPSVINGEHIDATAIKLKEPGISDIFSSTDSSLLGKLKGESTVQKPDADLFQRNIGENDIKDIETGLLEPLLHPSVEVPPSSQTITETEYRGTDAGEVREWEILKSIVYGGLIESITSLGVVSSAAGAGAGTLNVLALGVANLIGGLIVICHNVSIFSLMNNIPCLQ >KJB52459 pep chromosome:Graimondii2_0_v6:8:54301977:54306976:-1 gene:B456_008G262700 transcript:KJB52459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVVEPQQQLDTELVNKSSWLNQEDEEEEEVGVNLLTRHSSSSHPPLNGVGAGDEFEPEPEPVPVSAPTSGTTSESSDQEEEDKGGVRNEEMRGEKGDGNSIYYDKIEGAESINETYEMQSEITKTLTMEVSHQTVRNVEDQKGFGLSPAPVEHLHEEANGSFKHFEKEAKVDANVDLNGEIREDLYCPNGSFKNIEEETKDANIDLIGEIEEDLMDLDVEGVLEKQNTHDLYCPKCNSCITKRVILVRRKPKVSIRHKPKRGKKPDLIPTSAGFIVSGDTPEIHSDPSPAAAPDEQDASREQEQEAFSCLSCFSLFIPIGNGCFKIFQFFRGGRQTEHTQSPQEIRQREHSESTEGTNLSENNLSPQGTNLSENTPSPSEINSEGKTQSPLEISPEGKTQSPQGISQNEYPETPQLISGNDDTQSPQKRSHDANMSSPQCINNNEDAQKPQDIIWNGGTQSPQKKNRDANMSSPQHINPNEDAQKARDINRNEDTQSPQKISRNENAQNPQDVNQNDSKQRPQKIPTEKTNWILSIFGYYKSKGEYPPVQAGHAVSVQSAAVPTPSVINGEHIDATAIKLKEPGISDIFSSTDSSLLGKLKGESTVQKPDADLFQRNIAGENDIKDIETGLLEPLLHPSVEVPPSSQTITETEYRGTDAGEVREWEILKSIVYGGLIESITSLGVVSSAAGAGAGTLNVLALGVANLIGGLIVICHNLRELKNDQPRASDVEEDRYQVLLGRRQNFKLHAFVAILSFLIFGLVPPVVYGFSFRNSDDKDFKLAAMAGASLICIILLALGKGHVRKPNRAYFRTVFYYVSLGITASGISYVVGQLLKKLAEQLGLFDSSSTVSMPFLETIPMEMGRASY >KJB52461 pep chromosome:Graimondii2_0_v6:8:54301735:54307145:-1 gene:B456_008G262700 transcript:KJB52461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVVEPQQQLDTELVNKSSWLNQEDEEEEEVGVNLLTRHSSSSHPPLNGVGAGDEFEPEPEPVPVSAPTSGTTSESSDQEEEDKGGVRNEEMRGEKGDGNSIYYDKIEGTWKCRHCNWTHQNGSPSIVDIESHEGYFHKAMNTKTLNQWGPCFSLETKGAESINETYEMQSEITKTLTMEVSHQTVRNVEDQKGFGLSPAPVEHLHEEANGSFKHFEKEAKVDANVDLNGEIREDLYCPNGSFKNIEEETKDANIDLIGEIEEDLMDLDVEGVLEKQNTHDLYCPKCNSCITKRVILVRRKPKVSIRHKPKRGKKPDLIPTSAGFIVSGDTPEIHSDPSPAAAPDEQDASREQEQEAFSCLSCFSLFIPIGNGCFKIFQFFRGGRQTEHTQSPQEIRQREHSESTEGTNLSENNLSPQGTNLSENTPSPSEINSEGKTQSPLEISPEGKTQSPQGISQNEYPETPQLISGNDDTQSPQKRSHDANMSSPQCINNNEDAQKPQDIIWNGGTQSPQKKNRDANMSSPQHINPNEDAQKARDINRNEDTQSPQKISRNENAQNPQDVNQNDSKQRPQKIPTEKTNWILSIFGYYKSKGEYPPVQAGHAVSVQSAAVPTPSVINGEHIDATAIKLKEPGISDIFSSTDSSLLGKLKGESTVQKPDADLFQRNIGENDIKDIETGLLEPLLHPSVEVPPSSQTITETEYRGTDAGEVREWEILKSIVYGGLIESITSLGVVSSAAGAGAGTLNVLALGVANLIGGLIVICHNLRELKNDQPRASDVEEDRYQVLLGRRQNFKLHAFVAILSFLIFGLVPPVVYGFSFRNSDDKDFKLAAMAGASLICIILLALGKGHVRKPNRAYFRTVFYYVSLGITASGISYVVGQLLKKLAEQLGLFDSSSTVSMPFLETIPMEMGRASY >KJB52463 pep chromosome:Graimondii2_0_v6:8:54301977:54305877:-1 gene:B456_008G262700 transcript:KJB52463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKTLNQWGPCFSLETKGAESINETYEMQSEITKTLTMEVSHQTVRNVEDQKGFGLSPAPVEHLHEEANGSFKHFEKEAKVDANVDLNGEIREDLYCPNGSFKNIEEETKDANIDLIGEIEEDLMDLDVEGVLEKQNTHDLYCPKCNSCITKRVILVRRKPKVSIRHKPKRGKKPDLIPTSAGFIVSGDTPEIHSDPSPAAAPDEQDASREQEQEAFSCLSCFSLFIPIGNGCFKIFQFFRGGRQTEHTQSPQEIRQREHSESTEGTNLSENNLSPQGTNLSENTPSPSEINSEGKTQSPLEISPEGKTQSPQGISQNEYPETPQLISGNDDTQSPQKRSHDANMSSPQCINNNEDAQKPQDIIWNGGTQSPQKKNRDANMSSPQHINPNEDAQKARDINRNEDTQSPQKISRNENAQNPQDVNQNDSKQRPQKIPTEKTNWILSIFGYYKSKGEYPPVQAGHAVSVQSAAVPTPSVINGEHIDATAIKLKEPGISDIFSSTDSSLLGKLKGESTVQKPDADLFQRNIAGENDIKDIETGLLEPLLHPSVEVPPSSQTITETEYRGTDAGEVREWEILKSIVYGGLIESITSLGVVSSAAGAGAGTLNVLALGVANLIGGLIVICHNLRELKNDQPRASDVEEDRYQVLLGRRQNFKLHAFVAILSFLIFGLVPPVVYGFSFRNSDDKDFKLAAMAGASLICIILLALGKGHVRKPNRAYFRTVFYYVSLGITASGISYVVGQLLKKLAEQLGLFDSSSTVSMPFLETIPMEMGRASY >KJB47872 pep chromosome:Graimondii2_0_v6:8:6132762:6138234:-1 gene:B456_008G045500 transcript:KJB47872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRVPAPKAAEIAIASIGCGYDIGTDIRLKYCKVDSKDPCLIEIDEDGGREIVLPGGISVPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQEISWTGKIPSGLFNSMFEFSGCWQRDAANTKTLAFDGVFITLYSVALEKSQMVLRDHVKKAVPSTWEPAALARFIGTYGTHIVVGVKMGGKDVIYIKQQHSSTLQPADIQKRLKDMADKRFLDANEHYGTVSEQVFQSDKFEIREQRLRFAHNSPSSSYAHKEDMISIYKRRGGSDNRNLSHNDWLQTVQSEPDVISMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSTSSLQFSFMGPKLFVNTTPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLVDDPNENFSQMSRDRKYYEKVYWKNYSHVCTAPVEAEEDLSIVTGAQLQVENHGFKNILFLRLRFSTVLGAMSLKQPEWDGSPGLAPKSGLISTLISHHFTTVQRPAPRPADVNINSAVYPGGPPVPVQVPKLLKFVDTTELTRGPEETPGYWVVSGARLVVEKGRIRLRVQYSLLTAILPDEDGLEEQ >KJB47719 pep chromosome:Graimondii2_0_v6:8:4782205:4786641:-1 gene:B456_008G038000 transcript:KJB47719 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 10 [Source:Projected from Arabidopsis thaliana (AT2G17020) UniProtKB/Swiss-Prot;Acc:Q9SDA8] MGSWRVERLDQLPTALLATVMTKLDISSICSLAVTCRAFNSCASHILSFLPNFHILDISPSMELIKSLLPPNSHLQSLKLDCGQLNDSSIELLLRPSLQELCFHNCADFSGKLLSEIGSRCKDVRSLYLGSVAEKRGRAIHISDLEELLGGCTQLEELNLMFDLSLFLRHNFARVWALASKKLTSLEIGYISSVMVTELLSPNVGPHQYPNQIRPSILPAIQKLSLAVDYITDTMVGTISKGLALLTHLELRDAPLIEPRVTFDLTNSGLQQINQHGRLKHLSLVRSQEFLSTYFKRVNDLGILLMADKCANMESICLGGFCRVTDTGFKTILHSCSSLYKLKVCHGSQLTDLVFHDIAATSLSLTHVSFRWCNLLTNHAIKSLVRNTHLKVLDLRGCKNLGDEALAAIGSLCELKMLQLDNSDISDVGIAYLQHGIISSLVSLSVRGCKKLTDKCISVLFDGSSKLELQELDLSNLPNLSDNGVLALAKSRVPISELRMRQCPLIGDTSIMALASMQADDDRGHGSNLRLLDLYNCGGITQLSFRWLKKPYFPRLRWLGVTGSVNRDIVDALARNRPFLHVACHGEELGTDPWNNIDSSYMHDYEELDELEQWLQEGEWESDDEEMEDAEDDADVVV >KJB47720 pep chromosome:Graimondii2_0_v6:8:4782247:4785809:-1 gene:B456_008G038000 transcript:KJB47720 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 10 [Source:Projected from Arabidopsis thaliana (AT2G17020) UniProtKB/Swiss-Prot;Acc:Q9SDA8] MELIKSLLPPNSHLQSLKLDCGQLNDSSIELLLRPSLQELCFHNCADFSGKLLSEIGSRCKDVRSLYLGSVAEKRGRAIHISDLEELLGGCTQLEELNLMFDLSLFLRHNFARVWALASKKLTSLEIGYISSVMVTELLSPNVGPHQYPNQIRPSILPAIQKLSLAVDYITDTMVGTISKGLALLTHLELRDAPLIEPRVTFDLTNSGLQQINQHGRLKHLSLVRSQEFLSTYFKRVNDLGILLMADKCANMESICLGGFCRVTDTGFKTILHSCSSLYKLKVCHGSQLTDLVFHDIAATSLSLTHVSFRWCNLLTNHAIKSLVRNTHLKVLDLRGCKNLGDEALAAIGSLCELKMLQLDNSDISDVGIAYLQHGIISSLVSLSVRGCKKLTDKCISVLFDGSSKLELQELDLSNLPNLSDNGVLALAKSRVPISELRMRQCPLIGDTSIMALASMQADDDRGHGSNLRLLDLYNCGGITQLSFRWLKKPYFPRLRWLGVTGSVNRDIVDALARNRPFLHVACHGEELGTDPWNNIDSSYMHDYEELDELEQWLQEGEWESDDEEMEDAEDDADVVV >KJB47721 pep chromosome:Graimondii2_0_v6:8:4782247:4786447:-1 gene:B456_008G038000 transcript:KJB47721 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 10 [Source:Projected from Arabidopsis thaliana (AT2G17020) UniProtKB/Swiss-Prot;Acc:Q9SDA8] MFDLSLFLRHNFARVWALASKKLTSLEIGYISSVMVTELLSPNVGPHQYPNQIRPSILPAIQKLSLAVDYITDTMVGTISKGLALLTHLELRDAPLIEPRVTFDLTNSGLQQINQHGRLKHLSLVRSQEFLSTYFKRVNDLGILLMADKCANMESICLGGFCRVTDTGFKTILHSCSSLYKLKVCHGSQLTDLVFHDIAATSLSLTHVSFRWCNLLTNHAIKSLVRNTHLKVLDLRGCKNLGDEALAAIGSLCELKMLQLDNSDISDVGIAYLQHGIISSLVSLSVRGCKKLTDKCISVLFDGSSKLELQELDLSNLPNLSDNGVLALAKSRVPISELRMRQCPLIGDTSIMALASMQADDDRGHGSNLRLLDLYNCGGITQLSFRWLKKPYFPRLRWLGVTGSVNRDIVDALARNRPFLHVACHGEELGTDPWNNIDSSYMHDYEELDELEQWLQEGEWESDDEEMEDAEDDADVVV >KJB49157 pep chromosome:Graimondii2_0_v6:8:31002283:31012365:1 gene:B456_008G103300 transcript:KJB49157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNGDGKLQDSELPTPHSVMKMGLRDRTSSMEDPDGTLASVAKCIEQLRQSSSSLQEKEHSLRQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLDSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQIAAAKTIYAVSQGGTKDHVGSKIFSTEGVVTVLWKQLQNGLKTGDLVDNLLTGALKNLASSTEGFWSATVQAGGIDILVKLLTTRRSSTQANVCFLLGYMIMEDASVCSKVLAAEATKELLKILGPDNEASVRAEAAGAVKSLSAQCKEARREIASLNGIPALINATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDRKAESTRASDPLGIEQTLVNQFQPGLPYLVPERTIEALASLYGNTILSIKLVNSDAKRLLVGLITMATNEVQEELIRALLALCNNEVSLWRALQGREGVQLLISLLGLSSEQQQECSVALLCLLSDENDESKWAITAAGGIPPLVQILETGSMKAKEDSALILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLSALLTSDLPESKVYVLDALRSMLSVVPFHDMLREGSAASDAMETMIKILSSTKEETQAKSASALAGIFESRKDFRESKIAVKTLWSVMKLLNVESGNILVESCHCLATIFLSIKENRGVAAVARDAMAPLVALADSSVLEVAEQAVCALSNLMLDTEISDTAIAEQIILPSTRILREGTLSGKTHAAAAIARLLHSRRVDYAITDCVNRVGSVLALVYFLESARVGSVAIGEALDALAILSRSEVVGSQIKPTWAVLADFPKSITPIVLTISDATPLLQDKAIEILSRLCCDQPVVLGDAVASASDCISSIARRVISSPNLKVKIGGTALLICAVKVNHQRVVEDLNQSNSSNYLIQSLVAMLVSGESSLANLHSGDQDAISICRHAKEEARNGESDAGTAVISGTNLAIWLLSVIACHNEKSKTAIMEAGAVEVVTERISKRSSQYAQIDFKEDNSIWICALLLAILFQDRDIIRAHPTMKSIPVLANLVKSELSANRYFAAQALSSLVCNGSRGTLLSVANSGAAGGLISLLGCDDVDIQELLDLSEEFALVRYPDQVGLERLFRVEDIRVGAASRKAIPALVDLLKPIPDRPGAPFLALGLLTQLGKDCPSNKIVMVESGALEALTKYLSLGPQDATEEAATDLLGILFTSAEIRRHEAAFGAVSQLLAVLRLGGRAARYSAAKALESLFSANHIRNAESARQAVQPLVEILNTGMEREQHAAIAALVRLLSENPSKALAVGDVEMNAVDVLCRILSSNCSMELKGDAAELCCVLFGNIRIRSTMAAARCVEPLVSLLVSEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVVPLVGLLYGNNYMLHEAISRTLVKLGKDRPACKMEMVKLGVIDSILDIFHEAPDFLCAAFAELLRILTNNATITKGPSAAKLVEPLFQLLSVPEFGPDGQHSALQVLVNVLEHPQCRAGYTLTSHQAIEPLIPLLDSPAPAVQQLAAELLSHLLLEEHLQKDAVTQQVIGPLIRILGSGIHTLQQRAVKALVSIALIWPNEIAKEGGVCELSKVILQADPLLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGSEGTVIGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETTAIKTAIVPLSQYLLDPQTQAQQARLLATLALGDLFQKETLARSADAVSACRALVNVLEDQPTEEMKVVALCALQNLVMHSRSNKRAVAEAGGVQVVLDLIGSSDPETSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGAVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVFCKLTLGNTSPRQTKVVSTGPNPEWDESFAWTFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVSGEYTLLPESKTGPSRNLEIEFQWSNKECQS >KJB49156 pep chromosome:Graimondii2_0_v6:8:31002283:31012288:1 gene:B456_008G103300 transcript:KJB49156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNGDGKLQDSELPTPHSVMKMGLRDRTSSMEDPDGTLASVAKCIEQLRQSSSSLQEKEHSLRQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLDSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQIAAAKTIYAVSQGGTKDHVGSKIFSTEGVVTVLWKQLQNGLKTGDLVDNLLTGALKNLASSTEGFWSATVQAGGIDILVKLLTTRRSSTQANVCFLLGYMIMEDASVCSKVLAAEATKELLKILGPDNEASVRAEAAGAVKSLSAQCKEARREIASLNGIPALINATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDRKAESTRASDPLGIEQTLVNQFQPGLPYLVPERTIEALASLYGNTILSIKLVNSDAKRLLVGLITMATNEVQEELIRALLALCNNEVSLWRALQGREGVQLLISLLGLSSEQQQECSVALLCLLSDENDESKWAITAAGGIPPLVQILETGSMKAKEDSALILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLSALLTSDLPESKVYVLDALRSMLSVVPFHDMLREGSAASDAMETMIKILSSTKEETQAKSASALAGIFESRKDFRESKIAVKTLWSVMKLLNVESGNILVESCHCLATIFLSIKENRGVAAVARDAMAPLVALADSSVLEVAEQAVCALSNLMLDTEISDTAIAEQIILPSTRILREGTLSGKTHAAAAIARLLHSRRVDYAITDCVNRVGSVLALVYFLESARVGSVAIGEALDALAILSRSEVVGSQIKPTWAVLADFPKSITPIVLTISDATPLLQDKAIEILSRLCCDQPVVLGDAVASASDCISSIARRVISSPNLKVKIGGTALLICAVKVNHQRVVEDLNQSNSSNYLIQSLVAMLVSGESSLANLHSGDQDAISICRHAKEEARNGESDAGTAVISGTNLAIWLLSVIACHNEKSKTAIMEAGAVEVVTERISKRSSQYAQIDFKEDNSIWICALLLAILFQDRDIIRAHPTMKSIPVLANLVKSELSANRYFAAQALSSLVCNGSRGTLLSVANSGAAGGLISLLGCDDVDIQELLDLSEEFALVRYPDQVGLERLFRVEDIRVGAASRKAIPALVDLLKPIPDRPGAPFLALGLLTQLGKDCPSNKIVMVESGALEALTKYLSLGPQDATEEAATDLLGILFTSAEIRRHEAAFGAVSQLLAVLRLGGRAARYSAAKALESLFSANHIRNAESARQAVQPLVEILNTGMEREQHAAIAALVRLLSENPSKALAVGDVEMNAVDVLCRILSSNCSMELKGDAAELCCVLFGNIRIRSTMAAARCVEPLVSLLVSEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVVPLVGLLYGNNYMLHEAISRTLVKLGKDRPACKMEMVKLGVIDSILDIFHEAPDFLCAAFAELLRILTNNATITKGPSAAKLVEPLFQLLSVPEFGPDGQHSALQVLVNVLEHPQCRAGYTLTSHQAIEPLIPLLDSPAPAVQQLAAELLSHLLLEEHLQKDAVTQQVIGPLIRILGSGIHTLQQRAVKALVSIALIWPNEIAKEGGVCELSKVILQADPLLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGSEGTVIGALNALLVLESDDGTSAEAMAETVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVFCKLTLGNTSPRQTKVVSTGPNPEWDESFAWTFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVSGEYTLLPESKTGPSRNLEIEFQWSNKECQS >KJB49155 pep chromosome:Graimondii2_0_v6:8:31002283:31010477:1 gene:B456_008G103300 transcript:KJB49155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNGDGKLQDSELPTPHSVMKMGLRDRTSSMEDPDGTLASVAKCIEQLRQSSSSLQEKEHSLRQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLDSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQIAAAKTIYAVSQGGTKDHVGSKIFSTEGVVTVLWKQLQNGLKTGDLVDNLLTGALKNLASSTEGFWSATVQAGGIDILVKLLTTRRSSTQANVCFLLGYMIMEDASVCSKVLAAEATKELLKILGPDNEASVRAEAAGAVKSLSAQCKEARREIASLNGIPALINATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDRKAESTRASDPLGIEQTLVNQFQPGLPYLVPERTIEALASLYGNTILSIKLVNSDAKRLLVGLITMATNEVQEELIRALLALCNNEVSLWRALQGREGVQLLISLLGLSSEQQQECSVALLCLLSDENDESKWAITAAGGIPPLVQILETGSMKAKEDSALILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLSALLTSDLPESKVYVLDALRSMLSVVPFHDMLREGSAASDAMETMIKILSSTKEETQAKSASALAGIFESRKDFRESKIAVKTLWSVMKLLNVESGNILVESCHCLATIFLSIKENRGVAAVARDAMAPLVALADSSVLEVAEQAVCALSNLMLDTEISDTAIAEQIILPSTRILREGTLSGKTHAAAAIARLLHSRRVDYAITDCVNRVGSVLALVYFLESARVGSVAIGEALDALAILSRSEVVGSQIKPTWAVLADFPKSITPIVLTISDATPLLQDKAIEILSRLCCDQPVVLGDAVASASDCISSIARRVISSPNLKVKIGGTALLICAVKVNHQRVVEDLNQSNSSNYLIQSLVAMLVSGESSLANLHSGDQDAISICRHAKEEARNGESDAGTAVISGTNLAIWLLSVIACHNEKSKTAIMEAGAVEVVTERISKRSSQYAQIDFKEDNSIWICALLLAILFQDRDIIRAHPTMKSIPVLANLVKSELSANRYFAAQALSSLVCNGSRGTLLSVANSGAAGGLISLLGCDDVDIQELLDLSEEFALVRYPDQVGLERLFRVEDIRVGAASRKAIPALVDLLKPIPDRPGAPFLALGLLTQLGKDCPSNKIVMVESGALEALTKYLSLGPQDATEEAATDLLGILFTSAEIRRHEAAFGAVSQLLAVLRLGGRAARYSAAKALESLFSANHIRNAESARQAVQPLVEILNTGMEREQHAAIAALVRLLSENPSKALAVGDVEMNAVDVLCRILSSNCSMELKGDAAELCCVLFGNIRIRSTMAAARCVEPLVSLLVSEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVVPLVGLLYGNNYMLHEAISRTLVKLGKDRPACKMEMVKLGVIDSILDIFHEAPDFLCAAFAELLRILTNNATITKGPSAAKLVEPLFQLLSVPEFGPDGQHSALQVLVNVLEHPQCRAGYTLTSHQAIEPLIPLLDSPAPAVQQLAAELLSHLLLEEHLQKDAVTQQVIGPLIRILGSGIHTLQQRAVKALVSIALIWPNEIAKEGGVCELSKVILQADPLLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGSEGTVIGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETTAIKTAIVPLSQYLLDPQTQAQQARLLATLALGDLFQKETLARSADAVSACRALVNVLEDQPTEEMKVVALCALQNLVMHSRSNKRAVAEAGGVQVVLDLIGSSDPETSVQAAMFVKLLFSNHTIQEYASSETVRAITVHECCPAAELN >KJB49426 pep chromosome:Graimondii2_0_v6:8:35488937:35489512:-1 gene:B456_008G118900 transcript:KJB49426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIKGFSTVFLFICFVLIITDCFAVEADTCKPSGKLKGKKPPPGKCNQENDSDCCIEGKFYTTYKCSPPVSSHTKAKLTINSFEPGGDGGGRSECDNHYHSDDDPVVALSTGWFNHKKRCLKYINIHGNGKSVKAKVVDECDSTMGCDSVHDYQPPCPNNIVDASKAVWKALGVPKDDWGEMDIYWSDTD >KJB48693 pep chromosome:Graimondii2_0_v6:8:16235497:16236011:-1 gene:B456_008G081700 transcript:KJB48693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYSLFFVGMVACLISFASATPGIATFYTKYVPSACFGNQDQGKMIAAAGDALWDNGTVCGKMFTVTCTGPRNPVPHPCTGKSVNVKIVDHCPRCPSTIDLSQEAFTIIANPVAGVINVDYKQYA >KJB49327 pep chromosome:Graimondii2_0_v6:8:34549973:34552075:1 gene:B456_008G113400 transcript:KJB49327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLLGQCIANVVQLALAEKHLVARFNKPNNEIFDHYTYAILGDSCQMEGIANEACSLAEHCGLGMLIAFYDDNHISLDGDTEIAFIENVDECFKGHGWHVIWVKNGNTGYDKIRAAIKEAKAVKDKPTLIKVTTTISYGSPNKANSYNVHGSALGAMEVDATRKNLTWPHEPFHVPEDVKRHWNRHVQQGAALEVEWNAKFAEYEKKYKDEAAELKLIITGELPAKWDKHFHQTLKFLDKSCFTYTPESPANVTRNLSQQNLNAFVKVLPGFLGGSADLASSNMTLLKMYGNFQKVTPEERNLRFGVREHGMGVICNSITYHSPDLIPYCATFFVFIDYMIAAIRMPALSQARVIYVMTHDSIGSRENGPTHQPIEHLASFRAMPNVFMLRSADGNETVGAYKVTILNRNTPSIIALSQRELPQPSRTSIEGVEKGGYIISDNFSGNNLDIVLIGTGSELEIAVKAANELRKGEKAVRVVSLISWELFDNQSNAYKESVLPSSVSSRLSIEAGSTFGWKKIVGLKGKAIGIDWFGASTPTGRLYKEFGLTPEVVAAAKELC >KJB48268 pep chromosome:Graimondii2_0_v6:8:9708459:9709577:1 gene:B456_008G061000 transcript:KJB48268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] regulatory subunit 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G35650) UniProtKB/Swiss-Prot;Acc:O81796] MARRSIPLLRQLWTLPSFNLTPTRSVTYMPRPGDGAPRAVTLIPGDGIGPLVTGAVEQVMEAMHAPLYFERYEVHGDMERVPEEVIQSIKKNKVCLKGGLATPMGGGVSSLNVQLRRELDLYASLVNCFNLPGLPTRHQDVDIVVIRENTEGEYSGLEHEVVPGVVESLKLITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVSTKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVFISFPPCY >KJB48269 pep chromosome:Graimondii2_0_v6:8:9708615:9709187:1 gene:B456_008G061000 transcript:KJB48269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] regulatory subunit 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G35650) UniProtKB/Swiss-Prot;Acc:O81796] MARRSIPLLRQLWTLPSFNLTPTRSVTYMPRPGDGAPRAVTLIPGDGIGPLVTGAVEQVMEAMHAPLYFERYEVHGDMERVPEEVIQSIKKNKVCLKGGLATPMGGGVSSLNVQLRRELDLYASLVNCFNLPGLPTRHQDVDIVVIRENTEGEYSGLEHEVVPGVVESLKVLCLTPLSTLCLVLLILNKI >KJB48270 pep chromosome:Graimondii2_0_v6:8:9708459:9711099:1 gene:B456_008G061000 transcript:KJB48270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] regulatory subunit 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G35650) UniProtKB/Swiss-Prot;Acc:O81796] MARRSIPLLRQLWTLPSFNLTPTRSVTYMPRPGDGAPRAVTLIPGDGIGPLVTGAVEQVMEAMHAPLYFERYEVHGDMERVPEEVIQSIKKNKVCLKGGLATPMGGGVSSLNVQLRRELDLYASLVNCFNLPGLPTRHQDVDIVVIRENTEGEYSGLEHEVVPGVVESLKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVSTKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGFMPGGNVGADHAVFEQGASAGNVGNVNLVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVERVISDGKYRTKDLGGTSTTQEVIDAVIAALD >KJB48267 pep chromosome:Graimondii2_0_v6:8:9708383:9711150:1 gene:B456_008G061000 transcript:KJB48267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] regulatory subunit 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G35650) UniProtKB/Swiss-Prot;Acc:O81796] MARRSIPLLRQLWTLPSFNLTPTRSVTYMPRPGDGAPRAVTLIPGDGIGPLVTGAVEQVMEAMHAPLYFERYEVHGDMERVPEEVIQSIKKNKVCLKGGLATPMGGGVSSLNVQLRRELDLYASLVNCFNLPGLPTRHQDVDIVVIRENTEGEYSGLEHEVVPGVVESLKLITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVSTKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGFMPGGNVGADHAVFEQGASAGNVGNVNLVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVERVISDGKYRTKDLGGTSTTQEVIDAVIAALD >KJB51146 pep chromosome:Graimondii2_0_v6:8:48914360:48915924:1 gene:B456_008G203600 transcript:KJB51146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHPPALPVNYVFKSLQIKKQLSIALLICLKLMKLEKKPFIDTMKDLSFFILKNSVGAKMKKGIRNFCSGDGSTSTLNQNRTDHGGAGIITSSDLVAPPSVVASNTTAQSPPTTLEEMILRLELEEELARKSKLNEYYSENFRGGRMSCVNNSDILRSARNALNQYPRFSLDGKDSMYRSSFRNPEKINGRNSVCCDHGLRERFYKASCLPSTLGGETVIWCEPGVVAKLMGLEAVPVTISRRKDRSNKKLGSVIKRQNLRRRGERHEMERRVGVEEDFKRGKVGGCSNTGYCVMKPVVVGAANGEGGWPTRRFL >KJB50939 pep chromosome:Graimondii2_0_v6:8:47790388:47791304:1 gene:B456_008G193900 transcript:KJB50939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSSVSTNEMIPLWGIILSLVFLNLVIILQDFYSSFRFIVSILKSFLGVISYIWVPSNPKPPTTQKICRNGNEILNDGRDLSFSELKRVMEKAGMSEIGGLFEEEEPSLMEVKEAFDVLDENKDGFIDAKELRNALISLGLMEEASEDDCKTMIKGFDDNCDGRIDFNEFARVLETSFCF >KJB53021 pep chromosome:Graimondii2_0_v6:8:56375155:56379699:-1 gene:B456_008G288700 transcript:KJB53021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PISISLFIFGFSRKSHHQIQRLLTCASTFHCLLPMAHDSVQLPCDGDGACMRCKVTPLAEETLICSTCATPWHVACLASPPETLASTLQWQCPDCSGDPLPSAAGALDGTSSELFAAIRAIESDESLTEKEKARKRQELVSGRVEEDGDKEKKGKEKESSFLDVLDGSLNCSFCMLLPDRPVTTPCGHNFCLKCFQKWIGQGKRTCAKCRSIIPPKMASQPRINSTLVSVIRMAKLSKSNVAAGPMKVYHFVQNQDRPDKAFTTERAQKPGKANAASGKIFVTVPPDHFGPITAENDPARNQGVLVGECWEDRLECRQWGAHLPHVAGIAGQSNYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQKFEKMNEALRVSCKMGYPVRVVRSHKEKRSSYAPEKGVRYDGIYRIEKCWRKVGIQGFKVCRYLFVRCDNEPAPWTSDDHGDLPRPLPAIPELKKATDVFERKESPSWDFDEEDNRWRWKKPPPPSKKPVNAADLEERKRARKAIRQAHTTTVRERLLKGQPRTNGCDRVTEAKE >KJB53020 pep chromosome:Graimondii2_0_v6:8:56375126:56379749:-1 gene:B456_008G288700 transcript:KJB53020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDSVQLPCDGDGACMRCKVTPLAEETLICSTCATPWHVACLASPPETLASTLQWQCPDCSGDPLPSAAGALDGTSSELFAAIRAIESDESLTEKEKARKRQELVSGRVEEDGDKEKKGKEKESSFLDVLDGSLNCSFCMLLPDRPVTTPCGHNFCLKCFQKWIGQGKRTCAKCRSIIPPKMASQPRINSTLVSVIRMAKLSKSNVAAGPMKVYHFVQNQDRPDKAFTTERAQKPGKANAASGKIFVTVPPDHFGPITAENDPARNQGVLVGECWEDRLECRQWGAHLPHVAGIAGQSNYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQKFEKMNEALRVSCKMGYPVRVVRSHKEKRSSYAPEKGVRYDGIYRIEKCWRKVGIQGFKVCRYLFVRCDNEPAPWTSDDHGDLPRPLPAIPELKKATDVFERKESPSWDFDEEDNRWRWKKPPPPSKKPVNAADLEERKRARKAIRQAHTTTVRERLLKEFSCLICRQVMNLPVTTPCAHNFCKSCFEAAFSGKTAIRERSKGGRTLRSQKNVLHCPACPTDISDFLQNLQVNRELMGVIESLKQKSEENQDAVEELSEEQVDESEENADIGSGDSETAQKTKNPDPNDDLQNPPPDSDQKRTSKRMKVDTTQVVADCE >KJB53093 pep chromosome:Graimondii2_0_v6:8:56700465:56708314:1 gene:B456_008G293300 transcript:KJB53093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCGSGGVGASGSGGDHDSSDLSRRKKPYHRHTAHQIQRLESMFKECPHPDEKQRLHLSRELGLAPRQIKFWFQNRRTQMKAQHERADNSALRAENDKIRCENIAIREALKNVICPSCGGPPVTEDSYFDDQKMRMENAQLKEELDRVSSIAAKYIGRPISQLPPVQPVHISSLDIRMASFDGYGVGAGPSLDLDLLPGSSSSMPNLPFQPVVISDMDKSLMSDIAANAMEELLRLLQTNEPLWIKSNNDGKDALNLESYERIFPKPHNTHFKSPNIRVEASRDSGVVIMNGLALVDMFMDSMYEELQVLSPLVPTREFYTLRYCQQIEQGLWAIVNVSYDLPQFASQCRSHRLPSGCLIQDMPNGYSKVTWLEHVEIEDKTPIHRLYRDLVHSGSAFGAERWLTTLQRMCERFACLMVSSTSTRDLGGVIPSPEGKRSMMKLAQRMVNNFCTSVGTSNSHRSTTLSGSNEVGVRVTVHKSSDPGQPNGIVLSAATTFWLPVSPQNVFNFFKDERTRPQWDVLSNGNAVQEVAHIANGSHPGNCISVLRAFNTSHNNMLILQESCIDSSGSLVVYCPVDLPAINVAMSGEDPSYIPLLPSGFTITPDGHLEQGDGASTSSSTGHGRSSGGSLITVAFQILVSSLPSAKLNLDSVTTVNNLIGTTVQQIKAALNCPSS >KJB53091 pep chromosome:Graimondii2_0_v6:8:56700465:56707047:1 gene:B456_008G293300 transcript:KJB53091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCGSGGVGASGSGGDHDSSDLSRRKKPYHRHTAHQIQRLESMFKECPHPDEKQRLHLSRELGLAPRQIKFWFQNRRTQMKAQHERADNSALRAENDKIRCENIAIREALKNVICPSCGGPPVTEDSYFDDQKMRMENAQLKEELDRVSSIAAKYIGRPISQLPPVQPVHISSLDIRMASFDGYGVGAGPSLDLDLLPGSSSSMPNLPFQPVVISDMDKSLMSDIAANAMEELLRLLQTNEPLWIKSNNDGKDALNLESYERIFPKPHNTHFKSPNIRVEASRDSGVVIMNGLALVDMFMDSNKWVELFPTIVSIAKTIEVISPGMLGTHSGSLQLMYEELQVLSPLVPTREFYTLRYCQQIEQGLWAIVNVSYDLPQFASQCRSHRLPSGCLIQDMPNGYSKVTWLEHVEIEDKTPIHRLYRDLVHSGSAFGAERWLTTLQRMCERFACLMVSSTSTRDLGGVIPSPEGKRSMMKLAQRMVNNFCTSVGTSNSHRSTTLSGSNEVGVRVTVHKSSDPGQPNGIVLSAATTFWLPVSPQNVFNFFKDERTRPQVHIQFHQ >KJB53090 pep chromosome:Graimondii2_0_v6:8:56700252:56708352:1 gene:B456_008G293300 transcript:KJB53090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCGSGGVGASGSGGDHDSSDLSRRKKPYHRHTAHQIQRLESMFKECPHPDEKQRLHLSRELGLAPRQIKFWFQNRRTQMKAQHERADNSALRAENDKIRCENIAIREALKNVICPSCGGPPVTEDSYFDDQKMRMENAQLKEELDRVSSIAAKYIGRPISQLPPVQPVHISSLDIRMASFDGYGVGAGPSLDLDLLPGSSSSMPNLPFQPVVISDMDKSLMSDIAANAMEELLRLLQTNEPLWIKSNNDGKDALNLESYERIFPKPHNTHFKSPNIRVEASRDSGVVIMNGLALVDMFMDSNKWVELFPTIVSIAKTIEVISPGMLGTHSGSLQLMYEELQVLSPLVPTREFYTLRYCQQIEQGLWAIVNVSYDLPQFASQCRSHRLPSGCLIQDMPNGYSKVTWLEHVEIEDKTPIHRLYRDLVHSGSAFGAERWLTTLQRMCERFACLMVSSTSTRDLGGVIPSPEGKRSMMKLAQRMVNNFCTSVGTSNSHRSTTLSGSNEVGVRVTVHKSSDPGQPNGIVLSAATTFWLPVSPQNVFNFFKDERTRPQWDVLSNGNAVQEVAHIANGSHPGNCISVLRAFNTSHNNMLILQESCIDSSGSLVVYCPVDLPAINVAMSGEDPSYIPLLPSGFTITPDGHLEQGDGASTSSSTGHGRSSGGSLITVAFQILVSSLPSAKLNLDSVTTVNNLIGTTVQQIKAALNCPSS >KJB53092 pep chromosome:Graimondii2_0_v6:8:56700465:56708314:1 gene:B456_008G293300 transcript:KJB53092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCGSGGVGASGSGGDHDSSDLSRRKKPYHRHTAHQIQRLESMFKECPHPDEKQRLHLSRELGLAPRQIKFWFQNRRTQMKAQHERADNSALRAENDKIRCENIAIREALKNVICPSCGGPPVTEDSYFDDQKMRMENAQLKEELDRVSSIAAKYIGRPISQLPPVQPVHISSLDIRMASFDGYGVGAGPSLDLDLLPGSSSSMPNLPFQPVVISDMDKSLMSDIAANAMEELLRLLQTNEPLWIKSNNDGKDALNLESYERIFPKPHNTHFKSPNIRVEASRDSGVVIMNGLALVDMFMDSNKWVELFPTIVSIAKTIEVISPGMLGTHSGSLQLMYEELQVLSPLVPTREFYTLRYCQQIEQGLWAIVNVSYDLPQFASQCRSHRLPSGCLIQDMPNGYSKVTWLEHVEIEDKTPIHRLYRDLVHSGSAFGAERWLTTLQRMCERFACLMVSSTSTRDLGGVIPSPEGKRSMMKLAQRMVNNFCTSVGTSNSHRSTTLSGSNEVGVRVTVHKSSDPGQPNVGCSIQRQRSPRSCSYRKRITSRQLYIRFTSL >KJB50243 pep chromosome:Graimondii2_0_v6:8:42579269:42582655:1 gene:B456_008G160200 transcript:KJB50243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGKMSNDDGHQMVHGAVKILGDFKLGILNFEAFTQIEHSQNRINELESRRLTLERKLLELHSLKEKLSCIAYLQKDLTGKTAEIGKLNFTIVALKAEIKDLQEIIRKNGNGSQIKGQIILLEEQLSGFTAIETSTGDALRRRNKELELEKREIFVKLNAAHAKLSALSDMTLNKTIGKISELRQANGDLANKVNILQKSRFDMVEEPVYQRWLNEVQKASDQKTSKIITQYPDTSSTWSYTTLTDSEEIDSSTIDSSSSSQRSISKNSSTCWRSMDDGSSVVSSPNKSSTGSPADRTGIIRRFSTSMFPSKELTETMELPNLTKVRRVM >KJB52065 pep chromosome:Graimondii2_0_v6:8:52996386:53001307:-1 gene:B456_008G245200 transcript:KJB52065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSCAAATTAVGASFSVYKFSLFPSVTLSRVRVKRSSKRVSFRVFAQKEEPKLDKWDQMELKFGRLLGEDPKLTLAKIMGRKANPEASYIEIEKSFYKNKGQIVDVEEVPFDVEKKSTSTSSDGLNLVRPVPKKGIKFETDVKPPASEIKRPTVSGEKAMDSARKSKLPNVILRKPTVVNEDDVEDRPSRFRMKSNLSLRMRNEKAKEQFTDMTLLRKPEPMSVDTSIDEKQDSDDIVGVEKEKEVEDGIGDFTLLKKPEQLSVTTKIGEEVEQFEDLEVEAERFEAEIEAHMLASATKSSVEEASEAGHGLIPKKPEIEDHSLIGMQSAERSNRVSTEESGISLSMEAALQGKPRRLDQTVKETSESGKAETAPVPTNLEDYGDLPSVSPQEDSDWNRLEDLLKTGRKAEVELISSSTRGFAVSFGSLIGFLPYRNLAAKWKFLAFESWLRQRGLDPSAYKQNLGVIGSSDAMSKNSSLDSTSDSENNQQFEGKFSPDMKLEDLLRIYDQEKLKFLTSFVGQRVKVNVLMADRKFRKLIVSLRPKEKEELIEKKRNVMAKLRVGDVIKCCIKKITYFGIFVEVEGVPALIHQTEVSWDATLDPLSHFKIGQIVEAKVHQLDFTLDRIFLSLKEITPDPLVEALESVVGDHDNLDGRLQAAQADTEWPDVESLIKELEQIEGIQSVSKGRFFLSPGLAPTFQVYMASMFENQYKLLARSGNKVQEVIVETTLDKEEIKSTIQSCTNRVV >KJB52066 pep chromosome:Graimondii2_0_v6:8:52996450:53001282:-1 gene:B456_008G245200 transcript:KJB52066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHFGFFQIMGRKANPEASYIEIEKSFYKNKGQIVDVEEVPFDVEKKSTSTSSDGLNLVRPVPKKGIKFETDVKPPASEIKRPTVSGEKAMDSARKSKLPNVILRKPTVVNEDDVEDRPSRFRMKSNLSLRMRNEKAKEQFTDMTLLRKPEPMSVDTSIDEKQDSDDIVGVEKEKEVEDGIGDFTLLKKPEQLSVTTKIGEEVEQFEDLEVEAERFEAEIEAHMLASATKSSVEEASEAGHGLIPKKPEIEDHSLIGMQSAERSNRVSTEESGISLSMEAALQGKPRRLDQTVKETSESGKAETAPVPTNLEDYGDLPSVSPQEDSDWNRLEDLLKTGRKAEVELISSSTRGFAVSFGSLIGFLPYRNLAAKWKFLAFESWLRQRGLDPSAYKQNLGVIGSSDAMSKNSSLDSTSDSENNQQFEGKFSPDMKLEDLLRIYDQEKLKFLTSFVGQRVKVNVLMADRKFRKLIVSLRPKEKEELIEKKRNVMAKLRVGDVIKCCIKKITYFGIFVEVEGVPALIHQTEVSWDATLDPLSHFKIGQIVEAKVHQLDFTLDRIFLSLKEITPDPLVEALESVVGDHDNLDGRLQAAQADTEWPDVESLIKELEQIEGIQSVSKGRFFLSPGLAPTFQVYMASMFENQYKLLARSGNKVQEVIVETTLDKEEIKSTIQSCTNRVV >KJB50554 pep chromosome:Graimondii2_0_v6:8:45256403:45265303:-1 gene:B456_008G176300 transcript:KJB50554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69220) UniProtKB/TrEMBL;Acc:O24527] MNYPPSTRRTRKAPAKSDLYSTFVVHSGSESESESGSRNSKPKPLGSREPDLYATMIYKDGDEEDEDDSSLPPLLKRLPKDFGGGPTDFDDDDDAGDFGTVIVKPDRGRNARGQSSYSFKPPAAAVASPMRARRDGLDVDDDDDDDEEEEEDGDGEGFGTFVVRSTVRSEREGSGTVVKRAVASMGELGFGKQKRSTSTTSLQGEENRFLQNSKASLSSIPDNVTREDPSTKYELLNELGKGSYGAVYKARDIRTSELVAIKVLSLSEGEQGYEEIRGEIEMLQQCSHPNVVRYLGSYQGDEYLWIVMEYCGGGSVADLINVTEEPLEEYQIAYICREALKGLEYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDLWALGISAIEMAEGLPPRAAVHPMRVIFMISIEPAPMLEDKEKWSLVFHDFVAKSLTKDPRLRPTASEMLKHKFIEKCKCGASVMLPKIEKAKQIRAAMVQQAQILTPTISEPNPMVGSNLNDDYGDTVPSRPQDMGLQVANEASTAGTLNKHNILGGVEVTYEGEFGTVIVHNEGVSQKPFAQTELHNGKEASTVLEHVESKLINGTGRQLAESLLDNRKGASGNNATVKESNIMAQGSSPSTSVLGDQKMRSDSVPQARTKDGSEISSSKLKTETVSKKNAFALQDKLFSIYAAGNTVPIPFLRASDISPIALLSDNILGGMQQDSTGTVAVEALQELFAGDGQSKKGRRAQNECLPKTHFKFYANEPGTGPSLPQNVLRGDATSRVAGNTGAANHPKPMRHTKNHSPVIDSRMLHFFFRLMYVIVIVPVALRYCRVCCII >KJB50553 pep chromosome:Graimondii2_0_v6:8:45256604:45265175:-1 gene:B456_008G176300 transcript:KJB50553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69220) UniProtKB/TrEMBL;Acc:O24527] MNYPPSTRRTRKAPAKSDLYSTFVVHSGSESESESGSRNSKPKPLGSREPDLYATMIYKDGDEEDEDDSSLPPLLKRLPKDFGGGPTDFDDDDDAGDFGTVIVKPDRGRNARGQSSYSFKPPAAAVASPMRARRDGLDVDDDDDDDEEEEEDGDGEGFGTFVVRSTVRSEREGSGTVVKRAVASMGELGFGKQKRSTSTTSLQGEENRFLQNSKASLSSIPDNVTREDPSTKYELLNELGKGSYGAVYKARDIRTSELVAIKVLSLSEGEQGYEEIRGEIEMLQQCSHPNVVRYLGSYQGDEYLWIVMEYCGGGSVADLINVTEEPLEEYQIAYICREALKGLEYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDLWALGISAIEMAEGLPPRAAVHPMRVIFMISIEPAPMLEDKEKWSLVFHDFVAKSLTKDPRLRPTASEMLKHKFIEKCKCGASVMLPKIEKAKQIRAAMVQQAQILTPTISEPNPMVGSNLNDDYGDTVPSRPQDMGLQVANEASTAGTLNKHNILGGVEVTYEGEFGTVIVHNEGVSQKPFAQTELHNGKEASTVLEHVESKLINGTGRQLAESLLDNRKGASGNNATVKESNIMAQGSSPSTSVLGDQKMRSDSVPQARTKDGSEISSSKLKTETVSKKNAFALQDKLFSIYAAGNTVPIPFLRASDISPIALLSDNILGGMQQDSTGTVAVEALQELFAGDGQSKKGRRAQNEVPLPPSVYQRLTSSSTLMNLAQALAYHKMCYEEMPLQELQATQEQQTIQNLCDTLRTILRL >KJB49251 pep chromosome:Graimondii2_0_v6:8:33880024:33882781:-1 gene:B456_008G108700 transcript:KJB49251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQKQAELIDHFVKKASAQVGSAIGAVIVEATSHASLFAFSEILAVPTVAELEGTENSVYLELLRLFAHGTWSDYKSNSGSLPQLLPDQVLKLKQLTVLTLAETNKVLPYDQLMLELDVTNVRELEDFIINECIYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGSMIQTLSNW >KJB49249 pep chromosome:Graimondii2_0_v6:8:33878582:33882476:-1 gene:B456_008G108700 transcript:KJB49249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQKQAELIDHFVKKASAQVGSAIGAVIVEATSHASLFAFSEILAVPTVAELEGTENSVYLELLRLFAHGTWSDYKSNSGSLPQLLPDQVLKLKQLTVLTLAETNKVLPYDQLMLELDVTNVRELEDFIINECIYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGSMIQTLSNWLGTSDNLLISIQEKIKWADTMSELDKKHRKDVEDKVEEVKKSVAVKICWSMWLTYVVAEVTHCKQADLDFRGIEEIYSEPGGVMDYEEDRIRPKRRRHPLV >KJB49248 pep chromosome:Graimondii2_0_v6:8:33878221:33882828:-1 gene:B456_008G108700 transcript:KJB49248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQKQAELIDHFVKKASAQVGSAIGAVIVEATSHASLFAFSEILAVPTVAELEGTENSVYLELLRLFAHGTWSDYKSNSGSLPQLLPDQVLKLKQLTVLTLAETNKVLPYDQLMLELDVTNVRELEDFIINECIYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGSMIQTLSNWLGTSDNLLISIQEKIKWADTMSELDKKHRKDVEDKVEEVKKSVAVKADLDFRGIEEIYSEPGGVMDYEEDRIRPKRRRHPLV >KJB49247 pep chromosome:Graimondii2_0_v6:8:33879418:33882476:-1 gene:B456_008G108700 transcript:KJB49247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQKQAELIDHFVKKASAQVGSAIGAVIVEATSHASLFAFSEILAVPTVAELEGTENSVYLELLRLFAHGTWSDYKSNSGSLPQLLPDQVLKLKQLTVLTLAETNKVLPYDQLMLELDVTNVRELEDFIINECIYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGSMIQTLSNWLGTSDNLLISIQEKIKWADTMSELDKKHRKDVEDKVEEVKKSVAVKKLHTVSRPTLTFEGLRRSTLNLVE >KJB49250 pep chromosome:Graimondii2_0_v6:8:33878254:33882781:-1 gene:B456_008G108700 transcript:KJB49250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQKQAELIDHFVKKASAQVGSAIGAVIVEATSHASLFAFSEILAVPTVAELEGTENSVYLELLRLFAHGTWSDYKSNSGSLPQLLPDQVLKLKQLTVLTLAETNKVLPYDQLMLELDVTNVRELEDFIINECIYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGSMIQTLSNWLGTSDNLLISIQEKIKWADTMSELDKKHRKDVEDKVEEVKKSVAVKLHTVSRPTLTFEGLRRSTLNLVE >KJB46695 pep chromosome:Graimondii2_0_v6:8:40281368:40281589:-1 gene:B456_008G1489001 transcript:KJB46695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMESGRQDSIAYGDYSYRMNENYGKISNDYNVLEKEKNQSLPSSSSSGAGFITHLISRFDTLSVVAIKYGRR >KJB46694 pep chromosome:Graimondii2_0_v6:8:40281272:40281589:-1 gene:B456_008G1489001 transcript:KJB46694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMESGRQDSIAYGDYSYRMNENYGKISNDYNVLEKEKNQSLPSSSSSGAGFITHLISRFDTLSVVAIKYGRRS >KJB46696 pep chromosome:Graimondii2_0_v6:8:40280788:40282210:-1 gene:B456_008G1489001 transcript:KJB46696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMESGRQDSIAYGDYSYRMNENYGKISNDYNVLEKEKNQSLPSSSSSGAGFITHLISRFDTLSVVAIKPASAITMLDKWFRYTE >KJB47430 pep chromosome:Graimondii2_0_v6:8:3065587:3069358:-1 gene:B456_008G026200 transcript:KJB47430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAQAEAEEKPEEPQEKFEAKGAMVTSVTTNTSCSSSSNGGGSNNGVSFKFNAHAQEFVPRSHTQIPISGYYYPCFHYLGGAAAAAGSSDWFLVGDQERAACLISNPNISIPHCSSKNVLTDDLRVKIIKQVEYQLSDMSLLANESLSKQISKDPEGYVPISFIASTKKIKSLVNANQLLAQALRSSTKLVVSADGKKVRRKHPFTEKDREEVQARTVIVENLPEDHSHQNLDKIFNVVGSVKNIRICHPQESNSSKSKTDFFNRNKLHALVEYDSPHIAEKAVEKLNDERNWRKGLRVRLLLGLTPKSVLKTRKSEFDGILDEDDSLLNETSDDSSQPNNTTESTENNSEDTATGSKKGRAKGRGKGRGRVQNNKHALSPQSSNASQCEASAKQISKGPRMPDGTKGFTMGRGKPLTSSVK >KJB52124 pep chromosome:Graimondii2_0_v6:8:53204020:53206077:-1 gene:B456_008G247900 transcript:KJB52124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPYIPRKHLEKKRWGGWWSKYWCFGSYKQKKRIGPAVPVSETSSSRANMPAAEIPTQAVTVTLPFVAPPSSPASFLPSEPPSATQSPAGLVSLTSISASMYSPGPASIFAIGPYAHETQLVSPPVFSTFTTEPSTAPFTPPPESVHLTTPSSPEVPFAQFLGPNLQYGEAGQRFPIYQYEFQSYQLHPGSPIGQLISPSSGISGSGTSSPFPDGDFAAGLRFPEFRMGDPPKLLNLDKLSNREWGSSHGSGTLTPDATTCTPRNGLRLDHPLSEIVSHPSLIKQNPIDQVAVNHRVSFELTTEEVIRCAETGAATSSEAVPEFLHNEATREREENTTKVADDYEYRVGETSNERPEKAPADREGTPQHHENQSLTLGSAKEFNFENVDGVDAHKPILSSDWWANKKVAGKDDNVARNWSFFPMMQPGVS >KJB52125 pep chromosome:Graimondii2_0_v6:8:53203519:53206176:-1 gene:B456_008G247900 transcript:KJB52125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPYIPRKHLEKRWGGWWSKYWCFGSYKQKKRIGPAVPVSETSSSRANMPAAEIPTQAVTVTLPFVAPPSSPASFLPSEPPSATQSPAGLVSLTSISASMYSPGPASIFAIGPYAHETQLVSPPVFSTFTTEPSTAPFTPPPESVHLTTPSSPEVPFAQFLGPNLQYGEAGQRFPIYQYEFQSYQLHPGSPIGQLISPSSGISGSGTSSPFPDGDFAAGLRFPEFRMGDPPKLLNLDKLSNREWGSSHGSGTLTPDATTCTPRNGLRLDHPLSEIVSHPSLIKQNPIDQVAVNHRVSFELTTEEVIRCAETGAATSSEAVPEFLHNEATREREENTTKVADDYEYRVGETSNERPEKAPADREGTPQHHENQSLTLGSAKEFNFENVDGVDAHKPILSSDWWANKKVAGKDDNVARNWSFFPMMQPGVS >KJB52127 pep chromosome:Graimondii2_0_v6:8:53203519:53206920:-1 gene:B456_008G247900 transcript:KJB52127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGANGESRAMNNPLETIHAAANAIASAENRVPQSTVQKRWGGWWSKYWCFGSYKQKKRIGPAVPVSETSSSRANMPAAEIPTQAVTVTLPFVAPPSSPASFLPSEPPSATQSPAGLVSLTSISASMYSPGPASIFAIGPYAHETQLVSPPVFSTFTTEPSTAPFTPPPESVHLTTPSSPEVPFAQFLGPNLQYGEAGQRFPIYQYEFQSYQLHPGSPIGQLISPSSGISGSGTSSPFPDGDFAAGLRFPEFRMGDPPKLLNLDKLSNREWGSSHGSGTLTPDATTCTPRNGLRLDHPLSEIVSHPSLIKQNPIDQVAVNHRVSFELTTEEVIRCAETGAATSSEAVPEFLHNEATREREENTTKVADDYEYRVGETSNERPEKAPADREGTPQHHENQSLTLGSAKEFNFENVDGVDAHKPILSSDWWANKKVAGKDDNVARNWSFFPMMQPGVS >KJB52126 pep chromosome:Graimondii2_0_v6:8:53204020:53206702:-1 gene:B456_008G247900 transcript:KJB52126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGANGESRAMNNPLETIHAAANAIASAENRVPQSTVQKKRWGGWWSKYWCFGSYKQKKRIGPAVPVSETSSSRANMPAAEIPTQAVTVTLPFVAPPSSPASFLPSEPPSATQSPAGLVSLTSISASMYSPGPASIFAIGPYAHETQLVSPPVFSTFTTEPSTAPFTPPPESVHLTTPSSPEVPFAQFLGPNLQYGEAGQRFPIYQYEFQSYQLHPGSPIGQLISPSSGISGSGTSSPFPDGDFAAGLRFPEFRMGDPPKLLNLDKLSNREWGSSHGSGTLTPDATTCTPRNGLRLDHPLSEIVSHPSLIKQNPIDQVAVNHRVSFELTTEEVIRCAETGAATSSEAVPEFLHNEATREREENTTKVADDYEYRVGETSNERPEKAPADREGTPQHHENQSLTLGSAKEFNFENVDGVDAHKPILSSDWWANKKVAGKDDNVARNWSFFPMMQPGVS >KJB52123 pep chromosome:Graimondii2_0_v6:8:53203519:53206920:-1 gene:B456_008G247900 transcript:KJB52123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPYIPRKHLEKRWGGWWSKYWCFGSYKQKKRIGPAVPVSETSSSRANMPAAEIPTQAVTVTLPFVAPPSSPASFLPSEPPSATQSPAGLVSLTSISASMYSPGPASIFAIGPYAHETQLVSPPVFSTFTTEPSTAPFTPPPESVHLTTPSSPEVPFAQFLGPNLQYGEAGQRFPIYQYEFQSYQLHPGSPIGQLISPSSGISGSGTSSPFPDGDFAAGLRFPEFRMGDPPKLLNLDKLSNREWGSSHGSGTLTPDATTCTPRNGLRLDHPLSEIVSHPSLIKQNPIDQVAVNHRVSFELTTEEVIRCAETGAATSSEAVPEFLHNEATREREENTTKVADDYEYRVGETSNERPEKAPADREGTPQHHENQSLTLGSAKEFNFENVDGVDAHKPILSSDWWANKKVAGKDDNVARNWSFFPMMQPGVS >KJB47034 pep chromosome:Graimondii2_0_v6:8:847164:849559:-1 gene:B456_008G007200 transcript:KJB47034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNEVNLNESKRVVPLNTWVLISNFKLAYNLQRRPDGTFNRDLSEFLDRRVPANINPVDGVFSFDHVDGATGLLNRVYQPSSLNEAQWGMVDLEKPLSTTEIIPVIVFFHGGSFTHSSANSAIYDTFCRRLVSLCKAVVVSVNYRRSPEHRYPCAYDDGWAALKWVKSRTWLQSGKDSNVHVYLAGDSSGGNIAHHVAVRAAEADVEVLGNILLHPMFGGQKRTESEKRLDGKYFVTLHDRDWYWRAYLPEGEDRDHPACNPFGPRGRSLEGLKFPKSLVVVAGLDLIQDWQLAYVEGLKKSGQEVKLLFLEKATIGFYFLPNNNHFYCLMEEIKNFVNPNC >KJB48698 pep chromosome:Graimondii2_0_v6:8:16622508:16622621:-1 gene:B456_008G082200 transcript:KJB48698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVFLFGIVLGLIPITLAGLFVTAYLQYRCGDHLDL >KJB47380 pep chromosome:Graimondii2_0_v6:8:2712489:2713103:-1 gene:B456_008G023800 transcript:KJB47380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDATENPKLHVLFFPFMGHGHMIPMVDMTKLFAMRGVKTTIVTTPLNVPFISSTIERSKNSGFDIHLKVLNFPCVEAGLPEGCENFDSIPSSQGVNLDMIAKFFKAADMFEQPFEQLIQECKPDCLLVDMFFTWASDIADKYDIPRLMFNGGNFLSLCISDSVSLYEPQKKVKSGSEPFVFPNVPGDIKLTRDQMAVIFTQC >KJB52275 pep chromosome:Graimondii2_0_v6:8:53685252:53686277:-1 gene:B456_008G253500 transcript:KJB52275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTKTESYFVFMNYDPEYHRLHADRTKKGAYELDLYLSRKHDELLASTLQPGTYRKTLSLVIVDGFAVEITEAQANVLRSANGVRVVEKNQELA >KJB52276 pep chromosome:Graimondii2_0_v6:8:53685415:53686197:-1 gene:B456_008G253500 transcript:KJB52276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTKTESYFVFMNYDPEYHRLHADRKHDELLASTLQPGTYRKTLSLVIVDGFAVEITEAQANVLRSANGVRVVEKNQELA >KJB52309 pep chromosome:Graimondii2_0_v6:8:53791276:53795359:1 gene:B456_008G255000 transcript:KJB52309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLASSPWLSRFSPPNTKFPDYYSPNNFTLFSLLLRSNPLSSLKTNGPFKLKASLNETQSNGVVKEEETFGLDEALLSRVSATKDADEALEMIAQSQSESREQLSGGVVSVSDCRLIINAALDSNNADLALSVFYAMRSSFDTGVSENRPLVDRWKWSRPDVGIYTTLVLGLATLLRVSDALKMIDDICRVGVSPGEEVPFGKVVRCPICSIAVGVAQPQLGIQIVCCAKCRYKYELVSGNIISVDSEEISMEIPAWKRGLKSLQILKQRVPAAVHSILVQTPSGVARTHRFATETVELPAQEGERVTIACAAPSNVYREVGPFKFSPKAPNFYPGEPMCLTNHKDGRESQLLRAPAKDGNTSILKPQFVIPLLTVLAAGDAASGVIDPSLPQLLSVAAVGSLAVGATLNAVIFPQLNLLPQRSVETTAIKQQLLSQYDVLQSRIRDLKEAAEKEVWMLARMCQLENKIFAVGEPSYRARRSRIKRVREGLENSLRGRIELIDSFARISSMIEIEVEMDSDVLAAEAASNAETIAEQIQQIMELENLEEKWKLQAEANDEAERLLSSQSIPTEQI >KJB53135 pep chromosome:Graimondii2_0_v6:8:56802257:56804583:1 gene:B456_008G294400 transcript:KJB53135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQKKFNEVDRRIFYFPAVRPCETVSPATLLGSLIVLSQNIGNYQSKFFATQRRNSREAIRKIGILLVFFEEIHDRGLILPETAVLCFSELHLTFQKIRFLLEDCSREGARLWILMKSEMVVTQFRVLIRTVATAFDILPLNSMDICGEVMELVELVAKQARKARIEIDPNDERAMRRVLSVLDLFEKGIEPEFQVLKWVLDYLEIKTWSDCNKEIKFLEEQWVESDEREVPFLSSLLGFMCYCRVRIFDTLDYQNADQTDVRCNMEVLSCLNPEDFRCPISLELMIDPVTVSTGQTYDRSSIQKWLKAGHTICPKTGEKLTNTGLVPNTNLRKLIHQFCADNGVSLAKTGKKTRDIARTILPGSPAAAEAMKFLSRIVARNLVFGTSEQKNKAAYEIRLLAKANIFNRSCLIEAGTIPPLLNLLYSFNKSIQENAIAALLKLSKHANGKKIVVENGGLRSIVAVLKRGISLEAKQTAAATIFYLSSVKGYRRLIGEIDETIPALVDLIKEGTPCGKKNGVVALFGLALYHGNRQRVIDAGTIPLLLHIIASSNKDELIVDSLALLATLAECLDGTLAILQASSFPLITRILQSTTSQAGKEHCVSIFLSCCTNGGDEAVAVLAKDTSVMSSLYSVTTDGTAQASKKARSLIKMLHKFHETSSSGQGCQAFTNEQSVHVW >KJB51215 pep chromosome:Graimondii2_0_v6:8:49191637:49192290:-1 gene:B456_008G2068002 transcript:KJB51215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVENDQEMKPNITKEGVRKVVIEEDIKKEKENEKLGLLVYIRRQQNKTPQLGKHFDE >KJB51214 pep chromosome:Graimondii2_0_v6:8:49191864:49192290:-1 gene:B456_008G2068002 transcript:KJB51214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVENDQEMKPNITKEGVRKVVIEEDIKKEKENEKLGLLVYIRRQQNKTPQLGKHFDE >KJB52393 pep chromosome:Graimondii2_0_v6:8:54132460:54134878:-1 gene:B456_008G260000 transcript:KJB52393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMRIFSYASIILSISVYLLPIMVNSIGINYGQIADNLPSPENVVPLVKSIGVTKVKLYDADPRVLGAFANSGVEFMIGLGNGDLEKMRDPNNAQDWVKQNVQAHLPDTKITCIFVGNEVLTFNDTNLSDNLVPAMQSVHTALVNLGLDKQVTVTTAHSLGILQTSFPPSAGAFREDLVDILSQTLSFHQKTGSPFLINAYPFFAYKGNPKQVSLDFVLFQPNQGIIDPTTNLHYDNMLYAQIDAVYSALASLGYKKLPVHISETGWPTKGDEDEAGATPDNAKKYNGNLIKLMSQNAGTPLRPDSDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPAYALGVTNNNTGSGSIGNGVTMPFSPTSSSTGYLSISSATGRRKFVGHALMMASLLLIKTLV >KJB52395 pep chromosome:Graimondii2_0_v6:8:54132460:54135017:-1 gene:B456_008G260000 transcript:KJB52395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMRIFSYASIILSISVYLLPIMVNSIGINYGQIADNLPSPENVVPLVKSIGVTKVKLYDADPRVLGAFANSGVEFMIGLGNGDLEKMRDPNNAQDWVKQNVQAHLPDTKITCIFVGNEVLTFNDTNLSDNLVPAMQSVHTALVNLGLDKQVTVTTAHSLGILQTSFPPSAGAFREDLVDILSQTLSFHQKTGSPFLINAYPFFAYKGNPKQVSLDFVLFQPNQGIIDPTTNLHYDNMLYAQIDAVYSALASLGYKKLPVHISETGWPTKGDEDEAGATPDNAKKYNGNLIKLMSQNAGTPLRPDSDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPAYALGVTNNNTGSGSIGNGVTMPFSPTSSSTGYLSISSATGRRKFVGHALMMASLLLIKTLV >KJB52394 pep chromosome:Graimondii2_0_v6:8:54133213:54134473:-1 gene:B456_008G260000 transcript:KJB52394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMRIFSYASIILSISVYLLPIMVNSIGINYGQIADNLPSPENVVPLVKSIGVTKVKLYDADPRVLGAFANSGVEFMIGLGNGDLEKMRDPNNAQDWVKQNVQAHLPDTKITCIFVGNEVLTFNDTNLSDNLVPAMQSVHTALVNLGLDKQVTVTTAHSLGILQTSFPPSAGAFREDLVDILSQTLSFHQKTGSPFLINAYPFFAYKGNPKQVSLDFVLFQPNQGIIDPTTNLHYDNMLYAQIDAVYSALASLGYKKLPVHISETGWPTKGDEDEAGATPDNAKKYNGNLIKLMSQNAGTPLRPDSDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPAYALGVTNNNTGSGSIGNGVTMPFSPTSSSTGYLSISSATVRAFSRFYC >KJB52396 pep chromosome:Graimondii2_0_v6:8:54131369:54134878:-1 gene:B456_008G260000 transcript:KJB52396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMRIFSYASIILSISVYLLPIMVNSIGINYGQIADNLPSPENVVPLVKSIGVTKVKLYDADPRVLGAFANSGVEFMIGLGNGDLEKMRDPNNAQDWVKQNVQAHLPDTKITCIFVGNEVLTFNDTNLSDNLVPAMQSVHTALVNLGLDKQVTVTTAHSLGILQTSFPPSAGAFREDLVDILSQTLSFHQKTGSPFLINAYPFFAYKGNPKQVSLDFVLFQPNQGIIDPTTNLHYDNMLYAQIDAVYSALASLGYKKLPVHISETGWPTKGDEDEAGATPDNAKKYNGNLIKLMSQNAGTPLRPDSDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPAYALGVTNNNTGSGSIGNGVTMPFSPTSSSTGYLSISSATGRRKFVGHALMMASLLLIKTLV >KJB49776 pep chromosome:Graimondii2_0_v6:8:38740096:38742010:1 gene:B456_008G137400 transcript:KJB49776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSYTYISLIVLVLVICNYSSTTSASSNPGSETEFEKWLGWNVRNHRSKRLAADRSLVMSVLDDNLVIAEKNKVMINVSRDGSGDFKTINEALNSIPLRNTRRVILVIKPGIYREKIIIPRTMPFITFQGDANNPPTITGNDTASSSSKDGRPLKTFQSATVAVDANYFVAINIKFENTVPHTIGSKGGQAVAVRISGTKAAFYNCSFYGDQDTLYDHKGLHYFNNCFIQGSVDFIFGYGRSLYENCYLNSIAKKVASLTAQKRSSASMASGFSFKDSVVTGNGIGLVYLGRAWGDYSRVVFSYTFMDKVVRPQGWSDWGNPKRDARVYYGEYKCSGPEANLTGRVPWARILTDEEAEPFLGTYYVEGDTWLVNPW >KJB51037 pep chromosome:Graimondii2_0_v6:8:48292290:48311745:-1 gene:B456_008G1984002 transcript:KJB51037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVADPSLSLKQRALVTDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMSDSEVIEMVAHSSEFENIVVREEEQNELEMLARTSCPLEVRGGPSNKHGKISILIQLYISRGSIDSFSLVSDAAYISASLARIMRALFEICLRRGWCEMTLFMLDYCKAVDRQIWPHQHPLRQFDKDLSLEILRKLEERGADLDRLQEMEEKDIGALIRYAPGGRLVKQYLGYFPWVQLSATVSPITRTVLKVDLLISSDFIWKDRFHGAAQRWWILVEDTENDHIYHSELFTLTKKMARTESQKLSFTVPIFEPHPPQYYIRAVSDSWLYAEAFYTISFQNLRLPEARTTHTELLDLKPLPVTSLGNSTYESLYSFSHFNPIQTQIFHVLYHTDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVIYIAPLKAIVRERMHDWRKRLVSQLGKEMVEMTGDYTPDLMALLSADIIISTPEKWDGISRNWHSRSYVTKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERAVRFVGLSTALANAGDLADWLGVGEIGLFNFKPSVRPVPLEVHIQGYPGKYYCPRMNSMNKPAYAAICTHSPTKPVLIFVSSRRQTRLTALDLIQYAASDENPRQFLSMPEEALQMVLSQVTDQNLRHTLQFGIGLHHAGLNDKDRSLVEELFANNMIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREQLHDHMNAEIVSGTICHKEDAVHYLTWTYLFRRLMVNPAYYGLESGEDETLSSYLSRLVQSTFEDLEDSGCIKMTEDSVEPMMLGTIASQYYLSYMTVSMFGSNIGPDTSPEVFLHILSGASEYDELPVRHNEENYNEALSKRVRYMVDQNRLDDPHVKANLLFQAHFSQLDLPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLTSSIACMHLLQMVMQGLWFDQDSALWMLPCMNNELAGSLCKRGISTIQQLLDLPKATLQTVIGNFPASKLYQDLQHFPCIRVKLKLLKKGTESKKSLQLNVRLEKTNLRRNMSRAFAPRFPKIKDEAWWLILGNTSTAELYALKRVSFSDRLVTHMELPSDVTLIQGMKLIIVSDCYLGYEQEHSIENLAA >KJB51038 pep chromosome:Graimondii2_0_v6:8:48292156:48311745:-1 gene:B456_008G1984002 transcript:KJB51038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVADPSLSLKQRALVTDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMSDSEVIEMVAHSSEFENIVVREEEQNELEMLARTSCPLEVRGGPSNKHGKISILIQLYISRGSIDSFSLVSDAAYISASLARIMRALFEICLRRGWCEMTLFMLDYCKAVDRQIWPHQHPLRQFDKDLSLEILRKLEERGADLDRLQEMEEKDIGALIRYAPGGRLVKQYLGYFPWVQLSATVSPITRTVLKVDLLISSDFIWKDRFHGAAQRWWILVEDTENDHIYHSELFTLTKKMARTESQKLSFTVPIFEPHPPQYYIRAVSDSWLYAEAFYTISFQNLRLPEARTTHTELLDLKPLPVTSLGNSTYESLYSFSHFNPIQTQIFHVLYHTDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVIYIAPLKAIVRERMHDWRKRLVSQLGKEMVEMTGDYTPDLMALLSADIIISTPEKWDGISRNWHSRSYVTKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERAVRFVGLSTALANAGDLADWLGVGEIGLFNFKPSVRPVPLEVHIQGYPGKYYCPRMNSMNKPAYAAICTHSPTKPVLIFVSSRRQTRLTALDLIQYAASDENPRQFLSMPEEALQMVLSQVTDQNLRHTLQFGIGLHHAGLNDKDRSLVEELFANNMIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREQLHDHMNAEIVSGTICHKEDAVHYLTWTYLFRRLMVNPAYYGLESGEDETLSSYLSRLVQSTFEDLEDSGCIKMTEDSVEPMMLGTIASQYYLSYMTVSMFGSNIGPDTSPEVFLHILSGASEYDELPVRHNEENYNEALSKRVRYMVDQNRLDDPHVKANLLFQAHFSQLDLPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLTSSIACMHLLQMVMQGLWFDQDSALWMLPCMNNELAGSLCKRGISTIQQLLDLPKATLQTVIGNFPASKLYQDLQHFPCIRVKLKLLKKGTESKKSLQLNVRLEKTNLRRNMSRAFAPRFPKIKDEAWWLILGNTSTAELYALKRVSFSDRLVTHMELPSDVTLIQGMKLIIVSDCYLGYEQEHSIENLAA >KJB48328 pep chromosome:Graimondii2_0_v6:8:10148498:10150426:-1 gene:B456_008G063600 transcript:KJB48328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPERCRIGYEEQKEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDNKSKLIGMRKTLVFYKGRAPNGHKTDWIMHEYRLESDDNRPPQEEGWVICRAFKKKISGQAKSIEGWESRCFYDEPSGLSSVIDPMEYLSKQPHKFLPYCKEETEADNLDFVYCDQFVELPQLESPSLPLINKPASISLISENIVNYGEEEEEEADKKRMCNANTKKVTDWRALDKFVASQLSHEDRYNNGDQERASISSFDANNSSNSDMEALLLLQSSREERNKLKELLNSSTSHCDVGICIFDQLKGDDQEALSLN >KJB48327 pep chromosome:Graimondii2_0_v6:8:10148429:10150748:-1 gene:B456_008G063600 transcript:KJB48327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESSVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVITDIDLYRIEPWDLQERCRIGYEEQKEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDNKSKLIGMRKTLVFYKGRAPNGHKTDWIMHEYRLESDDNRPPQEEGWVICRAFKKKISGQAKSIEGWESRCFYDEPSGLSSVIDPMEYLSKQPHKFLPYCKEETEADNLDFVYCDQFVELPQLESPSLPLINKPASISLISENIVNYGEEEEEEADKKRMCNANTKKVTDWRALDKFVASQLSHEDRYNNGDQERASISSFDANNSSNSDMEALLLLQSSREERNKLKELLNSSTSHCDVGICIFDQLKGDDQEALSLN >KJB48325 pep chromosome:Graimondii2_0_v6:8:10148582:10150615:-1 gene:B456_008G063600 transcript:KJB48325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESSVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVITDIDLYRIEPWDLQERCRIGYEEQKEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDNKSKLIGMRKTLVFYKGRAPNGHKTDWIMHEYRLESDDNRPPQEEGWVICRAFKKKISGQAKSIEGWESRCFYDEPSGLSSVIDPMEYLSKQPHKFLPYCKEETEADNLDFVYCDQFVELPQLESPSLPLINKPASISLISENIVNYGEEEEEEADKKRMCNANTKKVTDWRALDKFVASQLSHEDRYNNGDQERASISSFDANNSSNSDMEALLLLQSSREERNKLKELLNSSTSHCDVGICIFDQLKGDDQEALSLN >KJB48326 pep chromosome:Graimondii2_0_v6:8:10148429:10152123:-1 gene:B456_008G063600 transcript:KJB48326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESSVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVITDIDLYRIEPWDLQERCRIGYEEQKEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDNKSKLIGMRKTLVFYKGRAPNGHKTDWIMHEYRLESDDNRPPQEEGWVICRAFKKKISGQAKSIEGWESRCFYDEPSGLSSVIDPMEYLSKQPHKFLPYCKEETEADNLDFVYCDQFVELPQLESPSLPLINKPASISLISENIVNYGEEEEEEADKKRMCNANTKKVTDWRALDKFVASQLSHEDRYNNGDQERASISSFDANNSSNSDMEALLLLQSSREERNKLKELLNSSTSHCDVGICIFDQLKGDDQEALSLN >KJB48641 pep chromosome:Graimondii2_0_v6:8:15400137:15403168:1 gene:B456_008G079700 transcript:KJB48641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKEVPKVLQVESLILKIWNFSLDVFQFLKSSHQHLPDELSSASLEHCLQKIKHVAYEQASSVIREAIRDQVDSVGPSSDIIVKIAENLSLRSNQEILIEAVALEKLKENAEQAEKTAEAEFIDQIIALVNRMHDRLVSMKQSETCSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKNWISLGLTVCPKTRQTLAHTTLIPNYTVKALIANWCESNNVKLPNPTKSISLDQSYPLLVHAESGLPRDSNSFPPPRSSQPLSPESRSRGQAGKNLVTSGGLHHKGTSPLHSLSTSESSLPAIAGNGGGLDVARISLNSVEDRSKLEQRYSDSVGEPPVSPSNNIGQSSQNHTRSVSASSALPNPDSPRGAVGDANETSEGSTHLAAYNSDASGEVKSDAQPRVSSAIPQREPQFPPRLMDTRSRSQTIWRRPSERFIPRIVSSPGVENRADLSGIEAQVKKLVEDLKCTSVDTQREATAQLRLLAKHNMDNRIIIANCGAIVLLVDLLHSPDTKTQENAVTALLNLSINDNNKTAIADADAIEPLIHVLETGSPEAKENSAATLFSLSVIEDNKVKIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVRHLVDLMDPAAGMVDKAVAVLANLATIPEGRNAIGQESGIPVLVEVVELGSARGKENAAAALLQLCTTSGRFCNMVLQEGAVPPLVALSQTGTPRAKEKAQALLSYFRNQRHGSAGRG >KJB48643 pep chromosome:Graimondii2_0_v6:8:15397781:15403646:1 gene:B456_008G079700 transcript:KJB48643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHDHMEISLLKALLGNISSFLNLSSFENINSEPVQKFYQRAEEILKLLKPILSAVIDSEITSDKVLGKAFEGLSLSIEELREQFESWQPLLSKAYFVLQVESLILKIWNFSLDVFQFLKSSHQHLPDELSSASLEHCLQKIKHVAYEQASSVIREAIRDQVDSVGPSSDIIVKIAENLSLRSNQEILIEAVALEKLKENAEQAEKTAEAEFIDQIIALVNRMHDRLVSMKQSETCSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKNWISLGLTVCPKTRQTLAHTTLIPNYTVKALIANWCESNNVKLPNPTKSISLDQSYPLLVHAESGLPRDSNSFPPPRSSQPLSPESRSRGQAGKNLVTSGGLHHKGTSPLHSLSTSESSLPAIAGNGGGLDVARISLNSVEDRSKLEQRYSDSVGEPPVSPSNNIGQSSQNHTRSVSASSALPNPDSPRGAVGDANETSEGSTHLAAYNSDASGEVKSDAQPRVSSAIPQREPQFPPRLMDTRSRSQTIWRRPSERFIPRIVSSPGVENRADLSGIEAQVKKLVEDLKCTSVDTQREATAQLRLLAKHNMDNRIIIANCGAIVLLVDLLHSPDTKTQENAVTALLNLSINDNNKTAIADADAIEPLIHVLETGSPEAKENSAATLFSLSVIEDNKVKIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVRHLVDLMDPAAGMVDKAVAVLANLATIPEGRNAIGQESGIPVLVEVVELGSARGKENAAAALLQLCTTSGRFCNMVLQEGAVPPLVALSQTGTPRAKEKAQALLSYFRNQRHGSAGRG >KJB48645 pep chromosome:Graimondii2_0_v6:8:15400676:15403532:1 gene:B456_008G079700 transcript:KJB48645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDRLVSMKQSETCSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKNWISLGLTVCPKTRQTLAHTTLIPNYTVKALIANWCESNNVKLPNPTKSISLDQSYPLLVHAESGLPRDSNSFPPPRSSQPLSPESRSRGQAGKNLVTSGGLHHKGTSPLHSLSTSESSLPAIAGNGGGLDVARISLNSVEDRSKLEQRYSDSVGEPPVSPSNNIGQSSQNHTRSVSASSALPNPDSPRGAVGDANETSEGSTHLAAYNSDASGEVKSDAQPRVSSAIPQREPQFPPRLMDTRSRSQTIWRRPSERFIPRIVSSPGVENRADLSGIEAQVKKLVEDLKCTSVDTQREATAQLRLLAKHNMDNRIIIANCGAIVLLVDLLHSPDTKTQENAVTALLNLSINDNNKTAIADADAIEPLIHVLETGSPEAKENSAATLFSLSVIEDNKVKIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVRHLVDLMDPAAGMVDKAVAVLANLATIPEGRNAIGQESGIPVLVEVVELGSARGKENAAAALLQLCTTSGRFCNMVLQEGAVPPLVALSQTGTPRAKEKVIVLLFFFLLFLTKPFFFTII >KJB48642 pep chromosome:Graimondii2_0_v6:8:15397781:15403646:1 gene:B456_008G079700 transcript:KJB48642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHDHMEISLLKALLGNISSFLNLSSFENINSEPVQKFYQRAEEILKLLKPILSAVIDSEITSDKVLGKAFEGLSLSIEELREQFESWQPLLSKAYFVLQVESLILKIWNFSLDVFQFLKSSHQHLPDELSSASLEHCLQKIKHVAYEQASSVIREAIRDQVDSVGPSSDIIVKIAENLSLRSNQEILIEAVALEKLKENAEQAEKTAEAEFIDQIIALVNRMHDRLVSMKQSETCSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKNWISLGLTVCPKTRQTLAHTTLIPNYTVKALIANWCESNNVKLPNPTKSISLDQSYPLLVHAESGLPRDSNSFPPPRSSQPLSPESRSRGQAGKNLVTSGGLHHKGTSPLHSLSTSESSLPAIAGNGGGLDVARISLNSVEDRSKLEQRYSDSVGEPPVSPSNNIGQSSQNHTRSVSASSALPNPDSPRGAVGDANETSEGSTHLAAYNSDASGEVKSDAQPRVSSAIPQREPQFPPRLMDTRSRSQTIWRRPSERFIPRIVSSPGVENRADLSGIEAQVKKLVEDLKCTSVDTQREATAQLRLLAKHNMDNRIIIANCGAIVLLVDLLHSPDTKTQENAVTALLNLSINDNNKTAIADADAIEPLIHVLETGSPEAKENSAATLFSLSVIEDNKVKIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVRHLVDLMDPAAGMVDKAVAVLANLATIPEGRNAIGQESGIPVLVEVVELGSARGKENAAAALLQLCTTSGRFCNMVLQEGAVPPLVALSQTGTPRAKEKAQALLSYFRNQRHGSAGRG >KJB48644 pep chromosome:Graimondii2_0_v6:8:15397781:15408971:1 gene:B456_008G079700 transcript:KJB48644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHDHMEISLLKALLGNISSFLNLSSFENINSEPVQKFYQRAEEILKLLKPILSAVIDSEITSDKVLGKAFEGLSLSIEELREQFESWQPLLSKAYFVLQVESLILKIWNFSLDVFQFLKSSHQHLPDELSSASLEHCLQKIKHVAYEQASSVIREAIRDQVDSVGPSSDIIVKIAENLSLRSNQEILIEAVALEKLKENAEQAEKTAEAEFIDQIIALVNRMHDRLVSMKQSETCSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKNWISLGLTVCPKTRQTLAHTTLIPNYTVKALIANWCESNNVKLPNPTKSISLDQSYPLLVHAESGLPRDSNSFPPPRSSQPLSPESRSRGQAGKNLVTSGGLHHKGTSPLHSLSTSESSLPAIAGNGGGLDVARISLNSVEDRSKLEQRYSDSVGEPPVSPSNNIGQSSQNHTRSVSASSALPNPDSPRGAVGDANETSEGSTHLAAYNSDASGEVKSDAQPRVSSAIPQREPQFPPRLMDTRSRSQTIWRRPSERFIPRIVSSPGVENRADLSGIEAQVKKLVEDLKCTSVDTQREATAQLRLLAKHNMDNRIIIANCGAIVLLVDLLHSPDTKTQENAVTALLNLSINDNNKTAIADADAIEPLIHVLETGSPEAKENSAATLFSLSVIEDNKVKIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVRHLVDLMDPAAGMVDKAVAVLANLATIPEGRNAIGQESGIPVLVEVVELGSARGKENAAAALLQLCTTSGRFCNMVLQEGAVPPLVALSQTGTPRAKEKAQALLSYFRNQRHGSAGRG >KJB52265 pep chromosome:Graimondii2_0_v6:8:53785393:53788031:1 gene:B456_008G254800 transcript:KJB52265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEQEVHAAEMERTFIAIKPDGVQRGLISEIISRFERKGFKLVAIKLVVPSKEFAQKHYDDLKERPFFNGLCEFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKHEINLWFKPQELVNYTSNAEKWVYGNN >KJB52263 pep chromosome:Graimondii2_0_v6:8:53784380:53788031:1 gene:B456_008G254800 transcript:KJB52263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQIFRSASRAARSLLSASKASRFYSEGRAVAAAAAVSLGGKVPLLASAYGSTASANASRAWLSGVFALPVAAYMLQEQEVHAAEMERTFIAIKPDGVQRGLISEIISRFERKGFKLVAIKLVVPSKEFAQKHYDDLKERPFFNGLCEFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKHEINLWFKPQELVNYTSNAEKWVYGNN >KJB52264 pep chromosome:Graimondii2_0_v6:8:53784407:53788031:1 gene:B456_008G254800 transcript:KJB52264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQIFRSASRAARSLLSASKASRFYSAYMLQEQEVHAAEMERTFIAIKPDGVQRGLISEIISRFERKGFKLVAIKLVVPSKEFAQKHYDDLKERPFFNGLCEFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKHEINLWFKPQELVNYTSNAEKWVYGNN >KJB48439 pep chromosome:Graimondii2_0_v6:8:11409339:11414514:-1 gene:B456_008G069100 transcript:KJB48439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGNKDGTSKQFRWTKPMEHVFLEILAEEAQKGNKSSNTFRVVSINRVVEAISERFQVQCDAKHVENHLRTVKNQWQIICKIRGESGFGWDDNMKMITCDRATYDATVMAHKKYEPFLNKSIDHYDEMALVVGKDMATGSFSRTFADIDLDYGNQDSVPVDCDNEEADEVRTNVSSSGTSKRKRKNVQESVVEEQIKFVGEQLGKIANALEQFTADKTPRLYEQVMSMEEEGFDDDVLCSVFDYLRRNPRQVRCSSHYRIRR >KJB46840 pep chromosome:Graimondii2_0_v6:8:8831357:8832421:-1 gene:B456_008G057100 transcript:KJB46840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSFVALCVVALAVVLFSGESRTAEAVTCNPVELAPCSPALTSSSPPSSACCSKLKAQQPCLCGYLKNPSLKQYVNSPNAKKVASTCGVPYPKC >KJB48384 pep chromosome:Graimondii2_0_v6:8:10679112:10681455:1 gene:B456_008G066100 transcript:KJB48384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVQVLHMNGGVGETSYAKNSLLQQKVITMTKPITEDAITKLYCSKYPEEIAIADLGCSSGPNTFFLVSELLKVVDSVRQKIGQKSPEYQVFLNDLPGNDFNTIFRSLSIFQNKLRKQLGPDSGPCFFTGVPGSFYGRLFRKNSLHFVHSSYSLHWLSQVPQGLESNKRNIYMARTSPPDVLKAYYVQFQKDFSLFLKCRSEELVDGGRMVLTLIGRRSDDPSSKECCYFWELLAMALSDMLVEGLIEEEKLNSFNIPMYTPSPAEVKYEVVKEGSFNIDRLEVTEVNWNAYLDETDLSDAFKDGGYNVAKCMRAVAEPLLASYFGEEILDEVFRRYREIISDRLSKEKNEFVNVTVSLIKP >KJB50935 pep chromosome:Graimondii2_0_v6:8:47765513:47769166:1 gene:B456_008G193700 transcript:KJB50935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESKDPGIKLFGKKIHLPADGEISTLSVDEFDKAQCGNRVVDDEDFEEFDDDDDDDGGGDGDEEEDEQKDKTEQDSLAEQIADTGQEDDNIQPSNREESTNPETSPEPNVNPKTPSIDEETGKSKTGKTDKEQSDGSKSQEKTLKKPDQILPCPRCNSMDTKFCYYNNYNINQPRHFCKACQRYWTAGGTMRNVPVGAGRRKNKNSASHYRHISISEALQAARIDAPNAAHHPALKSNGRVLSFGIDAPICDSMASVLNLGEKKVLNGARNGFHGLEEQRVSVPNRRENGDDCSSGSSITVSNSMEEGGRSCIQENRMGNINGFPSPIPCLPGVPWPYPWNSAVPPPAFCPSGFPMSFYPAAAYWNCGIPGTWNVPWLSPQSSSTSSNHTAPTSGPNSPTLGKHSREGDMAKLDDSEKQKATKQKNGCVLVPKTLRIDDPSEAAKSSIWATLGIKNESLSGGGLFKAFHPKSDEKNHVAEASPVLRANPAALSRSLNFHERS >KJB46935 pep chromosome:Graimondii2_0_v6:8:411041:416375:-1 gene:B456_008G002400 transcript:KJB46935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS3 MSPGETVSRSPLDKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDAVEARKKLYPPCPEYPPRVVSDSNVLPREMTPNNGILVPVSESVPCPHSNPSKSDFSGDNSGRTVISGNDSVSPRIAGAAKEPAGQMTIFYCGKVNVYDDMPGCKAEAIMQLAASPVSFPHEILADQRSTPWSIPCHSQAASVKTIPCSQMVILPPQQTENCQFPREESNASLEDSLEGPTSRKALVQRYLEKKKDR >KJB46938 pep chromosome:Graimondii2_0_v6:8:411783:416154:-1 gene:B456_008G002400 transcript:KJB46938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS3 MSPGETVSRSPLDKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDAVEARKKLYPPCPEYPPRVVSDSNVLPREMTPNNGILVPVSESVPCPHSNPSKSDFSGDNSGRTVISGNDSVSPRIAGAAKEPAGQMTIFYCGKVNVYDDMPGCKAEAIMQLAASPVSFPHEILADQRSTPWSIPCHSQAASVKTIPCSQMVILPPQQTENCQFPREESNASLEDSLEGPTSRKALVQRYLEKKKDRYLSWQIRRTLESNTCTI >KJB46936 pep chromosome:Graimondii2_0_v6:8:410942:416444:-1 gene:B456_008G002400 transcript:KJB46936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS3 MSPGETVSRSPLDKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDAVEARKKLYPPCPEYPPRVVSDSNVLPREMTPNNGILVPVSESVPCPHSNPSKSDFSGDNSGRTVISGNDSVSPRIAGAAKEPAGQMTIFYCGKVNVYDDMPGCKAEAIMQLAASPVSFPHEILADQRSTPWSIPCHSQAASVKTIPCSQMVILPPQQTENCQFPREESNASLEDSLEGPTSRKALVQRYLEKKKDRFKNKRKLAMSSSPTLDIYLNQVGDQFSNEQLKQSEPYYSPQAEVHRMPLECSSIENVAKIPRLTTDGKDAFKI >KJB46937 pep chromosome:Graimondii2_0_v6:8:411041:416281:-1 gene:B456_008G002400 transcript:KJB46937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS3 MSPGETVSRSPLDKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDAVEARKKLYPPCPEYPPRVRVVSDSNVLPREMTPNNGILVPVSESVPCPHSNPSKSDFSGDNSGRTVISGNDSVSPRIAGAAKEPAGQMTIFYCGKVNVYDDMPGCKAEAIMQLAASPVSFPHEILADQRSTPWSIPCHSQAASVKTIPCSQMVILPPQQTENCQFPREESNASLEDSLEGPTSRKALVQRYLEKKKDRFKNKRKLAMSSSPTLDIYLNQVGDQFSNEQLKQSEPYYSPQAEVHRMPLECSSIENVAKIPRLTTDGKDAFKI >KJB50687 pep chromosome:Graimondii2_0_v6:8:49492941:49493714:1 gene:B456_008G209400 transcript:KJB50687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQTSKVDHENDEIQPKVPPLLTRKLQEIEKRRFGSSLSKQILLQHCPEDGSCSDRKSSAVLSLEPDNDNVGSANIAPQPMVSDKDILSVAGEGENKEDGNNAEARKLINKETRSMEKLSLEEKEAHKKIVEEIGRANEERRPCLTCLVSPGLKFYLTENEKEDDCSSKDDLLIKGSLSDTDIDAAKEIFESVSSTEEPSN >KJB50688 pep chromosome:Graimondii2_0_v6:8:49492778:49494051:1 gene:B456_008G209400 transcript:KJB50688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQTSKVDHENDEIQPKVPPLLTRKLQEIEKRRFGSSLSKQILLQHCPEDGSCSDRKSSAVLSLEPDNDNVGSANIAPQPMVSDKDILSVAGEGENKEDGNNAEARKLINKETRSMEKLSLEEKEAHKKIVEEIGRANEERRPCLTCLVSPGLKFYLTENEKEDDCSSKDDLLIKGSLSDTDIDAAKEIFESVSSTELKRRGRRKMRFRRVIPTGKPVKNFLKVKSCYYPSCGDQKLNRHYSKSSSNVKS >KJB49737 pep chromosome:Graimondii2_0_v6:8:38381303:38384894:1 gene:B456_008G135600 transcript:KJB49737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEEDNIHKTTDNGGQHMVKISAGSDGVYHSSETASKSAQTLKVQPIEVPDILVDELKEVTDNFGANSLIGEGSYGRVYYGVLRSRQAAAIKKLDASKQPDDDFLAQVSLASRLKHENFVQLLGYCVDGSSRILAYEFASNGSLHDILHGRKGVKGSQPGPVLTWAQRVKIAVGAAKGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNVKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVRQCIDQRLGGDYPPKAIAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARPGAAAETPSNT >KJB50674 pep chromosome:Graimondii2_0_v6:8:45998135:46001282:1 gene:B456_008G182000 transcript:KJB50674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAVKKLKPEGFQGHKEWLTEVDYLGQLHHPNLVKLIGYCSEGENRLLVYEFMPKGSLENHLFRRGPQPLSWAVRLKVAIGAARGLSFLHDLKSQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTQGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTKVGVEQSLVDWAKPYLGDKRKLFRIMDTKLGGQYPQKGAFTAATLALQCLNSEAKLRPRMSEVLAALEQLEAPKTASKHSQAEQHAVPLPVRKSPMRHHRSPMHLTPRASPLPSHRQSPRVH >KJB50673 pep chromosome:Graimondii2_0_v6:8:45996731:46001282:1 gene:B456_008G182000 transcript:KJB50673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLFSSTRVDSTQSPQPTSASGGSRISSKTSRSSAPSSLTLPSFSDTSSSNGCLPTPRTEGEILASPNLKPFSFNELKNATRNFRPDSLLGEGGFGYVFKGWIDEHTLAAARPGSGMVIAVKKLKPEGFQGHKEWLTEVDYLGQLHHPNLVKLIGYCSEGENRLLVYEFMPKGSLENHLFRRGPQPLSWAVRLKVAIGAARGLSFLHDLKSQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTQGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTKVGVEQSLVDWAKPYLGDKRKLFRIMDTKLGGQYPQKGAFTAATLALQCLNSEAKLRPRMSEVLAALEQLEAPKTASKHSQAEQHAVPLPVRKSPMRHHRSPMHLTPRASPLPSHRQSPRVH >KJB49388 pep chromosome:Graimondii2_0_v6:8:34926161:34930075:-1 gene:B456_008G116300 transcript:KJB49388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEEQARFLFGISLSDLPKWKQFLICSSGFFFGYLVNGVCEEYVYNRLQFSYGWYFTFVQGFVYLFLIYLQGFTPKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMVMGAFIPGLRRKYPAHEYISAILLVVGLILFTLADAQTSPNFSVVGVVMVTGALVMDSFLGNLQEAIFTLNPETTQMEMLFCSTVVGLPFLIPPMVLTGELFKAWNSCSQHLYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMVTTARKAVTLLLSYLIFTKPLTEQHGTGLLLIAMGITLKLLPMPVDSKPGYKRVSSSVASEETRNGLEVEEKNNLV >KJB51581 pep chromosome:Graimondii2_0_v6:8:50996013:51000619:1 gene:B456_008G223400 transcript:KJB51581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVESILDIPVQDPPEEEFSSADLIWTKFGTAEHHDDVALIPYARVDEFIIGECSNVECPTRFHIERGRKRSMGSLKEYKSDEYLEYRLYWCSFGPENYGEGGGVLPSRRYRLNTRNRAARPQSMRGCTCHFVVKRLYARPALALIIFNERRHINKSGFVCHGPLDKDAIGPGAKKIPYISNEIQQQTMSMIYLGIPEENVLEKHIEYVQRYGGSDATVSTLASQYVRKLGMIIKRSTHELDLDDQASIRMWVERNKKSIFFYQDTSETDPFILGIQTEWQLQQMVRFGHRSLIAADSTFGIKRLKYPLCTLLVFDSRQHALPVAWVITRSVAKPDVAKWMKALLDRARSVDPGWKINGFVIDDAAMEIDPIRDAFCCPILFSIWRVRGSWLRNVVKKCSNIEVQREIFKRLGEIVYSIWGGLDTSVALEELILDFVDQSAFMEYFKSTWVPKIEMWLSTIKTFPLASQEASGAIEAYHVKLKTKLFDDSHLGALQRVDWLVHKLTTELHSAYWLDRYADESDSFQHVKEEYIASTSWHRALQIPDSAVTLDDKGHLFAKVASQKDSSRTHLVWNPGSDFAFCDCAWSMQGNFCKHVIKVNMICENAKGCRPSMSFWSFREILIDLCKRPMDDSIGLDESVAWTHQMLDQIKQLVELNSSNDIGIVVNNMPLKWISKKGRTFVGIPASLPALPSDSKTITKNVQKSRKRKRLSRLR >KJB51582 pep chromosome:Graimondii2_0_v6:8:50995988:51000525:1 gene:B456_008G223400 transcript:KJB51582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVESILDIPVQDPPEEEFSSADLIWTKFGTAEHHDDVALIPYARVDEFIIGECSNVECPTRFHIERGRKRSMGSLKEYKSDEYLEYRLYWCSFGPENYGEGGGVLPSRRYRLNTRNRAARPQSMRGCTCHFVVKRLYARPALALIIFNERRHINKSGFVCHGPLDKDAIGPGAKKIPYISNEIQQQTMSMIYLGIPEENVLEKHIEYVQRYGGSDATVSTLASQYVRKLGMIIKRSTHELDLDDQASIRMWVERNKKSIFFYQDTSETDPFILGIQTEWQLQQMVRFGHRSLIAADSTFGIKRLKYPLCTLLVFDSRQHALPVAWVITRSVAKPDVAKWMKALLDRARSVDPGWKINGFVIDDAAMEIDPIRDAFCCPILFSIWRVRGSWLRNVVKKCSNIEVQREIFKRLGEIVYSIWGGLDTSVALEELILDFVDQSAFMEYFKSTWVPKIEMWLSTIKTFPLASQEASGAIEAYHVKLKTKLFDDSHLGALQRVDWLVHKLTTELHSAYWLDRYADESDSFQHVKEEYIASTSWHRALQIPDSAVTLDDKGHLFAKVASQKDSSRTHLVWNPGSDFAFCDCAWSMQGNFCKHVIKVNMICENAKGCRPSMSFWSFREILIDLCKRPMDDSIGLDESVAWTHQMLDQIKQLVELNSSNDIGIVVNNMPLKWISKKGRTFVGIPASLPALPSDSKTITKNVQKSRKRKRLSRLR >KJB48314 pep chromosome:Graimondii2_0_v6:8:10080943:10082096:1 gene:B456_008G063000 transcript:KJB48314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLMQVADEMFLFPTIYTYSCFFFLKLDPYLTSHLCRSSGKPSQIYRMALSTNMAASLVLFSLLLLHFTQAEELMSIDGAPSPSPQAQPIDCGIACEGRCGLSKRPNLCKRSCGSCCKRCKCVPPGTSGNYEACPCYANLTTRKNARKCP >KJB50456 pep chromosome:Graimondii2_0_v6:8:44665507:44665790:-1 gene:B456_008G171800 transcript:KJB50456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLECKNLPTIILYATKTHYIKILNFRHVNNNSLLGIRYVDSNDGKSGKKEETDNARLRGFNRGEIE >KJB47512 pep chromosome:Graimondii2_0_v6:8:3666954:3669929:1 gene:B456_008G030600 transcript:KJB47512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPSAVFGMLFGSEFFEEYVGQLALASLATVETEIDDDSLDKDARMQKLQEKMKTVQKEREEKLITLLKNRLEPFVEGQTDEFINWANSEARRLSKADFGEAMLHTIGYIYTRKAAKELGKDKHYMKVPFLAEWVRDKGHRIKSQVMAASGAVSLIQIQEDLKKANQGENREENIMKTLEDKKDAMLQSLWQINVVDIESTLSHVCLAVLKDPSVSKEVLVLRAKALKKLGAIFQGAKAAHSRENSLRRENDKAIKGGSSSS >KJB47508 pep chromosome:Graimondii2_0_v6:8:3665881:3669944:1 gene:B456_008G030600 transcript:KJB47508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTAYYDVLGVNVDASAAEIKKAYYLKARLVHPDKNPGDPKAAENFQALGEAYQVLSDPEKREAYDKHGKAGVQPDSMLDPSAVFGMLFGSEFFEEYVGQLALASLATVETEIDDDSLDKDARMQKLQEKMKTVQKEREEKLITLLKNRLEPFVEGQTDEFINWANSEARRLSKADFGEAMLHTIGYIYTRKAAKELGKDKHYMKVPFLAEWVRDKGHRIKSQVMAASGAVSLIQIQEDLKKANQGENREENIMKTLEDKKDAMLQSLWQINVVDIESTLSHVCLAVLKDPSVSKEVLVLRAKALKKLGAIFQGAKAAHSRENSLRRENDKAIKGGSSSS >KJB47507 pep chromosome:Graimondii2_0_v6:8:3666344:3669929:1 gene:B456_008G030600 transcript:KJB47507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTAYYDVLGVNVDASAAEIKKAYYLKARLVHPDKNPGDPKAAENFQALGEAYQVLSDPEKREAYDKHGKAGVQPDSMLDPSAVFGMLFGSEFFEEYVGQLALASLATVETEIDDDSLDKDARMQKLQEKMKTVQKEREEKLITLLKNRLEPFVEGQTDEFINWANSEARRLSKADFGEAMLHTIGYIYTRKAAKELGKDKHYMKVPFLAEWVRDKGHRIKSQVMAASGAVSLIQIQEDLKKANQGENREENIMKTLEDKKDAMLQSLWQINVVDIESTLSHVCLAVLKDPSVSKEVLVLRAKALKKLGAIFQGAKAAHSRENSLRRENDKAIKGGSSSS >KJB47511 pep chromosome:Graimondii2_0_v6:8:3666954:3669929:1 gene:B456_008G030600 transcript:KJB47511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPSAVFGMLFGSEFFEEYVGQLALASLATVETEIDDDSLDKDARMQKLQEKMKTVQKEREEKLITLLKNRLEPFVEGQTDEFINWANSEARRLSKADFGEAMLHTIGYIYTRKAAKELGKDKHYMKVPFLAEWVRDKGHRIKSQVMAASGAVSLIQIQEDLKKANQGENREENIMKTLEDKKDAMLQSLWQINVVDIESTLSHVCLAVLKDPSVSKEVLVLRAKALKKLGAIFQGAKAAHSRENSLRRENDKAIKGGSSSS >KJB47510 pep chromosome:Graimondii2_0_v6:8:3667861:3669638:1 gene:B456_008G030600 transcript:KJB47510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTVQKEREEKLITLLKNRLEPFVEGQTDEFINWANSEARRLSKADFGEAMLHTIGYIYTRKAAKELGKDKHYMKVPFLAEWVRDKGHRIKSQVMAASGAVSLIQIQEDLKKANQGENREENIMKTLEDKKDAMLQSLWQINVVDIESTLSHVCLAVLKDPSVSKEVLVLRAKALKKLGAIFQGAKAAHSRENSLRRENDKAIKGGSSSS >KJB47509 pep chromosome:Graimondii2_0_v6:8:3666615:3669929:1 gene:B456_008G030600 transcript:KJB47509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLISCIRLPNSKAKSSSKRITMVKDTAYYDVLGVNVDASAAEIKKAYYLKARLVHPDKNPGDPKAAENFQALGEAYQVLSDPEKREAYDKHGKAGVQPDSMLDPSAVFGMLFGSEFFEEYVGQLALASLATVETEIDDDSLDKDARMQKLQEKMKTVQKEREEKLITLLKNRLEPFVEGQTDEFINWANSEARRLSKADFGEAMLHTIGYIYTRKAAKELGKDKHYMKVPFLAEWVRDKGHRIKSQVMAASGAVSLIQIQEDLKKANQGENREENIMKTLEDKKDAMLQSLWQINVVDIESTLSHVCLAVLKDPSVSKEVLVLRAKALKKLGAIFQGAKAAHSRENSLRRENDKAIKGGSSSS >KJB49994 pep chromosome:Graimondii2_0_v6:8:40306335:40325238:-1 gene:B456_008G149000 transcript:KJB49994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPARDSILLEDFGQKVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATKVRLCLDRRSHGTDSLLSDSLGQWQGPSLLAYNDAVFTEEDFVSISRIGGSSKHGQAWKTGRFGVGFNSVYHLTDLPSFVSGNYVVLFDPQGFYLPNVSTANPGKRIDFVSSSALSIYNDQFLPYRVFGCDMKTSFAGTLFRFPLRNSDQAVRSKLSRQAYSEDDISSLFFQLFEEGVFSLLFLKSVLCIEMYTWDAGESEPKKLFSCSVNAPNDEIIWHRQALLRLSKSVVNITGNEVDAYSVEFLSEAMAGTECRKRIDTFYIVQSMASASSRIGSFAATASKEYDIHLLPWASVAACISDSSSDNVALKLGQAFCFLPLPVRTGLTVQVNAYFEVSSNRRGIWYGEDMDRSGKVRSIWNRLLLEDVIAPIFMQMLLGVRELLGPTNSYYSLWPKGSFEEPWSILVENIYKNISNSAVLYSDLGGGKWVSPVEAFLHDGEFGKSKELAEALLQLGMPIVHLPSCLFDMFLKYATYFQQKVVTPDTVRHFLRSCKTLMSLSKSFKLVLLEYCLEDLIDSDVGAYANNLSLIPLANGDFGIFSEGTKGVSYFVCNELEYMLLQQISDIIVDRDIPLNLLSRLSGIAKSSKANLAVFSVQHFVKLFPRFVPAEWRYKSKVLWEPESCCTFPTKSWFVLFWQYLQNQGEGLLLFGDWPILPSTSGHLYRPSRQSKLIKAEKLSDRMQGILVKIGCKILDPDYGVAHPDLFHYVSDSTFSGVLDSIFDIASSNGSIIQTFNCNLTAEEKNELREFLLYPKWYVGELVNSSRIKNCKKLPIYRVHTAESAQNFCFSDLENPQKYLPPFGIPEYPLGGEFILCSSNSEEEILLRFYEVERMGKARFYRQQVLNRIKEMHNEVRDNVMLSILENLPQLSIEDASLRDYLRNLEFVPTFTGALKCPSVLYDPRNEELYALLEDSDSFPSGPFQESGILDMLQGLGLRTSVTPETVIGSAQQIEQMMHEDQHKAHSRGKILLSYLEVNAMKWLPNQVSDDQGAVNRIFSRAATAFRPRNMRSDLEKFWNDLRMICWCPVLVSSPFQALPWPVVSSKVAPPKLVRLQTDLWLISASMRILDGECSSTALSYNLGWLTPPGGSAIAAQLLELGKNNEIVNEQVLRQELALAMPRIYSILMNMIGSDEMDIVKAVLEGCRWIWVGDGFATSDEVVLDGPLHLTPYIRVIPMDLAVFKELFLELGIREFLKPSDYANILGRMAARKGSSPLDADEIRAAILIVQHLSGVQFHEEVKIYLPDASARLHPASNLVYNDAPWFLGSDDSDTLFSGRSAAVLNARSTQRFVHGNISNEVAEKLGVCSLRRILLAESADSMNLSLSGAAEAFGQHEALTTRLKHILEMYADGPGILFELVQNAEDSGASEVTFLLDKTQYGTSSILSPEMADWQGPALYCFNNSVFSPQDLYAISRIGQESKLEKPFAIGRFGLGFNCVYHFTDIPIFVSGENIVMFDPHASNLPGISPSHPGLRIKFVGRKVLEQFPDQFSPFLYFGCDLQQFFPGTLFRFPLRSASVASRSQIKKEGYSPDDVMSLFSSFSAVVSDALLFLRNVKSISIFVKEGAGHEMQLMHRVQRNCISEPQTHSDALHQMFGLIDAKRHGGMDKDQLLKKLSKSIDRELPHKCQKIVVTEQNSSGVVSHCWITGECLGSGRAKTNRSVADDKIHKSIPWACVAAHIQSVKVDGEICDVFSQENTCAGDIFQLSMASIQDRKNIEGRAFCFLPLPISTGLPAHINAYFELSSNRRDIWFGNDMAGGGKKRSDWNIYLLEDVVAPAYGHLLEKIASLVGLSELFFSFWPTTTGLEPWASVVRKLYCFIAEFGLRVLYTKARGGQWISTKQTIFPDFTFHKAHELVEALCDAGLPLANVPKPVVERFMDVCPSLHYLTPQFLRSLLSRRKRGLKDRNAVILTLEYCLLDLKIPVQADCLFGLPLLPLADGSVTTFEKNGAGERIYIARGDEYGLLKDLLPQQLVYCELLEVVHSKLCDMAQSEQSNLSFLSCHLLEKLFLKLLPADWQLAKKVSWVPGHEGQPSLEWIKLLWSYLNSCCDDLSIFFKWPILPVEDNYLLQLVKSSNVIKNDGWSENMSTLLLKVGCLFLRQDMGIQHPQLELFVQSPTASGILNAFLAVAGNGKMESIEGLFADASEGELHELRSYILQSKWFHEEQMTDLHIDIIKHIPMFESYRSRKLVSLNKPVKWLKPNGIREDMLNDDFVRAESERERIILTRYFDVTEPSKVEFYKSYVLNHMSEFLSQQGAFPAILHDVKMLVEEDISIRSALSTTPFVLAANGSWQPPSRLYDPRVPELQKLLCKEVFFPSEKFSGPETLDTLVSLGLRRTLGFIGFLDCARSISTLHESGDPEAATYGRKLLLYLDALACKLSSVREGDVQRAISNKLPENYPASEGNGSEMPGDLIDLNSDLVCGDAVAVDFPKREETICKDDIDIDNVIGNSMDDMPEEDFWSEMKTIAWCPVCVNPPFQGLPWLKPTSHLVSSSTVRPKSQMWMVSSTMHILDGQCDSLYIQQRLGWMDQLNINVLSTQLIELSKSYCNLKSHSLVEPDFDAALQQGIPMLYSKLQEHIGTDDFMVLKYSLDGVSWVWIGDDFVSPNALAFDSPVKFTPYLYVVPSELAEFRDLLLELGVRLSFDIWDYFHVLQRLQNDLKGIPLSADQFGFVNCVLEAIADCSSDKPFSEASNSPLLIPDSCGVLVPAGELVYNDAPWIENSALVGKRFIHPSINNDLANRLGVKSLRCLSLVSEDMTKDLPCMEFARISELLSLYGNNEFLLFDLLELADCCKAKKLHLIFDKREHPRQSLLQHNLVEFQGPALVAILEGASLNREEISGLQLIPPWRLRANTLNYGLGLLSCYFICDLLSIISGGYFYMFDPRGVALSVSSNQAPAAKMFSLIGTSLTERFRDQFIPMLIDQKMPWSSSDSTIIRMPLSPECLKDGLELGLNRVNKIIDRFLEQASRILISLKSVLQVSVSTWEEGSTQLCQDFSVFIDPPSAILRNPFSEKKWRKFQISRLFSSSNAAVKLHVIDVNLFQKGTRFVDRWLVVLSLGSGQTRNMALDRRYLAYNLTPVAGVAAHISRNGHPTNGHHTSSIMTPLPLSGVVTLPVTVLGCFLVRHNGGRYLFKYQNSEGFYEVQPDAGDQLIEAWNRELMSCVRDSYIELVVEMQKLRRDLSTSSIDSSSGQAVALSLKAYGDQIYSFWPRSNGYVPSDVADDDSKVSSAEVLKADWECLVEQVIRPFYTRLVDLPVWQLYSGNLVKADEGMFLSQPGNGVGGNLLPATVCSFVKEHYQVFSVPWELVNEIHSVGITVREIKPKMVRDLLKAPSTSIVLRSVDTFVDVLEYCLSDIKFPASSNSHGDDMLVDPFNPNAFIRVTNEVGIGSDSVSVSNVRTYQGSSQNAAISGDALEMVTNLGKALFDFGRGVVEDIGRAGTLGERDDGAGSSNSRNGNGDLRLLSIATEVKRLPCPTATNHLARLGVTELWLGNKEHQMLMRPLAAKFVHSKVLDRSILEDIFSKQAIQTTLKLKSFSFHLMATHMRLLFHDNWVNHVMESNLAPWFSWENTSGSGGEGGPSPEWVRTFWKSFGQSSDDLSLFSDWPLIPAYLGRPILCRVRDCHLVFIPPPTDPISGNGVMDAAATQHDLTGVSVNQTSESDSIRQYISAFEISKSRYPWLVSLLNQCHIPVFDFAFMDCAISCNLLPASSQSLGQVIASKLVAAKRAGFLPELTLFSAADRDELLNLFALDFSNNGPRYGRDELEVLCSLPIYRTVLGSFTGLNNQEHCMISSNSFLKPCNEHCLSYSTDSIECSLLHALGIPQLHDQQILVRFGLPRFEEKHKNEREDILIYLYTNWQDLQADSSVVEALRETSFVRNADEFSSDVYKPKDLFDPGDALLASVFSGERKKFPGERFSTEGWLRILRKVGLRTATEADVILECAKRVEFLGSECMKSTGDFDDFETDMTRCRGEVSMEVWTLAGSVIEAVLTNFAVLYGNNFCNLLGDISCVPAELGLPNVGVKRVLASYGEAILLKDWPLAWSCAPILSRQNVIPPEYSWGALHLRSPPSFATVLKHLQIIGKNGGEDTLAHWPTASGMMTIDDASYEVLKHLDKIWGSLSSSDIAKLQGVAFLPAANGTRLVPANSLFARLTINLAPFSFELPSLYLPFMKILKDLGLQDMLSVASAKELLLNLQKACGYQRLNPNELRAVMEILYFVCDGTVEANMLDRLDWKSDAVLPDDGCRLVHAKTCIYIDSYGSRFVKHIDTSRLRFVHPNIPERICRVLGIKKLSEVVTEKLDNEGNLETLDGIGSIPLDIIREKLLSRSFQGAVWTLVNSIAGYLPGINNMDLGTTHSSLESIADKLQFVKCLHTRFWLLSRSQDITFVSKDSVIPEWENESRHRTLYFVDKSKGCILVAEPPTYISVLDVVATVVSQPSIDSR >KJB49993 pep chromosome:Graimondii2_0_v6:8:40306229:40325454:-1 gene:B456_008G149000 transcript:KJB49993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPARDSILLEDFGQKVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATKVRLCLDRRSHGTDSLLSDSLGQWQGPSLLAYNDAVFTEEDFVSISRIGGSSKHGQAWKTGRFGVGFNSVYHLTDLPSFVSGNYVVLFDPQGFYLPNVSTANPGKRIDFVSSSALSIYNDQFLPYRVFGCDMKTSFAGTLFRFPLRNSDQAVRSKLSRQAYSEDDISSLFFQLFEEGVFSLLFLKSVLCIEMYTWDAGESEPKKLFSCSVNAPNDEIIWHRQALLRLSKSVVNITGNEVDAYSVEFLSEAMAGTECRKRIDTFYIVQSMASASSRIGSFAATASKEYDIHLLPWASVAACISDSSSDNVALKLGQAFCFLPLPVRTGLTVQVNAYFEVSSNRRGIWYGEDMDRSGKVRSIWNRLLLEDVIAPIFMQMLLGVRELLGPTNSYYSLWPKGSFEEPWSILVENIYKNISNSAVLYSDLGGGKWVSPVEAFLHDGEFGKSKELAEALLQLGMPIVHLPSCLFDMFLKYATYFQQKVVTPDTVRHFLRSCKTLMSLSKSFKLVLLEYCLEDLIDSDVGAYANNLSLIPLANGDFGIFSEGTKGVSYFVCNELEYMLLQQISDIIVDRDIPLNLLSRLSGIAKSSKANLAVFSVQHFVKLFPRFVPAEWRYKSKVLWEPESCCTFPTKSWFVLFWQYLQNQGEGLLLFGDWPILPSTSGHLYRPSRQSKLIKAEKLSDRMQGILVKIGCKILDPDYGVAHPDLFHYVSDSTFSGVLDSIFDIASSNGSIIQTFNCNLTAEEKNELREFLLYPKWYVGELVNSSRIKNCKKLPIYRVHTAESAQNFCFSDLENPQKYLPPFGIPEYPLGGEFILCSSNSEEEILLRFYEVERMGKARFYRQQVLNRIKEMHNEVRDNVMLSILENLPQLSIEDASLRDYLRNLEFVPTFTGALKCPSVLYDPRNEELYALLEDSDSFPSGPFQESGILDMLQGLGLRTSVTPETVIGSAQQIEQMMHEDQHKAHSRGKILLSYLEVNAMKWLPNQVSDDQGAVNRIFSRAATAFRPRNMRSDLEKFWNDLRMICWCPVLVSSPFQALPWPVVSSKVAPPKLVRLQTDLWLISASMRILDGECSSTALSYNLGWLTPPGGSAIAAQLLELGKNNEIVNEQVLRQELALAMPRIYSILMNMIGSDEMDIVKAVLEGCRWIWVGDGFATSDEVVLDGPLHLTPYIRVIPMDLAVFKELFLELGIREFLKPSDYANILGRMAARKGSSPLDADEIRAAILIVQHLSGVQFHEEVKIYLPDASARLHPASNLVYNDAPWFLGSDDSDTLFSGRSAAVLNARSTQRFVHGNISNEVAEKLGVCSLRRILLAESADSMNLSLSGAAEAFGQHEALTTRLKHILEMYADGPGILFELVQNAEDSGASEVTFLLDKTQYGTSSILSPEMADWQGPALYCFNNSVFSPQDLYAISRIGQESKLEKPFAIGRFGLGFNCVYHFTDIPIFVSGENIVMFDPHASNLPGISPSHPGLRIKFVGRKVLEQFPDQFSPFLYFGCDLQQFFPGTLFRFPLRSASVASRSQIKKEGYSPDDVMSLFSSFSAVVSDALLFLRNVKSISIFVKEGAGHEMQLMHRVQRNCISEPQTHSDALHQMFGLIDAKRHGGMDKDQLLKKLSKSIDRELPHKCQKIVVTEQNSSGVVSHCWITGECLGSGRAKTNRSVADDKIHKSIPWACVAAHIQSVKVDGEICDVFSQENTCAGDIFQLSMASIQDRKNIEGRAFCFLPLPISTGLPAHINAYFELSSNRRDIWFGNDMAGGGKKRSDWNIYLLEDVVAPAYGHLLEKIASLVGLSELFFSFWPTTTGLEPWASVVRKLYCFIAEFGLRVLYTKARGGQWISTKQTIFPDFTFHKAHELVEALCDAGLPLANVPKPVVERFMDVCPSLHYLTPQFLRSLLSRRKRGLKDRNAVILTLEYCLLDLKIPVQADCLFGLPLLPLADGSVTTFEKNGAGERIYIARGDEYGLLKDLLPQQLVYCELLEVVHSKLCDMAQSEQSNLSFLSCHLLEKLFLKLLPADWQLAKKVSWVPGHEGQPSLEWIKLLWSYLNSCCDDLSIFFKWPILPVEDNYLLQLVKSSNVIKNDGWSENMSTLLLKVGCLFLRQDMGIQHPQLELFVQSPTASGILNAFLAVAGNGKMESIEGLFADASEGELHELRSYILQSKWFHEEQMTDLHIDIIKHIPMFESYRSRKLVSLNKPVKWLKPNGIREDMLNDDFVRAESERERIILTRYFDVTEPSKVEFYKSYVLNHMSEFLSQQGAFPAILHDVKMLVEEDISIRSALSTTPFVLAANGSWQPPSRLYDPRVPELQKLLCKEVFFPSEKFSGPETLDTLVSLGLRRTLGFIGFLDCARSISTLHESGDPEAATYGRKLLLYLDALACKLSSVREGDVQRAISNKLPENYPASEGNGSEMPGDLIDLNSDLVCGDAVAVDFPKREETICKDDIDIDNVIGNSMDDMPEEDFWSEMKTIAWCPVCVNPPFQGLPWLKPTSHLVSSSTVRPKSQMWMVSSTMHILDGQCDSLYIQQRLGWMDQLNINVLSTQLIELSKSYCNLKSHSLVEPDFDAALQQGIPMLYSKLQEHIGTDDFMVLKYSLDGVSWVWIGDDFVSPNALAFDSPVKFTPYLYVVPSELAEFRDLLLELGVRLSFDIWDYFHVLQRLQNDLKGIPLSADQFGFVNCVLEAIADCSSDKPFSEASNSPLLIPDSCGVLVPAGELVYNDAPWIENSALVGKRFIHPSINNDLANRLGVKSLRCLSLVSEDMTKDLPCMEFARISELLSLYGNNEFLLFDLLELADCCKAKKLHLIFDKREHPRQSLLQHNLVEFQGPALVAILEGASLNREEISGLQLIPPWRLRANTLNYGLGLLSCYFICDLLSIISGGYFYMFDPRGVALSVSSNQAPAAKMFSLIGTSLTERFRDQFIPMLIDQKMPWSSSDSTIIRMPLSPECLKDGLELGLNRVNKIIDRFLEQASRILISLKSVLQVSVSTWEEGSTQLCQDFSVFIDPPSAILRNPFSEKKWRKFQISRLFSSSNAAVKLHVIDVNLFQKGTRFVDRWLVVLSLGSGQTRNMALDRRYLAYNLTPVAGVAAHISRNGHPTNGHHTSSIMTPLPLSGVVTLPVTVLGCFLVRHNGGRYLFKYQNSEGFYEVQPDAGDQLIEAWNRELMSCVRDSYIELVVEMQKLRRDLSTSSIDSSSGQAVALSLKAYGDQIYSFWPRSNGYVPSDVADDDSKVSSAEVLKADWECLVEQVIRPFYTRLVDLPVWQLYSGNLVKADEGMFLSQPGNGVGGNLLPATVCSFVKEHYQVFSVPWELVNEIHSVGITVREIKPKMVRDLLKAPSTSIVLRSVDTFVDVLEYCLSDIKFPASSNSHGDDMLVDPFNPNAFIRVTNEVGIGSDSVSVSNVRTYQGSSQNAAISGDALEMVTNLGKALFDFGRGVVEDIGRAGTLGERDDGAGSSNSRNGNGDLRLLSIATEVKRLPCPTATNHLARLGVTELWLGNKEHQMLMRPLAAKFVHSKVLDRSILEDIFSKQAIQTTLKLKSFSFHLMATHMRLLFHDNWVNHVMESNLAPWFSWENTSGSGGEGGPSPEWVRTFWKSFGQSSDDLSLFSDWPLIPAYLGRPILCRVRDCHLVFIPPPTDPISGNGVMDAAATQHDLTGVSVNQTSESDSIRQYISAFEISKSRYPWLVSLLNQCHIPVFDFAFMDCAISCNLLPASSQSLGQVIASKLVAAKRAGFLPELTLFSAADRDELLNLFALDFSNNGPRYGRDELEVLCSLPIYRTVLGSFTGLNNQEHCMISSNSFLKPCNEHCLSYSTDSIECSLLHALGIPQLHDQQILVRFGLPRFEEKHKNEREDILIYLYTNWQDLQADSSVVEALRETSFVRNADEFSSDVYKPKDLFDPGDALLASVFSGERKKFPGERFSTEGWLRILRKVGLRTATEADVILECAKRVEFLGSECMKSTGDFDDFETDMTRCRGEVSMEVWTLAGSVIEAVLTNFAVLYGNNFCNLLGDISCVPAELGLPNVGVKRVLASYGEAILLKDWPLAWSCAPILSRQNVIPPEYSWGALHLRSPPSFATVLKHLQIIGKNGGEDTLAHWPTASGMMTIDDASYEVLKHLDKIWGSLSSSDIAKLQGVAFLPAANGTRLVPANSLFARLTINLAPFSFELPSLYLPFMKILKDLGLQDMLSVASAKELLLNLQKACGYQRLNPNELRAVMEILYFVCDGTVEANMLDRLDWKSDAVLPDDGCRLVHAKTCIYIDSYGSRFVKHIDTSRLRFVHPNIPERICRVLGIKKLSEVVTEKLDNEGNLETLDGIGSIPLDIIREKLLSRSFQGAVWTLVNSIAGYLPGINNMDLGTTHSSLESIADKLQFVKCLHTRFWLLSRSQDITFVSKDSVIPEWENESRHRTLYFVDKSKGCILVAEPPTYISVLDVVATVVSQVLGSPIPLPIGSLFSCPEGSEAAIIDILKLHSDKREEIETTSNNLIGKEIMPQDALQVQLHPLRPFYRGEIVAWRTQDGEKLKYGRVPEDVRPSAGQALYRFKVETVPGKTESLLSSQVFSFRSVSMENSASSAVLPEDNPVITDNRAHNEMPESSERGRTKFSQPIKELQYGRVSAAELVQAVNEMLSAAGINMDVEKQSLLQQTITLQEQLKESRTALLLEQEKLDVAVKEADTAKAAWLCRVCLSNEVDMTIAPCGHVLCHRCSSAVSRCPFCRIEVKKTIRIYRP >KJB49996 pep chromosome:Graimondii2_0_v6:8:40307116:40325108:-1 gene:B456_008G149000 transcript:KJB49996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMPAPPKFVYALIAALTGRIHCCRILWGSGKDHLYLLTTTRFLQKKISLVFLELAGVVNMARPGKLADSGNEVDAYSVEFLSEAMAGTECRKRIDTFYIVQSMASASSRIGSFAATASKEYDIHLLPWASVAACISDSSSDNVALKLGQAFCFLPLPVRTGLTVQVNAYFEVSSNRRGIWYGEDMDRSGKVRSIWNRLLLEDVIAPIFMQMLLGVRELLGPTNSYYSLWPKGSFEEPWSILVENIYKNISNSAVLYSDLGGGKWVSPVEAFLHDGEFGKSKELAEALLQLGMPIVHLPSCLFDMFLKYATYFQQKVVTPDTVRHFLRSCKTLMSLSKSFKLVLLEYCLEDLIDSDVGAYANNLSLIPLANGDFGIFSEGTKGVSYFVCNELEYMLLQQISDIIVDRDIPLNLLSRLSGIAKSSKANLAVFSVQHFVKLFPRFVPAEWRYKSKVLWEPESCCTFPTKSWFVLFWQYLQNQGEGLLLFGDWPILPSTSGHLYRPSRQSKLIKAEKLSDRMQGILVKIGCKILDPDYGVAHPDLFHYVSDSTFSGVLDSIFDIASSNGSIIQTFNCNLTAEEKNELREFLLYPKWYVGELVNSSRIKNCKKLPIYRVHTAESAQNFCFSDLENPQKYLPPFGIPEYPLGGEFILCSSNSEEEILLRFYEVERMGKARFYRQQVLNRIKEMHNEVRDNVMLSILENLPQLSIEDASLRDYLRNLEFVPTFTGALKCPSVLYDPRNEELYALLEDSDSFPSGPFQESGILDMLQGLGLRTSVTPETVIGSAQQIEQMMHEDQHKAHSRGKILLSYLEVNAMKWLPNQVSDDQGAVNRIFSRAATAFRPRNMRSDLEKFWNDLRMICWCPVLVSSPFQALPWPVVSSKVAPPKLVRLQTDLWLISASMRILDGECSSTALSYNLGWLTPPGGSAIAAQLLELGKNNEIVNEQVLRQELALAMPRIYSILMNMIGSDEMDIVKAVLEGCRWIWVGDGFATSDEVVLDGPLHLTPYIRVIPMDLAVFKELFLELGIREFLKPSDYANILGRMAARKGSSPLDADEIRAAILIVQHLSGVQFHEEVKIYLPDASARLHPASNLVYNDAPWFLGSDDSDTLFSGRSAAVLNARSTQRFVHGNISNEVAEKLGVCSLRRILLAESADSMNLSLSGAAEAFGQHEALTTRLKHILEMYADGPGILFELVQNAEDSGASEVTFLLDKTQYGTSSILSPEMADWQGPALYCFNNSVFSPQDLYAISRIGQESKLEKPFAIGRFGLGFNCVYHFTDIPIFVSGENIVMFDPHASNLPGISPSHPGLRIKFVGRKVLEQFPDQFSPFLYFGCDLQQFFPGTLFRFPLRSASVASRSQIKKEGYSPDDVMSLFSSFSAVVSDALLFLRNVKSISIFVKEGAGHEMQLMHRVQRNCISEPQTHSDALHQMFGLIDAKRHGGMDKDQLLKKLSKSIDRELPHKCQKIVVTEQNSSGVVSHCWITGECLGSGRAKTNRSVADDKIHKSIPWACVAAHIQSVKVDGEICDVFSQENTCAGDIFQLSMASIQDRKNIEGRAFCFLPLPISTGLPAHINAYFELSSNRRDIWFGNDMAGGGKKRSDWNIYLLEDVVAPAYGHLLEKIASLVGLSELFFSFWPTTTGLEPWASVVRKLYCFIAEFGLRVLYTKARGGQWISTKQTIFPDFTFHKAHELVEALCDAGLPLANVPKPVVERFMDVCPSLHYLTPQFLRSLLSRRKRGLKDRNAVILTLEYCLLDLKIPVQADCLFGLPLLPLADGSVTTFEKNGAGERIYIARGDEYGLLKDLLPQQLVYCELLEVVHSKLCDMAQSEQSNLSFLSCHLLEKLFLKLLPADWQLAKKVSWVPGHEGQPSLEWIKLLWSYLNSCCDDLSIFFKWPILPVEDNYLLQLVKSSNVIKNDGWSENMSTLLLKVGCLFLRQDMGIQHPQLELFVQSPTASGILNAFLAVAGNGKMESIEGLFADASEGELHELRSYILQSKWFHEEQMTDLHIDIIKHIPMFESYRSRKLVSLNKPVKWLKPNGIREDMLNDDFVRAESERERIILTRYFDVTEPSKVEFYKSYVLNHMSEFLSQQGAFPAILHDVKMLVEEDISIRSALSTTPFVLAANGSWQPPSRLYDPRVPELQKLLCKEVFFPSEKFSGPETLDTLVSLGLRRTLGFIGFLDCARSISTLHESGDPEAATYGRKLLLYLDALACKLSSVREGDVQRAISNKLPENYPASEGNGSEMPGDLIDLNSDLVCGDAVAVDFPKREETICKDDIDIDNVIGNSMDDMPEEDFWSEMKTIAWCPVCVNPPFQGLPWLKPTSHLVSSSTVRPKSQMWMVSSTMHILDGQCDSLYIQQRLGWMDQLNINVLSTQLIELSKSYCNLKSHSLVEPDFDAALQQGIPMLYSKLQEHIGTDDFMVLKYSLDGVSWVWIGDDFVSPNALAFDSPVKFTPYLYVVPSELAEFRDLLLELGVRLSFDIWDYFHVLQRLQNDLKGIPLSADQFGFVNCVLEAIADCSSDKPFSEASNSPLLIPDSCGVLVPAGELVYNDAPWIENSALVGKRFIHPSINNDLANRLGVKSLRCLSLVSEDMTKDLPCMEFARISELLSLYGNNEFLLFDLLELADCCKAKKLHLIFDKREHPRQSLLQHNLVEFQGPALVAILEGASLNREEISGLQLIPPWRLRANTLNYGLGLLSCYFICDLLSIISGGYFYMFDPRGVALSVSSNQAPAAKMFSLIGTSLTERFRDQFIPMLIDQKMPWSSSDSTIIRMPLSPECLKDGLELGLNRVNKIIDRFLEQASRILISLKSVLQVSVSTWEEGSTQLCQDFSVFIDPPSAILRNPFSEKKWRKFQISRLFSSSNAAVKLHVIDVNLFQKGTRFVDRWLVVLSLGSGQTRNMALDRRYLAYNLTPVAGVAAHISRNGHPTNGHHTSSIMTPLPLSGVVTLPVTVLGCFLVRHNGGRYLFKYQNSEGFYEVQPDAGDQLIEAWNRELMSCVRDSYIELVVEMQKLRRDLSTSSIDSSSGQAVALSLKAYGDQIYSFWPRSNGYVPSDVADDDSKVSSAEVLKADWECLVEQVIRPFYTRLVDLPVWQLYSGNLVKADEGMFLSQPGNGVGGNLLPATVCSFVKEHYQVFSVPWELVNEIHSVGITVREIKPKMVRDLLKAPSTSIVLRSVDTFVDVLEYCLSDIKFPASSNSHGDDMLVDPFNPNAFIRVTNEVGIGSDSVSVSNVRTYQGSSQNAAISGDALEMVTNLGKALFDFGRGVVEDIGRAGTLGERDDGAGSSNSRNGNGDLRLLSIATEVKRLPCPTATNHLARLGVTELWLGNKEHQMLMRPLAAKFVHSKVLDRSILEDIFSKQAIQTTLKLKSFSFHLMATHMRLLFHDNWVNHVMESNLAPWFSWENTSGSGGEGGPSPEWVRTFWKSFGQSSDDLSLFSDWPLIPAYLGRPILCRVRDCHLVFIPPPTDPISGNGVMDAAATQHDLTGVSVNQTSESDSIRQYISAFEISKSRYPWLVSLLNQCHIPVFDFAFMDCAISCNLLPASSQSLGQVIASKLVAAKRAGFLPELTLFSAADRDELLNLFALDFSNNGPRYGRDELEVLCSLPIYRTVLGSFTGLNNQEHCMISSNSFLKPCNEHCLSYSTDSIECSLLHALGIPQLHDQQILVRFGLPRFEEKHKNEREDILIYLYTNWQDLQADSSVVEALRETSFVRNADEFSSDVYKPKDLFDPGDALLASVFSGERKKFPGERFSTEGWLRILRKVGLRTATEADVILECAKRVEFLGSECMKSTGDFDDFETDMTRCRGEVSMEVWTLAGSVIEAVLTNFAVLYGNNFCNLLGDISCVPAELGLPNVGVKRVLASYGEAILLKDWPLAWSCAPILSRQNVIPPEYSWGALHLRSPPSFATVLKHLQIIGKNGGEDTLAHWPTASGMMTIDDASYEVLKHLDKIWGSLSSSDIAKLQGVAFLPAANGTRLVPANSLFARLTINLAPFSFELPSLYLPFMKILKDLGLQDMLSVASAKELLLNLQKACGYQRLNPNELRAVMEILYFVCDGTVEANMLDRLDWKSDAVLPDDGCRLVHAKTCIYIDSYGSRFVKHIDTSRLRFVHPNIPERICRVLGIKKLSEVVTEKLDNEGNLETLDGIGSIPLDIIREKLLSRSFQGAVWTLVNSIAGYLPGINNMDLGTTHSSLESIADKLQFVKCLHTRFWLLSRSQDITFVSKDSVIPEWENESRHRTLYFVDKSKGCILVAEPPTYISVLDVVATVVSQVLGSPIPLPIGSLFSCPEGSEAAIIDILKLHSDKREEIETTSNNLIGKEIMPQDALQVQLHPLRPFYRGEIVAWRTQDGEKLKYGRSSSMVECRLQNWFRRLMRCCLQLESTWMWRSSHYCSKL >KJB49995 pep chromosome:Graimondii2_0_v6:8:40306335:40325545:-1 gene:B456_008G149000 transcript:KJB49995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSGKVRSIWNRLLLEDVIAPIFMQMLLGVRELLGPTNSYYSLWPKGSFEEPWSILVENIYKNISNSAVLYSDLGGGKWVSPVEAFLHDGEFGKSKELAEALLQLGMPIVHLPSCLFDMFLKYATYFQQKVVTPDTVRHFLRSCKTLMSLSKSFKLVLLEYCLEDLIDSDVGAYANNLSLIPLANGDFGIFSEGTKGVSYFVCNELEYMLLQQISDIIVDRDIPLNLLSRLSGIAKSSKANLAVFSVQHFVKLFPRFVPAEWRYKSKVLWEPESCCTFPTKSWFVLFWQYLQNQGEGLLLFGDWPILPSTSGHLYRPSRQSKLIKAEKLSDRMQGILVKIGCKILDPDYGVAHPDLFHYVSDSTFSGVLDSIFDIASSNGSIIQTFNCNLTAEEKNELREFLLYPKWYVGELVNSSRIKNCKKLPIYRVHTAESAQNFCFSDLENPQKYLPPFGIPEYPLGGEFILCSSNSEEEILLRFYEVERMGKARFYRQQVLNRIKEMHNEVRDNVMLSILENLPQLSIEDASLRDYLRNLEFVPTFTGALKCPSVLYDPRNEELYALLEDSDSFPSGPFQESGILDMLQGLGLRTSVTPETVIGSAQQIEQMMHEDQHKAHSRGKILLSYLEVNAMKWLPNQVSDDQGAVNRIFSRAATAFRPRNMRSDLEKFWNDLRMICWCPVLVSSPFQALPWPVVSSKVAPPKLVRLQTDLWLISASMRILDGECSSTALSYNLGWLTPPGGSAIAAQLLELGKNNEIVNEQVLRQELALAMPRIYSILMNMIGSDEMDIVKAVLEGCRWIWVGDGFATSDEVVLDGPLHLTPYIRVIPMDLAVFKELFLELGIREFLKPSDYANILGRMAARKGSSPLDADEIRAAILIVQHLSGVQFHEEVKIYLPDASARLHPASNLVYNDAPWFLGSDDSDTLFSGRSAAVLNARSTQRFVHGNISNEVAEKLGVCSLRRILLAESADSMNLSLSGAAEAFGQHEALTTRLKHILEMYADGPGILFELVQNAEDSGASEVTFLLDKTQYGTSSILSPEMADWQGPALYCFNNSVFSPQDLYAISRIGQESKLEKPFAIGRFGLGFNCVYHFTDIPIFVSGENIVMFDPHASNLPGISPSHPGLRIKFVGRKVLEQFPDQFSPFLYFGCDLQQFFPGTLFRFPLRSASVASRSQIKKEGYSPDDVMSLFSSFSAVVSDALLFLRNVKSISIFVKEGAGHEMQLMHRVQRNCISEPQTHSDALHQMFGLIDAKRHGGMDKDQLLKKLSKSIDRELPHKCQKIVVTEQNSSGVVSHCWITGECLGSGRAKTNRSVADDKIHKSIPWACVAAHIQSVKVDGEICDVFSQENTCAGDIFQLSMASIQDRKNIEGRAFCFLPLPISTGLPAHINAYFELSSNRRDIWFGNDMAGGGKKRSDWNIYLLEDVVAPAYGHLLEKIASLVGLSELFFSFWPTTTGLEPWASVVRKLYCFIAEFGLRVLYTKARGGQWISTKQTIFPDFTFHKAHELVEALCDAGLPLANVPKPVVERFMDVCPSLHYLTPQFLRSLLSRRKRGLKDRNAVILTLEYCLLDLKIPVQADCLFGLPLLPLADGSVTTFEKNGAGERIYIARGDEYGLLKDLLPQQLVYCELLEVVHSKLCDMAQSEQSNLSFLSCHLLEKLFLKLLPADWQLAKKVSWVPGHEGQPSLEWIKLLWSYLNSCCDDLSIFFKWPILPVEDNYLLQLVKSSNVIKNDGWSENMSTLLLKVGCLFLRQDMGIQHPQLELFVQSPTASGILNAFLAVAGNGKMESIEGLFADASEGELHELRSYILQSKWFHEEQMTDLHIDIIKHIPMFESYRSRKLVSLNKPVKWLKPNGIREDMLNDDFVRAESERERIILTRYFDVTEPSKVEFYKSYVLNHMSEFLSQQGAFPAILHDVKMLVEEDISIRSALSTTPFVLAANGSWQPPSRLYDPRVPELQKLLCKEVFFPSEKFSGPETLDTLVSLGLRRTLGFIGFLDCARSISTLHESGDPEAATYGRKLLLYLDALACKLSSVREGDVQRAISNKLPENYPASEGNGSEMPGDLIDLNSDLVCGDAVAVDFPKREETICKDDIDIDNVIGNSMDDMPEEDFWSEMKTIAWCPVCVNPPFQGLPWLKPTSHLVSSSTVRPKSQMWMVSSTMHILDGQCDSLYIQQRLGWMDQLNINVLSTQLIELSKSYCNLKSHSLVEPDFDAALQQGIPMLYSKLQEHIGTDDFMVLKYSLDGVSWVWIGDDFVSPNALAFDSPVKFTPYLYVVPSELAEFRDLLLELGVRLSFDIWDYFHVLQRLQNDLKGIPLSADQFGFVNCVLEAIADCSSDKPFSEASNSPLLIPDSCGVLVPAGELVYNDAPWIENSALVGKRFIHPSINNDLANRLGVKSLRCLSLVSEDMTKDLPCMEFARISELLSLYGNNEFLLFDLLELADCCKAKKLHLIFDKREHPRQSLLQHNLVEFQGPALVAILEGASLNREEISGLQLIPPWRLRANTLNYGLGLLSCYFICDLLSIISGGYFYMFDPRGVALSVSSNQAPAAKMFSLIGTSLTERFRDQFIPMLIDQKMPWSSSDSTIIRMPLSPECLKDGLELGLNRVNKIIDRFLEQASRILISLKSVLQVSVSTWEEGSTQLCQDFSVFIDPPSAILRNPFSEKKWRKFQISRLFSSSNAAVKLHVIDVNLFQKGTRFVDRWLVVLSLGSGQTRNMALDRRYLAYNLTPVAGVAAHISRNGHPTNGHHTSSIMTPLPLSGVVTLPVTVLGCFLVRHNGGRYLFKYQNSEGFYEVQPDAGDQLIEAWNRELMSCVRDSYIELVVEMQKLRRDLSTSSIDSSSGQAVALSLKAYGDQIYSFWPRSNGYVPSDVADDDSKVSSAEVLKADWECLVEQVIRPFYTRLVDLPVWQLYSGNLVKADEGMFLSQPGNGVGGNLLPATVCSFVKEHYQVFSVPWELVNEIHSVGITVREIKPKMVRDLLKAPSTSIVLRSVDTFVDVLEYCLSDIKFPASSNSHGDDMLVDPFNPNAFIRVTNEVGIGSDSVSVSNVRTYQGSSQNAAISGDALEMVTNLGKALFDFGRGVVEDIGRAGTLGERDDGAGSSNSRNGNGDLRLLSIATEVKRLPCPTATNHLARLGVTELWLGNKEHQMLMRPLAAKFVHSKVLDRSILEDIFSKQAIQTTLKLKSFSFHLMATHMRLLFHDNWVNHVMESNLAPWFSWENTSGSGGEGGPSPEWVRTFWKSFGQSSDDLSLFSDWPLIPAYLGRPILCRVRDCHLVFIPPPTDPISGNGVMDAAATQHDLTGVSVNQTSESDSIRQYISAFEISKSRYPWLVSLLNQCHIPVFDFAFMDCAISCNLLPASSQSLGQVIASKLVAAKRAGFLPELTLFSAADRDELLNLFALDFSNNGPRYGRDELEVLCSLPIYRTVLGSFTGLNNQEHCMISSNSFLKPCNEHCLSYSTDSIECSLLHALGIPQLHDQQILVRFGLPRFEEKHKNEREDILIYLYTNWQDLQADSSVVEALRETSFVRNADEFSSDVYKPKDLFDPGDALLASVFSGERKKFPGERFSTEGWLRILRKVGLRTATEADVILECAKRVEFLGSECMKSTGDFDDFETDMTRCRGEVSMEVWTLAGSVIEAVLTNFAVLYGNNFCNLLGDISCVPAELGLPNVGVKRVLASYGEAILLKDWPLAWSCAPILSRQNVIPPEYSWGALHLRSPPSFATVLKHLQIIGKNGGEDTLAHWPTASGMMTIDDASYEVLKHLDKIWGSLSSSDIAKLQGVAFLPAANGTRLVPANSLFARLTINLAPFSFELPSLYLPFMKILKDLGLQDMLSVASAKELLLNLQKACGYQRLNPNELRAVMEILYFVCDGTVEANMLDRLDWKSDAVLPDDGCRLVHAKTCIYIDSYGSRFVKHIDTSRLRFVHPNIPERICRVLGIKKLSEVVTEKLDNEGNLETLDGIGSIPLDIIREKLLSRSFQGAVWTLVNSIAGYLPGINNMDLGTTHSSLESIADKLQFVKCLHTRFWLLSRSQDITFVSKDSVIPEWENESRHRTLYFVDKSKGCILVAEPPTYISVLDVVATVVSQVLGSPIPLPIGSLFSCPEGSEAAIIDILKLHSDKREEIETTSNNLIGKEIMPQDALQVQLHPLRPFYRGEIVAWRTQDGEKLKYGRSSSMVECRLQNWFRRLMRCCLQLESTWMWRSSHYCSKL >KJB48145 pep chromosome:Graimondii2_0_v6:8:8154483:8156393:-1 gene:B456_008G055400 transcript:KJB48145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFTQHVQPMSEMEMTKQQCSELNSTPMENFTIADLSLQTLLAHQLPEFPPILSFTVLAGGSPTATADEHVSLQTNKRKATEQSTCKSQIISPAASTTEFEGNTNTRKKNITLGKGKKAKEAEEIIHVRARRGQATDSHSLAERVRREKINEKMRCLQDLVPGCHKTMGMAVMLEEIINYVHSLQNQVEFLSMELAAASSYVETQGNKMAQEGTNSHVPRQQQEMEKWAMDRYGEQHWFHSTWPL >KJB49044 pep chromosome:Graimondii2_0_v6:8:28380757:28383565:-1 gene:B456_008G099200 transcript:KJB49044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFETNRYMLLKNLMEDKKLDFNRPLLSVRRFTSQAAGSESEGNKKTDNSLKKVPHPPVYKSELKSGPLRNPGTVPFVWEKTPGRPKEESNIQTDALDRPPIAPKLPPGRALRDKQQSPRNGSDAKTFAPYQTDMAPSSSQNVPSLALNETTYECANGEMEETGSSGSKDSGEAYVDALDTLSRSESFFLNCSISGVSGLDGSDIKPSGTFSSDPQTRDFMMGRFLPAAKAVASETPPYATKKQPIAREPPRQIKKLVIADKQQPLYASSPNKFPHAQDDWSEESEDDCYSDSQNYSVNVCGLFPQFLLKNSLCLLNPIPRVKAQKSVKTAYSDHRREAKSSYLRSCNETETEHTEAAGKKRLTGIAQTEEAIEDKNNLKSGSSKKSYRSDCRNPDGASLFRHFQGNNVSSYPSQISWLGHQEKRFLGIPDKAKNYRVSSIDPHKQGSKNLQECLASESISQESGSASPVEKTLYVDSVQRGISSNSSFPDETASCMKDGLEILVNPGEMEENPSVDSSLKHTKHLNHVVDEKTPVQHKCMESVDPYSLLSPEKYAPYWQMDATDGFRRDQDLIRDSSKLTFLNVNEFKLSQQDLIQHSNKFTNSKAAECRKADLESQPQIKSSNQGSSHGCNLKLPLALPLPKAPSESWLKRTLPAVSSRNSSSRTGLGTCNYSETQAYTALSSDLKWENIVKSSNVHHGRLRFSEEQLPPIPEA >KJB49045 pep chromosome:Graimondii2_0_v6:8:28380757:28384247:-1 gene:B456_008G099200 transcript:KJB49045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKNLMEDKKLDFNRPLLSVRRFTSQAAGSESEGNKKTDNSLKKVPHPPVYKSELKSGPLRNPGTVPFVWEKTPGRPKEESNIQTDALDRPPIAPKLPPGRALRDKQQSPRNGSDAKTFAPYQTDMAPSSSQNVPSLALNETTYECANGEMEETGSSGSKDSGEAYVDALDTLSRSESFFLNCSISGVSGLDGSDIKPSGTFSSDPQTRDFMMGRFLPAAKAVASETPPYATKKQPIAREPPRQIKKLVIADKQQPLYASSPNKFPHAQDDWSEESEDDCYSDSQNYSVNVCGLFPQFLLKNSLCLLNPIPRVKAQKSVKTAYSDHRREAKSSYLRSCNETETEHTEAAGKKRLTGIAQTEEAIEDKNNLKSGSSKKSYRSDCRNPDGASLFRHFQGNNVSSYPSQISWLGHQEKRFLGIPDKAKNYRVSSIDPHKQGSKNLQECLASESISQESGSASPVEKTLYVDSVQRGISSNSSFPDETASCMKDGLEILVNPGEMEENPSVDSSLKHTKHLNHVVDEKTPVQHKCMESVDPYSLLSPEKYAPYWQMDATDGFRRDQDLIRDSSKLTFLNVNEFKLSQQDLIQHSNKFTNSKAAECRKADLESQPQIKSSNQGSSHGCNLKLPLALPLPKAPSESWLKRTLPAVSSRNSSSRTGLGTCNYSETQAYTALSSDLKWENIVKSSNVHHGRLRFSEEQLPPIPEA >KJB50421 pep chromosome:Graimondii2_0_v6:8:44417349:44418118:1 gene:B456_008G170000 transcript:KJB50421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILVLTSKSFKFHYFFQYLSNNVIFGFERFKYICYYLLGESCHMPSSKTWFLILVYTFFYPFAQMNIFLDLHIAPTIYFFSFETMSTRAHQSQLNSFLNYQPIIGSCLLVQSGEVHAQIHHDLHSIQNHNAPNLVDNIPDLVLLCRNS >KJB52004 pep chromosome:Graimondii2_0_v6:8:52778786:52780163:-1 gene:B456_008G241800 transcript:KJB52004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQYTPLKIARFADFGIGLTNMESNMLSSGEGSPPIGLGLELGRGSDHRPTGFTKSCGFTVFQLQELQLQSLIFKYMEAGLPVPNHLLLPIWKSVAGSLGGLHANPYQLYSSYLGCGPLHLEYKNGVDPEPGRCRRTDGKKWRCSKEAVPDHKYCERHMHRGRQRSRKLVEASQATSSGSRIISNGNADTNLSISLQLDNSNTSSSGSSSNLSSGFIGFSPKSVLRGSNPKSESSIQFQEI >KJB52006 pep chromosome:Graimondii2_0_v6:8:52778778:52781022:-1 gene:B456_008G241800 transcript:KJB52006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQYTPLKIARFADFGIGLTNMESNMLSSGEGSPPIGLGLELGRGSDHRPTGFTKSCGFTVFQLQELQLQSLIFKYMEAGLPVPNHLLLPIWKSVAGSLGGLHANPYQLYSSYLGCGPLHLEYKNGVDPEPGRCRRTDGKKWRCSKEAVPDHKYCERHMHRGRQRSRKLVEASQATSSGSRIISNGNADTNLSISLQLDNSNTSSSGSSSNLSSGFIGFSPKSVLRGSNPKSESSIQFQEI >KJB52007 pep chromosome:Graimondii2_0_v6:8:52779203:52780089:-1 gene:B456_008G241800 transcript:KJB52007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQYTPLKIARFADFGIGLTNMESNMLSSGEGSPPIGLGLELGRGSDHRPTGFTKSCGFTVFQLQELQLQSLIFKYMEAGLPVPNHLLLPIWKSVAGSLGGLHANPYQLYSSYLGCGPLHLEYKNGVDPEPGRCRRTDGKKWRCSKEAVPDHKYCERHMHRGRQRSRKLVEASQATSSGSRIISNGNADTNLSISLQLDNSNTSSSGSSSNLSSGFIGFSPKSVLRGSNPKSESSIQFQEI >KJB52005 pep chromosome:Graimondii2_0_v6:8:52778786:52780154:-1 gene:B456_008G241800 transcript:KJB52005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQYTPLKIARFADFGTLFFLYSLSLDYHALVNLLFSFFAGIGLTNMESNMLSSGEGSPPIGLGLELGRGSDHRPTGFTKSCGFTVFQLQELQLQSLIFKYMEAGLPVPNHLLLPIWKSVAGSLGGLHANPYQLYSSYLGCGPLHLEYKNGVDPEPGRCRRTDGKKWRCSKEAVPDHKYCERHMHRGRQRSRKLVEASQATSSGSRIISNGNADTNLSISLQLDNSNTSSSGSSSNLSSGFIGFSPKSVLRGSNPKSESSIQFQEI >KJB49202 pep chromosome:Graimondii2_0_v6:8:33852258:33853096:-1 gene:B456_008G108400 transcript:KJB49202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVNEIKSESDLASLDIISQNLLADDFEASLTSSAMASSFNDPFFCSFTSLLSSTKPEEAEEEPPPEASQAEAAATVAARVKTVHYRGVRRRPWGKYAAEIRDPDRNGLRVWLGTYETPEDAALAYDKAAFKIRGAKAKLNFPHLIGSSKVEPIRVGPRRRSPEQSSSSSFSTVNTPVSSMSKRKKRDN >KJB46843 pep chromosome:Graimondii2_0_v6:8:39723756:39725046:-1 gene:B456_008G144700 transcript:KJB46843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERQELQPDPQIQSPQGSSRDDMISWVVALETALLPCLPARELQAIDRSPHPSHQIDVERHARDFMEAAKKLQLYFLGLQREDQPTKAEMLRKEIATMEEELKTKTEMMKNQERLIQGWRKDLSDQLDQHATELERV >KJB46844 pep chromosome:Graimondii2_0_v6:8:39723763:39724845:-1 gene:B456_008G144700 transcript:KJB46844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERQELQPDPQIQSPQGSSRDDMISWVVALETALLPCLPARELQAIDRSPHPSHQIDVERHARDFMEAAKKLQLYFLGLQREDQPTKAEMLRKVNPLNFYYYR >KJB52752 pep chromosome:Graimondii2_0_v6:8:55367745:55373319:-1 gene:B456_008G275700 transcript:KJB52752 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 32 [Source:Projected from Arabidopsis thaliana (AT3G49060) UniProtKB/Swiss-Prot;Acc:Q94A51] MGSLVEEIEGDREYDFEDVIFVAVGKNVEKSKTTLDWAVDNFPGKKICVLHVHRPTHVLALTDGKLARSKLKQHAVEAFQKLERQRLQERLDEYLLILDHSGVQADALWIEMDSVEKGILEIIARHNIRWLVMGAAADKYYSKKLVELKSKKATFVCQNAPVSCHIWFACKGRLIYTRVGRQDGSSREIATPSPPMDSPLRTEQAVMLSESVLRERSPEPQEDANVVRGNLRPISLYSVHPNLPSNGVVDTYGSIMLQMDEEEHQGQASNETDQQLEVSTIDDHNLKQEVFAETMKRWKEENDAIEAACKAKALESLCVKEMSRRKEMEELLEREKQEVQKMKDQHDEFIKDLQMVKDQRTILESQIVESQCVVEGLEEKMFSAVELLISFKKKRDDLRIEHENAIRVLKNLRKLVNGGDSSFPGSQILEFSFIEINNATRDFDPSWKIGEGKYGSVYKGLLRHMNVAIKMLPSYGSQSLLEFENEVEILSRIRHPNLVTIIGTCPESRSLVFEYLRNGSLEERLACKNKSPPLPWQTRIRIASEICSALIFLHSNKPCIPHGNLKPSKVLLDSNFVSKLIDSGIYRLIPQGKSTDTSALSSVYMDPVYLENGEVTRESDVYSFGMILLRLLTGRSALGILNDVKCSIETENFNMVLDRTAGNWPLEEAQLLAHLAIRCCDTQPLDRPDFVSEIWSVLGPMRDSCVNSASCLEAKELRCAPSHFVCPIFQEVMKDPIIAADGFTYEADAIRGWLDSGHDRSPMTNLKLEHCNLVPNYALLQAIQEWQQQY >KJB52754 pep chromosome:Graimondii2_0_v6:8:55367748:55373283:-1 gene:B456_008G275700 transcript:KJB52754 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 32 [Source:Projected from Arabidopsis thaliana (AT3G49060) UniProtKB/Swiss-Prot;Acc:Q94A51] MDSVEKGILEIIARHNIRWLVMGAAADKYYSKKLVELKSKKATFVCQNAPVSCHIWFACKGRLIYTRVGRQDGSSREIATPSPPMDSPLRTEQAVMLSESVLRERSPEPQEDANVVRGNLRPISLYSVHPNLPSNGVVDTYGSIMLQMDEEEHQGQASNETDQQLEVSTIDDHNLKQEVFAETMKRWKEENDAIEAACKAKALESLCVKEMSRRKEMEELLEREKQEVQKMKDQHDEFIKDLQMVKDQRTILESQIVESQCVVEGLEEKMFSAVELLISFKKKRDDLRIEHENAIRVLKNLRKLVNGGDSSFPGSQILEFSFIEINNATRDFDPSWKIGEGKYGSVYKGLLRHMNVAIKMLPSYGSQSLLEFENEVEILSRIRHPNLVTIIGTCPESRSLVFEYLRNGSLEERLACKNKSPPLPWQTRIRIASEICSALIFLHSNKPCIPHGNLKPSKVLLDSNFVSKLIDSGIYRLIPQGKSTDTSALSSVYMDPVYLENGEVTRESDVYSFGMILLRLLTGRSALGILNDVKCSIETENFNMVLDRTAGNWPLEEAQLLAHLAIRCCDTQPLDRPDFVSEIWSVLGPMRDSCVNSASCLEAKELRCAPSHFVCPIFQEVMKDPIIAADGFTYEADAIRGWLDSGHDRSPMTNLKLEHCNLVPNYALLQAIQEWQQQY >KJB52753 pep chromosome:Graimondii2_0_v6:8:55367748:55371716:-1 gene:B456_008G275700 transcript:KJB52753 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 32 [Source:Projected from Arabidopsis thaliana (AT3G49060) UniProtKB/Swiss-Prot;Acc:Q94A51] MDSPLRTEQAVMLSESVLRERSPEPQEDANVVRGNLRPISLYSVHPNLPSNGVVDTYGSIMLQMDEEEHQGQASNETDQQLEVSTIDDHNLKQEVFAETMKRWKEENDAIEAACKAKALESLCVKEMSRRKEMEELLEREKQEVQKMKDQHDEFIKDLQMVKDQRTILESQIVESQCVVEGLEEKMFSAVELLISFKKKRDDLRIEHENAIRVLKNLRKLVNGGDSSFPGSQILEFSFIEINNATRDFDPSWKIGEGKYGSVYKGLLRHMNVAIKMLPSYGSQSLLEFENEVEILSRIRHPNLVTIIGTCPESRSLVFEYLRNGSLEERLACKNKSPPLPWQTRIRIASEICSALIFLHSNKPCIPHGNLKPSKVLLDSNFVSKLIDSGIYRLIPQGKSTDTSALSSVYMDPVYLENGEVTRESDVYSFGMILLRLLTGRSALGILNDVKCSIETENFNMVLDRTAGNWPLEEAQLLAHLAIRCCDTQPLDRPDFVSEIWSVLGPMRDSCVNSASCLEAKELRCAPSHFVCPIFQEVMKDPIIAADGFTYEADAIRGWLDSGHDRSPMTNLKLEHCNLVPNYALLQAIQEWQQQY >KJB52756 pep chromosome:Graimondii2_0_v6:8:55367748:55373291:-1 gene:B456_008G275700 transcript:KJB52756 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 32 [Source:Projected from Arabidopsis thaliana (AT3G49060) UniProtKB/Swiss-Prot;Acc:Q94A51] MGSLVEEIEGDREYDFEDVIFVAVGKNVEKSKTTLDWAVDNFPGKKICVLHVHRPTHVLALNGKLARSKLKQHAVEAFQKLERQRLQERLDEYLLILDHSGVQADALWIEMDSVEKGILEIIARHNIRWLVMGAAADKYYSKKLVELKSKKATFVCQNAPVSCHIWFACKGRLIYTRVGRQDGSSREIATPSPPMDSPLRTEQAVMLSESVLRERSPEPQEDANVVRGNLRPISLYSVHPNLPSNGVVDTYGSIMLQMDEEEHQGQASNETDQQLEVSTIDDHNLKQEVFAETMKRWKEENDAIEAACKAKALESLCVKEMSRRKEMEELLEREKQEVQKMKDQHDEFIKDLQMVKDQRTILESQIVESQCVVEGLEEKMFSAVELLISFKKKRDDLRIEHENAIRVLKNLRKLVNGGDSSFPGSQILEFSFIEINNATRDFDPSWKIGEGKYGSVYKGLLRHMNVAIKMLPSYGSQSLLEFENEVEILSRIRHPNLVTIIGTCPESRSLVFEYLRNGSLEERLACKNKSPPLPWQTRIRIASEICSALIFLHSNKPCIPHGNLKPSKVLLDSNFVSKLIDSGIYRLIPQGKSTDTSALSSVYMDPVYLENGEVTRESDVYSFGMILLRLLTGRSALGILNDVKCSIETENFNMVLDRTAGNWPLEEAQLLAHLAIRCCDTQPLDRPDFVSEIWSVLGPMRDSCVNSASCLEAKELRCAPSHFVCPIFQEVMKDPIIAADGFTYEADAIRGWLDSGHDRSPMTNLKLEHCNLVPNYALLQAIQEWQQQY >KJB52755 pep chromosome:Graimondii2_0_v6:8:55368083:55373054:-1 gene:B456_008G275700 transcript:KJB52755 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 32 [Source:Projected from Arabidopsis thaliana (AT3G49060) UniProtKB/Swiss-Prot;Acc:Q94A51] MGSLVEEIEGDREYDFEDVIFVAVGKNVEKSKTTLDWAVDNFPGKKICVLHVHRPTHVLALTADGKLARSKLKQHAVEAFQKLERQRLQERLDEYLLILDHSGVQADALWIEMDSVEKGILEIIARHNIRWLVMGAAADKYYSKKLVELKSKKATFVCQNAPVSCHIWFACKGRLIYTRVGRQDGSSREIATPSPPMDSPLRTEQAVMLSESVLRERSPEPQEDANVVRGNLRPISLYSVHPNLPSNGVVDTYGSIMLQMDEEEHQGQASNETDQQLEVSTIDDHNLKQEVFAETMKRWKEENDAIEAACKAKALESLCVKEMSRRKEMEELLEREKQEVQKMKDQHDEFIKDLQMVKDQRTILESQIVESQCVVEGLEEKMFSAVELLISFKKKRDDLRIEHENAIRVLKNLRKLVNGGDSSFPGSQILEFSFIEINNATRDFDPSWKIGEGKYGSVYKGLLRHMNVAIKMLPSYGSQSLLEFENEVEILSRIRHPNLVTIIGTCPESRSLVFEYLRNGSLEERLACKNKSPPLPWQTRIRIASEICSALIFLHSNKPCIPHGNLKPSKVLLDSNFVSKLIDSGIYRLIPQGKSTDTSALSSVYMDPVYLENGEVTRESDVYSFGMILLRLLTGRSALGILNDVKCSIETENFNMVLDRTAGNWPLEEAQLLAHLAIRCCDTQPLDRPDFVSEIWSVLGPMRDSCVNSASCLEAKELRCAPSHFVCPIFQEVMKDPIIAADGFTYEADAIRGWLDSGHDRSPMTNLKLEHCNLVPNYALLQAIQEWQQQY >KJB49343 pep chromosome:Graimondii2_0_v6:8:34704110:34705526:1 gene:B456_008G114400 transcript:KJB49343 gene_biotype:protein_coding transcript_biotype:protein_coding description:LBD18 [Source:Projected from Arabidopsis thaliana (AT2G45420) UniProtKB/TrEMBL;Acc:A0A178VVX7] MSANTCSSGGAAGSSTGGAVGGGGGGPCGACKFLRRKCVPGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHVPVHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELSYLQAHLATLELPTPPLPSPPPPPPQQTLMEPPPLSISDLPPATYDLSTLFDPMVQPSWAMQQRQINQFDSSSLGSGDLQALARELLHRQGSPPRLPASPSPSFSK >KJB49632 pep chromosome:Graimondii2_0_v6:8:37449335:37451591:-1 gene:B456_008G130200 transcript:KJB49632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRCMQALVKVQSRVLDQRMRLSHDSCSRKSAFSDTNSVWESRYLQDISDRRSLSREGSSIADDWDERPHTVEEVKAMLQHRKEAALKREKSLSQALSQQMRRARRSPSMGGQDEWLDRWMPAKPWDNRGRASMDQRDNVKTVEMDTSQPYSYLAPNYRRTNSNHYHQRPSSPLHRAQHNAQPFHPAPITPSPSKTRPVQVRSASPRCVREDRTSFSSSQTPSLRSNYYYTGRVSTQASTSINNATTLPNYMAATESAKARIRSQSAPRQRPSTPERDRIGSARKRLSFPVPEPYGIGMGYRGYGHSLRSPSFKSVSGSQFGLERQSNYSSCCTESLGGEMSPSSTSDLRRWLR >KJB49630 pep chromosome:Graimondii2_0_v6:8:37449187:37451709:-1 gene:B456_008G130200 transcript:KJB49630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKGGTSWLTAVKRAFRSPTKDTHEDEKRDKRRWIFRKQNTSPVKSVGNNGGGGASTAAAEQRHAIAVAVAKAAEAEAAVATAQAALQAARLTKPSYGRKYHFAAIVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVKVQSRVLDQRMRLSHDSCSRKSAFSDTNSVWESRYLQDISDRRSLSREGSSIADDWDERPHTVEEVKAMLQHRKEAALKREKSLSQALSQQMRRARRSPSMGGQDEWLDRWMPAKPWDNRGRASMDQRDNVKTVEMDTSQPYSYLAPNYRRTNSNHYHQRPSSPLHRAQHNAQPFHPAPITPSPSKTRPVQVRSASPRCVREDRTSFSSSQTPSLRSNYYYTGRVSTQASTSINNATTLPNYMAATESAKARIRSQSAPRQRPSTPERDRIGSARKRLSFPVPEPYGIGMGYRGYGHSLRSPSFKSVSGSQFGLERQSNYSSCCTESLGGEMSPSSTSDLRRWLR >KJB49631 pep chromosome:Graimondii2_0_v6:8:37449187:37451720:-1 gene:B456_008G130200 transcript:KJB49631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKGGTSWLTAVKRAFRSPTKDTHEDEKKRDKRRWIFRKQNTSPVKSVGNNGGGGASTAAAEQRHAIAVAVAKAAEAEAAVATAQAALQAARLTKPSYGRKYHFAAIVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVKVQSRVLDQRMRLSHDSCSRKSAFSDTNSVWESRYLQDISDRRSLSREGSSIADDWDERPHTVEEVKAMLQHRKEAALKREKSLSQALSQQMRRARRSPSMGGQDEWLDRWMPAKPWDNRGRASMDQRDNVKTVEMDTSQPYSYLAPNYRRTNSNHYHQRPSSPLHRAQHNAQPFHPAPITPSPSKTRPVQVRSASPRCVREDRTSFSSSQTPSLRSNYYYTGRVSTQASTSINNATTLPNYMAATESAKARIRSQSAPRQRPSTPERDRIGSARKRLSFPVPEPYGIGMGYRGYGHSLRSPSFKSVSGSQFGLERQSNYSSCCTESLGGEMSPSSTSDLRRWLR >KJB52483 pep chromosome:Graimondii2_0_v6:8:54388280:54388494:1 gene:B456_008G264100 transcript:KJB52483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVSTMAELLGECTAALATVRERVLAPWPTLHFRRLSFSYSAAAAILNQDSSFLVYF >KJB52588 pep chromosome:Graimondii2_0_v6:8:54769751:54772126:-1 gene:B456_008G269100 transcript:KJB52588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKPASERQIFNLSGPLHLTSIDWNNFHHRRSVAASLVQGVYIQERDRQENRRGAQAHASPWWDFFNFQLIRPLVDDVDNSIFGAIYENKSFTSNSSHSAQNAPSYVIAIRGTIIKPATISLDLKLDLMCVRNKLHESYRFQLAMQAVQSIIGVAGTSHIWLTGHSLGSAISLLIGKNVIKTGYSIEAYFFNPPFSTPIEIIKNEKLKRGIHITSSLVKAGVAVATKGRHQKPQQDDPYILLSKWIPFLFVNPADKICSGYIAYFEHRKKLKEIGAGKIERFATWNSIGSSLSTSPENNPEPLHLLPSAYLTVNLSKSPDFKRAHGIHQWWDSNFYGQSELHEYSYCKFFPDIALEKDL >KJB51134 pep chromosome:Graimondii2_0_v6:8:48844517:48846363:1 gene:B456_008G202800 transcript:KJB51134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKNHLLYVVTIHAIFALLHFIVSDAVETLARAVGRQERWQLLRNNTGVVAMHMALTHENTVIIFDQTEAGPSQYRLHERYNGRRCSTRSRADLKDGACYAHSVEYYIHGNNLRPLRFVSDPWCSSGSFLSNGTLLQVGGHGRGSQRIRYFRPCRDHLCNWQQSKRSLSDNRWYASNLLLPQHDRVIVVGGRNAYSYEFIPKLHTKDRSFNLPFLHDTHDEDGGGNNLYPFLHLSSDGNLFIFANRDSILFNYQRNRVVKTFPRIPGGGSRSYPSSGSSVILPLDHQDRFQKVEVMVCGGAASGAYEAAARGRFLPALSSCGRMVITGNNHIWKMENMPGPRTMHDMLILPTGHILIINGARRGCAGWQNAATPSLRPYLYNPKKSRGQRFTVLKATRIARMYHSSALLLPDGRVLVAGGNPYNTYTFSNVAYPTELRLQAFVPDYMDRQFNDLRPGNVTIEYEGHSSGVAYGTAFTIHFWLGRRPSKDVEYSVYAPPFTTHSISMNQRLLKLRCRHTTRDGGGSMSAVLEAPPSPNVAPPGYYLLTVVNNGIPSLSQWIRFIPA >KJB52737 pep chromosome:Graimondii2_0_v6:8:55318694:55322093:1 gene:B456_008G274900 transcript:KJB52737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVACKLNYPTWIESERFGRNSTGSLRFGRIAKQEHKARLVVSAHFPVLTSSNRFRSVSFEVSCSSSKNFSASTIETGSVHAPYDEALVLKNKSLEVEPYLNGHSIYLVGLMGSGKTTVGKILSNVLSYSFCDSDALIEQEVNGMSVAEIFKLHGERFFRKKETEVLQRLSSKKQLVVSTGGGAVVWDVNWDYMQKKGVVVWLDVPLEALAQRIAAVGTHSRPLLHYEHGDPYTKALKRLSYLLELRGKNYAKANARVSLEGMRLCYV >KJB52740 pep chromosome:Graimondii2_0_v6:8:55317483:55322974:1 gene:B456_008G274900 transcript:KJB52740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVACKLNYPTWIESERFGRNSTGSLRFGRIAKQEHKARLVVSAHFPVLTSSNRFRSVSFEVSCSSSKNFSASTIETGSVHAPYDEALVLKNKSLEVEPYLNGHSIYLVGLMGSGKTTVGKILSNVLSYSFCDSDALIEQEVNGMSVAEIFKLHGERFFRKKETEVLQRLSSKKQLVVSTGGGAVVWDVNWDYMQKKGVVVWLDVPLEALAQRIAAVGTHSRPLLHYEHGDPYTKALKRLSYLLELRGKNYAKANARVSLEEIAGKLGYRDVSDLTPTEIAIEALQQIEGYLKEEGGMVIAGL >KJB52733 pep chromosome:Graimondii2_0_v6:8:55317912:55322926:1 gene:B456_008G274900 transcript:KJB52733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVACKLNYPTWIESERFGRNSTGSLRFGRIAKQEHKARLVVSAHFPVLTSSNRFRSVSFEVSCSSSKNFSASTIETGSVHAPYDEALVLKNKSLEVEPYLNGHSIYLVGLMGSGKTTVGKILSNVLSYSFCDSDALIEQEVNGMSVAEIFKLHGERFFRKKETEVLQRLSSKKQLVVSTGGGAVVWDVNWDYMQKKGVVVWLDVPLEALAQRIAAVGTHSRPLLHYEHGDPYTKALKRLSYLLELRGKNYAKANARVSLEEIAGKLGYRDVSDLTPTEIAIEALQQIEGYLKEEGGMVIAGL >KJB52741 pep chromosome:Graimondii2_0_v6:8:55317997:55322743:1 gene:B456_008G274900 transcript:KJB52741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVACKLNYPTWIESERFGRNSTGSLRFGRIAKQEHKARLVVSAHFPVLTSSNRFRSVSFEVSCSSSKNFSASTIETGSVHAPYDEALVLKNKSLEVEPYLNGHSIYLVGLMGSGKTTVGKILSNVLSYSFCDSDALIEQEVNGMSVAEIFKLHGERFFRKKETEVLQRLSSKKQLVVSTGGGAVVWDVNWDYMQKKGVVVWLDVPLEALAQRIAAVGTHSRPLLHYEHGDPYTKALKRLSYLLELRGKNYAKANARVSLEEIAGKLGYRDVSDLTPTEIAIEALQQIEGYLKEEGGMVIAGL >KJB52742 pep chromosome:Graimondii2_0_v6:8:55318432:55322743:1 gene:B456_008G274900 transcript:KJB52742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGKTTVGKILSNVLSYSFCDSDALIEQEVNGMSVAEIFKLHGERFFRKKETEVLQRLSSKKQLVVSTGGGAVVWDVNWDYMQKKGVVVWLDVPLEALAQRIAAVGTHSRPLLHYEHGDPYTKALKRLSYLLELRGKNYAKANARVSLEEIAGKLGYRDVSDLTPTEIAIEALQQIEGYLKEEGGMVIAGL >KJB52735 pep chromosome:Graimondii2_0_v6:8:55317653:55322926:1 gene:B456_008G274900 transcript:KJB52735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVACKLNYPTWIESERFGRNSTGSLRFGRIAKQEHKARLVVSAHFPVLTSSNRFRSVSFEVSCSSSKNFSASTIETGSVHAPYDEALVLKNKSLEVEPYLNGHSIYLVGLMGSGKTTVGKILSNVLSYSFCDSDALIEQEVNGMSVAEIFKLHGERFFRKKETEVLQRLSSKKQLVVSTGGGAVVWDVNWDYMQKKGVVVWLDVPLEALAQRIAAVGTHSRPLLHYEHGDPYTKALKRLSYLLELRGKNYAKANARVSLEEIAGKLGYRDVSDLTPTEIAIEALQQIEGYLKEEGGMVIAGL >KJB52736 pep chromosome:Graimondii2_0_v6:8:55317893:55322743:1 gene:B456_008G274900 transcript:KJB52736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVACKLNYPTWIESERFGRNSTGSLRFGRIAKQEHKARLVVSAHFPVLTSSNRFRSVSFEVSCSSSKNFSASTIETGSVHAPYDEALVLKNKSLEVEPYLNGHSIYLVGLMGSGKTTVGKILSNVLSYSFCDSDALIEQEVNGMSVAEIFKLHGERFFRKKETEVLQRLSSKKQLVVSTGGGAVVWDVNWDYMQKKGVVVWLDVPLEALAQRIAAVGTHSRPLLHYEHGDPYTKALKRLSYLLELRGKNYAKANARVSLEEIAGKLGYRDVSDLTPTEIAIEALQQIEGYLKEEGGMVIAGL >KJB52734 pep chromosome:Graimondii2_0_v6:8:55317653:55322926:1 gene:B456_008G274900 transcript:KJB52734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVACKLNYPTWIESERFGRNSTGSLRFGRIAKQEHKARLVVSAHFPVLTSSNRFRSVSFEVSCSSSKNFSASTIETGSVHAPYDEALVLKNKSLEVEPYLNGHSIYLVGLMGSGKTTVGKILSNVLSYSFCDRGKCTSESIKEFGLNKKEVNGMSVAEIFKLHGERFFRKKETEVLQRLSSKKQLVVSTGGGAVVWDVNWDYMQKKGVVVWLDVPLEALAQRIAAVGTHSRPLLHYEHGDPYTKALKRLSYLLELRGKNYAKANARVSLEEIAGKLGYRDVSDLTPTEIAIEALQQIEGYLKEEGGMVIAGL >KJB52739 pep chromosome:Graimondii2_0_v6:8:55317893:55322743:1 gene:B456_008G274900 transcript:KJB52739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVACKLNYPTWIESERFGRNSTGSLRFGRIAKQEHKARLVVSAHFPVLTSSNRFRSVSFEVSCSSSKNFSASTIETGSVHAPYDEALVLKNKSLEVEPYLNGHSIYLVGLMGSGKTTVGKILSNVLSYSFCDSDALIEQEVNGMSVAEIFKLHGERFFRKKETEVLQRLSSKKQLVVSTGGGAVVWDVNWDYMQKKGVVVWLDVPLEALAQRIAAVGTHSRPLLHYEHGDPYTKALKRLSYLLELRGKNYAKANARVSLEEIAGKLGYRDVSDLTPTEIAIEALQQIEGYLKEEGGMVIAGL >KJB52732 pep chromosome:Graimondii2_0_v6:8:55317467:55322953:1 gene:B456_008G274900 transcript:KJB52732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVACKLNYPTWIESERFGRNSTGSLRFGRIAKQEHKARLVVSAHFPVLTSSNRFRSVSFEVSCSSSKNFSASTIETGSVHAPYDEALVLKNKSLEVEPYLNGHSIYLVGLMGSGKTTVGKILSNVLSYSFCDSDALIEQEVNGMSVAEIFKLHGERFFRKKETEVLQRLSSKKQLVVSTGGGAVVWDVNWDYMQKKGVVVWLDVPLEALAQRIAAVGTHSRPLLHYEHGDPYTKALKRLSYLLELRGKNYAKANARVSLEEIAGKLGYRDVSDLTPTEIAIEALQQIEGYLKEEGGMVIAGL >KJB52738 pep chromosome:Graimondii2_0_v6:8:55317893:55322926:1 gene:B456_008G274900 transcript:KJB52738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVACKLNYPTWIESERFGRNSTGSLRFGRIAKQEHKARLVVSAHFPVLTSSNRFRSVSFEVSCSSSKNFSASTIETGSVHAPYDEALVLKNKSLEVEPYLNGHSIYLVGLMGSGKTTVGKILSNVLSYSFCDRGKCTSESIKEFGLNKKEVNGMSVAEIFKLHGERFFRKKETEVLQRLSSKKQLVVSTGGGAVVWDVNWDYMQKKGVVVWLDVPLEALAQRIAAVGTHSRPLLHYEHGDPYTKALKRLSYLLELRGKNYAKANARVSLEEIAGKLGYRDVSDLTPTEIAIEALQQIEGYLKEEGGMVIAGL >KJB46780 pep chromosome:Graimondii2_0_v6:8:1001595:1004408:-1 gene:B456_008G008400 transcript:KJB46780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQRRSNQKQGRLCDYCNQSKALLYCRADSAKLCFSCDREVHSANQLFSKHSRSQLCDACDGSPASIFCETEQSVFCSNCDWESHKFSTSSLHNRRPIEGFTGCPSVNELVSFVGIEDVGEKKGGDDCGYDDGWLDLLSWENPVISSFDDLLVSSDSDHGFKPTDVLPLPKSSALRFFGDNVEMTDKVFVPFSELRGHTEECAVVPDKHLGSSRTIPVSDSLGNQQHQIDAGTVSALPKVAVHELNSQERDSAISRYKEKRKTRRFDKHIRYESRKVRAESRARIKGRFAKLEH >KJB46783 pep chromosome:Graimondii2_0_v6:8:1001937:1004421:-1 gene:B456_008G008400 transcript:KJB46783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQRRSNQKQGRLCDYCNQSKALLYCRADSAKLCFSCDREVHSANQLFSKHSRSQLCDACDGSPASIFCETEQSVFCSNCDWESHKFSTSSLHNRRPIEGFTGCPSVNELVSFVGIEDVGEKKGGDDCGYDDGWLDLLSWENPVISSFDDLLVSSDSDHGFKPTDVLPLPKNRNANCGQHKEEVLHQLRELAKSEPTLSFDNTDDLQTGTVHTSCTNDTAPISFPAYESSALRFFGDNVEMTDKVFVPFSELRGHTEECAVVPDKHLGSSRTIPVSDSLGNQQHQIDAGTVSALPKVAVHELNSQERDSAISRYKEKRKTRRFDKHIRYESRKVRAESRARIKGRFAKLEH >KJB46782 pep chromosome:Graimondii2_0_v6:8:1002962:1004142:-1 gene:B456_008G008400 transcript:KJB46782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQRRSNQKQGRLCDYCNQSKALLYCRADSAKLCFSCDREVHSANQLFSKHSRSQLCDACDGSPASIFCETEQSVFCSNCDWESHKFSTSSLHNRRPIEGFTGCPSVNELVSFVGIEDVGEKKGGDDCGYDDGWLDLLSWENPVISSFDDLLVSSDSDHGFKPTDVLPLPKNRNANCGQHKEEVLHQLRELAKSEPTLSFDNTDDLQTGTVHTSCTNDTAPISFPAYEMLFWKRKQLQISHGYVAQLRGLFIGLRCHLGEVKLTIRSAKRHIVVWL >KJB46781 pep chromosome:Graimondii2_0_v6:8:1002319:1004142:-1 gene:B456_008G008400 transcript:KJB46781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQRRSNQKQGRLCDYCNQSKALLYCRADSAKLCFSCDREVHSANQLFSKHSRSQLCDACDGSPASIFCETEQSVFCSNCDWESHKFSTSSLHNRRPIEGFTGCPSVNELVSFVGIEDVGEKKGGDDCGYDDGWLDLLSWENPVISSFDDLLVSSDSDHGFKPTDVLPLPKNRNANCGQHKEEVLHQLRELAKSEPTLSFDNTDDLQTGTVHTSCTNDTAPISFPAYESSALRFFGDNVEMTDKVFVPFSELRGHTEECAVVPDKHLGSSRTIPVSDSLGNQQHQIDAGTVSALPKVAVHELNSQERDSAISRYKEKRKTRRFDKHIRYESRKVRAESRARIKGRFAKLEH >KJB53114 pep chromosome:Graimondii2_0_v6:8:56757970:56761813:1 gene:B456_008G294000 transcript:KJB53114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKIEQSPKTKMESLRTICDREFSIQQQKIDSFTASFPSSLNSIKSLVLDTAQNHAKLAKLKANLREAEDEFVKVLAAKTRKEAKQMATRDSISAVKARVEELKRTVQVQRSRREEYGAIISRQSLALSKTEEDAEHEIEENGEIQEAISWYNRVLGFQIEGGRGVKFTFNDINIKNPKEEYSFTICHANDAYSLLDCNPNLNGIKDLINELNRTNDLFGFVRTFREKFQEAAALGLQPQSTTFDQDCSTISLSGPALSVSSNRSESSAKKNEHHIPLQDANRQFKKFSHGSKSPAKVNENQHIDGELNRNPKEVVKSDILSPGRRSTRLKEVAKSEILSPVVHWSPRLKKVAKPDLLSPVVRQSPRLKNVGVLFVEEFN >KJB53113 pep chromosome:Graimondii2_0_v6:8:56757970:56761813:1 gene:B456_008G294000 transcript:KJB53113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKIEQSPKTKMESLRTICDREFSIQQQKIDSFTASFPSSLNSIKSLVLDTAQNHAKLAKLKANLREAEDEFVKVLAAKTRKEAKQMATRDSISAVKARVEELKRTVQVQRSRREEYGAIISRQSLALSKTEEDAEHEIEENGEIQEAISWYNRVLGFQIEGGRGVKFTFNDINIKNPKEEYSFTICHANDAYSLLDCNPNLNGIKDLINELNRTNDLFGFVRTFREKFQEAAALGLQPQSTTFDQDCSTISLSGPALSVSSNRSESSAKKNEHHIPLQDANRQFKKFSHGSKSPAKVNENQHIDGELNRNPKEVVKSDILSPGRRSTRLKEVAKSEILSPVVHWSPRLKKVAKPDLLSPVVRQSPRLKAKK >KJB53115 pep chromosome:Graimondii2_0_v6:8:56758080:56760968:1 gene:B456_008G294000 transcript:KJB53115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKIEQSPKTKMESLRTICDREFSIQQQKIDSFTASFPSSLNSIKSLVLDTAQNHAKLAKLKANLREAEDEFVKVLAAKTRKEAKQMATRDSISAVKARVEELKRTVQVQRSRREEYGAIISRQSLALSKTEEDAEHEIEENGEIQEAISWYNRVLGFQIEGGRGVKFTFNDINIKNPKEEYSFTICHANDAYSLLDCNPNLNGIKDLINELNRTNDLFGFVRTFREKFQEAAALGLQPQSTTFDQDCSTISLSGPALSVSSNRSESSAKKNEHHIPLQDANRQFKKFSHGSKSPAKVNENQHIDGELNRNPKEVVKSDILSPGRRSTRLKEVAKSEILSPVVHWSPRLKKVAKPDLLSPVVRQSPRLKELSE >KJB50767 pep chromosome:Graimondii2_0_v6:8:46727894:46731230:-1 gene:B456_008G186700 transcript:KJB50767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGKKDEDQGECSQAIHNIQGYQEQIYMQQQHQQMQQQQHNIDLFGGTRGGLIFPEVSPILPWSLPPIHNFNPALFTGNPVRDDDPFLVPPPPTSYGGLFNRRAPSLQFAYDGTSADHLRILSDTLGPGVQPGSAPFGLQAELGKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSLIAETSPVPTEIDELTVDTSEEDGKFVIKASLCCEDRSDLLPDLIKTLKALHLKTLKAEITTLGGRVKNVLFITGEEDSSSSGEQQQQQLQYCISSIQEALKAVMEKTSVDESSSGNVKRQRTNISILEHRSL >KJB47338 pep chromosome:Graimondii2_0_v6:8:2756222:2757084:-1 gene:B456_008G024000 transcript:KJB47338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLLIDPDPVSVSELDYVIKILPIFDLIGMSGNRNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALAIPDDGKILAMDVNRENYELGLPVIQKAGVAHKIDFKEGPALPVLDQLVEDEKNHGSFDFIFVDADKDNYLNYHKRLIELVKVGGLIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVMELNKALAVDPRIEICMLPVGDGITLCRRVK >KJB47340 pep chromosome:Graimondii2_0_v6:8:2756528:2757591:-1 gene:B456_008G024000 transcript:KJB47340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSPTFDQFGTSPTPDRGGKRSNPDFRLHITPLHFFFPLRFPIQRKLKLAQVHKQRKAKRKKLFISRVLAMATNTQDQQSQAGRHQEVGHKSLLQSDALYQYILETSVYPREPEPMKELRKLTAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALAIPDDGKILAMDVNRENYELGLPVIQKAGVAHKIDFKEGPALPVLDQLVEDVNFNNPIHFFFLQNLLIIELNFMLKNKI >KJB47339 pep chromosome:Graimondii2_0_v6:8:2755808:2757475:-1 gene:B456_008G024000 transcript:KJB47339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLLIDPDPVSVSELDYVIKILPIFDLIGMSGNRNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALAIPDDGKILAMDVNRENYELGLPVIQKAGVAHKIDFKEGPALPVLDQLVEDEKNHGSFDFIFVDADKDNYLNYHKRLIELVKVGGLIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVMELNKALAVDPRIEICMLPVGDGITLCRRVK >KJB47341 pep chromosome:Graimondii2_0_v6:8:2755808:2757848:-1 gene:B456_008G024000 transcript:KJB47341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSPTFDQFGTSPTPDRGGKRSNPDFRLHITPLHFFFPLRFPIQRKLKLAQVHKQRKAKRKKLFISRVLAMATNTQDQQSQAGRHQEVGHKSLLQSDALYQYILETSVYPREPEPMKELRKLTAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALAIPDDGKILAMDVNRENYELGLPVIQKAGVAHKIDFKEGPALPVLDQLVEDEKNHGSFDFIFVDADKDNYLNYHKRLIELVKVGGLIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVMELNKALAVDPRIEICMLPVGDGITLCRRVK >KJB49800 pep chromosome:Graimondii2_0_v6:8:38924375:38925108:1 gene:B456_008G138700 transcript:KJB49800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKRSLLLISIFSLVAISACARPPSDITDTPESPDSTPESPDSTPEAPDDDYSPNAPDEAADGYAPPPEAPTPSATFTILPGGSYPELDQICGATDYPIECVRFISPYLNDSIKIDPLNVLKVGIHVATNMTIEALNLAIKIRDDPKTSPNAQSCLRVCTENYDLIPDGNELALRAIEERNWSDLRNQLSGDLTSVNTCIDVYHEGNLASPMRDMDSDLLALHRVLLHIAVDMVKF >KJB53243 pep chromosome:Graimondii2_0_v6:8:57052093:57052523:-1 gene:B456_008G298200 transcript:KJB53243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVDGVLSGRADLPMSDDKVVEQTKGEKGIRSKAVLKDAMQYLSEERVLDGDIVAISFMKEEEDDGDMLIVCCPPPLPLISLSNLPTCFHCLFLNFVCLVI >KJB50366 pep chromosome:Graimondii2_0_v6:8:44711306:44712403:-1 gene:B456_008G172100 transcript:KJB50366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLWRKVRRVWVSVSDRLKPHKPTVKGGKDGETCSGNGLLKLQDDVKMCGYEDVQVMWALLNSPEIKQIGAATTTVVSSGKQWPSSWTAISWHNQGNTASSSSFCWVKSGIDNS >KJB48339 pep chromosome:Graimondii2_0_v6:8:10303433:10305572:-1 gene:B456_008G064200 transcript:KJB48339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLLKTSPVLDKSEWVKGHTLRQPSTSAVRCHPVAPSGLTVRASSYADELVKTAKAIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVSAPGLGQYISGAILFEETLYQTTIDGKKMVDVLVEQNIVPGIKVDKGLVPLAGSNNESWCQGLDGLAPRSAAYYQQGARFAKWRTVVSIPNGPTELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHGIDRTFEVAKKNPSSCPWNHVFVWWAV >KJB48342 pep chromosome:Graimondii2_0_v6:8:10303433:10305572:-1 gene:B456_008G064200 transcript:KJB48342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLLKTSPVLDKSEWVKGHTLRQPSTSAVRCHPVAPSGLTVRASSYADELVKTAKAIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVSAPGLGQYISGAILFEETLYQTTIDGKKMVDVLVEQNIVPGIKGLVPLAGSNNESWCQGLDGLAPRSAAYYQQGARFAKWRTVVSIPNGPTELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHGIDRTFEVAKKVWAEVFFYLAQNNVMFEGILLKPSMVTPGAECKDRATPQQVADYTLSLLRQRIPPAVPGIMFLSGGQSEVEATLNLNAMNQAPNPWHVSFSYARALQNTCLKKWGGRPENVKDAQEVLLIRAKANSLAQLGKYTGEGESEEAKQGMFVKGYVY >KJB48341 pep chromosome:Graimondii2_0_v6:8:10303587:10305004:-1 gene:B456_008G064200 transcript:KJB48341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESNATCGKRLASIGLENTEANRQAYRTLLVSAPGLGQYISGAILFEETLYQTTIDGKKMVDVLVEQNIVPGIKVDKGLVPLAGSNNESWCQGLDGLAPRSAAYYQQGARFAKWRTVVSIPNGPTELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHGIDRTFEVAKKVWAEVFFYLAQNNVMFEGILLKPSMVTPGAECKDRATPQQVADYTLSLLRQRIPPAVPGIMFLSGGQSEVEATLNLNAMNQAPNPWHVSFSYARALQNTCLKKWGGRPENVKDAQEVLLIRAKANSLAQLGKYTGEGESEEAKQGMFVKGYVY >KJB48340 pep chromosome:Graimondii2_0_v6:8:10303587:10305332:-1 gene:B456_008G064200 transcript:KJB48340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLLKTSPVLDKSEWVKGHTLRQPSTSAVRCHPVAPSGLTVRASSYADELVKTAKAIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVSAPGLGQYISGAILFEETLYQTTIDGKKMVDVLVEQNIVPGIKVDKGLVPLAGSNNESWCQGLDGLAPRSAAYYQQGARFAKWRTVVSIPNGPTELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHGIDRTFEVAKKVWAEVFFYLAQNNVMFEGILLKPSMVTPGAECKDRATPQQVADYTLSLLRQRIPPAVPGIMFLSGGQSEVEATLNLNAMNQAPNPWHVSFSYARALQNTCLKKWGGRPENVKDAQEVLLIRAKANSLAQLGKYTGEGESEEAKQGMFVKGYVY >KJB49761 pep chromosome:Graimondii2_0_v6:8:38767215:38768502:-1 gene:B456_008G137600 transcript:KJB49761 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01940) UniProtKB/Swiss-Prot;Acc:Q93W77] MASIAGIRLTKTLIFSSPQTLTKPLQIPQFLSLNQRIRFPSGRTHQKTAVKMSSSSFSPSAPAGSSSTGLYSSKQFELTAQNVDLVLDDVRPYLIADGGNVDVLSVEDGIISLKLQGACESCPSSTTTMKMGIERVLKEKFGDAVKDIRQVYDDEQKETTVEAVNRHLDILRPAIKNYGGSVEVLSIEEGECVVSYTGPETIGSGIKAAIKEKFPDITNVVLTG >KJB49760 pep chromosome:Graimondii2_0_v6:8:38767712:38768373:-1 gene:B456_008G137600 transcript:KJB49760 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01940) UniProtKB/Swiss-Prot;Acc:Q93W77] MASIAGIRLTKTLIFSSPQTLTKPLQIPQFLSLNQRIRFPSGRTHQKTAVKMSSSSFSPSAPAGSSSTGLYSSKQFELTAQNVDLVLDDVRPYLIADGGNVDVLSVEDGIISLKLQGACESCPSSTTTMKMGIERVLKEKFGDAVKDIRQVYDDEQKETTVEVGFLLKHEICEKETNFFLR >KJB52870 pep chromosome:Graimondii2_0_v6:8:55759214:55762935:-1 gene:B456_008G280900 transcript:KJB52870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKSSSFNETSKQPCNCYKVASLSETILETGQTANLKDRYILGEQLGWGQFGVIRLCSDKLTREVLACKSISKDRLVTSDDARSVKLEIEIMTRLSGHPNVVDLKAVYEDEDYVHLVMELCAGGELFHRLEKYGRFYEADARVLFRHLMQVVLYCHEIGIVHRDLKPENILLATKASSSPIKLADFGLATYIEPGKCLHGTVGSPFYIAPEVLAGGYNQAADIWSAGVILYILLSGTPPFWGKTKSRIFDAVRAADLQFPSDPWDRISDSAKNLVRGMLNTDPSQRFTALQVLGIRISALVLDRQSFMMFNHPR >KJB52871 pep chromosome:Graimondii2_0_v6:8:55759214:55762989:-1 gene:B456_008G280900 transcript:KJB52871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKSSSFNETSKQPCNCYKVASLSETILETGQTANLKDRYILGEQLGWGQFGVIRLCSDKLTREVLACKSISKDRLVTSDDARSVKLEIEIMTRLSGHPNVVDLKAVYEDEDYVHLVMELCAGGELFHRLEKYGRFYEADARVLFRHLMQVVLYCHEIGIVHRDLKPENILLATKASSSPIKLADFGLATYIEPGKCLHGTVGSPFYIAPEVLAGGYNQAADIWSAGVILYILLSGTPPFWGKTKSRIFDAVRAADLQFPSDPWDRISDSAKNLVRGMLNTDPSQRFTALQVLDHLWMKYDESCHEESSEFVYQSHGELEFHSGSFSLSRDQDISFGAGSPIIYDVQSPSLTCRTSFSSSLVEPSTTCFALGGFSFHGGNSETLEFSSAVPSMPSFAFFSPSSVAEQENIALVERFAGDTGLDKLLVLPDSSLSCGPEAREKETKTAEFGRTGGMNGSKLLAFHSKRNRTIGHGEREQLDFIVSESVIRWASCTNLPTATSLRSSLVC >KJB52869 pep chromosome:Graimondii2_0_v6:8:55759214:55762935:-1 gene:B456_008G280900 transcript:KJB52869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKSSSFNETSKQPCNCYKVASLSETILETGQTANLKDRYILGEQLGWGQFGVIRLCSDKLTREVLACKSISKDRLVTSDDARSVKLEIEIMTRLSGHPNVVDLKAVYEDEDYVHLVMELCAGGELFHRLEKYGRFYEADARVLFRHLMQVVLYCHEIGIVHRDLKPENILLATKASSSPIKLADFGLATYIEPGKCLHGTVGSPFYIAPEVLAGGYNQAADIWSAGVILYILLSGTPPFWGKTKSRIFDAVRAADLQFPSDPWDRISDSAKNLVRGMLNTDPSQRFTALQVLDHLWMKYDESCHEESSEFVYQSHGELEFHSGSFSLSRDQDISFGAGSPIIYDVQSPSLTCRTSFSSSLLCILQS >KJB52868 pep chromosome:Graimondii2_0_v6:8:55758766:55762935:-1 gene:B456_008G280900 transcript:KJB52868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKSSSFNETSKQPCNCYKVASLSETILETGQTANLKDRYILGEQLGWGQFGVIRLCSDKLTREVLACKSISKDRLVTSDDARSVKLEIEIMTRLSGHPNVVDLKAVYEDEDYVHLVMELCAGGELFHRLEKYGRFYEADARVLFRHLMQVVLYCHEIGIVHRDLKPENILLATKASSSPIKLADFGLATYIEPGKCLHGTVGSPFYIAPEVLAGGYNQAADIWSAGVILYILLSGTPPFWGKTKSRIFDAVRAADLQFPSDPWDRISDSAKNLVRGMLNTDPSQRFTALQVLDHLWMKYDESCHEESSEFVYQSHGELEFHSGSFSLSRDQDISFGAGSPIIYDVQSPSLTCRTSFSSSLVEPSTTCFALGGFSFHGGNSETLEFSSAVPSMPSFAFFSPSSVAEQENIALVERFAGDTGLDKLLVLPDSSLSCGPEAREKETKTAEFGRTGGMNGSKLLAFHSKRNRTIGHEITSRSGLIPVQDK >KJB50480 pep chromosome:Graimondii2_0_v6:8:44912938:44914099:1 gene:B456_008G173500 transcript:KJB50480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRSRSLARHPLPRSEPFLKFLKPGALARLRDSRISARSHRSTSLFQISPSFQPSNGGQPFSTAMDGFPCFVPTPTVYGPRCPQRKKLIATKGMLFLSSTQSALDLPDPVVDLLTSE >KJB46947 pep chromosome:Graimondii2_0_v6:8:439541:446366:-1 gene:B456_008G002700 transcript:KJB46947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEILEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRVRRSAHQEMDLISKVKCPFIVEYKDSWVERGCYVCIIIGYCEGGDMAEAIKRANGVQFSEERLCTWLVQLLMALDYLHVNHILHRDVKCSNIFLTKNQDIRLGDFGLAKLLTSDELASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCLYEMTAHKPAFKAFDIRALINKINKSMVAPLPTMYSSAFRGLIKSMLRKNPELRPSASELLTLPHLQPYVLKIHLKSSSPRCDNFPTRWSDSSFMKKTKFVEKDSTRFSDIGRRRSFSNDRALNPSVSETEQDSLSGIRRVQEIPSSLFEKFTAISVGIDNEDITADKSTATKFSTVAKTPRLTPAVSVTPRKHIIPSKISKTGQKHDLVPVSQTPSSKSSYSSRRVSLPLPSRTAAIVTPYRANVGSLPTSNSPDVSVNAPRIDKIAEFPLASSNDPVLPIRQISSTSAKCSSSSSIDSADRSITKDKCTVQILEKAVATSNVSDQSLEVAQDGSEGGSEYNRAAVSIRSSSESRQRRFDTSSYQQRAEALEGLLEFSARLLKQQRYDELGVLLKPFGPEKVSPRETAIWLAKSFKETQV >KJB46946 pep chromosome:Graimondii2_0_v6:8:439840:445093:-1 gene:B456_008G002700 transcript:KJB46946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEILEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRVRRSAHQEMDLISKVKCPFIVEYKDSWVERGCYVCIIIGYCEGGDMAEAIKRANGVQFSEERLCTWLVQLLMALDYLHVNHILHRDVKCSNIFLTKNQDIRLGDFGLAKLLTSDELASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCLYEMTAHKPAFKAFDIRALINKINKSMVAPLPTMYSSAFRGLIKSMLRKNPELRPSASELLTLPHLQPYVLKIHLKSSSPRCDNFPTRWSDSSFMKKTKFVEKDSTRFSDIGRRRSFSNDRALNPSVSETEQDSLSGIRRVQEIPSSLFEKFTAISVGIDNEDITADKSTATKFSTVAKTPRLTPAVSVTPRKHIIPSKISKTGQKHDLVPVSQTPSSKSSYSSRRVSLPLPSRTAAIVTPYRANVGSLPTSNSPDVSVNAPRIDKIAEFPLASSNDPVLPIRQISSTSAKCSSSSSIDSADRSITKDKCTVQILEKAVATSNVSDQSLEVAQDGSEGGSEYNRAAVSIRSSSESRQRRFDTSSYQQRAEALEGLLEFSARLLKQQRYDELGVLLKPFGPEKVSPRETAIWLAKSFKETQV >KJB46948 pep chromosome:Graimondii2_0_v6:8:439620:445461:-1 gene:B456_008G002700 transcript:KJB46948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEILEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRVRRSAHQEMDLISKVKCPFIVEYKDSWVERGCYVCIIIGYCEGGDMAEAIKRANGVQFSEERLCTWLVQLLMALDYLHVNHILHRDVKCSNIFLTKNQDIRLGDFGLAKLLTSDELASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCLYEMTAHKPAFKAFDIRALINKINKSMVAPLPTMYSSAFRGLIKSMLRKNPELRPSASELLTLPHLQPYVLKIHLKSSSPRCDNFPTRWSDSSFMKKTKFVEKDSTRFSDIGRRRSFSNDRALNPSVSETEQDSLSGIRRVQEIPSSLFEKFTAISVGIDNEDITADKSTATKFSTVAKTPRLTPAVSVTPRKHIIPSKISKTGQKHDLVPVSQTPSSKSSYSSRRVSLPLPSRTAAIVTPYRANVGSLPTSNSPDVSVNAPRIDKIAEFPLASSNDPVLPIRQISSTSAKCSSSSSIDSADRSITKDKCTVQILEKAVATSNVSDQSLEVAQDGSEGGSEYNRAAVSIRSSSESRQRRFDTSSYQQRAEALEGLLEFSARLLKQQRYDELGVLLKPFGPEKVSPRETAIWLAKSFKETQV >KJB48639 pep chromosome:Graimondii2_0_v6:8:15378891:15380789:-1 gene:B456_008G079500 transcript:KJB48639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALLFTSPPPPTPSINRSTLSFAAVTNFPPSPDKLGLLLDKSSSIRHLLQIHAALLRHGLHQHPILNFKLQRSYSSRGRLDYSLALFNRTLNPNIFFYTSIINAHALHGLSQEALFIYTQMLFEGVQPNEFTFSSILKSCSLEHGKMLHSQVIKLGFDSDLYVKTGLVDVYARGGDVGSARLVFERMPEKSLVSLTTMLTCYAKHGELKEARLLFDGMVKKDVVCWNVMIDGYTQHGMPKEALVLFRRMLAAKARPNEITILTVLSACGQLGALESGRWLHSYMQNNDIQVSVRVATALIDMYSKCGSLEDARLVFDRIYYKDVVAWNSMIIGYALHGFSQDALELFHEMCRIGLRPTDITFIGVLGACGHAGLVKEGWSFFNAMREEYEIEPKIEHYGCMVNLLGRAGQLEEAYELVKNMKVEPDPILWGALLGACRLHGNLELGEKIAEYLVSHNLANSGTYILLSNMYAAKGDWEEVARIRTLMKDSGVQKEPGCSSIEVHNRVHEFLAGNLKHPKTKEIYEMLEAMNGWLKAHGYTPQTEIVLHDIGDEQKEQSLEVHSEKLALAYGLISTQPGTPIKIVKNLRVCSDCHAVTKLISKITGRKIVMRDRNRFHHFVNGSCSCGDYW >KJB49918 pep chromosome:Graimondii2_0_v6:8:39833751:39839576:-1 gene:B456_008G145800 transcript:KJB49918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATWITSHSCSSSVILSSKETSIPVMIQWLRFIFLSPCPQRALFLAVDLLFLLTLLCFAFHKLYSRLSGNIHGSSDINKPLIRCNKALTRTTIWFKLSLIVTLVLAFSYTIICIMAFRSNNQDPRKLFDGMFWLVQAGTHVVIAILIIHEKRFEVINHPFSLRAYWIANFIIISLFTASGIIRIETNQGQYLRLDDIVSLGSFPLSVLLLVVAIRGSTGIAVSGELGPAMEEEETKLYEPLLSKSNITGFASASIVSKAFWLWLNPLLKKGYESPLKMDDVPTLSPEHRAEKMLKLFEVNWPKPEEKLKHPVRTTLLRCFWKELAFTAFLAIVRLCVTYVGPLLIQSFVDYTAGKRSSPYEGYYLILILLAAKFVEVLSSHQFNFNSQKLGMLIRCTLITSLYKKGLRLTCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLTPLQVSVALVLLYMYLGAAVVTSLLGLLGAFIFAIMESRRNNRFQFNVMKNRDLRMKATNQMLNYMRVIKFQSWEEHFNKRIQSFRETEFGWLSNFLYSIAGHVIIMWSTPLLVSTLTFGTALLLGVRLDAGLVFTATTIFKILQEPIRSFPQSMISLSQAVISLERLDSFMMSKELGDSSVERQEGCDDRVAVEIKNGAFSWDDEKGEQVLNNINLEIKKGELTAIVGTVGSGKSSLLASVLGEMHKISGKVKLCGTTAYVAQSSWIQNGTIEDNILFGLPMNREKYREVIRACCLEKDLEMMEFGDQTEIGERGINLSGGQKQRVQLARAVYQDCDIYLLDDIFSAVDAHTGSDIFKECVRGVLKEKTILLVTHQVDFLHNVDHILVMRDGMIVQSGKYNDLLDSHMDFGALVAAHESSMELVEEAGNTMPGENAPRTSKSAQDASNIREVNGERKSQDPLKSDKGDSRLIKDEERETGKVSLHVYKMYCTEAFGWWGVAAALLLSLLWQASLMASDYWLSYETSAERALPFDPLLFFSVYAIIAAVSVVLVTFRAYFVTLMGLKTAQIFFRQILQSILHAPMSFFDTTPSGRILSRASTDQTNVDIFIPFIMGVTIAMYITLLSIFIITCQYAWPTIFLIIPLAWLNFWYRGYYLASSRELTRLDSITKAPVIHHFSESISGVMTIRAFGKEDRFCQENANRVNSSLCMDFHNNGSNEWLGFRLEFIGSVVLCLSTMFMILLPSSIVRPENVGLSLSYGLSLNGVLFMSIYFSCYVENRMVSVERIKQFSSIQPEAAWHNKNHLPPPNWPAHGNVELKDLQVRYRPSTPLVLKGITLSINGGEKIGVVGRTGSGKSTLIQVFFRLVEPTAGKIIIDDIDICMLGLHDLRPRFGIIPQEPVLFEGSVRSNIDPAGQFSDEEIWKSLERCQLKDVVASKPDKLDSLVVDNGDNWSMGQRQLLCLGRVMLKRSRLLLMDEATASVDSQTDSIIQKIIREDFAACTIISIAHRIPTVMDCDRVLVVDAGKAKEFDKPSQLLETPTLFAALVQEYANRSSGL >KJB49920 pep chromosome:Graimondii2_0_v6:8:39833891:39839576:-1 gene:B456_008G145800 transcript:KJB49920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATWITSHSCSSSVILSSKETSIPVMIQWLRFIFLSPCPQRALFLAVDLLFLLTLLCFAFHKLYSRLSGNIHGSSDINKPLIRCNKALTRTTIWFKLSLIVTLVLAFSYTIICIMAFRSNNQDPRKLFDGMFWLVQAGTHVVIAILIIHEKRFEVINHPFSLRAYWIANFIIISLFTASGIIRIETNQGQYLRLDDIVSLGSFPLSVLLLVVAIRGSTGIAVSGELGPAMEEEETKLYEPLLSKSNITGFASASIVSKAFWLWLNPLLKKGYESPLKMDDVPTLSPEHRAEKMLKLFEVNWPKPEEKLKHPVRTTLLRCFWKELAFTAFLAIVRLCVTYVGPLLIQSFVDYTAGKRSSPYEGYYLILILLAAKFVEVLSSHQFNFNSQKLGMLIRCTLITSLYKKGLRLTCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLTPLQVSVALVLLYMYLGAAVVTSLLGLLGAFIFAIMESRRNNRFQFNVMKNRDLRMKATNQMLNYMRVIKFQSWEEHFNKRIQSFRETEFGWLSNFLYSIAGHVIIMWSTPLLVSTLTFGTALLLGVRLDAGLVFTATTIFKILQEPIRSFPQSMISLSQAVISLERLDSFMMSKELGDSSVERQEGCDDRVAVEIKNGAFSWDDEKGEQVLNNINLEIKKGELTAIVGTVGSGKSSLLASVLGEMHKISGKVKLCGTTAYVAQSSWIQNGTIEDNILFGLPMNREKYREVIRACCLEKDLEMMEFGDQTEIGERGINLSGGQKQRVQLARAVYQDCDIYLLDDIFSAVDAHTGSDIFKECVRGVLKEKTILLVTHQVDFLHNVDHILVMRDGMIVQSGKYNDLLDSHMDFGALVAAHESSMELVEEAGNTMPGENAPRTSKSAQDASNIREVNGERKSQDPLKSDKGDSRLIKDEERETGKVSLHVYKMYCTEAFGWWGVAAALLLSLLWQASLMASDYWLSYETSAERALPFDPLLFFSVYAIIAAVSVVLVTFRAYFVTLMGLKTAQIFFRQILQSILHAPMSFFDTTPSGRILSRASTDQTNVDIFIPFIMGVTIAMYITLLSIFIITCQYAWPTIFLIIPLAWLNFWYRGYYLASSRELTRLDSITKAPVIHHFSESISGVMTIRAFGKEDRFCQENANRVNSSLCMDFHNNGSNEWLGFRLEFIGSVVLCLSTMFMILLPSSIVRPENVGLSLSYGLSLNGVLFMSIYFSCYVENRMVSVERIKQFSSIQPEAAWHNKNHLPPPNWPAHGNVELKDLQVRYRPSTPLVLKGITLSINGGEKIGVVGRTGSGKSTLIQVFFRLVEPTAGKIIIDDIDICMLGLHDLRPRFGIIPQEPVLFEGSVRSNIDPAGQFSDEEIWKVTMLLSHNENMFSLFSLAVNF >KJB49919 pep chromosome:Graimondii2_0_v6:8:39833891:39839925:-1 gene:B456_008G145800 transcript:KJB49919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATWITSHSCSSSVILSSKETSIPVMIQWLRFIFLSPCPQRALFLAVDLLFLLTLLCFAFHKLYSRLSGNIHGSSDINKPLIRCNKALTRTTIWFKLSLIVTLVLAFSYTIICIMAFRSNNQDPRKLFDGMFWLVQAGTHVVIAILIIHEKRFEVINHPFSLRAYWIANFIIISLFTASGIIRIETNQGQYLRLDDIVSLGSFPLSVLLLVVAIRGSTGIAVSGELGPAMEEEETKLYEPLLSKSNITGFASASIVSKAFWLWLNPLLKKGYESPLKMDDVPTLSPEHRAEKMLKLFEVNWPKPEEKLKHPVRTTLLRCFWKELAFTAFLAIVRLCVTYVGPLLIQSFVDYTAGKRSSPYEGYYLILILLAAKFVEVLSSHQFNFNSQKLGMLIRCTLITSLYKKGLRLTCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLTPLQVSVALVLLYMYLGAAVVTSLLGLLGAFIFAIMESRRNNRFQFNVMKNRDLRMKATNQMLNYMRVIKFQSWEEHFNKRIQSFRETEFGWLSNFLYSIAGHVIIMWSTPLLVSTLTFGTALLLGVRLDAGLVFTATTIFKILQEPIRSFPQSMISLSQAVISLERLDSFMMSKELGDSSVERQEGCDDRVAVEIKNGAFSWDDEKGEQVLNNINLEIKKGELTAIVGTVGSGKSSLLASVLGEMHKISGKVKLCGTTAYVAQSSWIQNGTIEDNILFGLPMNREKYREVIRACCLEKDLEMMEFGDQTEIGERGINLSGGQKQRVQLARAVYQDCDIYLLDDIFSAVDAHTGSDIFKECVRGVLKEKTILLVTHQVDFLHNVDHILVMRDGMIVQSGKYNDLLDSHMDFGALVAAHESSMELVEEAGNTMPGENAPRTSKSAQDASNIREVNGERKSQDPLKSDKGDSRLIKDEERETGKVSLHVYKMYCTEAFGWWGVAAALLLSLLWQASLMASDYWLSYETSAERALPFDPLLFFSVYAIIAAVSVVLVTFRAYFVTLMGLKTAQIFFRQILQSILHAPMSFFDTTPSGRILSRASTDQTNVDIFIPFIMGVTIAMYITLLSIFIITCQYAWPTIFLIIPLAWLNFWYRGYYLASSRELTRLDSITKAPVIHHFSESISGVMTIRAFGKEDRFCQENANRVNSSLCMDFHNNGSNEWLGFRLEFIGSVVLCLSTMFMILLPSSIVRPENVGLSLSYGLSLNGVLFMSIYFSCYVENRMVSVERIKQFSSIQPEAAWHNKNHLPPPNWPAHGNVELKDLQVRYRPSTPLVLKGITLSINGGEKIGVVGRTGSGKSTLIQVFFRLVEPTAGKIIIDDIDICMLGLHDLRPRFGIIPQEPVLFEGSVRSNIDPAGQFSDEEIWKSLERCQLKDVVASKPDKLDSLGK >KJB49921 pep chromosome:Graimondii2_0_v6:8:39834712:39839553:-1 gene:B456_008G145800 transcript:KJB49921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATWITSHSCSSSVILSSKETSIPVMIQWLRFIFLSPCPQRALFLAVDLLFLLTLLCFAFHKLYSRLSGNIHGSSDINKPLIRCNKALTRTTIWFKLSLIVTLVLAFSYTIICIMAFRSNNQDPRKLFDGMFWLVQAGTHVVIAILIIHEKRFEVINHPFSLRAYWIANFIIISLFTASGIIRIETNQGQYLRLDDIVSLGSFPLSVLLLVVAIRGSTGIAVSGELGPAMEEEETKLYEPLLSKSNITGFASASIVSKAFWLWLNPLLKKGYESPLKMDDVPTLSPEHRAEKMLKLFEVNWPKPEEKLKHPVRTTLLRCFWKELAFTAFLAIVRLCVTYVGPLLIQSFVDYTAGKRSSPYEGYYLILILLAAKFVEVLSSHQFNFNSQKLGMLIRCTLITSLYKKGLRLTCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLTPLQVSVALVLLYMYLGAAVVTSLLGLLGAFIFAIMESRRNNRFQFNVMKNRDLRMKATNQMLNYMRVIKFQSWEEHFNKRIQSFRETEFGWLSNFLYSIAGHVIIMWSTPLLVSTLTFGTALLLGVRLDAGLVFTATTIFKILQEPIRSFPQSMISLSQAVISLERLDSFMMSKELGDSSVERQEGCDDRVAVEIKNGAFSWDDEKGEQVLNNINLEIKKGELTAIVGTVGSGKSSLLASVLGEMHKISGKVKLCGTTAYVAQSSWIQNGTIEDNILFGLPMNREKYREVIRACCLEKDLEMMEFGDQTEIGERGINLSGGQKQRVQLARAVYQDCDIYLLDDIFSAVDAHTGSDIFKECVRGVLKEKTILLVTHQVDFLHNVDHILVMRDGMIVQSGKYNDLLDSHMDFGALVAAHESSMELVEEAGNTMPGENAPRTSKSAQDASNIREVNGERKSQDPLKSDKGDSRLIKDEERETGKVSLHVYKMYCTEAFGWWGVAAALLLSLLWQASLMASDYWLSYETSAERALPFDPLLFFSVYAIIAAVSVVLVTFRAYFVTLMGLKTAQIFFRQILQSILHAPMSFFDTTPSGRILSRASTDQTNVDIFIPFIMGVTIAMYITLLSIFIITCQYAWPTIFLIIPLAWLNFWYRGYYLASSRELTRLDSITKAPVIHHFSESISGVMTIRAFGKEDRFCQENANRVNSSLCMDFHNNGSNEWLGFRLEFIGSVVLCLSTMFMILLPSSIVRPENVGLSLSYGLSLNGVLFMSIYFSCYVENRMVSVERIKQFSSIQPEAAWHNKNHLPPPNWPAHGNVELKDLQVRYRPSTPLVLKGITLSINGGEKIGVVGRTGSGKSTLIQVFFRLVEPTAGKIIIDDIDICMLGLHDLRPRFGIIPQEPVLFEGSVRSNIDPAGQFSDEEIWKVTMLLSHNENMFSLFSLAVNF >KJB49671 pep chromosome:Graimondii2_0_v6:8:37908742:37912507:-1 gene:B456_008G132800 transcript:KJB49671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRATMVQPSSTFVNINKKNLWVSLAIAVLSLCFVPINVSAAVRRHPPQQHHLSRKPSPKLRFGRNGEFKILQVADMHYADGKTTPCEDVLPSQVDGCSDLNTTAFIHRMIEAEKPNFIIFTGDNIYGFDSKDSAKSMDAAFAPAIAARIPWAAVLGNHDQEGTLSREGVMKHIVGLNHTLSQFNPSELHVIDGFGNYNLEVGGVEGSGFVNKSILNLYFLDSGDYSTVPAIPGYGWIKPSQQLWFQRTSAKLRV >KJB49670 pep chromosome:Graimondii2_0_v6:8:37908742:37912507:-1 gene:B456_008G132800 transcript:KJB49670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRATMVQPSSTFVNINKKNLWVSLAIAVLSLCFVPINVSAAVRRHPPQQHHLSRKPSPKLRFGRNGEFKILQVADMHYADGKTTPCEDVLPSQVDGCSDLNTTAFIHRMIEAEKPNFIIFTGDNIYGFDSKDSAKSMDAAFAPAIAARIPWAAVLGNHDQEGTLSREAYMSPPNAQKSSAPGLVYFHIPLPEVASFDSTNFTGVRQEDIGSASVNSGFFTTLVEAGDVKAVFTGHDHVNDFCGQLTGIQLCYGGGFGYHAYGKAGWPRRARVVVASLEKTEEGGWGTVKSIKTWKRLDDKHLTAIDGEVLWSKKHTGTRRKKHINGSPA >KJB49669 pep chromosome:Graimondii2_0_v6:8:37908741:37912535:-1 gene:B456_008G132800 transcript:KJB49669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRATMVQPSSTFVNINKKNLWVSLAIAVLSLCFVPINVSAAVRRHPPQQHHLSRKPSPKLRFGRNGEFKILQVADMHYADGKTTPCEDVLPSQVDGCSDLNTTAFIHRMIEAEKPNFIIFTGDNIYGFDSKDSAKSMDAAFAPAIAARIPWAAVLGNHDQEGTLSREGVMKHIVGLNHTLSQFNPSELHVIDGFGNYNLEVGGVEGSGFVNKSILNLYFLDSGDYSTVPAIPGYGWIKPSQQLWFQRTSAKLRRAYMSPPNAQKSSAPGLVYFHIPLPEVASFDSTNFTGVRQEDIGSASVNSGFFTTLVEAGDVKAVFTGHDHVNDFCGQLTGIQLCYGGGFGYHAYGKAGWPRRARVVVASLEKTEEGGWGTVKSIKTWKRLDDKHLTAIDGEVLWSKKHTGTRRKKHINGSPA >KJB49672 pep chromosome:Graimondii2_0_v6:8:37910119:37912379:-1 gene:B456_008G132800 transcript:KJB49672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRATMVQPSSTFVNINKKNLWVSLAIAVLSLCFVPINVSAAVRRHPPQQHHLSRKPSPKLRFGRNGEFKILQVADMHYADGKTTPCEDVLPSQVDGCSDLNTTAFIHRMIEAEKPNFIIFTGDNIYGFDSKDSAKSMDAAFAPAIAARIPWAAVLGNHDQEGTLSREGVMKHIVGLNHTLSQFNPSELHVIDGFGNYNLEVGGVEGSGFVNKSILNLYFLDSGDYSTVPAIPGYGWIKPSQQLWFQRTSAKLRRAYMSPPNAQKSSAPGLVYFHIPLPEVASFDSTNFTGVRQEDIGSASVNSGFFTTLVEAGDVKAVFTGHDHVNDFCGQLTGIQLCYGGGFGYHAYGKAGWPRRARVVVASLEKTEEGGWGTVKSIKTWKRLDDKHLTAIDGEVLWSKKHTGKVE >KJB47031 pep chromosome:Graimondii2_0_v6:8:820950:823138:1 gene:B456_008G007000 transcript:KJB47031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVSYALFLVALFQCRFLAFAILDPIDFLALQSIRKSLHDLPGSNFLASWDFTSDPCNFAGVYCASDKVVALNLGDPRAGSPGLTGRIDSAIGKLSALAELSIVPGRIYGSLPESISQLKGLRFLAISRNFISGNIPVTLGRLRRLKTLDLSYNQLTGGIPRSIGTMPELTNVILCHNHLSGSVPPFLSHVLTRLDLKHNALSGSLAPYSLPPSLQSLSLSWNRLTGPVDKLLSRLNHLNYLDLSLNQFTGPIPGRLFSFPITNLQLERNSFSGPVQPTEQVTIPTVDLSHNRLSGHISPMLSTVQNLYLNNNYFTGQVPASFVDRLLSARIRILYLQHNYLTGIEISPTAEIPLRSSLCLQYNCMVPPVQTACPLKAGKMKTRPTSQCKG >KJB50388 pep chromosome:Graimondii2_0_v6:8:43980183:43995109:-1 gene:B456_008G168200 transcript:KJB50388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSVIPASVLRNLSDKLYEKRKNAALEFEGIVKQLASSGDHEKISLVINLLTTEFVYSPHANHRKGGLIGLAAATVGLTSEAAQHLEQIVQPVLNSFSDQDSRVRYYACEALYNIAKIVRGDFIIFFNQIFDALCKLSADSDGNVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYSRMAEILVQRAASPDEFTRLTAITWINEFVKLGRDQLVPYYADILGAILPCISDKEEKIRVVAHETNEELRAIKADPAETFDVGAILSITRRQLDSEWEATRIEALHWISTLLNRHRAEVLCFLNDIFDTLLKALSDSSDEVVLLVLDIHACIAQDPLNFRQLVVFLVHNFRVDHSLLERRGALIIRRLCVLLDAERVYRELSTILEGEADLDFACIMVQALNLILLTSSELSELRGLLKQSLVNAAGKDLFVSLYASWCHSPMAIISLCLLAQMYQHASAVTQSLVKEDINAKFLVQLDKLIRLLETPIFAYIRLQLLEPGRYIWLLKALYGLLMLLPQKSSAFTRLRTRLKTVPLCSFNGDQLKRASSGNPYSQILCHSGSQISEDGDITQDNGNLQNGINFGSRLQQFEQMQRQHRMLAKSQAQSRISSASLSKEEPKAEPWRIPTSEGNRPLSRSSRRGVGQFQI >KJB50386 pep chromosome:Graimondii2_0_v6:8:43980659:43995170:-1 gene:B456_008G168200 transcript:KJB50386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSVIPASVLRNLSDKLYEKRKNAALEFEGIVKQLASSGDHEKISLVINLLTTEFVYSPHANHRKGGLIGLAAATVGLTSEAAQHLEQIVQPVLNSFSDQDSRVRYYACEALYNIAKIVRGDFIIFFNQIFDALCKLSADSDGNVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYSRMAEILVQRAASPDEFTRLTAITWINEFVKLGRDQLVPYYADILGAILPCISDKEEKIRVVAHETNEELRAIKADPAETFDVGAILSITRRQLDSEWEATRIEALHWISTLLNRHRAEVLCFLNDIFDTLLKALSDSSDEVVLLVLDIHACIAQDPLNFRQLVVFLVHNFRVDHSLLERRGALIIRRLCVLLDAERVYRELSTILEGEADLDFACIMVQALNLILLTSSELSELRGLLKQSLVNAAGKDLFVSLYASWCHSPMAIISLCLLAQMYQHASAVTQSLVKEDINAKFLVQLDKLIRLLETPIFAYIRLQLLEPGRYIWLLKALYGLLMLLPQKSSAFTRLRTRLKTVPLCSFNGDQLKRASSGNPYSQILCHSGSQISEDGDITQDNGNLQNGINFGSRLQQFEQMQRQHRMLAKSQAQSRISSASLSKEEPKAEPWRIPTSEGNRPLSRSSRRGVGQFQI >KJB50387 pep chromosome:Graimondii2_0_v6:8:43980357:43995109:-1 gene:B456_008G168200 transcript:KJB50387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSVIPASVLRNLSDKLYEKRKNAALEFEGIVKQLASSGDHEKISLVINLLTTEFVYSPHANHRKGGLIGLAAATVGLTSEAAQHLEQIVQPVLNSFSDQDSRVRYYACEALYNIAKIVRGDFIIFFNQIFDALCKLSADSDGNVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYSRMAEILVQRAASPDEFTRLTAITWINEFVKLGRDQLVPYYADILGAILPCISDKEEKIRVVAHETNEELRAIKADPAETFDVGAILSITRRQLDSEWEATRIEALHWISTLLNRHRAEVLCFLNDIFDTLLKALSDSSDEVVLLVLDIHACIAQDPLNFRQLVVFLVHNFRVDHSLLERRGALIIRRLCVLLDAERVYRELSTILEGEADLDFACIMVQALNLILLTSSELSELRGLLKQSLVNAAGKDLFVSLYASWCHSPMAIISLCLLAQMYQHASAVTQSLVKEDINAKFLVQLDKLIRLLETPIFAYIRLQLLEPGRYIWLLKALYGLLMLLPQKSSAFTRLRTRLKTVPLCSFNGDQLKRASSGNPYSQILCHSGSQISEDGDITQDNGNLQNGINFGSRLQQFEQMQRQHRMLAKSQAQSRISSASLSKEEPKAEPWRIPTSEGNRPLSRSSRRGVGQFQI >KJB51818 pep chromosome:Graimondii2_0_v6:8:51932228:51935798:1 gene:B456_008G233000 transcript:KJB51818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPSKFLAFIPFLVFIIAPFSHSIPFIVIHGIGDQCANRGVKQFTELLTNFSGSKGYCLEIGGGTWDSWFMPLEEQANFVCKKVKQMKELSKGYNIVGLSQGNLIGRAVVEFCDGAPQVKNFISLGGPHAGTASVPLCGSGFLCLIADKLIKSEIYSDYIQAHLAPSGYLKLPNDIPHYLEKCRFLPKLNNELPHERNSTYKERFTRLQNLVLIMFEHDTVLIPKETSWFGYYPNGAFKPVDRPQETKLYSEDWIGLKTLDDAGKVHYVNVSGGHLGISKEDMKKHVVPFLKDEAPMADSIETHGSHRKVKLNRKRNQELPLLQEKESSQLILDGSSSYHWPPSVQSFFNELLGLAEA >KJB51823 pep chromosome:Graimondii2_0_v6:8:51933728:51935769:1 gene:B456_008G233000 transcript:KJB51823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFCLGMMKQVKNFISLGGPHAGTASVPLCGSGFLCLIADKLIKSEIYSDYIQAHLAPSGYLKLPNDIPHYLEKCRFLPKLNNELPHERNSTYKERFTRLQNLVLIMFEHDTVLIPKETSWFGYYPNGAFKPVDRPQETKLYSEDWIGLKTLDDAGKVHYVNVSGGHLGISKEDMKKHVVPFLKDEAPMADSIETHGSHRKVKLNRKRNQELPLLQEKESSQLILDGSSSYHWPPSVQSFFNELLGLAEA >KJB51821 pep chromosome:Graimondii2_0_v6:8:51932496:51935769:1 gene:B456_008G233000 transcript:KJB51821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELSKGYNIVGLSQGNLIGRAVVEFCDGAPQVKNFISLGGPHAGTASVPLCGSGFLCLIADKLIKSEIYSDYIQAHLAPSGYLKLPNDIPHYLEKCRFLPKLNNELPHERNSTYKERFTRLQNLVLIMFEHDTVLIPKETSWFGYYPNGAFKPVDRPQETKLYSEDWIGLKTLDDAGKVHYVNVSGGHLGISKEDMKKHVVPFLKDEAPMADSIETHGSHRKVKLNRKRNQELPLLQEKESSQLILDGSSSYHWPPSVQSFFNELLGLAEA >KJB51822 pep chromosome:Graimondii2_0_v6:8:51932496:51935769:1 gene:B456_008G233000 transcript:KJB51822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPSKFLAFIPFLVFIIAPFSHSIPFIVIHGIGDQCANRGVKQFTELLTNFSGSKGYCLYVYASSAFFLSFSFFLNCDFGFPFRTCREIGGGTWDSWFMPLEEQANFVCKKVKQMKELSKGYNIVGLSQGNLIGRAVVEFCDGAPQVKNFISLGGPHAGTASVPLCGSGFLCLIADKLIKSEIYSDYIQAHLAPSGYLKLPNDIPHYLEKCRFLPKLNNELPHERNSTYKERFTRLQNLVLIMFEHDTVLIPKETSWFGYYPNGAFKPVDRPQETKLYSEDWIGLKTLDDAGKVHYVNVSGGHLGISKEDMKKHVVPFLKDEAPMADSIETHGSHRKVKLNRKRNQELPLLQEKESSQLILDGSSSYHWPPSVQSFFNELLGLAEA >KJB51819 pep chromosome:Graimondii2_0_v6:8:51932265:51935769:1 gene:B456_008G233000 transcript:KJB51819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPSKFLAFIPFLVFIIAPFSHSIPFIVIHGIGDQCANRGVKQFTELLTNFSGSKGYCLEIGGGTWDSWFMPLEEQANFVCKKVKQMKELSKGYNIVGLSQGNLIGRAVVEFCDGAPQVKNFISLGGPHAGTASVPLCGSGFLCLIADKLIKSEIYSDYIQAHLAPSGYLKLPNDIPHYLEKCRFLPKLNNELPHERNSTYKERFTRLQNLFEHDTVLIPKETSWFGYYPNGAFKPVDRPQETKLYSEDWIGLKTLDDAGKVHYVNVSGGHLGISKEDMKKHVVPFLKDEAPMADSIETHGSHRKVKLNRKRNQELPLLQEKESSQLILDGSSSYHWPPSVQSFFNELLGLAEA >KJB51820 pep chromosome:Graimondii2_0_v6:8:51932504:51935015:1 gene:B456_008G233000 transcript:KJB51820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPSKFLAFIPFLVFIIAPFSHSIPFIVIHGIGDQCANRGVKQFTELLTNFSGSKGYCLEIGGGTWDSWFMPLEEQANFVCKKVKQMKELSKGYNIVGLSQGNLIGRAVVEFCDGAPQVKNFISLGGPHAGTASVPLCGSGFLCLIADKLIKSEIYSDYIQAHLAPSGYLKLPNDIPHYLEKCRFLPKLNNELPHERNSTYKERFTRLQNLVLIMVSWKKEQIFAFQTQFGPLASFSHLILKGSPNSLSMKVIC >KJB52702 pep chromosome:Graimondii2_0_v6:8:55216053:55217423:-1 gene:B456_008G273300 transcript:KJB52702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVIYPAPLLTHQTPMVEFAKLLLTHHPSLSIHILIATTIPFQPPFSAVPPNITCHYLPAVDLPPPSTHPLSLLFNVIRLNNPDFRLALLTISNNYTLNAVIFDFFCTRYAFEVVSDLNIPAYCFCTVAAGSLASLLYLPTLHNKFTQNFKDLNVLVDIPGVPPIPSSDMPSPVLKRNEWYEIFLNNSTCLPHLAGIIVNTFECLESKAIKAIRDGLCVPEYPTPPVYCIGPSNSYSTAHGGYVPHCLKWLDSQPSKSVVFLCFGSSGSFSMQQIKEIAVGLESSGQRFLWVVKNPPLEDQSLDPDLNSLLPQGFLERTKERGLVVKSWAPQAAVLNHDSVGGFITHCGWNSVLESVCAGVPMLAWPLYAEQRLNRVLMVEEMKIALPMVESETGFVCSTEVEKRVKELIESKESDSVRQRTLDMKRAAEVATSEGGSSRVALVKLFESWKLK >KJB49770 pep chromosome:Graimondii2_0_v6:8:38626715:38630522:-1 gene:B456_008G137000 transcript:KJB49770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPLRGIKNDLRGRLLCYKQDWTSGFKAGFRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTAICGIIHSIIGGQPLLILGVAEPTVIMYTFMFDFVKDRSDLGRDRFLAWTGWVCVWTAGLLFLLSILGACSIINRFTRVAGELFGLLIAMLFMQQAIKGLVEEFRIPHNENPNLTQFIPSWRFANGMFALVLSFGLLFTALQSRKARSWRYGTGWLRSFIADYGVPLMVLIWTGVSYIPAGDVPKGIPRRLFSPNPWSPGAYENWTVIKDMLDVPLVYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSCYHYDLLLLGFLTLLCGLIGVPPANGVIPQSPMHTKSLATLKHQLLRNRLVTTARKSISKNASLGQLYGNMQEAYQQMQTPLVYQEPSTRGLNELKESTVQAATCSGNIDAPLDETLFDIEKEIDDLLPVEVKEQRLSNLLQATMVGGCVAAMPILKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLKQKHATFVETVPFKTIAIFTVFQTIYLLICFGLTWVPIAGVMFPLMIMLLVPVRQYLLPKFFKGAHLYDLDAAEYEEAPALPFNLATETELGHGASYEGEGEIFDEVITRSRGEFRHSSSPKITSSTSTPANYPRSHLSPCLSSSPHLGELRGERSPRARGNEPHSPMTAIGRSPLNPSPS >KJB52077 pep chromosome:Graimondii2_0_v6:8:53041604:53042538:-1 gene:B456_008G245700 transcript:KJB52077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQKVVLKIMTMNDEKTKKKAIEAVANIYGVDSIAADVKEQKLIVIGEMDTVAIAKKLKKIGKVDIVTVGPAKEEKKDDKKDDKKDEKKDEKKDEKK >KJB52078 pep chromosome:Graimondii2_0_v6:8:53041604:53047760:-1 gene:B456_008G245700 transcript:KJB52078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNDEKTKKKAIEAVANIYGVDSIAADVKEQKLIVIGEMDTVAIAKKLKKIGKVDIVTVGPAKEEKKDDKKDDKKDEKKDEKKDEKK >KJB51841 pep chromosome:Graimondii2_0_v6:8:52029602:52036136:1 gene:B456_008G233900 transcript:KJB51841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYYKFKSARDFDSISMDGPFISVGTLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRMPIVAVQEPKVGNQIENAQLEKSNLLDADSSVPKYPEDSEWDEFGNDLYSIPETLPVQSSNPLLDAPPTNKADEDSKIKALIDTPALDWQRQGADGFGPGRGFGRGMGGRMGGRGFGLERKTPPQGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLKPNEAAFEKEIEGLPSTRSVGDLPPELHCPLCKEVMKDAVLTSKCCFKSFCDKCIRDHIISKSMCVCGATNILADDLLPNKTLRDTINRILESGNSSADNAGSTFQVQDMESARCPQPKIPSPTTSAASKEEQKLVSVKEESSYVKDKANEIKVAIPPQQVLEKVKTAKLADASEATLESMSVKEPASHGSAPLVEEEVQQKLASGEAGKKKKKKKVRLPGNDLQWKAPQDLAAENYMMSMGPSAYNPYWTGMQPGMDGFMGPYGGAMPYMGGYGLSPFDMPFGGVMPPDAFGAQNCMFPPVPPLRDLAEFGMGMNVAPPIMSREEFEARQAALRRKRENERRSEREFSTRDREFVREVGNSADVSSLKSKSKPIPQMSGGDCRSEPLRHRSERTSPERSLQDHEAPPHPAKRKADQHHDLERDYDYDDRDHGRDRERDCHHHHHDRSESSKHALEPAIKATSSTATAGTDKKPKASVFSRISFPEEEISKKKRKISSDAPASSGHHKPSSNGYDYKTSSSAKPVSATSSGGGRKSSSRNAVDYESSDDDRHFKRKPSRYESSPPPSAEWEEERRHSRGSRERERSSYSKHR >KJB51273 pep chromosome:Graimondii2_0_v6:8:49511446:49512384:-1 gene:B456_008G209700 transcript:KJB51273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIQPSDAPLNSVAAPLAVASSETVGSKRQRRPSVRLGDIGGDQPYDSHFRRSSSSSSAAASKQWKHQPRLSLTPSVATATAKSSKTRALTNLSTDFNANHETLDNEREANNNTNLDAVAIGSWSFKDLKKRGPATKRVRSNWVPKFDDSGGGNVNNNGNNNNTNSNNVETEEKYSGGEDNDDFELENSESPMKDHSPIHSLDNLLVDGNEREVLYHGSNHRRPIRTRVSDGVELSGPSDTEIRRCEEDAVRIWLNSLGLGRYAPVFKIHEVDDEILPLLTLEDLKDMGINAVGSRRKMFCAIQKLGKGFS >KJB51274 pep chromosome:Graimondii2_0_v6:8:49509712:49512607:-1 gene:B456_008G209700 transcript:KJB51274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIQPSDAPLNSVAAPLAVASSETVGSKRQRRPSVRLGDIGGDQPYDSHFRRSSSSSSAAASKQWKHQPRLSLTPSVATATAKSSKTRALTNLSTDFNANHETLDNEREANNNTNLDAVAIGSWSFKDLKKRGPATKRVRSNWVPKFDDSGGGNVNNNGNNNNTNSNNVETEEKYSGGEDNDDFELENSESPMKDHSPIHSLDNLLVDGNEREVLYHGSNHRRPIRTRVSDGVELSGPSDTEIRRCEEDAVRIWLNSLGLGRYAPVFKIHEVDDEILPLLTLEDLKDMGINAVGSRRKMFCAIQKLGKGFS >KJB53231 pep chromosome:Graimondii2_0_v6:8:57018146:57020686:-1 gene:B456_008G297700 transcript:KJB53231 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDH7B [Source:Projected from Arabidopsis thaliana (AT5G62575) UniProtKB/TrEMBL;Acc:A0A178UBI4] MAFFLGQSSFANHFRSYQKTEASMAVPTRAFHVELGDREKALLAKDPSLSRFKSYKKSVSTLKRIGDVLTVVVVAGCCYEIYVKAVMREEARNKAKAGGGSK >KJB53230 pep chromosome:Graimondii2_0_v6:8:57018146:57020626:-1 gene:B456_008G297700 transcript:KJB53230 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDH7B [Source:Projected from Arabidopsis thaliana (AT5G62575) UniProtKB/TrEMBL;Acc:A0A178UBI4] MAFFLGQSSFANHFRSYQQKTEASMAVPTRAFHVELGDREKALLAKDPSLSRFKSYKKSVSTLKRIGDVLTVVVVAGCCYEIYVKAVMREEARNKAKAGGGSK >KJB49604 pep chromosome:Graimondii2_0_v6:8:36839679:36842078:-1 gene:B456_008G127300 transcript:KJB49604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g08510 [Source:Projected from Arabidopsis thaliana (AT5G08510) UniProtKB/Swiss-Prot;Acc:Q9FNN7] MNQLKQSLASTLKNGLQRHQTQLLIIQFLRFPNIPYAHNLFDLLPNKTVFLYNKLIQAYSSVNQSHQCLTLYSQMCFNNCSPNQHSFIFLFPACASLSSLSHGQILHTHLLKSGFGLDCYALTALLDMYAKLRVLKFARQVFDEMRVRNVPTWNALISGYSRCGDMKEALELFKSMPQKNVVSWTSMISGYSQNGQFSSALDMFLRMEKESRVKPNRVTIASVLPACANLGALEIGERIEAYARGNGLFEDLYVSNTILEMHARCGKIEVAKRVFDEIGKRRNSCSWNSMIMALALHGKSIEALEHYEQMLHEGTAPDNVTFVGVLLACTHGGLVTKGRELFESMAKNYNINPKLEHYGCMVDLLGRAGALQEAYDLIKTMPMKPDAVVWGALLGACSFHKNVKLAEKAAQPLFRLEPWNAGNCVILSNIYASRGQWDGVAKLRKMMKGGQITKAAGYSFIEEGGEMHKFLVEDKSHPRCDEIYETLHRISIVMKLQNKLIDFRSELTV >KJB50907 pep chromosome:Graimondii2_0_v6:8:47605010:47606098:-1 gene:B456_008G192500 transcript:KJB50907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEIFVHNFAEPGNRIKHPQVGEISVFGAENYFNMKLDDGDDDLLHPVGPRRWPGTPTASSEASWSSQSVLLPSFTGNRSVNKPKRVDGRSFFFSNLSCTGSCSDGKSVYVNQIVDHGRVHAKQVRRKLMESKDKFDQKSVGSNREVCFRSPVLKLKTQLDDPRNSLEVFGSTAMKKGDITKNLETKLSLLTWDAIPNAPTISSVSRSSQAGDDVESDCSSDLFEIDNICGDGPAALFARPRPGHASDGMSSCMTPPYAPSGTSVDWSVVTAGAADCSFVSDYDVKKPNAVATKVAQRSRSGGILGCKSHKAVMVAETAYTRDGKTKSPSSIVPKKLFPY >KJB47136 pep chromosome:Graimondii2_0_v6:8:1344588:1347675:1 gene:B456_008G012100 transcript:KJB47136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLQHDSTSSNEKSALTTDTEGMKSEISTIDSKTSKPEASQPAQAGASPSNMGPGFVPPNPFDSSAMSGLLEDPSIKRLAEQIAKDPSFNQMAEQLTMMFQGASAEESLPEFEPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPATSSMLESLSNPQQKDQIEERMTRIKEDPSLKHILEEIETGGPTAMMRYWNDKEVLQKLGEAMGLADSGDAAISVGNAAADEDDEVGNEDESIVHHCASVGDVEGLKTALTSGADKDEEDAEGRTALHFACGYGEMKCAQILVEAGARVDALDKNKNTALHYAAGYGRKDCVALLLENGAAHTPKHGWQDPH >KJB47135 pep chromosome:Graimondii2_0_v6:8:1344883:1347235:1 gene:B456_008G012100 transcript:KJB47135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLQHDSTSSNEKSALTTDTEGMKSEISTIDSKTSKPEASQPAQAGASPSNMGPGFVPPNPFDSSAMSGLLEDPSIKRLAEQIAKDPSFNQMAEQLTMMFQGASAEESLPEFEPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPATSSMLESLSNPQQKDQIEERMTRIKEDPSLKHILEEIETGGPTAMMRYWNDKEVLQKLGEAMGLADSGDAAISVGNAAADEDDEVGNEDESIVHHCASVGDVEGLKTALTSGADKDEEDAEGRTALHFACGYGEMKCAQILVEAGARVDALDKNKNTALHYAAGYGRKDCVALLLENGAAV >KJB47134 pep chromosome:Graimondii2_0_v6:8:1344559:1348073:1 gene:B456_008G012100 transcript:KJB47134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLQHDSTSSNEKSALTTDTEGMKSEISTIDSKTSKPEASQPAQAGASPSNMGPGFVPPNPFDSSAMSGLLEDPSIKRLAEQIAKDPSFNQMAEQLTMMFQGASAEESLPEFEPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPATSSMLESLSNPQQKDQIEERMTRIKEDPSLKHILEEIETGGPTAMMRYWNDKEVLQKLGEAMGLADSGDAAISVGNAAADEDDEVGNEDESIVHHCASVGDVEGLKTALTSGADKDEEDAEGRTALHFACGYGEMKCAQILVEAGARVDALDKNKNTALHYAAGYGRKDCVALLLENGAAVTLQNMDGKTPIEVAQLNNQHEVVKLLEKDGFL >KJB47138 pep chromosome:Graimondii2_0_v6:8:1344588:1347675:1 gene:B456_008G012100 transcript:KJB47138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLQHDSTSSNEKSALTTDTEGMKSEISTIDSKTSKPEASQPAQAGASPSNMGPGFVPPNPFDSSAMSGLLEDPSIKRLAEQIAKDPSFNQMAEQLTMMFQGASAEESLPEFEPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPATSSMLESLSNPQQKDQIEERMTRIKEDPSLKHILEEIETGGPTAMMRYWNDKEVLQKLGEAMGLADSGDAAISVGNAAADEDDEVGNEDESIVHHCASVGDVEGLKTALTSGADKDEEDAEGRTALHFACGYGEILVEAGARVDALDKNKNTALHYAAGYGRKDCVALLLENGAAVTLQNMDGKTPIEVAQLNNQHEVVKLLEKDGFL >KJB47137 pep chromosome:Graimondii2_0_v6:8:1344588:1347675:1 gene:B456_008G012100 transcript:KJB47137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLQHDSTSSNEKSALTTDTEGMKSEISTIDSKTSKPEASQPAQAGASPSNMGPGFVPPNPFDSSAMSGLLEDPSIKRLAEQIAKDPSFNQMAEQLTMMFQGASAEESLPEFEPQQYYSTMQQDPATSSMLESLSNPQQKDQIEERMTRIKEDPSLKHILEEIETGGPTAMMRYWNDKEVLQKLGEAMGLADSGDAAISVGNAAADEDDEVGNEDESIVHHCASVGDVEGLKTALTSGADKDEEDAEGRTALHFACGYGEMKCAQILVEAGARVDALDKNKNTALHYAAGYGRKDCVALLLENGAAVTLQNMDGKTPIEVAQLNNQHEVVKLLEKDGFL >KJB49406 pep chromosome:Graimondii2_0_v6:8:35273907:35275845:-1 gene:B456_008G117400 transcript:KJB49406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB3R-2 [Source:Projected from Arabidopsis thaliana (AT4G00540) UniProtKB/Swiss-Prot;Acc:Q9SPN3] MEQIATDNNTTTIDADQSTVSVQGRISGPTRRSTKGGWTEEEDNMLTIAVQKFNGKNWKKIGITDVQCLHRWQKVLNPDLVKGPWTKEEDDLIFELVEKQGKKKWSEIAKFLPGRIGKQCRERWCNHLNPDIKKTAWTVDEELILISAHGAYGNRWAEIAKLLPGRTENSIKNHWNSSVRKKVDAMAASRINAVDHSPKAECISLGISKPLEQNLDRGRSISFFSMCKDANGIMKPSSLNISIKRYEDLTIENCRVHSYDPLPRNRQMHYCDSRSHCNVTFGDHERITSQCFLARMHAGSSFQPSSDSLHAPVSASCFRCSGNEIPDANSYISLESILRSAAKSFKNTPSIIRKRTSQIRTQADNHNINQLPISPTKSPKLENKAHPRL >KJB52703 pep chromosome:Graimondii2_0_v6:8:55217941:55221236:-1 gene:B456_008G273400 transcript:KJB52703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALETKAKEAYFDDHFELALDLYSQAIGINPKKAELYADRAQANIKLNNLPDAVADANKAIELDPSMSKAYLRKATACMKLEEYQTAKAALETGAALAPEESRFSKLIKECEERIAEENGELPKETLDVVPTNVVPVKDVSSVKDVPDPMTVAAPTKSTYRHEFYQKPEEVVVTIFAKGIPPECVKVDYGEQILSVAVNAPGKDAYHFQPRLFGKIIPDKCRYDVLSTKIEIRLAKAEPIHWTSLEFSMEVAVPQRINVSSVAANQRPSYPSSKPKRVDWDKIEAQVKKEKDEKLDGDAALNKFFRDIYQDADEDARRAMQKSFVESNGTVLSTNWKEVGVKKVEGSPPDGMELKKWEY >KJB52705 pep chromosome:Graimondii2_0_v6:8:55219033:55221236:-1 gene:B456_008G273400 transcript:KJB52705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALETKAKEAYFDDHFELALDLYSQAIGINPKKAELYADRAQANIKLNNLPDAVADANKAIELDPSMSKAYLRKATACMKLEEYQTAKAALETGAALAPEESRFSKLIKECEERIAEENGELPKETLDVVPTNVVPVKDVSSVKDVPDPMTVAAPTKSTYRHEFYQKPEEVVVTIFAKGIPPECVKVDYGEQILSVAVNAPGKDAYHFQPRLFGKIIPDKCRYDVLSTKIEIRLAKAEPIHWTSLEFSMEVAVPQRINVSSGMLISPCP >KJB52704 pep chromosome:Graimondii2_0_v6:8:55217941:55221247:-1 gene:B456_008G273400 transcript:KJB52704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALETKAKEAYFDDHFELALDLYSQAIGINPKKAELYADRAQANIKLNNLPDAVADANKAIELDPSMSKAYLRKATACMKLEEYQTAKAALETGAALAPEESRFSKLIKECEERIAEENGELPKETLDVVPTNVVPVKDVSSVKDVPDPMTVAAPTKSTYRHEFYQKPEEVVVTIFAKGIPPECVKVDYGEQILSVAVNAPGKDAYHFQPRLFGKIIPDKCRYDVLSTKIEIRLAKAEPIHWTSLEFSMEVAVPQRINVSSVAANQRPSYPSSKPKRVDWDKIEAQVKKEEKDEKLDGDAALNKFFRDIYQDADEDARRAMQKSFVESNGTVLSTNWKEVGVKKVEGSPPDGMELKKWEY >KJB47000 pep chromosome:Graimondii2_0_v6:8:733616:733837:1 gene:B456_008G005600 transcript:KJB47000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HSEAIIGIQGQGQPSARELNAGPINTRKRSEALSSLYVIVRLTRAAKGRGSIAGELLPATFSSKSYFMFWRCN >KJB51373 pep chromosome:Graimondii2_0_v6:8:50122712:50123394:1 gene:B456_008G214200 transcript:KJB51373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNGSYSIVDQRNLYKKCESEFEEGEGEGVLDPQQIEEDLFNRIGKRIIYDEKDELQENDRSPKEQCFFRISQFIWDPADPLFFLFKDQPFVFMSKGLLTSQTDPPTSVYKRCFIKNTWLRTNSSLSNGFFRSNTPSESYKYLSNLFLSNGRLLDQMTKTLLRKRWLF >KJB50656 pep chromosome:Graimondii2_0_v6:8:45864588:45866022:1 gene:B456_008G181200 transcript:KJB50656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRTRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKTKEKQSSK >KJB50657 pep chromosome:Graimondii2_0_v6:8:45864625:45865998:1 gene:B456_008G181200 transcript:KJB50657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRTRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRNRRTVNRAYGGVLSGSAVRERFVHQHLRLSMSCCIHVFSGSRHVLLIGDYCRIIRAFLVEEQKIVKKVLKIQKTKEKQSSK >KJB51921 pep chromosome:Graimondii2_0_v6:8:52513650:52519247:1 gene:B456_008G238100 transcript:KJB51921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALAELERVQLQILRRISKLELSHLPQNAEPIPSSSPLTNGDASSDVEACLSNILRSNGVNDFIFKRVASDYYDWPLESRRDVLGAASVHHLCKSIVLVNTQALSNVIDCSDRNNSKYYVVVVQYTARFNAETVKNFLYTLNNGKISKKKFNLRLAPEETSIKLTGYEHNAVTCIGMQTDIPVILDEAIVKLDPDFFWLGGGEVDLKLGIRTSEFINFVKPFIVSCSGT >KJB51920 pep chromosome:Graimondii2_0_v6:8:52513636:52519442:1 gene:B456_008G238100 transcript:KJB51920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALAELERVQLQILRRISKLELSHLPQNAEPIPSSSPLTNGDASSDVEACLSNILRSNGVNDFIFKRVASDYYDWPLESRRDVLGAASVHHLCKSIVLVNTQALSNVIDCSDRNNSKYYVVVVQYTARFNAETVKNFLYTLNNGKISKKKFNLRLAPEETSIKLTGYEHNAVTCIGMQTDIPVILDEAIVKLDPDFFWLGGGEVDLKLGIRTSEFINFVKPFIVSCSGT >KJB48220 pep chromosome:Graimondii2_0_v6:8:9193442:9194455:1 gene:B456_008G058600 transcript:KJB48220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPCTVLMWVTTTTTSSRGGGSYTTLQPPPAQSGGNKNNNNYTPPPPGGVIGDMYYPPPTYKNYPKPPPPNPIVPYFPFYYHTPPPPSRSEKLLACLVSTLVSLFGCFLYVF >KJB50247 pep chromosome:Graimondii2_0_v6:8:42622843:42626969:-1 gene:B456_008G160500 transcript:KJB50247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAASALFLLDIKGRVLVWRDYRGDVSAAQAERFFTKLIEKEGDPQSQDPVVYDNGVTYMFVQHSNVYLMTATRQNCNAASLLFFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRVTKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVEKHSRSRVEIMVKARSQYKERSTATNVEIAVPVPTDASSPNIRTSMGSAAYAPENDALMWKIRSFPGGKEYMLRAEFTLPSITDEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >KJB50248 pep chromosome:Graimondii2_0_v6:8:42622989:42626932:-1 gene:B456_008G160500 transcript:KJB50248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVQHSNVYLMTATRQNCNAASLLFFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRVTKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVEKHSRSRVEIMVKARSQYKERSTATNVEIAVPVPTDASSPNIRTSMGSAAYAPENDALMWKIRSFPGGKEYMLRAEFTLPSITDEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >KJB46700 pep chromosome:Graimondii2_0_v6:8:45233781:45236357:1 gene:B456_008G1759002 transcript:KJB46700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTWIWIWICICGGFLCPKWKWFRWPERLVIFTFGLVFSKGLMALVLQNLSSFLTDSLNLKLKEATTIVNLQEGLRNMLQICVALCIDAYLGYRWMLILSSLLYSAGLFLLALSVPGGEGCPSNCFKELKHTSFWEGLTLVVVGGAAQVIPLCALSFEQTRVAKSPEDCEPARVQVGCFRFTVKIGGWRYFLQKVIRWLLFVFLIMGSLTSVFCFFFYYDAWQQHFVQSAVAIFIGLLWFLCGFPFYGPRRLQPSPLSIMLRTLIAAWRKRHLKYQINLDEEDQNHSLTDHLELLNSAAVKESPADDNLRTRWRLCTVTEVEQTKLLLDIIPMSATFIVYGMVKSLGNTFFIEQADTMRGGTPLVLLQLIQLISQSAVNKGYKMVLGKRIQRIKRQYSDGVKIGIGMLTSILCCAVASQVESKRLKALKKKGLLDNPEAKAPMSASWLLLQFIFLGAMEGLAGDGILNFFGHYVPDSRRYAPVFTSSLTGFGTILSIGFIAIIDYYSRFRYKESWIGESVNESRLDLIYRAYAMLAVLNCFIYAYVASSYSYDNIIGRPENEQEIPVLEVQHQQETAAGDQQNKQEQEEYQLQRMPVR >KJB46701 pep chromosome:Graimondii2_0_v6:8:45233781:45236740:1 gene:B456_008G1759002 transcript:KJB46701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTWIWIWICICGGFLCPKWKWFRWPERLVIFTFGLVFSKGLMALVLQNLSSFLTDSLNLKLKEATTIVNLQEGLRNMLQICVALCIDAYLGYRWMLILSSLLYSAGLFLLALSVPGGEGCPSNCFKELKHTSFWEGLTLVVVGGAAQVIPLCALSFEQTRVAKSPEDCEPARVQVGCFRFTVKIGGWRYFLQKVIRWLLFVFLIMGSLTSVFCFFFYYDAWQQHFVQSAVAIFIGLLWFLCGFPFYGPRRLQPSPLSIMLRTLIAAWRKRHLKYQINLDEEDQNHSLTDHLELLNSAAVKESPADDNLRTRWRLCTVTEVEQTKLLLDIIPMSATFIVYGMVKSLGNTFFIEQADTMRGGTPLVLLQLIQLISQSAVNKGYKMVLGKRIQRIKRQYSDGVKIGIGMLTSILCCAVASQVESKRLKALKKKGLLDNPEAKAPMSASWLLLQFIFLGAMEGLAGDGILNFFGHYVPDSRRYAPVFTSSLTGFGTILSIGFIAIIDYYSRFRYKESWIGESVNESRLDLIYRAYAMLAVLNCFIYAYVASSYSYDNIIGRPENEQEIPVLEVQHQQETAAGDQQNKQEQEEYQLQRMPVR >KJB49592 pep chromosome:Graimondii2_0_v6:8:36880573:36882707:1 gene:B456_008G127600 transcript:KJB49592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDIESVWLFALASKCKAFSQQSTAWPILIIALAWLVMTIVYWVHPGGPAWGKYRFKKCAITTINKPIPGPRGLPLIGSMNMMASSLAHHRIATIAETCKAKRLMAFSLCDTRVIVTCNPEVAKEILNSSVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQIKNSEEQRRFIADEMVNLFGRHGHERSFIVREVVKRASLNNMMVSIFGRKYKLDCDNNEVDELRGLVDEGYDLLGTLNWSDHLPWLAQFDPQNIRVRCSNLVPKVSGFVGRIIAQHRARTNEEACDLVDVLLSLQGADKLSDSDMIAVLWEMIFRGTDTVAVLIEWILARIVVHPDVQSRVHDELDKVVGRSRAVDESDVMNLIYLMAVIKEVLRLHPPGPLLSWARLAITDTTVDGYHVPKGTMAMVNMWAIARDPQEWADPTVFVPDRFVAKTGEVEFSVLGSDLRLAPFGSGRRTCPGKNLGLTTVSFWVATLLHEFEWLPSDQNSVDLSEVLKLSCEMANALKVKIRPRRKLT >KJB48117 pep chromosome:Graimondii2_0_v6:8:7843449:7844393:1 gene:B456_008G054200 transcript:KJB48117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFDFLRDENSRNEWYILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQTNMLILQYSCTDPTASFVIYATVDIVAMNVVLNGGDPDYVALLPSGFAILPDGSSGSTGSGMADAGGSSGGSLLTVAFQILVDSIPIAKLSLGSVATVNNLIACAVERIKVSLSCENA >KJB51223 pep chromosome:Graimondii2_0_v6:8:49288696:49289853:1 gene:B456_008G207700 transcript:KJB51223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLMLKFQAIKQSKKCKKQQLLDKLLLYSCIAVTCCVFCSTPFWLPYLKNFLFISLPKMGSIMYNPKLLFFVGNLIVVVLIGESKIFAYFSGSGGVYYVDHPSGSLGNDGSVVEVKKEMKMKQYYSEEKVKAICVVEEIREVNKGNNGSEGKYHELVLPTEDLEKRADDFIARVNRQRRLEAAGLLLN >KJB46756 pep chromosome:Graimondii2_0_v6:8:35533555:35533767:1 gene:B456_008G1193002 transcript:KJB46756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSIADLKENLHKIALDVHDDEDEEREIYGSGNGDHWPFFDRRNSHRFAHSKPVSVSPIANGIDSPINSE >KJB46755 pep chromosome:Graimondii2_0_v6:8:35533430:35533767:1 gene:B456_008G1193002 transcript:KJB46755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSIADLKENLHKIALDVHDDEDEEREIYGSGNGDHWPFFDRRNSHRFAHSKPVSVSPIANGIDSPINSE >KJB46753 pep chromosome:Graimondii2_0_v6:8:35533555:35533767:1 gene:B456_008G1193002 transcript:KJB46753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSIADLKENLHKIALDVHDDEDEEREIYGSGNGDHWPFFDRRNSHRFAHSKPVSVSPIANGIDSPINSE >KJB46754 pep chromosome:Graimondii2_0_v6:8:35533555:35533767:1 gene:B456_008G1193002 transcript:KJB46754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSIADLKENLHKIALDVHDDEDEEREIYGSGNGDHWPFFDRRNSHRFAHSKPVSVSPIANGIDSPINSE >KJB46752 pep chromosome:Graimondii2_0_v6:8:35533382:35533767:1 gene:B456_008G1193002 transcript:KJB46752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSIADLKENLHKIALDVHDDEDEEREIYGSGNGDHWPFFDRRNSHRFAHSKPVSVSPIANGIDSPINSE >KJB49862 pep chromosome:Graimondii2_0_v6:8:39358876:39359826:-1 gene:B456_008G142400 transcript:KJB49862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGHCDFIYSAILHENADLLAKRRRNRFIIPFQLIQDQEKELMLLSHSGISIEIPINGIFRRNSILAFFDDPRYRRKSLGITKYRTLGAHSIVKREDVIEYRGVKKVKPKYQMKVDRFFFIPEEVHILSESSSIMVRNNNYSYIKIKSIKYQI >KJB50351 pep chromosome:Graimondii2_0_v6:8:43477491:43480504:-1 gene:B456_008G165500 transcript:KJB50351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRRLAERKVEKFQKNITKRGVVSETSTKKGKDYPVGPVLLGFFVFVVIGSSLFQIIRTAASGGFA >KJB50380 pep chromosome:Graimondii2_0_v6:8:43910151:43912497:-1 gene:B456_008G167900 transcript:KJB50380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLVSRTGRHLQRYDDLGRRQVVGCIPYRYKRNSDGILSTDLEVLVISSQKCQKMMFPKGGWELDESKEQAAIRESIEEAGVIGKVECELGKWDFMSKRYGTFYEGYMFPLLVKEELALWPEQNVRQRTWMSVKEARDVCQHWWMKEALDILVERLTSLQQHKEQNISTCL >KJB50025 pep chromosome:Graimondii2_0_v6:8:40431160:40444812:1 gene:B456_008G149300 transcript:KJB50025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYARRIRGRSQRWGLVFQQWKHLIKPHCQDYACCRSLIHPYSVRAGSSGVGMIRRSVLDSSYTRGVAPAFINAGFYGRSAPCLSNHQLRLYSSKGDGRNASEDNYRPVNDGANFDKGKTRREKFGNDVKPCDVHAQLGEQDQKEWLNNEKLSIESKKKESPFLTRREKFKNEFLRRVVPWQKIHVSWETFPYYIHENTKNILVECVASNLKHKKLSASYGARLPSSSGRILLQSVPGTELYRERVVRALARELQVPLLVLDSSVLAPYDFGDDCSSESESDEDNLESVVDGTSESDIEDENDASNEEDWTSSNETRTDCSDEDEVQATAEAALKKLVPYNLEEFEKRVSGESESSSESSKTEADESANKSKQLLKKGDRVKYIGPNVQSEASKRIILGKIPTSDGPTNVYTSIRGRPLCSGQRGEVYEVNGDRVAVILDISTDNRAKEEKDEKSTEESASPPVYWLNVKDVEHDHDAQAEDCYIAMEALCEVLNSKQPLIVYFQDSSQWLSRAVPKSKHKEFVSKVHEMFDKLSGPVVLICGQNRVETGSKEKEKFTMILPNFGRLAKLPLPLKRLTEGLKATKRSTDDEIYKLFTNVLCIHPPKEEDLLRIFNKQLDEDRRIVISRSNLNELHKVLEENELSCLDLLQTNTDGVILTKRKAEKVVGWAKNHYLSSCTLPSIKGERLCLPRESLEIAVMRLKEEETLSRKPAQNLKNLAKDEYESNFVSAVVAPGEIGVKFDDIGALEDVKKALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSALTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGGFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAGNRMKILKIFLAQENIGCNFSFEELANATEGYSGSDLKNLCIAAAYRPVQELLEEENKGGKNDAAGVLRPLNLDDFIQSKAKVGPSVAYDAASMNELRKWNEQYGEGGSRRKSPFGF >KJB50024 pep chromosome:Graimondii2_0_v6:8:40431160:40444812:1 gene:B456_008G149300 transcript:KJB50024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYARRIRGRSQRWGLVFQQWKHLIKPHCQDYACCRSLIHPYSVRAGSSGVGMIRRSVLDSSYTRGVAPAFINAGFYGRSAPCLSNHQLRLYSSKGDGRNASEDNYRPVNDGANFDKGKTRREKFGNDVKPCDVHAQLGEQDQKEWLNNEKLSIESKKKESPFLTRREKFKNEFLRRVVPWQKIHVSWETFPYYIHENTKNILVECVASNLKHKKLSASYGARLPSSSGRILLQSVPGTELYRERVVRALARELQVPLLVLDSSVLAPYDFGDDCSSESESDEDNLESVVDGTSESDIEDENDASNEEDWTSSNETRTDCSDEDEVQATAEAALKKLVPYNLEEFEKRVSGESESSSESSKTEADESANKSKQLLKKGDRVKYIGPNVQSEASKRPLCSGQRGEVYEVNGDRVAVILDISTDNRAKEEKDEKSTEESASPPVYWLNVKDVEHDHDAQAEDCYIAMEALCEVLNSKQPLIVYFQDSSQWLSRAVPKSKHKEFVSKVHEMFDKLSGPVVLICGQNRVETGSKEKEKFTMILPNFGRLAKLPLPLKRLTEGLKATKRSTDDEIYKLFTNVLCIHPPKEEDLLRIFNKQLDEDRRIVISRSNLNELHKVLEENELSCLDLLQTNTDGVILTKRKAEKVVGWAKNHYLSSCTLPSIKGERLCLPRESLEIAVMRLKEEETLSRKPAQNLKNLAKDEYESNFVSAVVAPGEIGVKFDDIGALEDVKKALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSALTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGGFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAGNRMKILKIFLAQENIGCNFSFEELANATEGYSGSDLKNLCIAAAYRPVQELLEEENKGGKNDAAGVLRPLNLDDFIQSKAKVGPSVAYDAASMNELRKWNEQYGEGGSRRKSPFGF >KJB50369 pep chromosome:Graimondii2_0_v6:8:43737084:43742567:1 gene:B456_008G166900 transcript:KJB50369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKNRISSSGHSTPSPPASPLRSPRYRHGRKPGRFSPFQPGRTVAHHVAWLLLSVLLRRQGIFLFAPLIYISGMLIYMGTVSFDVVPLVKHRPAPGSVYRSPQVYEKLKIEMNEDYSSADAILTIWKNSYKGGEWRPCVSKPSEGLPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLLIPNFHFHSIWRDPSKFKDIYDEDYFISALENNVQVVDKIPEYIMERFDHNLTNVYNFKIKAWSSIQYYRDEVLPKLLEEKIIRISPFANRLSFDAPPAVQRLRCLANYEALRFSSTILSLGETLVARMKKLSANTGGKYVSVHLRFEEDMVAFSCCVFDGGEQEKEDMKNARERGWKGKFTKPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFGNNTYIFLASGKIYNAEKTMAPLLDMFPNLQTKQMLASEEELAPYKNFSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLFGGHSKTIRPDKRKLALLFDNPNIGWKSFKRQMLNMRSHSDSKGFELKKLVDSIYTFPCPDCMCRTNKSTNPGSSSAT >KJB51467 pep chromosome:Graimondii2_0_v6:8:50417468:50421097:1 gene:B456_008G217600 transcript:KJB51467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTIGEISGELIKKTCSIAMAAHKSPEKPYLVEKSSEVLISFPGSWSVGDWFAQQPFGEMKIDGSELLCPKGNDKVATSLRSIGRDELASANKGFLTRFEMILAKPSLQTEVEKAIKEKKKIVFTGHSSGGAIAILTTVWFLEHYLKPGKTLMSPLCVTFGSPLVGDFIFNHALIRENWSNFFLHFVMRYDIVPRILLASLSTVGQELDQILKLLSQKTMFPIQGSVREASTFYTSVMRNASAVASHAACRLMGNTNPILETVASFIELSPYRPCGTFVFLPGHGKLVVVKNADAILQLLFYSAQLCSENQLNAVAERSLNDHLGYPSELQGCLNWQNVVQLDHLEGLPLSSSGAAAGNIATNIALDDLGLSTRARLCLRAAGEHEKQKLSNQQRMDNKRQEIELGLAKLEEYKSKSALCKVGYYDTFRISKYEDDFKANVTRLELTGIWDEIIEMLNRYELPEPFESRKDWIELATKYRRIVEPLDIANYYRHAKNEDTGSYMYKGRPRRYKYTQRWREHGLRMPVGSSAESCFWAEVEELLLLYRTADPGAFEVIRERTINLERKLDEWIRSNQISNDVFLKGSTFTKWWITLPIQHKSVSPIQGQINREI >KJB47160 pep chromosome:Graimondii2_0_v6:8:1463642:1469859:-1 gene:B456_008G013200 transcript:KJB47160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALQLHVFYLILLPTITSQVISKQGEWKSATATYTKQADASIITEGACGYGDLHKASYGKYSAGLSTMLFNKGSTCGACFEVRCVDHILWCLQGSPSVILTATDFCPPNYGLSSDYGGWCNFPKEHFEMSEAAFVEIAEKKAEIVPVQYKRVKCERRGGMRFSMSGSAHFFQVLITNVGLDGEVVGVKVKGSKTGWLPMARNWGQNWHSNINLVGQPLSFEVTASTGRTVASYSVAPANWQFGQTFEGKQFKSE >KJB47161 pep chromosome:Graimondii2_0_v6:8:1468113:1469478:-1 gene:B456_008G013200 transcript:KJB47161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALQLHVFYLILLPTITSQVISKQGEWKSATATYTKQADASIITEGACGYGDLHKASYGKYSAGLSTMLFNKGSTCGACFEVRCVDHILWCLQGSPSVILTATDFCPPNYGLSSDYGGWCNFPKEHFEMSEAAFVEIAEKKAEIVPVQYKRVKCERRGGMRFSMSGSAHFFQVLITNVGLDGEVVGVKVKGSKTGWLPMARNWGQNWHSNINLVGQPLSFEVTASTGRTVASYSVAPANWQFGQTFEGKQFK >KJB47159 pep chromosome:Graimondii2_0_v6:8:1462487:1469859:-1 gene:B456_008G013200 transcript:KJB47159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALQLHVFYLILLPTITSQVISKQGEWKSATATYTKQADASIITEGACGYGDLHKASYGKYSAGLSTMLFNKGSTCGACFEVRCVDHILWCLQGSPSVILTATDFCPPNYGLSSDYGGWCNFPKEHFEMSEAAFVEIAEKKAEIVPVQYKRVKCERRGGMRFSMSGSAHFFQVLITNVGLDGEVVGVKVKGSKTGWLPMARNWGQNWHSNINLVGQPLSFEVTASTGRTVASYSVAPANWQFGQTFEGKQFNTRKLVKVGGWSKLYHTIHRLVSV >KJB46758 pep chromosome:Graimondii2_0_v6:8:47444679:47445379:1 gene:B456_008G191500 transcript:KJB46758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNSSMVVAIANISANLCQYIACNPERLSSDQVLHLIFCLPCHHFGRLADSLWLYLCFNPPDHPLSDSSDDDDDDPSHSD >KJB52449 pep chromosome:Graimondii2_0_v6:8:54263034:54267124:1 gene:B456_008G262300 transcript:KJB52449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVEESASNVEEEVGQVLEQARELQESGASLLWRLSNEEQSLRQRANSLESSIRRLRSLITSLLSQKLLDLKLADKLEEDLERARCFVTDGDAAAFLPAKYQGEFLKTFLGPINVRASRKDVQLKVKEEYNSYRIYHHYCAIAMAVVSIAWEVKGQPNCTQKQRGVELFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKNGCCLGRNGWCRWSIVGFMSSTFPYAGFRGICWSTIAQDSIFLGCS >KJB50516 pep chromosome:Graimondii2_0_v6:8:47363691:47364616:-1 gene:B456_008G190800 transcript:KJB50516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLFRQKIVPSSDWTHDDKASFLLVDLPGFKKEELRLELASTGHIIISGERRVDENKSVYFEESFEVPENSDTNKINAKFDGDFLHVTLPKLSVAEQDKQQELSHGNYAANGLGETTVQKQTNIDHPENQSKREDDQEERQPKGEAIGKEACQVASFPKETLKKWEEDESPLEMAMNFLKKNKGAVVSVIIAFSVGVFICRTFD >KJB47094 pep chromosome:Graimondii2_0_v6:8:1239665:1242037:1 gene:B456_008G010700 transcript:KJB47094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRSCLLYAFLLLVAFQSSMIMANTVIHTPQCRPVAASSKDKILFSMNLLIYKAEFFLRASVGVGINGISPGLVQGPVPIGATLANITNSARRVIEELGLATVGHLRAIKQVLRSNLPFPGPQLDLSAQVFAGFVNLGFNVSTLSPPFNIYANTPSFVLAAEAISAFIVQYYAGIIPSIVGDEQRQLVAGIGLNEAAAFGVLRTILNDGVNSTVPPYTFTMAELTNRTSEVVNRLGGCGVKDEGLIVPFQLGAENRTTSNVVPGDVNSLAHARFEREILRIVFGTGNATIGTGGLFPDGFIGALYQLIINLKFS >KJB52057 pep chromosome:Graimondii2_0_v6:8:52971766:52972951:-1 gene:B456_008G244500 transcript:KJB52057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVDEPVKDEREQHVLAIGFRIMPGVSPVASISQSQHQPFPTPSPFSFSVASTRWSSRPLIHRHSNLFLRFSVLLFSFISALTLVTPSTNNKHHPSPSFTDYSELIYCFIVAIIALVYTAFQLFKGISDIAHRGILISDLTSDYLSFVLDQASPLAVVNTLLVSLLL >KJB49305 pep chromosome:Graimondii2_0_v6:8:34292207:34294830:1 gene:B456_008G111900 transcript:KJB49305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGRKEFTICYNESKPISNTFWQSTNPVMNKLPIFLTQLSLLIVITRVFIIILKPLRQPRFLSELLAGILLGPSVLGNLGWVANHITPFEASLFLETMANLGVTFYMFLVGLEMDITPLRKMGKTAFSVAIAGIIFPLIAGVGLHATILEHQTSVRAPEMGAYFWSIALSLTSFPDLARALSDHKLMYTELGKTALTAAAVCDLSCWSLLVVAVCIISGRKELHIAIPVMVCMASLWFVLRPFIRKIAKKISKRSKDAPIPDNHIYFILSLVLLSGFITELCGAHSMFGAFMLGLMIPGGKLGASIKDKVEEFIVGILLPPTFLIIGARTNFVFIFADVSIGMVLLVILLASLAKIVSTLLVCLYFKCPMRDGLALGVLMNTKGVLALIVLNEGRNMQGFDQQTFTWIMAAILLMTIITGPIVSWTHNSARHLRPYNHRNLERSKPDAPLRVLVCVHSTRNLPGLISLLQNSNATRNSPITVFAAHLVELTRASAMLIFHDKNKTMDTEINTTREKAEAEQIVSAFESFEKDNPAAAVQPLTVVSPYATMHEDVNNFSLDKFASIILIPYHKRPDGVGGWTDENIEHKQVNQNLLATSSCSIGLLVDRGLMGVSESQKDTQECHVAMFFIEGPDDREALAYAWRMAGNPRLILTLVRFVLGKDVSELGEGVEEDDHEIFTTIFEREKQKQLDDDYVNEFRFRTMHDQSIAYVEELVNSSDKIISIINTAYSDFNLYVVGRGHGKACPLTVGLSNWSDSPELGLLGEALVSSDFESPPSVLVVQQSAHLSTGSSKHNLLDTMQKIYE >KJB53023 pep chromosome:Graimondii2_0_v6:8:56386139:56395393:-1 gene:B456_008G288900 transcript:KJB53023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 4r1 [Source:Projected from Arabidopsis thaliana (AT3G18100) UniProtKB/TrEMBL;Acc:Q9LV31] MSLKDEYDTVNDEEEEVDDVELSGNENGVGFDEDMEALKKACLRTGTDLNGLDIVSVDNERPSTSTAASPASADSGSEDDLEIFRSIRNRLALSEDVYEPLSLKPLCTFPPISSDEDAEDDFETLRIIQKRFLAYSTDYTWKNSREDNMEKTDPIYMTRTPLKDATGNDICEKFQDYEQNGNISHVSSDNAEMQPLSLVQCDQSDVNVLSTYKSSRFPKSAQLLFDAIKKNRSYQMFLRSKLAHIEVKIEENKKLKERVKILRDFQVSCKKLTGRALSAKKDPRIQLISARKLRTFEDPEINDKRVTADYGPLENSSVASYRMALIDFPLKLERKNWSREERENLEKGIRQQFQERALQVSVGWLSTSDGSPQDGNNLDGIIATVKDLEITPERIREFLPKVDWNQLASFYVKGRCGAECETRWLNHEDPLINRNPWTAEEDKNLLLIVQEMGIDNWFDIAVSLASNRTPFQCLARYQRSLNPCILKREWTEEEDDQLRIAVEVFGESDWQSVASTLKGRTGTQCSNRWKKSLHPTRQRVGRWTRDEDKRLKVAVLLFGPKNWRKIAQVVPGRTQVQCRERWVNSLDPALNVGIWTEEEDSRLEAAIEEHGYCWSKVATCVASRTDNQCWRRWKTLHPEEVPLLQEARKIRKAALISNFVDRESERPALGPNDFNIQLPMITATSEPSKEKGKRRRRRPEYEKENAAALRLSPEKRSHKSCRKGAQTTTGRNPPLENNNCTEPAEDVTFQKKRKREPPSGNNNHIKPAQHVAIQKKRKQPLSGHVNCSDRKQDGAVQTYKRKQQSGSSKFVKSVQDNCSSHLLSALCITGNHEAESFGSSLTVKRRKNHKASPKQFSKRSMCTESHEEQYSICSEISVFSGGDDGAEVMQNSGVESEILGADDTSRKAKPRSKRKTCMNSLTSQSSRTIVAEHFKNLSAAKNTKKNRTKQQQSKSRKSNKPSGDENGQTDGDHQTLACFLRNKLKKGGCEIVDNACLSEGMDERSKIDQTQFSLQHCDGENGTNIEIVDVVNKTVASRDIVREPTKINKEDITLACLCKRLKKKRCVTIAQSSNHGDMSE >KJB48767 pep chromosome:Graimondii2_0_v6:8:18718742:18722991:1 gene:B456_008G086000 transcript:KJB48767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSISNDDNNKNANWLGFSLSPNMKMEVSNQEAHNYTQSASASAAGVTTAVPSSFFQSPSHLNYGLYYGVEGENGGFYSHFPVMPLKSDGSLCLMEALGRSQQPQAMVPTSTPKLEDFFGAATMGTHHYESSDRETMALSLDSMYYNQNPNQEHNNQNWLDHLQQSSRQTHHQQQLQVQQYQYYSGYRNQEMLLGEEAKETHVTDCNLQAPTMADDGKQWVSRNYSTEHAMHQKMIGCLGDNGAESGSIGAIAYGDLQSLSLSMSPGSQSSCVTGSQQISPSGTDYAAVLETKKRGPDKVDQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQKELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGANAVTNFDITRYDVERIMASNTLLAGEFARRNKDVGPGGNDNLLTGNTNAETNINISPKNNGGQPDWKMILYQSSEQQQPNIIENFKAQAFSLAPETMVGHREVDDSSKIGTTHFSNASSLVTSLSSSREGSPERSSLPMAFAMPPPPSSKLFTTSPNTVNSWIPSAQLRPAISMPQMPVFASWTDA >KJB49637 pep chromosome:Graimondii2_0_v6:8:37611302:37613270:1 gene:B456_008G130600 transcript:KJB49637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEKFMLESFGPPNINPKTCIYLLANSCCSCRGRETYKRKRPLQWEKENPEKLPALRAALHSFPKNPSFSDFLSVFNSETMSTVGEAACSYAALILYDDGIPITAEKISTLVKAANVSVESYWPSLFAKLFEKCNIEDLITNVGAAGGGAPVAAAAPVAAGGGAGAAAPPPAEEKKKEEPEEESDDDMGFSLFD >KJB47599 pep chromosome:Graimondii2_0_v6:8:3972376:3973212:-1 gene:B456_008G033000 transcript:KJB47599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKAALTELVATASLMFTLTTSIVACLDSNESNPKLLVPFAVFIIAFLFLMVTVPLSGGHMSPVFTFIAALKGIISLARASIYILAQCVGSITGFLILSSVMSHDAAKKYSLGGCTIDGNGPISGVSSGTALMLEFCCTFVVLFVGVTIAFDKRRSKELGLAMVCAVVAGAMALAVFVSISVTGRAGYAGVGLNPARCLGPALLRGGSLWDGHWVFWIGPCLACIIYYGFTKGLPKEGLVWEDEKHDIKNLAAPTLCCWGPRSRTSQLNGNVLEIVRR >KJB50797 pep chromosome:Graimondii2_0_v6:8:46837200:46838729:1 gene:B456_008G187300 transcript:KJB50797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSCKDFKVGKCQGQKLVDGESMPLVLQPPEADKADMESLLLALKTNKDWFEELIMKNSAVLLRGFDVKNAQDFNDIVEAFGWDDIRYVGPAPRTHVHKRVWTANEGPLSEFIYYHHEMVLIKESPKTLLLFCEVPPPEGGETPFVPSFRVTERMLEEFPEAVEEMDAKGLQYSFTALSKNDTSSMRGRGWEDTFGTSDREEAERRAKALGMDLEWLPNGGVKAVLGPRSLTRVFDGRKGRRMWFNTVVGMHGKEISSASMADGTEIPENVVKRCGEIIEEESIQFKWEKGDVAIFDNMALLHGRRPSKPPRRVLVATCK >KJB50156 pep chromosome:Graimondii2_0_v6:8:41742558:41749093:-1 gene:B456_008G156600 transcript:KJB50156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCLGKTAVNDDEESGKVLSMLGKVQFGLQKLVDGYRSHIIQTITSPSESLLNELRTVEEMKRQCDEKRNVYEYMAMRHKEKGRSKSGKGENFSVQHLQVAHDEYQEEATLLFFRLKSLKQGQSRSLLTQAARHHAAQLNFFKKALKSLEEVEPHVQKITEKQHIDYHFHGLEDSEAENGDPDENYENDDDESDDDNYEDDAEDHNDGELSFDYRQNDQDQNMFFASRHSMELDQVAFPQVAMVEAAKENLEKGRRHSFSFRGEIRTSSQSAPLFSENKSDPCEKIQPLSARKFSSYVLPTPVATESSIGLGNPAPQSSKASLNEQSNNSWHSSPLEHKKHEMILGDEKVSGSAVINAQSFLRESNSPASSTRLPPRVNPTAAYSKKIKWQSFSGPLSSKPWPTKTVSVEQPQLFSGSILRNPMPQRSAASPKASPSASPTFISSPKISELHELPRPPASPAAKSSRPLGFVGYSGPLMPRGHGLSATNTSVMSRAASPLPQPPQVVTRSFSIPSSTHRVVSLPVSNPLETAISSGMSQDVASPPLTPISLS >KJB50154 pep chromosome:Graimondii2_0_v6:8:41741395:41748956:-1 gene:B456_008G156600 transcript:KJB50154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSLRRLRNIALHRHGGETNDQQDPPPLPQFDELDQASQDMQDMRDCYDSLLSAAAAAANSAFEFSESLQEMGDCLGKTAVNDDEESGKVLSMLGKVQFGLQKLVDGYRSHIIQTITSPSESLLNELRTVEEMKRQCDEKRNVYEYMAMRHKEKGRSKSGKGENFSVQHLQVAHDEYQEEATLLFFRLKSLKQGQSRSLLTQAARHHAAQLNFFKKALKSLEEVEPHVQKITEKQHIDYHFHGLEDSEAENGDPDENYENDDDESDDDNYEDDAEDHNDGELSFDYRQNDQDQNMFFASRHSMELDQVAFPQVAMVEAAKENLEKGRRHSFSFRGEIRTSSQSAPLFSENKSDPCEKIQPLSARKFSSYVLPTPVATESSIGLGNPAPQSSKASLNEQSNNSWHSSPLEHKKHEMILGDEKVSGSAVINAQSFLRESNSPASSTRLPPRVNPTAAYSKKIKWQSFSGPLSSKPWPTKTVSVEQPQLFSGSILRNPMPQRSAASPKASPSASPTFISSPKISELHELPRPPASPAAKSSRPLGFVGYSGPLMPRGHGLSATNTSVMSRAASPLPQPPQVVTRSFSIPSSTHRVVSLPVSNPLETAISSGMSQDVASPPLTPISLS >KJB50155 pep chromosome:Graimondii2_0_v6:8:41742235:41749088:-1 gene:B456_008G156600 transcript:KJB50155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSLRRLRNIALHRHGGETNDQQDPPPLPQFDELDQASQDMQDMRDCYDSLLSAAAAAANSAFEFSESLQEMGDCLGKTAVNDDEESGKVLSMLGKVQFGLQKLVDGYRSHIIQTITSPSESLLNELRTVEEMKRQCDEKRNVYEYMAMRHKEKGRSKSGKGENFSVQHLQVAHDEYQEEATLLFFRLKSLKQGQSRSLLTQAARHHAAQLNFFKKALKSLEEVEPHVQKITEKQHIDYHFHGLEDSEAENGDPDENYENDDDESDDDNYEDDAEDHNDGELSFDYRQNDQDQNMFFASRHSMELDQVAFPQVAMVEAAKENLEKGRRHSFSFRGEIRTSSQSAPLFSENKSDPCEKIQPLSARKFSSYVLPTPVATESSIGLGNPAPQSSKASLNEQSNNSWHSSPLEHKKHEMILGDEKVSGSAVINAQSFLRESNSPASSTRLPPRVNPTAAYSKKIKWQSFSGPLSSKPWPTKTVSVEQPQLFSGSILRNPMPQRSAASPKASPSASPTFISSPKISELHELPRPPASPAAKSSRPLGFVGYSGPLMPRGHGLSATNTSVMSRAASPLPQPPQVVTRSFSIPSSTHRVVSLPVSNPLETAISSGMSQDVASPPLTPISLS >KJB50157 pep chromosome:Graimondii2_0_v6:8:41742558:41749099:-1 gene:B456_008G156600 transcript:KJB50157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSLRRLRNIALHRHGGETNDQQDPPPLPQFDELDQASQDMQDMRDCYDSLLSAAAAAANSAFEFSESLQEMGDCLGKTAVNDDEESGKVLSMLGKVQFGLQKLVDGYRSHIIQTITSPSESLLNELRTVEEMKRQCDEKRNVYEYMAMRHKEKGRSKSGKGENFSVQHLQVAHDEYQEEATLLFFRLKSLKQGQSRSLLTQAARHHAAQLNFFKKALKSLEEVEPHVQKITEKQHIDYHFHGLEDSEAENGDPDENYENDDDESDDDNYEDDAEDHNDGELSFDYRQNDQDQNMFFASRHSMELDQVAFPQVAMVEAAKENLEKGRRHSFSFRGEIRTSSQSAPLFSENKSDPCEKIQPLSARKFSSYVLPTPVATESSIGLGNPAPQSSKASLNEQSNNSWHSSPLEHKKHEMILGDEKVSGSAVINAQSFLRESNSPASSTRLPPRVNPTAAYSKKIKWQSFSGPLSSKPWPTKTVSVEQPQLFSGSILRNPMPQRSAASPKASPSASPTFISSPKISELHELPRPPASPAAKSSRPLGFVGYSGPLMPRGHGLSATNTSVMSRAASPLPQPPQVVTRSFSIPSSTHRVVSLPVSNPLETAISSGMSQDVASPPLTPISLS >KJB48329 pep chromosome:Graimondii2_0_v6:8:10206306:10207181:-1 gene:B456_008G063700 transcript:KJB48329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDWGPIFVALVLFVLLTPGLLFQVPGHHRCVEFGNFKTSGASILILSLLYFGFICVFLLAIKVHLHLG >KJB51936 pep chromosome:Graimondii2_0_v6:8:52601395:52605325:1 gene:B456_008G239300 transcript:KJB51936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVSLAPGDYIHFKSQVPLHKIPIGTKQWRYYDFGPKVVPPLICLPGIAGTADIYYKQIMSLSMKIHLYGTSLGGFLAQLFAQHRPRRVKSLILSNAFLETRSFAAAMPWAPIVGWSPSFLLKRFVLTGIRDGPHEPFIADSVDFVVSQVETLSRDDLASRLTLTVDTATVEPLLLSDSFITIMDTNDYSAIPQQLKDQLSERYPGARRAYLKTGGDFPFLSRPDEVNLHLQLHLRQVGVEARPDLVQSIPKGGTGGSPSKESDKKKDPDDQPKDNGGNPESTSEESQLPPSSEIHSLDDQLLSDAKICSMGHKDAIILMQSQYTVVNRILQSACELFIFSLLPIYVESSYVTSNNVWKFRQLM >KJB51932 pep chromosome:Graimondii2_0_v6:8:52601291:52605325:1 gene:B456_008G239300 transcript:KJB51932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVSLAPGDYIHFKSQVPLHKIPIGTKQWRYYDFGPKVVPPLICLPGIAGTADIYYKQIMSLSMKGYRVISVDIPQVWNHQEWIQSFEKFLDVINVHHIHLYGTSLGGFLAQLFAQHRPRRVKSLILSNAFLETRSFAAAMPWAPIVGWSPSFLLKRFVLTGIRDGPHEPFIADSVDFVVSQVETLSRDDLASRLTLTVDTATVEPLLLSDSFITIMDTNDYSAIPQQLKDQLSERYPGARRAYLKTGGDFPFLSRPDEVNLHLQLHLRQVGVEARPDLVQSIPKGGTGGSPSKESDKKKDPDDQPKDNGGNPESTSEESQLPPSSEIHSLDDQLLSDAKICSMGHKDAIILMQSQYTVVNRILQSACELFIFSLLPIYVESSYVTSNNVWKFRQLM >KJB51934 pep chromosome:Graimondii2_0_v6:8:52601395:52605325:1 gene:B456_008G239300 transcript:KJB51934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVSLAPGDYIHFKSQVPLHKIPIGTKQWRYYDFGPKVVPPLICLPGIAGTADIYYKQIMSLSMKGYRVISVDIPQVWNHQEWIQSFEKFLDVINVHHIHLYGTSLGGFLAQLFAQHRPRRVKSLILSNAFLETRSFAAAMPWAPIVGWSPSFLLKRFVLTGIRDGPHEPFIADSVDFVVSQVETLSRDDLASRLTLTVDTATVEPLLLSDSFITIMDTNDYSAIPQQLKDQLSERYPGARRAYLKTGGDFPFLSRPDEVNLHLQVYLTYIVLLIHRANCFFQLVYRTWQLHLRQVGVEARPDLVQSIPKGGTGGSPSKESDKKKDPDDQPKDNGGNPESTSEESQLPPSSEIHSLDDQLLSDAKICSMGHKDAIILMQSQYTVVNRILQSACELFIFSLLPIYVESSYVTSNNVWKFRQLM >KJB51935 pep chromosome:Graimondii2_0_v6:8:52601395:52605325:1 gene:B456_008G239300 transcript:KJB51935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWAPIVGWSPSFLLKRFVLTGIRDGPHEPFIADSVDFVVSQVETLSRDDLASRLTLTVDTATVEPLLLSDSFITIMDTNDYSAIPQQLKDQLSERYPGARRAYLKTGGDFPFLSRPDEVNLHLQLHLRQVGVEARPDLVQSIPKGGTGGSPSKESDKKKDPDDQPKDNGGNPESTSEESQLPPSSEIHSLDDQLLSDAKICSMGHKDAIILMQSQYTVVNRILQSACELFIFSLLPIYVESSYVTSNNVWKFRQLM >KJB51933 pep chromosome:Graimondii2_0_v6:8:52601395:52605325:1 gene:B456_008G239300 transcript:KJB51933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVSLAPGDYIHFKSQVPLHKIPIGTKQWRYYDFGPKVVPPLICLPGIAGTADIYYKQIMSLSMKVWNHQEWIQSFEKFLDVINVHHIHLYGTSLGGFLAQLFAQHRPRRVKSLILSNAFLETRSFAAAMPWAPIVGWSPSFLLKRFVLTGIRDGPHEPFIADSVDFVVSQVETLSRDDLASRLTLTVDTATVEPLLLSDSFITIMDTNDYSAIPQQLKDQLSERYPGARRAYLKTGGDFPFLSRPDEVNLHLQLHLRQVGVEARPDLVQSIPKGGTGGSPSKESDKKKDPDDQPKDNGGNPESTSEESQLPPSSEIHSLDDQLLSDAKICSMGHKDAIILMQSQYTVVNRILQSACELFIFSLLPIYVESSYVTSNNVWKFRQLM >KJB53083 pep chromosome:Graimondii2_0_v6:8:56653906:56656019:-1 gene:B456_008G292700 transcript:KJB53083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNVDEVIERYVGSFGLPQLLQVMLVSFAWVFDSQNTLVTIFTDAQPSGWRCKTSVDPSLSSSWCMNNTASSGGSNKRQSAGHVCGLAAGSWEWIGGNSASTIAEWYLICHRKFLAAIPTSLFIIGSIIGCAFYGRLTDVWLVRKKTLLLACILTSTTTFLTSLAPNIWAYCLLRFANGFAHSRNEICCLVLSTEVVGRKWQGQVGQYSFFFFTVGFLSLPFIAYHTRTYLRYFYRIISLPPLVYVFLLVPLVLESPRWLLVRGKNKEALEVLQKFARWNGKKMPDNIVLIIPSQATTGASIVYIQMIYLIRHCLVKQLN >KJB48378 pep chromosome:Graimondii2_0_v6:8:11508654:11509115:-1 gene:B456_008G069300 transcript:KJB48378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITAALGSFILAEKVHLGSIIGTVIIIFRLYTVLWGKSKDQKNSTATENGKIQELPITDGSKSTKLEDSMELPATVLKIPVESQLTRET >KJB52377 pep chromosome:Graimondii2_0_v6:8:54089728:54091250:1 gene:B456_008G259400 transcript:KJB52377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDMEMIMMMMINSSSSPNNQSNEASTSTSWKVYDNPFFNSHHHRQQVWQSSKHLHHHQVCSPVSAQTIVDPFWDLTLFGPSSTMDSELDIARAQIIDLQAELDYEVKARKKAESLNKKLAKDLAEERRGREALERVCDKLAREISLDKAEIDRMRRDFEEERKMLRMAEVLREERVQMKLAEAKFLFAEKLLELEETKRALPADKEDKPPAAFTTNLSGKFSRLVFSEKASSTCNDSSMAIQRKASPETENPHIKRGIKGFVEFPRVVRAIGSKSKHWGSKLECQKAQLRVLLKQKSPIRSNNLIMS >KJB49295 pep chromosome:Graimondii2_0_v6:8:34564681:34568282:1 gene:B456_008G113600 transcript:KJB49295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQVGKIYNGTQKTCVLPNVSKTQNPKHVPFVSFKSNLNGKTSSWGLVVKNNGKFGSIKVRSLKVSASTATAEKPSRASEIVLQPINEISGTVKLPGSKSLSNRILLLAALSEGTTVVENLLNSDDVHHMLVALGKLGLYVKHDGEKKQAIVEGCGGQFPVGKGEGQEIELFLGNAGTAMRPLTAAITAAGGNSSYVLDGVPRMRERPIGDLVTGLKQLGADVDCTLGTNCPPVRIEGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISIPYVEMTIKLMKRFGVTVEHTDSWDRFFIRGGQKYMSPGNAYVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQGDVKFAEVLEMMGAKVTWTKNSVTVTGPPRNSSGRKHLRAIDVNMNKMPDVAMTLAVVALYADGPTAIRDGIILLFKSNPKMSSD >KJB49294 pep chromosome:Graimondii2_0_v6:8:34564867:34567242:1 gene:B456_008G113600 transcript:KJB49294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQVGKIYNGTQKTCVLPNVSKTQNPKHVPFVSFKSNLNGKTSSWGLVVKNNGKFGSIKVRSLKVSASTATAEKPSRASEIVLQPINEISGTVKLPGSKSLSNRILLLAALSEGTTVVENLLNSDDVHHMLVALGKLGLYVKHDGEKKQAIVEGCGGQFPVGKGEGQEIELFLGNAGTAMRPLTAAITAAGGNSSYVLDGVPRMRERPIGDLVTGLKQLGADVDCTLGTNCPPVRIEGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISIPYVEMTIKLMKRFGVTVEHTDSWDRFFIRGGQKYMSPGNAYVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQVLSEVLFPLLWPSLRPTSNSITI >KJB49297 pep chromosome:Graimondii2_0_v6:8:34564681:34568687:1 gene:B456_008G113600 transcript:KJB49297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQVGKIYNGTQKTCVLPNVSKTQNPKHVPFVSFKSNLNGKTSSWGLVVKNNGKFGSIKVRSLKVSASTATAEKPSRASEIVLQPINEISGTVKLPGSKSLSNRILLLAALSEGTTVVENLLNSDDVHHMLVALGKLGLYVKHDGEKKQAIVEGCGGQFPVGKGEGQEIELFLGNAGTAMRPLTAAITAAGGNSSYVLDGVPRMRERPIGDLVTGLKQLGADVDCTLGTNCPPVRIEGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISIPYVEMTIKLMKRFGVTVEHTDSWDRFFIRGGQKYMSPGNAYVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQGDVKFAEVLEMMGAKVTWTKNSVTVTGPPRNSSGRKHLRAIDVNMNKMPDVAMTLAVVALYADGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCVITPPEKLNVTAIDTYDDHRMAMAFSLAACAEVPVTIKDPGCTRKTFPDYFEVLARVTKH >KJB49293 pep chromosome:Graimondii2_0_v6:8:34564635:34568345:1 gene:B456_008G113600 transcript:KJB49293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQVGKIYNGTQKTCVLPNVSKTQNPKHVPFVSFKSNLNGKTSSWGLVVKNNGKFGSIKVRSLKVSASTATAEKPSRASEIVLQPINEISGTVKLPGSKSLSNRILLLAALSEGTTVVENLLNSDDVHHMLVALGKLGLYVKHDGEKKQAIVEGCGGQFPVGKGEGQEIELFLGNAGTAMRPLTAAITAAGGNSSYVLDGVPRMRERPIGDLVTGLKQLGADVDCTLGTNCPPVRIEGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISIPYVEMTIKLMKRFGVTVEHTDSWDRFFIRGGQKYMSPGNAYVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQGDVKFAEVLEMMGAKVTWTKNSVTVTGPPRNSSGRKHLRAIDVNMNKMPDVAMTLAVVALYADGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCVITPPEKLNVTAIDTYDDHRMAMAFSLAACAEVPVTIKDPGCTRKTFPDYFEVLARVTKH >KJB49296 pep chromosome:Graimondii2_0_v6:8:34564681:34568282:1 gene:B456_008G113600 transcript:KJB49296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQVGKIYNGTQKTCVLPNVSKTQNPKHVPFVSFKSNLNGKTSSWGLVVKNNGKFGSIKVRSLKVSASTATAEKPSRASEIVLQPINEISGTVKLPGSKSLSNRILLLAALSEGTTVVENLLNSDDVHHMLVALGKLGLYVKHDGEKKQAIVEGCGGQFPVGKGEGQEIELFLGNAGTAMRPLTAAITAAGGNSSYVLDGVPRMRERPIGDLVTGLKQLGADVDCTLGTNCPPVRIEGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISIPYVEMTIKLMKRFGVTVEHTDSWDRFFIRGGQKYMSPGNAYVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQGDVKFAEVLEMMGAKVTWTKNSVTVTGPPRNSSGRKHLRAIDVNMNKMPDVAMTLAVVALYADGPTAIRDVASWRVKETERMIAICTELRKVVLI >KJB48376 pep chromosome:Graimondii2_0_v6:8:10540207:10543535:-1 gene:B456_008G065600 transcript:KJB48376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance RPP13-like protein 4 [Source:Projected from Arabidopsis thaliana (AT3G50950) UniProtKB/Swiss-Prot;Acc:Q38834] MADAIVSVFLEKLLNTLAEEGLYVTKFREQFEKLQTELQLMQCFLKDADRLKRKNDTINKVLAVLRELIYEAEDILADCQLQSRDDALFSNGFLTCISPPVLHFKHQNGKRINEIIGEITQIKQNIQSLLGGALLFQPNAINGKDQMPRWSSQVYDHTMVVGLEADTKKIKDWLFEAASEGKQEILAIGVVGMGGLGKTTIAQKVFNIEKEIDDHFDRRMWVSVSQTFTEEQIMRSMLRNLGDASVGDDANELLKKINQYLLGKRYLIVMDDVWGEDVAWWRRISQGLPKGNGSCVIITTRNERVSRKMGVKETRIHRPKFLNKDYSWLLFQKIAFAASEGQCIYPDLEDVGKEIVDKCEGLPLAIKAVGGMMLCKASYYHEWRRIADHFRDELAENDDSVMASLQLSYDELPPYLKSCFLSFSLYPEDCVITKQQVVHWWIGEGFVRLRSGRSSTDAGEDCFSGLINRCLIEVVDKTYNGTILTCKMHDMVRELVIKIAKDDAFSVTNKTNCRHSGITNNMDRKQLTANPKLRGLVSTTKSGEVNKIESSTAKKLSEFRYLRVLDVSKSIFELPIGSLLFHIGSLHHLTYLSLSNTHPLIELPASLEKLTNLQILDLSYCQNLRTLPHNLITLNKLKVLDVSNCGSLECLPKGLGRLSNLEVLLGFRPARSSHGCRIGELRNLTRLRILGLHLTHADEVEENEVNAMANLRDLENLSISCFDSHGSDLTSKLDKLYPPQQLYELSLKFYPGKMSPAWLNPIALPLLKYLSISSGNLANVNQNFWGYNNIVWKIQGLMLESLSDLELEWPMLQEAMQSLRIVNVSWCPELVSFPIDDVGFRGGVWIKG >KJB48375 pep chromosome:Graimondii2_0_v6:8:10537056:10543018:-1 gene:B456_008G065600 transcript:KJB48375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance RPP13-like protein 4 [Source:Projected from Arabidopsis thaliana (AT3G50950) UniProtKB/Swiss-Prot;Acc:Q38834] MADAIVSVFLEKLLNTLAEEGLYVTKFREQFEKLQTELQLMQCFLKDADRLKRKNDTINKVLAVLRELIYEAEDILADCQLQSRDDALFSNGFLTCISPPVLHFKHQNGKRINEIIGEITQIKQNIQSLLGGALLFQPNAINGKDQMPRWSSQVYDHTMVVGLEADTKKIKDWLFEAASEGKQEILAIGVVGMGGLGKTTIAQKVFNIEKEIDDHFDRRMWVSVSQTFTEEQIMRSMLRNLGDASVGDDANELLKKINQYLLGKRYLIVMDDVWGEDVAWWRRISQGLPKGNGSCVIITTRNERVSRKMGVKETRIHRPKFLNKDYSWLLFQKIAFAASEGQCIYPDLEDVGKEIVDKCEGLPLAIKAVGGMMLCKASYYHEWRRIADHFRDELAENDDSVMASLQLSYDELPPYLKSCFLSFSLYPEDCVITKQQVVHWWIGEGFVRLRSGRSSTDAGEDCFSGLINRCLIEVVDKTYNGTILTCKMHDMVRELVIKIAKDDAFSVTNKTNCRHSGITNNMDRKQLTANPKLRGLVSTTKSGEVNKIESSTAKKLSEFRYLRVLDVSKSIFELPIGSLLFHIGSLHHLTYLSLSNTHPLIELPASLEKLTNLQILDLSYCQNLRTLPHNLITLNKLKVLDVSNCGSLECLPKGLGRLSNLEVLLGFRPARSSHGCRIGELRNLTRLRILGLHLTHADEVEENEVNAMANLRDLENLSISCFDSHGSDLTSKLDKLYPPQQLYELSLKFYPGKMSPAWLNPIALPLLKYLSISSGNLANVNQNFWGYNNIVWKIQGLMLESLSDLELEWPMLQEAMQSLRIVNVSWCPELVSFPIDDVGFRGGMRGGNERISIEAWNYKGLNLGLGELILACGLLGPKEREMDPDSVKSTLSNLAFGNVMAAAARDYQKELLAQEKAQSSTANEEVDLDELMDDPELEKLHADRIAALKKEAEKREALKRKGHGDYREITEGDFLGEVTGSEKVVCHFYHKEFYRCKIMDKHLKALALKHMDTKFIKLDAENAPFFVTKLAVKTLPCVIIFRKGVAVDRLVGFQDLGAKDDFAMRTLEVVLIKKGIISEKKNEDDEDDVYDESRRRTVRSSVNHDSDSD >KJB48377 pep chromosome:Graimondii2_0_v6:8:10540472:10543193:-1 gene:B456_008G065600 transcript:KJB48377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance RPP13-like protein 4 [Source:Projected from Arabidopsis thaliana (AT3G50950) UniProtKB/Swiss-Prot;Acc:Q38834] MMKTLVAEASLTSPHLFSLFCKANPKSMADAIVSVFLEKLLNTLAEEGLYVTKFREQFEKLQTELQLMQCFLKDADRLKRKNDTINKVLAVLRELIYEAEDILADCQLQSRDDALFSNGFLTCISPPVLHFKHQNGKRINEIIGEITQIKQNIQSLLGGALLFQPNAINGKDQMPRWSSQVYDHTMVVGLEADTKKIKDWLFEAASEGKQEILAIGVVGMGGLGKTTIAQKVFNIEKEIDDHFDRRMWVSVSQTFTEEQIMRSMLRNLGDASVGDDANELLKKINQYLLGKRYLIVMDDVWGEDVAWWRRISQGLPKGNGSCVIITTRNERVSRKMGVKETRIHRPKFLNKDYSWLLFQKIAFAASEGQCIYPDLEDVGKEIVDKCEGLPLAIKAVGGMMLCKASYYHEWRRIADHFRDELAENDDSVMASLQLSYDELPPYLKSCFLSFSLYPEDCVITKQQVVHWWIGEGFVRLRSGRSSTDAGEDCFSGLINRCLIEVVDKTYNGTILTCKMHDMVRELVIKIAKDDAFSVTNKTNCRHSGITNNMDRKQLTANPKLRGLVSTTKSGEVNKIESSTAKKLSEFRYLRVLDVSKSIFELPIGSLLFHIGSLHHLTYLSLSNTHPLIELPASLEKLTNLQILDLSYCQNLRTLPHNLITLNKLKVLDVSNCGSLECLPKGLGRLSNLEVLLGFRPARSSHGCRIGELRNLTRLRILGLHLTHADEVEENEVNAMANLRDLENLSISCFDSHGSDLTSKLDKLYPPQQLYELSLKFYPGKMSPAWLNPIALPLLKYLSISSGNLANVNQNFWGYNNIVWKIQGLMLESLSDLELEWPMLQEAMQSLRIVNVSWCPELVSFPIDDVGFRGGVWIKG >KJB50686 pep chromosome:Graimondii2_0_v6:8:46036244:46038186:-1 gene:B456_008G182500 transcript:KJB50686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVTAKSEFRPGRTRLCTAEDNAIDTKCIKRRRRDHSNGALGLSNQQQQHQQLQGDQPTATTVKRSSRFRGVSRHRWTGRFEAHLWDKGSWNPTQRKKGKQGAYDEEESAARAYDLAAIKYWGTSTFTNFPVSDYGTEIEIMRSVTKEEYLASLRRRSSGFSRGVSRYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAAHAYDIAAIEYRGINAVTNFDLSTYIRWLKPGANDALISEQIKTASATRPMMTSNIFPTEQTNGLTLFNSNPLTEEAIDIRKKGVVSPCPKSSPALSLLLRSSMFNKLVEQNLNANYDQTEEKVAVDKNGGGEMLCNEVDGGVLPFMCSNNRGLESKESKVPLYNKTGQSMWNGALNLLTNA >KJB50073 pep chromosome:Graimondii2_0_v6:8:40983363:40988901:1 gene:B456_008G152400 transcript:KJB50073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGIDKVSGSMLFSEEELIEVSGLKKGGDFVEVTCGCTSHRYGDAVGKLRVFSNGNLEITCECTPGCNEDKLTPAAFEKHSGRETARKWKNNVWLIVKGEKVPLSKTAFLKYYNQASKNGNGIHKAHSGRVCHRDEFVRCSRCKKERRFRLRTKEECRIHHDALADVNWKCSDILYDRITCDDDEERASRRVYRGCSRSPACKGCTSCVCFGCEICRFTDCSCQTCIDFIRNAEA >KJB51275 pep chromosome:Graimondii2_0_v6:8:49512734:49516956:-1 gene:B456_008G209800 transcript:KJB51275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48820) UniProtKB/Swiss-Prot;Acc:Q8RY00] MKILQLGFLLTLVSGFSAIIIYITGLSTHYGNYQLTDEDWQALQSLQSGFKKCVTENGLGLQAISGKDYCQVTLTYPSDTDSKWKDPKTGEVEGLSFEFNLCEAVATWEQVRNSTTILTREFIDALPNGWEEYAWRRINKGVLLNNCKNRTLCMEKLSLVLPETPPYVPRQFGRCAVIGNSGDLLKTRFGKEIDSYDVVIRENGAPIENYTEYVGKKSSFRLLNRGSAKALDKVVELDETRQEVLIVKTTIHDIMNQMILDIPIRNPVYLMLGASFGSAAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLIKIHSPMRSDPNRAVKWVPSQSAIRAARIASEKLLRRVGAGSEDLLSSCSILKKQVKRKHMSISSLRKAAVDHQKFVKGTTMYPLEHNPGHGQLCTVPAD >KJB51276 pep chromosome:Graimondii2_0_v6:8:49512734:49516992:-1 gene:B456_008G209800 transcript:KJB51276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48820) UniProtKB/Swiss-Prot;Acc:Q8RY00] MNIPLSSTSLDSPLILTDEDWQALQSLQSGFKKCVTENGLGLQAISGKDYCQVTLTYPSDTDSKWKDPKTGEVEGLSFEFNLCEAVATWEQVRNSTTILTREFIDALPNGWEEYAWRRINKGVLLNNCKNRTLCMEKLSLVLPETPPYVPRQFGRCAVIGNSGDLLKTRFGKEIDSYDVVIRENGAPIENYTEYVGKKSSFRLLNRGSAKALDKVVELDETRQEVLIVKTTIHDIMNQMILDIPIRNPVYLMLGASFGSAAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLIKIHSPMRSDPNRAVKWVPSQSAIRAARIASEKLLRRVGAGSEDLLSSCSILKKQVKRKHMSISSLRKAAVDHQKFVKGTTMYPLEHNPGHGQLCTVPAD >KJB50723 pep chromosome:Graimondii2_0_v6:8:46302985:46307077:1 gene:B456_008G184000 transcript:KJB50723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVTVASTPSPSYSTAAYVSWDEVNVSSDKGRRVVHYYLKRRDGVSDLAVIGKEKSLRHMSYHFAIKSRSLFFSSTSFYKLKSRREVVNWLNSVVSDSSSRESHCSVDGIWNGKEVKSMDFGAVKDLQSQKLVQKEFLWLGSSWTCKRKKKHYESFRRNGVIISVHDFVYVLAEENKRLVAYLEDLYEDSRGNKMVVVRWFHKIDEVDIDLRHNYNCREIFFSHCLQDLSIECIDGLATVLSPVHFKKFLNEATHTRLEPFVCCKQFENDVKPFDVTQVKGYWKQDILRYMYCLSPSNDCVTRQQRADDLKTVWDVDDDVGIRPRKRYCRSKDDDMHMHFNGSRESMDTSFADVQDFHKSKNGNVSFNFSGRNFAFLSTGEAKQYSSHHLMVGSEVEVLTQDSGMRGCWFRARIIKKHKNKVKLQYQDIQDAADEANKLEEWILVTKVATSDEVGIRVSGRTAIRPSSQFTEGSTSCVGVGSVVDVWWHDGWWEGLVVQELEDKFRVHFPGEKRESVFGIGDIRQSQEWLGNRWINMKERHDLVSTILWAGKQDASKSYNFNTDKNTICESGQCGKEATECKGSAVEPGNERAQDASVVPDLSKDDRLSLLKWKSSRKRKRVTSTCVRKLNCSRNLIKSTAQSVACERFLIPASLKVDNENCKNIGDPLFSSSVVPPLTSLVMTR >KJB50727 pep chromosome:Graimondii2_0_v6:8:46304945:46307052:1 gene:B456_008G184000 transcript:KJB50727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVRWFHKIDEVDIDLRHNYNCREIFFSHCLQDLSIECIDGLATVLSPVHFKKFLNEATHTRLEPFVCCKQFENDVKPFDVTQVKGYWKQDILRYMYCLSPSNDCVTRQQRADDLKTVWDVDDDVGIRPRKRYCRSKDDDMHMHFNGSRESMDTSFADVQDFHKSKNGNVSFNFSGRNFAFLSTGEAKQYSSHHLMVGSEVEVLTQDSGMRGCWFRARIIKKHKNKVKLQYQDIQDAADEANKLEEWILVTKVATSDEVGIRVSGRTAIRPSSQFTEGSTSCVGVGSVVDVWWHDGWWEGLVVQELEDKFRVHFPGEKRESVFGIGDIRQSQEWLGNRWINMKERHDLVSTILWAGKQDASKSYNFNTDKNTICESGQCGKEATECKGSAVEPGNERAQDASVVPDLSKDDRLSLLKWKSSRKRKRVTSTCVRKLNCSRNLIKSTAQSVACERFLIPASLKVDNENCKNIGDPLFSSSVVPPLTSLVMTR >KJB50724 pep chromosome:Graimondii2_0_v6:8:46303553:46307052:1 gene:B456_008G184000 transcript:KJB50724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGAVKDLQSQKLVQKEFLWLGSSWTCKRKKKHYESFRRNGVIISVHDFVYVLAEENKRLVAYLEDLYEDSRGNKMVVVRWFHKIDEVDIDLRHNYNCREIFFSHCLQDLSIECIDGLATVLSPVHFKKFLNEATHTRLEPFVCCKQFENDVKPFDVTQVKGYWKQDILRYMYCLSPSNDCVTRQQRADDLKTVWDVDDDVGIRPRKRYCRSKDDDMHMHFNGSRESMDTSFADVQDFHKSKNGNVSFNFSGRNFAFLSTGEAKQYSSHHLMVGSEVEVLTQDSGMRGCWFRARIIKKHKNKVKLQYQDIQDAADEANKLEEWILVTKVATSDEVGIRVSGRTAIRPSSQFTEGSTSCVGVGSVVDVWWHDGWWEGLVVQELEDKFRVHFPGEKRESVFGIGDIRQSQEWLGNRWINMKERHDLVSTILWAGKQDASKSYNFNTDKNTICESGQCGKEATECKGSAVEPGNERAQDASVVPDLSKDDRLSLLKWKSSRKRKRVTSTCVRKLNCSRNLIKSTAQSVACERFLIPASLKVDNENCKNIGDPLFSSSVVPPLTSLVMTR >KJB50726 pep chromosome:Graimondii2_0_v6:8:46302985:46307077:1 gene:B456_008G184000 transcript:KJB50726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGAVKDLQSQKLVQKEFLWLGSSWTCKRKKKHYESFRRNGVIISVHDFVYVLAEENKRLVAYLEDLYEDSRGNKMVVVRWFHKIDEVDIDLRHNYNCREIFFSHCLQDLSIECIDGLATVLSPVHFKKFLNEATHTRLEPFVCCKQFENDVKPFDVTQVKGYWKQDILRYMYCLSPSNDCVTRQQRADDLKTVWDVDDDVGIRPRKRYCRSKDDDMHMHFNGSRESMDTSFADVQDFHKSKNGNVSFNFSGRNFAFLSTGEAKQYSSHHLMVGSEVEVLTQDSGMRGCWFRARIIKKHKNKVKLQYQDIQDAADEANKLEEWILVTKVATSDEVGIRVSGRTAIRPSSQFTEGSTSCVGVGSVVDVWWHDGWWEGLVVQELEDKFRVHFPGEKRESVFGIGDIRQSQEWLGNRWINMKERHDLVSTILWAGKQDASKSYNFNTDKNTICESGQCGKEATECKGSAVEPGNERAQDASVVPDLSKDDRLSLLKWKSSRKRKRVTSTCVRKLNCSRNLIKSTAQSVACERFLIPASLKVDNENCKNIGDPLFSSSVVPPLTSLVMTR >KJB50725 pep chromosome:Graimondii2_0_v6:8:46302997:46307052:1 gene:B456_008G184000 transcript:KJB50725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVTVASTPSPSYSTAAYVSWDEVNVSSDKGRRVVHYYLKRRDGVSDLAVIGKEKSLRHMSYHFAIKSRSLFFSSTSFYKLKSRREVVNWLNSVVSDSSSRESHCSVDGIWNGKEVKSMDFGAVKDLQSQKLVQKEFLWLGSSWTCKRKKKHYESFRRNGVIISVHDFVYVLAEENKRLVAYLEDLYEDSRGNKMVVVRWFHKIDEVDIDLRHNYNCREIFFSHCLQDLSIECIDGLATVLSPVHFKKFLNEATHTRLEPFVCCKQFENDVKPFDVTQVKGYWKQDILRYMYCLSPSNDCVTRQQRADDLKTVWDVDDDVGIRPRKRYCRSKDDDMHMHFNGSRESMDTSFADVQDFHKSKNGNVSFNFSGRNFAFLSTGEAKQYSSHHLMVGSEVEVLTQDSGMRGCWFRARIIKKHKNKVKLQYQDIQDAADEANKLEEWILVTKVATSDEVGIRVSGRTAIRPSSQFTEGSTSCVGVGSVVDVWWHDGWWEGLVVQELEDKFRVHFPGL >KJB51866 pep chromosome:Graimondii2_0_v6:8:52130356:52132742:-1 gene:B456_008G235300 transcript:KJB51866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCSFLLFYFCFVFVLASFFCPAPSLSVATRPVVNQTACTTNSTRHRHKWIGPIGHRLITVDINGLGHFRSVQDAVDAVPENNRKNVLIRISAGYYIEKVVVPATKPYIMMQGAGREVTFIEWHDRASDLGSNGQQLRTYQTASVTVYANYFSARNISFKNTAPAPMPGMQGWQAVALRISGDKAYFSGCGFYGAQALFECYIEGSIDFIFGNGRSMYKDCELHSIATRFGSIAAHDRNSPDEKTGFAFVNCNVTGTGPLYVGRAMGQYSRIVYAYTYFDDLVAHGGWDDWDHVSNKNKTAFFGVYKCWGPGAAAVRGVSWARELKFDEAHPFLAKSFVNGRHWIAPWDA >KJB50880 pep chromosome:Graimondii2_0_v6:8:47439297:47442208:1 gene:B456_008G191300 transcript:KJB50880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFISRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQVQ >KJB50879 pep chromosome:Graimondii2_0_v6:8:47439279:47443356:1 gene:B456_008G191300 transcript:KJB50879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFISRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >KJB50883 pep chromosome:Graimondii2_0_v6:8:47439297:47443356:1 gene:B456_008G191300 transcript:KJB50883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFISRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >KJB50881 pep chromosome:Graimondii2_0_v6:8:47439991:47441699:1 gene:B456_008G191300 transcript:KJB50881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFISRMFHPNSKLQLCCFNSSFSL >KJB50882 pep chromosome:Graimondii2_0_v6:8:47439297:47443356:1 gene:B456_008G191300 transcript:KJB50882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFISRMFHPNSNLCRWKYLSGHFTEPMESYI >KJB49389 pep chromosome:Graimondii2_0_v6:8:35022019:35025273:-1 gene:B456_008G116500 transcript:KJB49389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYDSLEGAELALGRNLTVAERLWFSYSAHKSDYILYTHNCLFVFLVFSLVPLPWALVELYWFDAVDRFKLQPRVKRSFPELFKCYKDVLHQFIFVVAPLIAVSFPVLEWVGIRTSLPLPTKWEVISQLIVYFLVEDYTNYWIHRFLHSEWGYEKIHYMHHEYNAPIGFAAPYAHWAEILILGIPTFLGPAMVPCHMTTLWLWSSLRQVEAIETHSGYNFPWSPTKLIPYYGGPEYHDFHHFVGRQCQSNFASIFTYCDYLYGTDKGYRRHKQAVKKA >KJB50094 pep chromosome:Graimondii2_0_v6:8:41203974:41205580:1 gene:B456_008G153600 transcript:KJB50094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANNIGKSKTGDGDEAIKMPRDQVLEIGKDKNVDFGLERHEWRPVFYEASMSKRPTKKIRSPEREASFQFSASLPHQSSSCSVSSDASFQPSRLVLPYAFDASQQPMYLSQQYGNNPTLLPLPQHQQHMVSFTPQQQHDGVYQPMFSGESSLPPQQLVQYWSNVLNLSPRGSLMMMSRLGQNRRQLLRSPVQPINATKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDREAFKLRGENARLNFPELFVNKDKVTSTAPSSPVSSPQTPHQSSKPNQTQNLPQQEPEEDQDLQSVEMETVRPPEGDNPDSGSGMTTEGFGAEGVCGCEEMEWGAMAEAWFNAIPAGWGPGSPVWDDLDTTNNLLFPSNLPFTHQNQNLLDSDLSKQHNSASSPSCPMKHFFWKDED >KJB46980 pep chromosome:Graimondii2_0_v6:8:599112:600059:-1 gene:B456_008G004100 transcript:KJB46980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATQAGLFTPTITTPKSLAPWKPASSFSFATSSKSLNFSMAQRTIRAAAADEEASSAAATKEAPVGFTPPELDPNTPSPIFGGSTGGLLRKAQVEEFYVITWDSPKEQVFEMPTGGAAIMRQGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNFRSIGKNVSPIEVKFTGKQVYDL >KJB49508 pep chromosome:Graimondii2_0_v6:8:36123156:36124512:1 gene:B456_008G123100 transcript:KJB49508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDTSWFIIIIIVIIVNISSSIVGGSADSYDPQALKALFRHYANLTLANHHTGAEHKVNLPSNFTGMEVSVKRLRSGSLWTRGTDSIYVKIPPNVKTLPYVKRLAIVYDNLGNWSSMYYNVPGYSLVSPVIGFDVYDYSDLTMIMDGNLTLSVEGELISIHFPYLKAGDKNTTLLKCVGFEPDGSVEFKNWTNDNVCKVKKAGHFCVVAEMPAVTEEKEGRAWKWWVIGFGIGIVGIIVLVSMGMVVMLKWRKIEITKMEKESDTSVALDTFWVRGDHKMPCASMIRTQPTLEHDFVP >KJB53145 pep chromosome:Graimondii2_0_v6:8:56840196:56842615:-1 gene:B456_008G295100 transcript:KJB53145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHSAEVVVEGLKKILLNVQEELDEKVQSKVEKLIAELQGREHHHHPPPPPPCDHDAQRLDDGFHFFKTNIFDKNRECFKKLAEDQHPKFLVISCSDSRVSPSVVLNFKPGEAFAGRNIANMVPQFDQLRHTEIGSVIEYAVKELQVDNILIMGHSRCGGIKRLMSLPDQTQTYDFIDEWVKIGLPAKKKVLEEAGDLCPEQQRTLCEKESVKNSMGNLLTYPFVRSAVVNGTLTLRGGYYDFVNGDFEQWKMCTKPCHPQ >KJB53146 pep chromosome:Graimondii2_0_v6:8:56840196:56842773:-1 gene:B456_008G295100 transcript:KJB53146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHSAEVVVEGLKKILLNVQEELDEKVQSKVEKLIAELQGREHHHHPPPPPPCDHDAQRLDDGFHFFKTNIFDKNRECFKKLAEDQHPKFLVISCSDSRVSPSVVLNFKPGEAFAGRNIANMVPQFDQLRHTEIGSVIEYAVKELQVDNILIMGHSRCGGIKRLMSLPDQTQTYDFIDEWVKIGLPAKKKVLEEAGDLCPEQQRTLCEKESVKNSMGNLLTYPFVRSAVVNGTLTLRGGYYDFVNGDFEQWKMCTKPCHPQ >KJB51806 pep chromosome:Graimondii2_0_v6:8:51865218:51868476:1 gene:B456_008G232400 transcript:KJB51806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAGSSSAKRWLPLEANPDVMNQFLWGLGLPENEAECCDVYGLEDELLAMTEEERLRQDNEKRDASCKVYFMKQTVGNACGTIGLLHGVGNVTSEIKLQEGSYLDRFFKSTASMDPLERAAFLEKEREMEVAHSVAATAGETEASDNVNTHFICFSCVDGKLYELDGRKSGPISHGASSPSSLLQDAAKVIKGMIQKNPESLNFNVIALHKMGMAAGTY >KJB51807 pep chromosome:Graimondii2_0_v6:8:51865864:51868161:1 gene:B456_008G232400 transcript:KJB51807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQWFECFQTEEERLRQDNEKRDASCKVYFMKQTVGNACGTIGLLHGVGNVTSEIKLQEGSYLDRFFKSTASMDPLERAAFLEKEREMEVAHSVAATAGETEASDNVNTHFICFSCVDGKLYELDGRKSGPISHGASSPSSLLQDAAKVIKGMIQKNPESLNFNVIALHKMGMAAGTY >KJB51805 pep chromosome:Graimondii2_0_v6:8:51865145:51868476:1 gene:B456_008G232400 transcript:KJB51805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAGSSSAKRWLPLEANPDVMNQFLWGLGLPENEAECCDVYGLEDELLAMVPQPVLAVLFLFPITSQTEEERLRQDNEKRDASCKVYFMKQTVGNACGTIGLLHGVGNVTSEIKLQEGSYLDRFFKSTASMDPLERAAFLEKEREMEVAHSVAATAGETEASDNVNTHFICFSCVDGKLYELDGRKSGPISHGASSPSSLLQDAAKVIKGMIQKNPESLNFNVIALHKMGMAAGTY >KJB47008 pep chromosome:Graimondii2_0_v6:8:759074:760949:-1 gene:B456_008G006000 transcript:KJB47008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFKSVFADDPDPPKPQLESDSPKADYSTPDSSPRVPDPNPNPSGWSFGGLIQTIATRSESVIETYRRDLEEFGLGLKKEIEVAQDSLGNVGQVIDEFGNTVIKGTTQIINQGKDAILAADNESDSSSSESKITQRSLNSKRYSRFDAQVRAIQGDINTYIEEPEDLEDYKKWKSGFGLEEKKEEIERLMEENGEMGSIYTRIVGVSNGVDHETFWCRYFYKVFKLKQAEDMRVKLVNRAISREEEEELSWDVDEDEEEEEVDERNTVPKASLKKQDVDKKEKDETVKDKAEKSDLLEKETVGEKGEKDVLLENKDQVVEKNPVEKVKGNVDESSSGNIVTEKVNLEKNEEVCKDDSMAKSVEKVASEAKDRENKEPSNGKGKDSDISVASSHPSMAEEEEEEDLGWDEIEDLSSIDDKKETHGGSPSSNRDELRKRLSAAEEEEDLSWDIEDDDEPIKA >KJB47912 pep chromosome:Graimondii2_0_v6:8:6367849:6374295:-1 gene:B456_008G047100 transcript:KJB47912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGDLEGGGGDLAFHGKGGRKYSPVGAHDRAVLEMSSMDPGSSSDSKSCVRKNNAGTVGNLDSDGREGSNPENGGANGPYQEHKLELFGFDSLVSILGLKSMTGEQAPALSSPRDGEDVSITSGQPKPSAVKMGTMMGVFVPCLQSILGIIYYIRFSWIVGMGGIGDALLLVSLCGLCTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGALYVLGAVETFLKALPSAGIFTETTTKVNGTVSEPIQSITGVTGLSLESFKDNWSSDYKNTNSAGIPDAEGNVHWNFHALVGLFFPAVTGIMAGSNRSASLEDTQRSIPIGTLAATLTTTGLYLVSVLLFGAVATRDKLLTDRLLTATIAWPFPAIIHIGIILSTLGAALQSLTGAPRLLAAISNDDILPVLNYFKVAEGSEPYMATLFTAFICMGCVIIGNLDLITPTVTMFFLLCYSGVNLSCFLLDLLDAPSWRPRWKFHHWSFSLLGASLCIVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFINILDGDYHERAEDAKAACKQLDTYINYKNCEGVAEIVVAPNMSEGFRGVVQTMGLGNLKPNIVVMRYPEIWRRENLKEIPGRFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTDAEGLKADVKKFLYDLRMQAEVFVIAIKSWDVQPENGSQPDESKEAFTAAQQRVADYLAEIKETAKKEGTPLMADGKPVIVNEQQVEKFLYTTLKLNSTILRYSRMAAVVLVSLPPPPVSHPAYCYMEYMDLLVDNLPRLLMVRGYRRDVVTLFT >KJB47913 pep chromosome:Graimondii2_0_v6:8:6367849:6374612:-1 gene:B456_008G047100 transcript:KJB47913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGDLEGGGGDLAFHGKGGRKYSPVGAHDRAVLEMSSMDPGSSSDSKSCVRKNNAGTVGNLDSDGREGSNPENGGANGPYQEHKLELFGFDSLVSILGLKSMTGEQAPALSSPRDGEDVSITSGQPKPSAVKMGTMMGVFVPCLQSILGIIYYIRFSWIVGMGGIGDALLLVSLCGLCTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGALYVLGAVETFLKALPSAGIFTETTTKVNGTVSEPIQSISTHDLQIYGIVVTIILCFIVFGGVKMINRVAPAFLIPVLFSIFCIFIGIFLAKKDDPAPGVTGLSLESFKDNWSSDYKNTNSAGIPDAEGNVHWNFHALVGLFFPAVTGIMAGSNRSASLEDTQRSIPIGTLAATLTTTGLYLVSVLLFGAVATRDKLLTDRLLTATIAWPFPAIIHIGIILSTLGAALQSLTGAPRLLAAISNDDILPVLNYFKVAEGSEPYMATLFTAFICMGCVIIGNLDLITPTVTMFFLLCYSGVNLSCFLLDLLDAPSWRPRWKFHHWSFSLLGASLCIVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFINILDGDYHERAEDAKAACKQLDTYINYKNCEGVAEIVVAPNMSEGFRGVVQTMGLGNLKPNIVVMRYPEIWRRENLKEIPGRFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTDAEGLKADVKKFLYDLRMQAEVFVIAIKSWDVQPENGSQPDESKEAFTAAQQRVADYLAEIKETAKKEGTPLMADGKPVIVNEQQVEKFLYTTLKLNSTILRYSRMAAVVLVSLPPPPVSHPAYCYMEYMDLLVDNLPRLLMVRGYRRDVVTLFT >KJB51782 pep chromosome:Graimondii2_0_v6:8:51773301:51778767:-1 gene:B456_008G231500 transcript:KJB51782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYFSGSSEEDLLLESETPMVSVEIGHGSVLIRHPSSIARDEESEASSLSVENKQYSTNEAYSHSSTFPVYSDSKKAKNPAEQGMQHEQLKRDKAQNEKSLMLESQKSPLCNIDLNDILNFEEFVKHLTNEEQQQLLQYLPPLDVAKLPDSLQSMFESSQFKENLCYFQQLLAEGVFNISVPGVKAEDCRTLKRLALFNLTKSQWVERRHVLKRCQDSIGAPVVAKGPTATTSNNLVIMKRSRDSQSQNFPEARTIKCPKRVVMKATCENKEVIDNDGSCFSPRSLFALPPDGSSLLLDSLHFVNESSDQDLLLDVPPNGSFLQAELLHPTLSFGQQASTSSSSARSHHVHP >KJB51785 pep chromosome:Graimondii2_0_v6:8:51773301:51778767:-1 gene:B456_008G231500 transcript:KJB51785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARVEPDDYEDRRTSRVKNISIKKNKEIKLLKSKANHGTAVVAPDYNLGFRKFVDEDSSNRSSSGSAISNSESCAQFGSADASDLTGPAQSNVWESMVPSKKRTFVNRPKQSPLEKLTKDLYTILHEQQSSYFSGSSEEDLLLESETPMVSVEIGHGSVLIRHPSSIARDEESEASSLSVENKQYSTNEAYSHSSTFPVYSDSKKAKNPAEQGMQHEQLKRDKAQNEKSLMLESQKSPLCNIDLNDILNFEEFVKHLTNEEQQQLLQYLPPLDVAKLPDSLQSMFESSQFKENLCYFQQLLAEGVFNISVPGVKAEDCRTLKRLALFNLTKSQWVERRHVLKRCQDSIGAPVVAKGPTATTSNNLVIMKRSRDSQSQNFPEARTIKCPKRVVMKATCENKEVIDNDGSCFSPRSLFALPPDGSSLLLDSLHFVNESSDQDLLLDVPPNGSFLQAELLHPTLSFGQQASTSSSSARSHHVHP >KJB51784 pep chromosome:Graimondii2_0_v6:8:51773725:51778233:-1 gene:B456_008G231500 transcript:KJB51784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARVEPDDYEDRRTSRVKNISIKKNKEIKLLKSKANHGTAVVAPDYNLGFRKFVDEDSSNRSSSGSAISNSESCAQFGSADASDLTGPAQSNVWESMVPSKKRTFVNRPKQSPLEKLTKDLYTILHEQQSSYFSGSSEEDLLLESETPMVSVEIGHGSVLIRHPSSIARDEESEASSLSVENKQYSTNEAYSHSSTFPVYSDSKAIKSLGHAIEKAKNPAEQGMQHEQLKRDKAQNEKSLMLESQKSPLCNIDLNDILNFEEFVKHLTNEEQQQLLQYLPPLDVAKLPDSLQSMFESSQFKENLCYFQQLLAEGVFNISVPGVKAEDCRTLKRLALFNLTKSQWVERRHVLKRCQDSIGAPVVAKGPTATTSNNLVIMKRSRDSQSQNFPEARTIKCPKRVVMKATCENKEVIDNDGSCFSPRSLFALPPDGSSLLLDSLHFVNESSDQDLLLDVPPNGSFLQAELLHPTLSFGQQASTSSSSARSHHVHP >KJB51786 pep chromosome:Graimondii2_0_v6:8:51773725:51776146:-1 gene:B456_008G231500 transcript:KJB51786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSKKRTFVNRPKQSPLEKLTKDLYTILHEQQSSYFSGSSEEDLLLESETPMVSVEIGHGSVLIRHPSSIARDEESEASSLSVENKQYSTNEAYSHSSTFPVYSDSKKAKNPAEQGMQHEQLKRDKAQNEKSLMLESQKSPLCNIDLNDILNFEEFVKHLTNEEQQQLLQYLPPLDVAKLPDSLQSMFESSQFKENLCYFQQLLAEGVFNISVPGVKAEDCRTLKRLALFNLTKSQWVERRHVLKRCQDSIGAPVVAKGPTATTSNNLVIMKRSRDSQSQNFPEARTIKCPKRVVMKATCENKEVIDNDGSCFSPRSLFALPPDGSSLLLDSLHFVNESSDQDLLLDVPPNGSFLQAELLHPTLSFGQQASTSSSSARSHHVHP >KJB51783 pep chromosome:Graimondii2_0_v6:8:51773725:51776146:-1 gene:B456_008G231500 transcript:KJB51783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSKKRTFVNRPKQSPLEKLTKDLYTILHEQQSSYFSGSSEEDLLLESETPMVSVEIGHGSVLIRHPSSIARDEESEASSLSVENKQYSTNEAYSHSSTFPVYSDSKAIKSLGHAIEKAKNPAEQGMQHEQLKRDKAQNEKSLMLESQKSPLCNIDLNDILNFEEFVKHLTNEEQQQLLQYLPPLDVAKLPDSLQSMFESSQFKENLCYFQQLLAEGVFNISVPGVKAEDCRTLKRLALFNLTKSQWVERRHVLKRCQDSIGAPVVAKGPTATTSNNLVIMKRSRDSQSQNFPEARTIKCPKRVVMKATCENKEVIDNDGSCFSPRSLFALPPDGSSLLLDSLHFVNESSDQDLLLDVPPNGSFLQAELLHPTLSFGQQASTSSSSARSHHVHP >KJB48942 pep chromosome:Graimondii2_0_v6:8:26194262:26201648:1 gene:B456_008G096000 transcript:KJB48942 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MAFPAKKFITSSFFGFTLLVALVAFLLKFNSSQKLFTVEELALYNGTDDSLPILLGILGSVFDVTKGKSHYGAGGGYSHFAGRDASRAFVSGNFTGDGLTDSLRGLSSTEVHSVVGWRDFYFRSYKFVGRLVGRYYDSQGNPTKYLKGAEAKAARGAQLMEKQKEMEAKQPSCNSRWSQDDGGEVWCDNGFPRLVQRPLEIALTGKMSKRCACYNEDQLGQPGLEVYSGCDYLAKRCRV >KJB48943 pep chromosome:Graimondii2_0_v6:8:26194276:26201636:1 gene:B456_008G096000 transcript:KJB48943 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MAFPAKKFITSSFFGFTLLVALVAFLLKFNSSQKLFTVEELALYNGTDDSLPILLGILGSVFDVTKGKSHYGAGGGYSHFAGRDASRAFVSGNFTGYDAKYQLKKEVLKTDEGDGLTDSLRGLSSTEVHSVVGWRDFYFRSYKFVGRLVGRYYDSQGNPTKYLKGAEAKAARGAQLMEKQKEMEAKQPSCNSRWSQDDGGEVWCDNGFPRLVQRPLEIALTGKMSKRCACYNEDQLGQPGLEVYSGCDYLAKRCRV >KJB48944 pep chromosome:Graimondii2_0_v6:8:26194276:26201636:1 gene:B456_008G096000 transcript:KJB48944 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MAFPAKKFITSSFFGFTLLVALVAFLLKFNSSQKLFTVEELALYNGTDDSLPILLGILGSVFDVTKGKSHYGAGGGYSHFAGRDASRAFVSGNFTGLTSGYDAKYQLKKEVLKTDEGDGLTDSLRGLSSTEVHSVVGWRDFYFRSYKFVGRLVGRYYDSQGNPTKYLKGAEAKAARGAQLMEKQKEMEAKQPSCNSRWSQDDGGEVWCDNGFPRLVQRPLEIALTGKMSKRCACYNEDQLGQPGLEVYSGCDYLAKRCRV >KJB52468 pep chromosome:Graimondii2_0_v6:8:54351669:54352922:1 gene:B456_008G263300 transcript:KJB52468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEKQYLDWILVPMGILLMVTYHLWLLYRILKHPTKTVIGVNAINRRFWVQAMMEDGSKGVLAVQSLRNNIMASTLLASTAIMLSSLIAILMTSGSSDRSSWFLFGDRSEFAFSIKFFAILVCFMVAFLLNLQSIRYYSHASILINVPLKKMAHRHQHLTAEYVANTVNRGSYFWSLGLRAFYFSFPLFLWIFGPLPMFFSCIALVFLLYFLDITFKFGWAVGAADDNGHNGDEELGASSTRP >KJB49140 pep chromosome:Graimondii2_0_v6:8:30612375:30612413:-1 gene:B456_008G1024001 transcript:KJB49140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLHKDGKDREIN >KJB49141 pep chromosome:Graimondii2_0_v6:8:30612375:30613535:-1 gene:B456_008G1024001 transcript:KJB49141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLHKDGKDREIN >KJB52505 pep chromosome:Graimondii2_0_v6:8:54464253:54465443:-1 gene:B456_008G265600 transcript:KJB52505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFFVHVAVDVKFMVFWVVWTVARFSGRADVEPGRCRRTDGKKWRCSRDAAPDQKYCERHLHRGRPRSRKPVELPNKKTRHTLSQAHPSSSSTTRPQFVAQPLNQNQTTCFLGQPSDKAAPFWPFTSVSFYKETRTSDWDMNELIPLADQQWHHLMQIGTATEGSLFNAVNQSYGKEPLNLISCPNFGPAEDQQRNTSPWLLNPEIVPVEKSPPRGFIDAWSTAVSDDHLANTGTETSVNGKLTLSSLSLSMGINSFRDNETGPIRMGLGVCEYDQENHEYASKCHLSSWLAPGSTPGGPLAEVLRPSATASSPVAGNGNSCSPAMTAVSSPSGVLQKALASWSDSSGSSSPTIVCSRAKPEIGLMLA >KJB52503 pep chromosome:Graimondii2_0_v6:8:54463982:54466631:-1 gene:B456_008G265600 transcript:KJB52503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMANCDNHHHRSFPSYVVGYGDVDADDGPTGNNNNRPKTSNIYDVLASSDSSSGFAATVASGGAVGVRILQQPFDISPTSTTTAHTAFKSPGGMAASLEFPFTFSQYKELQRQVMIFKYMKASIAVPLDLLIPTIGSPSVSVASYSAMARFSGRADVEPGRCRRTDGKKWRCSRDAAPDQKYCERHLHRGRPRSRKPVELPNKKTRHTLSQAHPSSSSTTRPQFVAQPLNQNQTTCFLGQPSDKAAPFWPFTSVSFYKETRTSDWDMNELIPLADQQWHHLMQIGTATEGSLFNAVNQSYGKEPLNLISCPNFGPAEDQQRNTSPWLLNPEIVPVEKSPPRGFIDAWSTAVSDDHLANTGTETSVNGKLTLSSLSLSMGINSFRDNETGPIRMGLGVCEYDQENHEYASKCHLSSWLAPGSTPGGPLAEVLRPSATASSPVAGNGNSCSPAMTAVSSPSGVLQKALASWSDSSGSSSPTIVCSRAKPEIGLMLA >KJB52504 pep chromosome:Graimondii2_0_v6:8:54464022:54466427:-1 gene:B456_008G265600 transcript:KJB52504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLEFPFTFSQYKELQRQVMIFKYMKASIAVPLDLLIPTIGSPSVSVASYSAMARFSGRADVEPGRCRRTDGKKWRCSRDAAPDQKYCERHLHRGRPRSRKPVELPNKKTRHTLSQAHPSSSSTTRPQFVAQPLNQNQTTCFLGQPSDKAAPFWPFTSVSFYKETRTSDWDMNELIPLADQQWHHLMQIGTATEGSLFNAVNQSYGKEPLNLISCPNFGPAEDQQRNTSPWLLNPEIVPVEKSPPRGFIDAWSTAVSDDHLANTGTETSVNGKLTLSSLSLSMGINSFRDNETGPIRMGLGVCEYDQENHEYASKCHLSSWLAPGSTPGGPLAEVLRPSATASSPVAGNGNSCSPAMTAVSSPSGVLQKALASWSDSSGSSSPTIVCSRAKPEIGLMLA >KJB51895 pep chromosome:Graimondii2_0_v6:8:52268635:52273612:-1 gene:B456_008G236800 transcript:KJB51895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKSNHSSPVLTDPIPVNNSRLGIHSNLLSYQQSGGSLSSGKYMTIPRKKPGKLEDVWSNGWLEAMRSSSPPRKRLIKDKDFTIEAAADDFDIAYCSWMIKYPSALKSFDQILKNAKNKKVAVFLDYDGTLSPIVDDPDRAFMSDSMRSAVRDVAKYFPTAIISGRSRDKVYELVGLTELYYAGSHGMDIMGPMSHAESGDHPNCIRSTDQQGKEVNLFQPAREFIPMIDEVFKTLVENTKDIKGANVENHKFCTSVHYRNVEEKNWPTIAQRVHDILKDYPRLRLTHGRKVLEIRPVIDWNKGKAVEFLLESLGLSDRDDVLAIYIGDDKTDEDAFKVFRERNLGYGILVSSVPKESNAFFSVRDPSEVKKFLKALVTWKKFEKA >KJB51896 pep chromosome:Graimondii2_0_v6:8:52268691:52271305:-1 gene:B456_008G236800 transcript:KJB51896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNMQIKYPSALKSFDQILKNAKNKKVAVFLDYDGTLSPIVDDPDRAFMSDSMRSAVRDVAKYFPTAIISGRSRDKVYELVGLTELYYAGSHGMDIMGPMSHAESGDHPNCIRSTDQQGKEVNLFQPAREFIPMIDEVFKTLVENTKDIKGANVENHKFCTSVHYRNVEEKNWPTIAQRVHDILKDYPRLRLTHGRKVLEIRPVIDWNKGKAVEFLLESLGLSDRDDVLAIYIGDDKTDEDAFKVFRERNLGYGILVSSVPKESNAFFSVRDPSEVKKFLKALVTWKKFEKA >KJB51172 pep chromosome:Graimondii2_0_v6:8:49013742:49014412:-1 gene:B456_008G204900 transcript:KJB51172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRVEEAMRRQQNPNGKKEHLHQQSPAALQKQPSMIQCNKGKTSKFKRSSSNLEEDGASSAILLLACIACTPSY >KJB50987 pep chromosome:Graimondii2_0_v6:8:48152604:48158438:-1 gene:B456_008G197100 transcript:KJB50987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSESKAADSKANRIARWRSTGIVALREAKLKTFPDEVLDLDKSVRTLDLTQNKLVEIPMEISELVNMQRLILASNHIEQLPSTMGNLQSLKAMILDGNRITSLPDELGELVKLEKLSISGNMLMSLPNTIGSLRNLSLLNVSNNKLKYLPESIGICSSLEELQANDNLIEELPASVCNLVQLKSLSLNNNKVNQIPPNILKDCKALQNFSLHDNPISMSQFQQMDGFEEFEARRKKKFDKQLDSNVMIGSKGLDEGVDL >KJB50991 pep chromosome:Graimondii2_0_v6:8:48153245:48157109:-1 gene:B456_008G197100 transcript:KJB50991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILILNRVYLLQLNRCRVHVYFLGLRPLVSGNYISESSRVGTFIPYWLRNIVVQTFPDEVLDLDKSVRTLDLTQNKLVEIPMEISELVNMQRLILASNHIEQLPSTMGNLQSLKAMILDGNRITSLPDELGELVKLEKLSISGNMLMSLPNTIGSLRNLSLLNVSNNKLKYLPESIGICSSLEELQANDNLIEELPASVCNLVQLKSLSLNNNKVNQIPPNILKDCKALQNFSLHDNPISMSQFQQMDGFEEFEARRKKKFDKQLDSNVMIGSKGLDEGVDL >KJB50990 pep chromosome:Graimondii2_0_v6:8:48152840:48156984:-1 gene:B456_008G197100 transcript:KJB50990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGYRYEVEIPMEISELVNMQRLILASNHIEQLPSTMGNLQSLKAMILDGNRITSLPDELGELVKLEKLSISGNMLMSLPNTIGSLRNLSLLNVSNNKLKYLPESIGICSSLEELQANDNLIEELPASVCNLVQLKSLSLNNNKVNQIPPNILKDCKALQNFSLHDNPISMSQFQQMDGFEEFEARRKKKFDKQLDSNVMIGSKGLDEGVDL >KJB50989 pep chromosome:Graimondii2_0_v6:8:48152840:48156605:-1 gene:B456_008G197100 transcript:KJB50989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISELVNMQRLILASNHIEQLPSTMGNLQSLKAMILDGNRITSLPDELGELVKLEKLSISGNMLMSLPNTIGSLRNLSLLNVSNNKLKYLPESIGICSSLEELQANDNLIEELPASVCNLVQLKSLSLNNNKVNQIPPNILKDCKALQNFSLHDNPISMSQFQQMDGFEEFEARRKKKFDKQLDSNVMIGSKGLDEGVDL >KJB50986 pep chromosome:Graimondii2_0_v6:8:48152840:48156920:-1 gene:B456_008G197100 transcript:KJB50986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISELVNMQRLILASNHIEQLPSTMGNLQSLKAMILDGNRITSLPDELGELVKLEKLSISGNMLMSLPNTIGSLRNLSLLNVSNNKLKYLPESIGICSSLEELQANDNLIEELPASVCNLVQLKSLSLNNNKVNQIPPNILKDCKALQNFSLHDNPISMSQFQQMDGFEEFEARRKKKFDKQLDSNVMIGSKGLDEGVDL >KJB50988 pep chromosome:Graimondii2_0_v6:8:48152604:48156984:-1 gene:B456_008G197100 transcript:KJB50988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISELVNMQRLILASNHIEQLPSTMGNLQSLKAMILDGNRITSLPDELGELVKLEKLSISGNMLMSLPNTIGSLRNLSLLNVSNNKLKYLPESIGICSSLEELQANDNLIEELPASVCNLVQLKSLSLNNNKVNQIPPNILKDCKALQNFSLHDNPISMSQFQQMDGFEEFEARRKKKFDKQLDSNVMIGSKGLDEGVDL >KJB50992 pep chromosome:Graimondii2_0_v6:8:48152840:48157135:-1 gene:B456_008G197100 transcript:KJB50992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILILNRVYLLQLNRCRVHVYFLGLRPLVSGNYISESSRVGTFIPYWLRNIVVQTFPDEVLDLDKSVRTLDLTQNKLVEIPMEISELVNMQRLILASNHIEQLPSTMGNLQSLKAMILDGNRITSLPDELGELVKLEKLSISGNMLMSLPNTIGSLRNLSLLNVSNNKLKYLPESIGICSSLEELQANDTS >KJB52989 pep chromosome:Graimondii2_0_v6:8:56260623:56262754:1 gene:B456_008G287100 transcript:KJB52989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPSSSSSSSLKKTSTSCSEDEGDHHQQQLRRGPWTIEEDSVLVHYIARHGEGRWNFLAKHAGLRRTGKSCRLRWLNYLKPDVKRGNLTAEEQFLILELHSKLGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARQLNIDANSAAFKNILRYYWMPRLVQKMEESSSPCFSTTVIPRNQPLMKTDYVDGNSCVSSLEHVDDMKMSQFGIFGSNDCNSNALAKDWYGYVGDNGSYCHGMETINMASTSALVGEGFPTPAADCHLADDNWVNDGFVDGIWSMGELWELRNALH >KJB52988 pep chromosome:Graimondii2_0_v6:8:56260877:56262754:1 gene:B456_008G287100 transcript:KJB52988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPSSSSSSSLKKTSTSCSEDEGDHHQQQLRRGPWTIEEDSVLVHYIARHGEGRWNFLAKHAGLRRTGKSCRLRWLNYLKPDVKRGNLTAEEQFLILELHSKLGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARQLNIDANSAAFKNILRYYWMPRLVQKMEESSSPCFSTTVIPRNQPLMKTDYVDGNSCVSSLEHVDDMKMSQFGIFGSNDCNSNALAKDWYGYVGDNGSYCHGMETINMASTSALVGEGFPTPAADCHLADDNWVNDGFVDGIWSMGELWELRNALH >KJB48819 pep chromosome:Graimondii2_0_v6:8:20129003:20134302:1 gene:B456_008G088500 transcript:KJB48819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGLVYWILTCFVFSIVCFFNIVQSTNAQGFLSIACCVQSSFTDKNLTWIPDDQWFTNRKGCKNLNQGNQSARIFEIEWGKRCYSLPTVKDQDYLVRGSFPVVETEGAAEFESSFTVSIGSTPLSVVNSSADLVVEGIFRAANSYTDFCLVHGKGDPYISSLELRPFNDSGYLNDKSSNILKVVNRTDLGGFGETRYPEDRYDRIWKPASSLYSRAANSTVIIHNNVNTTVPLNVLRTAVTDSTRLEFLQNDLDNGDYNYTVILYFLELDDSVRIGQRVFDIFINNEKKADNFDILAKGSNYGELVFNVTAKGSLNLTLDKGSNGSELGPICNAFEMLRVRQRDQETDYNDVVEIKKVKEELLMPNKGNDLLETWSGDPCLPDHWPGLACNSFNGSTVITDMDLSSNQFQGSIPPSITKLTHLKTLNLSNNDFSGEIPTFPPSSDLTSVDISYNELEGSVPQSLVSLPHLSTLNYGCNSQLDNDLPSTLNSSKLTTDSGACSRKSRGPTKGIVIGAAACGSAVVTIALGTILVCLYRKKLMARRKYNGKGLSLAKNVVFSLPSTDEVFVKPISIQTYTLQYIEMATEKYKTLIGEGGFGSVYRGTLPDGQEVAVKVRSATSTQGTREFENELNLLSAIRHENLVPLLGYCCENDQQILVYPFMSNGSLQDRLYGEAAKRKILDWPTRLSIALGAARGLMYLHTYGGRSVIHRDVKSSNILLDDSMSAKVADFGFSKYAPQEGDSNASLEVRGTAGYMDPEYYSTQQLSAKSDVFSFGVVLLEIISGREPLNIQRPRNEWSLVEWAKPYIRESKIDEIVDPNIKGGYHAEAMWRVVEAALACIEPFSAYRPCMEDIVRELEDALIIENNASEYMKSIDSIYSLGGSNRFSIVMEKKIVVPPTPTASEPSTTNPQTMAPPEPR >KJB48372 pep chromosome:Graimondii2_0_v6:8:10488803:10490233:-1 gene:B456_008G065400 transcript:KJB48372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPVEPPNGEKPMGKHYYTMWQTLFEVDTKYVPIKPIGRGSYGIVCSSINRETNEKVAIKKISNVFQNHVDALRTLRELKLLRQIRHDNVVALKDIMMPTQRTSFKDVYLVYELMDTDLLQIIKSSQPLSNDHCKYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSRGNEQFMTEYVVTRWYRSPELLLCCDNYGTSIDVWSVGCIFAEILGRRPVFPGTGCLNQLNLIIGVLGSQQEADLQFIDNPKARRYIESLPFSTGIHLSRLYPQADPLAIDLLQRMLIFDPSKRITVTEALQHPYMATLYDPRCNPPARVPIHLDIDENMGEEMIRGMMLSEMLHYHPEAASTNAYTTLF >KJB48373 pep chromosome:Graimondii2_0_v6:8:10488803:10490465:-1 gene:B456_008G065400 transcript:KJB48373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPVEPPNGEKPMGKHYYTMWQTLFEVDTKYVPIKPIGRGSYGIVCSSINRETNEKVAIKKISNVFQNHVDALRTLRELKLLRQIRHDNVVALKDIMMPTQRTSFKDVYLVYELMDTDLLQIIKSSQPLSNDHCKYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSRGNEQFMTEYVVTRWYRSPELLLCCDNYGTSIDVWSVGCIFAEILGRRPVFPGTGCLNQLNLIIGVLGSQQEADLQFIDNPKARRYIESLPFSTGIHLSRLYPQADPLAIDLLQRMLIFDPSKRITVTEALQHPYMATLYDPRCNPPARVPIHLDIDENMGEEMIRGMMLSEMLHYHPEAASTNAYTTLF >KJB48371 pep chromosome:Graimondii2_0_v6:8:10488803:10491080:-1 gene:B456_008G065400 transcript:KJB48371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPVEPPNGEKPMGKHYYTMWQTLFEVDTKYVPIKPIGRGSYGIVCSSINRETNEKVAIKKISNVFQNHVDALRTLRELKLLRQIRHDNVVALKDIMMPTQRTSFKDVYLVYELMDTDLLQIIKSSQPLSNDHCKYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSRGNEQFMTEYVVTRWYRSPELLLCCDNYGTSIDVWSVGCIFAEILGRRPVFPGTGCLNQLNLIIGVLGSQQEADLQFIDNPKARRYIESLPFSTGIHLSRLYPQADPLAIDLLQRMLIFDPSKRITVTEALQHPYMATLYDPRCNPPARVPIHLDIDENMGEEMIRGMMLSEMLHYHPEAASTNAYTTLF >KJB50321 pep chromosome:Graimondii2_0_v6:8:43150605:43151067:1 gene:B456_008G163500 transcript:KJB50321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFSFNLLIFAIILIFATHSEMMAEARGPVISCRCSKTEDCHGICVACPNYKCINNLCTCLLDAPPFP >KJB47653 pep chromosome:Graimondii2_0_v6:8:4217250:4219864:1 gene:B456_008G035100 transcript:KJB47653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIVKQILARPIQVADLIVKAADEAQSFKQDCLELKTKAEKISALLRQAARASNELYERPTRRIVDDTEQVLEKALALVLKCGATGFIKRVFTIIPAGAFRKLAIQLENSIGDVSWLLRVSSSDGEHDDEYLGLPPIAANEPILCLIWEQIAILYKGTVEERSDASASLVSLARDNDRYGKLIVEEGGIPPLLKLLKEAKPEGQENAARAIGLLGLDPECVEQIVNAGAGSVFAKILKEGDMKVQSLVAWAVSELAAHYPKCQDHFAQNNIIRFLVSHLAFETVQEHSKYSITSKQAMSGNPGGAEPNQMHNVVVNSMAMRSQVPEGPKLNKPHSSSGSHHLPPKGNLQPAKSNQHHHHHHHPQGHHVSLSGTSIKGREFEDPATKAQMKAMAARALWQLCVGNLGICRTITESRALLCFAILLEKGSEDVRSYSSMALMEITAVAEQNPDLRRSSFKPTAPAAKAVVDQMLKVIQKAEDTDLLVPCIKSIGNLARTFRATETRMIEPLVKLLDEREADITVEAAIALNKFATPDNYLHAIHSKAIISAGGAKHLIQLVYFGEQMVQFPSLTLLCYLTYNVPDSDSLAKEEVLIVLEWASKQAHLMGDPNIAELLPEAKSRLELYQTRGSRGVH >KJB48990 pep chromosome:Graimondii2_0_v6:8:26355034:26356719:-1 gene:B456_008G0964002 transcript:KJB48990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TYNTLRHSYCRKGKLSEENVIINEMMRNGCVPNTYTCNILLHSRWKEGKILEAEELLQKMNEKGYGVDTMTCNIVIDGLCKSGKLDKAMEIAHEMWTHGSASLGNIGNSFVGLVDVTYSIIISALCKAGKIDEAKKKFREMMGKNLQPDAVIFDTFIHIFCKEGKISSAFRVLKDMEKKGCNKSVQTYNSLILGLGSKNQIFEIYGLVDEMRERGITPNVCIYNNIIQSLCKNGKIQDTTSILDDMLQMGINPNISTFRMLIEAFCKASDFGVAKELFEIGLSICGHKEAFYSLMFNELLSGGLLSEAKMIFEAVLDRSFHLGNFLYKDLIEKLCKDGKLEEASGILHKLIIKGYKFDPASFMPVVDDLGKRGNKHEADELAEKMLEMASDGRVENKISRKPKELIHRKETKYVEITGKQ >KJB47335 pep chromosome:Graimondii2_0_v6:8:2498189:2501068:-1 gene:B456_008G021900 transcript:KJB47335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGAGSLSRSVMSAARAPSLRTPPPMPRVRPPTSSAPRLQSRRLSFAPSRNLGELGCVQSFLPLHNLVPMACLTSHLTVSVRACCELSHGT >KJB47337 pep chromosome:Graimondii2_0_v6:8:2499743:2501068:-1 gene:B456_008G021900 transcript:KJB47337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGAGSLSRSVMSAARAPSLRTPPPMPRVRPPTSSAPRLQSRRLSFAPSRNLGELGCVQSFLPLHNLVPMACLTSHLTVSVRACCELSHGTFCRSCQDR >KJB47336 pep chromosome:Graimondii2_0_v6:8:2498215:2501068:-1 gene:B456_008G021900 transcript:KJB47336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGAGSLSRSVMSAARAPSLRTPPPMPRVRPPTSSAPRLQSRRLSFAPSRNLGELGCVQSFLPLHNLVPMACLTSHLTVSVRACCELSHGRNGKDG >KJB52778 pep chromosome:Graimondii2_0_v6:8:55399216:55400742:1 gene:B456_008G276200 transcript:KJB52778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQPNTGLFVGLNKGHVVTKKELAPRPSNRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAHGGGAEKKK >KJB52776 pep chromosome:Graimondii2_0_v6:8:55398957:55400742:1 gene:B456_008G276200 transcript:KJB52776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKSSNWVLKSRYSKKSRIQNPNFNSASDIPVSTGMATKQPNTGLFVGLNKGHVVTKKELAPRPSNRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAHGGGAEKKK >KJB52777 pep chromosome:Graimondii2_0_v6:8:55398957:55400742:1 gene:B456_008G276200 transcript:KJB52777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKSSNWVLKSRYSKKSRIQNPNFNSASDIPVSTAGMATKQPNTGLFVGLNKGHVVTKKELAPRPSNRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAHGGGAEKKK >KJB52779 pep chromosome:Graimondii2_0_v6:8:55398968:55400765:1 gene:B456_008G276200 transcript:KJB52779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQPNTGLFVGLNKGHVVTKKELAPRPSNRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAHGGGAEKKK >KJB48055 pep chromosome:Graimondii2_0_v6:8:7235534:7238275:1 gene:B456_008G051600 transcript:KJB48055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSLVHLRLHWCELKGLPLTLPSINFTSLSVLDLSENSFNSLIPPWLFNLTGLTELYLTWDFFSGSIPAEFANLKNLQVLDLSDNLNLEGQIPGLLGNLSKLQLLDLSANNFHGDIYGLFSGFSSNPNSKLESLDLSSNSLTGELPESLGLLKHLQHLDLSSNSFWGSIPSSIGSLSALRELDLSYNTMNGTIPESLGQLSGLVDMNLMANSWKGILKETHFMNLRKLQHVRLTTEPTRPLAFSASHGWFPPFKLKSIQLENCMIGPSFPVWLQVQNELTSVVLKNVSISDTIPGKWFSQLSAQLTYLVLSQDQIRGELPRHLNYPYLNLIDLSYNNFEGPFPSWSTNATDVILDENSFSGPIPENIGALMPRLQKLYVSRNHLSGRIPPSMCDIEGLNIISLRNNKLSGELPNCWHRLMLWGIDISNNSLTGNIPNSFGFLPSLSVLLLSNNNLDGEIPSSLRNCTGLTSMDLGGNKLSGSLPLWVQYMSSLFMLRLGSNLLSGSIPDQVCRLQNLHILDLSQNKISGPIPKCISNLRALVHGKGSEVFQRLIREVTRGRDPEYSNVVANVNSIELSGNNLTGEIPYEMTNLLALRILDLSHNHLSGAIPKSLTSVASLTRLNLSYNNLSGSIPFSPRFNDSSIYEGNPLLCGAPLPTKCPLPRPRK >KJB48054 pep chromosome:Graimondii2_0_v6:8:7235362:7238444:1 gene:B456_008G051600 transcript:KJB48054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKPNFSSTYPLLVSFLLSLFLLYSNSKFVVCSTDIKCTDTDRRALVVLREGLTDPSGRLSSWIGEDCCTWEGIKCHNQTGRVTKLDLRNPYHLIGAVDPPTYKRSCLGGKINPSLIRLEYLTSLDLSLNDFEGLEIPGFFGELKNLRYLNLSYASFAGEIPASLGNLSNLQYLDLYADSYSNTGPRELRSQSLEWLTPLSSLKYINLGFVKLDSIGDHWLQTFNMLPSLVHLRLHWCELKGLPLTLPSINFTSLSVLDLSENSFNSLIPPWLFNLTGLTELYLTWDFFSGSIPAEFANLKNLQVLDLSDNLNLEGQIPGLLGNLSKLQLLDLSANNFHGDIYGLFSGFSSNPNSKLESLDLSSNSLTGELPESLGLLKHLQHLDLSSNSFWGSIPSSIGSLSALRELDLSYNTMNGTIPESLGQLSGLVDMNLMANSWKGILKETHFMNLRKLQHVRLTTEPTRPLAFSASHGWFPPFKLKSIQLENCMIGPSFPVWLQVQNELTSVVLKNVSISDTIPGKWFSQLSAQLTYLVLSQDQIRGELPRHLNYPYLNLIDLSYNNFEGPFPSWSTNATDVILDENSFSGPIPENIGALMPRLQKLYVSRNHLSGRIPPSMCDIEGLNIISLRNNKLSGELPNCWHRLMLWGIDISNNSLTGNIPNSFGFLPSLSVLLLSNNNLDGEIPSSLRNCTGLTSMDLGGNKLSGSLPLWVQYMSSLFMLRLGSNLLSGSIPDQVCRLQNLHILDLSQNKISGPIPKCISNLRALVHGKGSEVFQRLIREVTRGRDPEYSNVVANVNSIELSGNNLTGEIPYEMTNLLALRILDLSHNHLSGAIPKSLTSVASLTRLNLSYNNLSGSIPFSPRFNDSSIYEGNPLLCGAPLPTKCPLPRPRK >KJB50654 pep chromosome:Graimondii2_0_v6:8:45832716:45835718:1 gene:B456_008G181000 transcript:KJB50654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLGVDRLVKPEAVQPEESDAGPSTEVPGSSCLLESKEKGVFNGEEEEEPLIQSAECRICQDEDSIKNLETPCACSGSLKPYEPGYTALPRTQTEETSIDIGGVWTISGTPLDLRDPRLLAIAEAERQFLETEYDEYAATNASGAAFCRSAALILMGLLLLRHALNVPDPDSDEDLSTFFSLFFIRAAVFLLPCYIMAWAISILQQRRQRQEVAAAATQVAFVLQSGQHRGMHFTIASGTTVSPQQGSV >KJB50653 pep chromosome:Graimondii2_0_v6:8:45832716:45835718:1 gene:B456_008G181000 transcript:KJB50653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAALVQRERQYKVRDMSSPYEPGYTALPRTQTEETSIDIGGVWTISGTPLDLRDPRLLAIAEAERQFLETEYDEYAATNASGAAFCRSAALILMGLLLLRHALNVPDPDSDEDLSTFFSLFFIRAAVFLLPCYIMAWAISILQQRRQRQEVAAAATQVAFVLQSGQHRGMHFTIASGTTVSPQQGSV >KJB50652 pep chromosome:Graimondii2_0_v6:8:45833564:45835315:1 gene:B456_008G181000 transcript:KJB50652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAALVQRERQYKVRDMSSPYEPGYTALPRTQTEETSIDIGGVWTISGTPLDLRDPRLLAIAEAERQFLETEYDEYAATNASGAAFCRSAALILMGLLLLRHALNVPDPDSDEDLSTFFSLFFIRAAVFLLPCYIMAWAISILQQRRQRQEVAAAATQVAFVLQSGQHRGMHFTIASGTTVSPQQGSV >KJB47685 pep chromosome:Graimondii2_0_v6:8:4667809:4671425:1 gene:B456_008G037400 transcript:KJB47685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMSKWFTLTPFMSNRFSKRVGFSNSPGSVRAHVNGGGDDTNNSKNNDKKKKVVVVGSGWAGLGAAHHLCKQGFDVTVLDGGDGIGSPDNVGIQGFWYPYKNIFSLVDELAIKPFTSWTRSAQYSGEGLEVEFPVYQELPQLPTPLGTLYHTQFVRLPLVDRLTSLPLMAAVIDFDNTDVAWKKYDSITARELFKRFGCSERLYQDIFGPLLQVGLFAPAEQCSAAATLGLLYYLTLAHQKHFDVAFCRGTTKQKIFEPWVESLKAKGCEMLEDKKVTDFNFDEETGCVTEVVCGNEAYSVDAVVVAVGITTLQETIKKSAALCKREEFLKVLNLAGIDVVTVKLWLDRKVCP >KJB47687 pep chromosome:Graimondii2_0_v6:8:4667809:4673493:1 gene:B456_008G037400 transcript:KJB47687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMSKWFTLTPFMSNRFSKRVGFSNSPGSVRAHVNGGGDDTNNSKNNDKKKKVVVVGSGWAGLGAAHHLCKQGFDVTVLDGGDGIGSPDNVGIQGFWYPYKNIFSLVDELAIKPFTSWTRSAQYSGEGLEVEFPVYQELPQLPTPLGTLYHTQFVRLPLVDRLTSLPLMAAVIDFDNTDVAWKKYDSITARELFKRFGCSERLYQDIFGPLLQVGLFAPAEQCSAAATLGLLYYLTLAHQKHFDVAFCRGTTKQKIFEPWVESLKAKGCEMLEDKKVTDFNFDEETGCVTEVVCGNEAYSVDAVVVAVGITTLQETIKKSAALCKREEFLKVLNLAGIDVVTVKLWLDRKVAIPNPSNACAGFDDLFGCTIFDLNVIHDEHKDDTETVLEADFYHANELLPLNDELVTEKVISYLSKYINDLESACVVAKEIGRFPKRLTHFFPGSYKYMMRGSTSFPNLFMAGDWIITRHGSWLQEKSYVTGLEAANRVVDYLEEGSFAKVIPVEEDEPHIEALRSLNRRLNEIISQVPLSSYFLQ >KJB47686 pep chromosome:Graimondii2_0_v6:8:4667809:4671655:1 gene:B456_008G037400 transcript:KJB47686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMSKWFTLTPFMSNRFSKRVGFSNSPGSVRAHVNGGGDDTNNSKNNDKKKKVVVVGSGWAGLGAAHHLCKQGFDVTVLDGGDGIGSPDNVGIQGFWYPYKNIFSLVDELAIKPFTSWTRSAQYSGEGLEVEFPVYQELPQLPTPLGTLYHTQFVRLPLVDRLTSLPLMAAVIDFDNTDVAWKKYDSITARELFKRFGCSERLYQDIFGPLLQVGLFAPAEQCSAAATLGLLYYLTLAHQKHFDVAFCRGTTKQKIFEPWVESLKAKGCEMLEDKKVTDFNFDEETGCVTEVVCGNEAYSVDAVVVAVGITTLQETIKKSAALCKREEFLKVLNLAGIDVVTVKLWLDRKVAIPNPSNACAGFDDLFGCTIFDLNVIHDEHKDDTETVLEADFVSSCIQTWVLFFSLEGKNF >KJB50681 pep chromosome:Graimondii2_0_v6:8:46011332:46014283:-1 gene:B456_008G182300 transcript:KJB50681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQV >KJB50679 pep chromosome:Graimondii2_0_v6:8:46010876:46013182:-1 gene:B456_008G182300 transcript:KJB50679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >KJB50680 pep chromosome:Graimondii2_0_v6:8:46010437:46014283:-1 gene:B456_008G182300 transcript:KJB50680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQIGLLIDSSTFGLVSMERQK >KJB50678 pep chromosome:Graimondii2_0_v6:8:46010437:46012730:-1 gene:B456_008G182300 transcript:KJB50678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLIFFILSGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >KJB48596 pep chromosome:Graimondii2_0_v6:8:14297935:14301033:1 gene:B456_008G076800 transcript:KJB48596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRFHFLRGFQSCSRLGSASFLVIMWSCFLSLISVSCLLYVLLSMGAAGAAVQYLGYTPGLFIVGLFNILVLWMYANFWIIGTLFIVGDVS >KJB47439 pep chromosome:Graimondii2_0_v6:8:3141096:3146140:-1 gene:B456_008G026600 transcript:KJB47439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAKNPPPQQLVSSEKEELGKEEEPKAEEQLPASTTAPNSGGGWGGWGFSAFSVLSDLQKAATVAAEEISRNASVVAEKAAKSIADMQIAEESESSKADEAEESPSEKESEDEDDKLRKSALDKLEKASGDSFLGQGLKVFDNSVENFASGAWQALGSAWKGGTNFVQKLEHSAVNITDSIQHGGLPAGSVAPSLIETGKAFTTKGMQVLEYVGKETMDLLINETGIEVEKNSKGTDHLSDEDQSVEEVSFDRCFYIYGGPEQLEELEALSSHYALLFNRRKAKLPSEQKSVYEGKLKQIQQIFSLDAEIDGNGPQSAKGKKIETGTDGSHDEMKILHDSSVSKAVDMAVGFTNALAGLAVNDVIQRTAGRLDSLHSEGVHKCAV >KJB47438 pep chromosome:Graimondii2_0_v6:8:3141096:3144637:-1 gene:B456_008G026600 transcript:KJB47438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASVVAEKAAKSIADMQIAEESESSKADEAEESPSEKESEDEDDKLRKSALDKLEKASGDSFLGQGLKVFDNSVENFASGAWQALGSAWKGGTNFVQKLEHSAVNITDSIQHGGLPAGSVAPSLIETGKAFTTKGMQVLEYVGKETMDLLINETGIEVEKNSKGTDHLSDEDQSVEEVSFDRCFYIYGGPEQLEELEALSSHYALLFNRRKAKLPSEQKSVYEGKLKQIQQIFSLDAEIDGNGPQSAKGKKIETGTDGSHDEMKILHDSSVSKAVDMAVGFTNALAGLAVNDVIQRTAGRLDSLHSEGVHRLSEMCCLAMSHLLMLGKSVISGSNKVQDEDADGDMMNIDWPEDSVEKAKLIRLKAQSMTGYVEAVSSSFITGIYDVAEAYLAAIKSVNADSHEALTKASIQEKANSFSEHLRSDQNIAVSKIQDGLQNLSYVVLSNSMPAA >KJB47440 pep chromosome:Graimondii2_0_v6:8:3141623:3146140:-1 gene:B456_008G026600 transcript:KJB47440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAKNPPPQQLVSSEKEELGKEEEPKAEEQLPASTTAPNSGGGWGGWGFSAFSVLSDLQKAATVAAEEISRNASVVAEKAAKSIADMQIAEESESSKADEAEESPSEKESEDEDDKLRKSALDKLEKASGDSFLGQGLKVFDNSVENFASGAWQALGSAWKGGTNFVQKLEHSAVNITDSIQHGGLPAGSVAPSLIETGKAFTTKGMQVLEYVGKETMDLLINETGIEVEKNSKGTDHLSDEDQSVEEVSFDRCFYIYGGPEQLEELEALSSHYALLFNRRKAKLPSEQKSVYEGKLKQIQQIFSLDAEIDGNGPQSAKGKKIETGTDGSHDEMKILHDSSVSKAVDMAVGFTNALAGLAVNDVIQRTAGRLDSLHSEGVHRLSEMCCLAMSHLLMLGKSVISGSNKVQDEDADGDMMNIDWPEDSVEKAKLIRLKAQSMTGYVEAVSSSFITG >KJB47437 pep chromosome:Graimondii2_0_v6:8:3141082:3146187:-1 gene:B456_008G026600 transcript:KJB47437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAKNPPPQQLVSSEKEELGKEEEPKAEEQLPASTTAPNSGGGWGGWGFSAFSVLSDLQKAATVAAEEISRNASVVAEKAAKSIADMQIAEESESSKADEAEESPSEKESEDEDDKLRKSALDKLEKASGDSFLGQGLKVFDNSVENFASGAWQALGSAWKGGTNFVQKLEHSAVNITDSIQHGGLPAGSVAPSLIETGKAFTTKGMQVLEYVGKETMDLLINETGIEVEKNSKGTDHLSDEDQSVEEVSFDRCFYIYGGPEQLEELEALSSHYALLFNRRKAKLPSEQKSVYEGKLKQIQQIFSLDAEIDGNGPQSAKGKKIETGTDGSHDEMKILHDSSVSKAVDMAVGFTNALAGLAVNDVIQRTAGRLDSLHSEGVHRLSEMCCLAMSHLLMLGKSVISGSNKVQDEDADGDMMNIDWPEDSVEKAKLIRLKAQSMTGYVEAVSSSFITGIYDVAEAYLAAIKSVNADSHEALTKASIQEKANSFSEHLRSDQNIAVSKIQDGLQNLSYVVLSNSMPAA >KJB52745 pep chromosome:Graimondii2_0_v6:8:55342810:55343660:-1 gene:B456_008G275400 transcript:KJB52745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVHPMPLQPHPPPTLFPSFQTYSSSPLARNKYTLHFPCSIEPDHTVAGRCNCNHCRDSQLVEMHSKDFVAIDRMGNHKLAELPVVLEPSREVHGTDQAHDTVTL >KJB53057 pep chromosome:Graimondii2_0_v6:8:56525367:56530928:-1 gene:B456_008G290800 transcript:KJB53057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGEENIISEDEAEIVDLMQRVEQLKKERSILWLREFKDWMDHASEDFADDGNFNAAMLHPGKENYKKGGKSERHLSESSRYVSDSVQASGDESSMNILESDNSFADTSGSVNANRYFDHIFSSGITGGFTLPGLRTMDVKHEYQKSYLHDEGSSGSVLAESSQRNIFALDESNRMVQNAVVSHLNTIGIMTESNSSSANPGSPPHYQKDLLHRRHNLVEEILQLSAESYSAASSDSDTSCSEDDYSEAGIPVQEYPNGSTKGHSPLHSFAHTYYEKGNNTSHGSQNGIGIIDSCTEQTLRINKIVSMNQSLQPYSKLDTGSNYPEISSFVNQEADWFEKRKSGRKPKRRVISLLEENSCQQVPQESNGTLEVSRVDIEDMKGKRSLNGSDHKKGFDKNQIKKAISIPQVDNAVRYSGAECSSQGKNDFIEDYFNKNVADLTVHEACRSYMRCNCMVDQPFCGEREVALVLSSEEKLYVLLVGVTFDGSESILDLLGSHRVEDIREVLVGLSLQVVRVYVKGSVAYLFVTRSIEKSSQLLFMLKASDSSTPNDKCSLRSLEQVQAELFEKQICGGLKLSIFQYSMVLFWQGGHEEEPWFSRSLFVIGGHVLVCVEDIFQFSSLLNNACSSPYFSLDSSCDIADISEMVIKQGETCCITLPIKSSTSKAGSSTKTQKRAGMSSKKWKLKWFSQESLSQFVALVKAIHLGMTLSPLLVRYKS >KJB53058 pep chromosome:Graimondii2_0_v6:8:56525367:56533399:-1 gene:B456_008G290800 transcript:KJB53058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVTGDRYLEKLVKFVDDQAGALIEGTKVLKLNPAGLHYVQSRLEALQELERLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTTLKVVSALPPPARDPTPLSLLPFGRLKVLELRGCDLSTSAAKGLLELRHTLEKIVCHNSTDALRHVFASRIAEIKGSPQWNRLSFVSCACNGLLLMDESLNLLPAVETLDLSRNKFAKVDNLRKCVKLKHLDLGFNQLRSISSFSEVSCHVVKLVLRNNSLTTLRGIENLKSLEGLDVSYNIISNFLELEFLGGLPSLRSLWLEGNPLCCARWYRAQVFSYFSCPENLKLDDKAISTREYWKRKIIVASRQKRPSSFGFYSPAKGAEGEEGINKKRRKASRLALIENEQDSSYICSDQDSLSCGNEMRSGEENIISEDEAEIVDLMQRVEQLKKERSILWLREFKDWMDHASEDFADDGNFNAAMLHPGKENYKKGGKSERHLSESSRYVSDSVQASGDESSMNILESDNSFADTSGSVNANRYFDHIFSSGITGGFTLPGLRTMDVKHEYQKSYLHDEGSSGSVLAESSQRNIFALDESNRMVQNAVVSHLNTIGIMTESNSSSANPGSPPHYQKDLLHRRHNLVEEILQLSAESYSAASSDSDTSCSEDDYSEAGIPVQEYPNGSTKGHSPLHSFAHTYYEKGNNTSHGSQNGIGIIDSCTEQTLRINKIVSMNQSLQPYSKLDTGSNYPEISSFVNQEADWFEKRKSGRKPKRRVISLLEENSCQQVPQESNGTLEVSRVDIEDMKGKRSLNGSDHKKGFDKNQIKKAISIPQVDNAVRYSGAECSSQGKNDFIEDYFNKNVADLTVHEACRSYMRCNCMVDQPFCGEREVALVLSSEEKLYVLLVGVTFDGSESILDLLGSHRVEDIREVLVGLSLQVVRVYVKGSVAYLFVTRSIEKSSQLLFMLKASDSSTPNDKCSLRRFRLSCLRNKYVEV >KJB53059 pep chromosome:Graimondii2_0_v6:8:56525367:56533535:-1 gene:B456_008G290800 transcript:KJB53059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVTGDRYLEKLVKFVDDQAGALIEGTKVLKLNPAGLHYVQSRLEALQELERLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTTLKVVSALPPPARDPTPLSLLPFGRLKVLELRGCDLSTSAAKGLLELRHTLEKIVCHNSTDALRHVFASRIAEIKGSPQWNRLSFVSCACNGLLLMDESLNLLPAVETLDLSRNKFAKVDNLRKCVKLKHLDLGFNQLRSISSFSEVSCHVVKLVLRNNSLTTLRGIENLKSLEGLDVSYNIISNFLELEFLGGLPSLRSLWLEGNPLCCARWYRAQVFSYFSCPENLKLDDKAISTREYWKRKIIVASRQKRPSSFGFYSPAKGAEGEEGINKKRRKASRLALIENEQDSSYICSDQDSLSCGNEMRSGEENIISEDEAEIVDLMQRVEQLKKERSILWLREFKDWMDHASEDFADDGNFNAAMLHPGKENYKKGGKSERHLSESSRYVSDSVQASGDESSMNILESDNSFADTSGSVNANRYFDHIFSSGITGGFTLPGLRTMDVKHEYQKSYLHDEGSSGSVLAESSQRNIFALDESNRMVQNAVVSHLNTIGIMTESNSSSANPGSPPHYQKDLLHRRHNLVEEILQLSAESYSAASSDSDTSCSEDDYSEAGIPVQEYPNGSTKGHSPLHSFAHTYYEKGNNTSHGSQNGIGIIDSCTEQTLRINKIVSMNQSLQPYSKLDTGSNYPEISSFVNQEADWFEKRKSGRKPKRRVISLLEENSCQQVPQESNGTLEVSRVDIEDMKGKRSLNGSDHKKGFDKNQIKKAISIPQVDNAVRYSGAECSSQGKNDFIEDYFNKNVADLTVHEACRSYMRCNCMVDQPFCGEREVALVLSSEEKLYVLLVGVTFDGSESILDLLGSHRVEDIREVLVGLSLQVVRVYVKGSVAYLFVTRSIEKSSQLLFMLKASDSSTPNDKCSLRSLEQVQAELFEKQICGGLKLSIFQYSMVLFWQGGHEEEPWFSRSLFVIGGHVLVCVEDIFQFSSLLNNACSSPYFSLDSSCDIADISEMVIKQGETCCITLPIKSSTSKAGSSTKTQKRAGMSSKKWKLKWFSQESLSQFVALVKAIHLGMTLSPLLVRYKS >KJB53060 pep chromosome:Graimondii2_0_v6:8:56529314:56533399:-1 gene:B456_008G290800 transcript:KJB53060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVTGDRYLEKLVKFVDDQAGALIEGTKVLKLNPAGLHYVQSRLEALQELERLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTTLKVVSALPPPARDPTPLSLLPFGRLKVLELRGCDLSTSAAKGLLELRHTLEKIVCHNSTDALRHVFASRIAEIKGSPQWNRLSFVSCACNGLLLMDESLNLLPAVETLDLSRNKFAKVDNLRKCVKLKHLDLGFNQLRSISSFSEVSCHVVKLVLRNNSLTTLRGIENLKSLEGLDVSYNIISNFLELEFLGGLPSLRSLWLEGNPLCCARWYRAQVFSYFSCPENLKLDDKAISTREYWKRKIIVASRQKRPSSFGFYSPAKGAEGEEGINKKRRKASRLALIENEQDSSYICSDQDSLSCGNEMRSGEENIISEDEAEIVDLMQRVEQLKKERSILWLREFKDWMDHASEDFADDGNFNAAMLHPGKENYKKGGKSERHLSESSRYVSDSVQASGDESSMNILESDNSFADTSGSVNANRYFDHIFSSGITGGFTLPGLRTMDVKHEYQKSYLHDEGSSGSVLAESSQRNIFALDESNRMVQNAVVSHLNTIGIMTESNSSSANPGSPPHYQKDLLHRRHNLVEEILQLSAESYSAASSDSDTSCSEDDYSEAGIPVQEYPNGSTKGHSPLHSFAHTYYEKGNNTSHGSQNGIGIIDSCTEQTLRINKIVSMNQSLQPYSKLDTGSNYPEISSFVNQEADWFEKRKSGRKPKRRVISLLEENSCQQVPQESNGTLEVSRVDIEDMKGKRSLNGSDHKKGFDKNQIKKAISIPQVDNAVRYSGAECSSQGKNDFIEDYFNKNVADLTVHEACRSYMRCNCMVDQPFCGER >KJB48585 pep chromosome:Graimondii2_0_v6:8:14257984:14258281:-1 gene:B456_008G076400 transcript:KJB48585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCIVIMFLCLNSWVGHRYGLHVKSKLLSCFCYKGSQFIDGKLLGELIEHPKFTRFCWICNGKFHALNRVSYVKVTPSLNL >KJB53130 pep chromosome:Graimondii2_0_v6:8:56779557:56782891:-1 gene:B456_008G294200 transcript:KJB53130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPATRQPLEWKFSQVFGERSSDDEIQDADRISTVEFDKSGDYVAVGDRGGRIVIFETKDGKNTADQHYSRNQLEQLDYVSLNHPEYRYKTEFQSHEPEFDYLKSLEIEEKINKLKWCTSLSGSLFILSTNDKTIKLWKVKDRKVKKAREIDSPHQLLSSENTLLSERSFMNEQGIPSFANGNHVESGANSIFPSQEPRSKIANYEDTAYARCRRVYAHAHDFNINSLSNNSDGETFISADDLRINLWNLEVSNQSFNIIDMKPSNMEELTEVITSAEFHPIHCNLVAYSSSRGFIRLVDMRQSALCDYSARIFQDEECHGSRSFLTEIVASITDIKFPVDGRHILSRDYMNLKVPNLD >KJB53128 pep chromosome:Graimondii2_0_v6:8:56777080:56782891:-1 gene:B456_008G294200 transcript:KJB53128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPATRQPLEWKFSQVFGERSSDDEIQDADRISTVEFDKSGDYVAVGDRGGRIVIFETKDGKNTADQHYSRNQLEQLDYVSLNHPEYRYKTEFQSHEPEFDYLKSLEIEEKINKLKWCTSLSGSLFILSTNDKTIKLWKVKDRKVKKAREIDSPHQLLSSENTLLSERSFMNEQGIPSFANGNHVESGANSIFPSQEPRSKIANYEDTAYARCRRVYAHAHDFNINSLSNNSDGETFISADDLRINLWNLEVSNQSFNIIDMKPSNMEELTGNEVITSAEFHPIHCNLVAYSSSRGFIRLVDMRQSALCDYSARIFQDEECHGSRSFLTEIVASITDIKFPVDGRHILSRDYMNLKLWDMHMDSSPVATFKIHEHLRPKLCDLYNNDSIFDKFECCLSGDGLHFATGSYSNLLRIFFHGGGSDEGATIEASKDPNSIKNRKPLPRARRSSLSNLTRGFYKQGHESTSVDGEYSLNSSSKLQHLAWHPCTYLIACASGNSLFFYHA >KJB53129 pep chromosome:Graimondii2_0_v6:8:56777080:56782967:-1 gene:B456_008G294200 transcript:KJB53129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPATRQPLEWKFSQVFGERSSDDEIQDADRISTVEFDKSGDYVAVGDRGGRIVIFETKDGKNTADQHYSRNQLEQLDYVSLNHPEYRYKTEFQSHEPEFDYLKSLEIEEKINKLKWCTSLSGSLFILSTNDKTIKLWKVKDRKVKKAREIDSPHQLLSSENTLLSERSFMNEQGIPSFANGNHVESGANSIFPSQEPRSKIANYEDTAYARCRRVYAHAHDFNINSLSNNSDGETFISADDLRINLWNLEVSNQSFNIIDMKPSNMEELTEVITSAEFHPIHCNLVAYSSSRGFIRLVDMRQSALCDYSARIFQDEECHGSRSFLTEIVASITDIKFPVDGRHILSRDYMNLKLWDMHMDSSPVATFKIHEHLRPKLCDLYNNDSIFDKFECCLSGDGLHFATGSYSNLLRIFFHGGGSDEGATIEASKDPNSIKNRKPLPRARRSSLSNLTRGFYKQGHESTSVDGEYSLNSSSKLQHLAWHPCTYLIACASGNSLFFYHA >KJB51623 pep chromosome:Graimondii2_0_v6:8:51170239:51173600:-1 gene:B456_008G225200 transcript:KJB51623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLEKESRSMLFMTRGHKGFRKVDPDRWEFANEGFLRGQKHLLRNISRRKPAHGHGHQQTQQPHGQSSSMGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQTTDNQLQNMVQRLQGMEQRQQQMMSFLAKAVQNPSFFAQFVHQQNESNRRISETNKKRRLKQDGIIGEDHSTASDGQIVKYQPLMNDAKAMLMQMMKGDASPRLDSFNNSNEHTLIGDGSLSSSGLDGGNSSSRVSGVTLQEVSPTSGISGHCPSAAISEIQSSPCTTSSERITTAELPDVSALIGAEKPPSVSVTQTDIIMPDLSQISEMVPESIVDIPTEMGNDGLIDPDTLAVNGLLSIELDDIGSDPDIDALLVNSPFFHDLFPSPVCEEIESTSMEGKSKENEGQAMDDGWDKSQHMDKLTEQMGLLRSENKGI >KJB51624 pep chromosome:Graimondii2_0_v6:8:51169793:51174935:-1 gene:B456_008G225200 transcript:KJB51624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVSSSSNNQTDASTSGGGTQTATQPLPMPQPVAGHSANGPPPFLSKTYDMVDDPATDAIVSWSPTNNSFVVWNPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLRNISRRKPAHGHGHQQTQQPHGQSSSMGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQTTDNQLQNMVQRLQGMEQRQQQMMSFLAKAVQNPSFFAQFVHQQNESNRRISETNKKRRLKQDGIIGEDHSTASDGQIVKYQPLMNDAKAMLMQMMKGDASPRLDSFNNSNEHTLIGDGSLSSSGLDGGNSSSRVSGVTLQEVSPTSGISGHCPSAAISEIQSSPCTTSSERITTAELPDVSALIGAEKPPSVSVTQTDIIMPDLSQISEMVPESIVDIPTEMGNDGLIDPDTLAVNGLLSIELDDIGSDPDIDALLVNSPFFHDLFPSPVCEEIESTSMEGKSKENEGQAMDDGWDKSQHMDKLTEQMGLLRSENKGI >KJB52699 pep chromosome:Graimondii2_0_v6:8:55206623:55207297:-1 gene:B456_008G273100 transcript:KJB52699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKISDETPNPEKINDESPNPLAPSNDEESITAHSKDQNRKKQMKCLLYIILFAVFQTGIILLFVLIFMRMTNPKFRVRSGSFIDTFNVGTEASPSFDLRMNTQFMVKNTNFGRFKYKDGTVTFAYKGIPVGNAMIEKGRVGARSTKKVDVVVKLRSNGLSLNTRDNELGSDISSGVLPLTSSVKLKGKIHLMEVIKMKKHAQMNCSMEIDINSRTLGNIICK >KJB51195 pep chromosome:Graimondii2_0_v6:8:49077418:49080082:-1 gene:B456_008G205800 transcript:KJB51195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRSDNKIMDRAETAIQMAMSRLEDEFRLVLIRNTVPLDSERLYGSIRRVSLSFAANDGEIGEEFESFAEVDSERGCFHERGPSFGDDLCVVLINPDAVMELKEIADRMINAGYEKECVQAYSNVRRDALDECLVILGVEKLSIEEVQKIEWKALDEKMKKWIQAVKITVRVLLNGEKRLCDQIFNGSNSIKEICFNETAKGCVMQLLNFGEAVAIGKRSSEKLFRILDMYDVLADALLEFEMMFMDEYMCTEAKGVLAGLGDAAKGTFLEFENAVKNEASKKPMQNGEIHPLTRYVMNYVKLLVDYSETLNSLLENDEDEADGLKSEDGELEMMTPFAKRLLLLISSLESNLEEKSKLYEDGALHCIFLMNNNLYIVQKVKDSELRKLLGDNWVRKRRGSIRQYATSYLRTCWTKALHCLKDEGIGGSSNNASKVALKERFKSFNACFEDIYRVQTGWKVPDPQLREELRISISEKVIPAYRSFMGRFGSQLESGRHAGKYIKYTPEDLENYLLDLFEGSPLVLHHMRRKST >KJB51196 pep chromosome:Graimondii2_0_v6:8:49077418:49080152:-1 gene:B456_008G205800 transcript:KJB51196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTTNLGAGSEDRVMATAQQILKSLNTPKEVREDMLLIFSSFDNRLSNITDLINENSDSAGVRFDAAEKVILQWDSSSSSSNADASRHSLPWEDSPDEAAEYLSAVDEILHLVADMSIRSDNKIMDRAETAIQMAMSRLEDEFRLVLIRNTVPLDSERLYGSIRRVSLSFAANDGEIGEEFESFAEVDSERGCFHERGPSFGDDLCVVLINPDAVMELKEIADRMINAGYEKECVQAYSNVRRDALDECLVILGVEKLSIEEVQKIEWKALDEKMKKWIQALFRILDMYDVLADALLEFEMMFMDEYMCTEAKGVLAGLGDAAKGTFLEFENAVKNEASKKPMQNGEIHPLTRYVMNYVKLLVDYSETLNSLLENDEDEADGLKSEDGELEMMTPFAKRLLLLISSLESNLEEKSKLYEDGALHCIFLMNNNLYIVQKVKDSELRKLLGDNWVRKRRGSIRQYATSYLRTCWTKALHCLKDEGIGGSSNNASKVALKERFKSFNACFEDIYRVQTGWKVPDPQLREELRISISEKVIPAYRSFMGRFGSQLESGRHAGKYIKYTPEDLENYLLDLFEGSPLVLHHMRRKST >KJB52620 pep chromosome:Graimondii2_0_v6:8:55599394:55603318:1 gene:B456_008G278600 transcript:KJB52620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGNASMASLASQICNHIASIFSKPSIHPPALDLMVAELASIASQKGRVFLYGVGREGLMLKALCMRLAHLGLSAHFVFDMTTPPITSKDLLVASAGPGGFSTVDAICSVARSHGGRVLLLTAQPETGSSVRHANVVAYVAAQTMADDGGGEKSRTLLPMGSVYEGAMFVLFEMVVYKLGEVLGESPEAIRARHTNLE >KJB52619 pep chromosome:Graimondii2_0_v6:8:55599394:55602044:1 gene:B456_008G278600 transcript:KJB52619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGNASMASLASQICNHIASIFSKPSIHPPALDLMVAELASIASQKGRVFLYGVGREGLMLKALCMRLAHLGLSAHFVFDMTTPPITSKDLLVASAGPGGFSTVDAICSVARSHGGRVLLLTAQPETGSSVRHANVVAYVAAQTMADDGGGEKSRTLLPMGSVYEGAMFVLFEMVVYKLGEVLGESPEAIRARHTNLE >KJB52618 pep chromosome:Graimondii2_0_v6:8:55599448:55603318:1 gene:B456_008G278600 transcript:KJB52618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGNASMASLASQICNHIASIFSKPSIHPPALDLMVAELASIASQKGRVFLYGVGREGLMLKALCMRLAHLGLSAHFVFDMTTPPITSKDLLVASAGPGGFSTVDAICSVARSHGGRVLLLTAQPETGSSVRHANVVAYVAAQTMADDGGGEKSRTLLPMGSVYEGAMFVLFEMVVYKLGEVLGESPEAIRARHTNLE >KJB51367 pep chromosome:Graimondii2_0_v6:8:50053703:50056612:1 gene:B456_008G213700 transcript:KJB51367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSMAITEDGALFYWVSSDPHLRCQQLYSLCEKTIVSISASKYWAATATAIGDVYMWDGKKSMEKPPVATRLHRVKGKKIP >KJB53286 pep chromosome:Graimondii2_0_v6:8:55474965:55475136:1 gene:B456_008G2767002 transcript:KJB53286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISKKMTLAILALALFSSCLHATL >KJB47583 pep chromosome:Graimondii2_0_v6:8:3853508:3856637:1 gene:B456_008G032000 transcript:KJB47583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATTAAYFARRAAQKERVRILYRRALKDTLNWAVHRHLFYQDASDLREKFEANKHVEDLDTIDKMIAAGEATYNKWRHPDPYIGKITIVCSMLLVL >KJB47582 pep chromosome:Graimondii2_0_v6:8:3853500:3856669:1 gene:B456_008G032000 transcript:KJB47582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATTAAYFARRAAQKERVRILYRRALKDTLNWAVHRHLFYQDASDLREKFEANKHVEDLDTIDKMIAAGEATYNKWRHPDPYIVPWAPGGSKFTRNPVPPSGIEILYDYGKEEND >KJB47584 pep chromosome:Graimondii2_0_v6:8:3853508:3856637:1 gene:B456_008G032000 transcript:KJB47584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATTAAYFARRAAQKERVRILYRRALKDTLNWAVHRHLFYQDASDLREKFEANKHVEDLDTIDKMIAAGEATYNKWRHPDPYID >KJB47587 pep chromosome:Graimondii2_0_v6:8:3889621:3890762:1 gene:B456_008G032400 transcript:KJB47587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTRNFVEGDSSLATKAVWDDELTLIFCELCVNEVNAGNRPTTHLNSKGWENVIALFQAKTQKNYGKPQLKNKWDTLKKEWRLWRELLKESTGIGWCPSKKTVDATEEWWAAKIQENPDFKGFKKKGIEPRLNELMWQMFGGIVATGENAWAPSSGVLPSGVPMGDDAPYEGFGDSDEHSNENEGIPPDEVPSNPSHEIPNRRKQTLEAVHGKGKKSSSSRKSSRNTLTTQIEKLCESMASPRKSVNEIIFPHSQYTISNAMDALRALGDEIPKRDELYYFATKMFQIPVKREMFLNLDPDDRVWWLRREYAEQNPIASFSSLVATSSFPFQPYHQPPPPSTP >KJB47165 pep chromosome:Graimondii2_0_v6:8:1555932:1557598:-1 gene:B456_008G013500 transcript:KJB47165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYASIKSLSNDSIVKPQFSTPIFSSLQSNIPSATINLHTTIPPSHSLTVTYYAIQQKEEMVVSFLSKLSILTFTASVLVVSALAHDFSIVGYSPEDLSSRDKLIELFESWVSKHAKFYESFEEKLLRFEVFKDNLKHIDKRNKEISSYWLGLNEFADLTHEEFKNKYLGLKPEVFKKNRSPPEEFTFRDDVDLPKSVDWRQKGAVTPVKNQRSCGSCWAFSAVAAVEGINKIVTGNLTSLSEQELIDCDTSFNNGCNGGLMDYAFEFIVANGGLHKEEDYPYLMEQGTCEEKKEEMDVVTISGYKDVPENDEKSLLKALAHQPLSVAIEASGRDFQFYSGGVFNGPCGTDLDHGVAAVGYGTWKGSDYIIVKNSWGAKWGEKGYIRMKRNTGKPEGLCGINKMASYPMKNK >KJB49922 pep chromosome:Graimondii2_0_v6:8:39862376:39863141:1 gene:B456_008G145900 transcript:KJB49922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRDHVTQMRSLLFRHVMKQKRMKKIKSKTYHRLKNKDKLKVESAEMLMDPEAAKEQARKQEFKRDEERMTLKHKNKSKWARHILEGGLNAQDEGTRAAMAEQLHRHALLTRKIDTVKDSSSDDNDEGSNEDRASELLGKAKDKTLKVLEDDEELPNSGLFSFYVVQRLNSYIPVYRY >KJB47633 pep chromosome:Graimondii2_0_v6:8:4126368:4127309:-1 gene:B456_008G034400 transcript:KJB47633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALLEALFGFHFAFAQNPFDTSFRPRYGRSFRGIPVLVMPEASRPQSLKPKMVSIPVHFQVNDIERSVSKTETVELIQNDPKQRLKVNENLMSLLFKLTQSRD >KJB52319 pep chromosome:Graimondii2_0_v6:8:53818796:53819173:1 gene:B456_008G255700 transcript:KJB52319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFFTHYQTITYSQTLSGANSFHFPSRGKPFSLRLALSPSKGILVIGSLRTERSYLFKYLATNSYVPFITVFLNKFFDNKLKVFLIDDIDIDDSDDIDASDAIDGDLDTDLELLTMMNALTMNMILV >KJB47483 pep chromosome:Graimondii2_0_v6:8:3498224:3501536:1 gene:B456_008G029300 transcript:KJB47483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRALAETLTVLDRQRASVKWQQESYFSELSGVFSTQTSTHVHGFQGDLISDESVLDDLVMTRQVKPDPSLETSWPELGKVDMAGMGFGPCGYSNGPSFDMNYAISRTFSCPPAVAATIAKEAMEVKGKESIVSENMGSAVARESSKKRKADKLHNSKVAADDDSKKTKACGEEAEESKITGPPNTNKSSTKQEPSADTSKENSKLTEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFDIDNLFAKDVFPPCMTNFPTVGMSSEMANPSYLHFNPVQQVVACSGVEMGLNSPDIALWRTISAPESTIPDASFLDTSCFTQIQPSPTWDVELQNVYNVAFEHGRSTTPFPSQPFAAAGSIEASHLKMEM >KJB47484 pep chromosome:Graimondii2_0_v6:8:3498298:3501536:1 gene:B456_008G029300 transcript:KJB47484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRALAETLTVLDRQRASVKWQQESYFSELSGVFSTQTSTHVHGFQGDLISDESVLDDLVMTRQVKPDPSLETSWPELGKVDMAGMGFGPCGYSNGPSFDMNYAISRTFSCPPAVAATIAKEAMEVKGKESIVSENMGSAVARESSKKRKADKLHNSKVAADDDSKKTKACGEEAEESKITGPPNTNKSSTKQEPSADTSKENSKLTEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFDIDNLFAKDVFPPCMTNFPTVGMSSEMANPSYLHFNPVQQVVACSGVEMGLNSPDIALWRTISAPESTIPDASFLDTSCFTQIQPSPTWDVELQNVYNVAFEHGRSTTPFPSQPFAGSIEASHLKMEM >KJB51927 pep chromosome:Graimondii2_0_v6:8:52554612:52555085:1 gene:B456_008G238700 transcript:KJB51927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLMVVIYVVLGTMATVTDRQATCAQKLVPCAPYQAVANELPCLCNLYKDPTLLAFFNVTVAEALRISRECGITTDLSACNGMSTATSPSSAPPPP >KJB50385 pep chromosome:Graimondii2_0_v6:8:44747038:44747777:1 gene:B456_008G172500 transcript:KJB50385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSRGLKVCCAVTAIFIVMIAIIFTILAFTVFKPKDPEITIYPQGLENIGFGLGGISNLSTANVNVSVAMIVAINNRNYGSFKFKNATAFVNYRGDVVGTVPIEQARVPAHGKLNISTVAAFMMDRLTANASFWEDVLAGSVNLSTDATMHGKVTMFKVFKIQASVPSRCDISLFIQSQSVESICKTKIKL >KJB48869 pep chromosome:Graimondii2_0_v6:8:21573147:21573854:-1 gene:B456_008G091000 transcript:KJB48869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGRELSMKVLRYLAEIDGITERRNTLNTVKSPNQRVTNPRMTIHFDEAFNSRDFKSMAGMAAWDQKGVLLTTKTVLNSNVSSSFVAEAYVILHVVKLGISMVLHSVTIKGDSRTIIKKCQTKAQDKSVIGAIISDI >KJB49174 pep chromosome:Graimondii2_0_v6:8:32578395:32580443:-1 gene:B456_008G104700 transcript:KJB49174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDNFTDGIPKVRPVLGDISNRSVKRGFSSISEKLGFDSQEEADSQFAKQVRIGVETFIKEKSQKPEFEPNPNFLPTCCGDIDILKEDLVSVNDKFGEAKEGFELSDSDDTLEEGQGVAEVGCTLNENCRNEGEDLGFGRLASSEGGCIEWSRLPKSSSQSSRSFELERCLGLKNGGVNLNADSILKACSCSFCLKAAYIWSDLHYQDIKGRIAVLKKSQKEARILVQKSGRGKQTDMNSQGNANKSSKLESDLTSQWRSLFLNMEDIFVHEGNQLQASYTELKDLREDCKMDLERITGMPSEK >KJB49173 pep chromosome:Graimondii2_0_v6:8:32381215:32384204:-1 gene:B456_008G104600 transcript:KJB49173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFFEDSAKNIAGKNVMFTDAKSVLNMSITVTVSAQNPLASPGYKISIKTKKLLQNREIISGARVNAWVDSMRASSPTHTKSTSSVTDDQVTWNLHHPSALEMFEQIIDASKGKQIVMFLDYDGTLSPIVEDPDRAFMSKKMRKTVRKLAKCFPTAIVSGRCRDKVYKFVKLAELYYAGSHGLDIKGPEKRSKSKSDGESVLFQPASEFLPMIDEVYKQLVDTTKSTPGAKVENNKFCLSVHFRCVDEKKWSELAQQVRSVLKEYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFANCTDVFPVYIGDDCTDEDAFKILRDRGQGFGILVSKFPKETSASYSLQEPDEVMDFLRRLVEWKQLSLQAQSRM >KJB46970 pep chromosome:Graimondii2_0_v6:8:511549:513509:1 gene:B456_008G003100 transcript:KJB46970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKARRLGVLTPVLYAVDPVIHTLTFEYVEGPSVKDVFLGIGSTGVFQERLTDIATQIGDAIGKLHDGGLVHGDLTTSNMLIRNGTNKLVLIDFGLSFTSTLPEDKAVDLYVLERALISMHSSCGNVMDLILAAYKKSSKQWSSTLNKLAQVRQRGRKRTMVG >KJB46971 pep chromosome:Graimondii2_0_v6:8:511549:513509:1 gene:B456_008G003100 transcript:KJB46971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKARRLGVLTPVLYAVDPVIHTLTFEYVEGPSVKDVFLGIGSTGVFQERLTDIATQIGDAIGKLHDGGLVHGDLTTSNMLIRNGTNKLVLIDFGLSFTSTLPEDKAVDLYVLERALISMHSSCGNVMDLILAAYKKSSKQWSSTLNKLAQVRQRGRKRTMVG >KJB46969 pep chromosome:Graimondii2_0_v6:8:511386:513533:1 gene:B456_008G003100 transcript:KJB46969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPKPDSENAALVLVKQGAEARVFESVFAGKRSIIKERFSKKYRHPALDSKLTLKRLNAEARCMTKARRLGVLTPVLYAVDPVIHTLTFEYVEGPSVKDVFLGIGSTGVFQERLTDIATQIGDAIGKLHDGGLVHGDLTTSNMLIRNGTNKLVLIDFGLSFTSTLPEDKAVDLYVLERALISMHSSCGNVMDLILAAYKKSSKQWSSTLNKLAQVRQRGRKRTMVG >KJB52656 pep chromosome:Graimondii2_0_v6:8:55056473:55060592:-1 gene:B456_008G271500 transcript:KJB52656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMDGAIASHKWRPFNRSCFPPGFIFGAGSAAYQIEGAALEDGKGASVWDILVRQHPERIVDRSTGDVAVDFYHRYKEDIMLMKKIGLDSFRFSISWTRILPKAKLSGGVNPLGVQFYNNLIDDLLANGLKPFVTLLHFDHPQALEDEYGGFSSPKIMFMDPVIYGEYPKSMQSLVGNRLPKFTEAESKLLKGSIDFLGANYYTTNYAENGPSNTPFLPTDARVNLTTEKNGVPIGTPTDVSWLFNYPKGLRDLLLYLKKKYNSPTIYITENGVAEANNASLTVKEALKDSTRIRYLDGHLKYLLKAIQEGVNIKGHYMWAFLDDFEWTSGYTLRFGFTYIDYKNNLRRYLKYSAYWFKKFLLN >KJB52658 pep chromosome:Graimondii2_0_v6:8:55058127:55067968:-1 gene:B456_008G271500 transcript:KJB52658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKRDLLLCLLVLMVAMDGAIASHKWRPFNRSCFPPGFIFGAGSAAYQIEGAALEDGKGASVWDILVRQHPERIVDRSTGDVAVDFYHRYKEDIMLMKKIGLDSFRFSISWTRILPKGKLSGGVNPLGVQFYSNLIDDLLANGLKPFVTLLHFDHPQALEDEYGGFSSPKIVDDFVDYADFCFKTFGDRVKHWVTMNEPNGWSLGFGQGLPPSSTRPYILAHHFLLSHAAAVNLYRKKYQASQKGKIGITLVTTWFEPKFDTTADRKAASRARDFLFGW >KJB52661 pep chromosome:Graimondii2_0_v6:8:55063961:55068085:-1 gene:B456_008G271500 transcript:KJB52661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKRDLLLCLLVLMVAMDGAIASHKWRPFNRSCFPPGFIFGAGSAAYQIEGAALEDGKGASVWDILVRQHPERIVDRSTGDVAVDFYHRYKEDIMLMKKIGLDSFRFSISWTRILPKGKLSGGVNPLGVQFYSNLIDDLLANGLKPFVTLLHFDHPQALEDEYGGFSSPKIVDDFVDYADFCFKTFGDRVKHWVTMNEPNGWSLGFGQGLPPSSTRPYILAHHFLLSHAAAVNLYRKKYQASQKGKIGITLVTTWFEPKFDTTADHKAASRARDFLFGWFMDPIIYGEYPKSMQPLVGNRLPKFTEAESKLLKGSIDFLGANYYTTNYAENGPSNTPFLPTDARVNLTTEKNGVPIGTTTDVSWLFNYPKGLRDLLLYLKKKYNNPTIYITENGVAEANNASLTVKEALKDSTRIRYLGGHLKYLLKAIQEGVNIKGYYMWAFLDDFEWNSGYTLRFGFTYIDYKNNLRRYLKYSAYWFKKFLLN >KJB52655 pep chromosome:Graimondii2_0_v6:8:55056465:55067077:-1 gene:B456_008G271500 transcript:KJB52655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIGLDSFRFSISWTRILPKGKLSGGVNPLGVQFYSNLIDDLLANGLKPFVTLLHFDHPQALEDEYGGFSSPKIVDDFVDYADFCFKTFGDRVKHWVTMNEPNGWSLGFGQGLPPSSTRPYILAHHFLLSHAAAVNLYRKKYQASQKGKIGITLVTTWFEPKFDTTADHKAASRARDFLFGWFMDPVIYGEYPKSMQSLVGNRLPKFTEAESKLLKGSIDFLGANYYTTNYAENGPSNTPFLPTDARVNLTTEKNGVPIGTPTDVSWLFNYPKGLRDLLLYLKKKYNSPTIYITENGVAEANNASLTVKEALKDSTRIRYLDGHLKYLLKAIQEGVNIKGHYMWAFLDDFEWTSGYTLRFGFTYIDYKNNLRRYLKYSAYWFKKFLLN >KJB52660 pep chromosome:Graimondii2_0_v6:8:55064916:55067944:-1 gene:B456_008G271500 transcript:KJB52660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKRDLLLCLLVLMVAMDGAIASHKWRPFNRSCFPPGFIFGAGSAAYQIEGAALEDGKGASVWDILVRQHPERIVDRSTGDVAVDFYHRYKEDIMLMKKIGLDSFRFSISWTRILPKGKLSGGVNPLGVQFYSNLIDDLLANGLKPFVTLLHFDHPQALEDEYGGFSSPKIVDDFVDYADFCFKTFGDRVKHWVTMNEPNGWSLGFGQGLPPSSTRPYILAHHFLLSHAAAVNLYRKKYQASQKGKIGITLVTTWFEPKFDTTADHKAASRARDFLFGWFMDPIIYGEYPKSMQPLVGNRLPKFTEAESKLLKGSIDFLGANYYTTNYAENGPSNTPFLPTDARVNLTTEKNGVPIGTTTDVSWLFNYPKGLRDLLLYLKKKYNNPTIYITENG >KJB52659 pep chromosome:Graimondii2_0_v6:8:55063961:55068085:-1 gene:B456_008G271500 transcript:KJB52659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKRDLLLCLLVLMVAMDGAIASHKWRPFNRSCFPPGFIFGAGSAAYQIEGAALEDGKGASVWDILVRQHPERIVDRSTGDVAVDFYHRYKEDIMLMKKIGLDSFRFSISWTRILPKGKLSGGVNPLGVQFYSNLIDDLLANGLKPFVTLLHFDHPQALEDEYGGFSSPKIVDDFVDYADFCFKTFGDRVKHWVTMNEPNGWSLGFGQGLPPSSTRPYILAHHFLLSHAAAVNLYRKKYQASQKGKIGITLVTTWFEPKFDTTADHKAASRARDFLFGWFMDPIIYGEYPKSMQPLVGNRLPKFTEAESKLLKGSIDFLGANYYTTNYAENGPSNTPFLPTDARVNLTTEKNGVPIGTTVSSCSREKKLSPLFLPSSNSHFDDQNIGVNNFAD >KJB52657 pep chromosome:Graimondii2_0_v6:8:55056473:55067968:-1 gene:B456_008G271500 transcript:KJB52657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKRDLLLCLLVLMVAMDGAIASHKWRPFNRSCFPPGFIFGAGSAAYQIEGAALEDGKGASVWDILVRQHPERIVDRSTGDVAVDFYHRYKEDIMLMKKIGLDSFRFSISWTRILPKGKLSGGVNPLGVQFYSNLIDDLLANGLKPFVTLLHFDHPQALEDEYGGFSSPKIVDDFVDYADFCFKTFGDRVKHWVTMNEPNGWSLGFGQGLPPSSTRPYILAHHFLLSHAAAVNLYRKKYQASQKGKIGITLVTTWFEPKFDTTADRKAASRARDFLFGWFMDPVIYGEYPKSMQSLVGNRLPKFTEAESKLLKGSIDFLGANYYTTNYAENGPSNTPFLPTDARVNLTTEKNGVPIGTPTDVSWLFNYPKGLRDLLLYLKKKYNSPTIYITENGVAEANNASLTVKEALKDSTRIRYLDGHLKYLLKAIQEGVNIKGHYMWAFLDDFEWTSGYTLRFGFTYIDYKNNLRRYLKYSAYWFKKFLLN >KJB52654 pep chromosome:Graimondii2_0_v6:8:55056465:55060592:-1 gene:B456_008G271500 transcript:KJB52654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMDGAIASHKWRPFNRSCFPPGFIFGAGSAAYQIEGAALEDGKGASVWDILVRQHPERIVDRSTGDVAVDFYHRYKEDIMLMKKIGLDSFRFSISWTRILPKAKLSGGVNPLGVQFYNNLIDDLLANGLKPFVTLLHFDHPQALEDEYGGFSSPKIMDDFVDYADFCFKTFGDRVKHWVTMNEPNGWSLGLATGLPPSSTQSYILTHHFLLSHAAAVNLYRKKYQASQKGKIGITLVTTWFEPKFDTTADRKAASRARDFLFGWFMDPVIYGEYPKSMQSLVGNRLPKFTEAESKLLKGSIDFLGANYYTTNYAENGPSNTPFLPTDARVNLTTEKNGVPIGTPTDVSWLFNYPKGLRDLLLYLKKKYNSPTIYITENGVAEANNASLTVKEALKDSTRIRYLDGHLKYLLKAIQEGVNIKGHYMWAFLDDFEWTSGYTLRFGFTYIDYKNNLRRYLKYSAYWFKKFLLN >KJB47059 pep chromosome:Graimondii2_0_v6:8:1021461:1022390:-1 gene:B456_008G008800 transcript:KJB47059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFNKGGHSAIKPEKQVLVTTMNQKEKQHRLGSPLNMAPIPKLTNLVRRHRSSITSRLAIRRMAEGNIREGESGSHTTHCLLITCRGYLIFQHSTCF >KJB49303 pep chromosome:Graimondii2_0_v6:8:34267162:34268411:-1 gene:B456_008G111700 transcript:KJB49303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKKHLADLSSSAGVCATCLRERLLELMAAQTRDQQALLTRVAENCRKPNPPPLVFPRSVSPYVSRRKSDENSASGFHHQRFFSTPQMGPTYSTTTTADFEAAKSFKKKSKFSMFSNLFRPRSDKFNSGAGTQFHRDLCDESSSSWFSAIFAFHRKQHKSSRTHAEDFGQFDPGCRKSCRVVNRGMSPAIEVDSGDECDQSPSVSTLEASPQWKRTPTAARGGRTRTRNVSGLAFCLSPLVRASPNRQWNQKGGLPPDKSFAGEGRPQMKPHLATAAGFCANRSRKLADFGRVNYNH >KJB47907 pep chromosome:Graimondii2_0_v6:8:6622592:6626005:1 gene:B456_008G047900 transcript:KJB47907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQEMKKQMQTLKHGIPKNSSSETEPEPEENTQEYMMVLTEVSIQRYLIRINIVINNEFQLVTIALFDIGVDQNSIGFSKTQQYYKNILVLIESVLFKHYTDSKDPKFITHSTTQILKIVQPRDWSENPNTPKKFPAKFTTKSGHHLYFIYWDYQMAWYPIQIPKLVPRMVELTKNTFTEQSIFFSQLCISWIVSWNYSYEQDQCTGIPLLIQNYRNKWWDQFNDEKYDSKYLDNFFNKNPRLYKFEAPDQTTAKFLQVKSTASVMLAQTKTKNEYKKLMVEMLSSMDSESEDEKSSASSIKMVDLADDTTSVTITRTKKHDAKERNE >KJB48334 pep chromosome:Graimondii2_0_v6:8:10256234:10257556:1 gene:B456_008G064000 transcript:KJB48334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSVEANNFEALPLLSLNHVSLLCRSVWDSVRFYEDVLGFVSIKRPSSFKFNGAWLYNYGIGIHLIENPSIDDFDTIVEPRPINPKDNHISFQCTDVGLVMRRLQDMGMTYVTAVVEDQGNRVDQVFFHDPDGYMVELCNCENIPIIPLSSCSFKQRLSSFYKSAPAKCGFMENAMMESLSMEMLNISF >KJB52294 pep chromosome:Graimondii2_0_v6:8:53739289:53741149:-1 gene:B456_008G254300 transcript:KJB52294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTEIVFFSIFLMFTLQPSTSVEVCPVLCGTQLIRFPFRLNTQPGRCGYPRLDLSCKNETHAILTLPFSGGFTVVNIDYTFQNIWIEDPDSCAPRRILQGLNLAGTPFDLLEPRSYTFFNCSSASSTVPKLAEAKLISCLSGKDFSVVAIPTERLDLPASLSTSCSEMAKVLLPLSLSDWSDPGDGFILTWNEPDCKLCESRAGTCMFKNDTGTDVGCSGGFNDVNKDENWVYVITEAIFNLLLRSPSIN >KJB51633 pep chromosome:Graimondii2_0_v6:8:51247575:51249206:-1 gene:B456_008G225900 transcript:KJB51633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAGNTKTWVPYMTTKDCSQGFCSLYCPQWCYIIYPPPPPIEFAVNPTGPNFSPLVIAIIGILASAFLLVSYYTIISKYCGNSDSSSRRENHETDEVMEHNHNPYMHEPWQNSTAGLDEALIKSIAIFKYRKGDGLMEGTDCSVCLNEFQEDESLRLLPKCSHAFHVHCIDTWLRSHSNCPLCRANIIFITASPTPPLPPPVLTETPSVNESLQDRQPSRNENPADERLSERVFIPKIPCRTLSDLGNMQGGDAVIHIREEGYQPMRRSVSMDHFCQPQLSVADILHRNQEGDHSRGEGYHFSLGMAGSSKQSAEGSKVSNRMSVLHLVINPAAMKRSFSSGRFFLTRQGRVRDPSIPL >KJB51558 pep chromosome:Graimondii2_0_v6:8:50892561:50895365:1 gene:B456_008G222700 transcript:KJB51558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIAVSFLLEKLTTFLQNEVELLQGIPEDLQYIKDELQSLKAVLRVADSVGESNQELKVWVQQVREIAYDTEDTLDEYKLDVVNDHRHGLDAFLHQVCCFTKNLKAQHRIASKINGIKSRISNVSARRPNFDNNGTSEQGPSSIATVNPWIDRRGDALLLDSVDLVGIDNSKEQLVQWLVEGNSGRKVVSVVGMGGSGKTTLAKQVYDNTKVKKHFAVHVWITISHPFKIEELLRNMVRQLFDSIRKPVPQGVDDMDSFLLKMIIKGFLQQRRYLIVLDDVWHMNEWEMLDHALANNGRGSCVLLTTRNSEVASTSCIESEDKIFNLEPLSPDESWTLFCKKCFQKNSCPAELERHSRRILDKCEGLPLAIVAISGVLATKRRTVAEWETVYRSLGAEIEDNSRLINFKEVLLLSFNDLPYHLKSCFLYLSLFPGNHLIENMRLIRLWIAEGFVEAKEGKTQEEVAEDYLNELLNRSMIQIAGMTNDGRVKACRIHDLLREIIISNARDQNFVAVAKEQNATWPEKVRRLAIHNALANAQRNMNASHLRSFFAFDTGDPLSSSPTDTLIPNSCRLLKVLDLRAAPIENFPEEISNLRLLRYLSLRDTKITTIPSSIKKLQDLETLDLKHSQVSELPVEILKLRKLHHLLVYRYEFTSYSRFHSKYGFQALSGIGALQSLQKLCFMDVNHDNALIMELGKLVQLRRLGITNMRKEDGKILCSSIEKLINLHALSIVSSVKEEVIDLQCLSSPPQLLQRLYLYGRLEKLPEWIPCLESLAVIYLKWSRLPNDALESLQNLPNLVHLELLQAVEGDTLRFKAGGFKELKLLGIDKFEGLRCIQVEDGAMPCLEKLSIQRCKLLERVPLGIQHLTKLKVLEFFDMPEELIMTLGPDANIPEVYYTYWRNGEWEVYSLEGSAENGRSISTESLQSRFK >KJB49712 pep chromosome:Graimondii2_0_v6:8:38232683:38236191:-1 gene:B456_008G134500 transcript:KJB49712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFFSLVYLLLVLAFFSHITWAVKGNSLCKQTGMGEIRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAIILGSMNSDDWPVVDPLPSYGRGRELPGGRHRSLIYGRNLTDVIITGDNGTIDGQGSVWWNWFRSKTLNYTRPHLVELMNSTGVVISNLTFLNSPFWTIHPVYCSHVIVQDVTILAPLDSPNTDGIDPDSSDDVCIEDCYISTGDDLISIKSGWDEYGISFARPSRNIIIRRLTGHNRNGSGIAIGSEMSGGVSEVYAENIYFFNSSTGIILKTARGRGGYVRNIYISNVTLVGVDTAIFFNSNFSQHPDEFYDPNSLPVIERITFKDVIGDNIKVAGLLKGIEGDSFRHICLLNITLDVTTKSPWNCSNIQGYSSLVSPETCEPLKESIYPKHYSDCYLLPNHLRSSACHLAKETFPDIRS >KJB49711 pep chromosome:Graimondii2_0_v6:8:38232683:38235034:-1 gene:B456_008G134500 transcript:KJB49711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFVCICFQLVYLLLVLAFFSHITWAVKGNSLCKQTGMGEIRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAIILGSMNSDDWPVVDPLPSYGRGRELPGGRHRSLIYGRNLTDVIITGDNGTIDGQGSVWWNWFRSKTLNYTRPHLVELMNSTGVVISNLTFLNSPFWTIHPVYCSHVIVQDVTILAPLDSPNTDGIDPDSSDDVCIEDCYISTGDDLISIKSGWDEYGISFARPSRNIIIRRLTGHNRNGSGIAIGSEMSGGVSEVYAENIYFFNSSTGIILKTARGRGGYVRNIYISNVTLVGVDTAIFFNSNFSQHPDEFYDPNSLPVIERITFKDVIGDNIKVAGLLKGIEGDSFRHICLLNITLDVTTKSPWNCSNIQGYSSLVSPETCEPLKESIYPKHYSDCYLLPNHLRSSACHLAKETFPDIRS >KJB47345 pep chromosome:Graimondii2_0_v6:8:2506979:2507374:-1 gene:B456_008G022100 transcript:KJB47345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQMQGRRLFSRRLIQSEVDRCVFFFPFMYVSEFFEFQEGRFFFMDVIDSLGKVWTVLTKFHTHEVIGNYVSIDWPQFSNEKGLKPNDEITLIARSLQEGSNGGPQHEFKVLIKRKIRLFGQDIWGEVMV >KJB47935 pep chromosome:Graimondii2_0_v6:8:6522358:6536183:-1 gene:B456_008G047500 transcript:KJB47935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPLVWFCRPVADGVWKRSVSNAFGAYTPCATDSLVVTLSHLVLLGLCVYRIWLIKKDFKAQRFCLRSKYYNYMLGLLALYATAEPLFRLIMGISVLNLDGQSGLSPFEIVSLVVEALTWCSIFVMIGVETKVYIHEFRWFVRFGLLYTLIGDAVMLDLILSVREFYDSSILYLYLSEVLVQGLFGILLLVYLPDLDPYPGYTPMRTEFVDDAGYEELPGGEEICPERHVNIFSKIFFSWMSPLMEQGYKKPITEKDVWKLDTWDRTETLNNRFQKCWAEESRRPKPWLVRALNSSLGGRFWWGGFWKIFNDLSQFVGPLILNRLLQSMQEGDPAWIGYIYAFLIFVGVAFGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEGRKKFASGKITNLMTTDAEALQQICQSLHTLWSAPFRITFAMVLLYQQLGVASLLGALMLVLLFPVQTVVISRMQKLSKEGLQRTDKRIGLMNEVLAAMDTVKCYAWENSFQSKVQNVRDDELSWFRKASLLGACNGFMLNSIPVVVTVVSFGLFTLLGGDLTPARAFTSLSLFSVLRFPLFMLPNVITQVVNANVSLKRLEELFLTEERVLLPNPPLDPELPAIQIRDGFFSWDSKAERPTLSNINLDIPVGSLVAVVGSTGEGKTSLISAMLGELPPISEASVVVRGKVAYVPQVSWIFNATVRDNILFGSSFESARYEKALDVTALRHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVARQVFDKCVKGELRGKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEDLSNNGVLFQKLMENAGKMEDYAEENENSDIVDQKDSKPVANGVPNDMPKSASQSNKTKEGKSVLIKQEERETGVVSWNVLVRYKNALGGLWVVLVLFTCYILSEILRVSSSTWLSSWTDQSTTKMHGPGYYNLIYSLLSFGQVLVTLVNSYWLIISSLYAARRLHDAMLTSILRAPMTFFHTNPLGRIINRFAKDLGDIDRNVAPFVNMFLGQVSQLLSTFVLIGVVSTMSLWSIMPLLVLFYAAYLYYQSTAREVKRLDSVTRSPVYAQFGEALNGLSTIRAYKAYDRMADMNGKSMDNNIRFTLVNMSSNRWLAIRLETLGGLMIWFTATFAVMQNGRAQNQREYASTMGLLLSYALNITSLLTTVLRLASLAENSLNAVERVGTYIELPLEAPLIIENNRPPPAWPSSGSIKFEDVVLRYRPELPPVLHGLSFTISPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDGCDIAKFGLMDLRKVLGIIPQAPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDVIRRNSLGLYAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRILLLDSGRVLEYDTPEELLSNEGSSFSKMVQSTGDANAQYLRSLALGGGEDSVGREVNGQLDKQRKWLASSRWAAAAQFALAVSLTSSQNDLTRLEIEDENSILKKTRDAVITLQGVLEGKHDKTIEESLDQRQMSKDGWWSALYRMVEGTKTNMPSIAFTSTLYRY >KJB47934 pep chromosome:Graimondii2_0_v6:8:6522335:6536350:-1 gene:B456_008G047500 transcript:KJB47934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPLVWFCRPVADGVWKRSVSNAFGAYTPCATDSLVVTLSHLVLLGLCVYRIWLIKKDFKAQRFCLRSKYYNYMLGLLALYATAEPLFRLIMGISVLNLDGQSGLSPFEIVSLVVEALTWCSIFVMIGVETKVYIHEFRWFVRFGLLYTLIGDAVMLDLILSVREFYDSSILYLYLSEVLVQGLFGILLLVYLPDLDPYPGYTPMRTEFVDDAGYEELPGGEEICPERHVNIFSKIFFSWMSPLMEQGYKKPITEKDVWKLDTWDRTETLNNRFQKCWAEESRRPKPWLVRALNSSLGGRFWWGGFWKIFNDLSQFVGPLILNRLLQSMQEGDPAWIGYIYAFLIFVGVAFGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEGRKKFASGKITNLMTTDAEALQQICQSLHTLWSAPFRITFAMVLLYQQLGVASLLGALMLVLLFPVQTVVISRMQKLSKEGLQRTDKRIGLMNEVLAAMDTVKCYAWENSFQSKVQNVRDDELSWFRKASLLGACNGFMLNSIPVVVTVVSFGLFTLLGGDLTPARAFTSLSLFSVLRFPLFMLPNVITQVVNANVSLKRLEELFLTEERVLLPNPPLDPELPAIQIRDGFFSWDSKAERPTLSNINLDIPVGSLVAVVGSTGEGKTSLISAMLGELPPISEASVVVRGKVAYVPQVSWIFNATVRDNILFGSSFESARYEKALDVTALRHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVARQVFDKCVKGELRGKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEDLSNNGVLFQKLMENAGKMEDYAEENENSDIVDQKDSKPVANGVPNDMPKSASQSNKTKEGKSVLIKQEERETGVVSWNVLVRYKNALGGLWVVLVLFTCYILSEILRVSSSTWLSSWTDQSTTKMHGPGYYNLIYSLLSFGQVLVTLVNSYWLIISSLYAARRLHDAMLTSILRAPMTFFHTNPLGRIINRFAKDLGDIDRNVAPFVNMFLGQVSQLLSTFVLIGVVSTMSLWSIMPLLVLFYAAYLYYQSTAREVKRLDSVTRSPVYAQFGEALNGLSTIRAYKAYDRMADMNGKSMDNNIRFTLVNMSSNRWLAIRLETLGGLMIWFTATFAVMQNGRAQNQREYASTMGLLLSYALNITSLLTTVLRLASLAENSLNAVERVGTYIELPLEAPLIIENNRPPPAWPSSGSIKFEDVVLRYRPELPPVLHGLSFTISPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDGCDIAKFGLMDLRKVLGIIPQAPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDVIRRNSLGLYAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRILLLDSGRVLEYDTPEELLSNEGSSFSKMVQSTGDANAQYLRSLALGGGEDSVGREVNGQLDKQRKWLASSRWAAAAQFALAVSLTSSQNDLTRLEIEDENSILKKTRDAVITLQGVLEGKHDKTIEESLDQRQMSKDGWWSALYRMVEGLAIMSKLARSRLHQSDYGFEDRSIDWDQTEM >KJB51094 pep chromosome:Graimondii2_0_v6:8:48587929:48592285:1 gene:B456_008G2008002 transcript:KJB51094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSVTKASGPGLAEVQKVEWGAKEIHLADDKHIVSELPRCPNCSSLIALYLQGNYELTAIPPLFFQRMQLLQILDLSRTSIKSLPKSLRKLFALKKLLLQGCDLFMELSPQVGKLKNLEELHLDETQIMGLPKETGKLLKLQLLKVSFYHLCGKKTLKSDILIHPETISNLSQLAELSIDVNPADKRWDDSVEAVLKEVCNSKTLRTLSLYLPTFQLLDYVSLIYPSLSRFRFTVGHHKRRIISRVPHEVEAEFRKWDKCLRFVNGETIPTQIKGVLKYSTSFFLDHHTTAMNLSEFGIENMKGLKFCLLAECNKMETLIDGEMHYERNEDDQSESDPGSVQQMLESLEYLSIYYMEDLQCIWRGADRFVCMSKLKFLALHACPQLSEIFSLTLLENFINLEEIILEDCPRVTSLVSHASVKPIMSDKIFLPSLKRLLVLYLPELVSISNGLLIAPKLESIGCYNCPKLKSISKMELSSKTLKIIKGECEWWEGMNWNETEWGDGPGYLMHIFSPIDNQKDVMTQMVEGRDPHEATIQNEDQQLGDQKPLEVSTQDHRGQCLDYTEERMMGTDVKEPPSGCVFPSNPLCMTSHAPEQARSFTSGNNRSLEDDECFLVPNIVEVDVDEDEPKAKRWNHTENENKGVIGSASKTTRGNRAANQIRSKVLDDGYRWRKWGQKMVKGNPYPRLYYRCLSTCCLAKKYVERDSQDTSFFVTTYHGLHNHDEWNSRGLSKLHSQPCIDHRANNVKDAAEAAKSICPTK >KJB51095 pep chromosome:Graimondii2_0_v6:8:48587991:48592285:1 gene:B456_008G2008002 transcript:KJB51095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSVTKASGPGLAEVQKVEWGAKEIHLADDKHIVSELPRCPNCSSLIALYLQGNYELTAIPPLFFQRMQLLQILDLSRTSIKSLPKSLRKLFALKKLLLQGCDLFMELSPQVGKLKNLEELHLDETQIMGLPKETGKLLKLQLLKVSFYHLCGKKTLKSDILIHPETISNLSQLAELSIDVNPADKRWDDSVEAVLKEVCNSKTLRTLSLYLPTFQLLDYVSLIYPSLSRFRFTVGHHKRRIISRVPHEVEAEFRKWDKCLRFVNGETIPTQIKGVLKYSTSFFLDHHTTAMNLSEFGIENMKGLKFCLLAECNKMETLIDGEMHYERNEDDQSESDPGSVQQMLESLEYLSIYYMEDLQCIWRGADRFVCMSKLKFLALHACPQLSEIFSLTLLENFINLEEIILEDCPRVTSLVSHASVKPIMSDKIFLPSLKRLLVLYLPELVSISNGLLIAPKLESIGCYNCPKLKSISKMELSSKTLKIIKGECEWWEGMNWNETEWGDGPGYLMHIFSPIDNQKDVMTQMVEGRDPHEATIQNEDQQLGDQKPLEVSTQDHRDYTEERMMGTDVKEPPSGCVFPSNPLCMTSHAPEQARSFTSGNNRSLEDDECFLVPNIVEVDVDEDEPKAKRWNHTENENKGVIGSASKTTRGNRAANQIRSKVLDDGYRWRKWGQKMVKGNPYPRLYYRCLSTCCLAKKYVERDSQDTSFFVTTYHGLHNHDEWNSRGLSKLHSQPCIDHRANNVKDAAEAAKSICPTK >KJB47388 pep chromosome:Graimondii2_0_v6:8:2814941:2820007:1 gene:B456_008G024300 transcript:KJB47388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYAKALLGFLTFLGLQLPLILADCPLDLSGSNFTHVASLCSNKDDRGKCCRYMNAFVAFSVARYANMTSDLGVPSNLSDICIQSILQTMELYGVPRNATMFCGFGTKIPVSYDCRGRTTVTQMLESPKFMDVTRNCKLPLLRENDCRKCLNAGIVYLHHLVGSENNMTLSTCRDATFAALASQVDDTSAAEIATCFFQVQGFNIPPVSESSPSPPTPKASPSPFVAASPNQLVLGVPPEQKHRTYHLTIIPGIGIAVTVAAMMMLIVLVVLIRRKKRELEDSESMDMNSSKFFSSPRPMRKFQEGTSSMFRKYSYKETKKATENFNTIIGRGGFGTVYKAQFSDGSVVAVKRMDKVSEQAEDEFCREIELLARLHHRHLVALRGFCIEKRERFLMYEYMSNGSLKDHLHSPENTPLSWETRIQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDENFVAKVADFGLAHASKDGSICFEPVNTDIRGTPGYMDPEYVVTHELTDKSDVYSYGVLLLEIVTARRAVQDGKNLVESSQILMASESRLFELVDPQIKDSFDLDQLQTVVTIVRWCTQKEGRARPSIKQVLRLLYESADPMHSGFIQAVEDEDYEGSDGRGRTSRGKIPRSGPYYHSGDGRYLASSSSTSRSYCSRSFLLETGSPQSPQNVLSL >KJB49300 pep chromosome:Graimondii2_0_v6:8:34247455:34250838:-1 gene:B456_008G111400 transcript:KJB49300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEPAKPFEFSSFHFSEMASLWVSFLQYLPLLRRTWVLSLFRLSCLGLPLLTAKHFLDKSLYSVALATQLSLSPSTSLLWSTERQGEKKGYRNKFKIYHQLPDHDITLDAAWPELFMDRKGKYWEVPESISLDMSSLPSDSGLQYHFGIHKNSGHPQAFNALDGEAPSALMPGLCAKAAFSYQKSKDIWRRKETKEDLIIKTDKGSYWRPSYDVRLREPHAAISGIIGGTCAAWFEKGSKNVESQSEDNIPTTNNKRSPLSADFFGSACYTFQHGQFRKLYGDLTRVDARLDLSSLSSFAKRIFKSSSANKSLSSPRLNLIFQQQVAGPIVFRVDSKLLLDSKAGKLGPHIEDVIYSLSYSLRLLRSGKVVAWYSPKRKEGMIELRLFEF >KJB49299 pep chromosome:Graimondii2_0_v6:8:34247823:34250697:-1 gene:B456_008G111400 transcript:KJB49299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLESAIDSAFWDQSISCPRTLDGSAKSVPGEPFPLDGARASKALRIQQLSFLRNGFPLGIIPSISPPSQKDLGSFSLQTLLLRPSTSNWWLGLIGQFRPKKLISAIKTELQSADELELPVFRDAAKHFLDKSLYSVALATQLSLSPSTSLLWSTERQGEKKGYRNKFKIYHQLPDHDITLDAAWPELFMDRKGKYWEVPESISLDMSSLPSDSGLQYHFGIHKNSGHPQAFNALDGEAPSALMPGLCAKAAFSYQKSKDIWRRKETKEDLIIKTDKGSYWRPSYDVRLREPHAAISGIIGGTCAAWFEKGSKNVESQSEDNIPTTNNKRSPLSADFFGSACYTFQHGQFRKLYGDLTRVDARLDLSSLSSFAKRIFKSSSANKSLSSPRLNLIFQQQVAGPIVFRVDSKLLLDSKAGKLGPHIEDVIYSLSYSLRLLRSGKVVAWYSPKRKEGMIELRLFEF >KJB50669 pep chromosome:Graimondii2_0_v6:8:45947506:45947733:1 gene:B456_008G181700 transcript:KJB50669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAALKTCEIGFTYCVVISDESPADIWPALEISHNPGHESLQSARFWRSNSCVLSVKFQTWTALW >KJB47781 pep chromosome:Graimondii2_0_v6:8:5706301:5707857:-1 gene:B456_008G042900 transcript:KJB47781 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-galactose dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G33670) UniProtKB/Swiss-Prot;Acc:O81884] MLGKGLKALGVPRSEYIVSTKCGRYREGFDFSAERVTKSIDESLERLQLDYVDIFQCHDIEFGSLDQVVNETIPALQKLKEAGKIRFIGITGLPLEIFTYVLDRVPPGTVDVILSYCHYSINDSTLEDLLPYLKTKGVGVISASPLAMGLLTEFGPPEWHPASPELKSACQAAAVYCKEKGKNISKLAMQYSLSNKDISTVLVGMNSVKQVEENVAAATELALFGKDHETLAEVEAILKPVKNQTWPSGIQRS >KJB47780 pep chromosome:Graimondii2_0_v6:8:5705951:5708454:-1 gene:B456_008G042900 transcript:KJB47780 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-galactose dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G33670) UniProtKB/Swiss-Prot;Acc:O81884] MAAPKLEMRPLGNTGLKLSSVGFGASPLGSVFGSVSESDAVASVLEAFRLGINFFDTSPYYGATLSEKMLGKGLKALGVPRSEYIVSTKCGRYREGFDFSAERVTKSIDESLERLQLDYVDIFQCHDIEFGSLDQVVNETIPALQKLKEAGKIRFIGITGLPLEIFTYVLDRVPPGTVDVILSYCHYSINDSTLEDLLPYLKTKGVGVISASPLAMGLLTEFGPPEWHPASPELKSACQAAAVYCKEKGKNISKLAMQYSLSNKDISTVLVGMNSVKQVEENVAAATELALFGKDHETLAEVEAILKPVKNQTWPSGIQRS >KJB47782 pep chromosome:Graimondii2_0_v6:8:5705954:5708438:-1 gene:B456_008G042900 transcript:KJB47782 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-galactose dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G33670) UniProtKB/Swiss-Prot;Acc:O81884] MAAPKLEMRPLGNTGLKLSSVGFGASPLGSVFGSVSESDAVASVLEAFRLGINFFDTSPYYGATLSEKMLGKGLKALGVPRSEYIVSTKCGRYREGFDFSAERVTKSIDESLERLQLDYVDIFQCHDIEFGSLDQVVNETIPALQKLKEAGKIRFIGITGLPLEIFTYVLDRVPPGTVDVILSYCHYSINDSTLEDLLPYLKTKGVGVISASPLAMGLLTEFGPPEWHPASPELKSACQAAAVYCKEKGKNISKLAMQYSLSNKDISTVLVGMNSVKQNLHYSGKIMKL >KJB51655 pep chromosome:Graimondii2_0_v6:8:51354578:51357226:-1 gene:B456_008G227100 transcript:KJB51655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPREVQSPAGGLQVQQPQQMLMGHTSSSYPSNSGLISSNPTANNIPPSSTPCFPFNSLSSPVPLQRHQQQQQQQQQQQPKPLDSLNSVGFDGSPQFRYNTEPAAMKKKRGRPRKYAPDGNIALLQLAPTTPIASNSANHGDGDSVGLGSNSGVAGGGAVSEPPAKRNRGRPPGSSKRQMDALGGVGGVGFTPHVITVEAGEDIASKVMAFSQQGPRTVCILSANGAISNVTLRQPAMSCGTVTYEGRFEIISLSGSFLLSENNGSHSRSGGLSVSLAGSDGRVLGGGVAGMLHAASPVQVSLCC >KJB51653 pep chromosome:Graimondii2_0_v6:8:51353358:51357288:-1 gene:B456_008G227100 transcript:KJB51653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPREVQSPAGGLQVQQPQQMLMGHTSSSYPSNSGLISSNPTANNIPPSSTPCFPFNSLSSPVPLQRHQQQQQQQQQQQPKPLDSLNSVGFDGSPQFRYNTEPAAMKKKRGRPRKYAPDGNIALLQLAPTTPIASNSANHGDGDSVGLGSNSGVAGGGAVSEPPAKRNRGRPPGSSKRQMDALGGVGGVGFTPHVITVEAGEDIASKVMAFSQQGPRTVCILSANGAISNVTLRQPAMSCGTVTYEGRFEIISLSGSFLLSENNGSHSRSGGLSVSLAGSDGRVLGGGVAGMLHAASPVQVIVGSFIADGKKQSQDVSKTGPSSMLTSSMLNFGAPGLTGSPPSQGGSSESSDENGGSPLNRGSGFYGNSAPSIHNNNMQMYQLWTDHTQQ >KJB51654 pep chromosome:Graimondii2_0_v6:8:51353533:51357226:-1 gene:B456_008G227100 transcript:KJB51654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPREVQSPAGGLQVQQPQQMLMGHTSSSYPSNSGLISSNPTANNIPPSSTPCFPFNSLSSPVPLQRHQQQQQQQQQQQPKPLDSLNSVGFDGSPQFRYNTEPAAMKKKRGRPRKYAPDGNIALLQLAPTTPIASNSANHGDGDSVGLGSNSGVAGGGAVSEPPAKRNRGRPPGSSKRQMDALGGVGGVGFTPHVITVEAGEDIASKVMAFSQQGPRTVCILSANGAISNVTLRQPAMSLVV >KJB48603 pep chromosome:Graimondii2_0_v6:8:14390716:14393303:-1 gene:B456_008G077300 transcript:KJB48603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFGGLTENPRRFSRSEAPPGGGAVKKGMIDYSERQSGGSGNMITAAGENGTTDDQDCESEFEICPRQEGLEALVDEAPPKSAPSRSSSKRNRAAEVHNLSEKRRRSRINEKMKALQNLVPNANKTDKASMLDEVIEYLKHLQLQVRILSMRNGLSMHPMCLAGVPQPVQFSQTRIDTNASFTVPATQETQPQMVFDIPNQCSSSNPELVLDLPNMISSNTSVGLEFWNQSGPMDHFR >KJB48906 pep chromosome:Graimondii2_0_v6:8:23415761:23418520:-1 gene:B456_008G093300 transcript:KJB48906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSSIIATIRTSTMRTPAAIMKHVESFHFRCFLLTKPFSSFPSSLPIDPEPPDHDLPLLLHSILSKPNWQKHPSLPKLLPSISPSHVHSLFSLNPYLLPQTALDFSYWISQKPTFKHSVYSYSALLNILVAYKFFGPADKIRLAMIKSSASIHESRFVFELLMEMNKNEQLHSCFKLSLRSYNFLLMSLSKFLMIDEMKSVYSEMLNNRVSPNIFTWNTMVNGYCKIGNVVEAQRYVTKIVQAGLNPDTFTYTSLILGHCRNKDVDSAFRIFRMMPSKGCRRNEVSYTNLIHGLCEAERVDEAIKLFEAMGEDFCYPTVRTYTVIIFGLCETGRKSEGIKLFKEMSQKGCEPNAHTYTVIIDSLCKDNKVDEAREMVGRMLGKGLVPSVVTYNALIDGYCKHGAMEAALEVLGTMESNNCFPNERTYNELIAGFCKKNVHKAMAFLDKMLELKLVPNVVTYNSLIHGQCKMGQLDNAFRLLEMMKENGLVPDQWTYSVLIDSLCKVDRIEEARNLFDSLKEKSLKANEVIYTALIDGYCKVGKIGDANYLLDRMINQGCLPNSCTYNAIIDGLCNMKNMKEALSTVKKMAGMGVKPTVDTYTIFIKWMLKEGDFDHAHGNLDQLISSGCQPDVLTYTAFIRAYCGSGRLKEAEDMMIRMKEEGVFPDSLTYTLLLDAYGCLGLMHSAFDVLKCMFDAGCEPSHHTYSILFKYLLKDRGTKDDSSAVNLVSNGMLFDHADVWKSMEFDTALELFEKMRQHGCVPNANTYGKLIIGLCKVGRFVVVQKLFDHMRDQGISPGEDGYNSLLNCFCELGMYDDAMIVVDLMIKSSQLPYLDSYRQLICGLYDQGDKEKVDTLFANLLRCGYSSDEVAWKILIDGLLKKGLADRCSELLSIMEKMGCQLHPNTYSLLIEGIDGA >KJB49913 pep chromosome:Graimondii2_0_v6:8:39809350:39812491:1 gene:B456_008G145500 transcript:KJB49913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWGINGNKGRCYDFWVDFSECMSRCREPKDCGLLREDYLECLHHSKEFQRRNRIYKEEQRKLRAAARKDKEGENGVHHHA >KJB48276 pep chromosome:Graimondii2_0_v6:8:9808719:9814900:-1 gene:B456_008G061600 transcript:KJB48276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASKQQIYLHGDLDLTIVEARRLPNMDFMVNHLRSCLTCEPCKSPAQTAAKEGDSKIRGHRKIITSDPYVTVCLPQATVARTRVLKNSQNPKWNEHFIIPLAHPVTELDINVKDNDLFGADAIGTAKIPASRIATGEHITGWFPLIGPSGKPPKPDSAIYLDMKFTPCENNPLYKQGVASDPEQAGVRHTYFPLRKGSQVTLYQDAHVTDDLLPKIELDDGKVYSPAKCWEDICYAISEAHHLVYIVGWSVFHKVKLVREPTRPLPRGGDLTLGELLKYKSEEGVRVLLLVWDDKTSHDKFGIRTAGVMQTHDEETLKFFKHSSVTCVLAPRYASSKLGYFKQQVVGTMFTHHQKCVLVDTQAAGNNRKITAFVGGIDLCDGRYDTPEHRILRDLDTVFKDDFHNPTFPVGTMAPRQPWHDLHSKIEGPAAYDVLINFEQRWRKSTKWKEFSLLFKGKSHWSDDAMIRIERISWIQSPPLAVTDDGTTIVPDDDPKVHVLSKDNRENWNVQIFRSIDSGSLKGFPKYIKKAENQNLFCAKNLVIDKSIQAAYIQAIRSAQHYIYIENQYFLGSSYAWPSYKNAGADNLIPMELALKVASKIRAGERFAVYIIIPLWPEGDPKTATVQEILYWQSQTMQMMYDVVAQELKSMQIKDSHPRDYLNFYCLGNREEVSQEMLSGKDSVSDSAKFGRFMIYVHAKGMIVDDEYVIVGSANINQRSMAGTKDTEIAMGAYQPHYTWAKKKYPRGQVHGYRMSLWAEHLGELNKLFKEPESVECVKMVNSIAEENWKKFTDAEYSPLQGHLLMYPLQVDMDGKVNPLPEHENFPDVGGKVIGAHSIQLPDVLTT >KJB49151 pep chromosome:Graimondii2_0_v6:8:30938185:30939658:-1 gene:B456_008G102900 transcript:KJB49151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPKLEENVNSNIQGENDGPKLEVERDDPKAQLGNEDGMRASSALNNQVSEINTISTDSMSVNEKIELKDTVIDDNVKQSLDVVPMDDEQPLENKASVDERDDKNDTTTDISSKNDSGEMGYSGKLNLDSSGDESMEEDMLETKQIDPKCTSDEIGENSVENEEGMPVVVGDGSSTDRKDVFDVPAEKRKLYDDEAIGNTEATKRHKWNSDNLLVQQATNHTVTNNTSEDTPGERLVPPSQKPPTTSLRVDNFRRPFTLKAVRQLLGKTGIIASFWMDHIKTHCYVTYLSVEEAIETRNAIYNLQWPPNGGRLLVAEFVDPHEVENRVESPPPTTTVTDNCGFTAHQQQVSEQQPLSPPPPEEPDSPMLTLDDLFRKTKATPKIYYLPLSEEQVAAKQATHYRHTKHW >KJB51115 pep chromosome:Graimondii2_0_v6:8:48717765:48719086:1 gene:B456_008G201700 transcript:KJB51115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASQDTSKIHFHWTKKVGTEDDDVEQPSTFKQPNSNPSDQQDKKHENIKVKTHVGVPTPRKKLQAVAIARLRAVLTAFGKNRSNPPFGGLLGPRVVGTLFGSRRGHVHFAFQKEPNSPPAFLVELATPISVLVREMASGLVRIALECDKKDNEEKKAVRLLEEPVWRTYCNGKKCGFATRRDCGPKEWKILKAVEPISMGAGVLPLTEPEAGDGELMYMRAKFERIIGSRDSEAFYMMNPDSNGAPELSIYLLRV >KJB51305 pep chromosome:Graimondii2_0_v6:8:49686982:49690242:-1 gene:B456_008G210800 transcript:KJB51305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADDVNQQPGDAELCMLCKASSNPGEDIILCIVCQTPWHQSCLISSRESHFISDDYLGCPECENRINGDDLDTLTPTNNAVAASSFSAADNSMVAKILAIQADKSLTEEEKARKRQELLSGRPAVESPELEDKDDGEKNKGKVEKFKGKAKTTVKASKVSDESFNCTICLNMPERPVTTPCGHNFCLKCFNQSVRQGKRNCALCRKPIPAAMANQPRINLTLVSAIRMAKMLKSSGAGEGSSQVYEYVHNQDRPDKAYTTERAKRKGMANAASGRIFVTIPTDHFGPILAENEPVRNRGVLVGDTWGGRLECRQWGGHFPPITGIAGQQNHGAQSVILSGGYKDDEDHGEWFLYTGSGGRDLSGNKRTNKIQSFDQEFKSANEALRLSCRKGYPLRVIRCAKDKHSSYAPVKGLRYDGIYRVEKCWRNVGVQGFKVCRYLMLRCDNAPAPWTSDVHGDCPRPLPAIKELERASDITERKESPSWDFDEADGCWKWMKPPPMSRKKEKKNGEPYAASRKIVRRTVNKKPLSEAARKRIQKEFGCKICSDVLYEPVTTACGHNFCKLCLVGVFAGRSTLRERNAGGRNLRFKKNVMKCPACPNDLADDWYDLEVNIELKNLIAKQMRECENFSSAFEKRTMDEDSSEDLSGNSDNEEGSEDDEVELKPEKPSKQRKTN >KJB52495 pep chromosome:Graimondii2_0_v6:8:54411848:54416490:-1 gene:B456_008G265000 transcript:KJB52495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNEISKYCSQHSLVSTVAPLLLYFLLGIPIWDMDTKVLSSGIRYSNLPANYVRPESERPRLSEVSECEDVPVIDLGCEDRTHIIQQICHACMRYGFFQVINHGVSKETVEKMLQVAHDFFELPLEEKLKLYSDDPSKTMRLSTSFNVNKEKVHNWRDYLRLHCYPLHKYVPEWPSNPPPFKDIVSNYCVEVRELGYRLQELISQSLGLEKDYIKNVLGEQGQHMAVNYYPPCPEPELTYGLPGHTDPNALTILLQDLQVAGLQVLKDGKWLAVNPQPDAFVINIGDQLQALSNGRYKSVWHRAVVNANKERMSVASFLCPFDRALISPAKPLTEDGCEAVYRDFTYAEYYSKFWSRNLDQEHCLELFKN >KJB52496 pep chromosome:Graimondii2_0_v6:8:54412571:54416394:-1 gene:B456_008G265000 transcript:KJB52496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNEISKYCSQHSLVSTVAPLLLYFLLGIPIWDMDTKVLSSGIRYSNLPANYVRPESERPRLSEVSECEDVPVIDLGCEDRTHIIQQICHACMRYGFFQVINHGVSKETVEKMLQVAHDFFELPLEEKLKLYSDDPSKTMRLSTSFNVNKEKVHNWRDYLRLHCYPLHKYVPEWPSNPPPFKDIVSNYCVEVRELGYRLQELISQSLGLEKDYIKNVLGEQGQHMAVNYYPPCPEPELTYGLPGHTDPNALTILLQDLQVAGLQVLKDGKWLAVNPQPDAFVINIGDQLQVNLIFHFQR >KJB53084 pep chromosome:Graimondii2_0_v6:8:56659613:56664052:-1 gene:B456_008G292800 transcript:KJB53084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKKEEETKEISHEKGDDDAATAAVAEEKMKLSVDEVIEKYVGSFGLSQMLQVVLVSFSWVFDSQNTLVTIFTDAQPSGWRCKTSAINASLSPSWCMNDTAGGGGNEGQLAGHVCRLAAGSWEWTGGNSATTIAEWDLICHRKFLAAIPTSLFFIGSIFGCTFYGRLADGWLGRKNTLLLACILTSITTFFASLSPNVWIYSFLRFANGFARSGNGICSIVLSMEVVGNKWRGQVGQYGFFFYTAGLLSLPFIAYPIRTHWRYLYRIISLPPLVYIFLLVPLVVESPRWLLVRGRNKEALEVLRKLARWNRKKLPDNIELIIPSQATTTGSEGDKDTIGDENENIWTTRWAAKRMIIVMLFGFGVGFVYFGIQLNTENLNFNLYLTVIINALMEIPAIVIGGILLSFTNRRLLSSLSAISAGVLCILCIIFTTASSKNTSSSNWPKLTIEAIGFMASSITFDVLNIYCVELFPTNVRNFAVSMSRASLMLGASLSPSLVAVGRLSPSISFIVFGALSIVSGTLSVWLPETRNAPLYETLKQQEEEEEEKRRRLRQPTVV >KJB50275 pep chromosome:Graimondii2_0_v6:8:42878377:42879929:1 gene:B456_008G161800 transcript:KJB50275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRKLILVLFLLALVFGVAESFDYHESDLASEESLWDLYERWRSQHTVSRDLEEKQKRFNVFKENLKHIHKVNQMDKPYKLKLNKYADMTNHEFMSTRSSKVSHYRMLHGPRQMTDFRHYKTDNLPPSIDWRTKGAVTGIKDQGKCGSCWAFSTVVAVEGINKIKTGELVTLSEQELVDCDKENQGCEGGLMEQAFQFIKQSDGITTENYYPYRAKDESCDSSKLNGPVVIIDGYEMGVFIGDCGTELNHGVAVVGYGATLDGTKYWIVKNSWGEDWGEKGYIRMKRDVDAEEGLCGLTLEASYPVKLQSNNNRKSSPRSKAKDEL >KJB50274 pep chromosome:Graimondii2_0_v6:8:42878220:42880227:1 gene:B456_008G161800 transcript:KJB50274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKSMDMDIRKLILVLFLLALVFGVAESFDYHESDLASEESLWDLYERWRSQHTVSRDLEEKQKRFNVFKENLKHIHKVNQMDKPYKLKLNKYADMTNHEFMSTRSSKVSHYRMLHGPRQMTDFRHYKTDNLPPSIDWRTKGAVTGIKDQGKCGSCWAFSTVVAVEGINKIKTGELVTLSEQELVDCDKENQGCEGGLMEQAFQFIKQSDGITTENYYPYRAKDESCDSSKLNGPVVIIDGYEMVPEKDEKALM >KJB48188 pep chromosome:Graimondii2_0_v6:8:8354105:8355718:-1 gene:B456_008G056000 transcript:KJB48188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRILRKGCSENCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLINAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLKGAPITPIASEAAANGQGPPLKAYDIRHVSKDENSAASNDVQRVKTRCRVKRTLKPKVNNPVLEEENRSSEPPEPRCYADEGFEGNRSTSHESSLSHQSELAVMDGESKETESMISEETVAASRLFPAEPEFGGKVSEERTVEGSSEVGLELTLGFKPVMSRACQVVVPVKKRRVEAYGTFEDGQTCKVELGLDYPAA >KJB52845 pep chromosome:Graimondii2_0_v6:8:56163466:56166877:1 gene:B456_008G285800 transcript:KJB52845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSSIFTFRFLNFNSLFIKSHPSFKISAATKRTMTQFPNFSTKISNSSPANTAEDSQVSPPEPRPQSPPRKPWLIVGLGNPGKKFNGTRHNVGFMMVDAIAEAEGISINTVNFKAQIGKGFIGNVPVMLAKPQTFMNSSGESVGAIVSYYKIPLKQVLVIFDDLDLPFAKLRLLPKGGHGGHNGMRSIIDSFEGSRNFPRLRIGIGRPQGRMDTINFVLRAFNKQEREELEFTFHNGIEAVRILLLEGFDKSATYVNSTKAMEQLG >KJB52847 pep chromosome:Graimondii2_0_v6:8:56163519:56166857:1 gene:B456_008G285800 transcript:KJB52847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDAIAEAEGISINTVNFKAQIGKGFIGNVPVMLAKPQTFMNSSGESVGAIVSYYKIPLKQVLVIFDDLDLPFAKLRLLPKGGHGGHNGMRSIIDSFEGSRNFPRLRIGIGRPQGRMDTINFVLRAFNKQEREELEFTFHNGIEAVRILLLEGFDKSATYVNSTKAMEQLG >KJB52849 pep chromosome:Graimondii2_0_v6:8:56163519:56166857:1 gene:B456_008G285800 transcript:KJB52849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSSIFTFRFLNFNSLFIKSHPSFKISAATKRTMTQFPNFSTKISNSSPANTAEDSQVSPPEPRPQSPPRKPWLIVGLGNPGKKFNGTRHNVGFMMVDAIAEAEGISINTVNFKAQIGKGFIGNVPVMLAKPQTFMNSSGESVGAIVSYYKIPLKQVLVIFDDLDLPFAKLRLLPKGGHGGHNGYFLVSICYIMP >KJB52846 pep chromosome:Graimondii2_0_v6:8:56163616:56165774:1 gene:B456_008G285800 transcript:KJB52846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSSIFTFRFLNFNSLFIKSHPSFKISAATKRTMTQFPNFSTKISNSSPANTAEDSQVSPPEPRPQSPPRKPWLIVGLGNPGKKFNGTRHNVGFMMVDAIAEAEGISINTVNFKAQIGKGFIGNVPVMLAKPQTFMNSSGESVSELSQRAYILFLRD >KJB52848 pep chromosome:Graimondii2_0_v6:8:56163519:56166857:1 gene:B456_008G285800 transcript:KJB52848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSSIFTFRFLNFNSLFIKSHPSFKISAATKRTMTQFPNFSTKISNSSPANTAEDSQVSPPEPRPQSPPRKPWLIVGLGNPGKKFNGTRHNVGFMMVDAIAEAEGISINTVNFKAQIGKGFIGNVPVMLAKPQTFMNSSGESVGAIVSYYKIPLKQVLVIFDDLDLPFAKLRLLPKGGHGGHNGYFLVSICYIMP >KJB52850 pep chromosome:Graimondii2_0_v6:8:56163519:56166857:1 gene:B456_008G285800 transcript:KJB52850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSSIFTFRFLNFNSLFIKSHPSFKISAATKRTMTQFPNFSTKISNSSPANTAEDSQVSPPEPRPQSPPRKPWLIVGLGNPGKKFNGTRHNVGFMMVDAIAEAEGISINTVNFKAQIGKGFIGNVPVMLAKPQTFMNSSGWGHCIILQDSIEASSCDF >KJB49394 pep chromosome:Graimondii2_0_v6:8:35161202:35164877:1 gene:B456_008G117100 transcript:KJB49394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIEGASNPTMEEMQANGTGGVDELPLTSTSTRKNRPIVSGEPLDIEAYAGLYTGRTKIKRLMFIANHCDNPGMQLEALRMAYDEIKKGENTHLYREVVQKIDGRLGPNYAMDAAWCSTIERKAELRKEKLESELNAYRTNLIKESIRMGYNDFGDFYYAHGALGDAFKSYVRTRDYCTTSKHIIQMCLNAILVSIEMVQFSNVTSYVSKAEQTPEALDPPTVAKLCCAAGLAHLEAKKYKLAARKFLEVGPELGNSYSEVIAPQDVATYGGLCALASFDRTELKNKVIDNINFRDFLELVPEVRELINDFYSSHYASCLEYLGNLKSNLMLDIHLYDHVETLYDQIRNKALIQYTLPFVSVDMRMMADAFKTSVVGLEKELEALITDNQIQARIDSHNKILYARHADQRNATFQRVLQTGNEFDQEVRAMLLRSSLLKYDYNLRASRKH >KJB49564 pep chromosome:Graimondii2_0_v6:8:36926943:36929318:-1 gene:B456_008G127900 transcript:KJB49564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KDLQFFWPDLSLSKRQNLTLTKQVPIFKVSKFFFSVHSLLFVFSVMNDLSNASLTEHQTSFSCKPIPSLAFYTLLPLFFIGLFVSIFILVVVHNAAFFLSFLLLYALVASFLAWNAINWRHHNRSAFMFFLNSFPDSDLRLAREGQLVKVTGVASCGNLSLETSYERVGRCIYASTLLYEYGQFGLKPVNVRRSCFQWNLAYCEISI >KJB49562 pep chromosome:Graimondii2_0_v6:8:36926874:36929318:-1 gene:B456_008G127900 transcript:KJB49562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KDLQFFWPDLSLSKRQNLTLTKQVPIFKVSKFFFSVHSLLFVFSVMNDLSNASLTEHQTSFSCKPIPSLAFYTLLPLFFIGLFVSIFILVVVHNAAFFLSFLLLYALVASFLAWNAINWRHHNRSAFMFFLNSFPDSDLRLAREGQLVKVTGVASCGNLSLETSYERVGRCIYASTLLYEYGQFGLKPVNVRRSCFQWNLAYCERFSTDFYITDRISGIRAMVKAGSGCKVIPLITDSKLVTTTKQCRVLSPHLTNWLRERNLSADARLLRLEEGYIQEGNTVTVTGMLHKNDEVVTIVQPPELFSTGCLWQKLLLPIDVDGLILAPGCS >KJB49563 pep chromosome:Graimondii2_0_v6:8:36926943:36929318:-1 gene:B456_008G127900 transcript:KJB49563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KDLQFFWPDLSLSKRQNLTLTKQVPIFKVSKFFFSVHSLLFVFSVMNDLSNASLTEHQTSFSCKPIPSLAFYTLLPLFFIGLFVSIFILVVVHNAAFFLSFLLLYALVASFLAWNAINWRHHNRSAFMFFLNSFPDSDLRLAREGQLVKVTGVASCGNLSLETSYERVGRCIYASTLLYEYGQFGLKPVNVRRSCFQWNLAYCERFSTDFYITDRISGIRAMVKAGSGCKVIPLITDSKLVTTTKQCRVLSPHLTNWLRERNLSADARLLRLEEGKATP >KJB52797 pep chromosome:Graimondii2_0_v6:8:55540924:55545903:-1 gene:B456_008G277700 transcript:KJB52797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKAWKSDKKKIKVVFQLQFQATQVPRLKKSAVTIALVPEDVGKPTLKLEKVAVQDGSCLWENPVYETVKMIREIKTGKLSEKIYHFVVSNGSSKAGFLGEASIDFADFAAETEPVTVSLPLKFANSGAILHVTIHKIEGAEDQRYVGDGEGLTISREGSLQREGINYSDNVQTLTEDGQLDPAELYSSLRQNSMPKGAVDTGMTKKNMHRRTNTDWSASSTSDGSLAELAISPEDVPREWNKESENPVEKLRSENAMLLRQVEVSELELQSLRKQITKENKRTQDLSRQVTSLKEERDAMKVEFERLKSKNNLDEEVLESLSEDENEVLLKEIRQELDHQKELNANLRLQLQKTEDSNSNLILAVRDLNEMLEKKNREISRLSSEIEASTSIEEVRLNEQHDADEVHMMKHTITDLNAELEFYKKHKEELEMHIEELSRENDEISSQLKQNQQQESIKAQKESSEYLATIDELESQVQRLEDKLKQQSEEYSESLIAINELESQVKELNRELENRTQGFEEELNALMHSKTEQEQRAIRAEEALRKSRWTNAANAERLQDEFKKLSVEMASRIDENEKMTMKAVEEANDLQMQKRDLEEMLQKASEELELLKDQTAVEWQHLSHQLDLKAKQIEKMSMELTDKTSQLEYAQRQEKEKQEEFYKEIQMLKTEIQKLEEQRSQFSDPAKENGKQSDETKKVKASNEKTEMLIQRWNKERDELEKKITLAKKETEKAQKQLISTRSLKDKKEMVISNLQAEMENIRVECNDLKHSLVREEREKDKLRKQVSQLKNDLQKKEEEIGSIQKELKSSGGQADIASKSSHSTSAPQESKDITSLQKKMRLLKERINLKETALKTSANSAPEKESNLSNMIEELESFMEQLKVCHCFSADRCQKEPINVNKSEERRKSRDKLQSKTTVAEGMPLSTTAVPVESSDVNLAELLSEVEGLKERNKSMERELKDMEERYSEISLKFAEVEGERQQLVMTVRNLKNGKKN >KJB52798 pep chromosome:Graimondii2_0_v6:8:55540927:55545763:-1 gene:B456_008G277700 transcript:KJB52798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKAWKSDKKKIKVVFQLQFQATQVPRLKKSAVTIALVPEDVGKPTLKLEKVAVQDGSCLWENPVYETVKMIREIKTGKLSEKIYHFVVSNGSSKAGFLGEASIDFADFAAETEPVTVSLPLKFANSGAILHVTIHKIEGAEDQRYVGDGEGLTISREGSLQREGINYSDNVQTLTEDGQLDPAELYSSLRQNSMPKGAVDTGMTKKNMHRRTNTDWSASSTSDGSLAELAISPEDVPREWNKESENPVEKLRSENAMLLRQVEVSELELQSLRKQITKENKRTQDLSRQVTSLKEERDAMKVEFERLKSKNNLDEEVLESLSEDENEVLLKEIRQELDHQKELNANLRLQLQKTEDSNSNLILAVRDLNEMLEKKNREISRLSSEIEASTSIEEVRLNEQHDADEVHMMKHTITDLNAELEFYKKHKEELEMHIEELSRENDEISSQLKQNQQQESIKAQKESSEYLATIDELESQVQRLEDKLKQQSEEYSESLIAINELESQVKELNRELENRTQGFEEELNALMHSKTEQEQRAIRAEEALRKSRWTNAANAERLQDEFKKLSVEMASRIDENEKMTMKAVEEANDLQMQKRDLEEMLQKASEELELLKDQTAVEWQHLSHQLDLKAKQIEKMSMELTDKTSQLEYAQRQEKEKQEEFYKEIQMLKTEIQKLEEQRSQFSDPAKENGKQSDETKKVKASNEKTEMLIQRWNKERDELEKKITLAKKETEKAQKQLISTRSLKDKKEMVISNLQAEMENIRVECNDLKHSLVREEREKDKLRKQVSQLKNDLQKKEEEIGSIQKELKSSGGQADIASKSSHSTSAPQESKDITSLQKKMRLLKVERINLKETALKTSANSAPEKESNLSNMIEELESFMEQLKVCHCFSADRCQKEPINVNKSEERRKSRDKLQSKTTVAEGMPLSTTAVPVESDVNLAELLSEVEGLKERNKSMERELKDMEERYSEISLKFAEVEGERQQLVMTVRNLKNGKKN >KJB52796 pep chromosome:Graimondii2_0_v6:8:55540903:55545903:-1 gene:B456_008G277700 transcript:KJB52796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKAWKSDKKKIKVVFQLQFQATQVPRLKKSAVTIALVPEDVGKPTLKLEKVAVQDGSCLWENPVYETVKMIREIKTGKLSEKIYHFVVSNGSSKAGFLGEASIDFADFAAETEPVTVSLPLKFANSGAILHVTIHKIEGAEDQRYVGDGEGLTISREGSLQREGINYSDNVQTLTEDGQLDPAELYSSLRQNSMPKGAVDTGMTKKNMHRRTNTDWSASSTSDGSLAELAISPEDVPREWNKESENPVEKLRSENAMLLRQVEVSELELQSLRKQITKENKRTQDLSRQVTSLKEERDAMKVEFERLKSKNNLDEEVLESLSEDENEVLLKEIRQELDHQKELNANLRLQLQKTEDSNSNLILAVRDLNEMLEKKNREISRLSSEIEASTSIEEVRLNEQHDADEVHMMKHTITDLNAELEFYKKHKEELEMHIEELSRENDEISSQLKQNQQQESIKAQKESSEYLATIDELESQVQRLEDKLKQQSEEYSESLIAINELESQVKELNRELENRTQGFEEELNALMHSKTEQEQRAIRAEEALRKSRWTNAANAERLQDEFKKLSVEMASRIDENEKMTMKAVEEANDLQMQKRDLEEMLQKASEELELLKDQTAVEWQHLSHQLDLKAKQIEKMSMELTDKTSQLEYAQRQEKEKQEEFYKEIQMLKTEIQKLEEQRSQFSDPAKENGKQSDETKKVKASNEKTEMLIQRWNKERDELEKKITLAKKETEKAQKQLISTRSLKDKKEMVISNLQAEMENIRVECNDLKHSLVREEREKDKLRKQVSQLKNDLQKKEEEIGSIQKELKSSGGQADIASKSSHSTSAPQESKDITSLQKKMRLLKERINLKETALKTSANSAPEKESNLSNMIEELESFMEQLKVCHCFSADRCQKEPINVNKSEERRKSRDKLQSKTTVAEGMPLSTTAVPVESDVNLAELLSEVEGLKERNKSMERELKDMEERYSEISLKFAEVEGERQQLVMTVRNLKNGKKN >KJB47827 pep chromosome:Graimondii2_0_v6:8:5805904:5806917:-1 gene:B456_008G043700 transcript:KJB47827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNEISHFSHPQHILKFEYTEVPFKCDGCKEVGIGSRYRCSFCDFDLHMHCTVPSLSISHPFYTKCSFQFLSRPPGDTPRYCNACEKDVTGFVYHCKTCGFDLHPCCAKLPMVLDDGEVKLYLYRKVRSPCHRCGRKGRSWSYQSACKKYNLHVACVREMLVENWHELYFGGGKGSRKLQSQIPSLKNTLQTPHKRSKGKVKKCCEMAALALQFIISAVLGDPTALIAGVIGTLMSRA >KJB50071 pep chromosome:Graimondii2_0_v6:8:40948256:40949167:1 gene:B456_008G152200 transcript:KJB50071 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g03330 [Source:Projected from Arabidopsis thaliana (AT4G03330) UniProtKB/TrEMBL;Acc:Q0WPM4] MNDLFSSSFKKYSDLKQQVHLDDVEAGEETVNLDNFFEDVDNVKDDMRVVEKIYNRLQESNEETKTAHSAKAMKDLRARMDSDVKQLLKRVKVIKGKLEALEKSNAAHQKLPGSGPGSSAYRTRTSLVSGLGSKLKDMMDDFQGLRAKMTAEYKETVERRYFTVTGQKADEEMIENLIERGESETLFEKAIQEQGREQIVDTISEIQERHDAIQEIEKGLIELHQLFLDMAVLVEAQGYQLNDIESHVARASSFVMRGADQLELAKEYQKSSKKWGCIALAIAVFLLVIILFPVLSSTLMKNA >KJB50232 pep chromosome:Graimondii2_0_v6:8:42527106:42529488:1 gene:B456_008G159800 transcript:KJB50232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPVRCFTCGKVIGNKWDTYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKSETS >KJB50231 pep chromosome:Graimondii2_0_v6:8:42527042:42529603:1 gene:B456_008G159800 transcript:KJB50231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPVRCFTCGKVIGNKWDTYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKSETS >KJB49963 pep chromosome:Graimondii2_0_v6:8:40071901:40077776:-1 gene:B456_008G147400 transcript:KJB49963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEASNSSEWSREQDKAFENALATYPEDTSDRWEKIAADVPGKTLEEIKQHYELLEDDVNRIESGCVPLPAYSSSEGSTCHAGDEVTSKKGSDHLGHFNSESDHGSKNSRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSINKDRRRSSIHDITSVGNGEILATQGPITGEPNGAATGGSSAKSAKQPSQLPAAPPGVGMYSSPTIGQPIGGPLVSTVGTPVNLPAPPHLAYGAVVPSAPMNMGPVKYSMPHKSDHR >KJB49966 pep chromosome:Graimondii2_0_v6:8:40072185:40077226:-1 gene:B456_008G147400 transcript:KJB49966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEASNSSEWSREQDKAFENALATYPEDTSDRWEKIAADVPGKTLEEIKQHYELLEDDVNRIESGCVPLPAYSSSEGSTCHAGDEVTSKKGSDHLGHFNSESDHGSKNSRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSINKDRRRSSIHDITSVGNGEILATQGPITGEPNGAATGGSSAKSAKQPSQLPAAPPGVGMYSSPTIGQPIGGPLVSTVGTPVNLPAPPHLAYGAVVPSAPMNMGPVKYSMPHKSDHR >KJB49969 pep chromosome:Graimondii2_0_v6:8:40071864:40077857:-1 gene:B456_008G147400 transcript:KJB49969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEASNSSEWSREQDKAFENALATYPEDTSDRWEKIAADVPGKTLEEIKQHYELLEDDVNRIESGCVPLPAYSSSEGSTCHAGDEVTSKKGSDHLGHFNSESDHGSKNSRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSINKDRRRSSIHDITSVGNGEILATQGPITGEPNGAATGGSSAKSAKQPSQLPAAPPGVGMYSSPTIGQPIGGPLVSTVGTPVNLPAPPHLAYGAVVPSAPMNMGPVKYSMPHKSDHR >KJB49970 pep chromosome:Graimondii2_0_v6:8:40071901:40077890:-1 gene:B456_008G147400 transcript:KJB49970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLATAPSGVESKIRHLRMPLQHILRTPQIGGRKSRLISSEGSTCHAGDEVTSKKGSDHLGHFNSESDHGSKNSRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSINKDRRRSSIHDITSVGNGEILATQGPITGEPNGAATGGSSAKSAKQPSQLPAAPPGVGMYSSPTIGQPIGGPLVSTVGTPVNLPAPPHLAYGAVVPSAPMNMGPVKYSMPHKSDHR >KJB49964 pep chromosome:Graimondii2_0_v6:8:40071864:40077636:-1 gene:B456_008G147400 transcript:KJB49964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEASNSSEWSREQDKAFENALATYPEDTSDRWEKIAADVPGKTLEEIKQHYELLEDDVNRIESGCVPLPAYSSSEGSTCHAGDEVTSKKGSDHLGHFNSESDHGSKNSRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSINKDRRRSSIHDITSVGNGEILATQGPITGEPNGAATGGSSAKSAKQPSQLPAAPPGVGMYSSPTIGQPIGGPLVSTVGTPVNLPAPPHLAYGAVVPSAPMNMGPVKYSMPHKSDHR >KJB49968 pep chromosome:Graimondii2_0_v6:8:40071901:40077888:-1 gene:B456_008G147400 transcript:KJB49968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEASNSSEWSREQDKAFENALATYPEDTSDRWEKIAADVPGKTLEEIKQHYELLEDDVNRIESGCVPLPAYSSSEGSTCHAGDEVTSKKGSDHLGHFNSESDHGSKNSRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSINKDRRRSSIHDITSVGNGEILATQGPITGEPNGAATGGSSAKSAKQPSQLPAAPPGVGMYSSPTIGQPIGGPLVSTVGTPVNLPAPPHLAYGAVVPSAPMNMGPVKYSMPHKSDHR >KJB49967 pep chromosome:Graimondii2_0_v6:8:40071864:40077888:-1 gene:B456_008G147400 transcript:KJB49967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEASNSSEWSREQDKAFENALATYPEDTSDRWEKIAADVPGKTLEEIKQHYELLEDDVNRIESGCVPLPAYSSSEGSTCHAGDEVTSKKGSDHLGHFNSESDHGSKNSRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSINKDRRRSSIHDITSVGNGEILATQGPITGEPNGAATGGSSAKSAKQPSQLPAAPPGVGMYSSPTIGQPIGGPLVSTVGTPVNLPAPPHLAYGAVVPSAPMNMGPVKYSMPHKSDHR >KJB49965 pep chromosome:Graimondii2_0_v6:8:40072185:40077226:-1 gene:B456_008G147400 transcript:KJB49965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEASNSSEWSREQDKAFENALATYPEDTSDRWEKIAADVPGKTLEEIKQHYELLEDDVNRIESGCVPLPAYSSSEGSTCHAGDEVTSKKGSDHLGHFNSESDHGSKNSRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSINKDRRRSSIHDITSVGNGEILATQGPITGEPNGAATGGSSAKSAKQPSQLPAAPPGVGMYSSPTIGQPIGGPLVSTVGTPVNLPAPPHLAYGAVVPSAPMNMGPVKYSMPHKSDHR >KJB52466 pep chromosome:Graimondii2_0_v6:8:54338865:54339994:1 gene:B456_008G263100 transcript:KJB52466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEKQYLDWVLVPMGILLMVAYHVWLLYRILKHPTKTVIGVNAINRRFWVQAMMEEASKNGVLAVQTFRNNIMASTLLASTAIMLSSLIAVLMTNGKGDRSSWFIFGDESDLAFSIKFFSILVCFLVAFLLNLQSIRYYSHASILINVPVKKMSHHHHHHHLTVEYVANTVNRGSYFWSLGLRAFYFSFPLFLWIFGPLPMFFCCIALVFMLYFLDVTFQFGWAVGVVNDNGHTGDEELGGSVRS >KJB49159 pep chromosome:Graimondii2_0_v6:8:31212716:31218201:1 gene:B456_008G103400 transcript:KJB49159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHFLLRWMWEAIYFGFHAIVCNVLHCQPVTIVVWCSVIYGASIMQDRDLSEYRPSGSSSSRHVPCSHQLCESSLNCKSSKQQCPYTIDYYTENTSSSGLLVEDTLHLASVDDHKLNTSVQASVIIGCGMKQSGGYLDGVAPDGLMGLGPGEISVPSVLAKAGLIRNSFSMCFDDEDSGRIYFGDKGPPTQESTHFLPSDGKYETYIVGVEACCIGNSCLKQMGFSAVVDSGTSFTFLPNEVYERIAKEFDRQINATITSYPGYPWKYCYKSSSEELPKVPHLKLVFPLNNSFVVFNPVFVVYGIQGISGFCLAVQPMEGDVGTIGQNFMTGYRMVFDREKMKLGWSPSNCQDLADGKRMSLSPNGTPSNPLPTNEQQSTPGGRAVAPAVAGRAPPSKPSAAAHTHLPSSSLQFCYINLLPMLVLWHLLVSSFPIDTGTTTHG >KJB49161 pep chromosome:Graimondii2_0_v6:8:31212716:31218201:1 gene:B456_008G103400 transcript:KJB49161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHFLLRWMWEAIYFGFHAIVCNVLHCQPVTIVVWCSVIYGASIMQDRDLSEYRPSGSSSSRHVPCSHQLCESSLNCKSSKQQCPYTIDYYTENTSSSGLLVEDTLHLASVDDHKLNTSVQASVIIGCGMKQSGGYLDGVAPDGLMGLGPGEISVPSVLAKAGLIRNSFSMCFDDEDSGRIYFGDKGPPTQESTHFLPSDGKYETYIVGVEACCIGNSCLKQMGFSAVVDSGTSFTFLPNEVYERIAKEFDRQINATITSYPGYPWKYCYKSSSEELPKVPHLKLVFPLNNSFVVFNPVFVVYGIQGISGFCLAVQPMEGDVGTIGQNFMTGYRMVFDREKMKLGWSPSNCKFS >KJB49158 pep chromosome:Graimondii2_0_v6:8:31212684:31218305:1 gene:B456_008G103400 transcript:KJB49158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTRSLILILVSFLAFSSSHVSADFTYSSRLIHRFSDEAKLLRVLRNDGGVRWPEMKNLEYYELLVNSDFQRQKMKLGTKYNLVFPSQGSKTTSFGNDFGWLHYTWIDIGTPNVSFLVALDVGSDLLWVPCDCVQCAPLSASYYSSLDRDLSEYRPSGSSSSRHVPCSHQLCESSLNCKSSKQQCPYTIDYYTENTSSSGLLVEDTLHLASVDDHKLNTSVQASVIIGCGMKQSGGYLDGVAPDGLMGLGPGEISVPSVLAKAGLIRNSFSMCFDDEDSGRIYFGDKGPPTQESTHFLPSDGKYETYIVGVEACCIGNSCLKQMGFSAVVDSGTSFTFLPNEVYERIAKEFDRQINATITSYPGYPWKYCYKSSSEELPKVPHLKLVFPLNNSFVVFNPVFVVYGIQGISGFCLAVQPMEGDVGTIGQNFMTGYRMVFDREKMKLGWSPSNCQDLADGKRMSLSPNGTPSNPLPTNEQQSTPGGRAVAPAVAGRAPPSKPSAAAHTHLPSSSLQFCYINLLPMLVLWHLLVSSFPIDTGTTTHG >KJB49160 pep chromosome:Graimondii2_0_v6:8:31212716:31218201:1 gene:B456_008G103400 transcript:KJB49160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHFLLRWMWEAIYFGFHAIVCNVLHCQPVTIVVWCSVIYGASIMQDRDLSEYRPSGSSSSRHVPCSHQLCESSLNCKSSKQQCPYTIDYYTENTSSSGLLVEDTLHLASVDDHKLNTSVQASVIIGCGMKQSGGYLDGVAPDGLMGLGPGEISVPSVLAKAGLIRNSFSMCFDDEDSGRIYFGDKGPPTQESTHFLPSDGKYETYIVGVEACCIGNSCLKQMGFSAVVDSGTSFTFLPNEVYERIAKEFDRQINATITSYPGYPWKYCYKSSSEELPKVPHLKLVFPLNNSFVVFNPVFVVYGIQGISGFCLAVQPMEGDVGTIGQNFMTGYRMVFDREKMKLGWSPSNCQDLADGKRMSLSPNGTPSNPLPTNEQQSTPGGRAVAPAVAGRAPPSKPSAAAHTHLPSSSLQFCYINLLPMLVLWHLLVSSFPIDTGTTTHG >KJB51004 pep chromosome:Graimondii2_0_v6:8:48138476:48141013:-1 gene:B456_008G196900 transcript:KJB51004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLSSNQIHVKCPQTPSLPSHLLLFRPPTDLCAHLGQLTLTHFDFEPRPRLYEDPNAASPSWITTPTDPITYGTASPVAALNSASKNDWMKQSLVNNVIGSTMNQSNLIQPWQYDVCNVVCKTKDVCKRHLMGKNHPRNLQAMINPVTALFPEISNTINNLIFGASGVANFHELDRKKQQLLNAGAPVGSIRLCTICNVACNSHDAFVKHLSGRRHVAQVRLIAIDGIGPYLAAIQANDQFWNKGKKITKNKISQPTWCEVCQINCNSSNVYAKHLSGKKHLKNLQNLEKSKNSTCYSSSIDTPIAANLVIGAVENPAADGCSGADAQKSKKMIARSEAAKEDLETKKQKVIEGGAAATAIRVCVICNVVCNSQTVFNYHLTGQKHATMVKKLANGRIPTDTSNEIKTDIDREFIG >KJB51809 pep chromosome:Graimondii2_0_v6:8:51883194:51884251:1 gene:B456_008G232600 transcript:KJB51809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSEVSSALQYIEQYLLDENQFTPAGLGSFSTANQWINEPIPEVSTSPSQSLCSQTSTSGSCLTLSNFNCLDDDDFFNFSPNFPAVKPPTPSSSSSSSHNRKPSLKISLPHKVEWIQFRKPDSTKAQPTNSNSEDKNKHYRGVRQRPWGKFAAEIRDPNRRGSRIWLGTFDTAIEAAKAYDRAAFKLRGSKAILNFPLEAGKLDVTAVDGERKRSRDDGAGNEKQVKAVKRANDDVTKARDNGDAPLTPSNWTSFLDNMPLLSPLSPHPPLGFQQVKVI >KJB48875 pep chromosome:Graimondii2_0_v6:8:22159803:22161796:1 gene:B456_008G091400 transcript:KJB48875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKKLQCNHCKIKLSKNKDGTITQYKRHLDGCVKHQASLKGQGNLFLPPQAPRSDSASGIQTWKYDQENIREVVSQMIMGHELPFAFTKYELFTLLMKIASLHHKCLQNWGIEGKVCLIFVGSASYNDAVVRMLKDSLSIYKRLPLNGKLCYVCCCAHILNLLVHDGLSKIEGVIDNVRESVKYITASTMLLTMFSDIVKQLQLPNKRLILDCCTRWNATYAMLFKDVFSRYAQRDASYKYFPSDEDWVRVEEVCSFLALFNEVNIIISGSEYPTSNLFFPELWSIKELLMEKSLSEELWIRQMADKMQIKFDKYWDECSLLISIVVILDPKNKMKLIYLYELYKEYVDEYAAANVVTSMENDVQECGVSNASTISRIGKGKVMTRRSKFERYSRSVDKVDNESCSDFDVLEWWKVNNLKFRILSKMTCEILSIPITRWLQNLLLVLVEEWLMHIVHL >KJB47371 pep chromosome:Graimondii2_0_v6:8:2646119:2649895:1 gene:B456_008G023200 transcript:KJB47371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKRSNSHCFSFLLLVFLMVPSLALNTDGVLLLSFKHAILSDPLSVLQSWNRDDKTPCAWTGVTCTEIGLPGTPDRLRVTSLVLPNSHLHGSISEDLGHIQHLSHLDLSSNSFNGTLPSSIFNSTELQVLSLSGNLISGSLPESIGALGSLQLLNLSHNALAGKVPENLTALQNLSVVSLKGNYLSGNIPSGFDSVEALDLSSNLFNGSLPLDLGGVHLNYLNLSYNKISGSISPEFAKKLPQNATIDLSFNNLSGAIPESVALLNQKMEFFSGNFDLCGKPLKTLCAVPSTLSTPPNMSQSISPAIAVIPNTVVSTPVTSSSPNNIQNQARGSLKPGTIAAIAVADLAGISILGMIILYVYQLKKRKDHLDDPSTAATSCNVLKQPKVIVSKTNVELRTKMPPPPPSSSSSPPCSCSCMKLKLIETSETNSSDSDLEEKNQVINVNQRPGKLVTVDGETELELETLLKASAYILGTSGWSIVYKAVLENGTAFAVRRIGESSVERLKDFESHVRMIAKLRHPNLVKIRGFYWGDAEKLVIYDYVSNGSLACTTTAYRRSGSSSVWHLPLEARLKIARGVARGLAYIHEKKQVHGNIKPTNILLDSNMEPIISDLGLDRLLSRNGATYKPNNSSSIRFLSSQRSTASRDAPSDHPTTPTNPSPHAAATCSTPYQALESLKNLKPNPKWDVYSFGMILLELLSGRVLSTGELEQWAVAAASIGEEKNQAVRLGDMAIKGDMEGKEEAILTCFRLGFSCVSVVPQKRPSMKEAVQILEKITWC >KJB47372 pep chromosome:Graimondii2_0_v6:8:2645695:2649897:1 gene:B456_008G023200 transcript:KJB47372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKRSNSHCFSFLLLVFLMVPSLALNTDGVLLLSFKHAILSDPLSVLQSWNRDDKTPCAWTGVTCTEIGLPGTPDRLRVTSLVLPNSHLHGSISEDLGHIQHLSHLDLSSNSFNGTLPSSIFNSTELQVLSLSGNLISGSLPESIGALGSLQLLNLSHNALAGKVPENLTALQNLSVVSLKGNYLSGNIPSGFDSVEALDLSSNLFNGSLPLDLGGVHLNYLNLSYNKISGSISPEFAKKLPQNATIDLSFNNLSGAIPESVALLNQKMEFFSGNFDLCGKPLKTLCAVPSTLSTPPNMSQSISPAIAVIPNTVVSTPVTSSSPNNIQNQARGSLKPGTIAAIAVADLAGISILGMIILYVYQLKKRKDHLDDPSTAATSCNVLKQPKVIVSKTNVELRTKMPPPPPSSSSSPPCSCSCMKLKLIETSETNSSDSDLEEKNQVINVNQRPGKLVTVDGETELELETLLKASAYILGTSGWSIVYKAVLENGTAFAVRRIGESSVERLKDFESHVRMIAKLRHPNLVKIRGFYWGDAEKLVIYDYVSNGSLACTTTAYRRSGSSSVWHLPLEARLKIARGVARGLAYIHEKKQVHGNIKPTNILLDSNMEPIISDLGLDRLLSRNGATYKPNNSSSIRFLSSQRSTASRDAPSDHPTTPTNPSPHAAATCSTPYQALESLKNLKPNPKWDVYSFGMILLELLSGRVLSTGELEQWAVAAASIGEEKNQAVRLGDMAIKGDMEGKEEAILTCFRLGFSCVSVVPQKRPSMKEAVQILEKITWC >KJB52936 pep chromosome:Graimondii2_0_v6:8:55998652:56000839:1 gene:B456_008G284100 transcript:KJB52936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWK >KJB52938 pep chromosome:Graimondii2_0_v6:8:55998652:56000839:1 gene:B456_008G284100 transcript:KJB52938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >KJB52937 pep chromosome:Graimondii2_0_v6:8:55998820:55999939:1 gene:B456_008G284100 transcript:KJB52937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWK >KJB47734 pep chromosome:Graimondii2_0_v6:8:5161626:5162065:-1 gene:B456_008G040000 transcript:KJB47734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTALNQKPNFHARSNSLPYEHLCRLKSSETASSSSHSINQKLNDLRDLYDLVDSLVQLPHSQNSLAQQCTDKQVDELLNGSLRLLDVCGVAKSALLQAKEDTQKLQSILRKKGDEAEIITEAKGYLASRKKAKK >KJB51082 pep chromosome:Graimondii2_0_v6:8:48524390:48525537:1 gene:B456_008G200300 transcript:KJB51082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSFDSQPNSTEKENGDLFSKSFQDIISTLPKGNSWGFPDHLLQYHGFWHSSVFLQGILSAQQQFQAQPADIILCSAPKTGTTWLKSLTYATITRTSYDDSTSPLLSKMPHDVVPFMELDHAHFSTHRHLGIPVLATHMPYSALPKSVTDSGCKIVYICRDPKDSFVSLYLFLSKYQKSQNMQTFNLDEAFEQFCQGVCWYGPYWDHVLEFWTASLEHPDKILFLKYEEMRDDTILYVQRLAEFIGCPFSSEEEEKGVPEKIVKMCSFENLSNLEVNKNGKHRVGGAENANYFRKGKVGDWENWLTPEMAARLDQITVQKLSGSGLTL >KJB52890 pep chromosome:Graimondii2_0_v6:8:55854176:55855201:-1 gene:B456_008G282100 transcript:KJB52890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 120 [Source:Projected from Arabidopsis thaliana (AT5G62180) UniProtKB/Swiss-Prot;Acc:Q9LVB8] MSDQTKTVPSSNPTVVDDPYKRLEITRNPNGSLTRNPNRFPNTSATPDPDPTVPVLSKDVTINQTTHTWARVFLPRQKPLDTNKFPLIVYYHAGGFIHCSAASTIFHVFCSNMALELQAIVVSVDYRLAPEHRLPAAYDDAIEALLWIKTTQEEWLTQYANFTTCFVMGSSSGANIAYHAGIRVAEEADGLRPWKIRGLILHQPFFGGSVKVESELRLVNDPVLPPGVGDLMWELALPIGADRDHEYCNPTTTKGTNLTEKLAAVGWKVLVTGCDGDPLIDRQVELVKSMEEKGVKVVSHFRMGDHHGVDFMQPDKANALFLVLKDFIASATSVTINANVE >KJB49914 pep chromosome:Graimondii2_0_v6:8:39816213:39818221:1 gene:B456_008G145600 transcript:KJB49914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETPFSKLSDDVVLNIFSKLEHHPKSWACLACVCTKFSSLIHNTCFKQKCFKTIPAVCAGLLSSSSVPPGGWSSLHKLSVCCPGLHHAGVLLDHSDFGFAREIGPEVKYPKLANSQFSPPPTEPWSSKVEGNPNPDPENNAPGSDCSWSLFDDLCYDTVSNPSESIDGYKVERIDNRDIKTGREFLVCKRRKLSRPLRSHLADGVWNLSREQGNKLLASRFRGDCLYICDWPGCIHMEEKRNYMLFRGVFNDFKKSRVWRTINDGNRRKIDLSCAFCSCKQTWDLHSAFCLRRVFGYHDDGEPVVRAYVCENGHVSGAWTDLPLYT >KJB48517 pep chromosome:Graimondii2_0_v6:8:12919608:12922077:1 gene:B456_008G073300 transcript:KJB48517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLDLSLVYVPKTISEFLKEVSKIKNGFQRLAKITDYLQRLEDEMKKIDAFKRELPLCMLLLKDGIERLKEEEIQCKEMNDGSVTEENGRETMDNDGGDKKNWMSSVQLWNSNFNNVDPHNKPNTVPELKLTSEEGEDGSESENPIEICNKQRKGGAFVPFKEQADKKVSGLSLMTPSSELASCILKNNGGCRIGSGSSLYAQQNQIKFQTKSQIRHEEQPQQQNSRKQRRCWSPELHRRFVEALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHIRKLPASSSGQGNELCSAQNQCNVKMKASMSQSGSPQGPLLGSASAKDMSSTGGDSMDTEDEKSDGHSWRSGIKKPGEVDV >KJB51426 pep chromosome:Graimondii2_0_v6:8:50339415:50339979:-1 gene:B456_008G216300 transcript:KJB51426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TYYNGCLGAICGCRLVKAFQKTYYNEYGGGANDLQSGFNKGTGLGAEIIGTFVLVYTIFSTTDPKRNARDSRVPVLAPLPIGFAVFMVHLATIPVTGTGINLARSFGAAVIYDKVEVDQNTHHAKLVNIPGSYTKSVE >KJB50578 pep chromosome:Graimondii2_0_v6:8:45391619:45393384:-1 gene:B456_008G177700 transcript:KJB50578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFIYVVLFLAMSGHSSANYCLCKDGVNEQTLQKTLDYACGAGADCTPINQNGPCYNPNTVKDHCNYAVNSYFQKKGQAQGSCDFSGTATVSVNPPSNIPSTCSFPSSGTSTTPSTGTPTTPTTGTPTSTPTTGTPTTPTTGTPTTGTPTTPTTGTPTTGTSTGIPSTTPTTGTTTGSPTVFGGGTTSLGPSGTTTGINDPSHAVTLFTSTNNNILFTLAVTLWILAYYWA >KJB46736 pep chromosome:Graimondii2_0_v6:8:30582837:30592322:-1 gene:B456_008G102300 transcript:KJB46736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPSTQFVPSRMMGTYEPIHQIGMWGENFKSIGNPSISASVIVEVDNKLENESETASHEMPAPSCKHDQEASKPFDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLIQLEKELEQAREQQGLYIGGGLEAGHLGFSGVVNPGIAAFEMEYKHWMQVQNRQLGELRTALNAQISDIELRIVVENGMSHYFELFRMKSTVAKADVFYVMSGTWKTSAERFFSWIGGFRPSELLKVLVAQLDPLTDQQIFEVCNLKQSCQQAEDALSQGMEKLQETVSATLAAGQLGQGTYFPQVAMAMEKLEALVCFVNQADHLRQETLQQMSHILTTRQAARGLLALGEYIQRLQDLSTLWATHPREPA >KJB46735 pep chromosome:Graimondii2_0_v6:8:30582424:30593695:-1 gene:B456_008G102300 transcript:KJB46735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPSTQFVPSRMMGTYEPIHQIGMWGENFKSIGNPSISASVIVEVDNKLENESETASHEMPAPSCKHDQEASKPFDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLIQLEKELEQAREQGLYIGGGLEAGHLGFSGVVNPGDDHIEQKLKKGLAEQFEIKDLGALKYFLGMEFSRSKEGIAAFEMEYKHWMQVQNRQLGELRTALNAQISDIELRIVVENGMSHYFELFRMKSTVAKADVFYVMSGTWKTSAERFFSWIGGFRPSELLKVLVAQLDPLTDQQIFEVCNLKQSCQQAEDALSQGMEKLQETVSATLAAGQLGQGTYFPQVAMAMEKLEALVCFVNQADHLRQETLQQMSHILTTRQAARGLLALGEYIQRLQDLSTLWATHPREPA >KJB46734 pep chromosome:Graimondii2_0_v6:8:30582424:30594721:-1 gene:B456_008G102300 transcript:KJB46734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPSTQFVPSRMMGTYEPIHQIGMWGENFKSIGNPSISASVIVEVDNKLENESETASHEMPAPSCKHDQEASKPFDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLIQLEKELEQAREQGLYIGGGLEAGHLGFSGVVNPGDDHIEQKLKKGLAEQFEIKDLGALKYFLGMEFSRSKEGIAAFEMEYKHWMQVQNRQLGELRTALNAQISDIELRIVVENGMSHYFELFRMKSTVAKADVFYVMSGTWKTSAERFFSWIGGFRPSELLKVLVAQLDPLTDQQIFEVCNLKQSCQQAEDALSQGMEKLQETVSATLAAGQLGQGTYFPQVAMAMEKLEALVCFVNQADHLRQETLQQMSHILTTRQAARGLLALGEYIQRLQDLSTLWATHPREPA >KJB46737 pep chromosome:Graimondii2_0_v6:8:30582426:30594713:-1 gene:B456_008G102300 transcript:KJB46737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPSTQFVPSRMMGTYEPIHQIGMWGENFKSIGNPSISASVIVEVDNKLENESETASHEMPAPSCKHDQEASKPFDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLIQLEKELEQAREQQGLYIGGGLEAGHLGFSGVVNPGIAAFEMEYKHWMQVQNRQLGELRTALNAQISDIELRIVVENGMSHYFELFRMKSTVAKADVFYVMSGTWKTSAERFFSWIGGFRPSELLKVLVAQLDPLTDQQIFEVCNLKQSCQQAEDALSQGMEKLQETVSATLAAGQLGQGTYFPQVAMAMEKLEALVCFVNQADHLRQETLQQMSHILTTRQAARGLLALGEYIQRLQDLSTLWATHPREPA >KJB51523 pep chromosome:Graimondii2_0_v6:8:50705623:50706438:1 gene:B456_008G220200 transcript:KJB51523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDDGNESKPSASPVAFSSVFDPSNPIGFFKSAFAFASQMAADIFDDNQEDKMENKILSLLDDIKKRKREKKDESEEEEEDAENKRWRASEADTKKPSHDDPLPPNKNNGLDMKNYSWSQTLGDVMVQVPVPLGTKKKLVICDIKNTSLRVGVKGQPLLIDDELFQAVKVCESYWTLEDNETVTILLSKCNPWEWWKSVVKGDRGIDTSRCEPGLRRLDGLGYEAERHMRKLLFDYGQKCKGLPTSDNCPEVLQKFIVHHPYLNLPPQSK >KJB52562 pep chromosome:Graimondii2_0_v6:8:54648629:54650343:-1 gene:B456_008G267700 transcript:KJB52562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAISNPTSIASSVPPSLLKSVVSRLKSEGGGRRVWRRRKLAKKDEMLQYKLERMPFLEEQVRKIREGGKLLTMDIERLLLSEDNRFDFVNDVAAEAKEYVENNRDEYGGSKKAILHVLSNRVNDSGFYRPDAYAESDPFKPGPTYLKEEFT >KJB52411 pep chromosome:Graimondii2_0_v6:8:54160819:54164468:1 gene:B456_008G260500 transcript:KJB52411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELSAHIKISQIIFYSLLETGHATEMLIVQFQCQNNEAKAPTFQNMGSNPLPSFVIVLILLVLNGQGPMTAKVEAKSTVHIVYLGERQHDDPKRVTDSHHDLLATLVGSKEVASDLMVYSYRHGFSGFAAKLTESQAKKLSELPGVVRVIPNSLHRLQTTRSWDFLGLSSHYPNHVLQNSKMGDGVIIGVFDTGIWPESKAFSDEGLGPIPSHWKGVCKSGDQFNAATHCNRKIIGARWFIDGFLAEYGQPLNTSDDPEFLSPRDANGHGTHTSSTASGAYVRNVSYRGLLSGTVRGGAPRARLAIYKVCWNVLGGQCASADILKAFDEAIHDGVDVLSLSIGYSLPLFSDVDERDGIATGSFHAVARGITVVCGAANEGPSAQTVQNTAPWILTVAASTMDRALPTPIMLGNNKTFLGRAIFTGKEKGFTGLTYPEGTGLDPTSAGACQSLSLNSTLVAGKVVLCFASVTSRVSVRLAAATVQEAGGIGLIIAKNPSDALIECRDDFPCIEVDYEIGTRILYYIRSTKSPTVKLGHSKTLVGKPVSAKVAFFSSRGPSSIASEILKPDITAPGVNILAATSQLDQWMDGGYAIHSGTSMATPHVSGIVALLKAIHPDWSPAAIKSALVTTARTKDASGFPLFAEGSPKKLANPFDFGGGIVNPNGAADPGLVYDMGLSDYIHYLCAMGYNNSAISRLTGQSTACPAEKPSFLDVNLPSITISSLRSSVTLTRTVTNVGSPNSIYRADIEPPTGMTVTVKPHILVFNSRTKKISFNVTVCATKQVNTGYFFGSLTWRNEQNAVRIPLSVKTEILESYADDN >KJB46825 pep chromosome:Graimondii2_0_v6:8:13902681:13902917:-1 gene:B456_008G075500 transcript:KJB46825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAHANSICYCGIMAALWTSWSNDNLERGFFGCQNYGDRRYCCFFAWFDNPMSPRARIVIVGLLRKVKAIERERKKE >KJB47172 pep chromosome:Graimondii2_0_v6:8:1648720:1651534:1 gene:B456_008G014300 transcript:KJB47172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g17210 [Source:Projected from Arabidopsis thaliana (AT2G17210) UniProtKB/Swiss-Prot;Acc:Q9SII7] MLRYGVQPSDPSFFSSILKACLNLSSICYGKTMHARFIKQGYESFTSIGNSILDFYMKRGDLESALRAFDCMKNRDSVSWNIIIYEHLNRGDIEEGLQWFNKARVASFAPNTATLILVTQACRNVGAYLEGLEIHGYIIRRGLCAMGSIQNSLLSMYVHIDLVSARKLFDEMSDKDVISWSAMIEGYLQSEEADIALKLFQKMVSEDGIQPDAITAAIVIKACGRLRDIHMGKVVHGVVIRRGGYEDLFVGNYLIDMYSKCNDVVSAFQVYRDMSQKNSVSWNSMLYGFVLNDKYSEALLLFNLMGEEGVEVNEVTLSNFLQICKHFVLASPCKSVHCLVIRRGYELNELVINSLIDAYAKCNLVNLAWKLFDGLEGRDVVTWSTMIAGFAHCGKPDEAVRVFREMTKIQEKPTAITIVNLLEACSFSAELGRSKWAHGVAIRRDMVANVAVATAIVDMYAKCGAIDTSRRIFDQMQWKNVVSWSAMVAAYGMNGLPREALALLSEMKSQGLKPNSVTTLSALSACSHGGLVEEGLTFFKSMVHEYGIVPGMEHYSCVIDMLGRAGKVDLAMELINQIPSGVKISARAWGALLSACRSHGNKEVGAGALSHILELEPMNSAGYLLGSSMYAAEGSWDQAAIMKQLAEEQGVRFSAGYSMVQVGNRACSFVAGDCSNPRAQEINIMVQQLHSCMNIEEGIQSGVTEC >KJB47173 pep chromosome:Graimondii2_0_v6:8:1649055:1651366:1 gene:B456_008G014300 transcript:KJB47173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g17210 [Source:Projected from Arabidopsis thaliana (AT2G17210) UniProtKB/Swiss-Prot;Acc:Q9SII7] MRFPTNLWVSKLPGWSLRIQESSRFGKWVEVLSNYREMLRYGVQPSDPSFFSSILKACLNLSSICYGKTMHARFIKQGYESFTSIGNSILDFYMKRGDLESALRAFDCMKNRDSVSWNIIIYEHLNRGDIEEGLQWFNKARVASFAPNTATLILVTQACRNVGAYLEGLEIHGYIIRRGLCAMGSIQNSLLSMYVHIDLVSARKLFDEMSDKDVISWSAMIEGYLQSEEADIALKLFQKMVSEDGIQPDAITAAIVIKACGRLRDIHMGKVVHGVVIRRGGYEDLFVGNYLIDMYSKCNDVVSAFQVYRDMSQKNSVSWNSMLYGFVLNDKYSEALLLFNLMGEEGVEVNEVTLSNFLQICKHFVLASPCKSVHCLVIRRGYELNELVINSLIDAYAKCNLVNLAWKLFDGLEGRDVVTWSTMIAGFAHCGKPDEAVRVFREMTKIQEKPTAITIVNLLEACSFSAELGRSKWAHGVAIRRDMVANVAVATAIVDMYAKCGAIDTSRRIFDQMQWKNVVSWSAMVAAYGMNGLPREALALLSEMKSQGLKPNSVTTLSALSACSHGGLVEEGLTFFKSMVHEYGIVPGMEHYSCVIDMLGRAGKVDLAMELINQIPSGVKISARAWGALLSACRSHGNKEVGAGALSHILELEPMNSAGYLLGSSMYAAEGSWDQAAIMKQLAEEQGVRFSAGYSMVQVGNRACSFVAGDCSNPRAQEINIMVQQLHSCMNIEEGIQSGVTEC >KJB47542 pep chromosome:Graimondii2_0_v6:8:16129402:16129915:-1 gene:B456_008G081400 transcript:KJB47542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHSLFFVSMVTCLVSFASTTSGIATFYTKYDQGKMIVPVANALWDNGIVCGKMFTMTCTIPRNPIPHQCTGKSVTIKIVDHCPGRPSTIDLSREAFAIITNPVASIINVDYKEYA >KJB47783 pep chromosome:Graimondii2_0_v6:8:5460837:5466436:1 gene:B456_008G041500 transcript:KJB47783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRWPRFLTPTHLSQIISTQKNPLTALQIFNQAQQKYPNYRHNGPVYATMINILGNSGRILEMKQAIDQMKDDSCECKDSVFVGAIRTFARAGMVNEAVSLFNSIPQFNCVNFTESFNTILGIVLKESNFKAAHKLFLDNCWRLEVKSRVKSLNLLMEGLCQFKKSDLALNIFQEMDFQGCYPDRESYRILMKGLCDDGRLDEAIHLLYSMFWRISQKGSGEDIVIYRILLDALCDNGKVEEALGILGKVLRKGLKAPNSCRQRLDLSKCRGGEDSEATKRLINEALIRGGVPSMGSYSAMAIDLYGEGRVDEGEKVLDEMRKRGFWPSLSMYEAKAEALSKKGRVSEVVKIIEEDMIEGICVPSVRLYNIVLKCVCNAGDSEVAVGYLKKMAKQVGCVADKETYSILVNGLCKDGKFVEASGVLEEMLIKSHWPGAETYNILIKGLCSMGRQYEAVIWLEEMVSQGMLPDISIWNSLVACVCCKMSDIDFWYEGGLTL >KJB52383 pep chromosome:Graimondii2_0_v6:8:54099749:54102170:-1 gene:B456_008G259600 transcript:KJB52383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNTATSWLSMVKKAFRSPGKDYEKKSSRREECGHQEEDEKKREKRRWLFRRGSSNINHVQQCEAKIPTPTTSTSTATPPANNAAETALDFAEQRHAIAVAAAEAAVATAQAAVEIVRLSSRPSNYANVREHYHYAAIVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRRQAKLALKCMQSLVRVQDRVLDQQRARLSHEGYRRKSMFDETNALWETRYLQDIRRRKSMSRDMSCTTDEWDDRPQTSEEIEALLLTKKEAALKREKALAYAFSNQIWRSRRNPSAEDEKEVEERTKWLDRWMATKQLDNNNRVSTDKRDSIKTVEIDSYKLQSYSSPTIRRRHSLSLNQFPPTPSPCKTKPLQVRSASPRCLKEEKYYTSAANTPSLASTYCTTNGMSRYVNGAATTVPNYMAATESAKAKARSQSTPRQRPSTPERERGGSLVKKRLSYPAPENHVVSIGCSSLSQNLRSPSFKSAFEGHYGMEKESLYSSYYTESFGGEISPCSTTDLRWLR >KJB47302 pep chromosome:Graimondii2_0_v6:8:2373588:2374460:-1 gene:B456_008G020800 transcript:KJB47302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKTYGPGSRACRVCGNPHAIIRKYGLMCCRQCFRSNAKEIGFIKKRCNRSATLSKQLGSLVLIKYT >KJB47055 pep chromosome:Graimondii2_0_v6:8:1007156:1009339:-1 gene:B456_008G008500 transcript:KJB47055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKELIELKFRIYDGTDIAHSTYASSMTVATLKQKIVAEWPQDKTVIPKSINDLKLIHAGRVLENNKSLADSRITFGDLPVGVITMHVVVQPTIAKNKTEKSKEDMQKLNSCRCVIL >KJB47056 pep chromosome:Graimondii2_0_v6:8:1006949:1010320:-1 gene:B456_008G008500 transcript:KJB47056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKELIELKFRIYDGTDIAHSTYASSMTVATLKQKIVAEWPQDKTVIPKSINDLKLIHAGRVLENNKSLADSRITFGDLPVGVITMHVVVQPTIAKNKTEKSKEDMQKLNSCRCVIL >KJB47053 pep chromosome:Graimondii2_0_v6:8:1007156:1010178:-1 gene:B456_008G008500 transcript:KJB47053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKELIELKFRIYDGTDIAHSTYASSMTVATLKQKIVAEWPQDKTVIPKSINDLKLIHAGRVLENNKSLADSRITFGDLPVGVITMHVVVQPTIAKNKTEKSKEDMQKLNSCRCVIL >KJB47054 pep chromosome:Graimondii2_0_v6:8:1006927:1010320:-1 gene:B456_008G008500 transcript:KJB47054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKELIELKFRIYDGTDIAHSTYASSMTVATLKQKIVAEWPQDKTVIPKSINDLKLIHAGRVLENNKSLADSRITFGDLPVGVITMHVVVQPTIAKNKTEKSKEDMQKLNSCRCVIL >KJB52435 pep chromosome:Graimondii2_0_v6:8:54218725:54222108:-1 gene:B456_008G261500 transcript:KJB52435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNEGSNETSTRASYHSSNLPEPDLEKQGNNQVLPQDGGLPGSVRGSACESSPTLLTIVVTKGESHVTQQPIAQLKDTECVLEELPHVAPQKGYFLRNSSSDEECRVCQQEKEEGLIDLGCQCKGGLAKAHRSCIDTWFRTKGSNKCEICQAVAVNVSAPESQPSTNYWVWRADPSFTPHELQRGCFSPLWVAFSILIGGLLLDVLISVTLGVSALPVNIIIGVIVVLGLGTALRLALEFCHEWSIRRAMQRVEVNATLQYHPAL >KJB52433 pep chromosome:Graimondii2_0_v6:8:54218467:54222278:-1 gene:B456_008G261500 transcript:KJB52433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNEGSNETSTRASYHSSNLPEPDLEKQGNNQVLPQDGGLPGSVRGSACESSPTLLTIVVTKGESHVTQQPIAQLKDTECVLEELPHVAPQKGYFLRNSSSDEECRVCQQEKEEGLIDLGCQCKGGLAKAHRSCIDTWFRTKGSNKCEICQAVAVNVSAPESQPSTNYWVWRADPSFTPHELQRGCFSPLWVAFSILIGGLLLDVLISVTLGVSALPVNIIIGVIVVLGLGTALRLALEFCHEWSIRRAMQRVEVNATLQYHPALWSGA >KJB52436 pep chromosome:Graimondii2_0_v6:8:54219164:54221467:-1 gene:B456_008G261500 transcript:KJB52436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNEGSNETSTRASYHSSNLPEPDLEKQGNNQVLPQDGGLPGSVRGSACESSPTLLTIVVTKGESHVTQQPIAQLKDTECVLEELPHVAPQKGYFLRNSSSDEECRVCQQEKEEGLIDLGCQCKGGLAKAHRSCIDTWFRTKGSNKCEICQAVAVNVSAPESQPSTNYWVWRADPSFTPHELQRGCFSPLWVAFSILIGGLLLDVLISVTLGVSALPVNIIIGVIVVLGLGTALRLALEFCHEWSIRRAMQRVEVNATLQYHPAL >KJB52434 pep chromosome:Graimondii2_0_v6:8:54218725:54222278:-1 gene:B456_008G261500 transcript:KJB52434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNEGSNETSTRASYHSSNLPEPDLEKQGNNQVLPQDGGLPGSVRGSACESSPTLLTIVVTKGESHVTQQPIAQLKDTECVLEELPHVAPQKGYFLRNSSSDEECRVCQQEKEEGLIDLGCQCKGGLAKAHRSCIDTWFRTKGSNKCEICQAVAVNVSAPESQPSTNYWVWRADPSFTPHELQRGCFSPLWVAFSILIGGLLLDVLISVTLGVSALPVNIIIGVIVVLGLGTALRLALEFCHEWSIRRAMQRVEVNATLQYHPAL >KJB47475 pep chromosome:Graimondii2_0_v6:8:3320169:3322158:-1 gene:B456_008G028100 transcript:KJB47475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQNMKIGFEVACFVFMSALPFILADSPPASTTNSSVNCPMDLNYVTRIPWNSSLCLNFHPNSNSKAETAQENCCISVLSVFGIGLAQHLKQTSLFGLPNLAASLSCLEDYQSKLNSLSLPNNLVSLCVEPEQFVVTPELCAGIQTTQDWVAKLGESTELDQGCRSDLSDLTACDTCLRAGNQVQTELISIDGNTTHSTDCFYFTVLYAAGIANELGPESNGALKCAFSLALNEQSSSSSKRHSVLVFGLTGAGVALLVAFCLLGLYFWYEKRITKKRVGISGSNFEEQRCRPNLRPNTGSIWFKLEDLEKATDNFANKNFIGRGGFGFVYKGVLPDGKVVAVKRIIESEFQGDEEFCNEVEIISNLKHRNLVPLRGCCMMEGDESYDDKGCRRYLVYDYMPNGNLDDHLFHSKLASKPLSWPQRKNIIVDVAKGLAYLHYGVKPAIYHRDIKATNILLDTEMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDLSSSGSPRAFLITDWAWSLIKAGQLDEALDRSLIDNGDSVNSNPKAIMERFVQVGILCAHVMVALRPTILDALKMLEGDIEVPRIPDRPMPIGHTSFYDGNAFSISPALSQPQMHTEDMLR >KJB47911 pep chromosome:Graimondii2_0_v6:8:9000089:9001045:1 gene:B456_008G057700 transcript:KJB47911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYTFAFYILLPCVYKQVQPQTLIYSTLGAPQWLTTGIPHLHQTPLHPHHLDLRQHLHQTPLLHHRQGIRHHLRQTPSHHLCLGSLHRLTLLHQHHLFRTIRHHLLQVQEDLLHHPHISL >KJB50030 pep chromosome:Graimondii2_0_v6:8:40611828:40613034:-1 gene:B456_008G150200 transcript:KJB50030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFYVGKATKIFIFIVTVLVVLGLVLGLGLFRHALQKSHKCSGDSCPSSIVFPTPNTPPSPPPPFIWSSNQPPSPASNNPPTGDLTPPPPPPNTNPNPPPQFPPPPPASTAVLAAPPYSQPTPVLVAPGPVHA >KJB53139 pep chromosome:Graimondii2_0_v6:8:56822445:56829070:1 gene:B456_008G294800 transcript:KJB53139 gene_biotype:protein_coding transcript_biotype:protein_coding description:EX2 [Source:Projected from Arabidopsis thaliana (AT1G27510) UniProtKB/TrEMBL;Acc:A0A178WE62] MLWHLLESVVYLQSAIDEERYHDASRLSRLTGSGLVGWWVGYSKDSDDPFGRLVRITPGVGRFVARSYSPRQLVSASPGIPLFEIFVVKEDEETYLMQVVYLQRAKGSSMNSTSSPSRPTKTPSTSEVENASVIDVQGNEAKTERSDEKGINIEGATEEGIKSVINFLKNKIPGLKVKVMNVDVSEDIMVNDSVEQLIQEDDEETSSTENSEDEANDLEEIQPDGVAMGEGGNPADDRNDLDMKLFIGGLVHKNEDASTKDEYIRLPADIKDLERDSFLLHVPKRNLDSDTGESKASKVKIAAIAAQGVSELMPPDVAKAFWSSDKASSKVSRDVREIVKLAVSQAQKRSRLSEYTKFNRISSDNGNLDPFEGLYVGAFGPYGTEIVQLRRKYGRWSDADDESSDVEFFEYVEAVKLTGDLNVPAGQVTFRAKIGRESRLSNRGLYPDELGVVACYKGQGRIAEFGFRNPRWVDGELLQLNGKGIGPYVKGADLGFLYIVPEQSFLVLFNRLILPD >KJB53137 pep chromosome:Graimondii2_0_v6:8:56822566:56828224:1 gene:B456_008G294800 transcript:KJB53137 gene_biotype:protein_coding transcript_biotype:protein_coding description:EX2 [Source:Projected from Arabidopsis thaliana (AT1G27510) UniProtKB/TrEMBL;Acc:A0A178WE62] MIVSNHVCGLGQSISMPQLKPFSYIDFSAKKSSNFSFVLGWNWSFTAQNRPFLRHQSKNSSWRCCCNTTNNSNNNNPSNSQTVSSSSEWDWNRWSRHFSEIEQAESYTSVLKFQLEDAIDKEDFEEAAKLKLAIAEVSSKDSVAEIMSQLKSAIDEERYHDASRLSRLTGSGLVGWWVGYSKDSDDPFGRLVRITPGVGRFVARSYSPRQLVSASPGIPLFEIFVVKEDEETYLMQVVYLQRAKGSSMNSTSSPSRPTKTPSTSEVENASVIDVQGNEAKTERSDEKGINIEGATEEGIKSVINFLKNKIPGLKVKVMNVDVSEDIMVNDSVEQLIQEDDEETSSTENSEDEANDLEEIQPDGVAMGEGGNPADDRNDLDMKLFIGGLVHKNEDASTKDEYIRLPADIKDLERDSFLLHVPKRNLDSDTGESKASKVKIAAIAAQGVSELMPPDVAKAFWSSDKASSKVSRDVREIVKLAVSQAQKRSRLSEYTKFNRISSDNGNLDPFEGLYVGAFGPYGTEIVQLRRKYGRWSDADDESSDVEFFEYVEAVKLTGDLNVPAGQVTFRAKIGRESRLSNRGLYPDELGVVAL >KJB53138 pep chromosome:Graimondii2_0_v6:8:56822418:56829088:1 gene:B456_008G294800 transcript:KJB53138 gene_biotype:protein_coding transcript_biotype:protein_coding description:EX2 [Source:Projected from Arabidopsis thaliana (AT1G27510) UniProtKB/TrEMBL;Acc:A0A178WE62] MIVSNHVCGLGQSISMPQLKPFSYIDFSAKKSSNFSFVLGWNWSFTAQNRPFLRHQSKNSSWRCCCNTTNNSNNNNPSNSQTVSSSSEWDWNRWSRHFSEIEQAESYTSVLKFQLEDAIDKEDFEEAAKLKLAIAEVSSKDSVAEIMSQLKSAIDEERYHDASRLSRLTGSGLVGWWVGYSKDSDDPFGRLVRITPGVGRFVARSYSPRQLVSASPGIPLFEIFVVKEDEETYLMQVVYLQRAKGSSMNSTSSPSRPTKTPSTSEVENASVIDVQGNEAKTERSDEKGINIEGATEEGIKSVINFLKNKIPGLKVKVMNVDVSEDIMVNDSVEQLIQEDDEETSSTENSEDEANDLEEIQPDGVAMGEGGNPADDRNDLDMKLFIGGLVHKNEDASTKDEYIRLPADIKDLERDSFLLHVPKRNLDSDTGESKASKVKIAAIAAQGVSELMPPDVAKAFWSSDKASSKVSRDVREIVKLAVSQAQKRSRLSEYTKFNRISSDNGNLDPFEGLYVGAFGPYGTEIVQLRRKYGRWSDADDESSDVEFFEYVEAVKLTGDLNVPAGQVTFRAKIGRESRLSNRGLYPDELGVVACYKGQGRIAEFGFRNPRWVDGELLQLNGKGIGPYVKGADLGFLYIVPEQSFLVLFNRLILPD >KJB48796 pep chromosome:Graimondii2_0_v6:8:19868587:19870563:-1 gene:B456_008G087600 transcript:KJB48796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPTLFPQYQMINPIDYSFHDFNFHSENYSSNPYYTIGNSFIETSQEVDDFKRQMKQLKTNSWNSCITENNIPPPPKPSSSSSSSHLISFDNSNSYYAVDYDVKPKNEVGSFTRIPLHAQDHVLAERKRREKLSQSFISLSALIPGLKKTDKASILGDAITYLKKLQDRVNVLEEQVAKKTMESVIFVRKTQIYADDETSSSDESVHGQSNNNPFPEIEARVSDKDVLIRIHCKNNKGCISNIINEVEKLHLFVLNSHVLPFGQATLDITITAQMEAEFSMTVKDLVKSLRLALLKFIM >KJB48797 pep chromosome:Graimondii2_0_v6:8:19868990:19870239:-1 gene:B456_008G087600 transcript:KJB48797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIASGKWLSQLEMEDPTLFPQYQMINPIDYSFHDFNFHSENYSSNPYYTIGNSFIETSQEVDDFKRQMKQLKTNSWNSCITENNIPPPPKPSSSSSSSHLISFDNSNSYYAVDYDVKPKNEVGSFTRIPLHAQDHVLAERKRREKLSQSFISLSALIPGLKKTDKASILGDAITYLKKLQDRVNVLEEQVAKKTMESVIFVRKTQIYADDETSSSDESVHGQSNNNPFPEIEARVSDKDVLIRIHCKNNKGCISNIINEVEKLHLFVLNSHVLPFGQATLDITITAQMEAEFSMTVKDLVKSLRLALLKFIM >KJB49775 pep chromosome:Graimondii2_0_v6:8:38734814:38736065:1 gene:B456_008G137300 transcript:KJB49775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYERGFFSISESGRQIEEVMDVRKGQWTEEEDSMLKAYVTLHGEGRWNSVARFSGLRRTGKSCRLRWLNYLRPAVRRGSITLEEQLLIIQLHSIWGNRWSKIAEHLPGRTDNEIKNYWRTKVQKQAKKLECDVNSPKFRDALRYEYTPRLIEQISRAKHESPSGQLTYGNETESVQVDQSLLPESSSETQVTYGSTVAETWGIDEQSNGNGWLGGGDYWMESEWCEENIWFLQQQLYDDEDDVI >KJB51750 pep chromosome:Graimondii2_0_v6:8:51656154:51658775:-1 gene:B456_008G230400 transcript:KJB51750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGERVSGGSKGEVGSVDRNRINETLDKQLEQSSPSTLRAINGKDKSANAHLMGKHPPDHHCDSRSASLPKANASEDESETDSEESDVSGSDADDTSWISWFCNLLGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESHEEQNELVESAAEMLYGLIHARYILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRASTVKIYCPRCEDIYYPWSKYQGNIDGAYFGTTFPNLFLMAHGHLKPQKATQSYVPRVFGYKIHKP >KJB51749 pep chromosome:Graimondii2_0_v6:8:51656154:51658775:-1 gene:B456_008G230400 transcript:KJB51749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGERVSGGSKGEVGSVDRNRINETLDKQLEQSSPSTLRAINGKDKSANAHLMGKHPPDHHCDSRSASLPKANASEDESETDSEESDVSGSDADDTSWISWFCNLLGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRASTVKIYCPRCEDIYYPWSKYQGNIDGAYFGTTFPNLFLMAHGHLKPQKATQSYVPRVFGYKIHKP >KJB51752 pep chromosome:Graimondii2_0_v6:8:51656154:51658775:-1 gene:B456_008G230400 transcript:KJB51752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGERVSGGSKGEVGSVDRNRINETLDKQLEQSSPSTLRAINGKDKSANAHLMGKHPPDHHCDSRSASLPKANASEDESETDSEESDVSGSDADDTSWISWFCNLLGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRASTVKIYCPRCEDIYYPWSKYQDIDGAYFGTTFPNLFLMAHGHLKPQKATQSYVPRVFGYKIHKP >KJB51748 pep chromosome:Graimondii2_0_v6:8:51655757:51659163:-1 gene:B456_008G230400 transcript:KJB51748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGERVSGGSKGEVGSVDRNRINETLDKQLEQSSPSTLRAINGKDKSANAHLMGKHPPDHHCDSRSASLPKANASEDESETDSEESDVSGSDADDTSWISWFCNLLGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESHEEQNELVESAAEMLYGLIHARYILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRASTVKIYCPRH >KJB51751 pep chromosome:Graimondii2_0_v6:8:51655943:51659074:-1 gene:B456_008G230400 transcript:KJB51751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGERVSGGSKGEVGSVDRNRINETLDKQLEQSSPSTLRAINGKDKSANAHLMGKHPPDHHCDSRSASLPKANASEDESETDSEESDVSGSDADDTSWISWFCNLLGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRASTVKIYCPRH >KJB47714 pep chromosome:Graimondii2_0_v6:8:4730111:4734740:-1 gene:B456_008G037700 transcript:KJB47714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKRARTSVDKAVVDVWQREVGELSTRNFAHRLAASEDLVLRLDIYKKLEKHRGCVNTVSFNADGNILVSGSDDRRVILWDWETGNGKLVFQSGHVNNVFQAKFMPYTDDRCLVTCAADGQVRHAQILERGVETRLLAKHQGQVHKLAIEPGSPHIFYTCGEDGLVQHDMGLGPNPVSSSPLSGSDASEMGPDHSVMSASAMEKADEKAIPQVYKGHRNCETVKGVSFFGPKSKYVVSGSDCGRIFIWNKKGGALLRVMEADKHVVNCTESHPHTTILASSGIEKDIKIWTPMAAEKATLPANIEQKAPNPAFLWLPLVENEDDYYLLFDVDVTDDDNDDEVHEDEDDSDDYFHDVYDDIDAADDDDNDDDDDNDNEVGDDDDDDDDGSEDIEGGDDSEC >KJB47712 pep chromosome:Graimondii2_0_v6:8:4730053:4734768:-1 gene:B456_008G037700 transcript:KJB47712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKRARTSVDKAVVDVWQREVGELSTRNFAHRLAASEDLVLRLDIYKKLEKHRGCVNTVSFNADGNILVSGSDDRRVILWDWETGNGKLVFQSGHVNNVFQAKFMPYTDDRCLVTCAADGQVRHAQILERGVETRLLAKHQGQVHKLAIEPGSPHIFYTCGEDGLVQHIDLRTAAATELFACHAIGDSRGFIPVIQLNDIAIDPMNPNLFAVVGSDEYARLYDIRKYKWDGSTVFGQPTEYFCPPHLIGDDQVGITGLAFSDQSELLVSYNAEFIYLFTQDMGLGPNPVSSSPLSGSDASEMGPDHSVMSASAMEKADEKAIPQVYKGHRNCETVKGVSFFGPKSKYVVSGSDCGRIFIWNKKGGALLRVMEADKHVVNCTESHPHTTILASSGIEKDIKIWTPMAAEKATLPANIEQKAPNPAFLWLPLVENEDDYYLLFDVDVTDDDNDDEVHEDEDDSDDYFHDVYDDIDAADDDDNDDDDDNDNEVGDDDDDDDDGSEDIEGGDDSEC >KJB47716 pep chromosome:Graimondii2_0_v6:8:4730111:4734740:-1 gene:B456_008G037700 transcript:KJB47716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKRARTSVDKAVVDVWQREVGELSTRNFAHRLAASEDLVLRLDIYKKLEKHRGCVNTVSFNADGNILVSGSDDRRVILWDWETGNGKLVFQSGHVNNVFQAKFMPYTDDRCLVTCAADGQVRHAQILERGVETRLLAKHQGQVHKLAIEPGSPHIFYTCGEDGLVQHIDLRTAAATELFACHAIGDSRGFIPVIQLNDIAIDPMNPNLFAVVGSDEYARLYDIRKYKWDGSTVFGQPTEYFCPPHLIGDDQVGITGLAFSDQSELLVSYNAEFIYLFTQDMGLGPNPVSSSPLSGSDASEMGPDHSVMSASAMEKADEKAIPQVYKGHRNCETVKGVSFFGPKSKYVVSGSDCGRIFIWNKKGGALLRVMEADKHVVNCTESHPHTTILASSGIEKDIKIWTPMAAEKATLPANIEQAPNPAFLWLPLVENEDDYYLLFDVDVTDDDNDDEVHEDEDDSDDYFHDVYDDIDAADDDDNDDDDDNDNEVGDDDDDDDDGSEDIEGGDDSEC >KJB47713 pep chromosome:Graimondii2_0_v6:8:4730111:4732243:-1 gene:B456_008G037700 transcript:KJB47713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLTYTACYTSLAFQIDLRTAAATELFACHAIGDSRGFIPVIQLNDIAIDPMNPNLFAVVGSDEYARLYDIRKYKWDGSTVFGQPTEYFCPPHLIGDDQVGITGLAFSDQSELLVSYNAEFIYLFTQDMGLGPNPVSSSPLSGSDASEMGPDHSVMSASAMEKADEKAIPQVYKGHRNCETVKGVSFFGPKSKYVVSGSDCGRIFIWNKKGGALLRVMEADKHVVNCTESHPHTTILASSGIEKDIKIWTPMAAEKATLPANIEQKAPNPAFLWLPLVENEDDYYLLFDVDVTDDDNDDEVHEDEDDSDDYFHDVYDDIDAADDDDNDDDDDNDNEVGDDDDDDDDGSEDIEGGDDSEC >KJB47715 pep chromosome:Graimondii2_0_v6:8:4730396:4733830:-1 gene:B456_008G037700 transcript:KJB47715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQDLVLRLDIYKKLEKHRGCVNTVSFNADGNILVSGSDDRRVILWDWETGNGKLVFQSGHVNNVFQAKFMPYTDDRCLVTCAADGQVRHAQILERGVETRLLAKHQGQVHKLAIEPGSPHIFYTCGEDGLVQHIDLRTAAATELFACHAIGDSRGFIPVIQLNDIAIDPMNPNLFAVVGSDEYARLYDIRKYKWDGSTVFGQPTEYFCPPHLIGDDQVGITGLAFSDQSELLVSYNAEFIYLFTQDMGLGPNPVSSSPLSGSDASEMGPDHSVMSASAMEKADEKAIPQVYKGHRNCETVKGVSFFGPKSKYVVSGSDCGRIFIWNKKGGALLRVMEADKHVVNCTESHPHTTILASSGIEKDIKIWTPMAAEKATLPANIEQKAPNPAFLWLPLVENEDDYYLLFDVDVTDDDNDDEVHEDEDDSDDYFHDVYDDIDAADDDDNDDDDDNDNEVGDDDDDDDDGSEDIEGGDDSEC >KJB46997 pep chromosome:Graimondii2_0_v6:8:882361:883681:-1 gene:B456_008G007500 transcript:KJB46997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQAFILLCIVVFGVVGTCHGGSLRKGYYKDTCPDAEEIIKKATEKHVANDPTLPARFLRMHFHDCFVRGCDGSVLLNSTTNNTAEKDAIPNLTLAGFDVINDLKAEVEKKCPNVVSCADVLALAARDAVSFKFQTPLWEVLTGRRDGQISRISEALANIPSPLSNFTALVRSFTSKGLNVHDLVVLSGGHTIGVGHCNTFSNRLYNFTGRSDQDPSLNPTYASFLKTQCENLSDNTTFVPMDPGSALTFDNNYYVTVKQNKGLFQSDAALLANKGSRKIVDELLDSKKFFTEFAQAMKRMGAIGVVTGNEGEIRKKCFVVN >KJB53000 pep chromosome:Graimondii2_0_v6:8:56308738:56311058:1 gene:B456_008G287900 transcript:KJB53000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKIRYDTIFARSFSRYDQKKLGYGAFLACFLIALSFSTVFKPYLGPLTVNKRLSINDGLKMVRANDTISSNATFFSNVVISFNATVEMVSDGSSNMSSTSRNYTIGSSTSSSKTLGFNYTNGVIRNDTRLMPVLEINNGSSSQQGQGGVPEKIIEPICNIEGRTEFCEMNGDIRINGKSSTVLQVGMMTENSSWIIGPYARKGDREALSHVTKWRVKTGVKDGDNGVHQCNRYHGVPAVVFSLGGYAGNNFHDYTDIIIPLYLTSRRFNGEVKFLITNKNPWWINKFKTILRNLSHYDPIDIDNEQQVHCFPTVIIGLKRDPKELTIDPSRSPHSMKEFRQFLRTTYSLKKTTAVKLTNNNRKKRPRLLILSRKKTRAFTNTKAIATMARTLGYKVTIAETDSNVAKVAETINACDVMMGVHGAGLTNMVFLPSNAILIQIVPIGGFEWLARTDFEEPSKGMNLRYLEYKIKTEESTLIQQYPPYHEVIRNPGAIAKQGWDAFKAVYLQQQNVKLDIHRFRPILSRAIELLHE >KJB49870 pep chromosome:Graimondii2_0_v6:8:39431447:39435212:1 gene:B456_008G143100 transcript:KJB49870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCDCIDSQWPPEELLVKYQYISDVLIALAYFSIPLELIYFVQKSAFFPYRWVLMQFGAFIILCGATHFINLWTFTMHSKVVAMVMTIAKVACAIVSCATALMLVHIIPDLLSVKTRELFLRNKAEELDREMGLILTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRTVFNSARAMRIPYTCPLARIRPLVGRYVPPEVVAVRVPLLHLSNFQINDWPELSAKSYAVMVLILPTESARKWRDHELELVEVVADQVAVALSHAAILEESMRARDQLLDQNVALNLARQEAEKAIHARNDFLSVMNHEMRTPMHAIIALCSLLLETELTPEQRVMIETVLKSSNLLATLINDVLDLSRLEDGSLELDFGMFDLHGIFKEAINLIKPIASIKKLSMTMILAPDLPMYAVGDEKRLMQTILNIVGNAVKFTKEGYVSIIASLAKPESLRDWRRPEFYPVSSQGHFYLRVQVKDSGCGVLPQDIPILFTKFAQPRSGSSQNSGGAGLGLAICKRFVNLMGGHIWLESEGVNKGSTATFLIRLGICNNPNDALIRPQVSSRVRAYHGSADLSGQKPILRENDGGVSANARYQRSL >KJB49872 pep chromosome:Graimondii2_0_v6:8:39431447:39435287:1 gene:B456_008G143100 transcript:KJB49872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCDCIDSQWPPEELLVKYQYISDVLIALAYFSIPLELIYFVQKSAFFPYRWVLMQFGAFIILCGATHFINLWTFTMHSKVVAMVMTIAKVACAIVSCATALMLVHIIPDLLSVKTRELFLRNKAEELDREMGLILTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRTGMDLQLSHTLNYQIQVGSTVPINLPMVNEVFNSARAMRIPYTCPLARIRPLVGRYVPPEVVAVRVPLLHLSNFQINDWPELSAKSYAVMVLILPTESARKWRDHELELVEVVADQVAVALSHAAILEESMRARDQLLDQNVALNLARQEAEKAIHARNDFLSVMNHEMRTPMHAIIALCSLLLETELTPEQRVMIETVLKSSNLLATLINDVLDLSRLEDGSLELDFGMFDLHGIFKEAINLIKPIASIKKLSMTMILAPDLPMYAVGDEKRLMQTILNIVGNAVKFTKEGYVSIIASLAKPESLRDWRRPEFYPVSSQGHFYLRVQVKDSGCGVLPQDIPILFTKFAQPRSGSSQNSGGAGLGLAICKRFVNLMGGHIWLESEGVNKGSTATFLIRLGICNNPNDALIRPQVSSRVRAYHGSADLSGQKPILRENDGGVSANARYQRSL >KJB49871 pep chromosome:Graimondii2_0_v6:8:39432422:39434026:1 gene:B456_008G143100 transcript:KJB49871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCDCIDSQWPPEELLVKYQYISDVLIALAYFSIPLELIYFVQKSAFFPYRWVLMQFGAFIILCGATHFINLWTFTMHSKVVAMVMTIAKVACAIVSCATALMLVHIIPDLLSVKTRELFLRNKAEELDREMGLILTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRTGMDLQLSHTLNYQIQVGSTVPINLPMVNEVFNSARAMRIPYTCPLARIRPLVGRYVPPEVVAVRVPLLHLSNFQINDWPELSAKSYAVMVLILPTESARKWRDHELELVEVVADQVAVALSHAAILEESMRARDQLLDQNVALNLARQEAEKAIHARNDFLSVMNHEMRTPMHAIIALCSLLLETELTPEQRVMIETVLKSSNLLATLINDVLDLSRLEDGSLELDFGMFDLHGIFKEVSH >KJB49658 pep chromosome:Graimondii2_0_v6:8:37810797:37813831:1 gene:B456_008G132100 transcript:KJB49658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMFSLKQQVHYKAMLLALLLVSFTLSSRPVMSLVPNQCNDQCGTIQIPFPFHLNISCPSISTAFHLSCLNSTTLYLHIGFKTYRVLDFFSDGVLVDFPGTTGCRQYNDLNAFGFTKNDHFGISGDNVLGLYDCEDSSLCKAACETNDLPGCDGNNGGSLGCCYPLSDHSIWHFGDGFSFFSKFGCRGFTSWVVSRGTNTGKRGVKLEWAIPRNISDRICANNADMVNATTIEAGVRCLCKDGFVGDGFAKGASCIKSCIKEGQEAYGKECDGPKHSHKKLVILAGVLAPIFILVSLFLFLCILKRPVKPGILSDGSHIAVQKVQCETERDLIDVLSRIELISSVVHRNLARLVGCCIESGSTLLVVYEYPANGTLEKHLQYSRGQKIVLDWYKRLRIATEIASVLAYMQYEIAPPIFHHGLKSSDYVFLDVDFSVKVSGFALLSSGCRDGHNNYENDVYNFGLLLLEIISGTKYTDMPTVALEKIKSGKLEAIVDPSLYYHEQLITRREQIEIVADIATRCLLFGADDKIGMIDVAKGLVHIAKEGNKKGPEFEETFSNSSLLQMISMSPDSIHVP >KJB49657 pep chromosome:Graimondii2_0_v6:8:37810739:37813761:1 gene:B456_008G132100 transcript:KJB49657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMFSLKQQVHYKAMLLALLLVSFTLSSRPVMSLVPNQCNDQCGTIQIPFPFHLNISCPSISTAFHLSCLNSTTLYLHIGFKTYRVLDFFSDGVLVDFPGTTGCRQYNDLNAFGFTKNDHFGISGDNVLGLYDCEDSSLCKAACETNDLPGCDGNNGGSLGCCYPLSDHSIWHFGDGFSFFSKFGCRGFTSWVVSRGTNTGKRGVKLEWAIPRNISDRICANNADMVNATTIEAGVRCLCKDGFVGDGFAKGASCIKSCIKEGQEAYGKECDGPKHSHKKLVILAGVLAPIFILVSLFLFLCILKRPVKPGSFQLDQARYNSTLLFRKGCRTRLFSYRELDEATRAFEDSQMLVNDKNGTIHAGILSDGSHIAVQKVQCETERDLIDVLSRIELISSVVHRNLARLVGCCIESGSTLLVVYEYPANGTLEKHLQYSRGQKIVLDWYKRLRIATEIASVLAYMQYEIAPPIFHHGLKSSDYVFLDVDFSVKVSGFALLSSGCRDGHNNYENDVYNFGLLLLEIISGTKYTDMPTVALEKIKSGKLEAIVDPSLYYHEQLITRREQIEIVADIATRCLLFGADDKIGMIDVAKGLVHIAKEGNKKGPEFEETFSNSSLLQMISMSPDSIHVP >KJB52180 pep chromosome:Graimondii2_0_v6:8:53322149:53322549:-1 gene:B456_008G249000 transcript:KJB52180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHAEVIDARQCRFDSLLPIFLTGFIVSLRSLPHGSQAFEGSKRLFVVPHIVFNNIYHLLCCLLENLILFLDFI >KJB47736 pep chromosome:Graimondii2_0_v6:8:4983508:4985247:1 gene:B456_008G038800 transcript:KJB47736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQSNSDDTTLEMELPGFRFHPTEEELLGFYLKNMIYGNKLRYDVIGFLNIYHHDPWDLPGLSKIGEREWYFFVPRDRKHGNGGRPNRTTENGYWKATGSDRKIVSLSDPKRITGLKKTLVFYKGRAPRGNKTDWVMNEYRLPDGCSLPKDIVLCKIYRKATSLRVLEQRAALEEELEATNTTTSSPLSSLETISFRSPKEDLVPSISETREFFKKEIEEEKEAMVEEKKDIIAKENKVSPASLQFPVGNEKLAELQLPKIINDWTQDQFWTQLNSPWFQNLTPYANILNF >KJB47738 pep chromosome:Graimondii2_0_v6:8:4984010:4984858:1 gene:B456_008G038800 transcript:KJB47738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSDVVLFFFFLGLSKIGEREWYFFVPRDRKHGNGGRPNRTTENGYWKATGSDRKIVSLSDPKRITGLKKTLVFYKGRAPRGNKTDWVMNEYRLPDGCSLPKDIVLCKIYRKATSLRVLEQRAALEEELEATNTTTSSPLSSLETISFRSPKEDLVPSISETREFFKKEIEEEKEAMVEEKKDIIAKENKVSPASLQFPVGNEKLAELQLPKIINDWTQDQFWTQLNSPWFQNLTPYANILNF >KJB47737 pep chromosome:Graimondii2_0_v6:8:4983613:4985018:1 gene:B456_008G038800 transcript:KJB47737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQSNSDDTTLEMELPGFRFHPTEEELLGFYLKNMIYGNKLRYDVIGFLNIYHHDPWDLPGLSKIGEREWYFFVPRDRKHGNGGRPNRTTENGYWKATGSDRKIVSLSDPKRITGLKKTLVFYKGRAPRGNKTDWVMNEYRLPDGCSLPKIYRKATSLRVLEQRAALEEELEATNTTTSSPLSSLETISFRSPKEDLVPSISETREFFKKEIEEEKEAMVEEKKDIIAKENKVSPASLQFPVGNEKLAELQLPKIINDWTQDQFWTQLNSPWFQNLTPYANILNF >KJB51438 pep chromosome:Graimondii2_0_v6:8:50332122:50335212:1 gene:B456_008G216200 transcript:KJB51438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSELPALRNYSKPFGIRFLEYIKKGKLSYKTHQAIVLIVTFLAYTCYHATRKTTSIVKSALDPQSPDVGLRFPWRMTYLSSPAEKKRFSWVLGDGWAPFNGSDGSALLGELDVAFLSVYALGMYFSGHLGDRMNLRVFLTVGMVGTGLFTSLFGVGYWAKIHSFYYFLIVQMIAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSVGNIAGSLIASALLSYGWGWSFVVPGLLIAFVGLLVFLFLPVSPESVGADKEEDEVGSPRKIGEGVTEPLLGSDAEIKETAVGFIEAWKIPGVAPFAFCLFFAKLVAYTFLYWLPYYISHTAIEGKYLSSETAGNLSTFFDIGGVLGGILAGHISDRLDARAITAATFMYCAIPALYFYRTYGHISLVMNIALMFICGMFVNGPYALITTAVSADLGTHSSLRGNSKALATVTAIIDGTGSVGAAIGPLLTGYISAKSWSAVFMMLMGAALVAGLLLTRLLVAEVVARISESRSQAGGSQPTSQDEELGV >KJB51439 pep chromosome:Graimondii2_0_v6:8:50332122:50335212:1 gene:B456_008G216200 transcript:KJB51439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSELPALRNYSKPFGIRFLEYIKKGKLSYKTHQAIVLIVTFLAYTCYHATRKTTSIVKSALDPQSPDVGLRFPWRMTYLSSPAEKKRFSWVLGDGWAPFNGSDGSALLGELDVAFLSVYALGMYFSGHLGDRMNLRVFLTVGMVGTGLFTSLFGVGYWAKIHSFYYFLIVQMIAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSVGNIAGSLIASALLSYGWGWSFVVPGLLIAFVGLLVFLFLPVSPESVGADKEEDEVGSPRKIGEGVTEPLLGSDAEIKETAVGFIEAWKIPGVAPFAFCLFFAKLVAYTFLYWLPYYISHTAIEGKYLSSETAGNLSTFFDIGGVLGGILAGHISDRLDARAITAATFMYCAIPALYFYRTYGHISLVMNIALMFICGMFVNGPYALITTAVSADLGTHSSLRGNSKALATVTAIIDGTGSVGAAIGPLLTGYISAKSWSAVFMMLMGAALVAGLLLTRLLVAEVVARISESRSQAGGSQPTSQDEELGV >KJB52706 pep chromosome:Graimondii2_0_v6:8:55222117:55224958:1 gene:B456_008G273500 transcript:KJB52706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g52850, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52850) UniProtKB/Swiss-Prot;Acc:Q9FLX6] MLCKAANRVLNRNEPTFLEETCSRILSFCNSNSLKQGISVHSPVIKLGLHHHLYLNNNLLSLYAKCFGVNKARHFFDKMPHKDVVSWTGILSAYVKNGNHDTALRVFDSMLISGESPNEFTLSSVLRSCSSMGEFDYGTCIQGYVIKEGFDQNPVLISGLIDFYSKFGLVTEASNLFITKGNHDTVTWTMMISSCVLAQRWVQALQLYVDMVKVGVAPNEFTFVKLLGACSVHGLNYGKLVHAHILLRGVKLNVVLKTALVNMYSRFRRMEDAIKVSNSTPEYDTMLWTALISGFAQNSMFRKAVSTFHAMGVSGIIPNNYIFSSILNVSSLMLSLALGQQVHSRVVVTGLEGDVSVGNALINMYVKCSDNVEDALRVFRGISLPNVVSWTSLIAGFAEHGLQLDSFHLFTEMREAGIEPNSFTISSIIRSFGAAKLLPQILMLHGHVVKTNLYEDIAVQNALVDFYAEFGMLNDAWQIVDTMNRRDAITYTTLASRMNQMGHHESALHIITDMKNDDIKIDEFSTASFLSASADLGTIMTGKQLHCHSVKSGLCKWFSVLNGLVNLYGKCGCIHDAQRAFGEIGMPDIFSWNGLISGLTSNGYIPSALSTFDDMKLSGVKPDAITFLSILSACSNGKLTDLGLEHFQSMREIYNIEPQLDHYVHLVDILGQGGRLEEAMEVIQTMPFTANTSIYKTLLRACKAHRNIPLAEHTAKQGLELDPLDPAFYILLTNLYQDAGRHYLAERTLTVMKEKQLRKNPSHCWVEIRDKVHVFVAGERSHPRTNEIYEQIESFEAEFKKHGYLFQGIGHSYYHSEKLAVVFGLLNTPSKATIYVIKNSSICRDCHNFMTFVTQLIDREIIVREGNRLHSFRKGECSCRG >KJB52652 pep chromosome:Graimondii2_0_v6:8:55054441:55056832:1 gene:B456_008G271400 transcript:KJB52652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSDAPKFDNDERQEDMRMRLRPSDSNVTEDQEPFMGVKVRRKASRRHEYKGDYMDIPSRPYLMKILQKQGDKQVFFADKVLKFTSTGKMKRRILMVTDFAVYLVDPDTGLNIVLQLTSLRKFSLRKPKGVLGQEL >KJB52653 pep chromosome:Graimondii2_0_v6:8:55054441:55056972:1 gene:B456_008G271400 transcript:KJB52653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSDAPKFDNDERQEDMRMRLRPSDSNVTEDQEPFMGVKVRRKASRRHEYKGDYMDIPSRPYLMKILQKQGDKQVFFADKVLKFTSTGKMKRRILMVTDFAVYLVDPDTGTLKRRIALAAVDKICLSELSDNFFAIIVPTEYDLLMASTRKTEIVTVLVDATKNASEYELEVDFSNRFEYSASADLVKEVQFEEAEGSVRTRIVKK >KJB52651 pep chromosome:Graimondii2_0_v6:8:55054441:55055834:1 gene:B456_008G271400 transcript:KJB52651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSDAPKFDNDERQEDMRMRLRPSDSNVTEDQEPFMGVKVRRKASRRHEYKGDYMDIPSRPYLMKILQKQGDKQVFFADKVLKFTSTGKMKRRILMVTDFAVYLVDPDTGTLKRRIALAAVDKICLSELSDNFFAIIVPTEYDLLMASTRKTEIVTVLVDATKNASEYELEVDFSNRY >KJB47353 pep chromosome:Graimondii2_0_v6:8:2592319:2595927:1 gene:B456_008G022600 transcript:KJB47353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 1 [Source:Projected from Arabidopsis thaliana (AT2G15230) UniProtKB/Swiss-Prot;Acc:Q71DJ5] MDKFPAFLLLPFLCFFHSLFLSDADVTGNSSDPGLTLSAESLCAQLIEPNGYFCTEHTVQTKDGYLLALQRISSPSGDLKVQQGHPVLLQHGLFMAGDAWFLDSTEQSLGFILADQGFDVWVGNVRGTRWSHGHISLLDTDKEFWEWSWQELALYDLAEMLHYIHAITSSKIFIVGHSQGTIMSLAALTQPDIVEMVEAAALLSPISYLEHVSAPLVLRMVAMHLDQMVLALGLHQLNFRSDVLVNLVDSLCDDHVDCTDFLSSITGQNCCFNKTRMNFYLEYEPHPSSVKNLRHLFQMIRQGTFSQYDYGILKNLLIYGQFKPPAFDLSSIPKSLPMWMSFGGNDALADATDVQRTLEELSSKPELLYLDNYGHIDFLLSVQANRDIYDHMIGFFRSLEKSSSY >KJB47355 pep chromosome:Graimondii2_0_v6:8:2592156:2596644:1 gene:B456_008G022600 transcript:KJB47355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 1 [Source:Projected from Arabidopsis thaliana (AT2G15230) UniProtKB/Swiss-Prot;Acc:Q71DJ5] MDKFPAFLLLPFLCFFHSLFLSDADVTGNSSDPGLTLSAESLCAQLIEPNGYFCTEHTVQTKDGYLLALQRISSPSGDLKVQQGHPVLLQHGLFMAGDAWFLDSTEQSLGFILADQGFDVWVGNVRGTRWSHGHISLLDTDKEFWEWSWQELALYDLAEMLHYIHAITSSKIFIVGHSQGTIMSLAALTQPDIVEMVEAAALLSPISYLEHVSAPLVLRMVAMHLDQMVLALGLHQLNFRSDVLVNLVDSLCDDHVDCTDFLSSITGQNCCFNKTRMNFYLEYEPHPSSVKNLRHLFQMIRQGTFSQYDYGILKNLLIYGQFKPPAFDLSSIPKSLPMWMSFGGNDALADATDVQRTLEELSSKPELLYLDNYGHIDFLLSVQANRDIYDHMIGFFRGGKLG >KJB47354 pep chromosome:Graimondii2_0_v6:8:2592084:2596646:1 gene:B456_008G022600 transcript:KJB47354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 1 [Source:Projected from Arabidopsis thaliana (AT2G15230) UniProtKB/Swiss-Prot;Acc:Q71DJ5] MDKFPAFLLLPFLCFFHSLFLSDADVTGNSSDPGLTLSAESLCAQLIEPNGYFCTEHTVQTKDGYLLALQRISSPSGDLKVQQGHPVLLQHGLFMAGDAWFLDSTEQSLGFILADQGFDVWVGNVRGTRWSHGHISLLDTDKEFWEWSWQELALYDLAEMLHYIHAITSSKIFIVGHSQGTIMSLAALTQPDIVEMVEAAALLSPISYLEHVSAPLVLRMVAMHLDQMVLALGLHQLNFRSDVLVNLVDSLCDDHVDCTDFLSSITGQNCCFNKTRMNFYLEYEPHPSSVKNLRHLFQMIRQGTFSQYDYGILKNLLIYGQFKPPAFDLSSIPKSLPMWMSFGGNDALADATDVQRTLEELSSKPELLYLDNYGAASLVEDTIIVGASLQSEETLAEVMTEDRSYNLTADLRSVFFVKDRLLH >KJB48653 pep chromosome:Graimondii2_0_v6:8:15514303:15517186:-1 gene:B456_008G080100 transcript:KJB48653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLNVYCSLNIPIPEHIKLGSSYGSGLPKFRGGPKLPRRVSVRALKDEMDGGMSGGFQGRSWEPGLEIEVPFEQRPVNEYSSLKDGPLYSWGELGPGQFFLRLGGLWLVTFTVLGVPIAAASFNPSREPLRFVLAAGTGTLFLVSLIVLRIYLGWSYVGDRLLSAVIPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVIKLLKQTLVGTGALLVTSVFLFIFATPVEDFFKTAFVTKDAPSNVVASKTNTKFKIRKEELLRLPVEVKDDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWEDLLK >KJB48655 pep chromosome:Graimondii2_0_v6:8:15514417:15517022:-1 gene:B456_008G080100 transcript:KJB48655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLNVYCSLNIPIPEHIKLGSSYGSGLPKFRGGPKLPRRVSVRALKDEMDGGMSGGFQGRSWEPGLEIEVPFEQRPVNEYSSLKDGPLYSWGELGPGQFFLRLGGLWLVTFTVLGVPIAAASFNPSRVMLFRLVIFLNYLCSNTYWNKHTLIENIPVRHSIIGPNNVLIHTLQEPLRFVLAAGTGTLFLVSLIVLRIYLGWSYVGDRLLSAVIPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVIKLLKQTLVGTGALLVTSVFLFIFATPVEDFFKTAFVTKDAPSNVVASKTNTKFKIRKEELLRLPVEVKDDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWEDLLK >KJB48656 pep chromosome:Graimondii2_0_v6:8:15514417:15517023:-1 gene:B456_008G080100 transcript:KJB48656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLNVYCSLNIPIPEHIKLGSSYGSGLPKFRGGPKLPRRVSVRALKDEMDGGMSGGFQGRSWEPGLEIEVPFEQRPVNEYSSLKDGPLYSWGELGPGQFFLRLGGLWLVTFTVLGVPIAAASFNPSREPLRFVLAAGTGTLFLVSLIVLRIYLGWSYVGDRLLSAVIPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVIKLLKQTLVGTGALLVTSVFLFIFATPVEDFFKTAFVTKDAPSNVVASKTNTKFKIR >KJB48654 pep chromosome:Graimondii2_0_v6:8:15514312:15517070:-1 gene:B456_008G080100 transcript:KJB48654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLNVYCSLNIPIPEHIKLGSSYGSGLPKFRGGPKLPRRVSVRALKDEMDGGMSGGFQGRSWEPGLEIEVPFEQRPVNEYSSLKDGPLYSWGELGPGQFFLRLGGLWLVTFTVLGVPIAAASFNPSREPLRFVLAAGTGTLFLVSLIVLRIYLGWSYVGDRLLSAVIPYEETGWYDGQMWVKPPEIQFHQLK >KJB51502 pep chromosome:Graimondii2_0_v6:8:50588959:50592179:-1 gene:B456_008G219500 transcript:KJB51502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNLIATKPEHGISSGPLEALSETPEPHNSAMLAFPIQRNSQPPVRLTTLYPITMKFEEIVYKVKLEQKGSCWGGWITREKTILNGISGVVYPGEILAMLGPSGSGKTTLLTALGGRLTGKLSGKITYNGQPFCGAIKRRAGFVAQDDVLYPHLTVTETLLFTALLRLPNSLSRDEKVQHVERVIAELGLTRCRNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRILTTIKRLASGGRTVVTTIHQPSSRLYHMFDKVVLLSEGSPIYYGSASAALEYFSSIGFSTSMTVNPADLLLDLANGIGPDFIHSVEQVESTEQEQKSVKDALISAYEKNISTRLKAELCNSDVNSGMNAKEPSARNDKSEQWCTSWWHQFKVLLQRGVRERRYKAFNRLRILQVISVAVLGGLLWWHTPASHISDRIALLFFFSVFWGFYPLYNAVFTFPQERRILIKERSSGMYRLSSYFLARTFGDLPLELALPTAFVFIIYWMGGLKPDPVTFILSLLVVLYNVLVSQSLGLAIGAILMDVKQATTLASVTTLVFLIAGGYYVQQIPAFIVWLKYLSYSYYCYKLLLGVQYKEDDYYECSKGVWCRVGDLPAIKSMGLSHMWIDVSIMALMLVGYRLIAYMALHRVRLR >KJB50074 pep chromosome:Graimondii2_0_v6:8:40993369:40997737:-1 gene:B456_008G152500 transcript:KJB50074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKISSLLLAHVFILSILFAIAGQAIAARLGRVLLPPVFKHHNSHTIGTGTHIGSSGGHFGSTIGGNRQIPGGDDTFVPNPGFEVPIPAGRGAGIAGTNPRP >KJB50075 pep chromosome:Graimondii2_0_v6:8:40994006:40997737:-1 gene:B456_008G152500 transcript:KJB50075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKISSLLLAHVFILSILFAIAGQAIAARLGRVLLPPVFKHHNSHTIGTGTHIGSSGGHFGSTIGGNRQIPGSGDDTFVPNPGFEVPIPAGRGAGIAGTNPRP >KJB47378 pep chromosome:Graimondii2_0_v6:8:2680579:2687154:-1 gene:B456_008G023600 transcript:KJB47378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVPADKPSSPSAKASEVQTPAVTNSGSTNPTLDAPSKLSSWAKNLKIPQPFATSQENSPTGNAGKSTFSRFTSGFGLRSSPKSPASDSSDGASATTQPGFLGTITKGIVDSSKNAVKAVQVKARHVVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGFFGYVEGFYRNHMEEVIKFFETYHKDKYKVYNLCSERLYDASLLEGKVASFPFDDHNCPPIQLIISFCRSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCVDGKGLVLPSQIRYVKYFERTLTYFNGENPPGRRCMLRGFRLHRCPYWIRPSITISDHNGVIFSTKKHPRTKDLSPEDFWFSAPKKGVMVFALPGEPGLTQLAGDFKVHFHDRQGDFYCWLNTTMIENRKVLNTSELDWFDKRKLPSPGFQVEVVLVDYNGTVPTTPQTETTTNKPDESSGTGAASTDGGAAPANENKDPGHNDKDDVFSDGEAEESGSSKSRQNKATSAEGIALNSATSEPETNDKSVQVANLVHSTEQVSLGSAISQPMHGTSEPRKDAAAAAPPAAGVQVSSSESEFRAMAADASVFSFGDDEDYESD >KJB47377 pep chromosome:Graimondii2_0_v6:8:2680670:2687021:-1 gene:B456_008G023600 transcript:KJB47377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVPADKPSSPSAKASEVQTPAVTNSGSTNPTLDAPSKLSSWAKNLKIPQPFATSQENSPTGNAGKSTFSRFTSGFGLRSSPKSPASDSSDGASATTQPGFLGTITKGIVDSSKNAVKAVQVKARHVVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGFFGYVEGFYRNHMEEVIKFFETYHKDKYKVYNLCSERLYDASLLEGKVASFPFDDHNCPPIQLIISFCRSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCVDGKGLVLPSQIRYVKYFERTLTYFNGENPPGRRCMLRGFRLHRCPYWIRPSITISDHNGVIFSTKKHPRTKDLSPEDFWFSAPKKGVMVFALPGEPGLTQLAGDFKVHFHDRQGDFYCWLNTTMIENRKVLNTSELDWFDKRKLPSPGFQVEVVLVDYNGTVPTTPQTETTTNKPDESSGTGAASTDGGAAPANENKDPGHNDKDDVFSDGEAEESGSSKSRQNKATSAEGIALNSATSEPETNDKSVQVANLVHSTEQVSLGSAISQPMHGTSEPRKDAAAAAPPAAGVQVSSSESEFRAMAADASVFSFGDDEDYESD >KJB48076 pep chromosome:Graimondii2_0_v6:8:7449213:7452664:1 gene:B456_008G052500 transcript:KJB48076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVPIQSENFKLGFIGAGKMAESIARGVVQSSALPPQRISTAIHSNPSRGTAFQSLGISVYSHNIDMHAEAGNGQYEMALGYTACTYAADNLIFMREVVRAIANKHGLLATFVPNYDRIQPNTCSGAYQCWGKENREAHCIFFYLAMCIVIGRFN >KJB48079 pep chromosome:Graimondii2_0_v6:8:7449319:7452622:1 gene:B456_008G052500 transcript:KJB48079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVPIQSENFKLGFIGAGKMAESIARGVVQSSALPPQRISTAIHSNPSRGTAFQSLGISVYSHNIDMHAEAGNGQYEMALGYTACTYAADNLIFMREVVRAIANKHGLLATFVPKYALDDIGSGSHVHLSLWQNGQNVFQASDASSQHGMSKVGEEFMAGVLDHLPSILAFTAPLSNIYDRIQPNTCSGAYQCWGKENREAHCIFFYLAMCIVIGRFN >KJB48077 pep chromosome:Graimondii2_0_v6:8:7449319:7452622:1 gene:B456_008G052500 transcript:KJB48077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVPIQSENFKLGFIGAGKMAESIARGVVQSSALPPQRISTAIHSNPSRGTAFQSLGISVYSHNIDMHAEAGNGQYEMALGYTACTYAADNLIFMREVVRAIANKHGLLATFVPKYALDDIGSGSHVHLSLWQNGQNVFQASDASSQHGMSKVGEEFMAGVLDHLPSILAFTAPLSNIYDRIQPNTCSGAYQCWGKENREAHCIFFYLAMCIVIGRFN >KJB48078 pep chromosome:Graimondii2_0_v6:8:7449213:7452664:1 gene:B456_008G052500 transcript:KJB48078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVPIQSENFKLGFIGAGKMAESIARGVVQSSALPPQRISTAIHSNPSRGTAFQSLGISVYSHNIDMHAEAGNGQYEMALGYTACTYAADNLIFMREVVRAIANKHGLLATFVPKYALDDIGSGSHVHLSLWQNGQNVFQASDASSQHGMSKVGEEFMAGVLDHLPSILAFTAPLSNIYDRIQPNTCSGAYQCWGKENREAHCIFFYLAMCIVIGRFN >KJB47381 pep chromosome:Graimondii2_0_v6:8:2723819:2725282:-1 gene:B456_008G023900 transcript:KJB47381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDATENPKLHVLFFPFMGHGHMIPMVDMAKLFAMRGVKTTIVTTPLNVPFISTTIERSKNSGFDIHIKVLNFPCVEAGLPEGCENLDSIPSSQGVNLDMIAKFFKAADMFEQPFEQLIQECKPDCLLVDMFFTWASDIANKYDIPRLMFNGCSFLSLCISDSVNLYEPQKKVKSGSEPFVFPNVPGDIKLTRDQMAVIFTQNEENELTRSVKKWREAELKSYGFIVNSFYELEAAYVDHYRNVIGRKAWHVGPVSLCNRAIEDKLDRGKKPSVDEQKCLSWLDSKQPKSVVFICFGTAVDFNAAQLTEIAFAIEATGQHFIWVVKKEKSNNEEDWLPEGFEERTEGKGLMIRGWAPQVLILDHEAVGAFMTHCGWNSTLECVSAGLPMVTWPVFAEQFYNEKLVTDVLKIGVGVGTKKWKEVVGDFVKRDAIEKAVKEIMVGEKAEEMRSRAKALGEMAKRAVEEGGSSFRDLNDLIQQLSSRRR >KJB49392 pep chromosome:Graimondii2_0_v6:8:35117539:35120349:1 gene:B456_008G116900 transcript:KJB49392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGIGNGVSIDVRSAEESLSYARELVPMALVKAREVKGFLSRWKMIVSKLEQIPSCLSDLSSHPFFSKNGLCKEQLQAVSMTVKEAIELADLCLKEKYAGKLKMQSDLDALLGKLDLNLRDVRLLIKTGVLGEATLPELETAGRCRIKELFARLQIGHLEAKHKAVDTLVEVMKEDEKSVLSVIGRSNVAALVQLLTATSPRIREKTVTVICSIAESGSCESWLVSEGFLPPLIRLVESGSTVGKEKATISLQRLSMNEETARAIVGHGGVRPLIEICQIGDSVLQTAAASTLKNISAVPEVRQILAEEGIIKVMINLLDCGILLGSKEHAAECLQNLTASNENLRRSVISENGIRSLLVYLDGPLPQEPAVAALRNLVSLVTTDVLMSHDFLPRLVHVLKSGSLGAQQAAASTICRVCTSSEMKKLVGESGCITLLVRMLEAKSNSAREVAAQALSSLVTVSHNCREYKKDDKTVPNLVQLLDPSPQNTAKKYAVSCLSSLSSSKKCKKLMISYGSIGYLKKLSEMETPGAKKLLERLERGKLRSLFVRK >KJB49043 pep chromosome:Graimondii2_0_v6:8:33306311:33306719:-1 gene:B456_008G105700 transcript:KJB49043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSIATLALLLSLNLLFSTMVTSTSVPCPPPPKTPKSSSRPSFNEVCANVLNDLVHLVIGTPRKTPCRTIIQGLVDLEVAVCLCTAIKANVLEINLKIPVSLSFLLKYCGKGVPQGFQCA >KJB53035 pep chromosome:Graimondii2_0_v6:8:56444570:56446034:-1 gene:B456_008G289700 transcript:KJB53035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSIIIFTLFAFLFFPISATTITLYNKCSHPVWPGIQPSAGKPILARGGFKLPPNKAYSMNLPPLWSGRFWGRHGCSFDASGHGRCATGDCGGSLFCNGLGGTPPATLAEITLGQQQDFYDVSLVDGYNIAMSITPFKGAGKCSYAGCVSDLNLMCPVALQVRNNKQVVACKSACFAFNSPRYCCTGSFGSPQSCKPTAYSKIFKTACPKAYSYAYDDPTSIATCSRGNYLVTFCPHRR >KJB47660 pep chromosome:Graimondii2_0_v6:8:4261437:4263294:-1 gene:B456_008G035400 transcript:KJB47660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKISVTKKTLTPGLIKPSRMSKGKLKPEDAIRAMLSSLPDEVLDTVGLTALPSKDFVSERRRKLEYLDMQEELIKDVALKEMTVPTAREAQKQAIARALEKRYQLCEISCALVVLTSASSMSREREEFLGLVNKEIELYNSMVDKKGTDGEKDAIKAYRLQERKLTILVKCLIVMRFLQCLLKKLMLCSKILRRK >KJB50346 pep chromosome:Graimondii2_0_v6:8:43430844:43432850:1 gene:B456_008G165200 transcript:KJB50346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVCASSAIAAVAISSPSSQRSGSVVRTTKASFLTGKKLRSVKKYTKPAAARTVPVCAVADPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPETLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYSAGTEEYFTDTTTLFIVELIFIGWAEGRRWADILKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGTGSPEKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFDHLADPGHATIFAAFTPK >KJB50348 pep chromosome:Graimondii2_0_v6:8:43430952:43432815:1 gene:B456_008G165200 transcript:KJB50348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVCASSAIAAVAISSPSSQRSGSVVRTTKASFLTGKKLRSVKKYTKPAAARTVPVCAVADPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLDPETLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYSAGTEEYFTDTTTLFIVELIFIGWAEGRRWADILKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGTGSPEKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFDHLADPGHATIFAAFTPK >KJB50347 pep chromosome:Graimondii2_0_v6:8:43430952:43432815:1 gene:B456_008G165200 transcript:KJB50347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVCASSAIAAVAISSPSSQRSGSVVRTTKASFLTGKKLRSVKKYTKPAAARTVPVCAVADPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPETLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYSAGTEEYFTDTTTLFIVELIFIGWAEGRRWADILKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGTGSPEKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFDHLADPGHATIFAVSSSPQKPIPFVVFSFSLDRLFNLNRFWLNYYSSIFYLVMFLIQRLKL >KJB48896 pep chromosome:Graimondii2_0_v6:8:23286018:23289639:1 gene:B456_008G092600 transcript:KJB48896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EWNVIKPRHLSLLRSALEGKSSNGEKSDLWTPLADQGWRPCLESVNTPSLPQNSEGYLQVFLDGGLNQQRMGLCDAVAVAKILNATLVIPYLEVNPVWQDSSSFMDIYDVDRLINVLKDDVSIVKELPDEFSWSTREYYATAIRATRIKRAPVHASANWYLENVLPVLQSYGIAAISPCSHRLSFDNLPSEIQQLRCKVNFKALVFVPHIRALGDAIVHRLRYPPGETEALSSDYLRVTTDQNDKQRPQKFVVLHLRFDKDTAAHSACDFGGYRQTIWGGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRELFPLMENKKSLASSEERARIKGKASLLAAVDYYVGMHSDIFVSASPGNMHNALPGITYRYRAFHFAATVPKVYMWKISNNYVQLQCFYNFFLFPSASVNEHDMALLGQLFLNKNITWLEFRQAVAEGHQNRQGQLKLRKPKQSIYTYPAPDCMCRA >KJB51486 pep chromosome:Graimondii2_0_v6:8:50501526:50502146:-1 gene:B456_008G218500 transcript:KJB51486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNSKLPSSRSARDGRRNALVWCGVIICTLLTLAVIIAGIVIFVGYLVMHPRVPYVSVINAQLDRIQIDHFNGFLEIQVTIIIRARNGNRLAHATFLHSNYTLSFEGEDIAQLVAPAFEVNKNSSVDFNYEVQSSPIPLGPEQADQVEAGFNKDLITFVLKGGARVRWKVGRLGPLEFSCHLDCRLHFHASNLSYVPSSCTSKAK >KJB47846 pep chromosome:Graimondii2_0_v6:8:6080114:6084731:-1 gene:B456_008G045200 transcript:KJB47846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGMLAANASPYDRLVQALALIPISYYLFAALFIFLAFLYTFLEIHFLHDLLTLFRGYPVTLTYNSSSHLCQSLLSNCNILRGRYSVTPWLSGPHLQTVFLSVFGRAPPVTYRRHLFLTSDGGTIALDWLTYSDVTEGRSRVIDDSAAIKRDKTPIMIVIPGLTSDSSSAYAKHLAFNMARNGWNVVVSNHRGLGGVSLTSDCFYNAGYTEDLRKLIDHIHCEYPEAPLFAVGASIGANILVKYLGEDGTNTPLVGAAAICSPWDLLICDRFINRKPVQKMYDRALTVGLKGYAQLHQSILSRLADWEGITKSRSVRDFDKHATRILGKFETVDTFYRRSSSVNHVSNVSVPLLCISALDDPLCTREAIPWDECRVNENIILATTPRGGYLAFYEGITASSLWWVRAVDEFFGVLRTSPLIKERQKKQGCSVAKPLQSSIDEGPYLDVMEDRLVTAMGNEASDASPENYLSNEHRVDSKQDEDINSDAENSGDSTAKLYPKKHTTQQAEQDVKKLIVLVQGHIDKLSRRSRQSIWLLAYIAIITTWPFVDSFLLSFLKRRFKNFIPASLLKNRSM >KJB47847 pep chromosome:Graimondii2_0_v6:8:6079990:6084751:-1 gene:B456_008G045200 transcript:KJB47847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGMLAANASPYDRLVQALALIPISYYLFAALFIFLAFLYTFLEIHFLHDLLTLFRGYPVTLTYNSSSHLCQSLLSNCNILRGRYSVTPWLSGPHLQTVFLSVFGRAPPVTYRRHLFLTSDGGTIALDWLTYSDVTEGRSRVIDDSAAIKRDKTPIMIVIPGLTSDSSSAYAKHLAFNMARNGWNVVVSNHRGLGGVSLTSDCFYNAGYTEDLRKLIDHIHCEYPEAPLFAVGASIGANILVKYLGEDGTNTPLVGAAAICSPWDLLICDRFINRKPVQKMYDRALTVGLKGISLSCLVLQTGKALQRSVRDFDKHATRILGKFETVDTFYRRSSSVNHVSNVSVPLLCISALDDPLCTREAIPWDECRVNENIILATTPRGGYLAFYEGITASSLWWVRAVDEFFGVLRTSPLIKERQKKQGCSVAKPLQSSIDEGPYLDVMEDRLVTAMGNEASDASPENYLSNEHRVDSKQDEDINSDAENSGDSTAKLYPKKHTTQQAEQDVKKLIVLVQGHIDKLSRRSRQSIWLLAYIAIITTWPFVDSFLLSFLKRRFKNFIPASLLKNRSM >KJB47848 pep chromosome:Graimondii2_0_v6:8:6080479:6084731:-1 gene:B456_008G045200 transcript:KJB47848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGMLAANASPYDRLVQALALIPISYYLFAALFIFLAFLYTFLEIHFLHDLLTLFRGYPVTLTYNSSSHLCQSLLSNCNILRGRYSVTPWLSGPHLQTVFLSVFGRAPPVTYRRHLFLTSDGGTIALDWLTYSDVTEGRSRVIDDSAAIKRDKTPIMIVIPGLTSDSSSAYAKHLAFNMARNGWNVVVSNHRGLGGVSLTSDCFYNAGYTEDLRKLIDHIHCEYPEAPLFAVGASIGANILVKYLGEDGTNTPLVGAAAICSPWDLLICDRFINRKPVQKMYDRALTVGLKGYAQLHQSILSRLADWEGITKSRSVRDFDKHATRILGKFETVDTFYRRSSSVNHVSNVSVPLLCISALDDPLCTREAIPWDECRVNENIILATTPRGGYLAFYEGITASSLWWVRAVDEFFGVLRTSPLIKERQKNFLLFYCRSKAAVWQSHCNLQLMKGHIWMLWKIDW >KJB46852 pep chromosome:Graimondii2_0_v6:8:49488859:49491337:-1 gene:B456_008G209300 transcript:KJB46852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIFLLAAISNVVLNSVFSKWMHQLSILLICNGSSMFLIYFVVAIQQEKEKAMVNEMVAKLTSVCWDKCITSTPGNKFSSSESACLSNCAQRYMDLTLIIMKRVQSMQ >KJB52085 pep chromosome:Graimondii2_0_v6:8:53065682:53067270:1 gene:B456_008G246200 transcript:KJB52085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNVYADRISQPSRAVVFFCKVNGIDYEEIKVHIPKGQHLTPECEAINLMQKLPAIADGRFKLFESHAIHIYLAYAFPGVADYWQGCS >KJB51239 pep chromosome:Graimondii2_0_v6:8:49315182:49321073:1 gene:B456_008G208200 transcript:KJB51239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METETPDENKPMGEEKEKEKEKEETKEVSRESEEKKEVEENEEEEEKEDNEENREKGAKKGEDSSRKRSSRKPNRGSAEKKEPMTPSSDRPTRERKVVERYSVPSVARSSTPKPLSIEKGAGTQLKDIPNVAFKLSKRKYDDNLQLLHMILFGKKAKPQRLKRNIGQFSGYVWVENEQEKQKAKVKEKIDKCVKEKLVDFCDLLNIPIMRTTVRKEELSAKLLEFLESPCATTDILLAEKEQKGKKRKATPSKNIASGETSEKSAKKRQSTPQGGEKRKRSSKVEEKDEDVESPVSEDDSHEDDVDTTVKEESDDEETNSKEEEDAPKKSSNKSTSKKIAMDRPDSKLKETSASGKKLTSAKSSRKSSVSTSKQGASDGDRTPGSKLKGSASKKQKVGKEGSIDVKLSTKSEVPGKKQTNKSPAKVSTKTQGKGKSSKKPKAEPSREEINEVVVDILKKVDFNTATLSDILRQLGTHFDLDLIHRKAEVKDIITEAINNMSDDEEGEESEENADTGEGVDKDGNGNDDT >KJB51237 pep chromosome:Graimondii2_0_v6:8:49315157:49321082:1 gene:B456_008G208200 transcript:KJB51237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METETPDENKPMGEEKEKEKEKEETKEVSRESEEKKEVEENEEEEEKEDNEENREKGAKKGEDSSRKRSSRKPNRGSAEKKEPMTPSSDRPTRERKVVERYSVPSVARSSTPKPLSIEKGAGTQLKDIPNVAFKLSKRKYDDNLQLLHMILFGKKAKPQRLKRNIGQFSGYVWVENEEKQKAKVKEKIDKCVKEKLVDFCDLLNIPIMRTTVRKEELSAKLLEFLESPCATTDILLAEKEQKGKKRKATPSKNIASGETSEKSAKKRQSTPQGGEKRKRSSKVEEKDEDVESPVSEDDSHEDDVDTTVKEESDDEETNSKEEEDAPKKSSNKSTSKKIAMDRPDSKLKETSASGKKLTSAKSSRKSSVSTSKQGASDGDRTPGSKLKGSASKKQKVGKEGSIDVKLSTKSEVPGKKQTNKSPAKVSTKTQATGKGKSSKKPKAEPSREEINEVVVDILKKVDFNTATLSDILRQLGTHFDLDLIHRKAEVKDIITEAINNMSDDEEGEESEENADTGEGVDKDGNGNDDT >KJB51238 pep chromosome:Graimondii2_0_v6:8:49315182:49321073:1 gene:B456_008G208200 transcript:KJB51238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METETPDENKPMGEEKEKEKEKEETKEVSRESEEKKEVEENEEEEEKEDNEENREKGAKKGEDSSRKRSSRKPNRGSAEKKEPMTPSSDRPTRERKVVERYSVPSVARSSTPKPLSIEKGAGTQLKDIPNVAFKLSKRKYDDNLQLLHMILFGKKAKPQRLKRNIGQFSGYVWVENEEKQKAKVKEKIDKCVKEKLVDFCDLLNIPIMRTTVRKEELSAKLLEFLESPCATTDILLAEKEQKGKKRKATPSKNIASGETSEKSAKKRQSTPQGGEKRKRSSKVEEKDEDVESPVSEDDSHEDDVDTTVKEESDDEETNSKEEEDAPKKSSNKSTSKKIAMDRPDSKLKETSASGKKLTSAKSSRKSSVSTSKQGASDGDRTPGSKLKGSASKKQKVGKEGSIDVKLSTKSEVPGKKQTNKSPAKVSTKTQGKGKSSKKPKAEPSREEINEVVVDILKKVDFNTATLSDILRQLGTHFDLDLIHRKAEVKDIITEAINNMSDDEEGEESEENADTGEGVDKDGNGNDDT >KJB53065 pep chromosome:Graimondii2_0_v6:8:56579379:56579953:1 gene:B456_008G291300 transcript:KJB53065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNNNNDKSNNFRGKEDMGSVPIHSQVRKIKQESEHIIDRSPGQPEMMKRPVLRYISRQQISRSPLGLSDTTISVGH >KJB51724 pep chromosome:Graimondii2_0_v6:8:51552267:51563800:1 gene:B456_008G229600 transcript:KJB51724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATLSNGQLQWMAKLTLLIRQYLCWSILFGHLYTSVLCLGLKQSENLLQKPRLVLSDESVSDTSIHFENSASLPLNDSLSCEDLGGVGSFSSTCLLNSNLYLSSNLYIHGTGNLEILPHVSIECPKEGCMITFNMSGNVNLGQNVAVVAGSVLIYAANLTVGQNSAINTTSLAGSPPPQTSGTPVGFDGAGGGHGGRGASCLKNNKTNFWGGDVYAWSTLSEPWSYGSKGGSKVDSASGGHRLGGEGGGRVKLIAEDMLYLNGSITAEGGDGGLNGGGGSGGSIYIRAVKLKGYGIISAAGGMGWGGGGGGRISLHCYSIQEDVKVSVHGGFSFGCPGNSGAAGTYFNADLLSLRVGNDNVTTETETPLLDFPTRPLWSNVFVENNAKVLVPLLWSRVQVRGQISLYHGGTIVFGLSAYPIPEFEFVAEELLMSDSTIKVFGAFRVSVKMLLMWNSKIQIDGGGNSVVTASILEVRNLVVLRENSVINSNANLGVYGQGLLMLTGQGDAIQGQRLSLSLFYNITVGPGSLLQAPMGDEASRSVVTNSLCESQTCPIDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIIKGSIIHIHRARTVTVDADGLITASELGCSKGIGKGNYLDGAGSGAGHGGRGGAGYFNGRVSNGGHEYGDADLPCELGSGAEGPTHSFGHVFGGGMIVMGSAQWPLLRLSIYGSLTADGQSFGEATINDNGSLIGGLGGGSGGTVLLFLQELILAVNSSLSTVGGNGGPLGGGGGGGGRVHFDWSMIGIGDEYVPVATTSGFINSSGGAGDNGGLFGDKGTVTGKKCPKGLYGTFCRECPIGTYKDIDGSDEDLCTPCSLELLPDRANFIYVRGGVREPFCPYKCISEKYRMPNCYTPFEELIYIFGGPWPFALLLSGVLLLLAVLLSTLRIKLLESSSYCANIEHPSSHHFPYLLSLSEVRGTKAEETQCHAYRMYFMGPNTFREPWHLPYSPPDTIIEIVYEDAFNRFIGEINSVATYDWWEGSVHSILSLLAYPCAWSWKQWRRRKKVHRIQEYVRSEYDHSCLRSCRSRALYKGMKVGATPDLMVAYIDFSLGGDEKQVDMVSIIEKRFPMCIIFGGDGSYMSPYNLHSDTLLTNLVGQYVPSTVWNRLVDGLNAQLRTVRHGSIRSALVPVMNWIASHGNPQLRFHGVKIELGWFQATASGYFQLGILVVRHDYIFQNLHQPDLSDRSNDEYPRKDAASAGKSLEHLQQSWPYPTHALSRRKIIGGINGGLINDATVKSLEFKRDIFFPFSLLVHNTAPIGHQDSLQFLFTTMLLADLAVTLLTLIQFYWISLGAFLAVLLILPLSLLSPSPAGLNALFSKEPRRASHTRIYSLWNATSLTNIAVAFICGMIHYGISAFQPPDQENSWSTRR >KJB51725 pep chromosome:Graimondii2_0_v6:8:51552267:51564270:1 gene:B456_008G229600 transcript:KJB51725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATLSNGQLQWMAKLTLLIRQYLCWSILFGHLYTSVLCLGLKQSENLLQKPRLVLSDESVSDTSIHFENSASLPLNDSLSCEDLGGVGSFSSTCLLNSNLYLSSNLYIHGTGNLEILPHVSIECPKEGCMITFNMSGNVNLGQNVAVVAGSVLIYAANLTVGQNSAINTTSLAGSPPPQTSGTPVGFDGAGGGHGGRGASCLKNNKTNFWGGDVYAWSTLSEPWSYGSKGGSKVDSASGGHRLGGEGGGRVKLIAEDMLYLNGSITAEGGDGGLNGGGGSGGSIYIRAVKLKGYGIISAAGGMGWGGGGGGRISLHCYSIQEDVKVSVHGGFSFGCPGNSGAAGTYFNADLLSLRVGNDNVTTETETPLLDFPTRPLWSNVFVENNAKVLVPLLWSRVQVRGQISLYHGGTIVFGLSAYPIPEFEFVAEELLMSDSTIKVFGAFRVSVKMLLMWNSKIQIDGGGNSVVTASILEVRNLVVLRENSVINSNANLGVYGQGLLMLTGQGDAIQGQRLSLSLFYNITVGPGSLLQAPMGDEASRSVVTNSLCESQTCPIDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIIKGSIIHIHRARTVTVDADGLITASELGCSKGIGKGNYLDGAGSGAGHGGRGGAGYFNGRVSNGGHEYGDADLPCELGSGAEGPTHSFGHVFGGGMIVMGSAQWPLLRLSIYGSLTADGQSFGEATINDNGSLIGGLGGGSGGTVLLFLQELILAVNSSLSTVGGNGGPLGGGGGGGGRVHFDWSMIGIGDEYVPVATTSGFINSSGGAGDNGGLFGDKGTVTGKKCPKGLYGTFCRECPIGTYKDIDGSDEDLCTPCSLELLPDRANFIYVRGGVREPFCPYKCISEKYRMPNCYTPFEELIYIFGGPWPFALLLSGVLLLLAVLLSTLRIKLLESSSYCANIEHPSSHHFPYLLSLSEVRGTKAEETQCHAYRMYFMGPNTFREPWHLPYSPPDTIIEIVYEDAFNRFIGEINSVATYDWWEGSVHSILSLLAYPCAWSWKQWRRRKKVHRIQEYVRSEYDHSCLRSCRSRALYKGMKVGATPDLMVAYIDFSLGGDEKQVDMVSIIEKRFPMCIIFGGDGSYMSPYNLHSDTLLTNLVGQYVPSTVWNRLVDGLNAQLRTVRHGSIRSALVPVMNWIASHGNPQLRFHGVKIELGWFQATASGYFQLGILVVRHDYIFQNLHQPDLSDRSNDEYPRKDAASAGKSLEHLQQSWPYPTHALSRRKIIGGINGGLINDATVKSLEFKRDIFFPFSLLVHNTAPIGHQDSLQFLFTTMLLADLAVTLLTLIQFYWISLGAFLAVLLILPLSLLSPSPAGLNALFSKEPRRASHTRIYSLWNATSLTNIAVAFICGMIHYGISAFQPPDQENSWSTRRYLYMEFGNREDDKWWLFPTILVLLKSTQARFVDWHIANLEIQDFSLFCPDPDGFWAYEPMS >KJB51723 pep chromosome:Graimondii2_0_v6:8:51551026:51564402:1 gene:B456_008G229600 transcript:KJB51723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATLSNGQLQWMAKLTLLIRQYLCWSILFGHLYTSVLCLGLKQSENLLQKPRLVLSDESVSDTSIHFENSASLPLNDSLSCEDLGGVGSFSSTCLLNSNLYLSSNLYIHGTGNLEILPHVSIECPKEGCMITFNMSGNVNLGQNVAVVAGSVLIYAANLTVGQNSAINTTSLAGSPPPQTSGTPVGFDGAGGGHGGRGASCLKNNKTNFWGGDVYAWSTLSEPWSYGSKGGSKVDSASGGHRLGGEGGGRVKLIAEDMLYLNGSITAEGGDGGLNGGGGSGGSIYIRAVKLKGYGIISAAGGMGWGGGGGGRISLHCYSIQEDVKVSVHGGFSFGCPGNSGAAGTYFNADLLSLRVGNDNVTTETETPLLDFPTRPLWSNVFVENNAKVLVPLLWSRVQVRGQISLYHGGTIVFGLSAYPIPEFEFVAEELLMSDSTIKVFGAFRVSVKMLLMWNSKIQIDGGGNSVVTASILEVRNLVVLRENSVINSNANLGVYGQGLLMLTGQGDAIQGQRLSLSLFYNITVGPGSLLQAPMGDEASRSVVTNSLCESQTCPIDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIIKGSIIHIHRARTVTVDADGLITASELGCSKGIGKGNYLDGAGSGAGHGGRGGAGYFNGRVSNGGHEYGDADLPCELGSGAEGPTHSFGHVFGGGMIVMGSAQWPLLRLSIYGSLTADGQSFGEATINDNGSLIGGLGGGSGGTVLLFLQELILAVNSSLSTVGGNGGPLGGGGGGGGRVHFDWSMIGIGDEYVPVATTSGFINSSGGAGDNGGLFGDKGTVTGKKCPKGLYGTFCRECPIGTYKDIDGSDEDLCTPCSLELLPDRANFIYVRGGVREPFCPYKCISEKYRMPNCYTPFEELIYIFGGPWPFALLLSGVLLLLAVLLSTLRIKLLESSSYCANIEHPSSHHFPYLLSLSEVRGTKAEETQCHAYRMYFMGPNTFREPWHLPYSPPDTIIEIVYEDAFNRFIGEINSVATYDWWEGSVHSILSLLAYPCAWSWKQWRRRKKVHRIQEYVRSEYDHSCLRSCRSRALYKGMKVGATPDLMVAYIDFSLGGDEKQVDMVSIIEKRFPMCIIFGGDGSYMSPYNLHSDTLLTNLVGQYVPSTVWNRLVDGLNAQLRTVRHGSIRSALVPVMNWIASHGNPQLRFHGVKIELGWFQATASGYFQLGILVVRHDYIFQNLHQPDLSDRSNDEYPRKDAASAGKSLEHLQQSWPYPTHALSRRKIIGGINGGLINDATVKSLEFKRDIFFPFSLLVHNTAPIGHQDSLQFLFTTMLLADLAVTLLTLIQFYWISLGAFLAVLLILPLSLLSPSPAGLNALFSKEPRRASHTRIYSLWNATSLTNIAVAFICGMIHYGISAFQPPDQENSWSTRREDDKWWLFPTILVLLKSTQARFVDWHIANLEIQDFSLFCPDPDGFWAYEPMS >KJB53082 pep chromosome:Graimondii2_0_v6:8:56647609:56650530:-1 gene:B456_008G292600 transcript:KJB53082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEEETTTEVPNEEKMELNVDEIIERYVGSFGLPQLLQVVLVSFAWVFDSQNTLVTIFTDAQPSGCRCKTSADPSLSSSWCMNNTASGGGGNKGQPAGHVCGLAAGSWEWIGGNSATTIAEWDLICHRKFLAAIPTSLFFIGSIFGCAFYGRLADAWLGRKKTLLLACILTSTTTFLTSFSPNIWVYSLLRFANGFARSGNGICCLVLSTEVVGRKWRGQVGQYGFFFFTAGFLSLPFIAYPTRTQWRYLYRIISLPPLVYTFLLVPLVSESPRWLLVRGKNKEALEVLQRFAGWKGKKLPDNIELIIPSQATTGTRNEGGKNENIWTTRWAAKRMITMMLFGFGVGFVYYGIQLNAENLNFNLYLTVIINAAMEIPAVFIGGILLSFTSRRLLSSLSAISAGVSCLLCIIFSAASSTTSSWPQLTIEAIGFMAAAIAFNVSYIYCVELFPTNVRNFAVSMLRQSLMLGASLSPLLVVVGRLSPSLSFAVFGALAIVSGTLSVWLPETKNAPLYETLKQQEEEEQVKRRRLMQNTVL >KJB51380 pep chromosome:Graimondii2_0_v6:8:50137634:50138976:1 gene:B456_008G214500 transcript:KJB51380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLEFSNSYTESTELIGYNDDILINVLIRLPGKSLMRFRNVSKHWLSLISSPYFSRCLNQIPIFPSAIFLRMQPSLNKLKYHFLSLDRNPVREPSVRSFTFVDDPAGIRILQSCNGLLLCCSNNRLGEYNRNYYIYNPTTHQYTTLPKPDNRTQSPNTIFGVILAFDPLKSAHYKVIFVRSSETSPHLYQLEIYSSETHQWSFSSEISKVNNFSQGVYCCGGIHWRNNYGPFLRFDVHQERFQEIPMPKIPDDWDQQTKCRYFGECGGRLHLILTSCKHSTRQFDVYEMKNDFSGWFVKCRVDLNALISANPKMTQSNCHASDHWDYHAFTVLAIVVGEGSGQGSSLPFMVLHVPGKVITYSFKDKTFTLLHDFAPDCTDIEGCTTFEYDDAYPYITTLAIV >KJB50290 pep chromosome:Graimondii2_0_v6:8:42964417:42968763:1 gene:B456_008G162400 transcript:KJB50290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFDEYGRPFIILKEQEQKTRLRGIEAQKANISAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRIAEGYEMASRIAVEHLDHIAQKFDFGPTNIEPLVQTCMTTLSSKIVNRCKRPLAEIAVKAVLSVADLERKDVNLDLIKVEGKVGGKLEDTELVYGITVDKDMSHPQMPKKIDDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRQQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGRAGLVREKSFGTTKDKMLYIEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADKYPGVEQYAIRAFADALDSVSIALAENSGLQPIETLSAVKSQQIKENNPHFGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDF >KJB50291 pep chromosome:Graimondii2_0_v6:8:42964582:42968763:1 gene:B456_008G162400 transcript:KJB50291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFDEYGRPFIILKEQEQKTRLRGIEAQKANISAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRIAEGYEMASRIAVEHLDHIAQKFDFGPTNIEPLVQTCMTTLSSKIVNRCKRPLAEIAVKAVLSVADLERKDVNLDLIKVEGKVGGKLEDTELVYGITVDKDMSHPQMPKKIDDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRQQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGRAGLVREKSFGTTKDKMLYIEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADKYPGVEQYAIRAFADALDSVSIALAENSGLQPIETLSAVKSQQIKENNPHFGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDF >KJB49206 pep chromosome:Graimondii2_0_v6:8:33547903:33549468:-1 gene:B456_008G106700 transcript:KJB49206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAGGPSIFKSGIGCEACYEVKCSTNSACSGNPVTVVITDECPGCVSESVHFDLSGTSIGAMAKSGLADLLRNAGILQVQYKKVDCKYPGTTIAFHVDPGSNPNYFATLIEYTNGDGDLASVDLKQALDTDGWQPMQQSWGAVLKLDGSSSTLLPPFSLRLTSLDSRKTTVIRAG >KJB50703 pep chromosome:Graimondii2_0_v6:8:46105241:46107505:1 gene:B456_008G183000 transcript:KJB50703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKNPSLFMIFSSLCILYSFLKLTKADPWLLVNQTSPSSNVSGGNWQLLQSSVGVSAMHLQLLPNNKVVIFDRTDFGSSNISLPPGSFCPKNDCTAHSVLYDVVSNTLRPLHVLTDTFCSSGALDPNGTLIQTGGFNEGDHVIRTFAPCGADSVACDWNELNATRLVDRRWYATNQVLPDGRIIILGGRRVFTYEFYPKNDALNNRKSFYLRFLVETRDPEENNLYPFLHLLPDGNLFIFANKKSILFDYKRDIVLKQFPLIPGDDKRNYPSTGSSVMLPLRLSERWPPVVEVLICGGAPAGAFMKSDKMKVFVEASRTCGRLKVNDANPQWLMEAMPMPRVMSDMILLPTGDVIIINGAANGTAGWEDAVNPVFSPILYSVNAQLSQRFTVLSPSKVPRMYHSTAILLPDGRILVAGGNPHTTYVFTGLYPTELSLEAYNPYYLDAKFNSLRPSIISVESGSTVSYGRRFGVSFSVSLFRQGTVSVALIWPSFTTHSTGMSQRMVVLNTASVVQLSMANFKLVVDGPTNANVAPPGYYMLFVVHSGIPSHAVWVKVM >KJB47843 pep chromosome:Graimondii2_0_v6:8:6024606:6028370:1 gene:B456_008G044900 transcript:KJB47843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLQPYFQQCASAAFSISFPSHSFYVSVSKRTFISYCSSQSQTALVDSPVDSKIAERDEIRLGLPSKGRMAADTLDLLKDCQLSVKQVNPRQYVAQIPQLSNLEVWFQRPKDIVRKLLSGDLDLGIVGLDTVSEYGQGNEDLIIVHDALDYGDCRLSLAIPKYGIFENINSLRELAEMPQWTVEKPLRVATGFIYLGPKFMKEHGLKHVIFSTADGALEAAPAMGIADAILDLVSSGTTLRENNLKEIEGGVVLESQAVLVASRKALIQRKGALDTTHEILERFEAHLRALGQFTVTANMRGGSAEEVAERVLTQPSLAGLQGPTVCPVFCKRDGKVTADYYAIVICVPKKALYKSVQQLRAIGGSGVLISPLTYIFDEETPRWRELLSKLGI >KJB47841 pep chromosome:Graimondii2_0_v6:8:6024606:6028348:1 gene:B456_008G044900 transcript:KJB47841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLQPYFQQCASAAFSISFPSHSFYVSVSKRTFISYCSSQSQTALVDSPVDSKIAERDEIRLGLPSKGRMAADTLDLLKDCQLSVKQVNPRQYVAQIPQLSNLEVWFQRPKDIVRKLLSGDLDLGIVGLDTVSEYGQGNEDLIIVHDALDYGDCRLSLAIPKYGIFENINSLRELAEMPQWTVEKPLRVATGFIYLGPKFMKEHGLKHVIFSTADGALEAAPAMGIADAILDLVSSGTTLRENNLKEIEGGVVLESQAVLVASRKALIQRKGALDTTHEILERFEAHLRALGQFTVRIFMIPVSWISFPFLP >KJB47840 pep chromosome:Graimondii2_0_v6:8:6024606:6028348:1 gene:B456_008G044900 transcript:KJB47840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLQPYFQQCASAAFSISFPSHSFYVSVSKRTFISYCSSQSQTALVDSPVDSKIAERDEIRLGLPSKGRMAADTLDLLKDCQLSVKQVNPRQYVAQIPQLSNLEVWFQRPKDIVRKLLSGDLDLGIVGLDTVSEYGQGNEDLIIVHDALDYGDCRLSLAIPKYGIFENINSLRELAEMPQWTVEKPLRVATGFIYLGPKFMKEHGLKHVIFSTADGALEAAPAMGIADAILDLVSSGTTLRENNLKEIEGGVVLESQAVLVASRKALIQRKGALDTTHEILERFEAHLRALGNCKYEGR >KJB47842 pep chromosome:Graimondii2_0_v6:8:6024606:6028348:1 gene:B456_008G044900 transcript:KJB47842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLQPYFQQCASAAFSISFPSHSFYVSVSKRTFISYCSSQSQTALVDSPVDSKIAERDEIRLGLPSKGRMAADTLDLLKDCQLSVKQVNPRQYVAQIPQLSNLEVWFQRPKDIVRKLLSGDLDLGIVGLDTVSEYGQGNEDLIIVHDALDYGDCRLSLAIPKYGIFENINSLRELAEMPQWTVEKPLRVATGFIYLGPKFMKEHGLKHVIFSTADGALEAAPAMGIADAILDLVSSGTTLRENNLKEIEGGVVLESQAVLVASRKALIQRKGALDTTHEILERFEAHLRALGQFTVTANMRGGSAEEVAERVLTQPSLAGLQVKAPRYVQFSVSVMEK >KJB49015 pep chromosome:Graimondii2_0_v6:8:26994733:26996495:1 gene:B456_008G097700 transcript:KJB49015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSRPRQQRLFLVALQALIQISKSTWERKFNVFKQWGWSDEDIVSAFEKYPRCMLFSEHKISENMDFFVNTMGCKSSYISNHPVLLSYSLEKRIIPRCSVLKALLSKGLIEKFNVNSIMVCTEKVFLQRFVTPFEDPYFLKLYEEKQTLTLDTMDKCDFHVLR >KJB49016 pep chromosome:Graimondii2_0_v6:8:26994740:26996495:1 gene:B456_008G097700 transcript:KJB49016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPPIWPPSRKIRSCRHAENEENGSNECSLADQDNNERATEEVKKLGFNPLQQLFLVALQALIQISKSTWERKFNVFKQWGWSDEDIVSAFEKYPRCMLFSEHKISENMDFFVNTMGCKSSYISNHPVLLSYSLEKRIIPRCSVLKALLSKGLIEKFNVNSIMVCTEKVFLQRFVTPFEDPYFLKLYEEKQTLTLDTMDKCDFHVLR >KJB49483 pep chromosome:Graimondii2_0_v6:8:35995161:35999431:-1 gene:B456_008G121400 transcript:KJB49483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSNSDENYDVGYQPSPCSTDPNDQSTMSGDSFVYCRTYSSTSVFSEPVDDHHSCCSEASPSCSSHWSTKRSGGVVPQKQALLTRLVTKQCKNSNAGDHQKLDDLESLDLELEMMKERFAKLLLGEDMSGSGKGVCTAITISNSITNLYATVFGQNLRLEPLNPEKKALWKREMDCLLSVCDYIVEFTPKSHNLRNGAAVEIMESRQRSDIYINLPALRKLDAMLIEILDSFQDREFWYAEQGSMSANSTRMGSFRRVVQRNDEKWWVPVPCVPSSGLTEKARKHLRHKRECANQIHKAAMSINNSVLSEMEIPDSYMASLPKSGRASIGDPIYRYMYTTEKFSPEYLLDCLNIASEHEALELADRVEASMYTWRRKACMIHSKSSWSMVKDLMSDVNRSDKNHILAERAESLLFSLKQRYPELSQTSLDTCKIQYNRDVGQAILESYSRVLEGLAFNIVAWIEDVFFVDSTTRNQD >KJB49482 pep chromosome:Graimondii2_0_v6:8:35994886:35999431:-1 gene:B456_008G121400 transcript:KJB49482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSNSDENYDVGYQPSPCSTDPNDQSTMSGDSFVYCRTYSSTSVFSEPVDDHHSCCSEASPSCSSHWSTKRSGGVVPQKQALLTRLVTKQCKNSNAGDHQKLDDLESLDLELEMMKERFAKLLLGEDMSGSGKGVCTAITISNSITNLYATVFGQNLRLEPLNPEKKALWKREMDCLLSVCDYIVEFTPKSHNLRNGAAVEIMESRQRSDIYINLPALRKLDAMLIEILDSFQDREFWYAEQGSMSANSTRMGSFRRVVQRNDEKWWVPVPCVPSSGLTEKARKHLRHKRECANQIHKAAMSINNSVLSEMEIPDSYMASLPKSGRASIGDPIYRYMYTTEKFSPEYLLDCLNIASEHEALELADRVEASMYTWRRKACMIHSKSSWSMVKDLMSDVNRSDKNHILAERAESLLFSLKQRYPELSQTSLDTCKIQYNRSMNHRDLEACIKYI >KJB49485 pep chromosome:Graimondii2_0_v6:8:35995594:35999026:-1 gene:B456_008G121400 transcript:KJB49485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSNSDENYDVGYQPSPCSTDPNDQSTMSGDSFVYCRTYSSTSVFSEPVDDHHSCCSEASPSCSSHWSTKRSGGVVPQKQALLTRLVTKQCKNSNAGDHQKLDDLESLDLATVFGQNLRLEPLNPEKKALWKREMDCLLSVCDYIVEFTPKSHNLRNGAAVEIMESRQRSDIYINLPALRKLDAMLIEILDSFQDREFWYAEQGSMSANSTRMGSFRRVVQRNDEKWWVPVPCVPSSGLTEKARKHLRHKRECANQIHKAAMSINNSVLSEMEIPDSYMASLPKSGRASIGDPIYRYMYTTEKFSPEYLLDCLNIASEHEALELADRVEASMYTWRRKACMIHSKSSWSMVKDLMSDVNRSDKNHILAERAESLLFSLKQRYPELSQTSLDTCKIQYNRDVGQAILESYSRVLEGLAFNIVAWIEDVFFVDSTTRNQD >KJB49484 pep chromosome:Graimondii2_0_v6:8:35995161:35998843:-1 gene:B456_008G121400 transcript:KJB49484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSNSDENYDVGYQPSPCSTDPNDQSTMSGDSFVYCRTYSSTSVFSEPVDDHHSCCSEASPSCSSHWSTKRSGGVVPQKQALLTRLVTKQCKNSNAGDHQKLDDLESLDLELEMMKERFAKLLLGEDMSGSGKGVCTAITISNSITNLYATVFGQNLRLEPLNPEKKALWKREMDCLLSVCDYIVEFTPKSHNLRNGAAVEIMESRQRSDIYINLPALRKLDAMLIEILDSFQDREFWYAEQGSMSANSTRMGSFRRVVQRNDEKWWVPVPCVPSSGLTEKARKHLRHKRECANQIHKAAMSINNSVLSEMEIPDSYMASLPKSGRASIGDPIYRYMYTTEKFSPEYLLDCLNIASEHEALELADRVEASMYTWRRKACMIHSKSSWSMVKDLMSDVNRSDKNHILAERAESLLFSLKQRYPELSQTSLDTCKIQYNRDVGQAILESYSRVLEGLAFNIVAWIEDVFFVDSTTRNQD >KJB49532 pep chromosome:Graimondii2_0_v6:8:36320965:36324549:-1 gene:B456_008G124300 transcript:KJB49532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRERRGEPSQDRRKERIGPETREEKLNDSDASERKLIGIFILFFIIIPAVSVLVYVYKYGSGTKRLEPVITERGPIKTDINFQEILTENTNVAGNESQRNYDYPVLAYITPWNSKGYEMAKRFNSKFTHLSPVWYDLKSHGNSLILEGRHNADKGWISELRMRGNALILPRIVLEAFPTELLKKKKQMDKAIDLMVTECKEMEYDGLVLESWSRWAAYGVLHNPDLRNMALKFIKQLGHTLHSVTSTRNPKQQLQLVYVVGPPHSDALQQHDFGPADLQSLSGSVDGFSLMTYDFSAPNNPGPNAPLNWIRFTLRLLLGSTGNNAQRLAPKIFLGINFYGNDFILSEGSGGAGAILGRDYLSLLEKHRPQLQWEKNSGEHFFVYFDDNNVRHAVFYPSLMSIYMRLEEARFWGTGISIWEIGQGLDYFFDLL >KJB49168 pep chromosome:Graimondii2_0_v6:8:31863551:31865348:1 gene:B456_008G104200 transcript:KJB49168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKICGVFMLKKNINKDASMELLDFSQGFSGTHFKGKSSSFQKKLGFLKSGKAGSKNLQNDKKQKTKKRGKREEGIFGENQGENSWLPLQNRGREGKRDHCSPLMNQYNAFQVKDVYEIVWFNNLLELFVLCFSFWGCIHHINFCVCFPDCK >KJB52946 pep chromosome:Graimondii2_0_v6:8:56057344:56058895:1 gene:B456_008G284800 transcript:KJB52946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWVLPVLLAVIGVTALLVVTPVSGYRPWPYLKPNSSNLMFGGSKKFEGSSEFIHMRYHMGPVLTANITIHPIWYGRWQKSQKKIIREFINSISAVDSKHPSVAGWWKTVQLYTDQTGANISRSVLLGEEKNDRFYSHGKSLTRLSIQSVIKSAVTSRTKPLPINPKSGLYLLLTSDDVYVEDFCGQVCGFHYFTFPSIVGYTLPYAWVGNSAKLCPGVCAYPFAVPQYMPGLKPLKSPNSDVGVDGMISVIGHEVAELATNPLVNAWYAGQDPVAPVEIADLCEGIYGTGGGGSYTGQLLNDKDGATYNMNGIRRRYLVQWVWNHIVSYCTGPNALDQFFWPLWVLVGWVLMHD >KJB52947 pep chromosome:Graimondii2_0_v6:8:56057344:56058895:1 gene:B456_008G284800 transcript:KJB52947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWVLPVLLAVIGVTALLVVTPVSGYRPWPYLKPNSSNLMFGGSKKFEGSSEFIHMRYHMGPVLTANITIHPIWYGRWQKSQKKIIREFINSISAVDSKHPSVAGWWKTVQLYTDQTGANISRSVLLGEEKNDRFYSHGKSLTRLSIQSVIKSAVTSRTKPLPINPKSGLYLLLTSDDVYVEDFCGQVCGFHYFTFPSIVGYTLPYAWVGNSAKLCPGVCAYPFAVPQYMPGLKPLKSPNSDVGVDGMISVIGHEVAELATNPLVNAWYAGQDPVAPVEIADLCEGIYGTGGGGSYTGQLLNDKDGATYNMNGIRRRYLVQWVWNHIVSYCFFGLCGFWSGGC >KJB52948 pep chromosome:Graimondii2_0_v6:8:56057344:56058898:1 gene:B456_008G284800 transcript:KJB52948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWVLPVLLAVIGVTALLVVTPVSGYRPWPYLKPNSSNLMFGGSKKFEGSSEFIHMRYHMGPVLTANITIHPIWYGRWQKSQKKIIREFINSISAVDSKHPSVAGWWKTVQLYTDQTGANISRSVLLGEEKNDRFYSHGKSLTRLSIQSVIKSAVTSRTKPLPINPKSGLYLLLTSDDVYVEDFCGQVCGFHYFTFPSIVGYTLPYAWVGNSAKLCPGVCAYPFAVPQYMPGLKPLKSPNSDVGVDGMISVIGHEVAELATNPLVNAWYAGQDPVAPVEIADLCEGIYGTGGGGSYTGQLLNDKDGATYNMNGIRRRYLVQWVWNHIVFLAFVGFGRVGVDA >KJB49894 pep chromosome:Graimondii2_0_v6:8:39652778:39659443:1 gene:B456_008G144100 transcript:KJB49894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKTYLEVGADGVAIITINNPPHNLLSVDVLLSLKDNTEQALLRDDVNAVVITGSKGKFSGGFDVTAFGKKGKHGKLGFWSIEFVTDILEAARKPFVAAIDGPALGGGLEIALACHARISTSSTVLGLPELRYGILPGLGGTQRLPRLVGLRKALEMILMSKLVYGDNARGMDLVDGISSADLLTTTACHWAKDILAHRRPRTVSLYRTDWLEPLPKAKMMLEHARVKSKKQAPNLKHPLVCIDVIEEGLVHGPRAALWKESKALHELRQSDTCRSLVYFFARQRTSKVPGITDMRLIPRKVDTFAVVGGGILGSSIATALILSNYSVILKELDEKALLTGILRVKVNLQDHVKQGKLAEVKLDKILSLCKGVLHYEGFREVDMVIEVCTSMINLNLINERRNFQNHIVGTHFFGQAHLVPVLEIIRTEKTSPQVIVDLLAIRKKMRKTPVVVHNSSAMMLVEHGFDLYQIDQAVAAFGMTMGPFRMMDFVGFDVASAMKVQFSKNFGERFNELSKLNFVMQEDIQADETTRKGFYIYDGKSKFTPNPDIKMYVNKARSISGLSIDYELMQLSDEEIVEMILFPVVNEACCVFEDKIVVKASDLDVASVTGMGFPEYRGGIIFWADTLGPKYICSKLEKWSKTYGPFKPCAYLAERAFKRVSLVRGRRASKVSTIAGEHRRHSRKQS >KJB51700 pep chromosome:Graimondii2_0_v6:8:51527350:51529458:1 gene:B456_008G229400 transcript:KJB51700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEIGFNNGVPIDEEFFIKNIAGRHNDDIAATLFPDDLPRGEKFCEDKEAMFRRLASDKLPPINGLYKLTKWIEDHGLKKAAVTNAPRPNAELMISKLGLKDFFDVVIVGSECEHAKPYPDPYLKALELLKVSKDHTFICEDSASGIRAGVAAGMPVVGLTTRNPENVLMEANPTMLVKDYEDPKLWSALEELDKKGDSLKTAA >KJB51698 pep chromosome:Graimondii2_0_v6:8:51527491:51529458:1 gene:B456_008G229400 transcript:KJB51698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLASDKLPPINGLYKLTKWIEDHGLKKAAVTNAPRPNAELMISKLGLKDFFDVVIVGSECEHAKPYPDPYLKALELLKVSKDHTFICEDSASGIRAGVAAGMPVVGLTTRNPENVLMEANPTMLVKDYEDPKLWSALEELDKKGDSLKTAA >KJB51699 pep chromosome:Graimondii2_0_v6:8:51528423:51528982:1 gene:B456_008G229400 transcript:KJB51699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLASDKLPPINGLYKLTKWIEDHGLKKAAVTNAPRPNAELMISKLGLKDFFDVVIVGSECEHAKPYPDPYLKALELLKVSKDHTFICEDSASGIRAGVAAGMPVVGLTTRNPENVLMEANPTMLVKDYEDPKLWSALEELDKKGDSLKTAA >KJB51697 pep chromosome:Graimondii2_0_v6:8:51527237:51529563:1 gene:B456_008G229400 transcript:KJB51697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGENSLQSNKSIPDLAPLEAVLFDVDGTLCDSDPIHHQAFQEMLLEIGFNNGVPIDEEFFIKNIAGRHNDDIAATLFPDDLPRGEKFCEDKEAMFRRLASDKLPPINGLYKLTKWIEDHGLKKAAVTNAPRPNAELMISKLGLKDFFDVVIVGSECEHAKPYPDPYLKALELLKVSKDHTFICEDSASGIRAGVAAGMPVVGLTTRNPENVLMEANPTMLVKDYEDPKLWSALEELDKKGDSLKTAA >KJB52762 pep chromosome:Graimondii2_0_v6:8:55378709:55380693:1 gene:B456_008G275800 transcript:KJB52762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASADPSSEISIDDADSSTVSPISDHDLFAQLESLRHDYHALRSKSSSMEESLRLLQQQRDEAIAQTAALTEKVHESSVERDFLRRQVEEFEVILRENEEGFAKKIDEESKAKVELEEKLEFYRGKVEELESETKVRNELFEKSLDSICSVKESLVEIIEILNDEKEVTENVDGKSEKMELEDEELWNFAREVGTVLKLAREANSKAYEFKEARKKEKRELEGSVVSLTEENRDINSLLRIALVEKEAVEKNLNKLKGNTEQKRVALLHIAERGLQRVGFGFMMGSGSNEQATESSGAFTTSIGSKSDGSECEEEVVSLASTVEKIMKNLRLEISQLRRSLEESRSDTERLQSLTEKQAQKLEENTLYIKELENREMVLAQNVSICLFSASLGSVLFFLFLTSFFFLPLFLIPLLLLFLLKFSTV >KJB52764 pep chromosome:Graimondii2_0_v6:8:55378590:55382037:1 gene:B456_008G275800 transcript:KJB52764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASADPSSEISIDDADSSTVSPISDHDLFAQLESLRHDYHALRSKSSSMEESLRLLQQQRDEAIAQTAALTEKVHESSVERDFLRRQVEEFEVILRENEEGFAKKIDEESKAKVELEEKLEFYRGKVEELESETKVRNELFEKSLDSICSVKESLVEIIEILNDEKEVTENVDGKSEKMELEDEELWNFAREVGTVLKLAREANSKAYEFKEARKKEKRELEGSVVSLTEENRDINSLLRIALVEKEAVEKNLNKLKGNTEQKRVALLHIAERGLQRVGFGFMMGSGSNEQATESSGAFTTSIGSKSDGSECEEEVVSLASTVEKIMKNLRLEISQLRRSLEESRSDTERLQSLTEKQAQKLEENTLYIKELENREMVLAQNVDELLMEIKETEAEVARWREACELEVEAGKKEVEEREKLVVILKQELDKTKTALEISNGKLKLKEELAAAAIAAQAAAERSVQLADSRAVGLRDRIEELTKQLEEAEGKERSRRKVRHICWPWEILKMNITNTRAQNGKRMLPEMQAFLHHNR >KJB52763 pep chromosome:Graimondii2_0_v6:8:55378590:55381997:1 gene:B456_008G275800 transcript:KJB52763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASADPSSEISIDDADSSTVSPISDHDLFAQLESLRHDYHALRSKSSSMEESLRLLQQQRDEAIAQTAALTEKVHESSVERDFLRRQVEEFEVILRENEEGFAKKIDEESKAKVELEEKLEFYRGKVEELESETKVRNELFEKSLDSICSVKESLVEIIEILNDEKEVTENVDGKSEKMELEDEELWNFAREVGTVLKLAREANSKAYEFKEARKKEKRELEGSVVSLTEENRDINSLLRIALVEKEAVEKNLNKLKGNTEQKRVALLHIAERGLQRVGFGFMMGSGSNEQATESSGAFTTSIGSKSDGSECEEEVVSLASTVEKIMKNLRLEISQLRRSLEESRSDTERLQSLTEKQAQKLEENTLYIKELENREMVLAQNVDELLMEIKETEAEVARWREACELEVEAGKKEVEEREKLQELDKTKTALEISNGKLKLKEELAAAAIAAQAAAERSVQLADSRAVGLRDRIEELTKQLEEAEGKERSRRKVRHICWPWEILKMNITNTRAQNGKRMLPEMQAFLHHNR >KJB52761 pep chromosome:Graimondii2_0_v6:8:55378590:55381022:1 gene:B456_008G275800 transcript:KJB52761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASADPSSEISIDDADSSTVSPISDHDLFAQLESLRHDYHALRSKSSSMEESLRLLQQQRDEAIAQTAALTEKVHESSVERDFLRRQVEEFEVILRENEEGFAKKIDEESKAKVELEEKLEFYRGKVEELESETKVRNELFEKSLDSICSVKESLVEIIEILNDEKEVTENVDGKSEKMELEDEELWNFAREVGTVLKLAREANSKAYEFKEARKKEKRELEGSVVSLTEENRDINSLLRIALVEKEAVEKNLNKLKGNTEQKRVALLHIAERGLQRVGFGFMMGSGSNEQATESSGAFTTSIGSKSDGSECEEEVVSLASTVEKIMKNLRLEISQLRRSLEESRSDTERLQSLTEKQAQKLEENTLYIKELENREMVLAQNVDELLMEIKETEAEVARWREACELEVEAGKKEVEEREKLVRTLFCHQYEPFSSLFAL >KJB48462 pep chromosome:Graimondii2_0_v6:8:11768360:11771435:-1 gene:B456_008G070100 transcript:KJB48462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSTLLDAFWNLQRCQLSSTLLWSARSLAGSGTPPIRTYMRAAKSGPSAMELDSGSSSEDESAHVSSKKSATQPRPIPRSVANYGTFLAASINLPLGSKAWMEARSGFTSRRLLHEHSMSHSTFGQWLGWLMAAIYMGGRIPQIWLNIKRGSVEGLNPLMFIFALIANATYVASILVRTSEWENIKPNMPWLLDAAICVALDLVIILQYMYYSFFRETVNSDAEDYGDHMDASKRI >KJB48459 pep chromosome:Graimondii2_0_v6:8:11769133:11771060:-1 gene:B456_008G070100 transcript:KJB48459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSQAYCVKENKPCNVWVERYFKDCLCNLKDDLSFGFGLTSLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVVGDVFNLVGCLLEPATLPTQFYTALLYTASTVVLVLESIYYDYIYRWWKCRRIKADNMVEDEKKPLKAGKPGSGIPIPRASQKPNPRREYYYMSARSLAGSGTPPIRTYMRAAKSGPSAMELDSGSSSEDESAHVSSKKSATQPRPIPRSVANYGTFLAASINLPLGSKAWMEARSGFTSRRLLHEHSMSHSTFGQWLGWLMAAIYMGGRIPQIWLNIKRGSVEVVLLTLNYI >KJB48463 pep chromosome:Graimondii2_0_v6:8:11768580:11770870:-1 gene:B456_008G070100 transcript:KJB48463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPFFFSSLGDVFNLVGCLLEPATLPTQFYTALLYTASTVVLVLESIYYDYIYRWWKCRRIKADNMVEDEKKPLKAGKPGSGIPIPRASQKPNPRREYYYMSARSLAGSGTPPIRTYMRAAKSGPSAMELDSGSSSEDESAHVSSKKSATQPRPIPRSVANYGTFLAASINLPLGSKAWMEARSGFTSRRLLHEHSMSHSTFGQWLGWLMAAIYMGGRIPQIWLNIKRGSVEGLNPLMFIFALIANATYVASILVRTSEWENIKPNMPWLLDAAICVALDLVIILQYMYYSFFRETVNSDAEDYGDHMDASKRI >KJB48460 pep chromosome:Graimondii2_0_v6:8:11768360:11771435:-1 gene:B456_008G070100 transcript:KJB48460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPFFFSSLGLLVMCSTLLDAFWNLQRCQLSSTLLWSARSLAGSGTPPIRTYMRAAKSGPSAMELDSGSSSEDESAHVSSKKSATQPRPIPRSVANYGTFLAASINLPLGSKAWMEARSGFTSRRLLHEHSMSHSTFGQWLGWLMAAIYMGGRIPQIWLNIKRGSVEGLNPLMFIFALIANATYVASILVRTSEWENIKPNMPWLLDAAICVALDLVIILQYMYYSFFRETVNSDAEDYGDHMDASKRI >KJB48458 pep chromosome:Graimondii2_0_v6:8:11768360:11771295:-1 gene:B456_008G070100 transcript:KJB48458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSQAYCVKENKPCNVWVERYFKDCLCNLKDDLSFGFGLTSLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVVGDVFNLVGCLLEPATLPTQFYTALLYTASTVVLVLESIYYDYIYRWWKCRRIKADNMVEDEKKPLKAGKPGSGIPIPRASQKPNPRREYYYMSARSLAGSGTPPIRTYMRAAKSGPSAMELDSGSSSEDESAHVSSKKSATQPRPIPRSVANYGTFLAASINLPLGSKAWMEARSGFTSRRLLHEHSMSHSTFGQWLGWLMAAIYMGGRIPQIWLNRDS >KJB48461 pep chromosome:Graimondii2_0_v6:8:11768580:11771060:-1 gene:B456_008G070100 transcript:KJB48461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSQAYCVKENKPCNVWVERYFKDCLCNLKDDLSFGFGLTSLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVVGDVFNLVGCLLEPATLPTQFYTALLYTASTVVLVLESIYYDYIYRWWKCRRIKADNMVEDEKKPLKAGKPGSGIPIPRASQKPNPRREYYYMSARSLAGSGTPPIRTYMRAAKSGPSAMELDSGSSSEDESAHVSSKKSATQPRPIPRSVANYGTFLAASINLPLGSKAWMEARSGFTSRRLLHEHSMSHSTFGQWLGWLMAAIYMGGRIPQIWLNIKRGSVEGLNPLMFIFALIANATYVASILVRTSEWENIKPNMPWLLDAAICVALDLVIILQYMYYSFFRETVNSDAEDYGDHMDASKRI >KJB48464 pep chromosome:Graimondii2_0_v6:8:11768403:11771295:-1 gene:B456_008G070100 transcript:KJB48464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSQAYCVKENKPCNVWVERYFKDCLCNLKDDLSFGFGLTSLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVVGDVFNLVGCLLEPATLPTQFYTALLYTASTVVLVLESIYYDYIYRWWKCRRIKADNMVEDEKKPLKAGKPGSGIPIPRASQKPNPRREYYYMSARSLAGSGTPPIRTYMRAAKSGPSAMELDSGSSSEDESAHVSSKKSATQPRPIPRSVANYGTFLAASINLPLGSKAWMEARSGFTSRRLLHEHSMSHSTFGQWLGWLMAAIYMGGRIPQIWLNIKRGSVEGLNPLMFIFALIANATYVASILVRTSEWENIKPNMPWLLDAAICVALDLVIILQYMYYSFFRETVNSDAEDYGDHMDASKRI >KJB52971 pep chromosome:Graimondii2_0_v6:8:56176051:56180022:-1 gene:B456_008G286000 transcript:KJB52971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPQGGSDPVESNPSSSSVFIVSNFWKELDLEKEKSLLDGQGLRIAENLENSQKNRRKLARVQEVLFSPTYFKKASAEEKLRLFNSLLKGYQEEIDNLTKRAKFGENTFLNIYQKLYEAPDPYPGLASITEVVSEGMKKELQTRPTEKLVEGLRKKVKILQVKLSEKISLLETDDGKIRELTEKVNEQQKLIQKLEDDILKGYSSKEWKGTLFDDWDISESTGNELSEEIRQLKEKIRAQTADLEKTKTDNVKLYGKIPGL >KJB49470 pep chromosome:Graimondii2_0_v6:8:35743417:35748173:1 gene:B456_008G120800 transcript:KJB49470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 34 [Source:Projected from Arabidopsis thaliana (AT4G00350) UniProtKB/Swiss-Prot;Acc:F4JH46] MEDQLHATPSSTVPLFEVAELHPAPSSLIIEGGDYPQVQSFADVRNILFVESSKIWSIATPIAFNIWCNYGINSFTNIFAGHIGDIELSAVAIALSVVANFSFGFLLGMASALETLCGQAFGAGQIDLLGIYMQRSWIILFAACFALLPLYLYATPLLKLLGQEPGIADLAGEFTLQVMPQMFSLAINFPTQKFLQAQSKVGVLAWIGFAAFVGHILIIFLFVNVFKWGTTGAAVAYDISAWLVALAQLIYVVGWCKDGWSGLSWLAFKDLWSFAKLSIASAVMLCLEIWYFMMIIVLTGHLEDPVIAVGSLSICMNINGWEGMLFIGLNAAISVRVSNELGSGHPRAAKYSVIVIVVQSLLIGLLSALVIMATRNKFAIIFTDSVEMQKGVASLAHLLGITMVLNSVQPVISGVAVGGGWQALVAYINLFCYYIVGLPLGFFLGYHIHFGVEGIWVGMICGTFLQTLILLYIIYQTNWNTEVEQASERMQQWGTELQVSENKGYNFSH >KJB47427 pep chromosome:Graimondii2_0_v6:8:3014817:3016356:-1 gene:B456_008G025900 transcript:KJB47427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVLFVYLGFMVFGIIFLCFLYRFVDNNGLPRNWPLVGMIPTLLLNIHRPHDKVAQTLRRSSGTFFYTGLWFTNTSYLATSDPENVRSILSSNSSVYLKGPEWLKQFDIFGEALFNSDGEAWKCHRRAFHAFLNHPQFRQSLSKVLHQRIEEALVKVLEYVSGREMVVNLQDLLVRHAFDIGCIMGVGFNPGLLSIEFPENRFHEAVSNTFEAAFYRYRRNGVSDAWKAFDDLLTQFISTQRHKSKKSVASSGSNEENDFNFLNCYLTGHEITGPTPKDSLIRDNLVHFLFASDGTYNLTLTWFFYLISKAPMVENKIREEIKRHLSMTQVEGGLQIPSNYDELSKLTYLHAALCETLRLHPPVPFEFRTCTKQDFLPSGHRVDQNTRILIGIHAMGRMESLWEEDCYEFKPERWISEDGKIKRESPTKFSAFLAGPRICPGKEVSFLLMKATATAIIHNYNFHVVEGQNIGPKNSVLYQMKKGLVVSVKKRWS >KJB50528 pep chromosome:Graimondii2_0_v6:8:45179481:45182345:1 gene:B456_008G175500 transcript:KJB50528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRGPCLGLTTQEMNMSFSHDMDDEYEKFIRRMNPPRVVIDNESCKNATVIRVDSANRHGILLEVVQILTDINLIITKAYISSDGNWFMDVFNVTDQGGNKILDEGILDYIRKSLGPESCFMPSIRSVGVKQSMDHTAIELTGSDRPGLLSEVSAVLRHLKCNVVNAEVWTHNTRAAAVMQVTDEETETAITDPERLSRIKSLLCNVLKGSNKSSLAKTVVSHSVTHTERRLHQMMFADRDYERTGGDVLDHRQRPNVDVVNWHDKDYSVVTIRCKDRPKLLFDTVCTLTDMDYVVFHANIDTLGPDSYQEYYIRHLDGSPVKSDAERQRVTQCLEAAIERRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVTTKAGKAVNTFYVRDASGYPVDVKTIDSIRQVLGQTILKVKGNPDDSKPVSQESPTRFLFGGLFKSKSFVNFSLVRSYS >KJB50526 pep chromosome:Graimondii2_0_v6:8:45178900:45182345:1 gene:B456_008G175500 transcript:KJB50526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNMSFSHDMDDEYEKFIRRMNPPRVVIDNESCKNATVIRVDSANRHGILLEVVQILTDINLIITKAYISSDGNWFMDVFNVTDQGGNKILDEGILDYIRKSLGPESCFMPSIRSVGVKQSMDHTAIELTGSDRPGLLSEVSAVLRHLKCNVVNAEVWTHNTRAAAVMQVTDEETETAITDPERLSRIKSLLCNVLKGSNKSSLAKTVVSHSVTHTERRLHQMMFADRDYERTGGDVLDHRQRPNVDVVNWHDKDYSVVTIRCKDRPKLLFDTVCTLTDMDYVVFHANIDTLGPDSYQEYYIRHLDGSPVKSDAERQRVTQCLEAAIERRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVTTKAGKAVNTFYVRDASGYPVDVKTIDSIRQVLGQTILKVKGNPDDSKPVSQESPTRFLFGGLFKSKSFVNFSLVRSYS >KJB50527 pep chromosome:Graimondii2_0_v6:8:45179267:45182345:1 gene:B456_008G175500 transcript:KJB50527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSFSHDMDDEYEKFIRRMNPPRVVIDNESCKNATVIRVDSANRHGILLEVVQILTDINLIITKAYISSDGNWFMDVFNVTDQGGNKILDEGILDYIRKSLGPESCFMPSIRSVGVKQSMDHTAIELTGSDRPGLLSEVSAVLRHLKCNVVNAEVWTHNTRAAAVMQVTDEETETAITDPERLSRIKSLLCNVLKGSNKSSLAKTVVSHSVTHTERRLHQMMFADRDYERTGGDVLDHRQRPNVDVVNWHDKDYSVVTIRCKDRPKLLFDTVCTLTDMDYVVFHANIDTLGPDSYQEYYIRHLDGSPVKSDAERQRVTQCLEAAIERRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVTTKAGKAVNTFYVRDASGYPVDVKTIDSIRQVLGQTILKVKGNPDDSKPVSQESPTRFLFGGLFKSKSFVNFSLVRSYS >KJB50529 pep chromosome:Graimondii2_0_v6:8:45180119:45182200:1 gene:B456_008G175500 transcript:KJB50529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIRSVGVKQSMDHTAIELTGSDRPGLLSEVSAVLRHLKCNVVNAEVWTHNTRAAAVMQVTDEETETAITDPERLSRIKSLLCNVLKGSNKSSLAKTVVSHSVTHTERRLHQMMFADRDYERTGGDVLDHRQRPNVDVVNWHDKDYSVVTIRCKDRPKLLFDTVCTLTDMDYVVFHANIDTLGPDSYQEYYIRHLDGSPVKSDAERQRVTQCLEAAIERRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVTTKAGKAVNTFYVRDASGYPVDVKTIDSIRQVLGQTILKVKGNPDDSKPVSQESPTRFLFGGLFKSKSFVNFSLVRSYS >KJB48922 pep chromosome:Graimondii2_0_v6:8:23601301:23607135:1 gene:B456_008G093800 transcript:KJB48922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNAQLNAFLQNPETHFPDFSITRGFDSEKCDLALNLDNPTSAMDEGEEESFADLMLCDSNSRLIPSGFSKSNCTDEIVMFINAGGEALNETDSSMKFLGDNYFEGGNVMQTNEPINEAGDCPFIYWSARIGSFSYRFNNLPPGDYFVDLHFAEIINTNGPKGMRVFNVYMQEEKVLSDFDIFSVVGANKPLQVVGLRVSVKEDGLIALRFEGVIGSPMVCGICVRKTQNIPVTQGLQEYLKCNNCAAEIEVSSAQMKLVRTKVTDKYEKKIQELTTQCQLKTHECHEAWMSLTAANEQLEKVRMELDNKIFLTRTLDDTVGKQAENLKNITSSYEHYKKYWAAEVNNLREKIKIMKNEHAQLSHEAHACAESIPELNKMVTGVQALVEQCEDLKVKYSEEQAKRKELYNQIQETKGNIRVFCRCRPLSKEEISAGSAQVVDFDAAKDGDIGILTGASTRKTFKFDRVYTPKDNQVDVFADALPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTDQNRGVNYRTLEQLFHIAKERSETFMYNISVSVLEVYNEQIRDLLSTSPTSKRLEIKQSAEGFHHVPGIVEAQVENIKEVWNVLQIGSNSRAVGSNNVNEHSSRSHCMLCIMVKSKNLMTGECTNSKLWLVDLAGSERLAKTDAQGERLKEAQNINKSLSALGDVVYALATKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSERDISETLSSLNFATRVRGVELGPAKRQVDTSELQKMKTMLEKARQESRSKEESLKKLEESLQNLESKAKGRDQVYRTQQEKIKELEGQLELKNSMHNQSEKQLSQLSDRLKGKEEISTALQLKVKELEAKIKERHQSDSASYQQKVKELENKLKEQVQESESHSLSLQLKIKELERKLKEQEQNPDSIFLRQKIKELEDRLREQEQQLQCALARSFADTIAASPSEGKWRKDDESMNEAEPHILRSSNSTSSRPLSHGLKQPRISDLVHEPRKKRYSRSGETENNMVMAASLADKRARKSDPPKIARGVKTTKPGSLAAQGPVAHKRVINRGQVHGAMERDSKKKIWSR >KJB51191 pep chromosome:Graimondii2_0_v6:8:49054383:49059961:-1 gene:B456_008G205400 transcript:KJB51191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQPGGLLFTKFGGSQTSLLDLAFLDSFGRLHDRGKEVSKSTKFLTKLFPNRVTVHMPQDEALLASWKHQLDQDAETLKMKGNLNLLQTVLGRSGMDCEGLETLRIKDQTLTNESAEKVVGWALSHHLILNPEAGSSSRLVLSCESIQYSVTILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVAFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLKKAVATEADANFIKISMSGITSKWFGEGEKYVKAVFSRASKVAPSVVFVDEFHCTYPSSLINAEMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPWRLMVNLPDAANRAKILKVILAKEELSPYVDFDAVASMTEGYSGSDLKNLCVTAAHRPIKEILEREKKEQAAALAESKPPPSLCRSADIRPLNMVDCRYAHQQVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >KJB51190 pep chromosome:Graimondii2_0_v6:8:49054383:49058290:-1 gene:B456_008G205400 transcript:KJB51190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHLEIRTVLGRSGMDCEGLETLRIKDQTLTNESAEKVVGWALSHHLILNPEAGSSSRLVLSCESIQYSVTILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVAFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLKKAVATEADANFIKISMSGITSKWFGEGEKYVKAVFSRASKVAPSVVFVDEFHCTYPSSLINAEMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPWRLMVNLPDAANRAKILKVILAKEELSPYVDFDAVASMTEGYSGSDLKNLCVTAAHRPIKEILEREKKEQAAALAESKPPPSLCRSADIRPLNMVDCRYAHQQVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >KJB50708 pep chromosome:Graimondii2_0_v6:8:48425276:48426131:-1 gene:B456_008G199500 transcript:KJB50708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKGIQGKVDQINFLFEDNKPIRSFQRFSSEKLTSEQTQFPDPEPEMNLRLSLGGIYGSGNYSKQNSLTRSSSIAGEVVNHKRNFTEQLENTLPKSYLSLARSCSLPAQVESSKRVVNIKELRMMKRVEAKKRAVEKQWNGMKGSEKDKLLVAGAAPTEFPAWLAASTAKSPALNRAIDKIKEGFRKLEVQEQKGGVHRHPPLTQ >KJB50711 pep chromosome:Graimondii2_0_v6:8:48425276:48426131:-1 gene:B456_008G199500 transcript:KJB50711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKGIQGKVDQINFLFEDNKPIRSFQRFSSEKLTSEQTQFPDPEPEMNLRLSLGGIYGSGNYSKQNSLTRSSSIAGEVVNHKRNFTEQLENTLPKSYLSLARSCSLPAQVESSKRVVNIKELRMMKRVEAKKRAVEKQWNGMKGSEKDKLLVAGAAPTEFPAWLAASTAKSPALNRAIDKIKEGFRKLEVQEQKGGVHRHPPLTQ >KJB50710 pep chromosome:Graimondii2_0_v6:8:48424965:48426131:-1 gene:B456_008G199500 transcript:KJB50710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKGIQGKVDQINFLFEDNKPIRSFQRFSSEKLTSEQTQFPDPEPEMNLRLSLGGIYGSGNYSKQNSLTRSSSIAGEVVNHKRNFTEQLENTLPKSYLSLARSCSLPAQVESSKRVVNIKELRMMKRVEAKKRAVEKQWNGMKGSEKDKLLVAGAAPTEFPAWLAASTAKSPALNRAIDKIKEGFRKLEGAEGWSAPSSSSNPIKSVPEMPSQVTESENPAKKPKLSRGWIQDKEMKEMPSVTTTGDGPNGRKIQGFLYKYTKGQVCIVCVCHGNFLSPEEFVRHAGAKDVTNPMKHINVCSTSFSY >KJB50706 pep chromosome:Graimondii2_0_v6:8:48425276:48426131:-1 gene:B456_008G199500 transcript:KJB50706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKGIQGKVDQINFLFEDNKPIRSFQRFSSEKLTSEQTQFPDPEPEMNLRLSLGGIYGSGNYSKQNSLTRSSSIAGEVVNHKRNFTEQLENTLPKSYLSLARSCSLPAQVESSKRVVNIKELRMMKRVEAKKRAVEKQWNGMKGSEKDKLLVAGAAPTEFPAWLAASTAKSPALNRAIDKIKEGFRKLEVQEQKGGVHRHPPLTQ >KJB50705 pep chromosome:Graimondii2_0_v6:8:48424699:48426579:-1 gene:B456_008G199500 transcript:KJB50705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKGIQGKVDQINFLFEDNKPIRSFQRFSSEKLTSEQTQFPDPEPEMNLRLSLGGIYGSGNYSKQNSLTRSSSIAGEVVNHKRNFTEQLENTLPKSYLSLARSCSLPAQVESSKRVVNIKELRMMKRVEAKKRAVEKQWNGMKGSEKDKLLVAGAAPTEFPAWLAASTAKSPALNRAIDKIKEGFRKLEGAEGWSAPSSSSNPIKSVPEMPSQVTESENPAKKPKLSRGWIQDKEMKEMPSVTTTGDGPNGRKIQGFLYKYTKGQVCIVCVCHGNFLSPEEFVRHAGAKDVTNPMKHINVCSTSFSY >KJB50709 pep chromosome:Graimondii2_0_v6:8:48424811:48426418:-1 gene:B456_008G199500 transcript:KJB50709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKGIQGKVDQINFLFEDNKPIRSFQRFSSEKLTSEQTQFPDPEPEMNLRLSLGGIYGSGNYSKQNSLTRSSSIAGEVVNHKRNFTEQLENTLPKSYLSLARSCSLPAQVESSKRVVNIKELRMMKRVEAKKRAVEKQWNGMKGSEKDKLLVAGAAPTEFPAWLAASTAKSPALNRAIDKIKEGFRKLEGAEGWSAPSSSSNPIKSVPEMPSQVTESENPAKKPKLSRGWIQDKEMKEMPSVTTTGDGPNGRKIQGFLYKYTKGQVCIVCVCHGNFLSPEEFVRHAGAKDVTNPMKHINVCSTSFSY >KJB50707 pep chromosome:Graimondii2_0_v6:8:48424699:48426579:-1 gene:B456_008G199500 transcript:KJB50707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKGIQGKLENTLPKSYLSLARSCSLPAQVESSKRVVNIKELRMMKRVEAKKRAVEKQWNGMKGSEKDKLLVAGAAPTEFPAWLAASTAKSPALNRAIDKIKEGFRKLEGAEGWSAPSSSSNPIKSVPEMPSQVTESENPAKKPKLSRGWIQDKEMKEMPSVTTTGDGPNGRKIQGFLYKYTKGQVCIVCVCHGNFLSPEEFVRHAGAKDVTNPMKHINVCSTSFSY >KJB48452 pep chromosome:Graimondii2_0_v6:8:11718487:11720710:1 gene:B456_008G069800 transcript:KJB48452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGCKSSKTKGGVVEVSSQGRIDSGTESDWSSYEAACRHDSTLQQFDATLHQRTNDVIGTLATNMGVQALSFDSLKEVTGCVFETNQEVVKIILECQRDIWNNPDLFSLVEEYFDNTKKTLEFCTALQNCLKRARNNQLIIQLAVKNFEEEVGLQVGDDERIFVKTLEELRKFKAAEEPFTKEFFILFDSVRRQQELMLQKLLSRKRELDKKMKSLKTWRRVSNVLFVATFVSVLLFSVVAAAIAAPPVVTALAGAMAVPIGSVGKWCNWLWKRYENELQGQKELIIGMEIGSRITIYDMENIKVLISRLEIEMESLLHNADFAVREEDAVKLAINEIKGKLEAFMKTIEELGRQAENCSRDIRMARTVVLQKMMKRSGNSSTGDSPWEV >KJB49166 pep chromosome:Graimondii2_0_v6:8:31420361:31420975:-1 gene:B456_008G103800 transcript:KJB49166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISMRMVPTTSKLGLKQKPYNNKYRVEVRGISCRADNLTVQRGKPNFYELLSLGHSENVGLSEIKKAYRRMLKKNQTKRFLELQMAYETLSDPVSRKMHDCELGLADQIGFGVRNCCMWERRWKFPRDVWEKQVDGLKKRSQFRLQRMKNRSQ >KJB53045 pep chromosome:Graimondii2_0_v6:8:56496277:56499087:-1 gene:B456_008G290100 transcript:KJB53045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPGSEKTKSSSLMDNLLGLLRVRIKRGVNLAVRDVRSSDPYVVVKLDKQKLKTRVIKRDVNPEWNEDLTLSITDPSTPIRLTVYDHDTFSKDDKMGNAEVDIRPYIEALKVDVDGIPNGTTIARVQPCRTNCLSEESAITLVDGKVVQDICLRLRNVECGEVEIQLQWIELPGSKGLL >KJB53044 pep chromosome:Graimondii2_0_v6:8:56496277:56498469:-1 gene:B456_008G290100 transcript:KJB53044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCMKIIHSIHSFHRTILNREALIFFYTVIPFSLTWTVQPEHDIKLKTRVIKRDVNPEWNEDLTLSITDPSTPIRLTVYDHDTFSKDDKMGNAEVDIRPYIEALKVDVDGIPNGTTIARVQPCRTNCLSEESAITLVDGKVVQDICLRLRNVECGEVEIQLQWIELPGSKGLL >KJB47075 pep chromosome:Graimondii2_0_v6:8:1116725:1121721:-1 gene:B456_008G009700 transcript:KJB47075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKTIELDQGWDYMHKGITKLKRILEGLPEPPFSSEEYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYQEVHEKVRDAVIALIDKEREGEQIDRALLKNVLGIFVEIGMGQMDRYEDDFEEAMLQDTGAYYSRKASSWIEEDSCPDYMLKSEECLKKERDRVSHYLHSSSETKLSEKVQHELLVVYANRLLEKEHSGCRALLRDDKVEDLSRMYRLYCKILRGLDPVANIFKQHITAEGTTLVQQVEDAASNQASSSSGVQEQVLIRKIIELHDKYMAYVTDCFQNHTLFHKALKEAFEVFCNKTVTGSSSAELLATFCDNILKKGGCEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQASFEDYLRNNSAAHPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFKGFYETKTKHRKLTWIYSLGTCHINGKFEHKTIELIVSTYQAAVLLLFNASDRLSYSEIMAQLNLTHEDLVRLLHSLSCAKYKILSKEPNTKTISQSDYFEFNSKFTDKLRRIKIPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLITRDYLERDKENPNMFRYLA >KJB47076 pep chromosome:Graimondii2_0_v6:8:1116505:1122400:-1 gene:B456_008G009700 transcript:KJB47076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKTIELDQGWDYMHKGITKLKRILEGLPEPPFSSEEYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYQEVHEKVRDAVIALIDKEREGEQIDRALLKNVLGIFVEIGMGQMDRYEDDFEEAMLQDTGAYYSRKASSWIEEDSCPDYMLKSEECLKKERDRVSHYLHSSSETKLSEKVQHELLVVYANRLLEKEHSGCRALLRDDKVEDLSRMYRLYCKILRGLDPVANIFKQHITAEGTTLVQQVEDAASNQASSSSGVQEQVLIRKIIELHDKYMAYVTDCFQNHTLFHKALKEAFEVFCNKTVTGSSSAELLATFCDNILKKGGCEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQASFEDYLRNNSAAHPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFKGFYETKTKHRKLTWIYSLGTCHINGKFEHKTIELIVSTYQAAVLLLFNASDRLSYSEIMAQLNLTHEDLVRLLHSLSCAKYKILSKEPNTKTISQSDYFEFNSKFTDKLRRIKIPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLITRDYLERDKENPNMFRYLA >KJB49172 pep chromosome:Graimondii2_0_v6:8:32154992:32156728:1 gene:B456_008G104500 transcript:KJB49172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRVAYSAEDPQLQHLNPKHNSNDDGVSPQLPLPPPPGHPLSSFVVQVPKNQIYRVPPPENAQIQEKYRMAAKKRKRKLPCLNYFICFLLVLLVIGLIIGTAILVVYFCFSPKGPVFSISSLVIKQRKGSPPTYDVKLKIKNPNEKMGITYTSEEDDLKLTYWKKTLGWGEFPSLEQKKANSNEVNIKVNGVKDKPLPQNVKKSMSGKRPISLGMNIETPLVFNVWILKMWRRNMEVKCKFRVSTMGEGTKILSQVCNTKLA >KJB46992 pep chromosome:Graimondii2_0_v6:8:654611:659270:1 gene:B456_008G004500 transcript:KJB46992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQNKPQTRRSLKRKLEQEFEEDKSGRCKAVVVESRRTHQDIIRDVRIHVDVLNTCISFTEAHRAAAKCSAQLLSKIAMNEDIVDLIVECGAVPALVKQIQTPPLLAESDPIPFEYEVEKACAFALGLIAVKAEHQQLVVDAGALPCLVNLLKMHKDGCNSREMNGVIRKAADAITNLAHENARIKTRIRTEGGIPPLVQLLEYHDIKVQRSAAGALRTLAFKNDENKNQIVECNALPTLVLMLSSEDVTVHYEAVGVIGNLVHSSPNIKKDVLLAGALQPVIRLLSSSCLESQREAALLIGQFAAADSDCKVHIVQRGAVPPLIEMLTSSDVQLKEMSAFALGRLAQDTHNQVGIVQSGGIVPLLSLLDSKGGPLQHNSAFALYGLAENEDNVVDLIKTGGVQKLLEGEFIAQPTKDCVAKTLKRLEEKIHGRALSHLLYLMRTAAKAVQKRVALALAHLCAPNDRKTIFVDNNGIAVFYFNCHHSFLFLHIDYRLELLLGLLESKSFKQQKDGSEALYKLATKGTSLSSVDAAPVSPTPQVYLGEQYVNNPTLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYRERDAKDVEIPNIRWDVFVLMMRYIYTGSVTVEIDHAQELLRAADQYLLEGLKRLCEYAIAQDISVEDVMLMYELAEAFNATTLRETCLLFILEQFERISTKPWCGRLIQRVIPDLRNFFVSALVKCYDSNVSSPLWPLD >KJB46991 pep chromosome:Graimondii2_0_v6:8:654611:659270:1 gene:B456_008G004500 transcript:KJB46991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQNKPQTRRSLKRKLEQEFEEDKSGRCKAVVVESRRTHQDIIRDVRIHVDVLNTCISFTEAHRAAAKCSAQLLSKIAMNEDIVDLIVECGAVPALVKQIQTPPLLAESDPIPFEYEVEKACAFALGLIAVKAEHQQLVVDAGALPCLVNLLKMHKDGCNSREMNGVIRKAADAITNLAHENARIKTRIRTEGGIPPLVQLLEYHDIKVQRSAAGALRTLAFKNDENKNQIVECNALPTLVLMLSSEDVTVHYEAVGVIGNLVHSSPNIKKDVLLAGALQPVIRLLSSSCLESQREAALLIGQFAAADSDCKVHIVQRGAVPPLIEMLTSSDVQLKEMSAFALGRLAQDTHNQVGIVQSGGIVPLLSLLDSKGGPLQHNSAFALYGLAENEDNVVDLIKTGGVQKLLEGEFIAQPTKDCVAKTLKRLEEKIHGRALSHLLYLMRTAAKAVQKRVALALAHLCAPNDRKTIFVDNNGLELLLGLLESKSFKQQKDGSEALYKLATKGTSLSSVDAAPVSPTPQVYLGEQYVNNPTLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYRERDAKDVEIPNIRWDVFVLMMRYIYTGSVTVEIDHAQELLRAADQYLLEGLKRLCEYAIAQDISVEDVMLMYELAEAFNATTLRETCLLFILEQFERISTKPWCGRLIQRVIPDLRNFFVSALVKCYDSNVSSPLWPLD >KJB53061 pep chromosome:Graimondii2_0_v6:8:56536152:56544392:1 gene:B456_008G290900 transcript:KJB53061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIKEESCLDFEKPGHGFEFSNLSYSVIKKQNKDGEWIKKEAYLLNDISGQAMRGEVMAIMGPSGAGKSTFLDALAGRIARGSLQGSIRIDGKPVTTSYMKMILSYVMQEDQLFPMLKVQETFMFAAEVRLPPSISRAEKKKRVNELLSQLGLETAAHTYIGDEGRRGVSGGERRRVSIGIDIIHKPPLLFLDEPTSGLDSMSAYSVVEKMKGIARGGSIVLMTIHQPSFRIPLLLDRITVLARGRLMYMGSPASLPAHLSGFRRPVPNGENNLEYLIDVIKEYDESTAGLDTLVVYQLDSIKPDQVARTSVPKTPKTPKTPKNMKTPGSKHAISLRSNTFSVTNQSQSDRFDYNEDEDEEEDFDYSLERKSSRWQPQTPMSTSHSGAYPCLASQFYKDFFVWVYRSVTGAPRRAPSWTPAITPSVTPATTLSPKHLHTARRPRHHDFQTINRLLNFYIFAICLVFFSSNDAVLTFIQERFIFIRETSHNAYRASSYVISSLIVYLPFFAIQSFTFSTITKYWLRLQSNVLNFWLILVCVLVMPRTLFRCWIRVMGVTLYASLITINAYVMLVSALASSYITGYAVVIATTALFFLTCGFFIKGDKIPIYWRWLHYISAIKYPFEALLVNEFKDKEICISGNPSDLSPGPLGELKPSDLHLKNKALVDCTMIREDVISSMGIKLENLWYDILILLAWGVLYRLFFYVVLRFYSKNERK >KJB47482 pep chromosome:Graimondii2_0_v6:8:3471647:3477610:1 gene:B456_008G029200 transcript:KJB47482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HHKASRSLPTCFAFDGILTWQFQALALANRYSVYVPDFVFFGDSITDKSERSVEFQAECIAKGLKKLGVKKCTLVSFSYGGMVGFKMAEIDPELVHSLVVTCSPMALTDSISKARLHRLGFNSWQGYLLPDSVKGVETLVQLASHSFPKLPNFIYKEILEGICKYRREKVELLKALVISDEEFTVPSYHQRIHVLWGKNDKIFEVENAHYLQQQIGENASVEYIDKSGHIVQLERPFKYNSCLNKILPSLYPISDPS >KJB52537 pep chromosome:Graimondii2_0_v6:8:54580577:54580864:1 gene:B456_008G266700 transcript:KJB52537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QIYIFGELVGMCSYLLIGFWFTRPAAANACQKAFVTNGIGDFGLLLGILGFYWITGSFEFQDLVKIFNNLIYNNEVHFLFVTLCASLLFVPSSLN >KJB49447 pep chromosome:Graimondii2_0_v6:8:35658289:35662332:-1 gene:B456_008G120200 transcript:KJB49447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGIKLSRLRLYSTSLYSSSKSSSALYNSLMKPTGIAYKNYVRPSYGSVNGFYNKTKLRCAAKFMNICSKVVVCYHKSNPMSALSLTSSNLPFRSLYPFVSISPVLIHQSQSLSFSSKADKVSAASGGAEVDVSKSDGIGSGWGDKVKDAWHSAADAIGYTRQKAKEVSNELKPHVNQLLDTHPYLKDVVLPVGFTLTLTILAWVVLPRLLRRFHEYAIQTSILPSGRMLGDQVPYDKSLWGALEDPVRYVITFMAISQICMMVAPSTVPSQYIVQAWRGAAILSFVWFLHRWKTNVLGCALATKTLAGLDREKLLTIDRFSSVGLFVIGIMALAEASGVAVQSILTVGGVGGVATAFAARDIFGNVLSGLYMQFSKPFSLGDTIKAGSIEGQVVEMGLTSTTVLNSERFPVLVPNSLFSSQVIVNKSRARWRAVVTKIPLQIEDLDKVPEISNEIKSMLKSNSKVFLGKEVPYCFLSLVEGSYAELTVGCNLRHMSKDELYSTQQDILLQSVQIIKKHGATLGSTFQCPIGQ >KJB49449 pep chromosome:Graimondii2_0_v6:8:35658688:35661754:-1 gene:B456_008G120200 transcript:KJB49449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGIKLSRLRLYSTSLYSSSKSSSALYNSLMKPTGIAYKNYVRPSYGSVNGFYNKTKLRCAAKFMNICSKVVVCYHKSNPMSALSLTSSNLPFRSLYPFVSISPVLIHQSQSLSFSSKADKVSAASGGAEVDVSKSDGIGSGWGDKVKDAWHSAADAIGYTRQKAKEVSNELKPHVNQLLDTHPYLKDVVLPVGFTLTLTILAWVVLPRLLRRFHEYAIQTSILPSGRMLGDQVPYDKSLWGALEDPVRYVITFMAISQICMMVAPSTVPSQYIVQAWRGAAILSFVWFLHRWKTNVLGCALATKTLAGLDREKLLTIDRFSSVGLFVIGIMALAEASGVAVQSILTVGGVGGVATAFAARDIFGNVLSGLYMQFSKPFSLGDTIKAGSIEGQVVEMGLTSTTVLNSERFPVLVPNSLFSSQVIVNKSRARWRAVVTKIPLQIEDLDKVPEISNEIKSMLKSNSKVFLGKEVPYCFLSLVEGSYAELTVGCNLRHMSKDELYSTQQDILLQSVQIIKKHGATLGSTFQCPIGQ >KJB49448 pep chromosome:Graimondii2_0_v6:8:35656445:35662332:-1 gene:B456_008G120200 transcript:KJB49448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGIKLSRLRLYSTSLYSSSKSSSALYNSLMKPTGIAYKNYVRPSYGSVNGFYNKTKLRCAAKFMNICSKVVVCYHKSNPMSALSLTSSNLPFRSLYPFVSISPVLIHQSQSLSFSSKADKVSAASGGAEVDVSKSDGIGSGWGDKVKDAWHSAADAIGYTRQKAKEVSNELKPHVNQLLDTHPYLKDVVLPVGFTLTLTILAWVVLPRLLRRFHEYAIQTSILPSGRMLGDQVPYDKSLWGALEDPVRYVITFMAISQICMMVAPSTVPSQYIVQAWRGAAILSFVWFLHRWKTNVLGCALATKTLAGLDREKLLTIDRFSSVGLFVIGIMALAEASGVAVQSILTVGGVGGVATAFAARDIFGNVLSGLYMQFSKPFSLGDTIKAGSIEGQVVEMGLTSTTVLNSERFPVLVPNSLFSSQVIVNKSRARWRAVVTKIPLQIEDLDKVPEISNEIKSMLKSNSKVFLGKEVPYCFLSLVEGSYAELTVGCNLRHMSKDELYSTQQDILLQSVQIIKKHGATLGSTFQCPIGQ >KJB49450 pep chromosome:Graimondii2_0_v6:8:35658643:35662364:-1 gene:B456_008G120200 transcript:KJB49450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGIKLSRLRLYSTSLYSSSKSSSALYNSLMKPTGIAYKNYVRPSYGSVNGFYNKTKLRCAAKFMNICSKVVVCYHKSNPMSALSLTSSNLPFRSLYPFVSISPVLIHQSQSLSFSSKADKVSAASGGAEVDVSKSDGIGSGWGDKVKDAWHSAADAIGYTRQKAKEVSNELKPHVNQLLDTHPYLKDVVLPVGFTLTLTILAWVVLPRLLRRFHEYAIQTSILPSGRMLGDQVPYDKSLWGALEDPVRYVITFMAISQICMMVAPSTVPSQYIVQAWRGAAILSFVWFLHRWKTNVLGCALATKTLAGLDREKLLTIDRFSSVGLFVIGIMALAEASGVAVQSILTVGGVGGVATAFAARDIFGNVLSGLYMQFSKPFSLGDTIKAGSIEGQVVEMGLTSTTVLNSERFPVLVPNSLFSSQVIVNKSRARWRAVVTKIPLQIEDLDKVPEISNEIKSMLKSNSKVFLGKEVPYCFLSLVEGSYAELTVGCNLRHMSKDELYSTQQDILLQSVQIIKKHGATLGSTFQCPIGQ >KJB49318 pep chromosome:Graimondii2_0_v6:8:34428890:34433307:-1 gene:B456_008G112800 transcript:KJB49318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVKRDRRFILEILFNGLRRLEYRGYDSAGISIDSSSSSDPNGQLSFSPLVFRQEGNIDSLVKSVYKDVASIKLNLEELFCVHAGIAHTRWATHGEPSPKNSHPQTSGAGNEFLVVHNGVITNYEVLKETLTRHGFNFESDTDTEVIPKLAKYVFDKATEEGDQSVTFSLVVLEVMRHLEGAYALIFKSHHYPNELIACKRGSPLLLGVKELSEDMHSGAPFLDLKFISENRHPKELFLSSDASAIVEHTKKVLVIEDGEVVHLKDGGVSILKFENEKGICGNALSRPSSVQRALSFLEMEVEQINKGKYEHYMQKEIHEQPESLTTTMRGRLKRGGSTKAKTVLLGGLKDHIKSIRRSRRIVFIGCGTSYNAALAARPIIEELSGVPVTMEIASDLVDRQGPIYREDTAVFVSQSGETADTLLALEYALENGALCVGITNTVGSAIARNTHCGIHINAGCEIGVASTKAYTSQIVVMAMLALAIGDDAISSQARREAIIDGLFDLPNKVREVLKLDQEMKELAKLLIAEQSLLVFGRGYNFATALEGALKVKEVSLMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLHARKGRLIVVCSKGDAAAVCPGGSSSCRVIEVPQVEDCLQPVVNIIPLQLLAYHLTVLRGYNVDQPRNLAKSVTTQ >KJB49317 pep chromosome:Graimondii2_0_v6:8:34428890:34433284:-1 gene:B456_008G112800 transcript:KJB49317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVKRDRRFILEILFNGLRRLEYRGYDSAGISIDSSSSSDPNGQLSFSPLVFRQEGNIDSLVKSVYKDVASIKLNLEELFCVHAGIAHTRWATHGEPSPKNSHPQTSGAGNEFLVVHNGVITNYEVLKETLTRHGFNFESDTDTEVIPKLAKYVFDKATEEGDQSVTFSLVVLEVMRHLEGAYALIFKSHHYPNELIACKRGSPLLLGVKELSEDMHSGAPFLDLKFISENRHPKELFLSSDASAIVEHTKKVLVIEDGEVVHLKDGGVSILKFENEKGICGNALSRPSSVQRALSFLEMEVEQINKGKYEHYMQKEIHEQPESLTTTMRGRLKRGGSTKAKTVLLGGLKDHIKSIRRSRRIVFIGCGTSYNAALAARPIIEELSGVPVTMEIASDLVDRQGPIYREDTAVFVSQSGETADTLLALEYALENGALCVGITNTVGSAIARNTHCGIHINAGCEIGVASTKAYTSQIVVMAMLALAIGDDAISSQARREAIIDGLFDLPSMLHLAFSFNRSLDYKDLFYCTVVHLGKSNMFLPCIFADKVREVLKLDQEMKELAKLLIAEQSLLVFGRGYNFATALEGALKVKEVSLMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLHARKGRLIVVCSKGDAAAVCPGGSSSCRVIEVPQVEDCLQPVVNIIPLQLLAYHLTVLRGYNVDQPRNLAKSVTTQ >KJB50110 pep chromosome:Graimondii2_0_v6:8:41262410:41263671:1 gene:B456_008G154100 transcript:KJB50110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAPVTRQNSDEIDKFLDGAASFADMMFGFIDESNDSEENLRDFVVDDEDANNDEDPSEIEQRKIFWEAQEKLLQATLYRTTSLESRIRQATKEALREIDAVGVQCTCRRPEDGGCRNCLQRELSIRLQSVDFNCYICKSKWRSSPEIPSGEHTYLEVVDKSKAKKGEVRVVIELNFRAEFEMARASEDYNKLIAVLPELLVGKAERFKALTKILCLAAKKCMKEKKMHLAPWRKYKYMQAKWLGTYERRTPAPLAVGYSDRQQKPKASMLTSDLRENLPALHYTAVEVVW >KJB52371 pep chromosome:Graimondii2_0_v6:8:54009491:54010823:-1 gene:B456_008G258900 transcript:KJB52371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPCHLREKSTRVSNRFPIKHQQEVFSPSKPASDQVQAHPSDTLSTTYRHIVSESYIMFIYTLALLHLSLLHTLCPVIIYHTMLANKEQLHTAAVKMELGLSGLDHLDMRHHPITNIKSSNRNKRRFSDEQIRSLEVMFESDSRPESLIKQQLANELGLQPRQIAIWFQNRRARSKAKQIERDYNVLKESYDALSSSYESLKRENQALRIQLQKLKGQLEMEHENQQHCGPNRADENSGNAKPENGSTILDTNEKVTILFEGYDRLLPCDDNSRNVESKDGDRVALDMIEATNGSLTSSEKWCRFESNCFLDESSCSSNWWEYW >KJB46808 pep chromosome:Graimondii2_0_v6:8:52052454:52053603:-1 gene:B456_008G234300 transcript:KJB46808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKTMIEVEPPSPLRYIIGAAVMMIGVVLPVGYMMFRNKRVPSSSSYSKQT >KJB46806 pep chromosome:Graimondii2_0_v6:8:52052206:52053600:-1 gene:B456_008G234300 transcript:KJB46806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKTMIEVEPPSPLRYIIGAAVMMIGVVLPVGYMMFRNKRVPSSSSYSKQT >KJB46807 pep chromosome:Graimondii2_0_v6:8:52052453:52053603:-1 gene:B456_008G234300 transcript:KJB46807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKTMIEVEPPSPLRYIIGAAVMMIGVVLPVGYMMFRNKRVPSSSSYSKQTNKVLI >KJB51209 pep chromosome:Graimondii2_0_v6:8:49154619:49157466:-1 gene:B456_008G206400 transcript:KJB51209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCRDVALVSTATIFGALASAFAYYTFFSNPIKPSSRINSSANGDVSKQRSSQDPFDPSKRKGYLSWDDYFMAIAFLSAKRSKDPNRQVGACLVSQNGIILGIGYNGFPRGCSDDQLPWAKMSKSGDPLETKYPSENISHRWTKFYSSSWSCSFRFVSQFSS >KJB51208 pep chromosome:Graimondii2_0_v6:8:49155311:49157198:-1 gene:B456_008G206400 transcript:KJB51208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCRDVALVSTATIFGALASAFAYYTFFSNPIKPSSRINSSANGDVSKQRSSQDPFDPSKRKGYLSWDDYFMAIAFLSAKRSKDPNRQVGACLVSQNGIILGIGYNGFPRGCSDDQLPWAKMSKSGDPLETKYPYVCHAEVNAILNTNHASAAGQRLYVTMFPCNECAKIIIQSGVSEVIYFIEKRLNTDTAYVASHKLLSMAGVKVRKHQPQMDQILLKFMEL >KJB47429 pep chromosome:Graimondii2_0_v6:8:3057272:3058804:-1 gene:B456_008G026100 transcript:KJB47429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLFVYLGFMVFGIIFLCFLYRFIDNNGLPRNWPLVGMIPTLLLNIHRPHDKVAQILRRSNDTFFYTGLWFTNTSFLATSDPENVRYILSSNSSVYNKGPEWLKQFDIFGEALFNSDGEAWKRHRRVFHAFLNHPQFRQSLAKVLHQRIEEALVKVLEYVSGREMVVNLQDLLVRHAFDIGCITGVGFNPGLLSIEFPENRFHNAMSDTLEAAFYRYVMPDSLWKLQSWLQIGKEKKRSDAWKAFDDLLTQFISTQRHKSKKSVASAGSNEENDFNFLNCYLTGHEITGPTPKDSLIRDNLVHFLFASDDTYSLTLTWFFYLISKAPMVENKIREEIKRHLSMTQVEGGLQIPSNYDELSKLTYLHAALCETLRLHPPIPFEFRTCTKQDFLPSGHRVDQNTRILIGIHAMGRMESLWGEDCYEFKPERWISEDGKIKRESPTKFSAFLAGPRICPGKEVSFLLMKATATAIIHNYNFHVVEGQNIGPKNSAFYQMKKGLMVRVKKRWS >KJB48567 pep chromosome:Graimondii2_0_v6:8:13751267:13752016:-1 gene:B456_008G075000 transcript:KJB48567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMRCIDVQPSNEMTVDEFKAWLRRFDADHDGRINQEELKEALHSLRVRFGWWKARQGMKEADCNHDGQIENAKEIEKLVNYAQKRLHMKIYQSDW >KJB52458 pep chromosome:Graimondii2_0_v6:8:54313114:54316352:-1 gene:B456_008G262800 transcript:KJB52458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDRESGKLEQIVSQFRSKILHVILDSRVPSLHHHHHQQQQESLSHSRVRKTDKWFNLVLGDRPPALENLNFSLRNLFDPMVIDIILVHRGSCSSSVDNLYSPSAPAAGPADETVIERWVVQYDCPRFIATPSGADASVSYKKIYKKSIILLRSLYTQMRLLPAYRIFKQLSSLSQTYNFDLVYKVSSFSHPFSREEESGMKEYIFAPVEALSGCLSVSVTYRATLSDFNLEPLVSLPPKIITDYVGSPTTDPMRSFPSSDKGAHATSFPLRGARPPSSSPFQRPHSWSSGFHRGFPSTKTQSLAGSPPVYRTSPKPYDIASPPTDLYGNRIQNQRVSTLQQVTAYDEYKSSPPFSPSASPSTPSYLSGTNPLLTRHCSETAPVTIPLPLTGRSTRYLSPNSSDPSRHSLPPLSPRSSKHDASSQESPSGIRSYRKIEALRAGESPSGLSNNYSAQKVVLNFCSFKSSALQQNLVSLFICQLMRPILICFTGG >KJB52457 pep chromosome:Graimondii2_0_v6:8:54313111:54316352:-1 gene:B456_008G262800 transcript:KJB52457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDRESGKLEQIVSQFRSKILHVILDSRVPSLHHHHHQQQQESLSHSRVRKTDKWFNLVLGDRPPALENLNFSLRNLFDPMVIDIILVHRGSCSSSVDNLYSPSAPAAGPADETVIERWVVQYDCPRFIATPSGADASVSYKKIYKKSIILLRSLYTQMRLLPAYRIFKQLSSLSQTYNFDLVYKVSSFSHPFSREEESGMKEYIFAPVEALSGCLSVSVTYRATLSDFNLEPLVSLPPKIITDYVGSPTTDPMRSFPSSDKGAHATSFPLRGARPPSSSPFQRPHSWSSGFHRGFPSTKTQSLAGSPPVYRTSPKPYDIASPPTDLYGNRIQNQRVSTLQQVTAYDEYKSSPPFSPSASPSTPSYLSGTNPLLTRHCSETAPVTIPLPLTGRSTRYLSPNSSDPSRHSLPPLSPRSSKHDASSQESPSGIRSYRKIEALRAGESPSGLSNNYSAQKVVRDSKDDSGRFSALLSSSGSPRIGFSRSSSRLSFQDDMDDCEFSCPFDVDDVDVSDSSRYIPYSFFQSLCLYCFP >KJB52456 pep chromosome:Graimondii2_0_v6:8:54312996:54316549:-1 gene:B456_008G262800 transcript:KJB52456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDRESGKLEQIVSQFRSKILHVILDSRVPSLHHHHHQQQQESLSHSRVRKTDKWFNLVLGDRPPALENLNFSLRNLFDPMVIDIILVHRGSCSSSVDNLYSPSAPAAGPADETVIERWVVQYDCPRFIATPSGADASVSYKKIYKKSIILLRSLYTQMRLLPAYRIFKQLSSLSQTYNFDLVYKVSSFSHPFSREEESGMKEYIFAPVEALSGCLSVSVTYRATLSDFNLEPLVSLPPKIITDYVGSPTTDPMRSFPSSDKGAHATSFPLRGARPPSSSPFQRPHSWSSGFHRGFPSTKTQSLAGSPPVYRTSPKPYDIASPPTDLYGNRIQNQRVSTLQQVTAYDEYKSSPPFSPSASPSTPSYLSGTNPLLTRHCSETAPVTIPLPLTGRSTRYLSPNSSDPSRHSLPPLSPRSSKHDASSQESPSGIRSYRKIEALRAGESPSGLSNNYSAQKVVRDSKDDSGRFSALLSSSGSPRIGFSRSSSRLSFQDDMDDCEFSCPFDVDDVDVSDSSSQNTEGKKASEFTAQSNLVGKKSQDAAVGILVHMLRTAPPLRQDSSCYSTHSLRTEYEGGVSIASGFFMPRKTADALEELNSYREMKDLLLSKSGTRVVRKEEMK >KJB52315 pep chromosome:Graimondii2_0_v6:8:53804176:53805020:-1 gene:B456_008G255300 transcript:KJB52315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKSGRKKKTEHTHKKMKPTRESMVTNRRQLTPAQRSAKIERDRRRRRERNMEFERLQKAETELQALTAAQRNENSCLRHHNERLNDMVSILENIIHQLREQNRELQQKNLGLEQTMKLVLSSSLSLLTFIL >KJB52314 pep chromosome:Graimondii2_0_v6:8:53803713:53805026:-1 gene:B456_008G255300 transcript:KJB52314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRKKSGRKKKTEHTHKKMKPTRESMVTNRRQLTPAQRSAKIERDRRRRRERNMEFERLQKAETELQALTAAQRNENSCLRHHNERLNDMVSILENIIHQLREQNRELQQKNLGLEQTMKLADSWLRQSPNEEVSNNQPSTEGPRSSQSRIPYAQRISDLLIDQHTSDAKHA >KJB48863 pep chromosome:Graimondii2_0_v6:8:21383031:21386876:-1 gene:B456_008G090600 transcript:KJB48863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGDVVSKTFRALVERADRKFARVRDFPSYGRAQGQHYFQKVFKAYMRLWKYQQEHRTELVKAGLNRWEIGEIAGRIGQLYFGQYTRTSEARFLVEAYVFYEAILKRRYFEGCKVKDLGVRFKELRFYARFLLVSLILNRTQTVKVVVEKLKALVDDCNANFRETNFKEWKLVVQEIVRFMNADATFTIASARPFRYSAIFDCHPNSVQYVARFHAKKVLKFRDAILMSYHRNEVKFAELTLDAYRMLQCLEWESSGSFYPKHLAETKENGVVVDYSGTSGLIDMKLVADMTDPTLPPNPRKAILYRPSVTHLIAVMATICEELPPESVILVYLSASGKPSHINASPVESSGGPKRTTKNKLTSHNSLEQNFSSPESHINGKKGSSDYYDDYLWLGSKGNGGSSNLYPGDIIPFTRRPLFLVIDSDSSHAFKAGLTWCRKRRESCLTAFAI >KJB48864 pep chromosome:Graimondii2_0_v6:8:21381623:21387191:-1 gene:B456_008G090600 transcript:KJB48864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGDVVSKTFRALVERADRKFARVRDFPSYGRAQGQHYFQKVFKAYMRLWKYQQEHRTELVKAGLNRWEIGEIAGRIGQLYFGQYTRTSEARFLVEAYVFYEAILKRRYFEGCKVKDLGVRFKELRFYARFLLVSLILNRTQTVKVVVEKLKALVDDCNANFRETNFKEWKLVVQEIVRFMNADATFTIASARPFRYSAIFDCHPNSVQYVARFHAKKVLKFRDAILMSYHRNEVKFAELTLDAYRMLQCLEWESSGSFYPKHLAETKENGVVVDYSGTSGLIDMKLVADMTDPTLPPNPRKAILYRPSVTHLIAVMATICEELPPESVILVYLSASGKPSHINASPVESSGGPKRTTKNKLTSHNSLEQNFSSPESHINGKKGSSDYYDDYLWLGSKGNGGSSNLYPGDIIPFTRRPLFLVIDSDSSHAFKVLHGAERGEKAALLLSPFRPMFKDPFSADITQNGSQFTFFLTAPLQAFCQMTGFALSDSDIEVLSNAENILSTAFSKWEVILCKSPSLDLVWAQVLSDPFLRRLIIRFIFCRAVLSAFCSSEESEQYVPVCLPQLPNSLSPKAEVVQSSVSQLANHLNVADGFHFDNT >KJB48380 pep chromosome:Graimondii2_0_v6:8:10560207:10562589:1 gene:B456_008G065700 transcript:KJB48380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLSMEGLTLVVQNHYGILIVAVLSIAITSLLLKAWGSTVDTTDEDGIPGRLGLPFFGETFSFFSASYSTKGCYDFVKQRRKQYGKWFKTRILGKTHVFVPSVEGAKTILANDFVHFNKSYVKSMADATGAMSVFSVPHKIHTRIRRLLSDPFSMSSLSKFAVKFDKMVCERLDKLEKSGKSFRVIDFSLKITFDAIVSMLMSVTENPLLEQIEKDCTDVSNSMLSIPLMIPGTRYYKGMKGREKLNETFGNMIARRRSGEEYFDDFLQTMVDRDSYPEDEKLDDQEIIDNLITLILAGQTTTASAMMWCVKFLSENKDALDKLREEQLQIVRNKAEGASLTLEDLTEKSYGFKVVKETLRMANVLIWLPRVAMDDCILDGKV >KJB48379 pep chromosome:Graimondii2_0_v6:8:10560064:10562881:1 gene:B456_008G065700 transcript:KJB48379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLSMEGLTLVVQNHYGILIVAVLSIAITSLLLKAWGSTVDTTDEDGIPGRLGLPFFGETFSFFSASYSTKGCYDFVKQRRKQYGKWFKTRILGKTHVFVPSVEGAKTILANDFVHFNKSYVKSMADATGAMSVFSVPHKIHTRIRRLLSDPFSMSSLSKFAVKFDKMVCERLDKLEKSGKSFRVIDFSLKITFDAIVSMLMSVTENPLLEQIEKDCTDVSNSMLSIPLMIPGTRYYKGMKGREKLNETFGNMIARRRSGEEYFDDFLQTMVDRDSYPEDEKLDDQEIIDNLITLILAGQTTTASAMMWCVKFLSENKDALDKLREEQLQIVRNKAEGASLTLEDLTEKSYGFKVVKETLRMANVLIWLPRVAMDDCILDGFEVKKGWLVNVDATCIHYDPNVYKDPTRFNPSRFDDFQKPYSFLPFGAGPRTCLGINMAKVAMLVFVHRLTSGYKWTLDDPDSSLERKEHIPRLRSGCPITLKALNNGK >KJB52743 pep chromosome:Graimondii2_0_v6:8:55327198:55330296:-1 gene:B456_008G275200 transcript:KJB52743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFFKTILSSSKLPSMATQSLYLFSLTLLSLLYLAKPFVPTNQFLLNCGSHANASLFNRVFVYDSANPSSVSLSADRSVSVTDRNPSPNSPILYRTARVFTAESSYKFIVKKNGTGTHFVRLHFSPFRARNFDLGSAKFNVVANGFLLVRGFSVSNTVLKEYILEIDGEFLEITFSPVSDSGFAFVNAIEVFTAPKDFIIDDGARLVNNNGIENYKNLTSQVLETVHRINVGGLKLTPFNDTLWRTWIPDDGFLVFKTAAKQAVTTHVPNYQTGGASPEIAPANVYMTAQQMNRDNLTLNGIFNVTWDFPVGSFGASYLVRLHFCDIVSPALNQLYFNVYINDYAAYRDLDLSMLTFHVLSSPVYLDFVVDSEDSGVIRVSVGPSDLSTPSRINAILNGAEIMRLVNVKGLHGESKKGNVWIFVGLVVGGFVIVCLGVAVVLLIFKCKKKKPKPPRRVESAGWTPLRVFGGSSYSRMSEGTATTSPGPNGSLRIPFVDIQASTNNFDKSLIIGMGGFGMVYKGVLKDNTKVAVKRGVPGSRQGLPEFQTEITVFSKIRHRHLVSLVGYCEEQSEMILVYEYMENGPLKNHLYGLKHPPLSWKQRLEICIGSARGLHYLHTGSAQGIIHRDIKSTNILLDENFEAKVADFGLSRSGPCLNETHVLCARPAVDPLLAREQVNLAEWAMQWQKKGMLGKIIDPNLVGQIKPSCLKKYGETAEKCLADYGVDRPTMGDVLWNLEHALRLQESGPDEPCDAEDGNMNGMDHPTPSIITTSGNNDTEKDDPIGSLDLTTGQIFSQLMTKDGR >KJB52202 pep chromosome:Graimondii2_0_v6:8:53425747:53427991:-1 gene:B456_008G250400 transcript:KJB52202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMGCVEIVWGLVLCCNLAMALTSSSHNELAFEDVEHLSYDYKDALGKAIMFFEGQRSGKLPANQRLKWRGDSALTDGKPDNVNLVGGYYDAGDNVKFGWPMAFTVTLLSWAAVEYRHEISSAHQLSHLRAAIRWGTDFILRAHTSPTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITSDSPGTEASAEASAALSAASLVFKRVDSNYSKKLLSKSKSLFKLADKYRGSYKDSCPFYCSFSGYQDELLWSAAWLYKASRDEKYLNYVLSNQGWSQAVSEFSWDTKFAGAQALLAKEFYGGKKDLSKYKTDVESFICALMPGSSSLQIQTTAGGLLYIRDSSNLQYVTSSCTVLSVYSKTLMTSNIDGIHCGSAHFSASQIKSFVKSQVNYILGNNPMKMSYMVGFGSKYPMQLHHRGSSIPSIHSHPSRVGCNDGYSSYYSSNNPNPNQHVGAIVGGPDSSDHFNDQRSDYSHSEPTTYINAAFVGAVAALLDGTKQEPLQLLLINTTINMVDYM >KJB52447 pep chromosome:Graimondii2_0_v6:8:54258519:54260611:-1 gene:B456_008G262200 transcript:KJB52447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLAGLVKNSVKGGNGGVGSLLVRYMSRTRAVNVRKINPKVPIPEAKSIASSLYDLIKQRGPLTVPNTWTLAQEAGIGGLNSRTHMKIMLKWMRGRKLLKLFCNHVGSNKKFLHCTLPEEPQTEQLESLPEPVLQTKKKPSAKRKKKTKK >KJB52448 pep chromosome:Graimondii2_0_v6:8:54258023:54260641:-1 gene:B456_008G262200 transcript:KJB52448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLAGLVKNSVKGGNGGVGSLLVRYMSRTRAVNVRKINPKVPIPEAKSIASSLYDLIKQRGPLTVPNTWTLAQEAGIGGLNSRTHMKIMLKWMRGRKLLKLFCNHVGSNKKFLHCTLPEEPQTEQLESLPEPVLQTKKKPSAKRKKKTKK >KJB52446 pep chromosome:Graimondii2_0_v6:8:54258630:54260573:-1 gene:B456_008G262200 transcript:KJB52446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLAGLVKNSVKGGNGGVGSLLVRYMSRTRAVNVRKINPKVPIPEAKSIASSLYDLIKQRGPLTVPNTWTLAQEAGIGGLNSRTHMKIMLKWMRGRKLLKLFCNHVGSNKKFLHCTLPEEPQTEQLESLPEPVLQTKKKPSAKRKKKTKK >KJB52023 pep chromosome:Graimondii2_0_v6:8:52813461:52819595:1 gene:B456_008G242600 transcript:KJB52023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNLIWCDVCNPKDRGGVGISSSLMKNKALINKWFWRCVIDAKDVNHAANTSRLNTLFSRIQSCGAPFRLGEETCHGSKDRIIWKGTTTDEYTTR >KJB48871 pep chromosome:Graimondii2_0_v6:8:21922180:21924344:-1 gene:B456_008G091100 transcript:KJB48871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSLGCSDSMAAFMSICPTTDEESPRNNHIYSKELQSMLDGLEEEGCLEEAGHVAEKKRRLSVDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGLLKTSYETLKLNFDTLQHGNEALLKEIRELKAKLNGESVGSNLSVKEEAILPETDEKTMEQSEPLPASSLATSSEPIELNYECFNSSNNRVADAILFPELKDGSSDSDSSAILNEDDHTNTNNGVVSSSGVLQSQHLLMSPTTTSSFNFDSSSSSSPSSMNCFQFSKTTYLVKMEEHNFFTADEACNFFSDEQAPSLQWCYPEQWN >KJB48870 pep chromosome:Graimondii2_0_v6:8:21922629:21923582:-1 gene:B456_008G091100 transcript:KJB48870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGLEEEGCLEEAGHVAEKKRRLSVDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGLLKTSYETLKLNFDTLQHGNEALLKEIRELKAKLNGESVGSNLSVKEEAILPETDEKTMEQSEPLPASSLATSSEPIELNYECFNSSNNRVADAILFPELKDGSSDSDSSAILNEDDHTNTNNGVVSSSGVLQSQHLLMSPTTTSSFNFDSSSSSSPSSMNCFQFSKTTYLVKMEEHNFFTADEACNFFSDEQAPSLQWCYPEQWN >KJB53198 pep chromosome:Graimondii2_0_v6:8:56934502:56939226:1 gene:B456_008G296400 transcript:KJB53198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17990) UniProtKB/Swiss-Prot;Acc:Q02166] MATTVFLQQSSLFSFSSFTHNSRPLTKLFDSSTATIVPFSNRRRFRVVSDSVSVSALPTVRGNSSSSVGSINEVVGLARAMLKHAWKVEGLNDAVDIVGTGGDGANTVNISTGASILAAACGAKVAKQGNRSSSSACGSADVLEALGIILDLDPEGVVSCVNKAGIGFMMAPKYHPAMKIVSGVRKKLKIKTVFNVLGPMLNPARVPFAVVGVYHEDLVFKMAKALQRFGMKRALVVHSEGLDEMSPLGPGRVLDVTPEKIEKFSFDPLEFGIPRCTLDDLRGGGKNYNADVLKRILSGESGPIAEALILNAAAALLVSGHVKSLSEGVALARETQLSGKALNTLNSWIDISNKVNEKTTVQ >KJB53197 pep chromosome:Graimondii2_0_v6:8:56934242:56939226:1 gene:B456_008G296400 transcript:KJB53197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17990) UniProtKB/Swiss-Prot;Acc:Q02166] MATTVFLQQSSLFSFSSFTHNSRPLTKLFDSSTATIVPFSNRRRFRVVSDSVSVSALPTVRGNSSSSVGSINELIECLINRVDLTESEAEASLEFLLTEANEALISAFLVLLRAKGETFEEVVGLARAMLKHAWKVEGLNDAVDIVGTGGDGANTVNISTGASILAAACGAKVAKQGNRSSSSACGSADVLEALGIILDLDPEGVVSCVNKAGIGFMMAPKYHPAMKIVSGVRKKLKIKTVFNVLGPMLNPARVPFAVVGVYHEDLVFKMAKALQRFGMKRALVVHSEGLDEMSPLGPGRVLDVTPEKIEKFSFDPLEFGIPRCTLDDLRGGGKNYNADVLKRILSGESGPIAEALILNAAAALLVSGHVKSLSEGVALARETQLSGKALNTLNSWIDISNKVNEKTTVQ >KJB53200 pep chromosome:Graimondii2_0_v6:8:56934584:56938720:1 gene:B456_008G296400 transcript:KJB53200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17990) UniProtKB/Swiss-Prot;Acc:Q02166] MATTVFLQQSSLFSFSSFTHNSRPLTKLFDSSTATIVPFSNRRRFRVVSDSVSVSALPTVRGNSSSSVGSINELIECLINRVDLTESEAEASLEFLLTEANEALISAFLVLLRAKGETFEEVVGLARAMLKHAWKVEGLNDAVDIVGTGGDGANTVNISTGASILAAACGAKVAKQGNRSSSSACGSADVLEALGIILDLDPEGVVSCVNKAGIGFMMAPKYHPAMKIVSGVRKKLKIKTVFNVLGPMLNPARVPFAVVGVYHEDLVFKMAKALQRFGMKRALVVHSEGLDEMSPLGPGRVLDVTPEKIEKFSFDPLEFGIPRCTLDDLRGGGKNYNADVLKRILSGESGPIAEALVNNFFSFFCLCAYTCTFLHAYHYFSFNIK >KJB53199 pep chromosome:Graimondii2_0_v6:8:56934502:56939226:1 gene:B456_008G296400 transcript:KJB53199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17990) UniProtKB/Swiss-Prot;Acc:Q02166] MATTVFLQQSSLFSFSSFTHNSRPLTKLFDSSTATIVPFSNRRRFRVVSDSVSVSALPTVRGNSSSSVGSINELIECLINRVDLTESEAEASLEFLLTEANEALISAFLVLLRAKGETFEEVVGLARAMLKHAWKVEGLNDAVDIVGTGGDGANTVNISTGASILAAACGAKVAKQGNRSSSSACGSADVLEALGIILDLDPEGVVSCVNKAGIGFMMAPKYHPAMKIVSGVRKKLKIKTVFNVLGPMLNPARVPFAVVGVYHEDLVFKMAKALQRFGMKRALVVHSEGLDEMSPLGPGRVLDVTPEKIEKFSFDPLEFGIPRCTLDDLRGGGKNYNADVLKRILSGESGPIAEILNAAAALLVSGHVKSLSEGVALARETQLSGKALNTLNSWIDISNKVNEKTTVQ >KJB51465 pep chromosome:Graimondii2_0_v6:8:50405019:50409275:-1 gene:B456_008G217300 transcript:KJB51465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYVYVLQAKDLAIHDTYVKLQLGRFKSKTKTSSNTSNPVWNEEFVFRVHDVGDQLLVSLFHQHDSAFFNASKDLVGRVAVPLYLVSDQQNQTLPPTWFSLHKPNTGKFINHESGKILLTLSLHGKGHDNSTNHLLYSQSDIYHEDCNQFESTCKLSQDIFNAKAPCLKITKGIVKTIAGQLEKVFNKNKENSRTDDSPSDLSSTGSDHEEGHSCDCSFEEAVESMQLEHNEHDVPENLEGGIVLDQMYALSPNDLNKLLFAPDSQFRKDLAQLQGATDVQEGPWTWKSGEVLCLTRVITYTKSATKLVKAVKATEEQTYIKANGQEFAILVSVSTPEVPYGSTFNIELLYKIMPGPELQTGEESCHLIVSWRVNFHQSTMMRSMIEGGVKQGLKESFDQFSNLLAQNLKTLDLMELSDKDHMLSALQSEHQSDWELAIGYFWNFTVVLTIFMVLFFMVHVLLCDSSQVQGLEIDGLDLPDSFGELITSGILVLLLQRVYNMVSHFIQARLQRGSDHGVKAQGDGWVLTVALIEGANLASLDSTNDLDPYVVFTCNGKTRTSSVKLQTHDPQWNEILEFDAMEEPPSVLDVEVFDFDGPFDQAASLGHTEINFLKHTSAELSNLWISLEGKPAQTSQSKLHLRVFLDNNKGVETIKEYLTKMEKEVGKKLNLRSPHKNSTFQKLFGLPPEEFLISDFTCYLRRKLPVQGRLFLSARIVGFYANLFGHKTKFFFLWEDIEDIQVLPPSLASVVSPTLVIVLRKGRGLDARHGAKSQDEEGRLRFYFQSFASFNETSRTIMALWRTRTSTTDQKEEIAELVQHEQEEIPIVTEEITGSVVDVENTKMSKIYTAELPICITSVMETFDGGEMEHKIMEKSGCLNYAATSWEQVKCKSGVYERRVRYKFNRHVSIFGGEVTSTQQKYRIANGDVGWIVNEVMLLHDVPFSDHFRVQLRYHIEKSSLARNACKCDAYIGIKWLKVKSINFQLRITRNITDKFIHRVKERFEFLEREILFGTWNSTR >KJB49516 pep chromosome:Graimondii2_0_v6:8:36163083:36165282:-1 gene:B456_008G123500 transcript:KJB49516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISYGGFMGVMAILALGFAIVSPYVEAQASAPAPSPTSDGTSIDQGIAYVLMLVALMLTYLIHPLDASSYTFF >KJB50631 pep chromosome:Graimondii2_0_v6:8:45729002:45731923:1 gene:B456_008G180000 transcript:KJB50631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHDKLFLVFSQGCNMGMVMLACMFISWIFVYRWNQRNRKGPKTWPLIGAVFEQLINYDRMHDWLVEYLSASKTVVVPMPCTTYTYIADPANVEHVLKTKFANYPKGETYHSYMEVLLGDGIFNVDGELWKKQRKTASFEFASKNLRDFSTVVFREYSLQLHSILTQACFHNQEVDMQDLLMRMTLDSICKVGFGVEIGTLAPTLPDNNFARAFDTANIIVTLRFVDPLWKLKRFLNVGSEALLGKSIKVIDDFTYNVIRRRKSEIKEIRESCKTSKIKHDILSRFIELSEDPEGNLTDKSLRDIVLNFVIAGRDTTATTLTWAIYMIMTNARVAEKLYSELKAFEEVQAKEERISLLPCDLEDPESFNQRAVQFAGLLNYDSLGRLYYLHAVITETLRLYPAVPQDPKGILEDDILPDGTKVKAGGMVTYVPYSMGRMEYNWGPDAAVFRPERWLKEGCFQNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILCRFFKFNLVPNHPVKYRMMTILSMEKGLKLKIARQSC >KJB50598 pep chromosome:Graimondii2_0_v6:8:52590251:52592940:1 gene:B456_008G239000 transcript:KJB50598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYIAELERKVQTLQTEATSLFTQLTLVQRDTNGLTAENSELKLRLQAMEQQVHLQDGSYRHMEHT >KJB51381 pep chromosome:Graimondii2_0_v6:8:50139357:50141978:-1 gene:B456_008G214600 transcript:KJB51381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKLLLSLSSIAFFFILVLVFFFYQKRAATNDQLDDIESKGQKHDEEEDDGSEMEDVITFNGGEDLTICDILDAPGEVIGKSNYGTVYKALLQRSNVVRLLRFLRPVCALRGEEFGDVVQMLGCIRHPNLVPLLGFYAGPRGEKLLVQPFYWHGNLAQLIRDGNVESHRWAVVYKISIGMARGLDHLHTGLQKPIVHGNLKSKNVLLDRNYLPSLSDFGIHLLLNPTSGQEILEASAAEGYKAPELIKMRDASPETDIYSLGVIFLELLSGKEPINEKPTPDEDFYLPNFMRNAVLDHRISDLYHPDLLLRNNNDKGNPVTEESILKFFQLAMTCCSPSPTLRPNSRRVLMMLEEIGK >KJB51761 pep chromosome:Graimondii2_0_v6:8:51720269:51737811:1 gene:B456_008G230900 transcript:KJB51761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVISSLSKSFGQIPPSAIPPMLDCILTSTAVSSSSLFSSLLDDLPDLIESGNRDGKLDSDRRNSIASMIGALCHLLTKASIGREELQSFLWKGFIPLMKMGHDFDQELLNQIADSFFDVVQSIHAWEVLEENLVPFSLRSIGLAAGIIQNGDLQGTGLDRSSLFHVSSDLIEKFIENLHVGKDCKLSISGYFPLPVSCHVLSLTLDAALRNFQAAPVTGSVSENVSSVESFTANLLWNLCNATERLLLQGSESRSCTIGFLLPVIFKAFVSQSSFEISVHRQIHILSRNHFFMRMWTCCRKLFSLGSLERRDAYRILSLYLSYFSCGGTFQNANMSDGAEVFDIRSEKDLWNEIKRGLVDEEGLVRKQSLHILKTLLCMDSGNQHHSDISEKKTQGKHSVPHGVTKRGLWAYKEAKSLGVGKLCNSVDSGMNSQQQWEAFVLLFEMLEEYGTHLVEAAWNHQFFSAYLPKYFGFLQITSLLQFSVSHDNFVSAISGSMHQTQSETWAEVFSWLSILWKRGFCHDNPQVRCMIMQSFLGIEWRKYGSCVKSVPESFILGSLMEALNDPVHHSDFGVKGIYSSKTIEGATHFLHHYSSYLDARETVIFLKSLASLAKRKSFSRAGLMGLAECIAASAFGAHKYSNNKVESCEFGTVDEVQPEYSLENFLHDNGTELLDFLRYVLESSKQHFNPNYRFRVCEKVVEAAASMVPASDVSLEILLHFISTLPREVTDYGGLLRVRVQDWLLQNHQVTRSGGIQMQLLESLNEFPKWFIIHHCLFQNFDDEDLGAWEFEVRRWARVLFLVIKEEHQLAPLMTFIQQYGTNIRKQNNYSEWVPVKFLTLILGLIQEIQVMQRRKHGAKVQTKFEIQRLETEEQSSEAEASNIYNMFTDSLLLILEELVSFCNLSCSIFSSGSDIEDKVLPSSVRGKLGGPSQRRLSISLTTAVLQATISVKAVAYIYAWCAQHRTGILLNSAFTAVWKFFCNTITSPTCNSESEAEVRIAAYEALAPALKALVSVLAPQSLILFMENSKSLQPAIEGEPWLDTLVFSFLQNINNLLAVGFMARTRRAVLLNWKWVILESLLSIPYYSFGSKLQQEDGSFFFSDAALINIFTDTVESLENAGEGSVLPMLRSIRLALELFASRRLSLVVSRFTGVDSQMIWRLVHSSWILHSSCNKRRVAHIAALLSSVLHPSLFSDGDMHDADNEPGPLKWFVEKLLDEGTKSPRTIRLAALHLTGLLLSNPTTIKYYIKELKLLTLYGSVAFDEDFEAELSENDDARTEVTLLTKIQDSELTEAFLNTELYARVCVANLFYKLADLTNRSGSSTGDKASQSALESGKLFLLELLDTVLNDKELAKELYKKYSAIHRRKIRAWQMICVLSQFVDDDIVGEVTQCLQIALYRNNLPSVRQYLETFAINIYMKFPSLVAEQLVPLLRDYDMRPQALSSHVFIAANVILHASKDIQLRHLDELLPPILPLLTSHHHSLRGFTQVLLHQVLCKFFPSLDSKSSEFIPLEKRCFEDLKLYLAKNSDCMRLRASMEGYLDAYNPKTSVTPAGIFVSRVEEIGFECVPTSLMEQVINFLNDVREDLRCSMAKDIVTIKNESLNMAAGPGSTEEVSSACEEKLELPKDAHLDFQKKITFSKHEKPDLGSSSLLCKGEVYKQLLEMEKEDDLLDQLWKSRSLALERVRGNRQHIILVASLIDRIPNLAGLARTCEVFKTQGLAVADAKIVQDKQFQLISVTAEKWVPIIEVPVNSVKQFLEKKKREGFSILGLEQTANSVPLDQYIYPKKTVLVLGREKEGIPVDIIHVLDACIEIPQLGVVRSLNVHVSGAIALWEYTRQQRL >KJB51759 pep chromosome:Graimondii2_0_v6:8:51720194:51737868:1 gene:B456_008G230900 transcript:KJB51759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVISSLSKSFGQIPPSAIPPMLDCILTSTAVSSSSLFSSLLDDLPDLIESGNRDGKLDSDRRNSIASMIGALCHLLTKASIGREELQSFLWKGFIPLMKMGHDFDQELLNQIADSFFDVVQSIHAWEVLEENLVPFSLRSIGLAAGIIQNGDLQGTGLDRSSLFHVSSDLIEKFIENLHVGKDCKLSISGYFPLPVSCHVLSLTLDAALRNFQAAPVTGSVSENVSSVESFTANLLWNLCNATERLLLQGSESRSCTIGFLLPVIFKAFVSQSSFEISVHRQIHILSRNHFFMRMWTCCRKLFSLGSLERRDAYRILSLYLSYFSCGGTFQNANMSDGAEVFDIRSEKDLWNEIKRGLVDEEGLVRKQSLHILKTLLCMDSGNQHHSDISEKKTQGKHSVPHGVTKRGLWAYKEAKSLGVGKLCNSVDSGMNSQQQWEAFVLLFEMLEEYGTHLVEAAWNHQITSLLQFSVSHDNFVSAISGSMHQTQSETWAEVFSWLSILWKRGFCHDNPQVRCMIMQSFLGIEWRKYGSCVKSVPESFILGSLMEALNDPVHHSDFGVKGIYSSKTIEGATHFLHHYSSYLDARETVIFLKSLASLAKRKSFSRAGLMGLAECIAASAFGAHKYSNNKVESCEFGTVDEVQPEYSLENFLHDNGTELLDFLRYVLESSKQHFNPNYRFRVCEKVVEAAASMVPASDVSLEILLHFISTLPREVTDYGGLLRVRVQDWLLQNHQVTRSGGIQMQLLESLNEFPKWFIIHHCLFQNFDDEDLGAWEFEVRRWARVLFLVIKEEHQLAPLMTFIQQYGTNIRKQNNYSEWVPVKFLTLILGLIQEIQVMQRRKHGAKVQTKFEIQRLETEEQSSEAEASNIYNMFTDSLLLILEELVSFCNLSCSIFSSGSDIEDKVLPSSVRGKLGGPSQRRLSISLTTAVLQATISVKAVAYIYAWCAQHRTGILLNSAFTAVWKFFCNTITSPTCNSESEAEVRIAAYEALAPALKALVSVLAPQSLILFMENSKSLQPAIEGEPWLDTLVFSFLQNINNLLAVGFMARTRRAVLLNWKWVILESLLSIPYYSFGSKLQQEDGSFFFSDAALINIFTDTVESLENAGEGSVLPMLRSIRLALELFASRRLSLVVSRFTGVDSQMIWRLVHSSWILHSSCNKRRVAHIAALLSSVLHPSLFSDGDMHDADNEPGPLKWFVEKLLDEGTKSPRTIRLAALHLTGLLLSNPTTIKYYIKELKLLTLYGSVAFDEDFEAELSENDDARTEVTLLTKIQDSELTEAFLNTELYARVCVANLFYKLADLTNRSGSSTGDKASQSALESGKLFLLELLDTVLNDKELAKELYKKYSAIHRRKIRAWQMICVLSQFVDDDIVGEVTQCLQIALYRNNLPSVRQYLETFAINIYMKFPSLVAEQLVPLLRDYDMRPQALSSHVFIAANVILHASKDIQLRHLDELLPPILPLLTSHHHSLRGFTQVLLHQVLCKFFPSLDSKSSEFIPLEKRCFEDLKLYLAKNSDCMRLRASMEGYLDAYNPKTSVTPAGIFVSRVEEIGFECVPTSLMEQVINFLNDVREDLRCSMAKDIVTIKNESLNMAAGPGSTEEVSSACEEKLELPKDAHLDFQKKITFSKHEKPDLGSSSLLCKGEVYKQLLEMEKEDDLLDQLWKSRSLALERVRGNRQHIILVASLIDRIPNLAGLARTCEVFKTQGLAVADAKIVQDKQFQLISVTAEKWVPIIEVPVNSVKQFLEKKKREGFSILGLEQTANSVPLDQYIYPKKTVLVLGREKEGIPVDIIHVLDACIEIPQLGVVRSLNVHVSGAIALWEYTRQQRL >KJB51760 pep chromosome:Graimondii2_0_v6:8:51720269:51736538:1 gene:B456_008G230900 transcript:KJB51760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVISSLSKSFGQIPPSAIPPMLDCILTSTAVSSSSLFSSLLDDLPDLIESGNRDGKLDSDRRNSIASMIGALCHLLTKASIGREELQSFLWKGFIPLMKMGHDFDQELLNQIADSFFDVVQSIHAWEVLEENLVPFSLRSIGLAAGIIQNGDLQGTGLDRSSLFHVSSDLIEKFIENLHVGKDCKLSISGYFPLPVSCHVLSLTLDAALRNFQAAPVTGSVSENVSSVESFTANLLWNLCNATERLLLQGSESRSCTIGFLLPVIFKAFVSQSSFEISVHRQIHILSRNHFFMRMWTCCRKLFSLGSLERRDAYRILSLYLSYFSCGGTFQNANMSDGAEVFDIRSEKDLWNEIKRGLVDEEGLVRKQSLHILKTLLCMDSGNQHHSDISEKKTQGKHSVPHGVTKRGLWAYKEAKSLGVGKLCNSVDSGMNSQQQWEAFVLLFEMLEEYGTHLVEAAWNHQFFSAYLPKYFGFLQITSLLQFSVSHDNFVSAISGSMHQTQSETWAEVFSWLSILWKRGFCHDNPQVRCMIMQSFLGIEWRKYGSCVKSVPESFILGSLMEALNDPVHHSDFGVKGIYSSKTIEGATHFLHHYSSYLDARETVIFLKSLASLAKRKSFSRAGLMGLAECIAASAFGAHKYSNNKVESCEFGTVDEVQPEYSLENFLHDNGTELLDFLRYVLESSKQHFNPNYRFRVCEKVVEAAASMVPASDVSLEILLHFISTLPREVTDYGGLLRVRVQDWLLQNHQVTRSGGIQMQLLESLNEFPKWFIIHHCLFQNFDDEDLGAWEFEVRRWARVLFLVIKEEHQLAPLMTFIQQYGTNIRKQNNYSEWVPVKFLTLILGLIQEIQVMQRRKHGAKVQTKFEIQRLETEEQSSEAEASNIYNMFTDSLLLILEELVSFCNLSCSIFSSGSDIEDKVLPSSVRGKLGGPSQRRLSISLTTAVLQATISVKAVAYIYAWCAQHRTGILLNSAFTAVWKFFCNTITSPTCNSESEAEVRIAAYEALAPALKALVSVLAPQSLILFMENSKSLQPAIEGEPWLDTLVFSFLQNINNLLAVGFMARTRRAVLLNWKWVILESLLSIPYYSFGSKLQQEDGSFFFSDAALINIFTDTVESLENAGEGSVLPMLRSIRLALELFASRRLSLVVSRFTGVDSQMIWRLVHSSWILHSSCNKRRVAHIAALLSSVLHPSLFSDGDMHDADNEPGPLKWFVEKLLDEGTKSPRTIRLAALHLTGLLLSNPTTIKYYIKELKLLTLYGSVAFDEDFEAELSENDDARTEVTLLTKIQDSELTEAFLNTELYARVCVANLFYKLADLTNRSGSSTGDKASQSALESGKLFLLELLDTVLNDKELAKELYKKYSAIHRRKIRAWQMICVLSQFVDDDIVGEVTQCLQIALYRNNLPSVRQYLETFAINIYMKFPSLVAEQLVPLLRDYDMRPQALSSHVFIAANVILHASKDIQLRHLDELLPPILPLLTSHHHSLRGFTQVLLHQVLCKFFPSLDSKSSEFIPLEKRCFEDLKLYLAKNSDCMRLRASMEGYLDAYNPKTSVTPAGIFVSRVEEIGFECVPTSLMEQVINFLNDVREDLRCSMAKDIVTIKNESLNMAAGPGSTEEVSSACEEKLELPKDAHLDFQKKITFSKHEKPDLGSSSLLCKGEVYKQLLEMEKEDDLLDQLWKSRSLALERVRGNRQHIILVASLIDRIPNLAGLARTCEVCWLLSCISFFLVP >KJB49865 pep chromosome:Graimondii2_0_v6:8:39395594:39397720:1 gene:B456_008G142800 transcript:KJB49865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLKRILLFFRSSLFILLADWLDFKSKKKKRRGICSSKILWIRRFSGFFFAAMLMIILSPSLHSFPPAEAIKSSHLDSYLRLQSYQASHSSKYRFSFRKASGFRNADECGFTDRKITGVCDPSLVHVAITLDVVYLRGSMAAVHSILQHSLCPENIFFHFIVSDADLETLVRSTIPQLKFKVYYFDPETVRNLISSSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDITKLWITNLGSRTIGAPEYCHANFTNYFTGGFWSDQRFSRTFKGRKPCYFNTGVMVIDLVKWRRVGYTKRIERWMQIQKRYRIYELGSLPPFLLVFAGRVAPIEHRWNQHGLGGDNVKGSCRELHPGPVSLLHWSGSGKPWLRLDSNRPCPLDALWAPYDLYGHPH >KJB49866 pep chromosome:Graimondii2_0_v6:8:39395594:39397720:1 gene:B456_008G142800 transcript:KJB49866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLKRILLFFRSSLFILLADWLDFKSKKKKRRGICSSKILWIRRFSGFFFAAMLMIILSPSLHSFPPAEAIKSSHLDSYLRLQSYQASHSSKYRFSFRKASGFRNADECGFTDRKITGVCDPSLVHVAITLDVVYLRGSMAAVHSILQHSLCPENIFFHFIVSDADLETLVRSTIPQLKFKVYYFDPETVRNLISSSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDITKLWITNLGSRTIGAPEYCHANFTNYFTGGFWSDQRFSRTFKGRKPCYFNTGVMVIDLVKWRRVGYTKRIERWMQIQKRYRIYELGSLPPFLLVFAGRVAPIEHRWNQHGLGGDNVKGSCRELHPGPVSLLHWSGSGKPWLRLDSNRPCPLDALWAPYDLYGHPH >KJB49867 pep chromosome:Graimondii2_0_v6:8:39395546:39397741:1 gene:B456_008G142800 transcript:KJB49867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLKRILLFFRSSLFILLADWLDFKSKKKKRRGICSSKILWIRRFSGFFFAAMLMIILSPSLHSFPPAEAIKSSHLDSYLRLQSYQASHSSKYRFSFRKASGFRNADECGFTDRKITGVCDPSLVHVAITLDVVYLRGSMAAVHSILQHSLCPENIFFHFIVSDADLETLVRSTIPQLKFKVYYFDPETVRNLISSSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDITKLWITNLGSRTIGAPEYCHANFTNYFTGGFWSDQRFSRTFKGRKPCYFNTGVMVIDLVKWRRVGYTKRIERWMQIQKRYRIYELGSLPPFLLVFAGRVAPIEHRWNQHGLGGDNVKGSCRELHPGPVSLLHWSGSGKPWLRLDSNRPCPLDALWAPYDLYGHPH >KJB49860 pep chromosome:Graimondii2_0_v6:8:39351308:39352177:1 gene:B456_008G142200 transcript:KJB49860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILHQRQLKEENKRCVDCKTTKTPLWRGGPAGPKSLCNACGIRLRKKKRAALLGWNSDSGNITEKYKTKTGIDDVGPSGIKIQGRDMKVHQIVEDQKWKMKLREEEQAAFLLMALSTGY >KJB51629 pep chromosome:Graimondii2_0_v6:8:51219169:51221129:1 gene:B456_008G225600 transcript:KJB51629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSRDNVVHVIPNPIDPSVWATEEDYRAWNSEETFNDTPSNFSYDQRQPQSRSNSDMPPNKKSRNSQDLNSRSKAIGKMFFKTKLCCKFRAGTCPYIKNCNFAHSIEELRRPPPNWQEIVAAHEEEKGILAEPREEFHIPSLGSNSFSGETQRSYKGRHCKKFYTEEGCPYGDNCTFLHDEQSKNRESVAISLGPGGYGGGGGGGGGAASGGCGSGSNVKPSNWKTRICNKWELTGYCPFGNKCHFARGVAELHRHGGALGDTEAKDSFVAPFDSKQAGGAPLKTPADTMAASIPSVPLSDLGVPSQRTYCTPETRTNNSPQLEGSR >KJB50294 pep chromosome:Graimondii2_0_v6:8:46496171:46496746:1 gene:B456_008G184900 transcript:KJB50294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMNKDDHVYEHDEIDEDDVFFAEIQRQILLLTADDDDHQAAGAGVNNPGSRRKAVENLSSSFHHGWYFSWPETDSVPTWLANLWRTGNGTGVFIPHITKSTRRHRPGRKDRRKVYRPVETKHS >KJB47046 pep chromosome:Graimondii2_0_v6:8:959314:973248:1 gene:B456_008G008100 transcript:KJB47046 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MAITVANCCFSVLSSTFKSRYCHLRFATSPSHSYFDARSLKATNNKKRALKGSSSSASPRKSNAEKKLPGRLKDDKSGLYADGISGQGDMGRPQSTGFKSFGTQRKDKKEFQLDSREQQVEPGSLQDANFLNAVVKVYCTHTAPDYSLPWQKQRQYTSTGSAFMIGDGKLLTNAHCVEHDTQVKVKRRGDDTKYVAKVLARGVDCDIALLSVESEEFWKGAKPLHLGHLPRLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNEQGECIGVAFQVYRSEEAENIGYVIPTTVVSHFLNDYERNGKYTGFPCLGVLLQKLENPALRACLQVQSNEGVLVRRVEPTSDANNVLKQGDVIVSFDDVHVGSEGTVPFRSNERIAFRYLISQKFAGDVAELGIIRAGKLMKVQVALNPRVHLVPYHIDGGQPSYLIIAGLVFTPLSEPLIEEECEESIGLKLLAKARYSLARFKEEQIVILSQVLANEVNIGYEDMSNQQVLKFNGTRIKNIRHLAHLVACCQDKYLVFEFEDNYLAVLEREAAMATSSRILKDYGIPSERSDDLLEPYVESLGDNQAIEQDFGESPVSNLEIGFDGLLWA >KJB47048 pep chromosome:Graimondii2_0_v6:8:959349:973127:1 gene:B456_008G008100 transcript:KJB47048 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MAITVANCCFSVLSSTFKSRYCHLRFATSPSHSYFDARSLKATNNKKRALKGSSSSASPRKSNAEKKLPGRLKDDKSGLYADGISGQGDMGRPQSTGFKSFGTQRKDKKEFQLDSREQQVEPGSLQDANFLNAVVKVYCTHTAPDYSLPWQKQRQYTSTGSAFMIGDGKLLTNAHCVEHDTQVKVKRRGDDTKYVAKVLARGVDCDIALLSVESEEFWKGAKPLHLGHLPRLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNEQGECIGVAFQVYRSEEAENIGYVIPTTVVSHFLNDYERNGKYTGFPCLGVLLQKLENPALRACLQVQSNEGVLVRRVEPTSDANNVLKQGDVIVSFDDVHVGSEGTVPFRSNERIAFRYLISQKFAEPLIEEECEESIGLKLLAKARYSLARFKEEQIVILSQVLANEVNIGYEDMSNQQVLKFNGTRIKNIRHLAHLVACCQDKYLVFEFEDNYLAVLEREAAMATSSRILKDYGIPSERSDDLLEPYVESLGDNQAIEQDFGESPVSNLEIGFDGLLWA >KJB47047 pep chromosome:Graimondii2_0_v6:8:959497:972348:1 gene:B456_008G008100 transcript:KJB47047 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MAITVANCCFSVLSSTFKSRYCHLRFATSPSHSYFDARSLKATNNKKRALKGSSSSASPRKSNAEKKLPGRLKDDKSGLYADGISGQGDMGRPQSTGFKSFGTQRKDKKEFQLDSREQQVEPGSLQDANFLNAVVKVYCTHTAPDYSLPWQKQRQYTSTGSAFMIGDGKLLTNAHCVEHDTQVKVKRRGDDTKYVAKVLARGVDCDIALLSVESEEFWKGAKPLHLGHLPRLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNEQGECIGVAFQVYRSEEAENIGYVIPTTVVSHFLNDYERNGKYTGFPCLGVLLQKLENPALRACLQVQSNEGVLVRRVEPTSDANNVLKQGDVIVSFDDVHVGSEGTVPFRSNERIAFRYLISQKFAGDVAELGIIRAGKLMKVQVALNPRVHLVPYHIDGGQPSYLIIAGLVFTPLSEPLIEEECEESIGLKLLAKARYSLARFKEEQIVILSQVLANEVNIGYEDMSNQQVRFFISSYHLYLPIYLHVLKVKVSGFEV >KJB52369 pep chromosome:Graimondii2_0_v6:8:53970515:53973182:-1 gene:B456_008G258600 transcript:KJB52369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFRQIKHVYICEATLSKRLIEFENTSAGALTMEEFTEKERELRTSSLTRKQPNIGSKETGLDEVLCRHKERKPFAYGLCNECYEEVSTHVPTRLSNR >KJB48505 pep chromosome:Graimondii2_0_v6:8:12618577:12619935:1 gene:B456_008G072700 transcript:KJB48505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGHFVLIHGASHGAWCWYKVIPQLKSVGHKVTAMDMAGAGIHPKQVHEVQSISVYFEPLMNFMASLQPEEKVILVGHSMGGYCISAAMERYPEKVAVAVFAAAYMASPTLPFVTISQQFKEKMDSDKAMDSQFLFHNGLDKPPTSACLGPNFMASKFYQLSPPEDLTLALTLVRHVGFFNDEESIKAVALTKEKYGTVPRVYIVCGKDNILKQDFQRWIVESSPPNEVKLIPDSDHMIMFSKPKELCSCLEEIAEKYT >KJB50050 pep chromosome:Graimondii2_0_v6:8:40625785:40627118:-1 gene:B456_008G150400 transcript:KJB50050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLRGLLICFLIVAMDVAAGILGIQAEVAQNKVKHLRLWIFECRDPSHEAFKLALGAAVLLSLAHVLINLLGGCMCVCSQEEFQRASPNRQLSMACLIFTWIILAVGLSTLVIGMISNNKARASCGFTHHHLLSIGGIVCFVHGLFAVAYYVSATAATDEEK >KJB48583 pep chromosome:Graimondii2_0_v6:8:14226307:14226420:-1 gene:B456_008G076200 transcript:KJB48583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVFLFGIILGVIPITLAGLFVPAYLQDKCGDQLDL >KJB49847 pep chromosome:Graimondii2_0_v6:8:39209757:39215647:-1 gene:B456_008G140900 transcript:KJB49847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESDTPSKTFLGVRFCLYGFDPVNEHNVRVKLINGGGVGVGQYSQSCTHVIVDKIVYDDPVCVAARNDGKTVVTGLWVDHSFDIGMPVDATSIMYRPLRDLNGIPGAKSLIICLTGYQRQDRDDIMTMVGLMGAQFSKPLVASKVTHLICYKFEGEKYELAKKIKKIKLINHRWLEDCLRDWELLSEANYSKSGYELEVMEAEAKDSDEEAEETTLKHSGQGSLNRSPHSLKAGMTSSGELLSTVEVSTSTVPRHSPNTKEVLVMPGKSHLGTSFDDVNDPELNSFQNSGLKNGSSIKLAQPGNRGSNTTNMDSNLASTSKSPSLSNDLFTAISYSRKTPRNATPPNLSGEVSGNISGSPQAMKIQDVSGISSSKIQQPEKRICASFVRSPRKGSDLCHGEDSAGILPQKRALELSGSSSKSRKMSYNAKGSIKGSALDTVQLEPTSSVGDQLQINDYPVNETGYPNVLHSSCAGYVTTKLSTDLFSSKSVTPDDRQNVRDEKSPNMSPRGYRGSTLAGKLDMQNENAYEKSPQMSFKGLRESTSASRSNIGDYGLERLQVVGEPGELQNKQQDVQVPSLDRKLGKDNSHSPSKLDVLEGGNDESVTNSVSNKQQDVQVPSLDGKLGKDNVDIPLNLDVVEGGNGKSVTKPISKKKLAKKTLGSRPKLSNIANRKGSIYSSKIASDNHSTISMDGDNERAAHKGASELETCPPTINLDAAKDVEKVTKCQNIGTSKTQFMDDETQAPDEEDDNGSKKVTGVEKSELVEVMHKADMLVETEHVRHDPKVAVHASPVASENATNGADPERAVGSKNSEFGEPTLKSGGLRKTNKRKKQLSGKARMKAVPSNSKNDLAGENTSVEKNADDKDNEKENFMPHPDDKPSGANASSKVEISGAAGKGDTVGLKEIARKSVGKPNNKTLKTKEKSQKVDMQPVQKVFKRVKIEPTCFILSGYRQQRKEFQLVIKRLKGKFCRDSHQWSYQATHFIAPDPIRRTEKFFAAAASGRWILKPEFLSACNEAGNFLAEEPYEWHKNGLSEDGAINLEAPRKWRQLKERTGHGAFYGMRIIVYGECIAPPLDTLKRVVKAGDGDILATCPPYTRFLKSGVDFAVVSPGMPRVDIWVQEFLKHEVPCVVADYLVEYVCKPGYSLEKHVLYDTHEWAEKSFTNLTTRAEEIVDDLTHESPGSSESNDITCQVCGSGDREDVMLICGNESGSVGCGIGIHIDCCDPPLDNVPEEDWFCPKCNKSSINNTSSKRRKKGK >KJB47520 pep chromosome:Graimondii2_0_v6:8:3636676:3637158:-1 gene:B456_008G030200 transcript:KJB47520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFYSDFFLRAIVQTIGPVVKLDVHTGYVRKGHFARLIVCVDLRRQLISKVRVNGCLQRVEYELLPNICFKCGHYGHGSESCMGVQSALLEKDVVNFNRVVENIGFQKSVEDGPFVPWMVVGWRTRRGRFTGELRTMVRTTLMVARDFLRLERLREMNKIV >KJB50764 pep chromosome:Graimondii2_0_v6:8:47179883:47185620:1 gene:B456_008G189400 transcript:KJB50764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLLSLTMPHSFPIYPVISSTVYGGHGNGIMGRNRFTVISCANGNRQRERNLLRRREVVEHICLLKANKNISEDEEKEMLDYLYTSQYQMRGMVAISLGQISGEAKEDYTHAVFMRFGSKEDLAKLYENPPYLQVMKKHVLPYCHGLMNVDYESEVEDDILHIFRKGEEYNYGVEFVLLIAFVEAAIGEAVEDALMSLQELTEEHPSLILQCTQGSNFNSKTSEEYTHGAVMRFRSSEAFEIFLSSSRYRDVWESKLQPIARKTLAIHFCVDPVGTEIM >KJB50763 pep chromosome:Graimondii2_0_v6:8:47179883:47185362:1 gene:B456_008G189400 transcript:KJB50763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICSREVVEHICLLKANKNISEDEEKEMLDYLYTSQYQMRGMVAISLGQISGEAKEDYTHAVFMRFGSKEDLAKLYENPPYLQVMKKHVLPYCHGLMNVDYESEVEDDILHIFRKGEEYNYGVEFVLLIAFVEAAIGEAVEDALMSLQELTEEHPSLILQCTQGSNFNSKTSEEYTHGAVMRFRSSEAFEIFLSSSRYRDVRSLLLWIFEILLFF >KJB50765 pep chromosome:Graimondii2_0_v6:8:47180269:47185473:1 gene:B456_008G189400 transcript:KJB50765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICSREVVEHICLLKANKNISEDEEKEMLDYLYTSQYQMRGMVAISLGQISGEAKEDYTHAVFMRFGSKEDLAKLYENPPYLQVMKKHVLPYCHGLMNVDYESEVEDDILHIFRKGEEYNYGVEFVLLIAFVEAAIGEAVEDALMSLQELTEEHPSLILQCTQGSNFNSKTSEEYTHGAVMRFRSSEAFEIFLSSSRYRDVWESKLQPIARKTLAIHFCVDPVGTEIM >KJB50762 pep chromosome:Graimondii2_0_v6:8:47179883:47182092:1 gene:B456_008G189400 transcript:KJB50762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICSREVVEHICLLKANKNISEDEEKEMLDYLYTSQYQMRGMVAISLGQISGEAKEDYTHAVFMRFGSKEDLAKLYENPPYLQVMKKHVLPYCHGLMNVDYESEVEDDILHIFRKGEEYNYGVEFVLLIAFVEAAIGEAVEDALMSLQELTEEHPSLILQCTQGVWKTTFFIHYLA >KJB50230 pep chromosome:Graimondii2_0_v6:8:42521494:42523754:1 gene:B456_008G159700 transcript:KJB50230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GAMETE EXPRESSED 1 [Source:Projected from Arabidopsis thaliana (AT5G55490) UniProtKB/Swiss-Prot;Acc:Q681K7] MGSHQIVQSLLVLLSVSTSCQAWGFFSSSSKAVQSPNASPHGASLAEFSVDGLNDEKGMRLLQSAKTRLVGSNSCWRNAYGHLLSGCKDIIATDEKRSRFAWHLSDCFQKDSGRSPFPFCDTKSAMLHCLKQLNDLEHKVYLEFLLETNSICYQLQNTLQQLAEYGRKQQEELLKRQEQLQQVHDHLVENSKSILAAQEAFESKQARMFIAVDKLFALHNAMLLESRLIKAFIVYSMSTFVIYMFTSTKQTYPMLLTLIDKVDTMQRKEALSWEMEDSDVDWRSWVDVELPEDVGQLEDPDFVIEEGIGESGDTRTYNLRQRRCLNLT >KJB48563 pep chromosome:Graimondii2_0_v6:8:13579736:13580032:-1 gene:B456_008G074700 transcript:KJB48563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNNLLSILVLLSLALFLEIQGRRLILEQNQKVRTFNRILAKESRSIVDPKIYGVNKTKSPPSPPTAVIGASSPKNDQDFRRTSPGHSPGIGHSIQN >KJB52327 pep chromosome:Graimondii2_0_v6:8:53862169:53863607:-1 gene:B456_008G256400 transcript:KJB52327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTSALTNSPFCPHLLPQFSPLPVLSFTHSLKPIPPKLKSMISLKRDNHPSTLTVKAQTLDFSGSFFEGGFGSDDDPPSQPGSGITALEDKEEPQCPPGLRQYETMAVLRPDMSEDERLALTQKYEELLVAGGGMYIEVFNRGVIPLAYSIKKKNKAGETNTYLDGIYLLFTYFTKPESMTALEAALNTDDDVIRSTSFKIRKRKYN >KJB47248 pep chromosome:Graimondii2_0_v6:8:2002909:2009835:-1 gene:B456_008G017900 transcript:KJB47248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAAASTPPQLSAAPLGSSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLESLVGRDFLPRGSDICTRRPLVLQLLQTKRKPDGSEEEYGEFLHLPGKRFYDFSEIRREIQAETDREAGGNKGASDKQIRLKIFSPNVLDINLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKQPSCLILAVTPANSDLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNLLLGKVVPLRLGYVGVVNRSQEDILLNRSIKDALVAEEKFFRSRPVYTSLADRCGVPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVEGRNEEMSTCELSGGARIHYIFQSIFVKSLEEVDPCEDLTNDGIRTAIENANGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCMVNELQRFPVLRRHMDQVIGNFLRQGLEPSETMIGHIIEMEMDYINTSHPSFIGGSKAVELANQQIKNSRVPLPIRSKDGSEPDKALTSERSNKSRAILARQVNGIVADQGVRPVADGEKLPSTGSTSISTWGIPSIFGGSDNRTSVKESSTNNKPYSEPVHDAEHSFSMIHLREPPTVLRPSEDRSETQAIEIAITKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRENLFEEMLQEPDEIASKRKRTRETLRVLQLAFRTLDELPLEAETVERGYSLGSDPTGLPEIHGLPTSSMYSISSSSNDSYTASSKNPKPRKSAHSGELQSHLFDNADSNGNGRHYMPGLYPTVYL >KJB47251 pep chromosome:Graimondii2_0_v6:8:2002176:2010065:-1 gene:B456_008G017900 transcript:KJB47251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAAASTPPQLSAAPLGSSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLESLVGRDFLPRGSDICTRRPLVLQLLQTKRKPDGSEEEYGEFLHLPGKRFYDFSEIRREIQAETDREAGGNKGASDKQIRLKIFSPNVLDINLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKQPSCLILAVTPANSDLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNLLLGKVVPLRLGYVGVVNRSQEDILLNRSIKDALVAEEKFFRSRPVYTSLADRCGVPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVEGRNEEMSTCELSGGARIHYIFQSIFVKSLEEVDPCEDLTNDGIRTAIENANGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCMVNELQRFPVLRRHMDQVIGNFLRQGLEPSETMIGHIIEMEMDYINTSHPSFIGGSKAVELANQQIKNSRVPLPIRSKDGSEPDKALTSERSNKSRAILARQVNGIVADQGVRPVADGEKLPSTGSTSISTWGIPSIFGGSDNRTSVKESSTNNKPYSEPVHDAEHSFSMIHLREPPTVLRPSEDRSETQAIEIAITKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRENLFEEMLQEPDEIASKRKRTRETLRVLQLAFRTLDELPLEAETVERGYSLGSDPTGLPEIHGLPTSSIFKWKWAPLHARPLSHSLSVSHLNVKLCRSSELLQPKLAYRNDSFLFL >KJB47249 pep chromosome:Graimondii2_0_v6:8:2002176:2010078:-1 gene:B456_008G017900 transcript:KJB47249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAAASTPPQLSAAPLGSSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLESLVGRDFLPRGSDICTRRPLVLQLLQTKRKPDGSEEEYGEFLHLPGKRFYDFSEIRREIQAETDREAGGNKGASDKQIRLKIFSPNVLDINLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKQPSCLILAVTPANSDLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNLLLGKVVPLRLGYVGVVNRSQEDILLNRSIKDALVAEEKFFRSRPVYTSLADRCGVPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVEGRNEEMSTCELSGGARIHYIFQSIFVKSLEEVDPCEDLTNDGIRTAIENANGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCMVNELQRFPVLRRHMDQVIGNFLRQGLEPSETMIGHIIEMEMDYINTSHPSFIGGSKAVELANQQIKNSRVPLPIRSKDGSEPDKALTSERSNKSRAILARQVNGIVADQGVRPVADGEKLPSTGSTSISTWGIPSIFGGSDNRTSVKESSTNNKPYSEPVHDAEHSFSMIHLREPPTVLRPSEDRSETQAIEIAITKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRENLFEEMLQEPDEIASKRKRTRETLRVLQLAFRTLDELPLEAETVERGYSLGSDPTGLPEIHGLPTSSIFKWKWAPLHARPLSHSLSVSHLNVKLCRTPPTKAGISK >KJB47250 pep chromosome:Graimondii2_0_v6:8:2002176:2010078:-1 gene:B456_008G017900 transcript:KJB47250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAAASTPPQLSAAPLGSSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLESLVGRDFLPRGSDICTRRPLVLQLLQTKRKPDGSEEEYGEFLHLPGKRFYDFSEIRREIQAETDREAGGNKGASDKQIRLKIFSPNVLDINLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKQPSCLILAVTPANSDLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNLLLGKVVPLRLGYVGVVNRSQEDILLNRSIKDALVAEEKFFRSRPVYTSLADRCGVPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVEGRNEEMSTCELSGGARIHYIFQSIFVKSLEEVDPCEDLTNDGIRTAIENANGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCMVNELQRFPVLRRHMDQVIGNFLRQGLEPSETMIGHIIEMEMDYINTSHPSFIGGSKAVELANQQIKNSRVPLPIRSKDGSEPDKALTSERSNKSRAILARQVNGIVADQGVRPVADGEKLPSTGSTSISTWGIPSIFGGSDNRTSVKESSTNNKPYSEPVHDAEHSFSMIHLREPPTVLRPSEDRSETQAIEIAITKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRENLFEEMLQEPDEIASKRKRTRETLRVLQLAFRTLDELPLEAETVERGYSLGSDPTGLPEIHGLPTSSIFKWKWAPLHARPLSHSLSVSHLNVKLCRSSELLQPKLAYRQ >KJB51925 pep chromosome:Graimondii2_0_v6:8:52545074:52545688:-1 gene:B456_008G238500 transcript:KJB51925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGSYFSITALIILIQLATNLNSCSAATPIRHSGRNTRFIRTSCRTTLQPSLCFVTFSRYATRIGGSPRLLATTALSLAFNTTRFATKSMITLSKRHGLKRREAAALRVCVEELGDSIDELKDSIGKLSRHGAGGSTFRSQMSDIQTWVSAALTDDDTCMDGLSGKSINRKFRSRVRRRVVKVAHLTSIALAFVNRYAATHK >KJB53070 pep chromosome:Graimondii2_0_v6:8:56597189:56598160:-1 gene:B456_008G291700 transcript:KJB53070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRERRQLNLRLPLPDVSDRRPRFPLPLPLSSTATAVAASSVTIPATELERLEVLGHGNGGTVYKVQHKRTFKIYALKVVYGDGDQTVRRQVFREMDILRKTDSPYIVRCYETYEKPSGDVAILMEHMDAGTLDTLLRNKGTFTELELAHITRQILKGLSYLHAHKIIHRDLKPSNLLVNKKMEVKIADFGVSKMMTRTLEACNSYVGTCAYMSPERFDPDANGGNYDGFLGDIWSLGLTLMELYVGHFPFLQAGQRPDWATLMCAICFGDPPSLPPGASDEFRNFMECCLQKESSKRWTASQLLNHPFLIKHPSPASADN >KJB50479 pep chromosome:Graimondii2_0_v6:8:44885446:44893907:-1 gene:B456_008G173400 transcript:KJB50479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYITASSSPSSSLLRAASAYSPTRFFRSSFRNLTSTNQASLSVINHHRRSLTSAAVRSFHCSVPRWSHRLDWRSPLNLRSEIRAFNPIIEQLQRKFATMAAEHPFKAVLTSLPRPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVKKEDVEKIIDWENTAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMHKLGSDTSKINPLVPVDLVIDHSVQVDVTRSENAVQANMELEFQRNKERFSFLKWGSSAFRNMLVVPPGSGIVHQVNLEYLGRLVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFQLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIESYLRANNMFVDYDEPQQKRVYSSYLELNLAEVEPCISGPKRPHDRVPLREMKADWNSCLNNKVGFKGFAVPKEAQGKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLQVKPWIKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHIVGYGCTTCIGNSGDLDESVASAISENDIIAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGKDGKSVYFNDIWPSTEEVAEAVQSSVLPNMFKSTYEAITKGNPMWNQLSVPSSTMYSWDPKSTYIHEPPYFKNMTMEPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLDRGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTIHVPTGEKLYVFDAAMRYKDAGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPRKIDEIRPGQDVTVTTDNGKSFTCTVRFDTEVELAYFNNGGILPYVIRNLIRQ >KJB48874 pep chromosome:Graimondii2_0_v6:8:22108702:22109406:-1 gene:B456_008G091300 transcript:KJB48874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPTIVAATHVTNGVTSVECHKQVRSWRILRSLMELLIPTCNRTFNHGQEIKHECYFQTDYLKPVYTTFPSVITGTIFGYRRGKLSFCIQANSKSTNPFLLLEFAVPIAVLAREMQGGILRIALDCTVSGNFSSSDSVLSMPLWTMYCNGRKVGYAVKRRPSKSDIDAFRLMSSVVVGAGMIRGKELDNDDELMYLRANFERARGSSHTESFRLIDPDGNIGQELSISFYRSR >KJB52437 pep chromosome:Graimondii2_0_v6:8:54224543:54225803:1 gene:B456_008G261600 transcript:KJB52437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLETCKPDHSSGEGDKHTRLLDKEVKDMVSAITRRVAGIHKPGSSHVGDDGDDDLHGVGIITLAGSNAGATMRSEVDEKSSSPHGISLGGEPDEWSTYVNSNFQAVNNSIMFESSYNANDPGVHLDVSDVPEREGKKSTDKHRRGKKIENDSAKAELTDSD >KJB47329 pep chromosome:Graimondii2_0_v6:8:2446837:2451572:1 gene:B456_008G021500 transcript:KJB47329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVEHMGASPSQAKSKKGNHVFPEENTSEQGHEIEIATGVSCSSIHKSSSENVANGSCPEPLRLLPKGVSEHNHTDQSFCAQETVPGKTHEYHSGYVHKETSEKKHQPGSEIVKIKLEESRSWVCGLPAKHSKPFSKGLSKNAITEGLGLSPAGSNKDNIRREPSKPPEQGPQLELESLPNSTEQSTTAVCTNVFNQALQLNPEDTNKSNCDKLLQSPSQGAHNVIQSTNIEDMAKNSSVEQHETTSENFSQSKDGKTLKTIKKKYMLRSSTNNDRALPSNSQEKSKPGQLSNNLVDVASSEQRQRRKKKRREKREVVGEYSRIRGHLRYLLNRISYERSLIAVYSAEGWKGQSLEKLKPEKELQRATSEILRRKLKIKDLFKRIDSLCAEGRLPESLFDSEGQIDSEDIFCAKCGSKDLSPNNDIILCDGACDRGFHQYCLQPPLLKEDIPPDDEGWLCPGCDCKVDCIQLLNEFQGTSFSLTDNWEKVFPEALVSEDGENQYPNFRLRSDDSNDNDYNPDGSETGEKGEGDESSSDESDSTFSFEEWEVPANVDPNLGEFPSDDAEGDDDDDYDPNGSNHDTETSRESSSSDFTFDSEDLGYMLEDDIASQKDEDPMSNSASTVSKRRKSKCGGKESLKDELLSILESTSEQDGAAVSKKRNNERLDYKRLYDETYGNIPSSSSDDEDWNDATTSRKRMKCTIRATPTLPNGNACASRSEETNFNDTDLSLAEEGGVTVSGSSGKKWGSSTYRRLGEAVKQRLNESFKENQYPDRSTKESLAKELDITFHQVSKWFDNARWSFNNSSSIHETATEKVSENEIPSALETKN >KJB49269 pep chromosome:Graimondii2_0_v6:8:34085902:34087397:1 gene:B456_008G109700 transcript:KJB49269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELENPSLMPNLITYLSCLLHKVAESNDVNCRFQPQKVSVFHGLTRPTISIQSYLDRIYKYANCSPSCFIVAYIYLDRFAQKQPTLPINSFNVHRLLITSVMVAAKFMDDIYYNNAYYAKVGGISRREMNYLEVDFLFGLGFHLNVAPNTFHTYFTYLQREIMLQPPPSIAESSLSLDRSLKVHLCFNEEESSHQNQQQQLAV >KJB52873 pep chromosome:Graimondii2_0_v6:8:55769308:55772297:1 gene:B456_008G281100 transcript:KJB52873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGGAGNNKRVFNRLGTDTSKNQKVCFHWRAGKCTRFPCSFLHSEPSHPSGSAAASSTANGSGAPKRFADDSGFSGSAQRRGPNFKNNHNNSWGRMGANNKVVRKTEKVCNYWVQGNCTFGDKCRFLHSWSLGEGFSMLNHLDGHQKLLSGIVLPAGMDKLFTGSKDETLRAWDANSGQCTGVINLGGEVGCMISEGLWLFVGIPNAVKAWNTQTNQEFSLSGPAGQVYAMIVGNSLLFAGTQEGILAWKFNAVTNSFEPAASLKGHTLPVVSLMVGANRLYSGSMDHSIKVWSLETLQCLQTLTEHTNVVMSLLCWDQFLLSCSLDQTIKVWVATENGNLEVTYTHNEEHGLLNLRGMHDLESKPVLLCACNDNYVRLYDLPSFSERGKIFAKQEIRAIEVGPSGLFFTGDGTGFKVWKWAEPVATT >KJB52876 pep chromosome:Graimondii2_0_v6:8:55769312:55772285:1 gene:B456_008G281100 transcript:KJB52876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGGAGNNKRVFNRLGTDTSKNQKVCFHWRAGKCTRFPCSFLHSEPSHPSGSAAASSTANGSGAPKRFADDSGFSGSAQRRGPNFKNNHNNSWGRMGANNKVVRKTEKVCNYWVQGNCTFGDKCRFLHSWSLGEGFSMLNHLDGHQKLLSGIVLPAGMDKLFTGSKDETLRAWDANSGQCTGVINLGGEVGCMISEGLWLFVGIPNAVKAWNTQTNQEFSLSGPAGQVYAMIVGNSLLFAGTQGQSPSIIGFLQEGILAWKFNAVTNSFEPAASLKGHTLPVVSLMVGANRLYSGSMDHSIKVWSLETLQCLQTLTEHTNVVMSLLCWDQFLLSCSLDQTIKVWVATENGNLEVTYTHNEEHGLLNLRGMHDLESKPVLLCACNDNYVRLYDLPSFSERGKIFAKQEIRAIEVGPSGLFFTGDGTGFKVWKWAEPVATT >KJB52875 pep chromosome:Graimondii2_0_v6:8:55769312:55772285:1 gene:B456_008G281100 transcript:KJB52875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGGAGNNKRVFNRLGTDTSKNQKVCFHWRAGKCTRFPCSFLHSEPSHPSGSAAASSTANGSGAPKRFADDSGFSGSAQRRGPNFKNNHNNSWGRMGANNKVVRKTEKVCNYWVQGNCTFGDKCRFLHSWSLGEGFSMLNHLDGHQKLLSGIVLPAGMDKLFTGSKDETLRAWDANSGQCTGVINLGGEVGCMISEGLWLFVGIPNAVKAWNTQTNQEFSLSGPAGQVYAMIVGNSLLFAGTQEGILAWKFNAVTNSFEPAASLKGHTLPVVSLMVGANRLYSGSMDHSIKVWSLETLQCLQTLTEHTNVVMSLLCWDQFLLSCSLDQTIKVSVSFLFCYFFPSIKARLFGFTCLFFAGMGGY >KJB52874 pep chromosome:Graimondii2_0_v6:8:55769312:55771140:1 gene:B456_008G281100 transcript:KJB52874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGGAGNNKRVFNRLGTDTSKNQKVCFHWRAGKCTRFPCSFLHSEPSHPSGSAAASSTANGSGAPKRFADDSGFSGSAQRRGPNFKNNHNNSWGRMGANNKVVRKTEKVCNYWVQGNCTFGDKCRFLHSWSLGEGFSMLNHLDGHQKLLSGIVLPAGMDKLFTGSKDETLRAWDANSGQCTGVINLGGEVGCMISEGLWLFVGIPNAVKAWNTQTNQEFSLSGPAGQVYAMIVGNSLLFAGTQGQSPSIIGFLQEGILAWKFNAVTNSFEPAASLKGHTLPVVSLMVGANRLYSGSMDHSIKVQLF >KJB50915 pep chromosome:Graimondii2_0_v6:8:47678186:47679414:1 gene:B456_008G192900 transcript:KJB50915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFNVDVSGLLRCSKSCRLRWTNYLRPGIKRGNFTEQEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKVETGVDGQNQEGFSSAQSVSKGQWERRLQTDIRMAKQALSDALSLDKPNSLTNSIEFKLSHPYLRPSQSQSSTAYASSAENISRLLQNWMKNPPKPAPAPRQTKSAETMTQNSSCSDEGALSEATPEGFDSFFSFNSSNSDNISHESVSVAENSVFQDESKPNLGDQVPLRLIEKWLLDDASAQAHDDDLISMSLQDSALLF >KJB50914 pep chromosome:Graimondii2_0_v6:8:47677489:47679417:1 gene:B456_008G192900 transcript:KJB50914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKIGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEQEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKVETGVDGQNQEGFSSAQSVSKGQWERRLQTDIRMAKQALSDALSLDKPNSLTNSIEFKLSHPYLRPSQSQSSTAYASSAENISRLLQNWMKNPPKPAPAPRQTKSAETMTQNSSCSDEGALSEATPEGFDSFFSFNSSNSDNISHESVSVAENSVFQDESKPNLGDQVPLRLIEKWLLDDASAQAHDDDLISMSLQDSALLF >KJB48422 pep chromosome:Graimondii2_0_v6:8:11328291:11330152:-1 gene:B456_008G068700 transcript:KJB48422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIRLRHLRHFSATSNAAAAAAYSSSISVSQAKSKLRTEYDPDKALEIYSSVSKHYSSPSSSRYAQDLTVRRLAKSRRFSDIESLIESHKTDPKISQEPFLSTLIRSYGIAGMLDHAIKTFHQMDQFGTPRSTISFNALLSACNQSRQFDRVPQLFDEIPKKYIGLSPDKVSYGILVKSYCEAGHPEKGLEVLREMERKSVEVTAVTSTTILNALYKKGKTEEAEKLWFEMMKTGCELDVASYNVRISNFQGGEPEKVKELIDDMSTLGLKPDTISYNYLMTCYCKRGMLDEAKKVYEGLEGNGCNPNAATFRTLVFYLCLNGLYEQGYKVFKESVRLHKIPDFNTLKHLVEGLVMKKKIKDAKGLIRTVKKTFPPNFLKAWKKLEEELGLVSGNAEAREAKESTG >KJB48090 pep chromosome:Graimondii2_0_v6:8:7608225:7611454:-1 gene:B456_008G053300 transcript:KJB48090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIDCYPNSKLKLQSMFLHRKYTNLISKAKEVALVAHPHIMPSPTIRSSAANLTRTMAAINKDGIGVDPHELDAIFEQKRSLRSKVRKALKNMDPILRSQEDNAIQNIVVESSWFKASKSLCAYVSSPALLEVGTSRIISEVLSSSAKERKKLYVPRVEDRNSNMKMLMISSVKDLIEKSMNILEPALVDSDGNQREDVMQASDPVDLFIVPGLAFDKSGSRLGRSGGYYDLLLKNYQELTKKKRWKQPLLVALSYSVQIIEDGVIPVTPFDIPMDALVSPAGFIPISGAALRRCE >KJB48088 pep chromosome:Graimondii2_0_v6:8:7608121:7611519:-1 gene:B456_008G053300 transcript:KJB48088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIDCYPNSKLKLQSMFLHRKYTNLISKAKEVALVAHPHIMPSPTIRSSAANLTRTMAAINKDGIGVDPHELDAIFEQKRSLRSKVRKALKNMDPILRSQEDNAIQNIVVESSWFKASKSLCAYVSSPALLEVGTSRIISEVLSSSAKERKKLYVPRVEDRNSNMKMLMISSVKDLIEKSMNILEPALVDSDGNQREDVMQASDPVDLFIVPGLAFDKSGSRLGRSGGYYDLLLKNYQELTKKKRWKQPLLVALSYSVQIIEDGVIPVTPFDIPMDALVSPAGFIPISGAALRRCE >KJB48089 pep chromosome:Graimondii2_0_v6:8:7609523:7611346:-1 gene:B456_008G053300 transcript:KJB48089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIDCYPNSKLKLQSMFLHRKYTNLISKAKEVALVAHPHIMPSPTIRSSAANLTRTMAAINKDGIGVDPHELDAIFEQKRSLRSKVRKALKNMDPILRSQEDNAIQNIVVESSWFKASKSLCAYVSSPALLEVGTSRIISEVLSSSAKERKKLYVPRVEDRNSNMKMLMISSVKDLIEKSMNILEPALVDSDGNQREDVMQASDPVDLFIVPGNCLHPPIRCFLINEEFDVKFLPML >KJB47426 pep chromosome:Graimondii2_0_v6:8:3001854:3002757:-1 gene:B456_008G025800 transcript:KJB47426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGIPKRFTVGGSQHWQFGVNYTDWSLKTAPFYFNDTLVFKYDPPSNTTFPHSVSLLPNLRSFLNCDLKKAKLIANQTQGGGNGFEFVLKKKNKKKPYYFVCGERNGFHCKVGIMKFAVAPLLRPRPF >KJB48250 pep chromosome:Graimondii2_0_v6:8:9583143:9585272:-1 gene:B456_008G060200 transcript:KJB48250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLEYTPLDRINDFLSELNLGERTIKGRLEAYSCKHTGTDKKLSLSLENEILDYLGKSSDTDSSSPVEFLLSRSSRKTLIYLVLTLYHMYPDYDFS >KJB48249 pep chromosome:Graimondii2_0_v6:8:9582178:9584319:-1 gene:B456_008G060200 transcript:KJB48249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLEYTPLDRINDFLSELNLGERTIKGRLEAYSCKHTGTDKKLSLSLENEILDYLGKSSDTDSSSPVEFLLSRSSRKTLIYLVLTLYHMYPDYDFSAVKAHQFLTEESWDTFKQIFDTNMLEASKVVKLSECEIYSFNPDSDADPFLEKGVINLVADGFAADNLCYEEDGEIFDNMDI >KJB52237 pep chromosome:Graimondii2_0_v6:8:53542720:53544830:-1 gene:B456_008G251800 transcript:KJB52237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFSEIQEVSNKGLLYLGMGVSGGEEGARNGPSLMPGGSHQAYSNIQDILEKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKHVGGLSNDELAEIFAEWNRGELESFLIEITSDIFRVKDDLSDGFLVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEERENAAEVLKEAGLKEEVGSLAKGIDKKRLIDDVRQALYASKICSYAQGMNLLRAKSVEKGWNLNFGELARIWKGGCIIRAVFLDRIKKAYQRNPNLASLVVDPEFAREMVQRQAAWRRVVGLAISAGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERIDRPGSFHTEWKKSAQKSNAGVGALN >KJB52238 pep chromosome:Graimondii2_0_v6:8:53542720:53544830:-1 gene:B456_008G251800 transcript:KJB52238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPALSRIGLAGLAVMGQNLALNVAEKGFPISVYNRTTSKVDETVQRAQDEGQLPLFGHYTPREFVLSIKRPRSVVILVKAGSPVDQTIAALSDHMEPGDCIIDGGNEWYENTERRIQEVSNKGLLYLGMGVSGGEEGARNGPSLMPGGSHQAYSNIQDILEKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKHVGGLSNDELAEIFAEWNRGELESFLIEITSDIFRVKDDLSDGFLVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEERENAAEVLKEAGLKEEVGSLAKGIDKKRLIDDVRQALYASKICSYAQGMNLLRAKSVEKGWNLNFGEVVGLAISAGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERIDRPGSFHTEWKKSAQKSNAGVGALN >KJB48771 pep chromosome:Graimondii2_0_v6:8:18829975:18832131:-1 gene:B456_008G086300 transcript:KJB48771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQIPWTIQNFRNLLKTCISHRNILTGKSLHTLYIKSLIPSSTYLSNHFILLYSRCGLLATAHNAFFQTQHPNTFSFNAIIAAYAKESLPSVAHNLFDQIPHPDLVSYNTLISAYADCGRTEPALELFKKMRDLCFDMDGFTLSGVITASLNDVCLIRQVHCFVVFCGFDSYASVNNALLSCYSKEGFLREAKRVFYEMGECKDEVSWNSMIVAYGQHKEGARALALFQEMVRRGFDVDMFTLASVLTAFTSLEDLLGGFQFHALLIKTGFHQNAHVASGLIDLYSKCGAGMLDCGKVFEEVSGPDLVLWNTMISGYSLHEELSEEALKCFRAMRRIGYRPDDCSFVNVISACSNLSSPSQGRQIHALAIKSEVPNQIQVHNALIAMYSKCGNLPDGRRVFDKMPEHNSVSLNSMIAGYAQHGIGTESLILFDHMLERNISPTSITFISVLSACAHTGKVEEGQKYFKIMKEKFGIEPEVEHYSCMIDLFGRAGKLHEAEKLINTMPFNPGSIGWAAMLGASKIHGNMELASKAANKLLQLEPSNAVPYVMLANMYASSGEWEEAAMVRKLMRDRGVRKKPGCSWIEVNKRIHVFVAEDISHPMIKEIYKYLEEMGRKIRLAGYVSDLRWSLVKEDEIEAGEKEIKLRHHSEKLAVAYGLLSTKDGEPILVIKNLRICGDCHNAIKFMSAVSGREITVRDTHRFHCFKDGQCSCGDYW >KJB46973 pep chromosome:Graimondii2_0_v6:8:549492:552004:-1 gene:B456_008G003300 transcript:KJB46973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKSKLSTLILILSLVFLYAGCLAFAKQDPELKQCTHQCRVQQQYDEKQKEECVKTCEEYHREKKDRESLKEVGQDPDVEFHPGGELSECQSRCVGLAGEVRQLCLFRCQQKWRRDYASRWEEEGKKQSKEDAEDEGEEEKHNPYVFEDKHFSTAIKTGKGRVDLLTKFTHDSDILRGIENYRLALLVASPKSFVVPNHFDANAIFVVTQGRGTLTLIHEDKRESFNIETGDIIHVRAGTPLYLINRDDNEKLFIVKLLQPINQPDHYEVFYGAGGAKPESFYETFSTEILEAALKTSRDKLERFFDKQGKGPFLEASREQIEAMSSHEEGSKGGGLWPFGSESKSKSAFNLFRKREPSHCNRYGQLFEVEEDEFKRLKDFDLRVSYANITKGCMSAPFYNSRAIKIAIVVKGEGYFEMVSPPVSPKSSQEDSGGRKSGSRYQKISSRLRSDTVFVVPAGHPFVTVASRNNNLEILCFEVNIENNVRYLLAGEGNYVQQFEKEAKELAFKSKEEEVDRIFGNQDEEFFFPGPRQQRGRDYE >KJB50985 pep chromosome:Graimondii2_0_v6:8:48123275:48126709:-1 gene:B456_008G196700 transcript:KJB50985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLKKSPLKTAKHNSVDPAPVAASRSNPFDSDDEFDNKQTLKPSRRTSSEPTLSPPNFGANPLDDNEGKVNSSSSYWQSSASRNKYKNDFRDSGGLENQSVQELENYALYKSEETTKTVNNCVKIAEEMREGATNTLIALHQQGEQITRTHNTAAGIDHDLSRGEKLLGSLGGMFSRTWKPKKTRQIVGPVITRDDLPKSRGGHLEQKEKLGLNTVPRRHSKSQTPPPEPADAYQKVEFEKAKQDDGLSDLSDLLGELKVMAVDMGSEIERQNKSLNGLQDDVDELNFRVRGANQRARRLLGK >KJB50983 pep chromosome:Graimondii2_0_v6:8:48123330:48125786:-1 gene:B456_008G196700 transcript:KJB50983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLKKSPLKTAKHNSVDPAPVAASRSNPFDSDDEFDNKQTLKPSRRTSSEPTLSPPNFGANPLDDNEGKVNSSSSYWQSSASRNKYKNDFRDSGGLENQSVQELENYALYKSEETTKTVNNCVKIAEEMREGATNTLIALHQQGEQITRTHNTAAGIDHDLSRGEKLLGSLGGMFSRTWKPKKTRQIVGPVITRDDLPKSRGGHLEQKEKLGLNTVPRRHSKSQTPPPEPADAYQKVEFEKAKQDDGLSDLSDLLGELKVMAVDMGSEIERQNKSLNGLQDDVDELNFRVRGANQRARRLLGK >KJB50984 pep chromosome:Graimondii2_0_v6:8:48123330:48126649:-1 gene:B456_008G196700 transcript:KJB50984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLKKSPLKTAKHNSVDPAPVAASRSNPFDSDDEFDNKQTLKPSRRTSSEPTLSPPNFGANPLDDNEGKVNSSSSYWQSSASRNKYKNDFRDSGGLENQSVQELENYALYKSEETTKTVNNCVKIAEEMREGATNTLIALHQQGEQITRTHNTAAGIDHDLSRGEKLLGSLGGMFSRTWKPKKTRQIVGPVITRDDLPKSRGGHLEQKEKLGLNTVPRRHSKSQTPPPEPADAYQKVEFEKAKQDDGLSDLSDLLGELKVMAVDMGSEIERQNKSLNGLQDDVDELNFRVRGANQRARRLLGK >KJB52037 pep chromosome:Graimondii2_0_v6:8:52864867:52867096:-1 gene:B456_008G243300 transcript:KJB52037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLGWWRLIYAAFAGFLLFHGFSASENAPNYSFMHNATSAPFLSYYDYIVVGGGTAGCPLAATLSHLANVLLLERGGSPYGDPNITKLVNFGASLSDLSPWSPSQRFISTDGVINSRARVLGGGSCLNAGFYTRASPEYIRQAGWDGRLVNQSYEWVEKLVAFEPHLGHWQSAVRNGLLEVGVVPFNGFTFDHINGTKVGGTIFDEQGNRHTAADLLEYANPRGLTVLLYATVQKILFRFRGRRSRPEAYGVIFRDGSGIEHRAYLKYGFKNEIIISAGALGSPQLLMLSGVGPVAHLKAHNIPVVLDQPLVGQGMCDNPMNAVFVPSPIPVEVSLIEVVGITHFGSYIEAASGETFSASVTTTSRNYGMFSPKIGQLSTVPPKQRTPEAIARAVEYLDNLDQAALQGGFILEKIMGPISTGHLELQTRNPDDNPSVTFNYFQHPLDLQRCVQGIQTIGKIVESESFSKFRYDYMSWPGLVNMTEHSPINLLPRHYNSSIPLETFCRDTVMTIWHYHGGCQVSRVVDPDYKVLGVEALRVIDGSTFNYSPGTNPQATVMMLGRYMGVKILRERLGN >KJB48406 pep chromosome:Graimondii2_0_v6:8:10983416:10986428:1 gene:B456_008G067400 transcript:KJB48406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKGIMRELKELKDGIGNMSKRGDQSKLWRSRTRSHVAPDEAPLESQLSEQSPWANLPPELLLDIIQRVEESETAWPARAVVVFCAAVCRSWREITKEIVKTPEQCGRLTFPISLKQPGPRESPIQCYIRRDRTSSTYLLFYGLVPSEGESDKLLLAARKVRRATCTDFVISLVADDFSRASNTYVGKLRSNFLGTKFTVCDSQPPCGSMIQSAARLSRRFHSKQVSPRLPACNYSIGTVTYELNLLRTRGPRRMHCILHSIPVSAIQEGGTAPTPSALPQSLDEQLSPLHSSKGKEPIVDTISPSILATPVFSPGSREPLALKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSHNVSPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAISLSCFDTKPACE >KJB48407 pep chromosome:Graimondii2_0_v6:8:10983321:10986428:1 gene:B456_008G067400 transcript:KJB48407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQMSLKGIMRELKELKDGIGNMSKRGDQSKLWRSRTRSHVAPDEAPLESQLSEQSPWANLPPELLLDIIQRVEESETAWPARAVVVFCAAVCRSWREITKEIVKTPEQCGRLTFPISLKQPGPRESPIQCYIRRDRTSSTYLLFYGLVPSEGESDKLLLAARKVRRATCTDFVISLVADDFSRASNTYVGKLRSNFLGTKFTVCDSQPPCGSMIQSAARLSRRFHSKQVSPRLPACNYSIGTVTYELNLLRTRGPRRMHCILHSIPVSAIQEGGTAPTPSALPQSLDEQLSPLHSSKGKEPIVDTISPSILATPVFSPGSREPLALKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSHNVSPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAISLSCFDTKPACE >KJB49308 pep chromosome:Graimondii2_0_v6:8:34355749:34364727:-1 gene:B456_008G112200 transcript:KJB49308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIKGVNRSASVALAPDAPYMAAGTMAGAVDLSFSSSASLEIFKLDFQNDDRELTVVGEYPSSERFNRLTWAKNGSASDEFSPGLIAGGLVDGNIDLWNPLTLLGSETSEQALIGHLSRHKGPVRGLEFNAFAPNLLASGADDGEICIWDLATPAQPSHFPPLRGSGSAVQGEISFLSWNSKVQHILASTSYNGTTVVWDLKKQKPVISFADSVRRRSSVLQWHPDVATQLIVASDEDGSPALRLWDMRNIMSPVKEFVGHTKGVIAMAWCPSDSSYLLTCAKDNRTICWDTVTGEIVCELPAGTNWNFDVHWYPKIPGVISASSFDGKIGIYNIEGCCRYGVGEGDFGAVSLRAPKWYKRPVGASFGFGGKMVSFCPRASGVGTSASSEVFVHYLVTEESLVSRSSEFESAIQNGERSSLRVLCEKKSQESESQDDRETWGFLKVMFEDDGTARTKLLMHLGFSLPAEEKDTVQDDLSCSLNDITLEDKVAEKVGHEVEKEATLFAADNGEDFFNNLPSPKTDTPVSPSGDNFAIESGVPSEELIPQESDGLEESVDQSFNDSVQRALVVGDYKGAVNQCIAANKMSDALVIAHVGGASLWESTCDQYLKISHSPYLKFAQREEWTVLCDSLASKLMASGNTLAATLCYICAGNIDKTVEIWSRCLTTEHDGKSYIDLLQDMMEKTIVLALATGQKQFSASLCKLVEKYAEILASQGLLMVAMEYLKLLGSYELSPELEILKDRIALSMEPEKETKSASFGNSHPTSGPVFDPSRHLYPESATSQIQPNVPTTYDESYQRSFPSYGGYAPPASYQAPANIFVPTPAPPHASQANFAPSSGTTQPAVRPFIPSNPPVLRNADQYQQPTTLASQLYPGSANPTYPAPLASGSLASVPSQMGSVPGPKMPQVVAPPPASTGFMPVTNASVVQRPGMSPMQPSSPTQPALLQPAPAPAAPPPTMQTVDTSNVPAHQKPVITTLTRLFNETSQALGGSRANPAKKREMEDNSKKIGALFAKLNSGDISKNASDKLIQLCQALDNNDFGTALQIQVLLTTSEWDECNFWLATLKRMIKTRQNVR >KJB49307 pep chromosome:Graimondii2_0_v6:8:34356209:34364314:-1 gene:B456_008G112200 transcript:KJB49307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIKGVNRSASVALAPDAPYMAAGTMAGAVDLSFSSSASLEIFKLDFQNDDRELTVVGEYPSSERFNRLTWAKNGSASDEFSPGLIAGGLVDGNIDLWNPLTLLGSETSEQALIGHLSRHKGPVRGLEFNAFAPNLLASGADDGEICIWDLATPAQPSHFPPLRGSGSAVQGEISFLSWNSKVQHILASTSYNGTTVVWDLKKQKPVISFADSVRRRSSVLQWHPDVATQLIVASDEDGSPALRLWDMRNIMSPVKEFVGHTKGVIAMAWCPSDSSYLLTCAKDNRTICWDTVTGEIVCELPAGTNWNFDVHWYPKIPGVISASSFDGKIGIYNIEGCCRYGVGEGDFGAVSLRAPKWYKRPVGASFGFGGKMVSFCPRASGVGTSASSEVFVHYLVTEESLVSRSSEFESAIQNGERSSLRVLCEKKSQESESQDDRETWGFLKVMFEDDGTARTKLLMHLGFSLPAEEKDTVQDDLSCSLNDITLEDKVAEKVGHEVEKEATLFAADNGEDFFNNLPSPKTDTPVSPSGDNFAIESGVPSEELIPQESDGLEESVDQSFNDSVQRALVVGDYKGAVNQCIAANKMSDALVIAHVGGASLWESTCDQYLKISHSPYLKVVSAMVNNDLMSLVKTRPLKFWKETLALFCTFAQREEWTVLCDSLASKLMASGNTLAATLCYICAGNIDKTVEIWSRCLTTEHDGKSYIDLLQDMMEKTIVLALATGQKQFSASLCKLVEKYAEILASQGLLMVAMEYLKLLGSYELSPELEILKDRIALSMEPEKETKSASFGNSHPTSGPVFDPSRHLYPESATSQIQPNVPTTYDESYQRSFPSYGGYAPPASYQAPANIFVPTPAPPHASQANFAPSSGTTQPAVRPFIPSNPPVLRNADQYQQPTTLASQLYPGSANPTYPAPLASGSLASVPSQMGSVPGPKMPQVVAPPPASTGFMPVTNASVVQRPGMSPMQPSSPTQPALLQPAPAPAAPPPTMQTVDTSNVPAHQKPVITTLTRLFNETSQALGGSRANPAKKREMEDNSKKIGALFAKLNSGDISKNASDKLIQLCQALDNNDFGTALQIQVLLTTSEWDECNFWLATLKRMIKTRQNVR >KJB48457 pep chromosome:Graimondii2_0_v6:8:11767072:11768237:1 gene:B456_008G070000 transcript:KJB48457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLALIPPHDHHRHHRQLLLIFLLISATTAAPASATTNYQVFKEAPQFYNSPTCPSIHTNGMCCDQAVHVAMALDTAYLRGLMAAILSILQHSSCPQNILFHFIASATANHQHFRYTIFRAFPALVFQIYPYDSKAVSGLISTSIRSALDCPLNYARNYLPNLLPRCLRRVVYLDSDLVLVDDMAKLAATPLGDSSVLAAPEYCNANFTSYFTPTFWSNPTLSLTFSGRKACYFNTGVMVIDLQRWRAGDYTTKIVEWMELQKRMRIYELGSLPPFLLVFAGNIAPVDHRWNQHGLGGDNYRGLCRDLHPGPTSLLHWSGKGKPWARLDANRPCPLDAFWAPYDLLQTSSALES >KJB47466 pep chromosome:Graimondii2_0_v6:8:5289566:5292540:-1 gene:B456_008G040700 transcript:KJB47466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSFLRNRYWVLRHGKSIPNEKGLIVSSLELKENDIPLENVRMCYSPFARTRHTAEVVASTLNLPFEGPQCKVMEDLRERYFGPSFELLSHDKYTEIWAMDEKDPFTRPEGGESVDDVASRLASAMATMESEYEGCTILVVSHGDPLQILQTILNAASKQMEPSCNDLASRIQAVRIPSILSQHRKFALLTGEIRAVR >KJB47464 pep chromosome:Graimondii2_0_v6:8:5290465:5292375:-1 gene:B456_008G040700 transcript:KJB47464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSFLRNRYWVLRHGKSIPNEKGLIVSSLENGIRLEYQLASEGVEQAELAGKLFLKELKENDIPLENVRMCYSPFARTRHTAEVVASTLNLPFEGPQCKVMEDLRERYFGPSFELLSHDKYTEIWAMDEKDPFTRPEGGESVDDVASRLASAMATMESEYEG >KJB47467 pep chromosome:Graimondii2_0_v6:8:5289566:5292430:-1 gene:B456_008G040700 transcript:KJB47467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSFLRNRYWVLRHGKSIPNEKGLIVSSLENGIRLEYQLASEGVEQAELAGKLFLKELKENDIPLENVRMCYSPFARTRHTAEVVASTLNLPFEGPQCKVMEDLRERYFGPSFELLSHDKYTEIWAMDEKDPFTRPEGGESVDDVASRLASAMATMESEYEGCTILVVSHGDPLQILQTILNAASKQMEPSCNDLASRIQAVRIPSILSQHRKFALLTGEIRAVR >KJB47463 pep chromosome:Graimondii2_0_v6:8:5290773:5292375:-1 gene:B456_008G040700 transcript:KJB47463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSFLRNRYWVLRHGKSIPNEKGLIVSSLENGIRLEYQLASEGVEQAELAGKLFLKELKENDIPLENVRMCYSPFARTRHTAEVVASTLNLPFEGPQCKVMEDLRERYFGPSFELLSHDKVSKI >KJB47465 pep chromosome:Graimondii2_0_v6:8:5289566:5292430:-1 gene:B456_008G040700 transcript:KJB47465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSFLRNRYWVLRHGKSIPNEKGLIVSSLENGIRLEYQLASEGVEQAELAGKLFLKELKENDIPLENVRMCYSPFARTRHTAEVVASTLNLPFEGPQCKVMEDLRERYFGPSFELLSHDKYTEIWAMDEKDPFTRPEGGESVDDVASRLASAMATMESEYEGRCTILVVSHGDPLQILQTILNAASKQMEPSCNDLASRIQAVRIPSILSQHRKFALLTGEIRAVR >KJB47462 pep chromosome:Graimondii2_0_v6:8:5289566:5292264:-1 gene:B456_008G040700 transcript:KJB47462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYSPFARTRHTAEVVASTLNLPFEGPQCKVMEDLRERYFGPSFELLSHDKYTEIWAMDEKDPFTRPEGGESVDDVASRLASAMATMESEYEGCTILVVSHGDPLQILQTILNAASKQMEPSCNDLASRIQAVRIPSILSQHRKFALLTGEIRAVR >KJB48992 pep chromosome:Graimondii2_0_v6:8:26499177:26503366:-1 gene:B456_008G096700 transcript:KJB48992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQILPFIIFLIAVTVTPLLSSAAPATPCQSNCGSLQIKYPFGTGYGCGSPRFEPYITCKSNQLLLTTHTGSYLITAISYKDSTLTITPSAMSTCNSMQQSPNLGLDWASPFQLGPSIFLLLSCTPPTSSLTIKGSPVCDPSSTHLCSTIYTCPAVVNLRLPLFPPTNTCCVYSPANFNSKGELDLREMKCKGYASIASFQDSPTDPSKWMYGVTLKYTNGGFDDYYMNNKCNTCEDSGGICGYSPPTNSFLCICNSGFNATTDCYNNYNPVQDYEDLIGTSTSLSTQKIMLGLWVGLLFYIAA >KJB46828 pep chromosome:Graimondii2_0_v6:8:41016216:41016758:-1 gene:B456_008G1527001 transcript:KJB46828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDDVDTPEIFGEGVIVPLTFKINAWNSIFLSCLEFDIITGRSHSGDKEEHKRSK >KJB46826 pep chromosome:Graimondii2_0_v6:8:41016216:41016970:-1 gene:B456_008G1527001 transcript:KJB46826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDDVDTPEIFGEGVIVPLTFKINAWNSIFLSCLEFDIITGRSHSGDKEEHKRSK >KJB46827 pep chromosome:Graimondii2_0_v6:8:41016216:41016758:-1 gene:B456_008G1527001 transcript:KJB46827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDDVDTPEIFGEGVIVPLTFKINAWNSIFLSCLEFDIITGRSHSGDKEEHKRSK >KJB46829 pep chromosome:Graimondii2_0_v6:8:41016216:41016758:-1 gene:B456_008G1527001 transcript:KJB46829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDDVDTPEIFGEGVIVPLTFKINAWNSIFLSCLEFDIITGRSHSGDKEEHKRSK >KJB49204 pep chromosome:Graimondii2_0_v6:8:33490556:33491515:-1 gene:B456_008G106500 transcript:KJB49204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHRCKLCLKSFINGRALGGHMRSHMLNLPIHVNQVSQESVSASASYSSDEDDDDKKEQIYGLRENPKRSVRMVDPEFIDAGSVVVQDRESETESSKNLTRRRSKRTRKTLYQHEEEEVVKVNITESVSSISDTTTEEDVAFCLMMLSRDQWKQHDEQEQDDESEEETEESEEYLKSTKPNRSTRGKYRCETCNRVFKSYQALGGHRASHKKMKPYSPATEKIHEPPENVGTSIPEKKTHECPVCFRIFSSGQALGGHKRSHVTGQVIATSTTKTAVTSSKKLGDSLIDLNLPAPMDDDDISQIEVSAVSDAEFVKPF >KJB52231 pep chromosome:Graimondii2_0_v6:8:53514920:53518754:1 gene:B456_008G251400 transcript:KJB52231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHHRSFEKNNQALQPVSPSSYGSSMEVAPYNPAVTPSSSLDFRGRIGESHYNLKTSTELLKVLNRIWSLEEQHVSNISLIKALKMELDHARVRIKELLRDQQAGRHEIDNLMKQIAEDKLVRKSKEQDRVHAAVQSVRDELEDERKLRNRSENLHRKLARELSEAKASLSNALKDLERERKSRKLLEDLCDEFARGIKSYEQEVHTLRQKSDEEWTGVADHDHLILHISESWLDERMQMKLEEAQSGFAEQNPAIDRLGFEIETFLQAKSIGTSVSKRTDYLSQKDRRKSLESVPLNEAVTVGKDVLDEEDSASSDSNCFELNEPSSVDLKSHEDEALTHYSEKKVSSDEKIKSRHPSSLQVKFEEKMARAMNNGNKKSQLEDSGQEIIGVGNTTEATISQKLENDEAAQYGYKGRKNKLDEIHGISSNYVMDNLIRNHIALTEGRNINVENNSGEASSSYPLLKNQPSPVRQWMTKFTPPDLDVPESSTKLPPAMKDNTLKAKLLEARSKGQRSRLKIFKGKS >KJB52230 pep chromosome:Graimondii2_0_v6:8:53514797:53518786:1 gene:B456_008G251400 transcript:KJB52230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREEKSEEGLAEKEENLCEKLSRRILLVGNSKTEGPCTPCPSWKLYDPQPQPQALSHHSYIFPRPPAAVSARKLAASLWEFHQYLHHHHHSQPKMHRGGFNNTNGRYHQRHHRNSLLKNKAIDFSQFLDDPCPSSDPDQPESASGLRRHIAQTLMKHHRSFEKNNQALQPVSPSSYGSSMEVAPYNPAVTPSSSLDFRGRIGESHYNLKTSTELLKVLNRIWSLEEQHVSNISLIKALKMELDHARVRIKELLRDQQAGRHEIDNLMKQIAEDKLVRKSKEQDRVHAAVQSVRDELEDERKLRNRSENLHRKLARELSEAKASLSNALKDLERERKSRKLLEDLCDEFARGIKSYEQEVHTLRQKSDEEWTGVADHDHLILHISESWLDERMQMKLEEAQSGFAEQNPAIDRLGFEIETFLQAKSIGTSVSKRTDYLSQKDRRKSLESVPLNEAVTVGKDVLDEEDSASSDSNCFELNEPSSVDLKSHEDEALTHYSEKKVSSDEKIKSRHPSSLQVKFEEKMARAMNNGNKKSQLEDSGQEIIGVGNTTEATISQKLENDEAAQYGYKGRKNKLDEIHGISSNYVMDNLIRNHIALTEGRNINVENNSGEASSSYPLLKNQPSPVRQWMTKFTPPDLDVPESSTKLPPAMKDNTLKAKLLEARSKGQRSRLKIFKGKS >KJB49414 pep chromosome:Graimondii2_0_v6:8:35331031:35340753:-1 gene:B456_008G118000 transcript:KJB49414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFACSFKRPMVFHGGEGSSSSSRMLDPLCPRFKCRNLSYSVVDPTIGSKTRCLKKMRKSMAYGGCLSSNLVFGGKVNRHLCSAYSSRSLFYGLRDVSKVRGVRLCCQGNDSLAYADGNGRNVEFAESGDGSSSGTVSNGLEEEDRNLNGEVETPSLDDLREVLQKAIKELEVARLNSRMFEEKALKISEAAIALKDEAANAWNDVNGTLNMIQDIVNNECVAKEAVQKAMMALSLAEARLQVTADSSESLKKGNDSPESSGESDVEIDIREDNGAALTAQNEIRECKEKLENCEVELRHLQSKKEELQKEADRLNEVAEKAQMDALKAEEEVANIMLLAEQAVAFELEATQHVNDAEIALQKAEKSLSNLIVETVEQQVSAEETVIEEETSPGGPNDVFVERERDALINGGMVVAEPTADIISGKARKSSEDIKQFDDLSDHENGIPGLDSPKEAEIEAEKSKSVQSKKSESQKELTRETSPPNSSKSLLKKSSRFFPASFFSFTDDGTEFTPSSVAQSLLESARKQIPKLVVGVLLLGAGVAFYANQAERRAQLMQQPDVITTSIDDISLNAKPLMRQMKKIPKKLKELVAFLPHQEMNEEEASLFDVLWLLLASVIFVPIFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVVGLVAHFVAGQPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFRAIAEALGLAAVKAAVAISAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIAGTLALLLGGKTILVAVVGKLFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMALTPWLAAGGQLIASRFELHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAMGRSLDIPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPTSEIAATINEFRSRHLAELTELCQASGSSLGYGFSRVTNKPKTLPPDSSDDNHVTELAI >KJB51007 pep chromosome:Graimondii2_0_v6:8:48375549:48380453:1 gene:B456_008G199000 transcript:KJB51007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVKNIQKITKAMKMVAASKLRAVQTKAENSRGLWQPFTALLGDLPSVDVKKNVVVTISSDKGLCGGINSTSVKISKGIYKLNSGPEKETKYVILGEKAKAQLVRDSKKDIELIITELQKNPLSYTQVSVLADEILKNVEYDALRIVFNKFHSVVSFVPTVSTVLSPEIVERESESGGKLGELDSYEVEGGETKGEILQNLAEFQFSCVMFNAVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEG >KJB51005 pep chromosome:Graimondii2_0_v6:8:48375491:48380456:1 gene:B456_008G199000 transcript:KJB51005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAALRREGRRFAPLIAPRPITAVRSSPIVPTHEDEGPIGVRYISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAVQTKAENSRGLWQPFTALLGDLPSVDVKKNVVVTISSDKGLCGGINSTSVKISKGIYKLNSGPEKETKYVILGEKAKAQLVRDSKKDIELIITELQKNPLSYTQVSVLADEILKNVEYDALRIVFNKFHSVVSFVPTVSTVLSPEIVERESESGGKLGELDSYEVEGGETKGEILQNLAEFQFSCVMFNAVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEG >KJB51008 pep chromosome:Graimondii2_0_v6:8:48375549:48380453:1 gene:B456_008G199000 transcript:KJB51008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVKNIQKITKAMKMVAASKLRAVQTKAENSRGLWQPFTALLGDLPSVDVKKNVVVTISSDKGLCGGINSTSVKISKGIYKLNSGPEKETKYVILGEKAKAQLVRDSKKDIELIITELQKNPLSYTQVSVLADEILKNVEYDALRIVFNKFHSVVSFVPTVSTVLSPEIVERESESGGKLGELDSYEVEGGETKGEILQNLAEFQFSCVMFNAVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEG >KJB51006 pep chromosome:Graimondii2_0_v6:8:48375549:48380453:1 gene:B456_008G199000 transcript:KJB51006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVKNIQKITKAMKMVAASKLRAVQTKAENSRGLWQPFTALLGDLPSVDVKKNVVVTISSDKGLCGGINSTSVKISKGIYKLNSGPEKETKYVILGEKAKAQLVRDSKKDIELIITELQKNPLSYTQVSVLADEILKNVEYDALRIVFNKFHSVVSFVPTVSTVLSPEIVERESESGGKLGELDSYEVEGGETKGEILQNLAEFQFSCVMFNAVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEG >KJB46931 pep chromosome:Graimondii2_0_v6:8:359203:360498:1 gene:B456_008G001900 transcript:KJB46931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFKIFKSNVEFIESFNAAGNRGYKLSINEFADQTNEFKAYRNGYGRPQRLKSRKQTSFRYENVTSLPASIDWRKRGAVTPIKDQAQCDEFEFRIRNHGIASEATYPYKGDDGTCNKSNEASHAATISGYEIVPANNEQALQKAVASQPVSVSIDAGGYAFQFYSRGIFTGECGTKLDHGITAVGYGTSEDCIDYWLIKNSWGTGWGEKGYIMMQRNIKAKGLCGIAMDSSYPTV >KJB47925 pep chromosome:Graimondii2_0_v6:8:6448515:6470754:-1 gene:B456_008G047300 transcript:KJB47925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPLVWYCQPKQNEAWTKLVDGAFGAYTPCAIDSGVLFISHLVLLGLCCYRIWLIKKNSKVQRFSVSPEYYCYILGFLAGYCTVEPILRLLMGSSIFNLNGTTGLAPYEVTSLIIEATTWCSVLFMIGLETKSYIREFRWYVRFGVVYVLVGDAVLLNLILPVKDLHNSYALYLTISTVFCQVLFGILLLVYFPNLHLSPGYRLIESDSLDDEKYEPLSGGEQICPERQASIISRIFFGWITPLMLQGYKRPITERDVWKLDTWDQTEILIHKFHRCWDKEAKRSKPWLLRALNSSLGGRFWLGGVFKIGCDLCQFAGPMLLNHLLQSMQRGDPAWVGYIYAFLMFLGVLCVVLLEAQYMQNVWRVGFRLRSTLVAAIFHNSLRLTHEARKNFPSGKITNMITTDATALQQICQQLHVLWSAPFRIIISMVLLYQQLGVASLLGSVILVLMVPLQTIVISKMRIMTKEGLKWTDRRVSFMNEILAAMDTVKFYAWEKSFQTRVHSIRNDELSWLRRAQLLSAFNSFILNSIPVVVTVVSFGTFTLLGGDLTPARAFTSLSLFKLLRCPLSTLPNLLSQVVNANVSLQRLQELFLAEERVLAPNPPLQPGLPAISIKDGNFSWDSKAEKPTLSNINLEVPVGSLVAIVGGTGEGKTSLVSAMLGEIPADANSSLAIRGTVAYVPQVSWIFNATVRNNILFGCSFQPERYWKTIDVTALRHDLDILPDHDLTEIGERGVNISGGQKQRVSLARAVYSNSDVYIFDDPLSALDAHVAQQVFSRCIKEELQGKTRILVTNQLHFLPHVDYILLVANGVIKEEGTFEEISKNGRLFQKLMENAGKMEKQEEKDDGKNFDLEDLKPNSNEMVEINELQKNANPAKRRNGRKAVLVKQEERETGVVSWNVLMRYKDALGGLWVVMILFTFYFSTEVLRISSSTWLRFWTDQSTSSSYHPGYYIVIYGLLGFSQVTVTLTNSFWLITSSLRAARRLHDMMLNSILRAPMLFFQTNPTGRVINRFSRDLGDIDRIVANFMNMFMNQLWQLLSTFALIGIVSIFSLWAIMPLLILFYAAYLYYQITSREVKRLDSITRSPIYAQFGEALNGLSSIRAYKAYERVAKVNGKFMDNNIRFTLANSSSNRWLTIRLETLGGLMIWLTATFAVLQNGKAENQAAFASTMGLLLSYSLNITSLLSGVLRQASQAENSLNAVERVGSYINLLSEAPDVIESHRPPPGWPSSGSIQFEDVVLRYRPELPPVLCGLSFTTSPSEKIGIVGRTGAGKSSMLNALFRIVELEKGRILIDGCDTSRFGLSDLRKALSIIPQSPVLFSGTVRLNLDPFNEHNDADLWEALERAHLKDVIRGNSFGLDAEVLESGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFNSCTMLVIAHRLNTIIDCDQILVLDAGQVLEHSTPEELLANEESAFSKMVQSTGPANAEYLRGLIFGGEENRLSGEHAIRLDGKQYHRRNRI >KJB49390 pep chromosome:Graimondii2_0_v6:8:35059366:35063634:1 gene:B456_008G116600 transcript:KJB49390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISVESNMNNVYAGVTVLLVDGDSTCVIILSKMLRSFGYKVVTTKRATDALCIIRDQQHKIDLVLTEACLHDMDKYELLETIRNISSLPIIVMSTDYDRNAVLGSLFKGAALHLEKPITMDDIKNLWQFTLIKGREINVPIIEAKSCIKEVSSMESALGVVVDGRRNLRDEKRKRPLEVENDKEGDNWDQGSSTLKKPKLIWTNELHNRFLQAIDMLGSEAYPKKILQLMNVPGLRKENVSSHLQKHRLSLKRQQEAILNTISSTESQAASHHALSEFSPRNGFHLFTDTTQTTSVAEQHGYINGLVQDNLNG >KJB46884 pep chromosome:Graimondii2_0_v6:8:1935466:1935807:-1 gene:B456_008G017000 transcript:KJB46884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNYMFLTFVLALSFASFDVGFGARHLLQLPQLPPLPAIPNLPMPTIPTLPTTQPSLPRPGAMPPLPAMPNMPTLPNVPRATLPPLPSFPTIPSTIPSIPFLSPPPAPSTP >KJB47839 pep chromosome:Graimondii2_0_v6:8:6019226:6019923:-1 gene:B456_008G044800 transcript:KJB47839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIKTDEINKAAVAVEVEANSVQGMDGDGVDEQGGETYMECRRNYLAPIGRYIVDGCEKFMKRSASEDQTKEALFCAGCGCHRSFHRKVMPPQPHVQDNTRFHRIMDFLYSLPLTRLEPRPPAPWLMRSLQQQQLANYELVEGQGSSLSPEEGEEKTDSESGDEVNQAV >KJB47324 pep chromosome:Graimondii2_0_v6:8:2398866:2401996:1 gene:B456_008G021100 transcript:KJB47324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASELFYTRRSRVGRPDPDPGIDSSIDRNYNRRYHNHRHDLDGCQHLRLSPHVRHSTSRSYSLSFDQGSTRFASINGVNAESVSSSNRQSLHSNERLPGAVLLARARLLERLRGVSVSANRRDGRGPPNPYDVREYLLCEDFREVDAGDWGSEISTGLSGGSSSFTDQTSQTEENNKKPPGLTQEAVDSLPLEVFRGEEVDGERESRDCSICLERFRDEDVLTRLVCGHRFHLTCLHPWVRSCGDCPYCRRTIL >KJB47323 pep chromosome:Graimondii2_0_v6:8:2398808:2401996:1 gene:B456_008G021100 transcript:KJB47323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASELFYTRRSRVGRPDPDPGIDSSIDRNYNRRYHNHRHDLDGCQHLRLSPHVRHSTSRSYSLSERASMQFDQGSTRFASINGVNAESVSSSNRQSLHSNERLPGAVLLARARLLERLRGVSVSANRRDGRGPPNPYDVREYLLCEDFREVDAGDWGSEISTGLSGGSSSFTDQTSQTEENNKKPPGLTQEAVDSLPLEVFRGEEVDGERESRDCSICLERFRDEDVLTRLVCGHRFHLTCLHPWVRSCGDCPYCRRTIL >KJB47325 pep chromosome:Graimondii2_0_v6:8:2399807:2401805:1 gene:B456_008G021100 transcript:KJB47325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFDQGSTRFASINGVNAESVSSSNRQSLHSNERLPGAVLLARARLLERLRGVSVSANRRDGRGPPNPYDVREYLLCEDFREVDAGDWGSEISTGLSGGSSSFTDQTSQTEENNKKPPGLTQEAVDSLPLEVFRGEEVDGERESRDCSICLERFRDEDVLTRLVCGHRFHLTCLHPWVRSCGDCPYCRRTIL >KJB47098 pep chromosome:Graimondii2_0_v6:8:1264725:1266323:1 gene:B456_008G010900 transcript:KJB47098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPCLYAFLLLLAFQSTMIKVNTVSLPPPECRQEVASTKEKFEFLLNFLILKIELFLRSSIDRGINDISPGLVQGPAPIGAAVANLDNATRKIIEEFGLASIGHLRAIVNTTLLNAPIPMPQLDISPQAYNIFLTLILNDTKKSNPPYNPYANTNSFLFAAVFASSFLNQYYAGIMPSIIGSDERQLLSAIAFYEGGVFGALRAELNARVNLTVPPFNFTVGNLTNLTAQLANQLGGCGVKDEGLIVPLQLGAENRTTSNVVPGDVNSLAYARSAREIMRIAYTTGNASRPGGLFPRGLNGALPRRIRTLKLS >KJB50199 pep chromosome:Graimondii2_0_v6:8:42370458:42372916:1 gene:B456_008G158600 transcript:KJB50199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPDASAIGGGPEPDSESALLLRTSLKPEDKFNLGYIIYFTLGVGFLLPWNSFITAVDYFSYLYPEASVDRVFAVVYMVVGLACLLVIVFYAHKSEAYMRINVGLGIFVVSLVVVPVMDAVYIKGRVGLYDGFYVTVGLLALAGIGDALVQGGLIGAAGELPERYMQAIVAGSGGSGVLVSMLRILTKAVFPQDGDGLRKSAYLYFFTSIVFMVICIVLYNVAHKLPIMQYYEELKAEAVKEEKAEKGPMTGPVWRATLWNIVGTVKWYGFGIVLIYVVTLSIFPGYITEDVHSLVLKDWYPVLLITGYNVFDLVGKSLTAVYLLENAKLAISACVVRLLFFPLFLGCLHGPQLFRTEFPVSLLTCLLGLTNGYLTSVLMIMAPKSVQIQHAETSGIVMVLFLVVGLASGSVIAWFWVI >KJB50152 pep chromosome:Graimondii2_0_v6:8:41718629:41721106:1 gene:B456_008G156400 transcript:KJB50152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPNMATITESLERSLQNFSLNHERSNEGGAEEGIIGRSSTSGDNNNLPNTVSDTSLELNSHLSLPYHWEQCLDLKTGEIYYINWRNGMKASVDPRTAAEYSGDYYSEEEEEEENDDSLYDSEELSSESSPSSSRERGHYNNNSSSSNHQGVEKEKEKDNVLVVAGCKSCLMYFMVPKQVEDCPKCNEQLLHLDRSQTGFP >KJB49546 pep chromosome:Graimondii2_0_v6:8:36428101:36434147:1 gene:B456_008G124800 transcript:KJB49546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRRDKFVRFKDWSSDDGSFTSKVRPSFSAVIEGARKLFENGSERARELFENGSERVKGLKKPVNFGFSSTKQPKKDKAVSSKKRTLNPQGEFLQNWNKMFLLSCAIALAIDPLFFYIPVVKGNLKCLDLDNKLGIVASVLRTLIDAFYVIHIVFQFRTAFIAPSSRVFGRGELIEDPWAIAKRYLFKNFFIDILAILPLPQMVVIIIKSSLRGSVSEITKDLLKTFIFIQYVPRILRIVPLFKEVTTTSGILTKSAWTGAALNLFLYMLASHAVGAFWYLFSIERQDRCWRNAVNGDVAVLYCHKGITRNDTLVNMLNTTCSLINPDDLKDPKHFNFGIFFDALESGVVETMDFPMKFLYCFWWALRNLSSLGQNLKTSTYVWEILFAIAIAIGGLILFSLLIGNMQKYLESTGVRVEEMRVKRQDAEQWMSHRMLPENLRERIRRYEQYKWQETRGVEEEALIANLPRDLRRDIKRHLCLDLLKRVPMFDKMDEQLLDAMCDRLKPVLYTDKSYILREGDPVEEMLFIIRGNLTSTTTNGGRTGFFNSAHLKAGDFCGDDLLTWALDPQSSSNLPISTRTVQALKEVEAFALMADDLKFVASQFRRLSSKQFMHTFKFYSVRWQTWAAAFIQAAWRRHCRRKQVKSLREAEGEPLAALANGNETSPSLGATLYASKFAVNALRTLRRHGSQSSPRLPQRLSPLLPQKPAEPDFTAE >KJB50946 pep chromosome:Graimondii2_0_v6:8:47827267:47828113:-1 gene:B456_008G194200 transcript:KJB50946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGACFEIKCVNDPRWCLPGSIVVTATNFCPPNSALPNNAGGWCNPPLHHFDLSQPVFQRIAHYKAGIVPVAYRRVACRKRGGIRFTINGHSYFNLVLITNVGGAGDVVSVSIKGSRTGWQPLSRNWGQNWQSNTYLNGQSLSFKVTTSDGRTLVSNNVVPPNWSFGQTFTGSQFP >KJB50947 pep chromosome:Graimondii2_0_v6:8:47826798:47828667:-1 gene:B456_008G194200 transcript:KJB50947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGACFEIKCVNDPRWCLPGSIVVTATNFCPPNSALPNNAGGWCNPPLHHFDLSQPVFQRIAHYKAGIVPVAYRRVACRKRGGIRFTINGHSYFNLVLITNVGGAGDVVSVSIKGSRTGWQPLSRNWGQNWQSNTYLNGQSLSFKVTTSDGRTLVSNNVVPPNWSFGQTFTGSQFP >KJB50945 pep chromosome:Graimondii2_0_v6:8:47826652:47828907:-1 gene:B456_008G194200 transcript:KJB50945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFPLLFMVFLHFFSYAYGYGGGWVDAHATFYGGGDASGTMGGACGYGNLYTQGYGTNTAALSTALFNNGMSCGACFEIKCVNDPRWCLPGSIVVTATNFCPPNSALPNNAGGWCNPPLHHFDLSQPVFQRIAHYKAGIVPVAYRRVACRKRGGIRFTINGHSYFNLVLITNVGGAGDVVSVSIKGSRTGWQPLSRNWGQNWQSNTYLNGQSLSFKVTTSDGRTLVSNNVVPPNWSFGQTFTGSQFP >KJB52506 pep chromosome:Graimondii2_0_v6:8:54491024:54492707:-1 gene:B456_008G265700 transcript:KJB52506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVLRVRLASFFTGAATASFLGLYILYKDYKVAHESIAQQVKSLHGPLDRRISALESLKHAETSLHVDATK >KJB47816 pep chromosome:Graimondii2_0_v6:8:5741517:5742382:1 gene:B456_008G043100 transcript:KJB47816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLRQYCNIWKSDSEIALKLIENENANNIALSTLVNDCRCLLLKFAVVTLNLTFKEGNQCADKLACLGSSFQQDYSDTFSNCNLLCIMIVLRL >KJB52284 pep chromosome:Graimondii2_0_v6:8:53730106:53733077:-1 gene:B456_008G254100 transcript:KJB52284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVSFGKVTPEQKAEAKHSLAIYSWEDFLQLGESKQFDLPERTKSDICTIMYTSGTTGDPMGVMISNCSIVTLIAGVKHLVECVNEELTEKDVYLSYLPLAHIFDRVIEEFFISRGALMGFCRGDVKLLVDDIELKSSIFCAVPRVLDRVHSVQCIICSETVITSVHHQVYYRRFLGEANCLRRCLMWHTQLYSFFKFAYVKQIIVSAEFHKFGNMKKGTKHEDASFICDRVVLSKVNSGLGGNVRLILSSAAPLSDHVEEFLRGVACCHVPQGYGLTETCAGTFVSLPNELSTLGTVGPPVPNVDRCLESVPEMGYDALASIPRGEICVRGDTIFRVFADGWFLTGDVGERQPNGSMKIIDRKKNIFKLSQGEYVAVENLENVFGLASVIDSIWIYGNSFESFLVAVVNPKKQALESWAAENGVHGGFNSICQNPKAKRVRSRGALKDWKREKAAHIDPVPFDMERDPLTPTYKKKRSQLL >KJB49651 pep chromosome:Graimondii2_0_v6:8:37745706:37746405:1 gene:B456_008G131900 transcript:KJB49651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKECKSAPIYCNDIALFSALMSSANGWSLQSMELTSCSKLLHKAFMLGAEIPIVNPNLFASIIASLVTNKIACLVLTKCYKNL >KJB50167 pep chromosome:Graimondii2_0_v6:8:41906631:41907363:-1 gene:B456_008G157000 transcript:KJB50167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AADSNCDLENLILIKLEAENQGLRERLNEANRDLQSEKERCETLELQFKQEQQRSEGLEKEQTALIENFAEERSRLHKEENRLRKKCFYYHRGSA >KJB47897 pep chromosome:Graimondii2_0_v6:8:6226741:6230087:-1 gene:B456_008G046200 transcript:KJB47897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLHAGKTNKNAFKALIAAEYSGVQVKMVENFEMGVSNKTPEFIKMNPLGKVPVLETPEGPVFESNAIARYVARSKVNNPICGSTLIDYGHIEQWIDFAAMEIDANIAKWLYPRLGYGVYLPPSEEAAIAALKRALGALNTHLASNTFLVGHFVTLADIIMTCNLYLGFSQIMTKSFTSEFPHVERYFWTLVNQPKIKKILGEMKQAVSLPPVPSKKPAAQPKETKPKAEPKKEAKKEVEKQAAKAEAAEEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNEENTVSFVTMNKVGGFLQRMDLARKYAFGKMLVIGANPPFKVKGLWLFRGQEIPQFVIDECYDMELYEWKKVDLSDAAQKERVNQMIEDCEPFEGEPLLDAKCFK >KJB52415 pep chromosome:Graimondii2_0_v6:8:54173325:54174885:-1 gene:B456_008G260900 transcript:KJB52415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFSTGSSGTGSPQISTDEFMDQMKAQLAQAYAQEFLETVRGKCFEKCISKPGSSLSGSESSCISRCVDRYIEATGIVGRALFTSR >KJB48700 pep chromosome:Graimondii2_0_v6:8:16650573:16652820:1 gene:B456_008G082400 transcript:KJB48700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDDECVIVVREFDPSKDLASVEEVEKRCEVGPSGKLSLFTDLLGDPICRVRHSPAFLMLVAELSSTKEIVGMIRGCIKTVTCGKKLSRNTKTNDPSKPLPVYTKVAYILGLRVSPSHRRMGIGLKLVLRMEEWFVQNGTEYSYLATENDNQASVNLFTDKCGYSKFRTPSILVNPVFAHRLPVSNRVSLIKLSPSDAESLYRRRFSTIEFFPRDIDSVLNNRLSLGTFLAVPRGCCYTQETWAGCDKFLSDPPESWAVLSVWNCKDVFRLEVRGASRMRKTLAKTTRIVDKLLPFLRLPSIPEVFKPFGLHFLYGVGGEGPSAAKLVYALCAHAHNLAKEGGCSVVATEVANGEPLKAGVPHWKRLSCDADLWCIKRLGEDYSDGSVGDWTKSPPGLSIFVDPREF >KJB47828 pep chromosome:Graimondii2_0_v6:8:5808208:5809908:-1 gene:B456_008G043800 transcript:KJB47828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKKSYLAMRSEREATDLIASDFQDLIFATKKLANHAIKLGSWGFGTTLLEWIASFAAIYLLILDRTNWKTNILTALLIPYIFLSLPSFLFNILRGEVGKWIAFIAVVLRLFFPTRFPDWLELPGALILLIVVAPSLFSSTIRNDWIGVVICLGIACYLLQEHIRASGGFRNSFTKANGISNTIGIIILLVYPAWALVTDIL >KJB51578 pep chromosome:Graimondii2_0_v6:8:50969523:50973421:-1 gene:B456_008G223300 transcript:KJB51578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MEVVASAPGKVLMTGGYLILERPNAGIVLSTNARFYAIVKPIHEDVKPESWAWSWTDVKLTSPQLSRESMYKLSQKHLTLQCVSSSESRNPFVENAIQYTVAAAHATFDKNKKEALDKVLLQGLDITILGCNDFYSYRNQIEALGLPLTPEALATLPPFTSITFNSEESNGGNCKPEVAKTGLGSSAAMTTAVVAALLHYLGVVNLSTSSADQHQENKNSTDLDIVHMIAQSAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPEVLSAAQAAVKGMPLEEVIGNILNGKWDHDRTEFSLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQKADPENSQETWRKLADANSELEIQLNMLRKLAKEHWDAYKCVIDNCSRLKPAKVLI >KJB51576 pep chromosome:Graimondii2_0_v6:8:50968213:50973229:-1 gene:B456_008G223300 transcript:KJB51576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MEVVASAPGKVLMTGGYLILERPNAGIVLSTNARFYAIVKPIHEDVKPESWAWSWTDVKLTSPQLSRESMYKLSQKHLTLQCVSSSESRNPFVENAIQYTVAAAHATFDKNKKEALDKVLLQGLDITILGCNDFYSYRNQIEALGLPLTPEALATLPPFTSITFNSEESNGGNCKPEVAKTGLGSSAAMTTAVVAALLHYLGVVNLSTSSADQHQENKNSTDLDIVHMIAQSAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPEVLSAAQAAVKGMPLEEVIGNILNGKWDHDRTEFSLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQKADPENSQETWRKLADANSELEIQLNMLRKLAKEHWDAYKCVIDNCSRLKPAKWMEGVTEPIKAEVVKVLLKAREVMLEIRNHMRTMGEAAGVPV >KJB51577 pep chromosome:Graimondii2_0_v6:8:50967506:50973430:-1 gene:B456_008G223300 transcript:KJB51577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MEVVASAPGKVLMTGGYLILERPNAGIVLSTNARFYAIVKPIHEDVKPESWAWSWTDVKLTSPQLSRESMYKLSQKHLTLQCVSSSESRNPFVENAIQYTVAAAHATFDKNKKEALDKVLLQGLDITILGCNDFYSYRNQIEALGLPLTPEALATLPPFTSITFNSEESNGGNCKPEVAKTGLGSSAAMTTAVVAALLHYLGVVNLSTSSADQHQENKNSTDLDIVHMIAQSAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPEVLSAAQAAVKGMPLEEVIGNILNGKWDHDRTEFSLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQKADPENSQETWRKLADANSELEIQLNMLRKLAKEHWDAYKCVIDNCSRLKPAKWMEGVTEPIKAEVVKVLLKAREVMLEIRNHMRTMGEAAGVPIEPESQTKLLDATMNMEGVLLAGVPGAGGFDAVFAVTFGDSSRNVTNAWSSHNVLALLVREDPQGVCLESGDPRCREITSAVSSVNIK >KJB51572 pep chromosome:Graimondii2_0_v6:8:50968439:50973229:-1 gene:B456_008G223300 transcript:KJB51572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MEVVASAPGKVLMTGGYLILERPNAGIVLSTNARFYAIVKPIHEDVKPESWAWSWTDVKLTSPQLSRESMYKLSQKHLTLQCVSSSESRNPFVENAIQYTVAAAHATFDKNKKEALDKVLLQGLDITILGCNDFYSYRNQIEALGLPLTPEALATLPPFTSITFNSEESNGGNCKPEVAKTGLGSSAAMTTAVVAALLHYLGVVNLSTSSADQHQENKNSTDLDIVHMIAQSAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPEVLSAAQAAVKGMPLEEVIGNILNGKWDHDRTEFSLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQKADPENSQETWRKLADANSELEIQLNMLRKLAKEHWDAYKCVIDNCSRLKPAKWMEGVTEPIKAEVVKVLLKAREVMLEIRNHMRTMGEAAGVPVCYCPFDLMLINVHFFILMSAKDVEKIVKDGIILSSFFI >KJB51573 pep chromosome:Graimondii2_0_v6:8:50967506:50973421:-1 gene:B456_008G223300 transcript:KJB51573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MEVVASAPGKVLMTGGYLILERPNAGIVLSTNARFYAIVKPIHEDVKPESWAWSWTDVKLTSPQLSRESMYKLSQKHLTLQCVSSSESRNPFVENAIQYTVAAAHATFDKNKKEALDKVLLQGLDITILGCNDFYSYRNQIEALGLPLTPEALATLPPFTSITFNSEESNGGNCKPEVAKTGLGSSAAMTTAVVAALLHYLGVVNLSTSSADQHQENKNSTDLDIVHMIAQSAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPEVLSAAQAAVKGMPLEEVIGNILNGKWDHDRTEFSLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQKADPENSQETWRKLADANSELEIQLNMLRKLAKEHWDAYKCVIDNCSRLKPAKWMEGVTEPIKAEVVKVLLKAREVMLEIRNHMRTMGEAAGVPNPNHRLNFWMLL >KJB51574 pep chromosome:Graimondii2_0_v6:8:50967506:50973421:-1 gene:B456_008G223300 transcript:KJB51574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MEVVASAPGKVLMTGGYLILERPNAGIVLSTNARFYAIVKPIHEDVKPESWAWSWTDVKLTSPQLSRESMYKLSQKHLTLQCVSSSESRNPFVENAIQYTVAAAHATFDKNKKEALDKVLLQGLDITILGCNDFYSYRNQIEALGLPLTPEALATLPPFTSITFNSEESNGGNCKPEVAKTGLGSSAAMTTAVVAALLHYLGVVNLSTSSADQHQENKNSTDLDIVHMIAQSAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPEVLSAAQAAVKGMPLEEVIGNILNGKWDHDRTEFSLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQKADPENSQETWRKLADANSELEIQLNMLRKLAKEHWDAYKCVIDNCSRLKPAKWMEGVTEPIKAEVVKVLLKAREVMLEIRNHMRTMGEAADRTRITD >KJB51571 pep chromosome:Graimondii2_0_v6:8:50967506:50971109:-1 gene:B456_008G223300 transcript:KJB51571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MTTAVVAALLHYLGVVNLSTSSADQHQENKNSTDLDIVHMIAQSAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPEVLSAAQAAVKGMPLEEVIGNILNGKWDHDRTEFSLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQKADPENSQETWRKLADANSELEIQLNMLRKLAKEHWDAYKCVIDNCSRLKPAKWMEGVTEPIKAEVVKVLLKAREVMLEIRNHMRTMGEAAGVPIEPESQTKLLDATMNMEGVLLAGVPGAGGFDAVFAVTFGDSSRNVTNAWSSHNVLALLVREDPQGVCLESGDPRCREITSAVSSVNIK >KJB51575 pep chromosome:Graimondii2_0_v6:8:50970403:50973229:-1 gene:B456_008G223300 transcript:KJB51575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MEVVASAPGKVLMTGGYLILERPNAGIVLSTNARFYAIVKPIHEDVKPESWAWSWTDVKLTSPQLSRESMYKLSQKHLTLQCVSSSESRNPFVENAIQYTVAAAHATFDKNKKEALDKVLLQGLDITILGCNDFYSYRNQIEALGLPLTPEALATLPPFTSITFNSEESNGGNCKPEVAKTGLGSSAAMTTAVVAALLHYLGVVNLSTSSADQHQENKNSTDLDIVHMIAQSAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPEVLSAAQVSPSLPPSLVV >KJB48756 pep chromosome:Graimondii2_0_v6:8:18298933:18300848:-1 gene:B456_008G085000 transcript:KJB48756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRSKFLSFPMVIGAVIIGVISGKAIFGPPLDDYWRKKLQEEAAAKENENNST >KJB51963 pep chromosome:Graimondii2_0_v6:8:52693922:52701560:-1 gene:B456_008G240800 transcript:KJB51963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGNNRNGTHRNSLKGTTTTSTASTDKPLSVNSNPSKPAFKNKSSPLTGASSGLRKSSPGSLGGGAAKDDAGVPGRVRVAVRLRPRNAEESVADADFADCVELQPELKRLKLRKNNWDTDTYEFDEVLTEFASQKRVYEVVAKPVVEGVLDGYNGTIMAYGQTGTGKTYTLGRLGEEDTANRGIMVRAMEDILAEVSPEIDYVLVSYLQLYMESLQDLLDPTNDNISIVEEPKSGDVSLPGATLVEIRDQQSFLELLRLGEAHRHAANTKLNTESSRSHALLMVHVKRTVKGREAAHSSDNGNSTSMARSLRPPLVRKGKLVVVDLAGSERIDKSGSDGHTREEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLVITVGPSPRHRGETASTIMFGQRAMKVENMLKLKEEFDYKSLARRLDIQLDKLIMEHERKQKAFEVEIERITAEAQNRISEAERNYADAVEKERLKYQKDYMESIKKLEEKWMMNQQNQGDERKEQMVHIAEEVAEVKKLLSKETSLRKAAEEEVDNLKSQLAQLKMSEASANSEILKLRKMVEDEVCQKEKLEGEISMLQSQLLHLSFEADETRKRLDRGGPGKVPGGLDSPVSQVRSQLKDSGNGEKASVAKLFEQVGLQKILSLLEAEDADVRIHAVKVVANLAAEETNQQKIVEAGGLKSLLMLLGSSEDETIHRVAAGAIANLAMNEKNQELIMSQGGISLLSTTASHAKDPQTLRMVAGAIANLCGNDKLQTKLRGEGGIKALLEIVKCGHPDVLAQVARGIANFAKCESRASTQGSKTGRSLLIEDGALPWIVQNANSDASPIRRHTELALCHLAQHEVNAKDMISEGALWELVRISQDCPREDIRTLAHRTLASSPDFIAGLKRLRIDY >KJB51965 pep chromosome:Graimondii2_0_v6:8:52693518:52699376:-1 gene:B456_008G240800 transcript:KJB51965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGQTGTGKTYTLGRLGEEDTANRGIMVRAMEDILAEVSPEIDYVLVSYLQLYMESLQDLLDPTNDNISIVEEPKSGDVSLPGATLVEIRDQQSFLELLRLGEAHRHAANTKLNTESSRSHALLMVHVKRTVKGREAAHSSDNGNSTSMARSLRPPLVRKGKLVVVDLAGSERIDKSGSDGHTREEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLVITVGPSPRHRGETASTIMFGQRAMKVENMLKLKEEFDYKSLARRLDIQLDKLIMEHERKQKAFEVEIERITAEAQNRISEAERNYADAVEKERLKYQKDYMESIKKLEEKWMMNQQNQGDERKEQMVHIAEEVAEVKKLLSKETSLRKAAEEEVDNLKSQLAQLKMSEASANSEILKLRKMVEDEVCQKEKLEGEISMLQSQLLHLSFEADETRKRLDRGGPGKVPGGLDSPVSQVRSQLKDSGNGEKASVAKLFEQVGLQKILSLLEAEDADVRIHAVKVVANLAAEETNQQKIVEAGGLKSLLMLLGSSEDETIHRVAAGAIANLAMNEKNQELIMSQGGISLLSTTASHAKDPQTLRMVAGAIANLCGNDKLQTKLRGEGGIKALLEIVKCGHPDVLAQVARGIANFAKCESRASTQGSKTGRSLLIEDGALPWIVQNANSDASPIRRHTELALCHLAQHEVNAKDMISEGALWELVRISQDCPREDIRTLAHRTLASSPDFIAGLKRLRIDY >KJB51964 pep chromosome:Graimondii2_0_v6:8:52693458:52701847:-1 gene:B456_008G240800 transcript:KJB51964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGNNRNGTHRNSLKGTTTTSTASTDKPLSVNSNPSKPAFKNKSSPLTGASSGLRKSSPGSLGGGAAKDDAGVPGRVRVAVRLRPRNAEESVADADFADCVELQPELKRLKLRKNNWDTDTYEFDEVLTEFASQKRVYEVVAKPVVEGVLDGYNGTIMAYGQTGTGKTYTLGRLGEEDTANRGIMVRAMEDILAEVSPEIDYVLVSYLQLYMESLQDLLDPTNDNISIVEEPKSGDVSLPGATLVEIRDQQSFLELLRLGEAHRHAANTKLNTESSRSHALLMVHVKRTVKGREAAHSSDNGNSTSMARSLRPPLVRKGKLVVVDLAGSERIDKSGSDGHTREEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLVITVGPSPRHRGETASTIMFGQRAMKVENMLKLKEEFDYKSLARRLDIQLDKLIMEHERKQKAFEVEIERITAEAQNRISEAERNYADAVEKERLKYQKDYMESIKKLEEKWMMNQQNQGDERKMVHIAEEVAEVKKLLSKETSLRKAAEEEVDNLKSQLAQLKMSEASANSEILKLRKMVEDEVCQKEKLEGEISMLQSQLLHLSFEADETRKRLDRGGPGKVPGGLDSPVSQVRSQLKDSGNGEKASVAKLFEQVGLQKILSLLEAEDADVRIHAVKVVANLAAEETNQQKIVEAGGLKSLLMLLGSSEDETIHRVAAGAIANLAMNEKNQELIMSQGGISLLSTTASHAKDPQTLRMVAGAIANLCGNDKLQTKLRGEGGIKALLEIVKCGHPDVLAQVARGIANFAKCESRASTQGSKTGRSLLIEDGALPWIVQNANSDASPIRRHTELALCHLAQHEVNAKDMISEGALWELVRISQDCPREDIRTLAHRTLASSPDFIAGLKRLRIDY >KJB51966 pep chromosome:Graimondii2_0_v6:8:52693518:52701704:-1 gene:B456_008G240800 transcript:KJB51966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGNNRNGTHRNSLKGTTTTSTASTDKPLSVNSNPSKPAFKNKSSPLTGASSGLRKSSPGSLGGGAAKDDAGVPGRVRVAVRLRPRNAEESVADADFADCVELQPELKRLKLRKNNWDTDTYEFDEVLTEFASQKRVYEVVAKPVVEGVLDGYNGTIMAYGQTGTGKTYTLGRLGEEDTANRGIMVRAMEDILAEVSPEIDYVLVSYLQLYMESLQDLLDPTNDNISIVEEPKSGDVSLPGATLVEIRDQQSFLELLRLGEAHRHAANTKLNTESSRSHALLMVHVKRTVKGREAAHSSDNGNSTSMARSLRPPLVRKGKLVVVDLAGSERIDKSGSDGHTREEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLVITVGPSPRHRGETASTIMFGQRAMKVENMLKLKEEFDYKSLARRLDIQLDKLIMEHERKQKAFEVEIERITAEAQNRISEAERNYADAVEKERLKYQKDYMESIKKLEEKWMMNQQNQGDERKEQMVHIAEEVAEVKKLLSKETSLRKAAEEEVDNLKSQLAQLKMSEASANSEILKLRKMVEDEVCQKEKLEGEISMLQSQLLHLSFEADETRKRLDRGGPGKVPGGLDSPVSQVRSQLKDSGNGEKASVAKLFEQVGLQKILSLLEAEDADVRIHAVKVVANLAAEETNQQKIVEAGGLKSLLMLLGSSEDETIHRVAAGAIANLAMNEKNQELIMSQGGISLLSTTASHAKDPQTLRMVAGAIANLCGNDKLQTKLRGEGGIKALLEIVKCGHPDVLAQVARGIANFAKCESRASTQGTFSQRSKTGRSLLIEDGALPWIVQNANSDASPIRRHTELALCHLAQHEVNAKDMISEGALWELVRISQDCPREDIRTLAHRTLASSPDFIAGLKRLRIDY >KJB47494 pep chromosome:Graimondii2_0_v6:8:3400812:3401790:-1 gene:B456_008G028800 transcript:KJB47494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFFGSAMRAGSRTLASRSKTLTVKSLIPNPSTRSLPRASRIVSALGGLESMMPLHSAIASARLQSSIAVDSSCWSCLSQGLATPL >KJB47804 pep chromosome:Graimondii2_0_v6:8:5643336:5645541:-1 gene:B456_008G042200 transcript:KJB47804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAMTFPPFLVVLLLALSTGPLCDAIWLNIPKTGTKCVSEEIHSNVVVLSDYVVVSVEDGQTATIAVKVTSPYGNNLHHRENVTYGRFAFTTEEAGNYLACFWSDNRTRGSGEVVVNIDWKTGIAAKDWESVARKEKLQGVELELRKLEGAVEAIHENLLYLKSRSRNEEYE >KJB47805 pep chromosome:Graimondii2_0_v6:8:5643491:5645430:-1 gene:B456_008G042200 transcript:KJB47805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAMTFPPFLVVLLLALSTGPLCDAIWLNIPKTGTKCVSEEIHSNVVVLSDYVVVSVEDGQTATIAVKVTSPYGNNLHHRENVTYGRFAFTTEEAGNYLACFWSDNRTRGSGEVVVNIDWKTGIAAKDWESVARKEKLQGVELELRKLEGAVEAIHENLLYLKSREAEMRSTSETTNARVAWFSIMSLGICIVVSGLQVWYLKRFFQKKKLI >KJB51765 pep chromosome:Graimondii2_0_v6:8:51742590:51743956:-1 gene:B456_008G231100 transcript:KJB51765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFSPPPLPTSFSVRPHLTHNSTLSLPFKRPFLLLSTIPKSLPDNEVGFSASVAAVEDPASNQIENAVEASAGSNGAAMTTSAADAEVLSKFEDPRWIGGTWDLQQFAKDGTPDWDAVIDAEVRRRKWLQDNPETTSNEDPVVFDTSIIPWWAWMKRFHLPEAELLNGRAAMIGFFMAYLVDSLTGVGLVDQMGNFFSKTLLFVAVVGVLLIRKNEDLDNIKKLIEETTFSDKQWQATWQDDNNGSNSN >KJB49628 pep chromosome:Graimondii2_0_v6:8:37378739:37379522:1 gene:B456_008G130000 transcript:KJB49628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSHISSLVFFYLLLVSVSSNLIQESCNKAAKLDPQTIKLDFCVSNFEGNPKAKSATTVSDLVEVSIEAAITNATSIGSIISKLLENKSLESFERDGLKNCSWLYSLAGTCLQGAGEAFKAKNYATAGVDIVASIEAPMNCEDQFKKKKGFVPPLTKENNIFFLLTDISRVFMTIAQKG >KJB50151 pep chromosome:Graimondii2_0_v6:8:41711481:41715493:-1 gene:B456_008G156300 transcript:KJB50151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLLTTRAPRPSNISAVHQKINSFVCSSLCGFSAQAANQTQQNPSSINRPSSHFDSHMYGALLQRCLQNDDPISAMGLHCKILKRGNCLDLFATNILLNVYVKAELLSEAEILFDEMPERNTVSFVTLVQGYAQCLQFSEAMGLFTRLHREGHELNPFVFTSILKVLVSMECAELGWNLHACIYKLGHESNAFIGTALIDAYSVCGCVDFAREVFDGIRCKDMVTWTGMVACYAENHFFQEALEAFSQMRLVGFKPNNFTFAGVFKACIGLEALDIAKGVHGCVLKTRYEYDLYVGVALLELYTKCGDIGNVQQIFEEIPKTDVIPWSFMISRFAQSDQSEKAVNLFCRMRQSFVVPNQYTFASALQACATLGDLDLGKQMHSLVLKVRLDADVFVSNALMDVYAKCGRIEDSLELFEKSLNRNDVSWNTMIVGYVNCGDAEKALSLFLEMLENRAQATEVTYSGVLCASAGLTALELGTQIHSLTFKTNYAENTVVHNALIDMYSKCGRIRDARLVFGMMNERDEVSWNTMISGYSMHGLGMEALKIFQMMQDRGHKPNTLTFVGVLSACSNSGLLDEGQAYFSSMLQEYGIKPCIEHYSCMVRLLGRSGHLHKALKLIEEIPFKPSVMVWRALLGACVIHKNVELGRMSAQRILEMEPEDDATHVLLSNMYATARRWDNVTAIRQSMKKKGMKKEPGLSWIENQGRVHYFSAGDTSHPDMKVINGILEWLNVRTRRAGFVPDCNAVLLDVDTKEKERLLWVHSERLALAFALFKTSSGSQIRIIKNLRICVDCHAAMKLISKIVQRDIIIRDMNRFHDFHDGICSCGDYW >KJB51410 pep chromosome:Graimondii2_0_v6:8:50240062:50244899:1 gene:B456_008G215400 transcript:KJB51410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTSLFHITMKKPPFLPSLLPFFLYLLTSSLLVFSASASPPPLPLVSLLSLKSSLKDPLSSFGDWDPTPTFSKPSFEDPVWCAWSGVKCNPKTAQVTSLDLSRRNLSGVIPPEIRYLTGLVNLNLSGNYFDGPLQPAIFKLSELRTLDISHNSFNSTFPPGVSKLRFLKVFNAYSNNFRGPLPQEFVRLRFLEQLNLGGSYFEGEIPAGYGSFTRLKLLDLAGNALQGTLPRQLGFLTQLERIEIGYNAFSGTIPVEFALLPNLKYLDISNCTLSGSLPKELSNLTKLEVLYFFKNSFTGEIPESYTKLKALKVLDLSDNQLSGTIPEGLSSLTELTWLSLINNNLSGTIPEGIGELTNLSTLLLWNNNLSGILPQKLGSNGKLLSLDVSSNSLTGPIPPNLCYGNRLFKLILFNNMFTHELPASLVNCTSLSRFRIQNNLLNGTIPYGFGLLTNLTFVDMSKNNFTGEIPHDLGYAPTLQFLNISENSFNVALPSNIWGAPSLQIFSASSAKLTGKIPDFIGCKNVYKIELQVDLSRNMLTGTIPSNFENCSTLENFNVSYNLLTGPIPSSGPIFPNLHPSSFSGNDGLCGRILAKPCPAEALASGDMEVRNKQQQPKKTAGAIVWIMAAAFGIGLFVLVAGTRCFHANYSRRFSDDREIGPWRLTAFQRLNFTADDVLECLSMTDKIIGMGSTGTVYKAEMPGGEIIAVKKLWGKHKDNIRRRKGVLAEVDVLGNVRHRNIVRLLGCCSNRECTMLLYEYMPNGNLDDLLHGKNKGENLVADWVTRYKIALGVAQGICYLHHDCDPVIVHRDLKPSNILLDGEMEARVADFGVAKLIQSDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSFGVVLMEILSGKKSVDSEFGDGNSIVDWVRSKIKNKNGVIDILDKNAGASCASVREEMMQMLRIALLCTSRNPADRPSMRDVVLMLQEAKPKRKMLESVVNGGNVVRVGADGTDDSLAQKATVEC >KJB51409 pep chromosome:Graimondii2_0_v6:8:50240062:50244884:1 gene:B456_008G215400 transcript:KJB51409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTSLFHITMKKPPFLPSLLPFFLYLLTSSLLVFSASASPPPLPLVSLLSLKSSLKDPLSSFGDWDPTPTFSKPSFEDPVWCAWSGVKCNPKTAQVTSLDLSRRNLSGVIPPEIRYLTGLVNLNLSGNYFDGPLQPAIFKLSELRTLDISHNSFNSTFPPGVSKLRFLKVFNAYSNNFRGPLPQEFVRLRFLEQLNLGGSYFEGEIPAGYGSFTRLKLLDLAGNALQGTLPRQLGFLTQLERIEIGYNAFSGTIPVEFALLPNLKYLDISNCTLSGSLPKELSNLTKLEVLYFFKNSFTGEIPESYTKLKALKVLDLSDNQLSGTIPEGLSSLTELTWLSLINNNLSGTIPEGIGELTNLSTLLLWNNNLSGILPQKLGSNGKLLSLDVSSNSLTGPIPPNLCYGNRLFKLILFNNMFTHELPASLVNCTSLSRFRIQNNLLNGTIPYGFGLLTNLTFVDMSKNNFTGEIPHDLGYAPTLQFLNISENSFNVALPSNIWGAPSLQIFSASSAKLTGKIPDFIGCKNVYKIELQGNSLNGSIPWDIDHCEKLLSLNLSRNLFTGIIPWEISTLPSITAVDLSRNMLTGTIPSNFENCSTLENFNVSYNLLTGPIPSSGPIFPNLHPSSFSGNDGLCGRILAKPCPAEALASGDMEVRNKQQQPKKTAGAIVWIMAAAFGIGLFVLVAGTRCFHANYSRRFSDDREIGPWRLTAFQRLNFTADDVLECLSMTDKIIGMGSTGTVYKAEMPGGEIIAVKKLWGKHKDNIRRRKGVLAEVDVLGNVRHRNIVRLLGCCSNRECTMLLYEYMPNGNLDDLLHGKNKGENLVADWVTRYKIALGVAQGICYLHHDCDPVIVHRDLKPSNILLDGEMEARVADFGVAKLIQSDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSFGVVLMEILSGKKSVDSEFGDGNSIVDWVRSKIKNKNGVIDILDKNAGASCASVREEMMQMLRIALLCTSRNPADRPSMRDVVLMLQEAKPKRKMLESVVNGGNVVRVGADGTDDSLAQKATVEC >KJB52904 pep chromosome:Graimondii2_0_v6:8:55929015:55934372:1 gene:B456_008G283100 transcript:KJB52904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASDDHYIIKKTDNLIEACYYIKKNGHDPLWRDHSSLNTSLPFLLTQLFVVLFVDRILLLILKYLRQPPIVAHILCGVLLGPTMLSRTSFSRKYIFPHKTILVLETFANLALTYYMFLVGLEMEFTLIMHAGSQVVSIAAAGIIFPLLFGFGLYFIAVTKSDHFDSRGSMFWAIALTATNFPDLTKILADLKLLRTNIGKIAMCSAIISDIFTWFLLIMAISIFNGQKYNAVLSTGIFILISIFALRPTVAWLIDRTSRGEIISDTHVWFIMAGILLCGLATDACGSHSIVGAFMFGAILPHDDSVRTKILERLQDVVTGLLMPLFFLICGLRSDLRYMFENTPWEMVLIVIVMSWVAKVASVFFISLHYKMSTMDGLVLGLLMNTKGVLALIVLNTGRNIKALNNQSFPLMVLTLLVMSCLIEPIIALANKSTKKITRHMNRSIKGSEPDTEIRILACVHSMRNVMGTISVLHLSNGTNDSSLSVFAIHLIELSKRSTTTMLIVHDDKNHDEGRYNNQSKNETANIIRAFENLEKTNNAVAVQTMSVISPYATMHVDICSLAEDKSVNLIILPFHKEVKGDGRMGNGNSNFANVNMNVLTNAPCSVAMIVDRGMGSKMFDSQEKFPGNFARQIAMLFIGGPDDREALAYAWRMASHPDVCLTVARFVAGEQAMDLSHDVSVNRERRIDDEHIEDFKDKLMRNIGINYTEMVVHNGEEIIAAIRSLGEDFFDLYIIGRRQGEISPVTEGLSDWGSCPELGSIGDTLVSSNFALHSSVLVVQHYVSTDAIHEMADGSSTCSLGVGTKHDVQRYDF >KJB49773 pep chromosome:Graimondii2_0_v6:8:38676233:38679205:1 gene:B456_008G137100 transcript:KJB49773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB49771 pep chromosome:Graimondii2_0_v6:8:38677229:38679174:1 gene:B456_008G137100 transcript:KJB49771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB49772 pep chromosome:Graimondii2_0_v6:8:38676432:38679174:1 gene:B456_008G137100 transcript:KJB49772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB53069 pep chromosome:Graimondii2_0_v6:8:56591215:56593294:1 gene:B456_008G291600 transcript:KJB53069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANKNNNVGVNHHHHHKEEEDDDELLKTRISSHPLYERLVENHLNCLKVGGIGDRGRNNSKSNQRKAEHSISTKINPCCSSMQLNQSELDLFMEGYCSALSKLKEAMEEPQQQTIAFINGMHSQLRDLARPTHLSPDVFLQETARNNSKYVD >KJB52887 pep chromosome:Graimondii2_0_v6:8:55838609:55841391:-1 gene:B456_008G281900 transcript:KJB52887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNLTTGGGEDLLGDMNRKSANMDSLVVCFGEFLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVCVSRLGGSSAFIGKVGDDEFGHMLANILKENYVDNTGMRFDQSARTALAFVTLRADGEREFLFFRHPSADMRLHESELDINLINKAKIFHYGSISLIEEPCRSAQLAAMTIAKKSGSILSYDPNLRLPLWPSAEAAREGIMSIWEQADLIKVSEDEITFLTEGGDPYDDNVVMNKLFHPNLKLLIVTEGSAGCRYYTRAFKGRVPGIKVKAVDTTGAGDAFVGGLLSSLASDLNLFQDEKGLREALAFANACGALTVTGRGAIPALPTKQAVVDALTKYSAS >KJB50982 pep chromosome:Graimondii2_0_v6:8:48109104:48113206:1 gene:B456_008G196400 transcript:KJB50982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCFGWFLLVASIPAIFAQDISHGSIVVDGTMTVAQTDDNFVCATIDWWPHDKCNYDQCPWHYTSVMNLNLSHPFLAKAIQAFNRLRIRVGGSLQDQVLYDVGNLKSPCHPFQKMKDGLFGFSKGCLHMERWDELNRFFKETSAMVTFGLNALHGRHKIKRSLWGGDWDSSNAQDFMKYTISKGYQIDSWEFGNELSGNGIGAHVHADQYGKDLVNLRKIINELYKGSQSKPSLIAPGGFYDEEWFVKLLQTSGFGVIDVMSHHMYNLGAGVDPKLVSKILNPDHLNKAAYTFGNLTHIIRRHGPWTSAWVGESGGAYNSGGAHVSNTFINSFWYLDQLGMASKYHTKVYCRQTLIGGNYGLLNATTFVPNPDYYSGLLWHRLMGKVVLNVESHASPFLRSYAHCSKGRAGVTLLVINLSNQTNFIMNAQNSINLKLAAIEQNISRDSFTHNLKKTFSWVGTKASDDALLREEYHLTPKDGYLRSQTMVLNGIPLELTRTGDIPSLNPVRVNVKSPITISPLSIAFIVFPNFEAPACR >KJB50981 pep chromosome:Graimondii2_0_v6:8:48109104:48112054:1 gene:B456_008G196400 transcript:KJB50981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCFGWFLLVASIPAIFAQDISHGSIVVDGTMTVAQTDDNFVCATIDWWPHDKCNYDQCPWHYTSVMNLNLSHPFLAKAIQAFNRLRIRVGGSLQDQVLYDVGNLKSPCHPFQKMKDGLFGFSKGCLHMERWDELNRFFKETSAMVTFGLNALHGRHKIKRSLWGGDWDSSNAQDFMKYTISKGYQIDSWEFGNELSGNGIGAHVHADQYGKDLVNLRKIINELYKGSQSKPSLIAPGGFYDEEWFVKLLQTSGFGVIDVMSHHMYNLGAGVDPKLVSKILNPDHLNKAAYTFGNLTHIIRRHGPWTSAWVGESGGAYNSGGAHVSNTFINSFWYLDQLGMASKYHTKVYCRQTLIGGNYGLLNATTFVPNPDYYRQELEI >KJB51093 pep chromosome:Graimondii2_0_v6:8:48592841:48599009:1 gene:B456_008G2008001 transcript:KJB51093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YGDVFQASIQDEGAHPDLAPDDGFCWRKYGQKDILGAKYPRRYYRCTYKHNQGCLATKTVKRSSDDPTIFEITYRGKHTCNLASNVMPPTAPSEYQEQGTRIEPQQQHNQLTEENQKQQSQDLLVLPSTPGQCVEQSFNQKSNSGNDHLTITHEDNNSTIVCQESSPSPSDSSSMGSQLSAAALSTEQLQAQRFDEPAKQNQLYKKHYPPMLGDEVWRLDRIDKNGIIHKRLASEGINTVQDFLKMWVVNPGELRRILGPIMSERKLDHAINHARTCVMGNKYYVFRGSNYRILLNPICQLMGAEVNGSIYPTHSLSNIDTVYLEKLVRQAYVNWSSLEEIEGISNEIIGPLTQDIMAQRTGANVINTIPSNLRAMPPSGPWLPELPDHPVLMDNSNVLSSPTTGECAVQYLNQKNNSGNDQQTISQEDNNSTIVCQVPPPSQSNSSAMTSELSATALPTGSDLIQSRAPADAHSWHCYGTKGKKRKHRVKRSIKVPSIGNKLVDIPPDDYSWRKYGQKPIKGSPYSRGYYKCSSMRGCPARKHSERCLEEPSMLIVTYEGEHNHPKLPSRATTSS >KJB51092 pep chromosome:Graimondii2_0_v6:8:48592841:48599304:1 gene:B456_008G2008001 transcript:KJB51092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YGDVFQASIQDEGAHPDLAPDDGFCWRKYGQKDILGAKYPRRYYRCTYKHNQGCLATKTVKRSSDDPTIFEITYRGKHTCNLASNVMPPTAPSEYQEQGTRIEPQQQHNQLTEENQKQQSQDLLVLPSTPGQCVEQSFNQKSNSGNDHLTITHEDNNSTIVCQESSPSPSDSSSMGSQLSAAALSTEQLQAQRFDEPAKQNQLYKKHYPPMLGDEVWRLDRIDKNGIIHKRLASEGINTVQDFLKMWVVNPGELRRILGPIMSERKLDHAINHARTCVMGNKYYVFRGSNYRILLNPICQLMGAEVNGSIYPTHSLSNIDTVYLEKLVRQAYVNWSSLEEIEGISNEIIGPLTQDIMAQRTGANVINTIPSNLRAMPPSGPWLPELPDHPVLMDNSNVLSSPTTGECAVQYLNQKNNSGNDQQTISQEDNNSTIVCQVPPPSQSNSSAMTSELSATALPTGSDLIQSRAPADAHSWHCYGTKGKKRKHRVKRSIKVPSIGNKLVDIPPDDYSWRKYGQKPIKGSPYSRGYYKCSSMRGCPARKHSERCLEEPSMLIVTYEGEHNHPKLPSRATTSS >KJB52363 pep chromosome:Graimondii2_0_v6:8:53934444:53942369:-1 gene:B456_008G258000 transcript:KJB52363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSRSYSANPSDYKLLEEVGYGASATVYRAIFLPTNDIIAVKCLDLDRCNSNLDDIRREAQTMSLIDHPNVIWAYCSFVVDHNLWVVMPFMSEGSCLHLMKSAYPDGFEEPAIGSVLKETLKALDYLHRQGHIHRDVKAGNILLDNNGTVKLADFGVSACMFDAGDRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKHAKPPELSVKKLFAGLPPLWNRVKSLQLKDAAQLALKKMPSAEQEAISQSEYQRGVSAWNFDIEDLKAQASLVRDDDDIHECKDDDESMKSSFGHKAEAYCGSGLGTLNLSREVSQSEFGEPMTIDLLQSDCLNGKGKNPECDIVEAGLHEKGLRKNGSGIDIMASTSEKDVVMTGAKSVKPRQTQSGPLTPGAILNHSSSERVHNSERFENEISQVNERVCLVRKAPSFSGPLMLPTRASANSLSAPIKSSGGFRDSLDDKSKANLVQIKGRFSVTSENLDLVKDIPLSSVSRRSSQTSPLRKSASVGDWILEYKQAPNNHSPKDLTNGNMPTSIVMSHLQNLFQQTSAQQDLIVNLLNTLQPAEFVDAAQNGKLPPLPRCSESNGNVKTAASERERLLLGKISELQSRMMSLTDELIAEKLKYDQLQQQLRLMSGGEEWD >KJB47567 pep chromosome:Graimondii2_0_v6:8:3766557:3772033:-1 gene:B456_008G031400 transcript:KJB47567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHAKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHMSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLKKKTASPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNETKAWEVDTLRGHMNNVSCVMFHAKQDIVVSNSEDKSIRVWDVTKRTGLQTFRREHDRFWILAVHPEMNVLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRYFDFSSQRETQVIPIRRPGSSSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVVPKDSSARSDSLHEAKKGLGSSAIFVARNRFAVLDKGNNQVLIKNLKNEVVKKSGLPVPTDAIFYAGTGNLLCRSEDRVVIFDLQQRLILGDLQTPFVKYVVWSNDMESVALLSKHTIVITNKKLVHQCTLHETIRVKSGAWDENGVFIYTTLNHVKYCLPNGDSGIIRTLEVPIYITKVSGNTIFCLDRDGKNKTIVIDVTEYVFKLSLLRKRYDHVMSMIRNSQLCGEAMIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLVNGNIEKLSKMLKIAEVKNDVMGQFHNALYLGDIQERVKILENAGHLPLAYITASVHGLQDVAERLAAELGDDVPPLPVGKEPSLLIPPTPVTCGGDWPLLRVMKGIFDGGLDSIGRGAADEEEGEEGDWGEDLDVVDVDGIQNGDVSVNFEDGEVAEGSEEEGGWDLEDLELPPEADTPKVSGNARSIFVAPTPGMPVSQIWVQKSSLAAEHAAAGNFDTAMRLLSRQLGIRNFSPLKSLFLDLHTGSHSYLRAFSSAPVVSLAVERGWSESASPNVRCPPALVFNFSQLDEKLKAGYKATTAGKFTEALRLFLSILHTIPLIVVESRREVDEVKELIIIAKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQLPHLRLALLNAMTVCYKAKNLATAGNFARRLLETNPTNENQAKTARQVLQAAERNMTDASQLNYDFRNPFVTCGATYVPIYRGQKDVSCPYCTSRFVPIQEGQLCTVCDLALVGADASGLLCSASQIR >KJB47566 pep chromosome:Graimondii2_0_v6:8:3766557:3772033:-1 gene:B456_008G031400 transcript:KJB47566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHAKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHMSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLKKKTASPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNETKAWEVDTLRGHMNNVSCVMFHAKQDIVVSNSEDKSIRVWDVTKRTGLQTFRREHDRFWILAVHPEMNVLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRYFDFSSQRETQVIPIRRPGSSSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVVPKDSSARSDSLHEAKKGLGSSAIFVARNRFAVLDKGNNQVLIKNLKNEVVKKSGLPVPTDAIFYAGTGNLLCRSEDRVVIFDLQQRLILGDLQTPFVKYVVWSNDMESVALLSKHTIVITNKKLVHQCTLHETIRVKSGAWDENGVFIYTTLNHVKYCLPNGDSGIIRTLEVPIYITKVSGNTIFCLDRDGKNKTIVIDVTEYVFKLSLLRKRYDHVMSMIRNSQLCGEAMIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLVNGNIEKLSKMLKIAEVKNDVMGQFHNALYLGDIQERVKILENAGHLPLAYITASVHGLQDVAERLAAELGDDVPPLPVGKEPSLLIPPTPVTCGGDWPLLRVMKGIFDGGLDSIGRGAADEEEGEEGDWGEDLDVVDVDGIQNGDVSVNFEDGEVAEGSEEEGGWDLEDLELPPEADTPKVSGNARSIFVAPTPGMPVSQIWVQKSSLAAEHAAAGNFDTAMRLLSRQLGIRNFSPLKSLFLDLHTGSHSYLRAFSSAPVVSLAVERGWSESASPNVRCPPALVFNFSQLDEKLKAGYKATTAGKFTEALRLFLSILHTIPLIVVESRREVDEVKELIIIAKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQLPHLRLALLNAMTVCYKAKNLATAGNFARRLLETNPTNENQAKTARQVLQAAERNMTDASQLNYDFRNPFVTCGATYVPIYRGQKDVSCPYCTSRFVPIQEGQLCTVCDLALVGADASGLLCSASQIR >KJB47565 pep chromosome:Graimondii2_0_v6:8:3766557:3772128:-1 gene:B456_008G031400 transcript:KJB47565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHAKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHMSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLKKKTASPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNETKAWEVDTLRGHMNNVSCVMFHAKQDIVVSNSEDKSIRVWDVTKRTGLQTFRREHDRFWILAVHPEMNVLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRYFDFSSQRETQVIPIRRPGSSSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVVPKDSSARSDSLHEAKKGLGSSAIFVARNRFAVLDKGNNQVLIKNLKNEVVKKSGLPVPTDAIFYAGTGNLLCRSEDRVVIFDLQQRLILGDLQTPFVKYVVWSNDMESVALLSKHTIVITNKKLVHQCTLHETIRVKSGAWDENGVFIYTTLNHVKYCLPNGDSGIIRTLEVPIYITKVSGNTIFCLDRDGKNKTIVIDVTEYVFKLSLLRKRYDHVMSMIRNSQLCGEAMIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLVNGNIEKLSKMLKIAEVKNDVMGQFHNALYLGDIQERVKILENAGHLPLAYITASVHGLQDVAERLAAELGDDVPPLPVGKEPSLLIPPTPVTCGGDWPLLRVMKGIFDGGLDSIGRGAADEEEGEEGDWGEDLDVVDVDGIQNGDVSVNFEDGEVAEGSEEEGGWDLEDLELPPEADTPKVSGNARSIFVAPTPGMPVSQIWVQKSSLAAEHAAAGNFDTAMRLLSRQLGIRNFSPLKSLFLDLHTGSHSYLRAFSSAPVVSLAVERGWSESASPNVRCPPALVFNFSQLDEKLKAGYKATTAGKFTEALRLFLSILHTIPLIVVESRREVDEVKELIIIAKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQLPHLRLALLNAMTVCYKAKNLATAGNFARRLLETNPTNENQAKTARQVLQAAERNMTDASQLNYDFRNPFVTCGATYVPIYRGQKDVSCPYCTSRFVPIQEGQLCTVCDLALVGADASGLLCSASQIR >KJB47568 pep chromosome:Graimondii2_0_v6:8:3767038:3771748:-1 gene:B456_008G031400 transcript:KJB47568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHAKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHMSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLKKKTASPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNETKAWEVDTLRGHMNNVSCVMFHAKQDIVVSNSEDKSIRVWDVTKRTGLQTFRREHDRFWILAVHPEMNVLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRYFDFSSQRETQVIPIRRPGSSSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVVPKDSSARSDSLHEAKKGLGSSAIFVARNRFAVLDKGNNQVLIKNLKNEVVKKSGLPVPTDAIFYAGTGNLLCRSEDRVVIFDLQQRLILGDLQTPFVKYVVWSNDMESVALLSKHTIVITNKKLVHQCTLHETIRVKSGAWDENGVFIYTTLNHVKYCLPNGDSGIIRTLEVPIYITKVSGNTIFCLDRDGKNKTIVIDVTEYVFKLSLLRKRYDHVMSMIRNSQLCGEAMIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLVNGNIEKLSKMLKIAEVKNDVMGQFHNALYLGDIQERVKILENAGHLPLAYITASVHGLQDVAERLAAELGDDVPPLPVGKEPSLLIPPTPVTCGGDWPLLRVMKGIFDGGLDSIGRGAADEEEGEEGDWGEDLDVVDVDGIQNGDVSVNFEDGEVAEGSEEEGGWDLEDLELPPEADTPKVSGNARSIFVAPTPGMPVSQIWVQKSSLAAEHAAAGNFDTAMRLLSRQLGIRNFSPLKSLFLDLHTGSHSYLRAFSSAPVVSLAVERGWSESASPNVRCPPALVFNFSQLDEKLKAGYKATTAGKFTEALRLFLSILHTIPLIVVESRREVDEVKELIIIAKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQLPHLRLALLNAMTVCYKAKNLATAGNFARRLLETNPTNENQAKTARQVLQAAERNMTDASQLNYDFRNPFVTCGATYVPIYRGQKDVSCPYCTSRFVPIQEGQLCTVCDLALVGADASGLLCSASQIR >KJB48244 pep chromosome:Graimondii2_0_v6:8:9388365:9395529:1 gene:B456_008G059800 transcript:KJB48244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAIRGARGGGSGGVGAGFRSFFSYRIFVSAMFSLLFIATATVLLTTRPSTTHRHSRFRSGGNAYMHRTFVALNSDPLKTRLDLIYRQANDHITLVKAYAAYARKLKLDISRQLKMFDDLAKNFSDITSKPRYKSSLFETDGNLDEDVLRQFEKEVKDRVKFARLLIAESKENYDNQLKIQKLKDTIFAVRELLGKAKKNGAFASSIAAKSIPKSLHCLAMRLVEERISHPEKYKEDLPKSEFEDPDLYHYAIFSDNVIAVSVVVRSVVKNAEEPWKHVFHVVTDRMNLAAMKVWFKMRPVEGGAHVEVRALEDYKFMNSAYVPVLRQIESAKQRFYLEHKMENATKDGSNMKFRYLEHMSMLNHLRFYLPEMYPKLHKILFLDDDVVVQKDLTGLWKIDLSGKVNGAVETCFGSFHRFSQYLNFSHPLIRERFNPKACAWAYGMNIFDLDAWRRKKCTETYHNWQNLNVDRALWKSGTLPPGLITFYSLTKSLDKSWHVLGLGYNPSISMDVINNAAVIHYNGNMKPWLDIAMNQYKNLWTKYVDNDMEFLQTCNFGV >KJB48245 pep chromosome:Graimondii2_0_v6:8:9389025:9391237:1 gene:B456_008G059800 transcript:KJB48245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRTFVALNSDPLKTRLDLIYRQANDHITLVKAYAAYARKLKLDISRQLKMFDDLAKNFSDITSKPRYKSSLFETDGNLDEDVLRQFEKEVKDRVKFARLLIAESKENYDNQLKIQKLKDTIFAVRELLGKAKKNGAFASSIAAKSIPKSLHCLAMRLVEERISHPEKYKEDLPKSEFEDPDLYHYAIFSDNVIAVSVVVRSVVKNAEEPWKHVFHVVTDRMNLAAMKVWFKMRPVEGGAHVEVRALEDYKFMNSAYVPVLRQIESAKQRFYLEHKMENATKDGSNMKFRYLEHMSMLNHLRFYLPEMYPKLHKILFLDDDVVVQKDLTGLWKIDLSGKVNGAVETCFGSFHRFSQYLNFSHPLIRERFNPKACAWAYGMNIFDLDAWRRKKCTETYHNWQNLNVDRALWKSGTLPPGLITFYSLTKSLDKSWHVLGLGYNPSISMDVINNAAVIHYNGNMKPWLDIAMNQYKNLWTKYVDNDMEFLQTCNFGV >KJB48243 pep chromosome:Graimondii2_0_v6:8:9388299:9391237:1 gene:B456_008G059800 transcript:KJB48243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAIRGARGGGSGGVGAGFRSFFSYRIFVSAMFSLLFIATATVLLTTRPSTTHRHSRFRSGGNAYMHRTFVALNSDPLKTRLDLIYRQANDHITLVKAYAAYARKLKLDISRQLKMFDDLAKNFSDITSKPRYKSSLFETDGNLDEDVLRQFEKEVKDRVKFARLLIAESKENYDNQLKIQKLKDTIFAVRELLGKAKKNGAFASSIAAKSIPKSLHCLAMRLVEERISHPEKYKEDLPKSEFEDPDLYHYAIFSDNVIAVSVVVRSVVKNAEEPWKHVFHVVTDRMNLAAMKVWFKMRPVEGGAHVEVRALEDYKFMNSAYVPVLRQIESAKQRFYLEHKMENATKDGSNMKFRYLEHMSMLNHLRFYLPEMYPKLHKILFLDDDVVVQKDLTGLWKIDLSGKVNGAVETCFGSFHRFSQYLNFSHPLIRERFNPKACAWAYGMNIFDLDAWRRKKCTETYHNWQNLNVDRALWKSGTLPPGLITFYSLTKSLDKSWHVLGLGYNPSISMDVINNAAVIHYNGNMKPWLDIAMNQYKNLWTKYVDNDMEFLQTCNFGV >KJB49793 pep chromosome:Graimondii2_0_v6:8:38896886:38901504:1 gene:B456_008G138500 transcript:KJB49793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFVLSNPSGALGNICSFFVKAVVVVSCAISVASADFSNNLRRAPVQSPTRAREGAPAVSGLPLPSNLPLIHRSHRRHFSPHGAPTLIAPAQPPLYGPLITVRHPPTIPHLSKPSMKSASMPPGANLPNIAPTQISPGEIPSGLAQPPLSPEVSNCCKRNSILKRGSHGCHCVYPIKLDLLLLNVSQNANWRAFLTELSSQLHLLPTQIQIINFYSLSLSRLNISMDITPHTGISFSALDASKINSSLVMHRVRFDPNIVGDFEVLNFTWFEAPAPSPAPVVLPEPVAAPAHKSSNAKSPSPSNKGKHSDLILVFGISAGILVFAIVSVLIICSCTFREGKAKPKASPTEPVKPRTADVVAQAGSLPHPSSTRFLQYEELKEATNNFAPASILGEGGFGRVFKGVLSDGTAVAIKRLTSGGPQGDKEFLVEVEMLSRLHHRNLVKLVGYYSSRDASQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRTNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRTPVDMSQPSGQENLVTWARPILRDKDRLEELADPRLGGKYPKEDFVRVCTIAAACVAPEASQRPTMGEVVQSLKMVQRVTEYQDSMLTNSNNRPNQRQSSTTFESDETSSMFSSGPYSGLSVFDNDNISRTAVPSEDLHEGR >KJB49794 pep chromosome:Graimondii2_0_v6:8:38897086:38901457:1 gene:B456_008G138500 transcript:KJB49794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFVLSNPSGALGNICSFFVKAVVVVSCAISVASADFSNNLRRAPVQSPTRAREGAPAVSGLPLPSNLPLIHRSHRRHFSPHGAPTLIAPAQPPLYGPLITVRHPPTIPHLSKPSMKSASMPPGANLPNIAPTQISPGEIPSGLAQPPLSPEVSNCCKRNSILKRGSHGCHCVYPIKLDLLLLNVSQNANWRAFLTELSSQLHLLPTQIQIINFYSLSLSRLNISMDITPHTGISFSALDASKINSSLVMHRVRFDPNIVGDFEVLNFTWFEAPAPSPAPVVLPEPVAAPAHKSSNAKSPSPSNKGKHSDLILVFGISAGILVFAIVSVLIICSCTFREGKAKPKASPTEPVKPRTADVVAQAGSLPHPSSTRFLQYEDFVRVCTIAAACVAPEASQRPTMGEVVQSLKMVQRVTEYQDSMLTNSNNRPNQRQSSTTFESDETSSMFSSGPYSGLSVFDNDNISRTAVPSEDLHEGR >KJB49796 pep chromosome:Graimondii2_0_v6:8:38897086:38901457:1 gene:B456_008G138500 transcript:KJB49796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFVLSNPSGALGNICSFFVKAVVVVSCAISVASADFSNNLRRAPVQSPTRAREGAPAVSGLPLPSNLPLIHRSHRRHFSPHGAPTLIAPAQPPLYGPLITVRHPPTIPHLSKPSMKSASMPPGANLPNIAPTQISPGEIPSGLAQPPLSPEVSNCCKRNSILKRGSHGCHCVYPIKLDLLLLNVSQNANWRAFLTELSSQLHLLPTQIQIINFYSLSLSRLNISMDITPHTGISFSALDASKINSSLVMHRVRFDPNIVGDFEVLNFTWFEAPAPSPAPVVLPEPVAAPAHKSSNAKSPSPSNKGKHSDLILVFGISAGILVFAIVSVLIICSCTFREGKAKPKASPTEPVKPRTADVVAQAGSLPHPSSTRFLQYEELKEATNNFAPASILGEGGFGRVFKGVLSDGTAVAIKRLTSGGPQGDKEFLVEVEMLSRLHHRNLVKLVGYYSSRDASQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRTNYLSTRVMGTFGS >KJB49795 pep chromosome:Graimondii2_0_v6:8:38897086:38901457:1 gene:B456_008G138500 transcript:KJB49795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFVLSNPSGALGNICSFFVKAVVVVSCAISVASADFSNNLRRAPVQSPTRAREGAPAVSGLPLPSNLPLIHRSHRRHFSPHGAPTLIAPAQPPLYGPLITVRHPPTIPHLSKPSMKSASMPPGANLPNIAPTQISPGEIPSGLAQPPLSPEVSNCCKRNSILKRGSHGCHCVYPIKLDLLLLNVSQNANWRAFLTELSSQLHLLPTQIQIINFYSLSLSRLNISMDITPHTGISFSALDASKINSSLVMHRVRFDPNIVGDFEVLNFTWFEAPAPSPAPVVLPEPVAAPAHKSSNAKSPSPSNKGKHSDLILVFGISAGILVFAIVSVLIICSCTFREGKAKPKASPTEPVKPRTADVVAQAGSLPHPSSTRFLQYEELKEATNNFAPASILGEGGFGRVFKGVLSDGTAVAIKRLTSGGPQGDKEFLVEVEMLSRLHHRNLVKLVGYYSSRDASQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRTNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRTPVDMSQPSGQENLVTWVSNYLD >KJB48383 pep chromosome:Graimondii2_0_v6:8:10675453:10676151:1 gene:B456_008G0660001 transcript:KJB48383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVETILSNLRLLFDEYVKKSKSTSSSLAGSSNVSDKNPVDSGLDEHNDSSADFGGYFDESDDYKRYLNESSTRSEKSQLDIYLEEPELELNSQIDVLDYWSKSSVRYNELSLLARDLLAIPISTVASESAFSMGKKVITPLRSSLKPKTVQAVVCLDDWMRAKGFSVEIGCKKDDEDDDDDKNDDDDDVSSIAFSDNFFLNV >KJB50745 pep chromosome:Graimondii2_0_v6:8:46544876:46547265:1 gene:B456_008G185400 transcript:KJB50745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAVHCNSAPLSCKTWRNRGPTLLPARLCSLPQTPFSFRSDALKLSTCRWDKVASAVASEESAVGSSSSGTDVFNLTYLEGNSWLWDISGVRVLVDPILVGNLDFGIPWLYDAAKKFLKNFELTDLPQVDCLLITQSLDDHCHLKTLKPLSEMSPNLRVIATPNAKPLLDPLFRNESEVEAENGSKVRIQATAGPVLGPPWQRPENGYLVISPQGQLTLYYEPHCVYNKDFLEKEHADIVITPVIKQLLPNFTLVSGQEDAVQLAKLLHAKFIVPMKNGDLDSKGFLASIIQGEGTIESFKELLSKELPDAKTLEPTPGEPLHIPPP >KJB50743 pep chromosome:Graimondii2_0_v6:8:46544949:46546529:1 gene:B456_008G185400 transcript:KJB50743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAVHCNSAPLSCKTWRNRGPTLLPARLCSLPQTPFSFRSDALKLSTCRWDKVASAVASEESAVGSSSSGTDVFNLTYLEGNSWLWDISGVRVLVDPILVGNLDFGIPWLYDAAKKFLKNFELTDLPQVDCLLITQSLDDHCHLKTLKPLSEMSPNLRVIATPNAKPLLDPLFRNVTYLEPGQESEVEAENGSKVRIQATAGPVLGPPWQRPENGYLVISPQGQLTLYYEPHCVYNKDFLEKEHADIVITPVIKQLLPNFTLVSGQEDAVQLAKLLHAKYIT >KJB50741 pep chromosome:Graimondii2_0_v6:8:46544762:46547298:1 gene:B456_008G185400 transcript:KJB50741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAVHCNSAPLSCKTWRNRGPTLLPARLCSLPQTPFSFRSDALKLSTCRWDKVASAVASEESAVGSSSSGTDVFNLTYLEGNSWLWDISGVRVLVDPILVGNLDFGIPWLYDAAKKFLKNFELTDLPQVDCLLITQSLDDHCHLKTLKPLSEMSPNLRVIATPNAKPLLDPLFRNVTYLEPGQESEVEAENGSKVRIQATAGPVLGPPWQRPENGYLVISPQGQLTLYYEPHCVYNKDFLEKEHADIVITPVIKQLLPNFTLVSGQEDAVQLAKLLHAKFIVPMKNGDLDSKGFLASIIQGEGTIESFKELLSKELPDAKTLEPTPGEPLHIPPP >KJB50744 pep chromosome:Graimondii2_0_v6:8:46544949:46546719:1 gene:B456_008G185400 transcript:KJB50744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAVHCNSAPLSCKTWRNRGPTLLPARLCSLPQTPFSFRSDALKLSTCRWDKVASAVASEESAVGSSSSGTDVFNLTYLEGNSWLWDISGVRVLVDPILVGNLDFGIPWLYDAAKKFLKNFELTDLPQVDCLLITQSLDDHCHLKTLKPLSEMSPNLRVIATPNAKPLLDPLFRNVTYLEPGQESEVEAENGSKVRIQATAGPVLGPPWQRPENGYLVISPQGQLTLYYEPHCVYNKDFLEKEHADIVITPVIKQLLPNFTLVSGQEDAVQLAKLLHAKFIVPMKNGDLDSKGFLASIIQGEGTIESFKVNSFTLS >KJB50742 pep chromosome:Graimondii2_0_v6:8:46544876:46547265:1 gene:B456_008G185400 transcript:KJB50742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAVHCNSAPLSCKTWRNRGPTLLPARLCSLPQTPFSFRSDALKLSTCRWDKVASAVASEESAVGSSSSGTDVFNLTYLEGNSWLWDISGVRVLVDPILVGNLDFGIPWLYDAAKKFLKNFELTDLPQVDCLLITQSLDDHCHLKTLKPLSEMSPNLRVIATPNAKPLLDPLFRNVTYLEPGQESEVEAENGSKVRIQATAGPVLGPPWQRPENGYLVISPQGQLTLYYEPHCVYNKDFLEKEHADIVITPVIKQLLPNFTLVSGQEDAVQLAKLLHAKFIVPMKNGDLDSKGFLASIIQGEGTIESFKELLSKELPDAKTLEPTPGEPLHIPPP >KJB51602 pep chromosome:Graimondii2_0_v6:8:51080384:51081994:-1 gene:B456_008G224300 transcript:KJB51602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35500) UniProtKB/Swiss-Prot;Acc:O82290] MLQFSDGSSEVELRLQLGGQDVLSAKDIFVDADGTSLTVKVQQAGSIITLIDTTSLFEKIKPAETIWYIDDDQLVISLKKQDPNLKWPDIMESWESLSAGSMQLLKGTSIYVVGDSTEINQKVARELAVALGYTPLVTKELLETFAKQTVDSWVVAEGSDSVAEAESAILESLSR >KJB51603 pep chromosome:Graimondii2_0_v6:8:51078889:51082812:-1 gene:B456_008G224300 transcript:KJB51603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35500) UniProtKB/Swiss-Prot;Acc:O82290] MASAAASASSMLCLSLQNPTKTLHFSIKTHSFHFLKPQLPAFRRYSTGVTPISPLRGISCNCSSTNTTHYEFSDGSSEVELRLQLGGQDVLSAKDIFVDADGTSLTVKVQQAGSIITLIDTTSLFEKIKPAETIWYIDDDQLVISLKKQDPNLKWPDIMESWESLSAGSMQLLKGTSIYVVGDSTEINQKVARELAVALGYTPLVTKELLETFAKQTVDSWVVAEGSDSVAEAESAILESLSSHVRAVVATLGGSHGAAARTDKWRHLYSGFSIWLSQTEATDEDSAKEEARRHIEDGNVGYTNADVVVKLQGWDADHAKSVAQASLSALKRLILSDKKLPGKKSLYIRLGCRGDWPNIKPPGWDPSNAADAAPPATLPN >KJB51601 pep chromosome:Graimondii2_0_v6:8:51078889:51082370:-1 gene:B456_008G224300 transcript:KJB51601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35500) UniProtKB/Swiss-Prot;Acc:O82290] MLQFSDGSSEVELRLQLGGQDVLSAKDIFVDADGTSLTVKVQQAGSIITLIDTTSLFEKIKPAETIWYIDDDQLVISLKKQDPNLKWPDIMESWESLSAGSMQLLKGTSIYVVGDSTEINQKVARELAVALGYTPLVTKELLETFAKQTVDSWVVAEGSDSVAEAESAILESLSSHVRAVVATLGGSHGAAARTDKWRHLYSGFSIWLSQTEATDEDSAKEEARRHIEDGNVGYTNADVVVKLQGWDADHAKSVAQASLSALKRLILSDKKLPGKKSLYIRLGCRGDWPNIKPPGWDPSNAADAAPPATLPN >KJB51604 pep chromosome:Graimondii2_0_v6:8:51079256:51082726:-1 gene:B456_008G224300 transcript:KJB51604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35500) UniProtKB/Swiss-Prot;Acc:O82290] MASAAASASSMLCLSLQNPTKTLHFSIKTHSFHFLKPQLPAFRRYSTGVTPISPLRGISCNCSSTNTTHYEFSDGSSEVELRLQLGGQDVLSAKDIFVDADGTSLTVKVQQAGSIITLIDTTSLFEKIKPAETIWYIDDDQLVISLKKQDPNLKWPDIMESWESLSAGSMQLLKGTSIYVVGDSTEINQKVARELAVALGYTPLVTKELLETFAKQTVDSWVVAEGSDSVAEAESAILESLSSHVRAVVATLGGSHGAAARTDKWRHLYSGFSIWLSQTEATDEDSAKEEARRHIEDGNVGYTNADVVVKLQGWDADHAKSVAQASLSALKRLILSDKKLPGNLSIYLSIKIIGPP >KJB52041 pep chromosome:Graimondii2_0_v6:8:52893085:52894332:-1 gene:B456_008G243600 transcript:KJB52041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPSQTSDVPFSKSYSMRAERVEPSSSSEGGIGGQRAVHSDEEVLLLATNRPKKRAGRRVFKETRHPVFRGVRRRNNDKWVCELREPNKKTRIWLGTYPTPEMAARAHDVAALAFRGKAACLNFADSVWRLPVPASNDAADIRRAAAEAAEAFRPQVFQELSGSDVRQGSFKASDCEVSSSDVKLENKKKMAAEGEVSTEKIHFMDEEEMFYMPKLLDSMAEALLLSPPRNRDEADSDIDVSLWSYSI >KJB48730 pep chromosome:Graimondii2_0_v6:8:31509357:31510054:-1 gene:B456_008G103900 transcript:KJB48730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTGIVGLGEVDFKQRPRFCIPSPPISFILSLGSSSFLSFPLPISLSLNTSVERKSKIHPLPETYNGMLLGKRTRHPIKRTASMTGITVDVLSNVEDIVQQSFISHDPPGPPNHPFHDFPKPNFAYDQHPLAMVSPRNPGGVGSSGFTTNHVLDSSAPFLRSCCLCKRRLAPGRDIYMYSSILLPNHTGLNII >KJB48731 pep chromosome:Graimondii2_0_v6:8:31508791:31510074:-1 gene:B456_008G103900 transcript:KJB48731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTGIVGLGEVDFKQRPRFCIPSPPISFILSLGSSSFLSFPLPISLSLNTSVERKSKIHPLPETYNGMLLGKRTRHPIKRTASMTGITVDVLSNVEDIVQQSFISHDPPGPPNHPFHDFPKPNFAYDQHPLAMVSPRNPGGVGSSGFTTNHVLDSSAPFLRSCCLCKRRLAPGRDIYMYRGDTPFCSLECREQQMKQDERREKWDTVASNKEGRHA >KJB52838 pep chromosome:Graimondii2_0_v6:8:55692204:55697801:-1 gene:B456_008G280000 transcript:KJB52838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRVAGGLTQSSSSSGIFFQGDGLSQAVVNSRLSSPYENSSNSIPGTGRPNLGPVSGDMNSAVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASFNTDSYMRLPASPMSFSSNNISMSGSSVVDGSSVGQQGSHQDTSVQQMQQSQLLQQGASTATSLPASQTGQVSLPMGPRVPGSFMQDPSNLSQLQKKPRLDIKQEDLLQQQVLQQLLQRQDSMQLQGRSPQLQALFQQQRLRQQQQLLQSMPPLQRAHLQQQQQQQMQLRQQLQQQGMQQAAAMRRPFDGGVCARRLMQYLYHQRQRPPDNTFAYWRKFVAEYYSPRAKKRWCLSLYDNVGSHALGVFPQAAMDAWHCDICGSKSGRGFEATFEVLPRLNEIKFGSGVVDELLFLDMPREIRFPSGIMMLEYGKAVQESVYEQLRVVREGQLRIIFTQDLKILSWEFCARRHEELFPRRLVAPQVNQLLQVAQKCQSTISEGGSEGVSQQDLQTNSNMVLTAGRQLVKSLESQSLNDLGFSKRYVRCLQIAEVVSSMKDLIDFCREHKVGAIDGLKNYPRHASAAKLQMQKMQEMEQLANVQGLPTDRNTLNKLMALHPGINNPLGNNHHMVGRGTLSGSAQAALALTNYQNLLSRQNSMNSNPNSLHQEASSFNSSNQSPSSNFQGPAAILPGSMQSLPVSGLSSPLLPTPQQQQQQLSGNLMQKNHPQSPQGNQVLQQQMMQQLYHDMSNNNTAVQQQQQSLSGQNGNASVGRNGMGYGNNTAAPAAATSNVSGSVAGPAPSRSNSFKAASNSDSSAAGGNTGFNHRAPDLSQTLHLQDDIVSDIAQEFLDNGFFNNELDDNIGYGWKA >KJB52837 pep chromosome:Graimondii2_0_v6:8:55691837:55698655:-1 gene:B456_008G280000 transcript:KJB52837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPASPMSFSSNNISMSGSSVVDGSSVGQQGSHQDTSVQQMQQSQLLQQGASTATSLPASQTGQVSLPMGPRVPGSFMQDPSNLSQLQKKPRLDIKQEDLLQQQVLQQLLQRQDSMQLQGRSPQLQALFQQQRLRQQQQLLQSMPPLQRAHLQQQQQQQMQLRQQLQQQGMQQAAAMRRPFDGGVCARRLMQYLYHQRQRPPDNTFAYWRKFVAEYYSPRAKKRWCLSLYDNVGSHALGVFPQAAMDAWHCDICGSKSGRGFEATFEVLPRLNEIKFGSGVVDELLFLDMPREIRFPSGIMMLEYGKAVQESVYEQLRVVREGQLRIIFTQDLKILSWEFCARRHEELFPRRLVAPQVNQLLQVAQKCQSTISEGGSEGVSQQDLQTNSNMVLTAGRQLVKSLESQSLNDLGFSKRYVRCLQIAEVVSSMKDLIDFCREHKVGAIDGLKNYPRHASAAKLQMQKMQEMEQLANVQGLPTDRNTLNKLMALHPGINNPLGNNHHMVGRGTLSGSAQAALALTNYQNLLSRQNSMNSNPNSLHQEASSFNSSNQSPSSNFQGPAAILPGSMQSLPVSGLSSPLLPTPQQQQQQLSGNLMQKNHPQSPQGNQVLQQQMMQQLYHDMSNNNTAVQQQQQSLSGQNGNASVGRNGMGYGNNTAAPAAATSNVSGSVAGPAPSRSNSFKAASNSDSSAAGGNTGFNHRAPDLSQTLHLQDDIVSDIAQEFLDNGFFNNELDDNIGYGWKA >KJB51222 pep chromosome:Graimondii2_0_v6:8:49281404:49282772:1 gene:B456_008G207600 transcript:KJB51222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLWTLLSNLHSLAGPVVMLLYPLYASVIAIESPGKDDDEQWLAYWILYSLLTLTEMVFQSVLEWIPIWYSVKLVFMAWLVLPQFRGAAFIYERYVREQMKKYGILRDHHHHHSGSPDGKGKKKFVQFIVPKKGEQEAY >KJB51221 pep chromosome:Graimondii2_0_v6:8:49281681:49282424:1 gene:B456_008G207600 transcript:KJB51221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLWTLLSNLHSLAGPVVMLLYPLYASVIAIESPGKDDDEQWLAYWILYSLLTLTEMVFQSVLEWIPIWYSVKLVFMAWLVLPQFRGAAFIYERYVREQMKKYGILRDHHHHHSGSPDGKGKKKFVQFIVPKKVC >KJB48847 pep chromosome:Graimondii2_0_v6:8:21246913:21252296:1 gene:B456_008G090000 transcript:KJB48847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTIVEEKPFLSGIVDGSTNLPVDISCFELIKPSFDETNQHCSLDVLPILIEEASFPVREKSSLNTSHVSDAYSFSVLPEEGNMSPNCTRLTFLSLLEVPFSSKNQMCLDAQLSCQNCIDLKVDREDAYSPCFLDINIEKETPDIFEFSDETVGNLKSEAFAEGVAETSKHNCRQINREGS >KJB48845 pep chromosome:Graimondii2_0_v6:8:21246913:21251215:1 gene:B456_008G090000 transcript:KJB48845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTIVEEKPFLSGIVDGSTNLPVDISCFELIKPSFDETNQHCSLDVLPILIEEASFPVREKSSLNTSHVSDAYSFSVLPEEGNMSPNCTRLTFLSLLEVPFSSKNQMCLDAQLSCQNCIDLKVDREDAYSPCFLDINIEKETPDIFEFSDETVGNLKSEGVVTHLQKVLQRQASITVVK >KJB48850 pep chromosome:Graimondii2_0_v6:8:21249697:21252335:1 gene:B456_008G090000 transcript:KJB48850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVSDAYSFSVLPEEGNMSPNCTRLTFLSLLEVPFSSKNQMCLDAQLSCQNCIDLKVDREDAYSPCFLDINIEKETPDIFEFSDETVGNLKSEGVVTHLQKVLQRQASITVDKSTERVHDAATNRWRRYKRAASFDSRKIVLLFSILSSVGTLILIYLTLTNN >KJB48844 pep chromosome:Graimondii2_0_v6:8:21246913:21250672:1 gene:B456_008G090000 transcript:KJB48844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTIVEEKPFLSGIVDGSTNLPVDISCFELIKPSFDETNQHCSLDVLPILIEEASFPVREKSSLNTSHVSDAYSFSVLPEEGNMSPNCTRLTFLSLLEVPFSSKNQMCLDAQLSCQNCIDLKVDREDAYSPCFLDINIEKETPDIFEFSDETVGNLKSEGVVTHLQKVLQRQASITVGEF >KJB48849 pep chromosome:Graimondii2_0_v6:8:21246913:21252335:1 gene:B456_008G090000 transcript:KJB48849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTIVEEKPFLSGIVDGSTNLPVDISCFELIKPSFDETNQHCSLDVLPILIEEASFPVREKSSLNTSHVSDAYSFSVLPEEGNMSPNCTRLTFLSLLEVPFSSKNQMCLDAQLSCQNCIDLKVDREDAYSPCFLDINIEKETPDIFEFSDETVGNLKSEGVVTHLQKVLQRQASITVDKSTERVHDAATNRWRRYKRAASFDSRKIVLLFSILSSVGTLILIYLTLTNN >KJB48846 pep chromosome:Graimondii2_0_v6:8:21247045:21250389:1 gene:B456_008G090000 transcript:KJB48846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTIVEEKPFLSGIVDGSTNLPVDISCFELIKPSFDETNQHCSLDVLPILIEEASFPVREKSSLNTSHVSDAYSFSVLPEEGNMSPNCTRLTFLSLLEVPFSSKNQMCLDAQLSCQNCIDLKVDREDAYSPCFLDINIEKETPDIFEFSDETVGNLKSEGVVTVCLISYLYISSVFSKM >KJB48848 pep chromosome:Graimondii2_0_v6:8:21246913:21252335:1 gene:B456_008G090000 transcript:KJB48848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTSIVLLVSDAYSFSVLPEEGNMSPNCTRLTFLSLLEVPFSSKNQMCLDAQLSCQNCIDLKVDREDAYSPCFLDINIEKETPDIFEFSDETVGNLKSEGVVTHLQKVLQRQASITVDKSTERVHDAATNRWRRYKRAASFDSRKIVLLFSILSSVGTLILIYLTLTNN >KJB50806 pep chromosome:Graimondii2_0_v6:8:46858007:46863921:1 gene:B456_008G187500 transcript:KJB50806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITPRRKAWSPLTLTPPTEPQMAGVPNTSSGGIRGKGKAVAFAHDTRKLPPPPVASLSGKGPLNVEVEEEDMEDWRRFKEAGLLDEAALERRDHEALAERLSNLEGELFNYQYNMGLLLIEKKEWTSKCEELKQELAEVEEILRREQAAHLIALSEVEKREENLAKALAAEKQCVADLEKALRDIQEEHVQVKLSSDTKLANANALVAGIEGKSLEVEEKLRAADGRLAEVNRKSSELERKLQEMEARESVLQRERLSFVAEREAYQATFYKQREDLNEWEKRLNKGEEKLTELRRMLNQREEKVNENDRHFKQKERSLEELQNKIDLSTLKLKEMEDDIGKRLTDLVSKEKEAESIRSTLEAKEKDLVALEEMLTARERVEIQKLVDEQRVILDAKRQEFELELEEKRKSVDEELEGKIHEINQQEAEINHKEEKLRKQEQALDKKSERMKEKEKDLEVRLKAVKDKEKFVKTEEKKLELERQQLYAAKENLQALKDEIDKIGSETSQQELRIQEESEKLKITEKDRAEHIRLQSELKQQIVNCRHQEELLLKEHEDLKQQRENFEKEWDALDDKRAEIIMKQKEIDEEKEKFEKLQHSEEERLKKEEAAMQNYACREMESLRLQKESFEATMKHEKSNLLEEAQNERTRMLQDFEERKMNLETDMKNRFDQMQKDLQERIVAFEEVKERELANLRCSKEDAESQLEELKSARCAVEREKQEVAMNRDKLKEQQLEMRKDIEELGILSSKLKDQRQQFIRERHSFLEFVEKHKSCKNCGEVTRDFVLSNFEIPDLQDRKILPLPQLAGETLSHHQRYVGGSGATNINRSPEADAQYPESAGRMSWLRKCTKIFSISPTKRNESKAERPSMLTATEAGVSIQGEAGEPYLGITGDTVRNQLLQSNTIREVGDGSVPSADHSFGESKVQDVPEDSQQSEQKSDHRKPRRKPKSGLNRTRSVKAVVEDAKLFLGESPEGPEPSNRVQSHETSHVNEESAGVSSHTVEGAGPRSNARKRQRQQNSQVRDSELDAADSEGHSDSVTAGGRRKRQQTVTPGLQTPGQNRYNLRRPKT >KJB50805 pep chromosome:Graimondii2_0_v6:8:46857977:46865775:1 gene:B456_008G187500 transcript:KJB50805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITPRRKAWSPLTLTPPTEPQMAGVPNTSSGGIRGKGKAVAFAHDTRKLPPPPVASLSGKGPLNVEVEEEDMEDWRRFKEAGLLDEAALERRDHEALAERLSNLEGELFNYQYNMGLLLIEKKEWTSKCEELKQELAEVEEILRREQAAHLIALSEVEKREENLAKALAAEKQCVADLEKALRDIQEEHVQVKLSSDTKLANANALVAGIEGKSLEVEEKLRAADGRLAEVNRKSSELERKLQEMEARESVLQRERLSFVAEREAYQATFYKQREDLNEWEKRLNKGEEKLTELRRMLNQREEKVNENDRHFKQKERSLEELQNKIDLSTLKLKEMEDDIGKRLTDLVSKEKEAESIRSTLEAKEKDLVALEEMLTARERVEIQKLVDEQRVILDAKRQEFELELEEKRKSVDEELEGKIHEINQQEAEINHKEEKLRKQEQALDKKSERMKEKEKDLEVRLKAVKDKEKFVKTEEKKLELERQQLYAAKENLQALKDEIDKIGSETSQQELRIQEESEKLKITEKDRAEHIRLQSELKQQIVNCRHQEELLLKEHEDLKQQRENFEKEWDALDDKRAEIIMKQKEIDEEKEKFEKLQHSEEERLKKEEAAMQNYACREMESLRLQKESFEATMKHEKSNLLEEAQNERTRMLQDFEERKMNLETDMKNRFDQMQKDLQERIVAFEEVKERELANLRCSKEDAESQLEELKSARCAVEREKQEVAMNRDKLKEQQLEMRKDIEELGILSSKLKDQRQQFIRERHSFLEFVEKHKSCKNCGEVTRDFVLSNFEIPDLQDRKILPLPQLAGETLSHHQRYVGGSGATNINRSPEADAQYPESAGRMSWLRKCTKIFSISPTKRNESKAERPSMLTATEAGVSIQGEAGEPYLGITGDTVRNQLLQSNTIREVGDGSVPSADHSFGESKVQDVPEDSQQSEQKSDHRKPRRKPKSGLNRTRSVKAVVEDAKLFLGESPEGPEPSNRVQSHETSHVNEESAGVSSHTVEGAGPRSNARKRQRQQNSQVRDSELDAADSEGHSDSVTAGGRRKRQQTVTPGLQTPGQNRYNLRRPKTTVTATAAQASSDVLKTRKEPEDGGLEGGVHTRKEPEDGGLEGGVHTRKEPEDGGLEGGVHTRKEPEDGENRRSNLVQVTTIKNVEILESEVVKLKTSVDVGGNEIAAKTVKSVDLIEEVDVTAENGDEDESWGRFHEEDEEDEGDDEMENPGDVSIGKKIWTFFTS >KJB50807 pep chromosome:Graimondii2_0_v6:8:46858007:46865749:1 gene:B456_008G187500 transcript:KJB50807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITPRRKAWSPLTLTPPTEPQMAGVPNTSSGGIRGKGKAVAFAHDTRKLPPPPVASLSGKGPLNVEVEEEDMEDWRRFKEAGLLDEAALERRDHEALAERLSNLEGELFNYQYNMGLLLIEKKEWTSKCEELKQELAEVEEILRREQAAHLIALSEVEKREENLAKALAAEKQCVADLEKALRDIQEEHVQVKLSSDTKLANANALVAGIEGKSLEVEEKLRAADGRLAEVNRKSSELERKLQEMEARESVLQRERLSFVAEREAYQATFYKQREDLNEWEKRLNKGEEKLTELRRMLNQREEKVNENDRHFKQKERSLEELQNKIDLSTLKLKEMEDDIGKRLTDLVSKEKEAESIRSTLEAKEKDLVALEEMLTARERVEIQKLVDEQRVILDAKRQEFELELEEKRKSVDEELEGKIHEINQQEAEINHKEEKLRKQEQALDKKSERMKEKEKDLEVRLKAVKDKEKFVKTEEKKLELERQQLYAAKENLQALKDEIDKIGSETSQQELRIQEESEKLKITEKDRAEHIRLQSELKQQIVNCRHQEELLLKEHEDLKQQRENFEKEWDALDDKRAEIIMKQKEIDEEKEKFEKLQHSEEERLKKEEAAMQNYACREMESLRLQKESFEATMKHEKSNLLEEAQNERTRMLQDFEERKMNLETDMKNRFDQMQKDLQERIVAFEEVKERELANLRCSKEDAESQLEELKSARCAVEREKQEVAMNRDKLKEQQLEMRKDIEELGILSSKLKDQRQQFIRERHSFLEFVEKHKSCKNCGEVTRDFVLSNFEIPDLQDRKILPLPQLAGETLSHHQRYVGGSGATNINRSPEADAQYPESAGRMSWLRKCTKIFSISPTKRNESKAERPSMLTATEAGVSIQGEAGEPYLGITGDTVRNQLLQSNTIREVGDGSVPSADHSFGESKVQDVPEDSQQSEQKSDHRKPRRKPKSGLNRTRSVKAVVEDAKLFLGESPEGPEPSNRVQSHETSHVNEESAGVSSHTVEGAGPRSNARKRQRQQNSQVRDSELDAADSEGHSDSVTAGGRRKRQQTVTPGLQTPGQNRYNLRRPKTHSHSSTGLI >KJB50628 pep chromosome:Graimondii2_0_v6:8:45658105:45659814:-1 gene:B456_008G179600 transcript:KJB50628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSPCSDKVGLKKGPWTPEEDQKLLSYIQEHGGGSWRGLPAKAGLQRCGKSCRLRWINYLRPDIKRGKFSSQEERTIIQLHALLGNRWSAIAAHLPKRTDNEIKNYWNTQLKKRLTKIGIDPATHRPKTDTLGSTPKDAANLSHMAQWESARLEAEARLVRESKRVSNPPQNQFRFTSSSAPPLVSKIDVGLAHATKPQCLDVLKAWQRVVTGLFTFNTDNLQSPTSTSSFTENRLPISSVGFIDSFLGNSNNSCCGNNWECVEKSSQVAELQERLDNSMGLHDILDFSSDDVWFQGSYRAENMMEGYSDTLMVCDSGDHQKSLSMEPRQNFNVGTSNASSFEENKNYWNNILNFANASPSGSSVF >KJB47768 pep chromosome:Graimondii2_0_v6:8:5356961:5360144:1 gene:B456_008G041100 transcript:KJB47768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGGFSPNSTTFGNLSDAAMDLDFMDQLLVEGCWLETSDGFNFMQPAPSTSTPSPHCFPVSGSNTFPFTINPHQMHQGETDMQFAPSQTDNLSKTQSKNWGGVENASSLSQTGSFIVEGTEMGSRWWIGPKAESGSSLSVKERLMQAIKYLKESTKDRDVLIQIWVPVTREGKHVLTTEGQPYSVNTNSKSLKIFRDVSKSYNFPAEEDSNKSVGLPGRVFLGKLPEWTPDVRFFRSEEYPRVSFALKYNVGGSLALPVFERASGTCLGVVEVVTTAQKINYHPELEYVCKALEAVDLRTSHNFSPPNVEACNELYQAALPEIAEVLRSVCKTYKLPLALTWAPCLSQGKSGCRHSDENYYNCVSTVDAACFVADDKFLGFLEACSEHHLFRGQGIVGRAFTTDKKWFATDITAFSKTNYPLSHHARMFKLRGALAIPLQSIFTGLVEFVLELFFPKDCHESEAQQQMLNSLSSFMQQACQSLHVVMDKELEEEVILPVKEAVVASDGISDKEETKFKISSPNENSPEESSWIAHMMEAQQKGKGVYVSWEYQKEEPKEEFKMTTSWEETRQELYNKQVLSDFGLLHQNAGSIASLEGGGGDSSSSGGRHIFARKKAGEKRRTKMEKTISLQVLRQYFAGSLKDAAKSIGGRKIFHLYLEASHSFFTLCSTKHYLVYRSNYH >KJB47767 pep chromosome:Graimondii2_0_v6:8:5356850:5361647:1 gene:B456_008G041100 transcript:KJB47767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGGFSPNSTTFGNLSDAAMDLDFMDQLLVEGCWLETSDGFNFMQPAPSTSTPSPHCFPVSGSNTFPFTINPHQMHQGETDMQFAPSQTDNLSKTQSKNWGGVENASSLSQTGSFIVEGTEMGSRWWIGPKAESGSSLSVKERLMQAIKYLKESTKDRDVLIQIWVPVTREGKHVLTTEGQPYSVNTNSKSLKIFRDVSKSYNFPAEEDSNKSVGLPGRVFLGKLPEWTPDVRFFRSEEYPRVSFALKYNVGGSLALPVFERASGTCLGVVEVVTTAQKINYHPELEYVCKALEAVDLRTSHNFSPPNVEACNELYQAALPEIAEVLRSVCKTYKLPLALTWAPCLSQGKSGCRHSDENYYNCVSTVDAACFVADDKFLGFLEACSEHHLFRGQGIVGRAFTTDKKWFATDITAFSKTNYPLSHHARMFKLRGALAIPLQSIFTGLVEFVLELFFPKDCHESEAQQQMLNSLSSFMQQACQSLHVVMDKELEEEVILPVKEAVVASDGISDKEETKFKISSPNENSPEESSWIAHMMEAQQKGKGVYVSWEYQKEEPKEEFKMTTSWEETRQELYNKQVLSDFGLLHQNAGSIASLEGGGGDSSSSGGRHIFARKKAGEKRRTKMEKTISLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLRKLQLVIDSVQGAEGAIQIGSFYSSFPELSSPNFSGKGPSSSKISNQSKPSEPQIQSGVLSQGAAAAAPKSPSSSCSQSSGSSTCCSTGAKQRSTSISALCSTDGLTVENPEGALKRALSDADLQALNQEEPKLLARSQSHKTFGELPSFETKPPLPKSGGHKLRTAGAIKVKVMYREDKVRLSLQPSWGFKDLQQEIGRRFNIEDVSRIDLKYLDDDEEWVLLTCDADLEECVDIYKSSQSKTIKISLEQASHPNLGTSFGSSAP >KJB52594 pep chromosome:Graimondii2_0_v6:8:54855712:54858647:1 gene:B456_008G269700 transcript:KJB52594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAMVNLSLPAPAKSPSRPPPKLSSQSSVPLSVNRSVNFEPLRHRLIKHLDAGHLHKAISTLDVMASHNAHQDLVTYSLLLKACIRSRDFQLGKLVHCHLTESKLELDSVLFNSLISLYSKAGDWTKAREIFESMGNKRDLVSWSAMISCFANNKMSFEAILTFLYMLDNGFLPNEYCFTAVIRACSTSEFFPIGEIILGFLVKTGYLDFDTNVGCALIDMFVKGNSDLESAFKVFDKMPDRNVVAWTLMITRCTQLSYPSGAIELFVDMVLGGYMPDRFTLSGIISACTELESESLSLGKQLHSWVIRSGFASDVCIGCSLVDMYAKCTIDGSLDDSRRVFDRMENHNVMSWTAIITGYVQCGGRDMEAIELFCKMIEGPVPPNHFTFSSVLKACGNLSDSRAGEQFYAHAVKHGFASDDYVGNSLISMYAKSGRMDDAQKAFESLFEKNLDSYNTVVDAYAKNLDSEGAFELFHEISDFGVEVNAFTFTSLLSGASSIGAIGKGEQIHARLLKSGFQSNQCICNALISMYARCGHIEAAFQVFNEMGDRNVITWTSMITGFAKHGFAARALEIFHEMLKAGIRPNEITYIAVLSACSHAGLVSEGWEIFKSMHKEHGIAPRMEHYACMVDLLGRSGLLREAIEFINKMPCTPDALVWRTFLGACRVHHDKELGEHSAKMILQQGPHDTAAHILLSNLYASSGQWEDVARIRKNMKERNLIKEAGCSWIEVDNKIHRFHVADTSHPQVQEIYDKLDEMALKIKGLGYVPNTDFVLHELEEEQKEQFVFQHSEKIAVAFGLITTSRPKPIRVFKNLRVCGDCHTAIKYISMATGREIVLRDSNRFHHIKNGTCSCNDYW >KJB49031 pep chromosome:Graimondii2_0_v6:8:28098578:28100519:1 gene:B456_008G098700 transcript:KJB49031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFFRYFTCNSLPSYAHLVFTFALFFLSCLVLFLYRKSQSKHLKLPPGPTGWPIVGNLFQVARSGKPFFQYVDDLRRKYGPIFTLRMGTRTIIILSDAMLCHEAFIEKGAIFAGRPRENPTRNIFSCNKFTVNSAVYGLEWRSLRRNLVQNMLSSSRIKEFHSIRSQAMDKLIDRLRAEAKANGGVVSVLKNARFAVFCILLRMCFGIEMDEETIEKMDLITKNVLITLDPRIDDFLPILRPFFGKQRKRALQVRKQQLENITPFIQQRRVALRNPGSNNSAMRFSYLDTLFDLKVEGRNSAPSNSELVSLCSEFINGGTDTTATVLEWGIAQLIHNPNIQSKLFDEIKSTVGDRTVEEKDVENMKYLQAIVKEVLRKHPPTYFLLTHAATEATTLGGFNIPIDANLEVFLPGISEDPKIWSNPEKFDPDRFYLGKEDADITGVTMVKMIPFGAGRRICPGLTMATVHIHLMLARMVQEFEWTAYPPNSDIDFSGKFEFTVVMSNTLKSRIKPRGDTR >KJB49314 pep chromosome:Graimondii2_0_v6:8:34392619:34395663:1 gene:B456_008G112500 transcript:KJB49314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPSHGKKQTEALGHLYEIKMKGSHVLNKSPFKAIHHINKSRIHGQEEMKEKDRIPKLPGQPHVRFSQYGGYVTVDKKAGRALYYYFVEAQQSKESLPLLLWLNGGPGCSSLAYGAMEEIGPFRVHSDGKTLYRNRYSWNHAANVLFLESPAGVGFSYSNTTSDYDRSGDSKTVVDNYVFLINWLERFPEYKERDFYISGESYAGHYVPQLAHTILLHNKKANTTLINLKGIIIGNAVINDETDVKGMYDFFKSHALISDETAYRISKYCNLESENNMDAESSTECNAATDEVNRDTYNIDIYNIYAPLCHDSSLTVQPKEPSVVNFDPCSDNYVYAYLNRADVQEAIHANVTKLDHGWEPCSNIITTWGDSPSTVIPLLRELLANRLRVWIFSGDIDGRIPVTSTKYSIQKMNLPIKTKWHPWYLDGEVGGYTQVYKGDLTLATVRGAGHQVPSYQPKRALSLIKHFLAGTPLPDTSRYI >KJB52453 pep chromosome:Graimondii2_0_v6:8:54285386:54288198:-1 gene:B456_008G262500 transcript:KJB52453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCHNNISVTNERSTRLPRSRCRRWRQSRAGIAFVAVAALLISTVAWLSLVFSGTTTHRWRRLKYWEGSPHSLSGSIPWSSFPDRLPIPPLPPWFDSSQDTPRNRSLSERGNGKREISLNDIVFGIAGSSHLWKRRREIVRLWWRPLEMRGHIWLEEQVPTEEADDSLPPVMVSEDISRFRYTNPTGHPSGLRISRILTESFRLGLPNVRWFVLGDDDTVFNVDNLVAVLNKYDHSEMMYIGSPSESHSANAYFSHSMAFGGGGIAISYPLAEALSNFHDECIERYPKLYGSDDRLHACITELGVPLTREHGFHQWDIRGNAHGLLSSHPIAPFISIHHVEYVDPFYPGLSSLDSLKLFTKAMKTEPRSFLQRSICYDRERHLTFSVSLGYVVQVFPNIVLPRELERSEHTYVAWNKLGHPQEFDHDTRKSYKSVCKKPVLFFLKDVMKDGNATLGSYSRAKAKDDLKRKVFCFPHPPPLQYVQNIQVLGYPLGKNWHQVPRRLCCKVNNTGDEAFQLTVGQCEKGALSSFTDSL >KJB50898 pep chromosome:Graimondii2_0_v6:8:47552427:47552627:-1 gene:B456_008G192200 transcript:KJB50898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPLFKWIQKEGLKFCEQCGVEFVGSWIQRYQMGYIRLACPITHVWYLKRLPSYITNLLTNLLKN >KJB46674 pep chromosome:Graimondii2_0_v6:8:710692:712168:1 gene:B456_008G0053001 transcript:KJB46674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTLEARQAAAKELMKAAEQEKLEKEESALNALAEQEAIMLKVVQESETLQQEAEENSKLREFLMDCGQIVDSLQGEISVICQDVRLLKEKFDERIPLSKSISSSQTSCILASSSSSLKSMASDLGPEQGEATKILEKKSPTPSVDMQSPKSRSSEEQYKGDDEELLDEGWEFFDDAEIKI >KJB46673 pep chromosome:Graimondii2_0_v6:8:708460:712168:1 gene:B456_008G0053001 transcript:KJB46673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKKVYKCLMDIFPQVDSRILKAVAIENSKDVDAAAEIVLCEILPYVSKRTVAGSSSSRNRSPPVQANEAVDEEESNQSRLNNVLLGKTACSSAEPLFKPNKVARDIGLTGAATNVDSVEPPNAASTSKFHENKNNEPAGIETDELILLGSSERSDESRKDRSGVILNTSGIESSLLYVNHEIRESGSSSKDRPIDVEVGFVRAPHASSDTADNSTSLLENTGTSGSSSGHLIFDGPVDLHAVLCRNSSLDATLVGEENAVAALVPSSSQEQMQEALRAGLHSESSHSSDSEKQESGALGNIEDDTFNPVVSRSSQTCRIDLLEEVIEDGKNNKKTLFQAMQSIMNLMREVELQEEAAEQAKEECARGGSDILVKVEELKQMLPHAKEANDMHAGEVYGEKAILATEVRELQSRLHSLSEERDRSLSVLDEMHQTLEARQAAAKELMKAAEQEKLEKEESALNALAEQEAIMLKVVQESETLQQEAEENSKLREFLMDCGQIVDSLQGEISVICQDVRLLKEKFDERIPLSKSISSSQTSCILASSSSSLKSMASDLGPEQGEATKILEKKSPTPSVDMQSPKSRSSEEQYKGDDEELLDEGWEFFDDAEIKI >KJB46672 pep chromosome:Graimondii2_0_v6:8:708460:712182:1 gene:B456_008G0053001 transcript:KJB46672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKKVYKCLMDIFPQVDSRILKAVAIENSKDVDAAAEIVLCEILPYVSKRTVAGSSSSRNRSPPVQANEAVDEEESNQSRLNNVLLGKTACSSAEPLFKPNKVARDIGLTGAATNVDSVEPPNAASTSKFHENKNNEPAGIETDELILLGSSERSDESRKDRSGVILNTSGIESSLLYVNHEIRESGSSSKDRPIDVEVGFVRAPHASSDTADNSTSLLENTGTSGSSSGHLIFDGPVDLHAVLCRNSSLDATLVGEENAVAALVPSSSQEQMQEALRAGLHSESSHSSDSEKQESGALGNIEDDTFNPVVSRSSQTCRIDLLEEVIEDGKNNKKTLFQAMQSIMNLMREVELQEEAAEQAKEECARGGSDILVKVEELKQMLPHAKEANDMHAGEVYGEKAILATEVRELQSRLHSLSEERDRSLSVLDEMHQTLEARQAAAKELMKAAEQEKLEKEESALNALAEQEAIMLKVVQESETLQQEAEENSKLREFLMDCGQIVDSLQGEISVICQDVRLLKEKFDERIPLSKSISSSQTSCILASSSSSLKSMASDLGPEQGEATKILEKKSPTPSVDMQSPKSRSSEEQYKGDDEELLDEGWEFFDDAEIKI >KJB52253 pep chromosome:Graimondii2_0_v6:8:53574983:53578166:1 gene:B456_008G252200 transcript:KJB52253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHWQATILDLEGSPYVGGVFHVDIHFPLQYPLKPPKVVFRPKIFHPNIDSIGRIRLDILTDGWSANVTISQVLLEICSLLKNPNPDAPLVPEIAHIYKTNRSKYDTLARKWTLKYARG >KJB47596 pep chromosome:Graimondii2_0_v6:8:3959596:3961351:-1 gene:B456_008G032800 transcript:KJB47596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNSITKFLQTCSQIKSLIATKILHAALLRKGLFFVSPNIHSELIFTYAACLESKTIIKILTNYFKSINPPNPLPFNVIISDFSKNGFSFFALKTFSFMHFNGISLDTYALCSSISASSSLKKAEFGKQIHCHVTKSGWTSSVFVGSALVDLYAKSSLIADAAVMFDEIPFKNSVCANALLSGFCEAKLWIQGLVLVRKMPGLNLDYDHFTSSAMLRACAGLSAIELGRQVHGYLFRKFYNLVEDVFLQSSLIEMYGKCGLVMKAFQVFSLAGLRLGREKRRDVVLWTSMLGVYGRNGHYEDLILLFKEMLREGIKPDEVAFVTVISACGHTGQIRLGLEYFDSMTHVYKLIPGPEHYSCVVDLLCRAGELEKAMKVVNEMMLQKGHNNGSVSLWVALLSACSDHENVELGKFAAQKALELDPQNVGVYVKLSNLYARLRMWDEIGQLRETMKQRGLKKDTAFSWVEVSG >KJB49703 pep chromosome:Graimondii2_0_v6:8:38156010:38156798:-1 gene:B456_008G134200 transcript:KJB49703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRHTGGQMQQSNAAATAFHDHAGGGGSFNNAGPAGGDAGDAVMARWLQSARLQHLASPLTSTGIDQRLLPNLLMQGYGAESAEEKQRLFKLMRNLKFNGEFGLEPYTPTAQSSGGQATSDGFHSPEFKGDFGAGLLDLHAIDDTELLSEVWLYCALNIT >KJB51018 pep chromosome:Graimondii2_0_v6:8:48222642:48224001:-1 gene:B456_008G197700 transcript:KJB51018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AFASNKQIAYRMEKQQRVLVVSFPAQGHISPLLKLSIQIAAHGIKVTFVTTEVEDGAFMEPLPEEQSSITLISIPHCVDEDVRNDALKTVETIESIRRVIPVYLEDLIAKINQSDDEEKISCVIADTSVGGALEVAKKMGLEAVAIWPAGAPCLALAAHIPQLLQHEIINNDVCYKFYDLILCNSVYELDSSVSKLIPNALPIGPLLAGKIWPQDSTCLAWLNRQPAASVIYVAFGSSTMASAKQVEELALGLELSGHPFLWVVRPNFMDGSTVKFPDRFKDRVSGKGKIIEWTLQEAVLAHPSVLCFVSHCGWNSTVEGLTMGVPFLCWPYIADQFSNKNYICNVLKTGLELMKGENGITTRREISSKINTLISSDVIN >KJB47725 pep chromosome:Graimondii2_0_v6:8:4864242:4867452:1 gene:B456_008G038400 transcript:KJB47725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNAKINCRIMSYLSGKTVKNKTVIVGLKSDNCSREMLLQLLCLLVKPGDNVLAVHVQQMNDAFDPNTFHIHEDLCKSKQVDFLVKICTGNSYISVLSHQVREHYATILAIGCNISGPKNLAVSTCLKSLPPTCTLLVMDGAGRIVIRQQGTSQQGSAGVPFQPCLSSSQANSVVDQSTSSRQLQKSLTVPLSSASPSTCRIDSEGHYILKKKVPGHQFKKSFTMPSSSASSSSTWQTSGGGQQNPKKNVNVTRRFCRMASQEAVWSCRRFRLEELSVATDNFSPTMVIGKGGNAMVYQANLEDGHAAAVKVLKTTRWSAKDLLREVETLSSINHENIVEIIGYCDSKELHAIVYNLLNGSLKQCLKQLKWAERMTIAIGVAKALDYLHHSCDPPIIHRNVNPSNILLSDNWQPQLSGFGAAVVHNQSYQVSANTKPIDIVRTFGYLAPEYMVCGKIDEKIDVYSYGVVLLELITGKDAIQSNQRNHESLVLWARSLLRFGLCEHLVDPFLNQNYKKEEMEVMMFIARLCLVHSSSQRPTMKMIRRLFEDSEYWLEMQREKDQLLNGLGSIGETDMLRRYELSSVGTMALDNT >KJB49668 pep chromosome:Graimondii2_0_v6:8:37906500:37907519:-1 gene:B456_008G132700 transcript:KJB49668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYAVAVCLFFSLSVVLCLRIPNLSSDIEKDTILLSVCHPGNQPNPRTLRSDQLTVLINGYSESRIPLLQSIAASYSASPVVSSVLVLWGNPSTSPLTLSQLAYNLSVSSWGDAAISLVPQPSSSLNARFLPRSSIGTRAVLVCDDDVEVDLKTVEFAFRMWKGNPDRLIGIFVRSHDIDMTRKEWIYTVHPNKYSIVLTKFMMMKREYLFKYSCGGGSPMHEMRKMVDEMRNCEDILMNFVVAEETNTGPLMVGAERARDWGDPRNEDSDGDGLRLVRDVGLSSRKAEHRKRRGKCITEFHRVLGRMPLRYSYGKLVSSVGEQGLCKKGSNLVLCDH >KJB49666 pep chromosome:Graimondii2_0_v6:8:37906209:37908233:-1 gene:B456_008G132700 transcript:KJB49666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYAVAVCLFFSLSVVLCLRIPNLSSDIEKDTILLSVCHPGNQPNPRTLRSDQLTVLINGYSESRIPLLQSIAASYSASPVVSSVLVLWGNPSTSPLTLSQLAYNLSVSSWGDAAISLVPQPSSSLNARFLPRSSIGTRAVLVCDDDVEVDLKTVEFAFRMWKGNPDRLIGIFVRSHDIDMTRKEWIYTVHPNKYSIVLTKFMMMKREYLFKYSCGGGSPMHEMRKMVDEMRNCEDILMNFVVAEETNTGPLMVGAERARDWGDPRNEDSDGDGLRLVRDVGLSSRKAEHRKRRGKCITEFHRVLGRMPLRYSYGKLVSSVGEQGLCKKGSNLVLCDH >KJB49667 pep chromosome:Graimondii2_0_v6:8:37906209:37908069:-1 gene:B456_008G132700 transcript:KJB49667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYAVAVCLFFSLSVVLCLRIPNLSSDIEKDTILLSVCHPGNQPNPRTLRSDQLTVLINGYSESRIPLLQSIAASYSASPVVSSVLVLWGNPSTSPLTLSQLAYNLSVSSWGDAAISLVPQPSSSLNARFLPRSSIGTRAVLVCDDDVEVDLKTVEFAFRMWKGNPDRLIGIFVRSHDIDMTRKEWIYTVHPNKYSIVLTKFMMMKREYLFKYSCGGGSPMHEMRKMVDEMRNCEDILMNFVVAEETNTGPLMVGAERARDWGDPRNEDSDGDGLRLVRDVGLSSRKAEHRKRRGKCITEFHRVLGRMPLRYSYGKLVSSVGEQGLCKKGSNLVLCDH >KJB49895 pep chromosome:Graimondii2_0_v6:8:39659653:39662683:-1 gene:B456_008G144200 transcript:KJB49895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTRSRRKVAPAAADGGDSGDKLEQLLLSSAICNGEDLGPFVRKVFASGRPDTLLHHLRHFARSKESEIEEVCKSHYQDFILAVDDLRSLLSDVDSLKSSLSDSNSRLQSVGGPLLSSLDSFVEAQNASKNVNSALQSVILCIKLTELCLRANLHLSNGSFYMALKCLDSIENEFQDKTPSSTLKRMLERKIPEIRSHIERKISKEFGDWLVDIRVVSRNLGQLAIGQASAARQREEDLRIKQRQAEEQSRLSLRGCVYALEEDDDDGGLGGDENDGYSNGNNGSFGFDLTPLYRAYHIHQTLGLEERFKQYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIIEDRILRTGGGLVSKMEVENLWETAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRYGYPVDALLNVLSKHRDKYHELLLSDCRKQIAEALAADKFEQMLMKKEYEYSMNVLSFQLQASDIVPAFPYVAPFSSTVPDCCRIVRSFIEDSVSFMSFGGQLDFYDVVKKYLDRLLGEVLDGALLKLISSSVHGVSQAMQVAANMAVLERACDFFFRHAAQLSGIPLRMVERGRKQFPLSKARDAAEDMLSGMLKRKVDGFMTLIENVNWMTDEASQGGNEYVNEVIIYLETLVSTAQQILPPQVLKRVLQDVISHISEKIVGALFGDSVKRFNVNAIMGIDVDIRLLESFADNLSPVFSEGDTNQLKNALAESRQLVNLLLSNHPENFLNPVIREKSYNALDYRKVVTISEKLRDSSDRLFGTFGSRGAKQNPKKKSMDALIKRLKDVS >KJB51188 pep chromosome:Graimondii2_0_v6:8:49032282:49035049:-1 gene:B456_008G205200 transcript:KJB51188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSVNLEEIPYESLMNELLRRMKCAPKPEKRLILIGPPGSGKGTQSPIIKDEHCLCHLAAGDMLRAAVSAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCKKGFILDGFPRTVAQAQKLDEMLERQGVKIDKVLDFAIDDAVLEERISGRWIHPASGRSYHTKFAPPRVPGVDDVINYYSKKGIVATLHAEKPLTDVTDEVRKVLS >KJB51187 pep chromosome:Graimondii2_0_v6:8:49032275:49035060:-1 gene:B456_008G205200 transcript:KJB51187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSVNLEEIPYESLMNELLRRMKCAPKPEKRLILIGPPGSGKGTQSPIIKDEHCLCHLAAGDMLRAAVSAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCKKGFILDGFPRTVAQAQKLDEMLERQGVKIDKVLDFAIDDAVLEERISGRWIHPASGRSYHTKFAPPRVPGVDDVTGEPLIQRKDDSAAVLKSRLESFHRQTQPVINYYSKKGIVATLHAEKPLTDVTDEVRKVLS >KJB51189 pep chromosome:Graimondii2_0_v6:8:49032710:49035049:-1 gene:B456_008G205200 transcript:KJB51189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSVNLEEIPYESLMNELLRRMKCAPKPEKRLILIGPPGSGKGTQSPIIKDEHCLCHLAAGDMLRAAVSAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCKKGFILDGFPRTVAQAQKLDEMLERQGVKIDKVLDFAIDDAVLEERISGRWIHPASGRSYHTKFAPPRVPGVDDVSQT >KJB50225 pep chromosome:Graimondii2_0_v6:8:42488708:42494481:-1 gene:B456_008G159500 transcript:KJB50225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLAHVSNQPNTQPQSQISHLALDIGGSLIKLVYFCRYDDSCRDDDELRSQNESLGSKSDNSRPVLGGKLHFAKFETSKINDCLEFIHSMKLHLGGFHDCTAPSSDKIFIKATGGGAYKFADLFKEKLSVSLDKEDEMECLVTGANFLLKAVHQEAFTYLDGQKEFAQIDPNDLYPYLLVNIGSGVSMIKVDGDGKFERVSGTSLGGGTFWGLGRLLTKCKNFDELLELSHQGNNRVIDMLVGDIYGGTDYAKIGLSATTIASSFGKAISDNKELVDYKPEDISRSLLRMISNNIGQISYLNALRFGLKRIFFAGFFIRGHAYTMDTISVAVNFW >KJB50224 pep chromosome:Graimondii2_0_v6:8:42488086:42494481:-1 gene:B456_008G159500 transcript:KJB50224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLAHVSNQPNTQPQSQISHLALDIGGSLIKLVYFCRYDDSCRDDDELRSQNESLGSKSDNSRPVLGGKLHFAKFETSKINDCLEFIHSMKLHLGGGAYKFADLFKEKLSVIHQEAFTYLDGQKEFAQIDPNDLYPYLLVNIGSGVSMIKVDGDGKFERVSGTSLGGGTFWGLGRLLTKCKNFDELLELSHQGNNRVIDMLVGDIYGGTDYAKIGLSATTIASSFGKAISDNKELVDYKPEDISRSLLRMISNNIGQISYLNALRFGLKRIFFAGFFIRGHAYTMDTISVAVNFWSKGEAEAMFLRHEGFLGALGAFMSYEKQCLDGLTVNKIPQRFPISVSSAGNKIYCSMNGKSNGNGNESIECTVYGT >KJB50223 pep chromosome:Graimondii2_0_v6:8:42488355:42494362:-1 gene:B456_008G159500 transcript:KJB50223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLAHVSNQPNTQPQSQISHLALDIGGSLIKLVYFCRYDDSCRDDDELRSQNESLGSKSDNSRPVLGGKLHFAKFETSKINDCLEFIHSMKLHLGGFHDCTAPSSDKIFIKATGGGAYKFADLFKEKLSVSLDKEDEMECLVTGANFLLKAVHQEAFTYLDGQKEFAQIDPNDLYPYLLVNIGSGVSMIKVDGDGKFERVSGTSLGGGTFWGLGRLLTKCKNFDELLELSHQGNNRVIDMLVGDIYGGTDYAKIGLSATTIASSFGKAISDNKELVDYKPEDISRSLLRMISNNIGQISYLNALRFGLKRIFFAGFFIRGHAYTMDTISVAVNFWSKGEAEAMFLRHEGFLGALGAFMSYEKQCLDGLTVNKIPQRFPISVSSAGNKIYCSMNGKSNGNGNESIECTVYGT >KJB50222 pep chromosome:Graimondii2_0_v6:8:42488045:42494707:-1 gene:B456_008G159500 transcript:KJB50222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHLGGFHDCTAPSSDKIFIKATGGGAYKFADLFKEKLSVSLDKEDEMECLVTGANFLLKAVHQEAFTYLDGQKEFAQIDPNDLYPYLLVNIGSGVSMIKVDGDGKFERVSGTSLGGGTFWGLGRLLTKCKNFDELLELSHQGNNRVIDMLVGDIYGGTDYAKIGLSATTIASSFGKAISDNKELVDYKPEDISRSLLRMISNNIGQISYLNALRFGLKRIFFAGFFIRGHAYTMDTISVAVNFWSKGEAEAMFLRHEGFLGALGAFMSYEKQCLDGLTVNKIPQRFPISVSSAGNKIYCSMNGKSNGNGNESIECTVYGT >KJB50365 pep chromosome:Graimondii2_0_v6:8:43649421:43650749:1 gene:B456_008G166300 transcript:KJB50365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALDSLSDYISDLVTVHGKRKKRKVMQTVEIKVKMDCDGCERRVKNAVSSMKGVKSVEVNRKKSYVSVSGYVEPNKVLNKVKSTGKRAEFWPYVPYNLVAYPYVAQAYDKKAPSGYVKEAVQALPSPNAVDEKFVTFFSDENPNACSIM >KJB51666 pep chromosome:Graimondii2_0_v6:8:51390587:51393080:-1 gene:B456_008G227800 transcript:KJB51666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGASLPPGFRFHPTDEELIGYYLKRKTEGLEIELEVIPVIDLYKFDPWDLPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATKAGYWKATGKDRKVVCQSDVTGYRKTLVFYRGRAPLGDRTDWIMHEYRLSDEPSQGSTNQGAFALCRVVKRNEPKASDARGEPKATKVGSSSTNVILPVPRTCNSGNISCQASYPNRESHYTSSEVMRVPPFEPVSVNSDPRGVWVSPDLILDSSKDYPQTCESTAQYFPQYEFPSSLTPWQQYEQIDFSPSSSYSNFGEIEHDDLSRIGYMSPYSGHANYMDFYGNEGNDQTGLFNYMNPF >KJB53080 pep chromosome:Graimondii2_0_v6:8:56633547:56638847:1 gene:B456_008G292400 transcript:KJB53080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKGGFDEQCMNRIPDLSLHISPPNTAPPSISTATTNESHSSTFDIWSNKQYDALKPCSSSQAAETELSLANPLGPVGSGLQPESFPRQIHLLKCGQLSDINYGVSWLDDMPEPGLKPIKGIPIYTDPRKSRPLYQVSPYSSYNCSSSSSASAPWVLHSANGYRFNGISMPQYEGSMGSSRFIAKVHSKKNIRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKAAAAFSDGSGEEDEDCLGVAKNSNSSVKQRSTETEYNVNGCPSSSNLWAISSTGVQLSSSGTPVGSTPEAPSSQSGNHFEGSNSFADKKSTTGSNIDFHNPSLEFSL >KJB46862 pep chromosome:Graimondii2_0_v6:8:53381359:53382270:1 gene:B456_008G249700 transcript:KJB46862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGWSYGGGQSSLGYLFGADERPSAPTVTPPIQPPYGIDITPENPPPQYKPSSEQQTEKNTTNNYQRAKGQNAGNFITDRPSTKVKSVPGGDSSLGYLFGDK >KJB52285 pep chromosome:Graimondii2_0_v6:8:53733462:53737858:-1 gene:B456_008G254200 transcript:KJB52285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSRSLYSRATLIARRYQPSFAYIFHDDDRKTHPSTEPQSTQKPRNFGQQRSFGTGFSNSSSGLCGVLFHDRRCSQLAFLPGTGVSFGRFMSTKVNDGAKEVELMTDVAEAFKDTAIEAVTSQVPAVNEVAVAAADCWLPVATLQYVIDAVHSFTGFNWWASIVVTTLLIRSATVPLLINQLKATTKMTLMRPRLEEVKERMSSKGSDALSMAEGQNEMQKLFKEYGVTPFTPLKGIFIQGPIFVSFFLAISTMAEKMESFKSGGAYWFTDLSTPDSLYIFPVMTALTFLLTVECNMQEGMEGNPAAATIKNVSRVFAVLTVPFTMSFPKAIFCYWITSNIFSLGYGLVLKAPGVKKALGVPEIPKQPVVTTPQPSIDLYTALKQTLKQAKTASQQSTSLPIEPTKVANQITSSSSSSSSTINQRLRNLEKQVKGRKKNKKR >KJB52288 pep chromosome:Graimondii2_0_v6:8:53733462:53737858:-1 gene:B456_008G254200 transcript:KJB52288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSRSLYSRATLIARRYQPSFAYIFHDDDRKTHPSTEPQSTQKPRNFGQQRSFGTGFSNSSSGLCGVLFHDRRCSQLAFLPGTGVSFGRFMSTKVNDGAKEVELMTDVAEAFKDTAIEAVTSQVPAVNEVAVAAADCWLPVATLQYVIDAVHSFTGFNWWASIVVTTLLIRSATVPLLINQLKATTKMTLMRPRLEEVKERMSSKGSDALSMAEGQNEMQKLFKEYGVTPFTPLKGIFIQGPIFVSFFLAISTMAEKMESFKSGGAYWFTDLSTPDSLYIFPVMTALTFLLTVECNMQEGMEGNPAAATIKNVSRVFAVLTVPFTMSFPKAIFCYWITSNIFSLGYGLVLKAPGVKKALGVPEIPKQPVVTTPQPSIDLYTALKQTLKQAKTASQQSTSLPIEPTKVANQITSSSSSSSSTINQRLRNLEKQVKGRKKNKKR >KJB52289 pep chromosome:Graimondii2_0_v6:8:53733407:53737910:-1 gene:B456_008G254200 transcript:KJB52289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSRSLYSRATLIARRYQPSFAYIFHDDDRKTHPSTEPQSTQKPRNFGQQRSFGTGFSNSSSGLCGVLFHDRRCSQLAFLPGTGVSFGRFMSTKVNDGAKEVELMTDVAEAFKDTAIEAVTSQVPAVNEVAVAAADCWLPVATLQYVIDAVHSFTGFNWWASIVVTTLLIRSATVPLLINQLKATTKMTLMRPRLEEVKERMSSKGSDALSMAEGQNEMQKLFKEYGVTPFTPLKGIFIQGPIFVSFFLAISTMAEKMESFKSGGAYWFTDLSTPDSLYIFPVMTALTFLLTVECNMQEGMEGNPAAATIKNVSRVFAVLTVPFTMSFPKAIFCYWITSNIFSLGYGLVLKAPGVKKALGVPEIPKQPVVTTPQPSIDLYTALKQTLKQAKTASQQSTSLPIEPTKVANQITSSSSSSSSTINQRLRNLEKQVKGRKKNKKR >KJB52286 pep chromosome:Graimondii2_0_v6:8:53734146:53737512:-1 gene:B456_008G254200 transcript:KJB52286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSRSLYSRATLIARRYQPSFAYIFHDDDRKTHPSTEPQSTQKPRNFGQQRSFGTGFSNSSSGLCGVLFHDRRCSQLAFLPGTGVSFGRFMSTKVNDGAKEVELMTDVAEAFKDTAIEAVTSQVPAVNEVAVAAADCWLPVATLQYVIDAVHSFTGFNWWASIVVTTLLIRSATVPLLINQLKATTKMTLMRPRLEEVKERMSSKGSDALSMAEGQNEMQKLFKEYGVTPFTPLKGIFIQGPIFVSFFLAISTMAEKMESFKSGGAYWFTDLSTPDSLYIFPVMTALTFLLTVECNMQEGMEGNPAAATIKNVSRVFAVLTVPFTMSFPKAIFCYWITSNIFSLGYGLGESVNFMLSID >KJB52287 pep chromosome:Graimondii2_0_v6:8:53733462:53737858:-1 gene:B456_008G254200 transcript:KJB52287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSRSLYSRATLIARRYQPSFAYIFHDDDRKTHPSTEPQSTQKPRNFGQQRSFGTGFSNSSSGLCGVLFHDRRCSQLAFLPGTGVSFGRFMSTKVNDGAKEVELMTDVAEAFKDTAIEAVTSQVPAVNEVAVAAADCWLPVATLQYVIDAVHSFTGFNWWASIVVTTLLIRSATVPLLINQLKATTKMTLMRPRLEEVKERMSSKVCDALSMAEGQNEMQKLFKEYGVTPFTPLKGIFIQGPIFVSFFLAISTMAEKMESFKSGGAYWFTDLSTPDSLYIFPVMTALTFLLTVECNMQEGMEGNPAAATIKNVSRVFAVLTVPFTMSFPKAIFCYWITSNIFSLGYGLVLKAPGVKKALGVPEIPKQPVVTTPQPSIDLYTALKQTLKQAKTASQQSTSLPIEPTKVANQITSSSSSSSSTINQRLRNLEKQVKGRKKNKKR >KJB48193 pep chromosome:Graimondii2_0_v6:8:8573349:8573897:-1 gene:B456_008G056400 transcript:KJB48193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIRDCLWQTHQPNSALQSPFHALDPISLILSLNSSPSNPIPLRLTTESYIMERGPRYRAYAQLRETRLRMKSGKQQEREETEFKQTPKKKLVKFSSSLGISRQGSPVLGQSEPDFTASLRKENRKPRVTGGIELTPSGKNWSKGNKVLLKNSRGTKSANSGEKKGRLTMARESFARVLKY >KJB52416 pep chromosome:Graimondii2_0_v6:8:54176104:54177263:-1 gene:B456_008G261000 transcript:KJB52416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGNPAAATIKNVSRVFAVLTVPFTMSFPKAIFCYWITSNLFSLGYGLVLKVPGVKKALGVPEIPKQPVVTAPRPSIDLYTALKQAKTASQQSTSLPIEPTEVANQITSSSSRPSIRGLEI >KJB52813 pep chromosome:Graimondii2_0_v6:8:55613251:55614858:1 gene:B456_008G278700 transcript:KJB52813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSLFVILLSVSWKSSSTLAYPSEGFLHCLSLHFANSTSISKLVYTQRNSSFSSVLKSSAQNFRFTTPSTPTPLFIITPLQPSHIQAAIYCSRIHGLHVRTRSGGHDFEGLSYTTANYIVPFVVIDLVNLRSVQVDVEKATAWVESGATIGELYYGIAQKSRTLAFPAGLFYTVGVGGQFSGGGYGPLFRKYGLAADNVIDARLIDAKGRILDRKSMGEDLFWAIRGGGGGSFGIVLAWKLKLVAVPAIVTVFTVSKTLEQNATKLVHRWQSIAHKFPKELLMSIFISSVKSSEADKKMTIKANFSSMFLGSIDELVPLMEERFPEFGLVRDDCLEMSWVEAILSTQGGVQLETLLERHQKTGVSQTFFKAKFDCVKQPIPEMGLEGLWPMFYEEEAKMANIFLVAYGGKMDEIPETEIPFPHRAGIIYSIIYVVDWDENGNKNSKRFLNWIRRVYDYMTPYVSNSPREAYVNYKDLQIGSNNMFSCKGSYAQAKIWGRKYFKNNFDRLVYVKTKVDPENFFRHEQSIPPLSCF >KJB49431 pep chromosome:Graimondii2_0_v6:8:35600499:35602434:-1 gene:B456_008G119800 transcript:KJB49431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLKLDLHDDKAKQKALKTVSTLSGIDSIAMDMKAKQLTVVGTVDPVTVVSKLRKHWPTDIVLVGPAKEPEKKEEPKKEGEAKKEDEQKKEGEPKKEDEQKKDEAKKEEEGKKEDETKKDGEKKEEEKKALPPPDPVLELVKAYRAYNPHMTTYYYVQSMEENPNACVIC >KJB49430 pep chromosome:Graimondii2_0_v6:8:35600460:35602558:-1 gene:B456_008G119800 transcript:KJB49430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVLKLDLHDDKAKQKALKTVSTLSGIDSIAMDMKAKQLTVVGTVDPVTVVSKLRKHWPTDIVLVGPAKEPEKKEEPKKEGEAKKEDEQKKEGEPKKEDEQKKDEAKKEEEGKKEDETKKDGEKKEEEKKALPPPDPVLELVKAYRAYNPHMTTYYYVQSMEENPNACVIC >KJB53251 pep chromosome:Graimondii2_0_v6:8:57092479:57093984:1 gene:B456_008G298600 transcript:KJB53251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYDRERSRGRGGSGSKDKIDALGRLLTRILRHMATELNLNMRSDGYVKVEDLLKLNMRAFANIPLRSQTVDDIKEAVRKDNKQRFSLLEENGELLIRANQGHTVMTVESERLLKQILSADEVQFCVHGTYKRNLESILESGLKRMKRLHVHFSSGLLTDGEVISGMRRDVTVLIYLDVRKALE >KJB47349 pep chromosome:Graimondii2_0_v6:8:2523320:2523547:1 gene:B456_008G022300 transcript:KJB47349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TILGKFRTNEAFENYVSIDRLQFSNEKGLNPYDEITFMECDNGKAHNEFKVLIKRKIRLFGQNIWGEVMM >KJB52205 pep chromosome:Graimondii2_0_v6:8:53444285:53451521:1 gene:B456_008G250500 transcript:KJB52205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGSWPTHERRWASDTIPANTILSATTSPGTEYNSAEEFVEVTLDLQDDDTIILRSVEPATVINVDEGSDTSVSASRSPTTRSSSNRLRQFSQELKAEAVAKARQFSQELKAELRKFSWGNGHASQTVNGFDSALAARALRKQRAQLDRTRSGAHKALRGLRFISNNKANAWEEVENKFNKLAKDGYLFRSDFAQCIGMKDSKEFALEMFDALSRRRRLKVEKISKDELYEYWSQITDQSFDSRLQIFFDMVDKNEDGRITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLSYSQALSYTSQALSQNLQGLRKKGRIRRMSTKLVYYLEENWKRIWVVSLWIMVMIGLFTWKFFQYKQKSAFKVMGYCLLTAKGAAETLKFNMALILMPVCRNTITWLRSTKLGLFVPFDDNINFHKTIAAAISIGVILHVGNHLACDFPRLISSSNYKYKKFLKNDFGSPKPTYIDLVKGTEGVTGVLMVIFMAIAFTLATRWFRRNLIKLPKPFDRITGFNAFWYSHHLFVIVYVLLIIHGEFLYLVHIWYRRTTWMYLAVPVLLYAGERILRFFRSGFSTVRLLKVAIYPGGVLTLQMSKPPQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQALKRLFSEVCEPPVAGKSGLLRADEATKKSLPKLLIDGPYGAPAQDYSKYDVLLLVGLGIGATPFISILKDLLSNIVKMEEQADSVSDTSKASDVSVASSNESTTPNRVPSKRKKTLKTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVGSIFCVIFILSAYDCL >KJB52204 pep chromosome:Graimondii2_0_v6:8:53444285:53450034:1 gene:B456_008G250500 transcript:KJB52204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGSWPTHERRWASDTIPANTILSATTSPGTEYNSAEEFVEVTLDLQDDDTIILRSVEPATVINVDEGSDTSVSASRSPTTRSSSNRLRQFSQELKAEAVAKARQFSQELKAELRKFSWGNGHASQTVNGFDSALAARALRKQRAQLDRTRSGAHKALRGLRFISNNKANAWEEVENKFNKLAKDGYLFRSDFAQCIGMKDSKEFALEMFDALSRRRRLKVEKISKDELYEYWSQITDQSFDSRLQIFFDMVDKNEDGRITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLSYSQALSYTSQALSQNLQGLRKKGRIRRMSTKLVYYLEENWKRIWVVSLWIMVMIGLFTWKFFQYKQKSAFKVMGYCLLTAKGAAETLKFNMALILMPVCRNTITWLRSTKLGLFVPFDDNINFHKTIAAAISIGVILHVGNHLACDFPRLISSSNYKYKKFLKNDFGSPKPTYIDLVKGTEGVTGVLMVIFMAIAFTLATRWFRRNLIKLPKPFDRITGFNAFWYSHHLFVIVYVLLIIHGEFLYLVHIWYRRTTWMYLAVPVLLYAGERILRFFRSGFSTVRLLKVAIYPGGVLTLQMSKPPQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQALKRLFSEVCEPPVAGKSGLLRADEATKKSLPKLLIDGPYGAPAQDYSKYDVLLLVGLGIGATPFISILKDLLSNIVKMEEQAVRFSLRYQ >KJB52203 pep chromosome:Graimondii2_0_v6:8:53444134:53451583:1 gene:B456_008G250500 transcript:KJB52203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGSWPTHERRWASDTIPANTILSATTSPGTEYNSAEEFVEVTLDLQDDDTIILRSVEPATVINVDEGSDTSVSASRSPTTRSSSNRLRQFSQELKAEAVAKARQFSQELKAELRKFSWGNGHASQTVNGFDSALAARALRKQRAQLDRTRSGAHKALRGLRFISNNKANAWEEVENKFNKLAKDGYLFRSDFAQCIGMKDSKEFALEMFDALSRRRRLKVEKISKDELYEYWSQITDQSFDSRLQIFFDMVDKNEDGRITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLSYSQALSYTSQALSQNLQGLRKKGRIRRMSTKLVYYLEENWKRIWVVSLWIMVMIGLFTWKFFQYKQKSAFKVMGYCLLTAKGAAETLKFNMALILMPVCRNTITWLRSTKLGLFVPFDDNINFHKTIAAAISIGVILHVGNHLACDFPRLISSSNYKYKKFLKNDFGSPKPTYIDLVKGTEGVTGVLMVIFMAIAFTLATRWFRRNLIKLPKPFDRITGFNAFWYSHHLFVIVYVLLIIHGEFLYLVHIWYRRTTWMYLAVPVLLYAGERILRFFRSGFSTVRLLKVAIYPGGVLTLQMSKPPQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQALKRLFSEVCEPPVAGKSGLLRADEATKKSLPKLLIDGPYGAPAQDYSKYDVLLLVGLGIGATPFISILKDLLSNIVKMEEQADSVSDTSKASDVSVASSNESTTPNRVPSKRKKTLKTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPKWKNVLSKLSSKHCNARIGVFYCGAPVLAKELSKLCYELNQKGSTKFEFHKEHF >KJB52720 pep chromosome:Graimondii2_0_v6:8:55253406:55258512:-1 gene:B456_008G274000 transcript:KJB52720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRNASTMDDVDRLFECFKCGISPPQSAVRERKGCRSKMNQGNSTRKVSASPCSPSLSEQRKATATSEQLSVEKCVSTAVQPGKFSRGKQFSPVIFYGSPHGVPPKRPLSLLRLLREIHIDLSEQEKLNLRTQVWATFPRQDEAVKFAKVHANAHVFSYQDHYSGQRRYLASTYEEFWKRYKIMESKLRHHYEVIQESFPCHLYFDLEFNKRDNLGRDGDEMVDLLISVILEALLEKYSINGNQDWVVELDSSTEEKFSRHLIMRMPKTAFKDNSHVGAFVAEICSRIASAKETDERFEKLYVKKDSTSDSPDQLFVDTAVYSRNRCFRLALSSKAGKNSFLLPTGRFKCKDMGEEDMFMTSLICKMDDDCAKLLVCKMELDCVKTLQFETEVTSNFGRYCRAPENTGISDVSTTYQTGKSPFPYLDEFIVSIASTGNVPGKIRCWYWFSEYGLVIYSMLRNRYCERIGREHKSNHVMYVVDMRRAAYYQKCYDPDCKGYRSPLRPIPTDCVPDSSFFFDSSDDGSFTSNNLEYRYVNNDEAIKVADHIESKPERLMLDDTENMNDEDDEWWMAAERTATQFELTHSG >KJB52721 pep chromosome:Graimondii2_0_v6:8:55253414:55258276:-1 gene:B456_008G274000 transcript:KJB52721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRNASTMDDVDRLFECFKCGISPPQSAVRERKGCRSKMNQGNSTRKVSASPCSPSLSEQRKATATSEQLSVEKCVSTAVQPGKFSRGKQFSPVIFYGSPHGVPPKRPLSLLRLLREIHIDLSEQEKLNLRTQVWATFPRQDEAVKFAKVHANAHVFSYQDHYSGQRRYLASTYEEFWKRYKIMESKLRHHYEVIQESFPCHLYFDLEFNKRDNLGRDGDEMVDLLISVILEALLEKYSINGNQDWVVELDSSTEEKFSRHLIMRMPKTAFKDNSHVGAFVAEICSRIASAKETDERFEKLYVKKDSTSDSPDQLFVDTAVYSRNRCFRLALSSKAGKNSFLLPTGRFKCKDMGEEDMFMTSLICKMDDDCAKLLVCKMELDCVKTLQFETEVTSNFGRYCRAPENTGISDVSTTYQTGKSPFPYLDEFIVSIASTGNVPGKIRCWYWFSEYGLVIYSMLRNRYCERIGREHKSNHVMYVVDMRRAAYYQKCYDPDCKGYRSPLRPIPTDCVPDSSFFFDSSDDGSFTSNNLEYRYVNNDEGRVLLYSNEGDLDYCTKDSWWLEAIKVADHIESKPERLMLDDTENMNDEDDEWWMAAERTATQFELTHSG >KJB50525 pep chromosome:Graimondii2_0_v6:8:45141651:45145622:-1 gene:B456_008G175400 transcript:KJB50525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTDFVRSNSHFSKNMDSIQDSVFYLDRSVMECNLPELVVCYKESTYHVVKDICIDDGVLTKDKFLFDSGANEKFLPSKTDLEAQLVKENLKAHPEGNQSGKDIDDECGMKKKLDADTCIQDVSLLEESESNKGIPCQCDSKDLILSREMKEDAVKMITEDVSKELYTLGLGELLLMSEMSTVKAEIVCSDCRSDGTQQQNFQNSSEKEVTVMPALVSPVEESNNGNEEAILSAPALVSAAEGSEHGKWEATLISPVLASASEESTGSRIVDEVSDSSARTSSKDRCCHNLDLEPLASGSTPKLEDPADQLLSSNLQRGYGECSFSAAGLITYSGPIAYSGSLSHRSDSSTTSTRSFAFPILQSEWNSSPVRMAKAEGRHYRKHRGWRQGLLCCRF >KJB50524 pep chromosome:Graimondii2_0_v6:8:45141674:45145415:-1 gene:B456_008G175400 transcript:KJB50524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTDFVRSNSHFSKNMDSIQDSVFYLDRSVMECNLPELVVCYKESTYHVVKDICIDDGVLTKDKFLFDSGANEKFLPSKTDLEAQLVKENLKAHPEGNQSGKDIDDECGMKKKLDADTCIQDVSLLEESESNKGIPCQCDSKDLILSREMKEDAVKMITEDVSKELYTLGLGELLLMSEMSTVKAEIVCSDCRSDGTQQQNFQNSSEKEVTVMPALVSPVEESNNGNEEAILSAPALVSAAEGSEHGKWEATLISPVLASASEESTGSRIVDEVSDSSARTSSKDRCCHNLDLEPLASGSTPKLEDPADQLLSSNLQRGYGECSFSAAGLITYSGPIAYSGSLSHRSDSSTTSTRSFAFPILQSEWNSSPVRMAKAEGRHYRKHRGWRQGLLCCRF >KJB53004 pep chromosome:Graimondii2_0_v6:8:56340376:56342716:-1 gene:B456_008G288200 transcript:KJB53004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQAMSPSFSLSSLSCHSYLTSSSSRFLSLKPSLQPLKHLNSFNYHPHIPSLTSAKSFKSRTRATLDEKEKNPSLIQEQQPNQEVEKSVKVLKNAAKTRKVPAEEVLSAFSVIEKAKIDPSAFLETLGGLDSPGRTWMLIFTAEKKLKGGRYFPLTAVQRFDAAGRRIENGVFLGPIGFLTFEGKFSWKNRILSFIFERIRVKIGPFNPLEIGLGQKDDREPNTKDPFFIWFYIDEEIAVARGGSGGTAFWCRCTRVTT >KJB52184 pep chromosome:Graimondii2_0_v6:8:53357142:53360987:-1 gene:B456_008G249300 transcript:KJB52184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDAKKDSEMVWDQIQMRSPSGNPLVLGHSTRPIPKLMVWLILFVSVTYVVYTLKLLTASVHHTSDDFPFTLSTRTGITSPPSILNQTAEPTSPLLRHRDVREKPVVAVQMPNKPKPTEIHDIVFGIAASSKLWQQRKEYIKIWYKPNKMRGVVWLDDRVKYSPGDKQTLPPVRVSSDTSNFAYTNRQGHRSAIRISRIVTETLRLKMDNVRWFVMGDDDTVFITDNLVRILRKYDHTQYYYIGSLSESHIQNIFFSYGMAYGGGGFAISYPLAKALAKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIFPNVTRVEALQRLMLPVKLDSAGIMQQSICYDKSKSWTISVSWGFAVQIFRGIFSPREIEMPSRTFLNWYRRADYTAYAFNTRPVSRNPCQKPFVFYMSKVGMDSELNQTVSEYERHHVPHPPCRWKMANPGALEMVIVNKKPDPHLWDRSPRRNCCKVMESKEPGTMVVNVGVCKDGEVSEV >KJB50853 pep chromosome:Graimondii2_0_v6:8:47239482:47247688:-1 gene:B456_008G189900 transcript:KJB50853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLNIAVEFSAEEFETALITPNDTLADIHIPLLKAIPPITRMPLTRDTWVTVLCRKLRDWWHWVADGDLPIVASHGTEIELYKSLDPGVRVVILKALCDIRVEQDDIRSYIDNSLKHGVQLSAFRKERIGGDSQGINYWYEDDAVVGHRLYREIRKVELKKAKMKGSHVPNSATYLWETVATNFEEFQDVSEKLFASKNRTEASLGKKLKNDMLPEIEKEHKRKERLLKKQHRQALLLDNFLAVDGLGPGRSLRDRKPVTYTFDDYDRSINEAIKITKRKAPSPDPLNGRDVAKSEPSVNGKLSGPSHGSEQDSYNLPSPKSPEYDDFDDNKSGELDRSNRRRQRPQRYSAKEFVEAVSDNEADFDSDDDIVGEAVYDEEYLRKRKQRRQSSSSEGDEEYRWEEENAEDEEEEEEDSLSISEDSDEAPKVKKLPGRTRRETKLRSVDELQSGLRRSKRATRNRINYRQYELSESETESKPEKSNPSDEHSDASEKEEFSEGSQDSNGSDDEQEMKVNPPMEGNSDPVEKEQSQAPEQSSGSGQDEADGAQKRRFLDLNELAPGSGCDDGPNTIMKDEDRNDF >KJB50852 pep chromosome:Graimondii2_0_v6:8:47240088:47247241:-1 gene:B456_008G189900 transcript:KJB50852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSSPSLPIPSDPPQNDTLPPQNDTPSTQTPDATPIPPLTRSNRPSRACTIRASQRLYAQQQQAATERRQKQAKKDQQHNHHQPKQPKDDSDGSSSPQLQCGGNSKIVTPLVAPPEPSQLPRWTIRSMWELASVFNFLHVFRPLLNIAVEFSAEEFETALITPNDTLADIHIPLLKAIPPITRMPLTRDTWVTVLCRKLRDWWHWVADGDLPIVASHGTEIELYKSLDPGVRVVILKALCDIRVEQDDIRSYIDNSLKHGVQLSAFRKERIGGDSQGINYWYEDDAVVGHRLYREIRKVELKKAKMKGSHVPNSATYLWETVATNFEEFQDVSEKLFASKNRTEASLGKKLKNDMLPEIEKEHKRKERLLKKQHRQALLLDNFLAVDGLGPGRSLRDRKPVTYTFDDYDRSINEAIKITKRKAPSPDPLNGRDVAKSEPSVNGKLSGPSHGSEQDSYNLPSPKSPEYDDFDDNKSGELDRSNRRRQRPQRYSAKEFVEAVSDNEADFDSDDDIVGEAVYDEEYLRKRKQRRQSSSSEGDEEYRWEEENAEDEEEEEEDSLSISEDSDEAPKVKKLPGRTRRETKLRSVDELQSGLRRSKRATRNRINYRQYELSESETESKPEKSNPSDEHSDASEKEEFSEGSQDSNGSDDEQEMKVNPPMEGNSDPVEKEQSQAPEQSSGSGQDEADGAQKRRFLDLNELAPGSGCDDGPNTIMKDEDRNDF >KJB47681 pep chromosome:Graimondii2_0_v6:8:4531904:4532479:1 gene:B456_008G036400 transcript:KJB47681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSKKVTLSSSDGRTFEVEEAVAFQSQTIKHLYETGCTGGFIPVPNVTGDILSMVLRYCEKHVDSDTAAGKESFYDNKLKRWDALFVKVDQSTLFDLILAADFMNINSLMDLTCKTVANMMKGKTSEEIRGTFNIKNDYTPEAEEMVRRENQWAFE >KJB53037 pep chromosome:Graimondii2_0_v6:8:56454445:56457338:-1 gene:B456_008G289800 transcript:KJB53037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQNKREFNTQPAVVVSSRWNPTPEQLRTLEELYRRGTRTPSADQIQHITAQLRRYGKIEGKNVFYWFQNHKARERQKRRRQMESTQDDHPDNFERKDSETNRTGYEVEQTKNWALPINCSTLAEESVSIQMAAKAAVSECRADGWIQFEERELQGSKNFVERNDTWQHKMQFSYSCLSPVLSPNPTSTTAAISTVTTVAPPPPIRPMDPKHQYQHQQLFKTHHGLNNIFKSPCRYNNNNANVFDFNFVRNQEATLGECDSQTLQLFPLRSGNDDGSDDSNEKENEISIATAPGNANLGGPNYQFFEFLPLKS >KJB53036 pep chromosome:Graimondii2_0_v6:8:56454402:56457762:-1 gene:B456_008G289800 transcript:KJB53036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMMGYNDGGAEFNMPDSFNGRKLRPLIPRPNNNSPCLSRINGSDFFALSMGDQNKREFNTQPAVVVSSRWNPTPEQLRTLEELYRRGTRTPSADQIQHITAQLRRYGKIEGKNVFYWFQNHKARERQKRRRQMESTQDDHPDNFERKDSETNRTGYEVEQTKNWALPINCSTLAEESVSIQMAAKAAVSECRADGWIQFEERELQGSKNFVERNDTWQHKMQFSYSCLSPVLSPNPTSTTAAISTVTTVAPPPPIRPMDPKHQYQHQQLFKTHHGLNNIFKSPCRYNNNNANVFDFNFVRNQEATLGECDSQTLQLFPLRSGNDDGSDDSNEKENEISIATAPGNANLGGPNYQFFEFLPLKS >KJB49869 pep chromosome:Graimondii2_0_v6:8:39419157:39420366:1 gene:B456_008G143000 transcript:KJB49869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFIAVCCAGGGGGGEKCPNLELVIIQFLEFVNKLPLLKFVQAYRRQALKWHPDRWMKNPNVSGEAKKRFQQIQEAYSVLSDKGKRKIYDAGLLGLLTDDDDEGFLNFMQEMVLMMQNVESKEGNSLEDLQGSLMDMMAEDERRIFGFEWDSSQNAKKRARFC >KJB50658 pep chromosome:Graimondii2_0_v6:8:45866255:45869009:-1 gene:B456_008G181300 transcript:KJB50658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAISDELLGTIVPIVVYWIYSGIYVCLGSFENYRLHSKTDEDEKNLVSKQTVVKGVLLQQSVQAVVAILLFTLSGSDAGASAQQSSSFITLVRQFVTAMVVLDTWQYFLHRYMHENKFLYRHVHSLHHRLVVPYAFGALYNHPVEGLLGDTVGGALSFLLSGMSPRTSIFFFSFATIKTVDDHCGLMLPGNPFHIFFRNNSAYHDIHHQLYGTKYNFSQPFFVMWDRILGTYMPYSLEKRTEGGFEARPTKEFKDD >KJB46850 pep chromosome:Graimondii2_0_v6:8:48803054:48805948:1 gene:B456_008G2023002 transcript:KJB46850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISSALIIWKGLICIIGSESPVVVVLSGSMKPGFKRVHEQRDSKEADILTKGDANLYDDRMLCTSSNSWLQQKYIMGRAVGKDPITFTYAYL >KJB49826 pep chromosome:Graimondii2_0_v6:8:39031777:39032590:1 gene:B456_008G139600 transcript:KJB49826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSWFLKLLLLSMLFILSLSQGLSRKWMENNVEFREYNVQLEEECAERAREMIEIMDYKEPGPNTNPRTSYIFGPPPQPQL >KJB49827 pep chromosome:Graimondii2_0_v6:8:39031777:39032590:1 gene:B456_008G139600 transcript:KJB49827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSWFLKLLLLSMLFILSLSQGLSRKWMENNVEFREYNVQLEECAERAREMIEIMDYKEPGPNTNPRTSYIFGPPPQPQL >KJB52144 pep chromosome:Graimondii2_0_v6:8:53214037:53216628:-1 gene:B456_008G248000 transcript:KJB52144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDLMKLMMSDYKVEMMNDGMQEFYVEFNGPKDSPYHGGVWRIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRTAYEEKVKEYREKYAKPEDVAGAEEVKSSDEELSEDEYAASDDEEIAGKPDL >KJB52143 pep chromosome:Graimondii2_0_v6:8:53214037:53216589:-1 gene:B456_008G248000 transcript:KJB52143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDLMKLMMSDYKVEMMNDGMQEFYVEFNGPKDSPYHGGVWRIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRTAYEEKVKGAEEVKSSDEELSEDEYAASDDEEIAGKPDL >KJB52142 pep chromosome:Graimondii2_0_v6:8:53214037:53216589:-1 gene:B456_008G248000 transcript:KJB52142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDLMKLMMSDYKVEMMNDGMQEFYVEFNGPKDSPYHGGVWRIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDPLNGEAAALMMRDRTAYEEKVKEYREKYAKPEDVAGAEEVKSSDEELSEDEYAASDDEEIAGKPDL >KJB48481 pep chromosome:Graimondii2_0_v6:8:12216214:12219711:1 gene:B456_008G070900 transcript:KJB48481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGSSHINAKEYFSIMWYQDMRHLKNSVKTPKKQSSTPHTEVQSSLKQEILQLEKRLQDQFEIRRAVETALGYQISSHEHTNETPVSISKPPTELIKEIAVLELEVVYLEQYLLSLYRKAFDQQVSSISPAKRDERLKTPIHTPSVRDERLKTPVTTPSKKDERLKTPVHTPSGRFLEVPRLDDDASKVDNSAVRSGYNENSWKEPIDIVGEKLLDSGVNRCYSTLSQRSKFPSWTSPLDETLADKAVRACHSQPLSMMEYAQNASNIISLAEHLGTCISDHAPDTPNKLSEDMIKCMSVIYCKLTDPPLIQNSFSSPISSVSSASAFSPQEQHDMWSPGLRNNSSFDVRLDNPFHVEGLKEFSGPYSTMLEVPWIFRDSEKLAEVENLLQNFRSLICKLEEVDPRKLRHEEKLAFWINIHNSLVMHAFLAYGIPQNNVKRFFLLLRAAYNIGGHTISADTIQSSILGCRMPRPGQWLRLLISARTKFKAGDRRQAYAIEHPEPLLHFALGSGNHSDPAVRAYTPKNVIQELETAKQEYIRATFGVRKDLKILLPKLLESFAKDSSLCQAGIIEMVRQSLPESLRRSIRKCQVGKSRKSIEWSPHDFTFRYLISKELVK >KJB48480 pep chromosome:Graimondii2_0_v6:8:12215736:12219711:1 gene:B456_008G070900 transcript:KJB48480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLRVAMAVLKSCFVQVLSIDSWCLILSHSSFSVKQRVEEDGLDDSLEESNRIKLDMRHLKNSVKTPKKQSSTPHTEVQSSLKQEILQLEKRLQDQFEIRRAVETALGYQISSHEHTNETPVSISKPPTELIKEIAVLELEVVYLEQYLLSLYRKAFDQQVSSISPAKRDERLKTPIHTPSVRDERLKTPVTTPSKKDERLKTPVHTPSGRFLEVPRLDDDASKVDNSAVRSGYNENSWKEPIDIVGEKLLDSGVNRCYSTLSQRSKFPSWTSPLDETLADKAVRACHSQPLSMMEYAQNASNIISLAEHLGTCISDHAPDTPNKLSEDMIKCMSVIYCKLTDPPLIQNSFSSPISSVSSASAFSPQEQHDMWSPGLRNNSSFDVRLDNPFHVEGLKEFSGPYSTMLEVPWIFRDSEKLAEVENLLQNFRSLICKLEEVDPRKLRHEEKLAFWINIHNSLVMHAFLAYGIPQNNVKRFFLLLRAAYNIGGHTISADTIQSSILGCRMPRPGQWLRLLISARTKFKAGDRRQAYAIEHPEPLLHFALGSGNHSDPAVRAYTPKNVIQELETAKQEYIRATFGVRKDLKILLPKLLESFAKDSSLCQAGIIEMVRQSLPESLRRSIRKCQVGKSRKSIEWSPHDFTFRYLISKELVK >KJB49572 pep chromosome:Graimondii2_0_v6:8:36670038:36671234:1 gene:B456_008G126000 transcript:KJB49572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPPYTEDDLNASTASPESAMKHDTKGGGGTRHPVYRGVRKRRWGKWVSEIREPRKKSRIWLGSFPVPEMAAKAYDVAAFCLRGRKAQLNFPDEVDDLPIPLTSTARDIQAAAAKAAHLVVSSSKKIPESSEDGSDDFWGEIELPELMNNGGCQWNSCGWTFAGDSSWLDGETQTQQHFLACL >KJB50350 pep chromosome:Graimondii2_0_v6:8:43453235:43454615:-1 gene:B456_008G165400 transcript:KJB50350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREDTVRNGHFLADRRWFFPIVIAGLIAGAIVTSSFIKAADYSLLCSVANTIPPQGVGNGSATPIQLQAIIHYATSRITPQQNFREISVTFDVLKKRSPCNFLVFGLGYDSMMWTSLNPNGNTIFLEEDPKWVQTVLKDAPVLHAHPVKYRTQLKEADALLSHYRSEPSCFPSEAYLRGNDKCKLALTGFPDEFYDTEWDLIMIDAPRGYFPEAPGRMAAIFSAAVMARNRKGSGVTHVLLHDVDRRVEKVFAEEFLCRKYLVKSVGRLWHFEIPSAANMSSHGGAQFC >KJB51715 pep chromosome:Graimondii2_0_v6:8:51516453:51517826:-1 gene:B456_008G229100 transcript:KJB51715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:Projected from Arabidopsis thaliana (AT2G18370) UniProtKB/TrEMBL;Acc:A0A178VTK3] MMGSRIKISALGVTMLLLLIAPASNAAISCSVVIKDLRPCVNYLTKGSGKPPSACCAGASALASATSSSADKKAACECIKSASKNIKPNNQLAQALPSNCGITLPVTISPNVDCSKVG >KJB51714 pep chromosome:Graimondii2_0_v6:8:51516922:51517751:-1 gene:B456_008G229100 transcript:KJB51714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:Projected from Arabidopsis thaliana (AT2G18370) UniProtKB/TrEMBL;Acc:A0A178VTK3] MMGSRIKISALGVTMLLLLIAPASNAAISCSVVIKDLRPCVNYLTKGSGKPPSACCAGASALASATSSSADKKAACECIKSASKNIKPNNQLAQALPSNCGITLPVTISPNVDCSKVG >KJB47408 pep chromosome:Graimondii2_0_v6:8:2912575:2913808:1 gene:B456_008G025100 transcript:KJB47408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKWKTRVQCTTPNKVRDFSQFTSTSLSRLHRDLSRLRRRLMSPPPPPLHPLSSEQEISVMVSTLKNVITGSTPSSSSADLRFDFGAPGGSASTFTGGFNADRGNMVFQVSEVLDKCHVCKFDGCLGCNLFPPSQQEEKKGTTSATTTTSAIKTKTKRVKKNYRGVRQRPWGKWAAEIRDPRRATRVWLGTFNTAEEAARAYDKAAIDFRGPRAKLNFPFPDSNSKADVIPPPPAAATAVGFDHEISTRSVQKEKERNDVISMEMDTEKGLGSTEFWDAIGEDEIQQWMMTMSEFGGDSYSSDSATTTVTTGNVHTF >KJB49319 pep chromosome:Graimondii2_0_v6:8:34713534:34714184:-1 gene:B456_008G114600 transcript:KJB49319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCINSTPSNSQSQKHSYNSHNIRLIPTLNRWRRLNKKKKKKMAIMGIEKTNEMEMKNLKLFMENQSIIKENERLRNKALLLHQENLTLLAQLQHNFSINN >KJB50489 pep chromosome:Graimondii2_0_v6:8:44966760:44970597:-1 gene:B456_008G173900 transcript:KJB50489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRMLVYLTVEYSNGRDQILVGKSLQLLLQTVVGRNGGKAQQLATSADGIPFKLTNALDIDPNTGMIYFTDSSRTFQRRQILFSAITFDRSGRLLKYDPRTKEVSVMYKGLAFPNGVALSKDHSFLLVAESIKMRILKFKVQDGGRGYVPEQLVQLSRIPDNIKSNEKGEFWVALNTGRESIQTDWLGFSIDPIGVKYDQDGKVLKQLDGNGGLTFNSVSEILEFNGTLYLGSVVKPYLGIFHA >KJB50488 pep chromosome:Graimondii2_0_v6:8:44966760:44970597:-1 gene:B456_008G173900 transcript:KJB50488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRMLVYLTVEYSNGRDQILVGKSLQLLLQTGRNGGKAQQLATSADGIPFKLTNALDIDPNTGMIYFTDSSRTFQRRQILFSAITFDRSGRLLKYDPRTKEVSVMYKGLAFPNGVALSKDHSFLLVAESIKMRILKFKVQDGGRGYVPEQLVQLSRIPDNIKSNEKGEFWVALNTGRESIQTDWLGFSIDPIGVKYDQDGKVLKQLDGNGGLTFNSVSEILEFNGTLYLGSVVKPYLGIFHA >KJB50491 pep chromosome:Graimondii2_0_v6:8:44966760:44970751:-1 gene:B456_008G173900 transcript:KJB50491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLSIKFLIVAFFLSQNILQTCQQLPISKNFFQIQLTQATGPESIAFDCKNEGPYVGVSDGRILKWQGSNLGWKEFAITSPNRDRKVCDGSTNPEFEPTCGRPLGLKFNSKTCDLYIADAYFGLLVVGRNGGKAQQLATSADGIPFKLTNALDIDPNTGMIYFTDSSRTFQRRQILFSAITFDRSGRLLKYDPRTKEVSVMYKGLAFPNGVALSKDHSFLLVAESIKMRILKFKVQDGGRGYVPEQLVQLSRIPDNIKSNEKGEFWVALNTGRESIQTDWLGFSIDPIGVKYDQDGKVLKQLDGNGGLTFNSVSEILEFNGTLYLGSVVKPYLGIFHA >KJB50490 pep chromosome:Graimondii2_0_v6:8:44966495:44970865:-1 gene:B456_008G173900 transcript:KJB50490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLSIKFLIVAFFLSQNILQTCQQLPISKNFFQIQLTQATGPESIAFDCKNEGPYVGVSDGRILKWQGSNLGWKEFAITSPNRQILFSAITFDRSGRLLKYDPRTKEVSVMYKGLAFPNGVALSKDHSFLLVAESIKMRILKFKVQDGGRGYVPEQLVQLSRIPDNIKSNEKGEFWVALNTGRESIQTDWLGFSIDPIGVKYDQDGKVLKQLDGNGGLTFNSVSEILEFNGTLYLGSVVKPYLGIFHA >KJB48218 pep chromosome:Graimondii2_0_v6:8:10066545:10068745:-1 gene:B456_008G062900 transcript:KJB48218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTLTALAEEKTLQASFVRDEDERPKVAYNQFSNDIPVISLAGIDDVDGKRGEICKKIVEACEDWGVFQVVDHGVDTKLVSEMTRFAREFFALPAEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPLKSRDYSRWPDKPEGWIEVTKEYSERLMGLACKLLEVLSEAMGLEKEALTKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQLEAKPLEEILA >KJB48217 pep chromosome:Graimondii2_0_v6:8:10066802:10067502:-1 gene:B456_008G062900 transcript:KJB48217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLACKLLEVLSEAMGLEKEALTKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDNGKTWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSNCSRLSIATFQNPAPDATVYPLKIREGEKPILEEPITFAEMYRRKMSKDLELARLKKLAKEQQQLKEKEAENEKPKLEAKPLEEILA >KJB48215 pep chromosome:Graimondii2_0_v6:8:10067088:10068474:-1 gene:B456_008G062900 transcript:KJB48215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTLTALAEEKTLQASFVRDEDERPKVAYNQFSNDIPVISLAGIDDVDGKRGEICKKIVEACEDWGVFQVVDHGVDTKLVSEMTRFAREFFALPAEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPLKSRDYSRWPDKPEGWIEVTKEYSERLMGLACKLLEVLSEAMGLEKEALTKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDNGKTWITVQPVEGAFVVNLGDHGHVISEQWEVQEC >KJB48219 pep chromosome:Graimondii2_0_v6:8:10067146:10068474:-1 gene:B456_008G062900 transcript:KJB48219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTLTALAEEKTLQASFVRDEDERPKVAYNQFSNDIPVISLAGIDDVDGKRGEICKKIVEACEDWGVFQVVDHGVDTKLVSEMTRFAREFFALPAEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPLKSRDYSRWPDKPEGWIEVTKEYSERLMGLACKLLEVLSEAMGLEKEALTKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDNGKTWITVQPVEGAFVVNLGDHGHVSFLLGKKIEIVMIYVRVE >KJB48214 pep chromosome:Graimondii2_0_v6:8:10066542:10068745:-1 gene:B456_008G062900 transcript:KJB48214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTLTALAEEKTLQASFVRDEDERPKVAYNQFSNDIPVISLAGIDDVDGKRGEICKKIVEACEDWGVFQVVDHGVDTKLVSEMTRFAREFFALPAEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPLKSRDYSRWPDKPEGWIEVTKEYSERLMGLACKLLEVLSEAMGLEKEALTKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDNGKTWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSNCSRLSIATFQNPAPDATVYPLKIREGEKPILEEPITFAEMYRRKMSKDLELARLKKLAKEQQQLKEKEAENEKPKLEAKPLEEILA >KJB48216 pep chromosome:Graimondii2_0_v6:8:10066545:10068745:-1 gene:B456_008G062900 transcript:KJB48216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTLTALAEEKTLQASFVRDEDERPKVAYNQFSNDIPVISLAGIDDVDGKRGEICKKIVEACEDWGVFQVVDHGVDTKLVSEMTPCKLLEVLSEAMGLEKEALTKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDNGKTWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSNCSRLSIATFQNPAPDATVYPLKIREGEKPILEEPITFAEMYRRKMSKDLELARLKKLAKEQQQLKEKEAENEKPKLEAKPLEEILA >KJB53287 pep chromosome:Graimondii2_0_v6:8:10673938:10675231:1 gene:B456_008G0660002 transcript:KJB53287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNTPIPMGDGFNEYESSLKRQKSTTSKVWDEMTKLECENKNELKAQCNHCKTIFSTKSSSGTSHLRSHLNSCLKKVNKDITQYTIATQPSPEGVPSIKNYKFDADECRKAISTFLVCGKHSFRTIEEPGFRYMMRIASPNFKNISRHTAATDVLMYYAKERDRVKEELAKAPSLVCLTSDNWNSEHTNDEYICITIHWVDKDWKLQKRIIRFRALFPPYDGLNIADEFVLCLSQWGIDKKIFSITLDNASYNDVMVSCLKNCFRENRAILCDGAFFQVRCCAHILNLIVKAGLVLADDVVGKIRNGIRYIRKSGIRRKRFYDVADKSFHLNVTKKLHQDVCVRWNSTYLMLESSLYYKDVLDYWGQRDKDYQMFALSNEEWRNVVILCKFLKVFYDVTCVFSVSNYPTANLYFRGVWKVHKVLLDIVK >KJB47922 pep chromosome:Graimondii2_0_v6:8:6630353:6632173:-1 gene:B456_008G048000 transcript:KJB47922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKHPLPRTSCFYSTTPPTSSSPHKPESLLVKKCIFLLQNCGSSKLKLRQIHAFSIRNGVPLNDPDIGKHLIHSLVSLSAPMSYPHNIFSCIRSSNVFTWNTMIRGYAESESSEPALQLYRQMRASCIQPDTHTYPFLLKAVAKLADVRIGEIVHSTVIRNGYDSLAFVQNSLLHMYAACGLVDSAHKAFELMSERDIVAWNSVINGFALNGKPNEALTLFREMGLEGVKPDGYTVLSLLSACAELCALALGRRVHIYMVKVGLTDNLHVNNALLDFYAKCGSIREARKVFDEMKERNAVSWSSLIVGLAVNGFGEEALELFKEMETEELVPSEVTFVGVLYACSHCGMVDEGFSYFKRMKEKYGIVPKIEHHGCIVDLLSRAGLVKEAYQYIRNMPLQPNAVIWRTLLGACAMHGHLTLGEYARAQVLKLEPGYSGDYVLLSNLYASEQRWSDVHVLRRKMLSDGVKKIPGYSLVEFGNHVYEFLMGDRSHPQSDQIYAMLAEITKRLRLEGYAPHTENVLADIEEEEKENALSYHSEKIAVAFMLLKTAPGTPIRIVKNLRICADCHLAIKLTSKVFEREIIVRDQSRFHHFRDGFCSCGDYW >KJB47100 pep chromosome:Graimondii2_0_v6:8:1277336:1281281:-1 gene:B456_008G011100 transcript:KJB47100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKKSTSAHAKRSRKKAAASSSLIPKFMKNPKTTMALALLIIDSLLVSFIIAYVPYTKIDWDAYMSQVSGFLGGERDYKNLKGDTGPLVYPAGFLYVYSAIQYVTGGQVFPAQILFGILYIINLGIVLLIYVKTDVLPWWALILLCLSKRVHSIFVLRLFNDCFAMTLLHAAMGSILFGWWHLGLIIFSAAVSIKMNVLLYAPSLFILMLKAMSISGVISALAGAALVQIVLGLPFLLSFPIEYISRAFNLGRVFIHFWSVNFKFVPEPIFVSKEFAVSLLIAHLVLLVVFAHYKWCKHEGGLFKFLRSRISFCSITSGSAWPKTLKKEHIVTTMFVGNFIGIICARSLHYQFYSWYFYSLPHLLWITPFPTLLR >KJB47099 pep chromosome:Graimondii2_0_v6:8:1277287:1281430:-1 gene:B456_008G011100 transcript:KJB47099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKKSTSAHAKRSRKKAAASSSLIPKFMKNPKTTMALALLIIDSLLVSFIIAYVPYTKIDWDAYMSQVSGFLGGERDYKNLKGDTGPLVYPAGFLYVYSAIQYVTGGQVFPAQILFGILYIINLGIVLLIYVKTDVLPWWALILLCLSKRVHSIFVLRLFNDCFAMTLLHAAMGSILFGWWHLGLIIFSAAVSIKMNVLLYAPSLFILMLKAMSISGVISALAGAALVQIVLGLPFLLSFPIEYISRAFNLGRVFIHFWSVNFKFVPEPIFVSKEFAVSLLIAHLVLLVVFAHYKWCKHEGGLFKFLRSRISFCSITSGSAWPKTLKKEHIVTTMFVGNFIGIICARSLHYQFYSWYFYSLPHLLWITPFPTLLRVLIFVGIELCWNVFPSNLYSSLLLLCLHLLILCGLWYSMAENPYESDKSDSRKVK >KJB53136 pep chromosome:Graimondii2_0_v6:8:56811067:56811755:1 gene:B456_008G294600 transcript:KJB53136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPATSLSKLHITNHHVASRLFIYMENDGDDDDDDDGADVAPAA >KJB48511 pep chromosome:Graimondii2_0_v6:8:12749866:12753901:-1 gene:B456_008G073100 transcript:KJB48511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g37170 [Source:Projected from Arabidopsis thaliana (AT4G37170) UniProtKB/Swiss-Prot;Acc:O23169] MRSIISRISHCSSLIHQNRVMISSSFSSQTQTHIPAPRRTSIKLNTTDNRITQLHNLCNQKRLKEAIQILNQIERPPASVYSTLIQLCCQNRALNEGKTVHKRMRYSGFLPGIVISNRLLDMYAKCGSLSDAQKVFDEMSEKDLCSWNTLISGYTKLGMLKEAKKLFDEMPERDNFSWTAMISGYVRFDKPKEALELYRMQEMSLLSKLNKFTVSSVLAASAAMGCLIIGKEIHGRITRAGLDFDDVVWSALMDMYGKCGSIDEARSVFDKLVDRDIVAWTAMIDRYFKDGRREEGFELFRQLMKSGIWPNEFTFAGVLNACAAAAAEEIGKQVHGYMSRVYFNPLSFAASALVHMYSKCGNVENAKRVFNGMPQPDLVSWTSLITGYAQNGQPDEALEYFELLLKSNTKPDHIAFVGVLSACTHAGLVDKGLEYFHSIKDIHGLTHTADHYACIIDLLARSGRFQEAENIISKMPMKPDKFLWASLLGGCRIHGNLELAEKAAKALFEIEPENPATYVTMANIYATAGRWDEVAKIRRSMDDKGVVKKPGLSWIEVKREIHVFLVGDTSHPKSKEIHEFLGKLSKRMREEGYVPDTNFVLHDVEEEQKEQNLSYHSEKLAVAFGIISTPPGTPLKVFKNLRTCVDCHNAIKYISKIVNRKITVRDSSRFHCFEDGNCSCRDYW >KJB51694 pep chromosome:Graimondii2_0_v6:8:51481783:51486260:-1 gene:B456_008G228700 transcript:KJB51694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRGCLECLLKLLNFLMTVAGLAMVGYGIYLFVKYKDAADTVMLLSPVGSDQDLIQLGRPMLMAVSLSSSIFDNLPKAWFLYLFIGVGVVLFIISCFGCIGTATRNLCCLTCYSLLVILLILVELGCAAFIFFDKSWKDEIPTDKTGDFDMIYEFLEENWTILKWVALGIVVLEAFIFLLALMVRAANIPADYDSDDEFIAPREQRQPLISRPPSVPASGVPVSVSLDQRPSRNDAWSARMREKYGLDTSEFTYNPAESNRYQQVVPPPAEERSRCTIM >KJB51696 pep chromosome:Graimondii2_0_v6:8:51481839:51485894:-1 gene:B456_008G228700 transcript:KJB51696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMAVSLSSSIFDNLPKAWFLYLFIGVGVVLFIISCFGCIGTATRNLCCLTCYSLLVILLILVELGCAAFIFFDKSWKDEIPTDKTGDFDMIYEFLEENWTILKWVALGIVVLEAFIFLLALMVRAANIPADYDSDDEFIAPREQRQPLISRPPSVPASGVPVSVSLDQRPSRNDAWSARMREKYGLDTSEFTYNPAESNRYQQVVPPPAEERSRCTIM >KJB51695 pep chromosome:Graimondii2_0_v6:8:51481839:51485693:-1 gene:B456_008G228700 transcript:KJB51695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRGCLECLLKLLNFLMTVAGLAMVGYGIYLFVKYKDAADTVMLLSPVGSDQDLIQLGRPMLMAVSLSSSIFDNLPKAWFLYLFIGVGVVLFIISCFGCIGTATRNLCCLTCYSLLVILLILVELGCAAFIFFDKSWKDEIPTDKTGDFDMIYEFLEENWTILKWVALGIVVLEAFIFLLALMVRAANIPADYDSDDEFIAPREQRQPLISRPPSVPASGVPVSVSLDQRPSRNDAWSARMREKYGLDTSEFTYNPAESNRYQQVVPPPAEERSRCTIM >KJB49147 pep chromosome:Graimondii2_0_v6:8:30758638:30759404:1 gene:B456_008G102600 transcript:KJB49147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAMLVAEEYERRVRISRNKVGVEKQQELGSCVGVLALKLKTKIGHPKLEVFKLGFEPKSQIGVAAFNGAFSA >KJB49864 pep chromosome:Graimondii2_0_v6:8:39367778:39368832:1 gene:B456_008G142600 transcript:KJB49864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGISATMYKNLKGYWQRRGYIKLNGTKGGGRRSKVELGSSRRRRFWKIRVKAKLRLPSPKKFFVWLRDAYVKMMLGLANSRMVSTGYGGAMGDHHGIAALGKRPVKEYDEKMIVEIYKSLVMAQGQLVPREAGKLRSAIICQR >KJB53252 pep chromosome:Graimondii2_0_v6:8:34958140:34961182:-1 gene:B456_008G116400 transcript:KJB53252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYDSLEGAELALGRNLTVAERLWFNYSAHKSDYILYTHNWLFLFLVFSLVPLPWALVELYWFDAVNRFKLQPRVKRSFRDLFKCYKDVLHQLIFEVLPLIVVSFPALELKEQVKKHLE >KJB47093 pep chromosome:Graimondii2_0_v6:8:1219182:1223451:1 gene:B456_008G010600 transcript:KJB47093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSCLLYAFLLLVAFQSTMMNANTVIQPPQCRPVAASSREKIIFSVNLLLYQAEFLLHASVDAGINDISPGLVQGPDPIGATIANFSNPVRRVVEELGLATVGHLRALVQAGILDAPITRPQMDVSAEAYAGFVNVAFNVSTLTPPFNIYANTPSFVAAAKGFSAFIQQYYAGIIPSIVGNVQQQLVTGIGLSQSAGLGVLRTLLNDVINSTVQPYTFTAAELSNRTSEVVNRLGGCGVKAEGLIVPLQLGAENRTTSNVVPGDVNSLAFVRFEREILSMVFGTGNATMPGGLFPRGFIGSLYRRNRDS >KJB49825 pep chromosome:Graimondii2_0_v6:8:39023923:39024521:-1 gene:B456_008G139500 transcript:KJB49825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIKLFSICLILLCIILAENLDLYECKTRKLADTGNDMDWFEKNGKKIESDAITLSPCHRLLCNGLHNCYCCIAKPDLGCFNVQADCEKICPYQ >KJB51808 pep chromosome:Graimondii2_0_v6:8:51869779:51870546:-1 gene:B456_008G232500 transcript:KJB51808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMHPSTIDSDLMMLDSIRRHLLGESSDLRFTSSNDCANVGAAPPMFCRSSSFSRLYPCLTDTWGDLPLKENDSEDMLVFGYLRDALTVGWAPSDHSSPTFPPIKPEPQEIPMETAVKEVPTVANGAVPTVVPAKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDKAAYRMRGSRALLNFPLRVNSGEPDPVRITSKRATPEPSTSSSSGSENGSPKRRRKVGSSAPVVAQAGLNMGFGA >KJB50825 pep chromosome:Graimondii2_0_v6:8:47059862:47061001:-1 gene:B456_008G188600 transcript:KJB50825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML16 [Source:Projected from Arabidopsis thaliana (AT3G25600) UniProtKB/Swiss-Prot;Acc:Q9LI84] MAMMSSCGNISVQSADQLKQLKDIFMRFDMDSDGSLTQLELAALLRSLGLKPSGDQIHLLLGDIDANGNGLVEFEELESAILPDITHQILINEAQLLEVFQLFDRDGNGFITPAELAGSMAKMGHPLTFRELSDMMKEADSNGDGVVSFLEFTSIMANSAADFLGIKLNHSVK >KJB52318 pep chromosome:Graimondii2_0_v6:8:53814189:53817013:-1 gene:B456_008G255600 transcript:KJB52318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVADCALSPVRQETVRSRGYCENQAGESILFYLSVAGSVIPMRVLESDSIASVKLRIQTCKGYAVKNQKLVCGGREMTRNDSLLKDYGVKGGNVLHLVLKLSDLLLITVRTSCGKEFELHVNRNRNIGYLKQRIARKEKGLVGVDEQEIFFNGEKIDDQRLIDDLCKYNDAVMHLVVKKSAKVQAKPVEKDLELSVVAESELDESRGSVGGEKNQSDEHHQIVTEDFLLEPVFVNPRVGLPPFIWDMIHSTFNGLDIGNQPIRSSEGTGGTYFMQDKSGLDYVSIFKPIDEEPNAVNNPQGLPLSTNGEGLKRGTKVGEGAVREVAAYILDHPKNGPRSVSGEMMGFAGVPPTCMVQCLHKGFNHPDGYEHAPENVKLGSLQKFMKNSGSCEDMGPGGFPMEEVHKISVFDIRTANADRHAGNILIGKGDDGRTVLIPIDHGYCLPENFEDCTFDWVYWPQSRQPYSPDTLNYIKSLDAEQDIALLNYYGWDVPVECARTLRISTMLLKKGAERGLTPFTIGSIMCRETVNKESAIEQIVREAQDSLLPGMSEAAFMETVSEVMDSWLDKLTN >KJB47033 pep chromosome:Graimondii2_0_v6:8:829374:832677:-1 gene:B456_008G007100 transcript:KJB47033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVTASGVDIDEMGYAMSRLEIESELFDGGNTVHEASSSTRPGKLFPKVDDEISQLTNLRSGPNDRLHQLVPGKQQLPVSPVRMLAGRESNYSGKGRFSSADRCHMLSRYLPVNGPWLVDQTTSRAYVSQFSADGSLFVAGFQGSNIRIYNVDRGWKVQKNILAKSLRWTVTDTSLSPDQRYLVYTSMSPVVHIVNVGSSTTESFANVTEIHEGLDFSSNDRRYSFGIFSVKFSTDGRELVAGSSDDSIYVYDLEANKLSLRIMAHTADVNTVTFADESGNLIYSGSDDNLCKVWDRRCFVAKDKPAGVLVGHLEGITFLDSRGDGRYFISNGKDQTIKLWDIRKMSSDTSCNLGYRNFEWDYRWMDYPPQARDLKHPSDGSVATYKGHSVLRTLIRCYFSPEYSTGQKYIYTGSHDSRVYIYDVVTGAQVAVLKHHTSPVRDCSWHPHYPVLVSSSWDGDVVKWEFPGNGEAPVLANERRVRRQYYD >KJB47032 pep chromosome:Graimondii2_0_v6:8:829108:833649:-1 gene:B456_008G007100 transcript:KJB47032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAMSRLEIESELFDGGNTVHEASSSTRPGKLFPKVDDEISQLTNLRSGPNDRLHQLVPGKQQLPVSPVRMLAGRESNYSGKGRFSSADRCHMLSRYLPVNGPWLVDQTTSRAYVSQFSADGSLFVAGFQGSNIRIYNVDRGWKVQKNILAKSLRWTVTDTSLSPDQRYLVYTSMSPVVHIVNVGSSTTESFANVTEIHEGLDFSSNDRRYSFGIFSVKFSTDGRELVAGSSDDSIYVYDLEANKLSLRIMAHTADVNTVTFADESGNLIYSGSDDNLCKVWDRRCFVAKDKPAGVLVGHLEGITFLDSRGDGRYFISNGKDQTIKLWDIRKMSSDTSCNLGYRNFEWDYRWMDYPPQARDLKHPSDGSVATYKGHSVLRTLIRCYFSPEYSTGQKYIYTGSHDSRVYIYDVVTGAQVAVLKHHTSPVRDCSWHPHYPVLVSSSWDGDVVKWEFPGNGEAPVLANERRVRRQYYD >KJB52676 pep chromosome:Graimondii2_0_v6:8:55121934:55128353:1 gene:B456_008G272200 transcript:KJB52676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVVATRSIHGSFLSQGTGSVQERAVKLKPASFGSKVLALDEKKNKRVVLGKNSRITAKRAVRAEPEIIPVSPEDVPEREEQYEQLRGIQQVGDTSVAMWSKPVVRRKTKIVCTIGPSTNTREMIWKLAETGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNTIAIMLDTKGPEVRSGDLPQPITLTTGQEFTFTIRRGVGTPDCVSVNYDDFVNDVEVGDMLLVDGGMMSLVVKSKTEDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAQVVHELKNYLQSCDADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRTCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATISGGEMPPNLGQAFKNHMSEMFAYHATMMSNTLGISTVVFTRTGFMAILLSHYRPSGTIFAFTNEKRIQQRLALYQGVCPIYMEFSDDAEETFKNALELLQKQGMVKKGEEVALVQSGRQPIWRFQSTHNIQVCKV >KJB48509 pep chromosome:Graimondii2_0_v6:8:12719496:12721225:1 gene:B456_008G072900 transcript:KJB48509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQELLGGSSGFHFGGTAGRRISINGTILDGTLASSPSPSPSPSTTSSSTTTTNSTASAAKSDQTQNLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKNTTTTTTTTTASVSTSMGKSSAAKMKTVVSDIGRTGLGNGFDHDLQSSPILWASPQSSHLLALLRTTPNPNANTLSTNSPVSIKEEVSLLGSHAMNEPAVANAALNARTLGLDPLSQVPSLGLCSPFWKNNQHQAQQQHQSNNNNGSFLLGEVQNTGFQGLYQRLKSSSNYYSDSSAVVLSNVGSSSSSSTVLESAPVAGGELGYWNPAFSSSWSDLPTTNGAYP >KJB48715 pep chromosome:Graimondii2_0_v6:8:17119299:17122750:1 gene:B456_008G083000 transcript:KJB48715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPWGNLGVVETIYEDEVYDDDDDDDDDDDDDSSNSPSLLSSPPSPLHSSAQAWSSAMGCKTDVLIHVQGTAFHLHKDPLISRSTYLRRKLTEKSELTLSPPLNITAETFALVVDFCYGTHLLVTPFNVASLLVAADLLGMTEAKGEDDSNLKQMTENYFQKFIAVNGKYAAIVFKSCLALLPEAETTSFLVSRCVEVMNLTEDSKGLDGYFDDVISLRADDFKIVAESMHQRFEYQDLLYRIVDFYLEEHNAKITEEQKTQICNSIDCNKLSPQLLLHAVQNPRMPLRFVVRAMLVEQLNTRRSILSAANHQYYPRRHRPTNSNNEDDDNSNVTLGEILQRDATKRETAQLKAAMDATSSRIQTLEKQLLCMKKLLQESDHENVIERSGGGCRDVLESGRSASFHYGSGRKIERAYNGAPASASFRFSCGPEERALGCSSSENSGIKKNIGQRLIKGLKCALQPKMALITRKV >KJB48716 pep chromosome:Graimondii2_0_v6:8:17119404:17122750:1 gene:B456_008G083000 transcript:KJB48716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAKGEDDSNLKQMTENYFQKFIAVNGKYAAIVFKSCLALLPEAETTSFLVSRCVEVMNLTEDSKGLDGYFDDVISLRADDFKIVAESMHQRFEYQDLLYRIVDFYLEEHNAKITEEQKTQICNSIDCNKLSPQLLLHAVQNPRMPLRFVVRAMLVEQLNTRRSILSAANHQYYPRRHRPTNSNNEDDDNSNVTLGEILQRDATKRETAQLKAAMDATSSRIQTLEKQLLCMKKLLQESDHENVIERSGGGCRDVLESGRSASFHYGSGRKIERAYNGAPASASFRFSCGPEERALGCSSSENSGIKKNIGQRLIKGLKCALQPKMALITRKV >KJB46718 pep chromosome:Graimondii2_0_v6:8:49744758:49747206:1 gene:B456_008G2113001 transcript:KJB46718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYNQGCLILVGILVTLSLPYSHAFWRNENKIQTAVFLSPKFVLGPGSVENRFYYNVDFPKGHIAVKSFDAEVIDEAGNPIPLHETYLHHWVVVRYYVRKGVEISEFDDPRKFNESDYISGRNSGICQNLGQFFGLGSETRKTSTHVPNPYGIEAGNPAEIPSGFEEQWMLNVHAIDTRGAEDKLGCTECRCDLYNITVDEYGRPLRPDYKGGLLCCYDHTQCKVKHGFEAVRRALYLRYTVTWVDMDTSVLPVKIYIFDITDSWKRSRSSTGIIAEHECKVEYDIGSCDATGMGDDGCIDTRRISLDMPFGGYLIYGVAHQHSGGTGSALYREDGQLMCSSLPTYGEGEEPGNEAGYIVGMTTCYPKPGTVEISKGETLILESNYSRIRHHTGVMGLFYILVADELPKPMHALHTVVQTQDSIMVVTILWAAVALIGVVAVIAVAVHYRLKREGEDGYEAIGM >KJB46717 pep chromosome:Graimondii2_0_v6:8:49744758:49747289:1 gene:B456_008G2113001 transcript:KJB46717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EMASYNQGCLILVGILVTLSLPYSHAFWRNENKIQTAVFLSPKFVLGPGSVENRFYYNVDFPKGHIAVKSFDAEVIDEAGNPIPLHETYLHHWVVVRYYVRKGVEISEFDDPRKFNESDYISGRNSGICQNLGQFFGLGSETRKTSTHVPNPYGIEAGNPAEIPSGFEEQWMLNVHAIDTRGAEDKLGCTECRCDLYNITVDEYGRPLRPDYKGGLLCCYDHTQCKVKHGFEAVRRALYLRYTVTWVDMDTSVLPVKIYIFDITDSWKRSRSSTGIIAEHECKVEYDIGSCDATGMGDDGCIDTRRISLDMPFGGYLIYGVAHQHSGGTGSALYREDGQLMCSSLPTYGEGEEPGNEAGYIVGMTTCYPKPGTVEISKGETLILESNYSRIRHHTGVMGLFYILVADELPKPMHALHTVVQTQDSIMVVTILWAAVALIGVVAVIAVAVHYRLKREGEDGYEAIGM >KJB53229 pep chromosome:Graimondii2_0_v6:8:57014984:57017237:-1 gene:B456_008G297600 transcript:KJB53229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFAGTTQKCKACEKTVYLVDQLTADNKVYHKACFRCHHCKGTLKLSNYSSFEGVLYCKPHFDQLFKMTGSLDKSFEGTPKTVRVDRSADQVTNSKFSGLFAGTQEKCVACKKTVYPIEKVAVDGTSYHKACFRCTHGGCVISPSNYVAHEHRLYCRHHHNQLFKQKGNFSQLGKHEEAKGVTENTVA >KJB51737 pep chromosome:Graimondii2_0_v6:8:51571638:51572904:1 gene:B456_008G229700 transcript:KJB51737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRQASRLLARTTTPWRRSRAFSSDVPATPAQDSSFIESWSKVIPNLDPPKTPSSFMTPRPATPSAIPSKLTVNFVLPYASELSAKEVDMVIVPATTGQMGVLPGHVPTIAELKPGILSVHEGNDVTKYFLSSGFALIHANSVADIIAVEAVPVDRLDPALVQKGLADFTQKLGSATTDLEKAEAQIGVDVHSAMNSAITG >KJB51738 pep chromosome:Graimondii2_0_v6:8:51571471:51573925:1 gene:B456_008G229700 transcript:KJB51738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRQASRLLARTTTPWRRSRAFSSDVPATPAQDSSFIESWSKVIPNLDPPKTPSSFMTPRPATPSAIPSKLTVNFVLPYASELSAKEVDMVIVPATTGQMGVLPGHVPTIAELKPGILSVHEGNDVTKYFLSSGFALIHANSVADIIAVEAVPVDRLDPALVQKGLADFTQKLGSATTDLEKAEAQIGVDVHSAMNSAITDA >KJB48412 pep chromosome:Graimondii2_0_v6:8:11051593:11052569:-1 gene:B456_008G067800 transcript:KJB48412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSMPNHKSFDETDMYRFTSSSSSSVDCTLSLGTPSTRLCVNDSDKRLRHGRSSGNSCVSNFCLDLLQNKNMPYPLQSAKDSRGSNGNSSSNSSGKGNDPLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFRKEERRATNAIKSSGATGSMLEQLQHLGYHHHQNKNNSRVHHSQNQKKPCFSPVNGFRVIEDTDRDSATGIPFLSWRLNVHDLTR >KJB48808 pep chromosome:Graimondii2_0_v6:8:19928599:19930158:-1 gene:B456_008G088000 transcript:KJB48808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIKYPKIEPEDNQFQFLSSQDLEKMEESKEKWTLTDTDVMQDGKQLKRSESEVHPRVVDAIVWKFFRAMEIRKNEKLFYYDTPCSEETGVWVPMSVPPVSENKHEEWDRGPCLNGGYFPDDGVRSNQFIGESKDLTMWDVFSEMLIAARGKVSSIASGDVQIYGITWLSSHLLEQTWKEMAQTLAEANFGNNLFLLFLILTIIFQLLFKF >KJB48807 pep chromosome:Graimondii2_0_v6:8:19928473:19930896:-1 gene:B456_008G088000 transcript:KJB48807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESKEKWTLTDTDVMQDGKQLKRSESEVHPRVVDAIVWKFFRAMEIRKNEKLFYYDTPCSEETGVWVPMSVPPVSENKHEEWDRGPCLNGGYFPDDGVRSNQFIGESKDLTMWDVFSEMLIAARGKVSSIASGDVQIYGITWLSSHLLEQTWKEMAQTLAEANFGNNLFLLFLILTIIFQLLFKF >KJB47278 pep chromosome:Graimondii2_0_v6:8:2187353:2190248:1 gene:B456_008G018900 transcript:KJB47278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQPKHGWHSIMPLRRRGNSGLGIFHTGVEVQGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFMGTTCLDPVQLREFVEHQSASYNGDSYNLIYKNCNHFCEDICYKLTGNHTPKWVNRLARIGSLCNCILPEALKASAVRHDPNFRPDSEKKRLRSAFSCLSSISMPQKEVSMSSLFLHSHYKGCLPPWELKRSKSSSLKQQ >KJB48887 pep chromosome:Graimondii2_0_v6:8:22662298:22665234:1 gene:B456_008G092100 transcript:KJB48887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENLDCSASNLLCSENASSCFDDDLDFNAIKEFGVSPDCDHHLKNQIFNQQDPFFINNRSTYLMGSSGFAIQSDDRIKEMVEKEVEHLPEDDYLKRLRSGDLDLSVRKEALDWIWKASAYYGFGPLSLCLSINYLDRFLSVYDIPRGKTWTVQLLAVACLSIAAKMEETKVPLSVDLQVGEPKFVFEAKTIYRMEVLVLSTLKWKMQVITPCSFIDYFMSKICNDQYPSSMSISRSLQLILSTIKGIDFLEFRPSEIAAAMAISVSGDMQTLSIDKAVSSFAFVGKGRVLKCVELMKDLTFINGDAAKTANVATQHSSVFTVPQSPIGVLDAAACLSYKSDEITVGSCANSSHSSPDIKRRKQDHDDNNNKASEHGFKS >KJB48889 pep chromosome:Graimondii2_0_v6:8:22662397:22665088:1 gene:B456_008G092100 transcript:KJB48889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENLDCSASNLLCSENASSCFDDDLDFNAIKEFGVSPDCDHHLKNQIFNQQDPFFINNRSTYLMGSSGFAIQSDDRIKEMVEKEVEHLPEDDYLKRLRSGDLDLSVRKEALDWIWKASAYYGFGPLSLCLSINYLDRFLSVYDIPRGKTWTVQLLAVACLSIAAKMEETKVPLSVDLQVGEPKFVFEAKTIYRMEVLVLSTLKWKMQVITPCSFIDYFMSKICNDQYPSSMSISRSLQLILSTIKVFCWEMDAGIDFLEFRPSEIAAAMAISVSGDMQTLSIDKAVSSFAFVGKGRVLKCVELMKDLTFINGDAAKTANVATQHSSVFTVPQSPIGVLDAAACLSYKSDEITVGSCANSSHSSPDIKRRKQDHDDNNNKASEHGFKS >KJB48888 pep chromosome:Graimondii2_0_v6:8:22662397:22665088:1 gene:B456_008G092100 transcript:KJB48888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENLDCSASNLLCSENASSCFDDDLDFNAIKEFGVSPDCDHHLKNQIFNQQDPFFINNRSTYLMGSSGFAIQSDDRIKEMVEKEVEHLPEDDYLKRLRSGDLDLSVRKEALDWIWKASAYYGFGPLSLCLSINYLDRFLSVYDIPRGKTWTVQLLAVACLSIAAKMEETKVPLSVDLQVGEPKFVFEAKTIYRMEVLVLSTLKWKMQVITPCSFIDYFMSKICNDQYPSSMSISRSLQLILSTIKGIDFLEFRPSEIAAAMAISVSGDMQTLSIDKAVSSFAFVGKVKNNK >KJB51867 pep chromosome:Graimondii2_0_v6:8:52136856:52138498:-1 gene:B456_008G235400 transcript:KJB51867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFKDKNETMFLIIMRLCFLWHREEEDGAKVVRVENLNNPYKGQENFDKRFKLTLNKLYAWSLVDYDRVVMLDADNLFLQKTDELFQCGQFCAVFINPCIFHTGLFVLQPSREVFKDMVHQLETGKENPDGADQGFIGAYFPDLLDQPMFHPPLNGSNLDGQYRLPLGYQMDASYYYLRLRWRVPCGPNSVITFPGALWLKPWYWWSWPVLPLGIQWHENRRQTLGYAAEMPVIIVQSIIFLGILAMTHLARPSISKLCYRNSDKTTSLIQTGLKFMAIWSILAAYIVPFAIIPRTIHPLVGWTLYFLGSTALSSIAINSFLLPAIQVFVPLVGIFGSLLVMAYPWYPNGVVRALAVFGFAFCYAPIAWGSVGKVMARLQVSLEREQFLPKLAESSPPSGFNKLY >KJB51868 pep chromosome:Graimondii2_0_v6:8:52136856:52140048:-1 gene:B456_008G235400 transcript:KJB51868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWSGERRRHVVTFFRVLLVLLAVVQTTAFQGVKLKKKEHRNAYATMMYMGTPRDYEFYVATRVLIRSLVNLQVDADLIVIASLDVPLRWVRALEEEDGAKVVRVENLNNPYKGQENFDKRFKLTLNKLYAWSLVDYDRVVMLDADNLFLQKTDELFQCGQFCAVFINPCIFHTGLFVLQPSREVFKDMVHQLETGKENPDGADQGFIGAYFPDLLDQPMFHPPLNGSNLDGQYRLPLGYQMDASYYYLRLRWRVPCGPNSVITFPGALWLKPWYWWSWPVLPLGIQWHENRRQTLGYAAEMPVIIVQSIIFLGILAMTHLARPSISKLCYRNSDKTTSLIQTGLKFMAIWSILAAYIVPFAIIPRTIHPLVGWTLYFLGSTALSSIAINSFLLPAIQVFVPLVGIFGSLLVMAYPWYPNGVVRALAVFGFAFCYAPIAWGSVGKVMARLQVSLEREQFLPKLAESSPPSGFNKLY >KJB46812 pep chromosome:Graimondii2_0_v6:8:55519911:55520597:1 gene:B456_008G277300 transcript:KJB46812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEKTKQKSIEAAADIFGVDSIAADLKDQKLTVIGQMDAVAVVKKLKKVAKVDIISIGPAKEEKKVEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >KJB46810 pep chromosome:Graimondii2_0_v6:8:55519682:55520897:1 gene:B456_008G277300 transcript:KJB46810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKVVLKVLTMTDEKTKQKSIEAAADIFGVDSIAADLKDQKLTVIGQMDAVAVVKKLKKVAKVDIISIGPAKEEKKVEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >KJB46811 pep chromosome:Graimondii2_0_v6:8:55519788:55520597:1 gene:B456_008G277300 transcript:KJB46811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQKVVLKVLTMTDEKTKQKSIEAAADIFGVDSIAADLKDQKLTVIGQMDAVAVVKKLKKVAKVDIISIGPAKEEKKVEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >KJB47518 pep chromosome:Graimondii2_0_v6:8:3630811:3635123:-1 gene:B456_008G030100 transcript:KJB47518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHLLSHSSFLPKTLNHSLTQNPFSKSPILPLKPSKNLFKHPSLSLSLKAVLSQNPAKTLNPTFQHCFTKSPDGFLYCEDTKVQDVMEKVEKRPFYLYSKPQITRNVEAYKEALEGLKNSIIGYAIKANNNFKILQHLRMLGCGAVLVSGNELKLALRAGFDPTKCIFNGNGKILEDLVLAAQEGVFVNVDSEFDLENIVAAARIAGRKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKAHPNELKLVGAHCHLGSTITKVDIFRDAAVLMVNYIDEIRAQGFEVDYLNIGGGLGIDYYHSGAVLPTPRDLIDTVRELVHSRNLNLIIEPGRSLIANTCCFVNRITGVKTNGTKNFIVIDGSMAELIRPSLYDAYQHIELVSPAPPAAEVSTFDVVGPVCESADFLGKERELPTPAKGTGLVVHDAGAYCMSMASTYNLKMRPPEYWVEEDGSVTKIRHGETFEDHMRFFEGL >KJB47519 pep chromosome:Graimondii2_0_v6:8:3630843:3635055:-1 gene:B456_008G030100 transcript:KJB47519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHLLSHSSFLPKTLNHSLTQNPFSKSPILPLKPSKNLFKHPSLSLSLKAVLSQNPAKTLNPTFQHCFTKSPDGFLYCEDTKVQDVMEKVEKRPFYLYSKPQITRNVEAYKEALEGLKNSIIGYAIKANNNFKILQHLRMLGCGAVLVSGNELKLALRAGFDPTKCIFNGNGKILEDLVLAAQEGVFVNVDSEFDLENIVAAARIAGRKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKAHPNELKLVGAHCHLGSTITKVDIFRDAAVLMVNYIDEIRAQGFEVDYLNIGGGLGIDYYHSGAVLPTPRDLIDTVRELVHSRNLNLIIEPGRSLIANTCCFVNRITGVKTNGTKNFIVIDGSMAELIRPSLYDAYQHIELVSPAPPAAEVSTFDVVGPVCESADFLGKERELPTPAKGTGLVVHDAGAYCMSMASTYNLKMRPPEYWVEEDGSVTKIRHGETFEDHMRFFEGL >KJB51267 pep chromosome:Graimondii2_0_v6:8:49474205:49476015:-1 gene:B456_008G209100 transcript:KJB51267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFSADNPWVFISGLLGNISSFVVFLAPLPTFIRICKKKSTEGFQSIPYVVSLFSAMLWIYYAFVKSGAFLLITINSFGCVVETIYIAIYIAYASKQSKISTLRMLILLDGVVFFSILLLIQFLTKGSNRVEFLGWICVVFATSVFAAPLSIMRQVIITKSVEFMPFHLSLMLTFSAIAWLLYGIFLKDLHIAIPNVLGLVFGLLQMVLYAIYRNYKTVVVEEVKLPEHTIDVGAVTTSEVEEISSSEPEIHDDDHQMIGMSCKLQNQYHDHDQREPKILELPNSNQMLGTCQA >KJB48783 pep chromosome:Graimondii2_0_v6:8:19490709:19492200:-1 gene:B456_008G087200 transcript:KJB48783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLRQTLTKTNRTAAIRCFSTAASVAFPSEYEYPTGITMKGVKISGRPLYLDMQATTPVDPRVLDSMLPFYLSRYGNPHSRTHLYGWESETAVETARAQVAALIGASPKEIVFTSGATESNNISIKGVMHFYKDKKRHIITTQTEHKCVLDSCRHLQQEGFEVTYLPVGSDGLIDLDRLVKEIRPDTGLVSVMAVNNEIGVVQPVEEIGKICKEFNVPFHTDAAQALGKIKVDVEKWNVSLMSLSGHKIYGPKGVGALYLRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGMGAACELAMKEMEYDENRIKGLQERLLNGIREKIDGVVVNGSMDRRYVGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTEEEIDRAVELTVKQVEKLREMSPLYE >KJB46824 pep chromosome:Graimondii2_0_v6:8:48786458:48786696:-1 gene:B456_008G2022001 transcript:KJB46824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREKLRRAALPPVQK >KJB46823 pep chromosome:Graimondii2_0_v6:8:48786458:48786505:-1 gene:B456_008G2022001 transcript:KJB46823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREKLRRAALPPVQK >KJB47376 pep chromosome:Graimondii2_0_v6:8:2677808:2680383:1 gene:B456_008G023500 transcript:KJB47376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGISYQRFPKVKIRELKDDYAKFELRDTDASMANALRRVMISEVPTIAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSERAMSMRFSRDCDACDGDGQCEFCSVEFHLRAKCISDQTLDVTSKDLYSSDHTVVPVDFTDNAGYDSTEPRGIIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIHINEDLMESLTLEEKLSFVESSPTKVFDIDPNTQQVVVVDPEAYTYDDEVLKKAEAMGKPGLVEIYAKEDSFIFTVESTGAIKASQLVLNAIEILKQKLDAVRLSEDTVEADDQFGELGAHMRGG >KJB47534 pep chromosome:Graimondii2_0_v6:8:3678968:3679976:1 gene:B456_008G030800 transcript:KJB47534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLRDVHGNPIPLTDEHGNPVQLTDEHGNPVYVSGVAAKQTDMYGGQMGYDPTLSAATEYQQQQQLRPQYLQQEEQQPQYQPQHLHYEVSTTEEIQRSNASSPSSSEDDGMGGRRKKKGLKEKIKEKLTGGKHKTEAGEEGQSQTVTYVAKTRITTTSNATTPPPEQHYHHHDQHEKKSMMEKIKEKLPGHHSH >KJB48490 pep chromosome:Graimondii2_0_v6:8:12335632:12339132:-1 gene:B456_008G071500 transcript:KJB48490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLFTNHALHIRKYVTAACTIAAACIQAVCTQQNHHNSRHFNKNITATWLAIFQHKYYSNPKNKDRNAYLYGGWREFVEDNHLNVGDVCVFELIKLPEILMKVVINLLVENTSKACDSLAFGSIDSRVNTRSLVSDAEPTCQQSLCPSSSGESKDLTDSYIETLDDSPLDQETKKLTPRSIQPCELKYSAEDDSGRTSGAQRCLKPDPVYQKQRACIGGGAFRTSNSSFSVVIHPSYVGSCSALHIPKEFGKRYLKNSGEMMLRIEDGKTWTVEYERRARNKGRKAEFKSNSWGQFTIDNELEVGDVCVFELINENGNLLEVAFRKLYSSKLINY >KJB50897 pep chromosome:Graimondii2_0_v6:8:47549127:47551529:-1 gene:B456_008G192100 transcript:KJB50897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAGSFLKVFFKNFDVLAGPVISLLYPLYASVRAIESESRADDRQWLTYWVLYSMITLLELTFAKVIEWIPIWSHAKLIFTCWLVIPYFSGAAYVYEHYLRPFFMNPQQTINIWYVPRKKDFFSKPDDILTAAERYIEENGTDAFEKLIHRADKSRSSGYIYDDDGYRY >KJB49382 pep chromosome:Graimondii2_0_v6:8:34889306:34889671:-1 gene:B456_008G116100 transcript:KJB49382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCNLRRRRQRIWALTVALAEEASWCYVVVLITLLLLLNCFKEACTITNGGDDDEDRNNVKGMVLCDEIYVVGEGETLNTIGDKCGDPNLIVEHNPHIHVPDDVFPGFVLKIRLPTLGSH >KJB47943 pep chromosome:Graimondii2_0_v6:8:6679198:6680664:-1 gene:B456_008G048300 transcript:KJB47943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVLRLPTGNLRSFSVHSSSNGAPPGSGSQNGGPMILELPLDKIRRPLMRTRSNDPNKVQELMDSIRQIGLQVPVTLSFWFLVCFQSMCLKLMEFTMVSLVVIGMKLTSALGSQQSVAKFDEEPKKLSGIIFVEWKYMLLKCISQL >KJB52698 pep chromosome:Graimondii2_0_v6:8:55325844:55326449:1 gene:B456_008G275100 transcript:KJB52698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKGCNKVQPVARKAKKKQVKDELDRIKQAEKKKRRLEKALATSAAIRSELEKKKQKKKEEQQRLDEDGAAIAEAVALHVLIGEDSGDSCEIMVNKDEGFNRWDWGRRARLPHQAHTTSQGWVANAYSGGCEWAEFGSSDWSFTYGACRRDLHAPWFEDRGWGNEELSAGLIAAQAVSALQITEDAEVVDTIVLDRMLRG >KJB48823 pep chromosome:Graimondii2_0_v6:8:20146804:20154305:-1 gene:B456_008G088700 transcript:KJB48823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIRHIPNRVLFNTIFVSVNILMASTRFSLSRSVQPILKRPPFSSSPRFAPKALLKSYPCPLWSSSFSFCLQTLHHSTSPYLSSSSSSSSFSSCSSFSPPSMASSFTVDDSIGSNPLLQDFDFPPFDIVEAKHVRPGIRALLKKVESDLDELENTVEPSWPKLVEPLEKIVDRLTVVWGMVNHLKAVKDSAELRAAIEEVQPEKVKFQLRLGQSKPIYNAFKAIKESPDWQSLSEARKRIVDAQIKEAVLNGVSLEDDKREQFNKIQQELERLSHKFSENVLDATKKFEKLVTDKKEIDGLPATALGLAAQTAVSKGHENATAENGPWMITLDAPIFISAMQHARNRALREEVYRAYITRASSGDLDNTPIINQILKLRLEKAKLLNYNNYAEISMATKMATVDKAEELLEKIRSASWDAAVQGPWMRNVVGTQLGLGRSSKES >KJB48824 pep chromosome:Graimondii2_0_v6:8:20151535:20154287:-1 gene:B456_008G088700 transcript:KJB48824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIRHIPNRVLFNTIFVSVNILMASTRFSLSRSVQPILKRPPFSSSPRFAPKALLKSYPCPLWSSSFSFCLQTLHHSTSPYLSSSSSSSSFSSCSSFSPPSMASSFTVDDSIGSNPLLQDFDFPPFDIVEAKHVRPGIRALLKKVESDLDELENTVEPSWPKLVEPLEKIVDRLTVVWGMVNHLKAVKDSAELRAAIEEVQPEKVKFQLRLGQSKPIYNAFKAIKESPDWQSLSEARKRIVDAQIKEAVLNGVSLEDDKREQFNKIQQELERLSHKFSENVLDATKKFEKLVTDKKEIDGLPATALGLAAQTAVSKGHENATAENGPWMITLDAPIFISAMQHARNRALREEVYRAYITRASSGDLDNTPIINQILKLRLEKAKLLNYNNYAEISMATKMATVDKAEELLEKIRSASWDAAVQGIMLNLVYFWLKRLPIFRSLIYHMNLFPQCLSI >KJB51652 pep chromosome:Graimondii2_0_v6:8:51351987:51352346:-1 gene:B456_008G227000 transcript:KJB51652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLVLPVCLLGKRSMGSRLGYRRLTRELEPQVRVVVGKEKREFWVDPLVLEESPFRVLMETLKKGNGSHATREKKKKVIFVDVDAILFEHMLWLMNNDSSSLFQLDLHDIIDFYAQDY >KJB51304 pep chromosome:Graimondii2_0_v6:8:49683422:49685618:-1 gene:B456_008G210700 transcript:KJB51304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit PAM16 like 1 [Source:Projected from Arabidopsis thaliana (AT5G61880) UniProtKB/Swiss-Prot;Acc:Q93W66] MAARILANLIVMGSGILGRAVFQAYRQALANASKSGVAQETMQNIRRGSKIMAEPEARQILGVTEHSSWEEVLKKYDNLFEQNAKNGSFYLQSKVHRAKECLEAVYQENAQGTPDI >KJB51303 pep chromosome:Graimondii2_0_v6:8:49684040:49685330:-1 gene:B456_008G210700 transcript:KJB51303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit PAM16 like 1 [Source:Projected from Arabidopsis thaliana (AT5G61880) UniProtKB/Swiss-Prot;Acc:Q93W66] MAARILANLIVMGSGILGRAVFQAYRQALANASKSGVAQETMQNIRRGSKIMAEPEARQILGVTEHSSWEEVLKLLAEIRQLVRAKC >KJB51302 pep chromosome:Graimondii2_0_v6:8:49683470:49685556:-1 gene:B456_008G210700 transcript:KJB51302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit PAM16 like 1 [Source:Projected from Arabidopsis thaliana (AT5G61880) UniProtKB/Swiss-Prot;Acc:Q93W66] MAARILANLIVMGSGILGRAVFQAYRQALANASKSGVAQETMQNIRRGSKIMAEPEARQILGVTEHSSWEEVLKKYDNLFEQNAKNGSFYLQSKVHRAKECLEAVYQENAQGTPDI >KJB49842 pep chromosome:Graimondii2_0_v6:8:39112874:39113521:1 gene:B456_008G140400 transcript:KJB49842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNITFSCFVPNSKEMAKIIDARGNLKKVKLPVTAVEVMIEEPGHVISAMEELKRSRSVVAMRAEDELLVGKVYVLVPIGRVHCKVTDMDVAIMEAACNGKKRRKSGGAKVSPYAAVVEEGYVQVRAPRGCRLGRYRRWTPVLESISEVI >KJB47890 pep chromosome:Graimondii2_0_v6:8:6206351:6207379:1 gene:B456_008G046000 transcript:KJB47890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHAMRQHPTYTGIFFHSHSSRQQSNSINQFVSQPRQTNFTRMDEFKQILIFHTLDEIYDQCLIHNILSVTQINISRHGFRTFSFISPLFIICKTSVTKELITS >KJB52279 pep chromosome:Graimondii2_0_v6:8:53699569:53702421:-1 gene:B456_008G253700 transcript:KJB52279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNDYITMNGNGSSKRAEEFIKRYHKLEVKENQCSSSLVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCVVQGDLQVGSVREVNVKSGLPATTSTERLEYLDDDEHILSMTIVGGDHRLKNYSSIVTVHPEVIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADVSERLAVQDRTEPIKRM >KJB52278 pep chromosome:Graimondii2_0_v6:8:53699515:53702434:-1 gene:B456_008G253700 transcript:KJB52278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNDYITMNGNGSSKRAEEFIKRYHKLEVKENQCSSSLVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCVVQGDLQVGSVREVNVKSGLPATTSTERLEYLDDDEHILSMTIVGGDHRLKNYSSIVTVHPEVIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADVSERLAVQDRTEPIKRM >KJB52616 pep chromosome:Graimondii2_0_v6:8:54931080:54936280:-1 gene:B456_008G270300 transcript:KJB52616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADIAGNVLLVTIEGQDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKNALDGRIIPRYLLSENISPCTLRITYSAHTDLSVKFQSHRSRDYTNPYLPVAPSAIDGSNQFNLGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGPVQKIAMFDKNGALQALIQYPDVQTAIVAKEALEGHCIYDGGFCKLHLSYSRHTDLSIKVNNDRSRDYTIPNPAMVNPQPSVLGQQPVQTMGHQYNGTQYAPGVQQQPTMPPQPSAGWGSSAVPPMPQPMMNNHNPYIPPASMPQISPGMMQMPGQGGIPPFRPTHM >KJB52617 pep chromosome:Graimondii2_0_v6:8:54931080:54935983:-1 gene:B456_008G270300 transcript:KJB52617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADIAGNVLLVTIEGQDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKNALDGRIIPRYLLSENISPCTLRITYSAHTDLSVKFQSHRSRDYTNPYLPVAPSAIDGSNQFNLGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGPVQKIAMFDKNGALQALIQYPDVQTAIVAKEALEGHCIYDGGFCKLHLSYSRHTDLSIKVNNDRSRDYTIPNPAMVNPQPSVLGQQPVQTMGHQYNGTQYAPGVQQQPTMPPQPSAGWGSSAVPPMPQPMMNNHNPYIPPASMPQISPGMMQMPGQGGIPPFRPTHM >KJB51025 pep chromosome:Graimondii2_0_v6:8:48254563:48261142:-1 gene:B456_008G198000 transcript:KJB51025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDSIHNFLGQESLSLGQHHSQLIDSTWPGLSNNLWVESQRQVGPLVSSVKNLSVHQLAEFDRGHTGQTSSLQHGLNFTQSGMRSEIARNHSQNQSSIATGYMQVHQGFQARQNNTNFLGVDTASRCLPVLDSLIESGPDLHEKNSLRLESTASPVNYDFLGGQPHVNGQHPGMIQPLPRQQSGMTGTQLLQQHSILKQMQEFQRQQFPNPQQLQEARQLSSMNQVSSFVKQGSGSLSPATINGVPVHDASNYSLQPELMTSNANWLQHDAFSALQGSSGGFMFSPVQSQVRLMGLDPQQDNQSFNGVFNGSARGNQYQYLSVQMDKPLLQQVPASSNSFPGNQHAMFSDEVGLQDGTLLSRQVDLGKNLFGAAAVQDLNSVFHSENLQQMTIQPKSALMESHGRQEEHLGPSETSLEKSAIQAVHSQNVASLDPTEEKILFGSDDSVWDIFGKSTNTSAVLDGTDSFGAFPSLQSGSWSALMQSAVAETSSNDIGVQEEWSGLALQTSEPPSGNMPSLISNDGSQQQLPGVDNNLQNASTVNFKPFSMSMDANINRDFGSTLGVQQSGVQTANEQTGRMHNDSCQRFVQQLTEERSKWLDRSPLEKPVAESASLFGNVAHSPDVQASAKSISGHQGMALFNPHGQPHNKPNDWNFIESASRSGGAVSNSQDIESSSQPSQSSDHKGGLYEERGLGSDLDHPLSDVNIGPGNVDSGLGSPQVNEGSGLGNVAAVTDSRTRRVTKESSQQLPNGHNLNLWKNIDSKVNSGPSRTPANYQQNLDKSPLSFDSSRNNCLEKGLSEANMLENSNVKETSNDSFHSNLSQHTSTGGIIGNGWLDANDPLAGEQKSSVHVSCKPSGAYKFQYHPMGDLDAEVEPSYGTNNLTHMQAIAQHVSQGFKGHDQGYFVQSNYTVHAAGKSTETEKGCIPGIQVEEMPSTPGSAPDRSFNFIPNKTASISQNMLELLQKVDQPREPGTATHLSSSERNQSSEMPDAETSDGSVGQFQHNRPSASQGFGLQLGPPSQRFTIPDWSISSQSSPQRVNSLNSVHISSEARIKGHTLVGPTASVQSPHGESNGDSRNNIARVSGHTNYKASEHNIVGNVSAGFTSDYPYLGCHLQCQHVVDVGNQVIPNKFVNADFSGLTCQSKRIDDSYERAQISQLGRISAPRMPKSATDDLSSSETSWPSYGTQNNARVTDQQFPVLEAMPASQPSGGAFTKMPNVWTSVSAAQHLLGAQSSWASQNLLKHQQQSNGNSETTLPGEKKLDDQIAWVGGNGATEFPAGSAKPQNSGREEQPAKGQQLLPEADASQNPASMQRDIEAFGRSLRPNNTVHQNYLLLHQVQAMKNIEIDPSNRSVKRFKGPTPDSALDAQQKSQGADLLPYGSNNMMRDALMSSSIVPSGDSKMLNMSSGAGEYTERQSSANDTLAFVQNDSLNFNNANNSAGSDWREHPQIRPQMAPSWFDEYGAFKKGQMLPIYDAQKIATMKAADKGFIVGRPSDNLHALDSSEQVNAADASQLDGTRQNSNLMPIAIGHISRQLLPPGIPNQNLIVMRAKKRKSMTFELVSWHREVTQGRSRPQDISAAEAGWAHAANRLIEAENEPEMIEDWPPVLRSKRRLILTTQLMQQLHCAPSRAVLSADAIKNYETVVYFVARLGLGEACSSAYICESDTAVPSESGSTLPEKLKKRKQSILKAAEQFVITAKKLENDLQSLDKRSSILDLRLECQDLEKISLINRFAKFYGRGQADRAETSSTSEAIASPPKFFIQRYVSAVPMPRNVPDKVQCLPL >KJB51024 pep chromosome:Graimondii2_0_v6:8:48253521:48263336:-1 gene:B456_008G198000 transcript:KJB51024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRESLSLGQHHSQLIDSTWPGLSNNLWVESQRQVGPLVSSVKNLSVHQLAEFDRGHTGQTSSLQHGLNFTQSGMRSEIARNHSQNQSSIATGYMQVHQGFQARQNNTNFLGVDTASRCLPVLDSLIESGPDLHEKNSLRLESTASPVNYDFLGGQPHVNGQHPGMIQPLPRQQSGMTGTQLLQQHSILKQMQEFQRQQFPNPQQLQEARQLSSMNQVSSFVKQGSGSLSPATINGVPVHDASNYSLQPELMTSNANWLQHDAFSALQGSSGGFMFSPVQSQVRLMGLDPQQDNQSFNGVFNGSARGNQYQYLSVQMDKPLLQQVPASSNSFPGNQHAMFSDEVGLQDGTLLSRQVDLGKNLFGAAAVQDLNSVFHSENLQQMTIQPKSALMESHGRQEEHLGPSETSLEKSAIQAVHSQNVASLDPTEEKILFGSDDSVWDIFGKSTNTSAVLDGTDSFGAFPSLQSGSWSALMQSAVAETSSNDIGVQEEWSGLALQTSEPPSGNMPSLISNDGSQQQLPGVDNNLQNASTVNFKPFSMSMDANINRDFGSTLGVQQSGVQTANEQTGRMHNDSCQRFVQQLTEERSKWLDRSPLEKPVAESASLFGNVAHSPDVQASAKSISGHQGMALFNPHGQPHNKPNDWNFIESASRSGGAVSNSQDIESSSQPSQSSDHKGGLYEERGLGSDLDHPLSDVNIGPGNVDSGLGSPQVNEGSGLGNVAAVTDSRTRRVTKESSQQLPNGHNLNLWKNIDSKVNSGPSRTPANYQQNLDKSPLSFDSSRNNCLEKGLSEANMLENSNVKETSNDSFHSNLSQHTSTGGIIGNGWLDANDPLAGEQKSSVHVSCKPSGAYKFQYHPMGDLDAEVEPSYGTNNLTHMQAIAQHVSQGFKGHDQGYFVQSNYTVHAAGKSTETEKGCIPGIQVEEMPSTPGSAPDRSFNFIPNKTASISQNMLELLQKVDQPREPGTATHLSSSERNQSSEMPDAETSDGSVGQFQHNRPSASQGFGLQLGPPSQRFTIPDWSISSQSSPQRVNSLNSVHISSEARIKGHTLVGPTASVQSPHGESNGDSRNNIARVSGHTNYKASEHNIVGNVSAGFTSDYPYLGCHLQCQHVVDVGNQVIPNKFVNADFSGLTCQSKRIDDSYERAQISQLGRISAPRMPKSATDDLSSSETSWPSYGTQNNARVTDQQFPVLEAMPASQPSGGAFTKMPNVWTSVSAAQHLLGAQSSWASQNLLKHQQQSNGNSETTLPGEKKLDDQIAWVGGNGATEFPAGSAKPQNSGREEQPAKGQQLLPEADASQNPASMQRDIEAFGRSLRPNNTVHQNYLLLHQVQAMKNIEIDPSNRSVKRFKGPTPDSALDAQQKSQGADLLPYGSNNMMRDALMSSSIVPSGDSKMLNMSSGAGEYTERQSSANDTLAFVQNDSLNFNNANNSAGSDWREHPQIRPQMAPSWFDEYGAFKKGQMLPIYDAQKIATMKAADKGFIVGRPSDNLHALDSSEQVNAADASQLDGTRQNSNLMPIAIGHISRQLLPPGIPNQNLIVMRAKKRKSMTFELVSWHREVTQGRSRPQDISAAEAGWAHAANRLIEAENEPEMIEDWPPVLRSKRRLILTTQLMQQLHCAPSRAVLSADAIKNYETVVYFVARLGLGEACSSAYICESDTAVPSESGSTLPEKLKKRKQSILKAAEQFVITAKKLENDLQSLDKRSSILDLRLECQDLEKISLINRFAKFYGRGQADRAETSSTSEAIASPPKFFIQRYVSAVPMPRNVPDKLYIFSCRVHYYQVLVPLLSYIHKS >KJB51023 pep chromosome:Graimondii2_0_v6:8:48253521:48263336:-1 gene:B456_008G198000 transcript:KJB51023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRESLSLGQHHSQLIDSTWPGLSNNLWVESQRQVGPLVSSVKNLSVHQLAEFDRGHTGQTSSLQHGLNFTQSGMRSEIARNHSQNQSSIATGYMQVHQGFQARQNNTNFLGVDTASRCLPVLDSLIESGPDLHEKNSLRLESTASPVNYDFLGGQPHVNGQHPGMIQPLPRQQSGMTGTQLLQQHSILKQMQEFQRQQFPNPQQLQEARQLSSMNQVSSFVKQGSGSLSPATINGVPVHDASNYSLQPELMTSNANWLQHDAFSALQGSSGGFMFSPVQSQVRLMGLDPQQDNQSFNGVFNGSARGNQYQYLSVQMDKPLLQQVPASSNSFPGNQHAMFSDEVGLQDGTLLSRQVDLGKNLFGAAAVQDLNSVFHSENLQQMTIQPKSALMESHGRQEEHLGPSETSLEKSAIQAVHSQNVASLDPTEEKILFGSDDSVWDIFGKSTNTSAVLDGTDSFGAFPSLQSGSWSALMQSAVAETSSNDIGVQEEWSGLALQTSEPPSGNMPSLISNDGSQQQLPGVDNNLQNASTVNFKPFSMSMDANINRDFGSTLGVQQSGVQTANEQTGRMHNDSCQRFVQQLTEERSKWLDRSPLEKPVAESASLFGNVAHSPDVQASAKSISGHQGMALFNPHGQPHNKPNDWNFIESASRSGGAVSNSQDIESSSQPSQSSDHKGGLYEERGLGSDLDHPLSDVNIGPGNVDSGLGSPQVNEGSGLGNVAAVTDSRTRRVTKESSQQLPNGHNLNLWKNIDSKVNSGPSRTPANYQQNLDKSPLSFDSSRNNCLEKGLSEANMLENSNVKETSNDSFHSNLSQHTSTGGIIGNGWLDANDPLAGEQKSSVHVSCKPSGAYKFQYHPMGDLDAEVEPSYGTNNLTHMQAIAQHVSQGFKGHDQGYFVQSNYTVHAAGKSTETEKGCIPGIQVEEMPSTPGSAPDRSFNFIPNKTASISQNMLELLQKVDQPREPGTATHLSSSERNQSSEMPDAETSDGSVGQFQHNRPSASQGFGLQLGPPSQRFTIPDWSISSQSSPQRVNSLNSVHISSEARIKGHTLVGPTASVQSPHGESNGDSRNNIARVSGHTNYKASEHNIVGNVSAGFTSDYPYLGCHLQCQHVVDVGNQVIPNKFVNADFSGLTCQSKRIDDSYERAQISQLGRISAPRMPKSATDDLSSSETSWPSYGTQNNARVTDQQFPVLEAMPASQPSGGAFTKMPNVWTSVSAAQHLLGAQSSWASQNLLKHQQQSNGNSETTLPGEKKLDDQIAWVGGNGATEFPAGSAKPQNSGREEQPAKGQQLLPEADASQNPASMQRDIEAFGRSLRPNNTVHQNYLLLHQVQAMKNIEIDPSNRSVKRFKGPTPDSALDAQQKSQGADLLPYGSNNMMRDALMSSSIVPSGDSKMLNMSSGAGEYTERQSSANDTLAFVQNDSLNFNNANNSAGSDWREHPQIRPQMAPSWFDEYGAFKKGQMLPIYDAQKIATMKAADKGFIVGRPSDNLHALDSSEQVNAADASQLDGTRQNSNLMPIAIGHISRQLLPPGIPNQNLIVMRAKKRKSMTFELVSWHREVTQGRSRPQDISAAEAGWAHAANRLIEAENEPEMIEDWPPVLRSKRRLILTTQLMQQLHCAPSRAVLSADAIKNYETVVYFVARLGLGEACSSAYICESDTAVPSESGSTLPEKLKKRKQSILKAAEQFVITAKKLENDLQSLDKRSSILDLRLECQDLEKISLINRFAKFYGRGQADRAETSSTSEAIASPPKFFIQRYVSAVPMPRNVPDKLYIFSCRVHYYQVLVPLLSYIHKS >KJB51026 pep chromosome:Graimondii2_0_v6:8:48253707:48261142:-1 gene:B456_008G198000 transcript:KJB51026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDSIHNFLGQESLSLGQHHSQLIDSTWPGLSNNLWVESQRQVGPLVSSVKNLSVHQLAEFDRGHTGQTSSLQHGLNFTQSGMRSEIARNHSQNQSSIATGYMQVHQGFQARQNNTNFLGVDTASRCLPVLDSLIESGPDLHEKNSLRLESTASPVNYDFLGGQPHVNGQHPGMIQPLPRQQSGMTGTQLLQQHSILKQMQEFQRQQFPNPQQLQEARQLSSMNQVSSFVKQGSGSLSPATINGVPVHDASNYSLQPELMTSNANWLQHDAFSALQGSSGGFMFSPVQSQVRLMGLDPQQDNQSFNGVFNGSARGNQYQYLSVQMDKPLLQQVPASSNSFPGNQHAMFSDEVGLQDGTLLSRQVDLGKNLFGAAAVQDLNSVFHSENLQQMTIQPKSALMESHGRQEEHLGPSETSLEKSAIQAVHSQNVASLDPTEEKILFGSDDSVWDIFGKSTNTSAVLDGTDSFGAFPSLQSGSWSALMQSAVAETSSNDIGVQEEWSGLALQTSEPPSGNMPSLISNDGSQQQLPGVDNNLQNASTVNFKPFSMSMDANINRDFGSTLGVQQSGVQTANEQTGRMHNDSCQRFVQQLTEERSKWLDRSPLEKPVAESASLFGNVAHSPDVQASAKSISGHQGMALFNPHGQPHNKPNDWNFIESASRSGGAVSNSQDIESSSQPSQSSDHKGGLYEERGLGSDLDHPLSDVNIGPGNVDSGLGSPQVNEGSGLGNVAAVTDSRTRRVTKESSQQLPNGHNLNLWKNIDSKVNSGPSRTPANYQQNLDKSPLSFDSSRNNCLEKGLSEANMLENSNVKETSNDSFHSNLSQHTSTGGIIGNGWLDANDPLAGEQKSSVHVSCKPSGAYKFQYHPMGDLDAEVEPSYGTNNLTHMQAIAQHVSQGFKGHDQGYFVQSNYTVHAAGKSTETEKGCIPGIQVEEMPSTPGSAPDRSFNFIPNKTASISQNMLELLQKVDQPREPGTATHLSSSERNQSSEMPDAETSDGSVGQFQHNRPSASQGFGLQLGPPSQRFTIPDWSISSQSSPQRVNSLNSVHISSEARIKGHTLVGPTASVQSPHGESNGDSRNNIARVSGHTNYKASEHNIVGNVSADFSGLTCQSKRIDDSYERAQISQLGRISAPRMPKSATDDLSSSETSWPSYGTQNNARVTDQQFPVLEAMPASQPSGGAFTKMPNVWTSVSAAQHLLGAQSSWASQNLLKHQQQSNGNSETTLPGEKKLDDQIAWVGGNGATEFPAGSAKPQNSGREEQPAKGQQLLPEADASQNPASMQRDIEAFGRSLRPNNTVHQNYLLLHQVQAMKNIEIDPSNRSVKRFKGPTPDSALDAQQKSQGADLLPYGSNNMMRDALMSSSIVPSGDSKMLNMSSGAGEYTERQSSANDTLAFVQNDSLNFNNANNSAGSDWREHPQIRPQMAPSWFDEYGAFKKGQMLPIYDAQKIATMKAADKGFIVGRPSDNLHALDSSEQVNAADASQLDGTRQNSNLMPIAIGHISRQLLPPGIPNQNLIVMRAKKRKSMTFELVSWHREVTQGRSRPQDISAAEAGWAHAANRLIEAENEPEMIEDWPPVLRSKRRLILTTQLMQQLHCAPSRAVLSADAIKNYETVVYFVARLGLGEACSSAYICESDTAVPSESGSTLPEKLKKRKQSILKAAEQFVITAKKLENDLQSLDKRSSILDLRLECQDLEKISLINRFAKFYGRGQADRAETSSTSEAIASPPKFFIQRYVSAVPMPRNVPDKVQCLPL >KJB51022 pep chromosome:Graimondii2_0_v6:8:48253527:48263197:-1 gene:B456_008G198000 transcript:KJB51022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDSIHNFLGQESLSLGQHHSQLIDSTWPGLSNNLWVESQRQVGPLVSSVKNLSVHQLAEFDRGHTGQTSSLQHGLNFTQSGMRSEIARNHSQNQSSIATGYMQVHQGFQARQNNTNFLGVDTASRCLPVLDSLIESGPDLHEKNSLRLESTASPVNYDFLGGQPHVNGQHPGMIQPLPRQQSGMTGTQLLQQHSILKQMQEFQRQQFPNPQQLQEARQLSSMNQVSSFVKQGSGSLSPATINGVPVHDASNYSLQPELMTSNANWLQHDAFSALQGSSGGFMFSPVQSQVRLMGLDPQQDNQSFNGVFNGSARGNQYQYLSVQMDKPLLQQVPASSNSFPGNQHAMFSDEVGLQDGTLLSRQVDLGKNLFGAAAVQDLNSVFHSENLQQMTIQPKSALMESHGRQEEHLGPSETSLEKSAIQAVHSQNVASLDPTEEKILFGSDDSVWDIFGKSTNTSAVLDGTDSFGAFPSLQSGSWSALMQSAVAETSSNDIGVQEEWSGLALQTSEPPSGNMPSLISNDGSQQQLPGVDNNLQNASTVNFKPFSMSMDANINRDFGSTLGVQQSGVQTANEQTGRMHNDSCQRFVQQLTEERSKWLDRSPLEKPVAESASLFGNVAHSPDVQASAKSISGHQGMALFNPHGQPHNKPNDWNFIESASRSGGAVSNSQDIESSSQPSQSSDHKGGLYEERGLGSDLDHPLSDVNIGPGNVDSGLGSPQVNEGSGLGNVAAVTDSRTRRVTKESSQQLPNGHNLNLWKNIDSKVNSGPSRTPANYQQNLDKSPLSFDSSRNNCLEKGLSEANMLENSNVKETSNDSFHSNLSQHTSTGGIIGNGWLDANDPLAGEQKSSVHVSCKPSGAYKFQYHPMGDLDAEVEPSYGTNNLTHMQAIAQHVSQGFKGHDQGYFVQSNYTVHAAGKSTETEKGCIPGIQVEEMPSTPGSAPDRSFNFIPNKTASISQNMLELLQKVDQPREPGTATHLSSSERNQSSEMPDAETSDGSVGQFQHNRPSASQGFGLQLGPPSQRFTIPDWSISSQSSPQRVNSLNSVHISSEARIKGHTLVGPTASVQSPHGESNGDSRNNIARVSGHTNYKASEHNIVGNVSAGFTSDYPYLGCHLQCQHVVDVGNQVIPNKFVNADFSGLTCQSKRIDDSYERAQISQLGRISAPRMPKSATDDLSSSETSWPSYGTQNNARVTDQQFPVLEAMPASQPSGGAFTKMPNVWTSVSAAQHLLGAQSSWASQNLLKHQQQSNGNSETTLPGEKKLDDQIAWVGGNGATEFPAGSAKPQNSGREEQPAKGQQLLPEADASQNPASMQRDIEAFGRSLRPNNTVHQNYLLLHQVQAMKNIEIDPSNRSVKRFKGPTPDSALDAQQKSQGADLLPYGSNNMMRDALMSSSIVPSGDSKMLNMSSGAGEYTERQSSANDTLAFVQNDSLNFNNANNSAGSDWREHPQIRPQMAPSWFDEYGAFKKGQMLPIYDAQKIATMKAADKGFIVGRPSDNLHALDSSEQVNAADASQLDGTRQNSNLMPIAIGHISRQLLPPGIPNQNLIVMRAKKRKSMTFELVSWHREVTQGRSRPQDISAAEAGWAHAANRLIEAENEPEMIEDWPPVLRSKRRLILTTQLMQQLHCAPSRAVLSADAIKNYETVVYFVARLGLGEACSSAYICESDTAVPSESGSTLPEKLKKRKQSILKAAEQFVITAKKLENDLQSLDKRSSILDLRLECQDLEKISLINRFAKFYGRGQADRAETSSTSEAIASPPKFFIQRYVSAVPMPRNVPDKLYIFSCRVHYYQVLVPLLSYIHKS >KJB50458 pep chromosome:Graimondii2_0_v6:8:44704543:44711420:1 gene:B456_008G172000 transcript:KJB50458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLKPSSFSSSFSFPLLLPLCPCKTNLCFATRNKNNKTPLINRLIPHRKYCLLACAIPSPNPNRLNVRVARNLVANGFASEFVDGERERENENQESSIQMGSNFGSFEQDPIVGKLRTQLGVIHPIPSPPINRNIVGLFVFFFFVGVAFDKIWTSRRRRGKLGALDGDLVRMGGGVWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGGIENWIIGLLQPVIDNLNKPDYVQRVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLMLTLKFGIIPIVVPVGIRDFDIDGELWVKLRLIPTEPFVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLRKLLTVDLPRLFVRPKKIVLDFQNGKAVGPVANDLKSGEIQEEKNKDFVGELSVTLVDARKLFYVFYGKTDPYVTLSLGDQVIRSKRNSQTTIIGPPGEPIWNQDFHLLVANHRKEKLCIQVKDSLGFLDLTIGTGEVDLGTLKDTVPSDQIVVLQGAWGVFGKRSAGEILLRLTYKAYVEDEEDDRTVAGSVDTDASDDELSDSDEPNVTNVQGVKQFTDETEESFMDVLAALIVSEEFQGIVSSEPGSKSFDDISRIGSLKTRFSGVNGESLPSDSDKGSESSGGSILLWFAVITSISVLIALGIGGSSFFNP >KJB50459 pep chromosome:Graimondii2_0_v6:8:44704732:44711420:1 gene:B456_008G172000 transcript:KJB50459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLKPSSFSSSFSFPLLLPLCPCKTNLCFATRNKNNKTPLINRLIPHRKYCLLACAIPSPNPNRLNVRVARNLVANGFASEFVDGERERENENQESSIQMGSNFGSFEQDPIVGKLRTQLGVIHPIPSPPINRNIVGLFVFFFFVGVAFDKIWTSRRRRGKLGALDGDLVRMGGGVWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGGIENWIIGLLQPVIDNLNKPDYVQRVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLMLTLKFGIIPIVVPVGIRDFDIDGELWVKLRLIPTEPFVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLRKLLTVDLPRLFVRPKKIVLDFQNGKAVGPVANDLKSGEIQEEKNKDFVGELSVTLVDARKLFYVFYGKTDPYVTLSLGDQVIRSKRNSQTTIIGPPGEPIWNQDFHLLVANHRKEKLCIQVKDSLGFLDLTIGTGEVDLGTLKDTVPSDQIVVLQGAWGVFGKRSAGEILLRLTYKAYVEDEEDDRTVAGSVDTDASDDELSDSDEPNVTNVQGVKQFTDETEESFMDVLAALIVSEEFQGIVSSEPGSKSFDDISRIGSLKTRFSGVNGESLPSDSDKGSESSGGSILLWFAVITSISVLIALGIGGSSFFNP >KJB50460 pep chromosome:Graimondii2_0_v6:8:44704732:44711420:1 gene:B456_008G172000 transcript:KJB50460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLKPSSFSSSFSFPLLLPLCPCKTNLCFATRNKNNKTPLINRLIPHRKYCLLACAIPSPNPNRLNVRVARNLVANGFASEFVDGERERENENQESSIQMGSNFGSFEQDPIVGKLRTQLGVIHPIPSPPINRNIVGLFVFFFFVGVAFDKIWTSRRRRGKLGALDGDLVRMGGGVWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGGIENWIIGLLQPVIDNLNKPDYVQRVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLMLTLKFGIIPIVVPVGIRDFDIDGELWVKLRLIPTEPFVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLRKLLTVDLPRLFVRPKKIVLDFQNGKAVGPVANDLKSGEIQEEKNKDFVGELSVTLVDARKLFYVFYGKTDPYVTLSLGDQVIRSKRNSQTTIIGPPGEPIWNQDFHLLVANHRKEKLCIQVKDSLGFLDLTIGTGEDTVPSDQIVVLQGAWGVFGKRSAGEILLRLTYKAYVEDEEDDRTVAGSVDTDASDDELSDSDEPNVTNVQGVKQFTDETEESFMDVLAALIVSEEFQGIVSSEPGSKSFDDISRIGSLKTRFSGVNGESLPSDSDKGSESSGGSILLWFAVITSISVLIALGIGGSSFFNP >KJB50593 pep chromosome:Graimondii2_0_v6:8:45521369:45524298:1 gene:B456_008G178400 transcript:KJB50593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWRLRLTSNLKTSTTMVTKLLTFFHRQVSVSLTKREPYLQLRSLSYFTDQPFEYPSLDPSLASLHSVSSNPCFALLSFCKNIDCLKEVHALFIINGIKGDLLCDTKLVSLYGSFGHVGYAGSVFDRIPEPDFYSWKVMIRWYFLNDLYTEIIGFYGRMRMSVRGFDNVVFSVVLKACSELQDINEGRKVHCDVVKVGNPDSFVQTGLVDMYAKCRQIKCARKVFGEIFYRNVVSWTSMLAGYVQNNCSKEALVLFNRMREAMVESNQFTLGSLVTACGKLGALHQGKWVHGYIIKTGIELNSYLVTAILDMYVKCGSLRDARSAFDALPSVDLVSWTAMIVGYSQSGFPDEALKLFVDKRRFGILPNAVTIASLLSACAQLSNLSAGRLVHSLGIQLGLIDPTVINALVDMYAKCGVIRAASYIFETVSDKNLIAWNSILSGYSQNGLAYDALELFHQMRSNSVSPDAVTLVSIFSACASVGAFQVGSSLHAYTMKNGLLSSSVYVGTALLNFYAKCGDSKSARVVFDNMGEKNTVTWSAMIGGYGIQGDSCGSLALFNDMVKENLEPNEVIFTAILSACGHTGRLGEGWKYFNSMCKDYKFVPSMKHYACVVDMLARAGRLEEALDFINKLPIKPDLSLFGALLHGCGLHSRFDLGEVAIKKMLDIHPDKACYYVLISNLYALDGRWSQVNEVRELMKQRGLSKDPGCSITEMENNNTLSFSGVACPA >KJB49832 pep chromosome:Graimondii2_0_v6:8:39057251:39063182:-1 gene:B456_008G139900 transcript:KJB49832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLVLVPPSIVITWWYKTTRQIEQYVDFNTHTLYSGFQSQIHIIANSIPPLNSSAASLAKLLSSSFNQTDEISFDEIETKVAPTLFLAVTTIPYLSQISYVGLEGLFFSYYIDGNQTLSTYANSSFSSRKKHVWYKQPVDNQTGKLYGEPVTSHSFNVVNTSWFQVALNHSWGYSSLENGWNNGGEPLFLTSVSLLGKGVIAMGVPVKNLTDRLGGINLYGGSLSLITMDGKLLLNGIPNTKFIYVNGTIFLQFMWPNGVKNFPCSNDTSETYMMDIDGKEYNVRCSTVKISGVQSVYALALPHEGIASFVYSKINYSHIALVVTMVLLVIPLVFFVSSMITNAQREICLHDKLIKQMEATQQAERKSMNKSLALVGASHDIRAALAGITGFIDLCLANAAPGSDFETYLKQMSLCAQDLLGLLNSILDTSKIEAGMMNLEEQEFNLADLIEHVVDLYHPVGMIKGVDVVLDPCDGSIIKLSQVKGDRGKLVQILSNILSNAVKYTVEGHVCVRAWVGKPDFETEILASTRKGLGKYMSSLFSGKNDGNSDVQAVSAVRQNRDSVEIVFEVDDTGKGIPKEKQKSVFENYVQVKETAAGQVGTGLGLGIVQSLVHLMGGEIGIVDKEFGEKGTCFRFNVFLTAFEIQGDAMYGGTNSSFAIRNCSPKLGIYTPSPKLDGSQVVLFMQNIERRRVSQKFLESLGISVLVVDHCHHFPSALKKIQSKLNSLLNSSRRSDMSCRSDISSSSSKEMPLSAMEGTEHKLPFNRRKDTPSFILLVIDVNAESFSELWRVVAEFRRGLHSTCCKVIWLDKPTSPCIDPKRLNPDDEILLQPFHGSRLHRVIKLLSEFGNLSQGISSSSESSKHPYGKTRLRHTQCNDEIQGYVSSSNERYSKQGSSSPTLERTRGRLKSKRKNKDGAESSNGEKPLIGKRILIAEDNKMLSILAITTATQLGADVEHCENGNEAFELVCDGLKAQRNYDYILMDCEMLPMNGYEATRRIRIEEERYGVRIPIIALTAHTSGTEAMEAGMDAHLNKPLKTNELMEVIESIETKE >KJB50345 pep chromosome:Graimondii2_0_v6:8:43384431:43386454:-1 gene:B456_008G165100 transcript:KJB50345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRRGLCYPRPADVCVDKLLVKTQDFARDFMGCRKRQRFSPEIAGNPDFFDLLPDDIVISIFSKLSSTARSPSDFINVLITCKRFKSLALSSVVLSKASPKMFAIKAINWSESAHRFLKTCSDAGNVEACYTLGMIRFYCLQNRGSGASLMAKAAINSHAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRQNIAEGRRFLVQANARELAAVLSSATASNETTHAWLTWSPHPIPPASQRHPAMPGCPLLSDFGCNVPAPEAHPASRFLAEWYEGRGRIPGPGLRLCSHVGCGRPETRKHEFRRCSVCGAVNYCSRACQALDWKLRHKAECAAVEQWLDEEADGGHAVAGNDDVIAGS >KJB50182 pep chromosome:Graimondii2_0_v6:8:42198962:42199180:-1 gene:B456_008G157800 transcript:KJB50182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRADRGASTEDLSSAVLMFWAVLAALSLVTAIIFSCAGGTSKEKASATHADTYGSTCAAGCGAGCGAGCGG >KJB51931 pep chromosome:Graimondii2_0_v6:8:52595427:52597939:1 gene:B456_008G239200 transcript:KJB51931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFTFVIASSILWLTWIYLKSKKGKPPPPPGPLGLPLVGNLPFLRPDLHSYFSELAHIYGPVVKLQLGSKLAILITSSSTAREVLKDQDIAFANRDVPVVAKVLTGGRDIVWNPYGPEWRMLRKVCVIKMLSNATLDKVYSLRRQEVRETLGYLYSKAGSPVNVGEQMFLTILNVLTNMLWGGSMEGEARASLGAEFRQVISEVTELLGLPNVSDFFPALAPLDLQGAVKRIRKPAEKLDAIIDKIINQRLEEKQSGHTVEEFKDFLQFLLKLKDEDDSKTPMTMTHIKALLLDMVVGGSDTSSNSIEFTMAEIINKPEVMRKAQQELDEIVGKDNFVEESHIHKLPYLLAVMKESLRLHPVLPLLVPHCPSEPSTVGGYAIPKGSRVFVNAWAIHRDPSIWENPLEFNPDRFLNSQWDFSGSDFRYFPFGSGRRICAGIAMAERMVLYSVASLLHSFDWQVPGGEKLDLSEKFGIVLKLQNPLVAIPTPRLSDPALYQP >KJB48920 pep chromosome:Graimondii2_0_v6:8:23586307:23587765:1 gene:B456_008G093700 transcript:KJB48920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLECDDLVNEMFSTFFSVVRDDHPESVLSTMQTIMIVVLEESEDVRDDLLLVILSALGRNKSVDQLDNRLRAVRLVGSLFALPGANICEAFQLIFSEFLMRLTDRAVDVRMSVLEHVKICLLSDPSRPELLK >KJB48921 pep chromosome:Graimondii2_0_v6:8:23586053:23588098:1 gene:B456_008G093700 transcript:KJB48921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKGCLPNEFSLGILVRGDDHPESVLSTMQTIMIVVLEESEDVRDDLLLVILSALGRNKSVDQLDNRLRAVRLVGSLFALPGANICEAFQLIFSEFLMRLTDRAVDVRMSVLEHVKICLLSDPSRPELLK >KJB52145 pep chromosome:Graimondii2_0_v6:8:53218149:53221946:-1 gene:B456_008G248100 transcript:KJB52145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCCSSRGVENSAPADYCNTRASDRHVPLSSRNATASALSTGLLVDTNLEVSVPDAYQPPPAPIPFDAALGHPLTPSAAQGIHSSKSNEAVQTTNIGSGQETIVVNTQETFIKSDDAKELDCKAQNTTENESSKELEIELPKPVESIVPTKEEEDCCPTCLEEYDEENPKIITKCEHHFHLACIFEWMERSETCPVCDKEMIFDLPTN >KJB49983 pep chromosome:Graimondii2_0_v6:8:40235505:40236741:1 gene:B456_008G148300 transcript:KJB49983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPLNPTHQNHSRFLGCFGFPMNKKSHPKPIQKKTPSLWQMFRLSPTKSITKTLPVNNADKAKVDHAKTKTKTSKLIKKKLPDSTKSSSKPHIPSRQNSKADQRLASTNQIIRETTKETWAAPGSLSEPTRSRSLPDSVVTKAKLKPKKTQTVLSHTVSLPVLEGRQRVGNNGIHAPPVNSKDLRLDNFELGMSVIVVTLIIMLVWGRLCAILCTSAWLYFCPRFRTRVNGNGSSSGGGVESTQSLNDSYLNSKEYKKKVVLEGLLHRNNRITL >KJB51852 pep chromosome:Graimondii2_0_v6:8:52047919:52050394:-1 gene:B456_008G234200 transcript:KJB51852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNETVKYGIIGVGMMGREHLINLHHLRTQGVVVVCIADPHLPSQQLALELAKSFDWPLKVFSGHQELLDSGLCDVVVVSSPNMTHYQILMDILNHPKPHHVLVEKPLCTTVADCRKVVNAARKRPDMLVQVGLEYRYMPPVAKLIEIVKGGTLGQVKMVAIREHRFPFLVKVNNWNRFNSNTGGTLVEKCCHFFDLMRLFAGANPVCMMASGAMDVNHKDEIYDGKVPDIIDNAYVIVEFDNGARGMLDLCMFAEGSKNEQEISVVGHTGKGEAFVPESIVRFGSRMEGRDGVQTLKTENRLIKYEGLHHGSSYLEHLNFLSAIRVKGAKTPAADLNDGLISVAMGVAAQLSIEKGRFVNIEEVLDEHNC >KJB52814 pep chromosome:Graimondii2_0_v6:8:55623679:55627218:1 gene:B456_008G278800 transcript:KJB52814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPYVSKSPREAYSNYRDLDIEANKINNDKESYAEARVWGLKYFKNNFDRISASAQPAEEFLRCLSLRFHNSSSIAKLVYTYHNSSYSSVLKSSAQNFRFTTPSTPTPLAIVTPFHASHIQATVYCCRKHGLQVRTRSGGHDFEGLSYTTAYKVPFVVIDLVNLRSVQVNVEKATAWVESGATVGELYYEIARKTRTLAFPAGIGHTVGIGGQLSGGGYGVLFRKYGLASDNVIDARLIDAYGRILDKKSMGEDLFWAIRGGGGGSFGIVLAWKVKLVPVPANVTACTVSKTLEQNATKLVHQWQSIARKFPKEIQSSIAIARVNSSEDGKMTIQASYGSVFLGSIDELIPLMEEKFPELGLVKEDCLEMSWAESILYSALSIIGLPLETLLNRTQKSALSQTFFKAKSDFVKQPISESGFEGLWPKFYEDEAKSAVMVLVAYGGKMDEIPETEYPYPHRAGNLYSILYVVNWEEEENKNSEKFMNWMRRVYSYMTPYVSKSPREAYVNYRDLDIGTNKFNHDKGSYTEAKVWGLKYFKNNFDKLVHVKTKVDPQNFFKHEQSIPPLF >KJB49992 pep chromosome:Graimondii2_0_v6:8:40271641:40272025:-1 gene:B456_008G148800 transcript:KJB49992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKKTKKTHESINNKLAFVMKSGKYTLGYKTVLKSLRSSKG >KJB51419 pep chromosome:Graimondii2_0_v6:8:50247527:50258594:1 gene:B456_008G215500 transcript:KJB51419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRVFSGQMYGAQRSGAGTIRRIRLENFMCHNSLEIELGEWVNFITGQNGSGKSAILTALCIAFGCRARETQRASKLKEFIKTGCSYATVQVDIKNEGVDSFKPEIFGDTIIIERRISDTTSSTVLKDRQGRKVASRKEDLRELVEHFNIDVENPCVIMSQDKSREFLHSGNDRDKFKFFFKATLLQQVDELLQSIIKQLKDACALVDELEKLIRPAQLELSELQEKIENIKRVEQISQEVQLLRKKLAWSLVYDEDKRLQEQSKNIETLKKRIPTCQAKIDSTLDKLEKLLERLSKKKVQVASLVEKTSEVKRKKDELWDALCSARKEKLELEEEHRRGTKQIRKMRNDVRRLEEQARDIQDKHIRNTQAEESEIEEQIKELEYAVDNVQSLLSSLKDEENTLSEQISAEMDAMKKINDEINYYERKQREVHHQICELRLHQTNKVTAFGGDGVLRLLREIERHHKAFSMPPIGPIGAHVTLVNGDTWVPAVERAIGKMLNAFIVTNSEDACALRKCAREARYNFFPIVIQRFSRPRLIIPNHSLPQTSHPTTLSVLHSDNPTIYNVLVDVCKAERQVLVKDYNMGRAVAFDQRIPNMLEVFTLDGFKMFSRGSVQTVLPINKNLKIGRLRGSFGDQVKEFESHVSNIQQDIDQCKSRKRELEKKVQDFNRKLDNKKKRRLDVEREFMAKRMKLQDMQKSHAVDASLLPESTTNELLQEISDGKMVINKKEALLETLKDRINEAEEKARTLKLSFEDLGESTKGEVEAFQKAEEELTEIEKEIHNAEANKLHYENIMNNKVLPQIKEAEAKYLELEKDRKESYKKASVICPESDIEALGDRDETTTEQLDAKLKRLNQRLMHESQQYSESIDDLRMLHQEKERRILRKLHTYKAFRERLDVSSTCCSICSRI >KJB51421 pep chromosome:Graimondii2_0_v6:8:50247481:50261710:1 gene:B456_008G215500 transcript:KJB51421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRVFSGQMYGAQRSGAGTIRRIRLENFMCHNSLEIELGEWVNFITGQNGSGKSAILTALCIAFGCRARETQRASKLKEFIKTGCSYATVQVDIKNEGVDSFKPEIFGDTIIIERRISDTTSSTVLKDRQGRKVASRKEDLRELVEHFNIDVENPCVIMSQDKSREFLHSGNDRDKFKFFFKATLLQQVDELLQSIIKQLKDACALVDELEKLIRPAQLELSELQEKIENIKRVEQISQEVQLLRKKLAWSLVYDEDKRLQEQSKNIETLKKRIPTCQAKIDSTLDKLEKLLERLSKKKVQVASLVEKTSEVKRKKDELWDALCSARKEKLELEEEHRRGTKQIRKMRNDVRRLEEQARDIQDKHIRNTQAEESEIEEQIKELEYAVDNVQSLLSSLKDEENTLSEQISAEMDAMKKINDEINYYERKQREVHHQICELRLHQTNKVTAFGGDGVLRLLREIERHHKAFSMPPIGPIGAHVTLVNGDTWVPAVERAIGKMLNAFIVTNSEDACALRKCAREARYNFFPIVIQRFSRPRLIIPNHSLPQTSHPTTLSVLHSDNPTIYNVLVDVCKAERQVLVKDYNMGRAVAFDQRIPNMLEVFTLDGFKMFSRGSVQTVLPINKNLKIGRLRGSFGDQVKEFESHVSNIQQDIDQCKSRKRELEKKVQDFNRKLDNKKKRRLDVEREFMAKRMKLQDMQKSHAVDASLLPESTTNELLQEISDGKMVINKKEALLETLKDRINEAEEKARTLKLSFEDLGESTKGEVEAFQKAEEELTEIEKEIHNAEANKLHYENIMNNKVLPQIKEAEAKYLELEKDRKESYKKASVICPESDIEALGDRDETTTEQLDAKLKRLNQRLMHESQQYSESIDDLRMLHQEKERRILRKLHTYKAFRERLDACQKALDLRWKKFQRNASLLRRELTWQFNGHLGKKGISGQINVSYEEKTLSVEVKMPQDASSTIVRDTRGLSGGERSFSTLCFALALHDMTEAPFRAMDEFDVFMDAVSRKISLDTLVEFALAQGSQWIFITPHDISNVKNGERIKKQQMAAPRS >KJB51418 pep chromosome:Graimondii2_0_v6:8:50247527:50261713:1 gene:B456_008G215500 transcript:KJB51418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRVFSGQMYGAQRSGAGTIRRIRLENFMCHNSLEIELGEWVNFITGQNGSGKSAILTALCIAFGCRARETQRASKLKEFIKTGCSYATVQVDIKNEGVDSFKPEIFGDTIIIERRISDTTSSTVLKDRQGRKVASRKEDLRELVEHFNIDVENPCVIMSQDKSREFLHSGNDRDKFKFFFKATLLQQVDELLQSIIKQLKDACALVDELEKLIRPAQLELSELQEKIENIKRVEQISQEVQLLRKKLAWSLVYDEDKRLQEQSKNIETLKKRIPTCQAKIDSTLDKLEKLLERLSKKKVQVASLVEKTSEVKRKKDELWDALCSARKEKLELEEEHRRGTKQIRKMRNDVRRLEEQARDIQDKHIRNTQAEESEIEEQIKELEYAVDNVQSLLSSLKDEENTLSEQISAEMDAMKKINDEINYYERKQREVHHQICELRLHQTNKVTAFGGDGVLRLLREIERHHKAFSMPPIGPIGAHVTLVNGDTWVPAVERAIGKMLNAFIVTNSEDACALRKCAREARYNFFPIVIQRFSRPRLIIPNHSLPQTSHPTTLSVLHSDNPTIYNVLVDVCKAERQVLVKDYNMGRAVAFDQRIPNMLEVFTLDGFKMFSRGSVQTVLPINKNLKIGRLRGSFGDQVKEFESHVSNIQQDIDQCKSRKRELEKKVQDFNRKLDNKKKRRLDVEREFMAKRMKLQDMQKSHAVDASLLPESTTNELLQEISDGKMVINKKEALLETLKDRINEAEEKARTLKLSFEDLGESTKGEVEAFQKAEEELTEIEKEIHNAEANKLHYENIMNNKVLPQIKEAEAKYLELEKDRKESYKKASVICPESDIEALGDRDETTTEQLDAKLKRLNQRLMHESQQYSESIDDLRMLHQEKERRILRKLHTYKAFRERLDACQKALDLRWKKFQRNASLLRRELTWQFNGHLGKKGISGQINVSYEEKTLSVEVKMPQDASSTIVRDTRGLSGGERSFSTLCFALALHDMTEAPFRAMDEFDVFMDAVSRKISLDTLVEFALAQGSQWIFITPHDISNVKNGERIKKQQMAAPRS >KJB51420 pep chromosome:Graimondii2_0_v6:8:50247527:50261677:1 gene:B456_008G215500 transcript:KJB51420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRVFSGQMYGAQRSGAGTIRRIRLENFMCHNSLEIELGEWVNFITGQNGSGKSAILTALCIAFGCRARETQRASKLKEFIKTGCSYATVQVDIKNEGVDSFKPEIFGDTIIIERRISDTTSSTVLKDRQGRKVASRKEDLRELVEHFNIDVENPCVIMSQDKSREFLHSGNDRDKFKFFFKATLLQQVDELLQSIIKQLKDACALVDELEKLIRPAQLELSELQEKIENIKRVEQISQEVQLLRKKLAWSLVYDEDKRLQEQSKNIETLKKRIPTCQAKIDSTLDKLEKLLERLSKKKVQVASLVEKTSEVKRKKDELWDALCSARKEKLELEEEHRRGTKQIRKMRNDVRRLEEQARDIQDKHIRNTQAEESEIEEQIKELEYAVDNVQSLLSSLKDEENTLSEQISAEMDAMKKINDEINYYERKQREVHHQICELRLHQTNKVTAFGGDGVLRLLREIERHHKAFSMPPIGPIGAHVTLVNGDTWVPAVERAIGKMLNAFIVTNSEDACALRKCAREARYNFFPIVIQRFSRPRLIIPNHSLPQTSHPTTLSVLHSDNPTIYNVLVDVCKAERQVLVKDYNMGRAVAFDQRIPNMLEVFTLDGFKMFSRGSVQTVLPINKNLKIGRLRGSFGDQVKEFESHVSNIQQDIDQCKSRKRELEKKVQDFNRKLDNKKKRRLDVEREFMAKRMKLQDMQKSHAVDDGKMVINKKEALLETLKDRINEAEEKARTLKLSFEDLGESTKGEVEAFQKAEEELTEIEKEIHNAEANKLHYENIMNNKVLPQIKEAEAKYLELEKDRKESYKKASVICPESDIEALGDRDETTTEQLDAKLKRLNQRLMHESQQYSESIDDLRMLHQEKERRILRKLHTYKAFRERLDACQKALDLRWKKFQRNASLLRRELTWQFNGHLGKKGISGQINVSYEEKTLSVEVKMPQDASSTIVRDTRGLSGGERSFSTLCFALALHDMTEAPFRAMDEFDVFMDAVSRKISLDTLVEFALAQGSQWIFITPHDISNVKNGERIKKQQMAAPRS >KJB47101 pep chromosome:Graimondii2_0_v6:8:1281765:1282846:1 gene:B456_008G011200 transcript:KJB47101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQNLKHRSRDDPRFTTTTSNEKNRANRREKRMAMAKRGLRSLVIAVSFPLSLTLLNIYLFGSGRGYASLAKPFWFPPLWLLHTGCLASSFVMGLSAWFVWVEGGFHVKPTALSLYLGYLGLSMAWHPTVLWMGASWAGLLVSLAMVGTLVGCSRDFGKVNPIAGNSVMPCLAWAAFLATVNLKLVFL >KJB48204 pep chromosome:Graimondii2_0_v6:8:9132800:9133282:-1 gene:B456_008G058300 transcript:KJB48204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAFLILAVLCVVSITTFLCASNKKRGFEKKEESNMSSERKLLSKINSNLGSKAQLMVKLISWRKVQAEDEGGGYGVSDEAVWRKTIIMGERCRPLDFSGKILYDSKGNLLPAADQSAPQDTNNNLK >KJB50512 pep chromosome:Graimondii2_0_v6:8:45085930:45087360:-1 gene:B456_008G174800 transcript:KJB50512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTVNNCHILLFPYPAQGHMLPLLHLAHRLSLHGLTITIVITPKNLPYLSSLLSSHPSSITPLILPFPSHPSIPPGVENVKDLGHSGNLPMLTSLSKLHDPLLHWFNSHPDPPVAIISDFFLGWTLHLAHQLNIPRLVFFPSGAFLAAIDDYVWNNVEQLKTLDQVELSALPGSHVFKSHHLPSLFRLYKKSDPDWEFVKDLKLANTKSWGCVFNSFEAFEGEYMDYLKKKLSHDRIFGVGPLNLPGPGNLGSGSDLNERLLTWLDGCPKASVVYVCFGSQKLLKREQMEALALGLEKSGTRFVWVVKTGSTQEREDGFGNVPDGFEERVAGRGLVIREWAPQVSILSHEAAGGFLSHCGWNSVLEGIVGGVVILAWPMEADQFVNARLLVEDMGVGVRVCEGAGSVPEAGELSRVIGESMSEVGGLKDKAKELKEKALEAVSKEGSSVQDMGWLVGELRKLKPFKSRIQHTSVS >KJB46963 pep chromosome:Graimondii2_0_v6:8:572333:573390:1 gene:B456_008G003700 transcript:KJB46963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALENVKLGLALVFVTMLCGYKAMAQSGCTSVLLGLAPCLNYITSNSTTTPSSTCCSQLSSVVQSQPQCLCSVLNGGGSSLGVTINQTRALSLPGACNVQTPPVSRCNAAANGPASPPVSSAVSPSADSSDDTPDTSVTSSMPSIPSGTGSKTVPTTEGSISNGSIMEKQLALTLFTLFIASYVSIIALY >KJB46962 pep chromosome:Graimondii2_0_v6:8:572333:573371:1 gene:B456_008G003700 transcript:KJB46962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALENVKLGLALVFVTMLCGYKAMAQSGCTSVLLGLAPCLNYITSNSTTTPSSTCCSQLSSVVQSQPQCLCSVLNGGGSSLGVTINQTRALSLPGACNVQTPPVSRCNAANGPASPPVSSAVSPSADSSDDTPDTSVTSSMPSIPSGTGSKTVPTTEGSISNGSIMEKQLALTLFTLFIASYVSIIALY >KJB51216 pep chromosome:Graimondii2_0_v6:8:49206347:49206676:-1 gene:B456_008G206900 transcript:KJB51216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKRRGLKKSKVIFMSLYKATKSSSSIQYGNTSSSAASTIMASATSFYGDAFMDHSTTLKPSIVAFDHTGKNYDYGGIELRNYDEGIDDKAASYISGVRQRLSLERDD >KJB50959 pep chromosome:Graimondii2_0_v6:8:47996464:47998838:-1 gene:B456_008G195000 transcript:KJB50959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDNFAPQKRSSTGGGGGLPTIRNEAGAGGRDRGFPSMPRGRQMHKTFNNIKITILCGFVTILVLRGTIGVGNLGSSEAEAINQNLIEETNRILAEIRSDSDPTDPDESPETELNPNVTYTLGPKITDWDQQRKVWLSKNPEFPNFVNGKARILLVTGSPPNPCDNAIGDHYLLKAIKNKIDYCRLHGIEIVYNMAHLDKELAGYWAKLPLIRRLMLSHPELEWIWWMDSDAFFTDMVFEIPLYKYEKYNLVIHGYPDLLFEQKSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGPIREEAGRILTANLKGRPAFEADDQSALIYLLLSQKDQWMDKVFIENQYYLHGYWAGLVDRYEEMMEKYHPGLGDERWPFVTHFVGCKPCGSYGDYSVERCLRSMQRAFNFADNQVLKLYGFRHRGLLGPNIKRIRNETAIPLEIVDQLDIRRSVHGKTESKS >KJB53196 pep chromosome:Graimondii2_0_v6:8:56927721:56933770:1 gene:B456_008G296300 transcript:KJB53196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSAMANSTETAVESLHHIKSTEPPEWLVPKQELSQAVRAASKLLFSSLKPHCPKSPFDQLLTEGFDTEQIWQQIDLQSQPLLSSLRREVKKFEKHPEEISKLKDGGVGGGEKKKVLEQIETDNVVNDDEDDDDMDMDEDEDDEEEEDEEGEEEEKERGTEEESENEGEEKGGIEDKFLKIKELQEYLEEDEAREFGLKKKKTKAESKKEEEDTEEEGDDDEDENEGSEDDDDEAQEEEDGLGLFDGNDEEDEDDLENARYEDFFSRKKNKSSKEKAKSRDMLEEDSGSGDEQDVDKRKDGPSKHEKELDKLRSKIEEMEKANLDPKVWTMRGEVTAAQRQKNSALEVDLDFEHNVRPAPVITEEVTASLEDLIKTRISEGLFDDVQKARTSSSKVPREIKELDESKSKKGLAEVYEEEFVQKTDPASAPLTFSDELKKEASMLFKKLCLKLDALSHFHFTPKPVCFSFGFPMAKYSKNQFAVVFIWCLDFCRLLRTCLYKQMSPPLPWKRLHLWLSQMQLCWLLRKFFLVKVTLKKKQN >KJB53195 pep chromosome:Graimondii2_0_v6:8:56927708:56933770:1 gene:B456_008G296300 transcript:KJB53195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSAMANSTETAVESLHHIKSTEPPEWLVPKQELSQAVRAASKLLFSSLKPHCPKSPFDQLLTEGFDTEQIWQQIDLQSQPLLSSLRREVKKFEKHPEEISKLKDGGVGGGEKKKVLEQIETDNVVNDDEDDDDMDMDEDEDDEEEEDEEGEEEEKERGTEEESENEGEEKGGIEDKFLKIKELQEYLEEDEAREFGLKKKKTKAESKKEEEDTEEEGDDDEDENEGSEDDDDEAQEEEDGLGLFDGNDEEDEDDLENARYEDFFSRKKNKSSKEKAKSRDMLEEDSGSGDEQDVDKRKDGPSKHEKELDKLRSKIEEMEKANLDPKVWTMRGEVTAAQRQKNSALEVDLDFEHNVRPAPVITEEVTASLEDLIKTRISEGLFDDVQKARTSSSKVPREIKELDESKSKKGLAEVYEEEFVQKTDPASAPLTFSDELKKEASMLFKKLCLKLDALSHFHFTPKPVVEDMSIQTNVPALAMEEVAPMAVSDAAMLAPEEVFSGKGDIKEEAELTKAERKRRRANKKRKFKAEAAKRMGKKARQMITVGNNDEGREG >KJB53006 pep chromosome:Graimondii2_0_v6:8:56362378:56364215:-1 gene:B456_008G288400 transcript:KJB53006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEAARTARESLDLAFHMSNILDTGLDRHTLSVLIALCDLGLNPEALAAVVKELQREPPPSTPLSSSSSQLRALSYSLSSASNRYITFIIKTNCLMFEAKMVKLKF >KJB49301 pep chromosome:Graimondii2_0_v6:8:34253471:34255604:1 gene:B456_008G111500 transcript:KJB49301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPISALAKYKLVFLGDQSVGKTSIISRFMYDKFDNNYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSNWIEEVRAERGSDVIIMLVGNKTDLVDKRQVSVEEGEAKARDINVMFIEASAKAGFNIKALFRKIAAALPGMETLSSTKHEDMVDVNLKSSNSNGSQSQQESGGCAC >KJB47333 pep chromosome:Graimondii2_0_v6:8:2458598:2461329:1 gene:B456_008G021700 transcript:KJB47333 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like protein [Source:Projected from Arabidopsis thaliana (AT4G34270) UniProtKB/Swiss-Prot;Acc:Q8VXY4] MEVEVDEKDLKSAGAELLKDGRRGLRIHGWEIESLKRSILNSSTLQQWEQKLHTSHFPEMIFGESCLVLKHLGSGTKIHFNTFDALAAWKQEGLPPVEVPAAAKWKFRSKPFQQVILDYDYTFTTPYCGSETVELELDSDKHGSGGISGGDSGLHWEDCKEQLDMAALTLREPILFYDEVVLYEDELADSGVSLLTVKVRVMPSSWFLLLRFWLRVDGVLMRLRDTRMHCVFDESANPVILRERCWREGTFEALSAKGYPTDSASYSDPSIISQRLPVIMHQTQKLVVPGNVNCHAQQIH >KJB47474 pep chromosome:Graimondii2_0_v6:8:3293892:3307271:-1 gene:B456_008G028000 transcript:KJB47474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRFRARFCVLILLACSIYSGFTLVGAQNRRPKNVQVAVRAKWSGTPLLLEAGELLSKESKNLFWEFIDDWLLHVAKTDGDSHSAKDCVKKILKHGSFLLSEPLAPLFEYSLSLRSASPRLVLYRQLAEESLSSFPLPDDSYSNNERGLDANETADIKKFDPLLVGVNPKSPGGKCCWVDTGVALFFDVADLLLWLQRPNELSGDASQQPETYDFDHIHFDSNIMSPVAILYGALGTDCFRGFHVTLTQAAKEGKVNYVVRPVLPSGCEAEVGLCGAVGARDSLNLGGYGVELALKNMEYKAMDDSAIKKGVTLEDPRTEDLNQEVRGFIFSKILERTPDLTSEIMAFRDYLLSSTISDTLDVWELKDLGHQTAQRIVQASDPLQSMQEINQNFPSVVSSLSRMKLNDSIKDEIIANQKMIPPGKSLMALNGALINIEDIDLYLLIDLVHQELSLADQFSKLKIPRSTVRKVLLTVTPPELNMFRVDFRSAHVHYLNNLEEDAMYRRWRNNINEILVPVFPGQPHYIRKNLYHAVYVLDPATVCGLQSIDIITSFYENTFPMRFGVILYSAQFIKKIEMSGGELHSSALEHDSEIENDKSSLIIQLFIYIKENHGPQTAFQFLSNVNRLRTESAESTDEAVEMHHIEGAFVETELSKAKSSPQEILLKLKKEQTFKEMSQESSLSVFKLGVGTLQCCLLMNGLVFDSSEEALINAMSDELPRIQEQVYYGHINSRTDVLDKFLSENGISRYNPQILADGKVKQRFVSLASSILGGDSVLNEINYLHSPETVDDVKAVTHLLAVDVTSKKGIKLLREGIHYLIGGTKGARVGVLFSASQIADLPSLLLTRTFEITAASYSHKKKVLDFLDQLCSFYEHKYILRSTTAAESNQEFVDKVYELAEANELFSKPYKSSLPEASDLKLRERLNKVSRFLYRQLGIPSGVNAIITNGRVTPLGASVFLSDDLHLLESIEFKHRIKHIVEIIEEVNWQDVDPDMLTSKYISDVIMFVSSSMATRDRSTESARFEILNAEYSAVVVNNENSSIHIDAVVDPLSPPGQKLSSLLRVLAKYAHPSMRIVLNPLSSLGDLPLKNYYRYVVPTMDDFSSTDYTVNGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAIHDLDNIFLENLGDTRTLQAVFELEALVLTGHCAEKDHGPPRGLQLILGTENTPHLVDTIVMANLGYWQMKVHPGVWHLQLAPGRSSELYFFRDGVDDGSQEKSLSKRIAVNDLRGNVVHLEVVKKKGKEHEKLLISSDVDNQSKDKRGHSSWNSKIFEWASSFIGGRDHSKKSDDWLTVPRNRYKYEPRRRDQDKKIDVQVKGKTMVNP >KJB48965 pep chromosome:Graimondii2_0_v6:8:25893322:25909558:-1 gene:B456_008G095500 transcript:KJB48965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKNRKKKRIVYQRYTRSSEKPTTHILKDMAHCVLAPTPIHFQFHSRRTGFLRCFSESALKSPLPYPIHPRFTPRIFCNYDDSNRNSQTQSSSLQLYRDIERLLTDTVRQSQGAWGSSSDWSQVEGAWILKPKGSKPKSVVHFIGGIFVGAAPQLTYRLFLERLSEKGVLVIATPYASGFDYFFIADEVQFKFDRCLRFLQETVHDLPTFGIGHSLGSVIHLLIGSRYGLQRSGNVLMAFNNKEASVAIPLFSPVLVPMAQSIGPFLSQIASTPTIRMGAELTLKQLESLSPPIMKQVLPLVEQLPPLYMDLVKGREDFTPKPEETRQLIKSYYGISRNLLIKFKDDTIDETPNLAQVLSSESAISSMLDMSIRLLPGDHGLPLQQALPDVPPAMADAVNRGSEFLANLSVGTPWETVAKEVSNTLGVDSPVVRAEISKDVNTLVDVIISWMASNSGLDEASQRNRPWPLPFWCNG >KJB48966 pep chromosome:Graimondii2_0_v6:8:25901866:25909558:-1 gene:B456_008G095500 transcript:KJB48966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKNRKKKRIVYQRYTRSSEKPTTHILKDMAHCVLAPTPIHFQFHSRRTGFLRCFSESALKSPLPYPIHPRFTPRIFCNYDDSNRNSQTQSSSLQLYRDIERLLTDTVRQSQGAWGSSSDWSQVEGAWILKPKGSKPKSVVHFIGGIFVGAAPQLTYRLFLERLSEKGVLVIATPYASGFDYFFIADEVQFKFDRCLRFLQETVHDLPTFGIGHSLGSVIHLLIGSRYGLQRSGNVLMAFNNKEASVAIPLFSPVLVPMAQSIGPFLSQIASTPTIRMGAELTLKQLESLSPPIMKQVLPLVEQLPPLYMDLVKGREDFTPKPEETRQLIKSYYGISRNLLIKFKDDTIDETPNLAQVLSSESAISSMLDMSIRLLPGDHGLPLQQALPDVPPAMADAVNRGSEFLANLSVGTPWETVAKEVSNTLGVDSPVVRAEISKDVNTLVDVIISWMASNSGAKLLNP >KJB49850 pep chromosome:Graimondii2_0_v6:8:39282204:39285220:-1 gene:B456_008G141300 transcript:KJB49850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLDKDGKDREMNSRMGTRSGVKDSIPAWIKFSVQAPGEIPYSGIYYDPPEEEKYVFKHPHTKRPKSHAGMSSILCISLFPCMNCYSSLLSDCLPVLICCYLLSCHIFCTVSSNILYDMFLQDFENEKKSRSFLY >KJB49598 pep chromosome:Graimondii2_0_v6:8:36835431:36835802:1 gene:B456_008G127100 transcript:KJB49598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKGRTTTKNEYEDLLPVMAEKLDVEAFVSELCGGFRLLADEDRGLITAESLKKNSALLGMEGMSEEEAWDMVKEGDLDGDGALNQTEFCILMVRLSPGMMEDAETWLEKAIHQELRKKTSA >KJB50921 pep chromosome:Graimondii2_0_v6:8:47725290:47729452:1 gene:B456_008G193200 transcript:KJB50921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDTEYRCFIGNLSWSTSDRGLKDAFEKFGNLLEAKVATDKFSGRSRGFGFVTFDEKAAMEEAIEAMNGMDLDGRNITVDRAKPQQGSGRDYDGDRSRDRGRDRDHDRSRGYDGSRGSNGGECFKCGKPGHFARECPSDGARGGKYGGRGDRYGGGSDGGRYGPDRNGDRFGGRSRDAGSRGGSGSDRYSRDRSGPYERRGAGGPRSG >KJB50923 pep chromosome:Graimondii2_0_v6:8:47725552:47729566:1 gene:B456_008G193200 transcript:KJB50923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDTEYRCFIGNLSWSTSDRGLKDAFEKFGNLLEAKVATDKFSGRSRGFGFVTFDEKAAMEEAIEAMNGMDLDGRNITVDRAKPQQGSGRDYDGDRSRDRGRDRDHDRSRGYDGSRGSNGGECFKCGKPGHFARECPSDGARGGKYGGRGDRYGGGSDGGRYGPDRNGDRFGGRSRDAGSRGGSGSDRYSRDRSGPYERRGAGGPRSG >KJB50920 pep chromosome:Graimondii2_0_v6:8:47725290:47729452:1 gene:B456_008G193200 transcript:KJB50920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDTEYRCFIGNLSWSTSDRGLKDAFEKFGNLLEAKVATDKFSGRSRGFGFVTFDEKAAMEEAIEAMNGMDLDGRNITVDRAKPQQGSGRDYDGDRSRDRGRDRDHDRSRGYDGSRGSNGGECFKCGKPGHFARECPSDGARGGKYGGRGDRYGGGSDGGRYGPDRNGDRFGGRSRDAGSRGGSGSDRYSRDRSGPYERRGAGGPRSG >KJB50922 pep chromosome:Graimondii2_0_v6:8:47725215:47729837:1 gene:B456_008G193200 transcript:KJB50922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDTEYRCFIGNLSWSTSDRGLKDAFEKFGNLLEAKVATDKFSGRSRGFGFVTFDEKAAMEEAIEAMNGMDLDGRNITVDRAKPQQGSGRDYDGDRSRDRGRDRDHDRSRGYDGSRGSNGGECFKCGKPGHFARECPSDGARGGKYGGRGDRYGGGSDGGRYGPDRNGDRFGGRSRDAGSRGGSGSDRYSRDRSGPYERRGAGGPRSG >KJB52469 pep chromosome:Graimondii2_0_v6:8:54352967:54362564:-1 gene:B456_008G263400 transcript:KJB52469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPVPLFAAVGTIHGFPINVIRRRNAYFKVSSSMDHHNLSKVKSNSLRVLEWDKLCHSVASFARTSLGRQATKAQLLSLDQTFQESLRLLQETNAAILMHNHDSFNLDLTSVDLALVESAIKHARRGLPLDANEAMALLSLLQFVEALQLSLKAAIKQDSDWYKQFMPLSEMITQLVVNRSIIKLIQQVIDEDGSVKDSASSALKKARDQVRTLERKLHQLMDKLIRNETKEAALMVASSVGGRWCISSGTDQPTGFKGLLLSSGSGSIIEPLAAVPLNDELQQARALVAKAEADVLLMVTEKIQMDLDDIEKSLRTAIQLDMIYARATYSLSYGGTYPNIFLPEDIDGPLMAEPYRSKDKTSQASNPKKEWIFYLPKAYHPLLLQQHREKLSTARKNVRSAAAEIRRRKMQAENMAVKGEAEIDLSSLQKQVRALEEAPPVPVDFFISQKTRVLVITGPNTGGKTICLKTIGLAAMMAKSGLHVLSSESAKVPWFDCVFADIGDEQSLSQSLSTFSGHLKQISEIQSQSTRRSLVLLDEVGAGTNPLEGAALGMSLLESFARSGALLTIATTHHGELKTLKYSNDAFENACMEFDEENFKPTYKILWGVPGRSNAINIAERLGVPSTIVDNARELYGAASAEIDEVIMDMETYKQKFQELIKESRHYLTMSRDLHEKLLVSRRKLQDLGAQQRYKKMRELSEAAAVARSTLHKKLKMSCRVPI >KJB52470 pep chromosome:Graimondii2_0_v6:8:54353762:54362496:-1 gene:B456_008G263400 transcript:KJB52470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPVPLFAAVGTIHGFPINVIRRRNAYFKVSSSMDHHNLSKVKSNSLRVLEWDKLCHSVASFARTSLGRQATKAQLLSLDQTFQESLRLLQETNAAILMHNHDSFNLDLTSVDLALVESAIKHARRGLPLDANEAMALLSLLQFVEALQLSLKAAIKQDSDWYKQFMPLSEMITQLVVNRSIIKLIQQVIDEDGSVKDSASSALKKARDQVRTLERKLHQLMDKLIRNETKEAALMVASSVGGRWCISSGTDQPTGFKGLLLSSGSGSIIEPLAAVPLNDELQQARALVAKAEADVLLMVTEKIQMDLDDIEKSLRTAIQLDMIYARATYSLSYGGTYPNIFLPEDIDGPLMAEPYRSKDKTSQASNPKKEWIFYLPKAYHPLLLQQHREKLSTARKNVRSAAAEIRRRKMQAENMAVKGEAEIDLSSLQKQVRALEEAPPVPVDFFISQKTRVLVITGPNTGGKTICLKTIGLAAMMAKSGLHVLSSESAKVPWFDCVFADIGDEQSLSQSLSTFSGHLKQISEIQSQSTRRSLVLLDEVGAGTNPLEGAALGMSLLESFARSGALLTIATTHHGELKTLKYSNDAFENACMEFDEENFKPTYKILWGVPGRSNAINIAERLGVPSTIVDNARELYGAASAEIDEVIMDMETYKQKFQELIKESRHYLTMSRDLHEKLLVSRRKLQDLGAQQRYKKMRELSEAAAVARSTLHKKVRQLRTSTMKQSQLSKASKRKLANNYKHATAVENELQGTNMSSSSIQVIKQPQSEKITELPKVGDTVHVSSLDKRATVLKVDTSKEEIVVQAGIMKLKLKATDVQR >KJB46697 pep chromosome:Graimondii2_0_v6:8:41647349:41648300:-1 gene:B456_008G1558003 transcript:KJB46697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYPINRHLEFRYKTKFQSHEPEFDYLKSLEIEEKINKIRWCQSSNGALFLLSTNDRTIKLWKVQEKKVKKVCNMNVDSTKAMGNGPIVGSSISTSSKQYIANGGCTSNDFSFPTGGFPSLHLPVVVVVNPECLF >KJB48729 pep chromosome:Graimondii2_0_v6:8:17738360:17739283:1 gene:B456_008G083700 transcript:KJB48729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVLAMVVHGMGLLVKTLWGVQERRFSATFETLRSAAISMTTAFVFCLLSIHRHARPEIGLAFI >KJB51388 pep chromosome:Graimondii2_0_v6:8:50160077:50163210:-1 gene:B456_008G214900 transcript:KJB51388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGAVASMRYVKDGIKAARLVMQHTKHTMLVGERASIFAISMGLPGPTNLSSSESMEKWTRWKENNCQPNFRKNVVPVDSCGPYQPKDNLGHNKGICSEANSIGTIESRTCLVGPHSHDTISMAVIDKMGHVAVGTSTNGATFKISGRVGDGPIAGSAAYADDGVGACGATGDGDIMMRFLPCYQVVESMRQGMEPELAAKDAISRIARKFPDFMGAVVAINKDGVHAGACHGWTFQYSVRSPDMDDVKVFTVLP >KJB51387 pep chromosome:Graimondii2_0_v6:8:50159977:50163215:-1 gene:B456_008G214900 transcript:KJB51387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVEPAIENIIFNYSEFLMAPTNLIIAPLFLFTLFSLVRVQEGVEDSGKYPIVVSTWPFLEAVRAAWEAVDKGFNAVDAVVEGCSACEELRCDGTVGPGGSPDENGETTIDALLMNGATMEVGAVASMRYVKDGIKAARLVMQHTKHTMLVGERASIFAISMGLPGPTNLSSSESMEKWTRWKENNCQPNFRKNVVPVDSCGPYQPKDNLGHNKGICSEANSIGTIESRTCLVGPHSHDTISMAVIDKMGHVAVGTSTNGATFKISGRVGDGPIAGSAAYADDGVGACGATGDGDIMMRFLPCYQVVESMRQGMEPELAAKDAISRIARKFPDFMGAVVAINKDGVHAGACHGWTFQYSVRSPDMDDVKVFTVLP >KJB51386 pep chromosome:Graimondii2_0_v6:8:50160872:50163151:-1 gene:B456_008G214900 transcript:KJB51386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVEPAIENIIFNYSEFLMAPTNLIIAPLFLFTLFSLVRVQEGVEDSGKYPIVVSTWPFLEAVRAAWEAVDKGFNAVDAVVEGCSACEELRCDGTVGPGGSPDENGETTIDALLMNGATMEVGAVASMRYVKDGIKAARLVMQHTKHTMLVGERASIFAISMGLPGPTNLSSSESMEKWTRWKENNCQPNFRKNVVPVDSCGPYQPKDNLGHNKGICSEANSIGTIESRTCLVGPHSHDTISMAVIDKMGHVAVGTSTNGATFKISGRVGDGPIAGSAAYADDGVGACGATGDGDIMMRFLPWYLINSILNFHYLDQVNTNKISEKGKSAIKKKIVFCVGCQVR >KJB51010 pep chromosome:Graimondii2_0_v6:8:48161730:48167839:1 gene:B456_008G197200 transcript:KJB51010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNSNSGGGGGNPLKTWVSDRLISLLGFSQPTLVEYTIGLAKQAASPADVLGKLEEYGLPSSNDVRLFAEEIFGKVPRKASRENFYQKQEREAAVFARKQKAYALLDADDEEEAAGANTSVHRLSSNEPASETRKGDKHKKRFRKKNESEQDEDEEEVIHVDEKKRVKRQAEDDGSESEEERLRDQREREDLERHIRERDAAATRKLMEPKLSRKEEEEAIRRSQALEQDDINSLRKVSRQEYLKKREQKKLEELRDDIEDEQYLFDGVKLSEAEYRELQYKKEIYELVKKRTEEDDDIGEYKMPEAYDQEGIVDQDKRFSVALQRYRDPTAGDKMNPFAEQEAWEDHQIGKATLKFGSKNKKQTTDDYQFVFEDQIEFIKASVMDGDKFDNEMSTDSPETTKAKSELEKLQEDRKTLPIYPYRDDLLKAVEEYQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTVLKYMTDGMLLRELLGEPDLASYSVIMVDEAHERTVSTDILFGLVKDIARFRKDIKLLISSATLDAEKFSDFFDSAPIFKIPGRRYPVEVHYTKAPEADYLDAAIVTVLQIHVTQSPGDILVFLTGQEEIETAEEILKHRVRGFGTKIAELIICPIYANLPTELQAKIFEPTPIGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASANQRAGRSGRTGPGKCFRLYTAYNYYTELDDNTPPEIQRTNLASVVLSLKSLGIHDLLNFDFMDPPPAEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVASDKYNCSDEVISIAAMLSVGNSIFYRPKDKQVHADNARMNFHTGNVGDHIALMKVYNSWRETNFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIELTSCPNDLEAIKKAITSGFFPHSARLQKNGSYRTVKHPQTVYIHPSSGLAQVLPRWVVYHELVLTTKEYMRQVTELKPEWLVEIAPHYYQMKDVEDAGSKKMPKGQGRAAEQPPASGKV >KJB51011 pep chromosome:Graimondii2_0_v6:8:48161550:48167878:1 gene:B456_008G197200 transcript:KJB51011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNSNSGGGGGNPLKTWVSDRLISLLGFSQPTLVEYTIGLAKQAASPADVLGKLEEYGLPSSNDVRLFAEEIFGKVPRKASRENFYQKQEREAAVFARKQKAYALLDADDEEEAAGANTSVHRLSSNEPASETRKGDKHKKRFRKKNESEQDEDEEEVIHVDEKKRVKRQAEDDGSESEEERLRDQREREDLERHIRERDAAATRKLMEPKLSRKEEEEAIRRSQALEQDDINSLRKVSRQEYLKKREQKKLEELRDDIEDEQYLFDGVKLSEAEYRELQYKKEIYELVKKRTEEDDDIGEYKMPEAYDQEGIVDQDKRFSVALQRYRDPTAGDKMNPFAEQEAWEDHQIGKATLKFGSKNKKQTTDDYQFVFEDQIEFIKASVMDGDKFDNEMSTDSPETTKAKSELEKLQEDRKTLPIYPYRDDLLKAVEEYQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTVLKYMTDGMLLRELLGEPDLASYSVIMVDEAHERTVSTDILFGLVKDIARFRKDIKLLISSATLDAEKFSDFFDSAPIFKIPGRRYPVEVHYTKAPEADYLDAAIVTVLQIHVTQSPGDILVFLTGQEEIETAEEILKHRVRGFGTKIAELIICPIYANLPTELQAKIFEPTPIGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASANQRAGRSGRTGPGKCFRLYTAYNYYTELDDNTPPEIQRTNLASVVLSLKSLGIHDLLNFDFMDPPPAEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVASDKYNCSDEVISIAAMLSVGNSIFYRPKDKQVHADNARMNFHTGNVGDHIALMKVYNSWRETNFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIELTSCPNDLEAIKKAITSGFFPHSARLQKNGSYRTVKHPQTVYIHPSSGLAQVLPRWVVYHELVLTTKEYMRQVTELKPEWLVEIAPHYYQMKDVEDAGSKKMPKGQGRAAEQPPASGKV >KJB50889 pep chromosome:Graimondii2_0_v6:8:47471373:47471579:-1 gene:B456_008G191800 transcript:KJB50889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNLCIITPNRIVLDSEVKEIILSTNSGQIGVLPNDAPIATTIDIGILRIRLNDQWLTIARVSIESN >KJB49788 pep chromosome:Graimondii2_0_v6:8:38858196:38861823:-1 gene:B456_008G138300 transcript:KJB49788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPPHCSITATTKPYQNHQYPQNHFKNHRNHHHNNNRNQPHPQKFSLSKPPPSSSNATKHTTAAASAASASSARAPISQTPAPFPSLAPDFSGRRSTRFVSKMHLGRPKTTVNTRHTSVAEEVLQLALFNGQTSLENVLVSFESKLCGSDDYTFLLRELGNRGEHEKAIKCFQFAVRRERRKNEQGKLASAMISILGRLGKVELAMGIFETALREGYGNTVYAFSALISAYGRSGYYDEAIKVFDSMKNYGLKPNSVTYNAVIDACGKGGVEFKRVVEIFDEMLSGGVQPDRITFNSLLAVCSRGGLWEAAMNLFSEMVNRGIDRDIFTYNTLLDAVCKGGQMDLAFDIMEEMPANVLPNVVTYSTIIDGYAKAGRFNDALNLFNEMKFLGIGLDRVSYNTLLSIYAKLGRFEEALDICREMEGSGIRKDVVTYNALLGGYGKQGKYDEVRRLFDEMKAQRVSPNLLTYSTVIDVYSKGGLYEEAMDVFREFKRAGLKADVVLYSALIDALCKNGLVESAVSLLDEMTKEGIRPNVVTYNSIIDAFGRSTTSECLSDTGQISELQTKTSSLVIECGIEADATDGKDNRIIKIFGQLAAEKGGQAKKGCGVKQEILCILEVFQKMHELEIKPNVVTFSAILNACSRCDSFEDASMLLEELRLFDNQVYGVAHGLLMGYRENVWIQAQSLFDEVKSMDSSTASAFYNALTDMLWHFGQKRGAQLVVLEGKRRQVWENVWSNSCLDLHLMSSGAARAMVHAWLLNIRSIVFEGHELPKLLR >KJB49787 pep chromosome:Graimondii2_0_v6:8:38858188:38861868:-1 gene:B456_008G138300 transcript:KJB49787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQSNSAQFLSVLSLQILDREKRNPDPFFSSFHRRLFTNGISVSIHCYPSLQTLQKPYLASLCRFLYSFAAAAAIILCFFRVELLKMASTPPHCSITATTKPYQNHQYPQNHFKNHRNHHHNNNRNQPHPQKFSLSKPPPSSSNATKHTTAAASAASASSARAPISQTPAPFPSLAPDFSGRRSTRFVSKMHLGRPKTTVNTRHTSVAEEVLQLALFNGQTSLENVLVSFESKLCGSDDYTFLLRELGNRGEHEKAIKCFQFAVRRERRKNEQGKLASAMISILGRLGKVELAMGIFETALREGYGNTVYAFSALISAYGRSGYYDEAIKVFDSMKNYGLKPNSVTYNAVIDACGKGGVEFKRVVEIFDEMLSGGVQPDRITFNSLLAVCSRGGLWEAAMNLFSEMVNRGIDRDIFTYNTLLDAVCKGGQMDLAFDIMEEMPANVLPNVVTYSTIIDGYAKAGRFNDALNLFNEMKFLGIGLDRVSYNTLLSIYAKLGRFEEALDICREMEGSGIRKDVVTYNALLGGYGKQGKYDEVRRLFDEMKAQRVSPNLLTYSTVIDVYSKGGLYEEAMDVFREFKRAGLKADVVLYSALIDALCKNGLVESAVSLLDEMTKEGIRPNVVTYNSIIDAFGRSTTSECLSDTGQISELQTKTSSLVIECGIEADATDGKDNRIIKIFGQLAAEKGGQAKKGCGVKQEILCILEVFQKMHELEIKPNVVTFSAILNACSRCDSFEDASMLLEELRLFDNQVYGVAHGLLMGYRENVWIQAQSLFDEVKSMDSSTASAFYNALTDMLWHFGQKRGAQLVVLEGKRRQVWENVWSNSCLDLHLMSSGAARAMVHAWLLNIRSIVFEGHELPKLLSILTGWGKHSKVVGDGALKRAVEALLTGMGAPFQLAKCNLGRFISNGPVITAWLRESGTLKLLVLHDERTHLENTRFQEISNLQTIPL >KJB48465 pep chromosome:Graimondii2_0_v6:8:14312960:14313905:1 gene:B456_008G076900 transcript:KJB48465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLLSKLLLLLFISYIVLWGSKVDAQVEETSLKLMRDALEWPLSVFLYSDLNDNEGEEEVDGEEETGHSRRSLFWTRMRYYISYAALSANRIPCPPRSGRSYYTNNCFKAHGSVHPYSRGCSRITRCRR >KJB48466 pep chromosome:Graimondii2_0_v6:8:14312327:14313909:1 gene:B456_008G076900 transcript:KJB48466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLLSKLLLLLFISYIVLWGSKVDAQVEETSLKLMRDALEWPLSVFLYSDLNDNEGEEEVDGEEETGHSRRSLFWTRMRYYISYAALSANRIPCPPRSGRSYYTNNCFKAHGSVHPYSRGCSRITRCRR >KJB50635 pep chromosome:Graimondii2_0_v6:8:45752554:45755019:-1 gene:B456_008G180400 transcript:KJB50635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELNDSSSIKLFGKMIPLLTFNQDETLVLDSSNGHLLSSSNSLGAVNSNHGLPLQAPIEDKEKNEEDDRGSRVPLSERKPSSLESSKNEEKSETNSQEKALKKPDKILPCPRCNSKETKFCYYNNYNVNQPRHFCKNCQRYWTDGGAMRNVPVGAGRRKTKSSSSSLHYHHVMISEAILGAQASAVNQASGDSCVFTFGSDSSVFSLPRLPPSTLYRPGFPASFYSSPTYRPSVVPVATLSSASINQCAPNTESSSPTPTWPALGKHSRDEKGKPSADSNISERRASSNSKALRIGDDTEETAKSSMLATLRIKTKNTNSGIFDGFQSKTSDDRNYRLTTFSMLRANPAALSRSLHFHENT >KJB50634 pep chromosome:Graimondii2_0_v6:8:45752807:45754113:-1 gene:B456_008G180400 transcript:KJB50634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNLQEKNEEDDRGSRVPLSERKPSSLESSKNEEKSETNSQEKALKKPDKILPCPRCNSKETKFCYYNNYNVNQPRHFCKNCQRYWTDGGAMRNVPVGAGRRKTKSSSSSLHYHHVMISEAILGAQASAVNQASGDSCVFTFGSDSSVFSLPRLPPSTLYRPGFPASFYSSPTYRPSVVPVATLSSASINQCAPNTESSSPTPTWPALGKHSRDEKGKPSADSNISERRASSNSKALRIGDDTEETAKSSMLATLRIKTKNTNSGIFDGFQSKTSDDRNYRLTTFSMLRANPAALSRSLHFHENT >KJB50633 pep chromosome:Graimondii2_0_v6:8:45752499:45755128:-1 gene:B456_008G180400 transcript:KJB50633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVPVGAGRRKTKSSSSSLHYHHVMISEAILGAQASAVNQASGDSCVFTFGSDSSVFSLPRLPPSTLYRPGFPASFYSSPTYRPSVVPVATLSSASINQCAPNTESSSPTPTWPALGKHSRDEKGKPSADSNISERRASSNSKALRIGDDTEETAKSSMLATLRIKTKNTNSGIFDGFQSKTSDDRNYRLTTFSMLRANPAALSRSLHFHENT >KJB50884 pep chromosome:Graimondii2_0_v6:8:47443684:47444431:-1 gene:B456_008G191400 transcript:KJB50884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APKNHCCLFAYPTTGLDRPTSPTPPPPNDQVVSCSGVCCKWKFDVSCICSHSFCWNCTEKAHRYVDCKTVAKWILKNSAESENMDR >KJB48023 pep chromosome:Graimondii2_0_v6:8:7100059:7103176:-1 gene:B456_008G050500 transcript:KJB48023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRVSVLTFNYLTALSRVRSSRRFPRSVTHFNKFLSTAPERWDFHSPSSTSQFENSPYDTPTSLGYEQKQNGQALNPNQGFEVCPTDDNTGSSGWDNRNSYYGGLQPTGNQMGSVGQTGNFGWYHHNNEATNGNLGTNPNGIYENGSWKGTEQGFHQNHDGMHSENKRYELQNNSVYRKGNFRGCETNAQSTSNMQNQVGSYWEGRPEIRQNQLDLNLQRFPESQGSQMDLQCTNFSQYRQNPQNIYNFNSYGKVTSNFNFYGQASATSIPNEGLTEVSETSTNNATAEKLEEFCNKGNVKEAVEVLVLMKQQGVPVDLAQILQLMKACGEVKALQEAKTVHEHLIGSFSPLKISIYNRILEMYLKCGSTNDAFDVFEKMPRRNLTSWDTMITGLARNGLGEDALDLFSQFKQAGLKPDAKMFLGVFYSCGVVGDINEGMLHFLSMSSDYGIVPSMEHYVSVVDMLGSTGHLDEALEFIENMPSEASADVWETLMNLCRIHGHLELGDRCAELVNQLDASRLNDQSKAGLIPLKDSDLKKNEKKKLACQSPLEVSSRVNEYRAGDTSHPENDRIYALLRRLKEHMKEAGYVPETRFCLHDIDQESKEEALLAHSERLALANGLLTSPARGQIRIIKNLRVCGDCHAAFKILSKIVGREIIMRDAKRFHHFNEGLCSCRDFW >KJB48021 pep chromosome:Graimondii2_0_v6:8:7100058:7103398:-1 gene:B456_008G050500 transcript:KJB48021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRVSVLTFNYLTALSRVRSSRRFPRSVTHFNKFLSTAPERWDFHSPSSTSQFENSPYDTPTSLGYEQKQNGQALNPNQGFEVCPTDDNTGSSGWDNRNSYYGGLQPTGNQMGSVGQTGNFGWYHHNNEATNGNLGTNPNGIYENGSWKGTEQGFHQNHDGMHSENKRYELQNNSVYRKGNFRGCETNAQSTSNMQNQVGSYWEGRPEIRQNQLDLNLQRFPESQGSQMDLQCTNFSQYRQNPQNIYNFNSYGKVTSNFNFYGQASATSIPNEGLTEVSETSTNNATAEKLEEFCNKGNVKEAVEVLVLMKQQGVPVDLAQILQLMKACGEVKALQEAKTVHEHLIGSFSPLKISIYNRILEMYLKCGSTNDAFDVFEKMPRRNLTSWDTMITGLARNGLGEDALDLFSQFKQAGLKPDAKMFLGVFYSCGVVGDINEGMLHFLSMSSDYGIVPSMEHYVSVVDMLGSTGHLDEALEFIENMPSEASADVWETLMNLCRIHGHLELGDRCAELVNQLDASRLNDQSKAGLIPLKDSDLKKNEKKKLACQSPLEVSSRVNEYRAGDTSHPENDRIYALLRRLKEHMKEAGYVPETRFCLHDIDQESKEEALLAHSERLALANGLLTSPARGQIRIIKNLRVCGDCHAAFKILSKIVGREIIMRDAKRFHHFNEGLCSCRDFW >KJB48022 pep chromosome:Graimondii2_0_v6:8:7099866:7103536:-1 gene:B456_008G050500 transcript:KJB48022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRVSVLTFNYLTALSRVRSSRRFPRSVTHFNKFLSTAPERWDFHSPSSTSQFENSPYDTPTSLGYEQKQNGQALNPNQGFEVCPTDDNTGSSGWDNRNSYYGGLQPTGNQMGSVGQTGNFGWYHHNNEATNGNLGTNPNGIYENGSWKGTEQGFHQNHDGMHSENKRYELQNNSVYRKGNFRGCETNAQSTSNMQNQVGSYWEGRPEIRQNQLDLNLQRFPESQGSQMDLQCTNFSQYRQNPQNIYNFNSYGKVTSNFNFYGQASATSIPNEGLTEVSETSTNNATAEKLEEFCNKGNVKEAVEVLVLMKQQGVPVDLAQILQLMKACGEVKALQEAKTVHEHLIGSFSPLKISIYNRILEMYLKCGSTNDAFDVFEKMPRRNLTSWDTMITGLARNGLGEDALDLFSQFKQAGLKPDAKMFLGVFYSCGVVGDINEGMLHFLSMSSDYGIVPSMEHYVSVVDMLGSTGHLDEALEFIENMPSEASADVWETLMNLCRIHGHLELGDRCAELVNQLDASRLNDQSKAGLIPLKDSDLKKNEKKKLACQSPLEVSSRVNEYRAGDTSHPENDRIYALLRRLKEHMKEAGYVPETRFCLHDIDQESKEEALLAHSERLALANGLLTSPARGQIRIIKNLRVCGDCHAAFKILSKIVGREIIMRDAKRFHHFNEGLCSCRDFW >KJB48825 pep chromosome:Graimondii2_0_v6:8:20295291:20295937:1 gene:B456_008G088800 transcript:KJB48825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMERDLISRHRGGSSSFSGCYTMSPSCFTVHEDMDYSRIHYCSEKRRKRWRNLLRKLVRDGKKNSMYSPKPLSFHYDAVSYSQNFDEGCHREESGHCRRLFSGC >KJB47315 pep chromosome:Graimondii2_0_v6:8:2356770:2359735:-1 gene:B456_008G020600 transcript:KJB47315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMLLVLVISYFCQYDLSHFEWFLAQIWPPKSVKDSASRLHSLLKDAVMQTGMEKNLFGNHPVSLNTPEPSGPPSAIMRYNGNSSASVAKDEGYSAVGPPKLSVSEIMEIDSPSSAENYMKTSSPVAPIATTRKGTVHADRQNSEISYYVTDDEDGNRKKYSRRGSLRHKFFRALIPFWSSALPSLPVTAPPRKDASNADDIPEGRLKRQRPTRMDPRKILLVIAIMACVATMGILYYRIAQRVVGEGDLGNDEQAAQQ >KJB47314 pep chromosome:Graimondii2_0_v6:8:2357589:2359414:-1 gene:B456_008G020600 transcript:KJB47314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMLLVLVISYFCQYDLSHFEWFLAQIWPPKSVKDSASRLHSLLKDAVMQTGMEKNLFGNHPVSLNTPEPSGPPSAIMRYNGNSSASVAKDEGYSAVGPPKLSVSEIMEIDSPSSAENYMKTSSPVAPIATTRKGTVHADRQNSEISYYVTDDEDGNRKKYSRRGSLRHKFFRALIPFWSSALPSLPVTAPPRKDASNADDIPEGRLKRQRPTRMDPRKILLVIAIM >KJB47316 pep chromosome:Graimondii2_0_v6:8:2357589:2361208:-1 gene:B456_008G020600 transcript:KJB47316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVIRTYSEWVIDGEYDWPPKCCKCQAVFEEEAGSEKTRLGCLHVIHTNCLISHIKSFPLHTASAGYVCPSCSTSIWPPKSVKDSASRLHSLLKDAVMQTGMEKNLFGNHPVSLNTPEPSGPPSAIMRYNGNSSASVAKDEGYSAVGPPKLSVSEIMEIDSPSSAENYMKTSSPVAPIATTRKGTVHADRQNSEISYYVTDDEDGNRKKYSRRGSLRHKFFRALIPFWSSALPSLPVTAPPRKDASNADDIPEGRLKRQRPTRMDPRKILLVIAIM >KJB47313 pep chromosome:Graimondii2_0_v6:8:2356731:2361507:-1 gene:B456_008G020600 transcript:KJB47313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVIRTYSEWVIDGEYDWPPKCCKCQAVFEEEAGSEKTRLGCLHVIHTNCLISHIKSFPLHTASAGYVCPSCSTSIWPPKSVKDSASRLHSLLKDAVMQTGMEKNLFGNHPVSLNTPEPSGPPSAIMRYNGNSSASVAKDEGYSAVGPPKLSVSEIMEIDSPSSAENYMKTSSPVAPIATTRKGTVHADRQNSEISYYVTDDEDGNRKKYSRRGSLRHKFFRALIPFWSSALPSLPVTAPPRKDASNADDIPEGRLKRQRPTRMDPRKILLVIAIMACVATMGILYYRIAQRVVGEGDLGNDEQAAQQ >KJB47319 pep chromosome:Graimondii2_0_v6:8:2356770:2361465:-1 gene:B456_008G020600 transcript:KJB47319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVIRTYSEWVIDGEYDWPPKCCKCQAVFEEEAGSEKTRLGCLHVIHTNCLISHIKSFPLHTASAGYVCPSCSTSIWPPKSVKDSASRLHSLLKDAVMQTGMEKNLFGNHPVSLNTPEPSGPPSAIMRYNGNSSASVAKDEGYSAVGPPKLSVSEIMEIDSPSSAENYMKTSSPVAPIATTRKGTVHADRQNSEISYYVTDDEDGNRKKYSRRGMLDPWFIK >KJB47317 pep chromosome:Graimondii2_0_v6:8:2357982:2361208:-1 gene:B456_008G020600 transcript:KJB47317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVIRTYSEWVIDGEYDWPPKCCKCQAVFEEEAGSEKTRLGCLHVIHTNCLISHIKSFPLHTASAGYVCPSCSTSIWPPKSVKDSASRLHSLLKDAVMQTGMEKNLFGNHPVSLNTPEPSGPPSAIMRYNGNSSASVAKDEGYSAVGPPKLSVSEIMEIDSPSSAENYMKTSSPVAPIATTRKGTVHADRQNSEISYYVTDDEDGNRKKYSRRGMLDPWFIK >KJB47318 pep chromosome:Graimondii2_0_v6:8:2356770:2361465:-1 gene:B456_008G020600 transcript:KJB47318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMTGLPSVASAKPFLRRRLALKKLGWVAYIWPPKSVKDSASRLHSLLKDAVMQTGMEKNLFGNHPVSLNTPEPSGPPSAIMRYNGNSSASVAKDEGYSAVGPPKLSVSEIMEIDSPSSAENYMKTSSPVAPIATTRKGTVHADRQNSEISYYVTDDEDGNRKKYSRRGSLRHKFFRALIPFWSSALPSLPVTAPPRKDASNADDIPEGRLKRQRPTRMDPRKILLVIAIMACVATMGILYYRIAQRVVGEGDLGNDEQAAQQ >KJB47320 pep chromosome:Graimondii2_0_v6:8:2357589:2361208:-1 gene:B456_008G020600 transcript:KJB47320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVIRTYSEWVIDGEYDWPPKCCKCQAVFEEEAGSEKTRLGCLHVIHTNCLISHIKSFPLHTASAGYVCPSCSTSIWPPKSVKDSASRLHSLLKDAVMQTGMEKNLFGNHPVSLNTPEPSGPPSAIMRYNGNSSASVAKDEGYSAVGPPKLSVSEIMEIDSPSSAENYMKTSSPVAPIATTRKGTVHADRQNSEISYYVTDDEDGNRKKYSRRGSLRHKFFRALIPFWSSALPSLPVTAPPRKDASNADDIPEGRLKRQRPTRMDPRKILLVIAIM >KJB50424 pep chromosome:Graimondii2_0_v6:8:44430505:44437085:-1 gene:B456_008G170200 transcript:KJB50424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLQQSQHQHHSVAVKVNEQMGTKRGYTFIQANRAWLPKFLLLWVMVMAFLSTWIYKKMDADNKVRRKEVLSSMCDERARMLQDQFSVSVNHVHALAILISTFHYYKNPSAIDQDTFAEYTARTAFERPLLSGVAYAERVVHSKREEFERQHGWTIKTMQREPSPIRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRAGASGKAVLTRPFRLLGSHHLGVVLTFPVYKSKLPLRPTVAERIGATAGYLGGAFDVESLVENLLGQLAGNQAILVNVYDVTNSSDHLIMYGHQNQDGDLALLHESKLDFGDPFRKHTMICRYHQKAPTSWTALTTAFLFFVICLLVGYILYGAAIHIVKVEDDFHEMQELKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQRDYAQTAQVCGKALITLINEVLDRAKIEAGKLEMETVPFDLRSILDDVLSLFSEKSRNKGVELAVFVSDKVPEMVMGDPGRFRQIITNLVGNSVKFTERGHIFVKVHLAENSKPMAEAKAETCLNGGSDEGVLVSSARQFKTLSGYEAADERNSWDSFKYLVADEESRYNASINMPLAGETSQNVTLMVSVEDTGIGIPLIAQDRVFMPFMQADSSTSRNYGGTGIGLSITKCLVELMGGHISFVSRPQVGSTFSFTAAFGRCKKASFSDTKKSNTEDLPSSFQGLKAIVVDGKPVRAAVTRYHLKRLGMLVEVGSSVKMAASACGKNGSSCGTKNQPDIILVEKDSWLSGEDGGLSLGMLDRKQNGHVFKLPKMILLATNITNAELEKAKAAGFSDTTIMKPVRASMVAACLQQVLGIGKKRQAGKDMLNGSLVLRSLLYRKKILVVDDNMVNRRVAAGALKKFGAAVECADSGKAALKLLQIPHYFDACFMDIQMPEMDGFEATRRIRKMESQANEQINGGSIDEESARKGKWHVPILAMTADVIHATYDECLKCGMDGYVSKPFEEENLYQAVAKFFKAKPMSEL >KJB50423 pep chromosome:Graimondii2_0_v6:8:44430488:44437137:-1 gene:B456_008G170200 transcript:KJB50423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLQQSQHQHHSVAVKVNEQMGTKRGYTFIQANRAWLPKFLLLWVMVMAFLSTWIYKKMDADNKVRRKEVLSSMCDERARMLQDQFSVSVNHVHALAILISTFHYYKNPSAIDQDTFAEYTARTAFERPLLSGVAYAERVVHSKREEFERQHGWTIKTMQREPSPIRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRAGASGKAVLTRPFRLLGSHHLGVVLTFPVYKSKLPLRPTVAERIGATAGYLGGAFDVESLVENLLGQLAGNQAILVNVYDVTNSSDHLIMYGHQNQDGDLALLHESKLDFGDPFRKHTMICRYHQKAPTSWTALTTAFLFFVICLLVGYILYGAAIHIVKVEDDFHEMQELKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQRDYAQTAQVCGKALITLINEVLDRAKIEAGKLEMETVPFDLRSILDDVLSLFSEKSRNKGVELAVFVSDKVPEMVMGDPGRFRQIITNLVGNSVKFTERGHIFVKVHLAENSKPMAEAKAETCLNGGSDEGVLVSSARQFKTLSGYEAADERNSWDSFKYLVADEESRYNASINMPLAGETSQNVTLMVSVEDTGIGIPLIAQDRVFMPFMQADSSTSRNYGGTGIGLSITKCLVELMGGHISFVSRPQVGSTFSFTAAFGRCKKASFSDTKKSNTEDLPSSFQGLKAIVVDGKPVRAAVTRYHLKRLGMLVEVGSSVKMAASACGKNGSSCGTKNQPDIILVEKDSWLSGEDGGLSLGMLDRKQNGHVFKLPKMILLATNITNAELEKAKAAGFSDTTIMKPVRASMVAACLQQVLGIGKKRQAGKDMLNGSLVLRSLLYRKKILVVDDNMVNRRVAAGALKKFGAAVECADSGKAALKLLQIPHYFDACFMDIQMPEMDGFEATRRIRKMESQANEQINGGSIDEESARKGKWHVPILAMTADVIHATYDECLKCGMDGYVSKPFEEENLYQAVAKFFKAKPMSEL >KJB50756 pep chromosome:Graimondii2_0_v6:8:46615466:46616216:1 gene:B456_008G186100 transcript:KJB50756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEERITILFENNEAYRRMITDISGNVNNTLIGFEAINQKFEAGYSKYKHCVEETSKELRIAKHRVGETKSEKKQLMNEMTNMIEQLKDQKEKESTLREQVEKLQIKANKEENEKENLMKSVKQLENKVELLERAIKEKDEGILGLGEEKREAIRQLCMWIDYHRSRCDDLKEILSKSNKAQRAT >KJB49413 pep chromosome:Graimondii2_0_v6:8:35319806:35323372:1 gene:B456_008G117800 transcript:KJB49413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQPTKRYAVVTGSNRGIGFEICKQLATKGMTVVLTVRDETNGVEAVGKLKQFGFSETVVFHRLDVTEPASVSSLADFVRTQFGRLDILVNNAGNGGVTADEDALRDGVLGKGFRVGNEIDLNWSGTLMETNELSEECLKTNYYVLGDAENLTEDKVDQVLRIFMNDVKEDSLEAKGWPTLLATYSISKAAMNGYARVLAKKYPSFQINCVCPGSVKTDINYNTGLLPVEEGAESAVRLVLLPNNGPSGHFFVRMARSEF >KJB49716 pep chromosome:Graimondii2_0_v6:8:38260873:38265433:-1 gene:B456_008G134700 transcript:KJB49716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEYYDISGCYILRPWAMSIWENMQTFFDAEIKKMKVKNCYFPLFVSPGVLQKEKDHIEGFAPEVAWVTKSGESDLEVPIAIRPTSETVMYPYYSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADTEVLQILELYRRIYEEFLAIPVTKGRKSELEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKSMVWQNSWAYSTRTIGVMVMVHGDNKGLVLPPKVAALQVIVIPVPYKDADTQGIFDACAATVAILSDAGIRAEADLRENYSPGWKYSNWEMKGVPLRIEIGPRDLANNQVRAVRRDNGEKADISRVFLVEQVKGMLEKIQQNLFDVAKRKRDACIEVVKTWDEFIKALGQKKLILAPWCDEEEVEKDVKARTKGEMGAAKSLCTPFEQPELPEGTKCFASGKPATKWTYWGRSY >KJB49717 pep chromosome:Graimondii2_0_v6:8:38260873:38266277:-1 gene:B456_008G134700 transcript:KJB49717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEQKKGGGEQKKAGGGGKKKEVKKETGLGLSFTKDENFGEWYSEVVVNGEMIEYYDISGCYILRPWAMSIWENMQTFFDAEIKKMKVKNCYFPLFVSPGVLQKEKDHIEGFAPEVAWVTKSGESDLEVPIAIRPTSETVMYPYYSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADTEVLQILELYRRIYEEFLAIPVTKGRKSELEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKSMVWQNSWAYSTRTIGVMVMVHGDNKGLVLPPKVAALQVIVIPVPYKDADTQGIFDACAATVAILSDAGIRAEADLRENYSPGWKYSNWEMKGVPLRIEIGPRDLANNQVRAVRRDNGEKADISRVFLVEQVKGMLEKIQQNLFDVAKRKRDACIEVVKTWDEFIKALGQKKLILAPWCDEEEVEKDVKARTKGEMGAAKSLCTPFEQPELPEGTKCFASGKPATKWTYWGRSY >KJB48087 pep chromosome:Graimondii2_0_v6:8:7596657:7599218:-1 gene:B456_008G053200 transcript:KJB48087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPTNPQFVHFFFIFINYLCFTDAENIFLNCGSSSNSIDLNGREWAGDSTPGSKFIASHQPNETSIVSKATNLNPSIDPVPYLTARIFQSPFTYSFPVTPGHKFLRLFFNPSAYHGFQSPGDFFTVTAGPFTLLTHFSPSLTAQSLGLKTLDKEFCLHIQENQVLNITFSPSSVPLGAFAFVNGIEIISMPTHLYYISRARPMPNGFAVPNSTALELMHRLNIGGDSISPANDSGLYRRWLDDEACFVGSGDCVVNSTAPIKYWKIAPYVAPAKVYQTARCTNKQKSLSWNLTVDSGFTYFVRLHLCELHNHPEVTKHGRNKFVVRIGNGKTEAVEDVITWSGRRGVAVYRDHVVKMPKVGNYGKTHLFLSLGNNNSNNKLRALDSDPILNGLEVFKLSDSQSNLAAGVNPVSKIPEADKSRDRKLVFIISGATLAAFTLILLLGLTIFIFRRKESNEKDKSVTLRGLCRRFTLEELRDATNNFDRALVIGNGGFGRVFKGCIDGGTPVAIKALKPTSTQGSKEFEAEIKMLSDLRYRHLVSLIGCCDEGVKIIVYEYMPGGTLRDHLYSTKGPPLSWKQRLEISIGVARGIKYLHGENTKIIHRDIKPSNILLDDNLVAKISDFGLSRFGPTSLSRSHVTTGVRGTFGYVDPEYFETSRLSVKSDVYSFGVVLFEMLCARPAVDLRLDDEQPTLAEWVRHCIKVGKLKQIMDPNLKGQISPGCLKAYVGIALKCLNNDRHKRPTMAAVLKKLKRALELQECTDAASDDNGEDEEEIMNNNDKQLFLKPNKNAKVVHSCPTFWNKTISRKELLRFLSDRAGLKWSTPPSLPGLKTLCYNVSSYGTMSGEL >KJB49891 pep chromosome:Graimondii2_0_v6:8:39586140:39588178:1 gene:B456_008G143800 transcript:KJB49891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLTCSILMICHLVLLPLFIYPSFADSLPSDPVSPGSVCKSTPDPSYCRSVLPNQTTNVYNYGRFSVRKSLSQSRKFVNLVEKYLRKYRQSVSVSAIRALEDCRFLADLNMDFLLSSFKTVNATSSTLSNVEADDVQTFLSAILTNQQTCLDGLQSTSSAWSIRNGISVPLTNDTKLYSVSLALFTRGWVPKRKKKATWQPSSSKQLGFKHGRLLMRMSSRARSIYETVSRRKLLQTNNTDEEVLVSDIVTVSQDGSGNFTTINDAISAAPNNTNGANGYFVIYITAGVYQEYVSIAKNKKYLMMIGDGINQTIITGNRSVVDGWTTFNSATFGYQDTLYTHSLRQFYRDCDIYGTVDFIFGNAAVVLQNCNIYPRQPMSGQFNAITAQGRTDPNQNTGISIHDCNIMPADDLASSNTTFKTYLGRPWKEYSRTVYMQNFMGSLIDPAGWREWNGDFALSTLYYAEYDNSGPGSNTSSRVTWSGYHTINATDAANFTVSGFLLGNDWLPNTGVPYTASLLA >KJB49890 pep chromosome:Graimondii2_0_v6:8:39586051:39588178:1 gene:B456_008G143800 transcript:KJB49890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLTCSILMICHLVLLPLFIYPSFADSLPSDPVSPGSVCKSTPDPSYCRSVLPNQTTNVYNYGRFSVRKSLSQSRKFVNLVEKYLRKYRQSVSVSAIRALEDCRFLADLNMDFLLSSFKTVNATSSTLSNVEADDVQTFLSAILTNQQTCLDGLQSTSSAWSIRNGISVPLTNDTKLYSVSLALFTRGWVPKRKKKATWQPSSSKQLGFKHGRLLMRMSSRARSIYETVSRRKLLQTNNTDEEVLVSDIVTVSQDGSGNFTTINDAISAAPNNTNGANGYFVIYITAGVYQEYVSIAKNKKYLMMIGDGINQTIITGNRSVVDGWTTFNSATFAVVAPNFVAINMTFQNTAGAIKHQAVALRNGADLSAFYSCSFEGYQDTLYTHSLRQFYRDCDIYGTVDFIFGNAAVVLQNCNIYPRQPMSGQFNAITAQGRTDPNQNTGISIHDCNIMPADDLASSNTTFKTYLGRPWKEYSRTVYMQNFMGSLIDPAGWREWNGDFALSTLYYAEYDNSGPGSNTSSRVTWSGYHTINATDAANFTVSGFLLGNDWLPNTGVPYTASLLA >KJB53028 pep chromosome:Graimondii2_0_v6:8:56414033:56422869:1 gene:B456_008G289300 transcript:KJB53028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNILKKLHVSNQSEDIEGSSSSRSNKKSSDDVSSSQHSPENNNNNNSNNNKSLSALSSWLNSVANRKSPSPPSSSNLKKRETMEPSDSVSTSGSKAALDTGKGNSGSSNTRDSDVEEEYYQIQLAMELSAREDPEAAQIEAVKQISLSSCGPENTPAEVVAYRYWNYNSLNYDDKILDGFYDLYGILTGSAAEGMPSLLDLQGTSVADNVSWEAVLVNRDSDANLLKLERKALEMTAKLKSESLAFVSSNLVQKLAVLVSEYMGGPVVDPDCMSRAWRSLSYSLKSTLDCMVLPLGSLTIGLARHRALLFKVLADSVGIPCRLVKGQQYTGSDDVAMNFVKIDDGREYIVDLMADPGTLIPSDTDGSHVEYDDSFFSSGPLSRDIDSTHIASSTSGVGSSLEDGKGDFSACLNLSGATSSGEQSKESTGDFKTPSSIEKEPVRELPNRPNYPYVHARSPSWTEGISSPAVRRMQVKDVSQYVIDVAKENPQLAQKLHDVLLESGVVVPPNLFTGVYSEQLDTSNIEVRLPVEIKDENGKGTGPRNSKNQNDFRPSHCLPPLPHQKGHAKASSPHDQPEHLKSVEGLRVTCPVDTREVIRPPVLSQSEAASIQYATSVPVAAAAAAAAVASSMVVTAKRLDTDSNVELPVAAAVMAATSAAVMKQTDCNDGDANLAGCEHISKRRQNAWRVNSEGERMSDKSAGNDSSKSDNALDDVAECEIPWEEITLGSYGEVYRGEWRGTEVAVKKFLDQDISGEPLEEYKSEVLLMKKLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLIHRPNNQLDERRRLRMALDTARGMNYLHNCTPMIVHRDLKSPNLLVDRNWVVKVCDFGLSRMKHSTYLSSMSTAGTAEWMAPEVLRNEPSDEKCDVYSFGVILWELSTLQQPWKGMNPMQVVGAVGFQHRRLDIPDDIDPAIAEIIRKCWHTDPKLRPTFAEIMAALKPLLKPITNAQVPRSTISLSKSHDRGEP >KJB53027 pep chromosome:Graimondii2_0_v6:8:56413620:56422901:1 gene:B456_008G289300 transcript:KJB53027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNILKKLHVSNQSEDIEGSSSSRSNKKSSDDVSSSQHSPENNNNNNSNNNKSLSALSSWLNSVANRKSPSPPSSSNLKKRETMEPSDSVSTSGSKAALDTGKGNSGSSNTRDSDVEEEYYQIQLAMELSAREDPEAAQIEAVKQISLSSCGPENTPAEVVAYRYWNYNSLNYDDKILDGFYDLYGILTGSAAEGMPSLLDLQGTSVADNVSWEAVLVNRDSDANLLKLERKALEMTAKLKSESLAFVSSNLVQKLAVLVSEYMGGPVVDPDCMSRAWRSLSYSLKSTLDCMVLPLGSLTIGLARHRALLFKVLADSVGIPCRLVKGQQYTGSDDVAMNFVKIDDGREYIVDLMADPGTLIPSDTDGSHVEYDDSFFSSGPLSRDIDSTHIASSTSGVGSSLEDGKGDFSACLNLSGATSSGEQSKESTGDFKTPSSIEKEPVRELPNRPNYPYVHARSPSWTEGISSPAVRRMQVKDVSQYVIDVAKENPQLAQKLHDVLLESGVVVPPNLFTGVYSEQLDTSNIEVRLPVEIKDENGKGTGPRNSKNQNDFRPSHCLPPLPHQKGHAKASSPHDQPEHLKSVEGLRVTCPVDTREVIRPPVLSQSEAASIQYATSVPVAAAAAAAAVASSMVVTAKRLDTDSNVELPVAAAVMAATSAAVMKQTDCNDGDANLAGCEHISKRRQNAWRVNSEGERMSDKSAGNDSSKSDNALDDVAECEIPWEEITLGERIGLGSYGEVYRGEWRGTEVAVKKFLDQDISGEPLEEYKSEVLLMKKLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLIHRPNNQLDERRRLRMALDTARGMNYLHNCTPMIVHRDLKSPNLLVDRNWVVKVCDFGLSRMKHSTYLSSMSTAGTAEWMAPEVLRNEPSDEKCDVYSFGVILWELSTLQQPWKGMNPMQVVGAVGFQHRRLDIPDDIDPAIAEIIRKCWHTDPKLRPTFAEIMAALKPLLKPITNAQVPRSTISLSKSHDRGEP >KJB52029 pep chromosome:Graimondii2_0_v6:8:52835554:52837968:1 gene:B456_008G242800 transcript:KJB52029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein knotted-1-like 7 [Source:Projected from Arabidopsis thaliana (AT1G62990) UniProtKB/Swiss-Prot;Acc:Q9FPQ8] MQEPELGMMANFGGTTTAAIGGLSSRQLSLPLDQNHRQLKAEIATHPLYDQLLTAHVSCLRVATTIEQLPLIDAQLAQPHNVLRSYASQHPQHGHSLSPHHRQDLDNFLAQYLIMLCRFKEELQQHVRVDAVEAVMACREIENNLHALTGVTLGESTGATMSDDEDELQMDFPLDNSGVEANDLMGFGPLLPTESERTLMERVRKELKIELKQVMIKL >KJB52028 pep chromosome:Graimondii2_0_v6:8:52835542:52838006:1 gene:B456_008G242800 transcript:KJB52028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein knotted-1-like 7 [Source:Projected from Arabidopsis thaliana (AT1G62990) UniProtKB/Swiss-Prot;Acc:Q9FPQ8] MQEPELGMMANFGGTTTAAIGGLSSRQLSLPLDQNHRQLKAEIATHPLYDQLLTAHVSCLRVATTIEQLPLIDAQLAQPHNVLRSYASQHPQHGHSLSPHHRQDLDNFLAQYLIMLCRFKEELQQHVRVDAVEAVMACREIENNLHALTGVTLGESTGATMSDDEDELQMDFPLDNSGVEANDLMGFGPLLPTESERTLMERVRKELKIELKQGYKSKIEDVREEILRKRRAGKLPGDTTSVLKNWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHTNYQSATSLKSKRKR >KJB50411 pep chromosome:Graimondii2_0_v6:8:44269968:44272139:1 gene:B456_008G169400 transcript:KJB50411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSLKAYFLVALVVFDISCMVFIKDVEAAGECGKTPIRSAAVSLSPCLGAAGNAKAKVPPACCSKVGALLKASPRCLCAILLSPLAKQAGIKPGIAIGIPKRCNIRNRQAGKKCGSYTVP >KJB48382 pep chromosome:Graimondii2_0_v6:8:10653445:10655379:1 gene:B456_008G065900 transcript:KJB48382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVQVLHMNGGVGETSYANNSFVQQKVITMTKPITEDAITKLYCSTYPEEIAIADLGCSSGPNTFFVVSELIKVVDSARRKISQKSPEYHVFLNDLPGNDFNTIFRSLSIFQNKLREQLEPGIGPCFFTGVPGSFYGRLFRKNCLRFVHSSYSLQWLSQVPQGLESNKGNIYMASTSPPNVLKAYYEQFQQDFSLFLKCRSEELVDGGRMVLTFLGRRNDDPSSKECCYIWELLAMALNDMVLEGVIEEEKLNSFNIPQYTPSPAEVKYEVEKEGSFSIDQLEVTEVNWNAYQNETDLSDAFKDGGYNVAKCMRAVAEPLLFSHFGEAIIDEVFRRYREIVADPMSKEKTEFINVIVSLKKA >KJB51350 pep chromosome:Graimondii2_0_v6:8:50025345:50026791:1 gene:B456_008G213000 transcript:KJB51350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSEVYAVICISILLIAPVIVSQQIPADKRQVNAWFEGIIKPVKERGNSLDPELIQAESNPKVIKVRQGGGGEFNSVKKAIESVALGNTKRVIISIGPGVYKEKIKIERGKPFITLLGNPKNMPNLTFGGTAKEYGTVNSATLIAESNYFVAANLNIVNSAPKPERGKTVGGQAVALRVSGDRSAIYNCNIYGFQDTLCDDKGNHFFKDCYVSGTVDFIFGGGKSLYLNTDIFVEKREGGGFTVITAQARESSSEDTGYSFVHGSVTGTASNSFLGRAWRSNPRVVYAYTDMSNVVNPAGWTHNNFPERAKTVYYGEYKCKGRGANPSKREPFVKQLSGAEVLPFLALNFIEATKWLLPPPKYEFN >KJB51349 pep chromosome:Graimondii2_0_v6:8:50025310:50026833:1 gene:B456_008G213000 transcript:KJB51349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSEVYAVICISILLIAPVIVSQQIPADKRQVNAWFEGIIKPVKERGNSLDPELIQAESNPKVIKVRQGGGGEFNSVKKAIESVALGNTKRVIISIGPGVYKEKIKIERGKPFITLLGNPKNMPNLTFGGTAKEYGTVNSATLIAESNYFVAANLNIVNSAPKPERGKTVGGQAVALRVSGDRSAIYNCNIYGFQDTLCDDKGNHFFKDCYVSGTVDFIFGEHGHIRGEARRWRIYSDNSTSERKFIGRHGLFVRAWQRYRNSKQFIFGEGLEEQSKSGLCIY >KJB48034 pep chromosome:Graimondii2_0_v6:8:7156755:7158817:1 gene:B456_008G051000 transcript:KJB48034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKLRYRRVGMAMDKYEVVFISTPLIGNLVPTVEFAHHLTRHDPRFSATILIITVHERPIVNLYTQSLATAASHSQSHVNFIHLPTVQPPTPDQYQSSLGYTSLFIDKHKPHVKHAISTLASTTSVAAFFVDMFTTSMIDVAQDLGIPCYLFFASPASFLGFMLHLPALATQLAADFVDSHSGLIAPKDSAIELIVPTFSKPLPPSVLPSSVLKRNKDGYFWYLEHARRYTETMGIVVNTFLELEPHAIESLSISGLPPVYPVGPILDHAGASQWHPDGAQLHDSIMEWLDQQPPSSVVFLCFGSMGSLEGPQLREIAIGLERSGYRFLWSIREPPKGKLDLPGEYTNVEAVLPAGFLDRTAGLGLACGWVQQVRVLSHQAIGGFVSHCGWNSILESVWYGVPIATWPVYAEQQMNAFELVKELGLGVEIRLDYREGGNLVVAEELERGLRRLMDGEDEVKAKVREMKSKSRMVLMENGSSCKSLASLIQEISGRIQGLKKDC >KJB48035 pep chromosome:Graimondii2_0_v6:8:7156775:7158602:1 gene:B456_008G051000 transcript:KJB48035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKLRYRRVGMAMDKYEVVFISTPLIGNLVPTVEFAHHLTRHDPRFSATILIITVHERPIVNLYTQSLATAASHSQSHVNFIHLPTVQPPTPDQYQSSLGYTSLFIDKHKPHVKHAISTLASTTSVAAFFVDMFTTSMIDVAQDLGIPCYLFFASPASFLGFMLHLPALATQLAADFVDSHSGLIAPKDSAIELIVPTFSKPLPPSVLPSSVLKRNKDGYFWYLEHARRYTETMGIVVNTFLELEPHAIESLSISGLPPVYPVGPILDHAGASQWHPDGAQLHDSIMEWLDQQPPSSVVFLCFGSMGSLEGPQLREIAIGLERSGYRFLWSIREPPKGKLDLPGEYTNVEAVLPAGFLDRTAGLGLACGWVQQVRVLSHQAIGGFVSHCGWNSILESVWYGVPIATWPVYAEQQMNAFELDYREGGNLVVAEELERGLRRLMDGEDEVKAKVREMKSKSRMVLMENGSSCKSLASLIQEISGRIQGLKKDC >KJB51538 pep chromosome:Graimondii2_0_v6:8:50790168:50791712:1 gene:B456_008G221200 transcript:KJB51538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNGNQGKTLYDKYQERLPSQEKSSKGKSFGFWRNKDKKQNGSATAGAAPPAGSNGDVTPNNPGEGSRSQKKNIKKTYKPAAAVRGFKKDEMSLEIMLLKGGFRDEPFKTIGVIGLPGVGKTALCRSILRNERVKSSYTQMFWISLWYEAEETEEEVEETEEVVEEIEDTLPGDIIKFKSDVPHLLRRLSDYQEKLAENKYLIVLDDVGEAEEDNYYEDLNKCFSDEHLPKQKGGAVIVTCRSEEAAKKVVGDDNLHRLQPLNDPNSCWWIYNEAVKGKKTSEDATISNKVKEELMKRCGGLPGAARMMGEIKKDKNKANHTPSTHSTFLA >KJB52320 pep chromosome:Graimondii2_0_v6:8:53819363:53821498:-1 gene:B456_008G255800 transcript:KJB52320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVCMKCRVKYEDPTILAAETCFNETEVKALYELFRQLSSSLVDDGYISKEEFLLGLFRNRNEQNLFANRMFRLFDANNDGFIGFGEFVRSLSIFHPDAPRSDKVAFAFQLYDIWQTGFIEPEEVKGILWTSLIGYYHDPLTSEVKEMILALLNESNLVLSNDVIDVIVDKTFKDADSNKDGKIDMKEWDEFVARNPILMKNMTIPHLMDLT >KJB50219 pep chromosome:Graimondii2_0_v6:8:42482910:42484238:1 gene:B456_008G159300 transcript:KJB50219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITITIRELLFPVSFLLLLPCEAVAATLGICYGRVANNLPPTLEVINILKTNGISNVRIFDPHPFTLQSFSGTGINLMTGVPNEALPSLASGTPASALQWLQTNIFAHIVPSQIRYIAVGNELSSPQAASVLSVSYPPSLGAFDPSLRAVLRPLLSFLHETKSPFMVNVYPYFSYTSSRSNQVTLDYALFRSNDMVQDGQLMYGNLFEASLDAVVHAMEKEGFAEVEVVVSETGWPKGGGEAASVENALAYNENVVRRVVGNVGTPRRPGVGIEVYLFDLFDENGKGGDECEKHFGIFGLDGVKAYDLRFNSEN >KJB50218 pep chromosome:Graimondii2_0_v6:8:42482903:42484238:1 gene:B456_008G159300 transcript:KJB50218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITITIRELLFPVSFLLLLPCEAVAATLGICYGRVANNLPPTLEVINILKTNGISNVRIFDPHPFTLQSFSGTGINLMTGVPNEALPSLASGTPASALQWLQTNIFAHIVPSQIRYIAVGNEVLLKDSFYSPYLVPAIVNLYQALKMLNLDGTIKLSSPQAASVLSVSYPPSLGAFDPSLRAVLRPLLSFLHETKSPFMVNVYPYFSYTSSRSNQVTLDYALFRSNDMVQDGQLMYGNLFEASLDAVVHAMEKEGFAEVEVVVSETGWPKGGGEAASVENALAYNENVVRRVVGNVGTPRRPGVGIEVYLFDLFDENGKGGDECEKHFGIFGLDGVKAYDLRFNSEN >KJB50848 pep chromosome:Graimondii2_0_v6:8:47197989:47201355:-1 gene:B456_008G189700 transcript:KJB50848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHGDLDRQIEHLMQCKPLPEVEVKTLCEQARAILVEEWNVQPVKCPVTVCGDIHGQFHDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISGQFNHTNGLTLISRAHQLVMEGYNWSQEKNVVTVFSAPNYCYRCGNMAAILEIGENMEQSFLQFDPAPRQIEPETTRRTPDYFL >KJB50849 pep chromosome:Graimondii2_0_v6:8:47197989:47201281:-1 gene:B456_008G189700 transcript:KJB50849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHGDLDRQIEHLMQCKPLPEVEVKTLCEQARAILVEEWNVQPVKCPVTVCGDIHGQFHDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISGQFNHTNGLTLISRAHQLVMEGYNWSQEKNVVTVFSAPNYCYRCGNMAAILEIGENMEQSFLQFDPAPRQIEPETTRRTPDYFL >KJB49626 pep chromosome:Graimondii2_0_v6:8:37283853:37285933:1 gene:B456_008G129800 transcript:KJB49626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS10 MDRDSIEMDKERLTAEMAFKDSSSAVIKIRKRLPDFLQSVKLKYVKLGYGYSCNPATVLMFALIVPLFVVTAVQFTGLKLDGVSELWTNQALRLESIDAATRLAGSLILFFLFGLYYAKRSRPVYLVDFACYKPEDDRKMSVDSFLKMTEDSGAFTEETLQFQRRISTRSGLGDETYFPRGITSTPPNLCMEEARAEAETVMFGAVDELFAKTGVDPKEIGILIVNCSLFNPTPSLSAMIVNHYKLRTNINSYNLGGMGCSAGLISIDLAKNLLQSNPNTYALVVSTENITLNWYFGNDRSMLLCNCIFRMGGAAVLLSNKPRDKSRSKYQLVHVVRTHKGADDKHYNCVYQREDDKGTIGVSLARELMAVAGDALKTNITTLGPLVLPFKEQFMFFVTLVRKKILKAKVSPYIPDFKLAFDHFCIHAGGRAVLDELQKNLQLTDWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRVSDGDRVWQIAFGSGFKCNSAVWRALRSTPMAESRGNPWKNEIEKYPVKVSFA >KJB50758 pep chromosome:Graimondii2_0_v6:8:46654048:46657809:-1 gene:B456_008G186300 transcript:KJB50758 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUPPRESSOR OF GAMMA RESPONSE 1 [Source:Projected from Arabidopsis thaliana (AT1G25580) UniProtKB/Swiss-Prot;Acc:Q6NQK2] MAGTWLVDGNRIASKIRSASDPGRVTWNSNPTRSCPNCHHVIDNSDVNQAWPGLPRGVKFDPSDQEIIWHLLTKVGVKDSKPHPFINEFIPTIENDDGICYTHPQKLPGVKEDGSVSHFFHRAIKAYNTGTRKRRKIHGDDFGDVRWHKTGRTKPVFLDGVQEGCKKIMVLYMTTVKGGKSEKTNWVMHQYHLGTEEDEKDGEYVISKIFHQQQQPKQGDKTEQDLPETTDTMIVKVDPVTPKSVTPDPPRTGRQCDEYDQGQEYTIPCINPSAQVFSAKHPTTQYVEDEAQAECENSSLNDLPAPENYLNEMLDNDDNNDNHAEEDSKWWDGESQFLLDSQQLVEGLSLCDEFLQSQSPRRDADGNEGILDGKPRLSDYAQLGAEHLKKDLEECQNLEDDPTNIELDTPPEFRLSQLDFASQDSYTAWGVSKVID >KJB50759 pep chromosome:Graimondii2_0_v6:8:46654036:46657925:-1 gene:B456_008G186300 transcript:KJB50759 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUPPRESSOR OF GAMMA RESPONSE 1 [Source:Projected from Arabidopsis thaliana (AT1G25580) UniProtKB/Swiss-Prot;Acc:Q6NQK2] MAGTWLVDGNRIASKIRSASDPGRVTWNSNPTRSCPNCHHVIDNSDVNQAWPGLPRGVKFDPSDQEIIWHLLTKVGVKDSKPHPFINEFIPTIENDDGICYTHPQKLPGVKEDGSVSHFFHRAIKAYNTGTRKRRKIHGDDFGDVRWHKTGRTKPVFLDGVQEGCKKIMVLYMTTVKGGKSEKTNWVMHQYHLGTEEDEKDGEYVISKIFHQQQQPKQGDKTEQDLPETTDTMIVKVDPVTPKSVTPDPPRTGRQCDEYDQGQEYTIPCINPSAQVFSAKHPTTQYVEDEAQAECENSSLNDLPAPENYLNEMLDNDDNNDNHAEEDSKWWDGESQFLLDSQQLVEGLSLCDEFLQSQSPRRDADGNEGILDGKPRLSDYAQLGAEHLKKDLEECQNLEDDPTNIELDTPPEFRLSQLDFASQDSYTAWGVSKVID >KJB51839 pep chromosome:Graimondii2_0_v6:8:52016375:52020229:1 gene:B456_008G233700 transcript:KJB51839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHSYAVDSLSRSQDLASAILASTTPSQISATCASIDFFLQSHSPDQSRHFFSITFPILICKLFGFDDASSLPPPPPSQKPPSNGWVELASQSSLPDLSSKIFSLLSPNGTLMNSISAVDRHSLVKYVFPVERLPEWVRFMLSNEKYFRVISDLCPFFKGKVKEDAVQGSLCQIQLNVFEYYLFWFAYYPVCKGNSENLDSNSVKRSRKFRLENWTRSIRGFSGSSKREMEQKFEGNLYIQLLYGYLRAFVPIFDLGAHQPYRSSILNYSLKCDGSVIVRAEFLVNVFVHYWLVDNDFSPLPVNVCKSFGVSFPFRSMLGEIPPTSGLGEVVKLFVKYLNLSSVMSTDGFDNIECNESPRWRVSGGFDSGGSRDLVSLSPSVCSVGSWNSWIQRPLYRFILRTFLFSPVGTSMKNTSQVFSVWVSYMEPWTISLDDFAELDVVINGSSKDVRNQETESQNSGYSPVWQAFVLSNFLYYSSLVMHFIGFAHKFLHTDPEVIAQMVLKVISLLTSSKELVDLIKNVDVVFHSKQAVSSKSALNSLYRIVPSIREQLKDWEDGLCESDADGSFLHENWNKDLKLFSDGEDGGQRLLQLFILRAEAELQGGDIAHAPSLQIIDSLKEKVSYLFGGSTVKPIPISPELRQPQHTRDELFKPRRVGDQTSSNVTYKGDWMKRPISDDEVAWLAKLLIWLSSWLNESLGLNRPEDNDVGSKWFYVNIPGDAVNLNGSGEIVKTLVCLIGSWLLMMGTTTTRLMRKHGLRINLRVLASKKVVMVLLIFVVFSVLKKACGLFH >KJB51278 pep chromosome:Graimondii2_0_v6:8:49556021:49560584:1 gene:B456_008G210000 transcript:KJB51278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGLCFACKPHFSLRPPLFYCFRKIRFCSVAAIETLDEPVIQGAHFSPAKNVSGEGSKLSQWKKLNSQDLGISTSNISKPTRKVLNGLKTKGYEVYLVGGCVRDLILKRTPKDFDIITTAELREVVKAFSRCAIIGRRFPICHVHMDDTIVEVSSFSTSARNFDRGLTYELERPAGSDKKDFVRWRNCLQRDFTINGLMFDPFARIIYDYMGGIEDIKKAKVRTVIPASTSFQEDGARILRAIRIAARLGFSFSRETAHFVKNLSCLILRLDKSRLLMEMNYMMAYGSAEASLRLLWKFGLLELLLPIQAAYFVRTGFRRRDKRSNMLLSLFSKLDRLLAPNRPCHSSLWVGILAFHEALSNKPRDPLVVAAFSLAVHNGGDIPEAINIAKNINKSHDSSFHELSEPQNLENLTLVNEVMSLAASVKSTLSEMTDEHFVSQAMSAYPQAPFSDLVFIPLALYLNVCKVFQCVTMGAEKGFVAKQGSRIDYELLALGSLRETRHTFARVVFDTVYPLDRT >KJB51279 pep chromosome:Graimondii2_0_v6:8:49556055:49560486:1 gene:B456_008G210000 transcript:KJB51279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGLCFACKPHFSLRPPLFYCFRKIRFCSVAAIETLDEPVIQGAHFSPAKNVSGEGSKLSQWKKLNSQDLGISTSNISKPTRKVLNGLKTKGYEVYLVGGCVRDLILKRTPKDFDIITTAELREVVKAFSRCAIIGRRFPICHVHMDDTIVEVSSFSTSARNFDRGLTYELERPAGSDKKDFVRWRNCLQRDFTINGLMFDPFARIIYDYMGGIEDIKKAKVRTVIPASTSFQEDGARILRAIRIAARLGFSFSRETAHFVKNLSCLILRLDKSRLLMEMNYMMAYGSAEASLRLLWKFGLLELLLPIQAAYFVRTGFRRRDKRSNMLLSLFSKLDRLLAPNRPCHSSLWVGILAFHEALSNKPRDPLVVAAFSLAVHNGGDIPEAINIAKNINKSHDSSFHELSEPQNLENLTLVNEVMSLAASVKSTLSEMTDEHFVSQAMSAYPQAPFSDLVFIPLALYLNVCKVFQCVTMGAEKGFVAKQGSRIDYELLALGSLRETRHTFARVVFDTVYPLDRT >KJB46732 pep chromosome:Graimondii2_0_v6:8:37682398:37682883:-1 gene:B456_008G131400 transcript:KJB46732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIDFIFYRLQKLHQLFQDHDRDYADIDDNNDELRPLPSQVPVPFTAHVVSNLIKAKLPVVEFSRWRLRGEIDDPSADCAICLACVEESDEIRELGNCSHLYHRECIDGWVDQGHETCPLCWLKLLPCEAAAGADEGGEVKGVYDPWRLERMAYLFGEDY >KJB50204 pep chromosome:Graimondii2_0_v6:8:42426071:42428272:-1 gene:B456_008G158900 transcript:KJB50204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQIGAENQTSITIDDAMSESNELDSCYNCFQHFVTNGFSKIDESSFEGDIIKTSFFSSLSRNPQISYRTKIVAIHKNSNTSQCWKIRAHSFGVYAKAVADTRGGDANIKYAWYGASKNEICETVMYGFSWRKHSISVSPAKHGLDSVLCSGVDGSGVRHLLLCRVILGKQEVVREDSSDQFHPSSMDFDSAVDDISSPTRYIIWNTHLNYNILPCYILSFEAPPYLSEPKTIKPKTWITFGTLISILSPFLDHSQRASLEEQYTNYLEKKITRPQMIQRVRAIAGNQLLSAVVKLYTNKIN >KJB50205 pep chromosome:Graimondii2_0_v6:8:42426071:42428272:-1 gene:B456_008G158900 transcript:KJB50205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQIGAENQTSITIDDAMSESNELDSCYNCFQHFVTNGFSKIDESSFEGDIIKTSFFSSLSRNPQISYRTKIVAIHKNSNTSQCWKIRAHSFGVYAKAVADTRGGDANIKYAWYGASKNEICETVMYGFSWRKHSISVSPAKHGLDSVLCSGVDGSGVRHLLLCRVILGKQEVVREDSSDQFHPSSMDFDSAVDDISSPTRYIIWNTHLNYNILPCYILSFEAPPYLSGLTEPKTIKPKTWITFGTLISILSPFLDHSQRASLEEQYTNYLEKKITRPQMIQRVRAIAGNQLLSAVVKLYTNKLAAATGVMQQAARLMMQPDCWEQFIVR >KJB50206 pep chromosome:Graimondii2_0_v6:8:42426431:42428119:-1 gene:B456_008G158900 transcript:KJB50206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQIGAENQTSITIDDAMSESNELDSCYNCFQHFVTNGFSKIDESSFEGDIIKTSFFSSLSRNPQISYRTKIVAIHKNSNTSQCWKIRAHSFGVYAKAVADTRGGDANIKYAWYGASKNEICETVMYGFSWRKHSISVSPAKHGLDSVLCSGVDGSGVRHLLLCRVILGKQEVVREDSSDQFHPSSMDFDSAVDDISSPTRYIIWNTHLNYNILPCYILSFEAPPYLSGLTEPKTIKPKTWITFGTLISILSPFLDHSQRASLEEQYTNYLEKKITRPQMIQRVRAIAGNQLLSAVVKLYTNKIN >KJB49523 pep chromosome:Graimondii2_0_v6:8:36180508:36184780:-1 gene:B456_008G123800 transcript:KJB49523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKTSESAVSTIVNLAEEAKLAREGVKAPSYAVLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSVKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYQQQTDDAQLTPLLRLGAGACAGIIAMSTTYPMDMVRGRLTVQTDSSPYQYRGMFHALSTVLREEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDRLIKSKAFGLVEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKEAASVVTGDGRNKAPLVYTGMIDAFGKTVRHEGFGALYKGLAPNSVKVVPSIALAFVTYEVVKDILGVEIRISD >KJB49521 pep chromosome:Graimondii2_0_v6:8:36180441:36184780:-1 gene:B456_008G123800 transcript:KJB49521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKTSESAVSTIVNLAEEAKLAREGVKAPSYAVLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSVKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYQQQTGDDDAQLTPLLRLGAGACAGIIAMSTTYPMDMVRGRLTVQTDSSPYQYRGMFHALSTVLREEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDRLIKSKAFGLVEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKEAASVVTGDGRNKAPLVYTGMIDAFGKTVRHEGFGALYKGLAPNSVKVVPSIALAFVTYEVVKDILGVEIRISD >KJB49524 pep chromosome:Graimondii2_0_v6:8:36180906:36184423:-1 gene:B456_008G123800 transcript:KJB49524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKTSESAVSTIVNLAEEAKLAREGVKAPSYAVLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSVKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYQQQTGDDDAQLTPLLRLGAGACAGIIAMSTTYPMDMVRGRLTVQLCLLQTDSSPYQYRGMFHALSTVLREEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDRLIKSKAFGLVEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKEAASVVTGDGRNKAPLVYTGMIDAFGKTVRHEGFGALYKGLAPNSVKVVPSIALAFVTYEVVKDILGVEIRISD >KJB49522 pep chromosome:Graimondii2_0_v6:8:36180508:36184780:-1 gene:B456_008G123800 transcript:KJB49522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLVSASLSLPAALLVESRTAVAPLERLKILLQVQNPHSVKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYQQQTGDDDAQLTPLLRLGAGACAGIIAMSTTYPMDMVRGRLTVQTDSSPYQYRGMFHALSTVLREEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDRLIKSKAFGLVEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKEAASVVTGDGRNKAPLVYTGMIDAFGKTVRHEGFGALYKGLAPNSVKVVPSIALAFVTYEVVKDILGVEIRISD >KJB52222 pep chromosome:Graimondii2_0_v6:8:53493023:53497237:1 gene:B456_008G251100 transcript:KJB52222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSDTRKVRNICILAHVDHGKTTLADHLIAATGGGVLHPKLAGKLRFMDYLDEEQRRAITMKSSSIALHYKDHEINLIDSPGHMDFCSEVSTAARLSDGGLVLVDAVEGVHIQTHAVLRQSWIEKVTPCLVLNKIDRLICELKLSPMEAYNRLLRIILEVNGIMSMYKSEKYLSDVDSILAGPSGEVSDENLESIEDDEEDTFQPQKGNVAFVCALDGWGFTINEFAEFYASKLGASTSALQKAFWGPRYFNPKTKMIVGKKGLSAGSKARPVFVQFVLEPLWQVYQAALEPDGDKGTLEKVIKSFNLSIPPRELQNKDPKIVLQAVMSRWLPLSDAVLSMVVKCMPDPISAQSHRISRLLPKREILDKGVDSNVLAEADLVRKSVEACDSSPEAPCIAFVSKMFAVPTKMLPQRGPQGEILNNFTDEGGTSESDECFLAFARIFSGVLTSGQRVFVLSALYDPLRGESMQKHMQEAELQSLYLMMGQGLKPVTSARAGNIVAIRGLGQHILKSATLSSTRNCWPFSSMAFQVSPTLRVAIEPSDPADMGALMKGLRLLNRADPFVEVTVSSRGEHVLAAAGEVHLERCVKDLKERFAKVSLEVSPPLVFYKETIEGDLSNALEDLKLFTADSDYVEKVTSNGRCTIRVKVLKLPPTLTKVLDESADLLSDIIGGKLGQSGKSLEMHPLSLSENESPIEVLRKRMVDALESDFLCGNENDKDQAEKCKGKWLKLLRRIWALGPRQVGPNILFTPDYKTENNDGTSLIHGSPYVSLRLGLADNSTASDIAAIASSELTQPLYGEPESLESSLMSGFQLATAAGPLCDEPMWGLAFVVEAYISPSTVRAGESEPNQQSEQYGLLTGQIMTAVKDACRGAVLQRKPRLVEAMYFCELNTPTEYLGPMYAVLARRRARVLKEEMQEGSPLFTVHAYVPVSESFGFADELRRWTSGASSALLVLSHWEALPEDPFFVPKTEEEIEEFGDGSSVLPNTARKLINAVRRRKGLPVEEKVVQHATKQRTLARKV >KJB51883 pep chromosome:Graimondii2_0_v6:8:52182178:52183143:-1 gene:B456_008G236200 transcript:KJB51883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIRGFKLGRKLVKVFKWIIPPRRRNYRNGFLRHPTRSYNPLSRLCSFATFLRQGTRRLCNSDSDPGYIQLGEKGVKRVGVPKGHLAVYVGESDGNMRRVVVPVIYFNHPLFGELLKEAELVYGFNQSGGITLPCGISEFEKVKMRIADWDHCRRKQHRRYFY >KJB48476 pep chromosome:Graimondii2_0_v6:8:12139015:12139620:-1 gene:B456_008G070700 transcript:KJB48476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHSWLIYFKYGTQFKFPNWFQEWWNWCGPSSFEILPEKIQNLWPKFFDKFHAEPDQKHIYKTIHFFSKLYISWIVSWNYSYEQDQHTRIPLLVRNYRTKWWDKFNDEKYDSKYLDNFFNKNTRLCKFAAPDQTTAKFLQAKSTASAMLAQGKTKKYKKLMAELLSSLDSESEDESSASSIKMVNLTDDTTSVTITRSKKK >KJB47019 pep chromosome:Graimondii2_0_v6:8:860509:863353:-1 gene:B456_008G007400 transcript:KJB47019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRIRSRDGLERIQVDGPQITISQLKTLIESQLQIPIQNQTLSTDQNLLLAKTLADLLRFSDMTDPSIPLSALNLSHGSIIFLYYHGERTVRGGPTVSPAGSFGRKMTMDDLIAKQTRITRQESPHCDSVSFDRDSANAFQRFVNETLAFASKRGGFMYGTVTDEGRVEVDFIYEPPQQGLEDDLVLLRDPEEEKLVDAIAAGLGRKRVGFIFTQTIMQEKKDYNFSNKEIIQAAELHAESELKEWVTVVVKLEANEDGAADVHFEAFQMSDMCVKLLKDGWFVTEFGENDDPKLSKMKKDVVVGGKDVKEVDNDFFLVVVKIFDHQGPLSTSFPIENRKDLVTLRALKSHLDRTKNLPFVKRISDFHLLLFLAKSQGLGSDVPALAECVNTQTAVPEGYQLLIESMATAA >KJB47061 pep chromosome:Graimondii2_0_v6:8:1030364:1033381:-1 gene:B456_008G009000 transcript:KJB47061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPYCRPLLYDCVYCSNGIFETYVAPHFPHSAPIRDSLPVSYFRFLFLPSSFSASSSIDIAEAYASEVCGEEYWALVLSVVSTSRGIGLIIGLAIRGFFVQPVEKYPNLFAESSIFVRFTYFQPCLIISVYAVGSLIACKWLPETLHKYAEKANERADLHDISEHSSNDSGQKDNIVELEDKQIHKSNLLKNWPLMSTIIVYCVFSLQ >KJB49854 pep chromosome:Graimondii2_0_v6:8:39325058:39325309:-1 gene:B456_008G141700 transcript:KJB49854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEKANADQTQSCVTEDNFGDRSTASQESTKFQFSKALACRAVYGPSSRRGSRNRRVRTNADPRTLPSRLSKVSVADDDSEK >KJB50019 pep chromosome:Graimondii2_0_v6:8:40591714:40592917:1 gene:B456_008G150100 transcript:KJB50019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLRTLVCLTAIGMFMELAMAANHTVGGASGGWDTSTDLQSWVASQTFAVGDNLIFQYTPNHDVLEVTKADHDSCQTSSPLQTYTDGNTVIPLTSPGKRYFICGTLGHCSQGMQIEIDTLATSTPPSASPSPSSPPSASPSPSSLAAETSPSPANTPESAPGSPLSPDAPSAESPTLASPPPSSANKSSFRTCLTLGFGLVLMVLLAL >KJB49377 pep chromosome:Graimondii2_0_v6:8:34869030:34872733:-1 gene:B456_008G115800 transcript:KJB49377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENQESSPVPTVQNILDQNSLKWVFVGGKGGVGKTTCSAIISILLAGVRPSVLIISTDPAHNLSDVFQQRFIKTPTLVNGFTNLFAMEVDPTSEDEDVGCGEGMDSLFSDLANAIPGIDEAMSFAEMLKLVQTMDYSCIVFDTAPTGHTLRLLQFPATLEKGLVKVMSLKSKFGGLLSQVTRLFGIDDEFGEDALVGRLEGLKEVIEQVNEQFEDPDLTTFICVCIPEFLSLYETERLVQELTKFEIDTHNVIINQVIFDNDEVESKLLKARVRMQQKYLDQFYMLYDDFHITKLPLLPEEVTGVEALKTFSQHFLTPHEPAIARGTKEELERRISALKKHVSDTEDELEKLR >KJB53005 pep chromosome:Graimondii2_0_v6:8:56359999:56360346:1 gene:B456_008G288300 transcript:KJB53005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCFTYNNKFHCQHSTQKNKAESISSVEAPNFIEFLKNKNRSQFFERVKWYTIICIPNHLIADKSRKKSQLP >KJB52413 pep chromosome:Graimondii2_0_v6:8:54167491:54168472:1 gene:B456_008G260700 transcript:KJB52413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFDGSWDAIWEGDSSARGPAPCMISNTPSSIAAFNTMGTTDELTSCMAYCWVCLSSSSVHVLPCWLSLKLM >KJB48321 pep chromosome:Graimondii2_0_v6:8:10131156:10131925:-1 gene:B456_008G0634001 transcript:KJB48321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNGFQQTEGSRSHHVGSVIRDFKRDGLVGLGSQIVNFIGSDSVEPTTKRGSVSEVSVMELHPSLVGVVGVDV >KJB50655 pep chromosome:Graimondii2_0_v6:8:45838685:45839408:1 gene:B456_008G181100 transcript:KJB50655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSAQRVIILLIFLGLLALQPVEVSGLRNIDFVFRHSQRVLKAVDTKGMSTEKKTAFVNNKFDPNRSSKRRVRRGSDPIHNRS >KJB51508 pep chromosome:Graimondii2_0_v6:8:50670213:50672848:1 gene:B456_008G219800 transcript:KJB51508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFSSSFLSTLTPSTKLLSPVITTTLRSTSPSPYYAPLPYISSVRIEEKPPTSTTTTKTSHPPPPQSPKAWPPPAPSYASPSVGAKKRVEPRLSTMIFNTFDDIINNFIDPPLRPSVDPKHVLSHNFAPVDELPPTPCEVIQGSLPPCLDGAYIRNGPNPQFLPRGPYHLFDGDGMLHSIRISKGKATLCSRYVKTYKYSIENQMGSPVFPNVFSGFNGLTAAATRGALSAVRILSGEFNPANGIGLANTSLALFGNRLYALGESDLPYSIRLTSKGDIQTLGRIDFDGKLFMSMTAHPKIDDDTGEAFAFRYGPVPPYLTYFHFDANGNKQPDVPIFSMTRPSFLHDFAITKKYAIFADIQIGMNPMDMIIGGGSPVGTDPAKVPRIGIIPRYAKDESEIRWFDVPGFNLIHAINAWDEDDGNAIVLLAPNILSVEHTLERMDLVHALVEKVRIDLRTGLVTRHPISARNLDFAVLNPAYVAKKNKYVYAAVGDPMPKIAGVVKLDVSKGERQECTVGSRMYGPGCFGGEPFFVAKEPQNPEADEDDGYVVSYVHNENTGESRFLVMDAQSPNLDIVAAVKLPRRVPYGFHGLFVRESDLNKL >KJB53290 pep chromosome:Graimondii2_0_v6:8:43224737:43225030:1 gene:B456_008G1639001 transcript:KJB53290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEMMAEARGPVISCRCSKTEDCQGICAACPNYSCINNLCTCLSNAPPFP >KJB50412 pep chromosome:Graimondii2_0_v6:8:44286392:44288733:-1 gene:B456_008G169500 transcript:KJB50412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKTATVSALPFSSDLLSLTPLDNGKRKRKPPGTPDPEAEVVSLSPQTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLVKNETQEVVKKKVYVCPEPSCLHHNPCHALGDLVGIKKHFRRKHSLNKQWVCDKCFKGYAVQSDYKAHLRTCGTKGHSCDCGRVFSRVESFIEHQDICSVRGVQHQFQALQYSRLTSSTTPSIDANFSLSPPLPIPKSTGTLELQLLPSSSTHLWSWRNSDSASLLKLSIGSTEGNGRETAMEATRLKEFASEQLKLAMREKTHAEKAREEAKREIEMAELEFASAKRIKQQAENELEKALVLKHQATKKITATIMQITCQACRNRFITSMAAVPAADETSLAMSYMSSATTEGEGE >KJB50508 pep chromosome:Graimondii2_0_v6:8:45053024:45056726:-1 gene:B456_008G174600 transcript:KJB50508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCISSLNFEHIKNTSFASVFESLLADISSIIESVEFIQAEQENFVELGCYLYRVFPAIMELQFSENPPKNIEVIVQSLSMNVNLAKDLVGECHKENHPVSDAELVKIIAELVRVIKDIGECLCLIPSTTFGGQKYVETAVWSLSDELQNVHFEFKQPIESQMSYAMQIPSSQMLPVQEESDLYPVDDEVSVPTESSQVLSMPCPVDFIKSTNQRSQRQHENVDKSLVTLPHVAHYIEPLYSTFFCPLTKQVMDDPVTIENVVTYELKVITEWLETFSHQDNIVCPTTGMKLKSRVLSANVALKTTIEEWKERNEVAGIKVARIALSLACSDSMILEAIRDLQHICKRRRNNKVLVVSVGILPLLIRLLGYKDRDVRCEVLELLRQLTEEDDEGKEMIANIMDISAMIELIPPTGAILMLIRVKFNHHVDSFAAEKADKILKNLERFPDNIKQMAENGFLDPLLNHLTEGSEEVQMEMTNYSGELILSNDSKAYVAEKASTSIIKMVQSGNTIFRNAAFKALSQISSHHPNGKILVEVGILNIMAEMMFTRRIYDELMDSKKRLLQYLQIIKVNTHGRRLSSNYAVYNIIHMLKNSTPDELNINLIRILLCLTKSPRSMDTIVSVVNETEASYTLIELINNPHEQVGVAVIKLLILLVPHGQPQSLMECPSGTNHLTEKQALSARFLAKLPQQNLTLNLALLNNNVVPTILERIFLIQRNGTRTSRHATVYLEGLVGILVRFTATLYEPQMLFLARTHNLTSVFTEMLIKTSSDEVQRLSAIGLENLSLESINLSRPPQIKKTGSKNLFRLPNLLSSRSVETRKIPVLCPVHRGACSSETTFCLIDAKAVERLLVCLDHENDEVVEASMAAICTLLDDKVDVDTSVSLLSENNAIQYVLEVVKEHRQEGLWQKSFWMIEKFLVRGGNKSVSDISQDRLLPASLISAFHNGNDSMK >KJB51379 pep chromosome:Graimondii2_0_v6:8:50135412:50136864:1 gene:B456_008G214400 transcript:KJB51379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTKKIKASPQSPTQLVSSSSADMIASNDDILTLILHRLPLKSLLKFKTVSKHWLCLITHPTFRPHHNSRAISGLFVCRLSDITNPKYDFLNLTPHSSRAPFKSLNFIDDPSGIKILQSCNGLLLCCSFRSNRLGTPYYTYNPTTKHYTVLPPPRHSSSGYYSFGVSLAFDPSKSPHYKVICIRNYDPELLDHYQVEIYSSKTGPWRPSGRPFVAPSNVQFENGVFWNGAIHWVSDWGDSLCFDVEEEQMRDIPMPSSDGDVRLYRYFGESGDHLHLVEVYGSDNLQFDVYEMERDRSGWFVKYQVDLNPVAAAFPEMVRGYADPIDFHFYAFSILCVVHEETDEGSFMVLHLPNKAIRYNFKDNSFRKLHDFAPLRSRVGVGGDSSLEFEYFDAYQFIESFACV >KJB46961 pep chromosome:Graimondii2_0_v6:8:567812:568529:1 gene:B456_008G003600 transcript:KJB46961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGLQMCLTLALMGMLWAGANAQSCTPALTNLTPCLNYITGNTSTPSPACCSQLKTVVQSSPQCVCSVLSIGASLGITINQTLALKLPGSCQVQTPSLSQCNGGKSGTPSTAPAASPSGSPSEGASAITPSASDIPSGTGSKSVPSIDVGSSDASIAKASLHSVICLIFIATVAKF >KJB46960 pep chromosome:Graimondii2_0_v6:8:567734:568675:1 gene:B456_008G003600 transcript:KJB46960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGLQMCLTLALMGMLWAGANAQSCTPALTNLTPCLNYITGNTSTPSPACCSQLKTVVQSSPQCVCSVLSIGASLGITINQTLALKLPGSCQVQTPSLSQCGKSGTPSTAPAASPSGSPSEGASAITPSASDIPSGTGSKSVPSIDVGSSDASIAKASLHSVICLIFIATVAKF >KJB47218 pep chromosome:Graimondii2_0_v6:8:1802364:1806760:1 gene:B456_008G015700 transcript:KJB47218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTINLIKANASNPKVWIVAGITVAGILLLADTTRRRRRKAIIIQRQDFGAFLERFDLIPFPQPPTPPAKLPLQGLTFAIKDIFDVKGYVTGFGNPDWRRTHEPSDKMALVLTALLQNGAKCVGKTVMDELAFGITGENKHYGTPINPKVQSNIPGGSSSGSAVAVAAEFVDFALGTDTIGCIRLPASFCGILGFRPSHQAVSTIGLIPNSQSLDTIGWFARDPSVLRRVGHVLLKVKAVEPKRERRLVFADDLFQLSKVTKQKTVYVVSKAIEKLSGYQPPQHINFSQYVASNVPSLKAFGQNSSNLQYGISALKALSSVMVSLQSYEFKTNHEEWVKNVKPRLGPEISHRVLAAINTKYENVKVLYKVGTEMRAALQSLLKDDGILVIPTIVDPPPKLNSMKDYSTEFHDRACAVLSIASMSGGCQACVPLGNDAGCPVSVSFVSCHGADKFLLDAVLDMYASLQDQVSNAFKSALLSDVNGNMDASQW >KJB47217 pep chromosome:Graimondii2_0_v6:8:1802364:1805737:1 gene:B456_008G015700 transcript:KJB47217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTINLIKANASNPKVWIVAGITVAGILLLADTTRRRRRKAIIIQRQDFGAFLERFDLIPFPQPPTPPAKLPLQGLTFAIKDIFDVKGYVTGFGNPDWRRTHEPSDKMALVLTALLQNGAKCVGKTVMDELAFGITGENKHYGTPINPKVQSNIPGGSSSGSAVAVAAEFVDFALGTDTIGCIRLPASFCGILGFRPSHQAVSTIGLIPNSQSLDTIGWFARDPSVLRRVGHVLLKVKAVEPKRERRLVFADDLFQLSKVTKQKTVYVVSKAIEKLSGYQPPQHINFSQYVASNVPSLKAFGQNSSNLQYGISALKALSSVMVSLQRYLRIMCYTQEYIYLFDLLLLLQSLNQDIVIININSWLCPLTSSNI >KJB47219 pep chromosome:Graimondii2_0_v6:8:1802364:1806760:1 gene:B456_008G015700 transcript:KJB47219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLTALLQNGAKCVGKTVMDELAFGITGENKHYGTPINPKVQSNIPGGSSSGSAVAVAAEFVDFALGTDTIGCIRLPASFCGILGFRPSHQAVSTIGLIPNSQSLDTIGWFARDPSVLRRVGHVLLKVKAVEPKRERRLVFADDLFQLSKVTKQKTVYVVSKAIEKLSGYQPPQHINFSQYVASNVPSLKAFGQNSSNLQYGISALKALSSVMVSLQSYEFKTNHEEWVKNVKPRLGPEISHRVLAAINTKYENVKVLYKVGTEMRAALQSLLKDDGILVIPTIVDPPPKLNSMKDYSTEFHDRACAVLSIASMSGGCQACVPLGNDAGCPVSVSFVSCHGADKFLLDAVLDMYASLQDQVSNAFKSALLSDVNGNMDASQW >KJB47717 pep chromosome:Graimondii2_0_v6:8:5113802:5114644:-1 gene:B456_008G039800 transcript:KJB47717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYHARSNSLPSRQHPIVSQIDENLNRLRASQSASTSSLIGHNLSGLQDLHECVDVLLQFPLTQQALAQEKQREMVEELLDGSLMLLDVCTTAKDAFLQTKECTQELQSILRRRRGAEGLANELRKYLTSRKAMKKAICKALKNLKHIQNKLSTPGENGAVISVLRDVEAVTISVLESVLSFISGPEAESKSSRWSLVLKLMHQKKVMCEEEQKANKFLSAEAAVRSCIKSENMKHVENVQKELQSSELSIQDLEEGLETLSRHMIKTRVTVLNIISC >KJB49433 pep chromosome:Graimondii2_0_v6:8:35553484:35556686:1 gene:B456_008G119500 transcript:KJB49433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTLTVSKHSKLLRPYLLKHNRRFCTEPNPQPLTPPQDDEQRITQTVQHLLETPHQEWSSSQPLQSLLFSSPLPSPRSILKTTRSLPSYCQALNFFQHLRENSLSTNTQSLSHSLQALLELAGREPDAASRLFELYQASKEWSVPLTVNAAALLIRYFGRLEMVDKSIVVFDEIDLSIKNTHIRNILIDVLLRDGRVDRALNVLDEMLQPVSQSPPNDVTGDIVFYGLTKRGRKGRNVSEEELIKLVLNLGKHSVFPRTNWLTQLVSSLCRNGKINQAWDVLHEQLKLGAPIESPTFNVLLTGLVRCGDVKRMNTALAEMKESGVQPDVVTLGIVINQLCKLRRVDDAMEVFNKMGEETGIDGVSVEADIVILNTLINGLCKVGRQEEGLDLMEKTKSNKGLVPNTVTYNCLIDGFCKVGEVEKGKELFEKMQQDGVSPNAITVNTLVDGMCRHRRINSALEFFGDMKEKGVKGNAAAAYTTLITAFCNADNFCKAVDLFDEMVRSGCSADAIVYYSLISGLCRAGRMDDAGNVYSKMKVAGFHPDIVCYNSLISGFCKKKKVDQAYEIVKEMEETGVKPDTITFNTLIAHFCKAGNFTLAHRVMKKMVKEGLAPTVATYGALIHGYCINGKLDDARKIFNNMSSTSKVPPNTVVYNILIESLCKNNDVKAALSLMDEMKAKGVKPNTTTYNAVFKGLKENNLLEDAFILMDSMIEHGCSPDYITMEILTQWLSTVGESDKLKSFVQGHKVSTLTA >KJB48790 pep chromosome:Graimondii2_0_v6:8:19897428:19900953:-1 gene:B456_008G087900 transcript:KJB48790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTTQNELWWGRGSPNIEMDEHTFLVNRERAVDYLNSLDKVFVNDQFLNWDPQNRIKVRIVSARAYHSLFMHNMCIRPTDEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARKEMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGIDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDYGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHIREVEYGDKSVTENTRAAYPIEYIPNAKLPCIGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGSGNRIKLAYTRKIIDAIHSGSLLNATYEKTDVFGLEIPTEIEGVPSEILRPENTWGDKKAYKSTLLKLGGLFKNNFETFTNYKIGKDTKLTQEILAAGPNF >KJB48787 pep chromosome:Graimondii2_0_v6:8:19897423:19900982:-1 gene:B456_008G087900 transcript:KJB48787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGTGLPMARKPLAKIVTDDKQGNGMCHDDSAKGVKAHTIDELHFLQKKRSAPTTPLEGNQGAFASLPDDERRRQQLQSISASLASLTRGTGPKVVRGDPAAKSSQAVEHVAHHHHHHVEAPAISVSDDSSLKFTHVLYNLSPAELYEQAVKYEKGSFITSSGALATLSGAKTGRSPRDKRVVMDDTTQNELWWGRGSPNIEMDEHTFLVNRERAVDYLNSLDKVFVNDQFLNWDPQNRIKVRIVSARAYHSLFMHNMCIRPTDEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARKEMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGIDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDYGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHIREVEYGDKSVTENTRAAYPIEYIPNAKLPCIGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGSGNRIKLAYTRKIIDAIHSGSLLNATYEKTDVFGLEIPTEIEGVPSEILRPENTWGDKKAYKSTLLKLGGLFKNNFETFTNYKIGKDTKLTQEILAAGPNF >KJB48789 pep chromosome:Graimondii2_0_v6:8:19897428:19900770:-1 gene:B456_008G087900 transcript:KJB48789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGTGLPMARKPLAKIVTDDKQGNGMCHDDSAKGVKAHTIDELHFLQKKRSAPTTPLEGNQGAFASLPDDERRRQQLQSISASLASLTRGTGPKVVRGDPAAKSSQAVEHVAHHHHHHVEAPAISVSDDSSLKFTHVLYNLSPAELYEQAVKYEKGSFITSSGALATLSGAKTGRSPRDKRVVMDDTTQNELWWGRGSPNIEMDEHTFLVNRERAVDYLNSLDKVFVNDQFLNWDPQNRIKVRIVSARAYHSLFMHNMCIRPTDEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARKEMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGIDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDYGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHIREVEYGDKSVTENTRAAYPIEYIPNAKLPCIGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGR >KJB48788 pep chromosome:Graimondii2_0_v6:8:19897428:19899738:-1 gene:B456_008G087900 transcript:KJB48788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCGVVGRCIRPTDEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARKEMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGIDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDYGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHIREVEYGDKSVTENTRAAYPIEYIPNAKLPCIGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGSGNRIKLAYTRKIIDAIHSGSLLNATYEKTDVFGLEIPTEIEGVPSEILRPENTWGDKKAYKSTLLKLGGLFKNNFETFTNYKIGKDTKLTQEILAAGPNF >KJB47445 pep chromosome:Graimondii2_0_v6:8:3165578:3172900:1 gene:B456_008G026900 transcript:KJB47445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPMETIQDLIDEAKVRTLWLAMVIFIVTYFLSHTSTSMWMNLPIAVIIVSTFRVIANEVEFKWKVKSVHPRTYLSHLERKQLSVNDSRLSSSPPPPKWKQKIDSPIVEAALNEFIDKILRDFVINLWYSEITQDREAPELIRALILDAIAEITERVKEINLVDLLTRDIVDLIGDHLDLFRRNQAAIGVDVMATLSSEERDKRLKHHLMVSGELHPALLSPESEYKVIQQLFGGVLAVVLRPREAQCPLVRTIAREIVTCLVVLPLLNLASPRYINEVIEYVLLAIKEDLNKIVAGFDQSSVGVRDDGSMTCKTPSLNSQETDLNLARIDNQKETYPVCNRYEEEPVHHRPADWARKLEAATQRRTEVLAPENLENMWTKGRNYKKKESKYVKTGYQESIPKGSETKSGVLMGNSVNDFSRNKTRTSMGSEEKTMTQLEHGLSLDTQSCDDSMIDTKLAKSSSFEGDRHVNTFKNVSEKAADGNKIRLKRSSSTSDLKVGTDTKKALSVDVEGPIISECYGPDFDRHSEEYGGKIASNIVLRNEGPHIPKLRCRVIGAYFEKLASKSFAVYSISVTDAENRTWFVNRRYRNFERLHRHLKEIPNYSLHLPPKRIFSSSTEDAFVHQRCIHLDKYLQDLLSIPNVAEQHEVWDFLSVSSKNYSFGKSSSVMKTLAVSVDDAVDDIVRQFRGVSDGLMRKVVGSSSPPSEASSSATGRTLSWNADEIAKDISKHYNLDTVHSASDNEDGNKDGDHGYEDDGSYSQGLDMHLDNELNSKNLPPLGGSPKVKISATSSHLEDPVGMPPEWTPPNVSVPLLNLVDKVFQLKRRGWLRRQVFWISKQILQLVMEDAIDDWLLRQIYWLRKEETITLGIRWIQDLLWPGGKFFRAIGDIHSKFDNSNLNQTPIPLLSFSQFGGSNASKPGSFEQQLEATRRASDIKKMLFDGPPATLVGLIGYKQYRRCARDIYYFTQSAICIKQLAYAILERLLISVFPELRDLVMDLHAKNISTLHRK >KJB47444 pep chromosome:Graimondii2_0_v6:8:3165578:3172835:1 gene:B456_008G026900 transcript:KJB47444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPMETIQDLIDEAKVRTLWLAMVIFIVTYFLSHTSTSMWMNLPIAVIIVSTFRVIANEVEFKWKVKSVHPRTYLSHLERKQLSVNDSRLSSSPPPPKWKQKIDSPIVEAALNEFIDKILRDFVINLWYSEITQDREAPELIRALILDAIAEITERVKEINLVDLLTRDIVDLIGDHLDLFRRNQAAIGVDVMATLSSEERDKRLKHHLMVSGELHPALLSPESEYKVIQQLFGGVLAVVLRPREAQCPLVRTIAREIVTCLVVLPLLNLASPRYINEVIEYVLLAIKEDLNKIVAGFDQSSVGVRDDGSMTCKTPSLNSQETDLNLARIDNQKETYPVCNRYEEEPVHHRPADWARKLEAATQRRTEVLAPENLENMWTKGRNYKKKESKYVKTGYQESIPKGSETKSGVLMGNSVNDFSRNKTRTSMGSEEKTMTQLEHGLSLDTQSCDDSMIDTKLAKSSSFEGDRHVNTFKNVSEKAADGNKIRLKRSSSTSDLKVGTDTKKALSVDVEGPIISECYGPDFDRHSEEYGGKIASNIVLRNEGPHIPKLRCRVIGAYFEKLASKSFAVYSISVTDAENRTWFVNRRYRNFERLHRHLKEIPNYSLHLPPKRIFSSSTEDAFVHQRCIHLDKYLQDLLSIPNVAEQHEVWDFLSVSSKNYSFGKSSSVMKTLAVSVDDAVDDIVRQFRGVSDGLMRKVVGSSSPPSEASSSATGRTLSWNADEIAKDISKHYNLDTVHSASDNEDGNKDGDHGYEDDGSYSQGLDMHLDNELNSKNLPPLVIERGRESVNLIYEKHNLGVKTEPFGQGGSPKVKISATSSHLEDPVGMPPEWTPPNVSVPLLNLVDKVFQLKRRGWLRRQVFWISKQILQLVMEDAIDDWLLRQIYWLRKEETITLGIRWIQDLLWPGGKFFRAIGDIHSKFDNSNLNQTPIPLLSFSQFGGSNASKPGSFEQQLEATRRASDIKKMLFDGPPATLVGLIGYKQYRRCARDIYYFTQSAICIKQLAYAILERLLISVFPELRDLVMDLHAKNISTLHRK >KJB50303 pep chromosome:Graimondii2_0_v6:8:43027380:43033651:-1 gene:B456_008G162700 transcript:KJB50303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLSGIFSFLFNLFISLFFVSLFCRLIGRSTFPFFIHKVPIAKGSAFWIPVIQVIASFNFLLAIVMAVNFLKYKKSHWWLSCYVWAVWLEGPLGFGLLMSCRIAQAFQLYYVFVKRRLPPIRSHMFLPLILLPWVAGAAVIHEKKPLNYRCHFGTYWTIPVVCLHSLYVAVLMGFTRAIRHIEFRFHELRDLWQGILGSASVIGVWVFAYILNEIHDDISWLQVASRFMLLLTASILVLVFFSISIAQPLLSQISLRKREPLELKTMGQVLGIPESGLLLQREQAVDIDPNEPLDKLLLNKRFRQSFMAFADSCLAGESVHFFDEVHELGKIPIDDPVRRIYMARHIINKYIIAGAAMEVNISHRIRQEILATTDLTHPDLFTNALHELTQLMKMNLAKDYWSSMYFIKFKEEARMRSCEHEMEEMRGYDFSPRLSSVNATDDPFHQDHFSRGFTQHSHASYLR >KJB50304 pep chromosome:Graimondii2_0_v6:8:43027380:43033815:-1 gene:B456_008G162700 transcript:KJB50304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCAVRGGCPSDYVAVSIAIFSMILLIGRSTFPFFIHKVPIAKGSAFWIPVIQVIASFNFLLAIVMAVNFLKYKKSHWWLSCYVWAVWLEGPLGFGLLMSCRIAQAFQLYYVFVKRRLPPIRSHMFLPLILLPWVAGAAVIHEKKPLNYRCHFGTYWTIPVVCLHSLYVAVLMGFTRAIRHIEFRFHELRDLWQGILGSASVIGVWVFAYILNEIHDDISWLQVASRFMLLLTASILVLVFFSISIAQPLLSQISLRKREPLELKTMGQVLGIPESGLLLQREQAVDIDPNEPLDKLLLNKRFRQSFMAFADSCLAGESVHFFDEVHELGKIPIDDPVRRIYMARHIINKYIIAGAAMEVNISHRIRQEILATTDLTHPDLFTNALHELTQLMKMNLAKDYWSSMYFIKFKEEARMRSCEHEMEEMRGYDFSPRLSSVNATDDPFHQDHFSRGFTQHSHASYLR >KJB50302 pep chromosome:Graimondii2_0_v6:8:43027380:43031113:-1 gene:B456_008G162700 transcript:KJB50302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPLILLPWVAGAAVIHEKKPLNYRCHFGTYWTIPVVCLHSLYVAVLMGFTRAIRHIEFRFHELRDLWQGILGSASVIGVWVFAYILNEIHDDISWLQVASRFMLLLTASILVLVFFSISIAQPLLSQISLRKREPLELKTMGQVLGIPESGLLLQREQAVDIDPNEPLDKLLLNKRFRQSFMAFADSCLAGESVHFFDEVHELGKIPIDDPVRRIYMARHIINKYIIAGAAMEVNISHRIRQEILATTDLTHPDLFTNALHELTQLMKMNLAKDYWSSMYFIKFKEEARMRSCEHEMEEMRGYDFSPRLSSVNATDDPFHQDHFSRGFTQHSHASYLR >KJB53072 pep chromosome:Graimondii2_0_v6:8:56608091:56609476:-1 gene:B456_008G291900 transcript:KJB53072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSWRKKKPRSFNGDQKKELEIPRHFLCPITLDLMKDPVTLSSGITYDRESIEKWLDDGNFTCPVSNQVLRSFDQIPNHSLRKMIQEWCVENRSYGVERIPTPRIPVSSAEVSEVLFSIMDSTRRLDRCACLDSLHKLKKWGLESERNKRCIVANAAAAGAIAAAFDAFAGESVDKNINVLEEILFVINWMFPLTEQAQRYIGSQASLHCIALFLKSEDLSLKQNAITVLAELLSCNQKYTQALTTIDGINKTLFHFIDNPICPSITKPSLTLIYDMVSLSNENTTTEFINMGLIPLLLEIMIDSQKAICEKALALIDQLCETEQGRGFAYDDALAMPVLVKKILRVSELATEYSVSAIWKLSKGDEKALMEALQFGAFQKLLLLIQVGCNDKTKEKATELLKLLNPYRPGLECIDSLDFKNIKRSF >KJB51012 pep chromosome:Graimondii2_0_v6:8:48169664:48170291:1 gene:B456_008G197300 transcript:KJB51012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTSKDSIRLPPSGKIPNETPLPFTSPTYSHLQTLNPTTRLSPRNNGSSLYDSYELRAVTYQLNKAMRQPSGSSPAYLCYLKSPFYSQCVNRENSRKVLCSHLTCATININARNRRTKEVTRGFAAKLWNKVKQRLLRSNNMQSKELKGA >KJB51854 pep chromosome:Graimondii2_0_v6:8:52091526:52095556:-1 gene:B456_008G234600 transcript:KJB51854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAKAWMFSVVSVNLNGSVLSNKHVCWRWRSSAAQQSKPISWSLVFGLMLFSLGIVSLFTGHVVSDLDWYSRRLVKQKLYFKLDGSNKGPIDIWKSENGKSFYGCSKRSRKFPHAVREQSSNGYLLIAASGGLNQQRTGISDAVVVARVLNATLVVPELDHNSYWKDNSDFVNIFDVNWFISYLVKDVTIVKKVPDKFMRSMEKNPYSMRVPRKSPPEYYLDQVLPILKRRRVLQLTKFDYRLANNIDEELQKLRCRANFHALRFTKPIQELGQKLVLRMREMAIRFIAVHLRFEPDMLAFSGCYFGGGDKERYELAEIRKRWETLPDLDADGERSRGKCPLTPHEVGLMLRALGFANDTYIYVASGEIYGGEETLRPLRDLFPNFYTKEKLANEELKPFLPFSSRLAAIDYIVCDESDVFVTNNNGNMARILAGRR >KJB51853 pep chromosome:Graimondii2_0_v6:8:52090685:52095711:-1 gene:B456_008G234600 transcript:KJB51853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAKAWMFSVVSVNLNGSVLSNKHVCWRWRSSAAQQSKPISWSLVFGLMLFSLGIVSLFTGHVVSDLDWYSRRLVKQKLYFKLDGSNKGPIDIWKSENGKSFYGCSKRSRKFPHAVREQSSNGYLLIAASGGLNQQRTGISDAVVVARVLNATLVVPELDHNSYWKDNSDFVNIFDVNWFISYLVKDVTIVKKVPDKFMRSMEKNPYSMRVPRKSPPEYYLDQVLPILKRRRVLQLTKFDYRLANNIDEELQKLRCRANFHALRFTKPIQELGQKLVLRMREMAIRFIAVHLRFEPDMLAFSGCYFGGGDKERYELAEIRKRWETLPDLDADGERSRGKCPLTPHEVGLMLRALGFANDTYIYVASGEIYGGEETLRPLRDLFPNFYTKEKLANEELKPFLPFSSRLAAIDYIVCDESDVFVTNNNGNMARILAGRRRYMGHKRTIRPNTKRLSSLFMERDKMDWDTFARKVKAVQRGYMGEPDEMRPGRGEFHEYPYSCICKKNGDPESEQERGNQGLKNRSKEEEPVLGAKGDEQVFLI >KJB48332 pep chromosome:Graimondii2_0_v6:8:10242969:10243265:-1 gene:B456_008G063900 transcript:KJB48332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASKMGFPPDENLIKESEEKLGKVLDIYEERLSKNKYLAGDFFSLVDLSHLPFTQYLVGQMGKEYMTTSRKHVSAWWDDISSRPSWQKVLQLYAPPF >KJB48333 pep chromosome:Graimondii2_0_v6:8:10242665:10245139:-1 gene:B456_008G063900 transcript:KJB48333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASKMGFPPDENLIKESEEKLGKVLDIYEERLSKNKYLAGDFFSLVDLSHLPFTQYLVGQMGKEYMTTSRKHVSAWWDDISSRPSWQKVLQLYAPPF >KJB49638 pep chromosome:Graimondii2_0_v6:8:37613836:37616008:1 gene:B456_008G130700 transcript:KJB49638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGFYRASATVTSHLDCKAMPCSFYISEKPFIQHQPHEGSNLLRALKLPHTFLPIISNGNRKRNHLT >KJB51620 pep chromosome:Graimondii2_0_v6:8:51163784:51165647:-1 gene:B456_008G224900 transcript:KJB51620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLQYNRLCFHLKLAILWMFFSGAVFCIHVFASTTEVKTNSDAGKFIMKSYFENYESLHDSSFEDFMAHQLSSSLCQGIPDNWNVGVRLSVRELSLVGEGSHRHLSSSIRLQIGAASIPKLPAHLCEVIVIQRLPLGVFADPFELQNPHKHKAFRDIAVFGDTNLELPSFQSNRSAVEFHIDAGFNILFMQNNGTEFNLLLPLHARYQPLDESGYSVVEIGEPDMLMRCSVEGKQHKQSCLFMSPPNEKAKSTSATVAWKIPAGMKAHAGFVSVITFVTALLSTLSIVYASMFWSGTKVSKT >KJB51619 pep chromosome:Graimondii2_0_v6:8:51163711:51166286:-1 gene:B456_008G224900 transcript:KJB51619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLQYNRLCFHLKLAILWMFFSGAVFCIHVFASTTEVETNSDAGKFIMKSYFENYESLHDSSFEDFMAHQLSSSLCQGIPDNWNVGVRLSVRELSLVGEGSHRHLSSSIRLQIGAASIPKLPAHLCEVIVIQRLPLGVFADPFELQNPHKHKAFRDIAVFGDTNLELPSFQSNRSAVEFHIDAGFNILFMQNNGTEFNLLLPLHARYQPLDESGYSVVEIGEPDMLMRCSVEGKQHKQSCLFMSPPNEKAKSTSATVAWKIPAGMKAHAGFVSVITFVTALLSTLSIVYASMFWSGTKVSKT >KJB52828 pep chromosome:Graimondii2_0_v6:8:55675967:55677645:1 gene:B456_008G279700 transcript:KJB52828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHCFKTSLVGRQIVVSTDPDVNHFIFQQEGKLVQSCHGFLHKYLRNLILNLFGSESLKTKHVSEIEELTSKHLQLWSCQQPSVELKQAISTMIYDFTVRKLFSFLGCYSAFLDGLISFPLNIPGPAYWKCLQGHKDFLDVVLEEMNKAGTILSEQTALDLLFALPFAAFESASSAVVLALQYLQSNPLAQVELTQEHETILRERETKDSGITWKEYKSMTVTHMVNETIRLGNIVPAIFRKVVKDIEIKDYKIPAGWIILACTLAGRELNAGSKFFMGFGSVVRLCAGAEFVKLQISIFLHHLVTNYRWTVIKEGKAVGQPGLLLPDGFHVQILNKKFI >KJB46896 pep chromosome:Graimondii2_0_v6:8:128558:150463:-1 gene:B456_008G000600 transcript:KJB46896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) UniProtKB/Swiss-Prot;Acc:Q9FN08] MKIFFLFLLFCFFTSLFNSCFAGNVTYDRRSLIIDGQRKLLISAAIHYPRSVPAMWPGLVQTAKEGGVDVIESYVFWNGHELSPGKYNFEGRYDLVKFVKIVQQAGMYMILRIGPFVAAEWNFGGVPAWLHYVPGTVFRTDNEPFKYYMRNFTTFIVNLMKQEKLFAPQGGPIILAQVENEYGYYEKFYGEGAKRYVTWAARMAVSQNIGVPWIMCQQDDAPDPVINTCNSFYCDQFTPNSPNKPKIWTENWPGWFKTFGARDPHRPAEDVAFSVARFFQKGGSVHNYYMYHGGTNFGRTSGGPFITTSYDYEAPIDEYGLPRLPKWGHLKELHKAIKLSEHALLKSEPTTLSLGPSQEADVYDDGSEVCAAFLANLDDKTDKNVVFRNVSYHLPSWSVSILPDCKNVVFNTAKIGSQASLVEMMPEELTPSVTSPSKRLKALKWDVFVENAGIWGVADFAKNDFVDHINTTKDTTDYLWYTTSIIVGENEEFLKKASHPLLLIESKGHALHAFVNQERQGSASGNGSHSPFKFESPISLKAGKNEIALLSMTVGLQNAGGLYEWVGAGLTSVKIEGLNNGTMDLSMSRWTYKIGLQGEHLSIYKPDGLNSINWVSTSEPPKNQPLTWYKVVVDSPSGNEPVGLDMIHMGKGLAWLNGEEIGRYWPIKSSEHEKCVEECDYRGKFFPDKCLTGCGEPTQRWYHVPRSWFKPSGNILVIFEEKGGDPTKITFSKRKISGLCSLIAEDFPMVDQAPVRKGGSGKSAAHLKCPKNTLISNVKFASFGNPTGKCGSYSMGKCHDPNSTLAVEKVCLGKNECAIELTEENFDKGLCPGTTKRLAIEAVCS >KJB46895 pep chromosome:Graimondii2_0_v6:8:128515:150657:-1 gene:B456_008G000600 transcript:KJB46895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) UniProtKB/Swiss-Prot;Acc:Q9FN08] MKIFFLFLLFCFFTSLFNSCFAGNVTYDRRSLIIDGQRKLLISAAIHYPRSVPAMWPGLVQTAKEGGVDVIESYVFWNGHELSPGKYNFEGRYDLVKFVKIVQQAGMYMILRIGPFVAAEWNFGGVPAWLHYVPGTVFRTDNEPFKYYMRNFTTFIVNLMKQEKLFAPQGGPIILAQVENEYGYYEKFYGEGAKRYVTWAARMAVSQNIGVPWIMCQQDDAPDPVINTCNSFYCDQFTPNSPNKPKIWTENWPGWFKTFGARDPHRPAEDVAFSVARFFQKGGSVHNYYMYHGGTNFGRTSGGPFITTSYDYEAPIDEYGLPRLPKWGHLKELHKAIKLSEHALLKSEPTTLSLGPSQEADVYDDGSEVCAAFLANLDDKTDKNVVFRNVSYHLPSWSVSILPDCKNVVFNTAKIGSQASLVEMMPEELTPSVTSPSKRLKALKWDVFVENAGIWGVADFAKNDFVDHINTTKDTTDYLWYTTSIIVGENEEFLKKASHPLLLIESKGHALHAFVNQERQGSASGNGSHSPFKFESPISLKAGKNEIALLSMTVGLQNAGGLYEWVGAGLTSVKIEGLNNGTMDLSMSRWTYKIGLQGEHLSIYKPDGLNSINWVSTSEPPKNQPLTWYKVVVDSPSGNEPVGLDMIHMGKGLAWLNGEEIGRYWPIKSSEHEKCVEECDYRGKFFPDKCLTGCGEPTQRWYHVPRSWFKPSGNILVIFEEKGGDPTKITFSKRKISGLCSLIAEDFPMVDQAPVRKGGSGKSAAHLKCPKNTLISNVKFASFGNPTGKCGSYSMGKCHDPNSTLAVEKVCLGKNECAIELTEENFDKGLCPGTTKRLAIEAVCS >KJB46897 pep chromosome:Graimondii2_0_v6:8:132174:150463:-1 gene:B456_008G000600 transcript:KJB46897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) UniProtKB/Swiss-Prot;Acc:Q9FN08] MKIFFLFLLFCFFTSLFNSCFAGNVTYDRRSLIIDGQRKLLISAAIHYPRSVPAMWPGLVQTAKEGGVDVIESYVFWNGHELSPGKYNFEGRYDLVKFVKIVQQAGMYMILRIGPFVAAEWNFGGVPAWLHYVPGTVFRTDNEPFKYYMRNFTTFIVNLMKQEKLFAPQGGPIILAQVENEYGYYEKFYGEGAKRYVTWAARMAVSQNIGVPWIMCQQDDAPDPVINTCNSFYCDQFTPNSPNKPKIWTENWPGWFKTFGARDPHRPAEDVAFSVARFFQKGGSVHNYYMYHGGTNFGRTSGGPFITTSYDYEAPIDEYGLPRLPKWGHLKELHKAIKLSEHALLKSEPTTLSLGPSQEADVYDDGSEVCAAFLANLDDKTDKNVVFRNVSYHLPSWSVSILPDCKNVVFNTAKIGSQASLVEMMPEELTPSVTSPSKRLKALKWDVFVENAGIWGVADFAKNDFVDHINTTKDTTDYLWYTTSIIVGENEEFLKKASHPLLLIESKGHALHAFVNQERQGSASGNGSHSPFKFESPISLKAGKNEIALLSMTVGLQNAGGLYEWVGAGLTSVKIEGLNNGTMDLSMSRWTYKIGLQGEHLSIYKPDGLNSINWVSTSEPPKNQPLTWYKVVVDSPSGNEPVGLDMIHMGKGLAWLNGEEIGRYWPIKSSEHEKCVEECDYRGKFFPDKCLTGCGEPTQRWYHVPRSWFKPSGNILVIFEEKGGDPTKITFSKRKISGLCSLIAEDFPMVDQAPVRKGGSGKSAAHLKCPKNTLISNVKFASFGNPTGKCGSYSMGKCHDPNSTLAVEKVRLLQLQLYKWVKNHR >KJB48390 pep chromosome:Graimondii2_0_v6:8:10810746:10814548:-1 gene:B456_008G066700 transcript:KJB48390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFTAIALDRLIEPGASKSVDKSGPNMKPPIPKPKLIPNSKLERMNSTSVTERKINHPQISSPALYATPEATPLPDSPSSFPPSPYIINHKRRGPRLLKSFSENNVSSQKKTIEESEVNGKAKLTETKSVDLSNDGSFNFSIHEPDEEGHGNGVNNGPIKVEQANGVHSGSIQDERRNGVHDVGFGSSNEKVGKSQMNNGMPSDIAEVKLHLLNLDRYDESEDFFDPKESMSATTNTDVDDDIGAESAARLATSGVEFFDAWDELSSESGSQSIHRDTEAEAREIRLSLLMEIEKRKQAEEALNKMLHMWKRISQELAVVGLSLPADPIDVTDDELVNQAEELRQQADVARFVSLTVGRGIARAEMEMEMEAQIDSKNFEIARLLDRLHYYEAVNQEMSQRNQEAVEMARRERQKKKRRQRWVWGSIATAITLGTAALAWSYLPTGKGSSSGNVPQASNPDDAAK >KJB53242 pep chromosome:Graimondii2_0_v6:8:57045889:57049432:-1 gene:B456_008G298100 transcript:KJB53242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTCKRKQLQLDGRSRKTPKLTTEEPYPCHHRPTAEECRAVRDELLALHGFPPEFLKYRRHRLMKMEPFSNEAQSEPLINSDDGDHKEESVLDGLIKIVLSQNTTELNSQKAFASLKSVFPTWEDVYAAETKSLENAIRYGGLAPRKASCIKNVLSCLHERKGKLCLEYLRDLSVAEIKSELSNFKGVGPKTVACVLMFNLQQDDFPVDTHVFEIARAIGWVPAVADRNKTYLHLNRRIPNELKFDLNCLLYTHGKLCRKCTMKGSSQKKLTSKDCSCPLSVNVVEG >KJB53241 pep chromosome:Graimondii2_0_v6:8:57047212:57049333:-1 gene:B456_008G298100 transcript:KJB53241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTCKRKQLQLDGRSRKTPKLTTEEPYPCHHRPTAEECRAVRDELLALHGFPPEFLKYRRHRLMKMEPFSNEAQSEPLINSDDGDHKEESVLDGLIKIVLSQNTTELNSQKAFASLKSVFPTWEDVYAAETKSLENAIRYGGLAPRKASCIKNVLSCLHERKGKLCLEYLRDLSVAEIKSELSNFKGVGPKTNVVRPFSGAYY >KJB49362 pep chromosome:Graimondii2_0_v6:8:34779081:34784136:-1 gene:B456_008G115200 transcript:KJB49362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMRRIENDTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLFEFASSSMQQTVERYRRHTKDNETNKPIEQNLQHLKTESANMLKALEDLEVSRRKLLGENLGSCTLEELQEIEQQLEKSVSIIRARKTQIFRDQIEQLKEKEKALAAENEKLCEKCGTKSWKGLSEQEDNVPYDESSPSSDVETELFIGLPEGRTRRIAQLN >KJB49363 pep chromosome:Graimondii2_0_v6:8:34779152:34783978:-1 gene:B456_008G115200 transcript:KJB49363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMRRIENDTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLFEFASSSMQQTVERYRRHTKDNETNKPIEQNLQHLKTESANMLKALEDLEVSRRKLLGENLGSCTLEELQEIEQQLEKSVSIIRARKTQIFRDQIEQLKEKEKALAAENEKLCEKLFMSQCGTKSWKGLSEQEDNVPYDESSPSSDVETELFIGLPEGRTRRIAQLN >KJB50551 pep chromosome:Graimondii2_0_v6:8:45248374:45250924:-1 gene:B456_008G176100 transcript:KJB50551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFKKLLSTKHGIIGAQKYCRATFCSILHSAVDHSVEVHSPDQEVVIALGSNVGDRLNNFNQALQLMRKSGIHITKHGCLYETAPAYVTDQPRFLNSAVRAVTKLGPHELLGVLKTIERNMGRSGGIRYGPRPIDLDILFYGKYQIGSDKLTVPHERIWERPFVMAPLMDLLGLVIDSDTVACWHSLSTDSDGLFGLWDKLGGESLIGKEGMKRVLPIRNHLWEWSKKTSVMGILNLTPDSFSDGGKFVSVQNAVSHVRSMISEGADIIDIGAQSTRPMASRISAEEELDRLIPVLEAVLEMPEMEEKLVSVDTFYSDVASEAVKKGAHLVNDVSAGQLDPNMLKMVASLDVPYIAMHMRGDPTTMQSRDNLQYSDVCSQVASELFSRVKDAELSGVPAWRIILDPGIGFSKKTEHNLDILTGLPVIRSEIAKRSLAASHAPLLIGPSRKRFLGEICNRPAAGERDPATIASVTAGIFGGANIVRVHNVRDNVDAVKVCDAMLKERSIQL >KJB50550 pep chromosome:Graimondii2_0_v6:8:45248720:45250610:-1 gene:B456_008G176100 transcript:KJB50550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFKKLLSTKHGIIGAQKYCRATFCSILHSAVDHSVEVHSPDQEVVIALGSNVGDRLNNFNQALQLMRKSGIHITKHGCLYETAPAYVTDQPRFLNSAVRAVTKLGPHELLGVLKTIERNMGRSGGIRYGPRPIDLDILFYGKYQIGSDKLTVPHERIWERPFVMAPLMDLLGLVIDSDTVACWHSLSTDSDGLFGLWDKLGGESLIGKEGMKRVLPIRNHLWEWSKKTSVMEGADIIDIGAQSTRPMASRISAEEELDRLIPVLEAVLEMPEMEEKLVSVDTFYSDVASEAVKKGAHLVNDVSAGQLDPNMLKMVASLDVPYIAMHMRGDPTTMQSRDNLQYSDVCSQVASELFSRVKDAELSGVPAWRIILDPGIGFSKKTEHNLDILTGLPVIRSEIAKRSLAASHAPLLIGPSRKRFLGEICNRPAAGERDPATIASVTAGIFGGANIVRVHNVRDNVDAVKVCDAMLKERSIQL >KJB50549 pep chromosome:Graimondii2_0_v6:8:45248351:45250924:-1 gene:B456_008G176100 transcript:KJB50549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFKKLLSTKHGIIGAQKYCRATFCSILHSAVDHSVEVHSPDQEVVIALGSNVGDRLNNFNQALQLMRKSGIHITKHGCLYETAPAYVTDQPRFLNSAVRAVTKLGPHELLGVLKTIERNMGRSGGIRYGPRPIDLDILFYGKYQIGSDKLTVPHERIWERPFVMAPLMDLLGLVIDSDTVACWHSLSTDSDGLFGLWDKLGGESLIGKEGMKRVLPIRNHLWEWSKKTSVMGADIIDIGAQSTRPMASRISAEEELDRLIPVLEAVLEMPEMEEKLVSVDTFYSDVASEAVKKGAHLVNDVSAGQLDPNMLKMVASLDVPYIAMHMRGDPTTMQSRDNLQYSDVCSQVASELFSRVKDAELSGVPAWRIILDPGIGFSKKTEHNLDILTGLPVIRSEIAKRSLAASHAPLLIGPSRKRFLGEICNRPAAGERDPATIASVTAGIFGGANIVRVHNVRDNVDAVKVCDAMLKERSIQL >KJB48527 pep chromosome:Graimondii2_0_v6:8:13301486:13302135:-1 gene:B456_008G074100 transcript:KJB48527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVAIRKSQAIRVMKRKTVRMMAKWRIAMKMMKRITRKIWKKVKKISALAKWNVQYNFHFLIK >KJB47069 pep chromosome:Graimondii2_0_v6:8:1064823:1069445:-1 gene:B456_008G009500 transcript:KJB47069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYGRNPGRNGSQSVHQPEWSPAGPGTGLEESMWQLSLRGPESYPERPGVPDCVYYMRTGLCGYGSRCRYNHPRNRAAVEAAVRATGEYPERPGEPACQFYLKTGTCKFGASCKFHHPKHGGGSFSHVPLNIYGYPLRPGEEECSYYLKMGQCKFGVTCKFHHPQPAGTSMPVSAPQFYQPVQSPSVPMPEQYGGASTSVRVARPPLLPGSYVQGAYGPVLFSPGVVPIPGWSHYSAPVSPVLSPGAQPGVGATSLYGLTQLSSSTPSLAGPYPSLPSSTGPSSSNQKDQTFPERPGEPECQYYLRTGDCKFGSTCRYHHPRDRVVPRTNCILSPMGLPLRPGVQPCSFYLQNGHCKFGSTCKFDHPVGPMRYNPSASSFIDMPVAPYQVGSLLATLAPSSSSSDLRPELISSKKDSYLSRIPSSASTSSSSVGLIFSQTGSVPLSDLQLSSQSSVPLSSSRSTRQGGEVRRTN >KJB50418 pep chromosome:Graimondii2_0_v6:8:44410238:44413055:-1 gene:B456_008G169800 transcript:KJB50418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSRQTDSSNEMKPLRKKSVEFLIRSSHQLRASPIVKYSALSLFADRFLPSLTTLIKMRNKIGSWLLRSMEESNLQLFSLISIWISSKIHDSRALSVKCLKSLGDEFIKDQHFTIRDFVEAEVVFLQVASYVVTVPKQQWEFPVLPWVKFVTSYKEEDIVEKVKDILTHVFEPHS >KJB50419 pep chromosome:Graimondii2_0_v6:8:44410250:44413055:-1 gene:B456_008G169800 transcript:KJB50419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSRQTDSSNEMKPLRKKSVEFLIRSSHQLRASPIVKYSALSLFADRFLPSLTTLIKMRNKIGSWLLRSMEESNLQLFSLISIWISSKIHDSRALSVKCLKSLGDEFIKDQHFTIRDFVEAEVVFLQVLNFEIGISNVAFIFLEEFFIQFKGVAMVGGLVSFEACMDVMDLLYEKEETSLLFSAPRSLAASILVASYVVTVPKQQWEFPVLPWVKFVTSYKEEDIVEKVKDILTHVFEPHS >KJB50766 pep chromosome:Graimondii2_0_v6:8:46675337:46677003:1 gene:B456_008G186600 transcript:KJB50766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLLKKLYYSTLACSGPTNSNLISCYFSLINSSPNCKHLRHLHARLLRTSLYDNVVLSSRLVLAYSRHKHLVPYSLSVFFHMPQRNIYSWNIIIGEFSRSNSPCQAIHLFLHMWQSSNVRPDDFTLPLVLRACVGCGLVKLAVSFHGLCVKLGFERSPFVASALVFLYVSFGKIFYARVLFDGMPKRDAVMWTAMLDGYAKHEEPTLGLELFREMVDAGVTPDWVVMLSLVLMCGQLGWLKHGKSVHGWCVRRWLGMELNLGNAIVDMYLKCAMLTYAHRVFDMMNQRDVISWSSLILGYGLSGNVTTALELFEDMIAKGIKPNEVTFLGILSACAHGGEAEIATSCFEMMRDYGVTPELKHYASMVDCLARAGLLELAEDFIKGMPMEPDAAILGAIVAGCRVHNNVEVGERIAKKLISLEPEKAGYYVLLSNIYAAASKFDEAEKVRELMKGKNVSKVAGCSLIESKTWLSSSPKQ >KJB51369 pep chromosome:Graimondii2_0_v6:8:50080494:50081836:-1 gene:B456_008G213900 transcript:KJB51369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLSTCEHIFSCNHENPGTISSAFTCLKSCLTEHWGDLPLKVDDSQDMIIYDSLNQALNYGWSPVPPLYSTVTTAVKSEPQIMMMTPFMEPNPSELTTQPIDAAAARNCLYLEKQENKWFRNYENEKVEKGRHYRGVRKRPWGKFAAEIRDPARNGARVWLGTYETAMEAALAYDRAAYKMRGYRALLNFPQWIGNKGPEPVRTTPTRRREDDESAWYNSSSPKRKRSSLESI >KJB49487 pep chromosome:Graimondii2_0_v6:8:36002852:36004962:-1 gene:B456_008G121500 transcript:KJB49487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGILEVLIVNAEDIRHTNLIGRPAYYVILQCGTKEYRTKISPGKHKKVLWNEKFKFDFPLSEWKNLTHIKFRIMDKEFFKNNGFVGETRIHLGGIIIEGTDKGFIVVRPAPYNVVLEDDTYKGQIKIGFKFIAKVSLLRPQVLISIYS >KJB46821 pep chromosome:Graimondii2_0_v6:8:13867996:13875682:1 gene:B456_008G075400 transcript:KJB46821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGGGNKFVSVNLNKSYGQQPSKQHYHSPHSGSYVSNRTRTGAGSGGMVVLSRPRSSQKTGSKLSVPPPLNLPSLRKEHERFDSLGSSGVPASGGVSVTGPRPGSSGMGWAKPGSVAWQEKEGLVGGDDHVNDRVGRLNADDGMTKASSGVYMPPSVRSGSTSSTSASAIGFPPLDKATVLKREEFPSLQAALPVVSGAERKQTDGLNQKQKQLAMAGQELSDKHGDRSQSITVIDMLPQLQSGRIAVDNGLSENGGEARSTSGSRLLEQGRKQDEYFPGPLPLVSLNPRSDWADDERDTGHVSDHRRDQGYSKTEAYWDRDFNMPRAGVLPHKPAHGLFDRRGLHDNDTGRTLSSEVAKLDPYGMDAKLPSREGREGNAWRASSPLPKDGIGSQEIARDRNSVGTRPSSMNREKENKYISSPFRDNAQGDNGRRDLGYGNGGRQAWNNSADSFSSRGSERNTRERYGNEQYNRHKGDAFQNSFLSKPSFPLGGKALPVNDPILNFSREKRPLSKNEKSYLEDPLMKDFGATRFDGWDPFSANLVGVVKRKKDTVKQTDFHDPVRESFEAELERVQKMQEQERQRIIEEQERALEQARREEEERLRLAREQEEQQRRLEEEAREAAWRAEQERLEALRRAEEQRIAREEEKRRIVMEEERRKQAAKQKLIELEERIAKRQAEGVKGGNNFSTGVDEKLPGMAKERDVSKATGVGDWENGERMVERITTSASSDSSGLNRSFELTSRPNFSNASSSFSDRDKPYNSWRRDVFEYGNSSAFTGQETENGHHSPRQDVSAGSRPYSRKEFQGAPPYMSSRPHYRAGVSETSLDNFGQPKGQRWNVSGAGVDHYGRSAEFGSEYHENLSDNYGDVTWGQRSRGNIYPPYPERFYHNPEDDGLYSFGRSRYSVRQPRVLPPPSLSPMQKSSYRGENEHPGPSTFLENEIQYNQATRCGSSMENVYDDGQQDDLGHHGIIDNQRCNTDNEAQKLDGNATRCDSQSSLSVSSPPDSPVHLSHDDLDESGGSTVLSAEENKEVNLSRPEIEPLVLPTEAGKQDVRTASSSISAGDDEEWTVDNNEQLQEQEEYDEDEDGYQEEDEVHEGDDGNIDLTRELDELHLEDKETPDMMDNLVLGFNEGVEVGMPNDEFERSSRKDSSYAIKQIPIGPLEENISLDGMHSDTKILQSMDAPCQEGLDSSSRIFQETENEVQDLVIQPNTSLQAAAASKLIDHVDVTGGSGMSTEHNLPDSVNTASHSSSSQSSLPTTSVPNHTEVPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVCPPSLTQMHPTQPPLFQFGQLRYTSPISQGVLPLAPQSVSFVQPNVRGNFSLNLNPGVPLPVQPSQDTSGLNMMKSEVSSPLDNQSGLPRSLDLSQGNVLNEGSSTPAGESRKSVVAQHGNVEISNIGDNAARSESGFSSEDQGHKNSVHRNFKALSNKHSEGELQTVLTSSHLAAKEKDISGLRGQTYGIRGKKYVFTVKGSNSRSAFLASEASHQDSSGYQRRPRRARAEFRIRENSEKKHSSGMVSSDHPNQVLPNSSTNGRGTGFSARNRMRKVVVNKSKQTIEFECSNSAPSSSQEIDSGNRNEKGLGKDSLMRIQNIPHSGKGNLKRNIEEDVDAPLQSGIVRVYEQPGIEAAGDEDDFIEVRSKRQVLNDRREQREKEIKAKSRVAKPPRKLRSIPQSSNRNSASASGVMNNVRSDLVTTEGRNLANSELSAAFSATIVSQPLAPIGTPATKTDAQADIRTQAVKSLHTSSLQATSGGGQSLVSGLMFESKNKIFDNVQASLGSWGNSCINQKVMTLTQTQLDDAMKPVQFDTCAPAGDSNSSVTDPSMPSSSLLLKDKSFSSAASPINSLLAGEKIQFGAVTSPTVIPPSSRAVSHGIGPPGPSRSEIQIPRNLSAAENDCTLFFEKEKHPVESCVHMEDCEAEAAASAVAVAAITSDEIVGNGMSTCIVSASDNKGFGAAAIDVINTGDGGQKLASQSKAEESLSVSLPADLSVENPPISLWPPLPSPQNSSSQIISHFPGGPPSHFPFYDMNPMMGGPIFAFGPHEESSSTQSQSQKSSTPASGPLGTWQQCHSGVDSFYGPPTGFTGHFITPPGGIPGVQGPPHMVVYNHFAPVGQFGLSFMGTTYIPSGKQPDWKHNPASSAVGEGDVNNLNMATSQGNSSNIPAQMQHLPPGPGSPLLPIASPLAMFDVSPFQVKVFQIYLFIEY >KJB46819 pep chromosome:Graimondii2_0_v6:8:13867525:13877207:1 gene:B456_008G075400 transcript:KJB46819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGGGNKFVSVNLNKSYGQQPSKQHYHSPHSGSYVSNRTRTGAGSGGMVVLSRPRSSQKTGSKLSVPPPLNLPSLRKEHERFDSLGSSGVPASGGVSVTGPRPGSSGMGWAKPGSVAWQEKEGLVGGDDHVNDRVGRLNADDGMTKASSGVYMPPSVRSGSTSSTSASAIGFPPLDKATVLKREEFPSLQAALPVVSGAERKQTDGLNQKQKQLAMAGQELSDKHGDRSQSITVIDMLPQLQSGRIAVDNGLSENGGEARSTSGSRLLEQGRKQDEYFPGPLPLVSLNPRSDWADDERDTGHVSDHRRDQGYSKTEAYWDRDFNMPRAGVLPHKPAHGLFDRRGLHDNDTGRTLSSEVAKLDPYGMDAKLPSREGREGNAWRASSPLPKDGIGSQEIARDRNSVGTRPSSMNREKENKYISSPFRDNAQGDNGRRDLGYGNGGRQAWNNSADSFSSRGSERNTRERYGNEQYNRHKGDAFQNSFLSKPSFPLGGKALPVNDPILNFSREKRPLSKNEKSYLEDPLMKDFGATRFDGWDPFSANLVGVVKRKKDTVKQTDFHDPVRESFEAELERVQKMQEQERQRIIEEQERALEQARREEEERLRLAREQEEQQRRLEEEAREAAWRAEQERLEALRRAEEQRIAREEEKRRIVMEEERRKQAAKQKLIELEERIAKRQAEGVKGGNNFSTGVDEKLPGMAKERDVSKATGVGDWENGERMVERITTSASSDSSGLNRSFELTSRPNFSNASSSFSDRDKPYNSWRRDVFEYGNSSAFTGQETENGHHSPRQDVSAGSRPYSRKEFQGAPPYMSSRPHYRAGVSETSLDNFGQPKGQRWNVSGAGVDHYGRSAEFGSEYHENLSDNYGDVTWGQRSRGNIYPPYPERFYHNPEDDGLYSFGRSRYSVRQPRVLPPPSLSPMQKSSYRGENEHPGPSTFLENEIQYNQATRCGSSMENVYDDGQQDDLGHHGIIDNQRCNTDNEAQKLDGNATRCDSQSSLSVSSPPDSPVHLSHDDLDESGGSTVLSAEENKEVNLSRPEIEPLVLPTEAGKQDVRTASSSISAGDDEEWTVDNNEQLQEQEEYDEDEDGYQEEDEVHEGDDGNIDLTRELDELHLEDKETPDMMDNLVLGFNEGVEVGMPNDEFERSSRKDSSYAIKQIPIGPLEENISLDGMHSDTKILQSMDAPCQEGLDSSSRIFQETENEVQDLVIQPNTSLQAAAASKLIDHVDVTGGSGMSTEHNLPDSVNTASHSSSSQSSLPTTSVPNHTEVPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVCPPSLTQMHPTQPPLFQFGQLRYTSPISQGVLPLAPQSVSFVQPNVRGNFSLNLNPGVPLPVQPSQDTSGLNMMKSEVSSPLDNQSGLPRSLDLSQGNVLNEGSSTPAGESRKSVVAQHGNVEISNIGDNAARSESGFSSEDQGHKNSVHRNFKALSNKHSEGELQTVLTSSHLAAKEKDISGLRGQTYGIRGKKYVFTVKGSNSRSAFLASEASHQDSSGYQRRPRRARAEFRIRENSEKKHSSGMVSSDHPNQVLPNSSTNGRGTGFSARNRMRKVVVNKSKQTIEFECSNSAPSSSQEIDSGNRNEKGLGKDSLMRIQNIPHSGKGNLKRNIEEDVDAPLQSGIVRVYEQPGIEAAGDEDDFIEVRSKRQVLNDRREQREKEIKAKSRVAKPPRKLRSIPQSSNRNSASASGVMNNVRSDLVTTEGRNLANSELSAAFSATIVSQPLAPIGTPATKTDAQADIRTQAVKSLHTSSLQATSGGGQSLVSGLMFESKNKIFDNVQASLGSWGNSCINQKVMTLTQTQLDDAMKPVQFDTCAPAGDSNSSVTDPSMPSSSLLLKDKSFSSAASPINSLLAGEKIQFGAVTSPTVIPPSSRAVSHGIGPPGPSRSEIQIPRNLSAAENDCTLFFEKEKHPVESCVHMEDCEAEAAASAVAVAAITSDEIVGNGMSTCIVSASDNKGFGAAAIDVINTGDGGQKLASQSKAEESLSVSLPADLSVENPPISLWPPLPSPQNSSSQIISHFPGGPPSHFPFYDMNPMMGGPIFAFGPHEESSSTQSQSQKSSTPASGPLGTWQQCHSGVDSFYGPPTGFTGHFITPPGGIPGVQGPPHMVVYNHFAPVGQFGLSFMGTTYIPSGKQPDWKHNPASSAVGEGDVNNLNMATSQGNSSNIPAQMQHLPPGPGSPLLPIASPLAMFDVSPFQSTSDVSVQARWSPVPASPMQSATPSIPLQQQAEGVLHSQFSQGPPLDQSLTNNRFPESRTSTSSDCSRKFPVATDATITQLPDELGLVEPSSSSTIPAASAPQDAKSPSLIKVADAAKNDVLNNDGIKSSGHSTNSALKSVQSSSQQKNISSQHYGNSSWYNHPRGNAISQKNGSGEWTHRRMGFQVRNQSMGGDKNFPTSKMKQIYVAKQTNNGTSTSS >KJB46822 pep chromosome:Graimondii2_0_v6:8:13867594:13877131:1 gene:B456_008G075400 transcript:KJB46822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGGGNKFVSVNLNKSYGQQPSKQHYHSPHSGSYVSNRTRTGAGSGGMVVLSRPRSSQKTGSKLSVPPPLNLPSLRKEHERFDSLGSSGVPASGGVSVTGPRPGSSGMGWAKPGSVAWQEKEGLVGGDDHVNDRVGRLNADDGMTKASSGVYMPPSVRSGSTSSTSASAIGFPPLDKATVLKREEFPSLQAALPVVSGAERKQTDGLNQKQKQLAMAGQELSDKHGDRSQSITVIDMLPQLQSGRIAVDNGLSENGGEARSTSGSRLLEQGRKQDEYFPGPLPLVSLNPRSDWADDERDTGHVSDHRRDQGYSKTEAYWDRDFNMPRAGVLPHKPAHGLFDRRGLHDNDTGRTLSSEVAKLDPYGMDAKLPSREGREGNAWRASSPLPKDGIGSQEIARDRNSVGTRPSSMNREKENKYISSPFRDNAQGDNGRRDLGYGNGGRQAWNNSADSFSSRGSERNTRERYGNEQYNRHKGDAFQNSFLSKPSFPLGGKALPVNDPILNFSREKRPLSKNEKSYLEDPLMKDFGATRFDGWDPFSANLVGVVKRKKDTVKQTDFHDPVRESFEAELERVQKMQEQERQRIIEEQERALEQARREEEERLRLAREQEEQQRRLEEEAREAAWRAEQERLEALRRAEEQRIAREEEKRRIVMEEERRKQAAKQKLIELEERIAKRQAEGVKGGNNFSTGVDEKLPGMAKERDVSKATGVGDWENGERMVERITTSASSDSSGLNRSFELTSRPNFSNASSSFSDRDKPYNSWRRDVFEYGNSSAFTGQETENGHHSPRQDVSAGSRPYSRKEFQGAPPYMSSRPHYRAGVSETSLDNFGQPKGQRWNVSGAGVDHYGRSAEFGSEYHENLSDNYGDVTWGQRSRGNIYPPYPERFYHNPEDDGLYSFGRSRYSVRQPRVLPPPSLSPMQKSSYRGENEHPGPSTFLENEIQYNQATRCGSSMENVYDDGQQDDLGHHGIIDNQRCNTDNEAQKLDGNATRCDSQSSLSVSSPPDSPVHLSHDDLDESGGSTVLSAEENKEVNLSRPEIEPLVLPTEAGKQDVRTASSSISAGDDEEWTVDNNEQLQEQEEYDEDEDGYQEEDEVHEGDDGNIDLTRELDELHLEDKETPDMMDNLVLGFNEGVEVGMPNDEFERSSRKDSSYAIKQIPIGPLEENISLDGMHSDTKILQSMDAPCQEGLDSSSRIFQETENEVQDLVIQPNTSLQAAAASKLIDHVDVTGGSGMSTEHNLPDSVNTASHSSSSQSSLPTTSVPNHTEVPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVCPPSLTQMHPTQPPLFQFGQLRYTSPISQGVLPLAPQSVSFVQPNVRGNFSLNLNPGVPLPVQPSQDTSGLNMMKSEVSSPLDNQSGLPRSLDLSQGNVLNEGSSTPAGESRKSVVAQHGNVEISNIGDNAARSESGFSSEDQGHKNSVHRNFKALSNKHSEGELQTVLTSSHLAAKEKDISGLRGQTYGIRGKKYVFTVKGSNSRSAFLASEASHQDSSGYQRRPRRARAEFRIRENSEKKHSSGMVSSDHPNQVLPNSSTNGRGTGFSARNRMRKVVVNKSKQTIEFECSNSAPSSSQEIDSGNRNEKGLGKDSLMRIQNIPHSGKGNLKRNIEEDVDAPLQSGIVRVYEQPGIEAAGDEDDFIEVRSKRQVLNDRREQREKEIKAKSRVAKPPRKLRSIPQSSNRNSASASGVMNNVRSDLVTTEGRNLANSELSAAFSATIVSQPLAPIGTPATKTDAQADIRTQAVKSLHTSSLQATSGGGQSLVSGLMFESKNKIFDNVQASLGSWGNSCINQKVMTLTQTQLDDAMKPVQFDTCAPAGDSNSSVTDPSMPSSSLLLKDKSFSSAASPINSLLAGEKIQFGAVTSPTVIPPSSRAVSHGIGPPGPSRSEIQIPRNLSAAENDCTLFFEKEKHPVESCVHMEDCEAEAAASAVAVAAITSDEIVGNGMSTCIVSASDNKGFGAAAIDVINTGDGGQKLASQSKAEESLSVSLPADLSVENPPISLWPPLPSPQNSSSQIISHFPGGPPSHFPFYDMNPMMGGPIFAFGPHEESSSTQSQSQKSSTPASGPLGTWQQCHSGVDSFYGPPTGFTGHFITPPGGIPGVQGPPHMVVYNHFAPVGQFGLSFMGTTYIPSGKQPDWKHNPASSAVGEGDVNNLNMATSQGNSSNIPAQMQHLPPGPGSPLLPIASPLAMFDVSPFQSTSDVSVQARWSPVPASPMQSATPSIPLQQQAEGVLHSQFSQGPPLDQSLTNNRFPESRTSTSSDCSRKFPVATDATITQLPDELGLVEPSSSSTIPAASAPQDAKSPSLIKVADAAKNDVLNNDGIKSSGHSTNSALKSVQSSSQQKNISSQHYGNSSWYNHPRGNAISQKNGSGEWTHRRMGFQVRNQSMGGDKNFPTSKMKQIYVAKQTNNGTSTSS >KJB46820 pep chromosome:Graimondii2_0_v6:8:13867581:13877173:1 gene:B456_008G075400 transcript:KJB46820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGGGNKFVSVNLNKSYGQQPSKQHYHSPHSGSYVSNRTRTGAGSGGMVVLSRPRSSQKTGSKLSVPPPLNLPSLRKEHERFDSLGSSGVPASGGVSVTGPRPGSSGMGWAKPGSVAWQEKEGLVGGDDHVNDRVGRLNADDGMTKASSGVYMPPSVRSGSTSSTSASAIGFPPLDKATVLKREEFPSLQAALPVVSGAERKQTDGLNQKQKQLAMAGQELSDKHGDRSQSITVIDMLPQLQSGRIAVDNGLSENGGEARSTSGSRLLEQGRKQDEYFPGPLPLVSLNPRSDWADDERDTGHVSDHRRDQGYSKTEAYWDRDFNMPRAGVLPHKPAHGLFDRRGLHDNDTGRTLSSEVAKLDPYGMDAKLPSREGREGNAWRASSPLPKDGIGSQEIARDRNSVGTRPSSMNREKENKYISSPFRDNAQGDNGRRDLGYGNGGRQAWNNSADSFSSRGSERNTRERYGNEQYNRHKGDAFQNSFLSKPSFPLGGKALPVNDPILNFSREKRPLSKNEKSYLEDPLMKDFGATRFDGWDPFSANLVGVVKRKKDTVKQTDFHDPVRESFEAELERVQKMQEQERQRIIEEQERALEQARREEEERLRLAREQEEQQRRLEEEAREAAWRAEQERLEALRRAEEQRIAREEEKRRIVMEEERRKQAAKQKLIELEERIAKRQAEGVKGGNNFSTGVDEKLPGMAKERDVSKATGVGDWENGERMVERITTSASSDSSGLNRSFELTSRPNFSNASSSFSDRDKPYNSWRRDVFEYGNSSAFTGQETENGHHSPRQDVSAGSRPYSRKEFQGAPPYMSSRPHYRAGVSETSLDNFGQPKGQRWNVSGAGVDHYGRSAEFGSEYHENLSDNYGDVTWGQRSRGNIYPPYPERFYHNPEDDGLYSFGRSRYSVRQPRVLPPPSLSPMQKSSYRGENEHPGPSTFLENEIQYNQATRCGSSMENVYDDGQQDDLGHHGIIDNQRCNTDNEAQKLDGNATRCDSQSSLSVSSPPDSPVHLSHDDLDESGGSTVLSAEENKEVNLSRPEIEPLVLPTEAGKQDVRTASSSISAGDDEEWTVDNNEQLQEQEEYDEDEDGYQEEDEVHEGDDGNIDLTRELDELHLEDKETPDMMDNLVLGFNEGVEVGMPNDEFERSSRKDSSYAIKQIPIGPLEENISLDGMHSDTKILQSMDAPCQEGLDSSSRIFQETENEVQDLVIQPNTSLQAAAASKLIDHVDVTGGSGMSTEHNLPDSVNTASHSSSSQSSLPTTSVPNHTEVPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVCPPSLTQMHPTQPPLFQFGQLRYTSPISQGVLPLAPQSVSFVQPNVRGNFSLNLNPGVPLPVQPSQDTSGLNMMKSEVSSPLDNQSGLPRSLDLSQGNVLNEGSSTPAGESRKSVVAQHGNVEISNIGDNAARSESGFSSEDQGHKNSVHRNFKALSNKHSEGELQTVLTSSHLAAKEKDISGLRGQTYGIRGKKYVFTVKGSNSRSAFLASEASHQDSSGYQRRPRRARAEFRIRENSEKKHSSGMVSSDHPNQVLPNSSTNGRGTGFSARNRMRKVVVNKSKQTIEFECSNSAPSSSQEIDSGNRNEKGLGKDSLMRIQNIPHSGKGNLKRNIEEDVDAPLQSGIVRVYEQPGIEAAGDEDDFIEVRSKRQVLNDRREQREKEIKAKSRVAKPPRKLRSIPQSSNRNSASASGVMNNVRSDLVTTEGRNLANSELSAAFSATIVSQPLAPIGTPATKTDAQADIRTQAVKSLHTSSLQATSGGGQSLVSGLMFESKNKIFDNVQASLGSWGNSCINQKVMTLTQTQLDDAMKPVQFDTCAPAGDSNSSVTDPSMPSSSLLLKDKSFSSAASPINSLLAGEKIQFGAVTSPTVIPPSSRAVSHGIGPPGPSRSEIQIPRNLSAAENDCTLFFEKEKHPVESCVHMEDCEAEAAASAVAVAAITSDEIVGNGMSTCIVSASDNKGFGAAAIDVINTGDGGQKLASQSKAEESLSVSLPADLSVENPPISLWPPLPSPQNSSSQIISHFPGGPPSHFPFYDMNPMMGGPIFAFGPHEESSSTQSQSQKSSTPASGPLGTWQQCHSGVDSFYGPPTGFTGHFITPPGGIPGVQGPPHMVVYNHFAPVGQFGLSFMGTTYIPSGKQPDWKHNPASSAVGEGDVNNLNMATSQGNSSNIPAQMQHLPPGPGSPLLPIASPLAMFDVSPFQSTSDVSVQARWSPVPASPMQSATPSIPLQQQAEGVLHSQFSQGPPLDQSLTNNRFPESRTSTSSDCSRKFPVATDATITQLPDELGLVEPSSSSTIPAASAPQDAKSPSLIKVADAAKNDVLNNDGIKSSGHSTNSALKSVQSSSQQKNISSQHYGNSSWYNHPRGNAISQKNGSGEWTHRRMGFQVRNQSMGGDKNFPTSKMKQIYVAKQTNNGTSTSS >KJB48082 pep chromosome:Graimondii2_0_v6:8:7455339:7456578:1 gene:B456_008G052700 transcript:KJB48082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKHLRRAHLVQALKKKWLLPDNRRTEELEVKEKFNWVELAERELKIEQVVDIKRFVRSSASRVVGIVESVKRERVRGRVKEGWEKGLFGRFRKESHYCKI >KJB48081 pep chromosome:Graimondii2_0_v6:8:7455678:7456620:1 gene:B456_008G052700 transcript:KJB48081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKHLRRAHLVQALKKKWLLPDNRRTEELEVKEKFNWVELAERELKIEQVVDIKRFVRSSASRVVGIVESVKRERVRGRVKEGWEKGLFGRFRKESHYCKI >KJB51924 pep chromosome:Graimondii2_0_v6:8:52542021:52542626:-1 gene:B456_008G238400 transcript:KJB51924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPPFSLAAIFILFLFTTNPNYCQAYRRILSSDTNTEFIKTSCAATTYPDLCFAAFSSYATEIQADPKILATKSLNLTLNTTFSASKNLTELCKTQGIKPTEAAPLHDCVEEISDSVDELTKSIPEMEEIEGKSFAFRMSDIQTWVSAALTDEDTCMDGFSETTMDGDVKASVRIVIEKVAQLTSISLAFINQYARTKK >KJB49146 pep chromosome:Graimondii2_0_v6:8:30654762:30657782:1 gene:B456_008G102500 transcript:KJB49146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSLVLPNYKQTLVVPLNLNWYFPHHYYHHNYCKETSTIISLGQFICENVCIAKSEPHLKSGQVFIVQANSIVIRSAKPYLATPGATVHGHYGEILYEGDKLVTFIYEKLRSSDITQGLPKVEQVLELTIVKSRLSLVNKIQKVYRSQGIQIHNKHIEIIVGQITSKVLVSKDGMSNVFLPGELIGLLRAERMGRALDEVICYQAILLGITIASLNTQSFIFKASFQETARFLAKAALRGRIDWLKGLKENVVLGGMIPAGTGFKGLVHWSRQHNNILLETKKKNFFWGEMRDIFFHHRELFDSCISNNLHDTSGRSFIGIEFNDS >KJB47079 pep chromosome:Graimondii2_0_v6:8:1174859:1175716:1 gene:B456_008G010000 transcript:KJB47079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRPLELTVVSAEGLKKVKHLSKMDVYVVVKVSGGESTTEQKTPVHKDGGTSPKWNHPMVFSFNESLAQTNTTDLAITFRLMCCRTFRGDKEIGEARVPIKELLDGAGNGNSAKYTTYPVKKPSGKAKGKLNFVYRFGEKAANAVPAYPLVMTAMNPLYPPSHNAAVPAYPTVGYSYPPLGFQQLPVQEGYKPAPQPPAGYPPVGPGLYSTPPPAGYPQMGPGNGYPPVVQPERKNESGDGLTLGAAALVGGLLGGILLGDMVSDAAASFEAGCDPYANAADYI >KJB52022 pep chromosome:Graimondii2_0_v6:8:52811262:52812332:-1 gene:B456_008G242500 transcript:KJB52022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQMHSLLLIFVCFSTNKTIERYQKRQKDIHGISSKGEDMQDDVKEDAHSLAKKIESLEDSKRKLLGRGLEPCSIDDLLLLEKQLERSLSRIRARKNQVFTEQIKKLKEEERRLGEENANLREECGMRPRESTSTRQSDDERNMEVETELCIGPPERRCKLKP >KJB47037 pep chromosome:Graimondii2_0_v6:8:904394:905787:-1 gene:B456_008G007700 transcript:KJB47037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQALILLCIVVFGVIGTCHGGSLRKKYYKKTCPNAEEIIKKATEKHVANDSTLPARFLRMHFHDCFVRGCDGSVLLNSTTNNSAEKDAIPNLTLAGFDVIDDIKAEVEKKCPNVVSCADVLALAARDAVSFKFQRPLWEVLTGRRDGRVSRVSEALANLPSPFSNFTTLVRNFANQGLNVHDLVVLSGGHTIGVGHCNAFSNRLYNFTGRGDQDPSLNPTYAAFLKTQCRNLTDNTTFVPMDPGSVFAFDNNYYVTVKQNKGLFQSDAALLTNKGSRNIVNELVDPKKFFTEFAQSMKRMGAINVLTGNEGEIRKKCFVAN >KJB47774 pep chromosome:Graimondii2_0_v6:8:5375852:5380609:1 gene:B456_008G041300 transcript:KJB47774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNKCSILQGLKPAMAMVVVQATFAGMNVLYKLAANDGMSLKIITAYRFLFAVPVMLPLALLFERNRPKLSWTILFQAFLCGLFGGSLSQNLYIASLALTSATFVSAITNLMPVTTLIFAVLLGLEKLAFGTMAGKAKVFGTLTGIGGAMLLTFYKGVEVNVWPTHLELLNHGPPASHPAASSKSLLGLLLAFACCISYTFWLLIQAKMSKNYPCPYSSTALMCIMGAMQSVGYALCLERDWSQWKLGFNIRLLTVAYAGIAVSGLTCTIVIWCVRLKGPLYGSIFNPLMLVLVALAESLLLDAKLYLGSILGAVFIVLGLYIVLWGKGKEMKDQLSASRNEVPPSTISSLQEEPVDIIVDSTDDNICINSQTNVVAPKASPINHRQDEG >KJB53026 pep chromosome:Graimondii2_0_v6:8:56400467:56406164:-1 gene:B456_008G289200 transcript:KJB53026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSGSLVDGVRRWFQRRHLIPSSSNQQNNNIIIDHHDTSSSSSTTLTLTQKQSQESTADIVVQDFDFSSLRLVKVPKRHYFPVSSMDSHKKGALEKEFFTEYGEASRYQVQEVIGKGSYGVVASAIDTHTGKKVAIKKINEVFEHVSDATRILREIKLLRLLRHPDVVQIKHIMLPPSRREFRDIYVVFELMESDLHQVIRANDDLTPEHHQFFLYQLLRGLKYIHAANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTYTPAIDIWSIGCIFAEMLIGKPLFPGKNVVHQLDLMTDMLGTPSAESISKIRNEKARRYLSSMRRKQPVPFSHKFPNVDPLAIRLLERLLAFDPKDRPTAEEALADPYFYGLANVDREPTTQPISKLEFEFERRKLAKDDVRELIYREILEYHPTMLQEYLRGGEQTSFLYPSGVDRFKRQFAHLEEHYGKGERSTPLQRQHASLPRERVPAPKEEKVAENNDTVSKSRFSDGSENTEAEGENGLSKPNSSARSLLKSASISASKCVVVKEKNESMEQTIAEADDEIVDVLSQKVAAINA >KJB53025 pep chromosome:Graimondii2_0_v6:8:56399946:56406216:-1 gene:B456_008G289200 transcript:KJB53025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSGSLVDGVRRWFQRRHLIPSSSNQQNNNIIIDHHDTSSSSSTTLTLTQKQSQESTADIVVQDFDFSSLRLVKVPKRHYFPVSSMDSHKKGALEKEFFTEYGEASRYQVQEVIGKGSYGVVASAIDTHTGKKVAIKKINEVFEHVSDATRILREIKLLRLLRHPDVVQIKHIMLPPSRREFRDIYVVFELMESDLHQVIRANDDLTPEHHQFFLYQLLRGLKYIHAANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLIGKPLFPGKNVVHQLDLMTDMLGTPSAESISKIRNEKARRYLSSMRRKQPVPFSHKFPNVDPLAIRLLERLLAFDPKDRPTAEEALADPYFYGLANVDREPTTQPISKLEFEFERRKLAKDDVRELIYREILEYHPTMLQEYLRGGEQTSFLYPSGVDRFKRQFAHLEEHYGKGERSTPLQRQHASLPRERVPAPKEEKVAENNDTVSKSRFSDGSENTEAEGENGLSKPNSSARSLLKSASISASKCVVVKEKNESMEQTIAEADDEIVDVLSQKVAAINA >KJB52769 pep chromosome:Graimondii2_0_v6:8:55593185:55596221:-1 gene:B456_008G278400 transcript:KJB52769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFYGLYKYLFSKTEFHVLILGIDKAGKTTLLEKLKSVYSNLEGLPPDRIVPTVGLNIGRVEVLNTKLVFWDLGGQPGLRSIWEKYYEEAHAVVFVIDAACPSRFEDSKSALEKVLRHEDLQGAPLLILANKQDLSEAVTAEELARYLDLKKLDERVYMFEAVSAFDGTGIKEGAEWLVEVMERSKRTEMLRNRAGMTGPT >KJB52770 pep chromosome:Graimondii2_0_v6:8:55593213:55596170:-1 gene:B456_008G278400 transcript:KJB52770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFYGLYKYLFSKTEFHVLILGIDKTLLEKLKSVYSNLEGLPPDRIVPTVGLNIGRVEVLNTKLVFWDLGGQPGLRSIWEKYYEEAHAVVFVIDAACPSRFEDSKSALEKVLRHEDLQGAPLLILANKQDLSEAVTAEELARYLDLKKLDERVYMFEAVSAFDGTGIKEGAEWLVEVMERSKRTEMLRNRAGMTGPT >KJB47117 pep chromosome:Graimondii2_0_v6:8:1330030:1332305:1 gene:B456_008G011800 transcript:KJB47117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLALSSATSASYFITRRNAKPSPVIKTTFMTLKPASFPSPLTAVIVSAGARIIVSCQAVSTASLDKDEKNASVSGSAEADEEAKVGAKVKVKVPVKVYHVVRVPELDLIGMEGVIKQYVGIWKGKPISANLPYKVEFLKEIEGRGPVKFFVHLKEEELEFLD >KJB47116 pep chromosome:Graimondii2_0_v6:8:1329983:1332365:1 gene:B456_008G011800 transcript:KJB47116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLALSSATSASYFITRRNAKPSPVIKTTFMTLKPASFPSPLTAVIVSAGARIIVSCQAVSTASLDKDEKNASVSGSAEADEEAKVGAKVKVKVPVKVYHVVRVPELDLIGMEGVIKQYVGIWKGKPISANLPYKVEFLKEIEGRGPVKFFVHLKEEELEFLD >KJB47227 pep chromosome:Graimondii2_0_v6:8:1861593:1869169:1 gene:B456_008G016400 transcript:KJB47227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVFYLISLPLTMGMVILTLRYFAGPAVPIYVLLTVGYTWFCSFSIIILVPADIWTTMVGHSSKAISLFWSLTYWSTFLLTWVVVPTLQGYEDAGDFTMAERLKTSVHANLVFNLCVGSLGLVGVILFIIFRRNWSGGILGFAMACSNTFGLVTGAFLLGFGLSEIPKGIWKNADWSTRQKVLSHKVAKMAVKLDGAHQEFSNAIVVTQATSSQMTKRDPLRPYMNIIDSMLEKMLKEDSSFKPQGGRFGENDMDYDTDEKSMASLRRRLRIARDQYCRYRSEYMSFVLEALELEDAIKNYERRDATGWKFVSSFRPVRTGKLGPYLDTTEFVWRCILRKQLQKLLAVILGCMSAAILLAEATILPRGVDLSLFSILINSVGRQELVGQITSFIPLMYMCVCTYYSLFKIGMLMFYALTPGQTSSVSLLMICSMVARYAPPISYNFLNLIDLPGKGKTVFEKRMGNIDDAVPFFGKGFNKIYPLIMVGYTLLLVTNFFDRVIKYFGNWKLFKLQIEVDGTDGFDTSGLIILQKERSWLEQGYKVGENIIPLARNFSSTSIDIEPGSNNTMVNKDKSASISRANSAAELEKMGEYKPLKEEVKQETSREALTKKYSGVREQPKNQESNSNSTDKESTSIAVDDGNSESARASLSGGLVLKWESMKSGLLSFKTNLEAKNFLPLRQTQESKAPSHVSSSESLDEIFQKLKRSSMDPKDHDDDDEF >KJB46882 pep chromosome:Graimondii2_0_v6:8:689698:690181:1 gene:B456_008G004900 transcript:KJB46882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELTSHRSLLIQTACNTLNTSYEFLCTRMRRKSSWSGVIRFPLEKKCIEKLIPRIGYPRQAPVATIFARRFRFISSSEMIQRCMAILSLICHGSHILYYLDVFFSFIRKWTKVWQLYMVTMSTIAPGTTSDYIKANISTVKCILQRNYQNEL >KJB50375 pep chromosome:Graimondii2_0_v6:8:43861390:43862140:-1 gene:B456_008G167500 transcript:KJB50375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLPSISMHFLIFLFFFLHPIPTLGSTVYDTSPTDYIRTSCSATLYPDICYTSLSGYANPVQQDPARLARIAIGVSLSKARRMASYVSNLTRETAYGADPQASAALHDCFSNMDDAVDEIHGSLRQMRRLVAPGSESFRFQMGNVQTWMSAALTDEETCTDGFEDVREGPLKTEVYERAVEVKKLTSNALALVNSYAEKAVSLSFVNGAKFTELT >KJB52808 pep chromosome:Graimondii2_0_v6:8:55579773:55582611:1 gene:B456_008G278100 transcript:KJB52808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLWETLKEAITAYTGLSPTTFFTVLALLWAVYYVATGLFGSSDDHIHQRSTAFEEQMEPLPPPVQLGEITEEELKQYDGSDSKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISDLGPFELDALQDWEYKFMSKYVKVGSIKKTMVPVTEGDASGEASGAAETDTKQTEDGPSQTPVTESEEKSSPADTKEE >KJB52809 pep chromosome:Graimondii2_0_v6:8:55579773:55582611:1 gene:B456_008G278100 transcript:KJB52809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLWETLKEAITAYTGLSPTTFFTVLALLWAVYYVATGLFGSSDDHIHQRSTAFEEQMEPLPPPVQLGEITEEELKQYDGSDSKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISDLGPFELDALQDWEYKFMSKYVKVGSIKKTMVPVTEGDASGEASGAAETDTKQTEDGPSQTPVTESEEKSSPADTKEE >KJB47480 pep chromosome:Graimondii2_0_v6:8:3344044:3344748:-1 gene:B456_008G028400 transcript:KJB47480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLYFIHCDLNLKDLIVEGKTVWKNPTGYLPGRMAPLMNFYGFMSLTFVILGIFWFLQYARFWREVLPLQNCITLVITFGMLEMALWYFDYAEFNGSGTRPVGITMWEVTFDTIKRTIARIIILMVYMGYGVVRPTLGGLTSKVTMLGATFFLASEILELVENVGAVSDLSGKARLFLVLPFAILDAFFILWIFTSLSSTLNKLQVFFFFLQLHRQIKLITIYENFSLMDATD >KJB47481 pep chromosome:Graimondii2_0_v6:8:3342632:3345345:-1 gene:B456_008G028400 transcript:KJB47481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLYFIHCDLNLKDLIVEGKTVWKNPTGYLPGRMAPLMNFYGFMSLTFVILGIFWFLQYARFWREVLPLQNCITLVITFGMLEMALWYFDYAEFNGSGTRPVGITMWEVTFDTIKRTIARIIILMVYMGYGVVRPTLGGLTSKVTMLGATFFLASEILELVENVGAVSDLSGKARLFLVLPFAILDAFFILWIFTSLSSTLNKLQLDIYRKFTNALVVAVIVSVGWIFYENAWIIPAFWKILSFSLLCVICVLWALSQNSTQTAPETRTVQGSNGASSNGDLEEDKTE >KJB47779 pep chromosome:Graimondii2_0_v6:8:5422702:5424801:-1 gene:B456_008G041400 transcript:KJB47779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSLLTFFLNKIIGLKLGSHPTIKTSLLLTRPCGKGIDFGGSILRENKGLDDVEEINLTDQNWEMEMDLSLKIDSKEKEEDMEESMDSKMQVEEAEAAEDKEVTSMAAAGEVEDVDAPLELSLQGNNKTHELSVLQLEMNRMKEENKELRKVVEKTMQDYYDLQMKFAVIQKNDHKQDPPIFLSLNGNGNGNGNSSQDQQPIQRNLNIGNHQKHGPSEGDHNDEKNEEELGLSLRLQISSSQREREEEHNKEGSEETPNVASEQNKLQPTCLSAITSHAVSPPNRKARVSVRARCQTATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATAMASTASAAADSFMFLDSSNPLSNGIPNLSQASLPYQNHPHLMKSHRNVMNFTDPSKGTILDLTNNRHVNHHFPTASSSSSHHPSPAFPWMPSRLSNGTSSRQWKSQEDKSLAENVTAIASDPKFRVAVAAAITSLINKES >KJB48858 pep chromosome:Graimondii2_0_v6:8:21352875:21355237:-1 gene:B456_008G090400 transcript:KJB48858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVMELTASQESHEEGKNERLDNFLNMDPTLSNTLSLSADTFLKAAMALKNQVVEVTWKGRGSGGGGLGTGIDPTVYTGLLGTAFTCLRSYEATGNRQDLLLSAEIVDTCLSVARASPRHVTFLCGRGGVYSLGAVVANYMGDHQSLEFFLNLFIEHLQGEVVPNDVLMLIVDAVLAAGRTGASDLPACPLMYRWHGTRYWGAANGLAGILHVLLHFPLSEGDAGDVKGTLRHMMCNRFPHSGNYPSSEGNPRDKLVQWSHGATSMAITLAKASQVYPNDREFRDAAIEAGEVVWKNGLVKKVGLADGIAGNTYAFLSLYRLTGETIYKERAKAFASFLYHNKRKLAGIEHGGGFGADDGYSLFQGLAGTACLWFDMLAPHKSKFPGYEL >KJB48857 pep chromosome:Graimondii2_0_v6:8:21352662:21355323:-1 gene:B456_008G090400 transcript:KJB48857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVMELTASQESHEEGKNERLDNFLNMDPTLSNTLSLSADTFLKAAMALKNQVVEVTWKGRGSGGGGLGTGIDPTVYTGLLGTAFTCLRSYEATGNRQDLLLSAEIVDTCLSVARASPRHVTFLCGRGGVYSLGAVVANYMGDHQSLEFFLNLFIEVAQEKALPVGPEEGGFGMSYDLLYGRAGFLWAALFLNKHLQGEVVPNDVLMLIVDAVLAAGRTGASDLPACPLMYRWHGTRYWGAANGLAGILHVLLHFPLSEGDAGDVKGTLRHMMCNRFPHSGNYPSSEGNPRDKLVQWSHGATSMAITLAKASQVYPNDREFRDAAIEAGEVVWKNGLVKKVGLADGIAGNTYAFLSLYRLTGETIYKERAKAFASFLYHNKRKLAGIEHGGGFGADDGYSLFQGLAGTACLWFDMLAPHKSKFPGYEL >KJB48917 pep chromosome:Graimondii2_0_v6:8:25080771:25084442:-1 gene:B456_008G094500 transcript:KJB48917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesylcysteine lyase [Source:Projected from Arabidopsis thaliana (AT5G63910) UniProtKB/Swiss-Prot;Acc:P57681] MYSDLKPSFSFLILLFLCLQPLIFSLSTDASPPPTVCIVGSGIGGSSVAHFLRHYFHPSPSQPTPPNIKIFERSYVVGGRMATVSIGGESFEAGASILHPKNYHALNYSNLLGLKVKPPPASEDDDSMSLGIWDGKKFVFKTLQVDSKFPLVQKIVSYVNSFRIFFRYGFSLLKMGSFVESTVDSFLKYYESPERRPIFETVDEMLKWAGLYNLTTQTLQDELIGIKLSPLLIKELVTVITRINYGQSVYISGLAGAVSLAGSGGGLWSVDGGNWQMASGLINSSDVSLHLNEEIESISYLGEYYELNSTKRNSYSCEVAVVATPLDEVNIRFSPAVSIPERKLQHTHATFVRGLLNPAYFGLRTVAEIPELVGTLEDPDLPFTCISVLKQLDENDTTYKIFSRGPMSDALLDSIFSARLQTNRINWGAYPHYKAPELFAPFILDGQHLYYVNAFENAASTMETSAVAAENVARLILSRYFSEASLQSSNLQSFSPDADVSHFDL >KJB48919 pep chromosome:Graimondii2_0_v6:8:25080787:25084442:-1 gene:B456_008G094500 transcript:KJB48919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesylcysteine lyase [Source:Projected from Arabidopsis thaliana (AT5G63910) UniProtKB/Swiss-Prot;Acc:P57681] MYSDLKPSFSFLILLFLCLQPLIFSLSTDASPPPTVCIVGSGIGGSSVAHFLRHYFHPSPSQPTPPNIKIFERSYVVGGRMATVSIGGESFEAGASILHPKNYHALNYSNLLGLKVKPPPASEDDDSMSLGIWDGKKFVFKTLQVDSKFPLVQKIVSYVNSFRIFFRYGFSLLKMGSFVESTVDSFLKYYESPERRPIFETVDEMLKWAGLYNLTTQTLQDELIGIKLSPLLIKELVTVITRINYGQSVYISGLAGAVSLAGSGGGLWSVDGGNWQMASGLINSSDVSLHLNEEIESISYLGEYYELNSTKRNSYSCEVAVVATPLDEVNIRFSPAVSIPERKLQHTHATFVRGLLNPFSSNLMKMIQLTKYFLEDQCQMHYWIAFLVQGCRQTE >KJB48918 pep chromosome:Graimondii2_0_v6:8:25080787:25084099:-1 gene:B456_008G094500 transcript:KJB48918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesylcysteine lyase [Source:Projected from Arabidopsis thaliana (AT5G63910) UniProtKB/Swiss-Prot;Acc:P57681] MYSDLKPSFSFLILLFLCLQPLIFSLSTDASPPPTVCIVGSGIGGSSVAHFLRHYFHPSPSQPTPPNIKIFERSYVVGGRMATVSIGGESFEAGASILHPKNYHALNYSNLLGLKVKPPPASEDDDSMSLGIWDGKKFVFKTLQVDSKFPLVQKIVSYVNSFRIFFRYGFSLLKMGSFVEVFVLFIAFLFLPKKSTVDSFLKYYESPERRPIFETVDEMLKWAGLYNLTTQTLQDELIGIKLSPLLIKELVTVITRINYGQSVYISGLAGAVSLAGSGGGLWSVDGGNWQMASGLINSSDVSLHLNEEIESISYLGEYYELNSTKRNSYSCEVAVVATPLDEVNIRFSPAVSIPERKLQHTHATFVRGLLNPAYFGLRTVAEIPELVGTLEDPDLPFTCISVLKQLDENDTTYKIFSRGPMSDALLDSIFSARLQTNRINWGAYPHYKAPELFAPFILDGQHLYYVNAFENAASTMETSAVAAENVARLILSRYFSEASLQSSNLQSFSPDADVSHFDL >KJB47598 pep chromosome:Graimondii2_0_v6:8:3970672:3972127:1 gene:B456_008G032900 transcript:KJB47598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQSGDGIWKLTLFRNVFQVLKEFTHGKQQKVSKTGLKEALSDILLGMAAGLKRDPIVILRMDGEDLLEFINGPSYEAEMVSIFSQIGCEDASLRDCITKALEKLTVDQGMPPSSDSWVMRNIVEPALESWDDKPVSQETFLEESKKVAKRVAQNLKEEPVIVAHSENTFDGSGIKRLLCNKFELDKLLNVGLENVPKDRNGKISKEYLRVVLDVVAPSVGLPQIGAVEQMDKVVADVLNRIDVDDGKMIKEDEFKKLLTEIMGSIMLQLEGNPISVSSNSVVHEPLPSSLSLLQAST >KJB47597 pep chromosome:Graimondii2_0_v6:8:3969306:3972191:1 gene:B456_008G032900 transcript:KJB47597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLKRDPIVILRMDGEDLLEFINGPSYEAEMVSIFSQIGCEDASLRDCITKALEKLTVDQGMPPSSDSWVMRNIVEPALESWDDKPVSQETFLEESKKVAKRVAQNLKEEPVIVAHSENTFDGSGIKRLLCNKFELDKLLNVGLENVPKDRNGKISKEYLRVVLDVVAPSVGLPQIGAVEQMDKVVADVLNRIDVDDGKMIKEDEFKKLLTEIMGSIMLQLEGNPISVSSNSVVHEPLPSSLSLLQAST >KJB51968 pep chromosome:Graimondii2_0_v6:8:52735403:52735880:1 gene:B456_008G241000 transcript:KJB51968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKNMDWSPFPSHPTIASSKRRHRLKHSKVQIYRLSRKRWEENMKKDMELMNLKLYLENQSIIEENEKLRKKASLLQQENLALMSEYQKKFPHLDRFSTTLLLLLQQKH >KJB50734 pep chromosome:Graimondii2_0_v6:8:46391810:46398586:1 gene:B456_008G184500 transcript:KJB50734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVEEPLYPIALLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHARVLLAPLETLCTVEETCVRDKAVKSLCRIGSQMKENDLVDWFVPLVKRLAAGEWFTARVSACGLFHIAYPSAPEMLKTELRSIYSQLCQDDMPMVRRSAASNLGKFAATVENAHLKAEIMQIFNNLTQDDQDSVRLLAVEGFAALGKLLEPQDCVAHIIPVIVNFSQDKSWRVRYMVANQLYELCEAVGPQPTRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFSQILSPELANHHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPISLSLLKDEFPDVRLNIISKLDQVNQVVGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGTLCMQWLQDKVYSIRDAAANNLIRLAEEFGRDWAMEHIIPQVLEMANSPHYLYRMTILRSISILAPVMGSEIACSKLLPVVVNASKDRVANIKFNVAKVLQSLVPIVDKSVAEKTIYPCLVELSEDSDVDVRYFANQGLQSINHATMSC >KJB52214 pep chromosome:Graimondii2_0_v6:8:53485520:53489646:-1 gene:B456_008G251000 transcript:KJB52214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCAGGELFDRIIAKGHYSEREAANLCRQIVTVVHNCHSMGVMHRDLKPENFLLLSKDEDSPLKATDFGLSVFFKPGDIFKDLVGSAYYVAPEVLRRRYGHEADIWSAGVILYILLSGVPPFYGETEQSIFDSILRGNIDFASDPWPFVSNSAKDLVRKMLRHDPKDRLSAVEVLNHPWIREDGDASDKPLDVAVLTRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTITFEELKAGLPKLGTKLSEHEVRQLMEAADVDGNGAIDYIEFITATMHMNRMEREDHLYTAFQYFDKDNSGYITMEELEQALKKYNMGDEKTIKEIIAEVDTDRDGRINYDEFVAMMRKGNPELANNRRRK >KJB52213 pep chromosome:Graimondii2_0_v6:8:53485474:53489684:-1 gene:B456_008G251000 transcript:KJB52213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNSQPSADSQFRPETNTGGGPNHGINVLPADASAPRPPKPSTHHNLSSSSAAPNHHTAPSATPPIGRVLGRPMEDVRSTYVFGRELGRGQFGVTYLVTHKETNQQFACKSIATRKLINRDDIEDVRREVQIMYHLTGHRNIVELKGAYEDRHSVNLIMELCAGGELFDRIIAKGHYSEREAANLCRQIVTVVHNCHSMGVMHRDLKPENFLLLSKDEDSPLKATDFGLSVFFKPGDIFKDLVGSAYYVAPEVLRRRYGHEADIWSAGVILYILLSGVPPFYGETEQSIFDSILRGNIDFASDPWPFVSNSAKDLVRKMLRHDPKDRLSAVEVLNHPWIREDGDASDKPLDVAVLTRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTITFEELKAGLPKLGTKLSEHEVRQLMEAADVDGNGAIDYIEFITATMHMNRMEREDHLYTAFQYFDKDNSGYITMEELEQALKKYNMGDEKTIKEIIAEVDTDRDGRINYDEFVAMMRKGNPELANNRRRK >KJB47452 pep chromosome:Graimondii2_0_v6:8:3218789:3223807:-1 gene:B456_008G027300 transcript:KJB47452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RMGRDKFPSKREIEGATFLHGELDFWIIEAKSLPNMDLNSDRMRRLFSMCSSGNKKKASIKPFGKTSSKHTMNTSDPYVSVCLLGATVAQSRIISNCENPVWDEHFVVPVAHPVGKIEFHVKDNDVLGAELIGVVEIAVEKVVTGDEISGWFAIVGNSKSDRNPELHVSLQYKPVSVNPIYQQGVGRGPDYTGVPYTYFPLRKGGNITLYQDAHVPESMLPEIPLDNGKSFKQGSCWEDICHAILDARHLIYVVGWSVFHPIKLVRESTKPLPEEGQLSLGELLKYKSQEGVRVILLIWDDKTSHDKLLLKTEGVMQTHDEETRKFFKRTNVHCVLSPRYASSKLSFFKQQVVGTLFTHHQKCVILDSQGSGETRKITAFVGGLDLCDGRYDTPEHRLFQDLDTIFKGDFHNPTFPTNAYGPRQPWHDQHCKVEGPAAYDILTNFEQRWRKVSKWRDFKVRKVSPWQDDALIKLDRIPSIACPSLGSDGDSVVHVREEKDPENWHVQVFRSIDSGSVKGFPKDVKQAAAQNLICGKNLKVDMSVHTAYIKAIRSAQHFIYIENQYFIGSSYYWPSYKNAGADNLVPMELALKIAGKIRANERFAVYIVIPMWPEGAPTSNAVQEILYFQGQTVTMMYSIIAKELLKAGLSDQYHPQDYLNFYCLGKREPPTSESSTKLNHHKDNRELALVQKFRRFMVYVHAKGMIVDDEYIIMGSANINQRSLEGSRDTEIAMGAYQPHYTWAVKKSHPHGQVYGYRMSLWAEQMGKLDDNFQDPKSLDCVKLVNEIAKSNWKAYVEDEYCELTGHLMQYPYEIGRDGTVNPIPGHETFPDVGGKVLGASTNLPDVLTT >KJB49887 pep chromosome:Graimondii2_0_v6:8:39488635:39490871:-1 gene:B456_008G143500 transcript:KJB49887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRKRRESVQRLIVVLEGEKVTAADKTGIVPLEKASTYMSNNTVDEILVLTLLSVDCSGPSSSKGFRRDHRCNHTCEDDPRVRFLRDQVNQKKENYRRILRPFYERCKSNGVKFMVKVAAGYQPKDIITEEANNVGATWIIIDSCFTKHLTFRLSGTGCNVSLVSDEDRAIVDDHWIAEDESSECSTLMEVIHNPKSPKLIKGSTSKEKMVIWPSTGKEMKPEPLQENEAGHNLPCSNFSSRVSAADFTVKRPQELSWEEITQITKRFSTRTRNEHEKNYSTYIGYFDHQSVFVKRFVPHSGCILEAEMKAALFMNHKNITVITGFHQSENGTILIFPLLQGMSLDRYIWGSERKKLKFQARLNIAIAIAQGVRYMHEECPQWPVVHGDLRPQNIFLRLDLQPMVKYLKTKISYAGFLKDPLGHETTELVKCDVLSFGILLLRLFCRTSAPEDDKSLVEWARPLMLQRKFYELLEEDSEWSDLHGIYRVMTAATACTRTKPVSRPYMSQVFIFYIMRTLP >KJB49759 pep chromosome:Graimondii2_0_v6:8:38546092:38546898:-1 gene:B456_008G136400 transcript:KJB49759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISVEKIEGSTDVKYFYDSYTVHIGNHRILTVVTYCEITAGKWLKQAKKANNSKSLPKTLVVGFSVERQNDYYSRYGLLEFPYELLHICIGSHCLLYRLNDPDLYKPPKFLAHFLSDPEVIVVGMGIKDKSSHLEKEFQVKIKNAVDLNELAVKGMKRDELDLGRYDLDRLAKAVLGKHMDVLRPGRKIEWFKRKRPYYHSPELNDEKVMFTTADAYLCYRIGSQLFDMIHGKASSAIAAAAAVAAAAKSRKNKKMKKGKKNKKGKK >KJB48241 pep chromosome:Graimondii2_0_v6:8:9382211:9383099:-1 gene:B456_008G059600 transcript:KJB48241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEDLKGKLQVMKHIGQDDAAVQKKMEEMNNELQEKIYDLQDLESTNKALIYKEHQSNDELHEARKVLIQGLPELLGIRTNIGLKRMRELDPKTFHDTCKSRFPPDEAEIQATTLYSSWQENLKNPDWHPISRRN >KJB47021 pep chromosome:Graimondii2_0_v6:8:898587:899855:-1 gene:B456_008G007600 transcript:KJB47021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKQALILVCIVVFGVVGSCHGGSLQKGYYDSTCPDAEAIIKNATEKRVANDPTLPARFLRMHFHDCFVRGCDGSVLLNSTTNNTAEKDAIPNLTLAGFDVIDDIKEEVEKECPNVVSCADVLALAARDAVSFKFQKPLWNVLTGRRDGTVSQASEALANLPSPFSNFSTLVQKFANKGLNVQDLVVLSGGHTIGVGHCNTFSNRLYNFTGNGDQDPSLNATYATFLKTQCQSLSDSTTFVAMDPGSGLTFDNNYYVTLKQNKGLFQSDAALIMNEISRIIVDELLVSEVFFRKFALSMVKMGAIGVLTGNEGEIRKKCSVVN >KJB50847 pep chromosome:Graimondii2_0_v6:8:47195304:47196991:1 gene:B456_008G189600 transcript:KJB50847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPKRSFIQFAEQKLGRVPKFFIYAVLEWMIILILFIDGFLAFLANELAKWFGLPIPCLLCTRIDHALVGRNEQFYYNDSICNSHKKNVSCLAFCHAHKKLSDIRNLCENCLLSFATEKESDGHTYKSLLGILNKDIELFLDEEQQVHLSLPTGTKDEVVQKSKDHRCACCGEPLKLNSSDSKWKASSLAPAHNSDLSHIKCSPDLNLTSNSNESDVKETTNEKPLEDHAKVSTIPSLMDAEEDKTPNFIWGNKFFGISLSDSAANSPKWTKIPRKSMLERMELASETAEGQVPNQEAKDDILQHLKGQVRMDRRSLMSLYMELDEERSASAEAANNAMAMITRLQAEKAAVQMEALQYQRMMDEQAEYDQEALQEIYNLLVKREEEVQDLQAELDAYRQKYGFLKDFDFPRQKGKTDVGSHVLKLLSYSFNGRIECGGPTRRLNQGPNTGGKTHNLDHSDSMQGMNMMGGEESKKVIRGVRPLGRLKNINKSGHISKLSEGVSSKSSSEDEFDMEDET >KJB52953 pep chromosome:Graimondii2_0_v6:8:56076531:56077493:1 gene:B456_008G285100 transcript:KJB52953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNPAQQRRSPTGPNNPTAPAFSTFLQRIQAHSPHSAQLPLFITALILLLLTGLTVTVTSLGFIFFMPLIIISSPVWFPIGAVVLIVFAGFASVCGFGVLSVVGFCWMYRYFKGMHPPGWDRVDYARSRIYDTASHVKDYAMEYGGYLQSKVKDAAPGA >KJB51930 pep chromosome:Graimondii2_0_v6:8:52579738:52581641:1 gene:B456_008G238900 transcript:KJB51930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFPIQISTRLIDRLTEDDEKVKKRTKKTKTRVPREPRLPETKVDQPQISDGSEQQKGTSTGTGWAVPPPLFMPVNQPPYSVSAELDAIRSVVKESENVVEKLRKQEKNMVQEVTQKAKDLHDKEFKIPEPKPMPCSVESNAWMTCYKENTNDLTKCAPLAQNFAECARRVRQLAKPADK >KJB53201 pep chromosome:Graimondii2_0_v6:8:56939227:56940333:-1 gene:B456_008G296500 transcript:KJB53201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C1 [Source:Projected from Arabidopsis thaliana (AT5G63030) UniProtKB/Swiss-Prot;Acc:Q8L8T2] MGSLLSSNKLSQEDTQMALDKVKHIVSSTPVVVFSKTYCGYCNRVKQLFAQLKASYKAIELDQESDGGEMQAALAEWTGQRTVPNVFIAGTHIGGCDSVIAKYQAGQLLNLLSDAGAMTVDLNA >KJB51798 pep chromosome:Graimondii2_0_v6:8:51843889:51844537:1 gene:B456_008G2321002 transcript:KJB51798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSPNSNNKTTPYQKISGRCSGTDTGSRRLSTRFKGVLPLERHKWGARISFNYRAYWLGTYYTEEEAAIAYDRAALNWYSDDDILNMIKDKTYSSHFPAFLVHQSLAKKTLPGSLTNAKGLSYEMLFHKELTQIDVSNADLFQIPKEYALQFLPPVGNNSSGNGVQMGRDSIDITFYDKYYRPWTFRYSYW >KJB49621 pep chromosome:Graimondii2_0_v6:8:37180904:37183459:-1 gene:B456_008G129300 transcript:KJB49621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPPQMIPVMPSYPPTNITTEQIQKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQSMPTMPPQMAPHPAIPTGGYFMQHPQAAAMAQQPGMYPQKVPLQFNNPHQMQDPQHLLHQQHQQAMQGQMGIRPGGPNNGMHPMHSEASLGGGSSAGPPQFSGPSDGRAGNKQNPETGVNGQGNTTGDRAEEGK >KJB49622 pep chromosome:Graimondii2_0_v6:8:37180902:37183767:-1 gene:B456_008G129300 transcript:KJB49622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPPQMIPVMPSYPPTNITTEQIQKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQSMPTMPPQMAPHPAIPTGGYFMQHPQAAAMAQQPGMYPQKVPLQFNNPHQMQDPQHLLHQQHQQAMQGQMGIRPGGPNNGMHPMHSEASLGGGSSAGPPQFSGPSDGRAGNKQNPETGVNGQGNTTGDRAEEGK >KJB51916 pep chromosome:Graimondii2_0_v6:8:52479914:52482291:-1 gene:B456_008G237800 transcript:KJB51916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLSNVASKLMGGNGIVSRSAASSLRLRSGMGLPVGKHIVPDKPLSVNDELIWDNGTAFPEPCIDRIADTVGKYEALAWMCGGLSFFASLGFLAWWNDKASKIPFAPKVYPYDNLRVELGGEP >KJB51917 pep chromosome:Graimondii2_0_v6:8:52479936:52482217:-1 gene:B456_008G237800 transcript:KJB51917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLSNVASKLMGGNGIVSRSAASSLRLRSGMGLPVGKHIVPDKPLSVNDELIWDNGTAFPEPCIDRIADTVGKAPKVYPYDNLRVELGGEP >KJB51212 pep chromosome:Graimondii2_0_v6:8:49199828:49200716:-1 gene:B456_008G2068001 transcript:KJB51212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLYPALDGLPQLIVALDVYISADEKINGEVDWYRVLGVQPYSAEDTIWKHYMKLAFILHPDKNKFAGAGAFKLLLEAWSLLSDKARRFSYDQKLNLRGVTNVLHGKSSMATTSSNGFHYSYSVKNSNIGDQHGATYSNSAPPRSTRTDTFWTTYSSCKMQFKYSRPCINLNLPSLKTLFRRHSERSKTWVIPREELFHFSHQVPSYLVTGQEGLKSCKGSLKLDPVATPSELLQVFTEAQVVIMKRARENVPFVDSKRSEEK >KJB51213 pep chromosome:Graimondii2_0_v6:8:49199828:49200737:-1 gene:B456_008G2068001 transcript:KJB51213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLYPALDGLPQLIVALDVYISADEKINGEVDWYRVLGVQPYSAEDTIWKHYMKLAFILHPDKNKFAGAGAFKLLLEAWSLLSDKARRFSYDQKLNLRGVTNVLHGKSSMATTSSNGFHYSYSVKNSNIGDQHGATYSNSAPPRSTRTDTFWTTYSSCQEGLKSCKGSLKLDPVATPSELLQVFTEAQVVIMKRARENVPFVDSKRSEEK >KJB48970 pep chromosome:Graimondii2_0_v6:8:26157142:26159833:1 gene:B456_008G095900 transcript:KJB48970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISVPRINAWNSDQLPIYEPGLDAVVKQCRGKNLFFSTDVEKHVREADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGNKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTQVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKNRFVNRVVSSMFNTVSNKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKARLSIFDPQVTVDQIQRDLTMKKFDWDHPLHLQPMSPTTVKQVTCVWDAYEATKDAHGICILTEWDEFKNLDFKRIYDNMQKPAFVFDGRNIVNVDQLREIGFIVYSIGKPLDAWLKDMPAVA >KJB47606 pep chromosome:Graimondii2_0_v6:8:4017102:4018834:1 gene:B456_008G033300 transcript:KJB47606 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSKB [Source:Projected from Arabidopsis thaliana (AT4G34850) UniProtKB/TrEMBL;Acc:A0A178UTW2] MGSEEEPKEGFPKMVNPGKATILALGKAFPHQLVMQEFLVDGYFKNTNCDDPDLRKKLSRLCKTTTVKTRYVVMSEEILKQYPELAIEGLPTVKQRLDICNDAVTEMAVEASRVCIKKWGRPISDLTHLVYVSSSEARLPGGDLYLAKGLGLSPETQRVMLYFMGCSGGAAGLRVAKDIAENNPGSRILLATSETTIIGFKPPSADRPYDLVGAALFGDGAGAMIIGSDPVLSIERPLFELHTAIQNFLPDTEKTIDGKLTEEGISFKLARELPQIIEDNVESFCEKLMATVGLTYKDYNKMFWAVHPGGPAILNRIEKRLDLFPEKLSASRRALMDYGNASSNTIVYVLEYMIEEILKKKQQKKESQEEESEWGLILAFGPGVTFEGILARNLTV >KJB48834 pep chromosome:Graimondii2_0_v6:8:20658960:20664025:-1 gene:B456_008G089500 transcript:KJB48834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTMTRLAEEEHPNKAFGWAARDSSGVLSPFKFSRRATGEKDVAFKVLYCGICHSDLHMAKNEWGMSVYPLVPGHEIVGEVTEVGSKVQKFNVGDRVGVGCVVGSCHSCDSCKNNLENYCPKSILTYGAEYHDGSITYGGYSDTMVADEHFIVRIPDNLPLDVAAPLLCAGITVYSPLRYYGLDKPGLHVGVVGLGGLGHVAVKFAKAMGAKVTVISTSPSKKKEALEHLVADSFLVSRDQDQLQGASGTLDGIIDTVSAQHPLFPLLGLLKSHGKLVLVGAPEKPLELPVFPLLQGRKVVRGSLIGGMKETQEMIDFAAKHNVKPDIEVIAMEYVNTAMERILKADVKYRFVIDIGNTVKATTS >KJB48835 pep chromosome:Graimondii2_0_v6:8:20662264:20664025:-1 gene:B456_008G089500 transcript:KJB48835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTMTRLAEEEHPNKAFGWAARDSSGVLSPFKFSRRATGEKDVAFKVLYCGICHSDLHMAKNEWGMSVYPLVPGHEIVGEVTEVGSKVQKFNVGDRVGVGCVVGSCHSCDSCKNNLENYCPKSILTYGAEYHDGSITYGGYSDTMVADEHFIVRIPDNLPLDVAAPLLCAGITVYSPLRYYGLDKPGLHVGVVGLGGLGHVAVKFAKAMGAKVTVISTSPSKKKEALEHLVADSFLVSRDQDQLQGASGTLDGIIDTVSAQHPLFPLLGLLKSHGKLVLVGAPEKPLELPVFPLLQGRKVVGGSLIGGMKETQEMIDFAAKHNVKPDIEVIAMEYVNTAMERLLKADVKYRFVIDIGNTLKATPS >KJB51466 pep chromosome:Graimondii2_0_v6:8:50412195:50414793:1 gene:B456_008G217500 transcript:KJB51466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLQCPHSQPLRRPLLLLLLLLIIILVSPTSALDFLFNSFTTAVNATNLTLINDARIDTSTIRLTNDSNPLSYGRAFYPTTLRMIPTSNSSTLSSFSTAFVFSVLPQISTSPGFGLCFVLSNSTSPPGALASQYFGIFTNATSPTVAPLLAVEFDTGRNPEFNDPDDNHIGIDLNSIISAQTATAQYFNSSNGSFVPLNMRTGQNVHAWIDFDGSNFEINVTVAPVGVSKPSRPTLNYKDPVIANYVASEMFVGFSASKTQWVEAQRILAWSFSDTGVLREINTTGLPEFFIESSSSSLSSGAIAGIVIGCVAFVIICGSGCYFVWRKKFREESEEEIEDWELEYWPHRFSYDELKQATNGFSNENLLGAGGFGRVFKATLPNTTEVAVKSVNHDSKQGLREFMAEIESMGRLQHKNLVQMRGWCRKGNELMLVYDYMPNGSLNRWIFDEPEKLLGWKQRLLVLADVAEGLNYLHHGWDQVVVHRDIKSSNILLDSEMRGRLGDFGLAKLYEHGQVPNTTRVVGTLGYLAPELATVAVPTASSDVYSFGVVVLEVVCGRRPLEMALEKEEEQVLIDWVRWLYGEGRLREAADARVREEYEGEEVEMMLKLGLACCHPDPSRRPMMKEVVAVLVGEEVGAAPADLLNELARGGGSGSGSGREDL >KJB47585 pep chromosome:Graimondii2_0_v6:8:3856916:3857960:1 gene:B456_008G032100 transcript:KJB47585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLCFLLGRPAEMDDDKFRRRMKKMKRRYSNPTPALLRITVSVVTMVLLLLTICCGFQLTMEPGRYRAIGARAFHVGISTIIFGFLFLIVGLSILADMLLNISEQLPELSGVHQGQQETRTMSKAIRQGLVTVITMFVILWAMYTGFRLTTESGESKQYLLTVSIGVTTILFGLIYFIIGLAIVQELVLEFFTCSQ >KJB48842 pep chromosome:Graimondii2_0_v6:8:21118330:21127475:-1 gene:B456_008G089900 transcript:KJB48842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator 3 [Source:Projected from Arabidopsis thaliana (AT2G22300) UniProtKB/Swiss-Prot;Acc:Q8GSA7] MPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAGSIDVLHCYYAHGEENENFQRRSYWMLEEDLSHIVLVHYRDVKGNRTNFNRLKETEGGIPYSQEAVGIVPNSEVESSLSSNFLPNNSQIPSQTMDTASMNSVHVQASEYEDAESVSNHQASSQFHSFLDSHQPVAGRADTRFYDPYVHVSHSNNCYGKPSITAFQLTQTDKDREYNVAGITYEPQKNLDFTSWEDVLENCDRGVESAQYQPPFTLKQNDTVGLLFDNSFLKKQAFEDQSHAQEEWQGYEGDSSHIVKWSLDQKLHPDLRYDLTSKFDEEVNHNLHPEKQHDHYLLNNQLTDPSKGDHEYVPKPDSENYLTLEGKSVYSSAMRPHLFDGSLAEGLKKLDSFNRWMSKELGDVDESHTHSSSGAYWDEVEGQNGIDVSSIPSQEQLDTFMLGPSLSHDQLFSIIDFSPNWAYVGSEIKVLITGRFLKSQGHAENCKWSCMFGEVEVPAEVIADGVLRCHTPKHEAGRVPFYVTCSNRLACSEVREFEYRVSHILDIDTVDNPSSNAIKILDMRFGRLLCLGSSSPASNTNSIADISQLSSKINSLLKEDVEEWDQMLAHNLEEDFFLEKLKEQLLKKLLKEKLRVWLLQKIVEGGKGPSILDKGGQGVIHFASALGYDWALEPTIVAGVSVNFRDVNGWNALHWAASSGRERTVASLISLGAAPGALTDPTPEYPLGRTPADLASANGHKGISGYLAECDLSSHLLSLNLDKQGSASTTDSRPDVIQKILELKTAPLNYGDASDGPSLKDSLAAVRNATQAAARIHQVFRVQSFQNRQLKEYGNDKYGMSDERALSLLAVKSNKPGQHDERVHAAAIRIQNKFRGWKGRKEFLIIRQRIVKIQAHVRGHQVRKNYRKIVWSVGIVEKVILRWRRKGSGLRGFKPETLTKGPSVSVPSKEDDYDFLKKGRKQTEERLQKALARVKSMALNPAGRDQYSRIKNVVTEIQEKVLYDKVLNFAGETTDLDKDLIDLEKLLDEDTFMQTAP >KJB48840 pep chromosome:Graimondii2_0_v6:8:21118330:21126810:-1 gene:B456_008G089900 transcript:KJB48840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator 3 [Source:Projected from Arabidopsis thaliana (AT2G22300) UniProtKB/Swiss-Prot;Acc:Q8GSA7] MCCLSILLILFLGGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAGSIDVLHCYYAHGEENENFQRRSYWMLEEDLSHIVLVHYRDVKGNRTNFNRLKETEGGIPYSQEAVGIVPNSEVESSLSSNFLPNNSQIPSQTMDTASMNSVHVQASEYEDAESVSNHQASSQFHSFLDSHQPVAGRADTRFYDPYVHVSHSNNCYGKPSITAFQLTQTDKDREYNVAGITYEPQKNLDFTSWEDVLENCDRGVESAQYQPPFTLKQNDTVGLLFDNSFLKKQAFEDQSHAQEEWQGYEGDSSHIVKWSLDQKLHPDLRYDLTSKFDEEVNHNLHPEKQHDHYLLNNQLTDPSKGDHEYVPKPDSENYLTLEGKSVYSSAMRPHLFDGSLAEGLKKLDSFNRWMSKELGDVDESHTHSSSGAYWDEVEGQNGIDVSSIPSQEQLDTFMLGPSLSHDQLFSIIDFSPNWAYVGSEIKVLITGRFLKSQGHAENCKWSCMFGEVEVPAEVIADGVLRCHTPKHEAGRVPFYVTCSNRLACSEVREFEYRVSHILDIDTVDNPSSNAIKILDMRFGRLLCLGSSSPASNTNSIADISQLSSKINSLLKEDVEEWDQMLAHNLEEDFFLEKLKEQLLKKLLKEKLRVWLLQKIVEGGKGPSILDKGGQGVIHFASALGYDWALEPTIVAGVSVNFRDVNGWNALHWAASSGRERTVASLISLGAAPGALTDPTPEYPLGRTPADLASANGHKGISGYLAECDLSSHLLSLNLDKQGSASTTDSRPDVIQKILELKTAPLNYGDASDGPSLKDSLAAVRNATQAAARIHQVFRVQSFQNRQLKEYGNDKYGMSDERALSLLAVKSNKPGQHDERVHAAAIRIQNKFRGWKGRKEFLIIRQRIVKIQAHVRGHQVRKNYRKIVWSVGIVEKVILRWRRKGSGLRGFKPETLTKGPSVSVPSKEDDYDFLKKGRKQTEERLQKALARVKSMALNPAGRDQYSRIKNVVTEIQEKVLYDKVLNFAGETTDLDKDLIDLEKLLDEDTFMQTAP >KJB48843 pep chromosome:Graimondii2_0_v6:8:21118869:21126994:-1 gene:B456_008G089900 transcript:KJB48843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator 3 [Source:Projected from Arabidopsis thaliana (AT2G22300) UniProtKB/Swiss-Prot;Acc:Q8GSA7] MAESRRYVLTNQLDIDQILLEAQHRWLRPAEICEILRNYKKFHISSEPAHMPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAGSIDVLHCYYAHGEENENFQRRSYWMLEEDLSHIVLVHYRDVKGNRTNFNRLKETEGGIPYSQEAVGIVPNSEVESSLSSNFLPNNSQIPSQTMDTASMNSVHVQASEYEDAESVSNHQASSQFHSFLDSHQPVAGRADTRFYDPYVHVSHSNNCYGKPSITAFQLTQTDKDREYNVAGITYEPQKNLDFTSWEDVLENCDRGVESAQYQPPFTLKQNDTVGLLFDNSFLKKQAFEDQSHAQEEWQGYEGDSSHIVKWSLDQKLHPDLRYDLTSKFDEEVNHNLHPEKQHDHYLLNNQLTDPSKGDHEYVPKPDSENYLTLEGKSVYSSAMRPHLFDGSLAEGLKKLDSFNRWMSKELGDVDESHTHSSSGAYWDEVEGQNGIDVSSIPSQEQLDTFMLGPSLSHDQLFSIIDFSPNWAYVGSEIKVLITGRFLKSQGHAENCKWSCMFGEVEVPAEVIADGVLRCHTPKHEAGRVPFYVTCSNRLACSEVREFEYRVSHILDIDTVDNPSSNAIKILDMRFGRLLCLGSSSPASNTNSIADISQLSSKINSLLKEDVEEWDQMLAHNLEEDFFLEKLKEQLLKKLLKEKLRVWLLQKIVEGGKGPSILDKGGQGVIHFASALGYDWALEPTIVAGVSVNFRDVNGWNALHWAASSGRERTVASLISLGAAPGALTDPTPEYPLGRTPADLASANGHKGISGYLAECDLSSHLLSLNLDKQGSASTTDSRPDVIQKILELKTAPLNYGDASDGPSLKDSLAAVRNATQAAARIHQVFRVQSFQNRQLKEYGNDKYGMSDERALSLLAVKSNKPGQHDERVHAAAIRIQNKFRGWKGRKEFLIIRQRIVKIQAHVRGHQVRKNYRKIVWSVGIVEKVILRWRRKGSGLRGFKPETLTKGPSVSVPSKEDDYDFLKKGRKQTEERLQKALARVKSMALNPAGRDQYSRIKNVVTEIQEKVLYDKVLNFAGETTDLDKDLIDLEKLLDEDTFMQTAP >KJB48839 pep chromosome:Graimondii2_0_v6:8:21118330:21123443:-1 gene:B456_008G089900 transcript:KJB48839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator 3 [Source:Projected from Arabidopsis thaliana (AT2G22300) UniProtKB/Swiss-Prot;Acc:Q8GSA7] MNSHFLVFLFYFLKFCQGNRTNFNRLKETEGGIPYSQEAVGIVPNSEVESSLSSNFLPNNSQIPSQTMDTASMNSVHVQASEYEDAESVSNHQASSQFHSFLDSHQPVAGRADTRFYDPYVHVSHSNNCYGKPSITAFQLTQTDKDREYNVAGITYEPQKNLDFTSWEDVLENCDRGVESAQYQPPFTLKQNDTVGLLFDNSFLKKQAFEDQSHAQEEWQGYEGDSSHIVKWSLDQKLHPDLRYDLTSKFDEEVNHNLHPEKQHDHYLLNNQLTDPSKGDHEYVPKPDSENYLTLEGKSVYSSAMRPHLFDGSLAEGLKKLDSFNRWMSKELGDVDESHTHSSSGAYWDEVEGQNGIDVSSIPSQEQLDTFMLGPSLSHDQLFSIIDFSPNWAYVGSEIKVLITGRFLKSQGHAENCKWSCMFGEVEVPAEVIADGVLRCHTPKHEAGRVPFYVTCSNRLACSEVREFEYRVSHILDIDTVDNPSSNAIKILDMRFGRLLCLGSSSPASNTNSIADISQLSSKINSLLKEDVEEWDQMLAHNLEEDFFLEKLKEQLLKKLLKEKLRVWLLQKIVEGGKGPSILDKGGQGVIHFASALGYDWALEPTIVAGVSVNFRDVNGWNALHWAASSGRERTVASLISLGAAPGALTDPTPEYPLGRTPADLASANGHKGISGYLAECDLSSHLLSLNLDKQGSASTTDSRPDVIQKILELKTAPLNYGDASDGPSLKDSLAAVRNATQAAARIHQVFRVQSFQNRQLKEYGNDKYGMSDERALSLLAVKSNKPGQHDERVHAAAIRIQNKFRGWKGRKEFLIIRQRIVKIQAHVRGHQVRKNYRKIVWSVGIVEKVILRWRRKGSGLRGFKPETLTKGPSVSVPSKEDDYDFLKKGRKQTEERLQKALARVKSMALNPAGRDQYSRIKNVVTEIQEKVLYDKVLNFAGETTDLDKDLIDLEKLLDEDTFMQTAP >KJB48841 pep chromosome:Graimondii2_0_v6:8:21118330:21126846:-1 gene:B456_008G089900 transcript:KJB48841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator 3 [Source:Projected from Arabidopsis thaliana (AT2G22300) UniProtKB/Swiss-Prot;Acc:Q8GSA7] MPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAGSIDVLHCYYAHGEENENFQRRSYWMLEEDLSHIVLVHYRDVKGNRTNFNRLKETEGGIPYSQEAVGIVPNSEVESSLSSNFLPNNSQIPSQTMDTASMNSVHVQASEYEDAESVSNHQASSQFHSFLDSHQPVAGRADTRFYDPYVHVSHSNNCYGKPSITAFQLTQTDKDREYNVAGITYEPQKNLDFTSWEDVLENCDRGVESAQYQPPFTLKQNDTVGLLFDNSFLKKQAFEDQSHAQEEWQGYEGDSSHIVKWSLDQKLHPDLRYDLTSKFDEEVNHNLHPEKQHDHYLLNNQLTDPSKGDHEYVPKPDSENYLTLEGKSVYSSAMRPHLFDGSLAEGLKKLDSFNRWMSKELGDVDESHTHSSSGAYWDEVEGQNGIDVSSIPSQEQLDTFMLGPSLSHDQLFSIIDFSPNWAYVGSEIKVLITGRFLKSQGHAENCKWSCMFGEVEVPAEVIADGVLRCHTPKHEAGRVPFYVTCSNRLACSEVREFEYRVSHILDIDTVDNPSSNAIKILDMRFGRLLCLGSSSPASNTNSIADISQLSSKINSLLKEDVEEWDQMLAHNLEEDFFLEKLKEQLLKKLLKEKLRVWLLQKIVEGGKGPSILDKGGQGVIHFASALGYDWALEPTIVAGVSVNFRDVNGWNALHWAASSGRERTVASLISLGAAPGALTDPTPEYPLGRTPADLASANGHKGISGYLAECDLSSHLLSLNLDKQGSASTTDSRPDVIQKILELKTAPLNYGDASDGPSLKDSLAAVRNATQAAARIHQVFRVQSFQNRQLKEYGNDKYGMSDERALSLLAVKSNKPGQHDERVHAAAIRIQNKFRGWKGRKEFLIIRQRIVKIQAHVRGHQVRKNYRKIVWSVGIVEKVILRWRRKGSGLRGFKPETLTKGPSVSVPSKEDDYDFLKKGRKQTEERLQKALARVKSMALNPAGRDQYSRIKNVVTEIQEKVLYDKVLNFAGETTDLDKDLIDLEKLLDEDTFMQTAP >KJB52649 pep chromosome:Graimondii2_0_v6:8:55047798:55051427:-1 gene:B456_008G271300 transcript:KJB52649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKSKYETTARSWTQKYAMG >KJB52650 pep chromosome:Graimondii2_0_v6:8:55047233:55051359:-1 gene:B456_008G271300 transcript:KJB52650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKSKYETTARSWTQKYAMG >KJB52364 pep chromosome:Graimondii2_0_v6:8:53939183:53939422:1 gene:B456_008G258100 transcript:KJB52364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQHSMQLSQIQCNPSILASSYINFSQTPTIPFEDSEYRLQFTCKSGNFEAHKCFHRKPRCVDKVKPNS >KJB47441 pep chromosome:Graimondii2_0_v6:8:3147908:3149731:-1 gene:B456_008G026700 transcript:KJB47441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPRRLQVFDFSFLFFCFLSSLSISSFALSDAEASYLSQRQLLTLPENGELPDGFEFQVQINLKFDNSRLRRAYIALQAWKKAMYSDPKNITNNWVGPNVCGYSGVFCAQALDDPKINVVAGVDLNHADIAGYLPAELGLMTDLALFHINSNRFCGIIPKSFSELTLMHEFDVSNNRFVGPFPDVTLSWSSVRYIDIRFNNFEGQIPPELFEMKLDALFLNNNRFTSTISETIGQSTVSVVTFANNKFKGCIPRSIGKMENLDEIIFMNNDLGGCFPPEVGSLKNLTVFDASLNSFVGALPQEFSNLIGVNVLDISHNKLTGVLPENICKLPSLSNFSFSQNYFNGAANACVPTLRKDIVLDDTGNCLGDRPKQKLGNECEAVLSHPIDCSKDKCGGGSSPKPPVKAAPKPQPKPPVHSPPQQVLSPPPPVYSPPPPVLSPPPPVYSPPPQPVRSPPPPPVQSPPPPPPQPVLSPPPPVYSPPPPVQSPPPPVLSPPPPVSFPPPPVQSPPPPVLSPPPPVSFPPPPVLSPPPPVSFPPPPVQSPPPPVLSPPPPVSFPPPPVQSPPPPTSPPVVALTPPPTKKVVLPPNLGFQYSSPPPPMFPGY >KJB52110 pep chromosome:Graimondii2_0_v6:8:57122351:57123784:1 gene:B456_008G298900 transcript:KJB52110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEKEVLALLSKLDLDNIHFTDSPPEDAKQSVHLIASEGLEAYLPLADMVDISAEVQRLTKRLSKMQTEYEGLKARLNSPKFIEKAPKDVVRGVQEKAAEAE >KJB46785 pep chromosome:Graimondii2_0_v6:8:187656:188323:1 gene:B456_008G000700 transcript:KJB46785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMICFTLPSISFVSSIFQSIPIKILSCQNQKSQLPIYALVYAIIVSPNHNFQSYVAKTRNKYCNLTACENVTMSYPFQIPTQPPKCGAIGSNSSATATTALFYP >KJB51529 pep chromosome:Graimondii2_0_v6:8:50723238:50726557:1 gene:B456_008G220500 transcript:KJB51529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKDGKYNSQPEKPFKIVPATLLVIVLCGFSFYLGGIFCSEKSRLEGKSVQYVSKTVSSPKEPAVDPLQIKSMAFQECSADFQDYTPCTDPRRWRKYGSHRLTFLERHCPPMLERKQCLVPPPDGYKPPIRWPKSRDECWYRNVPYNWINKQKSNQNWLRKQGEKFLFPGGGTMFPRGVGAYVDLMQDLIPEMRDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKSDYEKLEGLLTSMCFKMYAKKDDIAVWQKSSDSSCYNKLAEPDVYPAKCDDSLEPDSAWYTPIRPCVVVPKPELKKSALESLPKWPERLQVAPERISNVPGGSSSALKHDDSKWKVRAKHYKKLLPALGTNEIRNVMDMNTVYGGFAAAVIDDPLWTMNVVSSYAANTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLHLDGLFTAESHRCDMKYVLLEMDRILRPKGYALIRESSYFIDAIASIAKGLRWSCHREDTEYGVAKEKLLVCQKKLWYSASSSSR >KJB51530 pep chromosome:Graimondii2_0_v6:8:50722201:50726560:1 gene:B456_008G220500 transcript:KJB51530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKDGKYNSQPEKPFKIVPATLLVIVLCGFSFYLGGIFCSEKSRLEGKSVQYVSKTVSSPKEPAVDPLQIKSMAFQECSADFQDYTPCTDPRRWRKYGSHRLTFLERHCPPMLERKQCLVPPPDGYKPPIRWPKSRDECWYRNVPYNWINKQKSNQNWLRKQGEKFLFPGGGTMFPRGVGAYVDLMQDLIPEMRDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKSDYEKLEGLLTSMCFKMYAKKDDIAVWQKSSDSSCYNKLAEPDVYPAKCDDSLEPDSAWYTPIRPCVVVPKPELKKSALESLPKWPERLQVAPERISNVPGGSSSALKHDDSKWKVRAKHYKKLLPALGTNEIRNVMDMNTVYGGFAAAVIDDPLWTMNVVSSYAANTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLHLDGLFTAESHRCDMKYVLLEMDRILRPKGYALIRESSYFIDAIASIAKGLRWSCHREDTEYGVAKEKLLVCQKKLWYSASSSSR >KJB51531 pep chromosome:Graimondii2_0_v6:8:50722978:50726560:1 gene:B456_008G220500 transcript:KJB51531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKDGKYNSQPEKPFKIVPATLLVIVLCGFSFYLGGIFCSEKSRLEGKSVQYVSKTVSSPKEPAVDPLQIKSMAFQECSADFQDYTPCTDPRRWRKYGSHRLTFLERHCPPMLERKQCLVPPPDGYKPPIRWPKSRDECWYRNVPYNWINKQKSNQNWLRKQGEKFLFPGGGTMFPRGVGAYVDLMQDLIPEMRDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKSDYEKLEGLLTSMCFKMYAKKDDIAVWQKSSDSSCYNKLAEPDVYPAKCDDSLEPDSAWYTPIRPCVVVPKPELKKSALESLPKWPERLQVAPERISNVPGGSSSALKHDDSKWKVRAKHYKKLLPALGTNEIRNVMDMNTVYGGFAAAVIDDPLWTMNVVSSYAANTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLHLDGLFTAESHRCDMKYVLLEMDRILRPKGYALIRESSYFIDAIASIAKGLRWSCHREDTEYGVAKEKLLVCQKKLWYSASSSSR >KJB47655 pep chromosome:Graimondii2_0_v6:8:4228664:4230140:1 gene:B456_008G035200 transcript:KJB47655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLHLSSTFLSFLFLISLLSEVNPASFKIVNRCRHTIWPGFLSGANTPQLPTTGFVLNPGKSRTVTIPRSWSGRLWGRALCGQDSSGKFVCLSGDCGSGQIECSGSGAKPPATLAEFTLNGDGGLDFYDVSLVDGYNLPMLVVAKGGKGGNCSATGCLLDLNGACPPQLRGDGGVGCRSACEAFGDPQYCCSGAFGTPDVCHPSVFSLFFKHACPRAYSYAYDDVTSTYTCAGADYVIIFCPPPYSSQKVFGARKDGALLPLVNKSTIYLSRIHANRAALSGK >KJB47657 pep chromosome:Graimondii2_0_v6:8:4228513:4230563:1 gene:B456_008G035200 transcript:KJB47657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLHLSSTFLSFLFLISLLSEVNPASFKIVNRCRHTIWPGFLSGANTPQLPTTGFVLNPGKSRTVTIPRSWSGRLWGRALCGQDSSGKFVCLSGDCGSGQIECSGSGAKPPATLAEFTLNGDGGLDFYDVSLVDGYNLPMLVVAKGGKGGNCSATGCLLDLNGACPPQLRGDGGVGCRSACEAFGDPQYCCSGAFGTPDVCHPSVFSLFFKHACPRAYSYAYDDVTSTYTCAGADYVIIFCPPPYSSQKVFGARKDGALLPLVNKSTIYLSRIHANRAALSVARYS >KJB47654 pep chromosome:Graimondii2_0_v6:8:4228664:4230106:1 gene:B456_008G035200 transcript:KJB47654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLHLSSTFLSFLFLISLLSEVNPASFKIVNRCRHTIWPGFLSGANTPQLPTTGFVLNPGKSRTVTIPRSWSGRLWGRALCGQDSSGKFVCLSGDCGSGQIECSGSGAKPPATLAEFTLNGDGGLDFYDVSLVDGYNLPMLVVAKGGKGGNCSATGCLLDLNGACPPQLRGDGGVGCRSACEAFGDPQYCCSGAFGTPDVCHPSVFSLFFKHACPRAYSYAYDDVTSTYTCAGADYVIIFCPPPYSSQKVFGARKDGALLPLVNKSTIYLSRIHANRAALSGLPQSQFTASAAPSALTLLLFWQLILPL >KJB47656 pep chromosome:Graimondii2_0_v6:8:4228503:4230565:1 gene:B456_008G035200 transcript:KJB47656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLHLSSTFLSFLFLISLLSEVNPASFKIVNRCRHTIWPGFLSGANTPQLPTTGFVLNPGKSRTVTIPRSWSGRLWGRALCGQDSSGKFVCLSGDCGSGQIECSGSGAKPPATLAEFTLNGDGGLDFYDVSLVDGYNLPMLVVAKGGKGGNCSATGCLLDLNGACPPQLRGDGGVGCRSACEAFGDPQYCCSGAFGTPDVCHPSVFSLFFKHACPRAYSYAYDDVTSTYTCAGADYVIIFCPPPYSRLLTAIGVSRLPQEVFCISPALKGFNFYCQYNTCKFSKALNFKYVQLECSNKLKAFVFHSIHTNHSHFQKMF >KJB51047 pep chromosome:Graimondii2_0_v6:8:48366390:48368624:-1 gene:B456_008G198800 transcript:KJB51047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKRCLYEVLGLSRDCSQDEIRFAYKKLALQRHPDKLVQSGLSQAEATAQFQELVHAYEVLSDPKERSWYDSHRSQILFADRNTSANSPVPDLFSFFSNTVYSGYSDSGRGFYKVYSDLFSKIYANEINFARKLGLGLDIVKEAPLMGNLESPYGQVTAFYNYWLGFSTVMDFVWVDEYDAMAGPNRKSRRVMEEENKKLRKKAKREYNETVRGLAEFVKKRDKRVIDMSVKRKEEMERKKEEERERKRKLEKERLARVKAYEEPEWAKVEEEEVDNWDEMDEKEREKEEFYCVACGKKFKSEKQWKNHEQSKKHKEKVAELRESIIEEEEEEGDLEVEADVEEKFREGLRIEEEREDEGENRVGELSEGDDGFFYADAGDEDEEEVEVDSANDHDEENSILEAMVSGQKDKKNVSFKSEGMVSPTGFHVKDESDEGEFMNYGNRKNRRRNRTGKKEKGKKNSDDAMKTDVNETKSKNEEASVSDRTLHGEEKQLVEDEGSSGEKDDKLGNGDKVSKQPTDRKGNTKKETNTKSNKSSKGKKAKATAKHSGNVCETCGEEFQSKYVNVIF >KJB51046 pep chromosome:Graimondii2_0_v6:8:48365550:48368811:-1 gene:B456_008G198800 transcript:KJB51046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKRCLYEVLGLSRDCSQDEIRFAYKKLALQRHPDKLVQSGLSQAEATAQFQELVHAYEVLSDPKERSWYDSHRSQILFADRNTSANSPVPDLFSFFSNTVYSGYSDSGRGFYKVYSDLFSKIYANEINFARKLGLGLDIVKEAPLMGNLESPYGQVTAFYNYWLGFSTVMDFVWVDEYDAMAGPNRKSRRVMEEENKKLRKKAKREYNETVRGLAEFVKKRDKRVIDMSVKRKEEMERKKEEERERKRKLEKERLARVKAYEEPEWAKVEEEEVDNWDEMDEKEREKEEFYCVACGKKFKSEKQWKNHEQSKKHKEKVAELRESIIEEEEEEGDLEVEADVEEKFREGLRIEEEREDEGENRVGELSEGDDGFFYADAGDEDEEEVEVDSANDHDEENSILEAMVSGQKDKKNVSFKSEGMVSPTGFHVKDESDEGEFMNYGNRKNRRRNRTGKKEKGKKNSDDAMKTDVNETKSKNEEASVSDRTLHGEEKQLVEDEGSSGEKDDKLGNGDKVSKQPTDRKGNTKKETNTKSNKSSKGKKAKATAKHSGNVCETCGEEFQSKNKLHKHLGDSGHATLKFR >KJB51048 pep chromosome:Graimondii2_0_v6:8:48365960:48368761:-1 gene:B456_008G198800 transcript:KJB51048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKRCLYEVLGLSRDCSQDEIRFAYKKLALQRHPDKLVQSGLSQAEATAQFQELVHAYEVLSDPKERSWYDSHRSQILFADRNTSANSPVPDLFSFFSNTVYSGYSDSGRGFYKVYSDLFSKIYANEINFARKLGLGLDIVKEAPLMGNLESPYGQVTAFYNYWLGFSTVMDFVWVDEYDAMAGPNRKSRRVMEEENKKLRKKAKREYNETVRGLAEFVKKRDKRVIDMSVKRKEEMERKKEEERERKRKLEKERLARVKAYEEPEWAKVEEEEVDNWDEMDEKEREKEEFYCVACGKKFKSEKQWKNHEQSKKHKEKVAELRESIIEEEEEEGDLEVEADVEEKFREGLRIEEEREDEGENRVGELSEGDDGFFYADAGDEDEEEVEVDSANDHDEENSILEAMVSGQKDKKNVSFKSEGMVSPTGFHVKDESDEGEFMNYGNRKNRRRNRTGKKEKGKKNSDDAMKTDVNETKSKNEEASVSDRTLHGEEKQLVEDEGSSGEKDDKLGNGDKVSKQPTDRKGNTKKETNTKSNKSSKGKKAKATAKHSGNVCETCGEEFQSKNKLHKHLGDSGHATLKFR >KJB47469 pep chromosome:Graimondii2_0_v6:8:3272606:3276214:1 gene:B456_008G027800 transcript:KJB47469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYELVKDIGSGNFGVARLMRNKETKELVAMKYIDRGQKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTISDNVNSIQNPRLCSHIPRLQTPPFSHICC >KJB47472 pep chromosome:Graimondii2_0_v6:8:3272606:3276214:1 gene:B456_008G027800 transcript:KJB47472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYELVKDIGSGNFGVARLMRNKETKELVAMKYIDRGQKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTISRIMSIQYKIPDYVHISQDCRHLLSRIFVASPSRRISIKDIKSHPWFLKNLPRELTEAAQAAYYRKENPTFSLQSVDEIMKIVEEAKVAPRVSRSIGGFGWEDEYEKTVKEVHASGEIHVS >KJB47471 pep chromosome:Graimondii2_0_v6:8:3273619:3275791:1 gene:B456_008G027800 transcript:KJB47471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTISRIMSIQYKIPDYVHISQDCRHLLSRIFVASPSRRISIKDIKSHPWFLKNLPRELTEAAQAAYYRKENPTFSLQSVDEIMKIVEEAKVAPRVSRSIGGFGWGGEEDGDAKEEDAEEEVEEEDEEDEYEKTVKEVHASGEIHVS >KJB47470 pep chromosome:Graimondii2_0_v6:8:3272606:3276214:1 gene:B456_008G027800 transcript:KJB47470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYELVKDIGSGNFGVARLMRNKETKELVAMKYIDRGQKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTISRIMSIQYKIPDYVHISQDCRHLLSRIFVASPSRV >KJB47468 pep chromosome:Graimondii2_0_v6:8:3272577:3276235:1 gene:B456_008G027800 transcript:KJB47468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYELVKDIGSGNFGVARLMRNKETKELVAMKYIDRGQKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTISRIMSIQYKIPDYVHISQDCRHLLSRIFVASPSRRISIKDIKSHPWFLKNLPRELTEAAQAAYYRKENPTFSLQSVDEIMKIVEEAKVAPRVSRSIGGFGWGGEEDGDAKEEDAEEEVEEEDEEDEYEKTVKEVHASGEIHVS >KJB48894 pep chromosome:Graimondii2_0_v6:8:23110839:23111176:1 gene:B456_008G092400 transcript:KJB48894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFSFNLFIFAAILIIASHSTMMVEARGPIVLFGCNKVEDCGRFCAKCAHCKCINHFCTCLSNPPPFP >KJB48113 pep chromosome:Graimondii2_0_v6:8:7799546:7800559:-1 gene:B456_008G054000 transcript:KJB48113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISFNPRLNVQGGRKNHGVVVEEIEGLIRIYGDGQVERPPIIPSAPCMVTNGVVSKDVAIEKFTNLWTRIYVPSYSSKMPLLVYFHGGGFCVGSASWRCYHEFLAALASKAGCIILSLNYRLAPENRLPAAYDDGINTLMWVKQEARNGANEHKWWLNQCRYSSLFLAGDSAGANIAYNVAIRSYGISGSSIKPLILKGIILIQPFFGGEERTISEMHAAQPPNSALTLSVFDTYWRLSLPLGANRDHPWCNPLAEGATTLRELKLPGAMVCISEMDILKDRNLEFCNALASAGKWVETRMYKGVGHAFQILHNSQFSQIRTQEMMSHLKAFINQ >KJB47167 pep chromosome:Graimondii2_0_v6:8:1576504:1579473:-1 gene:B456_008G013700 transcript:KJB47167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHYCMRLHYYIFLMGNTCRGSGQLHQGFSEPEERSSKQSYASSDHSTSDYSRSGLNDQQLAPQVIPKSTTKDANLVTTVPPKKENSMKRGFDHHVCHVLGHKTPNIRDLYTIGRKLGQGQFGTTYLCTEISTSFEYACKSICKRKLLSKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDTLYVHIVMELCSGGELFDRIIERGHYTERKAAALTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGNIDFDSDPWPVISDSAKDLIRKMLCSQPSERLTAHEVVCHPWISQNGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMNAADVDNSGSIDYGEFIAATVHLNKLEREEHLVAAFKYFDKDNSGYITVDELQQACAEHNITDVLLEDIIREVDQDNDGRIDYGEFVAMMQKDNSGIGRRTMRNSLNLSMRDPVGAQ >KJB50735 pep chromosome:Graimondii2_0_v6:8:46477848:46482146:-1 gene:B456_008G184700 transcript:KJB50735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLPMDSHSQFSYPSPQPQAAYYSCAPPPQLQTQPQQAFIVYPQPQNNVNVAQVPPYQTSSPVAAALPQNQHLAHIVIPRNGSLQSNAPIGKSVQHLPMPSPQMKAVPTGSWTTGLFDCMEDPTNALITALFPCVTFGQIADVLDNGHTNCATSGIVYAVAPCFVSGPHRKKLRQRFGLIEAPASDRIIHSIFEPCALCQEYRELNNRGINPALGYHGNLSKQQTATMMVPPRNQMMN >KJB50314 pep chromosome:Graimondii2_0_v6:8:43092711:43093240:-1 gene:B456_008G163100 transcript:KJB50314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGLSWADQWDTNPDPSPAEPDKKKKKKKEEGSTKSKFSKSVLSFKWIKELRKKAEQKNNDGK >KJB49196 pep chromosome:Graimondii2_0_v6:8:33308321:33311292:-1 gene:B456_008G105800 transcript:KJB49196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKQAGSSLDSLISSFNTRIAELQELVIARNMYPASSVTDLSAIDAALKGMELQVKAIKDRLHEETEAIPKAKKLIAKSLRQQKKLQSLSVYAPSYLPERTATLNLDSDRGLVPEACKQQPNQSTMVVEPAAIPKEKKSRGSPLLWYITAGELDSLSSYMRGRLTLEKVNAAINDMATYAEANAQLIAAPKKKLAENQWERSLELRDIATTESIKGKHFFLETDMKGPSLKLDNTGKAILTVLRHLGRISETRMGHHRVIILLKPQ >KJB49468 pep chromosome:Graimondii2_0_v6:8:35713448:35714642:1 gene:B456_008G120600 transcript:KJB49468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVVYTDVFVPKFFVHTLSFLGFIRNLILSLFNYLGLSDFLETDTDWPENPTRTTPLKPPVPALLIREILPVVKFEELAVVGGGDPPESCAVCLCEFEGKEEIRWLKNCQHVFHRTCLDRWMDHDQVTCPLCRTSFVPDEMQAEFNQRLWAASGIVDIYSEYGSVAGL >KJB47158 pep chromosome:Graimondii2_0_v6:8:1447382:1456714:-1 gene:B456_008G013100 transcript:KJB47158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAMSIHQTYLKDICRIVNGLLKTALGPPSGSTTTLSAVQDVTFRHESVKCLVGIIKSMGAWMDGQLKIGHSDSPKSFENDTLAESHSTSTAEDGTLADCELHPEMNSELSDAATVEQRRAYKIELLKGVSLFNRKPSKGIEFLINTRKVGNTPEEVASFLKKNTTGLNETMIGDYLGEREEFALKVMHAYVDSFNFKSMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNNMVKDKMTKSDFIRNNRGINDGKDLPEEYLGALYDQIVKNEIKMNADSSVPQSKQENSLNKLLGLDGILNLVSWKQTEDKPLGANGLLIRHIQEQFKAKSGKSESVYHVVSDVSILRFMVEVCWGPMLAAFSVTLDQSDDRLATTHCLLGFRHAVHVTAVLGMQTQRDAFVTSVAKFTFLHCAADMKQKNVDAVKAIITIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPTDASFLSVSNTETDEKMAKSAGLQSLKNKGTLQNLAVMAVVRGGSYDSASVGVNNSGLVSPEQINHFIANLNLLEQIGSFELNHVFAHSQRLNSEAIVAFVKALCKVSISELQSPTDPRVFSLTKLVEIAHYNMNRIRLVWSRMWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIIMWKSNSTEIRELIVRCISQMVLSRVRNVKSGWKSVFSVFTAAAADEHKNVVLLAFETMEKIVREYFPYITETEAATFTDCVRCLIKFTNSRFNSDVSLNAIAFLRFCAFKLAEGGLVCTDKSSDDGSSVSTVIKDDSGVQSFTDIDDPGSYWGPLLTGLSKLTSDSRLAIRKSSLEVLFNILNDHGHLFSQTFWLGVFSSVVLPIFDGVCEKRDKALQTEQDSPTAESPHRDAITWDTETSAVALQCLVDLFISFYDVLRPRLSDVVCILTRYLRSSIQGPASTGVAALSHLTGELGSRLSEDEWQEILLALKEAAMSTLPGFKKLLTTMDDIKVPHNSQSYSNTETSSDHGLTNDDLEDDNLQNVAYVVSRTKSHISVQLLILEVVTDMYRTHLQFLSAANINIIVEIFSSISSHALQLNSETTMQKKIQIACSILELSEPPMIHFEKEAYQNYLDFLQYLVKKNLSISKEMNLELLLVAVCEKIMQIYLNCTTVNHNVQQKSGDKVVVVTHWTLPLSSAKKEELAARTPLLVSALRALSGLERDSFRKYISNVFLLLVDLVQSEHSSGEVQRVLSNIFQSCIGTIIMQ >KJB47157 pep chromosome:Graimondii2_0_v6:8:1447364:1456714:-1 gene:B456_008G013100 transcript:KJB47157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPQTLGGPSRCGRVLGPSLDKIVKNAAWRKHSHIVSSCKSALEKLDTLSDSALSDPSSPLLGLSSSDADFVLNPILLALDSNYAKLADPALESLFKLFSLGLVRGEIESNIPNSILYKIVQSVCKVGGIGDESIELAVLHVLLSAVRCPCVLIRGDCLLHVIRTCYNVYLSALNGTNQVCAKSVLAQIVLIVFTRAEEDSMDVSTKTVPVSELLEFTDKNLNEGSSIYYCQKFVSEVVNASEGVPDLKFSQPITGPELQNGESKVSNGEEKDGVEEEETKEGVESSSDGVTSKIREDGFLVFKYLCKLSMKFSSQENPDDEILLRGKTVSLELLKVIMNNGGSIWHTNERFLNAIKQYLCLSLLKNSALSVMSIFQLLCSIFMSLITKYRSGLKAEIGIFFPMLILRVLENILQPSFVQKMTVLNLLEKIVGDPQIIIDIFVNYDCDVDSPNIFERIVNGLLKTALGPPSGSTTTLSAVQDVTFRHESVKCLVGIIKSMGAWMDGQLKIGHSDSPKSFENDTLAESHSTSTAEDGTLADCELHPEMNSELSDAATVEQRRAYKIELLKGVSLFNRKPSKGIEFLINTRKVGNTPEEVASFLKKNTTGLNETMIGDYLGEREEFALKVMHAYVDSFNFKSMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNNMVKDKMTKSDFIRNNRGINDGKDLPEEYLGALYDQIVKNEIKMNADSSVPQSKQENSLNKLLGLDGILNLVSWKQTEDKPLGANGLLIRHIQEQFKAKSGKSESVYHVVSDVSILRFMVEVCWGPMLAAFSVTLDQSDDRLATTHCLLGFRHAVHVTAVLGMQTQRDAFVTSVAKFTFLHCAADMKQKNVDAVKAIITIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPTDASFLSVSNTETDEKMAKSAGLQSLKNKGTLQNLAVMAVVRGGSYDSASVGVNNSGLVSPEQINHFIANLNLLEQIGSFELNHVFAHSQRLNSEAIVAFVKALCKVSISELQSPTDPRVFSLTKLVEIAHYNMNRIRLVWSRMWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIIMWKSNSTEIRELIVRCISQMVLSRVRNVKSGWKSVFSVFTAAAADEHKNVVLLAFETMEKIVREYFPYITETEAATFTDCVRCLIKFTNSRFNSDVSLNAIAFLRFCAFKLAEGGLVCTDKSSDDGSSVSTVIKDDSGVQSFTDIDDPGSYWGPLLTGLSKLTSDSRLAIRKSSLEVLFNILNDHGHLFSQTFWLGVFSSVVLPIFDGVCEKRDKALQTEQDSPTAESPHRDAITWDTETSAVALQCLVDLFISFYDVLRPRLSDVVCILTRYLRSSIQGPASTGVAALSHLTGELGSRLSEDEWQEILLALKEAAMSTLPGFKKLLTTMDDIKVPHNSQSYSNTETSSDHGLTNDDLEDDNLQNVAYVVSRTKSHISVQLLILEVVTDMYRTHLQFLSAANINIIVEIFSSISSHALQLNSETTMQKKIQIACSILELSEPPMIHFEKEAYQNYLDFLQYLVKKNLSISKEMNLELLLVAVCEKIMQIYLNCTTVNHNVQQKSGDKVVVVTHWTLPLSSAKKEELAARTPLLVSALRALSGLERDSFRKYISNVFLLLVDLVQSEHSSGEVQRVLSNIFQSCIGTIIMQ >KJB51938 pep chromosome:Graimondii2_0_v6:8:52617480:52621207:1 gene:B456_008G239500 transcript:KJB51938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSQFRFFLVLFFVFYVPKWVHGNTELRALMELKASLDPTNKVLESWRSDGDPCSGSFMGVACNEHRKVANISLQGKGLSGKLSPAIAGFKCLSGLYLHYNSLSGKIPQELSQLQELTDLYLNVNNLSGSIPSQIGNMAGLQVLQLCCNQLTGNIPTQIGSLKQLNVVALQYNRLDGKIPPSLGNLRMLKRLDLSFNSLFDAIPPTLADIPELEILDVRNNTLSGLVPSGLKRLNEGFQGDNNPGLCGTGFPLLRRCGPFDGVNINQVEPFRPHLNNTAPEPGVNSHPSNVQGHCNHTHCSRPSRFPEMPVISGVTTMVFIFTVAGLFAVIHYRRQKQKIGNTSGSSEESFSIDQKKEILRNGSVSPLITLEYSYGWDPLGDGWNGIGFSQEHLNKFRFNLEEVESATRCFSESNLLGKTNFSAVYKGVLKDRSIVAIRSINVTSCKSEEAEFVKGLYLLTSLRHENLVRLRGFCFSRGRGECFLIYDFASKGNLSKYLDLEDGSEPVLDWSTRISIINGTAKGVEYLHKSEANKPPIVHRNISVEKVLIDQQFNPLIADSGLHKIFADDLVYSTLKVSAAMGYLAPEYIMTGRFTEKTDVFAFGVIILQILSGKLLLTSSMRSGAETSKFEDFVDKNLRGEFSESMAAKLGKIALNCTNEEPNDRPSMETIIKELNDYSVSS >KJB51919 pep chromosome:Graimondii2_0_v6:8:52499829:52501827:-1 gene:B456_008G238000 transcript:KJB51919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHSASASSLGPGGLDLSQAFFKPIQNTASPSSTKRHTKVSVIGVGNVGMAIAQTILTQDLADELALIDAKADKLRGEMLDLQHAAAFLPRTKIVASVDYAVTVGSDLCIVTAGARQNPGESRLNLLQRNVALFSHIIPPLAKYSPDSILLIVSNPVDVLTYVSWKMSGFPSNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSTISIGGVPVLSFLEKQQIAYEKETLENIHKAVVESAYEVISLKGYTSWAIGYSVANLARSLLRDQRKIHPVSVLAKGFYGIDGDVFLSLPTQLGRGGVLGVTNIHLTDEEMERLRESAKTILEVQSQLGM >KJB50319 pep chromosome:Graimondii2_0_v6:8:43132073:43132607:1 gene:B456_008G163300 transcript:KJB50319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFSFNLLIFAIILIFATHSEMMAEARGPVISCRCSKTEDCHGICVACPNYKCINNLCTCLLDAPPFP >KJB49851 pep chromosome:Graimondii2_0_v6:8:39308604:39309134:-1 gene:B456_008G141400 transcript:KJB49851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKNGTDVGRRAWRFLRLALLWARKGGVFKRRLTMVDLRLVIPKFLKSSTAASRHDRLHYKEREFSFDETPIFHVKVHRFASMRFLLPCISPTEAVDFDDHEIYSCGSGSNSNNSYSTCSDIVEEGEEMGYEGCDEKSPYPLEEEGIDLKAAKFIAKFYEQIKLQRQISDLEYND >KJB47153 pep chromosome:Graimondii2_0_v6:8:1407668:1411166:-1 gene:B456_008G012800 transcript:KJB47153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLYHNSIPIIVILLTLLHIAPATATASFIKERVFDFDSFHDSHRSSLTPLGTDSSSIHGGALQLTPDTTNDDMLEIHYNKSGRIMYNKPFRLWSNDDTLGSFSTAFLINIFREKIWTPGHGLAFLIAPNISAMPRNSFGQWLGLTNASTDGSRDNHIVAIEFDTLRQPDFETDGNHIGLDINSVESKKVASLDEYNITLSPNEGTYYSVWVDYNGTSKMMEVFMVKQGEDKPSDPILSDIIDLKEYVKQDSYFGFSGSTGYPQIQLNCVLKWSLDIDILPKDEGGNWMNIGLAIGVPLVTITISVLAIGIVCIIKHRRRRRKGSIEGGDDRFGKLKWLPGMPREFKYKELKKATNKFDESMKLGEGGFGIVYKGVLHLHDNKNMNNNVSGRSNNHNQDIQVVAVKKFLRDSIKGKDDFLAELAIIHRLRHKNLVRLVGWCYEKGKLLLVYDFMPNGSLDDHLYGDSSHGTLNWSHRYKILTGIASALHYLHNEYDQKVVHRDLKPSNVLLDVDYNARLGDFGLARALENERHSYSELGLAGVPGTIGYVAPECFHTGKATVESDVFGFGAVVLEVVCGRSPGIKIPHQQDLYTLVDWVWMLHRERTIIEAVDERLNNEFEVNEAKRLLLLGLACSHPTASERPQTQDIFQIMNGTLPVPHVPPFKPVFQWPSGVPSPISSIDNSLSSLALSSQDSAGMSTSQKNSMRA >KJB49498 pep chromosome:Graimondii2_0_v6:8:36052498:36053043:1 gene:B456_008G122200 transcript:KJB49498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIIKGFPTVFLIICFVLIIIDCLMVEADTCKPSGKLRWKKPPPGQCDDNCCEDRKFYTTYKCSPPVSSHTKAKLTINSFAAGGNGGGPSECDNHYHSDDEPVVALSTGWFKNKMRCLKYIKIHGNGKSVKAKVVDECDSEHGYQPPCPNNIVDASKAVWKALGVPENDWGLMDIYWSDTD >KJB47819 pep chromosome:Graimondii2_0_v6:8:5742471:5745460:1 gene:B456_008G043200 transcript:KJB47819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGFKFASKSNTFFTHSSLPPAFPPSLPYFPSLSKNFTFSGKKKLSLWVQRVGYKTETQLSDKMESGNPTEQLIRNLYPPIEPYSTGFLKVSDVHTIYWEQSGNPDGHPVVFLHGGPGGGTSPSNRRFFDPEFYRIILFDQRGAGKSTPHACLENNTTWDLTDDIEKLREHLKIPEWQVFGGSWGSTLALAYSESHPDKVTGLVLRGIFLLRKKEIDWFYEGGAAAIYPDAWEPFRDLIPEKERESFITAYHRRLNSEDLEIQYAAARAWTKWEMMTAHLLPNDENIKRGDDDYFSLAFARIENHYFVNKGFFPSDSFLLDNVDKIRHINTTIVQGRYDVCCPMMSAWDLHKAFPEADFKVVPNAGHSANEPGIAAELVAANEKLKSLAKNNKP >KJB47817 pep chromosome:Graimondii2_0_v6:8:5742471:5745460:1 gene:B456_008G043200 transcript:KJB47817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGFKFASKSNTFFTHSSLPPAFPPSLPYFPSLSKNFTFSGKKKLSLWVQRVGYKTETQLSDKMESGNPTEQLIRNLYPPIEPYSTGFLKVSDVHTIYWEQSGNPDGHPVVFLHGGPGGGTSPSNRRFFDPEFYRIILFDQRGAGKSTPHACLENNTTWDLTDDIEKLREHLKIPEWQVFGGSWGSTLALAYSESHPDKVTGLVLRGIFLLRKKEIDWFYEGGAAAIYPDAWEPFRDLIPEKERESFITAYHRRLNSEDLEIQYAAARAWTKWEMMTAHLLPNDENIKRGDDDYFSLAFARIENHYFVNKGFFPSDSFLLDNVDKIRHINTTIVQVYMMFAVP >KJB47818 pep chromosome:Graimondii2_0_v6:8:5742471:5745460:1 gene:B456_008G043200 transcript:KJB47818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGFKFASKSNTFFTHSSLPPAFPPSLPYFPSLSKNFTFSGKKKLSLWVQRVGYKTETQLSDKMESGNPTEQLIRNLYPPIEPYSTGFLKVSDVHTIYWEQSGNPDGHPVVFLHGGPGGGTSPSNRRFFDPEFYRIILFDQVFGGSWGSTLALAYSESHPDKVTGLVLRGIFLLRKKEIDWFYEGGAAAIYPDAWEPFRDLIPEKERESFITAYHRRLNSEDLEIQYAAARAWTKWEMMTAHLLPNDENIKRGDDDYFSLAFARIENHYFVNKGFFPSDSFLLDNVDKIRHINTTIVQGRYDVCCPMMSAWDLHKAFPEADFKVVPNAGHSANEPGIAAELVAANEKLKSLAKNNKP >KJB51136 pep chromosome:Graimondii2_0_v6:8:48862357:48863312:-1 gene:B456_008G203000 transcript:KJB51136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTLPKGNNWGLSGHLYQYQGFLLTLPFLQRVMLVQQQFQAQPTDIMLCNAHFSANRHLGIPLLATHAPYSFLPTSIMDSGCKIIYICRDPKDTFVSMYHIFTRYAKSQNTQPIELDEAFELFCEGVSWYGSYWDHVLGYWKASLGHPDKFMFLKYEEMNEDTVLYLKKLAEFMGCPFSSEEQQKGTENKIYFRKGKVGDWKNDLTPEMSRQLDQITQQKLSGSGLSFN >KJB50271 pep chromosome:Graimondii2_0_v6:8:42859268:42864298:1 gene:B456_008G161500 transcript:KJB50271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALISSLVWVPFLLLPLLLLLLLLLLFKQKTHQVKKEHNYKLPPSPPRLPIIGNLHQLGELPHSSLSQLSRKYGPVMLLHLGRIPVLIVSSAEAAKEVLKVNDLACCSRPRLAAVGRLSYNYLDVAFAPYSEYWRELRKICVLELFSVKRVKSFRFIREAEVGSIMESISSSSTHPVNVTEKVFALTGSIIFRIAFGKSFQGSEYDRAKFYELVHDAETVAGAFSSDECFPRFGWIIDRLNGHNGRVEKVFGQLDALFQQVIDEHLKPGRTQDHEDIIDVMLKIEKEQIDEHGHAWLTKNHIKAVLLNMFLGAVDTSALLVIWAMAELARKPTFMKKAQDEVRGVVRKKGRVTETDLDQLQYLKMVLKETLRLHPPVPMLIAREAISHFNINGYHIYPNTLIQINVWAIARDPKYWENPQEFFPERFIDNAVDFKGQHFELLPFGGGRRGCPALYMGTVTTELLLANLLYCFDWVLPDGMNEADINMEEWAGKCLTLSKKTPLLLVPIKYLHAQPSA >KJB50134 pep chromosome:Graimondii2_0_v6:8:41386569:41389059:1 gene:B456_008G154900 transcript:KJB50134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNLIKEVLNNKTGDFPKPEINSFTQLFVTGLASYNGDKWAKHRKIVNPAFHVEKLKHMLPAFVVCTDEMISKWRKLVNFMGSSEVDMSVEFQNLTGDVISRAAFGSNFEEGRLIFLLQKEQGRLFLQSQMNINFPLLRFLPTKVNKRMKHINREVGSLLTRIIEKREKFIRAGDHKDDLLGLLLKSNLNEVEVNKNSDGGMSMADVIEECKLFYFAGQETTTNLLTWTMIVLSMHNEWQERAREEVLQVFGNNKPDYDDLNRLKIVNMILLEVMRLYPSTSLIRCTKKETKLGDMSLPAGVQLFMPLHIVHRDKEQWGEDVMEFKPERFSEGMFKATKDKVSYFPFGWGPRICVGQNFAMLESKLAIAKILQNFSFQLSPTYTHAPHAAVTLQPRYGAQVILHKLGECA >KJB50133 pep chromosome:Graimondii2_0_v6:8:41386504:41389234:1 gene:B456_008G154900 transcript:KJB50133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLMSVVYGVAIAWTLKLLYAIWWKPKAIEKKLRKQGIHGYPYKLIYGNTTEMMELAKEKGSEPVEQPHDIVPRINPLLHDLATTHKKSFVVWYGTTPRVAIMEPNLIKEVLNNKTGDFPKPEINSFTQLFVTGLASYNGDKWAKHRKIVNPAFHVEKLKHMLPAFVVCTDEMISKWRKLVNFMGSSEVDMSVEFQNLTGDVISRAAFGSNFEEGRLIFLLQKEQGRLFLQSQMNINFPLLRFLPTKVNKRMKHINREVGSLLTRIIEKREKFIRAGDHKDDLLGLLLKSNLNEVEVNKNSDGGMSMADVIEECKLFYFAGQETTTNLLTWTMIVLSMHNEWQERAREEVLQVFGNNKPDYDDLNRLKIVNMILLEVMRLYPSTSLIRCTKKETKLGDMSLPAGVQLFMPLHIVHRDKEQWGEDVMEFKPERFSEGMFKATKDKVSYFPFGWGPRICVGQNFAMLESKLAIAKILQNFSFQLSPTYTHAPHAAVTLQPRYGAQVILHKLGECA >KJB50317 pep chromosome:Graimondii2_0_v6:8:44059245:44061346:1 gene:B456_008G168600 transcript:KJB50317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT3G18630) UniProtKB/TrEMBL;Acc:A0A178VFQ0] MLLRFGSSLSSLKLHLYPHPHPHLISMAAKTITDFFNPNPAPAKRRKLSTSSDHQPFSSLTPDQKSRIELNKCLAISKRNLKLCSQKVEGSGYVKLEELLVEDTWLQVLPGEFQKPYALNLCKFVEAELSSGAVPIFPPRHLIFNALNSTPFHRVKVVIIGQDPYHGPGQAMGLSFSVPEGVKIPSSLANIFKELKQDLGCSIPLHGNLHKWAVQ >KJB50315 pep chromosome:Graimondii2_0_v6:8:44059144:44060595:1 gene:B456_008G168600 transcript:KJB50315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT3G18630) UniProtKB/TrEMBL;Acc:A0A178VFQ0] MLLRFGSSLSSLKLHLYPHPHPHLISMAAKTITDFFNPNPAPAKRRKLSTSSDHQPFSSLTPDQKSRIELNKCLAISKRNLKLCSQKVEGSGYVKLEELLVEDTWLQVLPGEFQKPYALNLCKFVEAELSSGAVPIFPPRHLIFNALNSTPFHRVKVVIIGQDPYHGPGQAMGLSFSVPEGVKIPSSLANIFKELKQDLGCSIPLHGNLHKWAVQVVVLFLCEITMDSYDFGHGNMYTAFMTKMIMAMVW >KJB50316 pep chromosome:Graimondii2_0_v6:8:44059144:44062817:1 gene:B456_008G168600 transcript:KJB50316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT3G18630) UniProtKB/TrEMBL;Acc:A0A178VFQ0] MLLRFGSSLSSLKLHLYPHPHPHLISMAAKTITDFFNPNPAPAKRRKLSTSSDHQPFSSLTPDQKSRIELNKCLAISKRNLKLCSQKVEGSGYVKLEELLVEDTWLQVLPGEFQKPYALNLCKFVEAELSSGAVPIFPPRHLIFNALNSTPFHRVKVVIIGQDPYHGPGQAMGLSFSVPEGVKIPSSLANIFKELKQDLGCSIPLHGNLHKWAVQGVLLLNTVLTVRKQQANSHAKKGWEQFTDAVIKTISQKKEGVVFLLWGNSAQVKSKLIDQTKHHILKAAHPSGLSANRGFFGCRHFSCTNQLLEQMGTAPIDWQL >KJB50318 pep chromosome:Graimondii2_0_v6:8:44059245:44062817:1 gene:B456_008G168600 transcript:KJB50318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT3G18630) UniProtKB/TrEMBL;Acc:A0A178VFQ0] MLLRFGSSLSSLKLHLYPHPHPHLISMAAKTITDFFNPNPAPAKRRKLSTSSDHQPFSSLTPDQKSRIELNKCLAISKRNLKLCSQKVEGSGYVKLEELLVEDTWLQVLPGEFQKPYALNLCKFVEAELSSGAVPIFPPRHLIFNALNSTPFHRVKVVIIGQDPYHGPGQAMGLSFSVPEGVKIPSSLANIFKELKQDLGCSIPLHGNLHKWAVQGVLLLNTVLTVRKQQANSHAKKGWEQFTDAVIKTISQKKEGVVFLLWGNSAQVKSKS >KJB49087 pep chromosome:Graimondii2_0_v6:8:29615115:29620492:-1 gene:B456_008G100400 transcript:KJB49087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKESTSIPLSQAENVGVDPEDPAKSPPRPSSPNSSTRKACCFVLQSWVSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYERLGIDIFGLGFITSLLFVFFVGVFVSSWMGAAVFSVGEWVIKRMPFVRHLYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSSVVLQRK >KJB49088 pep chromosome:Graimondii2_0_v6:8:29616525:29620431:-1 gene:B456_008G100400 transcript:KJB49088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKESTSIPLSQAENVGVDPEDPAKSPPRPSSPNSSTRKACCFVLQSWVSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYERLGIDIFGLGFITSLLFVFFVGVFVSSWMGAAVFSVGEWVIKRMPFVRHLYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSSVVLQLSLLIVHVERK >KJB49085 pep chromosome:Graimondii2_0_v6:8:29615105:29620547:-1 gene:B456_008G100400 transcript:KJB49085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKESTSIPLSQAENVGVDPEDPAKSPPRPSSPNSSTRKACCFVLQSWVSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYERLGIDIFGLGFITSLLFVFFVGVFVSSWMGAAVFSVGEWVIKRMPFVRHLYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSSVVLQRENEDEELCSVFVPTNHLYIGDIFLVNSKEIIRPNLSIREGIEIIVSGGMTMPEVISPQERFARQKERIPLNRMM >KJB49086 pep chromosome:Graimondii2_0_v6:8:29616188:29620492:-1 gene:B456_008G100400 transcript:KJB49086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKESTSIPLSQAENVGVDPEDPAKSPPRPSSPNSSTRKACCFVLQSWVSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYERLGIDIFGLGFITSLLFVFFVGVFVSSWMGAAVFSVGEWVIKRMPFVRHLYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSSVVLQLSLLIVHVERK >KJB51885 pep chromosome:Graimondii2_0_v6:8:52202208:52204861:1 gene:B456_008G236400 transcript:KJB51885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFTNVPPGFRFHPTDEELVDYYLRKKIASKRIDLDVIKDVDLYRIEPWDLQELCRIGAEEQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYCRHCLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPQEEGWVVCRVFKKRMTTVQKVGEYDSSCWYDEQVSFMQDFESPRRIPQPYASYPHHYPCKQELQLQHSFPHDPFLQLPQLESPKLPQSVASVSCNSVVPYGSTLQSSSLSQEEHMQQGHHQQNLNSLYNNGEQAVDQVTDWRVLDKFVASQLSHEEASKENNYSNAAASFRVGEQMDLLGNESKRPGIAHQEYTSTSNSSCQIDLWK >KJB51886 pep chromosome:Graimondii2_0_v6:8:52201624:52204861:1 gene:B456_008G236400 transcript:KJB51886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFTNVPPGFRFHPTDEELVDYYLRKKIASKRIDLDVIKDVDLYRIEPWDLQELCRIGAEEQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYCRHCLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPQEEGWVVCRVFKKRMTTVQKVGEYDSSCWYDEQVSFMQDFESPRRIPQPYASYPHHYPCKQELQLQHSFPHDPFLQLPQLESPKLPQSVASVSCNSVVPYGSTLQSSSLSQEEHMQQGHHQQNLNSLYNNGEQAVDQVTDWRVLDKFVASQLSHEEASKENNYSNAAASFRVGEQMDLLGNESKRPGIAHQEYTSTSNSSCQIDLWK >KJB49465 pep chromosome:Graimondii2_0_v6:8:35678371:35684575:1 gene:B456_008G120400 transcript:KJB49465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNPKVEDNFPWDQTMRNHFTTFPKFLLSSILLISILCIFYTVSFSNSSNKDLNIITAVHGGREEVAVAPPPVPSPKPSPSSKTTLHQIVFGIAASARLWDHRKNYIKLWWKPQMRGVVWLDKGVKTGTDDHLLPQKMISGDTSKFKYNNPKGHRSAIRITRIVSETLRLDLDDVRWFVMGDDDTFFVPDNLVRVLSKYDHNQFYYIGSSSESHLQNINFSYGMAYGGGGFAISYPLAKALAKMQDRCLQRYPGLYGSDDRIHACMAELGVPLTKEPGFHQYDVFGNLLGLLSAHPVAPLVSIHHLDKVEPIFPNMNRVQALTRLNIPINLDSAALMQQSVCYDKTRSWTVSVSWGYTVQIYRGIFSVREMEMPARTFLNWYKRADYTGFAFNTRPVTRHVCQKPFVYYLSKASYNKVMNQTVSEHVQHQVSNPDCKWKMADPSRIERVEVYRKPDPNLWDKPPRRNCCRVLPTKKKGTMVIDVGVCGDDEVIELR >KJB46849 pep chromosome:Graimondii2_0_v6:8:48806690:48806972:1 gene:B456_008G2023001 transcript:KJB46849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ACRSQICGSNSKPCSKVFL >KJB46842 pep chromosome:Graimondii2_0_v6:8:39380158:39382305:-1 gene:B456_008G142700 transcript:KJB46842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASYDWTRECFTLDEQLSRAVIEAFIRLREKGLIYQDSSLETCGIQEV >KJB46841 pep chromosome:Graimondii2_0_v6:8:39380819:39381771:-1 gene:B456_008G142700 transcript:KJB46841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASYDWTRECFTLDEQLSRAVIEAFIRLREKGLIYQDSSLETCGIQEV >KJB52291 pep chromosome:Graimondii2_0_v6:8:53843642:53845655:-1 gene:B456_008G256200 transcript:KJB52291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNQQSFWQFSDQLRVQNSNLANLSLNDSIWSSGYVSKRPDERRNFDIRVGGELNSVNSLKPKVTDFNSFSNDGWKIGATSNANIGFGPIGPIGSQNNVGVNGGFNKGIYSKPGNNNNNFNVNLKGNKNKGEDNHGTKSGKKNTNKKNNNNDNNNENKDGKSAADKRFKTLPPSESLPRNETVGGYIFVCNNDTMEENLRRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGVFEAASFGGTNIDPTAWEDKKCPGESRFPAQVRVITRKICEPLEEDSFRPILHHYDGPKFRLELNIPEALSLLDIFADQQDTCIS >KJB52293 pep chromosome:Graimondii2_0_v6:8:53843684:53845591:-1 gene:B456_008G256200 transcript:KJB52293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNQQSFWQFSDQLRVQNSNLANLSLNDSIWSSGYVSKRPDERRNFDIRVGGELNSVNSLKPKVTDFNSFSNDGWKIGATSNANIGFGPIGPIGSQNNVGVNGGFNKGIYSKPGNNNNNFNVNLKGNKNKGEDNHGTKSGKKNTNKKNNNNDNNNENKDGKSAADKRFKTLPPSESLPRNETVGGYIFVCNNDTMEENLRRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGVFEAASFGGTNIDPTAWEDKKCPGESRFPAQVYFFHNSVK >KJB52292 pep chromosome:Graimondii2_0_v6:8:53843684:53845591:-1 gene:B456_008G256200 transcript:KJB52292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNQQSFWQFSDQLRVQNSNLANLSLNDSIWSSGYVSKRPDERRNFDIRVGGELNSVNSLKPKVTDFNSFSNDGWKIGATSNANIGFGPIGPIGSQNNVGVNGGFNKGIYSKPGNNNNNFNVNLKGNKNKGEDNHGTKSGKKNTNKKNNNNDNNNENKDGKSAADKRFKTLPPSESLPRNETVGGYIFVCNNDTMEENLRRQLFGMSLVE >KJB47424 pep chromosome:Graimondii2_0_v6:8:2958508:2960083:1 gene:B456_008G025600 transcript:KJB47424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVAPLPAVDFNFDSACSSPYMTAPSSPQRFGNFLFSAPSSPTHVSSLYPFQWEEKRQSNHDDDDDGGHGCEEDFEFNFSGHLEIPSLSAADELFDGGKIRPLKPPPGYDESFSSAVSSPKSKRQHGQNDIQFGSQQRGRERTSAAASSSSSTSSACNYVHKKSRSLSPLRVSDIMFEQEETSSNSQKNNESSTANNPKSSYVSSLLSSISFSKVNKKWKLKDLLLFRSASEGRAASKDPLRKYALLSRKEAEEEVKNASFRSTDSMGSVSSSRRRGRVSAHELHYTANRAVSEEMKRKTFLPYKQGLLGCLGFNPGMHEISRGIGSLTRGSS >KJB48989 pep chromosome:Graimondii2_0_v6:8:26360302:26363317:-1 gene:B456_008G0964001 transcript:KJB48989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIQYIDLLIFYPYPHLILLSSQPQEKSIPPFISLVNLLAKWGFFDKAFSLFQSIRKMFPQNPPSICLYNVLFGCFLVSGRIWIHTVDDETHSFFRIVVLKAFSIKNTYTFNLLICGLCDLGHLEDARELFDKMPEKGCLLNEMGFILSKHHINSLQRQMIDELFNSIFSIPLLFFHIYPVEFFKISMDFQGYTLVYKFGSVNSYS >KJB49692 pep chromosome:Graimondii2_0_v6:8:38086773:38087144:1 gene:B456_008G133800 transcript:KJB49692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHFLADNFSKLTINVEEKTPPPADDPLSDPETCRTPTSEEHHIPELLSCPPAPKKRKGSFSTDVNESKKIVEDKEIIQIIFPPDQDWSSSTPPPKPSSSME >KJB52865 pep chromosome:Graimondii2_0_v6:8:55752820:55755297:-1 gene:B456_008G280700 transcript:KJB52865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQMAPCRLILIIFVLSFLVSSLNASEGDADPIYKACLEECEKSGCVGEKCFQHCKFSSDGKPIDGPWYLQEPLYQKWKQWDCRTDCQYHCMITREEQREKLGNKPIKYHGKWPFQRVYGIQEPVAVAFSALSLAVQFHGWLSFFILINYKLPLRPDKKTYYEYTCLWHIYAILAMNFWFWSAIFHSRDVELTEKLDYSSAVALVGYSLILAILRVFDLRDEASRVMVAAPLVAFVTTHILYLNFYKLDYGISFFCYPRKTILSTFINSLVQFDIFSPNHSYNC >KJB52864 pep chromosome:Graimondii2_0_v6:8:55752784:55755487:-1 gene:B456_008G280700 transcript:KJB52864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQMAPCRLILIIFVLSFLVSSLNASEGDADPIYKACLEECEKSGCVGEKCFQHCKFSSDGKPIDGPWYLQEPLYQKWKQWDCRTDCQYHCMITREEQREKLGNKPIKYHGKWPFQRVYGIQEPVAVAFSALSLAVQFHGWLSFFILINYKLPLRPDKKTYYEYTCLWHIYAILAMNFWFWSAIFHSRDVELTEKLDYSSAVALVGYSLILAILRVFDLRDEASRVMVAAPLVAFVTTHILYLNFYKLDYGLNMKVCMAMGVAQLLIWGIWAGITSHPSRWKVWLFVVWGSLVVFLEILDFPPYKGFVDAHALWHAVSIPLTYFCWSFVQDDAEFRTSTLLKKIK >KJB49320 pep chromosome:Graimondii2_0_v6:8:34440246:34444133:-1 gene:B456_008G112900 transcript:KJB49320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNCCNAAAIFMLFFTCVLSHNVVPCPPRTTPSMPPSVAAKCPKDTLKFGVCGSWLGLVHEVIGTPPSKECCTLISGLADLEAALCLCTAIKANALGAKVKIPIAISLLINACGKKVPQGFACP >KJB48486 pep chromosome:Graimondii2_0_v6:8:12238983:12243407:1 gene:B456_008G071200 transcript:KJB48486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSLVRKENNNSMFTPKTPHFFKIILDANIRDKKLEIPGKFVRKYGNGLSNSVLLTVPSGDTWHVELTKSDGIVWLQNGWQEFSEYFSLKYGHLLVFKYEGNGKFLVLIFDTSASEIEYPCKSHIEDQESDDQMCLKPVKEEPKDDVCDKPLHGTPSCKETKRKKSRSLCSNAEYLCKSYIDDHKSDDQLCLKPVKEEAKDGVYDETLYETPPCKKARRTKSQSQCSKIEYPCKSHIEDHKSEDQVSLKPVKEEAKDDVCDKTLYETPPCKETRKKKPQSQCSKPRKKLKTAQKDKNRKDCEDASTDEEGLQTKVPRDGRAFGAIEYDKALQRAFSFESENPFFLVTMQPSYINPGRKMCIPKNFTMKFLTRDLGDLTLCTSDGRTWSAQYLCYMTRNKYTKATIHIGWRQFMLDNNLEAGDVCVFELISQTEIMLKVIIYRVRQDASCSSPLGGINSLENGDNVSSSTPGSTESKHHCSIRSLTPHEKARAIQKASNFKSKNPFFKVVMQPRYLTIRCSLSIPYKFVNQYLDEEKEEAILQVSDGRTWVVKFAVKVVTGGQHKAEFSHTWRAFARDNNLEVGDVCVFELINRNENSFKVSIFSEAPGANSSLSPQAHDVKASQVASKNCSVPKIEADDDFGNCYAGNPGPAAQPTTIGHQENEEEVNPTDDAIASQVASKDFLVPKVEVDDDFGKCYAGNFSPAAQITTTGYQEAEEEVKPTISTGPRGP >KJB48485 pep chromosome:Graimondii2_0_v6:8:12238889:12242315:1 gene:B456_008G071200 transcript:KJB48485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSLKENNNSMFTPKTPHFFKIILDANIRDKKLEIPGKFVRKYGNGLSNSVLLTVPSGDTWHVELTKSDGIVWLQNGWQEFSEYFSLKYGHLLVFKYEGNGKFLVLIFDTSASEIEYPCKSHIEDQESDDQMCLKPVKEEPKDDVCDKPLHGTPSCKETKRKKSRSLCSNAEYLCKSYIDDHKSDDQLCLKPVKEEAKDGVYDETLYETPPCKKARRTKSQSQCSKIEYPCKSHIEDHKSEDQVSLKPVKEEAKDDVCDKTLYETPPCKETRKKKPQSQCSKPRKKLKTAQKDKNRKDCEDASTDEEGLQTKVPRDGRAFGAIEYDKALQRAFSFESENPFFLVTMQPSYINPGRKMCIPKNFTMKFLTRDLGDLTLCTSDGRTWSAQYLCYMTRNKYTKATIHIGWRQFMLDNNLEAGDVCVFELISQTEIMLKVIIYRVRQDASCSSPLGGINSLENGDNVSSSTPGSTESKHHCSIRSLTPHEKARAIQKASNFKSKNPFFKVVMQPRYLTIRCSLSIPYKFVNQYLDEEKEEAILQVSDGRTWVVKFAVKVVTGGQHKAEFSHTWRAFARDNNLEVGDVCVFELINRNENSFKVSIFSEAPGANSSLSPQGLYESI >KJB48484 pep chromosome:Graimondii2_0_v6:8:12238787:12243516:1 gene:B456_008G071200 transcript:KJB48484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSLKENNNSMFTPKTPHFFKIILDANIRDKKLEIPGKFVRKYGNGLSNSVLLTVPSGDTWHVELTKSDGIVWLQNGWQEFSEYFSLKYGHLLVFKYEGNGKFLVLIFDTSASEIEYPCKSHIEDQESDDQMCLKPVKEEPKDDVCDKPLHGTPSCKETKRKKSRSLCSNAEYLCKSYIDDHKSDDQLCLKPVKEEAKDGVYDETLYETPPCKKARRTKSQSQCSKIEYPCKSHIEDHKSEDQVSLKPVKEEAKDDVCDKTLYETPPCKETRKKKPQSQCSKPRKKLKTAQKDKNRKDCEDASTDEEGLQTKVPRDGRAFGAIEYDKALQRAFSFESENPFFLVTMQPSYINPGRKMCIPKNFTMKFLTRDLGDLTLCTSDGRTWSAQYLCYMTRNKYTKATIHIGWRQFMLDNNLEAGDVCVFELISQTEIMLKVIIYRVRQDASCSSPLGGINSLENGDNVSSSTPGSTESKHHCSIRSLTPHEKARAIQKASNFKSKNPFFKVVMQPRYLTIRCSLSIPYKFVNQYLDEEKEEAILQVSDGRTWVVKFAVKVVTGGQHKAEFSHTWRAFARDNNLEVGDVCVFELINRNENSFKVSIFSEAPGANSSLSPQAHDVKASQVASKNCSVPKIEADDDFGNCYAGNPGPAAQPTTIGHQENEEEVNPTDDAIASQVASKDFLVPKVEVDDDFGKCYAGNFSPAAQITTTGYQEAEEEVKPTISTGPRGP >KJB48487 pep chromosome:Graimondii2_0_v6:8:12239403:12243407:1 gene:B456_008G071200 transcript:KJB48487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPKTPHFFKIILDANIRDKKLEIPGKFVRKYGNGLSNSVLLTVPSGDTWHVELTKSDGIVWLQNGWQEFSEYFSLKYGHLLVFKYEGNGKFLVLIFDTSASEIEYPCKSHIEDQESDDQMCLKPVKEEPKDDVCDKPLHGTPSCKETKRKKSRSLCSNAEYLCKSYIDDHKSDDQLCLKPVKEEAKDGVYDETLYETPPCKKARRTKSQSQCSKIEYPCKSHIEDHKSEDQVSLKPVKEEAKDDVCDKTLYETPPCKETRKKKPQSQCSKPRKKLKTAQKDKNRKDCEDASTDEEGLQTKVPRDGRAFGAIEYDKALQRAFSFESENPFFLVTMQPSYINPGRKMCIPKNFTMKFLTRDLGDLTLCTSDGRTWSAQYLCYMTRNKYTKATIHIGWRQFMLDNNLEAGDVCVFELISQTEIMLKVIIYRVRQDASCSSPLGGINSLENGDNVSSSTPGSTESKHHCSIRSLTPHEKARAIQKASNFKSKNPFFKVVMQPRYLTIRCSLSIPYKFVNQYLDEEKEEAILQVSDGRTWVVKFAVKVVTGGQHKAEFSHTWRAFARDNNLEVGDVCVFELINRNENSFKVSIFSEAPGANSSLSPQAHDVKASQVASKNCSVPKIEADDDFGNCYAGNPGPAAQPTTIGHQENEEEVNPTDDAIASQVASKDFLVPKVEVDDDFGKCYAGNFSPAAQITTTGYQEAEEEVKPTISTGPRGP >KJB48675 pep chromosome:Graimondii2_0_v6:8:15830342:15831296:1 gene:B456_008G081300 transcript:KJB48675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLQQHHSWICLRGSHCQAIKATMMRTMMTMMMRWRCQSCNQTMSIVIFGYLLKSPFGGEWWIVSVDDLEDIIGGHVWLGSICIFGGIWHILTKPFAWARRALVWSGEAYLSYSLGALSVFGFIACCFVWFNNTAYLSEFYGPTGPEASQAQAFTFLVRDQRLGANVRFLFIPR >KJB51960 pep chromosome:Graimondii2_0_v6:8:52667372:52668965:1 gene:B456_008G240200 transcript:KJB51960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKSLRRRLPRCRRPRIPHPSPTPRLRTPPPHRRSLRRSSKYDRILKRCASEPCLWSSIGEDHPSRSSFVGSEAERAPFFRPHTCTDVFASSPSLLGFCSPSPSPSPKQGFEFGRQGYNKDAKVVINVSVEGSPGPVRTMVKLGSSVEDTIKLVVDKYVEEGRTPKLDCNSGLELHHSYFSLQSKQPHGVFVCFF >KJB51959 pep chromosome:Graimondii2_0_v6:8:52667372:52668965:1 gene:B456_008G240200 transcript:KJB51959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKSLRRRLPRCRRPRIPHPSPTPRLRTPPPHRRSLRRSSKYDRILKRCASEPCLWSSIGEDHPSRSSFVGSEAERAPFFRPHTCTDVFASSPSLLGFCSPSPSPSPKQGFEVCSLVKLVAVCSFTLLLLKFAVYILIKSFMLVTQS >KJB51958 pep chromosome:Graimondii2_0_v6:8:52667295:52668965:1 gene:B456_008G240200 transcript:KJB51958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKSLRRRLPRCRRPRIPHPSPTPRLRTPPPHRRSLRRSSKYDRILKRCASEPCLWSSIGEDHPSRSSFVGSEAERAPFFRPHTCTDVFASSPSLLGFCSPSPSPSPKQGFEFGRQGYNKDAKVVINVSVEGSPGPVRTMVKLGSSVEDTIKLVVDKYVEEGRTPKLDCNSGLELHHSYFSLQSLDKSQLMGDAGGRSFYLRKNSSGHSSNGASTSFVDPGRPNPPPAFLVPGFIARKLSKIVRRTRRLWHALVCLK >KJB47995 pep chromosome:Graimondii2_0_v6:8:6996436:7001518:-1 gene:B456_008G049700 transcript:KJB47995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase RAN1 [Source:Projected from Arabidopsis thaliana (AT5G44790) UniProtKB/Swiss-Prot;Acc:Q9S7J8] MSPGSRDLQLTSQAAGVWRSTYPSSVRAVDPDDMEEGTRLLDSYETGDYKLESIEEGSMRRIQVTVTGMTCAACSNSVEAALKNINGVLRASVALLQNRADVVFDPTLVKDEDIKNAIEDAGFEAEILPEPSNVGTKPRGVLVGQFTIGGMTCAACVNSVEGILRDLPGVSRAVVALATSLGEVEYDPTVISKDDIVNAIEDAGFEASLVQSSEQDKIILGVAGVFNELDVQLIEGILSSLKGVRQFRFDRSSGELEVLFDPEVVSSRSLVDGIEGGSKGKFRLHVMNPYARMTTKDEETSIMFQLFTSSLFLSIPVFLIRVVCPHIPLLDAFLLWRCGPFLMGDWLKWALVSVVQFVIGKRFYVAAGRALRNGSTNMDVLVALGTSASYFYSVGALLYGAITGFWSPTYFETSSMLITFVLLGKYLECLAKGKTSDAIKKLVELAPATALLVVKDNGGNIIGEREVDALLIQPGDILKVLPGAKLPADGVVVWGSSYVNEGMVTGESVPVSKEVDSPVIGGTINLHGALHIKATKIGSEAVLSQIISLVETAQMSKAPIQKFADFVASIFVPTVVTLSLITLLGWYVGGAARAYPEQWLPENGNYFVFALMFSISVVVIACPCALGLATPTAVMVATGVGASNGVLIKGGDALERAQKVQYVIFDKTGTLTQGKAKVTTVKVFSEMDRGEFLTLVASAEASSEHPLAKAIVEYARHFHFFDENSLTEDAQYSSKGSPISAWLLDVAEFSAVPGRGIQCFIDGKQVLVGNRKLLTESGVSISAHVEQFVVDLEERARTGILAAYDGNVIGVLGVADPLKREAAVVVEGLQKMGVRPVMVTGDNWRTAQAVAREVCTHTYIHTCIHACMHKHCITFYGLMLKNC >KJB47996 pep chromosome:Graimondii2_0_v6:8:6995480:7001598:-1 gene:B456_008G049700 transcript:KJB47996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase RAN1 [Source:Projected from Arabidopsis thaliana (AT5G44790) UniProtKB/Swiss-Prot;Acc:Q9S7J8] MSPGSRDLQLTSQAAGVWRSTYPSSVRAVDPDDMEEGTRLLDSYETGDYKLESIEEGSMRRIQVTVTGMTCAACSNSVEAALKNINGVLRASVALLQNRADVVFDPTLVKDEDIKNAIEDAGFEAEILPEPSNVGTKPRGVLVGQFTIGGMTCAACVNSVEGILRDLPGVSRAVVALATSLGEVEYDPTVISKDDIVNAIEDAGFEASLVQSSEQDKIILGVAGVFNELDVQLIEGILSSLKGVRQFRFDRSSGELEVLFDPEVVSSRSLVDGIEGGSKGKFRLHVMNPYARMTTKDEETSIMFQLFTSSLFLSIPVFLIRVVCPHIPLLDAFLLWRCGPFLMGDWLKWALVSVVQFVIGKRFYVAAGRALRNGSTNMDVLVALGTSASYFYSVGALLYGAITGFWSPTYFETSSMLITFVLLGKYLECLAKGKTSDAIKKLVELAPATALLVVKDNGGNIIGEREVDALLIQPGDILKVLPGAKLPADGVVVWGSSYVNEGMVTGESVPVSKEVDSPVIGGTINLHGALHIKATKIGSEAVLSQIISLVETAQMSKAPIQKFADFVASIFVPTVVTLSLITLLGWYVGGAARAYPEQWLPENGNYFVFALMFSISVVVIACPCALGLATPTAVMVATGVGASNGVLIKGGDALERAQKVQYVIFDKTGTLTQGKAKVTTVKVFSEMDRGEFLTLVASAEASSEHPLAKAIVEYARHFHFFDENSLTEDAQYSSKGSPISAWLLDVAEFSAVPGRGIQCFIDGKQVLVGNRKLLTESGVSISAHVEQFVVDLEERARTGILAAYDGNVIGVLGVADPLKREAAVVVEGLQKMGVRPVMVTGDNWRTAQAVAREVCGYPRC >KJB47994 pep chromosome:Graimondii2_0_v6:8:6995458:7001776:-1 gene:B456_008G049700 transcript:KJB47994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase RAN1 [Source:Projected from Arabidopsis thaliana (AT5G44790) UniProtKB/Swiss-Prot;Acc:Q9S7J8] MSPGSRDLQLTSQAAGVWRSTYPSSVRAVDPDDMEEGTRLLDSYETGDYKLESIEEGSMRRIQVTVTGMTCAACSNSVEAALKNINGVLRASVALLQNRADVVFDPTLVKDEDIKNAIEDAGFEAEILPEPSNVGTKPRGVLVGQFTIGGMTCAACVNSVEGILRDLPGVSRAVVALATSLGEVEYDPTVISKDDIVNAIEDAGFEASLVQSSEQDKIILGVAGVFNELDVQLIEGILSSLKGVRQFRFDRSSGELEVLFDPEVVSSRSLVDGIEGGSKGKFRLHVMNPYARMTTKDEETSIMFQLFTSSLFLSIPVFLIRVVCPHIPLLDAFLLWRCGPFLMGDWLKWALVSVVQFVIGKRFYVAAGRALRNGSTNMDVLVALGTSASYFYSVGALLYGAITGFWSPTYFETSSMLITFVLLGKYLECLAKGKTSDAIKKLVELAPATALLVVKDNGGNIIGEREVDALLIQPGDILKVLPGAKLPADGVVVWGSSYVNEGMVTGESVPVSKEVDSPVIGGTINLHGALHIKATKIGSEAVLSQIISLVETAQMSKAPIQKFADFVASIFVPTVVTLSLITLLGWYVGGAARAYPEQWLPENGNYFVFALMFSISVVVIACPCALGLATPTAVMVATGVGASNGVLIKGGDALERAQKVQYVIFDKTGTLTQGKAKVTTVKVFSEMDRGEFLTLVASAEASSEHPLAKAIVEYARHFHFFDENSLTEDAQYSSKGSPISAWLLDVAEFSAVPGRGIQCFIDGKQVLVGNRKLLTESGVSISAHVEQFVVDLEERARTGILAAYDGNVIGVLGVADPLKREAAVVVEGLQKMGVRPVMVTGDNWRTAQAVAREVGIRDVRAEVMPAGKAEVVRSFQKDGSIVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLMRNNLEDVITAIDLSRKTFSRIRWNYVFAMAYNVVAIPIAAGVLYPSLGIKLPPWAAGACMALSSVSVVCSSLLLRRYKKPRLTTILEITVE >KJB50144 pep chromosome:Graimondii2_0_v6:8:41539913:41545959:-1 gene:B456_008G155500 transcript:KJB50144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAFQRTKVLTGHLLQSPPTSSFQTPSLSSNACLNYSPPELSEKYAFDISDMRKLMDGHDLEERDRLFGMITQSKVFNPRVRGGKVFVSPDYNQSMEQQREMTWKRIEYLFERGVFQGWLTGEGEEVEMRRFACFEVLGLFDHSISIKLGVHFFLWGGAIQFFGTKHHHDKWLRDTENYLIKGCFAMTELGHGSNVRGIETITTYDSNTGEFVINTPCESAQKYWIGGAANHATHTIVFSQLNINGTNQGVHALIAQIRDADGNVCPNIRIADCGHKIGLNGVDNGRIWFDNVRVPRENLLNSVADVSPDGKYLSAIKDPDQRFAAFLAPLTAGRVNIAVNAVYQSKVGLAIAIRYALTRRAFSLKPKEPEVLLLDYPSHQRRLLPLLAKTYAMSFGANYLKMLYVKRTPQSNKIIHVVSSSFKATFTWSNMQILQECREACGGQGLKTENRVGHLKAEHDVQSTFEGDNNILMQQVSKALFAEYMAAQKPNKAFKGLGLEHMNKPCPVIPSQLTTTTLRCSQFQMDVLCLRERDLLNRFVAEVSQCQAKGESKEHAFIMSYQLAEDLGKAFSDRAILQTFVEAEATLAACSLKDVLGMLRSLYALNCLEDGAYLRYGYLSVENAATVRREITKICSELRPHALALVSSFGIPDAFLSPIAFNWIDANSWSSAQH >KJB50145 pep chromosome:Graimondii2_0_v6:8:41540062:41545780:-1 gene:B456_008G155500 transcript:KJB50145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAFQRTKVLTGHLLQSPPTSSFQTPSLSSNACLNYSPPELSEKYAFDISDMRKLMDGHDLEERDRLFGMITQSKVFNPRVRGGKVFVSPDYNQSMEQQREMTWKRIEYLFERGVFQGWLTGEGEEVEMRRFACFEVLGLFDHSISIKLGVHFFLWFDNVRVPRENLLNSVADVSPDGKYLSAIKDPDQRFAAFLAPLTAGRVNIAVNAVYQSKVGLAIAIRYALTRRAFSLKPKEPEVLLLDYPSHQRRLLPLLAKTYAMSFGANYLKMLYVKRTPQSNKIIHVVSSSFKATFTWSNMQILQECREACGGQGLKTENRVGHLKAEHDVQSTFEGDNNILMQQVSKALFAEYMAAQKPNKAFKGLGLEHMNKPCPVIPSQLTTTTLRCSQFQMDVLCLRERDLLNRFVAEVSQCQAKGESKEHAFIMSYQLAEDLGKAFSDRAILQTFVEAEATLAACSLKDVLGMLRSLYALNCLEDGAYLRYGYLSVENAATVRREITKICSELRPHALALVSSFGIPDAFLSPIAFNWIDANSWSSAQH >KJB46720 pep chromosome:Graimondii2_0_v6:8:52458688:52461593:-1 gene:B456_008G2375002 transcript:KJB46720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEACERLTTLGIAVNLVTYLTETMHLGNATAANTVTNFLGTSFMLCLLGGFIADTFLGRYLTIAIFATIQATGVTILTISTIIPSLRPPKCNRDSATTCTPASGIQLIILYLALYLTALGTGGLKSSVSGFGSDQFDESDPEEKSQMTNFFNWFFFFINIGSLGSVTVLVYIQDNLGREWGYGICACAIVIGLVVFLSGTKRYRFKKLVGSPLTQIAAVFVAAYKKRHLELPSNSLMLFEIDDAAEGMNNKKKKQKLPHSKQFRFLDRAAIRDPSVPEANKWNLATLTDVEEVKLVVRMLPIWATTIIFWTVYAQMTTFSVSQATTMDRHIGTFQIPPASLTVFFVGSILLTVPIYDRIIAPIARKVLKNPQGLTPLQRIGVGLVLSIITMIAAALTEIKRLRSASSHGLTNDPSAQIPMSVFWLIPQFLLVGAGEAFTYIGQLDFFLRECPKGMKTMSTVVCVQRQETCG >KJB46719 pep chromosome:Graimondii2_0_v6:8:52458634:52461539:-1 gene:B456_008G2375002 transcript:KJB46719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEACERLTTLGIAVNLVTYLTETMHLGNATAANTVTNFLGTSFMLCLLGGFIADTFLGRYLTIAIFATIQATGVTILTISTIIPSLRPPKCNRDSATTCTPASGIQLIILYLALYLTALGTGGLKSSVSGFGSDQFDESDPEEKSQMTNFFNWFFFFINIGSLGSVTVLVYIQDNLGREWGYGICACAIVIGLVVFLSGTKRYRFKKLVGSPLTQIAAVFVAAYKKRHLELPSNSLMLFEIDDAAEGMNNKKKKQKLPHSKQFRFLDRAAIRDPSVPEANKWNLATLTDVEEVKLVVRMLPIWATTIIFWTVYAQMTTFSVSQATTMDRHIGTFQIPPASLTVFFVGSILLTVPIYDRIIAPIARKVLKNPQGLTPLQRIGVGLVLSIITMIAAALTEIKRLRSASSHGLTNDPSAQIPMSVFWLIPQFLLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSVLVTIVHKVTGTKHLWLPDNLNQGRLYDFYWLLAILSCLNLVIFLVFAKWYVYKDKRLADEGIELEEAEATFH >KJB46721 pep chromosome:Graimondii2_0_v6:8:52458688:52461539:-1 gene:B456_008G2375002 transcript:KJB46721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGNATAANTVTNFLGTSFMLCLLGGFIADTFLGRYLTIAIFATIQATGVTILTISTIIPSLRPPKCNRDSATTCTPASGIQLIILYLALYLTALGTGGLKSSVSGFGSDQFDESDPEEKSQMTNFFNWFFFFINIGSLGSVTVLVYIQDNLGREWGYGICACAIVIGLVVFLSGTKRYRFKKLVGSPLTQIAAVFVAAYKKRHLELPSNSLMLFEIDDAAEGMNNKKKKQKLPHSKQFRFLDRAAIRDPSVPEANKWNLATLTDVEEVKLVVRMLPIWATTIIFWTVYAQMTTFSVSQATTMDRHIGTFQIPPASLTVFFVGSILLTVPIYDRIIAPIARKVLKNPQGLTPLQRIGVGLVLSIITMIAAALTEIKRLRSASSHGLTNDPSAQIPMSVFWLIPQFLLVGAGEAFTYIGQLDFFLRECPKGMKTMSTVVCVQRQETCG >KJB50577 pep chromosome:Graimondii2_0_v6:8:45377538:45380515:1 gene:B456_008G177600 transcript:KJB50577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFFSSMPVAPPPPIPPPSVIPVVPPFVSNPTTATTVAGGPPPSFDHPSYSDMICEAIGALKDKNGSSKRAIAKYIESAHKDLPPTHSALLTHHLKRLKNNGILVMVKKSYKLASTARSEVPIPDSTPSNPPDVSSPPGFKRSRGRPPKPKPTISAPADPIPQQQQQQQQPLPAPIPDATKRSPGRPRKNGPVAPLGVRKGRGRPPKTGPKKSPGRPRKPKTVRSVVGANAMKRGRGRPPKVLNQMPQPAVMPIQGQPMAVPYADTAAAVPTTTAVAAGPRPRGRPKGTAVAPAGLAVPGKGRGRPPKSSGVAAKPIKPKKSTGKPVGRPKKTTDGAASYGDLKRKLEFFQSKVKQAVGVLKSQFSSESNISAIDAIQELEVLAAMDINKPFKDDAQPPPPPPPPPAPEPTQPAPMPQNMEGQVY >KJB48566 pep chromosome:Graimondii2_0_v6:8:13689172:13690240:-1 gene:B456_008G074900 transcript:KJB48566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLEMYNNSEHKSHHHCAPMSPRISFSNDFVETQQITRQERSSREAPVSSDFEFSVSNYSMMSADELFYKGKLLPFKDTCSNHMQRTLREELLVEDDDNDNVTLSPSKGSTRWKGFLSLKRTHIGSKNANKSEGSVERLGNNTKRSGFVHEDTRVNKTSQDLLSEGGSSF >KJB50948 pep chromosome:Graimondii2_0_v6:8:48120806:48121671:1 gene:B456_008G196600 transcript:KJB50948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGVAAPTRTEVLQLYRSLLRVARQFCDYNIREYSKRRTIAAFRDNKNLTDPSQLSAAFSDGNAQLEVAKRQALVYSLYAPKVKSIMDIKPS >KJB53289 pep chromosome:Graimondii2_0_v6:8:9321710:9322762:-1 gene:B456_008G0591001 transcript:KJB53289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLEEKVGRVMDQARELHESGASLLWKISNEEQSLRQKAISLESSIRRVRSLINSLISKKLLDPEFARKLEEDLQRASSILTDGEAAAFLPAEAQGRFLRMFLGPINVRASRKEVQLKVKEEYNSYRDRTAFLFLLFPLTLLILKSWIWEGCLPAFPVQLYEAWLLFLYT >KJB50392 pep chromosome:Graimondii2_0_v6:8:44034462:44048828:1 gene:B456_008G168400 transcript:KJB50392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMTSLIGLINKIQRACTLLGDHGGQGMSLWEILPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTESGTEYAEFLHSPKKRFTDFVAVRKEIADETDRITGKTKQISNIPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQSETIVEDIENMVRTYVEKPNCIILAISPANQDIATSDAIKLARDVDPSGERTFGVLTKLDLMDKGTNAVDVLEGRAYRLQQPWVGIVNRSQADINKNVDMILARRKEREYFETSPDYGHLASRMGSEYLAKLLSEHLELVIRQRIPSIIALINKTIDELNAELDRIGRPIATDGGAQLYMILELCRAFDRVFKEHLDGGRPGGDRIFGVFDNQLPAALKKLPFDRYLSLKNVQKVVTEADGYQPHLIAPEQGYRRLIDGAISYFKGPAEASVDAVHYVLKELVRKSIAETQELKRFPSLQTDIATAANESLEKFRDESRKTVLRLVEMESSYLTADFFRKLNAEPEKNLNPSDKKKNAEPAKNPNQSGHTGDRLNESYLRRIGSNVNAYIAMVCDTLRNTIPKAVVYCQVKEAKRSLLTNFYAQVGSREKERLSAMLDEDPQLMERRIQIAKRLELYISSRDDIDAVCWK >KJB48699 pep chromosome:Graimondii2_0_v6:8:16622799:16622894:-1 gene:B456_008G082300 transcript:KJB48699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTITSYFGFLLAALTITSTLFIGLSKIRLI >KJB49017 pep chromosome:Graimondii2_0_v6:8:27151953:27153145:1 gene:B456_008G097800 transcript:KJB49017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFFSIRLISLNLLLLLCFIPSICYGATFDPFTDKTKITYHDGPILTGIVNLHLIWYGKPEEIQREVIMDFLETLNTEGDKKVQPHLSRWWNVVESYQLAMKGKPTIGVESPKIKVKVEKEDTIDYAYGKVLTTQYDIPRLIKDVNHGDPNLLPLIITAKDVSMHGLCAGKCADHGIFENNKGFIVIGDPEIECPGACGWPFHEVDAGPKGPIFKPPNKNMAADAMVVALASALVNTITNPQNTGFYGGIEFDPIESATACKGIFGPGATPGNPGKVFTDRKTGENFSAHGNNGRKFLLPAIWNPATSTCWTITSRYFST >KJB50140 pep chromosome:Graimondii2_0_v6:8:41658527:41661495:-1 gene:B456_008G156000 transcript:KJB50140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKCLWLWGLLFIALGFAWSITAERNPRTERISGSAGDVLEDDPVGRLKVYVYELPSKYNKKILQKDPRCLSHMFAAEIFMHRFLLSSPVRTLNPEEADWFYTPIYATCDLTPTGLPLPFKSPRMVRSAIQLISSSWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPILRHATLVQTFGQRNHVCLNEGSITIPPYAPPQKMQARQIPPDTPRSIFVYFRGLFYDVNNDPEGGYYARGARAAVWENFKSNPLFDISTEHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDKILTSIPPHVILRKQRLLANPSMKRAMLFPQPTLPGDAFHQILNGLARKLPHPKSVYLKPGEKILNWTAGPITDLKPW >KJB49893 pep chromosome:Graimondii2_0_v6:8:39612405:39613126:1 gene:B456_008G144000 transcript:KJB49893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTEPYNFVFGCSFFTHRWLNFLASATWFLAFVYSLYDTSPAAAPSSCTADFISTWHFSKARRSTLPSPPDPIDLSMLAETSVAFPLAILTNLTMKESLAPISSGKSFLRVSEQSLVLLTLGQISLTMSEYLVAFSKGKKERKIKKDRATATLLVMMGFIIFASTC >KJB52234 pep chromosome:Graimondii2_0_v6:8:53539151:53542581:1 gene:B456_008G251700 transcript:KJB52234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPDADLFNPQSVMDSDFSPGGASASASVDPDFGFAFDDSNFSDRTLRIEIMPDLPETKSDGGGCCSIADWARNRKRRREDFKKENDFIGQSEEQILNCNLPYTVDGVTYENQDEEAMAMVEESPSDIGLNSNQIGNDSIYNNDSSKNMDCSKVLRVKTIHISSPILAAKSPFFYKLFSNGMKESEQRHVTLRIHASEETALLDLLSFMYSNTLSTTTPTALLDVLMAADKFEVASCMRYCSRLLRNLPMTCESALLYLDLPSSVLMADAVQPLTDAAKQFLAVRYKDITKFQEEVLNLPLTGIEAVFSSDDLQVASEDAVYDFVLKWARTHYPKLEERRGIFATRLSRVIRFPYMTCRKLKKVLTCIDFDPELASKVVLEALFFKSEMPHRQRALAAEEANATYRRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECTHLFPAGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQERGSVTFAVDYEFAARSKPTEDYVSKYKGNYTFTGGKAVGYRNLFGIPWTAFMSDDSIYFINGILHLRAELTIRQ >KJB52235 pep chromosome:Graimondii2_0_v6:8:53539455:53542493:1 gene:B456_008G251700 transcript:KJB52235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPDADLFNPQSVMDSDFSPGGASASASVDPDFGFAFDDSNFSDRTLRIEIMPDLPETKSDGGGCCSIADWARNRKRRREDFKKENGLFCFVSKIKIIDFIGQSEEQILNCNLPYTVDGVTYENQDEEAMAMVEESPSDIGLNSNQIGNDSIYNNDSSKNMDCSKVLRVKTIHISSPILAAKSPFFYKLFSNGMKESEQRHVTLRIHASEETALLDLLSFMYSNTLSTTTPTALLDVLMAADKFEVASCMRYCSRLLRNLPMTCESALLYLDLPSSVLMADAVQPLTDAAKQFLAVRYKDITKFQEEVLNLPLTGIEAVFSSDDLQVASEDAVYDFVLKWARTHYPKLEERRGIFATRLSRVIRFPYMTCRKLKKVLTCIDFDPELASKVVLEALFFKSEMPHRQRALAAEEANATYRRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECTHLFPAGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQERGSVTFAVDYEFAARSKPTEDYVSKYKGNYTFTGGKAVGYRNLFGIPWTAFMSDDSIYFINGILHLRAELTIRQ >KJB52233 pep chromosome:Graimondii2_0_v6:8:53539285:53542581:1 gene:B456_008G251700 transcript:KJB52233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPDADLFNPQSVMDSDFSPGGASASASVDPDFGFAFDDSNFSDRTLRIEIMPDLPETKSDGGGCCSIADWARNRKRRREDFKKENDFIGQSEEQILNCNLPYTVDGVTYENQDEEAMAMVEESPSDIGLNSNQIGNDSIYNNDSSKNMDCSKVLRVKTIHISSPILAAKSPFFYKLFSNGMKESEQRHVTLRIHASEETALLDLLSFMYSNTLSTTTPTALLDVLMAADKFEVASCMRYCSRLLRNLPMTCESALLYLDLPSSVLMADAVQPLTDAAKQFLAVRYKDITKFQEEVLNLPLTGIEAVFSSDDLQVASEDAVYDFVLKWARTHYPKLEERRGIFATRLSRVIRFPYMTCRKLKKVLTCIDFDPELASKVVLEALFFKSEMPHRQRALAAEEANATYRRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECTHLFPAGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQERGSVTFAVDYEFAARSKPTEDYVSKYKGNYTFTGGKAVGYRNLFGIPWTAFMSDDSIYFINGILHLRAELTIRQ >KJB52236 pep chromosome:Graimondii2_0_v6:8:53539455:53542493:1 gene:B456_008G251700 transcript:KJB52236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPDADLFNPQSVMDSDFSPGGASASASVDPDFGFAFDDSNFSDRTLRIEIMPDLPETKSDGGGCCSIADWARNRKRRREDFKKENDFIGQSEEQILNCNLPYTVDGVTYENQDEEAMAMVEESPSDIGLNSNQIGNDSIYNNDSSKNMDCSKVLRVKTIHISSPILAAKSPFFYKLFSNGMKESEQRHVTLRIHASEETALLDLLSFMYSNTLSTTTPTALLDVLMAADKFEVASCMRYCSRLLRNLPMTCESALLYLDLPSSVLMADAVQPLTDAAKQFLAVRYKDITKFQEEVLNLPLTGIEAVFSSDDLQVASEDAVYDFVLKWARTHYPKLEERRGIFATRLSRVIRFPYMTCRKLKKVLTCIDFDPELASKVVLEALFFKSEMPHRQRALAAEEANATYRRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECTHLFPAGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQERGSVTFAVDYEFAARSKPTEDYKLVF >KJB52188 pep chromosome:Graimondii2_0_v6:8:53371920:53373465:-1 gene:B456_008G249600 transcript:KJB52188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFLPSHKYPLALLLSFQKKKKVFVFAAAYFCYLKFELNVYYLLKYMATLRTSTSTVIYPFRRNTASTAIPNSTVVCFGNGRKRNELNVCMVLQQRRKNLKSEKEIMINNSDAIKSYEAFNHVSQIKLSKSEERLAKKKFERTTYLVAAILSSLGISSMAIMAVYCRFSWQMEGGEFQLLEMFGTFALSVGAAVGMEYWARWAHRALWHASLWQMHESHHRAREGAFELNDVFAVVNALPAIALLSYGFLNGGFVPGLCFGAGLGITVFGMAYMFVHDGLVHRRFPVGPIANVPYLRKVAAAHQIHHADKFDGVPYGLFLGPQATF >KJB52187 pep chromosome:Graimondii2_0_v6:8:53371473:53373496:-1 gene:B456_008G249600 transcript:KJB52187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFLPSHKYPLALLLSFQKKKKVFVFAAAYFCYLKFELNVYYLLKYMATLRTSTSTVIYPFRRNTASTAIPNSTVVCFGNGRKRNELNVCMVLQQRRKNLKSEKEIMINNSDAIKSYEAFNHVSQIKLSKSEERLAKKKFERTTYLVAAILSSLGISSMAIMAVYCRFSWQMEGGEFQLLEMFGTFALSVGAAVGMEYWARWAHRALWHASLWQMHESHHRAREGAFELNDVFAVVNALPAIALLSYGFLNGGFVPGLCFGAGLGITVFGMAYMFVHDGLVHRRFPVGPIANVPYLRKVAAAHQIHHADKFDGVPYGLFLGPQELEEVGGLKELEKKVPSEGKESE >KJB47063 pep chromosome:Graimondii2_0_v6:8:1041499:1042122:1 gene:B456_008G009200 transcript:KJB47063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVTRMVVDWPVVIFSRTTCCMSHTIKTLISGFGANLTVYELDEIPNGQQVERALQQMGCNPSVPAVFIGQQLVGGPNQVMSLQLRNQLVPLLIRAGAIWI >KJB47369 pep chromosome:Graimondii2_0_v6:8:2629160:2632796:1 gene:B456_008G023000 transcript:KJB47369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQIQREGKRMDLDNSTPDEPILRERLKAHFNEDLPSFLFLPLLLPFFSFLPDIKLQKKRNSAIARPMGDSSLALGTSVHKALGGGSVADVLLWRKWRGGAVMLVSATTMWYLFEVAGYNFLTFVANVLLLLIVILFLWAKSASLLNRPLPPIPNMEISERTIGIVADELQIWLNCVLSIAHDITIGRNLKVFLKVALSLWFVSFIGSLFNFLTLVYIGVILILSVPLVYEKYQPHIDEKLSVAHRVFQEQCRKLDETVLSKLPLPSNKEKKMQ >KJB47368 pep chromosome:Graimondii2_0_v6:8:2629160:2632796:1 gene:B456_008G023000 transcript:KJB47368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQIQREGKRMDLDNSTPDEPILRERLKAHFNEDLPSFLFLPLLLPFFSFLPDIKLQKKRNSAIARPMGDSSLALGTSVHKALGGGSVADVLLWRKWRGGAVMLVSATTMWYLFEVAGYNFLTFVANVLLLLIVILFLWAKSASLLNRPLPPIPNMEISERTIGIVADELQIWLNCVLSIAHDITIGRNLKVFLKVALSLWFVSFIGSLFNFLTLVYIGVILILSVPLVYEKYQPHIDEKLSVAHRVFQEQCRKLDETVLSKLPLPSNKEKKMQ >KJB47367 pep chromosome:Graimondii2_0_v6:8:2629160:2632796:1 gene:B456_008G023000 transcript:KJB47367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQIQREGKRMDLDNSTPDEPILRERLKAHFNEDLPSFLFLPLLLPFFSFLPDIKLQKKRNSAIARPMGDSSLALGTSVHKALGGGSVADVLLWRKWRGGAVMLVSATTMWYLFEVAGYNFLTFVANVLLLLIVILFLWAKSASLLNRPLPPIPNMEISERTIGIVADELQIWLNCVLSIAHDITIGRNLKVFLKVALSLWFVSFIGSLFNFLTLVYIGVILILSVPLVYEKYQPHIDEKLSVAHRVFQEQCRKLDETVLSKLPLPSNKEKKMQ >KJB50978 pep chromosome:Graimondii2_0_v6:8:48090007:48093161:-1 gene:B456_008G196200 transcript:KJB50978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEQGQSTKNVCVIGAGPSGLVAARELRKEGHTAVVLEQNHDIGGQWLYEPNVEKEDPLGKNKFLDVHSSVYDSLRIVSPREIMGFTDFPFVSKKNRDTRRFPGHKELWLYLKDFCEYFGLKEMIRFNTRVEYVGMLDYGVFGKDLKWVVKSKEKKGEKRVEEVFDAVIVATGHYSQPRLPSIKGMDAWKRKQIHSHVYRVPEPFRNEVVVIVGNSLSGQDISMEIVKVAKEVYLSAKSLEVITEGLSNVISKHRNLHLYPQIQSLHEDGRVEFEDGSCVIADTIIYCTGYSYAFPFLDTKGIVGVDDNRVGPLFEHTFPPSLAPSLSFIGIPRKLIGFPFFESQAKWIAQVLSGKRELPSYDDMMLSIKEFYRSRELAGIPVSDTHDIANFEYCDKYADYSESPHLEEWRKQLCLSAIVNSFTNLETYRDSWDDGDDELLQQALQSPHFTQLGVQP >KJB51111 pep chromosome:Graimondii2_0_v6:8:48753237:48756100:-1 gene:B456_008G202000 transcript:KJB51111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYYLFQSRKKEVDPRKERFRQYPTPPLCSPFSVTICATTHIFWRFRFSIQINTMEAGVRSDVCMDLGNGLANGQGKHPLFSFGVISDVQYADIPDGHSFLGVPRYYRHSILVLQRAVKNWNDHKNLNFVINFGDIVDGKCPKDQSLNAVKKVVSEFENFHGPVYHMIGNHCLYNLPRDKLLPLLKIPNHGDGCTHAYYDFSPTPGYRFVVLDGYDISAIGWPHDHPNRLEALKILSEKNPNSDKNSPEGLKGVDRRFVMFNGAVGNKQMEWLDNVLKDATNMKQKVIICCHVPLDPGATSKTTLLWNYDQVMDLIHRYDCVKVCLSGHNHQGGYSVDSRGVHHRVLDAALECTPGTNAYGYIDVYDNMLSLVGTDQMKSTKFSFDF >KJB50043 pep chromosome:Graimondii2_0_v6:8:40527974:40530100:-1 gene:B456_008G149800 transcript:KJB50043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFKWLSKTQSSFHLLNIVLISSTVCVTYIIASALLLHNSNSPLNVYSSYQDAYASTGLEHIVFGIASNQKSWLKRKEYVKLWWEPRQMRGCVFLETMPPNATTSTDADATLPPLCISQDTSRFRYTFKGGLRSAIRVARVVLETVALNHTNVRWYVFGDDDTVFFPQNLVKTLSKYDHRLWYYIGSGSEIYQQNKYFSFQMAFGGAGFAISYPLARVLAKVFDSCIERYPHLYGSDSRVYSCLAELGVGLTREPGFHQFDVRGDAFGLLATHPLTPLVSLHHMDHVDPIFPNMTATKALEHLFHAVNVDSQRILQQTVCYDRWFSWTISISWGYAVQVYSKHMFLPDVLSVPETFKQWTPGGVLAGSYTFNTREFHPDPCQRPTTFLLDTVSSGRHGIMTVYKKSYENCTLDNGSPRKLGEIRVSTKKLDLNYKQMQAPRRQCCDVLPSKSGELLDIAIRECNEDELIHMHA >KJB51154 pep chromosome:Graimondii2_0_v6:8:48963881:48969820:1 gene:B456_008G204100 transcript:KJB51154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEMSEPPPQVSIKPEVDAAAGGVDSSKTLLPPPKVVVLADLNVNPPESDDHDSLLLPAPDLSRLTNDESSQEKSTLISKDGDAIEGAVKKLNKKCRSRVSKADALLDCGVDADGDQPSQGASSSREEKVSSLKTGLVHVARKMPKNAHAHFILGLMYQRLSQPQKAISAYEKAAEILLRCEAEIARPELLSFVQIHHAQCLLLENSGDNVLDKELEPEELDEILSKLKESMQSDIRQAGVWNTLGLMLLKTGRLQTAITVLSSLLALAPDDYDCLGNLGIAYLQSGNLELSAKYFQDLIVKDQNHPAALMNYAAILLCKHGSIVAGAGANASEGASGDQFAAINVARECLLAAVKSDPKAAHTWANLANAYYLIGDHRSSSKCLEKAAKLEPNCMSTRYAVAVHRIKDVERSQDPSEQLSWAGNEMASVLREGDSVPIEPTIAWAGLAMVHKAQHEIVAAFETDQNELVEVEERAIFSLKQATAEDPDDAVQWNQLGLDSLCSQHFKMAQKYLKAAVVRFRKCSSFAWSNLGISLQLSEETSQAECVYKRALSLATTEQAHAIFSNLGNLYRQRKQYDRAKAMFTKSLELQPGYAPAFNNLGLVFVAEGHWEEAKFCFDKALQSDPLLDAAKSNMIKTVALSRLCADPTA >KJB51157 pep chromosome:Graimondii2_0_v6:8:48963995:48969112:1 gene:B456_008G204100 transcript:KJB51157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEMSEPPPQVSIKPEVDAAAGGVDSSKTLLPPPKVVVLADLNVNPPESDDHDSLLLPAPDLSRLTNDESSQEKSTLISKDGDAIEGAVKKLNKKCRSRVSKADALLDCGVDADGDQPSQGASSSREEKVSSLKTGLVHVARKMPKNAHAHFILGLMYQRLSQPQKAISAYEKAAEILLRCEAEIARPELLSFVQIHHAQCLLLENSGDNVLDKELEPEELDEILSKLKESMQSDIRQAGVWNTLGLMLLKTGRLQTAITVLSSLLALAPDDYDCLGNLGIAYLQSGNLELSAKYFQDLIVKDQNHPAALMNYAAILLCKHGSIVAGAGANASEGASGDQFAAINVARECLLAAVKSDPKAAHTWANLANAYYLIGDHRSSSKCLEKVLMAH >KJB51155 pep chromosome:Graimondii2_0_v6:8:48963981:48969262:1 gene:B456_008G204100 transcript:KJB51155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEMSEPPPQVSIKPEVDAAAGGVDSSKTLLPPPKVVVLADLNVNPPESDDHDSLLLPAPDLSRLTNDESSQEKSTLISKDGDAIEGAVKKLNKKCRSRVSKADALLDCGVDADGDQPSQGASSSREEKVSSLKTGLVHVARKMPKNAHAHFILGLMYQRLSQPQKAISAYEKAAEILLRCEAEIARPELLSFVQIHHAQCLLLENSGDNVLDKELEPEELDEILSKLKESMQSDIRQAGVWNTLGLMLLKTGRLQTAITVLSSLLALAPDDYDCLGNLGIAYLQSGNLELSAKYFQDLIVKDQNHPAALMNYAAILLCKHGSIVAGAGANASEGASGDQFAAINVARECLLAAVKSDPKAAHTWANLANAYYLIGDHRSSSKCLEKAAKLEPNCMSTRYAVAVHRIKDVERSQDPSEQLSWAGNEMASVLREGDSVPIEPTIAWAGLAMVHKAQHEIVAAFETDQNELVEVEERAIFSLKQATAEDPDDAVQWNQLGLDSLCSQHFKMAQKYLKAAVVRFRKCSSFAWSNLGISLQLSEETSQAECVYKRALSLATTEQAHAIFSNLGNLYRQRKQYDRAKAMFTKSLELQPGYAPAFNNLGLVFVAEGHWEEAKFCFDKALQSDPLLDAAKSNMIKTVALSRLCAGLSSFIIPD >KJB51158 pep chromosome:Graimondii2_0_v6:8:48963995:48969112:1 gene:B456_008G204100 transcript:KJB51158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEMSEPPPQVSIKPEVDAAAGGVDSSKTLLPPPKVVVLADLNVNPPESDDHDSLLLPAPDLSRLTNDESSQEKSTLISKDGDAIEGAVKKLNKKCRSRVSKADALLDCGVDADGDQPSQGASSSREEKVSSLKTGLVHVARKMPKNAHAHFILGLMYQRLSQPQKAISAYEKAAEILLRCEAEIARPELLSFVQIHHAQCLLLENSGDNVLDKELEPEELDEILSKLKESMQSDIRQAGVWNTLGLMLLKTGRLQTAITVLSSLLALAPDDYDCLGNLGIAYLQSGNLELSAKYFQDLIVKDQNHPAALMNYAAILLCKHGSIVAGAGANASEGASGDQFAAINVARECLLAAVKSDPKAAHTWANLANAYYLIGDHRSSSKCLEKAAKLEPNCMSTRYAVAVHRIKDVERSQDPSEQLSWAGNEMASVLREGDSVPIEPTIAWAGLAMVHKAQHEIVAAFETDQNELVEVEERAIFSLKQATAEDPDDAVQWNQLGLDSLCSQHFKMAQKYLKAAVVRFRKCSSFAWSNLGM >KJB51156 pep chromosome:Graimondii2_0_v6:8:48963995:48967837:1 gene:B456_008G204100 transcript:KJB51156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEMSEPPPQVSIKPEVDAAAGGVDSSKTLLPPPKVVVLADLNVNPPESDDHDSLLLPAPDLSRLTNDESSQEKSTLISKDGDAIEGAVKKLNKKCRSRVSKADALLDCGVDADGDQPSQGASSSREEKVSSLKTGLVHVARKMPKNAHAHFILGLMYQRLSQPQKAISAYEKAAEILLRCEAEIARPELLSFVQIHHAQCLLLENSGDNVLDKELEPEELDEILSKLKESMQSDIRQAGVWNTLGLMLLKTGRLQTAITVLSSLLALAPDDYDCLGNLGIAYLQSGNLELSAKYFQDLIVKDQNHPAALMNYAAILLCKHGSIVAGAGANASEGASGDQFAAINVARECLLAAVKSDPKAAHTWANLANAYYLIGDHRSSSKCLEKAAKLEPNCMSTRYAVAVHRIKDVERSQDPSEQLSWAGNEMASVLREGDSVPIEPTIAWAGLAMVHKAQHEIVAAFETDQNELVEVEERAIFSLKQVRFSFDLFYKFNC >KJB49561 pep chromosome:Graimondii2_0_v6:8:36495900:36496683:1 gene:B456_008G125400 transcript:KJB49561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPVSNSMMSMRPEALASLPASASAAFGRMDANLDPVAVCLVAVANAIVDGDAPDVISTTSLDLVPAAKADMNSLLPGSRTVLHEPFFFLWYMSHCNHSETQIFARTNRHGNDT >KJB47739 pep chromosome:Graimondii2_0_v6:8:5002685:5003527:-1 gene:B456_008G038900 transcript:KJB47739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYHARSNSLPSRQHPIVSQIDENLNRLKASQSASTSSLIGHNLSGLQDLHECVDVLLQFPLTQQALAQEKQREMVEELLDGSLMLLDVCTTAKDALLQTKECTQELQSILRRRRGAEGLANEFRKYLTSRKAMKKAICKALKNLKHIQNKLSTPGENGAVISVLRDVEAVTISVLESVLSFISGPEAESKSSRWSLVLKLMHQKKVMCEEEQKANKFLSAEAAVRSCIKSENMKHVENVQKELQSSELSIQDLEEGLETLSRHMIKTRVTVLNIISC >KJB49018 pep chromosome:Graimondii2_0_v6:8:27391572:27393956:1 gene:B456_008G098000 transcript:KJB49018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPVVFLKLADWVLYQLLANSCYRAARKVRNYGFFLRNQIPRSSSQQQATSLFPSASHCDVGNNIRSSQTLVCDIHGVLLRAKTFFPYFMLLSFEAGGILRAFLLLLSCSFLWVLDYELKLRVMIFISFCGLRKKDVESVGRAVLPKFYLENLNLQAYEVWSKTSSRVVFTSIPRAMVEGFLKEYMAVDDVVGTELHTVGNRFTGLLSSSGLLVKHKALKAYFGDKKPDVGLGSSSLHDHHFISLCKEAYVVHKEDGRNNQSCLMPRDKYPKPLIFHDGRLAFLPTPFATLCMFLWLPFGIVLAIFRILVGICLPYRLAIFWGSLSGVQLTFQGCFPSSNSEQKKGVLYVCTHRTLLDPVFLSTALCKPLTAVTYSLSKMSEIIAPIKTVRLTRDRKQDGETMKKLLSEGDLVVCPEGTTCREPYLLRFSSLFAELADEIVPVAMNAHVSMFYGTTASGLKWLDPIFFLMNPRPSYHVQILGKVPPEFTCAGGRSSFEVANYIQRKLADALGFECTTFTRRDKYLMLAGNEGIVRENKRN >KJB51716 pep chromosome:Graimondii2_0_v6:8:51520043:51524142:1 gene:B456_008G229200 transcript:KJB51716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAITLSKASHSLLRYYLIHVRSQPIFSIHFLYVRSFESQSIFLNTHHSKLTFNDSQLLPLLSNHRLWEARNLLDRMPQRSNNGRVIHLTSLISKFSRNGFVNEAKALFEIMPERNIVSYNAMLSSFINSGRIGEARRFFEEMPERNVVSWTSMLCGLLESGRVLEGKIFFDNMPEKNVVSWNSMIGGLIKNGDLDEARLIFDAMPVRNIISSNTMIYGYAENCRMKEARDLFEVMEDRNVVTWTSMIAGYCRAGEVNEGYCLFCRMPERNIVSWTAMIGGFTWNGFYEEALLLFLEMTNYEIRPNTETFVSLAYACAGMGFPCLGKQLHAQVIVAGLEYDDYDGRLSRSLIQMYSVFGIMDFAYYIFKKILNNSSVQSYNAMITGYVRTGQLEKAQYVFDISPIRDKISWTSMIDGYLSIGQVFQASHLFNNMPEKDAVAWTTMISGYVQNEHFIEATALFLEMRAQGVFPLNATYSVLLGASGATANLDMGRQIHCMLEKTLYEFDLILENSLISMYAKCGLIDDAYNIFSTMVSKDLISWNSMIMGFSHHGLANETLKVFEAMLESGCRPNSITFLGILSACSHVGLISKALELFKSMSDIYRIEPGLEHYVSIVNLLGRAGRVKEAEEFVRSLPFEPDRAIWGALLGVCGLSETGAEIAKHAARKLLELDPMNAPAHVVLCNIYAARGLHMAEQKLRKEMGLKGVRKAPGCSWIQLNGRVHVFKSGDKLHQQADEVLSLLFGISDKSCKRISLRL >KJB47010 pep chromosome:Graimondii2_0_v6:8:767962:772046:1 gene:B456_008G006200 transcript:KJB47010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKNDSGGGSIACKLGTSILHQDKGSKNKRKLDDPSLENPVMVPLSMSEFASYALPLEIFRGPVLGPLEVESSMGSLSEVFEPADWDDPIACQLEELLLSNLQMIFQNAIKKIVECGFKEDVAEKAISRHGLYQGGKDLVSNVVNDAIASLKKGIEGDISIHLFEDLQQLVVYTMLEMISVLREVKPCLSIAEAMWWLLMFDLNISVACEVEGDILRNLGCVEVSGESSSDSNPKSRAGTQNPETKLSISNQSNGSKPSLSSEALKFGSFPNLPKPKSPLPYEGMTPEKEFLVSRSVSGDYVPVASISEEKTGTGRKGRSKKELAAIRKKSFNMEINRAAYWKSFRAVKLAASGSSVVEKRMKSPSELPSVHMKDSSPNVVMEAGALADESHHVADDSSTLKGTKSTVPTANTGLAPSLSLENKPVPKSKGGTSKSSKTPEQDAGKKPAPKAKGSTSMSSKSIDYCAGIPYDESLGKYIPQNEKDEMILKLVPRIEELQNELDSWTQWTNQKVMQAARRLSKDQAELKSLRQEKEEVEQLKREKEIMEENTMKRLSEMEFALNNATSQVEDANNTVQKLEVEHSMLKMEMEVAKSQAIASAVSCREALEREQKALKDIQSWEGQRSLLQEELASEKQKATELQRKVGKAKNIYSQIEMTWKEERMAKEKFFAQAASIRKERESLEAVAKVEEDKIKLKAEKDMKKYGEEIKMLENKLSKLKMKLDSSKIAALWGCIDGGNGQCSSVNEGYHSPSFSKRVVDINDYSGSRGLKQERECVMCLSEEKIVVFLPCAHQVLCVKCNELHEKQRMKDCPACRTMISNRICARLAKPHAIAR >KJB52746 pep chromosome:Graimondii2_0_v6:8:55352749:55356732:1 gene:B456_008G275500 transcript:KJB52746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVNDSVETVNAAASAIVSAESRVQPTTVQKKRWGSCWSFYWCFGSHKSSKRIGHAVLVPEPVVPGALVSTAENASNPTGIVMPFIAPPSSPASFLQSDPPSATQSPAGLLSLTALSVNAYSPRGPASIFAIGPYAHETQLVTPPVFSALTTEPSTAPFTPPPESVQLTTPSSPEVPFAQLLTSSLERARRNSGINQKFGLSHYEFQSYQIYPGSPGGNLISPGSVISNSGTSSPFPDRRPILEFRMGEAPKTLGFEHFTTRKWGSRLGSGSLTPDGLGQGSRLGSECVTPDGMGLGSRLGSGSLTPDGLGPASRDGFPIESQNSEVALLSNPPNGPKNDEIIVDHRVSFELSGEDVARCLKNKSLVSSRTMPDYEYPNDLVAQGRIEKDEKVSGEAEEDHCYQKHRSVTLGSIKEFNFDNRKGEASEKPTVRSEWWANEKVAGKEARPGNNWTFFPMLQPEVS >KJB52747 pep chromosome:Graimondii2_0_v6:8:55353207:55356394:1 gene:B456_008G275500 transcript:KJB52747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVNDSVETVNAAASAIVSAESRVQPTTVQKRWGSCWSFYWCFGSHKSSKRIGHAVLVPEPVVPGALVSTAENASNPTGIVMPFIAPPSSPASFLQSDPPSATQSPAGLLSLTALSVNAYSPRGPASIFAIGPYAHETQLVTPPVFSALTTEPSTAPFTPPPESVQLTTPSSPEVPFAQLLTSSLERARRNSGINQKFGLSHYEFQSYQIYPGSPGGNLISPGSVISNSGTSSPFPDRRPILEFRMGEAPKTLGFEHFTTRKWGSRLGSGSLTPDGLGQGSRLGSECVTPDGMGLGSRLGSGSLTPDGLGPASRDGFPIESQNSEVALLSNPPNGPKNDEIIVDHRVSFELSGEDVARCLKNKSLVSSRTMPDYEYPNDLVAQGRIEKDEKVSGEAEEDHCYQKHRSVTLGSIKEFNFDNRKGEASEKPTVRSEWWANEKVAGKEARPGNNWTFFPMLQPEVS >KJB49136 pep chromosome:Graimondii2_0_v6:8:33045076:33048251:1 gene:B456_008G105300 transcript:KJB49136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAARIRSTLPLFHRLLRSDSLPSHTPRAALHRTLLCPELSRKYSTSPKKDENVKVPLALFGGSGNYASALYLAAVKGNALDKVESELLDLVQASKNSQLFSQFTKDLSVPAETRVKAINEICGQAKFSDLTKNFLVILAENGRLRHIESIAKRFVELTMAHKGEVKASVTSVIPLPPQEEKELKETLQEIIGQGKQVKLEQKIDPSILGGLVVEFEQKVFDMSIKTRARQMERFLREPINFGSL >KJB49138 pep chromosome:Graimondii2_0_v6:8:33045110:33048251:1 gene:B456_008G105300 transcript:KJB49138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAARIRSTLPLFHRLLRSDSLPSHTPRAALHRTLLCPELSRKYSTSPKKDENVKVPLALFGGSGNYASALYLAAVKGNALDKVESELLDLVQASKNSQLFSQFTKDLSVPAETRVKAINEICGQAKFSDLTKNFLVILAENGRLRHIESIAKRFVELTMAHKGEVKASVTSVIEEKELKETLQEIIGQGKQVKLEQKIDPSILGGLVVEFEQKVFDMSIKTRARQMERFLREPINFGSL >KJB49137 pep chromosome:Graimondii2_0_v6:8:33045110:33048251:1 gene:B456_008G105300 transcript:KJB49137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAARIRSTLPLFHRLLRSDSLPSHTPRAALHRTLLCPELSRKYSTSPKKDENVKVPLALFGGSGNYASALYLAAVKGNALDKVESELLDLVQASKNSQLFSQFTKDLSVPAETRVKAINEICGQAKFSDLTKNFLVILAENGRLRHIESIAKRFVELTMAHKGEVKASVTSVIPLPPQEEKELKETLQEIIGQGKQVKLEQKYSWWACRRV >KJB47742 pep chromosome:Graimondii2_0_v6:8:5048566:5049409:-1 gene:B456_008G039200 transcript:KJB47742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYHARSNSLPSRQHPIVSQIDENLNRLRASQSASTSSLIGHNLSGLQDLHECVDVLLQFPLTQQALAQEKQREMVEELLDGSLMLLDVCTTAKDALLQTKECTQELQSILRRRRGAEGLANELRKYLTSRKAMKKAICKALKNLKHIQNKLSTPGENGAVISVLRDVEAVTISVLESVLSFISGPEAESKSSRWSLTRKANKFLTAEAAVRSCIKSENMKHVENVQKELQSSELSIQDLEEGLETLSRHMIKTRVTVLNIISC >KJB50925 pep chromosome:Graimondii2_0_v6:8:47736225:47737496:1 gene:B456_008G193400 transcript:KJB50925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQCSLNGCWFKSSEMIAKEEEEHYSSPFPPRLPLDSSSTVYCNPMDWQFPIEQGFYDEVPLMSTFSTDPLYASLDIEQTSTPTQDGYDGNGFWDELGLLFEPCNKQPMLKDEDINGEGVMKKERVSSKRCKEDQRNIRAKLLSRKVISQYFYMPIIQAAKELNVGLTLLKKRCRELGIRRWPHRKLTSLRTLINNVQELEEGEERESKVREAIEVLERERKMLEEMPDMDLEDKTKRLRQACFKANYKKRKLVPPSIMTQSPSSTGAVGSRASDLDLITRANCRRGLSDSFSSTITMI >KJB52984 pep chromosome:Graimondii2_0_v6:8:57002341:57005171:-1 gene:B456_008G297400 transcript:KJB52984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSRFVGRTALLAAAKSESSAATTAAAASAAAPTNLNPLEQFFEADRNPEDEKPVYGRSWKASELRVKSWDDLHKLWYVLLKEKNMLMTQRQMLHAQNLRFPNPERIPKVRKSMCRIKQVLTERAIEDPDPRRSAEMKRMINAL >KJB48421 pep chromosome:Graimondii2_0_v6:8:11318874:11320597:-1 gene:B456_008G068600 transcript:KJB48421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLCPNIDKEDGLETVLEVPVPEEMFTSMGNNLQARLENMLTWMKAQASDKWSQPMLAGRINELRFLLYLVGSPLIPLQVQLGHSVHKPVKDCSIQASTAKYIVQQYIAATGGQQALNAVHSMCVTGQVKITASEFQQGDVNVKVKSTEETGGFVLWQKDPDLWCLELIISGCKVVSGSNGKLSWRHSSNQQTPIARGPPRPLRRFLQGLDPRSTANLFIDATCIGEKIISNEDCFILKLETNAAIREAQSGPNYEIINHTIWGYFSQRSGLMIQFEDSRLLMMKTKDDSDVFWETRTESVMDDYKYTDGVNIAHSGKTSVTVFRYGEQSSNHKRQIEEKWKIEDVDFNVWGLTKDDFIPPSDMQTS >KJB50952 pep chromosome:Graimondii2_0_v6:8:47962323:47966147:1 gene:B456_008G194500 transcript:KJB50952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASSFCSSTQVNGFGGGLRLLRTHLSQPKSFSFTRRTATVVKATARVDKFSKSDIIVSPSILSANFAKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTLNQIKDLGAKAGVVLNPATPLSTIEYVLDVVDLILIMSVNPGFGGQSFIESQVKKISDLRRMCAEKGINPWIEVDGGVGPKNAYKVIEAGANALVAGSAVFGAKDYAEAIRGIKTSKRPEAVAV >KJB50953 pep chromosome:Graimondii2_0_v6:8:47962627:47966147:1 gene:B456_008G194500 transcript:KJB50953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASSFCSSTQVNGFGGGLRLLRTHLSQPKSFSFTRRTATVVKATARVDKFSKSDIIVSPSILSANFAKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTLNQIKDLGAKAGVVLNPATPLSTIEYVLDVVDLILIMSVNPGFGGQSFIESQVKKISDLRRMCAEKGINPWIEVDGGVGPKNAYKVIEAGANALVAGSAVFGAKDYAEGKDKLLEESKPAKGQKQWQCNYTAGDVIGSNV >KJB48891 pep chromosome:Graimondii2_0_v6:8:22989077:22992302:1 gene:B456_008G092300 transcript:KJB48891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIQIKKIDNSTARQVTFAKRRRGLFKKAEELAILCDADVALIIFSSTGKLFDYASSSMKEILERHHLRSKNLEKLEQPCLGLQLVEHSNQSMLTMEIAEKSHQLRQMRGEELHGLNIEELQQLEKSLEIGLSRVMEKKGQRIMREIKDLQRKGMQLMEENERLKQQIINGPRQVAGDSDNIIFGEEGQSSESVTNVCTSNGNPHDYESSVTSLKLGLPYSG >KJB48893 pep chromosome:Graimondii2_0_v6:8:22988940:22992302:1 gene:B456_008G092300 transcript:KJB48893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIQIKKIDNSTARQVTFAKRRRGLFKKAEELAILCDADVALIIFSSTGKLFDYASSSMKEILERHHLRSKNLEKLEQPCLGLQLVEHSNQSMLTMEIAEKSHQLRQMRGEELHGLNIEELQQLEKSLEIGLSRVMEKKGQRIMREIKDLQRKGMQLMEENERLKQQIINGPRQVAGDSDNIIFGEEGQSSESVTNVCTSNGNPHDYESSVTSLKLGLPYSG >KJB48892 pep chromosome:Graimondii2_0_v6:8:22988844:22992429:1 gene:B456_008G092300 transcript:KJB48892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIQIKKIDNSTARQVTFAKRRRGLFKKAEELAILCDADVALIIFSSTGKLFDYASSSMKEILERHHLRSKNLEKLEQPCLGLQLVEHSNQSMLTMEIAEKSHQLRQMRGEELHGLNIEELQQLEKSLEIGLSRVMEKKGQRIMREIKDLQRKGMQLMEENERLKQQIINGPRQVAGDSDNIIFGEEGQSSESVTNVCTSNGNPHDYESSVTSLKLGLPYSG >KJB51810 pep chromosome:Graimondii2_0_v6:8:51889077:51890426:1 gene:B456_008G232700 transcript:KJB51810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSEVSSRLQYIEQYLLDENQFPPVGLWSFLNENQWTNYPKSEVSTSQSHSLYSQTSTSDSCLTASNFNSLDEDDFFNFSTSFPGFESNHSDVFEFDSKPQFIHLTTPKPLTSDATACEFQVKSRSSSNSTSNSQSRKPSLKISLPHKVEWIQFGKPDLTEVKPKNSNTEERSKHYRGVRQRPWGKFAAEIRDPNRKGSRVWLGTFDTAIEAAKAYDRAAFKLRGSKAILNFPLEAGKLDVIAADGERKRSRDDGAGDEREVKAVKRVNDDVTKARDNGDAPLTPSNWTSFLDCDNDTKGLFNMPLLSPLGLHQVIVI >KJB52980 pep chromosome:Graimondii2_0_v6:8:56204611:56205585:-1 gene:B456_008G286500 transcript:KJB52980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKRKRSEKERKDGVTMESTKKKKKKVTKVEEEDGGVGEEEEEEVEEFFAILRRMKAVVKYFNKSGGSEGWRAAVESEKTVAVVDEDDDDVKDGIDNNNDNNNNRREAVAENGALDLNEAPEEEEEEDPMS >KJB50398 pep chromosome:Graimondii2_0_v6:8:44099178:44105962:1 gene:B456_008G168800 transcript:KJB50398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVLDESSDDGWENHSFKPSRVSKKSPNPPPIKSFSFNSQSHTNYSGQSSDDCVEIQQLEDDGVSNLEDDDVEAEDVARPVNRVRRFVVDDDEEDNENACSDEVFDVESSEEMEELQEDDVVGKALQKCAKISTELRKELYGSSAASCERYAEVEASSVRIVTQNDVDVACGAADSGFQPVLKPYQLVGVNFLLLLHRKGIGGAILADEMGLGKTIQAITYLTLLKHLKNDPGPHLIVCPASVLENWERELKKWCPSFSVLQYHGAGRAAYSKELSSLSKAGLPPPFNVLLVCYSLFERHSVQQKDDRKILKRWHWSCVLMDEAHALKDKNSYRWKNLMSVARNAKQRLMLTGTPLQNDLHELWSLLEFMMPDLFATEDVDLKKLLNAEDRELVGRMKSILGPFILRRLKSDVMQQLVPKMQRVEHVIMEKQQEDAYREAIEEYRTISRARIAKLSESDMNNIVGILPQRQISNYFVQFRKIANHPLLVRRIYNDEDVVCFARRLHSMGVFECTLDRVIEELKNYNDFSINRLLIRYGITGGKGTLSDEYVMLSAKCQALAKLLPSLKRSGHRVLIFSQWTSMLDILEWTLDVIGVTYKRLDGRCCLHSASLDFSLSSFMG >KJB50397 pep chromosome:Graimondii2_0_v6:8:44099126:44107869:1 gene:B456_008G168800 transcript:KJB50397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVLDESSDDGWENHSFKPSRVSKKSPNPPPIKSFSFNSQSHTNYSGQSSDDCVEIQQLEDDGVSNLEDDDVEAEDVARPVNRVRRFVVDDDEEDNENACSDEVFDVESSEEMEELQEDDVVGKALQKCAKISTELRKELYGSSAASCERYAEVEASSVRIVTQNDVDVACGAADSGFQPVLKPYQLVGVNFLLLLHRKGIGGAILADEMGLGKTIQAITYLTLLKHLKNDPGPHLIVCPASVLENWERELKKWCPSFSVLQYHGAGRAAYSKELSSLSKAGLPPPFNVLLVCYSLFERHSVQQKDDRKILKRWHWSCVLMDEAHALKDKNSYRWKNLMSVARNAKQRLMLTGTPLQNDLHELWSLLEFMMPDLFATEDVDLKKLLNAEDRELVGRMKSILGPFILRRLKSDVMQQLVPKMQRVEHVIMEKQQEDAYREAIEEYRTISRARIAKLSESDMNNIVGILPQRQISNYFVQFRKIANHPLLVRRIYNDEDVVCFARRLHSMGVFECTLDRVIEELKNYNDFSINRLLIRYGITGGKGTLSDEYVMLSAKCQALAKLLPSLKRSGHRVLIFSQWTSMLDILEWTLDVIGVTYKRLDGSTQVTDRQTIVDDFNNDTSIFACLLSTRAGGQGLNLTGADTVIIHDLDFNPQIDRQAEDRCHRIGQIRPVTIYRLVTKGTVDENVYEIAKRKLTLDAAVLESGIDIENEGDTSEKTMGQILTSLLMN >KJB52211 pep chromosome:Graimondii2_0_v6:8:53480653:53483140:1 gene:B456_008G250900 transcript:KJB52211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHEEKKHEESLMDRIAEKIQAHDSSSSDSDNDKPSESTIKAKVFHLFGREKPVHHVLGGGKPADIFLWRNKKISAGALGVATVIWILFEMLEYHLLTLVCHLLILALALLFLWSNAATFVNKSPPRIPEVQIPKDPVMEFAQALRFEINRAFAVLRDIASGRDLKKFLSVCGLLVPSGYLFCLITCRLFI >KJB52210 pep chromosome:Graimondii2_0_v6:8:53480516:53483140:1 gene:B456_008G250900 transcript:KJB52210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHEEKKHEESLMDRIAEKIQAHDSSSSDSDNDKPSESTIKAKVFHLFGREKPVHHVLGGGKPADIFLWRNKKISAGALGVATVIWILFEMLEYHLLTLVCHLLILALALLFLWSNAATFVNKSPPRIPEVQIPKDPVMEFAQALRFEINRAFAVLRDIASGRDLKKFLSVIAGLWILSIVGSWCNFLTLFYIVFVLLHTVPVLYEKYEDKVDPFAEKAMHEIKKQYAVFDAKVLRKIPRGPLKEKKKD >KJB52212 pep chromosome:Graimondii2_0_v6:8:53480653:53483140:1 gene:B456_008G250900 transcript:KJB52212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHEEKKHEESLMDRIAEKIQAHDSSSSDSDNDKPSESTIKAKVFHLFGREKPVHHVLGGGKPADIFLWRNKKISAGALGVATVIWILFEMLEYHLLTLVCHLLILALALLFLWSNAATFVNKSPPRIPEVQIPKDPVMEFAQALRFEINRAFAVLRDIASGRDLKKFLSVIAGLWILSIVGSWCNFLTLFYIGIEVSFICFVFSPLYTCWFPDFVLMVLQ >KJB52346 pep chromosome:Graimondii2_0_v6:8:53895408:53898017:1 gene:B456_008G257100 transcript:KJB52346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETIRTSEKPSNSSSLNPPSRIICHVCQKQFSQYTCPRCNSRYCSLPCYKSHSIRCTESFMRENVVEELRHLQSDDQTKRKMLEILKRFHSEDETEPLDEDVDDSILSDDTIQKILSGGEVNINDLSLEEKKRFQRVLASGELSKMIEPWDPWWLKPAARTICLSKDGAQLIQPMANREDLESDQPSDIPCGPKTPLSSLRMLISTEPSPLLAVHLVDIIYSYCFTLRVYNGDWQSDAVGSAMVVLSISSVLGQAGQPETVQEALCYCLEQTCSPAYRHIGGLQFGLALVDDITNLLSLGSPALICMLCDLQRMIMAAGRELKSEKQRKLRKSETKSKLKLAERKVYFIMCWVHEQPNKALSSLAAIVSAEKSSLMEYGGNKSFSRTEKNVAGNKDKALIEEM >KJB52185 pep chromosome:Graimondii2_0_v6:8:53363829:53366255:1 gene:B456_008G249400 transcript:KJB52185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLTVTLTRHGPSIKVLISQNLPPQALKASLSPHSPFLTDQIYSHFIKSGHSLDPFLSTTLISHFAKHGDFSRALSFFLDNPNPDTISFNSLISGFARFGRAGPVLGLFNELRHLGLKPDVFTLSSLVKGCERIEENEIVHGVCLRLGFGNGAFVVSGLIENYAKSGDLVSAEKCFRECFDVDNVVLTAMICCYFWNGEFDKGRQVFMKLRDLGFELNEFSLTGLISGLFDVKEGQLIHGVGLKMGFLCGGSLHFNNAVMSMYARCGSKLDAVKVFDEITEPDIVSWTERIGAALDRFEAFGIFNSLRRKGLGVNEYTMTNVLSAVAGEGLSCLGRQIQAVCQKEGYLKVVFVGNALISMYSKCGKMDDARCIFDDMVFQDSVSWNSLIAGYSDNGFVSLALKMFSDMRGHNVEVNCYTLASILEVASDLNSLHLAVQIHSYMIKCGFMSDDYVVSCLIATYGKCGSCNESRRVFSDVDKTSVMHLNAMLNTLVNADCHVDSLDLFRNTVDSKLEVDSKTFSIVLKACSAMTDLEQGRGIHSLALKSGFHQDCFVETAVIDLCCKCGNISDAERAFRYASVDNLAAWNAMITGYAQHGCYNEAFKLYDRMTVCRIEPDEITYLGLLTSCCHAGLLQEAQSYMNSMVECHGLIPHLEHYACLVDLLGRVGLLEDAKKTIDEMPIEPDAQIWQILLSACNIHGNFDMGRVAATKLLELQPDNESAYILLSNLCASAGMWNAVRKLRREMKEKLVSKEPGSSWIQLRGSMHYFFADDLLHPEHKAIFLELSKLYEHMQDSKTNGNFLLDL >KJB48998 pep chromosome:Graimondii2_0_v6:8:26662014:26668583:1 gene:B456_008G097000 transcript:KJB48998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELICKLQSKNCNLPLVLEGVYLKVKSLQKLGKFAEAAQDCTNILDAVGRIFPQGIPDAQVESKLQEMISKAVELLPELLKQAGKYQEAMAAYRRVLLNPWNLDNDCCGRIQKAFAVFLLHSGLEAGPPSLGAQADGAYVPKNNLEEAIPLLLVLMRKIHHGDIQWDPSVLDHLMYALSLCSQTPVLSNQLEELKPGVFHRTERWNLLALCCSGVGQNKAAMNLLRKSLHINERPNDLTALLLAAKICSEDSQLAAEGVGYAQRAVDNAKRVDEHLNVGLRMLGLCLGKQAKVSSSDFERSRLQNEALKSLDSALSFEQDNPDIIFELGAHYAEQRNLNAALRFAKKYIDITGGSVMKGWRLLALILSAQQRFAEAEVVTDAALDETGKWEQGPLLRLKAKLKVSQSRPMEAIETYCYLLALVQAQRKSSGPMKIDSQVEDDKVKEFEVWYGLAALYSSLSHWKDVEVCVKKAREMKQYSAELVHTEGFMHQERGEIQEALASYINASLLDPFYVPSKVRIGALLSKLGSNSLPVARTLLSDALRIEPTNRKAWYHLGMVHKDDGRLADAIDFLQAASMLEESDPVENFRSIL >KJB48999 pep chromosome:Graimondii2_0_v6:8:26662074:26668402:1 gene:B456_008G097000 transcript:KJB48999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKAVELLPELLKQAGKYQEAMAAYRRVLLNPWNLDNDCCGRIQKAFAVFLLHSGLEAGPPSLGAQADGAYVPKNNLEEAIPLLLVLMRKIHHGDIQWDPSVLDHLMYALSLCSQTPVLSNQLEELKPGVFHRTERWNLLALCCSGVGQNKAAMNLLRKSLHINERPNDLTALLLAAKICSEDSQLAAEGVGYAQRAVDNAKRVDEHLNVGLRMLGLCLGKQAKVSSSDFERSRLQNEALKSLDSALSFEQDNPDIIFELGAHYAEQRNLNAALRFAKKYIDITGGSVMKGWRLLALILSAQQRFAEAEVVTDAALDETGKWEQGPLLRLKAKLKVSQSRPMEAIETYCYLLALVQAQRKSSGPMKIDSQVEDDKVKEFEVWYGLAALYSSLSHWKDVEVCVKKAREMKQYSAELVHTEGFMHQERGEIQEALASYINASLLDPFYVPSKVRIGALLSKLGSNSLPVARTLLSDALRIEPTNRKAWYHLGMVHKDDGRLADAIDFLQAASMLEESDPVENFRSIL >KJB50193 pep chromosome:Graimondii2_0_v6:8:42340273:42345589:-1 gene:B456_008G158300 transcript:KJB50193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASRGRVTITLGRTGQVVKRAGPASGVDLSDSHPVSGSKRSVRDRLGGNADSSSLHGSQLNNKRQRGDGYTTSLNDNGLNGTVHIGKDDLRFKLMQKNVFRRALSDENRKDMDLREKLSRMGQPYETHQTSESRERIPEPREQVLESRETSILGRIPSTRSVDDLPRVTTSRSSYSPWTLDHLRQRFPDRVMGSSRGLSPPRNAEEFQRRQVNRTYDDVRPVSYMGKDVIDAPGVSTTSFVTKSRLPTTSAKPMPPGPQIPSPIPPSSIVQKNSYSGAEQQTVEGLLHSLGLGKYTITFKAEEVDMTALKQMGENDLKELGIPMGPRKKILLALLPRSKRQP >KJB50192 pep chromosome:Graimondii2_0_v6:8:42340567:42344402:-1 gene:B456_008G158300 transcript:KJB50192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYELFVRLLTSLLLGNFLKKAVHIGKDDLRFKLMQKNVFRRALSDENRKDMDLREKLSRMGQPYETHQTSESRERIPEPREQVLESRETSILGRIPSTRSVDDLPRVTTSRSSYSPWTLDHLRQRFPDRVMGSSRGLSPPRNAEEFQRRQVNRTYDDVRPVSYMGKDVIDAPGVSTTSFVTKSRLPTTSAKPMPPGPQIPSPIPPSSIVQKNSYSGAEQQTVEGLLHSLGLGKYTITFKAEEVDMTALKQMGENDLKELGIPMGPRKKILLALLPRSKRQP >KJB50191 pep chromosome:Graimondii2_0_v6:8:42340236:42345676:-1 gene:B456_008G158300 transcript:KJB50191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASRGRVTITLGRTGQVVKRAGPASGVDLSDSHPVSGSKRSVRDRLGGNADSSSLHGSQLNNKRQRGDGYTTSLNDNGLNAVHIGKDDLRFKLMQKNVFRRALSDENRKDMDLREKLSRMGQPYETHQTSESRERIPEPREQVLESRETSILGRIPSTRSVDDLPRVTTSRSSYSPWTLDHLRQRFPDRVMGSSRGLSPPRNAEEFQRRQVNRTYDDVRPVSYMGKDVIDAPGVSTTSFVTKSRLPTTSAKPMPPGPQIPSPIPPSSIVQKNSYSGAEQQTVEGLLHSLGLGKYTITFKAEEVDMTALKQMGENDLKELGIPMGPRKKILLALLPRSKRQP >KJB48686 pep chromosome:Graimondii2_0_v6:8:15813883:15815296:-1 gene:B456_008G081100 transcript:KJB48686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSESCILRPCLQWIDSPEAQGHATIFVAKFFGRAGLMSFISAVPESQRSVLFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTVLAVPELMAPTPASGEKSEATKITCMLKLQETETKFNSTCRFSTSRSKVSPKRIKVEEFSKFQPSDLDLCLTPSSTGNRLPDNRRPGTPSLNSEESVTTTCFESGFADQQRQGGETDRIKLLNLFV >KJB47243 pep chromosome:Graimondii2_0_v6:8:1981131:1984028:1 gene:B456_008G017700 transcript:KJB47243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLLSPTISYPSPLLHLTSHPFPPKPHFCPTHRLSFYPPPKMASFSGFLAKSQMGMTAAAAAGDGANGFSSLMDYVGKGGLDVGDDLLVLLYHIQFACKRIAALVASPFNSALGKHSALTGATSGAAASDRDKPKPLDIVANEIILSSLRNSGKVSVMASEEDDAPIWINDDGPFVVVLDPLDGSRNIDASIPTGTIFGVYNRLTELDNQPTEEKALLNSLQSGRKLVAAGYVLYSSATILCASFGSGTHAFTLDHSTGDFILTHPSIRIPPRGQIYSVNDARYFDWPEGLRQYIDTVRQGKGKFPKKYSARYICSLVADFHRTVLYGGIAMNPRDHLRLVYEANPLSYLAEQAGGKGSDGKSRILSIQPVKLHQRLPLFLGSLEDIDELESYGDVQQKVNPGYEV >KJB52373 pep chromosome:Graimondii2_0_v6:8:54064462:54067751:-1 gene:B456_008G259100 transcript:KJB52373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMCKMGSVLKFIGGVLNKAVSFVVFSVLDLLDFILCYGYKVADFCIEAEWRPCYCSSAKEAITSSGKILVSEQGESKIVSLNSSKLQLEDISDTLYSRPSLVAEVSKLTVNELKKFKLDGTAKTKKGSTRSTFTINSIIVEMLQGKMVGRQLHHIPTWSDCDCKFCNSWTSSSKDTLYVKAQGPKDNKAREDVIFIHGFISSSAFWTETLFPNFSDTAKSTYRFLAVDLLGFGRSPKPADSLYTLREHVGMIEKSVLEAYEVKSFHIVAHSLGCILALALAVKHPGSIKSLTLLTPPYFLVPKGEAATQYVMRKLAPRRVWPVMAFCTSLACWYEHISRTICLVICKNHRLWEFLTKLVTRNRVRTFLMEGFFCHTHNAAWHTLHNIICGTSTKLDRYLDVVRDRMKCDVNIFHGKDDEVIPLECSFNVQRKIPRARVKVVENKDHITIVVGRQKVFARELEEIWNRSSRSH >KJB52374 pep chromosome:Graimondii2_0_v6:8:54064394:54068119:-1 gene:B456_008G259100 transcript:KJB52374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMCKMGSVLKFIGGVLNKAVSFVVFSVLDLLDFILCYGYKVADFCIEAEWRPCYCSSAKEAITSSGKILVSEQGESKIVSLNSSKLQLEDISDTLYSRPSLVAEVSKLTVNELKKFKLDGTAKTKKGSTRSTFTINSIIVEMLQGKMVGRQLHHIPTWSDCDCKFCNSWTSSSKDTLYVKAQGPKDNKAREDVIFIHGFISSSAFWTETLFPNFSDTAKSTYRFLAVDLLGFGRSPKPADSLYTLREHVGMIEKSVLEAYEVKSFHIVAHSLGCILALALAVKHPGSIKSLTLLTPPYFLVPKGEAATQYVMRKLAPRRVWPVMAFCTSLACWYEHISRTICLVICKNHRLWEFLTKLVTRNRVRTFLMEGFFCHTHNAAWHTLHNIICGTSTKLDRYLDVVRDRMKCDVNIFHGKDDEVIPLECSFNVQRKIPRARVKVVENKDHITIVVGRQKVFARELEEIWNRSSRSH >KJB48522 pep chromosome:Graimondii2_0_v6:8:13245572:13247278:1 gene:B456_008G073800 transcript:KJB48522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKAFKRPFVHLFYIFFLSHLVFCQLDYRFYDSTCPSLTGIVRYGVWSAIANDTRMAASLVRLHFHDCFVNGCDASILLDDIDSTFVGEKTARANQNSVRGYEVIDKIKANVEKACPSTVSCTDILTLAAREAANIAGASYWMVPLGRRDGRTANKTAANELPSPFESLDNIIAKFNAKGLDTKDVVVLSGAHTIGFAQCFTFKQRLFNFGGSRKPDPDLEASLLKGLQTVCPDQVDSDTTLVPLDSVTTNRFDNSYYRNLVNSSGLLQSDHVLSTDNRTASMVLSYSRYPYLFLKDFGASMVKMANIGVLMGQDGEIRKNCKVVN >KJB49909 pep chromosome:Graimondii2_0_v6:8:39763723:39766118:1 gene:B456_008G145100 transcript:KJB49909 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOEP16-4 [Source:Projected from Arabidopsis thaliana (AT3G62880) UniProtKB/TrEMBL;Acc:A0A178VCJ7] MEEEFTAVVPCSSLAVDSVLRFAMAGVFWGFCSAPYDARKRGLTGIAQASFVAKSVGKFGFQSGLVAGVFATTRCGLQKYRKRNDPLNTLIAGAVAGAAVAAQTRNWNNIIGVACLVSAFSVAADHISRAN >KJB49863 pep chromosome:Graimondii2_0_v6:8:39362897:39363406:1 gene:B456_008G142500 transcript:KJB49863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIYPMQLLLVIAGFILRLAFPLAASPTITTTSSQGEIKCDTCTPVVLPPPPPSPPVVIECPPPPAPPSYPPPLPPASPMCPACPPCSLCPPPCPGCPPPPCNVCQVPSTSGPPPPQFVGGGVYSPPNGAVPYFPPPSYHWAGCSRHLELKLFVPIVLLLLSATFSFF >KJB47331 pep chromosome:Graimondii2_0_v6:8:2454855:2457103:1 gene:B456_008G021600 transcript:KJB47331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDQENRVPSTRSSSPSRKRTSLTNTLPLQPSLAKKRVVLGELASSPDIGSGQNPNCGSKIEKCPSTEKRLTREPKEKKKKQEPSDVIDESFGDLKKCSFSSSIYGHLHSLEIEEKRRPLPNYMEKVQNDITVNMREMLMAWLVEVTEEYKLVSDTLHLTVLYIDKFLSSHPVSRNKLQLLGVSCMLIASKYEEITPPHIDDFCYITDNSYTKEEVVEMEKKILKLLDFEIGTPTTRNFLRIFMRAAEEKCKHQELQLEFLSCYLAELSLLDYGCLQFLPSTVASAAIFLSRFIIQPNTHPWVINLYIL >KJB47330 pep chromosome:Graimondii2_0_v6:8:2454837:2457402:1 gene:B456_008G021600 transcript:KJB47330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSATILSESSPNMEVDQENRVPSTRSSSPSRKRTSLTNTLPLQPSLAKKRVVLGELASSPDIGSGQNPNCGSKIEKCPSTEKRLTREPKEKKKKQEPSDVIDESFGDLKKCSFSSSIYGHLHSLEIEEKRRPLPNYMEKVQNDITVNMREMLMAWLVEVTEEYKLVSDTLHLTVLYIDKFLSSHPVSRNKLQLLGVSCMLIASKYEEITPPHIDDFCYITDNSYTKEEVVEMEKKILKLLDFEIGTPTTRNFLRIFMRAAEEKCKHQELQLEFLSCYLAELSLLDYGCLQFLPSTVASAAIFLSRFIIQPNTHPWSKSLQRYSGYRPIELKECVLSIHALHLNRRGNCFRAVTEKYMQHKFKGVAMLCSPSEVPGRYFKEAVDE >KJB47332 pep chromosome:Graimondii2_0_v6:8:2455405:2457103:1 gene:B456_008G021600 transcript:KJB47332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLMQIEEKRRPLPNYMEKVQNDITVNMREMLMAWLVEVTEEYKLVSDTLHLTVLYIDKFLSSHPVSRNKLQLLGVSCMLIASKYEEITPPHIDDFCYITDNSYTKEEVVEMEKKILKLLDFEIGTPTTRNFLRIFMRAAEEKCKHQELQLEFLSCYLAELSLLDYGCLQFLPSTVASAAIFLSRFIIQPNTHPWSKSLQRYSGYRPIELKECVLSIHALHLNRRGNCFRAVTEKYMQHKFKGVAMLCSPSEVPGRYFKEAVDE >KJB47722 pep chromosome:Graimondii2_0_v6:8:4793981:4795416:-1 gene:B456_008G038100 transcript:KJB47722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIKVRGKKEDEDEKAEVEIWNYVFGYAKIAVVKCAIELGIADAIDKHGSPMTLSQLTTTLKCQPSRLYRIMRFLVHYQIFKEEPITKDSIGFALTPLSRRLSRHGERSMAAMILLQSSPVTLAPWHSLSARVLDSGNSPFETAHGKDVWSYAEENPGHSKLIDEAMACDARVAVRAIIEGCPQVFDGIKSLVDVGGGNGTALSMLVKAFPWIHGIDFDLPRVVAVAPKVDGIEYVGGDMFECVPKADAAFFMWVLHDWGDEECIQILKKCREAIPQDKGKVIIVESVLEEDENDKLEFVGLMLDMVMMTHTNKGKERTLKEWTYVLGEAGFTRIDVKPIHAVQSVIEAYI >KJB51233 pep chromosome:Graimondii2_0_v6:8:49298127:49306964:1 gene:B456_008G207900 transcript:KJB51233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYQFLMLKDAITCINQKVNLFAVILDFTLPQRTKGTDYFCKLKVIDESHSEFWVPVHVFAQEIDGLPLVASVGDIIQLSRVTMTVHEGDVYAIFNNKFSSFALYDGKDGDNFHPYKVSLRFHEREHDEKIIASMRKWLASSEVIDVPNFSLLREIDRVVCVNLACKVLHISKTTNDKWMVFLWDGTDAPPISIYNKLEDELHNPLPLHFEPLPPSRDVLCTFPTVGTILRVILDVDCVTYILQLLKVDQWMKFFHVFCKMHDGLWYGVFTSSSMIRDMPNDDILIFERQSNCDQRSLGELDRMPYWSCPWPSKITGSM >KJB51234 pep chromosome:Graimondii2_0_v6:8:49298330:49308565:1 gene:B456_008G207900 transcript:KJB51234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVHEGDVYAIFNNKFSSFALYDGKDGDNFHPYKVSLRFHEREHDEKIIASMRKWLASSEVIDVPNFSLLREIDRVVCVNLACKVLHISKTTNDKWMVFLWDGTDAPPISIYNKLEDELHNPLPLHFEPLPPSRDVLCTFPTVGTILRVILDVDCVTYILQLLKVDQWMKFFHVFCKMHDGLWYGVFTSSSMIRDMPNDDILIFERQSNCDQRSLGELDRMPYWSCPWPSKITEVKRIDVPFSTLMDVLTCKKETNNFRCVVRFVAVIPWRVEDFRAPCGAYRVRFTLEDPTARIHAYAHAENGEEFFNCSSTDALKRKVIKLLGVPVSRDGEAIMGGARNPPWVQCYLKSNPIKQRHWIFETKLLG >KJB51232 pep chromosome:Graimondii2_0_v6:8:49298118:49308593:1 gene:B456_008G207900 transcript:KJB51232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYQFLMLKDAITCINQKVNLFAVILDFTLPQRTKGTDYFCKLKVIDESHSEFWVPVHVFAQEIDGLPLVASVGDIIQLSRVTMTVHEGDVYAIFNNKFSSFALYDGKDGDNFHPYKVSLRFHEREHDEKIIASMRKWLASSEVIDVPNFSLLREIDRVVCVNLACKVLHISKTTNDKWMVFLWDGTDAPPISIYNKLEDELHNPLPLHFEPLPPSRDVLCTFPTVGTILRVILDVDCVTYILQLLKVDQWMKFFHVFCKMHDGLWYGVFTSSSMIRDMPNDDILIFERQSNCDQRSLGELDRMPYWSCPWPSKITGNK >KJB51231 pep chromosome:Graimondii2_0_v6:8:49298045:49308641:1 gene:B456_008G207900 transcript:KJB51231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYQFLMLKDAITCINQKVNLFAVILDFTLPQRTKGTDYFCKLKVIDESHSEFWVPVHVFAQEIDGLPLVASVGDIIQLSRVTMTVHEGDVYAIFNNKFSSFALYDGKDGDNFHPYKVSLRFHEREHDEKIIASMRKWLASSEVIDVPNFSLLREIDRVVCVNLACKVLHISKTTNDKWMVFLWDGTDAPPISIYNKLEDELHNPLPLHFEPLPPSRDVLCTFPTVGTILRVILDVDCVTYILQLLKVDQWMKFFHVFCKMHDGLWYGVFTSSSMIRDMPNDDILIFERQSNCDQRSLGELDRMPYWSCPWPSKITEVKRIDVPFSTLMDVLTCKKETNNFRCVVRFVAVIPWRVEDFRAPCGAYRVRFTLEDPTARIHAYAHAENGEEFFNCSSTDALKRKVIKLLGVPVSRDGEAIMGGARNPPWVQCYLKSNPIKQRHWIFETKLLG >KJB50816 pep chromosome:Graimondii2_0_v6:8:47011991:47017448:1 gene:B456_008G188200 transcript:KJB50816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G68740) UniProtKB/Swiss-Prot;Acc:Q93ZF5] MVSSRKHSLSNSYSNSPIVPLSPLALLSQDQDRNMVKFSKQFEGQLVPEWKEAFVDYWQLKKDLKKIRLLNNNTTTNTHQTTSFPHNLLSSISTFGLFGRRRDQGAIQVHKRLTASASKGGDLLYETELLEQFADTDAAKEFFECLDMQLNKVNQFYKTKEKEFLERGECLKKQMQILSELKTIIKQQQRRKGEEEDASISCSISCEEDSVKDRTEQEQQQQDSFTDELERNEVPFSDSPTSDEIGKPTDINMKTEKGKLRTLSGRVFSFQGKSLRINIPLTTPSRTFSAISYLVWDDLVNQSSKKCGPQGGGKLHINKSKLHHAQKMIKGAFLELYKGLGYLKTYRHLNMLAFIKILKKFDKVTEKQVLPVYLKVVESSYFNSSDKVMNLSDEVEDLFIMHFADEDRRKGMKYLRPRQRKESHAVTFFIGLFAGCFVALLAGYIIMAHITGMYRRQPDSIYMETTYPVLSMFSLLFLHFFLYGCNIFMWRKARINYSFIFELAPTKELKYRDVFLICATSMTAIVGIMFVHLSLVTKGYSYAQVQAIPGLLLLAFVLLLVCPFNIFYHSSRYRLLGVIRNIILSPLYKVVMLDFFMADQLCSQVPMLRNLEYVACYYITGSYKTQDYEYCMRAKHYRDLAYAVSFLPYYWRAMQCARRWFDEGQTNHLVNLGKYVSAMLAAGAKVAYEKERSIGWLCLVVVMSSAATVYQLYWDFVKDWGLLQMNSKNPWLRNELMLRRKFIYYFSMGLNLILRLAWLQTVVHSTFEHVDYRVTGLFLAALEVIRRGLWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDEEE >KJB49493 pep chromosome:Graimondii2_0_v6:8:36035019:36038823:-1 gene:B456_008G122000 transcript:KJB49493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQGSKLMPCCWDSQFKAAVLEAPDIENEENNEVDNVPAFREFTLEQLKNATSGFAVENIVSEHGEKAPNVVYRGKLENHRRIAVKRFNRMAWPDSRQFLEEARSVGQLRNNRLANLLGCCCEGDERLLVAEYMPNETLAKHLFHWETQPMKWAMRLRVVLYLAQALEYCTNKGRALYHDLNAYRVLFDEDGNPRLSTFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLFDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSDNDGTELVRLASRCLQYEPRERPNPKSLVAALTPLQKETEVPSHVLMGIPHSASFTPLSPVGQACSRGDLTAIHEILENLGYKDDEGVVNEVCVPLSSIFTFFFFSLTFNCNSSSLIATI >KJB49494 pep chromosome:Graimondii2_0_v6:8:36035019:36039050:-1 gene:B456_008G122000 transcript:KJB49494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQGSKLMPCCWDSQFKAAVLEAPDIENEENNEVDNVPAFREFTLEQLKNATSGFAVENIVSEHGEKAPNVVYRGKLENHRRIAVKRFNRMAWPDSRQFLEEARSVGQLRNNRLANLLGCCCEGDERLLVAEYMPNETLAKHLFHWETQPMKWAMRLRVVLYLAQALEYCTNKGRALYHDLNAYRVLFDEDGNPRLSTFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLFDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSDNDGTELVRLASRCLQYEPRERPNPKSLVAALTPLQKETEVPSHVLMGIPHSASFTPLSPVGQACSRGDLTAIHEILENLGYKDDEGVVNELSFQMWTDQMQETLNSKKKGDAAFRQKDFKEAIECYTQFIDVGTMVSPTIFARRSLCYLINDMPQEALNDAMQAQVISPVWHIASYLQATALTALGMENESQTALKEGATLESKRSSVTGKK >KJB47382 pep chromosome:Graimondii2_0_v6:8:3450947:3451778:1 gene:B456_008G029100 transcript:KJB47382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAIEGMNGQNLDGRKITVNEAQSRRSGGGGGGGYGGGSGGYNRSGGGRGYGRREGGYRGGRREGVFGNGGGYGDGDGGGYGGGGGGGYGDGGSRYSRGGGASDGSWRI >KJB49912 pep chromosome:Graimondii2_0_v6:8:39788075:39795065:-1 gene:B456_008G145400 transcript:KJB49912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSSPSAHSSSFPPSEFSSLPVMALRERIVEKIFENRVTLIVGETGCGKSSQVPQFLLEENMAPVLCTQPRRFAVVAVAKMVAKARNCELGDEVGYHIGHSKHLSSRTKIVFKTAGVLLDEMRDKGFQALKYKVIILDEVHERSIESDLVLVCVKQFLLKNKDLRVVLMSATADIGRYRDYFRDLGRGERVEVLGIPSSNRKENFQRQVSYLEQVTGFLGISSELITSRYCSGPCPSMADAEIKPEVHKLIHELVLYIHENEPDIEKSILVFLPTYYALEQQWYLLKPFSSSFKVHILHGSVDTEQALMAMKIWKSHRKVILATNIAESSVTIPKVAFVIDSCRSLQVFWDSARRKDSTELVWVSKSQAEQRRGRTGRTCDGHVYRLVTQSFFSNLEDFECPAILKLSLRQQVLQICCAESRAINDPKALLQKALDPPDAEVVEDALNLLLHVKALEKPSSRGRYEPTFYGRLLASFALSFDASVLVVKFGEAGMLREGILLGILMDTQPLPILHPFGDDHLFTEYINCYFSADSDKIVLTGRKEVAFLGNLCAFQFWQRVFKDKHRLEHLKQVLKFEEMEPATLLLPKLEEEWCFFHHLLQSSLHHVSEMYEDILNSMHRFRPNFLPASNGIPTYYSPYEFGHTCLLECQQQGEIDALSSSDEQLEQSNETRKCVAVPFVASGHFQTNDVAKNLVNTIKEIRVQCAGDTSSNYPAIINDYDSHMNGGAPLCVYFVNGCCNRGSLCRFSHSLQAKKPACKFFFSLQGCRNGDLCSFSHDSYQPISSYGSNVCQPEADHADASSLLRLFGTSSVGYILLLDDANMHFTSNLANHCKPSRIISTTSLTETSICDPLLTDVRILWGLHHPYQTIISNKGENPIPWNEVKIVLWFPYLDSHSDNLDVQKILVQNFFEYLAIRILGDTLFEVKIILAMNNIKFSQLEVEKLARESFFFLTESFPFDEASFGELLDTVTVNKPMLMPRSVSYVFDMQPPSDIQFGDYASVFQKHLHDRETS >KJB50716 pep chromosome:Graimondii2_0_v6:8:46212311:46213657:1 gene:B456_008G183600 transcript:KJB50716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFIYRSKLILPPKYLASLSKEVRIRRTEMERKSEVKGAVVGESQKRAREETTVTEEEVEEFLAILKRINVAVNYFKKGNRDIRNLTDLRMVEDSLNVEVNDGENVGLDLNADPDTGSDPF >KJB50637 pep chromosome:Graimondii2_0_v6:8:45784179:45786854:1 gene:B456_008G180500 transcript:KJB50637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVANFPALQFKTCDIFRSTPKCFKLLPFQKTNLFVKTLVVEAKANTRTESAKIRNRRMLKKFNGTPRRPRLSVFCSEKQLYAMLVDDKNKKCLFYGSTLQKSIRDDPTCTTIDAAKRVGEELVKACIDLNINEISYYDRNGFARGERMQAFEIAISNYGFLPT >KJB50636 pep chromosome:Graimondii2_0_v6:8:45784179:45786856:1 gene:B456_008G180500 transcript:KJB50636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVANFPALQFKTCDIFRSTPKCFKLLPFQKTNLFVKTLVVEAKANTRTESAKIRNRRMLKKFNGTPRRPRLSVFCSEKQLYAMLVDDKNKKCLFYGSTLQKSIRDDPTCTTIDAAKRVGEELVKACIDLNINEISYYDRNGFARGERMQAFEIAISNYGFLPT >KJB52249 pep chromosome:Graimondii2_0_v6:8:53554884:53556516:1 gene:B456_008G252000 transcript:KJB52249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSGLAVEVSKDRNGADQILLRNPRGASAKVGLHGGQVLSWRTDRGEELLFTSSKAIFKPPYAVRGGIPICFPQFGQRGSLEQHGFARNRTWIIDENPPPLHPNDACGKAYIDLLLKPSEDDLKIWPHSFEFRLRVNLTADGNLSLISRIRNINCKPFSFSIAYHTYFSISDISEVRVEGLETLDYLDNLYQRERFTEQGDALTFESEVDRVYLGSRDAIAIFDHERKRTFFIKKEGLPDVVPFAFLQVFGIHGRRNLKPWLILGMRNTNRCFV >KJB52248 pep chromosome:Graimondii2_0_v6:8:53554240:53556952:1 gene:B456_008G252000 transcript:KJB52248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSGLAVEVSKDRNGADQILLRNPRGASAKVGLHGGQVLSWRTDRGEELLFTSSKAIFKPPYAVRGGIPICFPQFGQRGSLEQHGFARNRTWIIDENPPPLHPNDACGKAYIDLLLKPSEDDLKIWPHSFEFRLRVNLTADGNLSLISRIRNINCKPFSFSIAYHTYFSISDISEVRVEGLETLDYLDNLYQRERFTEQGDALTFESEVDRVYLGSRDAIAIFDHERKRTFFIKKEGLPDVGVWNPWEKKSKAMVDFGDEEYKQMLCVNGAAVEKPITLKPGEEWTGRLELSVVPSS >KJB52247 pep chromosome:Graimondii2_0_v6:8:53554237:53557352:1 gene:B456_008G252000 transcript:KJB52247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSGLAVEVSKDRNGADQILLRNPRGASAKVGLHGGQVLSWRTDRGEELLFTSSKAIFKPPYAVRGGIPICFPQFGQRGSLEQHGFARNRTWIIDENPPPLHPNDACGKAYIDLLLKPSEDDLKIWPHSFEFRLRVNLTADGNLSLISRIRNINCKPFSFSIAYHTYFSISDISEVRVEGLETLDYLDNLYQRERFTEQGDALTFESEVDRVYLGSRDAIAIFDHERKRTFFIKKEGLPDVGVWNPWEKKSKAMVDFGDEEYKQMLCVNGAAVEKPITLKPGEEWTGRLELSVVPSS >KJB49471 pep chromosome:Graimondii2_0_v6:8:36118547:36119159:1 gene:B456_008G123000 transcript:KJB49471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELIFKEDGQEYAQVIRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVIMKYMPDEARLLKAYGELPETTRLNEGVAGGIDEDDDGAGDDYIEFEDEDIDKI >KJB51193 pep chromosome:Graimondii2_0_v6:8:49064943:49068117:1 gene:B456_008G205600 transcript:KJB51193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTNIPKNFGAPSQFGNSGTVPKSQSIPMNNQPQTQGGPQFPGHFQLSEPQAQVLARAQYVQAQPPAHSQFPAQVQSQSGSNSTATATHSPLVSTPGSGGVKRSSQKPPSKNSGSSHSNMASLFKTMELTPAVLRKKRKHPERQIPDKVAAILPECALYTQLLEFEAKVDAALSRKKTDIQQSIKNPPCVQKTLRLYVFNTYANQGQTDPDKKSTEAPSWSLKIIGRVLEDGKDPVLAGKVQKSYPKFSSFFKKITVYMDASLYPDNHVILWESARSPALHEGFELKRKGDKESTVRIRLEMNHRPERFKLSPALAEVLGIEVDTRPRILAAIWHYVKSKKLQNYEDNSFFSCDPPLQKVFGEEKMKFIMVSQKISQHLTPLPPIHLEHRIKLSGNCPVGSTCYDVLVDVPYPLETEKSAFLSDMEKNKDIDACDEVICTSIKKIHEHCRRRAFFLGFSQSPAEFINALIASQGKDLKLFAGEANHNAEKERRSEFYNQPWIEDAVIRYLNRKSMGGDSLGST >KJB49661 pep chromosome:Graimondii2_0_v6:8:37865349:37868355:1 gene:B456_008G132300 transcript:KJB49661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVEALPGMTVIISNQPYFYKKAELFSGSAFVFGADTAVRLINEVNGIKVLQVETAAGADAAIRFFDHAIGINVPRSQFLIRLVHEFPF >KJB51945 pep chromosome:Graimondii2_0_v6:8:52690963:52692713:1 gene:B456_008G240700 transcript:KJB51945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVSSSHGLSLPPPFHIRDFNLHHHPQLQQQENQYHHHQNSEDEQSGSSSGVKKRDRDDINNNNNNNSSANNEGKELSLQGYGEGEFNRRPRGRPAGSKNKPKPPIIINRDSANALRTHVMEIGDGCDIVESVTTFARRRQRGVCIMSGTGTVTNVTLRQPASAGAVVNLHGRFEILSLAGSFLPPPAPPAATGITIYLAGGQGQVVGGSVVGTLTCSGPVVIMAASFSNAAYERLPLEEEDEGQLPVQGDGIGSPAAVGGQQQQQQQQQALAESNAPLFHGLPPNLLNSIQLPSEAFWATGRPPF >KJB49957 pep chromosome:Graimondii2_0_v6:8:40001040:40003672:-1 gene:B456_008G146800 transcript:KJB49957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALGSSTAIDSSNIGFQLLKKHGWKEGSGLGVSEQGRLEPVQAYVKNNKKGLGAEKKRKTPKPSDPPDSKPKNDEEQKTTKKTKALSKRMRKMQEREKQMQEKEFERAFFREFWPDNV >KJB50246 pep chromosome:Graimondii2_0_v6:8:42594286:42606761:1 gene:B456_008G160400 transcript:KJB50246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVGQILIQQHFLRPTVLKHQSKLKNSSGVPNISFCANASLSNSFAQQRRSWISTKFYGNRLISKRKQKIAMGSQRAFTFIPQAVLAADLASEAKNISTLHLARFNVDGNIELQVDASTPTSGSITQVNFRIIYSSDSLLLHWGVICDGNENWVLPSRQPEGTKNYKNRALRTPFVESGSSSYLKLEIDDPSIQAIEFIIFDEARNTWIKNNGQNFHVKLPRKETLISNISLPEDLVQIQAYLRWERKGKQMYTREKEKAKYEAARAELLEEIATGTSVDDIRKKLTKKGGQEFKDSAIHEKTNTIPDDLVQIQSYIRWEKAGKPNYSPEQQLREFDEAKKELQFELEKGASLDVIRKKITKGEIETKVSKPPQNKRYFSAQRTQRKQRDLMVLLNKHLVKSVEGSITVEPKPLTAVESFAKKIDLDSSPVMNKKIYKFGEREVLVLVTKSADKIKIHIASDFEEPLTLHWALSEKAGEWLPPPRTVLPPGSVSLGGAAESTFSTCSFDDLPKQVQCIVLEIEDGNFKGMPFVLLSGKKWIKHNGSNFYVDFSQRFKQDQKDAGDGKGTSKVLLDRIAEMESEAQKSFMHRFNIASDLMDQAKDNGELGLAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQNTYTTHPQHRELLRMIMSTVGRGGEGDVGQRIRDEILVIQVNLEEQVFFFQFYIIFTWGFIYLFQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISIYWKTLAENGITKERLLSYDRAIHSDPSFRRDQKDGLLRDLGHYMRTLKAVHSGADLESAISNCMGYQAAGEGFMVGVQINPVADLPSGFPELLRFILQHVEDRNVEALIEGLLEARQELRPLLLKSSDRLKDLLFLDIALDSTVRTATERAYEELNNAGPEVNPKIMYFITLVLENLALSSDDNEDLIYCLKGWHHAISMCKSQSAHWALYAKSVLDRTRLGLSSKAEWYQRILQPSAEYLGSLLEVDPWAINIFTEEVIRAGSAATLSSLINRLDPVLRETAHLGSWQVISPVEVVGYVDVVEELLAVQNKSYDRPTILVAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNCKVCFATCFDPKILADLQANKGKLLRLKPSSADVVYSEVKEGDLADSSNLKGDGPSSITLVRKQFGGKYAISAEEFTPEMVGAKSRNISYLKGKVPSWVGIPTSVALPFGVFEKVLADKLNQRVVEKLQILKKKLGEGDFDALGEIRQTVLQLAAPPELVKELKTKMLSSGMPWPGDEGEQRWEQAWTAIKKVWASKWNERAYFSTRKAKLDHEYLCMAVLVQEIINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFICKKNNLSSPQVLGYPSKPIALFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVVDYSCDPLLNDGKFQQSILSSIAGAGKAIEELYRSPQDIEGVIRDGKVYVVQTRPQM >KJB50245 pep chromosome:Graimondii2_0_v6:8:42593874:42607126:1 gene:B456_008G160400 transcript:KJB50245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVGQILIQQHFLRPTVLKHQSKLKNSSGVPNISFCANASLSNSFAQQRRSWISTKFYGNRLISKRKQKIAMGSQRAFTFIPQAVLAADLASEHLARFNVDGNIELQVDASTPTSGSITQVNFRIIYSSDSLLLHWGVICDGNENWVLPSRQPEGTKNYKNRALRTPFVESGSSSYLKLEIDDPSIQAIEFIIFDEARNTWIKNNGQNFHVKLPRKETLISNISLPEDLVQIQAYLRWERKGKQMYTREKEKAKYEAARAELLEEIATGTSVDDIRKKLTKKGGQEFKDSAIHEKTNTIPDDLVQIQSYIRWEKAGKPNYSPEQQLREFDEAKKELQFELEKGASLDVIRKKITKGEIETKVSKPPQNKRYFSAQRTQRKQRDLMVLLNKHLVKSVEGSITVEPKPLTAVESFAKKIDLDSSPVMNKKIYKFGEREVLVLVTKSADKIKIHIASDFEEPLTLHWALSEKAGEWLPPPRTVLPPGSVSLGGAAESTFSTCSFDDLPKQVQCIVLEIEDGNFKGMPFVLLSGKKWIKHNGSNFYVDFSQRFKQDQKDAGDGKGTSKVLLDRIAEMESEAQKSFMHRFNIASDLMDQAKDNGELGLAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQNTYTTHPQHRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISIYWKTLAENGITKERLLSYDRAIHSDPSFRRDQKDGLLRDLGHYMRTLKAVHSGADLESAISNCMGYQAAGEGFMVGVQINPVADLPSGFPELLRFILQHVEDRNVEALIEGLLEARQELRPLLLKSSDRLKDLLFLDIALDSTVRTATERAYEELNNAGPEKIMYFITLVLENLALSSDDNEDLIYCLKGWHHAISMCKSQSAHWALYAKSVLDRTRLGLSSKAEWYQRILQPSAEYLGSLLEVDPWAINIFTEEVIRAGSAATLSSLINRLDPVLRETAHLGSWQVISPVEVVGYVDVVEELLAVQNKSYDRPTILVAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNCKVCFATCFDPKILADLQANKGKLLRLKPSSADVVYSEVKEGDLADSSNLKGDGPSSITLVRKQFGGKYAISAEEFTPEMVGAKSRNISYLKGKVPSWVGIPTSVALPFGVFEKVLADKLNQRVVEKLQILKKKLGEGDFDALGEIRQTVLQLAAPPELVKELKTKMLSSGMPWPGDEGEQRWEQAWTAIKKVWASKWNERAYFSTRKAKLDHEYLCMAVLVQEIINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFICKKNNLSSPQVLGYPSKPIALFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVVDYSCDPLLNDGKFQQSILSSIAGAGKAIEELYRSPQDIEGVIRDGKVYVVQTRPQM >KJB48411 pep chromosome:Graimondii2_0_v6:8:11035904:11038325:1 gene:B456_008G067700 transcript:KJB48411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVNALPLPRRGAVNQLCFRVEGSTWKISLSACSISTFPSRVSLFLHIFFFVRLRVPKNLDDSFHLGILKEALLRAIHDIDATFSKEASRNNLASGSTDTIILIADGQILVANSGDSKAILCSEKFHSPSEARGSHLKFASCLLLPAIQLVVGISHPSWISLPFFIGSCIGLVDLSLTSNFLGLFRLWKALQFYAGFSIFMLYVYQLPIEFSSMLQWIADFVGLFKICSTSECTEICSSVSLVLFYIMVCTSFITIGMILFKDFS >KJB50278 pep chromosome:Graimondii2_0_v6:8:42915328:42918227:-1 gene:B456_008G162000 transcript:KJB50278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETGPVRFTGNYLDPSAQEFWPAQNTLFQPQIPLFRPSQLYYPYAAPLTLSFSGGGVAQFHVAVPVPAPLPAAYVTGSTMVLPEPPLSLPPPAATATRALVLTSVPCDVSECKVREELEVFGEIRGVQMERVGEGIVTVHFYDVRHAERALNVIREKHMQQEARGGAAGSEPGETNAYAPETGLISRRAVWAHFIIPATNALPDGNNQGTLVVFNLDPGVSTSKLKDIFQAYGPVKELRETPLKKHQKFLEFYDVRDAAKALREMNGKEINGKQVVIEFSRPGGYNSKFFNANTANHVKPFKPCTPNISFTASKYNHPSSPPSLACRFSGSGRYSPNIPPRYFLSRSQSPTENLSDSRKGSPNEIKESKKSSVATAVVGGGAAANKVAKNQNNQSPQRISNGVKQQQCRGRPWKGKQGRKFDPRFLISEDAMAESNCKDSRTTVMIKNIPNKYSQQLLLNMLDNHCIHCNEQIVDAGDDQPLSSYDFVYLPIDFNNKCNVGYGFVNMTSPQATWRFYKAFHHQHWEVFNSRKICEVTYARVQGLQALKEHFRNSKFPCEMDHYLPVVFEPPRDGKQLTEPLPLPVVGEKQKSIGGPSPKPNEKQDDYYEHSDSVEECHNDTSLEDGGGASSYGGENNAIFNHFKHCAPVDQQEGEVHSNSVSQRPNDGDFGS >KJB47001 pep chromosome:Graimondii2_0_v6:8:739837:740890:-1 gene:B456_008G005700 transcript:KJB47001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDLNVPTCVLKVNIQCCATCPKKVKKKLQKINEVYDIDMDTKNGLVSVRGIIEPSILIQTIAEKVGKKAEVCSYEKNPKIQSDLLDQDNRRTGRKYEEKNQTCSFTDECNVRDEAKDPISEGSKGSHSRHHPQHDVRKKKRGFASWLGKKSNGGYARLLTPSSAPSLPPSS >KJB46994 pep chromosome:Graimondii2_0_v6:8:680570:682888:1 gene:B456_008G004700 transcript:KJB46994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYGFNKNTMLMRYVRSSLIASRFFSTAASVEKAVPTNEVAPKSSGGGRRNTLGRRLIGLVYPKHSAAATIQKWKEEGRTVRKYELNRVVRELRKLKRYKHALEICEWMRLQKDIKLLPGDYAIHLDLIAKIRGLDSAEKFFKDLPDKMRDQATYTALLHTYVQNKLSGKAEALMKKMSDRGFAKYPLPYNHMLSLYISEGKLEKVPEIVKELKKNASPDIFTYNLLLSVCASQNDIEAAGKIFVELKEAKIEPDWVTFSALTNLYIRGKQIDKAMCTLKEMEKMATRENRVVYPSLISLYTSMGDKDGVHRIWKKMKSCFRKISDTEYTCAISSLAKLGEFGEAEKLYSEWESVSGSGDARVPNILLAAYINGDRIEMAENFYRQIAQKGSSLCYTAWELLTRGYLKKQEMEKVLDCFKQGVSSVKKWNPNNKLVGEVLKQLEELGDTEGLEKLLVILRNAGRVSTMVYNSLLRGYANAGKMPLVVAERMEKDNVPMDEETHELLRLTTKMRL >KJB50183 pep chromosome:Graimondii2_0_v6:8:42246867:42248209:-1 gene:B456_008G157900 transcript:KJB50183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKATGLLKQIIAGLTTMAKAKTMALKSKTKAIKTRLVIFSLLQNRKFLMSSFSEKLNALMGHNAKISKELEDDDCGDQQSQAIVLYNSNNAMWLPSTAETKYDDQEEEEEEYGDGDGDGDGDGDEAEAEAGEKYPDLTHSLFESGEMELGDPGSSVIDIVKNSKTDKGEEFRLEDEIDRVADLFIKRFHRQMRLQKQLSLKRRQEMMETSL >KJB49609 pep chromosome:Graimondii2_0_v6:8:36993745:36995771:1 gene:B456_008G128300 transcript:KJB49609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKITVVPNGKDFIDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQQNFHEKLSTISDEFPCLDDIQSILWNLISKIAKDYVKLLKYGDLLCRCKSLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTMLICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSISQQAALFHSIKSLFMNKPLIIVCNKTDLQPLDGISEADRKLVMEMKGEAMKTVIGVLLIMSTVTEDGVIAVKNAACERLLNQRVELKMKSKKINDCLNRFCVALPKPRDQKERPACIPQAVLETKAKQAAEKEKRKTEKDLEDENGGAGVYSASLRKNYILSNNEWKEDIMPEILDGHNVYDFIDPDILLRLEELEHEEELSPEEQEALAEIRKKKSLLIQQHRMKKSTAESWPVVPKKFDMDRKFLTERMGRQLSCLGLDPSLAINRARNKSRGRKRERSVDRRVGDDGDAMDIDDNQASKKFRLRSTSRSRSRSRPPSEVVPGEGLKDSAQKVKTIKLAKKSVLKRNKNARRGEADRVIPTLKPKHLFSGKRSIGKTQRQ >KJB49230 pep chromosome:Graimondii2_0_v6:8:33703802:33706873:-1 gene:B456_008G107600 transcript:KJB49230 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 7 [Source:Projected from Arabidopsis thaliana (AT2G44990) TAIR;Acc:AT2G44990] MQAKIFHIVPTMFHSPSKLASYLHQPSLPRKLPRAISISSPVNDNHHVPGPLTIPDKDESMAAFWDYQFLFISQRSETSEPITLRVVEGTIPPDFPSGTYYLTGPGLFVDDHGSTVHPLDGHGYLRAFSIDGVPKEVKFTAKYIKTEAQVEEHNPVTGSWRFTHRGPFSVLKGGKKLGNVKVMKNVANTSVLKWGGKLLCLWEGGDPYQIESETLDTVGSFNVINESLPSAEKRGPGDLFDVAARLLKPVLHGVFKMPPKRLLSHYKLDAQRNRLLAVTCNAEDMLLPRSNFTFYELDSNFNLLQKQEFNIPDHLMIHDWAFTDTYYILFGNRIKLDIIGSMTAVCGLSPMISALSVNPSKSTSPIYLLPRFPSEKSAGQRDWRVPVEAPSRKWLLHVGNAFEIKDIDGNSMIQIQACACSYQWFNFQKLFGYNWQSGQLDPSIMNVKQHENESLVPHLVQVTIKLDTNGSCHECSMENMNEWNKPSDFPIINPEFSGKKNTCIYAATSSGTRQALPHFPFDMVMKLNLSSKTVSTWSAGARRFIGEPIFVPKGTEEEDGYILVVEYAVSIQRCYLVILDPKRIGESDRVVARFEVPKHLNFPLGFHGFWAKND >KJB52701 pep chromosome:Graimondii2_0_v6:8:55212025:55213703:-1 gene:B456_008G273200 transcript:KJB52701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTLCLLHFSLSPMEEAIVIYPAPMLTHLAPLVEFAKLLLTQHPSLSIHILIATLPSQPSSTVPSPVPPSIIFHYLPTVDLLPTSTDLDPLFFDVIRLRNPDVRRTLLTISNNYTLNAIIVDFFCTSAAFEVASDLNLPAYSFLPTALGAAACLLYLPTLCNKFTQSFQHLNVLLDIPGAPPIPSADMPPTTFKGNKLYDVFLDIATNLPRSTGIIVDTFEYLEPKSFKEINDGLCLPDYPTPPLYCIGPLIVNTTVDGDGVPQCIKWLDSQPSKSVVFLCFGSLGSFSVQQLKDIAVGLERSGERFLWIVRNPPLENQSLNIDTKIDPDLKSLLPPGFLERTKEKGLVVKSWAPQLAVLNHDSVGGFVTHCGWNSVLESVCAGVPMLAWPLYAEQRFNRVLMVEEMKIALPMVESETGFVSSTEVEKRVKELMGSKEGDS >KJB52700 pep chromosome:Graimondii2_0_v6:8:55212021:55213703:-1 gene:B456_008G273200 transcript:KJB52700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTLCLLHFSLSPMEEAIVIYPAPMLTHLAPLVEFAKLLLTQHPSLSIHILIATLPSQPSSTVPSPVPPSIIFHYLPTVDLLPTSTDLDPLFFDVIRLRNPDVRRTLLTISNNYTLNAIIVDFFCTSAAFEVASDLNLPAYSFLPTALGAAACLLYLPTLCNKFTQSFQHLNVLLDIPGAPPIPSADMPPTTFKGNKLYDVFLDIATNLPRSTGIIVDTFEYLEPKSFKEINDGLCLPDYPTPPLYCIGPLIVNTTVDGDGVPQCIKWLDSQPSKSVVFLCFGSLGSFSVQQLKDIAVGLERSGERFLWIVRNPPLENQSLNIDTKIDPDLKSLLPPGFLERTKEKGLVVKSWAPQLAVLNHDSVGGFVTHCGWNSVLESVCAGVPMLAWPLYAEQRFNRVLMVEEMKIALPMVEKRVKELMGSKEGDSVRERALAMKHAAKVATSEGGSTRIALAKLVESWKQK >KJB47824 pep chromosome:Graimondii2_0_v6:8:5757205:5758832:1 gene:B456_008G043400 transcript:KJB47824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPQVPGEVRRPAIYHRPVMPPTGSTFDHCGLEKSRQHVLFWSSVFRSPSHFKPGRSRWKRFCPITPRMLEEAKWSKPDVEKGGGATKSCWRKASHVPGLRPTKEERQGADFILVKE >KJB48291 pep chromosome:Graimondii2_0_v6:8:10033178:10035316:-1 gene:B456_008G062500 transcript:KJB48291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQQQEQQQSRSTGKVLWFDDQKGFGFIHPDDGGEDLFVHQSSIKSEGYRSLAEGESVEFTVSQGNGGKTQAVDVTAIGGSQISKKDRRTGGGGWRAGNDRRNGSGGCYNCGDLNHLARDCSNNLISLDNNDNYNNSAYNSGGGGGSCYNCGEAGHFARECRRDAGGSAGGGAGKCYNCGKFGHFARECNRNSGAGGGSRGCFNCGGFGHLARDCSNNKGSECYKCGEAGHFARECPNLKASA >KJB48369 pep chromosome:Graimondii2_0_v6:8:10480479:10486536:1 gene:B456_008G065200 transcript:KJB48369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRDLAILMLSAFAIFFSLQHEGDFSFREAWYHLSDEYPIKYEAERLPPPLVADLNGDGKKEILVATHDAKIQVLEPHARRVDEGFSEAPLLAEVSLLPDKIRVASGRRPVAMATGVIDRIYKSGQPQKQVLVVVTSGWSVMCFDHNLTKLWENNLQEDFPHNAHHREIAISISNYTLKHGDSGLVIVGGRMEMQPHLYLDPFEEIGMAERSAEQHRRSANEKEASENSGTVNLRHFAFYAFDGRKGGLRWSRKNENIEEHSSDSSQLIPQHNYKLDVHALNTRQPGEFECREFRESILGVMPHHWDRREDTLLKLSHFKRHKRKTLKRVPGKSTTYPFHKPEEHHPPGKDDSKKISNLIGKAAKYASSAKSKKPMAYIPTITNYTQLWWVPNVVVAHQKEGIEAVHLASGRTVCKLHLQEGGLHADINGDGVLDHVQAVGGNGAEQTVISGSMEVLRPCWAVATSGVPVREQLFNASICHHSPFNLFQHGEFYRGFGRSSHVASLEVATPILIPRSDGHRHRKGSHGDVIFLTNRGEVTAYSPGLHGHDAVWQWQLLTDATWSNLPSPSGMMEGGMVVPTFKSISLRVHDNQQMILAAGDQTGVIISPGGSVLTSIDLPAPPSHALIAEDFSNDGLTDLILVTSSGVYGFVQTRQPGALFFSTLVGCLLLVMGVLFVTQHLNSMKGKPRASSGPR >KJB50325 pep chromosome:Graimondii2_0_v6:8:43228421:43229064:1 gene:B456_008G164000 transcript:KJB50325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSFNLFIFAVILIFATHSEMMAEARGPVISCRCSKTEDCQGICAVCPNYSCINNLCTCLSNAPPFP >KJB50326 pep chromosome:Graimondii2_0_v6:8:43228429:43232975:1 gene:B456_008G164000 transcript:KJB50326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSFNLFIFAVILIFATHSEMMAEARGPVISCRCSKTEDCQGICAVCPNYSCINNLCTCLSNAPPFP >KJB52367 pep chromosome:Graimondii2_0_v6:8:53950375:53953420:1 gene:B456_008G258400 transcript:KJB52367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICGSGEIEGALLKSLGVERNEVTNDGLFSVGEMECMGCCVNVPMIAVADYTNGSEGYTYNYYEDVTTQQVVEIVEIVAVGFCQEN >KJB49845 pep chromosome:Graimondii2_0_v6:8:39189022:39191300:1 gene:B456_008G140700 transcript:KJB49845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPCLSVNDQKKHWWLSNRKVVDKYIKDARSLIATHEQSEIVSALNLLDAALAISPRFEVALELKARSLLYLRRFKDVADLLQDYIPSLKMSGDDSGSVSSDNSSQHLSRERIKLLPSNNSSSDSPGRDPSFKCFSISELKKKVMAGLWKNCEKEGQWRYLVLGQACCHLGLMEDAMVLLQTGKRLASAAFRRESVCRSDDSFSFPNSITTLDISGTTAPPSMPPRNPTFFSESENISQLLSHIKLLIRRRTAAIAALDAGLFSEAIRHFSKIVDGRRPAPQSFLAECYMQRAFAYKAAGRIAESISDCNKTLALDPSCIQALDTRASLLETIRCLPDCLHDLEHLKLLYNTILRDRKLPGPAWKRHYVRYREIPGKLCALTTKIQQLKQRVASGETGNVDYYALIGLRRGCSRSELDRGHLLLCLRHKPDKATNFIERCEFADERDLDSIKDRAKMSALLLYRLLQKGYSSVMSTIMDEEAAEKQRKKATAALQAAQAAAIQVQQTQHSNPKPEHETSPVSSCNNNSKNTTTNSNTNVFQGVFCRDLTVVGNLLSQVGFNRPLTVKYEALSC >KJB49421 pep chromosome:Graimondii2_0_v6:8:35372233:35375132:1 gene:B456_008G118400 transcript:KJB49421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQLELEEKQWGPTVADKVFSLYKSLPKKGKPQGREVTVLAAFLLSSPSQDTEVIALGTGTKCIGRSKLTHSGNIVNDSHAEIIARRALLRFFYAEIQCLNDNNGFQNSIFESELDGSGGIKYKIRAAWKLHLYISQLPCGDASLSLVPSSIGDCSELIGLVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLLPVYLHSVTVGRSPYVSEDVCLVKQLKRSLFERVTPLSNELIKPFEVNKPIFCAAPVPPREFQHSETAQATLTCGYSICWNKSGLHEVILGTTGRKQGTSSKGAVYPSTESLLCKKRFLETFVSLRHECKIRCSSNEVSYRELKDRAEEYSSASKLFKGRPPFRNWLLKPVNLENFSIIRNEGNYPSGNSGNSCDFQG >KJB52672 pep chromosome:Graimondii2_0_v6:8:55095640:55096878:-1 gene:B456_008G271800 transcript:KJB52672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLSRCFTLALFMVILMASISSSLANMANMNVVDKCWGGNPLWRSQRQQLAKCSVGFAGKMINNIGKDVVKYKVTDPSDDPLSPKSGTLRYGTTMIKGKVWITFKNSMTITLQRPLLLSSFTAIDGRGVDVHITGAGCLLVYQVTGIIIHGLRIHHCKAQPPSTLMGPNAKVIPLGQMDGDAIRLVTARKVWIDHNTLYECQDGLLDVTRGSTNVTVSNNWFRNQDKVMLLGHDDGHLRDRNMMVTVIFNHFGPNCNQRIVRHGYAHVANNFYQGWEQYAIGGSMSPSIKSEANFFVAPNDVGNKEVTWRKGEKGLWKFYSVGDVLKNGASFNKQTGVDGAKPNYSQEQNFKVVNAMFVKELTSESGVLQCSRGLIC >KJB52052 pep chromosome:Graimondii2_0_v6:8:52961584:52964428:1 gene:B456_008G244300 transcript:KJB52052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPTNRSSSDDIIDVTPLLSSTSNASRDESNPRRSVRRQSLREAARFLRRASSRRMMREPSVLVRETAAEQLEERQSDWAYSKPVVVLDIIWNFAFVVVSVGVLLSSWEERPDTPLRLWIIGYAFQCLLHMVCVCVEYRRRRRQQSMEYRPFNAGEEGILSPGSRVDSEQYVTLAQLEEDSGSGVAKHLESTNTMLSFIWWIVGFYWVSIGGQALARTSPQLYWLCIIFLGFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVADQEGASKEDIEQLSKFKFRKIDNDGKSAVNVQEPVGGIMTECGTDSPREHVLSPDDAECCICLSAYDDGVELRELPCGHHFHCACVDKWLRINATCPLCKYNILKSSSHEEV >KJB52053 pep chromosome:Graimondii2_0_v6:8:52961639:52964369:1 gene:B456_008G244300 transcript:KJB52053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPTNRSSSDDIIDVTPLLSSTSNASRDESNPRRSVRRQSLREAARFLRRASSRRMMREPSVLVRETAAEQLEERQSDWAYSKPVVVLDIIWNFAFVVVSVGVLLSSWEERPDTPLRLWIIGYAFQCLLHMVCVCVEYRRRRRQQSMEYRPFNAGEEGILSPGSRVDSEQYVTLAQLEEDSGSGVAKHLESTNTMLSFIWWIVGFYWVSIGGQALARTSPQLYWLALYNFSRF >KJB52054 pep chromosome:Graimondii2_0_v6:8:52961639:52964369:1 gene:B456_008G244300 transcript:KJB52054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPTNRSSSDDIIDVTPLLSSTSNASRDESNPRRSVRRQSLREAARFLRRASSRRMMREPSVLVRETAAEQLEERQSDWAYSKPVVVLDIIWNFAFVVVSVGVLLSSWEERPDTPLRLWIIGYAFQCLLHMVCVCVEYRRRRRQQSMEYRPFNAGEEGILSPGSRVDSEQYVTLAQLEEDSGSGVAKHLESTNTMLSFIWWIVGFYWVSIGGQALARTSPQLYWLCIIFLGFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVADQVTVSSSCLQDYHHCTCTIGCLCAFDA >KJB50604 pep chromosome:Graimondii2_0_v6:8:45554550:45555596:-1 gene:B456_008G178900 transcript:KJB50604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKVMATDVNDEEKGNNNVDEKAAMDANVAAVAAALSGGRRARKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTYDTAEEAARAYDEAAYLLRGANTRTNFWPFSPSSISKPALPSKIANLLLLRLKARNDAASASSNMPPNFPLNQLDTICFDDFFNVPEDCSTSSSDCMLESFGSTCADPKSLHLEDNNNVDGNPDYVGSKQDIDIMDFQFLDSFGSSCDYRSPFEIAEEMVEPMEKQQEDINGDDLDPCMLRETMKRMKYERKFSASLYAFNGIPECLRLKQRESENNHNIQQQCQPSNLRNSNSCENEMRLEFKEEDDQGIGSSSACEMWSLPDLPSITFIN >KJB49642 pep chromosome:Graimondii2_0_v6:8:37662289:37663170:-1 gene:B456_008G131100 transcript:KJB49642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKDKKERKKEKKEKKEKKREKKERDKSRDSGEAESKKHGHKKRHIDKRSKEDKKGGDRQKKRENEVECFEKSTLTEEHGQAVGPHNSSDSTLNSSKRQKLSSTPNNGQNPGSIIQILLPSQRHKDPEVLPSKEQPCSTSGNTDEAFVRRVHEHAPRPGKELEEQPCSTSDIKRPELTFKLGKEKACSTSPLQFKNLVEDRVMPTLQSELTSSGDDDWLCQKKQNLNTEVKTHRDGNLNSNQMSSATWPRACFLPEAGIYALAFTVPF >KJB49506 pep chromosome:Graimondii2_0_v6:8:36092827:36095584:1 gene:B456_008G122800 transcript:KJB49506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSDKELMTTDYMIQCSRHHCNNLPPGIVTGFEWKDYCPKSFRLIQELENIDNDDYMMVVCSDETIKQVTSTVRPGNMFLFSNDSRFAIKTLRKSELKVLLEMLPSYYSHIKRFRSTILNKLYGAHVVKPAGGTKVYFVVVANIFKSDLLMHRCYDLKGSLQGRKVEKMRYREKTLHKESDLDFLFYLEPLVRQRLLKQIKYDCAFLEAAGIMNYSLMLGLHVQGSRQVDCVNSKCSNNGMRIFNVALHQSDVASQRSSDSSYKGDVQKNTNNVSSFTYSLSFDPHFLLILIYI >KJB50084 pep chromosome:Graimondii2_0_v6:8:41141380:41143440:1 gene:B456_008G153100 transcript:KJB50084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCFFAKNTGIIFTLISCSYFCVICTVFRSATHAWDLCFCCLLGHIEASKARIYHSGDDSVALVAQTVLLYVFKNILKLLHPFMPFVTEELWQALPNRREALIISSWPQTSLPRSTDLVKRFENLQALVIYVLDWSNPEC >KJB50085 pep chromosome:Graimondii2_0_v6:8:41141380:41142324:1 gene:B456_008G153100 transcript:KJB50085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCFFAKNTGIIFTLISCSYFCVICTVFRSATHAWDLCFCCLLGHIEASKARIYHSGDDSVALVAQTVLLYVFKNILKLLHPFMPFVTEELWQALPNRREALIISSWPQTSLPRSTDLVKRFENLQALVIYVLDWSNPEC >KJB48405 pep chromosome:Graimondii2_0_v6:8:10949785:10952100:1 gene:B456_008G067300 transcript:KJB48405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g66520 [Source:Projected from Arabidopsis thaliana (AT5G66520) UniProtKB/Swiss-Prot;Acc:Q9FJY7] MTPITLSPTKQLSLELNATLTLSVLERCSTMGELKQIHAQMLKTGLVADTVLVSKVLTFCVSSKYGNLEHARMVFDRVNRPNTFMYNTMIKGYSENNKPETALLLYQHMLDLSVPHNSYTFPFLLKACSSLSAMEETKQIHAHVVKLGFGSEVYAVNSLLHVYASSGSMEAARLLFDQVLKRDVVSWNLMIDGYAKCGKMETAYEFFKNMPTKNVISWTTMISGYVGAGMYKEALNLFQEMQIEGVKPDKVALASTLAACSYLGALDQGRWIHAYIDKIGIVIDPILGCALTDMYAKCGDMEEALEVFKKQKKKEVALWTALIYGFAIHGRAREALDWFETMQKVGIKPNHVTFTAILTACSYSGLVDKGKSLFESIERVHKLRPRIEHYGCMVDLLGRAGLLEEAKGLIELMPVKPNAVVWGALLNACQIHKNVELGTKVGKLLVEEDPDHGGRYVHLASIHAAAGDWDQAVEARREMKERGVSKLPGCSAISLNGVVHEFLAGTKSHPQAAEIYRMWDSIAERLEKEGYKPALQNLLLDLDNEAKEMAINQHSEKLAIAFGLLRTKPGTTIRIIKNLRICEDCHTVTKLVSKIYDREIVMRDRTRFHHFKDGNCTCGDKW >KJB47844 pep chromosome:Graimondii2_0_v6:8:6052036:6052821:-1 gene:B456_008G045000 transcript:KJB47844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASASSSSLPFSLHCTYSRKEETMGLKENDEDRSPFHCLLDAAALVERLDEETMRLKLKQGLNKIDDNNSSGVDNQPTNSTTVRIFGTDIIIAAIDEDVVTNQPPAGSSKKRKEMVESSRAKKPMPKQQRKKHKHKATFGAEPCLPQRFKEMIKCMDGSEEKLIIQKALYKTDLSKHHGRLSIPMNQVEVEFLTDEELKQSSKEGIEALVIEPCLKTRDMSLRIWGMPKPTGRFSSLYVLVTGWKSVVEGNDLKVGDVIQVW >KJB48580 pep chromosome:Graimondii2_0_v6:8:13940074:13941323:-1 gene:B456_008G075900 transcript:KJB48580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPDHAPPPPPPLPAALQPPLPLYKQRSWSPDIIRNEAWLRRKGKSKNRRSKSVTDEDLDELRACIELGFGFDSLEIDQRLSNTLPALGLYQAVNKNYNHTISKYASSPSAASDCDSISSAIGSPHAIFGPGDKPQIVKTKLRQWAQVVACSVRQSS >KJB47588 pep chromosome:Graimondii2_0_v6:8:3910820:3911852:1 gene:B456_008G032500 transcript:KJB47588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSMGKCQKIRRIVRIRQMLKQWRRKASITASNNTIGNNNNNGDAPSDVPAGHVAVCVGTSLRRFIVRATYLNHPVFKKLLVQTEEEYGFKNMGPLIIPCDESFFEEILRVVSRSDASSNSGPFSTFKDLQRCCHVGMKDKLGFFNESRPLLHGVSDKSVY >KJB50493 pep chromosome:Graimondii2_0_v6:8:45731080:45733598:-1 gene:B456_008G180100 transcript:KJB50493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRIELFNLEKHFAFYGAYHSNPTNIVIHMLFVWPIFFTAVLLLDFTPSLFNLPHIDFSLFGVHVSLIFNFGFWFAFVYAVFYMCLDVKAGTLAALLCGVCWIVSSVLATRLGFSLAWKVVLAVQLFCWIGQFIGHGVFEKRAPALLDNLVQAFIMAPFFVLFEALQTFFGYEPYPGFHAIVQAKIETEINEWKEKKQNLLN >KJB50494 pep chromosome:Graimondii2_0_v6:8:45730479:45733636:-1 gene:B456_008G180100 transcript:KJB50494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRIELFNLEKHFAFYGAYHSNPTNIVIHMLFVWPIFFTAVLLLDFTPSLFNLPHIDFSLFGVHVSLIFNFGFWFAFVYAVFYMCLDVKAGTLAALLCGVCWIVSSVLATRLGFSLAWKVVLAVQLFCWIGQFIGHGVFEKRAPALLDNLVQAFIMAPFFVLFEALQTFFGYEPYPGFHAIVQAKIETEINEWKEKKQNLLN >KJB49329 pep chromosome:Graimondii2_0_v6:8:34583686:34587193:1 gene:B456_008G113700 transcript:KJB49329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPQYGFLPLLLLPPVVVQAHLAHRDVEIEIIDRLISSPYVEMTIKVMERFGVTVEHTNNLDRFFIRGGQKYKSPGHAYVEGDASSASYFLAGAAVRGGTVTVKGCGTSSLQGDVKFAEMMGAKVTWTETSVTVTGPPQEIHRGGNTCLGAMVEEGPVYCVITPPEKLNVTVIDTSDDHRMAMAFSLAACAEVPVTSKDPGCTRKTFPEYFKILKRVTKH >KJB48381 pep chromosome:Graimondii2_0_v6:8:10583608:10584118:-1 gene:B456_008G065800 transcript:KJB48381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKTVLKVDLSGEKGRKKLLKAISGVQGVDKIEVDAAKGTISVTGDADPYDIIVRTRKVGKFVELVSVGPPPAPPKEPQKKPEEKKAEPKKDDKKTDPNPQFHYPVVYMDRYNEPNVGCSIM >KJB50429 pep chromosome:Graimondii2_0_v6:8:44530454:44532092:1 gene:B456_008G170500 transcript:KJB50429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCGIYIKKERDWVRSLVESEFFGICKYVYQDVVRLQEIHKLLDCSKIQTYKINGEKAVHLNPRPQGKDAKPCTKSKTGAACEICGRYLQDPPNRFCSIACKVTAVDLKPKDPSHKLELPIQELPDKLSWKHSQNAETNSEEKQSTISSTDVSEEMKPCLSTSLKPRKRMTKRKGIAHRSPLT >KJB52485 pep chromosome:Graimondii2_0_v6:8:54393226:54394916:1 gene:B456_008G264300 transcript:KJB52485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKMRPSKLSLVSVILFVLLFSQCVLSQLRVGFYKDTCRVVEFIVKEEVVKAIIKDRGLAAALMRMHFHDCFVRGCDASILLNSTPSSTAEKDSFANNPSLRGYEVIDNAKARLEIVCKGVVSCADIIAFAARDSIEMAGGLGYDVPAGRRDGRTSLASEIIGNLPPPTFNVDQLTQMFANKGFTQEEMVTLSGGHTLGRSHCSSFSDRLYNFSGTLKQDASLDPTYAAKLKQQCPQGSTDPNVVVPMTSTPSIADAGYYIDILANRGLFTSDHTLLTSPPTANQVAENAKNPIQWKVKFATAMVKMGQLDVLTGSEGEIRANCRVINS >KJB48275 pep chromosome:Graimondii2_0_v6:8:9749776:9751280:1 gene:B456_008G061300 transcript:KJB48275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSEDESQLSAIARQGSGSACRSLFGGFVKWIMGKEDDGSDSLAVQLVDEKHWENLFIIIVVSKECLEKVTQTISFLAQPRESHLLLLTGKAFTSFPTEHNLQ >KJB52536 pep chromosome:Graimondii2_0_v6:8:54572566:54575669:1 gene:B456_008G266600 transcript:KJB52536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPSSQKPNFLIPQVSPTPAAPPPPMAQPPPSPPPPSLVRTYSGKLLSLLSERPSLRATSEFDSDSRVFFHKVSCKLFDNLASLKLSFINDSKREISEPQLMLTSKYLSIHYDPDEQNALIRTSFDVGPMLNFNVAHDLKAQQGEVSMYASLPSPGFGVQVSSSFPYSGLPRATIRFPMGEVTLEEREEADVLRTLSVKGILRGQILNGVCSAHYVDEELKLRYIYKDQAMSFIPSISIPSNAVSFAFKRRLSPSDKLSYWYKLYSNNWSAVYKHTYKKSFKLKAGYDSEVRLGWASIWVGDKNGRARTAPMKMKVQFMLQVPQDDIKSSTLMFRVKKRWDIL >KJB52535 pep chromosome:Graimondii2_0_v6:8:54572697:54574977:1 gene:B456_008G266600 transcript:KJB52535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPSSQKPNFLIPQVSPTPAAPPPPMAQPPPSPPPPSLVRTYSGKLLSLLSERPSLRATSEFDSDSRVFFHKVSCKLFDNLASLKLSFINDSKREISEPQLMLTSKYLSIHYDPDEQNALIRTSFDVGPMLNFNVAHDLKAQQGEVSMYASLPSPGFGVQVSSSFPYSGLPRATIRFPMGEVTLEEREEADVLRTLSVKGILRGQILNGVCSAHYVDEELKLRYIYKVVIPHCYKSSASVPVYVQNFEFVGVFFY >KJB50076 pep chromosome:Graimondii2_0_v6:8:41008311:41009343:1 gene:B456_008G152600 transcript:KJB50076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTLITPTSKLKPLLPIKARATQRPPSPPPHQQPLRRQFLCLAAAAAATTTASLALGGVQSAFAASDEEYVKETAEVINKVRSTINMDKNDPNIASAVAELREASNSWVAKYRREKALLGRASFRDMYSALNAISGHYISFGPTAPIPAKRKARILEEMDTAEKALSRGR >KJB51217 pep chromosome:Graimondii2_0_v6:8:49210936:49214288:1 gene:B456_008G207000 transcript:KJB51217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIAARFSRKAAASAFSGCRYVTASRSFSAEAAKTISPSSDRVKWDYRGQRKIIPLGQWVPKVAVDAYVAPNVVLAGQVTVNDGASVWNGCVLRGDLNKITVGFCSNVQERCVIHAAWSSPTGLPAETSIERFVTVGAYSLLRSCTIEPECIIGQHSILMEGSLVETHSILEAGSVVPPGRRIPTGELWAGNPARFVRALTHEETLEIPKLAVAINDLSKEHLSEFLPYSTAYLEVEKLKKSLGITI >KJB50147 pep chromosome:Graimondii2_0_v6:8:41592351:41596094:-1 gene:B456_008G155700 transcript:KJB50147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLPARKGRHRQRYQDHLRLVAGCIPYRLQKDVEDSNSSVTSRINVLMISTPNRDDLVFPKGGWENDETVHEAACREALEEAGVKGILDEDPLGVWEFRSKSRQNCCNMEGGCRGYMFALEVTEELDSWAEQTSYKRQWLSPEEAYKFCRYDWMKDALQVFLRAIEERGSRRAEKLVELPMFPAADSMAEHQMLTSAGCSEKPPTVQHLEESFTNKCIVQG >KJB49624 pep chromosome:Graimondii2_0_v6:8:37210448:37214045:1 gene:B456_008G129500 transcript:KJB49624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKSSNNTAGQVRTTRTPVGKYELGRTLGEGSFAKVKFAKSVETGECVAIKILDREQVLHRRIVEQIKREISIMKLIKHPNVIKIYEVMASKTKIYIVIEYVGGGELFDKISWETKEDEARTYFQQLINAVDFCHGTSVYHRDLKPENLLLDTHGVLKISDFGLSALSQQEDGLLHTACGTPNYVAPEVLRDQGYDGRASEIWSCGVILFVLMAGYMPFDEPNLISMYQKNSKADFTCPSWFSSGSRRLIQRILDPNPLTRITVPEILQDKWFKKRYKPPQFEQEEDVNLDDIDVAFNDSKQENLVTKRKVKPVSMNAFELISRSQSFCLDNLFEKQMNSKRHHLAKRQTSFASQCPPNEIISKIEDVAKPLGSNVDKRNYKMKLKGDKSGRKGQLSIATEVFEVASCLCHRLRIFAS >KJB50341 pep chromosome:Graimondii2_0_v6:8:43348119:43349047:1 gene:B456_008G164700 transcript:KJB50341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCPRVCKNKDAIDMNLSAQIHSSTKKNIARKEHSIAELGTRQEKFFDSIQWLESDSEDFFSFHPDFTFSCANSPKNLKTWKENSLTDIKKQLIELFRESSNDYDDDDAVNNYPNMKDELEDKAVILNKFPKSISKSPHESISNSIGSNKARCFLGEEKPAHEI >KJB48454 pep chromosome:Graimondii2_0_v6:8:11728567:11730350:1 gene:B456_008G069900 transcript:KJB48454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFGESTSMPPPSPSCSSSNNANDAGDFECNICFELAQDPIVTLCGHLFCWPCLYRWLHHHSHSQECPVCKALIQEEKLVPLYGRGKNKTDPRSKSYSGMEIPNRPAGQRPATAPPPPPETNQFANYGFGLMGSFVPMATARIGNFTMGFGGLLPSLFNIHFHGFPDATVYGTTSGFPYGFNTFHGGVAHGFPQPTTRGQQADNVLKNLLLLIGVFVVLALLYW >KJB48453 pep chromosome:Graimondii2_0_v6:8:11728700:11730036:1 gene:B456_008G069900 transcript:KJB48453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFGESTSMPPPSPSCSSSNNANDAGDFECNICFELAQDPIVTLCGHLFCWPCLYRWLHHHSHSQECPVCKALIQEEKLVPLYGRGKNKTDPRSKSYSGMEIPNRPAGQRPATAPPPPPETNQFANYGFGLMGSFVPMATARIGNFTMGFGGLLPSLFNIHFHGFPDATVYGTTSGFPYGFNTFHGGVAHGFPQPTTRGQQADNVLKNLLLLIGVFVVLALLYW >KJB48455 pep chromosome:Graimondii2_0_v6:8:11728975:11730036:1 gene:B456_008G069900 transcript:KJB48455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFGESTSMPPPSPSCSSSNNANDAGDFECNICFELAQDPIVTLCGHLFCWPCLYRWLHHHSHSQECPVCKALIQEEKLVPLYGRGKNKTDPRSKSYSGMEIPNRPAGQRPATAPPPPPETNQFANYGFGLMGSFVPMATARIGNFTMGFGGLLPSLFNIHFHGFPDATVYGTTSGFPYGFNTFHGGVAHGFPQPTTRGQQADNVLKNLLLLIGVFVVLALLYW >KJB48456 pep chromosome:Graimondii2_0_v6:8:11728631:11730036:1 gene:B456_008G069900 transcript:KJB48456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFGESTSMPPPSPSCSSSNNANDAGDFECNICFELAQDPIVTLCGHLFCWPCLYRWLHHHSHSQECPVCKALIQEEKLVPLYGRGKNKTDPRSKSYSGMEIPNRPAGQRPATAPPPPPETNQFANYGFGLMGSFVPMATARIGNFTMGFGGLLPSLFNIHFHGFPDATVYGTTSGFPYGFNTFHGGVAHGFPQPTTRGQQADNVLKNLLLLIGVFVVLALLYW >KJB46885 pep chromosome:Graimondii2_0_v6:8:36764:40608:1 gene:B456_008G000100 transcript:KJB46885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEGGGDSRIQASGLYALVSTSFLAIISFGPISSGSSSFLDRTNVKIRHQDSLSQQSSFRRRHNHTVSMDPFGMQLVDKPSYIWRRVLLKVSGEALADHSQNIDRKITMAIAREVASVMHLGIEASTCCRLQLCRGDHIFHGSSWALATMESIGIPTFVQIAFCMLEVAEPYILGRAVMHLEKGRVVTFPVGTGNLFFTTVTAAALGCAERSKPSELGRGMHNQPTSGSCPC >KJB49619 pep chromosome:Graimondii2_0_v6:8:37154435:37158202:-1 gene:B456_008G129100 transcript:KJB49619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPPQMIPVMPSYPPTNITTEQIQKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQSAPTMSPQMAPHPAMQPGGYFMQHPQAAAMSQQPGMYPQKVPLQFNSPHQMQDPQHLLYQQHQQAMQGQIGIRPGGPNNSMHPMHSEASLGGGSSGGPPQPSGPSDGRAGNKQEGSEAGGNGQGSTTGGHGGGDGADEAK >KJB49618 pep chromosome:Graimondii2_0_v6:8:37154444:37158123:-1 gene:B456_008G129100 transcript:KJB49618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPPQMIPVMPSYPPTNITTEQIQKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQSAPTMSPQMAPHPAMQPGGYFMQHPQAAAMSQQPGMYPQKVPLQFNSPHQMQDPQHLLYQQHQQAMQGQIGIRPGGPNNSMHPMHSEASLGGGSSGGPPQPSGPSDGRAGNKQEGSEAGGNGQGSTTGGHGGGDGADEAK >KJB46786 pep chromosome:Graimondii2_0_v6:8:1495485:1508497:1 gene:B456_008G013300 transcript:KJB46786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIDIVFQWSLEDIFNDNLYKDQVEMIPVSFQSVEQYFGSYLLPLLDETRAALRSSMEVIARAPYAEVTYLNESKSHGTLLLDVNVDYWRNRFSDREKEPYKTLPGDVFVIANVKPETASDLQRVGRTWIFALVTNIQEDDDEDNSSSTSFKVKALEDFVSKDEAQKSLFVVHLTNLTTNTRIWSALHMERNLKIIKEVLHADSMVAESCSLCSSDIGGNWNEIFLKNLLSKLNESQKKSLVACLNKMLCNHKSHVELIWGPPGTGKTKTVSVLLFALLRMKYRTLACAPTNIAITEVVARVSKLVKEAKKACSVADDQFCSLGDILLFGSKERLKVDSEIEEIFLDYRVKRLTECFGPLGWWHCFTSMITFLEDCVPQYHIFLENESTKKQEHGIHKSFLEYARERFATTALPLRRCLLNSLETCLFFDGLASEEVEELLLRSKDDKLLPQNLCDPYRLLCSIRSQCLSVLRRLRDSLGQLKLPSARNKDSLVQFCFQTASLFFSTACSSYKLYKLEMKPLNVLVIDEAAQLKECESVIPMQLPGIVHSILIGDEWQLPATVLSNVSNEAGFGRSLFQRLTTLGHSKHLLNIQYRMHPSISFFPNACFYNKRILDAAGVKHKSYEKHYLPWPMFGPYSFINVSGREEKDDAGRSHRNMVEVALVQRLVQTLFKAWNSSRERLSVGIISPYAAQVVAIQEKLGRKYEKTDGFAVKVKSVDGFQGGEEDIIIISTVRSNSSGALGFVSNAQRTNVALTRARHCLWILGDGRTLAKHESVWQGLVHDAKMRHCFFNADEEKGLAKAIFDAKKEFDQLDDLLNHDSVLFKNARWKVLFSDNFRKSFGKVKSAQTQKSVLNLLLKLSCGWRPKKRNVDLICESSSMVLKQFKVEGLYIVCSIDVVKEQRYTQVLKAWDLLPLEDIVRLVKRLDGIFKMYTDDFICHCNEKYLEGDLEVPKSWTTSFDIVRFKTLSQDEIKNSSCGSSASDNRCYLENSKVSESLLLMKFYSLSSGVVSHLLFDHDGREPELPFEVTDHERDIILFPRSTFILGRSGTGKTTVLTMKLFKQEQLHLLATEGFDAVNTNRVSDVCLANRNMGGVGGTEATPLRQLFVTVSPKLCYAVKNHVLQLKRFVSGGNFSLEGAFQDVDDTDGAAQFKDIPDSFVDILPKAYPLVITLQKFLIMLDGTIGNSFFEKFYDARELSNMEVVNAPTLVRNCIRTKEVTYEKFCSIYWPHFNANLTNKLDSSRVFTEIMSHIKGGLRSGNSYDGRLNAEDYVKLSEGRASALSSHERQMIYDIFQDYEKMKGENGEFDMADVVVDLHDRLQNERYEGDIMDFVYIDEVQDLTMRQIALFKHVCKNVSEGFVFCGDTAQTIARGIDFRFEDIRSLFYNEFVLESKCETNHGKKEKGQISKNFHLSQNFRTHDGVLRLAQSVIDLLYNFFPSFVDILCPETSLIYGEAPIWLESDNEDNAVAKIFTNSGNAGAHMVGFGAEQVILVRDDPAKNEILKYVGKQALVLTIVECKGLEFQDVLLYNFFGSSPLKNQWRVVYEYMKEQGLLDASCPSPSFKQAKHNIMCSELKQLYVAITRTRQRLWICENVKEFSEPVFNYWKRKCLVQVRKLDDSLAQAMQVASSSEEWKSRGYKLLHQDNYEMATICFERANDTYGEKLAKALGLRANADRLHGSNPKMASIARRQAADIFDSIGKAERAAECFYMLKEYERAGQIYLEKCGESALERAAECFVLAGCYITAAEVYAKGNYISKCLSVCTKGKLFDMGLQYIQYWKQHAKADEEMVQRSKDLEELKQTFLENCARHYLEINDKRAMINYVRAFDSMNSRRKFLQSLECLDELLSLEEESGNFLEAANIAKLRGDLLLAADLLGKGAQYEEAVHLILWFVFANSLWLAGSTGWPLKQFKEKENLLSKAKSFAKNLSRWFYGLVCSEADILLNKPSNLFLMKQYLSASQMHKSTRGEMLVARIILDHHLHLNILNYEWIDELVFDLASYSEEQIYNNRVSSETLVYFWNFWKDKILKIFEYLEHAENQYGTNDSRRYGDFCLNYFGVWRQFNNLNPVCLLNSDAEWLRKLENKHVCRNQKQVSISIHQFVSAARSYWCSELFSVGLQVLKMLELLYNFSHRNSLSSFNQSRSLTHIYEVASFLLNSKFLNSQHAEKDLMKFVNLSTKHFFGYIFPLDWRESLRENMISLRETEISRKLLEEVILESTGSKSNSLSYGEIGKVALIILGSGELTTEFYGKIFECLHWNRAWKNFILNLKEDRGSPTFSTTDNISEYIGENRSSGTTTESAACHIKQIGIIEPVILKFHEALQDTYKVNWRTISDYMSPVCFLYLVERYLMLLSFVNGYFFSTKTTFVEWVVYQDGRPSSTSSFVAVDKQSLEDIVKFVIDMVQQFLFCKRETIDWIKKSRIAVKEYHSLLVLRLVLITCFLHLNFGKGLDLLFDLLGQKNITELLPWEFYDALRRRQRNNRLNIDVNLLADAFKKIGNPLVIVSMHGNCPKFGCKDAIFVDMKLNPCKEELLRILFPKTGCSQYQTAGFSCEVLLPANYNEGTSSEVVPFSSSVSLSDQDLNTQNQNVGDLQFNHDRFWEIIEALTAVDGIKDLRTFISYASTLMVFLGKLVSIIDAGTKEYLLKKPAGGEDDDFSRDAMSVLNLMNQLHSELEPSKLKLECSVPIIGALCEELRSGWSIMMPLLQQQGYLVKDGNHASEESGDHCDVEESISKAQECSKGKKPASATTFNSKPQGNSKSKKNKKSRGRNRK >KJB50570 pep chromosome:Graimondii2_0_v6:8:45358667:45359411:-1 gene:B456_008G177400 transcript:KJB50570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSSSNTRNTLSILHQVPEGHVGVYWRGGALLKTIPEPGFHLKMPLITQYEPVLVTLQTDLVRDIPCGTKGGMMINFEKIEARLKLL >KJB47670 pep chromosome:Graimondii2_0_v6:8:4448353:4453031:-1 gene:B456_008G036000 transcript:KJB47670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVEPGRILLITFLSTLLITSSIAQKEDEEEVDPDSPESTTPKEVTYDSRSLLVNGKRMLFFSGSIHYPRSPPDAWPDLIRKAKMGGLNMVETYVFWNVHEPVQGKYNFEGEYDLVKFIKLIQQNKMFAMLRVGPFVQGEWNHGGLPYWLREVPNIIFRCDNEPFKMHMKKFVTLVIEKMKQAKLFAPQGGPIIVSQIENEYNTIQLAFRERGDSYVQWAAKMALSLDTKVPWIMCKQKDAPDPIINTCNGRHCGDTFTGPNGPNKPFLWTENWTAQFRVFGDPPSQRSAEDLAFSIIRFCSKNGTLVNYYMYHGGTNFGRTSSSFTTTRYYDEAPLDEFGLIREPKWGHLKDAHRAVNLCKRALFSGSPFVQKLGPDQEARVWEQPGTSLCSAFLTNNNTKKPQALRFRGQDYQLPPRSISILPDCKTEVFNSQMITTHHNSRNIVRSIAANKNFNWEMYREVVPDDPGNKLNEPRELYELTKDTSDYAWYITKLDLGRRDLPMKNEILPVLRVASLGHGLHCYVNGKYIGSAHGSKVEKSFVFQKPVEFQAGSNQIALLGFLVGLPDSGAYMEKRYAGPRSITILGLNTGTLDITLNTWSHQVGLDGEKNQIYTQNGFPKVQWVKGGPSEGVTWYKGYFDAPEGDNPVAVKMTGMGKGMVYINGRNIGRYWMSYLSPLKRPTQSEYHIPRSYLQPTMNLIVIVEDEKGDPKNIEIVLVDRDTICGFITENHLPSVRLFEGKGGKLVALEKDLKPRVELACPGQKQIVAVEFASFGDPFGACGHYVEGNCTSPVAKQVVEKFCLGKPSCKIPLDTPDLHNKNEACPEMKKTLAIQAKCAFKA >KJB49074 pep chromosome:Graimondii2_0_v6:8:29307136:29308958:-1 gene:B456_008G100100 transcript:KJB49074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVKELSGQSCQICGDEIEITVDGEPFVACNECAFPVCRTCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEEEDDIDDLDNEFDYGTLDPQQVTDAMLAARLNAVRGSQLNSSRMPAHSELYSSPPSSQIPLLTYVEEGLEISADHHALIVPSSMGYGIRVHPMSYTDPSIPLQHRPMVPKKDIAVYGYGSVSWKDQMEEWKKKKNEKLQVVKHEGGNDGGNLDGEELDADLPIWMKADNRFQESYPFLQAK >KJB50750 pep chromosome:Graimondii2_0_v6:8:46587150:46588911:1 gene:B456_008G185800 transcript:KJB50750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKPHVAVLPNLGLGHLIPQLEFAKRLVVHHGFHVSLLLITTNEPSPAQDQLLSSSHLPPDLHIVPLPPVEVDKKIINDGDVLVLTRLCVITDHSLRSLKSVLIALGKPNALVTDIFATQAFDVCKELLIPTYVYVTTSAAFSAFMLYLPKLDSDVNCEFIDLPEPIQVPGCSPIRTHDLLDQARNRKIDEYKWLLHHFSRLPLASGIFVNSWKDLEPVSIKSIKENPFFKQIPTPPIHPIGPIIKQEETLSVVDVECLKWLDKQPPDSVIFVTFGSGGTLSFEQQTELAWGLELSQQRFIWVVRKPTDSTGAGTFFNAGEANENDPKVDLPEGFLDRTQGVGFVVSSWAPQVTILGHHSTGGFLSHCGWNSVLESIVHGVPLVTWPLYAEQRMNSTMLVEDIGVAIKPKMEAGQQTIVGRKEIERVVRMVMEGEEGKVIRSRVKELKESATKALDSSGSSYESLSCVANYWKANHN >KJB48890 pep chromosome:Graimondii2_0_v6:8:22961245:22962538:1 gene:B456_008G092200 transcript:KJB48890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLRKLNRPTSHRVSMLRTMVSQLVKHERIETTVAKAKEIR >KJB50760 pep chromosome:Graimondii2_0_v6:8:46659398:46661942:-1 gene:B456_008G186400 transcript:KJB50760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEPTSIEGSVTPPTSVDSENSGVGALIQTKGTTSGKRKAPPQRSEVWSHFTKFINSEGASKAKCNYCDKEFCCDMKKNGTGSLKYHSGSCKKNPSNVVDTSQGQLFVESEGFKKFMFVSCPRFHIPSRTIITRDVYQLYLDERVKIKQLLRSSCSRVCLTTDTWASLQRSIGMVIEKCLLNWGIDKLFTTIDNASSNDVAIGYLRKKFNPRGGLVQNGKYLHMRCIEHIVNLIVVEGLKKMNKFVERVRGAVRYVRQSPARLQKFKECVVVEKIECKKMLCLDVCTRWNSTYLMLDTAQNFERAFERFKEQDTNFRAKLERGEGWPSVDDWDNVRNLRDFLEHFYEITLRISGTSYVTSNNFFDELSKVDILLRDAQLNSNINFNVMAIKMKEKYDKYWGDIDKMNLLMFVTCVLDPRQKLKYLEFALSEMSSSEKACEMMQKLKESLYELFDEYKPPLHSTCSQSSVPTHVSFGEPQQKMKRRMQALYKKRELEICGEDKTSELDKYLAEANEEFVEDFDMLLWWKVNSPRFPTLSKIARDVLAIPVSTVASESAFSTGGRVLDQYRSSLTPKIIQALVCTQDWIRKSSSQEDIKKIEEQIQELDKIENVIQRLIDSNIYVIFLIM >KJB48831 pep chromosome:Graimondii2_0_v6:8:20565154:20570334:1 gene:B456_008G089300 transcript:KJB48831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKVMQLNPIHSRHHVLWVFIIVHFSLLLFSAFSADIPPRKILNGEKKKSRKVELHREDNRVFIDNGLVEVTIENPSGHLSGIKYKGMLNVLETRNNNNNKGYWDIVWDNEAYDKLATQHVKIITETDELVELSFTKMWDITKDHGSSVPLNVDKRYIVRRGVPGLYMYGILERQEDFPDAHMYQIRIAFKLNEDKFHFMAVSDTKQRIMPSQEDRDESRCQVLAFKEAVLLTNPTNPQLKGEVDDKYQYSTENKDNKVHGWISDDDAVGFWVITPSNEFRTGGPHKQDLTSHVGPTALSMFVSTHYTGTEMDVLYKKGETWKKVLGPVCMYLNSASWDEARHYRKALWNDANRQLREEIQSWPYNFTASEDFPHAQQRGEVNGQLLVRDQSLDKQLMQAKSAFVGLAAPAYAGSWQTEGKGYQFWTQTDNTGRFTIKNVRPGEYNFYAWVYGFIGNYKLNLKITIQPGNKINLGTLIYDPPRNGPTLWEIGIPDRTAAEFFIPEPNPTFVNSILNHDADKFRQYGLWDRYSDIYRDGDLVFTVGVSNYSKDWFFAHVPRLIGNETRATTWQIKYELQEVNKAGNYTLQLALAAASYAEVQVRINNPDANLPHFTTERIGYDNAVPRHGIHGLYRLYSINVPGNGFQRGNNTIFLTQTRCHDSFEAVMYDYIRFEGPAV >KJB48830 pep chromosome:Graimondii2_0_v6:8:20565115:20570334:1 gene:B456_008G089300 transcript:KJB48830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVLETRNNNNNKGYWDIVWDNEAYDKLATQHVKIITETDELVELSFTKMWDITKDHGSSVPLNVDKRYIVRRGVPGLYMYGILERQEDFPDAHMYQIRIAFKLNEDKFHFMAVSDTKQRIMPSQEDRDESRCQVLAFKEAVLLTNPTNPQLKGEVDDKYQYSTENKDNKVHGWISDDDAVGFWVITPSNEFRTGGPHKQDLTSHVGPTALSMFVSTHYTGTEMDVLYKKGETWKKVLGPVCMYLNSASWDEARHYRKALWNDANRQLREEIQSWPYNFTASEDFPHAQQRGEVNGQLLVRDQSLDKQLMQAKSAFVGLAAPAYAGSWQTEGKGYQFWTQTDNTGRFTIKNVRPGEYNFYAWVYGFIGNYKLNLKITIQPGNKINLGTLIYDPPRNGPTLWEIGIPDRTAAEFFIPEPNPTFVNSILNHDADKFRQYGLWDRYSDIYRDGDLVFTVGVSNYSKDWFFAHVPRLIGNETRATTWQIKYELQEVNKAGNYTLQLALAAASYAEVQVRINNPDANLPHFTTERIGYDNAVPRHGIHGLYRLYSINVPGNGFQRGNNTIFLTQTRCHDSFEAVMYDYIRFEGPAV >KJB48832 pep chromosome:Graimondii2_0_v6:8:20565385:20570099:1 gene:B456_008G089300 transcript:KJB48832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKVMQLNPIHSRHHVLWVFIIVHFSLLLFSAFSADIPPRKILNGEKKKSRKVELHREDNRVFIDNGLVEVTIENPSGHLSGIKYKGMLNVLETRNNNNNKGYWDIVWDNEAYDKLATQHVKIITETDELVELSFTKMWDITKDHGSSVPLNVDKRYIVRRGVPGLYMYGILERQEDFPDAHMYQIRIAFKLNEDKFHFMAVSDTKQRIMPSQEDRDESRCQVLAFKEAVLLTNPTNPQLKGEVDDKYQYSTENKDNKVHGWISDDDAVGFWVITPSNEFRTGGPHKQDLTSHVGPTALSMFVSTHYTGTEMDVLYKKGETWKKVLGPVCMYLNSASWDEARHYRKALWNDANRQLREEIQSWPYNFTASEDFPHAQQRGEVNGQLLVRDHLDKQLMQAKSAFVGLAAPAYAGSWQTEGKGYQFWTQTDNTGRFTIKNVRPGEYNFYAWVYGFIGNYKLNLKITIQPGNKINLGTLIYDPPRNGPTLWEIGIPDRTAAEFFIPEPNPTFVNSILNHDADKFRQYGLWDRYSDIYRDGDLVFTVGVSNYSKDWFFAHVPRLIGNETRATTWQIKYELQEVNKAGNYTLQLALAAASYAEVQVRINNPDANLPHFTTERIGYDNAVPRHGIHGLYRLYSINVPGNGFQRGNNTIFLTQTRCHDSFEAVMYDYIRFEGPAV >KJB50461 pep chromosome:Graimondii2_0_v6:8:44809588:44814713:1 gene:B456_008G172800 transcript:KJB50461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGVMVPTRNMPSMISGNGNVGGFGTISGLTLGQQPNNNMMEGQLHPFEMTQNTSESEIARMRDEEFDSALKSGSENHEGVSGDDDQDTRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRVLGLEPLQVKFWFQNKRTQMKTQHERQENSQLRAENEKLRADNMRYREALSTASCPNCGGPTAVGQMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVSYPLLSSPMTPRPLDFGSQTGSGEMYGAGELLRSINAPAEADKPMIIELAVAAMEELVKMAQVGEPLWMTSLDGSTSVLNEEEYIRTFPRGIGPKPTGFKGEASKETCVVIMNHISLVEILMDVHQWSTVFSAIVSKASTLDVLSTGIAGNYNGALQVMTAEFQVPSPLVPTRESYYVRYCKHDAEGTWAVVDVSLDNIRPNPAMRCRRRPSGCLIQEMPNGYSKVTWIEHVEIDDRGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLASLMATNIPTGDAGVITNQDGRKSMLKLAERMVISFCAGVGASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDENSRNEWDILSNGGVAQEMAHIANGRDTGNCVSLLRVNSANSSQTNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGSSGSTGSGMADAGGSSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCENA >KJB50462 pep chromosome:Graimondii2_0_v6:8:44809588:44814859:1 gene:B456_008G172800 transcript:KJB50462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGVMVPTRNMPSMISGNGNVGGFGTISGLTLGQPNNNMMEGQLHPFEMTQNTSESEIARMRDEEFDSALKSGSENHEGVSGDDDQDTRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRVLGLEPLQVKFWFQNKRTQMKTQHERQENSQLRAENEKLRADNMRYREALSTASCPNCGGPTAVGQMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVSYPLLSSPMTPRPLDFGSQTGSGEMYGAGELLRSINAPAEADKPMIIELAVAAMEELVKMAQVGEPLWMTSLDGSTSVLNEEEYIRTFPRGIGPKPTGFKGEASKETCVVIMNHISLVEILMDVHQWSTVFSAIVSKASTLDVLSTGIAGNYNGALQVMTAEFQVPSPLVPTRESYYVRYCKHDAEGTWAVVDVSLDNIRPNPAMRCRRRPSGCLIQEMPNGYSKVTWIEHVEIDDRGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLASLMATNIPTGDAGVITNQDGRKSMLKLAERMVISFCAGVGASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDENSRNEWDILSNGGVAQEMAHIANGRDTGNCVSLLRVNSANSSQTNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGSSGSTGSGMADAGGSSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCENA >KJB51887 pep chromosome:Graimondii2_0_v6:8:52241208:52245019:-1 gene:B456_008G236600 transcript:KJB51887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKFLGLFLIHIALLSSSCFAADPFVFYDFEVSYITASPLGVPQQVIAINNKFPGPTINSTTNNNVVINVRNKLDESLLLHWSGIQQRRSSWQDGLPGTNCPIPPKWNWTYQFQVKDQIGSFFYFPSLHFQRAAGGFGGFIINNRDIIPIPFGSPDGDITILIGDWYTRNHTALRKALDAGKDLGMPDGVLINGKGPYQYNDTLVPDGIDYETIDVHPGKTYRLRVHNVGISTSLNFRIQSHNLLLAETEGSYTVQQNYTSLDIHVGQSYSFLLTTDQNASSDYYIVASARFVNESQWKRVTGVAILRYSNSKGKAAGPLPDPPQDEYDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEIFVLKNKPPVTIDGKRRTTLSGISFVNPATPIRLADQFKVKGVYKLDFPSRPLTGPPKMETSVINGTFRGFMEVILQNNDTKVQTYHLSGYAFFVVGMDYGEWSENSRGTYNKWDGIARSTTQVYPGAWTAILISLDNVGVWNLRTENLDSWYLGQETYIRVVNPEVTNKTELPMPDNVLYCGALSKRQKPQDISFATSITTSSWKLFLAVLMVASALLFH >KJB51888 pep chromosome:Graimondii2_0_v6:8:52241209:52245019:-1 gene:B456_008G236600 transcript:KJB51888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKFLGLFLIHIALLSSSCFAADPFVFYDFEVSYITASPLGVPQQVIAINNKFPGPTINSTTNNNVVINVRNKLDESLLLHWSGIQQRRSSWQDGLPGTNCPIPPKWNWTYQFQVKDQIGSFFYFPSLHFQRAAGGFGGFIINNRDIIPIPFGSPDGDITILIGDWYTRNHTALRKALDAGKDLGMPDGVLINGKGPYQYNDTLVPDGIDYETIDVHPGKTYRLRVHNVGISTSLNFRIQSHNLLLAETEGSYTVQQNYTSLDIHVGQSYSFLLTTDQNASSDYYIVASARFVNESQWKRVTGVAILRYSNSKGKAAGPLPDPPQDEYDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEIFVLKNKPPVTIDGKRRTTLSGISFVNPATPIRLADQFKVKGVYKLDFPSRPLTGPPKMETSVINGTFRGFMEVILQNNDTKVQTYHLSGYAFFVVGMDYGEWSENSRGTYNKWDGIARSTTQVYPGAWTAILISLDNVGVWNLRTENLDSWYLGQETYIRVVNPEVTNKTELPMPDNVLYCGALSKRQKYAKKSRFYVNGYLRKMAVKTNNG >KJB48886 pep chromosome:Graimondii2_0_v6:8:22553116:22555029:1 gene:B456_008G092000 transcript:KJB48886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEEQEEEMGLAPSYNSLVNSTAVKMSDAEPGSITPTGQQQQQQRKRRYRECLKNHAVGIGGHAVDGCGEFMPAGTEGTLDALKCAACNCHRNFHRKETEPNSPNTFPSTDLYFHQPTQFTPYFRAPTGYLHVAGQQRLLALPSTSGGGGGHSREDQEDVSNQGSSRKRFRTKFTHDQKEKMLELAERIGWRIQKHDELLVQQFCNENGVKRQVFKVWMHNNKHTLGKKP >KJB50394 pep chromosome:Graimondii2_0_v6:8:44781381:44782630:-1 gene:B456_008G172600 transcript:KJB50394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYSINSSIHKSAISKDDSVEESGWTAYFEDFSNNNHHQQQQDSYSYSFDCGSSLISDAATAWKSPHNIDHHHHVFPKKLRFKKTRTKEIYEEDDSLEDTASSPVNSPKVSDELKPNDMNPRKREDQAHSSLGKETATDIQIEEENKLKFRYGKNDCTELNKRGLCLVPFSMLANYLG >KJB52725 pep chromosome:Graimondii2_0_v6:8:55276756:55280232:1 gene:B456_008G274300 transcript:KJB52725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLYGHAVCVVDLETLMHHLPIDRHYACGLKEQIPIVTLATTLGREGISAFRFDFAGNRESEGSFMYGNYRREAEDLRAVIRHFRNYQHLITAILGHSKGLGNVVLLYASKYNDVPTIINISGRFHLEKGMEGRLGKYFLQRIKQNGFIDVKNRKSFIHSFTGQFEYRVTQESLMDRLTTDTRATCLSIDQNCRVLTIHGSMDKIVPAKDALEFARFIRNHKLHIIEGGDHEYTAHQDELATVVLDFVKAVREDRNTAKLLQSCERVVNFIKARI >KJB52424 pep chromosome:Graimondii2_0_v6:8:54203530:54205280:-1 gene:B456_008G261300 transcript:KJB52424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIARAFCSIKSAIAYCFFGDRQKRKSIITNINYYSMPKARPLSLQTVDLKVRMCCTGCERVIKNAIYKLRGIDSVEVDIEMEKVTVIGYVDRNKVLKRVRRAGKRAEFWPYPDPPLYFTTTAEYYKDTTNEYRESYNYYRHGYNLGHRHGNIPVTIRGDDKVSNLFNDDNVNACSLM >KJB46809 pep chromosome:Graimondii2_0_v6:8:54457713:54458780:-1 gene:B456_008G265300 transcript:KJB46809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGTVSATIPAVVAAVVGIYSFDRHSGAKEFGVGVRSSTESMLAKPNTKMQTTPLPKVAPQFDGLNCFETLVGF >KJB47051 pep chromosome:Graimondii2_0_v6:8:994303:994870:-1 gene:B456_008G0083001 transcript:KJB47051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCLLDLSPKELSFATSNTTLVIMGFSLGLLVIMPLLLVTTLITTLS >KJB47221 pep chromosome:Graimondii2_0_v6:8:1817018:1820683:1 gene:B456_008G015900 transcript:KJB47221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAIPCGNTVVTGLRPRLLSSLNTNKWRISQARVSTEIGALSFSSSSIFPPNLTHLLFNSWSPKIPCHHLARRLIVKAARDYYSILGVSKNASKSDIKSAYRKLARNYHPDVNKEAGAEQKFKEISEAYEVLSDDEKRSIYDRYGKDGFKGSTMDMGDFSNPFDLFSSLFDMDIRNRGARNMAADGEDLICNLVLTFKEAVFGVEKEIDVSRLDNCTTCDGSGAKPGTKAYTCTTCGGQGQVVSSSRTPLGVFQQVMTCSACSGTGETFTPCNKCGGDGRERKSKKISLKVPAGVDSGSRLRVRSEGNAGKRGGAPGDLFVVIEVIPDPVLKRDDTNILYTCKISYIDAILGTTVTVPTVDGSADLKIPAGVQPGTTLVMSKKGVPLLNKGKMRGDQLVRVQVEIPRQLSDEERRLVEELANLKKTKTLNGSRR >KJB53046 pep chromosome:Graimondii2_0_v6:8:56501952:56505640:-1 gene:B456_008G290200 transcript:KJB53046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSRSINETETQALLAIKARILIDPYEVFVSWNGSRHFCDWPGVTCGRRHRRVTALRLPSLNLVGDLSPYIANLTFLKVINVGNNRFRGPIPREVTNLHRLEELVLANNSFHGELPRQLALCSNLKFVNLNQNALVGEIHAELGSLSKLRMLQLAMNNFTGTIPPSIGNISSLQYLSLMQNQLEGHIPIELGHLSNLRFLQLASNKFSGTVPKELYNISSIFFFGLADNQLQGQVPPYISLSLPNLRFIYLGKNKFSGPIPTSIANATGLIQLDMGSNELSGPIPNLGSLQNLQALNFGDNFLDSSSDLSFLSSLTNCTNLSLLWLYKNNLTGVLPDSIGNLSTNLNQFRIETNFISGTIPKGIGNLVGLEYLGLFENMFTSTIPDSIGKISKLKFLYAYTNRITGEIPLSLGNMTQLIALSIEDNLLQENIPVTMGNFIHLEQLDLSQNRLNGTIPKEVIGLCSSIIGVSFASNGLTGTLPSEVGNCKNLILLDVSNNNLYGEIPSSLENCLMLELLSLANTEVSTELPLVTDLNLSFNMFEGEVAMNGVFGNISAFSVIGNNKLCGGIKPLRLPVCPRETETKGKNFPHKVIIAIAVVLFVVWFSICLFVIKQRANSSRKKEGIASPLDKKHPKLSYAELLHATDGFSSANLIGKGRYGSVYKGTLTSDSQKPIAVKVLDLQQRGAERTFKSECQVLKNLKHRNLVKVITSCSSIDFQGNDFKALVLEFMPNGSLETWLHPSSTELNHSMRLNLTQRLNIAIDVALALDYLHSQFGKPVVHCDLKPSNVLLDGDLTAYVSDFGLTKFLTMTAEYSIGEQSSSIGIRGTMGYIPPEYGLGKEVSTFGDVYSYGIVLMELFTGKRPTDSMFTGELSLRDYVKAALPDREGEIADPWFNFENEAFDQNGQSSGGGTGNAGKWLGSVLGIGVACSADSPIERMKIGDVLRELDNVRNRLIGDHRRRRA >KJB49727 pep chromosome:Graimondii2_0_v6:8:38291621:38292027:-1 gene:B456_008G135000 transcript:KJB49727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HSLPQTLIRDSFPILFFYSILCPHQAFVPSILPRFPSRG >KJB46724 pep chromosome:Graimondii2_0_v6:8:55479231:55480039:1 gene:B456_008G2767001 transcript:KJB46724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIICEHLDQDTCAYAVASTGKRCVLEKHVKRSGEEEYTCRTSEIEADKINNWIETDQCVKSCGLDRKSFGISSDSLLESRFTEMLCSPQCYNGCPNVIDLYFNLAAGEGIFLPKLCEAQKGNIRRGMSEIWSSGMVAPGPVGGVKFWGVAPAMAPY >KJB46723 pep chromosome:Graimondii2_0_v6:8:55479024:55489825:1 gene:B456_008G2767001 transcript:KJB46723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISRKMTLAILALALFSYCLQATLGEIICEHLDQDTCAYAVASTGKRCVLEKHVKRSGEEEYTCRTSEIEADKINNWIETDQCVKSCGLDRKSFGISSDSLLESRFTEMLCSPQCYNGCPNVIDLYFNLAAGEGIFLPKLCEAQKGNIRRGMSEIRSSGMVAPGPVGGVKFWGVAPAMALY >KJB46722 pep chromosome:Graimondii2_0_v6:8:55479010:55480028:1 gene:B456_008G2767001 transcript:KJB46722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISRKMTLAILALALFSYCLQATLGEIICEHLDQDTCAYAVASTGKRCVLEKHVKRSGEEEYTCRTSEIEADKINNWIETDQCVKSCGLDRKSFGISSDSLLESRFTEMLCSPQCYNGCPNVIDLYFNLAAGEGIFLPKLCEAQKGNIRRGMSEIWSSGMVAPGPVGGVKFWGVAPAMAPY >KJB52250 pep chromosome:Graimondii2_0_v6:8:53570120:53573396:1 gene:B456_008G252100 transcript:KJB52250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRILKELEDIRKDPPDFCRLAIMRGHPDSPYKGGKFELSIHFPPKYPFNPPKVAFGTKIFHPNIGRNDGSIHIDILKDNWTPALTIPKVLLSIYAILRDPLLDKTLEENIANMYKTDRSQYEKDARNWTKKYAMDPPYGTILKELEDLKNSHCSAGSVGGDMFHWEVAIWDLQDSPYAGGVFQVDIHFPLQYPFKPPKDKNLSS >KJB52252 pep chromosome:Graimondii2_0_v6:8:53570329:53573396:1 gene:B456_008G252100 transcript:KJB52252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIWKAIMRGHPDSPYKGGKFELSIHFPPKYPFNPPKVAFGTKIFHPNIGRNDGSIHIDILKDNWTPALTIPKVLLSIYAILRDPLLDKTLEENIANMYKTDRSQYEKDARNWTKKYAMDPPYGTILKELEDLKNSHCSAGSVGGDMFHWEVAIWDLQDSPYAGGVFQVDIHFPLQYPFKPPKVVFRTKIFHPNIDGNGSIGLDILQDRWNPNLTISKVLLKICSLLKNPNPDAPLVLEIACMYNTDLEKYVTTAQRWTKKYAMD >KJB52251 pep chromosome:Graimondii2_0_v6:8:53570120:53573396:1 gene:B456_008G252100 transcript:KJB52251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRILKELEDIRKDPPDFCRLAIMRGHPDSPYKGGKFELSIHFPPKYPFNPPKVAFGTKIFHPNIGRNDGSIHIDILKDNWTPALTIPKVLLSIYAILRDPLLDKTLEENIANMYKTDRSQYEKDARNWTKKYAMDPPYGTILKELEDLKNSHCSAGSVGGDMFHWEVAIWDLQDSPYAGGVFQVDIHFPLQYPFKPPKVVFRTKIFHPNIDGNGSIGLDILQDRWNPNLTISKVLLKICSLLKNPNPDAPLVLEIACMYNTDLEKYVTTAQRWTKKYAMD >KJB50721 pep chromosome:Graimondii2_0_v6:8:46497129:46501113:-1 gene:B456_008G185000 transcript:KJB50721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFKAAATTNPDMFCHSSFFLRGDDCNSRNQTRFPDLGELDHPAAAFHHDDAFDLSPSSIFSLKSNNVGVVANTLHYGALNTSIGATAIVSSGTGCLDTGQFMYQKGATFGASLGNGHIENWADSGLADNSQQTDTSTDVDTDHKNQLHGVQLGAVMVDSVDQSKSKSGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLRLTELEQELQRARQQGIFIASGLSGDHGHTVAGNAALAFDMEYGRWLDEHQRLINDLRSAVNSHMGDNELCILVGGVMAHYDEVFRLKSIGAKADVFHMLSGMWKTPAERCFMWLGGFRSSELLKILGNHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQSLVDTLSSASLGPSASGNVADYMGQMAIAMGKLATLENFLHQADLLRQQTLQQMHRILTTRQAARALLVFSDYTSRLRALSSLWLARPRN >KJB50722 pep chromosome:Graimondii2_0_v6:8:46496555:46501554:-1 gene:B456_008G185000 transcript:KJB50722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFKAAATTNPDMFCHSSFFLRGDDCNSRNQTRFPDLGELDHPAAAFHHDDAFDLSPSSIFSLKSNNVGVVANTLHYGALNTSIGATAIVSSGTGCLDTGQFMYQKGATFGASLGNGHIENWADSGLADNSQQTDTSTDVDTDHKNQLHGVQLGAVMVDSVDQSKSKSGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLRLTELEQELQRARQQGIFIASGLSGDHGHTVAGNAALAFDMEYGRWLDEHQRLINDLRSAVNSHMGDNELCILVGGVMAHYDEVFRLKSIGAKADVFHMLSGMWKTPAERCFMWLGGFRSSELLKSSQQAEDALSQGMEALQQSLVDTLSSASLGPSASGNVADYMGQMAIAMGKLATLENFLHQADLLRQQTLQQMHRILTTRQAARALLVFSDYTSRLRALSSLWLARPRN >KJB49659 pep chromosome:Graimondii2_0_v6:8:37814800:37816878:1 gene:B456_008G132200 transcript:KJB49659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEANANETSRQAPKLNERILSSLSRRSVAAHPWHDLEIGPDAPNVFNCVVEIAKGSKVKYELDKKTGMIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDCLVIMQEPVVPGCFVRARALGLMPMIDQGEKDDKIIAVCADDPEYKHYTDIKELPPHRLTEIKRFFEDYKKNENKEVAVNDILPSSTAFEAIQHSMDLYGEYLLQTLSK >KJB49660 pep chromosome:Graimondii2_0_v6:8:37814854:37816878:1 gene:B456_008G132200 transcript:KJB49660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDITFIHTSKFWFLCSTGQVVEIAKGSKVKYELDKKTGMIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDCLVIMQEPVVPGCFVRARALGLMPMIDQGEKDDKIIAVCADDPEYKHYTDIKELPPHRLTEIKRFFEDYKKNENKEVAVNDILPSSTAFEAIQHSMDLYGEYLLQTLSK >KJB50146 pep chromosome:Graimondii2_0_v6:8:41579369:41580184:-1 gene:B456_008G155600 transcript:KJB50146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSNSKSSSSKSKRKQQPQHQQQQQQETRFLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEDAALAYDRASRSMRGSKARTNFVYSDMPAGSSVTSIISPDEFQHDISSIFSINPPFHHQNDANHNQIFFNQDPFNACQFYSGLPAQESDAVQSYRPITGLMEAGNGGSPQFRDNSSELPPLPPDVSSTCYGYGSGVDGSDMGYGAWNDTGLFGFSDQNSNGFDSYVGFNSFELEQDSPLLENMPLPSLPDSVADAFDLGSSSTNFFQ >KJB48036 pep chromosome:Graimondii2_0_v6:8:7171211:7172991:1 gene:B456_008G051100 transcript:KJB48036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDKYEAVFISTPSIGNLVPTVEFAHHLTRHDPRFSATLLIITVPERTIVNLYTQSLATAISHSHSHVNFIHLPTIQPPTPDQYQSSLGYTSLLIDKHKPHAKHAISTLMSTTSVAALFVDMFTTSMIDVAQDLGIPCYLFFASPASFLGFMLHLPALDTQLAVDFVDSDSQSGFIVPKDSAVELIVPTFSKPLPPSMLPSHVLKRNKDGYFCFLENARRYTETIGIVVNTFLELEPHAIESLSISGLPPVYPVGPILDHAGASRWHPDGAQQQDSIMEWLDQQPPSSVVFLCFGSMGSLEGPQLREIAIGLESSGYRFLWSIREPPKGKLDLPGEYTNVEAALPAGFLDRTAGLGIVCGWVQQVRVLSHQAIGGFVSHCGWNSILESVWHGVPIATWPVYAEQQMNAFELVKELGLGVEIRLDYREGSDLVVAEELERGLRRLMDGEDEVKAKVREMEAKSRMALMENGSSYKSLTSLIQEISCRIQGSEVKY >KJB52209 pep chromosome:Graimondii2_0_v6:8:53470656:53472881:-1 gene:B456_008G250800 transcript:KJB52209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFSLLKYWRGGGGGSNGCVNARSAATAGGTTTIVTAVSTRQVVDTDDDDDDDGPFFDLEFAVPDEDETEGNEEKKEEDEDGNIEGDESEEQSDGDDAKSDDGSSDGDDREFNFTLSSGSSSDPCDPNLTLSPSDDLFFKGRLVPIEANSCLESKPPQFPVSLLKSATKFRVFLLRFKKSKLNSTEKTESGSANGPVSVPTTTKKQETTQEENNNKNKLFTVKFKVEEVPIMSLFSRDNSKSQKQQSSEDSVSDEKKFTKDVMQKYLKKVKPLYVRVSRRYGEKLKFSGQLSLGSLKPAATPPSTAAQKPASGKATTVEKGQVEAEGGKNLKQVNIPAGLRVVCKHLGKSRSASSAVAASPPAPTLSKRRDDSLLQQQDGIQSAILHCKRSFNGCQDSSESSVLPRSVSDPSHEKSINLSRNSSPDEAKA >KJB50060 pep chromosome:Graimondii2_0_v6:8:40702373:40704365:1 gene:B456_008G151100 transcript:KJB50060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPFYDKNGMKKGAWSPEEDHKLRSYIEKFGHWNWRELPKYAGLERCGKSCRLRWMNYLRPDVKHGNYTEEEDALIMKLHQEYGNRWSMIAARLPGRTDNEIKNHWHARLKTRAKRNQTSSTDSQSESAHSWEGEGESMVIDTPPNMILESSRLSPTISSSTEFSSFSPPPIDSGYTSNLNLSGVAVEPPPYSSTEIYEDQRGGGDFWSEPFVADNVYNQDGYPSSSLGRGGFDMPLPYDHFYDDDSPDLLLYQMMQGWV >KJB49781 pep chromosome:Graimondii2_0_v6:8:38782533:38786182:-1 gene:B456_008G137800 transcript:KJB49781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTERKRKVSLFDVVDEPSAKIAKSNGLGLTGMNANSSINKWNGRPYSQRYYDILEKRKTLPVWQQKEEFLQVLRANQTLVLVGETGSGKTTQIPQFVLDAVDIETPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLRNRPDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPPGDILVFLTGEEEIEDACRKITKEVGNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPPPVKEGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNNDLQPQTYPEILRSNLANTVLILKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTKLGEIMSEFPLDPQMSKMLVVSSEFNCSNEILSVAAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDQSWCYENFINHRALKAADNVRQQLVRIMSRFNLKLCSTDFNSRDYYVNIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDIRGEWLVDIAPHYYDLENFPQCEAKRVLEKLYRKKEKDREESRNRR >KJB50513 pep chromosome:Graimondii2_0_v6:8:45092021:45093952:1 gene:B456_008G174900 transcript:KJB50513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSNMSKTNMVRRHVLEKKRSCSKEKESGCLSKHLKKIYPIGLQRTSSSLSLSSLSLSLSQNSNDSSLTDHSSTPLEQKISLALSLIAPHRERREFPIVVKTHVHHHHQQQQQQDPPGNGEVRRCHWVTKNSDKVYISFHDEQWGVPVYDDNKLFELLALSGMLMDYNWTEILKRKDLYRESFLGFDPEIVAKMGDKEIHEISSNKAMMLAESRVRCIVDNAKCILKIVRQYGSFSSFMWGYVNYKPTINKYKYPRNVPLRTPKAEAISKDLVKHGFRFVGPVIVYSFMQAAGLTIDHLVDCFRYSECVSLAERPWRHF >KJB50514 pep chromosome:Graimondii2_0_v6:8:45092028:45093952:1 gene:B456_008G174900 transcript:KJB50514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSNMSKTNMVRRHVLEKKRSCSKEKESGCLSKHLKKIYPIGLQRTSSSLSLSSLSLSLSQNSNDSSLTDHSSTPLEQKISLALSLIAPHRERREFPIVVKTHVHHHHQQQQQQDPPGNGEVRRCHWVTKNSDKVYISFHDEQWGVPVYDDNKLFELLALSGMLMDYNWTEILKRKDLYRESFLGFDPEIVAKMGDKEIHEISSNKAMMLAESRVRCIVDNAKCILKASSKRNFLIHSGIHVPELKVNEFWG >KJB49007 pep chromosome:Graimondii2_0_v6:8:26791738:26795612:-1 gene:B456_008G097300 transcript:KJB49007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDSYRGLYSGESAQMVENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEEYKALIHSRGPRATVVELINQKEVVGDLFNQLRLALQCRTKGRPAQTLAATNMDDRELTESMQKLLIVMQRLQYSLLLAQLFAQHGMSKVGEEFMAGVLGHLPAILAFTAPLPNSYDRIQPNTWSGAYQCWGKENREAHCIFYYLAMCIVIGKFN >KJB47476 pep chromosome:Graimondii2_0_v6:8:3325888:3327918:-1 gene:B456_008G028200 transcript:KJB47476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRALRSSSTTILPEPPQPQAFLSTIHPHIDPSQTKCTTPKPFPYTSTLPTLLQPISDQNPPPHLSYAPLFQFLTGQNFLKLGQQIHAHMTLHGLQPNAFLGAKMVAMYASSGDLESAVTVFRKIKDPTSLLYNSIIRAYTNNGYPLKTIDIYREMHSLRLKGDNFTFPFVLKSCANVLDVWMGECVHGQSLRFGLELDAYVGTSLIDFYVKVGELRDANKVFDLMTVRAVSSWNALIAGYMKEGEIRVAEDLFRGMPCRNIVSWTSMISGYTQNGLAEEALSLFDEMLKEDSEVKPNWVTIMSVLPACAHSASFERGRRINEYVNRIGLESNPSVQTALIAMYAKCGSLVSARCCFDRILENEKNLCAWNTMITAYASHGQGLESVSTFENMVRAGVYPDAITFTGLLSGCSHSGIVEFGLRYFNSMQTKYSVEPRHEHYACVVDLLARAGRLVEAKEFIKKIPMQPGPSIWGALLAACRKSRNLEIAEIAAKELFVLEPENSCNYILLSNMYAEAGMWKEVDKLRARLKCEGIKKNPGCSWIEIKGKAHLFLSGDLSHPQSKEIYNLLEALPEKIKAAGYIPNTGFVLHDISEEEKEQNLIIHIIRITKNLRICGDCHTVIKFISKIYEREIVVRDVNRFHHFRHGACSCGDYW >KJB51064 pep chromosome:Graimondii2_0_v6:8:48442111:48446958:-1 gene:B456_008G199600 transcript:KJB51064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQEGNPAGTPSAQVVGNAFVEQYYHILHQSPNLVHRFYQDSSCLSRPDMHGNMTTVTTMQAINEKVLSLNYEDYTAEIKTADAQDSFEKGVIVLVTGCLTGKDNVRRKFTQTFFLAPQDKGYFVLNDIFRYVEEKELQNTVPDNGINEQASTSALTPEPEPTHENLVEDPLTYPEDEDVNNGAEVFDPSDKEEGSVIEDEVVEPQNITSPNETVVVVDSPPVVLEDAPKKSYASIVKVMKTNTASAPVYVPINNVRVAPKEPHSIVSAKPAPATEVALPNSDNTPESSNDNEEAEGHSIYVRNLPYTATPAQLELAFKKFGPIKRNGIQVRSNKGFTFGFVEFENASSVQSALEASPITIGDRQAAVEEKRTNTRVGSSGSGTGRARYPSGKAGFRSDNFRGRGNFGSGRGGGYGRNEFRNQGEFSGRPKGLGGWNGDNYQRVNQNGRGGRQGGAGAAAKP >KJB51062 pep chromosome:Graimondii2_0_v6:8:48442061:48447017:-1 gene:B456_008G199600 transcript:KJB51062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQEGNPAGTPSAQVVGNAFVEQYYHILHQSPNLVHRFYQDSSCLSRPDMHGNMTTVTTMQAINEKVLSLNYEDYTAEIKTADAQDSFEKGVIVLVTGCLTGKDNVRRKFTQTFFLAPQDKGYFVLNDIFRYVEEKELQNTVPDNGINEQASTSALTPEPEPTHENLVEDPLTYPEDEDVNNGAEVFDPSDKEEGSVIEDEVVEPQNITSPNETVVVVDSPPVVLEDAPKKSYASIVKVMKTNTASAPVYVPINNVRVAPKEPHSIVSAKPAPATEVALPNSDNTPESSNDNEEAEGHSIYVRNLPYTATPAQLELAFKKFGPIKRNGIQVRSNKQGFTFGFVEFENASSVQSALEASPITIGDRQAAVEEKRTNTRVGSSGSGTGRARYPSGKAGFRSDNFRGRGNFGSGRGGGYGRNEFRNQGEFSGRPKGLGGWNGDNYQRVNQNGRGGRQGGAGAAAKP >KJB51063 pep chromosome:Graimondii2_0_v6:8:48442111:48446958:-1 gene:B456_008G199600 transcript:KJB51063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQEGNPAGTPSAQVVGNAFVEQYYHILHQSPNLVHRFYQDSSCLSRPDMHGNMTTVTTMQAINEKVLSLNYEDYTAEIKTADAQDSFEKGVIVLVTGCLTGKDNVRRKFTQTFFLAPQDKGYFVLNDIFRYVEEKELQNTVPDNGINEQASTSALTPEPEPTHENLVEDPLTYPEDEDVNNGAEVFDPSDKEEGSVIEDEVVEPQNITSPNETVVVVDSPPVVLEDAPKKSYASIVKVMKTNTASAPVYVPINNVRVAPKEPHSIVSAKPAPATEVALPNSDNTPESSNDNEEGHSIYVRNLPYTATPAQLELAFKKFGPIKRNGIQVRSNKQGFTFGFVEFENASSVQSALEASPITIGDRQAAVEEKRTNTRVGSSGSGTGRARYPSGKAGFRSDNFRGRGNFGSGRGGGYGRNEFRNQGEFSGRPKGLGGWNGDNYQRVNQNGRGGRQGGAGAAAKP >KJB51056 pep chromosome:Graimondii2_0_v6:8:48397365:48400914:1 gene:B456_008G199200 transcript:KJB51056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSGHHMDHNLVSQSREDQCRKGPRSDTMRKRSTLETRYSYGHLLKPFSSLDSCIMAHLYMEHVNMEEYILTFPPSSPTAISRPLIVTDGSQIISRASGSFPNGSNRTAESKLHNLATFETSGNVFGIPPLPKVKSSDLRKKLKFKRGNRYSGRQSIPCKMDTANRFHSQKGPDDGAFLFSLGIFMGIVSSCIRNRREVLKLRGLLKHTENVVQDLHDELEMKDPVTVKEIANEKYESGETYDDSFHDRVSSSVEQNFNNSKRCYGGESYYDKVGESSESMSQIEAELEAELERLGLNMNVSNLEGRLSDLDELDPDFAERELRSDMINAQALVKSVSNEDSRDTSTTHSRNYAVSPQELSMRLHEVIQSKLEERIEELEMALRNSQKKVKLMEWQYKISRKISNSKLKYSSNPESPLANEEIDCQSGPLVMQLSGEALDAYIEAREELLKTDESEEDDDDDGLPDIYRNKHQGELHKYDRIQNMSWGSQDSMYPKNTSEEVVYGGGRTLEDQHLRVHELVGVSEDECSDGDDEMEKQLIEKIVEKTRKGSDALVNAERILFSMDGI >KJB51057 pep chromosome:Graimondii2_0_v6:8:48398189:48399877:1 gene:B456_008G199200 transcript:KJB51057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWLVATAAGYFAEYWQNLLSDRTRFPELRTQGTETRNGPLSSTKGLQQDVSSDGREFSTRKVSDSYRHYVVSAAQVDSDSGFDSEMVGSSGHHMDHNLVSQSREDQCRKGPRSDTMRKRSTLETRYSYGHLLKPFSSLDSCIMAHLYMEHVNMEEYILTFPPSSPTAISRPLIVTDGSQIISRASGSFPNGSNRTAESKLHNLATFETSGNVFGIPPLPKVKSSDLRKKLKFKRGNRYSGRQSIPCKMDTANRFHSQKGPDDGAFLFSLGIFMGIVSSCIRNRREVLKLRGLLKHTENVVQDLHDELEMKDPVTVKEIANEKYESGETYDDSFHDRVSSSVEQNFNNSKRCYGGESYYDKVGESSESMSQIEAELEAELERLGLNMNVSNLEGRLSDLDEVTHRLMTHCFICTFLATSFYFLLSCQSILVS >KJB51054 pep chromosome:Graimondii2_0_v6:8:48397302:48400958:1 gene:B456_008G199200 transcript:KJB51054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWLVATAAGYFAEYWQNLLSDRTRFPELRTQGTETRNGPLSSTKGLQQDVSSDGREFSTRKVSDSYRHYVVSAAQVDSDSGFDSEMVGSSGHHMDHNLVSQSREDQCRKGPRSDTMRKRSTLETRYSYGHLLKPFSSLDSCIMAHLYMEHVNMEEYILTFPPSSPTAISRPLIVTDGSQIISRASGSFPNGSNRTAESKLHNLATFETSGNVFGIPPLPKVKSSDLRKKLKFKRGNRYSGRQSIPCKMDTANRFHSQKGPDDGAFLFSLGIFMGIVSSCIRNRREVLKLRGLLKHTENVVQDLHDELEMKDPVTVKEIANEKYESGETYDDSFHDRVSSSVEQNFNNSKRCYGGESYYDKVGESSESMSQIEAELEAELERLGLNMNVSNLEGRLSDLDELDPDFAERELRSDMINAQALVKSVSNEDSRDTSTTHSRNYAVSPQELSMRLHEVIQSKLEERIEELEMALRNSQKKVKLMEWQYKISRKISNSKLKYSSNPESPLANEEIDCQSGPLVMQLSGEALDAYIEAREELLKTDESEEDDDDDGLPDIYRNKHQGELHKYDRIQNMSWGSQDSMYPKNTSEEVVYGGGRTLEDQHLRVHELVGVSEDECSDGDDEMEKQLIEKIVEKTRKGSDALVNAERILFSMDGI >KJB51055 pep chromosome:Graimondii2_0_v6:8:48397302:48400958:1 gene:B456_008G199200 transcript:KJB51055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWLVATAAGYFAEYWQNLLSDRTRFPELRTQGTETRNGPLSSTKGLQQDVSSDGREFSTRKVSDSYRHYVVSAAQVDSDSGFDSEMVGSSGHHMDHNLVSQSREDQCRKGPRSDTMRKRSTLETRYSYGHLLKPFSSLDSCIMAHLYMEHVNMEEYILTFPPSSPTAISRPLIVTDGSQIISRASGSFPNGSNRTAESKLHNLATFETSGNVFGIPPLPKVKSSDLRKKLKFKRGNRYSGRQSIPCKMDTANRFHSQKGPDDGAFLFSLGIFMGIVSSCIRNRREVLKLRGLLKHTENVVQDLHDELEMKDPVTVKEIANEKYESGETYDDSFHDRVSSSVEQNFNNSKRCYGGESYYDKVGESSESMSQIEAELEAELERLGLNMNVSNLEGRLSDLDELDPDFAERELRSDMINAQALVKSVSNEDSRDTSTTHSRNYAVSPQELSMRLHEVIQSKLEERIEELEMALRNSQKKVKLMEWQYKISRKISNSKLKYSSNPESPLANEEIDCQSGPLVMQLSGEALDAYIEAREELLKTDESEEDDDDDGLPDIYRNKHQGELHKYDRIQNMSWGSQDSMYPKNTSEEVVYGGGRTLEDQHLRVHELVGVSEDECSDGDDEMEKQLIEKIVEKTRKGSDALVNAERILFSMDGI >KJB49783 pep chromosome:Graimondii2_0_v6:8:38796332:38797722:-1 gene:B456_008G137900 transcript:KJB49783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKKTRNSEYTFFLFFFKFNPLFSQDKDGFFMLMMGFWVCRLKVKKANQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSTSCNVKKRVERCFTDPSIVVTTYEGQHTHPSPLMPRPNLVGSTINSGISAGAAAAFSVPMQRNTQSHYYYQQQQQHQHPFANSLSSLNFGHNGPSIDSSFLHERRLCTSGQSLLKDHGLLQDIIPSHMLKEE >KJB49782 pep chromosome:Graimondii2_0_v6:8:38795821:38797835:-1 gene:B456_008G137900 transcript:KJB49782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTFMERKEGLKMEDYVMGNSINSNSSFCDYTGNGFPLQSVFDSCSEDDNNKFSLGFMELLGVQDFTCPLFDVAQQVPVSTKMESPEQGFNLPATPNSSSVSSGSSEAVNGEPVKVEDDQEEEDDQQKNKKQLKVKKANQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSTSCNVKKRVERCFTDPSIVVTTYEGQHTHPSPLMPRPNLVGSTINSGISAGAAAAFSVPMQRNTQSHYYYQQQQQHQHPFANSLSSLNFGHNGPSIDSSFLHERRLCTSGQSLLKDHGLLQDIIPSHMLKEE >KJB48500 pep chromosome:Graimondii2_0_v6:8:12572970:12576324:1 gene:B456_008G072400 transcript:KJB48500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP58 [Source:Projected from Arabidopsis thaliana (AT4G37130) UniProtKB/Swiss-Prot;Acc:Q8RWH9] MSSFFATPQPQQSPPLFQPQQQPFQQSYSPFYQSQQQQQQQQQQPQLQFPQQQQQQQQQQQQQQPLFLFTNDKTPASYSTKWADLHPDSQKFLLQIEERILEYRDESQRLDQCTRLYDSSVSNEGFELDASHIVQELGGISTAMERQKALLQELMSTVKDMLRNTEVAVRSFMMLRPRFVHSNTGGASNATAPSQAPGPTTTPGSGAQPTAASMVPVFDFYHGLPKKPSPFLQYTVARFEKYLGECRQWIEELEQLLLLNSDRNSINHASSLLQSLPKVMSNVHDFFIHVAAKVESIHQYIESMKTAYLADHRHRGDVNDPFLEADRRETAKQEAAAKRVHPTLHLPANSQPSTQVAGLFASSAAPAAASAPQTSAATSAASSGGGLSLFSTPSSTPASSMSSSLFATPTTGASIQTSLFSSSSGPLLGSASTPSLFASTVPAFGSTASAGGSLFSTPFASGAPTGSGASFGAASKSSRPKSRTARR >KJB48070 pep chromosome:Graimondii2_0_v6:8:7505545:7515912:-1 gene:B456_008G053000 transcript:KJB48070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIEPPIYLVMVEIRNETSEEDRRNMAEYCVTGGTGFIAAYLVKSLLEKGCFVRTTVRDPGDAEKVGFLMELAGAKERLKIMKADLTEDGSFDEAIQGVVGVFHTASPVLVPYDQNIQATLIDPCIKGTLNVLRSCSKASSVKRVVLTSSCSSIRYRFDVQKQQITSLDESHWSDPEYCKRYNLFYAYAKTVAEKEAWRVAKETGMDLVVVNPSFVVGPLLAPHPTSTLLLILAIVKGLRGEYPNTTVGFVHIDDVVAAHILAMEECKASGRLVCSSSVAHWTQIIEILKAKYPSYPFENKCSSQEGDNCEHIMETSKIQKLGFPAFKSVPEMFDDCIKSFQEKGFL >KJB47327 pep chromosome:Graimondii2_0_v6:8:2412731:2415878:-1 gene:B456_008G021300 transcript:KJB47327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQGFFSALKQEVVRGLSPSRSRSSSPARARSPFATLLRRKKNNHNNYDGAYVAQPESLIVRSGSLRPVGEALAPLMEGPDPDGGEVGDSKRVGSGLGQWVKGQLSRTPSVASMSYRRSDLRLLLGVMGAPLAPVHVSSTDPLPLLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIRNAYAMGKLKMVACEYETPTTTVKNRNVSKCAESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFADARCIGEKKINGEDCFILKLCTDPQTLKARSEGPAEIIRHVLFGYFSQKTGLLVQMEDSHLTRIQSNGGDAVYWETTINSFLEDYRSVEGIMIAHSGRSVVTLFRFGEVAMSHTKTKMEEAWTIEEVAFNVPGLSVDCFIPPGDLRSGSISETSELSQDERGKSAIALAAHRAKVAALQKAQDGNADSMIWRMEA >KJB48358 pep chromosome:Graimondii2_0_v6:8:10329691:10331622:-1 gene:B456_008G064700 transcript:KJB48358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISFHNNMFSLLLLLLPSLSFSPSAWAACNAVDKEALLGFKRTITADPSRLLQSWISSTDCCTSWKGVACNSVGRVVNVTRSGLVSDDDDLLDTFMNGTLSPSLGNLSYLQLLDLSNLKNLNGPIPPEFGKLRRLTHLFLNSNQLTGSIPATFKHLSRLERLFLNNNHISGIIPFSVIGSLTALTELGLSENQLRGSIPATIGKLVLLTKLDLHANNISGSLPTTIGKLKSLKYLDLSQNTIKGSIPKSIGGLSALVLLDLNQNQITGSIPSSISGLISLQFCRISENKMTGSLPPSIGHLPSIQRLILENNKLTGKLPATMGHLVTLTELFLSNNRITSKIPSSFSNLQNLQTLDLSRNHLSGELPTELAKLQNLQTLDLSFNPLGLVSIPKWFAKLKLFRLMLAKTGIRGSLPRWLSSTSISTLDLSDNALTGKLPRWIGNMTSLSLLNLSNNGLHSSIPAEFKNLRRLMDLDLHSNKFSGNLDTIFSKGTDDPIGHYNSIDLSDNMFAGPINDSVLQSSAMDSITSLVLSYNLLKGSIPKSIRKLSELRILKMVSNGLSGKIPVELGDAMGLTTILLSRNNLMGSIPGKVINLNELKEFDVSHNQLRGEIPPHKAIIPVSSFIGNPGLCGAPLPPCERS >KJB51112 pep chromosome:Graimondii2_0_v6:8:48706732:48707707:-1 gene:B456_008G201400 transcript:KJB51112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNHVPSIFYVITHVPILCEEADIPYVYVPSKEDLATAGATKRPTCCVLVLTKPTKGKLDPAEQEKIKADYSQVVADISELTSSLF >KJB49184 pep chromosome:Graimondii2_0_v6:8:36977552:36978549:1 gene:B456_008G128100 transcript:KJB49184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGEKNSRNFHLHIPHLHHHHHHHQGKKQAKGVPKGCLAIKVGPKEEEQQRFVVPVLYFNHPLFMQLLKEAEEEYGFEQKGMITIPCHVEEFRNVRGLIDGEKSLHHHHHHHHHHVGCFRV >KJB52538 pep chromosome:Graimondii2_0_v6:8:54586043:54586736:1 gene:B456_008G266800 transcript:KJB52538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKKKDATHGNEIQIIMRDSFLLFSFIKTETKTKKRIDPSSISPNCMRKLRVRGTYICYGIPIYIELRIQK >KJB52082 pep chromosome:Graimondii2_0_v6:8:53056357:53056862:-1 gene:B456_008G245900 transcript:KJB52082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVLHILEFLKVVLKIMTMNDEKTKKKAIEAVADIYGVDSIAADVKEQKLTVIGEMDTVAIAKKLKKIGKVDIVTVGPAKEEKKDEKKTKRRVKKRNDYQNLKLVLSAFFL >KJB47682 pep chromosome:Graimondii2_0_v6:8:4552467:4553300:1 gene:B456_008G036500 transcript:KJB47682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSKKIKLRTSDGEILKVEEAVALQSPTIKNLYENSCPGRVIPVPGVTGNILSKVLDYGKKHVDAATGKENISADELKAWDDDFVKVDQKTLFDLILAARSLNIECLLDLTCRTVANMIKGKTVEEIRTTFNIKNDLDP >KJB47132 pep chromosome:Graimondii2_0_v6:8:1662360:1664715:-1 gene:B456_008G014400 transcript:KJB47132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKIRRAIGHVKDQTSISLAKVGSSSSVSDLEVAIVKATRHEEYPAEERHIQEILSLTSYSRAHISACVKTLSKRLNKTRNWTVALKTLMLIQRLLSEGDPAYEQEIFFSTRRGTRILNMSDFRDTSQSNSWDFSAFVRTYALYLDERLEYMMEGRYGGRRSMYGYNDEDHEDNEDPSVVRSIPIAELKNEHLFSKLLHLQQLLDRFLGCRPIGSAKGNRVVIVALHAIVKESFQIYYDITEILGVLIDRFMELDISDSIKIYNIFCRERKQFDDLENFYSWCKSIGIARSYDYPEIEKITQKKLDLIDEFIRDKSALEQGKKISDESGFVKETDSCEEAEDMNAVKSLPEPEPVEKEEPEPEKEEEVDNSKALVVEPKGDLLDLAEDAMSSQDYGDKLALALFDGYAPAAVGTPSSGPGWEAFMDDADWESALVQSASNLTKQRATLGGGFDILLLDSMYQQGNTMAAMASSGYAASGSTSSFAFGSSGRPAMLALPAPPLSKNIGNTVVDPFSASLTVEPPSYVQMSDIEKKQRFLVEEQAMWDQYRRDGLRGHSSMSKVHSYPYSPGYTPWHGY >KJB47131 pep chromosome:Graimondii2_0_v6:8:1662360:1664843:-1 gene:B456_008G014400 transcript:KJB47131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKIRRAIGHVKDQTSISLAKVGSSSSVSDLEVAIVKATRHEEYPAEERHIQEILSLTSYSRAHISACVKTLSKRLNKTRNWTVALKTLMLIQRLLSEGDPAYEQEIFFSTRRGTRILNMSDFRDTSQSNSWDFSAFVRTYALYLDERLEYMMEGRYGGRRSMYGYNDEDHEDNEDPSVVRSIPIAELKNEHLFSKLLHLQQLLDRFLGCRPIGSAKGNRVVIVALHAIVKESFQIYYDITEILGVLIDRFMELDISDSIKIYNIFCRERKQFDDLENFYSWCKSIGIARSYDYPEIEKITQKKLDLIDEFIRDKSALEQGKKISDESGFVKETDSCEEAEDMNAVKSLPEPEPVEKEEPEPEKEEEVDNSKALVVEPKGDLLDLAEDAMSSQDYGDKLALALFDGYAPAAVGTPSSGPGWEAFMDDADWESALVQSASNLTKQRATLGGGFDILLLDSMYQQGNTMAAMASSGYAASGSTSSFAFGSSGRPAMLALPAPPLSKNIGNTVVDPFSASLTVEPPSYVQMSDIEKKQRFLVEEQAMWDQYRRDGLRGHSSMSKVHSYPYSPGYTPWHGY >KJB48633 pep chromosome:Graimondii2_0_v6:8:15158892:15161360:-1 gene:B456_008G078800 transcript:KJB48633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQARYSKSTEDKLHEPLILLLFLVLFSSYQLPSSAYTLPDKYFINCGSNINLSITSRTYVGDMNPGLVSFTKQNSYFTNNKQASKTSPALYRTARIFRQKSSYVFKIDRNGPYLVRLHFFGSKKLSAAVFDVLVPGFLLLHNFKLQNSSNTAVIKEFILSIPVSNFSIDFVPQGPSFAFVNAIEVFSAPPGFINDDDKNGYKGILSQPLQTIHRINVGGHTLTPKNDTLLRKWLPDDSYLYHADAAKGSRVLPVKPTYLAPFNQFIAPDLVYMTAREMNISTTSMHLVRVHFCDIVSSSPSSYLSQEIDPYKRMGRLATPFFVDFVVDSDDSGLMNISIGPDSSSSVKNAFLNGVEIMEMMRESEFVKPKQKSVFVIVGPFLGGLVLVCIFGGSAPSNKMSQQSSPSREGTTNASPVPDLYLGLKIPFLEIQLATSNFDKKLLISKGGFGNVYRGTLRTGVKVAVKRSEPGSSQGIPEFQTEIMVLFKIRHRHLVSLIGYCDEGLEMILVYEFMEKGTLREHLYNSKLPSLSWTQRLEICLGAARGLYLHKGAAGGIIHRDVKSTNILLDENLVAKVADFGLSKSGPPGHTHVSTFVKGTFGYLDPEYFMTQQLTQKSDVFSFGVVLLEVLCARPAINPTLPREQVNLAEWGMFCKKKGLLDQIVDPSVKAQINPNSLRKFVEIAEKCLQEYGADRPSLSDVAWDLEYALQLQQTAVVREPYDSNTGASSLLRSSIIRRLPSITAEFYSDEMALLGEAEIGTVFLS >KJB48597 pep chromosome:Graimondii2_0_v6:8:14367834:14369263:1 gene:B456_008G077000 transcript:KJB48597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVRGDRIGCGSFGTVNLVAPGKYFPKSPLMAVKSCATIDSVSLKNEKEVFDRLGFCSQIIRCFGDDYTVENGEKVYNLFLEYAHKGSLADQVKRNGGNLTEPDVRRYVRSILRGLSFVHAKGFAHCDIKLQNILLFGNGDVKIADFGLAKRRGEKQGRMEIRGTPLNIAPESVNGNDYDSAVDIWALGCAIVEMFTGKPAWNFKPGTNLAALLIRIGVGDELPGIPQELSEEGKDFLGKCFVKDPDNRWTAEMLLHHPLMAGEEEESTSPNCYFDELSESPRCHFDFPEWVSTQSTASSQSIFQENSRIGYSFSSHSLSTSDRIRELACDEAPSRLVSESWITVRKVV >KJB46802 pep chromosome:Graimondii2_0_v6:8:45737261:45737980:1 gene:B456_008G180200 transcript:KJB46802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYSFNRIAKSITKPVMYTIHSKFGKPHLNLTTLFKTLSTKPPQNGKDDSWNDAWETVWLPDNIFSSQKILGFICDFM >KJB48777 pep chromosome:Graimondii2_0_v6:8:19058345:19060050:-1 gene:B456_008G086600 transcript:KJB48777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINAVLANLQPNYLLHPSSRSSISTTSAAATFLPLSSSLHTRFSNISFPFLFLLTQVLASASCRNINETNSAFKCAAVLSDSYTSEASELADIEWDYLGFGLLQTYYMYMMKCTQGGNFCKGELQRFENIELNPSAGVLNYGQGLFEGLKAYRKEGNTLLFHLEEKALRMRLRAKRMCTPSPTVEQFVEAVKEIVLANKSWVTNSHDLKHEFDLLLAFHAL >KJB52771 pep chromosome:Graimondii2_0_v6:8:55390211:55390901:1 gene:B456_008G276000 transcript:KJB52771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEGDINGAKKILSPCDVEALKKCLQENKGDYVKCQSQIEAFKSSCSLKKPTPSAGSTSSSRSH >KJB51804 pep chromosome:Graimondii2_0_v6:8:51864099:51864656:1 gene:B456_008G2323002 transcript:KJB51804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSPNSNNKTTPYQKISGRCSGTDTGSRRLSTRFKGVLPLESHKWGARISFNYRAYWLGTYYTEEEAAIAYDRAALKLLKTDAPLNLPYNIYTPQEKSFQSWYSDDEILNMIKDKTYSSHFTVHQSLAKKTLPGSLTNAKGLSYEMLFHKELTQIDVSNADLFQIPKEYALQFLPPVGNNSSENG >KJB52921 pep chromosome:Graimondii2_0_v6:8:55957888:55958728:-1 gene:B456_008G283700 transcript:KJB52921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFNGEDSESGSESDVKLTEESLIDKLKYISCPENVDWIHKLPLDTDQEKKVDVNDDSTRQLVGKNKEAKRIAKEVQAEKLKERAKQKKQEIEAVKKEFWNSKFGFRGRIGFKKQNTDETTNDLKGFNNGSIAGNKKRKR >KJB50740 pep chromosome:Graimondii2_0_v6:8:46541466:46544587:1 gene:B456_008G185300 transcript:KJB50740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLHYLQPAKLQSNKIVFEDVFSARDPATLEHLKELSSRRRVIEESINQSSFITEAIAREMSGGLTSHCLRDLQKLEQYLPLLENLIFHVDLVCSNQRVVRWISELKIRWSSALSSSSLFNLRGPKFFQIDNLRYELGMTLYLYAALLRERAIEILPADLVQSATLFREASGVFQHLANEVFPSLQSSQSVERPLEATPSMCTVMSIICLAEAQAVTIRKAEEKGSTVGLLAKLHYGITELLGEATAIVYSNTIEYKDISSSFLFFIGNFLSCLH >KJB50739 pep chromosome:Graimondii2_0_v6:8:46541333:46544587:1 gene:B456_008G185300 transcript:KJB50739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLHYLQPAKLQSNKIVFEDVFSARDPATLEHLKELSSRRRVIEESINQSSFITEAIAREMSGGLTSHCLRDLQKLEQYLPLLENLIFHVDLVCSNQRVVRWISELKIRWSSALSSSSLFNLRGPKFFQIDNLRYELGMTLYLYAALLRERAIEILPADLVQSATLFREASGVFQHLANEVFPSLQSSQSVERPLEATPSMCTVMSIICLAEAQAVTIRKAEEKGSTVGLLAKLHYGITELLGEATAIVYSNTIEYKDISSSFLEFISSCKALHELRSRKYLAESVKIGEQVGVAIGVLRDALINGKRELPGEESWRSIFGKEIGAASDMLRKFENENEFVWHEKIPCGDELPRLQGNKIANALPYHPKRWERELNFKI >KJB47690 pep chromosome:Graimondii2_0_v6:8:4638694:4639612:1 gene:B456_008G037100 transcript:KJB47690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTLNNFWDWVCYIFKTSGAGNCSRILITVWSMWCARNKQVMEGKNQIVNEIRTKIESFVLEMTVIKEKLPVNQRLMSSKWQHPDRRSVRVNFDAAFRQHLKQSSSGVVIRNNMGLVMGSGVVFHRHVADAFAAEALACLNAITFARDMGFMKVVVEGDSRTIIVKAQKRGFGQICNWSLC >KJB50217 pep chromosome:Graimondii2_0_v6:8:42461613:42462146:-1 gene:B456_008G159200 transcript:KJB50217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQPQQPVVVLPNTVPGQAPPSHNHSDGSFGTVFIVLAVIIVISAIACFLGRLCNRGKNQTKPSDHNHNFGPKERDVELGFDGRVPAAKPGEHDGDPSKGFKMPGNGDHRDPTEIRMAGNGDPTGIGMPGNGAPTGIRIPGNRDPTRIRIPGNRGPTEFRMAGLKPGDDGALKPDA >KJB49344 pep chromosome:Graimondii2_0_v6:8:34709123:34712382:1 gene:B456_008G114500 transcript:KJB49344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILKSYGACLRESSFQFPRSHRIDNYKRRNVKWRSPQAAIIPDFHLPMRSFEVKNRTSAEDIKALRLITAIKTPYLPDGRFDLEAYDDLVNMQIENGAEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGSVKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLEGLVSHFNSVLPMGPTIIYNVPSRTGQDIPPRVVNTVAQSPNLAGIKECVGNDRIEQYTGNGIVVWSGNDDQCHDARWSHEATGVISVTSNLVPGLMHELMFNGKNASLNAKLLPLIQWLFEEPNPIGLNTALAQLGVVRPVFRLPYVPLPLEKRVKFVELVNEIGRENFVGEKDVQVLDDDDFILVGRY >KJB51142 pep chromosome:Graimondii2_0_v6:8:48884082:48886293:-1 gene:B456_008G203200 transcript:KJB51142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLSSTMKAYGCRYCVSPLKHLLHTTNHHLRFRPRSPPLFSSSFNNLKLPNFNLLLTYNSLFFTTEHLWGRSGMNTNKKMVEHLQQYGVISSEKVARVMETIDRALFVPDRTPAYADCPQEIGYNATISAPHMHATCLQLLEEKLQPGMHALDVGSGTGYLTACFAMMVGPQGRAIGVEHIPELVASSINNIEKSAAAPLLKKGSLSMHVGDGREGWPECAPYDAIHVGAAAPEMPQALLDQLKPGGRMVIPVGNIFQDLKVVDKNPDGSISIRSESSVRYVPLTSRDAQLQS >KJB47451 pep chromosome:Graimondii2_0_v6:8:3208261:3213462:-1 gene:B456_008G027200 transcript:KJB47451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSTNIRDSIYAGKHALLPPKCPFPTVSQPFTDYVSNNVIGLVQNPREGNTHHMRTSSESFLIEDQPSWLDDLLNEPDITPMRRGGHRRSSSDSFAYIDVSNARNLDYAAQEEYRYKNMISAPSWASLEFDYHSKTDAQLAAFYNDVNLVKQKNRPWDSSLNAVTHSSGLPSRRENSILQGSGSSCALKEVESAPSTASEKQDSAESSSLEAKVYSEKKDNSYAKSSSSDSDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILNMENKALKQRLESLAQEQLIKHLEHEVLEREIGRLRVLYQQQQQQQQQHQKQKPSSSSSSSHRPSSSRDLDSQFANLSLKHKDANSG >KJB47449 pep chromosome:Graimondii2_0_v6:8:3208551:3212079:-1 gene:B456_008G027200 transcript:KJB47449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSTNIRDSIYAGKHALLPPKCPFPTVSQPFTDYVSNNVIGLVQNPREGNTHHMRTSSESFLIEDQPSWLDDLLNEPDITPMRRGGHRRSSSDSFAYIDVSNARNLDYAAQEEYRYKNMISAPSWASLEFDYHSKTDAQLAAFYNDVNLVKQKNRPWDSSLNAVTHSSGLPSRRENSILQGSGSSCALKEVESAPSTASEKQDSAESSSLEAKVYSEKKDNSYAKSSSSDSDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILNMENKALKQRLESLAQEQLIKHLEHEVLEREIGRLRVLYQQQQQQQQQHQKQKPSSSSSSSHRPSSSRDLDSQFANLSLKHKDANSG >KJB47450 pep chromosome:Graimondii2_0_v6:8:3208551:3213370:-1 gene:B456_008G027200 transcript:KJB47450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSTNIRDSIYAGKHALLPPKCPFPTVSQPFTDYVSNNVIGLVQNPREGNTHHMRTSSESFLIEDQPSWLDDLLNEPDITPMRRGGHRRSSSDSFAYIDVSNARNLDYAAQEEYRYKNMISAPSWASLEFDYHSKTDAQLAAFYNDVNLVKQKNRPWDSSLNAVTHSSGLPSRRENSILQGSGSSCALKEVESAPSTASEKQDSAESSSLEAKVYSEKKDNSYAKSSSSDSDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILNMENKALKQRLESLAQEQLIKHLEHEVLEREIGRLRVLYQQQQQQQQQHQKQKPSSSSSSSHRPSSSRDLDSQFANLSLKHKDANSG >KJB48153 pep chromosome:Graimondii2_0_v6:8:8123830:8128325:1 gene:B456_008G055300 transcript:KJB48153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAANVDKVKRLRGWVQNYDWGRCGAEAQVARLLALNSGAEVKPDRPYAEFWMGTHDSGPSFLADGYGEGQNVGLKEWIRKNPNVLGHKVLEKWGPDLPFLFKVLSVAKALSIQAHPDKELAKELLKLKPNLYKDGNHKPEMALAITEFRALCGFITLEELKGVLDIPEIVELVGNASAKQVLDIDKQDGAEKVKYALRSVFTQLMSASKEMATKSIAKLKSRLHVESQLRCLTEKEQLVLHLEKQYPGDIGVISAFFFNYVKLNPGEALYLGANEPHAYLSGECIECMATSDNVVRAGLTPKHRDIQTLCSMLTYKQGYPDILKGFPLSPYITRYLPPFEEFEVDCCILPKGASTVFPAIPGPSIFLVFLGKGTFHIGSREDIVTEGDVLFAPSNTEITITTSSELQLYRAGVNSRFFHTSL >KJB49586 pep chromosome:Graimondii2_0_v6:8:36805210:36805695:1 gene:B456_008G126600 transcript:KJB49586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGISGTFNFMIVFQAEHNILMHSFHMLGVAGVFGGSLFSAMHGSLVTSSLIKETTEKESANKGYRFGQEEETYNIVAAHGISTMAFNLNGFNFNQFVVDSQGRVINTWADIINRANLSMEVQI >KJB51717 pep chromosome:Graimondii2_0_v6:8:51522469:51524085:-1 gene:B456_008G229300 transcript:KJB51717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLPSLLILLTTLLLTTEATTFIHSRAAYYPNSDEHGTDVGACGFGSFGATINGGDVSAASDLYRNGVGCGDCYQVRCTNSHYCSDKGVTVVITDQGSGPNTDFILSRRAFGRMAQTKDAAASLLALGVVDIEYRRVSCSYPNKNITIKIDENSNYPYYLAFVLWYQQGDKDITAVQLCETQNFVCKLLDRSYGAVWTTTSPPSGPLSLRMLLSGEDGDESWIVPVNNIPENWKAGETYDTGVQIDI >KJB51061 pep chromosome:Graimondii2_0_v6:8:48422212:48424709:1 gene:B456_008G199400 transcript:KJB51061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQVVVEELSSTRQSTDGSITPVSPALANEQPQDENCLLVDSDRIPSHVFAATSTSSPAEWSTASNESLFSIHPTNASFTKDQFNWMSKSGDFGFNCDSLNISSPLMELPRNMLSPEITTRNGSFNKGEGEFGASASAAEAMREVLKDKECQQKDHVATGLSPHSRSLSTHSDASVKSFAFPILTGDADKSGSLTKGTKTKNQQSQHSTKHKETSDNPPETPKLEPSQDILKSQTQKPNRNTGFKRWCTCFSCCPSCS >KJB50272 pep chromosome:Graimondii2_0_v6:8:42874255:42875039:1 gene:B456_008G161600 transcript:KJB50272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCEVVERVIYKRFANEMASRLLARNSCIFESPIQLISLTKGNKDFTSTNSSNLYVPFYSLHCSLFQFITSFPQLCYILLPSPDNQTFKKIMKIQQRSLRHC >KJB52565 pep chromosome:Graimondii2_0_v6:8:54660046:54665464:1 gene:B456_008G267900 transcript:KJB52565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYCEVGKTQNAVDAALNNGIQIYYRTYGHGPIKVLLITGLAGTHDSWGPQIRGLAGTDRANDDETMAVDRESDRANNEVGGIEVCSLDNRGMGRSSVPTKKSDYSTRIMAKDAIALLDHLGWKKAHVFGHSMGAMIACKMAALVPDRILSLALLNVTGGGFECCPKLDRKTLSIAIRFLKAKTPEQRAAVDLDTHYSEEYLEEFVGSDTRRVILYQEYVKGITASGMQSNHGFEGQINACWRHKMTRAEIDLIRSGGFLVSVIHGRQDVIAQINHARRLAEKLQPVARMVEFHGGHLVTHERTEEVNQALLELIKASEMKMSPHDWNNFPKKRSEASNRTTVERETNIIIAKIHVFLVYLISLFMTAFKYGRSSLQRLKPVRVGASSPK >KJB52564 pep chromosome:Graimondii2_0_v6:8:54659970:54665608:1 gene:B456_008G267900 transcript:KJB52564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYCEVGKTQNAVDAALNNGIQIYYRTYGHGPIKVLLITGLAGTHDSWGPQIRGLAGTDRANDDETMAVDRESDRANNEVGGIEVCSLDNRGMGRSSVPTKKSDYSTRIMAKDAIALLDHLGWKKAHVFGHSMGAMIACKMAALVPDRILSLALLNVTGGGFECCPKLDRKTLSIAIRFLKAKTPEQRAAVDLDTHYSEEYLEEFVGSDTRRVILYQEYVKGITASGMQSNHGFEGQINACWRHKMTRAEIDLIRSGGFLVSVIHGRQDVIAQINHARRLAEKLQPVARMVEFHGGHLVTHERTEEVNQALLELIKASEMKMSPHDWNNFPKKRSEASNRTTVERETNIIIAKIHVFLVYLISLFMTAFKYGRSSLQRLKPVRVGASSPK >KJB46987 pep chromosome:Graimondii2_0_v6:8:683406:689685:1 gene:B456_008G004800 transcript:KJB46987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase subunit SCY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31530) UniProtKB/Swiss-Prot;Acc:F4IQV7] MEATLLGSHRLNPSLLSTKPLKIPDGHVARDLRFYHPLRAKAHRTLKMKLVNSSRSHFVLPNRWFRSRTRREWSSNSSDQLRSDYVNVKSSSSESLNLEVVPSSSDDGADISDYNVVNNIETSHVRPKYFRNRFLNFVRLSSVLNNAAESFFKSEIRRRLFVTAILLVISRIGYFIPLPGFDRRLIPQDYLSFASGSVDDLGDFSAELKLSFFQLGISPQIIASIIMQVLCHVVPSLVKLRKEGLDGHEKIKSYIWWISLGFAIVEAVIVACYSLQYSIYAASYRVKHVMVTAFLLVCGAMTMTWICDTISESGFGQGSSLIICVGILTGYTDTLYKMLTQLSGSAVGWWPYMLAVLGVFTVVTMWAVVVTEGCRKIKLQYYGFKLASAAREDSPITEVEPYIPFNINPSGMQPVLTTTYLLAFPSIVASILGSPFWEHVKEILNPATSVGAEPWVYYSIYAFFVFLFNIFDIANLPKEIADYLNKMGARIPNIKPGKATIEYLTKIQASTRFWGGLLLSILATTSTILDHYLRHINEGFAIGFTSILIIVGSIIELRRSYQAYNVMPSLSKALRRYGV >KJB46988 pep chromosome:Graimondii2_0_v6:8:683476:689685:1 gene:B456_008G004800 transcript:KJB46988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase subunit SCY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31530) UniProtKB/Swiss-Prot;Acc:F4IQV7] MEATLLGSHRLNPSLLSTKPLKIPDGHVARDLRFYHPLRAKAHRTLKMKLVNSSRSHFVLPNRWFRSRTRREWSSNSSDQLRSDYVNVKSSSSESLNLEVVPSSSDDGADISDYNVVNNIETSHVRPKYFRNRFLNFVRLSSVLNNAAESFFKSEIRRRLFVTAILLVISRIGYFIPLPGFDRRLIPQDYLSFASGSVDDLGDFSAELKLSFFQLGISPQIIASIIMQVLCHVVPSLVKLRKEGLDGHEKIKSYIWWISLGFAIVEAVIVACYSLQYSIYAASYRVKHVMVTAFLLVCGAMTMTWICDTISESGFGQGSSLIICVGILTGYTDTLYKMLTQLSGSAVGWWPYMLAVLGVFTVVTMWAVVVTEGCRKIKLQYYGFKLASAAREDSPITEVEPYIPFNINPSGMQPVLTTTYLLAFPSIVASILGSPFWEHVKEILNPATSVGAEPWVYYSIYAFFVFLFNIFDIANLPKEIADYLNKMGARIPNIKPGKATIEYLTKIQASTRFWGGLLLSILATTSTILDHYLRHINEGFAIGFTSILIIVGSIIELRRSYQAYNVMPSLSKALRRYGV >KJB50916 pep chromosome:Graimondii2_0_v6:8:47689416:47689724:-1 gene:B456_008G193000 transcript:KJB50916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSVNTRGFILVSCIALLVFQTEIVSAMRGIDLALKWDKGLSPLVENSRILRAVGSVDSLQTPPSLAPAPSIMFDPNRSNKRSVKKGSDPIHNRC >KJB47328 pep chromosome:Graimondii2_0_v6:8:2430283:2432737:-1 gene:B456_008G021400 transcript:KJB47328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDSPLSSQLGPPACERDAKALHFIEETTSHADSVQDRVLTEILTRNSQTEYLTRFKLNGATDRETFKSKLPIITYEDLQPEIHRIANGDRSAILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRRLLYSLLMPVMNLYVPGLERGKGLYFLFVKSETRTPGGLLARPVLTSYYKSDHFKTRPYDPYNVYTSPNEAILCPDSFQSMYAQMLCGLLQRQQVLRVGAVFASGLLRAIRFLQLNWPQLTQDIEIGALNPKLTDPSLREYMDKILKPNPELAECVRHECSKDNWEGIIARIWQNTKYLDVIVTGAMAQYIPTLDYYSGGLPLACTMYASSECYFGLNLNPMCKPSQVSYTIMPNMAYFEFLPHEPDSFGFPRDSPPKVVDLVDVEVGKEYELVITTYAGLYRYRVGDILRVTGFHNSAPQFHFVRRKNVLLSIDSDKTDEAELQKAVENASRLLREFNTSVVEYTSYADTRTIPGHYVIYWELLGKDSANSPTDEVLKQCCLAMEESLNSVYRQGRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVNFTPIMELLDSRVVSSHFSPASPLWTPERRR >KJB49472 pep chromosome:Graimondii2_0_v6:8:35822322:35830585:1 gene:B456_008G120900 transcript:KJB49472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADGSSLDCAKTLEKLEPALVDLDPFSEGLFLKSEPDKIRFWFNKFLGLFLKEVCAQGCYRPLPPLLADGQPVDLFKLFLVVSENGGYNAVSKSGLWDLVAKESGFDLNVASSLKLVYVKYLVSLERWLEQFFQSEDSKIESNCSGHLMELGAELKGFLLESKKKVMEYSQVEESIVAGSDGGDKCVKGEESMRIDLTKRVLRYEEVENLQNDDDLKSTLVENLQNDDDLKSTVVDSECEKRFILIDVDDMPSDMAKSATNSMCNEDEDCVECKQYTDIVDDDDVMILDSNSIKENFSSHKRKRDSTWEMLNWVNEVAKNPCALVVGSLPESSKWTAYGSEELWKQVLLFREAAFGRKDDSSSAGQSNLQKNQKMHPFMYDDDNGKFGYNLRERLSCTRKVFFGKLTSKGQDRSQPSSSGNHSDLDGSTIGISKHLHGICDSATPGSVFDYDVDIQVPIGPLFQVEVPEWTGVVSESDSKWLGTRVWPLEKKENRTFIELDRIGKGRPDSCGCHIQNSIQCVRFHVSQKRMKIKLELGSAFNKWNFDQMGEYVAIAWGEEEKSMFSSIIKSNSPSLDKSFWDEIYKYFRNKSREELVCYYYNAFLLQRRANQNRTTPSNINSDDEEPEEESESVSKGSKREAIKPYTSILISPKKSHKRTRYSS >KJB52192 pep chromosome:Graimondii2_0_v6:8:53393691:53398523:1 gene:B456_008G250000 transcript:KJB52192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPARKAMASLSNSLQLKHGLTSWCPGSSSLTRRLPSSSIRFRSFVVAASSFSNDNREFVIVGGGNAAGYAARTFVEHGMADGKLCIVSKEAYAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPEWYKEKGIEMIYEDPVTAIDTEKQTLTTNSGKLLKYGSLIIATGCTASRFPDKIGGNLPGVHYIRDVADANSLISSLEKAQKVVIVGGGYIGMEVAAAAVAWKLDTSIIFPENQLLQRLFTPSLAQRYEELYKEYGVKFLKGASIKNLEAGPDGRVAAVKLGDGSTVEADMVVIGIGAKPAVSPFEVVGLNNTVGGIQVDGLFRTSVPGIFAVGDVAAFPLKMYDRVARVEHVDHARRSAQHCVKSLLSAQTHTYDYLPYFYSRVFEYEGSPRKVWWQFFGDNVGETVEIGNFDPKIATFWIDSGKLKGVLLESGNAEEFKLLPELARNQPSIDKAKLEKASLVEEALEIAKASLQVVQKA >KJB52194 pep chromosome:Graimondii2_0_v6:8:53394100:53398232:1 gene:B456_008G250000 transcript:KJB52194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSNSLQLKHGLTSWCPGSSSLTRRLPSSSIRFRSFVVAASSFSNDNREFVIVGGGNAAGYAARTFVEHGMADGKLCIVSKEAYAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPEWYKEKGIEMIYEDPVTAIDTEKQTLTTNSGKLLKYGSLIIATGCTASRFPDKIGGNLPGVHYIRDVADANSLISSLEKAQKVVIVGGGYIGMEVAAAAVAWKLDTSIIFPENQLLQRLFTPSLAQRYEELYKEYGVKFLKGASIKNLEAGPDGRVAAVKLGDGSTVEADMVVIGIGAKPAVSPFEVVGLNNTVGGIQVDGLFRTSVPGIFAVGDVAAFPLKMYDRVARVEHVDHARRSAQHCVKSLLSAQTHTYDYLPYFYSRVFEYEGSPRKVWWQFFGDNVGETVEIGNFDPKIATFWIDSGKLKGVLLESGNAEEFKLLPELARNQPSIDKAKLEKASLVEEALEIAKASLQVVQKA >KJB52193 pep chromosome:Graimondii2_0_v6:8:53393708:53398488:1 gene:B456_008G250000 transcript:KJB52193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPARKAMASLSNSLQLKHGLTSWCPGSSSLTRRLPSSSIRFRSFVVAASSFSNDNREFVIVGGGNAAGYAARTFVEHGMADGKLCIVSKEAYAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPEWYKEKGIEMIYEDPVTAIDTEKQTLTTNSGKLLKYGSLIIATGCTASRFPDKIGGNLPGVHYIRDVADANSLISSLAQKVVIVGGGYIGMEVAAAAVAWKLDTSIIFPENQLLQRLFTPSLAQRYEELYKEYGVKFLKGASIKNLEAGPDGRVAAVKLGDGSTVEADMVVIGIGAKPAVSPFEVVGLNNTVGGIQVDGLFRTSVPGIFAVGDVAAFPLKMYDRVARVEHVDHARRSAQHCVKSLLSAQTHTYDYLPYFYSRVFEYEGSPRKVWWQFFGDNVGETVEIGNFDPKIATFWIDSGKLKGVLLESGNAEEFKLLPELARNQPSIDKAKLEKASLVEEALEIAKASLQVVQKA >KJB48949 pep chromosome:Graimondii2_0_v6:8:25601456:25602578:1 gene:B456_008G094800 transcript:KJB48949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYSVVTDKVSMEIVEEFILDNLETLLQEDIQADLVYCLQHLQLRFETEDKARRLLSNVSFLLKPGGYFFSITPEKVIAKNCCFWIYVFSSFFSRVYYCTCF >KJB52999 pep chromosome:Graimondii2_0_v6:8:56303344:56305224:1 gene:B456_008G287800 transcript:KJB52999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINRNDGNSLKCNLDINEWSKISSTLQFISLIHFNYSPPQFNLLIFFSFRIMPLSTIISFMLNIEAAKVPICLSMKRYMKRKKYHKLKRVASTRGTSRHGDDENETVLMLGERRRKGMIKGKVKVPLRKWRDAYVETMLSFAGHVAQLNNGNVYLLRGFQMPEC >KJB47060 pep chromosome:Graimondii2_0_v6:8:1023416:1029618:-1 gene:B456_008G008900 transcript:KJB47060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFKAFGPAGGGALFSWAQERQVVSFLPGDQMVFFALNVVQFIGLLLTFKPFLAEPYQREYHQQLFF >KJB47297 pep chromosome:Graimondii2_0_v6:8:2266932:2268166:-1 gene:B456_008G019800 transcript:KJB47297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLASECSSGCESGWTSYLEQSFLSGNISHKSSGFCDERGKYKGKEEVVDEEEDLSMVSDASSGPPHLNVDNGYFNDDNHYQYTLPKGATLNKNGGTKRHRKKEHQRQREDHQELPSLLDDTASSPLIKNNFAHTNNHASVEESVLHYPQGFSATHFQGGPAFQHHFGFLQSSPTGNYLQQGHRWF >KJB47301 pep chromosome:Graimondii2_0_v6:8:2267264:2268166:-1 gene:B456_008G019800 transcript:KJB47301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLASECSSGCESGWTSYLEQSFLSGNISHKSSGFCDERGKYKGKEEVVDEEEDLSMVSDASSGPPHLNVDNGYFNDDNHYQYTLPKGATLNKNGGTKRHRKKEHQRQREDHQELPSLLDDTASSPLIKNNFAHTNNHASVEESVLHYPQGFSATHFQGGPAFQHHFGFLQSSPTGNYLQQGHRLSDDFLLIPFLGYIF >KJB47299 pep chromosome:Graimondii2_0_v6:8:2267451:2268081:-1 gene:B456_008G019800 transcript:KJB47299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLASECSSGCESGWTSYLEQSFLSGNISHKSSGFCDERGKYKGKEEVVDEEEDLSMVSDASSGPPHLNVDNGYFNDDNHYQYTLPKGATLNKNGGTKRHRKKEHQRQREDHQELPSLLDDTASSPLIKNNFAHTNNHASVEESVLHYPQGFSATHFQVVPPNFNLYFPVFFFYWKFVDNH >KJB47298 pep chromosome:Graimondii2_0_v6:8:2266878:2268569:-1 gene:B456_008G019800 transcript:KJB47298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLASECSSGCESGWTSYLEQSFLSGNISHKSSGFCDERGKYKGKEEVVDEEEDLSMVSDASSGPPHLNVDNGYFNDDNHYQYTLPKGATLNKNGGTKRHRKKEHQRQREDHQELPSLLDDTASSPLIKNNFAHTNNHASVEESVLHYPQGFSATHFQGGPAFQHHFGFLQSSPTGNYLQQGGFKEIRERSSGEMR >KJB47300 pep chromosome:Graimondii2_0_v6:8:2266960:2268166:-1 gene:B456_008G019800 transcript:KJB47300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLASECSSGCESGWTSYLEQSFLSGNISHKSSGFCDERGKYKGKEEVVDEEEDLSMVSDASSGPPHLNVDNGYFNDDNHYQYTLPKGATLNKNGGTKRHRKKEHQRQREDHQELPSLLDDTASSPLIKNNFAHTNNHASVEESVLHYPQGFSATHFQGGPAFQHHFGFLQSSPTGNYLQQGHRLSGFKEIRERSSGEMR >KJB52479 pep chromosome:Graimondii2_0_v6:8:54382517:54384839:1 gene:B456_008G263900 transcript:KJB52479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKQEQQPPAEEKTEDKVEEKPAEENKEETPPPPPPPPPPFVLFVDLHCVGCAKKIEKTIMKIRGVEGVVIDMAQNQVTIKGIIEPQAICAKIMKKTKRRAKVLSPLPAAEGEPIPEVVTSQVSGLTTVELNVDMHCQACAEQLRKKILKMRGIQSAVTEHSTGKVTVTGTMDANKLVDYVYRRTKKKARIVPQPEPEPQPEPEKQEEKKEGEEKPSEEAKPEENPDKKEEEKPAAEEEAKEEGNNEATGETKEGEDKKEDNNGSNNEDESMKKMIYYYQPLYVIERMPPAPQLFSDENPNACCIS >KJB52480 pep chromosome:Graimondii2_0_v6:8:54383451:54384834:1 gene:B456_008G263900 transcript:KJB52480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNQVTIKGIIEPQAICAKIMKKTKRRAKVLSPLPAAEGEPIPEVVTSQVSGLTTVELNVDMHCQACAEQLRKKILKMRGIQSAVTEHSTGKVTVTGTMDANKLVDYVYRRTKKKARIVPQPEPEPQPEPEKQEEKKEGEEKPSEEAKPEENPDKKEEEKPAAEEEAKEEGNNEATGETKEGEDKKEDNNGSNNEDESMKKMIYYYQPLYVIERMPPAPQLFSDENPNACCIS >KJB52481 pep chromosome:Graimondii2_0_v6:8:54383729:54384834:1 gene:B456_008G263900 transcript:KJB52481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCQACAEQLRKKILKMRGIQSAVTEHSTGKVTVTGTMDANKLVDYVYRRTKKKARIVPQPEPEPQPEPEKQEEKKEGEEKPSEEAKPEENPDKKEEEKPAAEEEAKEEGNNEATGETKEGEDKKEDNNGSNNEDESMKKMIYYYQPLYVIERMPPAPQLFSDENPNACCIS >KJB48780 pep chromosome:Graimondii2_0_v6:8:19450938:19451501:1 gene:B456_008G086900 transcript:KJB48780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTITKAYISSDGGWFMDVFNVVDNDGNKIRDKEVMDYIQSVRTYLILIVQSRII >KJB49102 pep chromosome:Graimondii2_0_v6:8:30029190:30029988:-1 gene:B456_008G101000 transcript:KJB49102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSISTTAVDTSCRSPVSKPRAEPIKGYPVSLLQIYWLGFFTINPKSRKSQSNVSKKTIFGLALTTARSSCNLDQAFLSCQLNPSLFKLNHTEKNIKLLG >KJB46967 pep chromosome:Graimondii2_0_v6:8:2226052:2227662:1 gene:B456_008G019300 transcript:KJB46967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRILNPVFSSISISQSSCPGKSDFRKKILNFHSCRSFRSLHRQRSRIYCATQEGDNKSNGEEPPESLFMKELKRRGMTPTSLLEDAKRSNYELNEDMKIGKEMGSSTTRNAVSTEFEKSLSNQRERSMELNSEGLEGLVPRAKLLLTLGGTFFLAFWPLILLTIAFFSALYLVSSLSFTLGFCYH >KJB46966 pep chromosome:Graimondii2_0_v6:8:2225801:2228328:1 gene:B456_008G019300 transcript:KJB46966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRILNPVFSSISISQSSCPGKSDFRKKILNFHSCRSFRSLHRQRSRIYCATQEGDNKSNGEEPPESLFMKELKRRGMTPTSLLEDAKRSNYELNEDMKIGKEMGSSTTRNAVSTEFEKSLSNQRERSMELNSEGLEGLVPRAKLLLTLGGTFFLAFWPLILLTIAFFSALYLYFGPSFIHNGNKTSVSLPQYIDPYELLEDERISETAPRLN >KJB50851 pep chromosome:Graimondii2_0_v6:8:47206048:47207489:-1 gene:B456_008G189800 transcript:KJB50851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRLTHRRSVPVPEPNPSSHPLDPTLQKSPKPTITTHPTTRSSDPLMASKKPFFITNRFSRLNLNHKTQKPTNTQHSRDIHLQAKALTVSADADSSMFSLIKANHLLAHEKAKESLKESVRKASKDLGRKKQQQQQHHQSSVFDSKKLALTVKEKQLKKRDEGHDNDDMKKASAPLGRRRSLGGSQVELGDILANCGVKIVSVDMPPFMQIHAVDCARKTHDSLEKFTSKALALTLKKVILMVSMDRHGIVLWVRVLGLL >KJB50850 pep chromosome:Graimondii2_0_v6:8:47206006:47207492:-1 gene:B456_008G189800 transcript:KJB50850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRLTHRRSVPVPEPNPSSHPLDPTLQKSPKPTITTHPTTRSSDPLMASKKPFFITNRFSRLNLNHKTQKPTNTQHSRDIHLQAKALTVSADADSSMFSLIKANHLLAHEKAKESLKESVRKASKDLGRKKQQQQQHHQSSVFDSKKLALTVKEKQLKKRDEGHDNDDMKKASAPLGRRRSLGGSQVELGDILANCGVKIVSVDMPPFMQIHAVDCARKTHDSLEKFTSKALALTLKKEFDGVYGPAWHCIVGTSFGSFVTHSVGGFLYFSMDQKLYVLLFKTSVQRAD >KJB51210 pep chromosome:Graimondii2_0_v6:8:49164655:49166381:1 gene:B456_008G206500 transcript:KJB51210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKNKNQVFSHGESKGIMESEIKESPFVSMPKSPIQSSRPSSMVVKKAHTVIPAHIVAEAISTLHGLDLRWSGPITPTERDYVEQYVLAKYPQYAGQVELENIDLSSLCINEESSEAAIDDKKKSPRGNSRESSSPFFGSNHPDLDRIQLEPSRLLDILTKKSSFPGSFISIPEIQARNKVLKHCGLPDDDYLVLFTPNYKDAMMLVGESYPFFKGNFYMSIIGEELDYVKEFASYKESKVILAPETWLDLRIKGSQLSQYFRKKCKHSPKGLFSYPVDVNGMRYSMHWISEAHRNSWHVLLDATGLVVGQDRLNLALHRPDFVLCSLENTHAQPARITCLLVRKKSFDTTTSSSQMSE >KJB50068 pep chromosome:Graimondii2_0_v6:8:40920621:40921913:-1 gene:B456_008G151900 transcript:KJB50068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLVDSFRVSPPPGSVPTISVPLTYFDIPWVPNPPVQRLFFYEFPHPTSHFIETTLPMLKRSLSLTLQHFFPYAANIMCPQPPGKPYIHYMEGDFVAFTVAESAADFNHVIANYPRPVKLLHPFVPQLPSEHVTEDGSRVLPILAFQVTVFPNFGICIGSTYCHAVGDGKSGGDLTCLENSLPCIKRDIIKDPGGIELVLLKNYWDWVSSSGENSASTQDIAADKVRATFVLGRVHAERLKRLVTAQCRSSVDTEQYHISTFVVTCAYIWVCLIKSKESVASNLSHDNSDDELYYFLFPYDSRNRLEFWVPTTYLGNCLKPCAIEIKKREVLGESGIILAAKAIGKGIKEMERSDKRIGTMTGRFTVVAGSPKLQVYETNFGWGRPCKVELTHIDYDGAISLAECRDGESGVEVV >KJB51860 pep chromosome:Graimondii2_0_v6:8:52112344:52113747:1 gene:B456_008G235000 transcript:KJB51860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIMQSTDSSGDAMMDHPAVDNNIFDKNKIIGCMYLMAAVLVLSSSVVLQAATLGDFPAPMSLCAITSFIGLVITSIVQLFKNHDAEWGWPLVSVLDLVGYSLLGGAVSGACVSFNGWAMKKRGPVLVSMFCPIGTVITVVLSFITLGQTISLGSLAGMFLMFIGLYFVLWAKGKEIYSVGDGFGSEFDPEKPLLS >KJB51858 pep chromosome:Graimondii2_0_v6:8:52109756:52113747:1 gene:B456_008G235000 transcript:KJB51858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYLKRDVIEDVVIIGGLIGVQFVNAGNSVLLGYLMSLGLSPFTIVICFTLATFIILSPFAFYFERSLWPNRLTLKLIIQLVFISFGGVTLFQFLYLKGINLTSPAVATAMPNLAPGLIFIIAWIFRVEKVVLTYLYSKIKIVGTFVCVAGAVTMSIMQSTDSSGDAMMDHPAVDNNIFDKNKIIGCMYLMAAVLVLSSSVVLQAATLGDFPAPMSLCAITSFIGLVITSIVQLFKNHDAEWGWPLVSVLDLVGYSLLGGAVSGACVSFNGWAMKKRGPVLVSMFCPIGTVITVVLSFITLGQTISLGSLAGMFLMFIGLYFVLWAKGKEIYSVGDGFGSEFDPEKPLLS >KJB51859 pep chromosome:Graimondii2_0_v6:8:52109756:52113747:1 gene:B456_008G235000 transcript:KJB51859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYLKRDVIEDVVIIGGLIGVQFVNAGNSVLLGYLMSLGLSPFTIVICFTLATFIILSPFAFYFERSLWPNRLTLKLIIQLVFISFGGVTLFQFLYLKGINLTSPAVATAMPNLAPGLIFIIAWIFRVEKVVLTYLYSKIKIVGTFVCVAGAVTMSIMQSTDSSGDAMMDHPAVDNNIFDKNKIIGCMYLMAAVLVLSSSVVLQAATLGDFPAPMSLCAITSFIGLVITSIVQLFKNHDAEWGWPLVSVLDLVGYSLLGGAVSGACVSFNGWAMKKRGPVLVSMFCPIGTVITVVLSFITLGQTISLGSLAGMFLMFIGLYFVLWAKGKEIYSVGDGFGSEFDPEKPLLS >KJB50940 pep chromosome:Graimondii2_0_v6:8:47802789:47804276:1 gene:B456_008G194000 transcript:KJB50940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVTTQASAAVFRPCMSKTRFLTGNSGKLNREVCFKSMSSSSISSFKVEAKKGEWLPGLPSPAYLTGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLLPEVFTKIGLINAPQWYDAGKSEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKQYSLPPHECGYPGGIFNPLNFSPTLEAKEKELANGRLAMLAFLGFIIQHNVTGKGPFDNLLQHLSDPWHNTIINTIRGY >KJB48779 pep chromosome:Graimondii2_0_v6:8:19402168:19403979:1 gene:B456_008G086800 transcript:KJB48779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKDDFLFIFSCMLAISLSSSILGRPATFLEDFGITWSDSHIKQIDGGRAIQLILDQNSGCGFASKRKYLFGHISMKIKLISGDSAGTVTAFYMNSDTNTIRDELDFEFLGNRTGQPYTVQTNIYTHGKGDREQRVNLWFDPAADFHTYTIMWNHHHIVFYVDEVPIRVYKNNEAINILYPKLQPMGVYSTLWEADDWATRGGLEKIDWSKAPFLAYYKDFDIEGCAVPGPTSCASNPRNWWEGTAYHALNAKEARRYRWVRLNHMIYDYCTDKSRYPVPPRECLAGI >KJB52942 pep chromosome:Graimondii2_0_v6:8:56031117:56031462:-1 gene:B456_008G284400 transcript:KJB52942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPKVPFMAATGMSRLAKMASWSLRICFAIDGPWILDCSVTYWRKSGLMKRRWPSIGRPIGPGGGSGEGLVLMMSFLTNREK >KJB51180 pep chromosome:Graimondii2_0_v6:8:49026157:49029075:1 gene:B456_008G205000 transcript:KJB51180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTNAYKNKNSSRDCPDSLIFFASAFRLLLLLLSGRVDVRRRIDVEKLNRGHVHANPVKNGYNYPSNNKDKFDLGPRRCGLDDVARVKNDVKQMLDDGVQQPPEKKRKFSPIVWDLEDKEGRFSSKNRDVVTTSILSSPSSPSKVSNTVSNQVYKHQPFSANVIKEVEHMVSKSLVSDFGAALSPSLPDQQCLDDEQEPELIGKENVRAPNIFTSRWASDSDDEDGSLTEDKISRSSSLESGELEREELEVDRAQSDQRSSSVLSACEDGGMECKLDSGDVMDIDDMRDEDAVVDQSDLGVEEPAGGCMNMLHGCRSVFEYEKLNKISEGTYGIVYRAKDKMTGEIVALKKVKILDRRDLEEYGFPVTSLREINILASFHHPSIVKAREVVVDDHDNVYMVMEYMEHDLKWLMDSMKRRFSTSDVKCLILQLLEGVKYLHDNWVLHRDLKTSNLLLNNQGELKICDFGMARQYGSPLKPYTTKVVTQWYRAPELLLGAKKYSTAVDMWSVGCIMAELLAKEPLFKGTSEIDQLRKIFDTLGVPSEKIWPGFSELPGSKANYSKQPYNLLRKKFPAASFTGSAVLSDAGFDLLNRLLTYDPEKRITADDALNHDWFRELPLPKSKDFLPTFPPKV >KJB46745 pep chromosome:Graimondii2_0_v6:8:8240022:8240189:1 gene:B456_008G055700 transcript:KJB46745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFARLSLICPWIQQQFERLTYSGISESTLIFNSSKHFVAYYALSHLWVPKYPP >KJB50435 pep chromosome:Graimondii2_0_v6:8:44544216:44548146:-1 gene:B456_008G170800 transcript:KJB50435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPNETGCQSIAPFLRKCYEMVDDEATNSIISWTQNNDSFVIWDMTEFSVRLLPQYFKHSNFSSFIRQLNIYGFRKIDTDRWEFANDGFVRGQKDLLKNIARRKYSQGPEQRKSLQQQQQPENSVGSFENNENNRLWNEVENLKTDKNALTQELVKLGQYQQIADNKLLLLKDRLQGMEKSQQQLLSFLIMAMQSPGFLVQLIQPKENNWRMTEANNMLEQVSEDTEPVASEHMIVRYQPPTIETSKPVLTPIIDSENPHESETKDFWMDIDFVKALMDESHTPFLPPDLHDDGAWEKLLANDFQGNNDDDGNRDEDGSLYSGMGMEITGSGALLEKTCSFELLLQNYEEITKSGD >KJB48366 pep chromosome:Graimondii2_0_v6:8:10464427:10466498:-1 gene:B456_008G065000 transcript:KJB48366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLKELPSMSTILAAYASLSAMAMLIRTILNEMIPKPMQRFINTKFSNLVSKYLSSNFTFIIEERWQAVYNETFRAVEVYLPTRIGPSTDKLLLGSNDINNPTSPPKRKIPSDCKIIDEFEGITLEWTLHVKESEKYYIPDKKTYHLTCKKRVRELVEQKYFPHIAKTAQTILSKREKLNIYTYNQDRSRWESAVFKHPARFETLAMEPELKQFIMDDLDSFVGRKDFFENVGRAWKRGYLLFGPPGTGKSSLVAAIANYLNYNVYDLQFQSVRNDADLRRILTSTTNRSILLIEDIDCSTKISKDRGKLKNDREKEGEVGRTDRPSAIDPGVTLSGLLNFIDGLWSSCGNERIIIFTTNHKEKLDPALLRPGRMDVHIHMGYCTPTGFRKLATTYLGIKDDKLFGPIDDLIKVVEVTPAEVAQQLMIKSDDPEAALHGLITYLNTKKDKVGEDVIQQEEEAKDENNKNDGNQTKEPDESKTGCIYLS >KJB48712 pep chromosome:Graimondii2_0_v6:8:18488470:18489461:1 gene:B456_008G085200 transcript:KJB48712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTVPTMESLECDWDCSQLFSTIQSVGPAGSGSASSEPNQTHSNSGLDESNLKLSIIEERKRRRMMSNRESARRSRMRKQKHLENLRNLVNQLRIENRELTSRLRFLLYHCHRVRTDNNSLRSEYTVLRQKLSDMQQILLFKQLQQFSSTWPYNNVPVMSEQTPPPLII >KJB49032 pep chromosome:Graimondii2_0_v6:8:28227145:28231156:1 gene:B456_008G098800 transcript:KJB49032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSFFHLLCYITIIFAEVDAVNEGASKSKSKLRLFVFGDSYVDTGNWRKSIGSSWKEPYGITFPGKPSGRFSDGRVLTDYIASYLGIRTPIAYKWRKEVKRSNLEYGMNFAYGGTGVLDTLANQPNMTTQIDFFQRLVEQKVYGKQDLDNSVGLISVAGNDYATYLARNTHDLQKLGDFTKKIMDQLEINIDRIRGLGVKRIAVTLIEPMGCIPLQAASSSYSNCTQALNLGSKFHNQMLNQSLQNLNAKDNSTAFITLDLYDAFFSALNKFTTHAPGSLGLKPCCEGKEMGKYYCGSVDESGAKLYTICENPNLSFFWDTLHPSQNGWHSIFSSLRSSLHKLTT >KJB49033 pep chromosome:Graimondii2_0_v6:8:28227145:28231156:1 gene:B456_008G098800 transcript:KJB49033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSFFHLLCYITIIFAEVDAVNEGASKSKSKLRLFVFGDSYVDTGNWRKSIGSSWKEPYGITFPGKPSGRFSDGRVLTDYIASYLGIRTPIAYKWRKEVKRSNLEYGMNFAYGGTGVLDTLANQPNMTTQIDFFQRLVEQKVYGKQDLDNSVGLISVAGNDYATYLARNTHDLQKLGDFTKKIMDQLEINIDRIRGLGVKRIAVTLIEPMGCIPLQAASSSYSNCTQALNLGSKFHNQMLNQSLQNLNAKDNSTAFITLDLYDAFFSALNKFTTHAPAGSLGLKPCCEGKEMGKYYCGSVDESGAKLYTICENPNLSFFWDTLHPSQNGWHSIFSSLRSSLHKLTT >KJB52441 pep chromosome:Graimondii2_0_v6:8:54241470:54243987:1 gene:B456_008G2619002 transcript:KJB52441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGATSQKVHPDNALFCAHLHPSLFLGRPTMQVAISLHLNLPLVVQPSFASQTGSSIFGGSSTEAFGAAQSSSPFLSTTAFGASSSPAFGSSVPAFGSSSSPAFGSSSASFGGSSVFGQKPAFGFGSTPTQSSPFGITACIWFPDTISQSAFGSSTSAFGAASTPAFGVTSTPAFGSTGSSAFGSTGTAFGVSNSPVFGTVGTFGASSAPAFGTSLTHAFGASNSAFGTSKTPVFGVSNSAFGVSDTPTFGSSSTPLFSSGSSPAFGQSTPAFSSSPFGTTTIGAQSSFGPQSSAPAFGQSTFGAQRGGRRVASYTPTIDADSSIGTQMFESISAMPVYKDKSHEELRWEDYQLGDK >KJB49112 pep chromosome:Graimondii2_0_v6:8:34118165:34119189:-1 gene:B456_008G110100 transcript:KJB49112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVKKVLTLLSTGESWYKNFRYKEKEDKPGDVRNIMLIVATLIASVTFQAGVNPPGGVWQDGVRAGRAIYASQPGDYCVFLIANTLSLSASMFVITSLTHGFPFQLEIVIANISMIFTYGSAIFAVTPKESVRFRYVILAAAVPILLRCLIQLFNVVFNNKKSGPQTPEEI >KJB49701 pep chromosome:Graimondii2_0_v6:8:38109938:38112251:1 gene:B456_008G134100 transcript:KJB49701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSINMCICIKCGCFSCKNTSGERDEKAGAADCVDIMLAILLPPAGIYKKEGCTGRFWANVVLTVLGIAPGSIHAVICISGK >KJB49702 pep chromosome:Graimondii2_0_v6:8:38110026:38112301:1 gene:B456_008G134100 transcript:KJB49702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCICIKCGCFSCKNTSGERDEKAGAADCVDIMLAILLPPAGIYKKEGCTGRFWANVVLTVLGIAPGSIHAVICISGK >KJB46848 pep chromosome:Graimondii2_0_v6:8:44790442:44791106:1 gene:B456_008G172700 transcript:KJB46848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTCSLLDLDCRVRFALLRTDEVDGDGYHLGLKRLRLRRLGHYQNRDPSKASPIAGGKRRIEWILGKSKMESKQSFKESFFHQIDCRGLHFSRIGNFSPKATAMAWRRL >KJB51880 pep chromosome:Graimondii2_0_v6:8:52169837:52171298:-1 gene:B456_008G235900 transcript:KJB51880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHGGLNILPQKRWNVYNYENREKVRKDEEAAAKEEQLKREQVRKRDAEFRLEQLRAARGLAPLNKPGSSEPAAAATEPEEAVEPEPKSGHINLFEGIKIFDPIKSSGKEETAEKDGFKRKKLKKEEAAPKVVAPEDEKYRLGYGIAGKGVKLPWYLERRNADVEEGSSNDGSDRVRKDEGRKTGKKTIEELREERLKRERREKERERALFLEKNKTNRTVKDTRFSRR >KJB51096 pep chromosome:Graimondii2_0_v6:8:48653985:48654893:1 gene:B456_008G200900 transcript:KJB51096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILHYNKLSKVFYCLSEKCLMSNPRKDQVENQQAKRNFHNFCCLKQRPRENNVNIPTIKGLYCPSDKCLMNNP >KJB48682 pep chromosome:Graimondii2_0_v6:8:15788467:15789743:1 gene:B456_008G080800 transcript:KJB48682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHFVNLLVFLSFSLSFCLFPGTVSAQLRQNFYANSCSNVEAIVRGEVAKKFSQTFVTVPATLRLFFHDCFVQGCDASVMIASTGSNKAEKDHPDNLSLAGDGFDTVIKAKAAVDAVPSCRNKVSCADILALATRDVIAMSGGPSYAVELGRLDGLSSTAASVNGKLPHPTFNLNQLNSLFAANGLSQTDMIALSAAHTLGFSHCDKFSNRIYNFSRQNAVDPTLNKDYATQLQQMCPRNVDPRIAINMDPNTPRTFDNVYFQNLQKGQGLFTSDQVLFTDTRSRPTVDAWASNSQAFNQAFITAMSKLGRLGVKTGRNGNIRRNCAAFN >KJB48681 pep chromosome:Graimondii2_0_v6:8:15787962:15789978:1 gene:B456_008G080800 transcript:KJB48681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSISAQLRQNFYANSCSNVEAIVRGEVAKKFSQTFVTVPATLRLFFHDCFVQGCDASVMIASTGSNKAEKDHPDNLSLAGDGFDTVIKAKAAVDAVPSCRNKVSCADILALATRDVIAMSGGPSYAVELGRLDGLSSTAASVNGKLPHPTFNLNQLNSLFAANGLSQTDMIALSAAHTLGFSHCDKFSNRIYNFSRQNAVDPTLNKDYATQLQQMCPRNVDPRIAINMDPNTPRTFDNVYFQNLQKGQGLFTSDQVLFTDTRSRPTVDAWASNSQAFNQAFITAMSKLGRLGVKTGRNGNIRRNCAAFN >KJB48683 pep chromosome:Graimondii2_0_v6:8:15787962:15789978:1 gene:B456_008G080800 transcript:KJB48683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHFVNLLVFLSFSLSFCLFPGTVSAQLRQNFYANSCSNVEAIVRGEVAKKFSQTFVTVPATLRLFFHDCFVQGCDASVMIASTGSNKAEKDHPDNLSLAGDGFDTVIKAKAAVDAVPSCRNKVSCADILALATRDVIAMSGGPSYAVELGRLDGLSSTAASVNGKLPHPTFNLNQLNSLFAANGLSQTDMIALSAAHTLGFSHCDKFSNRIYNFSRQNAVDPTLNKDYATQLQQMCPRNVDPRIAINMDPNTPRTFDNVYFQNLQKGQGLFTSDQVLFTDTRSRPTVDAWASNSQAFNQAFITAMSKLGRLGVKTGRNGNIRRNCAAFN >KJB52867 pep chromosome:Graimondii2_0_v6:8:55757568:55758448:-1 gene:B456_008G280800 transcript:KJB52867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASNFLICSAHLFHFLELKNLTKLFHSQHKMGCKFISLEPPILYQIPVRTTPPCFRTKAIAFSSYAPNKGEPVSTKLPLSKTNDVYSVSFKTLGACKLGISRYPDFEYNAQGGTGTGTGSKVADSDELSVSFDVKTLYIPPLASSTTKFLGLPLPPFLKIHIVPQLFQGNIDQESGKVDLEFLAKFCFSVGSIYEAPPLVVKTVLTSDESKGKMRSGRGERLDEEGNCKLVGVAFVEPIDDVFMNTFLSLPTECLAKLNAVITLSKSS >KJB52866 pep chromosome:Graimondii2_0_v6:8:55757269:55758578:-1 gene:B456_008G280800 transcript:KJB52866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASNFLICSAHLFHFLELKNLTKLFHSQHKMGCKFISLEPPILYQIPVRTTPPCFRTKAIAFSSYAPNKGEPVSTKLPLSKTNDVYSVSFKTLGACKLGISRYPDFEYNAQGGTGTGTGSKVADSDELSVDLEFLAKFCFSVGSIYEAPPLVVKTVLTSDESKGKMRSGRGERLDEEGNCKLVGVAFVEPIDDVFMNTFLSLPTECLAKLNAVITLSKSS >KJB51665 pep chromosome:Graimondii2_0_v6:8:51382538:51385261:1 gene:B456_008G227700 transcript:KJB51665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVHVGMETEMNGNGVPETFKNNWKKRLIEFSGKMKRSPGWLWRTIWKVGREDPRRMIHAFKVGLSLTLVSLLYLMEPLFKGIGTNAIWAVMTVVVVLEFTAGATLCKGLNRGLGTVLAGSLAFLIEFIATKSGKAFRAVFIGAAVFLIGTTATYMRFFPYIKKNYDYGVVIFLLTFNLITVSSYRVENVLKIAHDRFYTIVIGCGICLFMSLLVFPIWSGEDLHNSTVGKLEGLAKSIEACVNEYFNDSELKENQEKSSEDPIYKGYKAVLDSKSTDETLALYASWEPRHSRHCYRFPWQQYVKVGAVLRQFGYTVVALHGCLLTEIQTPRSVRALFKDPCIRLAGEVTKALMELANSIRNRRHCSPGILSDHLHEALQDLNTAIKSQPRLFLGSNNNQANNMLALAAAHAARHNKPENDRHGVSLSSVKTDSSALMEWKTKRASEQAKENERKVLRPQLSKIAITSLEFSEALPFAAFASLLVEIVARLDNVIEEVEELGRIACYKEYNPEDDEIIVKCEKPPVDVAKNQLPTHASD >KJB50048 pep chromosome:Graimondii2_0_v6:8:40582436:40583357:-1 gene:B456_008G150000 transcript:KJB50048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKGLQQGRNASSSCLKEGGFSLSSNATRGSGLVKCELCKSRASLYCQADDAYLCRKCDKWVHGANFLALRHIRCFLCNTCQNLTQRCLIGASHEVLLPTMVSWSAERRQRNSDTETESSTTIKTPFLFL >KJB48058 pep chromosome:Graimondii2_0_v6:8:7309679:7310396:-1 gene:B456_008G051900 transcript:KJB48058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSATVIGALLGLGTQMYSNALRKLPYMRHPWEHLLGMGMGAVFVNQLVNWDAQLQRDLDNMLEKAKAANERRYFG >KJB48057 pep chromosome:Graimondii2_0_v6:8:7308176:7310448:-1 gene:B456_008G051900 transcript:KJB48057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSATVIGALLGLGTQMYSNALRKLPYMRHPWEHLLGMGMGAVFVNQLVNWDAQLQRDLDNMLEKAKAANERRYFDGDDD >KJB49613 pep chromosome:Graimondii2_0_v6:8:37012834:37014266:-1 gene:B456_008G128600 transcript:KJB49613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADSKRSFNLLLSISMKAFSILISLVVSAAVSPFRDEHSLEQHPAGNVLLPFSMLLAQEYGHIHFLL >KJB52246 pep chromosome:Graimondii2_0_v6:8:53652234:53654501:-1 gene:B456_008G253300 transcript:KJB52246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRGNREQGTLISELIQGMELAKQLRVHLDTASSAESMDLLVQKILSSYEKALLILQFSRPMSMGQPQQNVGATSGVPESPLSINGSPRSDDLDKDNQDTRDVSKKRKMLPRWTDHVRVTSENLLEGTHDDGYSWRKYGQKDILGAKYPRSYYRCTYRHTQDCWATKQVQRSDEDPTIFEITYRGTHTCVHGNQPVPPPASPEKQEYKLTNLNTNNNQQLQSQDILSNLRKGLTVNTEMATSFSFPSTSFGWLKTENHSFSPSGVLDNNNILNSFSSPFTSPATPELNYFSASQPQMNSVGGMLSTQNSEPDLKELISANTSATNSPIIDLDFSLDQLELDPNFQFDAQGFFSS >KJB52245 pep chromosome:Graimondii2_0_v6:8:53652234:53654482:-1 gene:B456_008G253300 transcript:KJB52245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQIHEVDQMLMIPSFSGSGFCRKMLPRWTDHVRVTSENLLEGTHDDGYSWRKYGQKDILGAKYPRSYYRCTYRHTQDCWATKQVQRSDEDPTIFEITYRGTHTCVHGNQPVPPPASPEKQEYKLTNLNTNNNQQLQSQDILSNLRKGLTVNTEMATSFSFPSTSFGWLKTENHSFSPSGVLDNNNILNSFSSPFTSPATPELNYFSASQPQMNSVGGMLSTQNSEPDLKELISANTSATNSPIIDLDFSLDQLELDPNFQFDAQGFFSS >KJB52360 pep chromosome:Graimondii2_0_v6:8:53930219:53931793:1 gene:B456_008G257800 transcript:KJB52360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAITSTLLLSSKPIFLFKDPFYLAPSTCPKGPISPQLKPLTLKCSGNSEEQETSNGLKDALSGILGKQVEELLNREENKGLLDGLEKASERVEIAKRQLAEIEKQELEARLLRNYIDQLESRASEIAETQQEISQARAMIEEAERSLGPNEANYGDGNAFSSQEDGEEGIDTDKERVESLKAALISAIVGTLAGFPISLTQVSSTTQLLLPLSLNFISCALFGVTFRYAVRRDLDNFQLKTGTSAAFGFVKGLGTLGGGAPLELDPGSFLSHAVDGAVYVSQNLLVFLFAAVGLDFCIKMRILSPFPMKRSAPRTDTR >KJB50098 pep chromosome:Graimondii2_0_v6:8:41232883:41235438:1 gene:B456_008G153900 transcript:KJB50098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVIGSNPWTYSCISRILRVVAYSTNTLSPNASPSKPPDTLPIRVSRSGHPKASIVPVLNQWLEEGKAIKQSMLHTIIRHLRSFGRFKQALEVSEFMSEEMRYGISVGDMAVRLDLISKVHGIEQAEKYFDSLPDTMRTFQVYGALLNCYAHHKCLEKAEATLQIMRESGHLSNAVSYNVMLNLYSRLGKHDKLDVLMQEMKEKGIKHDAFTNNIRLNAYASSSDIEGMEKFLTTIENDVEVSVDWHAYVAAASAYLKARLTEKALEMLSRAEQLVTQASRKHAYEIFLTLYTNLGRKDDVYRIWRLYGNLGKFFNSGYLCMISSLVKLDDLDGAERILAEWESGYSYYDARIPNVMISAYCRRGFIKKAEAYTVSLIERGVIKEPDAFILNSLATGYQMDGQMSKAVETMKAAILSSSSGWKPNLCTLAACLKFLEGEDNLEAAKELLQLLQVRGHLSNDVYNGLVKNILDGNVDVSALDQTKGGSETLDEESTRTHEGQIEASS >KJB47195 pep chromosome:Graimondii2_0_v6:8:1722436:1724576:1 gene:B456_008G015100 transcript:KJB47195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPHNHSTNFPSPSSSSTSSSTARQPPPSTATTITTDNDPMHSWWESVSKQRSRILSLSYLLPSDGTTLSSLADSDRPALSLLSSPAAYSLISSALSSPTSGSGSDPLCQWLYETFQSSDPHLRLLVLSFLPLLSGTYLSRIHTSDSSSFPSFSGFEAVLLAVYSSEVKSRSGKPLLVQIPDLSQPSLYHAPRNKPADDRSRQSVGLLSPPLEPHLAVKSTKRAVIVGTALDCYYKQVSQMPAWSKLDFCTFAAAWAGQDCPCRTKLDGDDLDHHESGNGNSNGHDHFFRKDSGFSNGTRSRDGDDVGTEDDVIKDVVVEMDNLGINKEDAENLEKKGVRIPLPWELLRPVVTILGHCLFGPSNSEDEKDAASIAIRCLYARASHDLAPQAILALQSLIRLDKSARAAAKAAATVVANAPSNANTPSKAKKPEILLVSK >KJB50069 pep chromosome:Graimondii2_0_v6:8:40939751:40941083:-1 gene:B456_008G152000 transcript:KJB50069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFYVLRNSLCLSTGSSVSNRRLHLFNVLPHYTFSLLSTNCLEIRLVIEAYIQSGGVTRGGISFHDFASKNIRYTLVFLIFLCLGDDYICFIRASESNP >KJB49606 pep chromosome:Graimondii2_0_v6:8:36842157:36843793:-1 gene:B456_008G127400 transcript:KJB49606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSSSVSSRLKPTNFNSTPRQLHPLKPLNRPRHLPPPSPQRPLLFSTTKPTTISTSFTHKNLTALPIFPHPTSLLPSSSLHVHRTPATGYAAALLDTTQSTGSLHEVRRDVRKLSRLLQNSQIQALLNDPFLGDQEKGKAMKELAKKGKFNKHLFNLLKVMVEKNKLGIVSEVLEEFERVYDELIGTKQVWVSSEKMIGEDMLFKIAMKVQNLSGAVKVKVKNLVIDKLPKIPDSGLLYT >KJB49605 pep chromosome:Graimondii2_0_v6:8:36842157:36843839:-1 gene:B456_008G127400 transcript:KJB49605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSSSVSSRLKPTNFNSTPRQLHPLKPLNRPRHLPPPSPQRPLLFSTTKPTTISTSFTHKNLTALPIFPHPTSLLPSSSLHVHRTPATGYAAALLDTTQSTGSLHEVRRDVRKLSRLLQNSQIQALLNDPFLGDQEKGKAMKELAKKGKFNKHLFNLLKVMVEKNKLGIVSEVLEEFERVYDELIGTKQVWVSSEKMIGEDMLFKIAMKVQNLSGAVKVKVKNLVIDKLPKIPDSGLLYT >KJB53053 pep chromosome:Graimondii2_0_v6:8:56522358:56523851:-1 gene:B456_008G290700 transcript:KJB53053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCPSCGNMLQYELPHMGRPSRFFCPTCPYVCHLENKVKIKRRQHLVKKEIEPVFNKEDMKMGGSETDATCPSCSHGRALFSQVQIRSADEPATTFYQCLKCEKMWRED >KJB53052 pep chromosome:Graimondii2_0_v6:8:56522358:56523871:-1 gene:B456_008G290700 transcript:KJB53052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCPSCGNMLQYELPHMGRPSRFFCPTCPYVCHLENKVKIKRRQHLVKKEIEPVFNKEDMKMGGSETDATCPSCSHGRALFSQVQIRSADEPATTFYQCLKCEKMWRED >KJB48598 pep chromosome:Graimondii2_0_v6:8:14370148:14371976:-1 gene:B456_008G077100 transcript:KJB48598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDLAKTDSEASSLSQSSPPRSPRRQMYYVQSPSRDSHDGEKTTNSFNSTPVLSPTHSHSNSSLGPHSSRESSSTRLSKHHGSRKPRTNKQSWKEFDAIEEEALLDGEAAHHALHRRRCYFLSFLVGFFVLFTTFSLILWGASRPQKPKITMKSISFNEFVVQAGADFSGVSTEMVSMNCTVKLTYRNTATFFGVHVTSTPLHLSYSQLTVATGSIHKFYQSRKSQRALIVMMEGSHIPLYGGGASLASLNGAPTQPVPLTLDFMVRSRAYVLGKLVKPKFYKRIQCWVTMDPKKMSKAISLKNKCSYS >KJB51882 pep chromosome:Graimondii2_0_v6:8:52176006:52180106:1 gene:B456_008G236100 transcript:KJB51882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQGYVFHNNPALLFLLLLLFEAANGGRATRLNHWESGIIRLPSEKDDQQLGTRWAVLVAGSSGYGNYRHQADVCHAYQLLRKGGLKEENIIVFLYDDIAMNELNPRPGIIINHPQGDDVYAGVPKDYTGEHVTAANLYAVLLGNRSALSGGSGKVVDSKTNDRIFLYYSDHGGPGVLGMPNLPFLYAMDFLDVLKKKHAAGSYREMVIYVEACESGSIFEGIMPEDLNIYVTTASNAQESSWGTYCPGMEPSPPPEFITCLGDLYSVAWMEDSETHNLKRETIEQQYKTVRERTSNFNSYTSGGSHVMEYGSTSIKAEKLYLYQGFDPASVNFPPNELSHDTQMEAINQRDADILFLWHMYKNSEDGSKKKEILKQISETMRHRIHLDGSIDLIGTVLYGPAKGSVILNTIREPGLPLVDDWQCLKSMVRLFETHCGSLTQYGMKHMRAFANICNSDVSQSAMEEACVAACSSSHDPTQWHPSNNGYSA >KJB51440 pep chromosome:Graimondii2_0_v6:8:50342371:50343082:-1 gene:B456_008G216400 transcript:KJB51440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIRSSFSFIAGTACGIYVAQNYNVPNIKKLVDSAFFVAKHVEEKYRKPKNKDDD >KJB48385 pep chromosome:Graimondii2_0_v6:8:10706463:10708033:1 gene:B456_008G066300 transcript:KJB48385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEKVFHMTGGIGKTSYAQNSAFQKKASDMVKHITMETIQELYYEVTPKSLGIADLGCSSGPNSLSLIKDMVEAVEGTSHKIFHPMPEFRVYLNDLPTNDFNSVFKSLPDFYKDLKKDRNQEGPAIFIAGYPGSFYGRLFPCNCLHFIYSSYSLHWLSKVPPALYDEHGKSINKGNVYISESSPPSVSQAYYKQFQEDFSLFLKSRSEELVTGGRMVLILLGRIGQDHVDRGNSFFWEILSRSLAISVSQAEIEKEKVDSYEVHFYAASRNELEDEVRREGSFEIDKLEMFEIEREVKNGESYGTAVAMTVRAVQESMLCDHFGDGIDLDTLFNNYGKMIDEEMVKVDIKPLTFVIVLKKL >KJB46748 pep chromosome:Graimondii2_0_v6:8:25598635:25599401:1 gene:B456_008G094700 transcript:KJB46748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRPTPMEFVRDADDQGSAMEVDGVDTPEIFGEGVIASDNKLAYADFFNNFEDDFDDSDID >KJB51781 pep chromosome:Graimondii2_0_v6:8:51753532:51757573:-1 gene:B456_008G231400 transcript:KJB51781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSDDMLHIKTSKLASGTHSEKCSGRVSTENGHFASTSKYKHAKVYAIRDFPPDCGWLDEPIDRPSEQKDLPQENVATSEIEVFPQGGVKSYPDQSSRRRILGRKCYTQEEVTVVRDFPPFCGRNAPPLNEEERMKWLASLKNKGIKLVTAVNEEKLSEKTRCTDGKQVIEAVQDVIALEGRAPRLSAEEIQSKPEEPPSEKMRKQGACEASSRNDVKECMYNIIGNSTKSPCGASPKEFHSKLKKVIEKRDGNIRVSEENPICNIALKAEDKDFETNLSDSSAFENQLLEEDDESQEVLSDRSIVPGLIASPWLHAKVTCNPGLAGGSSKRKRMNSFALLSRANTKNNSPTKRAHQGLSQVVIWNKKDSLQQDVLYTNDSSAQRSYGNNVSLPPCRTSTGRANDAMTSRNKVREILRLFQDICQKLLHEEESKINGEGKTLKRVDFLAAKILKEKMEHVNTGKQIIGSVPGVEVGDEFQYFVELNIVGLHRPSQGGIDYIKRGERTIATSIIASGAYEDELDNSDILTYMGQGGNVMQKGKQPEDQRLERGNLALANSRFVKNPVRVIRGETRSSGLSEGRGKTYVYDGLYVVEEFKQEPGPHGKLVYKFKLVRIPGQPEIAWKVVRKSKVREGLCVQDISQGKEIIPICAINTRDSERPPLFLYVPHMIYPDWCRRTPSKGCDCINGCSQSVKCSCVMRNGGQIPYNHNGAIVETKPLVYECGPTCKCPASCYNRVSQHGIKFQFEIFKTKSTGWGVRSLNSIPSGSFICEYAGELLEDREAEKRTGKDEYLFDIGNKYSDSSLWDDLSTLIHDSRSSFRQVVPECGFTIDAARFGNMGRFINHSCSPNLYAQNVLYDHDDKRIPHIMLFAAENIPPLQELTYHYNYMIDQVHDESGDIRKKVCCCGSSKCTGRLY >KJB51780 pep chromosome:Graimondii2_0_v6:8:51753441:51757232:-1 gene:B456_008G231400 transcript:KJB51780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSDDMLHIKTSKLASGTHSEKCSGRVSTENGHFASTSKYKHAKVYAIRDFPPDCGWLDEPIDRPSEQKDLPQENVATSEIEVFPQGGVKSYPDQSSRRRILGRKCYTQEEVTVVRDFPPFCGRNAPPLNEEERMKWLASLKNKGIKLVTAVNEEKLSEKTRCTDGKQVIEAVQDVIALEGRAPRLSAEEIQSKPEEPPSEKMRKQGACEASSRNDVKECMYNIIGNSTKSPCGASPKEFHSKLKKVIEKRDGNIRVSEENPICNIALKAEDKDFETNLSDSSAFENQLLEEDDESQEVLSDRSIVPGLIASPWLHAKVTCNPGLAGGSSKRKRMNSFALLSRANTKNNSPTKRAHQGLSQVVIWNKKDSLQQDVLYTNDSSAQRSYGNNVSLPPCRTSTGRANDAMTSRNKVREILRLFQDICQKLLHEEESKINGEGKTLKRVDFLAAKILKEKMEHVNTGKQIIGSVPGVEVGDEFQYFVELNIVGLHRPSQGGIDYIKRGERTIATSIIASGAYEDELDNSDILTYMGQGGNVMQKGKQPEDQRLERGNLALANSRFVKNPVRVIRGETRSSGLSEGRGKTYVYDGLYVVEEFKQEPGPHGKLVYKFKLVRIPGQPEIAWKVVRKSKVREGLCVQDISQGKEIIPICAINTRDSERPPLFLYVPHMIYPDWCRRTPSKGCDCINGCSQSVKCSCVMRNGGQIPYNHNGAIVETKPLVYECGPTCKCPASCYNRVSQHGIKFQFEIFKTKSTGWGVRSLNSIPSGSFICEYAGELLEDREAEKRTGKDEYLFDIGNKYSDSSLWDDLSTLIHDSRSSFRQVVPECGFTIDAARFGNMGRFINHSCSPNLYAQNVLYDHDDKRIPHIMLFAAENIPPLQELTYHYNYMIDQVHDESGDIRKKVCCCGSSKCTGRLY >KJB50250 pep chromosome:Graimondii2_0_v6:8:42646373:42647244:1 gene:B456_008G160700 transcript:KJB50250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKPKADEGLDSQGQKWVLAVISIRAPLKPIYTSGPLKTNNDEQGDDSCSTTPTGEDAKIQTSLTCPPAPRKPKPSLKCSYGRSVREFFTPPDLESAFICHVERESSS >KJB51840 pep chromosome:Graimondii2_0_v6:8:52282114:52282629:-1 gene:B456_008G236900 transcript:KJB51840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEPPTNDKIHIEAFSTSSRIGLLHPKESLGYITISLADLVNNERINERYHLIDSKNGRIKIEMQWRTS >KJB50517 pep chromosome:Graimondii2_0_v6:8:45130273:45132753:1 gene:B456_008G175100 transcript:KJB50517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGKASQEEEEFGSKKTGPSSFHTLSVNANTNTNTNINNEADGKDSDKAKAIRSKHSVTEQRRRSKINERFQILRDRIPNTDQKRDTASFLLEEKVKKYEGSYQGWSSEPTKLMPWRNSHWRGQSFVDQPQAIKNDPGLGSTFSGKLDENNINITPSMIASALDPSEADPIRDNEQAELAVPIQGGHPLQRPVSEAQTTVCLTSNDTLNQQDDLAIEGGTISISSVYSQGLLNTLTQALQRTGLDLSQANISVQVDLGKRSNRGLTSFAKESANGEDSNPVRKRLKK >KJB52800 pep chromosome:Graimondii2_0_v6:8:55554827:55557101:-1 gene:B456_008G277900 transcript:KJB52800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRPSENNKPKAKHKKGLWSPEEDLKLRNYVLKHGHGCWSSVPINAGLQRNGKSCRLRWINYLRPGLKRGTFTPQEEETILTLHHLLGNKWSQIAQNLPGRTDNEIKNYWHSYLKKRIAKAEEMDSQARSQCTTSSSENKQFTPSPRIFSDQMPTYESFNHIDKLSVSTDRAVPQHVIDFSKEPQRSPLPKVLFAEWLSLDQECGPAAATSYGFNHNSSSNFQDPFMDAFLLNEGTFGGSDLHDGLSNGSANEMSSSLFDFETQISGNEFVGSLSGDDICSDFNMNNNVMYM >KJB48967 pep chromosome:Graimondii2_0_v6:8:25930102:25930449:1 gene:B456_008G095600 transcript:KJB48967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVGQLGTSIVNGIYRIVINQILQSPGIYYRLELDHNRISVYTGTIISGWGGRLELEIDRKERIWARVSRKQKISILVLSSAMGSNLREILENVCYPEIFLFFLTEKEKKLGQKK >KJB51913 pep chromosome:Graimondii2_0_v6:8:57115675:57122430:1 gene:B456_008G298800 transcript:KJB51913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDYLWSKTIATILWPLHVLPTKFVYKKIRSANGIQKTAKSGGGSLPTHIEKFYEAIGLEVQNGYGLIETSPCVAGRQPYYNVYKSLFRSVFEIYRYGSVSFFLVAFSETDFMEFKSGYLQAPMLLN >KJB52528 pep chromosome:Graimondii2_0_v6:8:54528530:54530666:-1 gene:B456_008G266000 transcript:KJB52528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >KJB49163 pep chromosome:Graimondii2_0_v6:8:31308328:31311011:-1 gene:B456_008G103600 transcript:KJB49163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVRFLLLIPLIALLNGYGVEARIRRYKWEVKNEFKSPDCYRKMVITINGRTPGPTIRAQQNDTIIVEVTNSLFTENIAIHWHGIRQIGTPWFDGSEGITQCPILPGTTFKYQFVVDRPGTYVYHAHYGMQRDSGLYGSIIVGLSDGESEPFAYDYDRNIILNDWYHNSTTEQAVGLSKVPFQWIGEPQSLLIHGRGRFNCSSLSVPSSDPGLCNTTNPDCFPFRLIVVPGKTYRLRVSSLTSLSALSFQIEGHKLTVVEADGHYVEPFVVQNLFIYSGETYSVLVKADQDPTTNYWITSNIVSRPAPNTPPGQGVLVYYPNHPRRSPLTIPPAAPVWNDSRPRMAQSQAIKARRGYIHTPPAVSDRVIVFLNTQNELNGRRRWSVNNVSFTHPLTPYLIALKHNLTHAFDQNPPPDGYDFLNYDIYERHPNANTTSGNGIYRLNFNSIVDVILQNANTMNPKNSETHPWHLHGHDFWVLGYGEGKFDMFNDPNKYNLVNPIMKNTVPVHPFGWTALRFKADNPGAWSFHCHIESHLFMGMGVVFAEGIEKVGKLPSSIMGCGQTQGL >KJB49274 pep chromosome:Graimondii2_0_v6:8:34164074:34169976:1 gene:B456_008G110500 transcript:KJB49274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAATPPYPSAARIADSPCFPQYTASLKCLEEYGSDKSKCQEHFDVYKECKKKEREARLERNKNRSLFS >KJB52491 pep chromosome:Graimondii2_0_v6:8:54404749:54405739:-1 gene:B456_008G264800 transcript:KJB52491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDCFILDFNPFDSMNIAKLSFTIQDAHDDDDDDDDLTVVAEKGKVACRDYPHSRHLCLRFPFDKTPHEKHCYLCYCYVCDSVAPCEFWTIHCHASEHVEDWKSQRELMRLKIRRQIGF >KJB47383 pep chromosome:Graimondii2_0_v6:8:2771440:2777560:1 gene:B456_008G024100 transcript:KJB47383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLMQSQGSTIDSFPEIVNIDEGTSPNNTSIGQPNSLHDMLNPVETRLSNFTAPSGGTMHRNAITLDVQSISGWNSGQPSSRQGVQNQVTQGAPNHRLNDDGEDARIRATPRSEERRIEPLNVFFTGRLNNGWSGNLVRSGPICLQSSSSNHIPENVNLNEGFISGNGTRESGVGTGGRPNLHDSGGLEREKISNASVSSYNVGSSSGSSNHVGEENNDGSGSSLGSYGLSCKRKAFEGTSGQSFSAGASGCFHQVENIAWHAGPTRNEASSSLSLSTPSSNFLNVTPPDQSNPRVGLGMRGVVSDAFLSLGERRANPGNEQESLPFSLLSTRAAGHSSFVSPSHPQVAPVDESLDLRPTTTIAGNSGSTSTQPHMRTASIVPRNVHPISRNGTSSSSVCNPSSSSSSGERADALRGEPNIRNISRNNVEHPMFVPATEMRNVAQDPTGWSLASGNPSTSGGIPSSNRPASSSNIHPLPDPAWIPPHNPPIHNQQRLSEFASWSLFPPIASEFGGHSGCFPLPSSSPSASSQETVGPSESNSQGNNQPSPRLAFILERHGDDVLGMAHSLRALAADIEGRHRLISEIRQVLNAVRRGENLQIEDYMPFDPFIYHGMAAMHDRHRDMRLDVDNMSYEELLALEERIGDVSTGLSEEMILKLMEQRKHSSTSTESQQDLEPCCICQEEYANGDDTGILDCGHDFHSNCIKQWLMLKNLCPICKTTGLLK >KJB47944 pep chromosome:Graimondii2_0_v6:8:6680801:6682728:1 gene:B456_008G048400 transcript:KJB47944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAQKSMAAFTSFSFLRNYATTGRIVAIVRASPRLSSSPTSINFLTPNHSRRYLCRASPTHDEEAAAKAAAINADNGAPTIFDKIIAKEIPSTIVYEDDKVLAFKDISPQAPVHVLVIPKFRDGLTQLGKAEQRHGEILGQLLYAARIVAEKEGILDGFRVVINNGPSACQSVYHLHLHVLGGRQMKWPPG >KJB47945 pep chromosome:Graimondii2_0_v6:8:6680801:6683988:1 gene:B456_008G048400 transcript:KJB47945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAQKSMAAFTSFSFLRNYATTGRIVAIVRASPRLSSSPTSINFLTPNHSRRYLCRASPTHDEEAAAKAAAINADNGAPTIFDKIIAKEIPSTIVYEDDKVLAFKDISPQAPVHVLVIPKFRDGLTQLGKAEQRHGEILGQLLYAARIVAEKEGILDGFRVVINNGPSACQSVYHLHLHVLGGRQMKWPPG >KJB52290 pep chromosome:Graimondii2_0_v6:8:53788852:53790405:1 gene:B456_008G254900 transcript:KJB52290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANPLTSEAIALTEKKMNMTLGELLAVWSFLNHKPVSNAAKEKAFKVRQYMDSCSSLRRGVLAQRRSNIQGNRFPFTAEASRRAAVAPLRSGAFNGKSWIFYNIDDLWNMVLITYIQLHAMWNSQNLTNFLWEELPNLGLS >KJB49258 pep chromosome:Graimondii2_0_v6:8:33963309:33964586:-1 gene:B456_008G109000 transcript:KJB49258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A(1) DAD1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44810) UniProtKB/Swiss-Prot;Acc:Q948R1] MKPATPCTFPTTTRPAKHVSVRCCTLTQTAVRPLKMSLDTHQPAVKSWEHLLDPLDYDPMASLFTSRAVKLGNKWKEYLGVKNWEGLLDPLDENLRGEILRYGSFVEAAYRSFNFDSSSSSYGACRYPKSTLLARSGLHQTGYRLTRHLRATSGIRLPRWVEMAPNWVATKSSWIGYVAVCQDKEEIARLGRRDVVIALRGTATGLEWLENFRATLTPVPNADTKPTHHDDGDGDDSEPMVETGFLSLYTSGTDEVPSLQEMVREEISRLLQTYGDQPLSLTITGHSLGAALATLTAYDIKTTFKRAPMVTVMSFGGPRVGNRSFRCQLEKQGTKVLRIVNSDDLITKVPGFVLEEEDADVPKDQNVYVAGLPSWIQKRVEDTQWVYAEVGRELKLRSRSCPYLKNINVATCHDLKTYLHLVNYS >KJB52625 pep chromosome:Graimondii2_0_v6:8:54962950:54964147:1 gene:B456_008G270600 transcript:KJB52625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKEEREQKCLINELLQGRELAMQLQAHLNLPSCNETRELLLQKIQASYDKALSLLNYKAIGTSDTIDPQPQSLPVRDDAAVQRKSLARWTHQVRVRPGTATEGNLDDGFSWRKYGQKDILGAKYPRGYYRCTHRNVQGCLATKQVQRSDDDPTIFDVAYRGRHTCSNNNQEQGTSTIEPYQQQQHIGNQTYPLLPNYLSLNRKVENDVGDGNLETGNLSPTSSCPNPMGVMNNGSTSVAIESEFTEIIRAATSAMNAPTVGLDFPFGNAELDPNFTFDDHGFFS >KJB48750 pep chromosome:Graimondii2_0_v6:8:18054304:18055484:-1 gene:B456_008G084300 transcript:KJB48750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WLQSHPKYDSNPLYVAGDSYSGMIIPKCYNFSSNYCSLLLGNPFTDAKFDGNSKIIYYNQMALISDELYESAKSNCKQEYIDVEISNRMCAKDLQTISVTRLITVLAFAFLMHCTYKLVRKYLLETREDFLHLSAEYPQFGCRNYYCYLCKVWATDINVQKALHIRKGSIKQWVKCNKSMDYDDDVAVK >KJB49763 pep chromosome:Graimondii2_0_v6:8:38510081:38513369:-1 gene:B456_008G136100 transcript:KJB49763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSCAVCADNLEWVSYGACGHRDVCSTCVSRLRFICNDRRCCICKTESNVIFVTKALGDYTRMINDFSVLPSDVREGRVGSYWYHEDTQAFFDDVDHYRMIKAMCRLSCSVCDKMDEQSNDGAKRRGKFRNIEQLKGHLFHKHRLVMCSLCLEGRKVFICEQKLYTRAQLHQHINTGDSEVDGTESERGGFMGHPMCEFCKTPFYGDNELYSHMSTEHYTCHICQRQHPGQYEYYKNYDDLEIHFRRDHYLCEDEACLAKKFIVFQSEAELKRHNTIEHGGRMSRAQRSAALQIPTSFRYRRSNEENRRGRGRTFRREASDNDYQLSMAIEASLGMASDPPASSTAQAVSNHRDTNDIDPLVQPFESLSTTDAESSSRYLQALGTGSRGGPLQESSFPPLPVAPSTSQQKSQHSSGLPNNTMASHLRRQKNGNKNALSSAQAWPATSRRTGQASSISSQVRPVANVAAVTSLGNGNGNGNGNRVAQLGYASSTQAQAQARTQSQPQAQAQAQAQARPTTVDILMSSGSRTSSGSTSRISHSSSAPNLSDGGYSEPSASDFPPVSAAQRQKQSSSSQVLTNVEDVRTANKSLVEKMRAALDYDEEKYTAFKEVSGQYRQGLIGTDRYLDYVHQHGLSHLVLELARLLPDVQKQKELIDTYNASLQSNGLQENGGGAQGSVLWKEKDASKKGKGKGKSVDTAGSNSKDVLADSILSTVRQLQSSYKPTEEITEVLSKDGYRRSKGKAKVMVEEQLVQLNSSNQPSIRIGGQNESLPVKAGSGDGGGGSKQRKKTSKFHRVRLGDGSMASLLDLKNSEPDPEPEPLDNKFDSSQNATGALPVRGVWKKGGSKKLFL >KJB49762 pep chromosome:Graimondii2_0_v6:8:38509641:38513724:-1 gene:B456_008G136100 transcript:KJB49762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSCAVCADNLEWVSYGACGHRDVCSTCVSRLRFICNDRRCCICKTESNVIFVTKALGDYTRMINDFSVLPSDVREGRVGSYWYHEDTQAFFDDVDHYRMIKAMCRLSCSVCDKMDEQSNDGAKRRGKFRNIEQLKGHLFHKHRLVMCSLCLEGRKVFICEQKLYTRAQLHQHINTGDSEVDGTESERGGFMGHPMCEFCKTPFYGDNELYSHMSTEHYTCHICQRQHPGQYEYYKNYDDLEIHFRRDHYLCEDEACLAKKFIVFQSEAELKRHNTIEHGGRMSRAQRSAALQIPTSFRYRRSNEENRRGRGRTFRREASDNDYQLSMAIEASLGMASDPPASSTAQAVSNHRDTNDIDPLVQPFESLSTTDAESSSRYLQALGTGSRGGPLQESSFPPLPVAPSTSQQKSQHSSGLPNNTMASHLRRQKNGNKNALSSAQAWPATSRRTGQASSISSQVRPVANVAAVTSLGNGNGNGNGNRVAQLGYASSTQAQAQARTQSQPQAQAQAQAQARPTTVDILMSSGSRTSSGSTSRISHSSSAPNLSDGGYSEPSASDFPPVSAAQRQKQSSSSQVLTNVEDVRTANKSLVEKMRAALDYDEEKYTAFKEVSGQYRQGLIGTDRYLDYVHQHGLSHLVLELARLLPDVQKQKELIDTYNASLQSNGLQENGGGAQGSVLWKEKDASKKGKGKGKSVDTAGSNSKDVLADSILSTVRQLQSSYKPTEEITEVLSKDGYRRSKGKAKVMVEEQLVQLNSSNQPSIRIGGQNESLPVKAGSGDGGGGSKQRKKTSKFHRVRLGDGSMASLLDLKNSEPDPEPEPLDNKFDSSQNATGALPVRGVWKKGGSKKLFL >KJB49888 pep chromosome:Graimondii2_0_v6:8:39536813:39537862:-1 gene:B456_008G143600 transcript:KJB49888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVKQDNRRQLCARILMRFEQDQKETEDACRFAEQNATAQRYSVEVLQEHMPYALFSFKDRQGNSETES >KJB51242 pep chromosome:Graimondii2_0_v6:8:49339756:49341916:-1 gene:B456_008G208500 transcript:KJB51242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:Projected from Arabidopsis thaliana (AT1G01090) UniProtKB/TrEMBL;Acc:A0A178W8A7] MATAFSPSKFAAQPFPLNTTSQNNPLTIPTTASAFLGSTRKPILLSSSSNLNLRRGSLTVVKENKSKSTPNLLITKEEGLELYEDMVLGRAFEDKCAQMYYDSKMFGFVHLYNGQEAVSTGFIKLLKQQDSIACTYRDHVQALSKGIPARAILSELLGKATGCCRGQGGSMHIFSREHNMLGGFAFIGEGIPIGTGAAFTSKYKREVLKEADCDHVTSAFFGDGACNNGQFYECLNMAALWKLPIVFVVENNLWAIGMSHLRATSVPPIYKKGPAFGMPGVHVDGMDVLKVREVAKEAIGRARRGEGPTLVECETYRFRGHSLADPDKLRDPAEKAHYAARDPITALKKYLIDNSLASEADLKAIDKKIDEVVEEAVEFADESPVPSKSQLLENVFADPKGFGIGPDGRYRCEDPKFTEGTAQV >KJB50298 pep chromosome:Graimondii2_0_v6:8:43096136:43100313:1 gene:B456_008G163200 transcript:KJB50298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSEKPRSIDLYKEEGPATARDMIIDVTTNGDLPPHHHHHHPPPHQQQMILGESSCEDPEVKAPKKRAETWVQDETRSLIAFRREMDGLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHQDRGSGSAKMSYYKEIEEILRERTKNAYKSPTPPPKVDSYMHFADKGFEDAGMSFGPVEASGRPILNLERRLDHDGHPLAIAAADAVADSGVPPWNWRETPGNGVDCQAYGGRVITVKFGEYSRRIGIDGSADAIREAIKSAFRLRTKRAFLLEDEDHIVRSLDREMPLGNYTLHLDEEHR >KJB50295 pep chromosome:Graimondii2_0_v6:8:43096020:43101173:1 gene:B456_008G163200 transcript:KJB50295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSEKPRSIDLYKEEGPATARDMIIDVTTNGDLPPHHHHHHPPPHQQQMILGESSCEDPEVKAPKKRAETWVQDETRSLIAFRREMDGLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHQDRGSGSAKMSYYKEIEEILRERTKNAYKSPTPPPKVDSYMHFADKGFEDAGMSFGPVEGVDCQAYGGRVITVKFGEYSRRIGIDGSADAIREAIKSAFRLRTKRAFLLEDEDHIVRSLDREMPLGNYTLHLDEGLPIKVCLYDESDHIPVHTEEKIFYVEDDYREYLLRRGYTGLREINGYRNIDNLDDLRTNAIYRGVS >KJB50296 pep chromosome:Graimondii2_0_v6:8:43096020:43101173:1 gene:B456_008G163200 transcript:KJB50296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSEKPRSIDLYKEEGPATARDMIIDVTTNGDLPPHHHHHHPPPHQQQMILGESSCEDPEVKAPKKRAETWVQDETRSLIAFRREMDGLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHQDRGSGSAKMSYYKEIEEILRERTKNAYKSPTPPPKVDSYMHFADKGFEDAGMSFGPVEASGRPILNLERRLDHDGHPLAIAAADAVADSGVPPWNWRETPGNGVDCQAYGGRVITVKFGEYSRRIGIDGSADAIREAIKSAFRLRTKRAFLLEDEDHIVRSLDREMPLGNYTLHLDEGLPIKVCLYDESDHIPVHTEEKIFYVEDDYREYLLRRGYTGLREINGYRNIDNLDDLRTNAIYRGVS >KJB50297 pep chromosome:Graimondii2_0_v6:8:43096136:43098699:1 gene:B456_008G163200 transcript:KJB50297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSEKPRSIDLYKEEGPATARDMIIDVTTNGDLPPHHHHHHPPPHQQQMILGESSCEDPEVKAPKKRAETWVQDETRSLIAFRREMDGLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHQDRGSGSAKMSYYKEIEEILRERTKNAYKSPTPPPKVDSYMHFADKGFEDAGMSFGPVEGYYFLFQFEIALFWFFFFYLFGFLSFIFFL >KJB50515 pep chromosome:Graimondii2_0_v6:8:45099015:45101673:-1 gene:B456_008G175000 transcript:KJB50515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLDSPVQTQMAVAVFNSPLGGEYPRNNAMEEKQPTRRRRVFVQTETGCVLGMELDRSDNAHTVKRRLQVALNFPTEQSSLTFGDVELKNDLSAVRNDSPLLLTRNYLHRSSSTPCLSPTGRDLQQRDRSGPIEILGHSDSFNVLKILVKDVVKAIKMGVDPLPVHSGLGGAYYFRNPRGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKLSVRVGETGFREVVAYLLDYGHFANVPPTALVKITHSVFNVNDGVNAHKPLKKNLVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLDGIGRFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSEDELEYIEKLDPIKDCEMLRRELPMIREACLRVLVLCTIFLKEAAAFGLCLAEIGQMMSREFRAGEEEPSELEVVCLEARRLIAERELSSPKSEVGDAEFQFEIDCEEPESEFIPKMATDDSMTRASFQFGNGFVNNHHRLPLSKLEECFEEDEESELEAEQNGFSPFEALERIPSISKLSMSLRDTCLGDKSQKYSKFSGTKQENGYLTSSSGHRSANEQLPASVSFVKLADLNEEEWNFFLEKFQELLKPAFSKRKSVTVGQKQMQRLGTSCQF >KJB50717 pep chromosome:Graimondii2_0_v6:8:46232917:46234895:1 gene:B456_008G183700 transcript:KJB50717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELNSKKRARDDSNESGLDSPDVKRLRDDLFLDDSDSLPLNQDLASVMKSFEEEIAAVPSTSTGSMPVVDLTSDSSDSQPDLGYLFEASDDELGLPPPTASTTDTEGRSEATDLVRADSNSSGIDDLWGFEEQNPNYDSFEFGFVNNFNDGTVAYDALFEYSDVYYDSSDISGQLWRPETLSAE >KJB52534 pep chromosome:Graimondii2_0_v6:8:54553598:54563415:-1 gene:B456_008G266500 transcript:KJB52534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVREVLFEARHHASRPFTSNYPPLPLQQSSEADKGGFLSFLSSRGVSQLKEKLVGNKNPKKIKKPVSLIVSPRGERVAVAAGNQVTILRKEDDYQEPFGIFTSHSIISCTCGAWSESHDILGIVDDADVVYFIKANGEEITRITTRHLKVSSKVIGLIAPDESDVKQYFLCSFTVLTSDGAFHQIEINQEPSASISSSTINSGLALKKQFPRNVFCFDYCPELSLLVVVGSAGGNSITADRKSGSCYLSLWRKGQDLVLEPVASTQFEGLYGEQQGYAAHLAYPKVLISPQGNYIATLDMNGCLHIFKLDKESCLVTSFAFRVRTNSQVTDELLNGCSEILADIVDFTWWSDHILTLGKRNGFVTMLDILSGLKLIENEPIYSQPVVERVQKFEGYLFVLESLSSENEFDLSNSNRITHDLNQREETSENGSNLSDISKLHWSLRSFSERSVPEMFKILIGSSKHQAALDFADRHGLDRDEVLKSQWLGSGQGINDIHAFLSNIKDKVFVLSECVNKVGSSEEVAKALLAFGLQLTNGYKFSESNSQESDEIWDFRMSRLQLLQFHDRLETFIGINMGRFSVQEYSKFRVMPMNEAAIALAETGKIGALNLLFKRHPYSLVHFMLDILAAIPETIPVQTYAQLLPGKSPPASTAMREEDWVECDKMVSFINKLPENHDIGSQIRTEPVVKRLLGSFWPSTDDLVVWYKNRARDIDSYSGLLDNCLCLIDFACQKGVYGLKQFHEDISYLHQLVYADNDGEISTSMSLVAWEQLSDYEKFRTMLQRCKEENVVESLRNKAIPFMHKRSHSVTLATQQHTADGHSEVDHTKGESFLVRWLKEISLANKLDLCLMVIEEGCRELQSCGFFKNEVEVVDCALQCVYLFTVTDRWSTMSAILSKLPHKQDSEICIGILDQRCKVAEGHIEAGRLLAFYQVPKPMNFFLEAHSDEKGVKQIIRLILSKFIRRQPGRSDNEWANMWRDMLCLQEKAFPFLDLEYLLTEFCRGLLKAGKFSLARSYLRGTSSASLATEKAENLVIQAAREYFFSASSLSCSEIWKAKECLNLFPSSRNVKAEADIIDALTVKLPYLGVTLLPVQFRQIKDPMEIIKMAVTSQAGAYLHVDELIEVAKLLGLSSLDEISAVEEVIAREAAVSGDLQLAFDLCLVLAKKGHGLVWDLCAALARGPLENMDISSRKQLLGFALSHCDEESISELLLAWKDLDMQGQCETLMTLTGTNAPNFSIQGSSVISLPGYSIQDIVDLKNSSELADGFNGADQENHFSSIKNTLSLVAKNLPVENGTNWDLILQENGKILSFAAIQLPWLLELTRKEDYSKKFTSGLIPGKPYVSVRTQTVITILSWLARNGFAPRDDLIASLAKSILEPPATDEEDVIGCSFLLNLVDAFSGVQVIEEQLRTRENYLETCSIMNVGMTYSILHNTGVDCEGPTQRRELLLGKFREKNKPLNADDINRIDAVQSSFWREWKLKLEEKKRVTEHSRFLEQIIPGVETTRFLSGDASYIESVIFSLIESLTLEKKHILKDILRMADTYGLNRAEVIRRYLTSILISEIWTNDDIMAEISEIKGEILDNAAETIQTISLIVYPAVDGCNKHRLAYIYSLLSDCYKKLEESKEPLPMILSDQTHALSLGLVHYYKVIEEECKRISFVKDLNFKNITGLGGLNLQCFSSEVYAHTNEFSLEALSEMVKTLVSVYRDPVPEGLISWQDVRKHYILRLLTKLNDRFRTEFSTKNPETFLNTSSELEHIYDLCRKHIILLEPSEALDIMKQYFIVILPPDGAYENLPDNSTWQDCLIFLLNFWIRLTEEMQEFASAEISVEKIKFHPGCLMSCLKVFMRLVMEDSVSPSQSWSTIVDYVKNGLISDPSRDIFTFCRAMIFSGCGFATISEVFVEALQHHATTVTASAETEFQNLSHLYLKVLEPILQDLANGSREHQKLYQLISSLSNLEGDFNELKKVRCAVWERLARFSEDLLLASNVRVHVLELMQFIAGKSVKGLSSELQLNVHPWVGWDESLCANNKSQITSNDGLPEQIDTSSRFTSTLVALRSSQLMAAISPGFEITPDDLSSVDTAVSCFLKLCAIANADPHLDVLVVILEEWEGLFMIKKEEEASPELSNAENSWSDDWDEGWESFQEIEPLEREKKGDSLLIHPLHESWTEIFKLLIKASRVKDVLKLIDQSISKPGGVLLDEGDARNLNDIVLGMDCFMASKMMLLLPYEGLQVESLTALENKMKQGTSDIANDHEFLTLILSSGILSTVINKSSFGTIFSYVCYLVGNFSHQFQEAQLPKLRKEGSNEHGNTKGDILFLFARILFPTFILELVKADQLILAGFMITKFMHTNASFRLINVAEASLRRYLERQFQVQEHNKIDETSCYEPLKNTVSSLRDKLGNSLQSALSLLPKNESNR >KJB52674 pep chromosome:Graimondii2_0_v6:8:55107388:55108447:1 gene:B456_008G272000 transcript:KJB52674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKFQAKPMLFLLVLVSALIETSHAGGIAIYWGQNGNEGTLTATCATGRYAYVNIAFLNKFGNGRTPEINLAGHCNPASSGCTTVSQGIRNCQSRGIKVMLSIGGGVGSYSLASKADAKNVADYLWNNFLGGNSRSRPLGNAVLDGIDFDIELGSTQYWDDLARYLSAYSNNGRKVYLTAAPQCPFPDSFLGTALNTGLFDYVWVQFYNNPPCQYTSGNINNLVNSWNRWTSSIKAGNIFLGLPAAPAAAGSGYIPPNVLTSQILPVIKRSSKYGGIMLWSKFFDDKNGYSKSVVSSV >KJB46846 pep chromosome:Graimondii2_0_v6:8:1274748:1276893:-1 gene:B456_008G011000 transcript:KJB46846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDGQNSDDSKQSTADMTVFVQNLLQQMQSRFQTMSDSIITKIDDMGNRINELEQSINDLKAEMGVEGTSSPLDPSKQKSDEAKQDEGSA >KJB46847 pep chromosome:Graimondii2_0_v6:8:1274748:1277076:-1 gene:B456_008G011000 transcript:KJB46847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQNSDDSKQSTADMTVFVQNLLQQMQSRFQTMSDSIITKIDDMGNRINELEQSINDLKAEMGVEGTSSPLDPSKQKSDEAKQDEGSA >KJB46845 pep chromosome:Graimondii2_0_v6:8:1274748:1276867:-1 gene:B456_008G011000 transcript:KJB46845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPRFKRNSDYLCKDGQNSDDSKQSTADMTVFVQNLLQQMQSRFQTMSDSIITKIDDMGNRINELEQSINDLKAEMGVEGTSSPLDPSKQKSDEAKQDEGSA >KJB49259 pep chromosome:Graimondii2_0_v6:8:33985399:33988553:-1 gene:B456_008G109100 transcript:KJB49259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEEVKMEVEAVQSVYGHDCVIIESYPPYLHLHIKPRTADVSSLQFVEAVIGIRASSQYPKEPPLVYLIDSKGLDEQRQTLLLSNIRDKACELPSCFMLVALCEEAVERLSAMNHPDGDCPLCLYPLVSEDDQTERLPFMKLMSCFHCFHSECIIRWWNWLQIEIKTNAKNVSSATLHLRNRGDRQDRNGAVEESMGNCPVCRKVFHAKDFEHVLDLVGSHSSQLNAEKTEIKVDEILLHSDLENMRQKFEAISKLQQENCGLIGLKSEQVVLPSINPQNTVASSEQTSAKETTGQSQNGAAANSSSSLTRPTTRRQRPQNSRKHVKQWVRKDIGGGAD >KJB47789 pep chromosome:Graimondii2_0_v6:8:5481745:5482593:-1 gene:B456_008G041800 transcript:KJB47789 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS14 MEIPFFPTLFSTVRSLVVRQPFFPTLEDWLVNHPKILGFSWNNGQTPASSQRFLALTVLCYISFTFILSQVSRPSLARPILKSISALHNLFLVTLSFIMALGCLVSIFSQVPNFRTLVCFPKRTSPSGPLFFWGYIFYLSKIVEFMDTLLIILGNSMKRLSFLHVYHHSMVVIMSYVCVNSAQSSFSMVLVTNCTVHVVMYAYYLMCTLGVRPKWKKMVTDFQLVQFWSSFLIMAMLAFYHFTGSGCSGILSWCFNAAFIVSLLFLFSDFHAKNYSPKVKNA >KJB52883 pep chromosome:Graimondii2_0_v6:8:55807161:55810013:1 gene:B456_008G281500 transcript:KJB52883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLKALLVAVYALDPYFSHAETAPNYSFVHESTTAPPVSYHDYIVVGGGAAGCPLAATLSETANVLVLERGGSPYRNPGKTDKGNFLLTLLDPSPDSYAQIFITEDGVYNHRARVLGGGTVFNAGFYSHAETEFVKESGLDEALVNDAYQWVENKVVFKAPLLQWQTAVRDGLLEAGILPYNGYTNEHINGTKIGSTIFDMNDHRHSAADLLEYADPKNIIVYLHATVTKILFTTQTVGSRPRAIGVIYEDAMGEKHTAYLTNDSKSEIIVSAGAIGSSQLLMLSGIGPRSQLESLGIEVVIDQPMVGQGMADNTLNGVFIPSPNPVELSLLSTVGISLLVNYIEAGSGLNLAPSFGWISKFLLSILNQTTIGSFFDTRLNGGIIAQKVARPLSTGHLELRSTDPNETPKVRFNYFQEPEDLRNCVQGMKTIINVVNSKAFSKFRYQTISTQQLLNVVAALPLNQRPRHFDTASSLEQFCNDTVMTFWHHQGGCQVGKVVDEDYKVIGVDGLRVIDASTFSSTPGTNPQATVMMLGRAMGVRIQKDRLS >KJB49315 pep chromosome:Graimondii2_0_v6:8:34396793:34401156:-1 gene:B456_008G112600 transcript:KJB49315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIVSGVADALLSACFRSLCETLLSPDFHKFSREEQLVAELNKWQNLLLKLNASLEDAEEKQITTRSVKLWLGDLQDVAFDAEDVVDELATEALRRKQMKHTLSSPSTTKVWNFFPSCFRAINPHAIKFDGKMKSRIQEITAKFDELVARKNDFSLEEIGRGRSEKVFQSCTTSLVDESRVYGRKSDKDAIVNLLIDGREMNKGDIGVVSIVGMGGVGKTTLAQLVYKDVRIKTSFELRAWVCVSEEFDLLRVTKTLLHAIASDIGELRDLNSLQEQLEKKLLGRTFLIILDDMWNENYELWDILCRPFAAGAAGSKILVTTRNEPVAAVVANCRGYALKELSYDDCLSILTSHALGAKNFDEYPNLRLVGEQIVKRCKGLPLVAKALGGLLRTKVNEGEWKDILMSKIWDLPEEKIDIMPALRLSYLHLPSHLKRCFVYCAIFPKDYEFDKDELVQLWVAEGFLQQPKGGTQMEDLGLKCFNELLSRSFFQQSNSSQTRFVMHDLINDLAKSVSREICFNFEDMDMLKSDELCRAVEKFRYLAFTREQYDITKRFEVLCRMKKLRTLIALPTCMPTWAACCYLSGDVLQNMLHRLRCLRVLSLSGYSITELPHSIGDFKQLRYLNLSRSRIKQLPQSVGFLLNLQTLKLQGCEELTKLPPVIQNLVRLHVLDLTGTSNLQEMPFKVGNLKNLQILSKLIVDKGIGSAVSELRGLLHLRGELSILGLENVTDFQDVSNAYLKDKDGLTELNLQWSDESLNSQNEEGQMHVLERLLPHKNLEKLRIRFYGGRMFPSWLGDPSSTNIAFLELYNCRRSTSLPSLGRLPSLKKLSIIGMYRLQKVDAMFYGHGSPCIKPFPSLEFLRFKDMLEWIYWSSPSQANEALEEFPCLRELVVENCPNLGGMLPPRFLSLVKLVIKRCPNLKASPMNSPSLNELNVEDSNEELRGSILQHPQNGAVQFPGFSSNCIGLTSLWKKGAILWNIAALERLKIKGCSQFVPLAENECVFTSLKDLQIEACPNLACFPQTGFLPKLKHLKLKDCRGLKSLPSTIMIHNCPLEELEIESCPALTCFPSGRLPTTLRRLKIRFCQALISLPKGLMQTDNSSSSISHLENLEIIDCPSLISFPKGKFPSSLKILKIWKIFHLESLSDWMLPKNSSLEFISIIDCTTMESMPECLNSLTHLTELNLQSCPALKCFPEMGLQLPNLRKFEVCECSSLRSLPSQMLRLTSLQYLTISECPCLLSFPKGGLPLNLLALVIWNCKNLEQPISEWNLHNLVSLRDLTIAGAPDMVSFPDEMRPLPTSLMYIYISSLHNLQSLSEGLHNLTMIKELEICDCPKLQRLPKAGLPAELGRFCIRDCQLLKQRCLKDKGAYWPMIAHIPCLEIETPDD >KJB51433 pep chromosome:Graimondii2_0_v6:8:50300760:50305390:-1 gene:B456_008G216000 transcript:KJB51433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex component-related / COG complex component-like protein [Source:Projected from Arabidopsis thaliana (AT5G51430) UniProtKB/TrEMBL;Acc:Q9FGN0] MMLDLGPFSDEKFDPKKWINSACKSRHPQDSLDKHMVDLEMKLQMVSEEIAASLEEQSSAALLRVPRATRDVLRLQDDAVSLRNSVAGILDKLKKAEGSSAESIAALAKVDTVKQRMEAAYETLQDAAGLTQLSATVEDVFASGDLPRAAETLANMRHCLSAVGEVAEFANIRKQLEVLEDRLDTMVQPRLTDALSNRKVDVAQDLRGILIRIGRFKSLELQYTKVHLKPIKQLWDDFDSKKRVSKLANEKSEVERLSSNNEQSSSLAVSFSSWLPTFYDELLLYLEQEWKWCMFAFPEDYKTLVPKLLVETMAAVGSSFVSRINLATGEVVPETKAFAKGILDILSGDMQKGSKIQTKHLEALIDLHNMSVIFARNIQHLFSESDTGVLMDTLKAVYHPYESFKQRYGHMERAILSSEIAGVDLRGAVTRGVGAQGIELSETVRRMEESIPQVILLLEAAVERCINFTGGSEADELILALDDTMLQYISTLQDTLKSLRAVCGVDNKDIGLDRKEGAQNSRKTDLISNEEEWSIVQGALQILTVADCLTSRSSVFEASLRATLARLSTTLSLSVFGSSLDNNQLHFANDDGNGEPPLGGRAALDVAAVRLVDVPEKARKLFNLLDQSKDPRFHALPLASQRVAAFAEAVNELVYDVLISKVRQRLSEVSRMPIWSAAEEQSAFRLPTFSAYPQPYVTSVGEYLLTLPQQLEPLAEGISNSDANNDEAQFFATEWMFKVAEGATALYMEQLRGIQYITDGGAQQLSVDIEYLSNVLSALSMPIPPVLATFQTCLGTPRDQLKDLLKSDNGNQLDLPTANLVCKIRRLNLD >KJB50269 pep chromosome:Graimondii2_0_v6:8:42846259:42852226:-1 gene:B456_008G161400 transcript:KJB50269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELRHPSSSLGSRVASSPMKRDEDTSPLIHDHFSQHVEDHPRHSSRDRERSFWWQTYSFSPFSKDDPRFSQRGSRISLFLLLFVAIAGLISIFSILHRLNSPYLCKKDGIVLHCPRVKEKPSLWENPFSATTSWKPCAERREGGISDLPPENETSGYLFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPEWFTDKSELFTSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMSLKPFVDRLGYDNVPPEINRLRCRVNYHALKFLPEIEQMSDLLVSRMRNRTGSPNPYMALHLRFEKGMVGLSFCDFVGTREEKARMAEYRQKEWPRRYKNGTHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELASKEELAAFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRIITETQLHLYLHSE >KJB50270 pep chromosome:Graimondii2_0_v6:8:42846260:42852173:-1 gene:B456_008G161400 transcript:KJB50270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELRHPSSSLGSRVASSPMKRDEDTSPLIHDHFSQHVEDHPRHSSRDRERSFWWQTYSFSPFSKDDPRFSQRGSRISLFLLLFVAIAGLISIFSILHRLNSPYLCKKDGIVLHCPRVKEKPSLWENPFSATTSWKPCAERREGGISDLPPENETSGYLFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPEWFTDKSELFTSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMSLKPFVDRLGYDNVPPEINRLRCRVNYHALKFLPEIEQMSDLLVSRMRNRTGSPNPYMALHLRFEKGMVGLSFCDFVGTREEKARMAEYRQKEWPRRYKNGTHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLTFKQVTKEELASKEELAAFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYVGHRQKSIKPDKGLMSKSFGDPYMGWVNFVEDVVITHQTRTGLPEETFPNYDLWENPLSPCMCKA >KJB52673 pep chromosome:Graimondii2_0_v6:8:55100769:55101999:-1 gene:B456_008G271900 transcript:KJB52673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILSWCFALALFMSILMESFSSSLAMMNVIDKCWRGNPLWRSQRQHLAKCSVGFAGKMINNIGKDIVKYKVTDPFDDPLSPKLGTLRYGATMIKSKVWITFKNSMSIALQRPLLLSSFTAIDGRGVDVHITGAGCLLVYQATDIIIHGLRIHHCKSQPPSTVMGPNAKVIPLGQMDGDAIRLVTARKVWIDHNTLYECQDGLLDVTRGSTDVTVSNNWFRNQDKVMLLGHDDGHLRDKNMKVTVIFNHFGPNYNQRMPRVRHGYAHVANNFYQGWEQYAIGGSMSPSIKSEANFFVAPNDAGNKEVTWRKGEKGLWKFYSIGDAFKNGASFSKQTGVGGAKPNYDQEQYFKVEIAGSVKELTSESGILRCSRSLTC >KJB49342 pep chromosome:Graimondii2_0_v6:8:34675964:34678375:-1 gene:B456_008G114300 transcript:KJB49342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAANNGTGPCGACKFLRRKCVRGCVFAPYFDSDQGTAHFAAVHKVFGASNAAKLLQGTPVHRRVDAVFTLCYEALARVRDPVYGCAGHIFTLQQQVVNLQAELAYIQARLSTLQCLSLVLPQSQAECRSPTTFHSASELGSDLVCSSDTSMNVFDLPQPQQTSIELAGSPNPFNRVTEDEDLQALAWELVSQCHPGVRFRPSTSG >KJB50818 pep chromosome:Graimondii2_0_v6:8:47019211:47021435:1 gene:B456_008G188300 transcript:KJB50818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATCSIFFSSSLSFPKLSSHSSDYKLITSLPFFCSFSPISSLKLSHHSLPVLPKRAFRVLASDSTTDPHEITDSSGAESTIDTKLPRRSLLVQFTCGECGERTERLINRLAYERGLVYVQIKAEG >KJB50817 pep chromosome:Graimondii2_0_v6:8:47019194:47022090:1 gene:B456_008G188300 transcript:KJB50817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATCSIFFSSSLSFPKLSSHSSDYKLITSLPFFCSFSPISSLKLSHHSLPVLPKRAFRVLASDSTTDPHEITDSSGAESTIDTKLPRRSLLVQFTCGECGERTERLINRLAYERGLVYVQCAGCLQYHKLADNLGLVVEYDLRDEM >KJB50092 pep chromosome:Graimondii2_0_v6:8:41178109:41179429:1 gene:B456_008G153400 transcript:KJB50092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLVRNATSNFSRRLDGYELLDQSGETREENPPQYRTSSKRRPHSVVASLSYRRDRAKKRQIFLRSYKLSAVDNNWGQPKPKSRKLKKMVLKVKTVVVSLVSFMRIGSFKSCNCGSAICAAAPIAIKKCC >KJB47788 pep chromosome:Graimondii2_0_v6:8:5471817:5473544:-1 gene:B456_008G041700 transcript:KJB47788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPHSSTFLFFCFCIILASGVTKPANAKNFTLVNQCKETIWPAIITDGGNFHGEGFTLESGQTAFYNAPNGWSGRIWGRTGCSFDKNGNGTCQTGSCGTSINCTSAGSLPVSIAEFTLGDDIDYYDVSLVDGFNLPIVVKPGGGKGNCSIAGCDGDLRQNCSSDLEVKNNGKVVGCRSACDAFNTDEYCCRGAYKDPVSCLPTNFSKSFKQVCPAASSYAYDDRVSIITCSASDYLVAFCASRNNTICTYQDQKFVCNSTSEGFKAISQSWRSWMLALPLASLLQFFL >KJB49107 pep chromosome:Graimondii2_0_v6:8:30180209:30181811:1 gene:B456_008G101400 transcript:KJB49107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLWAGALILVATSVKVVADNIGVNWGTMTSHPLHPRIVVQLLKDNGFEKVKLFDSDPWMVGYLAGTGIEVMLGIPNDQLEFLSQDYGNAKDWVKENCTSHLRKGGVNIKYVAVGNEPFLTSYKGRFLKTTFPALKNIQKALNEAGLGNKIKAVVPQNADVYESKSDKPSDGVFRRDVKAVMAQIVRFLKENGAPFVVNIYPFISLTMNSKFPSDFAFFDGGHPIQDDNVQYNNVFEANFDTLIYALKDLGTPNLTILVGEVGWPTDGHKKANKNSAKKFYDGLFKVLASKKGTPLRPGEMDVYLFGLFDEDTKSIEPGNFERHWGIFDFDGKPKFTMDISGKGGDKHLVAAKGVKYLPKQWCVLESYASNQDLIGQQTGWACARSDCTALQDGASCSNLDPDRTVSYAFNSYYQMNNQDEDACDFEGLATVVSKDPSTMSCRFNTQIKVDGATRLSFAQGAVPAISSSLTLFFLL >KJB48521 pep chromosome:Graimondii2_0_v6:8:13234745:13235533:-1 gene:B456_008G073700 transcript:KJB48521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEIAEAWDSLLLAQVIRGSVLITQSILRKYFILPSLIIVKNFARMLLFQFPEWSEDLTDWNREMHVKCTYNGVQLSETEFPKNWLTDGIQIKILFLFCLKPWHRFKLQPYHKDPMKKRKGIIKELSKINPIPFFGLREPYELSGTKKDLIINNKMIHKSSLQIQSMAWTNYSLIEKNKRSD >KJB48629 pep chromosome:Graimondii2_0_v6:8:14954879:14956680:1 gene:B456_008G078400 transcript:KJB48629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAEGTQQIQSPPLMNLDGLLCEEEDLGVYSFEENGSEIPAEKENFVPLFLNEHDLFWEDDELISLMSKEKQTHHGYVDVCPDKSLVLARKEALDWVFKVKAHYGFNALTMVLAVNYFDRFILSFKFQEDKPWMAQLTIVACLSLATKVEETQVPLLLDLQVEEARYVFDSKTIQRMELLVLSTLQWRMNPVTPISFFEHITRRLGLRTHLHWDFLRRCEHLLLFLITGFQCNFDPDSRFVIYTPSILAVATMLHLIKEVEPCHYLEYQKQLIAVLEACEDKVNACYKLISELLESQYKGNQGHKRKYKSIPSSPNGVIDASFSCDSSDDLWAVTSLVSSSPQPLFKRSRAQDQQMRLPSLNRMFVDVLSSPR >KJB48628 pep chromosome:Graimondii2_0_v6:8:14954835:14956719:1 gene:B456_008G078400 transcript:KJB48628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAEGTQQIQSPPLMNLDGLLCEEEDLGVYSFEENGSEIPAEKENFVPLFLNEHDLFWEDDELISLMSKEKQTHHGYVDVCPDKSLVLARKEALDWVFKVKAHYGFNALTMVLAVNYFDRFILSFKFQEDKPWMAQLTIVACLSLATKVEETQVPLLLDLQVEEARYVFDSKTIQRMELLVLSTLQWRMNPVTPISFFEHITRRLGLRTHLHWDFLRRCEHLLLFLITDSRFVIYTPSILAVATMLHLIKEVEPCHYLEYQKQLIAVLEACEDKVNACYKLISELLESQYKGNQGHKRKYKSIPSSPNGVIDASFSCDSSDDLWAVTSLVSSSPQPLFKRSRAQDQQMRLPSLNRMFVDVLSSPR >KJB49861 pep chromosome:Graimondii2_0_v6:8:39352310:39354032:1 gene:B456_008G142300 transcript:KJB49861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQFRLATASSRSYLAVFAAQKQGQFRRFASGAAIKGRTADPTIHSGELEAGPDVHRGEPQGIENHPDDHGEKEPKPGSETDPVKQPKLPHESSPRLKSSPVNHPLEPNVQQGRTATSGAALDDVSCVGMDGTPLPESKGKEERGKREETMDDKEYFKHHKASPLSEIEVADTRKPITRATDGTASETEQSKGERIGWRAEQLETAEETLLRATRIWKENAMRGIPEAPHSRRLRELRGEWF >KJB53291 pep chromosome:Graimondii2_0_v6:8:8948641:8949655:1 gene:B456_008G0574002 transcript:KJB53291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKITSLSSDQDTIFAATATSCATIYDQNYVSPPPFQTNHQQCHQDIAADFSSMYNFIFPPHSLPLSPSSCSSSSSNDSHLNLNLTSDAIATKHRLKQARLVLEYDQLCDHYNICFARLQALNRDIETLRRENSDLLVANNELMKLLNLSSMAVMNNRNLQRKELPKSVSVRSSNYLKLNHQQSPRNQQRVVNPF >KJB47724 pep chromosome:Graimondii2_0_v6:8:4856653:4858221:1 gene:B456_008G038300 transcript:KJB47724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKMGVNSKAEAARARKSATESDRKERESREKEENYWREAEGPKSKAAKKREEDAEKRAEAAARRAEARRQAEMEEKEIDKAMKKPDKKANRVAIPVPKVTEAQLQKREEEEQAEMAKKAEEAKKKQSRTAAEEEYERMVLVTNTNRDDSLIEARSVEEAIAQMAVADNLPADRHPERRLKASFKAFEEVELPRLKEEKPGLTHTQYKDLIWKLWKKSPDNPLNQMSE >KJB50808 pep chromosome:Graimondii2_0_v6:8:46866986:46869597:1 gene:B456_008G187600 transcript:KJB50808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRSNRFTLAVCILCLGIWCCGQAYNTEEAAPMEKAEQAALYSAIQGFVGNWWNGSDLYPDPCGWTPIQGVSCDIVGGLWYITALSIGPFYENSLACATNVEFRPQLFQLKHLKSLSFFNCFVSLRHPITIPGDKWEKLAGSLQSIEFRSNPGLIGQVPTSFGYLKKLQSLVLLENGLTGQVPTNIGNLTNLNRLVLAGNRFTGRIPDSFGRLKKLLILDLSRNSLSGHLPLGLGGLASLLKFDLSNNQLKGKLFARNAYLKNLTLLDVRNNKFSGGLNQPILDMHSLEELMLSCNPLGGDIMRLDWQSLQSLVVLDLSNVGLKGEIPESLSGLKRLRYLGLGNNNLAGNPPAKLASLPNLNALYLNGNNLTGVLKFSEIFYGKMGKRFGAWNNPELCYPIGSMTTRNGPYGVKPCQRGVTLLDPNSGAKLGDGNLNNNSHFVASLGFSSNGIDGLRQLIWVDTSITVLLLNLFL >KJB49257 pep chromosome:Graimondii2_0_v6:8:33918889:33920178:-1 gene:B456_008G108900 transcript:KJB49257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAAIAVTIPKLTHYTIPSSTIINPLKLNENSEFSWTPLKHDSVLTHSNSGKLAKHWMEYQGINNWEGLLDPLDDVLRSEILKYGRFVEAAYQSFDFDPSSPTYATSKFHKNSILTRSCIGETGYKPTKHLRATCGIQLPGWVDRGPSWVSTRSSWIGYVAVCQDKEEIARLGRRDVVIAFRGTATCLEWLENLRATLTCLPDDVVNVVGPENGGAMVESGFLSLYTSDSDTCPSLQHMVREEIGTVLQTYGDEPLSFTITGHSLGAALATLAAYDINSTFSDAPMVTVISFGGPRVGNQNFRCQLEKSGTKILRIVNSDDLITKVPGFVIDSDDTEMTSNQALNVVGLPRWVRKRVEDAPLVYADVGQELRLSSKECPYLCKEGVVSCHELSTYLHLVNGFVSSNCPFRATAMRVLYKHHRQKLGSY >KJB47343 pep chromosome:Graimondii2_0_v6:8:2501222:2505819:-1 gene:B456_008G022000 transcript:KJB47343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDEEWIMVRRPTVADLWNPCFSDSTSRPLKVIFNEPAKYWTDALPVGNGRLGAMIWGGVASELIQLNEDTLWTGVPGDYTNPNAPYALSEIRKLVDSGEFAEATAAAAKLVGNPADVYQLLGDIKLEFDDSHLSYDKETYQRELDLDNATVQIKYTVDGVEFSREHFASNPDQVMVTKISGTKPGSVSFTVYLDSKLDHHCKTNGESQVILEGHCPEKRIPPQVYADDKPKGIQFSAVLDLKISKGNGIVHILDDSKLRVEGSDWAILFLVASSSFDGPFTKPSDSKKDPTSDSLNVLKSISNLSYVDLYAHHLDDYQNLFRRVSLQFSKSSRSVVCLKKNQDGRVPTTAERIKSFQMDEDPYLVELLFQFGRYLLISSSRPGTQVANLQGIWNKDLEPKWDSAPHLNINLEMNYWPSLPCNLSECQEPLFDFLSSLSVNGRKTAEVNYETSGWVVHHKSDIWAKSSADAGDVDWALWPMGGAWLCVHLWEHYTYTMDIDFLKDKAYPLLKGCGIFLLDWLIEGKGGYLETNPSTSPEHDFIAPDGNRASVSYSSTMDMAIIKEVFSVIVSAAEVLDKNEDDLVKRVRKAQSRLYPTKVAKDGSIMEWAGTRFSGP >KJB47344 pep chromosome:Graimondii2_0_v6:8:2501223:2505819:-1 gene:B456_008G022000 transcript:KJB47344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDEEWIMVRRPTVADLWNPCFSDSTSRPLKVIFNEPAKYWTDALPVGNGRLGAMIWGGVASELIQLNEDTLWTGVPGDYTNPNAPYALSEIRKLVDSGEFAEATAAAAKLVGNPADVYQLLGDIKLEFDDSHLSYDKETYQRELDLDNATVQIKYTVDGVEFSREHFASNPDQVMVTKISGTKPGSVSFTVYLDSKLDHHCKTNGESQVILEGHCPEKRIPPQVYADDKPKGIQFSAVLDLKISKGNGIVHILDDSKLRVEGSDWAILFLVASSSFDGPFTKPSDSKKDPTSDSLNVLKSISNLSYVDLYAHHLDDYQNLFRRVSLQFSKSSRSVVCLKKNQDGRVPTTAERIKSFQMDEDPYLVELLFQFGRYLLISSSRPGTQVANLQGIWNKDLEPKWDSAPHLNINLEMNYWPSLPCNLSECQEPLFDFLSSLSVNGRKTAEVNYETSGWVVHHKSDIWAKSSADAGDVDWALWPMGGAWLCVHLWEHYTYTMDIDFLKDKAYPLLKGCGIFLLDWLIEGKGGYLETNPSTSPEHDFIAPDGNRASVSYSSTMDMAIIKEVFSVIVSAAEVLDKNEDDLVKRVRKAQSRLYPTKVAKDGSIMEWVCQ >KJB47342 pep chromosome:Graimondii2_0_v6:8:2501209:2505819:-1 gene:B456_008G022000 transcript:KJB47342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDEEWIMVRRPTVADLWNPCFSDSTSRPLKVIFNEPAKYWTDALPVGNGRLGAMIWGGVASELIQLNEDTLWTGVPGDYTNPNAPYALSEIRKLVDSGEFAEATAAAAKLVGNPADVYQLLGDIKLEFDDSHLSYDKETYQRELDLDNATVQIKYTVDGVEFSREHFASNPDQVMVTKISGTKPGSVSFTVYLDSKLDHHCKTNGESQVILEGHCPEKRIPPQVYADDKPKGIQFSAVLDLKISKGNGIVHILDDSKLRVEGSDWAILFLVASSSFDGPFTKPSDSKKDPTSDSLNVLKSISNLSYVDLYAHHLDDYQNLFRRVSLQFSKSSRSVVCLKKNQDGRVPTTAERIKSFQMDEDPYLVELLFQFGRYLLISSSRPGTQVANLQGIWNKDLEPKWDSAPHLNINLEMNYWPSLPCNLSECQEPLFDFLSSLSVNGRKTAEVNYETSGWVVHHKSDIWAKSSADAGDVDWALWPMGGAWLCVHLWEHYTYTMDIDFLKDKAYPLLKGCGIFLLDWLIEGKGGYLETNPSTSPEHDFIAPDGNRASVSYSSTMDMAIIKEVFSVIVSAAEVLDKNEDDLVKRVRKAQSRLYPTKVAKDGSIMEWAQDFQDPDVHHRHLSHLFGLFPGHTMTIESMPDLCKAAENTLHKRGEEGPGWSTMWKAALWARLHDSEHSYRMVKHLIYFVDPEHEKAFKGGIYSNLFAAHPPFQIDANFGFTAAVAEMLVQSTLEDLYLLPALPRDKWGNGCVEGLVARGGVTVNICWKEGNLHRLGLWLKNRKSWRRFRLHYRETMVSVNLSCETMYTYNGRLDCVNTYSLL >KJB49507 pep chromosome:Graimondii2_0_v6:8:36111884:36115585:1 gene:B456_008G122900 transcript:KJB49507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQLNSDLFDPRNEMDSDYSRGSAGSGGDFGFAFNDSNFSDRLLRIEIMGGPSDCLPDGEGCTSIADWARHRKRRREDMKKENVLDLSLCPEEQVLNDGQPDMDDAAGCENQDEEAVAMVEETQSGDEEANSNESSWNMDCSTVLRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMELLNFMYSNTLSVTTAPALLDVLMAADKFEVASCMRYCSRLLRNLPMTPESALLYLDLPSSVLMGEAVQPLTDAAKQYLASRYKDMTKFQEEVMALPLAGIEAILSSDDLQIASEDAVYDFVLKWARTQYPKLEDRREVLGSRLARFIRFPYMTCRKLKKVLTCNDFDHEVSSKLVLEALFFKAEAPHRQRSLAAEESATLNRRFIERAYKYRPVKVVEFELPRQQCVVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGILHLRAELTIRH >KJB47831 pep chromosome:Graimondii2_0_v6:8:5920174:5922356:-1 gene:B456_008G044100 transcript:KJB47831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSSSSSSSLPSTKLPVKPIPGDYGLHFFGPIRDRLAYFYNEGRDKFFANRIQKYQSTVFKTNMPPGPPIASCSHVICLLDAISFSVLFDASKVEKRDVLDGTFLPSLAYTGGHRVCAYLDPSEPKHTSLKSFFLSTLAARHHKFIPFFQIGLSELFNELEAQIYTKKEAYFNTLSDTMSFNYVFRLFCNKNPSETKIGSQGPKLVDKWLLFQLAPLGSLGLSPKILGYFEDILLRTFSFPFFLVKSDYKKLYDVFYEFGSSVLDEAENEFGIERDEACHNLIFLAGFNAYGGMKVLFPGLIKWVALAGQTLHRRLADEIRAVIKAEGGVTLSALNKMVLAKSVIYEYLRIDPPVPFQYGKAKVDLEVESHDVAFEIKKGEMIFGYQPFATKDPKIFVNPEEFVADRFVGEEGEKLLKYVYWSNERETEDSTAENKACAGKNLVVLLCRVLLVEFFLRYDTFQVEASTFMFAPSVTFKSLTKASSST >KJB49591 pep chromosome:Graimondii2_0_v6:8:36869448:36871560:1 gene:B456_008G127500 transcript:KJB49591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGALDHGFKDEAMPVCEIKGQSGNRRRTTLAELFSTDSPSGLEMELNCGKKAIVGTKQGVSLATKLVPQVGEGSGPIRMLHKMMRRMLKRKIHPEVEGKREQCCKKHEACEFVYLLQSQGAAATA >KJB47815 pep chromosome:Graimondii2_0_v6:8:5727141:5729261:1 gene:B456_008G043000 transcript:KJB47815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAKSSYYILPLVFALFTLLSSSNALASTPHPKAIADLKEGILKLLGFQADDVEISGFDSRDALVGHSVAYEFDVEIDRKVIPVKLLEDVNRWEYVDLPFLRVEELARPGVDNGLVEQKRISDDGLPVLVPFQLAGPMELWIQDAKDIRISLPHDVDAGVLKKVILADGAVVTVTGARSVSLRHPIDLPLPLNRTHNGFASGLMALAEQLHHASRSQDAPLLSLRIVGPTSLTVPSSATPNNKLKLKRLAPGFVELSSMSKTKAMNGLSTINPQEETATILTPKHFATMWPLASINGSNANLVGFETLLSSLLGPKANKKGSFKLLKADVSAQTFVKIGFGVEKKLKQGDGFDLEGFPEWRTKPERVSMHFEVLAKVEGEKIIPERVVQVNPVDIEDTVAPNVVTGNITMSSIPVVYTPSNPFTL >KJB52274 pep chromosome:Graimondii2_0_v6:8:53667793:53668901:1 gene:B456_008G253400 transcript:KJB52274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQCQKTTEKGLAQGYYGGGSSWGSQATKMENKYQNGYAMSYSEQERHSQIRCPTYGLSESYTTYGQSHGTHNGNAMSKPQFHGHGNGYDSHMSNGMAHTQAYGSNHHNSPGKHHGPGYGSGFGGYPPKNHGMPHSPPHHGGKLSGQGNGFLKPQAYGPGRNMAYGMAETESCEYRSEVYYSNESHYNDGGRGHHHAGKGNHPVKGLLRKIKGGISRNKSCSDSDSGSDSDDDGYGKKTVFVSKAI >KJB50956 pep chromosome:Graimondii2_0_v6:8:47971938:47974764:1 gene:B456_008G194800 transcript:KJB50956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDDVRMNLEVVKHCATVLFLESSLPNIITGNHRVPRYHRVVMSASHLPSSLVQTCIPFLQVQLQKMFQISLAGVGNSEHHPRISLLTHHTGGENTDLSNPVQPDPFNMGLLRNEIKLMPFNQMSP >KJB50896 pep chromosome:Graimondii2_0_v6:8:47546696:47547442:1 gene:B456_008G192000 transcript:KJB50896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGASNKITDDELNALILRLGTLLPQLNHGRHGRASSSTTKILKETCSYVRRLQKEVDDLSERLSQCLDSMDISSSDAEFLTNLLQQ >KJB51194 pep chromosome:Graimondii2_0_v6:8:49068819:49072541:1 gene:B456_008G205700 transcript:KJB51194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMASVQLGRQTSIQDSPRSPEAKLGMQVEDLWDVQEPELSPNEKLNACFESIPVSAFPIAPQGIEIKSDASLAEAVQILAQNKILSAPVVDVDAPEDATWMDRYIGIVEFAGIAVWILQQSEPSSPRSPSSPNGAEFAIAANGMVSAAGLGALGPEDASITSGDFFEALTSSEFYKNTKVRDISGSFRWAPFLALQKSNTFLTMLLLLSKYKMKSVPVLDLGDGKIDNIITQSAVIHMLAECTGLHWFESWGSKKLSEIGLPTMSPEHIITVYEDEPVLQAFKLMRKKRIGGIPVIECGRKKAIGNISLRDVQFLLTAPDIYCDYRSITAKNFLIAVRNYLEKHEKRSPMLSGMITCKRDETVKELIQKLDSEKIQRVYVTDEDGNLEGVITLRDIISRLVHEPRGYFGDFFDGVLPLPENSRV >KJB51470 pep chromosome:Graimondii2_0_v6:8:50427361:50429257:-1 gene:B456_008G217800 transcript:KJB51470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFGNCSVLSSVVLPIMCICLTQWLLVFPVAKTIPNGFSVDLIHRDSPLSPLYNPLETPFDRFYNAYNRSISRINRFRPSYSKAVWSDIMASGGEYFMNISIGTPPVLVLGIADTGSDLTWVQCKPCEECYLQKPPLFDPMKSSTYKSVQCGSDPCNALDSSDRVCDQEQNTCKYSYSYGDRSFTRGNVALEKFTIGAANSTRIDSPVSFPNLVFGCGHKNGGTFDNVGSGIIGLGGGPLSLVKQLSKSIHGKFSYCLQAPDSAAKSSKLIFGTATDSNAVSTSTPLVDRDPSTYYYVTLEAISVGDKKLAYGSGSASSATGEGNIIIDSGTTLTFLESEFYNSLESALEEAIKAKRVSDPKGLLSPCFEADKDMDLPVITFHFSGADVKLQPWNTFAQVQDHMVCFTIVPSNDIAIFGNLSQMDFLVSYDLEERSVSFTPTDCTKNP >KJB49282 pep chromosome:Graimondii2_0_v6:8:34177214:34180172:1 gene:B456_008G110800 transcript:KJB49282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHKKSELQIGKESSGVSSDFNPKPSTVHHQLPLHHLQKHFNYHHRLQQQSDATAAETVTGTTPISVFPQIITQNPPENGTIAPPPPSSSSSPTPYKRPLLTQTRSLTKSPTLYRFTTPRHFNSQNTTSFFSFSVAAKSFVYRILRRFKNLRRLRVHLRLILLLSLPFFYFLVSHPSHSFLLDFLSAFAFSAALLFSLNLALPRLPSIRLFLSRSFPIKLKSSSSLSRSHFPVLWSVGSRPKSEKRANSGCWVQVYSNGDIYEGEFHKGKCSGSGVYYYYMSGRYEGDWVDGKYDGYGVETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWLNGQSHGCGIHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYCFANGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGILDVPSTQSTSYPVSPVAVYHSKVLNVVQEARRAAEKAYDVAKVDERVNKAVAAANRAANAARVIAVKAVQKQMHHNNGDSIPIV >KJB48774 pep chromosome:Graimondii2_0_v6:8:18968418:18968942:-1 gene:B456_008G0865001 transcript:KJB48774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILVLSSAMGSNLREILENVCYLEIFLSFLIDKEKNFRPKENAIMKFYQQFSCVGGDPVFSESLCKELQKKFFQQRCELGRIGRRNMNQRLNLNIPQNNTFLLPRDILAATDCLIGMKFGMGTLDDMNHLKNKRICFVADLLQDQFGLALVRLENVVRGTICGAIRHKLIPTPQNL >KJB49029 pep chromosome:Graimondii2_0_v6:8:27929822:27930547:1 gene:B456_008G098500 transcript:KJB49029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDKKLVIPTDNPSLQISPVKLVGHNYLTWSRSCLLFIKDRGLQGYIIDKSSEPELTDSTFSQWDSTNPLVMAWLINSMQTHISKTYLLLDTVKKIWNFAALTYSRVGNDAQIF >KJB47304 pep chromosome:Graimondii2_0_v6:8:2311361:2312266:1 gene:B456_008G020000 transcript:KJB47304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNQQQPPVGVPPPQGYPPEGYPPKDAYPPPGYPPQGYPQGYPQQGYPPQGYPPQYAQPPPQQKQSSGFVEGW >KJB47305 pep chromosome:Graimondii2_0_v6:8:2311361:2312989:1 gene:B456_008G020000 transcript:KJB47305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNQQQPPVGVPPPQGYPPEGYPPKDAYPPPGYPPQGYPQGYPQQGYPPQGYPPQYAQPPPQQKQSSGFVEGCLAALCCCCLLDACF >KJB51539 pep chromosome:Graimondii2_0_v6:8:50796629:50799085:-1 gene:B456_008G221300 transcript:KJB51539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTDDKPPPLSIQDINNIITNLRNLLTSDCAEAEIAVATNTAANNLTGNEQDGSNRTGNEQDGTNKQDGDANQAQETNDKKKEPLYELGKLCKELDYMIRSFQELENLKNSLREPFETLEANVNDIVSDLEKDELRKQVQHNLRVFRNNITRVKILILLQLQAASINSDGNRALQTTFATGEAGDLPHLYGEHIFENSYYFKEFEEKYEGLDDRQKLCLLCFSIFPENAEIKKRFLRFWWVGENLIARNGKDEMGDLNDTLTTFVEKRLIEPVLKKNRLQPRSYKVTPIVRSCLIHFAKKEGFFDYDQDGKPIMDFSSCNKACMVKSERATASWFADYLKGNDKDGNERATASWFADYLKGNDKDGNSEKPKEQEQHQEQGKLLQGKLSADLVKLQMLFNFPHENTLIRASQQIDKLQTLFNLSKQFPDLPTEWFSKMTGIKVLYLGRWEATAGQARHIEVEDIEFLKGFKNMKNLRLLSLQGISGIPKLPATLCKLENLRILDLRACHDLEKLPDRIGSLKKLTYLDLSECYLLDYIPQQLNKLSELQVLKGFVILEAKNSCTLDDLSELPSLRKLSVNVNTTKFNIDEAGDALAKFQKLEKLRIAWGWGGMPGKEDSTLDSSSEQQQSKTKKKQASSISSAAAKASTDKVNKGEDKGNRERSAVAAAGEANSKTAKLGGGLRGTANIALMAKKWRRLSMKEREPQNLEGLERLVKLDLQCFPRSEPPTWLVPRKMKKLTNLSIRGGRLGYLNHEDGQKWNADTLRLKFLVNFKMNWKEMQQRFPNLKYLENLRCPRITFCPCDANGVWQKFVQSK >KJB52038 pep chromosome:Graimondii2_0_v6:8:52870043:52876312:-1 gene:B456_008G243400 transcript:KJB52038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKARQIQEWQAYYINYKLMKKKVKQYAHQIEVGTLERRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASKLAKLREQHDTLEQQPEISQITELREGYRVVGYDLLKLLYFVEMNAIGLRKILKKFDKRFRYRFTDYYVKSRANHPYSQLQQVFKHVGLGAVVGAVSRNLHELQDCQGSYLSIYDPPALPLQDPVVDSIKAAVDRLTHSTNFLNFMAQHALIMQEELPAPAEEQIDEERYHYVSLLLNLANTFLYMVNTYIIVPTADNYSMSLGAAATVCGIVIGAMAVAQVFSSVYFSAWSNRSYFRPLVFSSIVLFVGNAMYALAYDLNSLTMLLLGRLFCGFGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGILQINFKIYKLTFNAMTLPGWVMAVAWLVYLIWLWFSFKEPSRDVEENNSPHASDSETAEKNTLEKGLKQPLLITSEEQQEDDEDAEADGSEEVSQESRRPATSIASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVVTTYYFSWSTSTVAVFLACLGLTVLPVNIVVGSYISNMFEDRQILLASEIMVFVGILLSFHVIIPYSVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITMAGFLGQSQLLNITLLPSLLICVISIAATCFTYNSLY >KJB52039 pep chromosome:Graimondii2_0_v6:8:52870043:52876312:-1 gene:B456_008G243400 transcript:KJB52039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKARQIQEWQAYYINYKLMKKKVKQYAHQIEVGTLERRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASKLAKLREQHDTLEQQPEISQITELREGYRVVGYDLLKLLYFVEMNAIGLRKILKKFDKRFRYRFTDYYVKSRANHPYSQLQQVFKHVGLGAVVGAVSRNLHELQDCQGSYLSIYDPPALPLQDPVVDSIKAAVDRLTHSTNFLNFMAQHALIMQEELPAPAEEQIDEERYHYVSLLLNLANTFLYMVNTYIIVPTADNYSMSLGAAATVCGIVIGAMAVAQVFSSVYFSAWSNRSYFRPLVFSSIVLFVGNAMYALAYDLNSLTMLLLGRLFCGFGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGILQINFKIYKLTFNAMTLPGWVMAVAWLVYLIWLWFSFKEPSRDVEENNSPHASDSETAEKNTLEKGLKQPLLITSEEQQEDDEDAEADGSEEVSQESRRPATSIASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVVTTYYFSWSTSTVAVFLACLGLTVLPVNIVVGSYISNMFEDRQILLASEIMVFVGILLSFHVIIPYSVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITMAGFLGQSQLLNITLLPSLLICVISIAATCFTYNSLY >KJB47124 pep chromosome:Graimondii2_0_v6:8:1380270:1382199:1 gene:B456_008G012400 transcript:KJB47124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVKGGKVCVTGAAGFLASWLVKRLLLSGYHVIGTVRDPANEKKLAHLWRLDGAKERLKLVRADLLEEGSFDDAIMGCQGVFHTASPVIKPSFDPKTEILEPAVKGTLNVLGSCKKNPSLRRVVLTSSSSTVRARDDFNYKIPLDESSWSSLELCATLQVWYALAKTQAEKAAWEFCNENKIDLVTVLPAFVIGPSLPPGLCSTASDVLALLKGETEQFQWHGRMGYVHIDDVALCHILVYEHEGASGRYLCSSTVIDNDELVSILSARYPSLPIPKGFAKLDRPYYEFDTSKIRSLGFKFRPIEEMFDDCIESLVEKGLLSLHSAHQRPLS >KJB48488 pep chromosome:Graimondii2_0_v6:8:12314112:12317810:-1 gene:B456_008G071300 transcript:KJB48488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASKTPHFFKIILEATIRDKKLGIPRKFVRKYGKGLSSSVLLTVPSGDTWHAQLTKSDGVVWFQNGWQAFAEYYSLQYGHFLVFRYEGNGKFLVLIFDMSASEIEYPCKSHIEDHNSDDQVCLKLVNKEAKDDTCDGTLYETPPCKETRKKKKKKRSRPPCSKPRKKLKTTQKDKNEKDWEDESTSEDDMQTKVPRDEHAFGVIEYDKALQRASSFRSENPFFLVIMQPSYINPGRKMCIPKEFTMKFLKENLGDLTLCTSEGKTWSTQYWRYISRNKYTKAIIHIGWRQFMLDNNLEAGDVCVFELISQTESMLKVIIYRVRQDTSCSSPLGGINSSENGGNVNSSTLGSTESNHDCLMRPMTPVEKARAILKASNFKSKNPFFKVVMQPRYLILRCSLGIPYKFVNRHLDEEKEEAILRVSDGRTWVVKFTVKVFTGGQHKAEFSTWRAFARDNNLEVGDVCVFELINRHENSFKVSIFSAAPGANSSLSPQADDAEASQVASKNCLVPRIEADDDFGNCYAGNSTDDAIASQVASKDWLVPKIEADDDFGKCHVGNSSSAAQFPAIGYQETEEEVQPTISTRPRGPQRLQAGEKAKALQRASGFKSQNPFFTVAMQPSYVSNGYRLAIPLDFSRKYLRNGSGNAILSMVGDGKTWLTKYHREAKGTNPRAKLIDGWKTFAKDNNLEIGDVCVFEMINSEGYQLSLNVAIYKPQEDQT >KJB48489 pep chromosome:Graimondii2_0_v6:8:12314112:12318425:-1 gene:B456_008G071300 transcript:KJB48489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHSLKANNGSMFASKTPHFFKIILEATIRDKKLGIPRKFVRKYGKGLSSSVLLTVPSGDTWHAQLTKSDGVVWFQNGWQAFAEYYSLQYGHFLVFRYEGNGKFLVLIFDMSASEIEYPCKSHIEDHNSDDQVCLKLVNKEAKDDTCDGTLYETPPCKETRKKKKKKRSRPPCSKPRKKLKTTQKDKNEKDWEDESTSEDDMQTKVPRDEHAFGVIEYDKALQRASSFRSENPFFLVIMQPSYINPGRKMCIPKEFTMKFLKENLGDLTLCTSEGKTWSTQYWRYISRNKYTKAIIHIGWRQFMLDNNLEAGDVCVFELISQTESMLKVIIYRVRQDTSCSSPLGGINSSENGGNVNSSTLGSTESNHDCLMRPMTPVEKARAILKASNFKSKNPFFKVVMQPRYLILRCSLGIPYKFVNRHLDEEKEEAILRVSDGRTWVVKFTVKVFTGGQHKAEFSTWRAFARDNNLEVGDVCVFELINRHENSFKVSIFSAAPGANSSLSPQADDAEASQVASKNCLVPRIEADDDFGNCYAGNSTDDAIASQVASKDWLVPKIEADDDFGKCHVGNSSSAAQFPAIGYQETEEEVQPTISTRPRGPQRLQAGEKAKALQRASGFKSQNPFFTVAMQPSYVSNGYRLAIPLDFSRKYLRNGSGNAILSMVGDGKTWLTKYHREAKGTNPRAKLIDGWKTFAKDNNLEIGDVCVFEMINSEGYQLSLNVAIYKPQEDQT >KJB52708 pep chromosome:Graimondii2_0_v6:8:55234288:55236130:-1 gene:B456_008G273700 transcript:KJB52708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDWDLYAVVRSCTSVANTTRAVENNNNENCSSSFEDPLACLASLTFEEDDDPFPFPNLSQLAETGHLQDSYKPFLPNADPIAAAAAIDPCSSSSHHGGSSSQLHQHQRLQHQQEQPAATGIGSPLTPTSAPLFTFAGFGNQKQVQPQSHQQQQTRAQPPVQTPRSRKRKNQQKRTVCHVTADKLSSDPWAWRKYGQKPIKGSPYPRNYYRCSSSKGCSARKQVERSNLDSDIFIITYTGEHTHPKPTHRNSLAGSTRNKLSTVQKPTTTKDSAAETIPTTSTVSCSSPRSATSLSPISPTTTLSAPEDTAAAVHKTGDNGGEEESVYMDVESDEDDDDLLIPNVHVDEDLFKGLEELVGSGSNGVGIVPTFGDNFSSWSTGNSAAAGAAASGGC >KJB52033 pep chromosome:Graimondii2_0_v6:8:52979930:52980701:1 gene:B456_008G244800 transcript:KJB52033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLESLSPFFFPFVALCFTMATAATFTIRNNCSYTVWAAAVAASAGGGGKRLESGATWNLNVKPGTRGSRIWARTNCQFDEAGRGRCKTGDCGGRLKCKAYGKPPNTLAEFALNQYKNLDFFHISLVDGFNVPMEFSPTSGLCKKGIQCTADIVRQCPKELKAPGGCNNPCTVFKTQQYCCYYGKCDPTDFSKFFKARCPNAYTYPRDDPSSTVTCPGGTNYKVVFCPIGSPHLEMVASMSQEE >KJB52990 pep chromosome:Graimondii2_0_v6:8:56271618:56274222:1 gene:B456_008G287200 transcript:KJB52990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSETSPRVSFSHDLSQPTMEQREQRRDTKLLEQPPCSDFEFNICTTSFDQQQWSSADELFANGMLLPKTVTPKYDAIAPSLVSLPPRPKLSMADDVRKDMVEKKPVSNKSIWGFKRSSSLNCDVKKGLICSLPLLSRSNSTGSVSSASSKHKHSSPKLPSSSSCCNGYQFPQKPPLKKNHGNCSYGNGVRISPVLNVPPPYISKGTANLFGLGSFLRNGKVKKSKK >KJB52893 pep chromosome:Graimondii2_0_v6:8:55871301:55873463:-1 gene:B456_008G282400 transcript:KJB52893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLHQSDDLVYQIFSHTKQHKIPQDPITGHTVVVESNPIISNPTVKNRGRKICHMEGGAGSDKYKKQKLHREIERQRRQDMASLYASLRSLLPGEYIKGKRSMSDHMNEAVNYIKHLEKKVKDLDAKRHELKRVSDLASVGSRTKPAAASISNHCFIIRPCLIGIEIMFRCGVEDQDLSLSRVLAVLVDEGLHVVSCFSTKSEEFLFHTIQTEVNDPTSVNISRLQQKLPQSSEHCTASSLRPKMKVPKVATWLVRSMCIYIYFISNLNNGVMCGAASQAN >KJB52894 pep chromosome:Graimondii2_0_v6:8:55872261:55873463:-1 gene:B456_008G282400 transcript:KJB52894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLHQSDDLVYQIFSHTKQHKIPQDPITGHTVVVESNPIISNPTVKNRGRKICHMEGGAGSDKYKKQKLHREIERQRRQDMASLYASLRSLLPGEYIKGKRSMSDHMNEAVNYIKHLEKKVKDLDAKRHELKRVSDLASVGSRTKPAAASISNHCFIIRPCLIGIEIMFRCGVEDQDLSLSRVLAVLVDEGLHVVSCFSTKSEEFLFHTIQTEVNDPTSVNISRLQQKLPQSSEVTKN >KJB52897 pep chromosome:Graimondii2_0_v6:8:55897316:55900320:1 gene:B456_008G282700 transcript:KJB52897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFWYLVCMITFLELSKLAISRPEQVPTPPLPVLPLPTFSQLKWQQRELIMFLHFGVNTFTDSELGTGKENPSIFNPVGLDTKQWVTVAAEAGISLMILTAKHHDGFCLWPSKYTDHSVISSPWRNGHGDVVQEFIDAVKTHGGGGIDAGLYLSPWDRHDKRYGHDLQYNEYYLAQLQELLSKYGSVKEIWFDGFKGKEARNMSYYFSDWFAMVRELQSSINIFSDAGPDVRWVGNEKGSAGNTSWSTINSTLLSIGNLSVLDYLNTGDPKGTNWLPAECDVSIREGWFWHKSQSPKMLSQLLDIYYKSVGRNCVMLLNVPPNSTGLISESDIHRLKEFRTAIDTIFSTNLAEKCTVKVSSQRGGKGGGFGPENVLDNDHVWTYWSPKENKNEHWIEIKVPNAEEGMKFNVVRIQEPIGLGQRIIKHEIYVDGKKVVQGTTVGYKRLHRLEKVLHCRVVRIIVKESKGLPLISSTGLHLDPYWKP >KJB47495 pep chromosome:Graimondii2_0_v6:8:3401934:3404216:1 gene:B456_008G028900 transcript:KJB47495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFARNSLNAFRARHLAVSGQALQGLQNYGLQLSSHSYSTKKVFKRSINTLFLTEMVRGLMLTLKYFFDRKVTINYPFGKGPLSPCFRGEHALRQYPTGEERCIAFICPAQAITIEAEEREDGSRRTTSSTLQVCIYCGLCQEACPVDAIVEGPNFEFATETREELLYDKEKLLENGDRWETEIAENLRSESLNC >KJB51385 pep chromosome:Graimondii2_0_v6:8:50152896:50158414:-1 gene:B456_008G214800 transcript:KJB51385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramide kinase [Source:Projected from Arabidopsis thaliana (AT5G51290) UniProtKB/Swiss-Prot;Acc:Q6USK2] MEINGSVYNSGNISQRNVDAEGQTSVLSSNLFLDHVGEVTLTLNSDGLSWKSLYSLDNDGSTCLGIKFLPRVATKMATKLTFSDIYAVEIIDSDVIQESDLTLAAECFVGNGSHDSGMNHFMVHTFQKSKSQPCLWSLAVYKFGNKDLQTCQMWVNKINDSLSKEVGRPKNLLVFVHPMSGKGNGRRIWEAVAPIFSCAKINTKVIVTQRAGHAFDVMTSATNEELNSYDGVLAVGGDGFFNEILNGFLSSRHKAPFPPIPSDFLDALGNNSNPLVNDPNEADSEIHNNIESDPLLSSSAHNESGFSHLRTNDGSHIEDQQIENPLPNQRLRFGIIPAGSTDAIVICTTGARDPITSALHIILGKKLCLDVAQVVRWKTTSTSKIEPCVRYAASFAGYGFYGDVITESEKYRWMGPKRYDYAGTKVFLKHRSYEAEVGYLEVESEKDSSILDGSRMLSRVRSLKRKKSERNICQANCDVCNTKPVYSSIRSPPAISSPEARWLKSKGRFLSVGAAIMSNRNERAPDGLVADAHLSDGFLHLLLIKDCPHALYLCWQGKVETP >KJB51383 pep chromosome:Graimondii2_0_v6:8:50153122:50158276:-1 gene:B456_008G214800 transcript:KJB51383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramide kinase [Source:Projected from Arabidopsis thaliana (AT5G51290) UniProtKB/Swiss-Prot;Acc:Q6USK2] MEINGSVYNSGNISQRNVDAEGQTSVLSSNLFLDHVGEVTLTLNSDGLSWKSLYSLDNDGSTCLGIKFLPRVATKMATKLTFSDIYAVEIIDSDVIQESDLTLAAECFVGNGSHDSGMNHFMVHTFQKSKSQPCLWSLAVYKFGNKDLQTCQMWVNKINDSLSKEVGRPKNLLVFVHPMSGKGNGRRIWEAVAPIFSCAKINTKVIVTQRAGHAFDVMTSATNEELNSYDGVLAVGGDGFFNEILNGFLSSRHKAPFPPIPSDFLDALGNNSNPLVNDPNEADSEIHNNIESDPLLSSSAHNESGFSHLRTNDGSHIEDQQIENPLPNQRLRFGIIPAGSTDAIVICTTGARDPITSALHIILGKKLCLDVAQVVRWKTTSTSKIEPCVRYAASFAGYGFYGDVITESEKYRWMGPKRYDYAGTKVFLKHRSYEAEVGYLEVESEKDSSILDGSRMLSRVRSLKRKKSERNICQANCDVCNTKPVYSSIRSPPAISSPEARWLKSKGRFLSVGAAIMSNRNERAPDGLVADAHLSDGFLHLLLIKDCPHALYLWHLTQLARKGGNPLNFDFVEHHKTPAFTFTSIGKESIWNLDGELFQAHKLSAQVFRGLICLFASGPEV >KJB51384 pep chromosome:Graimondii2_0_v6:8:50152895:50158560:-1 gene:B456_008G214800 transcript:KJB51384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramide kinase [Source:Projected from Arabidopsis thaliana (AT5G51290) UniProtKB/Swiss-Prot;Acc:Q6USK2] MEINGSVYNSGNISQRNVDAEGQTSVLSSNLFLDHVGEVTLTLNSDGLSWKSLYSLDNDGSTCLGIKFLPRVATKMATKLTFSDIYAVEIIDSDVIQESDLTLAAECFVGNGSHDSGSKSQPCLWSLAVYKFGNKDLQTCQMWVNKINDSLSKEVGRPKNLLVFVHPMSGKGNGRRIWEAVAPIFSCAKINTKVIVTQRAGHAFDVMTSATNEELNSYDGVLAVGGDGFFNEILNGFLSSRHKAPFPPIPSDFLDALGNNSNPLVNDPNEADSEIHNNIESDPLLSSSAHNESGFSHLRTNDGSHIEDQQIENPLPNQRLRFGIIPAGSTDAIVICTTGARDPITSALHIILGKKLCLDVAQVVRWKTTSTSKIEPCVRYAASFAGYGFYGDVITESEKYRWMGPKRYDYAGTKVFLKHRSYEAEVGYLEVESEKDSSILDGSRMLSRVRSLKRKKSERNICQANCDVCNTKPVYSSIRSPPAISSPEARWLKSKGRFLSVGAAIMSNRNERAPDGLVADAHLSDGFLHLLLIKDCPHALYLWHLTQLARKGGNPLNFDFVEHHKTPAFTFTSIGKESIWNLDGELFQAHKLSAQVFRGLICLFASGPEV >KJB51562 pep chromosome:Graimondii2_0_v6:8:50915454:50917130:1 gene:B456_008G222900 transcript:KJB51562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQSSNQNASTDGSREKTPLYDEFIRDEFPEDDKGRPSRYDVFSRENCRFFDRPNNPSGSMDYSPADEAGGVSEEDSFNLKEEDIPLFLNNIYQPVKLDKVRGFDYDEKTFKMLLLNEKSQQDELKLLGVVGMVGVGKTTLCRLILEEEQVKQSYFPRLLITMSGSMEKVVENMLEHLGVELEEIKSSIANENKLPGLLYALHLKLEGKKFLIVLDNVKEEDEYYEKPITDVKKEGEYCDKLISCLKSGHGFPKGYGGAVILNGRNEDAIKKIVGERNLHRLQLLSDPRDCWYIYRSLALGDSDHPDARTDDIPSKPNEELKKKCGGLPLVARIMGELKQRQDKIREKNPASRQPSNGSGVDPEDTTPQENTGSKSGAPDQTRSTREA >KJB47334 pep chromosome:Graimondii2_0_v6:8:2461468:2463147:-1 gene:B456_008G021800 transcript:KJB47334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFQREEEAAEPETICIKQQSELRFDGDSNEAVEEGKAGEWLNLSLGGNSVSTAGDSDTQLTPVSTKVFSCNFCMRKFYSSQALGGHQNAHKRERGAARRYHSQRMTSSSMMALPMISSSSSSSSSSSNHIVRSLGVRPHSLVHKPSRDGAASVARFTETYTGFGMSGMQFTVDDAMDFMWPGSFRLDPQSPKPPSSDPSKLDLNLRL >KJB49721 pep chromosome:Graimondii2_0_v6:8:38353078:38354303:1 gene:B456_008G1353002 transcript:KJB49721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYLLVLFLCVNLCFIGVNARFFKVPWSRRRKGISALGDPGMKRDGLRIAFEAWNNCNGVAHEAPDMGSPRAADCFDVSRNLTLLHKVSESDNKLGIGKKFNGLSAEALYDPNLYAIEKELYLGSLCEVSKSSKPWQFVKTSKPWQFWMVMLKSGNFDTKASLCPENGKPKPPFTTTKNFPCFGEGCMNQPTLNHRPTQLLGDGTMRGWFNGTYDLDADIGKGLTNLSFYEVIWEKKLGSGSWVFKHRLKTSMKYPWLMLYLRADATKGFSGGYPYETRGMLHTLPRTNFKVKFSLEIKKGGGPKSQFYLLDIGSCWKNNGKPCDGDVLTDVTRYSEMMINPDVPAW >KJB51382 pep chromosome:Graimondii2_0_v6:8:50150666:50152549:-1 gene:B456_008G214700 transcript:KJB51382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPAQYYQSLPPVSKFYGVACSMTTSALYLGLYDPWNIALSYQDVFKRFQVWRLITNFFFLGPFSPFFAIRLIMIARYGVLLERGPFDKRTADYVWMLMFGALSLLVMAAIPFLWTPFMAGSLVFMIVYVWSREFPNAQISIYGVVTLKGFYLPWALLALDLIFGASLMEDIIGMVAGHLYYFLTVLHPLAGGKYVLKTPLWVHKLVAYWGEGIQVNSPVQRDPSAGVAFRGRSYRLDGNRARTTTAPSEQNQTDNSARQPNSDEGVAFRGKSYRLSGH >KJB48194 pep chromosome:Graimondii2_0_v6:8:8608912:8611014:1 gene:B456_008G056500 transcript:KJB48194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNNDLSVILPRVLIVSRRSVRKNKFVDFVGEYHLDLIVTYGAVPVIVPRVNGVHMLLDSFEPIHGVLLCEGEDIDPSLYEPETSGLSPEEIEEIRRLHASDTAVDKEKDSIELRLAKLCLERNIPYMGICRGSQVLNVACGGTLYQDIGKELTRKLPEDQRVVHMDYDNYDGHRHFVKVVENTPLHCWFKDSLEVGKMDILVNSYHHQGVKRLAQRFVPMAFAPDGLIEGFYDPDAYNPEEGKFIMGLQFHPERMRRSDSEEFDYPGCPSAYQEFVKAVLAYQKKLNISTALPKPLMLNKEMENRRKIIVRSFSLAKNLYTAGIEMNPTKQSELEAGAEFLESNTALSVQQENRLKQMGATVRNGSRYIEKLKLNEERDRLARNVMGKMSVEQLSDLMSFYHMMGQICSEVLERKLNGIVNDNACYSP >KJB50924 pep chromosome:Graimondii2_0_v6:8:47732234:47733985:-1 gene:B456_008G193300 transcript:KJB50924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKDAKKEAFRKYLESSEAVDALTKVLVALYEQNEKPSSALEFIQQKLGGPAIYEYEKLQAEISDLQTKYNELLCKHEDACKELEELKNMHSSVSPSTKETNDGEPLKDEHVEKNS >KJB51364 pep chromosome:Graimondii2_0_v6:8:50039656:50041594:-1 gene:B456_008G213400 transcript:KJB51364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSLALVATTSTSTISKPLLFSPSPKLTLFFSPFSLPFPQTKRSLSLHRSPMNILKSFGFGANNKPTPSMQNSAVAQGPDDDVPAPAQQFAQFGAGCFWGVELAFQRVPGVTKTEVGYTQGFRDNPSYEDVCSGTTNHNEVVRVQYDPKECSFDTLLDVFWARHDPTTLNRQGNDVGTQYRSGIYYYTPEQENAARESMEKQQKLLNRKIVTELLPAKKFYRAEKYHQQYLAKGGRFGFKQSAEKGCNDPIRCYG >KJB50506 pep chromosome:Graimondii2_0_v6:8:45024507:45025230:1 gene:B456_008G174400 transcript:KJB50506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGFFFLILALLLLSTAKGRPLSNDLQKLPFSTPESISFIDGECRGLNDEECMIRRSLAAHTDYIYTQENDAP >KJB47446 pep chromosome:Graimondii2_0_v6:8:3194681:3194992:-1 gene:B456_008G0270001 transcript:KJB47446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFVKALTTIEVERRLILPRESLPALPRFEGSHEHGITLQVKDDAGNLRNFRCKKGYGGGDKLVIETDWILFVKSKKLRSGDVVAFYKDDDR >KJB50327 pep chromosome:Graimondii2_0_v6:8:43238946:43239499:1 gene:B456_008G164100 transcript:KJB50327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLSFNLFIFAIILIFATHPEMMAEARGPVISCRCSKIEDCHGICAACPNYKCINNLCTCLSDAPPFP >KJB49614 pep chromosome:Graimondii2_0_v6:8:37033360:37037149:1 gene:B456_008G128700 transcript:KJB49614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFSILANMALYASVDKYLHGLFGLANDPAAEVRKLVCAAFVQLIEVRPSVLEPHMKNVIEYMLQVNKDTDAEVALEACEFWVQGIVLEQDNIDPMNGQYTFK >KJB50906 pep chromosome:Graimondii2_0_v6:8:47573318:47575119:-1 gene:B456_008G192400 transcript:KJB50906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGSDDHQLHHHRHNHHHRPTFPFQLLEKKEEDNQPCSSSSSPTFPSMALSSSSADQTNTPTSISALQISPDPSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGPSMSVPSQLRSSGFNSNFSMQQRRSLFPGIGLETTPTFLNFQSNTSSNLNFMFQTKQELRDNDGTSLEISETEEGVLGRKRRPDQDLSSQHQMGSYLLQSSTGEIPAASHGQIPANFWMVTNSNNQVMSADRDPVWTFPSVTNSALYKGPMSSGLHFMNFPTPMALLSGQQLGSSSVSSGGVGDGSSGLSEGHFNMLAGLDPYRQVSGTVVSGSQVSRSHSHHDGAGGGDDRHDTTSHHS >KJB49345 pep chromosome:Graimondii2_0_v6:8:34720487:34725967:-1 gene:B456_008G114700 transcript:KJB49345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNEIEKPDTSISSKHSQSPQDNVPQSAPAPAKQVDTSPSSKLVDVKQFMLSVAANISSQPLPTYDPNVWGVLTAISNNARKRPQGMNMILSADEHWIGRLVEDMSFRIESRWVSTKHCRIYRKRVSNEGAEQSLNCDVSVYLKDMSVNGTFFNWERLRKNSPEMKVQHGDIISFNAPPHHELAFAFVYRDALKSAHSVEGACAKRKADELNCENKRQKGLGIGAPEGPISLDDFRSLQRSNKEIKEIKESVANSYLDQIKEMKILLDVKQKELIEVNRVSAEQKHAIEDLNERFSASMQSCTEANERIMNQKASIAELKVQLEEERDQRREEREKAVVDLKAAVQRAQSEAQEELQRLSDIALKREKELEEAINKLEESLGKSSTQVEDLVSKLEDTRQKLVNSDNKVRQLEAQVSEAKQASANARKQVEELEHEIKGLRKHLETEKAAREEAWSKVSVLELEINAAMRDLDYEKRRLKGARERIMLRETQLRAFYSTTEEISILFAKQQEQLKAMQRTLEDEENYENTSVDIDLNAPDMNTYRTIGREKTATGYNGNGATKAGSSTSAQRVNSSSDEVSATEKNDCGIRSQEVGENTQEAEFTSADPFVKGGFGSDIDGVGTAPVHEGDTIGTERVHETESLGIEVERNVDLNRCGTLGRDTMEFDCETDAHESNNQIQTTHPDASIHSQSNKPYETQNSVADTQPGGTIRTAELLASEVLGSWAYSTNPSVHGENESPKIGDNNDDCVMALLALHDSSGVVAESQSTPSSKAAPSRRIVKHQALSEMIGIVAPDLKEQFLGATADDLDRQRTKQSFISDSDTEDCTDSNDENNNEVAAKSGSISDAETEGSSEQANEDRNHNDVMEEDETDSEYPHIKSSS >KJB49347 pep chromosome:Graimondii2_0_v6:8:34720487:34725967:-1 gene:B456_008G114700 transcript:KJB49347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNEIEKPDTSISSKHSQSPQDNVPQSAPAPAKQVDTSPSSKLVDVKQFMLSVAANISSQPLPTYDPNVWGVLTAISNNARKRPQGMNMILSADEHWIGRLVEDMSFRIESRWVSTKHCRIYRKRVSNEGAEQSLNCDVSVYLKDMSVNGTFFNWERLRKNSPEMKVQHGDIISFNAPPHHELAFAFVYRDALKSAHSVEGACAKRKADELNCENKRQKGLGIGAPEGPISLDDFRSLQRSNKELRKQLEDQVLAIDKLHNENRATVECHENEIKEIKESVANSYLDQIKEMKILLDVKQKELIEVNRVSAEQKHAIEDLNERFSASMQSCTEANERIMNQKASIAELKVQLEEERDQRREEREKAVVDLKAAVQRAQSEAQEELQRLSDIALKREKELEEAINKLEESLGKSSTQVEDLVSKLEDTRQKLVNSDNKVRQLEAQVSEAKQASANARKQVEELEHEIKGLRKHLETEKAAREEAWSKVSVLELEINAAMRDLDYEKRRLKGARERIMLRETQLRAFYSTTEEISILFAKQQEQLKAMQRTLEDEENYENTSVDIDLNAPDMNTYRTIGREKTATGYNGNGATKAGSSTSAQRVNSSSDEVSATEKNDCGIRSQEVGENTQEAEFTSADPFVKGGFGSDIDGVGTAPVHEGDTIGTERVHETESLGIEVERNVDLNRCGTLGRDTMEFDCETDAHESNNQIQTTHPDASIHSQSNKPYETQNSVADTQPGGTIRTAELLASEVLGSWAYSTNPSVHGENESPKIGDNNDDCVMALLALHDSSGVVAESQSTPSSKAAPSRRIVKHQALSEMIGIVAPDLKEQFLGATADDLDRQRTKQSFISDSDTEDCTDSNDENNNEVAAKSGSISDAETEGSSEQANEDRNHNDVMEEDETDSEYPHIKSSS >KJB49346 pep chromosome:Graimondii2_0_v6:8:34720905:34725835:-1 gene:B456_008G114700 transcript:KJB49346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNEIEKPDTSISSKHSQSPQDNVPQSAPAPAKQVDTSPSSKLVDVKQFMLSVAANISSQPLPTYDPNVWGVLTAISNNARKRPQGMNMILSADEHWIGRLVEDMSFRIESRWVSTKHCRIYRKRVSNEGAEQSLNCDVSVYLKDMSVNGTFFNWERLRKNSPEMKVQHGDIISFNAPPHHELAFAFVYRDALKSAHSVEGACAKRKADELNCENKRQKGLGIGAPEGPISLDDFRSLQRSNKEIKEIKESVANSYLDQIKEMKILLDVKQKELIEVNRVSAEQKHAIEDLNERFSASMQSCTEANERIMNQKASIAELKVQLEEERDQRREEREKAVVDLKAAVQRAQSEAQEELQRLSDIALKREKELEEAINKLEESLGKSSTQVEDLVSKLEDTRQKLVNSDNKVRQLEAQVSEAKQASANARKQVEELEHEIKGLRKHLETEKQAAREEAWSKVSVLELEINAAMRDLDYEKRRLKGARERIMLRETQLRAFYSTTEEISILFAKQQEQLKAMQRTLEDEENYENTSVDIDLNAPDMNTYRTIGREKTATGYNGNGATKAGSSTSAQRVNSSSDEVSATEKNDCGIRSQEVGENTQEAEFTSADPFVKGGFGSDIDGVGTAPVHEGDTIGTERVHETESLGIEVERNVDLNRCGTLGRDTMEFDCETDAHESNNQIQTTHPDASIHSQSNKPYETQNSVADTQPGGTIRTAELLASEVLGSWAYSTNPSVHGENESPKIGDNNDDCVMALLALHDSSGVVAESQSTPSSKAAPSRRIVKHQALSEMIGIVAPDLKEQFLGATADDLDRQRTKQSFISDSDTEDCTDSNDENNNEVAAKSGSISDAETEGSSEQANEDRNHNDVMEEDETDSEYPHIKSSS >KJB49348 pep chromosome:Graimondii2_0_v6:8:34720905:34725835:-1 gene:B456_008G114700 transcript:KJB49348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNEIEKPDTSISSKHSQSPQDNVPQSAPAPAKQVDTSPSSKLVDVKQFMLSVAANISSQPLPTYDPNVWGVLTAISNNARKRPQGMNMILSADEHWIGRLVEDMSFRIESRWVSTKHCRIYRKRVSNEGAEQSLNCDVSVYLKDMSVNGTFFNWERLRKNSPEMKVQHGDIISFNAPPHHELAFAFVYRDALKSAHSVEGACAKRKADELNCENKRQKGLGIGAPEGPISLDDFRSLQRSNKELRKQLEDQVLAIDKLHNENRATVECHENEIKEIKESVANSYLDQIKEMKILLDVKQKELIEVNRVSAEQKHAIEDLNERFSASMQSCTEANERIMNQKASIAELKVQLEEERDQRREEREKAVVDLKAAVQRAQSEAQEELQRLSDIALKREKELEEAINKLEESLGKSSTQVEDLVSKLEDTRQKLVNSDNKVRQLEAQVSEAKQASANARKQVEELEHEIKGLRKHLETEKQAAREEAWSKVSVLELEINAAMRDLDYEKRRLKGARERIMLRETQLRAFYSTTEEISILFAKQQEQLKAMQRTLEDEENYENTSVDIDLNAPDMNTYRTIGREKTATGYNGNGATKAGSSTSAQRVNSSSDEVSATEKNDCGIRSQEVGENTQEAEFTSADPFVKGGFGSDIDGVGTAPVHEGDTIGTERVHETESLGIEVERNVDLNRCGTLGRDTMEFDCETDAHESNNQIQTTHPDASIHSQSNKPYETQNSVADTQPGGTIRTAELLASEVLGSWAYSTNPSVHGENESPKIGDNNDDCVMALLALHDSSGVVAESQSTPSSKAAPSRRIVKHQALSEMIGIVAPDLKEQFLGATADDLDRQRTKQSFISDSDTEDCTDSNDENNNEVAAKSGSISDAETEGSSEQANEDRNHNDVMEEDETDSEYPHIKSSS >KJB49237 pep chromosome:Graimondii2_0_v6:8:33831121:33834490:1 gene:B456_008G108200 transcript:KJB49237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNHSVWRKVAMKDNGFVDWTSLPDDAMIQLFSLLNYHDRANLSATCKAWRIVGASKCLWTSLDLRGYKFNITMAVSLSPRCVNLQQVRFRGAESADAINHLNAMNLRDISGDSITDDTLTMLVSRHKDLRCIQVGPDDKVTSGSIKAIAFYCPKLKQLRLSGIKDVLADSINALANYCPNLVDIGFIDCLNVDGVALGHVLSVRFLSVAGTSNMKWDVVSHVWCKLPELIGLDVSRTDISSTAVSMLLSSSRSLKVLWALNCPVLEQDITVNTVKTEGKLLLGLFSDIRNVFSDWGCSNEIMTWLKWILSHTLLRIAKSSPQNLDYFWLKQGVALFLSLLQCKQEDVQEMAASGLATCIFANEGNAIIDPGKAEAVVKNGGVPLLLDLAKSWQEGIQSAATKAISNLSADPNAAKTVSDEGGIGIFIELARSKNRQVSEAATGGLWNLSVGEEHKAAITEAGGIRTLVDIISEWSSDADGVLECSAGALANLAANKKYSTEIAIAGGVQSLATLINNCKFRGVQEQATRGLVNLAAHSVRNGPNAREAGALEALIQLTCSPHEGVRQVAAGALWHLSLTNVNREAIAAAGGVEALVTLAQSSLNASQCLQGMVAGALWGLSMSKANSIAIGREGGIPPLIVFAHSDSHDVHEVAAGALWNLAFDHSNVFQIVEEGGIPVLARLCSSSESRKASFMAALALAYICCGSGNNELAPTGTSSENTSKSVSLDEARTTALKHIEAFILTFSDPQAFAAAVVSSSRAALDQAIERAYILETGLLRCSEAEIGRFIAMLQSSSSILKAFAAFSLLQFTDPQGQNAVYHASLMLDAGAVKVLRAAAASANEPVEVKIFAKIVLKNLEYHQQETLYLV >KJB48196 pep chromosome:Graimondii2_0_v6:8:8899449:8900756:1 gene:B456_008G057200 transcript:KJB48196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRRTEMKLIENEKARKRVFEKRRSNLLKKAKELSILCDIKLLLIIYEHGKEKAEIWPHNNVEAEQIIEWFKQRRIDKTACDSSASKRRRFGDKFDISDHKNMINHYSENQLRNLIFELDAKIAAVMNVNQSKQVLKGVPGSPKNKGKGKEAVIYQEPIETPQHQSFQTYWPKKNFISYPEYENSSNIPYVPLPVHYVDPSSVQPPYKNANSSMGYYGPNVNPVLPCIYYPMMPGFPPQMNASDLGFFPPFN >KJB47220 pep chromosome:Graimondii2_0_v6:8:1813733:1816159:1 gene:B456_008G015800 transcript:KJB47220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHSKLSRYFSFTASRDWFYRYSFGNAGLRSIRTDLGEGTVMHCWVPKCHNASRPNLLLVHGFGANAMWQYGEHLRHFTSRFNVYVPDLIFFGESYTTRSERTESFQAQSLMKLMEAHGVPRMSLVGISYGGFVGYSMAAQFPEKMEKLVLCCAGVCLEEKDLEEGLFNVSDLNEALSILLPQTPERLRDLIKFSFVKPIGKWVPSFFLSDFIDVMCTDHLKEKRELLVAILEDRKLSNIPKITQSLLIIWGEEDKIFPLELGYRLKRHVGEEAKIVVIKNAGHAVNIEKSKDFMKHLKSFLFDSLTPHRPPSLLPLFDSLWS >KJB51161 pep chromosome:Graimondii2_0_v6:8:48986694:48990276:1 gene:B456_008G204400 transcript:KJB51161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQSEETPVPHAMPTDSTSHLGLQSPDPGSTSSPDLSPYDLNHSAVETEHEESSLQQQLQNLDLKEEEVKTDRKDDGERESNNDADGNAAEDEDDENEEERNDNEIDGENVKKSEGKRSHYPVRPDAESCAYYMKTGLCKFGYNCKFNHPIRRTTQAVKEKVKEEVESTEKPSQTECKYYLRTGGCKFGNACRFNHSTAKSSTSPILEFNFLGLPIRQGEKECPYYMRNGSCKYGANCRFNHPDPTAAGACDPTSGYGNGGSVSPQAASQVNMLPWSSPRALNETAAYIPIMFSPPQVVPPPNPEWNGYQDQTICSHYSRYGICKFGPACKFDHSKQEAPSTMAMAGLDQPPPFSHSAATEQTGIAGGTDTAVQQTV >KJB51160 pep chromosome:Graimondii2_0_v6:8:48986908:48989957:1 gene:B456_008G204400 transcript:KJB51160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTDSTSHLGLQSPDPGSTSSPDLSPYDLNHSAVETEHEESSLQQQLQNLDLKEEEVKTDRKDDGERESNNDADGNAAEDEDDENEEERNDNEIDGENVKKSEGKRSHYPVRPDAESCAYYMKTGLCKFGYNCKFNHPIRRTTQAVKEKVKEEVESTEKPSQTECKYYLRTGGCKFGNACRFNHSTAKSSTSPILEFNFLGLPIRQGEKECPYYMRNGSCKYGANCRFNHPDPTAAGACDPTSGYGNGGSVSPQAASQVNMLPWSSPRALNETAAYIPIMFSPPQVVPPPNPEWNGYQTTVYPPPEGSLHPAPAYVMNNPATETAVYTHNQPQMVADEFPARPGQPECSYFLKTGDCKFKSNCKYHHPKNRVAKMAPCVLSDKGLPLRPDQTICSHYSRYGICKFGPACKFDHSKQEAPSTMAMAGLDQPPPFSHSAATEQTGIAGGTDTAVQQTV >KJB50464 pep chromosome:Graimondii2_0_v6:8:44850342:44852814:-1 gene:B456_008G173000 transcript:KJB50464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLGKVVDGILLLTFVSMSVVPACLDAQVLLPKALFPDVLGRVYTWYTTTYQDYLLLDEPHFFMALMKLELVLVLPLAILNTYGLLTSKPWFNITCLIFGSALVTSTTAMVGDMLGSDKPSAGKLASMYSPFIGFGFLAILRGLLSESPSASKTIANGPTSALKKKA >KJB46863 pep chromosome:Graimondii2_0_v6:8:406868:409324:-1 gene:B456_008G002300 transcript:KJB46863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPAFLNQLLQQKEERMELEEAMCKKRRRLRPVSFVHVGESSRSSGGGSNPVKTEVLEFGHYGYEVSVMEALALEMQEGAGDKAENRERQGKVLDQRFREELLNERFEGGEDENMNVNVLVDQLGYLGSTPKQRR >KJB49665 pep chromosome:Graimondii2_0_v6:8:37903138:37903866:-1 gene:B456_008G132600 transcript:KJB49665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCFTATPSYLLTLFLLFFYFSPQIAQSSPDNSRSIRLPSDGFTIADDNEGVCARFTKPASCPVTCFRTEPVCGVDGITYWCGCADAYCAGTRVAKSGFCEVGNGGSASVPGQALLLVHIVWLILLGFFVLCGLF >KJB48231 pep chromosome:Graimondii2_0_v6:8:9326855:9328777:-1 gene:B456_008G059200 transcript:KJB48231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biogenesis of lysosome-related organelles complex 1 subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G49550) UniProtKB/Swiss-Prot;Acc:F4K657] MADKEPEVQDDLAESLNDLFTSLSSMVKSELQGTNNVLELLEKMNLRVAQEYKGFGDVASGLRVFVEQLKNKSGNFDEYVQQIDAIEQQVTEFEAVISVLDRYVSLLESKVQSVYQHPPP >KJB49428 pep chromosome:Graimondii2_0_v6:8:35509747:35510310:-1 gene:B456_008G119100 transcript:KJB49428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFKGFPTIFLFICFVLIITDCFMVEADTCKPSGKLRGKKPPPGQCDSNCCEDGKFYTTYKCSPPVSSHTKGKLTINSFAAGGEGGGPSECDNRYHSDDEPVVALSTGWFSNKKRCSKYITIHGNGKSVKAKVVDECDSAKGCDSEHGYQPPCPNNIVDASKAVWKALGVPENDWGLMDIYWSDAN >KJB51607 pep chromosome:Graimondii2_0_v6:8:51130202:51138271:-1 gene:B456_008G224500 transcript:KJB51607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRVRLSKKVKNGLEFLKHKRLQRIKSESSSGTSYLINMMARSGGDALRISASCGIRLQGNAGSFSRSNDASCENDVFSKHKVDKFDTSDLEWTEKIPECPVYCPTKEEFEDPLVYLQKIAPEASRYGICKIVSPLVATVPAGAVLMKEKVGFKFSTRVQPFRLAEWDTDDKVTFFMSGINYTFRDFEKMANKVFGRRYYSAGCLSATYLEKEFWHEIAYGKTESVEYACDVEGSAFSSSPSDPLGSSKWNLERLSRLPKSTLRLLETAIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGHAASKFEKVVKEHVYTNDILSADGEDGAFDVLLGKTTLFPPSILLKHDVSVYKAVQKPGEFVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAIASLRYAHLNRAPLLPHEELLCKEAILLYTSLELEDLDYSLADLAAHHCIKVSFVKLMRFLHRALWSVMKSRACTSISPNYYRTIVCTLCKRDCYVAFINCSCNGHPVCLRHDIRSLHFPCGSYRYLFLRDDVAEMEAAAKKFEQDDAISKEIEQQIENGDDLYLYPLSNKLQTDVEDGYFPYCEINVVLGPDFAAINTMDQPLEHISSMNHGKFQPETNLEQVGSSPKTVQRVANQVSVNGERFPEEVSENAYELSVSCLSREDHRSIHQDNVHDPVSRSIVDENSDSSDSAIFRVKRPFSKVEKRFGNDTISSKNSEHQGFKRLKKLQHEGRCGRPVAYGSECCRNDEPNRNTNCSSDSKALENAVKDRLGRGTLPIPISIKYKKMGSEAGMSSGQREHHRNRFQQELGKSKRDSPSEMGPKRLKVRGPSPIHLGSESRLV >KJB51608 pep chromosome:Graimondii2_0_v6:8:51131259:51137693:-1 gene:B456_008G224500 transcript:KJB51608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRVRLSKKVKNGLEFLKHKRLQRIKSESSSGTSYLINMMARSGGDALRISASCGIRLQGNAGSFSRSNDASCENDVFSKHKVDKFDTSDLEWTEKIPECPVYCPTKEEFEDPLVYLQKIAPEASRYGICKIVSPLVATVPAGAVLMKEKVGFKFSTRVQPFRLAEWDTDDKVTFFMSGINYTFRDFEKMANKVFGRRYYSAGCLSATYLEKEFWHEIAYGKTESVEYACDVEGSAFSSSPSDPLGSSKWNLERLSRLPKSTLRLLETAIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGHAASKFEKVVKEHVYTNDILSADGEDGAFDVLLGKTTLFPPSILLKHDVSVYKAVQKPGEFVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAIASLRYAHLNRAPLLPHEELLCKEAILLYTSLELEDLDYSLADLAAHHCIKVSFVKLMRFLHRALWSVMKSRACTSISPNYYRTIVCTLCKRDCYVAFINCSCNGHPVCLRHDIRSLHFPCGSYRYLFLRDDVAEMEAAAKKFEQDDAISKEIEQQIENGDDLYLYPLSNKLQTDVEDGYFPYCEINVVLGPDFAAINTMDQPLEHISSMNHGKFQPETNLEQVGSSPKTQVQRVANQVSVNGERFPEEVSENAYELSVSCLSREDHRSIHQDNVHDPVSRSIVDENSDSSDSAIFRVKRPFSKVEKRFGNDTISSKNSEHQGFKRLKKLQHEGRCGRPVAYGSECCRNDEPNRNTNCSSDSKALENAVKDRLGRGTLPIPISIKYKKMGSEAGMSSGQREHHRNRFQQELGKSKRDSPSEMGPKRLKVRGPSPIHLGSESRLV >KJB51587 pep chromosome:Graimondii2_0_v6:8:51008258:51010026:1 gene:B456_008G223600 transcript:KJB51587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPLSFIFFFYLLISSSSWLLRFSSGAGFNLTTIAFDDGYNPLFGDFNLVRSPDGRSVRLLLDVSSGSGFISSSMYEHGFFSAKIKLPSDYTAGVVVALYASNGDVFEKNHDELDIEFLGNVEGKPWRFQTNLYGNGSTSRGREERYRLWFDPSKEFHRYSILWTAKNIIFYVDEVPIREVVRSDEMGGDYPTKPMSIYATIWDASSWATNGGKIKVNYDYAPFTADFKELVLEGCPMDPIQEYPDFTTCKEKDAWLETRNFAVLTPKRRSAMRKFRQHYMYYSCCYDVWRYPVKLPDCVIDPIEKARFNETGRLRFSGSHKKQAKIAKARRKKKQRAASKERTEM >KJB51588 pep chromosome:Graimondii2_0_v6:8:51008891:51009800:1 gene:B456_008G223600 transcript:KJB51588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQASNGDVFEKNHDELDIEFLGNVEGKPWRFQTNLYGNGSTSRGREERYRLWFDPSKEFHRYSILWTAKNIIFYVDEVPIREVVRSDEMGGDYPTKPMSIYATIWDASSWATNGGKIKVNYDYAPFTADFKELVLEGCPMDPIQEYPDFTTCKEKDAWLETRNFAVLTPKRRSAMRKFRQHYMYYSCCYDVWRYPVKLPDCVIDPIEKARFNETGRLRFSGSHKKQAKIAKARRKKKQRAASKERTEM >KJB52182 pep chromosome:Graimondii2_0_v6:8:53331849:53336013:1 gene:B456_008G2492001 transcript:KJB52182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEVVTDAALDETGKWEQGPLLRLKAKLKVSQSRPMEAIETYCYLLALVQAQRKSSGPMKIDSQVEDDKVKEFEVWYGLASLYSSLSHWKDVEVCVKKARAMKQYSAELVHTEGFMHQERGEIQEALASYINASLLDPFYVPSKVRIGALLSKLGSNSLPVARTLLSDALRIEPTNRKAWYHLGMVHKDDGRLADAIDCLQAASMLEESDPVENFRSIL >KJB51589 pep chromosome:Graimondii2_0_v6:8:51011238:51015956:-1 gene:B456_008G223700 transcript:KJB51589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESRRTRRTPTIHHITNSAGDLDSEIGGEDFSRYTVHLPPTPDNQPMPMEIAMQVVGSGEQYVSSSMFTGGYNRVTRAHSMKIVDSDPATVVAEGSFCELPGCGAKMMTNRQGIDVFPCECGFRICNECYRDALATGDGFCPGCREHYRGLDVSEMASASRTRSSKSDRSFPVAESTELLMRNHSNEFDYTQYLYETNKSYGYGNAVWPMDGANGSRHDIGGDPKFFHDKQWKPLTQKSSIRAALLSPYRLLILIRIVVLGLFLEWRISHPNEEAIWLWLMSVVCEIWFAFSWLLDQLPKLCPVNRDVDLNALQEKFENPSPNNPSGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAAEYPVEKLACYVSDDGGALLTFEAMAEAASFARIWVPFCRKHEIQPRNPESYFNLKRDPYKNKVRRDFVRDRRRVKREYDEFKVRINGLSDSIRRRSDAFNTREEVKILKRWREDNSDEPMETLKIPKATWMADSTHWPGTWTVPAPEHSRGDHASIIQVMLDPPSAVPQNGTEGDGNSMDLSEVDIRLPMLVYVTREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSLALREGICFMMDRDGECICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRTALYGFEPPRLRDNPDCCSCCFPRTKKPATVASAPDVDPEDVQLREDDEMNIALIPKKFGNSTLLVESVRVAAIQGQPLADHPSIKYGRAPGALTMPREPLDASTIAEAINVISCWYEDKTEWGQSVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLGSPRLKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSDQFIVQTLNVTFLVYLLAITLTLCALAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDVDDDFADLYIFKWTSLMIPPLTIIFVNLIAIAYGVLRTVYSDVPEWSHLLGGVFFSFWVLAHLYPFAKGLMGRRGKTPTIVYVWSGLIAISISLLWVAINPPSQNSDIGGSFQFP >KJB51590 pep chromosome:Graimondii2_0_v6:8:51011368:51014348:-1 gene:B456_008G223700 transcript:KJB51590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVCEIWFAFSWLLDQLPKLCPVNRDVDLNALQEKFENPSPNNPSGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAAEYPVEKLACYVSDDGGALLTFEAMAEAASFARIWVPFCRKHEIQPRNPESYFNLKRDPYKNKVRRDFVRDRRRVKREYDEFKVRINGLSDSIRRRSDAFNTREEVKILKRWREDNSDEPMETLKIPKATWMADSTHWPGTWTVPAPEHSRGDHASIIQVMLDPPSAVPQNGTEGDGNSMDLSEVDIRLPMLVYVTREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSLALREGICFMMDRDGECICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRTALYGFEPPRLRDNPDCCSCCFPRTKKPATVASAPDVDPEDVQLREDDEMNIALIPKKFGNSTLLVESVRVAAIQGQPLADHPSIKYGRAPGALTMPREPLDASTIAEAINVISCWYEDKTEWGQSVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLGSPRLKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSDQFIVQTLNVTFLVYLLAITLTLCALAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDVDDDFADLYIFKWTSLMIPPLTIIFVNLIAIAYGVLRTVYSDVPEWSHLLGGVFFSFWVLAHLYPFAKGLMGRRGKTPTIVYVWSGLIAISISLLWVAINPPSQNSDIGGSFQFP >KJB50058 pep chromosome:Graimondii2_0_v6:8:40676348:40677880:-1 gene:B456_008G150900 transcript:KJB50058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSCKRVTDKINKTDISPREMEEKHWPLFMSKKLYWCVNQIKACEEDNYGLCLFPTEHGQFRKLSNHVFHVQLWR >KJB52794 pep chromosome:Graimondii2_0_v6:8:55526861:55529273:-1 gene:B456_008G277500 transcript:KJB52794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRHHHAKVAKPWHFSSLYKTSHPRFLLSCSFILLFYLQITFLKQKMNTQSAYPHQHGEGEDDHHEKNSVLKKVKAKAKKIKDTIKKHGHGHNHDHGHEYHEGHIPDDHDLDEEDDEEEEEEEIVQDPEVHGAPMYESAAAKIVVSGQPEDLSRPGITNDRSKPMVLDPLESRGITGNYGTKDNEPPSSAVDGLEGFVREQARVDFGKRTDTVGEPLAPQNTPMPSSQGKDTTGPTRTFLHGEGGGYSGQPKVNLQRPIGLEEDPAAPKDNPDAYVTTNYQSKVTDPTGEGGEGRGITPLLHSMDKMSIYEEDKGRKDNLPPATHPVASELYPTGSHNQFSPGPSPPLDTGTMDTKPEEHPRNVAADEPVNQSSYTEKISSATSVIADKAVSAKNIVASKLGYGEKDESRTTTNGSSPTTKGSAIDYGKKMAATMADKLSPVYEKVAGAGSTMVSKLHGPGSGTATEVYPDQVQDQDKGVSMKSYIAEKLKPGEEDRALSEVISEALQKRKEEPEKETTAARGKVTESEEVARRLGTTGETNERVGSGSMNSPTKSVVDKLKGTVGSWFGKSEVSSQGTEQGHGSSSGNNGVPSSTGERRLQESSN >KJB51441 pep chromosome:Graimondii2_0_v6:8:50347258:50353298:1 gene:B456_008G216500 transcript:KJB51441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSYLAISAASTALSFIGLQVWAEFSLDKLQNDGLISENFIHTENVDRALELLLGSYASLALLANFVLNVFILLILSLKTVFFGDLYPLETRKLVERLINYVIYKGTFLPLVIPPTVFQAGLWSIWLIVICCLKMFQALARDRFERLNASPSATPWAYFRVFSVFLFALTFNIFWIWLSLMLYKQVNSSMFLLLFFEPLSIAFETVQAILVHGFQLLEILLHSVGNSADCQRAKLFDLSAAGSFWEWKGILIRNLGFFLDMATLLMALGHYVLIWWLHGMAFHLVDAVLFLNIRALLSAIVKRVKGFIKLRMAFGALHGALPDATSEEIRAYDDECAICREPMAKAKKLHCNHLFHLACLRSWLDQGLNEVYSCPTCRKPLFLGRSENEANSRTAEALSDEQLARQINSGLNRPNMPGHALPAGVFPNQMQNAVEGSPWRSGGLDSEWLHSWPNQNVDGAGPSTDTRSVGLGRVHMMMRHLASVGETYAQTALEDTAWSLWPMNPSQAAASASSVPPNVGGRFPTNTGSLHIRTASRTANEGVANILAMAETVREVLPHVPDEVIFQDLQRTNSVTVTVNNLLQM >KJB51442 pep chromosome:Graimondii2_0_v6:8:50347361:50353218:1 gene:B456_008G216500 transcript:KJB51442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSYLAISAASTALSFIGLQVWAEFSLDKLQNDGLISENFIHTENVDRALELLLGSYASLALLANFVLNVFILLILSLKTVFFGDLYPLETRKLVERLINYVIYKGTFLPLVIPPTVFQAGLWSIWLIVICCLKMFQALARDRFERLNASPSATPWAYFRVFSVFLFALTFNIFWIWLSLMLYKQVNSSMFLLLFFEPLSIAFETVQAILVHGFQLLEILLHSVGNSADCQRAKLFDLSAAGSFWEWKGILIRNLGFFLDMATLLMALGHYVLIWWLHGMAFHLVDAVLFLNIRALLSAIVKRVKGFIKLRMAFGALHGALPDATSEEIRAYDDECAISQEPMAKAKKLHCNHLFHLACLRSWLDQGLNEVYSCPTCRKPLFLGRSENEANSRTAEALSDEQLARQINSGLNRPNMPGHALPAGVFPNQMQNAVEGSPWRSGGLDSEWLHSWPNQNVDGAGPSTDTRSVGLGRVHMMMRHLASVGETYAQTALEDTAWSLWPMNPSQAAASASSVPPNVGGRFPTNTGSLHIRTASRTANEGVANILAMAETVREVLPHVPDEVIFQDLQRTNSVTVTVNNLLQM >KJB50086 pep chromosome:Graimondii2_0_v6:8:41148046:41150653:-1 gene:B456_008G153200 transcript:KJB50086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHYVQGGVARNIVECMTNLGAQPFMISALGFDMPGIRKHQDVETPIVCHILDVTREVAVGVASMEAVEMFLSPEWIQQFKHTIHSALLLMVDANLSRPTLEVACRLAAKSNILVWFEPVLIAKSKRIAPIVKYCTPLTHIRRRNRVTKHYQNF >KJB48637 pep chromosome:Graimondii2_0_v6:8:15261094:15261462:1 gene:B456_008G079300 transcript:KJB48637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YALQALELAWITSRQIEARRRVMTRNVHHGGKLWVRIFPGEPVTVRPTKTHMGSGKGSLEYWVAIVKPDRILYEMSGVAENIARKAISIAV >KJB50552 pep chromosome:Graimondii2_0_v6:8:45252524:45256173:1 gene:B456_008G176200 transcript:KJB50552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69200) UniProtKB/Swiss-Prot;Acc:F4I0K2] MMASLSFPHFLSLSRWKSNSICYPALNLVRPLDFRLQNKWCLSAKKNADITQDEPSENGAVVKKKTSGTTRRTRKKAKADVLDETGSDNDEGTTSVSSEDSKKTPRRTRKKVASASTTDNEPEPEKRARRRRTMKKDGEADGQSGESEISDTEESTLMANSGDESEEDLELNIDKGEDISYTYGWPPLVCCFGAAQHAFVPSGRPANRLIDYEIHERMKDARWAPEKFVRAPGGCAGSVALALASLGGKVSFMGKLGNDDYGQAMLLYLNVNNVQTRSVQIDSKRPTAISQMKISKRGRLRMTSLKSCAEDSLSKSEINIDVLKEAKMFYFNTHSLLDRNMRLTTLRAIKMSKKLGGVIFYDVNLPLPLWQSDEETKMFIQEAWNLADFIEVTKQELEFLCRIKPTEEFDTKNNASSKFIHYDHEVVAPLWHENLKTLFVTNGTSKIHYYTKEQNGAVNGMEDAPLTPFSRDMSASGDGIVAGLLRMLSVQPDLISDTGYLEHTIKYAIDCGVIDQWIVSRTRGFPPKEGIQEEGEKEQEEVEDDNDDDTVPDPNGIRSISEREYRTLVESADISEYGTLLESVRMS >KJB48277 pep chromosome:Graimondii2_0_v6:8:9841186:9841884:-1 gene:B456_008G061700 transcript:KJB48277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLVVKVKQRKILSFLPKLVSSPAVLTFQVSPPISPVGKGSSSSAPTIVSLIPKGAPRKSRNGSFDAREPASPKVSCIGQIKRNKKKKQAASKSKLPSPPPQVTCAEQVKGKQASKVTKHGHKIAEGARVPSLGQTKQFSSPRGTLSDFDWETCDQAAGFVTNPLYEEKVVANVNGVKESRNTKLWSRRTSTRLTPLQL >KJB51817 pep chromosome:Graimondii2_0_v6:8:51928295:51930852:1 gene:B456_008G232900 transcript:KJB51817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPSNSSVKKRQSNGGSNGALLYLNVYDLTPMNNYLFWFGLGIFHSGIEVYGLEYGYGAHEYPTSGVFELEPRSCPGFIFRRSVLLGTTNMCRSEFRLFIEQLSQKYHGDMYHLITKNCNHFTDEVCLQLTGKPIPGWVNRLARLVSGSFCNCLLSESIQVTAVRHLPDNPAYSDDERLDSAAMSLTAESEEEEEDGVTDHHLLTIPNSNVAFLKEKTVWLTREL >KJB51815 pep chromosome:Graimondii2_0_v6:8:51929041:51929814:1 gene:B456_008G232900 transcript:KJB51815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPSNSSVKKRQSNGGSNGALLYLNVYDLTPMNNYLFWFGLGIFHSGIEVYGLEYGYGAHEYPTSGVFELEPRSCPGFIFRRSVLLGTTNMCRSEFRLFIEQLSQKYHGDMYHLITKNCNHFTDEVCLQLTGKPIPGWVNRLARLGEKTASFSRSCHPRRFVIPKYFSRWLLVAVDCIFIQHG >KJB51816 pep chromosome:Graimondii2_0_v6:8:51928295:51930852:1 gene:B456_008G232900 transcript:KJB51816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPSNSSVKKRQSNGGSNGALLYLNVYDLTPMNNYLFWFGLGIFHSGIEVYGLEYGYGAHEYPTSGVFELEPRSCPGFIFRRSVLLGTTNMCRSEFRLFIEQLSQKYHGDMYHLITKNCNHFTDEVCLQLTGKPIPGWVNRLARLGSFCNCLLSESIQVTAVRHLPDNPAYSDDERLDSAAMSLTAESEEEEEDGVTDHHLLTIPNSNVAFLKEKTVWLTREL >KJB48102 pep chromosome:Graimondii2_0_v6:8:7720061:7727729:-1 gene:B456_008G053600 transcript:KJB48102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCALSFALRRYYSFHPALFLLSKPSFSSPLRLFSAFTMASSHPKDEAYLNQVIKKRIKLFQSLQSQQQSHLLSLPHDPLKVTLPDGSVKEGKKWVSTPMDIAQGISKSLAANALISSVNGVLWDMNRPLEGDCELKIFTFDSDEGRDTFWHSSAHILGQSLESIYGCKLCIGPCTTRGEGFYYDAFYGDLGLNEDHFKQIESGALKAVAEKQPFERIEVTREQALEMFSENNFKVEIINDLPADKTITVYRCGPLVDLCRGPHIPNTSFAKAFACMKASSAYWRGNKDRESLQRVYGISFPDQKRLKEYIRQIEEAKKYDHRLLGTKQELFFCHPLSPGSWFFLPHGTRIYNKLMGFIRNEYWKRGYEEVKSPNMYNMNLWETSGHAANYKENMFLFEIEKQEFGLKPMNCPGHCLMFQHRVRSYRELPLRMADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQVKEEVKGVLEFIDYAYTVFGFTYDLKLSTRPEKYLGDLETWNRAEAALTEALNQSGKPWQINEGDGAFYGPKIDISVSDALNRKFQCATLQLDFQLPERFKLEYSAEDEAKREKPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSEKSQQYAMQVRDWIHEAGYYVDVDMTDRKIQKKIREAQLAQYNFILVVGEEEMNTKKVSVRVRDSGDFSGMSIEDLLNHFQAETEVFH >KJB48103 pep chromosome:Graimondii2_0_v6:8:7720073:7727637:-1 gene:B456_008G053600 transcript:KJB48103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLNGNMLVVKLLFHGTLLFFHFCILMQEKQPFERIEVTREQALEMFSENNFKVEIINDLPADKTITVYRCGPLVDLCRGPHIPNTSFAKAFACMKASSAYWRGNKDRESLQRVYGISFPDQKRLKEYIRQIEEAKKYDHRLLGTKQELFFCHPLSPGSWFFLPHGTRIYNKLMGFIRNEYWKRGYEEVKSPNMYNMNLWETSGHAANYKENMFLFEIEKQEFGLKPMNCPGHCLMFQHRVRSYRELPLRMADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQVKEEVKGVLEFIDYAYTVFGFTYDLKLSTRPEKYLGDLETWNRAEAALTEALNQSGKPWQINEGDGAFYGPKIDISVSDALNRKFQCATLQLDFQLPERFKLEYSAEDEAKREKPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSEKSQQYAMQVRDWIHEAGYYVDVDMTDRKIQKKIREAQLAQYNFILVVGEEEMNTKKVSVRVRDSGDFSGMSIEDLLNHFQAETEVFH >KJB51301 pep chromosome:Graimondii2_0_v6:8:49669154:49670992:1 gene:B456_008G210600 transcript:KJB51301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAMVHALAQVIGNNNSNPLLQLHDDQHPNPTAQQNQSHQQPQPQDQGNARRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEAAALAYDDAALRFKGSKAKLNFPERVQGRLESSYLTTTRQELERTEAPPHPPPTYPNISQYAQLLSGGLPNTAFNYAMPSGAAYGSWPAFTTSSHSSSSSSSSTTLTSQQQGYMGGFSLHFGGSSPTSDHTNNMGDYDYYYSRDQ >KJB49169 pep chromosome:Graimondii2_0_v6:8:31874447:31875643:1 gene:B456_008G104300 transcript:KJB49169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Iron sulfur subunit of succinate dehydrogenase (Truncated) and ribosomal protein S14 precursor [Source: Projected from Oryza sativa (Os09g0370300)] MSKSLLRNGYNNVARILGKDRKQKQNFPVLEGHPAAQQHAEIAVERSGEIHDRVKKLHKEFKIYRWNPDHPTNNKPFLQSYYVDLSNCGPMVLDALQKIKGEDDSSLSYRRSCREGICGSCAMNVDGTNTVACLKLIDVDTRKPTVLTPLPHMSIEPWLKTKRAPEEGREYRQSPAERKKLDGLYECILCACCSTSCPSYWWNPEEFLGPAPLLHAFRWISDSRDGFSQERLQALTEDHKRLYRCRTIKNCAAACPKSLNPADAIHKMKTKHVISQVVEKVGST >KJB48256 pep chromosome:Graimondii2_0_v6:8:9609221:9613282:-1 gene:B456_008G060400 transcript:KJB48256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAFKGLFNSKFHGNKMMERKSSGIRRVFVQTETGSVLGIELDRSDNAHTVKRKLQIALNVPIEERSLIFGDVVLKNDLSTVRNDSPLLLTKNFMHRSSSTPCLSPTGKDVQQRDQSGPIEILVCSDHFSRIRKLVREIVEAMKFGIDPIPVCGGLGGAYYFRDCQGENCAIVKPTDEEPYAPNNPKGFIGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPSTALVKVTHSIFNVNGEVNGTKQQERKEFSKIASLQQFIPHDFDASDYGTSSFPVAAVHKIGILDIRILNTDRHAGNLLVRKIDGGGGLDQVELVPIDHGLCLPENLEDPYFEWIHWPQASIPFSEDELEYISHLDPFRDSELLRMELPMIREACLRVLVVCTIFLKEAAISGLCLAEIGEMMSREFRGQQEEPSELEFICIEARRLLEERNILSDNVRAVENEFQFEIDCEEESDITYNLEKKLAPQACWPLGGNIRNPLSKVEENVEECTEEDGNIIPPRSDEYAFTAQEKAPTVPRLSMSMKNVSIGDKSWKHENVMQKNGYLSGTSSGNRSVNEQFAGSTRFVKLADMSEEEWVQYLENFQHLVYLAFSNRKSASVGQRQRQRLGTSCQF >KJB52627 pep chromosome:Graimondii2_0_v6:8:54985719:54988894:-1 gene:B456_008G270800 transcript:KJB52627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrofolate synthetase [Source:Projected from Arabidopsis thaliana (AT5G41480) UniProtKB/Swiss-Prot;Acc:F4JYE9] MKIRKLIVSQRSTLTHRKPLSNFQLESKQCLSSYTEEPELEGFSQFIDSLKNYEKSGVPKDAGTDSDDGFDLGRMKRLMTRLGNPLSNFKSVHIAGTKGKGSTAAYLSNILRSEGYSVGCYTSPHMLSIRERMSVGKMGKPVSSNALNCLFHSIKRSLNEAIVLENGCLSHFEVLTAVAFALFAQENVDIAIIEAGLGGARDATNVISSSELDASIITTIGEEHLAALGGSLESIAMAKAGIIKHGRPVILGGPFLPHIDRILRDRAASMFSPIVSASDAGVRTSIKGIGMFKGRPSQCCDLVIELDHGSQSSIELRDLNLSMLGTHQLQNAVTATCAALCLRNQGWRISNGSIRAGLENTFLPGRSQFLSSKEAEKLGLSGSTVLVDGAHTKDSAKALLETIQTTFPDSRLAIVVAMASDKDHLAFAKEFLSGKQLEAVFLTEADIAGGTSRTTSATALRDCWIQASRELGIEVLHDRMTRYRELFEDNFISSTRDSKHETIVAAQNSLSDSLRFANQILRERTRNELGILVVTGSLHIVSLVLASLNR >KJB48240 pep chromosome:Graimondii2_0_v6:8:9369491:9372278:-1 gene:B456_008G059500 transcript:KJB48240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g02330, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02330) UniProtKB/Swiss-Prot;Acc:Q9FWA6] MAYKISYFNFPKLLFSHPSSKTHLFKAISVSTLTTRQPTPRKKKTFSHIFQECSNQTSLNPGKQAHCQMIVSGFVPTVFVANCLIQLYVKCGDLGYANKVFDKMPQRDVVSWNAMVFGNASNGMMGIAKRYFDDMPEKDVISWNSLISGYLKNGECLKSILVFVEMGRVGVGFDWTTFAVVLKSCAVLEDADAGIQVHGVAVKIAFDKDVVTGSALVDMYGKCRRLDDSIKFFYQMPEKNWVSWSAAIAGCVQNDKFIKGVEFFKEMQRESIGVSQSTYASVFRLCAGFSAFRLGRQLHGHALKTNFASDLIVGTAILDMYAKCGSMTEAQKIFNLFPIHNLQSFNAIIIGYAQSDDQAIRALHLFQHLLESDLGFDEISLSGAFSACAVIKGYLEGVQVHALAVKTTCESNICVANTILDMYGKSGALAEACRIFYEMERRDAISWNAIIAAHEQNGNEEATLSHFVSMLHSGMEPDEFTYGSVLKACAGQKALNYGMEVHNRIIKSGIGFHSFVASALVDMYCKCGMMEEAEKIHDRIEQQTMVCWNAIISGFSLQKESEEAQNFFSRMLGMGVNPDHFTYATVLDTCANLATVGLGEQIHAQIIKLELQSDAYICSTLVDMYSKCGNMHDSKLIFEKATNRDFVTWNAMICGYAQHGLGEEALQIFEDMIVKNVTPNHATFVSVLRACAHIGLVEKGWHYFGLMLSDYGLAPQLEHYSCMVDIMGRAGQVDEALSLINDMPFEPDDVIWRTLLSTCKIHGNVEVAEKVADSLLQLDPQDSSAYILLSNIYADAGMWEKVSDMRKIMRYNKLKKEPGCSWIEIKDEVHAFLVGEKAHPRCKQIYDTLGILADEMRCYVDDIDFFA >KJB50408 pep chromosome:Graimondii2_0_v6:8:44249012:44251767:1 gene:B456_008G169300 transcript:KJB50408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKTASETDVSVHSTFASRYVRTSLPRFKMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDSINKNYVDMDEYPVTTELQNRCVNIIAHLFNAPLGESEAAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPVKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLIKKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYRNVMENCHENAIVLKEGLEKTGRFNIVSKDNGVPLVAFSLKDNKRHDEFEISETLRRFGWIVPAYTMPADAQHITVLRVVIREDFSRTLAERLVLDIQKVLHELDTLPAKVNAKLAMAEEEEAKSGTVVKKTAIETQREVAAFWKKYVSERKINKNKIC >KJB50410 pep chromosome:Graimondii2_0_v6:8:44249654:44251767:1 gene:B456_008G169300 transcript:KJB50410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNRCVNIIAHLFNAPLGESEAAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPVKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLIKKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYRNVMENCHENAIVLKEGLEKTGRFNIVSKDNGVPLVAFSLKDNKRHDEFEISETLRRFGWIVPAYTMPADAQHITVLRVVIREDFSRTLAERLVLDIQKVLHELDTLPAKVNAKLAMAEEEEAKSGTVVKKTAIETQREVAAFWKKYVSERKINKNKIC >KJB50409 pep chromosome:Graimondii2_0_v6:8:44249107:44251767:1 gene:B456_008G169300 transcript:KJB50409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKTASETDVSVHSTFASRYVRTSLPRFKMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDSINKNYVDMDEYPVTTELQNRCVNIIAHLFNAPLGESEAAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPVKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLIKKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGHFSNPISFIRKLTTLLFLPSSNMYIYISKPTLKLCYVCLQVLAKSLLSTTN >KJB48278 pep chromosome:Graimondii2_0_v6:8:9855800:9862814:-1 gene:B456_008G061900 transcript:KJB48278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANPFNSILKTLEKPQGGEFGKYYSLPALNDPRIDKLPYSIKILLESAIRNCDEFQVKSKDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQENMELEFQRNKERFAFLKWGSSAFDNMLVVPPGSGIVHQVNLEYLGRVVFNTNGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLLGKLRDGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMSELSLADRATIANMSPEYGATMGFFPVDHVTLQYLQLTGRSEKTIAMIESYLRANKMFVDYSEPQIEKVYSSYLQLKLEEVEPCISGPKRPHDRVPLKEMKADWQACLDNRVGFKGFAIPKESQDKAAKFSFHGTPAELRHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVTKYLQKSGLQKYLNQLGFHIVGYGCTTCIGNSGDIDESVASAISENDMVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDINFETEPIGTGKDGKKIFFRDIWPSSEEVANVVQSSVLPDMFKATYEAITKGNPMWNQLSVPSGSLYAWSPTSTYIHEPPYFKDMTMSPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLEHGVDRRDFNSYGSRRGNDEIMARGTFANIRLVNKLLKGEVGPKTIHIPTGEKLSVYDAAMRYKTAGQDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPSCVSEIRPGQDVTVATDSGRSFTCTVRFDTEVELAYFNHGGILQYVIRNLIGAKQ >KJB52542 pep chromosome:Graimondii2_0_v6:8:54611619:54612355:-1 gene:B456_008G267200 transcript:KJB52542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSEGSCLSYMAAWLGSSVAQAFFASLERCSCINLSTSDDADDSEAHDLPLMFTNCSSISSLSVTSIPNNNNNTPSNDVVNLPV >KJB52922 pep chromosome:Graimondii2_0_v6:8:55971045:55973636:1 gene:B456_008G283800 transcript:KJB52922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISIPPTDPSSSSTEDPAAKAVNKRYEGLITVRTKATKGKGAWYWAHLEPILVRNPESSLPKAVKLKCSLCDAVFSASNPSRTAAEHLKRGTCPNFSSVLRPNPSLSPLPISSLASPSVSYHHNHRKRSPSAAVSLLRNQVSNNNSHDTNNNSNSNSLAIVESTRLLSYTSHTDNNNNNVGLTQHHLILSGGKEDLGALAMLEDSVKKLKSPKISPGPALSKGQIDSAFDLLADWFYESCGSVSFSSLEHPKFRAFLNQVGMPAISRRDLSGARLDNKFYEAKDESEARIRDAMFFQVASDGWKRKSCCCSSYTSSSGGGSNFGCVEENFVKFSVNLPNGSSLYQKAVFTGGPVSTKQAEEVLWETVMGISGNGVQKCVGIVSDHKAKALKNLEIQNHWMVNLSCQLQGFINLIKDFSKELLLFRTVTENSLKLANFVNNNLQARNSFRKYKMQELDCAGLIRVPFNECHCSSNFAHVFAMLEDILNCSQVLQMVVLDDSYKGICIEDPVAREVAGIVQNEGFWNDLGAVYALVKLIRGMAHEIEVERPLIGQCLPLWEELRVKVKEWCAKFNIAEAPVEKIVEKRFRKNYHPAWSAAFILDPLYLIRDTSGKYLPPFKCLTHEQEKDVDKLITRLVTKEEAHVALMELMKWRSEGLEPLYAQAVQVKQRDSVTGKLKIANPQSSRLVWETCLSEYKSLGKVAVRLIFLHATSCGFKRNWSLMKWICVHRHSRIGLERAQKMIFIAAHSKLGRRDFSNEEEKDADLFMIGGEDDILNGVFTDAP >KJB52051 pep chromosome:Graimondii2_0_v6:8:52958000:52959369:-1 gene:B456_008G244200 transcript:KJB52051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YECEKPWIPWLLPTIVLANIIIFVLMMYTNNCPKNNKGSKGKCVARYLGRFSFQPTKENPLFGPSSNTMDKWGALQWSKVVHGKQGWRFITCLWLHGGLIHLFANVFSFVFIGIRHEQQFGYVRVGVIYLLSGFGGGILSSLFMQHTTTVGASGALFGLIGAMCSEFLTNWTIYTYKVTAVITFISIIVLNLAVGVLPHIDNFANIGGFFTGFLLGFVLLFRPQSGWIKPQHRPAGAAVIPKYKPYQYVFCVIAVLLLIVGFGMGLLLVFKGANGNKHCSWCHHLTCAPTSKWPCGY >KJB48228 pep chromosome:Graimondii2_0_v6:8:9257668:9258891:-1 gene:B456_008G058800 transcript:KJB48228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLLGLLRIHVQRGVNLAVRDVVSSDPYVVIRMGKQKLKTRVIKKNVNPEWNDDLTLSIADLSLPVKLTVYDRDTFSLDDKMGDAEFEIGPLVNAVKMSLEGHPNGTIITKVQPSRQNCLSQESCIIWNNGKVVQDMFLRLRNVETGEVELQLEWIHVPGSRGL >KJB48621 pep chromosome:Graimondii2_0_v6:8:15823448:15823690:1 gene:B456_008G081200 transcript:KJB48621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAQGALTKESKTATIYESIQGGENKSKTELRSKEDEGGIQVDRLEDKVKDPTGEGGPIFGSPSPNNDDNQDLGVTGTA >KJB52384 pep chromosome:Graimondii2_0_v6:8:54112438:54114330:-1 gene:B456_008G259700 transcript:KJB52384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDMNLSINGQFRVPPGFRFHPTEEELLHYYLRKKVAFQKIDLDVIREVDLNKLEPWEIQEKCKIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYSGLKRIGLRKTLVFYKGRAPHGQKSDWIMHEYRLDHNSTSTLDATASNGIGDSVPEEGWVVCRVFRKKNYQKTLESPKSSSSTCLDSKGKMLGSCNDGVLDQILFHMGRTCCKMENGSLSNNNVDIPNTNNGVHERFMHLPRLESPTLFDQDRSFIKPCCYHSIDDMLTETEPSSINQRGTAGVCESNNGVNDWVTLDRLVASQLNGQQETSKQLWCFNDPNAAFSLCHDDDVQLSHLNLLRSNQNSNVYRDENDLWSLTKSSSPSPSSTSDPLCHLSV >KJB50200 pep chromosome:Graimondii2_0_v6:8:42386858:42391786:-1 gene:B456_008G158700 transcript:KJB50200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGYMWMRRVRFGVNPLSLAMAYSSSATTLPCFPPHPLFSFTCFKPPHLISLPSSHGPRSISSSTPSSCSSAASLLSLPENHDFPDCERGQTYTHLPKGSKVLLKGLNYAEFQEWVQSHGFRPGQALMLWKRLYGDNIWAHDIDELKGLNKDFKKMLSEHAELRALSLKDILTASDGTRKILFALDDGLVIETVVIPCDRGRTTVCVSSQVGCAMNCQFCYTGRMGLRRHLTAAEIVEQAVYARRLLSGDVGSITNVVFMGMGEPFHNIENVIKAADILVDEQGLHFSPRKVTVSTSGLVPQLKRFLHESKCALAVSLNATTDEVRNWIMPINRKYKLSFLLETLREELKFKNNYKVLFEYVMLAGINDSIEDAKRLIDLVKGIPCKINLISFNPHCGSQFRPSSDEKMIEFRNILAEGGCIVFMRFSRGDDQMAACGQLGKPGSSQAPLLRVPEQFRVALNLGM >KJB49286 pep chromosome:Graimondii2_0_v6:8:34189150:34196666:-1 gene:B456_008G111000 transcript:KJB49286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSRSDKSEQQYRKSGRSASFNQQRSSSGVYSKGAGGGPAPSRSPSSSSSLSSNRSLKKSSNAQGGQYRLNSPAVSSTESSSTSAARTKPNGAHLQPQLLGASDASIAGNAAQPVQSHTIQNSTRTVSNPPTSQPAAISSDTSFPSTSEKEDASKPFSLQFGSITPGFMNGMQVPARTSSAPPNLDEQKRNQARHDSSFKCVPNLPIPIPKQQLARKDSVATEQSSSGEVYPVPKIKKDAQPSPVPPANQTQKPSPLNIPMTSMQMPFHHQPHVPIQYGGPNPQIQSQSVTASSMQMPMHISLAMGNGPQVQQQVFVAGLQALPLPPQGMMHQGGGLSFTPSIGGHLPPQLGNLGMGITPQYSQQQGGKFGVPRKTTPVKITHPDTHEELRLDKRTDTRADGGSSVPRPHPNMPIQSQPIPSFAPSQSINYYSNSYNTKSGFYPPPSSRPLASNQIAPNAQGPRFSYPGSQGHQNISFMNSAATHGSLAVNKSVHLVRGSLESANVEPVRDAQNVMPFTTSGLTQVTVKPASVSAGEKFEDSSSSSILPSIEKAGALKPSTPASEVSSSEAQRDLDTCQESSAQQPKSGNESLTSESLPAAAKHSGGVPVPNLDESQTSSCVSSASDSTSRESTLVLASNEGKRREGLSRSNSIKNYQKKPGQEGQIQPPVQSTSTSNLATNPAECGVSSDGAVTEALEAKKALTSLAAVDVLSQSTRELPSINDALPSSLDPKTESKIESLNTVSSEVSGTGSKVDSFDIVQHAKIDGSSKLDERPRSEISGINEEEKHFPEEHLSSQLVPLKSTELKSDQDSASKVVATNIVVRTPGTEQRVHNEDLGGKVENAEATDSKDISTSRIADPTGVESSHVLMTFGSNPSSSPSNSYEMTATKTVISSHQSAPVPTPDLLESTSNYEGEGVLLTSSKDKPAPQLSRTKSTITSGRKKLKEILQKADAAGTTSDLYMAYKGPEEKKETVAPLASTEISSVGVNLKQASHEALQVDAIESEKITQSKAELDDWEDAADISAPNMETSDTDEQAHGGLASHEEDGSGNIKKKYSRDFLLKFAGQYTDLPQGFEIASDIAAALMASNVNASHAVDHDSYPSPGRKFDRQSSGSRVDRRASGIVDDDRWIRPPGSFGPGRDLRLDLGYGAVAGFRPVQGGNFGVLRHPRAQTPLPYLGGVPAGPMPHMSPHAGMQHSGPDADRWHRGVMYQQKGMIPSPQSPLQTMHRAERKYQVGKVADEEEAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAGTLTGVISQIFDKALMEPTFCEMYANFCQCLAGELPDFIEDNEKITFKRLLLNKCQEEFERGEREQEEANKIEEEGEAKLSEEEREEKRIKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYENPNEEDVEALCKLMSTIGEMIDHPKAKVHMDAYFEMMVKLSNNMKLSSRIRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQSSRLARGPGFNAAARRASTDFSARGSILSSPGSQMDSFRGLQGQPHSSGAQDVRMDDRQSLESRTLSVPLPQRPTGDDSITLGPQGGLGRGMSFRGPSVMSSTPLANISPISVDSRTAGSNGFSSVSERMTYGPREDLMARFGSDRFAPTASYEQPSSQERGMHFGNRDSRTPDRSFARPLAASPSSQGQSSGFSQNIPPEKGCSEERLHDLSMEAIKEFYSARDEKEVALCIKDLNSSSFHPTMIALWVTDCFERKDMERDLLAKLLVNLTRSHDGVLSQAELVKGFESVLSTLEDAVNDAPKAPEFLGRIFGKMIVENVMSLKEIGRLIGEGGEEARQLVEIGLGGDVIGSTLGMIKRERGESVLNEIRGSSCLRLEDFRPSHPNRSRILETFL >KJB49284 pep chromosome:Graimondii2_0_v6:8:34189362:34196410:-1 gene:B456_008G111000 transcript:KJB49284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSRSDKSEQQYRKSGRSASFNQQRSSSGVYSKGAGGGPAPSRSPSSSSSLSSNRSLKKSSNAQGGQYRLNSPAVSSTESSSTSAARTKPNGAHLQPQLLGASDASIAGNAAQPVQSHTIQNSTRTVSNPPTSQPAAISSDTSFPSTSEKEDASKPFSLQFGSITPGFMNGMQVPARTSSAPPNLDEQKRNQARHDSSFKCVPNLPIPIPKQQLARKDSVATEQSSSGEVYPVPKIKKDAQPSPVPPANQTQKPSPLNIPMTSMQMPFHHQPHVPIQYGGPNPQIQSQSVTASSMQMPMHISLAMGNGPQVQQQVFVAGLQALPLPPQGMMHQGGGLSFTPSIGGHLPPQLGNLGMGITPQYSQQQGGKFGVPRKTTPVKITHPDTHEELRLDKRTDTRADGGSSVPRPHPNMPIQSQPIPSFAPSQSINYYSNSYNTKSGFYPPPSSRPLASNQIAPNAQGPRFSYPGSQGHQNISFMNSAATHGSLAVNKSVHLVRGSLESANVEPVRDAQNVMPFTTSGLTQVTVKPASVSAGEKFEDSSSSSILPSIEKAGALKPSTPASEVSSSEAQRDLDTCQESSAQQPKSGNESLTSESLPAAAKHSGGVPVPNLDESQTSSCVSSASDSTSRESTLVLASNEGKRREGLSRSNSIKNYQKKPGQEGQIQPPVQSTSTSNLATNPAECGVSSDGAVTEALEAKKALTSLAAVDVLSQSTRELPSINDALPSSLDPKTESKIESLNTVSSEVSGTGSKVDSFDIVQHAKIDGSSKLDERPRSEISGINEEEKHFPEEHLSSQLVPLKSTELKSDQDSASKVVATNIVVRTPGTEQRVHNEDLGGKVENAEATDSKDISTSRIADPTGVESSHVLMTFGSNPSSSPSNSYEMTATKTVISSHQSAPVPTPDLLESTSNYEGEGVLLTSSKDKPAPQLSRTKSTITSGRKKLKEILQKADAAGTTSDLYMAYKGPEEKKETVAPLASTEISSVGVNLKQASHEALQVDAIESEKITQSKAELDDWEDAADISAPNMETSDTDEQAHGGLASHEEDGSGNIKKKYSRDFLLKFAGQYTDLPQGFEIASDIAAALMASNVNASHAVDHDSYPSPGRKFDRQSSGSRVDRRASGIVDDDRWIRPPGSFGPGRDLRLDLGYGAVAGFRPVQGGNFGVLRHPRAQTPLPYLGGVPAGPMPHMSPHAGMQHSGPDADRWHRGVMYQQKGMIPSPQSPLQTMHRAERKYQVGKVADEEEAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAGTLTGVISQIFDKALMEPTFCEMYANFCQCLAGELPDFIEDNEKITFKRLLLNKCQEEFERGEREQEEANKIEEEGEAKLSEEEREEKRIKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYENPNEEDVEALCKLMSTIGEMIDHPKAKVHMDAYFEMMVKLSNNMKLSSRIRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQSSRLARGPGFNAAARRASTDFSARGSILSSPGSQMDSFRGLQGQPHSSGAQDVRMDDRQSLESRTLSVPLPQRPTGDDSITLGPQGGLGRGMSFRGPSVMSSTPLANISPISVDSRTAGSNGFSSVSERMTYGPREDLMARFGSDRFAPTASYEQPSSQERGMHFGNRDSRTPDRSFARPLAASPSSQGQSSGFSQNIPPEKGCSEERLHDLSMEAIKEFYSARDEKEVALCIKDLNSSSFHPTMIALWVTDCFERKDMERDLLAKLLVNLTRSHDGVLSQAELVKGFESVLSTLEDAVNDAPKAPEFLGRIFGKMIVENVMSLKEIGRLIGEGGEEARQLVEIGLGGDVIGSTLGMIKRERGESVLNEIRGSSCLRLEDFRPSHPNRSRILETFL >KJB49285 pep chromosome:Graimondii2_0_v6:8:34189150:34196860:-1 gene:B456_008G111000 transcript:KJB49285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSRSDKSEQQYRKSGRSASFNQQRSSSGVYSKGAGGGPAPSRSPSSSSSLSSNRSLKKSSNAQGGQYRLNSPAVSSTESSSTSAARTKPNGAHLQPQLLGASDASIAGNAAQPVQSHTIQNSTRTVSNPPTSQPAAISSDTSFPSTSEKDASKPFSLQFGSITPGFMNGMQVPARTSSAPPNLDEQKRNQARHDSSFKCVPNLPIPIPKQQLARKDSVATEQSSSGEVYPVPKIKKDAQPSPVPPANQTQKPSPLNIPMTSMQMPFHHQPHVPIQYGGPNPQIQSQSVTASSMQMPMHISLAMGNGPQVQQQVFVAGLQALPLPPQGMMHQGGGLSFTPSIGGHLPPQLGNLGMGITPQYSQQQGGKFGVPRKTTPVKITHPDTHEELRLDKRTDTRADGGSSVPRPHPNMPIQSQPIPSFAPSQSINYYSNSYNTKSGFYPPPSSRPLASNQIAPNAQGPRFSYPGSQGHQNISFMNSAATHGSLAVNKSVHLVRGSLESANVEPVRDAQNVMPFTTSGLTQVTVKPASVSAGEKFEDSSSSSILPSIEKAGALKPSTPASEVSSSEAQRDLDTCQESSAQQPKSGNESLTSESLPAAAKHSGGVPVPNLDESQTSSCVSSASDSTSRESTLVLASNEGKRREGLSRSNSIKNYQKKPGQEGQIQPPVQSTSTSNLATNPAECGVSSDGAVTEALEAKKALTSLAAVDVLSQSTRELPSINDALPSSLDPKTESKIESLNTVSSEVSGTGSKVDSFDIVQHAKIDGSSKLDERPRSEISGINEEEKHFPEEHLSSQLVPLKSTELKSDQDSASKVVATNIVVRTPGTEQRVHNEDLGGKVENAEATDSKDISTSRIADPTGVESSHVLMTFGSNPSSSPSNSYEMTATKTVISSHQSAPVPTPDLLESTSNYEGEGVLLTSSKDKPAPQLSRTKSTITSGRKKLKEILQKADAAGTTSDLYMAYKGPEEKKETVAPLASTEISSVGVNLKQASHEALQVDAIESEKITQSKAELDDWEDAADISAPNMETSDTDEQAHGGLASHEEDGSGNIKKKYSRDFLLKFAGQYTDLPQGFEIASDIAAALMASNVNASHAVDHDSYPSPGRKFDRQSSGSRVDRRASGIVDDDRWIRPPGSFGPGRDLRLDLGYGAVAGFRPVQGGNFGVLRHPRAQTPLPYLGGVPAGPMPHMSPHAGMQHSGPDADRWHRGVMYQQKGMIPSPQSPLQTMHRAERKYQVGKVADEEEAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAGTLTGVISQIFDKALMEPTFCEMYANFCQCLAGELPDFIEDNEKITFKRLLLNKCQEEFERGEREQEEANKIEEEGEAKLSEEEREEKRIKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYENPNEEDVEALCKLMSTIGEMIDHPKAKVHMDAYFEMMVKLSNNMKLSSRIRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQSSRLARGPGFNAAARRASTDFSARGSILSSPGSQMDSFRGLQGQPHSSGAQDVRMDDRQSLESRTLSVPLPQRPTGDDSITLGPQGGLGRGMSFRGPSVMSSTPLANISPISVDSRTAGSNGFSSVSERMTYGPREDLMARFGSDRFAPTASYEQPSSQERGMHFGNRDSRTPDRSFARPLAASPSSQGQSSGFSQNIPPEKGCSEERLHDLSMEAIKEFYSARDEKEVALCIKDLNSSSFHPTMIALWVTDCFERKDMERDLLAKLLVNLTRSHDGVLSQAELVKGFESVLSTLEDAVNDAPKAPEFLGRIFGKMIVENVMSLKEIGRLIGEGGEEARQLVEIGLGGDVIGSTLGMIKRERGESVLNEIRGSSCLRLEDFRPSHPNRSRILETFL >KJB49322 pep chromosome:Graimondii2_0_v6:8:34531113:34533518:1 gene:B456_008G113200 transcript:KJB49322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:Projected from Arabidopsis thaliana (AT3G60730) UniProtKB/TrEMBL;Acc:A0A178V7L0] MSFTSVPNMSTSVTTGTTFLLLFLLAMATATTGSHLEQVTEVVQMARTMVVQSRNLAKASMSLYGLHNTMHYHGMGLADCIKLYDESESRLSVLLSSRGYTVDDARTWLSGVLANHRSCSEGLGQKDFGIQEHGQGRNLTSLVSAALALYTMHGKEAVVEQELMHRPRLGGKLLTSWNPTTSKADFVVSKDGSGTHKTINDAVAAVARIGNNRRPRVIIYVKAGVYNEKVDIDKNLKNIMLVGDGMDKTIVTGNRNVPDGSTTYGSATFGVSGDGFWARDVTFVNTAGPHKHQAVALRVSSDHSVFYRCSIKGYQDSLFLHSLRQFYRDCHIHGTIDYIFGDASAVLQNCDIFVKRPMDHQSNMVTAQGRDDPNENTGISIMESRVRPAPDFDSVKHLFKSYLGRPWKKYSRTVFMKTDLDGLIDPKGWNEWSGSFALSTLYYAEYRNTGNGASIGNRVKWPGFHVFNRAEEANPFTVNRFIQGELWIPETGVPFNSRL >KJB52579 pep chromosome:Graimondii2_0_v6:8:54927797:54930077:1 gene:B456_008G270200 transcript:KJB52579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVFLCLFILQVWSLVRRFDQPQKYKPFVSRCIAQGNLEIGSLREVYVKSGLPATTSTERLELLDDNEHILSIRIVCGDHRLKNYSSIISLHPEIINGRPGTLVIESFVVDVPEGNTQDETCYFVEALIKCNLKSLADISEGLAIQDRTEPIDL >KJB52578 pep chromosome:Graimondii2_0_v6:8:54926821:54930177:1 gene:B456_008G270200 transcript:KJB52578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGHSNGFSSAAAVAAAEHIRRHHLHEIGENQCSSTLIKHMKAPVPLVWSLVRRFDQPQKYKPFVSRCIAQGNLEIGSLREVYVKSGLPATTSTERLELLDDNEHILSIRIVCGDHRLKNYSSIISLHPEIINGRPGTLVIESFVVDVPEGNTQDETCYFVEALIKCNLKSLADISEGLAIQDRTEPIDL >KJB50150 pep chromosome:Graimondii2_0_v6:8:41691429:41698245:-1 gene:B456_008G156200 transcript:KJB50150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVPAGNLSKQQHLPHGSSDGGGFYGYCGRSYTESFGLMSSVPGHGGYHSDACLGSDLGANSMAEDESRTNSTANEEGSSSKDNNREQEEADKGWLQLSIGGQATAYEYDHNKHDQGDPASRRGGLMELDLLPAATSQQSRPLAPIFHMPEFRPPPPPPTLMHNFSTLFFQHQQGSSSMIPHHGELSWAFKPIAATPSSTSSYFSRPFQVQSMDVAGPSSEVRIIDPPRRGIWFMLEASRNQAKEPFLPQIPKSYLRIKDGKMTVRLLMKYVANKLRLDSESEIEIRCKGRQLQPLLTLQHVRDQIWSSRQAPADYPSTTPDDIYNNNNNNSNVMVLHYGRS >KJB52939 pep chromosome:Graimondii2_0_v6:8:56012609:56013359:1 gene:B456_008G2842001 transcript:KJB52939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NIVIGATDTTFTTIEWAMTELLRHPDKLRRVIEELDAIIGDQNIVEEFHLPRLLYLEAVVKETLRIHPPAPFLMPHMPSETIVVAGYTIPKNSNIFFNVWVIQRDADFWEDPLQFEPERFLNVIEKRNYKGNSFDFFPFGSGRRICIGISMAEKIMMLVLATLLYCFEWELPNGQKPDAKEQLRLVLSKVEPLLVVPISRSSNSMQYQ >KJB52490 pep chromosome:Graimondii2_0_v6:8:54403929:54404496:1 gene:B456_008G264700 transcript:KJB52490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSKYPTIKGINFDLPHVIENAPTCPGVEHVGGDMFASVPKGDTIFMKVSQRNIDNHCSKFLKKCYEAVPDNGKMIVADSILPDYPDPSLATKVVGFFDCTLWATNHGRKERTEKEFEALATRFEP >KJB53073 pep chromosome:Graimondii2_0_v6:8:56612300:56614981:-1 gene:B456_008G292000 transcript:KJB53073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLMRKKVKITSRARYGKRQAFTRFCCSLFSLPVPPTNPHGPQEDPTTFSGRSVPEYLGHGRVQKLLLFGLEGSGTSTIFKQAKFLYGNGFSPEEMENIKHMIQSNMYKYLSVLLEGRERFEEEALSELRTNNLDAEKSDSDETGIDGSNQCIYSINPRFKHFSDWLLDIVAMGDFDKFFPAATREYARTVDELWKDPAIQETCKRIEELHHLPDVAKYFLERAVEISSNEYEPSEKDILYAEGVTQSNGLASLEFSFDDRSPMSEIYDNNFECSPSLTKYQLIRINSKGLHDGCKWLAMFEDVRAVIFCVALTDYDEMWSRGTGPIYNKMLASRDMFENLVRHPSFKEIPFVLLLTKYDALENKINRTPLSTCEWFKDFSPLRPHHNHHALAQQAYYYVAVKFKELYSSICGQKLFVWQTKGRERRSIDEAFKYIREVLKWDEEKNENMYEIHGDDSFYSTEMSSSPYIRQE >KJB53074 pep chromosome:Graimondii2_0_v6:8:56612300:56616745:-1 gene:B456_008G292000 transcript:KJB53074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMPEGRKCVSCIKKPIDEAKRSRLGKSSRLLRKVCSPLEVQQIMKAEKECAANQLRPEQLVVNGRQLNHEELAELFGCPVPPQKLKPGRYWYDKDSGFWGKEGEKPDSIISSKLNIGSKLKKDASNGNTRVFINGREITKTELRVLKLAKVQCPRDTHFWVYEDGSYEEEGQNNIKGKIWEKAFTRFCCSLFSLPVPPTNPHGPQEDPTTFSGRSVPEYLGHGRVQKLLLFGLEGSGTSTIFKQAKFLYGNGFSPEEMENIKHMIQSNMYKYLSVLLEGRERFEEEALSELRTNNLDAEKSDSDETGIDGSNQCIYSINPRFKHFSDWLLDIVAMGDFDKFFPAATREYARTVDELWKDPAIQETCKRIEELHHLPDVAKYFLERAVEISSNEYEPSEKDILYAEGVTQSNGLASLEFSFDDRSPMSEIYDNNFECSPSLTKYQLIRINSKGLHDGCKWLAMFEDVRAVIFCVALTDYDEMWSRGTGPIYNKMLASRDMFENLVRHPSFKEIPFVLLLTKYDALENKINRTPLSTCEWFKDFSPLRPHHNHHALAQQAYYYVAVKFKELYSSICGQKLFVWQTKGRERRSIDEAFKYIREVLKWDEEKNENMYEIHGDDSFYSTEMSSSPYIRQE >KJB53075 pep chromosome:Graimondii2_0_v6:8:56612121:56616972:-1 gene:B456_008G292000 transcript:KJB53075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKEAEEENRAWEDVIRRMLPVGAPLPDEDHLDYSIAIEYEGPPIPYDVPRVDPLDLDSLSASDISSIPFKKNRYAVRNGSPLESQRPSSASRSQIDSRNGDYDSEIEVDKSPPPPPPEQSQQQHPDKKNVVVTFNTPKDSETDDGEAEEEDDDDDGYTSSQSSVAGRGALLENVETRGIVKQKKRGICSRCGKRSRLKEREACLVCDARYCNNCLLKAMGSMPEGRKCVSCIKKPIDEAKRSRLGKSSRLLRKVCSPLEVQQIMKAEKECAANQLRPEQLVVNGRQLNHEELAELFGCPVPPQKLKPGRYWYDKDSGFWGKEGEKPDSIISSKLNIGSKLKKDASNGNTRVFINGREITKTELRVLKLAKVQCPRDTHFWVYEDGSYEEEGQNNIKGKIWEKAFTRFCCSLFSLPVPPTNPHGPQEDPTTFSGRSVPEYLGHGRVQKLLLFGLEGSGTSTIFKQAKFLYGNGFSPEEMENIKHMIQSNMYKYLSVLLEGRERFEEEALSELRTNNLDAEKSDSDETGIDGSNQCIYSINPRFKHFSDWLLDIVAMGDFDKFFPAATREYARTVDELWKDPAIQETCKRIEELHHLPDVAKYFLERAVEISSNEYEPSEKDILYAEGVTQSNGLASLEFSFDDRSPMSEIYDNNFECSPSLTKYQLIRINSKGLHDGCKWLAMFEDVRAVIFCVALTDYDEMWSRGTGPIYNKMLASRDMFENLVRHPSFKEIPFVLLLTKYDALENKINRTPLSTCEWFKDFSPLRPHHNHHALAQQAYYYVAVKFKELYSSICGQKLFVWQTKGRERRSIDEAFKYIREVLKWDEEKNENMYEIHGDDSFYSTEMSSSPYIRQE >KJB51803 pep chromosome:Graimondii2_0_v6:8:51864849:51865073:1 gene:B456_008G2323001 transcript:KJB51803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFLFAKGWRDFVIMNSLNPGDTVIFYGCYHVDEEGQKRMFYMIDIHRNVPEKYIVSERYVDGINGVKLFGVRIG >KJB49662 pep chromosome:Graimondii2_0_v6:8:37886530:37887957:1 gene:B456_008G132400 transcript:KJB49662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNFVEEEEEKGYYCNNEDQEEDDEEVVMIREISSNNFPFSSSSSSSVSSKYKDAAAAAVHLSLGTFDSKQDHNKTQQQSGFDFDKKQDLVMDLSLGNHKNNDHEESCYESVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSSIEKGLLLNFEDRNGKTWRFRYSYWNSSQSYVMTKGWSRFVKEKKLVAGDTVSFQRGVGELVKARLYIDWKLRPNALDPSPFAHIQLRNQFNFLQPVRWEPICMSRNYQPLHRLNYSIDPYNHRHHHHFQHQHQQQAITYSSMSQYYQIGALQGEPMVIDSVPVIEANNNKASAKRLRLFGVNMDCPNPTQDESSSSIPLSNTQAEYSNKGKSSLSIVLGL >KJB51923 pep chromosome:Graimondii2_0_v6:8:52526434:52529549:1 gene:B456_008G238300 transcript:KJB51923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFELQAKGAVELPGFASICQQNKWIKQREANSFNFENSFYYNNEPEPTSVLHLRRSQSPPTSASTLSSSFNGGATGSGGGVSSTGNINTTAATIAPPETTAPNNSKEEWASELQPIPSELDLVPGPGGSQRCNSGLEDWETMLSESAVSLNQDHSLLRWIAGEVDDPSFGLKQLLQSGSSGPNQGVDFEGNAGVGAVDQGPASDPIGSLISSGSGNGISNAALNLGGFPGSGFVPNANNENEPSCSSVELVNNSKVLGATVGSNCNIQIPLFSSPASNFGLPVSLPILYQQQHQEEKPRIFIGPQQHPQYPNFFLPLAQEHHLLQPLPQRLHSGNLELSSQIPKLPFADAGHELFTRKQQQHMGFSQGVQYVPQQQPLMVEKKRVLVPGEEMAQPQHQYQLHQQQQATLFDQLYKAAELVGTGNFSHAQLILARLNQQVSPVGMPLQRAAFYFKEALQVLLLMNNPVSPPPPRSPTAFDVIFKMGAYKVFSEVSPLIQFVNFTCNQALLEALDDTDRIHIVDFDIGFGAQWASFMQELPMRSRGAPSLRITAFASPSMHHSIELGLMRDILMQFANEIGLSFELEVVNFDSLDQNPHSLPMFQSNGNEAIAVNFPVWSCSNRPSALPHLMRFVKQLSPKIVVSLDQGCDRNDLTFPQHVIHAFQSYTNLLESLDAGNATSDAVNKIERFLFVPRIESSVLGRLQTPEKMPLWSTLFSSAGFTSVTFSNFTENQADCVVKRAQVRGFHVEKRQASLVLCWQQRNLISASAWRC >KJB48202 pep chromosome:Graimondii2_0_v6:8:9038461:9039071:-1 gene:B456_008G058100 transcript:KJB48202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASLSSSSFLPSLHSRKMFTPRWHDKRSRCGLVFAAGRESQQWNHSGQLVNENLIVLRKRIHDLKIIERNYEPPADWMEWEKQYYTSYNEFICQILGLLQSYLMNTKPSLALGILALVTIYLQASIIMDLMHLVQAANGVLSTIGFH >KJB50179 pep chromosome:Graimondii2_0_v6:8:42162547:42169834:1 gene:B456_008G157600 transcript:KJB50179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNGHRRVRRREHLVRENGDTGLSYSIDELDPWTAWAYKPRTISLLFVGACFLIWASGALDPESSESGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRIYIPENPTSRFKNVYETLFDEFVVAHIFGWWGKAILIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILTCNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPMRFVQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIVELLIGIKFGHGLYPKAMPHWLVIFWLSIGVTLVSFILIWSWKLQRNFGKKRR >KJB50180 pep chromosome:Graimondii2_0_v6:8:42161753:42169834:1 gene:B456_008G157600 transcript:KJB50180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNGHRRVRRREHLVRENGDTGLSYSIDELDPWTAWAYKPRTISLLFVGACFLIWASGALDPESSESGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRIYIPENPTSRFKNVYETLFDEFVVAHIFGWWGKAILIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILTCNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPMRFVQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIVELLIGIKFGHGLYPKAMPHWLVIFWLSIGVTLVSFILIWSWKLQRNFGKKRR >KJB47015 pep chromosome:Graimondii2_0_v6:8:780678:781452:1 gene:B456_008G006400 transcript:KJB47015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMISFANLPTFCSSKRTKYVKEYINLTVFPLYKRSHQKGLLFLLSAISCFSSPNSLPLLSAERTSEAAVTTRGFFSRGCLLLSVGVTSSPVCLGKKLVVLRTLAG >KJB50697 pep chromosome:Graimondii2_0_v6:8:46060599:46062883:1 gene:B456_008G182700 transcript:KJB50697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLTPVLRQLFATVTVDEPAPGLKTIFSFIAPDQRSGKVELQYQHEYAGISTSIGLTANPLVNFSGVVGNNSVTVGTDLSFDTASGNFTKLNAGLNFTHSDLIASLTLNDKGDTLNASYYHIVSPLTNTAVGAELSHSFSSNENTLTIGTQHALDPLTTVKARLNNYGRASALIQHEWRPKSLITISGEVDTGAIEKSAKVGLALALKP >KJB50696 pep chromosome:Graimondii2_0_v6:8:46060515:46062897:1 gene:B456_008G182700 transcript:KJB50696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYTDIGKKARDLLYKDYQADHKFTLTTYTSNGVAITSTGTKKGELLLADISTELKNKNITTNVKVDTSSKLFATVTVDEPAPGLKTIFSFIAPDQRSGKVELQYQHEYAGISTSIGLTANPLVNFSGVVGNNSVTVGTDLSFDTASGNFTKLNAGLNFTHSDLIASLTLNDKGDTLNASYYHIVSPLTNTAVGAELSHSFSSNENTLTIGTQHALDPLTTVKARLNNYGRASALIQHEWRPKSLITISGEVDTGAIEKSAKVGLALALKP >KJB49340 pep chromosome:Graimondii2_0_v6:8:34672415:34675670:-1 gene:B456_008G114200 transcript:KJB49340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTDCFAGHIIAKILTKYQQLPTARSEAFELSTFPTMAAIIGESPKLALYSYWQSSCSWRVRFALNLKGISYEYKAVNLSKGEQFTPEFEKLNPLHFVPVLVDGDVVVSDSYAILLYLEEKYPQRALLPADPQLKALNLQVASIVTSSIQPLHMLSTLLSRSC >KJB49341 pep chromosome:Graimondii2_0_v6:8:34672415:34675860:-1 gene:B456_008G114200 transcript:KJB49341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTDCFAGHIIAKILTKYQQLPTARSEAFELSTFPTMAAIIGESPKLALYSYWQSSCSWRVRFALNLKGISYEYKAVNLSKGEQFTPEFEKLNPLHFVPVLVDGDVVVSDSYAILLYLEEKYPQRALLPADPQLKALNLQVASIVTSSIQPLHMLSTLKYLEQNVGPQESLLFAQTNVEKGFNALEKLLKDINGKYATGDEVYMADVFMAPQIAAAMQRFKIDMSKYPRLCRIFESLKALLEFLDASPERQPDAVP >KJB49339 pep chromosome:Graimondii2_0_v6:8:34672775:34675593:-1 gene:B456_008G114200 transcript:KJB49339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTDCFAGHIIAKILTKYQQLPTARSEAFELSTFPTMAAIIGESPKLALYSYWQSSCSWRVRFALNLKGISYEYKAVNLSKGEQFTPEFEKLNPLHFVPVLVDGDVVVSDSYAILLYLEEKYPQRALLPADPQLKALNLQVASIVTSSIQPLHMLSTLKYLEQNVGPQESLLFAQTNVEKGFNALEKLLKDINGKYATGDEVYMADVFMAPQIAAAMQRFKIDMKPTVCAGFSFCSPSTLDCVGYSSR >KJB48878 pep chromosome:Graimondii2_0_v6:8:22248083:22249006:-1 gene:B456_008G091600 transcript:KJB48878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTMFKVLMILGLLATSCMAQAPTSPPTASPSAPPTSSPPPAATPTPSPTPSQTPSPAPSTVPTPSPTTSPSPSPTTSSPSPSISSSPAPTPEGASTPASEPTADQGPPPSAASTNGVFFGVTALAATFFAALLA >KJB48877 pep chromosome:Graimondii2_0_v6:8:22248078:22249240:-1 gene:B456_008G091600 transcript:KJB48877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTMFKVLMILGLLATSCMAQAPTSPPTASPSAPPTSSPPPAATPTPSPTPSQTPSPAPSTVPTPSPTTSPSPSPTTSSPSPSISSSPAPTPEGASTPASEPTADQGPPPSAASTNGVFFGVTALAATFFAALLA >KJB50216 pep chromosome:Graimondii2_0_v6:8:42435066:42437743:-1 gene:B456_008G159100 transcript:KJB50216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKLASHEHSMGYHCLDDETDDKWCDKCRETICGAAYACVSCCRCSFELDLVCAFASSDDHVARKKRQRSNADREKQIMQHYCHIHPLILYKYSNEGEHDYNCRWCDKPLTGIFYGCKSCGFFLHEFCSDKIPKTLNHPFHPSHPLRLDFVDATCNACTQQIKLSNKDFSTAAYGCQICNFNLDFGCAKLLPNLNHEGHNHCLTYVGPTFRDPTRKYHFQCSSCRELCLDTFYRCVQCDLNLHLIKCVPVPPLAKHRYHRHPLLLNQPIREDEIGEYYCDICEKERDPTHEEETSTKQDSSSSPPISMDPKASELKEMEQTDETILVVRPVLHEHPLKFCEVTENLGERVCNACRLELSGPGYICQGCQYILYENCAKLPDKIQHPLHPQHHLNLYARYTSLDENICDKCQEFSFGFIYLCEQCDFKLDLKCATRAPSESGRTTLKESKRETELFHFTDKHKLLFCNFTDSVVESRCNICQLQIFGPAYHCRKCGWVLHESCLRLPQEMQAPIHSQHTLTLSYTRYGGCHACSLKLLPSGYFKSYNYGCKDCGVNYHIACAISLTRPLKLDSHMHHLYYFGTDFDRFFAMYRDFIDHYAALFCSHCCQICSGQSFYRCLECFVNFHIECLSLPQIIKSKCHTHSYIEDDSEEHYCDECEEKRHPSHHVYYCEECPGMFVAHIDCALSKGDHMKKIKEELD >KJB52002 pep chromosome:Graimondii2_0_v6:8:52771720:52773786:-1 gene:B456_008G241700 transcript:KJB52002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAQTWTTRRMSNPRLDSTATADHEVLDIPVTPTADVRNSIYNVGSHLSPNLLTALIIASWFMSNIGVLILNKYLLSFYGYRYPIFLTMLHMISCACYSYAAINFLEIVPRQHILSRKQFLKIFALSVIFCFSVVCGNTSLRYIPVSFNQAIGATTPFFTAIFAFLITCKKESGEVYFALLPVVFGIVLASNSEPLFNLFGFLVCIGSTAGRALKSVVQGILLTSEAEKLHSMNLLLYMAPMAAMILLPFTLYIEGNVARITLEKARSDSFIVFLLLGNATVAYLVNLANFLVTKHTSALTLQVLGNAKAALAAFVSVMIFRNPVTVMGMTGFAVTVMGVVLYSEAKKRSKLQLTTH >KJB52003 pep chromosome:Graimondii2_0_v6:8:52771781:52773631:-1 gene:B456_008G241700 transcript:KJB52003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAQTWTTRRMSNPRLDSTATADHEVLDIPVTPTADVRNSIYNVGSHLSPNLLTALIIASCYAAINFLEIVPRQHILSRKQFLKIFALSVIFCFSVVCGNTSLRYIPVSFNQAIGATTPFFTAIFAFLITCKKESGEVYFALLPVVFGIVLASNSEPLFNLFGFLVCIGSTAGRALKSVVQGILLTSEAEKLHSMNLLLYMAPMAAMILLPFTLYIEGNVARITLEKARSDSFIVFLLLGNATVAYLVNLANFLVTKHTSALTLQVLGNAKAALAAFVSVMIFRNPVTVMGMTGFAVTVMGVVLYSEAKKRSKLQLTTH >KJB47016 pep chromosome:Graimondii2_0_v6:8:781516:784065:-1 gene:B456_008G006500 transcript:KJB47016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLFFIVFTFSPLCFAQSNTQQQPCPLNFTILRPILPNPRPRLNTSMECHYVRQGLRLVLSDYLKRTDSFYPPLNTAESCWQSYQSLDPTFDMRSSCGFQTDWISQGCLNLTTRADFEALIPNATLSDVVSNCNQSLQGSACASCTRSLANVQASYLIDNSVANVSDCTAYPSIYAAAFANYAGPTDEATASCLFSVDFSTINNRKSNRLGLILGVSVGVSVGLTVLIGGSWFAYRKYQDSKRKKGKDRIRSLEMGGAGGSGLSSITESTNLLRFTFDDIKEATRNFSRDNIIGKGGYGNVYKGYLPDGSEVAFKRFKNCSAAGDANFTHEVEVIASVRHVNLVALRGYCTTTTTLEGHQRIIVCDLMKNGSLYDHLFGSMERKLSWPMRQKIALGTARGLAYLHYGAQPAIIHRDIKASNILLDDKFEAKVADFGLAKFTPEGMTHLSTRVAGTMGYVAPEYALYGQLTERSDVYSFGVVLLELLSGKKALTMTDDNQPSLVADWAWSLVKSERALDVIEDGMPELGPPEVLEKYVLVAVLCSHPELQCRPTMDQVVKMLETDVSVPSIPERPIPLVAHIDDIERSISSNGSGHLSGLAGYQIFTCESSHHSGSKEEGTSLGAIE >KJB52570 pep chromosome:Graimondii2_0_v6:8:54679759:54681763:-1 gene:B456_008G268100 transcript:KJB52570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVAEFESETTHTPKLSLYLFPSKAAEEPPGIATPPIHTSASIPFQWEEAPGKPRSCPAGESQPKPHTARCLELPPRLLAEAKVANMPSPTTVLDGPDSGRVVSRTLSFRKGGSFRSPDNKRLSKEKVLFGSSRWGSFRKAGRGVQGSSFGSSDPPVVDGRDGGGSGGGTQVKITRVRRKGSLLSLTQARSHVLASIYESFKQVVPWRRGEGKMKKKGS >KJB49376 pep chromosome:Graimondii2_0_v6:8:34857730:34862153:1 gene:B456_008G115700 transcript:KJB49376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYRNQYPFCYPHRYPPPPNQDPYPPTSYQPPLQYAYPPQSQSLRYCHSHSLSFPGPIPFQHPYPEPRLILHHNASFQYNSQDQQPPPPENKLEPPPTSPGPGHNRKESTSSLESNTNFNSVASSTYPPLDDTRPTAPASPPAPSGPSPTTELQSLVYGNPNVGNFYGYPAHTVSNKWDEGYYCRSGWCRKPILNIKSRLGRPKHSRSMEIMPFQKSEWKVLLLHGNLDIWVCDAVNMPNMDMFSKTIGDMIVMIPANVTNALEGRMQQKVTCDPYVTIAIADAIVGRTYVINNSENPVWMQHFNVPVAHYASEVHFVVKDSDVVGSQMIGIVPISVEKLYTGERIEGVYPILNSSGKPCKQGAFLRLSIQYIPMIELSFYHFGVGAGPNYCGVPGTYFPLRMGGKVTLYQDAHVPDKCLPKLKLDHGKTFSHGKCWSDIFDAIQQARRLIYITGWSVWHKVRLVRDTGHSDCTLGDLLRSKSQEGVRVLLLLWDDPTSTSILGYKMDGIMQTHDEETRRFFKNSSVQVLLCPRIAGKRHSWAKQKEVGTIYTHHQKTIILDADAGENRRKIIAFVGGLDLCDGRYDSPRHPLFRTLKTFHNDDYHNPTFTGSVIGCPREPWHDMHCKIDGPAAYDVLVNFEERWLKAAKPTGIKKLKFTYDDALLRIERIQDIIGVSDFPIPNDNDPEAWHVQVLSLHEVAFSDKQIFRSIDSNSVKGFPKDPKEATSKNLVCGKNVLIDMSIHTAYVKAIRSAQHFIYIENQYFIGSSFNWNTNKDLGANNLIPMEIALKIANKIKANERFAAYIIVPMWPEGVPTGSATQRILFWQNKTMQMMYETIYGALVEAGLENAFAPQDFLNFFCLGNREVDEFQTPGTANQNTTTATTPQSLSRKNRRFMVYVHSKGMIVDDEYLIIGSANINQRSMEGTRDTEIAMGAYQPLHTWAKQRSAPHGQIYGYRMSLWAEHLGVIEECFTQPESLECVRRVKHMAEMNWKQFAADEITEMKAHLLKYPVEVDRKGKVWPLSGCETFPDCGGHIVGSFLGIQENLTI >KJB47473 pep chromosome:Graimondii2_0_v6:8:3279343:3282479:-1 gene:B456_008G027900 transcript:KJB47473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKENTNRGTEHRKGGRHGKTINIFSIASGHLYERFLKIMILSVLKNTHRPVKFWFIKNYLSPPFKDVIPHMAREYGFDYELITYRWPTWLHKQTEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQVVRADMGELYDMDIKGRPLAYTPFCDNNKEMDGYRFWRQGFWRDHLQGKPYHISALYVVDLVKFRETAAGDHLRVFYETLSKDPNSLANLDQDLPNYAQHRVPIFSLPQEWLWCESWCGNATKSKAKTIDLCNNPMTKEPKLQGARRIVHEWTDLDFEARKFTAKILGDEMDNPEALASSEKSVNDGSSEDLESKAEL >KJB50632 pep chromosome:Graimondii2_0_v6:8:45749044:45749842:-1 gene:B456_008G180300 transcript:KJB50632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIAGESGETSNEATPVNSNINGVAILEFILRFLAAGGTLGSAMAMGTTEETVPLFPQSILLNAKYSDLPMFTFFVIANSVACAYLVFSLPLSFYHIIRRAAKHSRIVLVTFDTVKFITFNFGPSKFIAMLGLVTAGASAATSIVYLAHKGNVNANWLSICQQLDSFCERTSGSLISSFASAFLLMLIIISSAIAISLS >KJB47303 pep chromosome:Graimondii2_0_v6:8:2287466:2292169:-1 gene:B456_008G019900 transcript:KJB47303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGKSDDFQPFPVKDQLPGVDFCLSSTPSWPEAVLLGFQHYLVMLGTTVIISSIIVPLMGGGNVEKADVINTVLFVAGINTLLQTLFGSRLPVVIGGSYAFIIPTISIALSRRYSIFVDPHRRFKASMRDVQGSLIVASFFTMVIGFFGFWRIISRFFSPLAAIPLVILTGLGLYAQGFPQLARCIEIGLPALVAVVFLSQYVPHFLKSRRGIFERFAILFSVAIIWAFAEILTAAGAYDNRAPQTQFSCRTDRSGLISAAPWIRFPYPFQWGRPRFNAGDAVAVIAASFVAIIESTGTFITASRYASATPMPPSVLSRGVGWLGVGLLLDGLFGTGSGSTASVENAGLLGLTRVGSRTVIQISALFMLFFSVLGKFGAVLASIPLPIMAALYCVLFAYVASAGLGLLQFCNLNSFRTKFIVGFSVFMGLSVPQYFNQYLLVSGHGPVHTRSTWFNNVMQVIFSSPATVGFIVAFFLDCTHSYGHSSVRRDSGRHWWEKFRNFNTDTRSEEFYSLPANLNRFFPSF >KJB52473 pep chromosome:Graimondii2_0_v6:8:54366003:54366487:1 gene:B456_008G263600 transcript:KJB52473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLFCEYALSLLLLLLLLLVPFSYSFTLGQQQRFSAIRLPSEVDPCTSSPRPNFCPANCFMADPVCGDNGVTYWCGCADAYCAGTKVARIGFCESGNKGGNGSLTVQALLLVHIVWLIVLGFTVLLGLI >KJB50195 pep chromosome:Graimondii2_0_v6:8:42346454:42348962:1 gene:B456_008G158400 transcript:KJB50195 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNE3 [Source:Projected from Arabidopsis thaliana (AT2G01110) UniProtKB/TrEMBL;Acc:A0A178VUB7] MGSASTSAALISHLQLNRCCFKLVESTRTQLEFNSLKFRHSRRGKLEFAASRRGKGFRTAVCFAAVDDDVKENRQQVFSETRSASATEDRPDVVNISPEEAPFEQNNEGSSLYNFLYPDKDLLPDDKEMTIFDHLEELRQRIFVSVLAVGAAILGCFAFSKELIVFLEAPVKVQGVRFLQLAPGEFFFTTLKVRLNGLFCFHTMNASYWLQSL >KJB50194 pep chromosome:Graimondii2_0_v6:8:42346440:42350683:1 gene:B456_008G158400 transcript:KJB50194 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNE3 [Source:Projected from Arabidopsis thaliana (AT2G01110) UniProtKB/TrEMBL;Acc:A0A178VUB7] MGSASTSAALISHLQLNRCCFKLVESTRTQLEFNSLKFRHSRRGKLEFAASRRGKGFRTAVCFAAVDDDVKENRQQVFSETRSASATEDRPDVVNISPEEAPFEQNNEGSSLYNFLYPDKDLLPDDKEMTIFDHLEELRQRIFVSVLAVGAAILGCFAFSKELIVFLEAPVKVQGVRFLQLAPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRAERRFLGPIVLGSSVLFYAGIAFSYLVLTPAALNFFVTYAEGVVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQFLLGQLGLVSGDQMLSIWRYVVVGAVIAAAVLTPSTDPLTQMLLAAPLLGLYLGGAWVVKLTGR >KJB50196 pep chromosome:Graimondii2_0_v6:8:42346454:42350578:1 gene:B456_008G158400 transcript:KJB50196 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNE3 [Source:Projected from Arabidopsis thaliana (AT2G01110) UniProtKB/TrEMBL;Acc:A0A178VUB7] MGSASTSAALISHLQLNRCCFKLVESTRTQLEFNSLKFRHSRRGKLEFAASRRGKGFRTAVCFAAVDDDVKENRQQVFSETRSASATEDRPDVVNISPEEAPFEQNNEGSSLYNFLYPDKDLLPDDKEMTIFDHLEELRQRIFVSVLAVGAAILGCFAFSKELIVFLEAPVKVQGVRFLQLAPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRAERRFLGPIVLGSSVLFYAGIAFSYLVLTPAALNFFVTYAEGVVESLWSIDQYFEFVLVLMFSTGLSFQVFPSYLYWG >KJB49769 pep chromosome:Graimondii2_0_v6:8:38625113:38626073:1 gene:B456_008G136900 transcript:KJB49769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKNMEAKTKETPPVEHNKNDDDDDDDEEMNAFFTLVRNVRDAHHYQMMIESQDTKEKVAMKSTWTPSFQWEDFAAEVPPNNNTTTTAATSLLPASSSKNNNDERESKKTNQHQQLDLNLSL >KJB51113 pep chromosome:Graimondii2_0_v6:8:48711312:48712805:1 gene:B456_008G201500 transcript:KJB51113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIISLTKLINIFPIFIFVSCFPPLMLSQSFRSLQLPPKVTGPESIAFEFGTSRFYVGVADGRILQYNGPRVGFRDFGFTGPNRSKRMCDGTTDPNLGPICGRPLGLAFHYSLNKLYICDAYFGLMVLGSSGKQATQVSAAADGEPYRLCDALDVHQPSGNVIFVDSSANYDLRNISKAVNANDSTGRLLMYNPDTDRVTVLMKNLSGPAGVAVSQDGTYVLVSNFINNSTIRYWLRGPGANTYDVINLQERPDNIKRTAFGDFWRAAALVKQPTRSLVPIGQRINGFGRVLRTVNFEAWYGNQLISEVQEFGGELYLGSLSAPFVGVFRF >KJB51496 pep chromosome:Graimondii2_0_v6:8:50558869:50560480:-1 gene:B456_008G219200 transcript:KJB51496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKHKHKYGHVGHHHHGGYPPGAYAPPPGGYPPPGGGYPPGGYPPHGGHPGYPPHGGHLTPGGYPPHVGHHPPGGYPPQGYPPQGYPQAGYPPVVQPGGYPHGAHPPSGYPGASYSGHGAYGQHAGKVKHGKHGKYKGKKGKKHGILGKKKLW >KJB48838 pep chromosome:Graimondii2_0_v6:8:20974118:20974719:1 gene:B456_008G089800 transcript:KJB48838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSKKVTLSSSDGWTFEVEEAVAFQSQTIKHLYETGYTDDFIPVPNVTGDILSMESFYDNKLKHWDAFFVKVDQSILFDLILVADFMNIISLMDLTCKTVANMMKGKTTKEIRGTFNIKKDYTPEAEEMLRKECRYYQRLDWATMKVWKIWNPAPFC >KJB46766 pep chromosome:Graimondii2_0_v6:8:56162131:56162771:-1 gene:B456_008G285700 transcript:KJB46766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVQWGYVRIITGTIFGGILGFYVMHRVELSYKEKMKERLRQYELELKRKEKLDELEDSI >KJB46767 pep chromosome:Graimondii2_0_v6:8:56160887:56162771:-1 gene:B456_008G285700 transcript:KJB46767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVQWGYVRIITGTIFGGILGFYVMHRVELSYKEKMKERLRQYELELKRKEKLDELEDSI >KJB49393 pep chromosome:Graimondii2_0_v6:8:35124014:35126206:-1 gene:B456_008G117000 transcript:KJB49393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANISGGGSIDGDATGPPLRPRLDDIPESCAALILARLNPPEICNLARLNRSFRSASLADFIWESKLPSNYKLIVEKLFVEEDIDLMNLKKKDVYARLTKPIPFDGGTKEIWLDKNTGGVCLSMSSKALTITGIDDRRYWNHISTEESSYRTVAYLQQTWWFEVDGELEFQFPAGTYSLFFRLHLGKSSKRLGRRVCNTEHVHGWDIKPVQFQLTTSDGQHVVSRCYLDNPGSWVLYHVGDFIVENSNALTNIKFSSTQIDCTHTKGGLCVDAAFIYPSSARKEVKLCS >KJB52402 pep chromosome:Graimondii2_0_v6:8:54136210:54139717:-1 gene:B456_008G260100 transcript:KJB52402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTASHLVSTVCQVNSHGADTKAANLICMKNQAAMTHNGLRFLNMLDRLQMRTNAKAVARQAVKQENPRPWGKIICGKGLNLVFVGAECGPWSKTGGLGDVLGGLPPAMAANGHRVMTVSPRYDQYMDAWDTSVLVEVKVGDKIETARFFHCYKRGVDRVFVDHPMFLEKVWGKTGSKIYGPNAGVDYKDNQLRFSLLCQAAMEAPKVLNLNSNRYFSGPYGEDVIFIANDWHTGLLPCYLKSMYQSRGIYMNAKVAFCIHNIAYQGRFPFSDFSVLNLPDRFKSSFDFFDGYPKPIKGRKINWMKAGILESDKVLTVSPYYAQELISGEDKGVELDNIIRKTGITGIVNGMDVQEWNPATDQHINVQYDASTVMDAKPILKESLQAEMGLHCDRNVPVVGFIGRLEEQKGSDVLAEAIPRFIRENCQIVILGTGKMAMEEQIENLETQYPDKARGIANFNVPLAHKIIAGSDFILIPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGFTGFQMGAFNVECDAVDPSDLDKVAKTVRKALATYGTPTMNEMIQNCMAQDLSWKGPSKLWEKLLLSLEVAGSEPGNEGEEIAPLAKENVATP >KJB52403 pep chromosome:Graimondii2_0_v6:8:54136210:54139724:-1 gene:B456_008G260100 transcript:KJB52403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQAAMTHNGLRFLNMLDRLQMRTNAKAVARQAVKQENPRPWGKIICGKGLNLVFVGAECGPWSKTGGLGDVLGGLPPAMAANGHRVMTVSPRYDQYMDAWDTSVLVEVKVGDKIETARFFHCYKRGVDRVFVDHPMFLEKVWGKTGSKIYGPNAGVDYKDNQLRFSLLCQAAMEAPKVLNLNSNRYFSGPYGEDVIFIANDWHTGLLPCYLKSMYQSRGIYMNAKVAFCIHNIAYQGRFPFSDFSVLNLPDRFKSSFDFFDGYPKPIKGRKINWMKAGILESDKVLTVSPYYAQELISGEDKGVELDNIIRKTGITGIVNGMDVQEWNPATDQHINVQYDASTVMDAKPILKESLQAEMGLHCDRNVPVVGFIGRLEEQKGSDVLAEAIPRFIRENCQIVILGTGKMAMEEQIENLETQYPDKARGIANFNVPLAHKIIAGSDFILIPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGFTGFQMGAFNVECDAVDPSDLDKVAKTVRKALATYGTPTMNEMIQNCMAQDLSWKGPSKLWEKLLLSLEVAGSEPGNEGEEIAPLAKENVATP >KJB51119 pep chromosome:Graimondii2_0_v6:8:48832925:48835032:1 gene:B456_008G202500 transcript:KJB51119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADPRIAHAHLFHLSYSNLWNMVRDISSFLQLLEQYEIFFISCPLFFSLLAIFVVVFIRTTNKRNLLLPPSPPKLPIIGNLHNIGRYPHRSLKSLAQRFGPLMSLRFGNFPILVVSSADAASEIKKTHDLTFVNRPKRSLFQKLLYDYKDVASAPYGEYWRQMKSICVVNLLSNRRDKSYRGVREEETALAVEKIEKSSSLCSPVNLSELFSATTNNVICRIALGRKYSEDTNKFGKLLNEFTELLGTPDVGDYLPWLAWLSHVNGLHGKAEKVAKELDEFLDGVLEEHMNRHDRHLNDHAGIQGEYQKDFVDILLEIQRENTVGFPLEKISLKALILDTFAAGTDTTYVVLEWAMTELLRHPKIMKKLQNEVRNVSAENSSISVDDLDNMRYLKAVIKETLRLHPPIPLLLPTISTKDVKLKGYDIIQGTQVIINAWAIGRDLASWENPEEFLPDRFLDNSIDFKGQHFELIPFGSGRRICPGILFAIAINELLLANLVHKFDWSLPGGAKEKDLDMTETGGLTIRKKSPLIAVANRCSF >KJB50811 pep chromosome:Graimondii2_0_v6:8:46895840:46897416:-1 gene:B456_008G187800 transcript:KJB50811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTITAKSPHDSSFSFSRRYFNWKKKVEDDDDNEEEILTFSSSSHFCEEAKEAEELRIPVASRVSLVPPPRKKLPIVSVSRLRSALSVFGKNTSAYRSGLGTRVVGTLFGYRRGHVHFAFQEEAKVSPAFLIQLATPTSVLVREMASGLVRIALECEKTMGKKGVKLLNEPVWRTYCNGKKCGFAMRRECGAEEWKVLKAVEPISMGAGVLPGNCNDNGAGSEGELMYMRAKFERVVGSKDSEAFYMMNPDGSGGPELSVYLLRV >KJB50810 pep chromosome:Graimondii2_0_v6:8:46895792:46897416:-1 gene:B456_008G187800 transcript:KJB50810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTITAKSPHDSSFSFSRRYFNWKKKVEDDDDNEEEILTFSSSSHFCEEAKEAEELRIPVASRVSLVPPPRKKLPIVSVSRLRSALSVFGKNTSAYRSGLGTRVVGTLFGYRRGHVHFAFQEEAKVSPAFLIQLATPTSVLVREMASGLVRIALECEKTMGKKGVKLLNEPVWRTYCNGKKCGFAMRRECGAEEWKVLKAVEPISMGAGVLPGNCNDNGAGSEGELMYMRAKFERVVGSKDSEAFYMMNPDGSGGPELSVYLLRV >KJB51271 pep chromosome:Graimondii2_0_v6:8:51362727:51364660:1 gene:B456_008G227300 transcript:KJB51271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVSMELVVPCNSCTSLHRKPPLHPRVSSLKRSAFSLKCRRTTTSELQSPVSVTCRDGSLSPSIPTHKVTVHDRFRGVVHEFVVPEDQYILHTAESQNITLPFACRHGCCTSCAVRVKSGQIRQPEALGISAELKAKGYALLCVGFPSSDVEVETQDEDEVYWLQFGRYFARGPIVSPQLVKIL >KJB51270 pep chromosome:Graimondii2_0_v6:8:51362657:51365174:1 gene:B456_008G227300 transcript:KJB51270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVSMELVVPCNSCTSLHRKPPLHPRVSSLKRSAFSLKCRRTTTSELQSPVSVTCRDGSLSPSIPTHKVTVHDRFRGVVHEFVVPEDQYILHTAESQNITLPFACRHGCCTSCAVRVKSGQIRQPEALGISAELKAKGYALLCVGFPSSDVEVETQDEDEVYWLQFGRYFARGPIERDDYALELALGDE >KJB51272 pep chromosome:Graimondii2_0_v6:8:51362727:51365023:1 gene:B456_008G227300 transcript:KJB51272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVSMELVVPCNSCTSLHRKPPLHPRVSSLKRSAFSLKCRRTTTSELQSPVSVTCRDGSLSPSIPTHKVTVHDRFRGVVHEFVVPEDQYILHTAESQNITLPFACRHEFLYMSGCCTSCAVRVKSGQIRQPEALGISAELKAKGYALLCVGFPSSDVEVETQDEDEVYWLQFGRYFARGPIERDDYALELALGDE >KJB51556 pep chromosome:Graimondii2_0_v6:8:50864550:50866120:-1 gene:B456_008G222500 transcript:KJB51556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYIILFCISIFFLLLKPLFHRSKTLNLPPGPIGLPILGSIHSLGSHPNQSLAELAKVHGPIMTLRLGSITTIVLSSPEMAKQVLQTHAQSFSDRPIPDAIASMPNLETSLVWGPSDDNRWRKLRGICSTQLFSGQKLNSLQYLRYKKVEQLIQHIKKHCEISNTQVNIGQVVFATTLNLIFSTMFSIDIVDPEFSRAQELKDLVWKTVESAGKPNLSDYFPVLKRFDLQGVRKRARQHYDGMHQIFDDMIDKRMKARALDSTTRNGDFLDVLLDQWEENRSILNREAIKPLIQNLFIAGSETSATTTEWAMAELLRNPQVMQKAKKELLEVIGSERTVKESDIDELPYLQAVVKETLRLHPAAPLLLPYKARNDVEICSYTIPKGAHALVNIWAMNRDPKYWNQALTFAPERFIGSKIDYKGGSFEFIPFGAGRRLCLGLPLATRMVHLMLASMILSFDWKLPQGTNPEDLDMQEHFGMTLKKAVPLYAIPVMKTFD >KJB51308 pep chromosome:Graimondii2_0_v6:8:49718597:49719622:-1 gene:B456_008G211200 transcript:KJB51308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVKPIFILLVFISFHFSLLASKPNDPTPSTWPLQFHSVLFMNNSGTLQKVDLWYDWPNGRNFNIIQNQLGKLLYDLEWDNGTSFIYTLDSNKECRVLHFDVGILRPNWLDGANYLGQQQKDGFLCNVWEKVDFIWYYEDVVTKRPVFWAFYSGYTAHVMTFEVGAVLEDSKWQAPVYCFKEADGKRNQALIESLGSSDHLHGKLMRQISSGASMTI >KJB52540 pep chromosome:Graimondii2_0_v6:8:54592104:54593236:-1 gene:B456_008G267000 transcript:KJB52540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATQWEQGIGVTKPMAIGEYSRSSARVQKGEANLNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTHGGSLRNVPVGGGSRKNKTSSSKKLDDDHLTHQNPKIHEGDHHQVINLAYPPVVPAQAYNSSQRLPFMPEIGGASTGIYSSGFPVLDPGLKFSSDGFGTGYDENHQGVEENGERLLLPVKEESKQVPVNTMNELDEDKEQGESTGHC >KJB46968 pep chromosome:Graimondii2_0_v6:8:506690:510069:1 gene:B456_008G003000 transcript:KJB46968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNKGEGAKAVAKTETNPIEEMALGCSIGNLSDKKDVFTRADKIDFKSWDLQLDKHLSKAWSRDKDVSLVTKKEEWEIDLAKLDIRHVIAHGTYGIVYRGVYDNQDVAVKVLDWGEDGIATAAEAAAIRASFRQEVAVWHKLDHPNVTKFIGASMGTSNLKIPSKDSTSESNNSLPSRACCVVVEYLPGGTLKNFLIRNRRKKLAFKVVIQLALDLSRGLSYLHSKKIVHRDVKTENMLLDTRRNLKIADFGVARVEAQNPKDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEIYCCDMPYADLTFAEVSSAVVRQNLRPEIPRCCPGSLASIMRKCWDAHPDRRPDMDEVVRLLEAVDTSKGGGMIPDDQSPGCFCFTRRGP >KJB48971 pep chromosome:Graimondii2_0_v6:8:26497080:26500077:1 gene:B456_008G096600 transcript:KJB48971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKMANLSLTAMLNRCFRYSFSKAGLRSSTMDLGDGTVMHIWVPKVHIKSRPTLILIHGIGANAMWQWNDFVSPLMSRFNVYVPDLLFFGDSYTSRPERSEQFQAQCVMRVMEAHGVTVMNVVGISYGGFVGYSLAAQFKERVEKLVLCCAGVCLEDKDMEEGMLQVKSVDEAVSILLPQTPQKMRELMKISFYKPRKGVPTCFLNDFIREMCSEHLQERKELIQALHKDRKLSNLPKITQPTLLIWGEHDQIFPLELGHRLERHLGDNAKLVMIKNAGHAINVEKPKVLLKHFKYFLIDTFSPMEPGNHSNGCKTD >KJB48972 pep chromosome:Graimondii2_0_v6:8:26497163:26499561:1 gene:B456_008G096600 transcript:KJB48972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKMANLSLTAMLNRCFRYSFSKAGLRSSTMDLGDGTVMHIWVPKVHIKSRPTLILIHGIGANAMWQWNDFVSPLMSRFNVYVPDLLFFGDSYTSRPERSEQFQAQCVMRVMEAHGVTVMNVVGISYGGFVGYSLAAQFKERVEKLVLCCAGVCLEDKDMEEGMLQVKSVDEAVSILLPQTPQKMRELMKISFYKPRKGVPTCFLNDFIREMCSEHLQERKELIQALHKDRKLSNLPKITQPTLLIWGEHDQIFPLELGHRLER >KJB48769 pep chromosome:Graimondii2_0_v6:8:21319074:21320586:1 gene:B456_008G090200 transcript:KJB48769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFPWVLLLVAAVNMAVAAEPLRPGFYSETCPPAEFIVRDVMKKAMIREPRSLASVMRLQFHDCFVNGCDGSLLLDDTADMVGEKQALSNINSLRSFEVVDEIKEALEDACPSTVSCADILVLAARDAVALSGGPDWEVRLGRTDSLTASQQDSDNIMPSPRADATSLINLFAQFNLSVKDLVALSGSHSIGKARCFSIMFRLYNQSGSGKPDPAIEPEFREKLNQLCPLGVDENVTGPLDATPRVFDNQFFKDLVGGRGFLNSDQTLFTSRRTRPYVRVFSKDQDEFFKAFVEGMLKMGELQVEQPGEIRTNCRVVNGRPVDVLMSY >KJB47068 pep chromosome:Graimondii2_0_v6:8:1839087:1839474:-1 gene:B456_008G016200 transcript:KJB47068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSESTTATTNRYAGLRATTQQHLIGQLWALLCVRLVPEVLYMHEETKHGRLHLPES >KJB50344 pep chromosome:Graimondii2_0_v6:8:43378687:43379160:1 gene:B456_008G165000 transcript:KJB50344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKIGPCLPEFDKKDLLHAIGVTFGGVIRSKIIGEFCRLRIKLNVQKPLRRGIFISTGNGNKCWIPFKYEKLPTFCFGCGRVGHGLHECTELTPAEKNKIREDPPFSLALKAELNLVGRESLKLNALSKKLQTQCSYAGNINENRETHLYCEKRSDTM >KJB49366 pep chromosome:Graimondii2_0_v6:8:34829964:34832858:1 gene:B456_008G115400 transcript:KJB49366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMKITDVMKIINAAMMLLLLALMAAISTASADEQTYIVRMDKTRITNTYSSLVSSTKPWHQAVLDSLIDVSSMEATPPELLYSYETALSGFAAKLSSKQLESLKNMDGFMSAVPNKMLSLHTTRSPLFLGLTGMKGEKGPWSGSNLHSDVIIGVVDSGIWPEHVSFQDHGLSPVPERWKGVCENGTRFSPSNCNKKLIGARYFYGGYIADGGKINDKEEYKSARDVSGHGTHTASTAGGDVVENANLFGLANGTATGMRYTARIAAYKVCWPGCTSVDILAAMVKAIEDGVDVLTLSLGSESEAVPYWQDYLVIASYLAFKSGIFVAFSAGNSGPDAYTVVNTAPWIMTVAASTMDRSFVAIIKLGNGETFEGSSFYTGKALKELPIVYGKTAGNLGAEYCLSGSLDPKLVKGKIVICEQGIVRRAEKGEAVKSAGGAGILILSPEGEDLANEVHVLPDIFLGAIASKAVIKYWNTTKAPTASIVFKGTMYGNQAPKVAAFSSRGPNLVGPDVIKPDITAPGVDILAAWPAETSPSRLKSDKRRVLFNIISGTSMSCPHVSGIAALIKSKHKDWSPAAIKSALMTTAYTLDNKGKPIADLAFYNSASPFAIGSGHVDPMKATDPGLIYNITAEDYISYLCSLHYTDSQVSMFEEGYQCTPTELRMQPGDLNYPSFAVNFKQKARNVMFTYKRTVTNVGIPKSTYKVSVEVPKGVSVIVSPKVLSFTELNEELSYEVSFTGLSRNKTVAGSSFGSLVWVSGNYRVRSPIAVSWK >KJB49680 pep chromosome:Graimondii2_0_v6:8:37937388:37940632:-1 gene:B456_008G133200 transcript:KJB49680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQTQTSVTAPSSSFERCRFLGARSPASYRLLRTVVTLKVPGIPQGLRAVSTEPLGTRNDVNLTITDTSDVVPRIKNDEDEDEEEEEKPGISRIQVPRQKYIPVSKAELLDAIVSSLFESRDEDARQFRLLSSCLDSILHAEHKSILEQMRTDYYYSYSVEGNRKTEHVSESEVVANGERSKFTDEDNIEPDTWFNYGLDLRNFLNSSAKNVRRFSDDKSRVAVATRFQRSFMQLLNDAQFEELSVRDLMLTNALNTDYLLTLPIYVDWKKASESNAIIFRRGYATERQKGLLIVEKLDYLQSRLLQGFFSIISKPLGKVGKWITYNLKEAFKSAYEKDKAGNWVRRLKLWLEELSLFQQSYLNDEQNSESLLGVDQLSDRDLPIWLAAQRAVSRYEGFLSAIGPRGRLLRKLLARVGLLPPTPETPFQLDSVSSVSEPYLRPTFLSRISLGDIWRPATRKYCGNDVWKMLKTSVSILLSQSILQEPAFQELILLYTKDFAEGDIEEDTEVQALELKIYERIPIPDLSVNILYISMLFSLTKNCLFVS >KJB49678 pep chromosome:Graimondii2_0_v6:8:37935708:37940821:-1 gene:B456_008G133200 transcript:KJB49678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQTQTSVTAPSSSFERCRFLGARSPASYRLLRTVVTLKVPGIPQGLRAVSTEPLGTRNDVNLTITDTSDVVPRIKNDEDEDEEEEEKPGISRIQVPRQKYIPVSKAELLDAIVSSLFESRDEDARQFRLLSSCLDSILHAEHKSILEQMRTDYYYSYSVEGNRKTEHVSESEVVANGERSKFTDEDNIEPDTWFNYGLDLRNFLNSSAKNVRRFSDDKSRVAVATRFQRSFMQLLNDAQFEELSVRDLMLTNALNTDYLLTLPIYVDWKKASESNAIIFRRGYATERQKGLLIVEKLDYLQSRLLQGFFSIISKPLGKVGKWITYNLKEAFKSAYEKDKAGNWVRRLKLWLEELSLFQQSYLNDEQNSESLLGVDQLSDRDLPIWLAAQRAVSRYEGFLSAIGPRGRLLRKLLARVGLLPPTPETPFQLDSVSSVSEPYLRPTFLSRISLGDIWRPATRKYCGNDVWKMLKTSVSILLSQSILQEPAFQELILLYTKDFAEGDIEEDTEVQALELKIYERIPIPDLSVVFPHKKLSFRIIDTVRLDVATILGLSAFLINYKFEDILSSPSAIVLDVVAITALIIYVSRVALGYKQTWDRYQLLVNKTLYEKTLASGFGSVHFLLDASEQQQYKEAILTYAVLLNMENGQVNCYQKVGDKCERFIYDIFKQKVEMPIDKAVNTLVRLGLVTEIIGDGRLKLQAIPCPKAYEALKCRWESLLG >KJB49679 pep chromosome:Graimondii2_0_v6:8:37935913:37940632:-1 gene:B456_008G133200 transcript:KJB49679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQTQTSVTAPSSSFERCRFLGARSPASYRLLRTVVTLKVPGIPQGLRAVSTEPLGTRNDVNLTITDTSDVVPRIKNDEDEDEEEEEKPGISRIQVPRQKYIPVSKAELLDAIVSSLFESRDEDARQFRLLSSCLDSILHAEHKSILEQMRTDYYYSYSVEGNRKTEHVSESEVVANGERSKFTDEDNIEPDTWFNYGLDLRNFLNSSAKNVRRFSDDKSRVAVATRFQRSFMQLLNDAQFEELSVRDLMLTNALNTDYLLTLPIYVDWKKASESNAIIFRRGYATERQKGLLIVEKLDYLQSRLLQGFFSIISKPLGKVGKWITYNLKEAFKSAYEKDKAGNWVRRLKLWLEELSLFQQSYLNDEQNSESLLGVDQLSDRDLPIWLAAQRAVSRYEGFLSAIGPRGRLLRKLLARVGLLPPTPETPFQLDSVSSVSEPYLRISLGDIWRPATRKYCGNDVWKMLKTSVSILLSQSILQEPAFQELILLYTKDFAEGDIEEDTEVQALELKIYERIPIPDLSVVFPHKKLSFRIIDTVRLDVATILGLSAFLINYKFEDILSSPSAIVLDVVAITALIIYVSRVALGYKQTWDRYQLLVNKTLYEKTLASGFGSVHFLLDASEQQQYKEAILTYAVLLNMENGQVNCYQKVGDKCERFIYDIFKQKVEMPIDKAVNTLVRLGLVTEIIGDGRLKLQAIPCPKAYEALKCRWESLLG >KJB48599 pep chromosome:Graimondii2_0_v6:8:15403638:15404866:-1 gene:B456_008G079800 transcript:KJB48599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSAFAVALICFVVTAVGGQSPAASPTKAPPAAATPATAPATAPVSKPKSPAPTAAPTTSPPTSSPPAAAPEKSAAVPAPSKSAPASSPPAAAPVSSPPAPVPVSSPPAKSPPVAAPTTPPESSASPPAPVAAPTTAEVPAPAPSKSKSKSKKSKKHHAPTPSPDMLGPPAPPTGAPGPSLDASSPGPSVAADESGAEAMKNMKKIIGGLALGWAAIALSF >KJB50638 pep chromosome:Graimondii2_0_v6:8:45787031:45793120:-1 gene:B456_008G180600 transcript:KJB50638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAETPHLTRMKKWYGGALVSSLFMLLLLRYGFMKNPVEESYLMNPFSSNGTNPLEWVRFTAPPALQNPGNASQVISIDAIAFSLFAQRNLSKGEQQSLLTWNLLKNLINHSHALPNGVEAIKEAGSAWNSLMDSIEEEKHGYVNDNSSRKAKEKQCPHFLNKMNATEPESHYKLRVPCGLTQGSSITIIGIPNGLLGDFRIDLTGEALPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWTIAHDWGEEVRCPPPTPEENEKVDELEQCNKLVGKDDNRTIRVHSHGLRLPLLGLQGVKPRRYFPFKQGSLFVATLRVGPEGVQMTVDGKHVTSFAYRETLEPWLVSEVRISGDVKLISVLASGLPTSEDSDHTVDIEALKSVPLSLQRPVDLFIGVFSTANNFKRRMAVRRTWMQYPEVRSGTVVVRFFVGLHKSHIVNDELWNEARTYGDIQLMPFVDYYSLITWKTLAICTFGTEVVSAKFVMKTDDDAFVRLDEVMASLSRINVTHGLLYGLINSDSQPHRSTDSKWFISPEEWSEEKYPPWAHGPGYVVSHDIAKAVYKRFNEVRLKMFKLEDVAMGIWIADMQKDGLEVHYEKEERIFNEGCKDGYVIAHYQGPREMMCLWQKLQETKRARCCGDP >KJB50639 pep chromosome:Graimondii2_0_v6:8:45786445:45793060:-1 gene:B456_008G180600 transcript:KJB50639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAETPHLTRMKKWYGGALVSSLFMLLLLRYGFMKNPVEESYLMNPFSSNGTNPLEWVRFTAPPALQNPGNASQVISIDAIAFSLFAQRNLSKGEQQSLLTWNLLKNLINHSHALPNGVEAIKEAGSAWNSLMDSIEEEKHGYVNDNSSRKAKEKQCPHFLNKMNATEPESHYKLRVPCGLTQGSSITIIGIPNGLLGDFRIDLTGEALPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWTIAHDWGEEVRCPPPTPEENEKVDELEQCNKLVGKDDNRTIRVHSHGLRLPLLGLQGVKPRRYFPFKQGSLFVATLRVGPEGVQMTVDGKHVTSFAYRETLEPWLVSEVRISGDVKLISVLASGLPTSEDSDHTVDIEALKSVPLSLQRPVDLFIGVFSTANNFKRRMAVRRTWMQYPEVRSGTVVVRFFVGLHKSHIVNDELWNEARTYGDIQLMPFVDYYSLITWKTLAICTFGTEVVSAKFVMKTDDDAFVRLDEVMASLSRINVTHGLLYGLINSDSQPHRSTDSKWFISPEEWSEEKYPPWAHGPGYVVSHDIAKAVYKRFNEVRLKMFKLEDVAMGIWIADMQKDGLEVHYEKEERIFNEGCKDGYVIAHYQGPREMMCLWQKLQETKRARCCGDP >KJB49962 pep chromosome:Graimondii2_0_v6:8:40065023:40068370:-1 gene:B456_008G147300 transcript:KJB49962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFPFPYTFSLTKPSISKSLTTRHHVKLLVLPTTWKNTSKTCSCSAQNTRCAPSNSPLWTVTDIAQAVGGRIVKWGPPGTISIDTRTIEPGQWFFAITGENVDAHDFITLDLSKSGCVGVIGNRVCENWEMGFVQVDGDTVSSLLKMAAFARNRFVGKVVAVTGSVGKTSTKAMIALALESLGSKVYHSYEHWNNTFGVSLSLITIPRDAGIAVLEMGMNRKGKLLELTRLGRPDIRVILKVATAHLENLVSLEGVAMAKGEMFQEAKPGDICVANADDPFVKSIPVPQGARRVLFGRSLESDVRLVAAERVGSGGGVGVQVVLQKNMEMVEFVIPTLGLHMAVNACAAAAVATSMGVPLSQVGRSLSRYIPVSMRSEFVVAKSGIKIVNDAFNANPVSVKAGTDTLKNIDCDGKRVAILGDMFELGTHEIEYHEEVLNYCLDACIDVVAIAGQRFHLAADNMNLMKKMKAVRAVETENLIPKILNCLNMNDVVLVKGGCQMQMVKVVDAIKAMPRFTQPSCSGSEN >KJB49118 pep chromosome:Graimondii2_0_v6:8:30320397:30324665:1 gene:B456_008G101700 transcript:KJB49118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRKEKIGAWLSVPQFGDWEQKGQGQLPDYSLDFSKIREMRKQNKREVSRASLGNEEEFINPTATTVTTAPTTDDHHHYPPTHHSPTSKRGIFSYFNCCIKA >KJB51855 pep chromosome:Graimondii2_0_v6:8:52096401:52097483:1 gene:B456_008G234700 transcript:KJB51855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLFGNCSFLFFFLFFFCFLPRLCFPTEITSGQATVITTDDHNATWHNFTRFKDAEKGSHISGIAELKKYFQRFDYLSIPDNQNDNFTDVFDAQFESAVVLYQQKFGLSITRKLDSETISTIMSSRCGVSDTGPTIHATKHFAYFYGRPSLPKIKTVFKRSFSKWASVIPVNFTEIDNYPLTNIRIGFFKGNHGDGQPFDGILGVLAHAFSPENGRLHLDKDETWAVDFEKVKSKAAVNLESVVTHEIGHILGLAHSSVKEAVMYPSLKPRSKKVNLKLDDVEGVQSLYGSNPNFKFSSLLDSENFYNKTISLNPRSCSWTFSSAMVVIFLLLMLT >KJB47838 pep chromosome:Graimondii2_0_v6:8:6017730:6018683:1 gene:B456_008G044700 transcript:KJB47838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFPFKEQFHLPNHPTNTALLIINSLSLMEGETRNVVIKVEAESDVEPISVQRCCSLVSDQSNERICRYKECRRNHAASIGRYAIDGCGEFICCKDDVFMCAACGCHRSFHRKDYLPPPPQPKLMSSCLAPLPLASHNGLTGETSLFVKDRNNTVAGPGAEEQNTNKEAKRTRLTVEQKNKMMRFADKLGWRSQGHDDAEIRQFCEQVGITKRVFVVWLNNNRRRSRKGSK >KJB50835 pep chromosome:Graimondii2_0_v6:8:47121480:47126019:1 gene:B456_008G188900 transcript:KJB50835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNVVESKEWYLAGYAAEGVPTTDHLTLRSVPLSLSLDSIPDAYVAVQLLFISVDPYLRTRMSGQEDGLYFPQFSLNQVITAFGVGRVMRSKDEKYSEGDIVLNAFFPVAEYCVVPSSVLIRKIDPEAGIPLPEYLSCLGVPGFAAWVGIEVLGEAKPGSNAFISAAAGGVGMVAGQLAKLKGCRVIGSTGSDAKVRLVKEEFGYDDAFNYNKEVDFDATLSKYFPNGIDIYLENVGGKMLEAVLNHVNPHARIPVCGMISQYNQIWTEREGVRNLLNIVGKEVRMEGFLVGSYLDRFADFTVEMEGYLKQGKISSKIKIYEGIQNFAESLGSLFSSSNFGKVVIEVNKPR >KJB50834 pep chromosome:Graimondii2_0_v6:8:47121480:47126019:1 gene:B456_008G188900 transcript:KJB50834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNVVESKEWYLAGYAAEGVPTTDHLTLRSVPLSLSLDSIPDAYVAVQLLFISVDPYLRTRMSGQEDGLYFPQFSLNQVITAFGVGRVMRSKDEKYSEGDIVLNAFFPVAEYCVVPSSVLIRKIDPEAGIPLPEYLSCLGVPGFAAWVGIEVLGEAKPGSNAFISAAAGGVGMVAGQLAKLKGCRVIGSTGSDAKVRLVKEEFGYDDAFNYNKEVDFDATLSKMMCRYFPNGIDIYLENVGGKMLEAVLNHVNPHARIPVCGMISQYNQIWTEREGVRNLLNIVGKEVRMEGFLVGSYLDRFADFTVEMEGYLKQGKISSKIKIYEGIQNFAESLGSLFSSSNFGKVVIEVNKPR >KJB49765 pep chromosome:Graimondii2_0_v6:8:38585110:38589107:1 gene:B456_008G136600 transcript:KJB49765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGMAPTSGLREPSGNAVGVDRLPDEMNDMKIRDDKEVEATIVDGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYFYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPLEAVDLVSRLLQYSPNLRSTAIEALVHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGVPTDMLPKLIPEHAKKQCSFLGL >KJB50580 pep chromosome:Graimondii2_0_v6:8:45433170:45435615:-1 gene:B456_008G177800 transcript:KJB50580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPLLNKLSLICGRRLPVSWLVLCFTSVLVLIAVFGSSFPKSFDYVTVTPVPEIYSNYRRLKEQTAVDYFELRTVSSGAGRQGELGLCGKERENYVPCYNVTANVLLGFKDGEEFDRHCEVSSQGKFCLVRPPKDYKIPLRWPAGRDVIWTGNVKITKDQFLSSGSITKRMMLLEENQIAFHSQDGLIFDGVKDYSRQIAEMMGLGSDSEFFQAGVRTVLDIGCGFGSFGAHLVSLKLTALCVAAYEATGSQVQIALERGLPAMIGNFISRQLPYPSLSFDMVHCAQCGIVWDKKEGMFLIEFDRLLKPGGYFVITSPISKPPGRATSSKKRSMLTPLAQFTEKICWSLIAQQDETFIWQKTADAHCYSSLKKNEVPLCKQGYDTPYYQTMMPCIIGASSKRWIPIQNKSSSPDLSSVELEVHGKYCSTPNYFSLPAPMFSFCT >KJB50579 pep chromosome:Graimondii2_0_v6:8:45431808:45435202:-1 gene:B456_008G177800 transcript:KJB50579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPLLNKLSLICGRRLPVSWLVLCFTSVLVLIAVFGSSFPKSFDYVTVTPVPEIYSNYRRLKEQTAVDYFELRTVSSGAGRQGELGLCGKERENYVPCYNVTANVLLGFKDGEEFDRHCEVSSQGKFCLVRPPKDYKIPLRWPAGRDVIWTGNVKITKDQFLSSGSITKRMMLLEENQIAFHSQDGLIFDGVKDYSRQIAEMMGLGSDSEFFQAGVRTVLDIGCGFGSFGAHLVSLKLTALCVAAYEATGSQVQIALERGLPAMIGNFISRQLPYPSLSFDMVHCAQCGIVWDKKEGMFLIEFDRLLKPGGYFVITSPISKPPGRATSSKKRSMLTPLAQFTEKICWSLIAQQDETFIWQKTADAHCYSSLKKNEVPLCKQGYDTPYYQTMMPCIIGASSKRWIPIQNKSSSPDLSSVELEVHGNPEDFFEDLQVWKLALKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMARNVMDMNAHYGGLNAAFLEEMKSVWVMNVVPVRARNTLPLILDRGFPGVFHDWCEPFPTYPRTYDLLHANGLLSHLTSERCSLVELFVEMDRILRPEGWVVLSDKLGAIELARAHATQVRWDARVIDVQNGNDQRLLVCQKPFVKK >KJB48192 pep chromosome:Graimondii2_0_v6:8:8568068:8569558:1 gene:B456_008G056300 transcript:KJB48192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYDEDSSGEEYLFKIVIIGDFTVGKSNLLSRYTRNEFNPHSKATIGVEFQTQSMGIDSKEVKAQIWDTASQERFCAVTSAYYKGSVSALIVYDISRRTTFDSVGRWVDELKSFIGVYYVKILSMTKRQKQDLTKTLSYDPIKTPPTERSKASDTLG >KJB48191 pep chromosome:Graimondii2_0_v6:8:8568059:8569635:1 gene:B456_008G056300 transcript:KJB48191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYDEDSSGEEYLFKIVIIGDFTVGKSNLLSRYTRNEFNPHSKATIGVEFQTQSMGIDSKEVKAQIWDTASQERFCAVTSAYYKGFIGVYYVKILSMTKRQKQDLTKTLSYDPIKTPPTERSKASDTLG >KJB49422 pep chromosome:Graimondii2_0_v6:8:35390972:35395513:1 gene:B456_008G118500 transcript:KJB49422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTTRSTKKHHERVEFPLNCSVAALQGKKCPNKYPSVFEPDESSTETCPDYFRWIHQDLQQWKTSGITEDMIERGKASAHFRLVIVGGNVYVEKYTRPYQTRDVFTKWGILQLLRLYPGKVPDLDLLFYSGDETKIMRSDYQGPNSTLAPPLFHYCGSEENLDIVFPDWTFWGWAEVNIMPWEDMLRAIKKGRKRTKWEQREPYAFWKGNPHVAKNRLDLMKCNLSDQYDWNVRLYYKNWSKVVDEGFNNSKLEDQCTYSMVPMQHYWPIRRQDKCRDLKFAVEWGNNHTQQAQDIGKAGSKFIEEILTMRNVYDYMFHLLNEYSKLLKYKPTVPSKARRICVESTACKQKGVWKEFLFQSLVKSPSNKPPCELPPPYEPQAIQASMDKIDNIDKQVENWGNVYWNKLNDTNQ >KJB51135 pep chromosome:Graimondii2_0_v6:8:48857692:48859007:-1 gene:B456_008G202900 transcript:KJB51135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHFDSHVEQDNEDEFQKSFKEMISTLPKGNSWGLPDHLYQYQGFWLTPPFLQGVMLAQQQFEAQPTDIILCSAPRTGTAWLKSLTFATITRTSFDDSTTPLLFKMPHDVVPFMELDHAHFSANRHLGIPLLATHAPYSFLPTSIIDSGCKIIYICRDPKDTFVSMYHLFTRYAKSQNTQPIELDEAFELFCEGVSWYGPYWDHVLGYWNARLEHPDKFMFLKYEEMNEDIVLYLKKLAEFMGCPFSSEEQQKGVPEKIVKMCSFENLSNLEVNKSGKHREGQGNLGIENKIYFRKGKVEDWKNYLTPKMATRLDEITQQKLSSSGLSLN >KJB51961 pep chromosome:Graimondii2_0_v6:8:52670558:52671803:1 gene:B456_008G240300 transcript:KJB51961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRAPGSRRLSSIRVLSTSERTQQTFPPEHHSDIVKRRGGLVAVPARLTTSSSSSFPVVNDGISQRLTKLLLNVNIESSLGPVHVIMPSDNTVNDLIKAAIEIYVKEKRRPLLEETDPKFFQLHYSQFCLESLRAGEKLINLGSRNFFLCLKKRPSSSDTFLSGKAKLASETLFSLTKLGELLL >KJB49725 pep chromosome:Graimondii2_0_v6:8:38276163:38281276:-1 gene:B456_008G134900 transcript:KJB49725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATENGSNGDTNLHEASTSKNQEQLNGENKDTETNKKNEKTNSIPFYKLFAFADRTDTMLMIIGTIGAIGNGLCMPLMTTILGDLIDAFGQNQSNDRVVHVVSRVALRFVYLAAGAGTAACLQVSCWMVTGERQAARIRGLYLKTILRQDIAFFDVETTTGEVVGRMSGDTVLIQDAMGEKVGKFLQLVSTFLGGFVIAFVRGWLLAVVMMSAIPLLVTAGGAMALIISKMVSRGQAAYAKAGTIVEETIGSIRTVASFTGEQQAISKYSKLLVTAYKSGVHEGTAAGLGLGVAMMILFCSYGMAVWFGGRLILNNGYTGGQVINVIVAVLIGSLSLGQSSPCMSAFAAGQAAAVKMFETINRKPEIDPYNMSGKVLEDINGDVELRDVYFSYPARPEEQIFSGFSLSIPCGTTVALVGESGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFTASIKDNIAYGKEGATVEEIRAAAELANAANFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMGNRTTVIVAHRLSTVRNADSIAVIHRGKMVEKGSHSELLKDPEGGYSQLIRLQEVNKELEQVTDVSEVNPESFRQSSLRRSMKRSISRGSSIGSSSRHSLSIAFGVPTGIEANEPAVVETEDSTEQSSKYPEVPIRRLAYLNKPEIPVLLLGTIAATINGCILPIYGLLISRVIETFYKPPHELRQDTRFWALIYVALGSAALLACPSRTYFFSVAGCKLIQRIRLMCFSKVVHMEVGWFDEPDNSSGSIGARLSADAATIRALVGDALAQMVSNLASAVAGLVIAFVASWQLAFIIIGLIPLLGVNGYVQIRAMKGFSADAKMMYEEASQVASDAVGSIRTVASFSAEEKVMELYRKKCEGPLKAGIRQGLISGGGFGLSFFLLFNVYATSFYSGSRLVESGDATFSDVFQVFFALTMATVGISQSSTLAPDSSKARSAAASIFAIIDRNSKIDPSDESGTTLENVKGDIELSHVSFKYPLRPDIQIFQDLNLSIPAGKTVALVGESGSGKSTVISLLQRFYDPNSGRITIDGVEIQTLQLKWLRQQMGLVSQEPILFNETIRANIAYGKGGNATEAEILAASELANAHKFISSLQQGYDTLVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDKIMVDRTTVVVAHRLSTIKNADMIAVVKNGVIVEKGKHDTLINIKDGFYASLVALHMSASTS >KJB49726 pep chromosome:Graimondii2_0_v6:8:38276173:38280947:-1 gene:B456_008G134900 transcript:KJB49726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATENGSNGDTNLHEASTSKNQEQLNGENKDTETNKKNEKTNSIPFYKLFAFADRTDTMLMIIGTIGAIGNGLCMPLMTTILGDLIDAFGQNQSNDRVVHVVSRVALRFVYLAAGAGTAACLQVSCWMVTGERQAARIRGLYLKTILRQDIAFFDVETTTGEVVGRMSGDTVLIQDAMGEKVGKFLQLVSTFLGGFVIAFVRGWLLAVVMMSAIPLLVTAGGAMALIISKMVSRGQAAYAKAGTIVEETIGSIRTVASFTGEQQAISKYSKLLVTAYKSGVHEGTAAGLGLGVAMMILFCSYGMAVWFGGRLILNNGYTGGQVINVIVAVLIGSLSLGQSSPCMSAFAAGQAAAVKMFETINRKPEIDPYNMSGKVLEDINGDVELRDVYFSYPARPEEQIFSGFSLSIPCGTTVALVGESGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFTASIKDNIAYGKEGATVEEIRAAAELANAANFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMGNRTTVIVAHRLSTVRNADSIAVIHRGKMVEKGSHSELLKDPEGGYSQLIRLQEVNKELEQVTDVSEVNPESFRQSSLRRSMKRSISRGSSIGSSSRHSLSIAFGVPTGIEANEPAVVETEDSTEQSSKYPEVPIRRLAYLNKPEIPVLLLGTIAATINGCILPIYGLLISRVIETFYKPPHELRQDTRFWALIYVALGSAALLACPSRTYFFSVAGCKLIQRIRLMCFSKVVHMEVGWFDEPDNSSGSIGARLSADAATIRALVGDALAQMVSNLASAVAGLVIAFVASWQLAFIIIGLIPLLGVNGYVQIRAMKGFSADAKMMYEEASQVASDAVGSIRTVASFSAEEKVMELYRKKCEGPLKAGIRQGLISGGGFGLSFFLLFNVYATSFYSGSRLVESGDATFSDVFQVFFALTMATVGISQSSTLAPDSSKARSAAASIFAIIDRNSKIDPSDESGTTLENVKGDIELSHVSFKYPLRPDIQIFQDLNLSIPAGKTVALVGESGSGKSTVISLLQRFYDPNSGRITIDGVEIQTLQLKWLRQQMGLVSQEPILFNETIRANIAYGKGGNATEAEILAASELANAHKFISSLQQVRTRS >KJB52141 pep chromosome:Graimondii2_0_v6:8:53201018:53202412:-1 gene:B456_008G247800 transcript:KJB52141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFDICCLETEPELNRNVVSINVGGRIFQTTKQTLTQAGPKSLLYRLAETGSDRFLDRDPDQFSLLLSLLRSGTLPSKVKDFDLRDLIEESRFYGVESLLTSSLSDPSHLDAFALRKSSELPLNGRDTPSAIASTPFGSLHVSHGSKITSFDWSLTRKSTILTQFTAIDSLLAISPDIAAAGATDFSGLQILDLQKGRVKQVLNWENATRSGSTVQAIGSSGKFLFTSFESSRRNSNSILVYDMNTLNPVIEIARNEIFGADLDSAIPATKLRWVSGYGLLMASGSHSGLSGVSGNIKFWDIRSGNVAFELKEKVDCFADVCVSDNMNVLFKVGVSSGEVFNADLRCLGTVNNDANDNSNPWMCVENRRKVVNGKKEGLGCKIESHGNQVFCSKGGDIELWSEVAAGSEGRLKGRVFRKNVMGRVKAMGGLKVTNLAFGGNKMFVTRKDQQAVEVWQGSTRGL >KJB52817 pep chromosome:Graimondii2_0_v6:8:55634663:55635912:1 gene:B456_008G279000 transcript:KJB52817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHVLPGNNQNESWTDEKHVRFLNSMEAWFVRTMLENNDLYHLRLDRHLPDSSESTLDCKRHNVQSRRKHASSDSIITTRSKMKVKTDKRSKRPSSSSQPQRYDSSEDQVGHLILIVWTQKAGKVPIFATQCLNSL >KJB52816 pep chromosome:Graimondii2_0_v6:8:55634653:55636250:1 gene:B456_008G279000 transcript:KJB52816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHVLPGNNQNESWTDEKHVRFLNSMEAWFVRTMLENNDLYHLRLDRHLPDSSESTLDCKRHNVQSRRKHASSDSIITTRSKMKVKTDKRSKRPSSSSQPQRYDSSEDQVVPEIINRTDDEDGEKRSFPSKLILKKKKR >KJB52351 pep chromosome:Graimondii2_0_v6:8:53922619:53923432:-1 gene:B456_008G257600 transcript:KJB52351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVTYIAASAASATPNLSLGNVFLSYPPNSPPSALPNAPLILLFPPHPLSNPFFANIFFLSSPTLAKIALWLRLCNYQHGTWPWRNPPLESSVALFYCHFTPDGICVYVQMVVRTSDYRINEGNVGNFMNDLLVMR >KJB51147 pep chromosome:Graimondii2_0_v6:8:48918385:48921191:1 gene:B456_008G203700 transcript:KJB51147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVCGKLKACREKFHLPGKYHRIRFSWKSNSSNNSLFFSISPSLQSLAKAVPIYESIHPPYKLSYLPMSQEELAKQAIKHALKALKKRHLVEEGAHAPAYIALSRPIISQDRDEYSQLKTDFEEKLRALELVLSECKELKAQLEQLTIRATNAEAENKRLVDLWMLQKMQDAERLNEANALYEDMTERLKASGLEKLAREQVDGIVRRSEEGAEFFVESTVPSVCKDRINAHDGGCASIMFEYNSQLQRALLQRDGLTICSGHVDRNLRLWDIQTVKLLSEVSAHSLAITSLSLGRDNSHNLFDIRSLEVFGTFRATGNKVASNWSRSCISLDDNNIAAGSADGSIYIWSISKADIVSTLKEHTAPILCCTWSAIGKPLASADKNGVVCTWT >KJB52417 pep chromosome:Graimondii2_0_v6:8:54187641:54189051:1 gene:B456_008G261100 transcript:KJB52417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFESKEEQMGSKGKLPFSHSSSSSNSSSSQYKTQLGPFGINNTRWEPHHQQMSPNWLGNRYEPEEDKEATTTTTTVPAVATASEGNSTRVDSDSTLELRSSASGNIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSTSDKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKDKKLDAGDIVSFQRGVGELGKDRLFIDWRRRPDAPDPQVSFLHHHFPLHRSIPWNPLLMRPPPTGRDHLHLSQINPLSRNTYYGGGSNLVNPGGTMGSVFYLRSAVVSTAPQMGMGMMEWQQHGGVVKPVAFDSVPVVQGQAAAKRLRLFGVNMDCPISESDEYDVISTTTIANATMAASQTRPSSTSSQHPLQLRLYNGTPLPPTDFLNPNKGKASMSLDLDI >KJB50892 pep chromosome:Graimondii2_0_v6:8:48571899:48574599:-1 gene:B456_008G200700 transcript:KJB50892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASLPSSPPAPSPPSISASHSESSVTLATALLPPQAQVVATAENGTLNVDDQKPQIANHFAVLDNPENIEKYKKFEADYAGRLMAKYFSKNNFYGGNVFDEKTTIDGETILSSRWPCTRSFADPMNAFKDLNNGGSNAEALK >KJB53239 pep chromosome:Graimondii2_0_v6:8:57028893:57029258:1 gene:B456_008G297900 transcript:KJB53239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRKCEPSIKRPDFDLHKLPVWVHLSHIPLELCYVARAIGVPLYMDIITAGQSRLVYAKVCVEIDANQIIPRTIDIILKDSSTRILWVEVPWIPQRCSKFSIFGHVDKACPKNLKEINKG >KJB48979 pep chromosome:Graimondii2_0_v6:8:33199489:33200631:1 gene:B456_008G105600 transcript:KJB48979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKNASSPQIFSLLCGLLRLTILPSMIPLVQGKYLHYHHLPYDHRRWDKRVLCRLHHVQIGSAPSLDKKKRKLHLW >KJB49309 pep chromosome:Graimondii2_0_v6:8:34377134:34378135:-1 gene:B456_008G112300 transcript:KJB49309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGKEESGAAAPPLLALNHVSRLCRNVKKSIDFYTKVLGFVLVERPPALDFDGAWLFNYGVGIHLVQSKDDDKLPSDSHHLDPMDNHISFQCEDMEEMEQRLKEFNTEYIKRTMEDESGTKIDQLFFNDPDGFMVEICNCENLKLVPAGSMGRIKLPFDRHNPPLDLDANSNPSYSY >KJB48355 pep chromosome:Graimondii2_0_v6:8:16132437:16132947:-1 gene:B456_008G081500 transcript:KJB48355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYILIFVGMVACLVSFASAKPGIATFYTKYIPFACFKNQDHGKMIVVASDALWKNGAVCGKKFTVKCTEHRNGVPHPCTGKSVTVKVIDQCPGCPSTMDLSREAFEIIAKPVAGIINIDYKKYA >KJB52563 pep chromosome:Graimondii2_0_v6:8:54651120:54656224:-1 gene:B456_008G267800 transcript:KJB52563 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein RCF3 [Source:Projected from Arabidopsis thaliana (AT5G53060) UniProtKB/Swiss-Prot;Acc:Q8W4B1] MDRSRSKRNYYYDQDYDGETMGRTKPRYNNHHYLPNSHRHRGNNPNNNNNGGNNGRPPNKSGGGSGAGGGGQDSSLMVTTSYRILCHDMKAGGVIGKSGSIIKSIRQHTGAWINVHELIPGDEERIIEISDTRRRDPEGRMPSFSPAQEALLLVHERILESDSQFGFGGGGGEEEEEYGAVARGGGNRVATRLVVSRMHVGSLLGKGGKIIEQMRIETKTQIRILPRDHTLPRCVSMSEEIVQVVGDVNAVKNAIAIISSRLRESQHRDRSGHFHGRMHSPERFFPDDDYVPNINNASRRSSMEGSFGSRMSTMNYRGNNYSSRPSGFIEAGAAPMSDSGQPLYGEELVFRILCPIDKVDSVFGEPDGIVDLLQNEIGVDVKVADPMAGSDEQIITISSEEGPDDELFPAQEALLHIQTQIVDLVPDKDNIVTTRLLVPSTEIGCLEGRDGSLSELKRLTGANIQILSGEELPSCVSRPNEIVQIVGEIKAARDALVEITSRLRSYLYRDFSLDPPHSAPSITATASMGNVSPNLTPSRDGQTASPGTYQNMPTPATPSSSKEVVKSGAETVKQTESERREDVPSAIASSRITVPLVTRSTLEVVIPDFAVPKLIAKSKTKLARISELSGANVTLVEDRPNETQKIIQISGTMEQSERAQSLLQGFILSTQEDGP >KJB52075 pep chromosome:Graimondii2_0_v6:8:53024157:53029093:1 gene:B456_008G245500 transcript:KJB52075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSARWRSDRNKIKAVFKLQFHATQVTELSVQTLMISVVPGDGGKPTTKLEKATILDDICRWEKPVYESVKFVREPKTGKINERIYHFILSSGLGKGGLIGEASIDFSAYAEAIKTSTVSLPLKNSNSKAILHVSIQRVQENADQREVEDIEDASIKSQGRSLKAHLSNGEADESIKNASIEDVPFSKTPHNDEMHENHRGSNGSDTTISSSDSSSGLDTPRELGNGIRNDSIHQDPPYLPSMSNTSSTPKPTSVASTTIYEAWSAGSDHGMSTDDSNSSQDTFPRESSQHGSDNEMEKLKNEVIALSRQVDVSDLELQTLRKQIVKECKRGQDLSREVVTLKEERDALKLDCEKLKSFQKRTDDAKVKSRLQFEGGDPWVLVEEMRQELNYEKDMNYNLRLQLQKTQESNAELILAVQELEEILEAKTMEISNPPNKSESNGNAEEVRAIISRNDSDEDEEQRALEQLVKEHRGPKETSLLEQKIMDLYSEIEIYKRDKDELEAQMEQLALDYEILKQENHDISYKLEQSQLQEQLKMQYECSSSFANINELETQIEFLEGELDKQSKEFSDSLATINELEVNVKSLEEELEKQAQQSEMDIESITRAKVEQEQRAIRAEQALRMTRWKNANTAERLQEEFKRLSIQMASTFDANEKLAAKALTEASELRSQKNQLEEQLEKAKEELQSVRKDYEAKLSNLSKQVSSKSNQIELMLEEIDDKSKKLEQQKKLEEEVSEAFSQDICSLKAEIEKLTIEKNCLLEQAQKAEDLRLELERTKALAKEYEMQMQRAYLERNELESTVALMKEEVAKALEELQRMKHLKDEKQVAVESLQSELDILKNQYNKLKHSLSEDEMEKEKLKKQVVQLKVDLKKKEDALTGMEKKLKESNGRGTVSHGTRTPLRSNKSALVPGNSKDVASLREKVKLLEGHIKLKETALGTSTNVFLEKEKDLQKKIDELESRVEEFNKHSASFYEYQLQKVAKDDNQDELIAELAALKERNKSMEDELKYMQDRYSEISLKFAEVEGERQRLVMTVRKIKNAKKS >KJB47222 pep chromosome:Graimondii2_0_v6:8:1825766:1829690:-1 gene:B456_008G016000 transcript:KJB47222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLLLALVLALLKLSINCWFPRTLLYQESPDYVKELIRKTRKLTDKPFGVGVVLAFPHKENVKAILEEKVAVLQLYWGECSKELVIEAHNAGVKVVPQVGSLEEAKKAINVGVDAIIVQGREAGGHVIGQEGLISLLPRVVDLVGDHGIPVIAAGGIVDARGYVAALALGAKGICMGTRFLATHESYAHPTYKRKLIEYDKTEYTDVFGRARWPGAPHRVLQTPFFCDWKCLNAQENETNQPIIGRTIIHGVEREIRRFAGTVPNPTTTGDIESMVMYAGQSVGLIKEILPAGQVVKKLVEAAQFLIRQTFNPDSI >KJB47223 pep chromosome:Graimondii2_0_v6:8:1825766:1830754:-1 gene:B456_008G016000 transcript:KJB47223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKGILGFEYGIVQGPLGPDIAGPELVAAVANAGGLGLLRTPDWESPDYVKELIRKTRKLTDKPFGVGVVLAFPHKENVKAILEEKVAVLQLYWGECSKELVIEAHNAGVKVVPQVGSLEEAKKAINVGVDAIIVQGREAGGHVIGQEGLISLLPRVVDLVGDHGIPVIAAGGIVDARGYVAALALGAKGICMGTRFLATHESYAHPTYKRKLIEYDKTEYTDVFGRARWPGAPHRVLQTPFFCDWKCLNAQENETNQPIIGRTIIHGVEREIRRFAGTVPNPTTTGDIESMVMYAGQSVGLIKEILPAGQVVKKLVEAAQFLIRQTFNPDSI >KJB48279 pep chromosome:Graimondii2_0_v6:8:9907876:9909944:1 gene:B456_008G062000 transcript:KJB48279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLRDLWRGHRRKVLVTAGVLGCGYLLYKLYDAHKRRLIDLERQLANEGENDEFIKAQMQLHFENIQRIADTTTLPHAMPHLSCRITEDLSLSHLMERLVKGKDQPNSLSSSEKLELWDRLKILNGLNMFDGTDGHYFHTGSRGHHSVWDSHLFNYGSWEVLRYLLSKARWWLEEYKFDGYRFDGVTSMMYKISLIK >KJB48242 pep chromosome:Graimondii2_0_v6:8:9383686:9384711:-1 gene:B456_008G059700 transcript:KJB48242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSVITPEDVLEPLMNDGTIDAFRLKIINQLKANEELKNTTIKMAEQSKVLNTSGAEKQTKRELFDALR >KJB47741 pep chromosome:Graimondii2_0_v6:8:5046778:5047218:-1 gene:B456_008G039100 transcript:KJB47741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKVAKKTIHKALGNLKVIQRKNTVSPSETVSMLKKIEAVTCSMFEDLLSLISGPKPGSWLSVSKLLHQRRIACEDAGRNVNEFEKVDVALKSFGITKSEIINLEMQNQLKDLELFIQDLEDGLECLFRCMIKARVSLLNILTL >KJB51796 pep chromosome:Graimondii2_0_v6:8:51840984:51842955:1 gene:B456_008G232000 transcript:KJB51796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTVLTKSLGYHCAKRCKISTFSQNFPSHNSRFQTLRTLILEPSLSESVKLNRLSDYDSAERKKMTAAEVHSFVNYLQSTFSLIEELQIPTIAVIEGAALGGGLEMALSCDLRICGETALLSLPETGLAIIPGSLAPIFNSAAKDIIFTGRRMGGRDAMSMGLVNYCVPAGEAHPKALEIAREINQKGPIAIRMAKRAINEGIDREMVSALDLEEECYEQTLNTKDRLEGLAAFAEKRKPIYTGE >KJB52269 pep chromosome:Graimondii2_0_v6:8:53635942:53636717:1 gene:B456_008G253000 transcript:KJB52269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPHTVVDPPPLHYSTTLPPPPVSKKQPSKFSRSILKLFKFVFKFRQSSSHSVLWLKQRSLGDYFVVYDKTGALTTIPEVPEIDFGGLSPEINSMVVERSESERFTAASTVGISCA >KJB51797 pep chromosome:Graimondii2_0_v6:8:51844696:51844929:1 gene:B456_008G2321001 transcript:KJB51797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVIFYGCYHVDEEGQKRMFYMIDIHRNVPEKYIVGERYVDGINGVKLFGVRIG >KJB48059 pep chromosome:Graimondii2_0_v6:8:7321628:7325583:1 gene:B456_008G052000 transcript:KJB48059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQLEIVPSSPFGCVLRDHNRKERCRDSNVSSVQTVFDKNFNDLVRDHINGCISLSSPKSPQNHNNVASWVSAEQGNANANANANANRHNLPSFSNKNHHNKKKGDPSPINPKQSPVLDRWVTRQSQDVAVSTIDKQVNEAAEQVLAPSHSNPVSPPPPPPPMASSSTTKNAQTRSENASVTHNLAASSLVQIWEARLNRSNSINSYQNQSMDSNTSRTSSGVSSNENNASPMEESSTSEPFEEKMENRTNNVDSLIELESPSDRTAAGEAASSSSSSCSKTFDAGEIERVRIVDIIKKLKNCREDADDHEHTGSHSQCKEPKHCSKPNESLRRCFSLVINSPLIRGRQAFQDFLVRIERDKKRELDSLVKRQAVSKFPQRGRVQSMLRLKSLQRCLTIQDKCRPQLNRSQGSTIMHLREKFSTGAEQGMTAQNVSATPRYICKDKSSTCKPPQREDTHCQKGQQSSSPVVSLTTNKNGDVKEQAKPPSDAVQQKTSLEAKSPESPKIAKETKPLEGPSENDVAKKEDLSSQQLILGSQETAETVTQNEVAKAEQEKDQHQLILDSQETMETTTTTTTSVVSSIENEIVEEDIGDQQHICVDPQSQDIVDNTSTSCINDRNENEVTEEEEDHYQQYFDETDDYDWFSNISRPRSYWEGLRQAWYEEVLNTTSNNEEIRQLLERGRVSTLLGSDFRDTMDRLMTSRVQMQADEAESQQEVDDKEGIICRGRVSTLFDSDFLEKMNRLMTSRVQMQADVAESQQEVEDKEGMVQPVSYEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEYDDEEERSLSSRQCQEVNNYFNNSSPSIQMPSPSAMMTRSWSFQDDNETSNDERGASLYSPPPQPSQAERYQDARQSASSINRPSLEMELICDLRGHIEQLHSEISELRKSVMTCMDMQMKWQHYSLNREVHSVEGEGKNSADRTPWKRRCCICYEMQVDSLLYRCGHMCTCLKCGNELQWRSGKCPICRAPILDVVPAK >KJB50072 pep chromosome:Graimondii2_0_v6:8:40950034:40954679:-1 gene:B456_008G152300 transcript:KJB50072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLYRKLELIRNPLTSVRKNVNSQSGRVLSDRKWIIPFFASLLVSITLFLSAIFGLFNTPNGGDQLPFDIISFARTEDSSGYFVESDLKKSFNTSGYASMEAPRLAYLISGTKGDSRRMMRTLQAVYHPRNQYVLHLDLEAPPRERLELTNMVKIDPTFREVENVRVMAQSNLVTYKGPTMIACTLQAIAILLKESLDWDWFLNLSASDYPLVTQDDLLHVFSNLSRNLNFIEHTQIAGWKLNSRAKPIIVDPGLYLSKKSDIAWTTQRRSLPTSFKLYTGSAWVALTRTFVEYCIWGWDNLPRTILMYYTNFVSSPEGYFHTVICNTDEFRSTAISHDLHYIAWDTPPKQHPVSLSMKDFDKMVKSNAPFARKFHKNDPVLDKIDKELLGRTGRFAAGAWCIGGSEGGADPCSVRGNDSVFAPGPGAKRLQELLKTLMSEDSRKKQCS >KJB51544 pep chromosome:Graimondii2_0_v6:8:50829771:50831857:-1 gene:B456_008G221800 transcript:KJB51544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESQIWVIPFFGQGHLLPSMELCKHIASRNFKATLIISSNLSSSIPSSFHDHPLIQVAQIPSSSPTPPELSSGPHHHHSDLAQGIASLLENTSQPACAVIDNMMDWTADIFKKLKIPTIAFFTSGACSAALEFAMWKARVVDIEPGETILLPGLPEEMALTDSDLKQGPPPGPPPPQLGGFGFPRGPPGPPPPPAADGFPLGHPPPKMGPPQPGGQPQWMETAKDSVALMLNSCHELEGPFLDYLRLQIGKPVWGVGPLLPQQFWKSSDSLLHDREIRANKQSNVTEDQVMGWLDSKPHGSVLYVSFGTEVAPTKQQFQQLADALEASNRPFIWVIQHGSGRPGPPPGPPQFLGNQPGSNDVVFEEEGYFPHGLDQKVGKRGLIIHGWAPQLLILSHASTGGFLSHCGWNSTVEAIGRGVPLLAWPIRGDQHSNAKLVVNHLKVGCMISDNLAQQNIKKDDIAEGIERLMGNEDIKTQAVMLSTKFQQGFPASSAVSLDAFKDFIVNLNAH >KJB52810 pep chromosome:Graimondii2_0_v6:8:55585976:55586400:1 gene:B456_008G278200 transcript:KJB52810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HFPTQKTNLLPPFSPFHPFKPFLFTITMRTKENTLVMFLVFLIILGLMGVTSCRHIKQETSSHRELIDQRLRDRYSPMFLRTFTVIHGRGPIGKPKTSSSVHVVSHRLVPGGPNPLHN >KJB50761 pep chromosome:Graimondii2_0_v6:8:46672602:46674942:1 gene:B456_008G186500 transcript:KJB50761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLANDKELMVKLLKEHQIEIVISALGGATILDQLSLVEAIHSAGTVKRFLPSEFGHDVDRADPVEPGLTMYKEKRQVRRLIEKLEIPYTYICCNSIASWPYHNNRHPSEVIPPLDHFEIYGDGSVKGTDIGKFTMKTVDDIRTLNKSVHFRPACNFYNMNELAALWERKIRRTLPRVTVTEEDLLSAAAENIIPQSVVASFTHDIFIKGCQINFPIEGPNETEACSLYPNEPFRTLDDCFNDFVAKMKDENMKQSDENTKQSNEIPPPKPVVEAFAITATCA >KJB50028 pep chromosome:Graimondii2_0_v6:8:40473724:40484868:1 gene:B456_008G149400 transcript:KJB50028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEVIEMSGVSAASDIWSVGCTVVELLTCVPPYYELQPMPALFRIVQDENPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWIRNCKRALQSSLRHSGTIRNLSETVAADGESSTGDNQSAGKTLPVHKAEASETSSRKELLSAEGTNEGKYDHDHSADSNLREERINNLDDDLLSDEVPTLSIHEKSSLRSSSGRLSVKNVVATPGPAQLHEISQQDDDFGSPKSSKKHVEKGLVGEETSVDIGNKSFCFGPTQDAGLQKATKASVTSPGNELSRFSDPPGDASLDDLFHPLDKSLEERVADASTSASASNVNQATVPDAGKNDLAKKLRDTIAKKQMEEEMGQSNGGGDILRLMMGVLKDDVIDIDNLVFEEKLPSENIFPLQAVEFSRLVSSLRPEESEDAVMTACQKLIAIFHQRPEQKIVFVSQHGLLPLMELLDIPRTRVICSVLQLINELVKDNTDFQENACLVGLIPLVMSFAGPDRPREVRMEAAWFLQQLCLSSSLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCQIAAKNGILLRLINTLYSLNEATRLAAKSVGGRFSSDGSAQQQRSGPLDSSHPLFSQNEIPLSPTDHLLDRHGMTEHSFPTVTQESSRASTSHSQRSDINLPDPRYLSIDGDRPRSSNGASDISVGSKLAYFTSMEKVANIGTKESSTTSKGRENMDRWKIDPADLRQPKASNSINRTSTDRPPKLIEAMSNGFTTSRTTQAEQVRPLLSLLEKEPPSRRFSCQLEYVRHLPGLEKHESVLPLLHANDRKTNGELDYLMADFAEVSGRGRENGIVDSTPRISHKSASKKVGQLAFYEGAASRSGIASQTTSGVLSGSGVLDARPGSTTSSGLLSNMVSTMNVDVAGEYLEKVADLLLEFAQADTTVKSYMCSQSLLNRLFQMFDRIDPPILLKILKCINYLSTDPNCLENLQRADAIKYLIPNLELKDGPLVSQMQHEVLNALFNLCKINKKRQEQAAENGIVPHLMSFIISDSPLKQHALPLLCDMAHASRNSREQLRVHGGLDVFLSLLDDELWSVTALDALAICLANDSDNQKVEQALLKKDAVHRLVKFFQCCPERHFVHILEPFLKIITKSSRINTTLAVNGLTPLLIARLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQTLIEERRDGQRSGGQVLVKQMATSLLKALHINTVL >KJB50027 pep chromosome:Graimondii2_0_v6:8:40473642:40484910:1 gene:B456_008G149400 transcript:KJB50027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFFHVQGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVSAASDIWSVGCTVVELLTCVPPYYELQPMPALFRIVQDENPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWIRNCKRALQSSLRHSGTIRNLSETVAADGESSTGDNQSAGKTLPVHKAEASETSSRKELLSAEGTNEGKYDHDHSADSNLREERINNLDDDLLSDEVPTLSIHEKSSLRSSSGRLSVKNVVATPGPAQLHEISQQDDDFGSPKSSKKHVEKGLVGEETSVDIGNKSFCFGPTQDAGLQKATKASVTSPGNELSRFSDPPGDASLDDLFHPLDKSLEERVADASTSASASNVNQATVPDAGKNDLAKKLRDTIAKKQMEEEMGQSNGGGDILRLMMGVLKDDVIDIDNLVFEEKLPSENIFPLQAVEFSRLVSSLRPEESEDAVMTACQKLIAIFHQRPEQKIVFVSQHGLLPLMELLDIPRTRVICSVLQLINELVKDNTDFQENACLVGLIPLVMSFAGPDRPREVRMEAAWFLQQLCLSSSLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCQIAAKNGILLRLINTLYSLNEATRLAAKSVGGRFSSDGSAQQQRSGPLDSSHPLFSQNEIPLSPTDHLLDRHGMTEHSFPTVTQESSRASTSHSQRSDINLPDPRYLSIDGDRPRSSNGASDISVGSKLAYFTSMEKVANIGTKESSTTSKGRENMDRWKIDPADLRQPKASNSINRTSTDRPPKLIEAMSNGFTTSRTTQAEQVRPLLSLLEKEPPSRRFSCQLEYVRHLPGLEKHESVLPLLHANDRKTNGELDYLMADFAEVSGRGRENGIVDSTPRISHKSASKKVGQLAFYEGAASRSGIASQTTSGVLSGSGVLDARPGSTTSSGLLSNMVSTMNVDVAGEYLEKVADLLLEFAQADTTVKSYMCSQSLLNRLFQMFDRIDPPILLKILKCINYLSTDPNCLENLQRADAIKYLIPNLELKDGPLVSQMQHEVLNALFNLCKINKKRQEQAAENGIVPHLMSFIISDSPLKQHALPLLCDMAHASRNSREQLRVHGGLDVFLSLLDDELWSVTALDALAICLANDSDNQKVEQALLKKDAVHRLVKFFQCCPERHFVHILEPFLKIITKSSRINTTLAVNGLTPLLIARLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQTLIEERRDGQRSGGQVLVKQMATSLLKALHINTVL >KJB50029 pep chromosome:Graimondii2_0_v6:8:40477214:40484910:1 gene:B456_008G149400 transcript:KJB50029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEMGQSNGGGDILRLMMGVLKDDVIDIDNLVFEEKLPSENIFPLQAVEFSRLVSSLRPEESEDAVMTACQKLIAIFHQRPEQKIVFVSQHGLLPLMELLDIPRTRVICSVLQLINELVKDNTDFQENACLVGLIPLVMSFAGPDRPREVRMEAAWFLQQLCLSSSLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCQIAAKNGILLRLINTLYSLNEATRLAAKSVGGRFSSDGSAQQQRSGPLDSSHPLFSQNEIPLSPTDHLLDRHGMTEHSFPTVTQESSRASTSHSQRSDINLPDPRYLSIDGDRPRSSNGASDISVGSKLAYFTSMEKVANIGTKESSTTSKGRENMDRWKIDPADLRQPKASNSINRTSTDRPPKLIEAMSNGFTTSRTTQAEQVRPLLSLLEKEPPSRRFSCQLEYVRHLPGLEKHESVLPLLHANDRKTNGELDYLMADFAEVSGRGRENGIVDSTPRISHKSASKKVGQLAFYEGAASRSGIASQTTSGVLSGSGVLDARPGSTTSSGLLSNMVSTMNVDVAGEYLEKVADLLLEFAQADTTVKSYMCSQSLLNRLFQMFDRIDPPILLKILKCINYLSTDPNCLENLQRADAIKYLIPNLELKDGPLVSQMQHEVLNALFNLCKINKKRQEQAAENGIVPHLMSFIISDSPLKQHALPLLCDMAHASRNSREQLRVHGGLDVFLSLLDDELWSVTALDALAICLANDSDNQKVEQALLKKDAVHRLVKFFQCCPERHFVHILEPFLKIITKSSRINTTLAVNGLTPLLIARLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQTLIEERRDGQRSGGQVLVKQMATSLLKALHINTVL >KJB50026 pep chromosome:Graimondii2_0_v6:8:40470833:40484910:1 gene:B456_008G149400 transcript:KJB50026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQATSSAFHKSKTLGNKYMLGDEIGKGAYARVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTTTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVSAASDIWSVGCTVVELLTCVPPYYELQPMPALFRIVQDENPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWIRNCKRALQSSLRHSGTIRNLSETVAADGESSTGDNQSAGKTLPVHKAEASETSSRKELLSAEGTNEGKYDHDHSADSNLREERINNLDDDLLSDEVPTLSIHEKSSLRSSSGRLSVKNVVATPGPAQLHEISQQDDDFGSPKSSKKHVEKGLVGEETSVDIGNKSFCFGPTQDAGLQKATKASVTSPGNELSRFSDPPGDASLDDLFHPLDKSLEERVADASTSASASNVNQATVPDAGKNDLAKKLRDTIAKKQMEEEMGQSNGGGDILRLMMGVLKDDVIDIDNLVFEEKLPSENIFPLQAVEFSRLVSSLRPEESEDAVMTACQKLIAIFHQRPEQKIVFVSQHGLLPLMELLDIPRTRVICSVLQLINELVKDNTDFQENACLVGLIPLVMSFAGPDRPREVRMEAAWFLQQLCLSSSLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCQIAAKNGILLRLINTLYSLNEATRLAAKSVGGRFSSDGSAQQQRSGPLDSSHPLFSQNEIPLSPTDHLLDRHGMTEHSFPTVTQESSRASTSHSQRSDINLPDPRYLSIDGDRPRSSNGASDISVGSKLAYFTSMEKVANIGTKESSTTSKGRENMDRWKIDPADLRQPKASNSINRTSTDRPPKLIEAMSNGFTTSRTTQAEQVRPLLSLLEKEPPSRRFSCQLEYVRHLPGLEKHESVLPLLHANDRKTNGELDYLMADFAEVSGRGRENGIVDSTPRISHKSASKKVGQLAFYEGAASRSGIASQTTSGVLSGSGVLDARPGSTTSSGLLSNMVSTMNVDVAGEYLEKVADLLLEFAQADTTVKSYMCSQSLLNRLFQMFDRIDPPILLKILKCINYLSTDPNCLENLQRADAIKYLIPNLELKDGPLVSQMQHEVLNALFNLCKINKKRQEQAAENGIVPHLMSFIISDSPLKQHALPLLCDMAHASRNSREQLRVHGGLDVFLSLLDDELWSVTALDALAICLANDSDNQKVEQALLKKDAVHRLVKFFQCCPERHFVHILEPFLKIITKSSRINTTLAVNGLTPLLIARLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQTLIEERRDGQRSGGQVLVKQMATSLLKALHINTVL >KJB47959 pep chromosome:Graimondii2_0_v6:8:6749728:6752132:-1 gene:B456_008G049000 transcript:KJB47959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPFRANILKGKVALVTGGGSGIGFEISVQLGKHGASVAIMGRRKHVLDSAVDVLRSHGIPAIGLEGDVRREDDAARTVESTFKHFGRLDILVNAAAGNFLVPAQDLSPNGFRTVIDIDSVGTFIMCHEALKYLKKGGLGKDPSTGGTIINITATLHYGATWYQIHASAAKAAIDSITRSLALEWGEDHGILINGIAPGAIEDTAGVSKLAPEEVLSKIEEKPLYSFGEKWDIAMAALYLASDAGKFVNGTVLVVDGGQWLSTPRLLSKDAVRQLSRRQETRSRVAPTGLPKSKL >KJB47958 pep chromosome:Graimondii2_0_v6:8:6748809:6752091:-1 gene:B456_008G049000 transcript:KJB47958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPFRANILKGKVALVTGGGSGIGFEISVQLGKHGASVAIMGRRKHVLDSAVDVLRSHGIPAIGLEGDVRREDDAARTVESTFKHFGRLDILVNAAAGNFLVPAQDLSPNGFRTVIDIDSVGTFIMCHEALKYLKKGGLGKDPSTGGTIINITATLHYGATWYQIHASAAKAAIDSITRSLALEWGEDHGILINGIAPGAIEDTAGVSKLAPEEVLSKIEEKPLYSFGEKWDIAMAALYLASDAGKFVNGTVLVVDGGQWLSTPRLLSKDAVRQLSRRQETRSRVAPTGLPKSKL >KJB46801 pep chromosome:Graimondii2_0_v6:8:39063446:39064340:1 gene:B456_008G140000 transcript:KJB46801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLSSFYSPDPSSTNDSPSNHGSLDAIETTTFNADQYMNLLIRKSNLEALRQRHVEMAAEIKNIDTDLQVLVYENYNKFISTTDAVKR >KJB51909 pep chromosome:Graimondii2_0_v6:8:52463138:52463278:-1 gene:B456_008G2375001 transcript:KJB51909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPITQGKILPDAWDYRGKPIERSKSGGWTSAAMIL >KJB51910 pep chromosome:Graimondii2_0_v6:8:52463138:52463249:-1 gene:B456_008G2375001 transcript:KJB51910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPITQGKILPDAWDYRGKPIERSKSGGWTSAAMIL >KJB52140 pep chromosome:Graimondii2_0_v6:8:53193393:53195795:-1 gene:B456_008G247700 transcript:KJB52140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPTATLFPPNFVLCWFSAGVGVPLLAGSLFLCWCLFFVPLLHFSKASGSLFLFSPPPMSTSAVEVSDEKVKAMWDKRLTEIFCDICIKEILKGNRPGTHFTKDGWLKIMTTFEKETGKGFSQRQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDDWWESRLQVVPEAKKFRTSGIDSEFEAKLDQMFVGIVATGDKAWAPSSADNMSQATSSLTHVMDPYGIPQAVKMLDSMSEEVPEASPLYFFALKLLLNKDKRIMFLSINPKIRALWLKTEMEDS >KJB48257 pep chromosome:Graimondii2_0_v6:8:9635604:9636113:1 gene:B456_008G060500 transcript:KJB48257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMGSVATSTYVKRSSFLGIIARVFKENAQCRPTIGKELPFWSIPKRLALMFNNADAFIALPGSFGTLEEIFCITSWSSFFKYKKLIGLLNVTGYYNNLLSFLDHIVESGFVFLEVRYFLIFTVIVEELSVKHQVFEYHPDLITQQIVESRKRKSYSDKQELDLTLSL >KJB47735 pep chromosome:Graimondii2_0_v6:8:4940469:4941958:-1 gene:B456_008G038700 transcript:KJB47735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHQGEGDHNKAAMETTDRGMFDFMKKKDEEQDNEKKEEKPTLMGKLHRSNSSSSSSSDEEEIGEDGEKKKKKKKKGLKEKIKEKIGGDKEAAEHKDASIPPENAEEKKGILDKIKEKLPGQHKKAEEDGGYDGHPTEGEPKEKGFLEKIKEKIPGCHGNKPEEDHKATKN >KJB47746 pep chromosome:Graimondii2_0_v6:8:5080670:5081260:-1 gene:B456_008G0395002 transcript:KJB47746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLDGSLRLLDLCSTAKDIMLQTKESASKLQSALRRRKIGEAEIASEVRKYMSSRKVAKKTIHKALGNLKVIQRKNTVSPSETVSMLKKIEAVTCSMFEDLLSLISGPKPGSWLSVSKLLHQRRIACEDAGRNVNEFEKVDVALKSFGITKSEIINLEMQNQLKDLELFIQDLEDGLECLFRCMIKARVSLLNILTL >KJB52744 pep chromosome:Graimondii2_0_v6:8:55333398:55339218:-1 gene:B456_008G275300 transcript:KJB52744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G52520) UniProtKB/Swiss-Prot;Acc:Q9FYR6] MVSSLRLPALTSLISPSFTRRSTVSLRRRLHLRLPLAAGFSAQSAASSPTETEDRVDSKKSGRVQDRGSTDRVITPRSQDFNAWYLDVIANAELADYGPVRGTMVIRPYGYAMWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLMINQWANVTRWEMRTKPFVRTLEFLWQEGHTAHATLEEAEKEALQMIDVYTKFAYEQAAIPVIAGRKSKVETFAGADKTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFADENGLRQHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPRIAPIQVVIVPIWKKVDEKTGVLDAASSVAETLKNAGLKVKLDDTDQRTPGWKFNFWEMKGVPLRIEIGPRDVSSGSVVISRRDIPGKQGKVFGISMEPSILEAYVKDRLDEIQSSLLQRAISFRDSNIVDVSSYEELKEAISLGKWARGPWSASDADELRIKEETGATIRCFPFEQPQGTKACLMTGKPADEVAIFAKSY >KJB52183 pep chromosome:Graimondii2_0_v6:8:53329756:53331668:1 gene:B456_008G2492002 transcript:KJB52183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDETPSQTQESSTAREFSANGTSMSTSDVEAKLDKGNIEEAESSLRERLSLNSEEARTLLGRLEYQRGNVEAALRVLDGIDLQAAIQKLQPSSQQAARLVLEGFYLKVKSLQKLGKFAEAAQDCANILDAVGRIFPQGIPDAQVESKLQEMISKAVELLPELLKQAGNYQEAMAAYRRVLLNPWNLDNDCCGRIQKAFAVFLLHSGLEAGPPSLGAQADGAYVPKNNLEEAILLLLVLMRKIHHGDIQWDPSVLDHLMYALSLCSQTPVLSKQLEELKPGVFHRTERWNLLALCCSGVGQNKAAMNLLRKSLHINERPNDLTALLLAAKICSEDSQLAAEGVGYAQRAVDNAKGVDEHLKGVGLRMLGLCLGKQAKVSSSDFERSRLQNEALKCLDSALSFEQDNPDIIFELGAHYAELRNLNAAL >KJB51344 pep chromosome:Graimondii2_0_v6:8:49938728:49940336:1 gene:B456_008G212600 transcript:KJB51344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAPRPNGKMVGAQAVSLRVSGDKSAFYNCKIIGFQDTLCDDRGNHFFKDCHIRGTVDFIFGSVTSLYLNSKIFVEGDPEGDLEMAIIIAQVRESSSEDKSYSFVHGRITGTSKDVFLGRAWKSSPRVVYSYTEMDEIVHPGGWSSNRQPERAETVYYGDYKCMGKGATPPFLVLDYVEGTKWLLPPPTVPK >KJB48230 pep chromosome:Graimondii2_0_v6:8:9315143:9316966:-1 gene:B456_008G059000 transcript:KJB48230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESITLYSFLSLIFFIICLNLFLRSRRRRKNLPPSPPSLPIVGHLHLLKPPIHRLHHTFSQKYGPIFSLKLGSRLMVVVSSSTAAEECLIKNDIIFANRPKFIIAKHLGYNYTTLISSSYGDHWRNLRRIGATEIFSSGRLNASVNVRKDETRRLMLRLSTDSRQDFVKVELKPMLSDLTFNNIMRMLAGKRYYGDEVTNEEEAREFRELMVEVAKNSGTGNPADYLPVLNWFGLGFEGKLKKLGKRLDGFLQKLVDDHRSNKLKNNSMIDHLLSMQESDPLYYTDEIIKGFIMVILFAGTDTSSVTMEWAMANLLNHPQVLKKARDEIDNLIGEEKLIEESDVPKLHYLQSIIYETLRLYPAAPLLVPHMPSTDCSIGGYDVPSGTIVLVNAWAIHRDPNVWDDPTSFKPERFDGNSEKIEHSQKLLPFGLGRRSCPGANLAQRTVGLALGSLIQCFEWERIEGKEIDMSEGRGTIMPKLHPFEALCKARPIVDKLFY >KJB47269 pep chromosome:Graimondii2_0_v6:8:2234351:2235141:1 gene:B456_008G019400 transcript:KJB47269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCKVSAFCMILFLLFFTLSSAAAAARPLQHPNPSTPIQTQNPDTETKRGEVDDDICRGIKEEEEEECLMRRTLAAHVDYIYTQKANP >KJB51277 pep chromosome:Graimondii2_0_v6:8:49538582:49541162:-1 gene:B456_008G209900 transcript:KJB51277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSYILDPNIFLQNMAPTPKASSFMMDDGNLTSNCFSQYYSPELFHDSLFDPNNHETSCVDHCSKVVSQSDNEPSVGEKQSTGGGDSTVVDKLEKGEQVTQNVNPRNRKRKTRNGTTFKTKDAKEGKAKEKKKCNNGKKGEKKSPEEPPSGYIHVRARRGQATDSHSIAERVRRKKISERMKILQCLVPGCEKVTGKALMLDEIINYVQSLQNQVEFLSMKLASVSPMFYDFGVDLEALILVKPERVNSEASTTTPVLPCLQQCNPTQASAFVDSTTATFAPSNNYPFLGASHQGQTPILFSHPQDNGSVLWDVEDQRQKSLNSSGLNDNLCSFH >KJB47810 pep chromosome:Graimondii2_0_v6:8:5698380:5698853:1 gene:B456_008G042500 transcript:KJB47810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISLFQFSPNCLPQVGKFRNHPSISNNRPNTKIPCTKKKLSDSALALDLAINVQKINTHLEQKEKAMKKSKELLFTELCQYLSLKEEEMNRKWRKMREEEKWILINKFVDEWGVHFHPLSARSVKEMVDEYLQDDNKSDPPMFPGLKRMLGMSKDA >KJB48189 pep chromosome:Graimondii2_0_v6:8:8512938:8515231:-1 gene:B456_008G056100 transcript:KJB48189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMVVFLITLSVLFMIFLVFKLVFDTISCYLLNPRRIRKTMEKQGVRGPKPRGVTGNMLEMFKLTSQSTSKEMGTIHHDLVGRLLPHYVKWSKSYGKRFIYWHGVEPRMCLTDTELIKELMTKHHLVTGKSWLQQQGSKHFTGRGLLMANDRDWYHQRHIASPAFMGDKLKSYCGHMVESTKQMLQSLQNAVNSGQTEFEIGAYMSRLTADIIARTEFDSSYEKGKQIFYLLTSLQHLCAQASRHLCFPGSRFFPTKYNREMKSLKMEVDRLLMEIIQSRKDCVEIGRSSSYGNDLLGILLNEMEKKRGDQFKLNLQLIMDECKTFFFAGHETTALLLTWTVMLLATNPSWQDKVRAELKEVCNGGVPSVDQLSKLSVLHMVINESLRLYPPATVLPRMAFENIKLGDLFIPKGLSIWIPVLAIHHSEELWGKDVNEFNPNRFNSRPFTSGRHFMPFAAGPRNCIGQSFAMMEAKIILSMLISRFSFTISQSYRHAPVVVMTLKPKHGVQVHLKPLNS >KJB50588 pep chromosome:Graimondii2_0_v6:8:45484086:45491221:-1 gene:B456_008G178100 transcript:KJB50588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKEGGKPGTAFTSESSWTFSGGGPDSVSSSVNYFFDKDSSILSEFGWDLQPDHADDFGRFAEIDRTDSARPELVGNLSASQSCAAADIVVRCSVSGTASNPGGSADVSTSNPSVSSSSSEDLPEKSTGSGGKPPEIPSKVRKKGQKQIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPAIVITTYEGQHCHHGIAFPRGGLICHEAAFAGQLTPPVSQIYHPGVQSHRQMPPSITQLQPHQAPVDVGEPHPEPEPTSQLPTDEGLLGDIVPPGMRGR >KJB50587 pep chromosome:Graimondii2_0_v6:8:45488206:45491221:-1 gene:B456_008G178100 transcript:KJB50587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKEGGKPGTAFTSESSWTFSGGGPDSVSSSVNYFFDKDSSILSEFGWDLQPDHADDFGRFAEIDRTDSARPELVGNLSASQSCAAADIVVRCSVSGTASNPGGSADVSTSNPSVSSSSSEDLPEKSTGSGGKPPEIPSKVRKKGQKQIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPAIVITTYEGQHCHHGIAFPRGGLICHEAAFAGQLTPPVSQIYHPGVQSHRQMPPSITQLQPHQAPVDVGEPHPEPEPTSQLPTDEGLLGDIVPPGMRGR >KJB50422 pep chromosome:Graimondii2_0_v6:8:44422745:44426129:-1 gene:B456_008G170100 transcript:KJB50422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPHSLGCLVLRFLSVICFFTLKVCSQQSPDLSAMEKLKKSLKIPPSLDWSDPDPCQWAKVECQENRVTRIQIPSKNIGGTLPPELKNLTQLTIFEVMNNQINGPIPSLAGLIQLQEANFHNNNFSSFPSDFFTGLTSLTSIHLDNNPFEPWEIPVSLKEATSLKTFSANNANIKGKFPGFFDPETFPSLTELHLALNKLEGELPAEFSGSMIQSLWVNGQSLNGTIEVIQNMSSLTEVWLHENKFSGPLPDFSMLTQLRNLSLRDNHFTGIVPLSLVNLKSLYIVNLTNNELQGSTPQFADNVIVDMNAGSNRFCLDDPNVACDHRVNVLLSILQSVNYPHNFADEWKGNDPCDNWLGIVCAQGNIVSLLFAKKGLTGTISSNFSMLTSLKTLDLSDNNLTGVIPMELASLPNLALLDVSNNRLYGKIPSFRDNVDVVTAGNHDIGKDKAPTPEARSPGGESAGISTRNGEKKSNKGTVLGSIIGVVGGLSLLGSVICLCAGTRKYTSKVQSLTAVRVHRHHSSDQGVKITVSRSSITAPSETFSKASSGPTDVHMVESGCMAISIQVLKNVTSNFSDENVLGRGGFGIVYKGELHDGTKIAVKRMESGVVSAKGLAEFKSEIAVFSKVRHRHLVANLGYCLDGNERLLVYEYMPQGTLSRHLFNWKDEGLKPLEWTQRLTIALDVARGVEYLHGLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPADGKQSIETRLAGTFGYMAPEYAVTGRVTTKVDVFSFGVILMELISGRKALDETQPEESLHLVTWFRRKYINNDTFQKVIDKTIHLDDGKLTSIRTVTELACHCCAREPYQRPDMSHVVNVLSSLAELWKPETPNSVYGVNLELTLPQAMKKWQEFENSNLDDSSSLLDSAGTTQTSITGLPSGFADSFTSADAR >KJB47949 pep chromosome:Graimondii2_0_v6:8:6720213:6721178:1 gene:B456_008G048700 transcript:KJB47949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAPEELQFLSIPYILSEPISIPQRSPKAFNLITVALIFPLSFAILAHSLFTHPILNQLESHPLADPAQTHHEWTLLLTFQFFYLIFLFAFSLLSTAAVVFTVASLYTSKPVSFSLTISAIPKVFKRLFTTFAWIALLMFVYDASLIAFLFMFFIAVDTQNIVLSFLAFMVILVLFLGVHVYTTALWHLASVVSVLEPIYGLVAMKKSYELLKGRINMAFILVLGYLAICGVIGGIFGSLVVHGGESYGVFWRTVVGGFLVGVLVIVNLVGLLVQSLFYYVCKSYHHQGIDKSALQDHLGGYLGEYVPLGCSIQMENFDA >KJB49423 pep chromosome:Graimondii2_0_v6:8:35396920:35397816:-1 gene:B456_008G118600 transcript:KJB49423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKLKVSAPFIFMMVLVLATGSNGGGISIYWGQNGNEGTLADTCATGNYEYVNIAFLATFGNGQTPMINLAGHCDPYSNGCTGLSSDIKSCQAKGVKVILSIGGGAGSYSLASSDDARQVATYLWNNFLGGTSSSRPLGPAILDGIDFDIEGGTGEYWDELAKYLSGYSKKGKKVYLTAAPQCPFPDAWIGNALKTGLFDYVWVQFYNNPPCQYSTADIANLEDAWKQWTSDIPATNIFLGLPAAPDAAGSGFIPVNDLTSKVLPAIKNSSKYGGVMLWSKYYDDQSGYSSSIKSHV >KJB49425 pep chromosome:Graimondii2_0_v6:8:35445557:35446316:-1 gene:B456_008G118800 transcript:KJB49425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGGDMSSFKHCVKKIGSSVFLILLCVIYIFASVEAQSCKRGKNPPPGQCNLENVSNCCKDGNFYMTYKCSPLMLSSTKAMLTLNNFEAGGDGSGPSECNNQYHSNDYLVVALLTGWFNYGKRCLKYINIHSNGKSVRAKVVDECDSNYEYRLPYFNNIVDSSKAVWKALGGRKSY >KJB49270 pep chromosome:Graimondii2_0_v6:8:34089935:34090782:-1 gene:B456_008G109800 transcript:KJB49270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRLSTGKSWYKHFQYEEGRDKPGDVRNIMLVVATLIASVTFQAGVNPPGGVWQDNDNGHHAGRAIYASQSAAYYVFLISNTFALSASILVIISLTHRFPFHFEIIIATVSMIVTYGSAIFAVTPDESVRFRYVIAAASVPFILRCLIQLFNIVFKKE >KJB50977 pep chromosome:Graimondii2_0_v6:8:48084829:48086989:1 gene:B456_008G196100 transcript:KJB50977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDKTRPLSQNWGNHIPRVNLPPLPPHQRVQIIDQLIGPSLKDEVMKITPVKKQTRAGQRTRFKAFDVVGDGKGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHMPCKVTGKCGSVSIRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTFSRGSTKTLGNFVKATFECLLKGYGFLTPDFWKETHFTRSPFQE >KJB47425 pep chromosome:Graimondii2_0_v6:8:2993673:2994591:-1 gene:B456_008G025700 transcript:KJB47425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFTGSTRLVIMMVASLWGMSIANKDWGSGYNHTNWGWGWGWGPYHPPNQTQGPNKINVGGSENWHFGFNYTEWAFQNGPFYFNDTLVFKYDPPSNNTFPHSVYLLPNLWSFLTCDLRRAKMIASPTQGGGDGFHFVLNRWRPYYFACGERNGFHCKVGRMRFVVVPLFRWNH >KJB51536 pep chromosome:Graimondii2_0_v6:8:50783164:50787023:1 gene:B456_008G221000 transcript:KJB51536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNIWILVICSTLLCLCRSSAIEVDYDPKALIIDGQRKLIFSGAIHYPRSTPVAHGIDFLTHYLIQKAKDGGLDAVETYIFWNAHEPIRRQYNFEGNLDFVKFFKLVQEAGLHGILRLGPYVCAEWNYGGFPVWLNNIEGIELRTDNEIYKNEMRIFVTKIVDMCKEAKLFASQGGPIILAQIENEYGNVMWAYKDKGVAYVNWCAEMAAAQNIGVPWIMCQQQSAPPPIVSFAVFFFFIVFFTCNGFYCHTFKPKNTLIPKMFTENWTGWFKKWGQKDPHRPAEDLAYSVARFFQAGGVLINYYMYHGGTNFGRTSGGPFITTSYDYDAPLDEFGNLNQPKWGHLKQLHSAIKVGERVLTNGTATTKVYGNGVELTTYTNSITGERFCFLSNNNQIEEATVVLEEGNIFVVPAWSVSILGGCNKEIFNTAKVSTQTSIMVKKQMDDETAKLSWMWTPETIKDTLVGQGIFTVRQLLEQKRVTSDVSDYLWYMTSFDVNGTSWKDATLSVKSSGQVLYAYVNGHLIGSQSGYAFQFKNPVSLMPGTNNLTLLSVTVGLQNYGQFFDKGPEGLNGGPVVLTDNKNVTIDLSSNTWKYKIGLNGEAERLHDPKSPHSRLQFSSEFLELFIGMPMVWYKTEFKAPPGTDPVVVDLQGMGKGHAWINGNSIGRFWPARITDSNGCGTECDYRGKYNERKCLSNCGNPSQRWYHVPRSFLNDDNNTLILFEEMGGNPSQVSFQTVTVGSICANVSEGNTLELSCQGGQTISQIKFASFGDPQGKCGSFQKGSCDADLSISYL >KJB48926 pep chromosome:Graimondii2_0_v6:8:24691978:24692346:-1 gene:B456_008G094200 transcript:KJB48926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVAWLREPTGAIAKASLHRAIVTAYGPNLGDLSMTKMKLGGEMPLEPRASWFSPKCVEVQQLTGHLGVKHYFSADSESGTKSREILNTRYDLKITGVEVGQ >KJB50502 pep chromosome:Graimondii2_0_v6:8:45008652:45010374:-1 gene:B456_008G174200 transcript:KJB50502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQPSLISLQWFSILLVCISLFSWTIFAASPDAPLTLDYYKSTCPTVFEIVRKEMECEVNSDPRNAALVLRLHFHDCFVQGCDGSVLLDDTISLQGEKKASANVNSLKGFEIIDRIKNKLESECPGIVSCADILTVAARDAVILVGGPYWDVPVGRKDSKTANYDLVRENIPTANEGLLSIIAKFLYQGLSVTDMVALAGAHTIGMARCENFRARIYGDFGATDSYLRNLKSICPAAISSSGENNVTAMDNVTPNLFDNSFYHTLLRGEGLLSSDQEMYSNVFGIETKKLVRKYADDPLAFFNQFSDSMVKLGNITNSDSFVNGEVRKICRFVNT >KJB50262 pep chromosome:Graimondii2_0_v6:8:42763812:42765027:1 gene:B456_008G161000 transcript:KJB50262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVVRRLNGIPHVPDPHPHPILNPIQCSASTTAVPTNTTTTSKKRFLKENGGPGGTIKYRGVRRRPWGRYAAEIRDPKSKERRWLGTFDTAEEAACAYDCAARALRGMKARTNFVYPATDPHSPNGPFLPPFNFSNPSQLSIGDLNRTRYQFGLSSNRPLFAKPPHSLVHHLPFINGTSSSSLSSSFPTPSVLPATTFFNSSPSSSLWLSDNSTGPFTASTMTLPLKDKNSSVATVVPAPAISTCQADDMGMGLFFPQGPSDSGLLEEIIQGFLPNPTSGNSGLVCSTTSNCTQHSIVSPPTEMSFTGLNLKEIKNESLGFYMDYHPQLESLNGIANSQVVPNSNEIPLGHLQLGQDSMPDDIFQ >KJB50870 pep chromosome:Graimondii2_0_v6:8:47347628:47349337:-1 gene:B456_008G190600 transcript:KJB50870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPGLYFAIGRKARDLLYKDYAQPQPLQIRYQSYDWSFDFSCQIEEVLPGLNTVFRVVVPDSSQAELQYLRDYVGFSAGIGLKANSAHGFDPIANISGVIGSTVVSLGADLGIDITTRTLNKFSAGLSLNSAFLIASMTLSDSCDSVKASVYHPLNPPTMTAIAAELKHRISRDATTLTFGAQHALLPYTLVKARMNTDGKVSAVLRQEIWQRFYVSIAGELDLRDNNSIPRIGLSMAIKH >KJB52795 pep chromosome:Graimondii2_0_v6:8:55531339:55540186:1 gene:B456_008G277600 transcript:KJB52795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORTAGE IN CHIASMATA 1 [Source:Projected from Arabidopsis thaliana (AT5G52290) UniProtKB/Swiss-Prot;Acc:F4KG50] MRTRFLNVDYLSAFQSPAETPSFLNLPPPHFPPHTSNFIDDLLHFDSFLNLPLQTERLPIDAALSNFLSEAIPPFIDVDIRDFEDTRFPSGNASAKFSAEEEAMVCNEKEAGSQRTFGSEIQEEDNVTCGADKDVRRLDVILFETPELDTFLDNAHFSEKEIETFSGISEIDNNKDETGPILQFPDKIQESVYSVEDVISECNREQNIYMLEEDCSFGGRELLQHSIFPILEVDEISLGIMTSHSIDDVLPTAFESIESQLWTQENDLLTDSKELLGSIGNDILKFLSDLCLMEKYPEPELAFPEMFLDVNIICMVETPQADGNSELVMAKQYTGYLFPTNLVIFEEFQIFDVDSSQNFDVFLNRQITHEPEACNHMFKEDLNFKSFSELVVSHELVLVDETFKSLPIHVLSDHGRLRLPCTVIEELLSDLKPLPLSASDGIYLDWYILEDDKCSSKVHALFQNMMEEIDASSIDFEQESFEGRKLVSDFIFSDDALTGSATEQYEEVPNVTFDRVPMLNDNLMAVASNKLQDNGFPKPGNSKQLAEKDDKRASLLFKTMSQFNDLAFFLNPQKSSARENAGPEAMSSNPKAELPNVSSGRSVEACESAGLQSHVNGPDFFLNPQKSSAREDAAPAARSFNRKAESPNGLSGHSVEACAYTGLPLQVNDLDFFLNPQKSSTRDNAGPAAMSFNPRAELPNVSSGHSVEACASTGLLLQQWHIMVYNIKLPDDILALIENFEKCYLAILQNETELISFLGEDRYELLSLPKKKLMDCIKKKMARRNTSHGDEDIMAFVTLCAIKQMAWYMCFYGINAAHLYVDKLCRSLGCINSRLSFLHSLIEDARGKVDKEITTSHPSLCVIRGILQSKTSSSNSKVLILAEQVFWWSLKSLLMSMGLSWNELSSFCTNANPSGAYKMDSQLISDCWLVSQENVSASFPFNKFNVIVEYGGFCGSSRVSSFPPKSVGLTHVHFLKIELDDSSASKALCEGVDIPQIAKKLTEGEFHSILALDNVNYENVEDLLNFVPVVDKHNKGSVGSGKEEEAYSLPLPVAVETNPNPQRLADIVIIVNMQNFDKEMIVSRRSTYQKILAMEKEGAQVVERDSNLPVDVIISSAICLVWYDSRNIGRKAAISDETSSCLQLCIEDIATNILTLLSFTFSGCFLVFEGGIGFLSTVMESSAGLYAAAASLGIDFQLFCSYSSESTDEIILNCIDYAAKTTRGPYPKMPDSETLAESFLTKFPSVNPLTAHAILSSGGMLIEFLQSSHECRIQAVQNYCVPDESIALFSALCKYGEREDSKSVMTDCSSSVSSGRNSDKCHYNVGSQGKQGKRKNSSNKVSTRMDESQHFEPVSKDEFLHPSGLSKQYDSWKSTGSEMFQDYKKLSSSLNDIFDQEQDFDFLPQIPGRYDSDIYEGPNMLKEAKKPKLDVPLKDNIWDYNLGENAGMLNSLDWQNTNSFENQREELTSEVTDFADSPMSGEDFSCFGNSNPFSSLVSEIEEDSARKSKIARRLSFTKGSHTVFPYVSEINIGSDMLSSVTCPRQGLVGTNPNSDASPSNQENSIRDVLAQRSAACKGSLLKNDVSNHSATSLSKAILSNQPQLGSPWTIEFLNRIREKSRLRQQNLPSDTSASPFGRSGNIAKVPKRRRSPSILEFFKYQGGNTPKKILEQRKQKRPPQASSSSKNEKTSSSLTQTSTPTDKRTRQ >KJB49784 pep chromosome:Graimondii2_0_v6:8:38816415:38819884:-1 gene:B456_008G138000 transcript:KJB49784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNNPVNSILNRLRSSSLDQCFDLCKGKFSVKGCPLLHDVPSNVSFRPFSLVCNETESDAPFPLVQRVKALSHKGGFLGFNIDEPSDSVVNSLGRFTDRNFLSIFRFKTWWSTQWVGTSGSDLQMETQWVVLDVPEIKSYVIIIPIIEGGFRSALRPGNDGHVMIFAESGSSHVKSCCFSSIAYVHVSENPFNLMKEAFSAIRVHLNTFKLLEEKSLPSIVDKFGWCTWDAFYLTVEPAGVWQGVKEFADAGVSPRFVIIDDGWQSINNDGDDPNEDAKNLALGGEQMTARLHRFEECDKFRKYKGGSFLGSNALSFNPQKPKMLITKAIEIEHAVKARDKALQSGATDVSEFETKIKKLKQELDDMFEVEGSGLPNGNRESFDCKGDDFGLKAFTRDLRTEFKGLDDIWVWHALCGAWGGVRPGATHLDCKVVPCNVSSGLDGTMPDLAVDKIVEGGIGLVHPNQADDFFDSMHSHLSESGVTGVKVDVIHTLEYVSEEYGGRVNLAKAYYNGLSSSLSKNFKGTGIISSMQQCNDFFFLGTKQISMGRVGDDFWFQDPNGDPNGVFWLQGVHMIHCAYNSLWMGQIIQPDWDMFQSDHVCAKYHAGSRAICGGPVYLSDSLGCHNFDLIKKLVHPDGTIPKCQCFALPTRDCLFVNPLFDNKSLLKLWNFNKYGGVIGAFNCQGAGWDSKVRRIIGYPQCYKPVTGSVHITDIEWDQCMEAAAMGEAEEYVVYLDQAESLLLLTPKSNPIQVTIQPSSFEIFSFVPVKNLGPIAKFAPIGVTDMFNSGGTIQELDYNETGAAAARLKIKGGGNFLAYSNVAPKTCYLNGTEVAPEWCADGKLKLDLSWTKEANGISDVVFVF >KJB49304 pep chromosome:Graimondii2_0_v6:8:34271987:34274763:1 gene:B456_008G111800 transcript:KJB49304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDGMIDVGDRPNIGVLGKYNIVDGLENKFNVCFVENRTHAATDWQAANPLMRCLPNFMLQLTFIIMFTRVFMIILKPIRQPRFVSEVLAGVVLGPSLLTREAWIATYVHPFEGALLLETMGSLGVTFYMFLVGLEMDLTPIRKMGKTASRVAIAGIIFPGCVGMILYQLVRNERPDAPKEGGFFWAIALTVTSFSDLARILSKLKLMSTDLGKMAMTSAVLTDFVSWILLVATVAIVNGHGNFLRIIPTLIFMVICWFVIRPIIFWMIKRINAGREESSMEVQYNEKHVGFILTGVLLCGLVTELCGVHPMFGAFMFGLMIPSSEVGIKIMDKIEDFVVGILLPPVFLVAGLRTNVAFIAAGFRYSLVATVMVVASLIKILSTLLVCLYSKCSFRDSLALGVLMNTKGVIAIIVLHEGRNVKGFDQQTYTWMVIAMLIMTGLVGPVVSFTHKSARHLKHYHRMNLERSKPDTELRVLACLHSSRNLAGLINLLHLSNATRKSPIVVFAVYLVELTGRASAMLIFHDKSNMNDIAGCGNSSRERLEAEHIVHAFESLQNDNRAIAVYPLTAVSPFSTMHEDVRNFAIDKNVAVILLPYHKKPNGIGGWVDESLEHKKVSQHLLANAPCSIAILVDRGLTRHLPLDFEHDSIREIRVAMLFFEGADDREALAYAWRMAGNPGVALTVVRFVPGKDMLETVVDDAEAEAEAEAEANFNDDPEAFSAMFEKDKKKMLDDDYINEFRFRTMHDQSIAYMEKPVNSGDELVSTIKSAYNDFDLYIVGRGYDTKSPLTSGLCDWNEFPEIGLIGDTLISVDSLTSASVLVMQQSAPKATPRPPLVSKNNISCVSKKTVSMDAPRFSNQPFVNHQITHDYL >KJB47073 pep chromosome:Graimondii2_0_v6:8:1102597:1112294:1 gene:B456_008G009600 transcript:KJB47073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGSNDARKGVGLGFGGRKMEEAELEEGEACSYNNNNDDYDATTDPEADLSSLAYIDEKIQHVLGHLQKDFEGGVSAENLGAKFGGYGSFLPTYTRSPSWPHPRSPPIKSCNTSKSPNDMPLEDDHRNSACWPSGSQSQRAGPPPINVGTLPALKALSANDSIKQEVSVTPGDADELAFRCESANKKAANVPDQKTLKVRIKVGSDNLSTQKNAAIYSGLGLDVSPSSSLDGSPSESDGMYRQTQEPLFDSPTSILRFMTSFPLAGEALLSPLPDHLLNLIVKEKPKENTSDSGKGDEKLLGDKKAKSAEKKDFPAERKSSNNREMRNDNSTVSKKEVDIDVLACEELVSKTLKLPLLSDSYSAVAKVKNKGIARNKGVHDVAEESVEPRLTQEIGCESPRAGSAGKVLEEQKTSSWGDSSGCVRKVGYNKADKTYDSVKADSNTLKGSKVLNSESVDPPIQKISPRVTAHEQDNMKVPPAKEHTSSGGKRKLKGSLDAEVAKESLRVGSPLMLNNKQTAHVNSYTNKREMGGKKLDRSFQKAEDMYRDAFGDIGEPEQEENRTSSLEIPSEDRLKIADKIVKNTSATNNGHGDRLSGKQIEGILATKSGPRATVGAHFNSANVNVAGTSLVTAAPVLIKENWVCCDKCQKWRLLPINMNPADLPEKWLCSMLNWLPAMNPAMNRCSVEEDETTDAVGALYHVPAVDGQANPQSNPVNIMSLPPSSDALQPDQNQRSFGSHSVFPAERKKHGLKEISNTIDKDGPTSMKKNVESSVRSGSPTDVTQSPVVGEPALKPVIKCDLPVEKHRNNQKEKHKLPEHSSDGGDTKTSKMKGKRITDQDSLRASKKIKGESSHLAEKDWMLDHAGKGGPSTSNGLPSTSVGKDQPRHSQLFTYKDSKSDKDRQQVSGKRVKDKVLVSLTDGPMDQMNDGVEVSRKRKADACVGSQLCTGSLQSASDLLQDSKVFVKEEFNENDNNREKKARVSKSRRKDSSASKSSGKLEKKGIHEQNQQSGQYLGSLDGTDSLKRDFGSAQPSLVATSSSSKLSCSHKSKPGFHETKGSSVESVSSSPMRIANPDKFPLTRRNVAGKDESCEAGLFVLDSLGRCSDGEDNGGSDKSGTVRKEKTLAAAQHGSLVSSLLDVQDKDGGQLGGSKAKAPIKSSPDTRKGQFMNGGADYLGQEAQFSGKSMTMNETHDEDNQNHNHGNGKVSHPRKSGKGSSWSKDRSCNFKSGFVDVQQDHLPSYEVKPTDGRNQFQERPGVKSDESENRFVENKESLGKLSVEIGKRGNQSNGWSDAKPDATGRQDVMSTVKQNLVQESNGEKYTKRFHSDNSDHAEIASERGNSRSLPPSGGSQNEMLTRYPNTVSGSQKGNGAVRSQADDALKVKKQIKKADYQNGTQNKNSSRHTTSAGRIRDVDAPSPMRKDPSCQAATNTLKEAKDLKHMADRVKNSGSNSESTLLYFQAALKFLYSASLLESCNNESTKHGEMAQSVQIYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSCHANASRDRLELQTALQTAHPGESPASDVDNLNQTTSADKAAVSKGVGSSQAAGTHVISARNRNNFVRLLSFTQDVNYAMEASRKSRATLAAANSSSGGAKSREALSSVKKALDFSFQDVEGVLHLVRVAMEAISH >KJB47074 pep chromosome:Graimondii2_0_v6:8:1102822:1112242:1 gene:B456_008G009600 transcript:KJB47074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGSNDARKGVGLGFGGRKMEEAELEEGEACSYNNNNDDYDATTDPEADLSSLAYIDDHRNSACWPSGSQSQRAGPPPINVGTLPALKALSANDSIKQEVSVTPGDADELAFRCESANKKAANVPDQKTLKVRIKVGSDNLSTQKNAAIYSGLGLDVSPSSSLDGSPSESDGMYRQTQEPLFDSPTSILRFMTSFPLAGEALLSPLPDHLLNLIVKEKPKENTSDSGKGDEKLLGDKKAKSAEKKDFPAERKSSNNREMRNDNSTVSKKEVDIDVLACEELVSKTLKLPLLSDSYSAVAKVKNKGIARNKGVHDVAEESVEPRLTQEIGCESPRAGSAGKVLEEQKTSSWGDSSGCVRKVGYNKADKTYDSVKADSNTLKGSKVLNSESVDPPIQKISPRVTAHEQDNMKVPPAKEHTSSGGKRKLKGSLDAEVAKESLRVGSPLMLNNKQTAHVNSYTNKREMGGKKLDRSFQKAEDMYRDAFGDIGEPEQEENRTSSLEIPSEDRLKIADKIVKNTSATNNGHGDRLSGKQIEGILATKSGPRATVGAHFNSANVNVAGTSLVTAAPVLIKENWVCCDKCQKWRLLPINMNPADLPEKWLCSMLNWLPAMNPAMNRCSVEEDETTDAVGALYHVPAVDGQANPQSNPVNIMSLPPSSDALQPDQNQRSFGSHSVFPAERKKHGLKEISNTIDKDGPTSMKKNVESSVRSGSPTDVTQSPVVGEPALKPVIKCDLPVEKHRNNQKEKHKLPEHSSDGGDTKTSKMKGKRITDQDSLRASKKIKGESSHLAEKDWMLDHAGKGGPSTSNGLPSTSVGKDQPRHSQLFTYKDSKSDKDRQQVSGKRVKDKVLVSLTDGPMDQMNDGVEVSRKRKADACVGSQLCTGSLQSASDLLQDSKVFVKEEFNENDNNREKKARVSKSRRKDSSASKSSGKLEKKGIHEQNQQSGQYLGSLDGTDSLKRDFGSAQPSLVATSSSSKLSCSHKSKPGFHETKGSSVESVSSSPMRIANPDKFPLTRRNVAGKDESCEAGLFVLDSLGRCSDGEDNGGSDKSGTVRKEKTLAAAQHGSLVSSLLDVQDKDGGQLGGSKAKAPIKSSPDTRKGQFMNGGADYLGQEAQFSGKSMTMNETHDEDNQNHNHGNGKVSHPRKSGKGSSWSKDRSCNFKSGFVDVQQDHLPSYEVKPTDGRNQFQERPGVKSDESENRFVENKESLGKLSVEIGKRGNQSNGWSDAKPDATGRQDVMSTVKQNLVQESNGEKYTKRFHSDNSDHAEIASERGNSRSLPPSGGSQNEMLTRYPNTVSGSQKGNGAVRSQADDALKVKKQIKKADYQNGTQNKNSSRHTTSAGRIRDVDAPSPMRKDPSCQAATNTLKEAKDLKHMADRVKNSGSNSESTLLYFQAALKFLYSASLLESCNNESTKHGEMAQSVQIYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSCHANASRDRLELQTALQTAHPGESPASDVDNLNQTTSADKAAVSKGVGSSQAAGTHVISARNRNNFVRLLSFTQDVNYAMEASRKSRATLAAANSSSGGAKSREALSSVKKALDFSFQDVEGVLHLVRVAMEAISH >KJB51792 pep chromosome:Graimondii2_0_v6:8:51795518:51797657:-1 gene:B456_008G231700 transcript:KJB51792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFSSFFDSQSRSQWNYNTLKNFRQISPIVQTHLKKVYMTLCCMLVASAFGAYLHIIWNIGGYLTTFACFGAIIWLHSTPPCQEQKRVSLLMASAVFEGASIGPLIDLAIQIDPSVLVAAFVGTALAFACFSGAAMLARRREYLYLGGLLSSGVSMLLWLHFASSIFGGSTALFKMEIYLGLLVFVGYMVVDTQDIIEKAHLGDLDYVKHALTLFTDFVAVFVRILIIMLKNSAEKGERKKKKRSD >KJB51793 pep chromosome:Graimondii2_0_v6:8:51795612:51797540:-1 gene:B456_008G231700 transcript:KJB51793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFSSFFDSQSRSQWNYNTLKNFRQISPIVQTHLKKVYMTLCCMLVASAFGAYLHIIWNIGGYLTTFACFGAIIWLHSTPPCQEQKRVSLLMASAVFEGASIGPLIDLAIQIDPSVLVAAFVGTALAFACFSGAAMLARRREYLYLGGLLSSGVSMLLWLHFASSIFGGSTALFKMEVSAC >KJB47293 pep chromosome:Graimondii2_0_v6:8:2253905:2254598:-1 gene:B456_008G019600 transcript:KJB47293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEGCKTNKRGKLMKTVRLPIRILCKARDMYIKNMVDCSGRLGYGEGVVCHSPPEAPRLPKSFSVGSSVANNGEEFRQFLRVTAKHGIDGKVEQKMQREQHSRVNGRTMGTAAIMGRRSYSVGIGKIGRIDEDKPCFFEEDEISAMADLMYPRRRNLIVHL >KJB50814 pep chromosome:Graimondii2_0_v6:8:46962622:46966002:1 gene:B456_008G188000 transcript:KJB50814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPQQDSSNITTHNTPAPIMLTTSRMTENFISVHAQPSASSSTNVVPCSPQHPIPSQQDTLTPLPFSVSCQSLRPVTLKFEEVAYTIHSSVTSASCFSSHKPKQRTNVLNGVSGVVRPGELLAMLGPSGSGKTTLLTALGGRLTGKLSGTITYNGRPFSSSMKRKTGFVSQDDVLYPHLTVLETLAYAALLKLPKKLTSQEKIDQAELIIGELGLAGCRNNVVGGPLLRGVSGGERKRVSVGLEMLVNPSLLMLDEPTSGLDSTTAHRIMVTLRGLARGGRTVITTIHQPSSGLYRMFDKVLVLSDGYPIYCGQADQAMDYFSSIGFSPSFKFVNPADFLLDLANGIAPDVKPEEQLEFHGRVDNPDDHKSTKQYLISSYKKNIYPILKADINQNLQDSTFSTVTPSKSRGGEVEWNSSWWEQFKVLVRRGVQERKHESYSGLRIFQVMSVSILSGFLWWHSDTSHIQDQVGLLFFFSIFWGFFPLFNAIFAFPQERPMLIKEGSSGMYRLSSYYVARTTGDLPMELVLPTIFVTVTYWMGGLKPSLVTFVLTLLIVHLNVLVSQGLGLALGAILMDVKQATTLASVTMLVFLLVGGYYIHHMPAFIAWLKYISFSHYCYKLLVGVQYSVNEVYECEIGRRCKVMDFPAIKYLGLDNNNRWWDVAALAIMLAGYRLLAYVGLRVYGKPH >KJB47940 pep chromosome:Graimondii2_0_v6:8:6575507:6578349:-1 gene:B456_008G047700 transcript:KJB47940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLECERKSESELSSSSECSMTSESRSSFSRLSFELRSSPENLSLKPHRSSDLAYSAIRSATFARKTGLTSRDFQLIRRIGSGDIGTVYLCQLANADEKCCYAMKVVDKEALAMKNKVQRAEMEKKILKMLDHPFLPTLYAEFEASHFSCIVMEYCSGGDLHSLRHKHPQKRFSLSSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSDAIAAVESPSSSPDPTPPQNHRSSRPPTTPLSCLFHRFFRSKKIQTLAPNNLFFVAEPVTARSRSFVGTHEYISPEVAAGGSHGNAVDWWSLGIFIYEMIYGGTPFAAPSNEVTLRNIVKRPLTFPTHSPASLLEHHARDLISGLLNKDPNTRLGSKRGAADVKTQPFFKGLNFALIRSLTPPEIPGFRRPPTTTSFHHPEIEEQSNALDYF >KJB48640 pep chromosome:Graimondii2_0_v6:8:15394666:15396498:1 gene:B456_008G079600 transcript:KJB48640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATASTAIKILSNGALPLWAGVLITASDCFIFLFLENYGVRKLEAFFAVLIATMAVSFAWMFGETKPSGTELLHVLQFTDAQMAVGVVGCIIMPHNVFLHSALVQSREIDRNKKGQVQEALNYYSIESTAALIISFIINLFVTTVFAKAFYDTEVATGVGLGNAGQYLEEKYGGGILPILYIWAIGLLAAGQSSTITGTYAGQFIMGGFLKLKLKKWVRALITRSCAIIPTIIVALSFQIPFALIPLLCLVSKEQIMGAFRIGHVLKTVAWIVAALVIVINGYLLLNFFSSEVSGQMFTSIVSAFTAHILHL >KJB51343 pep chromosome:Graimondii2_0_v6:8:49905540:49907221:-1 gene:B456_008G212500 transcript:KJB51343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRIESSEVYVAAMCVSILLFAPVGVSQPIPADKSQVNAWFNGIIKPVKERGNTLDPELVEAETEPRIIKVMQGGGGEFDTITKAIESVPSGNTKRVIISIGPGSYKEKIRIERNKPFITFLGDPKSMPNLTFDGTAKQYGTVDSATLITECSYFVGANLNIVNTAPKPDGKMVGAQAVALRVSGDRSAFYNCKIIGFQDTLCDDKGNHFFKDCHIRGTVDFIFGSGKSLYLNTKIFVEGDPGLTVITAQARESSSEDTGYSFVHGSISGTAKNAFLGRAWKSSPRVVYAYTEMGNVVNPAGWSHNLQPERAKTVYYGEYKCTGQGANPKTREPFVKQLPDAEAQPFLVLDYVEATKWLLPSPTVPN >KJB48866 pep chromosome:Graimondii2_0_v6:8:21513901:21515893:1 gene:B456_008G090700 transcript:KJB48866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEKCWFCSSTVYPGHGIKFVRNDAKIFRFCRSKCHKNFKMKRNPCKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNLAENTLKAIKKIDKIRSDRASDHIKNRLKTGKVQRQKEARKQLELGIHLVKASLALAQDSSLCLPKIKVNVSQAQTEENQPMEE >KJB48865 pep chromosome:Graimondii2_0_v6:8:21512626:21516110:1 gene:B456_008G090700 transcript:KJB48865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSVGPNFYTPFLSLFDQLVLGNCVLLVNLRRMRLEKCWFCSSTVYPGHGIKFVRNDAKIFRFCRSKCHKNFKMKRNPCKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNLAENTLKAIKKIDKIRSDRASDHIKNRLKTGKVQRQKEARKQLELGIHLVKASLALAQDSSLCLPKIKVNVSQAQTEENQPMEE >KJB52944 pep chromosome:Graimondii2_0_v6:8:56035884:56039116:1 gene:B456_008G284600 transcript:KJB52944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFYDKVAVLAGDGLAAFTITEVAATLTVATLLIIYFSRLVKKHVIKTKLSPPGPVGLPILGHLLFIKPDFLQYVTKQSQIHGRIIKLQLGRKVYIIISSPSIAKQILKDHDAIFANRDIPVAAIKGTFGGLDIVWRSNGPELQKLRKLVVREIMSNKGLDACYEFRRREIRQMVKNIHGKIGSPINLSEQIFLTTLSVTISMLWGGSLNGEEAKLGLEIKDRLEEFMKLMGEPNISDIFPMLRPFNLQGIESKTKKHLSWFYGFLESVIKQRMKLGEGPKMADSKDFLQQLLELNQRGDAKTSLSMMEIKALLLDMVIGGTDTTFATMEWAMTELLRHPDKLRKVIEELDAIIGDQNVVEESNLPRLLYLDAVVKETLRIHPPVPLLVPHMPSETTVIAGYTIPKNSCIFFNVRAIQRDAEFWEDPLRFEPERFLKDTEKRNYTGNSFHFFPFGSGRRICVGIPLAEKIIMQILATLLHCFDWELPNGRQPDVKEKLRFVLTKAEPLVVVPIARLSNSIQNQ >KJB52035 pep chromosome:Graimondii2_0_v6:8:52847645:52851867:-1 gene:B456_008G243100 transcript:KJB52035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVGILAMDIYFPPTFVQQETLEAHDGVSKGKYTIGLGQDCLAFCTEVEDVISMSLTVVTSLLEKYKIDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEKCGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGIVVCTDSAVYAEGPARPTGGAAAIAMLIGPDAPIAFESKFRGSHMSHAYDFYKPNLASEYPVVDGKLSQTCYLMALDTCYKYFCHKYEKQEGKQFSLSDADYFVFHSPYNKLVQKSFARLVFNDFVRNASSVDDIAKEKLAPFSTLTGDESYQSRDLEKASQQVSKPLYDAKVQPTTLIPKQVGNMYTASLYAAFASLLHSKNSELAGKRVILFSYGSGLTATMFSLRLHEGQHPFSLSNIATVMNIAGKLKSRHEFAPEKFVETMHLMEHRYGAKDFVTSKDCSLLSPGTYYLTEVDSKYRRFYAKKDGNCTGNENGSVVNGH >KJB46799 pep chromosome:Graimondii2_0_v6:8:23625440:23625541:1 gene:B456_008G093900 transcript:KJB46799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVYTFLLVSTLRIIFFAIFFREPPKILTKK >KJB51856 pep chromosome:Graimondii2_0_v6:8:52100244:52101600:1 gene:B456_008G234800 transcript:KJB51856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLFGYCSFLSFVLLFLCFFPRFCFPARITPGQVTVITIDDQNATWYNFTRFKDAEKGCHISGMSELKKYFQRFGYLSIPNNQNDNFTDVFDAQFESAVILYQQKFGLPVTGKLDSETISTIMSPRCGVSDTESTIHATKHFAYFYGRPRWDRGSSMTLTYAFSPTDMIDYISLPEIKTVFKRSFSRWASVIPVNFTEIDDYPSANIKIGFFKGDHGDGQPFDGVLGVLAHAFSPENGRFHLDEDETWAIDFEKVKSKTAIDLESVATHEIGHILGLAHSSIKEAVMYPSLKPRSKKVNLKLDDVEGVQALYGSNPNFKYSSLLAYENSYNKAINLNQRSCSWTFSIVVVVFFILFMIT >KJB50363 pep chromosome:Graimondii2_0_v6:8:43603550:43606631:1 gene:B456_008G166100 transcript:KJB50363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTLLFRHFVSLLIVGFCCLSSVPVLAQNGPLTDHVKLISGGVNLGAWNNEISELPQAPAPTTYESPSTLVLAAPRTNRPDLLRHFKHFHGGWNITNRHYWASVGFTGAVGFIFAALWFGLDAFFFHGEVLHTLKYVVTQSDYTVQILNNVTQYLSLAKTINVVELFLPSNVITDTDKLNIDLNAAADTLTENTDENAVKIRRVFNAIGIDLRGSSDANFGPAWSFVVCSRAPTCHSHIHSERLLVSITFILCGVFVILNNVISDTCLAMEEWVENSHAETALSYILPCVDQRTTNHTLTQSKQVINSLVKVVNTYIYTFANSNPSPDDNRYYNQSGPSMPHLCSPFDSQLQDRQCGSNEVSMTNASL >KJB51794 pep chromosome:Graimondii2_0_v6:8:51801683:51804437:1 gene:B456_008G231800 transcript:KJB51794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDSNTRRRTPPGIFLIKSVRGKDWTLKSYRYIILLLTFIAYASYHASRKPSSIVKSVFYPESLKNSKVNPWPVGNVFIKEEEFVSFDRNRVESQGWYPFNQSDGTSKLGEIDVAFLACYSSGMYVAGHLGDTLDLRLFLTTGMIGSGIFVGLFGMGYFWNIHVFWFYLSMQMVAGLFQATGWPSVVAVVGNWFGKRKRGLIMGIWNAHTSVGNISGSLLAAAVLDYGWGWSFIVPGALIASAGVLVYLFLPAYPEDIGFCGVTDSSPNLESLSQAEEDRTQKGSVVKVEKSSGIKEGSGSRTGIGLFEACFIPGVIPFALCLFFSKLVAYTFLYWLPFYLSQTEIGGEYMSVKSAGNLSTLFDVGGIAGGILAGYISDKLNARATTAATFMLAAIPSMLLYRTYGSISRTINILLMVVAGLFVNGPYALITTAVSADLGTHSSLRGDSRALATVTAIIDGTGSVGAALGPLITGFLSSKGWDVVFIMLMVGALIASLLLSHLVIAEFTERSHKSVLSSHGQQTDEATGSQPLLRNQR >KJB51686 pep chromosome:Graimondii2_0_v6:8:51459088:51460580:-1 gene:B456_008G228400 transcript:KJB51686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKVVSRGFAGSLPVDSVQALASKNLKNIPSRYIRPEVEFIVSIDESQQFPVIDMSKLDHDDEQKKLHQACKDWGFFQLINHGIADELIEKMKIDTQEFFKLPLEEKMAYAQLPNEIEGYGQTLVRSADQKLDWNDMLFLFPLPVPLRNIRFWPTNPPSFRETFDKYSTELHKVTIYLINRIAKNLGTDPEMLSSFFEDGAQAIRTNYYPPCAEASKVLGASPHSDATGLTLLLQVNEVEGLQIKKDEKWVPVKPIPGALIVNIGDIIEIMSNGEYKSIEHRVVVNQAKERLSLAAFHSPKAGTEIGPLADLVRTNKAQYKTMSLEEFLRLRLSRKVQGKHLLNQMKL >KJB48033 pep chromosome:Graimondii2_0_v6:8:7143221:7143661:1 gene:B456_008G050800 transcript:KJB48033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYSVFILFFLHFLSIQTIIRTTKSTHRNVVLHIINSFQDDSGRRCRFAGHCHETKRKYTEKKLSINRETEI >KJB51201 pep chromosome:Graimondii2_0_v6:8:49113539:49115655:-1 gene:B456_008G206100 transcript:KJB51201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTTEQTLEWETLARAWVNAFPEAKPLNISQVELWINSNFGSLPPDLQSMPRSELIARLLSIQNHLRLPSHSQIPVYSWFESLDTDEVVKSKDISDWLNENLQVKDQLCSRHSKYHLMHYIKKCHLKIIKRREKKVSLQPSNNGTTLKVQKDFAKKPAALLSNPLNNIPKDSDLYIAKRNEALRKYEI >KJB50197 pep chromosome:Graimondii2_0_v6:8:42351306:42355461:1 gene:B456_008G158500 transcript:KJB50197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASRISSLLSRSLLSKGRICGVGRSVVCSYTTAAALEKPITPSINVNYTKLLINGNFVDSASGKTFPTYDPRTGDVIAHVAEGDAEDINRAVSAARKAFDEGPWPKMTAYERSRVLFRFADLIDQHTEELATLETWDNGKPYEQAAKIELPMISRLIRYYAGWADKIHGLTVPADSPHLVQTIHEPIGVAGQIIPWNFPVLMFAWKVGPALACGNTVVIKTAEQTPLSALYAAKLLHEAGLPPGVLNVVSGFGPTAGAALASHMQVDKLAFTGSTETGKIVLELAAKSNLKPVTLELGGKSPFIVCKDADVDKAVELAHFALFFNQGQCCCAGSRTYVHESVYDEFLEKAKARALKRSVGDPFVAGIEQGPQIDSEQFEKIMRYIRSGVESGATLETGGERIGNKGFYIQPTVFSNVKEDMLIAKDEIFGPVQSILKFKDINEAIRRANTTSYGLAAGVFTQDIDTANTLTRALKVGTVWINCYDVFDAAIPFGGYKMSGQGREKGIHCLSNYLQVKAVVTPLKDPAWI >KJB50198 pep chromosome:Graimondii2_0_v6:8:42352092:42355461:1 gene:B456_008G158500 transcript:KJB50198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQTQPPLMTLGSQDLQVLHLNQSHGKTFPTYDPRTGDVIAHVAEGDAEDINRAVSAARKAFDEGPWPKMTAYERSRVLFRFADLIDQHTEELATLETWDNGKPYEQAAKIELPMISRLIRYYAGWADKIHGLTVPADSPHLVQTIHEPIGVAGQIIPWNFPVLMFAWKVGPALACGNTVVIKTAEQTPLSALYAAKLLHEAGLPPGVLNVVSGFGPTAGAALASHMQVDKLAFTGSTETGKIVLELAAKSNLKPVTLELGGKSPFIVCKDADVDKAVELAHFALFFNQGQCCCAGSRTYVHESVYDEFLEKAKARALKRSVGDPFVAGIEQGPQIDSEQFEKIMRYIRSGVESGATLETGGERIGNKGFYIQPTVFSNVKEDMLIAKDEIFGPVQSILKFKDINEAIRRANTTSYGLAAGVFTQDIDTANTLTRALKVGTVWINCYDVFDAAIPFGGYKMSGQGREKGIHCLSNYLQVKAVVTPLKDPAWI >KJB49176 pep chromosome:Graimondii2_0_v6:8:32684644:32685603:1 gene:B456_008G104900 transcript:KJB49176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDINELLERLNFSEEKSIRVISTNKNEANTHGYEAWAVGKIMAKEKINREAMYRVLKSLWFTKEEISFVALNGGVILVKFGNIEDRTRILNLMPWLFGQCLFAMLPFIKGQEIDAYEFNITPLWIRIYNIPLEHMVRQVAIDVGKAIGEVVANGGWTEFIRLRVKVDVLRPLQRVVHLVGREGTETISAINYERLPTFCYFYGLIGHTIQKCTKKNEQIETNNLNFQHGSWFKAQIGGFTQNRGNWRNGIEILDKPTNSNEANNESKTRTREENEILTQKGKARDGDEGSESNSPLEKRLSKSACEGGVEYNVKEKD >KJB51884 pep chromosome:Graimondii2_0_v6:8:52184689:52185527:1 gene:B456_008G236300 transcript:KJB51884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFYRGWCASEISAVSDGVVGAACFWKSHVPTPLEVEAWACVLEVIRFAMGIGFRRVEFEGDSLLVITKIKSTISDRSDINMAFTDSVILGGSRMVPSPSTVWMGDFSTIMMVEGTDTLDLGDWQRHD >KJB48969 pep chromosome:Graimondii2_0_v6:8:26147079:26148793:-1 gene:B456_008G095800 transcript:KJB48969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITIFQADKMLQPYAIKGKKLKNRGEKYDKEEQVEEGEEKEMAKRAAIENPTEEVENKKNRVIFVLENASLEVAKVGKLIYLVGCKLLRSFQLLNSDDHANFLRKNNNNPADYRPDITHQALLSILDSPINKAGRLQAVYVRIEKGVLFEVKPHVRIPRTYNAIDTKSKYNCCWQAWKVLQVIKNHVTNYFLVNSHKIGFSYSSDKLVKMRKYVDAVGDDVNLVFVVSAMVHGKIELDYIDDFIAIPGYPLSATMCIARITKALADKWSIL >KJB53068 pep chromosome:Graimondii2_0_v6:8:56586386:56587227:-1 gene:B456_008G291500 transcript:KJB53068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGLIWATAEDLARNRGKVISLYRQILRSLNSPKLELNLAARLAKKAEARTIFMLGSEERSLHNIEDLIDAAEYSLSLLKQGKIPKHIQ >KJB50354 pep chromosome:Graimondii2_0_v6:8:43510889:43511368:1 gene:B456_008G165700 transcript:KJB50354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDWGPVFVAMVLFVLLTPGLLFQVPGHHRCVEFGNFKTSGASVLIHSLLYFGLICVFLLAVKVHLYLG >KJB48393 pep chromosome:Graimondii2_0_v6:8:10923225:10926922:-1 gene:B456_008G067000 transcript:KJB48393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLSQSSKASKRHSSTPMKQRRSGYEPSDTETEWQDHHNRKNRTSMLVEADNMVFNLPRNTSPLRLNRKVPGTSPLPRRHNSRSPYKTRRDDGRNVSPLSKSKHENQRHVSPYKPGREDHNLITEMGNDNTSGLFRKQSRRTPKREGRATIGQFLEAGKGRDYSRRSVTAPRQRGDRRTPSPISRSMLHKQRTVGEINEMVANAMISRSPMYNACMFESTESISPGDIFFSRDAGASPMQNNVLPNNGSFGNHVLPKPPMFEQKDFSSHQRMRANGNVDPKARGFSSSAGLKLSTQNSEISDSSGRRSSVNSGKFTANRLKGQSETWFACVMRRGPCRTSKKSSEKQDFDEASFIGKAIVVEKLRQFWADKYQPASLDGFTCHKKEAQLLKQLVSYESCLHILFKGPSGSGKRALTMAFLREIYGDPSWNEKRPMQVIVPLVSSAHHVELNVNLGNAKYALMGLVKEISSYYAITPETSTASFKTNHKVIVLHDVDKAPDNIHHLIKWIMDCHSDSCKFILCCEDDIDILDSVTNRCKVIKVDTPVTHEIMEVLIQIARKEEFDLSMNFASKIASKSKQNLRKAIMALEACKAHNYPFADDQPIPLEWEEVLTELASEILADPAHRRLCIVRGKLQKLLVDFVHPKLILQKLVEQFLNHVEAGLKRELYYWHAYYDKRLPTGTSALLKLEEFVAKFMGIYRKSWYNCQRV >KJB49519 pep chromosome:Graimondii2_0_v6:8:36175499:36179541:-1 gene:B456_008G123700 transcript:KJB49519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWYLVASLLTILTSSQGILTTLSQTNGKYKYDYATIPFLAEVFKLIVSSVFLWRERKKSPPPKMTTDWKSVRLFPIPSVIYLIHNNVQFATLMYVDTSTYQIMGNLKIVTTGILFRLFLKKKLSNLQWMAIVLLAVGTTTSQVKGCGEASCDSLFSAPIQGYMLGILSACLSALAGVYTEFLMKKNNDSLYWQNIQLYTFGSIFNMARLVVDDFRGGFEKGPWWQRLFNGYSITTWLVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSVFLFSFKPTLQLFLGIIVCMMSLHMYFAPPHMLVDIPPAINSDPESLVNVPVDRKTDS >KJB49520 pep chromosome:Graimondii2_0_v6:8:36175759:36179514:-1 gene:B456_008G123700 transcript:KJB49520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDWKSVRLFPIPSVIYLIHNNVQFATLMYVDTSTYQIMGNLKIVTTGILFRLFLKKKLSNLQWMAIVLLAVGTTTSQVKGCGEASCDSLFSAPIQGYMLGILSACLSALAGVYTEFLMKKNNDSLYWQNIQLYTFGSIFNMARLVVDDFRGGFEKGPWWQRLFNGYSITTWLVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSVFLFSFKPTLQLFLGIIVCMMSLHMYFAPPHMLVDIPPAINSDPESLVNVPVDRKTDS >KJB52792 pep chromosome:Graimondii2_0_v6:8:55514399:55516079:1 gene:B456_008G277200 transcript:KJB52792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 31 [Source:Projected from Arabidopsis thaliana (AT3G48970) UniProtKB/Swiss-Prot;Acc:Q84K70] MSAMLEVRVPNLDCEGCASKLKNALLKLKGVEEIEVEMEIQKITVRGYGLEEKKVLKAIKRAGKAAEPWPFPGYSHYASFYKYPTYIVNHYYDYHKNEASNGVHTFFQTPAVYSVAVASDEAVASLFSDDNPHACSIM >KJB52892 pep chromosome:Graimondii2_0_v6:8:55865929:55867396:-1 gene:B456_008G282300 transcript:KJB52892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELFLFQFPSIPNPQNETPQDKVLDYVVPMDGSGNTHLYSSMGSGATGNDDDDDNGEKKKLMHRDVERQRRQEMATLYASLRNLLPLEYTKGKRAISDHMNGTVMYIKYLQKRVSELSYKRDELKKVLNSTGFDHGMSSDGAVLSTAVVHQSLDGGVEVVISTGFGARALTLSRVLELLVQEGLDVVSCVSTRINGGLAHTIQSEVTDLTLVDVPRLEQKLSEEISSLNRIS >KJB52221 pep chromosome:Graimondii2_0_v6:8:57125022:57128522:1 gene:B456_008G299000 transcript:KJB52221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSSIWVPRPSFLLFASIVDYNCSLQGILILELACSELGQIGTDHNASTYQRQLKMVTENPNPFQIDFGNLLAFNPSHNFVSLPTSRFAPFLP >KJB51967 pep chromosome:Graimondii2_0_v6:8:52707698:52709702:-1 gene:B456_008G240900 transcript:KJB51967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEQNEGTSFPPSEPKLCANGCGFFGTAANMNLCSKCYRDIRAGEEQAAKAKAAMEKSLSVNTKQEDVVDETVKPVLELPHVGSSSTVVEKQPAAIVSDDKPAEPKAANRCFICRKKVGLTGFKCKCGSTFCGEHRYAEKHDCSFDFKGTGRDAIAKANPVVKADKVERI >KJB46972 pep chromosome:Graimondii2_0_v6:8:528160:532401:1 gene:B456_008G003200 transcript:KJB46972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGNSKKSKLSWPKTLVKKWFNIKNKAEDFHADEVDYGGVDEDWEHNFSDREACTIKKSKTERLSKRHSDRVRRSKIDPDVSQFTDVHNYKIFVATWNVAGKSPPSYLNLEDWLPTSPPADIYVLGFQEIVPLNAGNVLGTEDNEPARKWLALIRKTLNSLPGTSGGFHTPSPIPDPLVELDADFEGSTRQEASSFFHRRSFQSLSRSMRMVNDMAMPQPRLDRRFSVCDRVIFGHRPSDYDPNFRWGSSDDENGPGDSPSNAQYTEYSPMSYGGSFAFEGSNRHMAHSRYCLVASKQMVGIFLTVWVKSDLRDYVRNLKVSCVGRGLMGYLGNKGSISISMFLHRTSFCFVCSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGMGYDNSPQTILEHDRIIWLGDLNYRIALSYRCAKALVEMRNWKSLLENDQLRIEQRHGRVFEGWNEGKIHFPPTYKFSNNSDRYAGEDRRPKKKRRTPAWCDRILWYGRGLYQMSYVRGESKFSDHRPVYSVFSAEVESTSRNRIRKSMSCSSARVEVEELLQVSHGYTELSFF >KJB53049 pep chromosome:Graimondii2_0_v6:8:56510896:56512019:1 gene:B456_008G290400 transcript:KJB53049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPASDMLPPPSSPTISSVSSSDLDTESTGSFFHDRSTTLGTLMGVSFPAITFRAPSRHNHRETQPASPGSSTAKPKKRRALTAAFGSERSGRRRRKWWQICRDGDSKPASLGEFLEVERRFGDGAFYGATAELEGVMGTGHDENHEARNGRSLFADGRVLPPPSAAAAPPAGDDDDEKSTALCRFPVSLTGICSGGVG >KJB51537 pep chromosome:Graimondii2_0_v6:8:50788450:50789278:1 gene:B456_008G221100 transcript:KJB51537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSGYIEDEDNKQSSTSSALSFNAVLDPSNPLGFLESAFNFVSENSDMFNTDSVEKKISSLVKNIKERTMKEEAAKKEHETKEKEEIKEKPKPKLNPNKDNGLDMENHSWGQNLQEVTVTVPVPLGTKSRDVVCDVKKDYLKVGLKGQTPIVDGQLFETVKPNDSYWSLEDQKVISLLMTKCDKISWWKSLLKGGPEIDTQKAEPGKSKLSDLDMETRSTVEKMMFDQRQKKLGLPTSQEIENQNMLKQFMAQNPNFDITNAKMF >KJB47811 pep chromosome:Graimondii2_0_v6:8:5698995:5704905:-1 gene:B456_008G042600 transcript:KJB47811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDTLKDAFDRVVKRQKLSSFRSQEIVDQVGHEIEQALAKIKAINDPLSPVHLKSILSELKLKLNTVGPLNLLEGLQKERNINLSKYPKLLEKSFSPDISKAYRNVDFDFHLVNQIVANHFYQQGLFDLGDCLIDEAGEPEAIAIRSHFLEMYQVLEALKVKNLGPALNWITLNREKLNQNGSMLELKLYRLQFVEIVKKGTKADALSYARTHLAPFASLHMNEFQKLVVCIIWVGKLDSCPHAELMAPTHWEEFTQEIIKQFCSLVGQSYWSPLSVAIAAGIEGLPTLLKLANVMAAKRQEWQEMKQLPVPVELGKELQFHSIFVCPVSRDQGSEENPPMLMPCQHVLCNQSIMKLSKNTSRTFKCPYCPTEASAAQCRQLHF >KJB53163 pep chromosome:Graimondii2_0_v6:8:56893345:56894808:1 gene:B456_008G295800 transcript:KJB53163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDPKLRENMSPQHQHHPMMLSENNILKPLQTTTPLSSSSSKDYYLQDFHHLDDDDDDQIQVNVSTSSWNPVFGVNAACYDPFDAFPYGCSTNRVDFYECKPFAADHNTGNLGHGHVMGNFQSSVGLLNLRSTTSNDVIMMGSDVGYLPSFEFPNLGDEVPCVTAENGYRLLVDSTSSRIRTNWKGRKKTNGVKGQWTMEEDRMLIQLVEQYGVRKWSHIAQMLPGRIGKQCRERWHNHLRPDIKKDTWSEEEDKVLIQAHREIGNKWAEIAKRLPGRTENSIKNHWNATKRRQFSKRKCRSKYPRGSILQEYIKSLNLDSTAAAAARSHEKASDGAAVKAADNKSSSIKQPQGLDIGPSTDRLVPEYECDEVHEFSFDEKLLQESCSIELLLDQIPSTAAMADEKSFQMGDMGAVKKEMDLVEMISAHPNI >KJB48968 pep chromosome:Graimondii2_0_v6:8:25938342:25941546:-1 gene:B456_008G095700 transcript:KJB48968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAKNSKLGTPMKDTERNSRSKTPKGSKFSENSNPNISGSTSPITKSSKSQKYSSKNPVIYSQSNKLMERKFVVAKKNSKKVRSNSNPTTVGINCKCKEKLGGNSKKCLCVAYENLRASQEEFFRNKAETEAEEEGKETRDLIENLREGYGSDNQEIENLSQPGSSTIKRRRDKLMEEARNSVPECGKVLHLVKAFERLLSIPDSKESDKEEDDEKEPKEDKNDDDNNRQKPLKWALPGLQTINLTEVDAENEDETKDSSSSSSSSSPSFCPSDFILTAENLGLDPCFSVSSSWDSSQGSISSRTSNGGRRSRRNSSESVGTIGGRRWKKQLKPTSLKPFKLRTEQRGKAKEEEFMKKIQEMMVEQEKQRIPIAQGLPWTTNEPEILIKPPVKVNTRPVDLRLHSDVRAVERAEFDHQVAEKMSLVGQYKMERERQQKMAEEEEIKMLRKELIPKAQPMPYFDRPFIPKRSSKNPTIPREPKFHIPQHKKVKCFVSWNDMSPYTFQGNEAREI >KJB49246 pep chromosome:Graimondii2_0_v6:8:33862466:33863116:1 gene:B456_008G108600 transcript:KJB49246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGESVDIGLLESIGRYLLEDDFETQCPATDDTINVDQWITFDQFLDDFAVAGELNVSFPTREVNADSEVVETKPHALPKKAHYKGVRRRPWGTYAAEIRDPKRKGARIWLGTYETPEDAALAYDRAAFEMRGAKAKLNFPHLVGSDQVEPVRVSNNKRRSPEPASSSAWLSSASSTSDNGTPTSKRRMNEINSVVKSEFELNMY >KJB50148 pep chromosome:Graimondii2_0_v6:8:41645802:41645855:-1 gene:B456_008G1558002 transcript:KJB50148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CDITPTSFQPNRASDNL >KJB51346 pep chromosome:Graimondii2_0_v6:8:49948380:49950171:1 gene:B456_008G212700 transcript:KJB51346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKRIEGNEVYALAMCASILLFAPIVVSQPIPADKSQVEAWFNGIIKPVKERGTTLDPELVQAETEPRIIKVMQGGGGEFDTITKAIESVPSGNAKRVIISIGPGSYKEKIRIERNKPFITLLGDPKNMPNLTFDGTAKQYGTVDSATLITESNYFVGANLNIVNSAPRPDGKMVGAQAVALRVSGDRSAFYNCKIIGFQDTLCDDRGNHFFKDCHIRGTVDFIFGSGTSLYLNTEIFVVGDPEGDPEMAIITAQARESSSEDTGYSFVHGRITGTAKDVFLGRAWKSSPRVVYSYTEMDEIVHPGGWSSNRQPERADTVYYGEYKCTGKGATPATREKFVKQLSDAEAKPFLVLDYVEGTKWLLPPPTVPK >KJB51345 pep chromosome:Graimondii2_0_v6:8:49948450:49949580:1 gene:B456_008G212700 transcript:KJB51345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKRIEGNEVYALAMCASILLFAPIVVSQPIPADKSQVEAWFNGIIKPVKERGTTLDPELVQAETEPRIIKVMQGGGGEFDTITKAIESVPSGNAKRVIISIGPGSYKEKIRIERNKPFITLLGDPKNMPNLTFDGTAKQYGTVDSATLITESNYFVGANLNIVNSAPRPDGKMVGAQAVALRVSGDRSAFYNCKIIGFQDTLCDDRGNHFFKDCHIRGTVDFIFGSGTSLYLNTEIFVVGDPEGDPEMAIITAQARESSSEDTGYSFVHGRITGTAKDVFLGRAWKSSPRVVYSYTEMDEIVHPGGWSSNRQPERAE >KJB48895 pep chromosome:Graimondii2_0_v6:8:23280420:23281961:-1 gene:B456_008G092500 transcript:KJB48895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHYQSDYHQPLKGEAIAGLFLLLFPVLLPCLFTPLTYASPSTFSDSTPLSPFFPDPSSSAMGTTDANISSVMSSLMSFPSIHNQLTIKLTASNYLLWKTQFTPIFHGNQLYCHVDGTASPTKEVNGAPNPAYQAWYIKDQLVLTWIFGSLSEPVLSQVVGSTTAIDAWTKLQTTYASGSRVQIRSLKNSLHSLSRDTDSIVTYMDRAKRIYDQLLALNQPISEDDLVDHILRGLGPEYRPFTRNIEARLVSVKFDDLYGLLLSKESQLQS >KJB48247 pep chromosome:Graimondii2_0_v6:8:9494535:9495661:1 gene:B456_008G060000 transcript:KJB48247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIYEEKDPKSNEDVTEGAKVKIEVVTNRMRRLPETTKRRPKKEWRGNFRNCIRTERQYRRKKNKWCEEDKTIPKDCGSETHTRLLGFNSFYAIDQDWINIKLYITE >KJB47078 pep chromosome:Graimondii2_0_v6:8:1162478:1166650:-1 gene:B456_008G009900 transcript:KJB47078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTDDFVQEEPIEQTHHNDDDSEDVESADTHHPKTDPSLHKLHRFDSMDLESTKVPSHHIRASQAVEWSVILQLAFQSIGVVYGDIGTSPLYVYASTFADGIKNSDDILGVLSLIFYTITLIPLIKYVFIVLRANDNGEGGTFALYSLICRYARVGLIPSQQAEDRDVSNFQLELPSNRLRRASKLKSKLENSQFAKFFLLIITMLGTSMVIGDGVLTPCISVLSAVGGIKEATSAMTEDRIVWISTAILICLFMVQRFGTDKVGYSFAPIICVWFSLIGGIGVYNFIKFDPTVIKAINPKYIVDYFKRNKKDAWVSLGGVVLAITGTEALFADVGHFTVRSIQISMCSVTYPALIMAYTGQASFLRKHQSLVSDTFFKSIPHSLYWPMFVVAVAAAIIASQAMISGTFSIIQQSLSLGCFPRVKIVHTSAKYEGQVYIPEVNYLLMIACVGVTLGFRTTEKIGNAYGIAVVFVMTLTSSLLVLIMIMIWKTDILLVVAYVVIIGSIEFVYLSSVLYKFDQGGYLPLAFAAALMTVMYVWNNVYRKKYNFELEHKLSLERVKDIASDTNLCRIPGLALFYSELVQGIPPIFEHYVANIQALHSVLVFVSIKSLPISKVPAEERFLFRRVEPKELNIFRCVVRYGYTDIRNKVEPLEQTLVEQLKEFITENIYLAHIIDGKKGKEDENGVMNGEEWQERVVSETSMVEKAWEGGVVHLIGENEVIASKGSGIGKRLLIDYAYNFMKKNLRQSEEIFDIPHKRMLKVGMTYEL >KJB47077 pep chromosome:Graimondii2_0_v6:8:1135855:1138283:-1 gene:B456_008G009800 transcript:KJB47077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNISGDDDDGSFSSGDKQQQLQINKFPVSGASNTNGSSSSSSQQPLQGAKRKRNLPGTPDPNAEVIALSPTTLMATNRFVCEICKKGFQRDQNLQLHRRGHNLPWKLKQRATTEVKKRVYVCPEPSCVHHNAARALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHQKTCGTKEYKCDCGTIFARRDSFITHRAFCDALAEENNKVNNNHGALMNNMGSPNSQNQIAELMSSSMSMSGNVLPDFKSLPQELVPMQFKSMNMGGGGGIFSSSSGTLFGGPRTISSASSSLQLSTNTSSGFSYLQDNINGCCQVSGSPHMSATALLQKAAQMGSAGSNSINSTMMQKSFETPYDQFPVSQADQSNMVGISHGSPNEMTQLFNAATEAMNHMGMFTTTTNMFINQGGLMMNNHMEHEDSASPTVPSIFGVSNGGSNMTTLDFMGINNEGSRTTAANLHEEQFEQQRMSMMNPFQQQQLSHGDSAIEKPIWDV >KJB48437 pep chromosome:Graimondii2_0_v6:8:11405439:11409172:1 gene:B456_008G069000 transcript:KJB48437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGGCVPSKKKHPTSAEDAAGTSSTTAPIAADEETHATPTVTESSENAQSVAATVKVFIVFYSMYGHVEKLAKRMKKGVEGVEGVEAVLYRVPETLPDEVLEHMKAPPKAPEVPEIKAEELAVADAILFGFPTRYGCMAAQMKAFFDSTGQLWKEQTLVGKPAGFFVSTGAQGGGQETTAWTAITQLAHHGMLFVPIGYTFGDDMSKIDFIHGGSPYGAGVYAGDGTREPTEIELALAEHQGKYMAGVAKRLSQG >KJB48726 pep chromosome:Graimondii2_0_v6:8:17246939:17251958:1 gene:B456_008G083400 transcript:KJB48726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRNKYRKSTAFPCNVGSRCSISIVVWSLVGFLLMLQIYSLISHRNTVSGDIKLRMSRHPLVRELEQVEEENIQIPPPRGKRSPRAAKRRPKRTTTLVDEFLDENSQIRHVFFPDMKTAIDPLKDAGNDSFYYYPGRIWLDTEGNPIQAHGGGMIYDERSSTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWTWKNEGIVLTAEESNETHDLHKSNVLERPKVIYNENTGKYVMWMHIDDANYTKAAVGIAVSDYPTGPFDYLGSQRPHGYESRDMTVFKDEDGVAYLIYSSEDNSELHIGPLTKDYLDVKPDIRRILVGQHREAPALFKYRGTYYMITSGCTGWAPNEALAHAADSIMGPWETMGNPCIGGNKMFRLATFFSQSTFVIPLPGIPGSYIFMADRWNPADLSDSRYVWLPLIVGGPADRPFEFNFGFPLWPRVSIYWHRKWRLPSSWRVTK >KJB50670 pep chromosome:Graimondii2_0_v6:8:45972116:45973128:1 gene:B456_008G181800 transcript:KJB50670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRSRQSGASRITDDQIIDLVSKLQQLIPELRGRRPDKVSASKVLQETCNYIRSLHREVDGLSDRLSQLLASTDTDSDQAAIIRSLLM >KJB50364 pep chromosome:Graimondii2_0_v6:8:43618957:43620747:1 gene:B456_008G166200 transcript:KJB50364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAWSETAASMEALSLSPPMSPPVILTQDELKKIAAYKAVEFVESGMVLGLGTGSTAKHAVDRIGELLRQGKLSNIVGIPTSKKTQEQAVSLGIPLSDLDNYPTIDLAIDGADEVDPHLNLVKGRGGSLLREKMVEGACKKFVCIVDESKLVKHLGGSGLALPVEIVPFCWKFTANKLQKLFQGSDCVAKLRNDGEGKPFVTDNGNYIVDLYLKKEMGDLQVASDAILRIAGVVEHGMFLDMATTVIVAGELGITIKDK >KJB47845 pep chromosome:Graimondii2_0_v6:8:6061003:6061769:-1 gene:B456_008G045100 transcript:KJB47845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKENDEDRSPFQCLLDAAAFVERLDEETMRLKLKQGLNKIDDNNSSGVDTQPINYTTVRIFGTDIIVAAIDEDVITNQPPAGSSKKRKEMVESSRAKKPMPTQKRKKHKHKATFGAQPCLPQRFKEMIKCMDGSEEKLIIQKALYKTDLSKHHGRLSIPMNQVEVEFLKDEELKQSSKEGIEALVIEPCLKTRDMSRFSSLYVLVTGLKSVVESNDLKVGDVVQVWSFRVNSKLCFALVIVP >KJB50877 pep chromosome:Graimondii2_0_v6:8:47407710:47408630:-1 gene:B456_008G191100 transcript:KJB50877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHEGLKALKNNESISRSYRIQEVIPRIRIHFDATIDGKTFRSTSGLVGWDLRGNLMVLKTVIHKNVPSPFATEAYACLEGTKLGISLRTHSVRLMGDSKTIIRQCQAISTDKSVIGAIIRDI >KJB49207 pep chromosome:Graimondii2_0_v6:8:33568884:33570775:-1 gene:B456_008G106800 transcript:KJB49207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSSSSSSIAISPRKLRSDLYSYSYKNDGNNPLVITVLASLIERTMVRNERIDAKNCGRRTLRQRDFRSKVFDCREAPDMTVQCYLERIFRYTKAGPSVYVVAYVYIERFCEANPGFRITARNVHRLLITTMMVASKYVEDMNYRNSYFARVGGLTTKELNKLEVELLFLMGFKLHVNVSVFESYCSHLEREVSIGGGYHIERTIRCAEEIKSRQSEAQRIYNQITRILL >KJB48811 pep chromosome:Graimondii2_0_v6:8:20062948:20063704:1 gene:B456_008G088300 transcript:KJB48811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQAGVEMGLRVVTIEGNSMSVIKKCQTDLVDKSEIGAYIRDVQTKKNRFWSIIFIHAHRSANQLAYILAMETLKNREQAYLVGSVPVYALQRMEIEWAKEPN >KJB50171 pep chromosome:Graimondii2_0_v6:8:42005542:42007175:-1 gene:B456_008G157300 transcript:KJB50171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKGKGKGKGKGSNHHPQEVPTCLTPQKAENNKPAEIKNLQIMIASKDDNKKQLAPKRSSNKDRHKKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQSEPSIIAATGTGTIPASALAAAGASVCAQGNSVSAGLHTKMGLGACTGSKDRNNWAMLGGNLGRSQIPSGAWSSSNGIGSGLVQVSEQSTSASNFGNENSNHIHHNYGFQGLEFPNMNMGFVSFSSLLNGSNLQVPGLELGLSQDPHFGVSNSQAFSHFYQQIGQQRGGVRPLNQQQIVADKDNSQGSKQ >KJB50172 pep chromosome:Graimondii2_0_v6:8:42005542:42007196:-1 gene:B456_008G157300 transcript:KJB50172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKGKGKGKGKGSNHHPQEVPTCLTPQKAENNKPAEIKNLQIMIASKDDNKKQLAPKRSSNKDRHKKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQSEPSIIAATGTGTIPASALAAAGASVCAQGNSVSAGLHTKMGLGACTGSKDRNNWAMLGGNLGRSQIPSGAWSSSNGIGSGLVQVSEQSTSASNFGNENSNHIHHNYGFQGLEFPNMNMGFVSFSSLLNGSNLQVPGLELGLSQDPHFGVSNSQAFSHFYQQIGQQRGGVRPLNQQQIVADKDNSQGSKQ >KJB52559 pep chromosome:Graimondii2_0_v6:8:54639039:54640373:1 gene:B456_008G267500 transcript:KJB52559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINICILNLSYQYKSTASLAHYSTYSFNKISAMAAAPWFKTFLLVSLLLLMPFSHGMVEEGLHGGMKQAADYSVKQGGKEMMMIQMRTVRKLMMLGGMQDYDDTGANQKHEHDPRKKPGKP >KJB46839 pep chromosome:Graimondii2_0_v6:8:8796312:8797007:1 gene:B456_008G057000 transcript:KJB46839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRSFMGLHVFASAVRVSLENITSASATTHKATKETLIIFFFFSPTQAANTKKAKKLNGTGCILGYDLRHNL >KJB48684 pep chromosome:Graimondii2_0_v6:8:15790401:15791917:-1 gene:B456_008G080900 transcript:KJB48684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRNLETEMPGGRNFPRIGTLELKSQIVRKIGRIKTEEYFNLLTRFLSLRIGKPEFDKLCIDTIGRENVRLHNNLLISIVRNASLSKTPPSVENKFEASLSVKASNGYQRSNLQSLCKDFPQSPRKSRTPNLRDRKLRDRPSPSGPDGKSHNNTTCEDAIRKVQEQQSATELLSLGSRPPGSVEDGEEVDQATGSPGAYSRSPVRAPLGITLNTKGMRNLPWNRAASASETCYCRGELPDSGSLRKRLEQKLEMEGLNISMDCANFLNNSLDVYMKRLIKPCLELARSRSGKKLIDQGHSWSMACLNGMQPMRYAPKQNGPISASILDFRVAMELNPLLVGVDWPTQLEKACLLASEE >KJB49684 pep chromosome:Graimondii2_0_v6:8:37973898:37975081:-1 gene:B456_008G133400 transcript:KJB49684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVFAYFVALVAVFSVAKSDPDPLQDICVADTSSGVKVNGFACKKDADVTENDFFFSGLAKPAVVNNTVGSVVTGANVEKIPGLNTLGVSLSRIDYQPGGLNPPHTHPRATEIIFVLDGELDVGFITTANKLISKSLKKGDIFVFPKGLVHFQQNNGKEPASVIAGFNSQLPGTQSIAVTLFGSTPAVPDHVLTKAFQIGTKEVNKIKSRLAPK >KJB47252 pep chromosome:Graimondii2_0_v6:8:2010299:2011557:1 gene:B456_008G018000 transcript:KJB47252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTQSLKEHVAILAFPFGSHDLTILRLACRLARAAPNVQFSFLTKAKAYNVEDGVPANDVFSGNPVERLDLFLKATPANFQKGLDVAVIYGRGHASFSDMVVVTKMVANSNQTLEVIPGLSPMHVRHSKETFFSYTLSKIGCVLPRSTAIVMNFCQELYPTALFDDLKSMFPALLNVGFLTQELPLPPLPPSDSDAAECLSWLDKQSSKSVEYISFGTAATPAGLELRALAEAVEERGIPYLWCLKDDHKHHLPNGFIKRISKQGKLVSTGVFVTHCGANSVFESVANGVPMICGPMFGDHWMNGRVGDVFTKSGVLKSLKIVLGHEHGKQMRERIRTLKELVLKATEPSTSASQDFKTLVETILIS >KJB50407 pep chromosome:Graimondii2_0_v6:8:44222272:44224722:-1 gene:B456_008G169200 transcript:KJB50407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEISKHIRRAALVFTVLTLSALLLYTATDSNRLSSGSFSSSSFATIFPSYLNYSSSSNPTQELEEILKNASMVNNTVILTTLNDAWARTGSIVDLFLKSFMLGDGTRKLLDHLVIVSLDERAYNRCRIVHKHCYALVTKGVGFHQEAYFMTPQYLKMMWRRIDFLRTVLELGYSFVFTDADIMWFRDPFPRFFPDADFQISCDNYLGRPEDMNNSPNGGFNYVKSNSRSIAFYKFWYSSRETYPGYHDQDVLNKIKSDQMITKIGLKIRFLDTAYFGGLCEPSRDLNVVCTMHVNCCIGMDRKLHDLNLMLQDWRAFMSLPPDLKNQSIISWRVPRNCRYFFPSLYIMFYVQLFPFKNGYSLFVNMLQSRSFMAFRFLHLQRWMLKKREKIDWNCT >KJB50406 pep chromosome:Graimondii2_0_v6:8:44222199:44224838:-1 gene:B456_008G169200 transcript:KJB50406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEISKHIRRAALVFTVLTLSALLLYTATDSNRLSSGSFSSSSFATIFPSYLNYSSSSNPTQELEEILKNASMVNNTVILTTLNDAWARTGSIVDLFLKSFMLGDGTRKLLDHLVIVSLDERAYNRCRIVHKHCYALVTKGVGFHQEAYFMTPQYLKMMWRRIDFLRTVLELGYSFVFTDADIMWFRDPFPRFFPDADFQISCDNYLGRPEDMNNSPNGGFNYVKSNSRSIAFYKFWYSSRETYPGYHDQDVLNKIKSDQMITKIGLKIRFLDTAYFGGLCEPSRDLNVVCTMHVNCCIGMDRKLHDLNLMLQDWRAFMSLPPDLKNQSIISWRVPRNCSLDPLWHSDSCTSKDGC >KJB51621 pep chromosome:Graimondii2_0_v6:8:51165805:51167086:1 gene:B456_008G225000 transcript:KJB51621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSPANSSISTTAIVGGGGASGGTNAALEDSHFPSDLISIQDRKDEAMLVLKSDLMAALNEEVKSLDEDNWKFEGPRSRIHLISRPDQIRFASKKEVIKGT >KJB53024 pep chromosome:Graimondii2_0_v6:8:56396198:56398926:1 gene:B456_008G289000 transcript:KJB53024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKAMRGRYRWRQLHSIKELRTNPNSYPSKKTLTQRRHNDKPRPVGDSDIKQWNMAISYYMRNSQLDSALHFFNLMPRRSSVSYNAMISGYLMNGRFDLARNLFDEMPERDLVSWNVMISGCVRNNNVAAARELFEEMPERDVVSWNAMLSGYAQNGCIDEARKIFDRMPCKNSISWNALLATYVQNGRMEEACRLFESKLDWDLVSWNCLMGGFVKKKMLVDARRVFDRIPFRDKISWNTIITGYAQNGEIEEARRLFNESPVRDVFTWTAMVSGFVQNGLVDEARETFEQMPQKNAVSWNAMIAGYVQCKRMDMARKLFDKMPFRDVTTWNTMITGYAQSGEIAHARDFFDRMPRHDPVSWAAMIAGYAQSGYSEEALRLFVDMKRDGERLNRSSFACALSTCAHIAALELGMQLHGRLVKAGYESGSFVGNALLLMYCKCGGIEEACSAFEEIMEKDIVSWNTMIAGYARHGFGKEALKIFESMKAAGVKPNDTTMVGVLSACSHAGLVDRGMEYFYSMNQDYGITANSRHYTCMVDLLGRAGRLDEAQKLIRNMPFEPDAATWGALLGASRIHGNTKLAEMAAELIFEMEPENAGMYVLLSNLYAASGRWADVSKMRLKMRDTGVKKVPGCSWLEVQNKIHTFSVGDSCHPDRDKIYAYLEELDLKMKREGYVSSIKLILHDVDEEEKEHMLKYHSEKLAVAYGILSIPAGRPIRVMKNLRVCEDCHNAIKYISKIVGRLIILRDSNRFHHFREGSCSCGDYW >KJB49175 pep chromosome:Graimondii2_0_v6:8:32632567:32634655:-1 gene:B456_008G104800 transcript:KJB49175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNSGSVQSPSGGSEEFDSGGNSNSALFNNNPSSHIGHGPLGNQQSPPLLQQQHQSPSSSAVLFDPLSNYFDHPLSSVTNPNSQLNLDVVWSRNLRSEPNCNDLVGGFMASSSPPPTQQLFTNQQAQSRATFPSMQIPQGPESGTKGSGTSGQPNNNNMVRNSKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSPFPRTRLDLFGTTSSPNYLLRPFAQKLNYPPPLFTSSSMVDAIASTPSTNSTSSTTSINYQLPSELGLLKQPQNPLNINMQQNPILNFVSLLQAPPKYPLSNSIDIPSNVSPLKMGAFEGFGLSQGHVNPNLRGVQNMVSSSDGSLPRNENSANPPSWGEAAGSREHDQSLLRSINGRYNNSNTPGLTNGKVNNFSVSSSDFHVDKGPENVATRSEGMVESWICSSD >KJB51019 pep chromosome:Graimondii2_0_v6:8:48234707:48236901:-1 gene:B456_008G197800 transcript:KJB51019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQPHALVIPYPAQGHVAPLMKLALQIASHGVKVTFANTESTHAKIKASLPENVEEAHLISFVSLPDGMEPDDDRTDWVKLNDSIHRTMPGYLEDFILKVNGSNANQKFTCVVADTSVGWALELAKKAGLQAVAVWPAGGPCLALALHIPQLLEAGIIDTDGTVLKDEAISVSKDVPAWTSTEIGWGFPDPVFQQLFFGFYTIFPQYYKFHDWFLCNSIYELDSAALQLVPNVLPIGPLLASNHLATFAGNLWPQDSTCLQWLDNQASGSVIYVAFGSSTTVDSQQLHELALGLELTGQPFLWVIRSDLMNDGSLAKLPEGFINRVSNRAKFVEWAPQEEVLAHPSVACFMSHCGWNSTMEGLTMGVPFLCWPYLADQFCNRNYICDVWRIGLGLRKDEHGVITRNEISSKIKTLLSSQDIKANAMHVKEVARKSLHESGGSSCRNFNNFIQHIKST >KJB52815 pep chromosome:Graimondii2_0_v6:8:55631119:55632813:1 gene:B456_008G278900 transcript:KJB52815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPTNLSIINNVIACNTFQCSHNIDPEIKLNMFPFLLLILLSLTWRISASAQPAEEFLRCLSLRFHNSSSIAKLVYTFTTPTPTPLAIVTPFHASHIQATVYCCRKHGLQVRTRSGGHDFEGLSYTTAYKVPFVVIDLVNLRSVQVNVEKATAWVESGATVGELYYEIARKTRTLAFPAGIGHTVGIGGQLSGGGLGPLFRKYGLASDNVIDARLIDAYARILDKKSMGKDLFWAIRGGGGGSFGIVLAWKLKLVLVPANVTACTVSKTLEQNATKLVHQWQSIARKFPKEIQSSIAIARVNSSEDGKMTIQASYGSVFLGSIDELIPLMEEKFPELGLVKEDCLEMSWAESILYGALSIIGLPLETLLNRTQKSALSQTFFKAKSDFVKQPISESGFEGLWPKFYEDEAKSAVMVLVAYGGKMDEIPETEYPYPHRAGYLYSILYVVNWEEEENKNSEKFLNWMRRVYSYMTPYVSKSPREAYVNYRDLDIGTNKFNHDKGSYTEAKVWGLKYFKSNYDKLVYVKTKVDPQNFFKHEQSIPPLF >KJB46747 pep chromosome:Graimondii2_0_v6:8:19890119:19890615:-1 gene:B456_008G087700 transcript:KJB46747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVRCKYIYIVSNVIFPSCKKVAYLFRLVCLHAVSAISNGSKMTDFGCIPQCTKAFCIRDGEIACNESCEDKLSI >KJB48781 pep chromosome:Graimondii2_0_v6:8:19453474:19454421:1 gene:B456_008G087000 transcript:KJB48781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSHGFVLCQRHRYLFLIFLLILSTTQVRYKAEGRPNPKSDTFSKKVNEEKKILSAQIGSRPPRCDRRCSSCGHCEAIQVPTNPQVRNGNKNFSTLLFGVAYARRVDNSNYKPMSWKCKCGNVIFNP >KJB49635 pep chromosome:Graimondii2_0_v6:8:37562366:37564087:-1 gene:B456_008G130400 transcript:KJB49635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPNAMILDSKPLVLHGMKRKRETQHTSYFPRASHVIPPQLLSLCLAFQKHDKRRRLEDCEGRVKTGIPKCIMFYEKGEWTNFPNDLIASIKKDLVTKKPTIELKTGMRHPIAWIDEAGGYFFPDIFTGEDEAYPCYKDECANNQEFMFSEYYALHKIKLHLDIGINGVEQPKLECSGESSSFVRHFQIAQKLASSYSAVVAEDNYNRSADEKPNKVVEDIQEKKKNLVPESEFVDVQFNEPLEFSTVEKMFLMGMNTCEGVDILDIRPCSSTQYRLERFQNEDVDSGVDDLHHLKYYITWNMNIITHIYPEFIMPFLMLFVAISNKIPRMDMDQVANHYELFKAKKITRDDFVKKLRLIAGDNLLRSTITSL >KJB47062 pep chromosome:Graimondii2_0_v6:8:1039067:1039766:1 gene:B456_008G009100 transcript:KJB47062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVTSMVADRPVVIFSRTTCCMSHTIKTLINGFGANPTVYELDEIQNGQQVERELQQMGCNPSVPAVFIGQRLVGGANQVMSLQLRNQLVPLLKSAGAIWI >KJB52859 pep chromosome:Graimondii2_0_v6:8:55739992:55744503:-1 gene:B456_008G280500 transcript:KJB52859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRSVNSPAMKITILVVDDDSTSLAIVSAMLREFRYEVTSVKTPAAALSVLRSNPSIDLVVTDLHMPGMNGIELQKRINKEFKLPVIIMSSDDDENVMLESLAGGAVFFIVKPVDPVGLKNVWQYAVAAKKGKSLLIEDMDRESSSSSPADGKLSLGGNTKSVSSVNNEKNDPKNGSKRKGASGKGRDKDDNDDESKSPPPKKTKKHKIVWTNTLHNQFLEALRQIGLERAVPKKILERMNSTGLTRENVASHLQKYRIFLKRLAERGCFASKAVIDRFLKSNFAAGHPLLLKTAQEYSRLEHMERLRVLASYPGLRESLMGHSSTGSVPLFYGHPGASSSNAAQQPLGYGQSRLLSNQTNQPLFPGSGNMLNNPYLNNRVGYGNGIGIGIGIGSSSSVNGGGGFSSGLLNGGNSSLTYPNQVQARPDFYNAGPSSSSPFRFGSAGFHSSGSTLGNGLFGSGSSSYPSLNSSSSGSTVVNGLFGSSSRSHPSLNSSSSGATLGNGLFGSSSSSYPSLNNSSYPSLNPGYTNNAANSYRGMRFYEHLLNGSAPPLTGDYGSMNQTRPTGDYGSMNRTHNENINVPTMRTTPLDSLDFMREFPIPPVDSSTLIQGLGTGNTRLTEINSDQLLNNVPNLGNEPRGGDGLLQDLVLESKKLANKEKAGEQSVENSDYCLPSLFPEIYPTLDELLNCDFPDPLSDEDNHPWSEEAIGQVQSELEELINNPNPAAGDGSNESNPVTNKQQTGGEQVTVTHPLSNVLQVMTAPAPAPASAPAPAPAPAPAPAPALAVYNSANNANNGAPVGANSSSYEDDEDFLDSLLNFNDEFE >KJB52905 pep chromosome:Graimondii2_0_v6:8:55936188:55938885:1 gene:B456_008G283200 transcript:KJB52905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein THLSFPLGHDPAPLVVQTRKPVRAAAAAAMRLIVPLQSVVQGRGGLIFGSLIPCALFYFLQLYLKRHRSPKPQSNPPSPTTSSPKLAEMTRTSSRSNLFSRSIGQLCFDLIEKWMSENLGHSITGRDNGELNINGIATYQPFDGTMELKLAMAGFMSHIMGGAVTFEPSQMVLTAGATPAIETLCFCLADQGNAFLVPTPYYPGFDRDVKFRTGVELIPVHCRSTDNFALSITALDQAFNQTRKHGTKIRGILLSNPANPVGNLLSREMLYAILDFAREKNIHVISDEIFAGSMYGNEEFVSMAEVLDSEGFDKNRVHIVYGLSKDLSLPGFRVGVIYSFNENVLAAARKLTRFSSVSAPTQRLLVSMLSDARFIEEYIKTNKRRLQNMHALFVAGLKGLNIRCADSSAGLYCWADMSKLISSYSEKGELELWDKLLNVGKINVTPGSACHCIEPGWFRCCFTTLAEEDIPVVLERIRKAVENTTDLLNSS >KJB52906 pep chromosome:Graimondii2_0_v6:8:55936188:55938885:1 gene:B456_008G283200 transcript:KJB52906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein THLSFPLGHDPAPLVVQTRKPVRAAAAAAMRLIVPLQSVVQGRGGLIFGSLIPCALFYFLQLYLKRHRSPKPQSNPPSPTTSSPKLAEMTRTSSRSNLFSRSIGQVRISSRAISIAKPNESPYYIGLDKASEDPYDRLSNPDGVIQLGLSENRLCFDLIEKWMSENLGHSITGRDNGELNINGIATYQPFDGTMELKLAMAGFMSHIMGGAVTFEPSQMVLTAGATPAIETLCFCLADQGNAFLVPTPYYPGFDRDVKFRTGVELIPVHCRSTDNFALSITALDQAFNQTRKHGTKIRGILLSNPANPVGNLLSREMLYAILDFAREKNIHVISDEIFAGSMYGNEEFVSMAEVLDSEGFDKNRVHIVYGLSKDLSLPGFRVGVIYSFNENVLAAARKLTRFSSVSAPTQRLLVSMLSDARFIEEYIKTNKRRLQNMHALFVAGLKGLNIRCADSSAGLYCWADMSKLISSYSEKGELELWDKLLNVGKINVTPGSACHCIEPGWFRCCFTTLAEEDIPVVLERIRKAVENTTDLLNSS >KJB52985 pep chromosome:Graimondii2_0_v6:8:56233169:56240257:-1 gene:B456_008G286900 transcript:KJB52985 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18390) UniProtKB/TrEMBL;Acc:Q9LS51] MAITTTRLTEMPLRTPLPFSSNSYSSSLNLFFSLPKPSFCFFTTSSSLRSGTNPGSISNRYSHPWYHRLSTGASSSSSSLQAWSSPSEKVIQSDGNGKADSETRYLNKGQSAIDRIVLRLRNLGLGSDDEDEEDDGTDDYNSTPVTGEERLGDLLKREWVRPDTRLIEKEKEEAVLPWEREEAVVEVVVKEGGLLKKRTVKAPTLAELTIEDEELRRLRRMGMHLRERINVPKAGITKVVLEKIHDKWRKEELVRLKFHEFLAVDMRTAHEIVERRTGGLVIWRSGSVMVVYRGSNYEGPSKSQSGEGEALFIPDVSSAGKRESETGSGSTSEKSDKVVVKPERSESMTEEEAEYNSLLDGLGPRFVEWWGTGILPVDADLLPPKIPGYKTPFRLLPAGMRPRLTNAEMTNLRKIAKSLPCHFALGRNRNHQGLAAAIIKLWEKSVVAKIAVKRGIQNTNNKLMAEELKNLTGGVLLLRNKYFIVIYRGKDFLPTNVAAALAERQDLTKQIQDAEEKLRIKAVEPAQSGEDKGQAPAGTLAEFYEAQARWGRDISAEEREKMIEEASKAKHAKLVKRVEHKLAIAQAKKLRAERLLAKIEASMIPAAPDYDQETITDEERVMFRRVGLRMKPYLPLGVRGVFDGVIENMHLHWKHRELVKLISKQKVLAFVEDSARLLEYESGGILVAIERVPKGYALIYYRGKNYRRPISIRPRNLLTKAKALKRSVAMQRHEALSQHISKLENTIEQMKKEIDASEDGGDDNNRSSGEHGQFDDDNNRGSGKHGQFDPVSEFTESEDEENIAPDTDDEYKNEFDDYEDDSEFVDDHNEDDSEFVDDDDEDEADNSEWEDEESLQSNIKKSDLRRHC >KJB50893 pep chromosome:Graimondii2_0_v6:8:47864321:47866173:-1 gene:B456_008G194300 transcript:KJB50893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKRKTNWITLNPNHFDPTPLTSRFISPPTPPPPFQPSFFLSLQPSQLSEGQKQSTLFCSKSSLLVHFDNCVMASTSLKCGSYFSGFNETMLSSHSNKASWFSTSSTSVDSKASSSSKKVPVLTFDFWGKPLVVPDQNGSRNCTTKPENKFSVQAETNCVSRCMRWWEKNLKPNMVKIHSAQELVCSLQNAGDRLVIIDFYSPGCGGCKALHPKICQLAEQNPNAIFLEVNYEELKKMCQCLNIHVLPFFRFYKGAEGRVSSFSCTNATIKKFKAALANHGSDECSLGPAKGLDESEVMKLVSAGELSLSSLQSPSLNDSMFMRTMELSGILNKADNNRIMLQKEGALL >KJB48607 pep chromosome:Graimondii2_0_v6:8:14440570:14443589:-1 gene:B456_008G077600 transcript:KJB48607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFTDHRDLSRATATGYLFLLLLFSSFINIWSSCSNGSCQVVDSCSHSTDCGPGLFCGNCPALGKTQPFCIRGQATIPTSVIGGLPFNKYSWLVTHNSFSIVDAPALPGVQRFTFYNQEDTVTNQLKNGVRGLMLDMYDFNGDIWLCHSFRGQCFNFTAFNPAINTLREVEAFLSKNPSEIVTIIIEDYVHTPKGLTKLFKNVGLDKYWFPVSKMPKKGEDWPTVTEMVKANHRLLVFTSVASKEEEEGIAYQWKYLLENEAGDPGVKPGSCPNRKESQPFNSKTASLFLMNYFPTYPVEDEACKEHSAPLADMIRTCYKAAGSMMPNFLAVNFYLRSDGGGVFYDLDSMNGQRLCGCNTIAACQAGAPFGSCKNISMPTPSPMTNSVGTFSGPVQFSTSTSTVYHPNHLIIALFSFPWIISLFF >KJB51240 pep chromosome:Graimondii2_0_v6:8:49321783:49322349:-1 gene:B456_008G208300 transcript:KJB51240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVKKRWKKNMVVKAWERCCKTRSSSISSNTTHAGVAKAAPEGCLPVYVGPQRQRFVIKTEFANHPLFRMLLQGAELEYGFSNEGPLLLPCDVDMFKQVLAEIHSGDQQILTPLCTPSPRRSSFNKGYYGSYQLLTPS >KJB49585 pep chromosome:Graimondii2_0_v6:8:36744425:36746679:1 gene:B456_008G126500 transcript:KJB49585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMANLLCFSVLFLTMSFSYCCMAHKWPAGGSTRFYDFKVQTMKVNKLCNTKEIVTINKMFPGPIVYAQEDDRIIVKVTNETPYNATIHWHGVRQKLSCWFDGPSYITQCPIQAGASFTYEFTLVQQKGTFFWHAHVSWLRATVYGAIVVYPKTEVPYPFEHPYEEHIVILGEYWLRDVVQLEREVLASGGAAPPADAFTINSHPGPNYNCSRNDVYKIDVVPGKTYLLRLINAGLNMENFFAIAYHKLTIVEADAEYTKPFTTDRVMLGPGQTMNVLVTANQPIGKYSMAMGPYMSAQNISFQNISAIAYFQYSGAVPNSISLPAKLPSFNDNLAAKTVMDGLRSLNPVNVPKEIDTELFVTVGLNVNKCRSKTPRNDCRGMNNGTFAASMNNISFVMPTVSILEAYYKKIGGQFTVDFPGAPLRFYDFVNGAPNNAPNNTQAINGTRTKVLKFGSKVQLIFQDTSTVTTENHPIHLHGYSFYVVGYGTGNYNPQTANFNLIDPPYMNTIGVPVGGWAAIRFVADNPGVWFMHCHLEIHQSWGLGAVLIVENGEGELETLPHPPADLPRC >KJB47146 pep chromosome:Graimondii2_0_v6:8:1395519:1403250:1 gene:B456_008G012600 transcript:KJB47146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGLQGSSANQSNRREQERSTGVFESAGTQNVGQSSDTVEGSSTQAQVKEEGSSMDAVSKARKEPVNKWMAFGGEADNKSSNISFDDSIKNLNGGGKDSNGKSSHRILTEANIAERTAEWGIALQTDAVEGSFKVASKIMKPSGDGDRSKFSFDKFSVESARTSNESSYGLDQGALPRVSQELKDALATLQQTFVVSDATKPDCPIMYASSGFFTTTGYSAKEVIGRNCRFLQGPETDQNEVARIRYAVRNGKSYCGRLLNYKKDGTPFWNLLTVTPIKDDNGKTIKFIGMQVEVSKYTEGIVEKAVRPNGLPQSLIRYDARQKDKALDSMTEVVQTVKHPHSHNQTISNDATNKVEEQEKFNLGYVLPQSAEIENTSTPGRNTPQSDFSTATAMQEFDKKSRKSARVSLMGLKGRTSSSAAKQEKQPIVEPEELMTKDIERTNSWERAERERDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSRIRDAIRELKEITVQLINYTKSGKKFWNLFHLQPMRDHKGELQYFIGVQLDGSGHVEPLQNRLSEQTELQSAKLVKATAENVDEAVRELPDANLRPEDLWALHSQPVFPRPHKRHSSSWLAIQKITSRGEKIGLHHFKPIKPLGCGDTGSVHLVELKGTGELFAMKAMEKSMMLNRNKVHRACVEREISSLLDHPFLPSLYSSFQTPTHVCLITDFCPGGELFNLLDKQPMKLFKEESARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQKDGHVVLTDFDLSFMTPCKPQVLKHPLPNKRRRSRNQPPPTFVAEPSTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFSNILHKDLSFPSSIPVSLAGRQMINALLNRDPASRLGSTTGANEIKEHPFFRGIHWPLIRCMSPPPLEVPLEFTGKDSDAKEVNWEDDGVLLSSIDMDIF >KJB52941 pep chromosome:Graimondii2_0_v6:8:56027611:56030184:1 gene:B456_008G284300 transcript:KJB52941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFYNKVIGEGWLGRQDGVVAFTITKVAAPLTVATLVIIFFSWLVKKLIIKTKSLPPPPPGPIGLPILGHLLFIKPDFLQYVTEQSKIHGPIIKLQLGRKVYIIISSPSIAKQILKDHDAIFTNRDIPVAAIKGTFGGLDIVWRSNGPELHKLRKLVVSEIMSNKGLNACYEFRRREIRHMVKNIHGKIGSPINLSEQIFLTTFNVTVNMLWGGSLNGEESNLGLEFKDRFEEFLRLMVEPNVSDMFPVLRPFDLQGIESKAKKNISWFFEFFESVIEQRRKLGEGPKMADSKDFLQQLLELNQTGDVKTSLSMKEINAVLLNIVNGATDTTFTTMEWAMTELLRHPDKLRRVVDELDAIIGGPNIVEEFHLPRLLYLEAVVKETLRIHPPAPLLMPHMPSETIVVAGYTIPKNSNIFFNVWAIQRDAEFWEDPLQFEPERFLNVIEKRNYKGNSFDFFPFGSGRRICVGISMAEKIMMLVLATLLHCFEWELSNGQKPDVKEQLRLVLSKVEPLIVVSIARSSNSMQYQ >KJB51543 pep chromosome:Graimondii2_0_v6:8:50819678:50829770:1 gene:B456_008G221700 transcript:KJB51543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVVYEGWMVRYGRRKIGRSFIHMRYFVLENRLLAYYKRKPQDTQVPIKTLLIDGNCRVEDRGLKTHHGHMLYVLSVYNKKEKYHRITMAAFNIQEVLIWKEKIESVIDQHQEPQIANGNKYVSFEYKSGMDNGRTASSSDHECQFSAQEDEVDAPSSLLRRTTIGNGPPDSIFDWTQECDSELANHNANNQAFSGKHWRLLQCQNGLRIFEELVEVDYLPRSCSRAMKAVGVVEATCEEIFGLVMSMDGTRFEWDCSFQYGSLVEEVDGHTAIIYHRLQLDWFPLFVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGQQPGYVRAHVESGGFNISPLKSRNGKPRTQVQHLMQVDLKGWGVGYVSSFQQHCLLQMLNSVAGLREWFAQIEERGAPPRIPVMVNMAASSVSSVKTQKIDELSVPPGPSLDQTNAASRNSVMMDEYSDEDEEQMPEAEQEACPTKSDNDAKRTAPKEEPFEKIDLSCFSGNLRRDDHDNSRDCWRISDGNNFRVRSKHFCFNKTKIPAGKHLMDLVAVDWFKDTKRMDHVARRHGCAAQVASEKGLFSLVFNVQVPGSTHYSMVFYFVTRELVPGSLLHRFVEGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEVDIDIGSSTVANGVLGLVIGVITTLVVDMAFLVQANTTDELPERLIGAVRVSHIELSSAIVPKLDADPS >KJB51545 pep chromosome:Graimondii2_0_v6:8:50832927:50834979:-1 gene:B456_008G221900 transcript:KJB51545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLNYGGGGGGEGNYWYENEVVMSRDPKPRLRWTADLHDRFVDAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGQQTRKQNAVDLNKVNGGTIITNSPRADSEQRQIPVAEALKNQLEVQQTLQEQLEVEKKLQMRIDAQGKYLQAILEKAQKRVSIDINYCEGNGEEETNFSVLNKANCSAFQNYGGRRRENSKDVKLEVEGDSTAFDLKDQT >KJB51546 pep chromosome:Graimondii2_0_v6:8:50833269:50834767:-1 gene:B456_008G221900 transcript:KJB51546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLNYGGGGGGEGNYWYENEVVMSRDPKPRLRWTADLHDRFVDAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGQQTRKQNAVDLNKVNGGSSYVQCSNHFSGTIITNSPRADSEQRQIPVAEALKNQLEVQQTLQEQLEVEKKLQMRIDAQGKYLQAILEKAQKRVSIDINYCEGNGEEETNFSVLNKANCSAFQNYGGRRRENSKDVKLEVEGDSTAFDLKDQT >KJB52076 pep chromosome:Graimondii2_0_v6:8:53036404:53037141:-1 gene:B456_008G245600 transcript:KJB52076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPFSIPKVTSYIVSKTTTAKVAVMDLQGNILYSILSKKLQIFGCWNGYRVGTNKEQPCFTVKKCYSIVRREIACQVTVGFNTYWIVRLGLKNQGFKILNITGDIVAEVKQKQVSSGVVLGEDVLALEVEPCMDHSLIVALVTVYGLINRRL >KJB49057 pep chromosome:Graimondii2_0_v6:8:28852500:28853701:-1 gene:B456_008G0998002 transcript:KJB49057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFRKIFSDDFDHPETMFQTVFGKKCYTWSFSGDFTFRSSESGFEWREKSDWTNQRTEEWEPSSESESVDESYAVGSCSDRAILGLPPTGHLKLEDVKKLPLISFKVAS >KJB49058 pep chromosome:Graimondii2_0_v6:8:28853237:28853699:-1 gene:B456_008G0998002 transcript:KJB49058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFRKIFSDDFDHPETMFQTVFGKKCYTWSFSGDFTFRSSESGFEWREKSDWTNQRTEEWEPSSESESVDESYAVGSCSDRAILGLPPTGHLKLEDVKKLPLISFKVAS >KJB50718 pep chromosome:Graimondii2_0_v6:8:46274537:46275777:1 gene:B456_008G183800 transcript:KJB50718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLLFGLKVASQLSSPFIHLKLKFSMNNPETVKKLNTIEHPNRITIAGKKFKSTFHFVNTIKEKYHRSSFFPSLN >KJB52527 pep chromosome:Graimondii2_0_v6:8:54517459:54518713:-1 gene:B456_008G265900 transcript:KJB52527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDNNKGSHHHVNFHLHIPHLHGFHHHEKKDLKDIPKGCLAIMVGQGEEQQRFVIPVIYINHPLFMQLLKVAEDEYGFDQKGPITIPCHVEEFRNVQGMIDKDRHHHNHHHHHHVWCFRV >KJB52981 pep chromosome:Graimondii2_0_v6:8:56217323:56217660:1 gene:B456_008G286600 transcript:KJB52981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIETFEGSSRSGPRRTVVGDFLKPLNSKYGKVALGWGTTPLMGVAMALFAIFLSIILEIYNSSVLLDEISMN >KJB49200 pep chromosome:Graimondii2_0_v6:8:33437171:33440643:-1 gene:B456_008G106200 transcript:KJB49200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGELLSIDPQELQFPFDLKKQISCSLNLSNKTNNYVAFKVKTTNPRKYCVKPNNGVVLPWSTWNFNKESGHHVEECKLRVAYVAPPRPPSPVKEGSEEGSSPRASVSDNGSYNSADLASKRALISKLNEEKNATILENNKLQQELELLGREAKRDYSGMKFVYVILVGLVSIILGYLLKLT >KJB53007 pep chromosome:Graimondii2_0_v6:8:56365661:56367123:1 gene:B456_008G288500 transcript:KJB53007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGYVQNGLVDEARETFEQMPQKNIVSWNAMIAGYVQYVTTWNTMITGYARSGEIAHARDFFDRMPRRNPVSWAAMIAGYAQSGYSEEALRLFVDMKRDGERLNRSSFASALSTFDGQLEAGYESGSFVGNALLLMYCKCGGIEEACSAFEEIMEKDIFSWNTMISGYAIHGFDKEALKILESMKAAGFKPDDTTMVGVLSACSHAGLVDKGMEYFYSMNQDYGITANLQHYNCMVDLLGRAGRLDETQKLIRNMPFEPDAATWGALLGASRNHGNTKLAEMAAEMIFEMETENAGRYVLLSNLYAVSGRWADVSKVRLKIRDTGVEIAPGCSWLE >KJB49768 pep chromosome:Graimondii2_0_v6:8:38616249:38617291:1 gene:B456_008G136800 transcript:KJB49768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREKSKAYINGEDEEQEDEKMEEFFALIRNFKEARDRRRNELKQMETKTMKGENKVRRLNNNEQPSWVPSFEWADFNEEIEFRKPPIIYPTPNNGDDDNKKKQQQHDEEDDGLDLKLTL >KJB50033 pep chromosome:Graimondii2_0_v6:8:40506200:40509463:-1 gene:B456_008G149600 transcript:KJB50033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWLTRSTSRARLRHSLSSSLSIISSSSSSSSSSSSPTTITSPNFITRLSHLFPDFENSRHHFNVSKKNLSLLSNFRPGIAWISTSSGVADNSGKGDQNNKNVAGGPSWIDVYLPRGIQPYAKLARLDKPIGTWLLAWPCMWSITLASTPGQLPDFKMAALFGCGALLLRGAGCTVNDLLDRDIDTMVERTKLRPVASGLLTPFQGICFLGFQLLLGLGILLQLNNYSRVLGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWSAVKGSLDPAIVFPLYFSGVFWTLVYDTIYAHQL >KJB50032 pep chromosome:Graimondii2_0_v6:8:40505918:40509642:-1 gene:B456_008G149600 transcript:KJB50032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWLTRSTSRARLRHSLSSSLSIISSSSSSSSSSSSPTTITSPNFITRLSHLFPDFENSRHHFNVSKKNLSLLSNFRPGIAWISTSSGVADNSGKGDQNNKNVAGGPSWIDVYLPRGIQPYAKLARLDKPIGTWLLAWPCMWSITLASTPGQLPDFKMAALFGCGALLLRGAGCTVNDLLDRDIDTMVERTKLRPVASGLLTPFQGICFLGFQLLLGLGILLQLNNYSRVLGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWSAVKGSLDPAIVFPLYFSGVFWTLVYDTIYAHQDKEDDMKVGVKSTALRFGDSTKEWTTGFGIACISSLALSGYNADIGWPYYAFLAAASGQLAWQIWTVNLTCRADCNRKFVSNKWFGALIFSGILFGRVF >KJB47254 pep chromosome:Graimondii2_0_v6:8:2049501:2064960:1 gene:B456_008G018100 transcript:KJB47254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKARELSILCDAEVGLIIFSSTGKLYDYASSSMKSVIERYNKVKEEHHQLLNPDSQVKFWQREAASLRQQLQYLQDYHRQLMGEELSGLSVKDLQHLENQLEVSLKGVRTKKGHLIHQENLELYKKVDLIHQQNTELQKKIYGTREANEASRISHSNYTFNNGYDLHAPVRLQLSQPQPPKNNAPAKSMKLGLQLH >KJB47253 pep chromosome:Graimondii2_0_v6:8:2049501:2064960:1 gene:B456_008G018100 transcript:KJB47253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKARELSILCDAEVGLIIFSSTGKLYDYASSSMKSVIERYNKVKEEHHQLLNPDSQVKFWQREAASLRQQLQYLQDYHRQLMGEELSGLSVKDLQHLENQLEVSLKGVRTKKEQILTDEIKELNHKGHLIHQENLELYKKVDLIHQQNTELQKKIYGTREANEASRISHSNYTFNNGYDLHAPVRLQLSQPQPPKNNAPAKSMKLGLQLH >KJB47814 pep chromosome:Graimondii2_0_v6:8:5703222:5704837:-1 gene:B456_008G042800 transcript:KJB47814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATARIVSVAGSSTVASSSCLLLKAPFALKHGRNALSFNNGRRLSKRLFSCNAIYNPQVQIKHEGQPETLDYRVFFEDTSGKKISPWHDIPLHLGDGVFNFIVEIPKESSAKMEVATDEPYTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSLANSEVDGAFGDNDPVDVVEIGETRRKIGEILKVKPLAALAMIDEGELDWKIVAISLDDPRASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANKFGLGNKAANKEYALKVITETNESWAKLVKRSIPAGELSLA >KJB49367 pep chromosome:Graimondii2_0_v6:8:34839745:34842211:1 gene:B456_008G115500 transcript:KJB49367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILNAAIMLLLLALMAAISTASTDKQAYIVRMDKTKITNTFSSLVSITKPWHQAVLESLADGDELEATPPELLYSYETALFGFAAKLSSKQLESLKNMDGFMSAVPDKMLSLHTTRSPFFLGLTGKKGKNGPWSGSNLNSDVIIGVVDSGIWPEHVSFRDHGLSPVPERWRGTCEKGTKFSPSNCNKKLIGARYFYGGYLAAGEKINETNEYVSTRDASGHGTHTASTAGGNFVEQANLFGVANGSAAGMRYTARIAAYKVCWPGCSTIDILTAMMKAIEDGVDVLTLSLGSTSEAVPYWQDYIAIASYFAFKSGIFVAFSAGNSGPWAYTAVNTAPWIMTVAASTIDRSFPAIIKLGNGETFEGSSFYTGKALKGLPIVYGKTAGVTGAAHCIPGTLNPKLVKGKLVICEPGDEYMTQTERGEQVKLAGAAGILVMSTVGEDLANEPHVLPDILLGTSSSKAVIKYVNSTKAPIGTIVFKGTTYGNRAPKLAAFSSRGPNLAGPDVIKPDITAPGVDILAAWPAETSPSTLESDKRRVSFNIVSGTSMSCPHVSGIAALIKSKHKDWSPAAIKSALMTTAYTIDNKGKPISDLKSHALATPFGIGSGHVDPIKASDPGLIYDITADDYISYLCSLKYNESQIALFEEGFIECKKEQLAMQPGDLNYPSFAVNFNRKARNVTITYKRTVTNVGIPKSTYKVSVEAPKGAYVIVRPKVLSFKKLNQKLSYKVSFIGLSRKKPVVNSAFGSLMWVSGNYRVKSPIAVTWK >KJB50641 pep chromosome:Graimondii2_0_v6:8:45802770:45803273:-1 gene:B456_008G180700 transcript:KJB50641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 3 [Source:Projected from Arabidopsis thaliana (AT1G26820) UniProtKB/Swiss-Prot;Acc:P42815] MKLTSGSIVIINLLALQYLPVLCLSQDFDFFYFVLQWPGSYCDTKQRCCYPKTGKPSADFGIHGLWPNYNDGGYPSNCDPDSRFDKSEVYIIFAALYVT >KJB50640 pep chromosome:Graimondii2_0_v6:8:45801030:45803451:-1 gene:B456_008G180700 transcript:KJB50640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 3 [Source:Projected from Arabidopsis thaliana (AT1G26820) UniProtKB/Swiss-Prot;Acc:P42815] MKLTSGSIVIINLLALQYLPVLCLSQDFDFFYFVLQWPGSYCDTKQRCCYPKTGKPSADFGIHGLWPNYNDGGYPSNCDPDSRFDKSEISSLIGSLEKEWPTLSCPSNDGVKFWTHEWLKHGTCSESELDQREYFEAALQLKQKANLLQALTSAGIKPNDEFYELEEIEDAIRQAVGFTPGIECNVDSSRNSQLYQVYLCVDTFGSDFIKCPLLPRAKCGSRIQFPKF >KJB48526 pep chromosome:Graimondii2_0_v6:8:13252482:13253510:-1 gene:B456_008G074000 transcript:KJB48526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFDGDGERTENDLDKPRDSDAGISNTLEAKVEELRKTKEELMRAKENATDSWLDSKPLLDELERLKSDLVIAQNQNSISKNMISELESQLETIVKDIRAKREEELKAVKTINETNRNLEQMREELEQLKADKDEERRTRSKLKQTLRLRRQTLRTLQLTLRAVRIESDAFRASAAKALQYTDHPESDNSPVQLTQEDFYALKRRAKEETSLANWRVAVAMEQKATAEAAQNLALRRSKETHPDNKLQRRKGDRRMVEGEETKQEEAGDQDMTKQEVEEVLNEDFVFPKARAKEINQNKQGKRQRMSKPKSRKIPVSKIKKKVSILSQMKICLVHIKRIFT >KJB46998 pep chromosome:Graimondii2_0_v6:8:729990:731429:1 gene:B456_008G005400 transcript:KJB46998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDQHASSSFQWKTTTIVCIDHCCLNVQKKKKMSAAQRRRVNVHPSDEPESDYSGILNEGILLLVFESIKWDLQVLCLTASVNRKLRAIAKRLLWRKLCVFRAPCMVAALANGAPNGRIGGGWDALAKLMFFCSGCESTRHFIVSRAIPGHFTRASRFSKTSGRSFLAKKCRGDLLFVSDPCEHPMGDKEDDLGIFRGVFRGFMRSKTRACLLRRQVPFDERVRCPYCGTRVWSMTAARLVPKSAARRLGSGDRRLEYFVCVNGHLHGACWLVPLSSDEEVCDDGEEDVDGDDDDVNEDHAGYDEVDGDACSHQTVTNGSTSSLGEDVLGGGPIDLLLNL >KJB48271 pep chromosome:Graimondii2_0_v6:8:9711322:9712612:-1 gene:B456_008G061100 transcript:KJB48271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPTLQCLTQILIRGMPSPAPHPKKRLCPFPLLLSTLFPLLAAVLVYQLDPFDPAPLPIHELGQAAMSVSLRNDRMLQGAELVGAGELLGLEDIAYDSKSHLIYTGCQDGRIKRVRLHHADSAVEKWVNTHDGVDVADNGMIYFTDASYKYSMEDSVWDVLEGKPHGRLMSFDPVTRKTRVLVTHLYFANGVAVSPRQNSLILCETTMRRCRKYYIEGNKQGEQEKFVDNLTGLPDNIKYDGEGHYWIALATGNSVVLDLALRYAFIRKAMGLMEKYIGGLSKGKNAGVLVVDLEGKPVTSAIKIGNRLYCGSFVYPHITSLDLNQHPARSTVSV >KJB52100 pep chromosome:Graimondii2_0_v6:8:53091367:53095718:-1 gene:B456_008G246700 transcript:KJB52100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGREAMALSGGSSPYYIHRGVGGPSSGSVTPTGISAFYSQQGFRPPSNPQVQLQSNIGSTFTGEPKNVSFPHGIEMGVSSGMPPGEPVKKKRGRPRKYAPGGQVSLGLLPLPSKPESSSGLDATGQKRKKGRPPGTGRKQQLATLGEWMNSSAGLAFAPHVVTVGIGEDIVAKMLSFSQQRPRAVCILSGSGTVSSVTLRQPASSTPTVTYEGQFEILCLSGSYLLAEDGGPRSRTGGISASLSTPDGHVIGGGIATLIASSLVQLVVCSFVYGGSKTKNKQLASPKAEEAVPQRCGDSTMPTTAPSTQHFIPPSMSAWPGSRPVGLRNPHADIDLMRG >KJB49027 pep chromosome:Graimondii2_0_v6:8:27907245:27910194:-1 gene:B456_008G098300 transcript:KJB49027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMISDVPATVATVKNPSSKIVYDEYNHERFPPGDPSKRAFAYFVLSGGRFVLSMSASKDVLALASLEVDLSSIELGSTVTVKWRGKPVFIRRRTEEDIKTANSVDLASQG >KJB47497 pep chromosome:Graimondii2_0_v6:8:3503904:3504967:1 gene:B456_008G029400 transcript:KJB47497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMMREEQGIMESFSFSMYLEDILRHSHILMCRYTSNTGVKHKNIQKSEEPIYIERCNMKLRIKSDL >KJB50343 pep chromosome:Graimondii2_0_v6:8:43373378:43375099:-1 gene:B456_008G164900 transcript:KJB50343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWQGQKLAEQIMQIMLLVFAVTAFVAGYVLGSFQMMVLVYAGGVTLTGLITIPNWPFFNRHPLNWLDPSEAEKHPKPQVTVISKKKGSKKTIEPAHRLDPSLPTANLVTLAR >KJB50454 pep chromosome:Graimondii2_0_v6:8:44660968:44663407:-1 gene:B456_008G171600 transcript:KJB50454 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol oxygenase 1 [Source:Projected from Arabidopsis thaliana (AT1G14520) TAIR;Acc:AT1G14520] MTILIDQPDFEAGIEERHKVAIDENELKTFDGGFVAPHINSFGHNFRDYHVESERQKGVEEFYRTNHINQTVDFVRRMRQECGKMERVEMSIWECCELLNEYVDESDPDLDEPQIQHLLQTAEAIRKDYPDEDWLHLTALIHDLGKVLLHPAFGELPQWAMVGDTFPVGCAFDESIVDHKYFQENPDNKNPAYNTKYGIYSEGCGLHNVLMSWGHDDYMYLLRCGAYMHLINEEDIENLKWLKIFNKFDLYSKSKVHIRVEKVKPYYLYLINKYFPAKLKWQCGS >KJB49469 pep chromosome:Graimondii2_0_v6:8:35717889:35720857:1 gene:B456_008G120700 transcript:KJB49469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSFIFFLLLLSFHTQNAIFVTNSSPNDPSSKPPLLNEETLKTSSQATKMESTVVILKGNATVLSVNRTFELGFFTINGESDWYLGIWYSSIPTQTRVWVANREKPIKNITGSSLEITGTGRLVVKESPDSVVWQSDNVEKAKRFVFLETGNLVLYSSNGLKVWQSFDFPTDTWLPGMNLTAQQALTSWKSSFDPSPGLFSLRLNPQLFNEFELVHNLINVYWSTGNWTGKAFVDVPQMTIPYIYNFRFSDPYLPTASFGYTERSLDGSVEPPLTRFQVDVNGQLKQYTWSAETESWNMFWSVPEDKCGVYGLCGDFGSCLVSTTLKPCACVNGFRPFNEKEWGYGDFTGGCRRESGCLCADNDGFNEIGDVRFDGGKTVSFQGTRSICEKSCLSNCSCIGLYHNEGSNTCNNVYGSLLNLRNSSSNGLKKDVFYIRVPRGIMKKNVHRTMVFVGSIVGSIVALGFMAMIFLVFKKIIDYKRKDRYDDDGVCPGLNLKVFTYKELNGVTRGFSEKLGHGGFGVVFRGELSDSTLVAVKRLERPGSGEKEFRAEVCTIGNIQHVNLVRLRGFCSENSHRLLVYDYMPNGPLSAFLIPDSPNLCWDVRFRVAVGTAKGIAYLHEECRDCIIHCDIKPENILLDSDYIAKVSDFGLAKLVGRDFSRVLATMRGTWGYVAPEWISGMAITPKADVYSYGMTLLEIIGGRRNVQAPQSAGNGNAFNEGRDGEKWFFPPWAARRIIEGNVAAIVDSRLGVAYNLEEANRLALVAVWCIQDDEEMRPTMGMVVKMLEGVVEVTIPPPPKLIQAIVAGESYHGIGTNSGMSKVNGCSDYNVGFSSAGSRSSLSNLSSPLEPKFGEV >KJB48167 pep chromosome:Graimondii2_0_v6:8:8053182:8054101:-1 gene:B456_008G054900 transcript:KJB48167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWWSKCVVAFMALALFSISATARPCKTFLISSYSISFHNPNDPSSAVSSRFVTVFTEIGQLNPLSMPADTKPSYVFSSLRDRSRDILSVVVALLFGVGCGSLTAAAIYLVWIIFTARCEYHEDDESDEEFSPKKMGYVNIPANPAVNVKEAL >KJB50367 pep chromosome:Graimondii2_0_v6:8:43692012:43697150:-1 gene:B456_008G166700 transcript:KJB50367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEIEETFSISLQAESVHCGSISFGRFANEPLAWERKSSFSHNRYLEEVEKCSKPGSVIEKKAYFEAHFRKKALLLQSSSSEDQNGGEDQNCESDAMENENYRQYQTGENYAAENTFYGNDSDSTSKGSSYNHFDENGLCSTDHGEDLYCGNEGSFFDHENEGNHFDHSNQSGLRAQFDMSPEDSEYLGEGALIECGSEYPVDVSVPVPINVEPEETCQIQIGYAKSFISSDNPENEVEENLDDDVVNIEESFKSSEWDQCPKTGNTRKVDTTNSKIRLNHSPKLKPAPMSPDHSPKNSFSDPSEVAARVQERKEKEITKKIKAEKLPLQTVFPTRRSMRKSPKQEDSARFNAKLNTGNKSVKEPIRKKVIEAQPSSYKKIETVARQTPDRINQSVSSTRGDAKSNARRFHFKSGERAERRKEFYMKLEEKMHAKEAEMNQIQARTQEKTEAEIKQLRKSLNFKAKPMPSFYHVSATSGSTRNKTATSTMKTAKVQQNSSNCGMRAIPRSPSRYMETNKQAHSAAGLECELNCPTVKSSQASTVSSIPPIESHIPPEPVTRNLILSRKEREKESSIQKHRISESGQVVNKFGGSRPKVGGYRINSELGTKNMKNAGIAGNSGMGRLAVIAS >KJB52013 pep chromosome:Graimondii2_0_v6:8:52785868:52787579:1 gene:B456_008G242000 transcript:KJB52013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVKEEALPLVLVHRPPNFHFPFKHRLQTHFRLLDSLDSDPPKSTHSFFSRHAASVRVLLCVDTIPITRDILSLLLFLQLIVGSSTGVDHIDLQECRRRSIAVTNAGKAFSPDVADLAVGLLIDVLRRVFASFQISGLGKHGAKIAKRLEPFGCAISYNSRRKKPSVPFPWFKNVYNLAVNNDVLIVCCMLTEESRHIISKDVMTALGKEGVIINVGREALIDEKELVQLLVRGELRGAGLDVFENEPDVPQELFSLKNVVLSPHCAVATPECFDALEDLITANLHAFFSSKPLQSVVELE >KJB47181 pep chromosome:Graimondii2_0_v6:8:1664860:1666775:1 gene:B456_008G014500 transcript:KJB47181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYKTHVVSKLTADWAISNNMDYSFLMLPTDKARAIIGHLSSLSLRVRKQAIMHQQPQELSYSLWQSELPNQLPI >KJB49302 pep chromosome:Graimondii2_0_v6:8:34256330:34258681:1 gene:B456_008G111600 transcript:KJB49302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNQESIVNKEENLMDESPFLKLQRWQWWLLVAINIFFLIAGQAAAVLLGRFYYDKGGNSKWMATLVQTAGFPVLCIPYFLLHPSREASTSSTSPSIKTLALLYFVLGVLVAGDNMLYSVGLLYLSASTYSLICATQLAFNAVFSYFLNSQKFTALILNSVVILSLSAALIAVNDDSDGPSGVPKGKFLIGFLCTLGASALYSLLLSFMQLSFQKVLKKETFSVVLEMQIYTSVVASCLSTIGLFASGEWKSLQHEMEVFGTGRVSYVLTLVWTAITWQVCAVGVVGLIFVVSSLFSNVISTLSLAVTPLAALVVFHDKMNGVKVIAMLLALCGFASYIYQNYIDDKKARTLQTHVREIQHTA >KJB47806 pep chromosome:Graimondii2_0_v6:8:5650801:5653959:-1 gene:B456_008G042300 transcript:KJB47806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLRDLWRRHRRKVLVTAGVLGSGYLLYKLYDAHKRRLIDLERQLANERENDEFIKAQMQLHFENIQRIADTTTLPHAMPHLSCRIAEDLNFSHLMERLVKGKDQPNSLSSLEKLELWDSLKILSFTRMVMSIWAVTILSLYIRVQVNILRRYLYIDIARGLGSSYLLVNEFKSIEKFKIFNTNNLRSMESRFFNWKLQLVQQLGYKIVPIMVMMY >KJB46789 pep chromosome:Graimondii2_0_v6:8:3747291:3748118:-1 gene:B456_008G031200 transcript:KJB46789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTNCEVDFFSTKENDPEMILLGKEDHLSYWEFVNSSDYDDDSGDVISVSDDASLSSSPKDTLTPHLIQGYACDGDGEQDVDDGDDGLDDELVPRAFSGKLGRQRMRK >KJB51020 pep chromosome:Graimondii2_0_v6:8:48245977:48248989:-1 gene:B456_008G197900 transcript:KJB51020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHNNNSTSANDPRQPSAAKPYVAQPVSPQDLPVDYSGFIAVIFGIAGVMFRYKLSSWLAIIFCAQSLANMKNVENDLKQISMAMMFAIMGLVTNYFGPARPGKQS >KJB51021 pep chromosome:Graimondii2_0_v6:8:48248168:48248894:-1 gene:B456_008G197900 transcript:KJB51021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHNNNSTSANDPRQPSAAKPYVAQPVSPQDLPVDYSGFIAVIFGIAGVMFRYKLSSWLAIIFCAQSLANMKNVENDLKQISMAMMLVLFLFRSFIC >KJB47652 pep chromosome:Graimondii2_0_v6:8:5087485:5088108:-1 gene:B456_008G0396001 transcript:KJB47652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYHARSNSLPSRQHPIVSQIDENLNRLRASQSASTSSLIGHNLSGLQDLHECVDVLLQFPLTQQALAQEKQREMVEELLDGSLMLLDVCTTAKDALSQTKECTQELQSILRRRRGAEGLANELRKYLTSRKAMKKAICKALKNLKHIQNKLSTPGENGAVISVLRDVEAVTISVLESVLSFISGPEAEPKSSRWSLVLKLMHQKK >KJB48409 pep chromosome:Graimondii2_0_v6:8:10999557:11002600:-1 gene:B456_008G067500 transcript:KJB48409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTVLDRQQATYPLELCASSVPLFQSYLGSGPIVDLKRPEAYLGGDGFHEFVYFPVGGGPEFGDSEKLNLVPPDAAPGYCISRTSSCQMTAFHAASMKEEREDMILEKMESTTGSDSRESFTKRKAEVVADHKSKDKRIKGEVEGESEVKTKCSTQVSRNSSKENSKACEVQKPDYIHVRARRGQATDSHSLAERARREKISKKMKCLQDLVPGCNKVTGKAGMLDEIINYVQSLQRQVEFLSMKIAALNSRVEFNVENLPIKEFPAYVANFPAAAKLPAMPNLTCFQLNPMQKEAVNCMLNETMHPPLTATEGIASASVSIPEQALSSSTITLQPFSTWNMDPQGLYNVYDMGFH >KJB48408 pep chromosome:Graimondii2_0_v6:8:11000525:11002169:-1 gene:B456_008G067500 transcript:KJB48408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTVLDRQQATYPLELCASSVPLFQSYLGSGPIVDLKRPEAYLGGDGFHEFVYFPVGGGPEFGDSEKLNLVPPDAAPGYCISRTSSCQMTAFHAASMKEEREDMILEKMESTTGSDSRESFTKRKAEVVADHKSKDKRIKGEVEGESEVKTKCSTQVSRNSSKENSKACEVQKPDYIHVRARRGQATDSHSLAERARREKISKKMKCLQDLVPGCNKVTGKAGMLDEIINYVQSLQRQVEFLSMKIAALNSRVEFNVENLPIKEFPAYVANFPAAAKLPAMPNLTCFQLNPMQKEAVNCMLNETMHPPLTATEGIASASVSIPEQALSSSTITQLQPFSTWNMDPQGLYNVYDMGFH >KJB52181 pep chromosome:Graimondii2_0_v6:8:53326027:53327722:1 gene:B456_008G249100 transcript:KJB52181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPYAIKGKKQKNRGENFQLLNSDDHANFLQKNNNNPADYRPDITHQALLSILDIVYVRTEKGVLFEVKPHVRIPRTYKRFSGIILQLIQKLNITAVGKCENVLRVIKNPVTNYFPVNSRKIGGFSYSSDKLVKMRKYVDVVGDDVNLIFVVGAMVHGKIELDYIDDFIAISGYPLSAAMCIARITEALADKWSIL >KJB47230 pep chromosome:Graimondii2_0_v6:8:1899785:1901955:1 gene:B456_008G016600 transcript:KJB47230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQHRKLMSDPINESSTNDCSICYQFCLTSCSETCPALCPPYVPPYVGIYPPPSPFSDSGSHSNKPNKFLIITSTILAAAFLVLCCFAYYVWCYRRRSNGRSRSSEVETDETRDEFLDENHGPIVDHPIWYINTVGLQPSIIRSIAVCKYKRGEGLVEGTDCAVCLTEFEEDETLRLLPKCNHAFHVPCIDTWLRSHTTCPMCRAPIVSNPGNRGPSSSGVNNENPGRTEETQVVIVEEDNNEGPDDGGTSEIREEEERDELAVESERNNGDSSGTEDGIQAMRRSVSLHSMAASQISKDLINGSNPEGSSNGNSDNERGKEKEPSVRIVPRRVAGNQSLLRFMCQSSIGRSLQNKPGFMRRSFSYSGKFSLPIINNKTHNTNPPLRSF >KJB51533 pep chromosome:Graimondii2_0_v6:8:50729473:50732623:1 gene:B456_008G220700 transcript:KJB51533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKLLREVGDGTFGCVWRAINKLSGEVVAIKKMKKKYYSWEECVNLREVKSLRRMNHPNIVKLKEVIRENNVLYFVFEYMECNLYQLMKDREKHFSEVEIRNWCFQVFQGLAYIHQCGYFHRDLKPENLLVSRDIIKIADFGLAREISSHPPYTEYVSTRWYRAPEILLQSYLYTSKVDMWAMGAIMAELFTLRPLFPGASEADEIYKICSVLGTPTKYTWSDGLNLARSINYQFQQFPGVHLSLLVPSASDDAINLITSLCSWDPRKRPSAAEALRHPFFQSCYYVPPSLRPKAAVSGTPPSVGVKGTWEQQSPRRFSGFLPNAKRTGNHSTLKANAAVGTGVQRKLEMVNQDLNKNDKSLKSFAKQPRYQPPGRKSPLIGPVATSINKDRNAIGASDVAEKLANVTIGNRRLNVGQIRHSAYKGWGSVDCGF >KJB46805 pep chromosome:Graimondii2_0_v6:8:51296609:51296950:-1 gene:B456_008G226400 transcript:KJB46805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNCLTFQVLEESDSINEKDPFGKQKKVTFCCVSRTWSGHYEQIRSEAMPATGDPKKIKKGHRRLNTIHTIYESKGYEDDAQPRLTRSCGMRRDWSFEDLSDEKMRKEMSVV >KJB51422 pep chromosome:Graimondii2_0_v6:8:50266097:50268700:1 gene:B456_008G215600 transcript:KJB51422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALAEKADSPLKKKPPSWSDIWLKNTKPLKHVVFTMPLQSLSPKDPKSQTLIPTFANIDRTLLLSDELLLQILPKLPHLQRNPNSLVCKRWLNLQGRLIRSLKILDWDFLESGRLFTRFPNLTHVDLLNGCLLSPRDSGILLTHRLVSMQMGSGFCSNRKFLEEDLLPVEVVDKGLQALANGCPNLRRLVVINASELGLLTVAEECLTLQELELHKCNDNVLRGIAACENLQIVKLVANVDGLYSSSVTDIGLTILAQGCKRLVRLELSGCEGSFDGIKAIGQCCLMLEELTICDHKMDDGWLAGLSYCENLKTLKLLSCKRIDRSPGRDEYLGFCPALERLHLQKCQLRSKKSVRALFRVCEAVREIVIQDCWGLDNDMFRFASVCRRVKLLSLEGCSLLTTEGLEAVILSWQDLENLIVVSCKNINESGISPALATLFSILKELRWRPDVKSLLASSLVGTGMGKKGGRFFKRT >KJB51423 pep chromosome:Graimondii2_0_v6:8:50266193:50268680:1 gene:B456_008G215600 transcript:KJB51423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALAEKADSPLKKKPPSWSDIWLKNTKPLKHVVFTMPLQSLSPKDPKSQTLIPTFANIDRTLLLSDELLLQILPKLPHLQRNPNSLVCKRWLNLQGRLIRSLKILDWDFLESGRLFTRFPNLTHVDLLNGCLLSPRDSGILLTHRLVSMQMGSGFCSNRKFLEEDLLPVEVVDKGLQALANGCPNLRRLVVINASELGLLTVAEECLTLQELELHKCNDNVLRGIAACENLQIVKLVANVDGLYSSSVTDIGLTILAQGCKRLVRLELSGCEGSFDGIKAIGQCCLMLEELTICDHKMDDGWLAGLSYCENLKTLKLLSCKRIDRSPGRDEYLGFCPALERLHLQKCQLRSKKSVRALFRVCEAVREIVIQDCWGLDNDMFRFASVCSN >KJB51670 pep chromosome:Graimondii2_0_v6:8:51397569:51399939:1 gene:B456_008G227900 transcript:KJB51670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSTGLDVHFAIPQSDTKRPIFFTRRKFTIYCNSSKSSSKPPQNPPVKRTPSLSEQLQPLSTTTLPKKDQAGLLSKPKSTWVNPTKPKRSVLSLQRQTRSPYAYNPKVRELKLFANKLSDCDNSEEAFLSVLEEIPLEPTRENALLILNSLKPWQKTHLFFNWIKTKNLFPMETIFYNVTMKSLRFGRQFELIEELANEMVSNEIPLDNITYSTIITCAKRCNLFDKAVEWFERMYKTGLMPDEVTYSAVLDVYAKLGKAEEVVSLYERGVASGWKPDPIAFSVLAKMYGEAGDYDGIRYVLQEMKSLGVRPNLVVYNTLLEAMGKAGKPGLARSLFQELLESGLTPNEKTLTSLAKIYGKARWAKDALELWEEMKSKNWPMDFILYNTLLTMCADIGLVEEAEQLFMDMKKSQHCRPDSWSYTAMLKIYGSGGNVGKAMEFFEEMSEVGVELNVMGTTCLIQCLGKAGRMDELVKVFSVSVEHGIKPDDRLCGCLLSVVSRCESRADVDKVLACLHRANPRLVGFVKLIEEEVYCLDTVKEEFKGIISGTKDDARRPFCNCLIDICLSKNLNERAHDLLYLGTLYGLYPGLYNKTADEWSLDVRSLSVGAAQTALEEWMGTLAKTIKHEEALPLLFSAQTGTGTHKFSQGLSNSFASHLKKLAAPFRQSEEKAGCFVAKREDLVPWLQSRLQT >KJB52316 pep chromosome:Graimondii2_0_v6:8:53806052:53807670:-1 gene:B456_008G255400 transcript:KJB52316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRRPQLTPVQRSAKNERDRRHRALKKMEFERLRNEKMELQATVEELRNENTSLRRGYERNNDDISNLQNTVRQLREQKEELRRKYLELQEIVKQAQSYFKFDEFPSPNEEAPNNETA >KJB52884 pep chromosome:Graimondii2_0_v6:8:55816191:55818253:-1 gene:B456_008G281600 transcript:KJB52884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 66 [Source:Projected from Arabidopsis thaliana (AT5G51890) UniProtKB/Swiss-Prot;Acc:Q9LT91] MAGFSPRKLSFSIIFLGLMTVLRSQAALDVHYYDRACPEAEKIIRYTILNASMHDAKVPARILRMFFHDCFIRGCDASVLLDSTPQNQAEKDGPPNISLRAFYVIDDAKTKLESACPKTVSCADIVAIAARDVVAMSGGPFWNVLKGRKDGRISKASETINLPAPTFNVSTLIQSFTKRGLGVKDLVALSGGHTLGFSHCSSFQARLHNFSSVHDIDPTMNPEFAETLKKKCPKPNKNGNAGQFLDSTASTFDNNYYKQLLAGKGVFGSDQALYGDYRTKWIVESFANDQSLFFKEFATSMVKLGNVGVMENGEVRLNCRVVNLGLEKKRENERE >KJB48304 pep chromosome:Graimondii2_0_v6:8:10048238:10050640:-1 gene:B456_008G062700 transcript:KJB48304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMVKQILAKPIQLADQVTKAADEASSFKQECAELKSKTEKLAGLLRQAARASSDLYERPTRRIIDDTEQVLDKALSLVLKCRGNGFMKRVFIIIPAAAFRKMSSQLENSIGNVSWLLRVSASADDRDDEYLGLPPIAANEPILCLIWEQIAILYTGSLDDRSDAATSLVSLARDNDRYGKLIIEEGGVRPLLKLVKEGKMEGQENAAKAIGLLGRDLESVEHMIHAGVCTVFAKILKEGPMKVQAVTAWAVSELAANYPKCQDLFAQHNIIRLLVSHLAFETIQEHSKYAIASNKATSIHAVVMASSNNSNVNNVKNVIDEDHQNPIPHPLGNQTPNQMHNVVTNTMAMKGATKLPQKPSSNHVRSNSQGNAKLIHQVYHHQQQLNGSISGANIKGRELEDTATKAYMKAMAARALCYLAKGNSSVCRSITESRALLCFAVLLEKGTDEVQLNSAMALMEIAAVAEQDTDLRRSAFKPNSHACKLVVDQLFLIIEKADSELLIPCIKAIGNLARTFRATETRMISPLVKLLDEREAEVSKESATALTKFACTNNYLHLDHSKAIISAGGAKHLIQLVYFGEQIVQHSALLLLCYIALHVPDSEELAQAEVLTVLEWASKQSNMTQDETVDTLLHEAKSRLELYQSRGSRGFH >KJB52195 pep chromosome:Graimondii2_0_v6:8:53400900:53403505:-1 gene:B456_008G250100 transcript:KJB52195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISKIATGNGHGEDSPYFDGWKAYETNPFHPIDRPDGVIQMGLAENQLCFNFIKKWVMEHPEASLCSAEGVNKFEETALFQDYHGMPEFREAVAKFMGKVRGGRVKFDPDRIVMSGGATGAHEMVAFCLADPGEAFLVPTPYYPGFDRDLRWRTGVELVPVVCESSNNFKITRAALEAAYEKAKEANLRVKGLLITNPSNPLGTILDRDTLKGIVKFINEKNIHLIGDEIYAATVFMEPEFVSISEIIEEVECNRDLIHIVYSLSKDMGFPGFRVGIVYSYNDAVVSCARKMSSFGLVSSQTQHLIATMLSDDDFVDSFIVESKEQLFKRHKYFTLSLSQVGIGSLKSNAGLFIWMDLRKLLKEKTFDAEMDLWRVIINEVKLNVSPGSSFHCDEPGWFRVCFANMDDNTMEVALLRITTFMHKHNEAMVPRKLCRRASLKLSLSRRRDDFMSQNMMSPHSPIPQSPLVRART >KJB52196 pep chromosome:Graimondii2_0_v6:8:53401037:53403394:-1 gene:B456_008G250100 transcript:KJB52196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPEASLCSAEGVNKFEETALFQDYHGMPEFREAVAKFMGKVRGGRVKFDPDRIVMSGGATGAHEMVAFCLADPGEAFLVPTPYYPGFDRDLRWRTGVELVPVVCESSNNFKITRAALEAAYEKAKEANLRVKGLLITNPSNPLGTILDRDTLKGIVKFINEKNIHLIGDEIYAATVFMEPEFVSISEIIEEVECNRDLIHIVYSLSKDMGFPGFRVGIVYSYNDAVVSCARKMSSFGLVSSQTQHLIATMLSDDDFVDSFIVESKEQLFKRHKYFTLSLSQVGIGSLKSNAGLFIWMDLRKLLKEKTFDAEMDLWRVIINEVKLNVSPGSSFHCDEPGWFRVCFANMDDNTMEVALLRITTFMHKHNEAMVPRKLCRRASLKLSLSRRRDDFMSQNMMSPHSPIPQSPLVRART >KJB52895 pep chromosome:Graimondii2_0_v6:8:55879506:55882086:-1 gene:B456_008G282500 transcript:KJB52895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSIPPLPAPPLQQPPLIYHQSPLPARLIFPPVAAVSASFSILLILSLCLRKIRRQRTNPAHSKPPHRYSYSVLRRATSSFSDSRRLGQGGFGSVYRATINNSNGNRTVAVKVMDAGSLQGEREFQNELFFASKLDSSLVVSVHGFSYDRKRRRMLLVYELMPNGNLQDALLHRKCPELMKWKQRFSIAVDIAKGLDYLHGLDPPIIHGDIKPSNILLDQNFSAKIADFGLARLKSEEIKVEIAEDYGSVAETESIATGIEEFNFPVDQSPVSVTGSPLNAEVVMAVVSPEPVAASPDIGSVSEGIFDKASVESGIELTNAGKHNNAAAAEESDEVKDYVMEWIGTEIKERPTSDWIASVAASSSSKTNAKSEMKKNKSKRRLEWWVSMEEDKEKNVKKEKRRPAREWWKEEYCEELAKKKKKKKKKKRQGTYNSDDAENWWPVDDELYTEKKKKSKRSRSKGSVGSVDWLWEGLSGELRRAWHDSFSGEIPKSGGASSTPSMRGTVCYVAPEYGGGGDVSEKCDVYSFGVLLLVLIAGRRPLQVTESPLSEFQRANLISWARRLARTGKLIDLVDQNVQFFNREQALLCTTVALLCLQKLPARRPSMKEVVSMLTGEAEPPQLPTEFSPSPPSRYPFKSRRKVR >KJB52099 pep chromosome:Graimondii2_0_v6:8:53089328:53092304:1 gene:B456_008G246600 transcript:KJB52099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLTPNNPDSVYAFTVKDAEGNDVDLNIYQGKVMLIVNVASKCGMTNSNYTELNQLYEKYKDQGLEILAFPCNQFGEEEPGSNVEISEFVCTCFRSEFPIFDKIEVNGDNASPLYKYLKLGKWGIFGDDIQWNFAKFLVSKDGQVVHRYYPTTSPLSLEYDIKKLLE >KJB52097 pep chromosome:Graimondii2_0_v6:8:53089328:53091969:1 gene:B456_008G246600 transcript:KJB52097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLTPNNPDSVYAFTVKDAEGNDVDLNIYQGKVMLIVNVASKCGMTNSNYTELNQLYEKYKDQGLEILAFPCNQFGEEEPGSNVEISEFVCTCFRSEFPIFDKIEVNGDNASPLYKYLKLGKWGIFGDDIQWNFAKFLVSKDGQVVHRYYPTTSPLSLEYDIKKLLE >KJB52098 pep chromosome:Graimondii2_0_v6:8:53089328:53092858:1 gene:B456_008G246600 transcript:KJB52098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLTPNNPDSVYAFTVKDAEGNDVDLNIYQGKVMLIVNVASKCGMTNSNYTELNQLYEKYKDQGLEILAFPCNQFGEEEPGSNVEISEFVCTCFRSEFPIFDKIEVNGDNASPLYKYLKLGKWGIFGDDIQWNFAKFLVSKDGQVVHRYYPTTSPLSLEYDIKKLLE >KJB47409 pep chromosome:Graimondii2_0_v6:8:2927446:2928357:-1 gene:B456_008G025200 transcript:KJB47409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSNEVIRDCPPFFKVYKDGRVERYFVTQPVPAGLDPTTGVQTKDVVISPAVKARIFMPQSTTPGQKLPLLVHYHGGGFSIGSAFDTFTYKVAAPLAKQANIVLVSIDYRLAPEHPLPIAHDDSWVGLQWVASHANGQGPEPWLNDNADPSRVFLAGESAGANIAHFVAVQAGATKLVGLKIRGMLIVHPYFGTREPSDNELDKYFCPTSTEFDNDPIVNPAADPKLNEMACERVIVLVAEEDGFRNRGEAYYETLAKSGWRGKVEFFETKGEGHCFHAVIDNHNTDVLKNKMVDFINKDI >KJB47689 pep chromosome:Graimondii2_0_v6:8:4624969:4628461:-1 gene:B456_008G037000 transcript:KJB47689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDVEMKEQAAPSNSLPSFSPSTLHHLKEIASLIETGAYDREVRRILRAIRLTMALRRKLKSSALSAFLNFTLIPGSEALTRLSSYLPKEDEHAMEVDTATSAPQAPAKHSLPEIEIYCYLLVLMFLIDQKKYNEAKACSSASIARLKNINRRTVDVLAARLYFYYSLCYELTGDLAEIRGNLLSLHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKECLLQAARKAPIAALGFRVQCNKWAIIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFRSVAEKFSSTFSSDRTHNLIVRLRHNVIRTGLRNISISYSRISLVDVAKKLRLDSVADAESIVAKAIRDGAIDATLDHASRCMLSKETGDIYSMTEPQTVFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERRQQEQELAKHIAEEDDDEF >KJB49424 pep chromosome:Graimondii2_0_v6:8:35398627:35399196:-1 gene:B456_008G118700 transcript:KJB49424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKGFPTIFLFSCFVLVITNCFMVEADTCKPSGKLRGKKPPPGKCNKGHDSDCCQEGKFYNTYTCSPPVSSHTKATLTLNGFGPKEDGGGPCECDNNYHKDSELIVALSTGWFNKKKRCMNYINIHGNGKTVKAKVVDECDSTMGCDDDHDYQPPCANNIVDASDAVWDALGVYGDKRGEMEIYWSDA >KJB51922 pep chromosome:Graimondii2_0_v6:8:52519756:52521287:-1 gene:B456_008G238200 transcript:KJB51922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLGVIFFLLCLPMHACNARCLGYAADIDNHADFLAKDVNKLKLHDQLEMKSSISKEVQTQEYGVHGIRKQENGTDANNMKQTLCNLLLKAKQAVENAISSGYEIILSSGVDFHGMAKVEGLKRVRRSRSMLGNSGGDTEEDVGSKEKDMVDGDIDDVMDYSQPHRKPPIHNEKN >KJB49852 pep chromosome:Graimondii2_0_v6:8:39322361:39322948:1 gene:B456_008G141500 transcript:KJB49852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTSSLMSCISMFRDKKKAPDGGNDVPLQKGRTRSPSMSLFKVAIRLLRTKPVAEMVPDSKPVQVDVDSKVEWKGMAVGSVHPMHLQSTPLSPSHSPPMKATTPKIMLEPKYMPNREEKEEVILLPLSPIAFPISSFNDVSSSDSSPYDSPCEGSDKEKCDEHNDDGGDEEIDAKAEEFITQFYEQMRLQTLNS >KJB49047 pep chromosome:Graimondii2_0_v6:8:28495731:28503440:-1 gene:B456_008G099300 transcript:KJB49047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRLQISACSSMRSMGCVPIQNELYVLCKCLFAYCYNVTIALQVLSDPVQRTVYDEIHGYALTAMNPFMDDSAPRDHVFVDEFSCIGCKNCANVACDVFRIEEDFGRARVHSQFGNPELVQEAIDSCPVDCIHWTSAAQLSLLEDEMRRVERVNVALMLSGMGSASTDVFRMASSRWEKRQAKVLEQAKVRMMQQKDAGKTDSYWTNLWGKPKQYKKSEEEVKERAKRAAAAARRWREYSRRGVDKAPTVKLPDPLSNSSKHN >KJB49048 pep chromosome:Graimondii2_0_v6:8:28495510:28503440:-1 gene:B456_008G099300 transcript:KJB49048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLSPVYTDTLKIHNPSLNMSRRSSWKAYAKTGASWSLMGHGGQRRGCGRVKVASGNSASTDSLADDYYAVLGLLPDATPEEIKKAYYNCMKACHPDLSGNDPETTNFCMFINEVYGVLSDPVQRTVYDEIHGYALTAMNPFMDDSAPRDHVFVDEFSCIGCKNCANVACDVFRIEEDFGRARVHSQFGNPELVQEAIDSCPVDCIHWTSAAQLSLLEDEMRRVERVNVALMLSGMGSASTDVFRMASSRWEKRQAKVLEQAKVRMMQQKDAGKTDSYWTNLWGKPKQYKKSEEEVKERAKRAAAAARRWREYSRRGVDKAPTVKLPDPLSNSSKHN >KJB49046 pep chromosome:Graimondii2_0_v6:8:28495731:28503631:-1 gene:B456_008G099300 transcript:KJB49046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFMDDSAPRDHVFVDEFSCIGCKNCANVACDVFRIEEDFGRARVHSQFGNPELVQEAIDSCPVDCIHWTSAAQLSLLEDEMRRVERVNVALMLSGMGSASTDVFRMASSRWEKRQAKVLEQAKVRMMQQKDAGKTDSYWTNLWGKPKQYKKSEEEVKERAKRAAAAARRWREYSRRGVDKAPTVKLPDPLSNSSKHN >KJB48494 pep chromosome:Graimondii2_0_v6:8:12402335:12403898:1 gene:B456_008G071700 transcript:KJB48494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVKPWSLVLVVFCIMSLSYFVNGAGSNEGLGASFIFGDSLVDAGNNNYLQTLSRANIPPNGIDFKASGGQPTGRYTNGRTIGDIVGEELGVPSYAVPYLAPNSTGKAILYGVNYASGGGGIMNATGRIFVNRLGLDIQIDYFNNTRKQFDKLLGPSKAKDYISKRSIFSITIGANDFLNNYLLPVLSVGARISESPDAFIDDMINHLRNQLTRLYQLDARKFVIGNVGPIGCIPYQKTLNQLNENECVDLANKLAMQYNRRLKELLSELNGKLQGAIVVHANVYDLVMELITNYTKYGFTTASKACCGNGGQFAGIIPCGPTSSLCKDREKHVFWDPYHPSEAANVIIARQLLSGSTKYISPVNLKQLRNL >KJB51627 pep chromosome:Graimondii2_0_v6:8:51190466:51196982:1 gene:B456_008G225400 transcript:KJB51627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDGSFNQDIDEDDTKKKEGKKKKDPKVPLLKLFSFADIYDYVLMGLGSIGACVHGASVPVFFIFFGKLINVAGMAYLFPKEASHKVAKYSLDFVYLSVAILFSSWIEVACWMHTGERQAAKMRMAYLKSMLNQDISLFDTEASTGQVISAITSDIILVQDALSEKVGNFMHYISRFIVGFCIGFARVWQISLVTLSIVPLIAIAGGLYAYVATGLIARVRNSYVKAGEIAEEVIGNVRTVQAFAGEERAVKSYKEALMNTYKYGRRAGLAKGLGLGSMHCVLFVSWALLVWFTSIVVHKNIADGGDSFTTMLNVVISGLSLGLAAPDISAFIRARVAAYPIFEMIERNTVSKTSSKTGHKLGKVEGHIEFKDVSFNYPSRPDVVIFNRFSLNIPAGKIVALVGGSGSGKSTVISLIERFYEPLAGEVLLDGNNVRDLDLKWLRQQIGLVNQEPALFATTIRENILYGKYDATLGEITRAAKLSGAITFINNLPDRFETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVVAHRLSTIRNADVIAVVQSGKIVETGSHDELISNPNSAYSSLVQLQETASLQRYPSQSPAMSTPRSLSYSRELSRTRTSFGASFRSDKDSVFSRLGAEGIGTGKPVSPRRLYSMIGPDWYYGVFGTIAALIAGAQMPLFALGVSQALVAYYMDWETTCNEVKKIATLFCCAAVITVIVHAIEHLCFGIMGERLTLRVREVMFSAILRNEIGWFDDPNNASSMLASHLESDATFLKGVVVDRTSILIQNLGLVVAAFIIAFILSWRITLVILATFPLIISGHISEKLFMQGFGGDLSKAYLKANMLAGEAVSNIRTVAAFCAEEKIFDLYSRELVEPSKRSFNRGQIAGIFYGTSQFFIFSSYGLALWYGSVLMGKELASFKSVMKSFMVLIITALAMGETLALIPDLLKGNQMVASVFEIMDRKTQVVGDVGEEVTNVEGTIELRGVHFSYPSRPDVVIFKDFDLKVRSGKSMALVGQSGSGKSSVLVLILRFYDPTAGKVMIDGRDIRKLKLKSLRKHIGLVQQEPALFATSIYENILYGKEGASESEIIEAAKLANAHGFISSLPEGYSTKVGERGVQLSGGQKQRIAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMRNRTTVMVAHRLSTIKNADQISVIQGGRIIDKGSHSSLIENKEGPYFKLINLQQQQHSS >KJB50370 pep chromosome:Graimondii2_0_v6:8:43743410:43744654:1 gene:B456_008G167000 transcript:KJB50370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGVNTRPGRYSGGAKVAVFFLWIMLFFSQLGLHFAVHKQGSRHSFQFIRSPPPRKVWFSGAANSFHAPSSSPHLPGNEGDEKRIVHTGPNPLHN >KJB49030 pep chromosome:Graimondii2_0_v6:8:27968175:27970496:1 gene:B456_008G098600 transcript:KJB49030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANATPFCILGLTTTDAGKSKPKNPKPGNQGNDEKKSKKGLEIEGYRVEGLSIGGHETCIIFPTRNLTFDIDFLFISHGHMDHIGGLPMYVATLGLYGMKPPTIAVPTCIKEDVEKLFELHRKMDQSKLKHNLIGLDVGALGCRKRQ >KJB46887 pep chromosome:Graimondii2_0_v6:8:40910:42234:-1 gene:B456_008G000200 transcript:KJB46887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQFPAGGTTPRRQLQIQGPRPTPLKVCKDSHKIKKPPHPPPHAAAASIPPPVAADQRRREPVIIYAVSPKVIHAKESEFMSVVQRYTGLSSGNFSGDGDVSPAARLAVTEKASPSPREKIVDSGFMGEGGMEEALIRTPTGILSPAPETLPAVAAGTFFTPASEARMMSPWHEWSPMLQGIIE >KJB47457 pep chromosome:Graimondii2_0_v6:8:3252211:3253148:-1 gene:B456_008G027600 transcript:KJB47457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITSLSSAMVSPSFIRRQPLTSPRSLFGLKPLKGGSLTMGAYNVKLVTPDGPQEFECPDDVYILDQAEKLGIDLPYSCRAGSCSTCAGVIKQGSVDQSDGNFLDDDQIAAGFVLTCVAYPTSDVVIETHKEEDING >KJB52858 pep chromosome:Graimondii2_0_v6:8:55737858:55738453:-1 gene:B456_008G280400 transcript:KJB52858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPGRCAACRYLRRRCPPACIFSPYFPPNNPQRFVSIHRIYGASNVAKLLQQLPSHLRTQAADSLYLEAQYRIEDPVYGCVGLISLLQQQIHDVERQLAMTQAEIAFRARHPQAVESNLLNNVGNPSFSNQASSWFM >KJB49977 pep chromosome:Graimondii2_0_v6:8:40159844:40162012:-1 gene:B456_008G148000 transcript:KJB49977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGFVAASKVNIYDGRVTAFVICTCLIAASGGLLFGYDLGISGGVTSMEPFLKKFFPSVLEQQRKASQTENQYCKFDSQLLTLFTSSLYLAALVASFFASIVTRIFGRKISMLAGAVAFLIGSILNGVAMNIGVLIFGRLLLGVGVGFANQSVPVYLSEMAPASIRGALNIGFQMAVTIGILAAGLINYGTAKIEGGWGWRLSLVLAVVPAVVMTAGSYLLPDTPNSILERGQPEKARQMLQKVRGTQHVDAEFQDLLDATEAAKKVDQPWTSILQPRHRPQLVLCILIPFFQQLTGINVVMFYAPVLFKTLGFGDDASLMSAVISGIVNVLATMVSIYSVDKFGRRMLFLEGGAQMFIFQIAIGTMIAVRFGVNGDGDLSRWDANILLLFICAYVAAFAWSWGPLGWLVPSEICPLEIRSAGQGINVAVNMIFTFIIGQVFLSMLCHMKFGLFFFFAGFVVIMTVFVYYFLPETKNVPIEEINQVWKQHWFWGKYIPDEALVGLSRNDEGRDT >KJB47667 pep chromosome:Graimondii2_0_v6:8:4359947:4360360:-1 gene:B456_008G035800 transcript:KJB47667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRPTGKMTNVYKNAIHNKSLYVESTINGFPNRRKFIDDGSSVNLMRLSILKAINIDVKSLLRLMTISSFDNKDIIKSGKMTINFKIGSIQDQTCFHVIDADVSYHLLIGRKILHAQNIIQGY >KJB46914 pep chromosome:Graimondii2_0_v6:8:271765:275463:1 gene:B456_008G001200 transcript:KJB46914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEERLEIDDFESEVMERIYSKPLLIKRVNTTSQIAIVGANVCPVESLDYEVPENELVNEDWRSRRTVQIVQYILLKWAFALIIGLSTGLVGIFNNIAVENIAGFKLLLTTELMLKHKYYKAFAAYAGCNLGLATSAAALCAFIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSIFGVAAGFVVGKEGPMVHTGSCIASLIGQGGSRKYHLTWRWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRGFIQLCSTGTCGLFGQGGLIMYDISAAKVVYSTPDILAVILLGVIGGILGSLYNYLVDKVLRTYSIINERGAAVKILLVIMISLLTSICSYGLPWLATCIPCPADASTSCPNTDVSGNYKSFQCPPGHYNDLASLFLNTNDDAIRNLLSTNTVKEFHISSLFIFFVAVYCLGIITYGIAIPSGLFIPVILAGSCYGRLVGRLFEPISKLDVGLFSLLGAASFLGGTMRMTVSLCVILLELTNDLLMLPLVMLVLLISKTMGDMFNKGVYDQIVKLKGLPYMEAHPEPYMKHLIARDVVTGPLITFSGVEKVGNILHALKNTGHNGFPVIDEPPFSDAPELCGLVLRSKLLVLLKGKAFSKDRVLAGNKVLRKISELDFAKAGSGKGLKLEDLDIQEEEWDMYVDLHPIANTSPYTVVETMSLAKAAVLFRELGLRHMCVVPKSQGRPPIVGILTRHDFQPEHILGLYPHIRFQQ >KJB48713 pep chromosome:Graimondii2_0_v6:8:17085763:17088254:1 gene:B456_008G082800 transcript:KJB48713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFESYFSCSNLLCQETEEEVSFFETEAEDDENLYLNDKDDDDDEYIEKLIQRETSCISDYPITIRNKWPQCARLNAIEWMFKTRTLFGFQIRTAYMSVMYLDRFLSKKSIDNGKLWVIRLLSVACLSLAAKMEERRLPVLSEYPTQDHFENKVVQRMELLVVSTLEWKMSTITPFAYLSYFIHKFYGESKPEGLVSKALHLIVLMIKEINLVDHRSSVIAAAAVLAASSDKRLTRKAMELKMNFISFWGSLEIENVFCCYNMMHEIEMRISKTPKCVVSSNYSSVYHAPENSCAVSNGVGTKRKLTFNDSDQ >KJB47744 pep chromosome:Graimondii2_0_v6:8:5055775:5056617:-1 gene:B456_008G039400 transcript:KJB47744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYHARSNSLPSRQHPIVSQIDENLNRLRASQSASTSSLIGHNLSGLQDLHECVDVLLQFPLTQQALAQEKQREMVEELLDGSLMLLDVCTTAKDALLQTKECTQELQSILRRRRGAEGLANELRKYLTSRKAMKKAICKALKNLKHIQNKLSTPGENGAVISVLRDVEAVTISVLESVLSFISGPEAESKSSRWSLVLKLMHQKKVMCEEEQKANKFLTAEAAVRSCIKSENMKHVENVQKELQSSELSIQDLEEGLETLSRHMIKTRVTVLNIISC >KJB49232 pep chromosome:Graimondii2_0_v6:8:33794856:33796011:1 gene:B456_008G107800 transcript:KJB49232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRGLSSVGVQRSRSSGSRLPIVILFFFSILTLLVFFVGQGLYISSSFFLLISPRVFMYYLPDLTLYLILILYSRMMIN >KJB52982 pep chromosome:Graimondii2_0_v6:8:56218959:56219888:1 gene:B456_008G286700 transcript:KJB52982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFEPKNQRLNSENSKISKKFRIKYTDPNATDSSSEEEEENQIVGIKRIVKEISWSTVVLDNDFTSSSSMTAKGVRKRPWGKFAAEIRDPFTKKRLWLGTFDTEKEAAVVYNKKKREFQIMSAAAEDELYCRRSPSSVLDVCVGKVEDETDMKRHVVKKVVKESRIIEPCKRTIEEDEVCVNAVWDDVGSVMELSWEPPPPLWDEELLGPCCLQDTVDYGLTLPENLPAIETDFVQDMAWVDEFFNVESE >KJB49841 pep chromosome:Graimondii2_0_v6:8:39109302:39112242:1 gene:B456_008G140300 transcript:KJB49841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELNIGKIKFKAFDLGGHQIARRVWKDYYAKVDAMVYLVDAYDKERFAESKKELDALLSDEALANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLADSNVRPLEVFMCSIVRKMGYGNGFKWMSQYIK >KJB49548 pep chromosome:Graimondii2_0_v6:8:36435292:36438558:1 gene:B456_008G124900 transcript:KJB49548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHRISKDDISNNNNNVNPRQLQLFVKLVNGQTLSLQFSSPQVQVGSVKRQIQEITKIPINFQRLIRGHQLKDDSVIFHPNATLNLSFSLPGGKGGFGSLLRGAATKAGQKKTSNFEACRDMSGRRLRHVNAEKRLEEWKAEEEERKLEKMAEDFIKKKAKNGKKGVGDGEAEKYVAKYREQSARCVAVVEESVKAACLGKRKAVPGGADPKMLKIWMGKRKLNESDSDNSSDDEESEDDEEDEKSVVLNNGNNSDSSKGTEGSSGLVSRRRHDGEFSGGVSSESGSEEEKDIVPLQSSKSSGEDVLDVENDKVEVETIQPEILENNGTKTEDQKEIVSQGADVPVQENEGVGNKLIDRVDGCSDVKSEFHGETVVSNTNVAIPEKPLNFDDFNSPQEMEVLGLERLKSELQARGLKCGGTLQERAARLFLLKSIPLDKLPKKLLAKK >KJB49547 pep chromosome:Graimondii2_0_v6:8:36435292:36438548:1 gene:B456_008G124900 transcript:KJB49547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHRISKDDISNNNNNVNPRQLQLFVKLVNGQTLSLQFSSPQVQVGSVKRQIQEITKIPINFQRLIRGHQLKDDSVIFHPNATLNLSFSLPGGKGGFGSLLRGAATKAGQKKTSNFEACRDMSGRRLRHVNAEKRLEEWKAEEEERKLEKMAEDFIKKKAKNGKKGVGDGEAEKYVAKYREQSARCVAVVEESVKAACLGKRKAVPGGADPKMLKIWMGKRKLNESDSDNSSDDEESEDDEEDEKSVVLNNGNNSDSSKGTEGSSGLVSRRRHDGEFSGGVSSESGSEEEKDIVPLQSSKSSGEDVLDVENDKVEVETIQPEILENNGTKTEDQKEIVSQGADVPVQENEGVGNKLIDRVDGCSDVKSEFHGETVVSNTNVAIPEKPLNFDDFNSPQEMEVLGLERLKSELQARGLKCGGTLQERAARLFLLKSIPLDKLPKKLLAKK >KJB47379 pep chromosome:Graimondii2_0_v6:8:2704009:2705963:1 gene:B456_008G023700 transcript:KJB47379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGNCFAASSRLFATPATIIFFCNCFAASSRISATLAAMFFGNCFAASSRLFATPATIIFFCNCFAASSRISTTLAAMFFGNCFAASSRLFATSTDLLCFFGVAAAIDFTEAMSHRQPKSIFTDKDQAMMKAVEIVLPESSHRLYM >KJB52829 pep chromosome:Graimondii2_0_v6:8:55683298:55686054:1 gene:B456_008G279800 transcript:KJB52829 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein PP2-A14 [Source:Projected from Arabidopsis thaliana (AT5G52120) UniProtKB/Swiss-Prot;Acc:Q9FJ80] MGAGVSSDLDAQKGTANFQLKTGLNDVPESCISSIFMYLDPPEICKLARLNKAFRGASLADFVWETKLPSNYRYLVEKVLGRNPDSLCKKETFARLCSPNRFDGGNKEVWLDKNSGKLCLSVSAKAMKITGIDDRRYWNHIPTEESRFETVAYLQQIWWFEVVGELEFEFPPGSYSVFFRLHLGKPSKRFGRRVCNLDQVHGWNTKPVRFQLSTSTGHQISSECYLYEPGNWVHYHAGDFVIDDSNPTTKIKFSMMQIDCTHTKGGLCVDSVFIYPSEFRQMRFK >KJB47370 pep chromosome:Graimondii2_0_v6:8:2631498:2632796:-1 gene:B456_008G023100 transcript:KJB47370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVEPTTEFKQDNRSGHHTPLDDLLLIIQFETMRIRDSHLVPGLQKQHMLDGKDHSELIIYELYVICCINFVGLQKQRMLDGNDHSKLIIYELHVIRRINFVTSTHVAFKQDL >KJB52310 pep chromosome:Graimondii2_0_v6:8:53796682:53800887:1 gene:B456_008G255100 transcript:KJB52310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKSDEGSREYAEFLHLQRKRFTDFSAVRKEIQDETDRETGQTKQISSVPIHLSIYSPNVVNLTLVDLPGLTKVAVEGQPDTIVQDIENMVRSYIKKPNCIILAISPANQDLATSDAIKISREVDHAGERTIGVLTKIDLMDKGTDAVDILEGKSYRLKFPWIGVVNRSQADINKNVDMIAARRREREYFASTPEYKHLAQRMGSEHLAKVLSKHLETVIKSRIPGIQSLINKTIAELETELSRLGKPIAADAGGKLYTIMEICRLFDQNYREHLDGVRSGGDKVYNVFDNQLPAALQRLQFDKQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEASVDAIHSILKDLVHKAMNETPELKQYPALRTEVGNAAMESLERMREQSKKATLQLVDMECCYLTVDFFRKLPQEVDKGGNPSQSIFDRYNEAYLRRIGTTVLSYVNAVCAGLRHSIPKSIVYCQVREARRSLLDFYYTELGKLEQNRLSALLNEDPAIMERRSALAKRLELYRSAQAEIDTVAWAK >KJB50627 pep chromosome:Graimondii2_0_v6:8:45606360:45610083:1 gene:B456_008G179500 transcript:KJB50627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFASQMVPEWQGAYMDYDYLKTLLKDIHTFNQRTKPQSIPHGLKRSLSMYRAFSGLLIHRHSQHPPTSPPSPDVEEQPILVNSVNRNGSHKVETTFLMQGDKGGEYELVYFRRLDDEFNKVDKFYKSKVAEVTQEAEVLNKQMDALIAFRIKVENPHGWSWQDRSGDLTRLASDVATSTATLAATIPAGARASGRRSVEHMEIIEEGPSVHDESDEDKKDTVNKESGEQNIEKPVGSKFKKHKPAPLQILDRVKMNNTLATPRSTIKVFLNAPKQSDLKFDRENLKRVENKLKRAFVEFYQKLLLLKSYSFLNTLAFSKIMKKYDKIASRNASESYMNMVDSSYLGSSEEVTKLMERVEATFIKHFANSNRSKGMNVLRPKARKQRHTTTFYTGFFAGCTAALIIALILIIRARHILGHDGTDQYMETMFPLYSLFGFIVLHMVMYAGNVYFWRRYRVNYAFIFGFKQGTELGYREVLLVSFGLAVMSLGSVLSNLDMEMDPKTNDYKAFTEIIPLILVVVVFIILFFPFNILYRSSRFFFLTCLFHSILAPLYKVRLPDFFLADQLTSQVQAFRSLEFYVCYYGWGDFRHRENSCKTNDVFNTFSFIVAVIPFWSRLLQCLRRFFEEKDTLQGYNGVKYFITIVALCLRTAYSLNKGLGWEILALVFSVAAAIVGTYWDLVYDWGLLQRHSRNRWLRDRLLVPRKSVYFGAMVLNVLLRFAWLQTVFNFKIFDLHRQTVTTIVASLEIIRRGMWNFFRLENEHLNNVGKYRAFKSVPLPFNYDEDEDEDKNE >KJB48901 pep chromosome:Graimondii2_0_v6:8:23382590:23386292:1 gene:B456_008G093000 transcript:KJB48901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGDDGRGYDLARLLESCGVWREWLGDSTYASFVHFLSSPLAWESFMRVDDSKSRAQIHLQLRCRALLFDKATVALFLRSHPTTAANNSSSFAVSKLNPNYLQLHGDDVYFTFEAPSQDGGAASNTAPSKSKPSFSAGSRYGESEFDSLSQRYRHEELPENWYNQFIEKYRLTRPYKLSFGDRESEKRTPEEMTTYLRMLEKHKRRRVAFQEDQYMGYGNTGLESSSGLDGNNSADDDTPFFPETMFMMNCVPDSPLPPTTRVRDKKAIEFYGVLDTLPQVSTRSPVMIERLGIRPEYLNMEQGANINRGKNPKKHLSQEQASQIARKVIARLLTGVGFEGATEAPVEVFSQFLSCHMSKLGRNLKILTDNYRKQCTAIELIRMFLQTSGYSNFGALAELVKDSTRNLVQQTQQQMHAIQSQLQPQHQNALRMAQQLQMTRQMHPQMQQMVHPQNLTFQQQQQFDRMMRRHPSTARPVMDMVDKDRPLVQVKLETPSELPMDNNAFNPMNTRHQQMQFRQQQFAAMSNLHSQPNNQFRQLMSPQIPQTPNMGIVRAPPVKVEGFSELMGGDSSLKHEVEENKLTSPSSTTK >KJB47097 pep chromosome:Graimondii2_0_v6:8:1253860:1255297:1 gene:B456_008G010800 transcript:KJB47097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSCLYVVLLLLAFQSTVIKATVPVVPPQCRPVPAGTLARIEFLANLVIGVAEFFLRSSNGTGIEDIAPGLVQGPVPIGATLATLDNATRAAVRQFGLALVGNLRAIVNVTSLRAPLPRPQLNFTAGVFANILNLSALTPPFNVYGGQTQFFLVAEPLTSSLIQYYLAQVIPSIAGIPQQQLVTGIGLNAAAQHGYFRTRLNAIVNITVPPYTITVASFTNTTATAVNLLGLCGVKNEGLIVPLPLGAENRTTTNVIPGDVNSLSPRRTERETLRILHGTGNATRPGGIFPSGPNGTLYRLVIVPLRLS >KJB49844 pep chromosome:Graimondii2_0_v6:8:39154734:39159241:1 gene:B456_008G140600 transcript:KJB49844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGSLTLPSPPQFIILSLLLLCAFGEAVDPFACDPKDAVTAGFPFCKVSMPMSDRVNDLVGRLTLQEKVRLLINGAAPIPRLGIKGYEWWSEALHGVSDVGPGTKFGGAFPGATSFPQVISTAASFNATLWEAIGRVVSDEARAMYNGGSAGLTYWSPNVNIFRDPRWGRGQETPGEDPVLAGTYAAYYVKGLQGNDGDRLKVAACCKHFTAYDLDNWNGVDRFHFNAQVSKQDIEDTFDVPFKMCVQDGNVASVMCSYNEVNGVPTCADPNLLRNTVRGQWKLDGYIVSDCDSVGVFYNTQHYTTTPEQAAADAIKAGLDLDCGPFLAQHSEDAVKQGLLNEVDINNALVNTLRVQMRLGMFDGEPSVQPFGKLGPKDVCTPSNQELALEAARQGIVLLKNSGVSLPLSHRRHQTVAVIGPNSDATVSMIGNYAGVACGYTSPLQGIGSYVKTIHQLGCANVACRDDKLFSAAIDAARQADATILVMGLDQSIEAEFRDRTGLLLPGRQQELVSKVAKASKGPTVLVLMSGGPIDVSFAKNDPRIGAILWAGYPGQAGGAAIADVLYGTTNPGGKLPMTWYPQDYVSNLAMTDMAMRSSRHRNYPGRTYRFYKGPVVYPFGHGLSYTNFVHTIVNAPHVVTVPLDGHRRSGNATVLGKAIKVNHARCNKLSVGLQVNVKNTGSKDGTHTMLVFSTPPAGHWAPSKQLVAFAKVYVPARSEQQVGISIHVCKFLSVVDRSGVRRIPIGLHRIHIGDVKHSVSLEAATLGVIKS >KJB50495 pep chromosome:Graimondii2_0_v6:8:44972185:44974028:-1 gene:B456_008G174000 transcript:KJB50495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLSTPLSHNSLCSPELSTKRHGCPLHQPMYLSESPVLLLESSSPFKELRTVACGLLAVCTVATASPVIAANQRLPPLSTELNRCERAFVGNTIGQANGVYDKPLNLRFCDYTNEKSNLKGKSVATALMLDAKFDGADMSEAVMSKAYAVGASFKGTDFSNAVLDRVNFGKANLQGAIFKNTVLSGSTFDNAQLEDAVFEDTIIGYIDLQKLCTNTSISAKGRVELGCR >KJB49271 pep chromosome:Graimondii2_0_v6:8:34096356:34096859:-1 gene:B456_008G109900 transcript:KJB49271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRLSTGKSWYKPFQYKEDVDKPGDVRNILLVVATLIASVTFQAGVNPPGGVWQEGDHAGRAIYASNSAAYYVFLISNTLALATSILVIIPLTYKFPCHLEIVIATISMTVTYGSAVFAVTPHEIRFRYAIAAFAVPFILRCLIQLFKVLVFKNDHKSDPENGNNE >KJB48581 pep chromosome:Graimondii2_0_v6:8:14034942:14036141:1 gene:B456_008G076000 transcript:KJB48581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDRRMSGLNPGHIAGLRRLSARASAPSTPASLPVRNGLQSFSSLADKVITHLRNSGFQVQPGLSDAEFARAEAEFGFVFPPDLRAILSAGLPVGPGFPDWRSAGARLHLRASLDLPIAAISFQIARNTLWSKYWGPRPSEPEKALRVARNALKRAPLLIPIFNHCYIPCNPSLAGNPIFFIDETRVFCCGFDISDFFERESLFTSSVSNPESLKKQRSVSEKSAGSSTNFSRRSMDAGLASGTRTPRWVEFWSDAAVDRRRRNSSSSSSNSSPDRYFEMRKTQVPKWVDEYMEQIGSVLREGGWGESDITEIAHVSASGFFEGEMVLLDNQAVLDALLLKADRFSDTLRKAGWSSEEVSDALGFDFRPEKEKKPAKKLSPALVEKIGKLAESVTGS >KJB50149 pep chromosome:Graimondii2_0_v6:8:41675761:41676882:-1 gene:B456_008G156100 transcript:KJB50149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQMKKFGFVLLVLSASVVEQIEGKGYEKPLNCGHLECAPYTLIHSQPEFEIRSYSKATWVATTPISSPSYTYAVSIGFKILFAYIRGNNDAAVKMNMTAPVLVNIHPRTGHLQNSTYVVHFYMPQKFQRNPPLSAEAQPVELPQHKYAAVRRFGGFMDDSNISVQLSALKKSLKGTGRDKSSASNQHSGRSLLYSAAGYNSPFEHENRVNEVMLWFD >KJB53034 pep chromosome:Graimondii2_0_v6:8:56439989:56441038:-1 gene:B456_008G289600 transcript:KJB53034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDELKRVFDQFDANKDGQISITELRDVLKAMGSNYSEEELKRVMEDIDSDQDGYINFSEFSTFWSASSDAVNAASELREAFDLYDQNKNGLISATELHQVLNRLGMTCSADDCVGMIKSVDSDGDGHVNFEEFRKMMNASSIPSNGDGSKP >KJB46787 pep chromosome:Graimondii2_0_v6:8:1956626:1956967:-1 gene:B456_008G017300 transcript:KJB46787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVQLEHENVTEFVLVTLRDRVEEGRRFSNEEKDPMTSKELSEDKGDLSVNFFTITPNKPNSALRKVARVRLTCGFEITAYIPGIGHNSQEHSIVLV >KJB49488 pep chromosome:Graimondii2_0_v6:8:36011145:36013594:1 gene:B456_008G121700 transcript:KJB49488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVSIEIENPEGGSEGISRMRQPQEPLIHGLPDDIALLCLARVPREYYTVLKFVSRRWRDLVHGEGLHAHRKKHNLDETWIYALCRDKFEQVCCYVLDPNSSRRSWKQMRELPSRSLLRKGVGFEVLGKRLYLMGGCRWSEDATDETYCYDASKNSWTEANPLSTARCYFACEVLGQKIYAIGGLGLNSSVPHSWDTYDPCTNNWTSHSDGNIVPEIEDSFVLGEKIYIRCGRSAVTSQVYAVVYEPSSGTWQHADADMVSGWQGPAVVVDDTLYVLDESSGTRLMMWEKDLWEWVAIGRLSPLLTRPPCKLVAVGKSIYVIGKGRSTVVVDVSNAGNGGGMMVSSSIPKLTSNDEIINCKCLSI >KJB48448 pep chromosome:Graimondii2_0_v6:8:11630198:11631986:-1 gene:B456_008G069600 transcript:KJB48448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALVFVSDMESEMETHVVLLSSPGLGHLTPVLELSKRLATLSNSKVTIFVVPSLSAAESQVIQSFMSLNLCRIILLPPPDISHLVHADAAVVTRIVVIMREIKPAFSSAVSALSVPPTLFIVDLFGYESMDFADQFKIPKFVYIPSHAGFLALTLYLPVLDEVVKGEYVDEKDALLIPGCRPLQLEDVVDPMLCRSDQQYLEYLNMGIKIPMADGILLNTWEELEPATLAALRDDKLWGGISKAPIYPVGPMVTTPNRPIDSNKSEMFLWLDKQPSESVLYISFGSGGILTKEQMRELALGLELSQQRFIWVVRTPVVAKSCDGSFFTVGNDCNDERLASYLPEGFLDWSNNMGLIISDWAPQVEILRHQSVGGFLSHCGWNSTLESITHGVPLIAWPLYAEQRMNATLLVEELKIAVRSKTLPSKEIVGREEIKLMVKRLMVDEEGHTMRARVKQFKLSGEKAWNHNGSSFKALAQLMR >KJB48501 pep chromosome:Graimondii2_0_v6:8:12576517:12578625:-1 gene:B456_008G072500 transcript:KJB48501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g50420 [Source:Projected from Arabidopsis thaliana (AT3G50420) UniProtKB/Swiss-Prot;Acc:Q9SCT2] MLPSSESCYLASLVQKCSSITTLKKARQLHAFLLTTSTTCLHSPSPYLHNNLVSMYSRCNSLSDAKKVFDKTPHRNVVSYNALISAYSRSLNHANLAFGLVSQMGFECLRPNGATFTSLLQAASFVQDRLIGSSLHALLLKSGFLGDVCVQTSLLGMYSNCGDLVSANEVFAGIDGKDVVSWNSTIFGYLRNDKIKEVLALFFDMMKFGVFPTPFTYSMVLNACGKLGNYECGKVIHARVVVSNIVVDLPLENALLDMYCNCGDIEMGLKVFSRIQNFDLVSWNSMLAGLAEHGDGIRAMELFVELKGMYFLKPDEYTFAAVISATSALLASDYGKPLHGQITKSGLESSVFVGTALVSMYFRNGGSESAQKVFSMILKKDVMVWTEMIMGYSRLADGERAIRLLVEMCQMGYKIDSFVLSGVLSACADLAMLKQGEMIHSQAIKSGYDVEMSVCGSLIDMYAKNGNLKAAQSIFSLVSNPDLKCWNAMLGAYSLHGMAENALKLFDKILKHELRPDQVTFLSLLSACSHSGLVERGKLLWGYMKESGCPAGPKHYSCMVSLLCRAGLLDKAEKIIIESPYSEDNLELWRTLLSSCVTFRNLEKGVHAANQVLTVDSRDSGTHILLSNLYAATERWQDVAEMRRKMRGLMLEKDPGLSWIEDKNNIHMFTSGDQLHPYAEVELHRLQGNMKRSVTYDFLSCF >KJB47375 pep chromosome:Graimondii2_0_v6:8:2670416:2672901:1 gene:B456_008G023400 transcript:KJB47375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSKLQLQLCAGIFTLSILSNAAYGSDKIFDITHKISSQLPTFGSQKGLGQFIWLLSSIKNGSTVNVSEFKLGTHTGTHVDAPSHFFQKYYEQGFDVSTLSLQTLNGPALVVDVPRNKNITAEVMKSLKIPRGVHRVLFKTLNTDRRLMHKTEFASDFTGFKKDGAQWLVDNTDIKLVGLDYLSVSAYVDAAPTHHIFLRKREIVLVEGLNLDDIKPGKYTVHCLPLRMVGADGCPTRCILIA >KJB51143 pep chromosome:Graimondii2_0_v6:8:48906439:48908929:1 gene:B456_008G203300 transcript:KJB51143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGTMWPSNNILKAKAQSTLTMNIPHGYELIKHIKILVLFNYELIKYPKLPSHPSATTMAFSPAKRHPHLLFFTLLSLFLISHARLTLHHSDLKALSTIVKNLGINAQPFPSTNPCTAAGVFCERRLTHNETYVLKITRLVFKSKGLDGFLSPEIGKLTELKELTVSHNNIVYQIPAQIVDCKKLEVLDLKNNMFDGEIPSNLSSLIRLRVLDLSFNKFTGDLSFLKHFPNLESLSLANNQFSGKIPPSIRSFRNLRFFDFSGNSFLEGSAPLMSKADEAAVSRYPKRYVFAETRNSTSNNGGPASAPSPYGSSEAPAPSPVPSPKHKHKKSIRKLMGWILGFLAGGTAGSLSGFVFSVMFKLVIETVRGRGSDSGPSIFSPLIKKAEDLAFLEKDDGLDSLEIIGKGGCGEVYKAELPGSDGKMIAIKKIIQPPKDATELTDEDSKLLNKKMRQIRSEITTVGHIRHRNLLSLLAHVSRPDCHYLVYEYMKNGSLQDLLQQVSDGTRELDWPARHKIAAGIAAGLEYLHMHHSPRIIHRDLKPGNILLDDDMEARIADFGLAKAMPDANTHVTTSNVAGTVGYIAPEYHQTLKFTDKCDIYSFGVILGVLVMGKLPSDEFFQFTDEMSLVKWMRNIMVSDNAIQAIDPKLQGKGHDEQIVLVLKIAYFCTLDDPKERPSSKDVRCMLSQIKSEGQTNN >KJB52372 pep chromosome:Graimondii2_0_v6:8:54048947:54050777:1 gene:B456_008G259000 transcript:KJB52372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSLITLGQDASPTLQQRLHFIVQSRPEWWVYSIFWQASRDVDGRVVLSWGDGYFRGTRDGTGKSINRLSPSKLGSSFERKRSGKDQVQAYFNEVMDVDRMVDGDVTDYEWYYTVSMTRSFAVGDGILGKAFGSGSHIWLGGDHELQLYQCERVREARMRGIQTLVCLPTSFGVVELGSSDIIMEDWGTLQLTKSIFSSGINNSLGSNQPAHDSQPQISTPSIPFVDFGMVSGDQKERILEEKQQVEPKKETTGLGRSSSESDGDFASADTEFNAGGRSKKRGRKPGNGKESPINHVEAERQRRERLNHRFYALRSVVPNVSKMDKASLLSDAVAYIKELRSKIDKLEAQLLVQSEKSKLNPINVFENQTTKSAFDNTMKQSSTYWPKTVEVDVKIVGSEAMIRVRSPDIDHPAARLMDALRDLELPVHHASVSNVNDLMLQDVVVRVPTGIFITDEMLSTAILQRCTLN >KJB49152 pep chromosome:Graimondii2_0_v6:8:30943868:30945854:-1 gene:B456_008G103000 transcript:KJB49152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLHYWERVAGGGCAIWEYGTQFQMRDANLYDDRMLYTSSNCWLQQKYIMGRAVGKDPIMFTYAYLRACRSQICGSNLKPCSKVFL >KJB49287 pep chromosome:Graimondii2_0_v6:8:34197049:34200688:-1 gene:B456_008G111100 transcript:KJB49287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRALGILCRMRDVGAVPSSSAMTTLFEFLLRVGDYGSVWKMFRDMIREGPCPSNYTFNAMILGFCRKGHLRTAESLLNVMGKYKCNPDVCGYNILINANCIRGWTSSALGWVQLMIERGCTPSILTFNIIVNALCSEGNVVEARKVLNEIQEIGLSPNVAIYNTLINGHVKARDVGQANMLYEEMRSKGIIPDAVTFNILVAGHFKFGRKEDGDRLLRELLVMDLLPDHSLCDISVAGLCWAGRLDEAMEILENMLEKGMRPSVVAYNSVIAAYSRAGLEEDAYKVFKLMMKFSLTPSSSTCSSLLMGLSRKGRLEEAREHLYKMMHKGLPINKVAFTVLLEGYFRKGDLAGAKDIWNEMQCRGIYPDAVAFSAFINGLSKAGLIEEAYDLFLEMSDKGLMPNNFVYNSLIAGFCNLGRINEAQKLRREMKQNGLVPDIFTFNIIINGFCKHATMKSAFDAFMDMHCAGLVPDIVTYNTLIGGYCEAFDMVKVNQFMNNMYANGWEPDITTYNIRIHGFCSSRKMNRAVMMLDELLSAGVVPDTVTYNTMINGVCKDILDRAMIITAKLLKMAFIPNVITTNVLLSHFCKQGMPRRALMWCQKLSEISFEFDQVSYKIMDQAYRNIHEDIEFSKATSGKSLLLEFLMYITYDYFSRSRHKQEMNPESFELIV >KJB47428 pep chromosome:Graimondii2_0_v6:8:3047432:3048880:-1 gene:B456_008G026000 transcript:KJB47428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGIIFLCFLYRFIDNNGLPRNWPFVVMIPTLLLNIHRPDGKVAQILRRSNGTFFYRGLWFTNTSFLATSDPENVHYILSSNSSVYLKGSEWLKHFDIFGEALFNSDGEASKRHGKAFHAFFNHPQIRQSLSKVLHQRIEEALVEVLEYVCRREIVVNLQDLFARHAFDIGCIMGMGFNPGPTLKDSLMRDNIIQFLFGSEGTYGLTREEIKRHLSMKQMEGGLHIPSNYDELSKLTYLHAAVCETLRLYPLVPFEFRSCTKPDFLPSGHRVDRSTRVLIGIHAMGRMESLWGEDCYELKPERESPSKFCSFLAGPRICPGKEVSFLVMKATVAAIMHSYNVDVLEGQNISSKNSVLCKMKKGLMVGVKKRWS >KJB49352 pep chromosome:Graimondii2_0_v6:8:34732014:34733692:-1 gene:B456_008G114900 transcript:KJB49352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTFRRIDLTLLTLSLLAVAVNAHNISAILEGFPDYSVYNDFLTKTKLADEINTRQTITCLVLNNGAMSALTAKHPLSVVKNILSLHVLLDYYDPQKLHKISDGTTLTTTLYQTTGNAPGNLGFVNITDLQGGKVGFGSAVPGSKLDSSYTKSVKQVPYNISILEISAPIIAPGVLSAPAPSASGVNITGLLEKAGCKTFANLLTSSGVLKTYEAALDKGLTIFAPSDEAFKADGVPDLSKLTNADQVSLLEYHASPDYKPKGTLKTTKDRITTLATRGAGKFDLTVTAAGDSVTLHTGISPSRVAEAVFDSPPVVIFTVDNVLLPSELFGKSPSPAPAPEPVSSPSPTPSPLSEAPSPLAASPPAPPTDTPAGSPVDSPAGSSENSTSDNAAGHVSPTVIFTVLAIVGYSLC >KJB48162 pep chromosome:Graimondii2_0_v6:8:7989491:7990620:-1 gene:B456_008G054700 transcript:KJB48162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTMHQMKTNPLVDNTQKFQSYSLAIQKENTLIFRPRYFSSIYHQIYLIRTPELFKLPRSSFFFPKICMTSLITYEGESSRTLSLKGKIRKRLKVIGCTKSKTSQLMFLLPSI >KJB52975 pep chromosome:Graimondii2_0_v6:8:56187883:56188486:1 gene:B456_008G286200 transcript:KJB52975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLSRVRVNCRFNLKLDFIYKRTKRKKERDRSLFLIIIWLVRNSVVFHMPWNNSNILKGVIGKGCGFHSLAHTDHIIRRAHTVGVRWQAPRDG >KJB49764 pep chromosome:Graimondii2_0_v6:8:38525984:38526994:1 gene:B456_008G136200 transcript:KJB49764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSLKSKTQKRAITFIPQENPNSPSCIYIKPNRLIPCFRNLAEPSISLCNQRHPKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDESGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >KJB49229 pep chromosome:Graimondii2_0_v6:8:33700522:33702402:-1 gene:B456_008G107500 transcript:KJB49229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEPVRVLVTGAAGQIGYALVPMIARGVMLGSDQPIILHMLDIEPATEALNGVKMELVDAAFPLLMDVIATTDAMVACKGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEKQAAPDCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQLSERLKIHVGQVKNVIIWGNHSSTQYPDVNHATVTPTNSEEKPVRSVVADDNWLNTEFVTTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPKGTWVSMGVYSDGSYGIQSGIIYSFPVTCDKGQWSIFQGLKIDDFSREKMDATAKELVEEKTLAYSCLN >KJB51879 pep chromosome:Graimondii2_0_v6:8:52167651:52168863:-1 gene:B456_008G235800 transcript:KJB51879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTKILLHFEDLALPSFQVIVMSANMGCAQCREKVSKVTSKMTGLREYIVDVSNKQVVVKADFGFRWKLKNEFSKTEKRKYWHLLGVFKCLGLICFCKQIALAD >KJB48728 pep chromosome:Graimondii2_0_v6:8:17686894:17692253:-1 gene:B456_008G083600 transcript:KJB48728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKQHSKDRMFITKTEWATEWGGAKSKENRTPFKRLPFYCCALTFTPFELPVCTKDGSVFDLMNIVPYIRKYGKHPVTGAPLKQEDLINLTFHKNSEGEYHCPVLNKVFTEYTHIVAVKTSGNVFCYEAIKELNIKTKNWKELLTDEPFTKEDIITIQNPNALDGKVTLDFDHVKNSLKVDDEELRKMSSDPTYNINVAGDIKQMLAELGTDKAKQAALLGGGGTKAQNERAAALAAILAARSRIKEDSKSDANGESKSQPAFSIVDAASASVHGRSAAAAKAASSDKTAARIAMHMAGDRAPVNAKMVKSRYTTGAASRSFTSTSYDPVTKNEFEYVKVEKNPKKKGYVQLHTTHGDLNIELHCDITPRTCENFITLCDRGYYNGVAFHRNIRNFMIQGGDPTGTGRGGESIWGKPFNDELNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGGVVGGLTTLSAMEKVPVDDNDKPLEEIKIVSVTVFVNPYLEPDEEEEKEKSNGDKAAEDEDNDKIGSWYSNPGTGTAESGAVGSGGVGKYLKARHTQSESATADTNITAISVTKKRKATPGEFKDFSAW >KJB50961 pep chromosome:Graimondii2_0_v6:8:48003001:48005646:-1 gene:B456_008G195200 transcript:KJB50961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDHHRPTRALYVSSLLFCVVLLNLKRSIVLANNEGADSPAVSPAPSSSPTSFKPSDSYLLNCGSKSEMKMEDGRTFKSDTETSSYLSTSEDVQASVASIPESAFSNSTPSTLKDLYTSARIFSSDSTYSFFISKPGKHWIRLYFYPLPHQKYDLKTAVFTVQTDKFVLLHDFSVDDDSKVVFKEYLVNATQPFSLIFKPQKDSCAFVNAIEIAEIPDELLSDSASSVPQGNTLNGLSNYALEVSYRLNMGGPTITPRNDTLSRTWAPDTPYNLFPEGTEAAKGTTVKYRPDHGMTRLVAPDMVYLTADRMASKAYQQTIAPNFNLSWAMNVDGTFSYLIRMHFCDVVSKTRNELYFNVYINSLMALSNLDLSEKAGELNTAYYTDFVLNASLVTNDSITVQVGPTTNAGLPNAILNGLEVMKMSNLADSLDGLYAVDGSYKGPGASKLKIVAISGLALAFVAMLFLGVVCVRWKKRPQDWQKKKSFSSWLLPIHGSKSNFSSRKSSLFGSRKSKSGYSSVYSNGLGRFFNLSELQNATQNFDEKTVIGVGGFGKVFIGTLDDGTKVAIKRGNHGSEQGVNEFETEIQMLSKLRHRHLVSLIGFCDEDSEMILVYEYMANGPFRDHLYGSANKPTLTWQQRLEICIGAARGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVSDFGLSKAAAMDQGHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARAVICPGLPRDQVSLADWAMQWHKKGMIEKIVDPKIAGTICEGSLKKFAEAAEKCLAEYGVDRPSMGDVLWNLEYSLQLQVASSKTDRPEDKSNIMVLEKGSESGEAKSNPAVAVAAESDDSNVTVGSQVPFPDAASISGR >KJB52201 pep chromosome:Graimondii2_0_v6:8:53423419:53424581:1 gene:B456_008G250300 transcript:KJB52201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHWDCQAVTGETCSNNDAFISYMENPEFSFGPLSFQHGDEDHLMSFPEVFEPNPNVLDGLMEELYKPFCPELNPYTIPVLEDHVEEPECQKQQPLVVSGTNKDSTKPKRSRKKQQHRVVKHVTADDGLQSDIWSWRKYGQKPIKGSPYPRSYYRCSSSKGCLARKQVERSCSDPRVFIITYTAEHNHGHPSRRNSLAGSTRNKSSTVAKPKNLADKNEPHEVAESTVLSPAVIKDESVKQESITMEGGKILTPDIMLSDELVESFEGFGDLFVDQFPDLSHELWFMNESATLTGGLLN >KJB46989 pep chromosome:Graimondii2_0_v6:8:609802:612259:-1 gene:B456_008G004300 transcript:KJB46989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPGRDAGTFHPAMRSPPLVVVVNNQLYSADQATNEVKKYDKVNNSWSVVKRLPVRADSSYGWGLAFKACGNSLLVIGAGGHVGHDDGVIVLHSWDPEEGNRDGQ >KJB48520 pep chromosome:Graimondii2_0_v6:8:13189159:13193982:1 gene:B456_008G073600 transcript:KJB48520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLQNISFSSSCSSSSSSASSGSSSRKHFEDNINSNQRNQNQRPKSRYHYLGLRISGARLRRQKEPKRLPEQEPPKKEDPQASPLSAGCDTPSLSTSPASKTTSSALAVPLPLPLPLPEGDGEQRFPSPKEVGHSKGLEDRDSEKADESIFLVSSVFACCDSRKTTKNVETVSSSRVLQQEVNKGDSSHDEFMVHFPISSTPTSQFGSPVATLQKMSATDVFPHFMVPTDNQIWSAPEMNALDVAGLPPRAFHDYNAYTTDNTPLHSPNRSPHRKNRSQSGPPSPIHQRLSLESIEISSLRSESNGPISVHPLPLPPGATVASPPASIPQVANKPESLPMNCRWQKGKLIGRGTFGSVYVASNRETGALCAMKEVDIFPDDPKSAECIKQLEQEIKVLSHLKHPNIVQYYGSEIVEDKFYIYLEYVHPGSINKYVRDHCGAITESVVRNFTRHILCGLAYLHSTKTIHRDIKGANLLVDASGVVKLADFGMSKHLSGQRADLSLKGSPYWMAPELMQAVMQKDNSSELALAVDIWSLGCTIIEMFTGKAPWSEYEGAAAMFKVMRDTPPIPETLSPEGRDFLRCCFQRNPAERPSASVLLEHRFVKSSLQSATSSSSSSFNGTKVMDAPLSPGERSEFKLAQSPMQQGLQSAKTVTPDCETAQRSHYKHSELTVAPRYSPRSTLEVPPGLSPSSSGPNTRPPSPSGTTNRSINQECKKNHIFG >KJB47617 pep chromosome:Graimondii2_0_v6:8:4058587:4061315:1 gene:B456_008G033900 transcript:KJB47617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKILRVFFIGLLLLQFPLFQVVRCQSEIEADVEGSELGIVREDVQDFVGGNFKPAPGVETICLFPKNSAKVVPAGEKTELLVGMENVGESPLNIIAIEASVHLPFDHRMLVQNLTAQVFDNASVPPSTQATFPYIFAVSKFLQPGTFDLVGTVVYEINQSPYQSTFYNGTIEVVEAGGFVSVESVFLVTLGISLLVLLGLWIHGQFKRISQKTKAAPKVEVGTGTTDASMDEWLQGTAYNQSSSKSKKKK >KJB50056 pep chromosome:Graimondii2_0_v6:8:40663199:40664670:-1 gene:B456_008G150800 transcript:KJB50056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSVLPPPPLPSLPIQPTFPAAAHRRIAIAIDLSDESAYAIRWAVQNYLRAGDAVVLLHVRPTSVLYGADWGSITPNSKNKCENDSNNESQQEIDKELNILTTRKANTLAQPLVDAKIPFKIHVVKDRDMKERLCLEVERLGLSAVIMGSRGFGGTRGRLGLGLGSVSYYCVNHCICPVVVVRYPDEDESGIGRVERVTKKKIVDEDIQLLLPVPEEEVEHQDAEEEEHNADA >KJB50057 pep chromosome:Graimondii2_0_v6:8:40663199:40664715:-1 gene:B456_008G150800 transcript:KJB50057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSVLPPPPLPSLPIQPTFPAAAHRRIAIAIDLSDESAYAIRWAVQNYLRAGDAVVLLHVRPTSVLYGADWGSITPNSKNKCENDSNNESQQEIDKELNILTTRKANTLAQPLVDAKIPFKIHVVKDRDMKERLCLEVERLGLSAVIMGSRGFGGTRGRLGLGLGSVSYYCVNHCICPVVVVRYPDEDESGIGRVERVTKKKIVDEDIQLLLPVPEEEVEHQDAEEEEHNDA >KJB48263 pep chromosome:Graimondii2_0_v6:8:9806040:9807946:1 gene:B456_008G061500 transcript:KJB48263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKISKKRKFPSPFMSFEGYQSWSLPSGLVPDEASPEWLSKGDNAWELTATSFVGLQSVPGLVILYGSMVKKKWAVNSAFMALYAFAAALLCWVLWAHQMSFGYYKNSPLVGKPVNSLSNVFLLGPTHVHSMLPNADYVFYQFAFAAITVILLAGSLLGRMNFYAWMIFVPLWLTFSYSVGAFTIWGYGFLQHKIIDYAGGYVIHLSSGVAGFTAAYWVGPRHSHDRQHFPPNNIIQILGGAGFLWLGWTGFNGGSPLSAGLVTSLAVINTHICTATSLLVWLALDMAVYKKSSVIGAVQGMITGLVCITPGAGLVDPWAAALMGVMSGSIPWYTMMNLHRKSAFFQSVDDTLGVFHTHAVAGVLGGLLSGLFAKPKLLNLMYGNDVYRPGLLHSRGHIHPGLKQMAYQFVGAVFIAAWNAVVTSIICLVISRIVNLRMDEEALEVGDDAVHGEEAYALWGDGERMPKPRRLRIPLICRRLMPTPV >KJB51091 pep chromosome:Graimondii2_0_v6:8:48551889:48553101:1 gene:B456_008G200500 transcript:KJB51091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALASKLLVFVAFSLAVHKTVALKQKATRIQFYMHDIVGGPQPTAVRVAGRSNFTGQDSIAAMFGSISVMDNPLTATPSMNSTLVGRAQGIYAMSSQEKELSLLMTLTYAFTTGPYSGSTFSVVGRNPVMKEVREMPVVGGTGKFRLARGYCLARTYSMTQMEAVIGYNVTLLHY >KJB49391 pep chromosome:Graimondii2_0_v6:8:35072305:35073186:1 gene:B456_008G116800 transcript:KJB49391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPESTTKTTTVITNTNNTTTTMAQPLTKPTRRFVGVRQRPSGRWVAEIKDSSQRVRLWLGTYDTPEEAARAYDEAARALRGENARTNFASVNNSSTQPVISGCNGRQNGLSAFSSLKAKLSKNLQSIMARNGENKSTKSRVSDHFTFASIFHFRNNQYHNNQDQNIHKVVQPSIVVPRIGNEPPPPPPPQPRLSWDSSSVSDCSNEWIGFRQHGLDSDGSDIGEVSFRDHHGFSDEMMGWVDSPDHHHNTISSRLISGDYDDDNHGSRSKRFKVSSSVVVPPTFSGSSYHN >KJB47876 pep chromosome:Graimondii2_0_v6:8:6172399:6174552:1 gene:B456_008G045700 transcript:KJB47876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKNGTSINNDNTPMHDKRDLINNQPNIKCTKRNNDNTPRRSRQASASLPFSLHCTYSRKEETMGLKENDEDRIPFQCLLDAAALIERLDEETMRLKQGLNKIDDNNSSGVDTQPINYTTVRIFGTDIIVAAIEEDMITNQPPAGSSKKRKERVESSRAKKPMPKQKMKKHKHKATFGAQPCLPQRFKEMIKCMDGSEEKLIIQKALYKTDLSKHHGRLSIPMNRVEVEFLTDEELKQSSKEGIEALVIEPCLKTRDMSLRIWDMPKPTGRFSSLYVLVTGWKSVVESNDLKVGDVIQVWSFRVNSKLCFALVIVP >KJB51474 pep chromosome:Graimondii2_0_v6:8:50451267:50459285:-1 gene:B456_008G218200 transcript:KJB51474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIIGRTTTLALIIPRLPLLCTISCSPRLSVSSKLRMSTSASTNTGGSGKSALVIREFVSVEGGVGNARDEADAIRFRLVSYNILAQVYVKSSLFPHSPSPCLRWKARSQVILTLLKDLGADFYSLQEVDEFDSFYKTSMEDLGYSSIYVQRSGQKRDGCGIFYKKNCAELLLEETIEYNDLVPSLHDEAYLSPDKQNAPLTNRNNGDSSKQDFSEKFSILSVKSSPENRGDPNDPRVRLKRDCVGIMAAFKLKHPFHHVVILANTHLYWDPEWADVKLVQAKYLLARLAQFKTLVTDRFECTPSLILTGDFNSTPGDKVYQYLISGNSTSSDRCLEELPLPLCSVYASTRGEPPFTNCTPDFTDTLDYIFFSPSDCLKPVSILQLPELDSPDVAGALPNYSHPSDHLPIGAEFEIAKD >KJB48778 pep chromosome:Graimondii2_0_v6:8:19189180:19190935:1 gene:B456_008G086700 transcript:KJB48778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDDDACNTGLVLELGFSSALETPSKKPSCLKFEQPATTVAPPTTFEPSLTLGLFGDQGYQVTKKSDVNKSGYLHHHEEPGAGDLYRRQASPHSAVSSFSSGRVKREREVSSEELEVEKNSSRVSDEDEDGVNARKKLRLTKEQSALLEESFKQHSTLNPKQKQALAKQLNLTPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLQKELQELKALKLAAQPFYMHMPAATLTMCPSCERIGGVADGNSKSTFSVASKPHFYNPYSNPSAAC >KJB52482 pep chromosome:Graimondii2_0_v6:8:54386621:54387692:1 gene:B456_008G264000 transcript:KJB52482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMQLVVASKRVEPQYVEMMVPLYSYGCERKVKKTLSHLKGIYSVTVEYDQQKVTVWGICNKYSVLSTMRSKRKEARFWKPEDNVEMEDDEEEEPSPSLPRKGFNKPSLALMKARSLSWKAWKKVFARSLSF >KJB53001 pep chromosome:Graimondii2_0_v6:8:56312321:56314292:-1 gene:B456_008G288000 transcript:KJB53001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNSTVSYKMKLVLALVTLQLCFSGFHIVSRVALNIGVSKVVYPVYRNIIALLLLSPFAYFLEKKERPPLTFSLLVQFFLLALLGITANQGFYILGLYYASPTLASAMQNSVPAITFLAASALRLEQINIARKDGLAKVLGTIVSVGGATVITLYKGPILLHQSNNMQNWTLGCIYLLGHCLSWAGWLVFQAPVLKKYPAKLTLTSFTCFFGLIQFLVIAAFVETDFNNWKIQSMEELFTILYAGIVASGIVFSLQTWCIHKVGPVFVAIFQPLQMLLVAIMAFVILGDKLYSGCVFGAVLIVVGLYLVLWGKTEEKKIGNIAIDEDTLKQHLLIDPEIGDHIQDERNKS >KJB48205 pep chromosome:Graimondii2_0_v6:8:9142803:9143464:1 gene:B456_008G058400 transcript:KJB48205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQHSHRKNPPSVLMIFPILSIIFAVPINASTKILEEVVPVVVPQAPTTEIKCGACPCVNPCGQQSLPPPPPPRFTYCNTLPSPPPPPRFYYVTGMPGQLYGVDPDDRWRYFSNGGRNFVGGFVAFIWLWVVGALHYLLSIL >KJB48776 pep chromosome:Graimondii2_0_v6:8:18965975:18967800:-1 gene:B456_008G0865002 transcript:KJB48776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCIVGTGLERQVALDSGVPAIADQEGKIISTDTGKIILSGNEDALGIPLVMYQHFNKNTCMHQTARVRWGKYTKKGQILADGAATVGGELTLGKNILVVYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTHVTSQGPKRITNKIPYLETHLRDILVGKLTPQVVKESSYAPEDRLLSAILGIQVSTSKETCLKLPIGGRGRVIDVRWVQKKGGSSYNSEMICVYISQKCEIKVGNKVAERHGNKGFISKILPRQDMSYLQDGGPVDMVFNPLGVPSRMNVGELFERSLGLAGSLLDRHYRIAPFDERCEQEASRKLLFFELYQASKQTTNPWNGGPFEQSVIIGKPYIFKLIHQVDDKIHRRSSEHYALVTQQPLRGRSKQGGQRVGEIEVWALEGFGVAHILQEMLTYKSDHIRACQEKILPNRKTVGEVTKPYTFHYKTNKPEKDGLFSERIFGPIKSGICACGNYRVIGNQKEGPKFCE >KJB49569 pep chromosome:Graimondii2_0_v6:8:36588755:36592964:-1 gene:B456_008G125700 transcript:KJB49569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKSKTTLLFSSSVILLFFSLSAAVSSPFQLHSLLLRPLPSAPVLSWQDSDLPFNSLVETSDLDTVNSNTSLGLQLELHHLDALSSEETPERLFDLRLQRDALRAETINSLVSKAVARKHPRAPGRRPGFSSSVISGLAQGSGEYFTRLGVGTPPRYLYMVLDTGSDVIWVQCSPCKKCYSQSDPIFDPTKSRSFSGIPCGSQLCRSLDSSGCNHRRMCLYQVSYGDGSVTYGDFSTETLTFRMNRIGRVALGCGHNNQGLFVGAAGLLGLGRGRLSFPTQTGRRLNRKFSYCLADRSASSKPSSLLFGDTAIPRTAVFTPLLTNPKLDTFYYVQLLGISVGGTRVPKIRPSLFKMDRDGNGGVIIDSGTSVTRLTRPAYIALRNAFRLGSSNLKRAPAFSLFDTCYDFSGKTSVKVPTVVLHFRGADVSLPATNYLIPVDSSGTFCFAFAGTMSGLSIIGNIQQQGFLVAYDLAGSRIGFKPNGCA >KJB52896 pep chromosome:Graimondii2_0_v6:8:55887562:55891005:-1 gene:B456_008G282600 transcript:KJB52896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVYRRMLSDEDEDTPAKCRQRRRRRIEMRRHAAVSASGALRSLGQTEDPAESSDCGREGKRLHVLEAGDLLVTNSTHSSSGEEESMGGKSRAELNNGPVYGTMSISGRSREMEDAISVRTELCRPDINHRRPVHFFAVYDGHGGPHVAALCREKMHVFVEEELMRVGCTRESERGRDGSSQAAAEEEREKRWRSAMKRSFERMDAVATNTCGSVGHHCGCHSMEVALGGSTAVVALLTPEHIVVANCGDSRAVLYRGGKAIPLSFDHKPDRPDELARIEAAGGRVIFVNGARVEGILAMSRAIGDKYLKPIVSSEPEITFTKRQPEDECLILASDGLWDVLSSDLACEVAHECLKEGNNSVFNAGRSNQKDEGTEALYPSRSVLAAALLTRLALGRKSLDNISVIVVDLKKS >KJB47496 pep chromosome:Graimondii2_0_v6:8:3405222:3410245:-1 gene:B456_008G029000 transcript:KJB47496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQRSIRVALLYLLLLLLFESTFEQQVQQQRLSSGVEFTALFELRSSLGLRSRDWPRKVDPCSSWNGIRCENGSVIGINISGFRRTRVGKQNPQFAVNSLVNFTHLVSFNASRFMLPGSIPDWFGQRLSSLQVLDLRSCNVTGVLPSSMGNLTNLTSLYLSDNRLTGQIPSTLGQLLSLLVLDLSKNSLTGSIPSSFGSLRNLTSLDISSNSLRGSIPPGLGALSKLQTLNLSVNGLTSSIPSQLGDLDSLVDLDLSSNGLSGSVPQDLRRLRNLQRIILGNNGLSGSLPVNLFPAPSQLHVVVLRNNRFIGNLPQVLWSIPGLNLLDISDNNFTGELPTALDNNAIAAVLDMSRNKFYGGLTTVLRRFSSTNLSGNYFEGRVPDYMLDNASLTSNCLQNVSNQRTLIECVSFYAEKGLSFDNFGRPNATVPAAAESGSNNRRVIILAAVLGGAGLIVLLLLLLLLVLLCVRRRNTANHRGIGVEPVPTGGTPPSPGLAINFSSLGDLFTYQQLLQATGDFSDANLIKHGHSGDLFRGVLEDGLPVVIKRIDLHSIKKEAYLLELDFFSKVSYTRVVTLLGHCLEKEDEKLLVYKYMPNGDLSSSLYRKNNLEDDSLQSLDWITRLKIAIGVAEGLSYLHHECTPPLVHRDIQASSILLDDKFEVRLGSLSEVCAQESDGHQNRISRLLRLPQSSEQGSSGSSTALCSYDVYCFGKVLLGLVTGKLDMSASSDTQMKEWLEQTLPCISIYDKELVTKILDPSLLVDEDLLEEVWAMAIVARSCLNPKASRRPPMRYILKALENPLRVVREDNSSSARLRATSSRGSWNAALFGSWRQSSSDVTIIPAASTTKAEGGSSFKQPVIPEGSAIRAEGGSSFKHSGTTGSRGSAQKDGGDNSSSWRRHSKEIFPEPL >KJB52036 pep chromosome:Graimondii2_0_v6:8:52858668:52860861:-1 gene:B456_008G243200 transcript:KJB52036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPWIRDAAKACCSGCCPNPFPGLPQSHSATSETPITAAEAFRYKFEVSTVATLYPNFNFTNHESLPSFEETFSYFNKVYPQYSQTDEADKIRAHEYYHLSITNHVYLDYIGHGLFSYSQLENQCPGSPVTSSSLESPFFDVSYKSVSLKSQLLYCGEESEFQSSMRKRIMAFMNISEADYNFIFTANQSAAFKLVAESYPFQYSRNLVTVYDHQSEAVEVMIERSKNRGAKAISASFSWPNLEIQTDKLRKKISKRKKKGLFVFPLQSRVTGSRYSYTWMSLARENGWHLLLDASALGAKDMDTLGLSLFSPDFLVCSFYKVFGENPSGFCCLFVKKSSTSVLKDSTTSVGIVNLIPVSWPARIPDKPAIVSSIETKKKVDEFPPIQGSFSGPFSIQHKNDEDEASHEVQKPEGKGTKTKKKTVSFSEIEEVIEASFEPGSTSNTLENKNPKFECRSLDHADSLGVVLIKSRTRNLVNWLVNALTSLQHPHSETGVPAVRIYGPQVSFDRGPAVAFNVFDWKGERIDPTMVQKLADRNNIALSIGTLHHIWFSDKHEEEKEKKALETVLSSNRRHNLGCGITVVTAALGFLTNFEDVYRVWAFVSRFLDADFLEKERWRYKAINQKTVEII >KJB46740 pep chromosome:Graimondii2_0_v6:8:3660179:3660567:1 gene:B456_008G030500 transcript:KJB46740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFYVTGHEVDPTVINVSDQNFNSSRRLWLTSKVKKKKKELEFWMEVNKKKETRGVNDGEFRERKELVGDLSQ >KJB51687 pep chromosome:Graimondii2_0_v6:8:51467021:51468716:-1 gene:B456_008G228500 transcript:KJB51687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 20 [Source:Projected from Arabidopsis thaliana (AT2G35380) UniProtKB/Swiss-Prot;Acc:Q9SLH7] MEIIRASFTLVVFVLNMVRITLSVENEVLYLDYYKETCPLAEEIVSRNVEIAVLKDPRMAASLLRLHFHDCFVMGCDASILLDNHGDIISEKQAGPNLNSVRGFKVIDEIKYILEEACPLTVSCADILAMVARDAVALRGGPRWKVWLGRRDSMKASLDGANQFIPAPNSSLETLISNFNEQGLDIEDLVALSGGHTLGKARCVSFRQRVYDINMEEKRDKYKRYRTFRRILRSICPDSGRDDAIAPLDFSTPAKFDNHYYINILEGNGLLGSDNVLVTEDHEGEIIKLVWVFAANEELFFSSFAKSIVKMGNINVLTGLEGEIRKNCRFVNP >KJB51926 pep chromosome:Graimondii2_0_v6:8:52552025:52552645:-1 gene:B456_008G238600 transcript:KJB51926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLGFFVLVFSFVFYLAGIAASASTTALTPREYIVASCKATRYPALCVEYLSGYANAIRKNEQHLAQTALSVSLSGAQSAAAYVAKMTHVRGIKPRERQAVKDCIENMGDTVDRLSQSVKELSHMGRAGGEGFMLHMSNVQTWVSAALTDENTCLDGFAGRVMDGNVKVAVRRRVVHVAQVTSNALALVNRYAARHRAAISTEKP >KJB50518 pep chromosome:Graimondii2_0_v6:8:45309743:45312301:1 gene:B456_008G176700 transcript:KJB50518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSTMGETNENIRINSSKERSNLSLQMEKYPRDLLQRFMSTETQASITSEREEDEAEEEVELNLGLSLGGRFGVDKNAKKLIRSSSIASSMPTSREEGANNTPPTIPYPTLIRTSSLPTETEEEWRKRKKLQSLRRMEAKRRRSEKQRSSREKMEVNLLEEDKQPGRANNVGVGPPFGLQSRAASARQITSQGSIGSLGRSSSSMSEMENKALQGAGSCGEARSSGSIQSLQDQGSQEAACSSGKKTSDTCHTPELEAETLSKTVENKGKERGSAMEDMPCVFTKGDGPNGKRIEGILYKYGKGEEVRIMCVCHGNFLSPAEFVKHAGGGDVDHPLRHIVVNPNYASFF >KJB47277 pep chromosome:Graimondii2_0_v6:8:2175152:2176318:-1 gene:B456_008G018800 transcript:KJB47277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIVDSLNSAYQEFVGAAAHVLETKESSAAQKTAATDAALENFKQKWELFRVACDQAEEFVESIKQRIGSECLVDEATGYMAGKSGQHSTGLPPISAVRLEQMSKAVRWLVIELQHGSGNAGGAATHAHPSAPFDARFPEDAAQ >KJB49078 pep chromosome:Graimondii2_0_v6:8:29524491:29528145:-1 gene:B456_008G100300 transcript:KJB49078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAINKTVMIAELIKRRIADLHQNTSIGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDVSSTGYQPPLSTDQVKPLNEFEEDGAPEATLGTRGRGRGARGRSIGRGIYGAVGGYNGDGWDGGRSVGSRARGRGRGNSFRGRGRGYGVGGYYDYGESDATLAQGRGLGRARGRRGRGRGRGLYSRSDLPVQANAA >KJB49077 pep chromosome:Graimondii2_0_v6:8:29524423:29529261:-1 gene:B456_008G100300 transcript:KJB49077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPKAEIPINENEIRLTTQGRMRNYITYATTLLQEKGSSEIVLKAMGRAINKTVMIAELIKRRIADLHQNTSIGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDVSSTGYQPPLSTDQVKPLNEFEEDGAPEATLGTRGRGRGARGRSIGRGIYGAVGGYNGDGWDGGRSVGSRARGRGRGNSFRGRGRGYGVGGYYDYGESDATLAQGRGLGRARGRRGRGRGRGLYSRSDLPVQANAA >KJB48765 pep chromosome:Graimondii2_0_v6:8:18677359:18678315:1 gene:B456_008G085800 transcript:KJB48765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERILRRHFNAYGEIKHVVVYFSKAIAFVTFVDPSKAQIALQQQQHIILGRRVEVRPAKPKVEIGKRKIFVGGLPRSITNEEFKGYFEKFGSIVDAVVIHDKETKRSRGFGFVTYEAEESANLVLRTNFHLLNNKRVEVKKVTPRQEMVPSGFEFPPYYYDPYYQTYFYVVWVPIPGFY >KJB51547 pep chromosome:Graimondii2_0_v6:8:50836614:50836934:-1 gene:B456_008G222000 transcript:KJB51547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKLRAKFTPKKRMDKLDTVFSCPFCNHGTGVFFNFFLIWVGFTVDIQRGKLTCHISGPVKTLTETVNQ >KJB49261 pep chromosome:Graimondii2_0_v6:8:34008201:34010132:1 gene:B456_008G109300 transcript:KJB49261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYFPQLFPQIETWVEINDLHKLTRFRLFILIKGFFLRILCWNALSSLMISIFKVHQARLRGDKIDVVVKVQHSGIQDLMMTEICKLQAFAQYIQCRNFFGN >KJB51915 pep chromosome:Graimondii2_0_v6:8:52475635:52476309:-1 gene:B456_008G237700 transcript:KJB51915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKLTAMFFILLIFMFVSLPPIYACAPCTSPHPPSYHRPKHPGHPKPKHPPKHGGAPKVEPPSKKPPRPPVIVPPIPNPPVTNPPVTNPPPSSPYPPSGGGGGGGGGGGGGGGGGGGGGGGGGGGGGSTPAPPTTQPTCPVNALKLGLCVDVLGGLVHIGLGDPVENACCPVLGGLLELEAAVCLCTAIRLKLLNLNIFIPLALQALITCGKNPPPGFICPPL >KJB52344 pep chromosome:Graimondii2_0_v6:8:53888066:53891536:-1 gene:B456_008G256900 transcript:KJB52344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVRAVPTTDLNKNTEWFTYPGVWTTYILMVFISWLLVLSLFGTSAGTAWTIVHLAHFFVTYHFFHWKKGTPFADDQGIYNGLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQNPMLFFNTLAVFVLVVAKFPNMHKVRIFGINGEH >KJB49479 pep chromosome:Graimondii2_0_v6:8:35965738:35966299:1 gene:B456_008G121200 transcript:KJB49479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLPSHNQRFHLILRNLSSFASKTTIFMTSKQFLPSPLLPQTLSHKHFSNPSKRLLPSISSKPIPKNHYFSLRTNKFSSLPSPSVSSPSLSIFFCKYRKKVVA >KJB49427 pep chromosome:Graimondii2_0_v6:8:35500488:35500883:-1 gene:B456_008G119000 transcript:KJB49427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FYTTYKCSSLVSSHTKAKLIINSFKPGGDGGRLLECGNHYHSDDDLVVALSTGWFNYKKRCLKYINIHGNGKSVKAKVVDECDSRMGCDSVHDYQPPCPNNIVNASKAVWKALGFLEKNWGEMDIYWLNTD >KJB53277 pep chromosome:Graimondii2_0_v6:8:29069447:29070952:1 gene:B456_008G099900 transcript:KJB53277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCATKPKVLKGDEIPSPVAPPPEPAKHVQVAADEGKKVEAEIVKAKDVDETKEVVIVNNEKVDDQANDPQSVSKLLNENERKGVAETESDSNTPSEPTKTESVEAVKQESMETDPSSVGGKAATVAVGETQNRETAAAGEEGKTEVTK >KJB46793 pep chromosome:Graimondii2_0_v6:8:10692331:10693677:-1 gene:B456_008G066200 transcript:KJB46793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLLRSIVELANLPDLRYLYLQENLFIGRVPPELGTLENLPHLDVGNNHLVSTIWEGGFSVLRNLYLDNNYLGGGIPAQLANLTNLAIL >KJB51713 pep chromosome:Graimondii2_0_v6:8:51513759:51514631:-1 gene:B456_008G229000 transcript:KJB51713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSWFRGDSKPQTQTAPKSDETPKPVPGMNGAVEIPRSESVTVFEFGSVAATADKVTLAGFCPVSDELEPCRWEILSAKGSDAPQFRVVF >KJB49824 pep chromosome:Graimondii2_0_v6:8:39021754:39022522:1 gene:B456_008G139400 transcript:KJB49824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSSVNLVTPRTIANGPDVPTLKVPCLNRPWKRVSPMKLRVGPVRAAPDGISEKVEKSIKEAQEMCSDDPASGECVAAWDEVEELSAAASHARDKKKDDDPLENYCKDNPETDECRTYDN >KJB50668 pep chromosome:Graimondii2_0_v6:8:45925248:45927562:1 gene:B456_008G181600 transcript:KJB50668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDGENGISTSKFPLQLLEKKQPPCPNKGNSETSSEPPKKTPPKRTSTKDRHTKVEGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSTLSASHLRNTYFNPNFTSQQLRNMADDSSSHQQRRILFPEDSLNFPNVNTFLQTKQEMRDSTSVDFSAAEDTNKGKKRRPEHHELSQNQVGNYLIQSTMGSVPATFWTITNPSNQGISGSGAADPMWTFPSANNTNMYTSTTSSGVHFMNFASPMSLLPGQQLGTGIGAGGSFSDSHLGMLQALNAYRPTHSTNVSESPATGSHQVLHHGEEHRHDSSS >KJB52031 pep chromosome:Graimondii2_0_v6:8:52837551:52840622:-1 gene:B456_008G242900 transcript:KJB52031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLECRMYEAKYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRTEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETLGIDLEELYIKIGWPLYRKYGHAFEAFKIIVTDPDSVLNTLTCEVKKSGPDGQEVTEVVPAVTEEVKDALVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKEAMRKAEAAGNDDCPVKIKLVAPPLYVLTTQTLDKQGIAILNKAITACTEAIEYHKGKLVVKEQPRAVSERDDKLLAEHMAKLRNDNEEVSGDEDSEEEEDTGMGEVDVENAGHGIME >KJB52030 pep chromosome:Graimondii2_0_v6:8:52837248:52841157:-1 gene:B456_008G242900 transcript:KJB52030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLECRMYEAKYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRTEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETLGIDLEELYIKIGWPLYRKYGHAFEAFKIIVTDPDSVLNTLTCEVKKSGPDGQEVTEVVPAVTEEVKDALVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKEAMRKAEAAGNDDCPVKIKLVAPPLYVLTTQTLDKEQGIAILNKAITACTEAIEYHKGKLVVKEQPRAVSERDDKLLAEHMAKLRNDNEEVSGDEDSEEEEDTGMGEVDVENAGHGIME >KJB51900 pep chromosome:Graimondii2_0_v6:8:52682613:52685600:1 gene:B456_008G240600 transcript:KJB51900 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12410) UniProtKB/Swiss-Prot;Acc:Q9XJ36] MAISLNTNLHQPSLSCGTKVYSGLKLQSPCLFATGRPNLTADFYTRVNKSLQCGTRSCKATRSRVGMMPIGTPRVPYRVPGEGTWQWVDLWNALYRERVIFIGQHIDEEFSNQILATMLYLDSIDDNKRLYFYINGPGGDLTPSLAIYDTMKSLKSPVGTHCVGYAYNLAGFLLAAGEKGNRFAMPLSRVALQSPAGAARG >KJB51901 pep chromosome:Graimondii2_0_v6:8:52682613:52685600:1 gene:B456_008G240600 transcript:KJB51901 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12410) UniProtKB/Swiss-Prot;Acc:Q9XJ36] MAISLNTNLHQPSLSCGTKVYSGLKLQSPCLFATGRPNLTADFYTRVNKSLQCGTRSCKATRSRVGMMPIGTPRVPYRVPGEGTWQWVDLWNALYRERVIFIGQHIDEEFSNQILATMLYLDSIDDNKRLYFYINGPGGDLTPSLAIYDTMKSLKSPVGTHCVGYAYNLAGFLLAAGEKGNRFAMPLSRVALQSPAGAARGQADDIRNEASELLRIRDYLFTELAKNTGQPVEKVNKDLSRMKRFNAQEALEYGLIDRIVRPPRIKADAPRKDAGTGLG >KJB48836 pep chromosome:Graimondii2_0_v6:8:20681161:20682382:-1 gene:B456_008G089600 transcript:KJB48836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNEWGMSVYPLVPRHEIVGEVMEVGSKVQKFNVGDQVGVGCVVGSCHSCDSCKNNLENYCPKYILIYGAEYHDGSITYGGYSNTMVVDEHFIVRIPDNLPLDIAAPLLCAGIIVYSPLRYYGLDKPGLHVGVVGLGGLGHIAMKFAKAMGAKVAVISTSPSKKKEALENIGADSFLVSRDQDQLQLLKSHKKLVLVGDPKKPLELPVFPLLQGRKVVGGSLIGRMKETQEMIDFTAKHNVKPDIEVIAMEYVNTTMERLLKADVKYRFVIDIGNTLKATTS >KJB49028 pep chromosome:Graimondii2_0_v6:8:27927390:27942821:-1 gene:B456_008G098400 transcript:KJB49028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch, beta-propeller domain containing protein [Source: Projected from Oryza sativa (Os03g0835800)] MHYWVRAFASDFSGTPPQPRSGHTAVPIGKSKIVVFGGLLDKKFLSDITVYDIESKLWFQPECTGNGSDGQVGPSPRAFHVAVAIDCHMFIFGGRSGNRRLGDFWVLDTDIWQWSELTSFGDLPSSRDFSAASAIGNRKIVMYGGWDGKKWLSDVYILDTISLEWMELSVTGSLPPPRCGHTATMVEKRLLVFGGRGGGGPIMSDLWALKGLIEEENETPGWTQLKLPGQSPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYYNDCIVLDRLSAQWKRLPIGNDPPAARAYHSLTHIGSRYLLFGGFDGKLTYGDIWWLVPEGDPIAKRFIESPPKNIPDNKGMAAESIQSSFKESRRENDTISELQRALGISVSLSSPVLQIIDESEDKEFIELGSRLIGERVPSNNQGLLNQTIELLRDHWRRSTPSSIPLKELGPLLRDYQRLISRHHLANSGSDFQSIDSWLSGKEAYKFYHLKNVSQLRMNDIPKLLAEYKKILPEQNASGPRAGFEEQVL >KJB52723 pep chromosome:Graimondii2_0_v6:8:55264369:55265331:-1 gene:B456_008G274100 transcript:KJB52723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVLKLELHDNKCRQKAMKTASGLSGVESVALDKDQKLTLTGDIDAVVAVRKLRKVCYTEIVSVGPAKEPEKKKEEPKKEEPKKPADTSKDPPKGAVVQYVYHPSMPQYYPPVPDYYSYGKSVEEDPNACVIC >KJB52722 pep chromosome:Graimondii2_0_v6:8:55264369:55265281:-1 gene:B456_008G274100 transcript:KJB52722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTETGSVFLQKVVLKLELHDNKCRQKAMKTASGLSGVESVALDKDQKLTLTGDIDAVVAVRKLRKVCYTEIVSVGPAKEPEKKKEEPKKEEPKKPADTSKDPPKGAVVQYVYHPSMPQYYPPVPDYYSYGKSVEEDPNACVIC >KJB50031 pep chromosome:Graimondii2_0_v6:8:40485456:40488718:-1 gene:B456_008G149500 transcript:KJB50031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAAATTSRVARRMGADDDKLVFETTEGIEPILSFDQMGLKDDLLRGIYNYGFEKPSAIQQRAVMPIINGRDVIAQAQSGTGKTSMIALTVCQVVDTASREVQALILSPTRELASQTEKVIRTIGDFMNIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRHLPPELQVCLISATLPHEILEMTSKFMTDPIRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRSNNFTVSSMHGDMPQKERDAIMAEFRDGATRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >KJB50368 pep chromosome:Graimondii2_0_v6:8:43717969:43721650:-1 gene:B456_008G166800 transcript:KJB50368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNPSTMQEQSPSCLEITMEVHSVVPPPHKSTIQKLKTRLKETLFPDDPFRQFKGQPTKKKWVLAAQYIFPILHWGPNYNLKLFKSDIVSGVTIASLAIPQGISYAKLASLPPIVGLYSSFVPPLVYAVLGSSRDIAVGPVSIASLILGSMLTQQVSPTGDPLLFLQLAFTATFFAGLFQASLGFLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKSLLGITHFTKKMGFIPVMTSVFHNSQEWSWQTILMGFSFLVFLLVARHVSMRRPKLFWVSAAAPLVCVILSTFLVFAFKAQHHGFSVIGKLQEGLNPPSWNMLQFHGGHLGLSMKTGLVTGIISLTEGIAVGRTFASLMNYKVDGNKEMMAIGLMNIVGSSTSCYVTTGAFSRSAVNHNAGAKTAASNIVMSITVMVTILFLMPLFQYTPNVVLGAIIVSAVVGLIGIPAAYHVWKMDKFDFVVMLCAFFGVIFISVQHGLAIAVAVSIFKILMQITRPKTVLLGKIPGTDIYRDLHHYKESVKIPGFLILSIEAPINFANSTYLNERVLRWIEEYEAEDPKMHSNSSLRFVILEMSTVSTIDTSGVSFFKELKQTMENKGVELVLVNLVGEVMEKLQRSNEAGDFMKPDCLFLTVGEAVATLSATIKSQSSNDV >KJB50097 pep chromosome:Graimondii2_0_v6:8:41216741:41218911:-1 gene:B456_008G153800 transcript:KJB50097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRGGFGGIVTLCCIVLFQCSMVSTAVPVPQHKVDLHWYPATATWYGSPDGDGSDGGACGYGSLVDVKPLRARVGAVSPVLFKNGEGCGACFKVKCLDKSICSRRAVTIIVTDECPGGYCANGRTHFDLSGAAFGRMAITGESSQLRNRGELPVLYRRTPCKYPGKNIAFHVNEGSTDYWLSLLVEFEDGDGDVGSMHIREVSPPFFSFSINSTVFINFNALKSF >KJB50096 pep chromosome:Graimondii2_0_v6:8:41216021:41218911:-1 gene:B456_008G153800 transcript:KJB50096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRGGFGGIVTLCCIVLFQCSMVSTAVPVPQHKVDLHWYPATATWYGSPDGDGSDGGACGYGSLVDVKPLRARVGAVSPVLFKNGEGCGACFKVKCLDKSICSRRAVTIIVTDECPGGYCANGRTHFDLSGAAFGRMAITGESSQLRNRGELPVLYRRTPCKYPGKNIAFHVNEGSTDYWLSLLVEFEDGDGDVGSMHIREANSDEWLEMNHVWGANWCIIRGPLKGPFSVKLTTLSAGRTLSARDVIPSNWSPKATYTSRLNFKL >KJB52885 pep chromosome:Graimondii2_0_v6:8:55826735:55829680:-1 gene:B456_008G281700 transcript:KJB52885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDWPYWATGAKTSTKRPPPAKAETTTTPTGCISAVFQFFDFHHFQFSLNHQTNSGSNSSSSCGCFKQPHSFISPHSNFVPTALKGTEAPRNSLESEDESSTSVSASVSASLTTSTSKEDESLNIPMGIQIKTSGDIRSKVGASNNDTFSEISGSPGTKTPTLVARLMGLDLLPETHSPSFSQPKSSSSHLKGRRRSVDGGDFRGTRSLPETPRLSSARRSDVDYHHRFSLQINKENMSTTEEVMVTRFSKRSEDENKSPGHYARQIMKQVKESVGRKVGMDITNTVRNREQAREELVNQFKYKKISKAMSKLAEDSTSNGNGKHSTTPSCSPRLRFLEPKTKDQNPQPPKPSEISIQPQPIRVLQKPKLQTVAEEQDDQQTQRSTSKCKKVTKLKKPQRTSDIIRNKQEEPFVRPSTANRANIPDKKCKKTPLSNDLLNITVSSLFPVKKDPSPPATKIPQKQVLDATRPKRSNSSQLSSCSSQTYNNKQEATYLHSSRHDNIGDRCNNVTTTTTGEEAEYHEYIARILRRTGLDKHTPLSLASWFSPSHPINPSIFYYLEHFTTNNNKTSQLNLRCNRKLLFHLVDELLTEILNPFFNMKPWVKFVGRERFSNMVGSQLINTLCSKIGRFPRADCRVLEDIDALIDKDLPEMKLRCVMAYEEEGEGIVSEIGNSIIEALVHETAADFEFCFAGFNFQKSRLLELNGAV >KJB47140 pep chromosome:Graimondii2_0_v6:8:1375705:1379960:1 gene:B456_008G012300 transcript:KJB47140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/TrEMBL;Acc:F4JN11] MALASVLRLFPSSPPHLSPLLCKSPPPFPFNSNRFSSSPFPILNQRSSFVTAALPDSADEQTVVSVDSVVSEDNFNDPRVIVSACLVGVFTGIAVVLFNNGVHEIRDFFWDGIPYRGASWLREEPLDSVWVRVIFVPACGGLIVSVLNAARNAVSEASYSAKAALGSVLKALAACVTLGTGNSLGPEGPSVEIGSSIAKEIHSLLDKNPQTKLSLLAAGSAAGISSGFNAAVAGCFFAVESVLWPSSPADSSVSLTNTTSMVILSAVIASVISEVGLGSEPAFKVPEYDFRSPGELPLYLLLGLLCGLVSLAFSKLTSYLLGVVDNLNKDVGIPKPVFPIVGGLTVGVIALAYPEILYWGFDNVDLLLESRPFVKGLSGDLLFQLVAVKIIATSLCRAFGLVGGYYAPSLFIGAATGMAYGKFISFAIAQSDPAIHLSILEVASPQAYGLVGMAAMLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGLSSWITSGQMKRKDVKGTKALEDGNRYTIQQPEESDNTTSLSSTEAPPYFNNLCEVESSLCIDDSSIRTKDLEKRIFVKEAMRTRYVTVMTSTLLTEAVTLMLTEKQSCAIIVDNDNLLLGLLTLTDIHEFSKFAKDKSLDSKVLLVSEICSSDGAKCKVPWTATPTMDILSAEMIMNKYDLSHVPVISERVKDCRGQPIGLLDRECISLTCRALATRESLDFDTVKAIVE >KJB47752 pep chromosome:Graimondii2_0_v6:8:5192284:5199285:-1 gene:B456_008G040200 transcript:KJB47752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSKLDDLPAVALCRERCAFLDEAIRQRFALAEAHVAYTASLKSFGQSLNNFVGHDFGTSSGALPPSPPSPNKLKSKAVDPIAVGPSSPKKGHHHSHSNSGSHLHFHSDSDEDDSGGSLHHSDHSSPLHDDGGGHIEYMHPNYPNYGPLESGSFPGGFMHMNFMRKQPTPSIVYEQRPMNPETVYMGESSSSSYYPHSYMSNNNPSSSSYPYQGYPNYGGFSNYSSYSAPGYESSLQPAAGSSSKPPPPPPSPPRASAWDFLNPFESYESYYPPFTPARNSREVREEEGIPELEDEDYQHEVVKEVHGDQKFVDSGGYSKPPVKDEDGKVAASEAEASLYQTRPSVGVENDRVEYEVHVVDKKVVDDERAEERGTGSRGAPKDVFEVIREIQVQFVRAAESGTEIAKLLEVGTLPHHRKHVSKILHVVTPSLSVVPSHPSTSQTGESSSSADNTDPAFLDINEEMARKTKNLSATLQKLHLWEKKLYNEVKAEEKMRVAYDRKSQKLRQLDQRGAEANKIESTRNTIRGLSTKIRIAIQVVDKISVTINKIRDEELWPLLNELIEGLDRMWKSMLECHRNQCQVVREAKTLGLIGSGKKLSDDHLKATLQFEHELISWTIRFSSWIDAQKGYVRGLNNWLLKCLYYEPEVTDDGVAPFSPSRIGAPPIFVICNQWSQAMDRISEREVINSMRVLVTGVLQLWEQDKSEMHRRMMANKDLERKARNLDREDQKLQKDIQALDKKIVLVSGDGSSLSVAGNVVYQSETSNSSLQGGLQRIFEAMENFSSESSKVYEELLQRVKERTAEEHETVS >KJB49828 pep chromosome:Graimondii2_0_v6:8:39047099:39047746:-1 gene:B456_008G139700 transcript:KJB49828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAIPMHRIHILHSFSVVFLYWFYMATVQKPASSSDSDPRYANIDEKKRKRMLSNRESARRSRMKKQKLLEDLVNEVSALQKNNTQICEKIKFTTQRFVKMEASNSVLRAQVIELTESLQSLNSMLQMVEDVSGYDVDIPEIPDPLMKPWQLPCATQPICSTFDG >KJB47718 pep chromosome:Graimondii2_0_v6:8:4736727:4738890:-1 gene:B456_008G037800 transcript:KJB47718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g62260, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G62260) UniProtKB/Swiss-Prot;Acc:O04590] MVLRRSLLNSLRFSSTLTPKAASSKANDLYAMNKKLSEIVRTGKIHEARSMFDKMGERNTVTWNSMISGHVKRREMTQARKLFDEMPERDIVSWNLMISGYASYLGNKFLEEGKKLFEQMPRRDVVSWNTMISGYAKSGRMDEAIRLFESMPERNVVSWNAMVTGFFRNGDTLSATQYFERMPERDSASVNAFVSGLVQNGELDEAARVLIECGNRDGWSEDLIPSCNTLIAGYGQKGRVDDARRLFNQIPFNSVQADGRNGVFERNVVTWNSMIMCHVKAGDILSARELFDQMVDKDTISWNTMINGYVQILDMEEALNLFNMMPKPDNMSWNSMISGYAQMGKLELARDYFEMMPQKHLVSWNTLIAGYEKNEDYKGAIKFFIRMLGEGEKPDRHTLSSVLSVCTGLVDMQLGMQIHQLVSKTVIPDVPIQNSLITMYSRCGALVESRTIFDELKSPKDVISWNAMIGGYASHGFALEALGFFELMKKNKVQPTYITFISVLSACRHAGLVDEGWAYFKSMVSEYGIEPGIEHYASLIDNVARHGQFEEAMDLIMSMPFEADKEVWGALLGACRLHNNVELARVAAEALMRLEPESSAPYILLYNMYAEAEQWDAAAEVREMMEKNNIRKQTAYSSIDSSCC >KJB52827 pep chromosome:Graimondii2_0_v6:8:55674776:55675193:1 gene:B456_008G279600 transcript:KJB52827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVKTLVCHSSQAAVLLLLLVVASVQTRTSKAQLSCTNQLINLNVCAPFMVPGATETQPSSLCCGALQAVQHDCLCSTLRIAAQLPSQCNLPPLYCAT >KJB52900 pep chromosome:Graimondii2_0_v6:8:55908563:55910835:-1 gene:B456_008G282900 transcript:KJB52900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWLFLVIIINLPYSFCAGKRKSGFYFSMKMSKASVVLLYFGFIFIHCEAKSIREKPQAFESFNVSYIQNLGSCSYSVVFTTSCSSTSYTRDQISIAFGDAYGNQIYVPRLDDPASRAFEQCSSDKFEIKGPCAYQICYVYLYRTGPDGWKPEQVKIYGYNSRAVTFYYDTFIPGDTWYGFNYCNSASSSHRWIGQTSFLFVVLWFVLYALI >KJB49432 pep chromosome:Graimondii2_0_v6:8:35546366:35547330:-1 gene:B456_008G119400 transcript:KJB49432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPLSSPTYLTTNLGVGYAIVVALGFLLLLSTLLLASYICFRSSSPLPPRAISPNPISTPTAANSNGIVLPRIVFIGEEDHEHDEENVVVFGLDRAVINSYPKFRFSKEEVSTAVEVTGSSARNTICSICLCEYRESEMLRMMPECRHCFHVTCIDAWLKLNGSCPVCRNSPLPTPISTPLSEVVPLSQYDADRRRW >KJB47740 pep chromosome:Graimondii2_0_v6:8:5042276:5045327:-1 gene:B456_008G039000 transcript:KJB47740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSMLLLSSWFHACNASLLRTKTLATTRSNNFPRSSRQHPLATDVNEHLNRLRASKEASTSSSSISHKLNGFQDLYDCVVKFLQLPLSHHALAHECADELLDGSLRLLNLCSTAKDILLQIKESASELQSALRRRKIGEAEIASEVRKYMSSRKVAKKTIHKALGNLKVIQRKNTVSPSETVSMLKKIEAVTCSMFEDFVGVMNKNQASSAMT >KJB51753 pep chromosome:Graimondii2_0_v6:8:51669307:51670133:1 gene:B456_008G230500 transcript:KJB51753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKSLAMVVVITVSVMWGVEMATAELSAAQCKQERNLAITACKPVVYGKLPSPECCQRVRVTHLECVCPVITPKIAALIDVNRAIRLIQGCGRSVPRHYKCGSITTP >KJB49571 pep chromosome:Graimondii2_0_v6:8:36614196:36614309:1 gene:B456_008G125900 transcript:KJB49571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVFLFGIVLGLIPITLVGLFVTAYLQYRCGDQLDL >KJB48356 pep chromosome:Graimondii2_0_v6:8:10328489:10329028:1 gene:B456_008G0646001 transcript:KJB48356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEAGVGEVATRVDAGFGDVVGQKNHLEGGLVLPY >KJB47683 pep chromosome:Graimondii2_0_v6:8:4571359:4572003:1 gene:B456_008G036600 transcript:KJB47683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKRKPSSFPPKEDGSFTTQTRRSQTHRRTTINPRNEEVIDVPDEEESSEVLGGGKHKLNSASAAATVSKKSKRTITKPVSRTTPSESESEDTLLLDDSENNNKSANVKKVERSCG >KJB49231 pep chromosome:Graimondii2_0_v6:8:33744786:33745520:-1 gene:B456_008G107700 transcript:KJB49231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDIDIENDAHYNNPLTSCSVISTTTTVATSITFSVSGPKQNKKTSKNSVAIKGSKKAVGDLERKQPVYRGVRMRSWGKWVSEIRQPKKKSRIWLGTYPTAEMAARAHDVAALAIKGCSAHLNFPELAKTLPRPASTSPKEIQAAASQAAASTYLDTSRWSNNIEPEDKVSRRPRQEQVPVSRSDNMCSTSPFEDDAALFDLPDLTIDAINRSDGFCSYSSTWQVCAVDAGFRIEEPFAREYY >KJB52155 pep chromosome:Graimondii2_0_v6:8:53249264:53251346:-1 gene:B456_008G248400 transcript:KJB52155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDRRRKLLDFGSLIRDAQSCFMKKIKCKREKKENLSIGASVPHINAVVRRARPETLCLVLPWNNVEDVNEQTQCLSLVTLCILAVSWPNRKISKTNPKLSFIFPLCSVFGFYSKEMVSTDTIDYHSLFDLPSFPLNDSSLDYNNLQALLNNKQTPEENPVVDHQPTCQNQLLGTQFQSCLSFGPNLEQGYEESCNNLNGFELKNEECQYLRRNFSFQPCFDPLMASENFQGQALSMPQNTCNGDLENMNQKSYEEAQTPFKVGRYNPEERQERISKYRAKRNQRNFNKTIKYACRKTLADSRPRIRGRFARNDEAIEIPKAACSTRDEEEDDLWVLHEVEDDETLARGDFMNSFCQNQFQYHHGYF >KJB52040 pep chromosome:Graimondii2_0_v6:8:52883174:52886620:-1 gene:B456_008G243500 transcript:KJB52040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALINFPTDHIKLRKTLSLEKKSLMLKDYLRDDLGSCSSSGFKSFPRRQCCTTIRFLLEADLKKSKHNYSSATKRLLKRSRSKPGSSTTISALQRASESVLKAIKQLPFPFTKSSPPSSSQSNSCRKLFKRGFWRKSTDKEDHGGGGGEIKRWKLFSKLLEDKNQPPYQNTIPNYNTTDTCSTVRVSPSRSNSSWAESEFTANNLQSWSGHPESSTQNGTVSSKTTSPEEKNVSNMAGVTVAEDSKEDWAPNEEGKEQFSPVSVLDCPFDDEEEEDNGSTFEDHLARVEVTKQKLMQKVIRFERLAQLEPVELDKRIAMAELEDEFPNELLDDYYNKPETNHQKLFKLLKPQIPSNSFSSLSVNAKRVVIEMGTEDFGKNENWMKLTQGKEEVGSAVELALFSSLLDDFLIDLLSN >KJB50181 pep chromosome:Graimondii2_0_v6:8:42170197:42171942:-1 gene:B456_008G157700 transcript:KJB50181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTFSCPVSFSITCSSSNGNIQNHGDYRVNINVNGSSCTRRPIKHHTLLNEVDVKEGSTSLSAIQFLENGHISEEKIRQRIPRQKQLVDPYRQGLITERGVGYKQTVVVRSYEVGPDKTATLESLLNLFQETALNHVWMSGLLSNGFGATHGMMRNNLIWVVSRMHVQIDHYPIWGEVIEIDTWVGASGKNGMRRDWVIRSQASGSTYARATSTWVMMNEQTRRLSKMPDEVRDEISPWFIQKQAINEAVPDKIVKLDDKAKHVNSDLKPKRSDLDMNQHVNNVKYVRWMLETIPDKFLERHQLSSIILEYRRECGSSEVVQSLCQPDEDESFANGVQQNLLTNKVLVGGNGRLGSPDINVLTYGYTHLLQTQNEEIVRGRTRWNRKICTGL >KJB51114 pep chromosome:Graimondii2_0_v6:8:48714982:48715464:1 gene:B456_008G201600 transcript:KJB51114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLGEDDLSQLKEIFARFDMDSDGSLTILELAALLRSIGIKPSGDQIHVLLANMDANGNGSVEFDELASILPELTGEILNNQERLTEVFQLFDRDGNGYITAAELAGCMAKMGYPLTYSELTEIIKEADSDGDGVISFTEFSSIMGKSALEFLGISLSS >KJB48495 pep chromosome:Graimondii2_0_v6:8:12455406:12457375:1 gene:B456_008G071900 transcript:KJB48495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSESGDQSRRLCKVLNPGAPPPEQEQLPCPRCDSTNTKFCYYNNYNFSQPRHFCKSCRRYWTHGGTLRDIPVGGGTKKNAKRSRTNTHATATTHNNFPLPATPVLLPISANQGSFGIGGESKGNGGGNGNMCGSFTSLLNTQGPGFLALGGFGLGITPALEDVGFGLGRGIWAFSGMGDGAAVVGANGGGAAAATGMGNPWQFEGGETGFVDGGDCFSWPELAISTPGNGFK >KJB51414 pep chromosome:Graimondii2_0_v6:8:50286874:50291558:-1 gene:B456_008G215800 transcript:KJB51414 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MALKTPSTFLSPLSLPKHYTLSIFNPVSLNIYRKSTPLSCKSTHSHSPSQLPLSDTKLLSSEPEGTGAASPTRGDRFLERQQADEAAKLLIKEIKKTKKKAKKVLKVNTATACCYGCGAPLQTSEVDAPGYVDMDTYELKKKHHQLRTILCGRCRLLSHGHMITAVGGNGGYSGGKQFVSADELREKLSHLRHEKALIVKLVDIVDFNGSFLSRVRDLAGANPIILVVTKVDLLPKGTDFNCVGDWVVEAITKKKLNVLSVHLTSSKSLVGIAGVASEIQKEKKGRDVYILGSANVGKSAFISALLKMMAQRDPAAAAAQKYKPIQSAVPGTTLGPIQIDAFLGGGKLYDTPGVHLHHRQAAVVHSEDLPILAPQSRLRGQSFPGCQVSSKNGMAGKFNSNGLNGFSIFWGGLVRIDVLKVFPETCLTFYGPKKLPIHAVPTHEADEFYKKELGVLLTPPTGKDRAGEWRGLETVQQLQINFEDAERPASDVAISGLGWITIEPRRESLGISESNFAEGTKELHIAVHVPKPVEIFVRPSIPVGKAGAEWYQYRELTEKEEEIRPKWYF >KJB51412 pep chromosome:Graimondii2_0_v6:8:50286874:50291558:-1 gene:B456_008G215800 transcript:KJB51412 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MALKTPSTFLSPLSLPKHYTLSIFNPVSLNIYRKSTPLSCKSTHSHSPSQLPLSDTKLLSSEPEGTGAASPTRGDRFLERQQADEAAKLLIKEIKKTKKKAKKVLKVNTATACCYGCGAPLQTSEVDAPGYVDMDTYELKKKHHQLRTILCGRCRLLSHGHMITAVGGNGGYSGGKQFVSADELREKLSHLRHEKALIVKLVDIVDFNGSFLSRVRDLAGANPIILVVTKVDLLPKGTDFNCVGDWVVEAITKKKLNVLSVHLTSSKSLVGIAGVASEIQKEKKGRDVYILGSANVGKSAFISALLKMMAQRDPAAAAAQKYKPIQSAVPGTTLGPIQIDAFLGGGKLYDTPGVHLHHRQAAVVHSEDLPILAPQSRLRGQSFPVFPETCLTFYGPKKLPIHAVPTHEADEFYKKELGVLLTPPTGKDRAGEWRGLETVQQLQINFEDAERPASDVAISGLGWITIEPRRESLGISESNFAEGTKELHIAVHVPKPVEIFVRPSIPVGKAGAEWYQYRELTEKEEEIRPKWYF >KJB51411 pep chromosome:Graimondii2_0_v6:8:50286791:50291622:-1 gene:B456_008G215800 transcript:KJB51411 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MALKTPSTFLSPLSLPKHYTLSIFNPVSLNIYRKSTPLSCKSTHSHSPSQLPLSDTKLLSSEPEGTGAASPTRGDRFLERQQADEAAKLLIKEIKKTKKKAKKVLKVNTATACCYGCGAPLQTSEVDAPGYVDMDTYELKKKHHQLRTILCGRCRLLSHGHMITAVGGNGGYSGGKQFVSADELREKLSHLRHEKALIVKLVDIVDFNGSFLSRVRDLAGANPIILVVTKVDLLPKGTDFNCVGDWVVEAITKKKLNVLSVHLTSSKSLVGIAGVASEIQKEKKGRDVYILGSANVGKSAFISALLKMMAQRDPAAAAAQKYKPIQSAVPGTTLGPIQIDAFLGGGKLYDTPGVHLHHRQAAVVHSEDLPILAPQSRLRGQSFPVSSKNGMAGKFNSNGLNGFSIFWGGLVRIDVLKVFPETCLTFYGPKKLPIHAVPTHEADEFYKKELGVLLTPPTGKDRAGEWRGLETVQQLQINFEDAERPASDVAISGLGWITIEPRRESLGISESNFAEGTKELHIAVHVPKPVEIFVRPSIPVGKAGAEWYQYRELTEKEEEIRPKWYF >KJB51413 pep chromosome:Graimondii2_0_v6:8:50288156:50291476:-1 gene:B456_008G215800 transcript:KJB51413 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MALKTPSTFLSPLSLPKHYTLSIFNPVSLNIYRKSTPLSCKSTHSHSPSQLPLSDTKLLSSEPEGTGAASPTRGDRFLERQQADEAAKLLIKEIKKTKKKAKKVLKVNTATACCYGCGAPLQTSEVDAPGYVDMDTYELKKKHHQLRTILCGRCRLLSHGHMITAVGGNGGYSGGKQFVSADELREKLSHLRHEKALIVKLVDIVDFNGSFLSRVRDLAGANPIILVVTKVDLLPKGTDFNCVGDWVVEAITKKKLNVLSVHLTSSKSLVGIAGVASEIQKEKKGRDVYILGSANVGKSAFISALLKMMAQRDPAAAAAQKYKPIQSAVPGTTLGPIQIDAFLGGGKLYDTPGVHLHHRQAAVVHSEDLPILAPQSRLRGQSFPVSSKNGMAGKFNSNGLNGFSIFWGGLVRIDVLKVLIILFHV >KJB51503 pep chromosome:Graimondii2_0_v6:8:50644397:50647880:1 gene:B456_008G219600 transcript:KJB51503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGFEREAWKAHASMALVQLFNGGYHVITKVALNVGVNQLVFCVFRDLLALSLLAPVAYVREKRIRPPMTKRLLLTFFFLGLTGIFGNQLLFLIGLSYTNPTYAAAIQPAIPVFTFLLAVMMRTERVNLLKTEGQAKVGGTLICVSGAILMVLFRGPALLGQSNGDFAVQNDISARGQPEPAGWLMTSFLEFGLDPWHIGVICLIGNCICMAAFLAIQAPVLAKYPANISVTALSYFFGAILMVAIAFFFTNESTDWNLTRSEIFAVVYAGVVASALNYGLLTWSNKILGPALVALYNPLQPAASAFLSRIFLGSSIYLGSVIGGFLIIAGLYTVTWASYRERCAEGMMPQNVRSSEPLIHKDESINKNPYQRARVFSEPSVLSPKSSD >KJB52366 pep chromosome:Graimondii2_0_v6:8:53945009:53949416:-1 gene:B456_008G258300 transcript:KJB52366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTEQKPLMRALRRIHTSSSQSTSSSSSSSSNTSSSSSSSWIHLRSVLLVVASSSSSSSSSSSSQQIPTDRGSLKSPWSHRRRKHALLPKQWRNLFTADGKLIDGGVKFLKKIRSGGVDPSIRAEVWPFLLGIYDFNSSKEERDSLRSQKRKEYERLRKRCHQILKRTEKSVKLKGTAGNVCNEDNECFSQVFDSPGLEDMVSGRRSHSTEGGSPVFDDSDHRVCDHSHPTSLSSDSFLEGEVGKRVVISQDACTGETESSDSDSSEEDENTPLLSSEIIEENDIHKDDNGSSSPSQIEGRSATPTDEDFATWRRIILLDAVRANDDWIIYSPSQASVSTMKAQRLAESVGLKDYDDLEPCRIFHAARLVSILEAYALYDPEIGYCQGMSDLLSPIISVVEDDSEAFWCFAGFMKRARHNFRLDEVGIRRQLNIVSKIIKCKDNHLYRHLEKLQAEDCFFVYRMVVVLFRRELNFEQTLCLWEVMWADQAAIRAGIARSAWGRMRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEIMRECNSMAGHLDVWKLLDDAHDLVVNLHDKI >KJB52790 pep chromosome:Graimondii2_0_v6:8:55497381:55499276:1 gene:B456_008G277000 transcript:KJB52790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVDDECKLKFLELKAKRNYRYIIFKIQDQQVVVEKVGNPGSTYEEFTASLPADECRYSVFDFDFTTDENCQKSKIFFIAWSPDTSRVRSKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIIKARAI >KJB50371 pep chromosome:Graimondii2_0_v6:8:43758533:43758709:1 gene:B456_008G167100 transcript:KJB50371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETIRISSNFMLSLCKSRASTLFSVSAVSVFAFGSCKAGWYYRCLGLDPQIPCNLRP >KJB52891 pep chromosome:Graimondii2_0_v6:8:55857698:55860240:-1 gene:B456_008G282200 transcript:KJB52891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNTIGDGTQKQTVPQQTQQHFSASSPIEPLECANPKKPRYNSSDKWKQAPMAESTQARVAAGSSSDTYSSPPHSPTIIKPEGDQFQHQLRKGKYVSPVWKPNEMLWLARAWRIQYLGGVGVQVQPSRGKTRADKDKEVAEFLNKHGINRDAKTAGTKWDNMLGEFRKVYEWERGEKERVGKSYFRLSPYERKLHRLPASFDEEVFEELAQFMVPRDGRVVGSRALPVPPPVMTTSLLGFDTALDGGLLGHHSPSSSKELRRIGKIRMTWEESVTLWAEEGEHRRGRVKLQCSSFLNADELIFFDDAMVGSTMEAFEDTPLKGFSVDTFVNGQQVKVFGRRKSAQHPFVEPSIRSMPPMEFQDPTDFYMACLRVPPTTLPSLFELSWHLQEPPPEDYRFPLRRDVYRDIPPGKEVMFTASSELLDCRAMVYDILGPMIRTNTSLSAASATGRDSFIGLWDDCINRVVSKFCSVEMVIIRKPSSPSTDQPLQDRWPNVTGFVKNFCLWRGEETDRSREGQLDPSSTIVEKLLWSYMDLPYILGYYAVGYMVTFCALSRSEDRVIMTDLYSVDLSFPSERLKALVPCYRIAGLLPLLADRCLNSVLNMQFPFSDFERINLGNGDIIEMTPNTVTRSFLSKKKWAMVKEIYDMLDQRIPHAEFIYRASEIDSTLVFKPRGCKFKPLNYDQLVEALKYVTKALVALHDLSFMHRDLSWDKVLRRCNGENEWFVTGFDEAVGAPQIYPHPVASTEARGTHAPEMVRGLHGVKVDVWGVGHLVKTCGLTNLPKMLRELQSRCLDQNPDQRPTAADCYHHLLSASSTATY >KJB46880 pep chromosome:Graimondii2_0_v6:8:1931275:1932378:1 gene:B456_008G016800 transcript:KJB46880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSARLLVLPFFIALSLSCMNVAQGAGTARRLLQATTTTPPIFPNLPPFRGFQFPPYSGPFPEYRLPPFPGISNVPPSAPGFPGSSAPGFPGSSASGFPGSFPSFPAPPTLPNTTP >KJB51015 pep chromosome:Graimondii2_0_v6:8:48198603:48200536:1 gene:B456_008G197500 transcript:KJB51015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQVAGNEDYGDKLPVGFRFLPTDVEFVTHYLINKVIYNPFSSFIFQEINATELYTKSPKNSVQFCNGEREWFFFIYMDMNIDNMHNKAIEKGGDELGFWQSMGDRKCVKDTNGNTLATKITFLYFSGSPSHRKKTHWRIDEFRLPIQFYTLHNSKKIEGNNFTKEKWAAGRLTRGRDYTSF >KJB49334 pep chromosome:Graimondii2_0_v6:8:34659767:34660754:-1 gene:B456_008G114000 transcript:KJB49334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLPNIYFQLFKFQAKHNMAFWSAENATKAYLRALKMGKRGKEPDVSEFISAMAAGNNAQLMVMTCAGVAGSTALALIAAARQTGGKVVCILNSLDDYVASKTALGNYGGSLTFVIGDAKMVLNDYETADFVLIDCNIDGHKEVLKAAQEGTKHNRGALIVGYNALHKGHGWNNVDEFKTHFLPIGDGLLVNRKAPTRKGAGDGFNSRKRSRWVVKIDKWTGEEHVFRITSPCF >KJB49434 pep chromosome:Graimondii2_0_v6:8:35564505:35567513:1 gene:B456_008G119600 transcript:KJB49434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKRKNKSQLLSKIATNDRHRENSPYFDGWKAYDNNPFHPTQNPNGVIQMGLAENKLCFDLIKKWIMENPDASICTAGGVDKFKDVAIFQDYHGLKEFREAIAKFMGRVGGNKVTFDASRIVMSSGATGANETIMFCLVNPGDAFLVPSPYYPGFARDLRWRTGLEIVPVDCKSSNNFRITRAAMEDAYEKAQKWNLNVKGIIIANPSNPLGTTLDIETMRSLVAFVNEKNIHLVCDEIYAATVFDSPRFVSIAEVIQDMDCCNRDLIHIVYSLSKDMGIPGFRVGIVYSFNDDVMLCARKMSSFGLVSSQTQYLLASMLSDDGFVGNFLRESSKRLAKRHYVFTKGLEQVGISCLTSNAGLFFWMDMRLLLKEQSFKGEIELWRVILNEVKLNVSPGSSFQCSEPGWFRVCFANMDDETVEVALNRIRAFVLNRENQESNRSKRCWRKKHLRLSFSSTRLYDETIMSPCMISPHSPLVRAKT >KJB50751 pep chromosome:Graimondii2_0_v6:8:46592111:46593628:-1 gene:B456_008G185900 transcript:KJB50751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSIDESTTSDSISVSIAPSNISPLPQLTKSPDSLYRVGSGTSVIVDSESGVEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAAKAYDIAAQRFRGRDAVTNFKHLHEMEDDDIQIAFLNSHSKAEIVDMLRKHTYNDELEQSRRSYGFDGNGKRIVRKEDGFGTLGFELKAREQLFEKAVTPSDVGKLNRLVIPKQHAEKYFPLQSGSASSKGVLLNFEDVTGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKKLKAGDIVSFQRSTGTEKQLYIDWKARTGLGSGLENPVQMVRLFGVNIFKMPGSENVGLAGGCNGKRTREMELLELECSKKQRVIDAL >KJB47835 pep chromosome:Graimondii2_0_v6:8:5946873:5948857:-1 gene:B456_008G044400 transcript:KJB47835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSIELQEQIILAILFTIFVVSLSIHGGDKKKRQRPAPPEAAGAMPLLGHLHLLGTNQLLHRIFGDMADKYGPAFLVRLGSHRALVVSNWQVAKECFTTNDKIFSTRPRSLASKLMGYDHKMLGFAPYGPYWRSLRKLATLELLSSRRMELLRHVRDTEIDCFIKELYEETVRSGGIAVVEVKEKIGSLATNIIVRMIAGKRYSCGSDDEESKRCQNAIADFFHLVGLVLVSDWVPFLGWIDVVMGRIAKIKRISQECDMIIGSWVNEHRRQRKLGENIKGDQDFIHVMLSILDDNNIPTEEADTIIKGTCLSLILGGIDANVVVLTWTVSLLLNNRHVLKRAQDELDIHVGKHRQVQESDINNLVYLQAIIKETLRIKPPVPLSGPREAMEDCTIAGFHIPAGTRLFPNIWKLQRDPSIWQKPLEFLPERFLNDHANVDVRGKNYEFLPFGSGRRICPGITFALKFLPLALGRLLHGFELGTVSDVAVDMSESPGLTTPKATPLEVTLTPRLPAMLYG >KJB46886 pep chromosome:Graimondii2_0_v6:8:693081:695424:-1 gene:B456_008G005000 transcript:KJB46886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVSLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGKPLGRGRGRGRGRGRGRGR >KJB49910 pep chromosome:Graimondii2_0_v6:8:39764911:39768601:-1 gene:B456_008G145200 transcript:KJB49910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSELIFRGHETQPVSDSYSPKPDKPWASVTRPIRYMLREQRLLFVFLGIAIATLIFTLFPASRAPQHNFADSITYFPIDTQSKFSNPHRLGFGSSNPTGKIPLGLKRKGLRIVVTGGAGFVGSHLVDRLIARGDSVMVVDNFFTGRKENVMHHFGNPNFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAEVVQETIDPNAKIEFRPNTEDDPHKRKPDISRAKELLGWEPKVSLRKGLPLMVSDFRQRIFGDHKEGSSNNEASS >KJB46993 pep chromosome:Graimondii2_0_v6:8:664255:666075:1 gene:B456_008G004600 transcript:KJB46993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSVCQGLQLCLEPRIVESHFLRLKLAPPTSFQDSIETPTPCFTNPSEPEPISYHNDYDDTDINITDGNNNSDNISKQNADMGVWSFLQSLAGPKDSTENNEVYVHPLVKSSASALSKKSLEMCTESLGSETGSDISDDITFGCCSPSKHRENSVTRRMSYSRSFPPPLTSISGSNMSYNPLKTRENLVMKRMNRSSSSSFPPPLTSISGSNGVQVTSHREGGRLVLQAVNVPSCQTYFHVERSEGRLRLCLLKETTANTPIFDDKEEEEERDEVAEEDEVVEEDEVLYGENGVVQDENDVVEDEVLCEENDILEDIDYEENGVVEDEVEGEKCYWGGEDLDEKNGKIGDQIGNGKLARLISCKGNGCGHTGLLDWEPYLVAT >KJB47436 pep chromosome:Graimondii2_0_v6:8:3135205:3136711:-1 gene:B456_008G026500 transcript:KJB47436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQIWKLMLLVSLLICHLLSKVPATSLEPPKHFVLVHGSCHGAWSWYKVVPLLKSGGHNVTALDLGGSGVDPQQVNTLRSISDYIKPLREFMASLPDEEKVVLVGHSLGGLAISQAMEMFPEKVAVAVFVTASMPGPTLNVSILIQKALRDQDSQMDNHYTYDDGPSSPPTTFTFGPMFLSSKVYQLSPPEDSALASMLMRPIRLYSAEDMSREVVLSQKKYGSVNRVFIISEKDLVSKEDFVGWMIRENPPRQVEVIKGSDHMVMMSKPIQLSKLLLCLATNYSQR >KJB47453 pep chromosome:Graimondii2_0_v6:8:3228721:3228945:-1 gene:B456_008G027400 transcript:KJB47453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPILKVNSCRNGVVVPGKVHIEHRIPKGVIHVQSSFNNTIVTVIDVRGRIISWSSTNTCGFNGTKRGTPFAA >KJB49806 pep chromosome:Graimondii2_0_v6:8:38962177:38963967:1 gene:B456_008G139000 transcript:KJB49806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGLFPFSSPFDFNGIQGNYSLPDFEKDGTVIKGKHDPLLGFQEIGDDPDNDPVFPNHGLYQNVTKMSENQEQQQGRAATKSAFSDEFSLSSVFSGNMAFQEFSRPENMKPIKDCNMQSSCRLSSLELLTNYGNGFKKLRFSKYVGSDGGDGTDKRGGGQKKLSAEEIMRVTGARYIQLSDMRYDDFSMIMHPFGHALSGLSDDETKDVELVHLLLTAAEKVGYEQFERANRLLSRCEWIASERANPVQRIVYYFAEALRERIDKGMGRIIAKEPEMIFKTGIENGLNTNLISVRMHEYVPFSQVTQFMGIQAIIENVASASKIHIIDLELRSGVQWTGLMQALSEREVRHVEILKITAVGFVGNEKIAETGKRLESVAASFKLPFSFIAVYVEDMEDIKEELFKIGNDESLVVFCPLVLRTMISRPTCLENLMRVMKTLNPTIVIVIEIEANHNSPSFVNRFIEALFFYSTFFDCLDTCLEHEGELRAGVESVLCNGIRNIVAMEGKERVVRSVKLEVWSAFFARFRMTELGFSESSLYQGSLVIKQFPSAASYCTLDKCGKSVIVGWKGTPVQSVSAWKFSRDRGRVFGNYRF >KJB47614 pep chromosome:Graimondii2_0_v6:8:4038186:4039529:1 gene:B456_008G033600 transcript:KJB47614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYCHYGHCYCGRCHRPSSPLPFSVLLFLALALMLLALSSLIKIEIDMESTGDSMTWLVLLAALAILVVVRFLSANSCRQPHYCGCGRCTTWKYCY >KJB52334 pep chromosome:Graimondii2_0_v6:8:53867049:53869862:-1 gene:B456_008G256600 transcript:KJB52334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHLVRTIRNVVGITGNVISLFLFLSPVPTFVRIWKKGSVEQYSPVPYLATLINCMVWVIYGLPMVHPDSTLVITINGAGTAIELVYLTLFLIFCHDKKKRLKVLLIALVEVVFMALVAALVLTLAHTTERRSMVVGIIAILFNIMMYASPLSVMKLVISTKSVEYMPFFLSLASFANGVAWTTYAFLPFDPFIAVPNGLGTLFSLAQLLLYATYYESTKRIIAARKETKMEVNLSEVVVNGNHDPKKTTRAT >KJB52414 pep chromosome:Graimondii2_0_v6:8:54170279:54171735:-1 gene:B456_008G260800 transcript:KJB52414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKEGQQGKTWTDDEDKHAQQYAMQLVSSSVVPMVLKASIELGVFEIIQRAGPGALLSPSQIASQLPSQGNPKAPLFLDRLLRLLASHSILTFSLVTNHQDGQVDRLYGLAPVAKYFIRSRGGGSLSPWLDLYQHKVTIDSWYHLKDAVLEGANPFNKAHGMSAVEYISTDARFEDIFKTSFIDYNKLFVEEMLKSYQGFDGLNVLVDVGGGNGFILHKIVSKYPTIKGINFDLPQVIDKSPSYPGIEHVAGNMFKSVPKGDAIFMKWILEHLDDKQCLMLLKNCYEALPVNGKVIVVDAVIPESPDANPLHKSVYQFDFLSMGMNETGKERTEKESENLAEGAGFSRFRVACCAYGFSVMEFYKIM >KJB48837 pep chromosome:Graimondii2_0_v6:8:20940266:20940592:1 gene:B456_008G089700 transcript:KJB48837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PAVKEEWRKPKQGTVKINFDAAVKDRKTSFGIITRDHEGFVMGGRARVLNRNYNAEWAELYALEESINLAKDNSWARVDFESDCASLVNRLRRPNVDLSTLGHRILDLL >KJB48636 pep chromosome:Graimondii2_0_v6:8:15213594:15216498:1 gene:B456_008G079100 transcript:KJB48636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRKQHRHLLHASTMLFTANQVGPPTTGSTPGWTSSTQKTLSLRRSLLESREIAFPSSLPGPPHIKKRRKLTWHEHFLVLKEASRLYAASWKDDGTEGISNGDKSRSTEIEPLTLDDIDHGDALKSFIEGYRDALKSFMEGYQEGIQQIMEKKEYSSKAQQEGNTDKNSTWWLISA >KJB48410 pep chromosome:Graimondii2_0_v6:8:11028507:11031117:1 gene:B456_008G067600 transcript:KJB48410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKKKVVGMLVIVLLSMVGVCWAWGWQEMTKETVKDSLSDSGLDNFLVVMGQKFENAREAAKDMMDKGGDGASMSASDSNQVDNGRETMAYGEDNAYDEARHEAHHAEEKVADDEAHHAKDEIADAKEHGTDKAADTIDEAKEPLAEANQNARDKAIDAYKKGSQETKEAVNHGSSADRIFSEDAMAKFEAWKEKAYHVISNYVAWTMIKVVLSHGKDEAKACDLVSDDVARIIDKAAAHAYNEIGGYTYASGDKIVSEDLMAKFGDKIMGEDVMAKFEALTEKASHIIADGVARILKGKAAESISRGRNEVSHVYNEVEETYASGDKITSEDVIAKFEALTETVCHVIANDVARIIKDKAAELISHGDEVSHATYASGDKIMSEELKPNFKAWEKKAANAIGDDVAIKHKAAESTSHGRNEVSDAYNEAINKVGGTYVSADKIMSKDVMAKFEAAMEQASHALGGAVGVIEGEEAESISHGREEDSNAYDEAKNELGETYAAADKILSMDAMAKYEAAKEKASQDMGDVGAKMRANIAEV >KJB47628 pep chromosome:Graimondii2_0_v6:8:4118059:4119393:-1 gene:B456_008G0342001 transcript:KJB47628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLISLEPSNLVVIRVEPGQICSGQLTLRNVMYTMPVAFRFQPRNKDRYTVKPLTGIVAPLGTVTVEIVYHLPPGSFLPDSFPCSDDSFLLHSVVVPGAAIKGSMSSLDAVPNDWFTTKKKQVFVDSGIKIMFVGSPVLAQLVMDGSMDDVREVLDRSDPAWNPANSVDQHGETLLHIAIAQSRPDIVQLLLEFEPDIELRSRLGSTPLEAAAGCGEELIVELLLAHKASTERSESSSWGPIHRAAVGGHVEVLRLLFLKGANVDALTKDGNTALHLAVEERRKDCIRLLLANGSKPNVRNTKEGDTPLHIAAGLGDEQIVKLLLQKGANKDIRNKTGKTTYDVAAEYGHVRLFDVLKLGDSLCFAARKGEVRSIQRLIENGAAINGRDQHGWTALHRASFKGRIDTVKTLIDKGIDIDLKDEEGYTALHCAVESGHTDVVELL >KJB47726 pep chromosome:Graimondii2_0_v6:8:4867486:4869114:-1 gene:B456_008G038500 transcript:KJB47726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRFVFVVFSLAIGVVQSVNAEVPAIFVLGDSTSDVGTNNYLLQSTIKANFPHHGVDFPFAIPTGRFSNGFNTADYLAKLFGFKKSPPPFFSQNVKFSIKIRKFRGINFSSAGSGLLGSTGQTTPLQKNVVTMGEQLLQFSTVHNDLLAFKGPLETEKFLSKSLFFISIGSNDIMNNYYSSNPIPKEYFIPKLGLNLISLGARKFGIVSVPALGCCPSQRIYQTNGECLEELNNQARAFFSTMKLLLGNLRLEYKDIKYSLGNTVDMTLNVIDNALAFNFKYVKTACCGSGTLNAQGSCTPISDLCSNRNEYLFWDSFHPTQTASKLAAFTLYGGELRFVSPINFSQLPDA >KJB49732 pep chromosome:Graimondii2_0_v6:8:38367746:38373272:1 gene:B456_008G135400 transcript:KJB49732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEMAPLRSIGYVDPGWEHGTAQDERKKKVKCNYCGKVVSGGIFRLKQHLARLSGEVTHCEKVPEEVCLNMRKNLEGCRSGRKRRQLDYEQAALSIQSNEYSDGEDASASYKHKGKKVMGDKNLVIKFTPLRSLGYVDPGWEHCVAQDEKKRRVKCNYCEKIISGGINRFKQHLARIPGEVAYCEKAPEEVYLKIKENMKWHRTGRRHRKPDTKEISTFYMHSDNEDEGGEEEGYLQCISKDILAIDDKVSDNDIRNNVRGRSPGSSGNGAEPLLKKSRLDSVFLKSLKSQTSAHYKQPRARTGFEKKTHREVISAICKFFYHAGIPSNAANSPYFHKMLELVGQYGQGLQGPSSRLISGRLLQEEIANIKEYLVELKTSWAITGCSVMADSWNDAQGRMLINFLVSCPRGVYFLSSVDATDIIEDAVHLFKLLDKAVDEVGEEYVVQVITRNTLSFRNAGKMLEEKRRNLFWTPCAVYCIDRMLEDFVNIKWVGECVDKAKKVTRFIYNNTWLLNFMKKEFTKGQELLQPAVTKFGTNFFTLQSLLDQRVGLKRMFQSNRWLSSRFSKSDEGKEVEKIVLNVSFWKKMQYVKKSFEPVAEVLQRIGSDKIRSLPFIYNDICRTKLAIKAIHGDDVRKYGPFWSVIESNWSPLFHHPLYVAAYFLNPSYRYRPDFLMNPEVIRGLNGCIVRLEADNGKKIAASMQIPDFVSAKADFGTDLAISTRSELDPASWWQQHGISCLELQRIAIRILSQTCSSIGCEHNWSAFDQVHIKRHNCLSRKRLNDQTYVHYNLRLRERQLGRKPDELVSFDSAMLESVLDDWLVETEKLAMHEDEEIIYTEVEQFCGDDMDEHESEEKRPAEMVTIAGFIEPLDVIPSAGGVTTDDDGLDFLDDDLTD >KJB49733 pep chromosome:Graimondii2_0_v6:8:38366683:38373272:1 gene:B456_008G135400 transcript:KJB49733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEMAPLRSIGYVDPGWEHGTAQDERKKKVKCNYCGKVVSGGIFRLKQHLARLSGEVTHCEKVPEEVCLNMRKNLEGCRSGRKRRQLDYEQAALSIQSNEYSDGEDASASYKHKGKKVMGDKNLVIKFTPLRSLGYVDPGWEHCVAQDEKKRRVKCNYCEKIISGGINRFKQHLARIPGEVAYCEKAPEEVYLKIKENMKWHRTGRRHRKPDTKEISTFYMHSDNEDEGGEEEGYLQCISKDILAIDDKVSDNDIRNNVRGRSPGSSGNGAEPLLKKSRLDSVFLKSLKSQTSAHYKQPRARTGFEKKTHREVISAICKFFYHAGIPSNAANSPYFHKMLELVGQYGQGLQGPSSRLISGRLLQEEIANIKEYLVELKTSWAITGCSVMADSWNDAQGRMLINFLVSCPRGVYFLSSVDATDIIEDAVHLFKLLDKAVDEVGEEYVVQVITRNTLSFRNAGKMLEEKRRNLFWTPCAVYCIDRMLEDFVNIKWVGECVDKAKKVTRFIYNNTWLLNFMKKEFTKGQELLQPAVTKFGTNFFTLQSLLDQRVGLKRMFQSNRWLSSRFSKSDEGKEVEKIVLNVSFWKKMQYVKKSFEPVAEVLQRIGSDKIRSLPFIYNDICRTKLAIKAIHGDDVRKYGPFWSVIESNWSPLFHHPLYVAAYFLNPSYRYRPDFLMNPEVIRGLNGCIVRLEADNGKKIAASMQIPDFVSAKADFGTDLAISTRSELDPASWWQQHGISCLELQRIAIRILSQTCSSIGCEHNWSAFDQVHIKRHNCLSRKRLNDQTYVHYNLRLRERQLGRKPDELVSFDSAMLESVLDDWLVETEKLAMHEDEEIIYTEVEQFCGDDMDEHESEEKRPAEMVTIAGFIEPLDVIPSAGGVTTDDDGLDFLDDDLTD >KJB49731 pep chromosome:Graimondii2_0_v6:8:38366662:38373662:1 gene:B456_008G135400 transcript:KJB49731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEMAPLRSIGYVDPGWEHGTAQDERKKKVKCNYCGKVVSGGIFRLKQHLARLSGEVTHCEKVPEEVCLNMRKNLEGCRSGRKRRQLDYEQAALSIQSNEYSDGEDASASYKHKGKKVMGDKNLVIKFTPLRSLGYVDPGWEHCVAQDEKKRRVKCNYCEKIISGGINRFKQHLARIPGEVAYCEKAPEEVYLKIKENMKWHRTGRRHRKPDTKEISTFYMHSDNEDEGGEEEGYLQCISKDILAIDDKVSDNDIRNNVRGRSPGSSGNGAEPLLKKSRLDSVFLKSLKSQTSAHYKQPRARTGFEKKTHREVISAICKFFYHAGIPSNAANSPYFHKMLELVGQYGQGLQGPSSRLISGRLLQEEIANIKEYLVELKTSWAITGCSVMADSWNDAQGRMLINFLVSCPRGVYFLSSVDATDIIEDAVHLFKLLDKAVDEVGEEYVVQVITRNTLSFRNAGKMLEEKRRNLFWTPCAVYCIDRMLEDFVNIKWVGECVDKAKKVTRFIYNNTWLLNFMKKEFTKGQELLQPAVTKFGTNFFTLQSLLDQRVGLKRMFQSNRWLSSRFSKSDEGKEVEKIVLNVSFWKKMQYVKKSFEPVAEVLQRIGSDKIRSLPFIYNDICRTKLAIKAIHGDDVRKYGPFWSVIESNWSPLFHHPLYVAAYFLNPSYRYRPDFLMNPEVIRGLNGCIVRLEADNGKKIAASMQIPDFVSAKADFGTDLAISTRSELDPASWWQQHGISCLELQRIAIRILSQTCSSIGCEHNWSAFDQVHIKRHNCLSRKRLNDQTYVHYNLRLRERQLGRKPDELVSFDSAMLESVLDDWLVETEKLAMHEDEEIIYTEVEQFCGDDMDEHESEEKRPAEMVTIAGFIEPLDVIPSAGGVTTDDDGLDFLDDDLTD >KJB51401 pep chromosome:Graimondii2_0_v6:8:50189725:50192017:-1 gene:B456_008G215100 transcript:KJB51401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALAVTGGGGQYNGRMTWFVVLSCMMAAMGGVIFGYDIGISGGVTSMEPFLKKFFPEVYRKMKVDTKISNYCKFDSQLLTSFTSSLYIAGLVATFFASSVTKVFGRKPSILIGGAAFLAGSALGGAAFNVYMLIFGRILLGIGVGFANQSVPLYLSEMAPPRYRGAINNGFQFSIGIGALVANLINFGTEKIEGGWGWRISLAMAGVPASILTLGALFLPETPSSLIQSSSDHDKAKQLLQRVRGTTDVEAELEDLIKASSISKTIKHPFKKIIQRKYRPQLVMAIAIPFFQQVTGINVIAFYATLLFRTIGIGESASLLSSVVTGVIGAGSTFISMLIVDKFGRRALFMVGGIQMLISQILIGGIMATQLGDHGTVSQGYAYLIIILICIYVAGFGWSWGPLGWLVPSEIYQLEIRSAGQSITVAVSFIFTFIVAQTFLSMLCHLKAGIFFFFGGWVIVMTAFVYYLLPETKNVPIEQMENVWKEHWFWAGIVGEEDEKIKIQGV >KJB51989 pep chromosome:Graimondii2_0_v6:8:52751635:52754601:-1 gene:B456_008G241400 transcript:KJB51989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTRYSRSRNSDTEKENTGGGGGAAMRVIVPLQGVVQGRGGLVLGSIIPCALFYFLQFYLKRHRNDLDDQNNSNSPGLNSTTGSASSGKLAELPALTRNLSRGLPSPRSPRGPVSVSGRVSRIVKGADSPYYVGLRRVKEDPYDELSNPNGIIQLGLAENKLSLDLVKHWLAENAEQVILRNGKELSISRIATYQPFDGLMEFKVAVAGFMSQVTAKAVSFDPSQVVLTAGATPAIEILSFCLADVGNAFLVPTPCYPGYDRDVKWRTGVEIIHVPCRSADDFNLSIAALDRAFNQAKKRGLKVRGIIISNPSNPVGNLLSRDTLYSLLDFAREKNIHIVSNEILAGSTHGNEEFVSIAEIMDLDDVDRKRVHLVYGLSKDLSLPGFRVGVIYSHNEEVLAAAKKLTRFSTISSPTQCLLISMLSDAKFVQTFITINRERLQRTYALFVAGLKKLGIKCIKSSGGFYCWADMSGLIRSYSEKGELELWDKLLNIAKVNVTPGSCCHCIEPGWFRFCFATLTEKDIPIVTDRIQKVSETCKLN >KJB48638 pep chromosome:Graimondii2_0_v6:8:15350663:15350825:-1 gene:B456_008G079400 transcript:KJB48638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKIKVFSWRLGLDLLPTYDNIAHIRQKFSNTCPRCNNSEETIIHVMKDCPVS >KJB49639 pep chromosome:Graimondii2_0_v6:8:37633124:37635600:1 gene:B456_008G130900 transcript:KJB49639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSHHHLNTNILPTLSATIPTPFPLVFSAPIVSFLSFIFSSPLSLPSSPPIFSPFPSSLPTRKKMATAFSPSKFAAQPFPLNTTSQNNPLTIPTTASPFLGSTRKLIRLSSSSNLNIRRRSGTVVAVSDVVKENKSKSTLNLLITKEEGLELYEDMVLGRAFEDMCAQMYYRGKMFGFVHLYNGQEAISTGFIKLLKQQDSVVSTYRDHVHALSKGVSARAVMSELFGKTTGCCRGQGGSMHMFSREHNVLGGFAFIGEGIPVATGAAFTSKYKREVLKEADCDHVTLAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHLRATSDPQIYKKGPAFGMPGVHVDGMDVLKVREVAKEAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPAEKAHYAARDPITALKKYLIENSLASEADLKAIDKKIDEVVEEAVEFADESPVPPQSQLLENVFADPKGFGIGPDGQYRCEDPKFTEGTAQV >KJB47825 pep chromosome:Graimondii2_0_v6:8:5792827:5793344:1 gene:B456_008G043500 transcript:KJB47825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWDNTVAAYAENYAKQRIADCNLVHSGGPYGENIAWGSADLSGTDAVNMWVNEKANYNYNSNRCAAGKVCGHYTQVVWRNSVHLGCAKVKCNNGGTFIVCNYSPRGNIVGQKPY >KJB48392 pep chromosome:Graimondii2_0_v6:8:10867050:10867803:-1 gene:B456_008G066800 transcript:KJB48392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLRAFSTRRNRDGYERLLVETEVEEPVISSNGQFEAQLKRARSVPARVFGLSRKFNGPELGLPEKCQEKSSTTTNSNKKGGKSKTIHPLFSLFDGRRKKKTTAKPEFARYIEYLKEGGMWDMNANTPVIHYK >KJB48391 pep chromosome:Graimondii2_0_v6:8:10867125:10867767:-1 gene:B456_008G066800 transcript:KJB48391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLRAFSTRRNRDGYERLLVETEVEEPVISSNGQFEAQLKRARSVPARVFGLSRKFNGPELGLPEKCQEKSSTTTNSNKKGGKSKTIHPLFSLFDGRRKKKTTAKPEFARYIEYLKEGGMWDMNANTPVIHYK >KJB52501 pep chromosome:Graimondii2_0_v6:8:54462091:54462748:1 gene:B456_008G265400 transcript:KJB52501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKPDQKVIQVVKTDGKILEFRAPLLVKDVLVKFSGSGIGLSTTVSHHLPMNYELKMGKVYYILPATEPPSTAVGTGGGVKRIKVVITKQELQQLLAKQVSVQELLAGLEKRNGSFVESPRNWKPKLDSILEENDQ >KJB49515 pep chromosome:Graimondii2_0_v6:8:36160480:36161380:1 gene:B456_008G123400 transcript:KJB49515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNAKASRIVKVRVTDGDATDSSSSEKPEHRRAKRNVNEIRVEDCKSNLSSMRSKKQQQQCLSNGVKYRGVRRRPWGRWAAEIRDPTSRTRVWLGTYDTAEEAALVYDRAAIRIKGPNAVTNFVKPPSTLEIELETVSEYHSSQESQSLSSPTSVLRFRANEETELQIESEDNSHADQVPKDAGNLSDEYLLADCGLCDYFNNDNPAPIFFEQMMLPEDNIFEQDRVDISIKLDVDFGSCTWDVDNYY >KJB51651 pep chromosome:Graimondii2_0_v6:8:51346249:51347725:1 gene:B456_008G226900 transcript:KJB51651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGTASRYVHQLQRPELHLQHQPEPEDQEGSNNRGGHYGSAAHNHDDDSHHHGLDLVNAANSGELGARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGNGCDVFDCIANYARRRQRGICILSGSGTVTNVSIRQPSAAGAVVNLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGSVVGELMTAGPVIVIASSFTNVAYERLPLEEDDQLQVQSGGAGNNMFADSGAGPGGLPFLNLPLNMQPNVQLPF >KJB49689 pep chromosome:Graimondii2_0_v6:8:38003666:38005200:-1 gene:B456_008G133600 transcript:KJB49689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDESMTVVSSIHDDEYDDIDVGFHPHNLSRLSMCNSSMYTNEDDDDDDDMGMYMSRLSIESFDADVDEEFTVKELSSDSDGEPSCYSLPATPPRRRSRPRAAVVKDYASESEAQRLRGRRSKDLRKRRVIKGRWVDKEMGCKHEGKNKSGSYYNYSHSNYSGSFSGESEGGVVVITRPKGGKRSLCMDMEEVKACRDLGLELEMPGRVSLSGSAIDNTSSGGNSPIANWCISSPGDDPRDVKARLKVWAQAVALASTSRHCS >KJB49889 pep chromosome:Graimondii2_0_v6:8:39543272:39545387:-1 gene:B456_008G143700 transcript:KJB49889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDLSGRSNKIILVLLLVLVLISLIVPLEKSPETSETHHFHVNNNLQIAYTACEGTLYPDLCVATVSILQNLASRSLPDLISAILIQTMDEVRLSCANCTEIEKGLKSNTTLEWAAINDCIELFNHTLAELRVALAELKPKRVRLSRNYHKIKTFLSAAMTNQYTCLDGFHGSKGKTKYVIKEALHNISHHISNSLAMLNKVPGVNESKPVEVFPEYGRRRRYFPAWLSHKDRKLLQAPVDAAEFDLIVAQDGSGNFTTIGDAVAAAPIHSYERFVIYIKAGAYLENIEVEMEKTMVMFVGDGIGKTVVKANRNVADGHTTFRSATVAVMGDGFIAKGITFENSAGPSKNQAVALRSGSDLSAFYKCSFVAYQDTLYVHSLRQFYRECDIYGTVDCIFGNAAAVFQACSLYARKPNPDQENVFTAQGRADPNQNTGISILNCKIRADSDLIPVISSFKTYLGRPWKEYSRTVIMRSYIDDLVDPAGWLEWNGNFALNTLYYGEFLNRGPGSDTSRRVTWPGYRVITSFADANQFTVGAFIQGNQWLNSTDIPFNLGLR >KJB51310 pep chromosome:Graimondii2_0_v6:8:49781020:49785870:1 gene:B456_008G211500 transcript:KJB51310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAAGQGVEHVGLPKMEAKSEAGCSNPVKKKGPVSMDHVLLALRETKEERDLRIRSLFNFFDAANVGFLDYGQIEKGLSALQIPAEYKYANDLLKVCDANRDGRVDYQEFKRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGICIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATMENIYHHWEKVCLVDIGETAVIPQGISTHVKRSKYFIAGGIAGAASRTATAPLDRLKVVLQVQTTNADILPAVRKILKEDGILGFFRGNGLNVVKVAPESAIKFYAYEMLKTVIGDSRGDKKGDIGASGRLFAGGVAGAVAQCAIYPMDLVKTRLQTCASEGGKPPKLGKLTKDIWVQEGPRAFYKGLVPSLLGIIPYAGIDLTVYETLKDFSRSYILQDSEPGPLVQLGCGTISGALGATCVYPLQVIRTRMQAQRTTSGVAYNGMSDVFWKTFRNEGYRGFYKGLFPNLLKVVPAASITYLVYEAMKKSLELD >KJB50135 pep chromosome:Graimondii2_0_v6:8:41425498:41430342:1 gene:B456_008G155000 transcript:KJB50135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVSPLRNTTEDENKGAMESFRFNISSEEFPDFYHGNLLESIDFEDLFVDGYVLPDLEMDPEILAELPAPGGEDPEMNVSVEETGDGYNQRKEEEGKVSDPGSSSGSALGWSRSKGEEIVSKREEGRAVKTTLKDADKGRKSPAQAKNNNQGKRKVKVDWTPELHRRFVQAVEQLGLDKAVPSRILELMGIQCLTRHNIASHLQKYRSHRKHLLAREAATVASWTQKSQICGAATTPAGGGKRDKNPWLAPTMGFPPMSPSSPLHHHFRPLHVWGHPTVDQSLIHLWPKHLAPPPPPPRPTWEPPRAGPSYWHHHPKRVTNGISPGTPCFPQPLPLATRRFAAAPVPGIQPHHGTMYKADPGIGVRSRPHPLMDFHPSKESIDAAIGDVLSKPWQPLPLGLKPPASDTVLGELQRPGLPNIPPFSA >KJB51220 pep chromosome:Graimondii2_0_v6:8:49253280:49255134:-1 gene:B456_008G207500 transcript:KJB51220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDISSFLQLLGQYEIFFISYPLFFSLLAIFVVFILTTNKRKLILPPSPPKLPIIGNLHNIGRYPHRSLKSLAQRFGPLMSLRFGNVPVLVVSSADAASEIKKTHDLTFINRPKRRIYQKLLYNYKDVGSAPYREYWRQMKSVREEETTLTMEKIEKCSSLDYLPWLAWLSHVNGLYGKAKKVSKELDEFLDGVIKEHMNRHEKYRENTVGFPLEKISIKALILLKWISFPDIFVVDTHTTYAVLEWAMTKLLRHIKIMKKLQNEVRNVSAKNSSISEDDLGNMHYLKAVIKETFRLYPPIPLLLPTISTKDVKLKGYDIIKGTRVIINVWAIGRGPASWENSEDFLPDRFLDNSIDFKGQHFELIPFSSRRRICPEILFTIAINELLLANLNDLDMTETVGLTIHKKSPLIAVANRCSF >KJB49486 pep chromosome:Graimondii2_0_v6:8:36926074:36926894:1 gene:B456_008G127800 transcript:KJB49486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKQQPSFTLAFVAAALILLAGQVTARREIIEVKGRGVTDPILEAKLIDMLEETERTKDKVPVLGNSEMVKYCDNAYGMSIGNLKKALGMLQRGIKSPQGFKELEAIVLVVMKAYEECDHVFAENAKPSPFGISNTKLKSLGTECKQYAEEDAKQN >KJB46746 pep chromosome:Graimondii2_0_v6:8:9321534:9321544:-1 gene:B456_008G0591002 transcript:KJB46746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DV >KJB50757 pep chromosome:Graimondii2_0_v6:8:46630899:46632137:1 gene:B456_008G186200 transcript:KJB50757 gene_biotype:protein_coding transcript_biotype:protein_coding description:DVL6 [Source:Projected from Arabidopsis thaliana (AT3G25717) UniProtKB/TrEMBL;Acc:Q6IM95] MKMSSSATMGASKRRLSSRGLGGVLREQRAKLYIIRRCVVMLLCWHD >KJB48436 pep chromosome:Graimondii2_0_v6:8:13912484:13913479:-1 gene:B456_008G075600 transcript:KJB48436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVQFDSENTLYVMDNYNRNQSRALTLTREQEYEIMVSTLLHVINGGQPATSTNGFCFNSLFPPIQPARVPLPTTTNRKRYRGVRQRPWGKWAAEIRDPKRAARVWLGTFDTAEAAARAYDRAAIRFRGDKAKINFPLSDYEMQEEEEKQSGEGESSNDNENGWKIFSEEEFRELMMVDS >KJB49623 pep chromosome:Graimondii2_0_v6:8:37181948:37182314:1 gene:B456_008G129400 transcript:KJB49623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMQDKWQQEPNRVWLEWRFYRPYMHHKAQTYLQNTHPCLDLGNSRFESHFQLKTHNYLGRKNLQLIDGNIKYFSLKYVNHNTSYGI >KJB52317 pep chromosome:Graimondii2_0_v6:8:53812105:53813829:-1 gene:B456_008G255500 transcript:KJB52317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPQKPPSGRTNLASCIVATVFLIFLVIIVLIVYFTVFKPQDPKISVNTVQLPSFSVGNNTVSFTFSQYVTVRNPNRAVFSHYDSSIQLLYSGSQVGFMFIPAGKIEAGRTQYMAATFAVQSFPLAPPNEASAATMPITTTTMGPIGVPGGFGGTNNGNRIGPTMEIESRMEMGGRIRVLHFFTHHVKAKSGCRVTIAVTDGSVLGFHC >KJB52529 pep chromosome:Graimondii2_0_v6:8:54534569:54536859:1 gene:B456_008G266100 transcript:KJB52529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 6-phosphogluconolactonase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G24400) UniProtKB/Swiss-Prot;Acc:Q84WW2] MASSPLQFSTPFRTLLLSSPSLRKSPRLPFHPSLFSPPLTQKTLTFSTGTRAVSGSGKFYWRAKASMAGTAMEKGKGKVEVFDSEENLSVSLAKYTADLAAKFSKEKGSFTVVLSGGSLIKSLRKLVEPPYVDAIDWSTWHVFWVDERVVPKDHDDSNYKLAFDGFLSKVPILPGNVYAINDALSAEGAADDYETCLKHLVKSNVLSVSTATGFPKFDLMLLGMGPDGHVASLFPGHPLVKENEKWVTFIKDSPKPPPERITFTFPVINSSAHIALVICGAGKAGPVHSALGNSQNSAPLPVQMVSPEGELVWFLDKDAASKL >KJB48024 pep chromosome:Graimondii2_0_v6:8:7104048:7104530:-1 gene:B456_008G050600 transcript:KJB48024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSPSPSADTPTLSIPCQETQEPTVDLNLMIIVAAMLCALVCALGLHPMLQCVLQCTHRVVTEPREWVAARRLNSGLKKKEMVALPTTTYANSGSSSSSSGCAICLVDFSEGDKIRMLPKCNHRFHVACIDKWLLSRSSCPTCRQRLNSNCDQFVIDF >KJB50372 pep chromosome:Graimondii2_0_v6:8:43758842:43759433:1 gene:B456_008G167200 transcript:KJB50372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYKQKKSQGPKGNRLLVSITVLGSAGPIRFVVNEEELVSSVIDTALKAYAREGRRPVLGSAISGFFLYCPSAGSDALNPWETIGSQGARNFILCRKPSNEKMEDDGRSANSVTGKGSGNWKAWINKSLNLKISSHY >KJB50730 pep chromosome:Graimondii2_0_v6:8:46335898:46338550:1 gene:B456_008G184300 transcript:KJB50730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIKCNWADNSGGKLPLLVSFWGNEDGGSRRRGLKVMREKIKNSWVEFNDFARKALEMGRSDPRKVIFAVKMGLALSLTSLLIFWKGSYQDFAQYSIWAILTVIVMFEFSIGATFIKGFNRGLGTLCAGILAFCFAELSVVAGKFEEVVIVISIFLTGFCASYLKLYPTMKPYEYGFRVFVLTYCILMVAGNRTREYSQAVLTRLVLIAAGAGVTLVVNICIFPIWAGESLHKLVVKNFKDLATSLEGCVNGYLQCVEYERIPSKILTYQAADDPLYNCYRSVVQSTSEEDTLLGFATWEPPHGPYRHNYPWENFVKVSGAVKHCAFTVMALHGCILSEIQAPADRRHVFSNELQKVGSEGAKVLRELGSKLEKMEKLSPGDILKNVHEAAEQLQQKIDHKSYVLVNSEGWEIGGRPMELDLEDLINAAEDENMKLGSKSLSEAVLEVRSVSVGTALCYDAKNTLRTWPSNVSANGGSMVKGDEFKTYESASALSLATFTSLLIEFVARLGNVVSSFEELSLKANFKDPPIINMPPEPCKGIAT >KJB51639 pep chromosome:Graimondii2_0_v6:8:51292753:51295524:1 gene:B456_008G226300 transcript:KJB51639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYRFASTMNLWTDDNASVMEAFMSSDLSALWQPPPQSSASTSTPAVVASSAAAAASGAPDLLKSSVAPQSHPSVALFNQETLQQRLQALIEGAHECWTYAIFWQSSYDYSGPAVLGWGDGYYKGEEDKGKRKLKTSSAVAEQEHRKKVLRELNSLISGSTAPTDDAVDEEVTDTEWFFLVSMTQSFVNGGGLPGQALFNSTPVWVVGSERLASSTCERVRQGQVFGLQTMVCIPSANGVVELGSTELITQSSGLMNKVRVLFNFNNGIEAGYLSMCNNIADEGENDPSSLWISDPNSGVEYKESHNNNQNQQIEKSIQFHDNPSSSSLTENPSSIQQRQSQNFGLNFSDYGFDGSYSVRNGNSSHLFKPESEETLNFGESKRSGNVVEENKKKTSPTSRGSHEDGMLSFSSAVVLPSSGMMKSSGGAGDSDNSDIEASVVKEAECVKPLEPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELSTKVQDAESEKQELQKQLEAMKKELAKKDSSPQNPKTSNHLGNRLIELETEVKVIGWDAMIRIQCKRKNHPAARLMAALKELNLDVQHASVTVVNDLMIQQATVKMGNPFYTQEQLRLALISKIGSEI >KJB51937 pep chromosome:Graimondii2_0_v6:8:52605326:52607694:-1 gene:B456_008G239400 transcript:KJB51937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WDKTNDTLITHETTSLFLQDTTFNRPIFSPLISNLLQLIISFLRVFSVCFRHNSKTVHKMGKDLSDEQVSSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKAIIAEEKLTAPFDFPRFLDLMSKHMKPEPFDRQLRDAFKVLDKDNTGFVSVADLRHILTSIGEKLEPSEFDEWIREVDVGPDGKIRYEEFIARMVAKYNTVNGKLERLCNSLLV >KJB52112 pep chromosome:Graimondii2_0_v6:8:53115550:53121435:-1 gene:B456_008G247000 transcript:KJB52112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGNSTANGSNGTHDVDIEQMESFDGLISGDWLSSLVNWLNGILPDLRLPLDATEEELRQYLIDGTIFCTILNKPRPSSAEMDGGSKCGPVNVKRFLVAMDEMGLASFELSDIEQGHMMPVIQCLKTLRVCVNSNGEVNNIQNPSRKTWNLSGEFERIQLKQGFNADLSDATILELMKPSSLENASTQSLFSMLYRIMDGSIERNKRDLPHFVACLLRKIVEEIEWRVSTSCFKQATAYKELQIEKSKVEEMGKVEKQNLLQLKKNNIQNDLEISRLRKELESRKEMHERHCLQLEAQAEGKKLKGLRDAAGNYHLTLAENRILYNEIQDLKEYIGENGELVVSNPLKQRTDAHRLFKFNKVFSPATNQEEVYLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNVSSKEDWGVNYRALNDLFQTSQSRKSSIIYEVGVQMIEIYNEQVPVPEASMHSVKSTTEVLELMNIGLRNRAVGSTALNERSSRSHSVLTVHVRGTEIKTNAVLHGSLHLVDLAGDAIFALVQKNAHVPYRNSKLTQVLQSSLGGKAKTLMFVHLNPGVDSYSETISTLKFAQRFSGVELGAPQTHREGRDIKELMEQVAFLKEIITKKDQEIERLRLLKGNGNGIKHRMSSLRGHSRGNPQQSQSLSRQQSLENYEKTASDADKCSVNGDKHSEAGSHWSVDDSKLNNESSAQTNLAGTDLGQNTNDDIELLAFRDGNSEERLCDTSDGDLPMGGSQTDGSICIAVQLTLFPEPSKSSDK >KJB52484 pep chromosome:Graimondii2_0_v6:8:54389550:54392704:1 gene:B456_008G264200 transcript:KJB52484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14820 [Source:Projected from Arabidopsis thaliana (AT4G14820) UniProtKB/Swiss-Prot;Acc:O23337] MSAVSQPTISLPTPNLNSTPTPTTLLKTLSSSHSLAHFKQVHAHILRSTHSPSHSLILKLLSSPSLPYSLSIFSHLPHPLPSLSTPFLRHLSRSSKPEFAFFVYQRLRNEGIPIDRFAFPPLLKAASRVGWLAEGKEIHGFGFKSGFHSDPFVQTGLMVMYVGCGRLTEARLVFDKMSYRDIVAWRVMIDGYCQNELFDDALELFEEMKRANIEADKFVLSSILSACGRAGNLDCGKAIHDYIIQKELVIDAHLRCALVTMYASCGCMDMAQQLYDQMIPKNVVVSTAMVSGYSRHGRVKDARLIFDQMVEKDLVSWSAMISGYAESDQPQEALRLFNELEILGIGPDQVTMLSVISACANLGVLDKAKWKHVYADKNGFGGSLRINNALIDMYAKCGSLERARNVFEKMTSRNVISWTSMINAFAIHGDANNALRYFQKMKEACVEPNGVTFVGVLYACSHAGLVEEGRKIFASMINEHNITPKHEHYGCMVDLFGRANLLREAVEIVETMPFASNVVIWGSLMSACQIHGETELGEFTATRVLELEPDHDGALVLLSNIYAKERKWKNVGDLRQLMKERGICKERGCSRIELNDEMHEFLVADRNHKQAYEIYEKLNEVVSRLKLDGYAPNTGCVLVDLEEEEKREVVLWHSEKLALCYGLINGAKDSCIRIVKNLRVCEDCHTFLKLVSKVYRREIVVRDRTRFHHYRDGVCSCRDYW >KJB52191 pep chromosome:Graimondii2_0_v6:8:53391453:53393210:1 gene:B456_008G249900 transcript:KJB52191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTDQSSFLGRISIRRNQVNAMEGYHEQEIEDLELFQRQLSDRFTELLSAPDDAPTEAFLSISWIRKLLDVFLCCETECKAILLMERDAAQISKPPLDRLIPELLERVVKAMDICNAVTNGVELVRHCQKLAEIAVSALEQKPFGEGQARRAKKALVSLMSAMHVDDKESSVQKTAERSWSFGRRSGNKDRHHGHYRSLSWQVAKHWSASKQIHAMTMNLAAPRGPEASCLPAPVYILSLIIVFVMWVLIAAIPCQERSGLTTHLPINKHVNWTHSLAGIHDKIGEEWKKKEKKGMAGLLYEMQKMEKLGQSLIEFTDSYQFPGEKEKSDEVAAQVAELAEVCRRMDEGLVPLQMLIREAFHRLVRNRTEFLDVLEQGAPVV >KJB51634 pep chromosome:Graimondii2_0_v6:8:51266282:51268071:1 gene:B456_008G226000 transcript:KJB51634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSVEKPNATQAKSAEQAQPDANKLVSNDQFTVPQNNAFGNKFRDYEVGARTDKVEQHYKASHINQTYDFVKKIREEYKKLNKAEMGIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPNEDWLHLTALIHDLGKILVLPKFGELPQWAVVGDTFPVGCAFDEFNVHYKYFRENPDFKNPKYNTNNGVYSEGCGLDNLLMSWGHDDYMYMVAKENGTTLPQAGLFIIRYHSFYPLHKHGAYAQFMNEEDKKNMKWLHVFNKYDLYSKSKAHVDVEKVKPYYESLIAKYFPAKLKW >KJB51013 pep chromosome:Graimondii2_0_v6:8:48171307:48177380:-1 gene:B456_008G197400 transcript:KJB51013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G07920) UniProtKB/Swiss-Prot;Acc:Q39017] MDEERMLHPSWTDKSPTEMQVVSHFFILSCVIAGLVGILTIVYTAFQWRRNINLSWMKAVARSKKNPKAEHKSPAAPHTWELESVSRGKKVNCCACLKPMSPSQTLGPMVASDSFIHRCSICGAVAHLSCSSRAQQDCKCVSMIGFEHVMHQWAVRWTELTDQPDEASFCSYCEEPCSGSFLGGSPIWCCLWCQRLLHVDCHSSMSNETGNICDLGPFRRLILSPLYVKKLSPNSGFLSSITHGANELASSVRETIRSQGKKHKHNNKTSADTGSNGSISDMSTESTADTLQNVNGSYAKEGNCNGSMNVGTPCQDGSINKKIESNPSIKRSGSINQKDESQALRMKQRYELTDLPPDARPLLVFINKKSGARRGDSLRQRLNLLLNPVQVIELSSTQGPEMGLFLFRKVPHFRILVCGGDGTVGWVLNAIDKQNFVSPPPVAILPAGTGNDLARVLSWGGGLGSVERQGGLCTVLQHIEHAAVTILDRWKVAVLNQQGKQLQSPKFMNNYLGIGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGAKSIMDRTFADFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDDTYESFDPQSMHDKILEVVSISGTWHLGTLQVGLSRARRLAQGQSIKIQLFAALPVQIDGEPWSQQPCTLAISHHSQAFMLRRTAEERLGHAAAIITNVLESAETNHVINTSQKRALLQEMALRLT >KJB51014 pep chromosome:Graimondii2_0_v6:8:48170967:48176575:-1 gene:B456_008G197400 transcript:KJB51014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G07920) UniProtKB/Swiss-Prot;Acc:Q39017] MDEERMLHPSWTDKSPTEMQVVSHFFILSCVIAGLVGILTIVYTAFQWRRNINLSWMKAVARSKKNPKAEHKSPAAPHTWELESVSRGKKVNCCACLKPMSPSQTLGPMVASDSFIHRCSICGAVAHLSCSSRAQQDCKCVSMIGFEHVMHQWAVRWTELTDQPDEASFCSYCEEPCSGSFLGGSPIWCCLWCQRLLHVDCHSSMSNETGNICDLGPFRRLILSPLYVKKLSPNSGFLSSITHGANELASSVRETIRSQGKKHKHNNKTSADTGSNGSISDMSTESTADTLQNVNGSYAKEGNCNGSMNVGTPCQDGSINKKIESNPSIKRSGSINQKDESQALRMKQRYELTDLPPDARPLLVFINKKSGARRGDSLRQRLNLLLNPVQVIELSSTQGPEMGLFLFRKVPHFRILVCGGDGTVGWVLNAIDKQNFVSPPPVAILPAGTGNDLARVLSWGGGLGSVERQGGLCTVLQHIEHAAVTILDRWKVAVLNQQGKQLQSPKFMNNYLGIGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGAKSIMDRTFADFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDDTYESFDPQSMHDKILEVVSISGTWHLGTLQVGLSRARRLAQGQSIKIQLFAALPVQIDGEPWSQQPCTLAISHHSQAFMLRRTAEERLGHAAAIITNVLESAETNHVINTSQKRALLQEMALRLT >KJB48753 pep chromosome:Graimondii2_0_v6:8:18235440:18236008:1 gene:B456_008G084600 transcript:KJB48753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYSSYAAAAAASSSEEARALKAPQASFHGSLHSVRKPLSKPWKKPIAPFPPTPPKVYTVDPINFRDLVQQLTGAPQFMSQSHNQTSTSQFQAQLPQQQRLQRVAPPALHVAAPPLSRTEVSAPLHLVSGLYHTTSQNQNFSDNAMFTSTSLGLTLSPSLFNWCTFPILSPGTLASLEQSTVP >KJB50425 pep chromosome:Graimondii2_0_v6:8:44476571:44479209:1 gene:B456_008G170300 transcript:KJB50425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLFFFFYFLALFLCNLPPLLSQQGQSFNFSDFPWTPSQNKFLISSNKVFAAGFKSIGSSANLYTFSVWYYNISGNNTLVWSANDDSPLTRNSSLVIGDNGELRLINSSGQNLLPGQPSATGNRNSTRLVLEDGGNLTYGNWQSFDFPTDTILPNQKMKTNGTTIRSNNDKFIFQNSKSLVFNSSQYWATDNPFLRLESSGKVVQANGATLVSSDFGEPNRLRRLKLDSDGNLRIYSFDLRSGEWEIVWLAVQEICTVHGTCGPNAICMNDATNSDSTSCVCPPAFKKKSGDNSSCEIKIPLGEKTKFLHLDYVNFSGGADQSNLKVQNFSMCRSRCLANPNCLGFAFKLDGNGYCVLQIDRLLFGYWSPGTEAAFYLRVDKSETELSEFRGMTSLLETTCPVTISLPLPPEESDTTTRNLVIICTLFAAELISGIFFFWGFLKKYIKYRDMARTFGLEFLPAGGPKRFTYAELKAATNDFSNLIGKGGFGDVYKGELPDHRVVAVKCLKNVAGGDGEFWAEVTIIARMHHLNLVRLWGFCAEKGQRILVYEFVPNGSLDKYIFRSTPVPSNESLAQVPNALILDWNIRYRIALGVARSVAYLHEECLEWVLHCDIKPENILLGDDFCPKISDFGLAKLRKKEDMVSMSRIRGTRGYMAPEWVKMDPITPKADVYSFGMVLLELVSGVRNFDMQDSLLDNSEDWYFPRWAFDKVFKEMKVEDILDRQIKHCFDNRMHLELVDRMVKTALWCLQDRPEARPSMGKVAKMLEGTVEITEPKKPTIFYLVDE >KJB51908 pep chromosome:Graimondii2_0_v6:8:52450356:52450920:1 gene:B456_008G237400 transcript:KJB51908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTETSTTSSPSFLKPLITIDASVSLFLHTLFKPILPIFLLLLLEYSSDFQFFFPVSLSLFLTSPSFSSLSIPFILGHLIDLSLIGLIKLTFRRTRHHYKPNIGHASRVMFSATLFHLIYQNHEGLISDFILRWVKFEPGLFLFSVGVWAILTVISRVLLERHFLSDILAGAFVR >KJB48766 pep chromosome:Graimondii2_0_v6:8:18693205:18695134:1 gene:B456_008G085900 transcript:KJB48766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHMNEAVNYIKHLEKKVKDLDAKRHELKRVFDLASVGSRTKPAAASISHHCFIIRPCLIGIEIMFHCGVEDQDLSSSRVLAVLVDEGLHVVSCFSTKSEEFLFHSTIQTEVNDPTSVNISRLQQKLPQSSEDCTVSSYKTQNESAKGSNLVGKVNGKLIYRYCIILFKSIYA >KJB46889 pep chromosome:Graimondii2_0_v6:8:84055:90022:1 gene:B456_008G000400 transcript:KJB46889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLQPQIILLKEGTDTSQGKAQLVSNINACTAVADVVRTTLGPRGMDKLIHDDKGNVTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFVEDGVHPQNLIRSYRTACNLAIEKIKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFASMVVDAVIAIGSEDRLNMIGIKKVPGGNMRDSFLVNGVAFKKTFSYAGFEQQPKKFMNPRILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVKSGAKVVLSRLAIGDLATQYFADRDIFCAGRVAEEDLNRVAAATGGTIQTSVNNIIDEVLGTCEVFEEKQVGNERFNIFSGCPSGRTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVAGGGAIDMEISRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRHKHALPSGEGAPYGVDINSGGIADSFANFVWEPSVVKINAINAATEAACLILSVDETVKNPKSESAQGEAAASAMGGRGRGGGFPGRGRGMRRR >KJB50165 pep chromosome:Graimondii2_0_v6:8:41804695:41807828:-1 gene:B456_008G156800 transcript:KJB50165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRHSNNPCSSLLLCFVVLLLLVSSCSGNSNVGVRCMESERQQLLDFKEGLMDPFGRLSSWVGLDCCKWQGVRCKNRTGHVTVLNLQNYHLGGTIKPSLLHLKHLTHLNLAENFFGGTQIPKFLGMLNNLRYLDLHSSGYGGEIPPHLGNLSHLQVLYLGGNFGLRAESLVWLSGLSSLESLDLARVDLYDVRGSWLKAVNMLPSLVRLYLDGCQLKSLDLTLPSLNLTSLEVLELFDNPIKSPLPHWFSNLTNLQVLDLRSNNFYGSIPFWIGSLCKLRILRLNSNRLHGGIMGVLDRFSACQNNSLEILYLGGNYLVGSLPQSLGALRNLQELDLNTNFFWGSIPASIGNLSSLELLELSDNNFNGTIPESFGRLAKLSTVSLVSNQMEGVLTETHLANLTRLDSFRLTTYHNKSLVFNLKHDWVPPFRLTTLVLINCLIGPSFPVWLLVQTNLSYVIVSNAGISDIVEEEWFARLFSSCWFVDLSNNSITAKLPPRIFSEMLEKVDLSRNNFVGQIPLWETNATQLYLQRNSFSGSIPENIGELMPRLKTFFVSRNQISGRLPSSICKMMGLKFLSVSHNRLSGQLPDCWNKLRSLKAVDASNNSLSGEIPSSLTSLCHLILLMLGDNNLHGDIPFPPNSCRQVPLLYILQLRSNLLGGNIPEEICRLSNMRSLDLSNNHLIGSIPKCLHNFTALKYGNRSLDYEELFDLQDGTINEQTMLVGTKGRELEYSRTLLEVKNIDLSENNLIGEFPKGICRLAFLDTLNLSTNYLSGSIPDNIGDMRWLESLDLSVNKFSGYQLQTLNDSSNYQGNPLLCGVPLLTRCPGDINSPPTPSSLGGSKDKLWLYLSIAMGYIVGFWGVCGTLVMKESWRQAYFRYVDELKEKLLLWIALTSARSRRMIEKGNN >KJB50868 pep chromosome:Graimondii2_0_v6:8:47330313:47334300:1 gene:B456_008G190400 transcript:KJB50868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPKSTALCISVGPVHFPSLDFGERNDYLKGVVTDVIHDPGRGAPLARAVFRHPFRYKKQKELFVAAEGMYTGQFVYCGKKANLMVENVLPLRSIPEGAIVCNVEHHVGDREVFVRASGDYAIVISRNPDNDTTRR >KJB48899 pep chromosome:Graimondii2_0_v6:8:23324250:23326356:1 gene:B456_008G092800 transcript:KJB48899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAANNAYSWWWASHIRTKQSKWMDQNLQEMEQQVKAVLKLLEEGDSFARGAEIYYKRRPELIHFVEESYRAYRALAERYDHLSTELQNANSTIASVFPEQLHFAMEDEDEFGSPKFSKKPLENSKGYSYVPLYAKGSVTSGFKKKQHKIISPKGSISVIPKSGLSIPQGLDAIHRLQKRILALQTEKEFARNTYESGMAKYWELDNEIQEIHKQLCGLEDEFGELKVIADDEARILMEVTALKSCRDTLAQLEEKQERFAMEAQIEHKRVKVSREKLNALKKKFQLLNEVNQEKGASDNDDNQKRKEIEYLKENITKQFEVFFSGTLSVTEMAEKINELLNNMINLKSTVTSQSILVQRLRIEIDELQAQIEVLEDEKATSIDGKNDVTLKKKIREMEEKLNEIQDLSQTVEDQNNNLQIYFTEANCSIDHISEKVDSMMPLPDDKVDEVEKSSSMEVKSSNEKETKTGIELETTTTGTVMVSTSLQGKDTEAAKEHESDATCTNGGKCEDSTARASSLTVNTIAKVGIEGDEPNSKQLLSKGIEDKEKDILMEYSLKLRNQNKDTEKKLKEAEANNQNGMFEIMSQLKELKESNAMKDELIRSLQEKLSLVKQ >KJB51211 pep chromosome:Graimondii2_0_v6:8:49178356:49181187:-1 gene:B456_008G206700 transcript:KJB51211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKQAQSSSYPPQPPTTSITPPPPSSSAAIAATPPAPFHHLLQQQQQQLQMFWSYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDEAVLGGMVGTTASGVPYFYPPMGQPAGAPAGPGGMMIGRPAVDTTGGIYAQPPSQAWQSVWQTAGADDGSYASGGSGGQGNLDGQG >KJB49103 pep chromosome:Graimondii2_0_v6:8:30123644:30126217:-1 gene:B456_008G101100 transcript:KJB49103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPSLVSLSIDALKRELIHGDDLLPHVYELPLELFNSVVECLPPLALQKLQSEMPFKNYDDYGPFSDDLKMGRKRGRYGNFDTAWKALFKFRWPDLAECVKPVDWQQIYWETHVQKNVQKLMGSTPLDLAKFNMVKSGEMSRNAPCSCGSKKRYKR >KJB53064 pep chromosome:Graimondii2_0_v6:8:56571436:56574308:-1 gene:B456_008G291200 transcript:KJB53064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGISEFLTIFSPFTNLFESILEKIPIKLPIPLEKMVSYVSYPRTGRHLQRYDNRGFRLVVGCIPYRYKKLEEASSNEEEIEVLVISAQNGKGMLFPKGGWENDESMEDAAIRETLEEAGVLGIIECKLGKWSYKSKRQSIFHEGHMFPLLVKKELDLWPEKNIRERKWVTISKAREECPHLWMKEALEELVRRHVQPATDEK >KJB46792 pep chromosome:Graimondii2_0_v6:8:8716449:8716770:1 gene:B456_008G056700 transcript:KJB46792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNAMEEEEFGFSINYFLAKEMGSSGKKSARKLSDINVVDEQELREASANIEPKHQNDIADLINSYKSLYPKWFFDLR >KJB48446 pep chromosome:Graimondii2_0_v6:8:11510890:11512460:-1 gene:B456_008G069400 transcript:KJB48446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTKSHIALLASPGMGHLIPVLELAKHLVSHQSFKVTVFVVATDHASLANTHLLQSPADSGDLLDIVLLPFIDVSDIGGPSVSFIATIVTTVLRSMPFLRSEISKMEFRPTALVVDLFGTDALEIADEYNMLKFVFIASNAWFLAVMLCTPEIDRKEIDEHVKNRKPLHIPGCKPIKFEHNLDVFFMDTNDPFYQGYVEAINKMSLTDGILVNTWDELEPTTLKALRETKKVPIYPIGPLVRPIEKSVFGNEILAWLDKQPKQSVIYVSFGSGGTLSAQQVKELAWGLELSQQRFVWVLRPPTENDAAGTFLALGNGSDATLDYLPDGFPARTQDRGLLVPMWAPQVEVLGHPSIGGFLTHCGWNSTMESIVNGVPMIAWPLYAEQKMNASMLTEDIGASIRVMTVESDAAVGREEIRSVVRKILVDRQGRNIRSRIKELKDNAANALAKGGSSYNSMSQFANICIQHLKTKASGA >KJB52370 pep chromosome:Graimondii2_0_v6:8:53988495:53990766:-1 gene:B456_008G258700 transcript:KJB52370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHSDCFWVLFLASKCKSFSTQNSILLLLFLCMAWFAITLCFWFYPGGPAWGKYNWLTKRAASKPKNTIPGPRGFPIVGSMNLMVNLAHRKLSTAANCFGARRLMALSLGDTRVIITCNPDVAKEILNSSVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQISSTGAQRLDIASQMVSIIACRRGEFTIRNMLKKASLNNMMCSVFGTKYQLGSSNTETEELGQLVEEGYDLLGNLNWSDHLPWLACLDIQNIRLRCSELVPRVNKFVNKIIQEHKLNTGTRTPDFVDVLLSLNGPDRLSDNDMIAVLWEMIFRGTDTVAVLIEWILARMVLHPEIQSRVQAELDQVVGKSWALLESDIQSMVYLPAVVKEVLRLHPPGPLLSWARLAITDTTVDGYHVPAGTTAMVNMWAITRDPDFWVDPLKFKPERFVSKESADVEFSVLGSDLRLSPFGSGRRSCPGKTLGLATVTFWVGTLLHEFKWEASDDNPVDLSEVLKLSCEMANPLKVKVQPRRR >KJB47308 pep chromosome:Graimondii2_0_v6:8:2333741:2336006:1 gene:B456_008G020200 transcript:KJB47308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTKKNQMSTESNNQRQWQYYHTLYTNVKTGGRLLIDGQKNKTADCIACTQPPPKQALRRRFFCRVGAWRLQS >KJB52300 pep chromosome:Graimondii2_0_v6:8:53767423:53770170:1 gene:B456_008G254600 transcript:KJB52300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAQAMKRIPRIKFPQRHPKPSDSGLQAQGASKTGDGDLTFFSSSKAPATVGGKASLQPKRTPVSNEEIEAILLGGCF >KJB51579 pep chromosome:Graimondii2_0_v6:8:51048129:51048567:1 gene:B456_008G223900 transcript:KJB51579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYWIRREGSSKAGVTETSRGKSKTRCIDVKDGFNLVCPRPSNVSAARLDMVYLDLSLPMSNLNKVIYHAKISPDHFFPCQKLHNGFNIIQASSLHTPPTPQALSFESS >KJB52347 pep chromosome:Graimondii2_0_v6:8:53898472:53901608:1 gene:B456_008G257200 transcript:KJB52347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVADKLACFQAVTGLEDPDLCTEILQAHGWDLELAISSFTSSNQSSASTITSDSDPRDSLDPTQSASSSGLAPAPNPSIAWKLVTLPFSVISGSLGLVSGAVGLGLWAAGGVLSYSLGMIGLGQGRGGESSARLVSVSAAASEAMEFVAAFERDYPTTRPNFVGEGFMDALQRSRNSFKLLFVYLHSPDHPDSPVFCGRTLCSEAVAAFVNENFVAWGADIRASEGFKMSNSLKASRFPFCAVVMPTTNQRIALLQQVEGPTSPEEMLTMLQKVLEESSPVLVAARLDAEERRNNMRLREEQDAAYRAALEADQARERQRREEQERLEREAAEAEQKRKEEEEAHERAAREAAEKEAARARMREQKALSLGDEPEKGPSVTQVLVRFPTGERKERRFHSTATIQLVYDYVDSLGCLEVEDYSLVSNFPRVTYGRDKQSLSLKEAGLHPQASLFVELN >KJB47356 pep chromosome:Graimondii2_0_v6:8:2598434:2599072:-1 gene:B456_008G022700 transcript:KJB47356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRKSSIISFLLLLSLLLSSMKPGAEARGLLRDSKWQYSNGSKKIQKGRFKGMFLHAVKVSGPSLGVGHRYNNLQSIEVQKSGPSPGEGHK >KJB52254 pep chromosome:Graimondii2_0_v6:8:53599978:53601769:1 gene:B456_008G252300 transcript:KJB52254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTCPVPSIKYAEHRNETKLFSPLLNVRLENQPEMKPRIVRITVTDADATDSSSDEEHQQKRTRVSSRNRVKKFVKEITIESSCPTEKNIVERSKTTSSLSKASRKRPAAVAEAKGKAPAKAPAGKKFRGVRQRPWGKWAAEIRDPLRRVRLWLGTYDTAEEAAMVYDNAAIQLRGPDALTNFTTPQQKSIQEKTSQKPLSNSDYNSDEESHKTDPCSPTSVLRCHSLSVDEADSQSAKESREIGSEARDVVDDSCCLSGENLSGFSDYSSLFSGDMFCSVPDFFDDDTSLHESFLKDEFGDGFLSTCGDFEFGFGDFSSFQRVDDHFQDIGDLFGSDPLLAI >KJB52726 pep chromosome:Graimondii2_0_v6:8:55280465:55281248:1 gene:B456_008G274400 transcript:KJB52726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCIVIMFLCLNSWVGHRYGLHVKSKLLSCFCYKGSQFIDGKLLGALIEHPKFTRFCWICNGKFHALNRVSYVKVTPSLNL >KJB48634 pep chromosome:Graimondii2_0_v6:8:15166772:15169903:-1 gene:B456_008G078900 transcript:KJB48634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLWFLNLLLPLFLLQLFPILVVSEPYVFPEKYFINCGSHSSLPLGSRKFVGDMNPNSFSVANGKPVKDSSQQSSSDLGLYQTARFSGHPFSYDLDITDKGLYVVRLHFFPFPNLADALFNVSASSKSLLSNFSVRNTTSVPVIKDFLVPISSSKFRIFFIPAHQSSFAFVNAIEVFLVPSLRDNRTHLSSAGRKGLYQGLPSQLLRTLHRVAFGSPSPSTNDLTVVASEWVADDDYIVVRNWAKNYNYQSTIKLHYDDEYMETDTYTAASKNFIPDRVYMGCKTVNLNDGQSSKSTNITWHFNVSRNAQHLVRFHFCDILSKSANTVNFSLFIYNNFSQQIDPYQYSVNTAAPFYNEFVVDSGECDFISVSIVPWEDSIERFAYLNGLEIMEFITQPGLEPHISEPKGKNSVFIIIGSVIGFVVLCSLVVAYLFKKRRKARSFEPMPSYGTLPFGGASPYIGISSKSVNPPPVPNLNLKLKMPFAEILEATNNFEAKLLIGEGGFGKVYKGILRNGLKVAVKRSESKHGQGLPEFQTEVMILSKIRHRHLVSLIGYCDEGSEMILVYEFMERGTLRDHLYKLGRNPERSSSLSLLTWRQRLEICIGAAKGLHYLHTGSDGGIIHRDVKSTNILLDEQYVAKVADFGLSKSGLLNPDEFSTGIKGSFGYLDPEYFRCLQFTEKSDVYSFGVVLLEVLCARPAIINSHRKEEINLAEWGLIWLNKGELEKIIDPSMASQINPNSLRKFSEIVEKCLKPTGASRPTMLDICWDLEYTLQLQQTAVRREPHEDSAIDASFNMSSRPFQRLPSNNCPIEKVDVPMENDDGSDTTESGVFSQLRIDGGR >KJB49846 pep chromosome:Graimondii2_0_v6:8:39194710:39195453:-1 gene:B456_008G140800 transcript:KJB49846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCHVIFYERGKKGEIFEGNLSCDCVCSALDAELWAIMDRLDIAWKRGVNQLLVENRGDRRESSTNIRELLARDWHVKVMFTPRRANMTADSMAEVGRNVPLGLRIYDILPKMIEIEILQDSVGVRYNSCYN >KJB52206 pep chromosome:Graimondii2_0_v6:8:53457183:53457831:1 gene:B456_008G250600 transcript:KJB52206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQEDPQAKPLAPVQDYPRSDMEFGGIKPKSLRREEKSSKCLVYVLIITVVLGTILLTFGSILLRPRTPGFKFRSVQVRNLKYVTNSTSPSFNFTLIMQIVVENTNFGDFRFDNTTGSVIPTGRAQARKTERLNVSFDVSSVRVPNTTRLNGNISSRILELSSHVKLSGKLNIMNIMKRRRHPEMTCFMKLNLTGSSVHDLTCD >KJB51495 pep chromosome:Graimondii2_0_v6:8:50551761:50557384:1 gene:B456_008G219100 transcript:KJB51495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRVQTIKSSKANFPRYLIRLTGYFSSSLLPPNKKFSSRIPVGCKLKHPILFIGSHGLFSNVHPLFAAKSFHFSTVVQPFAKMSQRDSYRLYTQFYDVIDLLKSSTARPSLVTATIAHCLAIKIGALAHLPACTSLLTAYSRAKYFISALALFDEFCDRDVILWNAMINAAVENKSYNVAMQFFVEMTEVGVAFDSTTLLLIVSSLSHMKYLKHGKSFHCLSIKVGMLGDCSLCNALLDMYAKCGDLTSSQSMFTWMDCRDVISWNSMVNGFLYNGHPGKSFWCFREMISLGIRVDSMSLSCAISASAASGELSSGQTIHAWGIKQGYNFDISCSNSLISLYSESGDIEASKSVFKEMVLKDVISWNAMIGGFASNGMILETFDMLYKMQLTGYAQPDVATLFTIISLCAERMLLREGKTVHGFTIRRQMISDLWVINSLLDMYSKCNCIIKAELLFNAIPERDLVSWNVMISGYSRNGYSKEAQSLFKTLTHQCLQLSFSTVLAVISSCISLDSLQFGKSIHCWEIKAGLSSNILMVNSLMHMYINFGDLSAAFMLFDTISSEEDIACWNTIIAGCTNNGHFREALATFNWMRQVMDVMCDSITLVNVISACGNLLLIYEGKSLHGLAIKTFVGSETRVQNALITMYGRCGHTKSARSVLDFCSSRNLCSWNCMISAFSQNKEGRRALELFHFLEFEPNEITIVALLSACNQLGLLRQGKQIHGLVLRIGIFENSFISAALVDMYSNCGQLDLGWQIFTRSKDKSIAVWNSMISAYGYHGNGQMAIQLFHKMCDSGVRPSKSSFVSLLSACSHSGLVNEGLWYYMVMLEEYGVEAVTEHQVCVVDMLGRAGKLEEAYEFIKQIPGEAGVGVWGALLSACNYHGNMEMGREVAEHLFGLEPENVVGYYISLANLYVSVGGWKDAMEFRQIIQHKNLKKLPAYNIRPQYVDHIPKAVQGNVGQVLDQKDEKEMKAKLSSNCPGLLINFVCCLYGKPGPFGVVTLPFSIREGINIFWTGFVPTENLRFRDDFEVAETPQESAEEIETYARYRYPTMTKTRGNFKVIEFEGEFTDSQIIVMFGENGRGKTTFIRMLAGLLTPDSVEGSDVEIPEFNVSYKPQKSSPRFPDTVRLLLHQRIRDSYMHPQFVSDVMKPLLIEQLMDEEVANLSVGELQRVALAWKACRSLFNR >KJB49008 pep chromosome:Graimondii2_0_v6:8:26875963:26876530:-1 gene:B456_008G097400 transcript:KJB49008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMPMEFVKDPDDQSSSMEVDDVDTPEIFGEGVVGSDNELADANFFNNFEDDFDDSDIG >KJB48795 pep chromosome:Graimondii2_0_v6:8:19785581:19789335:-1 gene:B456_008G087500 transcript:KJB48795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLLCLLLFLLLHISHCSAARTVSEFQALLAVKSSITDDPQSYLSNWNATTPLCSFTGVTCDYTGRHVTSLNLSYFNLSGTLSPSFSHLQFLQNFSLAANQLYGPIPTELAALSALRYLNLSNNVFNGSFPTQLSQLKNLQVLDLYNNNMTGELPISVTDLPNLRHLHLGGNFFTGQIPASYGRWEHLEYLAVSGNELGGRIPPEIGNLKKLKELYIGYYNSFEGGLPPEIGNLSELVRFDAANCMLSGEIPPEIGKLQKLDTLFLQVNALSGSLTPELGTLESLKSMDLSNNMLAGEIPESFADLKNLTLLNLFRNKLHGQIPEFIGELPELEVLQLWENNFTGNIPQTLGSNRKLQLLDLSSNKLTGILPPDMCSGNTLHTLITLGNFLFGSIPESLGKCESLSRIRMGENFLNGSIPKGLFGLPKLTQVELQDNYLTGEFPVTDSSISLNLGQISLSNNRLSGPLPATVGNFSGVQKLLLDGNKFSGPIPAEIGNLQQLSKMDFSHNKFSGPVAPGISKCKLLTFVDLSRNELSGEIPTEITGMRILNYLNLSRNHLIGSIPSSISTMQSLTSVDFSYNNLSGLVPSTGQFSYFNYTSFLGNPQLCGPYLGPCKDWVTNGTHQSHVKGGLSASLKLLLVIGLLVCSILFAVAAIIKARSLKKASDSRAWKLTAFQRLDFTCDDVLDCLKEGNIIGKGGAGIVYKGIVPNGNQVAVKRLPAMSLGSSHDHGFNAEIQTLGKIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVSGRKPVGEFGDGVDIVQWVRKMTNSKKEGVLKILDPRLPSVPLHEVMHVFYVAMLCVEEQAVERPTMREVVQILTELPKASDSKQRDPTVTESSHSPTSTLESPNNATTKDPMPQSPTPDLLSI >KJB48232 pep chromosome:Graimondii2_0_v6:8:9335114:9337137:-1 gene:B456_008G059300 transcript:KJB48232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGDITPNKEKGSGRSKHVADEKAPLLPKQQDEDAVHDEFNGASFIGAVFNLSTTIVGAGIMALPATMKVLGLILGVALIIFMAFLTDASIEFLIRFSKAGKITSYGGLMGDAFGKYGKIMLQICVLVNNIGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWFGQHWWNGRTFVLLISTIGIFSPLACFKRIDSLRFSSALSVALAVAFLVIILAIAIFKLISGTVVMPRLLPDVTDLTSFWKLFTVFPVLVTAFICHYNVHNIANELKNTTQIGAVVRTSLASCSIVYIMTSVCGFLLFGDATLADVLANFDTDLGIPYSYLLNDAVRVSYAAHLMLVFPIVFYPLRINIDGLFFPSASPLPQSTTRFAFITSGLLILIFLGANFIPSIWVAFQFTGATAAVCLGFIFPAAVTLRDRHVISRKEDRMLAIVMIVLSVFSNMVLVYGNAISLLKKNQ >KJB49260 pep chromosome:Graimondii2_0_v6:8:33993752:33995535:-1 gene:B456_008G109200 transcript:KJB49260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEGECSDNPFTSVMTLTKMRVPHVPQRYVLPPSQRPTLALDLTTTLPIVDLSTLHHASQRSLTLDTIQGACKELGFFQVVNHGIPLPVIHDALAAATEFFNLSLEEKMTLLSDNVHNPVRYGTSLNHASDKVHYWRDFIKHYSHPISDWIHLWPSNPPSYKDKMGNYAKAVQVLHKQLMEAILETLGLNFGNLQKEIEEGNQLMAINCYPACPEPDLTLGMPPHSDYGTLTVLLQSGPGLQLQDNKKKWLSVPFVEGALLVQLGDQIEVMSNGQYKSVVHQVTLSAENKRLSIASLHSLPINKKIGPAPELVDEQHPVSYNEFSFRDFLDYISSNDIADKRFIDSIKKSV >KJB47871 pep chromosome:Graimondii2_0_v6:8:6116098:6119264:-1 gene:B456_008G045400 transcript:KJB47871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLVVLTLFSLLSTTCSTSLVNDFHVLVKLKKGFQFPEPLLSSWNSSNPSSVCSWAGIECAWGRVVSLDLTYMNMCGSVSPQISRLDRLTNLSLACNNFTGSIEMANLSDLRFLNISNNQFNGHLDWNYASISNLEVLDAYNNNFTALLPLGIVGLKKLKYLDLGGNYFYGKIPPSYGTLVGLEYLSLAGNDLEGKIPGELGKLIKLREIYLGYYNVFEGSIPLELGNLVNLVHMDLSSCELDGPIPQELGNLQLLDTLYLHLNQLSGPIPKQLGNLTNLVNLDLSHNALTGEIPSELVNLKQLRLFNLFMNRLHGSIPDYVADLPNLETLGLWMNSFTGVIPENLGQNGKLQLLDLSSNKLTGTIPRDLCASNQLRVLVLMKNFLFGTIPEGLERCYSLSRVRLGQNYLNGGIPNGFIYLPELNLVELQNNYLTGTLSENRNSSLKPVKLGLLNLSNNLLSGPLPYSFSNFTSLEILLLGGNQFSGPIPASLGELRQVLKLDLSRNLLSGIIPPVIGNCVHLTYLDMSRNNLSGSIPPEISNVHILSYLNVSRNHFCQAIPRSIGSMKSLTVADFSFNDFSGKLPESGQFAVFNASSFAGNPQLCGSLLKNPCNFSAITRAPRKAPRNFKLIFTLGLLICSLIFATAAIIKAKSFKKNGTDSWKMTTFQKLEFAAADILECIKDGNVIGRGGAGIVYHGKMPNGTEIAVKKLLGFGTSNHDHGFRAEIQTLGNIRHRTIVRLLAFCSNKETNLLVYEYMSNGSLGEVLHGKKGAFLGWNLRCKIAAEAAKGLCYLHHDCSPLIIHRDVKSNNILLNSTFEAHVADFGLAKFLIDGAASECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFSEGVDIVQWVKGVTKCRREVIGIVDPRLASVPKDEAMLLLFIAMLCVQENSIERPTMREVVQMLWEFPRHSLKYQSSSSFIQYQQENLEEYQKS >KJB48290 pep chromosome:Graimondii2_0_v6:8:9988001:9989247:-1 gene:B456_008G062400 transcript:KJB48290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSGDKVKAMWDKRLTEIFCDICIKEVLKGNRPGTHFTREGWLKIMTNFEKETGKGFSQRQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDDWWESRLQVVPEAQKFQTSGIDPEFEGKLDQMFMGIVATGDKAWAPSSGTLRSDFFEDVTNEIPEENEEENMRNDVHILNDVNISNDVQIDGNGQKRKNPETSSSHFKIGRKKSSKQIGGAARLSSQIEKLCNAADNMSQATSSLTPVMDPYGIPQAVKVLDSMSEEVPEASPLYFFALKLLLNKDKRIMFLSINPNIRALWLKSEMEDS >KJB52060 pep chromosome:Graimondii2_0_v6:8:52978865:52979505:1 gene:B456_008G244700 transcript:KJB52060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYFVSVADHGITLANAATFSIQNNFPCTVWAAAAPGGARIWARTGCEFNESGQGKCQTGDCVGLLQCQGYGLPPNTLAEYTLNQFDGMDFFDISLVDGFTGIKCTSQIVGQCPSELQTPGGCNNPCTVFKTDEYCCNSGKCGPTNFSSFFKERCPDAYSYPKDDETSTFTCPTATNYKV >KJB49478 pep chromosome:Graimondii2_0_v6:8:36005450:36007339:-1 gene:B456_008G121600 transcript:KJB49478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSQSRNARKLFAEITQRIKSSPTRISATLFHRNSSHDDTVEPNAENDHVLVSWTSKLSKLVKQGQPEEAICLFKRMLLLKSNQRPNYVTILSLIKALDALHWDVLVMMVHGLVVKMGFISEPSVLTALIGSYSVYGMGTCWSLFHQIRDKDVVLWSAVVYACVKNKDYLEALELFRRMQFIGLKVNHVSIVSILPACANLGALRLGREIHGFIIKRMFSHVISVQNSLVDMYAKCRNLESGIRVFDGMLEKDLVSWRTVIRGYIENEFGIEAINIFSKMQLLSFFAPDEFVVRDMIMAVLQSGENKLGSAFHCYIMKNGFLAFVSVATALLQMYAKFGMVCSARSVFDHIGNKDVIAWNAMISAYTQSKLPFNAVDTFTQMLHMNAKPNEFSLISLLQMCSLMASQEVSHELGDSIHAFIEKVGYSRNVYLSSALIDFYCRSGRVKQGKALFDEVPVKDLICWSSLINGYGLNGYGIEALETFSNMLDCGIKPNEIIFLSVLSACSHCGLEYEGWNWFYSMKEKYNVTPKLAHYACMVDLLSRQGNIEQALDFVKKMPMEPDKRIWGAILAGCRLTPGPIEIVEFVVEQLATLDPQNSTNYYTILSDLYASEGREEDVERTRRLVENA >KJB51181 pep chromosome:Graimondii2_0_v6:8:49030282:49030725:1 gene:B456_008G205100 transcript:KJB51181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILMDAMNVEGMTVDVIHTILKVWQSGSSRFFLLNYGLLVLTSAVLEFSSSEKKMFVISNQHKPCFQN >KJB46741 pep chromosome:Graimondii2_0_v6:8:5087210:5087221:-1 gene:B456_008G0396002 transcript:KJB46741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIQ >KJB50448 pep chromosome:Graimondii2_0_v6:8:44628793:44632349:-1 gene:B456_008G171400 transcript:KJB50448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSEQEKVDGDIGVEKKKDKTANGYGGDGGEPKGTASSADQDSGDFYDVEIEKSNGEKEEKPPQESKEESTSISSKTEEFNDKEITINSPKIYCNARNHYLPAIKSQAHLPKPDPPATPRVERSQSLSIAETMPSIGKYISDRSSSFSAAIVRRLSSWKEDNGDLVVKNDSLNLEVTEFHIPGVKVIVKLKSEAERLGLDLKGRISFFTRSNCRDCTAVRHFFREKGLIYVEINIDVFPKREKELIERTGSSQVPQIFFNEKWYGGLTALNELRNSGEMEVKLREMVGERCPEGAPPIPVYGVDDEEEEDDVLLETVRSLRKTLPIQDRLIKMKMVKNCFSGVDLVEGIMDHLDRGRKKSVITAKLMAQKHFFHHVFGENEFEEGNHFYRFLEHEPFIMGCFNYRSSTNDSEPKPASFLADRLSKLMFAILEAYASDDRLHVNYSGIDRSEEFRRYLNVARDLQRVNMKLLSPNERLALFLNLHNAMAIHAVISIGHPEGVLDKRAFFNEFLYLVGGYPYSLNIILNGTLRRNRTSPYSFFRPFSKGDRRLELAPPKLNPLIHFGLCNGTRSSPAVRFFTADGVENELRCAAKEYFQRGAIQFNMEKRTVYLTRIIKWYNEDFGTEKDILKWVMKYLDSTQSGLLAHLLADDGHINIMYQDYDWSGNL >KJB47760 pep chromosome:Graimondii2_0_v6:8:5312959:5315918:1 gene:B456_008G040800 transcript:KJB47760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLRQKQTECIIRMLNLNQPVNPSGTANEEVYKILIYDKFCQNILSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPAVYFVQPNNPNIQRIVADASRSLYDSFHLNFSSSIPRPLLEDLASGTLNSDSIHRISKVHDQYLEFVTLEDNLFSLSQKSTYVQLNDPSAGDKEIEDIIERVVNGLFCVLATLAAVPIIRCPRGGPAEMVASALDQKLRDHLLSKNNLFSEGGGFVSSFQRPILCIFDRNFELSAGIQHDFRYRPLVHDILGLKLNRLSVPGEKGGMKSFELDSSDPFWMANGSLEFPEVAVEIETQLNKYKKDVDEVNKRTGGTAGAEFDGTDLIGNTKHLMNAVNSLPELTERKQVIDKHTNIATVLLGEIKERSLDAYVKKENDMMVRGGIDRNELMSVLKGKGTKIDKLRFAIMYIISSETINPSEAEAVEAALRESEVDTSAFQYVKKIKSLNVSLASANSASRSNIVDWAEKLYGQSISAVTAGMKNLLSGDRQLALTRTVEALMEGKPNPEIDSYLVFDPRAPKSSPGTSSSHLKGPFKEAIVFMIGGGNYVEYGSLQDLAQHQQPAKQVIYGTTEILTGMEFVEQLSLLGQKMGLGSSSAAASATQ >KJB49785 pep chromosome:Graimondii2_0_v6:8:38848033:38851829:1 gene:B456_008G138100 transcript:KJB49785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLLVFMLIVLLLVCFSICEAFECEFDAENHQFKVADTIRVDQSGKGDFKTVQKAIDSIPSNNKKWIRILISPGVFREKVTIPYDKPCIFLEGAGRLLTRIEWNSHMRTCDSATLTSFPDSIVAKGITFKNFYNVPLATIPNIKNTVKPALAARIYGDKSAFYNCAFFGLQDTLWDVQGRHYFKNCYIEGAIDFIFGSGQSIYESCEINLTIGKYAPQYPNGYITAQGRNSSDDPSGFVFKSCVFSGTGKTYLGRAYGAYSRVIIYKSVMTDIIVASGWDAWTYVHHEGNLMYAENSCRGAGANTSKRVPWLRKLSASQLSQFVNISYIDKEGWIDKLPKHVLNLGHKKNSKLKFMA >KJB49292 pep chromosome:Graimondii2_0_v6:8:34350736:34354901:1 gene:B456_008G112100 transcript:KJB49292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVSQHSPPCCHLNRRLLVKKRSSRQQVKIPLCRKRRILCSKVHYRTESRALSNKDHSLLNGKVTRPSKPVEGFSGDSNGYVIGSEDEVGSMSETGDSITMVLIPGLPDESNGECGAPISSCFWEWKPKFNVHYEISGSQNVNSPPLLFLPGFGVGSFHYEKQLKDLGRDYRVWAIDFLGQGMSLPTENPTSSSKEEDLVWGFGDKTEPWATDLVYSMDLWRDQVLYFVEEVIGEPVYLVGNSLGGFVALYFAARNPQLVKGVTLLNATPFWGFLPNPIKSPRLARIFSWSGTFPLPESIRKLTEFVWQRISDPECIADVLRQVYADHSLNVDNVFHCILETTQHPAAAASFASIMFAPQAELSFREALSRCDMNGVPICLMYGKEDPWVKPVWGLEVKKHVPEAPYYEISPAGHCPHDEVPEVVNYLLRGWIKSLESQGAAALPLLDDMQSENIQSSIAKDLEFVRQGSNKSVIVRFMGSSFSLWNRMESYFTSCFAKLETK >KJB50379 pep chromosome:Graimondii2_0_v6:8:43907287:43907592:1 gene:B456_008G167800 transcript:KJB50379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESPCPPQQLEHTKSSIKKTLDSWQHVGKRKDTHSQAKTQSTSKRKKYHALKKNQRSKTATTRSLNLRSHSPKVSSQKNLCRLW >KJB52577 pep chromosome:Graimondii2_0_v6:8:54700837:54702336:-1 gene:B456_008G268400 transcript:KJB52577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDPKLILYACIAKGPTVVAEFTRKEAVGIEPLARECIEKAPPYHSMFSHTVSQRSYTFLIDDPFVYFIISHEDLDKSESFWFLDRLKGALDDFLLTGLVMGTDNLTPNCLQSHFDPVFSEALGLGLGLGLDFVPSPVVKDSRNPTVVAPLLGGLKKKKRSGGGLEVNGDETIDGCGGVGGGGGGIVGMENTVDLSDNFRDYPVSMQKSGGLCGGGGGDKQKAKQTWRKHVWVVLILDLLVCATLFGVWLWVCRGLQCIDG >KJB49612 pep chromosome:Graimondii2_0_v6:8:37003980:37004876:-1 gene:B456_008G128500 transcript:KJB49612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKLNISVSFICLMVLVLATGSYGGGISIYWGQNGNEGTLAETCATRNYEYVNIAFLATFGNGQTPMINLAGHCDPYSNGCTGLSSDIKSCQAKGVKVILSIGGGAGSYSLSSSDDARQVAMYLWNNFLGGTSSSRPLGPAVLDGIDFDIEGGTGEHWDDLAKYLSGYSKKGKKVYLTAAPQCPFPDAWVGNALKTGLFDYVWVQFYNNPPCQYSSADIANLEDAWKQWTSDIPATKIFLGLPAAPDAAGSGFIPVNDLTSKVLPAIKNSSKYGGVMLWSKYYDDQSGYSSSIKSHV >KJB50749 pep chromosome:Graimondii2_0_v6:8:46559946:46564257:-1 gene:B456_008G185700 transcript:KJB50749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSVSVSHGHGLSSQLSSSSLHAQQLSSSSSKSTLFVGFPFTSYASTLHGKQCRSQPHLVVYSKRVSGLEEALRIRRERELQGTTKFRRRPPLRRGKVSPRLPVPDHIPKPPYLSSNILPEISSEHQIHDAEGIAQMRAACELAARVLDYAGTLVRPSVTTDQIDKAVHEMVIEAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQDGDIINIDVTVYLNGYHGDTSKTFLCGDVSGPLQRLVTVTEECMEKGIAVCKDGASLKKIGKRISEHAEKYGYGVVERFVGHGVGTVFHSEPIILHHRNESPGVMVEGQTFTIEPILTLGGIECNTWPDNWTTVTSDGSPAAQFEHTILITRTGAEILTKC >KJB51990 pep chromosome:Graimondii2_0_v6:8:52792996:52794129:-1 gene:B456_008G242100 transcript:KJB51990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSIAPRVESLASSGIQSIPKEYIRPKEELESIGNVFEEEKKGGPQVPTIDLKDIESEDIKVRERCLQELKKTAMGWGVMHLVNHGISDQLMDRVRITGQKFFELPIEEKEKYANDQASGKIQGYGNKLANSSSGQLEWIDYFFHLVFPEDKKDMSIWPKTPSEYTEVTSEYARQLRGLASKILSALSLCLGLEEGRLEKEVGGLEELLLQMKINYYPKCPQPELALGVEAHTNVSALTFILHNMVPGLQLFYEGKWITAKCVPNSIIMHIGDTIKILNNGKFKSILHRGLVNKEKEKIIPKPLPETVSVMEPPLFPPCTFPQLIQHKLFKKT >KJB49530 pep chromosome:Graimondii2_0_v6:8:36262545:36264289:1 gene:B456_008G124100 transcript:KJB49530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQTPHIIIHPSPGMGHLIPLIEFSKRLVHHHSFTITVVIPTDGSSTTLQKSTLDSLPTSIDYVFLPPVDFTDLPEGTKMETLVCLTMLRSLPSFKNTMASLVSTKKLVALVVDLFGTDVFDVAKEFNVSPYIYFPSTAMLLSLFLYLPELDRTVSCEYRDLPEPVRIPGCIPVNGKDLLDPVQDRKNEAYKLVLQHAKRYRLADGIIVNSFMDMERGAIKALLDRTEPDKPPVYPVGPLVNMGDGSGSDSDCLKWLDDQPDGSVLFVSFGSGGTLSSNQLNELARGLELSEQRFLWVVRSPNDKSANANYFAVQSQNNPFDFLPKGFLERTKGRGLVVPSWAPQARVLSHRSTGGFLTHCGWNSTLESVVKGLPLIAWPLYAEQKMNAVMLTEDINVALRPKPDENGLISGDEIAKTVNGLMKGEEGKIVRRRMKDLKEASAKVLSENGCSTLALSKVVTKWIEKTGI >KJB50420 pep chromosome:Graimondii2_0_v6:8:44414956:44422662:1 gene:B456_008G169900 transcript:KJB50420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANIITQSSLLQPKPALSAKTLQIPSLHRLSGLPPPSRVGFLLEKKTGIVGKAVLTSAVSDSTSSVLENKKNSKNPIVVIDNYDSFTYNLCQYIGELGCYFEVFRNDELTVEDLKMKNPRGVLISPGPGTPQDSGISLQTVLELGPTVPLFGVCMGLQCIGEAFGGKIVRSPYGVMHGKSSPVYYDEKGEDGLLTGLSNPFNAGRYHSLVIEKDSFPEEALEVTAWTEDGLIMAARHKVYKHLQGVQFHPESIITSEGKTIVRNFIKLIERKEVTGSKN >KJB47057 pep chromosome:Graimondii2_0_v6:8:1014195:1014926:-1 gene:B456_008G008600 transcript:KJB47057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVTSLASEKGVVLFSKSSCCMCYAVKILFQELRVTPMVHEIDQDPEGWEMEKALKRLGCNGPVPAIFIGGKLVGSTNEVMSLHLSGGLLPMLKPYQTLC >KJB48959 pep chromosome:Graimondii2_0_v6:8:25833299:25838732:1 gene:B456_008G095300 transcript:KJB48959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKVEKLTSIDAQLRLLAPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELSAEYEGKHDPKILEELGKVITSLDPGDSIVVTKSFSHMLNLANLAEEVQIAYRRRIKMKKGDFADESSATTESDIEETLKRLVGQLNKSPDEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRECLTHLYAKDITPDDKQELDEALQREIQAAFRTDEIRRNPPTPQDEMRAGMSYFHETIWKGVPQFLRRIDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPWVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCNDELRLRADVLLRSSRKDAKHYIEFWKRIPPNEPYRVILGDLRDKLYNTRERARNLLANGISDIPEEVTFTNVEQFLVPLELCYRSLCACGDRSIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKHLDIGSYREWPEERRQEWLLSELRGKRPLFGPDLPKTEEVADVLNTFHVISELPPDNFGAYIISMATTPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYINRINGKQEVMIGYSDSGKDAGRLSAAWQLYKTQEELVKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTVQGEVIEQSFGEEHLCFRALQRFTAATLEHGMHPPVSPKPEWRALMDEMAVVATKEYRSVVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAIKHVIDKDKKNLQILQTMYNQWPFFKVTMDLIEMVFSKGNPGIAALYEKLLVSQDLWPFGQKLRTNYEDTKRLVLEAAGHKDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPDYHTKVKPPLAKESTQSSKPAAELVNLNPKSEYAPGIEDTLILTMKGIAAGMQNTG >KJB50960 pep chromosome:Graimondii2_0_v6:8:47999722:48001182:-1 gene:B456_008G195100 transcript:KJB50960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLFPPVWHRFLTQIINTHKPKNILHSLYSSSTTSPELEELRKVVSSSIGGLDDLESSLNRFKLSLSSLLVTEVIKYCENVAPTRRLLRFFLWSVKSLSYSLEDKDFNYAVRVFAKRKDHRAIEILISDLRNEGRLMESQTFSVVTDTLVKLGREDEALGIFKNLEKFKCPQDGFSLTAIVNALCAKGHAKKAEGVVYHHKDKIAGVEPCIYRSLLYGWSIQENVKEARRVIKEMKSAGVELDLYCYNTLLRCLCGANLKCNPSGLVPEALNVMMEMRSYRIAPTSISYNILLSCLGKTRRVKESCRILDLMKKTGCAPDWITYYLVARVLYLTGRFGKGNMIVDEMIEQGLTPDCKFYYDLIGVLCGVERVHFAVELFEKMKRSSLGGYGPVYDVLIPKLCRGGNFEKGRELWDEAAALGVSVSFSTDVLDPSITQVFKPTRKVKEFNLKDCKMAKNTVNMKHKIIKGNKGKKKISQKNKSVSK >KJB48248 pep chromosome:Graimondii2_0_v6:8:9542441:9544133:1 gene:B456_008G060100 transcript:KJB48248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGACVCALLLSVHQTSKRVPVSCSCFISWINCFVLIISFHFLDKVLIFLRNPLFDKTLVFNIRIKMGRQPCCEKVGLKKGPWTAEEDKKLISFILTNGQFCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEQMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPLTHKPLSTAEEQPKEQKKQVPKVDKSKPPETSLQSTITKAKEEDTSMTSSSFDPMDMTMNNENIDGFCTDEVPLIEPHEILIPGPAAPSTSSSSSSSSSSSSAHSPNFLQQFHYFPADFEWPSVNNTNKTDSNNLSLWDTDDFSGWDLLINDDDSDTKLALDSLSSPLFQCLRMGFDQDSWTYPLL >KJB46932 pep chromosome:Graimondii2_0_v6:8:369987:370416:1 gene:B456_008G002000 transcript:KJB46932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRIIDYTTSELRAGKQREGTRARGINKRKLREGDDDLSSSPPSTLSLTTTITSSSIQSATAPSSPSTLDSPTRRLKMTSSE >KJB50519 pep chromosome:Graimondii2_0_v6:8:45132880:45138191:-1 gene:B456_008G175200 transcript:KJB50519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLSRSVSNTSLRAHSFWLSFALIPSISASSSSSFSSKCRAEPISVPSQPPPVPKRVPFTLSLHGHSWQDPYHWMRNTNDPDFLNYLNQENSYAQAFMADTGSLQRTLVAEMKNRMPSKVSTPVECFGPWLYYEYIPEGKEYPVLCRRLQTNKTGWAEKILSYVKAEFRREEILLDWNEVAEKHGYVHVGQCRISPDHNFLAYTLDATGSEQFMLQIKDLRNGCIIPMEPVNGVVSLAWAQDCKTLLYTIADENQRPYRVLCTKLEPGNTDDTLVFMENDPSYCVDLTSTKDGKFITVYVVDATEPLNGLQRVHERVSGVQYFLEHHFGFFYILTNAPMKENIKCSHEGLYLARCRVGDIQSTTWQNIFYPGEDTCIQDMDIFNGHLVLFLNKDGFPMICSIDLPINVDCKHQMLIEDLNPWFFPIPSNSCSIEPGSNLDFMSSVYRVVLSSPVIPDVIVDYDMARRTFSIVQQEEVLGAPSNARSCSSGYELDTLQQHGIEKDAKDKNVELERWKDFSSTYCCERKEVISHDGIRVPLTILYSPKAWKSSQSPGILHGYGAYGEVLDKSWRVDRLSLLDRGWVIAFADVRGGGGDDPSWHKTGNGLLKQNSIHDFVSCGKYLIDEGYVQRDQLSAIGVSAGCLLIGAALNMYPNLFHAAILKVPFLDILNSLLDPSLPLTMLDYEEFGNPGIKSEFECISSYCPYKNIRPRGCHPSVLVTASFNDSRVGVWEAAKWVAKVRDSACSSCSRSVILTTNMSGGHFGEGGRYIQCEELAYDYAFLVKAMGVDMN >KJB47052 pep chromosome:Graimondii2_0_v6:8:992738:993588:-1 gene:B456_008G0083002 transcript:KJB47052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELFVNGEIVQRSPERQRRVELQPQRAQDRPRIADKSQVYTQHAYMRPLGVGMSMISLKSYEYDFVLITYICNSRFFTIFQLDIC >KJB50289 pep chromosome:Graimondii2_0_v6:8:42945138:42945452:-1 gene:B456_008G162300 transcript:KJB50289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQILALTLVLIALFVMVSADIKTAATTVVAATPNSNGDIGHIGKVAGAPSSVGTVEGPVGSAVAGAISGVATGQPPSSDGATMVGVTAAVTGAAAVAGYFVF >KJB51987 pep chromosome:Graimondii2_0_v6:8:52986272:52988418:1 gene:B456_008G245000 transcript:KJB51987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLTISQISSLLFFSVLFISAHAARFEIRNECSYTVWAAASPGGGRRLDPRQSWTIDVPAGTAMARIWGRTNCNFDASGRGHCQTGDCGGLLQCKGWGVPPNTLAEYALNQFGNMDFYDISLVDGFNIPMVFGPTNGGCHNIRCTADINGQCPNELRAPGGCNNPCTVFKTNEYCCTQGYGTCGPTRYSRFFKDRCGDSYSYPQDDPSSTFTCPAGSNYRVVFCPRGSPHIEMVGTA >KJB49410 pep chromosome:Graimondii2_0_v6:8:35308846:35310853:-1 gene:B456_008G117600 transcript:KJB49410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRQRIAIFTTASLPWMTGTAINPLFRAAYLAKGGDRKITLVIPWLSLKDQKLVYPDNAMFGSLWEQESYIGQWLERRIVFISDFGICFYPGKFAVDKRSIIPVGDILEIISNEEADIAVLEEPEHLTWFHHGKRWKTKFRLYVKREKNGRLLAFLLKYLNSWVVSIYCHKVIRLSAATQDYPRSIICNLHGVNPKFLEVGKKIFEQLQSRNRAFTKGAGIEIDLYGNREDSDRVKEAGNKLKLVVRVHPGHDHSDPLFHDTTDVVCTTTAEALAMGKIVICVNHPSNDFFKQFSNCRTYDDGNGFIEATQLNQVVAKELDKNQSTKFASISLNLQKNMEATPAYLHYVALGLETTRRAFGAIPRSLYPDEEQCKELGLFSLTGRVGSKKDN >KJB52021 pep chromosome:Graimondii2_0_v6:8:52805919:52810430:1 gene:B456_008G242400 transcript:KJB52021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPTTVSNQRNQSAPETPRLNLPARMSDSSVYLENLPSRTMHINRLINSNYNGSPSRTIYSDRFIPSRSGSNFALFDISNSPTSAEGKEDGSGTYNSLLRAALFGPYTPDKKDSLGSPACRNIFRYKTETKRSLHSFSPFGLDESVPGIIHSPVKAPRKVPRSPYKVLDAPALQDDFYLNLVDWSSNNVLAVGLGNCVYLWNACSSKVTKLCDLGIDDSVCSVGWAQRGTHLAVGTSNGKVQIWDASRCRRIRTMEGHRLRVGALAWSSSLLSSGSRDKSILQRDIRVQDDFASKLSGHKSEVCGLKWSYDNRELASGGNDNKLFVWNQHSTQPVLKYCDHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNAHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNTDSEIGASSLGRTTIR >KJB52541 pep chromosome:Graimondii2_0_v6:8:54606261:54610629:1 gene:B456_008G267100 transcript:KJB52541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLREDEECSFFDANEHIGTMSGLHSDSNSGFDNWSESCFDVWIRSPQSVNERRIKFFDWMGDSLDRISFKNSVDEPSLEGEFSRVMETSDAVLRTRGSEEFSSSRSSMSCWSNDNLDLSEESSSCDKFVCREGNEDWGEQCGVDEKLQDGEGIEGCETKVDQLVNGENSSTSEIPCVSMTSLQQVTERKMEKQNKTERMPKKAKNRWLSKLITRFLDKQVEDDSLSGNRDNSVWGNKVQRVKVHQCRKRTKELSALLKGQVIQAHEGSILTMKFSPDGRYLASAGEDGVVRVLQVVEDQMSIDLDIPEMDPSCLYFTVNHLSEVKPLVVDKVKEGNIRIPRKTSDSACVIFPSKAFRLVEKPLHEFHGHTGEILDLSWSNKNVLLSSSVDKTVRMWQVGCDGCLKVFSHSNYVTCVQFNPVDDNFFISGSIDGKVRIWSISGCQVVDWIDVRDIVTAVCYRPDGQGGIVGSMTGSCRFYDMLDNQLQLGAQIWVNGKKKSPCRRITGLQFLSQDPSKVMVTCADSQVRILQGPNVIGKYKGAHNNGNQTFTSITADGKHIVSACDDANVYIWNCVHQDKNSISQSKDIRSCEHFSASASIAIPWCGLKYGNTENRQFEVPHEDLPHDLPFSLPSYFSMGHEYSSESLPKGSATWPEETLPPSSPLSMPSSIHKSQYKFLKTSCQSTFDSHVWGLVIVTAGSDGRIRSFLNYGLPVPV >KJB50815 pep chromosome:Graimondii2_0_v6:8:46977413:46978094:1 gene:B456_008G188100 transcript:KJB50815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTENCIFQEVAMASVKAAGTQLFGQVKKEATDASSKSKPAASKPAAKKAAPKPQEPKKKKGKGGKAATKQ >KJB53288 pep chromosome:Graimondii2_0_v6:8:49838673:49839953:-1 gene:B456_008G2118001 transcript:KJB53288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSRSLKKQSPTPAGNTATWFLDKCRNMSSRPSTRFMASLLLLLFYSLADSFADLPSQFQAQQELTDINKSAILPTCPCRRAATLLEMDSKNGNKCPKNITEASQSRHGLTFLDADFFNDIKVLEIAKGAKEFNIPIIRTNRKLVASINGGLHNPSPL >KJB47989 pep chromosome:Graimondii2_0_v6:8:6919683:6920321:-1 gene:B456_008G049400 transcript:KJB47989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTLCTSSSPEWMQLYEQTIDACFGFSDATAVTVTTNNLTSNTSYAAAVDHYLAPKACISKSIRRRSRASKRTPVTLLNADAKNFRSLVQQFTGCSRRSTSISFAKNPRGPVNINFAHVNPKNDDDHHHNYTSHSDHASTIPQPGTNDCWKSQLLQRQEKHEHHHHHQQHDDEYQQRVSNEEQEGEFSFDSITSTDHDDLFPQGFVIDDLY >KJB49774 pep chromosome:Graimondii2_0_v6:8:38681684:38683441:1 gene:B456_008G137200 transcript:KJB49774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKVVITAVSVILLVGVVAGFFAVIQHRKHSGGTELSLQMKAVSNFCSATSYKEACQKSLNSVNSTDPEQFIGRSILVAEEAVKKFFNYSDSLIVKVKNNSRTKMALDDCKDMMSYAVQSLQASYSEVGNAQLHSISDRVSDLRTWLSAVISYQESCLDGFESDERMKENMTIGITDARELTSNALAIVSKLSEILSKFGLQLKAPKTSRRLLSTGKDKYPSWFSNVDRKLLAKIDNSNIKPNAIVAMDGSGHFKTIAEALAAAPKQSNVRHVIYVKAGVYKEYITVDKKTINILMYGDGPRKTIVTGNKNYVDGTPTWKTSTFSAIGDGFICRSMGFQNTAGPSKHQAVALRIQSDRSAFFDCRMDGNQDTLYNHANRQFFRNCVISGTIDFIFGDSPTLIQNTLIIARRPMDNQLNTVTAQGKTDANENTGIVIQNCRIVPEQILFPDRFKIATYLGRPWKQYSTTVVMESTLADFIRPEGWTPWAGAAFEDTLYYAEYNNRGPGASLDRRVDWKGYHKIDKNTAMRFTAQSFLLSRENWLPQTGIPYIAGLRS >KJB47836 pep chromosome:Graimondii2_0_v6:8:5971359:5973586:1 gene:B456_008G044500 transcript:KJB47836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNGKLTCNEQIGDDCDVEVLEQRTCNEKREIDVEDYSSVSKECLKEGDSKEQVEAKNLEFEDHSIKDQEASVIVESEDRRQDQESGDPASTGTKDAESEEKIKDIVDESKHDCQDKSHKIVTEVGVETSLDNTKVNEELVNLSSVKMSLETCESDANQETKEVENPQHELEKTESTLEPEVNEVEQAKALPETSSECLSQSVQTSTTTLASEQEIETTQLSENIEEQIQEVAEIVKHESSEDSSETKTMEEVSLQKEEETEPKVVSVEETIVDQGLQNEEPKDQIQKTSLTLPSKDELSDAKQTAEICLEKEEVDELGDGKKAETGAACAIQVEEPKDQIQALALPDAVVGQETTVSQASITEEPTKLETKEDDKTMENEVKEDESPEKIQEQEQRLKEPQVSKLRQVQGVAETSYLTSEPELSVKDGLGEDELKGKLIEDKTSETTQTEEHVVEAQKMSENEIAEKQIVCEDKTVGNPAQASVAKIETATVLEQAK >KJB51869 pep chromosome:Graimondii2_0_v6:8:52148002:52148900:-1 gene:B456_008G235500 transcript:KJB51869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLVALAKLHLLSPSHSASPLIASLICSFLLKISSRLSVLRRVYIDVFHATRLFFFQLSQIALEADHPASSNGHRWQRALRLVCQRITHVRRSPPAESDEASFHTLTMLSL >KJB49534 pep chromosome:Graimondii2_0_v6:8:36336701:36339367:1 gene:B456_008G124400 transcript:KJB49534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACASMAVPTSRVTSKAKEEEINGLRRLDSGMNRAGIRRSYSDNHLCCSINRIRAAASTKPTMTKSSSVGILPSLLPFQISSSIIPNSVRSFLFDDNDDEEEEIKRANWVNRLLEVHSRWKHRQIEDGVEGGEIYDENENDGNEDEHEGGCEVNYNSDEEGDEVMYDRESFSKLLVRVPLSDTKLFSELAFLCNIAYVIPKIEGMELRKYYGLKFVTSSIEKKAEVATIKAKMDQDSIRVPVATPESTELEKVEGTETKRLISLSAVYEIAASAACYVQSRAKGLLSPGFKSPVEDERDLRRSGDEHEMEGENLPRVYNSEVAAYMAASAMTAVVRSGEKEKQETAKDLQSLQSSPCEWFVCDELSTYTRCFVIQGSDSLASWQANLLFEPTTFEDTDVLVHRGIYEAAKGIYEQFLPEIMDHLNRHGDRAKLQFTGHSLGGSLSLLVSLMLLAKKVVKPSALRPVITFGSPFVFCGGQKILEEFGLDDNHVHCVMMHRDIVPRAFSCKYPNHVAIVLKRLPGSLRSHRCLLKNVSTNKLKRFEIGKKPNSSFHCCFDVETFVHSAWETIHCPTEREVVSATPPTPSRNRSLRIRQDA >KJB49533 pep chromosome:Graimondii2_0_v6:8:36336512:36339396:1 gene:B456_008G124400 transcript:KJB49533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACASMAVPTSRVTSKAKEEEINGLRRLDSGMNRAGIRRSYSDNHLCCSINRIRAAASTKPTMTKSSSVGILPSLLPFQISSSIIPNSVRSFLFDDNDDEEEEIKRANWVNRLLEVHSRWKHRQIEDGVEGGEIYDENENDGNEDEHEGGCEVNYNSDEEGDEVMYDRESFSKLLVRVPLSDTKLFSELAFLCNIAYVIPKIEGMELRKYYGLKFVTSSIEKKAEVATIKAKMDQDSIRVPVATPESTELEKVEGTETKRLISLSAVYEIAASAACYVQSRAKGLLSPGFKSPVEDERDLRRSGDEHEMEGENLPRVYNSEVAAYMAASAMTAVVRSGEKEKQETAKDLQSLQSSPCEWFVCDELSTYTRCFVIQGSDSLASWQANLLFEPTTFEDTDVLVHRGIYEAAKGIYEQFLPEIMDHLNRHGDRAKLQFTGHSLGGSLSLLVSLMLLAKKVVKPSALRPVITFGSPFVFCGGQKILEEFGLDDNHVHCVMMHRDIVPRAFSCKYPNHVAIVLKRLPGSLRSHRCLLKNKLLYTPLGKLFIVQPSEKSSPPHPLLPLGTALYELDKTHNGYSTKALKAFLNCPHPLDTLSDLTAYGSEGTILRDHDSSNYLKAINGVLRQHKKTVPSLTTRTVSDTSLLWPLLVSPSPRTWKHHRQMMFSNKEIMTGA >KJB50093 pep chromosome:Graimondii2_0_v6:8:41187774:41190532:1 gene:B456_008G153500 transcript:KJB50093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKYSTTPWLWMLQFSIINQYNLNCSNNVHNNSGKYYFKKLIKRVQCRLKLLKNKRFTIVKQLREDLAQLIKLGYQQTAFNRAEQLLKDENIMAVYEILDHFCEFVNIQLSYIRRHKDCPNDINEAVSSLIFASARCADLPELPAVRKLFGERYGHRFTTVAVELLPGNLVNREIQEKLSPKSVSDDMKYRLIDEIARDYCSRPEILALEYFPELQCQTSDGSEKEGKYIQVDPLAKTATHLISQCHSYSYPNSDTIGASLTCSPPDDIKAESRNISRICTTTRKRKDDDERIKAPSSSESLPQFCEEVVVYFDDIEELRSSRRKEADCQDQRLFKFKSLSMPTKGVVVDGTDGDDESYTDNVEDEKPSSNANNSRRRSFSLEPSSMKDVDHQLYYENHKHQSHHYRKHQKKTVAERKEATYVLKRSKQPGCTELRGDIQANTLNSEVKTCSLENPCYNCSFDDREEKAPPVSDKGGILDEKFCHCRCSSNDDTRCIRMKESSSPTRNLRRRSYDDGASVYGVFTLPKLEKEESIGKVKGNVGASHTRKGTGGPYLRATTMPQERPREIHRYSILRTNSMSIHNPNHVHPKLPEYEDIAAKFMALKKEHLLHK >KJB50111 pep chromosome:Graimondii2_0_v6:8:41289166:41289532:1 gene:B456_008G154200 transcript:KJB50111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFNLNKTKKGNSFLHFSLDLHPDARYPIRSCFVNGSVAIKASSVGGVAATITLFLLLLRNPIVSRGKNCATLAFLHVKEVKLTHFSLIIEASTLFTTPKATFCPVLPRVISLRMQ >KJB47025 pep chromosome:Graimondii2_0_v6:8:810852:811401:1 gene:B456_008G006800 transcript:KJB47025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFQSYLHGCRTSCTFISTTNTSSNKHLTKSTFTKLSFYPVHRRSPNLDPLRKRCSISQRGTECCALLVHELPCIQTPRST >KJB48632 pep chromosome:Graimondii2_0_v6:8:15157686:15158337:1 gene:B456_008G078700 transcript:KJB48632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHSCLVLVVLAMLVLSESTSVDCSRPLRSAKSTGDATREAVRGVKDVQVGSNSVEDRSGQGLAEKQVTTMAAGPSKKGPGH >KJB50555 pep chromosome:Graimondii2_0_v6:8:45278097:45280162:-1 gene:B456_008G176400 transcript:KJB50555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLELGFLALRSPLLSFEAFLWAVLLVGTVAFWFSPGGIAWARFRSKASFAIPGPLGFPFLGLLTVFTGSTPHRTLAKLAKTLNAVKLMAFSVGCTRFVISSDPETARDILNNSAFADRPVKESAYELLFHRAMGFAPYGDYWRDLRRISSTHLFSPKRIAGFEGLRREIGIKMVEEIKCSMESKGEVFIRRVLHLGSLSSVMATVFGKRYDFENPGLEGLQLEELVSEGYELFGIFNWSDHFPLLGLLDFQGVRKRCRNLASKVNVFVGNIIEEHALKRVNGGLEDDGNKVGDFVDVLLDLEKHEKLNNSDMIAVLWEMIFRGTDTVAILLEWILARIVLHPEIQAKAQAEIDAVVEGSKQVSDSDIHNMPYLQAIVKETLRVHPPGPLLSWARLAIHDVHVGDRLVPAGTTAMVNMWAITHNEDVWEDAVKFRPERFMEEVSVMGSDLRLAPFGSGRRVCPGKAMGLATVHLWLAQLLKGFKWVPCEDGEVDLTEHLKLSMEMKKPLVCKAIPRLC >KJB52954 pep chromosome:Graimondii2_0_v6:8:56080891:56081883:-1 gene:B456_008G285200 transcript:KJB52954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAEHGTTSHRQRLTLGFLISFMALCAKRASRLSKKLKPKQNSLASGTPRFYEPKSPLKSPLRSPMPKQLLATISHKAITLVNRKKLGGGNGKHVEEEDYGDGGVWQRAILMGDKCQPLDFSGVIYYDNKGNQLDELPIRSPRASPLPSYLTRKGGFD >KJB53244 pep chromosome:Graimondii2_0_v6:8:57059523:57065683:-1 gene:B456_008G298300 transcript:KJB53244 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC2 [Source:Projected from Arabidopsis thaliana (AT1G74850) UniProtKB/TrEMBL;Acc:A0A178WNJ2] MAISIPNNFIALTQPSNLSINRRQLSSSRIFTNRSFHSSGAGICRAKAREIVLGNPAVTVEKGKYSYDVETLINKLSSLPPRGSIARCLDVFRNKLSLKDFALVFKEFAHRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLDKCREVFDEMPSQGVPRSVFSYTALINAYGRNGAYDVSLELLAKMKKDKVLPSILTYNTVINACARGGLDWEGLLGLFAEMRHEGIQPDIVTYNTLLSACADRGLGNEAEMVFRNMNEGGILPDLTTYSYLVETFGKLGKLEKVSELLKEMELGGNLPDIMSYNVLLEAYANSGSIKEAMGVFRQMQAAGCVPNAGTYSILLNLYGRNGRYDDVRELFLEMKASNTEPDAATYNILIQVFGEGGYFKEVVTLFHDMVEENVEPNMKTYDGLIFACGKGGLHEDAKKILLHMNEKGIVPSSRAYTGVIEAYGQAALYEEALVAFNTMNEVESKPTIETYNSLLQTFARGGLHKEAEAILSRMNEAGIAKSRDSFNALIEAYRQGGQFEDAIKAYVEMEKARCDPDERTLEAVLSVYCFAGLCDESNEQFKEIKALGVLPSVTSYCMMLAVYAKCDRWDDAYKLLDDMLANKVSNIHQVIGQMIRGDFDDDGNWQMVEYIFDKLNSEGYGFGIRFYNALLETLWWLGQKERAARVLKEATKRGLFPELFRKNKLVFSVDVHRMWEGGTYTSMSIWLNNLHEMFLSGNDLPQLATVVVARGLMEKCATAHDIPVARAAYRFLQDNFSSSFSFPGWNNGRIVCQRSQLKRMLSATNSSSDGSKEDNIIALSNSPIPSMAFK >KJB48604 pep chromosome:Graimondii2_0_v6:8:14395105:14398441:-1 gene:B456_008G077400 transcript:KJB48604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQGQGSSSNSSPGNSGFNGGLGTRQHPTFRPSAENIGVNPNNGHIAVAPTGPGQVTGSGPLQRNIDLNVEDSEENLAQFLSLDLLRTLNASGDDQIPTSGGGSSSPVMIHSGSAGYVVEENISRVGLPTDAQRRLLCKRRAAEVPPPENNVIINTLNGANSVHTGTHSAAPVAAPPTVQVGQIDYFQRNTRFRPTVNQQNPGPVNLWQWNSNYSNLQQPTGHQRPAFSSYGHFSSPQLPVMVNQAMLQQPTVGAPNTNPLQAPHQPPQYWNGATMSPFAPSPVMIPPANMQLQANMNLLNGNAGFPGNIAASSRTQAGSGMHTPFSSMGYPQLNVAEQYGQRQQHLADRSEAWRMANYGPIHYDHYGAPSTVRDMDALMRGGNPRPAQFPQRLGAIAERQAGHHSRVSLSPIPLSQFAAQRRRRLLYQLRNYLRLLRRAGNLRLEDAMLLGRSYLNRMRDLDENNDEMRVDVDNMSYEELLDLEEQIGDVSTGLSEETIMANLRRRKYQPITVQPPTEAEPCCICQEDYVNGEELGKLDCGHDFHFNCIKQWLVQKNSCPVCKKTALAI >KJB49878 pep chromosome:Graimondii2_0_v6:8:39439887:39441389:1 gene:B456_008G143300 transcript:KJB49878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIARSLLATCLLLCSLLVIAYANDYGGYDSSKSPAYDYGAKPEAEQKTNYETKPMPNGEEKPEYGTKRVNQQQPEEEEKPDYGTKQNFYKPELEDKEKAMPDESEKPGYSTKPQPEEEGKPEYGTKPEPEEEEKPEYGTKQNFYKPELEDKEKAMPEESEKPELEDKEKAMPEESEKPGYSTKPQPEEEGKPEYGTKPQPEDKEKEMAEPEETEKPGYSTKPVYQTQPEKEEKPEYGTKIKFYQQQPEEKEGKEKPDYDNKEQPEEYKKPEGEEQPNLPIGVEGLVLCKSGPKYYPIQGALARITCLVVDENGYEKTHSVCSGETDAKGYFFARLSPSISEDGSLSKLTECKALLESSPLETCNVPVNVNKGISGAPLSDFRILNHMRIKLYSVGPFFFTSQPNNSLPNGY >KJB50320 pep chromosome:Graimondii2_0_v6:8:43142911:43143425:-1 gene:B456_008G163400 transcript:KJB50320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSFNLFIFAIILIFATQMMAEARGPVISCRCSKTEDCQGICAVCPNYSCINNLCTCLSNAPLFP >KJB46733 pep chromosome:Graimondii2_0_v6:8:37717657:37718351:-1 gene:B456_008G131500 transcript:KJB46733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDFAMGQFRFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFELRLFWYVPFFVLKSELFEISKVHCSKERGSILENKYEIEVDGLEGKYEVWQRKLHPDLVLKIHQVQNPST >KJB47403 pep chromosome:Graimondii2_0_v6:8:2853076:2858058:1 gene:B456_008G024800 transcript:KJB47403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNLCRNFPFPAPSLHPLACVPELTQTNLDFSDSGVTIESFRDPAPIAQLGQTMVWTNEKHNTYLDSLEASFVKQLHYSKSLHGCHPQVGMREPCLFPQLLVGHNSSHQFSILQDGCGQKINYESNDPLLESTADSGAVLGSPWLHHFRSAGKCSSITFPVPRKIAVPNDEICSRSNTNFYCKSAVNSKLNPIVNSCNHSLDSCTAEVSDQNFVAEDHGEKTSYASGAKRMKMMTVLDASSDSQVAPVGNLHTIDDSIISNISAKRGKKKLLSDHPERLTCPKSDTHYFLRES >KJB52376 pep chromosome:Graimondii2_0_v6:8:54085372:54086682:1 gene:B456_008G259300 transcript:KJB52376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSLYFVLLLFMSFLVSFGSAEIRFTEIRSDGRPIIPFDKFGFTHTGRLELNVSQVELSDSNRNLDLSKVGFFLCTLDAWMRVLQQLEDEEVACVLDSDLIKLVSNFKSLNGQSSFNFLYEEKDADQYTLVFANCLNQVKVSMKVRSAMYNLDGKKNRRDYLSAGETVLPRVYFLLSLVYFTLAGVWIYVLYKKRLTVFGIHIFMLAVVILKAFNLVCEAEDKSYIKRTGSAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQDKEKKVLMIVIPLQVVANIAQVVIDETGPFSQDWNTWKQVFLLVDVICCCAVLFPIVWSIKNLREAAKTDGKAAVNLMKLTLFRQYYIVVICYIYFTRVVVYALETITSYKYLWTSVVAGELATLAFYVFTGFKFKPEAHNPYFVIDDEEEEAAAEQLKLEDEFEL >KJB53077 pep chromosome:Graimondii2_0_v6:8:56621502:56624171:-1 gene:B456_008G292200 transcript:KJB53077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQCQWHLWFVLAITCFVSQNYVAGELERVHLKAQPRNGNSSKGDGFLGFLVVGDWGRKGAFNQSRVAFQMGKIGEKLKIDFVVSTGDNFYDNGLNSQHDPAFLQSFTNIYKSKSLQTHWFSVLGNHDYRGDAEAQFSPFLRQIDSRWFCLRSFIVNADLAEIIFVDTTPLVKSYFLNSDNHTYDWRGIIPRKRYITNLLKDVECALRESTAKWTIVVGHHAIRSIGFHGDTEELVNYLLPILKANNVDFYINGHDHCLQHISDTNGRMEFLTSGAGSKAWRGDMKKQRREGLRFFYDGQGFMSLQLSQFDAHIAFYDAYGTVLHTWNVWKPIFHSSM >KJB50249 pep chromosome:Graimondii2_0_v6:8:42642570:42643188:-1 gene:B456_008G160600 transcript:KJB50249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLMYVLVGIFFLLMLHISIPSMSSSAENFPGSQSVNNTWISSIRDSSWEQGRLMRKLLLSRKFEKAMVIARRGNLLRNRSLRGTRVSKPPSPSRSSSRIFAHRENIHRHYITI >KJB48468 pep chromosome:Graimondii2_0_v6:8:11841208:11844154:1 gene:B456_008G070300 transcript:KJB48468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTNLLVLYLFNSFPTVLFKILRGQFGFSRFILFVVARDWVAERLRDDIVPGISCLVIASLLMVTEIGGLENCQCGCHCFGYWFGIACLFFFPVLYLAT >KJB52558 pep chromosome:Graimondii2_0_v6:8:54634026:54638536:1 gene:B456_008G267400 transcript:KJB52558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTQVLLNAQSIDGAVRKNAEDSLKQFQEQNLPVFLVSLSGELANEEKPVETRKLAGLVLKNALDAKEQHRKFELVQRWLSLDGNAKSQIKACLLTTLTSPVSDARSTASQVIAKVAGIELPHKQWPELIGSLLSNVHQLPAQAKQATLETLGYLCEEVSPDVIDQDQVNKILTAVVQGMSSSEGNTDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLSPEVRIRQAAFECLVSISSTYYEKLAPYIQDIFNITAKAVREDEEPVALQAIEFWSSICDEEIDILEEYGGDFTGDSDIPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVVPFIEENISKPDWRQREAATYAFGSILEGPSPEKLIPLVNVALTFMLSALTKDPNSHVKDTTAWTLGRIFEFLHGSVVDSPIISQANCQQIITVLLQSMKDTPNVAEKACGALYFLAQGYEEMGPSSPLTPFFQEIVQSLLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAPLVLQLVPVIMMELHNTLEGQKLSSDEREKQSELQGLLCGCLQVIIQKLGASEPTKYVFMQYADQIMGLFLRVFACRSATVHEEAMLAIGALAYSTGADFAKYMPEFYRYLEMGLQNFEEYQVCAVTVGVVGDISRALEEKIVPYCDGIMTQLLKNLSSNQLHRSVKPPIFSCFGDIALAVGEYFEKYLMWAMSALQSAAELSTHIAGDDELVEYTNSLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHILQFLDGIYMEKDMDDVVMKTAIGVLGDLADTLGSHAGSMIQQSVSSKDFLNECLSSEDLMIKESAEWAKLAISRAISV >KJB48386 pep chromosome:Graimondii2_0_v6:8:10768135:10768881:-1 gene:B456_008G066400 transcript:KJB48386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFGFDNVKAEKAKAMRRFNRLRSLAKAFRFLELLSALLFFAWTFERVPFAVKISGVFLLKLGGVVASPLFVFLVSNVIIVTLIAKSGIFSAVNNVDSKIYDEIINNAENRSKSECQKEVLYQDKEIISEASIDTLSSEETEPEPEPDSEFEMDYPRVYRRSKSEKLAIRKSGEEVTRELRRSETEKCRKVENMDGELVPEDELSNEEFQRTIEDFIAKQLRFRREESLSIVFPCSNPNLKNNFPLQ >KJB50954 pep chromosome:Graimondii2_0_v6:8:47966274:47968611:-1 gene:B456_008G194600 transcript:KJB50954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIFKRVHLIRTKLYKFSASAHQSTSHLHSNLKPPKANQTLKTYLTSNCNAKAILFFRQLLRHNPSSIDSFSFLFVIQACTKSPFSLEGQQLHANLIKFGFHSVIHLQTSLLNFYSALGNLLYAHHVFDEILSKNTISWTALISAYVVNQKPQKAVELFQKMQMLDVEPDQVTLTVALSACAHLGALEMGEWIHAFVRRKPELMADAGLNNALINMYAKCGEIQTARNLFDSLGEKDVTTWTSMIVGHALHGQANEALGLFGEMEEIKWKNSKNKEEGNRGSSTILPNDVTFIGVLMACSHGGMIEEGKKYYRRMVNYYGLKPREVHFGCMVDLFCRAGLLKEAYNFIIEMPGQANAVTWRTLLGACNINGEIELGEKVKLQLQELEPGYVGDSVAMSNIYAAKGMWDKKVEVRDQIKQLRRAPGCSSIEVASEISEFISGDDDHPLKTEIYEALKYLTISMKAYDYSLQISSLLEF >KJB50342 pep chromosome:Graimondii2_0_v6:8:43364929:43366767:-1 gene:B456_008G164800 transcript:KJB50342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFERGKKRNKGSIECLTSLVDQDKWIHSKVNEGDKFEAIPLLPGLPDDVAKYCLALVPRSSFPSMGGVCKRWRSFIESKEFLRERTMAGMVEEWLYVLTTESDGKESRWEVLDCLGHKRQVLQLMPGPTKVGFGVTVVNGKLLAIAGYSVIDGIASASPDVYQYDSCLNRWSRLADLNVARADFACTEVNGKVYVVGGYGVEGESLSTVEVYDPDTDKWTLIESLRRPRWGCFACGLNGKLYVWCGRSSLTIGNSRFVDVYNPENRNWCEMKNGGCVMVTTHAVVEKKLFCMEWKNPRKLAIFDPNDGSWKRVWVPLTGSTSVGFRFGILDGKLLLFTVEGAHDYQTLSYDPNARPGSEWQTSNIKPSGLCLCSVTIKV >KJB50869 pep chromosome:Graimondii2_0_v6:8:47341888:47346277:1 gene:B456_008G190500 transcript:KJB50869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGQELNKWEGYVNWRNKPAMRDQHGGMLAASFVLVVEILENLAFLANASNLVLYLSKFMHYSPSSSANIVTNFMGTAFLLAVLGGFLSDAFFTTYRLYLTSAAIEFMGLLILTVQAHTPSLKSPICASASTEIQCEKVNRRKAAMLFTGLYLVALGVGGIKGSLPPHGAEQFDDTTPQGRKQRSSFFNYYVFSLSCGALIAVTFVVWIEDNKGWQWGFGVATAAILASIPVFLLGSPIYRTKIPAGSPITTMFKVLIAAVCNNCKSKNPSNAVMGMKTSPSSYTTETGEEGNATKVKVPSQTLTEDLEFLNKAVTEKTVSPMLQCTVKQVEEVKVVLKIIPVFMCTIMLNCCLAQLSTFSIQQAATMNTKIGSLKVPPASLPVFPVIFVMLIAPTYNHVVVPFARKVTKTEMGITHLQRIGTGLILSIIAMAVAAVVEMKRKKVAIESGLLNSAEPLPITFLWIALQYLFLGSADLFTLAGMMDFFFTEAPKSMRSLATSLSWVSLSMGYYFSSVLISTVNNITSIFRHTPWLSGSNLNHYHLERFYWLMCILSSLNFLHYLFWANRYKYRTESTAD >KJB49492 pep chromosome:Graimondii2_0_v6:8:36030272:36030922:-1 gene:B456_008G121900 transcript:KJB49492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGILASLGLYQKEAKILFLGLDNAGKTTLLHMLKNERLVQHQPTQYPTSEELSIGMIKFKAFDLGGHQIARRVWKDYYAKVFAFMYVCIYIFSIRNQLKNLSINQLLSPV >KJB47390 pep chromosome:Graimondii2_0_v6:8:2824889:2827234:-1 gene:B456_008G024500 transcript:KJB47390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISIIPSYFASTSLPPLPIASHFPFNLNSSTFFFPLKNGSFKLRNAPPLSPKALSARAPKAAVVTKDTWEKSVLNSDSPVLVEFYASWCGPCRMVHRIIDEIAGEYAGRLSCFILNADDDFPIAEDYEIKAVPVVLLFKNGEKRESVVGTMPKDFYIAAIERVLKS >KJB53022 pep chromosome:Graimondii2_0_v6:8:56383217:56385723:1 gene:B456_008G288800 transcript:KJB53022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEGLVLRGTMRAHTDMVTAIATPIDNSDMIVTSSRDKSIILWHLTKDEKTYGVARRRLTGHSHFVQDVVLSFDSQFALSGSWDGELRLWDLNAGTSARRFVGHTKDVLSVAFSIDNRQIVSASRDRSIKLWNTLGECKYTIQDGDAHTDWVSCVRFSPNTLQPTIVSASWDKTVKVWNLTNCKIRNTLAGHSGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLDAGSVIHSLCFSPNRYWLCAATEQSIKIWDLESKSIVEDLKVDLKAEAEKSDVTDNANKKKVIYCTSLNWSADGSTLFSGYTDGVIRVWGIGRY >KJB49529 pep chromosome:Graimondii2_0_v6:8:37276599:37277210:1 gene:B456_008G129700 transcript:KJB49529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKEQKRLYYPNITAPDLNIEEKPVFQNKYNANTIYEWNIDGMSEYNILSLLQQMTMVSNVYKTQNQNGLTNDHAIANLLVAGFTGQLKGWWDHALTKTQQEEILKAIKKDDQDRIILDEQGREIQDAVATLIFSISKHFIGDPFHLKDRNSELLSNLKCKKLTDFKWYKDIFMTRVMQRSDNQQPFWKEKFLAGLPTLLGEK >KJB47946 pep chromosome:Graimondii2_0_v6:8:6695705:6696356:1 gene:B456_008G048500 transcript:KJB47946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVIKIQMRGGPKGCLLGCIWRKRGDEGEGFQTKVMKKVAETHGVISVGIEGDDKDKLVVTGDGIDSAKLIQCLRKIGEANLQSLEAIKEKDDKDKPIECTSAYCYPPEYPYPLYICY >KJB48197 pep chromosome:Graimondii2_0_v6:8:8900819:8901442:-1 gene:B456_008G057300 transcript:KJB48197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRSKDANSLWKWKGNMKRKKKDKQFPVSEFLRESRQKVEENVLGPGGGAGIGCGVGVGFGLVGGIGYGGWPWNHLKLAFGVGAGCGIGFGFGFGQGIGYGFSLESLESNLSKDSSDSNRKFLIS >KJB49896 pep chromosome:Graimondii2_0_v6:8:39662870:39663684:1 gene:B456_008G144300 transcript:KJB49896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPPQTDPSFCSSAYEVLAVVSNYCSTPKGRFLRVTHPSDLHMLSMSPTFILSQDQTLHEIHSCITYSFLVRRQSQFEIVLYPCTSYSPRVHSQKYSHSYPLTQSHEPLIHSYSITAGDKAK >KJB51338 pep chromosome:Graimondii2_0_v6:8:49877547:49878308:1 gene:B456_008G212400 transcript:KJB51338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGIIKPVKERGDSLDPELIQAESNPKVIKVRQGGGGEFNSVKKAIESVALGNTKRVIISIGPGVYKEKIKIERGKPFITLLGNPKNMPNLTFGGTAKEYGTVNSATLIAESNYFVAANLNIVNLASKPEGGKTIGGQVVALRVSGDRSPIYNYNIYGFQET >KJB47761 pep chromosome:Graimondii2_0_v6:8:5316418:5318540:1 gene:B456_008G040900 transcript:KJB47761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRTSLSLLRTLVPYKTQTRAVTYMPRPGDGSPRPVTLIPGDGIGPLVTNAVEQVMEAMHAPVYFEKYQVHGDMKQIPQEVIDSIRKNKVCLKGGLRTPKGGGVSSLNLHLRRELDLYASLVDCCNLPGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVAARYPTIKYDEIIVDNCCMQLVSKPEQFDVMITPNLYGNLVANTAAGIAGGTGVMPGGNVGAEHAVFEQGASAGNVGKKKMVEQKTANPLALLLSSAMMLRHLQFPAFANRLEEAVKNVILEGKYRTKDLGGDSTTQQVVDAVIAKIE >KJB52945 pep chromosome:Graimondii2_0_v6:8:56043565:56044392:1 gene:B456_008G284700 transcript:KJB52945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYAPMKPTKPGYEEPRKIRITLCSKNAKNLEKAAKAKAIRVKGPVRMPTKVLRITTRKAPCGEGTNTWDRFELRIHKRLIDLFSTPDVVKQVTSITMEPGVQVEVTIVDV >KJB50153 pep chromosome:Graimondii2_0_v6:8:41740626:41742183:1 gene:B456_008G156500 transcript:KJB50153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTEQPAVGVPYHVGINPYQAGMIPPNAIYGDPKGIPIQQTMYRDTPAPFNCPFCGNSGLTLVRSKPSLAAVVGCMMPFMLGICFLCPSMDCLWHKYHYCPKCTEQVANFEKSDPCAVVDMPQWVQESFGVPA >KJB47755 pep chromosome:Graimondii2_0_v6:8:5280395:5282287:1 gene:B456_008G040500 transcript:KJB47755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFALLPVLVSVLTIQYSAAQSFKCSSSDPSTCHALVGYITVNNTNLGAIQSLFSVKNLRSLLGANNLPLNTKRSYAIPAQQVIKVPINCLCNNNTGTSSGAPIYTVQPGDGLYHIAAEVFSRLLLYQQIAAANNIRDANLITIGDKLKIPLPCSCDEVDGQKVVHYAHMVKSGSSLGEIAQEFGTNEETLARINGIKADSDLKADQPIDVPLKAVVKLGKLLLHSSISSDSFDFPLLAANGTYLFTANGCVKCMCDAANNWTLHCEPSQNKPSKWDTCPSMKCQGAEGLSIGNSTAAGCNRSTCSYAGFNNSTIMTTLVQDSSSCSISPPSNDVSRINSSWDCLFILVLLGFHLFQ >KJB47352 pep chromosome:Graimondii2_0_v6:8:2561790:2565884:-1 gene:B456_008G022500 transcript:KJB47352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSEFRPLDENSLIEYIKATPSLSSKIVDNYDGLKIKEVGDGNLNFVYIIVAPSGSFVIKQALPYVRLIGESWPMTKERAYFEALALKQHGALCPEHVPEVYHFDRTMSLIGMRYLEPPHIILRNGLIAGIEYPLLAEHMSEYMAKTLFCTSLLYRSTTEHKRAVAEFCGNVELCRLTEQVVFSDPYKVSEYNRWTSPYLDRDAETVREDNLLKIEVAELKSKFCERAQALIHGDLHTSSVMVTPDSTQVIDPEFAFYGPMGFDIGAFIGNLILAFFAQDGHAGQGNDRKSYKEWILKTIEDTWNLFHQKFTALWDEHKNGSGEAYLPAIYNNPELQKLIQEKYMKELFHDTLGFGAAKMIRRIVGVAHVEDFESIKEANIRADCEQRALELGKTLLKRRREFVSISEVISAMKHVQS >KJB49321 pep chromosome:Graimondii2_0_v6:8:34515914:34516922:1 gene:B456_008G113100 transcript:KJB49321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIRGFKIGKRVIRFSKWVIGKARRKPYGYRRLKQGGLFSKSNSLSKFINWGRRLKNGAKSICSVKLGSAYGPIEEKPIEVPKGHLAVYVGRRNGGDFHRVLVPVIYFNHPLFGELLREAEKEYGFCHQGGITIPCGFSEFEKVQTRIAAGTVGRKAVWKRHY >KJB54457 pep chromosome:Graimondii2_0_v6:9:2617942:2620104:1 gene:B456_009G035000 transcript:KJB54457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFVSVTTSLVFFILLYGVARVSYSIWLEPKSQERLLKRQGISGRAYKLLVGDMKQFIKQITEAWSKPINLCHHIVPRVDPFTLDNVQKYGKISYCWTGTRPRLIIQDPEMMEEVLANKQGHFQKPPLNPQILILSRGISTLEGEQWSKRRKMINPAFHLEKLKGMVPIFSVSCGQMIEQWKERASLQSSCEIDVWPELQKLTADAISRTAFGSSYEEGKKIFQLQKELISLTLEAMQSIYIPGFRFIPTKKNQRRKKLNKEITSMLRNVIQRKEHAMKTGQARANDLLGMLLQHNNQFAVLENTNGAEGKMTIEDVIEECKQFYLAGQETAASLLTWTIIVLAMHPEWQEKAREEVLQVCGKELEFEAINHLKIVTMILYEVLRLYPPVIAIYQHTNKEAQIKEISVPAGVDLTLPILLVNHDPGLWGEDAEEFKPERFSQGVSKASKDQLAFFAFSWGPRTCIGKNFAMIEAKVALAMILQHFSFKLSPSYSHAPYTVMTLQPQHGAQIILHQI >KJB60342 pep chromosome:Graimondii2_0_v6:9:26977005:26982584:1 gene:B456_009G301200 transcript:KJB60342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQGDSGSNGKQLQFQQLTQQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTLEANQTFGMDSDGTVLTNQASLQRQASLSLTGALSKKTVDEVWRDIQQSKNDGEKKSRERQPTLGEMTLEDFLVKAGVVAEASTDGDVGASIAGVDLSVAPQFAQQGQWMQYPQPQYHHPQQSIMGVYMPPQPMPRPLALGTAAVMDVSYPENQVPLHSPLMGTLSDTQASGRKRGAPEDIVEKNVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKRKELEKLLPSAPPPEPKYQLRRTSSAPF >KJB60344 pep chromosome:Graimondii2_0_v6:9:26977005:26982687:1 gene:B456_009G301200 transcript:KJB60344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQGDSGSNGKQLQFQQLTQQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTLEANQTFGMDSDGTVLTNQASLQRQASLSLTGALSKKTVDEVWRDIQQSKNDGEKKSRERQPTLGEMTLEDFLVKAGVVAEASTDGDVGASIAGVDLSVAPQFAQQGQWMQYPQPQYHHPQQSIMGVYMPPQPMPRPLALGTAAVMDVSYPENQVPLHSPLMGTLSDTQASGRKRGAPEDIVEKNVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKRKELEKLLPSAPPPEPKYQLRRTSSAPF >KJB60341 pep chromosome:Graimondii2_0_v6:9:26977914:26982312:1 gene:B456_009G301200 transcript:KJB60341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQGDSGSNGKQLQFQQLTQQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTLEANQTFGMDSDGTVLTNQASLQRQASLSLTGALSKKTVDEVWRDIQQSKNDGEKKSRERQPTLGEMTLEDFLVKAGVVAEASTDGDVGASIAGVDLSVAPQFAQQGQWMQYPQPQYHHPQQSIMGVYMPPQPMPRPLALGTAAVMDVSYPENQVPLHSPLMGTLSDTQASGRKRGAPEDIVEKNVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKRKELEKLLPSAPPPEPKYQLRRTSSAPF >KJB60343 pep chromosome:Graimondii2_0_v6:9:26977005:26982639:1 gene:B456_009G301200 transcript:KJB60343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQGDSGSNGKQLQFQQLTQQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTLEANQTFGMDSDGTVLTNQASLQRQASLSLTGALSKKTVDEVWRDIQQSKNDGEKKSRERQPTLGEMTLEDFLVKAGVVAEASTDGDVGASIAGVDLSVAPQFAQQGQWMQYPQPQYHHPQQSIMGVYMPPQPMPRPLALGTAAVMDVSYPENQVPLHSPLMGTLSDTQASGRKRGAPEDIVEKNVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKRKELEKLLPSAPPPEPKYQLRRTSSAPF >KJB60345 pep chromosome:Graimondii2_0_v6:9:26977005:26982584:1 gene:B456_009G301200 transcript:KJB60345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQGDSGSNGKQLQFQQLTQQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTLEANQTFGMDSDGTVLTNQASLQRQASLSLTGALSKKTVDEVWRDIQQSKNDGEKKSRERQPTLGEMTLEDFLVKAGVVAEASTDGDVGASIAGVDLSVAPQFAQQGQWMQYPQPQYHHPQQSIMGVYMPPQPMPRPLALGTAAVMDVSYPENQVPLHSPLMGTLSDTQASGRKRGAPEDIVEKNVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKRKELEKLLPSAPPPEPKYQLRRTSSAPF >KJB62675 pep chromosome:Graimondii2_0_v6:9:67428850:67432204:-1 gene:B456_009G429500 transcript:KJB62675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYDISKRNIATMRKFPCISLLLFSCFYMQVYNGTVAATDTLFQGQIMTASGLLTSSGNAFELGFFSTGSTNLYLVIRMKNVATKDIVWIANRDLPFTGSSMILTINDDGYLVIVSGRTSYRVSDDPSSSQNVSATLLDSGNLVLRDGNMDILWQSFDYPSNTFLPGMKLGYNNKTGKVWSLTSWLDEEDPNNGDFEVRMVSNEVFLVRGTEILWSSGPWNGHGFDLMPEMRLNYIFNYSLYSDENEAYFSYSLYNPEPITRFSLDVDGRMREFAWLENSQQWNLLWSQPKQLQFCSILNSCGSFSRCFEDTQSCECLRGFYPSENRQGHNGGCIRSTPLTCGYGDNKDRFLGIDDVTYPLSAMQQINASNPFPYLGPQISISDENSCKETCLNNCNCSAYAYNTTGHCAIWYGDLINLQQLSSNYPTGHRIFVKLAASEFNNGKANWLEWIPAIPVVLLMLLTASFFIFRWRKSLKNKGEMEDTRQDILLFDLEMSITSSSNDFSGSENSRKRRKDPAFPLFSFASISIATENFSLENKLGEGGFGPVYKGKLLNGQEIAVKRLSKRSGQGLEELKNETMLIAKLQHRNLVRLLGCCLEQGEKILIYEFMPNKSLDLFLFGTNNDGLLDWGTRVRIIEGIAQGLLYLHQYSRLRIIHRDLKASNILLDSEMNPKISDFGLARMFGDDKLQANTKRIVGTYGYMSPEYAMEGLFSIKSDVFSFGVLLLEIVSGKKNTGFYHSSSLNLIGHAWEFWKGDKVLELVDHRLEDLVSYPMLYRYIQVALLCVQEMAADRPTMSEVVSMLTNELTVLNSPKQPAFSNSNQPSSTPATLSANHITVSIMEPR >KJB62674 pep chromosome:Graimondii2_0_v6:9:67429085:67432204:-1 gene:B456_009G429500 transcript:KJB62674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYDISKRNIATMRKFPCISLLLFSCFYMQVYNGTVAATDTLFQGQIMTASGLLTSSGNAFELGFFSTGSTNLYLVIRMKNVATKDIVWIANRDLPFTGSSMILTINDDGYLVIVSGRTSYRVSDDPSSSQNVSATLLDSGNLVLRDGNMDILWQSFDYPSNTFLPGMKLGYNNKTGKVWSLTSWLDEEDPNNGDFEVRMVSNEVFLVRGTEILWSSGPWNGHGFDLMPEMRLNYIFNYSLYSDENEAYFSYSLYNPEPITRFSLDVDGRMREFAWLENSQQWNLLWSQPKQLQFCSILNSCGSFSRCFEDTQSCECLRGFYPSENRQGHNGGCIRSTPLTCGYGDNKDRFLGIDDVTYPLSAMQQINASNPFPYLGPQISISDENSCKETCLNNCNCSAYAYNTTGHCAIWYGDLINLQQLSSNYPTGHRIFVKLAASEFNNGKVLAANWLEWIPAIPVVLLMLLTASFFIFRWRKSLKNKGEMEDTRQDILLFDLEMSITSSSNDFSGSENSRKRRKDPAFPLFSFASISIATENFSLENKLGEGGFGPVYKGKLLNGQEIAVKRLSKRSGQGLEELKNETMLIAKLQHRNLVRLLGCCLEQGEKILIYEFMPNKSLDLFLFGTNNDGLLDWGTRVRIIEGIAQGLLYLHQYSRLRIIHRDLKASNILLDSEMNPKISDFGLARMFGDDKLQANTKRIVGTYGYMSPEYAMEGLFSIKSDVFSFGVLLLEIVSGKKNTGFYHSSSLNLIGHAWEFWKGDKVLELVDHRLEDLVSYPMLYRYIQVALLCVQEMAADRPTMSEVVSMLTNELTVLNSPKQPAFSNSNQPSSTPATLSANHITVSIMEPR >KJB60065 pep chromosome:Graimondii2_0_v6:9:24637478:24642144:1 gene:B456_009G288000 transcript:KJB60065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYSNNNIRSTIERYKKACSGTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSSRHLMGDSLSSLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYFQKREVELENESVCLRAKIAEIERVEEANMVTGEELNAIQALASRNFFTPNVIERGTPTPYSHHDKKILHLG >KJB60064 pep chromosome:Graimondii2_0_v6:9:24637478:24642144:1 gene:B456_009G288000 transcript:KJB60064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYSNNNIRSTIERYKKACSGTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSSRHLMGDSLSSLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYFQKREVELENESVCLRAKR >KJB60066 pep chromosome:Graimondii2_0_v6:9:24638324:24641707:1 gene:B456_009G288000 transcript:KJB60066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYSNNNIRSTIERYKKACSGTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSSRHLMGDSLSSLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYFQKREVELENESVCLRAKNRLQR >KJB60063 pep chromosome:Graimondii2_0_v6:9:24637478:24642144:1 gene:B456_009G288000 transcript:KJB60063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYSNNNIRSTIERYKKACSGTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSSRHLMGDSLSSLTVKELKQLENRLERGITRIRSKKEVELENESVCLRAKIAEIERVEEANMVTGEELNAIQALASRNFFTPNVIERGTPTPYSHHDKKILHLG >KJB60071 pep chromosome:Graimondii2_0_v6:9:24637527:24642144:1 gene:B456_009G288000 transcript:KJB60071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKISFPSIITHKTPLPTTTKKTYDGVFFIFQTKFFFRGSGIYKRVKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYSNNNIRSTIERYKKACSGTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSSRHLMGDSLSSLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYFQKREVELENESVCLRAKIAEIERVEEANMVTGEELNAIQALASRNFFTPNVIERGTPTPYSHHDKKILHLG >KJB60067 pep chromosome:Graimondii2_0_v6:9:24637478:24642144:1 gene:B456_009G288000 transcript:KJB60067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYSNNNIRSTIERYKKACSGTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSSRHLMGDSLSSLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYFQKREVELENESVCLRAKIAEIERVEEANMVTGEELNAIQALASRNFFTPNVIERGTPTPYSHHDKKILHLG >KJB60060 pep chromosome:Graimondii2_0_v6:9:24638324:24641859:1 gene:B456_009G288000 transcript:KJB60060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYSNNNIRSTIERYKKACSGTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSSRHLMGDSLSSLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYFQKREVELENESVCLRAKIAEIERVEEANMVTGEELNAIQALASRNFFTPNVIERGTPTPYSHHDKKILHLG >KJB60062 pep chromosome:Graimondii2_0_v6:9:24637478:24642144:1 gene:B456_009G288000 transcript:KJB60062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYSNNNIRSTIERYKKACSGTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSSRHLMGDSLSSLTVKELKQLENRLERGITRIRSKKEVELENESVCLRAKR >KJB60068 pep chromosome:Graimondii2_0_v6:9:24637527:24642144:1 gene:B456_009G288000 transcript:KJB60068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKISFPSIITHKTPLPTTTKKTYDGVFFIFQTKFFFRGCWFFFSLITIIGIYKRVKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYSNNNIRSTIERYKKACSGTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSSRHLMGDSLSSLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYFQKREVELENESVCLRAKIAEIERVEEANMVTGEELNAIQALASRNFFTPNVIERGTPTPYSHHDKKILHLG >KJB60061 pep chromosome:Graimondii2_0_v6:9:24637478:24641144:1 gene:B456_009G288000 transcript:KJB60061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYSNNNIRSTIERYKKACSGTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSSRHLMGDSLSSLTVKELKQLENRLERGITRIRSKKVNIYIPMIHFHFHLI >KJB60070 pep chromosome:Graimondii2_0_v6:9:24637351:24642144:1 gene:B456_009G288000 transcript:KJB60070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYSNNNIRSTIERYKKACSGTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSSRHLMGDSLSSLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYFQKREVELENESVCLRAKIAEIERVEEANMVTGEELNAIQALASRNFFTPNVIERGTPTPYSHHDKKILHLG >KJB60069 pep chromosome:Graimondii2_0_v6:9:24637527:24642144:1 gene:B456_009G288000 transcript:KJB60069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKISFPSIITHKTPLPTTTKKTYDGVFFIFQTKFFFRGCWFFFSLITIIAGIYKRVKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYSNNNIRSTIERYKKACSGTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSSRHLMGDSLSSLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYFQKREVELENESVCLRAKIAEIERVEEANMVTGEELNAIQALASRNFFTPNVIERGTPTPYSHHDKKILHLG >KJB58793 pep chromosome:Graimondii2_0_v6:9:17733523:17737413:1 gene:B456_009G226400 transcript:KJB58793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIAASPHKILHLVRHAEGVHNLESDKSRDPLTSFEFLDAQLSSLGRQQVVAERKHVRETGLLDEVEVVIVSPMTRTLETAVGIFGGKEQADALDVSSCQDSNVNSNETSAIFNSRPRIVAYELCRERMGILECDKRASISQYRSHFPTVDFSLIENEDDVLWKSDERESYDEIQARTIKFLKWLWERKEQKIAVVSHGIFLQKAMIELVKNNNFYRLPLRGHPIKLERKNWYPLMDDEYTRSRMMESDAMISKQQYCGRIGKEMLQIRDSSNDKMAVEELEVTI >KJB58791 pep chromosome:Graimondii2_0_v6:9:17733978:17737376:1 gene:B456_009G226400 transcript:KJB58791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIAASPHKILHLVRHAEGVHNLESDKSRDPLTSFEFLDAQLSSLGRQQVVAERKHVRETGLLDEVEVVIVSPMTRTLETAVGIFGGKEQADALDVSSCQDSNVNSNETSAIFNSRPRIVAYELCRERMGILECDKRASISQYRSHFPTVDFSLIENEDDVLWKSDERESYDEIQARTIKFLKWLWERKEQKIAVVSHGIFLQKAMIELVKNNNFYRLPLRGHPIKLERKNWYPLMDDEYTRSRMMESDAMISKQQYCGRIGKEMLQIRDSSNDKMAVEELEVTI >KJB58790 pep chromosome:Graimondii2_0_v6:9:17734233:17737376:1 gene:B456_009G226400 transcript:KJB58790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIAASPHKILHLVRHAEGVHNLESDKSRDPLTSFEFLDAQLSSLGRQQVVAERKHVRETGLLDEVEVVIVSPMTRTLETAVGIFGGKEQADALDVSSCQDSNVNSNETSAIFNSRPRIVAYELCRERMGILECDKRASISQYRSHFPTVDFSLIENEDDVLWKSDERESYDEIQARTIKFLKWLWERKEQKIAVVSHGIFLQKAMIELVKNNNFYRLPLRGHPIKLERKNWYPLMDDEYTRSRFKNCEIRSIAILHESMMESDAMISKQQYCGRIGKEMLQIRDSSNDKMAVEELEVTI >KJB58794 pep chromosome:Graimondii2_0_v6:9:17733536:17737376:1 gene:B456_009G226400 transcript:KJB58794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIAASPHKILHLVRHAEGVHNLESDKSRDPLTSFEFLDAQLSSLGRQQVVAERKHVRETGLLDEVEVVIVSPMTRTLETAVGIFGGKEQADALDVSSCQDSNVNSNETSAIFNSRPRIVAYELCRERMGILECDKRASISQYRSHFPTVDFSLIENEDDVLWKSDERESYDEIQARTIKFLKWLWERKEQKIAVVSHGIFLQKAMIELVKNNNFYRLPLRGHPIKLERKNWYPLMDDEYTRSRFKNCEIRSIAILHESMMESDAMISKQQYCGRIGKEMLQIRDSSNDKMAVEELEVTI >KJB58792 pep chromosome:Graimondii2_0_v6:9:17733490:17737413:1 gene:B456_009G226400 transcript:KJB58792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIAASPHKILHLVRHAEGVHNLESDKSRDPLTSFEFLDAQLSSLGRQQVVAERKHVRETGLLDEVEVVIVSPMTRTLETAVGIFGGKEQADALDVSSCQDSNVNSNETSAIFNSRPRIVAYELCRERMGILECDKRASISQYRSHFPTVDFSLIENEDDVLWKSDERESYDEIQARTIKFLKWLWERKEQKIAVVSHGIFLQKAMIELVKNNNFYRLPLRGHPIKLERKNWYPLMDDEYTRSRFKNCEIRSIAILHESMMESDAMISKQQYCGRIGKEMLQIRDSSNDKMAVEELEVTI >KJB61237 pep chromosome:Graimondii2_0_v6:9:42506745:42509678:1 gene:B456_009G347200 transcript:KJB61237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAVSFIGGTNGNKKGLSAILAANPGDLKLRASLSDTNFSDGSTLNFDDLFLSVEKPGSFIIDFDIPEKDVQFQFMNTFKLEGKQINWSYTHMRNDHRTVLDGTLVFDTANKLSARHELGSFNCKLKYSYVHRGLTTFEPCYDLEKKSWDLAVSRRILGGDLIKANYETLSQVLGVEWSCSSLVNEDGRVKVSASFNLAEGFHTPKLSVQSMWNFQA >KJB61235 pep chromosome:Graimondii2_0_v6:9:42506847:42509595:1 gene:B456_009G347200 transcript:KJB61235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAVSFIGGTNGNKKGLSAILAANPGDLKLRASLSDTNFSDGSTLNFDDLFLSVEKPGSFIIDFDIPEKDVQFQFMNTFKLEGKQINWSYTHMRNDHRTVLDGTLVFDTANKLSARHELGSFNCKLKYSYVHRGLTTFEPCYDLEKKSWDLAVSRRILGGDLIKANYETLSQVLGVEWSCSSLVNEDGRVKVSASFNLAEGFHTPKLSVQSMWNFQA >KJB61236 pep chromosome:Graimondii2_0_v6:9:42506745:42509678:1 gene:B456_009G347200 transcript:KJB61236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAVSFIGGTNGNKKGLSAILAANPGDLKLRASLSDTNFSDGSTLNFDDLFLSVEKPGSFIIDFDIPEKDVQFQFMNTFKLEGKQINWSYTHMRNDHRTVLDGTLVFDTANKLSARHELGSFNCKLKYSYVHRGLTTFEPCYDLEKKSWDLAVSRRILGGDLIKANYETLSQVLGVEWSCSSLVNEDGRVKVTFQKLTTLLISLRSKEKWFQHLSIWLRASTRQN >KJB57318 pep chromosome:Graimondii2_0_v6:9:12153373:12157898:-1 gene:B456_009G158600 transcript:KJB57318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAGSVVPKLKLKIKFPSQKIEDQSFEWGQQLSSSFDGKKTSPAGNSEETSIAHKREPEGVNVGGPPEKRRKMDRCTTQQCSALLKALMKHPAGWVFNQPVDPKALQIPDYFSIIKNPMDLGTIKSKLGKNIYLGTDEFVADIRLTFSNAMLYNPPSNNVHKMAEEMKEFFEARWKSLEEKCNQENIKVGQGKVSSVRVKDVNESSQHCPKTLLSRNSSLPKKSKASAEKVAKLPLNARAAEVELAKPAENCVSELAGKSLQKGTGSGSGGCSQGSINAKPPLSPGDSCGNIKCQCSLPSDSYHASSSDVTSERSLGGDLRDSQAKSTLTSQMSKSDQDSNGAVSALDDENVCNSSQLTTPTDAASGEGLSTPTFDVPMSPKKALRAAMLKRRFADTILKAQKKALLDHCDKTDPVKLQQEKERLERRQREEKAKIEAQILAAEAAAKMKAEVELKKQREREREAARIALQKMEKTAEIEQNLEILKELEMLSGCSLSNTQLHGRKSDSEQVNGASHGGNGGNPLQQLGLFIKVEYSEDEDDEAILNEDGEEGEIVS >KJB57319 pep chromosome:Graimondii2_0_v6:9:12154070:12156842:-1 gene:B456_009G158600 transcript:KJB57319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAGSVVPKLKLKIKFPSQKIEDQSFEWGQQLSSSFDGKKTSPAGNSEETSIAHKREPEGVNVGGPPEKRRKMDRCTTQQCSALLKALMKHPAGWVFNQPVDPKALQIPDYFSIIKNPMDLGTIKSKLGKNIYLGTDEFVADIRLTFSNAMLYNPPSNNVHKMAEEMKEFFEARWKSLEEKCNQENIKVGQGKVSSVRVKDVNESSQHCPKTLLSRNSSLPKKSKASAEKVAKLPLNARAAEVELAKPAENCVSELAGKSLQKGTGSGSGGCSQGSINAKPPLSPGDSCGNIKCQCSLPSDSYHASSSDVTSERSLGGDLRVCSADVSKLDSQAKSTLTSQMSKSDQDSNGAVSALDDENVCNSSQLTTPTDAASGEGLSTPTFDVPMSPKKALRAAMLKRRFADTILKAQKKALLDHKRQRLKLKS >KJB57314 pep chromosome:Graimondii2_0_v6:9:12153373:12157898:-1 gene:B456_009G158600 transcript:KJB57314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAGSVVPKLKLKIKFPSQKIEDQSFEWGQQLSSSFDGKKTSPAGNSEETSIAHKREPEGVNVGGPPEKRRKMDRCTTQQCSALLKALMKHPAGWVFNQPVDPKALQIPDYFSIIKNPMDLGTIKSKLGKNIYLGTDEFVADIRLTFSNAMLYNPPSNNVHKMAEEMKEFFEARWKSLEEKCNQENIKVGQGKVSSVRVKDVNESSQHCPKTLLSRNSSLPKKSKASAEKVAKLPLNARAAEVELAKPAENCVSELAGKSLQKGTGSGSGGCSQGSINAKPPLSPGDSCGNIKCQCSLPSDSYHASSSDVTSERSLGGDLRVCSADVSKLDSQAKSTLTSQMSKSDQDSNGAVSALDDENVCNSSQLTTPTDAASGEGLSTPTFDVPMSPKKALRAAMLKRRFADTILKAQKKAKIEAQILAAEAAAKMKAEVELKKQREREREAARIALQKMEKTAEIEQNLEILKELEMLSGCSLSNTQLHGRKSDSEQVNGASHGGNGGNPLQQLGLFIKVEYSEDEDDEAILNEDGEEGEIVS >KJB57317 pep chromosome:Graimondii2_0_v6:9:12153373:12157898:-1 gene:B456_009G158600 transcript:KJB57317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAGSVVPKLKLKIKFPSQKIEDQSFEWGQQLSSSFDGKKTSPAGNSEETSIAHKREPEGVNVGGPPEKRRKMDRCTTQQCSALLKALMKHPAGWVFNQPVDPKALQIPDYFSIIKNPMDLGTIKSKLGKNIYLGTDEFVADIRLTFSNAMLYNPPSNNVHKMAEEMKEFFEARWKSLEEKCNQENIKVGQGKVSSVRVKDVNESSQHCPKTLLSRNSSLPKKSKASAEKVAKLPLNARAAEVELAKPAENCVSELAGKSLQKGTGSGSGGCSQGSINAKPPLSPGDSCGNIKCQCSLPSDSYHASSSDVTSERSLGGDLRVCSADVSKLDSQAKSTLTSQMSKSDQDSNGAVSALDDENVCNSSQLTTPTDAASGEGLSTPTFDVPMSPKKALRAAMLKRRFADTILKAQKKALLDHCDKTDPVKLQQEKERLERRQREEKAKIEAQILAAEAAAKMKAEVELKKQREREREAARIALQKMEKTAEIEQNLEILKELEMLSGCSLSNTQLHGRKSDSEQVNGASHGGNGGNPLQQLGLFIKVEYSEDEDDEAILNEDGEEGEIVS >KJB57320 pep chromosome:Graimondii2_0_v6:9:12153860:12157898:-1 gene:B456_009G158600 transcript:KJB57320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAGSVVPKLKLKIKFPSQKIEDQSFEWGQQLSSSFDGKKTSPAGNSEETSIAHKREPEGVNVGGPPEKRRKMDRCTTQQCSALLKALMKHPAGWVFNQPVDPKALQIPDYFSIIKNPMDLGTIKSKLGKNIYLGTDEFVADIRLTFSNAMLYNPPSNNVHKMAEEMKEFFEARWKSLEEKCNQENIKVGQGKVSSVRVKDVNESSQHCPKTLLSRNSSLPKKSKASAEKVAKLPLNARAAEVELAKPAENCVSELAGKSLQKGTGSGSGGCSQGSINAKPPLSPGDSCGNIKCQCSLPSDSYHASSSDVTSERSLGGDLRVCSADVSKLDSQAKSTLTSQMSKSDQDSNGAVSALDDENVCNSSQLTTPTDAASGEGLSTPTFDVPMSPKKALRAAMLKRRFADTILKAQKKALLDHCDKTDPVKLQQEKERLERRQREEKAKIEAQILAAEAAAKMKAEVELKKQREREREAARIALQKVFIFFLCFLSCPYYVYIVEGFRG >KJB57315 pep chromosome:Graimondii2_0_v6:9:12153373:12157898:-1 gene:B456_009G158600 transcript:KJB57315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAGSVVPKLKLKIKFPSQKIEDQSFEWGQQLSSSFDGKKTSPAGNSEETSIAHKREPEGVNVGGPPEKRRKMDRCTTQQCSALLKALMKHPAGWVFNQPVDPKALQIPDYFSIIKNPMDLGTIKSKLGKNIYLGTDEFVADIRLTFSNAMLYNPPSNNVHKMAEEMKEFFEARWKSLEEKCNQENIKVGQGKVSSVRVKDVNESSQHCPKTLLSRNSSLPKKSKASAEKVAKLPLNARAAEVELAKPAENCVSELAGKSLQKGTGSGSGGCSQGSINAKPPLSPGDSCGNIKCQCSLPSDSYHASSSDVTSERSLGGDLRVCSADVSKLAKSTLTSQMSKSDQDSNGAVSALDDENVCNSSQLTTPTDAASGEGLSTPTFDVPMSPKKALRAAMLKRRFADTILKAQKKALLDHCDKTDPVKLQQEKERLERRQREEKAKIEAQILAAEAAAKMKAEVELKKQREREREAARIALQKMEKTAEIEQNLEILKELEMLSGCSLSNTQLHGRKSDSEQVNGASHGGNGGNPLQQLGLFIKVEYSEDEDDEAILNEDGEEGEIVS >KJB57316 pep chromosome:Graimondii2_0_v6:9:12153564:12156842:-1 gene:B456_009G158600 transcript:KJB57316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAGSVVPKLKLKIKFPSQKIEDQSFEWGQQLSSSFDGKKTSPAGNSEETSIAHKREPEGVNVGGPPEKRRKMDRCTTQQCSALLKALMKHPAGWVFNQPVDPKALQIPDYFSIIKNPMDLGTIKSKLGKNIYLGTDEFVADIRLTFSNAMLYNPPSNNVHKMAEEMKEFFEARWKSLEEKCNQENIKVGQGKVSSVRVKDVNESSQHCPKTLLSRNSSLPKKSKASAEKVAKLPLNARAAEVELAKPAENCVSELAGKSLQKGTGSGSGGCSQGSINAKPPLSPGDSCGNIKCQCSLPSDSYHASSSDVTSERSLGGDLRVCSADVSKLDSQAKSTLTSQMSKSDQDSNGAVSALDDENVCNSSQLTTPTDAASGEGLSTPTFDVPMSPKKALRAAMLKRRFADTILKAQKKALLDHCDKTDPVKLQQEKERLERRQREEKAKIEAQILAAEAAAKMKAEVELKKQREREREAARIALQKMEKTAEIEQNLEILKELEMLSGCSLSNTQLHGRKSDSEQVNGASHGGNGGNPLQQLGLFIKVEYSEDEDDEAILNEDGEEGEIVS >KJB57313 pep chromosome:Graimondii2_0_v6:9:12154849:12156842:-1 gene:B456_009G158600 transcript:KJB57313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAGSVVPKLKLKIKFPSQKIEDQSFEWGQQLSSSFDGKKTSPAGNSEETSIAHKREPEGVNVGGPPEKRRKMDRCTTQQCSALLKALMKHPAGWVFNQPVDPKALQIPDYFSIIKNPMDLGTIKSKLGKNIYLGTDEFVADIRLTFSNAMLYNPPSNNVHKMAEEMKEFFEARWKSLEEKCNQENIKVGQGKVSSVRVKDVNESSQHCPKTLLSRNSSLPKKSKASAEKVAKLPLNARAAEVELAKPAENCVSELAGKSLQKGTGSGSGGCSQGSINAKPPLSPGDSCGNIKCQCSLPSDSYHASSSDVTSERSLGGDLRVCSADVSKLDSQAKSTLTSQMSKSDQDSNGAVSALDDENVCNSSQLTTPTDAASGEGLSTPTFDVPMSPKKALRAAMLKRRFADTILKAQKKALLDHVKFCKDII >KJB60089 pep chromosome:Graimondii2_0_v6:9:24870832:24876415:1 gene:B456_009G289400 transcript:KJB60089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRKWCFWRKPKIKATPPMPIILLLLLSIFETGISSTADHFKVDGKVLELDESNFDLAISSLNYILVDFYAPWCRHCKLLSPQLDEAAPVLAGLKEPIVIAKVNADKFTRLASKHDVDAYPTLKLFMHGVSMEYYGPRKADSLVQYLKKFVSTDVSILISDSAISDFVEEAGTFFPIFIGFDLNETVLSNLAVKYKKRAWFSVAKDFSDEAKVLYDMEKFPALVAIHPNYKQQSIFYGPFEGEKKKRRNY >KJB60090 pep chromosome:Graimondii2_0_v6:9:24870832:24876644:1 gene:B456_009G289400 transcript:KJB60090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNLPVLLVNMMLRFDLNETVLSNLAVKYKKRAWFSVAKDFSDEAKVLYDMEKFPALVAIHPNYKQQSIFYGPFEGEFLEDFIKQNFLPPVVPLNHETLKLLKDEKRKIVLTITADENEDQTQNLIKLLKAAASTNRDLVFGYFGLKQWEDFADKFEANEKMKLPKIIVWDGDEDYFSVIGIESLNNEDQGSQISQFLEGYRQGRTEKKTVKAPLFMGFFNSVVGIVAFFIIFIVVAMMILMVVLLIIISKDNEPVRVGSREEVDRADNSEAESSQHGPGKKED >KJB60088 pep chromosome:Graimondii2_0_v6:9:24870808:24876662:1 gene:B456_009G289400 transcript:KJB60088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRKWCFWRKPKIKATPPMPIILLLLLSIFETGISSTADHFKVDGKVLELDESNFDLAISSLNYILVDFYAPWCRHCKLLSPQLDEAAPVLAGLKEPIVIAKVNADKFTRLASKHDVDAYPTLKLFMHGVSMEYYGPRKADSLVQYLKKFVSTDVSILISDSAISDFVEEAGTFFPIFIGFDLNETVLSNLAVKYKKRAWFSVAKDFSDEAKVLYDMEKFPALVAIHPNYKQQSIFYGPFEGEFLEDFIKQNFLPPVVPLNHETLKLLKDEKRKIVLTITADENEDQTQNLIKLLKAAASTNRDLVFGYFGLKQWEDFADKFEANEKMKLPKIIVWDGDEDYFSVIGIESLNNEDQGSQISQFLEGYRQGRTEKKTVKAPLFMGFFNSVVGIVAFFIIFIVVAMMILMVVLLIIISKDNEPVRVGSREEVDRADNSEAESSQHGPGKKED >KJB60091 pep chromosome:Graimondii2_0_v6:9:24871540:24876644:1 gene:B456_009G289400 transcript:KJB60091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGVSMEYYGPRKADSLVQYLKKFVSTDVSILISDSAISDFVEEAGTFFPIFIGFDLNETVLSNLAVKYKKRAWFSVAKDFSDEAKVLYDMEKFPALVAIHPNYKQQSIFYGPFEGEFLEDFIKQNFLPPVVPLNHETLKLLKDEKRKIVLTITADENEDQTQNLIKLLKAAASTNRDLVFGYFGLKQWEDFADKFEANEKMKLPKIIVWDGDEDYFSVIGIESLNNEDQGSQISQFLEGYRQGRTEKKTVKAPLFMGFFNSVVGIVAFFIIFIVVAMMILMVVLLIIISKDNEPVRVGSREEVDRADNSEAESSQHGPGKKED >KJB57079 pep chromosome:Graimondii2_0_v6:9:11177769:11179156:-1 gene:B456_009G147200 transcript:KJB57079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPMNHSGCLHFRQPLKQERFLRSCCFFLSVYLLIIVSTVGLGGLLVVFVLKPQKPVFSIQTVRLDAYQLNVYSNTTLFVSAVASMVLNASNPNKIGLRYSPSRLQLYSEGLPMAVIRVPGFFQPAHSNNVSLTARVLIPCVNVTQILGGAWLQDQQGHNIVPMKLSGDIRVNLHFTQTTLPKIKVALDCDISFEYKELAFLNEAYIKKPPKDLLAPFSNDSNSFLKKCALAIYV >KJB60696 pep chromosome:Graimondii2_0_v6:9:31106674:31110965:1 gene:B456_009G321600 transcript:KJB60696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLGWIGGIVGLLLATVLSLNANMLVAKLHEHGGKRHIRYRDLAAQIYGRRAYTITWAMQYINLFMINIGFLILGGSALKACFALFNYEHTMKLPYCIAITGFACTLFAISTPHLSALRVWLGCSTVLSLIYIIVACVLAAKDGANAPPRDYSIHGESSSRIFTTIGASANLVFAFNTGMLPEIQATVRQPAVKNTLKALYFQFTIGVVPMFAITFIGYWAYGASTSTYLLNSVSGPLWVKAAANISAFLQSIICLHIFASPTYEYLDTKFGIRGSALQLNNLSFRIAARGGYLVISTLVSALLPFLGDFESLTGALSTFPLTFILANHMYIVAKKDKLSTLQKFWHWLNVIIFALMSIAATIASLRLIAVDSKEYHVFADV >KJB60694 pep chromosome:Graimondii2_0_v6:9:31108240:31110696:1 gene:B456_009G321600 transcript:KJB60694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQACFALFNYEHTMKLPYCIAITGFACTLFAISTPHLSALRVWLGCSTVLSLIYIIVACVLAAKDGANAPPRDYSIHGESSSRIFTTIGASANLVFAFNTGMLPEIQATVRQPAVKNTLKALYFQFTIGVVPMFAITFIGYWAYGASTSTYLLNSVSGPLWVKAAANISAFLQSIICLHIFASPTYEYLDTKFGIRGSALQLNNLSFRIAARGGYLVISTLVSALLPFLGDFESLTGALSTFPLTFILANHMYIVAKKDKLSTLQKFWHWLNVIIFALMSIAATIASLRLIAVDSKEYHVFADV >KJB60695 pep chromosome:Graimondii2_0_v6:9:31106674:31110965:1 gene:B456_009G321600 transcript:KJB60695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPDNNRNEVEDYKLTVQVPETAHQVSTDSWLQAGFVLTTGVNSVFVLGYSGTIMVPLGWIGGIVGLLLATVLSLNANMLVAKLHEHGGKRHIRYRDLAAQIYGRRAYTITWAMQYINLFMINIGFLILGGSALKACFALFNYEHTMKLPYCIAITGFACTLFAISTPHLSALRVWLGCSTVLSLIYIIVACVLAAKDGANAPPRDYSIHGESSSRIFTTIGASANLVFAFNTGMLPEIQVGQITSSSIHCSIIKTLKLVPLPL >KJB60693 pep chromosome:Graimondii2_0_v6:9:31106333:31111113:1 gene:B456_009G321600 transcript:KJB60693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPDNNRNEVEDYKLTVQVPETAHQVSTDSWLQAGFVLTTGVNSVFVLGYSGTIMVPLGWIGGIVGLLLATVLSLNANMLVAKLHEHGGKRHIRYRDLAAQIYGRRAYTITWAMQYINLFMINIGFLILGGSALKACFALFNYEHTMKLPYCIAITGFACTLFAISTPHLSALRVWLGCSTVLSLIYIIVACVLAAKDGANAPPRDYSIHGESSSRIFTTIGASANLVFAFNTGMLPEIQATVRQPAVKNTLKALYFQFTIGVVPMFAITFIGYWAYGASTSTYLLNSVSGPLWVKAAANISAFLQSIICLHIFASPTYEYLDTKFGIRGSALQLNNLSFRIAARGGYLVISTLVSALLPFLGDFESLTGALSTFPLTFILANHMYIVAKKDKLSTLQKFWHWLNVIIFALMSIAATIASLRLIAVDSKEYHVFADV >KJB57195 pep chromosome:Graimondii2_0_v6:9:11628424:11635430:1 gene:B456_009G152700 transcript:KJB57195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADVETDEVYAQMTLQPLNPQEQKEAYLPAELGTSSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLTKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGDRQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPAGLPSFHGIKDDGLGMNSPLMWLQGDAGRGMPSLNFPGIGVTPWMQPRLDAASMLGLQTDIHQAMAAAALQDMRAVDPSKSATTTLLQFQQPQNLSCRPAALMQSQMLQQSQPQAFLQGVEDNQHQSQTQAQTQPPLVQQQLQQQNSFNNHQHQQQLQHPLSQQHQQLVDHQHISTGVSAMSQYTPASQSRSSPFQAIPSLCQQQSFSDSNGHTMTSPIVSPLHGLLGSFPQDESSGLLNLPRSNPVITSAAWPSKRAAVEVLSSGSPQCVLPQVEQLGPTQTNISHNSISLPPFPGRECSIDQAGGTDPQSHLLFGVNIEPSSLLLQNGMSSLRGVGSESDSTTIPFSSNYASTAGTDFSVNPAMTPSSCIEELGFLQSPENVGQENPQTRTFVKVYKSGSFGRSLDISKFSSYNELRSELARMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQQMGKRGLELLNSVPVQRLLNGSCDDYASQQDSRNLSSGIASVGSLDY >KJB57193 pep chromosome:Graimondii2_0_v6:9:11628424:11635430:1 gene:B456_009G152700 transcript:KJB57193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADVETDEVYAQMTLQPLNPQEQKEAYLPAELGTSSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLTKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGDRQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPAGLPSFHGIKDDGLGMNSPLMWLQGDAGRGMPSLNFPGIGVTPWMQPRLDAASMLGLQTDIHQAMAAAALQDMRAVDPSKSATTTLLQFQQPQNLSCRPAALMQSQMLQQSQPQAFLQGVEDNQHQSQTQAQTQPPLVQQQLQQQNSFNNHQHQQQLQHPLSQQHQQLVDHQHISTGVSAMSQYTPASQSRSSPFQAIPSLCQQQSFSDSNGHTMTSPIVSPLHGLLGSFPQDESSGLLNLPRSNPVITSAAWPSKRAAVEVLSSGSPQCVLPQVEQLGPTQTNISHNSISLPPFPGRECSIDQAGGTDPQSHLLFGVNIEPSSLLLQNGMSSLRGVGSESDSTTIPFSSNYASTAGTDFSVNPAMTPSSCIEELGFLQSPENVGQENPQTRTFVKVYKSGSFGRSLDISKFSSYNELRSELARMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWP >KJB57196 pep chromosome:Graimondii2_0_v6:9:11630978:11634728:1 gene:B456_009G152700 transcript:KJB57196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADVETDEVYAQMTLQPLNPQEQKEAYLPAELGTSSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLTKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGDRQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPAGLPSFHGIKDDGLGMNSPLMWLQGDAGRGMPSLNFPGIGVTPWMQPRLDAASMLGLQTDIHQAMAAAALQDMRAVDPSKSATTTLLQFQQPQNLSCRPAALMQSQMLQQSQPQAFLQGVEDNQHQSQTQAQTQPPLVQQQLQQQNSFNNHQHQQQLQHPLSQQHQQLVDHQHISTGVSAMSQYTPASQSRSSPFQAIPSLCQQQSFSDSNGHTMTSPIVSPLHGLLGSFPQDESSGLLNLPRSNPVITSAAWPSKRAAVEVLSSGSPQCVLPQVEQLGPTQTNISHNSISLPPFPGRECSIDQAGGTDPQSHLLFGVNIEPSSLLLQNGMSSLRGVGSESDSTTIPFSSNYASTAGTDFSVNPAMTPSSCIEELGFLQSPENVGQENPQTRTFVKVYKSGSFGRSLDISKFSSYNELRSELARMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWP >KJB57192 pep chromosome:Graimondii2_0_v6:9:11628417:11635552:1 gene:B456_009G152700 transcript:KJB57192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSAGFSPQAPEGEKRVLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEEVDVHVPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLNPQEQKEAYLPAELGTSSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLTKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGDRQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPAGLPSFHGIKDDGLGMNSPLMWLQGDAGRGMPSLNFPGIGVTPWMQPRLDAASMLGLQTDIHQAMAAAALQDMRAVDPSKSATTTLLQFQQPQNLSCRPAALMQSQMLQQSQPQAFLQGVEDNQHQSQTQAQTQPPLVQQQLQQQNSFNNHQHQQQLQHPLSQQHQQLVDHQHISTGVSAMSQYTPASQSRSSPFQAIPSLCQQQSFSDSNGHTMTSPIVSPLHGLLGSFPQDESSGLLNLPRSNPVITSAAWPSKRAAVEVLSSGSPQCVLPQVEQLGPTQTNISHNSISLPPFPGRECSIDQAGGTDPQSHLLFGVNIEPSSLLLQNGMSSLRGVGSESDSTTIPFSSNYASTAGTDFSVNPAMTPSSCIEELGFLQSPENVGQENPQTRTFVKVYKSGSFGRSLDISKFSSYNELRSELARMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQQMGKRGLELLNSVPVQRLLNGSCDDYASQQDSRNLSSGGKELPNGKELPNSSWNFII >KJB57197 pep chromosome:Graimondii2_0_v6:9:11628424:11635430:1 gene:B456_009G152700 transcript:KJB57197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADVETDEVYAQMTLQPLNPQEQKEAYLPAELGTSSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLTKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGDRQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPAGLPSFHGIKDDGLGMNSPLMWLQGDAGRGMPSLNFPGIGVTPWMQPRLDAASMLGLQTDIHQAMAAAALQDMRAVDPSKSATTTLLQFQQPQNLSCRPAALMQSQMLQQSQPQAFLQGVEDNQHQSQTQAQTQPPLVQQQLQQQNSFNNHQHQQQLQHPLSQQHQQLVDHQHISTGVSAMSQYTPASQSRSSPFQAIPSLCQQQSFSDSNGHTMTSPIVSPLHGLLGSFPQDESSGLLNLPRSNPVITSAAWPSKRAAVEVLSSGSPQCVLPQVEQLGPTQTNISHNSISLPPFPGRECSIDQAGGTDPQSHLLFGVNIEPSSLLLQNGMSSLRGVGSESDSTTIPFSSNYASTAGTDFSVNPAMTPSSCIEELGFLQSPENVGQENPQTRTFVKVYKSGSFGRSLDISKFSSYNELRSELARMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWP >KJB57190 pep chromosome:Graimondii2_0_v6:9:11628417:11635552:1 gene:B456_009G152700 transcript:KJB57190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSAGFSPQAPEGEKRVLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEEVDVHVPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLNPQEQKEAYLPAELGTSSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLTKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGDRQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPAGLPSFHGIKDDGLGMNSPLMWLQGDAGRGMPSLNFPGIGVTPWMQPRLDAASMLGLQTDIHQAMAAAALQDMRAVDPSKSATTTLLQFQQPQNLSCRPAALMQSQMLQQSQPQAFLQGVEDNQHQSQTQAQTQPPLVQQQLQQQNSFNNHQHQQQLQHPLSQQHQQLVDHQHISTGVSAMSQYTPASQSRSSPFQAIPSLCQQQSFSDSNGHTMTSPIVSPLHGLLGSFPQDESSGLLNLPRSNPVITSAAWPSKRAAVEVLSSGSPQCVLPQVEQLGPTQTNISHNSISLPPFPGRECSIDQAGGTDPQSHLLFGVNIEPSSLLLQNGMSSLRGVGSESDSTTIPFSSNYASTAGTDFSVNPAMTPSSCIEELGFLQSPENVGQENPQTRTFVKVYKSGSFGRSLDISKFSSYNELRSELARMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQQMGKRGLELLNSVPVQRLLNGSCDDYASQQDSRNLSSGIASVGSLDY >KJB57194 pep chromosome:Graimondii2_0_v6:9:11630978:11634372:1 gene:B456_009G152700 transcript:KJB57194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADVETDEVYAQMTLQPLNPQEQKEAYLPAELGTSSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLTKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGDRQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPAGLPSFHGIKDDGLGMNSPLMWLQGDAGRGMPSLNFPGIGVTPWMQPRLDAASMLGLQTDIHQAMAAAALQDMRAVDPSKSATTTLLQFQQPQNLSCRPAALMQSQMLQQSQPQAFLQGVEDNQHQSQTQAQTQPPLVQQQLQQQNSFNNHQHQQQLQHPLSQQHQQLVDHQHISTGVSAMSQYTPASQSRSSPFQAIPSLCQQQSFSDSNGHTMTSPIVSPLHGLLGSFPQDESSGLLNLPRSNPVITSAAWPSKRAAVEVLSSGSPQCVLPQVEQLGPTQTNISHNSISLPPFPGRECSIDQAGGTDPQSHLLFGVNIEPSSLLLQNGMSSLRGVGSESDSTTIPFSSNYASTAGTDFSVNPAMTPSSCIEELGFLQSPENVGQENPQTRTFVKVSCLVF >KJB57191 pep chromosome:Graimondii2_0_v6:9:11628424:11635430:1 gene:B456_009G152700 transcript:KJB57191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADVETDEVYAQMTLQPLNPQEQKEAYLPAELGTSSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLTKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGDRQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPAGLPSFHGIKDDGLGMNSPLMWLQGDAGRGMPSLNFPGIGVTPWMQPRLDAASMLGLQTDIHQAMAAAALQDMRAVDPSKSATTTLLQFQQPQNLSCRPAALMQSQMLQQSQPQAFLQGVEDNQHQSQTQAQTQPPLVQQQLQQQNSFNNHQHQQQLQHPLSQQHQQLVDHQHISTGVSAMSQYTPASQSRSSPFQAIPSLCQQQSFSDSNGHTMTSPIVSPLHGLLGSFPQDESSGLLNLPRSNPVITSAAWPSKRAAVEVLSSGSPQCVLPQVEQLGPTQTNISHNSISLPPFPGRECSIDQAGGTDPQSHLLFGVNIEPSSLLLQNGMSSLRGVGSESDSTTIPFSSNYASTAGTDFSVNPAMTPSSCIEELGFLQSPENVGQENPQTRTFVKVYKSGSFGRSLDISKFSSYNELRSELARMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQQMGKRGLELLNSVPVQRLLNGSCDDYASQQDSRNLSSGIASVGSLDY >KJB59472 pep chromosome:Graimondii2_0_v6:9:21238752:21244295:1 gene:B456_009G257800 transcript:KJB59472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAKPESSDSRVIAHVDMDCFYVQVEQRKQPQLRGLPTAVVQYNEWKGGALIAVSYEARKFGVKRSMRGEEAKEVCPQVQLVQVPVARGKADLSGYRNAGSEVVSILARRGRCERASIDEVYLDLTDAAEMMLADNPPQGMETIDEEALKSHILGLNNEDGNDVKENVRKWIHRHNADHRDKLLACGILIVAELRMQVLKETEFTCSAGIAHNKMLAKLASGMNKPAQQTVVPFSSVKGLLDTLPIRKMKQLGGKLGISLQMDMGVNTVGDLLQFPEEKLQERYGINTGTWLWNIARGISGEEVEGRLLPKSHGSGKTFPGPRALKTVPAVQHWLNQLCEELSERLCSDLDQNKRIAHTLTLHARAYKSSDSDSQKKFPSKSCPLRYGTAKIQEDAFNLFQAGLREYIGFYGGKTQGSHCSGWGITSLSVSASKIVPIPSGTCSIAKYFQGQSTSHFSSMQSSDNLNTEATLSLPSGSI >KJB59469 pep chromosome:Graimondii2_0_v6:9:21238752:21242561:1 gene:B456_009G257800 transcript:KJB59469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAKPESSDSRVIAHVDMDCFYVQVEQRKQPQLRGLPTAVVQYNEWKGGALIAVSYEARKFGVKRSMRGEEAKEVCPQVQLVQVPVARGKADLSGYRNAGSEVVSILARRGRCERASIDEVYLDLTDAAEMMLADNPPQGMETIDEEALKSHILGLNNEDGNDVKENVRKWIHRHNADHRDKLLACGILIVAELRMQVLKETEFTCSAGIAHNKMLAKLASGMNKPAQQTVVPFSSVKGLLDTLPIRKMKQLGGKLGISLQMDMGVNTVGDLLQFPEEKLQERYGINTGTWLWNIARGISGEEVEGRLLPKSHGSGKTFPGPRALKTVPAVQHWLNQLCEELSERLCSDLDQNKRIAHTLTLHARAYKSSDSDSQKKFPSKSCPLRYGTAKIQEDAFNLFQAGLREYIGFYGGKTQGSHCSGWGITSLSVSASKIVPIPSGTCSIAKYFQGQSTSHFSSMQSSDNLNTEATLSLPSGLLAFLIIFWPCL >KJB59468 pep chromosome:Graimondii2_0_v6:9:21238564:21244409:1 gene:B456_009G257800 transcript:KJB59468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAKPESSDSRVIAHVDMDCFYVQVEQRKQPQLRGLPTAVVQYNEWKGGALIAVSYEARKFGVKRSMRGEEAKEVCPQVQLVQVPVARGKADLSGYRNAGSEVVSILARRGRCERASIDEVYLDLTDAAEMMLADNPPQGMETIDEEALKSHILGLNNEDGNDVKENVRKWIHRHNADHRDKLLACGILIVAELRMQVLKETEFTCSAGIAHNKMLAKLASGMNKPAQQTVVPFSSVKGLLDTLPIRKMKQLGGKLGISLQMDMGVNTVGDLLQFPEEKLQERYGINTGTWLWNIARGISGEEVEGRLLPKSHGSGKTFPGPRALKTVPAVQHWLNQLCEELSERLCSDLDQNKRIAHTLTLHARAYKSSDSDSQKKFPSKSCPLRYGTAKIQEDAFNLFQAGLREYIGFYGGKTQGSHCSGWGITSLSVSASKIVPIPSGTCSIAKYFQGQSTSHFSSMQSSDNLNTEATLSLPSGSECYSEVNLSEPKIDFPKEESWIKDTVPDLDLQEQKDLSSPLSEKTQDGFIQEDISPLLLSGCLEQNRSKQQRDLTKDESQFKSEYKERKGKRLKDKGTYSIIELFKSYNPNPSGSFLSHEYNRTVGGTDASSSSYSRDEEEGRREAWGYNIDEIDPSVMEELPTEIQDEIKAWMQPHKRRPNNMVKRSCTISHYFSPSKKS >KJB59470 pep chromosome:Graimondii2_0_v6:9:21238752:21244136:1 gene:B456_009G257800 transcript:KJB59470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEEAKEVCPQVQLVQVPVARGKADLSGYRNAGSEVVSILARRGRCERASIDEVYLDLTDAAEMMLADNPPQGMETIDEEALKSHILGLNNEDGNDVKENVRKWIHRHNADHRDKLLACGILIVAELRMQVLKETEFTCSAGIAHNKMLAKLASGMNKPAQQTVVPFSSVKGLLDTLPIRKMKQLGGKLGISLQMDMGVNTVGDLLQFPEEKLQERYGINTGTWLWNIARGISGEEVEGRLLPKSHGSGKTFPGPRALKTVPAVQHWLNQLCEELSERLCSDLDQNKRIAHTLTLHARAYKSSDSDSQKKFPSKSCPLRYGTAKIQEDAFNLFQAGLREYIGFYGGKTQGSHCSGWGITSLSVSASKIVPIPSGTCSIAKYFQGQSTSHFSSMQSSDNLNTEATLSLPSGSECYSEVNLSEPKIDFPKEESWIKDTVPDLDLQEQKDLSSPLSEKTQDGFIQEDISPLLLSGCLEQNRSKQQRDLTKDESQFKSEYKERKGKRLKDKGTYSIIELFKSYNPNPSGSFLSHEYNRTVGGTDASSSSYSRDEEEGRREAWGYNIDEIDPSVMEELPTEIQDEIKAWMQPHKRRPNNMVKRSCTISHYFSPSKKS >KJB59471 pep chromosome:Graimondii2_0_v6:9:21238752:21244136:1 gene:B456_009G257800 transcript:KJB59471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAKPESSDSRVIAHVDMDCFYVQVEQRKQPQLRGLPTAVVQYNEWKGGALIAVSYEARKFGVKRSMRGEEAKEVCPQVQLVQVPVARGKADLSGYRNAGSEDGNDVKENVRKWIHRHNADHRDKLLACGILIVAELRMQVLKETEFTCSAGIAHNKMLAKLASGMNKPAQQTVVPFSSVKGLLDTLPIRKMKQLGGKLGISLQMDMGVNTVGDLLQFPEEKLQERYGINTGTWLWNIARGISGEEVEGRLLPKSHGSGKTFPGPRALKTVPAVQHWLNQLCEELSERLCSDLDQNKRIAHTLTLHARAYKSSDSDSQKKFPSKSCPLRYGTAKIQEDAFNLFQAGLREYIGFYGGKTQGSHCSGWGITSLSVSASKIVPIPSGTCSIAKYFQGQSTSHFSSMQSSDNLNTEATLSLPSGSECYSEVNLSEPKIDFPKEESWIKDTVPDLDLQEQKDLSSPLSEKTQDGFIQEDISPLLLSGCLEQNRSKQQRDLTKDESQFKSEYKERKGKRLKDKGTYSIIELFKSYNPNPSGSFLSHEYNRTVGGTDASSSSYSRDEEEGRREAWGYNIDEIDPSVMEELPTEIQDEIKAWMQPHKRRPNNMVKRSCTISHYFSPSKKS >KJB59733 pep chromosome:Graimondii2_0_v6:9:22425513:22428903:1 gene:B456_009G269000 transcript:KJB59733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVRHSRLQARKWSTVTLVLSMLFMLSVVLLMLLGLGVFFLPINDDDSAPNDLTSYRRMASERGKGLGKRGEQWTEVLSWEPRAFIYHNFLSKEECEYLINLAKPHMVKSTVVDSKTGKSKDSRVRTSSGMFLRRGQDKIIKDIEKRIADYSFIPVEHGEGLQVLHYEVGQKYDAHFDYFLDEFNTKNGGQRMATMLMYLSDVEEGGETIFPAAKGNISSVPWWNELSECGKQGLAVKPKMGDALLFWSMRPDATLDPSSLHGGCPVIMGNKWSSTKWMHLEEYKV >KJB59736 pep chromosome:Graimondii2_0_v6:9:22425683:22428689:1 gene:B456_009G269000 transcript:KJB59736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVRHSRLQARKWSTVTLVLSMLFMLSVVLLMLLGLGVFFLPINDDDSAPNDLTSYRRMASERGKGLGKRGEAFIYHNFLSKEECEYLINLAKPHMVKSTVVDSKTGKSKDSRVRTSSGMFLRRGQDKIIKDIEKRIADYSFIPVEHGEGLQVLHYEVGQKYDAHFDYFLDEFNTKNGGQRMATMLMYLSDVEEGGETIFPAAKGNISSVPWWNELSECGKQGLAVKPKMGDALLFWSMRPDATLDPSSLHGGCPVIMGNKWSSTKWMHLEEYKV >KJB59734 pep chromosome:Graimondii2_0_v6:9:22425683:22428206:1 gene:B456_009G269000 transcript:KJB59734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVRHSRLQARKWSTVTLVLSMLFMLSVVLLMLLGLGVFFLPINDDDSAPNDLTSYRRMASERGKGLGKRGEQWTEVLSWEPRAFIYHNFLSKEECEYLINLAKPHMVKSTVVDSKTGKSKDSRVRTSSGMFLRRGQDKIIKDIEKRIADYSFIPVEHGEGLQVLHYEVGQKYDAHFDYFLDEFNTKNGGQRMATMLMYLSDVEEGGETIFPAAKGNISSVPWWNELSECGKQGLAVKPKMGDALLFWSMRPDATLDPSSLHGLLPSFSLSYFIFSCGSRMIKLALHSRLKKI >KJB59735 pep chromosome:Graimondii2_0_v6:9:22425683:22428689:1 gene:B456_009G269000 transcript:KJB59735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVRHSRLQARKWSTVTLVLSMLFMLSVVLLMLLGLGVFFLPINDDDSAPNDLTSYRRMASERGKGLGKRGEQWTEVLSWEPRAFIYHNFLSKEECEYLINLAKPHMVKSTVVDSKTGKSKDSRVRTSSGMFLRRGQDKIIKDIEKRIADYSFIPSMEKVFKFSTMKLDRNMMHTLITSLMSSTLKMVASGWLPCLCIYVEEGGETIFPAAKGNISSVPWWNELSECGKQGLAVKPKMGDALLFWSMRPDATLDPSSLHGGCPVIMGNKWSSTKWMHLEEYKV >KJB61440 pep chromosome:Graimondii2_0_v6:9:47074337:47076314:1 gene:B456_009G358600 transcript:KJB61440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQISLFQVLITFFLVLFMAAITSIRKSKARNLTQGLIPGPRKLPLIGNLHQLAGPGLPHHTLRDLATKYGAIMHLQLGQISTVVVSSAEMAKEIMKTHDIVFANRPVLASAKILTYGCTDIAFTPYGNYWRNLRKICTSELLNATRVASFQSIREEEVLNLVETIKPNEGSAVNLSHKVFSLSYGITARAAFGKKCKDQEAFISVVTEETKDIFSGGSETSAGAVEWALSEMIKNPRVMTKAQEEVRQVFQGKGNVDETGIHQLKYLKCVIKETLRLHPVIPLLIPRESMKNCVVNGFEIPAKTRVIVNAWAIERDPNHWVEPERFVNSSVDFIGTNFEFIPFGAGRRVCPGILFALPTVELPLAQLLFHFDWKLPRGMKQEDIDMTEVFGVTVRRKNDLVLVPSLYRASTTVA >KJB61441 pep chromosome:Graimondii2_0_v6:9:47074404:47075995:1 gene:B456_009G358600 transcript:KJB61441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQISLFQVLITFFLVLFMAAITRPRKLPLIGNLHQLAGPGLPHHTLRDLATKYGAIMHLQLGQISTVVVSSAEMAKEIMKTHDIVFANRPVLASAKILTYGCTDIAFTPYGNYWRNLRKICTSELLNATRVASFQSIREEEVLNLVETIKPNEGSAVNLSHKVFSLSYGITARAAFGKKCKDQEAFISVVTEETKVNSGFLVSEFFPSLKFLDTVLGLKHKVEKIHGEADMILGNIVNDHKESRAKGRSKDENKENLVDVLLRIQEDGEFPLTDNNVKAVILDIFSGGSETSAGAVEWALSEMIKNPRVMTKAQEEVRQVFQGKGNVDETGIHQLKYLKCVIKETLRLHPVIPLLIPRESMKNCVVNGFEIPAKTRVIVNAWAIERDPNHWVEPERFVNSSVDFIGTNFEFIPFGAGRRVCPGILFALPTVELPLAQLLFHFDWKLPRGMKQEDIDMTEVFGVTVRRKNDLVLVPSLYRASTT >KJB62322 pep chromosome:Graimondii2_0_v6:9:62353963:62355196:-1 gene:B456_009G411600 transcript:KJB62322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVQRAESSNKARLAIMELANMISVPMSLNAIVRLNVPDAIWQGGANTPLSVVQILSRVLPSGGGDPENLQRILRMLTSYGVFDEHLNCSGDDSHSPERKYSLTDIGKTLVTDAEGLSYAPYVLQHHQDALMRAWPLVHEAVLNPTSEPFVKANGEGAYSYYGKKPEMNGLMQKAMSGVSVPFMRAILDGYDGLKGVKRLVDVGGSAGDCLRMILQKYPHVEGINFDLPEVVAKAPSIPGCVAVKVLIDCRRDSRWG >KJB62320 pep chromosome:Graimondii2_0_v6:9:62351896:62355383:-1 gene:B456_009G411600 transcript:KJB62320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVQRAESSNKARLAIMELANMISVPMSLNAIVRLNVPDAIWQGGANTPLSVVQILSRVLPSGGGDPENLQRILRMLTSYGVFDEHLNCSGDDSHSPERKYSLTDIGKTLVTDAEGLSYAPYVLQHHQDALMRAWPLVHEAVLNPTSEPFVKANGEGAYSYYGKKPEMNGLMQKAMSGVSVPFMRAILDGYDGLKGVKRLVDVGGSAGDCLRMILQKYPHVEGINFDLPEVVAKAPSIPGVTHVGGDMFESIPAADAIFMKWVLTTWTDDECKAIMENCYKALPVGGKLIACEPVLPKESDDSHRTRALLEGDIFVMTIYRAKGKHRTQDEFKQLGLSAGFPHFRAFYIDYFYTVLEFQK >KJB62324 pep chromosome:Graimondii2_0_v6:9:62353733:62355330:-1 gene:B456_009G411600 transcript:KJB62324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVQRAESSNKARLAIMELANMISVPMSLNAIVRLNVPDAIWQGGANTPLSVVQILSRVLPSGGGDPENLQRILRMLTSYGVFDEHLNCSGDDSHSPERKYSLTDIGKTLVTDAEGLSYAPYVLQHHQDALMRAWPLVHEAVLNPTSEPFVKANGEGAYSYYGKKPEMNGLMQKAMSGVSVPFMRAILDGYDGLKGVKRLVDVGGSAGDCLRMILQKYPHVEGINFDLPEVVAKAPSIPGVTHVGGDMFESIPAADAIFMKVNFIYFT >KJB62321 pep chromosome:Graimondii2_0_v6:9:62351940:62355330:-1 gene:B456_009G411600 transcript:KJB62321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVQRAESSNKARLAIMELANMISVPMSLNAIVRLNVPDAIWQGGANTPLSVVQILSRVLPSGGGDPENLQRILRMLTSYGVFDEHLNCSGDDSHSPERKYSLTDIGKTLVTDAEGLSYAPYVLQHHQDALMRAWPLVHEAVLNPTSEPFVKANGEGAYSYYGKKPEMNGLMQKAMSGVSVPFMRAILDGYDGLKGVKRLVDVGGSAGDCLRMILQKYPHVEGINFDLPEVVAKAPSIPVGVDNMDR >KJB62323 pep chromosome:Graimondii2_0_v6:9:62352082:62355196:-1 gene:B456_009G411600 transcript:KJB62323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVQRAESSNKARLAIMELANMISVPMSLNAIVRLNVPDAIWQGGANTPLSVVQILSRVLPSGGGDPENLQRILRMLTSYGVFDEHLNCSGDDSHSPERKYSLTDIGKTLVTDAEGLSYAPYVLQHHQDALMRAWPLVHEAVLNPTSEPFVKANGEGAYSYYGKKPEMNGLMQKAMSGVSVPFMRAILDGYDGLKGVKRLVDVGGSAGDCLRMILQKYPHVEGINFDLPEVVAKAPSIPAADAIFMKWVLTTWTDDECKAIMENCYKALPVGGKLIACEPVLPKESDDSHRTRALLEGDIFVMTIYRAKGKHRTQDEFKQLGLSAGFPHFRAFYIDYFYTVLEFQK >KJB63092 pep chromosome:Graimondii2_0_v6:9:70375896:70377363:1 gene:B456_009G452700 transcript:KJB63092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKMVLKVQMNCEKCRTQALRIAAASQGVTSVAIQRQEKDELMVVGDGVDSVKLTRCLRKKLHYATILTIEEIKDEKKEEKKEKKKEEKKEEKKDDEKYTSPYYVCYPSYPMPPQIMVQDPCQCQACSIL >KJB57982 pep chromosome:Graimondii2_0_v6:9:14565398:14565799:1 gene:B456_009G189500 transcript:KJB57982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSDEEEGEGEEKKKKKKKEKKGLKEKIEEKLEGEKKEEDTSVPVEKCDEPVVQPETPEKKGFLEKIKEKLPGQHKKAEEASSPAPAPAPPHHEGESKEKKGILEKIKEKLPGYHSKSDEEKEKA >KJB57979 pep chromosome:Graimondii2_0_v6:9:14564900:14566125:1 gene:B456_009G189500 transcript:KJB57979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKEEEKPQGEVIVTEFEKVTIEETKAEEEGEEKKKHGLLEKLHRSDSSSSSSSSDEEEGEGEEKKKKKKKEKKGLKEKIEEKLEGEKKEEDTSVPVEKCDEPVVQPETPEKKGFLEKIKEKLPGQHKKAEEASSPAPAPAPPHHEGESKEKKGILEKIKEKLPGYHSKSDEEKEKA >KJB57983 pep chromosome:Graimondii2_0_v6:9:14564900:14566143:1 gene:B456_009G189500 transcript:KJB57983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHTSKAPELESNVSGEGAVESKDRGLFDFMGKKEEEKPQGEVIVTEFEKVTIEETKAEEEGEEKKKHGLLEKLHRSDSSSSSSSSDEEEGEGEEKKKKKKKEKKGLKEKIEEKLEGEKKEEDTSVPVEKCDEPVVQPETPEKKGFLEKIKEKLPGQHKKAEEASSPAPAPAPAPAPAAEPHHEGESKEKKGILEKIKEKLPGYHSKSDEEKEKA >KJB57980 pep chromosome:Graimondii2_0_v6:9:14564900:14566125:1 gene:B456_009G189500 transcript:KJB57980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHTSKAPELESNVSGEGAVESKDRGLFDFMGKKEEEKPQGEVIVTEFEKVTIEETKAEEEGEEKKKHGLLEKLHRSDSSSSSSEKKGLKEKIEEKLEGEKKEEDTSVPVEKCDEPVVQPETPEKKGFLEKIKEKLPGQHKKAEEASSPAPAPAPPHHEGESKEKKGILEKIKEKLPGYHSKSDEEKEKA >KJB57981 pep chromosome:Graimondii2_0_v6:9:14564900:14566125:1 gene:B456_009G189500 transcript:KJB57981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHTSKAPELESNVSGEGAVESKDRGLFDFMGKKEEEKPQGEVIVTEFEKVTIEETKAEEEGEEKKKHGLLEKLHRSDSSSSSSSSDEEEGEGEEKKKKKKKEKKGLKEKIEEKLEGEKKEEDTSVPVEKCDEPVVQPETPEKKGFLEKIKEKLPGQHKKAEEASSPAPAPAPPHHEGESKEKKGILEKIKEKLPGYHSKSDEEKEKA >KJB55362 pep chromosome:Graimondii2_0_v6:9:5149959:5156751:-1 gene:B456_009G072400 transcript:KJB55362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MERGLFGLVSGVDIFSGGIIRLQVAEAEVNNISIRFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVSTMGLMADVSIIPQPAGDAGKVDLVMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLNISLERGQIDSIFRINYTDPWIEGDDKRTSRTIIIQNSRTPGTLVHGNQHDNSSLSIGRVTAGIEFSRPLRPKWSGTAGLIFQHAGARDEKGNPIIKDFYGSPLTASGKPYDDMLVAKFECVYTGSGDQGSSMFAFNMEQGLPVMPEWLFFNRVNARARKGVEIGPTRLLLSLSGGKVVGSFSPHEAFAIGGTNSVRGYEEGAVGSGRSYVVGSSEVSFPMLGPVEGVIFADYGHDLWSGPSVPGDPAGARYKPGSGYGYGFGIRVDSPLGPLRLEYAFNDRQAKRFHFGVGHRN >KJB55358 pep chromosome:Graimondii2_0_v6:9:5149959:5156751:-1 gene:B456_009G072400 transcript:KJB55358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MSTGSSIAVTSLPVCLWPLTRGMVEPNQEFHGLVCEGANVLPSKFLEDAFREGHGKVVNLKRLDEVINSINGWYMERGLFGLVSGVDIFSGGIIRLQVAEAEVNNISIRFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVSTMGLMADVSIIPQPAGDAGKVDLVMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLNISLERGQIDSIFRINYTDPWIEGDDKRTSRTIIIQNSRTPGTLVHGNQHDNSSLSIGRVTAGIEFSRPLRPKWSGTAGLIFQHAGARDEKGNPIIKDFYGSPLTASGKPYDDMLVAKFECVYTGSGDQGSSMFAFNMEQGLPVMPEWLFFNRVNARARKGVEIGPTRLLLSLSGGKVVGSFSPHEAFAIGGTNSVRGYEEGAVGSGRSYVVGSSEVSFPMLGPVEGVIFADYGHDLWSGPSVPGDPAGARYKPGSGYGYGFGIRVDSPLGPLRLEYAFNDRQAKRFHFGVGHRN >KJB55363 pep chromosome:Graimondii2_0_v6:9:5150739:5156420:-1 gene:B456_009G072400 transcript:KJB55363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MHRNDGVCFTSSSFKIPVPSPSQTLASPLSRARHSLFQLLHSLRNRSLPPTTSTHSPLLCCASLSLTAQTYDLVNAPLLCSASLSLSQPNPPDSTQSGSEVPQKGQSTTAGRYDEERVLISEVLVRNKDGEELERKDLEMEALTALKACRANSALTVREVQEDVHRIIDSGYFSSCMPVAVDTRDGIRLVFQVEPNQEFHGLVCEGANVLPSKFLEDAFREGHGKVVNLKRLDEVINSINGWYMERGLFGLVSGVDIFSGGIIRLQVAEAEVNNISIRFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVSTMGLMADVSIIPQPAGDAGKVDLVMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLNISLERGQIDSIFRINYTDPWIEGDDKRTSRTIIIQNSRTPGTLVHGNQHDNSSLSIGRVTAGIEFSRPLRPKWSGTAGLIFQHAGARDEKGNPIIKDFYGSPLTASGKPYDDMLVAKFECVYTGSGDQGSSMFAFNMEQGLPVMPEWLFFNRVNARARKGVEIGPTRLLLSLSGGKVVGSFSPHEAFAIGGTNSVRGYEEGAVGSGRSYVVGSSEVSFPM >KJB55357 pep chromosome:Graimondii2_0_v6:9:5150272:5154650:-1 gene:B456_009G072400 transcript:KJB55357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MERGLFGLVSGVDIFSGGIIRLQVAEAEVNNISIRFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVSTMGLMADVSIIPQPAGDAGKVDLVMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLNISLERGQIDSIFRINYTDPWIEGDDKRTSRTIIIQNSRTPGTLVHGNQHDNSSLSIGRVTAGIEFSRPLRPKWSGTAGLIFQHAGARDEKGNPIIKDFYGSPLTASGKPYDDMLVAKFECVYTGSGDQGSSMFAFNMEQGLPVMPEWLFFNRVNARARKGVEIGPTRLLLSLSGGKVVGSFSPHEAFAIGGTNSVRGYEEGAVGSGRSYVVGSSEVSFPMLGPVEGVIFADYGHDLWSGPSVPGDPAGARYKPGSGYGYGFGIRVDSPLGPLRLEYAFNDRQAKRFHFGVGHRN >KJB55360 pep chromosome:Graimondii2_0_v6:9:5150081:5156615:-1 gene:B456_009G072400 transcript:KJB55360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MHRNDGVCFTSSSFKIPVPSPSQTLASPLSRARHSLFQLLHSLRNRSLPPTTSTHSPLLCCASLSLTAQTYDLVNAPLLCSASLSLSQPNPPDSTQSGSEVPQKGQSTTAGRYDEERVLISEVLVRNKDGEELERKDLEMEALTALKACRANSALTVREVQEDVHRIIDSGYFSSCMPVAVDTRDGIRLVFQVEPNQEFHGLVCEGANVLPSKFLEDAFREGHGKVVNLKRLDEVINSINGWYMERGLFGLVSGVDIFSGGIIRLQVAEAEVNNISIRFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVSTMGLMADVSIIPQPAGDAGKVDLVMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLNISLERGQIDSIFRINYTDPWIEGDDKRTSRTIIIQNSRTPGTLVHGNQHDNSSLSIGRVTAGIEFSRPLRPKWSGTAGLIFQHAGARDEKGNPIIKDFYGSPLTASGKPYDDMLVAKFECVYTGSGDQGSSMFAFNMEQGLPVMPEWLFFNRVNARARKGVEIGPTRLLLSLSGGKVVGSFSPHEAFAIGGTNSVRGYEEGAVGSGRSYVVGSSEVSFPMLGPVEGVIFADYGHDLWSGPSVPGDPAGARYKPGSGYGYGFGIRVDSPLGPLRLEYAFNDRQAKRFHFGVGHRN >KJB55359 pep chromosome:Graimondii2_0_v6:9:5150042:5154972:-1 gene:B456_009G072400 transcript:KJB55359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MERGLFGLVSGVDIFSGGIIRLQVAEAEVNNISIRFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVSTMGLMADVSIIPQPAGDAGKVDLVMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLNISLERGQIDSIFRINYTDPWIEGDDKRTSRTIIIQNSRTPGTLVHGNQHDNSSLSIGRVTAGIEFSRPLRPKWSGTAGLIFQHAGARDEKGNPIIKDFYGSPLTASGKPYDDMLVAKFECVYTGSGDQGSSMFAFNMEQGLPVMPEWLFFNRVNARARKGVEIGPTRLLLSLSGGKVVGSFSPHEAFAIGGTNSVRGYEEGAVGSGRSYVVGSSEVSFPMLGPVEGVIFADYGHDLWSGPSVPGDPAGARYKPGSGYGYGFGIRVDSPLGPLRLEYAFNDRQAKRFHFGVGHRN >KJB55361 pep chromosome:Graimondii2_0_v6:9:5150042:5156751:-1 gene:B456_009G072400 transcript:KJB55361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MERGLFGLVSGVDIFSGGIIRLQVAEAEVNNISIRFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVSTMGLMADVSIIPQPAGDAGKVDLVMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLNISLERGQIDSIFRINYTDPWIEGDDKRTSRTIIIQNSRTPGTLVHGNQHDNSSLSIGRVTAGIEFSRPLRPKWSGTAGLIFQHAGARDEKGNPIIKDFYGSPLTASGKPYDDMLVAKFECVYTGSGDQGSSMFAFNMEQGLPVMPEWLFFNRVNARARKGVEIGPTRLLLSLSGGKVVGSFSPHEAFAIGGTNSVRGYEEGAVGSGRSYVVGSSEVSFPMLGPVEGVIFADYGHDLWSGPSVPGDPAGARYKPGSGYGYGFGIRVDSPLGPLRLEYAFNDRQAKRFHFGVGHRN >KJB62248 pep chromosome:Graimondii2_0_v6:9:60569040:60570954:-1 gene:B456_009G408000 transcript:KJB62248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQFAILAVYIDFGKPLVSKILTEGTIQCVEYESLSLVCFGYGRFGHVRESCSYNFELDEMMEKLESVNLGKTSKSEEKSNKDLSGPWMLVERRKPLTSKSTTIKSGGINGGTVVRGSKFGVLNVDQGEIDGSTATLLMDNVENQELFSCDLGKENLENFGNSPNKSHI >KJB54967 pep chromosome:Graimondii2_0_v6:9:4082459:4084508:-1 gene:B456_009G056200 transcript:KJB54967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKGVAAAAAVMAYSPYDMYDDQRARFRYPTHMEDIEDLHKETESMRKKLQMMKEQRLTLLAEVKFLKRRHKFLMQDQSLKPPAERHFLQPQDMVIRCKSNMKAKKSTGKGTSSNRAMAFHLNQKGKTNSVKGTCFTHPALMLDVNRKQQKISSGKEVTLRSSLSVLDLNQRDRLYGGKEATAQSIKPIFDLNQISVNLLLS >KJB54966 pep chromosome:Graimondii2_0_v6:9:4082203:4084652:-1 gene:B456_009G056200 transcript:KJB54966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKGVAAAAAVMAYSPYDMYDDQRARFRYPTHMEDIEDLHKETESMRKKLQMMKEQRLTLLAEVKFLKRRHKFLMQDQSLKPPAERHFLQPQDMVIRCKSNMKAKKSTGKGTSSNRAMAFHLNQKGKTNSVKGTCFTHPALMLDVNRKQQKISSGKEVTLRSSLSVLDLNQRDRLYGGKEATAQSIKPIFDLNQISREEEELEAQTNSVRIEEFQRSSTRIGSDEQHQDVKISACRNTGNGPNRTGKRKISWQDQVALRA >KJB61160 pep chromosome:Graimondii2_0_v6:9:40675759:40678549:-1 gene:B456_009G344000 transcript:KJB61160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIMGIPMDSSMRGPAEQFLTWRAFGAPPVVIALAAQGTFHGFKDTTTPLYAIGAGTLLNAILDVILIFPFGFGVGGAAVATVISEYLIAIILLWELNGKVELISPKIDWSKVSLYFKSGGLLIGRTIATLGTLTLATSLAARQGPIPMAGHQICVQIWLAVSLLTDALALSGQALLATNYSQVNYQQARKVIYSVLKIGLATGFPLAVFLFIGFEALSGLFTTDAEVLQIAWSGSLLIYLNAVAFVLDGLYYGVSDYEYVAVSVVVVGLISSAFLLVVAPLFSVGRVWNGLFLFMTLRVVAGFWR >KJB61161 pep chromosome:Graimondii2_0_v6:9:40675759:40678549:-1 gene:B456_009G344000 transcript:KJB61161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIMGIPMDSSMRGPAEQFLTWRAFGAPPVVIALAAQGTFHGFKDTTTPLYAIGAGTLLNAILDVILIFPFGFGVGGAAVATVISEYLIAIILLWELNGKVELISPKIDWSKVSLYFKSGGLLIGRTIATLGTLTLATSLAARQGPIPMAGHQICVQIWLAVSLLTDALALSGQALLATNYSQVNYQQARKVIYSVLKIGLATGFPLAVFLFIGFEALSGLFTTDAEVLQIAWSGSLLIYLNAVAFVLDGLYYGVSDYEYVAVSVVVVGLISSAFLLVVAPLFSVGRVWNGLFLFMTLRVVAGFWR >KJB61159 pep chromosome:Graimondii2_0_v6:9:40675759:40677453:-1 gene:B456_009G344000 transcript:KJB61159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIMGIPMDSSMRGPAEQFLTWRAFGAPPVVIALAAQGTFHGFKDTTTPLYAIGAGTLLNAILDVILIFPFGFGVGGAAVATVISEYLIAIILLWELNGKVELISPKIDWSKVSLYFKSGGLLIGRTIATLGTLTLATSLAARQGPIPMAGHQICVQIWLAVSLLTDALALSGQVACPLVFHLEDPKCRMFMTIPYKVAPNETCWMYFWFLLQALLATNYSQVNYQQARKVIYSVLKIGLATGFPLAVFLFIGFEALSGLFTTDAEVLQIAWSGSLLIYLNAVAFVLDGLYYGVSDYEYVAVSVVVVGLISSAFLLVVAPLFSVGRVWNGLFLFMTLRVVAGFWR >KJB61158 pep chromosome:Graimondii2_0_v6:9:40675759:40678326:-1 gene:B456_009G344000 transcript:KJB61158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPNCSSNAVKGSPKTDFCSSNPNKLNHVTIVKNWHLSIALPAALALAADPIASLVDTAFVGHLGSVELAAVGVSISIFNLVSRLFNVPLLNITTSFVAEEQALISKSKDDGEFEHQGKKVLPSVSTSLALAAGLGIAEAVVLSVGSGFLMNIMGIPMDSSMRGPAEQFLTWRAFGAPPVVIALAAQGTFHGFKDTTTPLYAIGKYYSMPYVSSAGTLLNAILDVILIFPFGFGVGGAAVATVISEYLIAIILLWELNGKVELISPKIDWSKVSLYFKSGGLLIGRTIATLGTLTLATSLAARQGPIPMAGHQICVQIWLAVSLLTDALALSGQALLATNYSQVNYQQARKVIYSVLKQIGLATGFPLAVFLFIGFEALSGLFTTDAEVLQIAWSGSLLIYLNAVAFVLDGLYYGVSDYEYVAVSVVVVGLISSAFLLVVAPLFSVGRVWNGLFLFMTLRVVAGFWR >KJB61527 pep chromosome:Graimondii2_0_v6:9:48616405:48618454:-1 gene:B456_009G364300 transcript:KJB61527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLIHVFLFLIFGTLASLAMSRTIHETFIVDKHEEWMVDYNRKYESKLEKEKRLNIFKENLEYIESFNNGGNRSFKLSPNEFADMTQDEFIAAHTGYKMQGNPTLSESTSFMYENVSDVPTNLDWRVQGAVTPVKFQGQCGCCWAFSAVAAIEGIVQIKTGSLISLSEQQLLDCSTDGGNRGCDGGRMVNAFEYVIRNQGITTEESYPYQETQETCDTEKQINKVATINEYQMVPENDEEALLKVVASQPVSVAIEGHGQDFRFYSGGVFTGDCGNALSHAVTVVGYGTSEEGLNYWLVKNSWGETWGEKGYIRIQRDANTPGGLCGIAMKASYPVM >KJB53683 pep chromosome:Graimondii2_0_v6:9:171964:176806:-1 gene:B456_009G001500 transcript:KJB53683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPPEKAFVMLKREATDLAGSSFTSHVDSTFQDAVNAAASSASSSSSDDDSQVTQNEDDLYNGNTSKQLVLYDPAVSSTVGCTPPGPIQCRPPVGPRFSSSKLLPSVGAFTVQCANCFKWRLIPTKEKYEEIREHILENPFVCETAREWRPDISCDDPTDISQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADIYYQAPTGKRLRSMVEVQKYLIEHPEYATEGVNLSRFSFQIPKPLQEDYVRKRPARQTASHDNEVNPLAWAGPEDTEVQQGRLALPPPSVEASVSDSPYRPAKHARRTPSEHMYPSNQVPNKHGSKVEMGSELRNTNYGD >KJB53687 pep chromosome:Graimondii2_0_v6:9:172008:176776:-1 gene:B456_009G001500 transcript:KJB53687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPPEKAFVMLKREATDLAGSSFTSHVDSTFQDAVNAAASSASSSSSDDDSQVTQNEDDLYNGNTSKQLVLYDPAVSSTVGCTPPGPIQCRPPVGPRFSSSKLLPSVGAFTVQCANCFKWRLIPTKEKYEEIREHILENPFVCETAREWRPDISCDDPTDISQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADIYYQAPTGKRLRSMVEVLD >KJB53680 pep chromosome:Graimondii2_0_v6:9:171964:176801:-1 gene:B456_009G001500 transcript:KJB53680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPPEKAFVMLKREATDLAGSSFTSHVDSTFQDAVNAAASSASSSSSDDDSQVTQNEDDLYNGNTSKQLVLYDPAVSSTVGCTPPGPIQCRPPVGPRFSSSKLLPSVGAFTVQCANCFKWRLIPTKEKYEEIREHILENPFVCETAREWRPDISCDDPTDISQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADIYYQAPTGKRLRSMVEVQKYLIEHPEYATEGVNLSRFSFQIPKPLQEDYVRKRPARQTASHDNEVNPLAWAGPEDTEVQQGRLALPPPSVEASVSDSPYRPAKHARRTPSEHMYPSNQVPNKHGSKVEMGSELRNTNYGD >KJB53682 pep chromosome:Graimondii2_0_v6:9:172326:174853:-1 gene:B456_009G001500 transcript:KJB53682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPPEKAFVMLKREATDLAGSSFTSHVDSTFQDAVNAAASSASSSSSDDDSQVTQNEDDLYNGNTSKQLVLYDPAVSSTVGCTPPGPIQCRPPVGPRFSSSKLLPSVGAFTVQCANCFKWRLIPTKEKYEEIREHILENPFVCETAREWRPDISCDDPTDISQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADIYYQAPTGKRLRSMVEVQKYLIEHPEYATEGVNLSRFSFQIPKPLQEDYVRKRPARQTASHDNEVNPLAWAGPEDTEVQQGRLALPPPSVEASVSDSPYRPAKHARRTPSEHMYPSNQVPNKHGSKVEMGSELRNTNYGD >KJB53681 pep chromosome:Graimondii2_0_v6:9:171964:176852:-1 gene:B456_009G001500 transcript:KJB53681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPPEKAFVMLKREATDLAGSSFTSHVDSTFQDAVNAAASSASSSSSDDDSQVTQNEDDLYNGNTSKQLVLYDPAVSSTVGCTPPGPIQCRPPVGPRFSSSKLLPSVGAFTVQCANCFKWRLIPTKEKYEEIREHILENPFVCETAREWRPDISCDDPTDISQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADIYYQAPTGKRLRSMVEVQKYLIEHPEYATEGVNLSRFSFQIPKPLQEDYVRKRPARQTASHDNEVNPLAWAGPEDTEVQQGRLALPPPSVEASVSDSPYRPAKHARRTPSEHMYPSNQVPNKHGSKVEMGSELRNTNYGD >KJB53688 pep chromosome:Graimondii2_0_v6:9:173888:176776:-1 gene:B456_009G001500 transcript:KJB53688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPPEKAFVMLKREATDLAGSSFTSHVDSTFQDAVNAAASSASSSSSDDDSQVTQNEDDLYNGNTSKQLVLYDPAVSSTVGCTPPGPIQCRPPVGPRFSSSKLLPSVGAFTVQCANCFKWRLIPTKEKYEEIREHILENPFVCETAREWRPDISCDDPTDISQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADM >KJB53684 pep chromosome:Graimondii2_0_v6:9:171945:176586:-1 gene:B456_009G001500 transcript:KJB53684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPPEKAFVMLKREATDLAGSSFTSHVDSTFQDAVNAAASSASSSSSDDDSQVTQNEDDLYNGNTSKQLVLYDPAVSSTVGCTPPGPIQCRPPVGPRFSSSKLLPSVGAFTVQCANCFKWRLIPTKEKYEEIREHILENPFVCETAREWRPDISCDDPTDISQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADIYYQAPTGKRLRSMVEVQKYLIEHPEYATEGVNLSRFSFQIPKPLQEDYVRKRPARQTASHDNEVNPLAWAGPEDTEVQQGRLALPPPSVEASVSDSPYRPAKHARRTPSEHMYPSNQVPNKHGSKVEMGSELRNTNYGD >KJB53685 pep chromosome:Graimondii2_0_v6:9:172008:176776:-1 gene:B456_009G001500 transcript:KJB53685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPPEKAFVMLKREATDLAGSSFTSHVDSTFQDAVNAAASSASSSSSDDDSQVTQNEDDLYNGNTSKQLVLYDPAVSSTVGCTPPGPIQCRPPVGPRFSSSKLLPSVGAFTVQCANCFKWRLIPTKEKYEEIREHILENPFVCETAREWRPDISCDDPTDISQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADIYYQAPTGKRLRSMVEVQKYLIEHPEYATEGVNLSRFSFQIPKPLQEDYVRKRPARQTASHDNEVNPLAWAGPEDTEVQQGRLALPPPSVEASVSDSPYRPAKHARRTPSEHMYPSNQVPNKHGSKVEMGSELRNTNYGD >KJB53686 pep chromosome:Graimondii2_0_v6:9:172008:176776:-1 gene:B456_009G001500 transcript:KJB53686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPPEKAFVMLKREATDLAGSSFTSHVDSTFQDAVNAAASSASSSSSDDDSQVTQNEDDLYNGNTSKQLVLYDPAVSSTVGCTPPGPIQCRPPVGPRFSSSKLLPSVGAFTVQCANCFKWRLIPTKEKYEEIREHILENPFVCETAREWRPDISCDDPTDISQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADIYYQAPTGKRLRSMVEVQKYLIEHPEYATEGVNLSRFSFQIPKPLQEDYVRKRPARQTASHDNEVPNKHGSKVEMGSELRNTNYGD >KJB61218 pep chromosome:Graimondii2_0_v6:9:43080228:43081853:-1 gene:B456_009G347700 transcript:KJB61218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMVCSGNRDMAMGSDRSYPLHNFNLPCLKWGNQRYLRCMKLGDASAAATATVTDESSSASVADRHRRRRFQRRRSPPSKFEGLMVGGMRRQESASSPTKDYGREQRLMIPKGETAEGIEAVREKIMKDLKTAADKIKDAIFRDKVSDGDKMVVDENEFKEPKQKWKDKEKEKEESPTVEVEVEVEVEARPWNLRTRRAACKAPIDDGETNRNHSSPTKNEVISSSRVRVRGPSVASATAAEENKRARPKFSVPLSKKEIEEDFMVMVGRRPRKRPKKRARYVQRELDSLFPGLQLTEVRVDSYKVPEVIENGNR >KJB61220 pep chromosome:Graimondii2_0_v6:9:43080219:43081973:-1 gene:B456_009G347700 transcript:KJB61220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMVCSGNRDMAMGSDRSYPLHNFNLPCLKWGNQRYLRCMKLGDASAAATATVTDESSSASVADRHRRRRFQRRRSPPSKFEGLMVGGMRRQESASSPTKDYGREQRLMIPKGETAEGIEAVREKIMKDLKTAADKIKDAIFRDKVSDGDKMVVDENEFKEPKQKWKDKEKEKEESPTVEVEVEVEVEARPWNLRTRRAACKAPIDDGETNRNHSSPTKNEVISSSRVRVRGPSVASATAAEENKRARPKFSVPLSKKEIEEDFMVMVGRRPRKRPKKRARYVQRELDSLFPGLQLTEVRVDSYKVPEVIENEIVKCGHGLFV >KJB61217 pep chromosome:Graimondii2_0_v6:9:43080622:43081684:-1 gene:B456_009G347700 transcript:KJB61217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMVCSGNRDMAMGSDRSYPLHNFNLPCLKWGNQRYLRCMKLGDASAAATATVTDESSSASVADRHRRRRFQRRRSPPSKFEGLMVGGMRRQESASSPTKDYGREQRLMIPKGETAEGIEAVREKIMKDLKTAADKIKDAIFRDKVSDGDKMVVDENEFKEPKQKWKDKEKEKEESPTVEVEVEVEVEARPWNLRTRRAACKAPIDDGETNRNHSSPTKNEVISSSRVRVRGPSVASATAAEENKRARPKFSVPLSKKEIEEDFMVMVGRRPRKRPKKRARYVQRELDSLFPGLQLTEVRVDSYKVPEVIENGNVG >KJB61219 pep chromosome:Graimondii2_0_v6:9:43080348:43081790:-1 gene:B456_009G347700 transcript:KJB61219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMVCSGNRDMAMGSDRSYPLHNFNLPCLKWGNQRYLRCMKLGDASAAATATVTDESSSASVADRHRRRRFQRRRSPPSKFEGLMVGGMRRQESASSPTKDYGREQRLMIPKGETAEGIEAVREKIMKDLKTAADKIKDAIFRDKVSDGDKMVVDENEFKEPKQKWKDKEKEKEESPTVEVEVEVEVEARPWNLRTRRAACKAPIDDGETNRNHSSPTKNEVISSSRVRVRGPSVASATAAEENKRARPKFSVPLSKKEIEEDFMVMVGRRPRKRPKKRARYVQRELDSLFPGLQLTEVRVDSYKVPEVIENGNVG >KJB54167 pep chromosome:Graimondii2_0_v6:9:1853803:1857652:-1 gene:B456_009G024000 transcript:KJB54167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEYNGSSKASESVFKVPPIKFTKLFINGNFVDSVSGKTFEAIDPRTGEAITRVSEGDKEDIDLAVKAARQAFDHGPWPRMSGSERGRIMMKFADLIEENVEELAALDAINGGKLFSHCKAMDIPGAARNLRYYAGAADKIHGTVLKLSKGFQGYTLREPIGVVGSIIPWNFPTIMFFMKAGPALAAGCTMVVKPAEQTPLSALYYAHLAKLAGLPDGVLNVVNGFGETAGAAISSHMDIDKVTFTGSTEVGRKIMTAAAASNLKPVSLELGGKSPLLIFEDADIDQAVNIAFNAIFYNKGEICVASSRVYVQEGIYEIFVKKLVEKANAWVIGDPFDFRVNQGPQTDKKQFEKILSYIEHGKREGATLLTGGKRLGSKGFYIEPTIFTDVKEDMTIAKEEIFGPVMSLMKFKTMEEAIKRANNTTYGLAAGVITKNLNVANTVSRSIRAGIIWLNCYTAFDVDCPYGGYKMSGFGREFGLDALNQYLQIKSIVTPIHDSPWH >KJB54168 pep chromosome:Graimondii2_0_v6:9:1853617:1857652:-1 gene:B456_009G024000 transcript:KJB54168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEYNGSSKASESVFKVPPIKFTKLFINGNFVDSVSGKTFEAIDPRTGEAITRVSEGDKEDIDLAVKAARQAFDHGPWPRMSGSERGRIMMKFADLIEENVEELAALDAINGGKLFSHCKAMDIPGAARNLRYYAGAADKIHGTVLKLSKGFQGYTLREPIGVVGSIIPWNFPTIMFFMKAGPALAAGCTMVVKPAEQTPLSALYYAHLAKLAGLPDGVLNVVNGFGETAGAAISSHMDIDKVTFTGSTEVGRKIMTAAAASNLKPVSLELGGKSPLLIFEDADIDQAGEICVASSRVYVQEGIYEIFVKKLVEKANAWVIGDPFDFRVNQGPQTDKKQFEKILSYIEHGKREGATLLTGGKRLGSKGFYIEPTIFTDVKEDMTIAKEEIFGPVMSLMKFKTMEEAIKRANNTTYGLAAGVITKNLNVANTVSRSIRAGIIWLNCYTAFDVDCPYGGYKMSGFGREFGLDALNQYLQIKSIVTPIHDSPWH >KJB54166 pep chromosome:Graimondii2_0_v6:9:1853591:1857822:-1 gene:B456_009G024000 transcript:KJB54166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEYNGSSKASESVFKVPPIKFTKLFINGNFVDSVSGKTFEAIDPRTGEAITRVSEGDKEDIDLAVKAARQAFDHGPWPRMSGSERGRIMMKFADLIEENVEELAALDAINGGKLFSHCKAMDIPGAARNLRYYAGAADKIHGTVLKLSKGFQGYTLREPIGVVGSIIPWNFPTIMFFMKAGPALAAGCTMVVKPAEQTPLSALYYAHLAKLAGLPDGVLNVVNGFGETAGAAISSHMDIDKTDKKQFEKILSYIEHGKREGATLLTGGKRLGSKGFYIEPTIFTDVKEDMTIAKEEIFGPVMSLMKFKTMEEAIKRANNTTYGLAAGVITKNLNVANTVSRSIRAGIIWLNCYTAFDVDCPYGGYKMSGFGREFGLDALNQYLQIKSIVTPIHDSPWH >KJB61974 pep chromosome:Graimondii2_0_v6:9:54675795:54680883:-1 gene:B456_009G395300 transcript:KJB61974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITKAARLMIVSDLDHTMVDHHDPENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGSSMLPDDGWVKILNQKWDRNVVIEETSKFPELTLQAETEQRPHKVSFYVDKTEAQVVMKELSSRLEKRGLDVKLIYSGGMDLDVLPQGAGKGQALSYLLNKLKTEGTLPVNTLACGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAENAKGNPNIIHAKERCAAGIIEAIGHFNLGSNTSPRDVADFVECKLDHVNPGYEVVKFYLFYERWRRGEAANCETYIASLKASCHSITDKARARQDPTATFVFPTGVEKTLHECIYAMKGCYGDQKGKQFRVWVDRVLSTPTGSNTWLVKFDKWELSGDERCCCVTTAKINAKGSGGASDGYTWVSVQQKWLEGYEKKDTSIWLF >KJB61977 pep chromosome:Graimondii2_0_v6:9:54676810:54680883:-1 gene:B456_009G395300 transcript:KJB61977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITKAARLMIVSDLDHTMVDHHDPENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGSSMLPDDGWVKILNQKWDRNVVIEETSKFPELTLQAETEQRPHKVSFYVDKTEAQVVMKELSSRLEKRGLDVKLIYSGGMDLDVLPQGAGKGQALSYLLNKLKTEGTLPVNTLACGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAENAKGYEVVKFYLFYERWRRGEAANCETYIASLKASCDPTATFVFPTGVEKTLHECIYAMKGCYGDQKGKQFRVWVDRVLSTPTGSNTWLVKFDKWELSGDERCCCVTTAKINAKGSGGASDGYTWVSVQQKWLEGYEKKDTSIWLF >KJB61976 pep chromosome:Graimondii2_0_v6:9:54676810:54680919:-1 gene:B456_009G395300 transcript:KJB61976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITKAARLMIVSDLDHTMVDHHDPENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGSSMLPDDGWVKILNQKWDRNVVIEETSKFPELTLQAETEQRPHKVSFYVDKTEAQVVMKELSSRLEKRGLDVKLIYSGGMDLDVLPQGAGKGQALSYLLNKLKTEGTLPVNTLACGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAENAKGNPNIIHAKERCAAGIIEAIGHFNLGSNTSPRDVADFVECKLDHVNPGYEVVKFYLFYERWRRGEAANCETYIASLKASCDPTATFVFPTGVEKTLHECIYAMKGCYGDQKGKQFRVWVDRVLSTPTGSNTWLVKFDKWELSGDERCCCVTTAKINAKGSGGASDGYTWVSVQQKWLEGYEKKDTSIWLF >KJB61980 pep chromosome:Graimondii2_0_v6:9:54678625:54680327:-1 gene:B456_009G395300 transcript:KJB61980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITKAARLMIVSDLDHTMVDHHDPENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGSSMLPDDGWVKILNQKWDRNVVIEETSKFPELTLQAETEQRPHKVSFYVDKTEAQVVMKELSSRLEKRGLDVKLIYSGGMDLDVLPQGAGKGQALSYLLNKLKTEGTLPVNTLACGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAENAKGNPNIIHAKERCAAGIIEAIGHFNLGSNTSPRDVADFVECKLDHVNPGYEVVKFYLFYERWRRGEAANCETYIASLKASCVCYCPSFSFIVMFCHGTYQFIRIGQHPTFFYLLGKGKKQDNNHVTYHHLLINASLKARPCLAFVYFNLVHHWLLFLFLI >KJB61975 pep chromosome:Graimondii2_0_v6:9:54676810:54680687:-1 gene:B456_009G395300 transcript:KJB61975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITKAARLMIVSDLDHTMVDHHDPENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGSSMLPDDGWVKILNQKWDRNVVIEETSKFPELTLQAETEQRPHKVSFYVDKTEAQVVMKELSSRLEKRGLDVKLIYSGGMDLDVLPQGAGKGQALSYLLNKLKTEGTLPVNTLACGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAENAKGNPNIIHAKERCAAGIIEAIGHFNLGSNTSPRDVADFVECKLDHVNPGYEVVKFYLFYERWRRGEAANCETYIASLKASCDPTATFVFPTGVEKTLHECIYAMKGCYGDQKGKQFRVWVDRVLSTPTGSNTWLVKFDKWELSGDERCCCVTTAKINAKGSGGASDGYTWVSVQQKWLEGYEKKDTSIWLF >KJB61982 pep chromosome:Graimondii2_0_v6:9:54676810:54680883:-1 gene:B456_009G395300 transcript:KJB61982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITKAARLMIVDHHDPENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGSSMLPDDGWVKILNQKWDRNVVIEETSKFPELTLQAETEQRPHKVSFYVDKTEAQVVMKELSSRLEKRGLDVKLIYSGGMDLDVLPQGAGKGQALSYLLNKLKTEGTLPVNTLACGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAENAKGNPNIIHAKERCAAGIIEAIGHFNLGSNTSPRDVADFVECKLDHVNPGYEVVKFYLFYERWRRGEAANCETYIASLKASCDPTATFVFPTGVEKTLHECIYAMKGCYGDQKGKQFRVWVDRVLSTPTGSNTWLVKFDKWELSGDERCCCVTTAKINAKGSGGASDGYTWVSVQQKWLEGYEKKDTSIWLF >KJB61984 pep chromosome:Graimondii2_0_v6:9:54676810:54680883:-1 gene:B456_009G395300 transcript:KJB61984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITKAARLMIVSDLDHTMVDHHDPENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGSSMLPDDGWVKILNQKWDRNVVIEETSKFPELTLQAETEQRPHKVSFYVDKTEAQVVMKELSSRLEKRGLDVKLIYSGGMDLDVLPQGAGKGQALSYLLNKLKTEGTLPVNTLACGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAENAKGNPNIIHAKERCAAGIIEAIGHFNLGSNTSPRDVADFVECKLDHVNPGYEVVKFYLFYERWRRGEAANCETYIASLKASCDPTATFVFPTGVEKTLHECIYAMKGCYGDQKGKQFRVWVDRVLSTPTGSNTWLVKFDKWELSGDERCCCVTTAKINAKGSGGASDGYTWVSVQQKWLEGYEKKDTSIWLF >KJB61986 pep chromosome:Graimondii2_0_v6:9:54677408:54680883:-1 gene:B456_009G395300 transcript:KJB61986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITKAARLMIVSDLDHTMVDHHDPENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGSSMLPDDGWVKILNQKWDRNVVIEETSKFPELTLQAETEQRPHKVSFYVDKTEAQVVMKELSSRLEKRGLDVKLIYSGGMDLDVLPQGAGKGQALSYLLNKLKTEGTLPVNTLACGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAENAKGNPNIIHAKERCAAGIIEAIGHFNLGSNTSPRDVADFVECKLDHVNPGYEVVKFYLFYERWRRGEAANCETYIASLKASCDPTATFVFPTGVEKTLHECIYAMKGCYGDQKGKQFRVWVDRVLSTPTGSNTWLVKFDKWELSGESVFFIKVVYHCI >KJB61979 pep chromosome:Graimondii2_0_v6:9:54677961:54680327:-1 gene:B456_009G395300 transcript:KJB61979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITKAARLMIVSDLDHTMVDHHDPENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGSSMLPDDGWVKILNQKWDRNVVIEETSKFPELTLQAETEQRPHKVSFYVDKTEAQVVMKELSSRLEKRGLDVKLIYSGGMDLDVLPQGAGKGQALSYLLNKLKTEGTLPVNTLACGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAENAKGNPNIIHAKERCAAGIIEAIGHFNLGSNTSPRDVADFVECKLDHVNPGYEVVKFYLFYERWRRGEAANCETYIASLKASCV >KJB61978 pep chromosome:Graimondii2_0_v6:9:54677160:54680327:-1 gene:B456_009G395300 transcript:KJB61978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITKAARLMIVSDLDHTMVDHHDPENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGSSMLPDDGWVKILNQKWDRNVVIEETSKFPELTLQAETEQRPHKVSFYVDKTEAQVVMKELSSRLEKRGLDVKLIYSGGMDLDVLPQGAGKGQALSYLLNKLKTEGTLPVNTLACGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAENAKGNPNIIHAKERCAAGIIEAIGHFNLGSNTSPRDVADFVECKLDHVNPGYEVVKFYLFYERWRRGEAANCETYIASLKASCHSITDKARARQDPTATFVFPTGVEKTLHECIYAMKGCYGDQKGKQFRVWVDRVLSTPTGSNTWLVKFDKWELSGDERCCCVTTAKINAKGSGGASDGYTWVSVQQKWLEGYEKKDTSIWLF >KJB61985 pep chromosome:Graimondii2_0_v6:9:54676810:54680592:-1 gene:B456_009G395300 transcript:KJB61985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITKAARLMIVSDLDHTMVDHHDPENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGSSMLPDDGWVKILNQKWDRNVVIEETSKFPELTLQAETEQRPHKVSFYVDKTEAQVVMKELSSRLEKRGLDVKLIYSGGMDLDVLPQGAGKGQALSYLLNKLKTEGTLPVNTLACGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAENAKGNPNIIHAKERCAAGIIEAIGHFNLGSNTSPRDVADFVECKLDHVNPGYEVVKFYLFYERWRRGEAANCETYIASLKASCDPTATFVFPTGVEKTLHECIYAMKGCYGDQKGKQFRVWVDRVLSTPTGSNTWLVKFDKWELSGDERCCCVTTAKINAKGSGGASDGYTWVSVQQKWLEGYEKKDTSIWLF >KJB61981 pep chromosome:Graimondii2_0_v6:9:54677160:54679752:-1 gene:B456_009G395300 transcript:KJB61981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAETEQRPHKVSFYVDKTEAQVVMKELSSRLEKRGLDVKLIYSGGMDLDVLPQGAGKGQALSYLLNKLKTEGTLPVNTLACGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAENAKGNPNIIHAKERCAAGIIEAIGHFNLGSNTSPRDVADFVECKLDHVNPGYEVVKFYLFYERWRRGEAANCETYIASLKASCDPTATFVFPTGVEKTLHECIYAMKGCYGDQKGKQFRVWVDRVLSTPTGSNTWLVKFDKWELSGDERCCCVTTAKINAKGSGGASDGYTWVSVQQKWLEGYEKKDTSIWLF >KJB61983 pep chromosome:Graimondii2_0_v6:9:54676810:54680949:-1 gene:B456_009G395300 transcript:KJB61983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITKAARLMIVSDLDHTMVDHHDPENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGSSMLPDDGWVKILNQKWDRNVVIEETSKFPELTLQAETEQRPHKVSFYVDKTEAQVVMKELSSRLEKRGLDVKLIYSGGMDLDVLPQGAGKGQALSYLLNKLKTEGTLPVNTLACGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAENAKGNPNIIHAKERCAAGIIEAIGHFNLGSNTSPRDVADFVECKLDHVNPGYEVVKFYLFYERWRRGEAANCETYIASLKASCDPTATFVFPTGVEKTLHECIYAMKGCYGDQKGKQFRVWVDRVLSTPTGSNTWLVKFDKWELSGDERCCCVTTAKINAKGSGGASDGYTWVSVQQKWLEGYEKKDTSIWLF >KJB59546 pep chromosome:Graimondii2_0_v6:9:21465013:21466637:1 gene:B456_009G260300 transcript:KJB59546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHTSIRFGIIGCADIARKVSRAILLAPNATLSAVASRSLHKAADFAKPNGFPPEAKIYGSYESLLDDPDIDAVYMPLPTTLHLKWAVLIAQKKKHLLTEKPVALNVAEFDEIVRACEENGVQIMDGTMWMHHPRTHKMKEFLQDKQRFGQLKTVNSCFTFFTDPDFLKNDIRVKPDLDALGALGDAGWYGIRSILWAADYELPKTVTALQGAVLNEAGVILDCGASLQWEDGKKATFHCSFLTSLTMNITAIGTHGTLHLTDFVIPYQEQEASYTASAKPGFNELVTGWEPLPSEHTVTVDLPQEVCMVREFATLVQNIKKKGAKPDMKWPTISRKTQLVLDAVKASIEKGFEPVEIVN >KJB54404 pep chromosome:Graimondii2_0_v6:9:2450065:2453952:1 gene:B456_009G032600 transcript:KJB54404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLESVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDLKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFNDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTTPPARALGSGVGTSSGMPTAIGNADRHAAGQDVQAAGMSPMDNSRRRTTSGPLMYSGSFAKQKNPIANDPATKDSAVIIIFLQFMLLHIYIVFLDLSLVLHYCFASFSS >KJB54402 pep chromosome:Graimondii2_0_v6:9:2450065:2453337:1 gene:B456_009G032600 transcript:KJB54402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLESVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDLKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFNDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTTPPARALVSA >KJB54403 pep chromosome:Graimondii2_0_v6:9:2449625:2455008:1 gene:B456_009G032600 transcript:KJB54403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLESVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDLKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFNDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTTPPARALGSGVGTSSGMPTAIGNADRHAAGQDVQAAGMSPMDNSRRRTTSGPLMYSGSFAKQKNPIANDPATKDSAYTGQSGGSSKRASGREAVAGSEFDPQRSRTTDASPGHKHLNQQRGPVESSSDPKQTGRNTKNYEAALKGIEGLQFESDERNQY >KJB54401 pep chromosome:Graimondii2_0_v6:9:2449625:2455008:1 gene:B456_009G032600 transcript:KJB54401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLESVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDLKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYSLPWQGLKAGNKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFNDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTTPPARALGSGVGTSSGMPTAIGNADRHAAGQDVQAAGMSPMDNSRRRTTSGPLMYSGSFAKQKNPIANDPATKDSAYTGQSGGSSKRASGREAVAGSEFDPQRSRTTDASPGHKHLNQQRGPVESSSDPKQTGRNTKNYEAALKGIEGLQFESDERNQY >KJB54876 pep chromosome:Graimondii2_0_v6:9:3897418:3900974:1 gene:B456_009G053700 transcript:KJB54876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRASKRKSAPPNSSSVTSSDGRSVSSKAKIKGLEKIDRLFNTYANSSLGMIDPEGIEALCSDLGVDYTDVRILMLAWKLKAEKQGYFTQDEWQTGLKALGVDSLSKLKKALSDLEKEVEKPSNYEDFYTYAFRYCLTEEKQKSVDIESICELLNLVLGVQFRPQIDSLIEYLKVGSQFCLRLLFFNF >KJB54875 pep chromosome:Graimondii2_0_v6:9:3897608:3899852:1 gene:B456_009G053700 transcript:KJB54875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRASKRKSAPPNSSSVTSSDGRSVSSKAKIKGLEKIDRLFNTYANSSLGMIDPEGIEALCSDLGVDYTDVRILMLAWKLKAEKQGYFTQDEWQTGLKALGVDSLSKLKKALSDLEKEVEKPSNYEDFYTYAFRYCLTGSY >KJB54873 pep chromosome:Graimondii2_0_v6:9:3897361:3901200:1 gene:B456_009G053700 transcript:KJB54873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRASKRKSAPPNSSSVTSSDGRSVSSKAKIKGLEKIDRLFNTYANSSLGMIDPEGIEALCSDLGVDYTDVRILMLAWKLKAEKQGYFTQDEWQTGLKALGVDSLSKLKKALSDLEKEVEKPSNYEDFYTYAFRYCLTEEKQKSVDIESICELLNLVLGVQFRPQIDSLIEYLKVQNDYKVINSDQWINFLRFCKEISFPDLENYDATQAWPLILDNYVEWMREKHS >KJB54878 pep chromosome:Graimondii2_0_v6:9:3897418:3900974:1 gene:B456_009G053700 transcript:KJB54878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRASKRKSAPPNSSSVTSSDGRSVSSKAKIKGLEKIDRLFNTYANSSLGMIDPEGIEALCSDLGVDYTDVRILMLAWKLKAEKQGYFTQDEWQTGLKALGVDSLSKLKKALSDLEKEVEKPSNYEDFYTYAFRYCLTEEKQKSVDIESICELLNLVLGVQFRPQIDSLIEYLKVQNDYKVINSDQWINFLRFCKEVNLLEMHKFANFIFPFAAITQYHKVSFHLHWKSVLAARMKKN >KJB54877 pep chromosome:Graimondii2_0_v6:9:3897418:3900974:1 gene:B456_009G053700 transcript:KJB54877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRASKRKSAPPNSSSVTSSDGRSVSSKAKIKGLEKIDRLFNTYANSSLGMIDPEGIEALCSDLGVDYTDVRILMLAWKLKAEKQGYFTQDEWQTGLKALGVDSLSKLKKALSDLEKEVEKPSNYEDFYTYAFRYCLTEEKQKSVDIESICELLNLVLGVQFRPQIDSLIEYLKVQNDYKVINSDQWINFLRFCKEVNLLEMHKFANFIFPFAAITQYHKVSFHLHWKSVLAARMKKN >KJB54874 pep chromosome:Graimondii2_0_v6:9:3897608:3899624:1 gene:B456_009G053700 transcript:KJB54874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRASKRKSAPPNSSSVTSSDGRSVSSKAKIKGLEKIDRLFNTYANSSLGMIDPEGIEALCSDLGVDYTDVRILMLAWKLKAEKQGYFTQDEWQTGLKALGVDSLSKLKKALSDLEKEVCCNGYYNHCKVIF >KJB53877 pep chromosome:Graimondii2_0_v6:9:1019425:1021231:1 gene:B456_009G012300 transcript:KJB53877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGFVSDNTKDMQTLALNEPPPSAADIDHWERAKCKAEIMGHPMYDQLLEAHVACLRVATPVDQLAQIDAQLARSQDVLAKYSSAAAAAGSAEEELDHFMANYVLLLGFFKDQLQQHVRVHAMEAVMACWDLEQSLQSLTGQSFSLLETISNNIAPCFAFLLPT >KJB53879 pep chromosome:Graimondii2_0_v6:9:1019248:1022515:1 gene:B456_009G012300 transcript:KJB53879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGFVSDNTKDMQTLALNEPPPSAADIDHWERAKCKAEIMGHPMYDQLLEAHVACLRVATPVDQLAQIDAQLARSQDVLAKYSSAAAAAGSAEEELDHFMANYVLLLGFFKDQLQQHVRVHAMEAVMACWDLEQSLQSLTGVSPGEGTGATMSDDEDEVVDSDTSLFDGSFDGIDSMGFGPLVPSETERSLMERVRQELKHELKQVYDYSNFIVK >KJB53876 pep chromosome:Graimondii2_0_v6:9:1019248:1022515:1 gene:B456_009G012300 transcript:KJB53876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGFVSDNTKDMQTLALNEPPPSAADIDHWERAKCKAEIMGHPMYDQLLEAHVACLRVATPVDQLAQIDAQLARSQDVLAKYSSAAAAAGSAEEELDHFMANYVLLLGFFKDQLQQHVRVHAMEAVMACWDLEQSLQSLTGVSPGEGTGATMSDDEDEVVDSDTSLFDGSFDGIDSMGFGPLVPSETERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSFLKAWWQSHSKWPYPTEEDKAKLVQETGLQLKQINNWFINQRKRNWHSNPSTSLKSKRKR >KJB53878 pep chromosome:Graimondii2_0_v6:9:1019248:1022515:1 gene:B456_009G012300 transcript:KJB53878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGFVSDNTKDMQTLALNEPPPSAADIDHWERAKCKAEIMGHPMYDQLLEAHVACLRVATPVDQLAQIDAQLARSQDVLAKYSSAAAAAGSAEEELDHFMANYVLLLGFFKDQLQQHVRVHAMEAVMACWDLEQSLQSLTGVSPGEGTGATMSDDEDEVVDSDTSLFDGSFDGIDSMGFGPLVPSETERSLMERVRQELKHELKQVYDYSNFIVK >KJB53880 pep chromosome:Graimondii2_0_v6:9:1019248:1022515:1 gene:B456_009G012300 transcript:KJB53880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGFVSDNTKDMQTLALNEPPPSAADIDHWERAKCKAEIMGHPMYDQLLEAHVACLRVATPVDQLAQIDAQLARSQDVLAKYSSAAAAAGSAEEELDHFMANYVLLLGFFKDQLQQHVRVHAMEAVMACWDLEQSLQSLTGQSFSLLETISNNIAPCFAFLLPT >KJB53875 pep chromosome:Graimondii2_0_v6:9:1019150:1022543:1 gene:B456_009G012300 transcript:KJB53875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGFVSDNTKDMQTLALNEPPPSAADIDHWERAKCKAEIMGHPMYDQLLEAHVACLRVATPVDQLAQIDAQLARSQDVLAKYSSAAAAAGSAEEELDHFMANYVLLLGFFKDQLQQHVRVHAMEAVMACWDLEQSLQSLTGVSPGEGTGATMSDDEDEVVDSDTSLFDGSFDGIDSMGFGPLVPSETERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSFLKAWWQSHSKWPYPTEEDKAKLVQETGLQLKQINNWFINQRKRNWHSNPSTSLKSKRKR >KJB57868 pep chromosome:Graimondii2_0_v6:9:14142707:14146988:-1 gene:B456_009G183800 transcript:KJB57868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMELGKLFIGGISWGTNEDRLREYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPAVAERVVMKEHMIDGRTVEAKKAVPRDDQNMLNKNNISTLGSPGPSRTKKIFVGGLASTVTESDFKRYFDQFGTITDVVVMYDHNTLRPRGFGFITYDSEEAVDKVLQRTFHELNGKMVEVKRAVPKESSLGPNRNQLGGYNFGLSRVNSFLNGYMQGYNTNSVGGYGVRMEGRSSPGTIGHNGFSPLSHGYGMGLNFESNSSPSSGWNSNLGSNLSYGRGLNTSFNGNSNRFASPFGYGVGSGGNDSVLNSAGRNVWGNGCLNYATNSTNSSAMLGPGSGKTGVSSLGSIGPLWDSSPKSGQGGGVASAYNGSNLRYGSGDFGIASGGIGHGRSSGTSVVQVSSHGGYDRAYADIYDNGSFFEDSTWQSSPLDLEQSSSFDFGLGNTTSDVMSNSSAGYIGGYNVTNRQSNGGIAT >KJB57872 pep chromosome:Graimondii2_0_v6:9:14142700:14146988:-1 gene:B456_009G183800 transcript:KJB57872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMELGKLFIGGISWGTNEDRLREYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPAVAERVVMKEHMIDGRTVEAKKAVPRDDQNMLNKNNISTLGSPGPSRTKKIFVGGLASTVTESDFKRYFDQFGTITDVVVMYDHNTLRPRGFGFITYDSEEAVDKVLQRTFHELNGKMVEVKRAVPKESSLGPNRNQLGGYNFGLSRVNSFLNGYMQGYNTNSVGGYGVRMEGRSSPGTIGHNGFSPLSHGYGMGLNFESNSSPSSGWNSNLGSNLSYGRGLNTSFNGNSNRFASPFGYGVGSGGNDSVLNSAGRNVWGNGCLNYATNSTNSSAMLGPGSGKTGVSSLGSIGPLWDSSPKSGQGGGVASAYNGSNLRYGSGDFGIASGGIGHGRSSGTSVVQVSSHGGYDRAYADIYDNGSFFEDSTWQSSPLDLEQSSSFDFGLGNTTSDVMSNSSAGYIGGYNVTNRQSNGGIAT >KJB57870 pep chromosome:Graimondii2_0_v6:9:14143203:14146173:-1 gene:B456_009G183800 transcript:KJB57870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMELGKLFIGGISWGTNEDRLREYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPAVAERVVMKEHMIDGRTVEAKKAVPRDDQNMLNKNNISTLGSPGPSRTKKIFVGGLASTVTESDFKRYFDQFGTITDVVVMYDHNTLRPRGFGFITYDSEEAVDKVLQRTFHELNGKMVEVKRAVPKESSLGPNRNQLGGYNFGLSRVNSFLNGYMQGYNTNSVGGYGVRMEGRSSPGTIGHNGFSPLSHGYGMGLNFESNSSPSSGWNSNLGSNLSYGRGLNTSFNGNSNRFASPFGYGVGSGGNDSVLNSAGRNVWGNGCLNYATNSTNSSAMLGPGSGKTGVSSLGSIGPLWDSSPKSGQGGGVASAYNGSNLRYGSGDFGIASGGIGHGRSSGTSVVQVSSHGGYDRAYADIYDNGSFFEDSTWQSSPLDLEQSSSFDFGLGNTTSDVMSNSSAGYIGGYNVTNRQSNGGIAT >KJB57869 pep chromosome:Graimondii2_0_v6:9:14142657:14147123:-1 gene:B456_009G183800 transcript:KJB57869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMELGKLFIGGISWGTNEDRLREYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPAVAERVVMKEHMIDGRTVEAKKAVPRDDQNMLNKNNISTLGSPGPSRTKKIFVGGLASTVTESDFKRYFDQFGTITDVVVMYDHNTLRPRGFGFITYDSEEAVDKVLQRTFHELNGKMVEVKRAVPKESSLGPNRNQLGGYNFGLSRVNSFLNGYMQGYNTNSVGGYGVRMEGRSSPGTIGHNGFSPLSHGYGMGLNFESNSSPSSGWNSNLGSNLSYGRGLNTSFNGNSNRFASPFGYGVGSGGNDSVLNSAGRNVWGNGCLNYATNSTNSSAMLGPGSGKTGVSSLGSIGPLWDSSPKSGQGGGVASAYNGSNLRYGSGDFGIASGGIGHGRSSGTSVVQVSSHGGYDRAYADIYDNGSFFEDSTWQSSPLDLEQSSSFDFGLGNTTSDVMSNSSAGYIGGYNVTNRQSNGGIAT >KJB57871 pep chromosome:Graimondii2_0_v6:9:14143203:14146173:-1 gene:B456_009G183800 transcript:KJB57871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMELGKLFIGGISWGTNEDRLREYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPAVAERVVMKEHMIDGRTVEAKKAVPRDDQNMLNKNNISTLGSPGPSRTKKIFVGGLASTVTESDFKRYFDQFGTITDVVVMYDHNTLRPRGFGFITYDSEEAVDKVLQRTFHELNGKMVEVKRAVPKESSLGPNRNQLGGYNFGLSRVNSFLNGYMQGYNTNSVGGYGVRMEGRSSPGTIGHNGFSPLSHGYGMGLNFESNSSPSSGWNSNLGSNLSYGRGLNTSFNGNSNRFASPFGYGVGSGGNDSVLNSAGRNVWGNGCLNYATNSTNSSAMLGPGSGKTGVSSLGSIGPLWDSSPKSGQGGGVASAYNGSNLRYGSGDFGIASGGIGHGRSSGTSVVQVSSHGGYDRAYADIYDNGSFFEDSTWQSSPLDLEQSSSFDFGLGNTTSDVMSNSSAGYIGGYNVTNRQSNGGIAT >KJB57867 pep chromosome:Graimondii2_0_v6:9:14142657:14145342:-1 gene:B456_009G183800 transcript:KJB57867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKNNISTLGSPGPSRTKKIFVGGLASTVTESDFKRYFDQFGTITDVVVMYDHNTLRPRGFGFITYDSEEAVDKVLQRTFHELNGKMVEVKRAVPKESSLGPNRNQLGGYNFGLSRVNSFLNGYMQGYNTNSVGGYGVRMEGRSSPGTIGHNGFSPLSHGYGMGLNFESNSSPSSGWNSNLGSNLSYGRGLNTSFNGNSNRFASPFGYGVGSGGNDSVLNSAGRNVWGNGCLNYATNSTNSSAMLGPGSGKTGVSSLGSIGPLWDSSPKSGQGGGVASAYNGSNLRYGSGDFGIASGGIGHGRSSGTSVVQVSSHGGYDRAYADIYDNGSFFEDSTWQSSPLDLEQSSSFDFGLGNTTSDVMSNSSAGYIGGYNVTNRQSNGGIAT >KJB58251 pep chromosome:Graimondii2_0_v6:9:15561341:15562371:-1 gene:B456_009G200900 transcript:KJB58251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMAGWDSPVSASKSATIQRNRSLTKEEIEAYWKSKKKTEEDHLKAIYSPSDSSNQLEIPSQGYGRSIMRSSSMPSPHTEQGFLHMDAETSLEDIVKKNGWWTRSNWAFLNEPSVLDGPTNSYTSQFHIANLAASKLNKDRGISA >KJB53302 pep chromosome:Graimondii2_0_v6:9:8433155:8438742:-1 gene:B456_009G115000 transcript:KJB53302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSAHDLSDNNEVDEQKKHSEFSDHSSSPVTGLSPSSITTPNMPYTTPQHAVAPAAYPYPDPYYRSIFAPYDAQSYPPQPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKLAKSRKPYLHESRHLHALRRARGSGGRFLNSKKNENKQNEAAPSDKSQSNINLNSDKNELASTEGNC >KJB53305 pep chromosome:Graimondii2_0_v6:9:8433155:8436649:-1 gene:B456_009G115000 transcript:KJB53305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKLAKSRKPYLHESRHLHALRRARGSGGRFLNSKKNENKQNEAAPSDKSQSNINLNSDKNELASTEGNC >KJB53303 pep chromosome:Graimondii2_0_v6:9:8433180:8434152:-1 gene:B456_009G115000 transcript:KJB53303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKLAKSRKPYLHESRHLHALRRARGSGGRFLNSKKNENKQNEAAPSDKSQSNINLNSDKNELASTEGNC >KJB53306 pep chromosome:Graimondii2_0_v6:9:8433180:8439059:-1 gene:B456_009G115000 transcript:KJB53306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSAHDLSDNNEVDEQKKHSEFSDHSSSPVTGLSPSSITTPNMPYTTPQHAAPAAYPYPDPYYRSIFAPYDAQSYPPQPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKLAKSRKPYLHESRHLHALRRARGSGGRFLNSKKNENKQNEAAPSDKSQSNINLNSDKNELASTEGNC >KJB53301 pep chromosome:Graimondii2_0_v6:9:8433478:8438514:-1 gene:B456_009G115000 transcript:KJB53301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSAHDLSDNNEVDEQKKHSEFSDHSSSPVTGLSPSSITTPNMPYTTPQHAVAPAAYPYPDPYYRSIFAPYDAQSYPPQPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKLAKSRKPYLHESRHLHALRRARGSGGRFLNSKKNENKQNEAAPSDKSQSNINLNSDKNELASTEGNC >KJB53304 pep chromosome:Graimondii2_0_v6:9:8433135:8439692:-1 gene:B456_009G115000 transcript:KJB53304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSAHDLSDNNEVDEQKKHSEFSDHSSSPVTGLSPSSITTPNMPYTTPQHAVAPAAYPYPDPYYRSIFAPYDAQSYPPQPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKLAKSRKPYLHESRHLHALRRARGSGGRFLNSKKNENKQNEAAPSDKSQSNINLNSDKNELASTEGNC >KJB58945 pep chromosome:Graimondii2_0_v6:9:18562618:18565145:-1 gene:B456_009G235100 transcript:KJB58945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQIEFRHWDELIPDALGLIFSNLSLQEVLTVIPSVCKSWRKAVTGPYCWQEVDIEEWSSRCQPHHLDRMLRMLITRSSGSLRKLCVSGLHNDSIFSFITENAGSLQTLRVRRSEMSDSVVERTAGRLSTITFLDLSYCGKIGAQALEAIGKHCKLLVTLCRNMHPLDAAGKLLQDDEANAIATTMPRLKRLEMAYHLISTGSVMNILASCPQLELLDLRGCWDVKLDSQLMKEKFPKLKVLGPFVMDYYEMDDWDDDCSDYSDNSEYLAWEFEMGDYDDYDIYDGMWHDDEGRFEELELRFYEGNGEEAGILGWPQSP >KJB58943 pep chromosome:Graimondii2_0_v6:9:18562618:18565862:-1 gene:B456_009G235100 transcript:KJB58943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQIEFRHWDELIPDALGLIFSNLSLQEVLTVIPSVCKSWRKAVTGPYCWQEVDIEEWSSRCQPHHLDRMLRMLITRSSGSLRKLCVSGLHNDSIFSFITENAGSLQTLRVRRSEMSDSVVERTAGRLSTITFLDLSYCGKIGAQALEAIGKHCKLLVTLCRNMHPLDAAGKLLQDDEANAIATTMPRLKRLEMAYHLISTGSVMNILASCPQLELLDLRGCWDVKLDSQLMKEKFPKLKVLGPFVMDYYEMDDWDDDCSDYSDNSEYLAWEFEMGDYDDYDIYDGMWHDDEGRFEELELRFYEGNGEEAGILGWPQSP >KJB58942 pep chromosome:Graimondii2_0_v6:9:18563152:18564337:-1 gene:B456_009G235100 transcript:KJB58942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQIEFRHWDELIPDALGLIFSNLSLQEVLTVIPSVCKSWRKAVTGPYCWQEVDIEEWSSRCQPHHLDRMLRMLITRSSGSLRKLCVSGLHNDSIFSFITENAGSLQTLRVRRSEMSDSVVERTAGRLSTITFLDLSYCGKIGAQALEAIGKHCKLLVTLCRNMHPLDAAGKLLQDDEANAIATTMPRLKRLEMAYHLISTGSVMNILASCPQLELLDLRGCWDVKLDSQLMKEKFPKLKVLGPFVMDYYEMDDWDDDCSDYSDNSEYLAWEFEMGDYDDYDIYDGMWHDDEGRFEELELRFYEGNGEEAGILGWPQSP >KJB58944 pep chromosome:Graimondii2_0_v6:9:18562618:18565578:-1 gene:B456_009G235100 transcript:KJB58944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQIEFRHWDELIPDALGLIFSNLSLQEVLTVIPSVCKSWRKAVTGPYCWQEVDIEEWSSRCQPHHLDRMLRMLITRSSGSLRKLCVSGLHNDSIFSFITENAGSLQTLRVRRSEMSDSVVERTAGRLSTITFLDLSYCGKIGAQALEAIGKHCKLLVTLCRNMHPLDAAGKLLQDDEANAIATTMPRLKRLEMAYHLISTGSVMNILASCPQLELLDLRGCWDVKLDSQLMKEKFPKLKVLGPFVMDYYEMDDWDDDCSDYSDNSEYLAWEFEMGDYDDYDIYDGMWHDDEGRFEELELRFYEGNGEEAGILGWPQSP >KJB61939 pep chromosome:Graimondii2_0_v6:9:53881421:53886655:1 gene:B456_009G392600 transcript:KJB61939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSWADSVANSASEIAVSDNSYVPRPTRSTYVPPHLRNRQASDAPNLPGPATERAAFTGTAGGSLGSRWSSGPPKPEMGRPGPGYGYSGAVAGRGGSGGGWNNRSRGWDRREREVNPFDDDVDDKTDDAEQAFSEQENTGINFDAYEDIPVETSGENVPPPVNTFAEIDLGDLLNQNIRRCKYVKPTPIQRHAIPIALAGRDLMACAQTGSGKTAAFCFPIISGIMREQYVQRPRVARTVYPLALILSPTRELSCQIHDEAKKFSYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARLSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPNRGVRQTMLFSATFPREIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEFVHESDKRSHLMDLLHAQRENGAHGKQALTLVFVETKKGADSLENWLCINGFPATTIHGDRTQQERELALRSFKTGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNLSLARPLAELMQEASQEVPAWLTRYASRAPYGGSKGRRSGGGRFGGRDFRRESSFGKNLDYYGGGGNSGSGYGVPSSNYGGGYAPGVTSAWD >KJB61940 pep chromosome:Graimondii2_0_v6:9:53881343:53886666:1 gene:B456_009G392600 transcript:KJB61940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSWADSVANSASEIAVSDNSYVPRPTRSTYVPPHLRNRQASDAPNLPGPATERAAFTGTAGGSLGSRWSSGPPKPEMGRPGPGYGYSGAVAGRGGSGGGWNNRSRGWDRREREVNPFDDDVDDKTDDAEQAFSEQENTGINFDAYEDIPVETSGENVPPPVNTFAEIDLGDLLNQNIRRCKYVKPTPIQRHAIPIALAGRDLMACAQTGSGKTAAFCFPIISGIMREQYVQRPRVARTVYPLALILSPTRELSCQIHDEAKKFSYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARLSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPNRGVRQTMLFSATFPREIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEFVHESDKRSHLMDLLHAQRENGAHGKQALTLVFVETKKGADSLENWLCINGFPATTIHGDRTQQERELALRSFKTGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNLSLARPLAELMQEASQEVPAWLTRYASRAPYGGSKGRRSGGGRFGGRDFRRESSFGKNLDYYGGGGNSGSGYGVPSSNYGGGYAPGVTSAWD >KJB57557 pep chromosome:Graimondii2_0_v6:9:13137872:13141679:-1 gene:B456_009G170400 transcript:KJB57557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATLLDRALFQLTPTRTRFDLVLFYKGKNEKLASGLFEPFICHLKFARDQISKGGYSITLQPPAPATPWFTKATFERFVRFVSTPAVLERFVTIESEILQIERSIQANELANADGKQGEDANGYTKKTNNGIIQEENSKVQLQRLLETRKALLWKEQAMTYARGLVAGFAMENMEHLISFADAFGATRLREACINFKELCKKKHADRHWMEELAAVEACSPAELPLLGTSGIVLANGISNPNLSVVPSFPINGASNGDHAPNESLEASKPDSTCAGALDRKKDENSPASDKTPSSTTIKYQVPVQWLNQMPQHMYNFQGQLPQYQGYPFHPMQPVPLPYPMNMQWPPNTNQKSSSKPKKKSANGKQLDYSGEERETESSGSDSGSESGSDVQQEDRRQSSPDPPYRKKNRKKSSRTVVIRNINYITPKRRSGEKDHVSDGSYSGEDDLIDADSLKQKVDDAVKLLKDSGKSNSSNNKRRGADKNDHTAEKSTDGSYQSDSNDLDVNAAEGGKRNGNWDALQNLLMKGEENASVNEVEWKQAEDVQEHFIARNLDSEISATTPLNFESQKVSIKRADLADSFVVTERDETNESRVKLDDFVNGERYHPVMKKGDCVEVDLLPTERSAESGNKLGDFISASANESAVIRCGKEEDWFAGNHHVKPENQHSANDHMLFNGDRVLSVESDHLYSQKSVKDVIVDDSFMVAARPAGDDQDDSQWKTDISMVADLTSHSKPDGTIDVSQDKHKIVDAEPIDLCMVLERNPGYESSRDSWTMDYQIELCFTETNKSAASKCDDDDVEKVPSNHKNTIAKQNEAKKPAKEARSKALNGPIGKIKAENVSRSKKPSIVSRSAIQKSKLEKVMVNMSSKY >KJB57554 pep chromosome:Graimondii2_0_v6:9:13137180:13142048:-1 gene:B456_009G170400 transcript:KJB57554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATLLDRALFQLTPTRTRFDLVLFYKGKNEKLASGLFEPFICHLKFARDQISKGGYSITLQPPAPATPWFTKATFERFVRFVSTPAVLERFVTIESEILQIERSIQANELANADGKQGEDANGYTKKTNNGIIQEENSKVQLQRLLETRKALLWKEQAMTYARGLVAGFAMENMEHLISFADAFGATRLREACINFKELCKKKHADRHWMEELAAVEACSPAELPLLGTSGIVLANGISNPNLSVVPSFPINGASNGDHAPNESLEASKPDSTCAGALDRKKDENSPASDKTPSSTTIKYQVPVQWLNQMPQHMYNFQGQLPQYQGYPFHPMQPVPLPYPMNMQWPPNTNQKSSSKPKKKSANGKQLDYSGEERETESSGSDSGSESGSDVQQEDRRQSSPDPPYRKKNRKKSSRTVVIRNINYITPKRRSGEKDHVSDGSYSGEDDLIDADSLKQKVDDAVKLLKDSGKSNSSNNKRRGADKNDHTAEKSTDGSYQSDSNDLDVNAAEGGKRNGNWDALQNLLMKGEENASVNEVEWKQAEDVQEHFIARNLDSEISATTPLNFESQKVSIKRADLADSFVVTERDETNESRVKLDDFVNGERYHPVMKKGDCVEVDLLPTERSAESGNKLGDFISASANESAVIRCGKEEDWFAGNHHVKPENQHSANDHMLFNGDRVLSVESDHLYSQKSVKDVIVDDSFMVAARPAGDDQDDSQWKTDISMVADLTSHSKPDGTIDVSQDKHKIVDAEPIDLCMVLERNPGYESSRDSWTMDYQIELCFTETNKSAASKCDDDDVEKVPSNHKNTIAKQNEAKKPAKEARSKALNGPIGKIKAENVSRSKKPSIVSRSAIQKSKLEKEEEMRRKMEELRIERQKRIAERTAASGYASPVSKKSPVSTKSDQKKNVSSAQASNRMNSIKLRAT >KJB57555 pep chromosome:Graimondii2_0_v6:9:13137185:13141873:-1 gene:B456_009G170400 transcript:KJB57555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYARGLVAGFAMENMEHLISFADAFGATRLREACINFKELCKKKHADRHWMEELAAVEACSPAELPLLGTSGIVLANGISNPNLSVVPSFPINGASNGDHAPNESLEASKPDSTCAGALDRKKDENSPASDKTPSSTTIKYQVPVQWLNQMPQHMYNFQGQLPQYQGYPFHPMQPVPLPYPMNMQWPPNTNQKSSSKPKKKSANGKQLDYSGEERETESSGSDSGSESGSDVQQEDRRQSSPDPPYRKKNRKKSSRTVVIRNINYITPKRRSGEKDHVSDGSYSGEDDLIDADSLKQKVDDAVKLLKDSGKSNSSNNKRRGADKNDHTAEKSTDGSYQSDSNDLDVNAAEGGKRNGNWDALQNLLMKGEENASVNEVEWKQAEDVQEHFIARNLDSEISATTPLNFESQKVSIKRADLADSFVVTERDETNESRVKLDDFVNGERYHPVMKKGDCVEVDLLPTERSAESGNKLGDFISASANESAVIRCGKEEDWFAGNHHVKPENQHSANDHMLFNGDRVLSVESDHLYSQKSVKDVIVDDSFMVAARPAGDDQDDSQWKTDISMVADLTSHSKPDGTIDVSQDKHKIVDAEPIDLCMVLERNPGYESSRDSWTMDYQIELCFTETNKSAASKCDDDDVEKVPSNHKNTIAKQNEAKKPAKEARSKALNGPIGKIKAENVSRSKKPSIVSRSAIQKSKLEKEEEMRRKMEELRIERQKRIAERTAASGYASPVSKKSPVSTKSDQKKNVSSAQASNRMNSIKLRAT >KJB57556 pep chromosome:Graimondii2_0_v6:9:13137185:13141873:-1 gene:B456_009G170400 transcript:KJB57556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATLLDRALFQLTPTRTRFDLVLFYKGKNEKLASGLFEPFICHLKFARDQISKGGYSITLQPPAPATPWFTKATFERFVRFVSTPAVLERFVTIESEILQIERSIQANELANADGKQGEDANGYTKKTNNGIIQEENSKVQLQRLLETRKALLWKEQAMTYARGLVAGFAMENMEHLISFADAFGATRLREACINFKELCKKKHADRHWMEELAAVEACSPAELPLLGTSGIVLANGISNPNLSVVPSFPINGASNGDHAPNESLEASKPDSTCAGALDRKKGISEAYTIFLAFSLEFNKIVIKDENSPASDKTPSSTTIKYQVPVQWLNQMPQHMYNFQGQLPQYQGYPFHPMQPVPLPYPMNMQWPPNTNQKSSSKPKKKSANGKQLDYSGEERETESSGSDSGSESGSDVQQEDRRQSSPDPPYRKKNRKKSSRTVVIRNINYITPKRRSGEKDHVSDGSYSGEDDLIDADSLKQKVDDAVKLLKDSGKSNSSNNKRRGADKNDHTAEKSTDGSYQSDSNDLDVNAAEGGKRNGNWDALQNLLMKGEENASVNEVEWKQAEDVQEHFIARNLDSEISATTPLNFESQKVSIKRADLADSFVVTERDETNESRVKLDDFVNGERYHPVMKKGDCVEVDLLPTERSAESGNKLGDFISASANESAVIRCGKEEDWFAGNHHVKPENQHSANDHMLFNGDRVLSVESDHLYSQKSVKDVIVDDSFMVAARPAGDDQDDSQWKTDISMVADLTSHSKPDGTIDVSQDKHKIVDAEPIDLCMVLERNPGYESSRDSWTMDYQIELCFTETNKSAASKCDDDDVEKVPSNHKNTIAKQNEAKKPAKEARSKALNGPIGKIKAENVSRSKKPSIVSRSAIQKSKLEKEEEMRRKMEELRIERQKRIAERTAASGYASPVSKKSPVSTKSDQKKNVSSAQASNRMNSIKLRAT >KJB54391 pep chromosome:Graimondii2_0_v6:9:5359371:5361196:-1 gene:B456_009G074800 transcript:KJB54391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKSAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRAALDLIFEEGLDNVIARHTRMGKATRLAVEAWGLKNCTQKEEWYSDTVTAVLVPPYIDSAEIVKRAWKRYNMSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNIPMIPSRI >KJB54392 pep chromosome:Graimondii2_0_v6:9:5359371:5362126:-1 gene:B456_009G074800 transcript:KJB54392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVYGPGKNHLFVPGPVNIPEPVLRAMNRNNEDYRSPAIPAMTKNLLEDVKKIFKTSTGTPFLIPTTGTGAWESALTNTLSPGDRTVSFLIGQFSLLWIDQQQRLNFNVDVVESEWGQGANLDILAEKLAADHSHTIKAICIVHNETATGVTNNLATVRKLLDHYRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKSAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRAALDLIFEEGLDNVIARHTRMGKATRLAVEAWGLKNCTQKEEWYSDTVTAVLVPPYIDSAEIVKRAWKRYNMSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNIPMIPSRI >KJB54390 pep chromosome:Graimondii2_0_v6:9:5359622:5361412:-1 gene:B456_009G074800 transcript:KJB54390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVYGPGKNHLFVPGPVNIPEPVLRAMNRNNEDYRSPAIPAMTKNLLEDVKKIFKTSTGTPFLIPTTGTGAWESALTNTLSPGDRTVSFLIGQFSLLWIDQQQRLNFNVDVVESEWGQGANLDILAEKLAADHSHTIKAICIVHNETATGVTNNLATVRKLLDHYRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKSAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRAALDLIFEEGLDNVIARHTRMGKATRLAVEAWGLKNCTQKEEWYSDTVTAVLVPPYIDSAEIVKRAWKRYNMSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNIPMIPSRI >KJB54393 pep chromosome:Graimondii2_0_v6:9:5359371:5362126:-1 gene:B456_009G074800 transcript:KJB54393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVYGPGKNHLFVPGPVNIPEPVLRAMNRNNEDYRSPAIPAMTKNLLEDVKKIFKTSTGTPFLIPTTDHYRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKSAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRAALDLIFEEGLDNVIARHTRMGKATRLAVEAWGLKNCTQKEEWYSDTVTAVLVPPYIDSAEIVKRAWKRYNMSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNIPMIPSRI >KJB54394 pep chromosome:Graimondii2_0_v6:9:5359367:5362273:-1 gene:B456_009G074800 transcript:KJB54394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVYGPGKNHLFVPGPVNIPEPVLRAMNRNNEDYRSPAIPAMTKNLLEDVKKIFKTSTGTPFLIPTTGTGAWESALTNTLSPGDRTVSFLIGQFSLLWIDQQQRLNFNVDVVESEWGQGANLDILAEKLAADHSHTIKAICIVHNETATGVTNNLATVRKLLDHYRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKSAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRAALDLIFEEGLDNVIARHTRMGKATRLAVEAWGLKNCTQKEEWYSDTVTAVLVPPYIDSAEIVKRAWKRYNMSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNIPMIPSRI >KJB54389 pep chromosome:Graimondii2_0_v6:9:5359371:5362252:-1 gene:B456_009G074800 transcript:KJB54389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVYGPGKNHLFVPGPVNIPEPVLRAMNRNNEDYRSPAIPAMTKNLLEDVKKIFKTSTGTPFLIPTTGTGAWESALTNTLSPGDRTVSFLIGQFSLLWIDQQQRLNFNVDVVESEWGQGANLDILAEKLAADHSHTIKAICIVHNETATGVTNNLATVRKLLDHYRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKSAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRAALDLIFEEGLDNVIARHTRMGKATRLAVEAWGLKNCTQKEEWYSDTVTAVLVPPYIDSAEIVKRAWKRYNMSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNIPMIPSRI >KJB53923 pep chromosome:Graimondii2_0_v6:9:943626:947311:1 gene:B456_009G011400 transcript:KJB53923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSSLELHSENQTETCPLLMERSDNFSEHAIDILTSSDTSSNLSRETTSNGLDVFQREDGPSSSTRVIGSQPSTSSSNGTNSRTPSVVRRGEARRRRSPLNSGLWISIELVLTVSQIVASVIVLALSRNEHPRTPLFAWIVGYASGCVATLPLLYWRYRHRNQASEQDSAQHRNTSRINVPAGPFSLSVTRTTDGADHHTATTSSRGGQSAGVTSARIKALVEYFKMVLDCFFAVWFVVGNVWIFGGHSSANEAPNMYRLCIVFLAISCIGYAMPFILCATICCCLPCIISVLGFREDLSQTRGATSESINALPTYKFKAKKSRNGDGREINLGAGEGGVVAAGTEKERVISGEDAICCICLAKYANNDELRELPCSHFMHKECVDKWLKINASCPLCKSEVGENLLDAISGTSATILSSLSGPNDNQRRGERRTGNSYTSSSSSSSSMF >KJB53921 pep chromosome:Graimondii2_0_v6:9:943396:947311:1 gene:B456_009G011400 transcript:KJB53921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSSLELHSENQTETCPLLMERSDNFSEHAIDILTSSDTSSNLSRETTSNGLDVFQREDGPSSSTRVIGSQPSTSSSNGTNSRTPSVVRRGEARRRRSPLNSGLWISIELVLTVSQIVASVIVLALSRNEHPRTPLFAWIVGYASGCVATLPLLYWRYRHRNQASEQDSAQHRNTSRINVPAGPFSLSVTRTTDGADHHTATTSSRGGQSAGVTSARIKALVEYFKMVLDCFFAVWFVVGNVWIFGGHSSANEAPNMYRLCIVFLAISCIGYAMPFILCATICCCLPCIISVLGFREDLSQTRGATSESINALPTYKFKAKKSRNGDGREINLGAGEGGVVAAGTEKERVISGEDAICCICLAKYANNDELRELPCSHFMHKECVDKWLKINASCPLCKSEVGENLLDAISGTSATILSSLSGPNDNQRRGERRTGNSYTSSSSSSSSMF >KJB53922 pep chromosome:Graimondii2_0_v6:9:943537:947311:1 gene:B456_009G011400 transcript:KJB53922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSSLELHSENQTETCPLLMERSDNFSEHAIDILTSSDTSSNLSRETTSNGLDVFQREDGPSSSTRVIGSQPSTSSSNGTNSRTPSVVRRGEARRRRSPLNSGLWISIELVLTVSQIVASVIVLALSRNEHPRTPLFAWIVGYASGCVATLPLLYWRYRHRNQASEQDSAQHRNTSRINVPAGPFSLSVTRTTDGADHHTATTSSRGGQSAGVTSARIKALVEYFKMVLDCFFAVWFVVGNVWIFGGHSSANEAPNMYRLCIVFLAISCIGYAMPFILCATICCCLPCIISVLGFREDLSQTRGATSESINALPTYKFKAKKSRNGDGREINLGAGEGGVVAAGTEKERVISGEDAICCICLAKYANNDELRELPCSHFMHKECVDKWLKINASCPLCKSEVGENLLDAISGTSATILSSLSGPNDNQRRGERRTGNSYTSSSSSSSSMF >KJB53926 pep chromosome:Graimondii2_0_v6:9:943537:947311:1 gene:B456_009G011400 transcript:KJB53926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSSLELHSENQTETCPLLMERSDNFSEHAIDILTSSDTSSNLSRETTSNGLDVFQREDGPSSSTRVIGSQPSTSSSNGTNSRTPSVVRRGEARRRRSPLNSGLWISIELVLTVSQIVASVIVLALSRNEHPRTPLFAWIVGYASGCVATLPLLYWRYRHRNQASEQDSAQHRNTSRINVPAGPFSLSVTRTTDGADHHTATTSSRGGQSAGVTSARIKALVEYFKMVLDCFFAVWFVVGNVWIFGGHSSANEAPNMYRLCIVFLAISCIGYAMPFILCATICCCLPCIISVLGFREDLSQTRGATSESINALPTYKFKAKKSRNGDGREINLGAGEGGVVAAGTEKERVISGEDAICCICLAKYANNDELRELPCSHFMHKECVDKWLKINASCPLCKSEVGENLLDAISGTSATILSSLSGPNDNQRRGERRTGNSYTSSSSSSSSMF >KJB53925 pep chromosome:Graimondii2_0_v6:9:945075:946509:1 gene:B456_009G011400 transcript:KJB53925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSSLELHSENQTETCPLLMERSDNFSEHAIDILTSSDTSSNLSRETTSNGLDVFQREDGPSSSTRVIGSQPSTSSSNGTNSRTPSVVRRGEARRRRSPLNSGLWISIELVLTVSQIVASVIVLALSRNEHPRTPLFAWIVGYASGCVATLPLLYWRYRHRNQASEQDSAQHRNTSRINVPAGPFSLSVTRTTDGADHHTATTSSRGGQSAGVTSARIKALVEYFKMVLDCFFAVWFVVGNVWIFGGHSSANEAPNMYRLCIVFLAISCIGYAMPFILCATICCCLPCIISVLGFREDLSQTRGATSESINALPTYKFKAKKSRNGDGREINLGAGEGGVVAAGTEKERVISGEDAVSVSFDYCLHNSVTCLTGPVNKKHRSLFAYFELKFMIEGIIDDVLAYLIFNIYILL >KJB53919 pep chromosome:Graimondii2_0_v6:9:943649:947311:1 gene:B456_009G011400 transcript:KJB53919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSSLELHSENQTETCPLLMERSDNFSEHAIDILTSSDTSSNLSRETTSNGLDVFQREDGPSSSTRVIGSQPSTSSSNGTNSRTPSVVRRGEARRRRSPLNSGLWISIELVLTVSQIVASVIVLALSRNEHPRTPLFAWIVGYASGCVATLPLLYWRYRHRNQASEQDSAQHRNTSRINVPAGPFSLSVTRTTDGADHHTATTSSRGGQSAGVTSARIKALVEYFKMVLDCFFAVWFVVGNVWIFGGHSSANEAPNMYRLCIVFLAISCIGYAMPFILCATICCCLPCIISVLGFREDLSQTRGATSESINALPTYKFKAKKSRNGDGREINLGAGEGGVVAAGTEKERVISGEDAICCICLAKYANNDELRELPCSHFMHKECVDKWLKINASCPLCKSEVGENLLDAISGTSATILSSLSGPNDNQRRGERRTGNSYTSSSSSSSSMF >KJB53924 pep chromosome:Graimondii2_0_v6:9:945075:946819:1 gene:B456_009G011400 transcript:KJB53924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSSLELHSENQTETCPLLMERSDNFSEHAIDILTSSDTSSNLSRETTSNGLDVFQREDGPSSSTRVIGSQPSTSSSNGTNSRTPSVVRRGEARRRRSPLNSGLWISIELVLTVSQIVASVIVLALSRNEHPRTPLFAWIVGYASGCVATLPLLYWRYRHRNQASEQDSAQHRNTSRINVPAGPFSLSVTRTTDGADHHTATTSSRGGQSAGVTSARIKALVEYFKMVLDCFFAVWFVVGNVWIFGGHSSANEAPNMYRLCIVFLAISCIGYAMPFILCATICCCLPCIISVLGFREDLSQTRGATSESINALPTYKFKAKKSRNGDGREINLGAGEGGVVAAGTEKERVISGEDAIRTDTTALLGFYTDLLHLFGEIRKQR >KJB53927 pep chromosome:Graimondii2_0_v6:9:943612:947311:1 gene:B456_009G011400 transcript:KJB53927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSSLELHSENQTETCPLLMERSDNFSEHAIDILTSSDTSSNLSRETTSNGLDVFQREDGPSSSTRVIGSQPSTSSSNGTNSRTPSVVRRGEARRRRSPLNSGLWISIELVLTVSQIVASVIVLALSRNEHPRTPLFAWIVGYASGCVATLPLLYWRYRHRNQASEQDSAQHRNTSRINVPAGPFSLSVTRTTDGADHHTATTSSRGGQSAGVTSARIKALVEYFKMVLDCFFAVWFVVGNVWIFGGHSSANEAPNMYRLCIVFLAISCIGYAMPFILCATICCCLPCIISVLGFREDLSQTRGATSESINALPTYKFKAKKSRNGDGREINLGAGEGGVVAAGTEKERVISGEDAICCICLAKYANNDELRELPCSHFMHKECVDKWLKINASCPLCKSEVGENLLDAISGTSATILSSLSGPNDNQRRGERRTGNSYTSSSSSSSSMF >KJB53920 pep chromosome:Graimondii2_0_v6:9:943649:947311:1 gene:B456_009G011400 transcript:KJB53920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSSLELHSENQTETCPLLMERSDNFSEHAIDILTSSDTSSNLSRETTSNGLDVFQREDGPSSSTRVIGSQPSTSSSNGTNSRTPSVVRRGEARRRRSPLNSGLWISIELVLTVSQIVASVIVLALSRNEHPRTPLFAWIVGYASGCVATLPLLYWRYRHRNQASEQDSAQHRNTSRINVPAGPFSLSVTRTTDGADHHTATTSSRGGQSAGVTSARIKALVEYFKMVLDCFFAVWFVVGNVWIFGGHSSANEAPNMYRLCIVFLAISCIGYAMPFILCATICCCLPCIISVLGFREDLSQTRGATSESINALPTYKFKAKKSRNGDGREINLGAGEGGVVAAGTEKERVISGEDAICCICLAKYANNDELRELPCSHFMHKECVDKWLKINASCPLCKSEVGENLLDAISGTSATILSSLSGPNDNQRRGERRTGNSYTSSSSSSSSMF >KJB58973 pep chromosome:Graimondii2_0_v6:9:18418737:18421025:1 gene:B456_009G233400 transcript:KJB58973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPQEIDDYMKRTIDDSLGLPISTESLQLKLRSSEETQRRLREQYLLLLSKLKEKDQIIERSKAEANMNAVALKRFVQENQKLAAECANLLSQCNKWEKECLLYDRDREALMDFGNEADERAKKAEIRVHELEEELGKLNEELRFYQHRYESQGIDSSSEGAIEEDNLLEPILAALICKIEVTSGRAFLEANTSLESCLRLLKMWNRLRPSTQKILTLAAEVKTLKKDKEHLRINLSKAEEEVKILFEENNILDEANKRLVRQSREEKNLHDSGRKHTGSASAKTNKRKSSPKVCSPIEKKINFTETDSTRKPLSPFRYNSPI >KJB60986 pep chromosome:Graimondii2_0_v6:9:35146001:35150182:1 gene:B456_009G334300 transcript:KJB60986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSGTPTIQYHNIPDQSGTAIAAASIPTFPRQVRHCFGDSSPGEFPLAANPSIVLHVLTACNLDPRDLAKLEATCSFFRRPANFTPDYELSISELAALDICQKRAIFKPMTDEECQNLKRKCGGSWKLALRFLLAGEACCRREKSQAIAGPAHSIAVTSTGVVYSFGSNTSGQLGHGTMEEEWQPQQIRLSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEAEFGVQGTKLVATPQLIESLRDIFVVQTAIGNFFTAVLSREGRVYTFSWGKDRKLGHQTEQNDVEPQPLLGALEDVPIVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRMDEKHPRLIEQFRLLNLQPMVVATGAWHAAVVARDGRVCTWGWGRYGCLGHGNEECESVPKVVEALIKVKAVHVATGDYTTFVLSEDGDVYSFGCGESASLGHNTAAEGQGNRHANVLSPELVKSLKQVKEKVVQISLTNSIHWNAHTFALTESGKLYAFGAGDKGQLGVELMNNQTERVNPERVDIDL >KJB60985 pep chromosome:Graimondii2_0_v6:9:35146001:35150182:1 gene:B456_009G334300 transcript:KJB60985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEECQNLKRKCGGSWKLALRFLLAGEACCRREKSQAIAGPAHSIAVTSTGVVYSFGSNTSGQLGHGTMEEEWQPQQIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEAEFGVQGTKLVATPQLIESLRDIFVVQTAIGNFFTAVLSREGRVYTFSWGKDRKLGHQTEQNDVEPQPLLGALEDVPIVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRMDEKHPRLIEQFRLLNLQPMVVATGAWHAAVVARDGRVCTWGWGRYGCLGHGNEECESVPKVVEALIKVKAVHVATGDYTTFVLSEDGDVYSFGCGESASLGHNTAAEGQGNRHANVLSPELVKSLKQVKEKVVQISLTNSIHWNAHTFALTESGKLYAFGAGDKGQLGVELMNNQTERVNPERVDIDL >KJB60984 pep chromosome:Graimondii2_0_v6:9:35145717:35150238:1 gene:B456_009G334300 transcript:KJB60984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSGTPTIQYHNIPDQSGTAIAAASIPTFPRQVRHCFGDSSPGEFPLAANPSIVLHVLTACNLDPRDLAKLEATCSFFRRPANFTPDYELSISELAALDICQKRAIFKPMTDEECQNLKRKCGGSWKLALRFLLAGEACCRREKSQAIAGPAHSIAVTSTGVVYSFGSNTSGQLGHGTMEEEWQPQQIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEAEFGVQGTKLVATPQLIESLRDIFVVQTAIGNFFTAVLSREGRVYTFSWGKDRKLGHQTEQNDVEPQPLLGALEDVPIVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRMDEKHPRLIEQFRLLNLQPMVVATGAWHAAVVARDGRVCTWGWGRYGCLGHGNEECESVPKVVEALIKVKAVHVATGDYTTFVLSEDGDVYSFGCGESASLGHNTAAEGQGNRHANVLSPELVKSLKQVKEKVVQISLTNSIHWNAHTFALTESGKLYAFGAGDKGQLGVELMNNQTERVNPERVDIDL >KJB60981 pep chromosome:Graimondii2_0_v6:9:35147318:35148508:1 gene:B456_009G334300 transcript:KJB60981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSGTPTIQYHNIPDQSGTAIAAASIPTFPRQVRHCFGDSSPGEFPLAANPSIVLHVLTACNLDPRDLAKLEATCSFFRRPANFTPDYELSISELAALDICQKRAIFKPMTDEECQNLKRKCGGSWKLALRFLLAGEACCRREKSQAIAGPAHSIAVTSTGVVYSFGSNTSGQLGHGTMEEEWQPQQIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEAEFGVQGTKLVATPQLIESLRDIFVVQTAIGNFFTAVLSREGRVYTFSWGKDRKLGHQTEQNDVEPQPLLGALEDVPIVQIAAGYCYLLCLACQPSGMLVSNYRFIGFLNSIFF >KJB60980 pep chromosome:Graimondii2_0_v6:9:35145717:35150238:1 gene:B456_009G334300 transcript:KJB60980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSGTPTIQYHNIPDQSGTAIAAASIPTFPRQVRHCFGDSSPGEFPLAANPSIVLHVLTACNLDPRDLAKLEATCSFFRRPANFTPDYELSISELAALDICQKRAIFKPMTDEECQNLKRKCGGSWKLALRFLLAGEACCRREKSQAIAGPAHSIAVTSTGVVYSFGSNTSGQLGHGTMEEEWQPQQIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEAEFGVQGTKLVATPQLIESLRDIFVVQTAIGNFFTAVLSREGRVYTFSWGKDRKLGHQTEQNDVEPQPLLGALEDVPIVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRMDEKHPRLIEQFRLLNLQPMVVATGAWHAAVVARDGRVCTWGWGRYGCLGHGNEECESVPKVVEALIKVKAVHVATGDYTTFVLSEDGDVYSFGCGESASLGHNTAAEGQGNRHANVLSPELVKSLKQVKEKVVQISLTNSIHWNAHTFALTESGKLYAFGAGDKGQLGVELMNNQTERVNPERVDIDL >KJB60982 pep chromosome:Graimondii2_0_v6:9:35145802:35150225:1 gene:B456_009G334300 transcript:KJB60982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSGTPTIQYHNIPDQSGTAIAAASIPTFPRQVRHCFGDSSPGEFPLAANPSIVLHVLTACNLDPRDLAKLEATCSFFRRPANFTPDYELSISELAALDICQKRAIFKPMTDEECQNLKRKCGGSWKLALRFLLAGEACCRREKSQAIAGPAHSIAVTSTGVVYSFGSNTSGQLGHGTMEEEWQPQQIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEAEFGVQGTKLVATPQLIESLRDIFVVQTAIGNFFTAVLSREGRVYTFSWGKDRKLGHQTEQNDVEPQPLLGALEDVPIVQIAAGYCYLLCLACQPSGMCFF >KJB60983 pep chromosome:Graimondii2_0_v6:9:35146001:35149513:1 gene:B456_009G334300 transcript:KJB60983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSGTPTIQYHNIPDQSGTAIAAASIPTFPRQVRHCFGDSSPGEFPLAANPSIVLHVLTACNLDPRDLAKLEATCSFFRRPANFTPDYELSISELAALDICQKRAIFKPMTDEECQNLKRKCGGSWKLALRFLLAGEACCRREKSQAIAGPAHSIAVTSTGVVYSFGSNTSGQLGHGTMEEEWQPQQIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEAEFGVQGTKLVATPQLIESLRDIFVVQTAIGNFFTAVLSREGRVYTFSWGKDRKLGHQTEQNDVEPQPLLGALEDVPIVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRMDEKHPRLIEQFRLLNLQPMVVATGAWHAAVVARDGRVCTWGWGRYGCLGHGNEECESVPKVVEALIKVKAVHVATGDYTTFVLSEDGDVYSFGCGESASLGHNTAAEGQV >KJB60987 pep chromosome:Graimondii2_0_v6:9:35146001:35150182:1 gene:B456_009G334300 transcript:KJB60987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEECQNLKRKCGGSWKLALRFLLAGEACCRREKSQAIAGPAHSIAVTSTGVVYSFGSNTSGQLGHGTMEEEWQPQQIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEAEFGVQGTKLVATPQLIESLRDIFVVQTAIGNFFTAVLSREGRVYTFSWGKDRKLGHQTEQNDVEPQPLLGALEDVPIVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRMDEKHPRLIEQFRLLNLQPMVVATGAWHAAVVARDGRVCTWGWGRYGCLGHGNEECESVPKVVEALIKVKAVHVATGDYTTFVLSEDGDVYSFGCGESASLGHNTAAEGQGNRHANVLSPELVKSLKQVKEKVVQISLTNSIHWNAHTFALTESGKLYAFGAGDKGQLGVELMNNQTERVNPERVDIDL >KJB61941 pep chromosome:Graimondii2_0_v6:9:53932303:53937391:1 gene:B456_009G392700 transcript:KJB61941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANSSPTDLTTDLDEQISQLMQCKPLSEQQVRALCDKAKEILMKESNVQPVRSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCKSHTFIQFEPAPRRGEPDVTRRTPDYFL >KJB61942 pep chromosome:Graimondii2_0_v6:9:53932349:53936801:1 gene:B456_009G392700 transcript:KJB61942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANSSPTDLTTDLDEQISQLMQCKPLSEQQVRALCDKAKEILMKESNVQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCKSHTFIQFEPAPRRGEPDVTRRTPDYFL >KJB55243 pep chromosome:Graimondii2_0_v6:9:4896225:4898499:-1 gene:B456_009G069000 transcript:KJB55243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRATKRKAMDGDGDGDQPKPQVQETLKRVTRSMAKQPHPESLPTKEKPKAKAKRQKKSANEDGAKAEAAPEELAVADGGSHNKTVVVEHCKQCNSFKTRAFQVRDGLEKGVPWVKVLLNPEKPRRGCFEIREEGGETFISLLDMKRPFKLMKELDMEKVISDIIEKIK >KJB57659 pep chromosome:Graimondii2_0_v6:9:13391592:13395389:1 gene:B456_009G173800 transcript:KJB57659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEREEENQLPSSQIEPTETSESPKQQEYEWPKIRFSVPPYRTYHFHNQFRTGPNPNNFLKGVKWSPDGSCFLTSSEDNTLRLFYLPDDGSADQITACSSVSEEDSYAAQLVVSEGESVYDFCWYPYMSASDPVTCVFATTTRDHPIHLWDATSGLLRCTYRAYDAVDEITAAFSVAFNPAGTKVFAGYNKSVRVFDVHRPGRDFVQYSTLQGNKEGQTGIISAIAFCPTHTGMLATGSYSQTTAIYREDNMELLYVLHGQEGGITHVQFSKDGNYLYTGARKDPYIMCWDIRKAVEVVYKLYRSSESTNQRIAFDIECSGRHLGTGGQDGLVHIYDLQTGQWATEDFKFSRTIMRICS >KJB57660 pep chromosome:Graimondii2_0_v6:9:13392043:13395389:1 gene:B456_009G173800 transcript:KJB57660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASDPVTCVFATTTRDHPIHLWDATSGLLRCTYRAYDAVDEITAAFSVAFNPAGTKVFAGYNKSVRVFDVHRPGRDFVQYSTLQGNKEGQTGIISAIAFCPTHTGMLATGSYSQTTAIYREDNMELLYVLHGQEGGITHVQFSKDGNYLYTGARKDPYIMCWDIRKAVEVVYKLYRSSESTNQRIAFDIECSGRHLGTGGQDGLVHIYDLQTGQWVSGFQAAADTVNGFSFHPFLPMAASSSGHRRFQILEDDNEDLQLRGEENCASVWSFSYDVTAGEQP >KJB57658 pep chromosome:Graimondii2_0_v6:9:13391592:13395389:1 gene:B456_009G173800 transcript:KJB57658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEREEENQLPSSQIEPTETSESPKQQEYEWPKIRFSVPPYRTYHFHNQFRTGPNPNNFLKGVKWSPDGSCFLTSSEDNTLRLFYLPDDGSADQITACSSVSEEDSYAAQLVVSEGESVYDFCWYPYMSASVTCVFATTTRDHPIHLWDATSGLLRCTYRAYDAVDEITAAFSVAFNPAGTKVFAGYNKSVRVFDVHRPGRDFVQYSTLQGNKEGQTGIISAIAFCPTHTGMLATGSYSQTTAIYREDNMELLYVLHGQEGGITHVQFSKDGNYLYTGARKDPYIMCWDIRKAVEVVYKLYRSSESTNQRIAFDIECSGRHLGTGGQDGLVHIYDLQTGQWVSGFQAAADTVNGFSFHPFLPMAASSSGHRRFQILEDDNEDLQLRGEENCASVWSFSYDVTAGEQP >KJB57657 pep chromosome:Graimondii2_0_v6:9:13391536:13395412:1 gene:B456_009G173800 transcript:KJB57657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEREEENQLPSSQIEPTETSESPKQQEYEWPKIRFSVPPYRTYHFHNQFRTGPNPNNFLKGVKWSPDGSCFLTSSEDNTLRLFYLPDDGSADQITACSSVSEEDSYAAQLVVSEGESVYDFCWYPYMSASDPVTCVFATTTRDHPIHLWDATSGLLRCTYRAYDAVDEITAAFSVAFNPAGTKVFAGYNKSVRVFDVHRPGRDFVQYSTLQGNKEGQTGIISAIAFCPTHTGMLATGSYSQTTAIYREDNMELLYVLHGQEGGITHVQFSKDGNYLYTGARKDPYIMCWDIRKAVEVVYKLYRSSESTNQRIAFDIECSGRHLGTGGQDGLVHIYDLQTGQWVSGFQAAADTVNGFSFHPFLPMAASSSGHRRFQILEDDNEDLQLRGEENCASVWSFSYDVTAGEQP >KJB63210 pep chromosome:Graimondii2_0_v6:9:8391042:8399569:-1 gene:B456_009G114300 transcript:KJB63210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLFRLTSLRSRKTPVGITSSWSIFRQKWNGTEEYDHIRAEVNCPRCSNQMPVLFSNRPLSITAREPGLFQALNLCRNCKTAFYFRPFKLVPLQGSFVELGRIKGDAEGISRKDPGGIRKLNDDCDGDKDDVSGRLERELPTPKEIYGRLDEFVIGQQKAKKVLSVAVYNHYKRIYHSSEKNEASELGDNDEHVANDFVELDKSNVLLIGPTGSGKTLLAKTLARIVNVPVVIADATSLTQASYVGEDVESILYKLLVEAKFDVEAAQHGIVYIDEVDKITKKAESSNMGRDVSGEGVQQALLKMLEGTIVNIPMPDKGARRNSHGDSFQIDTKDILFICGGAFNDLEKTISERRQDSSIGFGAPVRENMRTSPLITAAVASSLLESVESDDLIAYGLIPEFIGRFPILVGLSALDEDQLVEVLMKPKNALGKQYKKMFNMNGVKLYFTETALRLISKKAMAKNTGARGLRAILESILTEAMFEIPNSKMESHRVNAVLVDEEALGSVDERGCGAKILYAEDEMDRFLAKEKH >KJB63208 pep chromosome:Graimondii2_0_v6:9:8393069:8399219:-1 gene:B456_009G114300 transcript:KJB63208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLFRLTSLRSRKTPVGITSSWSIFRQKWNGTEEYDHIRAEVNCPRCSNQMPVLFSNRPLSITAREPGLFQALNLCRNCKTAFYFRPFKLVPLQGSFVELGRIKGDAEGISRKDPGGIRKLNDDCDGDKDDVSGRLERELPTPKEIYGRLDEFVIGQQKAKKVLSVAVYNHYKRIYHSSEKNEASELGDNDEHVANDFVELDKSNVLLIGPTGSGKTLLAKTLARIVNVPVVIADATSLTQASYVGEDVESILYKLLVEAKFDVEAAQHGIVYIDEVDKITKKAESSNMGRDVSGEGVQQALLKMLEGTIVNIPMPDKGARRNSHGDSFQIDTKDILFICGGAFNDLEKTISERRQDSSIGFGAPVRENMRTSPLITAAVASSLLESVESDDLIAYGLIPEFIGRFPILVGLSALDEDQLVEVLMKPKNALGKQYKKMFNMNGVSFLSFSTVGQETLLPFSVHPFLSFLLVF >KJB63211 pep chromosome:Graimondii2_0_v6:9:8393069:8399219:-1 gene:B456_009G114300 transcript:KJB63211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLFRLTSLRSRKTPVGITSSWSIFRQKWNGTEEYDHIRAEVNCPRCSNQMPVLFSNRPLSITAREPGLFQALNLCRNCKTAFYFRPFKLVPLQGSFVELGRIKGDAEGISRKDPGGIRKLNDDCDGDKDDVSGRLERELPTPKEIYGRLDEFVIGQQKAKKVLSVAVYNHYKRIYHSSEKNEASELGDNDEHVANDFVELDKSNVLLIGPTGSGKTLLAKTLARIVNVPVVIADATSLTQASYVGEDVESILYKLLVEAKFDVEAAQHGIVYIDEVDKITKKAESSNMGRDVSGEGVQQALLKMLEGTIVNIPMPDKGARRNSHGDSFQIDTKDILFICGGAFNDLEKTISERRQDSSIGFGAPVRENMRTSPLITAAVASSLLESVESDDLIAYGLIPEFIGRFPILVGLSALDEDQLVEVLMKPKNALGKQYKKMFNMNGVSFLSFSTVGQETLLPFSVHPFLSFLLVF >KJB63207 pep chromosome:Graimondii2_0_v6:9:8392339:8399569:-1 gene:B456_009G114300 transcript:KJB63207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLFRLTSLRSRKTPVGITSSWSIFRQKWNGTEEYDHIRAEVNCPRCSNQMPVLFSNRPLSITAREPGLFQALNLCRNCKTAFYFRPFKLVPLQGSFVELGRIKGDAEGISRKDPGGIRKLNDDCDGDKDDVSGRLERELPTPKEIYGRLDEFVIGQQKAKKVLSVAVYNHYKRIYHSSEKNEASELGDNDEHVANDFVELDKSNVLLIGPTGSGKTLLAKTLARIVNVPVVIADATSLTQASYVGEDVESILYKLLVEAKFDVEAAQHGIVYIDEVDKITKKAESSNMGRDVSGEGVQQALLKMLEGTIVNIPMPDKGARRNSHGDSFQIDTKDILFICGGAFNDLEKTISERRQDSSIGFGAPVRENMRTSPLITAAVASSLLESVESDDLIAYGLIPEFIGRFPILVGLSALDEDQLVEVLMKPKNALGKQYKKMFNMNGLFRSNYILLKLH >KJB63206 pep chromosome:Graimondii2_0_v6:9:8390984:8399581:-1 gene:B456_009G114300 transcript:KJB63206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLFRLTSLRSRKTPVGITSSWSIFRQKWNGTEEYDHIRAEVNCPRCSNQMPVLFSNRPLSITAREPGLFQALNLCRNCKTAFYFRPFKLVPLQGSFVELGRIKGDAEGISRKDPGGIRKLNDDCDGDKDDVSGRLERELPTPKEIYGRLDEFVIGQQKAKKVLSVAVYNHYKRIYHSSEKNEASELGDNDEHVANDFVELDKSNVLLIGPTGSGKTLLAKTLARIVNVPVVIADATSLTQASYVGEDVESILYKLLVEAKFDVEAAQHGIVYIDEVDKITKKAESSNMGRDVSGEGVQQALLKMLEGTIVNIPMPDKGARRNSHGDSFQIDTKDILFICGGAFNDLEKTISERRQDSSIGFGAPVRENMRTSPLITAAVASSLLESVESDDLIAYGLIPEFIGRFPILVGLSALDEDQLVEVLMKPKNALGKQYKKMFNMNGVKLYFTETALRLISKKAMAKNTGARGLRAILESILTEAMFEIPNSKMESHRVNAVLVDEEALGSVDERGCGAKILYAEDEMDRFLAKEKH >KJB63209 pep chromosome:Graimondii2_0_v6:9:8391042:8399569:-1 gene:B456_009G114300 transcript:KJB63209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLFRLTSLRSRKTPVGITSSWSIFRQKWNGTEEYDHIRAEVNCPRCSNQMPVLFSNRPLSITAREPGLFQALNLCRNCKTAFYFRPFKLVPLQGSFVELGRIKGDAEGISRKDPGGIRKLNDDCDGDKDDVSGRLERELPTPKEIYGRLDEFVIGQQKAKKVLSVAVYNHYKRIYHSSEKNEASELGDNDEHVANDFVELDKSNVLLIGPTGSGKTLLAKTLARIVNVPVVIADATSLTQAGYVGEDVESILYKLLVEAKFDVEAAQHGIVYIDEVDKITKKAESSNMGRDVSGEGVQQALLKMLEGTIVNIPMPDKGARRNSHGDSFQIDTKDILFICGGAFNDLEKTISERRQDSSIGFGAPVRENMRTSPLITAAVASSLLESVESDDLIAYGLIPEFIGRFPILVGLSALDEDQLVEVLMKPKNALGKQYKKMFNMNGVKLYFTETALRLISKKAMAKNTGARGLRAILESILTEAMFEIPNSKMESHRVNAVLVDEEALGSVDERGCGAKILYAEDEMDRFLAKEKH >KJB57440 pep chromosome:Graimondii2_0_v6:9:12579531:12580163:-1 gene:B456_009G164200 transcript:KJB57440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCCCCDDDCECRPLGFLLGLPFAFLSLLISMVGIIVWIVGLVLTCICPCCLCVTIIVEFALCLIKAPVLVMKWFTSKIPC >KJB59780 pep chromosome:Graimondii2_0_v6:9:22694258:22698519:-1 gene:B456_009G271500 transcript:KJB59780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVSLPSAYLTPRLTIDKLFFGPLLPISTSTKHNWDLNRSGKSRFCTIKSRLTTRTKESPSTNPDTLLREPHKYFDQVIITVRSGDGGHGAVLTMPSQQQATKWQGKHENKEKSKKKLSYKRDFDGSLILPVGGHGGDVVIYADEGKDTLLELHKKSRHNAKRGGNVAAMGVLTSQLRDGLAAPTLRIPVPVGTVVKRKRGKLLADLAQPGDEVLVARGGQGGISLIEMPEYRRKKLMSLTTNVMRDESDKVLVLGQPGEEVSLELILRVVADVGLIGLPNAGKSTLLAAITLAKPDIADYPFTTLMPNLGRLDGDPDLGPGQYSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDASADDPLNDYITVREELRMYNPNYLERPYVVVLNKIDLPKARDKLPHLTEEILKIGSDIVHSELGMSSRDEVQSLPTEGGEATTLSPAISVEDKMDKGIEDYPRPAAVVGVSVLKGIRVNDMLKEIRAALRKCRDSNDALELSARSRE >KJB55119 pep chromosome:Graimondii2_0_v6:9:4548229:4555809:-1 gene:B456_009G063800 transcript:KJB55119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEGNIEASNKAVEEDNGPKGLYYCFWGPIYWFRMLAMETHWSFVFAVVSTYGISQGLGGALARVGTEYYMKDVQKVQPSESQVYTGITSIPWIVKPIWGLLTDVIPIRGYRRRPYFILSGLLGLVSMLIISLHGKLHLVFALMALTAGSAGVAIADVTVDACVAQNSSKIPSLAADMQSLCSLMSAIGALIGFSISGVFVHLIGPKGVFGLLTIPAALVFSVGIVLFEPHDANFAYKEVSKKFLDAGKAMWRTLKCPEVWRPCLYMYLSFALSLNINEGLFYWYTDSKEGPLFSQETIGYIFSFGAVGALLGAILYQNILKDHPFRDLLFWIQLFYGLAGMLDLILVLRINLKFGIPDSVFVVIGEAVTQMIGRLKWMPLLVLSAKLCPSGIEGTFFALLMSIDNFGLLSSSWGGGLLLHTLNVTRTKFGSLWLAILIRNIFRLSPLGVLFLIPRGDPNSSILPTEMLGSEDETVAEESNNIELVSLVNSVDGLTFHHPHYQRSGFFRRQTSLFSSLSLREYIAMGSQTPASIDRTRTYWTPTMERYFIDLMLEQMHRGNRIGHTFTKQAWTDMLAVFNANFGSQYDKDVLKSRYTNLWKQFNDVKNLLGQNGFSWDESRQMVVADDYVWNAYIKAYPDARSYKTKAVLNFNDLCLIYGYTTADGRYSRSSHDLDFDDEVQGLNMGDAMGSLPSTNNERPRTEWNAEMDQYFIELMLDQAGKGNKVDNTFNKQAWTDMLALFNAKFGPQHGKRVLRHRYKKLWKYYSDVKVILNQNGFTWDESQLVITADNAVWDAYIKAHPHARTYRMKTLPNFNDLGLIYGEAIDEGSLNNLTQECDISRATAADRKGSMNCDRTRTFWTPPMDRYLIDLLLDQVHRGNKLGQTFLTQAWIDMVTSFNVKFGSHYDKDVLKNRYKHLRRLYNDIKILLEQSGFSWDETRDMVIAEDSVWNAYIKMLDHTELKLCQAITNCV >KJB55120 pep chromosome:Graimondii2_0_v6:9:4548721:4555809:-1 gene:B456_009G063800 transcript:KJB55120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEGNIEASNKAVEEDNGPKGLYYCFWGPIYWFRMLAMETHWSFVFAVVSTYGISQGLGGALARVGTEYYMKDVQKVQPSESQVYTGITSIPWIVKPIWGLLTDVIPIRGYRRRPYFILSGLLGLVSMLIISLHGKLHLVFALMALTAGSAGVAIADVTVDACVAQNSSKIPSLAADMQSLCSLMSAIGALIGFSISGVFVHLIGPKGVFGLLTIPAALVFSVGIVLFEPHDANFAYKEVSKKFLDAGKAMWRTLKCPEVWRPCLYMYLSFALSLNINEGLFYWYTDSKEGPLFSQETIGYIFSFGAVGALLGAILYQNILKDHPFRDLLFWIQLFYGLAGMLDLILVLRINLKFGIPDSVFVVIGEAVTQMIGRLKWMPLLVLSAKLCPSGIEGTFFALLMSIDNFGLLSSSWGGGLLLHTLNVTRTKFGSLWLAILIRNIFRLSPLGVLFLIPRGDPNSSILPTEMLGSEDETVAEESNNIELVSLVNSVDGLTFHHPHYQRSGFFRRQTSLFSSLSLREYIAMGSQTPASIDRTRTYWTPTMERYFIDLMLEQMHRGNRIGHTFTKQAWTDMLAVFNANFGSQYDKDVLKSRYTNLWKQFNDVKNLLGQNGFSWDESRQMVVADDYVWNAYIKAYPDARSYKTKAVLNFNDLCLIYGYTTADGRYSRSSHDLDFDDEVQGLNMGDAMGSLPSTNNERPRTEWNAEMDQYFIELMLDQAGKGNKVDNTFNKQAWTDMLALFNAKFGPQHGKRVLRHRYKKLWKYYSDVKVILNQNGFTWDESQLVITADNAVWDAYIKAHPHARTYRMKTLPNFNDLGLIYGEAIDEGSLNNLTQECDISRATAADRKGSMNCDRTRTFWTPPMDRYLIDLLLDQVHRGNKLGQTFLTQAWIDMVTSFNVKFGSHYDKDVLKNRYKHLRRLYNDIKILLEQSGFSWDETRDMVIAEDSVWNAYIKSHPDARSYRVKTVPSYHKLRVIFGQENCEVRYNRLTQKVGTDDDSTVLMTSNGNEKNDHLPGGIHHLELEWTTPMEQFFMGLLLEQVREQNKIAQAFNEQAWVHMVESFNEKFRLQLDKNILENHYICLLKQYNDICDLLNHSGFLWDNDKKMVVANNDVWEVYVKEHPDAVSYRDKVLGSYGDLCKIFRDEVLEGIPCGQVLGVGTDCFASEVVLDRACGNLQTPSGDINLSDQQMKRSIVTPQLERASKAQKTEQEMQKMLSKMAGAVTRLASKNGNKNYSTIESAVDALQALPDMDDELLLDACDLLEDERKAKTFLALDITLRKKWLLRKLRS >KJB60532 pep chromosome:Graimondii2_0_v6:9:28909684:28913885:1 gene:B456_009G310800 transcript:KJB60532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYQISPPKEKEGKLPFLVKFKVRLSVTPFFSYIFPFPFLLSPHFSLYKKRRGKPRKMAKPQLLFLFSFSLLCLISFSAAASSITADLRLTDSSFPSVHAKKLIRELNLFPKGEVNVVDEHRVSLPEGPKLVEKRFKFPTLEVPGGVSFEDLGHHAGYYKLPNSHDARMFYFFFESRNSKKDPVVIWLTGGPGCSSELALFYENGPFTIADNMSLVWNEYGWDKASNLLYVDQPIGTGFSYSSDQRDIRHNEDEVSNDLYDFLQAFFAEHPEFAKNDFFITGESYAGHYIPAFAARVHRGNKAKEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMGVIKKSDHDRINKLVPICEMAIKLCGTDGTISCMASYFVCNNIFNGIMALAGDTNYYDVRKKCEGSLCYDFSNMESFLNKKSVRDALGVGNIDFVSCSPTVYQAMLVDWMRNLEVGIPVLLEDGIKLLVYAGEYDLICNWLGNSRWVHAMEWSGQKEFVASPEVPFIVDGAEAGVLKTHGALGFLKVHDAGHMVPMDQPKAALEMLKRWTKGTLSDASDSEKLVAEM >KJB60535 pep chromosome:Graimondii2_0_v6:9:28909901:28913064:1 gene:B456_009G310800 transcript:KJB60535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQLLFLFSFSLLCLISFSAAASSITADLRLTDSSFPSVHAKKLIRELNLFPKGEVNVVDEHRVSLPEGPKLVEKRFKFPTLEVPGGVSFEDLGHHAGYYKLPNSHDARMFYFFFESRNSKKDPVVIWLTGGPGCSSELALFYENGPFTIADNMSLVWNEYGWDKASNLLYVDQPIGTGFSYSSDQRDIRHNEDEVSNDLYDFLQAFFAEHPEFAKNDFFITGESYAGHYIPAFAARVHRGNKAKEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMGVIKKSDHDRINKLVPICEMAIKLCGTDGTISCMASYFVCNNIFNGIMALAGDTNYYDVRKKCEGSLCYDFSNMESFLNKKSVRDALGVGNIDFVSCSPTVYQAMLVDWMRNLEVGIPVLLEDGIKLLVYAGEYDLICNWLGKYFLFHVLGLRAYLRYLDSGRNVRHECLI >KJB60534 pep chromosome:Graimondii2_0_v6:9:28909860:28913877:1 gene:B456_009G310800 transcript:KJB60534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQLLFLFSFSLLCLISFSAAASSITADLRLTDSSFPSVHAKKLIRELNLFPKGEVNVVDEHRVSLPEGPKLVEKRFKFPTLEVPGGVSFEDLGHHAGYYKLPNSHDARMFYFFFESRNSKKDPVVIWLTGGPGCSSELALFYENGPFTIADNMSLVWNEYGWDKASNLLYVDQPIGTGFSYSSDQRDIRHNEDEVSNDLYDFLQAFFAEHPEFAKNDFFITGESYAGHYIPAFAARVHRGNKAKEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMGVIKKSDHDRINKLVPICEMAIKLCGTDGTISCMASYFVCNNIFNGIMALAGDTNYYDVRKKCEGSLCYDFSNMESFLNKKSVRDALGVGNIDFVSCSPTVYQAMLVDWMRNLEVGIPVLLEDGIKLLVYAGEYDLICNWLGKYFLFHVLGLRAYLRYLDSGRNVRHECLI >KJB60536 pep chromosome:Graimondii2_0_v6:9:28909860:28914209:1 gene:B456_009G310800 transcript:KJB60536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQLLFLFSFSLLCLISFSAAASSITADLRLTDSSFPSVHAKKLIRELNLFPKGEVNVVDEHRVSLPEGPKLVEKRFKFPTLEVPGGVSFEDLGHHAGYYKLPNSHDARMFYFFFESRNSKKDPVVIWLTGGPGCSSELALFYENGPFTIADNMSLVWNEYGWDKASNLLYVDQPIGTGFSYSSDQRDIRHNEDEVSNDLYDFLQAFFAEHPEFAKNDFFITGESYAGHYIPAFAARVHRGNKAKEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMGVIKKSDHDRINKLVPICEMAIKLCGTDGTISCMASYFVCNNIFNGIMALAGDTNYYDVRKKCEGSLCYDFSNMESFLNKKSVRDALGVGNIDFVSCSPTVYQAMLVDWMRNLEVGIPVLLEDGIKLLVYAGEYDLICNWLGNSRWVHAMEWSGQKEFVASPEVPFIVDGAEAGVLKTHGALGFLKVHDAGHMVPMDQPKAALEMLKRWTKGTLSDASDSEKLVAEISYWSRWNNGKEIGRTCNNKLCSI >KJB60533 pep chromosome:Graimondii2_0_v6:9:28909860:28913870:1 gene:B456_009G310800 transcript:KJB60533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQLLFLFSFSLLCLISFSAAASSITADLRLTDSSFPSVHAKKLIRELNLFPKGEVNVVDEHRVSLPEGPKLVEKRFKFPTLEVPGGVSFEDLGHHAGYYKLPNSHDARMFYFFFESRNSKKDPVVIWLTGGPGCSSELALFYENGPFTIADNMSLVWNEYGWDKASNLLYVDQPIGTGFSYSSDQRDIRHNEDEVSNDLYDFLQAFFAEHPEFAKNDFFITGESYAGHYIPAFAARVHRGNKAKEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMGVIKKSDHDRINKLVPICEMAIKLCGTDGTISCMASYFVCNNIFNGIMALAGDTNYYDVRKKCEGSLCYDFSNMESFLNKKSVRDALGVGNIDFVSCSPTVYQAMLVDWMRNLEVGNSRWVHAMEWSGQKEFVASPEVPFIVDGAEAGVLKTHGALGFLKVISLPTCLFNL >KJB54056 pep chromosome:Graimondii2_0_v6:9:1452399:1453348:1 gene:B456_009G018700 transcript:KJB54056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLQRSTTSFRRQGSSGLVWDDKYLSGDLNQMRANGNHQENKENRGSSHGMMRRSRSDGGSGSMYRTTKAASPNVDPPSPKVSGCGFCGVFGKPEAAKKRRSNKRKS >KJB61827 pep chromosome:Graimondii2_0_v6:9:52082606:52082963:1 gene:B456_009G383800 transcript:KJB61827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKPKVLTSEMILIMLKITEHKLNETNYLDWSKMVRIYLQSIDKDDHLNNEPPTDDTRQVWLREDAQLFLHIRNSIDSEIISLITTVTLLRS >KJB58921 pep chromosome:Graimondii2_0_v6:9:18502199:18504203:-1 gene:B456_009G234500 transcript:KJB58921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLCTHVPALFLLLLLLLLLSAPCNAGILRTCKFDAIYQLGDSISDTGNLIREHPFSPFARLPYGETFFKHATGRCSNGLLIIDFLALSAGIPFLQPYLNSNALFTRGRGVNFAVAGSTALPVETLADNGVVAPVTNSSLSRQLDWMFTHFNGICHDEDDCLEKLKTALFIVGEIGGNDYNYALFQGKSFDQVRSMMPLVIQAIKDAVTRVVGYGATRVIVPGNFPIGCFPVHLTVFRSNDSDAYDGFNCLKGLNNLSSHHNGLLKQAIKELRKELPHATILYGDYYNGYMRLLNKAKFLACCGIGGDYNMELNKMCGAAEVGVCKNPDEHISWDGVHLTQKAYQLISGWLIHGVYWKLRCGV >KJB58922 pep chromosome:Graimondii2_0_v6:9:18502315:18503154:-1 gene:B456_009G234500 transcript:KJB58922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILMFCLIDCLEKLKTALFIVGEIGGNDYNYALFQGKSFDQVRSMMPLVIQAIKDAVTRVVGYGATRVIVPGNFPIGCFPVHLTVFRSNDSDAYDGFNCLKGLNNLSSHHNGLLKQAIKELRKELPHATILYGDYYNGYMRLLNKAKFLGLDPNSTQKACCGIGGDYNMELNKMCGAAEVGVCKNPDEHISWDGVHLTQKAYQLISGWLIHGVYWKLRCGV >KJB58920 pep chromosome:Graimondii2_0_v6:9:18502130:18504331:-1 gene:B456_009G234500 transcript:KJB58920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLCTHVPALFLLLLLLLLLSAPCNAGILRTCKFDAIYQLGDSISDTGNLIREHPFSPFARLPYGETFFKHATGRCSNGLLIIDFLALSAGIPFLQPYLNSNALFTRGRGVNFAVAGSTALPVETLADNGVVAPVTNSSLSRQLDWMFTHFNGICHDEDDCLEKLKTALFIVGEIGGNDYNYALFQGKSFDQVRSMMPLVIQAIKDAVTRVVGYGATRVIVPGNFPIGCFPVHLTVFRSNDSDAYDGFNCLKGLNNLSSHHNGLLKQAIKELRKELPHATILYGDYYNGYMRLLNKAKFLGLDPNSTQKACCGIGGDYNMELNKMCGAAEVGVCKNPDEHISWDGVHLTQKAYQLISGWLIHGVYWKLRCGV >KJB58923 pep chromosome:Graimondii2_0_v6:9:18502199:18504203:-1 gene:B456_009G234500 transcript:KJB58923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLCTHVPALFLLLLLLLLLSAPCNAGILRTCKFDAIYQLGDSISDTGNLIREHPFSPFARLPYGETFFKHATGRCSNGLLIIDFLDCLEKLKTALFIVGEIGGNDYNYALFQGKSFDQVRSMMPLVIQAIKDAVTRVVGYGATRVIVPGNFPIGCFPVHLTVFRSNDSDAYDGFNCLKGLNNLSSHHNGLLKQAIKELRKELPHATILYGDYYNGYMRLLNKAKFLGLDPNSTQKACCGIGGDYNMELNKMCGAAEVGVCKNPDEHISWDGVHLTQKAYQLISGWLIHGVYWKLRCGV >KJB57087 pep chromosome:Graimondii2_0_v6:9:11208529:11209414:-1 gene:B456_009G147600 transcript:KJB57087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIHPQHVPYVPFTTSTEETFTIWMKSLILSGKGCTVFDSNGRIVYRVDNYNRKYCDEVYLMDSTGKVLFTIRRKKFRLIKFWEGFRTFSGRVNDEDKNPGFEVRKSCRILRCDSICEVIVRLHGDQPCQHYRIESCPGKSTFKIVDKLGRLITEVKRKQSKSGVSLGEDVLTMVVEPHIDHSLVMGLVVVYSLINGTIL >KJB53401 pep chromosome:Graimondii2_0_v6:9:69420709:69421693:-1 gene:B456_009G4429001 transcript:KJB53401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHLEKQNRDVLQKYLKEMISTLPKENCWGFPEDQYQYQGFWFTPPFLQGALSAQQQFQAQPTDIILCSSPRTGTAWLKSLTFAAITRTSYNDSTTPLLSKLPHDVVPFMEFDHAQFSTNRHLGIPLLATHLPYSFLPRSIIDSGCKIIYICRDPKDTFVSLYHFIARHCKSQNAQPIQLDEAFELFYEGVSPYGPYWDHVLGYWKASLEHPDKLMFLKYEELVEDTVLYLKKTAEFMGYPFSSEEQQQGVPENIVQLCSFDNLSGLEVNKTGRHCRGQGNWEMENNIFFRKGK >KJB53400 pep chromosome:Graimondii2_0_v6:9:69420183:69421693:-1 gene:B456_009G4429001 transcript:KJB53400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHLEKQNRDVLQKYLKEMISTLPKENCWGFPEDQYQYQGFWFTPPFLQGALSAQQQFQAQPTDIILCSSPRTGTAWLKSLTFAAITRTSYNDSTTPLLSKLPHDVVPFMEFDHAQFSTNRHLGIPLLATHLPYSFLPRSIIDSGCKIIYICRDPKDTFVSLYHFIARHCKSQNAQPIQLDEAFELFYEGVSPYGPYWDHVLGYWKASLEHPDKLMFLKYEELVEDTVLYLKKTAEFMGYPFSSEEQQQGVPENIVQLCSFDNLSGLEVNKTGRHCRGQGNWEMENNIFFRKGKVGDWKNYLTTEMAQRLDQRTLQKLSGSGLSL >KJB53665 pep chromosome:Graimondii2_0_v6:9:214584:215588:-1 gene:B456_009G001900 transcript:KJB53665 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLA2-ALPHA [Source:Projected from Arabidopsis thaliana (AT2G06925) UniProtKB/TrEMBL;Acc:A0A178VVH8] MRTPHHLFTLALFFTFSSVSVEALNVGIQAADTAISVSKECSRTCESEFCSVPPFLRYGKYCGLLYSGCPGEKPCDGLDACCMKHDDCVQAMNMQMTI >KJB53663 pep chromosome:Graimondii2_0_v6:9:213490:215889:-1 gene:B456_009G001900 transcript:KJB53663 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLA2-ALPHA [Source:Projected from Arabidopsis thaliana (AT2G06925) UniProtKB/TrEMBL;Acc:A0A178VVH8] MRTPHHLFTLALFFTFSSVSVEALNVGIQAADTAISVSKECSRTCESEFCSVPPFLRYGKYCGLLYSGCPGEKPCDGLDACCMKHDDCVQAMNNDYLSQKCSQSFIKCIKDFQKGGERSFKGNKCQVDQVIDVISVVMKAALIAGGVLHKP >KJB53664 pep chromosome:Graimondii2_0_v6:9:214080:215774:-1 gene:B456_009G001900 transcript:KJB53664 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLA2-ALPHA [Source:Projected from Arabidopsis thaliana (AT2G06925) UniProtKB/TrEMBL;Acc:A0A178VVH8] MRTPHHLFTLALFFTFSSVSVEALNVGIQAADTAISSKECSRTCESEFCSVPPFLRYGKYCGLLYSGCPGEKPCDGLDACCMKHDDCVQAMNNDYLSQKCSQSFIKCIKDFQKGGERSFKGNKCQVDQVIDVISVVMKAALIAGGVLHKP >KJB53666 pep chromosome:Graimondii2_0_v6:9:215016:215588:-1 gene:B456_009G001900 transcript:KJB53666 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLA2-ALPHA [Source:Projected from Arabidopsis thaliana (AT2G06925) UniProtKB/TrEMBL;Acc:A0A178VVH8] MRTPHHLFTLALFFTFSSVSVEALNVGIQAADTAISVSKECSRTCESEFCSVPPFLRYGKYCGLLYSGCPGEKPCDGLDACCMKHDDCVQAMNSMLPPFPFSFFLSIPIALTAIAFNLNHYLLFSFIFIQP >KJB57895 pep chromosome:Graimondii2_0_v6:9:14265410:14271443:-1 gene:B456_009G185500 transcript:KJB57895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQFINFVIRPPRADYNPEQYLWEKEFTLAGRQFKRQDLELTNARGHKLRCSHYLPSPFPEETPLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHERDDLKIVVSYLRSERQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQPHHSDLIFKSYAGDKNVIKFDGDHNSSRPQFYYDSVSIFFFNVLRPPQISSACSSKLEKYYDLGDLKVGAGMDESLLYEIITGIETESTNVPSSSSAAPRVLMTKPVSELVSEVAPLARLDPMLTRNIRPDSDEQSNSQDKPNGQSDECCSYTSSNRESWGRCSSLGGSDEESSADCTAANNGNQEKKKQKKQKKRDSTTPKPKHEKLEKLEALSKRLRLCILKRVSHRRQGST >KJB57900 pep chromosome:Graimondii2_0_v6:9:14265585:14270633:-1 gene:B456_009G185500 transcript:KJB57900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQFINFVIRPPRADYNPEQYLWEKEFTLAGRQFKRQDLELTNARGHKLRCSHYLPSPFPEETPLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHERDDLKIVVSYLRSERQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQPHHSDLIFKSYAGDKNVIKFDGDHNSSRPQFYYDSVSIFFFNVLRPPQISSACSSKLEKYYDLGDLKVGAGMDESLLYEIITGIETESTNVPSSSSAAPRVLMTKPVSELVSEVAPLARLDPMLTRNIRPDSDEQSNSQDKPNGQSDECCSYTSSNRESWGRCSSLGGSDEESSADCTAANNGNQVTLDVLATPLKSTQQKSSGPPKEEKKKQKKQKKRDSTTPKPKHEKLEKLEALSKRLRLCILKRVSHRRQGST >KJB57901 pep chromosome:Graimondii2_0_v6:9:14265410:14271443:-1 gene:B456_009G185500 transcript:KJB57901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQFINFVIRPPRADYNPEQYLWEKEFTLAGRQFKRQDLELTNARGHKLRCSHYLPSPFPEETPLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHERDDLKIVVSYLRSERQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQPHHSDLIFKSYAGDKNVIKFDGDHNSSRPQFYYDSVSIFFFNVLRPPQISSACSSKLEKYYDLGDLKVGAGMDEDPMLTRNIRPDSDEQSNSQDKPNGQSDECCSYTSSNRESWGRCSSLGGSDEESSADCTAANNGNQVTLDVLATPLKSTQQKSSGPPKEEKKKQKKQKKRDSTTPKPKHEKLEKLEALSKRLRLCILKRVSHRRQGST >KJB57899 pep chromosome:Graimondii2_0_v6:9:14265410:14271443:-1 gene:B456_009G185500 transcript:KJB57899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQFINFVIRPPRADYNPEQYLWEKEFTLAGRQFKRQDLELTNARGHKLRCSHYLPSPFPEETPLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHERDDLKIVVSYLRSERQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQPHHSDLIFKSYAGDKNVIKFDGDHNSSRPQFYYDSVSIFFFNVLRPPQISSACSSKLEKYYDLGDLKVGAGMDESLLYEIITGIETESTNVPSSSSAAPRVLMTKPVSELVSEVAPLARLDPMLTRNIRPDSDEQSNSQGRVGSSFIEFLLDALSS >KJB57896 pep chromosome:Graimondii2_0_v6:9:14265410:14271443:-1 gene:B456_009G185500 transcript:KJB57896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQFINFVIRPPRADYNPEQYLWEKEFTLAGRQFKRQDLELTNARGHKLRCSHYLPSPFPEETPLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHERDDLKIVVSYLRSERQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQPHHSDLIFKSYAGDKNVIKFDGDHNSSRPQFYYDSVSIFFFNVLRPPQISSACSSKLEKYYDLGDLKVGAGMDESLLYEIITGIETESTNVPSSSSAAPRVLMTKPVSELVSEVAPLARLDPMLTRNIRPDSDEQSNSQDKPNGQSDECCSYTSSNRESWGRCSSLGGSDEESSADCTAANNGNQVTLDVLATPLKSTQQKSSGPPKEEKKKQKKQKKRDSTTPKPKHEKLEKLEALSKRLRLCILKRVSHRRQGST >KJB57903 pep chromosome:Graimondii2_0_v6:9:14265959:14271443:-1 gene:B456_009G185500 transcript:KJB57903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQFINFVIRPPRADYNPEQYLWEKEFTLAGRQFKRQDLELTNARGHKLRCSHYLPSPFPEETPLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHERDDLKIVVSYLRSERQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQPHHSDLIFKSYAGDKNVIKFDGDHNSSRPQFYYDSVSIFFFNVLRPPQISSACSSKLEKYYDLGDLKVGAGMDESLLYEIITGIETESTNVPSSSSAAPRVLMTKPVSELVSEVAPLARLDPMLTRNIRPDSDEQSNSQDKPNGQSDECCSYTSSNRESWGRCSSLGGSDEESSADCTAANNGNQVALRLCLL >KJB57902 pep chromosome:Graimondii2_0_v6:9:14265585:14270633:-1 gene:B456_009G185500 transcript:KJB57902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQFINFVIRPPRADYNPEQYLWEKEFTLAGRQFKRQDLELTNARGHKLRCSHYLPSPFPEETPLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHERDDLKIVVSYLRSERQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQPHHSDLIFKSYAGDKNVIKFDGDHNSSRPQFYYDSVSIFFFNVLRPPQISSACSSKLEKYYDLGDLKVGAGMDESLLYEIITGIETESTNVPSSSSAAPRVLMTKPVSELVSEVAPLARLDPMLTRNIRPDSDEQSNSQDKPNGQSDECCSYTSSNRESWGRCSSLGGSDEESSADCTAANNGNQVTLDVLATPLKSTQQKSSGPPKEEKKKQKKQKKRDSTTPKPKHEKLEKLEALSKRLRLCILKRVSHRRQGST >KJB57898 pep chromosome:Graimondii2_0_v6:9:14266610:14270633:-1 gene:B456_009G185500 transcript:KJB57898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQFINFVIRPPRADYNPEQYLWEKEFTLAGRQFKRQDLELTNARGHKLRCSHYLPSPFPEETPLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHERDDLKIVVSYLRSERQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQPHHSDLIFKSYAGDKNVIKFDGDHNSSRPQFYYDSVSIFFFNVLRPPQISSACSSKLEKYYDLGDLKVGAGMDESLLYEIITGIETESTNVPSSSSAAPRVLMTKPVSELVSEVAPLARLVVFHFTLFLVFVCLLI >KJB57897 pep chromosome:Graimondii2_0_v6:9:14265585:14270633:-1 gene:B456_009G185500 transcript:KJB57897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQFINFVIRPPRADYNPEQYLWEKEFTLAGRQFKRQDLELTNARGHKLRCSHYLPSPFPEETPLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHERDDLKIVVSYLRSERQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQPHHSDLIFKSYAVIYRLKILTFSWMYWLILEVFNHAYSMQGDKNVIKFDGDHNSSRPQFYYDSVSIFFFNVLRPPQISSACSSKLEKYYDLGDLKVGAGMDESLLYEIITGIETESTNVPSSSSAAPRVLMTKPVSELVSEVAPLARLDPMLTRNIRPDSDEQSNSQDKPNGQSDECCSYTSSNRESWGRCSSLGGSDEESSADCTAANNGNQVTLDVLATPLKSTQQKSSGPPKEEKKKQKKQKKRDSTTPKPKHEKLEKLEALSKRLRLCILKRVSHRRQGST >KJB56408 pep chromosome:Graimondii2_0_v6:9:8778016:8782168:1 gene:B456_009G118800 transcript:KJB56408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSSSVFISNVSESHPFLHTHSLPSPPSSSSSSPFLIKGPNKRIESLKFNAETSHSHGFRLHALSREVPDEGEGQPQPLTLNVGFSFVSEEDSLSVSQGDSDQNGSTEKDDDKLTKVETSVVAPHSSGATGGTRAGLFRTPISGGVQSATSAHGLPRPSLAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFVPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLPEHQQEWAHKQYIAKHQQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWVDVKEYEAVKPDKIAVDGGEQNLKELNATFSKPLRKLLSKEAEVDDAALISIDSKGIDIRVRQGAQFNIQRLSFEEGQTVETLEEAKAALWNVIKKGQVQNLKK >KJB56409 pep chromosome:Graimondii2_0_v6:9:8778151:8782090:1 gene:B456_009G118800 transcript:KJB56409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSSSVFISNVSESHPFLHTHSLPSPPSSSSSSPFLIKGPNKRIESLKFNAETSHSHGFRLHALSREVPDEGEGQPQPLTLNVGFSFVSEEDSLSVSQRLVGCIKWLLQLGIDSLSYILKGDSDQNGSTEKDDDKLTKVETSVVAPHSSGATGGTRAGLFRTPISGGVQSATSAHGLPRPSLAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFVPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLPEHQQEWAHKQYIAKHQQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWVDVKEYEAVKPDKIAVDGGEQNLKELNATFSKPLRKLLSKEAEVDDAALISIDSKGIDIRVRQGAQFNIQRLSFEEGQTVETLEEAKAALWNVIKKGQVQNLKK >KJB58614 pep chromosome:Graimondii2_0_v6:9:16963941:16964973:1 gene:B456_009G218200 transcript:KJB58614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKSRMAREKHVEKNKAAKGSQLESNKKAMTIQCKVCMQTFICTTSEVKCREHAESKHPKSDIYACFPHLKK >KJB60842 pep chromosome:Graimondii2_0_v6:9:41787632:41792660:-1 gene:B456_009G345900 transcript:KJB60842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MLMVFSISSQSRADLLTLGLAVTNVLTGLVWLSIRPKSITPVGPQGVECQEIYSHLPESVVSEILWVWESLSAVTCCKSLVIVYDCQCIVQIGVAAKSLNIDEPFAVDAAKLMQGSLYQGVLKSGAQSYMANLSLYPGRSELPFLPSNTQAVILQPLGDRGIAVLGGDTIRGFTTSDQTWISYIGEKLDATLAKYMSSMPSVVQE >KJB60843 pep chromosome:Graimondii2_0_v6:9:41789257:41793661:-1 gene:B456_009G345900 transcript:KJB60843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MEAGRILQIGIPWITWRSPPRLPNFPRYICASSIQRGSYKGPKPGRDWIADWVSKNDDAVRSMPIYVGGASLLAVLFNRAISGIAPVADASSSQSRADLLTLGLAVTNVLTGLVWLSIRPKSITPVGPQGVECQEIYSHLPESVVSEILWVWESLSAVTCCKSLVIVYDCQCIVQIGVAAKSLNIDEPFAVDAAKLMQGSLYQGVLKSGAQSYMANLSLYPGRSELPFLPSNTQAVILQPLGDRGIAVLGGDTIRGFTTSDQTWISYIGEKLDATLAKYMSSMPSVVQE >KJB60846 pep chromosome:Graimondii2_0_v6:9:41789257:41792620:-1 gene:B456_009G345900 transcript:KJB60846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MLMVFSISSQSRADLLTLGLAVTNVLTGLVWLSIRPKSITPVGPQGVECQEIYSHLPESVVSEILWVWESLSAVTCCKSLVIVYDCQCIVQIGVAAKSLNIDEPFAVDAAKLMQGSLYQGVLKSGAQSYMANLSLYPGRSELPFLPSNTQAVILQPLGDRGIAVLGGDTIRGFTTSDQTWISYIGEKLDATLAKYMSSMPSVVQE >KJB60844 pep chromosome:Graimondii2_0_v6:9:41787632:41793743:-1 gene:B456_009G345900 transcript:KJB60844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MEAGRILQIGIPWITWRSPPRLPNFPRYICASSIQRGSYKGPKPGRDWIADWVSKNDDAVRSMPIYVGGASLLAVLFNRAISGIAPVADASSSQSRADLLTLGLAVTNVLTGLVWLSIRPKSITPVGPQGVECQEIYSHLPESVVSEILWVWESLSAVTCCKSLVIVYDCQCIVQIGVAAKSLNIDEPFAVDAAKLMQGSLYQGVLKSGAQSYMANLSLYPGRSELPFLPSNTQ >KJB60849 pep chromosome:Graimondii2_0_v6:9:41790790:41793743:-1 gene:B456_009G345900 transcript:KJB60849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MEAGRILQIGIPWITWRSPPRLPNFPRYICASSIQRGSYKGPKPGRDWIADWVSKNDDAVRSMPIYVGGASLLAVLFNRAISGIAPVADASSSQSRADLLTLGLAVTNVLTGLVWLSIRPKSITPVGPQGVECQEIYSHLPESVVSEILWVWESLSAVTCCKSLVIVYDCQCIVQIGVAAKSLNIDEPFAVDAAKLMQGSLYQGVLKSGARKLLLSGFTIILSCCNYNSNDLFGKGF >KJB60848 pep chromosome:Graimondii2_0_v6:9:41790629:41793743:-1 gene:B456_009G345900 transcript:KJB60848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MEAGRILQIGIPWITWRSPPRLPNFPRYICASSIQRGSYKGPKPGRDWIADWVSKNDDAVRSMPIYVGGASLLAVLFNRAISGIAPVADASSSQSRADLLTLGLAVTNVLTGLVWLSIRPKSITPVGPQGVECQEIYSHLPESVVSEILWVWESLSAVTCCKSLVIVYDCQCIVQIGVAAKSLNIDEPFAVDAAKLMQGSLYQGVLKSGAQSYMANLSLYPGRSELPFLPSNTQVSK >KJB60845 pep chromosome:Graimondii2_0_v6:9:41789991:41793661:-1 gene:B456_009G345900 transcript:KJB60845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MEAGRILQIGIPWITWRSPPRLPNFPRYICASSIQRGSYKGPKPGRDWIADWVSKNDDAVRSMPIYVGGASLLAVLFNRAISGIAPVADASSSQSRADLLTLGLAVTNVLTGLVWLSIRPKSITPVGPQGVECQEIYSHLPESVVSEILWVWESLSAVTCCKSLVIVYDCQCIVQIGVAAKSLNIDEPFAVDAAKLMQGSLYQGVLKSGAQSYMANLSLYPGRSELPFLPSNTQ >KJB60847 pep chromosome:Graimondii2_0_v6:9:41787632:41793977:-1 gene:B456_009G345900 transcript:KJB60847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MEAGRILQIGIPWITWRSPPRLPNFPRYICASSIQRGSYKGPKPGRDWIADWVSKNDDAVRSMPIYVGGASLLAVLFNRAISGIAPVADASSSQSRADLLTLGLAVTNVLTGLVWLSIRPKSITPVGPQGVECQEIYSHLPESVVSEILWVWESLSAVTCCKSLVIVYDCQCIVQIGVAAKSLNIDEPFAVDAAKLMQGSLYQGVLKSGAQSYMANLSLYPGRSELPFLPSNTQAVILQPLGDRGIAVLGGDTIRGFTTSDQTWISYIGEKLDATLAKYMSSMPSVVQE >KJB60925 pep chromosome:Graimondii2_0_v6:9:34269456:34271434:1 gene:B456_009G331200 transcript:KJB60925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLNNSLISSSLSTHHHSSLVRNPSLRSSSHRHHTIQACTKIVILLLTITLLHRSSPDPRPSMKAKET >KJB60924 pep chromosome:Graimondii2_0_v6:9:34269456:34271434:1 gene:B456_009G331200 transcript:KJB60924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLNNSLISSSLSTHHHSSLVRNPSLRSSSHRHHTIQACTKIVILLLTITLLHRSSPDPRPSMKAKET >KJB59179 pep chromosome:Graimondii2_0_v6:9:19577736:19579542:1 gene:B456_009G244100 transcript:KJB59179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGIGGPLLTIGDLLSDVGEESSEAPDHHKKPDASLPPPSILDPVDASSQSLDLIKLFQENFEKLNEALAGSDHSWTALTLELCTALETANKLVQSTDTNVRSLSEKVRELEKIVKRGDSAITAARAISISLNQKGGSSVASENREEYGSPQ >KJB61389 pep chromosome:Graimondii2_0_v6:9:46354745:46361794:-1 gene:B456_009G355100 transcript:KJB61389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGNENGDTSFTNNLVGVAGVGSAVFFQQLRPFSYHDWRSIKRFLSSECPLIRAYGAIHFDATANISPEWKAFGSFYFMVPQVEFDELEGSSMLAITIAWDNALSWTWDEAIHSLETTMQQIASVVVKLKKEASGESILRKTHVPNKTHWDLAVKKALQEINTSSSELVKVVLARSSRILTATNIDPIAWLASLQVEGEDAYQFCLQPPNGPAFVGNTPERLFHRKWLSISSEALAATRARGESRALDLQIEHDLLSSPKDHLEFTVVRENIQNKLESVCDRVVVEPKKTVRKLRRIQHLYAQLSGNLRREDDEFEILSSLHPTPAVCGLPKEAARLFISETEMFDRGMYAGPVGWFGGGESEFAVGIRSALVEKDSGALIYAGAGIVEGSNPSSEWDELELKTSQCTKLLQLEVPRQSKVENLEIIY >KJB61391 pep chromosome:Graimondii2_0_v6:9:46356904:46361865:-1 gene:B456_009G355100 transcript:KJB61391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNEARRVVAWMNGCEGDPKLPIGSIETRTLTVVPSPSKAMERLSVAIRELKCSPLPLTSGILRLQVPIEEQIEAIEWLHAQHDNLPRCFFSGRRSRANGNGSNLLMDIGNENGDTSFTNNLVGVAGVGSAVFFQQLRPFSYHDWRSIKRFLSSECPLIRAYGAIHFDATANISPEWKAFGSFYFMVPQVEFDELEGSSMLAITIAWDNALSWTWDEAIHSLETTMQQIASVVVKLKKEASGESILRKTHVPNKTHWDLAVKKALQEINTSSSELVKVVLARSSRILTATNIDPIAWLASLQVEGEDAYQFCLQPPNGPAFVGNTSDYFTENG >KJB61392 pep chromosome:Graimondii2_0_v6:9:46356999:46361762:-1 gene:B456_009G355100 transcript:KJB61392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNEARRVVAWMNGCEGDPKLPIGSIETRTLTVVPSPSKAMERLSVAIRELKCSPLPLTSGILRLQVPIEEQIEAIEWLHAQHDNLPRCFFSGRRSRANGNGSNLLMDIGNENGDTSFTNNLVGVAGVGSAVFFQQLRPFSYHDWRSIKRFLSSECPLIRAYGAIHFDATANISPEWKAFGSFYFMVPQVEFDELEGSSMLAITIAWDNALSWTWDEAIHSLETTMQQIASVVVKLKKEASGESILRKTHVPNKTHWDLAVKKALQEINTSSSELVKVVLARSSRILTATNIDPIAWLASLQVEGEDAYQFCLQPPNGPAFVGNTPERLFHRKWLSISSEALAATRARGESRALDLQIEHDLLSSPKDHLEFTVVRENIQNKLENHLG >KJB61386 pep chromosome:Graimondii2_0_v6:9:46354702:46360462:-1 gene:B456_009G355100 transcript:KJB61386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGNENGDTSFTNNLVGVAGVGSAVFFQQLRPFSYHDWRSIKRFLSSECPLIRAYGAIHFDATANISPEWKAFGSFYFMVPQVEFDELEGSSMLAITIAWDNALSWTWDEAIHSLETTMQQIASVVVKLKKEASGESILRKTHVPNKTHWDLAVKKALQEINTSSSELVKVVLARSSRILTATNIDPIAWLASLQVEGEDAYQFCLQPPNGPAFVGNTPERLFHRKWLSISSEALAATRARGESRALDLQIEHDLLSSPKDHLEFTVVRENIQNKLESVCDRVVVEPKKTVRKLRRIQHLYAQLSGNLRREDDEFEILSSLHPTPAVCGLPKEAARLFISETEMFDRGMYAGPVGWFGGGESEFAVGIRSALVEKDSGALIYAGAGIVEGSNPSSEWDELELKTSQCTKLLQLEVPRQSKVENLEIIY >KJB61385 pep chromosome:Graimondii2_0_v6:9:46354687:46361903:-1 gene:B456_009G355100 transcript:KJB61385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEEKKNLETNNASVFILFPSHSFYLFPPSVSQRNSILSVFFLIYGKGMFGNEARRVVAWMNGCEGDPKLPIGSIETRTLTVVPSPSKAMERLSVAIRELKCSPLPLTSGILRLQVPIEEQIEAIEWLHAQHDNLPRCFFSGRRSRANGNGSNLLMDIGNENGDTSFTNNLVGVAGVGSAVFFQQLRPFSYHDWRSIKRFLSSECPLIRAYGAIHFDATANISPEWKAFGSFYFMVPQVEFDELEGSSMLAITIAWDNALSWTWDEAIHSLETTMQQIASVVVKLKKEASGESILRKTHVPNKTHWDLAVKKALQEINTSSSELVKVVLARSSRILTATNIDPIAWLASLQVEGEDAYQFCLQPPNGPAFVGNTPERLFHRKWLSISSEALAATRARGESRALDLQIEHDLLSSPKDHLEFTVVRENIQNKLESVCDRVVVEPKKTVRKLRRIQHLYAQLSGNLRREDDEFEILSSLHPTPAVCGLPKEAARLFISETEMFDRGMYAGPVGWFGGGESEFAVGIRSALVEKDSGALIYAGAGIVEGSNPSSEWDELELKTSQCTKLLQLEVPRQSKVENLEIIY >KJB61387 pep chromosome:Graimondii2_0_v6:9:46354925:46359303:-1 gene:B456_009G355100 transcript:KJB61387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQVEFDELEGSSMLAITIAWDNALSWTWDEAIHSLETTMQQIASVVVKLKKEASGESILRKTHVPNKTHWDLAVKKALQEINTSSSELVKVVLARSSRILTATNIDPIAWLASLQVEGEDAYQFCLQPPNGPAFVGNTPERLFHRKWLSISSEALAATRARGESRALDLQIEHDLLSSPKDHLEFTVVRENIQNKLESVCDRVVVEPKKTVRKLRRIQHLYAQLSGNLRREDDEFEILSSLHPTPAVCGLPKEAARLFISETEMFDRGMYAGPVGWFGGGESEFAVGIRSALVEKDSGALIYAGAGIVEGSNPSSEWDELELKTSQCTKLLQLEVPRQSKVENLEIIY >KJB61390 pep chromosome:Graimondii2_0_v6:9:46355251:46360462:-1 gene:B456_009G355100 transcript:KJB61390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGNENGDTSFTNNLVGVAGVGSAVFFQQLRPFSYHDWRSIKRFLSSECPLIRAYGAIHFDATANISPEWKAFGSFYFMVPQVEFDELEGSSMLAITIAWDNALSWTWDEAIHSLETTMQQIASVVVKLKKEASGESILRKTHVPNKTHWDLAVKKALQEINTSSSELVKVVLARSSRILTATNIDPIAWLASLQVEGEDAYQFCLQPPNGPAFVGNTPERLFHRKWLSISSEALAATRARGESRALDLQIEHDLLSSPKDHLEFTVVRENIQNKLESVCDRVVVEPKKTVRKLRRIQHLYAQLSGNLRREDDEFEILSSLHPTPAVCGLPKEAARLFISETEMFDRGMYAGPVGWFGGGESEFAVGIRSALVEKVS >KJB61388 pep chromosome:Graimondii2_0_v6:9:46354745:46360462:-1 gene:B456_009G355100 transcript:KJB61388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGNENGDTSFTNNLVGVAGVGSAVFFQQLRPFSYHDWRSIKRFLSSECPLIRAYGAIHFDATANISPEWKAFGSFYFMVPQVEFDELEGSSMLAITIAWDNALSWTWDEAIHSLETTMQQIASVVVKLKKEASGESILRKTHVPNKTHWDLAVKKALQEINTSSSELVKVVLARSSRILTATNIDPIAWLASLQVEGEDAYQFCLQPPNGPAFVGNTPERLFHRKWLSISSEALAATRARGESRALDLQIEHDLLSSPKDHLEFTVVRENIQNKLESVCDRVVVEPKKTVRKLRRIQHLYAQLSGNLRREDDEFEILSSLHPTPAVCGLPKEAARLFISETEMFDRGMYAGPVGWFGGGESEFAVGIRIPEH >KJB61960 pep chromosome:Graimondii2_0_v6:9:54355886:54357553:1 gene:B456_009G394100 transcript:KJB61960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLFSGSFSRFRSEEASPDHLVIEMTQSSCTSGGVNLDKFFEDVESIKDELKELERLNDDLSASHERSKTLHNAKAVKELRAKMDADVAMALKKAKLIKVRLEALDRSNASNRSLPGCGPGSSSDRTRTSVVNGLRKKLKDSMESFNGLREKISSEYRETVQRRYFTVTGENPDEKTLDLLISTDWCLCSDLKERVRVFCKKPFKNKGGAEFWTPSMRFKKGMMLLRIWRRISRNCIKFSWTWRCWFRLKESNWMISRAM >KJB61959 pep chromosome:Graimondii2_0_v6:9:54355703:54357568:1 gene:B456_009G394100 transcript:KJB61959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLFSGSFSRFRSEEASPDHLVIEMTQSSCTSGGVNLDKFFEDVESIKDELKELERLNDDLSASHERSKTLHNAKAVKELRAKMDADVAMALKKAKLIKVRLEALDRSNASNRSLPGCGPGSSSDRTRTSVVNGLRKKLKDSMESFNGLREKISSEYRETVQRRYFTVTGENPDEKTLDLLISTGESESFLQKAIQEQGRGRILDTINEIQERHDAAKDLEKNLKELHQVFLDMAVLVQAQGEQLDDIESHVNRANSFVRGGTERLQTARNYQKNTRKWTCYAIILLLVIVLFVVLFTVRPWENNGGGGGGGNNNPTSTPPAPPTA >KJB61811 pep chromosome:Graimondii2_0_v6:9:51926518:51930143:1 gene:B456_009G382700 transcript:KJB61811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVEPIVAIANCLGTSVCKYLQYHRKMNDYVRNFKRMRDELNCKMEDIELQLKAELLRPLGKMPKKGVENWLKDVKEMIREAQVVENEVRNGRYLCRACNGKLVDEKTREMKGFLDKAPNASEGLAMDGPSAGLLLPTSELVGGEAVRNEIWACLMQEEVSKIGVWGMGGVGKTTIMKHIHNDLLKQQRFERVIWVTISKEFNVMKVQDDIADALKLKEDWPREGDKLRRAAILSEMLKNAGKHVLILHDVWDKVSLEEVGIPEPSSSNGCKLVLTTRSENVCKYMGCKVIKVKPLSEEEAVILFLNKVGPNIVQSPTIMPTLKHVVNECAGLPLTIVAVAGTMKGEDNPLIWKNALRELKERIGKVEGVEAEVIERLKFSFDHLKDEKVKYCFLHCALYPEDFEIEKDKLIECWIDEGFIDDMGTRQEMKEKGQVILKKLEDNCLLENVSSEEMKMHDAVRDMALSITRMNPRYMIQAGLQLGELPENEQWSLDIEKVSLMHNSISEVSIDVLPTKCQLLTTLLLQHNPIKKIPYSFFINMPCLCVLNLSFTKIESLPNSISELKNLTTLLLRGCEELRGLPCLSMLQELKKLDLYGTEIEEVPEGMDMLIKLRYLDLQVFTLNEIPAGLLPKLVHLQHLSFAVDNEETSLKVEEMEPLKKLECLTGHFEDISEFNKFISSMQQSKKNLIKYYLQVGSCFKPAQIHPITDKTVTIGGVQSWEGELIMHPVEIQELNIVECDYLRNLVDDNSFFKNAIDLRVCRILWCEGIECLVPLSSSSCSSAHPFQSLEVLHLRDLPKLSALLMKDAGIGSATTSTSAPSATFSHLKRIEVCRCSSMKTLLPHWLLPNLQNLEEIWVAECYEIVEILGAETSEVEEKGSDALIKFHLPKLRELELWSLPNLKSICSKSGVMVCDSLQFIQVAGDCYKLKRIPPFVPLVGNGQPYAYAPPSLTIDSNTRWWESLEWDDHPNFKNILRSNPEEDKRYDPFMV >KJB61131 pep chromosome:Graimondii2_0_v6:9:40051449:40053848:1 gene:B456_009G342600 transcript:KJB61131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 14 [Source:Projected from Arabidopsis thaliana (AT1G71140) UniProtKB/Swiss-Prot;Acc:Q9C994] MARTYESLIDDKIEQGFLANEREGSDINSSLDLHEFIEETKRIGYITGPMVAVHFSQYFLQIISVVMVGHLGQLSLSSIAIAVSFGAVTGFSVLFGMSGALETLCGQAYGAQQYGKLGTHTYTAIFSLILACLPLTTLWVYMGKLFILIGQDPVISEEVGKLIIWLIPALYAYATLQPIIRFFQTQSLIMPLLVGSCSILCFHVLLCWGLVFKSGLGNQGAALAISISYWTNVISLGLYMMFSDTCSKTLAPITIDVFRGVREFFRLAIPSASMICLEWWSFELLIIFSGFLPNPQLETSVTSVCLATMSTLFPIPEEIGAAARDCKGIRMAGFGGLYQPCCILSLWNSSCCRIGILG >KJB61134 pep chromosome:Graimondii2_0_v6:9:40051479:40053171:1 gene:B456_009G342600 transcript:KJB61134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 14 [Source:Projected from Arabidopsis thaliana (AT1G71140) UniProtKB/Swiss-Prot;Acc:Q9C994] MARTYESLIDDKIEQGFLANEREGSDINSSLDLHEFIEETKRIGYITGPMVAVHFSQYFLQIISVVMVGHLGQLSLSSIAIAVSFGAVTGFSVLFGMSGALETLCGQAYGAQQYGKLGTHTYTAIFSLILACLPLTTLWVYMGKLFILIGQDPVISEEVGKLIIWLIPALYAYATLQPIIRFFQTQSLIMPLLVGSCSILCFHVLLCWGLVFKSGLGNQGAALAISISYWTNVISLGLYMMFSDTCSKTLAPITIDVFRGVREFFRLAIPSASMICLEWWSFELLIIFSGFLPNPQLETSVTSVCLATMSTLFPIPEEIGAAARYVITCTFNWTWHIYIFM >KJB61136 pep chromosome:Graimondii2_0_v6:9:40051467:40054295:1 gene:B456_009G342600 transcript:KJB61136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 14 [Source:Projected from Arabidopsis thaliana (AT1G71140) UniProtKB/Swiss-Prot;Acc:Q9C994] MARTYESLIDDKIEQGFLANEREGSDINSSLDLHEFIEETKRIGYITGPMVAVHFSQYFLQIISVVMVGHLGQLSLSSIAIAVSFGAVTGFSVLFGMSGALETLCGQAYGAQQYGKLGTHTYTAIFSLILACLPLTTLWVYMGKLFILIGQDPVISEEVGKLIIWLIPALYAYATLQPIIRFFQTQSLIMPLLVGSCSILCFHVLLCWGLVFKSGLGNQGAALAISISYWTNVISLGLYMMFSDTCSKTLAPITIDVFRGVREFFRLAIPSASMICLEWWSFELLIIFSGFLPNPQLETSVTSVCNHVNTISNTRGNRSCSKH >KJB61132 pep chromosome:Graimondii2_0_v6:9:40051449:40053848:1 gene:B456_009G342600 transcript:KJB61132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 14 [Source:Projected from Arabidopsis thaliana (AT1G71140) UniProtKB/Swiss-Prot;Acc:Q9C994] MARTYESLIDDKIEQGFLANEREGSDINSSLDLHEFIEETKRIGYITGPMVAVHFSQYFLQIISVVMVGHLGQLSLSSIAIAVSFGAVTGFSVLFGMSGALETLCGQAYGAQQYGKLGTHTYTAIFSLILACLPLTTLWVYMGKLFILIGQDPVISEEVGKLIIWLIPALYAYATLQPIIRFFQTQSLIMPLLVGSCSILCFHVLLCWGLVFKSGLGNQGAALAISISYWTNVISLGLYMMFSDTCSKTLAPITIDVFRGVREFFRLAIPSASMICLEWWSFELLIIFSGFLPNPQLETSVTSVCLATMSTLFPIPEEIGAAASTRVSNELGAAMTRKLSIMSQTGLLCYLCLLFWIVYKLSCQGLQGDQDGRIWGLISTLLHTIFVEFQLLSYWDFGLR >KJB61135 pep chromosome:Graimondii2_0_v6:9:40051467:40054133:1 gene:B456_009G342600 transcript:KJB61135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 14 [Source:Projected from Arabidopsis thaliana (AT1G71140) UniProtKB/Swiss-Prot;Acc:Q9C994] MARTYESLIDDKIEQGFLANEREGSDINSSLDLHEFIEETKRIGYITGPMVAVHFSQYFLQIISVVMVGHLGQLSLSSIAIAVSFGAVTGFSVLFGMSGALETLCGQAYGAQQYGKLGTHTYTAIFSLILACLPLTTLWVYMGKLFILIGQDPVISEEVGKLIIWLIPALYAYATLQPIIRFFQTQSLIMPLLVGSCSILCFHVLLCWGLVFKSGLGNQGAALAISISYWTNVISLGLYMMFSDTCSKTLAPITIDVFRGVREFFRLAIPSASMICLEWWSFELLIIFSGFLPNPQLETSVTSVCLATMSTLFPIPEEIGAAASTRVSNELGAGNPRSARIVVFTALLIALLESVTVGAALFFSRHVFGYVFSNDKEVIDYVTNRAPLLSLSVVLDSLQVVLSGIARGSGWQDLGAYINLAAYYLCGIPVAVVLGFWVKMRGKGLWIGLQVGSFLQVLLLSAITSCIDWHKQV >KJB61133 pep chromosome:Graimondii2_0_v6:9:40051467:40054133:1 gene:B456_009G342600 transcript:KJB61133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 14 [Source:Projected from Arabidopsis thaliana (AT1G71140) UniProtKB/Swiss-Prot;Acc:Q9C994] MARTYESLIDDKIEQGFLANEREGSDINSSLDLHEFIEETKRIGYITGPMVAVHFSQYFLQIISVVMVGHLGQLSLSSIAIAVSFGAVTGFSVLFGMSGALETLCGQAYGAQQYGKLGTHTYTAIFSLILACLPLTTLWVYMGKLFILIGQDPVISEEVGKLIIWLIPALYAYATLQPIIRFFQTQSLIMPLLVGSCSILCFHVLLCWGLVFKSGLGNQGAALAISISYWTNVISLGLYMMFSDTCSKTLAPITIDVFRGVREFFRLAIPSASMICLEWWSFELLIIFSGFLPNPQLETSVTSVCLATMSTLFPIPEEIGAAASTRVSNELGAGLLCYLCLLFWIVYKLSCQGLQGDQDGRIWGLISTLLHTIFVEFQLLSYWDFGLR >KJB55265 pep chromosome:Graimondii2_0_v6:9:4942101:4946505:-1 gene:B456_009G069800 transcript:KJB55265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGNGLLHPRGKSVIYSIKCPYQLSPSLYFEVFPWPGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRQADIRSREHLRKLKMK >KJB55263 pep chromosome:Graimondii2_0_v6:9:4943224:4948874:-1 gene:B456_009G069800 transcript:KJB55263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINEKEEAQKGSSPAELGKWAEIQDTLKKRVITVDDFPWRLASPSESEPQQQQLKYVGGVDVSFSKEEPSMACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRQADIRSREHLRKLKMK >KJB55268 pep chromosome:Graimondii2_0_v6:9:4942101:4946818:-1 gene:B456_009G069800 transcript:KJB55268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRQADIRSREHLRKLKMK >KJB55273 pep chromosome:Graimondii2_0_v6:9:4943224:4948569:-1 gene:B456_009G069800 transcript:KJB55273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRQADIRSREHLRKLKMK >KJB55266 pep chromosome:Graimondii2_0_v6:9:4942101:4946792:-1 gene:B456_009G069800 transcript:KJB55266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRQADIRSREHLRKLKMK >KJB55276 pep chromosome:Graimondii2_0_v6:9:4942709:4949045:-1 gene:B456_009G069800 transcript:KJB55276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINEKEEAQKGSSPAELGKWAEIQDTLKKRVITVDDFPWRLASPSESEPQQQQLKYVGGVDVSFSKEEPSMACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRQADIRSREHLRKLKMK >KJB55275 pep chromosome:Graimondii2_0_v6:9:4943262:4948874:-1 gene:B456_009G069800 transcript:KJB55275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINEKEEAQKGSSPAELGKWAEIQDTLKKRVITVDDFPWRLASPSESEPQQQQLKYVGGVDVSFSKEEPSMACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRLI >KJB55261 pep chromosome:Graimondii2_0_v6:9:4942101:4949045:-1 gene:B456_009G069800 transcript:KJB55261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINEKEEAQKGSSPAELGKWAEIQDTLKKRVITVDDFPWRLASPSESEPQQQQLKYVGGVDVSFSKEEPSMACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRQADIRSREHLRKLKMK >KJB55274 pep chromosome:Graimondii2_0_v6:9:4943224:4948569:-1 gene:B456_009G069800 transcript:KJB55274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRQADIRSREHLRKLKMK >KJB55267 pep chromosome:Graimondii2_0_v6:9:4942101:4947927:-1 gene:B456_009G069800 transcript:KJB55267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRQADIRSREHLRKLKMK >KJB55277 pep chromosome:Graimondii2_0_v6:9:4942063:4949061:-1 gene:B456_009G069800 transcript:KJB55277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINEKEEAQKGSSPAELGKWAEIQDTLKKRVITVDDFPWRLASPSESEPQQQQLKYVGGVDVSFSKEEPSMACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRLI >KJB55272 pep chromosome:Graimondii2_0_v6:9:4942101:4949045:-1 gene:B456_009G069800 transcript:KJB55272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINEKEEAQKGSSPAELGKWAEIQDTLKKRVITVDDFPWRLASPSESEPQQQQLKYVGGVDVSFSKEEPSMACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRLI >KJB55280 pep chromosome:Graimondii2_0_v6:9:4942678:4949045:-1 gene:B456_009G069800 transcript:KJB55280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINEKEEAQKGSSPAELGKWAEIQDTLKKRVITVDDFPWRLASPSESEPQQQQLKYVGGVDVSFSKEEPSMACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRQADIRSREHLRKLKMK >KJB55264 pep chromosome:Graimondii2_0_v6:9:4943262:4948874:-1 gene:B456_009G069800 transcript:KJB55264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINEKEEAQKGSSPAELGKWAEIQDTLKKRVITVDDFPWRLASPSESEPQQQQLKYVGGVDVSFSKEEPSMACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRLI >KJB55279 pep chromosome:Graimondii2_0_v6:9:4943224:4948874:-1 gene:B456_009G069800 transcript:KJB55279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINEKEEAQKGSSPAELGKWAEIQDTLKKRVITVDDFPWRLASPSESEPQQQQLKYVGGVDVSFSKEEPSMACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRQADIRSREHLRKLKMK >KJB55270 pep chromosome:Graimondii2_0_v6:9:4942063:4949061:-1 gene:B456_009G069800 transcript:KJB55270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINEKEEAQKGSSPAELGKWAEIQDTLKKRVITVDDFPWRLASPSESEPQQQQLKYVGGVDVSFSKEEPSMACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRQADIRSREHLRKLKMK >KJB55262 pep chromosome:Graimondii2_0_v6:9:4943262:4948874:-1 gene:B456_009G069800 transcript:KJB55262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINEKEEAQKGSSPAELGKWAEIQDTLKKRVITVDDFPWRLASPSESEPQQQQLKYVGGVDVSFSKEEPSMACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRLI >KJB55282 pep chromosome:Graimondii2_0_v6:9:4945844:4949045:-1 gene:B456_009G069800 transcript:KJB55282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINEKEEAQKGSSPAELGKWAEIQDTLKKRVITVDDFPWRLASPSESEPQQQQLKYVGGVDVSFSKEEPSMACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVVRS >KJB55269 pep chromosome:Graimondii2_0_v6:9:4943224:4948874:-1 gene:B456_009G069800 transcript:KJB55269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINEKEEAQKGSSPAELGKWAEIQDTLKKRVITVDDFPWRLASPSESEPQQQQLKYVGGVDVSFSKEEPSMACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRQADIRSREHLRKLKMK >KJB55278 pep chromosome:Graimondii2_0_v6:9:4943224:4948874:-1 gene:B456_009G069800 transcript:KJB55278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINEKEEAQKGSSPAELGKWAEIQDTLKKRVITVDDFPWRLASPSESEPQQQQLKYVGGVDVSFSKEEPSMACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRQADIRSREHLRKLKMK >KJB55271 pep chromosome:Graimondii2_0_v6:9:4942101:4949045:-1 gene:B456_009G069800 transcript:KJB55271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINEKEEAQKGSSPAELGKWAEIQDTLKKRVITVDDFPWRLASPSESEPQQQQLKYVGGVDVSFSKEEPSMACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRQADIRSREHLRKLKMK >KJB55281 pep chromosome:Graimondii2_0_v6:9:4943224:4948874:-1 gene:B456_009G069800 transcript:KJB55281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINEKEEAQKGSSPAELGKWAEIQDTLKKRVITVDDFPWRLASPSESEPQQQQLKYVGGVDVSFSKEEPSMACGSLVVLDLLHDLRLVYQEYTCLSLDIPYVPGFLAFREAPILLHLLAKMKKDASPFYPQVLMVDGNGLLHPRGFGLASHLGVIANIPTIGVGKNLHHVDGLTQSGVRKLLEAEENKAKGIITLRGNSGFIWGVAMRSEQGSLKPVFVSVGHRVSLETAIEIVNMTCKFRVPEPIRQADIRSREHLRKLKMK >KJB63203 pep chromosome:Graimondii2_0_v6:9:65386288:65387403:1 gene:B456_009G4199002 transcript:KJB63203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYY >KJB55227 pep chromosome:Graimondii2_0_v6:9:4937698:4941559:1 gene:B456_009G069700 transcript:KJB55227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVATASNNGWRWSFLFPHLSSSSSLRKATLPLPIKLRLHPFKANNNIVASSNAKQANLFASRTQRVKLPVYDDTFKDKGDGGQPYHISQFLSHPSGIQAILNTRALENFELLDTNAYRCTLPKLALFNFEASPVLDLRVIPTKEDCIVELFSCKIYTRPFILLPTSAVEGPGNIMIQALLDRLVPLLLQQLVQDYSNWVQLQKQLIN >KJB55231 pep chromosome:Graimondii2_0_v6:9:4937870:4941199:1 gene:B456_009G069700 transcript:KJB55231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVATASNNGWRWSFLFPHLSSSSSLRKATLPLPIKLRLHPFKANNNIVASSNAKQANLFASRTQRVKLPVYDDTFKDKGDGGQPYHISQFLSHPSGIQAILNTRALENFELLDTNAYRCTLPKLALFNFEASPVLDLRVIPTKEDCIVELFSCKFKGSEVVERQNDHFSATMINHITWDTNMSEPFLEVDVKLNLCLEIYTRPFILLPTSAVEGPGNM >KJB55236 pep chromosome:Graimondii2_0_v6:9:4937870:4941559:1 gene:B456_009G069700 transcript:KJB55236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVATASNNGWRWSFLFPHLSSSSSLRKATLPLPIKLRLHPFKANNNIVASSNAKQANLFASRTQRVKLPVYDDTFKDKGDGGQPYHISQFLSHPSGIQAILNTRALENFELLDTNAYRCTLPKLALFNFEASPVLDLRVIPTKEDCIVELFSCKFKGSEVVERQNDHFSDLHATFYFTANFSR >KJB55230 pep chromosome:Graimondii2_0_v6:9:4937870:4940702:1 gene:B456_009G069700 transcript:KJB55230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVATASNNGWRWSFLFPHLSSSSSLRKATLPLPIKLRLHPFKANNNIVASSNAKQANLFASRTQRVKLPVYDDTFKDKGDGGQPYHISQFLSHPSGIQAILNTRALENFELLDTNAYRCTLPKLALFNFEASPVLDLRVIPTKEDCIVELFSCKFKGSEVVERQNDHFSATMINHITWDTNMSEPFLEVDVKLNLCLEVVILLALKIMIVTWIFNFNSAP >KJB55233 pep chromosome:Graimondii2_0_v6:9:4937870:4942058:1 gene:B456_009G069700 transcript:KJB55233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVATASNNGWRWSFLFPHLSSSSSLRKATLPLPIKLRLHPFKANNNIVASSNAKQANLFASRTQRVKLPVYDDTFKDKGDGGQPYHISQFLSHPSGIQAILNTRALENFELLDTNAYRCTLPKLALFNFEASPVLDLRVIPTKEDCIVELFSCKFKGSEVVERQNDHFSDLHATFYFTANFSR >KJB55229 pep chromosome:Graimondii2_0_v6:9:4937870:4939278:1 gene:B456_009G069700 transcript:KJB55229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVATASNNGWRWSFLFPHLSSSSSLRKATLPLPIKLRLHPFKANNNIVASSNAKQANLFASRTQRVKLPVYDDTFKDKGDGGQPYHISQFLSHPSGIQAILNTRALENFELLDTNAYRCTLPKLALFNFEASPVLDLRVIPTKEDCIVELFSCKVSFDPTC >KJB55234 pep chromosome:Graimondii2_0_v6:9:4937870:4941559:1 gene:B456_009G069700 transcript:KJB55234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVATASNNGWRWSFLFPHLSSSSRFILRKATLPLPIKLRLHPFKANNNIVASSNAKQANLFASRTQRVKLPVYDDTFKDKGDGGQPYHISQFLSHPSGIQAILNTRALENFELLDTNAYRCTLPKLALFNFEASPVLDLRVIPTKEDCIVELFSCKFKGSEVVERQNDHFSATMINHITWDTNMSEPFLEVDVKLNLCLEIYTRPFILLPTSAVEGPGNIMIQALLDRLVPLLLQQLVQDYSNWVQLQKQLIN >KJB55228 pep chromosome:Graimondii2_0_v6:9:4937870:4938774:1 gene:B456_009G069700 transcript:KJB55228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVATASNNGWRWSFLFPHLSSSSRFILRKATLPLPIKLRLHPFKANNNIVASSNAKQANLFASRTQRVKLPVYDDTFKDKGDGGQPYHISQFLSHPSGIQAILNTRALENFELLDTNAYRSVAFPALSHSVTGHC >KJB55235 pep chromosome:Graimondii2_0_v6:9:4937870:4941559:1 gene:B456_009G069700 transcript:KJB55235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVATASNNGWRWSFLFPHLSSSSSLRKATLPLPIKLRLHPFKANNNIVASSNAKQANLFASRTQRVKLPVYDDTFKDKGDGGQPYHISQFLSHPSGIQAILNTRALENFELLDTNAYRCTLPKLALFNFEASPVLDLRVIPTKEDCIVELFSCKFKGSEVVERQNDHFSATMINHITWDTNMSEPFLEVDVKLNLCLEIYTRPFILLPTSAVEGPGNIMIQALLDRLVPLLLQQLVQDYSNWVQLQKQLIN >KJB55232 pep chromosome:Graimondii2_0_v6:9:4937870:4942058:1 gene:B456_009G069700 transcript:KJB55232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVATASNNGWRWSFLFPHLSSSSSLRKATLPLPIKLRLHPFKANNNIVASSNAKQANLFASRTQRVKLPVYDDTFKDKGDGGQPYHISQFLSHPSGIQAILNTRALENFELLDTNAYRCTLPKLALFNFEASPVLDLRVIPTKEDCIVELFSCKFKGSEVVERQNDHFSATMINHITWDTNMSEPFLEVDVKLNLCLEIYTRPFILLPTSAVEGPGNIMIQALLDRLVPLLLQQLVQDYSNWVQLQKQLIN >KJB58219 pep chromosome:Graimondii2_0_v6:9:15470267:15473355:1 gene:B456_009G199700 transcript:KJB58219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGRHLRCIQNNPQAGNLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAMSNVQIPRPTMYQVVKDMIEKMGYSVKLVRVTKRVHEAYFAQLYLTKVGDETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVMESGKLSVQSTASDVLPHAELDQPSGQPCLDSEEFKIVGDLNEAISQERYKDAADLRDKLDKFRAARNLRKFT >KJB58216 pep chromosome:Graimondii2_0_v6:9:15470165:15473355:1 gene:B456_009G199700 transcript:KJB58216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQGPVICPSVHSKQVGVDTLMVNRPSPWARLLRSDIWGRTRVIDGMKSHKLKIRYCKTMVHCSFSSSSDGSGSMAESFNEKDEDYVNSTVVEAGIWVLIKPVIVDHVKSGPDGFIIKMRDGRHLRCIQNNPQAGNLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAMSNVQIPRPTMYQVVKDMIEKMGYSVKLVRVTKRVHEAYFAQLYLTKVGDETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVMESGKLSVQSTASDVLPHAELDQPSGQPCLDSEEFKIVGDLNEAISQERYKDAADLRDKLDKFRAARNLRKFT >KJB58215 pep chromosome:Graimondii2_0_v6:9:15470045:15473371:1 gene:B456_009G199700 transcript:KJB58215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQGPVICPSVHSKQVGVDTLMVNRPSPWARLLRSDIWGRTRVIDGMKSHKLKIRYCKTMVHCSFSSSSDGSGSMAESFNEKDEDYVNSTVVEADHVKSGPDGFIIKMRDGRHLRCIQNNPQAGNLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAMSNVQIPRPTMYQVVKDMIEKMGYSVKLVRVTKRVHEAYFAQLYLTKVGDETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVMESGKLSVQSTASDVLPHAELDQPSGQPCLDSEEFKIVGDLNEAISQERYKDAADLRDKLDKFRAARNLRKFT >KJB58218 pep chromosome:Graimondii2_0_v6:9:15470191:15473355:1 gene:B456_009G199700 transcript:KJB58218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQGPVICPSVHSKQVGVDTLMVNRPSPWARLLRSDIWGRTRVIDGMKSHKLKIRYCKTMVHCSFSSSSDGSGSMAESFNEKDEDYVNSTVVEAGIWVLIKPVIVDHVKSGPDGFIIKMRDGRHLRCIQNNPQAGNLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAMSNVQIPRPTMYQVVKDMIEKMGYSVKLVRVTKRVHEAYFAQLYLTKVGDETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVMESGKLSVQSTASDVLPHAELDQPSGQPCLDSEEFKIVGDLNEAISQERYKDAGTLPVSFSDIALLFNIGLSHLSFVQ >KJB58220 pep chromosome:Graimondii2_0_v6:9:15470191:15473355:1 gene:B456_009G199700 transcript:KJB58220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQGPVICPSVHSKQVGVDTLMVNRPSPWARLLRSDIWGRTRVIDGMKSHKLKIRYCKTMVHCSFSSSSDGSGSMAESFNEKDEDYVNSTVVEADHVKSGPDGFIIKMRDGRHLRCIQNNPQAGNLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAMSNVQIPRPTMYQVVKDMIEKMGYSVKLVRVTKRVHEAYFAQLYLTKVGDETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVMESGKLSVQSTASDVLPHAELDQPSGQPCLDSEEFKIVGDLNEAISQERYKDAADLRDKLDKFRAARNLRKFT >KJB58217 pep chromosome:Graimondii2_0_v6:9:15470191:15473355:1 gene:B456_009G199700 transcript:KJB58217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGRHLRCIQNNPQAGNLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAMSNVQIPRPTMYQVVKDMIEKMGYSVKLVRVTKRVHEAYFAQLYLTKVGDETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVMESGKLSVQSTASDVLPHAELDQPSGQPCLDSEEFKIVGDLNEAISQERYKDAGTLPVSFSDIALLFNIGLSHLSFVQ >KJB60809 pep chromosome:Graimondii2_0_v6:9:32763434:32765123:1 gene:B456_009G327000 transcript:KJB60809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGARLPGFCLNRIRPHVRVKSPPIQAKPNLDSSKTDQKDEISGKVGEDKSRNGEKTGFLIGRKIMIVVDSSIEAKGALQWALSHTVQCHDTLVLLHVTKPSKQVPNTNEDHDKSRAPRACEPVYSLQNMSKQKRPEVGCDIMNAIVVSQVLVGFFYLYIYIYVILPVNIKF >KJB60810 pep chromosome:Graimondii2_0_v6:9:32763434:32765138:1 gene:B456_009G327000 transcript:KJB60810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGARLPGFCLNRIRPHVRVKSPPIQAKPNLDSSKTDQKDEISGKVGEDKSRNGEKTGFLIGRKIMIVVDSSIEAKGALQWALSHTVQCHDTLVLLHVTKPSKQVPNTNEDHDKSRAPRACEPVYSLQNMSKQKRPEVEVEVAVVEGKEKGLTIVEEAKKQGVALLVLGQKKKSMTWRLVMMWAGSRMTGGVVEYCIQNATCMAVAVRRKSKKLGGYLITTKRHKDFWLLA >KJB60808 pep chromosome:Graimondii2_0_v6:9:32763434:32765123:1 gene:B456_009G327000 transcript:KJB60808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGARLPGFCLNRIRPHVRVKSPPIQAKPNLDSSKTDQKDEISGKVGEDKSRNGEKTGFLIGRKIMIVVDSSIEAKGALQWALSHTVQCHDTLVLLHVTKPSKQVPNTNEDHDKSRAPRACEPVYSLQNMSKQKRPEQNKLKQFL >KJB53675 pep chromosome:Graimondii2_0_v6:9:143735:148384:-1 gene:B456_009G001000 transcript:KJB53675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRNIIGKRLGLGLVRRRKPFSTATCDGDGNGNGNAKSINLYSAINQALHIALETDNRAFVFGEDVSFGGVFRCTTGLSDRFGKARVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIYPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPRQAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPEHDYMLPLSEAEVIRQGSDITLVGWGAQLSVMEQACNEAEKDGISCELIDLKTLLPWDKETVEASVRKTGRVLISHEAPVTGGFGAEISASIVERCFLRLEAPVARVCGLDTPFPLVFEPFYMPTKNKILDAIKATVNY >KJB53677 pep chromosome:Graimondii2_0_v6:9:144075:148299:-1 gene:B456_009G001000 transcript:KJB53677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMATATPNPSTFTPPSIKPFILRLKLIIAHLFSEKMLALAGFSVALPDSLTDLGIVGFGIGLAAMGNRAIAEIQFADYIYPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPRQAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPEHDYMLPLSEAEVIRQGSDITLVGWGAQLSVMEQACNEAEKDGISCELIDLKTLLPWDKETVEASVRKTGRVLISHEAPVTGGFGAEISASIVERCFLRLEAPVARVCGLDTPFPLVFEPFYMPTKNKILDAIKATVNY >KJB53676 pep chromosome:Graimondii2_0_v6:9:144075:148299:-1 gene:B456_009G001000 transcript:KJB53676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRAIAEIQFADYIYPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPRQAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPEHDYMLPLSEAEVIRQGSDITLVGWGAQLSVMEQACNEAEKDGISCELIDLKTLLPWDKETVEASVRKTGRVLISHEAPVTGGFGAEISASIVERCFLRLEAPVARVCGLDTPFPLVFEPFYMPTKNKILDAIKATVNY >KJB53678 pep chromosome:Graimondii2_0_v6:9:144363:148192:-1 gene:B456_009G001000 transcript:KJB53678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRNIIGKRLGLGLVRRRKPFSTATCDGDGNGNGNAKSINLYSAINQALHIALETDNRAFVFGEDVSFGGVFRCTTGLSDRFGKARVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIYPAFDQIVNEAAKFRYRSGNQFNCGVSNKFPGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPRQAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPEHDYMLPLSEAEVIRQGSDITLVGWGAQLSVMEQACNEAEKDGISCELIDLKTLLPWDKETVEASVRKTGRVLISHEAPVTGGFGAEISASIVERCFLRLEAPVARVCGLDTPFPLVFEPFYMPTKNKILDAIKATVNY >KJB57882 pep chromosome:Graimondii2_0_v6:9:14198091:14200352:1 gene:B456_009G184700 transcript:KJB57882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKREESKGNQDETNKEEEPAQIVLKVDMHCEACARKVARALKGFQGVEDVATDSKANKVVVKGKTVDPIKVCERLRKKSGRKVELISPLPKPPSQEEKKEENKELKEEKKEEPPTAITVVLKVYMHCEACAQVLRKRIRKIPGVETVDTDVRNHQVIVKGVVDPANLVDYVYKRTRKQVSIVKDEENKEEEKKEAEKKQDAGEKKEEDQGKGDGDDDKKMDDIKRSEYYSSKYYSEFAYPPQFFSDENPNACSLM >KJB57883 pep chromosome:Graimondii2_0_v6:9:14198201:14200334:1 gene:B456_009G184700 transcript:KJB57883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCEACARKVARALKGFQGVEDVATDSKANKVVVKGKTVDPIKVCERLRKKSGRKVELISPLPKPPSQEEKKEENKELKEEKKEEPPTAITVVLKVYMHCEACAQVLRKRIRKIPGVETVDTDVRNHQVIVKGVVDPANLVDYVYKRTRKQVSIVKDEENKEEEKKEAEKKQDAGEKKEEDQGKGDGDDDKKMDDIKRSEYYSSKYYSEFAYPPQFFSDENPNACSLM >KJB57884 pep chromosome:Graimondii2_0_v6:9:14198201:14200334:1 gene:B456_009G184700 transcript:KJB57884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTRKKSLHRLYSKLTCTVKLVPERLQEPSKDFKCLITGVEDVATDSKANKVVVKGKTVDPIKVCERLRKKSGRKVELISPLPKPPSQEEKKEENKELKEEKKEEPPTAITVVLKVYMHCEACAQVLRKRIRKIPGVETVDTDVRNHQVIVKGVVDPANLVDYVYKRTRKQVSIVKDEENKEEEKKEAEKKQDAGEKKEEDQGKGDGDDDKKMDDIKRSEYYSSKYYSEFAYPPQFFSDENPNACSLM >KJB53771 pep chromosome:Graimondii2_0_v6:9:376122:382751:-1 gene:B456_009G004200 transcript:KJB53771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAPKTKVLRDGKWSEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAIGMLIEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRNLIEVFVKDVDKEHVVLLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSNGNWHRASKGAPEQILTLCNAKEDVKKKVHAIIDKFADRGLRSLGVARQQVPEKSKDGAGTPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIAALPVEELIEKADGFAGVFPEHKYEIVRKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGGYLALMTVVFFWIMHDTDFFSEKFGVRSLRKKDDQMMGALYLQVSIVSQALIFVTRSRSWSYFERPGLLLVTAFIIAQLAATVIAVYANWGFARIQGIGWGWAGVIWLYSVVFYIPLDLMKFAIRYILSGKAWLNLLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPEASNLFNDKSSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >KJB61461 pep chromosome:Graimondii2_0_v6:9:47324483:47332622:1 gene:B456_009G359500 transcript:KJB61461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDPSYAPPFQGGITYSDGYSRPVMQTGLGPVEGLIPFGNSTNVPAAIAATGSQTVPSSVWGAPYNPSLAQR >KJB57341 pep chromosome:Graimondii2_0_v6:9:12176862:12178866:1 gene:B456_009G158900 transcript:KJB57341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGCIHSRSYPLSTWSKNKVQGNEVAFSLGYGFSICRTPNVKCSQKLGEQSLSISRAVEKKPVKKSGKNEHHLWKKRDSAGSGQKALNLVRIVSQLPSEKETVYGALDKWVAWETEFPLIAAAKALRILRKRSQWLRVIQVAKWMLSKGQGATMGTYDTLLLAFDMDNRVDEAESLWNMVLHTHNRSISKRLFSRMISLFDHHSMPEKIIEVFADMEELCVRPDENTVRKVARAFQELGQEDKQKLVLRRYMSKWKYIHFNGERVRVKRHTSDED >KJB57342 pep chromosome:Graimondii2_0_v6:9:12176862:12178866:1 gene:B456_009G158900 transcript:KJB57342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGCIHSRSYPLSTWSKNKVQGNEVAFSLGYGFSICRTPNVKCSQKLGEQSLSISRAVEKKPVKKSGKNEHHLWKKRDSAGSGQKALNLVRIVSQLPSEKETVYGALDKWVAWETEFPLIAAAKALRILRKRSQWLRVIQVAKWMLSKGQGATMGTYDTLLLAFDMDNRVDEAESLWNMVLHTHNRSISKRLFSRMISLFDHHSMPEKIIETWRSYVSDQMKTQSGK >KJB57340 pep chromosome:Graimondii2_0_v6:9:12176862:12178223:1 gene:B456_009G158900 transcript:KJB57340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGCIHSRSYPLSTWSKNKVQGNEVAFSLGYGFSICRTPNVKCSQKLGEQSLSISRAVEKKPVKKSGKNEHHLWKKRDSAGSGQKALNLVRIVSQLPSEKETVYGALDKWVAWETEFPLIAAAKALRILRKRSQWLRVIQVAKWMLSKGQGATMGTYDTLLLAFDMDNRVDEAESLWNMVLHTHNRSISKRLFSRMISLFDHHSMPEKIIEVCQSPSRMPYKTNSDVFT >KJB60300 pep chromosome:Graimondii2_0_v6:9:26281080:26282845:1 gene:B456_009G299100 transcript:KJB60300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYSCTKLNSWNYLSCLWENHIVMNNSMLGHPMIIEGFGRGSLLEDPTWSEDLQKSFCFSPEYECETMATDHLLEDITANGLVSKGISLEESVLKELETVTAQLSDKTRICFRDAFYRLAKNSKQNPVALNQQGNLHVRTHSPKWTISEEKIRSGKKETTESETNTIDRTIANLTFDKMDMNVRDFPVCHTRQL >KJB60303 pep chromosome:Graimondii2_0_v6:9:26280156:26283257:1 gene:B456_009G299100 transcript:KJB60303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWYLCNGMEDLVVPRNQELEDNIPSPESWSKWEFSPPANFNDECFGVDTNLSGDKPKFSGKLCHDVADVKDPSICSSVYGGFLNQGHIFQQQTDYQLHDFGRFEQLDDIFLGSLLEDPTWSEDLQKSFCFSPEYECETMATDHLLEDASFAKILVPPEQITANGLVSKGISLEESVLKELETVTAQLSDKTRICFRDAFYRLAKNSKQNPVALNQQGNLHVRTHSPKWTISEEKIRSGKKETTESETNTIDRTIANLTFDKMDMNVRDFPVCHTRQL >KJB60302 pep chromosome:Graimondii2_0_v6:9:26281080:26282845:1 gene:B456_009G299100 transcript:KJB60302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYSCTKLNSWNYLSCLWENHIVMNNSMLGHPMIIEGFGRGSLLEDPTWSEDLQKSFCFSPEYECETMATDHLLEDASFAKILVPPEQITANGLVSKGISLEESVLKELETVTAQLSDKTRICFRDAFYRLAKNSKQNPVALNQQGNLHVRTHSPKWTISEEKIRSGKKETTESETNTIDRTIANLTFDKMDMNVRDFPVCHTRQL >KJB60301 pep chromosome:Graimondii2_0_v6:9:26279800:26283319:1 gene:B456_009G299100 transcript:KJB60301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWYLCNGMEDLVVPRNQELEDNIPSPESWSKWEFSPPANFNDECFGVDTNLSGDKPKFSGKLCHDVADVKDPSICSSVYGGFLNQGHIFQQQTDYQLHDFGRFEQLDDIFLGSLLEDPTWSEDLQKSFCFSPEYECETMATDHLLEDITANGLVSKGISLEESVLKELETVTAQLSDKTRICFRDAFYRLAKNSKQNPVALNQQGNLHVRTHSPKWTISEEKIRSGKKETTESETNTIDRTIANLTFDKMDMNVRDFPVCHTRQL >KJB59955 pep chromosome:Graimondii2_0_v6:9:24759551:24760693:-1 gene:B456_009G288800 transcript:KJB59955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHLKEFHDEESSDLDAGSQKQYVCQEVGCGKVFEFKSKLKKHEDSHVKLDSVEAFCSEPGCMKYFTNEQCLKAHVLSSHAYINCQICGAKQLKKNIKRHLRSHEPGGVESERIKCNFEGCLHTFSTKSNLRQHVKAVHEELKPFACSFFGCGMRFSYKHVRDNHEKSGCHVYTPGNFLETDEQFRSKPRGGLKRTCPTVEMLVRKRVTPPQMDATMDLGPAPACS >KJB59952 pep chromosome:Graimondii2_0_v6:9:24759272:24762045:-1 gene:B456_009G288800 transcript:KJB59952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYQVGRPPEKLHQVSLNHAVWYTFERPFVCLVEDCHASYRRKDHLTRHLLQHQGKLFKCLIENCNREFAFQGNMKRHLKEFHDEESSDLDAGSQKQYVCQEVGCGKVFEFKSKLKKHEDSHVKLDSVEAFCSEPGCMKYFTNEQCLKAHVLSSHAYINCQICGAKQLKKNIKRHLRSHEPGGVESERIKCNFEGCLHTFSTKSNLRQHVKAVHEELKPFACSFFGCGMRFSYKHVRDNHEKSGCHVYTPGNFLETDEQFRSKPRGGLKRTCPTVEMLVRKRVTPPQMDATMDLGPAPACS >KJB59954 pep chromosome:Graimondii2_0_v6:9:24759551:24760693:-1 gene:B456_009G288800 transcript:KJB59954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHLKEFHDEESSDLDAGSQKQYVCQEVGCGKVFEFKSKLKKHEDSHVKLDSVEAFCSEPGCMKYFTNEQCLKAHVLSSHAYINCQICGAKQLKKNIKRHLRSHEPGGVESERIKCNFEGCLHTFSTKSNLRQHVKAVHEELKPFACSFFGCGMRFSYKHVRDNHEKSGCHVYTPGNFLETDEQFRSKPRGGLKRTCPTVEMLVRKRVTPPQMDATMDLGPAPACS >KJB59956 pep chromosome:Graimondii2_0_v6:9:24759551:24761002:-1 gene:B456_009G288800 transcript:KJB59956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYQVGRPPEKLHQVSLNHAVWYTFERPFVCLVEDCHASYRRKDHLTRHLLQHQGKLFKCLIENCNREFAFQGNMKRHLKEFHDEESSDLDAGSQKQYVCQEVGCGKVFEFKSKLKKHEDSHVKLDSVEAFCSEPGCMKYFTNEQCLKAHVLSSHAYINCQICGAKQLKKNIKRHLRSHEPGGVESERIKCNFEGCLHTFSTKSNLRQHVKAVHEELKPFACSFFGCGMRFSYKHVRDNHEKSGCHVYTPGNFLETDEQFRSKPRGGLKRTCPTVEMLVRKRVTPPQMDATMDLGPAPACS >KJB59957 pep chromosome:Graimondii2_0_v6:9:24759272:24762179:-1 gene:B456_009G288800 transcript:KJB59957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKVGGAIFKDIRRYYCEFCGICRSKKSLINSHILTHHTDEVNKGGKEEEGASSSNTCEECGASFKKPAYLKQHLQSHSLERPFVCLVEDCHASYRRKDHLTRHLLQHQGKLFKCLIENCNREFAFQGNMKRHLKEFHDEESSDLDAGSQKQYVCQEVGCGKVFEFKSKLKKHEDSHVKLDSVEAFCSEPGCMKYFTNEQCLKAHVLSSHAYINCQICGAKQLKKNIKRHLRSHEPGGVESERIKCNFEGCLHTFSTKSNLRQHVKAVHEELKPFACSFFGCGMRFSYKHVRDNHEKSGCHVYTPGNFLETDEQFRSKPRGGLKRTCPTVEMLVRKRVTPPQMDATMDLGPAPACS >KJB59953 pep chromosome:Graimondii2_0_v6:9:24759272:24762050:-1 gene:B456_009G288800 transcript:KJB59953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHLKEFHDEESSDLDAGSQKQYVCQEVGCGKVFEFKSKLKKHEDSHGCMKYFTNEQCLKAHVLSSHAYINCQICGAKQLKKNIKRHLRSHEPGGVESERIKCNFEGCLHTFSTKSNLRQHVKAVHEELKPFACSFFGCGMRFSYKHVRDNHEKSGCHVYTPGNFLETDEQFRSKPRGGLKRTCPTVEMLVRKRVTPPQMDATMDLGPAPACS >KJB60921 pep chromosome:Graimondii2_0_v6:9:34231864:34235963:1 gene:B456_009G330900 transcript:KJB60921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNALRHSKALEGLHGVQVFPHSQSALEEINQQGDFQSSHDKSFITANQPLLMQVWQQRPACLRPVRCCMQGDQNLVETVANVLTSLPFIALGIQAPRRNFNTKLYANSLIGVGVASTLYHSSRGKLRKYLRWADYTMIATATVCLSRAIRNENPKLLTAATALLLPVQPLMVSAIHTGMMEVAFAKRAIKDPELRKAHNVHKMSSLLGGALFIADDMFPGTPFLHSAWHLAAAVGAGTCNKLLE >KJB60920 pep chromosome:Graimondii2_0_v6:9:34231864:34235963:1 gene:B456_009G330900 transcript:KJB60920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDQNLVETVANVLTSLPFIALGIQAPRRNFNTKLYANSLIGVGVASTLYHSSRGKLRKYLRWADYTMIATATVCLSRAIRNENPKLLTAATALLLPVQPLMVSAIHTGMMEVAFAKRAIKDPELRKAHNVHKMSSLLGGALFIADDMFPGTPFLHSAWHLAAAVGAGTCNKLLE >KJB53940 pep chromosome:Graimondii2_0_v6:9:960628:962951:-1 gene:B456_009G011700 transcript:KJB53940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEVSSLNPYAASYIPLAKREGSIAKDIKAGNESAWFDPFSRSNASLESAIPGIGNHPVALKSDPGHGSLMQNQGEMSGEQIMDEEFDMDLQYLRMMFPGLSNDSVLDVYMANNGDLEATIDMLNQLEQTVVLLN >KJB53939 pep chromosome:Graimondii2_0_v6:9:960637:962951:-1 gene:B456_009G011700 transcript:KJB53939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEVSSLNPYAASYIPLAKREGSIAKDIKAGNESAWFDPFSRSNASLESAIPGIGNHPVALKSDPGHGSLMQNQGEMSGEQIMDEEFDMDLQYLRMMFPGLSNDSVLDVYMANNGDLEATIDMLNQLEMYTVESSDTLPDTLDIGDISESISSANCGTLKLKNVASETGASSSGSTESAVTS >KJB53941 pep chromosome:Graimondii2_0_v6:9:960972:962172:-1 gene:B456_009G011700 transcript:KJB53941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEVSSLNPYAASYIPLAKREGSIAKDIKAGNESAWFDPFSRSNASLESAIPGIGNHPVALKSDPGHGSLMQNQGEMSGEQIMDEEFDMDLQYLRMMFPGLSNDSVLDVYMANNGDLEATIDMLNQLEFSLLDVHC >KJB53938 pep chromosome:Graimondii2_0_v6:9:960628:962269:-1 gene:B456_009G011700 transcript:KJB53938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEVSSLNPYAASYIPLAKREGSIAKDIKAGNESAWFDPFSRSNASLESAIPGIGNHPVALKSDPGHGSLMQNQGEMSGEQIMDEEFDMDLQYLRMMFPGLSNDSVLDVYMANNGDLEATIDMLNQLEMYTVESSDTLPDTLDIGDISESISSANCGTLKLKNVASETGASSSGSTESAVTS >KJB56857 pep chromosome:Graimondii2_0_v6:9:10477158:10480901:1 gene:B456_009G138800 transcript:KJB56857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALVSAVLQQLTAVVYRDIEKEVTLVVDVRKEAQKLKTTLQTLQAVLMDAEKRQVKEAAVKLWLEKLKATSYDMDDLIDDWNTFVLKFQVPNNKVCFCMPSPCFFFRKIVLHHDFAVNIRDLNKRFQAIAIEKDMFSFELNRGSGEPERPVTTSFIDVSEIYGRDQEKNAIIRTLLFENSQQQGLPIISVVGMGGIGKTTLAQLAYNDQEVKAFFEKRIWVCVSDPFDEIRVAKAILEALTGEAPSVAELESLLQKIHLSIERKKFLLVLDDVWTEDPTKWESLKHSLKCVTPGSKVLITTRKENVAKIMESTMLFPLGQLYEEECWSLFSQVALFGRPGGDRKGLEEIGKKIAKKCKGLPLAAKVLGGLLRFKKSKEQWQSVLDSELWELEEVEKGLFPPLLLSYYDLPSTLRQCFAYCALFIKDSVIEKDKLIKLWMAQGFFRGMLHKEMELIGEECFDDLAMRSFFQDFQKDENNNSVMKCKMHDIVHDFAQFLTKNECLMLAMEVVKEPSRIDSYNGNLRHLVMVLEKESPFPSYIYNVERLRSLLIKSYNKNSSISRALPKLFDQLKCLRSLDLSWGSIKELPKEIVKLINLRYLKLSNNHDLLESPETLCDLYNLQTLDLTRCRRLQKLPSGIGKLLNLRHLDNWETFGLRSMPKGMRRLTCLRSLKEVVVSDGCNGNKTFTLGDLANLSYLRGDLKIQGLGNAGDVTEGRKAKLHNKKDLFGLTLNFDSSTARMCLEDMILEALQPPGNVERLEIRCFNGPILFPSWLNSSALAQLRHLTLGNCRNWTLLPPLGELPSLESLQISNMNGVKKVGEEFLGLRKEEGQTSTPSSSSPMIAFPNLKSLRFSNMVKWKHWINCEIPSTGVAEMDNAIMPQLQSLDLQSCGKLKALPHYLLGLTSLKELSVDWCPVLAEYCQKEWPNISRIPNIRLDGVYVQRSSH >KJB56707 pep chromosome:Graimondii2_0_v6:9:9979947:9990770:-1 gene:B456_009G132800 transcript:KJB56707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYIKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADIEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESSSKIKIFSKNFQEKKSVRPTFSAERIFGGTLLAMCSNDFICFYSWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGTPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSLWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHLNSVARFLESRGMIEDALEVATDPDYRFELAIQLGKLEIAREIAAEVQSESKWKQLGELAMSTGKLEMAEQCMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRRDLNKVNPKAAESLADPREYPNLFEDWELALSVESKVAETRGVYPLAADYLNHADRSKMTLLEAFRNMQIDDEEPLVNGVLDYEAGEPNGHGLNSEEQNGEDGSQEEPVVVDADSNDGAVLVNGNEPEEEWVLTPDH >KJB56706 pep chromosome:Graimondii2_0_v6:9:9979947:9990673:-1 gene:B456_009G132800 transcript:KJB56706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYIKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADIEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESSSKIKIFSKNFQEKKSVRPTFSAERIFGGTLLAMCSNDFICFYSWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGTPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSLWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHLNSVARFLESRGMIEDALEVATDPDYRFELAIQLGKLEIAREIAAEVQSESKWKQLGELAMSTGKLEMAEQCMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRRDLNKVNPKAAESLADPREYPNLFEDWELALSVESKVAETRGVYPLAADYLNHADRSKMTLLEAFRNMQIDDEEPLVNGVLDYEAGEPNGHGLNSEEQNGEDGSQEEPVVVDADSNDGAVLVNGNEPEEEWGTNTEGTPSA >KJB56708 pep chromosome:Graimondii2_0_v6:9:9981471:9990554:-1 gene:B456_009G132800 transcript:KJB56708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYIKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADIEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESSSKIKIFSKNFQEKKSVRPTFSAERIFGGTLLAMCSNDFICFYSWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGTPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSLWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHLNSVARFLESRGMIEDALEVATDPDYRFELAIQLGKLEIAREIAAEVQSESKWKQLGELAMSTGKLEMAEQCMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRRDLNKVNPKAAESLADPREYPNLFEDWELALSVESKVAETRGVYPLAADYLNHADRSKMTLLEAFRNMQIDDEEPLVNGVLDYEAGEPNGHGLNSEEQNGEDGSQEEPVVVDADSNDGAVLVNGNEPEEEWGTNTEGTPSA >KJB56701 pep chromosome:Graimondii2_0_v6:9:9979947:9990457:-1 gene:B456_009G132800 transcript:KJB56701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYIKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADIEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESSSKIKIFSKNFQEKKSVRPTFSAERIFGGTLLAMCSNDFICFYSWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGTPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSLWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHLNSVARFLESRGMIEDALEVATDPDYRFELAIQLGKLEIAREIAAEVQSESKWKQLGELAMSTGKLEMAEQCMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRRDLNKVNPKAAESLADPREYPNLFEDWELALSVESKVAETRGVYPLAADYLNHADRSKMTLLEAFRNMQIDDEEPLVNGVLDYEAGEPNGHGLNSEEQNGEDGSQEEPVVVDADSNDGAVLVNGNEPEEEWGTNTEGTPSA >KJB56705 pep chromosome:Graimondii2_0_v6:9:9980806:9990673:-1 gene:B456_009G132800 transcript:KJB56705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYIKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADIEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESSSKIKIFSKNFQEKKSVRPTFSAERIFGGTLLAMCSNDFICFYSWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGTPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSLWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHLNSVARFLESRGMIEDALEVATDPDYRFELAIQLGKLEIAREIAAEVQSESKWKQLGELAMSTGKLEMAEQCMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRRDLNKVNPKAAESLADPREYPNLFEDWELALSVESKVAETRGVYPLAADYLNHADRSKMTLLEAFRNMQIDDEEPLVNGVLDYEAGEPNGHGLNSEEQNGEDGSQEEPVVVDADSNDGAVLVNGNEPEEEWGTNTEGTPSA >KJB56704 pep chromosome:Graimondii2_0_v6:9:9981471:9990554:-1 gene:B456_009G132800 transcript:KJB56704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYIKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADIEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESSSKIKIFSKNFQEKKSVRPTFSAERIFGGTLLAMCSNDFICFYSWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGTPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSLWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHLNSVARFLESRGMIEDALEVATDPDYRFELAIQLGKLEIAREIAAEVQSESKWKQLGELAMSTGKLEMAEQCMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRRDLNKVNPKAAESLADPREYPNLFEDWELALSVESKVAETRGVYPLAADYLNHADRSKMTLLEAFRNMQIDDEEPLVNGVLDYEAGEPNGHGLNSEEQNGEDGSQEEPVVVDADSNDGAVLVNGNEPEEEWGTNTEGTPSA >KJB56709 pep chromosome:Graimondii2_0_v6:9:9981471:9990554:-1 gene:B456_009G132800 transcript:KJB56709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYIKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADIEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESSSKIKIFSKNFQEKKSVRPTFSAERIFGGTLLAMCSNDFICFYSWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGTPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSLWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHLNSVARFLESRGMIEDALEVATDPDYRFELAIQLGKLEIAREIAAEVQSESKWKQLGELAMSTGKLEMAEQCMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRRDLNKVNPKAAESLADPREYPNLFEDWELALSVESKVAETRGVYPLAADYLNHADRSKMTLLEAFRNMQIDDEEPLVNGVLDYEAGEPNGHGLNSEEQNGEDGSQEEPVVVDADSNDGAVLVNGNEPEEEWGTNTEGTPSA >KJB56702 pep chromosome:Graimondii2_0_v6:9:9981066:9990673:-1 gene:B456_009G132800 transcript:KJB56702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYIKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADIEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESSSKIKIFSKNFQEKKSVRPTFSAERIFGGTLLAMCSNDFICFYSWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGTPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSLWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHLNSVARFLESRGMIEDALEVATDPDYRFELAIQLGKLEIAREIAAEVQSESKWKQLGELAMSTGKLEMAEQCMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRRDLNKVNPKAAESLADPREYPNLFEDWELALSVESKVAETRGVYPLAADYLNHADRSKMTLLEAFRNMQIDDEEPLVNGVLDYEAGEPNGHGLNSEEQNGEDGSQEEPVVVDADSNDGAVLVNGNEPEEEWGTNTEGTPSA >KJB56703 pep chromosome:Graimondii2_0_v6:9:9979947:9990673:-1 gene:B456_009G132800 transcript:KJB56703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYIKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADIEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESSSKIKIFSKNFQEKKSVRPTFSAERIFGGTLLAMCSNDFICFYSWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGTPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSLWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHLNSVARFLESRGMIEDALEVATDPDYRFELAIQLGKLEIAREIAAEVQSESKWKQLGELAMSTGKLEMAEQCMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRRDLNKVNPKAAESLADPREYPNLFEDWELALSVESKVAETRGVYPLAADYLNHADRSKMTLLEAFRNMQIDDEEPLVNGVLDYEAGEPNGHGLNSEEQNGEDGSQEEPVVVDADSNDGAVLVNGNEPEEEWGTNTEGTPSA >KJB61548 pep chromosome:Graimondii2_0_v6:9:48899051:48899086:1 gene:B456_009G3654001 transcript:KJB61548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHYNWCEVFV >KJB61547 pep chromosome:Graimondii2_0_v6:9:48899051:48899086:1 gene:B456_009G3654001 transcript:KJB61547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHYNWCEVFV >KJB61546 pep chromosome:Graimondii2_0_v6:9:48899049:48899569:1 gene:B456_009G3654001 transcript:KJB61546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCIIIIGVKSLYNLIFSSLAGHDAL >KJB53419 pep chromosome:Graimondii2_0_v6:9:10710931:10711131:-1 gene:B456_009G141500 transcript:KJB53419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPTVALRAFLVGGVAVFAKVAGAMKAAGGAKLGAAAAAMTVAASAAMTGSKQEQKDGSKQPPK >KJB53422 pep chromosome:Graimondii2_0_v6:9:10710931:10711131:-1 gene:B456_009G141500 transcript:KJB53422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPTVALRAFLVGGVAVFAKVAGAMKAAGGAKLGAAAAAMTVAASAAMTGSKQEQKDGSKQPPK >KJB53420 pep chromosome:Graimondii2_0_v6:9:10710931:10711307:-1 gene:B456_009G141500 transcript:KJB53420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTVIQLCSEFRQLNQNFFDGYLNKMAVKPTVALRAFLVGGVAVFAKVAGAMKAAGGAKLGAAAAAMTVAASAAMTGSKQEQKDGSKQPPK >KJB53421 pep chromosome:Graimondii2_0_v6:9:10710741:10712565:-1 gene:B456_009G141500 transcript:KJB53421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPTVALRAFLVGGVAVFAKVAGAMKAAGGAKLGAAAAAMTVAASAAMTGSKQEQKDGSKQPPK >KJB55327 pep chromosome:Graimondii2_0_v6:9:5035679:5047177:-1 gene:B456_009G071200 transcript:KJB55327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPHVYHPHTTTKTLASPLHKPFSHGTALAFLPFNACSSLGKTAKPFKARVVPNKIKAAVDVNFTDDGILTDYTVKGVVTVKQTVGGFLSNLGLSRGIDDIQDLLGKSILLELVSLELDPKTGQEKETIKAYAHRVKQKGDDVTYEAEFKVGQDFGEIGAVVVENEHHKEMFLVEIVLDGLTDPITISCNSWVHSKFDNPQKRVFFPPKSYLPSQTPSGLKRMRKEELQALRGNGVGERKSFERIYDYDVYNDLGDPDSDLKKKRPVLGGNKQFPYPRRCRTGRPRCESDPESETKSNMFYVPRDECFSEVKQLTFSAKTVYSVFHAVVPALQTAIVDKDLGFPYFTAIDQLFNEGVDLPPQEGNNKWREILPRLLKAISDSDNALRFEIPETMERDKFFWFRDEEFARQTLSGINPYALQLVTEWPLKSKLDPAIYGPPESVITKEMVEQQIRGFCSLEEALEQKKLFVLDYHDLLLPYVKKVRQLPGTTLYGSRTLFFLNPDETLRPLAIELTRPPMDGKPQWKEAYRPSWHSSGVWLWRLAKAHVLAHDSGYHQLISHWLRTHCCTEPYIIATNRQLSEMHPIYRLLHPHFRYTMEINALARAYLINADGIIETSFSPGKYSMEICSVAYDLLWRFDHEALPADLISRGMAVEDPDAPHGLRLTIKDYPFANDGLVLWDILKEWVSDYVNHYYPEASLVESDEELQAWWTEIRTVGHGDKKDEPWWPVLKTPQDLIQIVTTITWVTSGHHASVNFGQYTYAGYFPSRPTIARKNMPTEEATERDWEFFMNKPEVLLLLCFPSQIQATTVMAILDVLSNHSPDEEYLGEKSELAWAKDPVIQAAFEKFNGRLMELEGIIDERNANKDLRNRNGAGIVPYEFLKPFSEPGVTGKGVPYSISI >KJB60832 pep chromosome:Graimondii2_0_v6:9:33066004:33070977:-1 gene:B456_009G328600 transcript:KJB60832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQMETENAQVAYVTFKDTQGADTAILLTGAKIVDLSVNITPVEDYQLPPEALLSNTEKKPVVADSTVQKAEDMVSTMLAKGFILGKDAINKAKAFDEQHHLTSNASAAVTSFDQKIGLSEKLSIGTAVVNEKMREMDGRFQVSETTRFALSVAEQKASIAGTAIMSNSYVSTGASWLSNAFTAVARAAEDVGMLTKEKVGKAEEEKKGSVYKERARIIEDFAELHLDESPKAEPSVGLVDSTTDKQA >KJB60828 pep chromosome:Graimondii2_0_v6:9:33066004:33069022:-1 gene:B456_009G328600 transcript:KJB60828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTMLAKGFILGKDAINKAKAFDEQHHLTSNASAAVTSFDQKIGLSEKLSIGTAVVNEKMREMDGRFQVSETTRFALSVAEQKASIAGTAIMSNSYVSTGASWLSNAFTAVARAAEDVGMLTKEKVGKAEEEKKGSVYKERARIIEDFAELHLDESPKAEPSVGLVDSTTDKQA >KJB60827 pep chromosome:Graimondii2_0_v6:9:33065974:33068557:-1 gene:B456_009G328600 transcript:KJB60827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRTYCLIQGAKIVDLSVNITPVEDYQLPPEALLSNTEKKPVVADSTVQKAEDMVSTMLAKGFILGKDAINKAKAFDEQHHLTSNASAAVTSFDQKIGLSEKLSIGTAVVNEKMREMDGRFQVSETTRFALSVAEQKASIAGTAIMSNSYVSTGASWLSNAFTAVARAAEDVGMLTKEKVGKAEEEKKGSVYKERARIIEDFAELHLDESPKAEPSVGLVDSTTDKQA >KJB60829 pep chromosome:Graimondii2_0_v6:9:33066004:33070977:-1 gene:B456_009G328600 transcript:KJB60829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQMETENAQVAYVTFKDTQGADTAILLTGAKIVDLSVNITPVEDYQLPPEALLSNTEKKPVVADSTVQKAEDMVSTMLAKGFILGKDAINKAKAFDEQHHLTSNASAAVTSFDQKIGLSEKLSIGTAVVNEKMREMDGRFQVSETTRFALSVAEQKASIAGTAIMSNSYVSTGASWLSNAFTAVARAAEDVGMLTKEKVGKAEEEKKGSVYKERARIIEDFAELHLDESPKAEPSVGLVDSTTDKQA >KJB60831 pep chromosome:Graimondii2_0_v6:9:33066149:33070747:-1 gene:B456_009G328600 transcript:KJB60831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPLDQTNLTLGAVTEASATANWTINASNIRTVKVSNISLAASERDIKEFFSFSGDIQYVEMRRETENAQVAYVTFKDTQGADTAILLTGAKIVDLSVNITPVEDYQLPPEALLSNTEKKPVVADSTVQKAEDMVSTMLAKGFILGKDAINKAKAFDEQHHLTSNASAAVTSFDQKIGLSEKLSIGTAVVNEKMREMDGRFQVSETTRFALSVAEQKASIAGTAIMSNSYVSTGASWLSNAFTAVARAAEDVGMLTKEKVGKAEEEKKGSVYKERARIIEDFAELHLDESPKAEPSVGLVDSTTDKQA >KJB60830 pep chromosome:Graimondii2_0_v6:9:33066149:33070478:-1 gene:B456_009G328600 transcript:KJB60830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLDQTNLTLGAVTEASATANWTINASNIRTVKVSNISLAASERDIKEFFSFSGDIQYVEMRRETENAQVAYVTFKDTQGADTAILLTGAKIVDLSVNITPVEDYQLPPEALLSNTEKKPVVADSTVQKAEDMVSTMLAKGFILGKDAINKAKAFDEQHHLTSNASAAVTSFDQKIGLSEKLSIGTAVVNEKMREMDGRFQVSETTRFALSVAEQKASIAGTAIMSNSYVSTGASWLSNAFTAVARAAEDVGMLTKEKVGKAEEEKKGSVYKERARIIEDFAELHLDESPKAEPSVGLVDSTTDKQA >KJB60876 pep chromosome:Graimondii2_0_v6:9:33659575:33663220:1 gene:B456_009G329400 transcript:KJB60876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCGRHNGAVRQYIRSKVPRLRWTPQLHHCFLHAVDRLGGQHKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRSDYGRQVKSSTYERRQSFDDNHDFDGRVVPIEESCSHLIYNNPFPSKRHGICETRVSNTCSFDDYKQTMDARKRIKQDNGGYVWDQTINDSHSLGQPLALSLPHDLYSLNAFRSYSLATKVEVEDQKRAHAQDAKLVERNIRRQEEEDGGGGGCELSLSLSLQYHNTSSTSETSSSEAFSSYLRSNYKDCWGSSSTSTSSSSSSSSSVTRRSAINLDLSIALCGN >KJB60877 pep chromosome:Graimondii2_0_v6:9:33659961:33663042:1 gene:B456_009G329400 transcript:KJB60877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTDLVANIVKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRSDYGRQVKSSTYERRQSFDDNHDFDGRVVPIEESCSHLIYNNPFPSKRHGICETRVSNTCSFDDYKQTMDARKRIKQDNGGYVWDQTINDSHSLGQPLALSLPHDLYSLNAFRSYSLATKVEVEDQKRAHAQDAKLVERNIRRQEEEDGGGGGCELSLSLSLQYHNTSSTSETSSSEAFSSYLRSNYKDCWGSSSTSTSSSSSSSSSVTRRSAINLDLSIALCGN >KJB60878 pep chromosome:Graimondii2_0_v6:9:33659403:33663251:1 gene:B456_009G329400 transcript:KJB60878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCGRHNGAVRQYIRSKVPRLRWTPQLHHCFLHAVDRLGGQHKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRSDYGRQVKSSTYERRQSFDDNHDFDGRVVPIEESCSHLIYNNPFPSKRHGICETRVSNTCSFDDYKQTMDARKRIKQDNGGYVWDQTINDSHSLGQPLALSLPHDLYSLNAFRSYSLATKVEVEDQKRAHAQDAKLVERNIRRQEEEDGGGGGCELSLSLSLQYHNTSSTSETSSSEAFSSYLRSNYKDCWGSSSTSTSSSSSSSSSVTRRSAINLDLSIALCGN >KJB53989 pep chromosome:Graimondii2_0_v6:9:1202734:1204285:-1 gene:B456_009G015000 transcript:KJB53989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSPKEDDPGVLKLLEALKQASHELQAHPTYKSVQSNSSPIKALLELETESDSIISKDPHLSTLSRHLAELKILVETLERNRGYGLRSFLTRRVSTHSISRVAGSIESEVQALMDRGSIDSLINALKDPWKDEDELARLLSQFEVRVSQGFNPELQDSVLKSKAFSVLQTVLCDPNCSKQIREQAAFCVAALIRFNKDVFVGQVNMGDTIQALLEMKSAHSVKILCELIKFIKSPFVDEILCNGELPKILTLLESKDLSMKVVVFDCILEIGYFGRKEAVEAMLNGGLIEKLVELQRSEFGGDLIGMGKYGNENEESEGQKREKKFLENHPFASCVARFAVQLEVGEGLRKREKRAFKAEILEKVREASVNDAEAATIIAEVLWGSSP >KJB59300 pep chromosome:Graimondii2_0_v6:9:20055054:20058524:1 gene:B456_009G248500 transcript:KJB59300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVILNSSVDFIEDGELPSQTLYATIVADLSWAMFQEMSQIIFKFLPQRSKCWGQCLIPLQFNSVSLDNEASCPRQSLVKATSFTTTPSPPSTLFPSKLLSAELQPFFSFLFSIVCILGTMSFLRPCALYKSFITFPSRRWMPCQSWGFLRWPGFDGFFRFLVIALLWSTFSEIRFIPSSSMYPTLRVGDRIIVEKASYFFRSPAINDIVTFRPPEQETGFGKDAVLIKRVVAKGGDLVQVHHGSLYVNGVAQNEDFIAERPSYTLELKYVPSGHVYVLGDNRNNSYDSHDWGPLPVENIVGRYVMCCYRPSNH >KJB54612 pep chromosome:Graimondii2_0_v6:9:3038985:3041054:-1 gene:B456_009G041400 transcript:KJB54612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTSGTPEQRRGTTVSHHHQQALLTSPVVKIDKATTSKQFCFGFKDCLGKGSVASTNPQHLQQHQQGKQQRSRSPTSKLEHQVTELEQEVQKQKEIRSMYKMRMERTQDYLRYCLQIAQDNGFLDLLSNHKSPVSRDVVLNIDTTSPQLPAPVSHQSDLGLLINQAKLNGWFIDPIEIELREVIGQGSTADIYRGIWRGLEVAVKCIYPDFFEKNENGVSFFAQEVETLSKQHHRFILQLMGACLEPPIQGWIVTEFLSMTLKDWLHGPGNNRRKERVIPLPPLQERLNKAVEIAQAMQYLHEQKPKVIHRDLKPSNIFLDDAKHVRVADFGHARFLSDEEMALTGETGTFVYMAPEVIRCEPYNEKCDVYSFGIILNELITGNYPYTETNYGPAKIALEVGDGKLRPALPEDNGERKELIELICQSWDGDACVRPTFANITSTLSNIQTRFNDNSIPSIH >KJB60258 pep chromosome:Graimondii2_0_v6:9:25883960:25889240:-1 gene:B456_009G296500 transcript:KJB60258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDTQALVNDFLNKLKKRKIEGSQATAKQTAELLRSVISQTRMPYTNQAAALIHSVKAVGERLIAANPVELAVGNVVRRVLHIVREEDLSLTTAAMAGLNLSAESDDEDDTDRDNHPALSAAAIAAASRSTLRPPSLQTLLEDVPDSAAVPHTSSSGGDSEGKSKSADKSSRSRKLKHDVIEAVNELIQDISTCHELIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVTRGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHHPEVLLNELRSPSELLDFGEFSDCLDFGSGIGAPLLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVLERRTTSGN >KJB60262 pep chromosome:Graimondii2_0_v6:9:25883960:25889240:-1 gene:B456_009G296500 transcript:KJB60262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDTQALVNDFLNKLKKRKIEGSQATAKQTAELLRSVISQTRMPYTNQAAALIHSVKAVGERLIAANPVELAVGNVVRRVLHIVREEDLSLTTAAMAGLNLSAESDDEDDTDRDNHPALSAAAIAAASRSTLRPPSLQTLLEDVPDSAAVPHTSSSGGDSEGKSKSADKSSRSRKLKHDVIEAVNELIQDISTCHELIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVTRGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHHPEVLLNELRSPSELLDFGEFSDCLDFGSGIGAPLLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVLERRTTSGN >KJB60260 pep chromosome:Graimondii2_0_v6:9:25883960:25888533:-1 gene:B456_009G296500 transcript:KJB60260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVMAELAVGNVVRRVLHIVREEDLSLTTAAMAGLNLSAESDDEDDTDRDNHPALSAAAIAAASRSTLRPPSLQTLLEDVPDSAAVPHTSSSGGDSEGKSKSADKSSRSRKLKHDVIEAVNELIQDISTCHELIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVTRGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHHPEVLLNELRSPSELLDFGEFSDCLDFGSGIGAPLLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVLERRTTSGN >KJB60263 pep chromosome:Graimondii2_0_v6:9:25884619:25888830:-1 gene:B456_009G296500 transcript:KJB60263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYTNQAAALIHSVKAVGERLIAANPVELAVGNVVRRVLHIVREEDLSLTTAAMAGLNLSAESDDEDDTDRDNHPALSAAAIAAASRSTLRPPSLQTLLEDVPDSAAVPHTSSSGGDSEGKSKSADKSSRSRKLKHDVIEAVNELIQDISTCHELIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVTRGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHHPEVLLNELRSPSELLDFGEFSDCLDFGSGIGAPLLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVLERRTTSGN >KJB60264 pep chromosome:Graimondii2_0_v6:9:25883960:25889240:-1 gene:B456_009G296500 transcript:KJB60264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDTQALVNDFLNKLKKRKIEGSQATAKQTAELLRSVISQTRMPYTNQAAALIHSVKAVGERLIAANPVELAVGNVVRRVLHIVREEDLSLTTAAMAGLNLSAESDDEDDTDRDNHPALSAAAIAAASRSTLRPPSLQTLLEDVPDSAAVPHTSSSGGDSEGKSKSADKSSRSRKLKHDVIEAVNELIQDISTCHELIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVTRGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHHPEVLLNELRSPSELLDFGEFSDCLDFGSGIGAPLLHVVNPTFDYVPPKLRRA >KJB60261 pep chromosome:Graimondii2_0_v6:9:25886441:25889050:-1 gene:B456_009G296500 transcript:KJB60261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDTQALVNDFLNKLKKRKIEGSQATAKQTAELLRSVISQTRMPYTNQAAALIHSVKAVGERLIAANPVELAVGNVVRRVLHIVREEDLSLTTAAMAGLNLSAESDDEDDTDRDNHPALSAAAIAAASRSTLRPPSLQTLLEDVPDSAAVPHTSSSGGDSEGKSKSADKSSRSRKLKHDVIEAVNELIQDISTCHELIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVTRGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKVLWHNKCFTTKLLYILVQ >KJB60259 pep chromosome:Graimondii2_0_v6:9:25883811:25889243:-1 gene:B456_009G296500 transcript:KJB60259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDTQALVNDFLNKLKKRKIEGSQATAKQTAELLRSVISQTRMPYTNQAAALIHSVKAVGERLIAANPVELAVGNVVRRVLHIVREEDLSLTTAAMAGLNLSAESDDEDDTDRDNHPALSAAAIAAASRSTLRPPSLQTLLEDVPDSAAVPHTSSSGGDSEGKSKSADKSSRSRKLKHDVIEAVNELIQDISTCHELIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVTRGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHHPEVLLNELRSPSELLDFGEFSDCLDFGSGIGAPLLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVLERRTTSGN >KJB54323 pep chromosome:Graimondii2_0_v6:9:2235171:2237655:1 gene:B456_009G029300 transcript:KJB54323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGETHRFNPTVHVPPWPNLDDDQTAEVHSPLNYNAPCNHFNNNSNPPFYLQEALGMLRRYLPSNELDIESDSELSFHPDSPVDACSCDHFRMYEFKVRRCGRGRAHDWTDCPYAHPGEKARRRDPRKYHYSGTACTDFRKGNCRKGEACEFAHGVFECWLHPARYRTQPCKDGTNCRRRVCFFAHTPEQLRVVSSVDSYDGSPSSAKTLSFSGSPPMSPRAEPSPPISPMAQSLNRSLASASINEAVASMRNLQLGKVKSLPSSWNVQVGCCSPAFGSPRGAVIRPSFCSLPSTPTQNLTRPAVGPLDFWDEACEEEPQMERVESGRDLRAKMFEKLCKENSLERVNPTQSSGGPNVDWVSDLVK >KJB59620 pep chromosome:Graimondii2_0_v6:9:21877171:21881089:-1 gene:B456_009G264400 transcript:KJB59620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFTCCFHHPNIPVSESTAKKQAMESGEPSKKSSFQLQNQPPPRPFSIKSIIFVASIAAGIQFGWALQLSLLTPYVQLLGIPHKWASLIWLCGPISGMLVQPIVGYHSDRCTSRFGRRRPFIAAGAVLVATAVVLIGFAADLGYLFGDNLATETKPRAIVVFVIGFWILDVANNMLQGPCRALLADISGNSQKKTRTANAFFSFFMAVGNVLGFAAGSYTHLHNIFPFTTTKACDVYCANLKSCFFFSILLLLTLTTIALTNVHEKPWSPEPGNAGNLGGGEIEDEEAAAAETAPVPFFGEIFGALKDLKRPMWILLAVTCLNWIAWFPFLLFDTDWMGREVYGGDSQGNILVLKLYNNGVHAGALGLMLNSVVLGFTSLGVELLARGVGGVNRLWGIVNFLLAVCLAMTVLVTKLAESSRRFITVDGVTVPLPPPAGVKAGALSLFAVLGVPLAITYSIPFAMASIFSSSSGAGQGLSLGVLNLAIVIPQILVSLGSGPFDAIFGGGNLPAFVLGAIAAAASGTFALTLLPSLPPDVPAAKTVTAGFH >KJB55096 pep chromosome:Graimondii2_0_v6:9:4500055:4503994:-1 gene:B456_009G062800 transcript:KJB55096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVKAVLKARHSFQRLGILSHQRCLSAQPNYALYDDFEDQVLVEGRAKSRAAILNRPSALNALTASMAARLKRLYESWEENPDIGFVLMKGNGRAFCSGVDTVALHRLLNEGKVYECKRFFETLYKFVYLQGTYLKPHVAIFDGITMGCGGGISLPGMFRLVTDKTVFSHPETQLGFHPDAGASFYLSRLPGYLGEYLALTGDKLNGVEMIACGLATHYCLNARLAWIEERLGNLMNDDPAVIDSSLAQYGDLVYPDRSSILRRIDTIDKCFSHDTVEEIIDSLENEAAGAYDDWCKTVLRKMKEASPLSLKVTLRSIREGRFQSLDQCLAREYRISLAWISKSVSNDFTEGVRARLVDKDFAPKWYPASLEEVSKDMVEYYFTPLGELEPELVLPTSLREPYI >KJB55097 pep chromosome:Graimondii2_0_v6:9:4500904:4503985:-1 gene:B456_009G062800 transcript:KJB55097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVKAVLKARHSFQRLGILSHQRCLSAQPNYALYDDFEDQVLVEGRAKSRAAILNRPSALNALTASMAARLKRLYESWEENPDIGFVLMKGNGRAFCSGVDTVALHRLLNEGKVYECKRFFETLYKFVYLQGTYLKPHVAIFDGITMGCGGGISLPGMFRLVTDKTVFSHPETQLGFHPDAGASFYLSRLPGYLGEYLALTGDKLNGVEMIACGLATHYCLNARLAWIEERLGNLMNDDPAVIDSSLAQYGDLVYPDRSSILRRIDTIDKCFSHDTVEEIIDSLENEAAGAYDDWCKTVLRKMKEASPLSLKVTLRSIREGRFQSLDQCLAREYRISLAWISKSVSNDFTEVWAVLVHIESTS >KJB55094 pep chromosome:Graimondii2_0_v6:9:4500055:4503985:-1 gene:B456_009G062800 transcript:KJB55094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVKAVLKARHSFQRLGILSHQRCLSAQPNYALYDDFEDQVLVEGRAKSRAAILNRPSALNALTASMAARLKRLYESWEENPDIGFVLMKGNGRAFCSGVDTVALHRLLNEETQLGFHPDAGASFYLSRLPGYLGEYLALTGDKLNGVEMIACGLATHYCLNARLAWIEERLGNLMNDDPAVIDSSLAQYGDLVYPDRSSILRRIDTIDKCFSHDTVEEIIDSLENEAAGAYDDWCKTVLRKMKEASPLSLKVTLRSIREGRFQSLDQCLAREYRISLAWISKSVSNDFTEGVRARLVDKDFAPKWYPASLEEVSKDMVEYYFTPLGELEPELVLPTSLREPYI >KJB55095 pep chromosome:Graimondii2_0_v6:9:4500842:4503816:-1 gene:B456_009G062800 transcript:KJB55095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVKAVLKARHSFQRLGILSHQRCLSAQPNYALYDDFEDQVLVEGRAKSRAAILNRPSALNALTASMAARLKRLYESWEENPDIGFVLMKGNGRAFCSGVDTVALHRLLNEGKVYECKRFFETLYKFVYLQGTYLKPHVAIFDGITMGCGGGISLPGMFRLVTDKTVFSHPETQLGFHPDAGASFYLSRLPGYLGEYLALTGDKLNGVEMIACGLATHYCLNARLAWIEERLGNLMNDDPAVIDSSLAQYGDLVYPDRSSILRRIDTIDKCFSHDTVEEIIDSLENEAAGAYDDWCKTVLRKMKEASPLSLKVTLRSIREGRFQSLDQCLAREYRISLAWISKSVSNDFTEGVRARLVDKDFAPKVFSFF >KJB55093 pep chromosome:Graimondii2_0_v6:9:4500055:4503684:-1 gene:B456_009G062800 transcript:KJB55093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLKRLYESWEENPDIGFVLMKGNGRAFCSGVDTVALHRLLNEGKVYECKRFFETLYKFVYLQGTYLKPHVAIFDGITMGCGGGISLPGMFRLVTDKTVFSHPETQLGFHPDAGASFYLSRLPGYLGEYLALTGDKLNGVEMIACGLATHYCLNARLAWIEERLGNLMNDDPAVIDSSLAQYGDLVYPDRSSILRRIDTIDKCFSHDTVEEIIDSLENEAAGAYDDWCKTVLRKMKEASPLSLKVTLRSIREGRFQSLDQCLAREYRISLAWISKSVSNDFTEGVRARLVDKDFAPKWYPASLEEVSKDMVEYYFTPLGELEPELVLPTSLREPYI >KJB59052 pep chromosome:Graimondii2_0_v6:9:18676177:18678153:-1 gene:B456_009G236400 transcript:KJB59052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRCFSLTAARNRCYKSSFLKAGLRSTITDLQDGTVMHCWVPKTHNDSKPNLLLIHGLGANTMWQWGDTIRKMIPFFNIFVPDLVFFGDSYTTRPERSESFQAQCVMRVMEANSVKKLSLVGLSYGGFVGYSLAAQFSEAVERVVICCAGVCMEEKDLKEGVFKVSDLEEAADILVPQTPEKLRELLGFAMFKPPPSTLVPSCLLADFIDVSEPTISVSRTSTNFSSLLIANRLPQYVCFAFGVVFFFMLIRAAYTNRLCVQSTRKKRKS >KJB59051 pep chromosome:Graimondii2_0_v6:9:18676177:18678153:-1 gene:B456_009G236400 transcript:KJB59051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRCFSLTAARNRCYKSSFLKAGLRSTITDLQDGTVMHCWVPKTHNDSKPNLLLIHGLGANTMWQWGDTIRKMIPFFNIFVPDLVFFGDSYTTRPERSESFQAQCVMRVMEANSVKKLSLVGLSYGGFVGYSLAAQFSEAVERVVICCAGVCMEEKDLKEGVFKVSDLEEAADILVPQTPEKLRELLGFAMFKPPPSTLVPSCLLADFIDVMCTEYTEEKKELIRAIPKDRKLSNITRISQVKKASGR >KJB59053 pep chromosome:Graimondii2_0_v6:9:18677009:18677821:-1 gene:B456_009G236400 transcript:KJB59053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRCFSLTAARNRCYKSSFLKAGLRSTITDLQDGTVMHCWVPKTHNDSKPNLLLIHGLGANTMWQWGDTIRKMIPFFNIFVPDLVFFGDSYTTRPERSESFQAQCVMRVMEANSVKKLSLVGLSYGGFVGYSLAAQFSEAVERVVICCAGVCMEEKDLKEGVFKVSDLEEAADILVPQTPEKLRELLGFAMFKPPPSTLVPSCLLADFIDVSEPTISVSRTSTNFSSLLIANRLPQYVCFAFGVVFFFMLIRAAYTNRLCVQSTRKKRKS >KJB59050 pep chromosome:Graimondii2_0_v6:9:18676176:18678201:-1 gene:B456_009G236400 transcript:KJB59050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRCFSLTAARNRCYKSSFLKAGLRSTITDLQDGTVMHCWVPKTHNDSKPNLLLIHGLGANTMWQWGDTIRKMIPFFNIFVPDLVFFGDSYTTRPERSESFQAQCVMRVMEANSVKKLSLVGLSYGGFVGYSLAAQFSEAVERVVICCAGVCMEEKDLKEGVFKVSDLEEAADILVPQTPEKLRELLGFAMFKPPPSTLVPSCLLADFIDVMCTEYTEEKKELIRAIPKDRKLSNITRISQPTLILWGEYDKIFPLELGHRLKRHLGDNAHLVVIKGTGHAFIVEKPKESYRHLKSFLVDLQPPPSTPSPIPNNENHLSSCKGEAEKITLKTA >KJB57772 pep chromosome:Graimondii2_0_v6:9:13866832:13868605:-1 gene:B456_009G179900 transcript:KJB57772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSLWHLGQSITRRVAQADKKAAARRFFASEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTVNCRQNGSLFDVSHMCGLSLKGKDCVPFLEKLVIADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVKDDHIYLVVNAGCRDKDLAHIEEHMKAFKSKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGEFRIMYINGALCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSGGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLTWAIGKRRRAEGGFLGAEVILKQLEEGPSIRRVGFTSNGPPPRSHSEIQDEKGNNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKAKILVRGKAYDGVVTKMPFVPTKYYKPS >KJB57773 pep chromosome:Graimondii2_0_v6:9:13866560:13869070:-1 gene:B456_009G179900 transcript:KJB57773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSLWHLGQSITRRVAQADKKAAARRFFASEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTVNCRQNGSLFDVSHMCGLSLKGKDCVPFLEKLVIADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVKDDHIYLVVNAGCRDKDLAHIEEHMKAFKSKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGEFRIMYINGALCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSGGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLTWAIGKRRRAEGGFLGAEVILKQLEEGPSIRRVGFTSNGPPPRSHSEIQDEKGNNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKAKILVRGKAYDGVVTKMPFVPTKYYKPS >KJB57770 pep chromosome:Graimondii2_0_v6:9:13866832:13868605:-1 gene:B456_009G179900 transcript:KJB57770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSLWHLGQSITRRVAQADKKAAARRFFASEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTVNCRQNGSLFDVSHMCGLSLKGKDCVPFLEKLVIADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVKDDHIYLVVNAGCRDKDLAHIEEHMKAFKSKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGEFRIMYINGALCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSGGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLTWAIGKRRRAEGGFLGAEVILKQLEEGPSIRRVGFTSNGPPPRSHSEIQDEKGNNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKAKILVRGKAYDGVVTKMPFVPTKYYKPS >KJB57774 pep chromosome:Graimondii2_0_v6:9:13866381:13869835:-1 gene:B456_009G179900 transcript:KJB57774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSLWHLGQSITRRVAQADKKAAARRFFASEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTVNCRQNGSLFDVSHMCGLSLKGKDCVPFLEKLVIADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVKDDHIYLVVNAGCRDKDLAHIEEHMKAFKSKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGEFRIMYINGALCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSGGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLTWAIGKRRRAEGGFLGAEVILKQLEEGPSIRRVGFTSNGPPPRSHSEIQDEKGNNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKAKILAQLQHISPWLQLSSFLVSCKLLSPCN >KJB57767 pep chromosome:Graimondii2_0_v6:9:13866381:13869783:-1 gene:B456_009G179900 transcript:KJB57767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSLWHLGQSITRRVAQADKKAAARRFFASEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTVNCRQNGSLFDVSHMCGLSLKGKDCVPFLEKLVIADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVKDDHIYLVVNAGCRDKDLAHIEEHMKAFKSKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGEFRIMYINGALCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSGGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLTWAIGKRRRAEGGFLGAEVILKQLEEGPSIRRVGFTSNGPPPRSHSEIQDEKGNNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKAKILVRGKAYDGVVTKMPFVPTKYYKPS >KJB57771 pep chromosome:Graimondii2_0_v6:9:13866832:13868605:-1 gene:B456_009G179900 transcript:KJB57771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSLWHLGQSITRRVAQADKKAAARRFFASEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTVNCRQNGSLFDVSHMCGLSLKGKDCVPFLEKLVIADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVKDDHIYLVVNAGCRDKDLAHIEEHMKAFKSKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGEFRIMYINGALCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSGGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLTWAIGKRRRAEGGFLGAEVILKQLEEGPSIRRVGFTSNGPPPRSHSEIQDEKGNNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKAKILVRGKAYDGVVTKMPFVPTKYYKPS >KJB57769 pep chromosome:Graimondii2_0_v6:9:13866832:13868605:-1 gene:B456_009G179900 transcript:KJB57769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSLWHLGQSITRRVAQADKKAAARRFFASEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTVNCRQNGSLFDVSHMCGLSLKGKDCVPFLEKLVIADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVKDDHIYLVVNAGCRDKDLAHIEEHMKAFKSKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGEFRIMYINGALCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSGGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLTWAIGKRRRAEGGFLGAEVILKQLEEGPSIRRVGFTSNGPPPRSHSEIQDEKGNNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKAKILVRGKAYDGVVTKMPFVPTKYYKPS >KJB57768 pep chromosome:Graimondii2_0_v6:9:13866560:13869657:-1 gene:B456_009G179900 transcript:KJB57768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSLWHLGQSITRRVAQADKKAAARRFFASEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTVNCRQNGSLFDVSHMCGLSLKGKDCVPFLEKLVIADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVKDDHIYLVVNAGCRDKDLAHIEEHMKAFKSKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGEFRIMYINGALCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSGGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLTWAIGKRRRAEGGFLGAEVILKQLEEGPSIRRVGFTSNGPPPRSHSEIQDEKGNNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKAKILVRGKAYDGVVTKMPFVPTKYYKPS >KJB58045 pep chromosome:Graimondii2_0_v6:9:14753195:14754379:1 gene:B456_009G191600 transcript:KJB58045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIWGFLCLVGATLGALTGAFVGAKTKMGCLHGAMVGAIKGSFFSINLFKISLRICRSDDISTTYLLQPVSVFYSTFNEVIRNELSKDSMVKIPKTRITEQNVWDSFRNRISCSICLEDFTPGEIAHSLPQCHHMFHTSCIKQWLMQHKSCPLCRRKFRSSKWH >KJB62317 pep chromosome:Graimondii2_0_v6:9:62201201:62204273:-1 gene:B456_009G411300 transcript:KJB62317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPANGAQDSGSFLTPLLISLAGIVATCLALIVYHFLLVKYCLRWGDQPDDATNPSIATGLQHKILETIPILSFSRDKDEELGTHQTECAVCLGELKEGETIRLLPNCRHLFHVPCIDNWFLAHSTCPICRTLVDYTSLESPPANHIGGERVPYLARNIGQGGDQASSTSGVQSNTLLRHCLPLVFPRESKTHVITGLKGSLSMDQFHIYINLIDDSGDASSSTSKMILWKSNSCKAPSMRQLDRMSSLLRSFSQLRVERQR >KJB62318 pep chromosome:Graimondii2_0_v6:9:62203346:62204158:-1 gene:B456_009G411300 transcript:KJB62318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPANGAQDSGSFLTPLLISLAGIVATCLALIVYHFLLVKYCLRWGDQPDDATNPSIATGLQHKILETIPILSFSRDKDEELGTHQTECAVCLGELKEGETIRLLPNCRHLFHVPCIDNWFLAHSTCPICRTLVDYTSLESPPANHIGGERVPYLARNIGQGGDQASSTSGVQSNTLLRHCLPLVFPRESKTHVITGLKGSLSMDQFHIYINLIDDSGDASSSTSKMILWKSNSCKAPSMRQLDRMSSLLRSFSQLRVGRSRTSDGILPY >KJB61872 pep chromosome:Graimondii2_0_v6:9:52560341:52560868:1 gene:B456_009G387700 transcript:KJB61872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGRDSVLLVRFLYLLVFSFGTLPFIETVAGTGASVLTSTFSGSESCATASISSSGFTAFVDPATFFLALFSRS >KJB57117 pep chromosome:Graimondii2_0_v6:9:11317286:11318838:1 gene:B456_009G148900 transcript:KJB57117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQITPSSDLDFVDDFYYSALFDEDEHEIFPPSDEKYAEELQFQEALMSSTVFSKMGNNDICNPCIAHASSPLLIQAVPLPELLEMETEETGESSLSFCEICAERKENDQMFITQSCNHSYCSDCISKHVSTRVEENLTVVRCPGVNCETVLELDECRPLLPEVVIHRWEDALCQEFISASQRFYCPFRDCSASLLNDGGEVIREAQCPFCHRLFCAQCCVPWHPGIACENFQRLNEYERGREDLMVRELAKENKWARCPKCKYYVERTAGCPHMVCRCQFEFCYGCGEKWSNTHGGCQRD >KJB61435 pep chromosome:Graimondii2_0_v6:9:47049015:47053353:1 gene:B456_009G358300 transcript:KJB61435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVDNLGEAVEAAKNNDLEVETTKSESKEFNVQNLVDMFTKLNPLAKEFFPSSFHHNQTKKSDNFNQVPVKQSAGNVNFSNKRGRNNVNQGRRRLNGRAFRAQRDDSIRRTVYVSDIDQTITEEQLAGLFSNCGQVVDCRVCGDPHSVLRFAFVEFADEQGARAALSLDGTMLGFYPVRVLPSKTAILPVNPTFLPRSENEREMCTRTVYCTNIDKKVSQTEMKSFFESTCGEVTRLRLLGDQVHSTRIAFVEFAMAESAIMALNCSGMVLGTQAIRVSPSKTPVRPRVTRPTLR >KJB61436 pep chromosome:Graimondii2_0_v6:9:47049124:47053353:1 gene:B456_009G358300 transcript:KJB61436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVDNLGEAVEAAKNNDLEVETTKSESKEFNVQNLVDMFTKLNPLAKEFFPSSFHHNQTKKSDNFNQVPVKQSAGNVNFSNKRGRNNVNQGRRRLNGRAFRAQRDDSIRRTVYVSDIDQTITEEQLAGLFSNCGQVVDCRVCGDPHSVLRFAFVEFADEQGARAALSLDGTMLGFYPVRVLPSKTAILPVNPTFLPRSENEREMCTRTVYCTNIDKKVSQTEMKSFFESTCGEVTRLRLLGDQVHSTRIAFVEFAMAESAIMALNCSGMVLGTQAIRVSPSKTPVRPRVTRPTLR >KJB61437 pep chromosome:Graimondii2_0_v6:9:47049124:47053353:1 gene:B456_009G358300 transcript:KJB61437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVDNLGEAVEAAKNNDLEVETTKSESKEFNVQNLVDMFTKLNPLAKEFFPSSFHHNQTKKSDNFNQVPVKQSAGNVNFSNKRGRNNVNQGRRRLNGRAFRAQRDDSIRRTVYVSDIDQTITEEQLAGLFSNCGQVVDCRVCGDPHSVLRFAFVEFADERARAALSLDGTMLGFYPVRVLPSKTAILPVNPTFLPRSENEREMCTRTVYCTNIDKKVSQTEMKSFFESTCGEVTRLRLLGDQVHSTRIAFVEFAMAESAIMALNCSGMVLGTQAIRVSPSKTPVRPRVTRPTLR >KJB62410 pep chromosome:Graimondii2_0_v6:9:63935961:63939855:-1 gene:B456_009G415500 transcript:KJB62410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAEPLPFGFQGNCSFRTYFFMHEYGYPNAFFYYFLFVNKYLIENCLYRWSSVCLKLMIMNQQDSDFMHWVLGGDLFYPSVYSYTIQHDNGEMYHHMHDFRGHTDAQSSQIENDKVIAGILQEEFSHLDVAEASQFSHADEQQLQASSGPYDWYSPLATNYNYSAYEYGQDESDVLVPPSSCPSPSDSEDFSSSLEPTDGYYILDDDLGKRLNQMIPIPHVPRINGEIPSFDEAMSDHERLLNRLQLYGFDELKVQGDGNCQFRALSDQIYRTPDNHKNVRRQVVNQLKSHQEAYEGYVPMDYADYLKEMSKSGEWGDHVTLQAAADTYGVRIFVLTSFKDTCYIEIIPNFQKPKGVIFLSFWAEVHYNSIHFQGDFPSTEVQKKKKWWNFGN >KJB62419 pep chromosome:Graimondii2_0_v6:9:63937130:63940714:-1 gene:B456_009G415500 transcript:KJB62419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNQQDSDFMHWVLGGDLFYPSVYSYTIQHDNGEMYHHMHDFRGHTDAQSSQIENDKVIAGILQEEFSHLDVAEASQFSHADEQQLQASSGPYDWYSPLATNYNYSAYEYGQDESDVLVPPSSCPSPSDSEDFSSSLEPTDGYYILDDDLGKRLNQMIPIPHVPRINGEIPSFDEAMSDHERLLNRLQLYGFDELKVQGDGNCQFRALSDQIYRTPDNHKNVRRQVVNQLKSHQEAYEGYVPMDYADYLKEMSKSGEWGDHVTLQAAADTYGVRIFVLTSFKDTCYIEIIPNFQKPKGGKILALGFPVD >KJB62411 pep chromosome:Graimondii2_0_v6:9:63935961:63941096:-1 gene:B456_009G415500 transcript:KJB62411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNQQDSDFMHWVLGGDLFYPSVYSYTIQHDNGEMYHHMHDFRGHTDAQSSQIENDKVIAGILQEEFSHLDVAEASQFSHADEQQLQASSGPYDWYSPLATNYNYSAYEYGQDESDVLVPPSSCPSPSDSEDFSSSLEPTDGYYILDDDLGKRLNQMIPIPHVPRINGEIPSFDEAMSDHERLLNRLQLYGFDELKVQGDGNCQFRALSDQIYRTPDNHKNVRRQVVNQLKSHQEAYEGYVPMDYADYLKEMSKSGEWGDHVTLQAAADTYGVRIFVLTSFKDTCYIEIIPNFQKPKGGGSALLLGEAFEVSSSEQLIRPSNSGVIFLSFWAEVHYNSIHFQGDFPSTEVQKKKKWWNFGN >KJB62414 pep chromosome:Graimondii2_0_v6:9:63937761:63939318:-1 gene:B456_009G415500 transcript:KJB62414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNQQDSDFMHWVLGGDLFYPSVYSYTIQHDNGEMYHHMHDFRGHTDAQSSQIENDKVIAGILQEEFSHLDVAEASQFSHADEQQLQASSGPYDWYSPLATNYNYSAYEYGQDESDVLVPPSSCPSPSDSEDFSSSLEPTDGYYILDDDLGKRLNQMIPIPHVPRINGEIPSFDEAMSDHERLLNRLQLYGFDELKVQGDGNCQFRALSDQIYRTPDNHKNVRRQVVNQLKSHQEAYEGYVPMDYADYLKEMSKSGEWGDHVTLQAAADTVWATNFIINKHLSLKKLCCDQTYMITNLLFLDLISLVA >KJB62412 pep chromosome:Graimondii2_0_v6:9:63936446:63939886:-1 gene:B456_009G415500 transcript:KJB62412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAEPLPFGFQGNCSFRTYFFMHEYGYPNAFFYYFLFVNKYLIENCLYRWSSVCLKLMIMNQQDSDFMHWVLGGDLFYPSVYSYTIQHDNGEMYHHMHDFRGHTDAQSSQIENDKVIAGILQEEFSHLDVAEASQFSHADEQQLQASSGPYDWYSPLATNYNYSAYEYGQDESDVLVPPSSCPSPSDSEDFSSSLEPTDGYYILDDDLGKRLNQMIPIPHVPRINGEIPSFDEAMSDHERLLNRLQLYGFDELKVQGDGNCQFRALSDQIYRTPDNHKNVRRQVVNQLKSHQEAYEGYVPMDYADYLKEMSKSGEWGDHVTLQAAADTVWATNFIINKHLSLKKLCCDQTYMITNLLFLDLISLVA >KJB62417 pep chromosome:Graimondii2_0_v6:9:63938011:63939318:-1 gene:B456_009G415500 transcript:KJB62417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNQQDSDFMHWVLGGDLFYPSVYSYTIQHDNGEMYHHMHDFRGHTDAQSSQIENDKVIAGILQEEFSHLDVAEASQFSHADEQQLQASSGPYDWYSPLATNYNYSAYEYGQDESDVLVPPSSCPSPSDSEDFSSSLEPTDGYYILDDDLGKRLNQMIPIPHVPRINGEIPSFDEAMSDHERLLNRLQLYGFDELKVQGDGNCQFRALSDQIYRTPDNHKNVRRQVVNQLKSHQEAYEGYVPMDYADYLKEMSKY >KJB62409 pep chromosome:Graimondii2_0_v6:9:63935921:63941096:-1 gene:B456_009G415500 transcript:KJB62409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNQQDSDFMHWVLGGDLFYPSVYSYTIQHDNGEMYHHMHDFRGHTDAQSSQIENDKVIAGILQEEFSHLDVAEASQFSHADEQQLQASSGPYDWYSPLATNYNYSAYEYGQDESDVLVPPSSCPSPSDSEDFSSSLEPTDGYYILDDDLGKRLNQMIPIPHVPRINGEIPSFDEAMSDHERLLNRLQLYGFDELKVQGDGNCQFRALSDQIYRTPDNHKNVRRQVVNQLKSHQEAYEGYVPMDYADYLKEMSKSGEWGDHVTLQAAADTYGVRIFVLTSFKDTCYIEIIPNFQKPKGVIFLSFWAEVHYNSIHFQGDFPSTEVQKKKKWWNFGN >KJB62413 pep chromosome:Graimondii2_0_v6:9:63937926:63939318:-1 gene:B456_009G415500 transcript:KJB62413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNQQDSDFMHWVLGGDLFYPSVYSYTIQHDNGEMYHHMHDFRGHTDAQSSQIENDKVIAGILQEEFSHLDVAEASQFSHADEQQLQASSGPYDWYSPLATNYNYSAYEYGQDESDVLVPPSSCPSPSDSEDFSSSLEPTDGYYILDDDLGKRLNQMIPIPHVPRINGEIPSFDEAMSDHERLLNRLQLYGFDELKVQGDGNCQFRALSDQIYRTPDNHKNVRRQVVNQLKSHQEAYEGYVPMDYADYLKEMSKY >KJB62416 pep chromosome:Graimondii2_0_v6:9:63936446:63940714:-1 gene:B456_009G415500 transcript:KJB62416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNQQDSDFMHWVLGGDLFYPSVYSYTIQHDNGEMYHHMHDFRGHTDAQSSQIENDKVIAGILQEEFSHLDVAEASQFSHADEQQLQASSGPYDWYSPLATNYNYSAYEYGQDESDVLVPPSSCPSPSDSEDFSSSLEPTDGYYILDDDLGKRLNQMIPIPHVPRINGEIPSFDEAMSDHERLLNRLQLYGFDELKVQGDGNCQFRALSDQIYRTPDNHKNVRRQVVNQLKSHQEAYEGYVPMDYADYLKEMSKSGEWGDHVTLQAAADTKHRSFCLLLPSMCCSSLGMV >KJB62418 pep chromosome:Graimondii2_0_v6:9:63937761:63939318:-1 gene:B456_009G415500 transcript:KJB62418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNQQDSDFMHWVLGGDLFYPSVYSYTIQHDNGEMYHHMHDFRGHTDAQSSQIENDKVIAGILQEEFSHLDVAEASQFSHADEQQLQASSGPYDWYSPLATNYNYSAYEYGQDESDVLVPPSSCPSPSDSEDFSSSLEPTDGYYILDDDLGKRLNQMIPIPHVPRINGEIPSFDEAMSDHERLLNRLQLYGFDELKVQGDGNCQFRALSDQIYRTPDNHKNVRRQVVNQLKSHQEAYEGYVPMDYADYLKEMSKSGEWGDHVTLQAAADTVWATNFIINKHLSLKKLCCDQTYMITNLLFLDLISLVA >KJB62415 pep chromosome:Graimondii2_0_v6:9:63936446:63940714:-1 gene:B456_009G415500 transcript:KJB62415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNQQDSDFMHWVLGGDLFYPSVYSYTIQHDNGEMYHHMHDFRGHTDAQSSQIENDKVIAGILQEEFSHLDVAEASQFSHADEQQLQASSGPYDWYSPLATNYNYSAYEYGQDESDVLVPPSSCPSPSDSEDFSSSLEPTDGYYILDDDLGKRLNQMIPIPHVPRINGEIPSFDEAMSDHERLQLYGFDELKVQGDGNCQFRALSDQIYRTPDNHKNVRRQVVNQLKSHQEAYEGYVPMDYADYLKEMSKSGEWGDHVTLQAAADTVWATNFIINKHLSLKKLCCDQTYMITNLLFLDLISLVA >KJB54235 pep chromosome:Graimondii2_0_v6:9:2020514:2023240:-1 gene:B456_009G026600 transcript:KJB54235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGVLQSSPVQQLMAGNPNWWNINTMRPPTHHHQQAAAVAAAPSPFLHPPPTTFFSHTPTSSSSSSSSSSLPVPSWHDNNQELPESWSQLLLGGLVGEEEKGGDIGQFQALHQVSNANSVVDVKQENSASSYVYGHASEDFHHHQANKPAWFHQISSAASSPKSSVTSFSSNMLDFSGNKAEHGRQPQPDRSSECNSTATGGPLKKPRVQPSATQSTLKEKLGDRITSLHQLVSPFGKTDTASVLSEAIGYIRFLQSQIEALSLPYLGSGSANMRHQQQSDCKEEAKKDLRSRGLCLVPVSCTLEVWSGDNGADYWAPPPALGAGFFR >KJB54236 pep chromosome:Graimondii2_0_v6:9:2020514:2023240:-1 gene:B456_009G026600 transcript:KJB54236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGVLQSSPVQQLMAGNPNWWNINTMRPPTHHHQQAAAVAAAPSPFLHPPPTTFFSHTPTSSSSSSSSSSLPVPSWHDNNQELPESWSQLLLGGLVGEEEKGGDIGQFQALHQVSNANSVVDVKQENSASSYVYGHASEDFHHHQANKPAWFHQISSAASSPKSSVTSFSSNMLDFSGNKAEHGRQPQPDRSSECNSTATGGPLKKPRVQPSATQSTLKVRKEKLGDRITSLHQLVSPFGKTDTASVLSEAIGYIRFLQSQIEDCKEEAKKDLRSRGLCLVPVSCTLEVWSGDNGADYWAPPPALGAGFFR >KJB54238 pep chromosome:Graimondii2_0_v6:9:2021729:2023057:-1 gene:B456_009G026600 transcript:KJB54238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGVLQSSPVQQLMAGNPNWWNINTMRPPTHHHQQAAAVAAAPSPFLHPPPTTFFSHTPTSSSSSSSSSSLPVPSWHDNNQELPESWSQLLLGGLVGEEEKGGDIGQFQALHQVSNANSVVDVKQENSASSYVYGHASEDFHHHQANKPAWFHQISSAASSPKSSVTSFSSNMLDFSGNKAEHGRQPQPDRSSECNSTATGGPLKKPRVQPSATQSTLKVRKEKLGDRITSLHQLVSPFGKKTHKEALFFLSLLQAHTAKHKQKESH >KJB54234 pep chromosome:Graimondii2_0_v6:9:2020471:2023320:-1 gene:B456_009G026600 transcript:KJB54234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGVLQSSPVQQLMAGNPNWWNINTMRPPTHHHQQAAAVAAAPSPFLHPPPTTFFSHTPTSSSSSSSSSSLPVPSWHDNNQELPESWSQLLLGGLVGEEEKGGDIGQFQALHQVSNANSVVDVKQENSASSYVYGHASEDFHHHQANKPAWFHQISSAASSPKSSVTSFSSNMLDFSGNKAEHGRQPQPDRSSECNSTATGGPLKKPRVQPSATQSTLKVRKEKLGDRITSLHQLVSPFGKTDTASVLSEAIGYIRFLQSQIEALSLPYLGSGSANMRHQQQSDCKEEAKKDLRSRGLCLVPVSCTLEVWSGDNGADYWAPPPALGAGFFR >KJB54237 pep chromosome:Graimondii2_0_v6:9:2021226:2023057:-1 gene:B456_009G026600 transcript:KJB54237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGVLQSSPVQQLMAGNPNWWNINTMRPPTHHHQQAAAVAAAPSPFLHPPPTTFFSHTPTSSSSSSSSSSLPVPSWHDNNQELPESWSQLLLGGLVGEEEKGGDIGQFQALHQVSNANSVVDVKQENSASSYVYGHASEDFHHHQANKPAWFHQISSAASSPKSSVTSFSSNMLDFSGNKAEHGRQPQPDRSSECNSTATGGPLKKPRVQPSATQSTLKVRKEKLGDRITSLHQLVSPFGKTDTASVLSEAIGYIRFLQSQIEALSLPYLGSGSANMRHQQQSVIIKLYWIHYLKMKLGGREF >KJB54239 pep chromosome:Graimondii2_0_v6:9:2021740:2023240:-1 gene:B456_009G026600 transcript:KJB54239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGVLQSSPVQQLMAGNPNWWNINTMRPPTHHHQQAAAVAAAPSPFLHPPPTTFFSHTPTSSSSSSSSSSLPVPSWHDNNQELPESWSQLLLGGLVGEEEKGGDIGQFQALHQVSNANSVVDVKQENSASSYVYGHASEDFHHHQANKPAWFHQISSAASSPKSSVTSFSSNMLDFSGNKAEHGRQPQPDRSSECNSTATGGPLKKPRVQPSATQSTLKVRKEKLGDRITSLHQLVSPFGKV >KJB54815 pep chromosome:Graimondii2_0_v6:9:3656142:3658790:-1 gene:B456_009G050400 transcript:KJB54815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFRFSSHDKCQSVSLSSLACSCCICWNPSLSFSEGEAVDKFKMSSCSVRRSSKKLENIDENGPPRWLQLSCPLPETPTEPMEFLARSWSLSAMELSKALAKNNIAASNGVDADIKSSSASSVGNIETHDSRQSLIQQQPSDDSPPIISPRESEDLKELFLLHQTLNPEFLSNQQLLKNGIYKSIVRGRTMGKWLKDQKDRKKQEIRAHNAQLHAAVSVAGVAAAVAALTASNAMLPETETTGLKTLSKVPTAMASAAALVASHCIEIAEDMGADHDQILTVVNSAINARTNGDIMTLTAGAATALRGAAALRARLQKAGALALGEDGNGLNITTALNFAATGGELLKRTRKGALHWKQVSFYINSNWQVVVKLKSKHMGGTYTKKKKCVIFGVHDGIPAWPRREKKDNAEQRAYFGIKTAERIIEFECRSKGDKQMWTDGIQHMLNCCNSIAYF >KJB54814 pep chromosome:Graimondii2_0_v6:9:3655816:3658841:-1 gene:B456_009G050400 transcript:KJB54814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFRFSSHDKCQSVSLSSLACSCCICWNPSLSFSEGEAVDKFKMSSCSVRRSSKKLENIDENGPPRWLQLSCPLPETPTEPMEFLARSWSLSAMELSKALAKNNIAASNGVDADIKSSSASSVGNIETHDSRQSLIQQQPSDDSPPIISPRESEDLKELFLLHQTLNPEFLSNQQLLKNGIYKSIVRGRTMGKWLKDQKDRKKQEIRAHNAQLHAAVSVAGVAAAVAALTASNAMLPETETTGLKTLSKVPTAMASAAALVASHCIEIAEDMGADHDQILTVVNSAINARTNGDIMTLTAGAATALRGAAALRARLQKAGALALGEDGNGLNITTALNFAATGGELLKRTRKGALHWKQVSFYINSNWQVVVKLKSKHMGGTYTKKKKCVIFGVHDGIPAWPRREKKDNAEQRAYFGIKTAERIIEFECRSKGDKQMWTDGIQHMLNCCNSIAYF >KJB61623 pep chromosome:Graimondii2_0_v6:9:49953308:49954681:-1 gene:B456_009G370800 transcript:KJB61623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTKRCYFTGNLPPITNAPKLEILVLWGNKLRGNIPNSISNASMLKELDLGDNLFSGPIPKTLGNLRHLEWFRIVNNNLITGSATDHEWSFLSSLTNCKHLKRIIVSGNPLSGVLPTYIGNLSKSLQYFYASNCELQGIIPMEIGNLTNLLLLQLRHNKLSGFIPASIGGLRNLQALDLSSNKLGGPILESLCDLERLYEMSLGLNKLHGSIPSCLGNLTSLGYLYLDSNKLSSTIPSTLWNLKDILEIDLSSNHLHNSHAIDVGNLRSLLKLNFSRNLLTGDILSSFGGLQTLVSLDLSNNILHGHIPESFDGLTSLEFLDLCNNSLSGVIPKSLEKLLHLKYFNVSSNRLEGEIPSKGCFSNFSSTSFMKNYALCGPPRLLVPPCKNDIHKNSQMIILHAFRYGLPTIGIVVVLIVLTIMYRRCQRRSTALPIKDDLLSLKTPRRISHAELSRAL >KJB57325 pep chromosome:Graimondii2_0_v6:9:12115301:12119754:-1 gene:B456_009G157900 transcript:KJB57325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSDDHSASDHTKIPVANESKTVGSAWGIFSGSDIHHAPSDATLFSSSLPVFPHEKLNLNDTDQSYQSLDVITSDLNNLHQDAEGNAPLGDIKANALGNLLPDDENELLAGIMDDFDLSGLPSSVEDLEECDLFGSGGGMELEVDPQESLTIGMAKVSLADAVVGNSVPHYSLPNGVGTIAGEHPYGEHPSRTLFVRNINSNVEDSELRALFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNEDLLQIFGVYGEVKEIRETPHKRHHKFIEFYDVRAAEQALKSLNRSDIAGKRIKLEPSRPGGARRSLMLHLSQELEQEESRGFRHQVDSPMANSPPGSWAQFNSPMEHSPMHSLSKSPVFRTMSPAPSNHLPGLASILLPQGSNSIKVAPIGRDLGRGGHVDYSFTSTNSTHETGFQLSHSLPEPKLSQYNGTITTFGPSNGSSMETLSGSQFLWGNSNSYTDQTNPSVWPKSSMGHPFSSNGKGHGFPYSGQQVSFSGSSPHYGHHHIGSAPSGVPLGRHFGFFPKSSDTSLTNPAAFGGLGVGQNNGGFMVNRGSRAATSSGISIPWSVSENSSSMRMMSSPRLSPVFLGNGPFQGLHNSMEGLAENGRSRQVENNNQLDNKKKFQLDLDKIVSGEDIRTTLMIKNIPNKYTSKMLLAAIDENHQGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKVALVAHFQNSSLMNEDKRCRPILFHSEGPDNGDQGITEQLHSSLNIIRQPNGLNSGNSSGGAKDKDADVEPETS >KJB57326 pep chromosome:Graimondii2_0_v6:9:12113763:12120651:-1 gene:B456_009G157900 transcript:KJB57326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSDDHSASDHTKIPVANESKTVGSAWGIFSGSDIHHAPSDATLFSSSLPVFPHEKLNLNDTDQSYQSLDVITSDLNNLHQDAEGNAPLGDIKANALGNLLPDDENELLAGIMDDFDLSGLPSSVEDLEECDLFGSGGGMELEVDPQESLTIGMAKVSLADAVVGNSVPHYSLPNGVGTIAGEHPYGEHPSRTLFVRNINSNVEDSELRALFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNEDLLQIFGVYGEVKEIRETPHKRHHKFIEFYDVRAAEQALKSLNRSDIAGKRIKLEPSRPGGARRSLMLHLSQELEQEESRGFRHQVDSPMANSPPGSWAQFNSPMEHSPMHSLSKSPVFRTMSPAPSNHLPGLASILLPQGSNSIKVAPIGRDLGRGGHVDYSFTSTNSTHETGFQLSHSLPEPKLSQYNGTITTFGPSNGSSMETLSGSQFLWGNSNSYTDQTNPSVWPKSSMGHPFSSNGKGHGFPYSGQQVSFSGSSPHYGHHHIGSAPSGVPLGRHFGFFPKSSDTSLTNPAAFGGLGVGQNNGGFMVNRGSRAATSSGISIPWSVSENSSSMRMMSSPRLSPVFLGNGPFQGLHNSMEGLAENGRSRQVENNNQLDNKKKFQLDLDKIVSGEDIRTTLMIKNIPNKYTSKMLLAAIDENHQGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKVALVAHFQNSSLMNEDKRCRPILFHSEGPDNGDQGITEQLHSSLNIIRQPNGLNSGNSSGGAKDKDADVEPETS >KJB57328 pep chromosome:Graimondii2_0_v6:9:12114835:12120696:-1 gene:B456_009G157900 transcript:KJB57328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSDDHSASDHTKIPVANESKTVGSAWGIFSGSDIHHAPSDATLFSSSLPVFPHEKLNLNDTDQSYQSLDVITSDLNNLHQDAEGNAPLGDIKANALGNLLPDDENELLAGIMDDFDLSGLPSSVEDLEECDLFGSGGGMELEVDPQESLTIGMAKVSLADAVVGNSVPHYSLPNGVGTIAGEHPYGEHPSRTLFVRNINSNVEDSELRALFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNEDLLQIFGVYGEVKEIRETPHKRHHKFIEFYDVRAAEQALKSLNRSDIAGKRIKLEPSRPGGARRSLMLHLSQELEQEESRGFRHQVDSPMANSPPGSWAQFNSPMEHSPMHSLSKSPVFRTMSPAPSNHLPGLASILLPQGSNSIKVAPIGRDLGRGGHVDYSFTSTNSTHETGFQLSHSLPEPKLSQYNGTITTFGPSNGSSMETLSGSQFLWGNSNSYTDQTNPSVWPKSSMGHPFSSNGKGHGFPYSGQQVSFSGSSPHYGHHHIGSAPSGVPLGRHFGFFPKSSDTSLTNPAAFGGLGVGQNNGGFMVNRGSRAATSSGISIPWSVSENSSSMRMMSSPRLSPVFLGNGPFQGLHNSMEGLAENGRSRQVENNNQLDNKKKFQLDLDKIVSGEDIRTTLMIKNIPNKYTSKMLLAAIDENHQGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKVALVAHFQNSSLMNEDKRCRPILFHSEGPDNGDQGITEQLHSSLNIIRQPNGLNSGNSSGGAKDKDADVEPETS >KJB57327 pep chromosome:Graimondii2_0_v6:9:12115301:12119754:-1 gene:B456_009G157900 transcript:KJB57327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSDDHSASDHTKIPVANESKTVGSAWGIFSGSDIHHAPSDATLFSSSLPVFPHEKLNLNDTDQSYQSLDVITSDLNNLHQDAEGNAPLGDIKANALGNLLPDDENELLAGIMDDFDLSGLPSSVEDLEECDLFGSGGGMELEVDPQESLTIGMAKVSLADAVVGNSVPHYSLPNGVGTIAGEHPYGEHPSRTLFVRNINSNVEDSELRALFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNEDLLQIFGVYGEVKEIRETPHKRHHKFIEFYDVRAAEQALKSLNRSDIAGKRIKLEPSRPGGARRSLMLHLSQELEQEESRGFRHQVDSPMANSPPGSWAQFNSPMEHSPMHSLSKSPVFRTMSPAPSNHLPGLASILLPQGSNSIKVAPIGRDLGRGGHVDYSFTSTNSTHETGFQLSHSLPEPKLSQYNGTITTFGPSNGSSMETLSGSQFLWGNSNSYTDQTNPSVWPKSSMGHPFSSNGKGHGFPYSGQQVSFSGSSPHYGHHHIGSAPSGVPLGRHFGFFPKSSDTSLTNPAAFGGLGVGQNNGGFMVNRGSRAATSSGISIPWSVSENSSSMRMMSSPRLSPVFLGNGPFQGLHNSMEGLAENGRSRQVENNNQLDNKKKFQLDLDKIVSGEDIRTTLMIKNIPNKYTSKMLLAAIDENHQGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKVALVAHFQNSSLMNEDKRCRPILFHSEGPDNGDQGITEQLHSSLNIIRQPNGLNSGNSSGGAKDKDADVEPETS >KJB62408 pep chromosome:Graimondii2_0_v6:9:63899175:63901313:-1 gene:B456_009G415400 transcript:KJB62408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASRNLLYSPPTISPRTQLKNSVFSPSPSSVALFHHEQAVPAATSIPITSVARHFPTSVLSQEQRDDYRPLPVLHLLKEDKAYSRETDKLRIGNGPTLQEEKASDNIGQQEFEQQLLQWPDLRQLLALLELGENPSSSLNMLSVAADTETMTLEPSNVVALAKKALSASKKAASLAEGLELELDDSLSNSLGSVDSSTLPVEEIAVAVRSTKRLERQSKRRRVQPKVVICETYSSRRKDVRRKSSKGSDPNDPLRLFLWGPETKQLLTAEEESVLIIQVQDLKRLVKEKSKLQSQFGREPTLVEWAEAMGLSCSALQAELQSGKRSREKLIHANLRMVVHIAKQYQGRGLSLQDLLQEGSMGLMKSVEKFKPQVGCRFATYAYWWIRQTITKSIMQHSRTIRLPVSKKFMLA >KJB62406 pep chromosome:Graimondii2_0_v6:9:63898063:63901601:-1 gene:B456_009G415400 transcript:KJB62406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASRNLLYSPPTISPRTQLKNSVFSPSPSSVALFHHEQAVPAATSIPITSVARHFPTSVLSQEQRDDYRPLPVLHLLKEDKAYSRETDKLRIGNGPTLQEEKASDNIGQQEFEQQLLQWPDLRLALLELGENPSSSLNMLSVAADTETMTLEPSNVVALAKKALSASKKAASLAEGLELELDDSLSNSLGSVDSSTLPVEEIAVAVRSTKRLERQSKRRRVQPKVVICETYSSRRKDVRRKSSKGSDPNDPLRLFLWGPETKQLLTAEEESVLIIQVQDLKRLVKEKSKLQSQFGREPTLVEWAEAMGLSCSALQAELQSGKRSREKLIHANLRMVVHIAKQYQGRGLSLQDLLQEGSMGLMKSVEKFKPQVGCRFATYAYWWIRQTITKSIMQHSRTIRLPENVYGLLSKVLDAKRSCFQEGNHYPSKEELARRVGITVEKLDKLLLSSRMPLSMQQPVWADQDTTFQEVTPDTGIEIPDVSVAKQLMRQHVRNLLSILSPKERKIIRLRFGIEESKQNSLSEIGKMFRLSKERVRQLESRALYKLKQCLVKQGLGAYEDLLV >KJB62407 pep chromosome:Graimondii2_0_v6:9:63898114:63901534:-1 gene:B456_009G415400 transcript:KJB62407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASRNLLYSPPTISPRTQLKNSVFSPSPSSVALFHHEQAVPAATSIPITSVARHFPTSVLSQEQRDDYRPLPVLHLLKEDKAYSRETDKLRIGNGPTLQEEKASDNIGQQEFEQQLLQWPDLRQLLALLELGENPSSSLNMLSVAADTETMTLEPSNVVALAKKALSASKKAASLAEGLELELDDSLSNSLGSVDSSTLPVEEIAVAVRSTKRLERQSKRRRVQPKVVICETYSSRRKDVRRKSSKGSDPNDPLRLFLWGPETKQLLTAEEESVLIIQVQDLKRLVKEKSKLQSQFGREPTLVEWAEAMGLSCSALQAELQSGKRSREKLIHANLRMVVHIAKQYQGRGLSLQDLLQEGSMGLMKSVEKFKPQVGCRFATYAYWWIRQTITKSIMQHSRTIRLPENVYGLLSKVLDAKRSCFQEGNHYPSKEELARRVGITVEKLDKLLLSSRMPLSMQQPVWADQDTTFQEVTPDTGIEIPDVSVAKQLMRQHVRNLLSILSPKERKIIRLRFGIEESKQNSLSEIGKMFRLSKERVRQLESRALYKLKQCLVKQGLGAYEDLLV >KJB61833 pep chromosome:Graimondii2_0_v6:9:52198756:52199971:1 gene:B456_009G384800 transcript:KJB61833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVVGIANCLGTPVCKHLQYHTKLNDYVINFKRIRDELNCNMEDIELQLKAELLRPLGKILKKGVENWLKAVKEMIREAQVVENKVTGDISVVVATGSWLMKRVEK >KJB56137 pep chromosome:Graimondii2_0_v6:9:7803989:7807545:-1 gene:B456_009G107600 transcript:KJB56137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSHASVHPVEDLPTTDGGVGIGGGNNNNNEVPRVRMKDIQGMPGTAGGLALRICQFVSAVMGLCIMATTSDFPSVTAFCYLVAATGLQSVWSISQAIIDIYALLVRRSLQNYRVVSLFAIGDGITSTLTFAAACASAGITVLIDNDLNSCAHNHCLQFETATAMAFISWFTTLPSFLLNFWSLASR >KJB56133 pep chromosome:Graimondii2_0_v6:9:7803989:7807080:-1 gene:B456_009G107600 transcript:KJB56133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSHASVHPVEDLPTTDGGVGIGGGNNNNNEVPRVRMKDIQGMPGTAGGLALRICQFVSAVMGLCIMATTSDFPSVTAFCYLVAATGLQSVWSISQAIIDIYALLVRRSLQNYRVVSLFAIGDGITSTLTFAAACASAGITVLIDNDLNSCAHNHCLQFETATAMAFISWFTTLPSFLLNFWSLASR >KJB56135 pep chromosome:Graimondii2_0_v6:9:7803989:7807529:-1 gene:B456_009G107600 transcript:KJB56135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSHASVHPVEDLPTTDGGVGIGGGNNNNNEVPRVRMKDIQGMPGTAGGLALRICQFVSAVMGLCIMATTSDFPSVTAFCYLVAATGLQSVWSISQAIIDIYALLVRRSLQNYRVVSLFAIGDGITSTLTFAAACASAGITVLIDNDLNSCAHNHCLQFETATAMAFISWFTTLPSFLLNFWSLASR >KJB56134 pep chromosome:Graimondii2_0_v6:9:7803989:7807529:-1 gene:B456_009G107600 transcript:KJB56134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSHASVHPVEDLPTTDGGVGIGLALRICQFVSAVMGLCIMATTSDFPSVTAFCYLVAATGLQSVWSISQAIIDIYALLVRRSLQNYRVVSLFAIGDGITSTLTFAAACASAGITVLIDNDLNSCAHNHCLQFETATAMAFISWFTTLPSFLLNFWSLASR >KJB56136 pep chromosome:Graimondii2_0_v6:9:7803989:7807579:-1 gene:B456_009G107600 transcript:KJB56136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSHASVHPVEDLPTTDGGVGIGGGNNNNNEVPRVRMKDIQGMPGTAGGLALRICQFVSAVMGLCIMATTSDFPSVTAFCYLVAATGLQSVWSISQAIIDIYALLVRRSLQNYRVVSLFAIGDGITSTLTFAAACASAGITVLIDNDLNSCAHNHCLQFETATAMAFISWFTTLPSFLLNFWSLASR >KJB62041 pep chromosome:Graimondii2_0_v6:9:56197941:56204023:1 gene:B456_009G398000 transcript:KJB62041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARIAALTERPRSFIRSFKYRRTDSIPNSSRREMDFTDLDSNATKCVNLRLCSVSGCMTEILEIRADRPSFHVVFVPGNPGVITFYKEFVESLFKSLGGTASVSAVGASGHTEKNWEHGKLYSLQEQIDHKIEFIKVQNIEAPLVLVGHSIGSYIALEMLRRLPEKAMYCIGLYPFLALNLQSKKQAVIVKVIMSRVLSTMVTLFVASLRLLPRQVLRLISELSNGKSWSNTAHEACCSHLPQYHTIRNVLYMARTEFIKLSETPDWEFMRENQEKISFLYGIDDHWGPLQMFEEVSRQASGIALSIEREGHTHGFCCTEAGSIWVARHIASLIKNKLAR >KJB53898 pep chromosome:Graimondii2_0_v6:9:934279:940982:1 gene:B456_009G011300 transcript:KJB53898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKENEPTEEVEEPTGRITRARYKALRAAGGICSSSKPSFKQEQKRVLRLNSKRAASDENKASVPATVGLQPKRRAVLKNVTNVIDDTLYMDCTNATKNRITKQTITDHSEKNTEMFEDIAMEIPSAEEDVKAKLADSLSKIRMVETQEITLPVIPEERELLESKCCAKERATADAMPPKHVSAMDVEVQSHQKIDQNEACKKLGASKDVVDIDSNLKDPQACGLYAPDIYNNMHVTELNQRPSTNYMEQLQRDITPSMRGILVDWLVEVLKMESKVLNFLYFQLSVPTTKTFLRRFIQAAQASYKVPCLELEFLAKYLAELTLLEYSFLKFLPSNIAASAVFLARWTLNQSDHPWNPTLEHYTSYKASELKNTVLALEDLQLNTNGCSLNAIREKYRQQKFKCVAMMTSPESVGSVFLR >KJB53899 pep chromosome:Graimondii2_0_v6:9:934279:940982:1 gene:B456_009G011300 transcript:KJB53899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKENEPTEEVEEPTGRITRARYKALRAAGGICSSSKPSFKQEQKRVLRLNSKRAASDENKASVPATVGLQPKRRAVLKNVTNVIDDTLYMDCTNATKNRITKQTITDHSEKNTEMFEDIAMEIPSAEEDVKAKLADSLSKIRMVETQEITLPVIPEERELLESKCCAKERATADAMPPKHVSAMDVEVQSHQKIDQNEACKKLGASKDVVDIDSNLKDPQACGLYAPDIYNNMHVTELNQRPSTNYMEQLQRDITPSMRGILVDWLVEVLKMESKVLNFLYFQLSVPTTKTFLRRFIQAAQASYKVPCLELEFLAKYLAELTLLEYSFLKFLPSNIAASAVFLARWTLNQSDHPWNPTLEHYTSYKASELKNTVLALEDLQLNTNGCSLNAIREKYRQQKFKCVAMMTSPESVGSVFLR >KJB53897 pep chromosome:Graimondii2_0_v6:9:934323:940975:1 gene:B456_009G011300 transcript:KJB53897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKENEPTEEVEEPTGRITRARYKALRAAGGICSSSKPSFKQEQKRVLRLNSKRAASDENKASVPATVGLQPKRRAVLKNVTNVIDDTLYMDCTNATKNRITKQTITDHSEKNTEMFEDIAMEIPSAEEDVKAKLADSLSKIRMVETQEITLPVIPEERELLESKCCAKERATADAMPPKHVSAMDVEVQSHQKIDQNEACKKLGASKDVVDIDSNLKDPQACGLYAPDIYNNMHVTELNQRPSTNYMEQLQRDITPSMRGILVDWLVEVSEEYKLVPDTLYLTVSLIDRFLSHNFIEKQRLQLLGVACMLIASKYEEICAPRVEEFCFITDNTYTREEVLKMESKVLNFLYFQLSVPTTKTFLRRFIQAAQASYKVPCLELEFLAKYLAELTLLEYSFLKFLPSNIAASAVFLARWTLNQSDHPWNPTLEHYTSYKASELKNTVLALEDLQLNTNGCSLNAIREKYRQQKFKCVAMMTSPESVGSVFLR >KJB53900 pep chromosome:Graimondii2_0_v6:9:934249:940981:1 gene:B456_009G011300 transcript:KJB53900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKENEPTEEVEEPTGRITRARYKALRAAGGICSSSKPSFKQEQKRVLRLNSKRAASDENKASVPATVGLQPKRRAVLKNVTNVIDDTLYMDCTNATKNRITKQTITDHSEKNTEMFEDIAMEIPSAEEDVKAKLADSLSKIRMVETQEITLPVIPEERELLESKCCAKERATADAMPPKHVSAMDVEVQSHQKIDQNEACKKLGASKDVVDIDSNLKDPQACGLYAPDIYNNMHVTELNQRPSTNYMEQLQRDITPSMRGILVDWLVEVSEEYKLVPDTLYLTVSLIDRFLSHNFIEKQRLQLLGVACMLIASKYEEICAPRVEEFCFITDNTYTREEVLKMESKVLNFLYFQLSVPTTKTFLRRFIQAAQASYKVPCLELEFLAKYLAELTLLEYSFLKFLPSNIAASAVFLARWTLNQSDHPWNPTLEHYTSYKASELKNTVLALEDLQLNTNGCSLNAIREKYRQQKFKCVAMMTSPESVGSVFLR >KJB54838 pep chromosome:Graimondii2_0_v6:9:3687920:3690086:-1 gene:B456_009G051200 transcript:KJB54838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIGNALRQAFMPKHEYESLREEDKIWTRLQKPILIGSIGTICIAVFVCTIISLKIVFPEDALKRPFCDDWRLQPLPLNAKGGGTGDSDPFPGAFYLTDQETIDYYWMVVFIPSAVIFLASVAYLVAGITVAYSAPNRHGCLKVVENNYCASKRGGVRCLSILNAVFAVIFGLLALFLGSSLLTLGSNCSLPLFWCYEIAAWGLVVLYGGTAFFLRRRAAIILDEGEFGSRTLGLEMSEANPLEVTPDVERCVNEGFKAWMGSSLLSSDEEDETESYHEAPLITHTGSNRQRV >KJB54839 pep chromosome:Graimondii2_0_v6:9:3687496:3690435:-1 gene:B456_009G051200 transcript:KJB54839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIGNALRQAFMPKHEYESLREEDKIWTRLQKPILIGSIGTICIAVFVCTIISLKIVFPEDALKRPFCDDWRLQPLPLNAKGGGTGDSDPFPGAFYLTDQETIDYYWMVVFIPSAVIFLASVAYLVAGITVAYSAPNRHGCLKVVENNYCASKRVFAVIFGLLALFLGSSLLTLGSNCSLPLFWCYEIAAWGLVVLYGGTAFFLRRRAAIILDEGEFGSRTLGLEMSEANPLEVTPDVERCVNEGFKAWMGSSLLSSDEEDETESYHEAPLITHTGSNRQRV >KJB54837 pep chromosome:Graimondii2_0_v6:9:3687456:3690537:-1 gene:B456_009G051200 transcript:KJB54837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIGNALRQAFMPKHEYESLREEDKIWTRLQKPILIGSIGTICIAVFVCTIISLKIVFPEDALKRPFCDDWRLQPLPLNAKGGGTGDSDPFPGAFYLTDQETIDYYWMVVFIPSAVIFLASVAYLVAGITVAYSAPNRHGCLKVVENNYCASKRGGVRCLSILNAVFAVIFGLLALFLGSSLLTLGSNCSLPLFWCYEIAAWGLVVLYGGTAFFLRRRAAIILDEGEFGSRTLGLEMSEANPLEVTPDVERCVNEGFKAWMGSSLLSSDEEDETESYHEAPLITHTGSNRQRV >KJB56555 pep chromosome:Graimondii2_0_v6:9:9392113:9393529:1 gene:B456_009G124800 transcript:KJB56555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRKNSSCEETGSVPVYLNVYDLTPINGYAYWFGLGVYHSGVQVHGVEYAFGAHEYPTTGIFEAEPKQCDGFTFRKSILIGKTELGPADVRGVMEDLAEEYKGNAYNLITKNCNHFCNDACIRLTGNPIPSWVNRLARIEDNGVYKE >KJB56554 pep chromosome:Graimondii2_0_v6:9:9392113:9393513:1 gene:B456_009G124800 transcript:KJB56554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRKNSSCEETGSVPVYLNVYDLTPINGYAYWFGLGVYHSGVQVHGVEYAFGAHEYPTTGIFEAEPKQCDGFTFRKSILIGKTELGPADVRGVMEDLAEEYKGNAYNLITKNCNHFCNDACIRVLLQLCSSGDFKCNQSEASQN >KJB56552 pep chromosome:Graimondii2_0_v6:9:9391962:9393962:1 gene:B456_009G124800 transcript:KJB56552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRKNSSCEETGSVPVYLNVYDLTPINGYAYWFGLGVYHSGVQVHGVEYAFGAHEYPTTGIFEAEPKQCDGFTFRKSILIGKTELGPADVRGVMEDLAEEYKGNAYNLITKNCNHFCNDACIRLTGNPIPSWVNRLARIGFFCNCVLPVTLNATKVRHHRIEDKSSEGEKKKLTNESNRFTSSSNSSSNSSPSITQSRGRSRSRRSLPPSSPLVIAPPSS >KJB56553 pep chromosome:Graimondii2_0_v6:9:9392113:9393262:1 gene:B456_009G124800 transcript:KJB56553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRKNSSCEETGSVPVYLNVYDLTPINGYAYWFGLGVYHSGVQVHGVEYAFGAHEYPTTGIFEAEPKQCDGFTFRKSILIGKTELGPADVRGVMEDLAEEYKGNAYNLITKNCNHFCNDACIRLTGNPIPSWVNRLARIGNSAFSINDKMGSFFIQFCCYKSPKKPINQLPF >KJB60468 pep chromosome:Graimondii2_0_v6:9:27818084:27821565:-1 gene:B456_009G306300 transcript:KJB60468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNVLLTFLVGSALGWILAKLTKTPRHLQGIVIGCCSTGNLGNLLLIVFPDICDESNSPFSKLSTCSTDAEAYASLSMAVGAIFTWAYAYPLVSSYVIKNTEHSSIQSSEPSPDSCTEPLLSPSDSQISEDNSVVLELPLTNMQDGKKMSFKEKIAGCIKCITSKIDLEKVFVPSTVASIAGLIIGIVSPFRKVLIADNAPLRVINSSAALIGHAAIPSMTLIMGANLLKGLQRSEMSLVVILGVVAVRNICLPLLGIGVVQGAHHLGVVGSDALYRFVLMFQYAVPPAINVGTMTQLFKSGQGETSVILLWSYSVASISLTLWSTLYMSLVSNSSTK >KJB60465 pep chromosome:Graimondii2_0_v6:9:27818073:27821949:-1 gene:B456_009G306300 transcript:KJB60465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDLLVVALVPILKVLLVTGVGLFLGIEKINLLGPEARDYLNKIVFYVLSPCLLFSNLAETITYKSLVTLWFMPLNVLLTFLVGSALGWILAKLTKTPRHLQGIVIGCCSTGNLGNLLLIVFPDICDESNSPFSKLSTCSTDAEAYASLSMAVGAIFTWAYAYPLVSSYVIKNTEHSSIQSSEPSPDSCTEPLLSPSDSQISEDNSVVLELPLTNMQDGKKMSFKEKIAGCIKCITSKIDLEKVFVPSTVASIAGLIIGIVSPFRKVLIADNAPLRVINSSAALIGHAAIPSMTLIMGANLLKGLQRSEMSLVVILGVVAVRNICLPLLGIGVVQGAHHLGVVGSDALYRFVLMFQYAVPPAINVGTMTQLFKSGQGETSVILLWSYSVASISLTLWSTLYMSLVSNSSTK >KJB60466 pep chromosome:Graimondii2_0_v6:9:27818186:27820285:-1 gene:B456_009G306300 transcript:KJB60466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNVLLTFLVGSALGWILAKLTKTPRHLQGIVIGCCSTGNLGNLLLIVFPDICDESNSPFSKLSTCSTDAEAYASLSMAVGAIFTWAYAYPLVSSYVIKNTEHSSIQSSEPSPDSCTEPLLSPSDSQISEDNSVVLELPLTNMQDGKKMSFKEKIAGCIKCITSKIDLEKVFVPSTVASIAGLIIGIVSPFRKVLIADNAPLRVINSSAALIGHAAIPSMTLIMGANLLKGLQRSEMSLVVILGVVAVRNICLPLLGIGVVQGAHHLGVVGSDALYRFVLMFQYAVPPAINVGTMTQLFKSGQGETSVILLWSYSVASISLTLWSTLYMSLVSNSSTK >KJB60463 pep chromosome:Graimondii2_0_v6:9:27818988:27821069:-1 gene:B456_009G306300 transcript:KJB60463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDLLVVALVPILKVLLVTGVGLFLGIEKINLLGPEARDYLNKIVFYVLSPCLLFSNLAETITYKSLVTLWFMPLNVLLTFLVGSALGWILAKLTKTPRHLQGIVIGCCSTGNLGNLLLIVFPDICDESNSPFSKLSTCSTDAEAYASLSMAVGAIFTWAYAYPLVSSYVIKNTEHSSIQSSEPSPDSCTEPLLSPSDSQISEDNSVVLELPLTNMQDGKKMSFKEKIAGCIKCITSKIDLEKVFVPSTVASIAGLIIGIVSPFRKVLIADNAPLRVINSSAALIGHVLVHNSSGF >KJB60467 pep chromosome:Graimondii2_0_v6:9:27818073:27821949:-1 gene:B456_009G306300 transcript:KJB60467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDLLVVALVPILKVLLVTGVGLFLGIEKINLLGPEARDYLNKIVFYVLSPCLLFSNLAETITYKSLVTLWFMPLNVLLTFLVGSALGWILAKLTKTPRHLQGIVIGCCSTGNLGNLLLIVFPDICDESNSPFSKLSTCSTDAEAYASLSMAVGAIFTWAYAYPLVSSYVIKNTEHSSIQSSEPSPDSCTEPLLSPSDSQISEDNSVVLELPLTNMQDGKKMSFKEKIAGCIKCITSKIDLEKVFVPSTVASIAGLIIGIVSPFRKVLIADNAPLRVINSSAALIGHAAIPSMTLIMGANLLKGLQRSEMSLVVILGVVAVRNICLPLLGIGVVQGAHHLGVVGSDALYRFVLMFQYAVPPAINVGTMTQLFKSGQGETSVILLWSYSVASISLTLWSTLYMSLVSNSSTK >KJB60464 pep chromosome:Graimondii2_0_v6:9:27818052:27821276:-1 gene:B456_009G306300 transcript:KJB60464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDLLVVALVPILKVLLVTGVGLFLGIEKINLLGPEARDYLNKIVFYVLSPCLLFSNLAETITYKSLVTLWFMPLNVLLTFLVGSALGWILAKLTKTPRHLQGIVIGCCSTGNLGNLLLIVFPDICDESNSPFSKLSTCSTDAEAYASLSMAVGAIFTWAYAYPLVSSYVIKNTEHSSIQSSEPSPDSCTEPLLSPSDSQISEDNSVVLELPLTNMQDGKKMSFKEKIAGCIKCITSKIDLEKVFVPSTVASIAGLIIGIVSPFRKVLIADNAPLRVINSSAALIGHAAIPSMTLIMGANLLKGLQRSEMSLVVILGVVAVRNICLPLLGIGVVQGAHHLGVVGSDALYRFVLMFQYAVPPAINVGTMTQLFKSGQGETSVILLWSYSVASISLTLWSTLYMSLVSNSSTK >KJB58982 pep chromosome:Graimondii2_0_v6:9:23811693:23812386:1 gene:B456_009G281400 transcript:KJB58982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLTHNMLSSNIKGVTNGFPLKIQVEKVVEKQVEINHDFLRNIFPKIDWKAFSDASRIMGYDEMPEEPPEPSVLESNVEFWGKFHHALLELHLEEGALLCPETGRKFPVNKGIPNMLLLEDEV >KJB55764 pep chromosome:Graimondii2_0_v6:9:6785958:6787121:-1 gene:B456_009G092800 transcript:KJB55764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKANIGSFFNKFLSLFILLLHLGCFVFTAAKDNHKATKKRKSSPLSPNSHKHRKALSSTWTFLKRIFSSKANCINTIQAAHPPTSATTPALTSARNSQHSLVSMSMINPPETHLSDSPPPRSQIQSGSCPESGISSDYPFFPLRNDIFPCTACGEIFQKSHLLEQHQATKHAVSELVDGDSGNNIVRIIFKTGWTDKVKSPEIHRILKIHNSPKILTKFEEYREVVKAKAARNGAMGRRDERCIADGNELLRFNCSTFTCDLGLNGSSSICNQQYCSICGIIKSGFSPKMDGISTLSTSWRAHMAIPEDVEEEFKFMNVKRAMLVCRVVAGRVGSEGEEIDKEDGGFDSVIGRGGGSGAYTKLDEEELLVFNPRAVLPCFVIVYTV >KJB55763 pep chromosome:Graimondii2_0_v6:9:6785479:6787423:-1 gene:B456_009G092800 transcript:KJB55763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKANIGSFFNKFLSLFILLLHLGCFVFTAAKDNHKATKKRKSSPLSPNSHKHRKALSSTWTFLKRIFSSKANCINTIQAAHPPTSATTPALTSARNSQHSLVSMSMINPPETHLSDSPPPRSQIQSGSCPESGISSDYPFFPLRNDIFPCTACGEIFQKSHLLEQHQATKHAVSELVDGDSGNNIVRIIFKTGWTDKVKSPEIHRILKIHNSPKILTKFEEYREVVKAKAARNGAMGRRDERCIADGNELLRFNCSTFTCDLGLNGSSSICNQQYCSICGIIKSGFSPKMDGISTLSTSWRAHMAIPEDVEEEFKFMNVKRAMLVCRVVAGRVGSEGEEIDKEDGGFDSVIGRGGGSGAYTKLDEEELLVFNPRAVLPCFVIVYTV >KJB55762 pep chromosome:Graimondii2_0_v6:9:6785569:6787356:-1 gene:B456_009G092800 transcript:KJB55762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKANIGSFFNKFLSLFILLLHLGCFVFTAAKDNHKATKKRKSSPLSPNSHKHRKALSSTWTFLKRIFSSKANCINTIQAAHPPTSATTPALTSARNSQHSLVSMSMINPPETHLSDSPPPRSQIQSGSCPESGISSDYPFFPLRNDIFPCTACGEIFQKSHLLEQHQATKHAVSELVDGDSGNNIVRIIFKTGWTDKVKSPEIHRILKIHNSPKILTKFEEYREVVKAKAARNGAMGRRDERCIADGNELLRFNCSTFTCDLGLNGSSSICNQQYCSICGIIKSGFSPKMDGISTLSTSWRAHMAIPEDVEEEFKFMNVKRAMLVCRVVAGRVGSEGEEIDKEDGGFDSVIGRGGGSGAYTKLDEEELLVFNPRAVLPCFVIVYTV >KJB56582 pep chromosome:Graimondii2_0_v6:9:9559185:9563997:-1 gene:B456_009G126900 transcript:KJB56582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGAVLLSEFATEIVVPVCAVIGIAFSLVQWLLVSRVKLNPERHASGNSNKNGYSDYLIEEEEGLNDPSVVTKCAEIQSAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSVEGFSSKSQPCTYDKEKTCKPALATAIFSTISFLLGAITSVLSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLFVAINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTAMLYPLLISSVGILVCLITTLFATDFFEIKAVKEIEPALKKQLIISTVLMTVGIAIVTWVGVPSSFTIYNFGDQKVVKNWQLFLCVGVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHHIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGRAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTFFGVETLAGVLAGALVSGVQVKLGNKISFSDNIQCFFIFGLFVNYTYASCKCYGPRMV >KJB56580 pep chromosome:Graimondii2_0_v6:9:9558232:9564237:-1 gene:B456_009G126900 transcript:KJB56580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGAVLLSEFATEIVVPVCAVIGIAFSLVQWLLVSRVKLNPERHASGNSNKNGYSDYLIEEEEGLNDPSVVTKCAEIQSAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSVEGFSSKSQPCTYDKEKTCKPALATAIFSTISFLLGAITSVLSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLFVAINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTAMLYPLLISSVGILVCLITTLFATDFFEIKAVKEIEPALKKQLIISTVLMTVGIAIVTWVGVPSSFTIYNFGDQKVVKNWQLFLCVGVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHHIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGRAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTFFGVETLAGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >KJB56583 pep chromosome:Graimondii2_0_v6:9:9558899:9564068:-1 gene:B456_009G126900 transcript:KJB56583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGAVLLSEFATEIVVPVCAVIGIAFSLVQWLLVSRVKLNPERHASGNSNKNGYSDYLIEEEEGLNDPSVVTKCAEIQSAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSVEGFSSKSQPCTYDKEKTCKPALATAIFSTISFLLGAITSVLSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLFVAINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTAMLYPLLISSVGILVCLITTLFATDFFEIKAVKEIEPALKKQLIISTVLMTVGIAIVTWVGVPSSFTIYNFGDQKVVKNWQLFLCVGVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHHIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGRAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTFFGVETLAGVLAGALVSGVQVKLGNKISFSDNIQCFFIFGLFVNYTYASCKCYGPRMV >KJB56581 pep chromosome:Graimondii2_0_v6:9:9558315:9564068:-1 gene:B456_009G126900 transcript:KJB56581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGAVLLSEFATEIVVPVCAVIGIAFSLVQWLLVSRVKLNPERHASGNSNKNGYSDYLIEEEEGLNDPSVVTKCAEIQSAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSVEGFSSKSQPCTYDKEKTCKPALATAIFSTISFLLGAITSVLSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLFVAINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTAMLYPLLISSVGILVCLITTLFATDFFEIKAVKEIEPALKKQLIISTVLMTVGIAIVTWVGVPSSFTIYNFGDQKVVKNWQLFLCVGVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHHIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGRAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTFFGVETLAGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >KJB60237 pep chromosome:Graimondii2_0_v6:9:25826655:25828487:1 gene:B456_009G296000 transcript:KJB60237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGCSKFFLEDERQFERWNDSAIKSLKAEFKLFKDVVVLSLMHPWERDARLLKKALKKGPPHYNVIVEIACTRSSDQLLGARKAYHSLFDRSIEEDLASHVKGSERKLLVALVSAYRYEGPKVKEDAAKSEAKALLNAIKNNNGDHKKKAMEEDEVIWILTTRSKPHLKVVYEQYKKISAKTITEDLEVELLLKETVECLCTPQTYFTRVFDTALREDAKEDAKKALTRLVATQEDVDLKGIAAKFEDKIKERVKGAYKDVLLGVLADDRK >KJB60236 pep chromosome:Graimondii2_0_v6:9:25826158:25828487:1 gene:B456_009G296000 transcript:KJB60236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPCEVEVLNNALSGIGVDEKSLVSILTKSQNEHKKSMRKGCSKFFLEDERQFERWNDSAIKSLKAEFKLFKDVVVLSLMHPWERDARLLKKALKKGPPHYNVIVEIACTRSSDQLLGARKAYHSLFDRSIEEDLASHVKGSERKLLVALVSAYRYEGPKVKEDAAKSEAKALLNAIKNNNGDHKKKAMEEDEVIWILTTRSKPHLKVVYEQYKKISAKTITEDLEVELLLKETVECLCTPQTYFTRVFDTALREDAKEDAKKALTRLVATQEDVDLKGIAAKFEDKIKERVKGAYKDVLLGVLADDRK >KJB55241 pep chromosome:Graimondii2_0_v6:9:4881849:4884916:1 gene:B456_009G068800 transcript:KJB55241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSQFDAATAFSGGGFMPSQSQSSQFVNSIPSQDKQSRDTQGLLSATVKQISEASQSGDEKPNFTIDGVHVNNVKVVGMLFNKNVRSSDVRFELDDGTGRIECIRWVTESADAREMDAIDGDGTYVRVIGHLKNFQGKKQLNAFSVRPVTNFDEITCHFIECIHYHLQNSKVQLEGGAPAQPQMTNSSFSTPVRGASNGYQPALVNDVSVQYSTDGFKGFDKLVLNYLQQPSNIDREIGVHVNELSQHLKAPVEKIKDAIEFLEREGLVYSSIDDYHYKAVEGC >KJB55239 pep chromosome:Graimondii2_0_v6:9:4881685:4884975:1 gene:B456_009G068800 transcript:KJB55239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCRDCTYYLLHFSRPRIRRENQTTWREVKQFQGFSTSGVPNMFSSSQFDAATAFSGGGFMPSQSQSSQFVNSIPSQDKQSRDTQGLLSATVKQISEASQSGDEKPNFTIDGVHVNNVKVVGMLFNKNVRSSDVRFELDDGTGRIECIRWVTESADAREMDAIDGDGTYVRVIGHLKNFQGKKQLNAFSVRPVTNFDEITCHFIECIHYHLQNSKVQLEGGAPAQPQMTNSSFSTPVRGASNGYQPALVNDVSVQYSTDGFKGFDKLVLNYLQQPSNIDREIGVHVNELSQHLKAPVEKIKDAIEFLEREGLVYSSIDDYHYKAVEGC >KJB55240 pep chromosome:Graimondii2_0_v6:9:4881704:4884975:1 gene:B456_009G068800 transcript:KJB55240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSQFDAATAFSGGGFMPSQSQSSQFVNSIPSQDKQSRDTQGLLSATVKQISEASQSGDEKPNFTIDGVHVNNVKVVGMLFNKNVRSSDVRFELDDGTGRIECIRWVTESADAREMDAIDGDGTYVRVIGHLKNFQGKKQLNAFSVRPVTNFDEITCHFIECIHYHLQNSKLEGGAPAQPQMTNSSFSTPVRGASNGYQPALVNDVSVQYSTDGFKGFDKLVLNYLQQPSNIDREIGVHVNELSQHLKAPVEKIKDAIEFLEREGLVYSSIDDYHYKAVEGC >KJB58097 pep chromosome:Graimondii2_0_v6:9:14958005:14961433:1 gene:B456_009G194500 transcript:KJB58097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQGGQCGNQIGSKFWEVICDEHGVDPTGKYNGDGSSDIQLERIDVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPIGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYVSLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSSVCDIPPRGLKMSSTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVEDEEEYEGEEGLDENYET >KJB58096 pep chromosome:Graimondii2_0_v6:9:14958005:14961409:1 gene:B456_009G194500 transcript:KJB58096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQGGQCGNQIGSKFWEVICDEHGVDPTGKYNGDGSSDIQLERIDVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPIGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSSVCDIPPRGLKMSSTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVEDEEEYEGEEGLDENYET >KJB58098 pep chromosome:Graimondii2_0_v6:9:14958985:14961409:1 gene:B456_009G194500 transcript:KJB58098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLIIHCELAWKVCQFAQLLSFVLSGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYVSLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSSVCDIPPRGLKMSSTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVEDEEEYEGEEGLDENYET >KJB53381 pep chromosome:Graimondii2_0_v6:9:51403781:51406125:1 gene:B456_009G3790001 transcript:KJB53381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVRGGNGCKVGDDNGSLMLMWEDGFCKGRVTTECLEEIEGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFDSGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRNGPSLSTIPSKQTSVPTRPPPLFNWNQRPLPPATNPMLASPNFQNPARLGFPQPKDETHMFLLPRSSETRMEDMMVEHENDIKWPNGLSFFSALTGRTEDAKLLFNSKNLGNKPETNHHSLILEGKDSNHNADIGANPNEFLSLD >KJB53383 pep chromosome:Graimondii2_0_v6:9:51403995:51405918:1 gene:B456_009G3790001 transcript:KJB53383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFDSGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRNGPSLSTIPSKQTSVPTRPPPLFNWNQRPLPPATNPMLASPNFQNPARLGFPQPKDETHMFLLPRSSETRMEDMMVEHENDIKWPNGLSFFSALTGRTEDAKLLFNSKNLGNKPETNHHSLILEGKDSNHNADIGANPNEFLSLD >KJB53384 pep chromosome:Graimondii2_0_v6:9:51404066:51406125:1 gene:B456_009G3790001 transcript:KJB53384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFDSGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRNGPSLSTIPSKQTSVPTRPPPLFNWNQRPLPPATNPMLASPNFQNPARLGFPQPKDETHMFLLPRSSETRMEDMMVEHENDIKWPNGLSFFSALTGRTEDAKLLFNSKNLGNKPETNHHSLILEGKDSNHNADIGANPNEFLSLD >KJB53385 pep chromosome:Graimondii2_0_v6:9:51404680:51405918:1 gene:B456_009G3790001 transcript:KJB53385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHTFESLGYQSGFYLSQLFSSNRNGPSLSTIPSKQTSVPTRPPPLFNWNQRPLPPATNPMLASPNFQNPARLGFPQPKDETHMFLLPRSSETRMEDMMVEHENDIKWPNGLSFFSALTGRTEDAKLLFNSKNLGNKPETNHHSLILEGKDSNHNADIGANPNEFLSLD >KJB53382 pep chromosome:Graimondii2_0_v6:9:51403941:51406125:1 gene:B456_009G3790001 transcript:KJB53382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMWEDGFCKGRVTTECLEEIEGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFDSGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRNGPSLSTIPSKQTSVPTRPPPLFNWNQRPLPPATNPMLASPNFQNPARLGFPQPKDETHMFLLPRSSETRMEDMMVEHENDIKWPNGLSFFSALTGRTEDAKLLFNSKNLGNKPETNHHSLILEGKDSNHNADIGANPNEFLSLD >KJB53326 pep chromosome:Graimondii2_0_v6:9:7899985:7906812:-1 gene:B456_009G108700 transcript:KJB53326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYHIRSFSLCSIFATCVCFHICKFTVSFDSFVTSVFKLLSLIRFLQIGGIQKSGKFNSVLPLAPNEDEFSNTSGVSNWTCTCSSGNQSYILKSNCSRSCDCNPVESSGDRWTCVCATNGFPEVAVDNHDTTCFTACNCTAGSLPEVPASRKHYANRVVVIVLLVSVILTTLAFIASVTCYFYRKDNCPKCPIQPSIFLSDKETCCNSATNLLSQKSSLLSETKINIGFPTRPVAGCFQKASFLCPSKPGTVLWTVFQFAYSELENATNKFSDSNLIGVGGSSYVYRGQLKDGRIVAVKRLKVQGGPDADSIISTEVELLSRLHHCHVVPLLGYCLEFSGKHAERLLVFEYMHNGNLRECLNGIWGENLTWETRVSIAIDAAKGLEYLHEAAAPRILHRDIKSANILLDKNWRAKITDLGMAKRLRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIHKSNNKEESLVIWATPRLQDCKQVTPELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNVHVNFLQMSSAHNMKNETLVERHQSVIEALYDTDEYMPTESTNSTENSLPLRTDGIGTVGKQRDTLSAECLERLVLLSSNTRSWGVPDDEAVDLTEPRLELFDVATIKSLREEQSYRLA >KJB53318 pep chromosome:Graimondii2_0_v6:9:7899985:7903348:-1 gene:B456_009G108700 transcript:KJB53318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSGTLLYFLIGSLPEVPASRKHYANRVVVIVLLVSVILTTLAFIASVTCYFYRKDNCPKCPIQPSIFLSDKETCCNSATNLLSQKSSLLSETKINIGFPTRPVAGCFQKASFLCPSKPGTVLWTVFQFAYSELENATNKFSDSNLIGVGGSSYVYRGQLKDGRIVAVKRLKVQGGPDADSIISTEVELLSRLHHCHVVPLLGYCLEFSGKHAERLLVFEYMHNGNLRECLNGIWGENLTWETRVSIAIDAAKGLEYLHEAAAPRILHRDIKSANILLDKNWRAKITDLGMAKRLRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIHKSNNKEESLVIWATPRLQDCKQVTPELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNVHVNFLQMSSAHNMKNETLVERHQSVIEALYDTDEYMPTESTNSTENSLPLRTDGIGTVGKQRDTLSAECLERLVLLSSNTRSWGVPDDEAVDLTEPRLELFDVATIKSLREEQSYRLA >KJB53319 pep chromosome:Graimondii2_0_v6:9:7899985:7905965:-1 gene:B456_009G108700 transcript:KJB53319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVEVVLVCIISLIWIQQSLCDEFSNTSGVSNWTCTCSSGNQSYILKSNCSRSCDCNPVESSGDRWTCVCATNGFPEVAVDNHDTTCFTACNCTAGSLPEVPASRKHYANRVVVIVLLVSVILTTLAFIASVTCYFYRKDNCPKCPIQPSIFLSDKETCCNSATNLLSQKSSLLSETKINIGFPTRPVAGCFQKASFLCPSKPGTVLWTVFQFAYSELENATNKFSDSNLIGVGGSSYVYRGQLKDGRIVAVKRLKVQGGPDADSIISTEVELLSRLHHCHVVPLLGYCLEFSGKHAERLLVFEYMHNGNLRECLNGIWGENLTWETRVSIAIDAAKGLEYLHEAAAPRILHRDIKSANILLDKNWRAKITDLGMAKRLRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIHKSNNKEESLVIWATPRLQDCKQVTPELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNVHVNFLQMSSAHNMKNETLVERHQSVIEALYDTDEYMPTESTNSTENSLPLRTDGIGTVGKQRDTLSAECLERLVLLSSNTRSWGVPDDEAVDLTEPRLELFDVATIKSLREEQSYRLA >KJB53322 pep chromosome:Graimondii2_0_v6:9:7902205:7904907:-1 gene:B456_009G108700 transcript:KJB53322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVEVVLVCIISLIWIQQSLCDEFSNTSGVSNWTCTCSSGNQSYILKSNCSRSCDCNPVESSGDRWTCVCATNGFPEVAVDNHDTTCFTACNCTAGSLPEVPASRKHYANRVVVIVLLVSVILTTLAFIASVTCYFYRKDNCPKCPIQPSIFLSDKETCCNSATNLLSQKSSLLSETKINIGFPTRPVAGCFQKASFLCPSKPGTVLWTVFQFAYSELENATNKFSDSNLIGVGGSSYVYRGQLKDGRIVAVKRLKVQGGPDADSIISTEVELLSRLHHCHVVPLLGYCLEFSGKHAERLLVFEYMHNGNLRECLNGIWGENLTWETRVSIAIDAAKGLEYLHEAAAPRILHRDIKSANILLDKNWRAKVRINK >KJB53325 pep chromosome:Graimondii2_0_v6:9:7899985:7906457:-1 gene:B456_009G108700 transcript:KJB53325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVEVVLVCIISLIWIQQSLCDEFSNTSGVSNWTCTCSSGNQSYILKSNCSRSCDCNPVESSGDRWTCVCATNGFPEVAVDNHDTTCFTACNCTAGSLPEVPASRKHYANRVVVIVLLVSVILTTLAFIASVTCYFYRKDNCPKCPIQPSIFLSDKETCCNSATNLLSQKSSLLSETKINIGFPTRPVAGCFQKASFLCPSKPGTVLWTVFQFAYSELENATNKFSDSNLIGVGGSSYVYRGQLKDGRIVAVKRLKVQGGPDADSIISTEVELLSRLHHCHVVPLLGYCLEFSGKHAERLLVFEYMHNGNLRECLNGIWGENLTWETRVSIAIDAAKGLEYLHEAAAPRILHRDIKSANILLDKNWRAKITDLGMAKRLRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIHKSNNKEESLVIWATPRLQDCKQVTPELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNVHVNFLQMSSAHNMKNETLVERHQSVIEALYDTDEYMPTESTNSTENSLPLRTDGIGTVGKQRDTLSAECLERLVLLSSNTRSWGVPDDEAVDLTEPRLELFDVATIKSLREEQSYRLA >KJB53327 pep chromosome:Graimondii2_0_v6:9:7901132:7904581:-1 gene:B456_009G108700 transcript:KJB53327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFSNTSGVSNWTCTCSSGNQSYILKSNCSRSCDCNPVESSGDRWTCVCATNGFPEVAVDNHDTTCFTACNCTAGSLPEVPASRKHYANRVVVIVLLVSVILTTLAFIASVTCYFYRKDNCPKCPIQPSIFLSDKETCCNSATNLLSQKSSLLSETKINIGFPTRPVAGCFQKASFLCPSKPGTVLWTVFQFAYSELENATNKFSDSNLIGVGGSSYVYRGQLKDGRIVAVKRLKVQGGPDADSIISTEVELLSRLHHCHVVPLLGYCLEFSGKHAERLLVFEYMHNGNLRECLNGIWGENLTWETRVSIAIDAAKGLEYLHEAAAPRILHRDIKSANILLDKNWRAKITDLGMAKRLRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIHKSNNKEESLVIWATPRLQDCKQVTPELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNVHVNFLQMSSAHNMKNETLVERHQSVIEALYDTDEYMPTESTNSTENSLPLRTDGIGTVGKQRDTLSAECLERLVLLSSNTRSWGVPDDEAVDLTEPRLELFDVATIKSLREEQSYRLA >KJB53330 pep chromosome:Graimondii2_0_v6:9:7899985:7905905:-1 gene:B456_009G108700 transcript:KJB53330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVEVVLVCIISLIWIQQSLCDEFSNTSGVSNWTCTCSSGNQSYILKSNCSRSCDCNPVESSGDRWTCVCATNGFPEVAVDNHDTTCFTACNCTAGSLPEVPASRKHYANRVVVIVLLVSVILTTLAFIASVTCYFYRKDNCPKCPIQPSIFLSDKETCCNSATNLLSQKSSLLSETKINIGFPTRPVAGCFQKASFLCPSKPGTVLWTVFQFAYSELENATNKFSDSNLIGVGGSSYVYRGQLKDGRIVAVKRLKVQGGPDADSIISTEVELLSRLHHCHVVPLLGYCLEFSGKHAERLLVFEYMHNGNLRECLNGIWGENLTWETRVSIAIDAAKGLEYLHEAAAPRILHRDIKSANILLDKNWRAKITDLGMAKRLRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIHKSNNKEESLVIWATPRLQDCKQVTPELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNVHVNFLQMSSAHNMKNETLVERHQSVIEALYDTDEYMPTESTNSTENSLPLRTDGIGTVGKQRDTLSAECLERLVLLSSNTRSWGVPDDEAVDLTEPRLELFDVATIKSLREEQSYRLA >KJB53329 pep chromosome:Graimondii2_0_v6:9:7899985:7906522:-1 gene:B456_009G108700 transcript:KJB53329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVEVVLVCIISLIWIQQSLCDEFSNTSGVSNWTCTCSSGNQSYILKSNCSRSCDCNPVESSGDRWTCVCATNGFPEVAVDNHDTTCFTACNCTAGSLPEVPASRKHYANRVVVIVLLVSVILTTLAFIASVTCYFYRKDNCPKCPIQPSIFLSDKETCCNSATNLLSQKSSLLSETKINIGFPTRPVAGCFQKASFLCPSKPGTVLWTVFQFAYSELENATNKFSDSNLIGVGGSSYVYRGQLKDGRIVAVKRLKVQGGPDADSIISTEVELLSRLHHCHVVPLLGYCLEFSGKHAERLLVFEYMHNGNLRECLNGIWGENLTWETRVSIAIDAAKGLEYLHEAAAPRILHRDIKSANILLDKNWRAKITDLGMAKRLRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIHKSNNKEESLVIWATPRLQDCKQVTPELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNVHVNFLQMSSAHNMKNETLVERHQSVIEALYDTDEYMPTESTNSTENSLPLRTDGIGTVGKQRDTLSAECLERLVLLSSNTRSWGVPDDEAVDLTEPRLELFDVATIKSLREEQSYRLA >KJB53323 pep chromosome:Graimondii2_0_v6:9:7899985:7905564:-1 gene:B456_009G108700 transcript:KJB53323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVEVVLVCIISLIWIQQSLCDEFSNTSGVSNWTCTCSSGNQSYILKSNCSRSCDCNPVESSGDRWTCVCATNGFPEVAVDNHDTTCFTACNCTAGSLPEVPASRKHYANRVVVIVLLVSVILTTLAFIASVTCYFYRKDNCPKCPIQPSIFLSDKETCCNSATNLLSQKSSLLSETKINIGFPTRPVAGCFQKASFLCPSKPGTVLWTVFQFAYSELENATNKFSDSNLIGVGGSSYVYRGQLKDGRIVAVKRLKVQGGPDADSIISTEVELLSRLHHCHVVPLLGYCLEFSGKHAERLLVFEYMHNGNLRECLNGIWGENLTWETRVSIAIDAAKGLEYLHEAAAPRILHRDIKSANILLDKNWRAKITDLGMAKRLRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIHKSNNKEESLVIWATPRLQDCKQVTPELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNVHVNFLQMSSAHNMKNETLVERHQSVIEALYDTDEYMPTESTNSTENSLPLRTDGIGTVGKQRDTLSAECLERLVLLSSNTRSWGVPDDEAVDLTEPRLELFDVATIKSLREEQSYRLA >KJB53320 pep chromosome:Graimondii2_0_v6:9:7901540:7904907:-1 gene:B456_009G108700 transcript:KJB53320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVEVVLVCIISLIWIQQSLCDEFSNTSGVSNWTCTCSSGNQSYILKSNCSRSCDCNPVESSGDRWTCVCATNGFPEVAVDNHDTTCFTACNCTAGSLPEVPASRKHYANRVVVIVLLVSVILTTLAFIASVTCYFYRKDNCPKCPIQPSIFLSDKETCCNSATNLLSQKSSLLSETKINIGFPTRPVAGCFQKASFLCPSKPGTVLWTVFQFAYSELENATNKFSDSNLIGVGGSSYVYRGQLKDGRIVAVKRLKVQGGPDADSIISTEVELLSRLHHCHVVPLLGYCLEFSGKHAERLLVFEYMHNGNLRECLNGIWGENLTWETRVSIAIDAAKGLEYLHEAAAPRILHRDIKSANILLDKNWRAKITDLGMAKRLRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIHKSNNKEESLVIWATPRLQDCKQVTPELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNVHVNFLQVMILNRF >KJB53321 pep chromosome:Graimondii2_0_v6:9:7901132:7904907:-1 gene:B456_009G108700 transcript:KJB53321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVEVVLVCIISLIWIQQSLCDEFSNTSGVSNWTCTCSSGNQSYILKSNCSRSCDCNPVESSGDRWTCVCATNGFPEVAVDNHDTTCFTACNCTAGSLPEVPASRKHYANRVVVIVLLVSVILTTLAFIASVTCYFYRKDNCPKCPIQPSIFLSDKETCCNSATNLLSQKSSLLSETKINIGFPTRPVAGCFQKASFLCPSKPGTVLWTVFQFAYSELENATNKFSDSNLIGVGGSSYVYRGQLKDGRIVAVKRLKVQGGPDADSIISTEVELLSRLHHCHVVPLLGYCLEFSGKHAERLLVFEYMHNGNLRECLNGIWGENLTWETRVSIAIDAAKGLEYLHEAAAPRILHRDIKSANILLDKNWRAKITDLGMAKRLRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIHKSNNKEESLVIWATPRLQDCKQVTPELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNVHVNFLQMSSAHNMKNETLVERHQSVIEALYDTDEYMPTESTNSTENSLPLRTDGIGTVGKQRDTLSAECLERLVLLSSNTRSWGVPDDEAVDLTEPRLELFDVATIKSLREEQSYRLA >KJB53333 pep chromosome:Graimondii2_0_v6:9:7899985:7905564:-1 gene:B456_009G108700 transcript:KJB53333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVEVVLVCIISLIWIQQSLCDEFSNTSGVSNWTCTCSSGNQSYILKSNCSRSCDCNPVESSGDRWTCVCATNGFPEVAVDNHDTTCFTACNCTAGSLPEVPASRKHYANRVVVIVLLVSVILTTLAFIASVTCYFYRKDNCPKCPIQPSIFLSDKETCCNSATNLLSQKSSLLSETKINIGFPTRPVAGCFQKASFLCPSKPGTVLWTVFQFAYSELENATNKFSDSNLIGVGGSSYVYRGQLKDGRIVAVKRLKVQGGPDADSIISTEVELLSRLHHCHVVPLLGYCLEFSGKHAERLLVFEYMHNGNLRECLNGIWGENLTWETRVSIAIDAAKGLEYLHEAAAPRILHRDIKSANILLDKNWRAKITDLGMAKRLRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIHKSNNKEESLVIWATPRLQDCKQVTPELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNVHVNFLQMSSAHNMKNETLVERHQSVIEALYDTDEYMPTESTNSTENSLPLRTDGIGTVGKQRDTLSAECLERLVLLSSNTRSWGVPDDEAVDLTEPRLELFDVATIKSLREEQSYRLA >KJB53332 pep chromosome:Graimondii2_0_v6:9:7899985:7905905:-1 gene:B456_009G108700 transcript:KJB53332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVEVVLVCIISLIWIQQSLCDEFSNTSGVSNWTCTCSSGNQSYILKSNCSRSCDCNPVESSGDRWTCVCATNGFPEVAVDNHDTTCFTACNCTAGSLPEVPASRKHYANRVVVIVLLVSVILTTLAFIASVTCYFYRKDNCPKCPIQPSIFLSDKETCCNSATNLLSQKSSLLSETKINIGFPTRPVAGCFQKASFLCPSKPGTVLWTVFQFAYSELENATNKFSDSNLIGVGGSSYVYRGQLKDGRIVAVKRLKVQGGPDADSIISTEVELLSRLHHCHVVPLLGYCLEFSGKHAERLLVFEYMHNGNLRECLNGIWGENLTWETRVSIAIDAAKGLEYLHEAAAPRILHRDIKSANILLDKNWRAKITDLGMAKRLRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIHKSNNKEESLVIWATPRLQDCKQVTPELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNVHVNFLQMSSAHNMKNETLVERHQSVIEALYDTDEYMPTESTNSTENSLPLRTDGIGTVGKQRDTLSAECLERLVLLSSNTRSWGVPDDEAVDLTEPRLELFDVATIKSLREEQSYRLA >KJB53328 pep chromosome:Graimondii2_0_v6:9:7899985:7905522:-1 gene:B456_009G108700 transcript:KJB53328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVEVVLVCIISLIWIQQSLCDEFSNTSGVSNWTCTCSSGNQSYILKSNCSRSCDCNPVESSGDRWTCVCATNGFPEVAVDNHDTTCFTACNCTAGSLPEVPASRKHYANRVVVIVLLVSVILTTLAFIASVTCYFYRKDNCPKCPIQPSIFLSDKETCCNSATNLLSQKSSLLSETKINIGFPTRPVAGCFQKASFLCPSKPGTVLWTVFQFAYSELENATNKFSDSNLIGVGGSSYVYRGQLKDGRIVAVKRLKVQGGPDADSIISTEVELLSRLHHCHVVPLLGYCLEFSGKHAERLLVFEYMHNGNLRECLNGIWGENLTWETRVSIAIDAAKGLEYLHEAAAPRILHRDIKSANILLDKNWRAKITDLGMAKRLRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIHKSNNKEESLVIWATPRLQDCKQVTPELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNVHVNFLQMSSAHNMKNETLVERHQSVIEALYDTDEYMPTESTNSTENSLPLRTDGIGTVGKQRDTLSAECLERLVLLSSNTRSWGVPDDEAVDLTEPRLELFDVATIKSLREEQSYRLA >KJB53324 pep chromosome:Graimondii2_0_v6:9:7901876:7904907:-1 gene:B456_009G108700 transcript:KJB53324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVEVVLVCIISLIWIQQSLCDEFSNTSGVSNWTCTCSSGNQSYILKSNCSRSCDCNPVESSGDRWTCVCATNGFPEVAVDNHDTTCFTACNCTAGSLPEVPASRKHYANRVVVIVLLVSVILTTLAFIASVTCYFYRKDNCPKCPIQPSIFLSDKETCCNSATNLLSQKSSLLSETKINIGFPTRPVAGCFQKASFLCPSKPGTVLWTVFQFAYSELENATNKFSDSNLIGVGGSSYVYRGQLKDGRIVAVKRLKVQGGPDADSIISTEVELLSRLHHCHVVPLLGYCLEFSGKHAERLLVFEYMHNGNLRECLNGIWGENLTWETRVSIAIDAAKGLEYLHEAAAPRILHRDIKSANILLDKNWRAKITDLGMAKRLRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIHKSNNKEESLVIWV >KJB53331 pep chromosome:Graimondii2_0_v6:9:7899985:7906573:-1 gene:B456_009G108700 transcript:KJB53331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVEVVLVCIISLIWIQQSLCDEFSNTSGVSNWTCTCSSGNQSYILKSNCSRSCDCNPVESSGDRWTCVCATNGFPEVAVDNHDTTCFTACNCTAGSLPEVPASRKHYANRVVVIVLLVSVILTTLAFIASVTCYFYRKDNCPKCPIQPSIFLSDKETCCNSATNLLSQKSSLLSETKINIGFPTRPVAGCFQKASFLCPSKPGTVLWTVFQFAYSELENATNKFSDSNLIGVGGSSYVYRGQLKDGRIVAVKRLKVQGGPDADSIISTEVELLSRLHHCHVVPLLGYCLEFSGKHAERLLVFEYMHNGNLRECLNGIWGENLTWETRVSIAIDAAKGLEYLHEAAAPRILHRDIKSANILLDKNWRAKITDLGMAKRLRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIHKSNNKEESLVIWATPRLQDCKQVTPELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRRNVHVNFLQMSSAHNMKNETLVERHQSVIEALYDTDEYMPTESTNSTENSLPLRTDGIGTVGKQRDTLSAECLERLVLLSSNTRSWGVPDDEAVDLTEPRLELFDVATIKSLREEQSYRLA >KJB53754 pep chromosome:Graimondii2_0_v6:9:318170:319594:-1 gene:B456_009G003400 transcript:KJB53754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFVCRSKGGEWSAKQLEGEIESSAASTYELQRKLVQAATACDSSGGVTSSFSVVTPNSAVFQVVIGGAVGGAFIGGGGAAAAPAGGAAAAAEAPAAEEKKEEKEESDDDMGFSLFD >KJB55782 pep chromosome:Graimondii2_0_v6:9:6973354:6975558:1 gene:B456_009G096000 transcript:KJB55782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHPRHFGRNLRENLVSDLMKDAEGTCSGRHGFVVAITGIENIGKGLIRDGTGFVTFPVKYQCVMGFFAEAGPVQFFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTTKDDFLGVINDPTTT >KJB53729 pep chromosome:Graimondii2_0_v6:9:262379:265181:1 gene:B456_009G002600 transcript:KJB53729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKSFRQAYGALKDSTTVGLAKVNSDFKDLDIAIVKATNHVECPPKERHVRKILAATAVARPRADIAYCIHALAKRLSKTRNWIVAIKVLIVIHRTLREGDPTFREELMNYSHRGRILQISNFKDDSSPLAWDCSAWVRTYALFLEERLECFRVLKFDIETERLPKTSSPAGASKSRSTSRTILSASDELIEQLPVLQQLLYRLIGCQPEGAAYSNYLVQYALALILKESFKIYCGINDGIINLVDMFFDMSKHDAVKALNIYKRAGQQAESLAEFYEYCKGLDLARNFQFPTLRQPPPSFLATMEEYIKEAPQTSSVQNRLEYEQREESPPPADGVEEKHVEEENNKPLQEPAAAAAEETQAKEEVKEPQPLVSLDSTDDLLGLNEINPRALELEESNALALAIVPSGNESTTNRGLNEISGTGWELALVTAPSNHTAPPVESKLGGGFDKLLLDSLYEDDAARRQLQLKNAGYGYGYGSMDVQQNPFHQYQHNDPFIMSNDIAPPTNVQMALLAQQQQMMMMVPYQYPQQQNIPPSNPFGDPFFSLPPTSTSQPGNHTLL >KJB61899 pep chromosome:Graimondii2_0_v6:9:53985206:53987721:-1 gene:B456_009G393200 transcript:KJB61899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYGYSPSPPRDYGRRRHRSPSPRGRYGGRGRDLPTSLLVRNLRHDCRPEDLRGPFGQFGRLKDVYLPRDYYTGQPRGFGFVQYLDPADAADAKYHMDGYVLLGRELTVVFAEENRKKPSEMRARERRRRSPPSYSRSPRYARSYSRSPYYYSPPPRKRRYSRSISPGDKRYRERSYSSSPYGSRSPSRSFSRSRSQGLDYSR >KJB61901 pep chromosome:Graimondii2_0_v6:9:53985435:53986064:-1 gene:B456_009G393200 transcript:KJB61901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYVLLGRELTVVFAEENRKKPSEMRARERRRRSPPSYSRSPRYARSYSRSPYYYSPPPRKRRYSRSISPGDKRYRERSYSSSPYGSRSPSRSFSRSRSQGLDYSR >KJB61904 pep chromosome:Graimondii2_0_v6:9:53985094:53987915:-1 gene:B456_009G393200 transcript:KJB61904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYGYSPSPPRDYGRRRHRSPSPRGRYGGRGRDLPTSLLVRNLRHDCRPEDLRGPFGQFGRLKDVYLPRDYYTGQPRGFGFVQYLDPADAADAKYHMDGYVLLGRELTVVFAEENRKKPSEMRARERRRRSPPSYSRSPRYARSYSRSPYYYSPPPRKRRYSRSISPGDKRYRERSYSSSPYGSRSPSRSFSRSRSQGLDYSR >KJB61902 pep chromosome:Graimondii2_0_v6:9:53985435:53986064:-1 gene:B456_009G393200 transcript:KJB61902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYVLLGRELTVVFAEENRKKPSEMRARERRRRSPPSYSRSPRYARSYSRSPYYYSPPPRKRRYSRSISPGDKRYRERSYSSSPYGSRSPSRSFSRSRSQGLDYSR >KJB61900 pep chromosome:Graimondii2_0_v6:9:53985206:53987764:-1 gene:B456_009G393200 transcript:KJB61900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYGYSPSPPRDYGRRRHRSPSPRGRYGGRGRDLPTSLLVRNLRHDCRPEDLRGPFGQFGRLKDVYLPRDYYTGQPRGFGFVQYLDPADAADAKYHMDGYVLLGRELTVVFAEENRKKPSEMRARERRRRSPPSYSRSPRYARSYSRSPYYYSPPPRKRRYSRSISPGDKRYRERSYSSSPYGSRSPSRSFSRSRSQGLDYSR >KJB61903 pep chromosome:Graimondii2_0_v6:9:53985206:53987585:-1 gene:B456_009G393200 transcript:KJB61903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYVLLGRELTVVFAEENRKKPSEMRARERRRRSPPSYSRSPRYARSYSRSPYYYSPPPRKRRYSRSISPGDKRYRERSYSSSPYGSRSPSRSFSRSRSQGLDYSR >KJB59424 pep chromosome:Graimondii2_0_v6:9:20899353:20900044:1 gene:B456_009G254200 transcript:KJB59424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWILPNDGKLIEWSSSTDFRFRAFTIIICCSIPFPLPLPPLPFLYPFWFLSITLSSFPVLFLYSFDLLFYLSSY >KJB55817 pep chromosome:Graimondii2_0_v6:9:6993994:6996184:-1 gene:B456_009G096400 transcript:KJB55817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQALISPSSGLIHTQNPFSSSFKPQLNTHSILFFKPIASSSLQYRSPILNQIRTMALSNIKSNRWSLQGMTALVTGGTRGIGRAIVEELGGLGARVHTCSRNENELDKALVDWESLGFEVSGSICDVSIAAQRQSLMETVSSLFDCKLNILINNVGTNIRKPMVDFTAEEVSTLLATNFESVFNLCQLAYPLLKTSGSGSVVFTSSVSGFVSLKSMSLQGATKGAINQLTRNLACEWAKDNIRSNAVAPWYIRTSMVEQVIFFSLVQFFLKFELDPDYIFTIMLDYGNK >KJB55816 pep chromosome:Graimondii2_0_v6:9:6993580:6996184:-1 gene:B456_009G096400 transcript:KJB55816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQALISPSSGLIHTQNPFSSSFKPQLNTHSILFFKPIASSSLQYRSPILNQIRTMALSNIKSNRWSLQGMTALVTGGTRGIGRAIVEELGGLGARVHTCSRNENELDKALVDWESLGFEVSGSICDVSIAAQRQSLMETVSSLFDCKLNILINNVGTNIRKPMVDFTAEEVSTLLATNFESVFNLCQLAYPLLKTSGSGSVVFTSSVSGFVSLKSMSLQGATKGAINQLTRNLACEWAKDNIRSNAVAPWYIRTSMVEQVIFFSLVQFFLKFELDPDYIFTIMLDYGNK >KJB55818 pep chromosome:Graimondii2_0_v6:9:6993994:6996279:-1 gene:B456_009G096400 transcript:KJB55818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQALISPSSASSSLQYRSPILNQIRTMALSNIKSNRWSLQGMTALVTGGTRGIGRAIVEELGGLGARVHTCSRNENELDKALVDWESLGFEVSGSICDVSIAAQRQSLMETVSSLFDCKLNILINNVGTNIRKPMVDFTAEEVSTLLATNFESVFNLCQLAYPLLKTSGSGSVVFTSSVSGFVSLKSMSLQGATKGAINQLTRNLACEWAKDNIRSNAVAPWYIRTSMVEQVIFFSLVQFFLKFELDPDYIFTIMLDYGNK >KJB55815 pep chromosome:Graimondii2_0_v6:9:6993535:6996380:-1 gene:B456_009G096400 transcript:KJB55815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQALISPSSGLIHTQNPFSSSFKPQLNTHSILFFKPIASSSLQYRSPILNQIRTMALSNIKSNRWSLQGMTALVTGGTRGIGRAIVEELGGLGARVHTCSRNENELDKALVDWESLGFEVSGSICDVSIAAQRQSLMETVSSLFDCKLNILINNVGTNIRKPMVDFTAEEVSTLLATNFESVFNLCQLAYPLLKTSGSGSVVFTSSVSGFVSLKSMSLQGATKGAINQLTRNLACEWAKDNIRSNAVAPWYIRTSMVEQVLSNNEYLEEVYSRTPLGRLGDPTEVSSLVAFLCLPASSYITGQIICVDGGMSVNGFYPTNY >KJB58286 pep chromosome:Graimondii2_0_v6:9:15709066:15712109:-1 gene:B456_009G202600 transcript:KJB58286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRWSSSHHNKENDSLQQESKIKELRAAIGPLSGRSLKYCTDACLRRYLEARNWNADKSKKMLEETLKWRSTYKPEEIRWHKVAVEGETGKVYRASFHDRHGRTVLILRPGKQNTTSLDNQLRHLVYLIENAILNLPETQEQMAWLIDFTGWTLSTSVPIKSARDTINLLQNHYPERLVIAFLYNPPRIFEAFWKIVKYFMDAKTFQKVKFVYPKNTESVELMRSYFDEENLPTEFGGKAVLEYNHEEFSKQMNQDDIKSANLWGFDDKLQCIGNGHSGSDVAPEPVCLAPSAS >KJB58289 pep chromosome:Graimondii2_0_v6:9:15709066:15711674:-1 gene:B456_009G202600 transcript:KJB58289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRWSSSHHNKENDSLQQESKIKELRAAIGPLSGRSLKYCTDACLRRYLEARNWNADKSKKMLEETLKWRSTYKPEEIRWHKVAVEGETGKVYRASFHDRHGRTVLILRPGKQNTTSLDNQLRHLVYLIENAILNLPETQEQMAWLIDFTGWTLSTSVPIKSARDTINLLQNHYPERLVIAFLYNPPRIFEAFWKIVKYFMDAKTFQKVKFVYPKNTESVELMRSYFDEENLPTEFGGKAVLEYNHEEFSKQMNQDDIKSANLWGFDDKLQCIGNGHSGSDVAPEPVCLAPSAS >KJB58287 pep chromosome:Graimondii2_0_v6:9:15709066:15711267:-1 gene:B456_009G202600 transcript:KJB58287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRWSSSHHNKENDSLQQESKIKELRAAIGPLSGRSLKYCTDACLRRYLEARNWNADKSKKMLEETLKWRSTYKPEEIRWHKVAVEGETGKVYRASFHDRHGRTVLILRPGKQNTTSLDNQLRHLVYLIENAILNLPETQEQMAWLIDFTGWTLSTSVPIKSARDTINLLQNHYPERLVIAFLYNPPRIFEAFWKIVKYFMDAKTFQKVKFVYPKNTESVELMRSYFDEENLPTEFGGKAVLEYNHEEFSKQMNQDDIKSANLWGFDDKLQCIGNGHSGSDVAPEPVCLAPSAS >KJB58285 pep chromosome:Graimondii2_0_v6:9:15708981:15711983:-1 gene:B456_009G202600 transcript:KJB58285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRWSSSHHNKENDSLQQESKIKELRAAIGPLSGRSLKYCTDACLRRYLEARNWNADKSKKMLEETLKWRSTYKPEEIRWHKVAVEGETGKVYRASFHDRHGRTVLILRPGKQNTTSLDNQLRHLVYLIENAILNLPETQEQMAWLIDFTGWTLSTSVPIKSARDTINLLQNHYPERLVIAFLYNPPRIFEAFWKIVKYFMDAKTFQKVKFVYPKNTESVELMRSYFDEENLPTEFGGKAVLEYNHEEFSKQMNQDDIKSANLWGFDDKLQCIGNGHSGSDVAPEPVCLAPSAS >KJB58288 pep chromosome:Graimondii2_0_v6:9:15708994:15713023:-1 gene:B456_009G202600 transcript:KJB58288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRWSSSHHNKENDSLQQESKIKELRAAIGPLSGRSLKYCTDACLRRYLEARNWNADKSKKMLEETLKWRSTYKPEEIRWHKVAVEGETGKVYRASFHDRHGRTVLILRPGKQNTTSLDNQLRHLVYLIENAILNLPETQEQMAWLIDFTGWTLSTSVPIKSARDTINLLQNHYPERLVIAFLYNPPRIFEAFWKIVKYFMDAKTFQKVKFVYPKNTESVELMRSYFDEENLPTEFGGKAVLEYNHEEFSKQMNQDDIKSANLWGFDDKLQCIGNGHSGSDVAPEPVCLAPSAS >KJB58290 pep chromosome:Graimondii2_0_v6:9:15708981:15711987:-1 gene:B456_009G202600 transcript:KJB58290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRWSSSHHNKENDSLQQESKIKELRAAIGPLSGRSLKYCTDACLRRYLEARNWNADKSKKMLEETLKWRSTYKPEEIRWHKVAVEGETGKVYRASFHDRHGRTVLILRPGKQNTTSLDNQLRHLVYLIENAILNLPETQEQMAWLIDFTGWTLSTSVPIKSARDTINLLQNHYPERLVIAFLYNPPRIFEAFWKIVKYFMDAKTFQKVKFVYPKNTESVELMRSYFDEENLPTEFGGKAVLEYNHEEFSKQMNQDDIKSANLWGFDDKLQCIGNGHSGSDVAPEPVCLAPSAS >KJB58876 pep chromosome:Graimondii2_0_v6:9:18032836:18040377:-1 gene:B456_009G229400 transcript:KJB58876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSESVRVAVNIRPLITTELLNGCTDCITVVPGEPQVHIGSHAFTYDYVYGGAGSPSSAIFDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYTGEGSNGGIIPKVMETMFKRVEATKHSTEILIRVSFIEIFKEEVFDLLDSNSATLSKAEGAPFSKPTAPGRLPIQIRETVNGGITLAGVTEAEVRTKEEMASYLSRGSLSRATGSTNMNSQSSRSHAIFTITLEQKKIASCPNGVNDDISDDILCAKLHLVDLAGSERAKRTGADGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPMAAQLQRMRSQIEQLQAELLFYRGDGQAPFDELQILKHKVSLLEASNSELQRELQERRLTSEQLAQRALDAQVEKDKLIMQIESVRNGKSWEEVDSNQNQDLNLMKTYVLKIQELEGELIRLKSVNSSKRSRFSDCVDSDDDGTSKNSLFSSENGYLSSDEIEDNQAELEHTSLQEKLDKELKELDKRLEQKEAEMKRFANSDTSVLKQHYEKKVNELEQEKRALQREIEDLRHNLADISSTSDDGAQKLKEEYLQKLTALEAQVAELKRKQDAQAQLLRQKQKSDEAARRLQDEIHRIKSQKVQLQHKIKQESEQFRLWKQSREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKSEEAAMATKRLKELLESRKTSSREASSAGNGNGPGIQAIMQTIEHELEVTVRVHEVRSEYERQMEERGKMAKEVARLKEETEILRRGDLRDHPETMSPGARNSRIFALENMLAATSSTLVSMASQLSEAEERERVFSGRGRWNHVRSLADAKNIMNYLFNLASTSRCSLRDKEVNCREKDAEIRDLKEKVVKLSSLARQLEVQKADLVHQLKVMQNVTAKHSMKGSMDYSGIPDFNGGRHKYELRKPEYRSSMIFTEDMDISESEHSDIDALDDDDDDDWVQSDKKQIRRRASKSRTSSLGVHQPDTNGSENNKGCTDEVIEKNDGICCTCSHKSSCKTSKCQCRANGSSCGQSCGCTSIKCSNREAEANSANDAGTNEANNLVAQGAMLLQNAFGSEKTAETNDDFTTKRKALSDIGNTMVSFLVFYDKNIRNIV >KJB58872 pep chromosome:Graimondii2_0_v6:9:18032001:18040531:-1 gene:B456_009G229400 transcript:KJB58872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLHHCRSRRTSAIFDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYTGEGSNGGIIPKVMETMFKRVEATKHSTEILIRVSFIEIFKEEVFDLLDSNSATLSKAEGAPFSKPTAPGRLPIQIRETVNGGITLAGVTEAEVRTKEEMASYLSRGSLSRATGSTNMNSQSSRSHAIFTITLEQKKIASCPNGVNDDISDDILCAKLHLVDLAGSERAKRTGADGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPMAAQLQRMRSQIEQLQAELLFYRGDGQAPFDELQILKHKVSLLEASNSELQRELQERRLTSEQLAQRALDAQVEKDKLIMQIESVRNGKSWEEVDSNQNQDLNLMKTYVLKIQELEGELIRLKSVNSSKRSRFSDCVDSDDDGTSKNSLFSSENGYLSSDEIEDNQAELEHTSLQEKLDKELKELDKRLEQKEAEMKRFANSDTSVLKQHYEKKVNELEQEKRALQREIEDLRHNLADISSTSDDGAQKLKEEYLQKLTALEAQVAELKRKQDAQAQLLRQKQKSDEAARRLQDEIHRIKSQKVQLQHKIKQESEQFRLWKQSREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKSEEAAMATKRLKELLESRKTSSREASSAGNGNGPGIQAIMQTIEHELEVTVRVHEVRSEYERQMEERGKMAKEVARLKEETEILRRGDLRDHPETMSPGARNSRIFALENMLAATSSTLVSMASQLSEAEERERVFSGRGRWNHVRSLADAKNIMNYLFNLASTSRCSLRDKEVNCREKDAEIRDLKEKVVKLSSLARQLEVQKADLVHQLKVMNVTAKHSMKGSMDYSGIPDFNGGRHKYELRKPEYRSSMIFTEDMDISESEHSDIDALDDDDDDDWVQSDKKQIRRRASKSRTSSLGVHQPDTNGSENNKGCTDEVIEKNDGICCTCSHKSSCKTSKCQCRANGSSCGQSCGCTSIKCSNREAEANSANDAGTNEANNLVAQGAMLLQNAFGSEKTAETNDDFTTKRKALSDIGNTMPKPDVPKPNRRKKWGKSMIQLVPVAPPASEPENVAAADNPEKIEPPKEPDNSCPSESDTIPLKLPRAMRSNGSKLLRERNAEDESTNTTKEPPALVPTSPVRPTRTSEEKENCRR >KJB58874 pep chromosome:Graimondii2_0_v6:9:18032067:18040377:-1 gene:B456_009G229400 transcript:KJB58874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSESVRVAVNIRPLITTELLNGCTDCITVVPGEPQVHIGSHAFTYDYVYGGAGSPSSAIFDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYTGEGSNGGIIPKVMETMFKRVEATKHSTEILIRVSFIEIFKEEVFDLLDSNSATLSKAEGAPFSKPTAPGRLPIQIRETVNGGITLAGVTEAEVRTKEEMASYLSRGSLSRATGSTNMNSQSSRSHAIFTITLEQKKIASCPNGVNDDISDDILCAKLHLVDLAGSERAKRTGADGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPMAAQLQRMRSQIEQLQAELLFYRGDGQAPFDELQILKHKVSLLEASNSELQRELQERRLTSEQLAQRALDAQVEKDKLIMQIESVRNGKSWEEVDSNQNQDLNLMKTYVLKIQELEGELIRLKSVNSSKRSRFSDCVDSDDDGTSKNSLFSSENGYLSSDEIEDNQAELEHTSLQEKLDKELKELDKRLEQKEAEMKRFANSDTSVLKQHYEKKVNELEQEKRALQREIEDLRHNLADISSTSDDGAQKLKEEYLQKLTALEAQVAELKRKQDAQAQLLRQKQKSDEAARRLQDEIHRIKSQKVQLQHKIKQESEQFRLWKQSREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKSEEAAMATKRLKELLESRKTSSREASSAGNGNGPGIQAIMQTIEHELEVTVRVHEVRSEYERQMEERGKMAKEVARLKEETEILRRGDLRDHPETMSPGARNSRIFALENMLAATSSTLVSMASQLSEAEERERVFSGRGRWNHVRSLADAKNIMNYLFNLASTSRCSLRDKEVNCREKDAEIRDLKEKVVKLSSLARQLEVQKADLVHQLKVMQNVTAKHSMKGSMDYSGIPDFNGGRHKYELRKPEYRSSMIFTEDMDISESEHSDIDALDDDDDDDWVQSDKKQIRRRASKSRTSSLGVHQPDTNGSENNKGCTDEVIEKNDGICCTCSHKSSCKTSKCQCRANGSSCGQSCGCTSIKCSNREAEANSANDAGTNEANNLVAQGAMLLQNAFGSEKTAETNDDFTTKRKALSDIGNTMPKPDVPKPNRRKKWGKSMIQLVPVAPPASEPENVAAADNPEKIEPPKEPDNSCPSESDTIPLKLPRAMRSNGSKLLRERNAEDESTNTTKEPPALVPTSPVRPTRTSEEKENCRR >KJB58871 pep chromosome:Graimondii2_0_v6:9:18032001:18040424:-1 gene:B456_009G229400 transcript:KJB58871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSESVRVAVNIRPLITTELLNGCTDCITVVPGEPQVHIGSHAFTYDYVYGGAGSPSSAIFDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYTGEGSNGGIIPKVMETMFKRVEATKHSTEILIRVSFIEIFKEEVFDLLDSNSATLSKAEGAPFSKPTAPGRLPIQIRETVNGGITLAGVTEAEVRTKEEMASYLSRGSLSRATGSTNMNSQSSRSHAIFTITLEQKKIASCPNGVNDDISDDILCAKLHLVDLAGSERAKRTGADGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPMAAQLQRMRSQIEQLQAELLFYRGDGQAPFDELQILKHKVSLLEASNSELQRELQERRLTSEQLAQRALDAQVEKDKLIMQIESVRNGKSWEEVDSNQNQDLNLMKTYVLKIQELEGELIRLKSVNSSKRSRFSDCVDSDDDGTSKNSLFSSENGYLSSDEIEDNQAELEHTSLQEKLDKELKELDKRLEQKEAEMKRFANSDTSVLKQHYEKKVNELEQEKRALQREIEDLRHNLADISSTSDDGAQKLKEEYLQKLTALEAQVAELKRKQDAQAQLLRQKQKSDEAARRLQDEIHRIKSQKVQLQHKIKQESEQFRLWKQSREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKSEEAAMATKRLKELLESRKTSSREASSAGNGNGPGIQAIMQTIEHELEVTVRVHEVRSEYERQMEERGKMAKEVARLKEETEILRRGDLRDHPETMSPGARNSRIFALENMLAATSSTLVSMASQLSEAEERERVFSGRGRWNHVRSLADAKNIMNYLFNLASTSRCSLRDKEVNCREKDAEIRDLKEKVVKLSSLARQLEVQKADLVHQLKVMNVTAKHSMKGSMDYSGIPDFNGGRHKYELRKPEYRSSMIFTEDMDISESEHSDIDALDDDDDDDWVQSDKKQIRRRASKSRTSSLGVHQPDTNGSENNKGCTDEVIEKNDGICCTCSHKSSCKTSKCQCRANGSSCGQSCGCTSIKCSNREAEANSANDAGTNEANNLVAQGAMLLQNAFGSEKTAETNDDFTTKRKALSDIGNTMPKPDVPKPNRRKKWGKSMIQLVPVAPPASEPENVAAADNPEKIEPPKEPDNSCPSESDTIPLKLPRAMRSNGSKLLRERNAEDESTNTTKEPPALVPTSPVRPTRTSEEKENCRR >KJB58873 pep chromosome:Graimondii2_0_v6:9:18032341:18040306:-1 gene:B456_009G229400 transcript:KJB58873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLHHCRSRRTSAIFDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYTGEGSNGGIIPKVMETMFKRVEATKHSTEILIRVSFIEIFKEEVFDLLDSNSATLSKAEGAPFSKPTAPGRLPIQIRETVNGGITLAGVTEAEVRTKEEMASYLSRGSLSRATGSTNMNSQSSRSHAIFTITLEQKKIASCPNGVNDDISDDILCAKLHLVDLAGSERAKRTGADGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPMAAQLQRMRSQIEQLQAELLFYRGDGQAPFDELQILKHKVSLLEASNSELQRELQERRLTSEQLAQRALDAQVEKDKLIMQIESVRNGKSWEEVDSNQNQDLNLMKTYVLKIQELEGELIRLKSVNSSKRSRFSDCVDSDDDGTSKNSLFSSENGYLSSDEIEDNQAELEHTSLQEKLDKELKELDKRLEQKEAEMKRFANSDTSVLKQHYEKKVNELEQEKRALQREIEDLRHNLADISSTSDDGAQKLKEEYLQKLTALEAQVAELKRKQDAQAQLLRQKQKSDEAARRLQDEIHRIKSQKVQLQHKIKQESEQFRLWKQSREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKSEEAAMATKRLKELLESRKTSSREASSAGNGNGPGIQAIMQTIEHELEVTVRVHEVRSEYERQMEERGKMAKEVARLKEETEILRRGDLRDHPETMSPGARNSRIFALENMLAATSSTLVSMASQLSEAEERERVFSGRGRWNHVRSLADAKNIMNYLFNLASTSRCSLRDKEVNCREKDAEIRDLKEKVVKLSSLARQLEVQKADLVHQLKVMQNVTAKHSMKGSMDYSGIPDFNGGRHKYELRKPEYRSSMIFTEDMDISESEHSDIDALDDDDDDDWVQSDKKQIRRRASKSRTSSLGVHQPDTNGSENNKGCTDEVIEKNDGICCTCSHKSSCKTSKCQCRANGSSCGQSCGCTSIKCSNREAEANSANDAGTNEANNLVAQGAMLLQNAFGSEKTAETNDDFTTKRKALSDIGNTMPKPDVPKPNRRKKWGKSMIQLVPVAPPASEPENVAAADNPEKIEPPKEPDNSCPSESDTIPLKLPRAMRSNGSKLLRERNAEDESTNTTKEPPALVPTSPVRPTRTSEEKENCRR >KJB58875 pep chromosome:Graimondii2_0_v6:9:18032684:18040377:-1 gene:B456_009G229400 transcript:KJB58875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSESVRVAVNIRPLITTELLNGCTDCITVVPGEPQVHIGSHAFTYDYVYGGAGSPSSAIFDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYTGEGSNGGIIPKVMETMFKRVEATKHSTEILIRVSFIEIFKEEVFDLLDSNSATLSKAEGAPFSKPTAPGRLPIQIRETVNGGITLAGVTEAEVRTKEEMASYLSRGSLSRATGSTNMNSQSSRSHAIFTITLEQKKIASCPNGVNDDISDDILCAKLHLVDLAGSERAKRTGADGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPMAAQLQRMRSQIEQLQAELLFYRGDGQAPFDELQILKHKVSLLEASNSELQRELQERRLTSEQLAQRALDAQVEKDKLIMQIESVRNGKSWEEVDSNQNQDLNLMKTYVLKIQELEGELIRLKSVNSSKRSRFSDCVDSDDDGTSKNSLFSSENGYLSSDEIEDNQAELEHTSLQEKLDKELKELDKRLEQKEAEMKRFANSDTSVLKQHYEKKVNELEQEKRALQREIEDLRHNLADISSTSDDGAQKLKEEYLQKLTALEAQVAELKRKQDAQAQLLRQKQKSDEAARRLQDEIHRIKSQKVQLQHKIKQESEQFRLWKQSREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKSEEAAMATKRLKELLESRKTSSREASSAGNGNGPGIQAIMQTIEHELEVTVRVHEVRSEYERQMEERGKMAKEVARLKEETEILRRGDLRDHPETMSPGARNSRIFALENMLAATSSTLVSMASQLSEAEERERVFSGRGRWNHVRSLADAKNIMNYLFNLASTSRCSLRDKEVNCREKDAEIRDLKEKVVKLSSLARQLEVQKADLVHQLKVMQNVTAKHSMKGSMDYSGIPDFNGGRHKYELRKPEYRSSMIFTEDMDISESEHSDIDALDDDDDDDWVQSDKKQIRRRASKSRTSSLGVHQPDTNGSENNKGCTDEVIEKNDGICCTCSHKSSCKTSKCQCRANGSSCGQSCGCTSIKCSNREAEANSANDAGTNEANNLVAQGAMLLQNAFGSEKTAETNDDFTTKRKALSDIGNTMLLIFSTA >KJB59561 pep chromosome:Graimondii2_0_v6:9:38684146:38685894:1 gene:B456_009G339400 transcript:KJB59561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPDRYERFVVPEGTKKVSYERDTKIINAATFTIEREDHTIGNILRIDENVLFAGYKLPHPLQYKILVRIHTTSQSSPMQAYNQAINDLDKELDHLKNAFEVEVEKHSRGLLMPV >KJB59558 pep chromosome:Graimondii2_0_v6:9:38684057:38685894:1 gene:B456_009G339400 transcript:KJB59558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPDRYERFVVPEGTKKVSYERDTKIINAATFTIEREDHTIGNILRMQLHRDENVLFAGYKLPHPLQYKILVRIHTTSQSSPMQAYNQAINDLDKELDHLKNAFEVEVEKHSRGLLMPV >KJB59560 pep chromosome:Graimondii2_0_v6:9:38684127:38684618:1 gene:B456_009G339400 transcript:KJB59560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPDRYERFVVPEGTKKVSYERDTKIINAATFTIEREDHTIGNILRMQLHRDENVLFAGYKLPHPLQYKILVRVSLFFVG >KJB59559 pep chromosome:Graimondii2_0_v6:9:38684117:38685894:1 gene:B456_009G339400 transcript:KJB59559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPDRYERFVVPEGTKKVSYERDTKIINAATFTIEREDHTIGNILRMQLHRDENVLFAGYKLPHPLQYKILVRVEVEKHSRGLLMPV >KJB53954 pep chromosome:Graimondii2_0_v6:9:1034971:1038141:-1 gene:B456_009G012600 transcript:KJB53954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDASSAAAAVADAKISSIFIYPVKSCRGISVPHAPLTPTGFRWDRQWLVVNQRGRAYTQRVEPKLALVEVNLPAEAFSEGWEPTKTSYLEIKAPGMDLLKISLCKPPKVSDGVSVWEWSGSALDEGDEASKWFTNYLGKPSRMVRFNAASETRPVNPVYAQGYSIMFSDMFPFMLVSQGSLDELNKLLKEPVPMNRFRPNILVDGCEPFSEDLWTEIKISQFSFQGVRLCSRCKVPTINQDTAIAGPEPNETLMKYRSGKVLRPDKKQQGEIYFGQNMVCKESLTEGKAKLVKVGDPIFVLQKVSTAAEAVA >KJB62563 pep chromosome:Graimondii2_0_v6:9:66071941:66073831:1 gene:B456_009G423000 transcript:KJB62563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMDIFCASQASTAVCLSMDQAASSSCSSASAVQLGGRAIDRHNPIIRDAKRFTRTLPSNPCTSQPPPINPLPYHQLKKNEKKCSSKSSNDHARKGSSSSGVSAKLNDHKKKKKGSSKNSTSKPSEIKDAETGRKSFATKAVDFVTPPGSTRYLLGDNNNSGFFDGRPDYDPVWALVPAETSKIQAIKQDQSIVSKPSSSSPPEKPSKDQVVVLRVSLHCKGCEGKLRKHLSRMEAKKVTIVGDVTPLGVLASVSKVKSAQFWTSTMPVAASSANMTNK >KJB62564 pep chromosome:Graimondii2_0_v6:9:66071941:66073831:1 gene:B456_009G423000 transcript:KJB62564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMDIFCASQASTAVCLSMDQAASSSCSSASAVQLGGRAIDRHNPIIRDAKRFTRTLPSNPCTSQPPPINPLPYHQLKKNEKKCSSKSSNDHARKGSSSSGVSAKLNDHKKKKKGSSKNSTSKPSEIKDAETGRKSFATKAVDFVTPPGSTRYLLGDNNNSGFFDGRPDYDPVWALVPAETSKIQAIKQDQSIVSKPSSSSPPEKPSKDQVVVLRVSLHCKGCEGKLRKHLSRMEGVTSFNIDFAAKKVTIVGDVTPLGVLASVSKVKSAQFWTSTMPVAASSANMTNK >KJB62562 pep chromosome:Graimondii2_0_v6:9:66071941:66073709:1 gene:B456_009G423000 transcript:KJB62562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMDIFCASQASTAVCLSMDQAASSSCSSASAVQLGGRAIDRHNPIIRDAKRFTRTLPSNPCTSQPPPINPLPYHQLKKNEKKCSSKSSNDHARKGSSSSGVSAKLNDHKKKKKGSSKNSTSKPSEIKDAETGRKSFATKAVDFVTPPGSTRYLLGDNNNSGFFDGRPDYDPVWALVPAETSKIQAIKQDQSIVSKPSSSSPPEKPSKDQVVVLRVSLHCKGCEGKLRKHLSRMEVSIFRCYIIQHRLRS >KJB59705 pep chromosome:Graimondii2_0_v6:9:22320172:22327895:1 gene:B456_009G268000 transcript:KJB59705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQHSNEGSSMGSTSGESSDSLVELKIKTLDSQIFSFLVDKKAPVSSFKEKIARELGVPVGRQRLIFRGKVLKDDHLLSEYHVENGHTLHLVERQPAQSQLSSDASSGEADGNNSNRGNDAASGIPRNRVGQISHSVVLGTFNVGDQGDGSAPDLSRVIGAVLNSFGVGGQSTTNGTITQSSTSAPQGNETNGVRGGGENQQGNQTQSAPSFPGQSFQVAHQVMPIPLTAAQVSIPSLNTPIPDSLNALSEFMNRMEMHSPNGYQPHTSTTNTRDQPRVALPSDARGLPTPEALSIVIRNAVRLLNSHAIGALSHIAERLEQEGDSSDPTVRGQIQTESVQAGLTMQHLGSVLLELGRTILTLRMGNSPAESSVNAGPAVYISPSGPNPIMVQPFPLQTRSLFSGSHSPSNSLTVGPVGVGNAPRHINIHIHPGTALSPVVSAVGNRTNNGEGRQGERGNNAGSGSMRVLPVRNTVASAPQARATAAMSSAAQSALTESSLSSMVAEINSRIRDLVSMQGDNQDASGSQQPNNMVASGAGDSTVALPANLETEELKSQPEHAEGRNDNTESGESSQDISLGTVGCPPSSSGEPLVKLEDPSGSAPRSSEENAKPVPLGLGLGGLERKRRVKPTKSSIAGVNGTASSSLDQNLSARMAGQQILQSLASQSSSLNRVDSSSGNQGVLGSRLSGGQGSDDQLAAANAVSQVLQSPALNGLLAGVSQQTGAGSPDDFRNMLQQLTQSPQIMNTVSQLAQQVDSQDIGNMFSGFGGGQGGGIDLSRMVQQMMPIVSQALGRGPSATPSFPAVESKSQDDIQQIAQRIEQSNVPDDVFHAVAENAVQVYGNGRNAEELLNELCGDEGLAKEYTEMLKQDLRQRFQDKSEKDKF >KJB59707 pep chromosome:Graimondii2_0_v6:9:22320195:22327820:1 gene:B456_009G268000 transcript:KJB59707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQHSNEGSSMGSTSGESSDSLVELKIKTLDSQIFSFLVDKKAPVSSFKEKIARELGVPVGRQRLIFRGKVLKDDHLLSEYHVENGHTLHLVERQPAQSQLSSDASSGEADGNNSNRGNDAASGIPRNRVGQISHSVVLGTFNVGDQGDGSAPDLSRVIGAVLNSFGVGGQSTTNGTITQSSTSAPQGNETNGVRGGGENQQGNQTQSAPSFPGQSFQVAHQVMPIPLTAAQVSIPSLNTPIPDSLNALSEFMNRMEMHSPNGYQPHTSTTNTRDQPRVALPSDARGLPTPEALSIVIRNAVRLLNSHAIGALSHIAERLEQEGDSSDPTVRGQIQTESVQAGLTMQHLGSVLLELGRTILTLRMGNSPAESSVNAGPAVYISPSGPNPIMVQPFPLQTRSLFSGSHSPSNSLTVGPVGVGNAPRHINIHIHPGTALSPVVSAVGNRTNNGEGRQGERGNNAGSGSMRVLPVRNTVASAPQARATAAMSSAAQSALTESSLSSMVAEINSRIRDLVSMQGDNQDASGSQQPNNMVASGAGDSTVALPANLETEELKSQPEHAEGRNDNTESGESSQDISLGTVGCPPSSSGEPLVKLEDPSGSAPRSSEENAKPVPLGLGLGGLERKRRVKPTKSSIAGVNGTASSSLDQNLSARMAGQQILQSLASQSSSLNRVDSSSGNQGVLGSRLSGGQGSDDQLAAANAVSQVLQSPALNGLLAGVSQQTGAGSPDDFRNMLQQLTQSPQIMNTVSQLAQQVDSQDIGNMFSGFGGGQGGGIDLSRMVQQMMPIVSQALGRGPSATPSFPAVESKSQVQCDGWKSTAIDKS >KJB59706 pep chromosome:Graimondii2_0_v6:9:22321270:22326236:1 gene:B456_009G268000 transcript:KJB59706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQHSNEGSSMGSTSGESSDSLVELKIKTLDSQIFSFLVDKKAPVSSFKEKIARELGVPVGRQRLIFRGKVLKDDHLLSEYHVENGHTLHLVERQPAQSQLSSDASSGEADGNNSNRGNDAASGIPRNRVGQISHSVVLGTFNVGDQGDGSAPDLSRVIGAVLNSFGVGGQSTTNGTITQSSTSAPQGNETNGVRGGGENQQGNQTQSAPSFPGQSFQVAHQVMPIPLTAAQVSIPSLNTPIPDSLNALSEFMNRMEMHSPNGYQPHTSTTNTRDQPRVALPSDARGLPTPEALSIVIRNAVRLLNSHAIGALSHIAERLEQEGDSSDPTVRGQIQTESVQAGLTMQHLGSVLLELGRTILTLRMGNSPAESSVNAGPAVYISPSGPNPIMVQPFPLQTRSLFSGSHSPSNSLTVGPVGVGNAPRHINIHIHPGTALSPVVSAVGNRTNNGEGRQGERGNNAGSGSMRVLPVRNTVASAPQARATAAMSSAAQSALTESSLSSMVAEINSRIRDLVSMQGDNQDASGSQQPNNMVASGAGDSTVALPANLETEELKSQPEHAEGRNDNTESGESSQDISLGTVGCPPSSSGEPLVKLEDPSGSAPRSSEENAKPVPLGLGLGGLERKV >KJB59708 pep chromosome:Graimondii2_0_v6:9:22320195:22327820:1 gene:B456_009G268000 transcript:KJB59708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQHSNEGSSMGSTSGESSDSLVELKIKTLDSQIFSFLVDKKAPVSSFKEKIARELGVPVGRQRLIFRGKVLKDDHLLSEYHVENGHTLHLVERQPAQSQLSSDASSGEADGNNSNRGNDAASGIPRNRVGQISHSVVLGTFNVGDQGDGSAPDLSRVIGAVLNSFGVGGQSTTNGTITQSSTSAPQGNETNGVRGGGENQQGNQTQSAPSFPGQSFQVAHQVMPIPLTAAQPIPDSLNALSEFMNRMEMHSPNGYQPHTSTTNTRDQPRVALPSDARGLPTPEALSIVIRNAVRLLNSHAIGALSHIAERLEQEGDSSDPTVRGQIQTESVQAGLTMQHLGSVLLELGRTILTLRMGNSPAESSVNAGPAVYISPSGPNPIMVQPFPLQTRSLFSGSHSPSNSLTVGPVGVGNAPRHINIHIHPGTALSPVVSAVGNRTNNGEGRQGERGNNAGSGSMRVLPVRNTVASAPQARATAAMSSAAQSALTESSLSSMVAEINSRIRDLVSMQGDNQDASGSQQPNNMVASGAGDSTVALPANLETEELKSQPEHAEGRNDNTESGESSQDISLGTVGCPPSSSGEPLVKLEDPSGSAPRSSEENAKPVPLGLGLGGLERKRRVKPTKSSIAGVNGTASSSLDQNLSARMAGQQILQSLASQSSSLNRVDSSSGNQGVLGSRLSGGQGSDDQLAAANAVSQVLQSPALNGLLAGVSQQTGAGSPDDFRNMLQQLTQSPQIMNTVSQLAQQVDSQDIGNMFSGFGGGQGGGIDLSRMVQQMMPIVSQALGRGPSATPSFPAVESKSQDDIQQIAQRIEQSNVPDDVFHAVAENAVQVYGNGRNAEELLNELCGDEGLAKEYTEMLKQDLRQRFQDKSEKDKF >KJB55627 pep chromosome:Graimondii2_0_v6:9:6249656:6251983:-1 gene:B456_009G085800 transcript:KJB55627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDALREAISTIVAQSKEKQRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPSNRIF >KJB55628 pep chromosome:Graimondii2_0_v6:9:6249594:6251983:-1 gene:B456_009G085800 transcript:KJB55628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDALREAISTIVAQSKEKQRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPSNRIF >KJB58266 pep chromosome:Graimondii2_0_v6:9:15669885:15673890:1 gene:B456_009G2019001 transcript:KJB58266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KIFPVVKNQHLLLCTLLIGNSLAMETLPIFLDKLVPPWAAILISVTLILLFGEILPQAICTRYGLKVGAIMAPLVRVLLFLFFPISYPISKILDWMLGKGHAALLRRAELKTFVDFHGNAAGKGGDLTHDETTIIAGALEMTEKTAKVAMTPISNAFSLDLDTILDSETLNKVMAVGHSRVPVYYGNPTNIIGLVLVKNLLTIDSEGPVPLRKMIIRKIPRVPEDMPLYDILNEFQKGHSHIAVVYKDLNESEESVKKGKDGERLEFKDSCKKRRGEPETSRKDDREVALSALKSGHKSDSQDVQTLVTNSDGGQQTKKSPPTTPAFKKRHRGCSYCILDIENTPIPEFPSNEEVVGVITMEDVIEELLQEEILDETDEYVNIHNRIKINMHTSKENAHRLNSTQTPATGSGIPSSGITSS >KJB58265 pep chromosome:Graimondii2_0_v6:9:15669885:15673851:1 gene:B456_009G2019001 transcript:KJB58265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KIFPVVKNQHLLLCTLLIGNSLAMETLPIFLDKLVPPWAAILISVTLILLFGEILPQAICTRYGLKVGAIMAPLVRVLLFLFFPISYPISKILDWMLGKGHAALLRRAELKTFVDFHGNAAGKGGDLTHDETTIIAGALEMTEKTAKVAMTPISNAFSLDLDTILDSETLNKVMAVGHSRVPVYYGNPTNIIGLVLVKNLLTIDSEGPVPLRKMIIRKIPRVPEDMPLYDILNEFQKGHSHIAVVYKDLNESEESVKKGKDGERLEFKDSCKKRRGEPETSRKDDREVALSALKSGHKSDSQDVQTLVTNSDGGQQTKKSPPTTPAFKKRHRGCSYCILDIENTPIPEFPSNEEVVGVITMEDVIEELLQEEILDETDEYVNIHNRIKINMHTSKENAHRLNSTQTPATGSGIPSSGITSS >KJB56851 pep chromosome:Graimondii2_0_v6:9:10464846:10466886:1 gene:B456_009G138400 transcript:KJB56851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGSIPAELMERANSVSSSDQKTDVVDSRKTNQLQGSLKNRQFTHAQLKRMTNNFGRVVGKGGFGTVYHGYLDETQVAVKMLSLSPVRGYKQFQAEVELLLRVHHRNLTTIVGYCDYGSNMGLIYEFMDKGNLAEYLKAFPLEGDTHVITVVAGTPWYVDPDFGVVLLEIITNRPVTTKTYNQTTHISQWVSLMLTNGDMEAIVDSRMQGDFEIASMKKEVEVAMACVSPTSTKRPTMNDVVTELNRLMMPNLLVMKLGQRHWLCEYVKVYVLGIRCR >KJB54003 pep chromosome:Graimondii2_0_v6:9:1263554:1266624:-1 gene:B456_009G016000 transcript:KJB54003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSCAFLYAMKRWKIISFSEGEPQSVTSNPITLIPLRTLVHTLPLAVSYLLYMLITMESVRGINVPMYTTLRRTTVAFTMIVEYLLTGRKHSSYVIGSVGIIIIGAFVAGARDLSFDAYSYSIVFMANICSAVYLASIAHIGKSSGLTSFGLMWCNGIICAPILLFWTSFKGDLEALMSFPYLYSSGFQVVMLLSCIMAFLINYYVFLNTTLNSALTQTICGNLKDLFTIGLGWLLFGGLPFDLMNVLGQSLGFLGSCLYAYCKLKGK >KJB54006 pep chromosome:Graimondii2_0_v6:9:1262952:1269587:-1 gene:B456_009G016000 transcript:KJB54006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVTLNLLFPHSISRTESEFENSAMTSIKQEGLPLTDPSKIELRRETAVVLPGKRRGAHAALGYMCSAVLLVLFNKAALSSYSFPYVNVITLFQMLSSCAFLYAMKRWKIISFSEGEPQSVTSNPITLIPLRTLVHTLPLAVSYLLYMLITMESVRGINVPMYTTLRRTTVAFTMIVEYLLTGRKHSSYVIGSVGIIIIGAFVAGARDLSFDAYSYSIVFMANICSAVYLASIAHIGKSSGLTSFGLMWCNGIICAPILLFWTSFKGDLEALMSFPYLYSSGFQVVMLLSCIMAFLINYYVFLNTTLNSALTQTICGNLKDLFTIGLGWLLFGGLPFDLMNVLGQSLGFLGSCLYAYCKLKGK >KJB54005 pep chromosome:Graimondii2_0_v6:9:1263554:1269555:-1 gene:B456_009G016000 transcript:KJB54005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVTLNLLFPHSISRTESEFENSAMTSIKQEGLPLTDPSKIELRRETAVVLPGKRRGAHAALGYMCSAVLLVLFNKAALSSYSFPYVNVITLFQMLSSCAFLYAMKRWKIISFSEGEPQSVTSNPITLIPLRTLVHTLPLAVSYLLYMLITMESVRGINVPMYTTLRRTTVAFTMIVEYLLTGRKHSSYVIGSVGIIIIGAFVAGARDLSFDAYSYSIVFMANICSAVYLASIAHIGKSSGLTSFGLMWCNGIICAPILLFWTSFKGDLEALMSFPYLYSSGFQVVMLLSCIMAFLINYYVFLNTTLNSALTQTICGNLKDLFTIGLGWLLFGGLPFDLMNVLGQSLGFLGSCLYAYCKLKGK >KJB54004 pep chromosome:Graimondii2_0_v6:9:1263554:1269555:-1 gene:B456_009G016000 transcript:KJB54004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVTLNLLFPHSISRTESEFENSAMTSIKQEGLPLTDPSKIELRRETAVVLPGKRRGAHAALGYMCSAVLLVLFNKAALSSYSFPYVNVITLFQMLSSCAFLYAMKRWKIISFSEGEPQSVTSNPITLIPLRTLVHTLPLAVSYLLYMLITMESVRGINVPMYTTLRRTTVAFTMIVEYLLTGRKHSSYVIGSVGIIIIGAFVAGARDLSFDAYSYSIVFMANICSAVYLASIAHIGKSSGLTSFGLMWCNGIICAPILLFWTSFKGDLEALMSFPYLYSSGFQVVMLLSCIMAFLINYYVFLNTTLNSALTQTICGNLKDLFTIGLGWLLFGGLPFDLMNVLGQSLGFLGSCLYAYCKLKGK >KJB54002 pep chromosome:Graimondii2_0_v6:9:1262952:1269587:-1 gene:B456_009G016000 transcript:KJB54002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSCAFLYAMKRWKIISFSEGEPQSVTSNPITLIPLRTLVHTLPLAVSYLLYMLITMESVRGINVPMYTTLRRTTVAFTMIVEYLLTGRKHSSYVIGSVGIIIIGAFVAGARDLSFDAYSYSIVFMANICSAVYLASIAHIGKSSGLTSFGLMWCNGIICAPILLFWTSFKGDLEALMSFPYLYSSGFQVVMLLSCIMAFLINYYVFLNTTLNSALTQTICGNLKDLFTIGLGWLLFGGLPFDLMNVLGQSLGFLGSCLYAYCKLKGK >KJB62835 pep chromosome:Graimondii2_0_v6:9:69016605:69018567:-1 gene:B456_009G439500 transcript:KJB62835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTKLRVVFLFSFFTLIPKLWANIAEFDDFWKQREEEAWKIALTAYERCPENVTSHLNYHVNKVLKKTLSNQPFEFKDVITNSTRRSLRDKHKNTSPCMTINPIDRCWRCKKNSKNRKRLSKCYLVADNSDDDVVNPKPRTLRHAVIQKRPLWIIFAPDMNFKLSQELMIMVQSHKTIDSRGSNVYIAYECGITLQFVHNVVIHNIHVHRTVKSNGGLIRDSEDHYGSMHPTIISQGNRFIAPDNPLVKEITHRNYAPESEWRNWIWRAEGDLFMNGAFFCNIWATLTSPFQIQKEGYN >KJB58053 pep chromosome:Graimondii2_0_v6:9:14778061:14780544:1 gene:B456_009G192000 transcript:KJB58053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPKAVTPIDENEIRVTSQGRMRNYITYAMTLLQEMGSNQIVFKAMGRAISKTVTIVELLKKRIVGLHQITSIGSTDITDMWEPLEEGLLPLETTRHVPMISITLSKNELNTSSVGYQPPLPADQVKPSIKIDHKEGNAVVSAEYDDGGWDHNHGYASGRGRGRGRGSQGSGRGGYNGPQVGRLEDGGYNYEALPQGSRGRGRGRGYRGRGRGFRSNGPIQAAV >KJB58051 pep chromosome:Graimondii2_0_v6:9:14777906:14780753:1 gene:B456_009G192000 transcript:KJB58051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPKAVTPIDENEIRVTSQGRMRNYITYAMTLLQEMGSNQIVFKAMGRAISKTVTIVELLKKRIVGLHQITSIGSTDITDMWEPLEEGLLPLETTRHVPMISITLSKNELNTSSVGYQPPLPADQVKPSIKIDHKEGGSPNGRGRGRGGRVRSRSRGNAVVSAEYDDGGWDHNHGYASGRGRGRGRGSQGSGRGGYNGPQVGRLEDGGYNYEALPQGSRGRGRGRGYRGRGRGFRSNGPIQAAV >KJB58052 pep chromosome:Graimondii2_0_v6:9:14778003:14780720:1 gene:B456_009G192000 transcript:KJB58052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPKAVTPIDENEIRVTSQGRMRNYITYAMTLLQEMGSNQIVFKAMGRAISKTVTIVELLKKRIVGLHQITSIGSTDITDMWEPLEEGLLPLETTRHVPMISITLSKNELNTSSVGYQPPLPADQVKPSIKIDHKEGGSPNGRGRGRGGRVRSRSRGNAVVSAEYDDGGWDHNHGYASGRGRGRGRGSQGSGRGGYNGPQVGRLEDGGYNYEALPQGRGRGRGYRGRGRGFRSNGPIQAAV >KJB61704 pep chromosome:Graimondii2_0_v6:9:51047690:51053443:1 gene:B456_009G375500 transcript:KJB61704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEFALDDPTQLLDSASDFAHHPGSLNDAAVKDFLDRFPLPVIISALQTKSDVPGLENTLVACLERVFKTKYGASLIPQYMTFVQVGLKADSQVVRCLSCKTVSSFLKNFDDKSIYAIKLIIDCDIYQLLLDCLINGDEQVATAAIDAIKNLAQFPEAVGIIFPSNINEATHLGNVASRCSSLGRVRVLSLIVKLFSISSSIASVIYNSNLLSLLEAEIRNSNDTLVTLSALELLYELTEVQHGAEFLARTTLQLLHSIISNSSMESILRSRALMISGRLLSKENVYMFVDEPSAKSLISAIDVRLGLLDSQDTDERESALEALGGIGSSIQGAVLLLTSFPPAARHIVHAAFDRQGGGKQLAALHALGNIVGENRPEDGVILNGDAEESLRRLVYEVASESSKLTPSGLLLSVLQQAAEFRLAVSVSS >KJB61707 pep chromosome:Graimondii2_0_v6:9:51047690:51055006:1 gene:B456_009G375500 transcript:KJB61707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEFALDDPTQLLDSASDFAHHPGSLNDAAVKDFLDRFPLPVIISALQTKSDVPGLENTLVACLERVFKTKYGASLIPQYMTFVQVGLKADSQVVRCLSCKTVSSFLKNFDDKSIYAIKLIIDCDIYQLLLDCLINGDEQVATAAIDAIKNLAQFPEAGIIFPSNINEATHLGNVASRCSSLGRVRVLSLIVKLFSISSSIASVIYNSNLLSLLEAEIRNSNDTLVTLSALELLYELTEVQHGAEFLARTTLQLLHSIISNSSMESILRSRALMISGRLLSKENVYMFVDEPSAKSLISAIDVRLGLLDSQDTDERESALEALGGIGSSIQGAVLLLTSFPPAARHIVHAAFDRQGGGKQLAALHALGNIVGENRPEDGVILNGDAEESLRRLVYEVASESSKLTPSGLLLSVLQQAAEFRLAGYRVITGLVARVWCLMEIFSKPEIINIVTDPTAETTKIAMEARYNCCKAIHRSFMSSKLVSDPALSGIAGKLQEAVQRGPYLVRKHTEATPVVMTAERF >KJB61703 pep chromosome:Graimondii2_0_v6:9:51047665:51055051:1 gene:B456_009G375500 transcript:KJB61703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEFALDDPTQLLDSASDFAHHPGSLNDAAVKDFLDRFPLPVIISALQTKSDVPGLENTLVACLERVFKTKYGASLIPQYMTFVQVGLKADSQVVRCLSCKTVSSFLKNFDDKSIYAIKLIIDCDIYQLLLDCLINGDEQVATAAIDAIKNLAQFPEAVGIIFPSNINEATHLGNVASRCSSLGRVRVLSLIVKLFSISSSIASVIYNSNLLSLLEAEIRNSNDTLVTLSALELLYELTEVQHGAEFLARTTLQLLHSIISNSSMESILRSRALMISGRLLSKENVYMFVDEPSAKSLISAIDVRLGLLDSQDTDERESALEALGGIGSSIQGAVLLLTSFPPAARHIVHAAFDRQGGGKQLAALHALGNIVGENRPEDGVILNGDAEESLRRLVYEVASESSKLTPSGLLLSVLQQAAEFRLAGYRVITGLVARVWCLMEIFSKPEIINIVTDPTAETTKIAMEARYNCCKAIHRSFMSSKLVSDPALSGIAGKLQEAVQRGPYLVRKHTEATPVVMTAERF >KJB61705 pep chromosome:Graimondii2_0_v6:9:51047690:51054593:1 gene:B456_009G375500 transcript:KJB61705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEFALDDPTQLLDSASDFAHHPGSLNDAAVKDFLDRFPLPVIISALQTKSDVPGLENTLVACLERVFKTKYGASLIPQYMTFVQVGLKADSQVVRCLSCKTVSSFLKNFDDKSIYAIKLIIDCDIYQLLLDCLINGDEQVATAAIDAIKNLAQFPEAVGIIFPSNINEATHLGNVASRCSSLGRVRVLSLIVKLFSISSSIASVIYNSNLLSLLEAEIRNSNDTLVTLSALELLYELTEVQHGAEFLARTTLQLLHSIISNSSMESILRSRALMISGRLLSKENVYMFVDEPSAKSLISAIDVRLGLLDSQDTDERESALEALGGIGSSIQGAVLLLTSFPPAARHIVHAAFDRQGGGKQLAALHALGNIVGENRPEDGVILNGDAEESLRRLVYEVASESSKLTPSGLLLSVLQQAAEFRLAGYRVITGLVARVWCLMEIFSKPEIINIVTDPTAETTKIGIAFNIVIHHLLSIPNQNVSIFWNNRSSLIHMKTSLAISCLIF >KJB61706 pep chromosome:Graimondii2_0_v6:9:51047690:51055006:1 gene:B456_009G375500 transcript:KJB61706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEFALDDPTQLLDSASDFAHHPGSLNDAAVKDFLDRFPLPVIISALQTKSDVPGLENTLVACLERVFKTKYGASLIPQYMTFVQVGLKADSQVVRCLSCKTVSSFLKNFDDKSIYAIKLIIDCDIYQLLLDCLINGDEQVATAAIDAIKNLAQFPEAVGIIFPSNINEATHLGNVASRCSSLGRVRVLSLIVKLFSISSSIASVIYNSNLLSLLEAEIRNSNDTLVTLSALELLYELTEVQHGAEFLARTTLQLLHSIISNSSMESILRSRALMISGRLLSKENVYMFVDEPSAKSLISAIDVRLGLLDSQDTDERESALEALGGIGSSIQGAVLLLTSFPPAARHIVHAAFDRQGGGKQLVSMVFCYQFFNRLQNFVWLGTE >KJB62004 pep chromosome:Graimondii2_0_v6:9:54844854:54848623:1 gene:B456_009G396300 transcript:KJB62004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIPLRNEGGEASDTIQTSEWRSEAEEYEMISTPTAFHKSRAMVEAEATVKRKKRRRKRKRMNNWLKLSQFHPHHPNPVDIDVKENKMIELVQAVKCRTVGIGDKAGSKEAIDMSSSSSVDDGLISNISSGTRIGDIVSAKGTINVDGEMVRTELKTFAAEETVDAGMKEMHSEKAKCVEIVKLPGKSAKSTMLRNHLKPRYFDPPNGSWTRCLSCGEDHPAAENRMLQKHVKACFLCRRLQHIGKHCSQGQYCLVCRGTFDQASDCPKKQKENNLNYNVCLRCGDSRHDMFSCRSDYSADDLKVFIVCYAFTNHPFFL >KJB62002 pep chromosome:Graimondii2_0_v6:9:54844854:54848623:1 gene:B456_009G396300 transcript:KJB62002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIPLRNEGGEASDTIQTSEWRSEAEEYEMISTPTAFHKSRAMVEAEATVKRKKRRRKRKRMNNWLKLSQFHPHHPNPLEAGKPAFDSEQAGKDECVDIDVKENKMIELVQAVKCRTVGIGDKAGSKEAIDMSSSSSVDDGLISNISSGTRIGDIVSAKGTINVDGEMVRTELKTFAAEETVDAGMKEMHSEKAKCVEIVKLPGKSAKSTMLRNHLKPRYFDPPNGSWTRCLSCGEDHPAAENRMLQKHVKACFLCRRLQHIGKHCSQGQYCLVCRGTFDQASDCPKKQKENNLNYNVCLRCGDSRHDMFSCRSDYSADDLKKIRCYICNDFGHLSCVKLPDASPTEVSCYTCGQSGHLGSDCSKCTKVVRGSKSPALCYRCREEGHFA >KJB61992 pep chromosome:Graimondii2_0_v6:9:54844787:54848710:1 gene:B456_009G396300 transcript:KJB61992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIPLRNEGGEASDTIQTSEWRSEAEEYEMISTPTAFHKSRAMVEAEATVKRKKRRRKRKRMNNWLKLSQFHPHHPNPLEAGKPAFDSEQAGKDECVRTVEVSKWDFIEISDNVPQKLAVDIDVKENKMIELVQAVKCRTVGIGDKAGSKEAIDMSSSSSVDDGLISNISSGTRIGDIVSAKGTINVDGEMVRTELKTFAAEETVDAGMKEMHSEKAKCVEIVKLPGKSAKSTMLRNHLKPRYFDPPNGSWTRCLSCGEDHPAAENRMLQKHVKACFLCRRLQHIGKHCSQGQYCLVCRGTFDQASDCPKKQKENNLNYNVCLRCGDSRHDMFSCRSDYSADDLKKIRCYICNDFGHLSCVKLPDASPTEVSCYTCGQSGHLGSDCSKCTKVVRGSKSPALCYRCREEGHFA >KJB62000 pep chromosome:Graimondii2_0_v6:9:54844896:54847733:1 gene:B456_009G396300 transcript:KJB62000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIPLRNEGGEASDTIQTSEWRSEAEEYEMISTPTAFHKSRAMVEAEATVKRKKRRRKRKRMNNWLKLSQFHPHHPNPVDIDVKENKMIELVQAVKCRTVGIGDKAGSKEAIDMSSSSSVDDGLISNISSGTRIGDIVSAKGTINVDGEMVRTELKTFAAEETVDAGMKEMHSEKAKCVEIVKLPGKSAKSTMLRNHLKPRYFDPPNGSWTRCLSCGEDHPAAENRMLQKHVKACFLCRRLQHIGKHCSQKIRCYICNDFGHLSCVKLPDASPTEVSCYTCGQSGHLGSDCSKCTKVVRGSKSPALCYRCREEGHFA >KJB61993 pep chromosome:Graimondii2_0_v6:9:54844841:54848713:1 gene:B456_009G396300 transcript:KJB61993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIPLRNEGGEASDTIQTSEWRSEAEEYEMISTPTAFHKSRAMVEAEATVKRKKRRRKRKRMNNWLKLSQFHPHHPNPVDIDVKENKMIELVQAVKCRTVGIGDKAGSKEAIDMSSSSSVDDGLISNISSGTRIGDIVSAKGTINVDGEMVRTELKTFAAEETVDAGMKEMHSEKAKCVEIVKLPGKSAKSTMLRNHLKPRYFDPPNGSWTRCLSCGEDHPAAENRMLQKHVKACFLCRRLQHIGKHCSQGQYCLVCRGTFDQASDCPKKQKENNLNYNVCLRCGDSRHDMFSCRSDYSADDLKKIRCYICNDFGHLSCVKLPDASPTEVSCYTCGQSGHLGSDCSKCTKVVRGSKSPALCYRCREEGHFA >KJB62007 pep chromosome:Graimondii2_0_v6:9:54844841:54848713:1 gene:B456_009G396300 transcript:KJB62007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIPLRNEGGEASDTIQTSEWRSEAEEYEMISTPTAFHKSRAMVEAEATVKRKKRRRKRKRMNNWLKLSQFHPHHPNPLEAGKPAFDSEQAGKDECVDIDVKENKMIELVQAVKCRTVGIGDKAGSKEAIDMSSSSSVDDGLISNISSGTRIGDIVSAKGTINVDGEMVRTELKTFAAEETVDAGMKEMHSEKAKCVEIVKLPGKSAKSTMLRNHLKPRYFDPPNGSWTRCLSCGEDHPAAENRMLQKHVKACFLCRRLQHIGKHCSQGQYCLVCRGTFDQASDCPKKQKENNLNYNVCLRCGDSRHDMFSCRSDYSADDLKKIRCYICNDFGHLSCVKLPDASPTEVSCYTCGQSGHLGSDCSKCTKVVRGSKSPALCYRCREEGHFA >KJB61999 pep chromosome:Graimondii2_0_v6:9:54844831:54848713:1 gene:B456_009G396300 transcript:KJB61999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIPLRNEGGEASDTIQTSEWRSEAEEYEMISTPTAFHKSRAMVEAEATVKRKKRRRKRKRMNNWLKLSQFHPHHPNPVDIDVKENKMIELVQAVKCRTVGIGDKAGSKEAIDMSSSSSVDDGLISNISSGTRIGDIVSAKGTINVDGEMVRTELKTFAAEETVDAGMKEMHSEKAKCVEIVKLPGKSAKSTMLRNHLKPRYFDPPNGSWTRCLSCGEDHPAAENRMLQKHVKACFLCRRLQHIGKHCSQKIRCYICNDFGHLSCVKLPDASPTEVSCYTCGQSGHLGSHARRVHAEMRSVASSSAPNRGPQNDAKGATQESLIVNIIQ >KJB61994 pep chromosome:Graimondii2_0_v6:9:54844787:54848713:1 gene:B456_009G396300 transcript:KJB61994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIPLRNEGGEASDTIQTSEWRSEAEEYEMISTPTAFHKSRAMVEAEATVKRKKRRRKRKRMNNWLKLSQFHPHHPNPLEAGKPAFDSEQAGKDECVRTVEVSKWDFIEISDNVPQKLAVDIDVKENKMIELVQAVKCRTVGIGDKAGSKEAIDMSSSSSVDDGLISNISSGTRIGDIVSAKGTINVDGEMVRTELKTFAAEETVDAGMKEMHSEKAKCVEIVKLPGKSAKSTMLRNHLKPRYFDPPNGSWTRCLSCGEDHPAAENRMLQKHVKACFLCRRLQHIGKHCSQGQYCLVCRGTFDQASDCPKKQKENNLNYNVCLRCGDSRHDMFSCRSDYSADDLKKIRCYICNDFGHLSCVKLPDASPTEVSCYTCGQSGHLGSHARRVHAEMRSVASSSAPNRGPQNDAKGATQESLIVNIIQ >KJB62003 pep chromosome:Graimondii2_0_v6:9:54844841:54848713:1 gene:B456_009G396300 transcript:KJB62003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIPLRNEGGEASDTIQTSEWRSEAEEYEMISTPTAFHKSRAMVEAEATVKRKKRRRKRKRMNNWLKLSQFHPHHPNPLEAGKPAFDSEQAGKDECVDIDVKENKMIELVQAVKCRTVGIGDKAGSKEAIDMSSSSSVDDGLISNISSGTRIGDIVSAKGTINVDGEMVRTELKTFAAEETVDAGMKEMHSEKAKCVEIVKLPGKSAKSTMLRNHLKPRYFDPPNGSWTRCLSCGEDHPAAENRMLQKHVKACFLCRRLQHIGKHCSQKIRCYICNDFGHLSCVKLPDASPTEVSCYTCGQSGHLGSDCSKCTKVVRGSKSPALCYRCREEGHFA >KJB61997 pep chromosome:Graimondii2_0_v6:9:54844841:54848713:1 gene:B456_009G396300 transcript:KJB61997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIPLRNEGGEASDTIQTSEWRSEAEEYEMISTPTAFHKSRAMVEAEATVKRKKRRRKRKRMNNWLKLSQFHPHHPNPVDIDVKENKMIELVQAVKCRTVGIGDKAGSKEAIDMSSSSSVDDGLISNISSGTRIGDIVSAKGTINVDGEMVRTELKTFAAEETVDAGMKEMHSEKAKCVEIVKLPGKSAKSTMLRNHLKPRYFDPPNGSWTRCLSCGEDHPAAENRMLQKHVKACFLCRRLQHIGKHCSQKIRCYICNDFGHLSCVKLPDASPTEVSCYTCGQSGHLGSDCSKCTKVVRGSKSPALCYRCREEGHFA >KJB61996 pep chromosome:Graimondii2_0_v6:9:54844831:54848647:1 gene:B456_009G396300 transcript:KJB61996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIPLRNEGGEASDTIQTSEWRSEAEEYEMISTPTAFHKSRAMVEAEATVKRKKRRRKRKRMNNWLKLSQFHPHHPNPLEAGKPAFDSEQAGKDECVRTVEVSKWDFIEISDNVPQKLAVDIDVKENKMIELVQAVKCRTVGIGDKAGSKEAIDMSSSSSVDDGLISNISSGTRIGDIVSAKGTINVDGEMVRTELKTFAAEETVDAGMKEMHSEKAKCVEIVKLPGKSAKSTMLRNHLKPRYFDPPNGSWTRCLSCGEDHPAAENRMLQKHVKACFLCRRLQHIGKHCSQKIRCYICNDFGHLSCVKLPDASPTEVSCYTCGQSGHLGSDCSKCTKVVRGSKSPALCYRCREEGHFA >KJB62001 pep chromosome:Graimondii2_0_v6:9:54844787:54848710:1 gene:B456_009G396300 transcript:KJB62001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIPLRNEGGEASDTIQTSEWRSEAEEYEMISTPTAFHKSRAMVEAEATVKRKKRRRKRKRMNNWLKLSQFHPHHPNPVDIDVKENKMIELVQAVKCRTVGIGDKAGSKEAIDMSSSSSVDDGLISNISSGTRIGDIVSAKGTINVDGEMVRTELKTFAAEETVDAGMKEMHSEKAKCVEIVKLPGKSAKSTMLRNHLKPRYFDPPNGSWTRCLSCGEDHPAAENRMLQKHVKACFLCRRLQHIGKHCSQGQYCLVCRGTFDQASDCPKKQKENNLNYNVCLRCGDSRHDMFSCRSDYSADDLKKIRCYICNDFGHLSCVKLPDASPTEVSCYTCGQSGHLGSDCSKCTKVVRGSKSPALCYRCREEGHFA >KJB62005 pep chromosome:Graimondii2_0_v6:9:54844854:54848623:1 gene:B456_009G396300 transcript:KJB62005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIPLRNEGGEASDTIQTSEWRSEAEEYEMISTPTAFHKSRAMVEAEATVKRKKRRRKRKRMNNWLKLSQFHPHHPNPVDIDVKENKMIELVQAVKCRTVGIGDKAGSKEAIDMSSSSSVDDGLISNISSGTRIGDIVSAKGTINVDGEMVRTELKTFAAEETVDAGMKEMHSEKAKCVEIVKLPGKSAKSTMLRNHLKPRYFDPPNGSWTRCLSCGEDHPAAENRMLQKHVKACFLCRRLQHIGKHCSQGQYCLVCRGTFDQASDCPKKQKENNLNYNVCLRCGDSRHDMFSCRSDYSADDLKVFIVCYAFTNHPFFL >KJB61995 pep chromosome:Graimondii2_0_v6:9:54844787:54848713:1 gene:B456_009G396300 transcript:KJB61995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIPLRNEGGEASDTIQTSEWRSEAEEYEMISTPTAFHKSRAMVEAEATVKRKKRRRKRKRMNNWLKLSQFHPHHPNPVDIDVKENKMIELVQAVKCRTVGIGDKAGSKEAIDMSSSSSVDDGLISNISSGTRIGDIVSAKGTINVDGEMVRTELKTFAAEETVDAGMKEMHSEKAKCVEIVKLPGKSAKSTMLRNHLKPRYFDPPNGSWTRCLSCGEDHPAAENRMLQKHVKACFLCRRLQHIGKHCSQGQYCLVCRGTFDQASDCPKKQKENNLNYNVCLRCGDSRHDMFSCRSDYSADDLKKIRCYICNDFGHLSCVKLPDASPTEVSCYTCGQSGHLGSHARRVHAEMRSVASSSAPNRGPQNDAKGATQESLIVNIIQ >KJB61998 pep chromosome:Graimondii2_0_v6:9:54844831:54848713:1 gene:B456_009G396300 transcript:KJB61998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIPLRNEGGEASDTIQTSEWRSEAEEYEMISTPTAFHKSRAMVEAEATVKRKKRRRKRKRMNNWLKLSQFHPHHPNPLEAGKPAFDSEQAGKDECVRTVEVSKWDFIEISDNVPQKLAVDIDVKENKMIELVQAVKCRTVGIGDKAGSKEAIDMSSSSSVDDGLISNISSGTRIGDIVSAKGTINVDGEMVRTELKTFAAEETVDAGMKEMHSEKAKCVEIVKLPGKSAKSTMLRNHLKPRYFDPPNGSWTRCLSCGEDHPAAENRMLQKHVKACFLCRRLQHIGKHCSQKIRCYICNDFGHLSCVKLPDASPTEVSCYTCGQSGHLGSHARRVHAEMRSVASSSAPNRGPQNDAKGATQESLIVNIIQ >KJB62006 pep chromosome:Graimondii2_0_v6:9:54844854:54848623:1 gene:B456_009G396300 transcript:KJB62006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIPLRNEGGEASDTIQTSEWRSEAEEYEMISTPTAFHKSRAMVEAEATVKRKKRRRKRKRMNNWLKLSQFHPHHPNPLEAGKPAFDSEQAGKDECVRTVEVSKWDFIEISDNVPQKLAVDIDVKENKMIELVQAVKCRTVGIGDKAGSKEAIDMSSSSSVDDGLISNISSGTRIGDIVSAKGTINVDGEMVRTELKTFAAEETVDAGMKEMHSEKAKCVEIVKLPGKSAKSTMLRNHLQKPRYFDPPNGSWTRCLSCGEDHPAAENRMLQKHVKACFLCRRLQHIGKHCSQGQYCLVCRGTFDQASDCPKKQKENNLNYNVCLRCGDSRHDMFSCRSDYSADDLKKIRCYICNDFGHLSCVKLPDASPTEVSCYTCGQSGHLGSDCSKCTKVVRGSKSPALCYRCREEGHFA >KJB55641 pep chromosome:Graimondii2_0_v6:9:6292446:6294504:-1 gene:B456_009G086600 transcript:KJB55641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRDKKQRHQQRSSHRGAASYYSVQHDDDYEDESSSFQQPSQDSEEEQEEEEPEQEEEEDDPNPSTEMPSKFILYQQSVQSPKGDISYLQKFFLMYVGGRLPLHLQEDFCGTALLSTEWLRSDPRRTAVGLDLDLEALQWCLENNINKVGADGYSRISLFHGNVLNPHEAKLVSFKPQELIRNIQLEESDYNSEMTATEPNINEGSITLSNEESVKADAKISARDIICAFNYSCCCLHKRAELVLYFKHVLEALSKKGGIFVMDLYGGTSSEQSLRLQRRFPNFTYTWEQAEFNIIERKTRISLHFHLQKQQKKLRHAFSYSWRL >KJB55640 pep chromosome:Graimondii2_0_v6:9:6291830:6294683:-1 gene:B456_009G086600 transcript:KJB55640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRDKKQRHQQRSSHRGAASYYSVQHDDDYEDESSSFQQPSQDSEEEQEEEEPEQEEEEDDPNPSTEMPSKFILYQQSVQSPKGDISYLQKFFLMYVGGRLPLHLQEDFCGTALLSTEWLRSDPRRTAVGLDLDLEALQWCLENNINKVGADGYSRISLFHGNVLNPHEAKLVSFKPQELIRNIQLEESDYNSEMTATEPNINEGSITLSNEESVKADAKISARDIICAFNYSCCCLHKRAELVLYFKHVLEALSKKGGIFVMDLYGGTSSEQSLRLQRRFPNFTYTWEQAEFNIIERKTRISLHFHLQKQQKKLRHAFSYSWRLWSLPEIRDCLEEAGFQSVHFWLRKMPDTSENRSTEGFGSGRDVKYEEVKTFQQEDAWNAYIVAVTN >KJB55642 pep chromosome:Graimondii2_0_v6:9:6291838:6294592:-1 gene:B456_009G086600 transcript:KJB55642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVGGRLPLHLQEDFCGTALLSTEWLRSDPRRTAVGLDLDLEALQWCLENNINKVGADGYSRISLFHGNVLNPHEAKLVSFKPQELIRNIQLEESDYNSEMTATEPNINEGSITLSNEESVKADAKISARDIICAFNYSCCCLHKRAELVLYFKHVLEALSKKGGIFVMDLYGGTSSEQSLRLQRRFPNFTYTWEQAEFNIIERKTRISLHFHLQKQQKKLRHAFSYSWRLWSLPEIRDCLEEAGFQSVHFWLRKMPDTSENRSTEGFGSGRDVKYEEVKTFQQEDAWNAYIVAVTN >KJB57358 pep chromosome:Graimondii2_0_v6:9:12260444:12264219:1 gene:B456_009G160200 transcript:KJB57358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >KJB57362 pep chromosome:Graimondii2_0_v6:9:12260589:12264219:1 gene:B456_009G160200 transcript:KJB57362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVICTVVSLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNSVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >KJB57357 pep chromosome:Graimondii2_0_v6:9:12260747:12264219:1 gene:B456_009G160200 transcript:KJB57357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSVSQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >KJB57356 pep chromosome:Graimondii2_0_v6:9:12260589:12264219:1 gene:B456_009G160200 transcript:KJB57356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVICTVVSLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNSVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >KJB57361 pep chromosome:Graimondii2_0_v6:9:12260589:12264219:1 gene:B456_009G160200 transcript:KJB57361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVICTVVSLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNSVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >KJB57363 pep chromosome:Graimondii2_0_v6:9:12260346:12264219:1 gene:B456_009G160200 transcript:KJB57363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVICTVVSLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNSVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >KJB57359 pep chromosome:Graimondii2_0_v6:9:12260444:12264219:1 gene:B456_009G160200 transcript:KJB57359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSVSQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >KJB57360 pep chromosome:Graimondii2_0_v6:9:12260589:12264219:1 gene:B456_009G160200 transcript:KJB57360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVICTVVSLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNSVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >KJB57364 pep chromosome:Graimondii2_0_v6:9:12260346:12264219:1 gene:B456_009G160200 transcript:KJB57364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVICTVVSLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNSVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >KJB57355 pep chromosome:Graimondii2_0_v6:9:12260444:12264219:1 gene:B456_009G160200 transcript:KJB57355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVICTVVSLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNSVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >KJB54303 pep chromosome:Graimondii2_0_v6:9:2129959:2138196:-1 gene:B456_009G028000 transcript:KJB54303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MGNKIARTTQVSATEYYLHDLPSSYNLVLKEVLGRGRFFKSILCKHDEGLVLVKVYFKRGDSIDLREYERRLVHIKEIFRSLEHPHVWPFQFWQETDKAAYLLRQYFFNNLHDRLSTRPFLSLVEKKWLAFQLLLAAKQCHEKGICHGDIKCENVLVTSWNWVYLADFASFKPTYIPYDDPSDFSFFFDTGGRRLCYLAPERFYEHGGEMQVAQDAPLKPSMDIFAIGCVIAELFLEGQPLFELSQLLAYRRGQYDPSQHLEKIPDIGVRKMILHMIQLEPESRLSAESYLQNYVAAVFPSYFSPFLHGFYRCWNPLHSDMRIAMCQRVFPEMLKQMMSKRSSDEMGKGLGKSHTLSGHLSQEIVAKQQSEEIAPKQKLSSANHLLTKREKIDNASIRDQFKLPGNINTLLGDVEQSNHYLGEKSTRGDAPKYELSQDFKQHGMQSPVLHQNISDLFRKNDHPFLKKITMDDLNSLMSDYDSQSDTFGMPFLPLPQDSMKCEGMVLVASLLCSCIRNVKLPHLRRGAILLLKTSSLYIDDEDRLQRVLPYVIAMLSDPAAIVRCAALETLCDILPLVREFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSIRLSEAGVLNETNLPQKSLASSGESSGRMQRSNSDAQLGQLRKLIAEVVQELVMGQKQTPNIRRALLQDIGNLCCFFGQRQSNDFLLPILPAFLNDRDEQLRAVFYGQIVFVCFFVGQRSVEEYLLPYIEQALGDAIEAVIVNALDCLAVLCKSGFLRKRILIEMIERSFPLLCYPSQWVRRSVVTFLASSSECLGAVDSYVFLAPVIQPFLRRQPASLDFEKALLSCLKPPVSREVFYEVLQNARSSDMLERQRKIWYNSSAQSKQWEIADLLERGTGELDSMKYWSEKQQSNGSHRPIDSVLQQSGLTEVADDDAKLRALGCNTRNASSAIDMHDPLCSEKLQFSGLTSPQLNGLNSFMCDKSSEGIPLYSFSMDKRATVAPSAASDTPLPWMDPISKSFSLASSVPTPKLVSGSFGITAGSKQFYRVVHEPESRENDQIANVNSKFQDMGLSGTVKGSSVRMEDASTSTDFTGLPSFSRSSSIPDSGWRPRGVLVAHLQEHRSAVNDIAVSNDHSFFVSASDDSTVKVWDSRKLEKDISFRSRLTYHLEGSRGLCTAMLRNSAQVVVGACDGTIHMFSVDHISKGLGNVVEKYSGIADIKKKDVKEGAILTLLNYPIDNCGIQTFMYSTQNCGIHLWDTRSSSNAWTLKAIPEEGYISCLVAGPCGNWFVSGSSRGVLTLWDLRFRIPVNSWQYSLVCPVEKMCLFVPPSSVSVSTTARPLIYVAAGCNEVSLWNAENGTCHQVFRAANYDSDAEMSDLPWALARPSAKTSSKSDPRRNANPRYRVDELNEPPPRLPGIRSLLPLPGGDLLTGGTDLRIRRWDHFSPDRSYCMCGPNFKGVGNDDFYEARSSFGAQVVQETKRRPLTTKLTAKAVLAAAATDSAGCHHDSVLSLASVKLNQRLLISSGRDGAIKVWK >KJB54299 pep chromosome:Graimondii2_0_v6:9:2129959:2136393:-1 gene:B456_009G028000 transcript:KJB54299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MPLFRCVIAELFLEGQPLFELSQLLAYRRGQYDPSQHLEKIPDIGVRKMILHMIQLEPESRLSAESYLQNYVAAVFPSYFSPFLHGFYRCWNPLHSDMRIAMCQRVFPEMLKQMMSKRSSDEMGKGLGKSHTLSGHLSQEIVAKQQSEEIAPKQKLSSANHLLTKREKIDNASIRDQFKLPGNINTLLGDVEQSNHYLGEKSTRGDAPKYELSQDFKQHGMQSPVLHQNISDLFRKNDHPFLKKITMDDLNSLMSDYDSQSDTFGMPFLPLPQDSMKCEGMVLVASLLCSCIRNVKLPHLRRGAILLLKTSSLYIDDEDRLQRVLPYVIAMLSDPAAIVRCAALETLCDILPLVREFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSIRLSEAGVLNETNLPQKSLASSGESSGRMQRSNSDAQLGQLRKLIAEVVQELVMGQKQTPNIRRALLQDIGNLCCFFGQRQSNDFLLPILPAFLNDRDEQLRAVFYGQIVFVCFFVGQRSVEEYLLPYIEQALGDAIEAVIVNALDCLAVLCKSGFLRKRILIEMIERSFPLLCYPSQWVRRSVVTFLASSSECLGAVDSYVFLAPVIQPFLRRQPASLDFEKALLSCLKPPVSREVFYEVLQNARSSDMLERQRKIWYNSSAQSKQWEIADLLERGTGELDSMKYWSEKQQSNGSHRPIDSVLQQSGLTEVADDDAKLRALGCNTRNASSAIDMHDPLCSEKLQFSGLTSPQLNGLNSFMCDKSSEGIPLYSFSMDKRATVAPSAASDTPLPWMDPISKSFSLASSVPTPKLVSGSFGITAGSKQFYRVVHEPESRENDQIANVNSKFQDMGLSGTVKGSSVRMEDASTSTDFTGLPSFSRSSSIPDSGWRPRGVLVAHLQEHRSAVNDIAVSNDHSFFVSASDDSTVKVWDSRKLEKDISFRSRLTYHLEGSRGLCTAMLRNSAQVVVGACDGTIHMFSVDHISKGLGNVVEKYSGIADIKKKDVKEGAILTLLNYPIDNCGIQTFMYSTQNCGIHLWDTRSSSNAWTLKAIPEEGYISCLVAGPCGNWFVSGSSRGVLTLWDLRFRIPVNSWQYSLVCPVEKMCLFVPPSSVSVSTTARPLIYVAAGCNEVSLWNAENGTCHQVFRAANYDSDAEMSDLPWALARPSAKTSSKSDPRRNANPRYRVDELNEPPPRLPGIRSLLPLPGGDLLTGGTDLRIRRWDHFSPDRSYCMCGPNFKGVGNDDFYEARSSFGAQVVQETKRRPLTTKLTAKAVLAAAATDSAGCHHDSVLSLASVKLNQRLLISSGRDGAIKVWK >KJB54302 pep chromosome:Graimondii2_0_v6:9:2129959:2138001:-1 gene:B456_009G028000 transcript:KJB54302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MQVAQDAPLKPSMDIFAIGCVIAELFLEGQPLFELSQLLAYRRGQYDPSQHLEKIPDIGVRKMILHMIQLEPESRLSAESYLQNYVAAVFPSYFSPFLHGFYRCWNPLHSDMRIAMCQRVFPEMLKQMMSKRSSDEMGKGLGKSHTLSGHLSQEIVAKQQSEEIAPKQKLSSANHLLTKREKIDNASIRDQFKLPGNINTLLGDVEQSNHYLGEKSTRGDAPKYELSQDFKQHGMQSPVLHQNISDLFRKNDHPFLKKITMDDLNSLMSDYDSQSDTFGMPFLPLPQDSMKCEGMVLVASLLCSCIRNVKLPHLRRGAILLLKTSSLYIDDEDRLQRVLPYVIAMLSDPAAIVRCAALETLCDILPLVREFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSIRLSEAGVLNETNLPQKSLASSGESSGRMQRSNSDAQLGQLRKLIAEVVQELVMGQKQTPNIRRALLQDIGNLCCFFGQRQSNDFLLPILPAFLNDRDEQLRAVFYGQIVFVCFFVGQRSVEEYLLPYIEQALGDAIEAVIVNALDCLAVLCKSGFLRKRILIEMIERSFPLLCYPSQWVRRSVVTFLASSSECLGAVDSYVFLAPVIQPFLRRQPASLDFEKALLSCLKPPVSREVFYEVLQNARSSDMLERQRKIWYNSSAQSKQWEIADLLERGTGELDSMKYWSEKQQSNGSHRPIDSVLQQSGLTEVADDDAKLRALGCNTRNASSAIDMHDPLCSEKLQFSGLTSPQLNGLNSFMCDKSSEGIPLYSFSMDKRATVAPSAASDTPLPWMDPISKSFSLASSVPTPKLVSGSFGITAGSKQFYRVVHEPESRENDQIANVNSKFQDMGLSGTVKGSSVRMEDASTSTDFTGLPSFSRSSSIPDSGWRPRGVLVAHLQEHRSAVNDIAVSNDHSFFVSASDDSTVKVWDSRKLEKDISFRSRLTYHLEGSRGLCTAMLRNSAQVVVGACDGTIHMFSVDHISKGLGNVVEKYSGIADIKKKDVKEGAILTLLNYPIDNCGIQTFMYSTQNCGIHLWDTRSSSNAWTLKAIPEEGYISCLVAGPCGNWFVSGSSRGVLTLWDLRFRIPVNSWQYSLVCPVEKMCLFVPPSSVSVSTTARPLIYVAAGCNEVSLWNAENGTCHQVFRAANYDSDAEMSDLPWALARPSAKTSSKSDPRRNANPRYRVDELNEPPPRLPGIRSLLPLPGGDLLTGGTDLRIRRWDHFSPDRSYCMCGPNFKGVGNDDFYEARSSFGAQVVQETKRRPLTTKLTAKAVLAAAATDSAGCHHDSVLSLASVKLNQRLLISSGRDGAIKVWK >KJB54300 pep chromosome:Graimondii2_0_v6:9:2131572:2137965:-1 gene:B456_009G028000 transcript:KJB54300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MGNKIARTTQVSATEYYLHDLPSSYNLVLKEVLGRGRFFKSILCKHDEGLVLVKVYFKRGDSIDLREYERRLVHIKEIFRSLEHPHVWPFQFWQETDKAAYLLRQYFFNNLHDRLSTRPFLSLVEKKWLAFQLLLAAKQCHEKGICHGDIKCENVLVTSWNWVYLADFASFKPTYIPYDDPSDFSFFFDTGGRRLCYLAPERFYEHGGEMQVAQDAPLKPSMDIFAIGCVIAELFLEGQPLFELSQLLAYRRGQYDPSQHLEKIPDIGVRKMILHMIQLEPESRLSAESYLQNYVAAVFPSYFSPFLHGFYRCWNPLHSDMRIAMCQRVFPEMLKQMMSKRSSDEMGKGLGKSHTLSGHLSQEIVAKQQSEEIAPKQKLSSANHLLTKREKIDNASIRDQFKLPGNINTLLGDVEQSNHYLGEKSTRGDAPKYELSQDFKQHGMQSPVLHQNISDLFRKNDHPFLKKITMDDLNSLMSDYDSQSDTFGMPFLPLPQDSMKCEGMVLVASLLCSCIRNVKLPHLRRGAILLLKTSSLYIDDEDRLQRVLPYVIAMLSDPAAIVRCAALETLCDILPLVREFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSIRLSEAGVLNETNLPQKSLASSGESSGRMQRSNSDAQLGQLRKLIAEVVQELVMGQKQTPNIRRALLQDIGNLCCFFGQRQSNDFLLPILPAFLNDRDEQLRAVFYGQIVFVCFFVGQRSVEEYLLPYIEQALGDAIEAVIVNALDCLAVLCKSGFLRKRILIEMIERSFPLLCYPSQWVRRSVVTFLASSSECLGAVDSYVFLAPVIQPFLRRQPASLDFEKALLSCLKPPVSREVFYEVLQNARSSDMLERQRKIWYNSSAQSKQWEIADLLERGTGELDSMKYWSEKQQSNGSHRPIDSVLQQSGLTEVADDDAKLRALGCNTRNASSAIDMHDPLCSEKLQFSGLTSPQLNGLNSFMCDKSSEGIPLYSFSMDKRATVAPSAASDTPLPWMDPISKSFSLASSVPTPKLVSGSFGITAGSKQFYRVVHEPESRENDQIANVNSKFQDMGLSGTVKGSSVRMEDASTSTDFTGLPSFSRSSSIPDSGWRPRGVLVAHLQEHRSAVNDIAVSNDHSFFVSASDDSTVKVWDSRKLEKDISFRSRLTYHLEGSRGLCTAMLRNSAQVVVGACDGTIHMFSVDHISKGLGNVVEKYSGIADIKKKDVKEGAILTLLNYPIDNCGIQTFMYSTQNCGIHLWDTRSSSNAWTLKAIPEEGYISCLVAGPCGNWFVSGSSRGVLTLWDLRFRIPVNSWQYSLVCPVEKMCLFVPPSSVSVSTTARPLIYVAAGCNEVSLWNAENGTCHQVFRAANYDSDAEMSDLPWALARPSAKTSSKSDPRRNANPRYRVDELNEPPPRLPGIRSLLPLPGGDLLTGGTDLRIRRWDHFRFLSNSFFLLTLFSDLMEFYSSFTLF >KJB54301 pep chromosome:Graimondii2_0_v6:9:2129959:2137965:-1 gene:B456_009G028000 transcript:KJB54301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MQVAQDAPLKPSMDIFAIGCVIAELFLEGQPLFELSQLLAYRRGQYDPSQHLEKIPDIGVRKMILHMIQLEPESRLSAESYLQNYVAAVFPSYFSPFLHGFYRCWNPLHSDMRIAMCQRVFPEMLKQMMSKRSSDEMGKGLGKSHTLSGHLSQEIVAKQQSEEIAPKQKLSSANHLLTKREKIDNASIRDQFKLPGNINTLLGDVEQSNHYLGEKSTRGDAPKYELSQDFKQHGMQSPVLHQNISDLFRKNDHPFLKKITMDDLNSLMSDYDSQSDTFGMPFLPLPQDSMKCEGMVLVASLLCSCIRNVKLPHLRRGAILLLKTSSLYIDDEDRLQRVLPYVIAMLSDPAAIVRCAALETLCDILPLVREFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSIRLSEAGVLNETNLPQKSLASSGESSGRMQRSNSDAQLGQLRKLIAEVVQELVMGQKQTPNIRRALLQDIGNLCCFFGQRQSNDFLLPILPAFLNDRDEQLRAVFYGQIVFVCFFVGQRSVEEYLLPYIEQALGDAIEAVIVNALDCLAVLCKSGFLRKRILIEMIERSFPLLCYPSQWVRRSVVTFLASSSECLGAVDSYVFLAPVIQPFLRRQPASLDFEKALLSCLKPPVSREVFYEVLQNARSSDMLERQRKIWYNSSAQSKQWEIADLLERGTGELDSMKYWSEKQQSNGSHRPIDSVLQQSGLTEVADDDAKLRALGCNTRNASSAIDMHDPLCSEKLQFSGLTSPQLNGLNSFMCDKSSEGIPLYSFSMDKRATVAPSAASDTPLPWMDPISKSFSLASSVPTPKLVSGSFGITAGSKQFYRVVHEPESRENDQIANVNSKFQDMGLSGTVKGSSVRMEDASTSTDFTGLPSFSRSSSIPDSGWRPRGVLVAHLQEHRSAVNDIAVSNDHSFFVSASDDSTVKVWDSRKLEKDISFRSRLTYHLEGSRGLCTAMLRNSAQVVVGACDGTIHMFSVDHISKGLGNVVEKYSGIADIKKKDVKEGAILTLLNYPIDNCGIQTFMYSTQNCGIHLWDTRSSSNAWTLKAIPEEGYISCLVAGPCGNWFVSGSSRGVLTLWDLRFRIPVNSWQYSLVCPVEKMCLFVPPSSVSVSTTARPLIYVAAGCNEVSLWNAENGTCHQVFRAANYDSDAEMSDLPWALARPSAKTSSKSDPRRNANPRYRVDELNEPPPRLPGIRSLLPLPGGDLLTGGTDLRIRRWDHFSPDRSYCMCGPNFKGVGNDDFYEARSSFGAQVVQETKRRPLTTKLTAKAVLAAAATDSAGCHHDSVLSLASVKLNQRLLISSGRDGAIKVWK >KJB55170 pep chromosome:Graimondii2_0_v6:9:4791627:4793166:1 gene:B456_009G067000 transcript:KJB55170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVVGPTFGMEATASAAAAVYVRERSEEKVGSSCFFLKEEAVGAPNLGSPDVFSESSSSIGSPGDSEDEEEEEDGVVSSGTSGGLASLGSLEDSLPIKRGLSNHYAGKSKSFANLLDVSTVKEVPKAENPFNKRRRVLLANKWNKSRKSSFYSWQNPNSMPLLALNEDDEETPSSSSSSSSSSFSSSSNDKLPVAAIKPKLQQQSKLKVTLKSQSCFSLTDLQVQVEPQ >KJB54493 pep chromosome:Graimondii2_0_v6:9:2659594:2663323:-1 gene:B456_009G036000 transcript:KJB54493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTLMSSVIFLLCCLASASTFEDTNPIQMVSDGLDSSVLRVIGHTRRAISFARFAYKYEDVEEIKLRFQIFKDNMDLIRSANKKGLPYSLSVNQFADLTWDEFRKHRIGAAQNCSATRKGNHQLTDVVLPESKDWRESGIVSPVKNQGSCGSCWAFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEEAYPYTAKDGQCTFSSENVGVQVIDAVNITLGSEDELKHAVAMVRPVSVAFEVVPSFNFYKSGVYTSDKCGNTSSDVNHAVLAVGYGIENGVPYWLIKNSWGAEWGDKGYFKMEMGKNMCGVATCASYPVVA >KJB54491 pep chromosome:Graimondii2_0_v6:9:2660372:2663264:-1 gene:B456_009G036000 transcript:KJB54491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTLMSSVIFLLCCLASASTFEDTNPIQMVSDGLDSSVLRVIGHTRRAISFARFAYKHGKRYEDVEEIKLRFQIFKDNMDLIRSANKKGLPYSLSVNQFADLTWDEFRKHRIGAAQNCSATRKGNHQLTDVVLPESKDWRESGIVSPVKNQGSCGSCWAFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEEAYPYTAKDGQCTFSSENVGVQVIDAVNITLGSEDELKHAVAMVRPVSVAFEVVPSFNFYKSGVYTSDKCGNTSSDVNHAVLAVGYGIENGVPYWLIKNSWGAEWGDKGYFKMEMGKNMCGKSQPH >KJB54490 pep chromosome:Graimondii2_0_v6:9:2661172:2663264:-1 gene:B456_009G036000 transcript:KJB54490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTLMSSVIFLLCCLASASTFEDTNPIQMVSDGLDSSVLRVIGHTRRAISFARFAYKHGKRYEDVEEIKLRFQIFKDNMDLIRSANKKGLPYSLSVNQFADLTWDEFRKHRIGAAQNCSATRKGNHQLTDVVLPESKDWRESGIVSPVKNQGSCGSCWAFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEEAYPYTAKDGQCTFSSENVGVQVIDAVNITLVSS >KJB54489 pep chromosome:Graimondii2_0_v6:9:2659594:2663323:-1 gene:B456_009G036000 transcript:KJB54489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIRSANKKGLPYSLSVNQFADLTWDEFRKHRIGAAQNCSATRKGNHQLTDVVLPESKDWRESGIVSPVKNQGSCGSCWAFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEEAYPYTAKDGQCTFSSENVGVQVIDAVNITLGSEDELKHAVAMVRPVSVAFEVVPSFNFYKSGVYTSDKCGNTSSDVNHAVLAVGYGIENGVPYWLIKNSWGAEWGDKGYFKMEMGKNMCGVATCASYPVVA >KJB54492 pep chromosome:Graimondii2_0_v6:9:2660990:2663264:-1 gene:B456_009G036000 transcript:KJB54492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTLMSSVIFLLCCLASASTFEDTNPIQMVSDGLDSSVLRVIGHTRRAISFARFAYKHGKRYEDVEEIKLRFQIFKDNMDLIRSANKKGLPYSLSVNQFADLTWDEFRKHRIGAAQNCSATRKGNHQLTDVVLPESKDWRESGIVSPVKNQGSCGSCWAFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEEAYPYTAKDGQCTFSSENVGVQVIDAVNITLCSKS >KJB54488 pep chromosome:Graimondii2_0_v6:9:2659544:2663510:-1 gene:B456_009G036000 transcript:KJB54488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTLMSSVIFLLCCLASASTFEDTNPIQMVSDGLDSSVLRVIGHTRRAISFARFAYKHGKRYEDVEEIKLRFQIFKDNMDLIRSANKKGLPYSLSVNQFADLTWDEFRKHRIGAAQNCSATRKGNHQLTDVVLPESKDWRESGIVSPVKNQGSCGSCWAFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEEAYPYTAKDGQCTFSSENVGVQVIDAVNITLGSEDELKHAVAMVRPVSVAFEVVPSFNFYKSGVYTSDKCGNTSSDVNHAVLAVGYGIENGVPYWLIKNSWGAEWGDKGYFKMEMGKNMCGVATCASYPVVA >KJB58716 pep chromosome:Graimondii2_0_v6:9:17455355:17457697:-1 gene:B456_009G224000 transcript:KJB58716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRLTVGNLALKIPVASKPARSVVHPSLSPCFCKIKLKNFPLQTAVVPYFPRENQFPDNQQQTLAATFHLSKADIDKLAQKSIFSAKPCLKIAVYTGRRGSTCGVNSGCLLGKVSVPLDLAGTESKACVFHNGWISVGKETKKDSSARFHLNVKAEPDPRFVFQFDGEPECSPQVFQIRGNIRQPVFTCKFSFRNTGDRNQGSGSLRSEPSSSRRWLSSFGSERERLGKERKGWSITVHDLSGSPVAAASMVTPFVASPGSGRVSRSNPGSWLILRPGGGTWKPWGRLEAWRERGSSDGLGYRFELIPDMSAAAIVLAESTLSSSKGGKFVIDLGGRSGSNGRVTPRSATSPACSPRSSGDFRYGLWPFAVHQGFVMSAGVEGEGKCSKPRVEVSVQHVNCTEDAAAYVALAAAVDLSIDACRLFSQRLRKELCNHHQELLG >KJB58717 pep chromosome:Graimondii2_0_v6:9:17455665:17457697:-1 gene:B456_009G224000 transcript:KJB58717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRLTVGNLALKIPVASKPARSVVHPSLSPCFCKIKLKNFPLQTAVVPYFPRENQFPDNQQQTLAATFHLSKADIDKLAQKSIFSAKPCLKIAVYTGRRGSTCGVNSGCLLGKVSVPLDLAGTESKACVFHNGWISVGKETKKDSSARFHLNVKAEPDPRFVFQFDGEPECSPQVFQIRGNIRQPVFTCKFSFRNTGDRNQGSGSLRSEPSSSRRWLSSFGSERERLGKERKGGTWKPWGRLEAWRERGSSDGLGYRFELIPDMSAAAIVLAESTLSSSKGGKFVIDLGGRSGSNGRVTPRSATSPACSPRSSGDFRYGLWPFAVHQGFVMSAGVEGEGKCSKPRVEVSVQHVNCTEDAAAYVALAAAVDLSIDACRLFSQRLRKELCNHHQELLG >KJB57628 pep chromosome:Graimondii2_0_v6:9:13327746:13329635:1 gene:B456_009G172800 transcript:KJB57628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASTWILSLKLLLISTGMLGIALGLKISVPLVLEFSVSQAPLWWSGFRSWLKPPYLYVFINGIIITIAASSRFNQNNGEKDQTEQMQPRPKISEDQQPIVEYDTKSGWDSDAVESSDFVYEENQRGEEVATRVSEEESNVAVEDDRDGNEFVISKSEWIPPSRTDSSEILLDALLIQEKPAPSSRFGHRKLVKVNPEGGRALKVAKPKRHETLENTWKMITEGKSMPLSRHLKKSDTWENHGRDINVEALTSSPLMKKSETFRDRTNYQLPPEQVSSFPASGKLRKEPSLSQDELNRRVEAFIKKFNDEMRLQRQESLNQYMEMVNRGS >KJB57629 pep chromosome:Graimondii2_0_v6:9:13327758:13329585:1 gene:B456_009G172800 transcript:KJB57629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASTWILSLKLLLISTGMLGIALGLKISVPLVLEFSVSQAPLWWSGFRSWLKPPYLYVFINGIIITIAASSRFNQNNGEKDQTEQMQPRPKISEDQQPIVEYDTKSGWDSDAVESSDFVYEENQRGEEVATRVSEEESNVAVEDDRDGNEFVISKSEWIPPSRTDSSEILLDALLIQEKPAPSSRFGHRKLVKVNPEANAGGRALKVAKPKRHETLENTWKMITEGKSMPLSRHLKKSDTWENHGRDINVEALTSSPLMKKSETFRDRTNYQLPPEQVSSFPASGKLRKEPSLSQDELNRRVEAFIKKFNDEMRLQRQESLNQYMEMVNRGS >KJB56613 pep chromosome:Graimondii2_0_v6:9:9603689:9605938:-1 gene:B456_009G127600 transcript:KJB56613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYEENALSTRSYNLRVLNLRERGSSGSLKFNRVRSGGGDDEDDALVERQLLYRKLPDQHLLNLSVLKLDGSLFDVNIGRNATVAELKVAIEELFTEMAGETQGCISWAHVWGHFCLAYEGQKLVNNKACIKNFGIKDGDQLEFIKHMSMNQSPIKRRVKHHGVPCKCFSPRSSHDQERQENPVNHHKEEDEDQDYYHDEEHAMSLPEFKFAHFLRRWLSHTRSQSASRRRLEGRNHYSRFNLHL >KJB56727 pep chromosome:Graimondii2_0_v6:9:10038730:10041781:-1 gene:B456_009G133200 transcript:KJB56727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSVMADPDPDSSTLGLRHISSSLFNIPGFLVGFSTKGSLDSDAVRSPTSPLDLRVFANFSNPFTVSSPRSSSQSGCQKKWDCSKIGLGIVNLLADEIKPDGGDLDSPKRMNIVFGPQVKTKFPYSSRYSREYLGNSMKSNSLPRNYIISQLFQARKSSTKSADSSLDFGNEEVPVEPKTDLGLSPSFISSSENLNMSSESCCSENATFGTNSSPLPIGRPLQVDNSLVSKPSSLPILLSHSMVSLSTHELELSEDYTCIISHGPNPKTTHLFGDCILECHNNELTIFDRKAESGTNVPPPTKSGETSTLHLSDEYLSFCYTCKKKLEKDEEVYRHRGEKAFCSFDCRTEEFFADEEMEKTCNNSSSNSSPEQSNDEDVFLMAMDDRSINHNSWIAQHRV >KJB56720 pep chromosome:Graimondii2_0_v6:9:10039213:10040472:-1 gene:B456_009G133200 transcript:KJB56720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSVMADPDPDSSTLGLRHISSSLFNIPGFLVGFSTKGSLDSDAVRSPTSPLDLRVFANFSNPFTVSSPRSSSQSGCQKKWDCSKIGLGIVNLLADEIKPDGGDLDSPKRMNIVFGPQVKTKFPYSSRYSREYLGNSMKSNSLPRNYIISQLFQARKSSTKSADSSLDFGNEEVPVEPKTDLGLSPSFISSSENLNMSSESCCSENATFGTNSSPLPIGRPLQVDNSLVSKPSSLPILLSHSMVSLSTHELELSEDYTCIISHGPNPKTTHLFGDCILECHNNELTIFDRKAESGTNVPPPTKSGETSTLHLSDEYLSFCYTCKKKLEKDEEVYRHRGEKAFCSFDCRTEEFFADEEMEKTCNNSSSNSSPEQSNDEDVFLMGMSINM >KJB56722 pep chromosome:Graimondii2_0_v6:9:10039213:10040472:-1 gene:B456_009G133200 transcript:KJB56722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSVMADPDPDSSTLGLRHISSSLFNIPGFLVGFSTKGSLDSDAVRSPTSPLDLRVFANFSNPFTVSSPRSSSQSGCQKKWDCSKIGLGIVNLLADEIKPDGGDLDSPKRMNIVFGPQVKTKFPYSSRYSREYLGNSMKSNSLPRNYIISQLFQARKSSTKSADSSLDFGNEEVPVEPKTDLGLSPSFISSSENLNMSSESCCSENATFGTNSSPLPIGRPLQVDNSLVSKPSSLPILLSHSMVSLSTHELELSEDYTCIISHGPNPKTTHLFGDCILECHNNELTIFDRKAESGTNVPPPTKSGETSTLHLSDEYLSFCYTCKKKLEKDEEVYRHRGEKAFCSFDCRTEEFFADEEMEKTCNNSSSNSSPEQSNDEDVFLMGMSINM >KJB56721 pep chromosome:Graimondii2_0_v6:9:10039213:10040472:-1 gene:B456_009G133200 transcript:KJB56721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSVMADPDPDSSTLGLRHISSSLFNIPGFLVGFSTKGSLDSDAVRSPTSPLDLRVFANFSNPFTVSSPRSSSQSGCQKKWDCSKIGLGIVNLLADEIKPDGGDLDSPKRMNIVFGPQVKTKFPYSSRYSREYLGNSMKSNSLPRNYIISQLFQARKSSTKSADSSLDFGNEEVPVEPKTDLGLSPSFISSSENLNMSSESCCSENATFGTNSSPLPIGRPLQVDNSLVSKPSSLPILLSHSMVSLSTHELELSEDYTCIISHGPNPKTTHLFGDCILECHNNELTIFDRKAESGTNVPPPTKSGETSTLHLSDEYLSFCYTCKKKLEKDEEVYRHRGEKAFCSFDCRTEEFFADEEMEKTCNNSSSNSSPEQSNDEDVFLMGMSINM >KJB56724 pep chromosome:Graimondii2_0_v6:9:10038730:10041781:-1 gene:B456_009G133200 transcript:KJB56724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSVMADPDPDSSTLGLRHISSSLFNIPGFLVGFSTKGSLDSDAVRSPTSPLDLRVFANFSNPFTVSSPRSSSQSGCQKKWDCSKIGLGIVNLLADEIKPDGGDLDSPKRMNIVFGPQVKTKFPYSSRYSREYLGNSMKSNSLPRNYIISQLFQARKSSTKSADSSLDFGNEEVPVEPKTDLGLSPSFISSSENLNMSSESCCSENATFGTNSSPLPIGRPLQVDNSLVSKPSSLPILLSHSMVSLSTHELELSEDYTCIISHGPNPKTTHLFGDCILECHNNELTIFDRKAESGTNVPPPTKSGETSTLHLSDEYLSFCYTCKKKLEKDEEVYRHRGEKAFCSFDCRTEEFFADEEMEKTCNNSSSNSSPEQSNDEDVFLMAMDDRSINHNSWIAQHRV >KJB56725 pep chromosome:Graimondii2_0_v6:9:10039213:10040472:-1 gene:B456_009G133200 transcript:KJB56725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSVMADPDPDSSTLGLRHISSSLFNIPGFLVGFSTKGSLDSDAVRSPTSPLDLRVFANFSNPFTVSSPRSSSQSGCQKKWDCSKIGLGIVNLLADEIKPDGGDLDSPKRMNIVFGPQVKTKFPYSSRYSREYLGNSMKSNSLPRNYIISQLFQARKSSTKSADSSLDFGNEEVPVEPKTDLGLSPSFISSSENLNMSSESCCSENATFGTNSSPLPIGRPLQVDNSLVSKPSSLPILLSHSMVSLSTHELELSEDYTCIISHGPNPKTTHLFGDCILECHNNELTIFDRKAESGTNVPPPTKSGETSTLHLSDEYLSFCYTCKKKLEKDEEVYRHRGEKAFCSFDCRTEEFFADEEMEKTCNNSSSNSSPEQSNDEDVFLMGMSINM >KJB56723 pep chromosome:Graimondii2_0_v6:9:10039213:10040472:-1 gene:B456_009G133200 transcript:KJB56723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSVMADPDPDSSTLGLRHISSSLFNIPGFLVGFSTKGSLDSDAVRSPTSPLDLRVFANFSNPFTVSSPRSSSQSGCQKKWDCSKIGLGIVNLLADEIKPDGGDLDSPKRMNIVFGPQVKTKFPYSSRYSREYLGNSMKSNSLPRNYIISQLFQARKSSTKSADSSLDFGNEEVPVEPKTDLGLSPSFISSSENLNMSSESCCSENATFGTNSSPLPIGRPLQVDNSLVSKPSSLPILLSHSMVSLSTHELELSEDYTCIISHGPNPKTTHLFGDCILECHNNELTIFDRKAESGTNVPPPTKSGETSTLHLSDEYLSFCYTCKKKLEKDEEVYRHRGEKAFCSFDCRTEEFFADEEMEKTCNNSSSNSSPEQSNDEDVFLMGMSINM >KJB56726 pep chromosome:Graimondii2_0_v6:9:10038730:10041781:-1 gene:B456_009G133200 transcript:KJB56726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSVMADPDPDSSTLGLRHISSSLFNIPGFLVGFSTKGSLDSDAVRSPTSPLDLRVFANFSNPFTVSSPRSSSQSGCQKKWDCSKIGLGIVNLLADEIKPDGGDLDSPKRMNIVFGPQVKTKFPYSSRYSREYLGNSMKSNSLPRNYIISQLFQARKSSTKSADSSLDFGNEEVPVEPKTDLGLSPSFISSSENLNMSSESCCSENATFGTNSSPLPIGRPLQVDNSLVSKPSSLPILLSHSMVSLSTHELELSEDYTCIISHGPNPKTTHLFGDCILECHNNELTIFDRKAESGTNVPPPTKSGETSTLHLSDEYLSFCYTCKKKLEKDEEVYRHRGEKAFCSFDCRTEEFFADEEMEKTCNNSSSNSSPEQSNDEDVFLMAMDDRSINHNSWIAQHRV >KJB60199 pep chromosome:Graimondii2_0_v6:9:25425826:25428940:-1 gene:B456_009G293300 transcript:KJB60199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSLATRKTLTLALKSTRLAQSRALQTFSLPDLPYDYGALEPSISGEIMQLHHQKHHQTYITNYNKALEQLHDAIQKGDSSTVVKLQSAIKFNGGGHINHSIFWKNLAPISVRGGEPPHGSLGWAIDTNFGSLESLIQKMNAEGAALQGSGWVWLGLDKELKKLVVETTTNQDPLVTNGPNLVPLLGIDVWEHAYYLQYKNVRPDYLKNVWKVINWKYASEVYEKESA >KJB60198 pep chromosome:Graimondii2_0_v6:9:25425806:25429022:-1 gene:B456_009G293300 transcript:KJB60198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSLATRKTLTLALKSTRLAQSRALQTFSLPDLPYDYGALEPSISGEIMQLHHQKHHQTYITNYNKALEQLHDAIQKGDSSTVVKLQSAIKFNGGGHINHSIFWKNLAPISEGGGEPPHGSLGWAIDTNFGSLESLIQKMNAEGAALQGSGWVWLGLDKELKKLVVETTTNQDPLVTNGPNLVPLLGIDVWEHAYYLQYKNVRPDYLKNVWKVINWKYASEVYEKESA >KJB60200 pep chromosome:Graimondii2_0_v6:9:25425826:25428973:-1 gene:B456_009G293300 transcript:KJB60200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSLATRKTLTLALKSTRLAQSRALQTFSLPDLPYDYGALEPSISGEIMQLHHQKHHQTYITNYNKALEQLHDAIQKGDSSTVVKLQSAIKFNGGGHINHSIFWKNLAPISEGGGEPPHGSLGWAIDTNFGSLESLIQKMNAEGAALQGSVAWTGQGVEEACGRNHYKSGSTCDQRAKFSSLAWDRCLGACILSTVQER >KJB63032 pep chromosome:Graimondii2_0_v6:9:70142551:70149449:-1 gene:B456_009G450600 transcript:KJB63032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSHPLPIKFPINSPTSTHSTTFKSLILCKKHNNDTAFEEHKSGFIDYDKGQHEVSTRVSGLRKAHIPKRYRLRVEGDRFQKDWTISEVVDRVLEVNHWENVDPVLNQWVGRFARKNFPFLIKELTQRGAIEHSIKVFEWMKKQKNYCARTDIYNMMIRLHARHKRTDQARGLFFEMQKWRCKPDVDTYNALIHAHGQVGQWRWAMNIMEDMLSAAIPPSRSTYNNLINACGSSGNWREALKVCKKMTENGVGPDLVTHNIVLSAYKSGAQYSKALSYFELMKGTHIRPDTTTLNIVINCLVKLGQYGKAMDIFNSMRDERADSRPDIVTFTSIIHLYSVCGQIENCKAVFNAMLAEGIQPNIVSYNTLMAAYASHGMSKEAVAVFDQIKQNGFRPDVVSYTSLLNAYGRSQLPEKAREIFDMMKRKNVKPNLVSYNALIDAYGSNGLLAEAVEVLRQMEQEGIKPNIVSICTLLAACGRCRQKVNIDAVLSAAELRCIKLNTVAYNSAIGSYMNVGEFEKAIALYKSMRKRKVLADSVTYTVLISGSYKLSRYSEALGFLDDMVGLKIPLTKEVYSSLICVYSKQGQVAEAESMFKMMKVSGCCPDVVAYTAMLHAYNSAENWAKASAIFLEMEENGIQPDSIACCALLRAFNKGGQPSKVLVLAKYMREKAIPLNDAVFFEMVSACSILQDWKTTIDLIKLMEPWFPLVSIGLLNQLLHLLGKSGKIESMMKVLDFLNVYTCNRSPCCCSVFENLQSSQVLSYH >KJB63029 pep chromosome:Graimondii2_0_v6:9:70141364:70149449:-1 gene:B456_009G450600 transcript:KJB63029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSHPLPIKFPINSPTSTHSTTFKSLILCKKHNNDTAFEEHKSGFIDYDKGQHEVSTRVSGLRKAHIPKRYRLRVEGDRFQKDWTISEVVDRVLEVNHWENVDPVLNQWVGRFARKNFPFLIKELTQRGAIEHSIKVFEWMKKQKNYCARTDIYNMMIRLHARHKRTDQARGLFFEMQKWRCKPDVDTYNALIHAHGQVGQWRWAMNIMEDMLSAAIPPSRSTYNNLINACGSSGNWREALKVCKKMTENGVGPDLVTHNIVLSAYKSGAQYSKALSYFELMKGTHIRPDTTTLNIVINCLVKLGQYGKAMDIFNSMRDERADSRPDIVTFTSIIHLYSVCGQIENCKAVFNAMLAEGIQPNIVSYNTLMAAYASHGMSKEAVAVFDQIKQNGFRPDVVSYTSLLNAYGRSQLPEKAREIFDMMKRKNVKPNLVSYNALIDAYGSNGLLAEAVEVLRQMEQEGIKPNIVSICTLLAACGRCRQKVNIDAVLSAAELRCIKLNTVAYNSAIGSYMNVGEFEKAIALYKSMRKRKVLADSVTYTVLISGSYKLSRYSEALGFLDDMVGLKIPLTKEVYSSLICVYSKQGQVAEAESMFKMMKVSGCCPDVVAYTAMLHAYNSAENWAKASAIFLEMEENGIQPDSIACCALLRAFNKGGQPSKVLVLAKYMREKAIPLNDAVFFEMVSACSILQDWKTTIDLIKLMEPWFPLVSIGLLNQLLHLLGKSGKIESMMKLFYKIIASGVPVSFNTYSILLKNLLAAENWRKYIEVLQWMEDSGIQPSKGMFVDIVSFSQKRCGVEYADKIKEKVDGMCEFHISFSSKALINGSFNSHPRNI >KJB63031 pep chromosome:Graimondii2_0_v6:9:70141723:70150882:-1 gene:B456_009G450600 transcript:KJB63031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSHPLPIKFPINSPTSTHSTTFKSLILCKKHNNDTAFEEHKSGFIDYDKGQHEVSTRVSGLRKAHIPKRYRLRVEGDRFQKDWTISEVVDRVLEVNHWENVDPVLNQWVGRFARKNFPFLIKELTQRGAIEHSIKVFEWMKKQKNYCARTDIYNMMIRLHARHKRTDQARGLFFEMQKWRCKPDVDTYNALIHAHGQVGQWRWAMNIMEDMLSAAIPPSRSTYNNLINACGSSGNWREALKVCKKMTENGVGPDLVTHNIVLSAYKSGAQYSKALSYFELMKGTHIRPDTTTLNIVINCLVKLGQYGKAMDIFNSMRDERADSRPDIVTFTSIIHLYSVCGQIENCKAVFNAMLAEGIQPNIVSYNTLMAAYASHGMSKEAVAVFDQIKQNGFRPDVVSYTSLLNAYGRSQLPEKAREIFDMMKRKNVKPNLVSYNALIDAYGSNGLLAEAVEVLRQMEQEGIKPNIVSICTLLAACGRCRQKVNIDAVLSAAELRCIKLNTVAYNSAIGSYMNVGEFEKAIALYKSMRKRKVLADSVTYTVLISGSYKLSRYSEALGFLDDMVGLKIPLTKEVYSSLICVYSKQGQVAEAESMFKMMKVSGCCPDVVAYTAMLHAYNSAENWAKASAIFLEMEENGIQPDSIACCALLRAFNKGGQPSKVLVLAKYMREKAIPLNDAVFFEMVSACSILQDWKTTIDLIKLMEPWFPLVSIGLLNQLLHLLGKSGKIESMMKLFYKIIASGVPVSFNTYSILLKNLLAAENWRKYIEVLQWMEDSGIQPSKGMFVDIVSFSQKRCGVEYADKIKEKVGKLKLFLP >KJB63030 pep chromosome:Graimondii2_0_v6:9:70141293:70150880:-1 gene:B456_009G450600 transcript:KJB63030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSHPLPIKFPINSPTSTHSTTFKSLILCKKHNNDTAFEEHKSGFIDYDKGQHEVSTRVSGLRKAHIPKRYRLRVEGDRFQKDWTISEVVDRVLEVNHWENVDPVLNQWVGRFARKNFPFLIKELTQRGAIEHSIKVFEWMKKQKNYCARTDIYNMMIRLHARHKRTDQARGLFFEMQKWRCKPDVDTYNALIHAHGQVGQWRWAMNIMEDMLSAAIPPSRSTYNNLINACGSSGNWREALKVCKKMTENGVGPDLVTHNIVLSAYKSGAQYSKALSYFELMKGTHIRPDTTTLNIVINCLVKLGQYGKAMDIFNSMRDERADSRPDIVTFTSIIHLYSVCGQIENCKAVFNAMLAEGIQPNIVSYNTLMAAYASHGMSKEAVAVFDQIKQNGFRPDVVSYTSLLNAYGRSQLPEKAREIFDMMKRKNVKPNLVSYNALIDAYGSNGLLAEAVEVLRQMEQEGIKPNIVSICTLLAACGRCRQKVNIDAVLSAAELRCIKLNTVAYNSAIGSYMNVGEFEKAIALYKSMRKRKVLADSVTYTVLISGSYKLSRYSEALGFLDDMVGLKIPLTKEVYSSLICVYSKQGQVAEAESMFKMMKVSGCCPDVVAYTAMLHAYNSAENWAKASAIFLEMEENGIQPDSIACCALLRAFNKGGQPSKVLVLAKYMREKAIPLNDAVFFEMVSACSILQDWKTTIDLIKLMEPWFPLVSIGLLNQLLHLLGKSGKIESMMKLFYKIIASGVPVSFNTYSILLKNLLAAENWRKYIEVLQWMEDSGIQPSKGMFVDIVSFSQKRCGVEYADKIKEKVDGMCEFHISFSSKALINGSFNSHPRNI >KJB63033 pep chromosome:Graimondii2_0_v6:9:70141797:70149683:-1 gene:B456_009G450600 transcript:KJB63033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSHPLPIKFPINSPTSTHSTTFKSLILCKKHNNDTAFEEHKSGFIDYDKGQHEVSTRVSGLRKAHIPKRYRLRVEGDRFQKDWTISEVVDRVLEVNHWENVDPVLNQWVGRFARKNFPFLIKELTQRGAIEHSIKVFEWMKKQKNYCARTDIYNMMIRLHARHKRTDQARGLFFEMQKWRCKPDVDTYNALIHAHGQVGQWRWAMNIMEDMLSAAIPPSRSTYNNLINACGSSGNWREALKVCKKMTENGVGPDLVTHNIVLSAYKSGAQYSKALSYFELMKGTHIRPDTTTLNIVINCLVKLGQYGKAMDIFNSMRDERADSRPDIVTFTSIIHLYSVCGQIENCKAVFNAMLAEGIQPNIVSYNTLMAAYASHGMSKEAVAVFDQIKQNGFRPDVVSYTSLLNAYGRSQLPEKAREIFDMMKRKNVKPNLVSYNALIDAYGSNGLLAEAVEVLRQMEQEGIKPNIVSICTLLAACGRCRQKVNIDAVLSAAELRCIKLNTVAYNSAIGSYMNVGEFEKAIALYKSMRKRKVLADSVTYTVLISGSYKLSRYSEALGFLDDMVGLKIPLTKEVYSSLICVYSKQGQVAEAESMFKMMKVSGCCPDVVAYTAMLHAYNSAENWAKASAIFLEMEENGIQPDSIACCALLRAFNKGGQPSKVLVLAKYMREKAIPLNDAVFFEMVSACSILQDWKTTIDLIKLMEPWFPLVSIGLLNQLLHLLGKSGKIESMMKLFYKIIASGVPVSFNTYSILLKNLLAAENWRKYIEETQVLKHLTFLQWHYGTKCVIRLKSPRQISVQVLQWMEDSGIQPSKGMFVDIVSFSQKRCGVEYADKIKEKVGKLKLFLP >KJB63034 pep chromosome:Graimondii2_0_v6:9:70141797:70149449:-1 gene:B456_009G450600 transcript:KJB63034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSHPLPIKFPINSPTSTHSTTFKSLILCKKHNNDTAFEEHKSGFIDYDKGQHEVSTRVSGLRKAHIPKRYRLRVEGDRFQKDWTISEVVDRVLEVNHWENVDPVLNQWVGRFARKNFPFLIKELTQRGAIEHSIKVFEWMKKQKNYCARTDIYNMMIRLHARHKRTDQARGLFFEMQKWRCKPDVDTYNALIHAHGQVGQWRWAMNIMEDMLSAAIPPSRSTYNNLINACGSSGNWREALKVCKKMTENGVGPDLVTHNIVLSAYKSGAQYSKALSYFELMKGTHIRPDTTTLNIVINCLVKLGQYGKAMDIFNSMRDERADSRPDIVTFTSIIHLYSVCGQIENCKAVFNAMLAEGIQPNIVSYNTLMAAYASHGMSKEAVAVFDQIKQNGFRPDVVSYTSLLNAYGRSQLPEKAREIFDMMKRKNVKPNLVSYNALIDAYGSNGLLAEAVEVLRQMEQEGIKPNIVSICTLLAACGRCRQKVNIDAVLSAAELRCIKLNTVAYNSAIGSYMNVGEFEKAIALYKSMRKRKVLADSVTYTVLISGSYKLSRYSEALGFLDDMVGLKIPLTKEVYSSLICVYSKQGQVAEAESMFKMMKVSGCCPDVVAYTAMLHAYNSAENWAKASAIFLEMEENGIQPDSIACCALLRAFNKGGQPSKVLVLAKYMREKAIPLNDAVFFEMVSACSILQDWKTTIDLIKLMEPWFPLVSIGLLNQLLHLLGKSGKIESMMKLFYKIIASGVPVSFNTYSILLKNLLAAENWRKYIEVLQWMEDSGIQPSKGMFVDIVSFSQKRCGVEYADKIKEKVGKLKLFLP >KJB57169 pep chromosome:Graimondii2_0_v6:9:11531057:11532649:1 gene:B456_009G151500 transcript:KJB57169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYWCITPITYCKLYIYMGFLKFDAPYNLPQCLFHTAAWGVELDFSEETKITVIWLPQLPPSQHTVRGPI >KJB54100 pep chromosome:Graimondii2_0_v6:9:1554601:1557985:1 gene:B456_009G020400 transcript:KJB54100 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRMT10 [Source:Projected from Arabidopsis thaliana (AT1G04870) UniProtKB/TrEMBL;Acc:A0A178WKU5] MASRTNGGSSNGPVDKGADFANYFCTYAFLYHQKEMLSDRVRMDAYYNAVFQNKHHFQGKAVLDVGTGSGILAIWSAQAGARKVYAVEATKMSEHARTLVQANNLQDIVEVIEGSMEEVVLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPSGVMYPSHARMWVGPIRSGLVDQKKNDYEAAMDDWYGFLEDTKDYYGVDMSVLTRPFSNELS >KJB54098 pep chromosome:Graimondii2_0_v6:9:1554696:1557038:1 gene:B456_009G020400 transcript:KJB54098 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRMT10 [Source:Projected from Arabidopsis thaliana (AT1G04870) UniProtKB/TrEMBL;Acc:A0A178WKU5] MASRTNGGSSNGPVDKGADFANYFCTYAFLYHQKEMLSDRVRMDAYYNAVFQNKHHFQGKAVLDVGTGSGILAIWSAQAGARKVYAVEATKMSEHARTLVQANNLQDIVEVIEGSMEEVVLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPSGVMYPSHARMWVGPIRSGLVDQKKNDYEAAMDDWYGFLEDTKDYYGVDMSVLTRPFSNEQEKYYLQTSLWNNLHPNQVIGTAAVIKEIDCLTASVNDILEVKSSFSSAISMASTRLCGFAGWFDVHFRVS >KJB54101 pep chromosome:Graimondii2_0_v6:9:1554601:1557985:1 gene:B456_009G020400 transcript:KJB54101 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRMT10 [Source:Projected from Arabidopsis thaliana (AT1G04870) UniProtKB/TrEMBL;Acc:A0A178WKU5] MASRTNGGSSNGPVDKGADFANYFCTYAFLYHQKEMLSDRVRMDAYYNAVFQNKHHFQGKAVLDVGTGSGILAIWSAQAGARKVYAVEATKMSEHARTLVQANNLQDIVEVIEGSMEEVVLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPSGVMYPSHARMWVGPIRSGLVDQKKNDYEAAMDDWYGFLEDTKDYYGVDMSVLTRPFSNEQEKYYLQTSLWNNLHPNQVIGTAAVIKEIDCLTASVNDILEVKSSFSSAISMASTRLCGFAGWFDVHFRGRGEDPAQKEIELTTAPSSNNGTHWGQQIFLLHPPVHVDEEINLDVSFSMNRSKENHRLMEVEFDVKISKPSGKMLPPINKKFYIE >KJB54097 pep chromosome:Graimondii2_0_v6:9:1554544:1558072:1 gene:B456_009G020400 transcript:KJB54097 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRMT10 [Source:Projected from Arabidopsis thaliana (AT1G04870) UniProtKB/TrEMBL;Acc:A0A178WKU5] MASRTNGGSSNGPVDKGADFANYFCTYAFLYHQKEMLSDRVRMDAYYNAVFQNKHHFQGKAVLDVGTGSGILAIWSAQAGARKVYAVEATKMSEHARTLVQANNLQDIVEVIEGSMEEVVLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPSGVMYPSHARMWVGPIRSGLVDQKKNDYEAAMDDWYGFLEDTKDYYGVDMSVLTRPFSNEQEKYYLQTSLWNNLHPNQVIGTAAVIKEIDCLTASVNDILEVKSSFSSAISMASTRLCGFAGWFDVHFRGRGEDPAQKEIELTTAPSSNNGTHWGQQIFLLHPPVHVDEEINLDVSFSMNRSKENHRLMEVEFDVKISKPSGKMLPPINKKFYIE >KJB54099 pep chromosome:Graimondii2_0_v6:9:1554601:1557307:1 gene:B456_009G020400 transcript:KJB54099 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRMT10 [Source:Projected from Arabidopsis thaliana (AT1G04870) UniProtKB/TrEMBL;Acc:A0A178WKU5] MASRTNGGSSNGPVDKGADFANYFCTYAFLYHQKEMLSDRVRMDAYYNAVFQNKHHFQGKAVLDVGTGSGILAIWSAQAGARKVYAVEATKMSEHARTLVQANNLQDIVEVIEGSMEEVVLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPSGVMYPSHARMWVGPIRSGLVDQKKNDYEAAMDDWYGFLEDTKDYYGVDMSVLTRPFSNEQEKYYLQTSLWNNLHPNQVIGTAAVIKEIDCLTASVNDILEVKSSFSSAISMASTRLCGFAGWFDVHFRVRKRGGSCSKRD >KJB60196 pep chromosome:Graimondii2_0_v6:9:25412722:25414868:-1 gene:B456_009G293100 transcript:KJB60196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSIKRFLVRNIVEQAAVRDVQEACVYDTYTLPKLYVKMQYCVSCAIHSHVVRVRSRTDRRKRDPPQRFIRRRDDMPKPGQPGQAPRPGVAAPARA >KJB60195 pep chromosome:Graimondii2_0_v6:9:25412713:25414924:-1 gene:B456_009G293100 transcript:KJB60195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKSIKRFLVRNIVEQAAVRDVQEACVYDTYTLPKLYVKMQYCVSCAIHSHVVRVRSRTDRRKRDPPQRFIRRRDDMPKPGQPGQAPRPGVAAPARA >KJB56139 pep chromosome:Graimondii2_0_v6:9:7823843:7827354:-1 gene:B456_009G107900 transcript:KJB56139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSWFDKGYNGVGPNDDFFDDVIKYLDLPLEDVEGPDGNGSIEDVNNFLLPVEENNDGGEDWDCDFENLEPPPTNVLASLSSGFYGDFFSDSLAQNLTDSCDGSSQLNQLSSTTSITPHSDCTDVKGSTWFQTSSPVSVLESSSPCSAANPTPIDPKLSFLVKKRGRSKRRPASTFNQQFIFSSISSTSSASRGTNYVVGSESESENNPTEKPAKKRQKKKKNLTLLSGCNETKKPPSLQPIVIMKCTHCEVTETPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFVASMHSNSHKKVVEMRKKAKLPLSLSSIPPKMSFR >KJB56138 pep chromosome:Graimondii2_0_v6:9:7823843:7827262:-1 gene:B456_009G107900 transcript:KJB56138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFYSLPLTKIPKSSIDAKESLSLPPFSFSSDPNKKQRTRLQPSSSNQCDGSSQLNQLSSTTSITPHSDCTDVKGSTWFQTSSPVSVLESSSPCSAANPTPIDPKLSFLVKKRGRSKRRPASTFNQQFIFSSISSTSSASRGTNYVVGSESESENNPTEKPAKKRQKKKKNLTLLSGCNETKKPPSLQPIVIMKCTHCEVTETPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFVASMHSNSHKKVVEMRKKAKLPLSLSSIPPKMSFR >KJB56141 pep chromosome:Graimondii2_0_v6:9:7824280:7825204:-1 gene:B456_009G107900 transcript:KJB56141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNTRVCDGSSQLNQLSSTTSITPHSDCTDVKGSTWFQTSSPVSVLESSSPCSAANPTPIDPKLSFLVKKRGRSKRRPASTFNQQFIFSSISSTSSASRGTNYVVGSESESENNPTEKPAKKRQKKKKNLTLLSGCNETKKPPSLQPIVIMKCTHCEVTETPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFVASMHSNSHKKVVEMRKKAKLPLSLSSIPPKMSFR >KJB56140 pep chromosome:Graimondii2_0_v6:9:7823924:7827232:-1 gene:B456_009G107900 transcript:KJB56140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSWFDKGYNGVGPNDDFFDDVIKYLDLPLEDVEGPDGNGSIEDVNNFLLPVEENNDGGEDWDCDFENLEPPPTNVLASLSSGFYGDFFSDSLAQNLTDSCDGSSQLNQLSSTTSITPHSDCTDVKGSTWFQTSSPVSVLESSSPCSAANPTPIDPKLSFLVKKRGRSKRRPASTFNQQFIFSSISSTSSASRGTNYVVGSESESENNPTEKPAKKRQKKKKNLTLLSGCNETKKPPSLQPIVIMKCTHCEVTETPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFVASMHSNSHKKVVEMRKKAKLPLSLSSIPPKMSFR >KJB60620 pep chromosome:Graimondii2_0_v6:9:29771656:29778451:-1 gene:B456_009G315600 transcript:KJB60620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGMGGGPTSPAGGSHESGGEHSSPQSTVREQDRYLPIANISRIMKKALPSNGKIAKDAKDTVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKIYLARYREGDTKGSARGGDGSFKRDAAGALPAQNPQFSIQGSLNYINSQAQGQHMIIPSMQGNE >KJB60622 pep chromosome:Graimondii2_0_v6:9:29772263:29777659:-1 gene:B456_009G315600 transcript:KJB60622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGMGGGPTSPAGGSHESGGEHSSPQSTVREQDRYLPIANISRIMKKALPSNGKIAKDAKDTVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKIYLARYRELEGDTKGSARGGDGSFKRDAAGALPAQNPQFSIQGSLNYINSQAQGQHMIIPSMQGNE >KJB60621 pep chromosome:Graimondii2_0_v6:9:29771656:29778594:-1 gene:B456_009G315600 transcript:KJB60621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGMGGGPTSPAGGSHESGGEHSSPQSTVREQDRYLPIANISRIMKKALPSNGKIAKDAKDTVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKIYLARYRELEGDTKGSARGGDGSFKRDAAGALPAQNPQAQGQHMIIPSMQGNE >KJB62200 pep chromosome:Graimondii2_0_v6:9:60800791:60810906:-1 gene:B456_009G408300 transcript:KJB62200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQGQERPVIHIELQENQIFGANCIESERCSFGFAQESQTEGVVACSDSGSCTEVNGSFNNLMAASVWSESFSCGQNPAELGNVSSVDLSAIATAVSAASTSAAPVGINNHSGCGPSGLFPVHVNLSTQRNIRIAGNCTPRKNQNVIPPQNPYDYDFNLPAGPSEAFSQTSISDFAPITPDKARTAEMKEVPEIGKLYIVNITEKQDEQANELVPARLDVNVVQCSKELQMPVLKSSLTATPSKENQNSDNGGSHLAELEITTPQQKQRKRKHRPKVITEGKPRRPRKPATPKPDGSQETPTGKRKYVRKSTVKNDTSILLGVANAEKSTGKRKYVRRKGLNKDSTIPTQEGGKGATHPETLEHNKKPCRRALDFDTVGQEREESSACKPACNLNSSPGTENLGKEGSQSKSMVQLCGIIEVDAEKTQTGIAFELKQSVKEKPKDDLSLPEDQAPGTPVPTKNNPSHRRQNTHPQKLSNRRGKDKATGHDGLKRNERTTLDSDAQLPARSLIDSKCRTSSLLEGGQANKSAATQQEDTRIVNSYGSHYNNFCAYQMILGMQFPHIHRRKRTGKGQNSATSSASSSITAARSLVPAEACLVDKMEVNPHQLISSGVSTEHEAGRKFSLNKMQTFNYIMASNQTESSKKKRTRETTGIQDLASLNGIAQRKRHPEYRSSQPPVDYDMREVGNTDRPQTSMEALVTEMQAKLAKTKQTKKRNCLVSSACSSTNEAQMHKKLLRASPEEIWKQFFSVDALLEQFNQLDINREGSAIACQEQNALVPYNMIYQEHNALVVYRDGTIVPFVPTRKRRPRPKVDLDEETNRVWKLLLENINSEGIDGTDEEKAKWWEEERRVFSGRADSFIARMHLVQGDRRFSPWKGSVLDSVIGVFLTQNVSDHLSSSAFMSLAARFPIKSKSKDKLYHQEGTSLVNGEEFYVLEPEESIKWDAKTAIQPVGDQSSMTVDGYQDSEEKEVANSEELSGSSTATVSSINEPKCNLLNSSGSGLSKYCDSTANRLNMETIRGKTECFKGDEETNDVLSSQNSVVSSENSGDFSLVQTAERTGSCSEGNSEGADHTKRPIFNILNGSTSFVQLLQMVGSARLHEVQSHQNMSPNENSNVRTSQFQNHTRENCDNSDGPKSFTREDLMPSANYHPYLTLNSEVREIGHFETLKEETRVSEASKTIDESMIKRLSPLTQESASRTMDQNDKTRSVQVAQQSTFENFQSSTNTIPVEMTVSHCPKGLLQDTINLVESPAEAQNKEMLRHVSMSKHSEETLDITESSTAFDNQRTPQQKMQESNLYTHDSSSNKELNSMVGELKSEGRKVKKEKKDDFDWDSLRKQTEVNGRKREKTERTMDSLDWEAVRCAEVHEIAETIKERGMNNVLAQRIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKGKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENGTSDRNPAVIIDQLALPLPQSNELLDRNYQSEANQQLQAASTVNKCDPIIEEPASPEPECTQVAENDIEDMFSEDPDEIPTIKLNMEEFTQTLQNYMQNNIELQEGDMSKALVALTAEAASIPTPRLKNVNRLRTEHQVYELPDSHPLLNELDKREPDDPCKYLLAIWTPGETANSIQQPERRCNSQEHGKLCDDETCFSCNSIQEAESQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIAVPREWLWNLPRRMVYFGTSIPSIFKGLTTEGIQHCFWRGYVCVRGFDQKSRAPRPLMARLHFPASRLAKAKGKGAGEDE >KJB62198 pep chromosome:Graimondii2_0_v6:9:60800526:60811646:-1 gene:B456_009G408300 transcript:KJB62198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQGQERPVIHIELQENQIFGANCIESERCSFGFAQESQTEGVVACSDSGSCTEVNGSFNNLMAASVWSESFSCGQNPAELGNVSSVDLSAIATAVSAASTSAAPVGINNHSGCGPSGLFPVHVNLSTQRNIRIAGNCTPRKNQNVIPPQNPYDYDFNLPAGPSEAFSQTSISDFAPITPDKARTAEMKEVPEIGKLYIVNITEKQDEQANELVPARLDVNVVQCSKELQMPVLKSSLTATPSKENQNSDNGGSHLAELEITTPQQKQRKRKHRPKVITEGKPRRPRKPATPKPDGSQETPTGKRKYVRKSTVKNDTSILLGVANAEKSTGKRKYVRRKGLNKDSTIPTQEGGKGATHPETLEHNKKPCRRALDFDTVGQEREESSACKPACNLNSSPGTENLGKEGSQSKSMVQLCGIIEVDAEKTQTGIAFELKQSVKEKPKDDLSLPEDQAPGTPVPTKNNPSHRRQNTHPQKLSNRRGKDKATGHDGLKRNERTTLDSDAQLPARSLIDSKCRTSSLLEGGQANKSAATQQEDTRIVNSYGSHYNNFCAYQMILGMQFPHIHRRKRTGKGQNSATSSASSSITAARSLVPAEACLVDKMEVNPHQLISSGVSTEHEAGRKFSLNKMQTFNYIMASNQTESSKKKRTRETTGIQDLASLNGIAQRKRHPEYRSSQPPVDYDMREVGNTDRPQTSMEALVTEMQAKLAKTKQTKKRNCLVSSACSSTNEAQMHKKLLRASPEEIWKQFFSVDALLEQFNQLDINREGSAIACQEQNALVPYNMIYQEHNALVVYRDGTIVPFVPTRKRRPRPKVDLDEETNRVWKLLLENINSEGIDGTDEEKAKWWEEERRVFSGRADSFIARMHLVQGDRRFSPWKGSVLDSVIGVFLTQNVSDHLSSSAFMSLAARFPIKSKSKDKLYHQEGTSLVNGEEFYVLEPEESIKWDAKTAIQPVGDQSSMTVDGYQDSEEKEVANSEELSGSSTATVSSINEPKCNLLNSSGSGLSKYCDSTANRLNMETIRGKTECFKGDEETNDVLSSQNSVVSSENSGDFSLVQTAERTGSCSEGNSEGADHTKRPIFNILNGSTSFVQLLQMVGSARLHEVQSHQNMSPNENSNVRTSQFQNHTRENCDNSDGPKSFTREDLMPSANYHPYLTLNSEVREIGHFETLKEETRVSEASKTIDESMIKRLSPLTQESASRTMDQNDKTRSVQVAQQSTFENFQSSTNTIPVEMTVSHCPKGLLQDTINLVESPAEAQNKEMLRHVSMSKHSEETLDITESSTAFDNQRTPQQKMQESNLYTHDSSSNKELNSMVGELKSEGRKVKKEKKDDFDWDSLRKQTEVNGRKREKTERTMDSLDWEAVRCAEVHEIAETIKERGMNNVLAQRIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKGKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENGTSDRNPAVIIDQLALPLPQSNELLDRNYQSEANQQLQAASTVNKCDPIIEEPASPEPECTQVAENDIEDMFSEDPDEIPTIKLNMEEFTQTLQNYMQNNIELQEGDMSKALVALTAEAASIPTPRLKNVNRLRTEHQVYELPDSHPLLNELDKREPDDPCKYLLAIWTPGETANSIQQPERRCNSQEHGKLCDDETCFSCNSIQEAESQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIAVPREWLWNLPRRMVYFGTSIPSIFKGLTTEGIQHCFWRGYVCVRGFDQKSRAPRPLMARLHFPASRLAKAKGKGAGEDE >KJB62199 pep chromosome:Graimondii2_0_v6:9:60800421:60811417:-1 gene:B456_009G408300 transcript:KJB62199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQGQERPVIHIELQENQIFGANCIESERCSFGFAQESQTEGVVACSDSGSCTEVNGSFNNLMAASVWSESFSCGQNPAELGNVSSVDLSAIATAVSAASTSAAPVGINNHSGCGPSGLFPVHVNLSTQRNIRIAGNCTPRKNQNVIPPQNPYDYDFNLPAGPSEAFSQTSISDFAPITPDKARTAEMKEVPEIGKLYIVNITEKQDEQANELVPARLDVNVVQCSKELQMPVLKSSLTATPSKENQNSDNGGSHLAELEITTPQQKQRKRKHRPKVITEGKPRRPRKPATPKPDGSQETPTGKRKYVRKSTVKNDTSILLGVANAEKSTGKRKYVRRKGLNKDSTIPTQEGGKGATHPETLEHNKKPCRRALDFDTVGQEREESSACKPACNLNSSPGTENLGKEGSQSKSMVQLCGIIEVDAEKTQTGIAFELKQSVKEKPKDDLSLPEDQAPGTPVPTKNNPSHRRQNTHPQKLSNRRGKDKATGHDGLKRNERTTLDSDAQLPARSLIDSKCRTSSLLEGGQANKSAATQQEDTRIVNSYGSHYNNFCAYQMILGMQFPHIHRRKRTGKGQNSATSSASSSITAARSLVPAEACLVDKMEVNPHQLISSGVSTEHEAGRKFSLNKMQTFNYIMASNQTESSKKKRTRETTGIQDLASLNGIAQRKRHPEYRSSQPPVDYDMREVGNTDRPQTSMEALVTEMQAKLAKTKQTKKRNCLVSSACSSTNEAQMHKKLLRASPEEIWKQFFSVDALLEQFNQLDINREGSAIACQEQNALVPYNMIYQEHNALVVYRDGTIVPFVPTRKRRPRPKVDLDEETNRVWKLLLENINSEGIDGTDEEKAKWWEEERRVFSGRADSFIARMHLVQGDRRFSPWKGSVLDSVIGVFLTQNVSDHLSSSAFMSLAARFPIKSKSKDKLYHQEGTSLVNGEEFYVLEPEESIKWDAKTAIQPVGDQSSMTVDGYQDSEEKEVANSEELSGSSTATVSSINEPKCNLLNSSGSGLSKYCDSTANRLNMETIRGKTECFKGDEETNDVLSSQNSVVSSENSGDFSLVQTAERTGSCSEGNSEGADHTKRPIFNILNGSTSFVQLLQMVGSARLHEVQSHQNMSPNENSNVRTSQFQNHTRENCDNSDGPKSFTREDLMPSANYHPYLTLNSEVREIGHFETLKEETRVSEASKTIDESMIKRLSPLTQESASRTMDQNDKTRSVQVAQQSTFENFQSSTNTIPVEMTVSHCPKGLLQDTINLVESPAEAQNKEMLRHVSMSKHSEETLDITESSTAFDNQRTPQQKMQESNLYTHDSSSNKELNSMVGELKSEGRKVKKEKKDDFDWDSLRKQTEVNGRKREKTERTMDSLDWEAVRCAEVHEIAETIKERGMNNVLAQRIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKGKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENGTSDRNPAVIIDQLALPLPQSNELLDRNYQSEANQQLQAASTVNKCDPIIEEPASPEPECTQVAENDIEDMFSEDPDEIPTIKLNMEEFTQTLQNYMQNNIELQEGDMSKALVALTAEAASIPTPRLKNVNRLRTEHQVYELPDSHPLLNELDKREPDDPCKYLLAIWTPGETANSIQQPERRCNSQEHGKLCDDETCFSCNSIQEAESQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIAVPREWLWNLPRRMVYFGTSIPSIFKGLTTEGIQHCFWRGYVCVRGFDQKSRAPRPLMARLHFPASRLAKAKGKGAGEDE >KJB62197 pep chromosome:Graimondii2_0_v6:9:60800791:60810906:-1 gene:B456_009G408300 transcript:KJB62197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQGQERPVIHIELQENQIFGANCIESERCSFGFAQESQTEGVVACSDSGSCTEVNGSFNNLMAASVWSESFSCGQNPAELGNVSSVDLSAIATAVSAASTSAAPVGINNHSGCGPSGLFPVHVNLSTQRNIRIAGNCTPRKNQNVIPPQNPYDYDFNLPAGPSEAFSQTSISDFAPITPDKARTAEMKEVPEIGKLYIVNITEKQDEQANELVPARLDVNVVQCSKELQMPVLKSSLTATPSKENQNSDNGGSHLAELEITTPQQKQRKRKHRPKVITEGKPRRPRKPATPKPDGSQETPTGKRKYVRKSTVKNDTSILLGVANAEKSTGKRKYVRRKGLNKDSTIPTQEGGKGATHPETLEHNKKPCRRALDFDTVGQEREESSACKPACNLNSSPGTENLGKEGSQSKSMVQLCGIIEVDAEKTQTGIAFELKQSVKEKPKDDLSLPEDQAPGTPVPTKNNPSHRRQNTHPQKLSNRRGKDKATGHDGLKRNERTTLDSDAQLPARSLIDSKCRTSSLLEGGQANKSAATQQEDTRIVNSYGSHYNNFCAYQMILGMQFPHIHRRKRTGKGQNSATSSASSSITAARSLVPAEACLVDKMEVNPHQLISSGVSTEHEAGRKFSLNKMQTFNYIMASNQTESSKKKRTRETTGIQDLASLNGIAQRKRHPEYRSSQPPVDYDMREVGNTDRPQTSMEALVTEMQAKLAKTKQTKKRNCLVSSACSSTNEAQMHKKLLRASPEEIWKQFFSVDALLEQFNQLDINREGSAIACQEQNALVPYNMIYQEHNALVVYRDGTIVPFVPTRKRRPRPKVDLDEETNRVWKLLLENINSEGIDGTDEEKAKWWEEERRVFSGRADSFIARMHLVQGDRRFSPWKGSVLDSVIGVFLTQNVSDHLSSSAFMSLAARFPIKSKSKDKLYHQEGTSLVNGEEFYVLEPEESIKWDAKTAIQPVGDQSSMTVDGYQDSEEKEVANSEELSGSSTATVSSINEPKCNLLNSSGSGLSKYCDSTANRLNMETIRGKTECFKGDEETNDVLSSQNSVVSSENSGDFSLVQTAERTGSCSEGNSEGADHTKRPIFNILNGSTSFVQLLQMVGSARLHEVQSHQNMSPNENSNVRTSQFQNHTRENCDNSDGPKSFTREDLMPSANYHPYLTLNSEVREIGHFETLKEETRVSEASKTIDESMIKRLSPLTQESASRTMDQNDKTRSVQVAQQSTFENFQSSTNTIPVEMTVSHCPKGLLQDTINLVESPAEAQNKEMLRHVSMSKHSEETLDITESSTAFDNQRTPQQKMQESNLYTHDSSSNKELNSMVGELKSEGRKVKKEKKDDFDWDSLRKQTEVNGRKREKTERTMDSLDWEAVRCAEVHEIAETIKERGMNNVLAQRIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKGKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENGTSDRNPAVIIDQLALPLPQSNELLDRNYQSEANQQLQAASTVNKCDPIIEEPASPEPECTQVAENDIEDMFSEDPDEIPTIKLNMEEFTQTLQNYMQNNIELQEGDMSKALVALTAEAASIPTPRLKNVNRLRTEHQVYELPDSHPLLNELDKREPDDPCKYLLAIWTPGETANSIQQPERRCNSQEHGKLCDDETCFSCNSIQEAESQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIAVPREWLWNLPRRMVYFGTSIPSIFKGLTTEGIQHCFWRGYVCVRGFDQKSRAPRPLMARLHFPASRLAKAKGKGAGEDE >KJB62201 pep chromosome:Graimondii2_0_v6:9:60800421:60811651:-1 gene:B456_009G408300 transcript:KJB62201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQGQERPVIHIELQENQIFGANCIESERCSFGFAQESQTEGVVACSDSGSCTEVNGSFNNLMAASVWSESFSCGQNPAELGNVSSVDLSAIATAVSAASTSAAPVGINNHSGCGPSGLFPVHVNLSTQRNIRIAGNCTPRKNQNVIPPQNPYDYDFNLPAGPSEAFSQTSISDFAPITPDKARTAEMKEVPEIGKLYIVNITEKQDEQANELVPARLDVNVVQCSKELQMPVLKSSLTATPSKENQNSDNGGSHLAELEITTPQQKQRKRKHRPKVITEGKPRRPRKPATPKPDGSQETPTGKRKYVRKSTVKNDTSILLGVANAEKSTGKRKYVRRKGLNKDSTIPTQEGGKGATHPETLEHNKKPCRRALDFDTVGQEREESSACKPACNLNSSPGTENLGKEGSQSKSMVQLCGIIEVDAEKTQTGIAFELKQSVKEKPKDDLSLPEDQAPGTPVPTKNNPSHRRQNTHPQKLSNRRGKDKATGHDGLKRNERTTLDSDAQLPARSLIDSKCRTSSLLEGGQANKSAATQQEDTRIVNSYGSHYNNFCAYQMILGMQFPHIHRRKRTGKGQNSATSSASSSITAARSLVPAEACLVDKMEVNPHQLISSGVSTEHEAGRKFSLNKMQTFNYIMASNQTESSKKKRTRETTGIQDLASLNGIAQRKRHPEYRSSQPPVDYDMREVGNTDRPQTSMEALVTEMQAKLAKTKQTKKRNCLVSSACSSTNEAQMHKKLLRASPEEIWKQFFSVDALLEQFNQLDINREGSAIACQEQNALVPYNMIYQEHNALVVYRDGTIVPFVPTRKRRPRPKVDLDEETNRVWKLLLENINSEGIDGTDEEKAKWWEEERRVFSGRADSFIARMHLVQGDRRFSPWKGSVLDSVIGVFLTQNVSDHLSSSAFMSLAARFPIKSKSKDKLYHQEGTSLVNGEEFYVLEPEESIKWDAKTAIQPVGDQSSMTVDGYQDSEEKEVANSEELSGSSTATVSSINEPKCNLLNSSGSGLSKYCDSTANRLNMETIRGKTECFKGDEETNDVLSSQNSVVSSENSGDFSLVQTAERTGSCSEGNSEGADHTKRPIFNILNGSTSFVQLLQMVGSARLHEVQSHQNMSPNENSNVRTSQFQNHTRENCDNSDGPKSFTREDLMPSANYHPYLTLNSEVREIGHFETLKEETRVSEASKTIDESMIKRLSPLTQESASRTMDQNDKTRSVQVAQQSTFENFQSSTNTIPVEMTVSHCPKGLLQDTINLVESPAEAQNKEMLRHVSMSKHSEETLDITESSTAFDNQRTPQQKMQESNLYTHDSSSNKELNSMVGELKSEGRKVKKEKKDDFDWDSLRKQTEVNGRKREKTERTMDSLDWEAVRCAEVHEIAETIKERGMNNVLAQRIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKGKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENGTSDRNPAVIIDQLALPLPQSNELLDRNYQSEANQQLQAASTVNKCDPIIEEPASPEPECTQVAENDIEDMFSEDPDEIPTIKLNMEEFTQTLQNYMQNNIELQEGDMSKALVALTAEAASIPTPRLKNVNRLRTEHQVYELPDSHPLLNELDKREPDDPCKYLLAIWTPGETANSIQQPERRCNSQEHGKLCDDETCFSCNSIQEAESQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIAVPREWLWNLPRRMVYFGTSIPSIFKGLTTEGIQHCFWRGYVCVRGFDQKSRAPRPLMARLHFPASRLAKAKGKGAGEDE >KJB62202 pep chromosome:Graimondii2_0_v6:9:60801533:60811646:-1 gene:B456_009G408300 transcript:KJB62202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQGQERPVIHIELQENQIFGANCIESERCSFGFAQESQTEGVVACSDSGSCTEVNGSFNNLMAASVWSESFSCGQNPAELGNVSSVDLSAIATAVSAASTSAAPVGINNHSGCGPSGLFPVHVNLSTQRNIRIAGNCTPRKNQNVIPPQNPYDYDFNLPAGPSEAFSQTSISDFAPITPDKARTAEMKEVPEIGKLYIVNITEKQDEQANELVPARLDVNVVQCSKELQMPVLKSSLTATPSKENQNSDNGGSHLAELEITTPQQKQRKRKHRPKVITEGKPRRPRKPATPKPDGSQETPTGKRKYVRKSTVKNDTSILLGVANAEKSTGKRKYVRRKGLNKDSTIPTQEGGKGATHPETLEHNKKPCRRALDFDTVGQEREESSACKPACNLNSSPGTENLGKEGSQSKSMVQLCGIIEVDAEKTQTGIAFELKQSVKEKPKDDLSLPEDQAPGTPVPTKNNPSHRRQNTHPQKLSNRRGKDKATGHDGLKRNERTTLDSDAQLPARSLIDSKCRTSSLLEGGQANKSAATQQEDTRIVNSYGSHYNNFCAYQMILGMQFPHIHRRKRTGKGQNSATSSASSSITAARSLVPAEACLVDKMEVNPHQLISSGVSTEHEAGRKFSLNKMQTFNYIMASNQTESSKKKRTRETTGIQDLASLNGIAQRKRHPEYRSSQPPVDYDMREVGNTDRPQTSMEALVTEMQAKLAKTKQTKKRNCLVSSACSSTNEAQMHKKLLRASPEEIWKQFFSVDALLEQFNQLDINREGSAIACQEQNALVPYNMIYQEHNALVVYRDGTIVPFVPTRKRRPRPKVDLDEETNRVWKLLLENINSEGIDGTDEEKAKWWEEERRVFSGRADSFIARMHLVQGDRRFSPWKGSVLDSVIGVFLTQNVSDHLSSSAFMSLAARFPIKSKSKDKLYHQEGTSLVNGEEFYVLEPEESIKWDAKTAIQPVGDQSSMTVDGYQDSEEKEVANSEELSGSSTATVSSINEPKCNLLNSSGSGLSKYCDSTANRLNMETIRGKTECFKGDEETNDVLSSQNSVVSSENSGDFSLVQTAERTGSCSEGNSEGADHTKRPIFNILNGSTSFVQLLQMVGSARLHEVQSHQNMSPNENSNVRTSQFQNHTRENCDNSDGPKSFTREDLMPSANYHPYLTLNSEVREIGHFETLKEETRVSEASKTIDESMIKRLSPLTQESASRTMDQNDKTRSVQVAQQSTFENFQSSTNTIPVEMTVSHCPKGLLQDTINLVESPAEAQNKEMLRHVSMSKHSEETLDITESSTAFDNQRTPQQKMQESNLYTHDSSSNKELNSMVGELKSEGRKVKKEKKDDFDWDSLRKQTEVNGRKREKTERTMDSLDWEAVRCAEVHEIAETIKERGMNNVLAQRIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKGKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENGTSDRNPAVIIDQLALPLPQSNELLDRNYQSEANQQLQAASTVNKCDPIIEEPASPEPECTQVAENDIEDMFSEDPDEIPTIKLNMEEFTQTLQNYMQNNIELQEGDMSKALVALTAEAASIPTPRLKNVNRLRTEHQVYELPDSHPLLNELDKREPDDPCKYLLAIWTPGETANSIQQPERRCNSQEHGKLCDDETCFSCNSIQEAESQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIAVPREWLWNLPRRMVYFGTSIPSIFKGLTTEGIQHCFWRGENYQPF >KJB57322 pep chromosome:Graimondii2_0_v6:9:12101858:12109785:1 gene:B456_009G157800 transcript:KJB57322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MEVHISGTETETEWHPKKGNYLEGFDEQKLKEIIAEGELDFDGWTKLISEVENFFHDEIENICLVYDSFLSEFPLCYGYWRRYADHMMRLCTTDKAVDVFERAVQSATYSIDVWVDYCGFSVSVFEDDNDIRRLFKRAMSYVGKDYLCHTLWDKYVEFEFSREQWSSLANVYIQTLRFPSKKLHHYYESFQKLVATWKEEMQCPNHMDLLSDPRVENEVSSCHTDAEISCIIKELLDASTGMDGTEALAKYLSIGKQFYREASELDEKIHHFEAGIRRRYFHVKELDISQLDNWHEYLNFVEMHGDFDWVWYINVVLFFIYKGGREIANFALARAAEVFLKRMPVIHLFTARFKEKIRDVSGAHIALLHYEKESDLSFVETVSIKANMEKRLGNFVAASNTYKEAMEIAAVKQKFDILPILYINFSRLQYMITSNSDAARDILIDGIKCLPHCKLLLEELIKFGMMHGGPRDIHVLDAIINDVVSLRPSQGMDAKEAEEISSLYLQFVDLCGTIDDIRKAWNRHIKCFPESARGSTYKFSVINGIKSLPLKITACRRQGSPDPLPSHPSGDRSLDIPVQSPSRDNILKPLENDDAQPNHAALDCVPDTISPFLEDHEIPLYQATVNKLQSGEVDESLQGGRQHSSEEVSNKLQSGEDINTTTNMSSHNLIQDEMRNGVEALETSEENSKENKFRQELEHKPEHDVNELPLERLSLGQLDRESLDSISFANQEGETFVETSLPNESMVEKEPPQETSKLNGIMPEVAQSNDGYNLESSPRSAQASDSAGIQTEMSSPSSLASQQNIKKTEPLVRRTPPDDVGSWHQRSNADRVHRENKFGHRRHSHKRQHQRQQMSPKRQHSRSETGTQVPMSQGYPSQSMYLQSPQVQQGGQSQSQYSTSAAHPNLAAVHNWSMQDVHQQNFAPSQTPPAPVPGYPQTQISQNPMQSNEQLGQMQTNQAYNHMWQYYYYQQQQQQFLLQQQQQPLPQQQLVQQQYQQHPQLLQVQQQYLQQQQLPYQQPQLLQQQQFIQQQQYLQQQQQLQPQGSYQQQFPPPNPHPYRQQQQEQEKRQQEGQITASQVQTQSELSKEESMMEPRRQTTLQVQDPLPCRNDASETVLSTISPNSQQG >KJB57323 pep chromosome:Graimondii2_0_v6:9:12101869:12109398:1 gene:B456_009G157800 transcript:KJB57323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MEVHISGTETETEWHPKKGNYLEGFDEQKLKEIIAEGELDFDGWTKLISEVENFFHDEIENICLVYDSFLSEFPLCYGYWRRYADHMMRLCTTDKAVDVFERAVQSATYSIDVWVDYCGFSVSVFEDDNDIRRLFKRAMSYVGKDYLCHTLWDKYVEFEFSREQWSSLANVYIQTLRFPSKKLHHYYESFQKLVATWKEEMQCPNHMDLLSDPRVENEVSSCHTDAEISCIIKELLDASTGMDGTEALAKYLSIGKQFYREASELDEKIHHFEAGIRRRYFHVKELDISQLDNWHEYLNFVEMHGDFDWAVKLYERCLIPCANYPEFWMRYVDFMESKGGREIANFALARAAEVFLKRMPVIHLFTARFKEKIRDVSGAHIALLHYEKESDLSFVETVSIKANMEKRLGNFVAASNTYKEAMEIAAVKQKFDILPILYINFSRLQYMITSNSDAARDILIDGIKCLPHCKLLLEELIKFGMMHGGPRDIHVLDAIINDVVSLRPSQGMDAKEAEEISSLYLQFVDLCGTIDDIRKAWNRHIKCFPESARGSTYKFSVINGIKSLPLKITACRRQGSPDPLPSHPSGDRSLDIPVQSPSRDNILKPLENDDAQPNHAALDCVPDTISPFLEDHEIPLYQATVNKLQSGEVDESLQGGRQHSSEEVSNKLQSGEDINTTTNMSSHNLIQDEMRNGVEALETSEENSKENKFRQELEHKPEHDVNELPLERLSLGQLDRESLDSISFANQEGETFVETSLPNESMVEKEPPQETSKLNGIMPEVAQSNDGYNLESSPRSAQASDSAGIQTEMSSPSSLASQQNIKKTEPLVRRTPPDDVGSWHQRSNADRVHRENKFGHRRHSHKRQHQRQQMSPKRQHSRSETGTQVPMSQGYPSQSMYLQSPQVQQGGQSQRCAPTEFCPFSDSTCSCTWLSSNADLSKSHAKQ >KJB57321 pep chromosome:Graimondii2_0_v6:9:12101851:12109435:1 gene:B456_009G157800 transcript:KJB57321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MEVHISGTETETEWHPKKGNYLEGFDEQKLKEIIAEGELDFDGWTKLISEVENFFHDEIENICLVYDSFLSEFPLCYGYWRRYADHMMRLCTTDKAVDVFERAVQSATYSIDVWVDYCGFSVSVFEDDNDIRRLFKRAMSYVGKDYLCHTLWDKYVEFEFSREQWSSLANVYIQTLRFPSKKLHHYYESFQKLVATWKEEMQCPNHMDLLSDPRVENEVSSCHTDAEISCIIKELLDASTGMDGTEALAKYLSIGKQFYREASELDEKIHHFEAGIRRRYFHVKELDISQLDNWHEYLNFVEMHGDFDWAVKLYERCLIPCANYPEFWMRYVDFMESKGGREIANFALARAAEVFLKRMPVIHLFTARFKEKIRDVSGAHIALLHYEKESDLSFVETVSIKANMEKRLGNFVAASNTYKEAMEIAAVKQKFDILPILYINFSRLQYMITSNSDAARDILIDGIKCLPHCKLLLEELIKFGMMHGGPRDIHVLDAIINDVVSLRPSQGMDAKEAEEISSLYLQFVDLCGTIDDIRKAWNRHIKCFPESARGSTYKFSVINGIKSLPLKITACRRQGSPDPLPSHPSGDRSLDIPVQSPSRDNILKPLENDDAQPNHAALDCVPDTISPFLEDHEIPLYQATVNKLQSGEVDESLQGGRQHSSEEVSNKLQSGEDINTTTNMSSHNLIQDEMRNGVEALETSEENSKENKFRQELEHKPEHDVNELPLERLSLGQLDRESLDSISFANQEGETFVETSLPNESMVEKEPPQETSKLNGIMPEVAQSNDGYNLESSPRSAQASDSAGIQTEMSSPSSLASQQNIKKTEPLVRRTPPDDVGSWHQRSNADRVHRENKFGHRRHSHKRQHQRQQMSPKRQHSRSETGTQVPMSQGYPSQSMYLQSPQVQQGGQSQSQYSTSAAHPNLAAVHNWSMQDVHQQNFAPSQTPPAPVPGYPQTQISQNPMQSNEQLGQMQTNQAYNHMWQYYYYQQQQQQFLLQQQQQPLPQQQLVQQQYQQHPQLLQVQQQYLQQQQLPYQQPQLLQQQQFIQQQQYLQQQQQLQPQGSYQQQFPPPNPHPYRQQQQEQEKRQQEGQITASQVQTQSELSKEESMMEPRRQTTLQVQDPLPCRNDASETVLSTISPNSQQG >KJB57324 pep chromosome:Graimondii2_0_v6:9:12103087:12109483:1 gene:B456_009G157800 transcript:KJB57324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MYYAPALLCSLGSLIFLLYDMSMIYVIFLEIIDRLFKRAMSYVGKDYLCHTLWDKYVEFEFSREQWSSLANVYIQTLRFPSKKLHHYYESFQKLVATWKEEMQCPNHMDLLSDPRVENEVSSCHTDAEISCIIKELLDASTGMDGTEALAKYLSIGKQFYREASELDEKIHHFEAGIRRRYFHVKELDISQLDNWHEYLNFVEMHGDFDWAVKLYERCLIPCANYPEFWMRYVDFMESKGGREIANFALARAAEVFLKRMPVIHLFTARFKEKIRDVSGAHIALLHYEKESDLSFVETVSIKANMEKRLGNFVAASNTYKEAMEIAAVKQKFDILPILYINFSRLQYMITSNSDAARDILIDGIKCLPHCKLLLEELIKFGMMHGGPRDIHVLDAIINDVVSLRPSQGMDAKEAEEISSLYLQFVDLCGTIDDIRKAWNRHIKCFPESARGSTYKFSVINGIKSLPLKITACRRQGSPDPLPSHPSGDRSLDIPVQSPSRDNILKPLENDDAQPNHAALDCVPDTISPFLEDHEIPLYQATVNKLQSGEVDESLQGGRQHSSEEVSNKLQSGEDINTTTNMSSHNLIQDEMRNGVEALETSEENSKENKFRQELEHKPEHDVNELPLERLSLGQLDRESLDSISFANQEGETFVETSLPNESMVEKEPPQETSKLNGIMPEVAQSNDGYNLESSPRSAQASDSAGIQTEMSSPSSLASQQNIKKTEPLVRRTPPDDVGSWHQRSNADRVHRENKFGHRRHSHKRQHQRQQMSPKRQHSRSETGTQVPMSQGYPSQSMYLQSPQVQQGGQSQSQYSTSAAHPNLAAVHNWSMQDVHQQNFAPSQTPPAPVPGYPQTQISQNPMQSNEQLGQMQTNQAYNHMWQYYYYQQQQQQFLLQQQQQPLPQQQLVQQQYQQHPQLLQVQQQYLQQQQLPYQQPQLLQQQQFIQQQQYLQQQQQLQPQGSYQQQFPPPNPHPYRQQQQEQEKRQQEGQITASQVQTQSELSKEESMMEPRRQTTLQVQDPLPCRNDASETVLSTISPNSQQG >KJB54121 pep chromosome:Graimondii2_0_v6:9:1644423:1647083:1 gene:B456_009G021700 transcript:KJB54121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYDSATLGGSCSGSSSSSSSSKPPPLQQRQQTQQDIDGLLAGAGYRVRSSELRKVAQRLERLETAMVNSPADLSQLASDTIHYNPSDLASWVDSLLSEFTQPPTCPSEFIMDPETNQTVVSDAWTTAEPHMPQVHQNISYEQQSLNNQLTVVTAMEEDSGIRLVHMLMTCAECVQRGDFSLATSCLEDMQGLLTRVNTVCGIGKVAGHFIDALSRRIFQGIGGGTINGGSAYENEILYHHFYEACPYLKFAHFTANQAILEAFDGHDCVHVVDFNLMHGLQWPALIQALALRPGGPPLLRLTGIGPPSPDGRDSLREIGLRLAELARSVNVRFAFRGVAASRLEDVKPWMLQVNPKESVAVNSIMQLHRLLGSDLNRNSPIETVLSWIRSLNPKIMTVVEQEANHNQPGFLDRFTEALHYYSTMFDSLEACTVQPHKALAEIYIQREIANVVSCEGSARVERHEPLSKWRTRLSGAGFRPLHLGSNAYKQASMLLTLFSAEGYSVEENDGCLTLGWHSRPLIAASAWQAVSDGTADFPA >KJB55348 pep chromosome:Graimondii2_0_v6:9:5119799:5124046:1 gene:B456_009G072000 transcript:KJB55348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 4 [Source:Projected from Arabidopsis thaliana (AT1G76320) UniProtKB/Swiss-Prot;Acc:Q6NQJ7] MDSSIGTSDMILASHRELEFESHEAAYSYYKDYAKSVGFGTAKLSSRRSRVSKEFIDAKFTCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRQDGKWYVYSFIKEHNHELLPAQAHFFRSHRNVDPLKTDVGRKRKSLASVSKLFSAYQNIDFLEGYMRNQHDKGRSLVLEEGDAQVLLELLMHMQEENPKFFYAVDLNEEHRLRNVFWVDAKGMEDFSNFGDVVSFDTTYFTNKYKIPLVLFIGVNHHIQPTLLGCALIADETVYTFLWLMQTWFIAMGERAPQVMLTDQNNAIKAAVAAVFPTTRHCFCLWHVLEKLPRHLEYLSLWHDSLMLKLDKCIYRSWTEDQFEKRWWKMVDKFHLREMQWVLSLYEDRKRWVPVFMRDISFAGLSTALRSDSLSSSFDKYVHGETSLREFIEHYRVILEDRYEEEAKADFNAWHETPELKSPSPFEKQISLVYTHEVFKKFQVEVLGAAACHLKKENEDQVPATYSVKDFEDNQNYMVEWNEAKSDIYCSCRSFEYKGYLCRHAIVVLQMSGVFNIPSKYILQRWTNAALSRLPISQKLDEVQSKVRRYNDLCRRAIILSEEGSLSQESYNLALSAIKEALKQCASVNNSVENEYRPNTSMIRADSGVERVSQCVNNQEKAADPRMTNTTKTCQSVETALERQINENNATRKGKQVSLAGAVNVGSQDGFHQMEMSDMRPTHLHNVMPPTQLHNMVPTMFTNVASTHFQNVAATHLHDNRLHR >KJB55347 pep chromosome:Graimondii2_0_v6:9:5119799:5124046:1 gene:B456_009G072000 transcript:KJB55347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 4 [Source:Projected from Arabidopsis thaliana (AT1G76320) UniProtKB/Swiss-Prot;Acc:Q6NQJ7] MDSSIGTSDMILASHRELEFESHEAAYSYYKDYAKSVGFGTAKLSSRRSRVSKEFIDAKFTCIRYGNKQQSDDAINPRPSPKIGCKATHFFRSHRNVDPLKTDVGRKRKSLASVSKLFSAYQNIDFLEGYMRNQHDKGRSLVLEEGDAQVLLELLMHMQEENPKFFYAVDLNEEHRLRNVFWVDAKGMEDFSNFGDVVSFDTTYFTNKYKIPLVLFIGVNHHIQPTLLGCALIADETVYTFLWLMQTWFIAMGERAPQVMLTDQNNAIKAAVAAVFPTTRHCFCLWHVLEKLPRHLEYLSLWHDSLMLKLDKCIYRSWTEDQFEKRWWKMVDKFHLREMQWVLSLYEDRKRWVPVFMRDISFAGLSTALRSDSLSSSFDKYVHGETSLREFIEHYRVILEDRYEEEAKADFNAWHETPELKSPSPFEKQISLVYTHEVFKKFQVEVLGAAACHLKKENEDQVPATYSVKDFEDNQNYMVEWNEAKSDIYCSCRSFEYKGYLCRHAIVVLQMSGVFNIPSKYILQRWTNAALSRLPISQKLDEVQSKVRRYNDLCRRAIILSEEGSLSQESYNLALSAIKEALKQCASVNNSVENEYRPNTSMIRADSGVERVSQCVNNQEKAADPRMTNTTKTCQSVETALERQINENNATRKGKVSLAGAVNVGSQDGFHQMEMSDMRPTHLHNVMPPTQLHNMVPTMFTNVASTHFQNVAATHLHDNRLHR >KJB55345 pep chromosome:Graimondii2_0_v6:9:5119717:5124281:1 gene:B456_009G072000 transcript:KJB55345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 4 [Source:Projected from Arabidopsis thaliana (AT1G76320) UniProtKB/Swiss-Prot;Acc:Q6NQJ7] MDSSIGTSDMILASHRELEFESHEAAYSYYKDYAKSVGFGTAKLSSRRSRVSKEFIDAKFTCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRQDGKWYVYSFIKEHNHELLPAQAHFFRSHRNVDPLKTDVGRKRKSLASVSKLFSAYQNIDFLEGYMRNQHDKGRSLVLEEGDAQVLLELLMHMQEENPKFFYAVDLNEEHRLRNVFWVDAKGMEDFSNFGDVVSFDTTYFTNKYKIPLVLFIGVNHHIQPTLLGCALIADETVYTFLWLMQTWFIAMGERAPQVMLTDQNNAIKAAVAAVFPTTRHCFCLWHVLEKLPRHLEYLSLWHDSLMLKLDKCIYRSWTEDQFEKRWWKMVDKFHLREMQWVLSLYEDRKRWVPVFMRDISFAGLSTALRSDSLSSSFDKYVHGETSLREFIEHYRVILEDRYEEEAKADFNAWHETPELKSPSPFEKQISLVYTHEVFKKFQVEVLGAAACHLKKENEDQVPATYSVKDFEDNQNYMVEWNEAKSDIYCSCRSFEYKGYLCRHAIVVLQMSGVFNIPSKYILQRWTNAALSRLPISQKLDEVQSKVRRYNDLCRRAIILSEEGSLSQESYNLALSAIKEALKQCASVNNSVENEYRPNTSMIRADSGVERVSQCVNNQEKAADPRMTNTTKTCQSVETALERQINENNATRKGKVSLAGAVNVGSQDGFHQMEMSDMRPTHLHNVMPPTQLHNMVPTMFTNVASTHFQNVAATHLHDNRLHR >KJB55346 pep chromosome:Graimondii2_0_v6:9:5119799:5122932:1 gene:B456_009G072000 transcript:KJB55346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 4 [Source:Projected from Arabidopsis thaliana (AT1G76320) UniProtKB/Swiss-Prot;Acc:Q6NQJ7] MDSSIGTSDMILASHRELEFESHEAAYSYYKDYAKSVGFGTAKLSSRRSRVSKEFIDAKFTCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRQDGKWYVYSFIKEHNHELLPAQAHFFRSHRNVDPLKTDVGRKRKSLASVSKLFSAYQNIDFLEGYMRNQHDKGRSLVLEEGDAQVLLELLMHMQEENPKFFYAVDLNEEHRLRNVFWVDAKGMEDFSNFGDVVSFDTTYFTNKYKIPLVLFIGVNHHIQPTLLGCALIADETVYTFLWLMQTWFIAMGERAPQVMLTDQNNAIKAAVAAVFPTTRHCFCLWHVLEKLPRHLEYLSLWHDSLMLKLDKCIYRSWTEDQFEKRWWKMVDKFHLREMQWVLSLYEDRKRWVPVFMRDISFAGLSTALRSDSLSSSFDKYVHGETSLREFIEHYRVILEDRYEEEAKADFNAWHETPELKSPSPFEKQISLVYTHEVFKKFQVEVLGAAACHLKKENEDQVPATYSVKDFEDNQNYMVEWNEAKSDIYCSCRSFEYKGYLCRHAIVVLQMSGVFNIPSKYILQRWTNAALSRLPISQKLDEVQSKVRRYNDLCRRAIILSEEGSLSQESYNLALSAIKEALKQCASVNNSVENEYRPNTSMIRADSGVERVSQCVNNQEKAADPRMTNTTKTCQSVETALERQINENNATRKGKVSLAGAVNVGSQDGFHQMVCCTFIHSPLSLLNGDNMVLFSCILMSRNASTCVTEDFKLIFLTFSGNYL >KJB57946 pep chromosome:Graimondii2_0_v6:9:14351259:14353181:-1 gene:B456_009G186600 transcript:KJB57946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVRRLPGWYLSFSSGRYRLPHFSSLLSVFRFSTINGIPDSSINDPSFHQTHNRCNVDERRVLTELSDLFQLSHINTTIPLYPYKESYPVKQIESRDVDEFLLPEEKLRGIFLQKLRGRTAIESALSNVSVELSIDLIAKVVDRGNLGGKAMVLFFNWAIKQPGIPRDAHSYYIIIRALGRRKFFEFMIQVLHDMVKDGVKPNLETVSAVMDSFIRARRVHKALDMFENLEEFGLTPDTESLNVLLLCLCRRTHVGAANSLFNAVGGKIKFNCVTYNIMVSGWSKLGRVSEMERTVKAMIADGFTPDCSTFSYLIEGLGRAGQIDDAVEIFDHMKEKGCIPDTRVYNAMISNFISTQNFDECKKYYKALLESNFDPDLHTYTKLISAFLKSQQVADALEIFEEMLVQGIVPPTGSLTSFIEPLCSYGPPHAAMMIYKKARKFGCQISLNAYKLLLKRLSRFGKSGMLLNLWDEMQESGHTSDMEVYEYVINGLCNIGHLENAVHVMEEALRKGFCPSKIVCSKLNNKLLAANDVDKAYKLFLKIKDARRNENAQRYWRSNGWHF >KJB57353 pep chromosome:Graimondii2_0_v6:9:12202920:12210028:-1 gene:B456_009G159400 transcript:KJB57353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVAQCPSTMKILFGYIIVTVLLVLICMEPNQVEAQVEPPYPPRYEVKALREIAAELGKKDWNFSENPCNNKSSWFTPPPLHGSRAVNNSTVTCNCSFTNGECHIDGIYLVGQDLDGVLPRSLGKLSYIKTLHLYMNYLKGTIPHEWAALKLETVTLAMNRLSGPIPSYLGNITTLKYLSIENNLFSGTIPPEFGRLVNLENLTLNANYLTGKFPSSLANLSNLKELRISSNNFTGKMPDIFRSWKQLEKLEIQASGFEGPIPSSLALLHNLVELRISDLPGEGSKFPNLKNMNNMYRLMLGGCNISGPIPDYVWEFSRLQILDLSFNNLEGSVSDSESLTKTQYMYLTKNSLTGRIPDWMSIRDSRYQIDLSYNNFSESLQSPSCRENLNLFQSFAGGKDLGSDNCLKNFPCSKDWYSVNINCGGGATTINGVDYEADEDFGGRAKYVPLKETWETSSTGLFWDTTPTSMDFIAQNVSVLRTNNSELYTRARLSPLSLTYYFRCLANGNYTVTLHFAEIVIRDNRSYQSLGRRIFDVYVQEKLELKDLNIKNEAKGVDKAVTRKFKTVVRNKTLTIRFHWAGKGTTAIPRRGTYGPLISAISVDSDIKPPVLSGRNKNMKFIVGAVVSVLCLIFIILGILRWKGYFRCKPSREQVLRGLDLQTGFFTFKQMKAATNKFDAANKIGEGGFGAVYKGVLLDGTIIAVKKLSSKSRQGDREFLNELGMISGLQHLNVVRLYGCCVEGTQLLLVYEFMENNSLAHALFSPTESQLKLDWPTRQKICLGIAKGLTFLHEESSLKVVHRDIKTTNVLLDSDLNAKISDFGLAKFDEEENTHISTRIAGTIGYMAPEYALWGYLTYKADVYSFGIVALEIVAGKNNTKYRPEENYVCLQDWALVLQQKGNLMELVDPRLGTEFNEEEAIRMAKVALLCTNSSPALRPTMSEVVNMLEGRTLVPELILDPSIFADESRFGAVKDQFNRMQSRSSKTTTITQSSDSTSTVWLGSSSTLVEHQ >KJB57354 pep chromosome:Graimondii2_0_v6:9:12202779:12210110:-1 gene:B456_009G159400 transcript:KJB57354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVAQCPSTMKILFGYIIVTVLLVLICMEPNQVEAQVEPPYPPRYEVKALREIAAELGKKDWNFSENPCNNKSSWFTPPPLHGSRAVNNSTVTCNCSFTNGECHIDGIYLVGQDLDGVLPRSLGKLSYIKTLHLYMNYLKGTIPHEWAALKLETVTLAMNRLSGPIPSYLGNITTLKYLSIENNLFSGTIPPEFGRLVNLENLTLNANYLTGKFPSSLANLSNLKELRISDLPGEGSKFPNLKNMNNMYRLMLGGCNISGPIPDYVWEFSRLQILDLSFNNLEGSVSDSESLTKTQYMYLTKNSLTGRIPDWMSIRDSRYQIDLSYNNFSESLQSPSCRENLNLFQSFAGGKDLGSDNCLKNFPCSKDWYSVNINCGGGATTINGVDYEADEDFGGRAKYVPLKETWETSSTGLFWDTTPTSMDFIAQNVSVLRTNNSELYTRARLSPLSLTYYFRCLANGNYTVTLHFAEIVIRDNRSYQSLGRRIFDVYVQEKLELKDLNIKNEAKGVDKAVTRKFKTVVRNKTLTIRFHWAGKGTTAIPRRGTYGPLISAISVDSDIKPPVLSGRNKNMKFIVGAVVSVLCLIFIILGILRWKGYFRCKPSREQVLRGLDLQTGFFTFKQMKAATNKFDAANKIGEGGFGAVYKGVLLDGTIIAVKKLSSKSRQGDREFLNELGMISGLQHLNVVRLYGCCVEGTQLLLVYEFMENNSLAHALFSPTESQLKLDWPTRQKICLGIAKGLTFLHEESSLKVVHRDIKTTNVLLDSDLNAKISDFGLAKFDEEENTHISTRIAGTIGYMAPEYALWGYLTYKADVYSFGIVALEIVAGKNNTKYRPEENYVCLQDWALVLQQKGNLMELVDPRLGTEFNEEEAIRMAKVALLCTNSSPALRPTMSEVVNMLEGRTLVPELILDPSIFADESRFGAVKDQFNRMQSRSSKTTTITQSSDSTSTVWLGSSSTLVEHQ >KJB54905 pep chromosome:Graimondii2_0_v6:9:3909296:3911668:1 gene:B456_009G054000 transcript:KJB54905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAFLHAPTLSFLCSSSSSPQPQPKPRPCLSSMASSSLVRIAVVGDVHDDWDLEEDANALQFLKPDLVLFTGDFGNENLELVQDVAALNFPKAVILGNHDSWSTQQFSSKKKDRVQLQLECLGQEHVGYKRLDFPLLKLSIVGGRPFSCGGQQIFRKRLLSARYGIQDMEGSAKRIYEAALGTPEDHLVILLAHNGPTGVWTCQFNHYLRPIGFSYKSIRRYFLQVLAVN >KJB54903 pep chromosome:Graimondii2_0_v6:9:3909368:3912766:1 gene:B456_009G054000 transcript:KJB54903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTLKKMQTPFNFLSRIWCSSQAGSSFLFIQPMCAMIIHFWSLPSNIFPDPIGDFGNENLELVQDVAALNFPKAVILGNHDSWSTQQFSSKKKDRVQLQLECLGQEHVGYKRLDFPLLKLSIVGGRPFSCGGQQIFRKRLLSARYGIQDMEGSAKRIYEAALGTPEDHLVILLAHNGPTGLGSELNDICGKDWVFGGGDHGDPDLAQAISNLKETTNVSIPLVVFGHMHKELAHGNGLRKMIVVGTHNIIYLNGAIVPRVKRSTNETSLQASNSDGTYRAFTLVEILNGQVNKISESWVSVVGNETTLEEEHILFKSNGQSSR >KJB54908 pep chromosome:Graimondii2_0_v6:9:3909233:3912766:1 gene:B456_009G054000 transcript:KJB54908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAFLHAPTLSFLCSSSSSPQPQPKPRPCLSSMASSSLVRIAVVGDVHDDWDLEEDANALQFLKPDLVLFTGDFGNENLELVQDVAALNFPKAVILGNHDSWSTQQFSSKKKDRVQLQLEWYGIQDMEGSAKRIYEAALGTPEDHLVILLAHNGPTGLGSELNDICGKDWVFGGGDHGDPDLAQAISNLKETTNVSIPLVVFGHMHKELAHGNGLRKMIVVGTHNIIYLNGAIVPRVKRSTNETSLQASNSDGTYRAFTLVEILNGQVNKISESWVSVVGNETTLEEEHILFKSNGQSSR >KJB54912 pep chromosome:Graimondii2_0_v6:9:3909368:3912766:1 gene:B456_009G054000 transcript:KJB54912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLVRIAVVGDVVSCRTMIGTLKKMQTPFNFLSVIWISKTYLIRHFVEMQPDLVLFTGDFGNENLELVQDVAALNFPKAVILGNHDSWSTQQFSSKKKDRVQLQLECLGQEHVGYKRLDFPLLKLSIVGGRPFSCGGQQIFRKRLLSARYGIQDMEGSAKRIYEAALGTPEDHLVILLAHNGPTGLGSELNDICGKDWVFGGGDHGDPDLAQAISNLKETTNVSIPLVVFGHMHKELAHGNGLRKMIVVGTHNIIYLNGAIVPRVKRSTNETSLQASNSDGTYRAFTLVEILNGQVNKISESWVSVVGNETTLEEEHILFKSNGQSSR >KJB54917 pep chromosome:Graimondii2_0_v6:9:3910646:3912766:1 gene:B456_009G054000 transcript:KJB54917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSHYSLGQEHVGYKRLDFPLLKLSIVGGRPFSCGGQQIFRKRLLSARYGIQDMEGSAKRIYEAALGTPEDHLVILLAHNGPTGLGSELNDICGKDWVFGGGDHGDPDLAQAISNLKETTNVSIPLVVFGHMHKELAHGNGLRKMIVVGTHNIIYLNGAIVPRVKRSTNETSLQASNSDGTYRAFTLVEILNGQVNKISESWVSVVGNETTLEEEHILFKSNGQSSR >KJB54911 pep chromosome:Graimondii2_0_v6:9:3909296:3911668:1 gene:B456_009G054000 transcript:KJB54911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAFLHAPTLSFLCSSSSSPQPQPKPRPCLSSMASSSLVRIAVVGDVHDDWDLEEDANALQFLKPDLVLFTGDFGNENLELVQDVAALNFPKAVILGNHDSWSTQQFSSKKKDRVQLQLECLGQEHVGYKRLDFPLLKLSIVGGRPFSCGGQQIFRKRLLSARYGIQDMEGSAKRIYEAALGTPEDHLVILLAHNGPTGVLAVN >KJB54910 pep chromosome:Graimondii2_0_v6:9:3909233:3912766:1 gene:B456_009G054000 transcript:KJB54910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAFLHAPTLSFLCSSSSSPQPQPKPRPCLSSMASSSLVRIAVVGDVHDDWDLEEDANALQFLKPDLVLFTGDFGNENLELVQDVAALNFPKAVILGNHDSWSTQQFSSKKKDRVQLQLECLGQEHVGYKRLDFPLLKLSIVGGRPFSCGGQQIFRKRLLSARYGIQDMEGSAKRIYEAALGTPEDHLVILLAHNGPTGLGSELNDICGKDWVFGGGDHGDPDRWNNMKLKTRYLAQAISNLKETTNVSIPLVVFGHMHKELAHGNGLRKMIVVGTHNIIYLNGAIVPRVKRSTNETSLQASNSDGTYRAFTLVEILNGQVNKISESWVSVVGNETTLEEEHILFKSNGQSSR >KJB54904 pep chromosome:Graimondii2_0_v6:9:3909233:3911289:1 gene:B456_009G054000 transcript:KJB54904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAFLHAPTLSFLCSSSSSPQPQPKPRPCLSSMASSSLVRIAVVGDVHDDWDLEEDANALQFLKPDLVLFTGDFGNENLELVQDVAALNFPKAVILGNHDSWSTQQFSSKKKDRVQLQLECLGQEHVGYKRLDFPLLKLSIVGGRPFSCGGQQIFRKRLLSAR >KJB54909 pep chromosome:Graimondii2_0_v6:9:3909187:3912766:1 gene:B456_009G054000 transcript:KJB54909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAFLHAPTLSFLCSSSSSPQPQPKPRPCLSSMASSSLVRIAVVGDVHDDWDLEEDANALQFLKPDLVLFTGDFGNENLELVQDVAALNFPKAVILGNHDSWSTQQFSSNLGQEHVGYKRLDFPLLKLSIVGGRPFSCGGQQIFRKRLLSARYGIQDMEGSAKRIYEAALGTPEDHLVILLAHNGPTGLGSELNDICGKDWVFGGGDHGDPDLAQAISNLKETTNVSIPLVVFGHMHKELAHGNGLRKMIVVGTHNIIYLNGAIVPRVKRSTNETSLQASNSDGTYRAFTLVEILNGQVNKISESWVSVVGNETTLEEEHILFKSNGQSSR >KJB54916 pep chromosome:Graimondii2_0_v6:9:3910335:3912328:1 gene:B456_009G054000 transcript:KJB54916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLHSGDFGNENLELVQDVAALNFPKAVILGNHDSWSTQQFSSKKKDRVQLQLECLGQEHVGYKRLDFPLLKLSIVGGRPFSCGGQQIFRKRLLSARYGIQDMEGSAKRIYEAALGTPEDHLVILLAHNGPTGLGSELNDICGKDWVFGGGDHGDPDLAQAISNLKETTNVSIPLVVFGHMHKELAHGNGLRKMIVVGTHNIIYLNGAIVPRVKRSTNETSLQASNSDGTYRAFTLVEILNGQVNKISESWVSVVGNETTLEEEHILFKSNGQSSR >KJB54907 pep chromosome:Graimondii2_0_v6:9:3909233:3912766:1 gene:B456_009G054000 transcript:KJB54907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAFLHAPTLSFLCSSSSSPQPQPKPRPCLSSMASSSLVRIAVVGDVHDDWDLEEDANALQFLKPDLVLFTGDFGNENLELVQDVAALNFPKAVILGNHDSWSTQQFSSKYGIQDMEGSAKRIYEAALGTPEDHLVILLAHNGPTGLGSELNDICGKDWVFGGGDHGDPDLAQAISNLKETTNVSIPLVVFGHMHKELAHGNGLRKMIVVGTHNIIYLNGAIVPRVKRSTNETSLQASNSDGTYRAFTLVEILNGQVNKISESWVSVVGNETTLEEEHILFKSNGQSSR >KJB54913 pep chromosome:Graimondii2_0_v6:9:3909392:3912766:1 gene:B456_009G054000 transcript:KJB54913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTLKKMQTPFNFLSRIWCSSQAGSSFLFIQPMCAMIIHFWSLPSNIFPDPIGDFGNENLELVQDVAALNFPKAVILGNHDSWSTQQFSSKKKDRVQLQLECLGQEHVGYKRLDFPLLKLSIVGGRPFSCGGQQIFRKRLLSARYGIQDMEGSAKRIYEAALGTPEDHLVILLAHNGPTGLGSELNDICGKDWVFGGGDHGDPDLAQAISNLKETTNVSIPLVVFGHMHKELAHGNGLRKMIVVGTHNIIYLNGAIVPRVKRSTNETSLQASNSDGTYRAFTLVEILNGQVNKISESWVSVVGNETTLEEEHILFKSNGQSSR >KJB54902 pep chromosome:Graimondii2_0_v6:9:3909187:3912766:1 gene:B456_009G054000 transcript:KJB54902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAFLHAPTLSFLCSSSSSPQPQPKPRPCLSSMASSSLVRIAVVGDVHDDWDLEEDANALQFLKPDLVLFTGDFGNENLELVQDVAALNFPKAVILGNHDSWSTQQFSSKKKDRVQLQLECLGQEHVGYKRLDFPLLKLSIVGGRPFSCGGQQIFRKRLLSARYGIQDMEGSAKRIYEAALGTPEDHLVILLAHNGPTGLGSELNDICGKDWVFGGGDHGDPDLAQAISNLKETTNVSIPLVVFGHMHKELAHGNGLRKMIVVGTHNIIYLNGAIVPRVKRSTNETSLQASNSDGTYRAFTLVEILNGQVNKISESWVSVVGNETTLEEEHILFKSNGQSSR >KJB54915 pep chromosome:Graimondii2_0_v6:9:3909368:3912766:1 gene:B456_009G054000 transcript:KJB54915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTLKKMQTPFNFLSVIWISKTYLIRHFVEMQPDLVLFTGDFGNENLELVQDVAALNFPKAVILGNHDSWSTQQFSSKKKDRVQLQLECLGQEHVGYKRLDFPLLKLSIVGGRPFSCGGQQIFRKRLLSARYGIQDMEGSAKRIYEAALGTPEDHLVILLAHNGPTGLGSELNDICGKDWVFGGGDHGDPDLAQAISNLKETTNVSIPLVVFGHMHKELAHGNGLRKMIVVGTHNIIYLNGAIVPRVKRSTNETSLQASNSDGTYRAFTLVEILNGQVNKISESWVSVVGNETTLEEEHILFKSNGQSSR >KJB54914 pep chromosome:Graimondii2_0_v6:9:3909368:3912766:1 gene:B456_009G054000 transcript:KJB54914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTLKKMQTPFNFLSVIWISKTYLIRHFVEMQPDLVLFTGDFGNENLELVQDVAALNFPKAVILGNHDSWSTQQFSSKKKDRVQLQLECLGQEHVGYKRLDFPLLKLSIVGGRPFSCGGQQIFRKRLLSARYGIQDMEGSAKRIYEAALGTPEDHLVILLAHNGPTGLGSELNDICGKDWVFGGGDHGDPDLAQAISNLKETTNVSIPLVVFGHMHKELAHGNGLRKMIVVGTHNIIYLNGAIVPRVKRSTNETSLQASNSDGTYRAFTLVEILNGQVNKISESWVSVVGNETTLEEEHILFKSNGQSSR >KJB54906 pep chromosome:Graimondii2_0_v6:9:3909296:3911832:1 gene:B456_009G054000 transcript:KJB54906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAFLHAPTLSFLCSSSSSPQPQPKPRPCLSSMASSSLVRIAVVGDVHDDWDLEEDANALQFLKPDLVLFTGDFGNENLELVQDVAALNFPKAVILGNHDSWSTQQFSSKKKDRVQLQLECLGQEHVGYKRLDFPLLKLSIVGGRPFSCGGQQIFRKRLLSARYGIQDMEGSAKRIYEAALGTPEDHLVILLAHNGPTGLGSELNDICGKDWVFGGGDHGDPGNLSELGSFQYSVLPALQNNLIISLCFVLEKIGGII >KJB60672 pep chromosome:Graimondii2_0_v6:9:30251675:30254217:1 gene:B456_009G318600 transcript:KJB60672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNRIIMSISQKGQLSMDPNDYISRLPDNILHHIISFLHFESAVRTSFLSTHWKHLWKEAFSATKIIQSFVDDFDTHYRPRNKWGFRFEFGHGRGILVASISSKGALQLDSSADKQELPRPFDLFLKLNLTSANHLSRRYMWLDWGRLEENHPLQTHQPSSNTMKVKSLYLISVSQLSNMAVSSLVPNLPFLQSLTIAKCNGLQSLQIKDAQGPHKLVVLDCPRLQSLNVMVDLRQAPLTQWTWDFQKSPRCLYYYNIYKRTLCGCTNRKRCFKSILISIRGVKSLTICRWFFEPLSFSSRDPLLCMSKLKELWWIDCSMERESINALLCFLKLCPNLKRLFVTIDPKCYNMPSIGKFSDLVIVPDKLDDLKAVKLEGFADEEKENFIARRLIPLVGENNPVIISKSGGKCIKHLVKVAKLEKKGKYRTRSSTGMIMKGGKREILGFHTCRVSHTKREQLYSSQLAKDGSNAEEEPIWIEECMMFLETIVTFEYVS >KJB61637 pep chromosome:Graimondii2_0_v6:9:50331372:50337953:-1 gene:B456_009G3719001 transcript:KJB61637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLPFSYFFYFLALFRLAIMNYKTCFHLLLALFIPRSVLCLAMTVRNLNSDQFALLEFKDRIAGPQNVLADNWAASTSVCNWIGVSCGILHKRVIALNLTSMNLRGTIPPHLGNLSFLLSLDLSSNHFYGHLPKELGQLHHLRILQLSYNRLNGEIPSWLGNLQRVRRLQMKNNYFTGRIPETLVNISNLEILNLGLNQLSGQVPSSIFKISSLKIIILSNNSLSGSLPNDMCQHLPKLEVFYLRSNELSGNIPSSMGKCSNLKNLSLYLNQLTGIIPRSIGNLTQLKELYLGFNNLEGQIPEEIGNLLGLEMLDIQAIKCLTGQIPTSIFNISSLKTIVLSNNSLSGSLPTDMCQYLPKLELLYLHSNELSGNIPSSMSKCSNLKNLSLSLNQLTGIIPRSIGNLTQLKELYLGFNNLEGQILEEIGNLLGLELLNIQAIKGLTGRIPTSIFNISSLKTIVLSNNSLSGSLPNDMCQHLPKLEMLYLSQNELSGQIPSNIFNSTTLEEIDLFNNKLEDILKHLEVLNIFVNNLITGSATDHEWSFFSSLTNCRNLKTIAVSGNPLSGVLPTYIRNLSKSLQYFYASNCELQGIIPMEIGNLTNLLLVQLGYNKLSGFIPTSIGGLRNLQSLGLSSNKLGGPISESLCGLERLYEMYLGLNKLHGSIPSCLGNITSLGYLHLDSNKLSSTIPSTLWNLKDILEIDLSSNHLHNSHAIDVGNLRSLLKLNLSRNLLTGDILSTFGGLITLVSLDLSNNILYGQIPESFDELISLEFLDLCNNNLSGVIPKSLEKLLYLKYFNVSFNRLEGEIPSEGCFSNFPSTSFMKNYALCGPPRLLVPPCKNDIHKNSQMIILHAFRRCRRRSTTLPIKDDLLSLKTPRRISHAELSRATNGFEESNMLGSGSFGYVYKGRLSDGMEVAIKVFNLQTEGAFRSFDIECDAMRNIVHRNIVKVITCCSSVDFKALVLDYMSNGNLEKWLHSENCFPDIIQRVDIMIDVAVAIEHLHNGHRTPIIHCDIKPSNILLDEDMVAHVGDFGVAKLLGEGEVKKQTMTLATIGYMAPEFGSTGIVSIKCDVYSYGIILIETFTKKKPTDNLFAEE >KJB59411 pep chromosome:Graimondii2_0_v6:9:20761707:20764898:-1 gene:B456_009G253400 transcript:KJB59411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVEIKTASPKVTRRNFDPTAVVPEPLPPVVTAANGEDAGVDKLDKKSREIVLGKNVHTTCFAVTEPEANDEFTGDKEAYMAGVLARYRKSLMERTKHHLGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKNDYWGYITNCGTEGNLHGILVGEVFPDGILYASRESHYSVFKAARMYRMECVKVDTLISGEIDCADFRAKLLANKSKPAIINVNIGTTVKGAVDDLDLVIQTLEGCGFSRDRFYIHCDGALFGLMMPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRMEHVNALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYNGFAKEVQKCLRNAHYLKDQLRAAGIGAMLNELSSTVVFERPRDEDFVRRWQLACEGNIAHVVVMPSVSIEKLDVFLNELVKNRSTWYQEKQPPCIAADIGKENCACPLHK >KJB59412 pep chromosome:Graimondii2_0_v6:9:20761707:20764898:-1 gene:B456_009G253400 transcript:KJB59412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVEIKTASPKVTRRNFDPTAVVPEPLPPVVTAANGEDAGVDKLDKKSREIVLGKNVHTTCFAVTEPEANDEFTGDKEAYMAGVLARYRKSLMERTKHHLAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKNDYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMECVKVDTLISGEIDCADFRAKLLANKSKPAIINVNIGTTVKGAVDDLDLVIQTLEGCGFSRDRFYIHCDGALFGLMMPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRMEHVNALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYNGFAKEVQKCLRNAHYLKDQLRAAGIGAMLNELSSTVVFERPRDEDFVRRWQLACEGNIAHVVVMPSVSIEKLDVFLNELVKNRSTWYQEKQPPCIAADIGKENCACPLHK >KJB59410 pep chromosome:Graimondii2_0_v6:9:20761621:20764898:-1 gene:B456_009G253400 transcript:KJB59410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVEIKTASPKVTRRNFDPTAVVPEPLPPVVTAANGEDAGVDKLDKKSREIVLGKNVHTTCFAVTEPEANDEFTGDKEAYMAGVLARYRKSLMERTKHHLGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKNDYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMECVKVDTLISGEIDCADFRAKLLANKSKPAIINVNIGTTVKGAVDDLDLVIQTLEGCGFSRDRFYIHCDGALFGLMMPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRMEHVNALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYNGFAKEVQKCLRNAHYLKDQLRAAGIGAMLNELSSTVVFERPRDEDFVRRWQLACEGNIAHVVVMPSVSIEKLDVFLNELVKNRSTWYQEKQPPCIAADIGKENCACPLHK >KJB58357 pep chromosome:Graimondii2_0_v6:9:15955613:15957651:-1 gene:B456_009G206200 transcript:KJB58357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQPECLGFPVPKFKFSTVIDSLFIPLNHTGTLRENSGLAFNTFGGVESCHGKSGSISFHGLTHQLVEESKLMSAAFQEDKGSFLWVLAPIALISSLILPQFFFSSAIEAFFKDDTLVVKILLVVLRNCVLFFLGLGVFLLVTDHVQRPYLQFSAKRWGLITGLRTSQFSMKDSQRTPEMFKRGGATAGMVITFQVLAVVCLWSLMAFLQRLFPSRPVAEKY >KJB58952 pep chromosome:Graimondii2_0_v6:9:18297538:18300059:-1 gene:B456_009G232100 transcript:KJB58952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRKGSETDASPEVTNKFASACDYIRSHFSLEDFSRIKKRCKEDVDTPPVGSCKSRLAGIATGPPCGASSLVPLGRGLKRKMGCIEVITRISGTKKVQDDYVKGNTIEQGKFRSIWLCRSRTSGVEFACKTLLRGEETVHREVEIMQHLSGHPGFVTLQVLYEEPDCFYLQPAANIFKNVMLVIKYCHDMGVVHRDIKLENILLATSGKIKLADFGLAMRISNVWHLSLICMRERERNKFLVETIRLLFLSVLVYVAPEVLLGNYSVKVDILSAGVPLHVLLVGVLPFQGDSLRAVFEAIKNVKLDFRGYGNLMLTRDVSSRITAGEGLRHPWILFYTKRSLKTLSIKSKSKNQVGPSIQISNSPGSESILTSLSSSCKSEEQDKNGVVDLLAVAISHVRISESKRSRLCSPTRPIEQQCSSNLTVNNLCRAF >KJB53386 pep chromosome:Graimondii2_0_v6:9:52020667:52022121:-1 gene:B456_009G3833002 transcript:KJB53386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETLSSCYFMSDSFKKSVPKICTSPIEMILCLDHKQSMYCQLLTGLLQRDHVVSVSSIFGSVLARSIKFLEDYWNELCSNIRTGCLSDWITDPGCRNAVSSILTRPNPELADTIEHICGNESWEGIIKKLWPKAKYINSVITGTMSQYISLLDFYGGGIPLVSPSYGSSESTFGINLNPLSNPYDVSYTFLPNMAYFEFLPVDKDGEEKARESNLDGVSSQWLSEMINANGNVEHVDFTNVKLGQYYEVVVTNFTGLYRYRVGDVLKLTGFHNNSLQFQFVERRNSVLSVDMDKTSEADLLKAIRNAKRHLEPLGFIMEQCCSIVEESLDFTYKSLREANKIAALELRVVKHGTFDALMDFYVSKGASINQYKTPPCIKSEEAVKVLNSGVLEKFFSPKTFFLS >KJB53388 pep chromosome:Graimondii2_0_v6:9:52020667:52022038:-1 gene:B456_009G3833002 transcript:KJB53388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSFKKSVPKICTSPIEMILCLDHKQSMYCQLLTGLLQRDHVVSVSSIFGSVLARSIKFLEDYWNELCSNIRTGCLSDWITDPGCRNAVSSILTRPNPELADTIEHICGNESWEGIIKKLWPKAKYINSVITGTMSQYISLLDFYGGGIPLVSPSYGSSESTFGINLNPLSNPYDVSYTFLPNMAYFEFLPVDKDGEEKARESNLDGVSSQWLSEMINANGNVEHVDFTNVKLGQYYEVVVTNFTGLYRYRVGDVLKLTGFHNNSLQFQFVERRNSVLSVDMDKTSEADLLKAIRNAKRHLEPLGFIMEQCCSIVEESLDFTYKSLREANKIAALELRVVKHGTFDALMDFYVSKGASINQYKTPPCIKSEEAVKVLNSGVLEKFFSPKTFFLS >KJB53387 pep chromosome:Graimondii2_0_v6:9:52020667:52022098:-1 gene:B456_009G3833002 transcript:KJB53387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSFKKSVPKICTSPIEMILCLDHKQSMYCQLLTGLLQRDHVVSVSSIFGSVLARSIKFLEDYWNELCSNIRTGCLSDWITDPGCRNAVSSILTRPNPELADTIEHICGNESWEGIIKKLWPKAKYINSVITGTMSQYISLLDFYGGGIPLVSPSYGSSESTFGINLNPLSNPYDVSYTFLPNMAYFEFLPVDKDGEEKARESNLDGVSSQWLSEMINANGNVEHVDFTNVKLGQYYEVVVTNFTGLYRYRVGDVLKLTGFHNNSLQFQFVERRNSVLSVDMDKTSEADLLKAIRNAKRHLEPLGFIMEQCCSIVEESLDFTYKSLREANKIAALELRVVKHGTFDALMDFYVSKGASINQYKTPPCIKSEEAVKVLNSGVLEKFFSPKTFFLS >KJB60617 pep chromosome:Graimondii2_0_v6:9:29725206:29728013:-1 gene:B456_009G3154002 transcript:KJB60617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLSNDDCWTLFAKHAFVGTTPSTHPDLKAIGEAIVKRCNGLPLAAKTLGGLLRCKLDADEWNKILHSNFWDIPNTASNILPALALSYHYLPSHLKRCFAYCSIFPKDYEFEKEELIQLWMAEGLLQLSKDNGNPEELGNEYFKDLRLRSFFQQSKGEKSCFVMHDLISDLAKSVTGEFICRLEGSGGSCVITEKTRHLSNVQEFYDVRQKFQSLPKAKCLRAFLTVKSSSYCSVSNVLMHDLLMKSSLRVLSLAGYTNIKELPKEISNLKHLRNLNLSKTSITRLPNSLCTVYNLQALTLHVCSDLVELPRDMGRLINMLYLDIRGTKLTRMPEGMGKLKDIRILTDFVIGDQTGSSINELGKLKHLRGRLAISRLKNVVNARDAKDANLKDKVNLKELKLTWDEYYDIAGDSKHDREVLEQLEPNTNLKHLVIGSYKGRRFPEWVGHLSFSNMISLELQDCKFCISLPPLGQLSSLKSLSISGFRKVVIVGEEFYSNGQASTKPFGSLEILVFENMAGWEEWLCRSDEAFSLLQELRIRDCPKLIKSIPKHLPSLKKLVIANCEKLECFLPRTPGICELELERCDALQLEPLPCGLRKLGIKGSSMNDSILEQMLKHCTHLDELIISGCSNIKSLPEDSVSITLKKLRIEQCKAFNHSKIFLYTSLESLKIKGTKNCPLLEKRCKKEKGKDWANISHIPVIEIGGEVMM >KJB60616 pep chromosome:Graimondii2_0_v6:9:29725206:29728013:-1 gene:B456_009G3154002 transcript:KJB60616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLSNDDCWTLFAKHAFVGTTPSTHPDLKAIGEAIVKRCNGLPLAAKTLGGLLRCKLDADEWNKILHSNFWDIPNTASNILPALALSYHYLPSHLKRCFAYCSIFPKDYEFEKEELIQLWMAEGLLQLSKDNGNPEELGNEYFKDLRLRSFFQQSKGEKSCFVMHDLISDLAKSVTGEFICRLEGSGGSCVITEKTRHLSNVQEFYDVRQKFQSLPKAKCLRAFLTVKSSSYCSVSNVLMHDLLMKSSLRVLSLAGYTNIKELPKEISNLKHLRNLNLSKTSITRLPNSLCTVYNLQALTLHVCSDLVELPRDMGRLINMLYLDIRGTKLTRMPEGMGKLKDIRILTDFVIGDQTGSSINELGKLKHLRGRLAISRLKNVVNARDAKDANLKDKVNLKELKLTWDEYYDIAGDSKHDREVLEQLEPNTNLKHLVIGSYKGRRFPEWVGHLSFSNMISLELQDCKFCISLPPLGQLSSLKSLSISGFRKVVIVGEEFYSNGQASTKPFGSLEILVFENMAGWEEWLCRSDEAFSLLQELRIRDCPKLIKSIPKHLPSLKKLVIANCEKLECFLPRTPGICELELERCDALQLEPLPCGLRKLGIKGSSMNDSILEQMLKHCTHLDELIISGCSNIKSLPEDSVSITLKKLRIEQCKAFNHSKIFLYTSLESLKIKGTKNCPLLEKRCKKEKGKDWANISHIPVIEIGGEVMM >KJB57997 pep chromosome:Graimondii2_0_v6:9:14567590:14570654:1 gene:B456_009G189600 transcript:KJB57997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKHQHLLPIFVALCAAFALIDEVDGEYLIGVGSYDMTGPAAGVNMMGYANIDQNTAGIHFRLRARTFIVAESSQGARFAFVNLDAGMASQLVTIKVLQRLKTRFGDLYTQENLAISGTHTHAGPAGYLQYVVYSVTSLGFINQTFVAIVTAIEQSIIQAHNNLKPGSILLNTGDVENAGINRSPSAYLFNPPEERARYTTNVDTTMTLLKLLDSASNRSIGAFSWFATHGTSMSRENRLISGDNKGAAARFFEDWFTFSNNSLSTRNTKFSTIQNISTLRNKAQKIKATGGKPCGKTTSQGFKVRKNDGSRFVGAFCQSNVGDVTPNVLGAFCTDTGKPCDFNHSSCNGNDQLCVGRGPGYPDEILSTKIIGERQFEKAMELFSSATNPLSGKIDYRHTCPAAVGAGFAAGTTDGPGVFGFQQGDTEINEMWKRLRDLLKEPSQYQVDCQKPKPVLLSTGEMFVPYAWAPAILPIQILRLGNFVILSVPGEFTTMAGRRLREAVKETLINNGNGEFDNETRVVMAGLTNTYSQYIVTFEEYKQQRYEAASTLYGPHTLSAYIQEFKKLAKAMATGEQLGGTGLSPPDLSSVQLSLLQDPLGDSPPPGKRFGDMQQDVAQPKGGSFKKGDKPSATFWSANPRYDLLIEGTFAVVEMLQGERWVPVYDDDDFCLYFKWNVTVDNGSLYGLVTIEWGVPEDAASGVYRLRHFGSSKKTKDSPNEYFTGASSAFTVS >KJB60792 pep chromosome:Graimondii2_0_v6:9:32529432:32534551:1 gene:B456_009G325900 transcript:KJB60792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVQSKSYLPGYCSMRDLNEDSNSCSWPLYYGDNTLTNGRYYSSFFPRTIADAYPGRHKDVLKQTMLEHEATFKTQVSELHRLYRIQRELMDEIKKKQLQKNQIPIGPSLSSSPLASQITTENAHKWHIPSFPEANSICARPLISGVEHSHSPLSFMKGSSIQAGSFLSQNGGNSKDVEVLECRPTKMRRKMFDLQLPADEYIDPEEAVQFKDDLESGMSRYLPSGNGNTRPENAEKLFRGDVGNTGCSGDASRCLKGKNSLADLNEPIQIEETNNSAYSDCHDAYHGGRELSVKPKQELGLAKEISVNSHLRSDNSSTNNIHAESNGNARGFFSHVLEAGNGKSNLVTTSQGFQPEKLPASSQQVRVLFDKARDPPAFSLTDQSKADLSRERMLHGLEVPGRNCEISNNSYPDLITTSNIPSLNPFASSNAVKQWSHSVSSWEKPSSGLSQKSMSVQSHPFFNSSGSKSSVIAPQSNGIFGEKWQASCNSRLNRGFGGEWPKRNGFYHGSSSGSNEPAIRFGYDHPNCSNDSKGVYEHFTTHGSTKLYNCSSSVDMKSTSDVNLNVRRLNSSSNEPVLQHGYQIDGGRKHEDHPPGLPWLRAKPACKNESPIAGMDLNVGELSFTQSSPDQSTIKNESGNGFNQILIQDMKSVPFSNNIGASRSEINECLHNKKILGIPIFERCYISKNESSFTSPHVSVSQPAEGEAENKGRNRLLDINLPCDATIPDVGQDTVAENSVIEKKVNTKFSSFRPEIDLNSCVDENDASFIPSVPSTSMKMTGGIDLEALPAPEPEDAIYAEELSGKACALLPQSVQIKDDCLQYEVIKSAAEAIVAISSSGLYSHLDDVNCNLSETAEIDPLNWFVETISSFGEDLESKVEAFSRVKVGDRDESSLEEIDNFELMVLKLAETKEEDYMPEPLVPENLKVEETGTISLLTTRTRKGQGRRGRQRRDFRRDILPGLASLSRHEVTEDLQTFGGLMRATGHSWQSGLTRRNATRSGCGRGRRSLVTNSSPPASVAATTCTPLMQHFSNMEVGLEDRSLTGWGKTTRRPRRQRCPAGNPPSLVLH >KJB60789 pep chromosome:Graimondii2_0_v6:9:32528173:32534563:1 gene:B456_009G325900 transcript:KJB60789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVQSKSYLPGYCSMRDLNEDSNSCSWPLYYGDNTLTNGRYYSSFFPRTIADAYPGRHKDVLKQTMLEHEATFKTQVSELHRLYRIQRELMDEIKKKQLQKNQIPIGPSLSSSPLASQITTENAHKWHIPSFPEANSICARPLISGVEHSHSPLSFMKGSSIQAGSFLSQNGGNSKDVEVLECRPTKMRRKMFDLQLPADEYIDPEEAVQFKDDLESGMSRYLPSGNGNTRPENAEKLFRGDVGNTGCSGDASRCLKGKNSLADLNEPIQIEETNNSAYSDCHDAYHGGRELSVKPKQELGLAKEISVNSHLRSDNSSTNNIHAESNGNARGFFSHVLEAGNGKSNLVTTSQGFQPEKLPASSQQVRVLFDKARDPPAFSLTDQSKADLSRERMLHGLEVPGRNCEISNNSYPDLITTSNIPSLNPFASSNAVKQWSHSVSSWEKPSSGLSQKSMSVQSHPFFNSSGSKSSVIAPQSNGIFGEKWQASCNSRLNRGFGGEWPKRNGFYHGSSSGSNEPAIRFGYDHPNCSNDSKGVYEHFTTHGSTKLYNCSSSVDMKSTSDVNLNVRRLNSSSNEPVLQHGYQIDGGRKHEDHPPGLPWLRAKPACKNESPIAGMDLNVGELSFTQSSPDQSTIKNESGNGFNQILIQDMKSVPFSNNIGASRSEINECLHNKKILGIPIFERCYISKNESSFTSPHVSVSQPAEGEAENKGRNRLLDINLPCDATIPDVGQDTVAENSVIEKKVNTKFSSFRPEIDLNSCVDENDASFIPSVPSTSMKMTGGIDLEALPAPEPEDAIYAEELSGKACALLPQSVQIKDDCLQYEVIKSAAEAIVAISSSGLYSHLDDVNCNLSETAEIDPLNWFVETISSFGEDLESKVEAFSRVKVGDRDESSLEEIDNFELMVLKLAETKEEDYMPEPLVPENLKVEETGTISLLTTRTRKGQGRRGRQRRDFRRDILPGLASLSRHEVTEDLQTFGGLMRATGHSWQSGLTRRNATRSGCGRGRRSLVTNSSPPASVAATTCTPLMQHFSNMEVGLEDRSLTGWGKTTRRPRRQRCPAGNPPSLVLH >KJB60790 pep chromosome:Graimondii2_0_v6:9:32529243:32534551:1 gene:B456_009G325900 transcript:KJB60790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVQSKSYLPGYCSMRDLNEDSNSCSWPLYYGDNTLTNGRYYSSFFPRTIADAYPGRHKDVLKQTMLEHEATFKTQVSELHRLYRIQRELMDEIKKKQLQKNQIPIGPSLSSSPLASQITTENAHKWHIPSFPEANSICARPLISGVEHSHSPLSFMKGSSIQAGSFLSQNGGNSKDVEVLECRPTKMRRKMFDLQLPADEYIDPEEAVQFKDDLESGMSRYLPSGNGNTRPENAEKLFRGDVGNTGCSGDASRCLKGKNSLADLNEPIQIEETNNSAYSDCHDAYHGGRELSVKPKQELGLAKEISVNSHLRSDNSSTNNIHAESNGNARGFFSHVLEAGNGKSNLVTTSQGFQPEKLPASSQQVRVLFDKARDPPAFSLTDQSKADLSRERMLHGLEVPGRNCEISNNSYPDLITTSNIPSLNPFASSNAVKQWSHSVSSWEKPSSGLSQKSMSVQSHPFFNSSGSKSSVIAPQSNGIFGEKWQASCNSRLNRGFGGEWPKRNGFYHGSSSGSNEPAIRFGYDHPNCSNDSKGVYEHFTTHGSTKLYNCSSSVDMKSTSDVNLNVRRLNSSSNEPVLQHGYQIDGGRKHEDHPPGLPWLRAKPACKNESPIAGMDLNVGELSFTQSSPDQSTIKNESGNGFNQILIQDMKSVPFSNNIGASRSEINECLHNKKILGIPIFERCYISKNESSFTSPHVSVSQPAEGEAENKGRNRLLDINLPCDATIPDVGQDTVAENSVIEKKVNTKFSSFRPEIDLNSCVDENDASFIPSVPSTSMKMTGGIDLEALPAPEPEDAIYAEELSGKACALLPQSVQIKDDCLQYEVIKSAAEAIVAISSSGLYSHLDDVNCNLSETAEIDPLNWFVETISSFGEDLESKVEAFSRVKVGDRDESSLEEIDNFELMVLKLAETKEEDYMPEPLVPENLKVEETGTISLLTTRTRKGQGRRGRQRRDFRRDILPGLASLSRHEVTEDLQTFGGLMRATGHSWQSGLTRRNATRSGCGRGRRSLVTNSSPPASVAATTCTPLMQHFSNMEVGLEDRSLTGWGKTTRRPRRQRCPAGNPPSLVLH >KJB60791 pep chromosome:Graimondii2_0_v6:9:32528507:32534278:1 gene:B456_009G325900 transcript:KJB60791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVQSKSYLPGYCSMRDLNEDSNSCSWPLYYGDNTLTNGRYYSSFFPRTIADAYPGRHKDVLKQTMLEHEATFKTQVSELHRLYRIQRELMDEIKKKQLQKNQIPIGPSLSSSPLASQITTENAHKWHIPSFPEANSICARPLISGVEHSHSPLSFMKGSSIQAGSFLSQNGGNSKDVEVLECRPTKMRRKMFDLQLPADEYIDPEEAVQFKDDLESGMSRYLPSGNGNTRPENAEKLFRGDVGNTGCSGDASRCLKGKNSLADLNEPIQIEETNNSAYSDCHDAYHGGRELSVKPKQELGLAKEISVNSHLRSDNSSTNNIHAESNGNARGFFSHVLEAGNGKSNLVTTSQGFQPEKLPASSQQVRVLFDKARDPPAFSLTDQSKADLSRERMLHGLEVPGRNCEISNNSYPDLITTSNIPSLNPFASSNAVKQWSHSVSSWEKPSSGLSQKSMSVQSHPFFNSSGSKSSVIAPQSNGIFGEKWQASCNSRLNRGFGGEWPKRNGFYHGSSSGSNEPAIRFGYDHPNCSNDSKGVYEHFTTHGSTKLYNCSSSVDMKSTSDVNLNVRRLNSSSNEPVLQHGYQIDGGRKHEDHPPGLPWLRAKPACKNESPIAGMDLNVGELSFTQSSPDQSTIKNESGNGFNQILIQDMKSVPFSNNIGASRSEINECLHNKKILGIPIFERCYISKNESSFTSPHVSVSQPAEGEAENKGRNRLLDINLPCDATIPDVGQDTVAENSVIEKKVNTKFSSFRPEIDLNSCVDENDASFIPSVPSTSMKMTGGIDLEALPAPEPEDAIYAEELSGKACALLPQSVQIKDDCLQYEVIKSAAEAIVAISSSGLYSHLDDVNCNLSETAEIDPLNWFVETISSFGEDLESKVEAFSRVKVGDRDESSLEEIDNFELMVLKLAETKEEDYMPEPLVPENLKVEETGTISLLTTRTRKGQGRRGRQRRDFRRDILPGLASLSRHEVTEDLQTFGGLMRATGHSWQSGLTRRNATRSGCGRGRRSLVTNSSPPASVAATTCTPLMQHFSNMEVGLEDRSLTGWGKTTRRPRRQRCPAGNPPSLVLH >KJB56071 pep chromosome:Graimondii2_0_v6:9:7666797:7673556:1 gene:B456_009G105600 transcript:KJB56071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEQEGNGAALESLPPPPPVPPDVVPIKAESDAKKIVRHPMARRGVGTKGQKVTILTNHFKVSVGSTDGHFYHYSVSLSYEDGRPVDGKGVGRKVIDRVHETYKNDLDGKNFAYDGEKSLFTIGPLPNNKLEFTVVLEDVAPTRNNGNASPDGQDSPNGRERKRLRRPYHSKTFKVEISYAAKIPMQAIQNALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFQDNQNNFTDIGGGVLGCRGFHSSFRATQGGLSLNIDVSTTMIIQPGPVVDFLLANQNARDPYSLDWNKAKRVLKNLRIKVSPSNQEYKITGLSEQLCKDQLFSMKQKNTKNENGEAETLEITIYDYFVNHRNIQLRYSADLPCINVGKPKRPTYIPIELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMNVLSNVLRTSNYGAEPMLKSCGVNINSNFTQVDGRILPAPRLRVGNGEDFFPRNGRWNFNNKKLVEPSRIERWAVVNFSAPRCDPNNIARDLIRCGEMKGIRIDPPFDIFNEMNQNRRLSPVVRVEKMFEQIQSKLPGAPQFLLCLLPDRKNSDLYGPWKRKNLSEYGIVTQCMAPARVNDQYLTNLLLKINAKLGGLNSMLTIEQTPSIPMISKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRASVRTQSPKLEMVDSLFKPVSDKVDEGIMREALLDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNKELDQVIEACKFLDENWNPKFVVIVAQKNHHTKFFQQGSPDNVLPGTVIDNKVCHPKNNDFYLCAHAGMIGTTRPTHYHVLLDQVGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAASQLGQFMKFEDASETSSSHGGVTAPGAISVPQLPRLKDNVSSSMFFC >KJB56074 pep chromosome:Graimondii2_0_v6:9:7667945:7672776:1 gene:B456_009G105600 transcript:KJB56074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEQEGNGAALESLPPPPPVPPDVVPIKAESDAKKIVRHPMARRGVGTKGQKVTILTNHFKVSVGSTDGHFYHYSVSLSYEDGRPVDGKGVGRKVIDRVHETYKNDLDGKNFAYDGEKSLFTIGPLPNNKLEFTVVLEDVAPTRNNGNASPDGQDSPNGRERKRLRRPYHSKTFKVEISYAAKIPMQAIQNALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFQDNQNNFTDIGGGVLGCRGFHSSFRATQGGLSLNIDVSTTMIIQPGPVVDFLLANQNARDPYSLDWNKAKRVLKNLRIKVSPSNQEYKITGLSEQLCKDQLFSMKQKNTKNENGEAETLEITIYDYFVNHRNIQLRYSADLPCINVGKPKRPTYIPIELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMNVLSNVLRTSNYGAEPMLKSCGVNINSNFTQVDGRILPAPRLRVGNGEDFFPRNGRWNFNNKKLVEPSRIERWAVVNFSAPRCDPNNIARDLIRCGEMKGIRIDPPFDIFNEMNQNRRLSPVVRVEKMFEQIQSKLPGAPQFLLCLLPDRKNSDLYGPWKRKNLSEYGIVTQCMAPARVNDQYLTNLLLKINAKLGGLNSMLTIEQTPSIPMISKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRASVRTQSPKLEMVDSLFKPVSDKVDEGIMREALLDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNKELDQVIEACKFLDENWNPKFVVIVAQKNHHTKFFQQGSPDNVLPGTVIDNKVCHPKNNDFYLCAHAGMIGTTRPTHYHVLLDQVGFSADDLQELVHSLSYV >KJB56076 pep chromosome:Graimondii2_0_v6:9:7666682:7673574:1 gene:B456_009G105600 transcript:KJB56076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEQEGNGAALESLPPPPPVPPDVVPIKAESDAKKIVRHPMARRGVGTKGQKVTILTNHFKVSVGSTDGHFYHYSVSLSYEDGRPVDGKGVGRKVIDRVHETYKNDLDGKNFAYDGEKSLFTIGPLPNNKLEFTVVLEDVAPTRNNGNASPDGQDSPNGRERKRLRRPYHSKTFKVEISYAAKIPMQAIQNALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFQDNQNNFTDIGGGVLGCRGFHSSFRATQGGLSLNIDVSTTMIIQPGPVVDFLLANQNARDPYSLDWNKAKRVLKNLRIKVSPSNQEYKITGLSEQLCKDQLFSMKQKNTKNENGEAETLEITIYDYFVNHRNIQLRYSADLPCINVGKPKRPTYIPIELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMNVLSNVLRTSNYGAEPMLKSCGVNINSNFTQVDGRILPAPRLRVGNGEDFFPRNGRWNFNNKKLVEPSRIERWAVVNFSAPRCDPNNIARDLIRCGEMKGIRIDPPFDIFNEMNQNRRLSPVVRVEKMFEQIQSKLPGAPQFLLCLLPDRKNSDLYGPWKRKNLSEYGIVTQCMAPARVNDQYLTNLLLKINAKLGGLNSMLTIEQTPSIPMISKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRASVRTQSPKLEMVDSLFKPVSDKVDEGIMREALLDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNKELDQVIEACKFLDENWNPKFVVIVAQKNHHTKFFQQGSPDNVLPGTVIDNKVCHPKNNDFYLCAHAGMIGTTRPTHYHVLLDQVGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAASQLGQFMKFEDASETSSSHGGVTAPGAISVPQLPRLKDNVSSSMFFC >KJB56075 pep chromosome:Graimondii2_0_v6:9:7667945:7672605:1 gene:B456_009G105600 transcript:KJB56075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEQEGNGAALESLPPPPPVPPDVVPIKAESDAKKIVRHPMARRGVGTKGQKVTILTNHFKVSVGSTDGHFYHYSVSLSYEDGRPVDGKGVGRKVIDRVHETYKNDLDGKNFAYDGEKSLFTIGPLPNNKLEFTVVLEDVAPTRNNGNASPDGQDSPNGRERKRLRRPYHSKTFKVEISYAAKIPMQAIQNALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFQDNQNNFTDIGGGVLGCRGFHSSFRATQGGLSLNIDVSTTMIIQPGPVVDFLLANQNARDPYSLDWNKAKRVLKNLRIKVSPSNQEYKITGLSEQLCKDQLFSMKQKNTKNENGEAETLEITIYDYFVNHRNIQLRYSADLPCINVGKPKRPTYIPIELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMNVLSNVLRTSNYGAEPMLKSCGVNINSNFTQVDGRILPAPRLRVGNGEDFFPRNGRWNFNNKKLVEPSRIERWAVVNFSAPRCDPNNIARDLIRCGEMKGIRIDPPFDIFNEMNQNRRLSPVVRVEKMFEQIQSKLPGAPQFLLCLLPDRKNSDLYGPWKRKNLSEYGIVTQCMAPARVNDQYLTNLLLKINAKLGGLNSMLTIEQTPSIPMISKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRASVRTQSPKLEMVDSLFKPVSDKVDEGIMREALLDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNKELDQVIEACKFLDENWNPKFVVIVAQKNHHTKFFQQGSPDNVLPGTVIDNKVCHPKNNDFYLCAHAGMIVSSLIFVSVN >KJB56072 pep chromosome:Graimondii2_0_v6:9:7666797:7671413:1 gene:B456_009G105600 transcript:KJB56072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEQEGNGAALESLPPPPPVPPDVVPIKAESDAKKIVRHPMARRGVGTKGQKVTILTNHFKVSVGSTDGHFYHYSVSLSYEDGRPVDGKGVGRKVIDRVHETYKNDLDGKNFAYDGEKSLFTIGPLPNNKLEFTVVLEDVAPTRNNGNASPDGQDSPNGRERKRLRRPYHSKTFKVEISYAAKIPMQAIQNALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFQDNQNNFTDIGGGVLGCRGFHSSFRATQGGLSLNIDVSTTMIIQPGPVVDFLLANQNARDPYSLDWNKAKRVLKNLRIKVSPSNQEYKITGLSEQLCKDQLFSMKQKNTKNENGEAETLEITIYDYFVNHRNIQLRYSADLPCINVGKPKRPTYIPIELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMNVLSNVLRTSNYGAEPMLKSCGVNINSNFTQVDGRILPAPRLRVGNGEDFFPRNGRWNFNNKKLVEPSRIERWAVVNFSAPRCDPNNIARDLIRCGEMKGIRIDPPFDIFNEMNQNRRLSPVVRVEKMFEQIQSKLPGAPQFLLCLLPDRKNSDLYGPWKRKNLSEYGIVTQCMAPARVNDQYLTNLLLKINAKLGGLNSMLTIEQTPSIPMISKVPTIILGMDVSHGSPGQSDVPSIAAVEILFLIIEFLLLPSIELIMEVIEA >KJB56078 pep chromosome:Graimondii2_0_v6:9:7666797:7673556:1 gene:B456_009G105600 transcript:KJB56078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEQEGNGAALESLPPPPPVPPDVVPIKAESDAKKIVRHPMARRGVGTKGQKVTILTNHFKVSVGSTDGHFYHYSVSLSYEDGRPVDGKGVGRKVIDRVHETYKNDLDGKNFAYDGEKSLFTIGPLPNNKLEFTVVLEDVAPTRNNGNASPDGQDSPNGRERKRLRRPYHSKTFKVEISYAAKIPMQAIQNALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFQDNQNNFTDIGGGVLGCRGFHSSFRATQGGLSLNIDVSTTMIIQPGPVVDFLLANQNARDPYSLDWNKAKRVLKNLRIKVSPSNQEYKITGLSEQLCKDQLFSMKQKNTKNENGEAETLEITIYDYFVNHRNIQLRYSADLPCINVGKPKRPTYIPIELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMNVLSNVLRTSNYGAEPMLKSCGVNINSNFTQVDGRILPAPRLRVGNGEDFFPRNGRWNFNNKKLVEPSRIERWAVVNFSAPRCDPNNIARDLIRCGEMKGIRIDPPFDIFNEMNQNRRLSPVVRVEKMFEQIQSKLPGAPQFLLCLLPDRKNSDLYGPWKRKNLSEYGIVTQCMAPARVNDQYLTNLLLKINAKLGGLNSMLTIEQTPSIPMISKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRASVRTQSPKLEMVDSLFKPVSDKVDEGIMREALLDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNKELDQVIEACKFLDENWNPKFVVIVAQKNHHTKFFQQGSPDNVLPGTVIDNKVCHPKNNDFYLCAHAGMIGTTRPTHYHVLLDQVGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAASQLGQFMKFEDASETSSSHGGVTAPGAISVPQLPRLKDNVSSSMFFC >KJB56073 pep chromosome:Graimondii2_0_v6:9:7666797:7673556:1 gene:B456_009G105600 transcript:KJB56073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEQEGNGAALESLPPPPPVPPDVVPIKAESDAKKIVRHPMARRGVGTKGQKVTILTNHFKVSVGSTDGHFYHYSVSLSYEDGRPVDGKGVGRKVIDRVHETYKNDLDGKNFAYDGEKSLFTIGPLPNNKLEFTVVLEDVAPTRNNGNASPDGQDSPNGRERKRLRRPYHSKTFKVEISYAAKIPMQAIQNALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFQDNQNNFTDIGGGVLGCRGFHSSFRATQGGLSLNIDVSTTMIIQPGPVVDFLLANQNARDPYSLDWNKAKRVLKNLRIKVSPSNQEYKITGLSEQLCKDQLFSMKQKNTKNENGEAETLEITIYDYFVNHRNIQLRYSADLPCINVGKPKRPTYIPIELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMNVLSNVLRTSNYGAEPMLKSCGVNINSNFTQVDGRILPAPRLRVGNGEDFFPRNGRWNFNNKKLVEPSRIERWAVVNFSAPRCDPNNIARDLIRCGEMKGIRIDPPFDIFNEMNQNRRLSPVVRVEKMFEQIQSKLPGAPQFLLCLLPDRKNSDLYGPWKRKNLSEYGIVTQCMAPARVNDQYLTNLLLKINAKLGGLNSMLTIEQTPSIPMISKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRASVRTQSPKLEMVDSLFKPVSDKVDEGIMREALLDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNKELDQVIEACKFLDENWNPKFVVIVAQKNHHTKFFQQGSPDNVLPGTVIDNKVCHPKNNDFYLCAHAGMIGTTRPTHYHVLLDQVGFSADDLQELVHSLSYVGPYLLRSFGSFTVGAIYEV >KJB56077 pep chromosome:Graimondii2_0_v6:9:7666807:7673646:1 gene:B456_009G105600 transcript:KJB56077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTITAGCLLVRQSFFQDNQNNFTDIGGGVLGCRGFHSSFRATQGGLSLNIDVSTTMIIQPGPVVDFLLANQNARDPYSLDWNKAKRVLKNLRIKVSPSNQEYKITGLSEQLCKDQLFSMKQKNTKNENGEAETLEITIYDYFVNHRNIQLRYSADLPCINVGKPKRPTYIPIELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMNVLSNVLRTSNYGAEPMLKSCGVNINSNFTQVDGRILPAPRLRVGNGEDFFPRNGRWNFNNKKLVEPSRIERWAVVNFSAPRCDPNNIARDLIRCGEMKGIRIDPPFDIFNEMNQNRRLSPVVRVEKMFEQIQSKLPGAPQFLLCLLPDRKNSDLYGPWKRKNLSEYGIVTQCMAPARVNDQYLTNLLLKINAKLGGLNSMLTIEQTPSIPMISKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRASVRTQSPKLEMVDSLFKPVSDKVDEGIMREALLDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNKELDQVIEACKFLDENWNPKFVVIVAQKNHHTKFFQQGSPDNVLPGTVIDNKVCHPKNNDFYLCAHAGMIGTTRPTHYHVLLDQVGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAASQLGQFMKFEDASETSSSHGGVTAPGAISVPQLPRLKDNVSSSMFFC >KJB60660 pep chromosome:Graimondii2_0_v6:9:30127598:30129292:-1 gene:B456_009G318100 transcript:KJB60660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNDFISNLPDNILHHITSYLPFESAVRTSFLSTHWKHLWKEALLDSVHDVTMEAAIKAIQSFLDGFDTHCRPRNKWGFIFEFSNGRNILAASISRNGTLRLDFSAGKQEFPMPFDLDLKPLQTQLPYSNTMKVKSLYLVSVSHLSISSLVPNLPFLESLTIAKCEGLQSLQIKEAKVLHKLVILDCPQLQSLSFEGSCLRCFRYRGKLASFRFQGYCKCTTFRRICICECGLFLKDAMIDLRQDSLTAGTWDFEKPPCYRYSCNLYKRTLCRCTTKKKCFKSILISIRSVKSLTICGWFFETSMCCLLSSSRDPLFCLSELKELWWIDCSIDRESINVLLCDTESQI >KJB60661 pep chromosome:Graimondii2_0_v6:9:30127804:30129295:-1 gene:B456_009G318100 transcript:KJB60661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNDFISNLPDNILHHITSYLPFESAVRTSFLSTHWKHLWKEALLDSVHDVTMEAAIKAIQSFLDGFDTHCRPRNKWGFIFEFSNGRNILAASISRNGTLRLDFSAGKQEFPMPFDLDLKPLQTQLPYSNTMKVKSLYLVSVSHLSISSLVPNLPFLESLTIAKCEGLQSLQIKEAKVLHKLVILDCPQLQSLSFEGSCLRCFRYRGKLASFRFQGYCKCTTFRRICICECGLFLKDAMIDLRQDSLTAGTWDFEKPPCYRYSCNLYKRTLCRCTTKKKCFKSILISIRSVKSLTICGWFFEVCSLKKCSLSYSCSTNLCYSNLYKNDGYMFDMNRCF >KJB58764 pep chromosome:Graimondii2_0_v6:9:17581804:17582223:1 gene:B456_009G225200 transcript:KJB58764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKKEKCGIDKKMQRRLSLGFDYQGIETLQIKPEDWYSIAVILYVYGYNYLCGLLASVYHLTRIEYGVDQPEEVCIKVFAPRNNPRIPSVFWVWKSSDFQERESYDMLGISYENHP >KJB59931 pep chromosome:Graimondii2_0_v6:9:23830735:23833403:1 gene:B456_009G281700 transcript:KJB59931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVITGNFRPPFFPSQLSKNSARFLFRPFTTLVFTRSLTAKAPIAVEKEESGGGGGSCGLACPICYNPLTVISDSPIYVGSTVGSNLQCNTCKKTYSGTQTHLDLVASSGSKQYDESMPLATELFRTPVVSFLYERGWRQNFVFGGFPGPEKEFDMAKNYLKRVLGGKIVDASCGSGMFTRLFAKSGLFSQVIALDYSENMLQQCYEFIEQEENFPKEKVTLVRADISRLPFESSSIDAVHAGAALHCWPSPSTAVAEISRVLRPGGVFVATTYIVDGPFTFLPFVNAFRQNMMGIAGSYFAVSERELEDLCRTCGLVGFTCMRNGPFVMISARKRSS >KJB59929 pep chromosome:Graimondii2_0_v6:9:23830572:23833531:1 gene:B456_009G281700 transcript:KJB59929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVITGNFRPPFFPSQLSKNSARFLFRPFTTLVFTRSLTAKVRAFVETKPTAPIAVEKEESGGGGGSCGLACPICYNPLTVISDSPIYVGSTVGSNLQCNTCKKTYSGTQTHLDLVASSGSKQYDESMPLATELFRTPVVSFLYERGWRQNFVFGGFPGPEKEFDMAKNYLKRVLGGKIVDASCGSGMFTRLFAKSGLFSQVIALDYSENMLQQCYEFIEQEENFPKEKVTLVRADISRLPFESSSIDAVHAGAALHCWPSPSTAVAEISRVLRPGGVFVATTYIVDGPFTFLPFVNAFRQNMMGIAGSYFAVSERELEDLCRTCGLVGFTCMRNGPFVMISARKRSS >KJB59930 pep chromosome:Graimondii2_0_v6:9:23830735:23833403:1 gene:B456_009G281700 transcript:KJB59930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVITGNFRPPFFPSQLSKNSARFLFRPFTTLVFTRSLTAKAPIAVEKEESGGGGGSCGLACPICYNPLTVISDSPIYVGSTVGSNLQCNTCKKTYSGTQTHLDLVASSGSKQYDESMPLATELFRTPVVSFLYERGWRQNFVFGGFPGPEKEFDMAKNYLKRVLGGKIVDASCGSGMFTRLFAKSGLFSQVIALDYSENMLQQCYEFIEQEENFPKEKVTLVRADISRLPFESSSIDAVHAGAALHCWPSPSTALK >KJB62237 pep chromosome:Graimondii2_0_v6:9:60388266:60389191:1 gene:B456_009G407600 transcript:KJB62237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVVTTFQERGAMEYTIVVAETVDSPATLQYLAPHTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREVCLGDVFYLHSCLLEGAAKSSSQLFEGTMNALPIVETQSGDVSTYIPTNVISTTDGQIFLSTNLFNAGIRLAINVGIFVSRVGLATQIKAMKQVSSKSKLELAQFVELEAFAQFASNLNKSTQNQLARGQLLRELFKQSQSASLTMAEQISTIYTGTNGYLNSLEIGQVRKFLFELHTSLKTNKPQFQEIISSTKTFTKEEEMILKDTIQDQMERFRLQEQL >KJB61033 pep chromosome:Graimondii2_0_v6:9:36428995:36435488:-1 gene:B456_009G336400 transcript:KJB61033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFGSSIFVSSILHLVYLLKKKRFVSVSCQHSYEEREDEERKEHSHSVFWSSFNQIKCLEEDCEL >KJB61577 pep chromosome:Graimondii2_0_v6:9:49247591:49251825:1 gene:B456_009G367600 transcript:KJB61577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALLNFKSNLSLSISKCSQDFHIASVHKMDSWDEGTDCCKWEGVVCDNKKGNVIGLDLSCSGLHSSLFSLQNLRWLNLAGNYFSNSKIPSEFGKLRSLTYLNLSDTGLTGLVAPEISLLSELVSLDLGLNFLLFRNHDFNMLVHNITKLENMILDVVPYSFLNLTVSLKHLSLSDCYLQGNFPTQVFHLPYLQNIILSSNPNLIGYLPETNWSSPLRLLDVSVTEFSKGLPSSIGNLKYLKTLGLSHCVFTEFIPSALGNLTKLTFLDISDNMFQGQIPDVFGNLNDLSFMDFSSNKFSGVFPPSAFNLTSLTFMDFSSNFLQVQTCLVAEHQDLDLHSNKLNGPIDTIQEPNLVRVVDLSQNEIQGAIPSSFFDLINLTALDLSSNNLSGNMKSCMLVKLRNLWFLDLSFNNLLSLTRCSNDVNSTLPMITQFHFSSCNMQRFPSFLNASKSLELLDLSNNQIHGSITKWEAEGWEGLASLNLSMNFLTSVEQIPGKHLFVLDLRSNSLRGPLPTPPQDLNYFLISNNELVGEIPSKICNLSFLYVLDSSKNKLDGTIPDCIGTFSDQLSVVKLRTLNLNGNQIEGSILQSLTNCYYLEVLDLGNNNINDTFPYWLGTLPNLKVLVLRSNGFHGDIQNFTGTFSFSSLQMIDLSRNEVTGHIPPSYLKI >KJB59872 pep chromosome:Graimondii2_0_v6:9:23378377:23380769:-1 gene:B456_009G278100 transcript:KJB59872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVEKIPGDYENGDVNKNLDDDGRFKRTGTWVTASAHIITAVIGSGVLSLAWAVAQLGWVGGPAALVVFSFITYFTSTLLADAYRAPDPVNGQRNYTYMDAVRAYLGGRKVQLCGLAQYMNLTGITIGYTITSSISMVAVKRSNCFHKHGHHAKCETSNYPFMVIFGCIQILLSQIPNFAKLSWLSIIASVMSFAYSFIGLGLSIAKVAGGEDDVRTTLTGVVVGVDVSGSEKVWRTLQAIGDIAFAYSFSNVLIEIQDTIKWSPVVPENKSMKRATAIGVSTTTIFYVLCGLVGYAAFGNDAPGNFLTGFGFYEPFWLIDFANICIAIHLLGAYQVFAQPLFGFVEGWCSRRWPGNRFITSEQAVRVPFYGVYYINLFRLVWRTTYVIVTALVAMLFPFFNNFLGLIGAGSFWPLVVYFPIEIHIAQTKMAKYSFKWMWLKILTWACLIVSLIAAAASVQGLIQSLQAYKPFQLQD >KJB62608 pep chromosome:Graimondii2_0_v6:9:67640841:67645363:-1 gene:B456_009G431100 transcript:KJB62608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKASVTKELNARHRKIIESLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEEKRWVPRDGKSKSPPRRLDERAPSHWQRPNETSGHGHISNSENSFEERRNKQALGQKENLPATRVSLPVPPKGPDQVTPVQKPEPVVAPAEATKPAVETAPVAIAPKVDYATDLFNMLSLDDGPSENGSEATSTDDWAGFQSAAGGASTTDEPNPPKPAESNTKSTSGIEDLFSDLPPLTTNQVPEKPQKDVKNDIMSLFEKSNMVSPFAMHQQQLAMLAQQQSLLMAAAAKSAPGNAQQPPSNGTNIPSQAWPNSGYQFPGMMMPVAGQADLQKLML >KJB62607 pep chromosome:Graimondii2_0_v6:9:67640841:67645363:-1 gene:B456_009G431100 transcript:KJB62607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKASVTKELNARHRKIIESLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEEKRWVPRDGKSKSPPRRLDERAPSHWQRPNETSGHGHISNSENSFEERRNKQALGQKENLPATRVSLPVPPKGPDQKPEPVVAPAEATKPAVETAPVAIAPKVDYATDLFNMLSLDDGPSENGSEATSTDDWAGFQSAAGGASTTDEPNPPKPAESNTKSTSGIEDLFSDLPPLTTNQVPEKPQKDVKNDIMSLFEKSNMVSPFAMHQQQLAMLAQQQSLLMAAAAKSAPGNAQQPPSNGTNIPSQAWPNSGYQFPGMMMPVAGQADLQKLMQAMNMGQTQMANSSAYPSSSFYGLGQVAPSNGVATTGASKPQSASPAPSSNPSQTGI >KJB62605 pep chromosome:Graimondii2_0_v6:9:67641232:67645130:-1 gene:B456_009G431100 transcript:KJB62605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKASVTKELNARHRKIIESLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEEKRWVPRDGKSKSPPRRLDERAPSHWQRPNETSGHGHISNSENSFEERRNKQALGQKENLPATRVSLPVPPKGPDQVTPVQKPEPVVAPAEATKPAVETAPVAIAPKVDYATDLFNMLSLDDGPSENGSEATSTDDWAGFQSAAGGASTTDEPNPPKPAESNTKSTSGIEDLFSDLPPLTTNQVPEKPQKDVKNDIMSLFEKSNMVSPFAMHQQQLAMLAQQQSLLMAAAAKSAPGNAQQPPSNGTNIPSQAWPNSGYQFPGMMMPVAGQADLQKLMQAMNMGQTQMANSSAYPSSSFYGLGQVAPSNGVATTGASKPQSASPAPSSNPSQTGKDYDFSSLTQGMFTKH >KJB62610 pep chromosome:Graimondii2_0_v6:9:67640841:67645363:-1 gene:B456_009G431100 transcript:KJB62610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKASVTKELNARHRKIIESLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEEKRWVPRDGKSKSPPRRLDERAPSHWQRPNETSGHGHISNSENSFEERRNKQALGQKENLPATRVTPVQKPEPVVAPAEATKPAVETAPVAIAPKVDYATDLFNMLSLDDGPSENGSEATSTDDWAGFQSAAGGASTTDEPNPPKPAESNTKSTSGIEDLFSDLPPLTTNQVPEKPQKDVKNDIMSLFEKSNMVSPFAMHQQQLAMLAQQQSLLMAAAAKSAPGNAQQPPSNGTNIPSQAWPNSGYQFPGMMMPVAGQADLQKLMQAMNMGQTQMANSSAYPSSSFYGLGQVAPSNGVATTGASKPQSASPAPSSNPSQTGKDYDFSSLTQGMFTKH >KJB62606 pep chromosome:Graimondii2_0_v6:9:67640798:67645411:-1 gene:B456_009G431100 transcript:KJB62606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKASVTKELNARHRKIIESLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEEKRWVPRDGKSKSPPRRLDERAPSHWQRPNETSGHGHISNSENSFEERRNKQALGQKENLPATRVSLPVPPKGPDQVTPVQKPEPVVAPAEATKPAVETAPVAIAPKVDYATDLFNMLSLDDGPSENGSEATSTDDWAGFQSAAGGASTTDEPNPPKPAESNTKSTSGIEDLFSDLPPLTTNQVPEKPQKDVKNDIMSLFEKSNMVSPFAMHQQQLAMLAQQQSLLMAAAAKSAPGNAQQPPSNGTNIPSQAWPNSGYQFPGMMMPVAGQADLQKLMQAMNMGQTQMANSSAYPSSSFYGLGQVAPSNGVATTGASKPQSASPAPSSNPSQTGI >KJB62609 pep chromosome:Graimondii2_0_v6:9:67641232:67645130:-1 gene:B456_009G431100 transcript:KJB62609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKASVTKELNARHRKIIESLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEEKRWVPRDGKSKSPPRRLDERAPSHWQRPNETSGHGHISNSENSFEERRNKQALGQKENLPATRVSLPVPPKGPDQKPEPVVAPAEATKPAVETAPVAIAPKVDYATDLFNMLSLDDGPSENGSEATSTDDWAGFQSAAGGASTTDEPNPPKPAESNTKSTSGIEDLFSDLPPLTTNQVPEKPQKDVKNDIMSLFEKSNMVSPFAMHQQQLAMLAQQQSLLMAAAAKSAPGNAQQPPSNGTNIPSQAWPNSGYQFPGMMMPVAGQADLQKLMQAMNMGQTQMANSSAYPSSSFYGLGQVAPSNGVATTGASKPQSASPAPSSNPSQTGKDYDFSSLTQGMFTKH >KJB59552 pep chromosome:Graimondii2_0_v6:9:21492272:21494347:1 gene:B456_009G260700 transcript:KJB59552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEKQGFIWLSGLLFLNILALSTAEVLYYEFFLQESQFTKLCSTKSILTVNGSFPGPEIRVRRGDTVFVNVHNQGNHAVSLKWEGVKDSIDGSNELIQPGRNFTYEIELEDEIGTLWWHATSAWAAATVHGAFVILPAANEDYPFPAPTSDQTIILGEWFREELTEANQTIAPGSADAYTINGHPGETYGCSNDTTYEMQVDYEGLYLVRVINAIANETMVFGVASHSFTIVGQSGAYSRRSFTNSLTLAPAQVVDVLLCANQNVGHYYITARPSSGAHITNGILRYTTTSSLI >KJB61030 pep chromosome:Graimondii2_0_v6:9:36339693:36342734:-1 gene:B456_009G336200 transcript:KJB61030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILVCRMSSITSDGQNKYCKKTGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKSPFTGTVSIRGRILTGTYHSAKMVRTIIVRRNYLHCIKKYQRQKWNIQFFGLDSQQKVTSSYVVVIGLGGVGSHAASMLLRSGVGKLLLVGFDQVAEKTAFLRPIELKLVPVPWKTFSSMIPKWLRKTKIVKQISQMLVNHLGLNLTKDDLQNVVDLMEPYGQISNGIEYLNPPLDVSN >KJB61031 pep chromosome:Graimondii2_0_v6:9:36340220:36342734:-1 gene:B456_009G336200 transcript:KJB61031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILVCRMSSITSDGQNKYCKKTGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKSPFTGTVSIRGRILTGTYHSAKMVRTIIVRRNYLHCIKKYQRQKWNIQFFGLDSQQKVTSSYVVVIGLGGVGSHAASMLLRSGVGKLLLVGFDQVAEKTAFLRPIELKLVPVPWKVVHLETSFLIRMNL >KJB61028 pep chromosome:Graimondii2_0_v6:9:36339887:36342734:-1 gene:B456_009G336200 transcript:KJB61028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILVCRMSSITSDGQNKYCKKTGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKSPFTGTVSIRGRILTGTYHSAKMVRTIIVRRNYLHCIKKYQRQK >KJB61032 pep chromosome:Graimondii2_0_v6:9:36339419:36342832:-1 gene:B456_009G336200 transcript:KJB61032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILVCRMSSITSDGQNKYCKKTGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKSPFTGTVSIRGRILTGTYHSAKMVRTIIVRRNYLHCIKKYQRNIQFFGLDSQQKVTSSYVVVIGLGGVGSHAASMLLRSGVGKLLLVGFDQVAEKTAFLRPIELKLVPVPWKVVHLETSFLIRMNL >KJB61029 pep chromosome:Graimondii2_0_v6:9:36339339:36343965:-1 gene:B456_009G336200 transcript:KJB61029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILVCRMSSITSDGQNKYCKKTGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKSPFTGTVSIRGRILTGTYHSAKMVRTIIVRRNYLHCIKKYQRLSAA >KJB59553 pep chromosome:Graimondii2_0_v6:9:21939837:21944372:-1 gene:B456_009G265100 transcript:KJB59553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNIRSTIDRYKKACSDTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSNRHLMGDSLSSLTVKELKQVENRLERGITRIRSKKHEMLLAEIEFLQKREIELENESVCLRTKIAEIERLQQANMVTGPELNAIQALASRNFFSPNVIEHPSAYSHPSDKKILHLG >KJB59555 pep chromosome:Graimondii2_0_v6:9:21940296:21943529:-1 gene:B456_009G265100 transcript:KJB59555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNIRSTIDRYKKACSDTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSNRHLMGDSLSSLTVKELKQVENRLERGITRIRSKKHEMLLAEIEFLQKREIELENESVCLRTKIAEIERLQQANMVTGPELNAIQALASRNFFSPNVIEHPSAYSHPSDKKILHLG >KJB59554 pep chromosome:Graimondii2_0_v6:9:21939524:21944672:-1 gene:B456_009G265100 transcript:KJB59554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNIRSTIDRYKKACSDTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSNRHLMGDSLSSLTVKELKQVENRLERGITRIRSKKHEMLLAEIEFLQKREIELENESVCLRTKIAEIERLQQANMVTGPELNAIQALASRNFFSPNVIEHPSAYSHPSDKKILHLG >KJB59556 pep chromosome:Graimondii2_0_v6:9:21939837:21944314:-1 gene:B456_009G265100 transcript:KJB59556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNIRSTIDRYKKACSDTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSNRHLMGDSLSSLTVKELKQVENRLERGITRIRSKKHEMLLAEIEFLQKREIELENESVCLRTKIAEIERLQQANMVTGPELNAIQALASRNFFSPNVIEHPSAYSHPSDKKILHLG >KJB59557 pep chromosome:Graimondii2_0_v6:9:21939837:21944453:-1 gene:B456_009G265100 transcript:KJB59557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNIRSTIDRYKKACSDTSNTNTVTEINAQYYQQESAKLRQQIQMLQNSNRHLMGDSLSSLTVKELKQVENRLERGITRIRSKKHEMLLAEIEFLQKREIELENESVCLRTKK >KJB57420 pep chromosome:Graimondii2_0_v6:9:12493229:12494913:-1 gene:B456_009G162800 transcript:KJB57420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSMKKLAELDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEESKGNEQNVKKIKTSRQKVESELSSICKDIMGVINEHLIPSCSGGESTVFYYKMKGDYYRYLAEFKMGDERKEAADQSMKAYQSATTTAEAELPPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEEAAKLGAAKVGEGDE >KJB57417 pep chromosome:Graimondii2_0_v6:9:12493576:12495077:-1 gene:B456_009G162800 transcript:KJB57417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSKERERFVYIAKLAEQAERFDDMVTSMKKLAELDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEESKGNEQNVKKIKTSRQKVESELSSICKDIMGVINEHLIPSCSGGESTVFYYKMKGDYYRYLAEFKMGDERKEAADQSMKAYQSATTTAEAELPPTHPIRLGLALNFSVFYYEIMNSPERYACMLFPLYVLTFLSSYFCIILESRVTR >KJB57421 pep chromosome:Graimondii2_0_v6:9:12494357:12495179:-1 gene:B456_009G162800 transcript:KJB57421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSKERERFVYIAKLAEQAERFDDMVTSMKKLAELDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEESKGNEQNVKKIKTSRQKVESELSSICKDIMGVINEHLIPSCSGGESTVFYYKMKGDYYRYLAEFKMGDERKEAADQSMKAYQASSIMFKLA >KJB57416 pep chromosome:Graimondii2_0_v6:9:12493137:12495179:-1 gene:B456_009G162800 transcript:KJB57416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSKERERFVYIAKLAEQAERFDDMVTSMKKLAELDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEESKGNEQNVKKIKTSRQKVESELSSICKDIMGVINEHLIPSCSGGESTVFYYKMKGDYYRYLAEFKMGDERKEAADQSMKAYQSATTTAEAELPPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEEAAKLGAAKVGEGDE >KJB57418 pep chromosome:Graimondii2_0_v6:9:12493218:12495179:-1 gene:B456_009G162800 transcript:KJB57418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSMKKLAELDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEESKGNEQNVKKIKTSRQKVESELSSICKDIMGVINEHLIPSCSGGESTVFYYKMKGDYYRYLAEFKMGDERKEAADQSMKAYQSATTTAEAELPPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEEAAKLGAAKVGEGDE >KJB57419 pep chromosome:Graimondii2_0_v6:9:12493218:12495179:-1 gene:B456_009G162800 transcript:KJB57419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSKERERFVYIAKLAEQAERFDDMVTSMKKLAELDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEESKGNEQNVKKIKTSRQKVESELSSICKDIMGVINEHLIPSCSGGESTVFYYKMKGDYYRYLAEFKMGDERKEAADQSMKAYQSATTTAEAELPPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEAAKLGAAKVGEGDE >KJB57706 pep chromosome:Graimondii2_0_v6:9:13710589:13713705:1 gene:B456_009G177200 transcript:KJB57706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVEEWYKQMPIITRSYLTAAVVTTIGCSLEIISPYHLYLNPKLVVKQYQFWRLITNFLYFRKMDLDFMFHMFFLARYCKLLEENSFRGRTADFFYMLLFGASVLTGIVLVGGMIPYLSASFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVSTFGILCPCWC >KJB57705 pep chromosome:Graimondii2_0_v6:9:13710834:13712330:1 gene:B456_009G177200 transcript:KJB57705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVEEWYKQMPIITRSYLTAAVVTTIGCSLEIISPYHLYLNPKLVVKQYQFWRLITNFLYFRKMDLDFMFHMFFLARYCKLLEENSFRGRTADFFYMLLFGASVLTGIVLVGGMIPYLSASFAKIIFLSNSLTFMMVILSAFIYMQNMV >KJB57707 pep chromosome:Graimondii2_0_v6:9:13710589:13713705:1 gene:B456_009G177200 transcript:KJB57707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVEEWYKQMPIITRSYLTAAVVTTIGCSLEIISPYHLYLNPKLVVKQYQFWRLITNFLYFRKMDLDFMFHMFFLARYCKLLEENSFRGRTADFFYMLLFGASVLTGIVLVGGMIPYLSASFAKIIFLSNSLTFMMVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLKTPTFIKAMFADEAVVVARPANVRFAPPADELHRD >KJB57704 pep chromosome:Graimondii2_0_v6:9:13710547:13713722:1 gene:B456_009G177200 transcript:KJB57704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVEEWYKQMPIITRSYLTAAVVTTIGCSLEIISPYHLYLNPKLVVKQYQFWRLITNFLYFRKMDLDFMFHMFFLARYCKLLEENSFRGRTADFFYMLLFGASVLTGIVLVGGMIPYLSASFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLKTPTFIKAMFADEAVVVARPANVRFAPPADELHRD >KJB57708 pep chromosome:Graimondii2_0_v6:9:13710589:13713705:1 gene:B456_009G177200 transcript:KJB57708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVEEWYKQMPIITRSYLTAAVVTTIGCSLEIISPYHLYLNPKLVVKQYQFWRLITNFLYFRKMDLDFMFHMFFLARYCKLLEENSFRGRTADFFYMLLFGASVLTGIVLVGGMIPYLSASFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLVRFPSRACFNVKILGHCCMDFLHISEILCYMFSQGGRAYIYIIKHFFYKCLLLESS >KJB61860 pep chromosome:Graimondii2_0_v6:9:52457458:52461418:1 gene:B456_009G386700 transcript:KJB61860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVVGIANCLGTPVCKYLQYHRKLNDYVRNFKRIRDELNCKMEDIELQLKAELLRPLGKIPKKGVENWLKDVKEMIAEAQDVENKVRNGRYLCRACNGKLVDEKTLEMKGFLDKAPNASEGLAMDGPRAGLPLPTSELVGEEALRNLIWGCLMQEEVSKIGVWGMGGVGKTTIMKHIHNDLLKQQRFERVIWVTISKEFNVMKVQDNIASALESKEYLDKEEDKLRRAAILSEMLKNAGKHVLILDDVWDKVSLEEVGIPEPSGSNGCKLVLTSRSEHVCKYMGCKVIKVKPLSEEEALILFLNKVGPNIVQSPTIMPTLKLVVLECAGLPLTIVVVAGTMKGEDNPLIWKNALGELKERIGKVEGVEAEVIERLKFSFDHLKDENVKYCFLHCALYPEDFEIEKDELIECWIEEGFIDDMRTRQEMKGKGHVILKKLEDNCLLENVSSGKIKMHDAVRDLALSITRMSPRYMIQAGLQLEELPEKEQWSPDIEKVSLMYNSISEISIDVLPTKCQLLTTLLSQRNPIKKISYSFFINMPCLSVLNLSSTKIKSLPNSISELKNLTTLLLRGCYELRDLPCLSMLQELKKLDLSATKIEEVPEGMDMLIKLRYLDLEVLTLKEIPAGLLPKLVHLQHLGFHVNNEKTSLKAEEMEPLKKLGCLTGCFEDINELNKFISSMQQSKKNLIKYSLQVGLAKFLHRRDKRVTIAGIQNWEGELIMHPIEIQELNIVKCDYLRSLVDDNSSFKNAIDLRVCRIWGCEGIECVVSLSSFASSSAHPFQSLEMLDLKHLPKLSALIMKDAGIGSATTSTLAPSACFSHLKKFYVVRCSSMKTLLPHWLLPNIQNLEEIWVSECDEIVEILGAATSEVEEEGSDALIKFHLPKLIKLELWRLPNLKSICSKSRVMVCDSLQLIRVLGYCTKLKRIPPFVPLVGNGQPFAYAPPSLTIRSWKEWWELLEWDDHPNFKNVLQPLWKEERGIWRRRRRER >KJB61859 pep chromosome:Graimondii2_0_v6:9:52457458:52471137:1 gene:B456_009G386700 transcript:KJB61859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVVGIANCLGTPVCKYLQYHRKLNDYVRNFKRIRDELNCKMEDIELQLKAELLRPLGKIPKKGVENWLKDVKEMIAEAQDVENKVRNGRYLCRACNGKLVDEKTLEMKGFLDKAPNASEGLAMDGPRAGLPLPTSELVGEEALRNLIWGCLMQEEVSKIGVWGMGGVGKTTIMKHIHNDLLKQQRFERVIWVTISKEFNVMKVQDNIASALESKEYLDKEEDKLRRAAILSEMLKNAGKHVLILDDVWDKVSLEEVGIPEPSGSNGCKLVLTSRSEHVCKYMGCKVIKVKPLSEEEALILFLNKVGPNIVQSPTIMPTLKLVVLECAGLPLTIVVVAGTMKGEDNPLIWKNALGELKERIGKVEGVEAEVIERLKFSFDHLKDENVKYCFLHCALYPEDFEIEKDELIECWIEEGFIDDMRTRQEMKGKGHVILKKLEDNCLLENVSSGKIKMHDAVRDLALSITRMSPRYMIQAGLQLEELPEKEQWSPDIEKVSLMYNSISEISIDVLPTKCQLLTTLLSQRNPIKKISYSFFINMPCLSVLNLSSTKIKSLPNSISELKNLTTLLLRGCYELRDLPCLSMLQELKKLDLSATKIEEVPEGMDMLIKLRYLDLEVLTLKEIPAGLLPKLVHLQHLGFHVNNEKTSLKAEEMEPLKKLGCLTGCFEDINELNKFISSMQQSKKNLIKYSLQVGLAKFLHRRDKRVTIAGIQNWEGELIMHPIEIQELNIVKCDYLRSLVDDNSSFKNAIDLRVCRIWGCEGIECVVSLSSFASSSAHPFQSLEMLDLKHLPKLSALIMKDAGIGSATTSTLAPSACFSHLKKFYVVRCSSMKTLLPHWLLPNIQNLEEIWVSECDEIVEILGAATSEVEEEGSDALIKFHLPKLIKLELWRLPNLKSICSKSRVMVCDSLQLIRVLGYCTKLKRIPPFVPLVGNGQPFAYAPPSLTIRSWKEWWELLEWDDHPNFKNVLQPLWKEERGIWRRRRRER >KJB63002 pep chromosome:Graimondii2_0_v6:9:70074850:70076187:1 gene:B456_009G449600 transcript:KJB63002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTGNQKQKQSLMSQFIETQFHRNLTQLLLSVSIFSLFFSHSYCLSLFHSFSFTFHNTLAFKFISHNIDKNFIFLLCNGLLVFLAKFSGLISSSSQQSNLSDDHYNHQSFKSYTYIPQTESTRLDTSTPLLELEKEENAGFVEGEEKGSSIDEPFVEDNEESETYEPEEEEEEEEEEEADIEESETYEPEEEEEEELMEGNNVLSTEEMNKRFDEFIRKMKEGLRIEAQQQLVMV >KJB56687 pep chromosome:Graimondii2_0_v6:9:9915551:9916132:-1 gene:B456_009G132200 transcript:KJB56687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSENGKRLLETDAGGLNFEATELTLGLPGEPRVTSDGGAKLGSKRGFSETVDLKLGDNNREVKLGHSLQEAAKSPVSKTQVVGWPPVRGFAKRGKKSCKYVKVAVDGAPYLRKVDLEIYNSYQQLLTSLEDMFSCFTISKYQLYHLSFFFLLIFPF >KJB56688 pep chromosome:Graimondii2_0_v6:9:9914925:9916393:-1 gene:B456_009G132200 transcript:KJB56688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSENGKRLLETDAGGLNFEATELTLGLPGEPRVTSDGGAKLGSKRGFSETVDLKLGDNNREVKLGHSLQEAAKSPVSKTQVVGWPPVRGFAKRGKKSCKYVKVAVDGAPYLRKVDLEIYNSYQQLLTSLEDMFSCFTISKYQLYHLSFFFLLIFPF >KJB56686 pep chromosome:Graimondii2_0_v6:9:9914871:9916393:-1 gene:B456_009G132200 transcript:KJB56686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSENGKRLLETDAGGLNFEATELTLGLPGEPRVTSDGGAKLGSKRGFSETVDLKLGDNNREVKLGHSLQEAAKSPVSKTQVVGWPPVRGFAKRGKKSCKYVKVAVDGAPYLRKVDLEIYNSYQQLLTSLEDMFSCFTIRNYLNEKKIEQVNGIEYMPTYEDKDGDWMLVGDVPWQMFVESCKRLRLMKSSEAVGLGLTTGPKYSSTN >KJB58523 pep chromosome:Graimondii2_0_v6:9:16576216:16578495:-1 gene:B456_009G213500 transcript:KJB58523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLNYLHSLFLTSLLFTLRIHAASVNTYIVHMDKSFMPKVFASHHSWYSSIVDSLKSTNIPQSSPSLIYSYDSGAHGFSAVLSGDELETLKKSPGFVSAYLDRTVTVDTTHTPEFLSLNSYSGLWPASNYGEGTIIGVIDTGVWPESESYKDDGMSPVPSRWKGKCEEGQEFNSSVCNSKLIGAKYFNKGVIAANPGINISMNSARDTAGHGTHTSSTAGGNYVGGVSFFGYAKGTARGIAPRSKVAMYKVLWDEGRYSSDVLAGMDEAIDDGVDVISISMGFDGVPLYEDPIAIASFAAMEKGVIVSSSAGNWGPALGSLHNGIPWVMTVAAGTIDRSFTGIVSLGDGETITGRTLFPASALVRDFALIYNKTFSACDSSVLLSNIPRGIIICDNIGSLYTQVRETAVSRVQAAIFISDEPELFDMPCPGVVISTEDSHALIKYVASNKDATASIKFQETILGTKPAPAVASYTSRGPSPSYPGILKPDIMAPGSVVLAAYIPNNYAATIGDYIFLSSNFTMLSGTSMACPHASGVAALLKGAHPEWSAAAIRSALVTTANPLDNTMKPIRDNGDVNLTFASPLAMGAGQIDPNQALDPGLIYDATPQDYVNLMCTMNFTQKQIMTITRSKSYDCSNPSSDLNYPSFIALYDPNVPKTSVKIFNRTVTNVGEGSATYKVEIVQPEDSIIAVSPETLVFGKTYEKQCFRVSIKYRSNKTGKVSFGELVWIEANGKHKVRSPVVVSPVVSSGELGFLGA >KJB63003 pep chromosome:Graimondii2_0_v6:9:70082501:70083244:-1 gene:B456_009G449700 transcript:KJB63003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRAEMSCVLKVNINCDTCRRKVMDVLQNLHGVYSVDIDAEKGTMKVSGNVNPNLILNIFEKYGKHGEISCVKFEGDTRDPFYHHHCNYYGGNGFIPYGSIHPYPFMQGPDPYFPWFDGHHYAPTPFPPPPPPPPLPPPPPPPLQPVINYFPPKAPPAVEFEPPEEMNPDRCNIM >KJB53883 pep chromosome:Graimondii2_0_v6:9:777949:780863:-1 gene:B456_009G009600 transcript:KJB53883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMKSAITSTYSPTGSRNFFLNLLKKSTTLSHLTQTHAQIILNDFQNDISTITKLTHRLFDLKATSYARHLFLSIPNPDLFLFNVLIKGFSNAHSISLYTHLRKCTHLKPDNFTYAFAIASASTLSDEKVGILLHQHAVVDGYGSDLFVGTAVVDFYLKNWRIDLARKVFDKMPVRDTVSWNSMISGLVRNCCFDDAVWVFERMLKDGGIPLDSTTVAAILPALAELQELTLGMEVQCLAIKLGFHSYVHVLTGFISLYSKCGDIEAAKLLFGEIRRPDLVSYNAMISGYTSNGESECSVRLFKQLLGSGEKVNSSSIVGLIPVFHPFGYLSLTDCIHGFCVKSGILSQPSVSTALTTVYSRLNEIKSARLLFDESFEKTPASWNAMISGYTQNGLTEAAISLFQEMQRSKVSPNPVTVTSILSACAQLGTLSLGKWVHSLVKSKNFESNIYVSTALIDMYAKCGGISEARELFDLMVGKNVVTWNAMISGYGLHGQGQDALKLFSEMSHSGVTPNGVTFLSLLYACSHAGLVEEGEKIFQSMILDYHYKPLPEHYACMVDILGRAGQLEKALKFIKEMPVEPGAAEWGALLGACVIHKDKKIARVASEKLFELDPESVGYYVLLSNLYSVERNYRLAASVRQNVKKRKLAKTPGCTLIEISETPHVFTSGDQSHPQASAIYAMLEKLIRKMKEAGFQTEIDTALHDVEEEEKELMINVHSEKLAIAFGLIATDPGTEIRIFKNLRVCVDCHTATKFISKITERVIVVRDANRFHHFKDGLCSCGDYW >KJB55884 pep chromosome:Graimondii2_0_v6:9:7182404:7185680:-1 gene:B456_009G099300 transcript:KJB55884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKFDEQSVEVEFENHHPGCMGGLFNVLDYHHWYNAKKILPQRKLNRGRQARCCANPQTISMEREPVESQRLFDGEAEQIQVQQQTRKTGSTNKRSSKAPTKGLTSKERAKEENHDKQWIVGHSTGSQLQQADSTHHLEPSSFGLGWMNPIILVRKRGETSGTSSAKSKNPDADKHFEHIQNSEKHDKIGARTLVNQKPKSKKLRKQVSHNQVEGVDVLEIFKVNNDLFLDILQDPDVSISQHFPGKQTPKAVKLTKSGSFPIPGSPRAGYLRSSTLEQKKKEVWSFQKGEKPVAGTQLSKSRAIMRTGDQSQNNVKEEASSSSSQGSDSQRWNHLVMNRLKDIKQRIKQALKERRKTNNRTKVDGLTLQVSSRDTLSTNERDMSESSEKAVIGSCIENNAADHDVSNDRLKRITRTKSINESLDRYTQLFQQSVSKETKLHHSRSLKLSHEDRIPSIGNAPKFFRRISSLSELESFCSLLYEVSSELPINNIQDHEADKKTDPHNEQKSISSPEDIDRFELVEAVIETELQEEMREGSDNRYSTGLSVDKNGEEIAKSCEFNEDAVEQTGGLGEAEFFTKERRKIRQESVDYKTMGNSRRILFFEQDTEADPCYNYVKDILELSGFSQNKGLQTWFSLDQPLDPSVFNELERLGSTFYEVGSNCDHQLVFDLVNEALLEINGMSPEYFPNPFSFNSRISLVPKGNNVVQQVWSKVSKNLASQPQHDQSLDDIIARDLDKPAWMNLQADSEFVALELEDLVFHELLDEVVCFLDN >KJB55883 pep chromosome:Graimondii2_0_v6:9:7182404:7186276:-1 gene:B456_009G099300 transcript:KJB55883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKFDEQSVEVEFENHHPGCMGGLFNVLDYHHWYNAKKILPQRKLNRGRQARCCANPQTISMEREPVESQRLFDGEAEQIQVQQQTRKTGSTNKRSSKAPTKGLTSKERAKEENHDKQWIVGHSTGSQLQQADSTHHLEPSSFGLGWMNPIILVRKRGETSGTSSAKSKNPDADKHFEHIQNSEKHDKIGARTLVNQKPKSKKLRKQVSHNQVEGVDVLEIFKVNNDLFLDILQDPDVSISQHFPGKQTPKAVKLTKSGSFPIPGSPRAGYLRSSTLEQKKKEVWSFQKGEKPVAGTQLSKSRAIMRTGDQSQNNVKEEASSSSSQGSDSQRWNHLVMNRLKDIKQRIKQALKERRKTNNRTKVDGLTLQVSSRDTLSTNERDMSESSEKAVIGSCIENNAADHDVSNDRLKRITRTKSINESLDRYTQLFQQSVSKETKLHHSRSLKLSHEDRIPSIGNAPKFFRRISSLSELESFCSLLYEVSSELPINNIQDHEADKKTDPHNEQKSISSPEDIDRFELVEAVIETELQEEMREGSDNRYSTGLSVDKNGEEIAKSCEFNEDAVEQTGGLGEAEFFTKERRKIRQESVDYKTMGNSRRILFFEQDTEADPCYNYVKDILELSGFSQNKGLQTWFSLDQPLDPSVFNELERLGSTFYEVGSNCDHQLVFDLVNEALLEINGMSPEYFPNPFSFNSRISLVPKGNNVVQQVWSKVSKNLASQPQHDQSLDDIIARDLDKPAWMNLQADSEFVALELEDLVFHELLDEVVCFLDN >KJB55159 pep chromosome:Graimondii2_0_v6:9:4713178:4714279:1 gene:B456_009G066200 transcript:KJB55159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNRQSNDGKHDDDSALSDFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLIRLVAVATQKFVAEVANDALQHCKARQAAVVKDKREKQQKVISFDACF >KJB55161 pep chromosome:Graimondii2_0_v6:9:4712975:4714887:1 gene:B456_009G066200 transcript:KJB55161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNRQSNDGKHDDDSALSDFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLIRLVAVATQKFVAEVANDALQHCKARQAAVVKDKREKQQKDKRLILTMDDLSKSLREYGVNVKQQEYFADSPSTGIDPATRDE >KJB55158 pep chromosome:Graimondii2_0_v6:9:4712991:4714045:1 gene:B456_009G066200 transcript:KJB55158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNRQSNDGKHDDDSALSDFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLIRLVAVATQKFVAEVANDALQYGTKYFLTFIPLCVFSMKEQNSVA >KJB55160 pep chromosome:Graimondii2_0_v6:9:4712991:4714878:1 gene:B456_009G066200 transcript:KJB55160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNRQSNDGKHDDDSALSDFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLIRLVAVATQKFVAEVANDALQHCKARQAAVVKDKREKQQKDKRLILTMDDLSKSLREVGIPVTCSDVLKKNGHAFLFFVFND >KJB55157 pep chromosome:Graimondii2_0_v6:9:4712991:4714878:1 gene:B456_009G066200 transcript:KJB55157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNRQSNDGKHDDDSALSDFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLIRLVAVATQKFVAEVANDALQHCKARQAAVVKDKREKQQKDKRLILTMDDLSKSLREYGVNVKQQEYFADSPSTGIDPATRDE >KJB56651 pep chromosome:Graimondii2_0_v6:9:9790132:9795362:1 gene:B456_009G129900 transcript:KJB56651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKVKPLLTCFTQEGDYLAILSPDGTVKIWSTSTGSLLAEWKQSDGNLPNSYSCMACSFIPKKGRGTCLLAIGLNEGDIWIIDVLAGDKKWSSTGYYPSGIAGLSFRNKGHSLYVIGSNGKASEINSENGDLIREFKASKRSITSLTFSQDGKYLALANGKLRVSSLENGKELLKSPDDLVSYLSDIFSDFPCILEALMVFIYLLSQDPVQYISISNDAKTIVTSGFGETNLQVWSCDLSSKTLSGGSVISMPRPPLAFECKNSGSDEDGSVILALSESGIAYVWNLDTVSQDDVEPTKITVKLDKAEGDQQKSAGSRKSRIPVISARLHATGMDQQVVALIAYGPLDSPQFSLVNVSKAGENIVINVVDQTETIQENGTSLLKVDPNGKPNKKRAAPDPDLATTRSTNDTGHGENVDGVLVNDDPSEPTMAEKLASLNLIENGKNETNENQERKEPSTHGKPPIADSVIVLLKQALRADDHALLLDCLYTQDEKVIANSVSQLNPSDVLKLLQSLVSITQSRGAVLACALPWIKSLLLHHASGIMSQESSLLALNSLYQLIESRVSTFESALQISSCLDFLYAGVVEDEFVEDAAIPVIFEDTDESDEEEPEDAMETDDQENEDGEALDEASDGVSDFEGIDDMSD >KJB56650 pep chromosome:Graimondii2_0_v6:9:9790083:9795448:1 gene:B456_009G129900 transcript:KJB56650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKVKPLLTCFTQEGDYLAILSPDGTVKIWSTSTGSLLAEWKQSDGNLPNSYSCMACSFIPKKGRGTCLLAIGLNEGDIWIIDVLAGDKKWSSTGYYPSGIAGLSFRNKGHSLYVIGSNGKASEINSENGDLIREFKASKRSITSLTFSQDGKYLALANGKLRVSSLENGKELLKSPDDLDPVQYISISNDAKTIVTSGFGETNLQVWSCDLSSKTLSGGSVISMPRPPLAFECKNSGSDEDGSVILALSESGIAYVWNLDTVSQDDVEPTKITVKLDKAEGDQQKSAGSRKSRIPVISARLHATGMDQQVVALIAYGPLDSPQFSLVNVSKAGENIVINVVDQTETIQENGTSLLKVDPNGKPNKKRAAPDPDLATTRSTNDTGHGENVDGVLVNDDPSEPTMAEKLASLNLIENGKNETNENQERKEPSTHGKPPIADSVIVLLKQALRADDHALLLDCLYTQDEKVIANSVSQLNPSDVLKLLQSLVSITQSRGAVLACALPWIKSLLLHHASGIMSQESSLLALNSLYQLIESRVSTFESALQISSCLDFLYAGVVEDEFVEDAAIPVIFEDTDESDEEEPEDAMETDDQENEDGEALDEASDGVSDFEGIDDMSD >KJB56652 pep chromosome:Graimondii2_0_v6:9:9790132:9795362:1 gene:B456_009G129900 transcript:KJB56652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKVKPLLTCFTQEGDYLAILSPDGTVKIWSTSTGSLLAEWKQSDGNLPNSYSCMACSFIPKKVGRGTCLLAIGLNEGDIWIIDVLAGDKKWSSTGYYPSGIAGLSFRNKGHSLYVIGSNGKASEINSENGDLIREFKASKRSITSLTFSQDGKYLALANGKLRVSSLENGKELLKSPDDLDPVQYISISNDAKTIVTSGFGETNLQVWSCDLSSKTLSGGSVISMPRPPLAFECKNSGSDEDGSVILALSESGIAYVWNLDTVSQDDVEPTKITVKLDKAEGDQQKSAGSRKSRIPVISARLHATGMDQQVVALIAYGPLDSPQFSLVNVSKAGENIVINVVDQTETIQENGTSLLKVDPNGKPNKKRAAPDPDLATTRSTNDTGHGENVDGVLVNDDPSEPTMAEKLASLNLIENGKNETNENQERKEPSTHGKPPIADSVIVLLKQALRADDHALLLDCLYTQDEKVIANSVSQLNPSDVLKLLQSLVSITQSRGAVLACALPWIKSLLLHHASGIMSQESSLLALNSLYQLIESRVSTFESALQISSCLDFLYAGVVEDEFVEDAAIPVIFEDTDESDEEEPEDAMETDDQENEDGEALDEASDGVSDFEGIDDMSD >KJB60824 pep chromosome:Graimondii2_0_v6:9:32920302:32931289:-1 gene:B456_009G327500 transcript:KJB60824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGIAALHKYKYSGEDHSYLAKYVLQPFWSRLVNFFPLWMPPNMITLTGFMFLVISALLAYIYSPHCDSAPPRWVHFVHGLLLFLYQTFDAIDGKQARRTNSSSPLGELFDHGCDALACALVIMAVGSTAICERDTFWFWVISAIPFYGATWEHYFTNTLILPVINGPTEGIALINTCHIFTAIVEIPTYRATLYLMTVFGVIPTVACNIGNVHKVIQAKKGSMLLALAMLHPFILLIGGVLVWDYLSPSDIIRNHPHLLILGAGLAFGFLVGRMILAHLCDEPKGLKTNMCMPLLYLPLAIANALTARRNEGVPLVDEFWVVLGYCVFTALLYLHFAIIVTHEITTALGIYCFRISRKQA >KJB60826 pep chromosome:Graimondii2_0_v6:9:32920879:32930751:-1 gene:B456_009G327500 transcript:KJB60826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGIAALHKYKYSGEDHSYLAKYVLQPFWSRLVNFFPLWMPPNMITLTGFMFLVISALLAYIYSPHCDSAPPRWVHFVHGLLLFLYQTFDAIDGKQARRTNSSSPLGELFDHGCDALACALVIMAVGSTAICERDTFWFWVISAIPFYGATWEHYFTNTLILPVINGPTEGIALINTCHIFTAIVGAQWWAQKFEKSIPLFSWVPFINEIPTYRATLYLMTVFGVIPTVACNIGNVHKVIQAKKGSMLLALAMLHPFILLIGGVLVWDYLSPSDIIRNHPHLLILGAGLAFGFLVGRMILAHLCDEPKGLKTNMCMPLLYLPLAIANALTARRNEGVPLVDEFWVVLGYCVFTALLYLHFAIIVTHEITTALGIYCFRISRKQA >KJB60825 pep chromosome:Graimondii2_0_v6:9:32920302:32931289:-1 gene:B456_009G327500 transcript:KJB60825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGIAALHKYKYSGEDHSYLAKYVLQPFWSRLVNFFPLWMPPNMITLTGFMFLVISALLAYIYSPHCDSAPPRWVHFVHGLLLFLYQTFDAIDGKQARRTNSSSPLGELFDHGCDALACALVIMAVGSTAICERDTFWFWVISAIPFYGATWEHYFTNTLILPVINGPTEGIALINTCHIFTAIVGAQWWAQKFEKSIPLFSWVPFINEIPTYRATLYLMTVFGVIPTVACNIGNVHKVIQAKKGSMLLALAMLHPFILLIGGVLVWDYLSPSDIIRNHPHLLILGAGLAFGFLVGRMILAHLCDEPKGLKTNMCMPLLYLPLAIANALTARRNEGVPLVDEFWVVLGYCVFTALLYLHFAIIVTHEITTALGIYCFRISRKQA >KJB54172 pep chromosome:Graimondii2_0_v6:9:1861941:1866265:-1 gene:B456_009G024100 transcript:KJB54172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLKGGIRRSKRINDNQETPAVLATTAQRGGANRGRGRGRGRRAMNQDDNAVLVGPGACGRGCTGINLPVKHPVEKTAERLVAVEEEGSTSPLPERVQFGNSPVYTLDRKLGKGGFGQVYVGRRITSAIGCTGADAFEVALKFEHRNGKGCSSGPPYEWQVYSSLNGCYGLPSVHYKGQLGDYYILVMDMLGPSLWDVWNSNNQMLTEDMVACIAVEAISILEQLHHKGFVHGDVKPENFLLGQPGTSNEKKLYLVDLGLASRWKEAASGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGKLPWQGYIGENKGFLVCKKKMATSPEMLCYLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFNSSIRPIQIDGAIKLGQKRGRALFELEDGEQLRKKLRLGSPASQWITVYSSRNPMKQRYHYNVIDSRLQQHVEKGKEDGLYISCVASSLNLWAIVMDAGTGFTSQVYELSPIFLHKEWIMEQWEKNYYITSVAGACNGSALVVMSKGTPYTQQSYKVSDVFPFKWINKKWKEGFSVTSMTAAGSKWGIVMSRNAGYPTQVVELDFLYPSEGIHRRWEKGYRITAAAATEDQAAFILSASKRKSQDVMQETLRTSAFPSTHVKDKWLKNLYISSICYGRTVS >KJB54171 pep chromosome:Graimondii2_0_v6:9:1861941:1866265:-1 gene:B456_009G024100 transcript:KJB54171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLKGGIRRSKRINDNQETPAVLATTAQRGGANRGRGRGRGRRAMNQDDNAVLVGPGACGRGCTGINLPVKHPVEKTAERLVAVEEEGSTSPLPERVQFGNSPVYTLDRKLGKGGFGQVYVGRRITSAIGCTGADAFEVALKFEHRNGKGCSSGPPYEWQVYSSLNGCYGLPSVHYKGQLGDYYILVMDMLGPSLWDVWNSNNQMLTEDMVACIAVEAISILEQLHHKGFVHGDVKPENFLLGQPGTSNEKKLYLVDLGLASRWKEAASGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGKLPWQGYIGENKGFLVCKKKMATSPEMLCYLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFNSSIRPIQIDGAIKLGQKRGRALFELEDGEQLRKKLRLGSPASQWITVYSSRNPMKQRYHYNVIDSRLQQHVEKGKEDGLYISCVASSLNLWAIVMDAGTGFTSQVYELSPIFLHKEWIMEQWEKNYYITSVAGACNGSALVVMSKGTPYTQQSYKVSDVFPFKWINKKWKEGFSVTSMTAAGSKWGIVMSRNAGYPTQVVELDFLYPSEGIHRRWEKGYRITAAAATEDQAAFILSASKRKSQDVMQETLRTSAFPSTHVKDKWLKNLYISSICYGRTVS >KJB54177 pep chromosome:Graimondii2_0_v6:9:1862010:1867227:-1 gene:B456_009G024100 transcript:KJB54177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLKGGIRRSKRINDNQETPAVLATTAQRGGANRGRGRGRGRRAMNQDDNAVLVGPGACGRGCTGINLPVKHPVEKTAERLVAVEEEGSTSPLPERVQFGNSPVYTLDRKLGKGGFGQVYVGRRITSAIGCTGADAFEVALKFEHRNGKGCSSGPPYEWQVYSSLNGCYGLPSVHYKGQLGDYYILVMDMLGPSLWDVWNSNNQMLTEDMVACIAVEAISILEQLHHKGFVHGDVKPENFLLGQPGTSNEKKLYLVDLGLASRWKEAASGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGKLPWQGYIGENKGFLVCKKKMATSPEMLCYLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFNSSIRPIQIDGAIKLGQKRGRALFELEDGEQLRKKLRLGSPASQWITVYSSRNPMKQRYHYNVIDSRLQQHVEKGKEDGLYISCVASSLNLWAIVMDAGTGFTSQVYELSPIFLHKEWIMEQWEKNYYITSVAGACNGSALVVMSKGTPYTQQSYKVSDVFPFKWINKKWKEGFSVTSMTAAGSKWGIVMSRNAGYPTQVVELDFLYPSEGIHRRWEKGYRITAAAATEDQAAFILSASKRKSQDVMQETLRTSAFPSTHVKVI >KJB54174 pep chromosome:Graimondii2_0_v6:9:1862402:1866265:-1 gene:B456_009G024100 transcript:KJB54174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLKGGIRRSKRINDNQETPAVLATTAQRGGANRGRGRGRGRRAMNQDDNAVLVGPGACGRGCTGINLPVKHPVEKTAERLVAVEEEGSTSPLPERVQFGNSPVYTLDRKLGKGGFGQVYVGRRITSAIGCTGADAFEVALKFEHRNGKGCSSGPPYEWQVYSSLNGCYGLPSVHYKGQLGDYYILVMDMLGPSLWDVWNSNNQMLTEDMVACIAVEAISILEQLHHKGFVHGDVKPENFLLGQPGTSNEKKLYLVDLGLASRWKEAASGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGKLPWQGYIGENKGFLVCKKKMATSPEMLCYLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFNSSIRPIQIDGAIKLGQKRGRALFELEDGEQLRKKLRLGSPASQWITVYSSRNPMKQRYHYNVIDSRLQQHVEKGKEDGLYISCVASSLNLWAIVMDAGTGFTSQVYELSPIFLHKEWIMEQWEKNYYITSVAGACNGSALVVMSKGTPYTQQSYKVSDVFPFKWINKKWKEGFSVTSMTAAGSKWGIVMSRNAGYPTQVA >KJB54175 pep chromosome:Graimondii2_0_v6:9:1861659:1867227:-1 gene:B456_009G024100 transcript:KJB54175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLKGGIRRSKRINDNQETPAVLATTAQRGGANRGRGRGRGRRAMNQDDNAVLVGPGACGRGCTGINLPVKHPVEKTAERLVAVEEEGSTSPLPERVQFGNSPVYTLDRKLGKGGFGQVYVGRRITSAIGCTGADAFEVALKFEHRNGKGCSSGPPYEWQVYSSLNGCYGLPSVHYKGQLGDYYILVMDMLGPSLWDVWNSNNQMLTEDMVACIAVEAISILEQLHHKGFVHGDVKPENFLLGQPGTSNEKKLYLVDLGLASRWKEAASGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGKLPWQGYIGENKGFLVCKKKMATSPEMLCYLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFNSSIRPIQIDGAIKLGQKRGRALFELEDGEQLRKKLRLGSPASQWITVYSSRNPMKQRYHYNVIDSRLQQHVEKGKEDGLYISCVASSLNLWAIVMDAGTGFTSQVYELSPIFLHKEWIMEQWEKNYYITSVAGACNGSALVVMSKGTPYTQQSYKVSDVFPFKWINKKWKEGFSVTSMTAAGSKWGIVMSRNAGYPTQVVELDFLYPSEGIHRRWEKGYRITAAAATEDQAAFILSASKRKSQDVMQETLRTSAFPSTHVKDKWLKNLYISSICYGRTVS >KJB54173 pep chromosome:Graimondii2_0_v6:9:1861571:1867332:-1 gene:B456_009G024100 transcript:KJB54173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLKGGIRRSKRINDNQETPAVLATTAQRGGANRGRGRGRGRRAMNQDDNAVLVGPGACGRGCTGINLPVKHPVEKTAERLVAVEEEGSTSPLPERVQFGNSPVYTLDRKLGKGGFGQVYVGRRITSAIGCTGADAFEVALKFEHRNGKGCSSGPPYEWQVYSSLNGCYGLPSVHYKGQLGDYYILVMDMLGPSLWDVWNSNNQMLTEDMVACIAVEAISILEQLHHKGFVHGDVKPENFLLGQPGTSNEKKLYLVDLGLASRWKEAASGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGKLPWQGYIGENKGFLVCKKKMATSPEMLCYLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFNSSIRPIQIDGAIKLGQKRGRALFELEDGEQLRKKLRLGSPASQWITVYSSRNPMKQRYHYNVIDSRLQQHVEKGKEDGLYISCVASSLNLWAIVMDAGTGFTSQVYELSPIFLHKEWIMEQWEKNYYITSVAGACNGSALVVMSKGTPYTQQSYKVSDVFPFKWINKKWKEGFSVTSMTAAGSKWGIVMSRNAGYPTQVVELDFLYPSEGIHRRWEKGYRITAAAATEDQAAFILSASKRKSQDVMQETLRTSAFPSTHVKQLWLKNLYISSICYGRTVS >KJB54176 pep chromosome:Graimondii2_0_v6:9:1861941:1866265:-1 gene:B456_009G024100 transcript:KJB54176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLKGGIRRSKRINDNQETPAVLATTAQRGGANRGRGRGRGRRAMNQDDNAVLVGPGACGRGCTGINLPVKHPVEKTAERLVAVEEEGSTSPLPERVQFGNSPVYTLDRKLGKGGFGQVYVGRRITSAIGCTGADAFEVALKFEHRNGKGCSSGPPYEWQVYSSLNGCYGLPSVHYKGQLGDYYILVMDMLGPSLWDVWNSNNQMLTEDMVACIAVEAISILEQLHHKGFVHGDVKPENFLLGQPGTSNEKKLYLVDLGLASRWKEAASGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGKLPWQGYIGENKGFLVCKKKMATSPEMLCYLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFNSSIRPIQIDGAIKLGQKRGRALFELEDGEQLRKKLRLGSPASQWITVYSSRNPMKQRYHYNVIDSRLQQHVEKGKEDGLYISCVASSLNLWAIVMDAGTGFTSQVYELSPIFLHKEWIMEQWEKNYYITSVAGACNGSALVVMSKGTPYTQQSYKVSDVFPFKWINKKWKEGFSVTSMTAAGSKWGIVMSRNAGYPTQVVELDFLYPSEGIHRRWEKGYRITAAAATEDQAAFILSASKRKSQDVMQETLRTSAFPSTHVKDKWLKNLYISSICYGRTVS >KJB54170 pep chromosome:Graimondii2_0_v6:9:1861941:1866265:-1 gene:B456_009G024100 transcript:KJB54170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLKGGIRRSKRINDNQETPAVLATTAQRGGANRGRGRGRGRRAMNQDDNAVLVGPGACGRGCTGINLPVKHPVEKTAERLVAVEEEGSTSPLPERVQFGNSPVYTLDRKLGKGGFGQVYVGRRITSAIGCTGADAFEVALKFEHRNGKGCSSGPPYEWQVYSSLNGCYGLPSVHYKGQLGDYYILVMDMLGPSLWDVWNSNNQMLTEDMVACIAVEAISILEQLHHKGFVHGDVKPENFLLGQPGTSNEKKLYLVDLGLASRWKEAASGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGKLPWQGYIGENKGFLVCKKKMATSPEMLCYLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFNSSIRPIQIDGAIKLGQKRGRALFELEDGEQLRKKLRLGSPASQWITVYSSRNPMKQRYHYNVIDSRLQQHVEKGKEDGLYISCVASSLNLWAIVMDAGTGFTSQVYELSPIFLHKEWIMEQWEKNYYITSVAGACNGSALVVMSKGTPYTQQSYKVSDVFPFKWINKKWKEGFSVTSMTAAGSKWGIVMSRNAGYPTQVVELDFLYPSEGIHRRWEKGYRITAAAATEDQAAFILSASKRKSQDVMQETLRTSAFPSTHVKDKWLKNLYISSICYGRTVS >KJB61359 pep chromosome:Graimondii2_0_v6:9:45699852:45701375:-1 gene:B456_009G353200 transcript:KJB61359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSIPKLYSTYSFPNDFSQFPNPLTVSQENYTACGAIPGTSWDHEDISFPTFLDNGGVDVFQQDSNLTSPVSVPVPAALFPELIGISSDLDVPTALPHHFNNVAAGFCGIGTIQNLGARYQLQDVCEFGDECTGFVHQDFKPIDPTLGQNWGIQGNRMRPPAMEDSNLKVGRYSVEERKDRILRYLKKRNQRNFNKTIKENPS >KJB61360 pep chromosome:Graimondii2_0_v6:9:45700069:45701249:-1 gene:B456_009G353200 transcript:KJB61360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSIPKLYSTYSFPNDFSQFPNPLTVSQENYTACGAIPGTSWDHEDISFPTFLDNGGVDVFQQDSNLTSPVSVPVPAALFPELIGISSDLDVPTALPHHFNNVAAGFCGIGTIQNLGARYQLQDVCEFGDECTGFVHQDFKPIDPTLGQNWGIQGNRMRPPAMEDSNLKVGRYSVEERKDRILRYLKKRNQRNFNKTIKYACRKTLADRRVRVRGRFARNTEICEHQDMVLKREDDNLPNDKNLYNCCEAVQMKHDEDDWLQEAMANLMYLPYIAG >KJB62941 pep chromosome:Graimondii2_0_v6:9:69545250:69548617:1 gene:B456_009G444300 transcript:KJB62941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALMRVARSLLQNEHLHIEPYLHQLMPSIITCLVAKRLGNKFTDNHWGLRNFAAKLVASICKRVSYWTFHTNLHNEELVSEASLVAITSLLEKRSSSNFEDRFKDEEKPLLEPEIKRHEAWCVYGALLRVAGLCMYDRLKMFPNKRKASTGTLMQQQPPAKKIATESAIGGSNIGVSSMSRPLSNDNMLGREVGAQAWKEDTDPGNLLASLFELFGESMLSFTPKPELSFFL >KJB62940 pep chromosome:Graimondii2_0_v6:9:69544779:69548617:1 gene:B456_009G444300 transcript:KJB62940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVWIVDVIGTWNWYFAFHAAPSDGKMAEYKEDGLSVDVKLPVKPVLSRELQLYFDKIVDITMNKSVSVLFQQALLSLATDSGLHPLVPYFTYFIADEVVLKIFHTSLYMCVAKNFWLLHQLMPSIITCLVAKRLGNKFTDNHWGLRNFAAKLVASICKRVSYWTFHTNLHNEELVSEASLVAITSLLEKRSSSNFEDRFKDEEKPLLEPEIKRHEAWCVYGALLRVAGLCMYDRLKMFPNKRKASTGTLMQQQPPAKKIATESAIGMMPINSMQTDLQGAVSGFSTTIGGSNIGVSSMSRPLSNDNMLGREVGAQAWKEDTDPGNLLASLFELFGESMLSFTPKPELSFFL >KJB57703 pep chromosome:Graimondii2_0_v6:9:13666431:13668128:1 gene:B456_009G176400 transcript:KJB57703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:THI1 MVVRKPAHRFLDELGIQYDEQEDYVVIKHAALFTSTVMSKLLARPNVKLFNAVAAEDLIVKENRVAGVVTNWALVSMNHDTQSCMDPNVMESKVVVSSCGHDGPFGATGVKRLKSIGMIDSVPGMKALDMNTAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGQPNAIDGTFSEAERVQPEFVLAAAETEEIVDA >KJB57702 pep chromosome:Graimondii2_0_v6:9:13666431:13668128:1 gene:B456_009G176400 transcript:KJB57702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:THI1 MAAMATTLTSLSSTPKPSFLDHKSSFHGTPLASRFTPIKSSSQTATISMSLTPPYDLQSFKFQPIKESYVAREMTRRYMMDMITYADTDVIIVGAGSAGLSCAYEISKNPNIRVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHRFLDELGIQYDEQEDYVVIKHAALFTSTVMSKLLARPNVKLFNAVAAEDLIVKENRVAGVVTNWALVSMNHDTQSCMDPNVMESKVVVSSCGHDGPFGATGVKRLKSIGMIDSVPGMKAAHLALKALGQPNAIDGTFSEAERVQPEFVLAAAETEEIVDA >KJB57700 pep chromosome:Graimondii2_0_v6:9:13666329:13668181:1 gene:B456_009G176400 transcript:KJB57700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:THI1 MAAMATTLTSLSSTPKPSFLDHKSSFHGTPLASRFTPIKSSSQTATISMSLTPPYDLQSFKFQPIKESYVAREMTRRYMMDMITYADTDVIIVGAGSAGLSCAYEISKNPNIRVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHRFLDELGIQYDEQEDYVVIKHAALFTSTVMSKLLARPNVKLFNAVAAEDLIVKENRVAGVVTNWALVSMNHDTQSCMDPNVMESKVVVSSCGHDGPFGATGVKRLKSIGMIDSVPGMKALDMNTAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGQPNAIDGTFSEAERVQPEFVLAAAETEEIVDA >KJB57701 pep chromosome:Graimondii2_0_v6:9:13666431:13668127:1 gene:B456_009G176400 transcript:KJB57701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:THI1 MAAMATTLTSLSSTPKPSFLDHKSSFHGTPLASRFTPIKSSSQTATISMSLTPPYDLQSFKFQPIKESYVAREMTRRYMMDMITYADTDVIIVGAGSAGLSCAYEISKNPNIRVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHRFLDELGIQYDEQEDYVVIKHAALFTSTVMSKLLARPNVKLFNAVAAEDLIVKENRVAGVVTNWALVSMNHDTQSCMDPNVMESKVVVSSCGHDGPFGATGVKRLKSIGMIDSVPGMKALDMNTAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGQPNAIDGTFSEAERVQPEFVLAAAETEEIVDA >KJB53849 pep chromosome:Graimondii2_0_v6:9:658277:660737:-1 gene:B456_009G007600 transcript:KJB53849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAMSLQQRPLPFFNGSLLFSSSNRQFPHRSTTIFRWSFGRDQGSSLVTSRTKGRAFRILANPNVSSGKGNSGKEVIMVDPLEAKRLAAKQMVQIKAKEKAKRRRQIEAINGAWAMIGLTAGLVIEAQTGKGILEQLAGYLWAIVHIFVR >KJB54851 pep chromosome:Graimondii2_0_v6:9:3727823:3729429:1 gene:B456_009G051800 transcript:KJB54851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLDIISDLELGKPGDRRKPEKRVNGVFWIILLNLGIYVADHLFKFQGIQSLYLYHNWPAWYQFITSTFCHANWKHLSSNLFFLYIFGKLVEEEEGSFALWLSYIFTGAGANIVSWLVLPRNAVSVGASGAVFGLFAISVLVKMSWDWRKILEVLILGQFVIDKVMEAAQASTGLFGLSYPLQNVNHIAHLSGALIGVLLVWLLSKTPSEPLDNEISSSPRKRS >KJB54852 pep chromosome:Graimondii2_0_v6:9:3727866:3729323:1 gene:B456_009G051800 transcript:KJB54852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLDIISDLELGKPGDRRKPEKRVNGVFWIILLNLGIYVADHLFKFQGIQSLYLYHNWPAWYQFITSTFCHANWKHLSSNLFFLYIFGKLVEEEEGSFALWLSYIFTGAGANIVSWLVLPRNAVSVGASGAVFGLFAISVLVKVMEAAQASTGLFGLSYPLQNVNHIAHLSGALIGVLLVWLLSKTPSEPLDNEISSSPRKRS >KJB63054 pep chromosome:Graimondii2_0_v6:9:70198967:70202581:-1 gene:B456_009G451400 transcript:KJB63054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGHHQEAINKFLSLMENVDEKMKNTFQNMHHGYPTETLVRFLKARDWNVQKAHKMLIDCLQWRLQNEIDNILSKPIIPVDLYRAVRDSQLVGVSGYSKEGHPVIAIGIGLSTYDKASVNYYVQSHIQMNEYRDRVVLPTATEKYGRHISTCLKILDMTGLKLSALNQIKLLSTIATIDDLNYPEKTGTYYIVNAPYIFSACWKAVKPLLQERTKRKIQVLQGCGRDELLKIMDYSSLPHFCRKEGSGSGSGSSRNSSNGTVDNCFSLDHTFHQQLYNYIKQQSTLIEASLPIRQGSVHVKFPKPGLEDTEIVKTIQTELDRFADQNAVCNSMNVVKVNGD >KJB55672 pep chromosome:Graimondii2_0_v6:9:6448459:6449645:1 gene:B456_009G088300 transcript:KJB55672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYCNNQVRDSCFTNARHGRSCSKAQACLEKILHLISSIPPPCEINPNPFKKRGFDIDLNLRLGSFVDDDDESEEKSDCFAENSFNEEKTGTGEATATDVSSDVTDIQKSEEFKSGTEGEVKASAAFFHDSLDLLIEAAEMISARDIYLNEKKKEVEEVEKSGVGDGRESMERVKDDSVNVVEEGLEEFEDIASPVVRSKRGRSQVLPLRFRDSVLEPWKKRPQRSTATTAAVAVSKKKRNWR >KJB55960 pep chromosome:Graimondii2_0_v6:9:7388254:7390080:1 gene:B456_009G102400 transcript:KJB55960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVGNGCSSLAYKLLFSCPFGLSQPQLSAVFDESYDRIPHSDSNLENSISQIWDQRVQKNGSLFNGKKFRYGGYSQKSGDGSKKESYVCLHLGLTDYRTFVGTNLNPSWEKFLVASEDDPTQCRHTSSPLGNGAIVETSDQKIVLLQRSNNVGEFPGHFVFPGGHPEPQEVGIETHEYCKCSKDSELVNKKVSQEMFESIIREVVEEIGVPATSLVSAVTLLLVLLPFVNGCEIGGSLNCP >KJB55961 pep chromosome:Graimondii2_0_v6:9:7387506:7391351:1 gene:B456_009G102400 transcript:KJB55961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVGNGCSSLAYKLLFSCPFGLSQPQLSAVFDESYDRIPHSDSNLENSISQIWDQRVQKNGSLFNGKKFRYGGYSQKSGDGSKKESYVCLHLGLTDYRTFVGTNLNPSWEKFLVASEDDPTQCRHTSSPLGNGAIVETSDQKIVLLQRSNNVGEFPGHFVFPGGHPEPQEVGIETHEYCKCSKDSELVNKKVSQEMFESIIREVVEEIGVPATSLSDPLFIGISRRVLNVRPAIFFFIKCSLESKEIHQLYSKAQDGYESTQLYTVSMVSLFLLAFSSGKFW >KJB55957 pep chromosome:Graimondii2_0_v6:9:7387506:7391351:1 gene:B456_009G102400 transcript:KJB55957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVGNGCSSLAYKLLFSCPFGLSQPQLSAVFDESYDRIPHSDSNLENSISQIWDQRVQKNGSLFNGKKFRYGGYSQKSGDGSKKESYVCLHLGLTDYRTFVGTNLNPSWEKFLVASEDDPTQCRHTSSPLGNGAIVETSDQKIVLLQRSNNVGEFPGHFVFPGGHPEPQEVGIETHEYCKCSKDSELVNKKVSQEMFESIIREVVEEIGVPATSLS >KJB55964 pep chromosome:Graimondii2_0_v6:9:7387506:7391351:1 gene:B456_009G102400 transcript:KJB55964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVGNGCSSLAYKLLFSCPFGLSQPQLSAVFDESYDRIPHSDSNLENSISQIWDQRVQKNGSLFNGKKFRYGGYSQKSGDGSKKESYVCLHLGLTDYRTFVGTNLNPSWEKFLVASEDDPTQCRHTSSPLGNGAIVETSDQKIVLLQRSNNVGEFPGHFVFPGGHPEPQEVGIETHEYCKCSKDSELVNKKVSQEMFESIIREVVEEIGVPATSLSDPLFIGISRRVLNVRPAIFFFIKCSLESKEIHQLYSKAQDGYESTQLYTVSMIEVEHMTSKMPGCHQGGFALYKLMVEALKKN >KJB55959 pep chromosome:Graimondii2_0_v6:9:7387506:7391351:1 gene:B456_009G102400 transcript:KJB55959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVGNGCSSLAYKLLFSCPFGLSQPQLSAVFDESYDRIPHSDSNLENSISQIWDQRVQKNGSLFNGKKFRYGGYSQKSGDGSKKESYVCLHLGLTDYRTFVGTNLNPSWEKFLVASEDDPTQCRHTSSPLGNGAIVETSDQKIVLLQRSNNVGEFPGHFVFPGGHPEPQEVGIETHEYCKCSKDSELVNKKVSQEMFESIIREVVEEIGVPATSLMLSFQFLLLLAVRSSFYRHIPQGLEC >KJB55963 pep chromosome:Graimondii2_0_v6:9:7388254:7389763:1 gene:B456_009G102400 transcript:KJB55963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVGNGCSSLAYKLLFSCPFGLSQPQLSAVFDESYDRIPHSDSNLENSISQIWDQRVQKNGSLFNGKKFRYGGYSQKSGDGSKKESYVCLHLGLTDYRTFVGTNLNPSWEKFLVASEDDPTQCRHTSSPLGNGAIVETSDQKIVLLQRSNNVGEFPGHFVFPGGHPEVIHNEGYTIDLTF >KJB55958 pep chromosome:Graimondii2_0_v6:9:7387506:7391351:1 gene:B456_009G102400 transcript:KJB55958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVGNGCSSLAYKLLFSCPFGLSQPQLSAVFDESYDRIPHSDSNLENSISQIWDQRVQKNGSLFNGKKFRYGGYSQKSGDGSKKESYVCLHLGLTDYRTFVGTNLNPSWEKFLVASEDDPTQCRHTSSPLGNGAIVETSDQKIVLLQRSNNVGEFPGHFVFPGGHPEPQEVGIETHEYCKCSKDSELVNKKVSQEMFESIIREVVEEIGVPATSLGLEC >KJB55962 pep chromosome:Graimondii2_0_v6:9:7387506:7391383:1 gene:B456_009G102400 transcript:KJB55962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVGNGCSSLAYKLLFSCPFGLSQPQLSAVFDESYDRIPHSDSNLENSISQIWDQRVQKNGSLFNGKKFRYGGYSQKSGDGSKKESYVCLHLGLTDYRTFVGTNLNPSWEKFLVASEDDPTQCRHTSSPLGNGAIVETSDQKIVLLQRSNNVGEFPGHFVFPGGHPEPQEVGIETHEYCKCSKDSELVNKKVSQEMFESIIREVVEEIGVPATSLSDPLFIGISRRVLNVRPAIFFFIKCSLESKEIHQLYSKAQDGYESTQLYTVSMIEVEHMTSKMPGCHQGGFALYKLMVEALKKN >KJB60632 pep chromosome:Graimondii2_0_v6:9:29800554:29803742:1 gene:B456_009G315900 transcript:KJB60632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHELPPDKRQLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRAGGEQNLVAWARPLFKDRRKFAQMADPLLQGQYPVRGLYQALAVAAMCVQEQPNMRPLIADVVTALSYLASQKYDPGTQTVQGSRTGSSTPRMRRE >KJB60630 pep chromosome:Graimondii2_0_v6:9:29800333:29802770:1 gene:B456_009G315900 transcript:KJB60630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFPCGGKPNKKGKKKLPNHNNTTTINSSDDQIPSTSEKLKVNSVPDAKKEANKDGGSDHIAAHTFTFRELAAATKNFRADYLLGEGGFGRVYKGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHELPPDKRQLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRAGGEQNLVAWVRLLWLLSFTVLMRIQRHILFLWLIFLHYCSEI >KJB60627 pep chromosome:Graimondii2_0_v6:9:29800140:29803783:1 gene:B456_009G315900 transcript:KJB60627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFPCGGKPNKKGKKKLPNHNNTTTINSSDDQIPSTSEKLKVNSVPDAKKEANKDGGSDHIAAHTFTFRELAAATKNFRADYLLGEGGFGRVYKGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHELPPDKRQLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRAGGEQNLVAWARPLFKDRRKFAQMADPLLQGQYPVRGLYQALAVAAMCVQEQPNMRPLIADVVTALSYLASQKYDPGTQTVQGSRTGSSTPRMRRE >KJB60631 pep chromosome:Graimondii2_0_v6:9:29800213:29803726:1 gene:B456_009G315900 transcript:KJB60631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFPCGGKPNKKGKKKLPNHNNTTTINSSDDQIPSTSEKLKVNSVPDAKKEANKDGGSDHIAAHTFTFRELAAATKNFRADYLLGEGGFGRVYKGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHELPPDKRQLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRAGGEQNLVAWVRLLWLLSFTVLMRIQRHILFLWLIFLHYCSEI >KJB60629 pep chromosome:Graimondii2_0_v6:9:29800213:29803726:1 gene:B456_009G315900 transcript:KJB60629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFPCGGKPNKKGKKKLPNHNNTTTINSSDDQIPSTSEKLKVNSVPDAKKEANKDGGSDHIAAHTFTFRELAAATKNFRADYLLGEGGFGRVYKGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRAGGEQNLVAWARPLFKDRRKFAQMADPLLQGQYPVRGLYQALAVAAMCVQEQPNMRPLIADVVTALSYLASQKYDPGTQTVQGSRTGSSTPRMRRE >KJB60628 pep chromosome:Graimondii2_0_v6:9:29800213:29803726:1 gene:B456_009G315900 transcript:KJB60628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFPCGGKPNKKGKKKLPNHNNTTTINSSDDQIPSTSEKLKVNSVPDAKKEANKDGGSDHIAAHTFTFRELAAATKNFRADYLLGEGGFGRVYKGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHELPPDKRQLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRAGGEQNLVAWPEYASDMSVGY >KJB58762 pep chromosome:Graimondii2_0_v6:9:17568719:17569855:-1 gene:B456_009G225000 transcript:KJB58762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLSKVKIEFNALDPRIASCMEFLAQCNARKAKESNPACQLQVKRRTDDHPPQITVTFVNGVEEAFDATSTPAQTIRTMILEKGQMLETEQMFREAGEKWPVIIPEEELHQSFPGTKVWALFSSGQGKQKRRSSNANLSDHFTGSHFGRS >KJB58761 pep chromosome:Graimondii2_0_v6:9:17568617:17569855:-1 gene:B456_009G225000 transcript:KJB58761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLSKVKIEFNALDPRIASCMEFLAQCNARKAKESNPACQLQVKRRTDDHPPQITVTFVNGVEEAFDATSTPAQTIRTMILEKGQMLETEQMFREAGEKWPVIIPEEELHQSFPGTKPRKAEEKKQ >KJB61105 pep chromosome:Graimondii2_0_v6:9:39488438:39493288:1 gene:B456_009G340900 transcript:KJB61105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWVYLFLWISCTVLDGLNMFDGTDGHYFHMGSRGHHSVWDSRLFNYGNWKVLRYLISNARWWLEEYKFDGYRFDGVTSMMYKIFLIK >KJB61103 pep chromosome:Graimondii2_0_v6:9:39488438:39493288:1 gene:B456_009G340900 transcript:KJB61103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWVYLFLWISCTVLDGLNMFDGTDGHYFHMGSRGHHSVWDSRLFNYGNWKVLRYLISNARWWLEEYKFDGYRFDGVTSMMYKIFLIK >KJB61102 pep chromosome:Graimondii2_0_v6:9:39488438:39490979:1 gene:B456_009G340900 transcript:KJB61102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWVYLFLWISCTVLDGLNMFDGTDGHYFHMGSRGHHSVWDSRLFNYGNWKVLRYLISNARWWLEEYKFDGYRFDGVTSMMYKIFLIK >KJB61104 pep chromosome:Graimondii2_0_v6:9:39488438:39490979:1 gene:B456_009G340900 transcript:KJB61104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWVYLFLWISCTVLDGLNMFDGTDGHYFHMGSRGHHSVWDSRLFNYGNWKVLRYLISNARWWLEEYKFDGYRFDGVTSMMYKIFLIK >KJB54454 pep chromosome:Graimondii2_0_v6:9:2615006:2616583:1 gene:B456_009G034900 transcript:KJB54454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLKARPLFSSPFPLSFSSFNHLKKSFTLSTRTSKSSTVMSIIEHVVLFKVKGDTEQEKVNAMLNNLNGLVSLDPVLHLTAGPVRRIKSPILNFTHMLHSRYKSKEDLNTYSAHPEHQRVVKENVIPICDDIMAVDWVADNDPTPLSPPPGSAFKVTFLKLKESVSNEVQGGILGEIKEVKEGISGIQQMTCGENFSPARAKGFSLASVAVFGGVGEMEAAVGNEEYVNLQKQKVRDNVDGVIVVDYVVPASSP >KJB54455 pep chromosome:Graimondii2_0_v6:9:2615011:2616583:1 gene:B456_009G034900 transcript:KJB54455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIEHVVLFKVKGDTEQEKVNAMLNNLNGLVSLDPVLHLTAGPVRRIKSPILNFTHMLHSRYKSKEDLNTYSAHPEHQRVVKENVIPICDDIMAVDWVADNDPTPLSPPPGSAFKVTFLKLKESVSNEVQGGILGEIKEVKEGISGIQQMTCGENFSPARAKGFSLASVAVFGGVGEMEAAVGNEEYVNLQKQKVRDNVDGVIVVDYVVPASSP >KJB54453 pep chromosome:Graimondii2_0_v6:9:2614889:2616631:1 gene:B456_009G034900 transcript:KJB54453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLKARPLFSSPFPLSFSSFNHLKKSFTLSTRTSKSSTVMSIIEHVVLFKVKGDTEQEKVNAMLNNLNGLVSLDPVLHLTAGPVRRIKSPILNFTHMLHSRYKSKEDLNTYSAHPEHQRVVKENVIPICDDIMAVDWVADNDPTPLSPPPGSAFKVTFLKLKESVSNEVQGGILGEIKEVKEGISGIQQMTCGENFSPARAKGFSLASVAVFGGVGEMEAAVGNEEYVNLQKQKVRDNVDGVIVVDYVVPASSP >KJB54456 pep chromosome:Graimondii2_0_v6:9:2615019:2616583:1 gene:B456_009G034900 transcript:KJB54456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLKARPLFSSPFPLSFSSFNHLKKSFTLSTRTSKSSTVMSIIEHVVLFKVKGDTEQEKVNAMLNNLNGLVSLDPVLHLTAGPVRRIKSPILNFTHMLHSRYKSKEDLNTYSAHPEHQRVVKENVIPICDDIMAVDWVADNDPTPLSPPPGSAFKVTFLKLKESVSNEVQGGILGEIKEVKEGISGIQQMTCGENFSPARAKGFSLASVAVFGGVGEMEAAVGNEEYVNLQKQKVRDNVDGVIVVDYVVPASSP >KJB58439 pep chromosome:Graimondii2_0_v6:9:16269674:16276300:1 gene:B456_009G209900 transcript:KJB58439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDKHSAGLLPTLRMERVRTILTHTYPYPHEHSRHAIIAVVVGCLFFISSDNMHTLIEKLDKNIKWWSMYACLLGFFYFFSSPFIGKTIKPSYSNFSRWYIAWILVAALYHLPSFQSMGLDMRMNLSLFLSIYISSILFLIVFHIIFLGLWYLGLVSRVAGRRPAILTILQNCAVISIACCVFYSHCGNRAMLRDRPFERKTSNWFSFWKKEERNTWLAKFVRMSELKNQVCSSWFAPVGLASDYPLLSKWVIYGELACNGSCPGSSDEISPIFSLWATFIGLYMANYVVERSTGWALTHPLSVEEYENLKKNQMKPDFLDMVPWYSGTSADLFKTAFDLLVSVTLFVGRFDMRMMQAAMSRVHEGAQQDDLFYDHLSEKEDLWFDFMADTGDGGNSSYTVARLLAQPSIQLSKEDSVLTLPRGDLLLVGGDLAYPNPSGFTYERRLFSPFEYALQPPTWYKHEHIAVNKPELPEGISQLNEYDGPQCFLIPGNHDWFDGLNTFMRYICHKSWLGGWFMPQKKSYFALHLPKRWWVFGLDLSLHNDIDVYQFKFFSELVKNKVGENDTVIVMTHEPQWLLDWYWNENSGRNVSHLICDYLKGRCKLRIAGDMHHYMRHSCVPSEGPVHVHHLLVNGCGGAFLHPTHVFSSFNKFYGKTYECKASYPSFHDSNRIALGNILKFRKKNWQFDFFGGVIYFILVFSMFPQCKLDHILQGDSFSGHLGSFFGTVWDNFVYVLGHSFVSLTGVVLLLIMAIAFVPSKVSRKKRAIIGIIHVSAHLAAALILMLLMELGLETCIRHKLLATSGEIVITLCISGTVQSKVSIFQILVVFVLVWSNGHLASIQHVSSISCPHLMFQRLWLSPEAIFARMEYRRCPEGVLSYIMLQSSFTSGFSRLLWFLWCSEATCISALTGFIYTLTRRSLLSELLITSHSHDSTSIVMVILKFSLLRSIRFQGNGCWILIGIWSRSSHNS >KJB58436 pep chromosome:Graimondii2_0_v6:9:16269030:16276356:1 gene:B456_009G209900 transcript:KJB58436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDKHSAGLLPTLRMERVRTILTHTYPYPHEHSRHAIIAVVVGCLFFISSDNMHTLIEKLDKNIKWWSMYACLLGFFYFFSSPFIGKTIKPSYSNFSRWYIAWILVAALYHLPSFQSMGLDMRMNLSLFLSIYISSILFLIVFHIIFLGLWYLGLVSRVAGRRPAILTILQNCAVISIACCVFYSHCGNRAMLRDRPFERKTSNWFSFWKKEERNTWLAKFVRMSELKNQVCSSWFAPVGLASDYPLLSKWVIYGELACNGSCPGSSDEISPIFSLWATFIGLYMANYVVERSTGWALTHPLSVEEYENLKKNQMKPDFLDMVPWYSGTSADLFKTAFDLLVSVTLFVGRFDMRMMQAAMSRVHEGAQQDDLFYDHLSEKEDLWFDFMADTGDGGNSSYTVARLLAQPSIQLSKEDSVLTLPRGDLLLVGGDLAYPNPSGFTYERRLFSPFEYALQPPTWYKHEHIAVNKPELPEGISQLNEYDGPQCFLIPGNHDWFDGLNTFMRYICHKSWLGGWFMPQKKSYFALHLPKRWWVFGLDLSLHNDIDVYQFKFFSELVKNKVGENDTVIVMTHEPQWLLDWYWNENSGRNVSHLICDYLKGRCKLRIAGDMHHYMRHSCVPSEGPVHVHHLLVNGCGGAFLHPTHVFSSFNKFYGKTYECKASYPSFHDSNRIALGNILKFRKKNWQFDFFGGVIYFILVFSMFPQCKLDHILQGDSFSGHLGSFFGTVWDNFVYVLGHSFVSLTGVVLLLIMAIAFVPSKVSRKKRAIIGIIHVSAHLAAALILMLLMELGLETCIRHKLLATSGYHSLYQWYRSVESEHFPDPSGLRARMEQWTFGLYPACIKYLMSAFDVPEVMAVTRSNICKNGIQALSRGGAVIYYASIFLYFWVFSTPVVSLVFGSYLYICINWFHLHFDEAFSSLRIANYKSFTRFHINRDGDLEVFTLAVDKVPREWMLDPDWDMEQKQPQQLSHRRKYPSKWSAAAGQQDPVNTVRVVDHFVIRQNEKPDFVSSNGSVSR >KJB58438 pep chromosome:Graimondii2_0_v6:9:16270271:16275843:1 gene:B456_009G209900 transcript:KJB58438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDKHSAGLLPTLRMERVRTILTHTYPYPHEHSRHAIIAVVVGCLFFISSDNMHTLIEKLDKNIKWWSMYACLLGFFYFFSSPFIGKTIKPSYSNFSRWYIAWILVAALYHLPSFQSMGLDMRMNLSLFLSIYISSILFLIVFHIIFLGLWYLGLVSRVAGRRPAILTILQNCAVISIACCVFYSHCGNRAMLRDRPFERKTSNWFSFWKKEERNTWLAKFVRMSELKNQVCSSWFAPVGLASDYPLLSKWVIYGELACNGSCPGSSDEISPIFSLWATFIGLYMANYVVERSTGWALTHPLSVEEYENLKKNQMKPDFLDMVPWYSGTSADLFKTAFDLLVSVTLFVGRFDMRMMQAAMSRVHEGAQQDDLFYDHLSEKEDLWFDFMADTGDGGNSSYTVARLLAQPSIQLSKEDSVLTLPRGDLLLVGGDLAYPNPSGFTYERRLFSPFEYALQPPTWYKHEHIAVNKPELPEGISQLNEYDGPQCFLIPGNHDWFDGLNTFMRYICHKSWLGGWFMPQKKSYFALHLPKRWWVFGLDLSLHNDIDVYQFKFFSELVKNKVGENDTVIVMTHEPQWLLDWYWNENSGRNVSHLICDYLKGRCKLRIAGDMHHYMRHSCVPSEGPVHVHHLLVNGCGGAFLHPTHVFSSFNKFYGKTYECKASYPSFHDSNRIALGNILKFRKKNWQFDFFGGVIYFILVFSMFPQCKLDHILQGDSFSGHLGSFFGTVWDNFVYVLGHSFVSLTGVVLLLIMAIAFVPSKVSRKKRAIIGIIHVSAHLAAALILMLLMELGLETCIRHKLLATSGYHSLYQWYRSVESEHFPDPSGLRARMEQWTFGLYPACIKYLMSAFDVPEVMAVTRSNICKNGIQALSRGGAVIYYASIFLYFWVFSTPVVSLVFGSYLYICINWFHLHFDEAFSSLRIANYKSFTRFHINRDGDLEVFTLAVDKVRNLE >KJB58440 pep chromosome:Graimondii2_0_v6:9:16270271:16276300:1 gene:B456_009G209900 transcript:KJB58440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDKHSAGLLPTLRMERVRTILTHTYPYPHEHSRHAIIAVVVGCLFFISSDNMHTLIEKLDKNIKWWSMYACLLGFFYFFSSPFIGKTIKPSYSNFSRWYIAWILVAALYHLPSFQSMGLDMRMNLSLFLSIYISSILFLIVFHIIFLGLWYLGLVSRVAGRRPAILTILQNCAVISIACCVFYSHCGNRAMLRDRPFERKTSNWFSFWKKEERNTWLAKFVRMSELKNQVCSSWFAPVGLASDYPLLSKWVIYGELACNGSCPGSSDEISPIFSLWATFIGLYMANYVVERSTGWALTHPLSVEEYENLKKNQMKPDFLDMVPWYSGTSADLFKTAFDLLVSVTLFVGRFDMRMMQAAMSRVHEGAQQDDLFYDHLSEKEDLWFDFMADTGDGGNSSYTVARLLAQPSIQLSKEDSVLTLPRGDLLLVGGDLAYPNPSGFTYERRLFSPFEYALQPPTWYKHEHIAVNKPELPEGISQLNEYDGPQCFLIPGNHDWFDGLNTFMRYICHKSWLGGWFMPQKKSYFALHLPKRWWVFGLDLSLHNDIDVYQFKFFSELVKNKVGENDTVIVMTHEPQWLLDWYWNENSGRNVSHLICDYLKGRCKLRIAGDMHHYMRHSCVPSEGPVHVHHLLVNGCGGAFLHPTHVFSSFNKFYGKTYECKASYPSFHDSNRIALGNILKFRKKNWQFDFFGGVIYFILVFSMFPQCKLDHILQGDSFSGHLGSFFGTVWDNFVYVLGHSFVSLTGVVLLLIMAIAFVPSKVSRKKRAIIGIIHVSAHLAAALILMLLMELGLETCIRHKLLATSGYHSLYQWYRSVESEHFPDPSGLRARMEQWTFGLYPACIKYLMSAFDVPENC >KJB58437 pep chromosome:Graimondii2_0_v6:9:16270271:16274961:1 gene:B456_009G209900 transcript:KJB58437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDKHSAGLLPTLRMERVRTILTHTYPYPHEHSRHAIIAVVVGCLFFISSDNMHTLIEKLDKNIKWWSMYACLLGFFYFFSSPFIGKTIKPSYSNFSRWYIAWILVAALYHLPSFQSMGLDMRMNLSLFLSIYISSILFLIVFHIIFLGLWYLGLVSRVAGRRPAILTILQNCAVISIACCVFYSHCGNRAMLRDRPFERKTSNWFSFWKKEERNTWLAKFVRMSELKNQVCSSWFAPVGLASDYPLLSKWVIYGELACNGSCPGSSDEISPIFSLWATFIGLYMANYVVERSTGWALTHPLSVEEYENLKKNQMKPDFLDMVPWYSGTSADLFKTAFDLLVSVTLFVGRFDMRMMQAAMSRVHEGAQQDDLFYDHLSEKEDLWFDFMADTGDGGNSSYTVARLLAQPSIQLSKEDSVLTLPRGDLLLVGGDLAYPNPSGFTYERRLFSPFEYALQPPTWYKHEHIAVNKPELPEGISQLNEYDGPQCFLIPGNHDWFDGLNTFMRYICHKSWLGGWFMPQKKSYFALHLPKRWWVFGLDLSLHNDIDVYQFKFFSELVKNKVGENDTVIVMTHEPQWLLDWYWNENSGRNVSHLICDYLKGRCKLRIAGDMHHYMRHSCVPSEGPVHVHHLLVNGCGGAFLHPTHVFSSFNKFYGKTYECKASYPSFHDSNRIALGNILKFRKKNWQFDFFGGVIYFILVFSMFPQCKLDHILQGDSFSGHLGSFFGTVWDNFVYVLGHSFVSLTGVVLLLIMAIAFVPSKVSRKKRAIIGIIHVSAHLAAALILMLLMELGLETCIRHKLLATSGEIVIVFSAKLSLILLL >KJB58543 pep chromosome:Graimondii2_0_v6:9:16631750:16632626:-1 gene:B456_009G214200 transcript:KJB58543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHQLARGFWEHEPSLSLGCKRLRPLAPKLQQHTSSTDTVSSFDLKSFIRPESGPRKLGPSDQKRDSPQVETHPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEKITAQLGKYGKIEGKNVFYWFQNHKARERQKMKRNSLGLTNCPRNSPPITTITLDTRVHQRPLVY >KJB58542 pep chromosome:Graimondii2_0_v6:9:16631036:16632695:-1 gene:B456_009G214200 transcript:KJB58542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHQLARGFWEHEPSLSLGCKRLRPLAPKLQQHTSSTDTVSSFDLKSFIRPESGPRKLGPSDQKRDSPQVETHPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEKITAQLGKYGKIEGKNVFYWFQNHKARERQKMKRNSLGLTNCPRNSPPITTITLDTRMEIMERSDEDSPYKRKCKSWSFEYLDEQSISPCKEQENRTLELFPLHPEGR >KJB62046 pep chromosome:Graimondii2_0_v6:9:56724344:56725747:1 gene:B456_009G398700 transcript:KJB62046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQTQSVDQQQQEEENEQRPFLPENAEEEGGPTSLQPSKKRRRYKGDRHIKVDGRDRRIRIPLTCCSGLFRLTREMGHRTNGETIQWLLQQTRPDLVPPDPVTHPTLLFASAPAYMEKGRVRATVVQASTVFFDTPATLDKAERLIAGSAAYGSQLVVFPEAFVGGYPRGFPFESPNEDNQELPKYHASAIEVPGPEVDRLAKISCRYKVHLVMGVVEKDGFYLFSTILFFDPVGRYLGKHRKLMRSASECVVWCSGEKSSLPLYRTAIGKVGGLLYLDNRIPSLRTELYAKGRIIVCCQLRNP >KJB62049 pep chromosome:Graimondii2_0_v6:9:56724136:56726696:1 gene:B456_009G398700 transcript:KJB62049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQTQSVDQQQQEEENEQRPFLPENAEEEGGPTSLQPSKKRRRYKGDRHIKVDGRDRRIRIPLTCCSGLFRLTREMGHRTNGETIQWLLQQTRPDLVPPDPVTHPTLLFASAPAYMEKGRVRATVVQASTVFFDTPATLDKAERLIAGSAAYGSQLVVFPEAFVGGYPRGFPFESPNEDNQELPKYHASAIEVPGPEVDRLAKISCRYKVHLVMGVVEKDGFYLFSTILFFDPVGRYLGKHRKLMRSASECVVWCSGEKSSLPLYRTAIGKVGGLLYLDNRIPSLRTELYAKGIQMYCAPTADAREEWRASMIHIAIEGRCFVLSANQFCRRKDYSLPLKCIDGDSNSDLLDTIVCSGGSVIVSPSGTILAGPNYQGESLISADLDLEEITRAKLEFGEVGLGMGPDSVGWSANKPNLVLYQTAVKTEAFVDLS >KJB62047 pep chromosome:Graimondii2_0_v6:9:56724136:56726614:1 gene:B456_009G398700 transcript:KJB62047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQTQSVDQQQQEEENEQRPFLPENAEEEGGPTSLQPSKKRRRYKGDRHIKVDGRDRRIRIPLTCCSGLFRLTREMGHRTNGETIQWLLQQTRPDLVPPDPVTHPTLLFASAPAYMEKGRVRATVVQASTVFFDTPATLDKAERLIAGSAAYGSQLVVFPEAFVGGYPRGFPFESPNEDNQELPKYHASAIEVPGPEVDRLAKISCRYKVHLVMGVVEKDGFYLFSTILFFDPVGRYLGKHRKLMRSASECVVWCSGEKSSLPLYRTAIGKVGGLLYLDNRIPSLRTELYAKGIQMYCAPTADAREEWRASMIHIAIEGRCFVLSANQFCRRKDYSLPLKCIDGDSNSDLLDTIVCSGGSVIVSPSGTILAGPNYQGESLISADLGKVPINIYLHDRITFFNIDHL >KJB62045 pep chromosome:Graimondii2_0_v6:9:56724136:56726614:1 gene:B456_009G398700 transcript:KJB62045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQTQSVDQQQQEEENEQRPFLPENAEEEGGPTSLQPSKKRRRYKGDRHIKVDGRDRRIRIPLTCCSGLFRLTREMGHRTNGETIQWLLQQTRPDLVPPDPVTHPTLLFASAPAYMEKGRVRATVVQASTVFFDTPATLDKAERLIAGSAAYGSQLVVFPEAFVGGYPRGFPFESPNEDNQELPKYHASAIEVPGRYLGKHRKLMRSASECVVWCSGEKSSLPLYRTAIGKVGGLLYLDNRIPSLRTELYAKGIQMYCAPTADAREEWRASMIHIAIEGRCFVLSANQFCRRKDYSLPLKCIDGDSNSDLLDTIVCSGGSVIVSPSGTILAGPNYQGESLISADLDLEEITRAKLEFGEVGLGMGPDSVGWSANKPNLVLYQTAVKTEAFVDLS >KJB62048 pep chromosome:Graimondii2_0_v6:9:56724136:56726690:1 gene:B456_009G398700 transcript:KJB62048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQTQSVDQQQQEEENEQRPFLPENAEEEGGPTSLQPSKKRRRYKGDRHIKVDGRDRRIRIPLTCCSGLFRLTREMGHRTNGETIQWLLQQTRPDLVPPDPVTHPTLLFASAPAYMEKGRVRATVVQASTVFFDTPATLDKAERLIAGSAAYGSQLVVFPEAFVGGYPRGFPFESPNEDNQELPKYHASAIEVPGIQMYCAPTADAREEWRASMIHIAIEGRCFVLSANQFCRRKDYSLPLKCIDGDSNSDLLDTIVCSGGSVIVSPSGTILAGPNYQGESLISADLDLEEITRAKLEFGEVGLGMGPDSVGWSANKPNLVLYQTAVKTEAFVDLS >KJB59694 pep chromosome:Graimondii2_0_v6:9:22290631:22292918:1 gene:B456_009G267700 transcript:KJB59694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSDENNPGVIGASRYQGGLHAGERGKLVAATGQNRRALSTINRNLIEGPPFPCAVSKRPLSERNAVCDKIPPIPQHRPITRKFAAQMANKQQMEPEEIKKPIQSVPDSNEDCSIIDVDNSDVPMFVQHTEAMMEEIERMQEVEMEDVDDDDDDPLVDIDNCDKTNPLAVVEYIDDLYQFYKKAECTGCVPPNYMEQQYDINQRMRGILIDWLVEVHYKFELMEETLYLTINLIDRFLAVKQIARKKLQLVGVTAMLLACKYEEVSVPVIEDLVLISDKAYSRQEVLDMVCNLNPFFASF >KJB59691 pep chromosome:Graimondii2_0_v6:9:22290583:22295370:1 gene:B456_009G267700 transcript:KJB59691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSDENNPGVIGASRYQGGLHAGERGKLVAATGQNRRALSTINRNLIEGPPFPCAVSKRPLSEKFAAQMANKQQMEPEEIKKPIQSVPDSNEDCSIIDVDNSDVPMFVQHTEAMMEEIERMQEVEMEDVDDDDDDPLVDIDNCDKTNPLAVVEYIDDLYQFYKKAECTGCVPPNYMEQQYDINQRMRGILIDWLVEVHYKFELMEETLYLTINLIDRFLAVKQIARKKLQLVGVTAMLLACKYEEVSVPVIEDLVLISDKAYSRQEVLDMEKLMINTLQFNLSVPTPYVFMRRFLKAAQSNKKLELLSFFMIELCLVEYEMLRFPPSLLAAAAIFTAQCSLSGCKYWSKTSEWYTTYSEEQLMECSRMMVRFHQKAGTGKLTGVQRKYSTSKYGYAAKIEAPTFLLES >KJB59697 pep chromosome:Graimondii2_0_v6:9:22290631:22293689:1 gene:B456_009G267700 transcript:KJB59697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSDENNPGVIGASRYQGGLHAGERGKLVAATGQNRRALSTINRNLIEGPPFPCAVSKRPLSEKFAAQMANKQQMEPEEIKKPIQSVPDSNEDCSIIDVDNSDVPMFVQHTEAMMEEIERMEVEMEDVDDDDDDPLVDIDNCDKTNPLAVVEYIDDLYQFYKKAECTGCVPPNYMEQQYDINQRMRGILIDWLVEVHYKFELMEETLYLTINLIDRFLAVKQIARKKLQLVGVTAMLLACKYEEVSVPVIEDLVLISDKAYSRQEVLDMEKLMINTLQFNLSVPTPYVFMRRFLKAAQSNKKLELLSFFMIELCLVEYEMLRFPPSLLAAAAIFTAQCSLSGCKYWSKTSEWYTTYSEEQLMECSRMMVRFHQKAGTGKLTGVQRKYSTSKYGYAAKIEAPTFLLES >KJB59696 pep chromosome:Graimondii2_0_v6:9:22290631:22293689:1 gene:B456_009G267700 transcript:KJB59696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSDENNPGVIGASRYQGGLHAGERGKLVAATGQNRRALSTINRNLIEGPPFPCAVSKRPLSERNAVCDKIPPIPQHRPITRKFAAQMANKQQMEPEEIKKPIQSVPDSNEDCSIIDVDNSDVPMFVQHTEAMMEEIERMEVEMEDVDDDDDDPLVDIDNCDKTNPLAVVEYIDDLYQFYKKAECTGCVPPNYMEQQYDINQRMRGILIDWLVEVHYKFELMEETLYLTINLIDRFLAVKQIARKKLQLVGVTAMLLACKYEEVSVPVIEDLVLISDKAYSRQEVLDMEKLMINTLQFNLSVPTPYVFMRRFLKAAQSNKKLELLSFFMIELCLVEYEMLRFPPSLLAAAAIFTAQCSLSGCKYWSKTSEWYTTYSEEQLMECSRMMVRFHQKAGTGKLTGVQRKYSTSKYGYAAKIEAPTFLLES >KJB59692 pep chromosome:Graimondii2_0_v6:9:22290929:22293689:1 gene:B456_009G267700 transcript:KJB59692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSDENNPGVIGASRYQGGLHAGERGKLVAATGQNRRALSTINRNLIEGPPFPCAVSKRPLSERNAVCDKIPPIPQHRPITRKFAAQMANKQQMEPEEIKKPIQSVPDSNEDCSIIDVDNSDVPMFVQHTEAMMEEIERMQEVEMEDVDDDDDDPLVDIDNCDKTNPLAVVEYIDDLYQFYKKAECTGCVPPNYMEQQYDINQRMRGILIDWLVEVHYKFELMEETLYLTINLIDRFLAVKQIARKKLQLVGVTAMLLACKYEEVSVPVIEDLVLISDKAYSRQEVLDMEKLMINTLQFNLSVPTPYVFMRRFLKAAQSNKKLELLSFFMIELCLVEYEMLRFPPSLLAAAAIFTAQCSLSGCKYWSKTSEWYTTYSEEQLMECSRMMVRFHQKAGTGKLTGVQRKYSTSKYGYAAKIEAPTFLLES >KJB59698 pep chromosome:Graimondii2_0_v6:9:22290583:22295344:1 gene:B456_009G267700 transcript:KJB59698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSDENNPGVIGASRYQGGLHAGERGKLVAATGQNRRALSTINRNLIEGPPFPCAVSKRPLSERNAVCDKIPPIPQHRPITRKFAAQMANKQQMEPEEIKKPIQSVPDSNEDCSIIDVDNSDVPMFVQHTEAMMEEIERMQEVEMEDVDDDDDDPLVDIDNCDKTNPLAVVEYIDDLYQFYKKAECTGCVPPNYMEQQYDINQRMRGILIDWLVEVHYKFELMEETLYLTINLIDRFLAVKQIARKKLQLVGVTAMLLACKYEEVSVPVIEDLVLISDKAYSRQEVLDMEKLMINTLQFNLSVPTPYVFMRRFLKAAQSNKKLELLSFFMIELCLVEYEMLRFPPSLLAAAAIFTAQCSLSGCKYWSKTSEWYTTYSEEQLMECSRMMVRFHQKAGTGKLTGVQRKYSTSKYGYAAKIEAPTFLLES >KJB59695 pep chromosome:Graimondii2_0_v6:9:22290631:22293689:1 gene:B456_009G267700 transcript:KJB59695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSDENNPGVIGASRYQGGLHAGERGKLVAATGQNRRALSTINRNLIEGPPFPCAVSKRPLSERNAVCDKIPPIPQHRPITRKFAAQMANKQQMEPEEIKKPIQSVPDSNEDCSIIDVDNSDVPMFVQHTEAMMEEIERMQEVEMEDVDDDDDDPLVDIDNCDKTNPLAVVEYIDDLYQFYKKAECTGCVPPNYMEQQYDINQRMRGILIDWLVEVHYKFELMEETLYLTINLIDRFLAVKQIARKKLQLVGVTAMLLACKYEEVSVPVIEDLVLISDKAYSRQEVLDMKLMINTLQFNLSVPTPYVFMRRFLKAAQSNKKLELLSFFMIELCLVEYEMLRFPPSLLAAAAIFTAQCSLSGCKYWSKTSEWYTTYSEEQLMECSRMMVRFHQKAGTGKLTGVQRKYSTSKYGYAAKIEAPTFLLES >KJB59693 pep chromosome:Graimondii2_0_v6:9:22290583:22295344:1 gene:B456_009G267700 transcript:KJB59693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSDENNPGVIGASRYQGGLHAGERGKLVAATGQNRRALSTINRNLIEGPPFPCAVSKRPLSEKFAAQMANKQQMEPEEIKKPIQSVPDSNEDCSIIDVDNSDVPMFVQHTEAMMEEIERMQEVEMEDVDDDDDDPLVDIDNCDKTNPLAVVEYIDDLYQFYKKAECTGCVPPNYMEQQYDINQRMRGILIDWLVEVHYKFELMEETLYLTINLIDRFLAVKQIARKKLQLVGVTAMLLACKYEEVSVPVIEDLVLISDKAYSRQEVLDMEKLMINTLQFNLSVPTPYVFMRRFLKAAQSNKKLELLSFFMIELCLVEYEMLRFPPSLLAAAAIFTAQCSLSGCKYWSKTSEWYTTYSEEQLMECSRMMVRFHQKAGTGKLTGVQRKYSTSKYGYAAKIEAPTFLLES >KJB55151 pep chromosome:Graimondii2_0_v6:9:4676141:4676828:-1 gene:B456_009G065800 transcript:KJB55151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPISSVNTVETAAMPSMSPPAMGQPRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWRLSGRLDNNSEGGDVERDVESGENEGDSTKQVKVYEEKILKPTFLATPVIVCTKASSFGGNINGKVDDDKEGSKKDESGEKVKGEMSGDDDDDDDDDQQFPTVTDNSENHDNHDSQPTPDQNQTSELSS >KJB61318 pep chromosome:Graimondii2_0_v6:9:44818826:44821159:-1 gene:B456_009G351200 transcript:KJB61318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCISAPRKLVGIISKRCEYRYKAKGKAKAKAARFCQDGNTGKCINLRTRVLKESSGYNILGRYKMGKELGRGEFGITNECFDIRTGEAYACKKISKAKLRTEIDVEDVRREVEIMKHLPKHPNIVAFREAFEDKEAVYLVMELCHGGELFDRIVAKGHYTERAAAKVVKTILEIIKVCHDHGVIHRDLKPENFLLADGGETAPIKAIDFGLSTFYKPGQLFSDIVGSPYYMAPEVLRRNYGKEVDIWSAGVILYIMLCGVPPFWADTEEGIAQAIIRGKIDFGRDPWPKVSTEAKDIVKRMLDPNPQSRMAVHQVLEHPWIQNLENGRNVDLGENVCTRIKQFSLMNKFKKEVLRVVADNLPNEQIDSITEMFHMMDNDEDGQLSLEELKDGLQKLGHSVYHPEVQMLMQAADMDGNGTLSCDEFIIMAVHLKRIGNDEHLREAFNVFDKNQSGYIEFEELEQALLHDNLHPHLIQNIMVEIDKDKDGKISYAEFKTMMLTGMDWKMASRQYSRALLNAVSTKILRQSGQLK >KJB62894 pep chromosome:Graimondii2_0_v6:9:69393984:69395272:-1 gene:B456_009G442400 transcript:KJB62894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPYKRKRRVAAYLQSHPCYIVFALISLSLMESHLEKQDRDVLQKSFEEMISTLPKVNFWGLPLDLYQYQGFWITLPFLQGALSAQQQFQAQPTDIILCSSLRTGYSKSQNTQPIQLDEAFELFYEGVSMYGSYWDHVLGYWKASLEHPDKLMFLKYEDLVENTVLYLKKIAEFIGYPFSSEEQQEGVPENIVQMCSFENLSGLEVNKTGNIVKGKEIWS >KJB58509 pep chromosome:Graimondii2_0_v6:9:16488268:16493651:-1 gene:B456_009G212700 transcript:KJB58509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGEIEEGMVVDERSESPAPPRKPQKSSYDTLKETKASVEAVVAKILSVKKEKKPKSELREQVTQMFLHFVNLRQANRSILLEEDKVKAETERAKAPVDFTTLQLHNLMYEKSHYLKAIKTCKDFKSKYPDIELVSEEEFFGGAPEEIKGSNLSDDSSHNLMLKRLNYELFQRKELCKLLEKLEQQKKSLLEMIANRKKFLSSLPSHLKSLKKASLPVQNQLGVLHTKKLKQHNSAELLPPPLYVIYSQFMAQKEAFGEDIDLEIIGSLKDAQAFARQQANKDNGVSNSIESSRMEDDIPDEEDDGQRRRKRPKRVLSKEAIDQAGVYQVHPLKIILHIYDDEASDPGSTKLITLKFEYLLKLNVVCVGIEGSSEGPEYYILCNLFPDDTGLDLPHQSAKLFIGDGATFDEKRTSRPYKWAQHLAGIDFLPEVSPLLNSLEASNNETKSEAVISGLALYRQQNRVQTVVQRIRSRIKAELALAEQLDSLSKLKWPALNCKSVPWALHTPLCSLHSWSSVGSKVNEASSQPIIDSEPVQEPMDVDMDGRSGISKEELEGFREDGELPSLLSVPSVTNDAKLTPLKGSSLNHSKQLALISKSILSPGSRGKLPSFKKHDDECVFMLETDSEVDEPLETETENSSSTQCCEIAEKSWVDCGIKEFVLLLTRKMDTTGHNMKLEAKIKISMEYPLRPPLFTVNLYSPGESSSKNDYSGWQNEVRAMEAEVNLHMLKMVPPDDENYTLSHQVYCLAMLFDYYMDEATPSSEKRKSSSVIDVGLCKPVSGRLLARSFRGRDRRKMISWKDMECTTGYPF >KJB58510 pep chromosome:Graimondii2_0_v6:9:16489018:16493289:-1 gene:B456_009G212700 transcript:KJB58510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGEIEEGMVVDERSESPAPPRKPQKSSYDTLKETKASVEAVVAKILSVKKEKKPKSELREQVTQMFLHFVNLRQANRSILLEEDKVKAETERAKAPVDFTTLQLHNLMYEKSHYLKAIKTCKDFKSKYPDIELVSEEEFFGGAPEEIKGSNLSDDSSHNLMLKRLNYELFQRKELCKLLEKLEQQKKSLLEMIANRKKFLSSLPSHLKSLKKASLPVQNQLGVLHTKKLKQHNSAELLPPPLYVIYSQFMAQKEAFGEDIDLEIIGSLKDAQAFARQQANKDNGVSNSIESSRMEDDIPDEEDDGQRRRKRPKRVLSKEAIDQAGVYQVHPLKIILHIYDDEASDPGSTKLITLKFEYLLKLNVVCVGIEGSSEGPEYYILCNLFPDDTGLDLPHQSAKLFIGDGATFDEKRTSRPYKWAQHLAGIDFLPEVSPLLNSLEASNNETKSEAVISGLALYRQQNRVQTVVQRIRSRIKAELALAEQLDSLSKLKWPALNCKSVPWALHTPLCSLHSWSSVGSKVNEASSQPIIDSEPVQEPMDVDMDGRSGISKEELEGFREDGELPSLLSVPSVTNDAKLTPLKGSSLNHSKQLALISKSILSPGSRGKLPSFKKHDDECVFMLETDSEVDEPLETETENSSSTQCCEIAEKSWVDCGIKEFVLLLTRKMDTTGHNMKLEAKIKISMEYPLRPPLFTVNLYSPGESSSKNDYSGWQNEVRAMEAEVSN >KJB57029 pep chromosome:Graimondii2_0_v6:9:11011320:11015920:-1 gene:B456_009G145300 transcript:KJB57029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLRLKQQQQALMQQALLQQQSLYHPGILAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHSQVTEPLLQEVFASTGPVEGCKLIRKEKSSYGFVHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDAMLYACFSVYHSCSDARVMWDQKTGRSRGFGFVSFRSQQDAQSAINDLSGKWLGSRQIRCNWATKGAGSNDDKQSSDAKSVVELTNGSSEDGKETTNNEAPENNPQYTTVYVGNLAPEVTQLELHRHFHALGAGVIEEVRVQRDKSFGFVRYSTHAGAALAIQMGNTQSFLCGKQIKVCSLFFSEFGYCFEKCKYVIQYVYLKFLEDMLEIMCII >KJB57026 pep chromosome:Graimondii2_0_v6:9:11010623:11016006:-1 gene:B456_009G145300 transcript:KJB57026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLRLKQQQQALMQQALLQQQSLYHPGILAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHSQVTEPLLQEVFASTGPVEGCKLIRKEKSSYGFVHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDAMLYACFSVYHSCSDARVMWDQKTGRSRGFGFVSFRSQQDAQSAINDLSGKWLGSRQIRCNWATKGAGSNDDKQSSDAKSVVELTNGSSEDGKETTNNEAPENNPQYTTVYVGNLAPEVTQLELHRHFHALGAGVIEEVRVQRDKSFGFVRYSTHAGAALAIQMGNTQSFLCGKQIKCSWGSKPTPPGTSSNPLPPPAAAPLPGLSAIDLLAYERQLAMSKMGGVHALMHPQGQHPLKQAAMGVGAAGASQAIYDGFI >KJB57025 pep chromosome:Graimondii2_0_v6:9:11010626:11015920:-1 gene:B456_009G145300 transcript:KJB57025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLRLKQQQQALMQQALLQQQSLYHPGILAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHSQVTEPLLQEVFASTGPVEGCKLIRKEKSSYGFVHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDAMLYACFSVYHSCSDARVMWDQKTGRSRGFGFVSFRSQQDAQSAINDLSGKWLGSRQIRCNWATKGAGSNDDKQSSDAKSVVELTNGSSEDGKETTNNEAPENNPQYTTVYVGNLAPEVTQLELHRHFHALGAGVIEEVRVQRDKSFGFVRYSTHAGAALAIQMGNTQSFLCGKQIKCSWGSKPTPPGTSSNPLPPPAAAPLPGLSAIDLLAYERQLAMSKMGGVHALMHPQGQHPLKQAAMGVGAAGASQAIYDGGFQNVAAAQQLLYYQ >KJB57028 pep chromosome:Graimondii2_0_v6:9:11010634:11015920:-1 gene:B456_009G145300 transcript:KJB57028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLRLKQQQQALMQQALLQQQSLYHPGILAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHSQVTEPLLQEVFASTGPVEGCKLIRKEKSSYGFVHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDAMLYACFSVYHSCSDARVMWDQKTGRSRGFGFVSFRSQQDAQSAINDLSGKWLGSRQIRCNWATKGAGSNDDKQSSDAKSVVELTNGSSEDGKETTNNEAPENNPQYTTVYVGNLAPEASHPT >KJB57027 pep chromosome:Graimondii2_0_v6:9:11011100:11015804:-1 gene:B456_009G145300 transcript:KJB57027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLRLKQQQQALMQQALLQQQSLYHPGILAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHSQVTEPLLQEVFASTGPVEGCKLIRKEKSSYGFVHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDAMLYACFSVYHSCSDARVMWDQKTGRSRGFGFVSFRSQQDAQSAINDLSGKWLGSRQIRCNWATKGAGSNDDKQSSDAKSVVELTNGSSEDGKETTNNEAPENNPQYTTVYVGNLAPEVTQLELHRHFHALGAGVIEEVRVQRDKSFGFVRYSTHAGAALAIQMGNTQSFLCGKQIKVLLLGQQTYTTGDKFKPTSPTCSCTFTGPFSD >KJB54731 pep chromosome:Graimondii2_0_v6:9:3397148:3398709:1 gene:B456_009G046900 transcript:KJB54731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSDAHNLRAETLQKQYELVKKRTTRSHVMQYGDIALSKDALFAYFGTNPANDNFTFVDVDSLQPPTAVVNQRDADLVYFLEKYRKAPEGSAEKTEAQKQLVEIMSCRMRIDHSVKLIGMLLFERGPEVLNTV >KJB54732 pep chromosome:Graimondii2_0_v6:9:3397184:3398674:1 gene:B456_009G046900 transcript:KJB54732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQELIKALVFHLEACDSGSIFEGLLPEAYCPGDDPSAPPEYHQTCLGDLYSLAWMEDSDAHNLRAETLQKQYELVKKRTTRSHVMQYGDIALSKDALFAYFGTNPANDNFTFVDVDSLQPPTAVVNQRDADLVYFLEKYRKAPEGSAEKTEAQKQLVEIMSCRMRIDHSVKLIGMLLFERGPEVLNTLLVSSFYSLPSYLRRHFAMFTYTGVPLQNICNARIQTEQMVEASAQACASIPIGH >KJB57371 pep chromosome:Graimondii2_0_v6:9:12240375:12242810:-1 gene:B456_009G159800 transcript:KJB57371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQDQLVEENFNIEAEAGGILTPLTKHYNANVTNGELEIRFYWAGKGTQAIPSRGVHGPLISAISVDPNFKPQHKENKTKTVPIIIGVVGSFLVFLASGIFIWMYCFKAKSHREQDLRGLDLQTVSFTLKQIKAATNNFDSGNKIGEGGFGPVYKGQLADGTIIAVKQLSSKSSQGNREFLNEMGMFSCLQHPNLVKLYGCCIEGNQLLLVYEYLENNSLSRALFGPEYSRINLDWPTRQKICVGIAKGIAFLHEESRLKIVHRDIKGTNVLLDRDLNPKISDFGLAKLSDEDKTHISTRIAGTIGYIAPEYALWGYLTYKADVYSFGIVALELVSGKHNMNYGPVDEYTCLLDWACHLQQSGKLLELVDDKLGSDYNKSEAERMIKVALLCTNASPSLRPTMSDVVGMLEGTITIPDVIPNASSYNEDLRFKAIRDHRSYMSSQGHTSTPAGSLFESSSTSARDINETNEESCIEV >KJB57372 pep chromosome:Graimondii2_0_v6:9:12240375:12243642:-1 gene:B456_009G159800 transcript:KJB57372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYINCGGTNDVKMNGTMYVGDATSGLGGAATLYGNNDNWGFSSTGDFRDDNDELNAASRYLVQSTSMSNQLYATARLSPLSITYFRYCLENGSYSVRLHFAEIEIINSTRYGRLGRRIFNIYIQDQLVEENFNIEAEAGGILTPLTKHYNANVTNGELEIRFYWAGKGTQAIPSRGVHGPLISAISVDPNFKPQHKENKTKTVPIIIGVVGSFLVFLASGIFIWMYCFKAKSHREQDLRGLDLQTVSFTLKQIKAATNNFDSGNKIGEGGFGPVYKGQLADGTIIAVKQLSSKSSQGNREFLNEMGMFSCLQHPNLVKLYGCCIEGNQLLLVYEYLENNSLSRALFGPEYSRINLDWPTRQKICVGIAKGIAFLHEESRLKIVHRDIKGTNVLLDRDLNPKISDFGLAKLSDEDKTHISTRIAGTIGYIAPEYALWGYLTYKADVYSFGIVALELVSGKHNMNYGPVDEYTCLLDWACHLQQSGKLLELVDDKLGSDYNKSEAERMIKVALLCTNASPSLRPTMSDVVGMLEGTITIPDVIPNASSYNEDLRFKAIRDHRSYMSSQGHTSTPAGSLFESSSTSARDINETNEESCIEV >KJB57374 pep chromosome:Graimondii2_0_v6:9:12240574:12246634:-1 gene:B456_009G159800 transcript:KJB57374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAKPIAFLLSAVLSFILLNTNKLDAATLPQDEVNVLNQIAKTMGGNNWNFDAGSCVSEKVNTDTGAGKNITCTCQNDTCHVTHIVFKLQSLPGVLPSELVNLPYLKEIDFAYNYLNGTIPPEWASMQLEFISVFGNRLSGNIPTYLGNITSLTYLDLEANQFSGQVPPEIGKLVNLRTLRLSSNGLTGNLPVQLEALKNLTDFRINDNNFNGSIPAFIWNWKKLERLEMQASGLEGPIPSSISALENLVTLIISDINGATQPFPYLWNMTAINRMDLSFNLLSGQLVEVTLPKDLKFLYLTGNNLSGNIPASILSTGLPVDLSYNNFTWPGPDQPACLRKFKRGVIPCRSDFKCQKYWHSMYINCGGTNDVKMNGTMYVGDATSGLGGAATLYGNNDNWGFSSTGDFRDDNDELNAASRYLVQSTSMSNQLYATARLSPLSITYFRYCLENGSYSVRLHFAEIEIINSTRYGRLGRRIFNIYIQDQLVEENFNIEAEAGGILTPLTKHYNANVTNGELEIRFYWAGKGTQAIPSRGVHGPLISAISVDPNFKPQHKENKTKTVPIIIGVVGSFLVFLASGIFIWMYCFKAKSHREQDLRGLDLQTVSFTLKQIKAATNNFDSGNKIGEGGFGPVYKGQLADGTIIAVKQLSSKSSQGNREFLNEMGMFSCLQHPNLVKLYGCCIEGNQLLLVYEYLENNSLSRALFGPEYSRINLDWPTRQKICVGIAKGIAFLHEESRLKIVHRDIKGTNVLLDRDLNPKISDFGLAKLSDEDKTHISTRIAGTIGYIAPEYALWGYLTYKADVYSFGIVALELVSGKHNMNYGPVDEYTCLLDWACHLQQSGKLLELVDDKLGSDYNKSEAERMIKVALLCTNASPSLRPTMSDVVGMLEGTITIPDVIPNASSYNEDLRFKAIRDHRSYMSSQGHTSTPAGSLFESSSTSARDINETNEESCIEV >KJB57377 pep chromosome:Graimondii2_0_v6:9:12240574:12248736:-1 gene:B456_009G159800 transcript:KJB57377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEYISVFGNRLSGNIPTYLGNITSLTYLDLEANQFSGQVPPEIGKLVNLRTLRLSSNGLTGNLPVQLEALKNLTDLINDNNFNGSIPAFIWNWKKLERLEMQASGLEGPIPSSISALENLVTLIISDINGATQPFPYLWNMTAINRIILKKCNIVGQIPQETWQMSKLRVLDLSFNLLSGQLVEVTLPKDLKFLYLTGNNLSGNIPASILSTGLPVDLSYNNFTWPGPDQPACLRKFKRGVIPCRSDFKCQKYWHSMYINCGGTNDVKMNGTMYVGDATSGLGGAATLYGNNDNWGFSSTGDFRDDNDELNAASRYLVQSTSMSNQLYATARLSPLSITYFRYCLENGSYSVRLHFAEIEIINSTRYGRLGRRIFNIYIQDQLVEENFNIEAEAGGILTPLTKHYNANVTNGELEIRFYWAGKGTQAIPSRGVHGPLISAISVDPNFKPQHKENKTKTVPIIIGVVGSFLVFLASGIFIWMYCFKAKSHREQDLRGLDLQTVSFTLKQIKAATNNFDSGNKIGEGGFGPVYKGQLADGTIIAVKQLSSKSSQGNREFLNEMGMFSCLQHPNLVKLYGCCIEGNQLLLVYEYLENNSLSRALFGPEYSRINLDWPTRQKICVGIAKGIAFLHEESRLKIVHRDIKGTNVLLDRDLNPKISDFGLAKLSDEDKTHISTRIAGTIGYIAPEYALWGYLTYKADVYSFGIVALELVSGKHNMNYGPVDEYTCLLDWACHLQQSGKLLELVDDKLGSDYNKSEAERMIKVALLCTNASPSLRPTMSDVVGMLEGTITIPDVIPNASSYNEDLRFKAIRDHRSYMSSQGHTSTPAGSLFESSSTSARDINETNEESCIEV >KJB57375 pep chromosome:Graimondii2_0_v6:9:12240574:12246512:-1 gene:B456_009G159800 transcript:KJB57375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAKPIAFLLSAVLSFILLNTNKLDAATLPQDEVNVLNQIAKTMGGNNWNFDAGSCVSEKVNTDTGAGKNITCTCQNDTCHVTHIVFKLQSLPGVLPSELVNLPYLKEIDFAYNYLNGTIPPEWASMQLEFISVFGNRLSGNIPTYLGNITSLTYLDLEANQFSGQVPPEIGKLVNLRTLRLSSNGLTGNLPVQLEALKNLTDFRINDNNFNGSIPAFIWNWKKLERLEMQASGLEGPIPSSISALENLVTLIISDINGATQPFPYLWNMTAINRIILKKCNIVGQIPQETWQMSKLRVLDLSFNLLSGQLVEVTLPKDLKFLYLTGNNLSGNIPASILSTGLPVDLSYNNFTWPGPDQPACLRKFKRGVIPCRSDFKCQKYWHSMYINCGGTNDVKMNGTMYVGDATSGLGGAATLYGNNDNWGFSSTGDFRDDNDELNAASRYLVQSTSMSNQLYATARLSPLSITYFRYCLENGSYSVRLHFAEIEIINSTRYGRLGRRIFNIYIQDQLVEENFNIEAEAGGILTPLTKHYNANVTNGELEIRFYWAGKGTQAIPSRGVHGPLISAISVDPNFKPQHKENKTKTVPIIIGVVGSFLVFLASGIFIWMYCFKAKSHREQDLRGLDLQTVSFTLKQIKAATNNFDSGNKIGEGGFGPVYKGQLADGTIIAVKQLSSKSSQGNREFLNEMGMFSCLQHPNLVKLYGCCIEGNQLLLVYEYLENNSLSRALFGPEYSRINLDWPTRQKICVGIAKGIAFLHEESRLKIVHRDIKGTNVLLDRDLNPKISDFGLAKLSDEDKTHISTRIAGTIGYIAPEYALWGYLTYKADVYSFGIVALELVSGKHNMNYGPVDEYTCLLDWACHLQQSGKLLELVDDKLGSDYNKSEAERMIKVALLCTNASPSLRPTMSDVVGMLEGTITIPDVIPNASSYNEDLRFKAIRDHRSYMSSQGHTSTPAGSLFESSSTSARDINETNEESCIEV >KJB57373 pep chromosome:Graimondii2_0_v6:9:12240574:12246634:-1 gene:B456_009G159800 transcript:KJB57373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAKPIAFLLSAVLSFILLNTNKLDAATLPQDEVNVLNQIAKTMGGNNWNFDAGSCVSEKVNTDTGAGKNITCTCQNDTCHVTHIVFKLQSLPGVLPSELVNLPYLKEIDFAYNYLNGTIPPEWASMQLEFISVFGNRLSGNIPTYLGNITSLTYLDLEANQFSGQVPPEIGKLVNLRTLRLSSNGLTGNLPVQLEALKNLTDLINDNNFNGSIPAFIWNWKKLERLEMQASGLEGPIPSSISALENLVTLIISDINGATQPFPYLWNMTAINRIILKKCNIVGQIPQETWQMSKLRVLDLSFNLLSGQLVEVTLPKDLKFLYLTGNNLSGNIPASILSTGLPVDLSYNNFTWPGPDQPACLRKFKRGVIPCRSDFKCQKYWHSMYINCGGTNDVKMNGTMYVGDATSGLGGAATLYGNNDNWGFSSTGDFRDDNDELNAASRYLVQSTSMSNQLYATARLSPLSITYFRYCLENGSYSVRLHFAEIEIINSTRYGRLGRRIFNIYIQDQLVEENFNIEAEAGGILTPLTKHYNANVTNGELEIRFYWAGKGTQAIPSRGVHGPLISAISVDPNFKPQHKENKTKTVPIIIGVVGSFLVFLASGIFIWMYCFKAKSHREQDLRGLDLQTVSFTLKQIKAATNNFDSGNKIGEGGFGPVYKGQLADGTIIAVKQLSSKSSQGNREFLNEMGMFSCLQHPNLVKLYGCCIEGNQLLLVYEYLENNSLSRALFGPEYSRINLDWPTRQKICVGIAKGIAFLHEESRLKIVHRDIKGTNVLLDRDLNPKISDFGLAKLSDEDKTHISTRIAGTIGYIAPEYALWGYLTYKADVYSFGIVALELVSGKHNMNYGPVDEYTCLLDWACHLQQSGKLLELVDDKLGSDYNKSEAERMIKVALLCTNASPSLRPTMSDVVGMLEGTITIPDVIPNASSYNEDLRFKAIRDHRSYMSSQGHTSTPAGSLFESSSTSARDINETNEESCIEV >KJB57376 pep chromosome:Graimondii2_0_v6:9:12240574:12248724:-1 gene:B456_009G159800 transcript:KJB57376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEYISVFGNRLSGNIPTYLGNITSLTYLDLEANQFSGQVPPEIGKLVNLRTLRLSSNGLTGNLPVQLEALKNLTDFRINDNNFNGSIPAFIWNWKKLERLEMQASGLEGPIPSSISALENLVTLIISDINGATQPFPYLWNMTAINRIILKKCNIVGQIPQETWQMSKLRVLDLSFNLLSGQLVEVTLPKDLKFLYLTGNNLSGNIPASILSTGLPVDLSYNNFTWPGPDQPACLRKFKRGVIPCRSDFKCQKYWHSMYINCGGTNDVKMNGTMYVGDATSGLGGAATLYGNNDNWGFSSTGDFRDDNDELNAASRYLVQSTSMSNQLYATARLSPLSITYFRYCLENGSYSVRLHFAEIEIINSTRYGRLGRRIFNIYIQDQLVEENFNIEAEAGGILTPLTKHYNANVTNGELEIRFYWAGKGTQAIPSRGVHGPLISAISVDPNFKPQHKENKTKTVPIIIGVVGSFLVFLASGIFIWMYCFKAKSHREQDLRGLDLQTVSFTLKQIKAATNNFDSGNKIGEGGFGPVYKGQLADGTIIAVKQLSSKSSQGNREFLNEMGMFSCLQHPNLVKLYGCCIEGNQLLLVYEYLENNSLSRALFGPEYSRINLDWPTRQKICVGIAKGIAFLHEESRLKIVHRDIKGTNVLLDRDLNPKISDFGLAKLSDEDKTHISTRIAGTIGYIAPEYALWGYLTYKADVYSFGIVALELVSGKHNMNYGPVDEYTCLLDWACHLQQSGKLLELVDDKLGSDYNKSEAERMIKVALLCTNASPSLRPTMSDVVGMLEGTITIPDVIPNASSYNEDLRFKAIRDHRSYMSSQGHTSTPAGSLFESSSTSARDINETNEESCIEV >KJB55377 pep chromosome:Graimondii2_0_v6:9:5227731:5228920:1 gene:B456_009G073100 transcript:KJB55377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALAPFPTPNWPLVNPIGYEHNYMMYEGGSECLESFLQFPPPPQEGIPLESLSPASNFTQTSNSDPCIVKKLNHNASERDRRKKVNNMYSSLRSLLPVADQTKKLSFPATVSHALKYIPELQQQVERLVQKKEELLLRISEQGGVKPSEENEEERKRNNRKQGRCLSGVGVSINRLSDGEVAIQISMREVDKRNALLSEMLQYLEQQGFLLLNVSSFESFGGVVFYNIHLQMELETSRKMGSEEALSEKILALCYNREELGL >KJB53864 pep chromosome:Graimondii2_0_v6:9:729633:732816:1 gene:B456_009G008900 transcript:KJB53864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP43 [Source:Projected from Arabidopsis thaliana (AT4G30840) UniProtKB/Swiss-Prot;Acc:Q24JJ9] MAFAESQNPLRVHRIPQSRYIDAVRWLSPVSPSDRFAAIAYFDADTNSPSIEIAYVDPNPQSSSPTLTPQSSWTSPSRISSLKTAHSTPQPLLAAATFSGSLHILASDLINGGVMESEATVSELGFHSGPVSAVDLREGGTECVSVGEDGRVNLVSFVGDSSKLSYRRIFDANGLVGYTAVKWASPSEFATGGYGFGIQWWDQRTSGGPVLQFKGNWCQGKTSGIVHSIDIHPSRKHTCLAGGSSGTVFAWDRRAAQQPIVLSGAGTGEAPNSLLSESEVWEVQYDRYTRRSNISNISSTRILPVMICSEDGILAVIEQGEEPLELLAEPCAINSFDIDQQNPSNVICSLEWESLAILTRS >KJB53867 pep chromosome:Graimondii2_0_v6:9:729882:731903:1 gene:B456_009G008900 transcript:KJB53867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP43 [Source:Projected from Arabidopsis thaliana (AT4G30840) UniProtKB/Swiss-Prot;Acc:Q24JJ9] MAFAESQNPLRVHRIPQSRYIDAVRWLSPVSPSDRFAAIAYFDADTNSPSIEIAYVDPNPQSSSPTLTPQSSWTSPSRISSLKTAHSTPQPLLAAATFSGSLHILASDLINGGVMESEATVSELGFHSGPVSAVDLREGGTECVSVGEDGRVNLVSFVGDSSKLSYRRIFDANGLVGYTAVKWASPSEFATGGYGFGIQWWDQRTSGGPVLQFKGNWCQGKTSGIVHSIDIHPSRKHTCLHFIGRGLFRHCICLGS >KJB53865 pep chromosome:Graimondii2_0_v6:9:729835:732306:1 gene:B456_009G008900 transcript:KJB53865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP43 [Source:Projected from Arabidopsis thaliana (AT4G30840) UniProtKB/Swiss-Prot;Acc:Q24JJ9] MAFAESQNPLRVHRIPQSRYIDAVRWLSPVSPSDRFAAIAYFDADTNSPSIEIAYVDPNPQSSSPTLTPQSSWTSPSRISSLKTAHSTPQPLLAAATFSGSLHILASDLINGGVMESEATVSELGFHSGPVSAVDLREGGTECVSVGEDGRVNLVSFVGDSSKLSYRRIFDANGLVGYTAVKWASPSEFATGGYGFGIQWWDQRTSGGPVLQFKGNWCQGKTSGIVHSIDIHPSRKHTCLAGGSSGTVFAWDRRAAQQPIVLSGAGTGEAPNSLLSESEVWEVQYDRYTRRSNISNISSTRILPVMICSEDGILAVIEQGKQFLKHNYYELDRTIAKVETYIKRSHC >KJB53866 pep chromosome:Graimondii2_0_v6:9:729835:732816:1 gene:B456_009G008900 transcript:KJB53866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP43 [Source:Projected from Arabidopsis thaliana (AT4G30840) UniProtKB/Swiss-Prot;Acc:Q24JJ9] MAFAESQNPLRVHRIPQSRYIDAVRWLSPVSPSDRFAAIAYFDADTNSPSIEIAYVDPNPQSSSPTLTPQSSWTSPSRISSLKTAHSTPQPLLAAATFSGSLHILASDLINGGVMESEATVSELGFHSGPVSAVDLREGGTECVSVGEDGRVNLVSFVGDSSKLSYRRIFDANGLVGYTAVKWASPSEFATGGYGFGIQWWDQRTSGGPVLQFKGNWCQGKTSGIVHSIDIHPSRKHTCLAGGSSGTVFAWDRRAAQQPIVLSGAGTGEAPNSLLSESEVWEVQYDRYTRRSNISNISSTRILPVMICSEDGILAVIEQGEEPLELLAEPCAINSFDIDQQNPSNVICSLEWESLAILTRS >KJB55618 pep chromosome:Graimondii2_0_v6:9:6197412:6201971:1 gene:B456_009G085100 transcript:KJB55618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPKPKALTAAQSMESKGPSEPEQLVESDERVDLDEDSDPEETMEEEVEYEEVEVEEEGEEEIEEEEEEEDLDVENANGADVFETKVEDGDEKRKHAELLARPPHGSEVYIGGIPHDVSQEDLKGFCESVGVVTEVRIMKGKDSTENKGFAFVTFRSVELASNAIDELNNADFKGRKIKCSMSQSKNRLFIGNIPRSWKEEHLRKALSEVGPGVTGLELVKDMKNLSNNRGFAFVEYYNNACAEYSRQKMMSQEFRLGDNAPNVSWADPKNADSSAASQVKAIYVKNLPKDVTQDQLKKLFEHHGKITKVVLPPAKPGQERNRIAFVHFAERTCAMKALNDSEKYELDGQVVECSLAKPLTDQKTPGGSSSQNSRFLPNYPPHVGYGLVGGAYGTLGPGYGVAGLAQPLIYGSGPSPTGMSMMPMLLPDGRIGYVLQQPEAQLRSPPPHQRNSKDSGSSNRGKHSNDGRWYRPY >KJB55617 pep chromosome:Graimondii2_0_v6:9:6196077:6201995:1 gene:B456_009G085100 transcript:KJB55617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGPSEPEQLVESDERVDLDEDSDPEETMEEEVEYEEVEVEEEGEEEIEEEEEEEDLDVENANGADVFETKVEDGDEKRKHAELLARPPHGSEVYIGGIPHDVSQEDLKGFCESVGVVTEVRIMKGKDSTENKGFAFVTFRSVELASNAIDELNNADFKGRKIKCSMSQSKNRLFIGNIPRSWKEEHLRKALSEVGPGVTGLELVKDMKNLSNNRGFAFVEYYNNACAEYSRQKMMSQEFRLGDNAPNVSWADPKNADSSAASQVKAIYVKNLPKDVTQDQLKKLFEHHGKITKVVLPPAKPGQERNRIAFVHFAERTCAMKALNDSEKYELDGQVVECSLAKPLTDQKTPGGSSSQNSRFLPNYPPHVGYGLVGGAYGTLGPGYGVAGLAQPLIYGSGPSPTGMSMMPMLLPDGRIGYVLQQPEAQLRSPPPHQRNSKDSGSSNRGKHSNDGRWYRPY >KJB55616 pep chromosome:Graimondii2_0_v6:9:6196433:6201971:1 gene:B456_009G085100 transcript:KJB55616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPKPKALTAAQSMESKGPSEPEQLVESDERVDLDEDSDPEETMEEEVEYEEVEVEEEGEEEIEEEEEEEDLDVENANGADVFETKVEDGDEKRKHAELLARPPHGSEVYIGGIPHDVSQEDLKGFCESVGVVTEVRIMKGKDSTENKGFAFVTFRSVELASNAIDELNNADFKGRKIKCSMSQSKNRLFIGNIPRSWKEEHLRKALSEVGPGVTGLELVKDMKNLSNNRGFAFVEYYNNACAEYSRQKMMSQEFRLGDNAPNVSWADPKNADSSAASQVKAIYVKNLPKDVTQDQLKKLFEHHGKITKVVLPPAKPGQERNRIAFVHFAERTCAMKALNDSEKYELDGQVVECSLAKPLTDQKTPGGSSSQNSRFLPNYPPHVGYGLVGGAYGTLGPGYGVAGLAQPLIYGSGPSPTGMSMMPMLLPDGRIGYVLQQPEAQLRSPPPHQRNSKDSGSSNRGKHSNDGRWYRPY >KJB55622 pep chromosome:Graimondii2_0_v6:9:6197945:6200110:1 gene:B456_009G085100 transcript:KJB55622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPKPKALTAAQSMESKGPSEPEQLVESDERVDLDEDSDPEETMEEEVEYEEVEVEEEGEEEIEEEEEEEDLDVENANGADVFETKVEDGDEKRKHAELLARPPHGSEVYIGGIPHDVSQEDLKGFCESVGVVTEVRIMKGKDSTENKGFAFVTFRSVELASNAIDELNNADFKGRKIKCSMSQSKNRLFIGNIPRSWKEEHLRKALSEVGPGVTGLELVKDMKNLSNNRGFAFVEYYNNACAEYSRQKMMSQEFRLGDNAPNVSWADPKNADSSAASQVKAIYVKNLPKDVTQDQLKKLFEHHGKITKVVLPPAKPGQERNRIAFVHFAERTCAMKALNDSEKYELDGDY >KJB55619 pep chromosome:Graimondii2_0_v6:9:6197412:6199658:1 gene:B456_009G085100 transcript:KJB55619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPKPKALTAAQSMESKGPSEPEQLVESDERVDLDEDSDPEETMEEEVEYEEVEVEEEGEEEIEEEEEEEDLDVENANGADVFETKVEDGDEKRKHAELLARPPHGSEVYIGGIPHDVSQEDLKGFCESVGVVTEVRIMKGKDSTENKGFAFVTFRSVELASNAIDELNNADFKGRKIKCSMSQSKNRLFIGNIPRSWKEEHLRKALSEVGPGVTGLELVKDMKNLSNNRGFAFVEYYNNACAEYSRQKMMSQEFRLGDNAPNVSWADPKNADSSAASQR >KJB55621 pep chromosome:Graimondii2_0_v6:9:6196009:6201994:1 gene:B456_009G085100 transcript:KJB55621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPKPKALTAAQSMESKGPSEPEQLVESDERVDLDEDSDPEETMEEEVEYEEVEVEEEGEEEIEEEEEEEDLDVENANGADVFETKVEDGDEKRKHAELLARPPHGSEVYIGGIPHDVSQEDLKGFCESVGVVTEVRIMKGKDSTENKGFAFVTFRSVELASNAIDELNNADFKGRKIKCSMSQSKNRLFIGNIPRSWKEEHLRKALSEVGPGVTGLELVKDMKNLSNNRGFAFVEYYNNACAEYSRQKMMSQEFRLGDNAPNVSWADPKNADSSAASQVKAIYVKNLPKDVTQDQLKKLFEHHGKITKVVLPPAKPGQERNRIAFVHFAERTCAMKALNDSEKYELDGQVVECSLAKPLTDQKTPGGSSSQNSRFLPNYPPHVGYGLVGGAYGTLGPGYGVAGLAQPLIYGSGPSPTGMSMMPMLLPDGRIGYVLQQPEAQLRSPPPHQRNSKDSGSSNRGKHSNDGRWYRPY >KJB55615 pep chromosome:Graimondii2_0_v6:9:6196077:6201995:1 gene:B456_009G085100 transcript:KJB55615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPKPKALTAAQSMESKGPSEPEQLVESDERVDLDEDSDPEETMEEEVEYEEVEVEEEGEEEIEEEEEEEDLDVENANGADVFETKVEDGDEKRKHAELLARPPHGSEVYIGGIPHDVSQEDLKGFCESVGVVTEVRIMKGKDSTENKGFAFVTFRSVELASNAIDELNNADFKGRKIKCSMSQSKNRLFIGNIPRSWKEEHLRKALSEVGPGVTGLELVKDMKNLSNNRGFAFVEYYNNACAEYSRQKMMSQEFRLGDNAPNVSWADPKNADSSAASQVKAIYVKNLPKDVTQDQLKKLFEHHGKITKVVLPPAKPGQERNRIAFVHFAERTCAMKALNDSEKYELDGQVVECSLAKPLTDQKTPGGSSSQNSRFLPNYPPHVGYGLVGGAYGTLGPGYGVAGLAQPLIYGSGPSPTGMSMMPMLLPDGRIGYVLQQPEAQLRSPPPHQRNSKDSGSSNRGKHSNDGRWYRPY >KJB55620 pep chromosome:Graimondii2_0_v6:9:6197412:6201971:1 gene:B456_009G085100 transcript:KJB55620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPKPKALTAAQSMESKGPSEPEQLVESDERVDLDEDSDPEETMEEEVEYEEVEVEEEGEEEIEEEEEEEDLDVENANGADVFETKVEDGDEKRKHAELLARPPHGSEVYIGGIPHDVSQEDLKGFCESVGVVTEVRIMKGKDSTENKGFAFVTFRSVELASNAIDELNNADFKGRKIKCSMSQSKNRLFIGNIPRSWKEEHLRKALSEVGPGVTGLELVKDMKNLSNNRGFAFVEYYNNACAEYSRQKMMSQEFRLGDNAPNVSWADPKNADSSAASQVKAIYVKNLPKDVTQDQLKKLFEHHGKITKVVLPPAKPGQERNRIAFVHFAERTCAMKALNDSEKYELDGQVVECSLAKPLTDQKTPGGSSSQNSRFLPNYPPHVGYGLVGGAYGTLGPGYGVAGLAQPLIYGSGPSPTGMSMMPMLLPDGRIGYVLQQPEAQLRSPPPHQRNSKDSGSSNRGKHSNDGRWYRPY >KJB61494 pep chromosome:Graimondii2_0_v6:9:47627395:47629986:-1 gene:B456_009G361700 transcript:KJB61494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRNRGPPPLPMKGPPHPGLLPPVHEPPYARGLGPMPPHPALLDELRETQFGLGPGGLPPHPAIFEERLAAQLQEIQGLLADNQRLAATHVALKQELEAAQHELHRMARYADSLRMEKDVQMKEMYEKSVRLEVDLRGVEAMRAELVKVNADIKQLSAVRQDLTGQVQVMSQDLARFTGELQQAPVLKAEIENVKQELQRARAAIEYEKKGYAENYEHGQVMEKKLISMARELEKLRAEIANAGKRSHAAGVSGGNPAAPGYNVNYGNPEAGYTGNTYPVTYGINPGGVDGYSQYGPGAGTWSAYDMQRAQGHR >KJB61496 pep chromosome:Graimondii2_0_v6:9:47627628:47629362:-1 gene:B456_009G361700 transcript:KJB61496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRNRGPPPLPMKGPPHPGLLPPVHEPPYARGLGPMPPHPALLDELRETQFGLGPGGLPPHPAIFEERLAAQLQEIQGLLADNQRLAATHVALKQELEAAQHELHRMARYADSLRMEKDVQMKEMYEKSVRLEVDLRGVEAMRAELVKVNADIKQLSAVRQDLTGQVQVMSQDLARFTGELQQAPVLKAEIENVKQELQRARAAIEYEKKGYAENYEHGQVMEKKLISMARELEKLRAEIANAGKRSHAAGVSGGNPAAPGYNVNYGNPEAGYTGNTYPVTYGINPVQGGVDGYSQYGPGAGTWSAYDMQRAQGHR >KJB61492 pep chromosome:Graimondii2_0_v6:9:47627628:47629362:-1 gene:B456_009G361700 transcript:KJB61492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRNRGPPPLPMKGPPHPGLLPPVHEPPYARGLGPMPPHPALLDELRETQFGLGPGGLPPHPAIFEERLAAQLQEIQGLLADNQRLAATHVALKQELEAAQHELHRMARYADSLRMEKDVQMKEMYEKSVRLEVDLRGVEAMRAELVKVNADIKQLSAVRQDLTGQVQVMSQDLARFTGELQQAPVLKAEIENVKQELQRARAAIEYEKKGYAENYEHGQVMEKKLISMARELEKLRAEIANAGKRSHAAGVSGGNPAAPGYNVNYGNPEAGYTGNTYPVTYGINPGGVDGYSQYGPGAGTWSAYDMQRAQGHR >KJB61491 pep chromosome:Graimondii2_0_v6:9:47627395:47629927:-1 gene:B456_009G361700 transcript:KJB61491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRNRGPPPLPMKGPPHPGLLPPVHEPPYARGLGPMPPHPALLDELRETQFGLGPGGLPPHPAIFEERLAAQLQEIQGLLADNQRLAATHVALKQELEAAQHELHRMARYADSLRMEKDVQMKEMYEKSVRLEVDLRGVEAMRAELVKVNADIKQLSAVRQDLTGQVQVMSQDLARFTGELQQAPVLKAEIENVKQELQRARAAIEYEKKGYAENYEHGQVMEKKLISMARELEKLRAEIANAGKRSHAAGVSGGNPAAPGYNVNYGNPEAGYTGNTYPVTYGINPGGVDGYSQYGPGAGTWSAYDMQRAQGHR >KJB61495 pep chromosome:Graimondii2_0_v6:9:47627401:47629927:-1 gene:B456_009G361700 transcript:KJB61495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRNRGPPPLPMKGPPHPGLLPPVHEPPYARGLGPMPPHPALLDELRETQFGLGPGGLPPHPAIFEERLAAQLQEIQGLLADNQRLAATHVALKQELEAAQHELHRMARYADSLRMEKDVQMKEMYEKSVRLEVDLRGVEAMRAELVKVNADIKQLSAVRQDLTGQVQVMSQDLARFTGELQQAPVLKAEIENVKQELQRARAAIEYEKKGYAENYEHGQVMEKKLISMARELEKLRAEIANAGKRSHAAGVSGGNPAPGYNVNYGNPEAGYTGNTYPVTYGINPGGVDGYSQYGPGAGTWSAYDMQRAQGHR >KJB61493 pep chromosome:Graimondii2_0_v6:9:47627395:47629698:-1 gene:B456_009G361700 transcript:KJB61493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRNRGPPPLPMKGPPHPGLLPPVHEPPYARGLGPMPPHPALLDELRETQFGLGPGGLPPHPAIFEERLAAQLQEIQGLLADNQRLAATHVALKQELEAAQHELHRMARYADSLRMEKDVQMKEMYEKSVRLEVDLRGVEAMRAELVKVNADIKQLSAVRQDLTGQVQVMSQDLARFTGELQQAPVLKAEIENVKQELQRARAAIEYEKKGYAENYEHGQVMEKKLISMARELEKLRAEIANAGKRSHAAGVSGGNPAAPGYNVNYGNPEAGYTGNTYPVTYGINPGGVDGYSQYGPGAGTWSAYDMQRAQGHR >KJB55033 pep chromosome:Graimondii2_0_v6:9:4239666:4247250:1 gene:B456_009G059000 transcript:KJB55033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSSSEAVSSSSSSSTPSGPDQSQPATSASVPENHHNHSNSKPLASITAADDLAGAVSRDGSGGAQEMVTVDRRGEYSAVCRWTINNFSRIKARALWSKYFEVGGYDCRLLVYPKGDSQALPGYISIYLQIMDPRGTSSSKWDCFASYRLTIVNLIDDSKSIHRDSWHRFSSKKKSHGWCDFTPSSTVFDPKSGYLFNNDAVLITADILILNESVNFTRDNNDVQSSLSSMISSSVVAIPVSDVLSGKFTWKVHNFSLFKEMIKTQKIMSPVFPAGECNLRISVYQSSVNGQEYLSMCLESKDTDKMAASDRSCWCLFRMSVLNQKPGSNHMHRDSYGRFAADNKSGDNTSLGWNDYVKMSDFVGQELGFLVDDTAVFSTSFHVIKEFSSFSKNVGLIAGRTGSGARKSDTYMGKFTWKIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTSSDWSCFVSHRLSVVNQKLEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSIMQDFSDQDSELVNAVPQIERVGKRSAFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDHSVGNDLDKNFWVKYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGYLVRDTVVFVCEILDCCPWFEFSDLEVLASEDDQDALTTDPDELIDSEDSEGISGDEEDIFRNLLSQAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSGSGNGKKVSKADESSPSLMNLLMGVKVLQQAIVDLLLDIMVECCQPSGVAHGDCSDANSKPSSDGGEAASPLDCDQENGTVASAQFPTNERLDSCVDDGSTASAVQSSDMNGIDISGKAIPGLPISPPETSAGGSLENSSLCSKTKWPEQSEELLGLIINSLRALDGAVPHGCPEPRRRPQSAQKITLVLDKAPKHLQPDLVALVPKLVEHSEHPLAAYALLERLQKPDAEPAFRIPVFGALSQLECGSEVWERVLFQCFELLTDSNDEPLVATIDFIFKAAFQCQHLPEAVRSVRVRLKRLGSEVSPCVLDFLCKLVNSWGDVAEAILRDINCDGDLVENVSGVASALFLFGENGATSERPHAVDEQAFCATHHFSDIYILIEMLSIPCLAVEASQMFERAVAGGAIVAQSVASVLKRRLAQRLSLNSKYAAESFQVADAVIEGEASEQLRAQRDDFTSVLSLAETLALSRDLRVRDFVKMLYTILFKWYVDESYRMRMLKRLVDHATSTTESSSEVDLDLDILVILVSEVQEFVRPVLSMMREVAELANVDRAALWHQLCASEDAIIRTREERKVEMSNMVKEKAALSQKLSESEAANHRLKSEMRAEMDRFAREKKELSEQIQEVESQLEWLRLERDDEIAKLTNEKKALQDRLHDAEAQLSQLKSRKRDELKRVVKEKNALAERLKSADAERKRFDEELKRYATENVTREEIRQSLEDKVRRLTQTVGQTEGEKREKEEQVARCEAYIDRMESKLQACQQYIHTLEASLQEEMSRHAPLYGAGLEALSMKELETLSRIHEEGLRQIHALQQRKGSPAGSPLVSPHAIPHNHGLYPTTQPPPMAVGMPPSFIPNGVGIHGNGHVNGAVGPWFNHA >KJB60916 pep chromosome:Graimondii2_0_v6:9:34706722:34708114:1 gene:B456_009G333100 transcript:KJB60916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVGSWGPIPIYMSKCVEYRYFSQNEQLKQHSLNFLVVLAPSILASMRLLIWWLLPCFILKTAREVIRILYVQHDSRWISVAISVPLTLSWTYVSTISLSASILFHLLCNLQFIHFDDYAKLLERESDVMVFIEEHIRLRYHLSKISHRFRIFLLLQFLVVTVSQVVTLFQTTVYSGMINLSNGGDFAISAIVQVVGIILCLHAATRISHRAQGIASFACRWHALATCTSTDACLRHSNSLGSMEPLSQSNLLDITFSESDLDSMDYIAMPTTTQLASYMSSYHRRQAFGYKT >KJB60917 pep chromosome:Graimondii2_0_v6:9:34706716:34710536:1 gene:B456_009G333100 transcript:KJB60917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVGSWGPIPIYMSKCVEYRYFSQNEQLKQHSLNFLVVLAPSILASMRLLIWWLLPCFILKTAREVIRILYVQHDSRWISVAISVPLTLSWTYVSTISLSASILFHLLCNLQFIHFDDYAKLLERESDVMVFIEEHIRLRYHLSKISHRFRIFLLLQFLVVTVSQVVTLFQTTVYSGMINLSNGGDFAISAIVQVVGIILCLHAATRISHRAQGIASFACRWHALATCTSTDACLRHSNSLGSMEPLSQSNLLDITFSESDLDSMDYIAMPTTTQLASYMSSYHRRQAFGYKT >KJB60918 pep chromosome:Graimondii2_0_v6:9:34706716:34710536:1 gene:B456_009G333100 transcript:KJB60918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVGSWGPIPIYMSKCVEYRYFSQNEQLKQHSLNFLVVLAPSILASMRLLIWWLLPCFILKTAREVIRILYVQHDSRWISVAISVPLTLSWTYVSTISLSASILFHLLCNLQFIHFDDYAKLLERESDVMVFIEEHIRLRYHLSKISHRFRIFLLLQFLVVTVSQVVTLFQTTVYSGMINLSNGGDFAISAIVQVVGIILCLHAATRISHRAQGIASFACRWHALATCTSTDACLRHSNSLGSMEPLSQSNLLDITFSESDLDSMDYIAMPTTTQLASYMSSYHRRQAFVMYLQANPGGITIFGWTVDRGLINTIFFLELTLVTFVLGQTVVFNSA >KJB60913 pep chromosome:Graimondii2_0_v6:9:34705677:34708114:1 gene:B456_009G333100 transcript:KJB60913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNTELRSDEEQPPPAQIPLLSSNLQNDAVGGLHQRQQEIRTSNRLDDSLEYLERFLTCLGFNQSSLLSFSLSWAAFTVVGVLVPVLALELSQCDGCEMYQIKNFEVGIVASQACLAAVSLFCISFNLRKYGIRRFLFVDRYGGQMVRFTDLYVKQIAASMRLLIWWLLPCFILKTAREVIRILYVQHDSRWISVAISVPLTLSWTYVSTISLSASILFHLLCNLQFIHFDDYAKLLERESDVMVFIEEHIRLRYHLSKISHRFRIFLLLQFLVVTVSQVVTLFQTTVYSGMINLSNGGDFAISAIVQVVGIILCLHAATRISHRAQGIASFACRWHALATCTSTDACLRHSNSLGSMEPLSQSNLLDITFSESDLDSMDYIAMPTTTQLASYMSSYHRRQAFGYKT >KJB60912 pep chromosome:Graimondii2_0_v6:9:34705524:34710536:1 gene:B456_009G333100 transcript:KJB60912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNTELRSDEEQPPPAQIPLLSSNLQNDAVGGLHQRQQEIRTSNRLDDSLEYLERFLTCLGFNQSSLLSFSLSWAAFTVVGVLVPVLALELSQCDGCEMYQIKNFEVGIVASQACLAAVSLFCISFNLRKYGIRRFLFVDRYGGQMVRFTDLYVKQIAASMRLLIWWLLPCFILKTAREVIRILYVQHDSRWISVAISVPLTLSWTYVSTISLSASILFHLLCNLQFIHFDDYAKLLERESDVMVFIEEHIRLRYHLSKISHRFRIFLLLQFLVVTVSQVVTLFQTTVYSGMINLSNGGDFAISAIVQVVGIILCLHAATRISHRAQGIASFACRWHALATCTSTDACLRHSNSLGSMEPLSQSNLLDITFSESDLDSMDYIAMPTTTQLASYMSSYHRRQAFVMYLQANPGGITIFGWTVDRGLINTIFFLELTLVTFVLGQTVVFNSA >KJB60914 pep chromosome:Graimondii2_0_v6:9:34705524:34710536:1 gene:B456_009G333100 transcript:KJB60914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNTELRSDEEQPPPAQIPLLSSNLQNDAVGGLHQRQQEIRTSNRLDDSLEYLERFLTCLGFNQSSLLSFSLSWAAFTVVGVLVPVLALELSQCDGCEMYQIKNFEVGIVASQACLAAVSLFCISFNLRKYGIRRFLFVDRYGGQMVRFTDLYVKQIAASMRLLIWWLLPCFILKTAREVIRILYVQHDSRWISVAISVPLTLSWTYVSTISLSASILFHLLCNLQFIHFDDYAKLLERESDVMVFIEEHIRLRYHLSKISHRFRIFLLLQFLVVTVSQVVTLFQTTVYSGMINLSNGGDFAISAIVQVVGIILCLHAATRISHRAQGIASFACRWHALATCTSTDACLRHSNSLGSMEPLSQSNLLDITFSESDLDSMDYIAMPTTTQLASYMSSYHRRQAFVMYLQANPGGITIFGWTVDRGLINTIFFLELTLVTFVLGQTVVFNSA >KJB60911 pep chromosome:Graimondii2_0_v6:9:34705524:34710536:1 gene:B456_009G333100 transcript:KJB60911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNTELRSDEEQPPPAQIPLLSSNLQNDAVGGLHQRQQEIRTSNRLDDSLEYLERFLTCLGFNQSSLLSFSLSWAAFTVVGVLVPVLALELSQCDGCEMYQIKNFEVGIVASQACLAAVSLFCISFNLRKYGIRRFLFVDRYGGQMVRFTDLYVKQIAASMRLLIWWLLPCFILKTAREVIRILYVQHDSRWISVAISVPLTLSWTYVSTISLSASILFHLLCNLQFIHFDDYAKLLERESDVMVFIEEHIRLRYHLSKISHRFRIFLLLQFLVVTVSQVVTLFQTTVYSGMINLSNGGDFAISAIVQVVGIILCLHAATRISHRAQGIASFACRWHALATCTSTDACLRHSNSLGSMEPLSQSNLLDITFSESDLDSMDYIAMPTTTQLASYMSSYHRRQAFVMYLQANPGGITIFGWTVDRGLINTIFFLELTLVTFVLGQTVVFNSA >KJB60915 pep chromosome:Graimondii2_0_v6:9:34705677:34708114:1 gene:B456_009G333100 transcript:KJB60915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNTELRSDEEQPPPAQIPLLSSNLQNDAVGGLHQRQQEIRTSNRLDDSLEYLERFLTCLGFNQSSLLSFSLSWAAFTVVGVLVPVLALELSQCDGCEMYQIKNFEVGIVASQACLAAVSLFCISFNLRKYGIRRFLFVDRYGGQMVRFTDLYVKQIAASMRLLIWWLLPCFILKTAREVIRILYVQHDSRWISVAISVPLTLSWTYVSTISLSASILFHLLCNLQFIHFDDYAKLLERESDVMVFIEEHIRLRYHLSKISHRFRIFLLLQFLVVTVSQVVTLFQTTVYSGMINLSNGGDFAISAIVQVVGIILCLHAATRISHRAQGIASFACRWHALATCTSTDACLRHSNSLGSMEPLSQSNLLDITFSESDLDSMDYIAMPTTTQLASYMSSYHRRQAFGYKT >KJB61265 pep chromosome:Graimondii2_0_v6:9:43771010:43773389:-1 gene:B456_009G348900 transcript:KJB61265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPPPPSAAAPPLSTTTATAAAAAAPPATYPDSIDSSPRSRNTDSWDDPALPTTLHPKLRLMCSYGGTIVPRPHDKSLCYVGGDTRMVVVDRHTSLASLHHRLSLSLLRGCPFTLKYQLPSEDLDSLISVTTDEDLENMIDEYDRTCSQTTSSSKSSRIRLFLFPLNPDGTLTSSHSIGPIINNSTKSDEWFLNALNGSGLLNRGFSDPTTNVNLLGLDDDRQGNVVEVGLKDEGSGSQKNMNSKGQDVHSVPDSPMLETTSSFGSTSSSPSLANLPPIRVHVEDQKEHKVGIEEQFAQFTVTATSAAATGGAGGAVKQQDEVFAAMSMPPPMPAGIAASGGGVGLNSGVVPGEYSNRGLSDDERSDHGVPVGYRKTQTPVIQPQGLPLQNQQKYSSGQDLASPDSISSDGSFTNPLSRQKPVIYQDPVVQIPSGVNRIPANAIDPKLNISDPNSIGRVQIQQQVQDSGYVLQQPQFDQQQQPQQQQQQQQFLHAAGAPHYIHHHQSGAVPISAYYPVYPSQQQHHHHQTQIDQQYPLYYVSARQPQAYNMPVQQPSINEAPTGIPSSRPQTPSNPTMAPTHVAYNPMRNAPLAKPEMTAAAGVYRTTNTGNPQLVQVQNSQHQQQYAGYSQIHHASQSVAPTSGTAATYAYEFTDPTHAQMYYTQPMAPNMPPQYQTMTAVVLPDAPTQLPTDNIKPQIRTSQPI >KJB61264 pep chromosome:Graimondii2_0_v6:9:43770437:43773432:-1 gene:B456_009G348900 transcript:KJB61264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPPPPSAAAPPLSTTTATAAAAAAPPATYPDSIDSSPRSRNTDSWDDPALPTTLHPKLRLMCSYGGTIVPRPHDKSLCYVGGDTRMVVVDRHTSLASLHHRLSLSLLRGCPFTLKYQLPSEDLDSLISVTTDEDLENMIDEYDRTCSQTTSSSKSSRIRLFLFPLNPDGTLTSSHSIGPIINNSTKSDEWFLNALNGSGLLNRGFSDPTTNVNLLGLDDDRQGNVVEVGLKDEGSGSQKNMNSKGQDVHSVPDSPMLETTSSFGSTSSSPSLANLPPIRVHVEDQKEHKVGIEEQFAQFTVTATSAAATGGAGGAVKQQDEVFAAMSMPPPMPAGIAASGGGVGLNSGVVPGEYSNRGLSDDERSDHGVPVGYRKTQTPVIQPQGLPLQNQQKYSSGQDLASPDSISSDGSFTNPLSRQKPVIYQDPVVQIPSGVNRIPANAIDPKLNISDPNSIGRVQIQQQVQDSGYVLQQPQFDQQQQPQQQQQQQQFLHAAGAPHYIHHHQSGAVPISAYYPVYPSQQQHHHHQTQIDQQYPLYYVSARQPQAYNMPVQQPSINEAPTGIPSSRPQTPSNPTMAPTHVAYNPMRNAPLAKPEMTAAAGVYRTTNTGNPQLVQVQNSQHQQQYAGYSQIHHASQSVAPTSGTAATYAYEFTDPTHAQMYYTQPMAPNMPPQYQTMTAVVLPDAPTQLPTDNIKPQIRTSQPI >KJB61262 pep chromosome:Graimondii2_0_v6:9:43769676:43773566:-1 gene:B456_009G348900 transcript:KJB61262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPPPPSAAAPPLSTTTATAAAAAAPPATYPDSIDSSPRSRNTDSWDDPALPTTLHPKLRLMCSYGGTIVPRPHDKSLCYVGGDTRMVVVDRHTSLASLHHRLSLSLLRGCPFTLKYQLPSEDLDSLISVTTDEDLENMIDEYDRTCSQTTSSSKSSRIRLFLFPLNPDGTLTSSHSIGPIINNSTKSDEWFLNALNGSGLLNRGFSDPTTNVNLLGLDDDRQGNVVEVGLKDEGSGSQKNMNSKGQDVHSVPDSPMLETTSSFGSTSSSPSLANLPPIRVHVEDQKEHKVGIEEQFAQFTVTATSAAATGGAGGAVKQQDEVFAAMSMPPPMPAGIAASGGGVGLNSGVVPGEYSNRGLSDDERSDHGVPVGYRKTQTPVIQPQGLPLQNQQKYSSGQDLASPDSISSDGSFTNPLSRQKPVIYQDPVVQIPSGVNRIPANAIDPKLNISDPNSIGRVQIQQQVQDSGYVLQQPQFDQQQQPQQQQQQQQFLHAAGAPHYIHHHQSGAVPISAYYPVYPSQQQHHHHQTQIDQQYPLYYVSARQPQAYNMPVQQPSINEAPTGIPSSRPQTPSNPTMAPTHVAYNPMRNAPLAKPEMTAAAGVYRTTNTGNPQLVQVQNSQHQQQYAGYSQIHHASQSVAPTSGTAATYAYEFTDPTHAQMYYTQPMAPNMPPQYQTMTAVVLPDAPTQLPTDNIKPQIRTSQPI >KJB61263 pep chromosome:Graimondii2_0_v6:9:43771010:43773389:-1 gene:B456_009G348900 transcript:KJB61263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPPPPSAAAPPLSTTTATAAAAAAPPATYPDSIDSSPRSRNTDSWDDPALPTTLHPKLRLMCSYGGTIVPRPHDKSLCYVGGDTRMVVVDRHTSLASLHHRLSLSLLRGCPFTLKYQLPSEDLDSLISVTTDEDLENMIDEYDRTCSQTTSSSKSSRIRLFLFPLNPDGTLTSSHSIGPIINNSTKSDEWFLNALNGSGLLNRGFSDPTTNVNLLGLDDDRQGNVVEVGLKDEGSGSQKNMNSKGQDVHSVPDSPMLETTSSFGSTSSSPSLANLPPIRVHVEDQKEHKVGIEEQFAQFTVTATSAAATGGAGGAVKQQDEVFAAMSMPPPMPAGIAASGGGVGLNSGVVPGEYSNRGLSDDERSDHGVPVGYRKTQTPVIQPQGLPLQNQQKYSSGQDLASPDSISSDGSFTNPLSRQKPVIYQDPVVQIPSGVNRIPANAIDPKLNISDPNSIGRVQIQQQVQDSGYVLQQPQFDQQQQPQQQQQQQQFLHAAGAPHYIHHHQSGAVPISAYYPVYPSQQQHHHHQTQIDQQYPLYYVSARQPQAYNMPVQQPSINEAPTGIPSSRPQTPSNPTMAPTHVAYNPMRNAPLAKPEMTAAAGVYRTTNTGNPQLVQVQNSQHQQQYAGYSQIHHASQSVAPTSGTAATYAYEFTDPTHAQMYYTQPMAPNMPPQYQTMTAVVLPDAPTQLPTDNIKPQIRTSQPI >KJB54844 pep chromosome:Graimondii2_0_v6:9:3700288:3702542:1 gene:B456_009G051500 transcript:KJB54844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPVPRQGTLITGEAERSVPSPLSKSSSCSQSSPATGGLLSSLFGLSDSQALVFGVFSPRSFRPLDRAKQKGQVWRRVVFQFFICFIIGFLIGFTPFISMDFSYMNPVSKHQAFSFEVVSTAGNFQSLNNSQRNVASTMNNPGVENNFTLEGLVQRQEMTEGNLDDASTNQSVPQDIDLESRKLLIIVTPTYARSFQAYYLNLLAYTLKLVQPPLLWIVVEMTLQSDETADILRRSGVMYRHLVCKKNLTDIKDRSVHQRNVALSHIETHHLDGIVYFADEHNIYSIDLFEQMRHIRQFGTWPVAKQTSDKSRAVLEGPVCNGTQVIGWHLNGLSKRFQRFHAEMSGFAFNSTILWDPKPWHRPTLEPIRQLDTVEDGFHASSFIERVVQDESQMEGLPHDCSRVMVWELNVESPNSFYPKKWSMKNNLDIIAPLA >KJB54842 pep chromosome:Graimondii2_0_v6:9:3699731:3703200:1 gene:B456_009G051500 transcript:KJB54842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPVPRQGTLITGEAERSVPSPLSKSSSCSQSSPATGGLLSSLFGLSDSQALVFGVFSPRSFRPLDRAKQKGQVWRRVVFQFFICFIIGFLIGFTPFISMDFSYMNPVSKHQAFSFEVVSTAGNFQSLNNSQRNVASTMNNPGVENNFTLEGLVQRQEMTEGNLDDASTNQSVPQDIDLESRKLLIIVTPTYARSFQAYYLNLLAYTLKLVQPPLLWIVVEMTLQSDETADILRRSGVMYRHLVCKKNLTDIKDRSVHQRNVALSHIETHHLDGIVYFADEHNIYSIDLFEQMRHIRQFGTWPVAKQTSDKSRAVLEGPVCNGTQVIGWHLNGLSKRFQRFHAEMSGFAFNSTILWDPKPWHRPTLEPIRQLDTVEDGFHASSFIERVVQDESQMEGLPHDCSRVMVWELNVESPNSFYPKKWSMKNNLDIIAPLA >KJB54843 pep chromosome:Graimondii2_0_v6:9:3699671:3703188:1 gene:B456_009G051500 transcript:KJB54843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPVPRQGTLITGEAERSVPSPLSKSSSCSQSSPATGGLLSSLFGLSDSQALVFGVFSPRSFRPLDRAKQKGQVWRRVVFQFFICFIIGFLIGFTPFISMDFSYMNPVSKHQAFSFEVVSTAGNFQSLNNSQRNVASTMNNPGVENNFTLEGLVQRQEMTEGNLDDASTNQSVPQDIDLESRKLLIIVTPTYARSFQAYYLNLLAYTLKLVQPPLLWIVVEMTLQSDETADILRRSGVMYRHLVCKKNLTDIKDRSVHQRNVALSHIETHHLDGIVYFADEHNIYSIDLFEQMRHIRQFGTWPVAKQTSDKSRAVLEGPVCNGTQVIGWHLNGLSKRFQRFHAEMSGFAFNSTILWDPKPWHRPTLEPIRQLDTVEDGFHASSFIERVVQDESQMEGLPHDCSRVMVWELNVESPNSFYPKKWSMKNNLDIIAPLA >KJB58087 pep chromosome:Graimondii2_0_v6:9:14890768:14895491:1 gene:B456_009G193800 transcript:KJB58087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEDFFTLAEMKDGLTVPSRVEELVSVMKKEKESVVKNVGDATRQWTAVASTIAATENKDCLDLFIQLDGLCFIGRWLKDAQEFGNDSSDSFVEESITALLRALEKLHRDKERSISSEIWITVKNLLSHNSSRVQDSARLLFDKWKRGTVSDHVDSGGHEYEISDAATVTGENNGLDSAKDSPVSRGSAHGEKDGADAAKSENLPSSLDGVQPQSTKDLHVETTNDELKSHINSDYSDTENRSESHMASSSVLNPVKELQAKTVEETASHEACSLADSKQENIEASDAMTVSNSSTVEHALVSSNAGVGTALEVTTGPSSHTDTEANRFHVLNSVDLTDERMHASEPKKAMSDVAVMNHSSSGSELFKIAGKDSESHLSTSRSSSDNELLYEKPGDLETTFSRMAAIGTADEDKENCELEDLRSGSRFTSSHGVTDTTSDIDLEYGIVDALEVARKVAQEVEREVIDDREPSSSSSEKISEGGIRQPSTPESINRKQDLPTEVLPKEVSTGPIRSAGAHTEGEGLLINSDDADNEPENHSRDMESSQVTMAQDPEPITEKSLCDFDLNQEVCSDDTERAVTSISTPISVVSASRAAAVRGIPAAPLQFEGTLGWKGSAATSAFRPASPRRNSDGDKRLSLGGTSSSSKRRLDCLDFDLNVAEAGDEKGAELMSGKQVTASSGLYFAESSLKASRRKSERLELDLNCVSDDGDGPALDSRVKEPLFCDRNGHYSQSPASSSSSMQPSLRNFDLNDRPCNHNNALEQGPFPGRSSIAHGGPKLNDPVISIMGTRVEVNRKDFVSQVVSFPNGKVLGPATDGSITRSAGFMGLVPTASYTHSPAFSSNLLPMAPNMPFPSAIYGASGSIPFIVDSGAPVVPQIMGSTSAVPIASPVVPQIMGSTSAVPIAYSQAQVIMNMSNAAAGLNGSGPSRPNFDLNSRLAIEGGNTDSMGLRQPFMPVHGRSIEEHLRANTQASSSSGVGVKRKEPDGGWEPYAFNYRQQHFPWKQ >KJB58086 pep chromosome:Graimondii2_0_v6:9:14890768:14895491:1 gene:B456_009G193800 transcript:KJB58086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEDFFTLAEMKDGLTVPSRVEELVSVMKKEKESVVKNVGDATRQWTAVASTIAATENKDCLDLFIQLDGLCFIGRWLKDAQEFGNDSSDSFVEESITALLRALEKLHRDKERSISSEIWITVKNLLSHNSSRVQDSARLLFDKWKRGTVSDHVDSGGHEYEISDAATVTGENNGLDSAKDSPVSRGSAHGEKDGADAAKSENLPSSLDGVQPQSTKDLHVETTNDELKSHINSDYSDTENRSESHMASSSVLNPVKELQAKTVEETASHEACSLADSKQENIEASDAMTVSNSSTVEHALVSSNAGVGTALEVTTGPSSHTDTEANRFHVLNSVDLTDERMHASEPKKAMSDVAVMNHSSSGSELFKIAGKDSESHLSTSRSSSDNELLYEKPGDLETTFSRMAAIGTADEDKENCELEDLRSGSRFTSSHGVTDTTSDIDLEYGIVDALEVARKVAQEVEREVIDDREPSSSSSEKISEGGIRQPSTPESINRKQDLPTEVLPKEVSTGPIRSAGAHTEGEGLLINSDDADNEPENHSRDMESSQVTMAQDPEPITEKSLCDFDLNQEVCSDDTERAVTSISTPISVVSASRAAAVRGIPAAPLQFEGTLGWKGSAATSAFRPASPRRNSDGDKRLSLGGTSSSSKRRLDCLDFDLNVAEAGDEKGAELMSGKQVTASSGLYFAESSLKASRRKSERLELDLNCVSDDGDGPALDSRVKEPLFCDRNGHYSQSPASSSSSMQPSLRNFDLNDRPCNHNNALEQGPFPGRSSIAHGGPKLNDPVISIMGTRVEVNRKDFVSQVVSFPNGKVLGPATDGSITRSAGFMGLVPTASYTHSPAFSSNLLPMAPNMPFPSAIYGASGSIPFIVDSGAPVVPQIMGSTSAVPIASPVVPQIMGSTSAVPIAYSQAQVIMNMSNAAAGLNGSGPSRPNFDLNSRLAIEGGNTDSMGLRQPFMPVHGRSIEEHLRANTQASSSSGVGVKRKEPDGGWEPYAFNYRQQHFPWKQ >KJB61565 pep chromosome:Graimondii2_0_v6:9:49122684:49126097:1 gene:B456_009G366600 transcript:KJB61565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESYLLFTLLLVLLPSTLHFQAHAAPAGPLVKHLSSLLKWTRSTTKAPQSDGNVLQFENGYLVETVVEGNEIGVVPYKIRVSEDGELFAVDEVNSNIVKITPPLSQYSRGRLVAGSFQGYTGHVDGKPSDARFNHPKGVTMDDKGNVYVADTMNLAIRKIGDAGVTTIAGGKSNIAGYRDGPSEDAKFSNDFDVIYVRPTCSLLVIDRGNAALRQISLNQDDCDYQYSSVSPTDILMVLGAILAGYATCLLQQGFGPSFFPRMQPSDREFKEQPNKEKLTPIVDSTKEVPGWPSFGQLVADLSKLALEAMGSIFLYFVPSRFQPNSSKKGLTPMKDSLIMPEDEVPPPLVQRQATPAPISETRQTHTTNTADKYSEMKPPKIKSSSFRDPSLSSKHRSSKRQEYAEFYGPGEVPPYTRSKTQKERTRHRQRDKTAEVVYGTGGADQKPVETKPVDYDNSKFDHYSMRSKYGSSDSFRF >KJB61564 pep chromosome:Graimondii2_0_v6:9:49122684:49126097:1 gene:B456_009G366600 transcript:KJB61564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESYLLFTLLLVLLPSTLHFQAHAAPAGPLVKHLSSLLKWTRSTTKAPQSDGNVLQFENGYLVETVVEGNEIGVVPYKIRVSEDGELFAVDEVNSNIVKITPPLSQYSRGRLVAGSFQGYTGHVDGKPSDARFNHPKGVTMDDKGNVYVADTMNLAIRKIGDAGVTTIAGGKSNIAGYRDGPSEDAKFSNDFDVIYVRPTCSLLVIDRGNAALRQISLNQDDCDYQYSSVSPTGTGLLADILMVLGAILAGYATCLLQQGFGPSFFPRMQPSDREFKEQPNKEKLTPIVDSTKEVPGWPSFGQLVADLSKLALEAMGSIFLYFVPSRFQPNSSKKGLTPMKDSLIMPEDEVPPPLVQRQATPAPISETRQTHTTNTADKYSEMKPPKIKSSSFRDPSLSSKHRSSKRQEYAEFYGPGEVPPYTRSKTQKERTRHRQRDKTAEVVYGTGGADQKPVETKPVDYDNSKFDHYSMRSKYGSSDSFRF >KJB61566 pep chromosome:Graimondii2_0_v6:9:49123122:49126097:1 gene:B456_009G366600 transcript:KJB61566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLVLGLLVIADSRGRLVAGSFQGYTGHVDGKPSDARFNHPKGVTMDDKGNVYVADTMNLAIRKIGDAGVTTIAGGKSNIAGYRDGPSEDAKFSNDFDVIYVRPTCSLLVIDRGNAALRQISLNQDDCDYQYSSVSPTGTGLLADILMVLGAILAGYATCLLQQGFGPSFFPRMQPSDREFKEQPNKEKLTPIVDSTKEVPGWPSFGQLVADLSKLALEAMGSIFLYFVPSRFQPNSSKKGLTPMKDSLIMPEDEVPPPLVQRQATPAPISETRQTHTTNTADKYSEMKPPKIKSSSFRDPSLSSKHRSSKRQEYAEFYGPGEVPPYTRSKTQKERTRHRQRDKTAEVVYGTGGADQKPVETKPVDYDNSKFDHYSMRSKYGSSDSFRF >KJB57068 pep chromosome:Graimondii2_0_v6:9:11153813:11158663:1 gene:B456_009G146800 transcript:KJB57068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVCSLVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNLDRLLSKMWEEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCSVEDFCNHIHRSLIKDVKYVLVWGSSARHYPQHCGLGHSLQDEDVVQIVKKKEKEEGGRGRFKSHTTGPARISDREKKAPLKT >KJB57073 pep chromosome:Graimondii2_0_v6:9:11153791:11158663:1 gene:B456_009G146800 transcript:KJB57073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNLDRLLSKMWEEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCSVEDFCNHIHRSLIKDVKYVLVWGSSARHYPQHCGLGHSLQDEDVVQIVKKKEKEEGGRGRFKSHTTGPARISDREKKAPLKT >KJB57070 pep chromosome:Graimondii2_0_v6:9:11154419:11157372:1 gene:B456_009G146800 transcript:KJB57070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNLDRLLSKMWEEMGLVRVYTKPQGQQPDFSDPVVLSAV >KJB57066 pep chromosome:Graimondii2_0_v6:9:11153844:11158663:1 gene:B456_009G146800 transcript:KJB57066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNLDRLLSKMWEEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCSVEDFCNHIHRSLIKDVKYVLVWGSSARHYPQHCGLGHSLQDEDVVQIVKKKEKEEGGRGRFKSHTTGPARISDREKKAPLKT >KJB57074 pep chromosome:Graimondii2_0_v6:9:11153813:11158663:1 gene:B456_009G146800 transcript:KJB57074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNLDRLLSKMWEEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCSVEDFCNHIHRSLIKDVKYVLVWGSSARHYPQHCGLGHSLQDEDVVQIVKKKEKEEGGRGRFKSHTTGPARISDREKKAPLKT >KJB57072 pep chromosome:Graimondii2_0_v6:9:11153813:11158663:1 gene:B456_009G146800 transcript:KJB57072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNLDRLLSKMWEEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCSVEDFCNHIHRSLIKDVKYVLVWGSSARHYPQHCGLGHSLQDEDVVQIVKKKAPLKT >KJB57069 pep chromosome:Graimondii2_0_v6:9:11153954:11158663:1 gene:B456_009G146800 transcript:KJB57069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNLDRLLSKMWEEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCSVEDFCNHIHRSLIKDVKYVLVWGSSARHYPQHCGLGHSLQDEDVVQIVKKKRKEGEAASNHIRQVLHEYPIERKRLH >KJB57067 pep chromosome:Graimondii2_0_v6:9:11153813:11158663:1 gene:B456_009G146800 transcript:KJB57067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNLDRLLSKMWEEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCSVEDFCNHIHRSLIKDVKYVLVWGSSARHYPQHCGLGHSLQDEDVVQIVKKKEGGRGRFKSHTTGPARISDREKKAPLKT >KJB57071 pep chromosome:Graimondii2_0_v6:9:11153813:11158663:1 gene:B456_009G146800 transcript:KJB57071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNLDRLLSKMWEEMGLVRVYTKPQGQQPDFSDPVVLSARWLFGGRFLQSHS >KJB54066 pep chromosome:Graimondii2_0_v6:9:1494847:1496979:1 gene:B456_009G019200 transcript:KJB54066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGGLTMSFHKVNCPPVVGNLSKIRSQCRTRPNPCFAVQMSSTLVQTDENGDFYESKKISGSSSTELKSQPQNSLLSQANTVGIIGGVSVLSTLIFLEKLVWWSSRNGEECVPFVVCSEPALDGGRRPVHSSEVNDEHIIIQNLRQKRIFLEKSGARCIVMPCHISNAWHDEISESCSLPFFHIGDCVARELKEAKLKPLDAGSSVRIGVLSTCETIAVAPYQQKLQNQGFEVVLPDKETMEHILMPAAESWNQRDIEGARNLLRIAIQVLLIRAVNIVILASDVLQNILPREDPLLKKCMDPMDALARTTIKWAKSAKKVRRKT >KJB55046 pep chromosome:Graimondii2_0_v6:9:4286950:4290046:-1 gene:B456_009G059800 transcript:KJB55046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIRRASHAGSWYTDNPKKLAEELDGWITAAGLPKSSDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALSTATVYKTPIGDLPIDLEVIEELKATGKFELMNLRVDEAEHSMEMHLPYLVKVFEGHPVKVVPILVGALGAENEAMYGKLLAKYIDDPQNFFSVSSDFCHWGSRFNYIHYDKKYGAIHKSIEALDKMGMDIIETGDADAFKEYLSEYDNTICGRHPISVFLHVNAKEQFNKNKDQVPPLRAIKSVQNYERQ >KJB55045 pep chromosome:Graimondii2_0_v6:9:4286673:4290090:-1 gene:B456_009G059800 transcript:KJB55045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIRRASHAGSWYTDNPKKLAEELDGWITAAGLPKSSDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALSTATVYKTPIGDLPIDLEVIEELKATGKFELMNLRVDEAEHSMEMHLPYLVKVFEGHPVKVVPILVGALGAENEAMYGKLLAKYIDDPQNFFSVSSDFCHWGSRFNYIHYDKKYGAIHKSIEALDKMGMDIIETGDADAFKEYLSEYDNTICGRHPISVFLHMLRNSSTRIKIKFLRYEQSSQCKTTRDSSVSYASAAAKVDA >KJB55047 pep chromosome:Graimondii2_0_v6:9:4287684:4290046:-1 gene:B456_009G059800 transcript:KJB55047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIRRASHAGSWYTDNPKKLAEELDGWITAAGLPKSSDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALSTATVYKTPIGDLPIDLEVIEELKATGKFELMNLRVDEAEHSMEMHLPYLVKVFEGHPVKVVPILVGALGAENEAMYGKLLAKYIDDPQNFFSVSSDFCHWGSRYVGFSLSVFINIILLFSINLIFVANAQDVFACILATSFSIPANYPTP >KJB62687 pep chromosome:Graimondii2_0_v6:9:67543411:67547019:-1 gene:B456_009G430200 transcript:KJB62687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSDNSDHPQLPSIKIRHPSSPHHPSSAATPTPTAGARRKIGVAVDLSDESAFAVRWAVQNYLRPGDAVIVLHVSPTSVLYGADWGPLPHTPQNAETPESQKQIEDEFDAFTASKVADLARPLKESGVPFKIHIVKDHDMRERLCLEVERLGLSAVIMGSRGFGAEKRGSDGKLGSVSDYCVHHCVCPVVVVRYPDDKDGGSGEPVVTIKEAGVEEEASKASPW >KJB62685 pep chromosome:Graimondii2_0_v6:9:67544032:67546913:-1 gene:B456_009G430200 transcript:KJB62685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSDNSDHPQLPSIKIRHPSSPHHPSSAATPTPTAGARRKIGVAVDLSDESAFAVRWAVQNYLRPGDAVIVLHVSPTSVLYGADWGPLPHTPQNAETPESQKQIEDEFDAFTASKVADLARPLKESGVPFKIHIVKDHDMRERLCLEVERLGLSAVIMGSRGFGAEKRGSDGKLGSVSDYCVHHCVCPVVVVRYPDDKDGGSGEPVVTIKEAGVEEEASKADA >KJB62686 pep chromosome:Graimondii2_0_v6:9:67544032:67546913:-1 gene:B456_009G430200 transcript:KJB62686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSDNSDHPQLPSIKIRHPSSPHHPSSAATPTPTAGARRKIGVAVDLSDESAFAVRWAVQNYLRPGDAVIVLHVSPTSVLYGADWGPLPHTPQNAETPESQKQIEDEFDAFTASKVADLARPLKESGVPFKIHIVKDHDMRERLCLEVERLGLSAVIMGSRGFGAEKRGSDGKLGSVSDYCVHHCVCPVVVVRYPDDKDGGSGEPVVTIKEAGVEEEASKDA >KJB59015 pep chromosome:Graimondii2_0_v6:9:18630666:18634456:-1 gene:B456_009G236000 transcript:KJB59015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPHYRSPFGDTTFTKVFVGGLAWETPTEEMRRYFQQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACVDPNPVIGGRRANCNIASLGRPRPSPPRGRNQGSSPFQGVAQQGTPSYSGVAAAVPPPPPPPLPPVIYPPYGFPTYSPEYGYHQAVYNPQIQQAQYYHQLYGSSSTSMGSPYYFGYSLQAPRGTFSAPQAQRIPGPSYLYYPSQMEGSFSTYPPPPFHPTRHPIPSPAVCHR >KJB59019 pep chromosome:Graimondii2_0_v6:9:18630023:18634456:-1 gene:B456_009G236000 transcript:KJB59019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPHYRSPFGDTTFTKVFVGGLAWETPTEEMRRYFQQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACVDPNPVIGGRRANCNIASLGRPRPSPPRGRNQGSSPFQGVAQQGTPSYSGVAAAVPPPPPPPLPPVIYPPYGFPTYSPEYGYHQAVYNPQIQQAQYYHQLYGSSSTSMGSPYYFGYSLQAPRGTFSAPQAQRIPGPSYLYYPSQMEGSFSTYPPPPFHPTRHPIPSPADSSTYLHRIRIWGHHF >KJB59021 pep chromosome:Graimondii2_0_v6:9:18631589:18633857:-1 gene:B456_009G236000 transcript:KJB59021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPHYRSPFGDTTFTKVFVGGLAWETPTEEMRRYFQQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACVDPNPVIGGRRANCNIASLGRPRPSPPRGRNQGSSPFQGVAQQGTPSYSGVAAAVPPPPPPPLPPVIYPPYGFPTYSPEYGYHQAVYNPQIQQAQYYHQLYGSSSTSMGSPYYFGYSLQAPRGTFSAPQAQRIPGPSYLYYPSQMEGSFSTYPPPPFHPTRHPIPSPAGK >KJB59020 pep chromosome:Graimondii2_0_v6:9:18631477:18633857:-1 gene:B456_009G236000 transcript:KJB59020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPHYRSPFGDTTFTKVFVGGLAWETPTEEMRRYFQQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACVDPNPVIGGRRANCNIASLGRPRPSPPRGRNQGSSPFQGVAQQGTPSYSGVAAAVPPPPPPPLPPVIYPPYGFPTYSPEYGYHQAVYNPQIQQAQYYHQLYGSSSTSMGSPYYFGYSLQAPRGTFSAPQAQRIPGPSYLYYPSQMEGSFSTYPPPPFHPTRHPIPSPAECT >KJB59016 pep chromosome:Graimondii2_0_v6:9:18629993:18634456:-1 gene:B456_009G236000 transcript:KJB59016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPHYRSPFGDTTFTKVFVGGLAWETPTEEMRRYFQQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACVDPNPVIGGRRANCNIASLGRPRPSPPRGRNQGSSPFQGVAQQGTPSYSGVAAAVPPPPPPPLPPVIYPPYGFPTYSPEYGYHQAVYNPQIQQAQYYHQLYGSSSTSMGSPYYFGYSLQAPRGTFSAPQAQRIPGPSYLYYPSQMEGSFSTYPPPPFHPTRHPIPSPADSQTPQPTSTESESGAITSESPKT >KJB59018 pep chromosome:Graimondii2_0_v6:9:18630023:18634456:-1 gene:B456_009G236000 transcript:KJB59018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPHYRSPFGDTTFTKVFVGGLAWETPTEEMRRYFQQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACVDPNPVIGGRRANCNIASLGRPRPSPPRGRNQGSSPFQGVAQQGTPSYSGVAAAVPPPPPPPLPPVIYPPYGFPTYSPEYGYHQQRVEATIFGKGMLKMGMQAVYNPQIQQAQYYHQLYGSSSTSMGSPYYFGYSLQAPRGTFSAPQAQRIPGPSYLYYPSQMEGSFSTYPPPPFHPTRHPIPSPADSQTPQPTSTESESGAITSESPKT >KJB59017 pep chromosome:Graimondii2_0_v6:9:18631477:18633857:-1 gene:B456_009G236000 transcript:KJB59017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPHYRSPFGDTTFTKVFVGGLAWETPTEEMRRYFQQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACVDPNPVIGGRRANCNIASLGRPRPSPPRGRNQGSSPFQGVAQQGTPSYSGVAAAVPPPPPPPLPPVIYPPYGFPTYSPEYGYHQAVYNPQIQQAQYYHQLYGSSSTSMGSPYYFGYSLQAPRGTFSAPQAQRIPGPSYLYYPSQMEGSFSTYPPPPFHPTRHPIPSPAECT >KJB57123 pep chromosome:Graimondii2_0_v6:9:11346372:11348666:-1 gene:B456_009G149400 transcript:KJB57123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPNQHSRINLLELKAQLIKRLGPERSKQYYHYLNKLLNLKLSKVEFNKVCFHVLGKENVRLHNLLIRSILKNACNAKVPPPPVTIHDGQQIGDVLLTPWKARSGASIRLTAKVDSASHESTITNDIIVSENQYLASHGTKKLVQHHQEVSGKADNGRDVLLPNSEVKGSANGFVSEDGRGQSEVLFAEDGKESCARSSLQAPLGIPLFSSSISETRRALTLARSTRHAKSYAIGGLLDSEALRERMQQIAALEGLEGVPMDCANILNNGLDVYLKRLIRMSFELVGTRHGCSLSKNNTVKQHSHWNLVNGVSPSHHNQVQNSSWNLEAIDEQRYYKLISLLDFKAAMELNPQRLGEGWPVLLEKIYMHSPEE >KJB57249 pep chromosome:Graimondii2_0_v6:9:11895620:11899453:-1 gene:B456_009G155200 transcript:KJB57249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSCTKNFFQRFCMDEFRMDSDIHGSCFSSDLIPSLGARINHATKLRTYIISPFNPHYRAWEIWLVVLVIYSAWICPFEFAFLTDKNDALFIFDNIVNGFFAADIILTFFVAYLDGQSYLLVDDPKKIAIRYISTWLAFDVCSTAPFQSLNLMFTDHGSELGLRLLNMLRLWRLRRVSSLFARLEKDIRFNYFWTRCTKLISVTMFAVHCAGCFNYLIADRYPDPSRTWIGAVYPNFKQHSLWDRYVTSIYWSITTLTTTGYGDLHAENPREMLFYIFYMFFNLGLTAYLIGNMTNLVVHWTSRTRNFRDTVRAASEFVTRNQLSPRIQDQIMSHICLRFKTEGLKQQETLNSLPKAIRSSIAQHLFLHILQKAYLFQGVSDDFLFQLVSEMDAEYFPPKEDVILQNEAPTDLYILVSGAVNLISHADGHDQVCDLQVTEKVAAGDMFGEVGVLCCRPQPYTARTTELCQILRLNGTSLMNILQINIEEGRVMMRNLYMKINGLHSSSFDQSNIDRGLIQNSRLGQGAVGGSCLSAGYEEQSQREAIGINFSGSEAIETIQRGRFPMKGISTAEDGQTALHDAVPKGHIEMVKILVEGGAGVNKPDARARTPKASAEQQGSKSIYDLLQTYEKNKNLDDEHRIDIIGPETTEDPKNSPNRNRNWAQNFFNSPIYREVIIPTKKRVTIHMHLQSSSTSSRQLGKLILLPDSIQELLRVAGKSD >KJB57246 pep chromosome:Graimondii2_0_v6:9:11894419:11897978:-1 gene:B456_009G155200 transcript:KJB57246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAVHCAGCFNYLIADRYPDPSRTWIGAVYPNFKQHSLWDRYVTSIYWSITTLTTTGYGDLHAENPREMLFYIFYMFFNLGLTAYLIGNMTNLVVHWTSRTRNFRDTVRAASEFVTRNQLSPRIQDQIMSHICLRFKTEGLKQQETLNSLPKAIRSSIAQHLFLHILQKAYLFQGVSDDFLFQLVSEMDAEYFPPKEDVILQNEAPTDLYILVSGAVNLISHADGHDQVCDLQVTEKVAAGDMFGEVGVLCCRPQPYTARTTELCQILRLNGTSLMNILQINIEEGRVMMRNLYMKINGLHSSSFDQSNIDRGLIQNSRLGQGAVGGSCLSAGYEEQSQREAIGINFSGSEAIETIQRGRFPMKGISTAEDGQTALHDAVPKGHIEMVKILVEGGAGVNKPDARARTPKASAEQQGSKSIYDLLQTYEKNKNLDDEHRIDIIGPETTEDPKNSPNRNRNWAQNFFNSPIYREVIIPTKKRVTIHMHLQSSSTSSRQLGKLILLPDSIQELLRVAGEKFGGCTFTNVVNAENAEIDDVHVIRDGDNLFLHQNEGENAYFNVT >KJB57248 pep chromosome:Graimondii2_0_v6:9:11895385:11898923:-1 gene:B456_009G155200 transcript:KJB57248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSCTKNFFQRFCMDEFRMDSDIHGSCFSSDLIPSLGARINHATKLRTYIISPFNPHYRAWEIWLVVLVIYSAWICPFEFAFLTDKNDALFIFDNIVNGFFAADIILTFFVAYLDGQSYLLVDDPKKIAIRYISTWLAFDVCSTAPFQSLNLMFTDHGSELGLRLLNMLRLWRLRRVSSLFARLEKDIRFNYFWTRCTKLISVTMFAVHCAGCFNYLIADRYPDPSRTWIGAVYPNFKQHSLWDRYVTSIYWSITTLTTTGYGDLHAENPREMLFYIFYMFFNLGLTAYLIGNMTNLVVHWTSRTRNFRDTVRAASEFVTRNQLSPRIQDQIMSHICLRFKTEGLKQQETLNSLPKAIRSSIAQHLFLHILQKAYLFQGVSDDFLFQLVSEMDAEYFPPKEDVILQNEAPTDLYILVSGAVNLISHADGHDQVCDLQVTEKVAAGDMFGEVGVLCCRPQPYTARTTELCQILRLNGTSLMNILQINIEEGRVMMRNLYMKINGLHSSSFDQSNIDRGLIQNSRLGQGAVGGSCLSAGYEEQSQREAIGINFSGSEAIETIQRGRFPMKGISTAEDGQTALHDAVPKGHIEMVKILVEGGAGVNKPDARARTPKASAEQQGSKSIYDLLQTYEKNKNLDDEHRIDIIGPETTEDPKNSPNRNRNWAQNFFNSPIYREVIIPTKKRVTIHMHLQSSSTSSRQLGKLILLPDSIQELLRVAGEKFGGCTFTNVVNAENAEIDDVHVIRDGDNLFLHQNEGENAYFNVT >KJB57247 pep chromosome:Graimondii2_0_v6:9:11894419:11899453:-1 gene:B456_009G155200 transcript:KJB57247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLISLLMIPRRLPSDHGSELGLRLLNMLRLWRLRRVSSLFARLEKDIRFNYFWTRCTKLISVTMFAVHCAGCFNYLIADRYPDPSRTWIGAVYPNFKQHSLWDRYVTSIYWSITTLTTTGYGDLHAENPREMLFYIFYMFFNLGLTAYLIGNMTNLVVHWTSRTRNFRDTVRAASEFVTRNQLSPRIQDQIMSHICLRFKTEGLKQQETLNSLPKAIRSSIAQHLFLHILQKAYLFQGVSDDFLFQLVSEMDAEYFPPKEDVILQNEAPTDLYILVSGAVNLISHADGHDQVCDLQVTEKVAAGDMFGEVGVLCCRPQPYTARTTELCQILRLNGTSLMNILQINIEEGRVMMRNLYMKINGLHSSSFDQSNIDRGLIQNSRLGQGAVGGSCLSAGYEEQSQREAIGINFSGSEAIETIQRGRFPMKGISTAEDGQTALHDAVPKGHIEMVKILVEGGAGVNKPDARARTPKASAEQQGSKSIYDLLQTYEKNKNLDDEHRIDIIGPETTEDPKNSPNRNRNWAQNFFNSPIYREVIIPTKKRVTIHMHLQSSSTSSRQLGKLILLPDSIQELLRVAGEKFGGCTFTNVVNAENAEIDDVHVIRDGDNLFLHQNEGENAYFNVT >KJB59856 pep chromosome:Graimondii2_0_v6:9:23231439:23233564:-1 gene:B456_009G276900 transcript:KJB59856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQILLFVALYSITVHLYHKFQNHPPTPFPSLPFIGHFYLLLKKPLHRSLAKISTKHGSVLLLHFGSRPVAVISSASAAEECFTKNDIIFANRPLLLYGKHLGYNYTSLIWDSYGHNWRNLRRISSVQLLSGHCLQLLYATRLDEVRLLLRKLFKDHDRTVDLKSRLFELMLNLMLRMIAGKRYYGDGVAVVEEGTRFREIMRESFLLAGATNMGDFLPVMKWFEKTEKRMINLFKRREAFTQELIDECRHNMKNGKKSSLSTDEKKNMIEVMLSLQEQEPENYKDETIRSLMIVLLLAGTDTSSGTLEWAMTFLLNHPQVLNKARTEIDTVVGQNRLIEESDLPNLPYLHCIINETFRMKPTGPLLVPHESSKECLVGGYRIPRGTMLLVNAWAIQNDPNNWEEPNVFKPERFEGLDPSNIAFRLMPFGNGRRRCPGEGLAMRMVGLTLGSLIQCFEWERKGEEMVDMSEGPGLTMPKAQPLQAKCRPRQPFVPLLSQI >KJB54419 pep chromosome:Graimondii2_0_v6:9:2527402:2530754:-1 gene:B456_009G033800 transcript:KJB54419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGKGIVNPNLKLSLPLPAEISFAKFLTESGTFKDGDLLVNKDGVRIVSESESEAPPPIKPSETDADDQLNLDDIDAIKVIGKGNGGIVQLVQHKWTGQFFALKIIQMNIEESARKQIAKELKINQSSQCPYVVVCYQSFYKNGAISIILEYMDGGSLADFLRKVKSIPEPYLAAICKQVLKGLMYLHHEKHIIHRDLKPSNLLINHRGEVKITDFGVSAIMTSTSGQANTFVGTYNYMSPERIIGDNYGSKSDIWSLGLVLLECATGQFPYSPPDQAEGWTNFYELMEQIVEQPPPFAPSDRFSSEFCSFISACVKKDPNERKSAHELLGLPFLNMYCDVDLESYFKSAGSPLATLELV >KJB54420 pep chromosome:Graimondii2_0_v6:9:2527973:2530544:-1 gene:B456_009G033800 transcript:KJB54420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGKGIVNPNLKLSLPLPAEISFAKFLTESGTFKDGDLLVNKDGVRIVSESESEAPPPIKPSETDADDQLNLDDIDAIKVIGKGNGGIVQLVQHKWTGQFFALKIIQMNIEESARKQIAKELKINQSSQCPYVVVCYQSFYKNGAISIILEYMDGGSLADFLRKVKSIPEPYLAAICKQVLKGLMYLHHEKHIIHRDLKPSNLLINHRGEVKITDFGVSAIMTSTSGQANTFVGTYNYMSPERIIGDNYGSKSDIWSLGLVLLECATGQFPYSPPDQAEGWTNFYELMEQIVEQPPPFAPSDRFSSEFCSFISACVKKDPNERKSAHELLGLPFLNMYCDVDLESYFKSAGSPLATLELV >KJB54417 pep chromosome:Graimondii2_0_v6:9:2527559:2530583:-1 gene:B456_009G033800 transcript:KJB54417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGKGIVNPNLKLSLPLPAEISFAKFLTESGTFKDGDLLVNKDGVRIVSESESEAPPPIKPSETDADDQLNLDDIDAIKVIGKGNGGIVQLVQHKWTGQFFALKIIQMNIEESARKQIAKELKINQSSQCPYVVVCYQSFYKNGAISIILEYMDGGSLADFLRKVKSIPEPYLAAICKQVLKGLMYLHHEKHIIHRDLKPSNLLINHRGEVKITDFGVSAIMTSTSGQANTFVGTYNYMSPERIIGDNYGSKSDIWSLGLVLLECATGQFPYSPPDQAEGWTNFYELMEQIVEQPPPFAPSDRFSSEFCSFISACVKKDPNERKSAHELLGLPFLNMYCDVDLESYFKSAGSPLATLELV >KJB54418 pep chromosome:Graimondii2_0_v6:9:2527414:2530583:-1 gene:B456_009G033800 transcript:KJB54418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGKGIVNPNLKLSLPLPAEISFAKFLTESGTFKDGDLLVNKDGVRIVSESESEAPPPIKPSETDADDQLNLDDIDAIKVIGKGNGGIVQLVQHKWTGQFFALKIIQMNIEESARKQIAKELKINQSSQCPYVVVCYQSFYKNGAISIILEYMDGGSLADFLRKVLKGLMYLHHEKHIIHRDLKPSNLLINHRGEVKITDFGVSAIMTSTSGQANTFVGTYNYMSPERIIGDNYGSKSDIWSLGLVLLECATGQFPYSPPDQAEGWTNFYELMEQIVEQPPPFAPSDRFSSEFCSFISACVKKDPNERKSAHELLGLPFLNMYCDVDLESYFKSAGSPLATLELV >KJB61190 pep chromosome:Graimondii2_0_v6:9:41515111:41518604:-1 gene:B456_009G345200 transcript:KJB61190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYVFATPYRITWDYYFSAREHTLKFDSWEEAAELEYVKEHGISVFLMPSGMLGTLLSLVDVLPLFSNTVWGQNANLAFLKTHMGASFEKRPKPWRTVVNPEDVHSGDFLVVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDDMGNLWVAESGHENEKGEEIIVVIPWDEWWELSLKDNSNPQIALLPLHPDIRAKFNSTAAWEYARSMSGKPYGYHNMIFSWIDTVADNYPPPLDAHLVISVMSMWTRVQPAYAANMWNEALNKRLGTEDLDLYRILEETERRGITFDQLLTIPEQDEWVYSDGKSTTCVAFILEMYKEAGVFGPLSNSIQVTEFTIRDAYMLKIFENNQTNLPSWCNNGDGRLPFCQILGEYWMELPFYNSLEPYANMNENCPSLPPIYDRPTRC >KJB61189 pep chromosome:Graimondii2_0_v6:9:41515111:41518604:-1 gene:B456_009G345200 transcript:KJB61189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFFNPFLLSLFFCVLGLGFGVDFGQAFKVPFRVNDVLPVLPRQVSWPVLNTLHSAVDLLPAFVGSVTPNNGSIDWKGSCFHGNEARLEFTNSDRDDSGLGGGVLHLTTSEAHSWTCMDLYVFATPYRITWDYYFSAREHTLKFDSWEEAAELEYVKEHGISVFLMPSGMLGTLLSLVDVLPLFSNTVWGQNANLAFLKTHMGASFEKRPKPWRTVVNPEDVHSGDFLVVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDDMGNLWVAESGHENEKGEEIIVVIPWDEWWELSLKDNSNPQIALLPLHPDIRAKFNSTAAWEYARSMSGHFCHVYVD >KJB61191 pep chromosome:Graimondii2_0_v6:9:41516306:41518452:-1 gene:B456_009G345200 transcript:KJB61191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFFNPFLLSLFFCVLGLGFGVDFGQAFKVPFRVNDVLPVLPRQVSWPVLNTLHSAVDLLPAFVGSVTPNNGSIDWKGSCFHGNEARLEFTNSDRDDSGLGGGVLHLTTSEAHSWTCMDLYVFATPYRITWDYYFSAREHTLKFDSWEEAAELEYVKEHGISVFLMPSGMLGTLLSLVDVLPLFSNTVWGQNANLAFLKTHMGASFEKRPKPWRTVVNPEDVHSGDFLVVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDDMGNLWVAESGHENEKGEEIIVVIPWDEWWELSLKDNSNPQIALLPLHPDIRAKFNSTAAWEYARSMSGKPYGYHNMIFSWIDTVADNYPPPLDAHLVGIFSDHSAHPDDALP >KJB61188 pep chromosome:Graimondii2_0_v6:9:41515077:41518698:-1 gene:B456_009G345200 transcript:KJB61188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFFNPFLLSLFFCVLGLGFGVDFGQAFKVPFRVNDVLPVLPRQVSWPVLNTLHSAVDLLPAFVGSVTPNNGSIDWKGSCFHGNEARLEFTNSDRDDSGLGGGVLHLTTSEAHSWTCMDLYVFATPYRITWDYYFSAREHTLKFDSWEEAAELEYVKEHGISVFLMPSGMLGTLLSLVDVLPLFSNTVWGQNANLAFLKTHMGASFEKRPKPWRTVVNPEDVHSGDFLVVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDDMGNLWVAESGHENEKGEEIIVVIPWDEWWELSLKDNSNPQIALLPLHPDIRAKFNSTAAWEYARSMSGKPYGYHNMIFSWIDTVADNYPPPLDAHLVISVMSMWTRVQPAYAANMWNEALNKRLGTEDLDLYRILEETERRGITFDQLLTIPEQDEWVYSDGKSTTCVAFILEMYKEAGVFGPLSNSIQVTEFTIRDAYMLKIFENNQTNLPSWCNNGDGRLPFCQILGEYWMELPFYNSLEPYANMNENCPSLPPIYDRPTRC >KJB55956 pep chromosome:Graimondii2_0_v6:9:7376258:7378628:1 gene:B456_009G102300 transcript:KJB55956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS6 MELFSFLLIIPIFYLLFKIWNWINDVRDQQCYILDYQCYKPSDDRMVGTEFSGEVIKRNKNLGLNEYKFLLKAIVSSGIGEQTYAPRIMFSGREESPKLEDGILEMEEFFHDSIEKVLSRAGISPQEIDLLVVNVSMLSTVPSLCSRIINHYKMRPDIKSFNLTGMGCSASLISLDIVRNVFKSYKNKFALLVTSESLSPNWYAGNDRSMILSNCLFRSGGCAVLLTNKKSLKHRAMFKLKCLVRTHHGAKDESYGCCIQREDDKGKMGFHLSKSLPKAATRSFVDNLRVITPKILPVRELVRFMAVSLLKKWNVVRHGSSHKGASQGPIKAGVNFKSGVDHFCIHTGGKAVIDGIGISLDLTEYDLEPARMTLHRFGNTSASSLWYVLAYMEAKKRLKKGDKVLMISFGAGFKCNSCLWEVVRDLGDGNVWKDEIYMYPPKTLVNPYMEKFGWIQDEDPSTFNPGD >KJB56438 pep chromosome:Graimondii2_0_v6:9:8869922:8873350:-1 gene:B456_009G119900 transcript:KJB56438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFRADIPTCVLKTSPDFCTVYVVSKGKVSSVRNAVRSVPFASPLLDQIKKPESVNPGYSLSKISLNSATSQGKGADGRSMTKPRISTDSNARSPIYGPRASLQKMFGDLPESDTDISFVSSDRASTDRSSSSFFDSFLDSRRLSNSTEQSLGSMRLGMRWPDRSSPHESLSGYDSGRSSCSSQHPDEVEVEMRRLRQELKQTMDLYGNACREALTAKQQAMELKQTQNQDRKKLEEVRQAEEAATSAVEKEKARCQAAIEAALAAQKEAELKGRTVAGGEILRETAEMKRMLEILSQGNVKYRRYTIEEVEEATNNFALSRKIGEGGYGPVFKCNLGHTPVAVKVLRPDAAQGRLQFLQEIEVLSSMRHPNMVLLLGACPEYGILVYEYMGRGSLDDCLFRKGNHHQALSWQHRFRIAAEIGTGLLFLHQRKPEPIVHRDLKPGNILLDQNYVSKISDVGLAKLVPQAAENMTQCCVTSAAGTFCYIDPEYQQTGMLGVKSDLYSLGIILLQLITARPPMGLAHYVEQAVERGTFHEMLDPLVPDWPAEETLSLAKLALRCAELRRRDRPDLGKDVLPELCRLREIAEEKMTGSFYAASPM >KJB57016 pep chromosome:Graimondii2_0_v6:9:10995958:11004314:-1 gene:B456_009G145100 transcript:KJB57016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFKVSKTGTRYKSKHCLQSEASVDVVSENSKESSRPRKLQGDVEGVERVPGVFQSINSDETVRVPSDHEISFTLNLYPDGYSIGKPQEEALHTVPDAPKHPYDRSSETLFSAIESGRLPGDILDDIPCKYVDGMLVCEVRDYRKVAPQQGPNTPPIDGCPIINKVCLKMSLENVVKDIPLSSDNSWTYGDLMEAESRILKALQPQLCLDPTPKLDRLCTNPVPTKLDLASCSLRRKRLRQTPEVRVTSTSKFNAKKVGRLREAGIVSGSLMLQQENLIPQNIGSSNILALRPKSFVQDSSVSALPMSSQSPMYPMGLMNSRSMQDHGSSSVVSASAASPVGQDMPMSYADSINSGASLLGKRENADGPMSPLSGLSKRTRLNAVGPDGIPQHQVGTHMDGLHGQDMSWKNMLLPQQSMARGIQYANSGMQKYPQQVFEGVLNQEAGAMPFSAGQQALRYSAKEEPFDPTDKLDGSELNREADANHLDPQQRLQPRSFHGFARPGFSQTPWNNINQHVEKDVRKEEQFQKRKSVQSPRLSGGALPQSPLSAKSGEFLGGPVGPHFGAVAATTSLGATVKEKGAVNSVPIVGGTPSLTSSANDSMQRQHQAQAVAKRRSNSLPKTPAINTVGSPASVSNISVPLNASSPSVGTPPSVDQSVLERFSKIEIVTMRCQLNRKKNKVDEYHVRKPSTHSTELVSPFLSNFSSNEDFKDESKPLSKSLFGGSVNTYKTRILNFVQGNLVSLVPRARTRMIMSEKPTDGTIAMFYGDIDDGDILSAEDHIPHLPTLPNTHMADLLAAQFCSLMVREGHHLVEDDVQAKPTGVLASSSQPNSAVTFPNNSASDMQQYAENVAGQATNEVAKQNSSNNMSINPSSSALGNTRMLPPGNPQALQMSQGLLSGASMPARPSQLDPQPPQQQPQQPQQQQQSQQHSLLQQQHQQFQRSPMMLGSNPLSHLNAIGQNSNMQFNNQMVNKSSALQLQMIQRQQQRQLQQQQQQPQQQQQMQRKMMGLSAAVGMGNMVRIGGLGNATGIGGVRGMSGTGISAPMTGISGMGNVGQNPINLPGANITTAITQQLRSGALSSQAALLSNLRMGRGNMLGSPQSSIAGMSGPRQMHPGSASLSMLGQNLNQGNMSSMQRTPMGSMGPPKMMPGMNHLYMNQQQQQQQQLQLQQQQQQQLQLQQQQQQQQQQQQLQLQQQLHHQQQQLSSSNYNNSSHSSSSSKKQLHHYRLSFHPHR >KJB57009 pep chromosome:Graimondii2_0_v6:9:10996752:11003942:-1 gene:B456_009G145100 transcript:KJB57009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFKVSKTGTRYKSKHCLQSEASVDVVSENSKESSRPRKLQGDVEGVERVPGVFQSINSDETVRVPSDHEISFTLNLYPDGYSIGKPQEEALHTVPDAPKHPYDRSSETLFSAIESGRLPGDILDDIPCKYVDGMLVCEVRDYRKVAPQQGPNTPPIDGCPIINKVCLKMSLENVVKDIPLSSDNSWTYGDLMEAESRILKALQPQLCLDPTPKLDRLCTNPVPTKLDLASCSLRRKRLRQTPEVRVTSTSKFNAKKVGRLREAGIVSGSLMLQQENLIPQNIGSSNILALRPKSFVQDSSVSALPMSSQSPMYPMGLMNSRSMQDHGSSSVVSASAASPVGQDMPMSYADSINSGASLLGKRENADGPMSPLSGLSKRTRLNAVGPDGIPQHQVGTHMDGLHGQDMSWKNMLLPQQSMARGIQYANSGMQKYPQQVFEGVLNQEAGAMPFSAGQQALRYSAKEEPFDPTDKLDGSELNREADANHLDPQQRLQPRSFHGFARPGFSQTPWNNINQHVEKDVRKEEQFQKRKSVQSPRLSGGALPQSPLSAKSGEFLGGPVGPHFGAVAATTSLGATVKEKGAVNSVPIVGGTPSLTSSANDSMQRQHQAQAVAKRRSNSLPKTPAINTVGSPASVSNISVPLNASSPSVGTPPSVDQSVLERFSKIEIVTMRCQLNRKKNKVDEYHVRKPSTHSTELVSPFLSNFSSNEDFKDESKPLSKSLFGGSVNTYKTRILNFVQGEHVVQGNLVSLVPRARTRMIMSEKPTDGTIAMFYGDIDDGDILSAEDHIPHLPTLPNTHMADLLAAQFCSLMVREGHHLVEDDVQAKPTGVLASSSQPNSAVTFPNNSASDMQQYAENVAGQATNEVAKQNSSNNMSINPSSSALGNTRMLPPGNPQALQMSQGLLSGASMPARPSQLDPQPPQQQPQQPQQQQQSQQHSLLQQQHQQFQRSPMMLGSNPLSHLNAIGQNSNMQFNNQMVNKSSALQLQMIQRQQQRQLQQQQQQPQQQQQMQRKMMGLSAAVGMGNMVRIGGLGNATGIGGVRGMSGTGISAPMTGISGMGNVGQNPINLPGANITTAITQQLRSGALSSQAALLSNLRMGRGNMLGSPQSSIAGMSGPRQMHPGSASLSMLGQNLNQGNMSSMQRTPMGSMGPPKMMPGMNHLYMNQQQQQQQQLQLQQQQQQQLQLQQQQQQQQQQQHSSSNYNNSSHSSSSSKKQLHHYRLSFHPHR >KJB57012 pep chromosome:Graimondii2_0_v6:9:10996752:11003942:-1 gene:B456_009G145100 transcript:KJB57012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFKVSKTGTRYKSKHCLQSEASVDVVSENSKESSRPRKLQGDVEGVERVPGVFQSINSDETVRVPSDHEISFTLNLYPDGYSIGKPQEEALHTVPDAPKHPYDRSSETLFSAIESGRLPGDILDDIPCKYVDGMLVCEVRDYRKVAPQQGPNTPPIDGCPIINKVCLKMSLENVVKDIPLSSDNSWTYGDLMEAESRILKALQPQLCLDPTPKLDRLCTNPVPTKLDLASCSLRRKRLRQTPEVRVTSTSKFNAKKVGRLREAGIVSGSLMLQQENLIPQNIGSSNILALRPKSFVQDSSVSALPMSSQSPMYPMGLMNSRSMQDHGSSSVVSASAASPVGQDMPMSYADSINSGASLLGKRENADGPMSPLSGLSKRTRLNAVGPDGIPQHQVGTHMDGLHGQDMSWKNMLLPQQSMARGIQYANSGMQKYPQQVFEGVLNQEAGAMPFSAGQQALRYSAKEEPFDPTDKLDGSELNREADANHLDPQQRLQPRSFHGFARPGFSQTPWNNINQHVEKDVRKEEQFQKRKSVQSPRLSGGALPQSPLSAKSGEFLGGPVGPHFGAVAATTSLGATVKEKGAVNSVPIVGGTPSLTSSANDSMQRQHQAQAVAKRRSNSLPKTPAINTVGSPASVSNISVPLNASSPSVGTPPSVDQSVLERFSKIEIVTMRCQLNRKKNKVDEYHVRKPSTHSTELVSPFLSNFSSNEDFKDESKPLSKSLFGGSVNTYKTRILNFVQGEHVVQGNLVSLVPRARTRMIMSEKPTDGTIAMFYGDIDDGDILSAEDHIPHLPTLPNTHMADLLAAQFCSLMVREGHHLVEDDVQAKPTGVLASSSQPNSAVTFPNNSASDMQQYAENVAGQATNEVAKQNSSNNMSINPSSSALGNTRMLPPGNPQALQMSQGLLSGASMPARPSQLDPQPPQQQPQQPQQQQQSQQHSLLQQQHQQFQRSPMMLGSNPLSHLNAIGQNSNMQFNNQMVNKSSALQLQMIQRQQQRQLQQQQQQPQQQQQMQRKMMGLSAAVGMGNMVRIGGLGNATGIGGVRGMSGTGISAPMTGISGMGNVGQNPINLPGANITTAITQQLRSGALSSQAALLSNLRMGRGNMLGSPQSSIAGMSGPRQMHPGSASLSMLGQNLNQGNMSSMQRTPMGSMGPPKMMPGMNHLYMNQQQQQQQQLQLQQQQQQQLQLQQQQQQQQQQQQLQLQQQLHHQQQQLQQHSNYNNSSHSSSSSKKQLHHYRLSFHPHR >KJB57011 pep chromosome:Graimondii2_0_v6:9:10995958:11004314:-1 gene:B456_009G145100 transcript:KJB57011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFKVSKTGTRYKSKHCLQSEASVDVVSENSKESSRPRKLQGDVEGVERVPGVFQSINSDETVRVPSDHEISFTLNLYPDGYSIGKPQEEALHTVPDAPKHPYDRSSETLFSAIESGRLPGDILDDIPCKYVDGMLVCEVRDYRKVAPQQGPNTPPIDGCPIINKVCLKMSLENVVKDIPLSSDNSWTYGDLMEAESRILKALQPQLCLDPTPKLDRLCTNPVPTKLDLASCSLRRKRLRQTPEVRVTSTSKFNAKKVGRLREAGIVSGSLMLQQENLIPQNIGSSNILALRPKSFVQDSSVSALPMSSQSPMYPMGLMNSRSMQDHGSSSVVSASAASPVGQDMPMSYADSINSGASLLGKRENADGPMSPLSGLSKRTRLNAVGPDGIPQHQVGTHMDGLHGQDMSWKNMLLPQQSMARGIQYANSGMQKYPQQVFEGVLNQEAGAMPFSAGQQALRYSAKEEPFDPTDKLDGSELNREADANHLDPQQRLQPRSFHGFARPGFSQTPWNNINQHVEKDVRKEEQFQKRKSVQSPRLSGGALPQSPLSAKSGEFLGGPVGPHFGAVAATTSLGATVKEKGAVNSVPIVGGTPSLTSSANDSMQRQHQAQAVAKRRSNSLPKTPAINTVGSPASVSNISVPLNASSPSVGTPPSVDQSVLERFSKIEIVTMRCQLNRKKNKVDEYHVRKPSTHSTELVSPFLSNFSSNEDFKDESKPLSKSLFGGSVNTYKTRILNFVQGNLVSLVPRARTRMIMSEKPTDGTIAMFYGDIDDGDILSAEDHIPHLPTLPNTHMADLLAAQFCSLMVREGHHLVEDDVQAKPTGVLASSSQPNSAVTFPNNSASDMQQYAENVAGQATNEVAKQNSSNNMSINPSSSALGNTRMLPPGNPQALQMSQGLLSGASMPARPSQLDPQPPQQQPQQPQQQQQSQQHSLLQQQHQQFQRSPMMLGSNPLSHLNAIGQNSNMQFNNQMVNKSSALQLQMIQRQQQRQLQQQQQQPQQQQQMQRKMMGLSAAVGMGNMVRIGGLGNATGIGGVRGMSGTGISAPMTGISGMGNVGQNPINLPGANITTAITQQLRSGALSSQAALLSNLRMGRGNMLGSPQSSIAGMSGPRQMHPGSASLSMLGQNLNQGNMSSMQRTPMGSMGPPKMMPGMNHLYMNQQQQQQQQLQLHSSSSNNNSSSCSCSSSCIISNNSCNSTITTTAATAAAAARNNFTTTGCHFTLTGRLTITHWNFTIEPATSATAGPATGQPTTNESKDSHESPTELRCYSCTKCL >KJB57017 pep chromosome:Graimondii2_0_v6:9:10995958:11004334:-1 gene:B456_009G145100 transcript:KJB57017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFKVSKTGTRYKSKHCLQSEASVDVVSENSKESSRPRKLQGDVEGVERVPGVFQSINSDETVRVPSDHEISFTLNLYPDGYSIGKPQEEALHTVPDAPKHPYDRSSETLFSAIESGRLPGDILDDIPCKYVDGMLVCEVRDYRKVAPQQGPNTPPIDGCPIINKVCLKMSLENVVKDIPLSSDNSWTYGDLMEAESRILKALQPQLCLDPTPKLDRLCTNPVPTKLDLASCSLRRKRLRQTPEVRVTSTSKFNAKKVGRLREAGIVSGSLMLQQENLIPQNIGSSNILALRPKSFVQDSSVSALPMSSQSPMYPMGLMNSRSMQDHGSSSVVSASAASPVGQDMPMSYADSINSGASLLGKRENADGPMSPLSGLSKRTRLNAVGPDGIPQHQVGTHMDGLHGQDMSWKNMLLPQQSMARGIQYANSGMQKYPQQVFEGVLNQEAGAMPFSAGQQALRYSAKEEPFDPTDKLDGSELNREADANHLDPQQRLQPRSFHGFARPGFSQTPWNNINQHVEKDVRKEEQFQKRKSVQSPRLSGGALPQSPLSAKSGEFLGGPVGPHFGAVAATTSLGATVKEKGAVNSVPIVGGTPSLTSSANDSMQRQHQAQAVAKRRSNSLPKTPAINTVGSPASVSNISVPLNASSPSVGTPPSVDQSVLERFSKIEIVTMRCQLNRKKNKVDEYHVRKPSTHSTELVSPFLSNFSSNEDFKDESKPLSKSLFGGSVNTYKTRILNFVQGNLVSLVPRARTRMIMSEKPTDGTIAMFYGDIDDGDILSAEDHIPHLPTLPNTHMADLLAAQFCSLMVREGHHLVEDDVQAKPTGVLASSSQPNSAVTFPNNSASDMQQYAENVAGQATNEVAKQNSSNNMSINPSSSALGNTRMLPPGNPQALQMSQGLLSGASMPARPSQLDPQPPQQQPQQPQQQQQSQQHSLLQQQHQQFQRSPMMLGSNPLSHLNAIGQNSNMQFNNQMVNKSSALQLQMIQRQQQRQLQQQQQQPQQQQQMQRKMMGLSAAVGMGNMVRIGGLGNATGIGGVRGMSGTGISAPMTGISGMGNVGQNPINLPGANITTAITQQLRSGALSSQAALLSNLRMGRGNMLGSPQSSIAGMSGPRQMHPGSASLSMLGQNLNQGNMSSMQRTPMGSMGPPKMMPGMNHLYMNQQQQQQQQLQLQQQQQQQLQLQQQQQQQQQQQQLQLQQQLHHQQQQLQQQQQQQQLQQQQLQQQQQQQQLQQQQPQQQQQQETTSPLQAVISPSQVGSPSPIGISQLNQQPQQLQAQQQASPQQMNQRTPMSPQLSSGAIHALNACNPEACPASPQLSSQTLGSVSSITNSPMELGGNKSNSVGNT >KJB57014 pep chromosome:Graimondii2_0_v6:9:10996752:11003942:-1 gene:B456_009G145100 transcript:KJB57014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFKVSKTGTRYKSKHCLQSEASVDVVSENSKESSRPRKLQGDVEGVERVPGVFQSINSDETVRVPSDHEISFTLNLYPDGYSIGKPQEEALHTVPDAPKHPYDRSSETLFSAIESGRLPGDILDDIPCKYVDGMLVCEVRDYRKVAPQQGPNTPPIDGCPIINKVCLKMSLENVVKDIPLSSDNSWTYGDLMEAESRILKALQPQLCLDPTPKLDRLCTNPVPTKLDLASCSLRRKRLRQTPEVRVTSTSKFNAKKVGRLREAGIVSGSLMLQQENLIPQNIGSSNILALRPKSFVQDSSVSALPMSSQSPMYPMGLMNSRSMQDHGSSSVVSASAASPVGQDMPMSYADSINSGASLLGKRENADGPMSPLSGLSKRTRLNAVGPDGIPQHQVGTHMDGLHGQDMSWKNMLLPQQSMARGIQYANSGMQKYPQQVFEGVLNQEAGAMPFSAGQQALRYSAKEEPFDPTDKLDGSELNREADANHLDPQQRLQPRSFHGFARPGFSQTPWNNINQHVEKDVRKEEQFQKRKSVQSPRLSGGALPQSPLSAKSGEFLGGPVGPHFGAVAATTSLGATVKEKGAVNSVPIVGGTPSLTSSANDSMQRQHQAQAVAKRRSNSLPKTPAINTVGSPASVSNISVPLNASSPSVGTPPSVDQSVLERFSKIEIVTMRCQLNRKKNKVDEYHVRKPSTHSTELVSPFLSNFSSNEDFKDESKPLSKSLFGGSVNTYKTRILNFVQGEHVVQGNLVSLVPRARTRMIMSEKPTDGTIAMFYGDIDDGDILSAEDHIPHLPTLPNTHMADLLAAQFCSLMVREGHHLVEDDVQAKPTGVLASSSQPNSAVTFPNNSASDMQQYAENVAGQATNEVAKQNSSNNMSINPSSSALGNTRMLPPGNPQALQMSQGLLSGASMPARPSQLDPQPPQQQPQQPQQQQQSQQHSLLQQQHQQFQRSPMMLGSNPLSHLNAIGQNSNMQFNNQMVNKSSALQLQMIQRQQQRQLQQQQQQPQQQQQMQRKMMGLSAAVGMGNMVRIGGLGNATGIGGVRGMSGTGISAPMTGISGMGNVGQNPINLPGANITTAITQQLRSGALSSQAALLSNLRMGRGNMLGSPQSSIAGMSGPRQMHPGSASLSMLGQNLNQGNMSSMQRTPMGSMGPPKMMPGMNHLYMNQQQQQQQQLQLQQQQQQQLQLQQQQQQQQQQQQLQLQQQLHHQQQQLSSSNYNNSSHSSSSSKKQLHHYRLSFHPHR >KJB57018 pep chromosome:Graimondii2_0_v6:9:10996480:11003942:-1 gene:B456_009G145100 transcript:KJB57018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFKVSKTGTRYKSKHCLQSEASVDVVSENSKESSRPRKLQGDVEGVERVPGVFQSINSDETVRVPSDHEISFTLNLYPDGYSIGKPQEEALHTVPDAPKHPYDRSSETLFSAIESGRLPGDILDDIPCKYVDGMLVCEVRDYRKVAPQQGPNTPPIDGCPIINKVCLKMSLENVVKDIPLSSDNSWTYGDLMEAESRILKALQPQLCLDPTPKLDRLCTNPVPTKLDLASCSLRRKRLRQTPEVRVTSTSKFNAKKVGRLREAGIVSGSLMLQQENLIPQNIGSSNILALRPKSFVQDSSVSALPMSSQSPMYPMGLMNSRSMQDHGSSSVVSASAASPVGQDMPMSYADSINSGASLLGKRENADGPMSPLSGLSKRTRLNAVGPDGIPQHQVGTHMDGLHGQDMSWKNMLLPQQSMARGIQYANSGMQKYPQQVFEGVLNQEAGAMPFSAGQQALRYSAKEEPFDPTDKLDGSELNREADANHLDPQQRLQPRSFHGFARPGFSQTPWNNINQHVEKDVRKEEQFQKRKSVQSPRLSGGALPQSPLSAKSGEFLGGPVGPHFGAVAATTSLGATVKEKGAVNSVPIVGGTPSLTSSANDSMQRQHQAQAVAKRRSNSLPKTPAINTVGSPASVSNISVPLNASSPSVGTPPSVDQSVLERFSKIEIVTMRCQLNRKKNKVDEYHVRKPSTHSTELVSPFLSNFSSNEDFKDESKPLSKSLFGGSVNTYKTRILNFVQGEHVVQGNLVSLVPRARTRMIMSEKPTDGTIAMFYGDIDDGDILSAEDHIPHLPTLPNTHMADLLAAQFCSLMVREGHHLVEDDVQAKPTGVLASSSQPNSAVTFPNNSASDMQQYAENVAGQATNEVAKQNSSNNMSINPSSSALGNTRMLPPGNPQALQMSQGLLSGASMPARPSQLDPQPPQQQPQQPQQQQQSQQHSLLQQQHQQFQRSPMMLGSNPLSHLNAIGQNSNMQFNNQMVNKSSALQLQMIQRQQQRQLQQQQQQPQQQQQMQRKMMGLSAAVGMGNMVRIGGLGNATGIGGVRGMSGTGISAPMTGISGMGNVGQNPINLPGANITTAITQQLRSGALSSQAALLSNLRMGRGNMLGSPQSSIAGMSGPRQMHPGSASLSMLGQNLNQGNMSSMQRTPMGSMGPPKMMPGMNHLYMNQQQQQQQQLQLQQQQQQQLQLQQQQQQQQQQQQLQLQQQLHHQQQQLQQQQQQQQLQQQQLQQQQQQQQLQQQQPQQQQQQETTSPLQAVISPSQVGSPSPIGISQLNQQPQQLQAQQQASPQQMNQRTPMSPQLSSGAIHALNACNPEACPASPQLSSQTLGSVSSITNSPMELGGNKSNSVGNT >KJB57015 pep chromosome:Graimondii2_0_v6:9:10996752:11003942:-1 gene:B456_009G145100 transcript:KJB57015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFKVSKTGTRYKSKHCLQSEASVDVVSENSKESSRPRKLQGDVEGVERVPGVFQSINSDETVRVPSDHEISFTLNLYPDGYSIGKPQEEALHTVPDAPKHPYDRSSETLFSAIESGRLPGDILDDIPCKYVDGMLVCEVRDYRKVAPQQGPNTPPIDGCPIINKVCLKMSLENVVKDIPLSSDNSWTYGDLMEAESRILKALQPQLCLDPTPKLDRLCTNPVPTKLDLASCSLRRKRLRQTPEVRVTSTSKFNAKKVGRLREAGIVSGSLMLQQENLIPQNIGSSNILALRPKSFVQDSSVSALPMSSQSPMYPMGLMNSRSMQDHGSSSVVSASAASPVGQDMPMSYADSINSGASLLGKRENADGPMSPLSGLSKRTRLNAVGPDGIPQHQVGTHMDGLHGQDMSWKNMLLPQQSMARGIQYANSGMQKYPQQVFEGVLNQEAGAMPFSAGQQALRYSAKEEPFDPTDKLDGSELNREADANHLDPQQRLQPRSFHGFARPGFSQTPWNNINQHVEKDVRKEEQFQKRKSVQSPRLSGGALPQSPLSAKSGEFLGGPVGPHFGAVAATTSLGATVKEKGAVNSVPIVGGTPSLTSSANDSMQRQHQAQAVAKRRSNSLPKTPAINTVGSPASVSNISVPLNASSPSVGTPPSVDQSVLERFSKIEIVTMRCQLNRKKNKVDEYHVRKPSTHSTELVSPFLSNFSSNEDFKDESKPLSKSLFGGSVNTYKTRILNFVQGNLVSLVPRARTRMIMSEKPTDGTIAMFYGDIDDGDILSAEDHIPHLPTLPNTHMADLLAAQFCSLMVREGHHLVEDDVQAKPTGVLASSSQPNSAVTFPNNSASDMQQYAENVAGQATNEVAKQNSSNNMSINPSSSALGNTRMLPPGNPQALQMSQGLLSGASMPARPSQLDPQPPQQQPQQPQQQQQSQQHSLLQQQHQQFQRSPMMLGSNPLSHLNAIGQNSNMQFNNQMVNKSSALQLQMIQRQQQRQLQQQQQQPQQQQQMQRKMMGLSAAVGMGNMVRIGGLGNATGIGGVRGMSGTGISAPMTGISGMGNVGQNPINLPGANITTAITQQLRSGALSSQAALLSNLRMGRGNMLGSPQSSIAGMSGPRQMHPGSASLSMLGQNLNQGNMSSMQRTPMGSMGPPKMMPGMNHLYMNQQQQQQQQLQLQQQQQQQLQLQQQQQQQQQQQQLQLQQQLHHQQQQLQQHSNYNNSSHSSSSSKKQLHHYRLSFHPHR >KJB57013 pep chromosome:Graimondii2_0_v6:9:10995958:11004314:-1 gene:B456_009G145100 transcript:KJB57013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFKVSKTGTRYKSKHCLQSEASVDVVSENSKESSRPRKLQGDVEGVERVPGVFQSINSDETVRVPSDHEISFTLNLYPDGYSIGKPQEEALHTVPDAPKHPYDRSSETLFSAIESGRLPGDILDDIPCKYVDGMLVCEVRDYRKVAPQQGPNTPPIDGCPIINKVCLKMSLENVVKDIPLSSDNSWTYGDLMEAESRILKALQPQLCLDPTPKLDRLCTNPVPTKLDLASCSLRRKRLRQTPEVRVTSTSKFNAKKVGRLREAGIVSGSLMLQQENLIPQNIGSSNILALRPKSFVQDSSVSALPMSSQSPMYPMGLMNSRSMQDHGSSSVVSASAASPVGQDMPMSYADSINSGASLLGKRENADGPMSPLSGLSKRTRLNAVGPDGIPQHQVGTHMDGLHGQDMSWKNMLLPQQSMARGIQYANSGMQKYPQQVFEGVLNQEAGAMPFSAGQQALRYSAKEEPFDPTDKLDGSELNREADANHLDPQQRLQPRSFHGFARPGFSQTPWNNINQHVEKDVRKEEQFQKRKSVQSPRLSGGALPQSPLSAKSGEFLGGPVGPHFGAVAATTSLGATVKEKGAVNSVPIVGGTPSLTSSANDSMQRQHQAQAVAKRRSNSLPKTPAINTVGSPASVSNISVPLNASSPSVGTPPSVDQSVLERFSKIEIVTMRCQLNRKKNKVDEYHVRKPSTHSTELVSPFLSNFSSNEDFKDESKPLSKSLFGGSVNTYKTRILNFVQGNLVSLVPRARTRMIMSEKPTDGTIAMFYGDIDDGDILSAEDHIPHLPTLPNTHMADLLAAQFCSLMVREGHHLVEDDVQAKPTGVLASSSQPNSAVTFPNNSASDMQQYAENVAGQATNEVAKQNSSNNMSINPSSSALGNTRMLPPGNPQALQMSQGLLSGASMPARPSQLDPQPPQQQPQQPQQQQQSQQHSLLQQQHQQFQRSPMMLGSNPLSHLNAIGQNSNMQFNNQMVNKSSALQLQMIQRQQQRQLQQQQQQPQQQQQMQRKMMGLSAAVGMGNMVRIGGLGNATGIGGVRGMSGTGISAPMTGISGMGNVGQNPINLPGANITTAITQQLRSGALSSQAALLSNLRMGRGNMLGSPQSSIAGMSGPRQMHPGSASLSMLGQNLNQGNMSSMQRTPMGSMGPPKMMPGMNHLYMNQQQQQQQQLQLQQQQQQQLQLQQQQQQQQQQQQLQLQQQLHHQQQQLQQQHNYNNSSHSSSSSKKQLHHYRLSFHPHR >KJB57010 pep chromosome:Graimondii2_0_v6:9:10995955:11004370:-1 gene:B456_009G145100 transcript:KJB57010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFKVSKTGTRYKSKHCLQSEASVDVVSENSKESSRPRKLQGDVEGVERVPGVFQSINSDETVRVPSDHEISFTLNLYPDGYSIGKPQEEALHTVPDAPKHPYDRSSETLFSAIESGRLPGDILDDIPCKYVDGMLVCEVRDYRKVAPQQGPNTPPIDGCPIINKVCLKMSLENVVKDIPLSSDNSWTYGDLMEAESRILKALQPQLCLDPTPKLDRLCTNPVPTKLDLASCSLRRKRLRQTPEVRVTSTSKFNAKKVGRLREAGIVSGSLMLQQENLIPQNIGSSNILALRPKSFVQDSSVSALPMSSQSPMYPMGLMNSRSMQDHGSSSVVSASAASPVGQDMPMSYADSINSGASLLGKRENADGPMSPLSGLSKRTRLNAVGPDGIPQHQVGTHMDGLHGQDMSWKNMLLPQQSMARGIQYANSGMQKYPQQVFEGVLNQEAGAMPFSAGQQALRYSAKEEPFDPTDKLDGSELNREADANHLDPQQRLQPRSFHGFARPGFSQTPWNNINQHVEKDVRKEEQFQKRKSVQSPRLSGGALPQSPLSAKSGEFLGGPVGPHFGAVAATTSLGATVKEKGAVNSVPIVGGTPSLTSSANDSMQRQHQAQAVAKRRSNSLPKTPAINTVGSPASVSNISVPLNASSPSVGTPPSVDQSVLERFSKIEIVTMRCQLNRKKNKVDEYHVRKPSTHSTELVSPFLSNFSSNEDFKDESKPLSKSLFGGSVNTYKTRILNFVQGNLVSLVPRARTRMIMSEKPTDGTIAMFYGDIDDGDILSAEDHIPHLPTLPNTHMADLLAAQFCSLMVREGHHLVEDDVQAKPTGVLASSSQPNSAVTFPNNSASDMQQYAENVAGQATNEVAKQNSSNNMSINPSSSALGNTRMLPPGNPQALQMSQGLLSGASMPARPSQLDPQPPQQQPQQPQQQQQSQQHSLLQQQHQQFQRSPMMLGSNPLSHLNAIGQNSNMQFNNQMVNKSSALQLQMIQRQQQRQLQQQQQQPQQQQQMQRKMMGLSAAVGMGNMVRIGGLGNATGIGGVRGMSGTGISAPMTGISGMGNVGQNPINLPGANITTAITQQLRSGALSSQAALLSNLRMGRGNMLGSPQSSIAGMSGPRQMHPGSASLSMLGQNLNQGNMSSMQRTPMGSMGPPKMMPGMNHLYMNQQQQQQQQLQLQQQQQQQLQLQQQQQQQQQQQHSSSNYNNSSHSSSSSKKQLHHYRLSFHPHR >KJB60742 pep chromosome:Graimondii2_0_v6:9:31859031:31861408:-1 gene:B456_009G323300 transcript:KJB60742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYSDIGKKARDLLYKDYQVDHKFTVTTYTSNGVAITSTGTKKGELLLADVNTQLKNKNITTDVKVDSRSNLFTTVTIDEPAPGLKTIFSFVVPDQKSGMVELQYRHEYAGISNGIGLTAKPLVSFSGVIGNDCVSVGTDLSFDTASGNFIKLNAGLNITHSDLIASMTLNDKGDTLTASYYHIVSPLTNTAVGADKRIDPA >KJB60743 pep chromosome:Graimondii2_0_v6:9:31859827:31861315:-1 gene:B456_009G323300 transcript:KJB60743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYSDIGKKARDLLYKDYQVDHKFTVTTYTSNGVAITSTGTKKGELLLADVNTQLKNKNITTDVKVDSRSNLFTTVTIDEPAPGLKTIFSFVVPDQKSGMVELQYRHEYAGISNGIGLTAKPLVSFSGVIGNDCVSVGTDLSFDTASGNFIKLNAGLNITHSDLIASMTL >KJB60739 pep chromosome:Graimondii2_0_v6:9:31859012:31861457:-1 gene:B456_009G323300 transcript:KJB60739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYSDIGKKARDLLYKDYQVDHKFTVTTYTSNGVAITSTGTKKGELLLADVNTQLKNKNITTDVKVDSRSNLFTTVTIDEPAPGLKTIFSFVVPDQKSGMVELQYRHEYAGISNGIGLTAKPLVSFSGVIGNDCVSVGTDLSFDTASGNFIKLNAGLNITHSDLIASMTLNDKGDTLTASYYHIVSPLTNTAVGAELTHSFSSNENTLTIGTQHALDPLTTVKARMNNYGRASALIQHEWRPKSLFTISGEVDTRAIEKSAKVGLALALKP >KJB60740 pep chromosome:Graimondii2_0_v6:9:31859827:31861315:-1 gene:B456_009G323300 transcript:KJB60740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYSDIGKKARDLLYKDYQVDHKFTVTTYTSNGVAITSTGTKKGELLLADVNTQLKNKNITTDVKVDSRSNLFTTVTIDEPAPGLKTIFSFVVPDQKSGMVELQYRHEYAGISNGIGLTAKPLVSFSGVIGNDCVSVGTDLSFDTASGNFIKLNAGLNITHSDLIASMTL >KJB60741 pep chromosome:Graimondii2_0_v6:9:31859827:31861315:-1 gene:B456_009G323300 transcript:KJB60741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYSDIGKKARDLLYKDYQVDHKFTVTTYTSNGVAITSTGTKKGELLLADVNTQLKNKNITTDVKVDSRSNLFTTVTIDEPAPGLKTIFSFVVPDQKSGMVELQYRHEYAGISNGIGLTAKPLVSFSGVIGNDCVSVGTDLSFDTASGNFIKLNAGLNITHSDLIASMTL >KJB60744 pep chromosome:Graimondii2_0_v6:9:31859031:31861408:-1 gene:B456_009G323300 transcript:KJB60744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYSDIGKKARDLLYKDYQVDHKFTVTTYTSNGVAITSTGTKKGELLLADVNTQLKNKNITTDVKVDSRSNLFTTVTIDEPAPGLKTIFSFVVPDQKSGMVELQYRHEYAGISNGIGLTAKPLVSFSGVIGNDCVSVGTDLSFDTASGNFIKLNAGLNITHSDLIASMTL >KJB62761 pep chromosome:Graimondii2_0_v6:9:68396044:68400069:1 gene:B456_009G434900 transcript:KJB62761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSQVSKSTKPSKVSTLAPPSNPSKPSSLSSHLAMVEFKQRILTLLSKLSDRDTYQIAVEDLEKIIQSLAPESLPILLNCLFDSSNDPKPAVKKESLRLLSMLCNCHGELAAPQLTKIIAHIVKRVKDADSGVKDACRDSIGALSGQYLKGENGGTMVGLFVKPLFEAMSEQNKGVQSGAATCMAKMVECAGDPPLTAFQKLCPRICKLLNSQNFMAKASLLAVVTSLSQVGAIAPQSLEALLQSIHECLGSTDWATRKAASDTLSALALHSSNLIADRASSTITVLEGCRFDRMKPVRDAMTEALQLWKKIAGKGDDGAIDDQKSHADGDNHQSDESSQKNGLKNPNTCDEKTDPSAKDSSTNLSPYLDSVSEGKCGTIPDKAVVILKKKALLLTDKELNPEFFQKLKTRGSGDLPVEVVVPRRYLNSSNSKNEEESEPNDSDLRRRSNYMGNNQADDLHASSGSTNHNIDRGASGVSDKLPEEKKNGKDLRTKAFDGDDRIDVNQREPSGNRLGFSKVDGQSDGSFINNKGNWLAIQRQLLQLERQQGHLMNMLQEFMGGSHDSMVTLENRVRGLESIVEDMARDLSISSGRRGCNFMAGFEGSSNRPLGKYTGYSDYGSKFNGRIPYGERFAQTDGIAPVGRGRGPSWRSETSDDWDFPAFNASRNGQFQSRRAPASSGPDGRSPKSEHESDQIGGRRGWDKGPGPVRLGEGPSARSVWQASKDEATLEAIRVAGEDNGASRTGRVPELTAEALVDDNVGPERDPVWTSWSNAMHALQVGDIDSAYAEVLSTGDDLLLIKLMDKSGPMVDQLSNEIANETLHAIVQFLLEPDLFDICLSWIQQLVEVVLENESNALGIPMELKKELLLNLHEAASTMDPPEEWEGVAPNQLLLQLASAWGIELQQFGK >KJB62759 pep chromosome:Graimondii2_0_v6:9:68395666:68400119:1 gene:B456_009G434900 transcript:KJB62759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSQVSKSTKPSKVSTLAPPSNPSKPSSLSSHLAMVEFKQRILTLLSKLSDRDTYQIAVEDLEKIIQSLAPESLPILLNCLFDSSNDPKPAVKKESLRLLSMLCNCHGELAAPQLTKIIAHIVKRVKDADSGVKDACRDSIGALSGQYLKGENGGTMVGLFVKPLFEAMSEQNKGVQSGAATCMAKMVECAGDPPLTAFQKLCPRICKLLNSQNFMAKASLLAVVTSLSQVGAIAPQSLEALLQSIHECLGSTDWATRKAASDTLSALALHSSNLIADRASSTITVLEGCRFDRMKPVRDAMTEALQLWKKIAGKGDDGAIDDQKSHEGKCGTIPDKAVVILKKKALLLTDKELNPEFFQKLKTRGSGDLPVEVVVPRRYLNSSNSKNEEESEPNDSDLRRRSNYMGNNQADDLHASSGSTNHNIDRGASGVSDKLPEEKKNGKDLRTKAFDGDDRIDVNQREPSGNRLGFSKVDGQSDGSFINNKGNWLAIQRQLLQLERQQGHLMNMLQEFMGGSHDSMVTLENRVRGLESIVEDMARDLSISSGRRGCNFMAGFEGSSNRPLGKYTGYSDYGSKFNGRIPYGERFAQTDGIAPVGRGRGPSWRSETSDDWDFPAFNASRNGQFQSRRAPASSGPDGRSPKSEHESDQIGGRRGWDKGPGPVRLGEGPSARSVWQASKDEATLEAIRVAGEDNGASRTGRVPELTAEALVDDNVGPERDPVWTSWSNAMHALQVGDIDSAYAEVLSTGDDLLLIKLMDKSGPMVDQLSNEIANETLHAIVQFLLEPDLFDICLSWIQQLVEVVLENESNALGIPMELKKELLLNLHEAASTMDPPEEWEGVAPNQLLLQLASAWGIELQQFGK >KJB62760 pep chromosome:Graimondii2_0_v6:9:68396044:68400069:1 gene:B456_009G434900 transcript:KJB62760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSQVSKSTKPSKVSTLAPPSNPSKPSSLSSHLAMVEFKQRILTLLSKLSDRDTYQIAVEDLEKIIQSLAPESLPILLNCLFDSSNDPKPAVKKESLRLLSMLCNCHGELAAPQLTKIIAHIVKRVKDADSGVKDACRDSIGALSGQYLKGENGGTMVGLFVKPLFEAMSEQNKGVQSGAATCMAKMVECAGDPPLTAFQKLCPRICKLLNSQNFMAKASLLAVVTSLSQVGAIAPQSLEALLQSIHECLGSTDWATRKAASDTLSALALHSSNLIADRASSTITVLEGCRFDRMKPVRDAMTEALQLWKKIAGKGDDGAIDDQKSHGKCGTIPDKAVVILKKKALLLTDKELNPEFFQKLKTRGSGDLPVEVVVPRRYLNSSNSKNEEESEPNDSDLRRRSNYMGNNQADDLHASSGSTNHNIDRGASGVSDKLPEEKKNGKDLRTKAFDGDDRIDVNQREPSGNRLGFSKVDGQSDGSFINNKGNWLAIQRQLLQLERQQGHLMNMLQEFMGGSHDSMVTLENRVRGLESIVEDMARDLSISSGRRGCNFMAGFEGSSNRPLGKYTGYSDYGSKFNGRIPYGERFAQTDGIAPVGRGRGPSWRSETSDDWDFPAFNASRNGQFQSRRAPASSGPDGRSPKSEHESDQIGGRRGWDKGPGPVRLGEGPSARSVWQASKDEATLEAIRVAGEDNGASRTGRVPELTAEALVDDNVGPERDPVWTSWSNAMHALQVGDIDSAYAEVLSTGDDLLLIKLMDKSGPMVDQLSNEIANETLHAIVQFLLEPDLFDICLSWIQQLVEVVLENESNALGIPMELKKELLLNLHEAASTMDPPEEWEGVAPNQLLLQLASAWGIELQQFGK >KJB62762 pep chromosome:Graimondii2_0_v6:9:68396044:68400421:1 gene:B456_009G434900 transcript:KJB62762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSQVSKSTKPSKVSTLAPPSNPSKPSSLSSHLAMVEFKQRILTLLSKLSDRDTYQIAVEDLEKIIQSLAPESLPILLNCLFDSSNDPKPAVKKESLRLLSMLCNCHGELAAPQLTKIIAHIVKRVKDADSGVKDACRDSIGALSGQYLKGENGGTMVGLFVKPLFEAMSEQNKGVQSGAATCMAKMVECAGDPPLTAFQKLCPRICKLLNSQNFMAKASLLAVVTSLSQVGAIAPQSLEALLQSIHECLGSTDWATRKAASDTLSALALHSSNLIADRASSTITVLEGCRFDRMKPVRDAMTEALQLWKKIAGKGDDGAIDDQKSHEGKCGTIPDKAVVILKKKALLLTDKELNPEFFQKLKTRGSGDLPVEVVVPRRYLNSSNSKNEEESEPNDSDLRRRSNYMGNNQADDLHASSGSTNHNIDRGASGVSDKLPEEKKNGKDLRTKAFDGDDRIDVNQREPSGNRLGFSKVDGQSDGSFINNKGNWLAIQRQLLQLERQQGHLMNMLQEFMGGSHDSMVTLENRVRGLESIVEDMARDLSISSGRRGCNFMAGFEGSSNRPLGKYTGYSDYGSKFNGRIPYGERFAQTDGIAPVGRGRGPSWRSETSDDWDFPAFNASRNGQFQSRRAPASSGPDGRSPKSEHESDQIGGRRGWDKGPGPVRLGEGPSARSVWQASKDEATLEAIRVAGEDNGASRTGRVPELTAEALVDDNVGPERDPVWTSWSNAMHALQVGDIDSAYAEVLSTGDDLLLIKLMDKSGPMVDQLSNEIANETLHAIVQFLLEPDLFDICLSWIQQLVEVVLENESNALGIPMELKKELLLNLHEAASTMDPPEEWEGVAPNQLLLQLASAWGIELQQFGS >KJB55426 pep chromosome:Graimondii2_0_v6:9:5504451:5510625:1 gene:B456_009G076400 transcript:KJB55426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSKIKRRVGKYEIGRTIGEGTFAKVKFARNTETGEPVALKILDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLYEIMGSKTKIFIVLEYVTGGELFDKIVNNGRMREDEARRYFHQLINVVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVRDDGLLHTTCGTPNYVAPEVLDDGGYDGATADLWSCGVILFVLLAGYLPFDDSNLMNLYKKISAAEFTCPPWLSFSAMKLITQILDPNPMTRITIPEILKDEWFKKGYKPPVFKEKDDTNLDDVEAVFKDYEEHHVTEQRDEQPTAMNAFELISMSKGLNLGNLFDAEEGFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKMRLQNLKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFNTFYKSLSTCLEDVVWKTEEDMKEVHV >KJB55428 pep chromosome:Graimondii2_0_v6:9:5504308:5510625:1 gene:B456_009G076400 transcript:KJB55428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSKIKRRVGKYEIGRTIGEGTFAKVKFARNTETGEPVALKILDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLYEIMGSKTKIFIVLEYVTGGELFDKIVNNGRMREDEARRYFHQLINVVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVRDDGLLHTTCGTPNYVAPEVLDDGGYDGATADLWSCGVILFVLLAGYLPFDDSNLMNLYKKISAAEFTCPPWLSFSAMKLITQILDPNPMTRITIPEILKDEWFKKGYKPPVFKEKDDTNLDDVEAVFKDYEEHHVTEQRDEQPTAMNAFELISMSKGLNLGNLFDAEEGFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKMRLQNLKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFNTFYKSLSTCLEDVVWKTEEDMKEVHV >KJB55430 pep chromosome:Graimondii2_0_v6:9:5504451:5510625:1 gene:B456_009G076400 transcript:KJB55430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSKIKRRVGKYEIGRTIGEGTFAKVKFARNTETGEPVALKILDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLYEIMGSKTKIFIVLEYVTGGELFDKIVNNGRMREDEARRYFHQLINVVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVRDDGLLHTTCGTPNYVAPEVLDDGGYDGATADLWSCGVILFVLLAGYLPFDDSNLMNLYKKISAAEFTCPPWLSFSAMKLITQILDPNPMTRITIPEILKDEWFKKGYKPPVFKEKDDTNLDDVEAVFKDYEEHHVTEQRDEQPTAMNAFELISMSKGLNLGNLFDAEEGFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKMRLQNLKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFNTFYKSLSTCLEDVVWKTEEDMKEVHV >KJB55431 pep chromosome:Graimondii2_0_v6:9:5504369:5510625:1 gene:B456_009G076400 transcript:KJB55431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSKIKRRVGKYEIGRTIGEGTFAKVKFARNTETGEPVALKILDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLYEIMGSKTKIFIVLEYVTGGELFDKIVNNGRMREDEARRYFHQLINVVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVRDDGLLHTTCGTPNYVAPEVLDDGGYDGATADLWSCGVILFVLLAGYLPFDDSNLMNLYKKISAAEFTCPPWLSFSAMKLITQILDPNPMTRITIPEILKDEWFKKGYKPPVFKEKDDTNLDDVEAVFKDYEEHHVTEQRDEQPTAMNAFELISMSKGLNLGNLFDAEEGFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKMRLQNLKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFNTFYKSLSTCLEDVVWKTEEDMKEVHV >KJB55427 pep chromosome:Graimondii2_0_v6:9:5504369:5510625:1 gene:B456_009G076400 transcript:KJB55427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSKIKRRVGKYEIGRTIGEGTFAKVKFARNTETGEPVALKILDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLYEIMGSKTKIFIVLEYVTGGELFDKIVNNGRMREDEARRYFHQLINVVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVRDDGLLHTTCGTPNYVAPEVLDDGGYDGATADLWSCGVILFVLLAGYLPFDDSNLMNLYKKISAAEFTCPPWLSFSAMKLITQILDPNPMTRITIPEILKDEWFKKGYKPPVFKEKDDTNLDDVEAVFKDYEEHHVTEQRDEQPTAMNAFELISMSKGLNLGNLFDAEEGFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKMRLQNLKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFNTFYKSLSTCLEDVVWKTEEDMKEVHV >KJB55429 pep chromosome:Graimondii2_0_v6:9:5505640:5509783:1 gene:B456_009G076400 transcript:KJB55429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSKIKRRVGKYEIGRTIGEGTFAKVKFARNTETGEPVALKILDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLYEIMGSKTKIFIVLEYVTGGELFDKIVNNGRMREDEARRYFHQLINVVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSKQVRDDGLLHTTCGTPNYVAPEVLDDGGYDGATADLWSCGVILFVLLAGYLPFDDSNLMNLYKKISAAEFTCPPWLSFSAMKLITQILDPNPMTRITIPEILKDEWFKKGYKPPVFKEKDDTNLDDVEAVFKDYEEHHVTEQRDEQPTAMNAFELISMSKGLNLGNLFDAEEGFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKMRLQNLKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFNTVPHDSLITISLHFLDVSYC >KJB58866 pep chromosome:Graimondii2_0_v6:9:18084488:18087150:1 gene:B456_009G230100 transcript:KJB58866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta carbonic anhydrase 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G58180) UniProtKB/Swiss-Prot;Acc:Q9C6F5] MAWLIRFKARSLASATRPLRDTLDSYRCSQSHFSGFTTDDSVRSFPRKWLCSKAMRLNDVDDIQSRSSSSPEITRRPSVSASSNSLGVGLQEVTGSKLNLFDELKQRFLSFKHHKYLEELEHFETLKEAQTPKFMVIACADSRVCPSTILGFRPGEAFMIRNVANLVPPLQKGPSETNAALEFAVKTLQVENILIIGHSCCGGIQTLMSMQDNSDSSFIKTWVTNGKNAKLTTESAANHLSFDQQCRLCEKESINMSLMNLLTYPWIKEKVKKEMLFVHGGYYDFLNCTFEKWTLDFKGGSDEEKGRFFVKDQQLWC >KJB58868 pep chromosome:Graimondii2_0_v6:9:18084660:18086899:1 gene:B456_009G230100 transcript:KJB58868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta carbonic anhydrase 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G58180) UniProtKB/Swiss-Prot;Acc:Q9C6F5] MAWLIRFKARSLASATRPLRDTLDSYRCSQSHFSGFTTDDSVRSFPRKWLCSKAMRLNDVDDIQSRSSSSPEITRRPSVSASSNSLGVGLQEVTGSKLNLFDELKQRFLSFKHHKYLEELEHFETLKEAQTPKFMVIACADSRVCPSTILGFRPGEAFMIRNVANLVPPLQKGPSETNAALEFAVKTLQVS >KJB58867 pep chromosome:Graimondii2_0_v6:9:18085065:18086899:1 gene:B456_009G230100 transcript:KJB58867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta carbonic anhydrase 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G58180) UniProtKB/Swiss-Prot;Acc:Q9C6F5] MRLNDVDDIQSRSSSSPEITRRPSVSASSNSLGVGLQEVTGSKLNLFDELKQRFLSFKHHKYLEELEHFETLKEAQTPKFMVIACADSRVCPSTILGFRPGEAFMIRNVANLVPPLQKGPSETNAALEFAVKTLQVENILIIGHSCCGGIQTLMSMQDNSDSSFIKTWVTNGKNAKLTTESAANHLSFDQQCRLCEKESINMSLMNLLTYPWIKEKVKKEMLFVHGGYYDFLNCTFEKWTLDFKGGSDEEKGRFFVKDQQLWC >KJB58869 pep chromosome:Graimondii2_0_v6:9:18084488:18087150:1 gene:B456_009G230100 transcript:KJB58869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta carbonic anhydrase 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G58180) UniProtKB/Swiss-Prot;Acc:Q9C6F5] MRLNDVDDIQSRSSSSPEITRRPSVSASSNSLGVGLQEVTGSKLNLFDELKQRFLSFKHHKYLEELEHFETLKEAQTPKFMVIACADSRVCPSTILGFRPGEAFMIRNVANLVPPLQKGPSETNAALEFAVKTLQVENILIIGHSCCGGIQTLMSMQDNSDSSFIKTWVTNGKNAKLTTESAANHLSFDQQCRLCEKESINMSLMNLLTYPWIKEKVKKEMLFVHGGYYDFLNCTFEKWTLDFKGGSDEEKGRFFVKDQQLWC >KJB53862 pep chromosome:Graimondii2_0_v6:9:724371:727319:-1 gene:B456_009G008800 transcript:KJB53862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLSQVNQKNSAQSGSVNNNISTSNDVTKVKKRTRRSFPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEESAAHAYDLAALKYWGQDTILNFPVSTYQKELKEMENQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLKPNQTNPTTPNSNIDATTASTLASPSHHQQHNPSFFTTTDDDNRQPQGTCVGIPSETLLTQPRPGNATSALGLLLQSSKFKEMMEMTSAVVVDQCQLTPPPSTTSEPTRYSFPENVETYLECQDSSSLADEDRDIIFGELNSFMAPMFSCDIDA >KJB53863 pep chromosome:Graimondii2_0_v6:9:724669:726526:-1 gene:B456_009G008800 transcript:KJB53863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMQVSTYQKELKEMENQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLKPNQTNPTTPNSNIDATTASTLASPSHHQQHNPSFFTTTDDDNRQPQGTCVGIPSETLLTQPRPGNATSALGLLLQSSKFKEMMEMTSAVVVDQCQLTPPPSTTSEPTRYSFPENVETYLECQDSSSLADEDRDIIFGELNSFMAPMFSCDIDA >KJB57394 pep chromosome:Graimondii2_0_v6:9:12401343:12405315:-1 gene:B456_009G161500 transcript:KJB57394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSFLVPLMGGTDGDKVRVVQTLLFVEGINTLLQTLFGTRLPTVIGGSYAFMVPIISIIHDTSLMSIEDNHVRFLNTMRAVQGALIVASSIQIILGYSQMWAICSRFFSPLGMVPVIALVGFGLFDRGFPVVGRCVEIGIPMLILFIAFSQYLKNFLTKQLPILERFALLISITVIWAYAHLLTASGAYKHRPELTQLNCRTDKANLISSAPWIKIPYPLQWGAPTFDAGHAFGMMAAVLVSLIESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLNGLFGTLSGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFTIFAAVYCVLFGLVASVGLSFLQFTNMNSMRNLFIVGVALFLGLSVPEYFREYTAKALHGPAHTRGGWFNDFLNTIFFSSPTVALLVAVFLDNTLDYKDSARDRGMPWWVKFRTFKGDSRNEEFYTLPFNLNRFFPPS >KJB57393 pep chromosome:Graimondii2_0_v6:9:12401343:12405592:-1 gene:B456_009G161500 transcript:KJB57393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSFLVPLMGGTDGDKVRVVQTLLFVEGINTLLQTLFGTRLPTVIGGSYAFMVPIISIIHDTSLMSIEDNHVRFLNTMRAVQGALIVASSIQIILGYSQMWAICSRFFSPLGMVPVIALVGFGLFDRGFPVVGRCVEIGIPMLILFIAFSQYLKNFLTKQLPILERFALLISITVIWAYAHLLTASGAYKHRPELTQLNCRTDKANLISSAPWIKIPYPLQWGAPTFDAGHAFGMMAAVLVSLIESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLNGLFGTLSGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFTIFAAVYCVLFGLVASVGLSFLQFTNMNSMRNLFIVGVALFLGLSVPEYFREYTAKALHGPAHTRGGWFNDFLNTIFFSSPTVALLVAVFLDNTLDYKDSARDRGMPWWVKFRTFKGDSRNEEFYTLPFNLNRFFPPS >KJB57395 pep chromosome:Graimondii2_0_v6:9:12401343:12405728:-1 gene:B456_009G161500 transcript:KJB57395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKPEEISFPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILALGTAVMIPSFLVPLMGGTDGDKVRVVQTLLFVEGINTLLQTLFGTRLPTVIGGSYAFMVPIISIIHDTSLMSIEDNHVRFLNTMRAVQGALIVASSIQIILGYSQMWAICSRFFSPLGMVPVIALVGFGLFDRGFPVVGRCVEIGIPMLILFIAFSQYLKNFLTKQLPILERFALLISITVIWAYAHLLTASGAYKHRPELTQLNCRTDKANLISSAPWIKIPYPLQWGAPTFDAGHAFGMMAAVLVSLIESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLNGLFGTLSGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFTIFAAVYCVLFGLVASVGLSFLQFTNMNSMRNLFIVGVALFLGLSVPEYFREYTAKALHGPAHTRGGWFNDFLNTIFFSSPTVALLVAVFLDNTLDYKDSARDRGMPWWVKFRTFKGDSRNEEFYTLPFNLNRFFPPS >KJB54856 pep chromosome:Graimondii2_0_v6:9:3770097:3772438:1 gene:B456_009G052200 transcript:KJB54856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFSPILACLALAVVASHAALSPEQYWSYKLPNTPMPKAVKEILHPELMEEKSTSVNVGGGGVNVNTGKGKPGGDTHVNVGGKGVGVNTGKPGGGTHVNVGDPFNYLYAASETQIHEDPNVALFFLEKDMHPGATMSLHFTENTEKSAFLPYQTAQKIPFSSDKLPEIFNKFSVKPGSLKAEMMKNTITECEQPAIEGEEKYCATSLESMIDYSISKLGKVDQAVSTEVEKQTPMQKYTIAAGVQKMTDDKAVVCHKQNYAYAVFYCHKSETTRAYMVPLEGADGTKAKAVAVCHTDTSAWNPKHLAFQVLKVEPGTIPVCHFLPRDHIVWVPK >KJB54860 pep chromosome:Graimondii2_0_v6:9:3770169:3772019:1 gene:B456_009G052200 transcript:KJB54860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPGATMSLHFTENTEKSAFLPYQTAQKIPFSSDKLPEIFNKFSVKPGSLKAEMMKNTITECEQPAIEGEEKYCATSLESMIDYSISKLGKVDQAVSTEVEKQTPMQKYTIAAGVQKMTDDKAVVCHKQNYAYAVFYCHKSETTRAYMVPLEGADGTKAKAVAVCHTDTSAWNPKHLAFQVLKVEPGTIPVCHFLPRDHIVWVPK >KJB54865 pep chromosome:Graimondii2_0_v6:9:3770984:3771844:1 gene:B456_009G052200 transcript:KJB54865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSTSVNVGGGGVNVNTGKGKPGGDTHVNVGGKGVGVNTGKPGGGTHVNVGDPFNYLYAASETQIHEDPNVALFFLEKDMHPGATMSLHFTENTEKSAFLPYQTAQKIPFSSDKLPEIFNKFSVKPGSLKAEMMKNTITECEQPAIEGEEKYCATSLESMIDYSISKLGKVDQAVSTEVEKQTPMQKYTIAAGVQKMTDDKAVVCHKQNYAYAVFYCHKSETTRAYMVPLEGADGTKAKAVAVCHTDTSAWNPKHLAFQVLKVEPGTIPVCHFLPRDHIVWVPK >KJB54862 pep chromosome:Graimondii2_0_v6:9:3770169:3772019:1 gene:B456_009G052200 transcript:KJB54862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGCVLHILTYYEKNCWNHAFISMYMSSLAHFGVFAELMEEKSTSVNVGGGGVNVNTGKGKPGGDTHVNVGGKGVGVNTGKPGGGTHVNVGDPFNYLYAASETQIHEDPNVALFFLEKDMHPGATMSLHFTENTEKSAFLPYQTAQKIPFSSDKLPEIFNKFSVKPGSLKAEMMKNTITECEQPAIEGEEKYCATSLESMIDYSISKLGKVDQAVSTEVEKQTPMQKYTIAAGVQKMTDDKAVVCHKQNYAYAVFYCHKSETTRAYMVPLEGADGTKAKAVAVCHTDTSAWNPKHLAFQVLKVEPGTIPVCHFLPRDHIVWVPK >KJB54855 pep chromosome:Graimondii2_0_v6:9:3760180:3772019:1 gene:B456_009G052200 transcript:KJB54855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCEEEKMPLSLRVELLQWVTPSLSFTSTSQMKVFSPILACLALAVVASHAALSPEQYWSYKLPNTPMPKAVKEILHPELMEEKSTSVNVGGGGVNVNTGKGKPGGDTHVNVGGKGVGVNTGKPGGGTHVNVGDPFNYLYAASETQIHEDPNVALFFLEKDMHPGATMSLHFTENTEKSAFLPYQTAQKIPFSSDKLPEIFNKFSVKPGSLKAEMMKNTITECEQPAIEGEEKYCATSLESMIDYSISKLGKVDQAVSTEVEKQTPMQKYTIAAGVQKMTDDKAVVCHKQNYAYAVFYCHKSETTRAYMVPLEGADGTKAKAVAVCHTDTSAWNPKHLAFQVLKVEPGTIPVCHFLPRDHIVWVPK >KJB54858 pep chromosome:Graimondii2_0_v6:9:3770169:3772019:1 gene:B456_009G052200 transcript:KJB54858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSHPSNIGAISCQILQCQRLSKKFYIQVSGGVNVNTGKGKPGGDTHVNVGGKGVGVNTGKPGGGTHVNVGDPFNYLYAASETQIHEDPNVALFFLEKDMHPGATMSLHFTENTEKSAFLPYQTAQKIPFSSDKLPEIFNKFSVKPGSLKAEMMKNTITECEQPAIEGEEKYCATSLESMIDYSISKLGKVDQAVSTEVEKQTPMQKYTIAAGVQKMTDDKAVVCHKQNYAYAVFYCHKSETTRAYMVPLEGADGTKAKAVAVCHTDTSAWNPKHLAFQVLKVEPGTIPVCHFLPRDHIVWVPK >KJB54863 pep chromosome:Graimondii2_0_v6:9:3770169:3772019:1 gene:B456_009G052200 transcript:KJB54863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFSPILACLALAVVASHAALSPEQYWSYKLPNTPMPKAVKEILHPAHFGVFAELMEEKSTSVNVGGGGVNVNTGKGKPGGDTHVNVGGKGVGVNTGKPGGGTHVNVGDPFNYLYAASETQIHEDPNVALFFLEKDMHPGATMSLHFTENTEKSAFLPYQTAQKIPFSSDKLPEIFNKFSVKPGSLKAEMMKNTITECEQPAIEGEEKYCATSLESMIDYSISKLGKVDQAVSTEVEKQTPMQKYTIAAGVQKMTDDKAVVCHKQNYAYAVFYCHKSETTRAYMVPLEGADGTKAKAVAVCHTDTSAWNPKHLAFQVLKVEPGTIPVCHFLPRDHIVWVPK >KJB54857 pep chromosome:Graimondii2_0_v6:9:3770169:3772019:1 gene:B456_009G052200 transcript:KJB54857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSTSVNVGGGGVNVNTGKGKPGGDTHVNVGGKGVGVNTGKPGGGTHVNVGDPFNYLYAASETQIHEDPNVALFFLEKDMHPGATMSLHFTENTEKSAFLPYQTAQKIPFSSDKLPEIFNKFSVKPGSLKAEMMKNTITECEQPAIEGEEKYCATSLESMIDYSISKLGKVDQAVSTEVEKQTPMQKYTIAAGVQKMTDDKAVVCHKQNYAYAVFYCHKSETTRAYMVPLEGADGTKAKAVAVCHTDTSAWNPKHLAFQVLKVEPGTIPVCHFLPRDHIVWVPK >KJB54859 pep chromosome:Graimondii2_0_v6:9:3770169:3772019:1 gene:B456_009G052200 transcript:KJB54859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFSPILACLALAVVASHAALSPEQYWSYKLPNTPMPKAVKEILHPGGGGVNVNTGKGKPGGDTHVNVGGKGVGVNTGKPGGGTHVNVGDPFNYLYAASETQIHEDPNVALFFLEKDMHPGATMSLHFTENTEKSAFLPYQTAQKIPFSSDKLPEIFNKFSVKPGSLKAEMMKNTITECEQPAIEGEEKYCATSLESMIDYSISKLGKVDQAVSTEVEKQTPMQKYTIAAGVQKMTDDKAVVCHKQNYAYAVFYCHKSETTRAYMVPLEGADGTKAKAVAVCHTDTSAWNPKHLAFQVLKVEPGTIPVCHFLPRDHIVWVPK >KJB54864 pep chromosome:Graimondii2_0_v6:9:3770169:3772019:1 gene:B456_009G052200 transcript:KJB54864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFSPILACLALAVVASHAALSPEQYWSYKLPNTPMPKAVKEILHPGECGGVNVNTGKGKPGGDTHVNVGGKGVGVNTGKPGGGTHVNVGDPFNYLYAASETQIHEDPNVALFFLEKDMHPGATMSLHFTENTEKSAFLPYQTAQKIPFSSDKLPEIFNKFSVKPGSLKAEMMKNTITECEQPAIEGEEKYCATSLESMIDYSISKLGKVDQAVSTEVEKQTPMQKYTIAAGVQKMTDDKAVVCHKQNYAYAVFYCHKSETTRAYMVPLEGADGTKAKAVAVCHTDTSAWNPKHLAFQVLKVEPGTIPVCHFLPRDHIVWVPK >KJB54861 pep chromosome:Graimondii2_0_v6:9:3770867:3771844:1 gene:B456_009G052200 transcript:KJB54861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGCVLHILTYYEKNCWNHAFISMYMSSLAHFGVFAELMEEKSTSVNVGGGGVNVNTGKGKPGGDTHVNVGGKGVGVNTGKPGGGTHVNVGDPFNYLYAASETQIHEDPNVALFFLEKDMHPGATMSLHFTENTEKSAFLPYQTAQKIPFSSDKLPEIFNKFSVKPGSLKAEMMKNTITECEQPAIEGEEKYCATSLESMIDYSISKLGKVDQAVSTEVEKQTPMQKYTIAAGVQKMTDDKAVVCHKQNYAYAVFYCHKSETTRAYMVPLEGADGTKAKAVAVCHTDTSAWNPKHLAFQVLKVEPGTIPVCHFLPRDHIVWVPK >KJB59967 pep chromosome:Graimondii2_0_v6:9:24173548:24180309:-1 gene:B456_009G284400 transcript:KJB59967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIVTKKPDLNVREKVLTFIDTWQVAFGGPGGRYPQYFAAYKELRVAGVAFPPREKNSVPLFTPPQNNPVTHQPVSARQDAAIEVSRQSDDASGLSLAEIQNASGLADILMEVLSALEPKTPESLKQEVIVDLVEQCRSYKKRVVLLVNHTTNEQLLCQGLALNDRLQHVLCQHDDILKGNTGSATTAVAETRVVPIVNVNHDDDDSEDDFAQLAHRSSRDNAQRQVQRAQKSEPARAVPLLPPPPSSKRPVTSNSSFIDYLSGDTYKSEDFSEIKEPTLHSDPTDAYMNSLELASTLAPSSPSCSADTGSAPVFSEQPKLASLVKPADAEQLPLVQLDNPAGNYPPSSMFNQRHQFFEQQHGYTGSSFHSINGFDSSYDSLVGQTQNLSINSKIPTQQVNPEDAFFRDLLDFAKAMPSSPSKSNNKPC >KJB59966 pep chromosome:Graimondii2_0_v6:9:24173548:24177348:-1 gene:B456_009G284400 transcript:KJB59966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLSALEPKTPESLKQEVIVDLVEQCRSYKKRVVLLVNHTTNEQLLCQGLALNDRLQHVLCQHDDILKGNTGSATTAVAETRVVPIVNVNHDDDDSEDDFAQLAHRSSRDNAQRQVQRAQKSEPARAVPLLPPPPSSKRPVTSNSSFIDYLSGDTYKSEDFSEIKEPTLHSDPTDAYMNSLELASTLAPSSPSCSADTGSAPVFSEQPKLASLVKPADAEQLPLVQLDNPAGNYPPSSMFNQRHQFFEQQHGYTGSSFHSINGFDSSYDSLVGQTQNLSINSKIPTQQVNPEDAFFRDLLDFAKAMPSSPSKSNNKPC >KJB59968 pep chromosome:Graimondii2_0_v6:9:24173548:24180385:-1 gene:B456_009G284400 transcript:KJB59968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSAAACAERATHDMLIGPDWAVNIELCDIINTDPRQAKDALKVLKKQLGNKNPKVQLLALNVLDSLSKNCGEHVFQQIVECDILNEMVKIVTKKPDLNVREKVLTFIDTWQVAFGGPGGRYPQYFAAYKELRVAGVAFPPREKNSVPLFTPPQNNPVTHQPVSARQDAAIEVSRQSDDASGLSLAEIQNASGLADILMEVLSALEPKTPESLKQEVIVDLVEQCRSYKKRVVLLVNHTTNEQLLCQGLALNDRLQHVLCQHDDILKGNTGSATTAVAETRVVPIVNVNHDDDDSEDDFAQLAHRSSRDNAQRQVQRAQKSEPARAVPLLPPPPSSKRPVTSNSSFIDYLSGDTYKSEDFSEIKEPTLHSDPTDAYMNSLELASTLAPSSPSCSADTGSAPVFSEQPKLASLVKPADAEQLPLVQLDNPAGNYPPSSMFNQRHQFFEQQHGYTGSSFHSINGFDSSYDSLVGQTQNLSINSKIPTQQVNPEDAFFRDLLDFAKAMPSSPSKSNNKPC >KJB60353 pep chromosome:Graimondii2_0_v6:9:27065699:27069985:-1 gene:B456_009G302000 transcript:KJB60353 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10670) UniProtKB/Swiss-Prot;Acc:Q9CAF5] MAWQIQCTASPPLLPSFPPFTLKSTSSISLPLPLPLPLTRSSRFRSTHAPSPRPIAASFSAVESTTSTDGLGNSKTPLLEVKGLTAVIAETKQEILKGVDLVVHHGEIHAIMGKNGSGKSTFSKVLVGHPDYEVTGGDVVFKGENLLDMEPEERSLAGLFMSFQSPVEIPGVNNIDFLHMAYNARRKKLGEPELGPLEFYAYIYPKLDLVNMKTDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDVDALRDVAKAVNGLLTPTNSVLMITHYRRLLEVIKPTFIHIMENGRIIKTGDSSLAKVLEEKGYTAISAA >KJB60351 pep chromosome:Graimondii2_0_v6:9:27066513:27069852:-1 gene:B456_009G302000 transcript:KJB60351 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10670) UniProtKB/Swiss-Prot;Acc:Q9CAF5] MAWQIQCTASPPLLPSFPPFTLKSTSSISLPLPLPLPLTRSSRFRSTHAPSPRPIAASFSAVESTTSTDGLGNSKTPLLEVKGLTAVIAETKQEILKGVDLVVHHGEIHAIMGKNGSGKSTFSKVLVGHPDYEVTGGDVVFKGENLLDMEPEERSLAGLFMSFQSPVEIPGVNNIDFLHMAYNARRKKLGEPELGPLEFYAYIYPKLDLVNMKTDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDVDALRDVAKAVNGLLTPTNSVLMITHYRRLLEVIKPTFIHIMENGRIIKTGDSSLAKVLEEKGYTAISAA >KJB60354 pep chromosome:Graimondii2_0_v6:9:27067703:27069941:-1 gene:B456_009G302000 transcript:KJB60354 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10670) UniProtKB/Swiss-Prot;Acc:Q9CAF5] MAWQIQCTASPPLLPSFPPFTLKSTSSISLPLPLPLPLTRSSRFRSTHAPSPRPIAASFSAVESTTSTDGLGNSKTPLLEVKGLTAVIAETKQEILKGVDLVVHHGEIHAIMGKNGSGKSTFSKVLVGHPDYEVTGGDVVFKGENLLDMEPEERSLAGLFMSFQSPVEIPGVNNIDFLHMAYNARRKKLGEPELGPLEFYAYIYPKLDLVNMKTDFLNRNVNEGFSGGERKRNEILQLAVI >KJB60352 pep chromosome:Graimondii2_0_v6:9:27065699:27069941:-1 gene:B456_009G302000 transcript:KJB60352 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10670) UniProtKB/Swiss-Prot;Acc:Q9CAF5] MAWQIQCTASPPLLPSFPPFTLKSTSSISLPLPLPLPLTRSSRFRSTHAPSPRPIAASFSAVESTTSTDGLGNSKTPLLEVKGLTAVIAETKQEILKGVDLVVHHGEIHAIMGKNGSGKSTFSKVLVGHPDYEVTGGDVVFKGENLLDMEPEERSLAGLFMSFQSPVEIPGVNNIDFLHMAYNARRKKLGEPELGPLEFYAYIYPKLDLVNMKTDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDVDALRDVAKAVNGLLTPTNSVLMITHYRRLLEVIKPTFIHIMENGRIIKTGDSSLAKVLEEKGYTAISAA >KJB60355 pep chromosome:Graimondii2_0_v6:9:27068313:27069941:-1 gene:B456_009G302000 transcript:KJB60355 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10670) UniProtKB/Swiss-Prot;Acc:Q9CAF5] MAWQIQCTASPPLLPSFPPFTLKSTSSISLPLPLPLPLTRSSRFRSTHAPSPRPIAASFSAVESTTSTDGLGNSKTPLLEVKGLTAVIAETKQEILKGVDLVVHHGEIHAIMGKNGSGKSTFSKVLVGHPDYEVTGGDVVFKGENLLDMEPEERSLAGLFMSFQSPVEIPGVNNIDFLHMAYNARRKKLGEPELGPLEVYELPSPSF >KJB60350 pep chromosome:Graimondii2_0_v6:9:27066513:27069852:-1 gene:B456_009G302000 transcript:KJB60350 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10670) UniProtKB/Swiss-Prot;Acc:Q9CAF5] MAWQIQCTASPPLLPSFPPFTLKSTSSISLPLPLPLPLTRSSRFRSTHAPSPRPIAASFSAVESTTSTDGLGNSKTPLLEVKGLTAVIAETKQEILKGVDLVVHHGEIHAIMGKNGSGKSTFSKVLVGHPDYEVTGGDVVFKGENLLDMEPEERSLAGLFMSFQSPVEIPGVNNIDFLHMAYNARRKKLGEPELGPLEFYAYIYPKLDLVNMKTDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDVDALRDVAKAVNGLLTPTNSVLMITHYRRLLEVIKPTFIHIMENGRIIKTGDSSLAKVLEEKGYTAISAA >KJB60349 pep chromosome:Graimondii2_0_v6:9:27064346:27069985:-1 gene:B456_009G302000 transcript:KJB60349 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10670) UniProtKB/Swiss-Prot;Acc:Q9CAF5] MAWQIQCTASPPLLPSFPPFTLKSTSSISLPLPLPLPLTRSSRFRSTHAPSPRPIAASFSAVESTTSTDGLGNSKTPLLEVKGLTAVIAETKQEILKGVDLVVHHGEIHAIMGKNGSGKSTFSKVLVGHPDYEVTGGDVVFKGENLLDMEPEERSLAGLFMSFQSPVEIPGVNNIDFLHMAYNARRKKLGEPELGPLEFYAYIYPKLDLVNMKTDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDVDALRDVAKAVNGLLTPTNSVLMITHYRRLLEVIKPTFIHIMENGRIIKTGDSSLAKVLEEKGYTAISAA >KJB56110 pep chromosome:Graimondii2_0_v6:9:7717301:7721788:1 gene:B456_009G106300 transcript:KJB56110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMGTEGKHVSVEHELGGGPSQRTTPVQQVDDSTGITEETTRSHHWRRSNLSLELPSRTFEDSSQESVIIKMPPTPSLTPRKVNFLLTPSPSDARISGSPGPSTSRGKSSLKSLLPKLSFKQRNVNSDIEKAAIFAPESSSTSLREKPSIARTLSLSKIFTPRIKRTASLPVVTQIANANLEPTSSGSLGGSVNSSRKGNVLQISRSFSVPLNDKEGTTLRRMDSFFRVVPSTPRVKEGEISSNASVGPDAENGNPDGEDIPEDEAVCRICLVELCEGGETLKMECSCKGELALAHKDCAVKWFSIKGNKTCDVCKQEVQNLPVTLLRIQSIRARNGALQADAQGYRVWQEVPVLVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMAEICLGLRIDSICFGGFLRSYILFFGESTVNSINSPGNIFWIWYCNEWEFDHCRNPSMEKKVAGLVAAAT >KJB56114 pep chromosome:Graimondii2_0_v6:9:7717877:7721788:1 gene:B456_009G106300 transcript:KJB56114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTPSLTPRKVNFLLTPSPSDARISGSPGPSTSRGKSSLKSLLPKLSFKQRNVNSDIEKAAIFAPESSSTSLREKPSIARTLSLSKIFTPRIKRTASLPVVTQIANANLEPTSSGSLGGSVNSSRKGNVLQISRSFSVPLNDKEGTTLRRMDSFFRVVPSTPRVKEGEISSNASVGPDAENGNPDGEDIPEDEAVCRICLVELCEGGETLKMECSCKGELALAHKDCAVKWFSIKGNKTCDVCKQEVQNLPVTLLRIQSIRARNGALQADAQGYRVWQEVPVLVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMVQRRFVWVYASIQFALVVFFAHIFYSLVKVQSILSILLATFSGFGIAMSGSSIIVEILRWRRRWQAWWQQRHRSQTTTHPPIQSPRAVNSPQTHRSPNVSQQNAETFTGI >KJB56105 pep chromosome:Graimondii2_0_v6:9:7716745:7721849:1 gene:B456_009G106300 transcript:KJB56105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMGTEGKHVSVEHELGGGPSQRTTPVQQVDDSTGITEETTRSHHWRRSNLSLELPSRTFEDSSQESVIIKMPPTPSLTPRKVNFLLTPSPSDARISGSPGPSTSRGKSSLKSLLPKLSFKQRNVNSDIEKAAIFAPESSSTSLREKPSIARTLSLSKIFTPRIKRTASLPVVTQIANANLEPTSSGSLGGSVNSSRKGNVLQISRSFSVPLNDKEGTTLRRMDSFFRVVPSTPRVKEGEISSNASVGPDAENGNPDGEDIPEDEAVCRICLVELCEGGETLKMECSCKGELALAHKDCAVKWFSIKGNKTCDVCKQEVQNLPVTLLRIQSIRARNGALQADAQGYRVWQEVPVLVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMVQRRFVWVYASIQFALVVFFAHIFYSLVKVQSILSILLATFSGFGIAMSGSSIIVEILRWRRRWQAWWQQRHRSQTTTHPPIQSPRAVNSPQTHRSPNVSQQNAETFTGI >KJB56106 pep chromosome:Graimondii2_0_v6:9:7717365:7721272:1 gene:B456_009G106300 transcript:KJB56106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMGTEGKHVSVEHELGGGPSQRTTPVQQVDDSTGITEETTRSHHWRRSNLSLELPSRTFEDSSQESVIIKMPPTPSLTPRKVNFLLTPSPSDARISGSPGPSTSRGKSSLKSLLPKLSFKQRNVNSDIEKAAIFAPESSSTSLREKPSIARTLSLSKIFTPRIKRTASLPVVTQIANANLEPTSSGSLGGSVNSSRKGNVLQISRSFSVPLNDKEGTTLRRMDSFFRVVPSTPRVKEGEISSNASVGPDAENGNPDGEDIPEDEAVCRICLVELCEGGETLKMECSCKGELALAHKDCAVKWFSIKGNKTCDVCKQEVQNLPVTLLRIQSIRARNGALQADAQGYRVWQEVPVLVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMGSGDLSGFTHRFNLLWWFSSLIYFILW >KJB56109 pep chromosome:Graimondii2_0_v6:9:7717301:7721788:1 gene:B456_009G106300 transcript:KJB56109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMGTEGKHVSVEHELGGGPSQRTTPVQQVDDSTGITEETTRSHHWRRSNLSLELPSRTFEDSSQESVIIKMPPTPSLTPRKVNFLLTPSPSDARISGSPGPSTSRGKSSLKSLLPKLSFKQRNVNSDIEKAAIFAPESSSTSLREKPSIARTLSLSKIFTPRIKRTASLPVVTQIANANLEPTSSGSLGGSVNSSRKGNVLQISRSFSVPLNDKEGTTLRRMDSFFRVVPSTPRVKEGEISSNASVGPDAENGNPDGEDIPEDEAVCRICLVELCEGGETLKMECSCKGELALAHKDCAVKWFSIKGNKTCDVCKQEVQNLPVTLLRIQSIRARNGALQADAQGVWQEVPVLVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMVQRRFVWVYASIQFALVVFFAHIFYSLVKVQSILSILLATFSGFGIAMSGSSIIVEILRWRRRWQAWWQQRHRSQTTTHPPIQSPRAVNSPQTHRSPNVSQQNAETFTGI >KJB56113 pep chromosome:Graimondii2_0_v6:9:7717530:7721788:1 gene:B456_009G106300 transcript:KJB56113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTPSLTPRKVNFLLTPSPSDARISGSPGPSTSRGKSSLKSLLPKLSFKQRNVNSDIEKAAIFAPESSSTSLREKPSIARTLSLSKIFTPRIKRTASLPVVTQIANANLEPTSSGSLGGSVNSSRKGNVLQISRSFSVPLNDKEGTTLRRMDSFFRVVPSTPRVKEGEISSNASVGPDAENGNPDGEDIPEDEAVCRICLVELCEGGETLKMECSCKGELALAHKDCAVKWFSIKGNKTCDVCKQEVQNLPVTLLRIQSIRARNGALQADAQGYRVWQEVPVLVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMVQRRFVWVYASIQFALVVFFAHIFYSLVKVQSILSILLATFSGFGIAMSGSSIIVEILRWRRRWQAWWQQRHRSQTTTHPPIQSPRAVNSPQTHRSPNVSQQNAETFTGI >KJB56108 pep chromosome:Graimondii2_0_v6:9:7717301:7721788:1 gene:B456_009G106300 transcript:KJB56108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMGTEGKHVSVEHELGGGPSQRTTPVQQVDDSTGITEETTRSHHWRRSNLSLELPSRTFEDSSQESVIIKMPPTPSLTPRKVNFLLTPSPSDARISGSPGPSTSRGKSSLKSLLPKLSFKQRNVNSDIEKAAIFAPESSSTSLREKPSIARTLSLSKIFTPRIKRTASLPVVTQIANANLEPTSSGSLGGSVNSSRKGNVLQISRSFSVPLNDKEGTTLRRMDSFFRVVPSTPRVKEGEISSNASVGPDAENGNPDGEDIPEDEAVCRICLVELCEGGETLKMECSCKGELALAHKDCAVKWFSIKGNKTCDVCKQEVQNLPVTLLRIQSIRARNGALQADAQGYRVWQEVPVLVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMVQRRFVWVYASIQFALVVFFAHIFYSLVSGCLHILAPVSLQC >KJB56111 pep chromosome:Graimondii2_0_v6:9:7718163:7721788:1 gene:B456_009G106300 transcript:KJB56111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTPSLTPRKVNFLLTPSPSDARISGSPGPSTSRGKSSLKSLLPKLSFKQRNVNSDIEKAAIFAPESSSTSLREKPSIARTLSLSKIFTPRIKRTASLPVVTQIANANLEPTSSGSLGGSVNSSRKGNVLQISRSFSVPLNDKEGTTLRRMDSFFRVVPSTPRVKEGEISSNASVGPDAENGNPDGEDIPEDEAVCRICLVELCEGGETLKMECSCKGELALAHKDCAVKWFSIKGNKTCDVCKQEVQNLPVTLLRIQSIRARNGALQADAQGYRVWQEVPVLVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMVQRRFVWVYASIQFALVVFFAHIFYSLVKVQSILSILLATFSGFGIAMSGSSIIVEILRWRRRWQAWWQQRHRSQTTTHPPIQSPRAVNSPQTHRSPNVSQQNAETFTGI >KJB56112 pep chromosome:Graimondii2_0_v6:9:7717634:7721849:1 gene:B456_009G106300 transcript:KJB56112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTPSLTPRKVNFLLTPSPSDARISGSPGPSTSRGKSSLKSLLPKLSFKQRNVNSDIEKAAIFAPESSSTSLREKPSIARTLSLSKIFTPRIKRTASLPVVTQIANANLEPTSSGSLGGSVNSSRKGNVLQISRSFSVPLNDKEGTTLRRMDSFFRVVPSTPRVKEGEISSNASVGPDAENGNPDGEDIPEDEAVCRICLVELCEGGETLKMECSCKGELALAHKDCAVKWFSIKGNKTCDVCKQEVQNLPVTLLRIQSIRARNGALQADAQGYRVWQEVPVLVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMVQRRFVWVYASIQFALVVFFAHIFYSLVKVQSILSILLATFSGFGIAMSGSSIIVEILRWRRRWQAWWQQRHRSQTTTHPPIQSPRAVNSPQTHRSPNVSQQNAETFTGI >KJB56107 pep chromosome:Graimondii2_0_v6:9:7717365:7720008:1 gene:B456_009G106300 transcript:KJB56107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMGTEGKHVSVEHELGGGPSQRTTPVQQVDDSTGITEETTRSHHWRRSNLSLELPSRTFEDSSQESVIIKMPPTPSLTPRKVNFLLTPSPSDARISGSPGPSTSRGKSSLKSLLPKLSFKQRNVNSDIEKAAIFAPESSSTSLREKPSIARTLSLSKIFTPRIKRTASLPVVTQIANANLEPTSSGSLGGSVNSSRKGNVLQISRSFSVPLNDKEGTTLRRMDSFFRVVPSTPRVKEGEISSNASVGPDAENGNPDGEDIPEDEAVCRICLVELCEGGETLKMECSCKGELALAHKDCAVKWFSIKGNKTCDVCKQEVQNLPVTLLRIQSIRARNGALQADAQGYR >KJB55548 pep chromosome:Graimondii2_0_v6:9:5927876:5931401:-1 gene:B456_009G081800 transcript:KJB55548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFSNNRRTETMSYNFNLWFTLSYFWFIYSFLLLTSIRHANDGKNVKPLYRRGQAYKELGQLEDAISDLSRAHEVYPGDETVADVLRDAEERLAREGSHQAPQGVAIEEITEEAASTSSANRQTSFTKYSSKQPRETNSVCLEALKNDPEALRSFQKFISNADPYTLSALSSGKVGEVSPDLFKTATNMIATKNGSIGFGPGSVHPNVTPDMLKSATDMMTKMPPEELQKMFEAAASLEGNDSISTSTAVKSSRLDSDAENFRRHLSPMGIMVLVKPVLLVVFRIQCSQSNLPTSTADLQEQMRNQMKDPAMRQMFASMMKNMSPDIMENMGEQFGLKLSREDAKAQQAMASLSPEELDRKMRWADRIQKGVEGAKKAKNWLLGKSGFSL >KJB54269 pep chromosome:Graimondii2_0_v6:9:6214621:6216604:-1 gene:B456_009G085400 transcript:KJB54269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSLTSPCIVKVAIPERFSSAIVKAPTSLGSVKSISKSFGLKCSSNFRTSMATYKIKLVGTKGEVNEFEAPDDKYILDAAEEAGVELPYSCRAGACCTCAGKMISGSVDQSDGSFLDDKQMEEGYLLTCVAYPTSDCEIHTHKETDLF >KJB54270 pep chromosome:Graimondii2_0_v6:9:6214373:6216964:-1 gene:B456_009G085400 transcript:KJB54270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSLTSPCIVKVAIPERFSSAIVKAPTSLGSVKSISKSFGLKCSSNFRTSMATYKIKLVGTKGEVNEFEAPDDKYILDAAEEAGVELPYSCRAGACCTCAGKMISGSVDQSDGSFLDDKQMEEGYLLTCVAYPTSDCEIHTHKETDLF >KJB59406 pep chromosome:Graimondii2_0_v6:9:20715532:20724515:-1 gene:B456_009G253200 transcript:KJB59406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTEGVGESSSPPRSFGNFNNYDIRTDVFNRLVEIGYEEAISNSDFRDNLDAHFNRLPASYALDVNVERVEDVLLHQKLLEAAKDPEKRPVYHIRFLENLCTREDNNDDQQDINSLSGQGPFGDGDGEKVVPSYKRSRDHPVDFEACPKLEDLNLDVRKNSKCVYDRYLTQNFSKRQEIVQLPIHEVIFSTTDRPKLLSQLSALLSDIELNIREAHVFSTTDGYSLDVFVVDGWPVEDTDGLYKAMEEAVSRSKGSLSGSLSSHSAIDDASATQSKSRDWEIDRRLLKIGERIASGSCGDLYHGVYLGQDVAVKFLRSEHLNDAMEDEFTQEVAILRIYAWGKPV >KJB59404 pep chromosome:Graimondii2_0_v6:9:20714544:20724515:-1 gene:B456_009G253200 transcript:KJB59404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTEGVGESSSPPRSFGNFNNYDIRTDVFNRLVEIGYEEAISNSDFRDNLDAHFNRLPASYALDVNVERVEDVLLHQKLLEAAKDPEKRPVYHIRFLENLCTREDNNDDQQDINSLSGQGPFGDGDGEKVVPSYKRSRDHPVDFEACPKLEDLNLDVRKNSKCVYDRYLTQNFSKRQEIVQLPIHEVIFSTTDRPKLLSQLSALLSDIELNIREAHVFSTTDGYSLDVFVVDGWPVEDTDGLYKAMEEAVSRSKGSLSGSLSSHSAIDDASATQSKSRDWEIDRRLLKIGERIASGSCGDLYHGVYLGQDVAVKFLRSEHLNDAMEDEFTQEVAILREVQHKNVVRFIGACTRSPHLCIVTEYMPGGSLYDYLHKNRNVLTLTQLLKFAIDVCKGMDYLHQNHIIHRDLKTANLLMDTDNVIKVADFGVARFLNKGGVMTAETGTYRWMAPEV >KJB59405 pep chromosome:Graimondii2_0_v6:9:20715376:20724515:-1 gene:B456_009G253200 transcript:KJB59405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTEGVGESSSPPRSFGNFNNYDIRTDVFNRLVEIGYEEAISNSDFRDNLDAHFNRLPASYALDVNVERVEDVLLHQKLLEAAKDPEKRPVYHIRFLENLCTREDNNDDQQDINSLSGQGPFGDGDGEKVVPSYKRSRDHPVDFEACPKLEDLNLDVRKNSKCVYDRYLTQNFSKRQEIVQLPIHEVIFSTTDRPKLLSQLSALLSDIELNIREAHVFSTTDGYSLDVFVVDGWPVEDTDGLYKAMEEAVSRSKGSLSGSLSSHSAIDDASATQSKSRDWEIDRRLLKIGERIASGSCGDLYHGVYLGQDVAVKFLRSEHLNDAMEDEFTQEVAILREVQHKNVVRFIGACTRSPHLCIVTEYMPGGSLYDYLHKNRNVLTLTQLLKFAIDVCKGMDYLHQNHIIHRDLKTANLLMDTDNV >KJB59402 pep chromosome:Graimondii2_0_v6:9:20712247:20724584:-1 gene:B456_009G253200 transcript:KJB59402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTEGVGESSSPPRSFGNFNNYDIRTDVFNRLVEIGYEEAISNSDFRDNLDAHFNRLPASYALDVNVERVEDVLLHQKLLEAAKDPEKRPVYHIRFLENLCTREDNNDDQQDINSLSGQGPFGDGDGEKVVPSYKRSRDHPVDFEACPKLEDLNLDVRKNSKCVYDRYLTQNFSKRQEIVQLPIHEVIFSTTDRPKLLSQLSALLSDIELNIREAHVFSTTDGYSLDVFVVDGWPVEDTDGLYKAMEEAVSRSKGSLSGSLSSHSAIDDASATQSKSRDWEIDRRLLKIGERIASGSCGDLYHGVYLGQDVAVKFLRSEHLNDAMEDEFTQEVAILREVQHKNVVRFIGACTRSPHLCIVTEYMPGGSLYDYLHKNRNVLTLTQLLKFAIDVCKGMDYLHQNHIIHRDLKTANLLMDTDNVIKVADFGVARFLNKGGVMTAETGTYRWMAPEVINHQPYDQKADIFSFAIVLWELVTAKVPYDTMTPLQAALGVRQGLRPDLPENAHPKLVDLMQRCWVATPDKRPSFSEITAQLETLFEEVKVGRRGGSSHSGE >KJB59403 pep chromosome:Graimondii2_0_v6:9:20712774:20724584:-1 gene:B456_009G253200 transcript:KJB59403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTEGVGESSSPPRSFGNFNNYDIRTDVFNRLVEIGYEEAISNSDFRDNLDAHFNRLPASYALDVNVERVEDVLLHQKLLEAAKDPEKRPVYHIRFLENLCTREDNNDDQQDINSLSGQGPFGDGDGEKVVPSYKRSRDHPVDFEACPKLEDLNLDVRKNSKCVYDRYLTQNFSKRQEIVQLPIHEVIFSTTDRPKLLSQLSALLSDIELNIREAHVFSTTDGYSLDVFVVDGWPVEDTDGLYKAMEEAVSRSKGSLSGSLSSHSAIDDASATQSKSRDWEIDRRLLKIGERIASGSCGDLYHGVYLGQDVAVKFLRSEHLNDAMEDEFTQEVAILREVQHKNVVRFIGACTRSPHLCIVTEYMPGGSLYDYLHKNRNVLTLTQLLKFAIDVCKGMDYLHQNHIIHRDLKTANLLMDTDNVIKVADFGVARFLNKGGVMTAETGTYRWMAPEVINHQPYDQKADIFSFAIVLWELVTAKVPYDTMTPLQAALGVRQGLRPDLPENAHPKLVDLMQRCWVATPDKRPSFSEITAQLETLFEEVKETAEAVNGN >KJB59407 pep chromosome:Graimondii2_0_v6:9:20717667:20724515:-1 gene:B456_009G253200 transcript:KJB59407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTEGVGESSSPPRSFGNFNNYDIRTDVFNRLVEIGYEEAISNSDFRDNLDAHFNRLPASYALDVNVERVEDVLLHQKLLEAAKDPEKRPVYHIRFLENLCTREDNNDDQQDINSLSGQGPFGDGDGEKVVPSYKRSRDHPVDFEACPKLEDLNLDVRKNSKCVYDRYLTQNFSKRQEIVQLPIHEVIFSTTDRPKLLSQLSALLSDIELNIREAHVFSTTDGYSLDVFVVDGWPVEDTDGLYKAMEEAVSRSKGSLSGSLSSHSAIDDASATQSKSRDWEIDRRLLKIGERIASGSCGDLYHGVYLGQDVAVKFLRSEHLNDAMEDEFTQEVAILR >KJB54029 pep chromosome:Graimondii2_0_v6:9:1374293:1377602:-1 gene:B456_009G017400 transcript:KJB54029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGKKLKLPDRLGSLVAGFEDKDEDSGGSGSVCELGEELEILQPTGFRSDRESETDDDHDHNEVQEEEPMVKRKMKADAELEHNGRQPRHEKDGSSHISVIKTIEADEKRSDIEYEISQKEINLEKLQRIASTGIPEGGNLRATVWKLILGYLPPSRDLWEKELSENRKKYAKLKEELLLTPSELARMKEEAFQSNEHNNAHSDTAGPLIRHEISHEDHPLSLGKASVWHQYFEHTEIAEQIDRDLQRTHPEMKFFSGESSFGRKHRESMRNVLLLFSKLNPAIRYVQGMNEVLAPLYYVFSTDTDEKNASNAEADSFSCFVLLLSDSVDHFCQQLDNSSVGILSTLSRLSDLLKANDEELWRHLEFTSKVKPQYYGFRWITLLLTQEFNLQSILRIWDSLISSPFGIQEMLLRVCCAMLLCVKSRLLSGDFAANLKLLQHYPEINIEHLLQVAQDLTPDTSYYRMSS >KJB54035 pep chromosome:Graimondii2_0_v6:9:1374589:1377522:-1 gene:B456_009G017400 transcript:KJB54035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGKKLKLPDRLGSLVAGFEDKDEDSGGSGSVCELGEELEILQPTGFRSDRESETDDDHDHNEVQEEEPMVKRKMKADAELEHNGRQPRHEKDGSSHISVIKTIEADEKRSDIEYEISQKEINLEKLQRIASTGIPEGGNLRATVWKLILGYLPPSRDLWEKELSENRKKYAKLKEELLLTPSELARMKEEAFQSNEHNNAHSDTAGPLIRHEISHEDHPLSLGKASVWHQYFEHTEIAEQIDRDLQRTHPEMKFFSGESSFGRKHRESMRNVLLLFSKLNPAIRYVQGMNEVLAPLYYVFSTDTDEKNAKQTAFHVLSYS >KJB54032 pep chromosome:Graimondii2_0_v6:9:1374589:1377522:-1 gene:B456_009G017400 transcript:KJB54032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGKKLKLPDRLGSLVAGFEDKDEDSGGSGSVCELGEELEILQPTGFRSDRESETDDDHDHNEVQEEEPMVKRKMKADAELEHNGRQPRHEKDGSSHISVIKTIEADEKRSDIEYEISQKEINLEKLQRIASTGIPEGGNLRATVWKLILGYLPPSRDLWEKELSENRKKYAKLKEELLLTPSELARMKEEAFQSNEHNNAHSDTAGPLIRHEISHEDHPLSLGKASVWHQYFEHTEIAEQIDRDLQRTHPEMKFFSGESSFGRKHRESMRNVLLLFSKLNPAIRYVQGMNEVLAPLYYVFSTDTDEKNASNAEADSFSCFVLLLSDSVDHFCQQLDNSSVGILSTLSRLSDLLKANDEELWRHLEFTSKATSILRIPVDNFTTYSRIQSPIYLKNLGFSYK >KJB54034 pep chromosome:Graimondii2_0_v6:9:1374589:1377522:-1 gene:B456_009G017400 transcript:KJB54034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGKKLKLPDRLGSLVAGFEDKDEDSGGSGSVCELGEELEILQPTGFRSDRESETDDDHDHNEVQEEEPMVKRKMKADAELEHNGRQPRHEKDGSSHISVIKTIEADEKRSDIEYEISQKEINLEKLQRIASTGIPEGGNLRATVWKSELARMKEEAFQSNEHNNAHSDTAGPLIRHEISHEDHPLSLGKASVWHQYFEHTEIAEQIDRDLQRTHPEMKFFSGESSFGRKHRESMRNVLLLFSKLNPAIRYVQGMNEVLAPLYYVFSTDTDEKNASNAEADSFSCFVLLLSDSVDHFCQQLDNSSVGILSTLSRLSDLLKANDEELWRHLEFTSKVKPQYYGFRWITLLLTQEFNLQSILRIWDSLISSPFGIQEMLLRVCCAMLLCVKSRLLSGDFAANLKLLQHYPEINIEHLLQVAQDLTPDTSYYRMSS >KJB54037 pep chromosome:Graimondii2_0_v6:9:1375500:1377402:-1 gene:B456_009G017400 transcript:KJB54037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGKKLKLPDRLGSLVAGFEDKDEDSGGSGSVCELGEELEILQPTGFRSDRESETDDDHDHNEVQEEEPMVKRKMKADAELEHNGRQPRHEKDGSSHISVIKTIEADEKRSDIEYEISQKEINLEKLQRIASTGIPEGGNLRATVWKLILGYLPPSRDLWEKELSENRKKYAKLKEELLLTPSELARMKEEAFQSNEHNNAHSDTAGPLIRHEISHEDHPLSLGKASVWHQYFEHTEIAEQIDRDLQRTHPEMKFFSGESSFGRKHRESMRNVLLLFSKLNPAIRYVQGMNEVLAPLYYVFSTDTDEKNAVRTMFYSLFPCEPFCYMILGHLWQQILLL >KJB54030 pep chromosome:Graimondii2_0_v6:9:1374589:1377522:-1 gene:B456_009G017400 transcript:KJB54030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIIHYYCGTIQISQKEINLEKLQRIASTGIPEGGNLRATVWKLILGYLPPSRDLWEKELSENRKKYAKLKEELLLTPSELARMKEEAFQSNEHNNAHSDTAGPLIRHEISHEDHPLSLGKASVWHQYFEHTEIAEQIDRDLQRTHPEMKFFSGESSFGRKHRESMRNVLLLFSKLNPAIRYVQGMNEVLAPLYYVFSTDTDEKNASNAEADSFSCFVLLLSDSVDHFCQQLDNSSVGILSTLSRLSDLLKANDEELWRHLEFTSKVKPQYYGFRWITLLLTQEFNLQSILRIWDSLISSPFGIQEMLLRVCCAMLLCVKSRLLSGDFAANLKLLQHYPEINIEHLLQVAQDLTPDTSYYRMSS >KJB54033 pep chromosome:Graimondii2_0_v6:9:1374969:1377402:-1 gene:B456_009G017400 transcript:KJB54033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGKKLKLPDRLGSLVAGFEDKDEDSGGSGSVCELGEELEILQPTGFRSDRESETDDDHDHNEVQEEEPMVKRKMKADAELEHNGRQPRHEKDGSSHISVIKTIEADEKRSDIEYEISQKEINLEKLQRIASTGIPEGGNLRATVWKLILGYLPPSRDLWEKELSENRKKYAKLKEELLLTPSELARMKEEAFQSNEHNNAHSDTAGPLIRHEISHEDHPLSLGKASVWHQYFEHTEIAEQIDRDLQRTHPEMKFFSGESSFGRKHRESMRNVLLLFSKLNPAIRYVQGMNEVLAPLYYVFSTDTDEKNASNAEADSFSCFVLLLSDSVDHFCQQLDNSSVGILSTLSRLSDLLKANDEELWRHLEFTSKVKPQYYGFRWITLLLTQEFNLQSILRIWDSLISSPFGIQVSLSLIV >KJB54036 pep chromosome:Graimondii2_0_v6:9:1374723:1376210:-1 gene:B456_009G017400 transcript:KJB54036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEAFQSNEHNNAHSDTAGPLIRHEISHEDHPLSLGKASVWHQYFEHTEIAEQIDRDLQRTHPEMKFFSGESSFGRKHRESMRNVLLLFSKLNPAIRYVQGMNEVLAPLYYVFSTDTDEKNASNAEADSFSCFVLLLSDSVDHFCQQLDNSSVGILSTLSRLSDLLKANDEELWRHLEFTSKVKPQYYGFRWITLLLTQEFNLQSILRIWDSLISSPFGIQEMLLRVCCAMLLCVKSRLLSGDFAANLKLLQHYPEINIEHLLQVAQDLTPDTSYYRMSS >KJB54031 pep chromosome:Graimondii2_0_v6:9:1374589:1377522:-1 gene:B456_009G017400 transcript:KJB54031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKADAELEHNGRQPRHEKDGSSHISVIKTIEADEKRSDIEYEISQKEINLEKLQRIASTGIPEGGNLRATVWKLILGYLPPSRDLWEKELSENRKKYAKLKEELLLTPSELARMKEEAFQSNEHNNAHSDTAGPLIRHEISHEDHPLSLGKASVWHQYFEHTEIAEQIDRDLQRTHPEMKFFSGESSFGRKHRESMRNVLLLFSKLNPAIRYVQGMNEVLAPLYYVFSTDTDEKNASNAEADSFSCFVLLLSDSVDHFCQQLDNSSVGILSTLSRLSDLLKANDEELWRHLEFTSKVKPQYYGFRWITLLLTQEFNLQSILRIWDSLISSPFGIQEMLLRVCCAMLLCVKSRLLSGDFAANLKLLQHYPEINIEHLLQVAQDLTPDTSYYRMSS >KJB59387 pep chromosome:Graimondii2_0_v6:9:20677231:20685966:-1 gene:B456_009G253000 transcript:KJB59387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVSYGNAQREIHQGLIALKKGAQLLKYGRKGRPKFCPFRISNDETSLIWITSNGERSLKLAAISKIIPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSDGGLYLGDGRDLTSNSASDSSVSTTRDISSDISVRFNPNTSSMSLRPENSFHPERTHIASDSTNMQIKGSGSDFLRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICDSVVNAVADKDANYWSSRDDVLLPRPLESNVVLDVHHVACGVKHAALVTRQGEIFTWGEESGGRLGHGVGKDVIQPHLVESLAVTSVGFVACGEFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQVATVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVPYPREVESLSGLRTIAVACGVWHTAAIVEVIVTQSTVSVSSGKLFTWGDGDKSRLGHGDKEPRFNPACVQALIDYNFHKVACGHSLTVGLTTAGNIFTMGSAVYGQLGNPFADGKIPCHVEDKLSGEFVEEIACGAYHVAALTSRNEVYTWGKGANGRLGHGDVEDRKIPTLVEGLKDRHVKYIACGSNYTSAICLHKWVSGAEESQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNPGKPYRVCDSCFAKMNKVLEAGNSRRKSVPRLSGENKDRLDKAEIRLSKSASPSNIDLIKQLDIKAAKQGKKAETFSLVRSTQAPSLLQLKDVLSTAVDLRWTVPKPIRTPSGVSSRSVSPFSRKSSPPRSATPIPTSGLSFSKNVIDSLKKTNELLNQEMLTLRGQVETLRKRCELQESELQKSTKKAQEAMALAAEESAKSEAAKEVIKSLTGQLKDMAERLPPVVYDTENTKPAYLPNGLERNGVHYPDANGLGHLRSDSIGGYFLASPTALDSATINGTQSPAQLLRETTGANGRDGHSDTQLLKGTAGLQSGDSSVSEAVDERESGPFRDGENGNKSRNSALVGNGYQSEAEWIEQYEPGVYITLVALQDGTRDLKRVRFSRRRFGEHQAESWWSENREKVYERYNVRGSDKTSVSGQTGRRSEGGPSPTSQV >KJB59392 pep chromosome:Graimondii2_0_v6:9:20678525:20685433:-1 gene:B456_009G253000 transcript:KJB59392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVSYGNAQREIHQGLIALKKGAQLLKYGRKGRPKFCPFRISNDETSLIWITSNGERSLKLAAISKIIPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSDGGLYLGDGRDLTSNSASDSSVSTTRDISSDISVRFNPNTSSMSLRPENSFHPERTHIASDSTNMQIKGSGSDFLRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICDSVVNAVADKDANYWSSRDDVLLPRPLESNVVLDVHHVACGVKHAALVTRQGEIFTWGEESGGRLGHGVGKDVIQPHLVESLAVTSVGFVACGEFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQVATVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVPYPREVESLSGLRTIAVACGVWHTAAIVEVIVTQSTVSVSSGKLFTWGDGDKSRLGHGDKEPRFNPACVQALIDYNFHKVACGHSLTVGLTTAGNIFTMGSAVYGQLGNPFADGKIPCHVEDKLSGEFVEEIACGAYHVAALTSRNEVYTWGKGANGRLGHGDVEDRKIPTLVEGLKDRHVKYIACGSNYTSAICLHKWVSGAEESQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNPGKPYRVCDSCFAKMNKVLEAGNSRRKSVPRLSGENKDRLDKAEIRLSKSASPSNIDLIKQLDIKAAKQGKKAETFSLVRSTQAPSLLQLKDVLSTAVDLRWTVPKPIRTPSGVSSRSVSPFSRKSSPPRSATPIPTSGLSFSKNVIDSLKKTNELLNQEMLTLRGQVETLRKRCELQESELQKSTKKAQEAMALAAEESAKSEAAKEVIKSLTGQLKDMAERLPPVVYDTENTKPAYLPNGLERNGVHYPDANGLGHLRSDSIGGYFLASPTALDSATINGTQSPAQLLRETTGANGRDGHSDTQLLKGTAGLQSGDSSVSEAVDERESGPFRDGENGNKSRNSALVGNGYQSEAEWIEQYEPGVYITLVALQDGTRDLKRVRFR >KJB59388 pep chromosome:Graimondii2_0_v6:9:20678272:20685887:-1 gene:B456_009G253000 transcript:KJB59388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVSYGNAQREIHQGLIALKKGAQLLKYGRKGRPKFCPFRISNDETSLIWITSNGERSLKLAAISKIIPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSDGGLYLGDGRDLTSNSASDSSVSTTRDISSDISVRFNPNTSSMSLRPENSFHPERTHIASDSTNMQIKGSGSDFLRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICDSVVNAVADKDANYWSSRDDVLLPRPLESNVVLDVHHVACGVKHAALVTRQGEIFTWGEESGGRLGHGVGKDVIQPHLVESLAVTSVGFVACGEFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQVATVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVPYPREVESLSGLRTIAVACGVWHTAAIVEVIVTQSTVSVSSGKLFTWGDGDKSRLGHGDKEPRFNPACVQALIDYNFHKVACGHSLTVGLTTAGNIFTMGSAVYGQLGNPFADGKIPCHVEDKLSGEFVEEIACGAYHVAALTSRNEVYTWGKGANGRLGHGDVEDRKIPTLVEGLKDRHVKYIACGSNYTSAICLHKWVSGAEESQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNPGKPYRVCDSCFAKMNKVLEAGNSRRKSVPRLSGENKDRLDKAEIRLSKSASPSNIDLIKQLDIKAAKQGKKAETFSLVRSTQAPSLLQLKDVLSTAVDLRWTVPKPIRTPSGVSSRSVSPFSRKSSPPRSATPIPTSGLSFSKNVIDSLKKTNELLNQEMLTLRGQVETLRKRCELQESELQKSTKKAQEAMALAAEESAKSEAAKEVIKSLTGQLKDMAERLPPVVYDTENTKPAYLPNGLERNGVHYPDANGLGHLRSDSIGGYFLASPTALDSATINGTQSPAQLLRETTGANGRDGHSDTQLLKGTAGLQSGDSSVSEAVDERESGPFRDGENGNKSRNSALVGNGYQSEAEWIEQYEPGVYITLVALQDGTRDLKRVRFSRRRFGEHQAESWWSENREKVYERYNVRGSDKTSVSGQTGRRSEGGPSPTSQV >KJB59391 pep chromosome:Graimondii2_0_v6:9:20678514:20685966:-1 gene:B456_009G253000 transcript:KJB59391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVSYGNAQREIHQGLIALKKGAQLLKYGRKGRPKFCPFRISNDETSLIWITSNGERSLKLAAISKIIPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSDGGLYLGDGRDLTSNSASDSSVSTTRDISSDISVRFNPNTSSMSLRPENSFHPERTHIASDSTNMQIKGSGSDFLRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICDSVVNAVADKDANYWSSRDDVLLPRPLESNVVLDVHHVACGVKHAALVTRQGEIFTWGEESGGRLGHGVGKDVIQPHLVESLAVTSVGFVACGEFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQVATVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVPYPREVESLSGLRTIAVACGVWHTAAIVEVIVTQSTVSVSSGKLFTWGDGDKSRLGHGDKEPRFNPACVQALIDYNFHKVACGHSLTVGLTTAGNIFTMGSAVYGQLGNPFADGKIPCHVEDKLSGEFVEEIACGAYHVAALTSRNEVYTWGKGANGRLGHGDVEDRKIPTLVEGLKDRHVKYIACGSNYTSAICLHKWVSGAEESQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNPGKPYRVCDSCFAKMNKVLEAGNSRRKSVPRLSGENKDRLDKAEIRLSKSASPSNIDLIKQLDIKAAKQGKKAETFSLVRSTQAPSLLQLKDVLSTAVDLRWTVPKPIRTPSGVSSRSVSPFSRKSSPPRSATPIPTSGLSFSKNVIDSLKKTNELLNQEMLTLRGQIYSRLRP >KJB59389 pep chromosome:Graimondii2_0_v6:9:20678514:20685433:-1 gene:B456_009G253000 transcript:KJB59389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVSYGNAQREIHQGLIALKKGAQLLKYGRKGRPKFCPFRISNDETSLIWITSNGERSLKLAAISKIIPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSDGGLYLGDGRDLTSNSASDSSVSTTRDISSDISVRFNPNTSSMSLRPENSFHPERTHIASDSTNMQIKGSGSDFLRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICDSVVNAVADKDANYWSSRDDVLLPRPLESNVVLDVHHVACGVKHAALVTRQGEIFTWGEESGGRLGHGVGKDVIQPHLVESLAVTSVGFVACGEFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQVATVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVPYPREVESLSGLRTIAVACGVWHTAAIVEVIVTQSTVSVSSGKLFTWGDGDKSRLGHGDKEPRFNPACVQALIDYNFHKVACGHSLTVGLTTAGNIFTMGSAVYGQLGNPFADGKIPCHVEDKLSGEFVEEIACGAYHVAALTSRNEVYTWGKGANGRLGHGDVEDRKIPTLVEGLKDRHVKYIACGSNYTSAICLHKWVSGAEESQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNPGKPYRVCDSCFAKMNKVLEAGNSRRKSVPRLSGENKDRLDKAEIRLSKSASPSNIDLIKQLDIKAAKQGKKAETFSLVRSTQAPSLLQLKDVLSTAVDLRWTVPKPIRTPSGVSSRSVSPFSRKSSPPRSATPIPTSGLSFSKNVIDSLKKTNELLNQEMLTLRGQVETLRKRCELQESELQKSTKKAQEAMALAAEESAKSEAAKEVIKSLTGQLKDMAERLPPVVYDTENTKPAYLPNGLERNGVHYPDANGLGHLRSDSIGGYFLASPTALDSATINGTQSPAQLLRETTGANGRDGHSDTQLLKGTAGLQSGDSSVSEAVDERESGPFRDGENGNKSRNSALVGNGYQSEAEWIEQYEPGVYITLVALQDGTRDLKRVRFRCQHMFLYTAGEDSGSTKRNLGGQKTVKRCTRGTMCADQTKHQFLDKQVAGQREVRHPLHKCNNKREGSLVSTHFVPVVKLWSKKGSCKCYFLFCLSLVMFALYIFLF >KJB59390 pep chromosome:Graimondii2_0_v6:9:20680304:20685433:-1 gene:B456_009G253000 transcript:KJB59390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVSYGNAQREIHQGLIALKKGAQLLKYGRKGRPKFCPFRISNDETSLIWITSNGERSLKLAAISKIIPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSDGGLYLGDGRDLTSNSASDSSVSTTRDISSDISVRFNPNTSSMSLRPENSFHPERTHIASDSTNMQIKGSGSDFLRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICDSVVNAVADKDANYWSSRDDVLLPRPLESNVVLDVHHVACGVKHAALVTRQGEIFTWGEESGGRLGHGVGKDVIQPHLVESLAVTSVGFVACGEFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQVATVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVPYPREVESLSGLRTIAVACGVWHTAAIVEVIVTQSTVSVSSGKLFTWGDGDKSRLGHGDKEPRFNPACVQALIDYNFHKVACGHSLTVGLTTAGNIFTMGSAVYGQLGNPFADGKIPCHVEDKLSGEFVEEIACGAYHVAALTSRNEVYTWGKGANGRLGHGDVEDRKIPTLVEGLKDRHVKYIACGSNYTSAICLHKWVSGAEESQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNPGKPYRVCDSCFAKMNKVLEAGNSRRKSVPRLSGENKDRLDKAEIRLSKSASPSNIDLIKQLDIKAAKQGKKAETFSLVRSTQAPSLLQLKDVLSTAVDLRWTVPKPIRTPSGVSSRSVSPFSRKSSPPRSATPIPTSGLSFSKNVIDSLKKTNELLNQEMLTLRGQIYSRLRP >KJB61873 pep chromosome:Graimondii2_0_v6:9:52560887:52564207:-1 gene:B456_009G387800 transcript:KJB61873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEVVDSDLTQLSIDKVTEVDKSFLHENGKLDKDPVYNNPIDVDSHSEEPDKEEENGASDPDFPKDAVVEWPAPKQIHSFHFIRYRFYEDPAIKAKLDQADKEIQKWNKARFKLTDELKAKRAERSEMLSQVRALNVDFEQFKTILDEKKKEIEPLQQALGKLRNNKDVDNRLSLCASEEELDFIIHSLQYRIQHESISLSEEKRILKEIKHLEGTREKVIANAAMRSKIQDSLGQKEDIQDQVKLMGVDLNGVRKEQHAVWSKKKQIKDKLDETETKIESLQNELKAVTLKRDKAFDNIQELRKQSDQGNSHFYQSRTIVHNAKLLAAQKDIKALEELSIVEVEKFMALWNGNKAFRDDYEKRILSSLDSRLLSRDGRIRNPDEKPLVAPEKPVDSETETILRPSVRKPKEEAKSGPQTDTKPAKKAPKDAETIAMESNPLSDISVVAEEILVSGKLQKNKEVDAAKLKELKREEEIAKAKQAMERKKKLAEKAAAKAARRAQMEAEKKLKEIICFLIWFHFIGY >KJB61875 pep chromosome:Graimondii2_0_v6:9:52560906:52564001:-1 gene:B456_009G387800 transcript:KJB61875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQVRALNVDFEQFKTILDEKKKEIEPLQQALGKLRNNKDVDNRLSLCASEEELDFIIHSLQYRIQHESISLSEEKRILKEIKHLEGTREKVIANAAMRSKIQDSLGQKEDIQDQVKLMGVDLNGVRKEQHAVWSKKKQIKDKLDETETKIESLQNELKAVTLKRDKAFDNIQELRKQSDQGNSHFYQSRTIVHNAKLLAAQKDIKALEELSIVEVEKFMALWNGNKAFRDDYEKRILSSLDSRLLSRDGRIRNPDEKPLVAPEKPVDSETETILRPSVRKPKEEAKSGPQTDTKPAKKAPKDAETIAMESNPLSDISVVAEEILVSGKLQKNKEVDAAKLKELKREEEIAKAKQAMERKKKLAEKAAAKAARRAQMEAEKKLKEIICFLIWFHFIGY >KJB61876 pep chromosome:Graimondii2_0_v6:9:52560906:52564099:-1 gene:B456_009G387800 transcript:KJB61876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEVVDSDLTQLSIDKVTEVDKSFLHENGKLDKDPVYNNPIDVDSHSEEPDKEEENGASDPDFPKDAVVEWPAPKQIHSFHFIRYRFYEDPAIKAKLDQADKEIQKWNKARFKLTDELKAKRIHSLQYRIQHESISLSEEKRILKEIKHLEGTREKVIANAAMRSKIQDSLGQKEDIQDQVKLMGVDLNGVRKEQHAVWSKKKQIKDKLDETETKIESLQNELKAVTLKRDKAFDNIQELRKQSDQGNSHFYQSRTIVHNAKLLAAQKDIKALEELSIVEVEKFMALWNGNKAFRDDYEKRILSSLDSRLLSRDGRIRNPDEKPLVAPEKPVDSETETILRPSVRKPKEEAKSGPQTDTKPAKKAPKDAETIAMESNPLSDISVVAEEILVSGKLQKNKEVDAAKLKELKREEEIAKAKQAMERKKKLAEKAAAKAARRAQMEAEKKLKEIICFLIWFHFIGY >KJB61874 pep chromosome:Graimondii2_0_v6:9:52560906:52563612:-1 gene:B456_009G387800 transcript:KJB61874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGSSILCLMGVEVVDSDLTQLSIDKVTEVDKSFLHENGKLDKDPVYNNPIDVDSHSEEPDKEEENGASDPDFPKDAVVEWPAPKQIHSFHFIRYRFYEDPAIKAKLDQADKEIQKWNKARFKLTDELKAKRAERSEMLSQVRALNVDFEQFKTILDEKKKEIEPLQQALGKLRNNKDVDNRLSLCASEEELDFIIHSLQYRIQHESISLSEEKRILKEIKHLEGTREKVIANAAMRSKIQDSLGQKEDIQDQVKLMGVDLNGVRKEQHAVWSKKKQIKDKLDETETKIESLQNELKAVTLKRDKAFDNIQELRKQSDQGNSHFYQSRTIVHNAKLLAAQKDIKALEELSIVEVEKFMALWNGNKAFRDDYEKRILSSLDSRLLSRDGRIRNPDEKPLVAPEKPVDSETETILRPSVRKPKEEAKSGPQTDTKPAKKAPKDAETIAMESNPLSDISVVAEEILVSGKLQKNKEVDAAKLKELKREEEIAKAKQAMERKKKLAEKAAAKAARRAQMEAEKKLKEIICFLIWFHFIGY >KJB58005 pep chromosome:Graimondii2_0_v6:9:14590998:14595592:1 gene:B456_009G190000 transcript:KJB58005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEKILKDDASEEKGERARMASFVGAIAITDLVKTTLGPKGMDKILQSTGRGHEVTVTNDGATILKSLHIDNPAAKVLIDISKVQDDEVGDGTTSVVVLAGELLREAEKLVAAKIHPMTIISGYRMAAECARNALLQRVVDNKENAEKFKSDLMKIAMTTLSSKILSQDKEHFAQLAVDAVMRLKGSTNLEAIQIIKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVAEIEGAEKEKMREKVKKILGHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTIVLRGASHHVLDEAERSLHDALCVLSQTVNDTRVLLGGGWPEMVMAKEVDELARKTPGKKSHAIEAFSRALVAIPTIIADNAGLDSADLVAKLRAEHHKEGCNAGIDVISGSVGDMAELGISEAFKVKQAVLLSATEAAEMILRVDEIITCAPRKREDRM >KJB58006 pep chromosome:Graimondii2_0_v6:9:14591044:14595590:1 gene:B456_009G190000 transcript:KJB58006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEKILKDDASEEKGERARMASFVGAIAITDLVKTTLGPKGMDKILQSTGRGHEVTVTNDGATILKSLHIDNPAAKVLIDISKVQDDEVGDGTTSVVVLAGELLREAEKLVAAKIHPMTIISGYRMAAECARNALLQRVVDNKENAEKFKSDLMKIAMTTLSSKILSQDKEHFAQLAVDAVMRLKGSTNLEAIQIIKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVAEIEGAEKEKMREKVKKILGHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTIVLRGASHHVLDEAERSLHDALCVLSQTVNDTRVLLGGGWPEMVMAKEVDELARKTPGKKSHAIEAFSRALVAIPTIIADNAGLDSADLVAKLRAEHHKEGCNAGIDVISGSVRDMAELGISEAFKVKQAVLLSATEAAEMILRVDEIITCAPRKREDRM >KJB58007 pep chromosome:Graimondii2_0_v6:9:14591082:14595590:1 gene:B456_009G190000 transcript:KJB58007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSGIRISDFPKHRAYDQVFFLCTKTQSYSRPSYFPWRCIEKILKDDASEEKGERARMASFVGAIAITDLVKTTLGPKGMDKILQSTGRGHEVTVTNDGATILKSLHIDNPAAKVLIDISKVQDDEVGDGTTSVVVLAGELLREAEKLVAAKIHPMTIISGYRMAAECARNALLQRVVDNKENAEKFKSDLMKIAMTTLSSKILSQDKEHFAQLAVDAVMRLKGSTNLEAIQIIKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVAEIEGAEKEKMREKVKKILGHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTIVLRGASHHVLDEAERSLHDALCVLSQTVNDTRVLLGGGWPEMVMAKEVDELARKTPGKKSHAIEAFSRALVAIPTIIADNAGLDSADLVAKLRAEHHKEGCNAGIDVISGSVGDMAELGISEAFKVKQAVLLSATEAAEMILRVDEIITCAPRKREDRM >KJB55150 pep chromosome:Graimondii2_0_v6:9:4670466:4672720:-1 gene:B456_009G065700 transcript:KJB55150 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor 3 [Source:Projected from Arabidopsis thaliana (AT2G24765) UniProtKB/Swiss-Prot;Acc:P40940] MGIVFTRMFSSLFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRIGIAKEEFHAILEEDELKGAIALIFANKQDLPGALDAAAVTEALELHKLKNRQWAIFKTCAVKGEGLFEGMDW >KJB55149 pep chromosome:Graimondii2_0_v6:9:4669894:4672784:-1 gene:B456_009G065700 transcript:KJB55149 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor 3 [Source:Projected from Arabidopsis thaliana (AT2G24765) UniProtKB/Swiss-Prot;Acc:P40940] MGIVFTRMFSSLFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRIGIAKEEFHAILEEDELKGAIALIFANKQDLPGALDAAAVTEALELHKLKNRQWAIFKTCAVKGEGLFEGMDWLSNTLKSGGG >KJB57865 pep chromosome:Graimondii2_0_v6:9:14137874:14140898:-1 gene:B456_009G183700 transcript:KJB57865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALKSLGLNVTKANVYLDSSGKHNKFCITKASTGRKVEEPELLEAIRLTIINNLLEFHPESSSQLAMGATFGAEPPKETVDVDIATRIRVHDDGPDRSLLYVETADHPGLLVELVKTITDINVAVESGEFDTEGLLAKAKFHVSYKGKALIKPLQQVLANSLRYYLRRPTTEEASF >KJB57866 pep chromosome:Graimondii2_0_v6:9:14137874:14140898:-1 gene:B456_009G183700 transcript:KJB57866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAANCVVYFSSNTNYLTVSELKNHSLPATFVSRPPNNYTLGKRSVRLLSSTTGINPRAAAPSATAVENDGSFPDTDMVPTPKVIIDQDSDPDATVVEITFGDRLGALLDTMNALKSLGLNVTKANVYLDSSGKHNKFCITKASTGRKVEEPELLEAIRLTIINNLLEFHPESSSQLAMGATFGAEPPKETVDVDIATRIRVHDDGPDRSLLYVETADHPGLLVELVKTITDINVAVESGEFDTEGLLAKAKFHVSYKGKALIKPLQQVLANSLRYYLRRPTTEEASF >KJB57859 pep chromosome:Graimondii2_0_v6:9:14137777:14140924:-1 gene:B456_009G183700 transcript:KJB57859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAANCVVYFSSNTNYLTVSELKNHSLPATFVSRPPNNYTLGKRSHYSVRLLSSTTGINPRAAAPSATAVENDGSFPDTDMVPTPKVIIDQDSDPDATVVEITFGDRLGALLDTMNALKSLGLNVTKANVYLDSSGKHNKFCITKASTGRKVEEPELLEAIRLTIINNLLEFHPESSSQLAMGATFGAEPPKETVDVDIATRIRVHDDGPDRSLLYVETADHPGLLVELVKTITDINVAVESGEFDTEGLLAKAKFHVSYKGKALIKPLQQVLANSLRYYLRRPTTEEASF >KJB57860 pep chromosome:Graimondii2_0_v6:9:14137874:14140898:-1 gene:B456_009G183700 transcript:KJB57860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALKSLGLNVTKANVYLDSSGKHNKFCITKASTGRKVEEPELLEAIRLTIINNLLEFHPESSSQLAMGATFGAEPPKETVDVDIATRIRVHDDGPDRSLLYVETADHPGLLVELVKTITDINVAVESGEFDTEGLLAKAKFHVSYKGKALIKPLQQVLANSLRYYLRRPTTEEASF >KJB57861 pep chromosome:Graimondii2_0_v6:9:14137874:14140898:-1 gene:B456_009G183700 transcript:KJB57861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTPKVIIDQDSDPDATVVEITFGDRLGALLDTMNALKSLGLNVTKANVYLDSSGKHNKFCITKASTGRKVEEPELLEAIRLTIINNLLEFHPESSSQLAMGATFGAEPPKETVDVDIATRIRVHDDGPDRSLLYVETADHPGLLVELVKTITDINVAVESGEFDTEGLLAKAKFHVSYKGKALIKPLQQVLANSLRYYLRRPTTEEASF >KJB57864 pep chromosome:Graimondii2_0_v6:9:14137874:14140898:-1 gene:B456_009G183700 transcript:KJB57864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAANCVVYFSSNTNYLTVSELKNHSLPATFVSRPPNNYTLGKRSYILAFSHYSVRLLSSTTGINPRAAAPSATAVENDGSFPDTDMVPTPKVIIDQDSDPDATVVEITFGDRLGALLDTMNALKSLGLNVTKANVYLDSSGKHNKFCITKASTGRKVEEPELLEAIRLTIINNLLEFHPESSSQLAMGATFGAEPPKETVDVDIATRIRVHDDGPDRSLLYVETADHPGLLVELVKTITDINVAVESGEFDTEGLLAKAKFHVSYKGKALIKPLQQVLANSLRYYLRRPTTEEASF >KJB57863 pep chromosome:Graimondii2_0_v6:9:14138344:14140649:-1 gene:B456_009G183700 transcript:KJB57863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAANCVVYFSSNTNYLTVSELKNHSLPATFVSRPPNNYTLGKRSHYSVRLLSSTTGINPRAAAPSATAVENDGSFPDTDMVPTPKVIIDQDSDPDATVVEITFGDRLGALLDTMNALKSLGLNVTKANVYLDSSGKHNKFCITKASTGRKVEEPELLEAIRLTIINNLLEFHPESSSQLAMGATFGAEPPKETVDVDIATRIRVHDDGPDRSLLYVETADHPGLLVELVKTITDINVAVESGEFDTEGLLAKAKFHVSYKGKALIKPLQQVKNNINPNFCYSFSTC >KJB57862 pep chromosome:Graimondii2_0_v6:9:14138577:14140649:-1 gene:B456_009G183700 transcript:KJB57862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAANCVVYFSSNTNYLTVSELKNHSLPATFVSRPPNNYTLGKRSHYSVRLLSSTTGINPRAAAPSATAVENDGSFPDTDMVPTPKVIIDQDSDPDATVVEITFGDRLGALLDTMNALKSLGLNVTKANVYLDSSGKHNKFCITKASTGRKVEEPELLEAIRLTIINNLLEFHPESSSQLAMGATFGAEPPKETVDVDIATRIRVHDDGPDRSLLYVETADHPGLLVELVKTITDINVAVESGEFDTEVIDQLFFFFL >KJB55123 pep chromosome:Graimondii2_0_v6:9:4564473:4572311:-1 gene:B456_009G064100 transcript:KJB55123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDEAVNPNNKMSTLTKTVATIGSSLIPVINKLHDILASSGTELSDISVPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLENRSPNSDDDRIEWGEFRHLPGRRFYDFSQIRREIEAETEREAGCNKGVSENQIRLKISSPNVLNMTLIDLPGITKVPVGDQPSDIEARIRRMIMAHINNENCIILAVSPANSDLATSDALQIAKLADPTGSRTVGVITKLDIMDRGTNACNFLLGKVVPLKLGYVGVVNRCQEDINKNRSIQEALAYEEQFFHDHPVYNSVSNHCGIPQLAKKLNQILEQHIRKDLPHLKAVLNSRMHAAMKELQTYGDVVESKAEQGAALLHILRRYCDDFSAMVDGKSPDMSTKELFGGARIHYLFQSMFVKLLEEVDPCEKLTDEDIVYALRNSSGLRNVLFVPEVPFEVLVRRQIAQLSDPCHQCLWIVYDELIKICLACESTGLKRFPSLRRHINDVVRKFLDAAAKPAETMIRNLIEMEMDYINSSHPSFIGGNKAVELAVQQMRSSKERADAEKVPISDKGQFSQTAAPRSVLNGVSNQGNHPQSNNGRPVLTGGSLSTRSWGISSIFGSKASSRGTAAIESPEETLHDAENMSSTIQLREPPSILRPLEMSENEATEIIITRILVKSYFDIVRKNIQDLVPKAIMHFLVIPFRFPLLLVKFKLIIPTNLKYFPPFYQFRSIIQKETFITLLYKYCTERSFSKSCCRSRTRLLQDESTQKKCCVF >KJB55125 pep chromosome:Graimondii2_0_v6:9:4566524:4572312:-1 gene:B456_009G064100 transcript:KJB55125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDEAVNPNNKMSTLTKTVATIGSSLIPVINKLHDILASSGTELSDISVPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLENRSPNSDDDRIEWGEFRHLPGRRFYDFSQIRREIEAETEREAGCNKGVSENQIRLKISSPNVLNMTLIDLPGITKVPVGDQPSDIEARIRRMIMAHINNENCIILAVSPANSDLATSDALQIAKLADPTGSRTVGVITKLDIMDRGTNACNFLLGKVVPLKLGYVGVVNRCQEDINKNRSIQEALAYEEQFFHDHPVYNSVSNHCGIPQLAKKLNQILEQHIRKDLPHLKAVLNSRMHAAMKELQTYGDVVESKAEQGAALLHILRRYCDDFSAMVDGKSPDMSTKELFGGARIHYLFQSMFVKLLEEVDPCEKLTDEDIVYALRNSSGLRNVLFVPEVPFEVLVRRQIAQLSDPCHQCLWIVYDELIKICLACESTGLKRFPSLRRHINDVVRKFLDAAAKPAETMIRNLIEMEMDYINSSHPSFIGGNKAVELAVQQMRSSKERADAEKVPISDKGQFSQTAAPRSVLNGVSNQGNHPQSNNGRPVLTVYQQRTAISRSVYV >KJB55124 pep chromosome:Graimondii2_0_v6:9:4564473:4572374:-1 gene:B456_009G064100 transcript:KJB55124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDEAVNPNNKMSTLTKTVATIGSSLIPVINKLHDILASSGTELSDISVPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLENRSPNSDDDRIEWGEFRHLPGRRFYDFSQIRREIEAETEREAGCNKGVSENQIRLKISSPNVLNMTLIDLPGITKVPVGDQPSDIEARIRRMIMAHINNENCIILAVSPANSDLATSDALQIAKLADPTGSRTVGVITKLDIMDRGTNACNFLLGKVVPLKLGYVGVVNRCQEDINKNRSIQEALAYEEQFFHDHPVYNSVSNHCGIPQLAKKLNQILEQHIRKDLPHLKAVLNSRMHAAMKELQTYGDVVESKAEQGAALLHILRRYCDDFSAMVDGKSPDMSTKELFGGARIHYLFQSMFVKLLEEVDPCEKLTDEDIVYALRNSSGLRNVLFVPEVPFEVLVRRQIAQLSDPCHQCLWIVYDELIKICLACESTGLKRFPSLRRHINDVVRKFLDAAAKPAETMIRNLIEMEMDYINSSHPSFIGGNKAVELAVQQMRSSKERADAEKVPISDKGQFSQTAAPRSVLNGVSNQGNHPQSNNGRPVLTGGSLSTRSWGISSIFGSKASSRGTAAIESPEETLHDAENMSSTIQLREPPSILRPLEMSENEATEIIITRILVKSYFDIVRKNIQDLVPKAIMHFLVNHTKRNLHNTFIQILYREILFEELLQEQDEVVARRKHAKEVLRVLRQAVKTLNEVESDVEFQHRTTNLGTDASPRLPNSPEITNGKRSSSSMLSSSKPRARKLLYPEEPPLSFSFNVGLRY >KJB57160 pep chromosome:Graimondii2_0_v6:9:11500631:11502589:-1 gene:B456_009G1512001 transcript:KJB57160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEASNQRKYPKVGAKSTGSIPPSELIEVVEAAARAGAEVVMDAVNKPRNVAYKGLADLVTDTDKMSEIAILEVIKKNFADHLILGEEGGIAGDTSSDYLWCVDPLDGTTNFAHCYPSFAVSVGVLFQGNPAAATVVEFVGGPMCWNTRTYTATA >KJB57159 pep chromosome:Graimondii2_0_v6:9:11500631:11502565:-1 gene:B456_009G1512001 transcript:KJB57159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEASNQRKYPKVGAKSTGSIPPSELIEVVEAAARAGAEVVMDAVNKPRNVAYKGLADLVTDTDKMSEIAILEVIKKNFADHLILGEEGGIAGDTSSDYLWCVDPLDGTTNFAHCYPSFAVSVGVLFQGNPAAATVVEFVGGPMCWNTRTYTATA >KJB57727 pep chromosome:Graimondii2_0_v6:9:13736995:13737707:1 gene:B456_009G178000 transcript:KJB57727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILRRSNGYSKVDKEDPEEIIHRRAQFLIHKVLERADCRRKPSFLRIRLCRLKVKIGRRLKKLRKSALVSISAARTGVYKQVMDQLKTWRRLFNPASHHGTIATLPRPLLT >KJB57985 pep chromosome:Graimondii2_0_v6:9:14504720:14509046:1 gene:B456_009G188600 transcript:KJB57985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCESSFLRGHDSDHKINHNGNNNNNNSSSRLPQASNGPAQPSYGTDSLGAAAGGVPYFSEFSLAELKAATNNFSSDFIVSESGEKAPNVVYKGRLQNDTNRRWIAIKKFAKLAWPNPKQFADEAWGVGKLRHKRLANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWAMRLRVALFIAEALDYCSSEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKHIPPSHALDMIRGKNILLLMDSHLEGNFSTEEATVVFDLASRCLQYEPRERPNTKDLVATLAPLQNKSDVSCLSYSFHPFSKVPHFPFWDVPMQGNLVCICSYVLMKERIWILPDSEDLESSFAGSILCDVGNSQT >KJB57984 pep chromosome:Graimondii2_0_v6:9:14504602:14509585:1 gene:B456_009G188600 transcript:KJB57984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCESSFLRGHDSDHKINHNGNNNNNNSSSRLPQASNGPAQPSYGTDSLGAAAGGVPYFSEFSLAELKAATNNFSSDFIVSESGEKAPNVVYKGRLQNDTNRRWIAIKKFAKLAWPNPKQFADEAWGVGKLRHKRLANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWAMRLRVALFIAEALDYCSSEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKHIPPSHALDMIRGKNILLLMDSHLEGNFSTEEATVVFDLASRCLQYEPRERPNTKDLVATLAPLQNKSDVPSYVMLGIPKHEEGPPTPQHPLSPMGDACLRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMRDMLEARKRGDVAFRDKDFKTAIECYSQFIDVGTMVSPTVYARRSLCHLLCDQPDAALRDAMQAQCVYPDWSTAFYMQAVALAKLDMHKDAADMLNEAAALEEKKQRGGKGS >KJB58919 pep chromosome:Graimondii2_0_v6:9:18183892:18191138:-1 gene:B456_009G230700 transcript:KJB58919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDNNALKWVREQKELPYSNSSLRPRMDPISNIRSGGRNFGLPSTAKFRSGHLPVTSTTLSVDEESASENDVTTDSEEDTVYGGRYSLDSSPQDERIPNGTAQRYGNMAQRRPRYTTASDYTYSDVSSSMETIMGARRGSLEGRLGRGNGRYPGRDGFTEEDESSDSAGSSEFSTTQVGSINGGIPRGRAYVSEGYASSVPSGVNVGSAAQKDLNSRKLQDEKFSDDDIPSAPPFSSSVQEAKQDSRQIPLTEIRSAKGAADSCDPKTFKSMSGVEPELNTSHKKSNECVRNDVGAETATTSGVHPARVPTFHASALGPWHAVIAYDACVRLCLHAWARGCMEAPMFLENECALLRETFGLQQVLLQSEEELMVKRSSELTSEAAAAKPKKIIGKMKVQVRKVKTTLDPPTGCSISSLSLRAPTIKLGNIRYHLTSFQSTLASRWYALRKLRVAPRLPANGSFSRQSLAYVHAGTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCVLRLKSSTEEDGKRMQPGSGETHVFFPDSLGDDLVVEVQDSKGTQFGRVLAQVATIAEDSTDKLRWWPIFREPEHEPVGKLQLYINYSTSSDDNSHLKYGSVAETVAYDLVLEVAMKVQRFQQRNLHLYGSWKWLLTEFASYYGVSDFYTKLRYLSYVMDVATPTADCLTLVHELLMPVIMKGLSKSTLSHQENRILGETKDQIEQILSLVFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYSLLHDVLSPEAQKNLCHYFQAAARKRSRRHLAETDEFITTNNEPNFLDPVAMSTAYQKMTSLCMNIKNEIFTDIEIHKQDILPSFIDLPNLSASIYSTELCSRLRAFLLACPPPGPSPPVAELVIATADFQRDLSSWNISHVKGGVEAKELFHLYIMIWIQDKRQSLLESCKLDKVKWSGVRTQYSTTPFVDEMYDRLKETLSDYEVIICRWPEYIFVLENAISDIEKAIVEALDKQYADVVTPLKENMAPKKFGLKYVQKLAKRSVCAYTVPDELGILLNSMKRMLDVLRPKIETQFKSWGSCIPDGGNTAPGERLSEVTVMLRTKFRGYLQAVVEKLAENTKLQNVTKLKKILQDSKETVGESDIQSRMEPLKEQLTSTINHLHTVFETHVFIAICRWYWDRMGQDVLSFLENRKENRSWYKGSRIAVSILDDTFASQMQQLVGNALPEKDLEPPRSIMEVRSMLCKDAHNSKDNSFFY >KJB58913 pep chromosome:Graimondii2_0_v6:9:18184156:18191138:-1 gene:B456_009G230700 transcript:KJB58913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDNNALKWVREQKELPYSNSSLRPRMDPISNIRSGGRNFGLPSTAKFRSGHLPVTSTTLSVDEESASENDVTTDSEEDTVYGGRYSLDSSPQDERIPNGTAQRYGNMAQRRPRYTTASDYTYSDVSSSMETIMGARRGSLEGRLGRGNGRYPGRDGFTEEDESSDSAGSSEFSTTQVGSINGGIPRGRAYVSEGYASSVPSGVNVGSAAQKDLNSRKLQDEKFSDDDIPSAPPFSSSVQEAKQDSRQIPLTEIRSAKGAADSCDPKTFKSMSGVEPELNTSHKKSNECVRNDVGAETATTSGVHPARVPTFHASALGPWHAVIAYDACVRLCLHAWARGCMEAPMFLENECALLRETFGLQQVLLQSEEELMVKRSSELTSEAAAAKPKKIIGKMKVQVRKVKTTLDPPTGCSISSLSLRAPTIKLGNIRYHLTSFQSTLASRWYALRKLRVAPRLPANGSFSRQSLAYVHAGTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCVLRLKSSTEEDGKRMQPGSGETHVFFPDSLGDDLVVEVQDSKGTQFGRVLAQVATIAEDSTDKLRWWPIFREPEHEPVGKLQLYINYSTSSDDNSHLKYGSVAETVAYDLVLEVAMKVQRFQQRNLHLYGSWKWLLTEFASYYGVSDFYTKLRYLSYVMDVATPTADCLTLVHELLMPVIMKGLSKSTLSHQENRILGETKDQIEQILSLVFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYSLLHDVLSPEAQKNLCHYFQAAARKRSRRHLAETDEFITTNNEPNFLDPVAMSTAYQKMTSLCMNIKNEIFTDIEIHKQDILPSFIDLPNLSASIYSTELCSRLRAFLLACPPPGPSPPVAELVIATADFQRDLSSWNISHVKGGVEAKELFHLYIMIWIQDKRQSLLESCKLDKVKWSGVRTQYSTTPFVDEMYDRLKETLSDYEVIICRWPEYIFVLENAISDIEKAIVEALDKQYADVVTPLKENMAPKKFGLKYVQKLAKRSVCAYTVPDELGILLNSMKRMLDVLRPKIETQFKSWGSCIPDGGNTAPGERLSEVTVMLRTKFRGYLQAVVEKLAENTKLQNVTKLKKILQDSKETVGESDIQSRMEPLKEQLTSTINHLHTVFETHVFIAICRWYWDRMGQDVLSFLENRKENRSWYKGSRIAVSLSL >KJB58914 pep chromosome:Graimondii2_0_v6:9:18184439:18191138:-1 gene:B456_009G230700 transcript:KJB58914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDNNALKWVREQKELPYSNSSLRPRMDPISNIRSGGRNFGLPSTAKFRSGHLPVTSTTLSVDEESASENDVTTDSEEDTVYGGRYSLDSSPQDERIPNGTAQRYGNMAQRRPRYTTASDYTYSDVSSSMETIMGARRGSLEGRLGRGNGRYPGRDGFTEEDESSDSAGSSEFSTTQVGSINGGIPRGRAYVSEGYASSVPSGVNVGSAAQKDLNSRKLQDEKFSDDDIPSAPPFSSSVQEAKQDSRQIPLTEIRSAKGAADSCDPKTFKSMSGVEPELNTSHKKSNECVRNDVGAETATTSGVHPARVPTFHASALGPWHAVIAYDACVRLCLHAWARGCMEAPMFLENECALLRETFGLQQVLLQSEEELMVKRSSELTSEAAAAKPKKIIGKMKVQVRKVKTTLDPPTGCSISSLSLRAPTIKLGNIRYHLTSFQSTLASRWYALRKLRVAPRLPANGSFSRQSLAYVHAGTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCVLRLKSSTEEDGKRMQPGSGETHVFFPDSLGDDLVVEVQDSKGTQFGRVLAQVATIAEDSTDKLRWWPIFREPEHEPVGKLQLYINYSTSSDDNSHLKYGSVAETVAYDLVLEVAMKVQRFQQRNLHLYGSWKWLLTEFASYYGVSDFYTKLRYLSYVMDVATPTADCLTLVHELLMPVIMKGLSKSTLSHQENRILGETKDQIEQILSLVFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYSLLHDVLSPEAQKNLCHYFQAAARKRSRRHLAETDEFITTNNEPNFLDPVAMSTAYQKMTSLCMNIKNEIFTDIEIHKQDILPSFIDLPNLSASIYSTELCSRLRAFLLACPPPGPSPPVAELVIATADFQRDLSSWNISHVKGGVEAKELFHLYIMIWIQDKRQSLLESCKLDKVKWSGVRTQYSTTPFVDEMYDRLKETLSDYEVIICRWPEYIFVLENAISDIEKAIVEALDKQYADVVTPLKENMAPKKFGLKYVQKLAKRSVCAYTVPDELGILLNSMKRMLDVLRPKIETQFKSWGSCIPDGGNTAPGERLSEVTVMLRTKFRGYLQAVVEKLAENTKLQNVTKLKKILQDSKETVGESDIQSRMEPLKEQLTSTINHLHTVFETHVFIAICRWYWDRMGQVSYRLMVMVV >KJB58912 pep chromosome:Graimondii2_0_v6:9:18184156:18191138:-1 gene:B456_009G230700 transcript:KJB58912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDNNALKWVREQKELPYSNSSLRPRMDPISNIRSGGRNFGLPSTAKFRSGHLPVTSTTLSVDEESASENDVTTDSEEDTVYGGRYSLDSSPQDERIPNGTAQRYGNMAQRRPRYTTASDYTYSDVSSSMETIMGARRGSLEGRLGRGNGRYPGRDGFTEEDESSDSAGSSEFSTTQVGSINGGIPRGRAYVSEGYASSVPSGVNVGSAAQKDLNSRKLQDEKFSDDDIPSAPPFSSSVQEAKQDSRQIPLTEIRSAKGAADSCDPKTFKSMSGVEPELNTSHKKSNECVRNDVGAETATTSGVHPARVPTFHASALGPWHAVIAYDACVRLCLHAWARGCMEAPMFLENECALLRETFGLQQVLLQSEEELMVKRSSELTSEAAAAKPKKIIGKMKVQVRKVKTTLDPPTGCSISSLSLRAPTIKLGNIRYHLTSFQSTLASRWYALRKLRVAPRLPANGSFSRQSLAYVHAGTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCVLRLKSSTEEDGKRMQPGSGETHVFFPDSLGDDLVVEVQDSKGTQFGRVLAQVATIAEDSTDKLRWWPIFREPEHEPVGKLQLYINYSTSSDDNSHLKYGSVAETVAYDLVLEVAMKVQRFQQRNLHLYGSWKWLLTEFASYYGVSDFYTKLRYLSYVMDVATPTADCLTLVHELLMPVIMKGLSKSTLSHQENRILGETKDQIEQILSLVFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYSLLHDVLSPEAQKNLCHYFQAAARKRSRRHLAETDEFITTNNEPNFLDPVAMSTAYQKMTSLCMNIKNEIFTDIEIHKQDILPSFIDLPNLSASIYSTELCSRLRAFLLACPPPGPSPPVAELVIATADFQRDLSSWNISHVKGGVEAKELFHLYIMIWIQDKRQSLLESCKLDKVKWSGVRTQYSTTPFVDEMYDRLKETLSDYEVIICRWPEYIFVLENAISDIEKAIVEALDKQYADVVTPLKENMAPKKFGLKYVQKLAKRSVCAYTVPDELGILLNSMKRMLDVLRPKIETQFKSWGSCIPDGGNTAPGERLSEVTVMLRTKFRGYLQAVVEKLAENTKLQNVTKLKKILQDSKETVGESDIQSRMEPLKEQLTSTINHLHTVFETHVFIAICRWYWDRMGQDVLSFLENRKENRSWYKGSRIAVSLSL >KJB58916 pep chromosome:Graimondii2_0_v6:9:18183180:18191419:-1 gene:B456_009G230700 transcript:KJB58916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDNNALKWVREQKELPYSNSSLRPRMDPISNIRSGGRNFGLPSTAKFRSGHLPVTSTTLSVDEESASENDVTTDSEEDTVYGGRYSLDSSPQDERIPNGTAQRYGNMAQRRPRYTTASDYTYSDVSSSMETIMGARRGSLEGRLGRGNGRYPGRDGFTEEDESSDSAGSSEFSTTQVGSINGGIPRGRAYVSEGYASSVPSGVNVGSAAQKDLNSRKLQDEKFSDDDIPSAPPFSSSVQEAKQDSRQIPLTEIRSAKGAADSCDPKTFKSMSGVEPELNTSHKKSNECVRNDVGAETATTSGVHPARVPTFHASALGPWHAVIAYDACVRLCLHAWARGCMEAPMFLENECALLRETFGLQQVLLQSEEELMVKRSSELTSEAAAAKPKKIIGKMKVQVRKVKTTLDPPTGCSISSLSLRAPTIKLGNIRYHLTSFQSTLASRWYALRKLRVAPRLPANGSFSRQSLAYVHAGTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCVLRLKSSTEEDGKRMQPGSGETHVFFPDSLGDDLVVEVQDSKGTQFGRVLAQVATIAEDSTDKLRWWPIFREPEHEPVGKLQLYINYSTSSDDNSHLKYGSVAETVAYDLVLEVAMKVQRFQQRNLHLYGSWKWLLTEFASYYGVSDFYTKLRYLSYVMDVATPTADCLTLVHELLMPVIMKGLSKSTLSHQENRILGETKDQIEQILSLVFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYSLLHDVLSPEAQKNLCHYFQAAARKRSRRHLAETDEFITTNNEPNFLDPVAMSTAYQKMTSLCMNIKNEIFTDIEIHKQDILPSFIDLPNLSASIYSTELCSRLRAFLLACPPPGPSPPVAELVIATADFQRDLSSWNISHVKGGVEAKELFHLYIMIWIQDKRQSLLESCKLDKVKWSGVRTQYSTTPFVDEMYDRLKETLSDYEVIICRWPEYIFVLENAISDIEKAIVEALDKQYADVVTPLKENMAPKKFGLKYVQKLAKRSVCAYTVPDELGILLNSMKRMLDVLRPKIETQFKSWGSCIPDGGNTAPGERLSEVTVMLRTKFRGYLQAVVEKLAENTKLQNVTKLKKILQDSKETVGESDIQSRMEPLKEQLTSTINHLHTVFETHVFIAICRWYWDRMGQLPGKQERE >KJB58917 pep chromosome:Graimondii2_0_v6:9:18183180:18191499:-1 gene:B456_009G230700 transcript:KJB58917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDNNALKWVREKELPYSNSSLRPRMDPISNIRSGGRNFGLPSTAKFRSGHLPVTSTTLSVDEESASENDVTTDSEEDTVYGGRYSLDSSPQDERIPNGTAQRYGNMAQRRPRYTTASDYTYSDVSSSMETIMGARRGSLEGRLGRGNGRYPGRDGFTEEDESSDSAGSSEFSTTQVGSINGGIPRGRAYVSEGYASSVPSGVNVGSAAQKDLNSRKLQDEKFSDDDIPSAPPFSSSVQEAKQDSRQIPLTEIRSAKGAADSCDPKTFKSMSGVEPELNTSHKKSNECVRNDVGAETATTSGVHPARVPTFHASALGPWHAVIAYDACVRLCLHAWARGCMEAPMFLENECALLRETFGLQQVLLQSEEELMVKRSSELTSEAAAAKPKKIIGKMKVQVRKVKTTLDPPTGCSISSLSLRAPTIKLGNIRYHLTSFQSTLASRWYALRKLRVAPRLPANGSFSRQSLAYVHAGTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCVLRLKSSTEEDGKRMQPGSGETHVFFPDSLGDDLVVEVQDSKGTQFGRVLAQVATIAEDSTDKLRWWPIFREPEHEPVGKLQLYINYSTSSDDNSHLKYGSVAETVAYDLVLEVAMKVQRFQQRNLHLYGSWKWLLTEFASYYGVSDFYTKLRYLSYVMDVATPTADCLTLVHELLMPVIMKGLSKSTLSHQENRILGETKDQIEQILSLVFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYSLLHDVLSPEAQKNLCHYFQAAARKRSRRHLAETDEFITTNNEPNFLDPVAMSTAYQKMTSLCMNIKNEIFTDIEIHKQDILPSFIDLPNLSASIYSTELCSRLRAFLLACPPPGPSPPVAELVIATADFQRDLSSWNISHVKGGVEAKELFHLYIMIWIQDKRQSLLESCKLDKVKWSGVRTQYSTTPFVDEMYDRLKETLSDYEVIICRWPEYIFVLENAISDIEKAIVEALDKQYADVVTPLKENMAPKKFGLKYVQKLAKRSVCAYTVPDELGILLNSMKRMLDVLRPKIETQFKSWGSCIPDGGNTAPGERLSEVTVMLRTKFRGYLQAVVEKLAENTKLQNVTKLKKILQDSKETVGESDIQSRMEPLKEQLTSTINHLHTVFETHVFIAICRWYWDRMGQDVLSFLENRKENRSWYKGSRIAVSILDDTFASQMQQLVGNALPEKDLEPPRSIMEVRSMLCKDAHNSKDNSFFY >KJB58915 pep chromosome:Graimondii2_0_v6:9:18184267:18191138:-1 gene:B456_009G230700 transcript:KJB58915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDNNALKWVREQKELPYSNSSLRPRMDPISNIRSGGRNFGLPSTAKFRSGHLPVTSTTLSVDEESASENDVTTDSEEDTVYGGRYSLDSSPQDERIPNGTAQRYGNMAQRRPRYTTASDYTYSDVSSSMETIMGARRGSLEGRLGRGNGRYPGRDGFTEEDESSDSAGSSEFSTTQVGSINGGIPRGRAYVSEGYASSVPSGVNVGSAAQKDLNSRKLQDEKFSDDDIPSAPPFSSSVQEAKQDSRQIPLTEIRSAKGAADSCDPKTFKSMSGVEPELNTSHKKSNECVRNDVGAETATTSGVHPARVPTFHASALGPWHAVIAYDACVRLCLHAWARGCMEAPMFLENECALLRETFGLQQVLLQSEEELMVKRSSELTSEAAAAKPKKIIGKMKVQVRKVKTTLDPPTGCSISSLSLRAPTIKLGNIRYHLTSFQSTLASRWYALRKLRVAPRLPANGSFSRQSLAYVHAGTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCVLRLKSSTEEDGKRMQPGSGETHVFFPDSLGDDLVVEVQDSKGTQFGRVLAQVATIAEDSTDKLRWWPIFREPEHEPVGKLQLYINYSTSSDDNSHLKYGSVAETVAYDLVLEVAMKVQRFQQRNLHLYGSWKWLLTEFASYYGVSDFYTKLRYLSYVMDVATPTADCLTLVHELLMPVIMKGLSKSTLSHQENRILGETKDQIEQILSLVFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYSLLHDVLSPEAQKNLCHYFQAAARKRSRRHLAETDEFITTNNEPNFLDPVAMSTAYQKMTSLCMNIKNEIFTDIEIHKQDILPSFIDLPNLSASIYSTELCSRLRAFLLACPPPGPSPPVAELVIATADFQRDLSSWNISHVKGGVEAKELFHLYIMIWIQDKRQSLLESCKLDKVKWSGVRTQYSTTPFVDEMYDRLKETLSDYEVIICRWPEYIFVLENAISDIEKAIVEALDKQYADVVTPLKENMAPKKFGLKYVQKLAKRSVCAYTVPDELGILLNSMKRMLDVLRPKIETQFKSWGSCIPDGGNTAPGERLSEVTVMLRTKFRGYLQAVVEKLAENTKLQNVTKLKKILQDSKETVGESDIQSRMEPLKEQLTSTINHLHTVFETHVFIAICRWYWDRMGQDVLSFLENRKENRSWYKGSRIAVSVSENIIFLLPC >KJB58918 pep chromosome:Graimondii2_0_v6:9:18183180:18191554:-1 gene:B456_009G230700 transcript:KJB58918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDNNALKWVREKELPYSNSSLRPRMDPISNIRSGGRNFGLPSTAKFRSGHLPVTSTTLSVDEESASENDVTTDSEEDTVYGGRYSLDSSPQDERIPNGTAQRYGNMAQRRPRYTTASDYTYSDVSSSMETIMGARRGSLEGRLGRGNGRYPGRDGFTEEDESSDSAGSSEFSTTQVGSINGGIPRGRAYVSEGYASSVPSGVNVGSAAQKDLNSRKLQDEKFSDDDIPSAPPFSSSVQEAKQDSRQIPLTEIRSAKGAADSCDPKTFKSMSGVEPELNTSHKKSNECVRNDVGAETATTSGVHPARVPTFHASALGPWHAVIAYDACVRLCLHAWARGCMEAPMFLENECALLRETFGLQQVLLQSEEELMVKRSSELTSEAAAAKPKKIIGKMKVQVRKVKTTLDPPTGCSISSLSLRAPTIKLGNIRYHLTSFQSTLASRWYALRKLRVAPRLPANGSFSRQSLAYVHAGTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCVLRLKSSTEEDGKRMQPGSGETHVFFPDSLGDDLVVEVQDSKGTQFGRVLAQVATIAEDSTDKLRWWPIFREPEHEPVGKLQLYINYSTSSDDNSHLKYGSVAETVAYDLVLEVAMKVQRFQQRNLHLYGSWKWLLTEFASYYGVSDFYTKLRYLSYVMDVATPTADCLTLVHELLMPVIMKGLSKSTLSHQENRILGETKDQIEQILSLVFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYSLLHDVLSPEAQKNLCHYFQAAARKRSRRHLAETDEFITTNNEPNFLDPVAMSTAYQKMTSLCMNIKNEIFTDIEIHKQDILPSFIDLPNLSASIYSTELCSRLRAFLLACPPPGPSPPVAELVIATADFQRDLSSWNISHVKGGVEAKELFHLYIMIWIQDKRQSLLESCKLDKVKWSGVRTQYSTTPFVDEMYDRLKETLSDYEVIICRWPEYIFVLENAISDIEKAIVEALDKQYADVVTPLKENMAPKKFGLKYVQKLAKRSVCAYTVPDELGILLNSMKRMLDVLRPKIETQFKSWGSCIPDGGNTAPGERLSEVTVMLRTKFRGYLQAVVEKLAENTKLQNVTKLKKILQDSKETVGESDIQSRMEPLKEQLTSTINHLHTVFETHVFIAICRWYWDRMGQDVLSFLENRKENRSWYKGSRIAVSILDDTFASQMQQLVGNALPEKDLEPPRSIMEVRSMLCKDAHNSKDNSFFY >KJB58911 pep chromosome:Graimondii2_0_v6:9:18183180:18191419:-1 gene:B456_009G230700 transcript:KJB58911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDNNALKWVREQKELPYSNSSLRPRMDPISNIRSGGRNFGLPSTAKFRSGHLPVTSTTLSVDEESASENDVTTDSEEDTVYGGRYSLDSSPQDERIPNGTAQRYGNMAQRRPRYTTASDYTYSDVSSSMETIMGARRGSLEGRLGRGNGRYPGRDGFTEEDESSDSAGSSEFSTTQVGSINGGIPRGRAYVSEGYASSVPSGVNVGSAAQKDEKFSDDDIPSAPPFSSSVQEAKQDSRQIPLTEIRSAKGAADSCDPKTFKSMSGVEPELNTSHKKSNECVRNDVGAETATTSGVHPARVPTFHASALGPWHAVIAYDACVRLCLHAWARGCMEAPMFLENECALLRETFGLQQVLLQSEEELMVKRSSELTSEAAAAKPKKIIGKMKVQVRKVKTTLDPPTGCSISSLSLRAPTIKLGNIRYHLTSFQSTLASRWYALRKLRVAPRLPANGSFSRQSLAYVHAGTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCVLRLKSSTEEDGKRMQPGSGETHVFFPDSLGDDLVVEVQDSKGTQFGRVLAQVATIAEDSTDKLRWWPIFREPEHEPVGKLQLYINYSTSSDDNSHLKYGSVAETVAYDLVLEVAMKVQRFQQRNLHLYGSWKWLLTEFASYYGVSDFYTKLRYLSYVMDVATPTADCLTLVHELLMPVIMKGLSKSTLSHQENRILGETKDQIEQILSLVFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYSLLHDVLSPEAQKNLCHYFQAAARKRSRRHLAETDEFITTNNEPNFLDPVAMSTAYQKMTSLCMNIKNEIFTDIEIHKQDILPSFIDLPNLSASIYSTELCSRLRAFLLACPPPGPSPPVAELVIATADFQRDLSSWNISHVKGGVEAKELFHLYIMIWIQDKRQSLLESCKLDKVKWSGVRTQYSTTPFVDEMYDRLKETLSDYEVIICRWPEYIFVLENAISDIEKAIVEALDKQYADVVTPLKENMAPKKFGLKYVQKLAKRSVCAYTVPDELGILLNSMKRMLDVLRPKIETQFKSWGSCIPDGGNTAPGERLSEVTVMLRTKFRGYLQAVVEKLAENTKLQNVTKLKKILQDSKETVGESDIQSRMEPLKEQLTSTINHLHTVFETHVFIAICRWYWDRMGQDVLSFLENRKENRSWYKGSRIAVSILDDTFASQMQQLVGNALPEKDLEPPRSIMEVRSMLCKDAHNSKDNSFFY >KJB59455 pep chromosome:Graimondii2_0_v6:9:21001298:21003686:1 gene:B456_009G255300 transcript:KJB59455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVIVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKHWCKSKKKAFVKYSKQYESEEGKKSIQAQLEKMKKYATVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFAYKFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYRVGKVGDETHSAITDYDRTEKDITPIGGFPHYGVVKSDYLMIKGGCVGPKKRVVTLRQSLINQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >KJB59457 pep chromosome:Graimondii2_0_v6:9:21001671:21003095:1 gene:B456_009G255300 transcript:KJB59457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVIVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKHWCKSKKKAFVKYSKQYESEEGKKSIQAQLEKMKKYATVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFAYKFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYRVGKVGDETHSAITDYDRLVYLSI >KJB59456 pep chromosome:Graimondii2_0_v6:9:21001298:21003686:1 gene:B456_009G255300 transcript:KJB59456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVIVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKHWCKSKKKAFVKYSKQYESEEGKKSIQAQLEKMKKYATVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFAYKFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKRVVTLRQSLINQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >KJB59458 pep chromosome:Graimondii2_0_v6:9:21001220:21003730:1 gene:B456_009G255300 transcript:KJB59458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVIVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKHWCKSKKKAFVKYSKQYESEEGKKSIQAQLEKMKKYATVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFAYKFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYRVGKVGDETHSAITDYDRTEKDITPIGGFPHYGVVKSDYLMIKGGCVGPKKRVVTLRQSLINQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >KJB54673 pep chromosome:Graimondii2_0_v6:9:3214812:3217665:1 gene:B456_009G044300 transcript:KJB54673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGLVVDESISNLTSTSGEVSASTNQEPLSKKKRTLPGNPDPEAEVIALSPKTLLATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVVRKKVYVCPETTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYRCDCGNLFSRRDSFITHRAFCDALAEETARAITAANQPGSSVSHINMTNLESQPLLHGYALNATSLNRDQDHRFNARVEAAGPDSGPPSINLSSSPFLLGHPLPHDENPSQNPSSTTLLPFQAPPASPHTSATALLQKAAQMGVTLSKPLQSPVVSMQRPYYYHHQAHMCGSTTFATSRAWGLSAREELGSEFTHSLSSFGNKARVTPGFLEQVANATKSNAGAGAGAAAPSSLLHDMMTSLSGTSELEGSSSFEHSFNGTWITKRNSNKFQENYIDHHNFSETKESQSCRSDNHEGKGSGSSSSNNIRGNDGLTRDFLGLKAFPHTDIPNLVGLNHHVNSSSAYGQPNQHSETPWQG >KJB57463 pep chromosome:Graimondii2_0_v6:9:12736232:12738594:-1 gene:B456_009G165800 transcript:KJB57463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSRSNCKSASHKLFKDRAKNRVDDLQGMFLDLQFARKESRSVDVAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSSDICRLLQLCEEEDDATSVLAAPKPEPDDQNLQVGDTAAFQEVYGVNQGQHERGFPLVDHCKDSPSGVRTMPINNLDGATQLEYHQFDLHQDFEHFYTGFNGTGFSGEDAMLHTSSYLPSICLPPSAFLGPKCALWDCPRPAQALDWSQDYCSSFHAALAMNEGPPGMGPILRPGGIGLKDGLLFAALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLDGESIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMNEFGGLKRSYYMDPQPLNHFEWHLYEYEINKCDACALYRLELKLVDGKKSAKGKSANDTVADLQKQMGRLTAEFPTDNKRYVKGRAKINAKVTVGNPYSTQNAVAPTSEKFDYGHGLQYDYLIDDLSGYYLT >KJB57461 pep chromosome:Graimondii2_0_v6:9:12735768:12739318:-1 gene:B456_009G165800 transcript:KJB57461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSRSNCKSASHKLFKDRAKNRVDDLQGMFLDLQFARKESRSVDVAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSSDICRLLQLCEEEDDATSVLAAPKPEPDDQNLQVGDTAAFQEVYGVNQGQHERGFPLVDHCKDSPSGVRTMPINNLDGATQLEYHQFDLHQDFEHFYTGFNGTGFSGEDAMLHTSSYLPSICLPPSAFLGPKCALWDCPRPAQALDWSQDYCSSFHAALAMNEGPPGMGPILRPGGIGLKDGLLFAALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLDGESIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMNEFGGLKRSYYMDPQPLNHFEWHLYEYEINKCDACALYRLELKLVDGKKSAKGKSANDTVADLQKQMGRLTAEFPTDNKRYVKGRAKINAKVTVGNPYSTQNAVAPTSEKFDYGHGLQYDYLIDDLSGYYLT >KJB57460 pep chromosome:Graimondii2_0_v6:9:12736232:12738594:-1 gene:B456_009G165800 transcript:KJB57460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSRSNCKSASHKLFKDRAKNRVDDLQGMFLDLQFARKESRSVDVAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSSDICRLLQLCEEEDDATSVLAAPKPEPDDQNLQVGDTAAFQEVYGVNQGQHERGFPLVDHCKDSPSGVRTMPINNLDGATQLEYHQFDLHQDFEHFYTGFNGTGFSGEDAMLHTSSYLPSICLPPSAFLGPKCALWDCPRPAQALDWSQDYCSSFHAALAMNEGPPGMGPILRPGGIGLKDGLLFAALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLDGESIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMNEFGGLKRSYYMDPQPLNHFEWHLYEYEINKCDACALYRLELKLVDGKKSAKGKSANDTVADLQKQMGRLTAEFPTDNKRYVKGRAKINAKVTVGNPYSTQNAVAPTSEKFDYGHGLQYDYLIDDLSGYYLT >KJB57462 pep chromosome:Graimondii2_0_v6:9:12735787:12739277:-1 gene:B456_009G165800 transcript:KJB57462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSRSNCKSASHKLFKDRAKNRVDDLQGMFLDLQFARKESRSVDVAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSSDICRLLQLCEEEDDATSVLAAPKPEPDDQNLQVGDTAAFQEVYGVNQGQHERGFPLVDHCKDSPSGVRTMPINNLDGATQLEYHQFDLHQDFEHFYTGFNGTGFSGEDAMLHTSSYLPSICLPPSAFLGPKCALWDCPRPAQALDWSQDYCSSFHAALAMNEGPPGMGPILRPGGIGLKDGLLFAALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLDGESIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMNEFGGLKRSYYMDPQPLNHFEWHLYEYEINKCDACALYRLELKLVDGKKSAKGKSANDTVADLQKQMGRLTAEFPTDNKRYVKGRAKINAKVTVGNPYSTQNAVAPTSEKFDYGHGLQYDYLIDDLSGYYLT >KJB62434 pep chromosome:Graimondii2_0_v6:9:64873633:64876986:1 gene:B456_009G417500 transcript:KJB62434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSRPAVHPVEAPPMTEAAVHGPRVRMKDIQGMPGTKGGLFLRLSQFIFSIISVSVMATTNDFRSATAFCYLVLAVGLQSLWSLSLAFVDMYALLVKRSLRNYIAIRLFTIGDGITSTLTFAAASASAGITVLIDNDLDKCSVNHCTKFETATAMAFISWFAMSPSFLLNFWSLASH >KJB60427 pep chromosome:Graimondii2_0_v6:9:27794756:27800405:-1 gene:B456_009G306200 transcript:KJB60427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLNIFIVALMPVLKVILMTGIGFFIALDRIKLLGPEANHHLNNIVFYVLSPAIAASSLAESITFQSFITLWFMPLNILLTFVFGSILAWLLIKITKTPKHFRGIVIGCCSAGNLGNLPLIMVPAVCEEPNNPFGDPSVCSLLARPYASLSLAMGGILIWSYVFGMMRLYTNEGTENETPSETVAVSSTELAVLPSTNAHTISMHPTPSDAKIIKMSAWKKIMQQMKIISKKVDLKKVFAPTAVAAIVGFIIGIVSPIRKLMIGNRAPLRVIDSTAYMFGEAAIPCMTLIMGANLLRGLKGSEVSRSVIIGIIAVRSILLPALGIGVVMAARNWGLIASENKLYQFVLMLQFAVPPAMAVGTMTQFFQLGQCETSVIMLWTYVVAALSLTLWSTFFMWILA >KJB60428 pep chromosome:Graimondii2_0_v6:9:27794764:27797425:-1 gene:B456_009G306200 transcript:KJB60428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLNIFIVALMPVLKVILMTGIGFFIALDRIKLLGPEANHHLNNIVFYVLSPAIAASSLAESITFQSFITLWFMPLNILLTFVFGSILAWLLIKITKTPKHFRGIVIGCCSAGNLGNLPLIMVPAVCEEPNNPFGDPSVCSLLARPYASLSLAMGGILIWSYVFGMMRLYTNEGTENETPSETVAVSSTELAVLPSTNAHTISMHPTPSDAKIIKMSAWKKIMQQMKIISKKVDLKKVFAPTAVAAIVGFIIGIVSPIRKLMIGNRAPLRVIDSTAYMFGEAAIPCMTLIMGANLLRGLKGSEVSRSVIIGIIAVRSILLPALGIGVVMAARNWGLIASENKLYQFVLMLQFAVPPAMAVGTMTQFFQLGQCETSVIMLWTYVVAALSLTLWSTFFMWILA >KJB60426 pep chromosome:Graimondii2_0_v6:9:27794764:27800180:-1 gene:B456_009G306200 transcript:KJB60426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLNIFIVALMPVLKVILMTGIGFFIALDRIKLLGPEANHHLNNIVFYVLSPAIAASSLAESITFQSFITLWFMPLNILLTFVFGSILAWLLIKITKTPKHFRGIVIGCCSAGNLGNLPLIMVPAVCEEPNNPFGDPSVCSLLARPYASLSLAMGGILIWSYVFGMMRLYTNEGTENETPSETVAVSSTELAVLPSTNAHTISMHPTPSDAKIIKMSAWKKIMQQMKIISKKVDLKKVFAPTAVAAIVGFIIGIVSPIRKLMIGNRAPLRVIDSTAYMFGEAAIPCMTLIMGANLLRGLKGSEVSRSVIIGIIAVRSILLPALGIGVVMAARNWGLIASENKLYQFVLMLQFAVPPAMAVGTMTQFFQLGQCETSVIMLWTYVVAALSLTLWSTFFMWILA >KJB61343 pep chromosome:Graimondii2_0_v6:9:45284196:45284727:1 gene:B456_009G352300 transcript:KJB61343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNVYSVLVVLFLTCGAVMATKENDQIIKENNCETKMGFRYVLEAFTSIFETASISNMCCSELVVLGKGCHSALVKRTLGNPLFKDLSPATITAKSIQTWNNCLALIDSPSPSA >KJB61413 pep chromosome:Graimondii2_0_v6:9:46558014:46561905:-1 gene:B456_009G356200 transcript:KJB61413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRAIMDRKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMSDDSLKSYKGERQGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATRKWTTKNTGTATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKDLMGKALMKRVMQTWLPASSALLEMMIFHLPSPSVAQKYRVENLYEGPLDDVYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIVKSDPVVSFRETVLERSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDEGRIGPRDDPKVRSKILAEEYGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGAIAEENMRGICFEVCDVVLHADAIHRGGGQIIPTARRVFYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEPGSQAAGHVAEIRKRKGLKEQMTPLSEYEDKL >KJB61626 pep chromosome:Graimondii2_0_v6:9:50020271:50026197:-1 gene:B456_009G371100 transcript:KJB61626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRNLNFDQFALLEFKDRIAGPQNVLPNNWTVSTSVCNWIGVSCGILHKRVIALNLTSMNLRGTIPPHLGNLSFLLSLDLSSNHFYGHLPKELSQLHHLRILQLSYNHLNGEIPSWLGNLQRVRRLKMKNNNFTGTIPETLVNMSNLEILNLGFNQLSGQVPSSIFKIFSLKIIDLSSNSLLGSLPNDTCQHLPKLEGLYLSWNELSGNIPSSMGKCSNLKNLSLSNNQLRGIIPKSIGNLTRLQELYLGFNNLEGQIPKEISNLLSLEMLNIQAIKGLTGQIPSSIFNSTTLEEIDLFNNNLEGNLPPITNAPKLQILLLWRNKLTGNIPNSISNASMLQIIDLARNSFSGPIPKTLGNLRHLEVFQIFDNNLITGSATDHEWSFLSSLTNCRNLRRIDVSGNPFSGVLPTYIGNLSKSLQFFSAKKCELQGIIPMEIGNLTNLFLLQLGYNKLSGLIPASIGGMRNLQSLTFYNNKLGGPISESLCGLERLYEMCLGLNKLHGSIPSCLGNISALRYLCLDSNKLSSTIPSTLWNLKDILEIDLSSNHLHNSHAIDVGNLRSLLKLNLSRNLLKGDILSTFGGLQTLVSLDLSNNILHGHIPESFDGLISLEFLDLSNNNLSGVIPKSLEKLLYLKYFNVSFNRLEGEIPSKGCFSNFSSTSFMKNYALCGPPRLLVPPCKNDIHKNSQMIILHAFRYGLPTIGIVVVLIVLTIMYRRCQRRSTTLTIKDDLLSLKTPRRISHAELSRATNGFEESNMLGSGSFGYVYKGRLSDGMEVAIKVFNLQTEGAFRSFDIECDAMCNIVHRNIVKVITCCSSVDFKALVLDYISNGNLEKWLHSENCFLNIIQRVDIMIDVAVAIEHLHNGHPTPIIHCDIKPSNILLDEDMVAHVGDFGVAKLLGEGEVMKQTMTLATIGYMAPEFGSAGIVSIKSDVYSYGIVLIETFTKKKPTDNVFVEEETIRHWMESSLPKGAIEIADVDLLRREDEYIVVKANCISSIMELALNCSAELPEERKDMKDVVVELKKIKQRLLNNIQHF >KJB54065 pep chromosome:Graimondii2_0_v6:9:1963809:1966103:-1 gene:B456_009G025400 transcript:KJB54065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIWKWYKSCLSLHPVKTQVVSSGFLWGIGDVGAQYITHFTTNTRLQYKDSEQEFIIDWKRVAITSMFGFGFVGPAGHYWYEGLDRFIKLRLQLRPKSVKFVAAKVAMDGLIFGPFDLFVFFSYMGLSTGKSVPEVKADVKRDFIPALILEGGIWPIVQIANFRYVPVRYQLLYVNIFCLLDSAFLSWIEQQKDAPWKRRFTSFISMREKGGQGRL >KJB62173 pep chromosome:Graimondii2_0_v6:9:59062033:59063752:1 gene:B456_009G404800 transcript:KJB62173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSNIGNWFKTRVTLKNLFRLFLGQLVSFVLALMSFTSSLIASLGVDAPITQTSFTYFALAVVYGSILLYRGQKLRIPWYWYLALGFVDVQGNYLVNKAFQFSSITSVTLLDCWTIVWAIFLTYFFIGTRYSLWQLGGAALCVLGLGLVLLSDAGVGGGGGSKPILGDALVIAGTLFFAMSNVGEEFCVKKKDRIEVVSMIGLFGMLVSGVELSIFELKSLESVTWSTNIILGFAGYTFSSFMFYTITPFVLKVTSFSSMAL >KJB62171 pep chromosome:Graimondii2_0_v6:9:59061917:59064545:1 gene:B456_009G404800 transcript:KJB62171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSNIGNWFKTRVTLKNLFRLFLGQLVSFVLALMSFTSSLIASLGVDAPITQTSFTYFALAVVYGSILLYRGQKLRIPWYWYLALGFVDVQGNYLVNKAFQFSSITSVTLLDCWTIVWAIFLTYFFIGTRYSLWQLGGAALCVLGLGLVLLSDAGVGGGGGSKPILGDALVIAGTLFFAMSNVGEEFCVKKKDRIEVVSMIGLFGMLVSGVELSIFELKSLESVTWSTNIILGFAGYTFSSFMFYTITPFVLKLSGATMFNLSLLTSDMWAVVVRILFYRQQVDWLYFVAFGVVVIGLVTYSTTEKVPATVVEDGSQSVEYQELNAESRDEC >KJB62172 pep chromosome:Graimondii2_0_v6:9:59062033:59063462:1 gene:B456_009G404800 transcript:KJB62172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSNIGNWFKTRVTLKNLFRLFLGQLVSFVLALMSFTSSLIASLGVDAPITQTSFTYFALAVVYGSILLYRGQKLRIPWYWYLALGFVDVQGNYLVNKAFQFSSITSVTLLDCWTIVWAIFLTYFFIGTRYSLWQLGGAALCVLGLGLVLLSDAGVGGGGGSKPILGDALVIAGTLFFAMSNVGEEFCVKKKDRIEVVSMIGLFGMLVSGVELSIFELKSLESVTWSTNIVSDLFL >KJB62169 pep chromosome:Graimondii2_0_v6:9:59061917:59064113:1 gene:B456_009G404800 transcript:KJB62169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSNIGNWFKTRVTLKNLFRLFLGQLVSFVLALMSFTSSLIASLGVDAPITQTSFTYFALAVVYGSILLYRGQKLRIPWYWYLALGFVDVQGNYLVNKAFQFSSITSVTLLDCWTIVWAIFLTYFFIGTRYSLWQLGGAALCVLGLGLVLLSDAGVGGGGGSKPILGDALVIAGTLFFAMSNVGEEFCVKKKDRIEVVSMIGLFGMLVSGVELSIFELKSLESVTWSTNIILGFAGYTFSSFMFYTITPFVLKVTSFSSMAL >KJB62170 pep chromosome:Graimondii2_0_v6:9:59061873:59064545:1 gene:B456_009G404800 transcript:KJB62170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSNIGNWFKTRVTLKNLFRLFLGQLVSFVLALMSFTSSLIASLGVDAPITQTSFTYFALAVVYGSILLYRGQKLRIPWYWYLALGFVDVQGNYLVNKAFQFSSITSVTLLDCWTIVWAIFLTYFFIGTRYSLWQLGGAALCVLGLGLVLLSDAGVGGGGGSKPILGDALVIAGTLFFAMSNVGEEFCVKKKDRIEVVSMIGLFGMLVSGVELSIFELKSLESVTWSTNIILGFAGYTFSSFMFYTITPFVLKLSGATMFNLSLLTSDMWAVVVRILFYRQQVDWLYFVAFGVVVIGLVTYSTTEKVPATVVEDGSQSVEYQELNAESRDEC >KJB62168 pep chromosome:Graimondii2_0_v6:9:59061917:59064545:1 gene:B456_009G404800 transcript:KJB62168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSNIGNWFKTRVTLKNLFRLFLGQLVSFVLALMSFTSSLIASLGVDAPITQTSFTYFALAVVYGSILLYRGQKLRIPWYWYLALGFVDVQGNYLVNKAFQFSSITSVTLLDCWTIVWAIFLTYFFIGTRYSLWQLGGAALCVLGLGLVLLSDAGVGGGGGSKPILGDALVIAGTLFFAMSNVGEEFCVKKKDRIEVVSMIGLFGMLVSGVELSIFELKSLESVTWSTNIILGFAGYTFSSFMFYTITPFVLKLSGATMFNLSLLTSDMWAVVVRILFYRQQVDWLYFVAFGVVVIGLVTYSTTEKVPATVVEDGSQSVEYQELNAESRDEC >KJB56757 pep chromosome:Graimondii2_0_v6:9:10187690:10189915:1 gene:B456_009G135400 transcript:KJB56757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKDDEVKKAQVVEARARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIRAGKSDKEIYKKLEEDYGETVLYAPKFDLQTAALWLSPLLVAGAAGGMWAYNKHRQKTNVHIMALNLVRGVPLTPKEKETMLDLLTPPPPQGVTPSSLWSRWRGW >KJB56756 pep chromosome:Graimondii2_0_v6:9:10187883:10189915:1 gene:B456_009G135400 transcript:KJB56756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKDDEVKKAQVVEARARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIRAGKSDKEIYKKLEEDYGETVLYAPKFDLQTAALWLSPLLVAGAAGGMWAYNKHRQKTNVHIMALNLVRGVPLTPKEKETMLDLLTPPPPQGVTPSSLWSRWRGW >KJB56758 pep chromosome:Graimondii2_0_v6:9:10188016:10189718:1 gene:B456_009G135400 transcript:KJB56758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKDDEVKKAQVVEARARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIRAGKSDKEIYKKLEEDYGETVLYAPKFDLQTAALWLSPLLVAGAAGGMWAYNKHRQKTNVHIMALNLVRGVPLTPKEKETMLDLLTPPPPQGVTPSSLWSRWRGW >KJB58379 pep chromosome:Graimondii2_0_v6:9:16072583:16075200:-1 gene:B456_009G207400 transcript:KJB58379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSEDCRSREEEIFWTHFQCIHFSQFLRGDFLHQLEIPEKFAKNIRKKLPETVTVKGPSGIIWDLGLTADGDTLFFNDGWRNFVLDHSLEENDLLIFKYNGLSHFDVLMFEGQSLCEKGSSYFVRKCVHPESENGYQNKRKIDENPDEIVHKSSQCGLESSPEKSTNNDIGTAPSRGPINSAPTNKKMRYAGSSTKSIYARHLGGKERCTFPGEVRFETGDFSPHPTCYNGPLTQFEKANAIAKAQEALTRDGFMVVMKPTHVWRRFYMAIPVAWTAKHHLKENTDIILRINKRTWRTRYNYHKNRDCGGLSGGWKCFVNDNNLIEYDVCVFEPSNIGGKPIILDVIIIRVLDSAVQAPVPLTITHPASC >KJB58372 pep chromosome:Graimondii2_0_v6:9:16072583:16074696:-1 gene:B456_009G207400 transcript:KJB58372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGQSLCEKGSSYFVRKCVHPESENGYQNKRKIDENPDEIVHKSSQCGLESSPEKSTNNDIGTAPSRGPINSAPTNKKMRYAGSSTKSIYARHLGGKERCTFPGEVRFETEFDGAIMDEGDFSPHPTCYNGPLTQFEKANAIAKAQEALTRDGFMVVMKPTHVWRRFYMAIPVAWTAKHHLKENTDIILRINKRTWRTRYNYHKNRDCGGLSGGWKCFVNDNNLIEYDVCVFEPSNIGGKPIILDVIIIRVLDSAVQAPVPLTITHPASC >KJB58375 pep chromosome:Graimondii2_0_v6:9:16072583:16074700:-1 gene:B456_009G207400 transcript:KJB58375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGQSLCEKGSSYFVRKCVHPESENGYQNKRKIDENPDEIVHKSSQCGLESSPEKSTNNDIGTAPSRGPINSAPTNKKMRYAGSSTKSIYARHLGGKERCTFPGEVRFETEFDGAIMDEGDFSPHPTCYNGPLTQFEKANAIAKAQEALTRDGFMVVMKPTHVWRRFYMAIPVAWTAKHHLKENTDIILRINKRTWRTRYNYHKNRDCGGLSGGWKCFVNDNNLIEYDVCVFEPSNIGGKPIILDVIIIRVLDSAVQAPVPLTITHPASC >KJB58373 pep chromosome:Graimondii2_0_v6:9:16072583:16075200:-1 gene:B456_009G207400 transcript:KJB58373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGQSLCEKGSSYFVRKCVHPESENGYQNKRKIDENPDEIVHKSSQCGLESSPEKSTNNDIGTAPSRGPINSAPTNKKMRYAGSSTKSIYARHLGGKERCTFPGEVRFETEFDGAIMDEGDFSPHPTCYNGPLTQFEKANAIAKAQEALTRDGFMVVMKPTHVWRRFYMAIPVAWTAKHHLKENTDIILRINKRTWRTRYNYHKNRDCGGLSGGWKCFVNDNNLIEYDVCVFEPSNIGGKPIILDVIIIRVLDSAVQAPVPLTITHPASC >KJB58380 pep chromosome:Graimondii2_0_v6:9:16073056:16074843:-1 gene:B456_009G207400 transcript:KJB58380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSEDCRSREEEIFWTHFQCIHFSQFLRGDFLHQLEIPEKFAKNIRKKLPETVTVKGPSGIIWDLGLTADGDTLFFNDGWRNFVLDHSLEENDLLIFKYNGLSHFDVLMFEGQSLCEKGSSYFVRKCVHPESENGYQNKRKIDENPDEIVHKSSQCGLESSPEKSTNNDIGTAPSRGPINSAPTNKKMRYAGSSTKSIYARHLGGKERCTFPGEVRFETEFDGAIMDEGDFSPHPTCYNGPLTQFEKANAIAKAQEALTRDGFMVVMKPTHVWRRFYMAIPVAWTAKHHLKENTDIILRINKRTWRTRYNYHKNRDCGGLSGGWKCFVNDNNLIEYDVCVFEPSNIGGKPIILDVIIIRVLDSAVQAPVPLTITHPASC >KJB58374 pep chromosome:Graimondii2_0_v6:9:16073157:16074843:-1 gene:B456_009G207400 transcript:KJB58374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSEDCRSREEEIFWTHFQCIHFSQFLRGDFLHQLEIPEKFAKNIRKKLPETVTVKGPSGIIWDLGLTADGDTLFFNDGWRNFVLDHSLEENDLLIFKYNGLSHFDVLMFEGQSLCEKGSSYFVRKCVHPESENGYQNKRKIDENPDEIVHKSSQCGLESSPEKSTNNDIGTAPSRGPINSAPTNKKMRYAGSSTKSIYARHLGGKERCTFPGEVRFETEFDGAIMDEGDFSPHPTCYNGPLTQFEKANAIAKAQEALTRDGFMVVMKPTHVWRRFYMAIPVAWTAKHHLKENTDIILRINKRTWRTSLPI >KJB58381 pep chromosome:Graimondii2_0_v6:9:16072583:16075280:-1 gene:B456_009G207400 transcript:KJB58381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSEDCRSREEEIFWTHFQCIHFSQFLRGDFLHQLEIPEKFAKNIRKKLPETVTVKGPSGIIWDLGLTADGDTLFFNDGWRNFVLDHSLEENDLLIFKYNGLSHFDVLMFEGQSLCEKGSSYFVRKCVHPESENGYQNKRKIDENPDEIVHKSSQCGLESSPEKSTNNDIGTAPSRGPINSAPTNKKMRYAGSSTKSIYARHLGGKERCTFPGEVRFETEFDGAIMDEGDFSPHPTCYNGPLTQFEKANAIAKAQEALTRDGFMVVMKPTHVWRRFYMAIPVAWTAKHHLKENTDIILRINKRTWRTRRKTYHPGCYHHSGSGLSSSSTSTSDHNTPCLLLNRSS >KJB58376 pep chromosome:Graimondii2_0_v6:9:16073859:16074843:-1 gene:B456_009G207400 transcript:KJB58376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSEDCRSREEEIFWTHFQCIHFSQFLRGDFLHQLEIPEKFAKNIRKKLPETVTVKGPSGIIWDLGLTADGDTLFFNDGWRNFVLDHSLEENDLLIFKYNGLSHFDVLMFEGQSLCEKGSSYFVRKCVHPESENGYQNKRKIDENPDEIVHKSSQCGLESSPEKSTNNDIGTAPSRGPINSAPTNKKMRYAGSSTKSIYARHLGGKERCTFPGEVRFETGRVEALLETFSVHKTCFPR >KJB58377 pep chromosome:Graimondii2_0_v6:9:16072583:16075200:-1 gene:B456_009G207400 transcript:KJB58377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSEDCRSREEEIFWTHFQCIHFSQFLRGDFLHQLEIPEKFAKNIRKKLPETVTVKGPSGIIWDLGLTADGDTLFFNDGWRNFVLDHSLEENDLLIFKYNGLSHFDVLMFEGQSLCEKGSSYFVRKCVHPESENGYQNKRKIDENPDEIVHKSSQCGLESSPEKSTNNDIGTAPSRGPINSAPTNKKMRYAGSSTKSIYARHLGGKERCTFPGEVRFETEFDGAIMDEGDFSPHPTCYNGPLTQFEKANAIAKAQEALTRDGFMVVMKPTHVWRRFYMAIPVAWTAKHHLKENTDIILRINKRTWRTS >KJB58378 pep chromosome:Graimondii2_0_v6:9:16073440:16074843:-1 gene:B456_009G207400 transcript:KJB58378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSEDCRSREEEIFWTHFQCIHFSQFLRGDFLHQLEIPEKFAKNIRKKLPETVTVKGPSGIIWDLGLTADGDTLFFNDGWRNFVLDHSLEENDLLIFKYNGLSHFDVLMFEGQSLCEKGSSYFVRKCVHPESENGYQNKRKIDENPDEIVHKSSQCGLESSPEKSTNNDIGTAPSRGPINSAPTNKKMRYAGSSTKSIYARHLGGKERCTFPGEVRFETEFDGAIMDEGDFSPHPTCYNGPLTQFEKANAIAKAQEALTRDGFMVVMKPTHVWRRFYMVNFIPELD >KJB54726 pep chromosome:Graimondii2_0_v6:9:3384840:3387144:1 gene:B456_009G046600 transcript:KJB54726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICISVASSEIHEADDCHHENVVFLAENIASLGTQGLGSLYTKQGSKGLNQDAAILYQDYGIEGGAFCGVFDGHGKNGHLVSNMVRNRLPSLLLNQKNALEKIRTAADDKSFQHQVAKTDVKSIPNKDFLNWQEACIGAFKVLDKEIMLQENLDCSTSGTTAVVVVRQSEDLVIANVGDSRAVLGAITENGIKAVQLTTDLKPGLPNEAERIRNCNGRVLALKGEPHIQRVWLPHEDSPGLAMSRAFGDFLLKNHGIIAVPDVFRHHLTPDDQFIVLATDGVWDVLNNNQVASIVMEAESEQEAAKAVVEAATASWKKKFPSSKVDDCTVVCLFFHKKQKPS >KJB59293 pep chromosome:Graimondii2_0_v6:9:19976945:19978075:-1 gene:B456_009G247900 transcript:KJB59293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVVSSVFSGFIQAIGKLFRSPLDFLAGKSCSSICGSTWDLICYIENFCVANILKLIMVLVLSYIVLLYLYLLYKVGICQCIAHGLCRMVWGCISCWFSSWEFCCTFLCHKLRNIKRIDRRRRRPRRRKRVTDPSEDDESFSYGSSRPMEVSNHSVSGRLRNYKGVHLRRSLRPRNHRINVGISRDALYKRKPIKHINTVHDIRVTHTSKFAHKGSSYKGRPHHSRRSL >KJB60612 pep chromosome:Graimondii2_0_v6:9:29696235:29696621:-1 gene:B456_009G315200 transcript:KJB60612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVAEAFLSASIEVLLDRIVYADVLRLIKGKKLEVVLLKKLKPTLMSVKAVLDDAENKQIANPNVKSWTDELKDAVYDAEDLLDEISTEALRNKIESEYQTTHVKPKFCPGPKTLKPNTSKKTHSPK >KJB57336 pep chromosome:Graimondii2_0_v6:9:12149255:12150542:-1 gene:B456_009G158400 transcript:KJB57336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLRVSSMLLLSLMAAASANNFHQDVEITWGGGHARILGRGNLLRLTMDKTAGGAGFKSNRDYLFGRFNMQMKLIAGNSAGTVTTFYLSSEGPSHDEIDLEFLGNKSGSPYTLHTNVFTQGQGGREEGFHLWFDPTKHFHTYSIVWNPKNIIILVDSIPIRVFNNEESIGVPYPNNQRMKLYASLWDADDWATRGGRVKTDWSKAPFVAYYRNFVAKSDWEMQGLSARGKKLLSWVRKRCRIYYYCNDERHGRGSRHPPPPECKA >KJB59961 pep chromosome:Graimondii2_0_v6:9:23977673:23978935:1 gene:B456_009G282800 transcript:KJB59961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQIFMLDFRKVLFGLNFRYEKREFHCKAVCNAQKMPVRVMIHQPASSFYEAQTGEFILEAEELLKLRESLTRVYVQRTGKPLWVVSEDMERDVFMSATEAQAHGIVDLVA >KJB63158 pep chromosome:Graimondii2_0_v6:9:70647337:70653819:1 gene:B456_009G455400 transcript:KJB63158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRELVTGGAACAVPGSSSSSSNPLGAFANALIGSSSKTQGVLKEIPNAAAASPHAQFYPHADDPVAALPGSELDRPFLQSNPQGSEFIRGFRTADANGLADAWEEIQRQPHFDRVYEGATPAPPIQPSLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHIFADKSEEFINAQVNALLSSLEIENDIHARGPMPGRFRELEDYWNESQGVLKPGAHAADGWVTEFSQNRPHHGDLEAWAHSFEQKHGAGGWASEFEQEQAQLTSVDQMRGGNIESLAAIQQTRMLAHTLSQNSDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASENWATEYQQQYNGGASWADEFVHDEVFRGPDHWANEFGAERLQQESVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWANSYDEFLNEQLAAKQRSDASRGVYVFSDMNPYVGHQNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQPAALKYLYGWLRHHPKYGTLAPPELANSLYYADVARLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTALKLKPNDYSLWNKLGATQANSVQSADAILAYQQVASS >KJB63153 pep chromosome:Graimondii2_0_v6:9:70647337:70649844:1 gene:B456_009G455400 transcript:KJB63153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRELVTGGAACAVPGSSSSSSNPLGAFANALIGSSSKTQGVLKEIPNAAAASPHAQFYPHADDPVAALPGSELDRPFLQSNPQGSEFIRGFRTADANGLADAWEEIQRQPHFDRVYEGATPAPPIQPSLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHIFADKSEEFINAQVNALLSSLEIENDIHARGPMPGRFRELEDYWNESQGVLKPGAHAADGWVTEFSQNRPHHGDLEAWAHSFEQKHGAGGWASEFEQEQAQLTSVDQMRGGNIESLAAIQQTRMLAHTLSQNSDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASENWATEYQQQYNGGASWADEFVHDEASRDLVEAKEAYRLICPDNQS >KJB63163 pep chromosome:Graimondii2_0_v6:9:70647337:70654845:1 gene:B456_009G455400 transcript:KJB63163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRELVTGGAACAVPGSSSSSSNPLGAFANALIGSSSKTQGVLKEIPNAAAASPHAQFYPHADDPVAALPGSELDRPFLQSNPQGSEFIRGFRTADANGLADAWEEIQRQPHFDRVYEGATPAPPIQPSLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHIFADKSEEFINAQVNALLSSLEIENDIHARGPMPGRFRELEDYWNESQGVLKPGAHAADGWVTEFSQNRPHHGDLEAWAHSFEQKHGAGGWASEFEQEQAQLTSVDQMRGGNIESLAAIQQTRMLAHTLSQNSDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASENWATEYQQQYNGGASWADEFVHDEVFRGPDHWANEFGAERLQQESVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWANSYDEFLNEQLAAKQRSDASRGVYVFSDMNPYVGHQNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQPAALKYLYGWLRHHPKYGTLAPPELANSLYYADVARLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTALKLKPNDYSLWNKLGATQANSVQSADAILAYQQVASS >KJB63159 pep chromosome:Graimondii2_0_v6:9:70647337:70654629:1 gene:B456_009G455400 transcript:KJB63159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRELVTGGAACAVPGSSSSSSNPLGAFANALIGSSSKTQQGVLKEIPNAAAASPHAQFYPHADDPVAALPGSELDRPFLQSNPQGSEFIRGFRTADANGLADAWEEIQRQPHFDRVYEGATPAPPIQPSLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHIFADKSEEFINAQVNALLSSLEIENDIHARGPMPGRFRELEDYWNESQGVLKPGAHAADGWVTEFSQNRPHHGDLEAWAHSFEQKHGAGGWASEFEQEQAQLTSVDQMRGGNIESLAAIQQTRMLAHTLSQNSDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASENWATEYQQQYNGGASWADEFVHDEVFRGPDHWANEFGAERLQQESVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWANSYDEFLNEQLAAKQRSDASRGVYVFSDMNPYVGHQNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQPAALKYLYGWLRHHPKYGTLAPPELANSLYYADVARLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTALKLKPNDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMYEESIRYYVRALAMNPKADNAWQYLRISLSCVSRNDMVEACDSRNLEILQKEFPL >KJB63157 pep chromosome:Graimondii2_0_v6:9:70647569:70652419:1 gene:B456_009G455400 transcript:KJB63157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRELVTGGAACAVPGSSSSSSNPLGAFANALIGSSSKTQGVLKEIPNAAAASPHAQFYPHADDPVAALPGSELDRPFLQSNPQGSEFIRGFRTADANGLADAWEEIQRQPHFDRVYEGATPAPPIQPSLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHIFADKSEEFINAQVNALLSSLEIENDIHARGPMPGRFRELEDYWNESQGVLKPGAHAADGWVTEFSQNRPHHGDLEAWAHSFEQKHGAGGWASEFEQEQAQLTSVDQMRGGNIESLAAIQQTRMLAHTLSQNSDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASENWATEYQQQYNGGASWADEFVHDEVFRGPDHWANEFGAERLQQESVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWANSYDEFLNEQLAAKQRSDASRGVYVFSDMNPYVGHQNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQPAALKYLYGWLRHHPKYGTLAPPELANSLYYADVARLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTALKLKPNDYSLWNKLGATQANSVQSADAILAYQQVASS >KJB63162 pep chromosome:Graimondii2_0_v6:9:70647306:70654720:1 gene:B456_009G455400 transcript:KJB63162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRELVTGGAACAVPGSSSSSSNPLGAFANALIGSSSKTQGVLKEIPNAAAASPHAQFYPHADDPVAALPGSELDRPFLQSNPQGSEFIRGFRTADANGLADAWEEIQRQPHFDRVYEGATPAPPIQPSLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHIFADKSEEFINAQVNALLSSLEIENDIHARGPMPGRFRELEDYWNESQGVLKPGAHAADGWVTEFSQNRPHHGDLEAWAHSFEQKHGAGGWASEFEQEQAQLTSVDQMRGGNIESLAAIQQTRMLAHTLSQNSDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASENWATEYQQQYNGGASWADEFVHDEVFRGPDHWANEFGAERLQQESVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWANSYDEFLNEQLAAKQRSDASRGVYVFSDMNPYVGHQNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQPAALKYLYGWLRHHPKYGTLAPPELANSLYYADALDLKPNYVRAWANMGISYANQGMYEESIRYYVRALAMNPKADNAWQYLRISLSCVSRNDMVEACDSRNLEILQKEFPL >KJB63150 pep chromosome:Graimondii2_0_v6:9:70647337:70654629:1 gene:B456_009G455400 transcript:KJB63150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRELVTGGAACAVPGSSSSSSNPLGAFANALIGSSSKTQGVLKEIPNAAAASPHAQFYPHADDPVAALPGSELDRPFLQSNPQGSEFIRGFRTADANGLADAWEEIQRQPHFDRVYEGATPAPPIQPSLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHIFADKSEEFINAQVNALLSSLEIENDIHARGPMPGRFRELEDYWNESQGVLKPGAHAADGWVTEFSQNRPHHGDLEAWAHSFEQKHGAGGWASEFEQEQAQLTSVDQMRGGNIESLAAIQQTRMLAHTLSQNSDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASENWATEYQQQYNGGASWADEFVHDEVFRGPDHWANEFGAERLQQESVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWANSYDEFLNEQLAAKQRSDASRGVYVFSDMNPYVGHQNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQPAALKYLYGWLRHHPKYGTLAPPELANSLYYADVARLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTALKLKPNDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMYEESIRYYVRALAMNPKADNAWQYLRISLSCVSRNDMVEACDSRNLEILQKEFPL >KJB63154 pep chromosome:Graimondii2_0_v6:9:70647311:70649853:1 gene:B456_009G455400 transcript:KJB63154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRELVTGGAACAVPGSSSSSSNPLGAFANALIGSSSKTQGVLKEIPNAAAASPHAQFYPHADDPVAALPGSELDRPFLQSNPQGSEFIRGFRTADANGLADAWEEIQRQPHFDRVYEGATPAPPIQPSLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHIFADKSEEFINAQVNALLSSLEIENDIHARGPMPGRFRELEDYWNESQGVLKPGAHAADGWVTEFSQNRPHHGDLEAWAHSFEQKHGAGGWASEFEQEQAQLTSVDQMRGGNIESLAAIQQTRMLAHTLSQNSDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASENWATEYQQQYNGGASWADEFVHDEASRDLVEAKEAYRLICPDNQS >KJB63161 pep chromosome:Graimondii2_0_v6:9:70647229:70654720:1 gene:B456_009G455400 transcript:KJB63161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRELVTGGAACAVPGSSSSSSNPLGAFANALIGSSSKTQGVLKEIPNAAAASPHAQFYPHADDPVAALPGSELDRPFLQSNPQGSEFIRGFRTADANGLADAWEEIQRQPHFDRVYEGATPAPPIQPSLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHIFADKSEEFINAQVNALLSSLEIENDIHARGPMPGRFRELEDYWNESQGVLKPGAHAADGWVTEFSQNRPHHGDLEAWAHSFEQKHGAGGWASEFEQEQAQLTSVDQMRGGNIESLAAIQQTRMLAHTLSQNSDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASENWATEYQQQYNGGASWADEFVHDEVFRGPDHWANEFGAERLQQESVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWANSYDEFLNEQLAAKQRSDASRGVYVFSDMNPYVGHQNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQPAALKYLYGWLRHHPKYGTLAPPELANSLYYADVARLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTALKLKPNDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMYEESIRYYVRALAMNPKADNAWQYLRISLSCVSRNDMVEACDSRNLEILQKEFPL >KJB63151 pep chromosome:Graimondii2_0_v6:9:70647306:70654720:1 gene:B456_009G455400 transcript:KJB63151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRELVTGGAACAVPGSSSSSSNPLGAFANALIGSSSKTQGVLKEIPNAAAASPHAQFYPHADDPVAALPGSELDRPFLQSNPQGSEFIRGFRTADANGLADAWEEIQRQPHFDRVYEGATPAPPIQPSLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHIFADKSEEFINAQVNALLSSLEIENDIHARGPMPGRFRELEDYWNESQGVLKPGAHAADGWVTEFSQNRPHHGDLEAWAHSFEQKHGAGGWASEFEQEQAQLTSVDQMRGGNIESLAAIQQTRMLAHTLSQNSDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASENWATEYQQQYNGGASWADEFVHDEVFRGPDHWANEFGAERLQQESVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWANSYDEFLNEQLAAKQRSDASRGVYVFSDMNPYVGHQNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNGTRFEAKLCACLGKHGYQLCQPGYV >KJB63156 pep chromosome:Graimondii2_0_v6:9:70647337:70651151:1 gene:B456_009G455400 transcript:KJB63156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRELVTGGAACAVPGSSSSSSNPLGAFANALIGSSSKTQGVLKEIPNAAAASPHAQFYPHADDPVAALPGSELDRPFLQSNPQGSEFIRGFRTADANGLADAWEEIQRQPHFDRVYEGATPAPPIQPSLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHIFADKSEEFINAQVNALLSSLEIENDIHARGPMPGRFRELEDYWNESQGVLKPGAHAADGWVTEFSQNRPHHGDLEAWAHSFEQKHGAGGWASEFEQEQAQLTSVDQMRGGNIESLAAIQQTRMLAHTLSQNSDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASENWATEYQQQYNGGASWADEFVHDEVFRGPDHWANEFGAERLQQESVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWANSYDE >KJB63152 pep chromosome:Graimondii2_0_v6:9:70647337:70654629:1 gene:B456_009G455400 transcript:KJB63152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRELVTGGAACAVPGSSSSSSNPLGAFANALIGSSSKTQGVLKEIPNAAAASPHAQFYPHADDPVAALPGSELDRPFLQSNPQGSEFIRGFRTADANGLADAWEEIQRQPHFDRVYEGATPAPPIQPSLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHIFADKSEEFINAQVNALLSSLEIENDIHARGPMPGRFRELEDYWNESQGVLKPGAHAADGWVTEFSQNRPHHGDLEAWAHSFEQKHGAGGWASEFEQEQAQLTSVDQMRGGNIESLAAIQQTRMLAHTLSQNSDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASENWATEYQQQYNGGASWADEFVHDEVFRGPDHWANEFGAERLQQESVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWANSYDEFLNEQLAAKQRSDASRGVYVFSDMNPYVGHQNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQPAALKYLYGWLRHHPKYGTLAPPELANSLYYADALDLKPNYVRAWANMGISYANQGMYEESIRYYVRALAMNPKADNAWQYLRISLSCVSRNDMVEACDSRNLEILQKEFPL >KJB63155 pep chromosome:Graimondii2_0_v6:9:70647337:70651172:1 gene:B456_009G455400 transcript:KJB63155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRELVTGGAACAVPGSSSSSSNPLGAFANALIGSSSKTQGVLKEIPNAAAASPHAQFYPHADDPVAALPGSELDRPFLQSNPQGSEFIRGFRTADANGLADAWEEIQRQPHFDRVYEGATPAPPIQPSLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHIFADKSEEFINAQVNALLSSLEIENDIHARGPMPGRFRELEDYWNESQGVLKPGAHAADGWVTEFSQNRPHHGDLEAWAHSFEQKHGAGGWASEFEQEQAQLTSVDQMRGGNIESLAAIQQTRMLAHTLSQNSDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASENWATEYQQQYNGGASWADEFVHDEVFRGPDHWANEFGAERLQQESVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWANSYDE >KJB63160 pep chromosome:Graimondii2_0_v6:9:70647569:70653942:1 gene:B456_009G455400 transcript:KJB63160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRELVTGGAACAVPGSSSSSSNPLGAFANALIGSSSKTQGVLKEIPNAAAASPHAQFYPHADDPVAALPGSELDRPFLQSNPQGSEFIRGFRTADANGLADAWEEIQRQPHFDRVYEGATPAPPIQPSLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHIFADKSEEFINAQVNALLSSLEIENDIHARGPMPGRFRELEDYWNESQGVLKPGAHAADGWVTEFSQNRPHHGDLEAWAHSFEQKHGAGGWASEFEQEQAQLTSVDQMRGGNIESLAAIQQTRMLAHTLSQNSDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASENWATEYQQQYNGGASWADEFVHDEVFRGPDHWANEFGAERLQQESVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWANSYDEFLNEQLAAKQRSDASRGVYVFSDMNPYVGHQNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQPAALKYLYGWLRHHPKYGTLAPPELANSLYYADVSTRFEAKLCACLGKHGYQLCQPGYV >KJB59316 pep chromosome:Graimondii2_0_v6:9:20130286:20139317:1 gene:B456_009G249000 transcript:KJB59316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPSYIHHHHHHANHPRYVPFSPTPPLHPHRPPPQPLPPYQQSPTNLHPSRHHLPPQIRPPPPPPPLPQQNQQQTYQPLPAPPPPLPRQQYSNHPPYNPHHPQYSSSSNFNSNPKPTHVSHQFHDVPQRRLPEFDTRPDYWPENRVPRPHPVSNLDREALYHQFDRRPASPVIDRFMHDLEGTSRFRDLELNQREREGRVHNDRWISDRSSRDFGIVSIGFESNSNNSRFDHEATENIRWGSRLREPLIESGNDEINERDEMRVFSRKNDYCDPEAERFSDKGSGREGNHEFNRTPRKQIQKKSALLRIQKVKLSHRSREDERPHYLGYHNEGKTGTFRGKDSVLQSDHGMDEKKREGTPVELDVSFKSNSLVAKAIVTSSPASNFDSNLMPRNTKIRKLTTFDMVSSSAQPNKGSESTAKLGGSTSAVKSGSGPVDSKQSEGKIKSSDTGKAQDGIRKPCSKGTKVFLRKGKVKKSPKVTVTEDAPSSDKKLRPLEGKGTTPCIGSKGDGGVETSSNSVNILVGENKVGGTVKSTVSDKTTATVGKSSSLKANKKKIIVRKVVKKVVSSPLNLGNSELAKKGDQLVKTDISIRRASATPVAEKRVLPLKMKVASASGDSVQGVDLECSPEESALILEDDKVNEASKGTGSKKVSTDVDPGSSVSPKITRKKNSSTVALNSSSQGESNVDHGSTNAGNSVPGLHIISNIKEDHTEKPNETITSGSFGVEDLNTRFYHNENNINYGLSRSEDIKAHGGIVDIGSSSVAMPISTGFDCGSSSSLEKNIVCDIGDANSGSRHVCTTPSSPVVDDRAKGGLPEANCSVGSDKMPLLPCMEETYVSGGSVYGDCFNHDKSTTSTPDIVYVNTGERNHEIGYDLVLSLGFSGTGIPNAVESVECRDKYAANIHKRKVGVSELDLSSSALASISVGSADVLTSANCVESTICASEIDLNPAEPMVGAIGLLDVGLEHSRNKDSVLQGSSSNNTFPEIGGSADGKSPEKKKRKISTSSSSLTSPVISQSVVVSDIFKSAAQIPSNFTDDLLQLEPEVKVSSIDDLHAEGIDLLHVNSSVAGPSEDVGSFSDACRGNPPKIDPSAFAESVAPSSPCLHPLELGGEQFSNGTPVSAISNHQSDAMDIEGDDRGKVLVDTSEEQNIISSELTQCRIIPEHVSFGLDKRFAGIDADDDNHLPLKDDLPSTSNSLISGVDANEVSATNSNDEAMPAPDILCDVGSLSNLVLSTSTCKGHLFNSEEKTEKLSYDKPVIEGSCNSSAHVSDPQHSKTILKSKDVIQTNQSSAGKAGLLPSYDSESTISLNFLSGETQGRKPLLSHVGPKSYPTRSSFVSSASKNATSSTKITKPRTWRRTDNSSAHPLSGNKPSLSANPMQKQMPTYIRKGNSLVRKPTPVPAPPQGSHSSSSSVYRLKSGIVDEVKKGTGPNNRADAVDLRTAGANTTFERPTTPPLSSVTKVPKHISNSSGECTYSPLAEPSASDFNETTTNHPSSMEINDELKSPEDGQKTLETLNRNGSANNLEVLNEQNESGLIPSNEKRVTYVKPKSNQLVATSDSDHTSIFDVDKNQSLSASSDGYYKKRKNQLIRTALESHMKQAVTSSDDISNSVREIAAKVISSRTFGKRRSNKVVAKTHKPSKFSLVWTPHSARLSNNDGSSLCYPKVRPQLFPWKRMAHKRSFKLNSVSSYSSSLSTIGRKMLLLRKRNTVYTRSINGFSIHKSKVLSVGGSSLKWSKSIERHSRKANEEATLAVAEAERKKREQSTVSRTGKKGLSCHKVHGTEVRRGERIFRIGSVRYKMDSSRRSLQRISDDASSCSASQQSENSTKKSYVPRRLVIGNDEYVRIGNGNQLVRDPKKRTRVLASEKVRWSLHTARLRLVKKRKYCQFFTRFGKCNKDDGKCPYIHDPSKISVCTKFLKGLCSNPNCKLTHKVIPERMPDCSYFLQGVSFLNYAFLFLILDMQILTELLAGLCTNENCPYRHVHVNPNASTCEGFLRGYCADGNECRKKHSYVCPNFEATGSCPLGSTCKLHHPKNRSKAKKSKRSMEHNTARGRYFGIDISKPKRMVSERQQEVLEEDNICFDGKFSDYISLGVSDDEVGGLHQANCDETSFGDNDSTDLQSEDLDELIKPIRIMSECKITESFLVTESSSGKHLAIQ >KJB59314 pep chromosome:Graimondii2_0_v6:9:20130286:20139317:1 gene:B456_009G249000 transcript:KJB59314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPSYIHHHHHHANHPRYVPFSPTPPLHPHRPPPQPLPPYQQSPTNLHPSRHHLPPQIRPPPPPPPLPQQNQQQTYQPLPAPPPPLPRQQYSNHPPYNPHHPQYSSSSNFNSNPKPTHVSHQFHDVPQRRLPEFDTRPDYWPENRVPRPHPVSNLDREALYHQFDRRPASPVIDRFMHDLEGTSRFRDLELNQREREGRVHNDRWISDRSSRDFGIVSIGFESNSNNSRFDHEATENIRWGSRLREPLIESGNDEINERDEMRVFSRKNDYCDPEAERFSDKGSGREGNHEFNRTPRKQIQKKSALLRIQKVKLSHRSREDERPHYLGYHNEGKTGTFRGKDSVLQSDHGMDEKKREGTPVELDVSFKSNSLVAKAIVTSSPASNFDSNLMPRNTKIRKLTTFDMVSSSAQPNKGSESTAKLGGSTSAVKSGSGPVDSKQSEGKIKSSDTGKAQDGIRKPCSKGTKVFLRKGKVKKSPKVTVTEDAPSSDKKLRPLEGKGTTPCIGSKGDGGVETSSNSVNILVGENKVGGTVKSTVSDKTTATVGKSSSLKANKKKIIVRKVVKKVVSSPLNLGNSELAKKGDQLVKTDISIRRASATPVAEKRVLPLKMKVASASGDSVQGVDLECSPEESALILEDDKVNEASKGTGSKKVSTDVDPGSSVSPKITRKKNSSTVALNSSSQGESNVDHGSTNAGNSVPGLHIISNIKEDHTEKPNETITSGSFGVEDLNTRFYHNENNINYGLSRSEDIKAHGGIVDIGSSSVAMPISTGFDCGSSSSLEKNIVCDIGDANSGSRHVCTTPSSPVVDDRAKGGLPEANCSVGSDKMPLLPCMEETYVSGGSVYGDCFNHDKSTTSTPDIVYVNTGERNHEIGYDLVLSLGFSGTGIPNAVESVECRDKYAANIHKRKVGVSELDLSSSALASISVGSADVLTSANCVESTICASEIDLNPAEPMVGAIGLLDVGLEHSRNKDSVLQGSSSNNTFPEIGGSADGKSPEKKKRKISTSSSSLTSPVISQSVVVSDIFKSAAQIPSNFTDDLLQLEPEVKVSSIDDLHAEGIDLLHVNSSVAGPSEDVGSFSDACRGNPPKIDPSAFAESVAPSSPCLHPLELGGEQFSNGTPVSAISNHQSDAMDIEGDDRGKVLVDTSEEQNIISSELTQCRIIPEHVSFGLDKRFAGIDADDDNHLPLKDDLPSTSNSLISGVDANEVSATNSNDEAMPAPDILCDVGSLSNLVLSTSTCKGHLFNSEEKTEKLSYDKPVIEGSCNSSAHVSDPQHSKTILKSKDVIQTNQSSAGKAGLLPSYDSESTISLNFLSGETQGRKPLLSHVGPKSYPTRSSFVSSASKNATSSTKITKPRTWRRTDNSSAHPLSGNKPSLSANPMQKQMPTYIRKGNSLVRKPTPVPAPPQGSHSSSSSVYRLKSGIVDEVKKGTGPNNRADAVDLRTAGANTTFERPTTPPLSSVTKVPKHISNSSGECTYSPLAEPSASDFNETTTNHPSSMEINDELKSPEDGQKTLETLNRNGSANNLEVLNEQNESGLIPSNEKRVTYVKPKSNQLVATSDSDHTSIFDVDKNQSLSASSDGYYKKRKNQLIRTALESHMKQAVTSSDDISNSVREIAAKVISSRTFGKRRSNKVVAKTHKPSKFSLVWTPHSARLSNNDGSSLCYPKVRPQLFPWKRMAHKRSFKLNSVSSYSSSLSTIGRKMLLLRKRNTVYTRSINGFSIHKSKVLSVGGSSLKWSKSIERHSRKANEEATLAVAEAERKKREQSTVSRTGKKGLSCHKVHGTEVRRGERIFRIGSVRYKMDSSRRSLQRISDDASSCSASQQSENSTKKSYVPRRLVIGNDEYVRIGNGNQLVRDPKKRTRVLASEKVRWSLHTARLRLVKKRKYCQFFTRFGKCNKDDGKCPYIHDPSKISVCTKFLKGLCSNPNCKLTHKVIPERMPDCSYFLQGVCAQTKIVLIDTCM >KJB59312 pep chromosome:Graimondii2_0_v6:9:20130224:20139317:1 gene:B456_009G249000 transcript:KJB59312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPSYIHHHHHHANHPRYVPFSPTPPLHPHRPPPQPLPPYQQSPTNLHPSRHHLPPQIRPPPPPPPLPQQNQQQTYQPLPAPPPPLPRQQYSNHPPYNPHHPQYSSSSNFNSNPKPTHVSHQFHDVPQRRLPEFDTRPDYWPENRVPRPHPVSNLDREALYHQFDRRPASPVIDRFMHDLEGTSRFRDLELNQREREGRVHNDRWISDRSSRDFGIVSIGFESNSNNSRFDHEATENIRWGSRLREPLIESGNDEINERDEMRVFSRKNDYCDPEAERFSDKGSGREGNHEFNRTPRKQIQKKSALLRIQKVKLSHRSREDERPHYLGYHNEGKTGTFRGKDSVLQSDHGMDEKKREGTPVELDVSFKSNSLVAKAIVTSSPASNFDSNLMPRNTKIRKLTTFDMVSSSAQPNKGSESTAKLGGSTSAVKSGSGPVDSKQSEGKIKSSDTGKAQDGIRKPCSKGTKVFLRKGKVKKSPKVTVTEDAPSSDKKLRPLEGKGTTPCIGSKGDGGVETSSNSVNILVGENKVGGTVKSTVSDKTTATVGKSSSLKANKKKIIVRKVVKKVVSSPLNLGNSELAKKGDQLVKTDISIRRASATPVAEKRVLPLKMKVASASGDSVQGVDLECSPEESALILEDDKVNEASKGTGSKKVSTDVDPGSSVSPKITRKKNSSTVALNSSSQGESNVDHGSTNAGNSVPGLHIISNIKEDHTEKPNETITSGSFGVEDLNTRFYHNENNINYGLSRSEDIKAHGGIVDIGSSSVAMPISTGFDCGSSSSLEKNIVCDIGDANSGSRHVCTTPSSPVVDDRAKGGLPEANCSVGSDKMPLLPCMEETYVSGGSVYGDCFNHDKSTTSTPDIVYVNTGERNHEIGYDLVLSLGFSGTGIPNAVESVECRDKYAANIHKRKVGVSELDLSSSALASISVGSADVLTSANCVESTICASEIDLNPAEPMVGAIGLLDVGLEHSRNKDSVLQGSSSNNTFPEIGGSADGKSPEKKKRKISTSSSSLTSPVISQSVVVSDIFKSAAQIPSNFTDDLLQLEPEVKVSSIDDLHAEGIDLLHVNSSVAGPSEDVGSFSDACRGNPPKIDPSAFAESVAPSSPCLHPLELGGEQFSNGTPVSAISNHQSDAMDIEGDDRGKVLVDTSEEQNIISSELTQCRIIPEHVSFGLDKRFAGIDADDDNHLPLKDDLPSTSNSLISGVDANEVSATNSNDEAMPAPDILCDVGSLSNLVLSTSTCKGHLFNSEEKTEKLSYDKPVIEGSCNSSAHVSDPQHSKTILKSKDVIQTNQSSAGKAGLLPSYDSESTISLNFLSGETQGRKPLLSHVGPKSYPTRSSFVSSASKNATSSTKITKPRTWRRTDNSSAHPLSGNKPSLSANPMQKQMPTYIRKGNSLVRKPTPVPAPPQGSHSSSSSVYRLKSGIVDEVKKGTGPNNRADAVDLRTAGANTTFERPTTPPLSSVTKVPKHISNSSGECTYSPLAEPSASDFNETTTNHPSSMEINDELKSPEDGQKTLETLNRNGSANNLEVLNEQNESGLIPSNEKRVTYVKPKSNQLVATSDSDHTSIFDVDKNQSLSASSDGYYKKRKNQLIRTALESHMKQAVTSSDDISNSVREIAAKVISSRTFGKRRSNKVVAKTHKPSKFSLVWTPHSARLSNNDGSSLCYPKVRPQLFPWKRMAHKRSFKLNSVSSYSSSLSTIGRKMLLLRKRNTVYTRSINGFSIHKSKVLSVGGSSLKWSKSIERHSRKANEEATLAVAEAERKKREQSTVSRTGKKGLSCHKVHGTEVRRGERIFRIGSVRYKMDSSRRSLQRISDDASSCSASQQSENSTKKSYVPRRLVIGNDEYVRIGNGNQLVRDPKKRTRVLASEKVRWSLHTARLRLVKKRKYCQFFTRFGKCNKDDGKCPYIHDPSKISVCTKFLKGLCSNPNCKLTHKVIPERMPDCSYFLQGLCTNENCPYRHVHVNPNASTCEGFLRGYCADGNECRKKHSYVCPNFEATGSCPLGSTCKLHHPKNRSKAKKSKRSMEHNTARGRYFGIDISKPKRMVSERQQEVLEEDNICFDGKFSDYISLGVSDDEVGGLHQANCDETSFGDNDSTDLQSEDLDELIKPIRIMSECKITESFLVTESSSGKHLAIQ >KJB59315 pep chromosome:Graimondii2_0_v6:9:20130296:20138067:1 gene:B456_009G249000 transcript:KJB59315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPSYIHHHHHHANHPRYVPFSPTPPLHPHRPPPQPLPPYQQSPTNLHPSRHHLPPQIRPPPPPPPLPQQNQQQTYQPLPAPPPPLPRQQYSNHPPYNPHHPQYSSSSNFNSNPKPTHVSHQFHDVPQRRLPEFDTRPDYWPENRVPRPHPVSNLDREALYHQFDRRPASPVIDRFMHDLEGTSRFRDLELNQREREGRVHNDRWISDRSSRDFGIVSIGFESNSNNSRFDHEATENIRWGSRLREPLIESGNDEINERDEMRVFSRKNDYCDPEAERFSDKGSGREGNHEFNRTPRKQIQKKSALLRIQKVKLSHRSREDERPHYLGYHNEGKTGTFRGKDSVLQSDHGMDEKKREGTPVELDVSFKSNSLVAKAIVTSSPASNFDSNLMPRNTKIRKLTTFDMVSSSAQPNKGSESTAKLGGSTSAVKSGSGPVDSKQSEGKIKSSDTGKAQDGIRKPCSKGTKVFLRKGKVKKSPKVTVTEDAPSSDKKLRPLEGKGTTPCIGSKGDGGVETSSNSVNILVGENKVGGTVKSTVSDKTTATVGKSSSLKANKKKIIVRKVVKKVVSSPLNLGNSELAKKGDQLVKTDISIRRASATPVAEKRVLPLKMKVASASGDSVQGVDLECSPEESALILEDDKVNEASKGTGSKKVSTDVDPGSSVSPKITRKKNSSTVALNSSSQGESNVDHGSTNAGNSVPGLHIISNIKEDHTEKPNETITSGSFGVEDLNTRFYHNENNINYGLSRSEDIKAHGGIVDIGSSSVAMPISTGFDCGSSSSLEKNIVCDIGDANSGSRHVCTTPSSPVVDDRAKGGLPEANCSVGSDKMPLLPCMEETYVSGGSVYGDCFNHDKSTTSTPDIVYVNTGERNHEIGYDLVLSLGFSGTGIPNAVESVECRDKYAANIHKRKVGVSELDLSSSALASISVGSADVLTSANCVESTICASEIDLNPAEPMVGAIGLLDVGLEHSRNKDSVLQGSSSNNTFPEIGGSADGKSPEKKKRKISTSSSSLTSPVISQSVVVSDIFKSAAQIPSNFTDDLLQLEPEVKVSSIDDLHAEGIDLLHVNSSVAGPSEDVGSFSDACRGNPPKIDPSAFAESVAPSSPCLHPLELGGEQFSNGTPVSAISNHQSDAMDIEGDDRGKVLVDTSEEQNIISSELTQCRIIPEHVSFGLDKRFAGIDADDDNHLPLKDDLPSTSNSLISGVDANEVSATNSNDEAMPAPDILCDVGSLSNLVLSTSTCKGHLFNSEEKTEKLSYDKPVIEGSCNSSAHVSDPQHSKTILKSKDVIQTNQSSAGKAGLLPSYDSESTISLNFLSGETQGRKPLLSHVGPKSYPTRSSFVSSASKNATSSTKITKPRTWRRTDNSSAHPLSGNKPSLSANPMQKQMPTYIRKGNSLVRKPTPVPAPPQGSHSSSSSVYRLKSGIVDEVKKGTGPNNRADAVDLRTAGANTTFERPTTPPLSSVTKVPKHISNSSGECTYSPLAEPSASDFNETTTNHPSSMEINDELKSPEDGQKTLETLNRNGSANNLEVLNEQNESGLIPSNEKRVTYVKPKSNQLVATSDSDHTSIFDVDKNQSLSASSDGYYKKRKNQLIRTALESHMKQAVTSSDDISNSVREIAAKVISSRTFGKRRSNKVVAKTHKPSKFSLVWTPHSARLSNNDGSSLCYPKVRPQLFPWKRMAHKRSFKLNSVSSYSSSLSTIGRKMLLLRKRNTVYTRSINGFSIHKSKVLSVGGSSLKWSKSIERHSRKANEEATLAVAEAERKKREQSTVSRTGKKGLSCHKVHGTEVRRGERIFRIGSVRYKMDSSRRSLQRISDDASSCSASQQSENSTKKSYVPRRLVIGNDEYVRIGNGNQLVRDPKKRTRVLASEKVRWSLHTARLRLVKKRKYCQFFTRFGKCNKDDGKCPYIHDPSKISVCTKFLKGLCSNPNCKLTHKVIPERMPDCSYFLQGVSFLNYAFLFLILDMQILTELLAGLCTNENCPYRHVHVNPNASTCEGFLRGYCADGNEVVPYHLGNVILVIILHFMPHPVSYHVMEIYLYIQFVWLAHTGLNN >KJB59313 pep chromosome:Graimondii2_0_v6:9:20130286:20139317:1 gene:B456_009G249000 transcript:KJB59313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPSYIHHHHHHANHPRYVPFSPTPPLHPHRPPPQPLPPYQQSPTNLHPSRHHLPPQIRPPPPPPPLPQQNQQQTYQPLPAPPPPLPRQQYSNHPPYNPHHPQYSSSSNFNSNPKPTHVSHQFHDVPQRRLPEFDTRPDYWPENRVPRPHPVSNLDREALYHQFDRRPASPVIDRFMHDLEGTSRFRDLELNQREREGRVHNDRWISDRSSRDFGIVSIGFESNSNNSRFDHEATENIRWGSRLREPLIESGNDEINERDEMRVFSRKNDYCDPEAERFSDKGSGREGNHEFNRTPRKQIQKKSALLRIQKVKLSHRSREDERPHYLGYHNEGKTGTFRGKDSVLQSDHGMDEKKREGTPVELDVSFKSNSLVAKAIVTSSPASNFDSNLMPRNTKIRKLTTFDMVSSSAQPNKGSESTAKLGGSTSAVKSGSGPVDSKQSEGKIKSSDTGKAQDGIRKPCSKGTKVFLRKGKVKKSPKVTVTEDAPSSDKKLRPLEGKGTTPCIGSKGDGGVETSSNSVNILVGENKVGGTVKSTVSDKTTATVGKSSSLKANKKKIIVRKVVKKVVSSPLNLGNSELAKKGDQLVKTDISIRRASATPVAEKRVLPLKMKVASASGDSVQGVDLECSPEESALILEDDKVNEASKGTGSKKVSTDVDPGSSVSPKITRKKNSSTVALNSSSQGESNVDHGSTNAGNSVPGLHIISNIKEDHTEKPNETITSGSFGVEDLNTRFYHNENNINYGLSRSEDIKAHGGIVDIGSSSVAMPISTGFDCGSSSSLEKNIVCDIGDANSGSRHVCTTPSSPVVDDRAKGGLPEANCSVGSDKMPLLPCMEETYVSGGSVYGDCFNHDKSTTSTPDIVYVNTGERNHEIGYDLVLSLGFSGTGIPNAVESVECRDKYAANIHKRKVGVSELDLSSSALASISVGSADVLTSANCVESTICASEIDLNPAEPMVGAIGLLDVGLEHSRNKDSVLQGSSSNNTFPEIGGSADGKSPEKKKRKISTSSSSLTSPVISQSVVVSDIFKSAAQIPSNFTDDLLQLEPEVKVSSIDDLHAEGIDLLHVNSSVAGPSEDVGSFSDACRGNPPKIDPSAFAESVAPSSPCLHPLELGGEQFSNGTPVSAISNHQSDAMDIEGDDRGKVLVDTSEEQNIISSELTQCRIIPEHVSFGLDKRFAGIDADDDNHLPLKDDLPSTSNSLISGVDANEVSATNSNDEAMPAPDILCDVGSLSNLVLSTSTCKGHLFNSEEKTEKLSYDKPVIEGSCNSSAHVSDPQHSKTILKSKDVIQTNQSSAGKAGLLPSYDSESTISLNFLSGETQGRKPLLSHVGPKSYPTRSSFVSSASKNATSSTKITKPRTWRRTDNSSAHPLSGNKPSLSANPMQKQMPTYIRKGNSLVRKPTPVPAPPQGSHSSSSSVYRLKSGIVDEVKKGTGPNNRADAVDLRTAGANTTFERPTTPPLSSVTKVPKHISNSSGECTYSPLAEPSASDFNETTTNHPSSMEINDELKSPEDGQKTLETLNRNGSANNLEVLNEQNESGLIPSNEKRVTYVKPKSNQLVATSDSDHTSIFDVDKNQSLSASSDGYYKKRKNQLIRTALESHMKQAVTSSDDISNSVREIAAKVISSRTFGKRRSNKVVAKTHKPSKFSLVWTPHSARLSNNDGSSLCYPKVRPQLFPWKRMAHKRSFKLNSVSSYSSSLSTIGRKMLLLRKRNTVYTRSINGFSIHKSKVLSVGGSSLKWSKSIERHSRKANEEATLAVAEAERKKREQSTVSRTGKKGLSCHKVHGTEVRRGERIFRIGSVRYKMDSSRRSLQRISDDASSCSASQQSENSTKKSYVPRRLVIGNDEYVRIGNGNQLVRDPKKRTRVLASEKVRWSLHTARLRLVKKRKYCQFFTRFGKCNKDDGKCPYIHDPSKISVCTKFLKGLCSNPNCKLTHKVHHLSGQFDILLEVDSLVNI >KJB57951 pep chromosome:Graimondii2_0_v6:9:14370550:14372409:1 gene:B456_009G186900 transcript:KJB57951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRPKDDVLIDALLHQQSLGNRIDRVFTTMAYENMANELHEKIGIPIEKGLLKNRIKTLKHNFYECYDLFNCRSGFAWSPGTKMWTAKPELWKALAKSKPDAKKWMITRIANYDMLSWKYLKGSLKSIREAIKDVAEAIREGNVIAERGQPRVYSEQELFAELVNIGHIFSLLQTLGPLFGCTAEGRWHIKIYWLVRILFSFISLEWVGKQGYCT >KJB56606 pep chromosome:Graimondii2_0_v6:9:9583612:9584001:1 gene:B456_009G127300 transcript:KJB56606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMICSFLQVVGGLGLSWQMSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSGALVLSFLSNLMGGITHYGIGSAPVFYGAGYVPLAKWWGYGFLISVVNIIIWLGVGGIWWKAIGLW >KJB59737 pep chromosome:Graimondii2_0_v6:9:23060225:23063100:-1 gene:B456_009G275400 transcript:KJB59737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MMRLSCFCQHLSMDSAFKSPIFHPTASFSHSQVSFPFFSFQAQYLSLNKPPTILRCSNSSTISSTTNGNNNNMAGLPVKKKRKRYRKQYPGENEGITEEMRFVAMRLRNIKGKKVSSDSVSDSETDTENNPSDQEKDDSETVKSGENGSGGEAETWSPTMEGFLKYLVDSKFVFNTIERIVDESDDVAYAYFRKTGLERSAGLSKDLEWFSQRDLVVPEPSNPGVSYVNYLKELAEKSAPLFLSHFYNIYFSHIAGGQVIARKVSDQLLEGTELEFYKWEGDVQESLKDVRKNLNMLGEHWSRDDRNKCLKEAAKSFKFLGQIVRLIIL >KJB59738 pep chromosome:Graimondii2_0_v6:9:23060267:23063043:-1 gene:B456_009G275400 transcript:KJB59738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MMRLSCFCQHLSMDSAFKSPIFHPTASFSHSQVSFPFFSFQAQYLSLNKPPTILRCSNSSTISSTTNGNNNNMAGLPVKKKRKRYRKQYPGENEGITEEMRFVAMRLRNIKGKKVSSDSVSDSETDTENNPSDQEKDDSETVKSGENGSGGEAETWSPTMEGFLKYLVDSKFVFNTIERIVDESDDVAYAYFRKTGLERSAGLSKDLEWFSQRDLVVPEPSNPGVSYVNYLKELAEKSAPLFLSHFYNIYFSHIAGGQVIARKVSDQLLEGTELEFYKWEGDVQESLKDVRKNLNMLGEHWSRDDRNKCLKEAAKSFKFLGQIVRLIIL >KJB59741 pep chromosome:Graimondii2_0_v6:9:23060267:23063043:-1 gene:B456_009G275400 transcript:KJB59741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MMRLSCFCQHLSMDSAFKSPIFHPTASFSHSQVSFPFFSFQAQYLSLNKPPTILRCSNSSTISSTTNGNNNNMAGLPVKKKRKRYRKQYPGENEGITEEMRFVAMRLRNIKGKKVSSDSVSDSETDTENNPSDQEKDDSETVKSGENGSGGEAETWSPTMEGFLKYLVDSKFVFNTIERIVDESDDVAYAYFRKTGLERSAGLSKDLEWFSQRDLVVPEPSNPGVSYVNYLKELAEKSAPLFLSHFYNIYFSHIAGGQVIARKVSDQLLEGTELEFYKWEGDVQESLKDVRKNLNMLGEHWSRDDRNKCLKEAAKSFKFLGQIVRLIIL >KJB59743 pep chromosome:Graimondii2_0_v6:9:23061138:23063043:-1 gene:B456_009G275400 transcript:KJB59743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MMRLSCFCQHLSMDSAFKSPIFHPTASFSHSQVSFPFFSFQAQYLSLNKPPTILRCSNSSTISSTTNGNNNNMAGLPVKKKRKRYRKQYPGENEGITEEMRFVAMRLRNIKGKKVSSDSVSDSETDTENNPSDQEKDDSETVKSGENGSGGEAETWSPTMEGFLKYLVDSKFVFNTIERIVDESDDVAYAYFRKTGLERSAGLSKDLEWFSQRDLVVPEPSNPGVSYVNYLKELAEKSAPLFLSHFYNIYFSHIAGGQVIARKVSDQLLEGTELEFYKWEGDVQESLKDVRKNLNMLGEVDD >KJB59742 pep chromosome:Graimondii2_0_v6:9:23060267:23063043:-1 gene:B456_009G275400 transcript:KJB59742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MMRLSCFCQHLSMDSAFKSPIFHPTASFSHSQVSFPFFSFQAQYLSLNKPPTILRCSNSSTISSTTNGNNNNMAGLPVKKKRKRYRKQYPGENEGITEEMRFVAMRLRNIKGKKVSSDSVSDSETDTENNPSDQEKDDSETVKSGENGSGGEAETWSPTMEGFLKYLVDSKFVFNTIERIVDESDDVAYAYFRKTGLERSAGLSKDLEWFSQRDLVVPEPSNPGVSYVNYLKELAEKSAPLFLSHFYNIYFSHIAGGQVIARKLLEGTELEFYKWEGDVQESLKDVRKNLNMLGEHWSRDDRNKCLKEAAKSFKFLGQIVRLIIL >KJB59740 pep chromosome:Graimondii2_0_v6:9:23060267:23063043:-1 gene:B456_009G275400 transcript:KJB59740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MMRLSCFCQHLSMDSAFKSPIFHPTASFSHSQVSFPFFSFQAQYLSLNKPPTILRCSNSSTISSTTNGNNNNMAGLPVKKKRKRYRKQYPGENEGITEEMRFVAMRLRNIKGKKVSSDSVSDSETDTENNPSDQEKDDSETVKSGENGSGGEAETWSPTMEGFLKYLVDSKFVFNTIERIVDESDDVAYAYFRKTGLERSAGLSKDLEWFSQRDLVVPEPSNPGVSYVNYLKELAEKSAPLFLSHFYNIYFSHIAGGQVIARKVSDQLLEGTELEFYKWEGDVQESLKDVRKNLNMLGEVDD >KJB59744 pep chromosome:Graimondii2_0_v6:9:23061642:23063033:-1 gene:B456_009G275400 transcript:KJB59744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MMRLSCFCQHLSMDSAFKSPIFHPTASFSHSQVSFPFFSFQAQYLSLNKPPTILRCSNSSTISSTTNGNNNNMAGLPVKKKRKRYRKQYPGENEGITEEMRFVAMRLRNIKGKKVSSDSVSDSETDTENNPSDQEKDDSETVKSGENGSGGEAETWSPTMEGFLKYLVDSKFVFNTIERIVDESDDVAYAYFRKTGLERSAGLSKDLEWFSQRDLVVPEPSNPGVSYVNYLKELAEKSAPLFLSHFYNIYFSHIAGGQVIARKVCIGSLPSDSSFLLKYLCLTPLYDKFQNEYEDLILQGPSIYMEKHRKNCTYTSMRYS >KJB59739 pep chromosome:Graimondii2_0_v6:9:23061642:23063033:-1 gene:B456_009G275400 transcript:KJB59739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MMRLSCFCQHLSMDSAFKSPIFHPTASFSHSQVSFPFFSFQAQYLSLNKPPTILRCSNSSTISSTTNGNNNNMAGLPVKKKRKRYRKQYPGENEGITEEMRFVAMRLRNIKGKKVSSDSVSDSETDTENNPSDQEKDDSETVKSGENGSGGEAETWSPTMEGFLKYLVDSKFVFNTIERIVDESDDVAYAYFRKTGLERSAGLSKDLEWFSQRDLVVPEPSNPGVSYVNYLKELAEKSAPLFLSHFYNIYFSHIAGGQVIARKVCIGSLPSDSSFLLKYLCLTPLYDKFQNEYEDLILQGPSIYMEKHRKNCTYTSMRYS >KJB60880 pep chromosome:Graimondii2_0_v6:9:33713925:33723265:-1 gene:B456_009G329500 transcript:KJB60880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSLELLLIQFLMPDNDARRQAEDQIKRLAKDPQVVPALVQHLRTAKTPNVRQLAAVLLRKKITGHWAKLAPQVKQLVKQSLIESITMEHSRPVRRASANVVSIVAKYAVPAGEWPDLLPFLFQCSQSPQEDHREVALILFSSLTETIGSTFRPHFADLQALLLKCLQDETSNRVRVAALKAVGSFLEFTNDGAEVVKFREFIPSILNVSRQCLSAGEEDVAIIAFEIFDELIESPAPLLGDSVKSIVQFSLEVSSNQNLESNTRHQAIQIISWLAKYKANSLKKQKLVTSILQVMCPLLAESSNEDEDDDLAPDRAAAEVIDTMAMNLSKHVFPLVFEFASVSSQNANPKFREASVTALGIVSEGCAELMKDKLEPVLQIVLGALRDPEQMVRGAASFALGQFAEHLQPEIISHYASVLPCILAALEDVSDEVKEKSYYALAAFCEDMGMEILPFLDPLMGKLLAALQNSSRNLQETCMSAIGSVAAAAEQAFIPYAERVLEMMKVFMVLTNDEDLRARARATELVGIVAMSVGRARIEQILPAFVEAAISGFGLEFSELREYTHGFFSNVAEIMADGFVKYLPHVVPLAFSSCNLDDGSAVDIDESDDENINGFGEVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFAQHTKSSFAPYLEESLKILERHSGYFHEDVRLQAIIALKHILTAAHAIFQCQNDGSVKAKEVLDMVMNIYIKTMTEDDDREVVANACMSIADIIKDYGYMALEPYMSRLVDATLTLLREESACQQLENGSDIDDEDDTEHDEILMDAVSDLLPAFAKSMGYHFAPIFAKLFEPLMKFAKASRPPQDRTMVVACLAEVAQDMGAPIASYIDRLMPLVLKELASPSATNRRNAAFCAGELAKNGGETTLKYYNDILRGLYPLFGESEPDDAVRDNAAGAVARMIMVHPQSIPLNQILSHVPELVNIFAQVLVSPAETPEVKAQVGGAFSHLLSVYGQEMQPLLSNLPPAHANALAAFVPNS >KJB60881 pep chromosome:Graimondii2_0_v6:9:33713925:33723306:-1 gene:B456_009G329500 transcript:KJB60881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSLELLLIQFLMPDNDARRQAEDQIKRLAKDPQVVPALVQHLRTAKTPNVRQLAAVLLRKKITGHWAKLAPQVKQLVKQSLIESITMEHSRPVRRASANVVSIVAKYAVPAGEWPDLLPFLFQCSQSPQEDHREVALILFSSLTETIGSTFRPHFADLQALLLKCLQDETSNRVRVAALKAVGSFLEFTNDGAEVVKFREFIPSILNVSRQCLSAGEEDVAIIAFEIFDELIESPAPLLGDSVKSIVQFSLEVSSNQNLESNTRHQAIQIISWLAKYKANSLKKQKLVTSILQVMCPLLAESSNEDEDDDLAPDRAAAEVIDTMAMNLSKHVFPLVFEFASVSSQNANPKFREASVTALGIVSEGCAELMKDKLEPVLQIVLGALRDPEQMVRGAASFALGQFAEHLQPEIISHYASVLPCILAALEDVSDEVKEKSYYALAAFCEDMGMEILPFLDPLMGKLLAALQNSSRNLQETCMSAIGSVAAAAEQAFIPYAERVLEMMKVFMVLTNDEDLRARARATELVGIVAMSVGRARIEQILPAFVEAAISGFGLEFSELREYTHGFFSNVAEIMADGFVKYLPHVVPLAFSSCNLDDGSAVDIDESDDENINGFGEVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFAQHTKSSFAPYLEESLKILERHSGYFHEDVRLQAIIALKHILTAAHAIFQCQNDGSVKAKEVLDMVMNIYIKTMTEDDDREVVANACMSIADIIKDYGYMALEPYMSRLVDATLTLLREESACQQLENGSDIDDEDDTEHDEILMDAVSDLLPAFAKSMGYHFAPIFAKLFEPLMKFAKASRPPQDRTMVVACLAEVAQDMGAPIASYIDRLMPLVLKELASPSATNRRNAAFCAGELAKNGGETTLKYYNDILRGLYPLFGESEPDDAVRDNAAGAVARMIMVHPQSIPLNQVLPVFLRVLPLKEDHEESMAVYNCVSMLVLSSNPLILSHVPELVNIFAQVLVSPAETPEVKAQVGGAFSHLLSVYGQEMQPLLSNLPPAHANALAAFVPNS >KJB60879 pep chromosome:Graimondii2_0_v6:9:33713925:33720696:-1 gene:B456_009G329500 transcript:KJB60879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVKFREFIPSILNVSRQCLSAGEEDVAIIAFEIFDELIESPAPLLGDSVKSIVQFSLEVSSNQNLESNTRHQAIQIISWLAKYKANSLKKQKLVTSILQVMCPLLAESSNEDEDDDLAPDRAAAEVIDTMAMNLSKHVFPLVFEFASVSSQNANPKFREASVTALGIVSEGCAELMKDKLEPVLQIVLGALRDPEQMVRGAASFALGQFAEHLQPEIISHYASVLPCILAALEDVSDEVKEKSYYALAAFCEDMGMEILPFLDPLMGKLLAALQNSSRNLQETCMSAIGSVAAAAEQAFIPYAERVLEMMKVFMVLTNDEDLRARARATELVGIVAMSVGRARIEQILPAFVEAAISGFGLEFSELREYTHGFFSNVAEIMADGFVKYLPHVVPLAFSSCNLDDGSAVDIDESDDENINGFGEVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFAQHTKSSFAPYLEESLKILERHSGYFHEDVRLQAIIALKHILTAAHAIFQCQNDGSVKAKEVLDMVMNIYIKTMTEDDDREVVANACMSIADIIKDYGYMALEPYMSRLVDATLTLLREESACQQLENGSDIDDEDDTEHDEILMDAVSDLLPAFAKSMGYHFAPIFAKLFEPLMKFAKASRPPQDRTMVVACLAEVAQDMGAPIASYIDRLMPLVLKELASPSATNRRNAAFCAGELAKNGGETTLKYYNDILRGLYPLFGESEPDDAVRDNAAGAVARMIMVHPQSIPLNQVLPVFLRVLPLKEDHEESMAVYNCVSMLVLSSNPLILSHVPELVNIFAQVLVSPAETPEVKAQVGGAFSHLLSVYGQEMQPLLSNLPPAHANALAAFVPNS >KJB57923 pep chromosome:Graimondii2_0_v6:9:14302856:14306106:1 gene:B456_009G186000 transcript:KJB57923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVEEILSELAEEEVNGHGLPPGFRFHPTDEELVTFYLASKVFHGSFCGVEIAEVDLNRCEPWELPDVAKMGEREWYFFSLRDRKYPSGLRTNRATGAGYWKATGKDREVYSASTGALLGMKKTLVFYKGRAPRGEKTKWVMHEYRLDGDFSCRPSCKEEWVICRIFHKTSEKKNALAQGQSYVLAMSSSSTTFLPPLLETPTPLLKSESQTLMQAHNSFLIHRHENDLKSLINPVVSESQSQSQLFPSNGFQPSFSPTSTFATTDKNAVTNTTSSAAMLFKSLLSHQDCLFKEQAAFPKQCKAEADFSYLNLPAANNTLNWMDRIHPSPPCQNPLFFDMDYSVLGFAEGVRGTARGDTNAHDMSTSIAIHTASGQMILNPPTTTASGESGPLD >KJB57924 pep chromosome:Graimondii2_0_v6:9:14302687:14306274:1 gene:B456_009G186000 transcript:KJB57924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVEEILSELAEEEVNGHGLPPGFRFHPTDEELVTFYLASKVFHGSFCGVEIAEVDLNRCEPWELPDVAKMGEREWYFFSLRDRKYPSGLRTNRATGAGYWKATGKDREVYSASTGALLGMKKTLVFYKGRAPRGEKTKWVMHEYRLDGDFSCRPSCKEEWVICRIFHKTSEKKNALAQGQSYVLAMSSSSTTFLPPLLETPTPLLKSESQTLMQAHNSFLIHRHENDLKSLINPVVSESQSQSQLFPSNGFQPSFSPTSTFATTDKNAVTNTTSSAAMLFKSLLSHQDCLFKEQAAFPKQCKAEADFSYLNLPAANNTLNWMDRIHPSPPCQNPLFFDMDYSVLGFAEGVRGTARGDTNAHDMSTSIAIHTASGQMILNPPTTTASGESGPLD >KJB62352 pep chromosome:Graimondii2_0_v6:9:63183183:63186479:1 gene:B456_009G413400 transcript:KJB62352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDLEPKVLDTSSMTEKGYEEFGCSHYRRRCKIRAPCCDEIFDCRHCHNDAKNSIEIDPFDRHEVPRHDIKRVICSLCDREQDVQQHCINCGVCMGKYFCSKCNFFDNDVSKKQYHCDGCGICRIGGAENFFHCDKCGCCYSMMMKNSHNCVEKAMHHNCAVCFEFLFDTTKEITVLPCGHTIHLECVREMERHSQYSCPVCSKSFCDMTQVWERLDRDVASTGMPQIYQNRRVWILCNDCGENSEVNFHFVAQKCPICSSYNTKLTRGRIATGSSEIMKTV >KJB62356 pep chromosome:Graimondii2_0_v6:9:63183386:63186479:1 gene:B456_009G413400 transcript:KJB62356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDLEPKVLDTSSMTEKGYEEFGCSHYRRRCKIRAPCCDEIFDCRHCHNDAKNSIEIDPFDRHEVPRHDIKRVICSLCDREQDVQQHCINCGVCMGKYFCSKCNFFDNDVSKKQYHCDGCGICRIGGAENFFHCDKCGCCYSMMMKNSHNCVEKAMHHNCAVCFEFLFDTTKEITVLPCGHTIHLECVREMERHSQYSCPVCSKSFCDMTQVWERLDRDVASTGMPQIYQNRRVSEMVNLTV >KJB62351 pep chromosome:Graimondii2_0_v6:9:63183183:63186479:1 gene:B456_009G413400 transcript:KJB62351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDLEPKVLDTSSMTEKGYEEFGCSHYRRRCKIRAPCCDEIFDCRHCHNDAKNSIEIDPFDRHEVPRHDIKRVICSLCDREQDHCINCGVCMGKYFCSKCNFFDNDVSKKQYHCDGCGICRIGGAENFFHCDKCGCCYSMMMKNSHNCVEKAMHHNCAVCFEFLFDTTKEITVLPCGHTIHLECVREMERHSQYSCPVCSKSFCDMTQVWERLDRDVASTGMPQIYQNRRVWILCNDCGENSEVNFHFVAQKCPICSSYNTKLTRGRIATGSSEIMKTV >KJB62355 pep chromosome:Graimondii2_0_v6:9:63183386:63186479:1 gene:B456_009G413400 transcript:KJB62355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDLEPKVLDTSSMTEKGYEEFGCSHYRRRCKIRAPCCDEIFDCRHCHNDAKNSIEIDPFDRHEVPRHDIKRVICSLCDREQDVQQHCINCGVCMGKYFCSKCNFFDNDVSKKQYHCDGCGICRIGGAENFFHCDKCGCCYSMMMKNSHNCVEKAMHHNCAVCFEFLFDTTKEITVLPCGHTIHLECVREMERHSQYSCPVCSKSFCDMTQVWERLDRDVCVE >KJB62353 pep chromosome:Graimondii2_0_v6:9:63183386:63186479:1 gene:B456_009G413400 transcript:KJB62353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDLEPKVLDTSSMTEKGYEEFGCSHYRRRCKIRAPCCDEIFDCRHCHNDAKNSIEIDPFDRHEVPRHDIKRVICSLCDREQDVQQHCINCGVCMGKYFCSKCNFFDNDVSKKQYHCDGCGICRIGGAENFFHCDKCGCCYSMMMKNSHNCVEKAMHHNCAVCFEFLFDTTKEITVLPCGHTIHLECVREMERHSQ >KJB62354 pep chromosome:Graimondii2_0_v6:9:63183871:63185233:1 gene:B456_009G413400 transcript:KJB62354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDLEPKVLDTSSMTEKGYEEFGCSHYRRRCKIRAPCCDEIFDCRHCHNDAKNSIEIDPFDRHEVPRHDIKRVICSLCDREQDVQQHCINCGVCMGKYFCSKCNFFDNDVSKKQYHCDGCGICRIGGAENFFHCDKCGCCYSMMMKNSHNCVEKAMHHNCAVCFEFLFDTTKEITVLPCGHTIHLECVREMERHSQ >KJB53959 pep chromosome:Graimondii2_0_v6:9:1089209:1091944:1 gene:B456_009G013400 transcript:KJB53959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAPPYLRPLHKSLFPKYPSLSHDSPFRPSLYFSSRPFRLTVRASSAVALEQDLRTQATQQNQTVETELFSCPVCYEPLIRKGPPGLNLDAIYRSGFKCKKCNKSYSSKDVFLDLTVTTGLRNYTEVKPVRTELFRSPLVSFLYERGWRQNFNLSGFPGADEEFRMAQEYFKPAEGGTLVDVSCGSGLFSRKFAKSGTYSGVIALDFSENMLRQCNDFIKQDASLLASNIALVRADVSRLPFSSGSIDAVHAGAALHCWPSPSNAFLT >KJB53960 pep chromosome:Graimondii2_0_v6:9:1089661:1091951:1 gene:B456_009G013400 transcript:KJB53960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCKCDASFKDLRTQATQQNQTVETELFSCPVCYEPLIRKGPPGLNLDAIYRSGFKCKKCNKSYSSKDVFLDLTVTTGLRNYTEVKPVRTELFRSPLVSFLYERGWRQNFNLSGFPGADEEFRMAQEYFKPAEGGTLVDVSCGSGLFSRKFAKSGTYSGVIALDFSENMLRQCNDFIKQDASLLASNIALVRADVSRLPFSSGSIDAVHAGAALHCWPSPSNAIAEISRILRSGGVFVGSTFLRYTSSTPWIIRPFRERVMQSYNYLTEEEIEEVCTNSGLTNFSKKVQRSFIMFSAQKP >KJB53958 pep chromosome:Graimondii2_0_v6:9:1089127:1091951:1 gene:B456_009G013400 transcript:KJB53958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAPPYLRPLHKSLFPKYPSLSHDSPFRPSLYFSSRPFRLTVRASSAVALEQDLRTQATQQNQTVETELFSCPVCYEPLIRKGPPGLNLDAIYRSGFKCKKCNKSYSSKDVFLDLTVTTGLRNYTEVKPVRTELFRSPLVSFLYERGWRQNFNLSGFPGADEEFRMAQEYFKPAEGGTLVDVSCGSGLFSRKFAKSGTYSGVIALDFSENMLRQCNDFIKQDASLLASNIALVRADVSRLPFSSGSIDAVHAGAALHCWPSPSNAIAEISRILRSGGVFVGSTFLRYTSSTPWIIRPFRERVMQSYNYLTEEEIEEVCTNSGLTNFSKKVQRSFIMFSAQKP >KJB57547 pep chromosome:Graimondii2_0_v6:9:13057774:13060769:1 gene:B456_009G169400 transcript:KJB57547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVPSFSPVLKNLTYIYEENLNKETEFGGSNFGGYPTLKQRDDSYDIRESMSVHCGFVKGTKPDHETGFDIDNSDLLQMEQCHGVVVASAIFGAFDNMLQPKNISKYSEQTVCLYMFVDEETKSDLETEHGLSDGKKIGLWRIVVAHNLPYANGRRNGKIPKFLLHRLFPNARFSLWIDGKLQLLVDPYQILERLLWRKNATFAISRHYIRFDVFEEAEANKAARKYDNASIDFQVDFYKKEGLTPYSEAKLPITSDAPEVCAIIREHVPISNLFTCLWFKEIDRFTSRDQLSFSTVRDRVAAKTNWR >KJB57548 pep chromosome:Graimondii2_0_v6:9:13058087:13060072:1 gene:B456_009G169400 transcript:KJB57548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVPSFSPVLKNLTYIYEENLNKETEFGGSNFGGYPTLKQRDDSYDIRESMSVHCGFVKGTKPDHETGFDIDNSDLLQMEQCHGVVVASAIFGAFDNMLQPKNISKYSEQTVCLYMFVDEETKSDLETEHGLSDGKKIGLWRIVVAHNLPYANGRRNGKIPKFLLHRLFPNARFSLWIDGKLQLLVDPYQILERLLWRKNATFAISRHYIRFDVFEEAEANKAARKYDNASIDFQVDFYKKEGLTPYSEAKLPITSGRVEDT >KJB57546 pep chromosome:Graimondii2_0_v6:9:13057191:13060832:1 gene:B456_009G169400 transcript:KJB57546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSLGIRSGSYGVLPIQVPSATKTKPFKTFKEKETFLHWICKFAGRKKVGLLLLCAISAAIFVWVLYLGKDEVQESYNVPIHKVNDSLPLNNSRSPLINGVQNIRIHNLTYSPVDAEGSEVPPPPSYFLGYTLPAEHPCNTFTLPPPPADKKRTGPRPCPVCYLPVDEAVALMPKVPSFSPVLKNLTYIYEENLNKETEFGGSNFGGYPTLKQRDDSYDIRESMSVHCGFVKGTKPDHETGFDIDNSDLLQMEQCHGVVVASAIFGAFDNMLQPKNISKYSEQTVCLYMFVDEETKSDLETEHGLSDGKKIGLWRIVVAHNLPYANGRRNGKIPKFLLHRLFPNARFSLWIDGKLQLLVDPYQILERLLWRKNATFAISRHYIRFDVFEEAEANKAARKYDNASIDFQVDFYKKEGLTPYSEAKLPITSDAPEVCAIIREHVPISNLFTCLWFKEIDRFTSRDQLSFSTVRDRVAAKTNWR >KJB54459 pep chromosome:Graimondii2_0_v6:9:2620105:2622190:-1 gene:B456_009G035100 transcript:KJB54459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPGRLDLHPKLLLAEHQSSSEVVEDTDAVQARDLLQRLNQISAKTKPKVEKKVASSQVAFGFGAGSTSIKTFGASKGSVPTPGLREEKEYKEPWDYYSYYPVTLPMRRPYSGNPEFLDEEEFALANATFEEDSVEPAVELGLMEENSEATMFFIQLPPTLPMTKQTGNISGNETNSRSKPAASVGSAKKTRGIEELPAGFMGKMLVYRSGAVKLKLGDSLYDVTPGCNSEFSQDVVAVNTGKKHCCGVGEIDKRAILTPDVYSVFNYLTDL >KJB54460 pep chromosome:Graimondii2_0_v6:9:2620105:2622265:-1 gene:B456_009G035100 transcript:KJB54460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQTNKTNAPTRKVRFAPKAPTRRAPKLEVKTEVVEDTDAVQARDLLQRLNQISAKTKPKVEKKVASSQVAFGFGAGSTSIKTFGASKGSVPTPGLREEKEYKEPWDYYSYYPVTLPMRRPYSGNPEFLDEEEFALANATFEEDSVEPAVELGLMEENSEATMFFIQLPPTLPMTKQTGNISGNETNSRSKPAASVGSAKKTRGIEELPAGFMGKMLVYRSGAVKLKLGDSLYDVTPGCNSEFSQDVVAVNTGKKHCCGVGEIDKRAILTPDVYSVFNYLTDL >KJB54458 pep chromosome:Graimondii2_0_v6:9:2620105:2622147:-1 gene:B456_009G035100 transcript:KJB54458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQTNKTNAPTRKVRFAPKAPTRRAPKLEVKTYISSRTWIAIEVVEDTDAVQARDLLQRLNQISAKTKPKVEKKVASSQVAFGFGAGSTSIKTFGASKGSVPTPGLREEKEYKEPWDYYSYYPVTLPMRRPYSGNPEFLDEEEFALANATFEEDSVEPAVELGLMEENSEATMFFIQLPPTLPMTKQTGNISGNETNSRSKPAASVGSAKKTRGIEELPAGFMGKMLVYRSGAVKLKLGDSLYDVTPGCNSEFSQDVVAVNTGKKHCCGVGEIDKRAILTPDVYSVFNYLTDL >KJB60018 pep chromosome:Graimondii2_0_v6:9:24321918:24325320:-1 gene:B456_009G286000 transcript:KJB60018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRVYEVWKGSNKFFLGGRLIFGPDARSLPVTLLLISVPSIIFCIFVARHLRHRFSPYNAGYGIMVVAVVFTIYVLIILFLTSARDPGIIPRNSRPPEEEPHHDSSVPAQARNRHTPGRQFPRTKEVMVNGMQVRVKYCSTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVSSTTLLCIYVFSMSALYIKVLMDDNHRTVWEAMKESPPSVVLMAYCFIALWFVGGLTGFHLYLIGTNQTTYENFRHRADNRINIFDRGCPSNFDEIFCTKLNPSKNNFRALVQEEVPRPTEEAKAEDVGGDTHPKIEVDLENRQNLLKISEQHNIEDGIDLSKISRRQNDEENDSRESNDPLRSTLEADSIMDINHRASLTMTMIRSDPEPSSYGNSSSEIAADSHTNSTVTIKEAGRLFE >KJB55608 pep chromosome:Graimondii2_0_v6:9:6167459:6171063:-1 gene:B456_009G084800 transcript:KJB55608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVLHFPSPQSTNTSSSKPVLSYAPQTSLTCAHGERNDFVNAITSGDPEGEGEEEEEELSLLALVVTLFRKSLAACKSMERRELCAMEIGWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASTTVFGVSTESMQLSYDSRGNIVPTILLLMQRRLYAQGGLQAEGIFRINAENSQEEYVREQLNGGVVPEGIDVHCLAGLIKAWFRELPRGVLDSLSPEQVMECQTEEQCAELARFIPPTEFALLDWAINLMADVVQQQHLNKMNARNIAMVFAPNMTQMADPLNALMYAVQVMNFLKTLIVRTLREREDSVVEPAAAVHLEPFDDNGDQSPSISCIQDTGKDNEEKELSFIAEEPLSESFRKYSLNNEMKNEDHSSTPTVKGLVPDADHSDETAAAVETFMSETDAIVANCQRPGTVANTGENNIGQSSNSSLKKETDKISGQQSVPQITKLDEKTKGISNLSCIDSKIERVEAWR >KJB55610 pep chromosome:Graimondii2_0_v6:9:6167587:6170903:-1 gene:B456_009G084800 transcript:KJB55610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSYDSRGNIVPTILLLMQRRLYAQGGLQAEGIFRINAENSQEEYVREQLNGGVVPEGIDVHCLAGLIKAWFRELPRGVLDSLSPEQVMECQTEEQCAELARFIPPTEFALLDWAINLMADVVQQQHLNKMNARNIAMVFAPNMTQMADPLNALMYAVQVMNFLKTLIVRTLREREDSVVEPAAAVHLEPFDDNGDQSPSISCIQDTGKDNEEKELSFIAEEPLSESFRKYSLNNEMKNEDHSSTPTVKGLVPDADHSDETAAAVETFMSETDAIVANCQRPGTVANTGENNIGQSSNSSLKKETDKISGQQSVPQITKLDEKTKGISNLSCIDSKIERVEAWR >KJB55609 pep chromosome:Graimondii2_0_v6:9:6167804:6168977:-1 gene:B456_009G084800 transcript:KJB55609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAEGIFRINAENSQEEYVREQLNGGVVPEGIDVHCLAGLIKAWFRELPRGVLDSLSPEQVMECQTEEQCAELARFIPPTEFALLDWAINLMADVVQQQHLNKMNARNIAMVFAPNMTQMADPLNALMYAVQVMNFLKTLIVRTLREREDSVVEPAAAVHLEPFDDNGDQSPSISCIQDTGKDNEEKELSFIAEEPLSESFRKYSLNNEMKNEDHSSTPTVKGLVPDADHSDETAAAVETFMSETDAIVANCQRPGTVANTGENNIGQSSNSSLKKETDKISGQQSVPQITKLDEKTKGISNLSCIDSKIERVEAWR >KJB55611 pep chromosome:Graimondii2_0_v6:9:6167587:6169318:-1 gene:B456_009G084800 transcript:KJB55611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAEGIFRINAENSQEEYVREQLNGGVVPEGIDVHCLAGLIKAWFRELPRGVLDSLSPEQVMECQTEEQCAELARFIPPTEFALLDWAINLMADVVQQQHLNKMNARNIAMVFAPNMTQMADPLNALMYAVQVMNFLKTLIVRTLREREDSVVEPAAAVHLEPFDDNGDQSPSISCIQDTGKDNEEKELSFIAEEPLSESFRKYSLNNEMKNEDHSSTPTVKGLVPDADHSDETAAAVETFMSETDAIVANCQRPGTVANTGENNIGQSSNSSLKKETDKISGQQSVPQITKLDEKTKGISNLSCIDSKIERVEAWR >KJB56385 pep chromosome:Graimondii2_0_v6:9:8653084:8654923:-1 gene:B456_009G117600 transcript:KJB56385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLINEVDSLTNQIFSILENKFLFGAHENNAAAKTREEDHLKSGNQLSGKVRILSIDSGSFSDGILAAQSLLTLQTFLRQKSGNPNVVIAQYFDVVAGSGAGAILAALLFTRAENGAPIFTADQALQFLLKNRRKLFPSSPQGIFRRLFRPSKVKKLLSKTFGELTLKDTLKPILIPCYDLCSNAPFLFSRADALEMDGYDFKMKDVCYATSADPTVVGAVRMKSVDERTKILAVEGGVAMNNPAAAAITHVLNNKQEFPFCNGVEDLLVLSLGNGESGFGSGDPTLTPPPTRFLRIAGEGASDMVDQAVSMAFGGTGNGSKYVRIQGTPNVKPNSESDAVMSVTKEMLGQRNVESHLFKGKKRSEVTNLEKLEMMGGELIKEQERRKTGILPTVVLKQKQSAATPRTSSASATTISSTPSSWSLDHQN >KJB56384 pep chromosome:Graimondii2_0_v6:9:8653084:8654952:-1 gene:B456_009G117600 transcript:KJB56384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLINEVDSLTNQIFSILENKFLFGAHENNAAAKTREEDHLKSGNQLSGKVRILSIDSGSFSDGILAAQSLLTLQTFLRQKSGNPNVVIAQYFDVVAGSGAGAILAALLFTRAENGAPIFTADQALQFLLKNRRKLFPSSPQGIFRRLFRPSKVKKLLSKTFGELTLKDTLKPILIPCYDLCSNAPFLFSRADALEMDGYDFKMKDVCYATSADPTVVGAVRMKSVDERTKILAVEGGVAMNNPAAAAITHVLNNKQEFPFCNGVEDLLVLSLGNGESGFGSGDPTLTPPPTRFLRIAGEGASDMVDQAVSMAFGGTGNGSKYVRIQGTPNVKPNSESDAVMSVTKEMLGQRNVESHLFKGKKRSEVTNLEKLEMMGGELIKEQERRKTGILPTVVLKQKQSAATPRTSSASATTISSTPSSWSLDHQN >KJB62035 pep chromosome:Graimondii2_0_v6:9:55765312:55765861:-1 gene:B456_009G397500 transcript:KJB62035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCTYLIKNIRYLTIVEAYACLHGVAFAEDLGFQDIILEGDSLTVVKKLQKNNDYIDRSVIRGIIEEIKTKARNFKSLIFRHIPWEANEAAHAMAAWGEEGICRPSEWKKPRGKSIHSFRRIKGVWNEGKKTQKRTLVSYL >KJB54795 pep chromosome:Graimondii2_0_v6:9:3580257:3582162:-1 gene:B456_009G049200 transcript:KJB54795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRSNPMEWLNQMVGEPYYFFHFLSFFSYFIVRSSASNVLSPQITQLLFYREIQAVLAFFMLIMAREETWEAFIADTLFFGKIFLIALTLIMDYHLTLWYLVVFSVIYVLTQQPPYEELGSASKLTPLQLEALLTEGNTSRFWLVEFRASFSSSCRRASRCFPELSITYSNKNLSFGIVDLGLFPNAAEKFGISPGGSMGQLPTYILFENAIEVSRFPEFNFEATPTPPITKRLLARHFELDRHLLEYVNGK >KJB54789 pep chromosome:Graimondii2_0_v6:9:3579974:3582303:-1 gene:B456_009G049200 transcript:KJB54789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRSNPMEWLNQMEIQAVLAFFMLIAYKMAREETWEAFIADTLFFGKIFLIALTLIMDYHLTLWYLVVFSVIYVLTQQPPYEELGSASKLTPLQLEALLTEGNTSRFWLVEFRASFSSSCRRASRCFPELSITYSNKNLSFGIVDLGLFPNAAEKFGISPGGSMGQLPTYILFENAIEVSRFPEFNFEATPTPPITKRLLARHFELDRHLLEYVNGK >KJB54794 pep chromosome:Graimondii2_0_v6:9:3580257:3581817:-1 gene:B456_009G049200 transcript:KJB54794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWFFSPLCKQMAREETWEAFIADTLFFGKIFLIALTLIMDYHLTLWYLVVFSVIYVLTQQPPYEELGSASKLTPLQLEALLTEGNTSRFWLVEFRASFSSSCRRASRCFPELSITYSNKNLSFGIVDLGLFPNAAEKFGISPGGSMGQLPTYILFENAIEVSRFPEFNFEATPTPPITKRLLARHFELDRHLLEYVNGK >KJB54790 pep chromosome:Graimondii2_0_v6:9:3579974:3582303:-1 gene:B456_009G049200 transcript:KJB54790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRSNPMEWLNQMVGEPYYFFHFLSFFSYFIVRSSASNVLSPQITQLLFYREIQAVLAFFMLIAYKMAREETWEAFIADTLFFGKIFLIALTLIMDYHLTLWYLVVFSVIYVLTQQPPYEELGSASKLTPLQLEALLTEGNTSRFWLVEFRASFSSSCRRASRCFPELSITKIRNISWREHGTASYIYTI >KJB54793 pep chromosome:Graimondii2_0_v6:9:3580297:3582162:-1 gene:B456_009G049200 transcript:KJB54793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRSNPMEWLNQMVGEPYYFFHFLSFFSYFIVRSSASNVLSPQITQLLFYREIQAVLAFFMLIAYKMAREETWEAFIADTLFFGKIFLIALTLIMDYHLTLWYLVVFSVIYVLTQQPPYEELGSASKLTPLQLEALLTEGNTSRFWLVEFRASFSSSCRRASRCFPELSITYSNKNLSFGIVDLGLFPNAAEKFGISPGGSMGQLPTYILFENAIEVSRFPEFNFEATPTPPITKVMCFCCQCIVQDAKILARFSNATTAASCSPF >KJB54788 pep chromosome:Graimondii2_0_v6:9:3579974:3582303:-1 gene:B456_009G049200 transcript:KJB54788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRSNPMEWLNQMVGEPYYFFHFLSFFSYFIVRSSASNVLSPQITQLLFYRMAREETWEAFIADTLFFGKIFLIALTLIMDYHLTLWYLVVFSVIYVLTQQPPYEELGSASKLTPLQLEALLTEGNTSRFWLVEFRASFSSSCRRASRCFPELSITYSNKNLSFGIVDLGLFPNAAEKFGISPGGSMGQLPTYILFENAIEVSRFPEFNFEATPTPPITKRLLARHFELDRHLLEYVNGK >KJB54800 pep chromosome:Graimondii2_0_v6:9:3580383:3582303:-1 gene:B456_009G049200 transcript:KJB54800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRSNPMEWLNQMVGEPYYFFHFLSFFSYFIVRSSASNVLSPQITQLLFYREIQAVLAFFMLIAYKMAREETWEAFIADTLFFGKIFLIALTLIMDYHLTLWYLVVFSVIYVLTQQPPYEELGSASKLTPLQLEALLTEGNTSRFWLVEFRASFSSSCRRASRCFPELSITYSNKNLSFGIVDLGLFPNAAEKFGISPGGSMGQLPTYILFENAIEVSRFPEFNFEATPTPPITKVMCFCCQCIVQDAKILARFSNATTGNIL >KJB54797 pep chromosome:Graimondii2_0_v6:9:3579974:3582303:-1 gene:B456_009G049200 transcript:KJB54797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWFFSPLCKQMAREETWEAFIADTLFFGKIFLIALTLIMDYHLTLWYLVVFSVIYVLTQQPPYEELGSASKLTPLQLEALLTEGNTSRFWLVEFRASFSSSCRRASRCFPELSITYSNKNLSFGIVDLGLFPNAAEKFGISPGGSMGQLPTYILFENAIEVSRFPEFNFEATPTPPITKRLLARHFELDRHLLEYVNGK >KJB54787 pep chromosome:Graimondii2_0_v6:9:3579960:3582391:-1 gene:B456_009G049200 transcript:KJB54787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRSNPMEWLNQMVGEPYYFFHFLSFFSYFIVRSSASNVLSPQITQLLFYREIQAVLAFFMLIAYKMAREETWEAFIADTLFFGKIFLIALTLIMDYHLTLWYLVVFSVIYVLTQQPPYEELGSASKLTPLQLEALLTEGNTSRFWLVEFRASFSSSCRRASRCFPELSITYSNKNLSFGIVDLGLFPNAAEKFGISPGGSMGQLPTYILFENAIEVSRFPEFNFEATPTPPITKRLLARHFELDRHLLEYVNGK >KJB54791 pep chromosome:Graimondii2_0_v6:9:3579974:3582303:-1 gene:B456_009G049200 transcript:KJB54791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRSNPMEWLNQMVGEPYYFFHFLSFFSYFIVRSSASNVLSPQITQLLFYREIQAVLAFFMLIAYKMAREETWEAFIADTLFFGKIFLIALTLIMDYHLTLWYLVVFSVIYVLTQQPPYEELGSASKLTPLQLEALLTEGNTSRFWLVEFRASFSSSCRRASRCFPELSITYSNKNLSFGIVDLGLFPNAAEKFGISPGGSMGQLPTYILFENAIEVSRFPEFNFEATPTPPITKRLLARHFELDRHLLEYVNGK >KJB54798 pep chromosome:Graimondii2_0_v6:9:3580753:3582162:-1 gene:B456_009G049200 transcript:KJB54798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRSNPMEWLNQMVGEPYYFFHFLSFFSYFIVRSSASNVLSPQITQLLFYREIQAVLAFFMLIAYKMAREETWEAFIADTLFFGKIFLIALTLIMDYHLTLWYLVVFSVIYVLTQQPPYEELGSASKLTPLQLEALLTEGNTSRFWLVGRISCLIFIQLQTCQSLLSRAVNHILKQKSVIRNS >KJB54799 pep chromosome:Graimondii2_0_v6:9:3580257:3581817:-1 gene:B456_009G049200 transcript:KJB54799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWFFSPLCKQMAREETWEAFIADTLFFGKIFLIALTLIMDYHLTLWYLVVFSVIYVLTQQPPYEELGSASKLTPLQLEALLTEGNTSRFWLVEFRASFSSSCRRASRCFPELSITYSNKNLSFGIVDLGLFPNAAEKFGISPGGSMGQLPTYILFENAIEVSRFPEFNFEATPTPPITKRLLARHFELDRHLLEYVNGK >KJB54796 pep chromosome:Graimondii2_0_v6:9:3579974:3582303:-1 gene:B456_009G049200 transcript:KJB54796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRSNPMEWLNQMVGEPYYFFHFLSFFSYFIVRSSASNVLSPQITQLLFYREIQAVLAFFMLIAYKMAREETWEAFIADTLFFGKIFLIALTLIMDYHLTLWYLVVFSVIYVLTQQPPYEELGSASKLTPLQLEALLTEGNTSRFWLNFVPHFHPVADVPVAAFPSCQSHTQTKICHSE >KJB54792 pep chromosome:Graimondii2_0_v6:9:3580257:3582162:-1 gene:B456_009G049200 transcript:KJB54792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRSNPMEWLNQMVGEPYYFFHFLSFFSYFIVRSSASNVLSPQITQLLFYREIQAVLAFFMLIAYKQMAREETWEAFIADTLFFGKIFLIALTLIMDYHLTLWYLVVFSVIYVLTQQPPYEELGSASKLTPLQLEALLTEGNTSRFWLVEFRASFSSSCRRASRCFPELSITYSNKNLSFGIVDLGLFPNAAEKFGISPGGSMGQLPTYILFENAIEVSRFPEFNFEATPTPPITKRLLARHFELDRHLLEYVNGK >KJB58173 pep chromosome:Graimondii2_0_v6:9:15276318:15278529:1 gene:B456_009G197700 transcript:KJB58173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLKVFDPCAICLLCLVLSASELCNGGITGNFLRKEYSPDMPLDSDVFQVPPGYNAPQQIAGFLNHDRGVIISWITPDEPGSDTVLYWSENSKHKNRAEGIFVRYKFFNYTSGYIHHCTIKNLEYNTKYMYEIGIGYTIRRFWFKTPPRTGPAVPYTFGLIGDLGQTHDSNVTLTYYESNPEKGKTVLFLGDLSYSNDYPFHDNTRWDTWGRFLERNAAYQPWIWTAGNHELDFVLNLYEETTPFKPYMHRYYVPYASSHSTSPLWYSIKRGSAYLIVLSSYSAYGKSTPQYKWLRDELPKVDRSTTPWLIVLMHCPIYTSNWHHFMEGETMRVVFESWFVKYKVDVVFSGHVHAYERSKRISNIAYNIMNGMCTPVDDQSAPVYITIGDGGNHDGPAIGMVEPQPSFSAYREASFGHGIFDIKSRTHAYFSWHRNQDGYAVEADSFWFHNSYWNPLGKSFVAQY >KJB55090 pep chromosome:Graimondii2_0_v6:9:4521892:4525043:1 gene:B456_009G063000 transcript:KJB55090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPQMCSVLCRITLDAKAAKQFQEKIDDEYRVNMILDNLPLVVPIRRLDQGSPTVYQLGYHVGLKGQYTGSKEEKIFIHNHLAFTVKYHRDPQTDSARIVGFEVKPYSIKHEYEGKWSENTRLTTCDPHTKHTVVNSNTPQEVEANKEIIFTYDVEYQESDVKWASRWDAYLLMSDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGIQFLGMVLVTMIFAVFGFLSPSNRGGLMTAMLLLWVFMGIFAGYASSRLYKMFKGTEWKKISLKTACLFPGIVFAIFFVLNALIWGQKSSGAVPFGTMFALVVMWFGISVPLVFVGGYIGFKKPAIEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILLITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGMLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >KJB55089 pep chromosome:Graimondii2_0_v6:9:4521208:4525055:1 gene:B456_009G063000 transcript:KJB55089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRSRSIHKSTSIMISAILFLFLIHTSHCFYLPGVAPEDFQKGDPLKVKVNKLTSIKTQLPYSYYSLPFCPPKKIVDSAENLGEVLRGDRIENSPYVFKMREPQMCSVLCRITLDAKAAKQFQEKIDDEYRVNMILDNLPLVVPIRRLDQGSPTVYQLGYHVGLKGQYTGSKEEKIFIHNHLAFTVKYHRDPQTDSARIVGFEVKPYSIKHEYEGKWSENTRLTTCDPHTKHTVVNSNTPQEVEANKEIIFTYDVEYQESDVKWASRWDAYLLMSDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGIQFLGMVLVTMIFAVFGFLSPSNRGGLMTAMLLLWVFMGIFAGYASSRLYKMFKGTEWKKISLKTACLFPGIVFAIFFVLNALIWGQKSSGAVPFGTMFALVVMWFGISVPLVFVGGYIGFKKPAIEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILLITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGMLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >KJB56636 pep chromosome:Graimondii2_0_v6:9:9682780:9690306:-1 gene:B456_009G128800 transcript:KJB56636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGDILKASNSLRGSLRAGSLRSGSSSVWRNSAVDVFSRSSREEDDEEALKWAAIERLPTVARLRKGILTSSQGGANEIDIANLGWQERRTILERLVKVADEDNERFLWKFKNRIDRVGIELPTIEVRFENINIEAEAFVGTNALPSFLNFITSIFEGLLINMGILSSRMKKLTILKDVSGMIKPGRMTLLLGPPSSGKTTLLLALAGKLDPALQFSGSVTYNGHTMKEFVPQRTAAYISQFDLHLGEMTVRETLAFSARCQGVGTRYDMLSELSRREKQANIKPDPDIDVFMKAIATEGEEANVITDYIMKILGLDICADILVGNEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQTVHILNGTALISLLQPAPETYDLFDDIILLSDSVIVYQGPREHVVSFFESMGFKCPERKGVADFLQEVTSRKDQMQYWARKDQPYRFITANEFAEAFQSFHVGMKLGEELGTPFDKKKSHPAALTTKKYGVGKWELLKACIAREFLLMRRNSFVYIFKCIQLTIVSCITMTLFLRTEMDRDSVQGGRIYMGALFFGMIFIMFNGMPELSMTITKLPVFYKQRDLLFFPPWAYALPSWILKIPMTFIEVSVWVFITYYVIGYDPNVERFFRQYLLLVLINQMASSLFRFIASAARNMIVANTFGTFALLVLFALSGFVLARDKIRGWWIWGYWISPLMYGQNALMVNEFLGHQWSRVPPGSNESIGLQVLKSRSFFHESYWYWLGVGALVIFVVLLNVFFTLALTFLKPFEKNRAVISEKPQSNDQANGVGGSIQLTDHENSSSHVNRSEIQDDIQRSASSSKSFSLSDATLRTNGKKKRGMVLPFEPHSLTFEDIYYSVDMPQEMKEQGITEDDRLVLLKGISGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITVSGFPKKQETFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLSNDIDAETRKMFIEEVMELVELDSLRHALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGHHSKYLIRYFEGIQGVSKIKDGYNPATWMLEVTASAQELSLGVDFADIYKNSDLYR >KJB56637 pep chromosome:Graimondii2_0_v6:9:9682780:9690067:-1 gene:B456_009G128800 transcript:KJB56637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGDILKASNSLRGSLRAGSLRSGSSSVWRNSAVDVFSRSSREEDDEEALKWAAIERLPTVARLRKGILTSSQGGANEIDIANLGWQERRTILERLVKVADEDNERFLWKFKNRIDRVGIELPTIEVRFENINIEAEAFVGTNALPSFLNFITSIFEGLLINMGILSSRMKKLTILKDVSGMIKPGRMTLLLGPPSSGKTTLLLALAGKLDPALQFSGSVTYNGHTMKEFVPQRTAAYISQFDLHLGEMTVRETLAFSARCQGVGTRYDMLSELSRREKQANIKPDPDIDVFMKAIATEGEEANVITDYIMKILGLDICADILVGNEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQTVHILNGTALISLLQPAPETYDLFDDIILLSDSVIVYQGPREHVVSFFESMGFKCPERKGVADFLQEVTSRKDQMQYWARKDQPYRFITANEFAEAFQSFHVGMKLGEELGTPFDKKKSHPAALTTKKYGVGKWELLKACIAREFLLMRRNSFVYIFKCIQLTIVSCITMTLFLRTEMDRDSVQGGRIYMGALFFGMIFIMFNGMPELSMTITKLPVFYKQRDLLFFPPWAYALPSWILKIPMTFIEVSVWVFITYYVIGYDPNVERFFRQYLLLVLINQMASSLFRFIASAARNMIVANTFGTFALLVLFALSGFVLARDKIRGWWIWGYWISPLMYGQNALMVNEFLGHQWSRVPPGSNESIGLQVLKSRSFFHESYWYWLGVGALVIFVVLLNVFFTLALTFLKPFEKNRAVISEKPQSNDQANGVGGSIQLTDHENSSSHVNRSEIQDDIQRSASSSKSFSLSDATLRTNGKKKRGMVLPFEPHSLTFEDIYYSVDMPQEMKEQGITEDDRLVLLKGISGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITVSGFPKKQETFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLSNDIDAETRKMFIEEVMELVELDSLRHALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGHHSKYLIRYFEGIQGVSKIKDGYNPATWMLEVTASAQELSLGVDFADIYKNSDLYR >KJB56635 pep chromosome:Graimondii2_0_v6:9:9682477:9690306:-1 gene:B456_009G128800 transcript:KJB56635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGDILKASNSLRGSLRAGSLRSGSSSVWRNSAVDVFSRSSREEDDEEALKWAAIERLPTVARLRKGILTSSQGGANEIDIANLGWQERRTILERLVKVADEDNERFLWKFKNRIDRVGIELPTIEVRFENINIEAEAFVGTNALPSFLNFITSIFEGLLINMGILSSRMKKLTILKDVSGMIKPGRMTLLLGPPSSGKTTLLLALAGKLDPALQFSGSVTYNGHTMKEFVPQRTAAYISQFDLHLGEMTVRETLAFSARCQGVGTRYDMLSELSRREKQANIKPDPDIDVFMKAIATEGEEANVITDYIMKILGLDICADILVGNEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQTVHILNGTALISLLQPAPETYDLFDDIILLSDSVIVYQGPREHVVSFFESMGFKCPERKGVADFLQEVTSRKDQMQYWARKDQPYRFITANEFAEAFQSFHVGMKLGEELGTPFDKKKSHPAALTTKKYGVGKWELLKACIAREFLLMRRNSFVYIFKCIQLTIVSCITMTLFLRTEMDRDSVQGGRIYMGALFFGMIFIMFNGMPELSMTITKLPVFYKQRDLLFFPPWAYALPSWILKIPMTFIEVSVWVFITYYVIGYDPNVERFFRQYLLLVLINQMASSLFRFIASAARNMIVANTFGTFALLVLFALSGFVLARDKIRGWWIWGYWISPLMYGQNALMVNEFLGHQWSRVPPGSNESIGLQVLKSRSFFHESYWYWLGVGALVIFVVLLNVFFTLALTFLKPFEKNRAVISEKPQSNDQANGVGGSIQLTDHENSSSHVNRSEIQDDIQRSASSSKSFSLSDATLRTNGKKKRGMVLPFEPHSLTFEDIYYSVDMPQEMKEQGITEDDRLVLLKGISGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITVSGFPKKQETFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLSNDIDAETRKMFIEEVMELVELDSLRHALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGHHSKYLIRYFEGIQGVSKIKDGYNPATWMLEVTASAQELSLGVDFADIYKNSDLYRRNKALIEDLSKPAPGAKELYFPTQYSQSFLTQCTACLWKQHWSYWRNPPYTAVRFLFTTVIALMFGTLFWDLGSKTEKVQDLSNAMGSMYAAVLFIGIQNSSSVQPVVSVERTVFYRERAAGMYSAMPYAIAQVLVEIPYIFVQASVYGIIVYSMIGFEWTAAKFFWYLFFMLFTLLYFTYYGMMAVAVTPNHHIAAIVSSAFYGLWNVFSGFIIPRPSIPVWWRWYYWICPVSWTLYGLFVSQFGDINELLEDGNNETVKQYLRNNYGFRHDYLGLVAAVIMSFAVLFGTIFAVAIKMFNFQRR >KJB54638 pep chromosome:Graimondii2_0_v6:9:3102970:3106522:-1 gene:B456_009G042600 transcript:KJB54638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSLTVAVPFRLGNSVCENSTFATCMDITRLKLTASPAPVLTDSETKATNHLDTAEDVDCNYAGMETKESSVELPLTGEVKGERATTSMDMISDSKDGWISSNDVMDRDSGEEDSFSLEGDRVFDLDSSCSLSVASETSSLCGEDFLGFDATSEVGTPSTMDNEKSICSVDIIAKATKFVESNVETVFASDPLAVAVNLEEEIGDGSEQKPSAVVLQLALEKEPSTTAQVGRSVFEVEYVPLWGSTSICGRRPEMEDAFATVPRFLKVPIQLLIGDRVVDGLSKDFVDQTAHFFGVYDGHGGSQVANYCRGRIHSALAEEIESIKECQSNANITDGCQELWKKAFTNCFVKVDAEIVGKADQEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKQPMALSVDHKPNREDEYERIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVVFVPRAKEDECLILASDGLWDVMSNEEACDLARRRILQWHKKNGATLTPERGETIDPASQSAAEYLSNRALQKGSKDNITVIVVDLKAQRKFKSKT >KJB54642 pep chromosome:Graimondii2_0_v6:9:3102970:3107082:-1 gene:B456_009G042600 transcript:KJB54642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSLTVAVPFRLGNSVCENSTFATCMDITRLKLTASPAPVLTDSETKATNHLDTAEDVDCNYAGMETKESSVELPLTGEVKGERATTSMDMISDSKDGWISSNDVMDRDSGEEDSFSLEGDRVFDLDSSCSLSVASETSSLCGEDFLGFDATSEVGTPSTMDNEKSICSVDIIAKATKFVESNVETVFASDPLAVAVNLEEEIGDGSEQKPSAVVLQLALEKEPSTTAQVGRSVFEVEYVPLWGSTSICGRRPEMEDAFATVPRFLKVPIQLLIGDRVVDGLSKDFVDQTAHFFGVYDGHGGSQVANYCRGRIHSALAEEIESIKECQSNANITDGCQELWKKAFTNCFVKVDAEIVGKADQEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKQPMALSVDHKPNREDEYERIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVVFVPRAKEDECLILASDGLWDVMSNEEACDLARRRILQWHKKNGATLTPERGETIDPASQSAAEYLSNRALQKGSKDNITVIVVDLKAQRKFKSKT >KJB54637 pep chromosome:Graimondii2_0_v6:9:3102779:3106522:-1 gene:B456_009G042600 transcript:KJB54637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSLTVAVPFRLGNSVCENSTFATCMDITRLKLTASPAPVLTDSETKATNHLDTAEDVDCNYAGMETKESSVELPLTGEVKGERATTSMDMISDSKDGWISSNDVMDRDSGEEDSFSLEGDRVFDLDSSCSLSVASETSSLCGEDFLGFDATSEVGTPSTMDNEKSICSVDIIAKATKFVESNVETVFASDPLAVAVNLEEEIGDGSEQKPSAVVLQLALEKEPSTTAQVGRSVFEVEYVPLWGSTSICGRRPEMEDAFATVPRFLKVPIQLLIGDRVVDGLSKDFVDQTAHFFGVYDGHGGSQVANYCRGRIHSALAEEIESIKECQSNANITDGCQELWKKAFTNCFVKVDAEIVGKADQEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKQPMALSVDHKPNREDEYERIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVVFVPRAKEDECLILASDGLWDVMSNEEACDLARRRILQWHKKNGATLTPERGETIDPASQSAAEYLSNRALQKGSKDNITVIVVDLKAQRKFKTQ >KJB54640 pep chromosome:Graimondii2_0_v6:9:3104642:3106060:-1 gene:B456_009G042600 transcript:KJB54640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSLTVAVPFRLGNSVCENSTFATCMDITRLKLTASPAPVLTDSETKATNHLDTAEDVDCNYAGMETKESSVELPLTGEVKGERATTSMDMISDSKDGWISSNDVMDRDSGEEDSFSLEGDRVFDLDSSCSLSVASETSSLCGEDFLGFDATSEVGTPSTMDNEKSICSVDIIAKATKFVESNVETVFASDPLAVAVNLEEEIGDGSEQKPSAVVLQLALEKEPSTTAQVGRSVFEVEYVPLWGSTSICGRRPEMEDAFATVPRFLKVPIQLLIGDRVVDGLSKDFVDQTAHFFGVYDGHGGSQVANYCRGRIHSALAEEIESIKECQSNANITDGCQELWKKAFTNCFVKVDAEIVGKADQEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKQPMALSVDHKVRDCKFCLYILLYFLLLVTYTHLTFILFI >KJB54641 pep chromosome:Graimondii2_0_v6:9:3102934:3107608:-1 gene:B456_009G042600 transcript:KJB54641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSLTVAVPFRLGNSVCENSTFATCMDITRLKLTASPAPVLTDSETKATNHLDTAEDVDCNYAGMETKESSVELPLTGEVKGERATTSMDMISDSKDGWISSNDVMDRDSGEEDSFSLEGDRVFDLDSSCSLSVASETSSLCGEDFLGFDATSEVGTPSTMDNEKSICSVDIIAKATKFVESNVETVFASDPLAVAVNLEEEIGDGSEQKPSAVVLQLALEKEPSTTAQVGRSVFEVEYVPLWGSTSICGRRPEMEDAFATVPRFLKVPIQLLIGDRVVDGLSKDFVDQTAHFFGVYDGHGGSQVANYCRGRIHSALAEEIESIKECQSNANITDGCQELWKKAFTNCFVKVDAEIVGKADQEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKQPMALSVDHKPNREDEYERIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVVFVPRAKEDECLILASDGLWDVMSNEEACDLARRRILQWHKKNGATLTPERGETIDPASQSAAEYLSNRALQKGSKDNITVIVVDLKAQRKFKSKT >KJB54639 pep chromosome:Graimondii2_0_v6:9:3102800:3107082:-1 gene:B456_009G042600 transcript:KJB54639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSLTVAVPFRLGNSVCENSTFATCMDITRLKLTASPAPVLTDSETKATNHLDTAEDVDCNYAGMETKESSVELPLTGEVKGERATTSMDMISDSKDGWISSNDVMDRDSGEEDSFSLEGDRVFDLDSSCSLSVASETSSLCGEDFLGFDATSEVGTPSTMDNEKSICSVDIIAKATKFVESNVETVFASDPLAVAVNLEEEIGDGSEQKPSAVVLQLALEKEPSTTAQVGRSVFEVEYVPLWGSTSICGRRPEMEDAFATVPRFLKVPIQLLIGDRVVDGLSKDFVDQTAHFFGVYDGHGGSQVANYCRGRIHSALAEEIESIKECQSNANITDGCQELWKKAFTNCFVKVDAEIVGKADQEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKQPMALSVDHKPNREDEYERIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVVFVPRAKEDECLILASDGLWDVMSNEEACDLARRRILQWHKKNGATLTPERGETIDPASQSAAEYLSNRALQKGSKDNITVIVVDLKAQRKFKSKT >KJB59648 pep chromosome:Graimondii2_0_v6:9:22011570:22014745:1 gene:B456_009G265500 transcript:KJB59648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTKKELLSKAPWRGEDHDNSNKFADAKLKVTNQPGSTPKMHVPHRKSAASRLDDDDSLEIDPELRYSFQRNFQFLQRVFSIDTVVKPLPPAMAYNVSRNLSFFTRIFTQFFGFPCFALRVEMHCYLGYVVQTFGIA >KJB59647 pep chromosome:Graimondii2_0_v6:9:22011517:22014967:1 gene:B456_009G265500 transcript:KJB59647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTKKELLSKAPWRGEDHDNSNKFADAKLKVTNQPGSTPKMHVPHRKSAASRLDDDDSLEIDPELRYSFQRNFQFLQRVFSIDTVVKPLPPAMAYNVSRNLSFFTRIFTQFFDPEGIANAQKSLGLGQEEKARRVR >KJB60430 pep chromosome:Graimondii2_0_v6:9:27600406:27608496:-1 gene:B456_009G304900 transcript:KJB60430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALTGDDLVRSMSRRMSTTGLGSRGGLASASIREAMNNRSMSTGLGSRRGWASTSIREAWNNQTDVFQRSGREEDEEELKWAAIERLPTYDRIRKAMLKQVLEEGKVGYEQVDIANLDMHDKKNLMESILSVVEQDNERFLLRLRERTDRVGIDVPKIEVRFEHLSIEGDAYLGTRALPTLLNSTLNTLEGALGLMKLFPSKKRVVNILGDVSGIVKPSRMTLLLGPPGSGKTTLLQALAGKTDTNLRVSGKITYCGHEFQEFIPQRTSAYISQHDLHHGEMTVRETLDFSGRCLGVGTRYELLAELSRREKQAGIKPDPEIDAFMKATAMAGQNTSLVSDYVLKILGLDICSDIMVGDDMRRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVRFMKQMVHIMDVTMVISLLQPAPETYDLFDDIILLSEGKIVYQGPREHVLEFFESLGFKCPERKGIADFLQEVTSKKDQQQYWCRENEPYHYVSVSKFVEHFNSFHVGQKLDDELRIPYDKSRIHPAALVKEKYGISNWELFKACFAREWLLMKRNSFVYIFKTTQITIMSVIAFTVFFRTKMKAGHISNGTKFYGALFFSLINVMFNGMAELALTIFRLPVFFKQRDFLFYPAWAFALPIWVLRIPLSLLESGIWILLTYYTIGFAPSASRFFRQFLAFFGIHQMALSLFRFIAAVGRTQVVANTLGTFTLLVVFVLGGFVVAKDDIKSWMIWGYYISPMSYGQNAIVITEFLDKRWSTPFNSTTVGKVLLKSRGMYTEEFWYWICVAALLGFSLLFNLCFIAALTYLNPLGDSKAVILEEEDESKKQSSSNGQPNLKSIEMSSPSTDMAMKNNLDNSVPSGANQGPSKRGMVLPFQPLSLAFDHVNYFVDMPAEMKSQGIEETRLQLLRDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQQTFARVTGYCEQNDIHSPHVTVYESLVYSAWLRLAKDVDAETRKMFVEDVMELVELNPLKNSLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQVIYAGPLGRQSHKLVEYFEAIPGVPKIKEGYNPATWMLEISSTAVEAQLDVDFSEIYSKSELYRKNEELIKDLSTPVPGTKDLHFPTTYSQGFFTQCKACFWKQHNSYWRNPQYNAIRFFMTFFVGIIFGLIFWGKGDKIHKQQDLMNLLGAMYSAVLFLGATNTSAVQSVVAIERTVFYRERAAGMYSPLPYAFAQVAIEAIYVSIQTLMYSILLYSMIGFHMDVGKFFLFYYFILMCFMYFTLYGMMLVALTPNHQFAAIVMSFFLSFWNLFSGFLIPRTEIPIWWRWYYWASPVAWTINGLVTSQVGDKDDPVVIPGELPMAVKAYLETHLGFRYDFLPVVVAAHIGWVLLFLFVFAYGIKFLNFQRR >KJB57599 pep chromosome:Graimondii2_0_v6:9:13280052:13284629:1 gene:B456_009G171800 transcript:KJB57599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDKNPFDEEEEEVNPFADTTARGKASGQSKFGGGLFSTSTASVPPASNSRLSPLPPEPAGFSYEREATVDIPLDTASGGSRNQDLKKKEKELQAKEAELRRREQEVRRKEEAVARAGVVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFSTYLGLVLCLLWNIIAVTTAWIKGEGVRIWFLAIIFFIAGVPGAYVLWYRPLYRAFRNESALRFGWFFLFYLLHIAFCIFAAVAPPIVFRGKSLTYVP >KJB57601 pep chromosome:Graimondii2_0_v6:9:13280052:13284629:1 gene:B456_009G171800 transcript:KJB57601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDKNPFDEEEEEVNPFADTTARGKASGQSKFGGGLFSTSTASVPPASNSRLSPLPPEPAGFSYEREATVDIPLDTASGGSRNQDLKKKEKELQAKEAELRRREQEVRRKEEAVARAGVVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFSTYLGLVLCLLWNIIAVTTAWIKGEGVRIWFLAIIFFIAGVPGAYVLWYRPLYRAFRNESALRFGWFFLFYLLHIAFCIFAAVAPPIVFRGKSLTYVP >KJB57600 pep chromosome:Graimondii2_0_v6:9:13280052:13284629:1 gene:B456_009G171800 transcript:KJB57600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDKNPFDEEEEEVNPFADTTARGKASGQSKFGGGLFSTSTASVPPASNSRLSPLPPEPAGFSYEREATVDIPLDTASGGSRNQDLKKKEKELQAKEAELRRREQEVRRKEEAVARAGVVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFSTYLGLVLCLLWNIIAVTTAWIKGEGVRIWFLAIIFFIAGVPGAYVLWYRPLYRAFRNESALRFGWFFLFYLLHIAFCIFAAVAPPIVFRGKSLTYVP >KJB57604 pep chromosome:Graimondii2_0_v6:9:13280052:13284704:1 gene:B456_009G171800 transcript:KJB57604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDKNPFDEEEEEVNPFADTTARGKASGQSKFGGGLFSTSTASVPPASNSRLSPLPPEPAGFSYEREATVDIPLDTASGGSRNQDLKKKEKELQAKEAELRRREQEVRRKEEAVARAGVVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFSTYLGLVLCLLWNIIAVTTAWIKGEGVRIWFLAIIFFIAGVPGAYVLWYRPLYRAFRNESALRFGWFFLFYLLHIAFCIFAAVAPPIVFRGKSLTGILPAVDLVGGNALVGIFYFIGFGLFCLESVVSIWVIQQVYMYFRGSGKAAELKREAARGAMRAAL >KJB57602 pep chromosome:Graimondii2_0_v6:9:13280052:13284629:1 gene:B456_009G171800 transcript:KJB57602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDKNPFDEEEEEVNPFADTTARGKASGQSKFGGGLFSTSTASVPPASNSRLSPLPPEPAGFSYEREATVDIPLDTASGGSRNQDLKKKEKELQAKEAELRRREQEVRRKEEAVARAGVVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFSTYLGLVLCLLWNIIAVTTAWIKGEGVRIWFLAIIFFIAGVPGAYVLWYRPLYRAFRNESALRFGWFFLFYLLHIAFCIFAAVAPPIVFRGKSLTGILPAVDLVGGNALVGVSICLSLSKSFIPSIRFGH >KJB57603 pep chromosome:Graimondii2_0_v6:9:13280052:13284629:1 gene:B456_009G171800 transcript:KJB57603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDKNPFDEEEEEVNPFADTTARGKASGQSKFGGGLFSTSTASVPPASNSRLSPLPPEPAGFSYEREATVDIPLDTASGGSRNQDLKKKEKELQAKEAELRRREQEVRRKEEAVARAGVVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFSTYLGLVLCLLWNIIAVTTAWIKGEGVRIWFLAIIFFIAGVPGAYVLWYRPLYRAFRNESALRFGWFFLFYLLHIAFCIFAAVAPPIVFRGKSLTGILPAVDLVGGNALVGVSICLSLSKSFIPSIRSSTSLVSDYSVLNQL >KJB57605 pep chromosome:Graimondii2_0_v6:9:13280295:13283306:1 gene:B456_009G171800 transcript:KJB57605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDKNPFDEEEEEVNPFADTTARGKASGQSKFGGGLFSTSTASVPPASNSRLSPLPPEPAGFSYEREATVDIPLDTASGGSRNQDLKKKEKELQAKEAELRRREQEVRRKEEAVARAGVVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFSTYLGLVLCLLWNIIAVTTAWIKGEGVRIWFLAIIFFIAGVPGAYVLWYRPLYRAFRNESALRFGWFFLFYLLHIAFCIFAAVAPPIVFRGKSLTYVP >KJB57597 pep chromosome:Graimondii2_0_v6:9:13280052:13282990:1 gene:B456_009G171800 transcript:KJB57597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDKNPFDEEEEEVNPFADTTARGKASGQSKFGGGLFSTSTASVPPASNSRLSPLPPEPAGFSYEREATVDIPLDTASGGSRNQDLKKKEKELQAKEAELRRREQEVRRKEEAVARAGVVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFSTYLGLVLCLLWNIIAVTTAWIKGEGLFRYILPCLVFVLNVVLRLSWLFLIIV >KJB57606 pep chromosome:Graimondii2_0_v6:9:13280295:13283306:1 gene:B456_009G171800 transcript:KJB57606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDKNPFDEEEEEVNPFADTTARGKASGQSKFGGGLFSTSTASVPPASNSRLSPLPPEPAGFSYEREATVDIPLDTASGGSRNQDLKKKEKELQAKEAELRRREQEVRRKEEAVARAGVVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFSTYLGLVLCLLWNIIAVTTAWIKGEGVRIWFLAIIFFIAGVPGAYVLWYRPLYRAFRNESALRFGWFFLFYLLHIAFCIFAAVAPPIVFRGKSLTYVP >KJB57596 pep chromosome:Graimondii2_0_v6:9:13279986:13284629:1 gene:B456_009G171800 transcript:KJB57596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDKNPFDEEEEEVNPFADTTARGKASGQSKFGGGLFSTSTASVPPASNSRLSPLPPEPAGFSYEREATVDIPLDTASGGSRNQDLKKKEKELQAKEAELRRREQEVRRKEEAVARAGVVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFSTYLGLVLCLLWNIIAVTTAWIKGEGVRIWFLAIIFFIAGVPGAYVLWYRPLYRAFRNESALRFGWFFLFYLLHIAFCIFAAVAPPIVFRGKSLTGILPAVDLVGGNALVGIFYFIGFGLFCLESVVSIWVIQQVYMYFRGSGKAAELKREAARGAMRAAL >KJB57598 pep chromosome:Graimondii2_0_v6:9:13280052:13284033:1 gene:B456_009G171800 transcript:KJB57598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDKNPFDEEEEEVNPFADTTARGKASGQSKFGGGLFSTSTASVPPASNSRLSPLPPEPAGFSYEREATVDIPLDTASGGSRNQDLKKKEKELQAKEAELRRREQEVRRKEEAVARAGVVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFSTYLGLVLCLLWNIIAVTTAWIKGEGVRIWFLAIIFFIAGVPGAYVLWYRPLYRAFRNESALRFGWFFLFYLLHIAFCIFAAVAPPIVFRGKSLTYVP >KJB59358 pep chromosome:Graimondii2_0_v6:9:20460232:20463369:-1 gene:B456_009G251300 transcript:KJB59358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSLFNRVFSRPMEDVRSTYVFDRELGYGQFGITYLVTHKETKQQFACKSISSRKLINHNDIEDVCCEVQIMYHLTGHRDIVELKAVYEDRHSVNLIIELCVRGELFARIITKGNYSEKEAANLCRQIVKVVIIVIQWGVNAQGLEA >KJB59360 pep chromosome:Graimondii2_0_v6:9:20460535:20461595:-1 gene:B456_009G251300 transcript:KJB59360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSLFNRVFSRPMEDVRSTYVFDRELGYGQFGITYLVTHKETKQQFACKSISSRKLINHNDIEDVCCEVQIMYHLTGHRDIVELKAVYEDRHSVNLIIELCVRGELFARIITKGNYSEKEAANLCRQIVKVVIIVIQWGVNAQGLEA >KJB59359 pep chromosome:Graimondii2_0_v6:9:20460404:20463199:-1 gene:B456_009G251300 transcript:KJB59359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVRSTYVFDRELGYGQFGITYLVTHKETKQQFACKSISSRKLINHNDIEDVCCEVQIMYHLTGHRDIVELKAVYEDRHSVNLIIELCVRGELFARIITKGNYSEKEAANLCRQIVKVVIIVIQWGVNAQGLEA >KJB53425 pep chromosome:Graimondii2_0_v6:9:13528630:13528995:-1 gene:B456_009G175000 transcript:KJB53425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRNVVEFEDFFPAMVEKLGAEGFMKELSNGFQLLVDGDKGVITFESLKRNSALLGLKEMSDEEVVCMLREGDLDGDGALNEMEFCTLMLRLSPELMNNSRNLLVEAIINFYALCQICIN >KJB54158 pep chromosome:Graimondii2_0_v6:9:1794181:1799832:1 gene:B456_009G023500 transcript:KJB54158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVKEDEKNERIIRGLLKQPENRRCINCNSLGPQYVCTNFWTFICTTCSGIHREFTHRVKSVSMAKFTSQEVSALQEGGNQRAKEIYFKEWDPQRNSLPKSSNVERLRDFIKHVYVDGRYSGGRSNDKPPQGKTGDKEDFFENRRTDGYQGGSRSPQYEDTHERRYSERSSPGGTSDDRNSRYGYDERQSPGYNPENRKYAEYVRSPARPEIVNDWRREDRFSNGRKFEDRKISNGDPKLEGRSPERQKDLESSSPPVVRPIREILGENVIPLRINDPPNTNGGRTGDGPRAQQRTASSSSLGSTSGNPAEVKLETTGSLIDFDADPEPPVASAVPQTQQTTMTQSIVQPASSTNENNWASFDFAPQTNVSQAPPSVNTLESVLSQLSVPTSVPGHLSGPSSGVGDQVAAPVGNVNVAPLGGNSNVAFTGQINALPFGTTAPATALVSKFSTVPPTGAFTATPGLTPTMPVSSGSQDDVNNAGQWPNMQQQQTSFFSAADSLSTTHQFMPLGAGATANQPWNLAVSQHTQGPLSAPAVAQTPQAASKVVPDVTSTVVSQPPSEAKASGRQELPADLFTATYPSYPAAAQGWQTGPPRGMGFTMQYNTAVPMTAFPQSSRSVNPFDLGGEGPPVQTQTFPSMVSLQGALPIMPRPGLVHTSNLSPPSSAWMPPQSLPYASRPPYPGAQLPSNLPPSSHQNGGIGNEASFGFVNTDQQMGGRFSAAPTPQPFRSVGGGNPFG >KJB54155 pep chromosome:Graimondii2_0_v6:9:1794144:1799903:1 gene:B456_009G023500 transcript:KJB54155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVKEDEKNERIIRGLLKQPENRRCINCNSLGPQYVCTNFWTFICTTCSGIHREFTHRVKSVSMAKFTSQEVSALQEGGNQRAKEIYFKEWDPQRNSLPKSSNVERLRDFIKHVYVDGRYSGGRSNDKPPQGKTGDKEDFFENRRTDGYQGGSRSPQYEDTHERRYSERSSPGGTSDDRNSRYGYDERQSPGYNPENRKYAEYVRSPARPEIVNDWRREDRFSNGRKFEDRKISNGDPKLEGRSPERQKDLESSSPPVVRPIREILGENVIPLRINDPPNTNGGRTGDGPRAQRTASSSSLGSTSGNPAEVKLETTGSLIDFDADPEPPVASAVPQTQQTTMTQSIVQPASSTNENNWASFDFAPQTNVSQAPPSVNTLESVLSQLSVPTSVPGHLSGPSSGVGDQVAAPVGNVNVAPLGGNSNVAFTGQINALPFGTTAPATALVSKFSTVPPTGAFTATPGLTPTMPVSSGSQDDVNNAGQWPNMQQQQTSFFSAADSLSTTHQFMPLGAGATANQPWNLAVSQHTQGPLSAPAVAQTPQAASKVVPDVTSTVVSQPPSEAKASGRQELPADLFTATYPSYPAAAQGWQTGPPRGMGFTMQYNTAVPMTAFPQSSRSVNPFDLGGEGPPVQTQTFPSMVSLQGALPIMPRPGLVHTSNLSPPSSAWMPPQSLPYASRPPYPGAQLPSNLPPSSHQNGGIGNEASFGFVNTDQQMGGRFSAAPTPQPFRSVGGGNPFG >KJB54160 pep chromosome:Graimondii2_0_v6:9:1794181:1799832:1 gene:B456_009G023500 transcript:KJB54160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVKEDEKNERIIRGLLKQPENRRCINCNSLGPQYVCTNFWTFICTTCSGIHREFTHRVKSVSMAKFTSQEVSALQEGGNQRAKEIYFKEWDPQRNSLPKSSNVERLRDFIKHVYVDGRYSGGRSNDKPPQGKTGDKEDFFENRRTDGYQGGSRSPQYEDTHERRYSERSSPGGTSDDRNSRYGYDERQSPGYNPENRKYAEYVRSPARPEIVNDWRREDRFSNGRKFEDRKISNGDPKLEGRSPERQKDLESSSPPVVRPIREILGENVIPLRINDPPNTNGGRTGDGPRAQRTASSSSLGSTSGNPAEVKLETTGSLIDFDADPEPPVASAVPQTQQTTMTQSIVQPASSTNENNWASFDFAPQTNVSQAPPSVNTLESVLSQLSVPTSVPGHLSGPSSGVGDQVAAPVGNVNVAPLGGNSNVAFTGQINALPFGTTAPATALVSKFSTVPPTGAFTATPGLTPTMPVSSGSQDDVNNAGQWPNMQQQQTSFFSAADSLSTTHQFMPLGAGATANQPWNLAVSQHTQGPLSAPAVAQTPQAASKVVPDVTSTVVSQPPSEAKASGRQELPADLFTATYPSYPAAAQGWQTGPPRGMGFTMQYNTAVPMTAFPQSSRSVNPFDLGGEGPPVQTQTFPSMVSLQGALPIMPRPGLVHTSNLSPPSSAWMPPQSLPYASRPPYPGAQLPSNLPPSSHQNGGIGNEASFGFVNTDQQMGGRFSAAPTPQPFRSVGGGNPFG >KJB54157 pep chromosome:Graimondii2_0_v6:9:1794181:1799832:1 gene:B456_009G023500 transcript:KJB54157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVKEDEKNERIIRGLLKQPENRRCINCNSLGPQYVCTNFWTFICTTCSGIHREFTHRVKSVSMAKFTSQEVSALQEGGNQRAKEIYFKEWDPQRNSLPKSSNVERLRDFIKHVYVDGRYSGGRSNDKPPQGKTGDKEDFFENRRTDGYQGGSRSPQYEDTHERRYSERSSPGGTSDDRNSRYGYDERQSPGYNPENRKYAEYVRSPARPEIVNDWRREDRFSNGRKFEDRKISNGDPKLEGRSPERQKDLESSSPPVVRPIREILGENVIPLRINDPPNTNGGRTGDGPRAQRTASSSSLGSTSGNPAEVKLETTGSLIDFDADPEPPVASAVPQTQQTTMTQSIVQPASSTNENNWASFDFAPQTNVSQAPPSVNTLESVLSQLSVPTSVPGHLSGPSSGVGDQVAAPVGNVNVAPLGGNSNVAFTGQINALPFGTTAPATALVSKFSTVPPTGAFTATPGLTPTMPVSSGSQDDVNNAGQWPNMQQQQTSFFSAADSLSTTHQFMPLGAGATANQPWNLAVSQHTQGPLSAPAVAQTPQAASKVVPDVTSTVVSQPPSEAKASGRQELPADLFTATYPSYPAAAQGWQTGPPRGMGFTMQYNTAVPMTAFPQSSRSVNPFDLGGEGPPVQTQTFPSMVSLQGALPIMPRPGLVHTSNLSPPSSAWMPPQSLPYASRPPYPGAQLPSNLPPSSHQNGGIGNEASFGFVNTDQQMGGRFSAAPTPQPFRSVGGGNPFG >KJB54159 pep chromosome:Graimondii2_0_v6:9:1794721:1798680:1 gene:B456_009G023500 transcript:KJB54159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVKEDEKNERIIRGLLKQPENRRCINCNSLGPQYVCTNFWTFICTTCSGIHREFTHRVKSVSMAKFTSQEVSALQEGGNQRAKEIYFKEWDPQRNSLPKSSNVERLRDFIKHVYVDGRYSGGRSNDKPPQGKTGDKEDFFENRRTDGYQGGSRSPQYEDTHERRYSERSSPGGTSDDRNSRYGYDERQSPGYNPENRKYAEYVRSPARPEIVNDWRREDRFSNGRKFEDRKISNGDPKLEGRSPERQKDLESSSPPVVRPIREILGENVIPLRINDPPNTNGGRTGDGPRAQRTASSSSLGSTSGNPAEVKLETTGSLIDFDADPEPPVASAVPQTQQTTMTQSIVQPASSTNENNWASFDFAPQTNVSQAPPSVNTLESVLSQLSVPTSVPGHLSGPSSGVGDQVAAPVGNVNVAPLGGNSNVAFTGQINALPFGTTAPATALVSKFSTVPPTGAFTATPGLTPTMPVSSGSQDDVNNAGQWPNMQQQQTSFFSAADSLSTTHQFMPLGAGATANQPWNLAVSQHTQGPLSAPAVAQTPQAASKVVPDVTSTVVSQPPSEAKASGRQELPADLFTATYPSYPAAAQGWQTGPPRGMGFTMQYNTAVVLQ >KJB54156 pep chromosome:Graimondii2_0_v6:9:1794181:1799832:1 gene:B456_009G023500 transcript:KJB54156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVKEDEKNERIIRGLLKQPENRRCINCNSLGPQYVCTNFWTFICTTCSGIHREFTHRVKSVSMAKFTSQEVSALQEGGNQRAKEIYFKEWDPQRNSLPKSSNVERLRDFIKHVYVDGRYSGGRSNDKPPQGKTGDKEDFFENRRTDGYQGGSRSPQYEDTHERRYSERSSPGGTSDDRNSRYGYDERQSPGYNPENRKYAEYVRSPARPEIVNDWRREDRFSNGRKFEDRKISNGDPKLEGRSPERQKDLESSSPPVVRPIREILGENVIPLRINDPPNTNGGRTGDGPRAQRTASSSSLGSTSGNPAEVKLETTGSLIDFDADPEPPVASAVPQTQQTTMTQSIVQPASSTNENNWASFDFAPQTNVSQAPPSVNTLESVLSQLSVPTSVPGHLSGPSSGVGDQVAAPVGNVNVAPLGGNSNVAFTGQINALPFGTTAPATALVSKFSTVPPTGAFTATPGLTPTMPVSSGSQDDVNNAGQWPNMQQQQTSFFSAADSLSTTHQFMPLGAGATANQPWNLAVSQHTQGPLSAPAVAQTPQAASKVVPDVTSTVVSQPPSEAKASGRQELPADLFTATYPSYPAAAQGWQTGPPRGMGFTMQYNTAVFPSMVSLQGALPIMPRPGLVHTSNLSPPSSAWMPPQSLPYASRPPYPGAQLPSNLPPSSHQNGGIGNEASFGFVNTDQQMGGRFSAAPTPQPFRSVGGGNPFG >KJB60955 pep chromosome:Graimondii2_0_v6:9:34720120:34722892:-1 gene:B456_009G333200 transcript:KJB60955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTEDKGYYEISSSSGISFEFHKGNGATNRGSHHRTGGFGKPTPSKWDDAQKWLVGLSRGRNKGQPETTPRNSNADDRRLIALVPQKEQDCSSSEGEGSAAEETNGSAMAATAVAAVPSEYQDETKQVDCDESIWPINYKPANQNSTSVVRSVCVRDMGTEMTPAASQEPSRTATPIRAMSPSARNPTPITSGSSTPVRCRYGQACAENHQAGTTTSTDAKGEHETNGASRGNGSNGLHEQESRIHENNNSDQVSKQNTLETRAMAWDEAERAKYMARYKREEVKIQAWENHEKRKAEMEMKKMEVKAERLKARAKEKCANKLAATRRIAEEKRANAESKLNEKAMRTSERADYIRRTGHLPSSFSFKLPPLCW >KJB60956 pep chromosome:Graimondii2_0_v6:9:34720120:34723702:-1 gene:B456_009G333200 transcript:KJB60956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTEDKGYYEISSSSGISFEFHKGNGATNRGSHHRTGGFGKPTPSKWDDAQKWLVGLSRGRNKGQPETTPRNSNADDRRLIALVPQKEQDCSSSEGEGSAAEETNGSAMAATAVAAVPSEYQDETKQVDCDESIWPINYKPANQNSTSVVRSVCVRDMGTEMTPAASQEPSRTATPIRAMSPSARNPTPITSGSSTPVRCRYGQACAENHQAGTTTSTDAKGEHETNGASRGNGSNGLHEQESRIHENNNSDQVSKQNTLETRAMAWDEAERAKYMARYKREEVKIQAWENHEKRKAEMEMKKMEVKAERLKARAKEKCANKLAATRRIAEEKRANAESKLNEKAMRTSERADYIRRTGHLPSSFSFKLPPLCW >KJB60957 pep chromosome:Graimondii2_0_v6:9:34721102:34723575:-1 gene:B456_009G333200 transcript:KJB60957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTEDKGYYEISSSSGISFEFHKGNGATNRGSHHRTGGFGKPTPSKWDDAQKWLVGLSRGRNKGQPETTPRNSNADDRRLIALVPQKEQDCSSSEGEGSAAEETNGSAMAATAVAAVPSEYQDETKQVDCDESIWPINYKPANQNSTSVVRSVCVRDMGTEMTPAASQEPSRTATPIRAMSPSARNPTPITSGSSTPVRCRYGQACAENHQAGTTTSTDAKGEHETNGASRGNGSNGLHEQESRIHENNNSDQVSKQNTLETRAMAWDEAERAKYMARYKREEVKIQAWENHEKRKAEMEMKKMEVRD >KJB55688 pep chromosome:Graimondii2_0_v6:9:6491733:6506441:1 gene:B456_009G089000 transcript:KJB55688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPNLFPFGSVLGNPFLLNGGVGDLSDGGFDSSRVFFLVPFLLFQGGGMDLSKVGEKILSSVRSARSLGLLPSSLSSDRPEVPARAAAAAAVARALSGLPPDQRYSLPSSSEELMSIYGSKPQSQIVEDVEEKFYEEEFDPIKHVLEHIPSDENELEYFEKQATLRLAQLDRVAEQLSRNVMEHHEVMVKGMNLVRELEKDLKIANVICRNGRRHLTSSMNEVSRDLVVNTDSKKKQALMHLLPVLAELLHARDMQVSLESLVEEGNFCKAFQVLSEYLQLLDSFSELSAIQEMSRGVEVWLGRTLQKLDSLLLGVCQEFKEEGYLTVVDAYALIGDVSGLAEKIQSFFMQEVISETHSVLKSIILYEDQDVHMQNSRLTYSDLCLQIPESKFRQCLLRTLAVLFKIMCSYHEIMGFQLENKVLECPVTNAKLMKDGIPGSSSIKESTTATSSADTSGRMDSGNVESDKPVSDGRNGDGATSSSGSPWYQLRKEAITFVSQTLQRGRKNLWQLMTSRVSVLLSASAAASTSIHQFLKNYEDLSTFILAGEAFCGVEAFEFRQKLKGVCGNYFAAFHRQNVFALKMVLERETWLRLPPETAQIISFAGLVGDGAPLIAASDGRSSNSRVLRADKSANKVDTGAKKSGFSPWLRNGNPFLLKVSSSHKEAHNSSPLNGTTSVEYEGNADNIHGDVSPRGDENHINGANSISEEENEDLLADFIDEDSQLPSRISKPNLSRNYSLHFSNDDFTAQTGSSLCLLRSMDKYARLMQKLEIVNVEFFKGICQLFEMFFYFVFETFGLQNMNSSGKTSTDSLNYRLKTALSQVTQDCEEWIKTSSGSLSSSTAHADLTPTAPQNTNFGSPPGTSFGLKERCAGADTVALVARILHRSRTNLQSLLLKSNTAIVEDFFVHLVGAVPDLVEHIHRTTARILLHINGYVDRIANAKWELKELGMEHNGYVDLLLGEFKHYKTRLAHGGIQKEVQDLLLDYGLEIVAETLIEGLSRVKRCTDEGRALMSLDLQVLINGLQHFVSINVKPKLQIVETFIKAYYLPETEYVHWARAHPEYSKNQIVGLINLVASMKGWKRKTRLEVLEKIE >KJB55690 pep chromosome:Graimondii2_0_v6:9:6491751:6504966:1 gene:B456_009G089000 transcript:KJB55690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPNLFPFGSVLGNPFLLNGGVGDLSDGGFDSSRVFFLVPFLLFQGGGMDLSKVGEKILSSVRSARSLGLLPSSLSSDRPEVPARAAAAAAVARALSGLPPDQRYSLPSSSEELMSIYGSKPQSQIVEDVEEKFYEEEFDPIKHVLEHIPSDENELEYFEKQATLRLAQLDRVAEQLSRNVMEHHEVMVKGMNLVRELEKDLKIANVICRNGRRHLTSSMNEVSRDLVVNTDSKKKQALMHLLPVLAELLHARDMQVSLESLVEEGNFCKAFQVLSEYLQLLDSFSELSAIQEMSRGVEVWLGRTLQKLDSLLLGVCQEFKEEGYLTVVDAYALIGDVSGLAEKIQSFFMQEVISETHSVLKSIILYEDQDVHMQNSRLTYSDLCLQIPESKFRQCLLRTLAVLFKIMCSYHEIMGFQLENKVLECPVTNAKLMKDGIPGSSSIKESTTATSSADTSGRMDSGNVESDKPVSDGRNGDGATSSSGSPWYQLRKEAITFVSQTLQRGRKNLWQLMTSRVSVLLSASAAASTSIHQFLKNYEDLSTFILAGEAFCGVEAFEFRQKLKGVCGNYFAAFHRQNVFALKMVLERETWLRLPPETAQIISFAGLVGDGAPLIAASDGRSSNSRVLRADKSANKVDTGAKKSGFSPWLRNGNPFLLKVSSSHKEAHNSSPLNGTTSVEYEGNADNIHGDVSPRGDENHINGANSISEEENEDLLADFIDEDSQLPSRISKPNLSRNYSLHFSNDDFTAQTGSSLCLLRSMDKYARLMQKLEIVNVEFFKGICQLFEMFFYFVFETFGLQNMNSSGKTSTDSLNYRLKTALSQVTQDCEEWIKTSSGSLSSSTAHADLTPTAPQNTNFGSPPGTSFGLKERCAGADTVALVARILHRSRTNLQSLLLKSNTAIVEDFFVHLVGAVPDLVEHIHRTTARILLHINGYVDRIANAKWELKELGMEHNGYVDLLLGEFKHYKTRLAHGGIQKEVQDLLLDYGLEIVAETLIEGLSRVKRCTDEGRALMSLDLQVLINGLQHFVSINVKPKLQIVETFIKVTP >KJB55692 pep chromosome:Graimondii2_0_v6:9:6498217:6506421:1 gene:B456_009G089000 transcript:KJB55692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDGIPGSSSIKESTTATSSADTSGRMDSGNVESDKPVSDGRNGDGATSSSGSPWYQLRKEAITFVSQTLQRGRKNLWQLMTSRVSVLLSASAAASTSIHQFLKNYEDLSTFILAGEAFCGVEAFEFRQKLKGVCGNYFAAFHRQNVFALKMVLERETWLRLPPETAQIISFAGLVGDGAPLIAASDGRSSNSRVLRADKSANKVDTGAKKSGFSPWLRNGNPFLLKVSSSHKEAHNSSPLNGTTSVEYEGNADNIHGDVSPRGDENHINGANSISEEENEDLLADFIDEDSQLPSRISKPNLSRNYSLHFSNDDFTAQTGSSLCLLRSMDKYARLMQKLEIVNVEFFKGICQLFEMFFYFVFETFGLQNMNSSGKTSTDSLNYRLKTALSQVTQDCEEWIKTSSGSLSSSTAHADLTPTAPQNTNFGSPPGTSFGLKERCAGADTVALVARILHRSRTNLQSLLLKSNTAIVEDFFVHLVGAVPDLVEHIHRTTARILLHINGYVDRIANAKWELKELGMEHNGYVDLLLGEFKHYKTRLAHGGIQKEVQDLLLDYGLEIVAETLIEGLSRVKRCTDEGRALMSLDLQVLINGLQHFVSINVKPKLQIVETFIKAYYLPETEYVHWARAHPEYSKNQIVGLINLVASMKGWKRKTRLEVLEKIE >KJB55689 pep chromosome:Graimondii2_0_v6:9:6491685:6505531:1 gene:B456_009G089000 transcript:KJB55689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPNLFPFGSVLGNPFLLNGGVGDLSDGGFDSSRVFFLVPFLLFQGGGMDLSKVGEKILSSVRSARSLGLLPSSLSSDRPEVPARAAAAAAVARALSGLPPDQRYSLPSSSEELMSIYGSKPQSQIVEDVEEKFYEEEFDPIKHVLEHIPSDENELEYFEKQATLRLAQLDRVAEQLSRNVMEHHEVMVKGMNLVRELEKDLKIANVICRNGRRHLTSSMNEVSRDLVVNTDSKKKQALMHLLPVLAELLHARDMQVSLESLVEEGNFCKAFQVLSEYLQLLDSFSELSAIQEMSRGVEVWLGRTLQKLDSLLLGVCQEFKEEGYLTVVDAYALIGDVSGLAEKIQSFFMQEVISETHSVLKSIILYEDQDVHMQNSRLTYSDLCLQIPESKFRQCLLRTLAVLFKIMCSYHEIMGFQLENKVLECPVTNAKLMKDGIPGSSSIKESTTATSSADTSGRMDSGNVESDKPVSDGRNGDGATSSSGSPWYQLRKEAITFVSQTLQRGRKNLWQLMTSRVSVLLSASAAASTSIHQFLKNYEDLSTFILAGEAFCGVEAFEFRQKLKGVCGNYFAAFHRQNVFALKMVLERETWLRLPPETAQIISFAGLVGDGAPLIAASDGRSSNSRVLRADKSANKVDTGAKKSGFSPWLRNGNPFLLKVSSSHKEAHNSSPLNGTTSVEYEGNADNIHGDVSPRGDENHINGANSISEEENEDLLADFIDEDSQLPSRISKPNLSRNYSLHFSNDDFTAQTGSSLCLLRSMDKYARLMQKLEIVNVEFFKGICQLFEMFFYFVFETFGLQNMNSSGKTSTDSLNYRLKTALSQVTQDCEEWIKTSSGSLSSSTAHADLTPTAPQNTNFGSPPGTSFGLKERCAGADTVALVARILHRSRTNLQSLLLKSNTAIVEDFFVHLVGAVPDLVEHIHRTTARILLHINGYVDRIANAKWELKELGMEHNGYVDLLLGEFKHYKTRLAHGGIQKEVQDLLLDYGLEIVAETLIEGLSRVKRCTDEGRALMSLDLQVLINGLQHFVSINVKPKLQIVETFIKAYYLPETEYVHWARAHPEYSKNQIVGLINLVASMKGWKRKTRLEVLEKIE >KJB55691 pep chromosome:Graimondii2_0_v6:9:6491751:6505531:1 gene:B456_009G089000 transcript:KJB55691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPNLFPFGSVLGNPFLLNGGVGDLSDGGFDSSRVFFLVPFLLFQGGGMDLSKVGEKILSSVRSARSLGLLPSSLSSDRPEVPARAAAAAAVARALSGLPPDQRYSLPSSSEELMSIYGSKPQSQIVEDVEEKFYEEEFDPIKHVLEHIPSDENELEYFEKQATLRLAQLDRVAEQLSRNVMEHHEVMVKGMNLVRELEKDLKIANVICRNGRRHLTSSMNEVSRDLVVNTDSKKKQALMHLLPVLAELLHARDMQVSLESLVEEGNFCKAFQVLSEYLQLLDSFSELSAIQEMSRGVEVWLGRTLQKLDSLLLGVCQEFKEEGYLTVVDAYALIGDVSGLAEKIQSFFMQEVISETHSVLKSIILYEDQDVHMQNSRLTYSDLCLQIPESKFRQCLLRTLAVLFKIMCSYHEIMGFQLENKVLECPVTNAKLMKDGIPGSSSIKESTTATSSADTSGRMDSGNVESDKPVSDGRNGDGATSSSGSPWYQLRKEAITFVSQTLQRGRKNLWQLMTSRVSVLLSASAAASTSIHQFLKNYEDLSTFILAGEAFCGVEAFEFRQKLKGVCGNYFAAFHRQNVFGICQLFEMFFYFVFETFGLQNMNSSGKTSTDSLNYRLKTALSQVTQDCEEWIKTSSGSLSSSTAHADLTPTAPQNTNFGSPPGTSFGLKERCAGADTVALVARILHRSRTNLQSLLLKSNTAIVEDFFVHLVGAVPDLVEHIHRTTARILLHINGYVDRIANAKWELKELGMEHNGYVDLLLGEFKHYKTRLAHGGIQKEVQDLLLDYGLEIVAETLIEGLSRVKRCTDEGRALMSLDLQVLINGLQHFVSINVKPKLQIVETFIKAYYLPETEYVHWARAHPEYSKNQIVGLINLVASMKGWKRKTRLEVLEKIE >KJB56822 pep chromosome:Graimondii2_0_v6:9:10325832:10333522:1 gene:B456_009G137100 transcript:KJB56822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLRSGARRGRAAAQKQQQQQLPNPIEAGEAIATRTRRRRRAAEEAAAAAASPLEKDNNDEGDNRNKKPRAQGVNEKLAVAAAGEAAVREEEKNTKNRILEEEKKEEVGEKPMDEFNSGGGRGKDKGNAGEDEGSTAPLPEKVQVGGSPWYRIERKLGKGGFGQVCVGRRVSATNTNDRNGSGALEVALKFEHRSSKGCNYGPPYEWQVYNTLGGSHGIPRVHYKGRQGDYYVMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPTGTPDEKKLFLVDLGLATRWRDSSTGLHVEYDQRPDVFRGTVRYASVHAHLGRTCSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMSTSPEALCCFCPAPFKQFVEHVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTEGALELIYQVGQKRGRLSMDEEEDEQPKKKLRLGMPATQWISVYNARRPMKQRYHYNVADGRLAQHIEKGNEDGLFVSSVASCQNLWALIMDAGTGFSAQVYELSPYFLHKEWIMEQWEKNYYISAIAGATNGGSLVVMSKGTSYLQQSYKVSESFPFKWINKKWREGFHVTSMATSGSRWGVVMSRGAGFSDQVLINLTDILSKCTP >KJB56821 pep chromosome:Graimondii2_0_v6:9:10325527:10333570:1 gene:B456_009G137100 transcript:KJB56821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLRSGARRGRAAAQKQQQQQLPNPIEAGEAIATRTRRRRRAAEEAAAAAASPLEKDNNDEGDNRNKKPRAQGVNEKLAVAAAGEAAVREEEKNTKNRILEEEKKEEVGEKPMDEFNSGGGRGKDKGNAGEDEGSTAPLPEKVQVGGSPWYRIERKLGKGGFGQVCVGRRVSATNTNDRNGSGALEVALKFEHRSSKGCNYGPPYEWQVYNTLGGSHGIPRVHYKGRQGDYYVMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPTGTPDEKKLFLVDLGLATRWRDSSTGLHVEYDQRPDVFRGTVRYASVHAHLGRTCSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMSTSPEALCCFCPAPFKQFVEHVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTEGALELIYQVGQKRGRLSMDEEEDEQPKKKLRLGMPATQWISVYNARRPMKQRYHYNVADGRLAQHIEKGNEDGLFVSSVASCQNLWALIMDAGTGFSAQVYELSPYFLHKEWIMEQWEKNYYISAIAGATNGGSLVVMSKGTSYLQQSYKVSESFPFKWINKKWREGFHVTSMATSGSRWGVVMSRGAGFSDQVVELDFLYPSEGIHRRWDSGYRITATAATWDQAAFVLSVPRRRPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >KJB58969 pep chromosome:Graimondii2_0_v6:9:18411099:18412963:1 gene:B456_009G233200 transcript:KJB58969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSGFILPCKVPMESRKSHPSIVCFSEILVEPNHKGKHQILRNSKFQPLGSGLRFQITDRHSYMVFCNSSVEQGPVIPSSPAPAPGSWKPWILGFLMSIILPFWRGNWRPLLKLKQEAETVIDTVETVTDIVEKVAEQVKQVADKVGDSLPEGKLKDALEIAEDMAEGTVDDARIVGEFIDKVEDMVDQVEEELESLIKPNSGDDEEEVKEKNQKGKGHV >KJB58970 pep chromosome:Graimondii2_0_v6:9:18411228:18412273:1 gene:B456_009G233200 transcript:KJB58970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSGFILPCKVPMESRKSHPSIVCFSEILVEPNHKGKHQILRNSKFQPLGSGLRFQITDRHSYMVFCNSSVEQGPVIPSSPAPAPGSWKPWILGFLMSIILPFWRGNWRPLLKLKQEAETVIDTVETVTDIVEKVAEQVKQVADKVGDSLPEGKLKDALEIAEDMAEGTVDDARIVGEFIDKTLRIMIGSNQIQEIKDQQHLIPDINVLIYF >KJB62104 pep chromosome:Graimondii2_0_v6:9:57869913:57875475:1 gene:B456_009G401100 transcript:KJB62104 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRP54CP [Source:Projected from Arabidopsis thaliana (AT5G03940) UniProtKB/TrEMBL;Acc:A0A178UD49] MEAMQISATASRHFSATLGPFSTKRSPTKPTKLATSWASSASTNLASLSSRNLFTREIWGWVNSKTATSRREMRGVVRAEMFGQLTSGLEAAWTKLKGEEVLTKENIVEPMRDIRRALLEADVSLPVVRRFVQAVSDQAVGVGLIRGVKPDQQLVKIVNDELVKLMGGEVSELVFSKSGPTVILLAGLQGVGKTTVCAKLANYLKKQGKSSMLIAGDVYRPAAIDQLVILGEQVGVPVYTAGTEVKPSEIAKQGLEEAKKKKIDVVIMDTAGRLQIDKGMMDELKEVKKVLNPTEVLLVVDAMTGQEAAALVTTFNVEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRQEDAEELQKKIMSAKFDFNDFLKQTRAVARMGSMTRVIGMIPGMGKVTPAQVREAEKNLKLMEAMIEAMTPGLLF >KJB62103 pep chromosome:Graimondii2_0_v6:9:57869913:57875975:1 gene:B456_009G401100 transcript:KJB62103 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRP54CP [Source:Projected from Arabidopsis thaliana (AT5G03940) UniProtKB/TrEMBL;Acc:A0A178UD49] MGGEVSELVFSKSGPTVILLAGLQGVGKTTVCAKLANYLKKQGKSSMLIAGDVYRPAAIDQLVILGEQVGVPVYTAGTEVKPSEIAKQGLEEAKKKKIDVVIMDTAGRLQIDKGMMDELKEVKKVLNPTEVLLVVDAMTGQEAAALVTTFNVEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRQEDAEELQKKIMSAKFDFNDFLKQTRAVARMGSMTRVIGMIPGMGKVTPAQVREAEKNLKLMEAMIEAMTPEEREKPELLAESPDRRRRIAKDSGKTEQQVSQLVAQLFQMRVRMKNLMGIMEGGSIPTLSNLEDAMKAEQAAPPGTARRKRRSESRRQFADSASTRPSPRGFGAKN >KJB62101 pep chromosome:Graimondii2_0_v6:9:57869907:57875979:1 gene:B456_009G401100 transcript:KJB62101 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRP54CP [Source:Projected from Arabidopsis thaliana (AT5G03940) UniProtKB/TrEMBL;Acc:A0A178UD49] MEAMQISATASRHFSATLGPFSTKRSPTKPTKLATSWASSASTNLASLSSRNLFTREIWGWVNSKTATSRREMRGVVRAEMFGQLTSGLEAAWTKLKGEEVLTKENIVEPMRDIRRALLEADVSLPVVRRFVQAVSDQAVGVGLIRGVKPDQQLVKIVNDELVKLMGGEVSELVFSKSGPTVILLAGLQGVGKTTVCAKLANYLKKQGKSSMLIAGDVYRPAAIDQLVILGEQVGVPVYTAGTEVKPSEIAKQGLEEAKKKKIDVVIMDTAGRLQIDKGMMDELKEVKKVLNPTEVLLVVDAMTGQEAAALVTTFNVEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRQEDAEELQKKIMSAKFDFNDFLKQTRAVARMGSMTRVIGMIPGMGKVTPAQVREAEKNLKLMEAMIEAMTPEEREKPELLAESPDRRRRIAKDSGKTEQQVSQLVAQLFQMRVRMKNLMGIMEGGSIPTLSNLEDAMKAEQAAPPGTARRKRRSESRRQFADSASTRPSPRGFGAKN >KJB62102 pep chromosome:Graimondii2_0_v6:9:57869913:57875975:1 gene:B456_009G401100 transcript:KJB62102 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRP54CP [Source:Projected from Arabidopsis thaliana (AT5G03940) UniProtKB/TrEMBL;Acc:A0A178UD49] MEAMQISATASRHFSATLGPFSTKRSPTKPTKLATSWASSASTNLASLSSRNLFTREIWGWVNSKTATSRREMRGVVRAEMFGQLTSGLEAAWTKLKGEEVLTKENIVEPMRDIRRALLEADVSLPVVRRFVQAVSDQAVGVGLIRGVKPDQQLVKIVNDELVKLMGGEVSELVFSKSGPTVILLAGLQGVGKTTVCAKLANYLKKQGKSSMLIAGDVYRPAAIDQLVILGEQVGVPVYTAGTEVKPSEIAKQGLEEAKKKKIDVVIMDTAGRLQIDKGMMDELKEVKKVLNPTEVLLVVDAMTGQEAAALVTTFNVEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRQEDAEELQKKIMSAKFDFNDFLKQTRAVARMGSMTRVIGMIPGMGKVTPAQVREAEKNLKLMEAMIEAMTPGLLF >KJB62105 pep chromosome:Graimondii2_0_v6:9:57869913:57875975:1 gene:B456_009G401100 transcript:KJB62105 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRP54CP [Source:Projected from Arabidopsis thaliana (AT5G03940) UniProtKB/TrEMBL;Acc:A0A178UD49] MLIAGDVYRPAAIDQLVILGEQVGVPVYTAGTEVKPSEIAKQGLEEAKKKKIDVVIMDTAGRLQIDKGMMDELKEVKKVLNPTEVLLVVDAMTGQEAAALVTTFNVEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRQEDAEELQKKIMSAKFDFNDFLKQTRAVARMGSMTRVIGMIPGMGKVTPAQVREAEKNLKLMEAMIEAMTPEEREKPELLAESPDRRRRIAKDSGKTEQQVSQLVAQLFQMRVRMKNLMGIMEGGSIPTLSNLEDAMKAEQAAPPGTARRKRRSESRRQFADSASTRPSPRGFGAKN >KJB58467 pep chromosome:Graimondii2_0_v6:9:16359773:16362780:1 gene:B456_009G211300 transcript:KJB58467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVFTFSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVKFGKFQGVLKSKERAVSIAVNGIEANFHMFLDHTGEAYFLREIDVEESKSDGVSFPFSSGDEPLSGNREPMKSESCSYDNDNSNSVAQVDLGNGKLVGRTSSRRSRIFGRVFGERSKKEDSYQDGAGGTGVMRSESLERAEFAAELLEVKWSTNLTSIRSNNNALRFSSSSDALADKGFKEEIQNDAENLSQAYVHDKDKSIDCQTVLDKTDYCNEQNVSCSHTGLENLECSVEEANVQVSCVSTEQQIVETSLLGEGFMEDKCKLIANVLGTMDDRSVRNFDHADNETVAVSGMSVPDLQSEYKFESCIDKRFDEEVADNENNVVLPGCWISNKENVSDGPQAFVYCKTSESSVITLDCSSQQTHQTLCLPDIENGKAQVHAEPLLRATELVPEVTVLKKTEDKELDSEGVLTMSVEMVGVDPVIGLEEMRSHSIHITSTISDLGDDVENARTIKDVLHPSLGSVDDSLNFYSDSDPKRSVPPSATSEDEQFLFSDLDEFKLHEPDCVNKDLHSSICTETEEVNGLCNVNNEPCFNPHNFVQESPSTDLDFSVEKAGIVSNPISISRNHKVAGEKNGWQIESLPTTWPVVAKFDVNNNLPLSHSLDSSCETLKWISIKEDDERPLAHGKSSCEESETSRKLENTLYNPCIGDPSEAVVSCSRSWRLWPFSMKRSISRKDVLLAPADNRGLDAKNAVDGAVASDDDKNVLKPKQVKKMIRAITPTSEQLASLNLKDGINHITFTFSTSMLGKQQVDARIYLWKWNTRIVISDVDGTITR >KJB58463 pep chromosome:Graimondii2_0_v6:9:16359510:16366874:1 gene:B456_009G211300 transcript:KJB58463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVFTFSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVKFGKFQGVLKSKERAVSIAVNGIEANFHMFLDHTGEAYFLREIDVEESKSDGVSFPFSSGDEPLSGNREPMKSESCSYDNDNSNSVAQVDLGNGKLVGRTSSRRSRIFGRVFGERSKKEDSYQDGAGGTGVMRSESLERAEFAAELLEVKWSTNLTSIRSNNNALRFSSSSDALADKGFKEEIQNDAENLSQAYVHDKDKSIDCQTVLDKTDYCNEQNVSCSHTGLENLECSVEEANVQVSCVSTEQQIVETSLLGEGFMEDKCKLIANVLGTMDDRSVRNFDHADNETVAVSGMSVPDLQSEYKFESCIDKRFDEEVADNENNVVLPGCWISNKENVSDGPQAFVYCKTSESSVITLDCSSQQTHQTLCLPDIENGKAQVHAEPLLRATELVPEVTVLKKTEDKELDSEGVLTMSVEMVGVDPVIGLEEMRSHSIHITSTISDLGDDVENARTIKDVLHPSLGSVDDSLNFYSDSDPKRSVPPSATSEDEQFLFSDLDEFKLHEPDCVNKDLHSSICTETEEVNGLCNVNNEPCFNPHNFVQESPSTDLDFSVEKAGIVSNPISISRNHKVAGEKNGWQIESLPTTWPVVAKFDVNNNLPLSHSLDSSCETLKWISIKEDDERPLAHGKSSCEESETSRKLENTLYNPCIGDPSEAVVSCSRSWRLWPFSMKRSISRKDVLLAPADNRGLDAKNAVDGAVASDDDKNVLKPKQVKKMIRAITPTSEQLASLNLKDGINHITFTFSTSMLGKQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPMVGIDWLQTGVAHLFSAIKENGYELLFLSARAISQAYITRQFLVNLKQDGKGLPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEAIKALFPLDCNPFYAGFGNRDTDEMSYLKVGIPKGKIFTINPKGEVVTNHHVATKSYSSLHDLVHRMFPPMASEQEEFNSWNFWKLPPPLINI >KJB58469 pep chromosome:Graimondii2_0_v6:9:16359773:16366630:1 gene:B456_009G211300 transcript:KJB58469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVFTFSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVKFGKFQGVLKSKERAVSIAVNGIEANFHMFLDHTGEAYFLREIDVEESKSDGVSFPFSSGDEPLSGNREPMKSESCSYDNDNSNSVAQVDLGNGKLVGRTSSRRSRIFGRVFGERSKKEDSYQDGAGGTGVMRSESLERAEFAAELLEVKWSTNLTSIRSNNNALRFSSSSDALADKGFKEEIQNDAENLSQAYVHDKDKSIDCQTVLDKTDYCNEQNVSCSHTGLENLECSVEEANVQVSCVSTEQQIVETSLLGEGFMEDKCKLIANVLGTMDDRSVRNFDHADNETVAVSGMSVPDLQSEYKFESCIDKRFDEEVADNENNVVLPGCWISNKENVSDGPQAFVYCKTSESSVITLDCSSQQTHQTLCLPDIENGKAQVHAEPLLRATELVPEVTVLKKTEDKELDSEGVLTMSVEMVGVDPVIGLEEMRSHSIHITSTISDLGDDVENARTIKDVLHPSLGSVDDSLNFYSDSDPKRSVPPSATSEDEQFLFSDLDEFKLHEPDCVNKDLHSSICTETEEVNGLCNVNNEPCFNPHNFVQESPSTDLDFSVEKAGIVSNPISISRNHKVAGEKNGWQIESLPTTWPVVAKFDVNNNLPLSHSLDSSCETLKWISIKEDDERPLAHGKSSCEESETSRKLENTLYNPCIGDPSEAVVSCSRSWRLWPFSMKRSISRKDVLLAPADNRGLDAKNAVDGAVASDDDKNVLKPKQVKKMIRAITPTSEQLASLNLKDGINHITFTFSTSMLGKQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPMVGIDWLQTGVAHLFSAIKENGYELLFLSARAISQAYITRQFLVNLKQDGKGLPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEAIKALFPLDCNPFYAGFGNRDTDEMSYLKVGIPKGKIFTINPKGEVVTNHHVATKSYSSLHDLVHRMFPPMASEQEEFNSWNFWKLPPPLINI >KJB58466 pep chromosome:Graimondii2_0_v6:9:16359343:16366874:1 gene:B456_009G211300 transcript:KJB58466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVFTFSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVKFGKFQGVLKSKERAVSIAVNGIEANFHMFLDHTGEAYFLREIDVEESKSDGVSFPFSSGDEPLSGNREPMKSESCSYDNDNSNSVAQVDLGNGKLVGRTSSRRSRIFGRVFGERSKKEDSYQDGAGGTGVMRSESLERAEFAAELLEVKWSTNLTSIRSNNNALRFSSSSDALADKGFKEEIQNDAENLSQAYVHDKDKSIDCQTVLDKTDYCNEQNVSCSHTGLENLECSVEEANVQVSCVSTEQQIVETSLLGEGFMEDKCKLIANVLGTMDDRSVRNFDHADNETVAVSGMSVPDLQSEYKFESCIDKRFDEEVADNENNVVLPGCWISNKENVSDGPQAFVYCKTSESSVITLDCSSQQTHQTLCLPDIENGKAQVHAEPLLRATELVPEVTVLKKTEDKELDSEGVLTMSVEMVGVDPVIGLEEMRSHSIHITSTISDLGDDVENARTIKDVLHPSLGSVDDSLNFYSDSDPKRSVPPSATSEDEQFLFSDLDEFKLHEPDCVNKDLHSSICTETEEVNGLCNVNNEPCFNPHNFVQESPSTDLDFSVEKAGIVSNPISISRNHKVAGEKNGWQIESLPTTWPVVAKFDVNNNLPLSHSLDSSCETLKWISIKEDDERPLAHGKSSCEESETSRKLENTLYNPCIGDPSEAVVSCSRSWRLWPFSMKRSISRKDVLLAPADNRGLDAKNAVDGAVASDDDKNVLKPKQVKKMIRAITPTSEQLASLNLKDGINHITFTFSTSMLGKQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPMVGIDWLQTGVAHLFSAIKENGYELLFLSARAISQAYITRQFLVNLKQDGKGLPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEAIKALFPLDCNPFYAGFGNRDTDEMSYLKVGIPKGKIFTINPKGEVVTNHHVATKSYSSLHDLVHRMFPPMASEQEEFNSWNFWKLPPPLINI >KJB58464 pep chromosome:Graimondii2_0_v6:9:16359343:16366874:1 gene:B456_009G211300 transcript:KJB58464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVFTFSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVKFGKFQGVLKSKERAVSIAVNGIEANFHMFLDHTGEAYFLREIDVEESKSDGVSFPFSSGDEPLSGNREPMKSESCSYDNDNSNSVAQVDLGNGKLVGRTSSRRSRIFGRVFGERSKKEDSYQDGAGGTGVMRSESLERAEFAAELLEVKWSTNLTSIRSNNNALRFSSSSDALADKGFKEEIQNDAENLSQAYVHDKDKSIDCQTVLDKTDYCNEQNVSCSHTGLENLECSVEEANVQVSCVSTEQQIVETSLLGEGFMEDKCKLIANVLGTMDDRSVRNFDHADNETVAVSGMSVPDLQSEYKFESCIDKRFDEEVADNENNVVLPGCWISNKENVSDGPQAFVYCKTSESSVITLDCSSQQTHQTLCLPDIENGKAQVHAEPLLRATELVPEVTVLKKTEDKELDSEGVLTMSVEMVGVDPVIGLEEMRSHSIHITSTISDLGDDVENARTIKDVLHPSLGSVDDSLNFYSDSDPKRSVPPSATSEDEQFLFSDLDEFKLHEPDCVNKDLHSSICTETEEVNGLCNVNNEPCFNPHNFVQESPSTDLDFSVEKAGIVSNPISISRNHKVAGEKNGWQIESLPTTWPVVAKFDVNNNLPLSHSLDSSCETLKWISIKEDDERPLAHGKSSCEESETSRKLENTLYNPCIGDPSEAVVSCSRSWRLWPFSMKRSISRKDVLLAPADNRGLDAKNAVDGAVASDDDKNVLKPKQVKKMIRAITPTSEQLASLNLKDGINHITFTFSTSMLGKQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPMVGIDWLQTGVAHLFSAIKENGYELLFLSARAISQAYITRQFLVNLKQDGKGLPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEAIKALFPLDCNPFYAGFGNRDTDEMSYLKVGIPKGKIFTINPKEEFNSWNFWKLPPPLINI >KJB58461 pep chromosome:Graimondii2_0_v6:9:16359510:16366874:1 gene:B456_009G211300 transcript:KJB58461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVFTFSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVKFGKFQGVLKSKERAVSIAVNGIEANFHMFLDHTGEAYFLREIDVEESKSDGVSFPFSSGDEPLSGNREPMKSESCSYDNDNSNSVAQVDLGNGKLVGRTSSRRSRIFGRVFGERSKKEDSYQDGAGGTGVMRSESLERAEFAAELLEVKWSTNLTSIRSNNNALRFSSSSDALADKGFKEEIQNDAENLSQAYVHDKDKSIDCQTVLDKTDYCNEQNVSCSHTGLENLECSVEEANVQVSCVSTEQQIVETSLLGEGFMEDKCKLIANVLGTMDDRSVRNFDHADNETVAVSGMSVPDLQSEYKFESCIDKRFDEEVADNENNVVLPGCWISNKENVSDGPQAFVYCKTSESSVITLDCSSQQTHQTLCLPDIENGKAQVHAEPLLRATELVPEVTVLKKTEDKELDSEGVLTMSVEMVGVDPVIGLEEMRSHSIHITSTISDLGDDVENARTIKDVLHPSLGSVDDSLNFYSDSDPKRSVPPSATSEDEQFLFSDLDEFKLHEPDCVNKDLHSSICTETEEVNGLCNVNNEPCFNPHNFVQESPSTDLDFSVEKAGIVSNPISISRNHKVAGEKNGWQIESLPTTWPVVAKFDVNNNLPLSHSLDSSCETLKWISIKEDDERPLAHGKSSCEESETSRKLENTLYNPCIGDPSEAVVSCSRSWRLWPFSMKRSISRKDVLLAPADNRGLDAKNAVDGAVASDDDKNVLKPKQVKKMIRAITPTSEQLASLNLKDGINHITFTFSTSMLGKQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPMVGIDWLQTGVAHLFSAIKENGYELLFLSARAISQAYITRQFLVNLKQDGKGLPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEAIKALFPLDCNPFYAGFGNRDTDEMSYLKVGIPKGKIFTINPKEEFNSWNFWKLPPPLINI >KJB58470 pep chromosome:Graimondii2_0_v6:9:16359773:16366995:1 gene:B456_009G211300 transcript:KJB58470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVFTFSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVKFGKFQGVLKSKERAVSIAVNGIEANFHMFLDHTGEAYFLREIDVEESKSDGVSFPFSSGDEPLSGNREPMKSESCSYDNDNSNSVAQVDLGNGKLVGRTSSRRSRIFGRVFGERSKKEDSYQDGAGGTGVMRSESLERAEFAAELLEVKWSTNLTSIRSNNNALRFSSSSDALADKGFKEEIQNDAENLSQAYVHDKDKSIDCQTVLDKTDYCNEQNVSCSHTGLENLECSVEEANVQVSCVSTEQQIVETSLLGEGFMEDKCKLIANVLGTMDDRSVRNFDHADNETVAVSGMSVPDLQSEYKFESCIDKRFDEEVADNENNVVLPGCWISNKENVSDGPQAFVYCKTSESSVITLDCSSQQTHQTLCLPDIENGKAQVHAEPLLRATELVPEVTVLKKTEDKELDSEGVLTMSVEMVGVDPVIGLEEMRSHSIHITSTISDLGDDVENARTIKDVLHPSLGSVDDSLNFYSDSDPKRSVPPSATSEDEQFLFSDLDEFKLHEPDCVNKDLHSSICTETEEVNGLCNVNNEPCFNPHNFVQESPSTDLDFSVEKAGIVSNPISISRNHKVAGEKNGWQIESLPTTWPVVAKFDVNNNLPLSHSLDSSCETLKWISIKEDDERPLAHGKSSCEESETSRKLENTLYNPCIGDPSEAVVSCSRSWRLWPFSMKRSISRKDVLLAPADNRGLDAKNAVDGAVASDDDKNVLKPKQVKKMIRAITPTSEQLASLNLKDGINHITFTFSTSMLGKQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPMVGIDWLQTGVAHLFSAIKENGYELLFLSARAISQAYITRQFLVNLKQDGKGLPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEAIKALFPLDCNPFYAGFGNRDTDEMSYLKVGIPKGKIFTINPKEEFNSWNFWKLPPPLINI >KJB58465 pep chromosome:Graimondii2_0_v6:9:16359608:16367368:1 gene:B456_009G211300 transcript:KJB58465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVFTFSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVKFGKFQGVLKSKERAVSIAVNGIEANFHMFLDHTGEAYFLREIDVEESKSDGVSFPFSSGDEPLSGNREPMKSESCSYDNDNSNSVAQVDLGNGKLVGRTSSRRSRIFGRVFGERSKKEDSYQDGAGGTGVMRSESLERAEFAAELLEVKWSTNLTSIRSNNNALRFSSSSDALADKGFKEEIQNDAENLSQAYVHDKDKSIDCQTVLDKTDYCNEQNVSCSHTGLENLECSVEEANVQVSCVSTEQQIVETSLLGEGFMEDKCKLIANVLGTMDDRSVRNFDHADNETVAVSGMSVPDLQSEYKFESCIDKRFDEEVADNENNVVLPGCWISNKENVSDGPQAFVYCKTSESSVITLDCSSQQTHQTLCLPDIENGKAQVHAEPLLRATELVPEVTVLKKTEDKELDSEGVLTMSVEMVGVDPVIGLEEMRSHSIHITSTISDLGDDVENARTIKDVLHPSLGSVDDSLNFYSDSDPKRSVPPSATSEDEQFLFSDLDEFKLHEPDCVNKDLHSSICTETEEVNGLCNVNNEPCFNPHNFVQESPSTDLDFSVEKAGIVSNPISISRNHKVAGEKNGWQIESLPTTWPVVAKFDVNNNLPLSHSLDSSCETLKWISIKEDDERPLAHGKSSCEESETSRKLENTLYNPCIGDPSEAVVSCSRSWRLWPFSMKRSISRKDVLLAPADNRGLDAKNAVDGAVASDDDKNVLKPKQVKKMIRAITPTSEQLASLNLKDGINHITFTFSTSMLGKQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPMVGIDWLQTGVAHLFSAIKENGYELLFLSARAISQAYITRQFLVNLKQDGKGLPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEAIKALFPLDCNPFYAGFGNRDTDEMSYLKVGIPKGKIFTINPKGEVVTNHHVATKSYSSLHDLVHRMFPPMASEQEEFNSWNFWKLPPPLINI >KJB58462 pep chromosome:Graimondii2_0_v6:9:16359608:16367368:1 gene:B456_009G211300 transcript:KJB58462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVFTFSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVKFGKFQGVLKSKERAVSIAVNGIEANFHMFLDHTGEAYFLREIDVEESKSDGVSFPFSSGDEPLSGNREPMKSESCSYDNDNSNSVAQVDLGNGKLVGRTSSRRSRIFGRVFGERSKKEDSYQDGAGGTGVMRSESLERAEFAAELLEVKWSTNLTSIRSNNNALRFSSSSDALADKGFKEEIQNDAENLSQAYVHDKDKSIDCQTVLDKTDYCNEQNVSCSHTGLENLECSVEEANVQVSCVSTEQQIVETSLLGEGFMEDKCKLIANVLGTMDDRSVRNFDHADNETVAVSGMSVPDLQSEYKFESCIDKRFDEEVADNENNVVLPGCWISNKENVSDGPQAFVYCKTSESSVITLDCSSQQTHQTLCLPDIENGKAQVHAEPLLRATELVPEVTVLKKTEDKELDSEGVLTMSVEMVGVDPVIGLEEMRSHSIHITSTISDLGDDVENARTIKDVLHPSLGSVDDSLNFYSDSDPKRSVPPSATSEDEQFLFSDLDEFKLHEPDCVNKDLHSSICTETEEVNGLCNVNNEPCFNPHNFVQESPSTDLDFSVEKAGIVSNPISISRNHKVAGEKNGWQIESLPTTWPVVAKFDVNNNLPLSHSLDSSCETLKWISIKEDDERPLAHGKSSCEESETSRKLENTLYNPCIGDPSEAVVSCSRSWRLWPFSMKRSISRKDVLLAPADNRGLDAKNAVDGAVASDDDKNVLKPKQVKKMIRAITPTSEQLASLNLKDGINHITFTFSTSMLGKQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPMVGIDWLQTGVAHLFSAIKENGYELLFLSARAISQAYITRQFLVNLKQDGKGLPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEAIKALFPLDCNPFYAGFGNRDTDEMSYLKVGIPKGKIFTINPKGEVVTNHHVATKSYSSLHDLVHRMFPPMASEQEEFNSWNFWKLPPPLINI >KJB58468 pep chromosome:Graimondii2_0_v6:9:16359773:16365794:1 gene:B456_009G211300 transcript:KJB58468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVFTFSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVKFGKFQGVLKSKERAVSIAVNGIEANFHMFLDHTGEAYFLREIDVEESKSDGVSFPFSSGDEPLSGNREPMKSESCSYDNDNSNSVAQVDLGNGKLVGRTSSRRSRIFGRVFGERSKKEDSYQDGAGGTGVMRSESLERAEFAAELLEVKWSTNLTSIRSNNNALRFSSSSDALADKGFKEEIQNDAENLSQAYVHDKDKSIDCQTVLDKTDYCNEQNVSCSHTGLENLECSVEEANVQVSCVSTEQQIVETSLLGEGFMEDKCKLIANVLGTMDDRSVRNFDHADNETVAVSGMSVPDLQSEYKFESCIDKRFDEEVADNENNVVLPGCWISNKENVSDGPQAFVYCKTSESSVITLDCSSQQTHQTLCLPDIENGKAQVHAEPLLRATELVPEVTVLKKTEDKELDSEGVLTMSVEMVGVDPVIGLEEMRSHSIHITSTISDLGDDVENARTIKDVLHPSLGSVDDSLNFYSDSDPKRSVPPSATSEDEQFLFSDLDEFKLHEPDCVNKDLHSSICTETEEVNGLCNVNNEPCFNPHNFVQESPSTDLDFSVEKAGIVSNPISISRNHKVAGEKNGWQIESLPTTWPVVAKFDVNNNLPLSHSLDSSCETLKWISIKEDDERPLAHGKSSCEESETSRKLENTLYNPCIGDPSEAVVSCSRSWRLWPFSMKRSISRKDVLLAPADNRGLDAKNAVDGAVASDDDKNVLKPKQVKKMIRAITPTSEQLASLNLKDGINHITFTFSTSMLGKQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPMVGIDWLQTGVAHLFSAIKENGYELLFLSARAISQAYITRQFLVNLKQDGKGLPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEAIKALFPLDCNPFYAGFGNRDTDEMSYLKVGIPKGKIFTINPKVI >KJB60400 pep chromosome:Graimondii2_0_v6:9:27365837:27369814:-1 gene:B456_009G303800 transcript:KJB60400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTPSSSAGGVGSADSAAPRRNSKRPKYSKFTQQELPACKPILTPRWVISAFMLVSIVFIPIGVVSLFASRDVVEIIDRYETACVPDGYKNDKVTFIQSNLTKQCNRTLTVKKRMKKPIYVYYQLDNFYQNHRRYVKSRSDSQLKENSSWDDVSSCKPEDTSNGQPIVPCGLIAWSLFNDTYNFSLNDQQLAVNKKGISWKSDRDSKFGKDVFPKNFQNGTLKGGATLNPSIPLSEQEDLIVWMRTAALPTFRKLYGKIEQDLQPNDQIHVTLDNNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLALSFTVVYLVKPRRLGDPSYLSWNRNPGGH >KJB60403 pep chromosome:Graimondii2_0_v6:9:27365792:27370132:-1 gene:B456_009G303800 transcript:KJB60403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTPSSSAGGVGSADSAAPRRNSKRPKYSKFTQQELPACKPILTPRWVISAFMLVSIVFIPIGVVSLFASRDVVEIIDRYETACVPDGYKNDKVTFIQSNLTKQCNRTLTVKKRMKKPIYVYYQLDNFYQNHRRYVKSRSDSQLKENSSWDDVSSCKPEDTSNGQPIVPCGLIAWSLFNDTYNFSLNDQQLAVNKKGISWKSDRDSKFGKDVFPKNFQNGTLKGGATLNPSIPLSEQEDLIVWMRTAALPTFRKLYGKIEQDLQPNDQIHVTLDNNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLALSFTVVYLVKPRRLGDPSYLSWNRNPGGH >KJB60402 pep chromosome:Graimondii2_0_v6:9:27366171:27369077:-1 gene:B456_009G303800 transcript:KJB60402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQFDGISGKLFLLNMAFLVMQVISAFMLVSIVFIPIGVVSLFASRDVVEIIDRYETACVPDGYKNDKVTFIQSNLTKQCNRTLTVKKRMKKPIYVYYQLDNFYQNHRRYVKSRSDSQLKENSSWDDVSSCKPEDTSNGQPIVPCGLIAWSLFNDTYNFSLNDQQLAVNKKGISWKSDRDSKFGKDVFPKNFQNGTLKGGATLNPSIPLSEQEDLIVWMRTAALPTFRKLYGKIEQDLQPNDQIHVTLDNNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLALSFTVVYLVKPRRLGDPSYLSWNRNPGGH >KJB60401 pep chromosome:Graimondii2_0_v6:9:27365837:27370051:-1 gene:B456_009G303800 transcript:KJB60401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTPSSSAGGVGSADSAAPRRNSKRPKYSKFTQQELPACKPILTPRWVISAFMLVSIVFIPIGVVSLFASRDVVEIIDRYETACVPDGYKNDKVTFIQSNLTKQCNRTLTVKKRMKKPIYVYYQLDNFYQNHRRYVKSRSDSQLKENSSWDDVSSCKPEDTSNGQPIVPCGLIAWSLFNDTYNFSLNDQQLAVNKKGISWKSDRDSKFGKDVFPKNFQNGTLKGGATLNPSIPLSEQEDLIVWMRTAALPTFRKLYGKIEQDLQPNDQIHVTLDNNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLALSFTVVYLVKPRRLGDPSYLSWNRNPGGH >KJB62777 pep chromosome:Graimondii2_0_v6:9:68555872:68559585:1 gene:B456_009G436000 transcript:KJB62777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLEELCHGPPTSNFLQNLKGVSIKSCWQIQVAFQMNKLFEKVESRTSLLSNSTILELYSLPKLESIWKLKPSHRSIASLQSPKVVSIEDCNKLKSIFSPSLALSMLHVQKLYTESYNCLEQVIGFVQGEITEFWVPAEGLNELIAFLNKLIRALNADIKVLHLEDLSEVQIIWKDVAQVINLENLTTLNLIDCKKLISLQGFKCLNQIELEFGKNI >KJB63136 pep chromosome:Graimondii2_0_v6:9:70562503:70566923:1 gene:B456_009G454400 transcript:KJB63136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSDGGGGRYMAYSPSPSAPHSPHLSGLRSVAAAAASSAALLDQEKYLSELLAERQKLSPFMPVLPNTYRLLNQEILRVTTLLGNASVLGQSGLEQASPLASGGIFSNGGADMNGWTSRFQSEMSCLVRPSSVQNWYNFVGRLLGPRGNSLKRVEANTECRVLIRGRGSIKDPTREEMMRGKPGYEHLNEPLHILVEAELPVEIVDARLMQAREILEDLLKPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFQNNSLGMKRAKTRG >KJB63134 pep chromosome:Graimondii2_0_v6:9:70562244:70566923:1 gene:B456_009G454400 transcript:KJB63134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSDGGGGRYMAYSPSPSAPHSPHLSGLRSVAAAAASSAALLDQEKYLSELLAERQKLSPFMPVLPNTYRLLNQEILRVTTLLGNASVLGQSGLEQASPLASGGIFSNGGADMNGWTSRFQSEMSCLVRPSSVQNWVGSQGSSSGLIVKRTIRVDIPVDSYPNYNFVGRLLGPRGNSLKRVEANTECRVLIRGRGSIKDPTREEMMRGKPGYEHLNEPLHILVEAELPVEIVDARLMQAREILEDLLKPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFQNNSLGMKRAKTRG >KJB63135 pep chromosome:Graimondii2_0_v6:9:70562503:70566401:1 gene:B456_009G454400 transcript:KJB63135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSDGGGGRYMAYSPSPSAPHSPHLSGLRSVAAAAASSAALLDQEKYLSELLAERQKLSPFMPVLPNTYRLLNQEILRVTTLLGNASVLGQSGLEQASPLASGGIFSNGGADMNGWTSRFQSEMSCLVRPSSVQNWVGSQGSSSGLIVKRTIRVDIPVDSYPNYNFVGRLLGPRGNSLKRVEANTECRVLIRGRGSIKDPTREEMMRGKPGYEHLNEPLHILVEAELPVEIVDARLMQAREILEDLLKPVVSANLFCLLLC >KJB63133 pep chromosome:Graimondii2_0_v6:9:70562244:70566923:1 gene:B456_009G454400 transcript:KJB63133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLVRPSSVQNWVGSQGSSSGLIVKRTIRVDIPVDSYPNYNFVGRLLGPRGNSLKRVEANTECRVLIRGRGSIKDPTREEMMRGKPGYEHLNEPLHILVEAELPVEIVDARLMQAREILEDLLKPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFQNNSLGMKRAKTRG >KJB63132 pep chromosome:Graimondii2_0_v6:9:70565810:70566586:1 gene:B456_009G454400 transcript:KJB63132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQYNFVGRLLGPRGNSLKRVEANTECRVLIRGRGSIKDPTREEMMRGKPGYEHLNEPLHILVEAELPVEIVDARLMQAREILEDLLKPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFQNNSLGMKRAKTRG >KJB58998 pep chromosome:Graimondii2_0_v6:9:18535093:18537753:1 gene:B456_009G234800 transcript:KJB58998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEILKDIGSGNFGVAKLVRDKWTRELFAVKFIERGQKIDEHVQREIMNHRSLKHPNIVRFKEVLLTPTHLAIVMEYAAGGELFDRICNAGRFGEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRILTVHYSIPDYVRVSMDCKHLLSRIFVENPGKRISIPEIKSHPWLLKNLPMELKEGGNWESHDVNNPSQSLEEVQSIIQEAMKTTEVPKGGEIFRGGSMDLDDDLDGDEDLEDVESSGDFVCPL >KJB59562 pep chromosome:Graimondii2_0_v6:9:21501577:21504203:-1 gene:B456_009G260800 transcript:KJB59562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVTWSVGVLFLSTLLLCSADVHHYEFFVRESNFKKMCNTSTLLVVNNSYPGPEIRVHRGDTVFVNVHNRGKYGFTIHWHGVKQPRNPWFDGPEFITQCPIRPRTNFTYKIILSEEIGTLWWHAHSDWTRGSVHGAIVILPAENETYPFPTPDGEQTIILESWYNGNFKKIIDKALAAGTPPPQPDAYAINGHLGDTYGCPTDTIFRMEVDYEKTYLLRIINAAMNEQQFFTIMNHTLTVVAVDASYVRRFKSDYILISPGQTMDVLVSANQNAGQYYMATRPFSDSDVPPVDFITTGIFQYTNFVGGSNASLITLPAMNNTDAMLNFISRIRNTNVTQNPPINVPADTDINRRVFITLAVNDLPCKNSKCVVSDGFAASLNNVSFVYPHIDVLQAYYRNIRGVFAENFPFLPPEFYDFTGNLTGVVSAVEVGTRAICVNYGDAVEIVLQSTQMGAGGSHPIHLHGYSFYWVGAGFGNFNGETDPSTYNLVDPPLMNTIDVPGTGWVAIRFFANNPGVWYMHCHFERHTSWGMSTVLIVRNGSTIETSIRPRPSTMPRCHRT >KJB58021 pep chromosome:Graimondii2_0_v6:9:14650200:14657175:-1 gene:B456_009G190600 transcript:KJB58021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVQIIPIKRGMVMVHPPPAFGTSSDLEFVHNAKRVVKDMEVMFSAKPIHYRLMHEITISTNDKPKLLAQLTSLLSELGLNIREAHAFSTTDGYSLDVFVVDGWALEDTEQLRNVLVKEISKVELSSVRSHAINHVRELEKTGNKLNSSHVNMPGSGNDVWEIDTSLLKYESKLASCSYGDLYKGTFYGQDVAIKVLRMEHLNENLRREFTQEVNIMRKIQHKNVVQFFGACATPPNLCIVTEFMSGGSIYDLLHKQKSGFKLPLLLKLAIDVSEGMSYLHQNGIMHRDLKAANLLMDENGVVKIADFGVARVQAQPGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVVLWEMLTGKLPYENLTPLQAAVGVVQKGLRPVIPQHTRPKFVELLERCWQQDPSLRPEFSEITNLLEDLASR >KJB58024 pep chromosome:Graimondii2_0_v6:9:14650226:14655156:-1 gene:B456_009G190600 transcript:KJB58024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEITISTNDKPKLLAQLTSLLSELGLNIREAHAFSTTDGYSLDVFVVDGWALEDTEQLRNVLVKEISKVEKLSSVRSHAINHVRELEKTGNKLNSSHVNMPGSGNDVWEIDTSLLKYESKLASCSYGDLYKGTFYGQDVAIKVLRMEHLNENLRREFTQEVNIMRKIQHKNVVQFFGACATPPNLCIVTEFMSGGSIYDLLHKQKSGFKLPLLLKLAIDVSEGMSYLHQNGIMHRDLKAANLLMDENGVVKIADFGVARVQAQPGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVVLWEMLTGKLPYENLTPLQAAVGVVQKGLRPVIPQHTRPKFVELLERCWQQDPSLRPEFSEITNLLEDLASR >KJB58025 pep chromosome:Graimondii2_0_v6:9:14651304:14656866:-1 gene:B456_009G190600 transcript:KJB58025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMADTESCSSRAVDFAPSQRRKQREKIEVYNEVLCRLRDLNIEESTFPAFEDELWAHFSRLPTRYALDVNVERAEDVLMHKRLLSKARDPAGRPAIQVRLVQLRSAMDGSQVESVQMKFAGKADAQCSDYPNKKRVHPPPAFGTSSDLEFVHNAKRVVKDMEVMFSAKPIHYRLMHEITISTNDKPKLLAQLTSLLSELGLNIREAHAFSTTDGYSLDVFVVDGWALEDTEQLRNVLVKEISKVEKLSSVRSHAINHVRELEKTGNKLNSSHVNMPGSGNDVWEIDTSLLKYESKLASCSYGDLYKGTFYGQDVAIKVLRMEHLNENLRREFTQEVNIMRKIQHKNVVQFFGACATPPNLCIVTEFMSGGSIYDLLHKQKSGFKLPLLLKLAIDVSEGMSYLHQNGIMHRDLKAANLLMDENGVVKIADFGVARVQAQPGVMTAETGTYRWMAPE >KJB58020 pep chromosome:Graimondii2_0_v6:9:14651195:14655837:-1 gene:B456_009G190600 transcript:KJB58020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVQIIPIKRGMVMVHPPPAFGTSSDLEFVHNAKRVVKDMEVMFSAKPIHYRLMHEITISTNDKPKLLAQLTSLLSELGLNIREAHAFSTTDGYSLDVFVVDGWALEDTEQLRNVLVKEISKVELSSVRSHAINHVRELEKTGNKLNSSHVNMPGSGNDVWEIDTSLLKYESKLASCSYGDLYKGTFYGQDVAIKVLRMEHLNENLRREFTQEVNIMRKIQHKNVVQFFGACATPPNLCIVTEFMSGGSIYDLLHKQKSGFKLPLLLKLAIDVSEGMSYLHQNGIMHRDLKAANLLMDENGVVKIADFGVARVQAQPGVMTAETGTYRWMAPEFHPAL >KJB58016 pep chromosome:Graimondii2_0_v6:9:14650200:14657175:-1 gene:B456_009G190600 transcript:KJB58016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVQIIPIKRGMVMVHPPPAFGTSSDLEFVHNAKRVVKDMEVMFSAKPIHYRLMHEITISTNDKPKLLAQLTSLLSELGLNIREAHAFSTTDGYSLDVFVVDGWALEDTEQLRNVLVKEISKVEKLSSVRSHAINHVRELEKTGNKLNSSHVNMPGSGNDVWEIDTSLLKYESKLASCSYGDLYKGTFYGQDVAIKVLRMEHLNENLRREFTQEVNIMRKIQHKNVVQFFGACATPPNLCIVTEFMSGGSIYDLLHKQKSGFKLPLLLKLAIDVSEGMSYLHQNGIMHRDLKAANLLMDENGVVKIADFGVARVQAQPGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVVLWEMLTGKLPYENLTPLQAAVGVVQKGLRPVIPQHTRPKFVELLERCWQQDPSLRPEFSEITNLLEDLASR >KJB58019 pep chromosome:Graimondii2_0_v6:9:14650200:14657175:-1 gene:B456_009G190600 transcript:KJB58019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMADTESCSSRAVDFAPSQRRKQREKIEVYNEVLCRLRDLNIEESTFPAFEDELWAHFSRLPTRYALDVNVERAEDVLMHKRLLSKARDPAGRPAIQVRLVQLRSAMDGSQVESVQMKFAGKADAQCSDYPNKKRVHPPPAFGTSSDLEFVHNAKRVVKDMEVMFSAKPIHYRLMHEITISTNDKPKLLAQLTSLLSELGLNIREAHAFSTTDGYSLDVFVVDGWALEDTEQLRNVLVKEISKVELSSVRSHAINHVRELEKTGNKLNSSHVNMPGSGNDVWEIDTSLLKYESKLASCSYGDLYKGTFYGQDVAIKVLRMEHLNENLRREFTQEVNIMRKIQHKNVVQFFGACATPPNLCIVTEFMSGGSIYDLLHKQKSGFKLPLLLKLAIDVSEGMSYLHQNGIMHRDLKAANLLMDENGVVKIADFGVARVQAQPGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVVLWEMLTGKLPYENLTPLQAAVGVVQKGLRPVIPQHTRPKFVELLERCWQQDPSLRPEFSEITNLLEDLASR >KJB58022 pep chromosome:Graimondii2_0_v6:9:14651195:14656822:-1 gene:B456_009G190600 transcript:KJB58022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMADTESCSSRAVDFAPSQRRKQREKIEVYNEVLCRLRDLNIEESTFPAFEDELWAHFSRLPTRYALDVNVERAEDVLMHKRLLSKARDPAGRPAIQVRLVQLRSAMDGSQVESVQMKFAGKADAQCSDYPNKKRVHPPPAFGTSSDLEFVHNAKRVVKDMEVMFSAKPIHYRLMHEITISTNDKPKLLAQLTSLLSELGLNIREAHAFSTTDGYSLDVFVVDGWALEDTEQLRNVLVKEISKVELSSVRSHAINHVRELEKTGNKLNSSHVNMPGSGNDVWEIDTSLLKYESKLASCSYGDLYKGTFYGQDVAIKVLRMEHLNENLRREFTQEVNIMRKIQHKNVVQFFGACATPPNLCIVTEFMSGGSIYDLLHKQKSGFKLPLLLKLAIDVSEGMSYLHQNGIMHRDLKAANLLMDENGVVKIADFGVARVQAQPGVMTAETGTYRWMAPEFHPAL >KJB58018 pep chromosome:Graimondii2_0_v6:9:14651195:14656822:-1 gene:B456_009G190600 transcript:KJB58018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMADTESCSSRAVDFAPSQRRKQREKIEVYNEVLCRLRDLNIEESTFPAFEDELWAHFSRLPTRYALDVNVERAEDVLMHKRLLSKARDPAGRPAIQVRLVQLRSAMDGSQVESVQMKFAGKADAQCSDYPNKKRVHPPPAFGTSSDLEFVHNAKRVVKDMEVMFSAKPIHYRLMHEITISTNDKPKLLAQLTSLLSELGLNIREAHAFSTTDGYSLDVFVVDGWALEDTEQLRNVLVKEISKVEKLSSVRSHAINHVRELEKTGNKLNSSHVNMPGSGNDVWEIDTSLLKYESKLASCSYGDLYKGTFYGQDVAIKVLRMEHLNENLRREFTQEVNIMRKIQHKNVVQFFGACATPPNLCIVTEFMSGGSIYDLLHKQKSGFKLPLLLKLAIDVSEGMSYLHQNGIMHRDLKAANLLMDENGVVKIADFGVARVQAQPGVMTAETGTYRWMAPEFHPAL >KJB58023 pep chromosome:Graimondii2_0_v6:9:14650200:14657227:-1 gene:B456_009G190600 transcript:KJB58023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMADTESCSSRAVDFAPSQRRKQREKIEVYNEVLCRLRDLNIEESTFPAFEDELWAHFSRLPTRYALDVNVERAEDVLMHKRLLSKARDPAGRPAIQVRLVQLRSAMDGSQVESVQMKFAGKADAQCSDYPNKKRVHPPPAFGTSSDLEFVHNAKRVVKDMEVMFSAKPIHYRLMHEITISTNDKPKLLAQLTSLLSELGLNIREAHAFSTTDGYSLDVFVVDGWALEDTEQLRNVLVKEISKVEKLSSVRSHAINHVRELEKTGNKLNSSHVNMPGSGNDVWEIDTSLLKYESKLASCSYGDLYKGTFYGQDVAIKVLRMEHLNENLRREFTQEVNIMRKIQHKNVVQFFGACATPPNLCIVTEFMSGGSIYDLLHKQKSGFKLPLLLKLAIDVSEGMSYLHQNGIMHRDLKAANLLMDENGVVKIADFGVARVQAQPGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVVLWEMLTGKLPYENLTPLQAAVGVVQKGLRPVIPQHTRPKFVELLERCWQQDPSLRPEFSEITNLLEDLASR >KJB58017 pep chromosome:Graimondii2_0_v6:9:14651195:14655837:-1 gene:B456_009G190600 transcript:KJB58017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVQIIPIKRGMVMVHPPPAFGTSSDLEFVHNAKRVVKDMEVMFSAKPIHYRLMHEITISTNDKPKLLAQLTSLLSELGLNIREAHAFSTTDGYSLDVFVVDGWALEDTEQLRNVLVKEISKVEKLSSVRSHAINHVRELEKTGNKLNSSHVNMPGSGNDVWEIDTSLLKYESKLASCSYGDLYKGTFYGQDVAIKVLRMEHLNENLRREFTQEVNIMRKIQHKNVVQFFGACATPPNLCIVTEFMSGGSIYDLLHKQKSGFKLPLLLKLAIDVSEGMSYLHQNGIMHRDLKAANLLMDENGVVKIADFGVARVQAQPGVMTAETGTYRWMAPEFHPAL >KJB58203 pep chromosome:Graimondii2_0_v6:9:15390288:15391936:-1 gene:B456_009G199000 transcript:KJB58203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEYDIVNLIALGVISWTTVFLLVRKIFSDRSFELCNRIVSTIHGILAVILASLSVEDWSCPVCPLASASTPKQRQVLAVTVAYLIYDLICCLFDVKFTLDNTVHHLVSIVGLAAGLAFQLCGSEQVAALFITEISSPFLHARELLKEFGYRDTDLNLAADVLFAVIFSVARMVGGPYLTFVTLTANNPLLIKAMAVGLQLVSAFWFYKIVKMVKYKLTKRRKQVGMPGKLD >KJB58202 pep chromosome:Graimondii2_0_v6:9:15390360:15391936:-1 gene:B456_009G199000 transcript:KJB58202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEYDIVNLIALGVISWTTVFLLVRKIFSDRSFELCNRIVSTIHGILAVILASLSVEDWSCPVCPLASASTPKQRQVLAVTVAYLIYDLICCLFDVKFTLDNTVHHLVSIVGLAAGLAFQLCGSEQVAALFITEISSPFLHARELLKEFGYRDTDLNLAADVLFAVIFSVARMVGGPYLTFVTLTANNPLLIKAMAVGLQLVSAFWFYKIVKMVKYKLTKRRKQVGMPGKLD >KJB58201 pep chromosome:Graimondii2_0_v6:9:15390204:15392038:-1 gene:B456_009G199000 transcript:KJB58201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEYDIVNLIALGVISWTTVFLLVRKIFSDRSFELCNRIVSTIHGILAVILASLSVEDWSCPVCPLASASTPKQRQVLAVTVAYLIYDLICCLFDVKFTLDNTVHHLVSIVGLAAGLAFQLCGSEQVAALFITEISSPFLHARELLKEFGYRDTDLNLAADVLFAVIFSVARMVGGPYLTFVTLTANNPLLIKAMAVGLQLVSAFWFYKIVKMVKYKLTKRRKQVGMPGKLD >KJB53612 pep chromosome:Graimondii2_0_v6:9:23444920:23447987:-1 gene:B456_009G278500 transcript:KJB53612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTDGLTARFSCSYTDAEPQQDIYAKGDEYHKFRESAKEHRDSMRSYFKKAATACSKGELEYAAYLSDQVTYLFDKKEEWLGIVLLEALQNGAAVLDLKKLENLFYYIFDT >KJB53607 pep chromosome:Graimondii2_0_v6:9:23445598:23447452:-1 gene:B456_009G278500 transcript:KJB53607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLGLAAAILMQNLSRISMAATACSKGELEYAAYLSDQGKIQTKLAREADERASQNIFKARNKGFENVITIDLHGQHVKQAMRL >KJB53610 pep chromosome:Graimondii2_0_v6:9:23445598:23447465:-1 gene:B456_009G278500 transcript:KJB53610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTDGLTARFSCSYTDAEPQQDIYAKGDEYHKFRESAKEHRDSMRSYFKKAATACSKGELEYAAYLSDQGKIQTKLAREADERASQNIFKARNKGFENVITIDLHGQHVKQAMRL >KJB53605 pep chromosome:Graimondii2_0_v6:9:23445598:23447465:-1 gene:B456_009G278500 transcript:KJB53605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTDGLTARFSCSYTDAEPQQDIYAKGDEYHKFRESAKEHRDSMRSYFKKAATACSKGELEYAAYLSDQGKIQTKLAREADERASQNIFKARNKGFENVITIDLHGQHVKQAMRL >KJB53614 pep chromosome:Graimondii2_0_v6:9:23445068:23447924:-1 gene:B456_009G278500 transcript:KJB53614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLGLAAAILMQNLSRISMAATACSKGELEYAAYLSDQGKIQTKLAREADERASQNIFKARNKGFENVITIDLHGQHVKQAMRL >KJB53606 pep chromosome:Graimondii2_0_v6:9:23445598:23447452:-1 gene:B456_009G278500 transcript:KJB53606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLAGCRFSCSYTDAEPQQDIYAKGDEYHKFRESAKEHRDSMRSYFKKAATACSKGELEYAAYLSDQGKIQTKLAREADERASQNIFKARNKGFENVITIDLHGQHVKQAMRL >KJB53608 pep chromosome:Graimondii2_0_v6:9:23445366:23447452:-1 gene:B456_009G278500 transcript:KJB53608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLAGCRFSCSYTDAEPQQDIYAKGDEYHKFRESAKEHRDSMRSYFKKAATACSKGELEYAAYLSDQGKIQTKLAREADERASQNIFKAR >KJB53613 pep chromosome:Graimondii2_0_v6:9:23444920:23447987:-1 gene:B456_009G278500 transcript:KJB53613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLGLAAAILMQNLSRISMAATACSKGELEYAAYLSDQVTYLFDKKEEWLGIVLLEALQNGAAVLDLKKLENLFYYIFDT >KJB53609 pep chromosome:Graimondii2_0_v6:9:23444949:23447760:-1 gene:B456_009G278500 transcript:KJB53609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTDGLTARFSCSYTDAEPQQDIYAKGDEYHKFRESAKEHRDSMRSYFKKAATACSKGELEYAAYLSDQGKIQTKLAREADERASQNIFKAR >KJB53615 pep chromosome:Graimondii2_0_v6:9:23445211:23447750:-1 gene:B456_009G278500 transcript:KJB53615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTDGLTARFSCSYTDAEPQQDIYAKGDEYHKFRESAKEHRDSMRSYFKKAATACSKGELEYAAYLSDQGKIQTKLAREADERASQNIFKARNKGFENVITIDLHGQHVKQAMRL >KJB53611 pep chromosome:Graimondii2_0_v6:9:23444959:23447948:-1 gene:B456_009G278500 transcript:KJB53611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLAGCRFSCSYTDAEPQQDIYAKGDEYHKFRESAKEHRDSMRSYFKKAATACSKGELEYAAYLSDQVTYLFDKKEEWLGIVLLEALQNGAAVLDLKKLENLFYYIFDT >KJB53616 pep chromosome:Graimondii2_0_v6:9:23445900:23447750:-1 gene:B456_009G278500 transcript:KJB53616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTDGLTARFSCSYTDAEPQQDIYAKGDEYHKFRESAKEHRDSMRSYFKKAATACSKGELEYAAYLSDQVCFLLGGCYESCVAYKFEM >KJB61544 pep chromosome:Graimondii2_0_v6:9:49186128:49189294:1 gene:B456_009G367100 transcript:KJB61544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDDISSIFSTDYLNLFLIKILQVNVPCSCLFETVTVRCGQCTNLCSINMAASFQSRAGKEIQVPNYTSSEYRIELGSSSSKGKNKLPKRPRIMNTTTQERVVNRPPDKRHRAPSLYNQFIKEEIQRIKLNNPDISHREAFSTAAKNWARFPHIHFGLMLETATQPKLNDDSTEHFQQLLK >KJB61542 pep chromosome:Graimondii2_0_v6:9:49185359:49189637:1 gene:B456_009G367100 transcript:KJB61542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNNSAPEQLCYIPCNLCNIILAVNVPCSCLFETVTVRCGQCTNLCSINMAASFQSRAGKEIQVPNYTSSEYRIELGSSSSKGKNKLPKRPRIMNTTTQERVVNRPPDKRHRAPSLYNQFIKEEIQRIKLNNPDISHREAFSTAAKNWARFPHIHFGLMLETATQPKLNDDSTEHFQQLLK >KJB61540 pep chromosome:Graimondii2_0_v6:9:49185233:49189637:1 gene:B456_009G367100 transcript:KJB61540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNNSAPEQLCYIPCNLCNIILAVNVPCSCLFETVTVRCGQCTNLCSINMAASFQSRAGKEIQVPNYTSSEYRIELGSSSSKGKNKLPKRPRIMNTTTQERVVNRPPDKRHRAPSLYNQFIKEEIQRIKLNNPDISHREAFSTAAKNWARFPHIHFGLMLETATQPKLNDDSTEHFQQLLK >KJB61543 pep chromosome:Graimondii2_0_v6:9:49185118:49189637:1 gene:B456_009G367100 transcript:KJB61543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNNSAPEQLCYIPCNLCNIILAVNVPCSCLFETVTVRCGQCTNLCSINMAASFQSRAGKEIQVPNYTSSEYRIELGSSSSKGKNKLPKRPRIMNTTTQERVVNRPPDKRHRAPSLYNQFIKEEIQRIKLNNPDISHREAFSTAAKNWARFPHIHFGLMLETATQPKLNDDSTEHFQQLLK >KJB61541 pep chromosome:Graimondii2_0_v6:9:49185928:49189637:1 gene:B456_009G367100 transcript:KJB61541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDDISSIFSTDYLNLFLIKILQVNVPCSCLFETVTVRCGQCTNLCSINMAASFQSRAGKEIQVPNYTSSEYRIELGSSSSKGKNKLPKRPRIMNTTTQERVVNRPPDKRHRAPSLYNQFIKEEIQRIKLNNPDISHREAFSTAAKNWARFPHIHFGLMLETATQPKLNDDSTEHFQQLLK >KJB57468 pep chromosome:Graimondii2_0_v6:9:12743657:12746041:-1 gene:B456_009G166000 transcript:KJB57468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADELNKTIDVAARDPSWYGIDDRELESRRRWTTTARTQVGDVKKSVVARKENGNSTSAMRRELMKLPISHQSDRSYQYGAEDNDDFIASESDRQMLLIKQQDEELDELSASVERIGGVGLTIHEELLAQEKIIDDLGFEIDSTTNRLDFVQKKVAMVMKKASAKGQIMMILFLLVLFIILFILVFLT >KJB57476 pep chromosome:Graimondii2_0_v6:9:12743662:12746186:-1 gene:B456_009G166000 transcript:KJB57476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAQDPFYIVKEEIQESIDKLQSSFHQWERILPDTGEQVHLTKELLANCESIEWQVDELNKTIDVAARDPSWYGIDDRELESRRRWTTTARTQVGDVKKSVVARKENGNSTSAMRRELMKLPISHQSDRSYQYGAEDNDDFIASESDRQMLLIKQQDEELDELSASVERIGGVGLTIHEELLAQEKIIDDLGFEIDSTTNRLDFVQKKVAMVMKKSDIWI >KJB57473 pep chromosome:Graimondii2_0_v6:9:12744117:12745744:-1 gene:B456_009G166000 transcript:KJB57473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAQDPFYIVKEEIQESIDKLQSSFHQWERILPDTGEQVHLTKELLANCESIEWQVDELNKTIDVAARDPSWYGIDDRELESRRRWTTTARTQVGDVKKSVVARKENGNSTSAMRRELMKLPISHQSDRSYQYGAEDNDDFIASESDRQMLLIKQQDEELDELSASVERIGGVGLTIHEELLAQEKIIDDLGFEIDSTTNRLDFVQKKVAMVMKKASAKGQIMMILFLLVLFIILFILVFLT >KJB57474 pep chromosome:Graimondii2_0_v6:9:12744117:12745992:-1 gene:B456_009G166000 transcript:KJB57474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINPLHSVFGISHHFRELNAPPPPFPDPSELWKTTDLHHLVFLRLAAELLPRCLKLKIHFTSSKKRFKNLLISCNQVFINGKEFSPTLENKYISRKSYLLIVRASNGRKVDELNKTIDVAARDPSWYGIDDRELESRRRWTTTARTQVGDVKKSVVARKENGNSTSAMRRELMKLPISHQSDRSYQYGAEDNDDFIASESDRQMLLIKQQDEELDELSASVERIGGVGLTIHEELLAQEKIIDDLGFEIDSTTNRLDFVQKKVAMVMKKASAKGQIMMILFLLVLFIILFILVFLT >KJB57469 pep chromosome:Graimondii2_0_v6:9:12743657:12746041:-1 gene:B456_009G166000 transcript:KJB57469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAQDPFYIVKEEIQESIDKLQSSFHQWERILPDTGEQVHLTKELLANCESIEWQVDELNKTIDVAARDPSWYGIDDRELESRRRWTTTARTQVGDVKKSVVARKENGNSTSAMRRELMKLPISHQSDRSYQYGAEDNDDFIASESDRQMLLIKQQDEELDELSASVERIGGVGLTIHEELLAQVKNNR >KJB57470 pep chromosome:Graimondii2_0_v6:9:12743657:12746041:-1 gene:B456_009G166000 transcript:KJB57470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAQDPFYIVKEEIQESIDKLQSSFHQWERILPDTGEQVHLTKELLANCESIEWQVDELNKTIDVAARDPSWYGIDDRELESRRRWTTTARTQVGDVKKSVVARKENGNSTSAMRRELMKLPISHQSDRSYQYGAEDNDDFIASESDRQMLLIKMRSWMNLVQVLRELEVLALQYMKNFLHRRK >KJB57475 pep chromosome:Graimondii2_0_v6:9:12743657:12746199:-1 gene:B456_009G166000 transcript:KJB57475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAQDPFYIVKEEIQESIDKLQSSFHQWERILPDTGEQVHLTKELLANCESIEWQVDELNKTIDVAARDPSWYGIDDRELESRRRWTTTARTQVGDVKKSVVARKENGNSTSAMRRELMKLPISHQSDRSYQYGAEDNDDFIASESDRQMLLIKQQDEELDELSASVERIGGVGLTIHEELLAQEKIIDDLGFEIDSTTNRLDFVQKKVAMVMKKSDIWI >KJB57472 pep chromosome:Graimondii2_0_v6:9:12743657:12746186:-1 gene:B456_009G166000 transcript:KJB57472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINPLHSVFGISHHFRELNAPPPPFPDPSELWKTTDLHHLVFLRLAAELLPRCLKLKIHFTSSKKRFKNLLISCNQVFINGKEFSPTLENKYISRKSYLLIVRASNGRKVDELNKTIDVAARDPSWYGIDDRELESRRRWTTTARTQVGDVKKSVVARKENGNSTSAMRRELMKLPISHQSDRSYQYGAEDNDDFIASESDRQMLLIKQQDEELDELSASVERIGGVGLTIHEELLAQEKIIDDLGFEIDSTTNRLDFVQKKVAMVMKKSDIWI >KJB57466 pep chromosome:Graimondii2_0_v6:9:12744309:12745744:-1 gene:B456_009G166000 transcript:KJB57466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAQDPFYIVKEEIQESIDKLQSSFHQWERILPDTGEQVHLTKELLANCESIEWQVDELNKTIDVAARDPSWYGIDDRELESRRRWTTTARTQVGDVKKSVVARKENGNSTSAMRRELMKLPISHQSDRSYQYGAEDNDDFIASESDRQMLLIKQQDEELDELSASVERIGGVGLTIHEELLAQEKIIDDLGFEIDSTTNRLDFVQVIPFNGSFVDAKQSYLQSESELFGLLCLRFNLS >KJB57471 pep chromosome:Graimondii2_0_v6:9:12743657:12746041:-1 gene:B456_009G166000 transcript:KJB57471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINPLHSVFGISHHFRELNAPPPPFPDPSELWKTTDLHHLVFLRLAAEIDKLQSSFHQWERILPDTGEQVHLTKELLANCESIEWQVDELNKTIDVAARDPSWYGIDDRELESRRRWTTTARTQVGDVKKSVVARKENGNSTSAMRRELMKLPISHQSDRSYQYGAEDNDDFIASESDRQMLLIKQQDEELDELSASVERIGGVGLTIHEELLAQEKIIDDLGFEIDSTTNRLDFVQKKVAMVMKKASAKGQIMMILFLLVLFIILFILVFLT >KJB57467 pep chromosome:Graimondii2_0_v6:9:12744617:12745744:-1 gene:B456_009G166000 transcript:KJB57467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAQDPFYIVKEEIQESIDKLQSSFHQWERILPDTGEQVHLTKELLANCESIEWQVDELNKTIDVAARDPSWYGIDDRELESRRRWTTTARTQVGDVKKSVVARKENGNSTSAMRRELMKLPISHQSDRSYQYGAEDNDDFIASESDRQMLLIKQQDEELDELSASVERIGGVGLTIHEELLAQVSV >KJB55153 pep chromosome:Graimondii2_0_v6:9:4694582:4701518:-1 gene:B456_009G066000 transcript:KJB55153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCKMETDPIEDMDVEVLSSMWPEDIEHEAGKQFNVENPRGDQDMLEEVTIVGEPTIVDFQHLIELTNYTDKGSSQLAYLVKHWEYKQANAVRLLREELDNLSRQRQESELKKLEILEEHRFEERYGGDKRPISILDGIYDIWQEVPRRKYNIMVPSKRVEIDAEYDTVIYWKQRAAHLEKMLEASMQREQQLMEKLQESIKNLEKQSSPVEELSQVLKRADNFLHFVLQTAPVVFGHQDKELRYRFIYNHFPSLQEEDILGKRDVEIFTGSGVKESQDFKKEVMDKGLPAKREITFETELFGSKTFLIYVEPVFSKAGETIGINYMGIDITDQVRKRERMIKIREEIAVQKAKETELNRTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILATTKLDREQRQLLDVMISSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLRKILTLEGNVADDVPIEVIGDVLRIRQILTNLISNAIKFTHEGKVGVKLYVVPEPPFAKEGSQNGSAGSTANQSTTNVPKEETCTSTSQTSSDQRGFHGKKHEGSCQDRSQSERGTPVTNGTIDVTEEQAELPETTVWIRCDVYDTGIGIPENALPTLFKKYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSQVHCGSTFTFILPYKVSLSCDHSDDADDNLSDMDDHGATDDDATAGFFQFQPRTLGSLFSSNGSSRTQNLLAHNIGYVNSHKINGFSENCYSFPTSNGQTKEMDLFEDACSVAEALEISPEPESSFSHSPDHDNERAICRDKHHHNVVNAENKVSTRDVSSCSETSREVDVKEKITEPQLPAERQGRSDSGFQSTLNSSREASNSISKPKILLVEDNKINVMVTRSMMKQLGHTIDVVSNGVEAVRAIQYHSYDLVLMDVCMPVMDGLQTTRLIRSFEETGNWDEAAKAGIEKPSPSSDSLQPDCTPPNRIPIIAMTANALSESADECSANGMDSFISKPVTFQKLKECLEQYLPRWKQ >KJB59703 pep chromosome:Graimondii2_0_v6:9:22301197:22304349:-1 gene:B456_009G267900 transcript:KJB59703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRSNLADQLREYQLRSKHDWASVSFFASTSNLTTSRVDVVAFVIWELVIFAFLVFSAVSLYFRHMQLAFILVCITLLLLLCMKITKQVSLAGKKKRRMLLPLSM >KJB59704 pep chromosome:Graimondii2_0_v6:9:22301464:22304283:-1 gene:B456_009G267900 transcript:KJB59704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRSNLADQLREYQLRSKHDWASVSFFASTSNLTTSRVDVVAFVIWELVIFAFLVFSAVSLYFRHMQLAFILVCITLLLLLCMKITKQVSLAGKKKRRMLLPLSM >KJB55938 pep chromosome:Graimondii2_0_v6:9:7336169:7340673:1 gene:B456_009G101900 transcript:KJB55938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALPTTKGVKDHKIWKGIFAVSGIMVTLVIYGVLQEKIMRVPYGLNKEYFKYSLFLVFCNRITTSTVSAVFLLASKKALVPVAPIYKYCLISASNILTTTCQYEALKYVSFPIQTLAKCAKMIPVMIWGTFIMQKTYKGFDYLVAFLVTLGCSIFILFPAGTDVSPYSKGRESTVWGISLMVGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTSCSCILSLTGLLLQGHLLSAIDFVYRHSDCFLDIVLLSTVATVSQFFISYTIRTFGALTFAAVMTTRQLVSIMLSCVWFGHPLSWEQWIGAVIVFGSLYSKNFLKKASTNPPPLQPMQDGAPSPVKGIP >KJB55947 pep chromosome:Graimondii2_0_v6:9:7337264:7340833:1 gene:B456_009G101900 transcript:KJB55947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPYGLNKEYFKYSLFLVFCNRITTSTVSAVFLLASKKALVPVAPIYKYCLISASNILTTTCQYEALKYVSFPIQTLAKCAKMIPVMIWGTFIMQKTYKGFDYLVAFLVTLGCSIFILFPAGTDVSPYSKGRESTVWGISLMVGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTSCSCILSLTGLLLQGHLLSAIDFVYRHSDCFLDIVLLSTVATVSQFFISYTIRTFGALTFAAVMTTRQLVSIMLSCVWFGHPLSWEQWIGAVRICLR >KJB55939 pep chromosome:Graimondii2_0_v6:9:7336156:7340703:1 gene:B456_009G101900 transcript:KJB55939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALPTTKGVKDHKIWKGIFAVSGIMVTLVIYGVLQEKIMRVPYGLNKEYFKYSLFLVFCNRITTSTVSAVFLLASKKALVPVAPIYKYCLISASNILTTTCQYEALKYVSFPIQTLAKCAKMIPVMIWGTFIMQKTYKGFDYLVAFLVTLGCSIFILFPAGTDVSPYSKGRESTVWGISLMVGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTSCSCILSLTGLLLQGHLLSAIDFVYRHSDCFLDIVLLSTVATVSQFFISYTIRTFGALTFAAVMTTRQLVSIMLSCVWFGHPLSWEQWIGAVIVFGSLYSKNFLKKASTNPPPLQPMQDGAPSPVKGIP >KJB55940 pep chromosome:Graimondii2_0_v6:9:7336159:7340833:1 gene:B456_009G101900 transcript:KJB55940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALPTTKGVKDHKIWKGIFAVSGIMVTLVIYGVLQEKIMRVPYGLNKEYFKYSLFLVFCNRITTSTVSAVFLLASKKALVPVAPIYKYCLISASNILTTTCQYEALKYVSFPIQTLAKCAKMIPVMIWGTFIMQKTYKGFDYLVAFLVTLGCSIFILFPAGTDVSPYSKGRESTVWGISLMVGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTSCSCILSLTGLLLQGHLLSAIDFVYRHSDCFLDIVLLSTVATVSQFFISYTIRTFGALTFAAVMTTRQLVSIMLSCVWFGHPLSWEQWIGAVIVFGSLYSKNFLKKASTNPPPLQPMQDGAPSPVKGIP >KJB55946 pep chromosome:Graimondii2_0_v6:9:7336526:7340673:1 gene:B456_009G101900 transcript:KJB55946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPYGLNKEYFKYSLFLVFCNRITTSTVSAVFLLASKKALVPVAPIYKYCLISASNILTTTCQYEALKYVSFPIQTLAKCAKMIPVMIWGTFIMQKTYKGFDYLVAFLVTLGCSIFILFPAGTDVSPYSKGRESTVWGISLMVGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTSCSCILSLTGLLLQGHLLSAIDFVYRHSDCFLDIVLLSTVATVSQFFISYTIRTFGALTFAAVMTTRQLVSIMLSCVWFGHPLSWEQWIGAVIVFGSLYSKNFLKKASTNPPPLQPMQDGAPSPVKGIP >KJB55941 pep chromosome:Graimondii2_0_v6:9:7337264:7340833:1 gene:B456_009G101900 transcript:KJB55941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVMIWGTFIMQKTYKGFDYLVAFLVTLGCSIFILFPAGTDVSPYSKGRESTVWGISLMVGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTSCSCILSLTGLLLQGHLLSAIDFVYRHSDCFLDIVLLSTVATVSQFFISYTIRTFGALTFAAVMTTRQLVSIMLSCVWFGHPLSWEQWIGAVIVFGSLYSKNFLKKASTNPPPLQPMQDGAPSPVKGIP >KJB55943 pep chromosome:Graimondii2_0_v6:9:7336526:7340673:1 gene:B456_009G101900 transcript:KJB55943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALPTTKGVKDHKIWKGIFAVSGIMVTLVIYGVLQEKIMRVPYGLNKEYFKYSLFLVFCNRITTSTVSAVFLLASKKALVPVAPIYKYCLISASNILTTTCQYEALKYVSFPIQTLAKCAKMIPVMIWGTFIMQKTYKGFDYLVAFLVTLGCSIFILFPAGTDVSPYSKGRESTVWGISLMVGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTSCSCILSLTGLLLQGHLLSAIDFVYRHSDCFLDIVLLSTVTTVSQFFISYTIRTFGALTFAAVMTTRQLVSIMLSCVWFGHPLSWEQWIGAVIVFGSLYSKNFLKKASTNPPPLQPMQDGAPSPVKGIP >KJB55944 pep chromosome:Graimondii2_0_v6:9:7336858:7339718:1 gene:B456_009G101900 transcript:KJB55944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALPTTKGVKDHKIWKGIFAVSGIMVTLVIYGVLQEKIMRVPYGLNKEYFKYSLFLVFCNRITTSTVSAVFLLASKKALVPVAPIYKYCLISASNILTTTCQYEALKYVSFPIQTLAKCAKMIPVMIWGTFIMQKTYKGFDYLVAFLVTLGCSIFILFPAGTDVSPYSKGRESTVWGISLMVGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTSCSCILSLTGLLLQGHLLSAIDFVYRHSDCFLDIVLLSTVSALHASVLVFNRP >KJB55945 pep chromosome:Graimondii2_0_v6:9:7336526:7340673:1 gene:B456_009G101900 transcript:KJB55945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALPTTKGVKDHKIWKGIFAVSGIMVTLVIYGVLQEKIMRVPYGLNKEYFKYSLFLVFCNRITTSTVSAVFLLASKKALVPVAPIYKYCLISASNILTTTCQYEALKYVSFPIQTLAKCAKMIPVMIWGTFIMQKTYKGFDYLVAFLVTLGCSIFILFPAGTDVSPYSKGRESTVWGISLMVGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTSCSCILSLTGLLLQGHLLSAIDFVYRHSDCFLDIVLLSTVATVSQFFISYTIRTFGALTFAAVMTTRQV >KJB55942 pep chromosome:Graimondii2_0_v6:9:7336526:7340673:1 gene:B456_009G101900 transcript:KJB55942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALPTTKGVKDHKIWKGIFAVSGIMVTLVIYGVLQEKIMRVPYGLNKEYFKYSLFLVFCNRITTSTVSAVFLLASKKALVPVAPIYKYCLISASNILTTTCQYEALKYVSFPIQTLAKCAKMIPVMIWGTFIMQKTYKGFDYLVAFLVTLGCSIFILFPAGTDVSPYSKGRESTVWGISLMVGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTSCSCILSLTGLLLQGHLLSAIDFVYRHSDCFLDIVLLSTVATVSQFFISYTIRTFGALTFAAVMTTRQV >KJB59128 pep chromosome:Graimondii2_0_v6:9:19359575:19360903:-1 gene:B456_009G242000 transcript:KJB59128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVEIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIVITKTQMLTLKCAACGFVSDVDMRDKLTTFILKNPPEAKKSSKDKKAMRRAEKERLKEGEAADEELKKVKKEAKKKGSSTTTTSKATAPKGAVTKKKSKDSDEDHSPTHSQADENKEVADDDDDNVQWQTDTSLEAAQQRIQEQLSAVTADMVMLSTDEEKKSVKKSPEREAKALENGVTAHEKLLDEIKKYLNTGSSAAQLKSFLGSLSGTSQEIMDALFTVLFHDVGKGFAEEVTKKKNYLAAAAREEGWQMMLLNSIESFCGKANLEAAKEAALVLKVLYDNDILEEEFIMEWYQKGVAGGNKSSQIWKNSKPFIEWLQNAESETEEE >KJB59126 pep chromosome:Graimondii2_0_v6:9:19359199:19362299:-1 gene:B456_009G242000 transcript:KJB59126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVEIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIVITKTQMLTLKCAACGFVSDVDMRDKLTTFILKNPPEAKKSSKDKKAMRRAEKERLKEGEAADEELKKVKKEAKKKGSSTTTTSKATAPKGAVTKKKSKDSDEDHSPTHSQADENKEVADDDDDNVQWQTDTSLEAAQQRIQEQLSAVTADMVMLSTDEEKKSVKKSPEREAKALENGVTAHEKLLDEIKKYLNTGSSAAQLKSFLGSLSGTSQEIMDALFTVLFHDVGKGFAEEVTKKKNYLAAAAREEGWQMMLLNSIESFCGKANLEAAKEAALVLKVLYDNDILEEEFIMEWYQKGVAGGNKSSQIWKNSKPFIEWLQNAESETEEE >KJB59127 pep chromosome:Graimondii2_0_v6:9:19359233:19362128:-1 gene:B456_009G242000 transcript:KJB59127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVEIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIVITKTQMLTLKCAACGFVSDVDMRDKLTTFILKNPPEAKKSSKDKKAMRRAEKERLKEGEAADEELKKVKKEAKKKGSSTTTTSKATAPKGAVTKKKSKDSDEDHSPTHSQADENKEVADDDDDNVQWQTDTSLEAAQQRIQEQLSAVTADMVMLSTDEEKKSVKKSPEREAKALENGVTAHEKLLDEIKKYLNTGSSAAQLKSFLGSLSGTSQEIMDALFTVLFHDVGKGFAEEVTKKKNYLAAAAREEGWQMMLLNSIESFCGKANLEAAKEAALVLKVLYDNDILEEEFIMEWYQKGVAGGNKSSQIWKNSKPFIEWLQNAESETEEE >KJB60725 pep chromosome:Graimondii2_0_v6:9:31336239:31336574:-1 gene:B456_009G322300 transcript:KJB60725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIHSSCSLSLSQHSSIPSTSRITAMASLWRAATALTEKPNDYDGYIKTWRCRWFVLKQGRLFWFKESSITRASRPRGVIPVATCLTVKGAEDI >KJB56371 pep chromosome:Graimondii2_0_v6:9:8590890:8591727:1 gene:B456_009G117000 transcript:KJB56371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIPYLNPSSPFQFHQPIPSSEFHPPATMKSLFFSMLFLLSFLFFLANNGEAAVPCTTVDANAAACLGFATGMAAKPSDACCNGLRQLAQTVKSVDDKKAICRCLKVGAKSLGIQDRFLSMIPQACNIKVDFPVSVNTNCETIH >KJB56945 pep chromosome:Graimondii2_0_v6:9:10846327:10850495:1 gene:B456_009G143100 transcript:KJB56945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAMGCYLFVNCSICLLRHLLNNANILKLLSGLPPCQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETIIAITVEEEGDIAKFKDYSPPASDSGAPAAKDSAAPPPPKQEPVEQPASSPEPKTPKPTSSPSEDRFFASPLARKLAEEHKVPLSSIKGTGPDGHIVKADIEDYLASRGREVSAPTPKTKDAKLAALDYVDIPHSQIRKVTASRLLFSKQTIPHYYLTVDTCVDKLMDLRSQLNSLQEASGGKRISVNDLVIKAAALALRKVPQCNSSWTDDYIRQFNNVNINVAVQTDNGLYVPVIRDADKKGLSSIAEEVKNLAQKAKENSLKPEDYEGGTFTVSNLGGPFGIKQFCAIINPPQSGILAVGSAEKRVIPGSGPDQFKFASFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >KJB56944 pep chromosome:Graimondii2_0_v6:9:10844472:10850495:1 gene:B456_009G143100 transcript:KJB56944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYASHIINHSKRLRNVPKLLRYDHAVLVRWFSNGAQSSVCKREDVAKIRPLGYAPAERETVSKFVGSHPVSYCLSKKTLSRTVMRSGIPMGGVVCSRGLLCSQALSRRGFASDAGLPPCQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETIIAITVEEEGDIAKFKDYSPPASDSGAPAAKDSAAPPPPKQEPVEQPASSPEPKTPKPTSSPSEDRFFASPLARKLAEEHKVPLSSIKGTGPDGHIVKADIEDYLASRGREVSAPTPKTKDAKLAALDYVDIPHSQIRKVTASRLLFSKQTIPHYYLTVDTCVDKLMDLRSQLNSLQEASGGKRISVNDLVIKAAALALRKVPQCNSSWTDDYIRQFNNVNINVAVQTDNGLYVPVIRDADKKGLSSIAEEVKNLAQKAKENSLKPEDYEGGTFTVSNLGGPFGIKQFCAIINPPQSGILAVGSAEKRVIPGSGPDQFKFASFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >KJB56943 pep chromosome:Graimondii2_0_v6:9:10845011:10850495:1 gene:B456_009G143100 transcript:KJB56943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNHLFVKEKMLQRSVLLAMHLQKERLYLSLLVVTLVCLISYSQVSYCLSKKTLSRTVMRSGIPMGGVVCSRGLLCSQALSRRGFASDAGLPPCQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETIIAITVEEEGDIAKFKDYSPPASDSGAPAAKDSAAPPPPKQEPVEQPASSPEPKTPKPTSSPSEDRFFASPLARKLAEEHKVPLSSIKGTGPDGHIVKADIEDYLASRGREVSAPTPKTKDAKLAALDYVDIPHSQIRKVTASRLLFSKQTIPHYYLTVDTCVDKLMDLRSQLNSLQEASGGKRISVNDLVIKAAALALRKVPQCNSSWTDDYIRQFNNVNINVAVQTDNGLYVPVIRDADKKGLSSIAEEVKNLAQKAKENSLKPEDYEGGTFTVSNLGGPFGIKQFCAIINPPQSGILAVGSAEKRVIPGSGPDQFKFASFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >KJB60193 pep chromosome:Graimondii2_0_v6:9:25736010:25738921:-1 gene:B456_009G295100 transcript:KJB60193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVVGIVVSNKMQKSVVVEVDRLFHNELYNRYVKRTSKFMAHDEKDECNIGDRVKLDPSRPLSKRKHWVVAEILKKARIYTPPSVDTAANASAKNEAPVSSTS >KJB60190 pep chromosome:Graimondii2_0_v6:9:25736622:25737026:-1 gene:B456_009G295100 transcript:KJB60190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVVGIVVSNKMQKSVVVEVDRLFHNELYNRYVKRTSKFMAHDEKDECNIGDRVKLDPSRPLSKRKHWVVAEILKKARIYTPPSVDTAANASAKNEAPVSSTS >KJB60192 pep chromosome:Graimondii2_0_v6:9:25736117:25738900:-1 gene:B456_009G295100 transcript:KJB60192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVVGIVVSNKMQKSVVVEVDRLFHNELYNRYVKRTSKFMAHDEKDECNIGDRVKLDPSRPLSKRKHWVVAEILKKARIYTPPSVDTAANASAKNEAPVSSTS >KJB60194 pep chromosome:Graimondii2_0_v6:9:25736180:25737420:-1 gene:B456_009G295100 transcript:KJB60194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVVGIVVSNKMQKSVVVEVDRLFHNELYNRYVKRTSKFMAHDEKDECNIGDRVKLDPSRPLSKRKHWVVAEILKKARIYTPPSVDTAANASAKNEAPVSSTS >KJB60191 pep chromosome:Graimondii2_0_v6:9:25736180:25738900:-1 gene:B456_009G295100 transcript:KJB60191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVVGIVVSNKMQKSVVVEVDRLFHNELYNRYVKRTSKFMAHDEKDECNIGDRVKLDPSRPLSKRKHWVVAEILKKARIYTPPSVDTAANASAKNEAPVSSTS >KJB58536 pep chromosome:Graimondii2_0_v6:9:16601092:16606077:-1 gene:B456_009G213900 transcript:KJB58536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRGTVGSLPETLFDHGSSSSNAAIDQQVCWNNIRNPIESRLSDCLLSANDANIGHGNSNAREDQELGRCSLGEPSSSGTQSVVSHNERKMNHGWSSSMSASSSSGPRLEERRYEQGTLFGQSSNSNTVPQDINLNASLMDDDNNCQDTEQSNLYKPSGSQNERISAFTGPEAFLLSSGSGGYVMGDNDRRPVCPYEGRRASCKRKTLEGNVGQSSSSGSSSYFHRGENSGCRGLPVSYSTGSSVDISAPSGQVHPRLGLDVRGSASSSIHEPIVLPPAEGSHRNFRRRINPSSMQEPIAPPIFPTGDTARQSVVLSSQQSSRLIPTDHSLELRSAPVADNASSQNLNVVNHVPTLPRNMQPFRWNGGSGSRVGSSSSNLSVVRDFVPCEGPQSRSIARNLLDHPMFVPAPELRPMVRNPVNRGLGTGNASVPGNVASTYRGGSSSGANASSASTWVPHPNPSPQYPRRLSELVRRSLMSSAGAESGGHVNHSPLSSGLPTSPEEMLLSSGVPNQGHHRQYPRSASWLERQDAGFQGIPHSLRTLAAATEGRSRLLASEIRNVLDLMRRGENLRFEDVMILDQSVFLGVADVHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEEAILNRLKRQKYSSTPGAQSEAEPCCICQEEYNDGENLGTLECGHNFHADCIKQWLVRKNLCPICKTTGLTK >KJB58535 pep chromosome:Graimondii2_0_v6:9:16602024:16604470:-1 gene:B456_009G213900 transcript:KJB58535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRGTVGSLPETLFDHGSSSSNAAIDQQVCWNNIRNPIESRLSDCLLSANDANIGHGNSNAREDQELGRCSLGEPSSSGTQSVVSHNERKMNHGWSSSMSASSSSGPRLEERRYEQGTLFGQSSNSNTVPQDINLNASLMDDDNNCQDTEQSNLYKPSGSQNERISAFTGPEAFLLSSGSGGYVMGDNDRRPVCPYEGRRASCKRKTLEGNVGQSSSSGSSSYFHRGENSGCRGLPVSYSTGSSVDISAPSGQVHPRLGLDVRGSASSSIHEPIVLPPAEGSHRNFRRRINPSSMQEPIAPPIFPTGDTARQSVVLSSQQSSRLIPTDHSLELRSAPVADNASSQNLNVVNHVPTLPRNMQPFRWNGGSGSRVGSSSSNLSVVRDFVPCEGPQSRSIARNLLDHPMFVPAPELRPMVRNPVNRGLGTGNASVPGNVASTYRGGSSSGANASSASTWVPHPNPSPQYPRRLSELVRRSLMSSAGAESGGHVNHSPLSSGLPTSPEEMLLSSGVPNQGHHRQYPRSASWLERQDAGFQGIPHSLRTLAAATEGRSRLLASEIRNVLDLMRRGENLRFEDVMILDQSVFLGVADVHDRHRDMRLDVDNMSYEV >KJB58533 pep chromosome:Graimondii2_0_v6:9:16601593:16604470:-1 gene:B456_009G213900 transcript:KJB58533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRGTVGSLPETLFDHGSSSSNAAIDQQVCWNNIRNPIESRLSDCLLSANDANIGHGNSNAREDQELGRCSLGEPSSSGTQSVVSHNERKMNHGWSSSMSASSSSGPRLEERRYEQGTLFGQSSNSNTVPQDINLNASLMDDDNNCQDTEQSNLYKPSGSQNERISAFTGPEAFLLSSGSGGYVMGDNDRRPVCPYEGRRASCKRKTLEGNVGQSSSSGSSSYFHRGENSGCRGLPVSYSTGSSVDISAPSGQVHPRLGLDVRGSASSSIHEPIVLPPAEGSHRNFRRRINPSSMQEPIAPPIFPTGDTARQSVVLSSQQSSRLIPTDHSLELRSAPVADNASSQNLNVVNHVPTLPRNMQPFRWNGGSGSRVGSSSSNLSVVRDFVPCEGPQSRSIARNLLDHPMFVPAPELRPMVRNPVNRGLGTGNASVPGNVASTYRGGSSSGANASSASTWVPHPNPSPQYPRRLSELVRRSLMSSAGAESGGHVNHSPLSSGLPTSPEEMLLSSGVPNQGHHRQYPRSASWLERQDAGFQGIPHSLRTLAAATEGRSRLLASEIRNVLDLMRRGENLRFEDVMILDQSVFLGVADVHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEEAILNRLKRQKYSSTPGAQSEAEPCCICQEEYNDGENLGTLECGHNFHADCIKQWLVRKNLCPICKTTGLTK >KJB58534 pep chromosome:Graimondii2_0_v6:9:16601106:16606106:-1 gene:B456_009G213900 transcript:KJB58534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRGTVGSLPETLFDHGSSSSNAAIDQQVCWNNIRNPIESRLSDCLLSANDANIGHGNSNAREDQELGRCSLGEPSSSGTQSVVSHNERKMNHGWSSSMSASSSSGPRLEERRYEQGTLFGQSSNSNTVPQDINLNASLMDDDNNCQDTEQSNLYKPSGSQNERISAFTGPEAFLLSSGSGGYVMGDNDRRPVCPYEGRRASCKRKTLEGNVGQSSSSGSSSYFHRGENSGCRGLPVSYSTGSSVDISAPSGQVHPRLGLDVRGSASSSIHEPIVLPPAEGSHRNFRRRINPSSMQEPIAPPIFPTGDTARQSVVLSSQQSSRLIPTDHSLELRSAPVADNASSQNLNVVNHVPTLPRNMQPFRWNGGSGSRVGSSSSNLSVVRDFVPCEGPQSRSIARNLLDHPMFVPAPELRPMVRNPVNRGLGTGNASVPGNVASTYRGGSSSGANASSASTWVPHPNPSPQYPRRLSELVRRSLMSSAGAESGGHVNHSPLSSGLPTSPEEMLLSSGVPNQGHHRQYPRSASWLERQDAGFQGIPHSLRTLAAATEGRSRLLASEDVMILDQSVFLGVADVHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEEAILNRLKRQKYSSTPGAQSEAEPCCICQEEYNDGENLGTLECGHNFHADCIKQWLVRKNLCPICKTTGLTK >KJB58537 pep chromosome:Graimondii2_0_v6:9:16601593:16604470:-1 gene:B456_009G213900 transcript:KJB58537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRGTVGSLPETLFDHGSSSSNAAIDQQVCWNNIRNPIESRLSDCLLSANDANIGHGNSNAREDQELGRCSLGEPSSSGTQSVVSHNERKMNHGWSSSMSASSSSGPRLEERRYEQGTLFGQSSNSNTVPQDINLNASLMDDDNNCQDTEQSNLYKPSGSQNERISAFTGPEAFLLSSGSGGYVMGDNDRRPVCPYEGRRASCKRKTLEGNVGQSSSSGSSSYFHRGENSGCRGLPVSYSTGSSVDISAPSGQVHPRLGLDVRGSASSSIHEPIVLPPAEGSHRNFRRRINPSSMQEPIAPPIFPTGDTARQSVVLSSQQSSRLIPTDHSLELRSAPVADNASSQNLNVVNHVPTLPRNMQPFRWNGGSGSRVGSSSSNLSVVRDFVPCEGPQSRSIARNLLDHPMFVPAPELRPMVRNPVNRGLGTGNASVPGNVASTYRGGSSSGANASSASTWVPHPNPSPQYPRRLSELVRRSLMSSAGAESGGHVNHSPLSSGLPTSPEEMLLSSGVPNQGHHRQYPRSASWLERQDAGFQGIPHSLRTLAAATEGRSRLLASEIRNVLDLMRRGENLRFEDVMILDQSVFLGVADVHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEEAILNRLKRQKYSSTPGAQSEAEPCCICQEEYNDGENLGTLECGHNFHADCIKQWLVRKNLCPICKTTGLTK >KJB58532 pep chromosome:Graimondii2_0_v6:9:16602292:16604470:-1 gene:B456_009G213900 transcript:KJB58532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRGTVGSLPETLFDHGSSSSNAAIDQQVCWNNIRNPIESRLSDCLLSANDANIGHGNSNAREDQELGRCSLGEPSSSGTQSVVSHNERKMNHGWSSSMSASSSSGPRLEERRYEQGTLFGQSSNSNTVPQDINLNASLMDDDNNCQDTEQSNLYKPSGSQNERISAFTGPEAFLLSSGSGGYVMGDNDRRPVCPYEGRRASCKRKTLEGNVGQSSSSGSSSYFHRGENSGCRGLPVSYSTGSSVDISAPSGQVHPRLGLDVRGSASSSIHEPIVLPPAEGSHRNFRRRINPSSMQEPIAPPIFPTGDTARQSVVLSSQQSSRLIPTDHSLELRSAPVADNASSQNLNVVNHVPTLPRNMQPFRWNGGSGSRVGSSSSNLSVVRDFVPCEGPQSRSIARNLLDHPMFVPAPELRPMVRNPVNRGLGTGNASVPGNVASTYRGGSSSGANASSASTWVPHPNPSPQYPRRLSELVRRSLMSSAGAESGGHVNHSPLSSGLPTSPEEMLLSSGVPNQGHHRQYPRSASWLERQDAGFQGIPHSLRTLAAATEGRSRLLASEIRNVLDLMRRGENLRFEVMFLIFMV >KJB58531 pep chromosome:Graimondii2_0_v6:9:16601106:16606651:-1 gene:B456_009G213900 transcript:KJB58531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRGTVGSLPETLFDHGSSSSNAAIDQQVCWNNIRNPIESRLSDCLLSANDANIGHGNSNAREDQELGRCSLGEPSSSGTQSVVSHNERKMNHGWSSSMSASSSSGPRLEERRYEQGTLFGQSSNSNTVPQDINLNASLMDDDNNCQDTEQSNLYKPSGSQNERISAFTGPEAFLLSSGSGGYVMGDNDRRPVCPYEGRRASCKRKTLEGNVGQSSSSGSSSYFHRGENSGCRGLPVSYSTGSSVDISAPSGQVHPRLGLDVRGSASSSIHEPIVLPPAEGSHRNFRRRINPSSMQEPIAPPIFPTGDTARQSVVLSSQQSSRLIPTDHSLELRSAPVADNASSQNLNVVNHVPTLPRNMQPFRWNGGSGSRVGSSSSNLSVVRDFVPCEGPQSRSIARNLLDHPMFVPAPELRPMVRNPVNRGLGTGNASVPGNVASTYRGGSSSGANASSASTWVPHPNPSPQYPRRLSELVRRSLMSSAGAESGGHVNHSPLSSGLPTSPEEMLLSSGVPNQGHHRQYPRSASWLERQDAGFQGIPHSLRTLAAATEGRSRLLASEIRNVLDLMRRGENLRFEDVMILDQSVFLGVADVHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEEAILNRLKRQKYSSTPGAQSEAEPCCICQEEYNDGENLGTLECGHNFHADCIKQWLVRKNLCPICKTTGLTK >KJB61934 pep chromosome:Graimondii2_0_v6:9:53802065:53807102:1 gene:B456_009G392400 transcript:KJB61934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEGEEQTSIWNKETVPKVMKIVSTRLAQRDLISLLLLSPWIHRTLISYPSLWLSIDLREMNNAGDRLIAALSLPRYQHVKQISLEFAQDIDDDHLEVIKSKCLGSLQDLESLNLNGCQKISDKGIEAITSCCLKLKVFSIYWNVRAADEGVKHLVKNCKYIVDLNFSGCKHLSDKSLQLVADNYPELESLNLTRCVKMTDSGLQQVFVKCSFLQSLNLYALSSFTDEAYKKISVLSHLKFLDLCGAQNLSDDGLSCIAKCKKLVSLNLTWLVNRLLYKSVF >KJB61935 pep chromosome:Graimondii2_0_v6:9:53802065:53807102:1 gene:B456_009G392400 transcript:KJB61935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRNCTKSDENSEHKACSKRPHLSPPSQPLDSPHSHFLPFSLACTFLISKFLLSLLKFLLLLKISLFLLLFQSIDLREMNNAGDRLIAALSLPRYQHVKQISLEFAQDIDDDHLEVIKSKCLGSLQDLESLNLNGCQKISDKGIEAITSCCLKLKVFSIYWNVRAADEGVKHLVKNCKYIVDLNFSGCKHLSDKSLQLVADNYPELESLNLTRCVKMTDSGLQQVFVKCSFLQSLNLYALSSFTDEAYKKISVLSHLKFLDLCGAQNLSDDGLSCIAKCKKLVSLNLTWCVRVTDVGVIDIAESCTSLEFLSLFGIVGVTDKCLEALSKSCANTMTTLDVNGCIGIKRRSRDDLLKLFPYLRCFKVHS >KJB61932 pep chromosome:Graimondii2_0_v6:9:53802053:53807140:1 gene:B456_009G392400 transcript:KJB61932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEGEEQTSIWNKETVPKVMKIVSTRLAQRDLISLLLLSPWIHRTLISYPSLWLSIDLREMNNAGDRLIAALSLPRYQHVKQISLEFAQDIDDDHLEVIKSKCLGSLQDLESLNLNGCQKISDKGIEAITSCCLKLKVFSIYWNVRAADEGVKHLVKNCKYIVDLNFSGCKHLSDKSLQLVADNYPELESLNLTRCVKMTDSGLQQVFVKCSFLQSLNLYALSSFTDEAYKKISVLSHLKFLDLCGAQNLSDDGLSCIAKCKKLVSLNLTWCVRVTDVGVIDIAESCTSLEFLSLFGIVGVTDKCLEALSKSCANTMTTLDVNGCIGIKRRSRDDLLKLFPYLRCFKVHS >KJB61933 pep chromosome:Graimondii2_0_v6:9:53802061:53807117:1 gene:B456_009G392400 transcript:KJB61933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEGEEQTSIWNKETVPKVMKIVSTRLAQRDLISLLLLSPWIHRTLISYPSLWLSIDLREMNNAGDRLIAALSLPRYQHVKQISLEFAQDIDDDHLEVIKSKCLGSLQDLESLNLNGCQKISDKGIEAITSCCLKLKVFSIYWNVRAADEGVKHLVKNCKYIVDLNFSGCKHLSDKSLQLVADNYPELESLNLTRCVKMTDSGLQQVFVKCSFLQSLNLYALSSFTDEAYKKISVLSHLKFLDLCGAQNLSDDGLSCIAKCKKLVSLNLTCLFGIVGVTDKCLEALSKSCANTMTTLDVNGCIGIKRRSRDDLLKLFPYLRCFKVHS >KJB55625 pep chromosome:Graimondii2_0_v6:9:6240554:6241238:1 gene:B456_009G085700 transcript:KJB55625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNTLDWASLLAGLPDSDELMSLLVNDVIVEKPEDEQPQEGSKFLVQPTTVIPQQQDIHNVATDVETGSSSCRLNDQNKIIRNGGCLINPLGFPYRCRMQVLAADAPSGSGELLVMNDLPVYKRGRNNIQEAIEEAEQKKRKNKIKNRISAEKSRAKSKNLNLNICNSSPILRLQSCFEGAYKISGRKSGAIEK >KJB55626 pep chromosome:Graimondii2_0_v6:9:6239600:6242069:1 gene:B456_009G085700 transcript:KJB55626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNTLDWASLLAGLPDSDELMSLLVNDVIVEKPEDEQPQEGSKFLVQPTTVIPQQQDIHNVATDVETGSSSCRLNDQNKIIRNGGCLINPLGFPYRCRMQVLAADAPSGSGELLVMNDLPVYKRGRNNIQEAIEEAEQKKRKNKIKNRISAEKSRAKSKEHTRFLEEKVEQLRNENAHLKKLLSLEAASTERLPEERGDLWEKAKRCLELKELQTYIGAIYGD >KJB59176 pep chromosome:Graimondii2_0_v6:9:19564594:19567910:1 gene:B456_009G244000 transcript:KJB59176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKKGDSPETGKCQNRINLENVPHFQRRLYRSADNNLAEDLICTGCRLCGSPLHQEQGSIVGTNSVPLYCEKSSDRLHAVSLIYRPFMLYLWDESEHMPLLVKNNAAEKLFGNIKAERVYLCYKEYKRDITLNPGCIAENGSHGCGTRIRSSPKAAGASDAEHCSSDAHEKQEGMRNPQCNKNINLFQIWLVVLKLLLQRGKNSPLKFEVAVNASLDTENGRFEMITVSTPCFKTIRCLE >KJB59177 pep chromosome:Graimondii2_0_v6:9:19564728:19567910:1 gene:B456_009G244000 transcript:KJB59177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVVKEKLSQVIEWVQRTGYIAIDNIEPNDCQKRRLSRNWKVPEPNKFRECPSLSEVLCLNSHCKAIFSASVGEIFLPITWRPIGESENENMFISRRLYRSADNNLAEDLICTGCRLCGSPLHQEQGSIVGTNSVPLYCEKSSDRLHAVSLIYRPFMLYLWDESEHMPLLVKNNAAEKLFGNIKAERVYLCYKEYKRDITLNPGCIAENGSHGCGTRIRSSPKAAGASDAEHCSSDAHEKQEGMRNPQCNKNINLFQIWLVVLKLLLQRGKNSPLKFEVAVNASLDTENGRFEMITVSTPCFKTIRCLE >KJB59178 pep chromosome:Graimondii2_0_v6:9:19564594:19567910:1 gene:B456_009G244000 transcript:KJB59178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSYGPLINLSEASNHMGHFVQLLVFVHRSTPVQYKLSKGGEIIRTDIQVGDDTRPFFSVSLWKKELRSIFAAGDIVLLRNVKITTFRDVFEARTVDWSSLLRLVHPCQSLVSKSAIELVAECRMGIVVKEKLSQVIEWVQRTGYIAIDNIEPNDCQKRRLSRNWKVPEPNKFRECPSLSEVLCLNSHCKAIFSASVGEIFLPITWRPIGESENENMFISRRLYRSADNNLAEDLICTGCRLCGSPLHQEQGSIVGTNSVPLYCEKSSDRLHAVSLIYRPFMLYLWDESEHMPLLVKNNAAEKLFGNIKAERVYLCYKEYKRDITLNPGCIAENGSHGCGTRIRSSPKAAGASDAEHCSSDAHEKQEGMRNPQCNKNINLFQIWLVVLKLLLQRGKNSPLKFEVAVNASLDTENGRFEMITVSTPCFKTIRCLE >KJB60775 pep chromosome:Graimondii2_0_v6:9:32268119:32268418:1 gene:B456_009G325100 transcript:KJB60775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLNISCFLTEARWDIRMLFANRNSVLEMSIHSFESSLYYNYSNPVSCSVVEAMHLGRKKQRLVEMQFYRYQCREEQPYVDDWVLEGIRNINRIKYYY >KJB62592 pep chromosome:Graimondii2_0_v6:9:66628119:66629979:1 gene:B456_009G424800 transcript:KJB62592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLYNKHAVLASEGWSWGCHAPEFAPLMAGALVIFCCAWWWWDLKFTKINPPLPPGPLGLPIIGNLPFIKPELHRYFSDLSRIYGPVFKLRMGRVLAIVINSPSLANEVLKAQDAIFANRDVPASAVVGTFGGLNILWRPNGPRWNQLRKLVICEIMSKQSLDACYVLRQREVRRMVKEIHGKVGSSVNIYKQLSATALRVMTSTLWGDDPSQDLIEFRKRLDEIIVTFAAPNVSDLFPILAPFDLQGIESKAKEQVSWFYGVFESMIKNRRNIRDDGKEKEKISKDFMQQLLELHWRGDEKNSLSINEVKALLLDLMVAGTDTVPTTVEWAMTELLRHRDKMTKLVKELDMVVGNQNTVEDSHIPQLVYLDAVIKETLRLHPVAPLLIPHVPSETTVIGGFTVPKGCTVFINVWVIQRDPELWDDPLRFQPERFLEADINYRGNNFGFFPFGSGRRMCVGVSLAEKMMALLLGSLVHSFEWGLSEGTKPSLEDKFGIFLKKTESLVAIPVARLPNLEQYH >KJB62729 pep chromosome:Graimondii2_0_v6:9:68826476:68828655:-1 gene:B456_009G437900 transcript:KJB62729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKGTTGSINLSLNGREEKINLSGQVHHLPCCIKFNGPCNVSQYFKPKLKGMEIDGLAVEEAHFRGRKLQGTTISLPNGYAGFVLVKNNSGKRKAYDVSEGNSNDWEMKAKFDKLTYWNHDTPPSKDDPFLRSFHWFTVAEALHKQVKAEDLATINAALT >KJB62731 pep chromosome:Graimondii2_0_v6:9:68826666:68828581:-1 gene:B456_009G437900 transcript:KJB62731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKGTTGSINLSLNGREEKINLSGQVHHLPCCIKFNGPCNVSQYFKPKLKGMEIDGLAVEEAHFRGRKLQGTTISLPNGYAGFVLVKNNSGKRKAYDVSEGNSNDWEMKAKFDKLTYWNHDTPPSKDDPFLRSFHWFTVAEAVSFSIICG >KJB62730 pep chromosome:Graimondii2_0_v6:9:68826528:68828607:-1 gene:B456_009G437900 transcript:KJB62730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKGTTGSINLSLNGREEKINLSGQVHHLPCCIKFNGPCNVSQYFKPKLKGFVLVKNNSGKRKAYDVSEGNSNDWEMKAKFDKLTYWNHDTPPSKDDPFLRSFHWFTVAEALHKQVKAEDLATINAALT >KJB60306 pep chromosome:Graimondii2_0_v6:9:26324685:26325167:-1 gene:B456_009G299400 transcript:KJB60306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKSSLASSTPNISPSALGLTQFQGFWIPSRIAPISSIISFQKYFQAVDEDIFVASKPKAGTTWLKTLSNTPLNSANPHQLISHFEIKFYKENPNPDLSKILSPRLFSTHLLYLMLTDSIKRSNYQSIYITRNPFDIVISSYNERL >KJB54261 pep chromosome:Graimondii2_0_v6:9:2082382:2085231:-1 gene:B456_009G027400 transcript:KJB54261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPIIGLSILLVLTSTSPFSLKGGCIIVDSTRRGKRFPDSMSKTIPIWTCVLNRSIFNYLNTFSNADASLVKQDSNIGCNSHDWDCSLHLPLWVSETEKAAIEDRLEGWTKDLETSGADIAILASCLKKPLRPLWISQKTVIWINEVPDYDSWDFTPIILVSASSTSGVTQHRTTSEFSWNYIPGAGDDEESWARGLSPNLFWNHAYDLISTGPDVCNQKVADIVEKDRVYRARRGQDAPQITVKPSISESSPCLDPLLCSDLSNITISSSDEERGIFWLGSTNLALGSSQIAAECSSNVDCVLNCDQWPISVHHQEAEAYLHLPIVLTLQNQILQKGEHFLYVVTTGKILVYAFVWLS >KJB54260 pep chromosome:Graimondii2_0_v6:9:2082603:2084810:-1 gene:B456_009G027400 transcript:KJB54260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPIIGLSILLVLTSTSPFSLDSNIGCNSHDWDCSLHLPLWVSETEKAAIEDRLEGWTKDLETSGADIAILASCLKKPLRPLWISQKTVIWINEVPDYDSWDFTPIILVSASSTSGVTQHRTTSEFSWNYIPGAGDDEESWARGLSPNLFWNHAYDLISTGPDVCNQKVADIVEKDRVYRARRGQDAPQITVKPSISESSPCLDPLLCSDLSNITISSSDEERGIFWLGSTNLALGSSQIAAECSSNVDCVLNCDQWPISVHHQEAEAYLHLPIVSSKMDRFSLFNNLSSAVNFAKSNLTKGRTLLICCHDGEDISVCVCLAILISLFNDEGSFDDGKFFSETGITKCEMRRRLVYVCKFAIKARPSRGNLKQVFSFLNSEVAEV >KJB54264 pep chromosome:Graimondii2_0_v6:9:2082387:2085139:-1 gene:B456_009G027400 transcript:KJB54264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENETKLSIYRAARTIKRKDNTLYNALRSIYEDSIFVGEISQLWPQLPLVANLRCGLWYNPKFHSTCYFKSTDGHTNNWSFNTSRLNFHIALLAGQKGGCIIVDSTRRGKRFPDSMSKTIPIWTCVLNRSIFNYLNTFSNADASLVKQDSNIGCNSHDWDCSLHLPLWVSETEKAAIEDRLEGWTKDLETSGADIAILASCLKKPLRPLWISQKTVIWINEVPDYDSWDFTPIILVSASSTSGVTQHRTTSEFSWNYIPGAGDDEESWARGLSPNLFWNHAYDLISTGPDVCNQKVADIVEKDRVYRARRGQDAPQITVKPSISESSPCLDPLLCSDLSNITISSSDEERGIFWLGSTNLALGSSQIAAECSSNVDCVLNCDQWPISVHHQEAEAYLHLPIVSSKMDRFSLFNNLSSAVNFAKSNLTKGRTLLICCHDGEDISVCVCLAILISLFNDEGSFDDGKFFSETGITKCEMRRRLVYVCKFAIKARPSRGNLKQVFSFLNSEVAEV >KJB54267 pep chromosome:Graimondii2_0_v6:9:2082603:2085139:-1 gene:B456_009G027400 transcript:KJB54267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPIIGLSILLVLTSTSPFSLKGGCIIVDSTRRGKRFPDSMSKTIPIWTCVLNRSIFNYLNTFSNADASLVKQDSNIGCNSHDWDCSLHLPLWVSETEKAAIEDRLEGWTKDLETSGADIAILASCLKKPLRPLWISQKTVIWINEVPDYDSWDFTPIILVSASSTSGVTQHRTTSEFSWNYIPGAGDDEESWARGLSPNLFWNHAYDLISTGPDVCNQKVADIVEKDRVYRARRGQDAPQITVKPSISESSPCLDPLLCSDLSNITISSSDEERGIFWLGSTNLALGSSQIAAECSSNVDCVLNCDQWPISVHHQEAEAYLHLPIVSSKMDRFSLFNNLSSAVNFAKSNLTKGRTLLICCHDGEDISVCVCLAILISLFNDEVSQVSCTPLLGSFDDGKFFSETGITKCEMRRRLVYVCKFAIKARPSRGNLKQVFSFLNSEVAEV >KJB54265 pep chromosome:Graimondii2_0_v6:9:2082603:2084689:-1 gene:B456_009G027400 transcript:KJB54265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFLFWVFLLVGQKGGCIIVDSTRRGKRFPDSMSKTIPIWTCVLNRSIFNYLNTFSNADASLVKQDSNIGCNSHDWDCSLHLPLWVSETEKAAIEDRLEGWTKDLETSGADIAILASCLKKPLRPLWISQKTVIWINEVPDYDSWDFTPIILVSASSTSGVTQHRTTSEFSWNYIPGAGDDEESWARGLSPNLFWNHAYDLISTGPDVCNQKVADIVEKDRVYRARRGQDAPQITVKPSISESSPCLDPLLCSDLSNITISSSDEERGIFWLGSTNLALGSSQIAAECSSNVDCVLNCDQWPISVHHQEAEAYLHLPIVSSKMDRFSLFNNLSSAVNFAKSNLTKGRTLLICCHDGEDISVCVCLAILISLFNDEGSFDDGKFFSETGITKCEMRRRLVYVCKFAIKARPSRGNLKQVFSFLNSEVAEV >KJB54266 pep chromosome:Graimondii2_0_v6:9:2083329:2084810:-1 gene:B456_009G027400 transcript:KJB54266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPIIGLSILLVLTSTSPFSLKGGCIIVDSTRRGKRFPDSMSKTIPIWTCVLNRSIFNYLNTFSNADASLVKQDSNIGCNSHDWDCSLHLPLWVSETEKAAIEDRLEGWTKDLETSGADIAILASCLKKPLRPLWISQKTVIWINEVPDYDSWDFTPIILVSASSTSGVTQHRTTSEFSWNYIPGAGDDEESWARGLSPNLFWNHAYDLISTGPDVCNQKVADIVEKDRVYRARRGQDAPQITVKPSISESSPCLDPLLCSDLSNITISSSDEERGIFWLGSTNLALGSSQIAAECSSNVDCVLNCDQWPISVHHQEAEAYLHLPIVVCMPKN >KJB54262 pep chromosome:Graimondii2_0_v6:9:2082382:2085231:-1 gene:B456_009G027400 transcript:KJB54262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENETKLSIYRAARTIKRKDNTLYNALRSIYEDSIFVGEISQLWPQLPLVANLRCGLWYNPKFHSTCYFKSTDGHTNNWSFNTSRLNFHIALLAGQKGGCIIVDSTRRGKRFPDSMSKTIPIWTCVLNRSIFNYLNTFSNADASLVKQDSNIGCNSHDWDCSLHLPLWVSETEKAAIEDRLEGWTKDLETSGADIAILASCLKKPLRPLWISQKTVIWINEVPDYDSWDFTPIILVSASSTSGVTQHRTTSEFSWNYIPGAGDDEESWARGLSPNLFWNHAYDLISTGPDVCNQKVADIVEKDRVYRARRGQDAPQITVKPSISESSPCLDPLLCSDLSNITISSSDEERGIFWLGSTNLALGSSQIAAECSSNVDCVLNCDQWPISVHHQEAEAYLHLPIVLTLQNQILQKGEHFLYVVTTGKILVYAFVWLS >KJB54259 pep chromosome:Graimondii2_0_v6:9:2082412:2085139:-1 gene:B456_009G027400 transcript:KJB54259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPIIGLSILLVLTSTSPFSLKGGCIIVDSTRRGKRFPDSMSKTIPIWTCVLNRSIFNYLNTFSNADASLVKQDSNIGCNSHDWDCSLHLPLWVSETEKAAIEDRLEGWTKDLETSGADIAILASCLKKPLRPLWISQKTVIWINEVPDYDSWDFTPIILVSASSTSGVTQHRTTSEFSWNYIPGAGDDEESWARGLSPNLFWNHAYDLISTGPDVCNQKVADIVEKDRVYRARRGQDAPQITVKPSISESSPCLDPLLCSDLSNITISSSDEERGIFWLGSTNLALGSSQIAAECSSNVDCVLNCDQWPISVHHQEAEAYLHLPIVSSKMDRFSLFNNLSSAVNFAKSNLTKGRTLLICCHDGEDISVCVCLAILISLFNDEGSFDDGKFFSETGITKCEMRRRLVYVCKFAIKARPSRGNLKQVFSFLNSEVAEV >KJB54263 pep chromosome:Graimondii2_0_v6:9:2082382:2085231:-1 gene:B456_009G027400 transcript:KJB54263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPIIGLSILLVLTSTSPFSLDSNIGCNSHDWDCSLHLPLWVSETEKAAIEDRLEGWTKDLETSGADIAILASCLKKPLRPLWISQKTVIWINEVPDYDSWDFTPIILVSASSTSGVTQHRTTSEFSWNYIPGAGDDEESWARGLSPNLFWNHAYDLISTGPDVCNQKVADIVEKDRVYRARRGQDAPQITVKPSISESSPCLDPLLCSDLSNITISSSDEERGIFWLGSTNLALGSSQIAAECSSNVDCVLNCDQWPISVHHQEAEAYLHLPIVLTLQNQILQKGEHFLYVVTTGKILVYAFVWLS >KJB54268 pep chromosome:Graimondii2_0_v6:9:2083497:2085139:-1 gene:B456_009G027400 transcript:KJB54268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPIIGLSILLVLTSTSPFSLKGGCIIVDSTRRGKRFPDSMSKTIPIWTCVLNRSIFNYLNTFSNADASLVKQDSNIGCNSHDWDCSLHLPLWVSETEKAAIEDRLEGWTKDLETSGADIAILASCLKKPLRPLWISQKTVIWINEVPDYDSWDFTPIILVSASSTSGVTQHRTTSEFSWNYIPGAGDDEESWARGLSPNLFWNHAYDLISTGPDVCNQKVADIVEKDRVYRARRGQDAPQITVKPSISESSPCLDPLLCSDLSNITISSSDEERGIFWLGSTNLALGSSQIGMMY >KJB54820 pep chromosome:Graimondii2_0_v6:9:3659939:3665059:-1 gene:B456_009G050600 transcript:KJB54820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRRLIETLELLTAVVYRYHFDGTTRQFFEGWYFKVSIPERKQSFCFMYSVENPVFRRKLTQLETLQHGPRFTGVGAQILGAYDKYICQYSDESQNFWGSRHELILGNTFLANKNSRPPSKEVPPEEFNRKVLEGFQVSPLWHQGFIRDDGRTSYARTVKTARWEYSTRPTYGWGDVGSKQKSTAGWLAAFPIFEPHWQICMAGGLSTGWIEWDGERFEFQDAPSYSEKNWGGAFPRKWFWAQCNVFEGASGEVALTAGGGLRQLPGLTETFENAALIGVHYDGIFYEFVPWNGVLSWEIAPWGYWCIAAENETHMVELEATTNDPGTTLRAPTIEAGLAPACKDTCFGNLRLQIWEKKYGGTKGKLILDVKSDMAALEVGGGPWFNTWKGKTTTPEVLKTALQVPVDVEGIFGLAPFFKPPGL >KJB54819 pep chromosome:Graimondii2_0_v6:9:3659939:3665059:-1 gene:B456_009G050600 transcript:KJB54819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNTYSINELRHFSSCYIGLRSLNSKTAVKLSQSSNFNGLFPRRLRPLRLGFRSNSPIIACSSIAETDTETSSTASDRPVPVNPVYVPTPANRDTRTPHSGYHFDGTTRQFFEGWYFKVSIPERKQSFCFMYSVENPVFRRKLTQLETLQHGPRFTGVGAQILGAYDKYICQYSDESQNFWGSKHELILGNTFLANKNSRPPSKEVPPEEFNRKVLEGFQVSPLWHQGFIRDDGRTSYARTVKTARWEYSTRPTYGWGDVGSKQKSTAGWLAAFPIFEPHWQICMAGGLSTGWIEWDGERFEFQDAPSYSEKNWGGAFPRKWFWAQCNVFEGASGEVALTAGGGLRQLPGLTETFENAALIGVHYDGIFYEFVPWNGVLSWEIAPWGYWCIAAENETHMVELEATTNDPGTTLRAPTIEAGLAPACKDTCFGNLRLQIWEKKYGGTKGKLILDVKSDMAALEVGGGPWFNTWKGKTTTPEVLKTALQVPVDVEGIFGLAPFFKPPGL >KJB54821 pep chromosome:Graimondii2_0_v6:9:3660710:3665152:-1 gene:B456_009G050600 transcript:KJB54821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNTYSINELRHFSSCYIGLRSLNSKTAVKLSQSSNFNGLFPRRLRPLRLGFRSNSPIIACSSIAETDTETSSTASDRPVPVNPVYVPTPANRDTRTPHSGYHFDGTTRQFFEGWYFKVSIPERKQSFCFMYSVENPVFRRKLTQLETLQHGPRFTGVGAQILGAYDKYICQYSDESQNFWGSRHELILGNTFLANKNSRPPSKEVPPEEFNRKVLEGFQVSPLWHQGFIRDDGRTSYARTVKTARWEYSTRPTYGWGDVGSKQKSTAGWLAAFPIFEPHWQICMAGGLSTGWIEWDGERFEFQDAPSYSEKNWGGAFPRKWFWAQCNVFEGASGEVALTAGGGLRQLPGLTETFENAALIGVHYDGIFYEFVPWNGVLSWEIAPWGYWCIAAENETHMVELEATTNDPGTTLRAPTIEAGLAPACKDTCFGNLRLQIWEKKYGGTKGKVCYSYLFDAKCNLRLQIIALGLGQTIYFSSY >KJB54818 pep chromosome:Graimondii2_0_v6:9:3659909:3665176:-1 gene:B456_009G050600 transcript:KJB54818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNTYSINELRHFSSCYIGLRSLNSKTAVKLSQSSNFNGLFPRRLRPLRLGFRSNSPIIACSSIAETDTETSSTASDRPVPVNPVYVPTPANRDTRTPHSGYHFDGTTRQFFEGWYFKVSIPERKQSFCFMYSVENPVFRRKLTQLETLQHGPRFTGVGAQILGAYDKYICQYSDESQNFWGSRHELILGNTFLANKNSRPPSKEVPPEEFNRKVLEGFQVSPLWHQGFIRDDGRTSYARTVKTARWEYSTRPTYGWGDVGSKQKSTAGWLAAFPIFEPHWQICMAGGLSTGWIEWDGERFEFQDAPSYSEKNWGGAFPRKWFWAQCNVFEGASGEVALTAGGGLRQLPGLTETFENAALIGVHYDGIFYEFVPWNGVLSWEIAPWGYWCIAAENETHMVELEATTNDPGTTLRAPTIEAGLAPACKDTCFGNLRLQIWEKKYGGTKGKLILDVKSDMAALEVGGGPWFNTWKGKTTTPEVLKTALQVPVDVEGIFGLAPFFKPPGL >KJB54817 pep chromosome:Graimondii2_0_v6:9:3659909:3665152:-1 gene:B456_009G050600 transcript:KJB54817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIYANTVMNLRTFGEEFNRKVLEGFQVSPLWHQGFIRDDGRTSYARTVKTARWEYSTRPTYGWGDVGSKQKSTAGWLAAFPIFEPHWQICMAGGLSTGWIEWDGERFEFQDAPSYSEKNWGGAFPRKWFWAQCNVFEGASGEVALTAGGGLRQLPGLTETFENAALIGVHYDGIFYEFVPWNGVLSWEIAPWGYWCIAAENETHMVELEATTNDPGTTLRAPTIEAGLAPACKDTCFGNLRLQIWEKKYGGTKGKLILDVKSDMAALEVGGGPWFNTWKGKTTTPEVLKTALQVPVDVEGIFGLAPFFKPPGL >KJB56796 pep chromosome:Graimondii2_0_v6:9:10255988:10261930:-1 gene:B456_009G136300 transcript:KJB56796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLFLQLPHFSYYTQTFQSSYGWDWKPSSSTFHCSNFHPRLRGSHFTAKKSSLLGLWFAGYTSSKAILLGALSSVSSSPPCDPSDTGNEALLPEADSPATKFNRVNCLLWVLHESARSFSLAVESLELDGTSAELAMAWNGKDVHQWHKRIAHQVAVYAMLKTVIEVEILLSQERHNNPSPVRKILTTETDSLEEFIESRLKLRHPELVEWFRVVELPRMAEFFNPLLKKWSTEYAGSGVAGIIVAISCCAAVEKLCSEHISCPLSKILVGDAITELMDLSHGIVSVDKLHKLATEAGFETHFLSHFGAKVLSGKKSDDLEFWIGLAQRKLSVAFTKETTIPGKLVFNSKVQADSLATLGLFAYLGRRTRLFLSQLRINDLDELVKDFLSYLECGILFIYPEFSSISVYQFFMEVVTDEIGWLDFYDTISCTRYSEKGRSKQHTIQAEKEIILSKVFTVCYDVFSGFAHFSRSTQQPLDSQLLAFLLQSQKLLCICLEDYWAAYDRSGREPLKITNSSDSKDGPSTGAIGSGTTRFSEVLEALTTEEPQIDEFRHLCLTKRLQLFGSAGKDTVSFEEGTGISKSSSLNESLIRKYCIKLIASSRDVCLGTQLLFVDITVSLELLAKQLRGQKVTAREKRKLKRTLNDIATLIPVTILMLLPVSAVGHAAMLAAINKYIPSLIPSPYSSERLDTAKQLKRTKKMDVTSWSNLQDPNSKTP >KJB56801 pep chromosome:Graimondii2_0_v6:9:10256249:10261084:-1 gene:B456_009G136300 transcript:KJB56801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNGKDVHQWHKRIAHQVAVYAMLKTVIEVEILLSQERHNNPSPVRKILTTETDSLEEFIESRLKLRHPELVEWFRVVELPRMAEFFNPLLKKWSTEYAGSGVAGIIVAISCCAAVEKLCSEHISCPLSKILVGDAITELMDLSHGIVSVDKLHKLATEAGFETHFLSHFGAKVLSGKKSDDLEFWIGLAQRKLSVAFTKETTIPGKLVFNSKVQADSLATLGLFAYLGRRTRLFLSQLRINDLDELVKDFLSYLECGILFIYPEFSSISVYQFFMEVVTDEIGWLDFYDTISCTRYSEKGRSKQHTIQAEKEIILSKVFTVCYDVFSGFAHFSRSTQQPLDSQLLAFLLQSQKLLCICLEDYWAAYDRSGEPLKITNSSDSKDGPSTGAIGSGTTRFSEVLEALTTEEPQIDEFRHLCLTKRLQLFGSAGKDTVSFEEGTGISKSSSLNESLIRKYCIKLIASSRDVCLGTQLLFVDITVSLELLAKQLRGQKVTAREKRKLKRTLNDIATLIPVTILMLLPVSAVGHAAMLAAINKYIPSLIPSPYSSERLDTAKQLKRTKKMDVTSWSNLQDPNSKTP >KJB56794 pep chromosome:Graimondii2_0_v6:9:10256249:10259886:-1 gene:B456_009G136300 transcript:KJB56794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSHGIVSVDKLHKLATEAGFETHFLSHFGAKVLSGKKSDDLEFWIGLAQRKLSVAFTKETTIPGKLVFNSKVQADSLATLGLFAYLGRRTRLFLSQLRINDLDELVKDFLSYLECGILFIYPEFSSISVYQFFMEVVTDEIGWLDFYDTISCTRYSEKGRSKQHTIQAEKEIILSKVFTVCYDVFSGFAHFSRSTQQPLDSQLLAFLLQSQKLLCICLEDYWAAYDRSGEPLKITNSSDSKDGPSTGAIGSGTTRFSEVLEALTTEEPQIDEFRHLCLTKRLQLFGSAGKDTVSFEEGTGISKSSSLNESLIRKYCIKLIASSRDVCLGTQLLFVDITVSLELLAKQLRGQKVTAREKRKLKRTLNDIATLIPVTILMLLPVSAVGHAAMLAAINKYIPSLIPSPYSSERLDTAKQLKRTKKMDVTSWSNLQDPNSKTP >KJB56800 pep chromosome:Graimondii2_0_v6:9:10256017:10261658:-1 gene:B456_009G136300 transcript:KJB56800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGIIVAISCCAAVEKLCSEHISCPLSKILVGDAITELMDLSHGIVSVDKLHKLATEAGFETHFLSHFGAKVLSGKKSDDLEFWIGLAQRKLSVAFTKETTIPGKLVFNSKVQADSLATLGLFAYLGRRTRLFLSQLRINDLDELVKDFLSYLECGILFIYPEFSSISVYQFFMEVVTDEIGWLDFYDTISCTRYSEKGRSKQHTIQAEKEIILSKVFTVCYDVFSGFAHFSRSTQQPLDSQLLAFLLQSQKLLCICLEDYWAAYDRSGEPLKITNSSDSKDGPSTGAIGSGTTRFSEVLEALTTEEPQIDEFRHLCLTKRLQLFGSAGKDTVSFEEGTGISKSSSLNESLIRKYCIKLIASSRDVCLGTQLLFVDITVSLELLAKQLRGQKVTAREKRKLKRTLNDIATLIPVTILMLLPVSAVGHAAMLAAINKYIPSLIPSPYSSERLDTAKQLKRTKKMDVTSWSNLQDPNSKTP >KJB56799 pep chromosome:Graimondii2_0_v6:9:10256249:10261084:-1 gene:B456_009G136300 transcript:KJB56799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNGKDVHQWHKRIAHQVAVYAMLKTVIEVEILLSQERHNNPSPVRKILTTETDSLEEFIESRLKLRHPELVEWFRVVELPRMAEFFNPLLKKWSTEYAGSGVAGIIVAISCCAAVEKLCSEHISCPLSKILVGDAITELMDLSHGIVSVDKLHKLATEAGFETHFLSHFGAKVLSGKKSDDLEFWIGLAQRKLSVAFTKETTIPGKLVFNSKVQADSLATLGLFAYLGRRTRLFLSQLRINDLDELVKDFLSYLECGILFIYPEFSSISVYQFFMEVVTDEIGWLDFYDTISCTRYSEKGRSKQHTIQAEKEIILSKVFTVCYDVFSGFAHFSRSTQQPLDSQLLAFLLQSQKLLCICLEDYWAAYDRSGREPLKITNSSDSKDGPSTGAIGSGTTRFSEVLEALTTEEPQIDEFRHLCLTKRLQLFGSAGKDTVSFEEGTGISKSSSLNESLIRKYCIKLIASSRDVCLGTQLLFVDITVSLELLAKQLRGQKVTAREKRKLKRTLNDIATLIPVTILMLLPVSAVGHAAMLAAINKYIPSLIPSPYSSERLDTAKQLKRTKKMDVTSWSNLQDPNSKTP >KJB56798 pep chromosome:Graimondii2_0_v6:9:10256249:10261084:-1 gene:B456_009G136300 transcript:KJB56798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNGKDVHQWHKRIAHQVAVYAMLKTVIEVEILLSQERHNNPSPVRKILTTETDSLEEFIESRLKLRHPELVEWFRVVELPRMAEFFNPLLKKWSTEYAGSGVAGIIVAISCCAAVEKLCSEHISCPLSKILVGDAITELMDLSHGIVSVDKLHKLATEAGFETHFLSHFGAKVLSGKKSDDLEFWIGLAQRKLSVAFTKETTIPGKLVFNSKVQADSLATLGLFAYLGRRTRLFLSQLRINDLDELVKDFLSYLECGILFIYPEFSSISVYQFFMEVVTDEIGWLDFYDTISCTRYSEKGRSKQHTIQAEKEIILSKVFTVCYDVFSGFAHFSRSTQQPLDSQLLAFLLQSQKLLCICLEDYWAAYDRSGEPLKITNSSDSKDGPSTGAIGSGTTRFSEVLEALTTEEPQIDEFRHLCLTKRLQLFGSAGKDTVSFEEGTGISKSSSLNESLIRKYCIKLIASSRDVCLGTQLLFVDITVSLELLAKQLRGQKVTAREKRKLKRTLNDIATLIPVTILMLLPVSAVGHAAMLAAINKYIPSLIPSPYSSERLDTAKQLKRTKKMDVTSWSNLQDPNSKTP >KJB56802 pep chromosome:Graimondii2_0_v6:9:10255988:10261658:-1 gene:B456_009G136300 transcript:KJB56802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGIIVAISCCAAVEKLCSEHISCPLSKILVGDAITELMDLSHGIVSVDKLHKLATEAGFETHFLSHFGAKVLSGKKSDDLEFWIGLAQRKLSVAFTKETTIPGKLVFNSKVQADSLATLGLFAYLGRRTRLFLSQLRINDLDELVKDFLSYLECGILFIYPEFSSISVYQFFMEVVTDEIGWLDFYDTISCTRYSEKGRSKQHTIQAEKEIILSKVFTVCYDVFSGFAHFSRSTQQPLDSQLLAFLLQSQKLLCICLEDYWAAYDRSGEPLKITNSSDSKDGPSTGAIGSGTTRFSEVLEALTTEEPQIDEFRHLCLTKRLQLFGSAGKDTVSFEEGTGISKSSSLNESLIRKYCIKLIASSRDVCLGTQLLFVDITVSLELLAKQLRGQKVTAREKRKLKRTLNDIATLIPVTILMLLPVSAVGHAAMLAAINKYIPSLIPSPYSSERLDTAKQLKRTKKMDVTSWSNLQDPNSKTP >KJB56803 pep chromosome:Graimondii2_0_v6:9:10258092:10261930:-1 gene:B456_009G136300 transcript:KJB56803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLFLQLPHFSYYTQTFQSSYGWDWKPSSSTFHCSNFHPRLRGSHFTAKKSSLLGLWFAGYTSSKAILLGALSSVSSSPPCDPSDTGNEALLPEADSPATKFNRVNCLLWVLHESARSFSLAVESLELDGTSAELAMAWNGKDVHQWHKRIAHQVAVYAMLKTVIEVEILLSQERHNNPSPVRKILTTETDSLEEFIESRLKLRHPELVEWFRVVELPRMAEFFNPLLKKWSTEYAGSGVAGIIVAISCCAAVEKLCSEHISCPLSKILVGDAITELMDLSHGIVSVDKLHKLATEAGFETHFLSHFGAKVLSGKKSDDLEFWIGLAQRKLSVAFTKETTIPGKLVFNSKVQADSLATLGLFAYLGRRTRLFLSQLRINDLDELVKDFLSYLECGILFIYPEFSSISVYQFFMEVVTDEIGWLDFYDTISCTRYSEKGRSKQHTIQAEKEIILSKVFTVCYDVFSGFAHFSRSTQQPLDSQLLAFLLQSQKLLCICLEDYWAAYDRSGREPLKITNSSDSKDGPSTGAIGSGTTRFSEVLEALTTEEPQIDEFRHLCLTKRVWILNSDMQCYVISFHYKFHWQTCCRFEGKNNNNGEILYDCK >KJB56795 pep chromosome:Graimondii2_0_v6:9:10255988:10261658:-1 gene:B456_009G136300 transcript:KJB56795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPEAFPLLLSHLNWMEPVLSLQWLGMERTCINGINVSLISLTTETDSLEEFIESRLKLRHPELVEWFRVVELPRMAEFFNPLLKKWSTEYAGSGVAGIIVAISCCAAVEKLCSEHISCPLSKILVGDAITELMDLSHGIVSVDKLHKLATEAGFETHFLSHFGAKVLSGKKSDDLEFWIGLAQRKLSVAFTKETTIPGKLVFNSKVQADSLATLGLFAYLGRRTRLFLSQLRINDLDELVKDFLSYLECGILFIYPEFSSISVYQFFMEVVTDEIGWLDFYDTISCTRYSEKGRSKQHTIQAEKEIILSKVFTVCYDVFSGFAHFSRSTQQPLDSQLLAFLLQSQKLLCICLEDYWAAYDRSGEPLKITNSSDSKDGPSTGAIGSGTTRFSEVLEALTTEEPQIDEFRHLCLTKRLQLFGSAGKDTVSFEEGTGISKSSSLNESLIRKYCIKLIASSRDVCLGTQLLFVDITVSLELLAKQLRGQKVTAREKRKLKRTLNDIATLIPVTILMLLPVSAVGHAAMLAAINKYIPSLIPSPYSSERLDTAKQLKRTKKMDVTSWSNLQDPNSKTP >KJB56797 pep chromosome:Graimondii2_0_v6:9:10255988:10262284:-1 gene:B456_009G136300 transcript:KJB56797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLFLQLPHFSYYTQTFQSSYGWDWKPSSSTFHCSNFHPRLRGSHFTAKKSSLLGLWFAGYTSSKAILLGALSSVSSSPPCDPSDTGNEALLPEADSPATKFNRVNCLLWVLHESARSFSLAVESLELDGTSAELAMAWNGKDVHQWHKRIAHQVAVYAMLKTVIEVEILLSQERHNNPSPVRKILTTETDSLEEFIESRLKLRHPELVEWFRVVELPRMAEFFNPLLKKWSTEYAGSGVAGIIVAISCCAAVEKLCSEHISCPLSKILVGDAITELMDLSHGIVSVDKLHKLATEAGFETHFLSHFGAKVLSGKKSDDLEFWIGLAQRKLSVAFTKETTIPGKLVFNSKVQADSLATLGLFAYLGRRTRLFLSQLRINDLDELVKDFLSYLECGILFIYPEFSSISVYQFFMEVVTDEIGWLDFYDTISCTRYSEKGRSKQHTIQAEKEIILSKVFTVCYDVFSGFAHFSRSTQQPLDSQLLAFLLQSQKLLCICLEDYWAAYDRSGEPLKITNSSDSKDGPSTGAIGSGTTRFSEVLEALTTEEPQIDEFRHLCLTKRLQLFGSAGKDTVSFEEGTGISKSSSLNESLIRKYCIKLIASSRDVCLGTQLLFVDITVSLELLAKQLRGQKVTAREKRKLKRTLNDIATLIPVTILMLLPVSAVGHAAMLAAINKYIPSLIPSPYSSERLDTAKQLKRTKKMDVTSWSNLQDPNSKTP >KJB61834 pep chromosome:Graimondii2_0_v6:9:52209880:52210504:1 gene:B456_009G384900 transcript:KJB61834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTLNLIAILSLTISTLLSLYPPPAFSFQMEDFDGEEEYVLDHPVIIPNLRSRSRFLKTSPTKDKIRKGADCDPHPSLNICKGISANNGTSLLYCCKTHCRNVLSDRNNCGKCGNRCEFGQRCCGGVCTNVANNVNHCGKCGNQCSSGVQCDNGFCGYA >KJB60487 pep chromosome:Graimondii2_0_v6:9:28560392:28562387:-1 gene:B456_009G309800 transcript:KJB60487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSFISNAPRKGLSDITNLQHQPKVLTQDAKLLLQPASLGSKDYINKLQQENMVLMKVLADRIKVIELSGIELQKLRINFEKFQQQNLQLAQANNQLLLELNSGKDRLKALKHELGCKNAMLKAIKSEKKANIVACPTSGNEGLKEGTNKHGKAGESLNKEDGDYKPCNTNRRRQSKTLLPSNIKPVEAKEGVDNKRQSARFKAEEPETTKDVFKVADKNSLISSPCDDKVHQSGPFSSDSSVRIEHEEGCMAPRNEAQEPRRASTGRPLRRAAEKVQSYKEMKLNVKMRREL >KJB60053 pep chromosome:Graimondii2_0_v6:9:24596966:24598440:1 gene:B456_009G287700 transcript:KJB60053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKFDVTMQDYVRRIQNREIHYHYLGHKIQNKLISLLPNSVKSSIIKTIKEGKYFSLILDYTPNIGHQEQMTLIVRCVNMSINKIKIEEYFLKFLEVDDISGLGLFNELQDVLKSLELNVDDVRGQGYDNDWLCRNYMNLVNAKSKSEAESSINALGSFDFLLGRVIWYEILFAINIVSKKLQSKSMCINITIKQLEDELRECCATFHSAFSHCDLSDVDLNDIFSKLKVLQFTSPNELMSVTKILEFVKSTYCYPNVSIAYRIFLMAPVTVASSERSF >KJB61835 pep chromosome:Graimondii2_0_v6:9:52231272:52231658:1 gene:B456_009G385000 transcript:KJB61835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIILLFYTLPRNTVITPNLRSRSRFLKTSPTKDKIRKGVDCDPHPSLNICKGISANNGTSLLYCCKTHCRNVLSDRNNCGKCGNRCEFGQRCCGGVCTNVANNVNHCGKCGNQCSSGVQCDNGFCGYA >KJB59763 pep chromosome:Graimondii2_0_v6:9:22544959:22552176:-1 gene:B456_009G270300 transcript:KJB59763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPLIVVDVIEEEPVVIEGVSIPVDTSKPNPNKLEYDNLYLDMNGIIHPCFHPEDRPSPTTFDEVFQCIFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEARLREEFEREGRRLPPKEESQLVDSNVITPGTPFMAVLSIALQYYIHLRLNYDPGWKNIKVILSDANVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLALATHEVHFSILREIVFTPGQDKCFICGQMGHIAANCEGKAKRKEGEFDEKADGKAVARKPYQFLNIWTLREYLEYEMRIPNPPFEIDLERVVDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFRSFGGYLTDGSKPNLSRVELFIQAVGSYEDKIFNKRARLHQRQAERIKREKAQVRRGDDAQPQVQPDSLVPVARFHGSRLASGPTPVPFQQMVESNGNGSSNRPHKVRRLSSGANIGAAIVEAEDSIETDVHENKEELKAKLKELIRDKSDVFNSSNHEEDKIKLGEPGWKERYYQEKFSAKTPEEMEEIRKDVVLKFTEGLCWVMHYYYEGVCSWRWFYPYHYAPFASDLKDLGQLDIQFELGSPFKPFNQLLGVFPAASSHALPEQYRKLMTDPNSPIIDFYPTDFEVDMNGKRYSWQEEEAQRNSTMCDMLFVAASHRLSEQIFSLDSRCKQLPERQRIEVKEEVKPDLRYECSDGMNGYISPCAGDTQPPVFRSPIKDMEDILANEVICCIYRLPRAHKHITRPPPGVAFPPKMVQFSDLKPAPALWHEDSGRRPWENGRQPAGGHQMDRHNSSGSVSGQDASHRLITNSLQLKMDRNGFGNNIQASSYATATYNPSVNSHANYGYHNQGQPRMVPPRQDYPGASYPYSQNPPSRHPNSQSYHHYQINNEEAANNMHYPPRHYQDGRARHIPMAQMSTEADLYPSHPGGYDSSRRYQAPENSSYQQWGGRMAPQANQNVSGGYGLYQQGGVNQGVYRGRDQQQQRGNQSYHHHQRGDYEERGSQPHRGSQQYYGSNQQHGGSQQQRGNPYSALDRRGHRRPPNH >KJB59761 pep chromosome:Graimondii2_0_v6:9:22544538:22552374:-1 gene:B456_009G270300 transcript:KJB59761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPLIVVDVIEEEPVVIEGVSIPVDTSKPNPNKLEYDNLYLDMNGIIHPCFHPEDRPSPTTFDEVFQCIFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEARLREEFEREGRRLPPKEESQLVDSNVITPGTPFMAVLSIALQYYIHLRLNYDPGWKNIKVILSDANVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLALATHEVHFSILREIVFTPGQDKCFICGQMGHIAANCEGKAKRKEGEFDEKADGKAVARKPYQFLNIWTLREYLEYEMRIPNPPFEIDLERVVDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFRSFGGYLTDGSKPNLSRVELFIQAVGSYEDKIFNKRARLHQRQAERIKREKAQVRRGDDAQPQVQPDSLVPVARFHGSRLASGPTPVPFQQMVESNGNGSSNRPHKVRRLSSGANIGAAIVEAEDSIETDVHENKEELKAKLKELIRDKSDVFNSSNHEEDKIKLGEPGWKERYYQEKFSAKTPEEMEEIRKDVVLKFTEGLCWVMHYYYEGVCSWRWFYPYHYAPFASDLKDLGQLDIQFELGSPFKPFNQLLGVFPAASSHALPEQYRKLMTDPNSPIIDFYPTDFEVDMNGKRYSWQEEEAQRNSTMCDMLFVAASHRLSEQIFSLDSRCKQLPERQRIEVKEEVKPDLSDGMNGYISPCAGDTQPPVFRSPIKDMEDILANEVICCIYRLPRAHKHITRPPPGVAFPPKMVQFSDLKPAPALWHEDSGRRPWENGRQPAGGHQMDRHNSSGSVSGQDASHRLITNSLQLKMDRNGFGNNIQASSYATATYNPSVNSHANYGYHNQGQPRMVPPRQDYPGASYPYSQNPPSRHPNSQSYHHYQINNEEAANNMHYPPRHYQDGRARHIPMAQMSTEADLYPSHPGGYDSSRRYQAPENSSYQQWGGRMAPQANQNVSGGYGLYQQGGVNQGVYRGRDQQQQRGNQSYHHHQRGDYEERGSQPHRGSQQYYGSNQQHGGSQQQRGNPYSALDRRGHRRPPNH >KJB59760 pep chromosome:Graimondii2_0_v6:9:22544538:22552281:-1 gene:B456_009G270300 transcript:KJB59760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQRSRRFRAAKDAAEAAAEEARLREEFEREGRRLPPKEESQLVDSNVITPGTPFMAVLSIALQYYIHLRLNYDPGWKNIKVILSDANVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLALATHEVHFSILREIVFTPGQDKCFICGQMGHIAANCEGKAKRKEGEFDEKADGKAVARKPYQFLNIWTLREYLEYEMRIPNPPFEIDLERVVDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFRSFGGYLTDGSKPNLSRVELFIQAVGSYEDKIFNKRARLHQRQAERIKREKAQVRRGDDAQPQVQPDSLVPVARFHGSRLASGPTPVPFQQMVESNGNGSSNRPHKVRRLSSGANIGAAIVEAEDSIETDVHENKEELKAKLKELIRDKSDVFNSSNHEEDKIKLGEPGWKERYYQEKFSAKTPEEMEEIRKDVVLKFTEGLCWVMHYYYEGVCSWRWFYPYHYAPFASDLKDLGQLDIQFELGSPFKPFNQLLGVFPAASSHALPEQYRKLMTDPNSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDEERLLAEVAKIEHTLTEEEAQRNSTMCDMLFVAASHRLSEQIFSLDSRCKQLPERQRIEVKEEVKPDLSDGMNGYISPCAGDTQPPVFRSPIKDMEDILANEVICCIYRLPRAHKHITRPPPGVAFPPKMVQFSDLKPAPALWHEDSGRRPWENGRQPAGGHQMDRHNSSGSVSGQDASHRLITNSLQLKMDRNGFGNNIQASSYATATYNPSVNSHANYGYHNQGQPRMVPPRQDYPGASYPYSQNPPSRHPNSQSYHHYQINNEEAANNMHYPPRHYQDGRARHIPMAQMSTEADLYPSHPGGYDSSRRYQAPENSSYQQWGGRMAPQANQNVSGGYGLYQQGGVNQGVYRGRDQQQQRGNQSYHHHQRGDYEERGSQPHRGSQQYYGSNQQHGGSQQQRGNPYSALDRRGHRRPPNH >KJB59762 pep chromosome:Graimondii2_0_v6:9:22544959:22552176:-1 gene:B456_009G270300 transcript:KJB59762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPLIVVDVIEEEPVVIEGVSIPVDTSKPNPNKLEYDNLYLDMNGIIHPCFHPEDRPSPTTFDEVFQCIFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEARLREEFEREGRRLPPKEESQLVDSNVITPGTPFMAVLSIALQYYIHLRLNYDPGWKNIKVILSDANVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLALATHEVHFSILREIVFTPGQDKCFICGQMGHIAANCEGKAKRKEGEFDEKADGKAVARKPYQFLNIWTLREYLEYEMRIPNPPFEIDLERVVDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFRSFGGYLTDGSKPNLSRVELFIQAVGSYEDKIFNKRARLHQRQAERIKREKAQVRRGDDAQPQVQPDSLVPVARFHGSRLASGPTPVPFQQMVESNGNGSSNRPHKVRRLSSGANIGAAIVEAEDSIETDVHENKEELKAKLKELIRDKSDVFNSSNHEEDKIKLGEPGWKERYYQEKFSAKTPEEMEEIRKDVVLKFTEGLCWVMHYYYEGVCSWRWFYPYHYAPFASDLKDLGQLDIQFELGSPFKPFNQLLGVFPAASSHALPEQYRKLMTDPNSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDEERLLAEVAKIEHTLTEEEAQRNSTMCDMLFVAASHRLSEQIFSLDSRCKQLPERQRIEVKEEVKPDLRYECSDGMNGYISPCAGDTQPPVFRSPIKDMEDILANEVICCIYRLPRAHKHITRPPPGVAFPPKMVQFSDLKPAPALWHEDSGRRPWENGRQPAGGHQMDRHNSSGSVSGQDASHRLITNSLQLKMDRNGFGNNIQASSYATATYNPSVNSHANYGYHNQGQPRMVPPRQDYPGASYPYSQNPPSRHPNSQSYHHYQINNEEAANNMHYPPRHYQDGRARHIPMAQMSTEADLYPSHPGGYDSSRRYQAPENSSYQQWGGRMAPQANQNVSGGYGLYQQGGVNQGVYRGRDQQQQRGNQSYHHHQRGDYEERGSQPHRGSQQYYGSNQQHGGSQQQRGNPYSALDRRGHRRPPNH >KJB59759 pep chromosome:Graimondii2_0_v6:9:22544538:22552281:-1 gene:B456_009G270300 transcript:KJB59759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSIALQYYIHLRLNYDPGWKNIKVILSDANVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLALATHEVHFSILREIVFTPGQDKCFICGQMGHIAANCEGKAKRKEGEFDEKADGKAVARKPYQFLNIWTLREYLEYEMRIPNPPFEIDLERVVDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFRSFGGYLTDGSKPNLSRVELFIQAVGSYEDKIFNKRARLHQRQAERIKREKAQVRRGDDAQPQVQPDSLVPVARFHGSRLASGPTPVPFQQMVESNGNGSSNRPHKVRRLSSGANIGAAIVEAEDSIETDVHENKEELKAKLKELIRDKSDVFNSSNHEEDKIKLGEPGWKERYYQEKFSAKTPEEMEEIRKDVVLKFTEGLCWVMHYYYEGVCSWRWFYPYHYAPFASDLKDLGQLDIQFELGSPFKPFNQLLGVFPAASSHALPEQYRKLMTDPNSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDEERLLAEVAKIEHTLTEEEAQRNSTMCDMLFVAASHRLSEQIFSLDSRCKQLPERQRIEVKEEVKPDLSDGMNGYISPCAGDTQPPVFRSPIKDMEDILANEVICCIYRLPRAHKHITRPPPGVAFPPKMVQFSDLKPAPALWHEDSGRRPWENGRQPAGGHQMDRHNSSGSVSGQDASHRLITNSLQLKMDRNGFGNNIQASSYATATYNPSVNSHANYGYHNQGQPRMVPPRQDYPGASYPYSQNPPSRHPNSQSYHHYQINNEEAANNMHYPPRHYQDGRARHIPMAQMSTEADLYPSHPGGYDSSRRYQAPENSSYQQWGGRMAPQANQNVSGGYGLYQQGGVNQGVYRGRDQQQQRGNQSYHHHQRGDYEERGSQPHRGSQQYYGSNQQHGGSQQQRGNPYSALDRRGHRRPPNH >KJB59764 pep chromosome:Graimondii2_0_v6:9:22544538:22552374:-1 gene:B456_009G270300 transcript:KJB59764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPLIVVDVIEEEPVVIEGVSIPVDTSKPNPNKLEYDNLYLDMNGIIHPCFHPEDRPSPTTFDEVFQCIFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEARLREEFEREGRRLPPKEESQLVDSNVITPGTPFMAVLSIALQYYIHLRLNYDPGWKNIKVILSDANVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLALATHEVHFSILREIVFTPGQDKCFICGQMGHIAANCEGKAKRKEGEFDEKADGKAVARKPYQFLNIWTLREYLEYEMRIPNPPFEIDLERVVDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFRSFGGYLTDGSKPNLSRVELFIQAVGSYEDKIFNKRARLHQRQAERIKREKAQVRRGDDAQPQVQPDSLVPVARFHGSRLASGPTPVPFQQMVESNGNGSSNRPHKVRRLSSGANIGAAIVEAEDSIETDVHENKEELKAKLKELIRDKSDVFNSSNHEEDKIKLGEPGWKERYYQEKFSAKTPEEMEEIRKDVVLKFTEGLCWVMHYYYEGVCSWRWFYPYHYAPFASDLKDLGQLDIQFELGSPFKPFNQLLGVFPAASSHALPEQYRKLMTDPNSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDEERLLAEVAKIEHTLTEEEAQRNSTMCDMLFVAASHRLSEQIFSLDSRCKQLPERQRIEVKEEVKPDLSDGMNGYISPCAGDTQPPVFRSPIKDMEDILANEVICCIYRLPRAHKHITRPPPGVAFPPKMVQFSDLKPAPALWHEDSGRRPWENGRQPAGGHQMDRHNSSGSVSGQDASHRLITNSLQLKMDRNGFGNNIQASSYATATYNPSVNSHANYGYHNQGQPRMVPPRQDYPGASYPYSQNPPSRHPNSQSYHHYQINNEEAANNMHYPPRHYQDGRARHIPMAQMSTEADLYPSHPGGYDSSRRYQAPENSSYQQWGGRMAPQANQNVSGGYGLYQQGGVNQGVYRGRDQQQQRGNQSYHHHQRGDYEERGSQPHRGSQQYYGSNQQHGGSQQQRGNPYSALDRRGHRRPPNH >KJB59586 pep chromosome:Graimondii2_0_v6:9:21667717:21676181:1 gene:B456_009G262200 transcript:KJB59586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAHQMEFCLHFMTGRDLQRISGNSTSKVGHFRSNELKELDVYHQSGNSKIPTIEDALKLISASVRQVILDAKVGPPSYEKGLANDILSTVEKMQCKNCLIWAKSDSLVRDIIKLSSDVAVGYVVMVDPHTGARTNLLRMKGSKVAGVYHQLIDERMVKILHGREKKVYAWTVDDVDSMMRMLHMHADAIVTSNPTLLQRTMQDKRTQCLEEGFSLTR >KJB59585 pep chromosome:Graimondii2_0_v6:9:21669749:21675778:1 gene:B456_009G262200 transcript:KJB59585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAHQMEFCLHFMTGRDLQRISGNSTSKVGHFRSNELKELDVYHQSGNSKIPTIEDALKLISASVRQVILDAKVGPPSYEKGLANDILSTVEKMQCKNCLIWAKSDSLVRDIIKLSSDVAVGYVVMVDPHTGARTNLLRMKGSKVAGVYHQLIDERMVKILHGREKKVYAWTVDDVDSMMRMLHMHADAIVTSNPTLLQRTMQDKRTQCLEEGFSLTR >KJB59588 pep chromosome:Graimondii2_0_v6:9:21667717:21676181:1 gene:B456_009G262200 transcript:KJB59588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPVGRRRSHGTPLFRFSSFYTRLRFILILLAFVALLPPVFFHFKLRRLHQTKLRKCGWLNNPPLVCAHGGDSTNAFPNTMSAYSIALHSQVDCIEIDVSRSSDGVLFALHDRDLQRISGNSTSKVGHFRSNELKELDVYHQSGNSKIPTIEDALKLISASVRQVILDAKVGPPSYEKGLANDILSTVEKMQCKNCLIWAKSDSLVRDIIKLSSDVAVGYVVMVDPHTGARTNLLRMKGSKVAGVYHQLIDERMEREEGICLDGR >KJB59589 pep chromosome:Graimondii2_0_v6:9:21667672:21676181:1 gene:B456_009G262200 transcript:KJB59589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPVGRRRSHGTPLFRFSSFYTRLRFILILLAFVALLPPVFFHFKLRRLHQTKLRKCGWLNNPPLVCAHGGDSTNAFPNTMSAYSIALHSQVDCIEIDVSRSSDGVLFALHDRDLQRISGNSTSKVGHFRSNELKELDVYHQSGNSKIPTIEDALKLISASVRQVILDAKVGPPSYEKGLANDILSTVEKMQCKNCLIWAKSDSLVRDIIKLSSDVAVGYVVMVDPHTGARTNLLRMKGSKVAGVYHQLIDERMVKILHGREKKVYAWTVDDVDSMMRMLHMHADAIVTSNPTLLQRTMQDKRTQCLEEGFSLTR >KJB59587 pep chromosome:Graimondii2_0_v6:9:21667732:21674671:1 gene:B456_009G262200 transcript:KJB59587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPVGRRRSHGTPLFRFSSFYTRLRFILILLAFVALLPPVFFHFKLRRLHQTKLRKCGWLNNPPLVCAHGGDSTNAFPNTMSAYSIALHSQVDCIEIDVSRSSDGVLFALHDRDLQRISGNSTSKVGHFRSNELKELDVYHQSGNSKIPTIEDALKLISASVRQVILDAKVGPPSYEKGLANDILSTVEKMQCKNCLIWAKSDSLVRDIIKLSSDVAVRR >KJB55781 pep chromosome:Graimondii2_0_v6:9:6863205:6864611:-1 gene:B456_009G094100 transcript:KJB55781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKEFLQLNKEEAESVSRLTIHPHRLGFQCSFYEDFALRGIRVDSVQPGFVSCTFRVPPRLTDKSGNLATGAVANLVDEVGGAIVHVEGLTMNVSVDMSISFLGTAKLNDELQITSKVLGRRGSYSGAIVLVRNKVTGELIAEGRHSLFDKHGSKL >KJB53507 pep chromosome:Graimondii2_0_v6:9:44457121:44458881:-1 gene:B456_009G349900 transcript:KJB53507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKETQVQIPRVKLGTQELEVMNAGFENEFYLLKKLEREGKEEWLPIDSKPYCSSSGFDAISTLFQEIVAALNSLNVAVEQE >KJB62532 pep chromosome:Graimondii2_0_v6:9:65875492:65878722:1 gene:B456_009G421500 transcript:KJB62532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTQKPVAAATSTPTPTTPASVTLAAILSAEPNPKKIVSSSSDKSDPPNAEGSLKESGGSKTTGTTVGSVVGDESGPVNDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTAPSPKGSEASKQSEEVKRKARAERFGLAVPSTTVDEEEKRKARLARFSPYSKPDTIEGEKRKARAIRFSDPLSTSLAQEAPVAGKAAGGL >KJB62535 pep chromosome:Graimondii2_0_v6:9:65875492:65878722:1 gene:B456_009G421500 transcript:KJB62535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTQKPVAAATSTPTPTTPASVTLAAILSAEPNPKKIVSSSSDKSDPPNAEGSLKESGGSKTTGTTVGSVVGDESGPVNDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTAPSPKGSEASKQSEEVKRKARAERFGLAVPSTTVDEEEKRKARLARFSPYSKPDTIEGEKRKARAIRFSDPLSTSLAQVNHKGTIEPEAPVAGKAAGGL >KJB62533 pep chromosome:Graimondii2_0_v6:9:65875492:65878722:1 gene:B456_009G421500 transcript:KJB62533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTQKPVAAATSTPTPTTPASVTLAAILSAEPNPKKIVSSSSDKSDPPNAEGSLKESGGSKTTGTTVGSVVGDESGPVNDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTAPSPKGSEASKQSEEVKRKARAERFGLAVPSTTVDEEEKRKARLARFSPYSKPDTIEGEKRKARAIRFSDPLSTSLAQEAPVAGKAAGGL >KJB62536 pep chromosome:Graimondii2_0_v6:9:65875492:65878722:1 gene:B456_009G421500 transcript:KJB62536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTQKPVAAATSTPTPTTPASVTLAAILSAEPNPKKIVSSSSDKSDPPNAEGSLKESGGSKTTGTTVGSVVGDESGPVNDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTAPSPKGSEASKQSEEVKRKARAERFGLAVPSTTVDEEEKRKARLARFSPYSKPDTIEGEKRKARAIRFSDPLSTSLAQVNHKGTIEPEAPVAGKAAGGL >KJB62537 pep chromosome:Graimondii2_0_v6:9:65875492:65878722:1 gene:B456_009G421500 transcript:KJB62537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTQKPVAAATSTPTPTTPASVTLAAILSAEPNPKKIVSSSSDKSDPPNAEGSLKESGGSKTTGTTVGSVVGDESGPVNDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTAPSPKGSEASKQSEEVKRKARAERFGLAVPSTTVDEEEKRKARLARFSPYSKPDTIEGEKRKARAIRFSDPLSTSLAQVNHKGTIEPEAPVAGKAAGGL >KJB62534 pep chromosome:Graimondii2_0_v6:9:65875467:65878752:1 gene:B456_009G421500 transcript:KJB62534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTQKPVAAATSTPTPTTPASVTLAAILSAEPNPKKIVSSSSDKSDPPNAEGSLKESGGSKTTGTTVGSVVGDESGPVNDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTAPSPKGSEASKQSEEVKRKARAERFGLAVPSTTVDEEEKRKARLARFSPYSKPDTIEGEKRKARAIRFSDPLSTSLAQEAPVAGKAAGGL >KJB56333 pep chromosome:Graimondii2_0_v6:9:8493732:8497164:-1 gene:B456_009G115900 transcript:KJB56333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable receptor-like protein kinase At1g30570 [Source:Projected from Arabidopsis thaliana (AT1G30570) UniProtKB/Swiss-Prot;Acc:Q9SA72] MGKVQGRELLPLLLVVLCAVFRSGEAQSKSFLINCGTNSSVNVEGRKWVGDLAPDNNLTLSSPGVVSTTPALSGDTIFSPLYRSARLFGDELNYTFYGIQGNYFLRLHFCPFSFEDHNVNESSFDVAANGLKLLAQVNVVGEIAHKNLYLQNSGSNSSSFSLVKEYILPINSNSLVIEFTPTKGSFGFINAIEMIPMTDKLFADSVSKVGGNDVNLNLSGRGIETMYRLNVGGPEINPNDDSDYWRAWDVDSGYMITANAGSEINNSSNITYASTNDSSVAPLLVYETARSMSNTQVLEKRFNMSWRFEVDPDFDYLIRLHFCELVFDKPSLRIFRIYINNRTAVNNYDLFVKAGGMNKAYHQDYFDAVSSKIDTLWIQLGPDTAAGASGTDALLNGLEIFKLSRNGNLAHVQRYDSTKSSSHASRFWVLWVGIAAGVATVAILAVAVTRVCCFCKNRGKESGDTKNNSNAGWRPLFLNGSILNSSANAKRSSRLQNLNGSMASTGVGRQFTLAEIRAATNNFDESLVIGVGGFGKVFKGEIGDGTLAAIKRANPQSEQGLAEFHTEIEMLSKLRHRHLVSMIGFCDEQNEMILVYEYMANGTLRSHLFGNDVPPLTWKQRLEACIGAARGLHYLHTGAERGIIHRDVKTTNILLDENFVAKMSDFGLSRAGPSLEHTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVVCARAVINPSLPKEQINLAEWAMKWQRQKSLETIIDPHLRGNYSAESMEKFGEIAEKCLADEGKNRPTMGDVLWHLEYVLQLHKAWIGSNTTDNSFSSSQALRDLEVKEAENRQFDGNSGLGASNSHGELV >KJB56332 pep chromosome:Graimondii2_0_v6:9:8493732:8497746:-1 gene:B456_009G115900 transcript:KJB56332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable receptor-like protein kinase At1g30570 [Source:Projected from Arabidopsis thaliana (AT1G30570) UniProtKB/Swiss-Prot;Acc:Q9SA72] MGKVQGRELLPLLLVVLCAVFRSGEAQSKSFLINCGTNSSVNVEGRKWVGDLAPDNNLTLSSPGVVSTTPALSGDTIFSPLYRSARLFGDELNYTFYGIQGNYFLRLHFCPFSFEDHNVNESSFDVAANGLKLLAQVNVVGEIAHKNLYLQNSGSNSSSFSLVKEYILPINSNSLVIEFTPTKGSFGFINAIEMIPMTDKLFADSVSKVGGNDVNLNLSGRGIETMYRLNVGGPEINPNDDSDYWRAWDVDSGYMITANAGSEINNSSNITYASTNDSSVAPLLVYETARSMSNTQVLEKRFNMSWRFEVDPDFDYLIRLHFCELVFDKPSLRIFRIYINNRTAVNNYDLFVKAGGMNKAYHQDYFDAVSSKIDTLWIQLGPDTAAGASGTDALLNGLEIFKLSRNGNLAHVQRYDSTKSSSHASRFWVLWVGIAAGVATVAILAVAVTRVCCFCKNRGKESGDTKNNSNAGWRPLFLNGSILNSSANAKRSSRLQNLNGSMASTGVGRQFTLAEIRAATNNFDESLVIGVGGFGKVFKGEIGDGTLAAIKRANPQSEQGLAEFHTEIEMLSKLRHRHLVSMIGFCDEQNEMILVYEYMANGTLRSHLFGNDVPPLTWKQRLEACIGAARGLHYLHTGAERGIIHRDVKTTNILLDENFVAKMSDFGLSRAGPSLEHTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVVCARAVINPSLPKEQINLAEWAMKWQRQKSLETIIDPHLRGNYSAESMEKFGEIAEKCLADEGKNRPTMGDVLWHLEYVLQLHKAWIGSNTTDNSFSSSQALRDLEVKEAENRQFDGNSGLGASNSHGELV >KJB57941 pep chromosome:Graimondii2_0_v6:9:14341721:14346120:-1 gene:B456_009G186500 transcript:KJB57941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAGFIFLLSLHLGVFQIGYGRNASTRPAVVNIGAMFNFDSIIGRVAKIAIDEALKDVNSNSSILKGTKLSVTLQDTNCNGFLGMVEALRYMATDVVAIIGPQCSVVAPIISHVASELRVPLLSFAATDPTLSSLQFPFFVRTAQSDLYQMTAVADIIDHYGWKEVIAIFIDDDWGRNGVSALNDKLAERRCKISYKVGIQPDSSVTQGAIMDILVKVALMQSRIVVLHLNQIVGFKVFSVANHLGMMGNGYVWIATDWLSLVLDSESPLPSETMDKIQGVLTLRQHTTDSDRKRAFFARWNRIIGGSPQLNAYGLYAYDTVWLLAHSLDAFFNQGGVISFSNDSRISSMAGSALHLEAMSIFDDGVLLLKNILLSDFVGLTGPLKFNSDRSLILPAYDIINVLGTGIRQIGYWSNYSGLSTVSPETLYTKPQNRSSANQKLYSVIWPGETLSKPRGWVFPNNGKLLRIGVPNRVSYKEFVSQVRGTDMFKGFCIDVFTAAVNLLPYAVPYKFISFGDGRKNPSYTELVNKITTGEFDAVVGDIAIVTNRTRIVDFTQPYVSSGLVIVAQLEKERSGAWAFLQPFSRRMWIVTGSFFLIVGIVVWILEHRINDEFRGPPKQQVITILWREYYEHSWPSSVACMALCGFNNQLQLHCKSDVNPHSGAFIFSN >KJB57940 pep chromosome:Graimondii2_0_v6:9:14341812:14345998:-1 gene:B456_009G186500 transcript:KJB57940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAGFIFLLSLHLGVFQIGYGRNASTRPAVVNIGAMFNFDSIIGRVAKIAIDEALKDVNSNSSILKGTKLSVTLQDTNCNGFLGMVEALRYMATDVVAIIGPQCSVVAPIISHVASELRVPLLSFAATDPTLSSLQFPFFVRTAQSDLYQMTAVADIIDHYGWKEVIAIFIDDDWGRNGVSALNDKLAERRCKISYKVGIQPDSSVTQGAIMDILVKVALMQSRIVVLHLNQIVGFKVFSVANHLGMMGNGYVWIATDWLSLVLDSESPLPSETMDKIQGVLTLRQHTTDSDRKRAFFARWNRIIGGSPQLNAYGLYAYDTVWLLAHSLDAFFNQGGVISFSNDSRISSMAGSALHLEAMSIFDDGVLLLKNILLSDFVGLTGPLKFNSDRSLILPAYDIINVLGTGIRQIGYWSNYSGLSTVSPETLYTKPQNRSSANQKLYSVIWPGETLSKPRGWVFPNNGKLLRIGVPNRVSYKEFVSQVRGTDMFKGFCIDVFTAAVNLLPYAVPYKFISFGDGRKNPSYTELVNKITTGEFDAVVGDIAIVTNRTRIVDFTQPYVSSGLVIVAQLEKERSGAWAFLQPFSRRMWIVTGSFFLIVGIVVWILEHRINDEFRGPPKQQVITILWFSFSTMFFAHRENTMSTLGRLVLLVWLFVVLIINSSYTASLTSILTVEHLSSPIKGIQSLAATNEPIGYQEGSFTERYLSEELNISRSRLVSLGSPEAYALALKRGPGNGGVAAVVDERPYVELFLSSQCTFKVVGQEFTKSGWGFAFPRDSPLAIDMSTAILALAENGDLQRIHDKWLMQSTCSLESTEIETNQLNLSSFWGLFLICGIACIIALLIYFLQILQQLPPAPESASITGQDSSHSRRLRRFLSLMDEKEEQSRSGQKRRKVDNERDDEFGS >KJB57945 pep chromosome:Graimondii2_0_v6:9:14343270:14345998:-1 gene:B456_009G186500 transcript:KJB57945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAGFIFLLSLHLGVFQIGYGRNASTRPAVVNIGAMFNFDSIIGRVAKIAIDEALKDVNSNSSILKGTKLSVTLQDTNCNGFLGMVEALRYMATDVVAIIGPQCSVVAPIISHVASELRVPLLSFAATDPTLSSLQFPFFVRTAQSDLYQMTAVADIIDHYGWKEVIAIFIDDDWGRNGVSALNDKLAERRCKISYKVGIQPDSSVTQGAIMDILVKVALMQSRIVVLHLNQIVGFKVFSVANHLGMMGNGYVWIATDWLSLVLDSESPLPSETMDKIQGVLTLRQHTTDSDRKRAFFARWNRIIGGSPQLNAYGLYAYDTVWLLAHSLDAFFNQGGVISFSNDSRISSMAGSALHLEAMSIFDDGVLLLKNILLSDFVGLTGPLKFNSDRSLILPAYDIINVLGTGIRQIGYWSNYSGLSTVSPETLYTKPQNRSSANQKLYSVIWPGETLSKPRGWVFPNNGKLLRIGVPNRVSYKEFVSQVRGTDMFKGFCIDVFTAAVNLLPYAVPYKFISFGDGRKNPSYTELVNKITTGEFDAVVGDIAIVTNRTRIVDFTQPYVSSGLVIVAQLEKERSGAWAFLQPFSRRMWIVTGSFFLIVGIVVWILEHRINDEFRGPPKQQVITILWFSFSTMFFAHSK >KJB57944 pep chromosome:Graimondii2_0_v6:9:14341804:14347602:-1 gene:B456_009G186500 transcript:KJB57944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAGFIFLLSLHLGVFQIGYGRNASTRPAVVNIGAMFNFDSIIGRVAKIAIDEALKDVNSNSSILKGTKLSVTLQDTNCNGFLGMVEALRYMATDVVAIIGPQCSVVAPIISHVASELRVPLLSFAATDPTLSSLQFPFFVRTAQSDLYQMTAVADIIDHYGWKEVIAIFIDDDWGRNGVSALNDKLAERRCKISYKVGIQPDSSVTQGAIMDILVKVALMQSRIVVLHLNQIVGFKVFSVANHLGMMGNGYVWIATDWLSLVLDSESPLPSETMDKIQGVLTLRQHTTDSDRKRAFFARWNRIIGGSPQLNAYGLYAYDTVWLLAHSLDAFFNQGGVISFSNDSRISSMAGSALHLEAMSIFDDGVLLLKNILLSDFVGLTGPLKFNSDRSLILPAYDIINVLGTGIRQIGYWSNYSGLSTVSPETLYTKPQNRSSANQKLYSVIWPGETLSKPRGWVFPNNGKLLRIGVPNRVSYKEFVSQVRGTDMFKGFCIDVFTAAVNLLPYAVPYKFISFGDGRKNPSYTELVNKITTGEFDAVVGDIAIVTNRTRIVDFTQPYVSSGLVIVAQLEKERSGAWAFLQPFSRRMWIVTGSFFLIVGIVVWILEHRINDEFRGPPKQQVITILWFSFSTMFFAHRENTMSTLGRLVLLVWLFVVLIINSSYTASLTSILTVEHLSSPIKGIQSLAATNEPIGYQEGSFTERYLSEELNISRSRLVSLGSPEAYALALKRGPGNGGVAAVVDERPYVELFLSSQCTFKVVGQEFTKSGWGFAFPRDSPLAIDMSTAILALAENGDLQRIHDKWLMQSTCSLESTEIETNQLNLSSFWGLFLICGIACIIALLIYFLQILQQLPPAPESASITGQDSSHSRRLRRFLSLMDEKEEQSRSGQKRRKVDNERDDEFGS >KJB57942 pep chromosome:Graimondii2_0_v6:9:14342123:14345998:-1 gene:B456_009G186500 transcript:KJB57942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAGFIFLLSLHLGVFQIGYGRNASTRPAVVNIGAMFNFDSIIGRVAKIAIDEALKDVNSNSSILKGTKLSVTLQDTNCNGFLGMVEALRYMATDVVAIIGPQCSVVAPIISHVASELRVPLLSFAATDPTLSSLQFPFFVRTAQSDLYQMTAVADIIDHYGWKEVIAIFIDDDWGRNGVSALNDKLAERRCKISYKVGIQPDSSVTQGAIMDILVKVALMQSRIVVLHLNQIVGFKVFSVANHLGMMGNGYVWIATDWLSLVLDSESPLPSETMDKIQGVLTLRQHTTDSDRKRAFFARWNRIIGGSPQLNAYGLYAYDTVWLLAHSLDAFFNQGGVISFSNDSRISSMAGSALHLEAMSIFDDGVLLLKNILLSDFVGLTGPLKFNSDRSLILPAYDIINVLGTGIRQIGYWSNYSGLSTVSPETLYTKPQNRSSANQKLYSVIWPGETLSKPRGWVFPNNGKLLRIGVPNRVSYKEFVSQVRGTDMFKGFCIDVFTAAVNLLPYAVPYKFISFGDGRKNPSYTELVNKITTGEFDAVVGDIAIVTNRTRIVDFTQPYVSSGLVIVAQLEKERSGAWAFLQPFSRRMWIVTGSFFLIVGIVVWILEHRINDEFRGPPKQQVITILWFSFSTMFFAHRENTMSTLGRLVLLVWLFVVLIINSSYTASLTSILTVEHLSSPIKGIQSLAATNEPIGYQEGSFTERYLSEELNISRSRLVSLGSPEAYALALKRGPGNGGVAAVVDERPYVELFLSSQCTFKVVGQEFTKSGWGFAFPRDSPLAIDMSTAILALAENGDLQRIHDKWLMQSTCSLESTEIETNQLNLSSFWGLFLICGIACIIALLIYFLQILQQLPPAPESASITGQDSSHSRRLRRFLSLMDEKEEQSRSGQKRRKVDNERDDEFGS >KJB57943 pep chromosome:Graimondii2_0_v6:9:14341721:14347307:-1 gene:B456_009G186500 transcript:KJB57943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAGFIFLLSLHLGVFQIGYGRNASTRPAVVNIGAMFNFDSIIGRVAKIAIDEALKDVNSNSSILKGTKLSVTLQDTNCNGFLGMVEALRYMATDVVAIIGPQCSVVAPIISHVASELRVPLLSFAATDPTLSSLQFPFFVRTAQSDLYQMTAVADIIDHYGWKEVIAIFIDDDWGRNGVSALNDKLAERRCKISYKVGIQPDSSVTQGAIMDILVKVALMQSRIVVLHLNQIVGFKVFSVANHLGMMGNGYVWIATDWLSLVLDSESPLPSETMDKIQGVLTLRQHTTDSDRKRAFFARWNRIIGGSPQLNAYGLYAYDTVWLLAHSLDAFFNQGGVISFSNDSRISSMAGSALHLEAMSIFDDGVLLLKNILLSDFVGLTGPLKFNSDRSLILPAYDIINVLGTGIRQIGYWSNYSGLSTVSPETLYTKPQNRSSANQKLYSVIWPGETLSKPRGWVFPNNGKLLRIGVPNRVSYKEFVSQVRGTDMFKGFCIDVFTAAVNLLPYAVPYKFISFGDGRKNPSYTELVNKITTGEFDAVVGDIAIVTNRTRIVDFTQPYVSSGLVIVAQLEKERSGAWAFLQPFSRRMWIVTGSFFLIVGIVVWILEHRINDEFRGPPKQQVITILWREYYEHSWPSSVACMALCGFNNQLQLHCKSDVNPHSGAFIFSN >KJB59124 pep chromosome:Graimondii2_0_v6:9:19137253:19138290:1 gene:B456_009G239800 transcript:KJB59124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIIVSFILMFCITVTKAEMSPSLSPSVSCSTIIYDMIDCVLFLSGDSTEDEPTAACCSGFKIVLETNVKCFCEALKSSAELGADVNLTKAVMLPSACEVSASPMNKCNLVANPSPSESPVANSVERSIAPVSSGTPTPSALATPTEEVTPTPSSPDKKITPTASTPADEAAKQAPLPNLSGSYSVSSYFFAFFSVLVISFYYILI >KJB59123 pep chromosome:Graimondii2_0_v6:9:19137253:19138290:1 gene:B456_009G239800 transcript:KJB59123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIIVSFILMFCITVTKAEMSPSLSPSVSCSTIIYDMIDCVLFLSGDSTEDEPTAACCSGFKIVLETNVKCFCEALKSSAELGADVNLTKAVMLPSACEVSASPMNKCNLVSSGTPTPSALATPTEEVTPTPSSPDKKITPTASTPADEAAKQAPLPNLSGSYSVSSYFFAFFSVLVISFYYILI >KJB59125 pep chromosome:Graimondii2_0_v6:9:19137253:19138290:1 gene:B456_009G239800 transcript:KJB59125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIIVSFILMFCITVTKAEMSPSLSPSVSCSTIIYDMIDCVLFLSGDSTEDEPTAACCSGFKIVLETNVKCFCEALKSSAELGADVNLTKAVMLPSACEVSASPMNKCNLVSSPPSVALVANPSPSESPVANSVERSIAPVSSGTPTPSALATPTEEVTPTPSSPDKKITPTASTPADEAAKQAPLPNLSGSYSVSSYFFAFFSVLVISFYYILI >KJB61851 pep chromosome:Graimondii2_0_v6:9:52398272:52399553:1 gene:B456_009G386300 transcript:KJB61851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDELNCKTEYIELQLKAELLRPLGKIPKKGVENWLKAVKEMIREAQVVENKVSNGRYLCRTCNGKLVDETTREMKEFLDNAPNASEGLAMDGPSAGLLLPTSELVGEEAVRNEIWACLMQEEVNAALTNEDTCMDGFLSRAMNGYAKMMVRKRIIKITQLTSNVLALINNYASSQILH >KJB59665 pep chromosome:Graimondii2_0_v6:9:22084921:22089486:1 gene:B456_009G266400 transcript:KJB59665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRPTKLCKSLFNLYPCLSQFVLVHSVSSLNAVEETVKAALEAKTYEQLPNILVALEKSRRIQNPFSFLSTLSLTLRTQTIDEILQSFKSIRPRSRPRIVYDLLLSYTLQSPDPIPLSLAVLQCTLRSGCLPAPQIKLLLSEAWLNFRGHSQPVSDSLLEMQDIGFCPDSMTCNYLISSLCAVDQLEEAAKILKGMSGAGCPPDLESFAGLITAMCTFRRTGDAIELVKQMVQKARLTPRQGTVKKVVATLRANREIWKAVELIEFLERKGIPVGFESYELVVEGCLECCEYVLAGKVVVAMTERGFIPYIRVRQKVVEGLVRVNELKLAYLVRERFSELGS >KJB59664 pep chromosome:Graimondii2_0_v6:9:22086202:22089486:1 gene:B456_009G266400 transcript:KJB59664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRPTKLCKSLFNLYPCLSQFVLVHSVSSLNAVEETVKAALEAKTYEQLPNILVALEKSRRIQNPFSFLSTLSLTLRTQTIDEILQSFKSIRPRSRPRIVYDLLLSYTLQSPDPIPLSLAVLQCTLRSGCLPAPQIKLLLSEAWLNFRGHSQPVSDSLLEMQDIGFCPDSMTCNYLISSLCAVDQLEEAAKILKGMSGAGCPPDLESFAGLITAMCTFRRTGDAIELVKQMVQKARLTPRQGTVKKVVATLRANREIWKAVELIEFLERKGIPVGFESYELVVEGCLECCEYVLAGKVVVAMTERGFIPYIRVRQKVVEGLVRVNELKLAYLVRERFSELGS >KJB57210 pep chromosome:Graimondii2_0_v6:9:11741820:11744771:1 gene:B456_009G153700 transcript:KJB57210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYIIYIYIYIYIFFFLAGVISGALLYIRDDFKSVDRKTVLQESIVSMAVAGAIIGAAVGGWMNDRFGRRRVLLIADFLFFVGAVIMASAPGAALLIVGRIFVGLGVGMASMTSPLYISEASPAKIRGALVSTNGFLITGGQFLSYLINLAFTKAPGTWRWMVGVAGFPALLQFILMLLLPESPRWLYRKGREEAAKVILRKIYPAHEVEQEIQDLKESVEAEIKEEGSSEKMNIIKLLKTKAVRRGLTAGVGLQVFQQFVGINTVMYYSPTIVQLAGFASNRTALLLSLLTAGLNAFGSIVSIYFIDRTGRKKLLIISLTGVVVSLGVLSGVFHETTTHSPMVSRVETSHFSNITCPDYSSALNSGAWDCMTCLKASSPDCGFCSSPTNKLLPGACLISNDTVKDMCHKETRLWYTRGCPSKYGWLALIGLALYIIFFSPGMGSVPWIMNSEIYPLRFRGLCGGIAATANWISNLIVAQSFLSLKEAIGASWTFLIFGVISVMALLFVIIYVPETKGLPIEEIEKMLEGRALHYKFWEKGNKPHEKSQAA >KJB57209 pep chromosome:Graimondii2_0_v6:9:11741820:11744771:1 gene:B456_009G153700 transcript:KJB57209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYIIYIYIYIYIFFFLAGVISGALLYIRDDFKSVDRKTVLQESIVSMAVAGAIIGAAVGGWMNDRFGRRRVLLIADFLFFVGAVIMASAPGAALLIVGRIFVGLGVGMASMTSPLYISEASPAKIRGALVSTNGFLITGGQFLSYLINLAFTKAPGTWRWMVGVAGFPALLQFILMLLLPESPRWLYRKGREEAAKVILRKIYPAHEVEQEIQDLKESVEAEIKEEGSSEKMNIIKLLKTKAVRRGLTAGVGLQVFQQFVGINTVMYYSPTIVQLAGFASNRTALLLSLLTAGLNAFGSIVSIYFIDRTGRKKLLIISLTGVVVSLGVLSGVFHETTTHSPMVSRVETSHFSNITCPDYSSALNSGAWDCMTCLKASSPDCGFCSSPTNKLLPGACLISNDTVKDMCHKETRLWYTRGCPSKYGWLALIGLALYIIFFSPGMGSVPWIMNSEIYPLRFRGLCGGIAATANWISNLIVKQRGYLSRRLRRCWRVELYTTSSGRKVTNHMRRAKPLEFGHCIFN >KJB62276 pep chromosome:Graimondii2_0_v6:9:61533293:61541133:1 gene:B456_009G409800 transcript:KJB62276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKEEEEEWTGTESGDLTSEGEGTEDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGHFSTVWLAWDTHRSCYVALKVQKSAQHYTEAAMDEITILQQIADGDKEDKKCAVKLLDHFKHSGPNGQHVCIVFEYLGDNLLTLIKYSDYRGMPIHMVKEICFHILMGLDYLHRELSIIHTDLKPENILLFSMIDPSKDPRKSGAPLILPNSKDKTVLSTNGDLSRNQKKKFRRKAKRVAQGCLEKEVTAEGDEDPETSCAAKSSPIADSVECATSSGNANRVSDADGTKDSSQASQGDKRGSRSTRRKLLASVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDLFNRYGDLRHIRRLRFWPLNKVLVEKYEFSEQDANEMTDFLVPILDFVPEKRPTATQCLQHPWINAGPRLLEPSKSSSHSRVVDANVSEKKKTEMDEREAMEVGMGKIAISAESKPAKDLQSSSKSAMAAATSSRLGT >KJB62281 pep chromosome:Graimondii2_0_v6:9:61533017:61541459:1 gene:B456_009G409800 transcript:KJB62281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKEEEEEWTGTESGDLTSEGEGTEDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGHFSTVWLAWDTHRSCYVALKVQKSAQHYTEAAMDEITILQQIADGDKEDKKCAVKLLDHFKHSGPNGQHVCIVFEYLGDNLLTLIKYSDYRGMPIHMVKEICFHILMGLDYLHRELSIIHTDLKPENILLFSMIDPSKDPRKSGAPLILPNSKDKTVLSTNGDLSRNQKKKFRRKAKRVAQGCLEKEVTAEGDEDPETSCAAKSSPIADSVECATSSGNANRVSDADGTKDSSQASQGDKRGSRSTRRKLLASVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDLFNRYGDLRHIRRLRFWPLNKVLVEKYEFSEQDANEMTDFLVPILDFVPEKRPTATQCLQHPWINAGPRLLEPSKSSSHSRVVDANVSEKKKTEMDEREAMEVGMGKIAISAESKPAKDLQSSSKSAMAAATSSRYLILPIQHPTTVPNSNVVMFFFLCRHVPKVSKY >KJB62277 pep chromosome:Graimondii2_0_v6:9:61533054:61535171:1 gene:B456_009G409800 transcript:KJB62277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKEEEEEWTGTESGDLTSEGEGTEDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGHFSTVWLAWDTHRSCYVALKVQKSAQHYTEAAMDEITILQQIADGDKEDKKCAVKLLDHFKHSGPNGQHVCIVFEYLGDNLLTLIKYSDYRGMPIHMVKEICFHILMGLDYLHRELSIIHTDLKPENILLFSMIDPSKDPRKSGAPLILPNSKDKTVLSTNGDLSRNQKKKFRRKAKRVAQGCLEKEVTAEGDEDPETSCAAKSSPIADSVECATSSGNANRVSDADGTKDSSQASQGDKRGSRSTRRKLLASVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEVFSL >KJB62280 pep chromosome:Graimondii2_0_v6:9:61533054:61537067:1 gene:B456_009G409800 transcript:KJB62280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKEEEEEWTGTESGDLTSEGEGTEDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGHFSTVWLAWDTHRSCYVALKVQKSAQHYTEAAMDEITILQQIADGDKEDKKCAVKLLDHFKHSGPNGQHVCIVFEYLGDNLLTLIKYSDYRGMPIHMVKEICFHILMGLDYLHRELSIIHTDLKPENILLFSMIDPSKDPRKSGAPLILPNSKDKTVLSTNGDLSRNQKKKFRRKAKRVAQGCLEKEVTAEGDEDPETSCAAKSSPIADSVECATSSGNANRVSDADGTKDSSQASQGDKRGSRSTRRKLLASVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKVRNFISAY >KJB62279 pep chromosome:Graimondii2_0_v6:9:61533054:61537067:1 gene:B456_009G409800 transcript:KJB62279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEITILQQIADGDKEDKKCAVKLLDHFKHSGPNGQHVCIVFEYLGDNLLTLIKYSDYRGMPIHMVKEICFHILMGLDYLHRELSIIHTDLKPENILLFSMIDPSKDPRKSGAPLILPNSKDKTVLSTNGDLSRNQKKKFRRKAKRVAQGCLEKEVTAEGDEDPETSCAAKSSPIADSVECATSSGNANRVSDADGTKDSSQASQGDKRGSRSTRRKLLASVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDLFNRYGDLRHIRRLRFWPLNKVLVEKYEFSEQDANEMTDFLVPILDFVPEKRPTATQCLQHPWINAGPRLLEPSKSSSHSRVVDANVSEKKKTEMDEREAMEVGMGKIAISAESKPAKDLQSSSKSAMAAATSSR >KJB62275 pep chromosome:Graimondii2_0_v6:9:61533054:61537067:1 gene:B456_009G409800 transcript:KJB62275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKEEEEEWTGTESGDLTSEGEGTEDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGHFSTVWLAWDTHRSCYVALKVQKSAQHYTEAAMDEITILQQIADGDKEDKKCAVKLLDHFKHSGPNGQHVCIVFEYLGDNLLTLIKYSDYRGMPIHMVKEICFHILMGLDYLHRELSIIHTDLKPENILLFSMIDPSKDPRKSGAPLILPNSKDKTVLSTNGDLSRNQKKKFRRKAKRVAQGCLEKEVTAEGDEDPETSCAAKSSPIADSVECATSSGNANRVSDADGTKDSSQASQGDKRGSRSTRRKLLASVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDLFNRYGDLRHIRRLRFWPLNKVLVEKYEFSEQDANEMTDFLVPILDFVPEKRPTATQCLQHPWINAGPRLLEPSKSSSHSRVVDANVSEKKKTEMDEREAMEVGMGKIAISAESKPAKDLQSSSKSAMAAATSSR >KJB62282 pep chromosome:Graimondii2_0_v6:9:61533054:61542238:1 gene:B456_009G409800 transcript:KJB62282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKEEEEEWTGTESGDLTSEGEGTEDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGHFSTVWLAWDTHRSCYVALKVQKSAQHYTEAAMDEITILQQIADGDKEDKKCAVKLLDHFKHSGPNGQHVCIVFEYLGDNLLTLIKYSDYRGMPIHMVKEICFHILMGLDYLHRELSIIHTDLKPENILLFSMIDPSKDPRKSGAPLILPNSKDKTVLSTNGDLSRNQKKKFRRKAKRVAQGCLEKEVTAEGDEDPETSCAAKSSPIADSVECATSSGNANRVSDADGTKDSSQASQGDKRGSRSTRRKLLASVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDLFNRYGDLRHIRRLRFWPLNKVLVEKYEFSEQDANEMTDFLVPILDFVPEKRPTATQCLQHPWINAGPRLLEPSKSSSHSRVVDANVSEKKKTEMDEREAMEVGMGKIAISAESKPAKDLQSSSKSAMAAATSSSLQGMVNLLFKVHFESTRPNTRACDWIV >KJB62278 pep chromosome:Graimondii2_0_v6:9:61532969:61537067:1 gene:B456_009G409800 transcript:KJB62278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKEEEEEWTGTESGDLTSEGEGTEDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGHFSTVWLAWDTHRSCYVALKVQKSAQHYTEAAMDEITILQQIADGDKEDKKCAVKLLDHFKHSGPNGQHVCIVFEYLGDNLLTLIKYSDYRGMPIHMVKEICFHILMGLDYLHRELSIIHTDLKPENILLFSMIDPSKDPRKSGAPLILPNSKDKTVLSTNGDLSRNQKKKFRRKAKRVAQGCLEKEVTAEGDEDPETSCAAKSSPIADSVECATSSGNANRVSDADGTKDSSQASQGDKRGSRSTRRKLLASVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDLFNRYGDLRHIRRLRFWPLNKVLVEKYEFSEQDANEMTDFLVPILDFVPEKRPTATQCLQHPWINAGPRLLEPSKSSSHSRVVDANVSEKKKTEMDEREAMEVGMGKIAISAESKPAKDLQSSSKSAMAAATSSR >KJB57729 pep chromosome:Graimondii2_0_v6:9:13750883:13753766:-1 gene:B456_009G178100 transcript:KJB57729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQQELNHPILNNSMDDDHQHLLPSDGSSGASHGHEVDSRLEKVLSDTELPFFKRLRLATLIEMKLLFRLAAPAVFVYMINNAMSLSTRVFCGHLGNLELAAASLGNSGIQLLAYGLMLGMGSAVETLCGQAYGALRYDMLGIYLQRSIIVLTLTGIPLMLAYIFSKPILMLLGEPAEVASAAAIFVYGLIPQIFAYAANFPIQKFLQSQSIVIPSAYISAATLVLHLLLSWVAVYKIGLGLIGASLVLSLSWWIIVGAQMVYILIRVAVGCGWQAFVAYVNVGCYYVVGIPLGCVLGFKYDLGAKGIWSGMIGGTMMQTLILLWVTFTTDWNKEVEIARRRLDRWEDKKQPLFKN >KJB57728 pep chromosome:Graimondii2_0_v6:9:13750831:13754011:-1 gene:B456_009G178100 transcript:KJB57728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQQELNHPILNNSMDDDHQHLLPSDGSSGASHGHEVDSRLEKVLSDTELPFFKRLRLATLIEMKLLFRLAAPAVFVYMINNAMSLSTRVFCGHLGNLELAAASLGNSGIQLLAYGLMLGMGSAVETLCGQAYGALRYDMLGIYLQRSIIVLTLTGIPLMLAYIFSKPILMLLGEPAEVASAAAIFVYGLIPQIFAYAANFPIQKFLQSQSIVIPSAYISAATLVLHLLLSWVAVYKIGLGLIGASLVLSLSWWIIVGAQMVYILISDKCKLTWSGLSSQAFSGLWDFLKLSAASAVMLCLETWYFQILVLIAGLLENPELALDSLSICMSISGLMFMISVGFNAAASVRVSNELGSEHPKSAAFTVAVVTLVSLIIAVVEAVIVLALRDVISYAFTEGETVAKAVSDLCPFLAVTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPLGCVLGFKYDLGAKGIWSGMIGGTMMQTLILLWVTFTTDWNKEVEIARRRLDRWEDKKQPLFKN >KJB57730 pep chromosome:Graimondii2_0_v6:9:13751129:13753723:-1 gene:B456_009G178100 transcript:KJB57730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQQELNHPILNNSMDDDHQHLLPSDGSSGASHGHEVDSRLEKVLSDTELPFFKRLRLATLIEMKLLFRLAAPAVFVYMINNAMSLSTRVFCGHLGNLELAAASLGNSGIQLLAYGLMLGMGSAVETLCGQAYGALRYDMLGIYLQRSIIVLTLTGIPLMLAYIFSKPILMLLGEPAEVASAAAIFVYGLIPQIFAYAANFPIQKFLQSQSIVIPSAYISAATLVLHLLLSWVAVYKIGLGLIGASLVLSLSWWIIVGAQMVYILISDKCKLTWSGLSSQAFSGLWDFLKLSAASAVMLCLETWYFQILVLIAGLLENPELALDSLSICVRVSNELGSEHPKSAAFTVAVVTLVSLIIAVVEAVIVLALRDVISYAFTEGETVAKAVSDLCPFLAVTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPLGCVLGFKYDLGAKGIWSGMIGGTMMQTLILLWVTFTTDWNKEVEIARRRLDRWEDKKQPLFKN >KJB57829 pep chromosome:Graimondii2_0_v6:9:14055540:14056010:-1 gene:B456_009G182600 transcript:KJB57829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASKEHKQQGLQQRGCKALCCSCRLSVSSSEEAESSNSDRYASISSLAHAMVQERLDQMIRERQETRHNVERRRQSSEGTKFIVMVAMEKCSYDPREDFSKSMVEMIKANRIQEPKDLRNLLNYYVSMNSEEYHGIILEVFHEVCTNLFLCCKRH >KJB56013 pep chromosome:Graimondii2_0_v6:9:7450860:7457391:1 gene:B456_009G103300 transcript:KJB56013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQHQRRGGGFVSLSPSQTPRSNDKPVRDLRSGDSNSSSKHDKDKGVNVQVILRCRPLSAEETRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFELAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQSAEYSMKVTFLELYNEEITDLLAPEETTKFVDDKSKKPIALMEDGKGGVFVRGLEEELVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATVSPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLRQEVYAAREKNGIYIPRDRYLHDEAEKKAMAEKIERMELVSESKEKQITELQELYNSQQMLTADLSEKLGKTEKKLEETENALFDLEDKHRQANATIKEKEFLISNLLKSEKALVERAFELREELENAASDVSDLFAKIERKDKIEDGNKALIQKFQSQLTQQLEILHKTVAASVTQQEQQLKDMEEDMQSFVSTKSEAMEELQGRLGKLKSTYGSGIKALDSIALKLDGNSKSTFGDLNSEVSKHSHDLEDLFKGIASEADALLNDLQSSLYKQEEKLTAFAQQQREAHSRAVDNARAISKIAVNFFATLDMHASKLTKIVEEAQAVNDNKLSEFEKQFEECAAYEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENASSKTSDLQKEMSTMQESTSVVKTEWNVHMESTGSHYVEDTSAVECGKKDMEDVLQNCLKQAKMSAQQWRNAQESLLSLEKRNVDSVDSIVSGGMEANRIFRDQFSSAVSTALEDVDTANNSCLTSIDHSLQLDRDACGNLNSMISPCCDDLRGLKSGHYDKIVEITENASQCLEDEYMVDKPSCSTPRKRSFNLASVSSIDELRTPPFDELLKLFWEAKSAKLANGDVKHMLAAYEAAQALKDSRVPLTAIN >KJB56010 pep chromosome:Graimondii2_0_v6:9:7450671:7457391:1 gene:B456_009G103300 transcript:KJB56010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQHQRRGGGFVSLSPSQTPRSNDKPVRDLRSGDSNSSSKHDKDKGVNVQVILRCRPLSAEETRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFELAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQSAEYSMKVTFLELYNEEITDLLAPEETTKFVDDKSKKPIALMEDGKGGVFVRGLEEELVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATVSPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLRQEVYAAREKNGIYIPRDRYLHDEAEKKAMAEKIERMELVSESKEKQITELQELYNSQQMLTADLSEKLGKTEKKLEETENALFDLEDKHRQANATIKEKEFLISNLLKSEKALVERAFELREELENAASDVSDLFAKIERKDKIEDGNKALIQKFQSQLTQQLEILHKTVAASVTQQEQQLKDMEEDMQSFVSTKSEAMEELQGRLGKLKSTYGSGIKALDSIALKLDGNSKSTFGDLNSEVSKHSHDLEDLFKGIASEADALLNDLQSSLYKQEEKLTAFAQQQREAHSRAVDNARAISKIAVNFFATLDMHASKLTKIVEEAQAVNDNKLSEFEKQFEECAAYEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENASSKTSDLQKEMSTMQESTSVVKTEWNVHMESTGSHYVEDTSAVECGKKDMEDVLQNCLKQAKMSAQQWRNAQESLLSLEKRNVDSVDSIVSGGMEANRIFRDQFSSAVSTALEDVDTANNSCLTSIDHSLQLDRDACGNLNSMISPCCDDLRGLKSGHYDKIVEITENASQCLEDEYMVDKPSCSTPRKRSFNLASVSSIDELRTPPFDELLKLFWEAKSAKLANGDVKHMLAAYEAAQALKDSRVPLTAIN >KJB56006 pep chromosome:Graimondii2_0_v6:9:7450610:7457391:1 gene:B456_009G103300 transcript:KJB56006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQHQRRGGGFVSLSPSQTPRSNDKPVRDLRSGDSNSSSKHDKDKGVNVQVILRCRPLSAEETRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFELAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQSAEYSMKVTFLELYNEEITDLLAPEETTKFVDDKSKKPIALMEDGKGGVFVRGLEEELVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATVSPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLRQEVYAAREKNGIYIPRDRYLHDEAEKKAMAEKIERMELVSESKEKQITELQELYNSQQMLTADLSEKLGKTEKKLEETENALFDLEDKHRQANATIKEKEFLISNLLKSEKALVERAFELREELENAASDVSDLFAKIERKDKIEDGNKALIQKFQSQLTQQLEILHKTVAASVTQQEQQLKDMEEDMQSFVSTKSEAMEELQGRLGKLKSTYGSGIKALDSIALKLDGNSKSTFGDLNSEVSKHSHDLEDLFKGIASEADALLNDLQSSLYKQEEKLTAFAQQQREAHSRAVDNARAISKIAVNFFATLDMHASKLTKIVEEAQAVNDNKLSEFEKQFEECAAYEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENASSKTSDLQKEMSTMQESTSVVKTEWNVHMESTGSHYVEDTSAVECGKKDMEDVLQNCLKQAKMSAQQWRNAQESLLSLEKRNVDSVDSIVSGGMEANRIFRDQFSSAVSTALEDVDTANNSCLTSIDHSLQLDRDACGNLNSMISPCCDDLRGLKSGHYDKIVEITENASQCLEDEYMVDKPSCSTPRKRSFNLASVSSIDELRTPPFDELLKLFWEAKSAKLANGDVKHMLAAYEAAQALKDSRVPLTAIN >KJB56011 pep chromosome:Graimondii2_0_v6:9:7450860:7455830:1 gene:B456_009G103300 transcript:KJB56011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQHQRRGGGFVSLSPSQTPRSNDKPVRDLRSGDSNSSSKHDKDKGVNVQVILRCRPLSAEETRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFELAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQSAEYSMKVTFLELYNEEITDLLAPEETTKFVDDKSKKPIALMEDGKGGVFVRGLEEELVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATVSPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLRQEVYAAREKNGIYIPRDRYLHDEAEKKAMAEKIERMELVSESKEKQITELQELYNSQQMLTADLSEKLGKTEKKLEETENALFDLEDKHRQANATIKEKEFLISNLLKSEKALVERAFELREELENAASDVSDLFAKIERKDKIEDGNKALIQKFQSQLTQQLEILHKTVAASVTQQEQQLKDMEEDMQSFVSTKSEAMEELQGRLGKLKSTYGSGIKALDSIALKLDGNSKSTFGDLNSEVSKHSHDLEDLFKGIASEADALLNDLQSSLYKQEEKLTAFAQQQREAHSRAVDNARAISKIAVNFFATLDMHASKLTKIVEEAQAVNDNKLSEFEKQFEECAAYEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENASSKTSDLQKEMSTMQESTSVVKTEWNVHMESTGSHYVEDTSAVECGKKDMEDVLQNWYRLFL >KJB56009 pep chromosome:Graimondii2_0_v6:9:7450860:7457391:1 gene:B456_009G103300 transcript:KJB56009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQHQRRGGGFVSLSPSQTPRSNDKPVRDLRSGDSNSSSKHDKDKGVNVQVILRCRPLSAEETRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFELAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQSAEYSMKVTFLELYNEEITDLLAPEETTKFVDDKSKKPIALMEDGKGGVFVRGLEEELVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATVSPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLRQEVYAAREKNGIYIPRDRYLHDEAEKKAMAEKIERMELVSESKEKQITELQELYNSQQMLTADLSEKLGKTEKKLEETENALFDLEDKHRQANATIKEKEFLISNLLKSEKALVERAFELREELENAASDVSDLFAKIERKDKIEDGNKALIQKFQSQLTQQLEILHKTVAASVTQQEQQLKDMEEDMQSFVSTKSEAMEELQGRLGKLKSTYGSGIKALDSIALKLDGNSKSTFGDLNSEVSKHSHDLEDLFKGIASEADALLNDLQSSLYKQEEKLTAFAQQQREAHSRAVDNARAISKIAVNFFATLDMHASKLTKIVEEAQAVNDNKLSEFEKQFEECAAYEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENASSKTSDLQKEMSTMQESTSVVKTEWNVHMESTGSHYVEDTSAVECGKKDMEDVLQNCLKQAKMSAQQWRNAQESLLSLEKRNVDSVDSIVSGGMEANRIFRDQFSSAVSTALEDVDTANNSCLTSIDHSLQLDRDACGNLNSMISPCCDDLRGLKSGHYDKIVEITENASQCLEDEYMVDKPSCSTPRKRSFNLASVSSIDELRTPPFDELLKLFWEAKSAKLANGDVKHMLAAYEAAQALKDSRVPLTAIN >KJB56007 pep chromosome:Graimondii2_0_v6:9:7450671:7457391:1 gene:B456_009G103300 transcript:KJB56007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQHQRRGGGFVSLSPSQTPRSNDKPVRDLRSGDSNSSSKHDKDKGVNVQVILRCRPLSAEETRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFELAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQSAEYSMKVTFLELYNEEITDLLAPEETTKFVDDKSKKPIALMEDGKGGVFVRGLEEELVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATVSPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLRQEVYAAREKNGIYIPRDRYLHDEAEKKAMAEKIERMELVSESKEKQITELQELYNSQQMLTADLSEKLGKTEKKLEETENALFDLEDKHRQANATIKEKEFLISNLLKSEKALVERAFELREELENAASDVSDLFAKIERKDKIEDGNKALIQKFQSQLTQQLEILHKTVAASVTQQEQQLKDMEEDMQSFVSTKSEAMEELQGRLGKLKSTYGSGIKALDSIALKLDGNSKSTFGDLNSEVSKHSHDLEDLFKGIASEADALLNDLQSSLYKQEEKLTAFAQQQREAHSRAVDNARAISKIAVNFFATLDMHASKLTKIVEEAQAVNDNKLSEFEKQFEECAAYEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENASSKTSDLQKEMSTMQESTSVVKTEWNVHMESTGSHYVEDTSAVECGKKDMEDVLQNCLKQAKMSAQQWRNAQESLLSLEKRNVDSVDSIVSGGMEANRIFRDQFSSAVSTALEDVDTANNSCLTSIDHSLQLDRDACGNLNSMISPCCDDLRGLKSGHYDKIVEITENASQCLEDEYMVDKPSCSTPRKRSFNLASVSSIDELRTPPFDELLKLFWEAKSAKLANGDVKHMLAAYEAAQALKDSRVPLTAIN >KJB56015 pep chromosome:Graimondii2_0_v6:9:7450816:7457391:1 gene:B456_009G103300 transcript:KJB56015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQHQRRGGGFVSLSPSQTPRSNDKPVRDLRSGDSNSSSKHDKDKGVNVQVILRCRPLSAEETRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFELAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQSAEYSMKVTFLELYNEEITDLLAPEETTKFVDDKSKKPIALMEDGKGGVFVRGLEEELVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATVSPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLRQEVYAAREKNGIYIPRDRYLHDEAEKKAMAEKIERMELVSESKEKQITELQELYNSQQMLTADLSEKLGKTEKKLEETENALFDLEDKHRQANATIKEKEFLISNLLKSEKALVERAFELREELENAASDVSDLFAKIERKDKIEDGNKALIQKFQSQLTQQLEILHKTVAASVTQQEQQLKDMEEDMQSFVSTKSEAMEELQGRLGKLKSTYGSGIKALDSIALKLDGNSKSTFGDLNSEVSKHSHDLEDLFKGIASEADALLNDLQSSLYKQEEKLTAFAQQQREAHSRAVDNARAISKIAVNFFATLDMHASKLTKIVEEAQAVNDNKLSEFEKQFEECAAYEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENASSKTSDLQKEMSTMQESTSVVKTEWNVHMESTGSHYVEDTSAVECGKKDMEDVLQNCLKQAKMSAQQWRNAQESLLSLEKRNVDSVDSIVSGGMEANRIFRDQFSSAVSTALEDVDTANNSCLTSIDHSLQLDRDACGNLNSMISPCCDDLRGLKSGHYDKIVEITENASQCLEDEYMVDKPSCSTPRKRSFNLASVSSIDELRTPPFDELLKLFWEAKSAKLANGDVKHMLAAYEAAQALKDSRVPLTAIN >KJB56012 pep chromosome:Graimondii2_0_v6:9:7450671:7457391:1 gene:B456_009G103300 transcript:KJB56012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQHQRRGGGFVSLSPSQTPRSNDKPVRDLRSGDSNSSSKHDKDKGVNVQVILRCRPLSAEETRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFELAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQSAEYSMKVTFLELYNEEITDLLAPEETTKFVDDKSKKPIALMEDGKGGVFVRGLEEELVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATVSPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLRQEVYAAREKNGIYIPRDRYLHDEAEKKAMAEKIERMELVSESKEKQITELQELYNSQQMLTADLSEKLGKTEKKLEETENALFDLEDKHRQANATIKEKEFLISNLLKSEKALVERAFELREELENAASDVSDLFAKIERKDKIEDGNKALIQKFQSQLTQQLEILHKTVAASVTQQEQQLKDMEEDMQSFVSTKSEAMEELQGRLGKLKSTYGSGIKALDSIALKLDGNSKSTFGDLNSEVSKHSHDLEDLFKGIASEADALLNDLQSSLYKQEEKLTAFAQQQREAHSRAVDNARAISKIAVNFFATLDMHASKLTKIVEEAQAVNDNKLSEFEKQFEECAAYEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENASSKTSDLQKEMSTMQESTSVVKTEWNVHMESTGSHYVEDTSAVECGKKDMEDVLQNCLKQAKMSAQQWRNAQESLLSLEKRNVDSVDSIVSGGMEANRIFRDQFSSAVSTALEDVDTANNSCLTSIDHSLQLDRDACGNLNSMISPCCDDLRGLKSGHYDKIVEITENASQCLEDEYMVDKPSCSTPRKRSFNLASVSSIDELRTPPFDELLKLFWEAKSAKLANGDVKHMLAAYEAAQALKDSRVPLTAIN >KJB56008 pep chromosome:Graimondii2_0_v6:9:7450860:7457391:1 gene:B456_009G103300 transcript:KJB56008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQHQRRGGGFVSLSPSQTPRSNDKPVRDLRSGDSNSSSKHDKDKGVNVQVILRCRPLSAEETRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFELAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQSAEYSMKVTFLELYNEEITDLLAPEETTKFVDDKSKKPIALMEDGKGGVFVRGLEEELVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATVSPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLRQEVYAAREKNGIYIPRDRYLHDEAEKKAMAEKIERMELVSESKEKQITELQELYNSQQMLTADLSEKLGKTEKKLEETENALFDLEDKHRQANATIKEKEFLISNLLKSEKALVERAFELREELENAASDVSDLFAKIERKDKIEDGNKALIQKFQSQLTQQLEILHKTVAASVTQQEQQLKDMEEDMQSFVSTKSEAMEELQGRLGKLKSTYGSGIKALDSIALKLDGNSKSTFGDLNSEVSKHSHDLEDLFKGIASEADALLNDLQSSLYKQEEKLTAFAQQQREAHSRAVDNARAISKIAVNFFATLDMHASKLTKIVEEAQAVNDNKLSEFEKQFEECAAYEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENASSKTSDLQKEMSTMQESTSVVKTEWNVHMESTGSHYVEDTSAVECGKKDMEDVLQNCLKQAKMSAQQWRNAQESLLSLEKRNVDSVDSIVSGGMEANRIFRDQFSSAVSTALEDVDTANNSCLTSIDHSLQLDRDACGNLNSMISPCCDDLRGLKSGHYDKIVEITENASQCLEDEYMVDKPSCSTPRKRSFNLASVSSIDELRTPPFDELLKLFWEAKSAKLANGDVKHMLAAYEAAQALKDSRVPLTAIN >KJB56014 pep chromosome:Graimondii2_0_v6:9:7450860:7457391:1 gene:B456_009G103300 transcript:KJB56014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQHQRRGGGFVSLSPSQTPRSNDKPVRDLRSGDSNSSSKHDKDKGVNVQVILRCRPLSAEETRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFELAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQSAEYSMKVTFLELYNEEITDLLAPEETTKFVDDKSKKPIALMEDGKGGVFVRGLEEELVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATVSPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLRQGKVYAAREKNGIYIPRDRYLHDEAEKKAMAEKIERMELVSESKEKQITELQELYNSQQMLTADLSEKLGKTEKKLEETENALFDLEDKHRQANATIKEKEFLISNLLKSEKALVERAFELREELENAASDVSDLFAKIERKDKIEDGNKALIQKFQSQLTQQLEILHKTVAASVTQQEQQLKDMEEDMQSFVSTKSEAMEELQGRLGKLKSTYGSGIKALDSIALKLDGNSKSTFGDLNSEVSKHSHDLEDLFKGIASEADALLNDLQSSLYKQEEKLTAFAQQQREAHSRAVDNARAISKIAVNFFATLDMHASKLTKIVEEAQAVNDNKLSEFEKQFEECAAYEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENASSKTSDLQKEMSTMQESTSVVKTEWNVHMESTGSHYVEDTSAVECGKKDMEDVLQNCLKQAKMSAQQWRNAQESLLSLEKRNVDSVDSIVSGGMEANRIFRDQFSSAVSTALEDVDTANNSCLTSIDHSLQLDRDACGNLNSMISPCCDDLRGLKSGHYDKIVEITENASQCLEDEYMVDKPSCSTPRKRSFNLASVSSIDELRTPPFDELLKLFWEAKSAKLANGDVKHMLAAYEAAQALKDSRVPLTAIN >KJB60964 pep chromosome:Graimondii2_0_v6:9:34789259:34789766:1 gene:B456_009G333500 transcript:KJB60964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTGKKTRGRQNIEIKMIENEDDRLITFSKRKPFTFGHPSIESIANRFLNGNIHVIDDTHALIEAHRIVRINKLIWLYNEVKRQIDASNKIQKVLAQQITSEIDSNCWELYERYSHFSKLLDLLHISRS >KJB56397 pep chromosome:Graimondii2_0_v6:9:8712120:8715135:1 gene:B456_009G117800 transcript:KJB56397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNHQPPPSAGGSSSSNKNRPRRRADLTLPLPQRDPSLAVPLPLPPSSNSAPPASSNSNALPQQVNFSELDRVNRIGSGTGGTVYKVVHRPSSRPYALKVIYGNHEESVRRQIRREIEILRDVDHPNVVKCHEMYDHNGEIQVLLEFMDGGSLEGILISQEANLSDLARQVLSGLNYLHRRHIVHRDIKPSNLLINSKKVVKIADFGVSRILDQTMDPCNSSVGTIAYMSPERINTDLNHGLYDGYAGDIWSLGVSILEFYLGRFPFAVGRQGDWASLMCAICMSQPPEAPPTASNEFRHFISCCLQRDPARRWSAAQLLQHPFILRGQPHQVAQNLHQLLPPPPPLSS >KJB54513 pep chromosome:Graimondii2_0_v6:9:2727211:2734762:-1 gene:B456_009G037100 transcript:KJB54513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPPTGFLANPAEGDRKSINSELWHACAGPLVSLPPIGSLVVYFPQGHSEQVAASMQKETDFIPSYPNLPSKLICVLHNVTLHADPETDEVYAQMTLQPVNKYDKEALLASDMGLKHSRQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHENAWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDVKSQLLLGLRRANRQQPALSSSVISSDSMHIGILAAAAHAAANFSPFTIFYNPRASPSEFVVPLAKYYKAMYTQVSLGMRFRMMFETEESGVRRYMGTVTGISDLDPVRWKNSQWRNLQVGWDESTAGERPRRVSIWEIEPVVSPFFICPPPFFRPRFPKQPGMPDDDSDVENAFKRAMPWLGDDFGMRGTPSSIFPGLSLVQWMNMQQSNQFPAAQSGFFPSTVSSNPLHNNLSIDDPSKLLNFQAPVLPAPNMQFNKANPNQVNQLPQAPTTWPQQILQTPLNQHQQQQPQQQLQQQQPQQPKQQPQPQSHLLHQQQPQPQPSPKQQQHIHQEQRQQPQQQQPLQQQPTLPPQVINGIVAPNQISNQNLHQPAVYSHLQQQQLLTSNSLSTQTTLSAHMTSYPLTSLPQDTRVQQQMEQQPNLMQRQQQQTQLQQSLSQRTQQQPQILQLSQQGLSEQLQLQLLQKLQQQQQQQSAQQLLSAAGSLLQPPMLQQQQTHQQNQPLQQLPLSQSQVQPLGGNGFSTSMFVQPQQLSVHQSQSQNKQLMAMRSNSGLIDGDAPPCSTLSSTNNCQVSPSNLINRSHHVPSILMTDPVVEPPSTLAQELLSKPDIQIKHEPPTSRGLDQSKYKSSVTDQLEASSSGTSYCLDAGTLQHNASLAFLEGDVQSHSRNNLPFTANIDGLAPETLLTREYDSQKDLQNMLSNYGGNPRDIDTELSTAAISCQSFGVPNIPFKTGCSNDVAINETGVLNGGLWTNQTQRMRTYTKVQKRGSVGRSIDVTRYKGYDELRHDLARMFGIEGQLEDPQSSDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGNLGNVSVPNQACSGTENGNAWRGHYDDTSAVSFNR >KJB54512 pep chromosome:Graimondii2_0_v6:9:2728136:2734154:-1 gene:B456_009G037100 transcript:KJB54512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPPTGFLANPAEGDRKSINSELWHACAGPLVSLPPIGSLVVYFPQGHSEQVAASMQKETDFIPSYPNLPSKLICVLHNVTLHADPETDEVYAQMTLQPVNKYDKEALLASDMGLKHSRQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHENAWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDVKSQLLLGLRRANRQQPALSSSVISSDSMHIGILAAAAHAAANFSPFTIFYNPRASPSEFVVPLAKYYKAMYTQVSLGMRFRMMFETEESGVRRYMGTVTGISDLDPVRWKNSQWRNLQVGWDESTAGERPRRVSIWEIEPVVSPFFICPPPFFRPRFPKQPGMPDDDSDVENAFKRAMPWLGDDFAAQSGFFPSTVSSNPLHNNLSIDDPSKLLNFQAPVLPAPNMQFNKANPNQVNQLPQAPTTWPQQILQTPLNQHQQQQPQQQLQQQQPQQPKQQPQPQSHLLHQQQPQPQPSPKQQQHIHQEQRQQPQQQQPLQQQPTLPPQVINGIVAPNQISNQNLHQPAVYSHLQQQQLLTSNSLSTQTTLSAHMTSYPLTSLPQDTRVQQQMEQQPNLMQRQQQQTQLQQSLSQRTQQQPQILQLSQQGLSEQLQLQLLQKLQQQQQQQSAQQLLSAAGSLLQPPMLQQQQTHQQNQPLQQLPLSQSQVQPLGGNGFSTSMFVQPQQLSVHQSQSQNKQLMAMRSNSGLIDGDAPPCSTLSSTNNCQVSPSNLINRSHHVPSILMTDPVVEPPSTLAQELLSKPDIQIKHEPPTSRGLDQSKYKSSVTDQLEASSSGTSYCLDAGTLQHNASLAFLEGDVQSHSRNNLPFTANIDGLAPETLLTREYDSQKDLQNMLSNYGGNPRDIDTELSTAAISCQSFGVPNIPFKTGCSNDVAINETGVLNGGLWTNQTQRMRTYTKVQKRGSVGRSIDVTRYKGYDELRHDLARMFGIEGQLEDPQSSDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGNLGNVSVPNQACSGTENGNAWRGHYDDTSAVSFNR >KJB54511 pep chromosome:Graimondii2_0_v6:9:2727211:2734154:-1 gene:B456_009G037100 transcript:KJB54511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPPTGFLANPAEGDRKSINSELWHACAGPLVSLPPIGSLVVYFPQGHSEQVAASMQKETDFIPSYPNLPSKLICVLHNVTLHADPETDEVYAQMTLQPVNKYDKEALLASDMGLKHSRQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHENAWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDVKSQLLLGLRRANRQQPALSSSVISSDSMHIGILAAAAHAAANFSPFTIFYNPRASPSEFVVPLAKYYKAMYTQVSLGMRFRMMFETEESGVRRYMGTVTGISDLDPVRWKNSQWRNLQVGWDESTAGERPRRVSIWEIEPVVSPFFICPPPFFRPRFPKQPGMPDDDSDVENAFKRAMPWLGDDFGFFPSTVSSNPLHNNLSIDDPSKLLNFQAPVLPAPNMQFNKANPNQVNQLPQAPTTWPQQILQTPLNQHQQQQPQQQLQQQQPQQPKQQPQPQSHLLHQQQPQPQPSPKQQQHIHQEQRQQPQQQQPLQQQPTLPPQVINGIVAPNQISNQNLHQPAVYSHLQQQQLLTSNSLSTQTTLSAHMTSYPLTSLPQDTRVQQQMEQQPNLMQRQQQQTQLQQSLSQRTQQQPQILQLSQQGLSEQLQLQLLQKLQQQQQQQSAQQLLSAAGSLLQPPMLQQQQTHQQNQPLQQLPLSQSQVQPLGGNGFSTSMFVQPQQLSVHQSQSQNKQLMAMRSNSGLIDGDAPPCSTLSSTNNCQVSPSNLINRSHHVPSILMTDPVVEPPSTLAQELLSKPDIQIKHEPPTSRGLDQSKYKSSVTDQLEASSSGTSYCLDAGTLQHNASLAFLEGDVQSHSRNNLPFTANIDGLAPETLLTREYDSQKDLQNMLSNYGGNPRDIDTELSTAAISCQSFGVPNIPFKTGCSNDVAINETGVLNGGLWTNQTQRMRTYTKVQKRGSVGRSIDVTRYKGYDELRHDLARMFGIEGQLEDPQSSDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGNLGNVSVPNQACSGTENGNAWRGHYDDTSAVSFNR >KJB56731 pep chromosome:Graimondii2_0_v6:9:10441942:10442813:-1 gene:B456_009G138200 transcript:KJB56731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQHAITLTQQLEYFKEYQAKLAKVAGSTKSASITKDALYVLSAGSGDFLQNYYVNPLLNHAYTPDQYGSFLIDTFTNFVKNLYGLGARKIGVTSLPPLGCVPLARALFGYHEKGCISRFNTDAQQFNKKLNAAAANLQKQHPGLKIVVFDIFKALYDIVKSPSNYGFVEATKGCCGTGTVETTAFLCNPKAPGTCSNASQYVFWDSVHPSQAANQVLADALIVQGIALI >KJB56729 pep chromosome:Graimondii2_0_v6:9:10441591:10443428:-1 gene:B456_009G138200 transcript:KJB56729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYCSRRVIMFLLFAFAAISRGYGQESTTLVPAIITFGDSVVDVGNNDYLPTIFKANYPPYGRDFANKKPTGRFCNGKLATDITAETLGFTTYPPAYLSPEASGKNLLLGANFASAGSGYDDEASMHAITLTQQLEYFKEYQAKLAKVAGSTKSASITKDALYVLSAGSGDFLQNYYVNPLLNHAYTPDQYGSFLIDTFTNFVKNLYGLGARKIGVTSLPPLGCVPLARALFGYHEKGCISRFNTDAQQFNKKLNAAAANLQKQHPGLKIVVFDIFKALYDIVKSPSNYGFVEATKGCCGTGTVETTAFLCNPKAPGTCSNASQYVFWDSVHPSQAANQVLADALIVQGIALI >KJB56734 pep chromosome:Graimondii2_0_v6:9:10441677:10443428:-1 gene:B456_009G138200 transcript:KJB56734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYCSRRVIMFLLFAFAAISRGYGQESTTLVPAIITFGDSVVDVGNNDYLPTIFKANYPPYGRDFANKKPTGRFCNGKLATDITAETLGFTTYPPAYLSPEASGKNLLLGANFASAGSGYDDEASMVNHAITLTQQLEYFKEYQAKLAKVAGSTKSASITKDALYVLSAGSGDFLQNYYVNPLLNHAYTPDQYGSFLIDTFTNFVKNLYGLGARKIGVTSLPPLGCVPLARALFGYHEKGCISRFNTDAQQFNKKLNAAAANLQKQHPGLKIVVFDIFKALYDIVKSPSNYGMFC >KJB56728 pep chromosome:Graimondii2_0_v6:9:10441591:10443428:-1 gene:B456_009G138200 transcript:KJB56728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYCSRRVIMFLLFAFAAISRGYGQESTTLVPAIITFGDSVVDVGNNDYLPTIFKANYPPYGRDFANKKPTGRFCNGKLATDITAYLSPEASGKNLLLGANFASAGSGYDDEASMVNHAITLTQQLEYFKEYQAKLAKVAGSTKSASITKDALYVLSAGSGDFLQNYYVNPLLNHAYTPDQYGSFLIDTFTNFVKNLYGLGARKIGVTSLPPLGCVPLARALFGYHEKGCISRFNTDAQQFNKKLNAAAANLQKQHPGLKIVVFDIFKALYDIVKSPSNYGFVEATKGCCGTGTVETTAFLCNPKAPGTCSNASQYVFWDSVHPSQAANQVLADALIVQGIALI >KJB56735 pep chromosome:Graimondii2_0_v6:9:10441591:10443428:-1 gene:B456_009G138200 transcript:KJB56735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYCSRRVIMFLLFAFAAISRGYGQESTTLVPAIITFGDSVVDVGNNDYLPTIFKANYPPYGRDFANKKPTGRFCNGKLATDITAETLGFTTYPPAYLSPEASGKNLLLGANFASAGSGYDDEASMVNHAITLTQQLEYFKEYQAKLAKVAGSTKSASITKDALYVLSAGSGDFLQNYYVNPLLNHAYTPDQYGSFLIDTFTNFVKNLYGLGARKIGVTSLPPLGCVPLARALFGYHEKGCISRFNTDAQQFNKKLNAAAANLQKQHPGLKIVVFDIFKALYDIVKSPSNYGFVEATKGCCGTGTVETTAFLCNPKAPGTCSNASQYVFWDSVHPSQAANQVLADALIVQGIALI >KJB56730 pep chromosome:Graimondii2_0_v6:9:10441591:10443397:-1 gene:B456_009G138200 transcript:KJB56730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNHAITLTQQLEYFKEYQAKLAKVAGSTKSASITKDALYVLSAGSGDFLQNYYVNPLLNHAYTPDQYGSFLIDTFTNFVKNLYGLGARKIGVTSLPPLGCVPLARALFGYHEKGCISRFNTDAQQFNKKLNAAAANLQKQHPGLKIVVFDIFKALYDIVKSPSNYGFVEATKGCCGTGTVETTAFLCNPKAPGTCSNASQYVFWDSVHPSQAANQVLADALIVQGIALI >KJB56736 pep chromosome:Graimondii2_0_v6:9:10442186:10443370:-1 gene:B456_009G138200 transcript:KJB56736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYCSRRVIMFLLFAFAAISRGYGQESTTLVPAIITFGDSVVDVGNNDYLPTIFKANYPPYGRDFANKKPTGRFCNGKLATDITAETLGFTTYPPAYLSPEASGKNLLLGANFASAGSGYDDEASMVNHAITLTQQLEYFKEYQAKLAKVAGSTKSASITKDALYVLSAGSGDFLQNYYVNPLLNHAYTPDQYGSFLIDTFTNFVKNLYGLGARKIGVTSLPPLGCVPLARALFGYHEKGCISRFNTDAQQFNKKLNAAAANLQKQHPGLKIVVFDIFKALYDIVKSPSNYGMYWRKRV >KJB56733 pep chromosome:Graimondii2_0_v6:9:10441591:10443477:-1 gene:B456_009G138200 transcript:KJB56733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYCSRRVIMFLLFAFAAISRGYGQESTTLVPAIITFGDSVVDVGNNDYLPTIFKANYPPYGRDFANKKPTGRFCNGKLATDITAETLGFTTYPPAYLSPEASGKNLLLGANFASAGSGYDDEASMVNHAITLTQQLEYFKEYQAKLAKVAGSTKSASITKDALYVLSAGSGDFLQNYYVNPLLNHAYTPDQYGSFLIDTFTNFVKNLYGLGARKIGVTSLPPLGCVPLARALFGYHEKGCISRFNTDAQQFNKKLNAAAANLQKQHPGLKIVVFDIFKALYDIVKSPSNYGFVEATKGCCGTGTVETTAFLCNPKAPGTCSNASQYEFETMFVEERAILFKYKFISYLYKIFDHFNNFNLSF >KJB56732 pep chromosome:Graimondii2_0_v6:9:10442475:10443370:-1 gene:B456_009G138200 transcript:KJB56732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYCSRRVIMFLLFAFAAISRGYGQESTTLVPAIITFGDSVVDVGNNDYLPTIFKANYPPYGRDFANKKPTGRFCNGKLATDITAETLGFTTYPPAYLSPEASGKNLLLGANFASAGSGYDDEASMVNHAITLTQQLEYFKEYQAKLAKVAGSTKSASITKDALYVLSAGSGDFLQNYYVNPLLNHAYTPDQYGSFLIDTFTNFVKVYISLLLSGKSPVCGHIEQMNLLLNIGFHD >KJB58941 pep chromosome:Graimondii2_0_v6:9:18228910:18239071:1 gene:B456_009G231600 transcript:KJB58941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWPFHDGNVHNLLVNRRIDFGHDVGHSLQMHSSLIRRLSMEQELEGHQGCVNAVAWNSNGSLLISGSDDALINIWSYSGRKLLHSIETGHSANIFCTKFIPETSDELVASGAGDAEVRLFNLSRLNGRGFNDGAITPSALYQCHTRRVKKLAFEVGNPNMVWSASEDGTLRQHDFREGTSCPPAGSSHQECRNVLLDLRYGAKRSLADPPRLTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLPPLTSCRKRMLPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYSGEHVYLMDVNHASGSSMQYTSGDASKLMTFTPVLNKLEHRPPLSGVFQNGLRSRGKTAAGIEKCRMLVEIAKRSLDNGTNIFHAIEACNEVLDGHGIDIGPTLRLECFCTRAALWLKRKWKNDAHMAIRDCHNARRIDSSSFRAHYCMAEALEQLGKHKEALDFAVAAQYLSPYDTMAAERVENIKKHLAAAEAEKSNKASDGSHKGEPRTGRVLSLSDILYRSEANSDALQDGPRSEREDSDFNEELELDFETLISGDEGRDVESNIFHGSLNLRIHRRGDSTRETGACGSPSSSSQNGVAYQPEAVIDMKRRYAGHCNVGTDIKQASFLGQRGEFVASGSDDGRWFIWEKQTGKLIKMLLGDGVVVNCVQSHPFDCFVATSGIDNTIKLWTPSAAVPSMAAGGSAGPEAANVLEAMESNQQKLCCNREAFLPFELLERFRMHDFSEGSLQPFECAQT >KJB58938 pep chromosome:Graimondii2_0_v6:9:18228910:18237811:1 gene:B456_009G231600 transcript:KJB58938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWPFHDGNVHNLLVNRRIDFGHDVGHSLQMHSSLIRRLSMEQELEGHQGCVNAVAWNSNGSLLISGSDDALINIWSYSGRKLLHSIETGHSANIFCTKFIPETSDELVASGAGDAEVRLFNLSRLNGRGFNDGAITPSALYQCHTRRVKKLAFEVGNPNMVWSASEDGTLRQHDFREGTSCPPAGSSHQECRNVLLDLRYGAKRSLADPPRLTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLPPLTSCRKRMLPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYSGEHVYLMDVNHASGSSMQYTSGDASKLMTFTPVLNKLEHRPPLSGVFQNGLRSRGKTAAGIEKCRMLVEIAKRSLDNGTNIFHAIEACNEVLDGHGIDIGPTLRLECFCTRAALWLKRKWKNDAHMAIRDCHNARRIDSSSFRAHYCMAEALEQLGKHKEALDFAVAAQYLSPYDTMAAERVENIKKHLAAAEAEKSNKASDGSHKGEPRTGRVLSLSDILYRSEANSDALQDGPRSEREDSDFNEELELDFETLISGDEGRDVESNIFHGSLNLRIHRRGDSTRETGACGSPSSSSQNGVAYQPEAVIDMKRRYAGHCNVGTDIKQASFLGQRGEFVASGSDDGRWFIWEKQTGKLIKMLLGDGVVVNCVQSHPFDCFVATSGIDNTIKLWTPSAAVPSMAAGGSAGPEAANVLEAMESNQQKLCCNREAFLALSSELTRGSLGSIRQA >KJB58936 pep chromosome:Graimondii2_0_v6:9:18228910:18235924:1 gene:B456_009G231600 transcript:KJB58936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWPFHDGNVHNLLVNRRIDFGHDVGHSLQMHSSLIRRLSMEQELEGHQGCVNAVAWNSNGSLLISGSDDALINIWSYSGRKLLHSIETGHSANIFCTKFIPETSDELVASGAGDAEVRLFNLSRLNGRGFNDGAITPSALYQCHTRRVKKLAFEVGNPNMVWSASEDGTLRQHDFREGTSCPPAGSSHQECRNVLLDLRYGAKRSLADPPRLTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLPPLTSCRKRMLPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYSGEHVYLMDVNHASGSSMQYTSGDASKLMTFTPVLNKLEHRPPLSGVFQNGLRSRGKTAAGIEKCRMLVEIAKRSLDNGTNIFHAIEACNEVLDGHGIDIGPTLRLECFCTRAALWLKRKWKNDAHMAIRDCHNARRIDSSSFRAHYCMAEALEQLGKHKEALDFAVAAQYLSPYDTMAAERVENIKKHLAAAEAEKSNKASDGSHKGEPRTGRVLSLSDILYRSEANSDALQDGPRSEREDSDFNEELELDFETLISGDEGRDVESNIFHGSLNLRIHRRGDSTRETGACGSPSSSSQNGVAYQVHILIFGMDCKWFKFPVI >KJB58939 pep chromosome:Graimondii2_0_v6:9:18228910:18239127:1 gene:B456_009G231600 transcript:KJB58939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWPFHDGNVHNLLVNRRIDFGHDVGHSLQMHSSLIRRLSMEQELEGHQGCVNAVAWNSNGSLLISGSDDALINIWSYSGRKLLHSIETGHSANIFCTKFIPETSDELVASGAGDAEVRLFNLSRLNGRGFNDGAITPSALYQCHTRRVKKLAFEVGNPNMVWSASEDGTLRQHDFREGTSCPPAGSSHQECRNVLLDLRYGAKRSLADPPRLTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLPPLTSCRKRMLPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYSGEHVYLMDVNHASGSSMQYTSGDASKLMTFTPVLNKLEHRPPLSGVFQNGLRSRGKTAAGIEKCRMLVEIAKRSLDNGTNIFHAIEACNEVLDGHGIDIGPTLRLECFCTRAALWLKRKWKNDAHMAIRDCHNARRIDSSSFRAHYCMAEALEQLGKHKEALDFAVAAQYLSPYDTMAAERVENIKKHLAAAEAEKSNKASDGSHKGEPRTGRVLSLSDILYRSEANSDALQDGPRSEREDSDFNEELELDFETLISGDEGRDVESNIFHGSLNLRIHRRGDSTRETGACGSPSSSSQNGVAYQPEAVIDMKRRYAGHCNVGTDIKQASFLGQRGEFVASGSDDGRWFIWEKQTGKLIKMLLGDGVVVNCVQSHPFDCFVATSGIDNTIKLWTPSAAVPSMAAGGSAGPEAANVLEAMESNQQKLCCNREAFLPFELLERFRMHDFSEGSLQPFECAQT >KJB58937 pep chromosome:Graimondii2_0_v6:9:18228910:18237126:1 gene:B456_009G231600 transcript:KJB58937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWPFHDGNVHNLLVNRRIDFGHDVGHSLQMHSSLIRRLSMEQELEGHQGCVNAVAWNSNGSLLISGSDDALINIWSYSGRKLLHSIETGHSANIFCTKFIPETSDELVASGAGDAEVRLFNLSRLNGRGFNDGAITPSALYQCHTRRVKKLAFEVGNPNMVWSASEDGTLRQHDFREGTSCPPAGSSHQECRNVLLDLRYGAKRSLADPPRLTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLPPLTSCRKRMLPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYSGEHVYLMDVNHASGSSMQYTSGDASKLMTFTPVLNKLEHRPPLSGVFQNGLRSRGKTAAGIEKCRMLVEIAKRSLDNGTNIFHAIEACNEVLDGHGIDIGPTLRLECFCTRAALWLKRKWKNDAHMAIRDCHNARRIDSSSFRAHYCMAEALEQLGKHKEALDFAVAAQYLSPYDTMAAERVENIKKHLAAAEAEKSNKASDGSHKGEPRTGRVLSLSDILYRSEANSDALQDGPRSEREDSDFNEELELDFETLISGDEGRDVESNIFHGSLNLRIHRRGDSTRETGACGSPSSSSQNGVAYQPEAVIDMKRRYAGHCNVGTDIKQASFLGQRGEFVASGSDDGRWFIWEKQTGKLIKMLLGDGVVVNCVQSHPFDCFVATSGIDNTIKVNIKYYGSSVICNFQDCFSNFSCPIM >KJB58940 pep chromosome:Graimondii2_0_v6:9:18228794:18239361:1 gene:B456_009G231600 transcript:KJB58940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWPFHDGNVHNLLVNRRIDFGHDVGHSLQMHSSLIRRLSMEQELEGHQGCVNAVAWNSNGSLLISGSDDALINIWSYSGRKLLHSIETGHSANIFCTKFIPETSDELVASGAGDAEVRLFNLSRLNGRGFNDGAITPSALYQCHTRRVKKLAFEVGNPNMVWSASEDGTLRQHDFREGTSCPPAGSSHQECRNVLLDLRYGAKRSLADPPRLTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLPPLTSCRKRMLPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYSGEHVYLMDVNHASGSSMQYTSGDASKLMTFTPVLNKLEHRPPLSGVFQNGLRSRGKTAAGIEKCRMLVEIAKRSLDNGTNIFHAIEACNEVLDGHGIDIGPTLRLECFCTRAALWLKRKWKNDAHMAIRDCHNARRIDSSSFRAHYCMAEALEQLGKHKEALDFAVAAQYLSPYDTMAAERVENIKKHLAAAEAEKSNKASDGSHKGEPRTGRVLSLSDILYRSEANSDALQDGPRSEREDSDFNEELELDFETLISGDEGRDVESNIFHGSLNLRIHRRGDSTRETGACGSPSSSSQNGVAYQPEAVIDMKRRYAGHCNVGTDIKQASFLGQRGEFVASGSDDGRWFIWEKQTGKLIKMLLGDGVVVNCVQSHPFDCFVATSGIDNTIKLWTPSAAVPSMAAGGSAGPEAANVLEAMESNQQKLCCNREAFLPFELLERFRMHDFSEGSLQPFECAQT >KJB58935 pep chromosome:Graimondii2_0_v6:9:18228914:18239071:1 gene:B456_009G231600 transcript:KJB58935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWPFHDGNVHNLLVNRRIDFGHDVGHSLQMHSSLIRRLSMEQELEGHQGCVNAVAWNSNGSLLISGSDDALINIWSYSGRKLLHSIETGHSANIFCTKFIPETSDELVASGAGDAEVRLFNLSRLNGRGFNDGAITPSALYQCHTRRVKKLAFEVGNPNMVWSASEDGTLRQHDFREGTSCPPAGSSHQECRNVLLDLRYGAKRSLADPPRLTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLPPLTSCRKRMLPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYSGEHVYLMDVNHASGSSMQYTSGDASKLMTFTPVLNKLEHRPPLSGVFQNGLRSRGKTAAGIEKCRMLVEIAKRSLDNGTNIFHAIEACNEVLDGHGIDIGPTLRLECFCTRAALWLKRKWKNDAHMAIRDCHNARRIDSSSFRAHYCMAEALEQLGKHKEALDFAVAAQYLSPYDTMAAERVENIKKHLAAAEAEKSNKASDGSHKGEPRTGRVLSLSDILYRSEANSDALQDGPRSEREDSDFNEELELDFETLISGDEGRDVESNIFHGSLNLRIHRRGDSTRETGACGSPSSSSQNGVAYQPEAVIDMKRRYAGHCNVGTDIKQASFLGQRGEFVASGSDDGRWFIWEKQTGKLIKMLLGDGVVVNCVQSHPFDCFVATSGIDNTIKLWTPSAAVPSMAAGGSAGPEAANVLEAMESNQQKLCCNREAFLPFELLERFRMHDFSEGSLQPFECAQT >KJB55221 pep chromosome:Graimondii2_0_v6:9:4910029:4914844:-1 gene:B456_009G069200 transcript:KJB55221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRLLIFSLFLALVFTKIGADASTEPLLESDAADSSALKMELDQLKSKIRLLESNVVEKIRELKTKDEMIKLKDKIIEEKSDSMASLHSELSSLQKKGTSDAEERVGKAHAKALELQKQVDKLKTELEAKLKDKDYLESRATKAENKLNELNLQLENLQKINKEQENKLAKTERALKIAEEELIKAKFEATSKANELMEVHSAWLPPWLATQLIRGQAFIQTHWNQHGKPNMDMVIQKALERKALAKKWAEPHMEAIKTKWVPAAKDQWVAVTTYAEPHVQSLTAKTVEIYEVSKATITPHIIKVQETVDPYFQFSKPYIDQVATLAKPHVDKISVVMKPYTKEVVKAYGRFLESATTYHQQVQASVQETLKKHELTRPLATKELEWFSASALLALPIIILFRIFSAIFCKKTKKRVRHTNAVHHGRRKGKRGHTDK >KJB55220 pep chromosome:Graimondii2_0_v6:9:4910927:4914636:-1 gene:B456_009G069200 transcript:KJB55220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRLLIFSLFLALVFTKIGADASTEPLLESDAADSSALKMELDQLKSKIRLLESNVVEKIRELKTKDEMIKLKDKIIEEKSDSMASLHSELSSLQKKGTSDAEERVGKAHAKALELQKQVDKLKTELEAKLKDKDYLESRATKAENKLNELNLQLENLQKINKEQENKLAKTERALKIAEEELIKAKFEATSKANELMEVHSAWLPPWLATQLIRGQAFIQTHWNQHGKPNMDMVIQKALERKALAKKWAEPHMEAIKTKWVPAAKDQWVAVTTYAEPHVQSLTAKTVEIYEVSKATITPHIIKVQETVDPYFQEAKKFSKPYIDQVATLAKPHVDKISVVMKPYTKEVVKAYGRFLESATTYHQQVQASVQETLKKHELTRPLATKELEWFSASALLALPIIILFRIFSAIFWSQKDKEACSTY >KJB55223 pep chromosome:Graimondii2_0_v6:9:4911339:4914844:-1 gene:B456_009G069200 transcript:KJB55223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRLLIFSLFLALVFTKIGADASTEPLLESDAADSSALKMELDQLKSKIRLLESNVVEKIRELKTKDEMIKLKDKIIEEKSDSMASLHSELSSLQKKGTSDAEERVGKAHAKALELQKQVDKLKTELEAKLKDKDYLESRATKAENKLNELNLQLENLQKINKEQENKLAKTERALKIAEEELIKAKFEATSKANELMEVHSAWLPPWLATQLIRGQAFIQTHWNQHGKPNMDMVIQKALERKALAKKWAEPHMEAIKTKWVPAAKDQWVAVTTYAEPHVQSLTAKTVEIYEVSKATITPHIIKVQETVDPYFQEAKKFSKPYIDQVATLAKPHVDKISVVMKPYTKEVVKAYGRFLESATTYHQQVHCEKQGVIWQRD >KJB55217 pep chromosome:Graimondii2_0_v6:9:4910029:4914844:-1 gene:B456_009G069200 transcript:KJB55217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRLLIFSLFLALVFTKIGADASTEPLLESDAADSSALKMELDQLKSKIRLLESNVVEKIRELKTKDEMIKLKDKIIEEKSDSMASLHSELSSLQKKGTSDAEERVGKAHAKALELQKQVDKLKTELEAKLKDKDYLESRATKAENKLNELNLQLENLQKINKEQENKLAKTERALKIAEEELIKAKFEATSKANELMEVHSAWLPPWLATQLIRGQAFIQTHWNQHGKPNMDMVIQKALERKALAKKWAEPHMEAIKTKWVPAAKDQWVAVTTYAEPHVQSLTAKTVEIYEVSKATITPHIIKVQETVDPYFQEAKKFSKPYIDQVATLAKPHVDKISVVMKPYTKEVVKAYGRFLESATTYHQQVQASVQETLKKHELTRPLATKELEWFSASALLALPIIILFRIFSAIFCKKTKKRVRHTNAVHHGRRKGKRGHTDK >KJB55219 pep chromosome:Graimondii2_0_v6:9:4910927:4914636:-1 gene:B456_009G069200 transcript:KJB55219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRLLIFSLFLALVFTKIGADASTEPLLESDAADSSALKMELDQLKSKIRLLESNVVEKIRELKTKDEMIKLKDKIIEEKSDSMASLHSELSSLQKKGTSDAEERVGKAHAKALELQKQVDKLKTELEAKLKDKDYLESRATKAENKLNELNLQLENLQKINKEQENKLAKTERALKIAEEELIKAKFEATSKANELMEVHSAWLPPWLATQLIRGQAFIQTHWNQHGKPNMDMVIQKALERKALAKKWAEPHMEAIKTKWVPAAKDQWVAVTTYAEPHVQSLTAKTVEIYEVSKATITPHIIKVQETVDPYFQEAKKFSKPYIDQVATLAKPHVDKISVVMKPYTKEVVKAYGRFLESATTYHQQVQASVQETLKKHELTRPLATKELEWFSVGICSIGSTYYYFVQNIFSNFLQKDKEACSTY >KJB55218 pep chromosome:Graimondii2_0_v6:9:4910029:4914844:-1 gene:B456_009G069200 transcript:KJB55218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRLLIFSLFLALVFTKIGADASTEPLLESDAADSSALKMELDQLKSKIRLLESNVVEKIRELKTKDEMIKLKDKIIEEKSDSMASLHSELSSLQKKGTSDAEERVGKAHAKALELQKQVDKLKTELEAKLKDKDYLESRATKAENKLNELNLQLENLQKINKEQENKLAKTERALKIAEEELIKAKFEATSKANELMEVHSAWLPPWLATQLIRGQAFIQTHWNQHGKPNMDMVIQKALERKALAKKWAEPHMEAIKTKWVPAAKDQWVAVTTYAEPHVQSLTAKTVEIYEVSKATITPHIIKVQETVDPYFQEAKKFSKPYIDQVATLAKPHVDKISVVMKPYTKEVVKAYGRFLESATTYHQQVQASVQETLKKHELTRPLATKELEWFSASALLALPIIILFRIFSAIFCKKTKKRVRHTNAVHHGRRKGKRGHTDK >KJB55222 pep chromosome:Graimondii2_0_v6:9:4910016:4914927:-1 gene:B456_009G069200 transcript:KJB55222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRLLIFSLFLALVFTKIGADASTEPLLESDAADSSALKMELDQLKSKIRLLESNVVEKIRELKTKDEMIKLKDKIIEEKSDSMASLHSELSSLQKKGTSDAEERVGKAHAKALELQKQVDKLKTELEAKLKDKDYLESRATKAENKLNELNLQLENLQKINKEQENKLAKTERALKIAEEELIKAKFEATSKANELMEVHSAWLPPWLATQLIRGQAFIQTHWNQHGKPNMDMVIQKALERKALAKKWAEPHMEAIKTKWVPAAKDQWVAVTTYAEPHVQSLTAKTVEIYEVSKATITPHIIKVQETVDPYFQEAKKFSKPYIDQVATLAKPHVDKISVVMKPYTKEVVKAYGRFLESATTYHQQVQASVQETLKKHELTRPLATKELEWFSASALLALPIIILFRIFSAIFCKKTKKRVRHTNAVHHGRRKGKRGHTDK >KJB55522 pep chromosome:Graimondii2_0_v6:9:5800802:5813618:1 gene:B456_009G080700 transcript:KJB55522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVETNFASLFEKLKVEDPWLPPRTWESIPSQSGPLPPLPDSQPPISSSSSVSEASLVRLALNALQGVESSLCSIEKLSAAFFSDPADRTFHQTPSLWYRSSSTHALGKILKSIGHSGFLVFLLHKFVEYFSNLNPNGNSFSLRKGWENSQAADNQNHGGHRVKDEEGPKYSLVNQAFSVAVGKVLEGYICALDTLYASVNVRRSKSTEVSTGVSSGCLTSVVYSGITLLEVYLHTKDLRTQIEALGNICNLYDLALYFLESSFEELSYKATMGFHKFFRGGDLLSYLYTQLKVADPAHHALLKFLFLRSCEPYCEFIRSWIFKAEINDPYKEFVVEYMDTLQHRSAGKDGIPIDFPVANITERDGVVVPCFLKDLLTPLVRAGQQLQVLMKLLELRKHVDTGDHTYSDFLPCWSGFAGSNPFYASSITFGKENIEALVLMRNSYYERMEEKLENLLTGLEFNCQQVISHRAEPHLFGTSGDTPNIAASLTVDDKLVINSTMKRSFSNVSLDNNDFDDSSTKDGSSHEADIFEPFESSSMSSFEEHTESEQLIEHSNNLVWPKQNYFSALSFSVSTPFGNSLQLALQNGKSDRMESSLQAGTGGHGNFIGCEPNGIYDHLSPHLESNWLCAEVESANILTSKGWPVNSARNNAFCIDGDDRDDKRSLLCDSDIKTRKCNTQFFDKFVQHFNESIAINNTSAVDTSNEGQHEKDSTSGLFQLRQFKLTCNGSLLSKNPVLTNKSFFRMMSKPGDASRIDYQQTLPYFDFSSVNDPCMVYVKRLSSEITHKFLENTSSVTNGRGNQDDKQGYGDVLLVDNSKSSYAVPPLELRNQTQDVISTTVSSSSCWESLLGSFSTPNSSGTIDMKLNTSSTFEIPLDFIIDKCLLQEILLQYNYVSKLTIKILEEGFDLQEHLLALRRYHFMELADWADLFIMSLSHHKWCVTEEDRRLSEIQGLLELSVQRSSCERDPHKDRLFIYAKGHGLLPLSTSTIGVHSFDFLGLGYRVDWPISIILTPGALKIYADIFNFLIQLKLAVFSLTDVWCSLKDVVHLICQKHHPTLHEREVGHFNKLMKLRSKI >KJB55528 pep chromosome:Graimondii2_0_v6:9:5802129:5813618:1 gene:B456_009G080700 transcript:KJB55528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEASLVRLALNALQGVESSLCSIEKLSAAFFSDPADRTFHQTPSLWYRSSSTHALGKILKSIGHSGFLVFLLHKFVEYFSNLNPNGNSFSLRKGWENSQAADNQNHGGHRVKDEEGPKYSLVNQAFSVAVGKVLEGYICALDTLYASVNVRRSKSTEVSTGVSSGCLTSVVYSGITLLEVYLHTKDLRTQIEALGNICNLYDLALYFLESSFEELSYKATMGFHKFFRGGDLLSYLYTQLKVADPAHHALLKFLFLRSCEPYCEFIRSWIFKAEINDPYKEFVVEYMDTLQHRSAGKDGIPIDFPVANITERDGVVVPCFLKDLLTPLVRAGQQLQVLMKLLELRKHVDTGDHTYSDFLPCWSGFAGSNPFYASSITFGKENIEALVLMRNSYYERMEEKLENLLTGLEFNCQQVISHRAEPHLFGTSGDTPNIAASLTVDDKLVINSTMKRSFSNVSLDNNDFDDSSTKDGSSHEADIFEPFESSSMSSFEEHTESEQLIEHSNNLVWPKQNYFSALSFSVSTPFGNSLQLALQNGKSDRMESSLQAGTGGHGNFIGCEPNGIYDHLSPHLESNWLCAEVESANILTSKGWPVNSARNNAFCIDGDDRDDKRSLLCDSDIKTRKCNTQFFDKFVQHFNESIAINNTSAVDTSNEGQHEKDSTSGLFQLRQFKLTCNGSLLSKNPVLTNKSFFRMMSKPGDASRIDYQQTLPYFDFSSVNDPCMVYVKRLSSEITHKFLENTSSVTNGRGNQDDKQGYGDVLLVDNSKSSYAVPPLELRNQTQDVISTTVSSSSCWESLLGSFSTPNSSGTIDMKLNTSSTFEIPLDFIIDKCLLQEILLQYNYVSKLTIKILEEGFDLQEHLLALRRYHFMELADWADLFIMSLSHHKWCVTEEDRRLSEIQGLLELSVQRSSCERDPHKDRLFIYAKGHGLLPLSTSTIGVHSFDFLGLGYRVDWPISIILTPGALKIYADIFNFLIQLKLAVFSLTDVWCSLKDVVHLICQKHHPTLHEREVGHFNKLMKLRSKI >KJB55525 pep chromosome:Graimondii2_0_v6:9:5802210:5813125:1 gene:B456_009G080700 transcript:KJB55525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLWDYNDRCWFVEASLVRLALNALQGVESSLCSIEKLSAAFFSDPADRTFHQTPSLWYRSSSTHALGKILKSIGHSGFLVFLLHKFVEYFSNLNPNGNSFSLRKGWENSQAADNQNHGGHRVKDEEGPKYSLVNQAFSVAVGKVLEGYICALDTLYASVNVRRSKSTEVSTGVSSGCLTSVVYSGITLLEVYLHTKDLRTQIEALGNICNLYDLALYFLESSFEELSYKATMGFHKFFRGGDLLSYLYTQLKVADPAHHALLKFLFLRSCEPYCEFIRSWIFKAEINDPYKEFVVEYMDTLQHRSAGKDGIPIDFPVANITERDGVVVPCFLKDLLTPLVRAGQQLQVLMKLLELRKHVDTGDHTYSDFLPCWSGFAGSNPFYASSITFGKENIEALVLMRNSYYERMEEKLENLLTGLEFNCQQVISHRAEPHLFGTSGDTPNIAASLTVDDKLVINSTMKRSFSNVSLDNNDFDDSSTKDGSSHEADIFEPFESSSMSSFEEHTESEQLIEHSNNLVWPKQNYFSALSFSVSTPFGNSLQLALQNGKSDRMESSLQAGTGGHGNFIGCEPNGIYDHLSPHLESNWLCAEVESANILTSKGWPVNSARNNAFCIDGDDRDDKRSLLCDSDIKTRKCNTQFFDKFVQHFNESIAINNTSAVDTSNEGQHEKDSTSGLFQLRQFKLTCNGSLLSKNPVLTNKSFFRMMSKPGDASRIDYQQTLPYFDFSSVNDPCMVYVKRLSSEITHKFLENTSSVTNGRGNQDDKQGYGDVLLVDNSKSSYAVPPLELRNQTQDVISTTVSSSSCWESLLGSFSTPNSSGTIDMKLNTSSTFEIPLDFIIDKCLLQEILLQYNYVSKLTIKILEEGFDLQEHLLALRRYHFMELADWADLFIMSLSHHKWCVTEEDRRLSEIQGLLELSVQRSSCERDPHKDRLFIYAKGHGLLPLSTSTIGVHSFDFLGLGYRVDWPISIILTPGALKIYADIFNFLIQLKLAVFSLTDVWCSLKDVVHLICQKHHPTLHEREVGHFNKLMKLRHQVNHFVSTLQQYVQSQLSHVSWCKFLHSFKHKVKDMMDLESVHMSYLTDSLHICFLSDETKPIASTIENILQCALDFRSCLTGDIWNVGLAEGDLQDKLSKINISQVLFIKEKFDKNLKELHLLYLKSPKHGESGLSYFWGYLNYNDYYFNENEMSYYAFSI >KJB55526 pep chromosome:Graimondii2_0_v6:9:5800858:5813618:1 gene:B456_009G080700 transcript:KJB55526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVETNFASLFEKLKVEDPWLPPRTWESIPSQSGPLPPLPDSQPPISSSSSVSEASLVRLALNALQGVESSLCSIEKLSAAFFSDPADRTFHQTPSLWYRSSSTHALGKILKSIGHSGFLVFLLHKFVEYFSNLNPNGNSFSLRKGWENSQAADNQNHGGHRVKDEEGPKYSLVNQAFSVAVGKVLEGYICALDTLYASVNVRRSKSTEVSTGVSSGCLTSVVYSGITLLEVYLHTKDLRTQIEALGNICNLYDLALYFLESSFEELSYKATMGFHKFFRGGDLLSYLYTQLKVADPAHHALLKFLFLRSCEPYCEFIRSWIFKAEINDPYKEFVVEYMDTLQHRSAGKDGIPIDFPVANITERDGVVVPCFLKDLLTPLVRAGQQLQVLMKLLELRKHVDTGDHTYSDFLPCWSGFAGSNPFYASSITFGKENIEALVLMRNSYYERMEEKLENLLTGLEFNCQQVISHRAEPHLFGTSGDTPNIAASLTVDDKLVINSTMKRSFSNVSLDNNDFDDSSTKDGSSHEADIFEPFESSSMSSFEEHTESEQLIEHSNNLVWPKQNYFSALSFSVSTPFGNSLQLALQNGKSDRMESSLQAGTGGHGNFIGCEPNGIYDHLSPHLESNWLCAEVESANILTSKGWPVNSARNNAFCIDGDDRDDKRSLLCDSDIKTRKCNTQFFDKFVQHFNESIAINNTSAVDTSNEGQHEKDSTSGLFQLRQFKLTCNGSLLSKNPVLTNKSFFRMMSKPGDASRIDYQQTLPYFDFSSVNDPCMVYVKRLSSEITHKFLENTSSVTNGRGNQDDKQGYGDVLLVDNSKSSYAVPPLELRNQTQDVISTTVSSSSCWESLLGSFSTPNSSGTIDMKLNTSSTFEIPLDFIIDKCLLQEILLHKLTIKILEEGFDLQEHLLALRRYHFMELADWADLFIMSLSHHKWCVTEEDRRLSEIQGLLELSVQRSSCERDPHKDRLFIYAKGHGLLPLSTSTIGVHSFDFLGLGYRVDWPISIILTPGALKIYADIFNFLIQLKLAVFSLTDVWCSLKDVVHLICQKHHPTLHEREVGHFNKLMKLRHQVNHFVSTLQQYVQSQLSHVSWCKFLHSFKHKVKDMMDLESVHMSYLTDSLHICFLSDETKPIASTIENILQCALDFRSCLTGDIWNVGLAEGDLQDKLSKINISQVLFIKEKFDKNLKELHLLYLKSPKHGESGLSYFWGYLNYNDYYFNENEMSYYAFSI >KJB55529 pep chromosome:Graimondii2_0_v6:9:5802129:5813618:1 gene:B456_009G080700 transcript:KJB55529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEASLVRLALNALQGVESSLCSIEKLSAAFFSDPADRTFHQTPSLWYRSSSTHALGKILKSIGHSGFLVFLLHKFVEYFSNLNPNGNSFSLRKGWENSQAADNQNHGGHRVKDEEGPKYSLVNQAFSVAVGKVLEGYICALDTLYASVNVRRSKSTEVSTGVSSGCLTSVVYSGITLLEVYLHTKDLRTQIEALGNICNLYDLALYFLESSFEELSYKATMGFHKFFRGGDLLSYLYTQLKVADPAHHALLKFLFLRSCEPYCEFIRSWIFKAEINDPYKEFVVEYMDTLQHRSAGKDGIPIDFPVANITERDGVVVPCFLKDLLTPLVRAGQQLQVLMKLLELRKHVDTGDHTYSDFLPCWSGFAGSNPFYASSITFGKENIEALVLMRNSYYERMEEKLENLLTGLEFNCQQVISHRAEPHLFGTSGDTPNIAASLTVDDKLVINSTMKRSFSNVSLDNNDFDDSSTKDGSSHEADIFEPFESSSMSSFEEHTESEQLIEHSNNLVWPKQNYFSALSFSVSTPFGNSLQLALQNGKSDRMESSLQAGTGGHGNFIGCEPNGIYDHLSPHLESNWLCAEVESANILTSKGWPVNSARNNAFCIDGDDRDDKRSLLCDSDIKTRKCNTQFFDKFVQHFNESIAINNTSAVDTSNEGQHEKDSTSGLFQLRQFKLTCNGSLLSKNPVLTNKSFFRMMSKPGDASRIDYQQTLPYFDFSSVNDPCMVYVKRLSSEITHKFLENTSSVTNGRGNQDDKQGYGDVLLVDNSKSSYAVPPLELRNQTQDVISTTVSSSSCWESLLGSFSTPNSSGTIDMKLNTSSTFEIPLDFIIDKCLLQEILLQYNYVSKLTIKILEEGFDLQEHLLALRRYHFMELADWADLFIMSLSHHKWCVTEEDRRLSEIQGLLELSVQRSSCERDPHKDRLFIYAKGHGLLPLSTSTIGVHSFDFLGLGYRVDWPISIILTPGALKIYADIFNFLIQLKLAVFSLTDVWCSLKDVVHLICQKHHPTLHEREVGHFNKLMKLRHQVNHFVSTLQQYVQSQLSHVSWCKFLHSFKHKVKDMMDLESVHMSYLTDSLHICFLSDETKPIASTIENILQCALDFRSCLTGDIWNVGLAEGDLQDKLSKINISQVLFIKEKFDKNLKELHLLYLKSPKHGESGLSYFWGYLNYNDYYFNENEMSYYAFSI >KJB55521 pep chromosome:Graimondii2_0_v6:9:5800802:5813618:1 gene:B456_009G080700 transcript:KJB55521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVETNFASLFEKLKVEDPWLPPRTWESIPSQSGPLPPLPDSQPPISSSSSVSEASLVRLALNALQGVESSLCSIEKLSAAFFSDPADRTFHQTPSLWYRSSSTHALGKILKSIGHSGFLVFLLHKFVEYFSNLNPNGNSFSLRKGWENSQAADNQNHGGHRVKDEEGPKYSLVNQAFSVAVGKVLEGYICALDTLYASVNVRRSKSTEVSTGVSSGCLTSVVYSGITLLEVYLHTKDLRTQIEALGNICNLYDLALYFLESSFEELSYKATMGFHKFFRGGDLLSYLYTQLKVADPAHHALLKFLFLRSCEPYCEFIRSWIFKAEINDPYKEFVVEYMDTLQHRSAGKDGIPIDFPVANITERDGVVVPCFLKDLLTPLVRAGQQLQVLMKLLELRKHVDTGDHTYSDFLPCWSGFAGSNPFYASSITFGKENIEALVLMRNSYYERMEEKLENLLTGLEFNCQQVISHRAEPHLFGTSGDTPNIAASLTVDDKLVINSTMKRSFSNVSLDNNDFDDSSTKDGSSHEADIFEPFESSSMSSFEEHTESEQLIEHSNNLVWPKQNYFSALSFSVSTPFGNSLQLALQNGKSDRMESSLQAGTGGHGNFIGCEPNGIYDHLSPHLESNWLCAEVESANILTSKGWPVNSARNNAFCIDGDDRDDKRSLLCDSDIKTRKCNTQFFDKFVQHFNESIAINNTSAVDTSNEGQHEKDSTSGLFQLRQFKLTCNGSLLSKNPVLTNKSFFRMMSKPGDASRIDYQQTLPYFDFSSVNDPCMVYVKRLSSEITHKFLENTSSVTNGRGNQDDKQGYGDVLLVDNSKSSYAVPPLELRNQTQDVISTTVSSSSCWESLLGSFSTPNSSGTIDMKLNTSSTFEIPLDFIIDKCLLQEILLQYNYVSKLTIKILEEGFDLQEHLLALRRYHFMELADWADLFIMSLSHHKWCVTEEDRRLSEIQGLLELSVQRSSCERDPHKDRLFIYAKGHGLLPLSTSTIGVHSFDFLGLGYRVDWPISIILTPGALKIYADIFNFLIQLKLAVFSLTDVWCSLKDVVHLICQKHHPTLHEREVGHFNKLMKLRHQVNHFVSTLQQYVQSQLSHVSWCKFLHSFKHKVKDMMDLESVHMSYLTDSLHICFLSDETKPIASTIENILQCALDFRSCLTGDIWNVGLAEGDLQDKLSKINISQVLFIKEKFDKNLKELHLLYLKSPKHGESGLSYFWGYLNYNDYYFNENEMSYYAFSI >KJB55527 pep chromosome:Graimondii2_0_v6:9:5800871:5813618:1 gene:B456_009G080700 transcript:KJB55527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHKFFRGGDLLSYLYTQLKVADPAHHALLKFLFLRSCEPYCEFIRSWIFKAEINDPYKEFVVEYMDTLQHRSAGKDGIPIDFPVANITERDGVVVPCFLKDLLTPLVRAGQQLQVLMKLLELRKHVDTGDHTYSDFLPCWSGFAGSNPFYASSITFGKENIEALVLMRNSYYERMEEKLENLLTGLEFNCQQVISHRAEPHLFGTSGDTPNIAASLTVDDKLVINSTMKRSFSNVSLDNNDFDDSSTKDGSSHEADIFEPFESSSMSSFEEHTESEQLIEHSNNLVWPKQNYFSALSFSVSTPFGNSLQLALQNGKSDRMESSLQAGTGGHGNFIGCEPNGIYDHLSPHLESNWLCAEVESANILTSKGWPVNSARNNAFCIDGDDRDDKRSLLCDSDIKTRKCNTQFFDKFVQHFNESIAINNTSAVDTSNEGQHEKDSTSGLFQLRQFKLTCNGSLLSKNPVLTNKSFFRMMSKPGDASRIDYQQTLPYFDFSSVNDPCMVYVKRLSSEITHKFLENTSSVTNGRGNQDDKQGYGDVLLVDNSKSSYAVPPLELRNQTQDVISTTVSSSSCWESLLGSFSTPNSSGTIDMKLNTSSTFEIPLDFIIDKCLLQEILLQYNYVSKLTIKILEEGFDLQEHLLALRRYHFMELADWADLFIMSLSHHKWCVTEEDRRLSEIQGLLELSVQRSSCERDPHKDRLFIYAKGHGLLPLSTSTIGVHSFDFLGLGYRVDWPISIILTPGALKIYADIFNFLIQLKLAVFSLTDVWCSLKDVVHLICQKHHPTLHEREVGHFNKLMKLRHQVNHFVSTLQQYVQSQLSHVSWCKFLHSFKHKVKDMMDLESVHMSYLTDSLHICFLSDETKPIASTIENILQCALDFRSCLTGDIWNVGLAEGDLQDKLSKINISQVLFIKEKFDKNLKELHLLYLKSPKHGESGLSYFWGYLNYNDYYFNENEMSYYAFSI >KJB55524 pep chromosome:Graimondii2_0_v6:9:5800858:5809152:1 gene:B456_009G080700 transcript:KJB55524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVETNFASLFEKLKVEDPWLPPRTWESIPSQSGPLPPLPDSQPPISSSSSVSEASLVRLALNALQGVESSLCSIEKLSAAFFSDPADRTFHQTPSLWYRSSSTHALGKILKSIGHSGFLVFLLHKFVEYFSNLNPNGNSFSLRKGWENSQAADNQNHGGHRVKDEEGPKYSLVNQAFSVAVGKVLEGYICALDTLYASVNVRRSKSTEVSTGVSSGCLTSVVYSGITLLEVYLHTKDLRTQIEALGNICNLYDLALYFLESSFEELSYKATMGFHKFFRGGDLLSYLYTQLKVADPAHHALLKFLFLRSCEPYCEFIRSWIFKAEINDPYKEFVVEYMDTLQHRSAGKDGIPIDFPVANITERDGVVVPCFLKDLLTPLVRAGQQLQVLMKLLELRKHVDTGDHTYSDFLPCWSGFAGSNPFYASSITFGKENIEALVLMRNSYYERMEEKLENLLTGLEFNCQQVISHRAEPHLFGTSGDTPNIAASLTVDDKLVINSTMKRSFSNVSLDNNDFDDSSTKDGSSHEADIFEPFESSSMSSFEEHTESEQLIEHSNNLVWPKQNYFSALSFSVSTPFGNSLQLALQNGKSDRMESSLQAGTGGHGNFIGCEPNGIYDHLSPHLESNWLCAEVESANILTSKGWPVNSARNNAFCIDGDDRDDKRSLLCDSDIKTRKCNTQFFDKFVQHFNESIAINNTSAVDTSNEGQHEKDSTSGLFQLRQFKLTCNGSLLSKNPVLTNKSFFRMMSKPGDASRIDYQQTLPYFDFSSVNDPCMVYVKRLSSEITHKFLENTSSVTNGRGNQDDKQGYGDVLLVDNSKSSYAVPPLELRNQTQDVISTTVSSSSCWESLLGSFSTPNSSGTIDMKLNTSSTFEIPLDFIIDKCLLQEILLQYNYVSKLTIKILEEGFDLQEHLLALRRYHFMELADWADLFIMSLSHHKWCVTEEDRRLSEIQGLLELSVQRSSCERDPHKDRLFIYAKGHGLLPLSTSTIGVHSFDFLGLGYRVDWPISIILTPGALKIYADIFNFLIQLKLAVFSLTDVWCSLKVQET >KJB55523 pep chromosome:Graimondii2_0_v6:9:5800858:5807980:1 gene:B456_009G080700 transcript:KJB55523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVETNFASLFEKLKVEDPWLPPRTWESIPSQSGPLPPLPDSQPPISSSSSVSEASLVRLALNALQGVESSLCSIEKLSAAFFSDPADRTFHQTPSLWYRSSSTHALGKILKSIGHSGFLVFLLHKFVEYFSNLNPNGNSFSLRKGWENSQAADNQNHGGHRVKDEEGPKYSLVNQAFSVAVGKVLEGYICALDTLYASVNVRRSKSTEVSTGVSSGCLTSVVYSGITLLEVYLHTKDLRTQIEALGNICNLYDLALYFLESSFEELSYKATMGFHKFFRGGDLLSYLYTQLKVADPAHHALLKFLFLRSCEPYCEFIRSWIFKAEINDPYKEFVVEYMDTLQHRSAGKDGIPIDFPVANITERDGVVVPCFLKDLLTPLVRAGQQLQVLMKLLELRKHVDTGDHTYSDFLPCWSGFAGSNPFYASSITFGKENIEALVLMRNSYYERMEEKLENLLTGLEFNCQQVISHRAEPHLFGTSGDTPNIAASLTVDDKLVINSTMKRSFSNVSLDNNDFDDSSTKDGSSHEADIFEPFESSSMSSFEEHTESEQLIEHSNNLVWPKQNYFSALSFSVSTPFGNSLQLALQNGKSDRMESSLQAGTGGHGNFIGCEPNGIYDHLSPHLESNWLCAEVESANILTSKGWPVNSARNNAFCIDGDDRDDKRSLLCDSDIKTRKCNTQFFDKFVQHFNESIAINNTSAVDTSNEGQHEKDSTSGLFQLRQFKLTCNGSLLSKNPVLTNKSFFRMMSKPGDASRIDYQQTLPYFDFSSVNDPCMVYVKRLSSEITHKFLENTSSVTNGRGNQDDKQGYGDVLLVDNSKSSYAVPPLELRNQTQDVISTTVSSSSCWESLLGSFSTPNSSGTIDMKLNTSSTFEIPLDFIIDKCLLQEILLQYPYSCPTRWGGKITILSNYSFFMLVY >KJB55802 pep chromosome:Graimondii2_0_v6:9:6947202:6948363:-1 gene:B456_009G095500 transcript:KJB55802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAFSKSKFPILTSIRIQNLTKNSITRSPFLVKPQIPPVQPDFHKPISGFKQYHDGRPRGPLWKGKKLIGKEALFVILGLKRFKDDEDKVLKFIKTHLERQEETSLAVKVFEVIQKQDWYTPDVYLYKDLIIALARCRKMDEAMKLWGSMRKENLFPDSQAYTEIIRGFLRDGSPADAMNIYEDMIKSPDPPEELPFRILLKGLLPHPLLRNKVKKDFEELFPEKHAYDPPEEIFGRC >KJB62130 pep chromosome:Graimondii2_0_v6:9:58370299:58374167:1 gene:B456_009G402200 transcript:KJB62130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDKYENAELIKYGFIEDIWYKKLLSSVDLTKDFFFSYSYNVMCSLQKNLYNNEPGEVLYETMFVCNEFLTRGISNHLKNTLWTVTLVYGFFKQASFSVSGRRFKLFLISRRSRHYEPAKRDDEDDSVAKMKAAEEALEAKQKECI >KJB56356 pep chromosome:Graimondii2_0_v6:9:8541040:8545962:1 gene:B456_009G116400 transcript:KJB56356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSSGFIAEPMDKGNRSDGSSSKVRLFWRKLSKKAKPGMENKGIWTSQTAREDRKTYDKQQPFQDSVTNKVPNSLTEENMSCPFPQGFSRSVSQSTSVVSDADLEQDKFSVDEGTLCWNLLISRIFFDIKGNAGLKSSIQARIQRTLSNMRTPSYIGEVICTDLDIGSLPPYIHAMRLLATDMNEVWAFEVDAEYSGGILLDVETRLEVSDQDFQKGLVDSNSEPNSVENVSSDLLEGFERFGNHLNLPEEDEVDPKVDGVKGSKATPTTSCVSRWKAVVNSVAKQVSQVPLSLSIRISSLRGTLRLYIKPPPSDQLWFGFTSMPDIEFDLESSVGEHKITSGHIALFLIGRFKAAIRETMVLPNCESAYIPWMLAEKDDWVPRKVAPFIWLNQDAAMDNNIARAAQCPQPTEAKENSRKTSSSPAVIESEASSSSAASSSAKNRSSQDLRTPLLATDEPHETYQQNRATPDSQSSSRSLSEFERQSDVGDENDSRPKKMGRKARMIDLTKKMGEKFEEKKRHIEERGRHIVEKMRGP >KJB56354 pep chromosome:Graimondii2_0_v6:9:8540482:8543576:1 gene:B456_009G116400 transcript:KJB56354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVFLVGLVVGVLAIVSLEVVVFFFVLNRLNRRIKQESLAALNPPSQPSLDFAYNKQGTVWVLESEKIPVPREQKRKKDVTLEVSPARKHAKIQEKCLVFTHSDASRTSVPLKGCVIEAVSATNLPSRKWAKRFPIKVESKTSAIYNGSKIIYLYLETSWEKESWCKALRLASCEDKEKLSWFTKLNEDFHAYLLSLNVGYPSFMKPSSGFIAEPMDKGNRSDGSSSKVRLFWRKLSKKAKPGMENKGIWTSQTAREDRKTYDKQQPFQDSVTNKVPNSLTEENMSCPFPQGFSRSVSQSTSVVSDADLEQDKFSVDEGTLCWNLLISRIFFDIKGNAGLKSSIQARIQRTLSNMRTPSYIGEVICTDLDIGSLPPYIHAMRLLATDMNEVWAFEVDAEYSGGILLDVETRLEVSDQDFQKGLVDSNSEPNSVENVSSDLLEGFERFGNHLNLPEEDEVDPKVG >KJB56355 pep chromosome:Graimondii2_0_v6:9:8540482:8545832:1 gene:B456_009G116400 transcript:KJB56355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVFLVGLVVGVLAIVSLEVVVFFFVLNRLNRRIKQESLAALNPPSQPSLDFAYNKQGTVWVLESEKIPVPREQKRKKDVTLEVSPARKHAKIQEKCLVFTHSDASRTSVPLKGCVIEAVSATNLPSRKWAKRFPIKVESKTSAIYNGSKIIYLYLETSWEKESWCKALRLASCEDKEKLSWFTKLNEDFHAYLLSLNVGYPSFMKPSSGFIAEPMDKGNRSDGSSSKVRLFWRKLSKKAKPGMENKGIWTSQTAREDRKTYDKQQPFQDSVTNKVPNSLTEENMSCPFPQGFSRSVSQSTSVVSDADLEQDKFSVDEGTLCWNLLISRIFFDIKGNAGLKSSIQARIQRTLSNMRTPSYIGEVICTDLDIGSLPPYIHAMRLLATDMNEVWAFEVDAEYSGGILLDVETRLEVSDQDFQKGLVDSNSEPNSVENVSSDLLEGFERFGNHLNLPEEDEVDPKVDGVKGSKATPTTSCVSRWKAVVNSVAKQVSQVPLSLSIRISSLRGTLRLYIKPPPSDQLWFGFTSMPDIEFDLESSVGEHKITSGHIALFLIGRFKAAIRETMVLPN >KJB56353 pep chromosome:Graimondii2_0_v6:9:8540333:8545962:1 gene:B456_009G116400 transcript:KJB56353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVFLVGLVVGVLAIVSLEVVVFFFVLNRLNRRIKQESLAALNPPSQPSLDFAYNKQGTVWVLESEKIPVPREQKRKKDVTLEVSPARKHAKIQEKCLVFTHSDASRTSVPLKGCVIEAVSATNLPSRKWAKRFPIKVESKTSAIYNGSKIIYLYLETSWEKESWCKALRLASCEDKEKLSWFTKLNEDFHAYLLSLNVGYPSFMKPSSGFIAEPMDKGNRSDGSSSKVRLFWRKLSKKAKPGMENKGIWTSQTAREDRKTYDKQQPFQDSVTNKVPNSLTEENMSCPFPQGFSRSVSQSTSVVSDADLEQDKFSVDEGTLCWNLLISRIFFDIKGNAGLKSSIQARIQRTLSNMRTPSYIGEVICTDLDIGSLPPYIHAMRLLATDMNEVWAFEVDAEYSGGILLDVETRLEVSDQDFQKGLVDSNSEPNSVENVSSDLLEGFERFGNHLNLPEEDEVDPKVDGVKGSKATPTTSCVSRWKAVVNSVAKQVSQVPLSLSIRISSLRGTLRLYIKPPPSDQLWFGFTSMPDIEFDLESSVGEHKITSGHIALFLIGRFKAAIRETMVLPNCESAYIPWMLAEKDDWVPRKVAPFIWLNQDAAMDNNIARAAQCPQPTEAKENSRKTSSSPAVIESEASSSSAASSSAKNRSSQDLRTPLLATDEPHETYQQNRATPDSQSSSRSLSEFERQSDVGDENDSRPKKMGRKARMIDLTKKMGEKFEEKKRHIEERGRHIVEKMRGP >KJB60528 pep chromosome:Graimondii2_0_v6:9:28828769:28829921:1 gene:B456_009G310500 transcript:KJB60528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKSTKNSDSDEDETFYFRYSSAVAPPSSSSSNPNLATSKSTGGGGGSGGLAPSKSTLYVSNLDYSLTNSDLHTLFSTFGKIARVTVLKDRATRNSKGVAFVQFVSREDALSAASVMHGKILNGRTLSASIAVDNGRAPEFIKKRVYKDKSRCYECGAGGHLSYECPKNQLGPRERPVPKKGRRGGGGGEKREDGGDWGEEESEGGEGFEEENWASVVDGAAEERLRKSEMAEEKKKKMTRKASYFSDESDEEE >KJB60529 pep chromosome:Graimondii2_0_v6:9:28828725:28830712:1 gene:B456_009G310500 transcript:KJB60529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKSTKNSDSDEDETFYFRYSSAVAPPSSSSSNPNLATSKSTGGGGGSGGLAPSKSTLYVSNLDYSLTNSDLHTLFSTFGKIARVTVLKDRATRNSKGVAFVQFVSREDALSAASVMHGKILNGRTLSASIAVDNGRAPEFIKKRVYKDKSRCYECGAGGHLSYECPKNQLGPRERPVPKKGRRGGGGGEKREDGGDWGEEESEGGEGFEEENWASVVDGAAEERLRKSEMAEEKKKKMTRKASYFSDESDEEE >KJB55194 pep chromosome:Graimondii2_0_v6:9:4847599:4849330:-1 gene:B456_009G068200 transcript:KJB55194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIAQKTWELENNILTVETPDPSSDSIFYYDEAAQAKFQQEKPWANDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDDPISEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLAHSRIGPLGPPRKKLQEESQLAKITRDSAKITVEQVHGLMSQVTLLFQIFRT >KJB55191 pep chromosome:Graimondii2_0_v6:9:4847114:4849474:-1 gene:B456_009G068200 transcript:KJB55191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIAQKTWELENNILTVETPDPSSDSIFYYDEAAQAKFQQEKPWANDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDDPISEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLAHSRIGPLGPPRKKLQEESQLAKITRDSAKITVEQVHGLMSQVIKDILFNSVRQSSRSQNDQSGPEPMIET >KJB55192 pep chromosome:Graimondii2_0_v6:9:4846954:4849476:-1 gene:B456_009G068200 transcript:KJB55192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIAQKTWELENNILTVETPDPSSDSIFYYDEAAQAKFQQEKPWANDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDDPISEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLAHSRIGPLGPPRKKEESQLAKITRDSAKITVEQVHGLMSQVIKDILFNSVRQSSRSQNDQSGPEPMIET >KJB55195 pep chromosome:Graimondii2_0_v6:9:4847447:4849330:-1 gene:B456_009G068200 transcript:KJB55195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIAQKTWELENNILTVETPDPSSDSIFYYDEAAQAKFQQEKPWANDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDDPISEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLAHSRIGPLGPPRKKLAKITRDSAKITVEQVHGLMSQVIKDILFNSVRQSSRSQNDQSGPEPMIET >KJB55193 pep chromosome:Graimondii2_0_v6:9:4847742:4849330:-1 gene:B456_009G068200 transcript:KJB55193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIAQKTWELENNILTVETPDPSSDSIFYYDEAAQAKFQQEKPWANDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDDPISEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLAHSRIGPLGPPRKKVSMISFVILYARAVFQDNLSFFQ >KJB55196 pep chromosome:Graimondii2_0_v6:9:4847114:4849474:-1 gene:B456_009G068200 transcript:KJB55196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIAQKTWELENNILTVETPDPSSDSIFYYDEAAQAKFQQEKPWANDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDDPISEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLAHSRIGPLGPPRKKVIKDILFNSVRQSSRSQNDQSGPEPMIET >KJB58262 pep chromosome:Graimondii2_0_v6:9:15634972:15636245:-1 gene:B456_009G201700 transcript:KJB58262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTKNNQFQHIYHEGGQYTFESSASPQSSRSTRQKPTGPPFPTPTFDYLSSPFYIKSTIDSFLIHNLPTVLSPLSCAFVDPCGYRCIVSATAMASGSSWTPKQNKLFENALVIYDKDTPDRWHNLARAVGGKTVEEVKLHYQNLVEDIQQIESGQVPLPPYKKAGGNKGYNFMDGEQRMKNLRLQ >KJB58486 pep chromosome:Graimondii2_0_v6:9:16459023:16460088:-1 gene:B456_009G212200 transcript:KJB58486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVVAESTSTEWTDEKHSLYLNSMEASFINQLYDSVNLVGWNSQKEKLERPKSSRQIHCTSSGQFKVLRGGCWKKINFERPGFQPNKTNGSRSFVGSPWIQQFRSGSKSCVLASSSLQGNASTRELRR >KJB58483 pep chromosome:Graimondii2_0_v6:9:16458319:16460391:-1 gene:B456_009G212200 transcript:KJB58483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINRIEPRRSLEASPSQLTSSSVVAESTSTEWTDEKHSLYLNSMEASFINQLYDSVNLVGWNSQKEKLERPKSSRQIHCTSSGQFKVLRGGCWKKINFERPGFQPNKTNGSRSFVGSPWIQQFRSGSKSCVLASSSLQGNASTREVSDQNFVDEEKGEKASNENVAPRS >KJB58490 pep chromosome:Graimondii2_0_v6:9:16459319:16460165:-1 gene:B456_009G212200 transcript:KJB58490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINRIEPRRSLEASPSQLTSSSVVAESTSTEWTDEKHSLYLNSMEASFINQLYDSVNLVGWNSQKEKLERPKSSRQIHCTSSGQFKVLRGGCWKKINFERPGFQPNKTNGSRSFVGSPWIQQFRSGSKSCVLASSSLQGNASTRGKNKQFK >KJB58489 pep chromosome:Graimondii2_0_v6:9:16458205:16460393:-1 gene:B456_009G212200 transcript:KJB58489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINRIEPRRSLEASPSQLTSSSVVAESTSTEWTDEKHSLYLNSMEASFINQLYDSVNLVGWNSQKEKLERPKSSRQIHCTSSGQFKVLRGGCWKKINFERPGFQPNKTNGSRSFVGSPWIQQFRSGSKSCVLASSSLQGNASTREVSDQNFVDEEKGEKASNENVAPRS >KJB58488 pep chromosome:Graimondii2_0_v6:9:16458585:16460251:-1 gene:B456_009G212200 transcript:KJB58488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINRIEPRRSLEASPSQLTSSSVVAESTSTEWTDEKHSLYLNSMEASFINQLYDSVNLVGWNSQKEKLERPKSSRQIHCTSSGQFKVLRGGCWKKINFERPGFQPNKTNGSRSFVGSPWIQQFRSGSKSCVLASSSLQGNASTREVSDQNFVDEEKGEKASNENVAPRS >KJB58487 pep chromosome:Graimondii2_0_v6:9:16458974:16460088:-1 gene:B456_009G212200 transcript:KJB58487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVVAESTSTEWTDEKHSLYLNSMEASFINQLYDSVNLVGWNSQKEKLERPKSSRQIHCTSSGQFKVLRGGCWKKINFERPGFQPNKTNGSRSFVGSPWIQQFRSGSKSCVLASSSLQGNASTREVSDQNFVDEEKGEKASNENVAPRS >KJB58484 pep chromosome:Graimondii2_0_v6:9:16458974:16460165:-1 gene:B456_009G212200 transcript:KJB58484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINRIEPRRSLEASPSQLTSSSVVAESTSTEWTDEKHSLYLNSMEASFINQLYDSVNLVGWNSQKEKLERPKSSRQIHCTSSGQFKVLRGGCWKKINFERPGFQPNKTNGSRSFVGSPWIQQFRSGSKSCVLASSSLQGNASTRELWLEFLFAEVSDQNFVDEEKGEKASNENVAPRS >KJB58485 pep chromosome:Graimondii2_0_v6:9:16458319:16460262:-1 gene:B456_009G212200 transcript:KJB58485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINRIEPRRSLEASPSQLTSSSVVAESTSTEWTDEKHSLYLNSMEASFINQLYDSVNLVGWNSQKEKLERPKSSRQIHCTSSGQFKVLRGGCWKKINFERPGFQPNKTNGSRSFVGSPWIQQFRSGSKSCVLASSSLQGNASTRELRR >KJB61137 pep chromosome:Graimondii2_0_v6:9:40088009:40088898:1 gene:B456_009G342700 transcript:KJB61137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSKYSDYIIYVVDSSDTDRLVIAKEEFLAILEEEELRGAAVLIFANKHDLLGALDDAAVTESLELHKIKNRQWAIFKTSAIKGESLLEGLDW >KJB63106 pep chromosome:Graimondii2_0_v6:9:70493436:70496919:1 gene:B456_009G453700 transcript:KJB63106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFRHNFWWMTQWMGTCGKDIPFETQFLVVEVCDGTHIEDGDKAEDQHNSAVYAVFLPILEGDFRAVLQGNEQNEIEICLESGDPDIDQFKGSHLVFVAAGSDPYDVITNSLKTVEKHLQTFSHREKKKMPDILNWFGWCTWDAFYTNVSAEGLKQGLESLEKGGTPPKFVIIDDGWQTVGMDPTGIEYRSDCTANFANRLIHIKENHKFQKNGKGHRADDTAMGLGYVISEMKDRYALKYVYVWHAITGYWGGVKPGITEMEHYEPKLVYPVSSPGVPSNDYSDVLQSITINGVGLVKPEKAFEFYNDLHSYLASAGIDGVKVDVQSILETLGAGHGGRVKLTRKYHQALEASISSNFHDNAIIACMSHNTDTLYSAKSTAVMRASDDFFPRDQASHTIHIASVAYNTIFIGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGQHDFNLLKKLVLPDSSVLRAKYPGRPTRDCLFSDPVRDGKSLLKIWNLNDFTGVIGVFNCQGAGWCEVTKKMVNHDEQPGTITSIIRASDVEYLFQVAEDGWIGDSIFYSHLGGEVTYLPRNAFMSITLRPREYEVFTIVPVMALSSGSKFAPIGLTKMFNSGGAIKGLKYETENPVATVIMKVRGCGPFGAYSSTKLQRITVDSEEVEFEYEGESGLVTFALKVPEEEQYLWNIVIEL >KJB63105 pep chromosome:Graimondii2_0_v6:9:70492844:70496919:1 gene:B456_009G453700 transcript:KJB63105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGAGISLSDGRLTVFGNCVLHDVHENVVITPTSGPGDAMINGAFIGVKSDHKGSRRVFPIGKLQELRFMCVFRHNFWWMTQWMGTCGKDIPFETQFLVVEVCDGTHIEDGDKAEDQHNSAVYAVFLPILEGDFRAVLQGNEQNEIEICLESGDPDIDQFKGSHLVFVAAGSDPYDVITNSLKTVEKHLQTFSHREKKKMPDILNWFGWCTWDAFYTNVSAEGLKQGLESLEKGGTPPKFVIIDDGWQTVGMDPTGIEYRSDCTANFANRLIHIKENHKFQKNGKGHRADDTAMGLGYVISEMKDRYALKYVYVWHAITGYWGGVKPGITEMEHYEPKLVYPVSSPGVPSNDYSDVLQSITINGVGLVKPEKAFEFYNDLHSYLASAGIDGVKVDVQSILETLGAGHGGRVKLTRKYHQALEASISSNFHDNAIIACMSHNTDTLYSAKSTAVMRASDDFFPRDQASHTIHIASVAYNTIFIGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGQHDFNLLKKLVLPDSSVLRAKYPGRPTRDCLFSDPVRDGKSLLKIWNLNDFTGVIGVFNCQGAGWCEVTKKMVNHDEQPGTITSIIRASDVEYLFQVAEDGWIGDSIFYSHLGGEVTYLPRNAFMSITLRPREYEVFTIVPVMALSSGSKFAPIGLTKMFNSGGAIKGLKYETENPVATVIMKVRGCGPFGAYSSTKLQRITVDSEEVEFEYEGESGLVTFALKVPEEEQYLWNIVIEL >KJB62712 pep chromosome:Graimondii2_0_v6:9:67786332:67786669:-1 gene:B456_009G432200 transcript:KJB62712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFCFLVDQRWKLTGTKPVAGTCSRCGGGASVGDMKTITRFCYVPFYWKSWKAIICTFCGAILKSYR >KJB62985 pep chromosome:Graimondii2_0_v6:9:69756536:69760699:1 gene:B456_009G446500 transcript:KJB62985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRGVIFVSFLIFQLGVNSNAFSMNDLISKLPGQPDVNFRQFAGYIDVDENVVGRSLFYYFVEAEKDPLTQPLTVWLTGGPGCSSVGDAFGSVGPFIVTKDAHGLQTNILSWNKVSNLLFIDSPIGSGWSYSNTSSDYNNGDDSTNKILLTFMQKWYEKYPVFKSKDLYLAGSSFAGHFVPNLANALLDDNKQSKQSKFNLKGLVLGNPMLRKKLDDIAKIDFFFSREMINSSLYNEIKKECNAIDENNYFSSIKTTWSTKCKNLVFEADLAAFKTDAHNYSPQKLFDVFRPPCAETEQDLNLGKQVPIVSTEVDMCHPLRVQFYFNLPEVQKAFHGNQTNLSYRWKGCFTANFKYNEADKDLDMLPALKNLLQLSVPITIFSGDQDGIIPIEGTLQHLEKLAEELNIKLTKKETWNFGTKFYEGGLKYEFGDLLKFLTVKGGNHHVTSSRPSQAFSIFTSFTIKWMH >KJB54047 pep chromosome:Graimondii2_0_v6:9:1442088:1445606:1 gene:B456_009G018400 transcript:KJB54047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMETETQKPFQVDMGNLLAFNPFHNFPSLPTSRDELVKECIQEGTKLVQAIADSIFNLPSTEDADGPLVKLPTPTTKLPREKHLPKPKPPTKWEEFAKKKGIKKRKKDKVVWDEQTGTWKRRFGYDRVNDDKDIPIIEAKMTDEPGVDPFAKRQDDKKKRVEKQEKNHLQNLKQAAKIGALPSHVQLAATALPITGTQAPPKKVSKDELGNVAGMAATSTASGGKFDRKLPGEKPAKKQGKHRKFLPVVEGSGIGSREKEQTENVLNKLISKHSHEILNVDKAVTMYNVKKEKKARNKRNQEGKSSSATNKLKPKKQLHKNGKKGPGSSKKGKAK >KJB54051 pep chromosome:Graimondii2_0_v6:9:1442236:1444823:1 gene:B456_009G018400 transcript:KJB54051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMETETQKPFQVDMGNLLAFNPFHNFPSLPTSRDELVKECIQEGTKLVQAIADSIFNLPSTEDADGPLVKLPTPTTKLPREKHLPKPKPPTKWEEFAKKKGIKKRKKDKVVWDEQTGTWKRRFGYDRVNDDKDIPIIEAKMTDEPGVDPFAKRQDDKKKRVEKQEKNHLQNLKQAAKIGALPSHVQLAATALPITGTQAPPKKVSKDELGNVAGMAATSTASGGKFDRKLPGEKPAKKQGKHRKVFILGLHLSVNISHEL >KJB54050 pep chromosome:Graimondii2_0_v6:9:1442189:1445495:1 gene:B456_009G018400 transcript:KJB54050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMETETQKPFQVDMGNLLAFNPFHNFPSLPTSRDELVKECIQEGTKLVQAIADSIFNLPSTEDADGPLVKLPTPTTKLPREKHLPKPKPPTKWEEFAKKKGIKKRKKDKVVWDEQTGTWKRRFGYDRVNDDKDIPIIEAKMTDEPGVDPFAKRQDDKKKRVEKQEKNHLQNLKQAAKIGALPSHVQLAATALPITGTQAPPKKVSKDELGNVAGMAATSTASGGKFDRKLPGEKPAKKQGKHRKDQG >KJB54049 pep chromosome:Graimondii2_0_v6:9:1442130:1445642:1 gene:B456_009G018400 transcript:KJB54049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMETETQKPFQVDMGNLLAFNPFHNFPSLPTSRDELVKECIQEGTKLVQAIADSIFNLPSTEDADGPLVKLPTPTTKLPREKHLPKPKPPTKWEEFAKKKGIKKRKKDKVVWDEQTGTWKRRFGYDRVNDDKDIPIIEAKMTDEPGVDPFAKRQDDKKKRVEKQEKNHLQNLKQAAKIGALPSHVQLAATALPITGTQAPPKKVSKDELGNVAGMAATSTASGGKFDRKLPGEKPAKKQGKHRKFLPVVEGSGIGSREKEQTENVLNKLISKHSHEILNVDKAVTMYNVKKEKKARNKRNQEGKSSSATNKLKPKKQLHKNGKKGPGSSKKGKAK >KJB54048 pep chromosome:Graimondii2_0_v6:9:1442189:1445495:1 gene:B456_009G018400 transcript:KJB54048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMETETQKPFQVDMGNLLAFNPFHNFPSLPTSRDELVKECIQEGTKLVQAIADSIFNLPSTEDADGPLVKLPTPTTKLPREKHLPKPKPPTKWEEFAKKKGIKKRKKDKVVWDEQTGTWKRRFGYDRVNDDKDIPIIEAKMTDEPGVDPFAKRQDDKKKRVEKQEKNHLQNLKQAAKIGALPSHVQLAATALPITGTQAPPKKVSKDELGNVAGMAATSTASGGKFDRKLPGEKPAKKQGKHRKFLPVVEGSGIGSREKEQTENVLNKLISKHSHEILNVDKAVTMYNVKKEKKARNKRNQEGKSSSATNKLKPKKQLHKNGKKGPGSSKKGKAK >KJB61290 pep chromosome:Graimondii2_0_v6:9:44502420:44509057:1 gene:B456_009G350100 transcript:KJB61290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLPHMHAYGSALFRKTGNFISTSLNQCHVPLWKRNFEDASVKTVDLEFLLTRFRTQCYSSRKSSSSTKKTSGTKKVDPEQPQVMENEKDAFFVVRKGDVVGVFKSFADCQTQVGSSICDPPVSVYKGYALTKETEIYLSSYGLKNARYTIRAADVKEDIFGALMPCPFQEPASSKGETSHYDATKKRPQDMLQLEYGVGLGSLGSIAVADLARKHVKLDPHAEAQITSSGHQSCTLEFDGASKGNPGPAGAAAVLKTDAGNVICKLREGLGIATNNAAEYRALILGLKHALRKGYTNIHVRGDSKLVCMQLQGLWKVKHEHMSELCEQAMKLKDKFLSFQINHVLRELNGAADAEANLAVKLAEGQIQEELA >KJB61288 pep chromosome:Graimondii2_0_v6:9:44502782:44508879:1 gene:B456_009G350100 transcript:KJB61288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLPHMHAYGSALFRKTGNFISTSLNQCHVPLWKRNFEDASVKTVDLEFLLTRFRTQCYSSRKSSSSTKKTSGTKKVDPEQPQVMENEKDAFFVVRKGDVVGVFKSFADCQTQVGSSICDPPVSVYKGYALTKETEIYLSSYGLKNARYTIRAADVKEDIFGALMPCPFQEPASSKGETSHYDATKKRPQDMLQLEYGVGLGSLGSIAVADLARKHVKLDPHAEAQITSSGHQSCTLEFDGASKGNPGPAGAAAVLKTDAGNVICKLREGLGIATNNAAEYRALILGLKHALRKGYTNIHVRGDSKLVCMQLQGLWKVKHEHMSELCEQAMKLKDKFLSFQINHVLRELNGAADAEANLAVKLAEGQIQEELA >KJB61289 pep chromosome:Graimondii2_0_v6:9:44502562:44509057:1 gene:B456_009G350100 transcript:KJB61289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMVQLYLERLVMENEKDAFFVVRKGDVVGVFKSFADCQTQVGSSICDPPVSVYKGYALTKETEIYLSSYGLKNARYTIRAADVKEDIFGALMPCPFQEPASSKGETSHYDATKKRPQDMLQLEYGVGLGSLGSIAVADLARKHVKLDPHAEAQITSSGHQSCTLEFDGASKGNPGPAGAAAVLKTDAGNVICKLREGLGIATNNAAEYRALILGLKHALRKGYTNIHVRGDSKLVCMQLQGLWKVKHEHMSELCEQAMKLKDKFLSFQINHVLRELNGAADAEANLAVKLAEGQIQEELA >KJB61209 pep chromosome:Graimondii2_0_v6:9:41758841:41763980:1 gene:B456_009G345700 transcript:KJB61209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKNRSPTTILQPQPQDLKQRVYTCLNKLADRDTLAVASAELDSIARNLTSDSISPFLNCLYGTDSSSKSPVRRQCVCLLTLLSRSHGNALSPHLSKMVSTLSRRLRDPDSAVRSACVEATAAMSSHITKPPFSVLSKPLIEMLVVEQDVNSQVGAAMCLAAAIDAAPDPESEQLRKVLPKLGKLVRNESFKAKAAVFGVIGSVASVGGAGSKGVLDWLVPCAVDALSSEDWATRKAAAEALGKVAMAEKELTAEYKSACVVALENKRFDKVKIVRETFNRSLDLWKEVPGVCEVSAPSQSDSPSVEAGNGSFGCFPSVTKSVDDAGFRTPQSKKVVPTSRSPPLDASTEPTAKKETPLRSNNRNWNISDFSKLDRTKPSDCKTEIAEPRKSLFFKAYDNIKNSDLGVMESREIGDSGDSRLETKRILFAKVCDENVQKYGGLKSGSRVVPFHDENLDFDDKNAAVEVDENPKEVEDLSLIREQLAQIEDQQSNLLNLLQKFIGSSQSGINSLETRVNGLEMALDEISYDLSLSNGRIPNMDSADKRCCKLPGTEFLSPKFWRKTEGRFSTSRLSSSGRMLSLNAAHNIPDKDSGAETYIPAVSQRHQHQGCGGFIMNPVADGCNGITDNSGSSNRLSKNTIQNAERVQLGNASGPDGTTLVRCTAPMKP >KJB61211 pep chromosome:Graimondii2_0_v6:9:41758881:41763930:1 gene:B456_009G345700 transcript:KJB61211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKNRSPTTILQPQPQDLKQRVYTCLNKLADRDTLAVASAELDSIARNLTSDSISPFLNCLYGTDSSSKSPVRRQCVCLLTLLSRSHGNALSPHLSKMVSTLSRRLRDPDSAVRSACVEATAAMSSHITKPPFSVLSKPLIEMLVVEQDVNSQVGAAMCLAAAIDAAPDPESEQLRKVLPKLGKLVRNESFKAKAAVFGVIGSVASVGGAGSKGVLDWLVPCAVDALSSEDWATRKAAAEALGKVAMAEKELTAEYKSACVVALENKRFDKVKIVRETFNRSLDLWKEVPGVCEVSAPSQSDSPSVEAGNGSFGCFPSVTKSVDDAGFRTPQSKKVVPTSRSPPLDASTEPTAKKETPLRSNNRNWNISDFSKLDRTKPSDCKTEIAEPRKSLFFKAYDNIKNSDLGVMESREIGDSGDSRLETKRILFAKVCDENVQKYGGLKSGSRVVPFHDENLDFDDKNAAVEVDENPKEVEDLSLIREQLAQIEDQQSNLLNLLQKFIGSSQSGINSLETRVNGLEMALDEISYDLSLSNGRIPNMDSADKRCCKLPGTEFLSPKFWRKTEAVSQRHQHQGCGGFIMNPVADGCNGITDNSGSSNRLSKNTIQNAERVQLGNASGPDGTTLVRCTAPMKP >KJB61212 pep chromosome:Graimondii2_0_v6:9:41759059:41762134:1 gene:B456_009G345700 transcript:KJB61212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKNRSPTTILQPQPQDLKQRVYTCLNKLADRDTLAVASAELDSIARNLTSDSISPFLNCLYGTDSSSKSPVRRQCVCLLTLLSRSHGNALSPHLSKMVSTLSRRLRDPDSAVRSACVEATAAMSSHITKPPFSVLSKPLIEMLVVEQDVNSQVGAAMCLAAAIDAAPDPESEQLRKVLPKLGKLVRNESFKAKAAVFGVIGSVASVGGAGSKGVLDWLVPCAVDALSSEDWATRKAAAEALGKVAMAEKELTAEYKSACVVALENKRFDKVKIVRETFNRSLDLWKEVPGVCEVSAPSQSDSPSVEAGNGSFGCFPSVTKSVDDAGFRTPQSKKVVPTSRSPPLDASTEPTAKKETPLRSNNRNWNISDFSKLDRTKPSDCKTEIAEPRKSLFFKAYDNIKNSDLGVMESREIGDSGDSRLETKRILFAKVCDENVQKYGGLKSGSRVVPFHDENLDFDDKNAAVEVDENPKEVEDLSLIREQLAQIEDQQSNLLNLLQKFIGSSQSGINSLETRVNGLEMALDEISYDLSLSNGRIPNMDSADKRCCKLPGTEFLSPKFWRKTEGRFSTSRLSSSGRMLSLNAAHNIPDKDSGAETYIPAVSQRHQHQGCGGFIMNPVADGCNGITDNSGSSNRLSKNTIQNAERVQLGNASGPDGTTLVRCTAPMKP >KJB61210 pep chromosome:Graimondii2_0_v6:9:41758881:41763930:1 gene:B456_009G345700 transcript:KJB61210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKNRSPTTILQPQPQDLKQRVYTCLNKLADRDTLAVASAELDSIARNLTSDSISPFLNCLYGTDSSSKSPVRRQCVCLLTLLSRSHGNALSPHLSKMVSTLSRRLRDPDSAVRSACVEATAAMSSHITKPPFSVLSKPLIEMLVVEQDVNSQVGAAMCLAAAIDAAPDPESEQLRKVLPKLGKLVRNESFKAKAAVFGVIGSVASVGGAGSKGVLDWLVPCAVDALSSEDWATRKAAAEALGKVAMAEKELTAEYKSACVVALENKRFDKVKIVRETFNRSLDLWKEVPGVCEVSAPSQSDSPSVEAGNGSFGCFPSVTKSVDDAGFRTPQSKKVVPTSRSPPLDASTEPTAKKETPLRSNNRNWNISDFSKLDRTKPSDCKTEIAEPRKSLFFKAYDNIKNSDLGVMESREIGDSGDSRLETKRILFAKVCDENVQKYGGLKSGSRVVPFHDENLDFDDKNAAVEVDENPKEVEDLSLIREQLAQIEDQQSNLLNLLQKFIGSSQSGINSLETRVNGLEMALDEISYDLSLSNGRIPNMDSADKRCCKLPGTEFLSPKFWRKTEVSQRHQHQGCGGFIMNPVADGCNGITDNSGSSNRLSKNTIQNAERVQLGNASGPDGTTLVRCTAPMKP >KJB57756 pep chromosome:Graimondii2_0_v6:9:13827868:13830561:-1 gene:B456_009G179400 transcript:KJB57756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKLTTYLILIICFCFTVPSTAKTSTLVQEIKQQSQVQDKEPFVGVNLGTDVSDLLSATDLVSFLQVQKISHIRLYDANQDILKALAKTKIRVIISVPNNQLLAIGSSNTTAASWIDRYVVAYYPETLITGIAVGDEVLTTVPSSAPLLLPAIQSLYGALVAANLHTQIKVSTPHAASIILDTFPPSQAYFNQSLTSVMVPLLQFLSRTGSPLMMNLYPYYVFMENKGVVPLDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMIDAAYVSMKNLNVTDVVVLVTESGWPSKGDSKEPYATKDNADTYNSNLIKHVLDRSGTPLHPEITSSVYIYELFNEDLRSPPVSEANWGLFYSNSTPVYLLHVSGSGTFLANDTTNQTFCIAMDGVDSKTLQTALDWACGPGRANCSEIQPGESCYQPNNVKNHASYAFDSYYQMEGKASGSCDFKGVAMITTTDPSHGSCVFPGSKMVSNKTRTVLNSTEVSGAAERLKFNTFHGSQISATTVAFCILLLIPFVTTR >KJB57758 pep chromosome:Graimondii2_0_v6:9:13828565:13831204:-1 gene:B456_009G179400 transcript:KJB57758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKLTTYLILIICFCFTVPSTAKTSTLVQEIKQQSQVQDKEPFVGVNLGTDVSDLLSATDLVSFLQVQKISHIRLYDANQDILKALAKTKIRVIISVPNNQLLAIGSSNTTAASWIDRYVVAYYPETLITGIAVGDEVLTTVPSSAPLLLPAIQSLYGALVAANLHTQIKVSTPHAASIILDTFPPSQAYFNQSLTSVMVPLLQFLSRTGSPLMMNLYPYYVFMENKGVVPLDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMIDAAYVSMKNLNVTDVVVLVTESGWPSKGDSKEPYATKDNADTYNSNLIKHVLDRSGTPLHPEITSSVYIYELFNEDLRSPPVSEANWGLFYSNSTPVYLLHVSGSGTFLANDTTNQTFCIAMDGVDSKTLQTALDWACGPGRANCSEIQPGESCYQPNNVKNHASYAFDSYYQMEGKASGSCDFKGVAMITTTDPSKCYYIHSIKQHLL >KJB57757 pep chromosome:Graimondii2_0_v6:9:13827537:13831204:-1 gene:B456_009G179400 transcript:KJB57757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKLTTYLILIICFCFTVPSTAKTSTLVQEIKQQSQVQDKEPFVGVNLGTDVSDLLSATDLVSFLQVQKISHIRLYDANQDILKALAKTKIRVIISVPNNQLLAIGSSNTTAASWIDRYVVAYYPETLITGIAVGDEVLTTVPSSAPLLLPAIQSLYGALVAANLHTQIKVSTPHAASIILDTFPPSQAYFNQSLTSVMVPLLQFLSRTGSPLMMNLYPYYVFMENKGVVPLDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMIDAAYVSMKNLNVTDVVVLVTESGWPSKGDSKEPYATKDNADTYNSNLIKHVLDRSGTPLHPEITSSVYIYELFNEDLRSPPVSEANWGLFYSNSTPVYLLHVSGSGTFLANDTTNQTFCIAMDGVDSKTLQTALDWACGPGRANCSEIQPGESCYQPNNVKNHASYAFDSYYQMEGKASGSCDFKGVAMITTTDPSHGSCVFPGRW >KJB60711 pep chromosome:Graimondii2_0_v6:9:31077465:31078292:1 gene:B456_009G321500 transcript:KJB60711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISTKATNRSKNAEVEARAARTKSRDPRWEEEFQFMVDEPPTNDKIHIEAFSTSSRIGLLHPKEYLRYVTISLADVVNNRRINERYHPIDSKNGQIQIEMQWRTS >KJB58592 pep chromosome:Graimondii2_0_v6:9:16898403:16901147:1 gene:B456_009G217200 transcript:KJB58592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTVPFLSPVSQSPENHFSFYHNFELFYMENIAQNNSFDQTQTLESAFSGLNVSGYPDLPDSGYQFGGGFARAGQDRNNNVIGEGTKVGPDVESWGAFMYSSYQLGSSRNIEFSILPSSNQTRLLSAAASAAGCTMPFGLNNNINRSSFNTSKLCNNNQTRHHWLHEPLNCLSLGDLRGRLVALAKDQYGCKFLQKSVEKASKEEIDMVFMEVIDHVCELMSNSFANLVVQKVVDVCSEEQKNQILLMVIKNRFRLVEICLNLHGARAVQKLLEKLTTQQQISLFMSALSPGAVALSKDLNGHRVIQYCLKNFSDEDNKYFLNVVANNCYQIALDKCGCCALKHCLDHSNGEARAHLFQEIIANALNLAKDQYGNYVVQHILELKETQTTERLLRQLQGNYASLSCNRYGSNVVERCLLESQEPLTTRIIVELLRSPIVSTLLLDPFGNYVIQSALSVSKALYDSI >KJB58593 pep chromosome:Graimondii2_0_v6:9:16898403:16901229:1 gene:B456_009G217200 transcript:KJB58593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTVPFLSPVSQSPENHFSFYHNFELFYMENIAQNNSFDQTQTLESAFSGLNVSGYPDLPDSGYQFGGGFARAGQDRNNNVIGEGTKVGPDVESWGAFMYSSYQLGSSRNIEFSILPSSNQTRLLSAAASAAGCTMPFGLNNNINRSSFNTSKLCNNNQTRHHWLHEPLNCLSLGDLRGRLVALAKDQYGCKFLQKSVEKASKEEIDMVFMEVIDHVCELMSNSFANLVVQKVVDVCSEEQKNQILLMVIKNRFRLVEICLNLHGARAVQKLLEKLTTQQQISLFMSALSPGAVALSKDLNGHRVIQYCLKNFSDEDNKYFLNVVANNCYQIALDKCGCCALKHCLDHSNGEARAHLFQEIIANALNLAKDQYGNYVVQHILELKETQTTERLLRQLQGNYASLSCNRYGSNVVERCLLESQEPLTTRIIVELLRSPIVSTLLLDPFGNYVIQSALSVSKGFVFDALLNLVQDHYPIMRSHSYGKWVLAWFSRRKQLHM >KJB58591 pep chromosome:Graimondii2_0_v6:9:16898403:16900668:1 gene:B456_009G217200 transcript:KJB58591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTVPFLSPVSQSPENHFSFYHNFELFYMENIAQNNSFDQTQTLESAFSGLNVSGYPDLPDSGYQFGGGFARAGQDRNNNVIGEGTKVGPDVESWGAFMYSSYQLGSSRNIEFSILPSSNQTRLLSAAASAAGCTMPFGLNNNINRSSFNTSKLCNNNQTRHHWLHEPLNCLSLGDLRGRLVALAKDQYGCKFLQKSVEKASKEEIDMVFMEVIDHVCELMSNSFANLVVQKVVDVCSEEQKNQILLMVIKNRFRLVEICLNLHGARAVQKLLEKLTTQQQISLFMSALSPGAVALSKDLNGHRVIQYCLKNFSDEDNKYFLNVVANNCYQIALDKCGCCALKHCLDHSNGEARAHLFQEIIANALNLAKDQYGNYVVQHILELKETQTTERLLRQLQGNYASLSCNRYGSNVVERCLLESQEPLTTRIIVELLRSPIVSTLLLDPFGNYVIQSALSVSKV >KJB53707 pep chromosome:Graimondii2_0_v6:9:486031:489997:1 gene:B456_009G005400 transcript:KJB53707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSGKRPTITHVIFDMDGLLLDTEKFYTEVQETILARFNKTFDWSLKAKMMGMKAIEAARVFVEETGISDSLSAEDFLVERESMLQSLFPTSELMPGASRLIEHLHAKGVPICLATGSHRRHFELKTQRHGELFKLMHHIVLGDDPEVKKGKPAPDVFLAAARRFEGGPIDSEKILVFEDAPAGARAAKNAGMSVVMVPDPRLDSSHHAIADQVLSSLMDFNPSEWGLPAFENA >KJB53712 pep chromosome:Graimondii2_0_v6:9:486159:489213:1 gene:B456_009G005400 transcript:KJB53712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSGKRPTITHVIFDMDGLLLDTEKFYTEVQETILARFNKTFDWSLKAKMMGMKAIEAARVFVEETGISDSLSAEDFLVERESMLQSLFPTSELMPGASRLIEHLHAKGVPICLATGSHRRHFELKTQRHGELFKLMHHIVLGDDPEVKKGKPAPDVFLAAARRFEQTSSFEIQILPIFSMQTC >KJB53709 pep chromosome:Graimondii2_0_v6:9:486159:488337:1 gene:B456_009G005400 transcript:KJB53709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSGKRPTITHVIFDMDGLLLDTEKFYTEVQETILARFNKTFDWSLKAKMMGMKAIEAARVFVEETGISDSLSAEDFLVERESMLQSLFPTSELMPGASRLIEHLHAKGVPICLATG >KJB53711 pep chromosome:Graimondii2_0_v6:9:486095:489912:1 gene:B456_009G005400 transcript:KJB53711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSGKRPTITHVIFDMDGLLLDTEKFYTEVQETILARFNKTFDWSLKAKMMGMKAIEAARVFVEETGISDSLSAEDFLVERESMLQSLFPTSELMPGASRLIEHLHAKGVPICLATGRHFELKTQRHGELFKLMHHIVLGDDPEVKKGKPAPDVFLAAARRFEGGPIDSEKILVFEDAPAGARAAKNAGMSVVMVPDPRLDSSHHAIADQVLSSLMDFNPSEWGLPAFENA >KJB53710 pep chromosome:Graimondii2_0_v6:9:486095:489912:1 gene:B456_009G005400 transcript:KJB53710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSGKRPTITHVIFDMDGLLLDTEKFYTEVQETILARFNKTFDWSLKAKMMGMKAIEAARVFVEETGISDSLSAEDFLVERESMLQSLFPTSELMPGASRLIEHLHAKGVPICLATGSHRRHFELKTQRHGELFKLMHHIVLGDDPEVKKGKPAPDVFLAAARRFEGGPIDSEKILVFEDAPAGARAAKNAGISEARQLPPRHSRSSLEFLNGFQPK >KJB53714 pep chromosome:Graimondii2_0_v6:9:486237:489997:1 gene:B456_009G005400 transcript:KJB53714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGMKAIEAARVFVEETGISDSLSAEDFLVERESMLQSLFPTSELMPGASRLIEHLHAKGVPICLATGSHRRHFELKTQRHGELFKLMHHIVLGDDPEVKKGKPAPDVFLAAARRFEGGPIDSEKILVFEDAPAGARAAKNAGMSVVMVPDPRLDSSHHAIADQVLSSLMDFNPSEWGLPAFENA >KJB53708 pep chromosome:Graimondii2_0_v6:9:486095:489092:1 gene:B456_009G005400 transcript:KJB53708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSGKRPTITHVIFDMDGLLLDTEKFYTEVQETILARFNKTFDWSLKAKMMGMKAIEAARVFVEETGISDSLSAEDFLVERESMLQSLFPTSELMPGASRLIEHLHAKGVPICLATGSHRRHFELKTQRHGELFKLMHHIVLGDDPEVKKGKPAPDVFLAAARRFEV >KJB53713 pep chromosome:Graimondii2_0_v6:9:486095:489912:1 gene:B456_009G005400 transcript:KJB53713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSGKRPTITHVIFDMDGLLLDTEKFYTEVQETILARFNKTFDWSLKAKMMGMKAIEAARVFVEETGISDSLSAEDFLVERESMLQSLFPTSELMPGASRLIEHLHAKGVPICLATGSHRRHFELKTQRHGELFKLMHHIVLGDDPEVKKGKPAPDVFLAAARRFEQTSSFEIQILPIFSMQTC >KJB53630 pep chromosome:Graimondii2_0_v6:9:66474130:66474942:1 gene:B456_009G424300 transcript:KJB53630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPIETTVKSSDSHCAPHPPLNERILSSMTRRSVAAHPWHDLEIGPGAPTVFNCVIEIGKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDVLIIMQVRQLLLIFIKNTIV >KJB53631 pep chromosome:Graimondii2_0_v6:9:66473016:66475590:1 gene:B456_009G424300 transcript:KJB53631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPIETTVKSSDSHCAPHPPLNERILSSMTRRSVAAHPWHDLEIGPGAPTVFNCVIEIGKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDVLIIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASTAYEAIQHSM >KJB53629 pep chromosome:Graimondii2_0_v6:9:66473016:66476159:1 gene:B456_009G424300 transcript:KJB53629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPIETTVKSSDSHCAPHPPLNERILSSMTRRSVAAHPWHDLEIGPGAPTVFNCVIEIGKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDVLIIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASTAYEAIQHSMNLYADYIVESLRR >KJB53635 pep chromosome:Graimondii2_0_v6:9:66473040:66476159:1 gene:B456_009G424300 transcript:KJB53635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPIETTVKSSDSHCAPHPPLNERILSSMTRRSVAAHPWHDLEIGPGAPTVFNCVIEIGKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDVLIIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASTAYEAIQHSMNLYADYIVESLRR >KJB53634 pep chromosome:Graimondii2_0_v6:9:66472949:66476176:1 gene:B456_009G424300 transcript:KJB53634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPIETTVKSSDSHCAPHPPLNERILSSMTRRSVAAHPWHDLEIGPGAPTVFNCVIEIGKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDVLIIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASTAYEAIQHSMNLYADYIVESLRR >KJB53636 pep chromosome:Graimondii2_0_v6:9:66473093:66476159:1 gene:B456_009G424300 transcript:KJB53636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRSVAAHPWHDLEIGPGAPTVFNCVIEIGKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDVLIIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASTAYEAIQHSMNLYADYIVESLRR >KJB53637 pep chromosome:Graimondii2_0_v6:9:66473016:66476159:1 gene:B456_009G424300 transcript:KJB53637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPIETTVKSSDSHCAPHPPLNERILSSMTRRSVAAHPWHDLEIGPGAPTVFNCVIEIGKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDVLIIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASTAYEAIQHSMNLYADYIVESLRR >KJB53633 pep chromosome:Graimondii2_0_v6:9:66473016:66475433:1 gene:B456_009G424300 transcript:KJB53633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPIETTVKSSDSHCAPHPPLNERILSSMTRRSVAAHPWHDLEIGPGAPTVFNCVIEIGKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDVLIIMQVRQLLLIFIKNTIV >KJB53632 pep chromosome:Graimondii2_0_v6:9:66474130:66475485:1 gene:B456_009G424300 transcript:KJB53632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPIETTVKSSDSHCAPHPPLNERILSSMTRRSVAAHPWHDLEIGPGAPTVFNCVIEIGKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDVLIIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDCK >KJB59897 pep chromosome:Graimondii2_0_v6:9:23648686:23656186:-1 gene:B456_009G279800 transcript:KJB59897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVLGKSRKMICSLLSQAPVSPANYYRSSPSIYKPKPSNRFSWGFLAPIPSPAIRVFCSKPGEVGGLMASSVQNQGSISYLTQREAAEVDETLMGPLGFSVDQLMELAGLSVATSIAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLYHFGYKPFVCYPKRTQKPLYSGLVTQLESLSIPFLSVDELPMDLSKDFDILVDAMFGFSFHGAPRPPFDDLIKKLINLHYYEQAQRKSPVIVSVDIPSGWHVEEGDVGGDGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPPAIAEKYKLQLPQYPGMSMCVRIGKPPQIDISALRENYISPEFLEEQVEADPLDQFRKWFDDAMAANLKEPNAMALSTTGKDGKPSSRMVLLKGVDKDGFVWFTNYESQKARQLSENPHAALLFYWDGLNRQVRVEGSVEKVSDEESEQYFHSRPRGSQLGAIVSKQSTVVPGRHVLHQQYKELEEKYSKESLIPKPKYWGGYRLKPERFEFWQGQPSRLHDRLEYSPQETDGKRVWKIVRLAP >KJB59898 pep chromosome:Graimondii2_0_v6:9:23653245:23656186:-1 gene:B456_009G279800 transcript:KJB59898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVLGKSRKMICSLLSQAPVSPANYYRSSPSIYKPKPSNRFSWGFLAPIPSPAIRVFCSKPGEVGGLMASSVQNQGSISYLTQREAAEVDETLMGPLGFSVDQLMELAGLSVATSIAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLYHFGYKPFVCYPKRTQKPLYSGLVTQLESLSIPFLSVDELPMDLSKDFDILVDAMFGFSFHGAPRPPFDDLIKKLINLHYYEQAQRKSPVIVSVDIPSGWHVEEGDVGGDGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPPAIAEKYKLQLPQYPGMSMCVRIGKPPQIDISALRENYISPEFLEEQVEADPLDQFRKWFDDAMAANLKEPNAMALSTTGKDGKPHGFEIRALRATALNGILKKIWELFKLWLNFLKNFAFPSTKYDNHACYFR >KJB57033 pep chromosome:Graimondii2_0_v6:9:11058849:11061262:-1 gene:B456_009G145700 transcript:KJB57033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MSWAGPEDIYLSTSLASYLDRKLLVLLRDGRKLMGTLRSFDQFANAVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELDLEREELPPHMTHVSVAEIRRVSF >KJB57032 pep chromosome:Graimondii2_0_v6:9:11058263:11061262:-1 gene:B456_009G145700 transcript:KJB57032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MLPFLSVPQNFKLVSANAVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELDLEREELPPHMTHVSVAEIRRAQKAEREATDLKGTMRKRMEFLDLE >KJB57031 pep chromosome:Graimondii2_0_v6:9:11057804:11061278:-1 gene:B456_009G145700 transcript:KJB57031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MSWAGPEDIYLSTSLASYLDRKLLVLLRDGRKLMGTLRSFDQFANAVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELDLEREELPPHMTHVSVAEIRRAQKAEREATDLKGTMRKRMEFLDLE >KJB57058 pep chromosome:Graimondii2_0_v6:9:11149814:11151556:-1 gene:B456_009G146700 transcript:KJB57058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLSSSTLHCQTYLVFTSQNPLRTLNSSFLINIEKRALIRCSAKKKIGFVDQILDYIEGGPKLRKWYGAPELLPKDGPIVEDQEEEDYPEDEVRDAVLVTDGDSEIGQMVILSLIVKRARVKALVKDKRSANEAFGTYVESISGETSNKVFVKKALRGVRAIICPNEGFLSSIESFKGVEHIVLLSQVAVVCL >KJB57064 pep chromosome:Graimondii2_0_v6:9:11149760:11151556:-1 gene:B456_009G146700 transcript:KJB57064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLSSSTLHCQTYLVFTSQNPLRTLNSSFLINIEKRALIRCSAKKKIGFVDQILDYIEGGPKLRKWYGAPELLPKDGPIVEDQEEEDYPEDEVRDAVLVTDGDSEIGQMVILSLIVKRARVKALVKDKRSANEAFGTYVESISGETSNKVFVKKALRGVRAIICPNEGFLSSIESFKGVEHIVLLSQSKQWYSGYYEKQSKKDS >KJB57059 pep chromosome:Graimondii2_0_v6:9:11147884:11151652:-1 gene:B456_009G146700 transcript:KJB57059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLSSSTLHCQTYLVFTSQNPLRTLNSSFLINIEKRALIRCSAKKKIGFVDQILDYIEGGPKLRKWYGAPELLPKDGPIVEDQEEEDYPEDEVRDAVLVTDGDSEIGQMVILSLIVKRARVKALVKDKRSANEAFGTYVESISGETSNKVFVKKALRGVRAIICPNEGFLSSIESFKGVEHIVLLSQLFVYRASSGIQAIMKNNQRKIAEKDESVLMASGIPYTIVRAGMLQKTPGGTQGFSFEEGCSASGSLSMEDAASLCVEALEVVPQARFTFEVVNGGEKVSDWKECLTRLIGKTEQ >KJB57065 pep chromosome:Graimondii2_0_v6:9:11149416:11151556:-1 gene:B456_009G146700 transcript:KJB57065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLSSSTLHCQTYLVFTSQNPLRTLNSSFLINIEKRALIRCSAKKKIGFVDQILDYIEGGPKLRKWYGAPELLPKDGPIVEDQEEEDYPEDEVRDAVLVTDGDSEIGQMVILSLIVKRARVKALVKDKRSANEAFGTYVESISGETSNKVFVKKALRGVRAIICPNEGFLSSIESFKGVEHIVLLSQLFVYRASSGIQAIMKNNQRKIAEKDESVLMASGIPYTIVRAGMLQKTPGGTQGFSFEELTRIEQHIQAAVVSESKSMARMAICFSNSAYFLFGLFLEEGNPEKLKTMLMEL >KJB57062 pep chromosome:Graimondii2_0_v6:9:11149760:11151556:-1 gene:B456_009G146700 transcript:KJB57062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLSSSTLHCQTYLVFTSQNPLRTLNSSFLINIEKRALIRCSAKKKIGFVDQILDYIEGGPKLRKWYGAPELLPKDGPIVEDQEEEDYPEDEVRDAVLVTDGDSEIGQMVILSLIVKRARVKALVKDKRSANEAFGTYVESISGETSNKVFVKKALRGVRAIICPNEGFLSSIESFKGVEHIVLLSQSKQWYSGYYEKQSKKDS >KJB57061 pep chromosome:Graimondii2_0_v6:9:11149570:11151556:-1 gene:B456_009G146700 transcript:KJB57061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLSSSTLHCQTYLVFTSQNPLRTLNSSFLINIEKRALIRCSAKKKIGFVDQILDYIEGGPKLRKWYGAPELLPKDGPIVEDQEEEDYPEDEVRDAVLVTDGDSEIGQMVILSLIVKRARVKALVKDKRSANEAFGTYVESISGETSNKVFVKKALRGVRAIICPNEGFLSSIESFKGVEHIVLLSQLFVYRASSGIQAIMKNNQRKIAEKDESVLMASGIPYTIVRAGMLQKTPGGTQGFSFEEVNHFSLLNRPNNATYSKVIHLILIAVN >KJB57060 pep chromosome:Graimondii2_0_v6:9:11147884:11151652:-1 gene:B456_009G146700 transcript:KJB57060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLSSSTLHCQTYLVFTSQNPLRTLNSSFLINIEKRALIRCSAKKKIGFVDQILDYIEGGPKLRKWYGAPELLPKDGPIVEDQEEEDYPEDEVRDAVLVTDGDSEIGQMVILSLIVKRARVKALVKDKRSANEAFGTYVESISGETSNKVFVKKALRGVRAIICPNEGFLSSIESFKGVEHIVLLSQLFVYRASSGIQAIMKNNQRKIAEKDESVLMASGIPYTIVRAGMLQKTPGGTQGFSFEEVVNGGEKVSDWKECLTRLIGKTEQ >KJB57063 pep chromosome:Graimondii2_0_v6:9:11147884:11151665:-1 gene:B456_009G146700 transcript:KJB57063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLSSSTLHCQTYLVFTSQNPLRTLNSSFLINIEKRALIRCSAKKKIGFVDQILDYIEGGPKLRKWYGAPELLPKDGPIVEDQEEEDYPEDEVRDAVLVTDGDSEIGQMVILSLIVKRARVKALVKDKRSANEAFGTYVESISGETSNKVFVKKALRGVRAIICPNEGFLSSIESFKGVEHIVLLSQSKQWYSGYYEKQSKKDS >KJB59372 pep chromosome:Graimondii2_0_v6:9:20572964:20580604:1 gene:B456_009G252200 transcript:KJB59372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRGEEDDDIEDEEYEDDDQLLVDEDYDEDEDVGRGRGSSSKKRRRSDFIDDVAEEDDEEDEDDDDDDELYGGGGKKHSKAPRVGAQFFDLEAQVDSDEEEEEDEGEDDFIVETGADLPDEDVGRRMHRRPLPLREDEQEDVEALERSIQARYARSSHTEYDEETTDVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKCIDKGSELQIRSVIALDHLKNYIYIEADKDAHVREAVKGLRTIFAAKIMLVPIREMTDVLSVESKAADLSRDTWVRMKIGTYKGDLAQVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVAKKKAFVPPPRFMNVDEARELHIRVERRRNSITGDYFENIGGMLFKDGFLYKTVSMKSISAHNIKPTFDELEKFRTPGNNGEGEMVGLSTLFANRKKNHFLKGDAVIVVKGDLKNLKGWVEKVEEENVHIRPEMKGLPKTLTVNEKELCKYFEPGNHVKVVSGTKEGATGMVVKVEQHVLIILSDTTKEHIRVFADDVVESSEVTTGVTKIGDFELHDLVLLDNNSFGVIIRVESEAFQVLKGVPERPEVSLVKLREIKCKLDKKFNVQDRYRNPISVKDVVRILEGHCKGKQGPVEHIYKGVLFVYDRHHLEHAGFICVKADSCCIVGGSRSNGNRNGDSFSRFGSFKTPPRVPPSPSRFARGGPPFDSSGRHRGGRGGHDALIGTTVKIRQGPFKGYRGRVVDIKGQSVRVELESQMKVVTGKFSDTSRYGMGSETPMHPSRTPLHLYMTPMRDPGATPIHDGMRTPMRDRAWNPYAPMSPPRDNWEEGNPASWGTSPQYQPGSPPSRAYEAPTPGSGWASTPGGNYSEAGTPRDSNLAYANAPSPYMPSTPSGQPMTPSSGFYIPGTPGGQPMTPGTGGLDIISPVIGADNEGPWFMPDILVNVHKSGDETLGIIQEVLQDGSCKVGLGLNGSGDTIIVMPSEMEIVPPRKSDKIKIMGGSLRGVTGKLIGVDGTDGIVRIDDSLDVKILDLVMLAKLP >KJB59371 pep chromosome:Graimondii2_0_v6:9:20574890:20580604:1 gene:B456_009G252200 transcript:KJB59371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPIREMTDVLSVESKAADLSRDTWVRMKIGTYKGDLAQVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVAKKKAFVPPPRFMNVDEARELHIRVERRRNSITGDYFENIGGMLFKDGFLYKTVSMKSISAHNIKPTFDELEKFRTPGNNGEGEMVGLSTLFANRKKNHFLKGDAVIVVKGDLKNLKGWVEKVEEENVHIRPEMKGLPKTLTVNEKELCKYFEPGNHVKVVSGTKEGATGMVVKVEQHVLIILSDTTKEHIRVFADDVVESSEVTTGVTKIGDFELHDLVLLDNNSFGVIIRVESEAFQVLKGVPERPEVSLVKLREIKCKLDKKFNVQDRYRNPISVKDVVRILEGHCKGKQGPVEHIYKGVLFVYDRHHLEHAGFICVKADSCCIVGGSRSNGNRNGDSFSRFGSFKTPPRVPPSPSRFARGGPPFDSSGRHRGGRGGHDALIGTTVKIRQGPFKGYRGRVVDIKGQSVRVELESQMKVVTGKFSDTSRYGMGSETPMHPSRTPLHLYMTPMRDPGATPIHDGMRTPMRDRAWNPYAPMSPPRDNWEEGNPASWGTSPQYQPGSPPSRAYEAPTPGSGWASTPGGNYSEAGTPRDSNLAYANAPSPYMPSTPSGQPMTPSSGFYIPGTPGGQPMTPGTGGLDIISPVIGADNEGPWFMPDILVNVHKSGDETLGIIQEVLQDGSCKVGLGLNGSGDTIIVMPSEMEIVPPRKSDKIKIMGGSLRGVTGKLIGVDGTDGIVRIDDSLDVKILDLVMLAKLP >KJB59373 pep chromosome:Graimondii2_0_v6:9:20573027:20580604:1 gene:B456_009G252200 transcript:KJB59373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPIREMTDVLSVESKAADLSRDTWVRMKIGTYKGDLAQVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVAKKKAFVPPPRFMNVDEARELHIRVERRRNSITGDYFENIGGMLFKDGFLYKTVSMKSISAHNIKPTFDELEKFRTPGNNGEGEMVGLSTLFANRKKNHFLKGDAVIVVKGDLKNLKGWVEKVEEENVHIRPEMKGLPKTLTVNEKELCKYFEPGNHVKVVSGTKEGATGMVVKVEQHVLIILSDTTKEHIRVFADDVVESSEVTTGVTKIGDFELHDLVLLDNNSFGVIIRVESEAFQVLKGVPERPEVSLVKLREIKCKLDKKFNVQDRYRNPISVKDVVRILEGHCKGKQGPVEHIYKGVLFVYDRHHLEHAGFICVKADSCCIVGGSRSNGNRNGDSFSRFGSFKTPPRVPPSPSRFARGGPPFDSSGRHRGGRGGHDALIGTTVKIRQGPFKGYRGRVVDIKGQSVRVELESQMKVVTGKFSDTSRYGMGSETPMHPSRTPLHLYMTPMRDPGATPIHDGMRTPMRDRAWNPYAPMSPPRDNWEEGNPASWGTSPQYQPGSPPSRAYEAPTPGSGWASTPGGNYSEAGTPRDSNLAYANAPSPYMPSTPSGQPMTPSSGFYIPGTPGGQPMTPGTGGLDIISPVIGADNEGPWFMPDILVNVHKSGDETLGIIQEVLQDGSCKVGLGLNGSGDTIIVMPSEMEIVPPRKSDKIKIMGGSLRGVTGKLIGVDGTDGIVRIDDSLDVKILDLVMLAKLP >KJB53854 pep chromosome:Graimondii2_0_v6:9:683736:686315:-1 gene:B456_009G008100 transcript:KJB53854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIEPHYADEQQEQKATNATLTPSETTPASAASSNVNGEDAASDGFETASERDVDDDEEEHHVNNQQPSEQKASDSYQDALNDEQLKEKALAQANDAKMEGNKLFGNGQFEEALLQYEIALQITAEMPTAVELRSVCHSNRAACFLKQGKYEDTIKECTKALDLNPSYIKALVRRGEAHEKLQNFEEAIADMKKILEFDPSNNQAVKTIRRLEPLAAEKREKLKEEMMAAKLKELGNSVLGKFGMSVDNFKAVKDPNTGSYSISFQR >KJB53856 pep chromosome:Graimondii2_0_v6:9:683810:686315:-1 gene:B456_009G008100 transcript:KJB53856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIEPHYADEQQEQKATNATLTPSETTPASAASSNVNGEDAASDGFETASERDVDDDEEEHHVNNQQPSEQKASDSYQDALNDEQLKEKALAQANDAKMEGNKLFGNGQFEEALLQYEIALQITAEMPTAVELRSVCHSNRAACFLKQGKYEDTIKECTKALDLNPSYIKALVRRGEAHEKLQNFEEAIADMKKILEFDPSNNQAVKTIRRLEPLAAEKREKLKEEMMAKLKELGNSVLGKFGMSVDNFKAVKDPNTGSYSISFQR >KJB53855 pep chromosome:Graimondii2_0_v6:9:683736:686339:-1 gene:B456_009G008100 transcript:KJB53855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIEPHYADEQQEQKATNATLTPSETTPASAASSNVNGEDAASDGFETASERDVDDDEEEHHVNNQQPSEQKASDSYQDALNDEQLKEKALAQANDAKMEGNKLFGNGQFEEALLQYEIALQITAEMPTAVELRSVCHSNRAACFLKQGKYEDTIKECTKALDLNPSYIKALVRRGEAHEKLQNFEEAIADMKKILEFDPSNNQAVKTIRRLEPLAAEKREKLKEEMMAKLKELGNSVLGKFGMSVDNFKAVKDPNTGSYSISFQR >KJB63066 pep chromosome:Graimondii2_0_v6:9:70251028:70258811:-1 gene:B456_009G451700 transcript:KJB63066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] MRQGEGEAISTVEQAIDLISVVKEIHGLNSQELNKLLRDSENFTILFVTEQGLDVKIDVEKLAGFLPLHLIAVLVSSDRDEALLRYLLCGIRILHSLCELAPRHTKLEQILLDDVKVSEQLIDLVFYVLIVLNDYRQDIHDSGPVPVLLSALVACSFYLLTGCISSQWQDLALVMVAHPKVDMFMDVACRAIHLVVRFLQNKLSVQHIEICVKSSSSTESMVNYLCQQCEASLQFLQLLCQQKPFRERILKNKELCGKGGILFLAQSILKLHAPDFAESSAIVAALSRLKAKVLSILLHLCEAESISYLDEVASSPASLDLAKSVAFEVLELLKTGLSKNPKHLSASSDRTYPMGLLQLNAMRLADIFSDDSNFRSYITVYFTEILSAIFSLSHGDFLSMWCSADLPVREEDATLYYEVFAAAGWALDSVSSLDLSNTSNLEFTFIPNSNMSQASYVHQRTSLFVKIIANLHCFVPNICEEQERNLFLHKFLGCLRMDPSKLLPSYAFITGPQKASAVQRNLRSLLSHAESLIPTFLNEDDLQLLRVFFDQLQSLMNPSEFEENRVQDDRSLGGCSSPLLRREPPNLNNRNGNLKEEMSENSAFQEEHFYVRNSHMDQADGVTRRDMMDDKDKSITPSGLKEIDRDVQNVETSGSDTSSTKGKNAVDKLAERHRENADVREDEKVETVQTEEKHRRKRKRTIMNDEQVTIMERALLDEPEMQRNTALIQSWADKLSHHGSEVTCSQLRNWLNNRKARLARLSKDARPPPEPDNAFAGKQGGPQQGHSLRAPDSPGQETTPSNTRGTRSMSRMNTSENPVAPEFVDYGAAEFVQCKPGQFIVLVDGRGQEIGKGKVHQVQGKWWGKSLEESGTCVVDVVDLKADRWVKLPYPSESTGTSFEDAEKKLGVMRVMWDSNKIFMLRPQ >KJB63068 pep chromosome:Graimondii2_0_v6:9:70251353:70257954:-1 gene:B456_009G451700 transcript:KJB63068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] MRQGEGEAISTVEQAIDLISVVKEIHGLNSQELNKLLRDSENFTILFVTEQGLDVKIDVEKLAGFLPLHLIAVLVSSDRDEALLRYLLCGIRILHSLCELAPRHTKLEQILLDDVKVSEQLIDLVFYVLIVLNDYRQDIHDSGPVPVLLSALVACSFYLLTGCISSQWQDLALVMVAHPKVDMFMDVACRAIHLVVRFLQNKLSVQHIEICVKSSSSTESMVNYLCQQCEASLQFLQLLCQQKPFRERILKNKELCGKGGILFLAQSILKLHAPDFAESSAIVAALSRLKAKVLSILLHLCEAESISYLDEVASSPASLDLAKSVAFEVLELLKTGLSKNPKHLSASSDRTYPMGLLQLNAMRLADIFSDDSNFRSYITVYFTEILSAIFSLSHGDFLSMWCSADLPVREEDATLYYEVFAAAGWALDSVSSLDLSNTSNLEFTFIPNSNMSQASYVHQRTSLFVKIIANLHCFVPNICEEQERNLFLHKFLGCLRMDPSKLLPSYAFITGPQKASAVQRNLRSLLSHAESLIPTFLNEDDLQLLRVFFDQLQSLMNPSEFEENRVQDDRSLGGCSSPLLRREPPNLNNRNGNLKEEMSENSAFQEEHFYVRNSHMDQADGVTRRDMMDDKDKSITPSGLKEIDRDVQNVETSGSDTSSTKGKNAVDKLAERHRENADVREDEKVETVQTEEKHRRKRKRTIMNDEQVTIMERALLDEPEMQRNTALIQSWADKLSHHGSEVTCSQLRNWLNNRKARLARLSKDARPPPEPDNAFAGKQGGPQQGHSLRAPDSPGQETTPSNTRGTRSMSRMNTSENPVAPEFVDYGAAEFVQCKPGQFIVLVDGRGQEIGKGKVHQVQGKWWGKSLEESGTCVVDVVDLKADRWVKLPYPSESTGTSFEDAEKKLGVMRVMWDSNKIFMLRPQ >KJB63071 pep chromosome:Graimondii2_0_v6:9:70252119:70258011:-1 gene:B456_009G451700 transcript:KJB63071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] YQLCSLAFSHTLFAARFLNMRQGEGEAISTVEQAIDLISVVKEIHGLNSQELNKLLRDSENFTILFVTEQGLDVKIDVEKLAGFLPLHLIAVLVSSDRDEALLRYLLCGIRILHSLCELAPRHTKLEQILLDDVKVSEQLIDLVFYVLIVLNDYRQDIHDSGPVPVLLSALVACSFYLLTGCISSQWQDLALVMVAHPKVDMFMDVACRAIHLVVRFLQNKLSVQHIEICVKSSSSTESMVNYLCQQCEASLQFLQLLCQQKPFRERILKNKELCGKGGILFLAQSILKLHAPDFAESSAIVAALSRLKAKVLSILLHLCEAESISYLDEVASSPASLDLAKSVAFEVLELLKTGLSKNPKHLSASSDRTYPMGLLQLNAMRLADIFSDDSNFRSYITVYFTEILSAIFSLSHGDFLSMWCSADLPVREEDATLYYEVFAAAGWALDSVSSLDLSNTSNLEFTFIPNSNMSQASYVHQRTSLFVKIIANLHCFVPNICEEQERNLFLHKFLGCLRMDPSKLLPSYAFITGPQKASAVQRNLRSLLSHAESLIPTFLNEDDLQLLRVFFDQLQSLMNPSEFEENRVQDDRSLGGCSSPLLRREPPNLNNRNGNLKEEMSENSAFQEEHFYVRNSHMDQADGVTRRDMMDDKDKSITPSGLKEIDRDVQNVETSGSDTSSTKGKNAVDKLAERHRENADVREDEKVETVQTEEKHRRKRKRTIMNDEQVTIMERALLDEPEMQRNTALIQSWADKLSHHGSEVTCSQLRNW >KJB63069 pep chromosome:Graimondii2_0_v6:9:70251205:70256457:-1 gene:B456_009G451700 transcript:KJB63069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] MVAHPKVDMFMDVACRAIHLVVRFLQNKLSVQHIEICVKSSSSTESMVNYLCQQCEASLQFLQLLCQQKPFRERILKNKELCGKGGILFLAQSILKLHAPDFAESSAIVAALSRLKAKVLSILLHLCEAESISYLDEVASSPASLDLAKSVAFEVLELLKTGLSKNPKHLSASSDRTYPMGLLQLNAMRLADIFSDDSNFRSYITVYFTEILSAIFSLSHGDFLSMWCSADLPVREEDATLYYEVFAAAGWALDSVSSLDLSNTSNLEFTFIPNSNMSQASYVHQRTSLFVKIIANLHCFVPNICEEQERNLFLHKFLGCLRMDPSKLLPSYAFITGPQKASAVQRNLRSLLSHAESLIPTFLNEDDLQLLRVFFDQLQSLMNPSEFEENRVQDDRSLGGCSSPLLRREPPNLNNRNGNLKEEMSENSAFQEEHFYVRNSHMDQADGVTRRDMMDDKDKSITPSGLKEIDRDVQNVETSGSDTSSTKGKNAVDKLAERHRENADVREDEKVETVQTEEKHRRKRKRTIMNDEQVTIMERALLDEPEMQRNTALIQSWADKLSHHGSEVTCSQLRNWLNNRKARLARLSKDARPPPEPDNAFAGKQGGPQQGHSLRAPDSPGQETTPSNTRGTRSMSRMNTSENPVAPEFVDYGAAEFVQCKPGQFIVLVDGRGQEIGKGKVHQVQGKWWGKSLEESGTCVVDVVDLKADRWVKLPYPSESTGTSFEDAEKKLGVMRVMWDSNKIFMLRPQ >KJB63067 pep chromosome:Graimondii2_0_v6:9:70251028:70259083:-1 gene:B456_009G451700 transcript:KJB63067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] MRQGEGEAISTVEQAIDLISVVKEIHGLNSQELNKLLRDSENFTILFVTEQGLDVKIDVEKLAGFLPLHLIAVLVSSDRDEALLRYLLCGIRILHSLCELAPRHTKLEQILLDDVKVSEQLIDLVFYVLIVLNDYRQWQDLALVMVAHPKVDMFMDVACRAIHLVVRFLQNKLSVQHIEICVKSSSSTESMVNYLCQQCEASLQFLQLLCQQKPFRERILKNKELCGKGGILFLAQSILKLHAPDFAESSAIVAALSRLKAKVLSILLHLCEAESISYLDEVASSPASLDLAKSVAFEVLELLKTGLSKNPKHLSASSDRTYPMGLLQLNAMRLADIFSDDSNFRSYITVYFTEILSAIFSLSHGDFLSMWCSADLPVREEDATLYYEVFAAAGWALDSVSSLDLSNTSNLEFTFIPNSNMSQASYVHQRTSLFVKIIANLHCFVPNICEEQERNLFLHKFLGCLRMDPSKLLPSYAFITGPQKASAVQRNLRSLLSHAESLIPTFLNEDDLQLLRVFFDQLQSLMNPSEFEENRVQDDRSLGGCSSPLLRREPPNLNNRNGNLKEEMSENSAFQEEHFYVRNSHMDQADGVTRRDMMDDKDKSITPSGLKEIDRDVQNVETSGSDTSSTKGKNAVDKLAERHRENADVREDEKVETVQTEEKHRRKRKRTIMNDEQVTIMERALLDEPEMQRNTALIQSWADKLSHHGSEVTCSQLRNWLNNRKARLARLSKDARPPPEPDNAFAGKQGGPQQGHSLRAPDSPGQETTPSNTRGTRSMSRMNTSENPVAPEFVDYGAAEFVQCKPGQFIVLVDGRGQEIGKGKVHQVQGKWWGKSLEESGTCVVDVVDLKADRWVKLPYPSESTGTSFEDAEKKLGVMRVMWDSNKIFMLRPQ >KJB63065 pep chromosome:Graimondii2_0_v6:9:70250950:70258728:-1 gene:B456_009G451700 transcript:KJB63065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] MRQGEGEAISTVEQAIDLISVVKEIHGLNSQELNKLLRDSENFTILFVTEQGLDVKIDVEKLAGFLPLHLIAVLVSSDRDEALLRYLLCGIRILHSLCELAPRHTKLEQILLDDVKVSEQLIDLVFYVLIVLNDYRQDIHDSGPVPVLLSALVACSFYLLTGCISSQWQDLALVMVAHPKVDMFMDVACRAIHLVVRFLQNKLSVQHIEICVKSSSSTESMVNYLCQQCEASLQFLQLLCQQKPFRERILKNKELCGKGGILFLAQSILKLHAPDFAESSAIVAALSRLKAKVLSILLHLCEAESISYLDEVASSPASLDLAKSVAFEVLELLKTGLSKNPKHLSASSDRTYPMGLLQLNAMRLADIFSDDSNFRSYITVYFTEILSAIFSLSHGDFLSMWCSADLPVREEDATLYYEVFAAAGWALDSVSSLDLSNTSNLEFTFIPNSNMSQASYVHQRTSLFVKIIANLHCFVPNICEEQERNLFLHKFLGCLRMDPSKLLPSYAFITGPQKASAVQRNLRSLLSHAESLIPTFLNEDDLQLLRVFFDQLQSLMNPSEFEENRVQDDRSLGGCSSPLLRREPPNLNNRNGNLKEEMSENSAFQEEHFYVRNSHMDQADGVTRRDMMDDKDKSITPSGLKEIDRDVQNVETSGSDTSSTKGKNAVDKLAERHRENADVREDEKVETVQTEEKHRRKRKRTIMNDEQVTIMERALLDEPEMQRNTALIQSWADKLSHHGSEVTCSQLRNWLNNRKARLARLSKDARPPPEPDNAFAGKQGGPQQGHSLRAPDSPGQETTPSNTRGTRSMSRMNTSENPVAPEFVDYGAAEFVQCKPGQFIVLVDGRGQEIGKGKVHQVQGKWWGKSLEESGTCVVDVVDLKADRWVKLPYPSESTGTSFEDAEKKLGVMRVMWDSNKIFMLRPQ >KJB63070 pep chromosome:Graimondii2_0_v6:9:70251205:70258011:-1 gene:B456_009G451700 transcript:KJB63070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] YQLCSLAFSHTLFAARFLNMRQGEGEAISTVEQAIDLISVVKEIHGLNSQELNKLLRDSENFTILFVTEQGLDVKIDVEKLAGFLPLHLIAVLVSSDRDEALLRYLLCGIRILHSLCELAPRHTKLEQILLDDVKVSEQLIDLVFYVLIVLNDYRQWQDLALVMVAHPKVDMFMDVACRAIHLVVRFLQNKLSVQHIEICVKSSSSTESMVNYLCQQCEASLQFLQLLCQQKPFRERILKNKELCGKGGILFLAQSILKLHAPDFAESSAIVAALSRLKAKVLSILLHLCEAESISYLDEVASSPASLDLAKSVAFEVLELLKTGLSKNPKHLSASSDRTYPMGLLQLNAMRLADIFSDDSNFRSYITVYFTEILSAIFSLSHGDFLSMWCSADLPVREEDATLYYEVFAAAGWALDSVSSLDLSNTSNLEFTFIPNSNMSQASYVHQRTSLFVKIIANLHCFVPNICEEQERNLFLHKFLGCLRMDPSKLLPSYAFITGPQKASAVQRNLRSLLSHAESLIPTFLNEDDLQLLRVFFDQLQSLMNPSEFEENRVQDDRSLGGCSSPLLRREPPNLNNRNGNLKEEMSENSAFQEEHFYVRNSHMDQADGVTRRDMMDDKDKSITPSGLKEIDRDVQNVETSGSDTSSTKGKNAVDKLAERHRENADVREDEKVETVQTEEKHRRKRKRTIMNDEQVTIMERALLDEPEMQRNTALIQSWADKLSHHGSEVTCSQLRNWLNNRKARLARLSKDARPPPEPDNAFAGKQGGPQQGHSLRAPDSPGQETTPSNTRGTRSMSRMNTSENPVAPEFVDYGAAEFVQCKPGQFIVLVDGRGQEIGKGKVHQVQGKWWGKSLEESGTCVVDVVDLKADRWVKLPYPSESTGTSFEDAEKKLGVMRVMWDSNKIFMLRPQ >KJB62483 pep chromosome:Graimondii2_0_v6:9:65272708:65274532:1 gene:B456_009G418900 transcript:KJB62483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KJB62486 pep chromosome:Graimondii2_0_v6:9:65272708:65274532:1 gene:B456_009G418900 transcript:KJB62486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KJB62485 pep chromosome:Graimondii2_0_v6:9:65272708:65274532:1 gene:B456_009G418900 transcript:KJB62485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KJB62482 pep chromosome:Graimondii2_0_v6:9:65272708:65274532:1 gene:B456_009G418900 transcript:KJB62482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGHGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KJB62487 pep chromosome:Graimondii2_0_v6:9:65272708:65274532:1 gene:B456_009G418900 transcript:KJB62487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KJB62484 pep chromosome:Graimondii2_0_v6:9:65272708:65274532:1 gene:B456_009G418900 transcript:KJB62484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KJB60488 pep chromosome:Graimondii2_0_v6:9:28310364:28316103:-1 gene:B456_009G308200 transcript:KJB60488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DARAEFERGLEELMRGHLDDCMSFASCSSSRNPDDEDDEADQLVRRRGRSDIEGDDLAESSATRRRQSRILSMWAARQAQEMITTMERRNRESELMALAGLHTVSMLDSSFLRESESPTSRGQGGNVDRPSTQASAILQMWRELEDEHLLDRARERVRERLRQQRNADDNRTMSSMTLNESRGSDNHGSVGDVTESENEYGTWTNDQGVLQNDHGDNNGSSREQSPDLGEVERERVRQIVRGWMESGISDHSSSVTQRAGNRRAEWLRETERERVRIVREWVQMSSQQRGVHWGHREDQAAISGAQVDRVPDGSVNDQDEGQPEHIQRDLLRLRGRQAVIDLLVRTERERQRELQGLLEHRAVSDFAHRNRIHSLLRGRFLHERPVEEERPTSVAVSELNQLRQWHTVSGLREEFRNRSEAIVRGQANSDSEPMTSNVFNDSRDEISQTNMSQDVQRENNEDMQPRSLGSHFGRLPNQTGNTVSNMLAVESISWQENATQGGNWREPTTNNERESWQQSRYAQFNEWREGNAEEMDTNWQESSYSYYRQDIPGNVNGEESDSQEAQRVWHEDGSREAVENWSEGPSDPPRARRATPVGRFSRFHPPVDDNVYSMELRELLRRRSVSNLLRSGFRESLDQLIQSYVERQGRSPIDWDLHRNLPTPASPERYQEQQRDETNDNQNDASNRPSLVLPSPPVPPPQPLWQQTLHHTSWSRHSMHRSDLEWEMINDLRADMVRLQQGMNHMQKMLEACMDMQLELQRSVRQEVPAALNRSAGEKGSSAEASNDGSNWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCLKCANELVRGGGKCPLCRAPIVEVIRAYSIL >KJB54921 pep chromosome:Graimondii2_0_v6:9:3916010:3917449:1 gene:B456_009G054100 transcript:KJB54921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLTIFQLSYEFYDYSGYGGSSGKPTELNTYYDIEAVYNCLKKEYGVTQEDLIIYGQSVGSGPTLHLASRLQRPRGVVLHSAILSGIRVLYPVKMTFWFDIFKNIDKIRRVNCPVLVIHGTDDEVVDWYHGKRLWELSKEKYEPLWVKGGGHCNLETYPEYIKHLRKFINTVEKISITKLEKELDSKPSFTEEETKHNKCLRLKKKVAGSKKE >KJB54918 pep chromosome:Graimondii2_0_v6:9:3914745:3917449:1 gene:B456_009G054100 transcript:KJB54918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVTSSVAAKFAFFPPDPPTYEVCKDSDGRLVLPGIRDDLNMDVHLLDTKGGNKIVANYWKHPIAKLTLLYSHGNAADLGQMHELFIELRAHLRVNIMSYDYSGYGGSSGKPTELNTYYDIEAVYNCLKKEYGVTQEDLIIYGQSVGSGPTLHLASRLQRPRGVVLHSAILSGIRVLYPVKMTFWFDIFKNIDKIRRVNCPVLVIHGTDDEVVDWYHGKRLWELSKEKYEPLWVKGGGHCNLETYPEYIKHLRKFINTVEKISITKLEKELDSKPSFTEEETKHNKCLRLKKKVAGSKKE >KJB54919 pep chromosome:Graimondii2_0_v6:9:3914745:3917456:1 gene:B456_009G054100 transcript:KJB54919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVTSSVAAKFAFFPPDPPTYEVCKDSDGRLVLPGIRDDLNMDVHLLDTKGGNKIVANYWKHPIAKLTLLYSHGNAADLGQMHELFIELRAHLRVNIMSYDYSGYGGSSGKPTELNTYYDIEAVYNCLKKEYGVTQEDLIIYGQSVGSGPTLHLASRLQRPRGVVLHSAILSGIRVLYPVKMTFWFDIFKNIDKIRRVNCPVLVIHGTDDEVVDWYHGKRLWELSKEKYEPLWVKGGGHCNLETYPEYIKHLQEETKHNKCLRLKKKVAGSKKE >KJB54920 pep chromosome:Graimondii2_0_v6:9:3915944:3917456:1 gene:B456_009G054100 transcript:KJB54920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEHKAFHENGFNSVCLRFTPMCLTIFQLSYEFYDYSGYGGSSGKPTELNTYYDIEAVYNCLKKEYGVTQEDLIIYGQSVGSGPTLHLASRLQRPRGVVLHSAILSGIRVLYPVKMTFWFDIFKNIDKIRRVNCPVLVIHGTDDEVVDWYHGKRLWELSKEKYEPLWVKGGGHCNLETYPEYIKHLQEETKHNKCLRLKKKVAGSKKE >KJB56810 pep chromosome:Graimondii2_0_v6:9:10281549:10285502:-1 gene:B456_009G136600 transcript:KJB56810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFIAMSSIGSLGFPCSKQMDKKFFNSSGRLSSFESISSSAFLGKKQKVILRRGHSSKIRAMAKELHFNTDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGARLVRQAASKTNDLAGDGTTTSVVLAQGLITEGVKVVAAGASPIQISRGIEITTKALVSELKSMSKEVEDSELVDVAAVSAGNNYEVGNMIAEAMGKVGRKGIVTLEEGSSSENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLIHVLEEAIKGGYPIIIIAEDIEQEALATLVVNKLRGSLKIAALKAPGFGERKGQYLDDIAVLTGGTVIREEVGLSLDKAEKEVLGHAAKVVLTKETTTIVGDGSTQEAVNKRVSQIKNLVEAADQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKETLDNDEQKVGADIVKRALSYPMKLIAKNAGVNGSVVIEKVLSNDNPSYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVARTFLTSDAVVVDIKKPEPLPAGNPMDNSGYGY >KJB56809 pep chromosome:Graimondii2_0_v6:9:10281418:10286095:-1 gene:B456_009G136600 transcript:KJB56809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFIAMSSIGSLGFPCSKQMDKKFFNSSGRLSSFESISSSAFLGKKQKVILRRGHSSKIRAMAKELHFNTDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGARLVRQAASKTNDLAGDGTTTSVVLAQGLITEGVKVVAAGASPIQISRGIEITTKALVSELKSMSKEVEDSELVDVAAVSAGNNYEVGNMIAEAMGKVGRKGIVTLEEGSSSENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLIHVLEEAIKGGYPIIIIAEDIEQEALATLVVNKLRGSLKIAALKAPGFGERKGQYLDDIAVLTGGTVIREEVGLSLDKAEKEVLGHAAKVVLTKETTTIVGDGSTQEAVNKRVSQIKNLVEAADQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKETLDNDEQKVGADIVKRALSYPMKLIAKNAGVNGSVVIEKVLSNDNPSYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVARTFLTSDAVVVDIKKPEPLPAGNPMDNSGYGY >KJB55505 pep chromosome:Graimondii2_0_v6:9:5725604:5727751:-1 gene:B456_009G079400 transcript:KJB55505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSFYQTTPGQQARKPRPQHEQALKCPRCDSINTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSSSSSSSSKRSQDQPLLTSTTNPLIAGHLPPLNYDTNDLSLAFARLQKQSGFPLGFDDFGNPSLNGCTSSTSPPNPAIVDALRSSFLGNQNSLQNFYYGFGNENMGEVEDMGFNGELMVPYHNEEMSNASTVTTMKKELSIADFDSGRGTWNGFNSSWHGLLNSPLM >KJB55504 pep chromosome:Graimondii2_0_v6:9:5726014:5727366:-1 gene:B456_009G079400 transcript:KJB55504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSTRQHQEMANPSMENMSVNSKGQQARKPRPQHEQALKCPRCDSINTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSSSSSSSSKRSQDQPLLTSTTNPLIAGHLPPLNYDTNDLSLAFARLQKQSGFPLGFDDFGNPSLNGCTSSTSPPNPAIVDALRSSFLGNQNSLQNFYYGFGNENMGEVEDMGFNGELMVPYHNEEMSNASTVTTMKKELSIADFDSGRGTWNGFNSSWHGLLNSPLM >KJB55257 pep chromosome:Graimondii2_0_v6:9:4929947:4930696:-1 gene:B456_009G069500 transcript:KJB55257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVVAAHNVFVYGSLLADDVVRVLLNRVPTSSAALLNGFHRFSIKGRVYPAILPVRNRHVSGRVLMGITDPELHILDEFEDVEYQRTRVEVSLLESSDKLQAHAYVWSNASDPNLYGDWDFEVFFIFLLCY >KJB55252 pep chromosome:Graimondii2_0_v6:9:4929330:4930894:-1 gene:B456_009G069500 transcript:KJB55252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVVAAHNVFVYGSLLADDVVRVLLNRVPTSSAALLNGFHRFSIKGRVYPAILPVRNRHVSGRVLMGITDPELHILDEFEDVEYQRTRVEVSLLESSDKLQAHAYVWSNASDPNLYGDWDFEEWKQSCLDQSQEWPLTNPSISKMLLKSEESIMVPSFVFFLLSKSM >KJB55256 pep chromosome:Graimondii2_0_v6:9:4929330:4930804:-1 gene:B456_009G069500 transcript:KJB55256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVVAAHNVFVYGSLLADDVVRVLLNRVPTSSAALLNGFHRFSIKGRVYPAILPVRNRHVSGRVLMGITDPELHILDEFEDVEYQRTRVEVSLLESSDKLQAHAYVWSNASDPNLYGDWDFEEWKQVHKESFIKMTMGFMEEQELPGSKPRVATYESFYQQDAAEK >KJB55253 pep chromosome:Graimondii2_0_v6:9:4929753:4930804:-1 gene:B456_009G069500 transcript:KJB55253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVVAAHNVFVYGSLLADDVVRVLLNRVPTSSAALLNGFHRFSIKGRVYPAILPVRNRHVSGRVLMGITDPELHILDEFEDVEYQRTRVEVSLLESSDKLQAHAYVWSNASDPNLYGDWDFE >KJB55258 pep chromosome:Graimondii2_0_v6:9:4930288:4930696:-1 gene:B456_009G069500 transcript:KJB55258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVVAAHNVFVYGSLLADDVVRVLLNRVPTSSAALLNGFHRFSIKGRVYPAILPVRNRHVSGRVLMGITDPELHILDEFEDVEYQRTRVEVSLLVILFHLVFV >KJB55255 pep chromosome:Graimondii2_0_v6:9:4930288:4930696:-1 gene:B456_009G069500 transcript:KJB55255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVVAAHNVFVYGSLLADDVVRVLLNRVPTSSAALLNGFHRFSIKGRVYPAILPVRNRHVSGRVLMGITDPELHILDEFEDVEYQRTRVEVSLLVILFHLVFV >KJB55254 pep chromosome:Graimondii2_0_v6:9:4929330:4930804:-1 gene:B456_009G069500 transcript:KJB55254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVVAAHNVFVYGSLLADDVVRVLLNRVPTSSAALLNGFHRFSIKGRVYPAILPVRNRHVSGRVLMGITDPELHILDEFEDVEYQRTRVEESSDKLQAHAYVWSNASDPNLYGDWDFEEWKQVHKESFIKMTMGFMEEQELPGSKPRVATYESFYQQDAAEK >KJB60853 pep chromosome:Graimondii2_0_v6:9:32977444:32982290:-1 gene:B456_009G328200 transcript:KJB60853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:Projected from Arabidopsis thaliana (AT3G07740) UniProtKB/TrEMBL;Acc:F4JFM6] MVRIKCAVCPDFDLCVECFSVGAEITPHKCNHPYRVMDNLSFPLICPDWNADEEILLLEAIEMYGFGNWAEVAEHVGTKSKSQCIDHYNAIYMNSPCFPLPDLSHVMGKSREELLAMAKGNGLVKKEFTTHGELTLKQESPAGAKVKYETPRKEDPAHQSSSSLTGEIGSHIDSRSGGNTFLGTGKKTSNMAQIKDGIKVEEPQADRSIGEKKPRVFTEEEPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTKAERELKLRVLHIYSKRLDERKRRKDFILERNLLYPDPFERNLSPEEKEIYQRYKVFMRFHSKEEHRELLKSVIEEHRIVKRIQELQEARAAGCRTAAEANKFIEQKRKKEAEENAQRLKESVQAGPSGKVLLHGSPRGIVRGSPGLQPFSKESSTVLGQTTLSTLDDWDITGFIGADLLSDSEKQLCSEIRILPSHYLSMLQTLSMEIMKGNISKKSDAHNLFKVEPSKVDRVYDMLVKKGIVQV >KJB60851 pep chromosome:Graimondii2_0_v6:9:32977444:32982998:-1 gene:B456_009G328200 transcript:KJB60851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:Projected from Arabidopsis thaliana (AT3G07740) UniProtKB/TrEMBL;Acc:F4JFM6] MGRSRTVSRPTEEDLNQRSKRKKTASGSVDNVELPSAGLGQVPSEAKGPALYHCNYCNKDLSGMVRIKCAVCPDFDLCVECFSVGAEITPHKCNHPYRVMDNLSFPLICPDWNADEEILLLEAIEMYGFGNWAEVAEHVGTKSKSQCIDHYNAIYMNSPCFPLPDLSHVMGKSREELLAMAKGNGLVKKEFTTHGELTLKQESPAGAKVKYETPRKEDPAHQSSSSLTGEIGSHIDSRSGGNTFLGTGKKTSNMAQIKDGIKVEEPQADRSIGEKKPRVFTEEEPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTKAERELKLRVLHIYSKRLDERKRRKDFILERNLLYPDPFERNLSPEEKEIYQRYKVFMRFHSKEEHRELLKSVIEEHRIVKRIQELQEARAAGCRTAAEANKFIEQKRKKEAEENAQRLKESVQAGPSGKVLLHGSPRGIVRGSPGLQPFSKESSTVLGQTTLSTLDDWDITGFIGADLLSDSEKQLCSEIRILPSHYLSMLQTLSMEIMKGNISKKSDAHNLFKVEPSKVDRVYDMLVKKGIVQV >KJB60857 pep chromosome:Graimondii2_0_v6:9:32978382:32982806:-1 gene:B456_009G328200 transcript:KJB60857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:Projected from Arabidopsis thaliana (AT3G07740) UniProtKB/TrEMBL;Acc:F4JFM6] MGRSRTVSRPTEEDLNQRSKRKKTASGSVDNVELPSAGLGQVPSEAKGPALYHCNYCNKDLSGMVRIKCAVCPDFDLCVECFSVGAEITPHKCNHPYRVMDNLSFPLICPDWNADEEILLLEAIEMYGFGNWAEVAEHVGTKSKSQCIDHYNAIYMNSPCFPLPDLSHVMGKSREELLAMAKGNGLVKKEFTTHGELTLKQESPAGAKVKYETPRKEDPAHQSSSSLTGEIGSHIDSRSGGNTFLGTGKKTSNMAQIKDGIKVEEPQADRSIGEKKPRVFTEEEPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTKAERELKLRVLHIYSKRLDERKRRKDFILERNLLYPDPFERNLSPEEKEIYQRYKVFMRFHSKEEHRELLKSVIEEHRIVKRIQELQVNLSAGSSSCWLPNRC >KJB60856 pep chromosome:Graimondii2_0_v6:9:32977444:32983000:-1 gene:B456_009G328200 transcript:KJB60856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:Projected from Arabidopsis thaliana (AT3G07740) UniProtKB/TrEMBL;Acc:F4JFM6] MGRSRTVSRPTEEDLNQRSKRKKTASGSVDNVELPSAGLGQVPSEAKGPALYHCNYCNKDLSGMVRIKCAVCPDFDLCVECFSVGAEITPHKCNHPYRVMDNLSFPLICPDWNADEEILLLEAIEMYGFGNWAEVAEHVGTKSKSQCIDHYNAIYMNSPCFPLPDLSHVMGKSREELLAMAKGNGLVKKEFTTHGELTLKQESPAGAKVKYETPRKEDPAHQSSSSLTGEIGSHIDSRSGGNTFLGTGKKTSNMAQIKDGIKVEEPQADRSIGEKKPRVFTEEEPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTKAERELKLRVLHIYSKRLDERKRRKDFILERNLLYPDPFERNLSPEEKEIYQRYKVFMRFHSKEEHRELLKSVIEEHRIVKRIQELQEARAAGCRTAAEANKFIEQKRKKEAEENAQRLKESVQAGPSGKVLLHGSPRGIVRGSPGLQPFSKESSTVLGQTTLSTLDDWDITGFIGADLLSDSLCSEIRILPSHYLSMLQTLSMEIMKGNISKKSDAHNLFKVEPSKVDRVYDMLVKKGIVQV >KJB60852 pep chromosome:Graimondii2_0_v6:9:32977444:32982997:-1 gene:B456_009G328200 transcript:KJB60852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:Projected from Arabidopsis thaliana (AT3G07740) UniProtKB/TrEMBL;Acc:F4JFM6] MVRIKCAVCPDFDLCVECFSVGAEITPHKCNHPYRVMDNLSFPLICPDWNADEEILLLEAIEMYGFGNWAEVAEHVGTKSKSQCIDHYNAIYMNSPCFPLPDLSHVMGKSREELLAMAKGNGLVKKEFTTHGELTLKQESPAGAKVKYETPRKEDPAHQSSSSLTGEIGSHIDSRSGGNTFLGTGKKTSNMAQIKDGIKVEEPQADRSIGEKKPRVFTEEEPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTKAERELKLRVLHIYSKRLDERKRRKDFILERNLLYPDPFERNLSPEEKEIYQRYKVFMRFHSKEEHRELLKSVIEEHRIVKRIQELQEARAAGCRTAAEANKFIEQKRKKEAEENAQRLKESVQAGPSGKVLLHGSPRGIVRGSPGLQPFSKESSTVLGQTTLSTLDDWDITGFIGADLLSDSEKQLCSEIRILPSHYLSMLQTLSMEIMKGNISKKSDAHNLFKVEPSKVDRVYDMLVKKGIVQV >KJB60858 pep chromosome:Graimondii2_0_v6:9:32977411:32983000:-1 gene:B456_009G328200 transcript:KJB60858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:Projected from Arabidopsis thaliana (AT3G07740) UniProtKB/TrEMBL;Acc:F4JFM6] MGRSRTVSRPTEEDLNQRSKRKKTASGSVDNVELPSAGLGQVPSEAKGPALYHCNYCNKDLSGMVRIKCAVCPDFDLCVECFSVGAEITPHKCNHPYRVMDNLSFPLICPDWNADEEILLLEAIEMYGFGNWAEVAEHVGTKSKSQCIDHYNAIYMNSPCFPLPDLSHVMGKSREELLAMAKGNGLVKKEFTTHGELTLKQESPAGAKVKYETPRKEDPAHQSSSSLTGEIGSHIDSRSGGNTFLGTGKKTSNMAQIKDGIKVEEPQADRSIGEKKPRVFTEEEPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTKAERELKLRVLHIYSKRLDERKRRKDFILERNLLYPDPFERNLSPEEKEIYQRYKVFMRFHSKEEHRELLKSVIEEHRIVKRIQELQEARAAGCRTAAEANKFIEQKRKKEAEENAQRLKESVQAGPSGKVLLHGSPRGIVRGSPGLQPFSKESSTVLGQTTLSTLDDWDITGFIGADLLSDSEKQLCSEIRILPSHYLSMLQTLSMEIMKGNISKKSDAHNLFKVEPSKVDRVYDMLVKKGIVQV >KJB60855 pep chromosome:Graimondii2_0_v6:9:32977444:32983000:-1 gene:B456_009G328200 transcript:KJB60855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:Projected from Arabidopsis thaliana (AT3G07740) UniProtKB/TrEMBL;Acc:F4JFM6] MGRSRTVSRPTEEDLNQRSKRKKTASGSVDNVELPSAGLGQVPSEAKGPALYHCNYCNKDLSGMVRIKCAVCPDFDLCVECFSVGAEITPHKCNHPYRVMDNLSFPLICPDWNADEEILLLEAIEMYGFGNWAEVAEHVGTKSKSQCIDHYNAIYMNSPCFPLPDLSHVMGKSREELLAMAKGNGLVKKEFTTHGELTLKQESPAGAKVKYETPRKEDPAHQSSSSLTGGSHIDSRSGGNTFLGTGKKTSNMAQIKDGIKVEEPQADRSIGEKKPRVFTEEEPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTKAERELKLRVLHIYSKRLDERKRRKDFILERNLLYPDPFERNLSPEEKEIYQRYKVFMRFHSKEEHRELLKSVIEEHRIVKRIQELQEARAAGCRTAAEANKFIEQKRKKEAEENAQRLKESVQAGPSGKVLLHGSPRGIVRGSPGLQPFSKESSTVLGQTTLSTLDDWDITGFIGADLLSDSEKQLCSEIRILPSHYLSMLQTLSMEIMKGNISKKSDAHNLFKVEPSKVDRVYDMLVKKGIVQV >KJB60850 pep chromosome:Graimondii2_0_v6:9:32978382:32982806:-1 gene:B456_009G328200 transcript:KJB60850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:Projected from Arabidopsis thaliana (AT3G07740) UniProtKB/TrEMBL;Acc:F4JFM6] MGRSRTVSRPTEEDLNQRSKRKKTASGSVDNVELPSAGLGQVPSEAKGPALYHCNYCNKDLSGMVRIKCAVCPDFDLCVECFSVGAEITPHKCNHPYRVMDNLSFPLICPDWNADEEILLLEAIEMYGFGNWAEVAEHVGTKSKSQCIDHYNAIYMNSPCFPLPDLSHVMGKSREELLAMAKGNGLVKKEFTTHGELTLKQESPAGAKVKYETPRKEDPAHQSSSSLTGEIGSHIDSRSGGNTFLGTGKKTSNMAQIKDGIKVEEPQADRSIGEKKPRVFTEEEPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTKAERELKLRVLHIYSKRLDERKRRKDFILERNLLYPDPFERNLSPEEKEIYQRYKVFMRFHSKEEHRELLKSVIEEHRIVKRIQELQVNLSAGSSSCWLPNRC >KJB60854 pep chromosome:Graimondii2_0_v6:9:32977411:32982917:-1 gene:B456_009G328200 transcript:KJB60854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:Projected from Arabidopsis thaliana (AT3G07740) UniProtKB/TrEMBL;Acc:F4JFM6] MGRSRTVSRPTEEDLNQRSKRKKTASGSVDNVELPSAGLGQVPSEAKGPALYHCNYCNKDLSGMVRIKCAVCPDFDLCVECFSVGAEITPHKCNHPYRVMDNLSFPLICPDWNADEEILLLEAIEMYGFGNWAEVAEHVGTKSKSQCIDHYNAIYMNSPCFPLPDLSHVMGKSREELLAMAKGNGLVKKEFTTHGELTLKQESPAGAKVKYETPRKEDPAHQSSSSLTGEIGSHIDSRSGGNTFLGTGKKTSNMAQIKDGIKVEEPQADRSIGEKKPRVFTEEEPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTKAERELKLRVLHIYSKRLDERKRRKDFILERNLLYPDPFERNLSPEEKEIYQRYKVFMRFHSKEEHRELLKSVIEEHRIVKRIQELQEARAAGCRTAAEANKFIEQKRKKEAEENAQRLKESVQAGPSGKVLLHGSPRGIVRGSPGLQPFSKESSTVLGQTTLSTLDDWDITGFIGADLLSDSEKQLCSEIRILPSHYLSMLQTLSMEIMKGNISKKSDAHNLFKVEPSKVDRVYDMLVKKGIVQV >KJB55238 pep chromosome:Graimondii2_0_v6:9:4873778:4879490:-1 gene:B456_009G068700 transcript:KJB55238 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACDO1 [Source:Projected from Arabidopsis thaliana (AT4G31390) UniProtKB/TrEMBL;Acc:A0A178V5V0] MYDCLVGRDKEVVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFKIIEEELGQPLEALFSKISSRTIAAASLGQVYRATLRSSGEDVAIKVLRPQIEPIIYRDLFLFRTLASFLNGISIQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKGDPTVKIPLVYKNLSGSRVLVMEWIDGIRCTDPQAIKNAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIIPALEAIWQNSAGKGLSDFNFRSVTGQFNKLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLIVLAKENVAKMSSNPAIQVKNGPISRSWQTVSKLDLTDTIKDGARLVLFDEGIRRQLLLALTEDSKLHVEELVDVYKLVEDDINIPAVAMEVVQDFPNVVRDFLLSWSNSVLSDR >KJB55237 pep chromosome:Graimondii2_0_v6:9:4874124:4879358:-1 gene:B456_009G068700 transcript:KJB55237 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACDO1 [Source:Projected from Arabidopsis thaliana (AT4G31390) UniProtKB/TrEMBL;Acc:A0A178V5V0] MMNLIHSNCINRSQISSSPVSIHGVPLPRRNKKKHSAVRVSNFAASSTEVVADNGRRSLTAPVDSKSRALTSGMSSSALEQLDIERGVCIPFRKYSPETVRNKVLESRGAVASLILRGVKIVWKLGLYWSSLMYDCLVGRDKEVVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFKIIEEELGQPLEALFSKISSRTIAAASLGQVYRATLRSSGEDVAIKVLRPQIEPIIYRDLFLFRTLASFLNGISIQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKGDPTVKIPLVYKNLSGSRVLVMEWIDGIRCTDPQAIKNAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIIPALEAIWQNSAGKGLSDFNFRSVTGQFNKLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLIVLAKENVAKMSSNPAIQVKNGPISRSWQTVSKLDLTDTIKDGARLVLFDEGIRRQLLLALTEDSKLHVEELVDVYKLVEDDINIPAVAMEVVQDFPNVVRDFLLSWSNSVLSDR >KJB62154 pep chromosome:Graimondii2_0_v6:9:58655782:58659595:1 gene:B456_009G403100 transcript:KJB62154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEEKDDIYGSLLPINSAGDDSAEESECVTGEDHDNKSGHGTERKGKEDPVKELIKKKSVPQVLKILRDRVNRRIKNDQFAGMKSYIWLLGSVIQYDISFLSQPIGLTLNQILSKWPARSDLDNIKMDIKAVQNEELLKAKQFMNADEMKMKALPGLEKIEKDIKKLDKERVKDSEKTAKLAETFLTKGISLETLEEEFSKAFPWLFGEASATNKAAVDQFQVSLQSGKESGDKDMEDLEKELFNKDNCNVGFSNFPGLKDAKDSAEVKEMGDVPVDELDKHILHK >KJB62153 pep chromosome:Graimondii2_0_v6:9:58655777:58659669:1 gene:B456_009G403100 transcript:KJB62153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEEKDDIYGSLLPINSAGDDSAEESECVTGEDHDNKSGHGTERKGKEDPVKELIKKKSVPQVLKILRDRVNRRIKNDQFAGMKSYIWLLGFVIQYQISFLYQPIGQTLNQILSKWPARSDLDNIKMDIKAMEDDERLKAKQSTNADEMKMEALPGLEKIEKDIKKLDEERVKDSAKTAKLAETFLTKGISLETLEEEFSKAFPWLFGEASATNKAAVDQFQVSLQSGKESGDKDMEDLEKELFNKDNCNVGFSNFPGLKDAKDSAEVKEMGDVPVDELDKHILHK >KJB63174 pep chromosome:Graimondii2_0_v6:9:50116979:50117504:-1 gene:B456_009G3714003 transcript:KJB63174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEGEIPSKGCFSNFSSTSFMKNYALCGPPRLLVPPCKKRPPQKLPNDYIGLPTIGIVVVLIVLTIMYRRCQRRLFNLQIEGAFRSFDIECDAMRNIVHRNIVKVITCSSSVDFKAL >KJB54604 pep chromosome:Graimondii2_0_v6:9:3027475:3028667:-1 gene:B456_009G041100 transcript:KJB54604 gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhU [Source:Projected from Arabidopsis thaliana (AT5G21430) UniProtKB/TrEMBL;Acc:A0A178UN62] YTRKLSIKQVMALSTTAATTLYISGNNIHASTPKNASLFSNSTISFSAKQRRRLSIRSSGDVSSETPTTETESEKSIDEAPKGPPSLISALNVERALRGIAITDADHYGRLGLQRGCSYEQVTVAYRNKVDELLNQGLDEEELSKKMDLLKESYSILSSVEERRLYDWSLARTEQPDRYAWPFEVDITQTPTEEPPPGVPGGRRTNKSGGILHAGMANTVFRLVYCLCSIGHVFF >KJB54603 pep chromosome:Graimondii2_0_v6:9:3027383:3028809:-1 gene:B456_009G041100 transcript:KJB54603 gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhU [Source:Projected from Arabidopsis thaliana (AT5G21430) UniProtKB/TrEMBL;Acc:A0A178UN62] MALSTTAATTLYISGNNIHASTPKNASLFSNSTISFSAKQRRRLSIRSSGDVSSETPTTETESEKSIDEAPKGPPSLISALNVERALRGIAITDADHYGRLGLQRGCSYEQVTVAYRNKVDELLNQGLDEEELSKKMDLLKESYSILSSVEERRLYDWSLARTEQPDRYAWPFEVDITQTPTEEPPPGEPEDVGPTRAVGYFMLGWLILSFVLSIAFAR >KJB56951 pep chromosome:Graimondii2_0_v6:9:10873971:10875345:1 gene:B456_009G143600 transcript:KJB56951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNKHCLLQLYEAALKVSLTSFTETPLHASALAGHFDFTEALLSAKPGLASRLDSFNHPPLHLDGRIPLHLAVMRGRVEVLQELITAESNSVLEKLNGNTNYLKRQSNWVENMQGTLMLVATLIATISFQAAVSPPGGVWAQDYTDSVGAYNCKVDYGKCVARNAVLAYVYLDLYLLLTIYAMISFTTSLGVVLLAISGLPLKNKLCTRLMIIAMVLAISFVFATFETALTLVTPDHIYYT >KJB53868 pep chromosome:Graimondii2_0_v6:9:732908:733849:-1 gene:B456_009G009000 transcript:KJB53868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNCFVLAIFMALSLSNINVGVTARHLLQMPPTLPRVTLPPLPSIPNLPQPTIPTLPTTQPSLPKPGALPPLPTMPTLPTIPNAPKLSMPPLPNIPSFPTIPATMPSIPFFSPPPAKN >KJB54012 pep chromosome:Graimondii2_0_v6:9:1297190:1297797:-1 gene:B456_009G016300 transcript:KJB54012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKIVSMTATLVVLASNVLQITYAGTYTVGDTVGWIIPTDNKNFLVGDILVFKFTTGEHDVAKVTEAAYNACSSANTILTRSTGPARITLNSIGDHHFICTFADHCAEGQKLSVEVRNGPRTAPVPGTSHNTTGTPPPPPSSASSLVATISLVFMSMGIQIAGGGRHFA >KJB57041 pep chromosome:Graimondii2_0_v6:9:11124796:11128612:1 gene:B456_009G146300 transcript:KJB57041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTIALYASPPGSVCSTPHHININSCDFDLNSRSSSSTPSTAASTSSQRPILGGLSCLFSSHSVKSSFSSGGEDLGSYRGEELKDLSCSFCYSTSKFGASSFKTNQSPVSVFQGPVLCSSSSPPMRSAREKGGDGNFQGSFRSGTNGLFNGFIRGALGSCIDYDSTSFEAQSIADELPFTMEDNFAEEGNSELLLGAQMRHKIFREDLVVKAFYEAEKAHRGQMRASGDPYLQHCVETAVLLASIGANSDVVAAGLLHDTVDDSCLSYEYICRTFGAAVADLVEGVSKLSQLSKLARENNTASRTAEADRMHTMFLAMADARAVLIKLADRLHNMMTLDALPLLKQQRFAKETLEIFAPLANRLGISNWKEQLENLCFKHLDPDQHKELSSRVVDFFDEALITSAIEKLERVLRDEEIPYLVISGRHKSLYSIYSKMLKYVLVTLSHYVYLSILLYKHEHPKKSEEHGDYLYGCIRLFCFCRKKLTMDEIHDICGLRVIVENVDDCYKALRVVHQLWSEVPGKLKDYITRPKFNGYQSLHTVVMGDGTVPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKHSSFVLQMVQWARWVVTWHCETMNKDHSSIAYNDSFKPHCSFPTHLDDCPFSYKPHCCDDGPVFVIMIENDKMSVQEFPADSTMMDLLERAGLGNSRRSPFGFTVKEELRPRLNHEPVNDPTCRLKMGDVVELTPAIPDKSLTEYREEIQRMYNSGLPVSSAGTSASSMIASRT >KJB57045 pep chromosome:Graimondii2_0_v6:9:11124796:11128612:1 gene:B456_009G146300 transcript:KJB57045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASGDPYLQHCVETAVLLASIGANSDVVAAGLLHDTVDDSCLSYEYICRTFGAAVADLVEGVSKLSQLSKLARENNTASRTAEADRMHTMFLAMADARAVLIKLADRLHNMMTLDALPLLKQQRFAKETLEIFAPLANRLGISNWKEQLENLCFKHLDPDQHKELSSRVVDFFDEALITSAIEKLERVLRDEEIPYLVISGRHKSLYSIYSKMLKKKLTMDEIHDICGLRVIVENVDDCYKALRVVHQLWSEVPGKLKDYITRPKFNGYQSLHTVVMGDGTVPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKHSSFVLQMVQWARWVVTWHCETMNKDHSSIAYNDSFKPHCSFPTHLDDCPFSYKPHCCDDGPVFVIMIENDKMSVQEFPADSTMMDLLERAGLGNSRRSPFGFTVKEELRPRLNHEPVNDPTCRLKMGDVVELTPAIPDKSLTEYREEIQRMYNSGLPVSSAGTSASSMIASRT >KJB57046 pep chromosome:Graimondii2_0_v6:9:11124796:11128699:1 gene:B456_009G146300 transcript:KJB57046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTIALYASPPGSVCSTPHHININSCDFDLNSRSSSSTPSTAASTSSQRPILGGLSCLFSSHSVKSSFSSGGEDLGSYRGEELKDLSCSFCYSTSKFGASSFKTNQSPVSVFQGPVLCSSSSPPMRSAREKGGDGNFQGSFRSGTNGLFNGFIRGALGSCIDYDSTSFEAQSIADELPFTMEDNFAEEGNSELLLGAQMRHKIFREDLVVKAFYEAEKAHRGQMRASGDPYLQHCVETAVLLASIGANSDVVAAGLLHDTVDDSCLSYEYICRTFGAAVADLVEGVSKLSQLSKLARENNTASRTAEADRMHTMFLAMADARAVLIKLADRLHNMMTLDALPLLKQQRFAKETLEIFAPLANRLGISNWKEQLENLCFKHLDPDQHKELSSRVVDFFDEALITSAIEKLERVLRDEEIPYLVISGRHKSLYSIYSKMLKKKLTMDEIHDICGLRVIVENVDDCYKALRVVHQLWSEVPGKLKDYITRPKFNGYQSLHTVVMGDGTVPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKHSSFVLQMVQWARWVVTWHCETMNKDHSSIAYNDSFKPHCSFPTHLDDCPFSYKPHCCDDGPVFVIMIENDKMSVQEFPADSTMMDLLERAGLGNSRRSPFGFTVKEELRPRLNHEPVNDPTCRLKMGDVVELTPAIPDKSLTEYREEIQRMYNSGLPVSSAGTSASSMIASRT >KJB57043 pep chromosome:Graimondii2_0_v6:9:11124796:11128612:1 gene:B456_009G146300 transcript:KJB57043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTIALYASPPGSVCSTPHHININSCDFDLNSRSSSSTPSTAASTSSQRPILGGLSCLFSSHSVKSSFSSGGEDLGSYRGEELKDLSCSFCYSTSKFGASSFKTNQSPVSVFQGPVLCSSSSPPMRSAREKGGDGNFQGSFRSGTNGLFNGFIRGALGSCIDYDSTSFEAQSIADELPFTMEDNFAEEGNSELLLGAQMRHKIFREDLVVKAFYEAEKAHRGQMRASGDPYLQHCVETAVLLASIGANSDVVAAGLLHDTVDDSCLSYEYICRTFGAAVADLVEGVSKLSQLSKLARENNTASRTAEADRMHTMFLAMADARAVLIKLADRLHNMMTLDALPLLKQQRFAKETLEIFAPLANRLGISNWKEQLENLCFKHLDPDQHKELSSRVVDFFDEALITSAIEKLERVLRDEEIPYLVISGRHKSLYSIYSKMLKKKLTMDEIHDICGLRVIVENVDDCYKALRVVHQLWSEVPGKLKDYITRPKFNGCISPCTQ >KJB57040 pep chromosome:Graimondii2_0_v6:9:11124793:11128734:1 gene:B456_009G146300 transcript:KJB57040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTIALYASPPGSVCSTPHHININSCDFDLNSRSSSSTPSTAASTSSQRPILGGLSCLFSSHSVKSSFSSGGEDLGSYRGEELKDLSCSFCYSTSKFGASSFKTNQSPVSVFQGPVLCSSSSPPMRSAREKGGDGNFQGSFRSGTNGLFNGFIRGALGSCIDYDSTSFEAQSIADELPFTMEDNFAEEGNSELLLGAQMRHKIFREDLVVKAFYEAEKAHRGQMRASGDPYLQHCVETAVLLASIGANSDVVAAGLLHDTVDDSCLSYEYICRTFGAAVADLVEGVSKLSQLSKLARENNTASRTAEADRMHTMFLAMADARAVLIKLADRLHNMMTLDALPLLKQQRFAKETLEIFAPLANRLGISNWKEQLENLCFKHLDPDQHKELSSRVVDFFDEALITSAIEKLERVLRDEEIPYLVISGRHKSLYSIYSKMLKKKLTMDEIHDICGLRVIVENVDDCYKALRVVHQLWSEVPGKLKDYITRPKFNGYQSLHTVVMGDGTVPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKHSSFVLQMVQWARWVVTWHCETMNKDHSSIAYNDSFKPHCSFPTHLDDCPFSYKPHCCDDGPVFVIMIENDKMSVQEFPADSTMMDLLERAGLGNSRRSPFGFTVKEELRPRLNHEPVNDPTCRLKMGDVVELTPAIPDKSLTEYREEIQRMYNSGLPVSSAGTSASSMIASRT >KJB57044 pep chromosome:Graimondii2_0_v6:9:11124796:11128612:1 gene:B456_009G146300 transcript:KJB57044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTIALYASPPGSVCSTPHHININSCDFDLNSRSSSSTPSTAASTSSQRPILGGLSCLFSSHSVKSSFSSGGEDLGSYRGEELKDLSCSFCYSTSKFGASSFKTNQSPVSVFQGPVLCSSSSPPMRSAREKGGDGNFQGSFRSGTNGLFNGFIRGALGSCIDYDSTSFEAQSIADELPFTMEDNFAEEGNSELLLGAQMRHKIFREDLVVKAFYEAEKAHRGQMRASGDPYLQHCVETAVLLASIGANSDVVAAGLLHDTVDDSCLSYEYICRTFGAAVADLVEGVSKLSQLSKLARENNTASRTAEADRMHTMFLAMADARAVLIKLADRLHNMMTLDALPLLKQQRFAKETLEIFAPLANRLGISNWKEQLENLCFKHLDPDQHKELSSRVVDFFDEALITSAIEKLERVLRDEEIPYLVISGRHKSLYSIYSKMLKLFCFCRKKLTMDEIHDICGLRVIVENVDDCYKALRVVHQLWSEVPGKLKDYITRPKFNGYQSLHTVVMGDGTVPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKHSSFVLQMVQWARWVVTWHCETMNKDHSSIAYNDSFKPHCSFPTHLDDCPFSYKPHCCDDGPVFVIMIENDKMSVQEFPADSTMMDLLERAGLGNSRRSPFGFTVKEELRPRLNHEPVNDPTCRLKMGDVVELTPAIPDKSLTEYREEIQRMYNSGLPVSSAGTSASSMIASRT >KJB57042 pep chromosome:Graimondii2_0_v6:9:11124796:11128612:1 gene:B456_009G146300 transcript:KJB57042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTIALYASPPGSVCSTPHHININSCDFDLNSRSSSSTPSTAASTSSQRPILGGLSCLFSSHSVKSSFSSGGEDLGSYRGEELKDLSCSFCYSTSKFGASSFKTNQSPVSVFQGPVLCSSSSPPMRSAREKGGDGNFQGSFRSGTNGLFNGFIRGALGSCIDYDSTSFEAQSIADELPFTMEDNFAEEGNSELLLGAQMRHKIFREDLVVKAFYEAEKAHRGQMRASGDPYLQHCVETAVLLASIGANSDVVAAGLLHDTVDDSCLSYEYICRTFGAAVADLVEGVSKLSQLSKLARENNTASRTAEADRMHTMFLAMADARAVLIKLADRLHNMMTLDALPLLKQQRFAKETLEIFAPLANRLGISNWKEQLENLCFKHLDPDQHKELSSRVVDFFDEALITSAIEKLERVLRDEEIPYLVISGRHKSLYSIYSKMLKKKLTMDEIHDICGLRVIVENVDDCYKALRVVHQLWSEVPGKLKDYITRPKFNGYQSLHTVVMGDGTVPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKHSSFVLQMVQWARWVVTWHCETMNKDHSSIAYNDSFKPHCSFPTHLDDCPFSYKPHCCDDGPVFVIMIENDKVCFYKLSCWHGLLPRT >KJB54891 pep chromosome:Graimondii2_0_v6:9:3873991:3886515:1 gene:B456_009G053300 transcript:KJB54891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnase III family protein, Shoot apical meristem (SAM) formation and maintenanc [Source: Projected from Oryza sativa (Os04g0509300)] MTPQILLRSLYHCFIRMDLIALLIFDECHHAQIKSNHPYAEIMKVFYDKATASMLPRIFGMTASPVVGKDASSQVNLPKSINSLENLLDAKVYSVGDKEELESFVASPVVRVYDYGPVNFGSSCSTIIYCSKLEEIKRQCIPFVRKNGDIQSARNTKKLLNRMHDNIIFCLENLGIWGALQACRLLLTGNNSERNELIEDEGILSDDSVCDRYLVHAADVFASDCTRDGSANDLSDVEILKEPFFSKKLLRLVGILSTFRLQPNMKCIIFVNRIVTARSLSYILQNLKFLLFWKCHFLVGVHSGLKSMSRKTMKNILEKFRTGELNLLIATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPLSEYAFLVNSGNEKELDLIKNFKKDEDRMNVEISFRTSTEVSIGLEERIYMVDSSGASISSGYSISLLHHYCSKLPHDEYFFPKPSFYYFEDSGGTICNIILPSNAPINQIASTPQSSVNAAKKDACLKAIEELHKLGALTDHLLPLQNSVLEEETLLVSSDSGSSEDEDSRGELHEMLVPAVLKESWTNLENCVLLYAYYIKFNPNPKDRSYKEFALFVKSPLPKEAERMELDLHLSRRRSVMTKLTPSGVAEFKREEIMQAQHFQEMFFKVILDRSELLSEFITLGNVFLSSSSSTFYLLLPVILSNCENKVTVDWGIVQRCLSSPLFKPPVAAAKIENFPSDVCLHLVNGCRSIRDIENSLVYATHKRAFYFITSIVGEKNGYSPYRDSGTLNHVEHLNMSGIHLKYPEQPLLHAKPLFKLHNLLHNRKPEDSEANELEEYFIDLPPELCQLKIIGFSKEIGSSLSLLPSIMHRLENLLVAIELKHVFSASFAEGAEVTALRVLEALTTEKCQERFSLERLETLGDSFLKFAVARHLFLLHDALDEGELTRRRSNVVNNSNLFKLATRRNLQVYIRDQPFDPYQFFPLGHPCPVICTKETKGTVHPQSSCQVDHTKSEVKCSRNHHWLHKDTISDVVEALVGAFIVDRGFQAATAFLRWIGIRVDFQGSQLNSICAASKRFMPLSSLLDIGDLENLLGYQFLHKGLLLQAIVHPSFNRHGGGCYQRLEFLGDAVLDYLITSYLFSLYPKLKPGQLTDLRSVSVNNKSFANVAVDRRLHKFLMCDSCHLNEAIEKYVDFITSSSPDRGLFEGPKCPKALGDLVESCFGAILLDTGFNLNRVWKIMLSILDPIKSLSSVQLNPIREVQELSQCYNWDLQFLVAKVGRNFSVDAKVNAGDVPLCVSYSNINRKEAIRTTAHQLYVKLKALGYAPKSKSLEEVLKGSPKNEAKLIGYDETPIDVSVTDIVGFENMKLQESLVNDFNPKTRSIKRTTSSGVSCISPGSRPPPSFEVKAARGSASGIEAKGKPPNCSIVDPSCGIDSPSKGESHGRTARSQLYEICAINCWKPPLFECCKEEGPSHLRSFTYRVIVEIEEAPDMILECFSSPRTTKKAAAEHAAEGALWYLKHGGYLQ >KJB54892 pep chromosome:Graimondii2_0_v6:9:3874123:3886485:1 gene:B456_009G053300 transcript:KJB54892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnase III family protein, Shoot apical meristem (SAM) formation and maintenanc [Source: Projected from Oryza sativa (Os04g0509300)] MTPQILLRSLYHCFIRMDLIALLIFDECHHAQIKSNHPYAEIMKVFYDKATASMLPRIFGMTASPVVGKDASSQVNLPKSINSLENLLDAKVYSVGDKEELESFVASPVVRVYDYGPVNFGSSCSTIIYCSKLEEIKRQCIPFVRKNGDIQSARNTKKLLNRMHDNIIFCLENLGIWGALQACRLLLTGNNSERNELIEDEGILSDDSVCDRYLVHAADVFASDCTRDGSANDLSDVEILKEPFFSKKLLRLVGILSTFRLQPNMKCIIFVNRIVTARSLSYILQNLKFLLFWKCHFLVGVHSGLKSMSRKTMKNILEKFRTGELNLLIATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPLSEYAFLVNSGNEKELDLIKNFKKDEDRMNVEISFRTSTEVSIGLEERIYMVDSSGASISSGYSISLLHHYCSKLPHDEYFFPKPSFYYFEDSGGTICNIILPSNAPINQIASTPQSSVNAAKKDACLKAIEELHKLGALTDHLLPLQNSVLEEETLLVSSDSGSSEADEDSRGELHEMLVPAVLKESWTNLENCVLLYAYYIKFNPNPKDRSYKEFALFVKSPLPKEAERMELDLHLSRRRSVMTKLTPSGVAEFKREEIMQAQHFQEMFFKVILDRSELLSEFITLGNVFLSSSSSTFYLLLPVILSNCENKVTVDWGIVQRCLSSPLFKPPVAAAKIENFPSDVCLHLVNGCRSIRDIENSLVYATHKRAFYFITSIVGEKNGYSPYRDSGTLNHVEHLNMSGIHLKYPEQPLLHAKPLFKLHNLLHNRKPEDSEANELEEYFIDLPPELCQLKIIGFSKEIGSSLSLLPSIMHRLENLLVAIELKHVFSASFAEGAEVTALRVLEALTTEKCQERFSLERLETLGDSFLKFAVARHLFLLHDALDEGELTRRRSNVVNNSNLFKLATRRNLQVYIRDQPFDPYQFFPLGHPCPVICTKETKGTVHPQSSCQVDHTKSEVKCSRNHHWLHKDTISDVVEALVGAFIVDRGFQAATAFLRWIGIRVDFQGSQLNSICAASKRFMPLSSLLDIGDLENLLGYQFLHKGLLLQAIVHPSFNRHGGGCYQRLEFLGDAVLDYLITSYLFSLYPKLKPGQLTDLRSVSVNNKSFANVAVDRRLHKFLMCDSCHLNEAIEKYVDFITSSSPDRGLFEGPKCPKALGDLVESCFGAILLDTGFNLNRVWKIMLSILDPIKSLSSVQLNPIREVQELSQCYNWDLQFLVAKVGRNFSVDAKVNAGDVPLCVSYSNINRKEAIRTTAHQLYVKLKALGYAPKSKSLEEVLKGSPKNEAKLIGYDETPIDVSVTDIVGFENMKLQESLVNDFNPKTRSIKRTTSSGVSCISPGSRPPPSFEVKAARGSASGIEAKGKPPNCSIVDPSCGIDSPSKGESHGRTARSQLYEICAINCWKPPLFECCKEEGPSHLRSFTYRVIVEIEEAPDMILECFSSPRTTKKAAAEHAAEGALWYLKHGGYLQ >KJB54890 pep chromosome:Graimondii2_0_v6:9:3872981:3886515:1 gene:B456_009G053300 transcript:KJB54890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnase III family protein, Shoot apical meristem (SAM) formation and maintenanc [Source: Projected from Oryza sativa (Os04g0509300)] MPGGELSTDGTEPFMASKVKAFSTPSPIVEISRKDEPIMEKKEKDPRKIARKYQLELCKKAMEENIIVYLETGCGKTHIAVLLIYELGHLIRKPQNRVCIFLAPTVALVQQQARVIEDSLDFKVGTYCGNCRHLKNHHDWEIEIKEYEVLVMTPQILLRSLYHCFIRMDLIALLIFDECHHAQIKSNHPYAEIMKVFYDKATASMLPRIFGMTASPVVGKDASSQVNLPKSINSLENLLDAKVYSVGDKEELESFVASPVVRVYDYGPVNFGSSCSTIIYCSKLEEIKRQCIPFVRKNGDIQSARNTKKLLNRMHDNIIFCLENLGIWGALQACRLLLTGNNSERNELIEDEGILSDDSVCDRYLVHAADVFASDCTRDGSANDLSDVEILKEPFFSKKLLRLVGILSTFRLQPNMKCIIFVNRIVTARSLSYILQNLKFLLFWKCHFLVGVHSGLKSMSRKTMKNILEKFRTGELNLLIATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPLSEYAFLVNSGNEKELDLIKNFKKDEDRMNVEISFRTSTEVSIGLEERIYMVDSSGASISSGYSISLLHHYCSKLPHDEYFFPKPSFYYFEDSGGTICNIILPSNAPINQIASTPQSSVNAAKKDACLKAIEELHKLGALTDHLLPLQNSVLEEETLLVSSDSGSSEDEDSRGELHEMLVPAVLKESWTNLENCVLLYAYYIKFNPNPKDRSYKEFALFVKSPLPKEAERMELDLHLSRRRSVMTKLTPSGVAEFKREEIMQAQHFQEMFFKVILDRSELLSEFITLGNVFLSSSSSTFYLLLPVILSNCENKVTVDWGIVQRCLSSPLFKPPVAAAKIENFPSDVCLHLVNGCRSIRDIENSLVYATHKRAFYFITSIVGEKNGYSPYRDSGTLNHVEHLNMSGIHLKYPEQPLLHAKPLFKLHNLLHNRKPEDSEANELEEYFIDLPPELCQLKIIGFSKEIGSSLSLLPSIMHRLENLLVAIELKHVFSASFAEGAEVTALRVLEALTTEKCQERFSLERLETLGDSFLKFAVARHLFLLHDALDEGELTRRRSNVVNNSNLFKLATRRNLQVYIRDQPFDPYQFFPLGHPCPVICTKETKGTVHPQSSCQVDHTKSEVKCSRNHHWLHKDTISDVVEALVGAFIVDRGFQAATAFLRWIGIRVDFQGSQLNSICAASKRFMPLSSLLDIGDLENLLGYQFLHKGLLLQAIVHPSFNRHGGGCYQRLEFLGDAVLDYLITSYLFSLYPKLKPGQLTDLRSVSVNNKSFANVAVDRRLHKFLMCDSCHLNEAIEKYVDFITSSSPDRGLFEGPKCPKALGDLVESCFGAILLDTGFNLNRVWKIMLSILDPIKSLSSVQLNPIREVQELSQCYNWDLQFLVAKVGRNFSVDAKVNAGDVPLCVSYSNINRKEAIRTTAHQLYVKLKALGYAPKSKSLEEVLKGSPKNEAKLIGYDETPIDVSVTDIVGFENMKLQESLVNDFNPKTRSIKRTTSSGVSCISPGSRPPPSFEVKAARGSASGIEAKGKPPNCSIVDPSCGIDSPSKGESHGRTARSQLYEICAINCWKPPLFECCKEEGPSHLRSFTYRVIVEIEEAPDMILECFSSPRTTKKAAAEHAAEGALWYLKHGGYLQ >KJB53600 pep chromosome:Graimondii2_0_v6:9:9766851:9768386:1 gene:B456_009G1296002 transcript:KJB53600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIATGHEREELAAELEGKKIIEDVNNPVGPFGTKEAPAVVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKLHECPVCSQYFV >KJB55922 pep chromosome:Graimondii2_0_v6:9:7306450:7308213:1 gene:B456_009G101300 transcript:KJB55922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEVVFAEVKKSLLWYFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KJB55919 pep chromosome:Graimondii2_0_v6:9:7306295:7308213:1 gene:B456_009G101300 transcript:KJB55919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSR >KJB55921 pep chromosome:Graimondii2_0_v6:9:7307077:7307450:1 gene:B456_009G101300 transcript:KJB55921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSR >KJB55918 pep chromosome:Graimondii2_0_v6:9:7306406:7308249:1 gene:B456_009G101300 transcript:KJB55918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSR >KJB55920 pep chromosome:Graimondii2_0_v6:9:7306420:7308213:1 gene:B456_009G101300 transcript:KJB55920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSR >KJB55306 pep chromosome:Graimondii2_0_v6:9:4980814:4984435:-1 gene:B456_009G070400 transcript:KJB55306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNVKKEKQTDKGDASAEPVRTKVAGVARDESADVESNQPNREEDAADRRVLRSKYLAVITKISDARDEISNVDSNKFNIIINEVDNLHQQVSKPREQVADAEALLGLANTLATSVKSVSCEGISLADFVNCLIREFGKSTRSLETQENEQISIDWKEIGVAVSPFFRTCKGICTMLGPMSNELKQRKPMVTRKRAVRPTDTTRPDEVDETGAEEKTDTDRNMAVMFEILRRKRQVKLESLILNRSSFAQTVENLFALSFLVKDGRAEIVVNGSGSHIVSPKNAPAASSIASGEAAYSHFVFRFDFKDWKVMMNAVPVGEELMPHREDSHPTLSQAEPAANSSGASTTTPIRILSRNRGLIFQESIVDDSPEPDDANKGPGIRRCRRKLN >KJB55309 pep chromosome:Graimondii2_0_v6:9:4980913:4984324:-1 gene:B456_009G070400 transcript:KJB55309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNVKKEKQTDKGDASAEPVRTKVAGVARDESADVESNQPNREEDAADRRVLRSKYLAVITKISDARDEISNVDSNKFNIIINEVDNLHQQVSKPREQVADAEALLGLANTLATSVKSVSCEGISLADFVNCLIREFGKSTRSLETQENEQISIDWKEIGVAVSPFFRTCKGICTMLGPMSNELKQRKPMVTRKRAVRPTDTTRPDEVDETGAEEKTDTDRNMAVMFEILRRKRQVKLESLILNRSSFAQTVENLFALSFLVKDGRAEIVVNGSGSHIVSPKNAPAASSIASGEAAYSHFVFRFDFKDWKFWF >KJB55308 pep chromosome:Graimondii2_0_v6:9:4981718:4984105:-1 gene:B456_009G070400 transcript:KJB55308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNVKKEKQTDKGDASAEPVRTKVAGVARDESADVESNQPNREEDAADRRVLRSKYLAVITKISDARDEISNVDSNKFNIIINEVDNLHQQVSKPREQVADAEALLGLANTLATSVKSVSCEGISLADFVNCLIREFGKSTRSLETQENEQISIDWKEIGVAVSPFFRTCKGICTMLGPMSNELKQRKPMVTRKRAVRPTDTTRPDEVDETGAEEKTDTDRNMAVMFEILRRKRQVKLESLILNRSSFAQTVENLFALSFLVKDGRAEIVVNGSGSHIVSPKNAPAASSIASGEAAYSHFVFRFDFKDWKVWNVLSSYIIPILQELLSILFSAFALSV >KJB55307 pep chromosome:Graimondii2_0_v6:9:4980843:4984310:-1 gene:B456_009G070400 transcript:KJB55307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNVKKEKQTDKGDASAEPVRTKVAGVARDESADVESNQPNREEDAADRRVLRSKYLAVITKISDARDEISNVDSNKFNIIINEVDNLHQQVSKPREQVADAEALLGLANTLATSVKSVSCEGISLADFVNCLIREFGKSTRSLETQENEQISIDWKEIGVAVSPFFRTCKGICTMLGPMSNELKQRKPMVTRKRAVRPTDTTRPDEVDETGAEEKTDTDRNMAVMFEILRRKRQVKLESLILNRSSFAQTVENLFALSFLVKDGRAEIVVNGSGSHIVSPKNAPAASSIASGEAAYSHFVFRFDFKDWKLLMNVVPVGEELMPHREGNDECGSSWRGVDASQGRFTPDFVPGGTSGKQFRSINHNPNPNII >KJB54330 pep chromosome:Graimondii2_0_v6:9:2251981:2254580:1 gene:B456_009G029600 transcript:KJB54330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLKLIFLIFILHSFMPIPISSTPSLNKEVFAQIRPDFLDFAKKPEIMDWMVGIRRKIHENPELGYEEFETSKLIRAELDLMGIPYKYPVAVTGVVGYIGTGKPPFVALRADMDALAMEVELVEWEHKSKVPGKMHACGHDAHVAMLLGAAKMLQEHRNDLQGTIVLIFQPAEEGGGGAKKMLDAGALDNVDAIFALHVSTKFPIGVLASRPGPILAATSFFEAVINGKGGHAALPQHTVDPILAASNVIVSLQHLVSREADPLDSQVVSIGKFQGGGAFNVIPDSVTIGGTFRTFSKESFVQLKQRIEEVITKQASVQRCNAKVIFHENSSYPVCFNNKELHEHFRKVAGEMLGVQNVVEAMANMGGEDFAFFSEVIPGLFFFLGMKNETQGPLQSGHSPYYTVNEDVFPYGAALHASLATTYLSENPPKHTSPPEGSFHDEL >KJB54328 pep chromosome:Graimondii2_0_v6:9:2252589:2254268:1 gene:B456_009G029600 transcript:KJB54328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCCCCFKLQELVEWEHKSKVPGKMHACGHDAHVAMLLGAAKMLQEHRNDLQGTIVLIFQPAEEGGGGAKKMLDAGALDNVDAIFALHVSTKFPIGVLASRPGPILAATSFFEAVINGKGGHAALPQHTVDPILAASNVIVSLQHLVSREADPLDSQVVSIGKFQGGGAFNVIPDSVTIGGTFRTFSKESFVQLKQRIEEVITKQASVQRCNAKVIFHENSSYPVCFNNKELHEHFRKVAGEMLGVQNVVEAMANMGGEDFAFFSEVIPGLFFFLGMKNETQGPLQSGHSPYYTVNEDVFPYGAALHASLATTYLSENPPKHTSPPEGSFHDEL >KJB54329 pep chromosome:Graimondii2_0_v6:9:2251981:2254580:1 gene:B456_009G029600 transcript:KJB54329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLKLIFLIFILHSFMPIPISSTPSLNKEVFAQIRPDFLDFAKKPEIMDWMVGIRRKIHENPELGYEEFETSKLIRAELDLMGIPYKYPVAVTGVVGYIGTGKPPFVALRADMDALAMELVEWEHKSKVPGKMHACGHDAHVAMLLGAAKMLQEHRNDLQGTIVLIFQPAEEGGGGAKKMLDAGALDNVDAIFALHVSTKFPIGVLASRPGPILAATSFFEAVINGKGGHAALPQHTVDPILAASNVIVSLQHLVSREADPLDSQVVSIGKFQGGGAFNVIPDSVTIGGTFRTFSKESFVQLKQRIEEVITKQASVQRCNAKVIFHENSSYPVCFNNKELHEHFRKVAGEMLGVQNVVEAMANMGGEDFAFFSEVIPGLFFFLGMKNETQGPLQSGHSPYYTVNEDVFPYGAALHASLATTYLSENPPKHTSPPEGSFHDEL >KJB54327 pep chromosome:Graimondii2_0_v6:9:2251891:2254595:1 gene:B456_009G029600 transcript:KJB54327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLKLIFLIFILHSFMPIPISSTPSLNKEVFAQIRPDFLDFAKKPEIMDWMVGIRRKIHENPELGYEEFETSKLIRAELDLMGIPYKYPVAVTGVVGYIGTGKPPFVALRADMDALAMEELVEWEHKSKVPGKMHACGHDAHVAMLLGAAKMLQEHRNDLQGTIVLIFQPAEEGGGGAKKMLDAGALDNVDAIFALHVSTKFPIGVLASRPGPILAATSFFEAVINGKGGHAALPQHTVDPILAASNVIVSLQHLVSREADPLDSQVVSIGKFQGGGAFNVIPDSVTIGGTFRTFSKESFVQLKQRIEEVITKQASVQRCNAKVIFHENSSYPVCFNNKELHEHFRKVAGEMLGVQNVVEAMANMGGEDFAFFSEVIPGLFFFLGMKNETQGPLQSGHSPYYTVNEDVFPYGAALHASLATTYLSENPPKHTSPPEGSFHDEL >KJB55660 pep chromosome:Graimondii2_0_v6:9:6374049:6376963:-1 gene:B456_009G087500 transcript:KJB55660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMAEKKDVDKDKPITRVLFCGPHFPASQIYTREYLEKYPFIQVDDVPLKQVPDHIGNYDLCVSKNMLFDSNVLSRAKQMKLIMQFGVGLEGIDIDAATKHGIKVARIPGDATGNAASCAEMAIYLTLGLLRKQNEMQISVKQKMLGEPIGETLLGKTVFIMGFGNIGIDLAKRLKPFDVKIIATKRSWPSNSEVLIPKAFPTQNGVLDDLVDEKGSHGDIYEFASKADIVVCCLSMNKETVGILNKPFISSMKKGALLVNIARGGLLDYEAVVQHLESGHLGGLGIDVAWKEPFDPNDPVLKFKNVILTPHVAGVTEHSYRSMAKVVGDVALQLHAGKPLKGIELVN >KJB55661 pep chromosome:Graimondii2_0_v6:9:6374049:6376963:-1 gene:B456_009G087500 transcript:KJB55661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMAEKKDVDKDKPITRVLFCGPHFPASQIYTREYLEKYPFIQVDDVPLKQVPDHIGNYDLCVSKNMLFDSNVLSRAKQMKLIMQFGVGLEGIDIDAATKHGIKVARIPGDATGNAASCAEMAIYLTLGLLRKQNEMQISVKQKMLGEPIGETLLGKTVFIMGFGNIGIDLAKRLKPFDVKIIATKRSWPSNSEVLIPKAFPTQNGVLDDLVDEKGSHGDIYEFASKADIVVCCLSMNKETVGILNKPFISSMKKGALLVNIARGGLLDYEAVVQHLESGHLGGLGIDVAWKEPFDPNDPVLKFKNVILTPHVAGVTEHSYRSMAKVVGDVALQLHAGKPLKGIELVN >KJB55666 pep chromosome:Graimondii2_0_v6:9:6374243:6376963:-1 gene:B456_009G087500 transcript:KJB55666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMAEKKDVDKDKPITRVLFCGPHFPASQIYTREYLEKYPFIQVDDVPLKQVPDHIGNYDLCVSKNMLFDSNVLSRAKQMKLIMQFGVGLEGIDIDAATKHGIKVARIPGDATGNAASCAEMAIYLTLGLLRKQNEMQISVKQKMLGEPIGETLLGKTVFIMGFGNIGIDLAKRLKPFDVKIIATKRSWPSNSEVLIPKAFPTQNGVLDDLVDEKGSHGDIYEFASKADIVVCCLSMNKETVGILNKPFISSMKKGALLVNIARGGLLDYEAVVQHLESGHLGGLGIDVAWKEPFDPNDPVLKFKNVILTPHVAGVTEHSYRSMAKVCFLSIFLKVT >KJB55659 pep chromosome:Graimondii2_0_v6:9:6374049:6376963:-1 gene:B456_009G087500 transcript:KJB55659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMAEKKDVDKDKPITRVLFCGPHFPASQIYTREYLEKYPFIQVDDVPLKQVPDHIGNYDLCVSKNMLFDSNVLSRAKQMKLIMQFGVGLEGIDIDAATKHGIKVARIPGDATGNAASCAEMAIYLTLGLLRKQKMLGEPIGETLLGKTVFIMGFGNIGIDLAKRLKPFDVKIIATKRSWPSNSEVLIPKAFPTQNGVLDDLVDEKGSHGDIYEFASKADIVVCCLSMNKETVGILNKPFISSMKKGALLVNIARGGLLDYEAVVQHLESGHLGGLGIDVAWKEPFDPNDPVLKFKNVILTPHVAGVTEHSYRSMAKVVGDVALQLHAGKPLKGIELVN >KJB55663 pep chromosome:Graimondii2_0_v6:9:6373772:6378105:-1 gene:B456_009G087500 transcript:KJB55663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVWCIKRQIALANAKNLIPGLTCFSSPLTRSAGMSSMAEKKDVDKDKPITRVLFCGPHFPASQIYTREYLEKYPFIQVDDVPLKQVPDHIGNYDLCVSKNMLFDSNVLSRAKQMKLIMQFGVGLEGIDIDAATKHGIKVARIPGDATGNAASCAEMAIYLTLGLLRKQKMLGEPIGETLLGKTVFIMGFGNIGIDLAKRLKPFDVKIIATKRSWPSNSEVLIPKAFPTQNGVLDDLVDEKGSHGDIYEFASKADIVVCCLSMNKETVGILNKPFISSMKKGALLVNIARGGLLDYEAVVQHLESGHLGGLGIDVAWKEPFDPNDPVLKFKNVILTPHVAGVTEHSYRSMAKVVGDVALQLHAGKPLKGIELVN >KJB55658 pep chromosome:Graimondii2_0_v6:9:6373772:6378105:-1 gene:B456_009G087500 transcript:KJB55658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMAEKKDVDKDKPITRVLFCGPHFPASQIYTREYLEKYPFIQVDDVPLKQVPDHIGNYDLCVSKNMLFDSNVLSRAKQMKLIMQFGVGLEGIDIDAATKHGIKVARIPGDATGNAASCAEMAIYLTLGLLRKQKMLGEPIGETLLGKTVFIMGFGNIGIDLAKRLKPFDVKIIATKRSWPSNSEVLIPKAFPTQNGVLDDLVDEKGSHGDIYEFASKADIVVCCLSMNKETVGILNKPFISSMKKGALLVNIARGGLLDYEAVVQHLESGHLGGLGIDVAWKEPFDPNDPVLKFKNVILTPHVAGVTEHSYRSMAKVVGDVALQLHAGKPLKGIELVN >KJB55664 pep chromosome:Graimondii2_0_v6:9:6374049:6377806:-1 gene:B456_009G087500 transcript:KJB55664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVWCIKRQIALANAKNLIPGLTCFSSPLTRSAGMSSMAEKKDVDKDKPITRVLFCGPHFPASQIYTREYLEKYPFIQVDDVPLKQVPDHIGNYDLCVSKNMLFDSNVLSRAKQMKLIMQFGVGLEGIDIDAATKHGIKVARIPGDATGNAASCAEMAIYLTLGLLRKQNEMQISVKQKMLGEPIGETLLGKTVFIMGFGNIGIDLAKRLKPFDVKIIATKRSWPSNSEVLIPKAFPTQNGVLDDLVDEKGSHGDIYEFASKADIVVCCLSMNKETVGILNKPFISSMKKGALLVNIARGGLLDYEAVVQHLESGHLGGLGIDVAWKEPFDPNDPVLKFKNVILTPHVAGVTEHSYRSMAKVVGDVALQLHAGKPLKGIELVN >KJB55662 pep chromosome:Graimondii2_0_v6:9:6373780:6377847:-1 gene:B456_009G087500 transcript:KJB55662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMAEKKDVDKDKPITRVLFCGPHFPASQIYTREYLEKYPFIQVDDVPLKQVPDHIGNYDLCVSKNMLFDSNVLSRAKQMKLIMQFGVGLEGIDIDAATKHGIKVARIPGDATGNAASCAEMAIYLTLGLLRKQNEMQISVKQKMLGEPIGETLLGKTVFIMGFGNIGIDLAKRLKPFDVKIIATKRSWPSNSEVLIPKAFPTQNGVLDDLVDEKGSHGDIYEFASKADIVVCCLSMNKETVGILNKPFISSMKKGALLVNIARGGLLDYEAVVQHLESGHLGGLGIDVAWKEPFDPNDPVLKFKNVILTPHVAGVTEHSYRSMAKVVGDVALQLHAGKPLKGIELVN >KJB55665 pep chromosome:Graimondii2_0_v6:9:6373772:6378105:-1 gene:B456_009G087500 transcript:KJB55665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMAEKKDVDKDKPITRVLFCGPHFPASQIYTREYLEKYPFIQVDDVPLKQVPDHIGNYDLCVSKNMLFDSNVLSRAKQMKLIMQFGVGLEGIDIDAATKHGIKVARIPGDATGNAASCAEMAIYLTLGLLRKQKMLGEPIGETLLGKTVFIMGFGNIGIDLAKRLKPFDVKIIATKRSWPSNSEVLIPKAFPTQNGVLDDLVDEKGSHGDIYEFASKADIVVCCLSMNKETVGILNKPFISSMKKGALLVNIARGGLLDYEAVVQHLESGHLGGLGIDVAWKEPFDPNDPVLKFKNVILTPHVAGVTEHSYRSMAKVVGDVALQLHAGKPLKGIELVN >KJB62420 pep chromosome:Graimondii2_0_v6:9:64047160:64049021:-1 gene:B456_009G415800 transcript:KJB62420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSYSCMARPRRGMIGGIYRQEQGHHVAVEGRRRNTVEKNIDNHHNIPGKNTMIGVTILQVVVITAMMGVDNNIYMTIS >KJB53819 pep chromosome:Graimondii2_0_v6:9:560124:567335:1 gene:B456_009G006600 transcript:KJB53819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNKIIIDHQQQHKSQTVYLMNSPSLSPVSALPSSIPGSSDEIPRVKFLCSFLGSILPRPQDGKLRYVGGETRIVSVPRDISYVELMSKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDMVNMMEEYEKLASSDGFTRLRIFLFSHPDQDGSSYYVDGNERRYVDALKSLNEGSDFRKCDSPATSTVSDDIHLAEQFFNGMSIDESWVHIQRSGVIPTPSFNLHSHTIPHMGSGQWSPVCYSPSHHGYLTPRTLSGFPPSPSSAHYRMQEEYVRQRLNRHPQYEHQPHFPDNVAWMPNGAMSGDKVCGFPGNILHSLGVHEGNHNYEHCRATFCRNQSPHLEHHNMGNAVPQINSSCAAAECLPNQEAFMMHADGKLHLEFYSKDQTDPSSTHGETHGHERGCILQHQLNPCVEEARNHVYGFGRLNDHNVLDGAGMNSPLEHAGLADGHLMLSNYVHQRAGAELGNEVFRDQTMVASAHLHIPPEERGPCYGNYPYPHGGDNAYQASQGHVHAQSLQRNFQNHTHGAPAYEAYGLPQQINSPVNFAFLKDPAEGSAMHFVATDGQNPWVESPQKVLSSDGTAVPDIAYAHVLKVDVGPHCQETQNTVTMKPVVAPQDMLKFATATEPVQLPDQASTLIHDTSISRSNLESYDSSVIGVWGIEDKIVPLEDEANHVAKMEKSDVPSTCSPEQNKIPEDESKIAPDESSIPICLKLAEKGDDQAKHGEKDPSAAENSKLSVNRLSFIPEFVASVTKVALEEGEEVKAKVEGVAPIKHDAIEKEAAADESKSMNPHGELEWDSDNDNITLAKIEPTKAEEEAFARGLQTIKNDDLEEIRELGSGTYGAVYQGKWKGSVVAIKRIKASCFAGRPAERERMIADFWKEALILSSLHHPNVVSFYGIVRDSPDGFLATVAEFMVNGSLKQFLQKKDRTIDRHKRLIIAMDVAFGMEYLHGKNIVHFDLKCENLLVNMRDLQRPVCKIGDLGLSKVRQHTFVSGGVCGTLPWMAPELLSGKSDMVSEKIDVYSFGIVMWELLTGEEPYADIHCASIIGGIVNNTLRPKIPSWCDPEWKALMEKCWASDPTDRPSFSEISQKLRNMAAAINIE >KJB53817 pep chromosome:Graimondii2_0_v6:9:559527:567211:1 gene:B456_009G006600 transcript:KJB53817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNKIIIDHQQQHKSQTVYLMNSPSLSPVSALPSSIPGSSDEIPRVKFLCSFLGSILPRPQDGKLRYVGGETRIVSVPRDISYVELMSKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDMVNMMEEYEKLASSDGFTRLRIFLFSHPDQDGSSYYVDGNERRYVDALKSLNEGSDFRKCDSPATSTVSDDIHLAEQFFNGMSIDESWVHIQRSGVIPTPSFNLHSHTIPHMGSGQWSPVCYSPSHHGYLTPRTLSGFPPSPSSAHYRMQEEYVRQRLNRHPQYEHQPHFPDNVAWMPNGAMSGDKVCGFPGNILHSLGVHEGNHNYEHCRATFCRNQSPHLEHHNMGNAVPQINSSCAAAECLPNQEAFMMHADGKLHLEFYSKDQTDPSSTHGETHGHERGCILQHQLNPCVEEARNHVYGFGRLNDHNVLDGAGMNSPLEHAGLADGHLMLSNYVHQRAGAELGNEVFRDQTMVASAHLHIPPEERGPCYGNYPYPHGGDNAYQASQGHVHAQSLQRNFQNHTHGAPAYEAYGLPQQINSPVNFAFLKDPAEGSAMHFVATDGQNPWVESPQKVLSSDGTAVPDIAYAHVLKVDVGPHCQETQNTVTMKPVVAPQDMLKFATATEPVQLPDQASTLIHDTSISRSNLESYDSSVIGVWGIEDKIVPLEDEANHVAKMEKSDVPSTCSPEQNKIPEDESKIAPDESSIPICLKLAEKGDDQAKHGEKDPSAAENSKLSVNRLSFIPEFVASVTKVALEEGEEVKAKVEGVAPIKHDAIEKEAAADESKSMNPHGELEWDSDNDNITLAKIEPTKAEEEAFARGLQTIKNDDLEEIRELGSGTYGAVYQGKWKGSVVAIKRIKASCFAGRPAERERMIADFWKEALILSSLHHPNVVSFYGIVRDSPDGFLATVAEFMVNGSLKQFLQKKDRTIDRHKRLIIAMDVAFGMEYLHGKNIVHFDLKCENLLVNMRDLQRPVCKIGDLGLSKVRQHTFVSGGVCGTLPWMAPELLSGKSDMVSEKIDVYSFGIVMWELLTGEEPYADIHCASIIGGIVNNTLRPKIPSWCDPEWKALMEKCWASDPTDRPSFSEISQKLRNMAAAINIE >KJB53818 pep chromosome:Graimondii2_0_v6:9:559541:567165:1 gene:B456_009G006600 transcript:KJB53818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSLSPVSALPSSIPGSSDEIPRVKFLCSFLGSILPRPQDGKLRYVGGETRIVSVPRDISYVELMSKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDMVNMMEEYEKLASSDGFTRLRIFLFSHPDQDGSSYYVDGNERRYVDALKSLNEGSDFRKCDSPATSTVSDDIHLAEQFFNGMSIDESWVHIQRSGVIPTPSFNLHSHTIPHMGSGQWSPVCYSPSHHGYLTPRTLSGFPPSPSSAHYRMQEEYVRQRLNRHPQYEHQPHFPDNVAWMPNGAMSGDKVCGFPGNILHSLGVHEGNHNYEHCRATFCRNQSPHLEHHNMGNAVPQINSSCAAAECLPNQEAFMMHADGKLHLEFYSKDQTDPSSTHGETHGHERGCILQHQLNPCVEEARNHVYGFGRLNDHNVLDGAGMNSPLEHAGLADGHLMLSNYVHQRAGAELGNEVFRDQTMVASAHLHIPPEERGPCYGNYPYPHGGDNAYQASQGHVHAQSLQRNFQNHTHGAPAYEAYGLPQQINSPVNFAFLKDPAEGSAMHFVATDGQNPWVESPQKVLSSDGTAVPDIAYAHVLKVDVGPHCQETQNTVTMKPVVAPQDMLKFATATEPVQLPDQASTLIHDTSISRSNLESYDSSVIGVWGIEDKIVPLEDEANHVAKMEKSDVPSTCSPEQNKIPEDESKIAPDESSIPICLKLAEKGDDQAKHGEKDPSAAENSKLSVNRLSFIPEFVASVTKVALEEGEEVKAKVEGVAPIKHDAIEKEAAADESKSMNPHGELEWDSDNDNITLAKIEPTKAEEEAFARGLQTIKNDDLEEIRELGSGTYGAVYQGKWKGSVVAIKRIKASCFAGRPAERERMIADFWKEALILSSLHHPNVVSFYGIVRDSPDGFLATVAEFMVNGSLKQFLQKKDRTIDRHKRLIIAMDVAFGMEYLHGKNIVHFDLKCENLLVNMRDLQRPVCKIGDLGLSKVRQHTFVSGGVCGTLPWMAPELLSGKSDMVSEKIDVYSFGIVMWELLTGEEPYADIHCASIIGGIVNNTLRPKIPSWCDPEWKALMEKCWASDPTDRPSFSEISQKLRNMAAAINIE >KJB62956 pep chromosome:Graimondii2_0_v6:9:69652900:69656966:1 gene:B456_009G445400 transcript:KJB62956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVQETYAQLNPPRFWLNIQHDAFYTQHNLSMRSFVLSVTRNRNVTIDYISSPEVAFLQNIAPSFQRSATNLVFRFLGQNDVEPSTNQTYGSLLKNITFIKTFASGIIVPKSYIWPVDKDLYLLPSTSLVLDAHKEGLEVFASDFYNDVPFSFNYSYDPVAEYLQFIDNGEFSVDGMISDFPITPSAAIDCFAHLGRNASKQVDLLVISSNGASGDYPGCTDMAYSKAIQDGVDVIDCPVQMTMDGTPICLSSINLIDSTTVAQSQFSNLTTTIPQIMPGSGIFSFNLSWSEIKTLTPIISSPQSQYKLFRNPRFKNAGTFLTLSEFLTIASNASSLQGVLISIENAPFLLEQGFAVTNAVLDALSKAGYGNKTDQKVMIQSSSSSVLMKFKSQTNYDLVYKVDEDIGGAQSSTIDDIKSFASAVVISKDSVFPENSAFITSATNVVPRLKAANLSVYVQTFSNEFTSQAWDFFSDPTVEINSFYLGGGINGVITDFPKTSNRYRRNRCLNRRNQIPGYMSPVQPGSLYGLITAPYLPPAEAPNPFLTEADVNEPPLPPVAAKAPSTSPNGTALAPTSPNGQPKVAAAAIMQLLTVFLAISLLF >KJB62955 pep chromosome:Graimondii2_0_v6:9:69651203:69656998:1 gene:B456_009G445400 transcript:KJB62955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRGISVAIFVVQALLASVTLVSAQGSTNGSRWQTLSGKAPSVIAHGGFSGIFADSSFGAYSLALLTSLPDVILWCDVQLTRDGAGICFPDLKLDNNSDVAVVYKDRQKTYLVNGVSTKGWFSIDFTLRELGNVILNQGVYSRTNKFDGNSYPIMTVQETYAQLNPPRFWLNIQHDAFYTQHNLSMRSFVLSVTRNRNVTIDYISSPEVAFLQNIAPSFQRSATNLVFRFLGQNDVEPSTNQTYGSLLKNITFIKTFASGIIVPKSYIWPVDKDLYLLPSTSLVLDAHKEGLEVFASDFYNDVPFSFNYSYDPVAEYLQFIDNGEFSVDGMISDFPITPSAAIDCFAHLGRNASKQVDLLVISSNGASGDYPGCTDMAYSKAIQDGVDVIDCPVQMTMDGTPICLSSINLIDSTTVAQSQFSNLTTTIPQIMPGSGIFSFNLSWSEIKTLTPIISSPQSQYKLFRNPRFKNAGTFLTLSEFLTIASNASSLQGVLISIENAPFLLEQGFAVTNAVLDALSKAGYGNKTDQKVMIQSSSSSVLMKFKSQTNYDLVYKVDEDIGGAQSSTIDDIKSFASAVVISKDSVFPENSAFITSATNVVPRLKAANLSVYVQTFSNEFTSQAWDFFSDPTVEINSFYLGGGINGVITDFPKTSNRYRRNRCLNRRNQIPGYMSPVQPGSLYGLITAPYLPPAEAPNPFLTEADVNEPPLPPVAAKAPSTSPNGTALAPTSPNGQPKVAAAAIMQLLTVFLAISLLF >KJB54207 pep chromosome:Graimondii2_0_v6:9:1967727:1970225:1 gene:B456_009G025500 transcript:KJB54207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISLHSSLPPFLSFQNPNPNSTQFSKPIKILNFTSIPFFKSLKTPNSTIFNLQRSSKPHPLTLNHSTVLSKTPNFWGFKIENAKTLGPRNQFLRLNCYGVKDSGESNKVVVDSGGGGGGGGGDGGRDGDDGEIEKKSGSLPEWMNVTPDDAKTVIAAVAISLAFRSFVAEPRYIPSLSMYPTFDVGDRIVAEKVSYYFRKPCANDIVIFKSPPVLQEVGYTDEDVFIKRIVAKEGDTVEVHNGKLFVNGVGRNEEFINEAPSYEMAPIVRICI >KJB54206 pep chromosome:Graimondii2_0_v6:9:1967678:1970242:1 gene:B456_009G025500 transcript:KJB54206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISLHSSLPPFLSFQNPNPNSTQFSKPIKILNFTSIPFFKSLKTPNSTIFNLQRSSKPHPLTLNHSTVLSKTPNFWGFKIENAKTLGPRNQFLRLNCYGVKDSGESNKVVVDSGGGGGGGGGDGGRDGDDGEIEKKSGSLPEWMNVTPDDAKTVIAAVAISLAFRSFVAEPRYIPSLSMYPTFDVGDRIVAEKVSYYFRKPCANDIVIFKSPPVLQEVGYTDEDVFIKRIVAKEGDTVEVHNGKLFVNGVGRNEEFINEAPSYEMAPIKVPENSVFVMGDNRNNSYDSHVWGPLPAKNIIGRSVLRYWPPKRIGGTVLERGCAVDKQEESVKAPE >KJB54151 pep chromosome:Graimondii2_0_v6:9:1783411:1786125:-1 gene:B456_009G023300 transcript:KJB54151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYLFLFSLVLLFGLLPFSSQTPNPKNPNPKPPLTQAHTELINYGFPIGLLPASVTKYTLNQTSGNFAVDLGGTCKITLPPDNYLATYSKRVTGKIENGKIAELDGISVRALFKWWSITGIRSSGDNLVFEVGMVTAKYPAKNFDESPLCEGRHSSS >KJB54152 pep chromosome:Graimondii2_0_v6:9:1782054:1786072:-1 gene:B456_009G023300 transcript:KJB54152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYLFLFSLVLLFGLLPFSSQTPNPKNPNPKPPLTQAHTELINYGFPIGLLPASVTKYTLNQTSGNFAVDLGGTCKITLPPDNYLATYSKRVTGKIENGKIAELDGISVRALFKWWSITGIRSSGDNLVFEVGMVTAKYPAKNFDESPLCEGRHSSS >KJB56878 pep chromosome:Graimondii2_0_v6:9:10586635:10588269:1 gene:B456_009G140200 transcript:KJB56878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGIVVIFDFDKTIIDCDSDNWVVDELGATELFNQLLPTMPWNSLMVRLWFLDRMMKELHSQGIRIEDIATVLKRSPIHPRIIEAIKSAHALGCDLKIVSDANTFFIETILEHHGLKECFSEINTNPGFVDEQGRLRIFPHHDFTKSSHGCQHSSCPPNMCKGIVIERIQASLSMEDQKKTIIYLGDGLGDFCPTLKLGDGDYVMPRKGFPVWDLICNKRKLVNAEICEWSDGEEFENVLFHLISRIISIDRKNTSAKMGQLYSVDCKLETMPLPVATGHEAFPQALSVLH >KJB56875 pep chromosome:Graimondii2_0_v6:9:10586618:10588269:1 gene:B456_009G140200 transcript:KJB56875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGIVVIFDFDKTIIDCDSDNWVVDELGATELFNQLLPTMPWNSLMDRMMKELHSQGIRIEDIATVLKRSPIHPRIIEAIKSAHALGCDLKIVSDANTFFIETILEHHGLKECFSEINTNPGFVDEQGRLRIFPHHDFTKSSHGCQHSSCPPNMCKGIVIERIQASLSMEDQKKTIIYLGDGLGDFCPTLKLGDGDYVMPRKGFPVWDLICNKRKLVNAEICEWSDGEEFENVLFHLISRIISIDRKNTSAKMGQLYSVDCKLETMPLPVATGHEAFPQALSVLH >KJB56876 pep chromosome:Graimondii2_0_v6:9:10586601:10588269:1 gene:B456_009G140200 transcript:KJB56876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGIVVIFDFDKTIIDCDSDNWVVDELGATELFNQLLPTMPWNSLMVRLWFLVFHVDRMMKELHSQGIRIEDIATVLKRSPIHPRIIEAIKSAHALGCDLKIVSDANTFFIETILEHHGLKECFSEINTNPGFVDEQGRLRIFPHHDFTKSSHGCQHSSCPPNMCKGIVIERIQASLSMEDQKKTIIYLGDGLGDFCPTLKLGDGDYVMPRKGFPVWDLICNKRKLVNAEICEWSDGEEFENVLFHLISRIISIDRKNTSAKMGQLYSVDCKLETMPLPVATGHEAFPQALSVLH >KJB56874 pep chromosome:Graimondii2_0_v6:9:10586635:10588269:1 gene:B456_009G140200 transcript:KJB56874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKELHSQGIRIEDIATVLKRSPIHPRIIEAIKSAHALGCDLKIVSDANTFFIETILEHHGLKECFSEINTNPGFVDEQGRLRIFPHHDFTKSSHGCQHSSCPPNMCKGIVIERIQASLSMEDQKKTIIYLGDGLGDFCPTLKLGDGDYVMPRKGFPVWDLICNKRKLVNAEICEWSDGEEFENVLFHLISRIISIDRKNTSAKMGQLYSVDCKLETMPLPVATGHEAFPQALSVLH >KJB56877 pep chromosome:Graimondii2_0_v6:9:10586635:10588269:1 gene:B456_009G140200 transcript:KJB56877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKELHSQGIRIEDIATVLKRSPIHPRIIEAIKSAHALGCDLKIVSDANTFFIETILEHHGLKECFSEINTNPGFVDEQGRLRIFPHHDFTKSSHGCQHSSCPPNMCKGIVIERIQASLSMEDQKKTIIYLGDGLGDFCPTLKLGDGDYVMPRKGFPVWDLICNKRKLVNAEICEWSDGEEFENVLFHLISRIISIDRKNTSAKMGQLYSVDCKLETMPLPVATGHEAFPQALSVLH >KJB61674 pep chromosome:Graimondii2_0_v6:9:50822195:50827552:-1 gene:B456_009G374300 transcript:KJB61674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGFCEAALSNTVGTLVVNCVVKPVGRQLDYVCRFHDNVEKLREKKRELADARTRLLLKIEEAKNRLLLIQDDVQNLQSRADETLSDMGTLEEEIQLNKRCLNWCPNWSWRYQLSKKAMKKIQDISELLDKFGQLGPVGYPAPTALPTIDFLCSKELVFSKSSETAFYQIIEALKDENINMIGLWGMGGVGKTTLAREVGSQAQNLNLFDKVVITTVSQKPNLERIQDQIAQYIGFDMKNEQGRRSEQKLWLRLKNEQRILIILDDIWESINLKEKIGIPIGDDHKGCKVLLTTRRQQVCRAMDCQNVVQLDCLNDDEAWTLFEKKAGLDDFSDDSIKILANQIVKKCGGLPIAIVPLGSALKGKTHHEWQAAYRRLKDRRLTEIEDVNEENAYVCLEASFDYLKNMETKTCFLLCSLFPEDDEIYVENLVGYAWGLELYKGMDSIKDVRSEVLASIETLKNSGLLLDCGERHVKMHDVVRQFALWIASSRKEISFGTVETLPMDESFKHYTAISFETDQTDELPKGVGFPCLKLLLLGSFMETSSEFFEGMKALQVCALKDQLISLAAFKFNMNLRTLCLIDCELSDISILGKLKSLHILSLSGSDIIELPTEAGDLENLRLLDLSYCYNLEGFPPNLIQRLSDLEELYLHGCRSLEWATENSTKKESYSSLSELNSLPRSAVISLDISSEHLPHGFVFRRLWSFDVCIGIKRERRYRKRDLETWPISRSLRIDKSVDACKQLLEDVESLQLNKVEGHPNLIPSLDLGFRKLTSLDLRQCHSMQCLIDASKQQVPITALSNLRKLSLSHMFHLEEMCNAPQPQGFLQKLEEVIVSDCDIIQDPQVAYKCLLQSLKIVEIKRCNNLKYIFLMSVANSLGQLQTLKIKSCSQLEHIIQGPQVAYKCLLQSLREVCVSHCNNWTFLFLIVLLSYGRDVNDIMLTQSSLQKLKVHNCPQLTHFIISTTIQVLEFSEMTEKKQINNVTVPERRGGTSTCTEYLTISNFEELFEYSGYNLSSLKFLTLSKLTELRVIWSVGNCRRLRYIFSPTIARNLPQLCTLIIAHCEKLEQIIEKDQTSSQHHLQPICFPKLNWINIINCENLKCLFPITLVHGGLPNLGLLYLKRVSKLEQVFEGDEPNVSRDEEKVIHLPGLIDLVLGNLPNLVSFSPRGYHFVFPSLMDLRVTGCPNITTRFSVDSEKSMHAKTQACQSVDEIIVEEAATAQETAWPIGSDIEWWPI >KJB56655 pep chromosome:Graimondii2_0_v6:9:9809609:9810614:-1 gene:B456_009G130200 transcript:KJB56655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRSLQMSLNCPSYLLVRQRTLCYKRLNPVRAMPPSSVILHTDESGKFPESRKTCNSLIASLSSYDGPLLSAPNTVGILGGLSVDSTLNFLRKLVHLSKENEVKCMPFVVCSDPVLNRELSSLERSLSSLCSRNEPSQSDHTRIVENLRSKRVFLEKSGAHCIVMPCHISHSWHDEVFKGCSVHSLHMAECVARELKEAGLKPLETGSPLRIGVLATDATLKAGFYQEKLQIER >KJB56657 pep chromosome:Graimondii2_0_v6:9:9808503:9811396:-1 gene:B456_009G130200 transcript:KJB56657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRSLQMSLNCPSYLLVRQRTLCYKRLNPVRAMPPSSVILHTDESGKFPESRKTCNSLIASLSSYDGPLLSAPNTVGILGGLSVDSTLNFLRKLVHLSKENEVKCMPFVVCSDPVLNRELSSLERSLSSLCSRNEPSQSDHTRIVENLRSKRVFLEKSGAHCIVMPCHISHSWHDEVFKGCSVHSLHMAECVARELKEAGLKPLETGSPLRIGVLATDATLKAGFYQEKLQIEGFEVVMPDKATMEHAVIPAIDALNRKDMEGARNLLRIALQVLLVRAVNTVIIASDDMRDVLPRDDPLLKKCIDPMDALARSTIKWAQQAAEEGT >KJB56658 pep chromosome:Graimondii2_0_v6:9:9808546:9810982:-1 gene:B456_009G130200 transcript:KJB56658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRSLQMSLNCPSYLLVRQRTLCYKRLNPVRAMPPSSVILHTDESGKFPESRKTCNSLIASLSSYDGPLLSAPNTVGILGGLSVDSTLNFLRKLVHLSKENEVKCMPFVVCSDPVLNRELSSLERSLSSLCSRNEPSQSDHTRIVENLRSKRVFLEKSGAHCIVMPCHISHSWHDEVFKGCSVHSLHMAECVARELKEAGLKPLETGSPLRIGVLATDATLKAGFYQEKLQIEGFEVVMPDKATMEHAVIPAIDALNRKDMEGARNLLRIALQVLLVRAVNTVIIASDDMRDVLPRDDPLLKKCIDPMDALARSTIKWAQQAAEEGT >KJB56656 pep chromosome:Graimondii2_0_v6:9:9809679:9810614:-1 gene:B456_009G130200 transcript:KJB56656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRSLQMSLNCPSYLLVRQRTLCYKRLNPVRAMPPSSVILHTDESGKFPESRKTCNSLIASLSSYDGPLLSAPNTVGILGGLSVDSTLNFLRKLVHLSKENEVKCMPFVVCSDPVLNRELSSLERSLSSLCSRNEPSQSDHTRIVENLRSKRVFLEKSGAHCIVMPCHISHSWHDEVFKGCSVHSLHMAECVARELKEAGLKPLETGSPLRIGVLATDATLKAGFYQEKLQIEVLTETFTILKKSR >KJB58137 pep chromosome:Graimondii2_0_v6:9:15144037:15147843:1 gene:B456_009G196500 transcript:KJB58137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSVYRWSSLILRKMAEEINKSEIRSSPSIIAKSRSLWPSVLRWIPTSTDHIIASEKRLLSLVKTPYVQELVNIGSGPPGSKVRWFRSSSNEPRFINTVTFDSNEGSPTLVMVHGYAASQGFFFKNFDYLANRFKVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSLEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGTAGLSSESDTTYEWVTRFRATWRGAILSHLWESNFTPQKIIRGLGPWGPDLVRKYTAARFTNRYSPEGVFTEEESRLLSGIIMCTIPQLPKQVESSA >KJB58136 pep chromosome:Graimondii2_0_v6:9:15144157:15146126:1 gene:B456_009G196500 transcript:KJB58136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSVYRWSSLILRKMAEEINKSEIRSSPSIIAKSRSLWPSVLRWIPTSTDHIIASEKRLLSLVKTPYVQELVNIGSGPPGSKVRWFRSSSNEPRFINTVTFDSNEGSPTLVMVHGYAASQGFFFKNFDYLANRFKVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSLEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGTAGLSSESDTTYEWVTRFRATWRGAILSHLWESNFTPQKIIRGLGPWGPDLVRKYTAARFTNRYSPEGVFTEEESRLLSGIVRFLDFVYGFSINK >KJB58135 pep chromosome:Graimondii2_0_v6:9:15143833:15147843:1 gene:B456_009G196500 transcript:KJB58135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSVYRWSSLILRKMAEEINKSEIRSSPSIIAKSRSLWPSVLRWIPTSTDHIIASEKRLLSLVKTPYVQELVNIGSGPPGSKVRWFRSSSNEPRFINTVTFDSNEGSPTLVMVHGYAASQGFFFKNFDYLANRFKVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSLEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGTAGLSSESDTTYEWVTRFRATWRGAILSHLWESNFTPQKIIRGLGPWGPDLVRKYTAARFTNRYSPEGVFTEEESRLLSDYVYHTSAAKASGELCLKYIFAFGAFNRALLNSASEWKVPTTFIYGAEDWMNYQGAQEAREQMKVPCEIIRVPQAGHFVFLENRDGFHSAVLYACRRFLSPNPDKEPFPDDLVSA >KJB58138 pep chromosome:Graimondii2_0_v6:9:15144037:15147843:1 gene:B456_009G196500 transcript:KJB58138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSVYRWSSLILRKMAEEINKSEIRSSPSIIAKSRSLWPSVLRWIPTSTDHIIASEKRLLSLVKTPYVQELVNIGSGPPGSKVRWFRSSSNEPRFINTVTFDSNEGSPTLVMVHGYAASQGFFFKNFDYLANRFKVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSLEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGTAGLSSESDTTYEWVTRFRATWRGAILSHLWESNFTPQKIIRGLGPWGPDLVRKYTAARFTNRYSPEGVFTEEESRLLSDYVYHTSAAKASGELCLKYIFAFGAFNRALLNSASEWKVPTTFIYGAEDWMNYQGAQEAREQMKVPCEIIRVPQVCYKT >KJB61645 pep chromosome:Graimondii2_0_v6:9:50423420:50428570:1 gene:B456_009G372500 transcript:KJB61645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSGIYSLRSIRLPQRPIFPSLRNGRKTLVTSSTSVSVNQKKEKVIVISGPTGAGKTRLALELAKRLNGEIISADSVQVYRGLDVGSAKPSPSDRQEVPHHLIDILHPSEDYSVGQFFEDARQATKDILRSGHVPIVTGGTGLYLRWYIYGKPDVPKASREVAAQVYSELANFERDGDWEAAVELVVDAGDPKAQSLAANDWYRLKRSLEIIRSSGSPPSAFQVPYDSFRNQNDPSETSDTCDLKPSADELEQHKVKDLDYEFICFFLSSPRLDLYRLIDLRCEHMLSDGILSEARWLLETGLLPNSNSATRAIGYRQAMEYLLHCREQGGISSTRDFYYFLSEFQKASRNFAKRQVTWFRNERIYHWLNASRPLDKVLNFICNAYNDQSGTLHVPELLQMKKYMSNKREAAELKAYRAKNRHFVHREDCSDILDWIRSTQELNVV >KJB61644 pep chromosome:Graimondii2_0_v6:9:50423606:50428046:1 gene:B456_009G372500 transcript:KJB61644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSGIYSLRSIRLPQRPIFPSLRNGRKTLVTSSTSVSVNQKKEKVIVISGPTGAGKTRLALELAKRLNGEIISADSVQVYRGLDVGSAKPSPSDRQEVPHHLIDILHPSEDYSVGQFFEDARQATKDILRSGHVPIVTGGTGLYLRWYIYGKPDVPKASREVAAQVYSELANFERDGDWEAAVELVVDAGDPKAQSLAANDWYRLKRSLEIIRSSGSPPSAFQVPYDSFRNQNDPSETSDTCDLKPSADELEQHKVKDLDYEFICFFLSSPRLDLYRLIDLRCEHMLSDGILSEARWLLETGLLPNSNSATRAIGYRQAMEYLLHCREQGGISSTRDFYYFLSEFQKASRNFAKRQVTWFRNERIYHWLNASRPLDKVLNFICNAYNDQSGTLHVPELLQMKKYMSNKREAAELKAYRAKNRHFVHREDCSDILDWIRSTQEVKS >KJB54509 pep chromosome:Graimondii2_0_v6:9:2723229:2723892:1 gene:B456_009G036900 transcript:KJB54509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFRSTVHRVAANLLHQEQAAVHMPVSEYGGAQVMSMMHPQGHHALKQPAMAMELTRQSMRVWWIPKCI >KJB56005 pep chromosome:Graimondii2_0_v6:9:7441654:7444172:-1 gene:B456_009G103200 transcript:KJB56005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLISSPPFLVFFSFFTLCLLADPVLGITRHYKFDIKLYNVTRLCRTRSIVSVNRQFPGPRIVAREGDQLLIKVVNHVPNNISIHWHGIRQLRSGWADGPAYVTQCPIQTGQSYVYNFTIVGQRGTLFWHAHISWLRATLYGPIIILPKRGVPYPFAKPYKEVPIIFGEWFNTDPEAVISQALQTGGGPNVSDAYTINGLPGPLYNCSAKDTFKLKVKPGKTYLLRLINAALNDELFFSIANHTLTVVDVDAIYVKPFETETLLIAPGQTTNVILKTKPRYPNATFFMTARPYVTGQGTFDNSTVAGILEYESLPNSLHSSLSIKMLPLFKPNLPALNNTSFATNFVNKLRSLASAEYPANVPQKVDKHFFFTVGLGTSPCQHNQTCQGPNGTKFAASVNNVSFAMPTTALLQAHFFGQSNGVYVPDFPSSPIIPFNYTGTPPNNTMVSNGTKVVVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGRGFGNFDPNKDPAKFNLIDPIERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLRMAWIVLDGELPNQKLLPPPADLPKC >KJB58455 pep chromosome:Graimondii2_0_v6:9:16333253:16336059:1 gene:B456_009G210900 transcript:KJB58455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELKIRPCPVVFSSFSGGPKACMYKVLQMIEGSCEVEVNPDDLQLVKECFSGHIFDSSPVDFTSDLGARFVVHPTVLRMSHPPRIASWIANGIASGLDALFLSRFESHRAEYWQTLYASIRMGGPYLILCSETDDLAPYQIIHNFAQRIQQLGGDVKLVKFNGSSHVGHYRDYPVDYRAAVTELLGKASALYFGRIQQLEGEQVGSAGAHDEISEPISNISKTALSPNLSFQGTPLMQSDHFLLPSSIEYYEGRDFGSVQDEQKESLIRLPQPSSIDVNGVLGQILFDVCVPKDVEGWDMKSSDSSSRHPYTSLWRNSHFNPIKCIRRSRL >KJB58453 pep chromosome:Graimondii2_0_v6:9:16332451:16336124:1 gene:B456_009G210900 transcript:KJB58453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFGGRYYWGRKERVERRRGGGGGIIVVFAWMSSQEKHLKNYVQLYASLGWDSLVCHSEFLNMFFPEKAAALAHDLLKELVEELKIRPCPVVFSSFSGGPKACMYKVLQMIEGSCEVEVNPDDLQLVKECFSGHIFDSSPVDFTSDLGARFVVHPTVLRMSHPPRIASWIANGIASGLDALFLSRFESHRAEYWQTLYASIRMGGPYLILCSETDDLAPYQIIHNFAQRIQQLGGDVKLVKFNGSSHVGHYRDYPVDYRAAVTELLGKASALYFGRIQQLEGEQVGSAGAHDEISEPISNISKTALSPNLSFQGTPLMQSDHFLLPSSIEYYEGRDFGSVQDEQKESLIRLPQPSSIDVNGVLGQILFDVCVPKDVEGWDMKSSDSSSRHPYTSLWRNSHFNPIKCIRRSRL >KJB58454 pep chromosome:Graimondii2_0_v6:9:16333890:16335516:1 gene:B456_009G210900 transcript:KJB58454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYEHCYGHLSSTCLVNLLLLPMKDDLQLVKECFSGHIFDSSPVDFTSDLGARFVVHPTVLRMSHPPRIASWIANGIASGLDALFLSRFESHRAEYWQTLYASIRMGGPYLILCSETDDLAPYQIIHNFAQRIQQLGGDVKLVKFNGSSHVGHYRDYPVDYRAAVTELLGKASALYFGRIQQLEGEQVGSAGAHDEISEPISNISKTALSPNLSFQGTPLMQSDHFLLPSSIEYYEGRDFGSVQDEQKESLIRLPQPSSIDVNGVLGQILFDVCVPKDVEGWDMKSSDSSSRHPYTSLWRNSHFNPIKCIRRSRL >KJB58750 pep chromosome:Graimondii2_0_v6:9:17536381:17541734:1 gene:B456_009G224600 transcript:KJB58750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDTSHEIAIYLHRFHNLDLFQQGWYQLKITVRWDNDAHATTAMPSRVLQYEAPNFGCEGGGYGVWRIDEKDNSFLTQPFRIKYARQDVLLFTMVAFDLPLKGNEGPSTSAVVLKFELLYAPLLDNGSEFQASPDSCPAAVHEFRIPSKALLGLHSYCPVYFDAFHAVLVDVSVHITLLKAGSYHDSMKVSSNSRTATADVAHESTGGSTQALNQAAPSDLKQVMLVKALLDARETLIVELQKLGSAINRTVDLTEYTSRMNDTKLFDSFLQANQVTADNEVSGQGKPQNGPEKANGGLDFQSDRLPQNLSKEDVTRMFNISGDQVLHLWSTFLTFHRDNKTKIMEVLHDAWAKDRKAEWSIWMVYSKSEMPQHHINGSSDERTTHHVGHKRGSSLWKLSDDPAHMAAVRADLHRRSIGQMKINNRSIQDMQIFGDPSAIPIVIVERVLNTPQRSLSYNSYMKNLDLKDSVPSRIDVSSEDGKKPSSATTAKKVRDLKIVVFVHGFQGHHLDLRLVRNQWLLLDPKIEFLMSEANEEKTSGDFREMGLRLAQEVISFLKKKMDKAAKYGRLGDIKLSFVGHSIGNVIIRTAIADTVMEPYLGYLHTYLSLSGPHLGYLYSSNSLFNSGLWVLKKFKGTQCIHQLTFTDDPDICNTFFYKLSKNSGKFQEYNPPLFTPGWLRPVSFCED >KJB58751 pep chromosome:Graimondii2_0_v6:9:17536381:17541734:1 gene:B456_009G224600 transcript:KJB58751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDTSHEIAIYLHRFHNLDLFQQGWYQLKITVRWDNDAHATTAMPSRVLQYEAPNFGCEGGGYGVWRIDEKDNSFLTQPFRIKYARQDVLLFTMVAFDLPLKGNEGPSTSAVVLKFELLYAPLLDNGSEFQASPDSCPAAVHEFRIPSKALLGLHSYCPVYFDAFHAVLVDVSVHITLLKAGSYHDSMKVSSNSRTATADVAHESTGGSTQALNQAAPSDLKQVMLVKALLDARETLIVELQKLGSAINRTVDLTEYTSRMNDTKLFDSFLQANQVTADNEVSGQGKPQNGPEKANGGLDFQSDRLPQNLSKEDVTRMFNISGDQVLHLWSTFLTFHRDNKTKIMEVLHDAWAKDRKAEWSIWMVYSKSEMPQHHINGSSDERTTHHVGHKRGSSLWKLSDDPAHMAAVRADLHRRSIGQMKINNRSIQDMQIFGDPSAIPIVIVERVLNTPQRSLSYNSYMKNLDLKDSVPSRIDVSSEDGKKPSSATTAKKVRDLKIVVFVHGFQGHHLDLRLVRNQWLLLDPKIEFLMSEANEEKTSGDFREMGLRLAQEVISFLKKKMDKAAKYGRLGDIKLSFVGHSIGNVIIRTAIADTVMEPYLGYLHTYLSLSGPHLGYLYSSNSLFNSGLWVLKKFKGTQCIHQLTFTDDPDICNTFFYKLCKQKTLENFKNIILLSSPQDGYVPYHSARIESCKAASVDNSKKGKAFLEMLNSCLDQLRAPTTEDRVFLRSDVNFDTSAYGKNLNSFIGRAAHIEFLESDIFARFIMWSFPNLFK >KJB54346 pep chromosome:Graimondii2_0_v6:9:2309995:2313588:1 gene:B456_009G030600 transcript:KJB54346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMAKQIVETNGLSDVVTVLKGKIEEIELPVAKVDIIISEWMGYFLLFENMLNTVLYARDKWLVDDGVVLPDEASLYLTAIEDAEYKDDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQKQIVTNCHLLKTMDISKMVPGDASFTAPFKLIAERDDYIHAFVAYFDVSFTKCHKLMGFSTGPRSRATHWKQTVLYLEDVLTICEGEAIIGSMTVAPNKKNPRDVDIMVKYSLSGRRCVVSRVQFYKMR >KJB54348 pep chromosome:Graimondii2_0_v6:9:2309995:2313588:1 gene:B456_009G030600 transcript:KJB54348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLCVNLPFLVFQEMLKDAVRTKTYQNVIYRNKFLFQNKIVLDVGAGTGILSLFCAKAGAAHVYAVECSHMADMAKQIVETNGLSDVVTVLKGKIEEIELPVAKVDIIISEWMGYFLLFENMLNTVLYARDKWLVDDGVVLPDEASLYLTAIEDAEYKDDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQKQIVTNCHLLKTMDISKMVPGDASFTAPFKLIAERDDYIHAFVAYFDVSFTKCHKLMGFSTGPRSRATHWKQTVLYLEDVLTICEGEAIIGSMTVAPNKKNPRDVDIMVKYSLSGRRCVVSRVQFYKMR >KJB54345 pep chromosome:Graimondii2_0_v6:9:2309975:2313672:1 gene:B456_009G030600 transcript:KJB54345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKSTNTTPTSTNSSNNFEGANIRFRDADDKEIASNSSNLDDSIVAVDKASEDVSMGEPDVSFFGCDGEDDKTSADYYFDSYSHFGIHEEMLKDAVRTKTYQNVIYRNKFLFQNKIVLDVGAGTGILSLFCAKAGAAHVYAVECSHMADMAKQIVETNGLSDVVTVLKGKIEEIELPVAKVDIIISEWMGYFLLFENMLNTVLYARDKWLVDDGVVLPDEASLYLTAIEDAEYKDDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQKQIVTNCHLLKTMDISKMVPGDASFTAPFKLIAERDDYIHAFVAYFDVSFTKCHKLMGFSTGPRSRATHWKQTVLYLEDVLTICEGEAIIGSMTVAPNKKNPRDVDIMVKYSLSGRRCVVSRVQFYKMR >KJB54347 pep chromosome:Graimondii2_0_v6:9:2309995:2313588:1 gene:B456_009G030600 transcript:KJB54347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMAKQIVETNGLSDVVTVLKGKIEEIELPVAKVDIIISEWMGYFLLFENMLNTVLYARDKWLVDDGVVLPDEASLYLTAIEDAEYKDDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQKQIVTNCHLLKTMDISKMVPGDASFTAPFKLIAERDDYIHAFVAYFDVSFTKCHKLMGFSTGPRSRATHWKQTVLYLEDVLTICEGEAIIGSMTVAPNKKNPRDVDIMVKYSLSGRRCVVSRVQFYKMR >KJB62296 pep chromosome:Graimondii2_0_v6:9:61869307:61873060:-1 gene:B456_009G410400 transcript:KJB62296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactin [Source:Projected from Arabidopsis thaliana (AT1G03910) UniProtKB/Swiss-Prot;Acc:F4I2J8] MAGSSRSKRRSYDDSASESESDETDSGDSSPDRRYRKRSKDRSRRSKSKSSRHSRSRSRRGRDSEEDSGDDSDGSDRGRSKKKRSSRNITEEEIAEYMAKKAQKKAMRAAKKLKAQTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVSQGVPLDTFSVKAEKKRQKERMAEIEKVKKRREERALEKAQHEEEMALLARERARAEFDDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDILSKHLNGSDDMDIELNEPYMVFKGLTVKEMEELRDDIKMHLDLDRATPTHIVYWEALMVVCDWELAEARKKDALDRARVRGEEPPAELLAEERGLHSTIEADVKNLLEGKTHRELEALQSQIESQMRTGTAKVVEYWEAVLKRLHIFKAKACLKEIHAKMLRKHLQRLEQPSEGEDRLESDNGLRPVEEASDHDEKVDAETYSPELIIQEETHEVEEEAGSFSPELLHGDENEEAIDPEEDRAILERKRMAVLEEQQRRMQEALKSKPAPSEDNFELKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPVYTIEKDGNSSETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >KJB62294 pep chromosome:Graimondii2_0_v6:9:61869307:61873060:-1 gene:B456_009G410400 transcript:KJB62294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactin [Source:Projected from Arabidopsis thaliana (AT1G03910) UniProtKB/Swiss-Prot;Acc:F4I2J8] MAGSSRSKRRSYDDSASESESDETDSGDSSPDRRYRKRSKDRSRRSKSKSSRHSRSRSRRGRDSEEDSGDDSDGSDRGRSKKKRSSRNITEEEIAEYMAKKAQKKAMRAAKKLKAQTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVSQGVPLDTFSVKAEKKRQKERMAEIEKVKKRREERALEKAQHEEEMALLARERARAEFDDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDILSKHLNGSDDMDIELNEPYMVFKGLTVKEMEELRDDIKMHLDLDRATPTHIVYWEALMVVCDWELAEARKKDALDRARVRGEEPPAELLAEERGLHSTIEADVKNLLEGKTHRELEALQSQIESQMRTGTAKVVEYWEAVLKRLHIFKAKACLKEIHAKMLRKHLQRLEQPSEGEDRLESDNGLRPVEEASDHDEKVDAETYSPELIIQEETHEVEEEAGSFSPELLHGDENEEAIDPEEDRAILERKRMAVLEEQQRRMQEALKSKPAPSEDNFELKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPVYTIEKDGNSSETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >KJB62298 pep chromosome:Graimondii2_0_v6:9:61868948:61873182:-1 gene:B456_009G410400 transcript:KJB62298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactin [Source:Projected from Arabidopsis thaliana (AT1G03910) UniProtKB/Swiss-Prot;Acc:F4I2J8] MAGSSRSKRRSYDDSASESESDETDSGDSSPDRRYRKRSKDRSRRSKSKSSRHSRSRSRRGRDSEEDSGDDSDGSDRGRSKKKRSSRNITEEEIAEYMAKKAQKKAMRAAKKLKAQTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVSQGVPLDTFSVKAEKKRQKERMAEIEKVKKRREERALEKAQHEEEMALLARERARAEFDDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDILSKHLNGSDDMDIELNEPYMVFKGLTVKEMEELRDDIKMHLDLDRATPTHIVYWEALMVVCDWELAEARKKDALDRARVRGEEPPAELLAEERGLHSTIEADVKNLLEGKTHRELEALQSQIESQMRTGTAKVVEYWEAVLKRLHIFKAKACLKEIHAKMLRKHLQRLEQPSEGEDRLESDNGLRPVEEASDHDEKDAETYSPELIIQEETHEVEEEAGSFSPELLHGDENEEAIDPEEDRAILERKRMAVLEEQQRRMQEALKSKPAPSEDNFELKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPVYTIEKDGNSSETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >KJB62297 pep chromosome:Graimondii2_0_v6:9:61869307:61873060:-1 gene:B456_009G410400 transcript:KJB62297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactin [Source:Projected from Arabidopsis thaliana (AT1G03910) UniProtKB/Swiss-Prot;Acc:F4I2J8] MAGSSRSKRRSYDDSASESESDETDSGDSSPDRRYRKRSKDRSRRSKSKSSRHSRSRSRRGRDSEEDSGDDSDGSDRGRSKKKRSSRNITEEEIAEYMAKKAQKKAMRAAKKLKAQTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVSQGVPLDTFSVKAEKKRQKERMAEIEKVKKRREERALEKAQHEEEMALLARERARAEFDDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDILSKHLNGSDDMDIELNEPYMVFKGLTVKEMEELRDDIKMHLDLDRATPTHIVYWEALMVVCDWELAEARKKDALDRARVRGEEPPAELLAEERGLHSTIEADVKNLLEGKTHRELEALQSQIESQMRTGTAKVVEYWEAVLKRLHIFKAKACLKEIHAKMLRKHLQRLEQPSEGEDRLESDNGLRPVEEASDHDEKVDAETYSPELIIQEETHEVEEEAGSFSPELLHGDENEEAIDPEEDRAILERKRMAVLEEQQRRMQEALKSKPAPSEDNFELKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPVYTIEKDGNSSETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >KJB62293 pep chromosome:Graimondii2_0_v6:9:61868606:61873182:-1 gene:B456_009G410400 transcript:KJB62293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactin [Source:Projected from Arabidopsis thaliana (AT1G03910) UniProtKB/Swiss-Prot;Acc:F4I2J8] MAGSSRSKRRSYDDSASESESDETDSGDSSPDRRYRKRSKDRSRRSKSKSSRHSRSRSRRGRDSEEDSGDDSDGSDRGRSKKKRSSRNITEEEIAEYMAKKAQKKAMRAAKKLKAQTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVSQGVPLDTFSVKAEKKRQKERMAEIEKVKKRREERALEKAQHEEEMALLARERARAEFDDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDILSKHLNGSDDMDIELNEPYMVFKGLTVKEMEELRDDIKMHLDLDRATPTHIVYWEALMVVCDWELAEARKKDALDRARVRGEEPPAELLAEERGLHSTIEADVKNLLEGKTHRELEALQSQIESQMRTGTAKVVEYWEAVLKRLHIFKAKACLKEIHAKMLRKHLQRLEQPSEGEDRLESDNGLRPVEEASDHDEKDAETYSPELIIQEETHEVEEEAGSFSPELLHGDENEEAIDPEEDRAILERKRMAVLEEQQRRMQEALKSKPAPSEDNFELKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPVYTIEKDGNSSETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >KJB62295 pep chromosome:Graimondii2_0_v6:9:61868536:61873182:-1 gene:B456_009G410400 transcript:KJB62295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactin [Source:Projected from Arabidopsis thaliana (AT1G03910) UniProtKB/Swiss-Prot;Acc:F4I2J8] MAGSSRSKRRSYDDSASESESDETDSGDSSPDRRYRKRSKDRSRRSKSKSSRHSRSRSRRGRDSEEDSGDDSDGSDRGRSKKKRSSRNITEEEIAEYMAKKAQKKAMRAAKKLKAQTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVSQGVPLDTFSVKAEKKRQKERMAEIEKVKKRREERALEKAQHEEEMALLARERARAEFDDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDILSKHLNGSDDMDIELNEPYMVFKGLTVKEMEELRDDIKMHLDLDRATPTHIVYWEALMVVCDWELAEARKKDALDRARVRGEEPPAELLAEERGLHSTIEADVKNLLEGKTHRELEALQSQIESQMRTGTAKVVEYWEAVLKRLHIFKAKACLKEIHAKMLRKHLQRLEQPSEGEDRLESDNGLRPVEEASDHDEKDAETYSPELIIQEETHEVEEEAGSFSPELLHGDENEEAIDPEEDRAILERKRMAVLEEQQRRMQEALKSKPAPSEDNFELKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPVYTIEKDGNSSETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >KJB62299 pep chromosome:Graimondii2_0_v6:9:61868970:61873182:-1 gene:B456_009G410400 transcript:KJB62299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactin [Source:Projected from Arabidopsis thaliana (AT1G03910) UniProtKB/Swiss-Prot;Acc:F4I2J8] MAGSSRSKRRSYDDSASESESDETDSGDSSPDRRYRKRSKDRSRRSKSKSSRHSRSRSRRGRDSEEDSGDDSDGSDRGRSKKKRSSRNITEEEIAEYMAKKAQKKAMRAAKKLKAQTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVSQGVPLDTFSVKAEKKRQKERMAEIEKVKKRREERALEKAQHEEEMALLARERARAEFDDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDILSKHLNGSDDMDIELNEPYMVFKGLTVKEMEELRDDIKMHLDLDRATPTHIVYWEALMVVCDWELAEARKKDALDRARVRGEEPPAELLAEERGLHSTIEADVKNLLEGKTHRELEALQSQIESQMRTGTAKVVEYWEAVLKRLHIFKAKACLKEIHAKMLRKHLQRLEQPSEGEDRLESDNGLRPVEEASDHDEKDAETYSPELIIQEETHEVEEEAGSFSPELLHGDENEEAIDPEEDRAILERKRMAVLEEQQRRMQEALKSKPAPSEDNFELKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPVYTIEKDGNSSETCIIRFHAGPPYEDIVS >KJB59043 pep chromosome:Graimondii2_0_v6:9:18661936:18665215:-1 gene:B456_009G236200 transcript:KJB59043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFNCFSLLTARKKKDKGAGEQKNGRTLQIKLQQPVKPLESDELKSTTFSVPAPVGFKEDCNVKVIRHGSPVGCEAVEKAYEGEDEHEENASIKREFSDFDLQAHVANSSEEFDFGTKRVASSGSFETEAKEEVEKAVDKVQSGHVSDPGMRRAEFWASPMLKRSCSDLERRNVLREVADHFPPSQSFEDLQDLSAGLNLGSPASVMTRCSADRVMLKKHSSSQVLPSRSRKLWWKFFLWSHRNSHNWMTKPQPAPITATLNQQGGYSSDTIDLHQAMNLSKMESPGSFTGESLNKGFTGNCDDNRSWKGFHNGVSGLWPQNQWVAFPAPSSSFSRVDEWVRDLETEIVQPADDVNDEEGITFPPSPDTGKSPARNTAYSTRRSDINLSEEILHANSVIQTLNSSSTVAHISGIGLKAIPIISGFTSLRSVNLSNNFITHITPGSLPKGVHILNLSRNKISTIEGLRELTRLRVVDLSYNRIARIGHGLSNCILIKELYLAGNKISDVEGLHRFLKLTVLDLSFNKITTTRALGQLVANYNSLQALNLLGNPVQSNVSDDQLRKAVCSLLPKLTYLNKQPIKPQRAREVLTDSVAKAALGSGSWSSRRKASKRTSQGASTSASVHRGSVGVGHKNKNKLKSRSRYHSSAKLPALASSSR >KJB59047 pep chromosome:Graimondii2_0_v6:9:18663008:18664442:-1 gene:B456_009G236200 transcript:KJB59047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFNCFSLLTARKKKDKGAGEQKNGRTLQIKLQQPVKPLESDELKSTTFSVPAPVGFKEDCNVKVIRHGSPVGCEAVEKAYEGEDEHEENASIKREFSDFDLQAHVANSSEEFDFGTKRVASSGSFETEAKEEVEKAVDKVQSGHVSDPGMRRAEFWASPMLKRSCSDLERRNVLREVADHFPPSQSFEDLQDLSAGLNLGSPASVMTRCSADRVMLKKHSSSQVLPSRSRKLWWKFFLWSHRNSHNWMTKPQPAPITATLNQQGGYSSDTIDLHQAMNLSKMESPGSFTGESLNKGFTGNCDDNRSWKGFHNGVSGLWPQNQWVAFPAPSSSFSRVDEWVRDLETEIVQPADDVNDEEGITFPPSPDTGKSPARNTAYSTRRSDINLSEEILHANSVIQTLNSSSTVAHISGIGLKAIPIISGFTSLRSVNLSNNFISKLLKRS >KJB59046 pep chromosome:Graimondii2_0_v6:9:18661936:18665084:-1 gene:B456_009G236200 transcript:KJB59046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFNCFSLLTARKKKDKGAGEQKNGRTLQIKLQQPVKPLESDELKSTTFSVPAPVGFKEDCNVKVIRHGSPVGCEAVEKAYEGEDEHEENASIKREFSDFDLQAHVANSSEEFDFGTKRVASSGSFETEAKEEVEKAVDKVQSGHVSDPGMRRAEFWASPMLKRSCSDLERRNVLREVADHFPPSQSFEDLQDLSAGLNLGSPASVMTRCSADRVMLKKHSSSQVLPSRSRKLWWKFFLWSHRNSHNWMTKPQPAPITATLNQQGGYSSDTIDLHQAMNLSKMESPGSFTGESLNKGFTGNCDDNRSWKGFHNGVSGLWPQNQWVAFPAPSSSFSRVDEWVRDLETEIVQPADDVNDEEGITFPPSPDTGKSPARNTAYSTRRSDINLSEEILHANSVIQTLNSSSTVAHISGIGLKAIPIISGFTSLRSVNLSNNFITHITPGSLPKGVHILNLSRNKISTIEGLRELTRLRVVDLSYNRIARIGHGLSNCILIKELYLAGNKISDVEGLHRFLKLTVLDLSFNKITTTRALGQLVANYNSLQALNLLGNPVQSNVSDDQLRKAVCSLLPKLTYLNKQPIKPQRAREVLTDSVAKAALGSGSWSSRRKASKRTSQGASTSASVHRGSVGVGHKNKNKLKSRSRYHSSAKLPALASSSR >KJB59049 pep chromosome:Graimondii2_0_v6:9:18661936:18665084:-1 gene:B456_009G236200 transcript:KJB59049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAEFWASPMLKRSCSDLERRNVLREVADHFPPSQSFEDLQDLSAGLNLGSPASVMTRCSADRVMLKKHSSSQVLPSRSRKLWWKFFLWSHRNSHNWMTKPQPAPITATLNQQGGYSSDTIDLHQAMNLSKMESPGSFTGESLNKGFTGNCDDNRSWKGFHNGVSGLWPQNQWVAFPAPSSSFSRVDEWVRDLETEIVQPADDVNDEEGITFPPSPDTGKSPARNTAYSTRRSDINLSEEILHANSVIQTLNSSSTVAHISGIGLKAIPIISGFTSLRSVNLSNNFITHITPGSLPKGVHILNLSRNKISTIEGLRELTRLRVVDLSYNRIARIGHGLSNCILIKELYLAGNKISDVEGLHRFLKLTVLDLSFNKITTTRALGQLVANYNSLQALNLLGNPVQSNVSDDQLRKAVCSLLPKLTYLNKQPIKPQRAREVLTDSVAKAALGSGSWSSRRKASKRTSQGASTSASVHRGSVGVGHKNKNKLKSRSRYHSSAKLPALASSSR >KJB59045 pep chromosome:Graimondii2_0_v6:9:18663008:18664442:-1 gene:B456_009G236200 transcript:KJB59045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFNCFSLLTARKKKDKGAGEQKNGRTLQIKLQQPVKPLESDELKSTTFSVPAPVGFKEDCNVKVIRHGSPVGCEAVEKAYEGEDEHEENASIKREFSDFDLQAHVANSSEEFDFGTKRVASSGSFETEAKEEVEKAVDKVQSGHVSDPGMRRAEFWASPMLKRSCSDLERRNVLREVADHFPPSQSFEDLQDLSAGLNLGSPASVMTRCSADRVMLKKHSSSQVLPSRSRKLWWKFFLWSHRNSHNWMTKPQPAPITATLNQQGGYSSDTIDLHQAMNLSKMESPGSFTGESLNKGFTGNCDDNRSWKGFHNGVSGLWPQNQWVAFPAPSSSFSRVDEWVRDLETEIVQPADDVNDEEGITFPPSPDTGKSPARNTAYSTRRSDINLSEEILHANSVIQTLNSSSTVAHISGIGLKAIPIISGFTSLRSVNLSNNFISKLLKRS >KJB59048 pep chromosome:Graimondii2_0_v6:9:18662643:18664442:-1 gene:B456_009G236200 transcript:KJB59048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFNCFSLLTARKKKDKGAGEQKNGRTLQIKLQQPVKPLESDELKSTTFSVPAPVGFKEDCNVKVIRHGSPVGCEAVEKAYEGEDEHEENASIKREFSDFDLQAHVANSSEEFDFGTKRVASSGSFETEAKEEVEKAVDKVQSGHVSDPGMRRAEFWASPMLKRSCSDLERRNVLREVADHFPPSQSFEDLQDLSAGLNLGSPASVMTRCSADRVMLKKHSSSQVLPSRSRKLWWKFFLWSHRNSHNWMTKPQPAPITATLNQQGGYSSDTIDLHQAMNLSKMESPGSFTGESLNKGFTGNCDDNRSWKGFHNGVSGLWPQNQWVAFPAPSSSFSRVDEWVRDLETEIVQPADDVNDEEGITFPPSPDTGKSPARNTAYSTRRSDINLSEEILHANSVIQTLNSSSTVAHISGIGLKAIPIISGFTSLRSVNLSNNFITHITPGSLPKGVHILNLSRNKISTIEGLRELTRLRVVDLSYNRIARIGHGRVVKLHTDQRTVPCWEQDK >KJB59044 pep chromosome:Graimondii2_0_v6:9:18661902:18665487:-1 gene:B456_009G236200 transcript:KJB59044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFNCFSLLTARKKKDKGAGEQKNGRTLQIKLQQPVKPLESDELKSTTFSVPAPVGFKEDCNVKVIRHGSPVGCEAVEKAYEGEDEHEENASIKREFSDFDLQAHVANSSEEFDFGTKRVASSGSFETEAKEEVEKAVDKVQSGHVSDPGMRRAEFWASPMLKRSCSDLERRNVLREVADHFPPSQSFEDLQDLSAGLNLGSPASVMTRCSADRVMLKKHSSSQVLPSRSRKLWWKFFLWSHRNSHNWMTKPQPAPITATLNQQGGYSSDTIDLHQAMNLSKMESPGSFTGESLNKGFTGNCDDNRSWKGFHNGVSGLWPQNQWVAFPAPSSSFSRVDEWVRDLETEIVQPADDVNDEEGITFPPSPDTGKSPARNTAYSTRRSDINLSEEILHANSVIQTLNSSSTVAHISGIGLKAIPIISGFTSLRSVNLSNNFITHITPGSLPKGVHILNLSRNKISTIEGLRELTRLRVVDLSYNRIARIGHGLSNCILIKELYLAGNKISDVEGLHRFLKLTVLDLSFNKITTTRALGQLVANYNSLQALNLLGNPVQSNVSDDQLRKAVCSLLPKLTYLNKQPIKPQRAREVLTDSVAKAALGSGSWSSRRKASKRTSQGASTSASVHRGSVGVGHKNKNKLKSRSRYHSSAKLPALASSSR >KJB60011 pep chromosome:Graimondii2_0_v6:9:24305227:24306114:-1 gene:B456_009G285600 transcript:KJB60011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASNCYKLLIIVVIFCLKDHWFICGADPTDGFTRVPLTDENFDLQKPYNIPLSQRYNYSDGIRSFWVYNRDKSFKPDSDTRPRTEVRIKGHDYSSGIWQFEGYAFVPTGTSGVTIVQIHGAAEGATTLQLRIYNGNMRYYRYNLVATDLYDKWFRVNVIHDVGKGKITVFIDGEEKFVVNDQGPGDLYFKCGVYAAPAKSSNFMESRWRDIKLFKK >KJB58769 pep chromosome:Graimondii2_0_v6:9:17632735:17634837:1 gene:B456_009G225600 transcript:KJB58769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNQKPSSPTSSFLPCTKTLFNKTADLPIPNDDDVELHRFPTLSEALEEIKAIGKISGPTAISSLLLYSRAMISMLFLGYLGELELAGGSLAIGVANITGYSVISGLAMGMEPICGQAYGAKQWKLLGLTLQRTVLLLLSASIPISFMWVNMKAILLWCGQNQEISSVAHTFILFAIPDLFFLSLLHPLRIYLRTQSITLPVTYCSAISVVLHVPLNYLLVFHFKLGVAGVAISMVWTNLNVFLFLSSFVYFSGVYKDSWVTPSTDCLRGWSSLLALAVPTCASVCLEWWWYEFMILMCGLLVNPKATIASMGILIQTTSLVYCFPSALSVGVSTRVGNELGANRPGKARISMIVSLVCAVSIGLSAMLFTTLMRHQWGKFFTNDTEILELTAVALPIAGLCELGNCPQTTGCGVLRGSARPTIGANINLGSFYFVGMPVAILMGFIIKMGFAGLWLGLLAAQASCASLMLVVLWRTDWMVQVERARLLTQTTNTCNNKPVPPLLISPKPKETNNKKMGDDVVEEIIVCINDELVKPTLETHPLLSNSHIDEH >KJB61869 pep chromosome:Graimondii2_0_v6:9:52630956:52633503:1 gene:B456_009G3885001 transcript:KJB61869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKTLWIAEKRGLYVCVPVYVIGAIIAFSVKSRQNVHRTVRATHSWYYIDEIILGNSRAYAGLILDAFLFPQIIFNMFHNSRELALSRLFYIGITLVRLIPHGYDLYRANKYADIDDSYMYGVHGADYYSTAWDFIIILCWRLGGQYFLPKRFQESVIDEEFQIDEEFPYSDRIMTIVNSIRLLGVDFTESRIVEKVITTLPEKFESKISSLEDSRDLSAISLSELINFLYAFEQRRANRQEENPEATFQAKASESSSVSAKGKKPWHNRRVKSGRDEAKR >KJB61166 pep chromosome:Graimondii2_0_v6:9:40807466:40809280:1 gene:B456_009G344100 transcript:KJB61166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEMIGLPIVGMVMAEVAQVGLMIMGKAAMSHGMPNFVFVFYSNALASLILLPASFLFHRSHRPPLTFSILCWFFLLGLLGCFAQISGYAGIYYSSPTLATAMLNLIPGLTFILAVAFRMETLNLRSSTSQAKSLGTIVSIAGAFIVTFYKGPSLLMAPSHSSSPHLLLGQQSNWVIGGFLLAADCVFASAWLIVQVQSSSLYLCRKLNQVSL >KJB61164 pep chromosome:Graimondii2_0_v6:9:40807395:40809782:1 gene:B456_009G344100 transcript:KJB61164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEMIGLPIVGMVMAEVAQVGLMIMGKAAMSHGMPNFVFVFYSNALASLILLPASFLFHRSHRPPLTFSILCWFFLLGLLGCFAQISGYAGIYYSSPTLATAMLNLIPGLTFILAVAFRMETLNLRSSTSQAKSLGTIVSIAGAFIVTFYKGPSLLMAPSHSSSPHLLLGQQSNWVIGGFLLAADCVFASAWLIVQASVLKKFPAELFVVFYYCFFVTLQSGIICLIMGGDLSAWSFKPDVRLVAIIYSAVFGSAFQLGVSTWCMHRTGPVFVSTFKPLGIVVSVVIGVIFLGDTLFLGR >KJB61167 pep chromosome:Graimondii2_0_v6:9:40807395:40810379:1 gene:B456_009G344100 transcript:KJB61167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEMIGLPIVGMVMAEVAQVGLMIMGKAAMSHGMPNFVFVFYSNALASLILLPASFLFHRSHRPPLTFSILCWFFLLGLLGCFAQISGYAGIYYSSPTLATAMLNLIPGLTFILAVAFRMETLNLRSSTSQAKSLGTIVSIAGAFIVTFYKGPSLLMAPSHSSSPHLLLGQQSNWVIGGFLLAADCVFASAWLIVQASVLKKFPAELFVVFYYCFFVTLQSGIICLIMGGDLSAWSFKPDVRLVAIIYSAVFGSAFQLGVSTWCMHRTGPVFVSTFKPLGIVVSVVIGVIFLGDTLFLGSLVGAIVIVTGFYSVMWGKAKEGKLDVQTQGMMSESSSQKVALLSNNTGEP >KJB61163 pep chromosome:Graimondii2_0_v6:9:40807218:40811114:1 gene:B456_009G344100 transcript:KJB61163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEMIGLPIVGMVMAEVAQVGLMIMGKAAMSHGMPNFVFVFYSNALASLILLPASFLFHRSHRPPLTFSILCWFFLLGLLGCFAQISGYAGIYYSSPTLATAMLNLIPGLTFILAVAFRMETLNLRSSTSQAKSLGTIVSIAGAFIVTFYKGPSLLMAPSHSSSPHLLLGQQSNWVIGGFLLAADCVFASAWLIVQASVLKKFPAELFVVFYYCFFVTLQSGIICLIMGGDLSAWSFKPDVRLVAIIYSAVFGSAFQLGVSTWCMHRTGPVFVSTFKPLGIVVSVVIGVIFLGDTLFLGSLVGAIVIVTGFYSVMWGKAKEGKLDVQTQGMMSESSSQKVALLSNNTGEP >KJB61170 pep chromosome:Graimondii2_0_v6:9:40807328:40811143:1 gene:B456_009G344100 transcript:KJB61170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEMIGLPIVGMVMAEVAQVGLMIMGKAAMSHGMPNFVFVFYSNALASLILLPASFLFHRSHRPPLTFSILCWFFLLGLLGCFAQISGYAGIYYSSPTLATAMLNLIPGLTFILAVAFRMETLNLRSSTSQAKSLGTIVSIAGAFIVTFYKGPSLLMAPSHSSSPHLLLGQQSNWVIGGFLLAADCVFASAWLIVQASVLKKFPAELFVVFYYCFFVTLQSGIICLIMGGDLSAWSFKPDVRLVAIIYSAVFGSAFQLGVSTWCMHRTGPVFVSTFKPLGIVVSVVIGVIFLGDTLFLGSLVGAIVIVTGFYSVMWGKAKEGKLDVQTQGMMSESSSQKVALLSNNTGEP >KJB61165 pep chromosome:Graimondii2_0_v6:9:40807466:40809280:1 gene:B456_009G344100 transcript:KJB61165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEMIGLPIVGMVMAEVAQVGLMIMGKAAMSHGMPNFVFVFYSNALASLILLPASFLFHRSHRPPLTFSILCWFFLLGLLGCFAQISGYAGIYYSSPTLATAMLNLIPGLTFILAVAFRMETLNLRSSTSQAKSLGTIVSIAGAFIVTFYKGPSLLMAPSHSSSPHLLLGQQSNWVIGGFLLAADCVFASAWLIVQVQSSSLYLCRKLNQVSL >KJB61168 pep chromosome:Graimondii2_0_v6:9:40807395:40810379:1 gene:B456_009G344100 transcript:KJB61168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEMIGLPIVGMVMAEVAQVGLMIMGKAAMSHGMPNFVFVFYSNALASLILLPASFLFHRSHRPPLTFSILCWFFLLGLLGCFAQISGYAGIYYSSPTLATAMLNLIPGLTFILAVAFRMETLNLRSSTSQAKSLGTIVSIAGAFIVTFYKGPSLLMAPSHSSSPHLLLGQQSNWVIGGFLLAADCVFASAWLIVQASVLKKFPAELFVVFYYCFFVTLQSGIICLIMGGDLSAWSFKPDVRLVAIIYSVLFLFPRSSLWELLFQ >KJB61162 pep chromosome:Graimondii2_0_v6:9:40807395:40811048:1 gene:B456_009G344100 transcript:KJB61162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEMIGLPIVGMVMAEVAQVGLMIMGKAAMSHGMPNFVFVFYSNALASLILLPASFLFHRSHRPPLTFSILCWFFLLGLLGCFAQISGYAGIYYSSPTLATAMLNLIPGLTFILAVAFRMETLNLRSSTSQAKSLGTIVSIAGAFIVTFYKGPSLLMAPSHSSSPHLLLGQQSNWVIGGFLLAADCVFASAWLIVQASVLKKFPAELFVVFYYCFFVTLQSGIICLIMGGDLSAWSFKPDVRLVAIIYSAVFGSAFQLGVSTWCMHRTGPVFVSTFKPLGIVVSVVIGVIFLGDTLFLGSLVGAIVIVTGFYSVMWGKAKEGKLDVQTQGMMSESSSQKVALLSNNTGEP >KJB61169 pep chromosome:Graimondii2_0_v6:9:40807395:40810379:1 gene:B456_009G344100 transcript:KJB61169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEMIGLPIVGMVMAEVAQVGLMIMGKAAMSHGMPNFVFVFYSNALASLILLPASFLFHRSHRPPLTFSILCWFFLLGLLGCFAQISGYAGIYYSSPTLATAMLNLIPGLTFILAVAFRMETLNLRSSTSQAKSLGTIVSIAGAFIVTFYKGPSLLMAPSHSSSPHLLLGQQSNWVIGGFLLAADCVFASAWLIVQFLRNSQLSFLLSSIIASLSPFNRE >KJB58594 pep chromosome:Graimondii2_0_v6:9:16902422:16909245:1 gene:B456_009G217300 transcript:KJB58594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTWLHLTSSFLIVCCVIGFLISTVVAENHQRPPTVLPLSLSSRNHSLHRHVDNLRRRLFQSKLSGSTPNARLRLYDDLLSNGYHTARLRIGSPPQEFALIVDTGSTVTYVPCSSCSHCGNHQDSRFQPEMSSTYQPVKCNPSCNCDDEQKQCTYHRRYAEMSSSSGVLGEDLVSFGNESELMPQRAVFGCENVETGDLYNQRADGIMGLGRGRLSIMDQLVDKGVIGDSFSLCYGGMDVDGGAMVLGKIAPPPDMVFSHSDPFRSPYYNIEMKEIHVAGKRLELPDGAFDGRHGTVLDSGTTYAYFRRDAFAAFRDAILSAVHFLKRIPGPDPNYNDICFTGAGRDVSQLPKIFPQVEMVFNNGKKLLLSPENYLFRHSKASGAYCLGIFPNSESTTLLGGIVVRNTLVTYDRSNDRIGFLNTNCSELWRRVQFSGAPAPTPLVSPSKDTKMEIPSVLSPSGSPSNVLPGSFHVGFITFDMSISTTDSNLKSILKELTELISEELEVDNSQIRLLNVTSQEKDYLVRWGIFPAEPANYISNTIARSIILRLRDHRIQLPERFGNYKLMEWNAEPQRKLTSWQHRFLIMLVGSVTTLILSLSAIGIWLVHRQRQQTAYEPVGASVPERYLQPLQS >KJB58595 pep chromosome:Graimondii2_0_v6:9:16902454:16909193:1 gene:B456_009G217300 transcript:KJB58595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTYQPVKCNPSCNCDDEQKQCTYHRRYAEMSSSSGVLGEDLVSFGNESELMPQRAVFGCENVETGDLYNQRADGIMGLGRGRLSIMDQLVDKGVIGDSFSLCYGGMDVDGGAMVLGKIAPPPDMVFSHSDPFRSPYYNIEMKEIHVAGKRLELPDGAFDGRHGTVLDSGTTYAYFRRDAFAAFRDAILSAVHFLKRIPGPDPNYNDICFTGAGRDVSQLPKIFPQVEMVFNNGKKLLLSPENYLFRHSKASGAYCLGIFPNSESTTLLGGIVVRNTLVTYDRSNDRIGFLNTNCSELWRRVQFSGAPAPTPLVSPSKDTKMEIPSVLSPSGSPSNVLPGSFHVGFITFDMSISTTDSNLKSILKELTELISEELEVDNSQIRLLNVTSQEKDYLVRWGIFPAEPANYISNTIARSIILRLRDHRIQLPERFGNYKLMEWNAEPQRKLTSWQHRFLIMLVGSVTTLILSLSAIGIWLVHRQRQQTAYEPVGASVPERYLQPLQS >KJB59570 pep chromosome:Graimondii2_0_v6:9:21574505:21576296:1 gene:B456_009G261400 transcript:KJB59570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEKQGFIWLSGLLFLNIFVLSTADVHYYEFSLQESPFTKLCSTKIILTLNGSFPGPEIRVRRGDTVFVNVHNQANYAVSLDWEGVTDTIDGSNNTIQPGRNFTYNIELGDEIGTLRWHATSAWAAATVHGAFVILPVATEDYPFPAPTSDQTIILGEWFREELTEANQTITPGSADAYTINGHPGETYGCSKDTTFEMQVDYEGLYLVRVINAVANETMVFDIENHRLTIVGQNGTYSNRSFTNSLTLAPGQVIDVLLFPNQNVGRYYITARSSSSTHITNGMLRYTTTTTF >KJB57953 pep chromosome:Graimondii2_0_v6:9:14382413:14387202:1 gene:B456_009G187100 transcript:KJB57953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGEIAAETRKKRKKGRPSLLELQKRSLKQQQLQEPQQPQLLLQKTPNSSINSNRRSTRRHPNLNGGSPVSGSISGGEDDDDDDRLQKKHKPLLGFNSSRTDQHYPIPSALNSASYGSDSNADGDNPDASLKRRKFTAAKAGSDQMGEKLSKVTDTLHGSPVESGPTPTTPLPDKKLLVFILDRLQKKDTYGVFSEPVDPEELPDYHDIIAHPMDFSTVRKKLDEGAYITLEQFEIDVFLICSNAMQYNAPDTIYFRQARSMQELARKDFENLRQDSDEGEPQVKVVRRGRPPGKSLKKSLVSSSSIDHVGSEYSSDAALAAGRDASSLSNTYNLRKAPNSLKLRPADTFIRPSWGSPSKENHAICSSEWENEFPASVVRAVMKYGMKHFAVDENRRDTYNHSSTSGHEQHTFSTLDGELKQLIPVGLSAENGYATSLARFAANLGPVVWKIASRKIESVLPRGLKFGPGWVGENRTVEQPQCSFSDKQRSPNSLSDNPPGRFLSTATSGSNSIAASRFPLQGREDMEAVRGLTSQNDSTSAPSHQFQQSLVPHSGINGSIGGFGFGYSSQTGLVTQCVNSLSEKTTVPTQTHDMISGASDFLPKEAKLADSSIALHSGNAMAVEPEMVSHAVATNVGLQRSSYNEPNFLQFAPDLNVRFLAPGSPSSSVPIGSPQRPDLALQL >KJB56510 pep chromosome:Graimondii2_0_v6:9:9186636:9188792:-1 gene:B456_009G123100 transcript:KJB56510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRPLPPGPNLPRPSFLNKTRVIFLVLTISSSAVILFTIIYFLYHLWNSLSNRARTIPFDSSAPLKLQRFCYKELKNATNDFDDANIIGKGGSGTVFRGIVRDGKLFAIKRLDTLSLQTEREFQNELQILGGLRSPFLVTLLGYCVEKNKRLLVYEYMPNKSLQESLFGDGHLSMNWQRRFDVILDVAKALEFLHFGCDPPVIHGDIKPSNVLLDCDYRAKISDFGLSRIKVEGEFGLDLFSQDFGRSQELSGTLGGTTGEHTPAIGTPVESNNTEVDFALALQASSSSKNSKKCYNVRALSLNSSNYNANLASESDCKGGVNGKGKEVTCVDDWNTKFVPYDDEFCSIDHSKELNSSANLGADEVAVAMPTSVKQWGKDWWWRQDGSGELCSKDYVMEWIGSQICPSNPDWGDDKKPSPEKIELDNSTQLDKPEDVNEPQVQGLGFDALDKGFEKEEPKQKGRKNRKKTNRKMQEWWKDDHLGEVSKKDSKLKQLKTKWKKGFKMPHFDLGRRFYFCRSKKFGEPNQDDCDANGEFSFRRGWRRKNNNSIGSDMWSGDLFSRELSSTTSMRGTLCYVAPEYGGCGYLMEKADIYSLGVLILVIVSGRRPLHVLASPMRLEKANLISWCRQLAQSGNILELVDERLKDEYNKEQASLCINLALSCLQKMPELRPDIVEIVKILKGEMDLPPVTIEFSPSPPSKLYNRSRRKQKANAD >KJB56782 pep chromosome:Graimondii2_0_v6:9:10234258:10236683:1 gene:B456_009G135900 transcript:KJB56782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLEHDYIGLAETSSMERSSEKISSSSSSSIPSNIEDKTTNNPSLNLKETELRLGLPGSESPERKLSLFGKDLETNDKSNGFVGSPLKNLVSGAKRGFSDAIDGSNGKWVFAINGKSDVELGKGAVLASPRGGLDSKTNPQQVRTSVPVMKEVVGVPQSPKPVQDKKNLVPPVNEHASAPAAKAQVVGWPPIRSFRKNSMASNLAKNSDEAAGCLYVKVSMDGAPYLRKVDLKTYNNYREFSSALEKMFSCFTIGQCGSNGDGLSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSEAIGLGIPY >KJB56783 pep chromosome:Graimondii2_0_v6:9:10234258:10236688:1 gene:B456_009G135900 transcript:KJB56783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLEHDYIGLAETSSMERSSEKISSSSSSSIPSNIEDKTTNNPSLNLKETELRLGLPGSESPERKLSLFGKDLETNDKSNGFVGSPLKNLVSGAKRGFSDAIDGSNGKWVFAINGKSDVELGKGAVLASPRGGLDSKTNPQQVRTSVPVMKEVVGVPQSPKPVQDKKNLVPPVNEHASAPAAKAQVVGWPPIRSFRKNSMASNLAKNSDEAAGCLYVKVSMDGAPYLRKVDLKTYNNYREFSSALEKMFSCFTIGQCGSNGDGLSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSEAIGLGIPSKSHGEMQEPELMQKTWKKHRKLYSTTLYTYVCAFRYYSLMYLVEVREKRDCIRQIMALKTYPII >KJB56779 pep chromosome:Graimondii2_0_v6:9:10234192:10236992:1 gene:B456_009G135900 transcript:KJB56779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLEHDYIGLAETSSMERSSEKISSSSSSSIPSNIEDKTTNNPSLNLKETELRLGLPGSESPERKLSLFGKDLETNDKSNGFVGSPLKNLVSGAKRGFSDAIDGSNGKWVFAINGKSDVELGKGAVLASPRGGLDSKTNPQQVRTSVPVMKEVVGVPQSPKPVQDKKNLVPPVNEHASAPAAKAQVVGWPPIRSFRKNSMASNLAKNSDEAAGCLYVKVSMDGAPYLRKVDLKTYNNYREFSSALEKMFSCFTIGQCGSNGDGLSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSEAIGLAPRAMEKCKNQN >KJB56780 pep chromosome:Graimondii2_0_v6:9:10234258:10235655:1 gene:B456_009G135900 transcript:KJB56780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLEHDYIGLAETSSMERSSEKISSSSSSSIPSNIEDKTTNNPSLNLKETELRLGLPGSESPERKLSLFGKDLETNDKSNGFVGSPLKNLVSGAKRGFSDAIDGSNGKWVFAINGKSDVELGKGAVLASPRGGLDSKTNPQQVRTSVPVMKEVVGVPQSPKPVQDKKNLVPPVNEHASAPAAKAQVVGWPPIRSFRKNSMASNLAKNSDEAAGCLYVKVSMDGAPYLRKVDLKTYNNYREFSSALEKMFSCFTIGITIPHTYILLL >KJB56781 pep chromosome:Graimondii2_0_v6:9:10234258:10236176:1 gene:B456_009G135900 transcript:KJB56781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLEHDYIGLAETSSMERSSEKISSSSSSSIPSNIEDKTTNNPSLNLKETELRLGLPGSESPERKLSLFGKDLETNDKSNGFVGSPLKNLVSGAKRGFSDAIDGSNGKWVFAINGKSDVELGKGAVLASPRGGLDSKTNPQQVRTSVPVMKEVVGVPQSPKPVQDKKNLVPPVNEHASAPAAKAQVVGWPPIRSFRKNSMASNLAKNSDEAAGCLYVKVSMDGAPYLRKVDLKTYNNYREFSSALEKMFSCFTIGQCGSNGDGLSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWE >KJB53622 pep chromosome:Graimondii2_0_v6:9:23709777:23710503:1 gene:B456_009G280400 transcript:KJB53622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLPKYFLNLRYQHRVSTRCFSKFDTYKCKFLYQRRINEQASACNKGVMQWVCQMVGTPVSLSKSMTHFDYSAFENNSQAITNKGTQRMNIIKRVVQKTNS >KJB62081 pep chromosome:Graimondii2_0_v6:9:57393599:57396510:1 gene:B456_009G400100 transcript:KJB62081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE-LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06130) UniProtKB/Swiss-Prot;Acc:Q8VYD8] MGTFYLYSHHLLLHPTTSPNLTTLIPLNGKRKFLSSPKHSSPSPFLCFSSKNNPSPSGDSVPSNFCIIEGPETVQDFVKMQLQEIEDNIKSRRNKIFLLMEEVRRLRIQQRIKNVKVINENGEEETDEMPDIPSSIPFLSHVTPKTMKQLYFTSLAFISGVIVFGGLIAPTVDPIVASFSGGAVGVISALMLIEANNVEQQEKKRCKYCHGNGYLACARCSASGVCLSIDPISVSSASGHTLKVPTTERCPNCSGSGKVMCPTCLCTGMLMASEHDPRIDPFD >KJB62080 pep chromosome:Graimondii2_0_v6:9:57393599:57396510:1 gene:B456_009G400100 transcript:KJB62080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE-LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06130) UniProtKB/Swiss-Prot;Acc:Q8VYD8] MGTFYLYSHHLLLHPTTSPNLTTLIPLNGKRKFLSSPKHSSPSPFLCFSSKNNPSPSGDSVPSNFCIIEGPETVQDFVKMQLQEIEDNIKSRRNKIFLLMEEVRRLRIQQRIKNVKVINENGEEETDEMPDIPSSIPFLSHVTPKTMKQLYFTSLAFISGVIVFGGLIAPTLELKLGLGGTSYEDFICNMHLPLHQVDPIVASFSGGAVGVISALMLIEANNVEQQEKKRCKYCHGNGYLACARCSASGVCLSIDPISVSSASGHTLKVPTTERCPNCSGSGKVMCPTCLCTGMLMASEHDPRIDPFD >KJB62079 pep chromosome:Graimondii2_0_v6:9:57393599:57396510:1 gene:B456_009G400100 transcript:KJB62079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE-LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06130) UniProtKB/Swiss-Prot;Acc:Q8VYD8] MGTFYLYSHHLLLHPTTSPNLTTLIPLNGKRKFLSSPKHSSPSPFLCFSSKNNPSPSGDSVPSNFCIIEGPETVQDFVKMQLQEIEDNIKSRRNKIFLLMEEVRRLRIQQRIKNVKVINENGEEETDEMPDIPSSIPFLSHVQTPKTMKQLYFTSLAFISGVIVFGGLIAPTLELKLGLGGTSYEDFICNMHLPLQLSQVDPIVASFSGGAVGVISALMLIEANNVEQQEKKRCKYCHGNGYLACARCSASGVCLSIDPISVSSASGHTLKVPTTERCPNCSGSGKVMCPTCLCTGMLMASEHDPRIDPFD >KJB62078 pep chromosome:Graimondii2_0_v6:9:57393509:57396510:1 gene:B456_009G400100 transcript:KJB62078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE-LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06130) UniProtKB/Swiss-Prot;Acc:Q8VYD8] MGTFYLYSHHLLLHPTTSPNLTTLIPLNGKRKFLSSPKHSSPSPFLCFSSKNNPSPSGDSVPSNFCIIEGPETVQDFVKMQLQEIEDNIKSRRNKIFLLMEEVRRLRIQQRIKNVKVINENGEEETDEMPDIPSSIPFLSHVTPKTMKQLYFTSLAFISGVIVFGGLIAPTLELKLGLGGTSYEDFICNMHLPLQLSQVDPIVASFSGGAVGVISALMLIEANNVEQQEKKRCKYCHGNGYLACARCSASGVCLSIDPISVSSASGHTLKVPTTERCPNCSGSGKVMCPTCLCTGMLMASEHDPRIDPFD >KJB58730 pep chromosome:Graimondii2_0_v6:9:17409511:17413684:-1 gene:B456_009G223500 transcript:KJB58730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSGTCNWWFLMGNCTSGNPTDNIIVNHDFYKGLYSWHPNCCNGFIVSANSGNQVGLSPKSGGNYAVIKNRTEHWQGLEQDITRKISPGSTYSVSACVGVSGPLSGSTDVMATLKLLNHGSGASYMFIGKTSVSKGKWEMVEGTFSLPTVPNQVIFYLEGPPPGVELLIDSVVIRCPSSSKSESTSIGCSAVGDEVIINPQFEDGLSNWSGRGCQVVLHDSMADGKIVPETGKVFASASERTQNWNGIQQEITGRVQRKLAYNVTAVVRIFGNNVTTATVQATLWIHTPDRGEQYIGIGKVQATDKDWVQLQGKFLLNGSPSRVIIYLEGPPPGTDILVNALSVKHAEKIPPLPPPIIENPDYGVNIITNSQLSDGTNGWFPLGNCNLNAASGSPKILPPMARDSLGVHEPLSGRYILVKNRTQTWMGPAQMITDKLKLFLTYQVSAWVRIGSGASGPQNVNVALGVDNQWVNGGQAEIKDGRWHEIGGSFRIEKQPSKVMVYVQGPAAGVDFMVAGLQIFPVDRVARFKHLARQTDKTRKRDVILQFSGSESSSLFGTSVTVMQTQNSFPIGSCINRTNIENEDFVDFFVKNFNWAVFENELKWYWTEPQRGNFNYKDADDMLALCQNNKIETRGHCIFWEVQSSVQQWIQALNKIDLMKAVQNRLTGLLTRYKGKFRHYDVNNEMLHGSFYKDRLGKDIRTYMFKTANQLDPSATLFVNDYHVEDGRDTRSYPEKYIEQIIDLQLQGAPVGGIGIQGHIDNPVGPIVCSALDKLGVLGLPIWFTELDVSSLNEHIRGEDLEVMIREAFAHPAVEGVMLWGFWELFMSRDNAHLVDAEGEINEAGKRFLALKHEWLSHSHGRIDIQGQFEFRGFHGTYVVEVETELNKVSRTFVVDKGDSPLVVSIDL >KJB58731 pep chromosome:Graimondii2_0_v6:9:17409612:17413599:-1 gene:B456_009G223500 transcript:KJB58731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSGTCNWWFLMGNCTSGNPTDNIIVNHDFYKGLYSWHPNCCNGFIVSANSGNQVGLSPKSGGNYAVIKNRTEHWQGLEQDITRKISPGSTYSVSACVGVSGPLSGSTDVMATLKLLNHGSGASYMFIGKTSVSKGKWEMVEGTFSLPTVPNQVIFYLEGPPPGVELLIDSVVIRCPSSSKSEKSTSIGCSAVGDEVIINPQFEDGLSNWSGRGCQVVLHDSMADGKIVPETGKVFASASERTQNWNGIQQEITGRVQRKLAYNVTAVVRIFGNNVTTATVQATLWIHTPDRGEQYIGIGKVQATDKDWVQLQGKFLLNGSPSRVIIYLEGPPPGTDILVNALSVKHAEKIPPLPPPIIENPDYGVNIITNSQLSDGTNGWFPLGNCNLNAASGSPKILPPMARDSLGVHEPLSGRYILVKNRTQTWMGPAQMITDKLKLFLTYQVSAWVRIGSGASGPQNVNVALGVDNQWVNGGQAEIKDGRWHEIGGSFRIEKQPSKVMVYVQGPAAGVDFMVAGLQIFPVDRVARFKHLARQTDKTRKRDVILQFSGSESSSLFGTSVTVMQTQNSFPIGSCINRTNIENEDFVDFFVKNFNWAVFENELKWYWTEPQRGNFNYKDADDMLALCQNNKIETRGHCIFWEVQSSVQQWIQALNKIDLMKAVQNRLTGLLTRYKGKFRHYDVNNEMLHGSFYKDRLGKDIRTYMFKTANQLDPSATLFVNDYHVEDGRDTRSYPEKYIEQIIDLQLQGAPVGGIGIQGHIDNPVGPIVCSALDKLGVLGLPIWFTELDVSSLNEHIRGEDLEVMIREAFAHPAVEGVMLWGFWELFMSRDNAHLVDAEGEINEAGKRFLALKHEWLSHSHGRIDIQGQFEFRGFHGTYVVEVETELNKVSRTFVVDKGDSPLVVSIDL >KJB58734 pep chromosome:Graimondii2_0_v6:9:17409612:17413599:-1 gene:B456_009G223500 transcript:KJB58734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSGTCNWWFLMGNCTSGNPTDNIIVNHDFYKGLYSWHPNCCNGFIVSANSGNQVGLSPKSGGNYAVIKNRTEHWQGLEQDITRKISPGSTYSVSACVGVSGPLSGSTDVMATLKLLNHGSGASYMFIGKTSVSKGKWEMVEGTFSLPTVPNQVIFYLEGPPPGVELLIDSVVIRCPSSSKSEKSTSIGCSAVGDEVIINPQFEDGLSNWSGRGCQVVLHDSMADGKIVPETGKVFASASERTQNWNGIQQEITGRVQRKLAYNVTAVVRIFGNNVTTATVQATLWIHTPDRGEQYIGIGKVQATDKDWVQLQGKFLLNGSPSRVIIYLEGPPPGTDILVNALSVKHAEKIPPLPPPIIENPDYGVNIITNSQLSDGTNGWFPLGNCNLNAASGSPKILPPMARDSLGVHEPLSGRYILVKNRTQTWMGPAQMITDKLKLFLTYQVSAWVRIGSGASGPQNVNVALGVDNQWVNGGQAEIKDGRWHEIGGSFRIEKQPSKVMVYVQGPAAGVDFMVAGLQIFPVDRVARFKHLARQTDKTRKRDVILQFSGSESSSLFGTSVTVMQTQNSFPIGSCINRTNIENEDFVDFFVKNFNWAVFENELKWYWTEPQRGNFNYKDADDMLALCQNNKIETRGHCIFWEVQSSVQQWIQALNKIDLMKAVQNRLTGLLTRYKGKFRHYDVNNEMLHGSFYKDRLGKDIRTYMFKTANQLDPSATLFVNDYHVEDGRDTRSYPEKYIEQIIDLQLQGAPVGGIGIQGHIDNPVGPIVCSALDKLGVLGLPIWFTELDVSSLNEHIRGEDLEVMIREAFAHPAVEGVMLWGFWELFMSRDNAHLVDAEGEINEAGKRFLALKHEWLSHSHGRIDIQGQFEFRGFHGTYVVEVETELNKVSRTFVVDKGDSPLVVSIDL >KJB58728 pep chromosome:Graimondii2_0_v6:9:17409511:17413893:-1 gene:B456_009G223500 transcript:KJB58728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSGTCNWWFLMGNCTSGNPTDNIIVNHDFYKGLYSWHPNCCNGFIVSANSGNQVGLSPKSGGNYAVIKNRTEHWQGLEQDITRKISPGSTYSVSACVGVSGPLSGSTDVMATLKLLNHGSGASYMFIGKTSVSKGKWEMVEGTFSLPTVPNQVIFYLEGPPPGVELLIDSVVIRCPSSSKSESTSIGCSAVGDEVIINPQFEDGLSNWSGRGCQVVLHDSMADGKIVPETGKVFASASERTQNWNGIQQEITGRVQRKLAYNVTAVVRIFGNNVTTATVQATLWIHTPDRGEQYIGIGKVQATDKDWVQLQGKFLLNGSPSRVIIYLEGPPPGTDILVNALSVKHAEKIPPLPPPIIENPDYGVNIITNSQLSDGTNGWFPLGNCNLNAASGSPKILPPMARDSLGVHEPLSGRYILVKNRTQTWMGPAQMITDKLKLFLTYQVSAWVRIGSGASGPQNVNVALGVDNQWVNGGQAEIKDGRWHEIGGSFRIEKQPSKVMVYVQGPAAGVDFMVAGLQIFPVDRVARFKHLARQTDKTRKRDVILQFSGSESSSLFGTSVTVMQTQNSFPIGSCINRTNIENEDFVDFFVKNFNWAVFENELKWYWTEPQRGNFNYKDADDMLALCQNNKIETRGHCIFWEVQSSVQQWIQALNKIDLMKAVQNRLTGLLTRYKGKFRHYDVNNEMLHGSFYKDRLGKDIRTYMFKTANQLDPSATLFVNDYHVEDGRDTRSYPEKYIEQIIDLQLQGAPVGGIGIQGHIDNPVGPIVCSALDKLGVLGLPIWFTELDVSSLNEHIRGEDLEVMIREAFAHPAVEGVMLWGFWELFMSRDNAHLVDAEGEINEAGKRFLALKHEWLSHSHGRIDIQGQFEFRGFHGTYVVEVETELNKVSRTFVVDKGDSPLVVSIDL >KJB58733 pep chromosome:Graimondii2_0_v6:9:17409665:17412902:-1 gene:B456_009G223500 transcript:KJB58733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGTFSLPTVPNQVIFYLEGPPPGVELLIDSVVIRCPSSSKSEKSTSIGCSAVGDEVIINPQFEDGLSNWSGRGCQVVLHDSMADGKIVPETGKVFASASERTQNWNGIQQEITGRVQRKLAYNVTAVVRIFGNNVTTATVQATLWIHTPDRGEQYIGIGKVQATDKDWVQLQGKFLLNGSPSRVIIYLEGPPPGTDILVNALSVKHAEKIPPLPPPIIENPDYGVNIITNSQLSDGTNGWFPLGNCNLNAASGSPKILPPMARDSLGVHEPLSGRYILVKNRTQTWMGPAQMITDKLKLFLTYQVSAWVRIGSGASGPQNVNVALGVDNQWVNGGQAEIKDGRWHEIGGSFRIEKQPSKVMVYVQGPAAGVDFMVAGLQIFPVDRVARFKHLARQTDKTRKRDVILQFSGSESSSLFGTSVTVMQTQNSFPIGSCINRTNIENEDFVDFFVKNFNWAVFENELKWYWTEPQRGNFNYKDADDMLALCQNNKIETRGHCIFWEVQSSVQQWIQALNKIDLMKAVQNRLTGLLTRYKGKFRHYDVNNEMLHGSFYKDRLGKDIRTYMFKTANQLDPSATLFVNDYHVEDGRDTRSYPEKYIEQIIDLQLQGAPVGGIGIQGHIDNPVGPIVCSALDKLGVLGLPIWFTELDVSSLNEHIRGEDLEVMIREAFAHPAVEGVMLWGFWELFMSRDNAHLVDAEGEINEAGKRFLALKHEWLSHSHGRIDIQGQFEFRGFHGTYVVEVETELNKVSRTFVVDKGDSPLVVSIDL >KJB58732 pep chromosome:Graimondii2_0_v6:9:17410877:17413391:-1 gene:B456_009G223500 transcript:KJB58732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSGTCNWWFLMGNCTSGNPTDNIIVNHDFYKGLYSWHPNCCNGFIVSANSGNQVGLSPKSGGNYAVIKNRTEHWQGLEQDITRKISPGSTYSVSACVGVSGPLSGSTDVMATLKLLNHGSGASYMFIGKTSVSKGKWEMVEGTFSLPTVPNQVIFYLEGPPPGVELLIDSVVIRCPSSSKSEKSTSIGCSAVGDEVIINPQFEDGLSNWSGRGCQVVLHDSMADGKIVPETGKVFASASERTQNWNGIQQEITGRVQRKLAYNVTAVVRIFGNNVTTATVQATLWIHTPDRGEQYIGIGKVQATDKDWVQLQGKFLLNGSPSRVIIYLEGPPPGTDILVNALSVKHAEKIPPLPPPIIENPDYGVNIITNSQLSDGTNGWFPLGNCNLNAASGSPKILPPMARDSLGVHEPLSGRYILVKNRTQTWMGPAQMITDKLKLFLTYQVSAWVRIGSGASGPQNVNVALGVDNQWVNGGQAEIKDGRWHEIGGSFRIEKQPSKVMVYVQGPAAGVDFMVAGLQIFPVDRVARFKHLARQTDKVISSCNFSSIFFIKSTLTL >KJB58729 pep chromosome:Graimondii2_0_v6:9:17409612:17413761:-1 gene:B456_009G223500 transcript:KJB58729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSGTCNWWFLMGNCTSGNPTDNIIVNHDFYKGLYSWHPNCCNGFIVSANSGNQVGLSPKSGGNYAVIKNRTEHWQGLEQDITRKISPGSTYSVSACVGVSGPLSGSTDVMATLKLLNHGSGASYMFIGKTSVSKGKWEMVEGTFSLPTVPNQVIFYLEGPPPGVELLIDSVVIRCPSSSKSEKSTSIGCSAVGDEVIINPQFEDGLSNWSGRGCQVVLHDSMADGKIVPETGKVFASASERTQNWNGIQQEITGRVQRKLAYNVTAVVRIFGNNVTTATVQATLWIHTPDRGEQYIGIGKVQATDKDWVQLQGKFLLNGSPSRVIIYLEGPPPGTDILVNALSVKHAEKIPPLPPPIIENPDYGVNIITNSQLSDGTNGWFPLGNCNLNAASGSPKILPPMARDSLGVHEPLSGRYILVKNRTQTWMGPAQMITDKLKLFLTYQVSAWVRIGSGASGPQNVNVALGVDNQWVNGGQAEIKDGRWHEIGGSFRIEKQPSKVMVYVQGPAAGVDFMVAGLQIFPVDRVARFKHLARQTDKTRKRDVILQFSGSESSSLFGTSVTVMQTQNSFPIGSCINRTNIENEDFVDFFVKNFNWAVFENELKWYWTEPQRGNFNYKDADDMLALCQNNKIETRGHCIFWEVQSSVQQWIQALNKIDLMKAVQNRLTGLLTRYKGKFRHYDVNNEMLHGSFYKDRLGKDIRTYMFKTANQLDPSATLFVNDYHVEDGRDTRSYPEKYIEQIIDLQLQGAPVGGIGIQGHIDNPVGPIVCSALDKLGVLGLPIWFTELDVSSLNEHIRGEDLEVMIREAFAHPAVEGVMLWGFWELFMSRDNAHLVDAEGEINEAGKRFLALKHEWLSHSHGRIDIQGQFEFRGFHGTYVVEVETELNKVSRTFVVDKGDSPLVVSIDL >KJB60714 pep chromosome:Graimondii2_0_v6:9:31168267:31171640:1 gene:B456_009G321700 transcript:KJB60714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTSKLFTIGLVASWYSTNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSRVQFFKISALSLVFCVSVVFGNISLRFLPVSFNQAVGATTPFFTAIFAYLMTLKREAWLTYLTLVPVVAGVIIASGGEPSFHLFGFIMCISATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPLAVVFLLPATLIMEENVVGITLSLARDDSKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQV >KJB60712 pep chromosome:Graimondii2_0_v6:9:31168121:31171631:1 gene:B456_009G321700 transcript:KJB60712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTSKLFTIGLVASWYSTNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSRVQFFKISALSLVFCVSVVFGNISLRFLPVSFNQAVGATTPFFTAIFAYLMTLKREAWLTYLTLVPVVAGVIIASGGEPSFHLFGFIMCISATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPLAVVFLLPATLIMEENVVGITLSLARDDSKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYALTVFGVILYSEAKKRSK >KJB60713 pep chromosome:Graimondii2_0_v6:9:31168267:31171631:1 gene:B456_009G321700 transcript:KJB60713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTSKLFTIGLVASWYSTNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSRVQFFKISALSLVFCVSVVFGNISLRFLPVSFNQAVGATTPFFTAIFAYLMTLKREAWLTYLTLVPVVAGVIIASGGEPSFHLFGFIMCISATAARALKSVLQGILLSSEGEAEFHEPPPLHGAISCGISTSCNAYYGRKCGRYYPFPRQR >KJB60715 pep chromosome:Graimondii2_0_v6:9:31169658:31171640:1 gene:B456_009G321700 transcript:KJB60715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCISATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPLAVVFLLPATLIMEENVVGITLSLARDDSKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYALTVFGVILYSEAKKRSK >KJB59378 pep chromosome:Graimondii2_0_v6:9:20581693:20585471:-1 gene:B456_009G252300 transcript:KJB59378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEDKGYFEMGRWNRFGGVFFSIALAAVAVRVGVKGYPAEDLVVKLPGQPPVGFKQYAGYVDVDVKAGRSLFYYFVEADKNPEKQPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRSLRINSMSWNKASNLLFVESPVGVGWSYSNTSSDYIRGDASTAKDMYIFMMKWYEKFPEFKSRELFLTGESYAGHYIPQLAEVLLDHNARSKGFKFNIKGVAIGNPLLRLDRDVPATYEFFWSHGMISDEVGLTIMNECDFDDYVFESPHNVSRPCSQAIVEANRIVGNYVNNYDVLLDICYPSLVEQELRLRKMATKISIGIDVCMSFERRFYLNLPEVQMALYANRTKLPYDWSMCSGRLSYSDSDGNINILPILKKIILNGIPVWIFSGDQDSVVPLLGSRTLVRELAHDLKFKITVPYGAWFHKQQVGGWVTEYGNLLTFATVRGAAHMVPYSQPSRALHLFSSFVHGRRLPNNIHPPIHE >KJB59375 pep chromosome:Graimondii2_0_v6:9:20581774:20585763:-1 gene:B456_009G252300 transcript:KJB59375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEDKGYFEMGRWNRFGGVFFSIDMYIFMMKWYEKFPEFKSRELFLTGESYAGHYIPQLAEVLLDHNARSKGFKFNIKGVAIGNPLLRLDRDVPATYEFFWSHGMISDEVGLTIMNECDFDDYVFESPHNVSRPCSQAIVEANRIVGNYVNNYDVLLDICYPSLVEQELRLRKMATKISIGIDVCMSFERRFYLNLPEVQMALYANRTKLPYDWSMCSGRLSYSDSDGNINILPILKKIILNGIPVWIFSGDQDSVVPLLGSRTLVRELAHDLKFKITVPYGAWFHKQQVGGWVTEYGNLLTFATVRGAAHMVPYSQPSRALHLFSSFVHGRRLPNNIHPPIHE >KJB59377 pep chromosome:Graimondii2_0_v6:9:20581774:20585822:-1 gene:B456_009G252300 transcript:KJB59377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEDKGYFEMGRWNRFGGVFFSIALAAVAVRVGVKGYPAEDLVVKLPGQPPVGFKQYAGYVDVDVKAGRSLFYYFVEADKNPEKQPLTLWLNGGPGCSSVGGGAFTELGPFYPRASNLLFVESPVGVGWSYSNTSSDYIRGDASTAKDMYIFMMKWYEKFPEFKSRELFLTGESYAGHYIPQLAEVLLDHNARSKGFKFNIKGVAIGNPLLRLDRDVPATYEFFWSHGMISDEVGLTIMNECDFDDYVFESPHNVSRPCSQAIVEANRIVGNYVNNYDVLLDICYPSLVEQELRLRKMATKISIGIDVCMSFERRFYLNLPEVQMALYANRTKLPYDWSMCSGRLSYSDSDGNINILPILKKIILNGIPVWIFSGDQDSVVPLLGSRTLVRELAHDLKFKITVPYGAWFHKQQVGGWVTEYGNLLTFATVRGAAHMVPYSQPSRALHLFSSFVHGRRLPNNIHPPIHE >KJB59374 pep chromosome:Graimondii2_0_v6:9:20581927:20585822:-1 gene:B456_009G252300 transcript:KJB59374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEDKGYFEMGRWNRFGGVFFSIALAAVAVRVGVKGYPAEDLVVKLPGQPPVGFKQYAGYVDVDVKAGRSLFYYFVEADKNPEKQPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRSLRINSMSWNKASNLLFVESPVGVGWSYSNTSSDYIRGDASTAKDMYIFMMKWYEKFPEFKSRELFLTGESYAGHYIPQLAEVLLDHNARSKGFKFNIKGVAIGNPLLRLDRDVPATYEFFWSHGMISDEVGLTIMNECDFDDYVFESPHNVSRPCSQAIVEANRIVGNYVNNYDVLLDICYPSLVEQELRLRKMATKISIGIDVCMSFERRFYLNLPEVQMALYANRTKLPYDWSMCSGRLSYSDSDGNINILPILKKIILNGIPVWIFSGDQDSVVPLLGSRTLVRELAHDLKFKITVPYGAWFHKQQVGGWVTEYGNLLTFATVRGAAHMVPYSQPSRALHLFSSFVHGRRLPNNIHPPIHE >KJB59376 pep chromosome:Graimondii2_0_v6:9:20582169:20585379:-1 gene:B456_009G252300 transcript:KJB59376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEDKGYFEMGRWNRFGGVFFSIALAAVAVRVGVKGYPAEDLVVKLPGQPPVGFKQYAGYVDVDVKAGRSLFYYFVEADKNPEKQPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRSLRINSMSWNKASNLLFVESPVGVGWSYSNTSSDYIRGDASTAKDMYIFMMKWYEKFPEFKSRELFLTGESYAGHYIPQLAEVLLDHNARSKGFKFNIKGVAIGNPLLRLDRDVPATYEFFWSHGMISDEVGLTIMNECDFDDYVFESPHNVSRPCSQAIVEANRIVGNYVNNYDVLLDICYPSLVEQELRLRKMATKISIGIDVCMSFERRFYLNLPEVQMALYANRTKLPYDWSMCSGRLSYSDSDGNINILPILKKIILNGIPVWIFSGDQDSVVPLLGSRTLVRELAHDLKFKITVPYGAWFHKQQVGGWVTEYGNLLTFATVRGAAHMVPYSQPSRALHLFSSFVHGRRLPNNIHPPIHE >KJB59675 pep chromosome:Graimondii2_0_v6:9:22735842:22735955:1 gene:B456_009G272100 transcript:KJB59675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVFLFRIVLGLIPITLARLFVTAYLQYRRGDQLDL >KJB62021 pep chromosome:Graimondii2_0_v6:9:54820706:54825615:1 gene:B456_009G396200 transcript:KJB62021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEKGKLVAQQEGLVKKTRIMVGIDESDESFHGLQWTLDNLFGCITATAAPEQPEANDEAGSRLITLSLVHVQQAFHLHYVPAGPGGTAFYIPSSVEESVKKAQQQIAKALLSRASDMCKDKMNIEVETLVFEGDPKEKICRAAQEMHVDLLVIGSRNLGKFKRAFLGSVSDYCAQHANCPVLIVKSPKEASK >KJB55971 pep chromosome:Graimondii2_0_v6:9:7395368:7399580:1 gene:B456_009G102500 transcript:KJB55971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEAKAGKDLSKSSEPVETVTESGNKKRGTEKGSKKKKGKSSGARTVSAEGDSENEDYIPTKSKKNQKKGKDTSSSQVADSRKVAKKDSIKAQEENVPSDEWLMQKLEALLPDFEEQGVDDPQTILKYLADYLRPMLANYLKERRKALFTENVEKMKVLLDNLQRKLDESFLNMQLYEKALDLFEDDQSTSVTLHRHLLRTVATSIADMLFHNLDLHNKLKNWTQVEDSQNSESISLSPGERTAIAKSLPESQSKRALVVVEALEGKRVETFMTALRDLAEESGLFLKKLDKKLERTLLHSYRKDLTSQVSAETDPVSLLPKVVSLLYIQVHSRALQAPGRAISVAVTRLKNKLDDSAYKILTDFQTATVTLLALISAAAGDEADCSSDRILSKKELLEGQVPALKALVLGSSGSQA >KJB55968 pep chromosome:Graimondii2_0_v6:9:7392113:7399580:1 gene:B456_009G102500 transcript:KJB55968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDELLELQRQFEFAQQAKSSIRLSERNVVELVQKLHELRIIDFELLHTVSGKEYITPEQLRHEIAGEVKKLGRVSLIDLADTTGVDLYHVEKQAQHVVSEDPGLMLIQGEIISQSYWDSVAEEINERLQECSQIALAELAAQLHVGSELVASVLEPRLGTLVKGRLEGGQLYTPAYIARVSAMVRGASRGITVPTNLSVLWSSLQQLLQEMEGATGLAVEGSFFQSLFNGLVKKGEVLGTLRAGIHWTPTVFAIAQKECVDSFFSQNSFISYDTLHKLGISQPIQYLQPRFPEGIPLVTAFVHPSMIEMLDAAIEDAVERGSWLDSLSLLPTSFGAQDASKILSLSPSVQSALKANKALIVGDSYLFSSSFVKDVYDRVEKELETLSHSGSSTIILSDDSHMVKEAKAGKDLSKSSEPVETVTESGNKKRGTEKGSKKKKGKSSGARTVSAEGDSENEDYIPTKSKKNQKKGKDTSSSQVADSRKVAKKDSIKAQEENVPSDEWLMQKLEALLPDFEEQGVDDPQTILKYLADYLRPMLANYLKERRKALFTENVEKMKVLLDNLQRKLDESFLNMQLYEKALDLFEDDQSTSVTLHRHLLRTVATSIADMLFHNLDLHNKLKNWTQVEDSQNSESISLSPGERTAIAKSLPESQSKRALVVVEALEGKRVETFMTALRDLAEESGLFLKKLDKKLERTLLHSYRKDLTSQVSAETDPVSLLPKVVSLLYIQVHSRALQAPGRAISVAVTRLKV >KJB55970 pep chromosome:Graimondii2_0_v6:9:7392113:7399630:1 gene:B456_009G102500 transcript:KJB55970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDELLELQRQFEFAQQAKSSIRLSERNVVELVQKLHELRIIDFELLHTVSGKEYITPEQLRHEIAGEVKKLGRVSLIDLADTTGVDLYHVEKQAQHVVSEDPGLMLIQGEIISQSYWDSVAEEINERLQECSQIALAELAAQLHVGSELVASVLEPRLGTLVKGRLEGGQLYTPAYIARVSAMVRGASRGITVPTNLSVLWSSLQQLLQEMEGATGLAVEGSFFQSLFNGLVKKGEVLGTLRAGIHWTPTVFAIAQKECVDSFFSQNSFISYDTLHKLGISQPIQYLQPRFPEGIPLVTAFVHPSMIEMLDAAIEDAVERGSWLDSLSLLPTSFGAQDASKILSLSPSVQSALKANKALIVGDSYLFSSSFVKDVYDRVEKELETLSHSGSSTIILSDDSHMVKEAKAGKDLSKSSEPVETVTESGNKKRGTEKGSKKKKGKSSGARTVSAEGDSENEDYIPTKSKKNQKKGKDTSSSQVADSRKVAKKDSIKAQEENVPSDEWLMQKLEALLPDFEEQGVDDPQTILKYLADYLRPMLANYLKERRKALFTENVEKMKVLLDNLQRKLDESFLNMQLYEKALDLFEDDQSTSVTLHRHLLRTVATSIADMLFHNLDLHNKLKNWTQVEDSQNSESISLSPGERTAIAKSLPESQSKRALVVVEALEGKRVETFMTALRDLAEESGLFLKKLDKKLERTLLHSYRKDLTSQVSAETDPVSLLPKVVSLLYIQVHSRALQAPGRAISVAVTRLKNKLDDSAYKILTDFQTATVTLLALISAAAGDEADCSSDRILSKKELLEGQVPALKALVLGSSGSQA >KJB55969 pep chromosome:Graimondii2_0_v6:9:7392113:7399580:1 gene:B456_009G102500 transcript:KJB55969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLRKARFLVHFVQEFIGLQLFSLLLKRSVLILSSHRTLLSAMTLCINLEFPSLFSTCRFPEGIPLVTAFVHPSMIEMLDAAIEDAVERGSWLDSLSLLPTSFGAQDASKILSLSPSVQSALKANKALIVGDSYLFSSSFVKDVYDRVEKELETLSHSGSSTIILSDDSHMVKEAKAGKDLSKSSEPVETVTESGNKKRGTEKGSKKKKGKSSGARTVSAEGDSENEDYIPTKSKKNQKKGKDTSSSQVADSRKVAKKDSIKAQEENVPSDEWLMQKLEALLPDFEEQGVDDPQTILKYLADYLRPMLANYLKERRKALFTENVEKMKVLLDNLQRKLDESFLNMQLYEKALDLFEDDQSTSVTLHRHLLRTVATSIADMLFHNLDLHNKLKNWTQVEDSQNSESISLSPGERTAIAKSLPESQSKRALVVVEALEGKRVETFMTALRDLAEESGLFLKKLDKKLERTLLHSYRKDLTSQVSAETDPVSLLPKVVSLLYIQVHSRALQAPGRAISVAVTRLKNKLDDSAYKILTDFQTATVTLLALISAAAGDEADCSSDRILSKKELLEGQVPALKALVLGSSGSQA >KJB55650 pep chromosome:Graimondii2_0_v6:9:6339631:6359176:-1 gene:B456_009G087200 transcript:KJB55650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDNRRLDRRDLWRSKKQKLIRSAEEELESKLGFDLFTEGEKRLGWLLTFASSSWEDSDAGKVYSCVDLYFVSQDGSCFKSKFKFRPYFYAAAKNKAEMDVESYLRRRYETQIAGIEIVEKEDLDLKNHLSGLHKRYLKISFDTVQQLMDVKRDLVHVVERNRTKFDAAEAYESILMGNREQRPLDLLDLLVDLREYDVPYHVRFAIDNDVRCGQWYDVSVSSTGVMLEKRTDLLQRAEVRVCAFDIETTKLPLKFPDAEYDSIMMISYMVDGQGYLIINRECVGQDIEGLEYTPKPEFQGFFKVTNVKNEIELLRQWFTHMQEVKPGIFVTYNGDYFDWPFIEKRAAYHGFRMSDEIGFRCDENQGECRAKFACHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVRFAKEKPQMMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAYKANVICPNKHQSDAEKFYKNHLLESETYIGGHVECLESGVFRSDLPTSFKLDPSGYEQLISNLDRDLQYAIRVEGKMDLESVLNYDEVKNEIMEKLVKLRDEPIHEECPLIYHLDVAAMYPNIILTNRLQPPSIVTDEVCTACDFNRPDKTCLRKLEWVWRGEIFMAKKSDYYHLKKQIESELFDGTDNQLSKSFLDLPKLEQQSRLKDRLKKYCQKVYRRVLDKPVTELREAGICMRENPFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKAGGNPMKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRNDLKKKLTISYPCVMLNVDVARNNTNDQYQTLVNPVNKIYTIHSECSIEFEVDGPYKAMIIPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLNGSTLEECYSAVAVVANRWLDLLDSQGKDIADSELLDYISESSTMSKSLADYGEQKSCAVTTARRLADFLGDAMVKDKGLHCQYIVACEPRGTPVSERAIPVAIFETDPEIMKFYLRKWCKTSSDVGIRSIVDWLYYKQRLSSAIQKIITIPAAMQKVTNPVPRVAHPDWLHKRVREKEDKFRQRKLVDIFGSSVRNDTVKKANDAVLTTDVVGDLEDFQNKRGSKKGPVPIVRSYEMNKDQGPIEAAIQGNTMQRQTDHRGSEQNLSSILQPNVQSTENIDRNVDYQGWLELKKRKWKDNLERRKRQRLSNLRTSNHVSHNSEMLGAMENHKDASGRTGVGSYFRRHEVSLTNSYWQIMQLVPGSQNGQFLAWVVVEGIMLKVPITVPRVFYLNSKATISENFPGRRVNKILPHGRQSYNLFEVIIDEDQFRRESKKLAALLADPEIEGIYERKIPLEFNAILQLGCVCKVDKTAKKRNAQDGWRLSELHMKTTTECAYLEQAIPFFYLYHSICEGRAIYAAYFSSSGTVFVVVVNPHHESESISPFILEKYFREACLALSIEPPARNGISFKVNYVEHVKDAEKNLQRAISEHRHEHQGPILAVIECPNTQMMKSGIQALEDFPCVSIPSNARDNDYQLLVWQQTAAKIGMQRCSASSQWLSERISLSRYAHVPLGNFQSDWLLYTADVFYSRALRDQQQVLWISDDGVPDLGGIGEEDTCFADEVCQPVITYPGAYRKVSVELKIHNLAVDALLKSNQINEMEGGALLGFDQDVHSGNEQCGFDEANASAAAFRVMKQLIQRCLADAVSSGNVFADAILQHLYRWLCSPQSKLHDPALHRILHKVMQKVFALLLAEFRKLGATIIFADFSKVIIDTGKYDISAAKAYCHSLLKALQSRELFEWIELEPIHFWHSLLFMDQYNHGGILAESHENSQEKSEVDIVSNWNIAQYLPEKIQDHFVLIVSEFLHTPWKYAQEQAANRTSSLDGSLCTPSITITAAENFEAHIVQYLKEQISSYFTEKLLGIVRDIVLHMKGLNGSGKVGQQSVHNVPHKGDAALEFIKHVCTVLALDHSIQHDVLVLRKNLLKYVHVKEFAPEAEFHDPCPSFILPNVICSYCNDCRDLDLCRDSALLVDEWCCAVPQCGQPYDREVMENALLQIVRQRERLYHLQDLVCCRCRQVKAAHLAEQCGCAGSFKCKEDVSEFRRKMQIFLNIAIRKKFQLLQECTSWILQIN >KJB55649 pep chromosome:Graimondii2_0_v6:9:6339628:6359176:-1 gene:B456_009G087200 transcript:KJB55649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDNRRLDRRDLWRSKKQKLIRSAEEELESKLGFDLFTEGEKRLGWLLTFASSSWEDSDAGKVYSCVDLYFVSQDGSCFKSKFKFRPYFYAAAKNKAEMDVESYLRRRYETQIAGIEIVEKEDLDLKNHLSGLHKRYLKISFDTVQQLMDVKRDLVHVVERNRTKFDAAEAYESILMGNREQRPLDLLDLLVDLREYDVPYHVRFAIDNDVRCGQWYDVSVSSTGVMLEKRTDLLQRAEVRVCAFDIETTKLPLKFPDAEYDSIMMISYMVDGQGYLIINRECVGQDIEGLEYTPKPEFQGFFKVTNVKNEIELLRQWFTHMQEVKPGIFVTYNGDYFDWPFIEKRAAYHGFRMSDEIGFRCDENQGECRAKFACHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVRFAKEKPQMMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAYKANVICPNKHQSDAEKFYKNHLLESETYIGGHVECLESGVFRSDLPTSFKLDPSGYEQLISNLDRDLQYAIRVEGKMDLESVLNYDEVKNEIMEKLVKLRDEPIHEECPLIYHLDVAAMYPNIILTNRLQPPSIVTDEVCTACDFNRPDKTCLRKLEWVWRGEIFMAKKSDYYHLKKQIESELFDGTDNQLSKSFLDLPKLEQQSRLKDRLKKYCQKVYRRVLDKPVTELREAGICMRENPFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKAGGNPMKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCALPGSFPENFTFKTNDLKKKLTISYPCVMLNVDVARNNTNDQYQTLVNPVNKIYTIHSECSIEFEVDGPYKAMIIPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLNGSTLEECYSAVAVVANRWLDLLDSQGKDIADSELLDYISESSTMSKSLADYGEQKSCAVTTARRLADFLGDAMVKDKGLHCQYIVACEPRGTPVSERAIPVAIFETDPEIMKFYLRKWCKTSSDVGIRSIVDWLYYKQRLSSAIQKIITIPAAMQKVTNPVPRVAHPDWLHKRVREKEDKFRQRKLVDIFGSSVRNDTVKKANDAVLTTDVVGDLEDFQNKRGSKKGPVPIVRSYEMNKDQGPIEAAIQGNTMQRQTDHRGSEQNLSSILQPNVQSTENIDRNVDYQGWLELKKRKWKDNLERRKRQRLSNLRTSNHVSHNSEMLGAMENHKDASGRTGVGSYFRRHEVSLTNSYWQIMQLVPGSQNGQFLAWVVVEGIMLKVPITVPRVFYLNSKATISENFPGRRVNKILPHGRQSYNLFEVIIDEDQFRRESKKLAALLADPEIEGIYERKIPLEFNAILQLGCVCKVDKTAKKRNAQDGWRLSELHMKTTTECAYLEQAIPFFYLYHSICEGRAIYAAYFSSSGTVFVVVVNPHHESESISPFILEKYFREACLALSIEPPARNGISFKVNYVEHVKDAEKNLQRAISEHRHEHQGPILAVIECPNTQMMKSGIQALEDFPCVSIPSNARDNDYQLLVWQQTAAKIGMQRCSASSQWLSERISLSRYAHVPLGNFQSDWLLYTADVFYSRALRDQQQVLWISDDGVPDLGGIGEEDTCFADEVCQPVITYPGAYRKVSVELKIHNLAVDALLKSNQINEMEGGALLGFDQDVHSGNEQCGFDEANASAAAFRVMKQLIQRCLADAVSSGNVFADAILQHLYRWLCSPQSKLHDPALHRILHKVMQKVFALLLAEFRKLGATIIFADFSKVIIDTGKYDISAAKAYCHSLLKALQSRELFEWIELEPIHFWHSLLFMDQYNHGGILAESHENSQEKSEVDIVSNWNIAQYLPEKIQDHFVLIVSEFLHTPWKYAQEQAANRTSSLDGSLCTPSITITAAENFEAHIVQYLKEQISSYFTEKLLGIVRDIVLHMKGLNGSGKVGQQSVHNVPHKGDAALEFIKHVCTVLALDHSIQHDVLVLRKNLLKYVHVKEFAPEAEFHDPCPSFILPNVICSYCNDCRDLDLCRDSALLVDEWCCAVPQCGQPYDREVMENALLQIVRQRERLYHLQDLVCCRCRQVKAAHLAEQCGCAGSFKCKEDVSEFRRKMQIFLNIAIRKKFQLLQECTSWILQIN >KJB59945 pep chromosome:Graimondii2_0_v6:9:23870934:23878663:1 gene:B456_009G282100 transcript:KJB59945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRPLFDLNEPPAEDDEESDLASCFQPQKALPSANAHTSDLSVTLTGSQQRVNCDGFLHVSSDLGFQTFIQPKASPLTKVDIELERAEDQNSNLASSSSKSKSNNSRETKGHVAASSVSASTNAQAAEREEGEWSDAEDSADASGNNSIHEEVKASQKRAINELMDHSSASGMIIQSVSATENNHSPLKPDQFLNDQMGNSSQNSEGSGKGDIPIDGQEEPGLVSKQREVKGIEASHTLRCANNLGKRKIGQQKEAMLGKKRNRKTMFLNLEDIKQAGPIKTSTPRKQNIPMPVVTRIVKEYHVGERIGEKQGRPINEDQKQVDVPCNEGSNPAVESCDPKSECNGDMNSGLLARPRRLNSGSDLSHLPSIPKQSSWKQPIDSRQLKNSQFPNRKPTPISQSAMDTKMVNKKHLPSKKITATTTSYHDTSVERFIREATNEKFWHHPENSELQCVPGQFESAEEYVRVFEPLLFEECRAQLYSTWEELAESASRDTHVMVRIKSIERRERGWYDVIVLPTTECKWVFKEGDVAVLSAPRPGSVRNKWSNTSSIEEDEEAEVTGRVAGTVRRHRPLDTRDPLGAILHFYVGDSYDSSSKVDDDHILRKLQPRAIWYLTVLGSLATSQREYVALHAFCRLNAQMQTAILKPSPDHFPKYEQQTPAMPECFTPNFVDYLHRTFNGPQLAAIQWAATHTAAGTNSGVAKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPESYKQANESNPDNVAMGSIDEVLQNMDQNLFRSLPKLCPKPRMLVCAPSNAATDELLARVLGRGFIDGEMKIYRPDVARVGVDSQTQAAQAVSVERRSEQLLLKSYDEILQHMRTLKAREAMLSQQIATLQRELFAAAAAIHSQGSVGVDPDILVARDQNRDVLLQNLAAVVENRDKVLVEMSRLLIVESRFRAGSNFNLEEARANLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVSNLPDEVYYKDPLLKPYMFYDVTHGRESHRGGSVSYQNVHEAVFCLRLYEHLQRTIKSLGVPKITVGIITPYKLQLKCLQREFESVIKSEEGNDLYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALLVMGNAKALVQSDDWAALIADAKARNCYVDMDSLPKDFQKDLVSKDFSGPRGLGYPPSQGKASNTRSFRYAGPRHRSGDMHMDSRSGTPLEDAGKSIYRNGNYRPFKPPMEPSLDNFDQAGDKSRDAWQYGTQKRNSAGALWKKDS >KJB59943 pep chromosome:Graimondii2_0_v6:9:23871302:23878663:1 gene:B456_009G282100 transcript:KJB59943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRPLFDLNEPPAEDDEESDLASCFQPQKALPSANAHTSDLSVTLTGSQQRVNCDGFLHVSSDLGFQTFIQPKASPLTKVDIELERAEDQNSNLASSSSKSKSNNSRETKGHVAASSVSASTNAQAAEREEGEWSDAEDSADASGNNSIHEEVKASQKRAINELMDHSSASGMIIQSVSATENNHSPLKPDQFLNDQMGNSSQNSEGSGKGDIPIDGQEEPGLVSKQREVKGIEASHTLRCANNLGKRKIGQQKEAMLGKKRNRKTMFLNLEDIKQAGPIKTSTPRKQNIPMPVVTRIVKEYHVGERIGEKQGRPINEDQKQVDVPCNEGSNPAVESCDPKSECNGDMNSGLLARPRRLNSGSDLSHLPSIPKQSSWKQPIDSRQLKNSQFPNRKPTPISQSAMDTKMVNKKHLPSKKITATTTSYHDTSVERFIREATNEKFWHHPENSELQCVPGQFESAEEYVRVFEPLLFEECRAQLYSTWEELAESASRDTHVMVRIKSIERRERGWYDVIVLPTTECKWVFKEGDVAVLSAPRPGSVRNKWSNTSSIEEDEEAEVTGRVAGTVRRHRPLDTRDPLGAILHFYVGDSYDSSSKVDDDHILRKLQPRAIWYLTVLGSLATSQREYVALHAFCRLNAQMQTAILKPSPDHFPKYEQQTPAMPECFTPNFVDYLHRTFNGPQLAAIQWAATHTAAGTNSGVAKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPESYKQANESNPDNVAMGSIDEVLQNMDQNLFRSLPKLCPKPRMLVCAPSNAATDELLARVLGRGFIDGEMKIYRPDVARVGVDSQTQAAQAVSVERRSEQLLLKSYDEILQHMRTLKAREAMLSQQIATLQRELFAAAAAIHSQGSVGVDPDILVARDQNRDVLLQNLAAVVENRDKVLVEMSRLLIVESRFRAGSNFNLEEARANLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVSNLPDEVYYKDPLLKPYMFYDVTHGRESHRGGSVSYQNVHEAVFCLRLYEHLQRTIKSLGVPKITVGIITPYKLQLKCLQREFESVIKSEEGNDLYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALLVMGNAKALVQSDDWAALIADAKARNCYVDMDSLPKDFQKDLVSKDFSGPRGLGYPPSQGKASNTRSFRYAGPRHRSGDMHMDSRSGTPLEDAGKSIYRNGNYRPFKPPMEPSLDNFDQAGDKSRDAWQYGTQKRNSAGALWKKDS >KJB59944 pep chromosome:Graimondii2_0_v6:9:23871302:23878663:1 gene:B456_009G282100 transcript:KJB59944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRPLFDLNEPPAEDDEESDLASCFQPQKALPSANAHTSDLSVTLTGSQQRVNCDGFLHVSSDLGFQTFIQPKASPLTKVDIELERAEDQNSNLASSSSKSKSNNSRETKGHVAASSVSASTNAQAAEREEGEWSDAEDSADASGNNSIHEEVKASQKRAINELMDHSSASGMIIQSVSATENNHSPLKPDQFLNDQMGNSSQNSEGSGKGDIPIDGQEEPGLVSKQREVKGIEASHTLRCANNLGKRKIGQQKEAMLGKKRNRKTMFLNLEDIKQAGPIKTSTPRKQNIPMPVVTRIVKEYHVGERIGEKQGRPINEDQKQVDVPCNEGSNPAVESCDPKSECNGDMNSGLLARPRRLNSGSDLSHLPSIPKQSSWKQPIDSRQLKNSQFPNRKPTPISQSAMDTKMVNKKHLPSKKITATTTSYHDTSVERFIREATNEKFWHHPENSELQCVPGQFESAEEYVRVFEPLLFEECRAQLYSTWEELAESASRDTHVMVRIKSIERRERGWYDVIVLPTTECKWVFKEGDVAVLSAPRPGSVRNKWSNTSSIEEDEEAEVTGRVAGTVRRHRPLDTRDPLGAILHFYVGDSYDSSSKVDDDHILRKLQPRAIWYLTVLGSLATSQREYVALHAFCRLNAQMQTAILKPSPDHFPKYEQQTPAMPECFTPNFVDYLHRTFNGPQLAAIQWAATHTAAGTNSGVAKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPESYKQANESNPDNVAMGSIDEVLQNMDQNLFRSLPKLCPKPRMLVCAPSNAATDELLARVLGRGFIDGEMKIYRPDVARVGVDSQTQAAQAVSVERRSEQLLLKSYDEILQHMRTLKAREAMLSQQIATLQRELFAAAAAIHSQGSVGVDPDILVARDQNRDVLLQNLAAVVENRDKVLVEMSRLLIVESRFRAGSNFNLEEARANLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVSNLPDEVYYKDPLLKPYMFYDVTHGRESHRGGSVSYQNVHEAVFCLRLYEHLQRTIKSLGVPKITVGIITPYKLQLKCLQREFESVIKSEEGNDLYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALLVMGNAKALVQSDDWAALIADAKARNCYVDMDSLPKDFQKDLVSKDFSGPRGLGYPPSQGKASNTRSFRYAGPRHRSGDMHMDSRSGTPLEDAGKSIYRNGNYRPFKPPMEPSLDNFDQAGDKSRDAWQYGTQKRNSAGALWKKDS >KJB62804 pep chromosome:Graimondii2_0_v6:9:69337527:69340534:1 gene:B456_009G441700 transcript:KJB62804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAVEGVAVTALRSVLLRVRQAAERAATQPERVRVVAVSKTKPVSLIRQIYDAGHRCFGENYVQEFVQKAPQLPEDIEWHFIGHLQSNKVKTLLGGVPNLAFFQGVDNEKIANHLDRAVLSLERNPLKIFVQVNTSGEPSKSGIDPSGCVRLAEHVKLHCPHLEFSGLMTIGMPDYTSTPENFRTLSKCRVEVCKALGMTEDQCELSMGMSGDFEQAIEMGSTNVRIGSTIFGPRDYSKKQPN >KJB62805 pep chromosome:Graimondii2_0_v6:9:69339100:69340534:1 gene:B456_009G441700 transcript:KJB62805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKMKNRSNIAYQYNSFFPFKFVVSLNAGGVPNLAFFQGVDNEKIANHLDRAVLSLERNPLKIFVQVNTSGEPSKSGIDPSGCVRLAEHVKLHCPHLEFSGLMTIGMPDYTSTPENFRTLSKCRVEVCKALGMTEDQCELSMGMSGDFEQAIEMGSTNVRIGSTIFGPRDYSKKQPN >KJB54015 pep chromosome:Graimondii2_0_v6:9:1307342:1310172:-1 gene:B456_009G016600 transcript:KJB54015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSEKKEMESGKEDVVCFELPAPPGWKKKFMPKKRGTPRKNEIIFTAPTGEEISNKRQLEQHLKAHPGGPLVSEFSWGTSENPRRSARISEKVKAMPMPEGEPPKKRGRKSSASEKDIKESETAPEGTEVTKDVYMEEDKQSERDNVEGEAGKVTAKPDEHYKSQDADSKTVPTSQEVKLGEDANISADVKEGKENAEELKGTQDDASGVAQRKKEGFESASTTSQGNVELPVAETEKGVGTRQLDKPDILNTKEMKNEAEGEEKGEHGSNATESETSVKEKELANCNEEQNASGVNEINMKPEEAIQVRSSLEFL >KJB58588 pep chromosome:Graimondii2_0_v6:9:16865864:16867035:-1 gene:B456_009G216900 transcript:KJB58588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLRIFEGDASEITTLIQAYYHEQILEETLKQVRLHKQVLEEKYTSPGPPPTTKGKLPPEAGDVNGFVTTSSSSISNHFLNFLDSNGLLPPGCASIIDEAPAVAEILPPQSTDLLNEEEINVEEQLSLARGLENHNNVQRPEFGQVIDVNLSPWTQLYPTGSIWGPNKLVHGWAFEA >KJB58585 pep chromosome:Graimondii2_0_v6:9:16865689:16868170:-1 gene:B456_009G216900 transcript:KJB58585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLQIKRIENTTNRQVTFSKRRNGLIKKAYELSVLCDVDVALIMFSPSGRLSLFSGNKSIEEILGRYVNLPEHERGRLRNKEFLIKALGKLRDEADQTSQPTSPECINPQLEEFQQEIISCKSRIANMEKRLRIFEGDASEITTLIQAYYHEQILEETLKQVRLHKQVLEEKYTSPGPPPTTKGKLPPEAGDVNGFVTTSSSSISNHFLNFLDSNGLLPPGCASIIDEAPAVAEILPPQSTDLLNEEEINVEEQLSLARGLENHNNVQRPEFGQVIDVNLSPWTQLYPTGSIWGPNKLVHGWAFEA >KJB58587 pep chromosome:Graimondii2_0_v6:9:16865921:16867879:-1 gene:B456_009G216900 transcript:KJB58587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLQIKRIENTTNRQVTFSKRRNGLIKKAYELSVLCDVDVALIMFSPSGRLSLFSGNKSIEEILGRYVNLPEHERGRLRNKEFLIKALGKLRDEADQTSQPTSSPECINPQLEEFQQEIISCKSRIANMEKRLRIFEGDASEITTLIQAYYHEQILEETLKQVRLHKQVLEEKYTSPGPPPTTKGKLPPEAGDVNGFVTTSSSSISNHFLNFLDSNGLLPPGCASIIDEAPAVAEILPPQSTDLLNEEEINVEEQLSLARGLENHNNVQRPEFGQVIDVNLSPWTQLYPTGSIWGPNKLVHGWAFEA >KJB58586 pep chromosome:Graimondii2_0_v6:9:16865807:16868170:-1 gene:B456_009G216900 transcript:KJB58586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLQIKRIENTTNRQVTFSKRRNGLIKKAYELSVLCDVDVALIMFSPSGRLSLFSGNKSIEEILGRYVNLPEHERGRLRNKEFLIKALGKLRDEADQTSQPTSSPECINPQLEEFQQEIISCKSRIANMEKRLRIFEGDASEITTLIQAYYHEQILEETLKQVRLHKGKLPPEAGDVNGFVTTSSSSISNHFLNFLDSNGLLPPGCASIIDEAPAVAEILPPQSTDLLNEEEINVEEQLSLARGLENHNNVQRPEFGQVIDVNLSPWTQLYPTGSIWGPNKLVHGWAFEA >KJB58442 pep chromosome:Graimondii2_0_v6:9:16287560:16289548:-1 gene:B456_009G210300 transcript:KJB58442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIPKHIITKFNFFTDSPRSPLQPPTYGNLITLLSIDGGGIRGLIPGTILAFLESQLQKLDGEQARLADYFDIISGTSTGGLVTAMLTTPDPKKENRPLFAAKDINEFYLEHCPKIFPQNTSPFAPAANVVKSLMGPKYDGKYLHDIVREKLGETKLHQTLTNVVIPTFDIKQLQPRIFSTYEVKSDPCTDALLSDICIATSAAPTYLPAHHFQTQDSTGKTKEFNLIDGGVAANNPTLVAMNEVTKEILRGNPEFFPIKPTDYARFLVVSLGTGSPKSEGKYHANMAAKWGVLGWLTSEHSTPLVDIFMQASSDMVDFHIATVFQALQSENSYLRIQDDTLSQQISSVDIATKENLENLVKVGEELLNKSVSRVNLENGQFEPAGKVTNGEALIRLAAVLSKEKQLREMRSPLGKLAMKKNEECAHVNNTTT >KJB58443 pep chromosome:Graimondii2_0_v6:9:16287316:16289590:-1 gene:B456_009G210300 transcript:KJB58443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLNTALKSFLKIPANVVKSLMGPKYDGKYLHDIVREKLGETKLHQTLTNVVIPTFDIKQLQPRIFSTYEVKSDPCTDALLSDICIATSAAPTYLPAHHFQTQDSTGKTKEFNLIDGGVAANNPTLVAMNEVTKEILRGNPEFFPIKPTDYARFLVVSLGTGSPKSEGKYHANMAAKWGVLGWLTSEHSTPLVDIFMQASSDMVDFHIATVFQALQSENSYLRIQDDTLSQQISSVDIATKENLENLVKVGEELLNKSVSRVNLENGQFEPAGKVTNGEALIRLAAVLSKEKQLREMRSPLGKLAMKKNEECAHVNNTTT >KJB55975 pep chromosome:Graimondii2_0_v6:9:7402866:7409144:-1 gene:B456_009G102700 transcript:KJB55975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSINGSASNLPDSSGRSFGTSFSSQSGAASPVFHHTGTIQGLHNIHGSFNIPNMPGTLTSRNSTLSNVPTGGVQQPTGSLSGGRFASNNLPIALSQLSHGGSHGHSGVTNRGGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLASRLNLSANSGSGSLSLQGQNRLMSSMLPQGSPQVISMLGNSYPSAGGPLSQGHVQAVNNLSSLGMLNDVNSNENSPFDITNDFPQLTSRPNSSGGPQGQLGSLRKQGLTPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTISMMQSQQFSMGRSGAFNLGGSYSSHRPQQQQHAPSASSSGVSFASNSGPPGIGLRPLNSSNTVSGMGYDQLIQQYQQHQNQSPFRLQQMSAVNQSFREPGLKSTQAAQSDSDPFGLLGLQSVIKMTNPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDALFYIFYSMPKDEAQLYAANELYNRGWFYHKEFRFWFMRVPNVEPLVKTNTYERGSYHYFDPNSFEIIRKDNFVVHYELLEKRPSLPQH >KJB55978 pep chromosome:Graimondii2_0_v6:9:7403448:7409070:-1 gene:B456_009G102700 transcript:KJB55978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSINGSASNLPDSSGRSFGTSFSSQSGAASPVFHHTGTIQGLHNIHGSFNIPNMPGTLTSRNSTLSNVPTGGVQQPTGSLSGGRFASNNLPIALSQLSHGGSHGHSGVTNRGGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLASRLNLSANSGSGSLSLQGQNRLMSSMLPQGSPQLTSRPNSSGGPQGQLGSLRKQGLTPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTISMMQSQQFSMGRSGAFNLGGSYSSHRPQQQQHAPSASSSGVSFASNSGPPGIGLRPLNSSNTVSGMGYDQLIQQYQQHQNQSPFRLQQMSAVNQSFREPGLKSTQAAQSDSDPFGLLGLQSVIKMTNPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDALFYIFYSMPKDEAQLYAANELYNRGWFYHKEFRFWFMRVPNVEPLVKTNTYERGSYHYFDPNSFEIIRKDNFVVHYELLEKRPSLPQH >KJB55980 pep chromosome:Graimondii2_0_v6:9:7403422:7409106:-1 gene:B456_009G102700 transcript:KJB55980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSINGSASNLPDSSGRSFGTSFSSQSGAASPVFHHTGTIQGLHNIHGSFNIPNMPGTLTSRNSTLSNVPTGGVQQPTGSLSGGRFASNNLPIALSQLSHGGSHGHSGVTNRGGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLASRLNLSANSGSGSLSLQGQNRLMSSMLPQGSPQLTSRPNSSGGPQGQLGSLRKQGLTPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTISMMQSQQFSMGRSGAFNLGGSYSSHRPQQQQHAPSASSSGVSFASNSGPPGIGLRPLNSSNTVSGMGYDQLIQQYQQHQNQSPFRLQQMSAVNQSFREPGLKSTQAAQSDSDPFGLLGLQSVIKMTNPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDALFYIFYSMPKDEAQLYAANELYNRGWFYHKEFRFWFMRVPNVEPLVKTNTYERGSYHYFDPNSFEIIRKDNFVVHYELLEKRPSLPQH >KJB55981 pep chromosome:Graimondii2_0_v6:9:7403635:7407939:-1 gene:B456_009G102700 transcript:KJB55981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSINGSASNLPDSSGRSFGTSFSSQSGTIQGLHNIHGSFNIPNMPGTLTSRNSTLSNVPTGGVQQPTGSLSGGRFASNNLPIALSQLSHGGSHGHSGVTNRGGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLASRLNLSANSGSGSLSLQGQNRLMSSMLPQGSPQVISMLGNSYPSAGGPLSQGHVQAVNNLSSLGMLNDVNSNENSPFDITNDFPQLTSRPNSSGGPQGQLGSLRKQGLTPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTISMMQSQQFSMGRSGAFNLGGSYSSHRPQQQQHAPSASSSGVSFASVNNQDPLHLHGSDIFPSSHSGYHTQNSGPPGIGLRPLNSSNTVSGMGYDQLIQQYQQHQNQSPFRLQQMSAVNQSFREPGLKSTQAAQSDSDPFGLLGLQSVIKMTNPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDALFYIFYSMPKDEAQLYAANELYNRGWFYHKEFRFWFMRVPNVEPLVKTNTYERGSYHYFDPNSFEIIRKDNFVVHYELLEKRPSLPQH >KJB55976 pep chromosome:Graimondii2_0_v6:9:7403635:7407939:-1 gene:B456_009G102700 transcript:KJB55976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSINGSASNLPDSSGRSFGTSFSSQSGAASPVFHHTGTIQGLHNIHGSFNIPNMPGTLTSRNSTLSNVPTGGVQQPTGSLSGGRFASNNLPIALSQLSHGGSHGHSGVTNRGGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLASRLNLSANSGSGSLSLQGQNRLMSSMLPQGSPQVISMLGNSYPSAGGPLSQGHVQAVNNLSSLGMLNDVNSNENSPFDITNDFPQLTSRPNSSGGPQGQLGSLRKQGLTPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTISMMQSQQFSMGRSGAFNLGGSYSSHRPQQQQHAPSASSSGVSFASVNNQDPLHLHGSDIFPSSHSGYHTQNSGPPGIGLRPLNSSNTVSGMGYDQLIQQYQQHQNQSPFRLQQMSAVNQSFREPGLKSTQAAQSDSDPFGLLGLQSVIKMTNPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDALFYIFYSMPKDEAQLYAANELYNRGWFYHKEFRFWFMRVPNVEPLVKTNTYERGSYHYFDPNSFEIIRKDNFVVHYELLEKRPSLPQH >KJB55984 pep chromosome:Graimondii2_0_v6:9:7404004:7407939:-1 gene:B456_009G102700 transcript:KJB55984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSINGSASNLPDSSGRSFGTSFSSQSGAASPVFHHTGTIQGLHNIHGSFNIPNMPGTLTSRNSTLSNVPTGGVQQPTGSLSGGRFASNNLPIALSQLSHGGSHGHSGVTNRGGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLASRLNLSANSGSGSLSLQGQNRLMSSMLPQGSPQVISMLGNSYPSAGGPLSQGHVQAVNNLSSLGMLNDVNSNENSPFDITNDFPQLTSRPNSSGGPQGQLGSLRKQGLTPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTISMMQSQQFSMGRSGAFNLGGSYSSHRPQQQQHAPSASSSGVSFASVNNQDPLHLHGSDIFPSSHSGYHTQNSGPPGIGLRPLNSSNTVSGMGYDQLIQQYQQHQNQSPFRLQQMSAVNQSFREPGLKSTQAAQSDSDPFGLLGLQSVIKMTNPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDALFYIFYSMPKDEAQLYAANELYALY >KJB55982 pep chromosome:Graimondii2_0_v6:9:7403635:7407939:-1 gene:B456_009G102700 transcript:KJB55982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSINGSASNLPDSSGRSFGTSFSSQSGTIQGLHNIHGSFNIPNMPGTLTSRNSTLSNVPTGGVQQPTGSLSGGRFASNNLPIALSQLSHGGSHGHSGVTNRGGISVVGNPGFSSNTNGVGGSIPGILPTSAAIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLASRLNLSANSGSGSLSLQGQNRLMSSMLPQGSPQVISMLGNSYPSAGGPLSQGHVQAVNNLSSLGMLNDVNSNENSPFDITNDFPQLTSRPNSSGGPQGQLGSLRKQGLTPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTISMMQSQQFSMGRSGAFNLGGSYSSHRPQQQQHAPSASSSGVSFASVNNQDPLHLHGSDIFPSSHSGYHTQNSGPPGIGLRPLNSSNTVSGMGYDQLIQQYQQHQNQSPFRLQQMSAVNQSFREPGLKSTQAAQSDSDPFGLLGLQSVIKMTNPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDALFYIFYSMPKDEAQLYAANELYNRGWFYHKEFRFWFMRVPNVEPLVKTNTYERGSYHYFDPNSFEIIRKDNFVVHYELLEKRPSLPQH >KJB55977 pep chromosome:Graimondii2_0_v6:9:7403635:7407939:-1 gene:B456_009G102700 transcript:KJB55977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSINGSASNLPDSSGRSFGTSFSSQSGAASPVFHHTGTIQGLHNIHGSFNIPNMPGTLTSRNSTLSNVPTGGVQQPTGSLSGGRFASNNLPIALSQLSHGGSHGHSGVTNRGGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLASRLNLSANSGSGSLSLQGQNRLMSSMLPQGSPQLTSRPNSSGGPQGQLGSLRKQGLTPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTISMMQSQQFSMGRSGAFNLGGSYSSHRPQQQQHAPSASSSGVSFASVNNQDPLHLHGSDIFPSSHSGYHTQNSGPPGIGLRPLNSSNTVSGMGYDQLIQQYQQHQNQSPFRLQQMSAVNQSFREPGLKSTQAAQSDSDPFGLLGLQSVIKMTNPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDALFYIFYSMPKDEAQLYAANELYNRGWFYHKEFRFWFMRVPNVEPLVKTNTYERGSYHYFDPNSFEIIRKDNFVVHYELLEKRPSLPQH >KJB55974 pep chromosome:Graimondii2_0_v6:9:7402866:7409144:-1 gene:B456_009G102700 transcript:KJB55974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSINGSASNLPDSSGRSFGTSFSSQSGTIQGLHNIHGSFNIPNMPGTLTSRNSTLSNVPTGGVQQPTGSLSGGRFASNNLPIALSQLSHGGSHGHSGVTNRGGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLASRLNLSANSGSGSLSLQGQNRLMSSMLPQGSPQVISMLGNSYPSAGGPLSQGHVQAVNNLSSLGMLNDVNSNENSPFDITNDFPQLTSRPNSSGGPQGQLGSLRKQGLTPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTISMMQSQQFSMGRSGAFNLGGSYSSHRPQQQQHAPSASSSGVSFASNSGPPGIGLRPLNSSNTVSGMGYDQLIQQYQQHQNQSPFRLQQMSAVNQSFREPGLKSTQAAQSDSDPFGLLGLQSVIKMTNPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDALFYIFYSMPKDEAQLYAANELYNRGWFYHKEFRFWFMRVPNVEPLVKTNTYERGSYHYFDPNSFEIIRKDNFVVHYELLEKRPSLPQH >KJB55983 pep chromosome:Graimondii2_0_v6:9:7403448:7409082:-1 gene:B456_009G102700 transcript:KJB55983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSINGSASNLPDSSGRSFGTSFSSQSGAASPVFHHTGTIQGLHNIHGSFNIPNMPGTLTSRNSTLSNVPTGGVQQPTGSLSGGRFASNNLPIALSQLSHGGSHGHSGVTNRGGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLASRLNLSANSGSGSLSLQGQNRLMSSMLPQGSPQVISMLGNSYPSAGGPLSQGHVQAVNNLSSLGMLNDVNSNENSPFDITNDFPQLTSRPNSSGGPQGQLGSLRKQGLTPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTISMMQSQQFSMGRSGAFNLGGSYSSHRPQQQQHAPSASSSGVSFASVNNQDPLHLHGSDIFPSSHSGYHTQNSGPPGIGLRPLNSSNTVSGMGYDQLIQQYQQHQNQSPFRLQQMSAVNQSFREPGLKSTQAAQSDSDPFGLLGLQSMNLLRVIQSSPCHNVIMLSNHLLCIKAISRSLQWMRCSIYFTACQKTKPNYMLQMNFIIEAGFTTRSSDSGS >KJB55973 pep chromosome:Graimondii2_0_v6:9:7403448:7409070:-1 gene:B456_009G102700 transcript:KJB55973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSINGSASNLPDSSGRSFGTSFSSQSGTIQGLHNIHGSFNIPNMPGTLTSRNSTLSNVPTGGVQQPTGSLSGGRFASNNLPIALSQLSHGGSHGHSGVTNRGGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLASRLNLSANSGSGSLSLQGQNRLMSSMLPQGSPQVISMLGNSYPSAGGPLSQGHVQAVNNLSSLGMLNDVNSNENSPFDITNDFPQLTSRPNSSGGPQGQLGSLRKQGLTPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTISMMQSQQFSMGRSGAFNLGGSYSSHRPQQQQHAPSASSSGVSFASVNNQDPLHLHGSDIFPSSHSGYHTQNSGPPGIGLRPLNSSNTVSGMGYDQLIQQYQQHQNQSPFRLQQMSAVNQSFREPGLKSTQAAQSDSDPFGLLGLQSVIKMTNPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDALFYIFYSMPKDEAQLYAANELYNRGWFYHKEFRFWFMRVPNVEPLVKTNTYERGSYHYFDPNSFEIIRKDNFVVHYELLEKRPSLPQH >KJB55979 pep chromosome:Graimondii2_0_v6:9:7403448:7406919:-1 gene:B456_009G102700 transcript:KJB55979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMVGGGNIGRSMSSGGGLSVPGLASRLNLSANSGSGSLSLQGQNRLMSSMLPQGSPQVISMLGNSYPSAGGPLSQGHVQAVNNLSSLGMLNDVNSNENSPFDITNDFPQLTSRPNSSGGPQGQLGSLRKQGLTPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTISMMQSQQFSMGRSGAFNLGGSYSSHRPQQQQHAPSASSSGVSFASVNNQDPLHLHGSDIFPSSHSGYHTQNSGPPGIGLRPLNSSNTVSGMGYDQLIQQYQQHQNQSPFRLQQMSAVNQSFREPGLKSTQAAQSDSDPFGLLGLQSVIKMTNPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDALFYIFYSMPKDEAQLYAANELYNRGWFYHKEFRFWFMRVPNVEPLVKTNTYERGSYHYFDPNSFEIIRKDNFVVHYELLEKRPSLPQH >KJB54222 pep chromosome:Graimondii2_0_v6:9:1984029:1988205:-1 gene:B456_009G025900 transcript:KJB54222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVASWSPAAASVNQQQQPQIKQQVAATISVAAGQSHPLTTASSSIVYCECFSSGMYCDECNCVNCYNNVEYEAVRRDSVGATLKSNLNAFRPKINSSSHGAKDNKEAGEVLMLGKHSKGCHCKRSGCLRKYCECFQANILCYESCKCMGCKKFKGSEERQALFHGDYANNMAYIQQAANATTTGAIGSSGYASAPVSKKRKSQEFLLGSTAKDPSVHSLGHLQQTNLVRASIPSSSLSSMSVSCAGTAVGPSKLSYRSLLADIIQKQDLKELCSVLVVLSREAAKILADQRSLIEGAEDHSEISFASSTQDRLESQKDFIAEKTRADNCSSANQAVKSGPENSCTDVADMPEGSLMSPGTLALMCDEQDTTFTAAVSQLPCGKGMIEIDAEHERVVLTKFRDCLNRLITFGEIKGKFPTPFSENSIHNELYSMQQKGRNFKW >KJB54215 pep chromosome:Graimondii2_0_v6:9:1983046:1988643:-1 gene:B456_009G025900 transcript:KJB54215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVASWSPAAASVNQQQQPQIKQQVAATISVAAGQSHPLTTASSSIVYCECFSSGMYCDECNCVNCYNNVEYEAVRRDSVGATLKSNLNAFRPKINSSSHGAKDNKEAGEVLMLGKHSKGCHCKRSGCLRKYCECFQANILCYESCKCMGCKKFKGSEERQALFHGDYANNMAYIQQAANATTTGAIGSSGYASAPVSKKRKSQEFLLGSTAKDPSVHSLGHLQQTNLVRASIPSSSLSSMSVSCAGTAVGPSKLSYRSLLADIIQKQDLKELCSVLVVLSREAAKILADQRSLIEGAEDHSEISFASSTQDRLESQKDFIAEKTRADNCSSANQAVKSGPENSCTDVADMPEGSLMSPGTLALMCDEQDTTFTAAVSQLPCGKGMIEIDAEHERVVLTKFRDCLNRLITFGEIKGMCCSTLARMEIGSQRCPLSHRAETGNQQGFVNYGVPKTFFSPTVRTSKTAAVVATADNDLPTVPPFPGNGDAKSKPAKQM >KJB54219 pep chromosome:Graimondii2_0_v6:9:1983313:1988205:-1 gene:B456_009G025900 transcript:KJB54219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVASWSPAAASVNQQQQPQIKQQVAATISVAAGQSHPLTTASSSIVKPESLKAKTRPNELNDEAPKKQKHSNCKHSRCLKLYCECFSSGMYCDECNCVNCYNNVEYEAVRRDSVGATLKSNLNAFRPKINSSSHGAKDNKEAGEVLMLGKHSKGCHCKRSGCLRKYCECFQANILCYESCKCMGCKKFKGSEERQALFHGDYANNMAYIQQAANATTTGAIGSSGYASAPVSKKRKSQEFLLGSTAKDPSVHSLGHLQQTNLVRASIPSSSLSSMSVSCAGTAVGPSKLSYRSLLADIIQKQDLKELCSVLVVLSREAAKILADQRSLIEGAEDHSEISFASSTQDRLESQKDFIAEKTRADNCSSANQAVKSGPENSCTDVADMPEGSLMSPGTLALMCDEQDTTFTAAVSQLPCGKGMIEIDAEHERVVLTKFRDCLNRLITFGEIKGMCCSTLARMEIGSQRCPLSHRAETGNQQGFVNYGVPKTFFSPTVRTSKTAAVVATADNDLPTVPPFPGNGDAKSKPAKQM >KJB54217 pep chromosome:Graimondii2_0_v6:9:1982080:1988689:-1 gene:B456_009G025900 transcript:KJB54217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVASWSPAAASVNQQQQPQIKQQVAATISVAAGQSHPLTTASSSIVYCECFSSGMYCDECNCVNCYNNVEYEAVRRDSVGATLKSNLNAFRPKINSSSHGAKDNKEAGEVLMLGKHSKGCHCKRSGCLRKYCECFQANILCYESCKCMGCKKFKGSEERQALFHGDYANNMAYIQQAANATTTGAIGSSGYASAPVSKKRKSQEFLLGSTAKDPSVHSLGHLQQTNLVRASIPSSSLSSMSVSCAGTAVGPSKLSYRSLLADIIQKQDLKELCSVLVVLSREAAKILADQRSLIEGAEDHSEISFASSTQDRLESQKDFIAEKTRADNCSSANQAVKSGPENSCTDVADMPEGSLMSPGTLALMCDEQDTTFTAAVSQLPCGKGMIEIDAEHERVVLTKFRDCLNRLITFGEIKGMCCSTLARMEIGSQRCPLSHRAETGNQQGFVNYGVPKTFFSPTVRTSKTAAVVATADNDLPTVPPFPGNGDAKSKPAKQM >KJB54221 pep chromosome:Graimondii2_0_v6:9:1980999:1988689:-1 gene:B456_009G025900 transcript:KJB54221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVASWSPAAASVNQQQQPQIKQQVAATISVAAGQSHPLTTASSSIVYCECFSSGMYCDECNCVNCYNNVEYEAVRRDSVGATLKSNLNAFRPKINSSSHGAKDNKEAGEVLMLGKHSKGCHCKRSGCLRKYCECFQANILCYESCKCMGCKKFKGSEERQALFHGDYANNMAYIQQAANATTTGAIGSSGYASAPVSKKRKSQEFLLGSTAKDPSVHSLGHLQQTNLVRASIPSSSLSSMSVSCAGTAVGPSKLSYRSLLADIIQKQDLKELCSVLVVLSREAAKILADQRSLIEGAEDHSEISFASSTQDRLESQKDFIAEKTRADNCSSANQAVKSGPENSCTDVADMPEGSLMSPGTLALMCDEQDTTFTAAVSQLPCGKGMIEIDAEHERVVLTKFRDCLNRLITFGEIKGMCCSTLARMEIGSQRCPLSHRAETGNQQGFVNYGVPKTFFSPTVRTSKTAAVVATADNDLPTVPPFPGNGDAKSKPAKQM >KJB54220 pep chromosome:Graimondii2_0_v6:9:1983046:1987244:-1 gene:B456_009G025900 transcript:KJB54220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCDECNCVNCYNNVEYEAVRRDSVGATLKSNLNAFRPKINSSSHGAKDNKEAGEVLMLGKHSKGCHCKRSGCLRKYCECFQANILCYESCKCMGCKKFKGSEERQALFHGDYANNMAYIQQAANATTTGAIGSSGYASAPVSKKRKSQEFLLGSTAKDPSVHSLGHLQQTNLVRASIPSSSLSSMSVSCAGTAVGPSKLSYRSLLADIIQKQDLKELCSVLVVLSREAAKILADQRSLIEGAEDHSEISFASSTQDRLESQKDFIAEKTRADNCSSANQAVKSGPENSCTDVADMPEGSLMSPGTLALMCDEQDTTFTAAVSQLPCGKGMIEIDAEHERVVLTKFRDCLNRLITFGEIKGKFPTPFSENSIHNELYSMQQKGRNFKW >KJB54216 pep chromosome:Graimondii2_0_v6:9:1982823:1988689:-1 gene:B456_009G025900 transcript:KJB54216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVASWSPAAASVNQQQQPQIKQQVAATISVAAGQSHPLTTASSSIVKPESLKAKTRPNELNDEAPKKQKHSNCKHSRCLKLYCECFSSGMYCDECNCVNCYNNVEYEAVRRDSVGATLKSNLNAFRPKINSSSHGAKDNKEAGEVLMLGKHSKGCHCKRSGCLRKYCECFQANILCYESCKCMGCKKFKGSEERQALFHGDYANNMAYIQQAANATTTGAIGSSGYASAPVSKKRKSQEFLLGSTAKDPSVHSLGHLQQTNLVRASIPSSSLSSMSVSCAGTAVGPSKLSYRSLLADIIQKQDLKELCSVLVVLSREAAKILADQRSLIEGAEDHSEISFASSTQDRLESQKDFIAEKTRADNCSSANQAVKSGPENSCTDVADMPEGSLMSPGTLALMCDEQDTTFTAAVSQLPCGKGMIEIDAEHERVVLTKFRDCLNRLITFGEIKGMCCSTLARMEIGSQRCPLSHRAETGNQQGFVNYGVPKTFFSPTVRTSKTAAVVATADNDLPTVPPFPGNGDAKSKPAKQM >KJB54218 pep chromosome:Graimondii2_0_v6:9:1983313:1988205:-1 gene:B456_009G025900 transcript:KJB54218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVASWSPAAASVNQQQQPQIKQQVAATISVAAGQSHPLTTASSSIVKPESLKAKTRPNELNDEAPKKQKHSNCKHSRCLKLYCECFSSGMYCDECNCVNCYNNVEYEAVRRDSVGATLKSNLNAFRPKINSSSHGAKDNKEAGEVLMLGKHSKGCHCKRSGCLRKYCECFQANILCYESCKCMGCKKFKGSEERQALFHGDYANNMAYIQQAANATTTGAIGSSGYASAPVSKKRKSQEFLLGSTAKDPSVHSLGHLQQTNLVRASIPSSSLSSMSVSCAGTAVGPSKLSYRSLLADIIQKQDLKELCSVLVVLSREAAKILADQRSLIEGAEDHSEISFASSTQDRLESQKDFIAEKTRADNCSSANQAVKSGPENSCTDVADMPEGSLMSPGTLALMCDEQDTTFTAAVSQLPCGKGMIEIDAEHERVVLTKFRDCLNRLITFGEIKGMCCSTLARMEIGSQRCPLSHRAETGNQQGFVNYGVPKTFFSPTVRTSKTAAVVATADNDLPTVPPFPGNGDAKSKPAKQM >KJB57135 pep chromosome:Graimondii2_0_v6:9:11358143:11363749:-1 gene:B456_009G149600 transcript:KJB57135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKYPIGPEYYILHEEVGQGVSASVRRAHCIPFDEVVAIKILDFERDNCDLNNISREAQTMVLVDHPNVLKSHCSFVSDHNLWVVMPYMSGGSCLHILKAAYPDGFEEVVIATVLREVLKGLEYLHHHGHIHRDVKAGNILIDSRGGIKLGDFGVSACIFESGDRQRLRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLDGLPALGDRIQALKRKEEDMLAQKKMPDGEKEELSQNEYKRGISEWNFNLEDVKAQASLIQDEDVISETTQGGSSSSLSTLDGQEKQSELHTSSQATYKEDNDLVLNQPVSFAAVEPTTNFAKARSERSDDDSSIASPTNELNVISLCHDDHLESNPSEKHVLELNGKSLDNMTKPSLQSDKQNQSQNISGVNGVAVKEDTISELPSKASTKSSAMNSDDLDEKAKPPVVQQRGRFKVTSENVQLEKVACVQASRRKG >KJB57132 pep chromosome:Graimondii2_0_v6:9:11356315:11364458:-1 gene:B456_009G149600 transcript:KJB57132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKYPIGPEYYILHEEVGQGVSASVRRAHCIPFDEVVAIKILDFERDNCDLNNISREAQTMVLVDHPNVLKSHCSFVSDHNLWVVMPYMSGGSCLHILKAAYPDGFEEVVIATVLREVLKGLEYLHHHGHIHRDVKAGNILIDSRGGIKLGDFGVSACIFESGDRQRLRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLDGLPALGDRIQALKRKEEDMLAQKKMPDGEKEELSQNEYKRGISEWNFNLEDVKAQASLIQDEDVISETTQGGSSSSLSTLDGQEKQSELHTSSQATYKEDNDLVLNQPVSFAAVEPTTNFAKARSERSDDDSSIASPTNELNVISLCHDDHLESNPSEKHVLELNGKSLDNMTKPSLQSDKQNQSQNISGVNGVAVKEDTISELPSKASTKSSAMNSDDLDEKAKPPVVQQRGRFKVTSENVQLEKLMDPHPLVSAAAPSDALLHHQLLLPHHQLLHPINFSHYCNPFCRQTFFKGIIFLI >KJB57129 pep chromosome:Graimondii2_0_v6:9:11356315:11364533:-1 gene:B456_009G149600 transcript:KJB57129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKYPIGPEYYILHEEVGQGVSASVRRAHCIPFDEVVAIKILDFERDNCDLNNISREAQTMVLVDHPNVLKSHCSFVSDHNLWVVMPYMSGGSCLHILKAAYPDGFEEVVIATVLREVLKGLEYLHHHGHIHRDVKAGNILIDSRGGIKLGDFGVSACIFESGDRQRLRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLDGLPALGDRIQALKRKEEDMLAQKKMPDGEKEELSQNEYKRGISEWNFNLEDVKAQASLIQDEDVISETTQGGSSSSLSTLDGQEKQSELHTSSQATYKEDNDLVLNQPVSFAAVEPTTNFAKARSERSDDDSSIASPTNELNVISLCHDDHLESNPSEKHVLELNGKSLDNMTKPSLQSDKQNQSQNISGVNGVAVKEDTISELPSKASTKSSAMNSDDLDEKAKPPVVQQRGRFKVTSENVQLEKLMDPHPLVSAAAPSDAVSSSTPVAASSSTPVATPSASSSNQLFPLLQSVLQTNILQRDHILNLMKQVSAGDSIANRPFEGASMPANVSTVTEKSLLEVAHDREKELLHEISELQWRLICAQEELQKYKTDNAQV >KJB57130 pep chromosome:Graimondii2_0_v6:9:11356315:11363868:-1 gene:B456_009G149600 transcript:KJB57130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKYPIGPEYYILHEEVGQGVSASVRRAHCIPFDEVVAIKILDFERDNCDLAGNILIDSRGGIKLGDFGVSACIFESGDRQRLRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLDGLPALGDRIQALKRKEEDMLAQKKMPDGEKEELSQNEYKRGISEWNFNLEDVKAQASLIQDEDVISETTQGGSSSSLSTLDGQEKQSELHTSSQATYKEDNDLVLNQPVSFAAVEPTTNFAKARSERSDDDSSIASPTNELNVISLCHDDHLESNPSEKHVLELNGKSLDNMTKPSLQSDKQNQSQNISGVNGVAVKEDTISELPSKASTKSSAMNSDDLDEKAKPPVVQQRGRFKVTSENVQLEKLMDPHPLVSAAAPSDAVSSSTPVAASSSTPVATPSASSSNQLFPLLQSVLQTNILQRDHILNLMKQVSAGDSIANRPFEGASMPANVSTVTEKSLLEVAHDREKELLHEISELQWRLICAQEELQKYKTDNAQV >KJB57131 pep chromosome:Graimondii2_0_v6:9:11357514:11363749:-1 gene:B456_009G149600 transcript:KJB57131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKYPIGPEYYILHEEVGQGVSASVRRAHCIPFDEVVAIKILDFERDNCDLNNISREAQTMVLVDHPNVLKSHCSFVSDHNLWVVMPYMSGGSCLHILKAAYPDGFEEVVIATVLREVLKGLEYLHHHGHIHRDVKAGNILIDSRGGIKLGDFGVSACIFESGDRQRLRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLDGLPALGDRIQALKRKEEDMLAQKKMPDGEKEELSQNEYKRGISEWNFNLEDVKAQASLIQDEDVISETTQGGSSSSLSTLDGQEKQSELHTSSQATYKEDNDLVLNQPVSFAAVEPTTNFAKARSERSDDDSSIASPTNELNVISLCHDDHLESNPSEKHVLELNGKSLDNMTKPSLQSDKQNQSQNISGVNGVAVKEDTISELPSKASTKSSAMNSDDLDEKAKPPVVQQRGRFKVTSENVQLEKLMDPHPLVSAAAPSDAVSSSTPVAASSSTPVATPSASSSNQLFPLLQSVLQTNILQRDHILNLMKQVSAGDSIANRPFEGASMPANVSTVTEKSLVRRIGFYH >KJB57134 pep chromosome:Graimondii2_0_v6:9:11358056:11363868:-1 gene:B456_009G149600 transcript:KJB57134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKYPIGPEYYILHEEVGQGVSASVRRAHCIPFDEVVAIKILDFERDNCDLAGNILIDSRGGIKLGDFGVSACIFESGDRQRLRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLDGLPALGDRIQALKRKEEDMLAQKKMPDGEKEELSQNEYKRGISEWNFNLEDVKAQASLIQDEDVISETTQGGSSSSLSTLDGQEKQSELHTSSQATYKEDNDLVLNQPVSFAAVEPTTNFAKARSERSDDDSSIASPTNELNVISLCHDDHLESNPSEKHVLELNGKSLDNMTKPSLQSDKQNQSQNISGVNGVAVKEDTISELPSKASTKSSAMNSDDLDEKAKPPVVQQRGRFKVTSENVQLEKVACVQASRRKG >KJB57133 pep chromosome:Graimondii2_0_v6:9:11356698:11363749:-1 gene:B456_009G149600 transcript:KJB57133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKYPIGPEYYILHEEVGQGVSASVRRAHCIPFDEVVAIKILDFERDNCDLNNISREAQTMVLVDHPNVLKSHCSFVSDHNLWVVMPYMSGGSCLHILKAAYPDGFEEVVIATVLREVLKGLEYLHHHGHIHRDVKAGNILIDSRGGIKLGDFGVSACIFESGDRQRLRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLDGLPALGDRIQALKRKEEDMLAQKKMPDGEKEELSQNEYKRGISEWNFNLEDVKAQASLIQDEDVISETTQGGSSSSLSTLDGQEKQSELHTSSQATYKEDNDLVLNQPVSFAAVEPTTNFAKARSERSDDDSSIASPTNELNVISLCHDDHLESNPSEKHVLELNGKSLDNMTKPSLQSDKQNQSQNISGVNGVAVKEDTISELPSKASTKSSAMNSDDLDEKAKPPVVQQRGRFKVTSENVQLEKLMDPHPLVSAAAPSDAVSSSTPVAASSSTPVATPSASSSNQLFPLLQSVLQTNILQRDHILNLMKQVSAGDSIANRPFEGASMPANVSTVTEKSLLEVAHDREKELLHEISELQWRLICAQEELQKYKTDNAQV >KJB57343 pep chromosome:Graimondii2_0_v6:9:12179234:12185399:-1 gene:B456_009G159000 transcript:KJB57343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSPDTCISHNNNNLPNLSNKSNGMRGNKYNCNNSGTPRTRLERLLRERELRKFNKSLNDGETELSNNDSFSPDSFEAFSWFNDEESLETISANGCSTDGCERQDSRTSRERLLVVANRLPVSAVRRGVDSWHLEISVGGLVSALLGVKEFETRWIGWAGVNVPDEIGQRALIKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFAEVVKEHYVEGDVVWCHDYHLMFLPKCLKERNRKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALELPQVQDHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNVTEVASSIGYALNMPADEREKRHHHNFVHVTTHTSQEWAATFVSELNDTIVEAQLRTRQIPPPLPIEVAVDRYAKSNNRLLILGFNATLTEPVDTLGRKGSQIKEMEPKLRPDLQEPLRKLCDDPKTTIVVLSGSDRSVLDDNFGDYSMWLAAENGMFLRATKGDWMTTMPENLNMEWVDSVKHVFEYFTERTPRSHFELRETSLIWNYKYADVEFGRLQARDLLQHLWTGPISNASLDVVQGSRSIEVRSVGVTKGAAIDRILGEIVHNKGMKEPIDYVLCIGHFLAKDEDIYTFFEPELPSEVPAPVRSHVTPPVRTSVSKLSTSRSLSREDRLKKHRPMPTIERSKSYHVNTDVFQSRVVDRMSLQEGSSVLDLQGDNYFSCSVARKRSNARYLLRSSDDVVKLLTELTNSWIDSMNTDGSTMEGN >KJB57344 pep chromosome:Graimondii2_0_v6:9:12180448:12185285:-1 gene:B456_009G159000 transcript:KJB57344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSPDTCISHNNNNLPNLSNKSNGMRGNKYNCNNSGTPRTRLERLLRERELRKFNKSLNDGETELSNNDSFSPDSFEAFSWFNDEESLETISANGCSTDGCERQDSRTSRERLLVVANRLPVSAVRRGVDSWHLEISVGGLVSALLGVKEFETRWIGWAGVNVPDEIGQRALIKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFAEVVKEHYVEGDVVWCHDYHLMFLPKCLKERNRKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALELPQVQDHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNVTEVASSIGYALNMPADEREKRHHHNFVHVTTHTSQEWAATFVSELNDTIVEAQLRTRQIPPPLPIEVAVDRYAKSNNRLLILGFNATLTEPVDTLGRKGSQIKEMEPKLRPDLQEPLRKLCDDPKTTIVVLSGSDRSVLDDNFGDYSMWLAAENGMFLRATKGDWMTTMPENLNMEWVDSVKHVFEYFTERTPRSHFELRETSLIWNYKYADVEFGRLQARDLLQHLWTGPISNASLDVVQGSRSIEVRSVGVTKVCIGGITLLLFIQHHRLAYLMLR >KJB62160 pep chromosome:Graimondii2_0_v6:9:58787507:58790262:-1 gene:B456_009G403700 transcript:KJB62160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGVNRKISAASARAHTRRAKQNASFKLPSGICTNILLVLFAGILAWAYQAIQPPIPKTCGSPDGPPVTASRIKLKDGRYLAYKEHGVPRDAAKYKIVYVHGFDSCRHDAVVANTLLPEIVEALGVYIVSFDRPGYGESDPNPKRTVQSLPLDIEELADQLGLGSKFYVIGFSMGGQVIWSCLKYIPHRLAGAALLAPVVNYWWPGFPTNLVTEAYQQQLPQDQWAVRVSHYAPWLTYWWNTQKWFPSSSVVAHSTDILNSEDKKLLPEIVSTRNYTAVVRQQGEYESLHRDMMIGFGTWEFSPMDLDNPFPNNEGSVHMWHGDEDKIVPVILQRYIAQQLPWIHYHELPGAGHMFPHAPGMSDNIVKVLVGE >KJB62161 pep chromosome:Graimondii2_0_v6:9:58787514:58790071:-1 gene:B456_009G403700 transcript:KJB62161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGVNRKISAASARAHTRRAKQNASFKLPSGICTNILLVLFAGILAWAYQAIQPPIPKTCGSPDGPPVTASRIKLKDGRYLAYKEHGVPRDAAKYKIVYVHGFDSCRHDAVVANTLLPEIVEALGVYIVSFDRPGYGESDPNPKRTVQSLPLDIEELADQLGLGSKFYVIGFSMGGQVIWSCLKYIPHRLAGAALLAPVVNYWWPGFPTNLVTEAYQQQLPQDQWAVRVSHYAPWLTYWWNTQKWFPSSSVVAHSTDILNSEDKKLLPEIVSTRNYTVRVSFCYKVACTCIVTCIRDVNSCFCRLWWFGHGNLLAY >KJB55054 pep chromosome:Graimondii2_0_v6:9:4302485:4306216:-1 gene:B456_009G060200 transcript:KJB55054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHPNHLSQDLPLHHFTVQQQQTNQLPGTTAPNWLNIALLRSQQESQPTQPHAHFSDPNFLNLHTATTASESTSASQAPNQWLSPSSSSLLQRNHSGVVDDVAAVAAGGGGVGMETMVTVESGELKSSNSESMNNNNKSEGLAVESGGGGDGAVNWQNARYKAEVLAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSLHVVAKYSALGGGAQGLVGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSLGEGTGATMSDDDEEQIDSDANLLDGSMEGPDSLGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKAKLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKNKRKK >KJB55055 pep chromosome:Graimondii2_0_v6:9:4302548:4306185:-1 gene:B456_009G060200 transcript:KJB55055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHPNHLSQDLPLHHFTVQQQQTNQLPGTTAPNWLNIALLRSQQESQPTQPHAHFSDPNFLNLHTATTASESTSASQAPNQWLSPSSSSLLQRNHSGVVDDVAAVAAGGGGVGMETMVTVESGELKSSNSESMNNNNKSEGLAVESGGGGDGAVNWQNARYKAEVLAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSLHVVAKYSALGGGAQGLVGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSLGEGTGATMSDDDEEQIDSDANLLDGSMEGPDSLGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKAKLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKNKRKK >KJB56537 pep chromosome:Graimondii2_0_v6:9:9855518:9857117:1 gene:B456_009G131300 transcript:KJB56537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMRSLSTPILNSWLCHSKDCCSSPDPDFPILQRSGSVSLYTPASIDDHKHKQLNIPKPRKEEGKPMIPTPHSLDLHKQAEQESDDQEQESEPKSCSIQTLFSISELGERVVDDDEVSNVTDGGSICGPGDDCGGGGSGLFETDSTNVYYQKMIEANPGNPLFLGNYAKFLKEIRGDFGRAEEYCERAILANTNDGNVLSLYALLIWENQKDAHRAQTYFDQAVQASPHDW >KJB56534 pep chromosome:Graimondii2_0_v6:9:9855352:9857398:1 gene:B456_009G131300 transcript:KJB56534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMRSLSTPILNSWLCHSKDCCSSPDPDFPILQRSGSVSLYTPASIDDHKHKQLNIPKPRKEEGKPMIPTPHSLDLHKQAEQESDDQEQESEPKSCSIQTLFSISELGERVVDDDEVSNVTDGGSICGPGDDCGGGGSGLFETDSTNVYYQKMIEANPGNPLFLGNYAKFLKEIRGDFGRAEEYCERAILANTNDGNVLSLYALLIWENQKDAHRAQTYFDQAVQASPHDCFLLASYAKFLWDAEEEEEEEEEEEHSGHGDW >KJB56536 pep chromosome:Graimondii2_0_v6:9:9855518:9857117:1 gene:B456_009G131300 transcript:KJB56536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMRSLSTPILNSWLCHSKDCCSSPDPDFPILQRSGSVSLYTPASIDDHKHKQLNIPKPRKEEGKPMIPTPHSLDLHKQAEQESDDQEQESEPKSCSIQTLFSISELGERVVDDDEVSNVTDGGSICGPGDDCGGGGSGLFETDSTNVYYQKMIEANPGNPLFLGNYAKFLKEIRGDFGRAEEYCERAILANTNDGNVLSLYALLIWENQKDAHRAQTYFDQAVQASPHD >KJB56535 pep chromosome:Graimondii2_0_v6:9:9855728:9856300:1 gene:B456_009G131300 transcript:KJB56535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMRSLSTPILNSWLCHSKDCCSSPDPDFPILQRSGSVSLYTPASIDDHKHKQLNIPKPRKEEGKPMIPTPHSLDLHKQAEQESDDQEQESEPKSCSIQTLFSISELGERVVDDDEVSNVTDGGSICGPGDDCGGGGSGLFETDSTNVYYQKMIEANPGNPLFLGNYAKFLKEVRHKFLGRKTLVGFLI >KJB58736 pep chromosome:Graimondii2_0_v6:9:17414629:17419927:-1 gene:B456_009G223600 transcript:KJB58736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFEILLLFSPDIFGTIESVFLPNLPALETNPSFHVFDQSTRKGHIANGSNNIILNPTFENELSNWSGKGCNILLHESMEDGKILPLYGKFFASATNRTRSWNGIEQEITGRVRPKLAYEVTAIVRIYGGNVADANIQATLWVQSQDINEQYIKIAISQATDKGWVQLQGKFLLNGSPLKVIIFLEGPPPGTDILINRLVVKHAEKAPPPLRPFMKNEAFGVNIIENSNLDDGINGWFPLGNCSLNMEFGSPIELPSMARNSLGMQERLSGRYILVTNRADTWMGPAQMISGKLKLYLTYQVSAWVKIGPGSTSPQIVNVALSIDGNWVNGGQVEFNNESWHEVGGSFRIDKQPSNVMVYIQGPAAGVDLMVAGLYIFPVDRRARFKLLKKQTDKIRKRDLILKFSSSKADNVSGAFVKVEQIQNSFPFGSCITRSSIDNEDLVRFLEKNFNWVVFGNELKWSWTEPQQGNFNYKDADELLDFCKNNNLEVRGHCIFWEVEYAIQPWVQGLNKSDLTTAVQNRLTDLVTRYKGKFRHYDVDNEMLHGSFYKDRLGKDIQATMFRTSHQLDPSATLFVNDYHIEDGSDVRSSPEEYIRQILDLQRQGAPVGGIGLQGHIDSPVGPIVSSALDKVGTLGLPIWFTELDVSSANEFIRADDLEVMLREAFAHPAVEGIILWGFWELYMSRENAHLVNAEGKINAAGKRYLALKKEWLSRARGYIDVQGEFRFRGFYGTYNIEINSPTMKINRTFVVDKGESPLAINIDL >KJB55843 pep chromosome:Graimondii2_0_v6:9:7066690:7067729:-1 gene:B456_009G097600 transcript:KJB55843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDGISSHQDHFDQSAFAGAASSRFASFGKGFSLLRSVLSNGILNLDDAASANVWATLFQLQIKGDYFHFDQAFFFRNW >KJB56068 pep chromosome:Graimondii2_0_v6:9:7628839:7633545:1 gene:B456_009G105200 transcript:KJB56068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFSSVILLFLVVTALAQSDFEALLELKKGIEKDPSGKVIDSWDSKSLASDGCPRNWFGITCNEGHVTAITLNGLGLVGNFSFPVIVGLKLLRNLSISSNQLTGTISNIGSIRSLQFLDLSVNAFHGVIPSGIANLKDLVLLNLSSNSFDGTFPSGFSNLKRLKYLDLRSNVFSGDIMKLLSQLQSAVHVDLSSNQLSGSLDLGLGTSHFISSIQYLNISQNLLVGELFAHDGMPYFDSLEVLDASNNQLVGTIPTFNFIVSLRILRLGSNRLSGSLPEALLQESSMILSELDLSLNQLEGPVGSITSTTLKKLNISSNKLSGSLPYRIGHCAVIDLSNNLLSGDLSRIQGWGNYVEVIELSSNSLTGTLPDKTSEFLRLTAFKVCNNSLQGVLPSILATYPELKIVDLSVNRLNGSLLPSFFMSTKLTDLNLSGNNFTGSIPLQDIKNLPSVSSAGNLSLLTLDLSHNSLTGNLPPEIAKFHNLEILNLSDNKLEGSIPDGLPNELKGFNVSLNNFSGAIPNNLRGFPDSSFHPGNSLLKFGSFPLSPKGSSDLNLKPHRSQIKPVTRIILIVGLVGGAAIIALVCVMIYYRNNWQETRSEGLKRNVGKETVCQGEYSLSHTSVPYRSKDTSSSSFSFRQELLSSSKKSSAFDHGNSSFILNDPKYLGHLESTRRDEGLASPMSILSSSNASPSKAEFPFESSSALKVRSPDKLAGDLHLFDGSLALTADELSRAPAEVIGRSCHGTLYKATLDSGNVLAIKWLKEGIAKGKKEFAREVKKLGYIKHPNLVSLQGYYWGPKEHEKLIISNYVNARCLAFYLQETEPRKLPPLSLDERLRVAIDVARCLSYLHNERAIPHGNLKSTNILLETPNLTARLTDYSLHRILTSAGTAEQVLNAGALGYRPPEFASSSKPCPSLKSDVYAFGVILMELLTGKSSGEIVSGSTGMVDLTDWVRLLASENRADDCFDPMILEKDNMEQTHRTLDAMLQVALRCILPAQERPDMKSVYEDLSVIVLQKSKQKGF >KJB54188 pep chromosome:Graimondii2_0_v6:9:1892607:1898304:1 gene:B456_009G024600 transcript:KJB54188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGRQMARSNSAAHHQRQYSDNFLDASFNAKWLQSSNLPSSQDFGFYGGGRMSRKSPEPGTPPLSSRSSSLRKNSDEYVSPSELSPGLLDLHSFDTELLPEVPSLYEGYGLQKPVCGKSFDDSEQYLSTNKLPNRPRGMAENNLLKSISVDKEKANNVAKIKVVVRKRPLNKKEIAKKEEDIITIEPNSNALMVHEKKLKVDLTEYVEKHGFIFDAVLNEDVSNEEVYSETVEPIVPLIFNRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMYHTYRNQGFQLFVSFFEIYGGKVFDLLNDRKKLCMREDGKQQVCIVGLQEYKVLDVQTIKELIERGNATRSTGTTGANEESSRSHAILQLAIKRSADGSESKPPRPVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNFKKDMLSSSSNLRESTALPLSSSLRTDTFEDDITDVPFEKNKFGWSKPIERDTSLKVDPVASGRVDGHLVGPYSDYFNSQRGELNDMPEDDFDYSEEAYEQPKMLQKTKDPMMKQANPSTFDDNNYHSDDDLSALLKEEEDLVSAHRRQVEDTMEIVREVDNSMPVAHFLACYNHICSDYYYACRK >KJB54186 pep chromosome:Graimondii2_0_v6:9:1894008:1897856:1 gene:B456_009G024600 transcript:KJB54186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVRKRPLNKKEIAKKEEDIITIEPNSNALMVHEKKLKVDLTEYVEKHGFIFDAVLNEDVSNEEVYSETVEPIVPLIFNRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMYHTYRNQGFQLFVSFFEIYGGKVFDLLNDRKKLCMREDGKQQVCIVGLQEYKVLDVQTIKELIERGNATRSTGTTGANEESSRSHAILQLAIKRSADGSESKPPRPVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNFKKDMLSSSSNLRESTALPLSSSLRTDTFEDDITDVPFEKNKFGWSKPIERDTSLKVDPVASGRVDGHLVGPYSDYFNSQRGELNDMPEDDFDYSEEAYEQPKMLQKTKDPMMKQANPSTFDDNNYHSDDDLSALLKEEEDLVSAHRRQVEDTMEIVREEMNLLVEADQPGNQLDNYISKLNTILSQKAAGIVQLQARLDQFQKHLKEYNVLVSSGN >KJB54189 pep chromosome:Graimondii2_0_v6:9:1892607:1898304:1 gene:B456_009G024600 transcript:KJB54189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLPLKASQDILRLMYHTYRNQGFQLFVSFFEIYGGKVFDLLNDRKKLCMREDGKQQVCIVGLQEYKVLDVQTIKELIERGNATRSTGTTGANEESSRSHAILQLAIKRSADGSESKPPRPVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNFKKDMLSSSSNLRESTALPLSSSLRTDTFEDDITDVPFEKNKFGWSKPIERDTSLKVDPVASGRVDGHLVGPYSDYFNSQRGELNDMPEDDFDYSEEAYEQPKMLQKTKDPMMKQANPSTFDDNNYHSDDDLSALLKEEEDLVSAHRRQVEDTMEIVREEMNLLVEADQPGNQLDNYISKLNTILSQKAAGIVQLQARLDQFQKHLKEYNVLVSSGN >KJB54185 pep chromosome:Graimondii2_0_v6:9:1892607:1898304:1 gene:B456_009G024600 transcript:KJB54185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGRQMARSNSAAHHQRQYSDNFLDASFNAKWLQSSNLPSSQDFGFYGGGRMSRKSPEPGTPPLSSRSSSLRKNSDEYVSPSELSPGLLDLHSFDTELLPEVPSLYEGYGLQKPVCGKSFDDSEQYLSTNKLPNRPRGMAENNLLKSISVDKEKANNVAKIKVVVRKRPLNKKEIAKKEEDIITIEPNSNALMVHEKKLKVDLTEYVEKHGFIFDAVLNEDVSNEEVYSETVEPIVPLIFNRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMYHTYRNQGFQLFVSFFEIYGGKVFDLLNDRKKLCMREDGKQQVCIVGLQEYKVLDVQTIKELIERGNATRSTGTTGANEESSRSHAILQLAIKRSADGSESKPPRPVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNFKKDMLSSSSNLRESTALPLSSSLRTDTFEDDITDVPFEKNKFGWSKPIERDTSLKVDPVASGRVDGHLVGPYSDYFNSQRGELNDMPEDDFDYSEEAYEQPKMLQKTKDPMMKQANPSTFDDNNYHSDDDLSALLKEEEDLVSAHRRQVEDTMEIVREEMNLLVEADQPGNQLDNYISKLNTILSQKAAGIVQLQARLDQFQKHLKEYNVLVSSGN >KJB54187 pep chromosome:Graimondii2_0_v6:9:1892600:1898304:1 gene:B456_009G024600 transcript:KJB54187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGRQMARSNSAAHHQRQYSDNFLDASFNAKWLQSSNLPSSQDFGFYGGGRMSRKSPEPGTPPLSSRSSSLRKNSDEYVSPSELSPGLLDLHSFDTELLPEVPSLYEGYGLQKPVCGKSFDDSEQYLSTNKLPNRPRGMAENNLLKSISVDKEKANNVAKIKVVVRKRPLNKKEIAKKEEDIITIEPNSNALMVHEKKLKVDLTEYVEKHGFIFDAVLNEDVSNEEVYSETVEPIVPLIFNRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMYHTYRNQGFQLFVSFFEIYGGKVFDLLNDRKKLCMREDGKQQVCIVGLQEYKVLDVQTIKELIERGNATRSTGTTGANEESSRSHAILQLAIKRSADGSESKPPRPVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNFKKDMLSSSSNLRESTALPLSSSLRTDTFEDDITDVPFEKNKFGWSKPIERDTSLKVDPVASGRVDGHLVGPYSDYFNSQRGELNDMPEDDFDYSEEAYEQPKMLQKTKDPMMKQANPSTFDDNNYHSDDDLSALLKEEEDLVSAHRRQVEDTMEIVREEMNLLVEADQPGNQLDNYISKLNTILSQKAAGIVQLQARLDQFQKHLKEYNVLVSSGN >KJB56815 pep chromosome:Graimondii2_0_v6:9:10360120:10364556:1 gene:B456_009G137300 transcript:KJB56815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPNDMATDTPAVREEQVLPPSSRVEADNSNSTPSLNLCLNSEFEHRDTAAQETVKGTEETMMVSSGYTPIVHSFISPYAPVAYPFWPPNLAPNDEGKGIEASQHQVLKPVPMFPKEPVNVDELVGMSQLCIGESEKGHREPSPLSLKLLGEPSRQSAFHANAPVGATDLGKGKTGVIQAV >KJB56816 pep chromosome:Graimondii2_0_v6:9:10360120:10364556:1 gene:B456_009G137300 transcript:KJB56816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSNNGHNSRTCPTRGGGGVGCGGVKLFGVRLTDGSIIKKSASMGNLSSAHYHSSSSAAASPNPDSPSSDHVRDSNHVPDGYLSDEPTAHTSSNPRGERKKGVPWTEEEHRLFLIGLQKLGKGDWRGIARNFVVSRTPTQVASHAQKYFIRQSNVTRRKRRSSLFDMVPNDMATDTPAVREEQVLPPSSRVEADNSNSTPSLNLCLNSEFEHRDTAAQETVKGTEETMMVSSGYTPIVHSFISPYAPVAYPFWPPNLAPNDEGKGIEASQHQVLKPVPMFPKEPVNVDELVGMSQLCIGESEKGHREPSPLSLKLLGEPSRQSAFHANAPVGATDLGKGKTGVIQAV >KJB56817 pep chromosome:Graimondii2_0_v6:9:10360652:10364556:1 gene:B456_009G137300 transcript:KJB56817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLCARLASVFHKLSYRVQTRVFSLIDSGLFNFCMLLQATDTPAVREEQVLPPSSRVEADNSNSTPSLNLCLNSEFEHRDTAAQETVKGTEETMMVSSGYTPIVHSFISPYAPVAYPFWPPNLAPNDEGKGIEASQHQVLKPVPMFPKEPVNVDELVGMSQLCIGESEKGHREPSPLSLKLLGEPSRQSAFHANAPVGATDLGKGKTGVIQAV >KJB53822 pep chromosome:Graimondii2_0_v6:9:1041543:1045828:-1 gene:B456_009G012700 transcript:KJB53822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MRAYGIEFKEGPDGFGVYASKDVEPLRRARVIMEIPLELMLTIRQKLPWMFFPDIVPLGHPIFDIINSTNPETDWDLRLACLLLYAFDKEDNFWQLYGDFLPSADECTSLLLATEDDLSQLQDPDLVSTMKNQQLRALEFWEKNWHSGVPLKIKRLARDPERFIWAVSIAQSRCINMQVRIGALVQDANMLIPYADMLNHSFQPNCFLHWRFKDRMVEVMINAGQRIRKGEEMTINYMNGQQNQMLMQRYGFSSSVNPWDSIPFSGNAHVHLDSFLSVFNISGLPGEYYHNNKGDNFVDGAVIAAARTLPTWSDGDVPLIPSMERKAVKELQEECQQILAQFPTTSAQDQKLLESMPEARRTLETAIKYRLHRKLFIEKVIEALDIYQERILF >KJB53821 pep chromosome:Graimondii2_0_v6:9:1041503:1045913:-1 gene:B456_009G012700 transcript:KJB53821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MAASFVPLHHVSGCFTYACPEREYRRTHFHSHGWSPAPATKYRLRPINASVGTPSFPLFQPTPVQESPSQLEPADPDFYKIGYVRSMRAYGIEFKEGPDGFGVYASKDVEPLRRARVIMEIPLELMLTIRQKLPWMFFPDIVPLGHPIFDIINSTNPETDWDLRLACLLLYAFDKEDNFWQLYGDFLPSADECTSLLLATEDDLSQLQDPDLVSTMKNQQLRALEFWEKNWHSGVPLKIKRLARDPERFIWAVSIAQSRCINMQVRIGALVQDANMLIPYADMLNHSFQPNCFLHWRFKDRMVEVMINAGQRIRKGEEMTINYMNGQQNQMLMQRYGFSSSVVQIAPETIHREGYRGIGHLSRAYIVLD >KJB53825 pep chromosome:Graimondii2_0_v6:9:1041543:1045843:-1 gene:B456_009G012700 transcript:KJB53825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MAASFVPLHHVSGCFTYACPEREYRRTHFHSHGWSPAPATKYRLRPINASVGTPSFPLFQPTPVQESPSQLEPADPDFYKIGYVRSMRAYGIEFKEGPDGFGVYASKDVEPLRRARVIMEIPLELMLTIRQKLPWMFFPDIVPLGHPIFDIINSTNPETDWDLRLACLLLYAFDKEDNFWQLYGDFLPSADECTSLLLATEDDLSQLQDPDLVSTMKNQQLRALEFWEKNWHSGVPLKIKRLARDPERFIWAVSIAQSRCINMQVRIGALVQDANMLIPYADMLNHSFQPNCFLHWRFKDRMVEVMINAGQRIRKGEEMTINYMNGQQNQMLMQRYGFSSSVNPWDSIPFSGNAHVHLDSFLSVFNISGLPGEYYHNNKGDNFVDGAVIAAARTLPTWSDGDVPLIPSMERKAVKELQEECQQILAQFPTTSAQDQKLLESMPEARRTLETAIKYRLHRKLFIEKVIEALDIYQERILF >KJB53820 pep chromosome:Graimondii2_0_v6:9:1041711:1045720:-1 gene:B456_009G012700 transcript:KJB53820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MAASFVPLHHVSGCFTYACPEREYRRTHFHSHGWSPAPATKYRLRPINASVGTPSFPLFQPTPVQESPSQLEPADPDFYKIGYVRSMRAYGIEFKEGPDGFGVYASKDVEPLRRARVIMEIPLELMLTIRQKLPWMFFPDIVPLGHPIFDIINSTNPETDWDLRLACLLLYAFDKEDNFWQLYGDFLPSADECTSLLLATEDDLSQLQDPDLVSTMKNQQLRALEFWEKNWHSGVPLKIKRLARDPERFIWAVSIAQSRCINMQVRIGALVQDANMLIPYADMLNHSFQPNCFLHWRFKDRMVEVMINAGQRIRKGEEMTINYMNGQQNQMLMQRYGFSSSVNPWDSIPFSGNAHVHLDSFLSVFNISGLPGEYYHNSQLADKGDNFVDGAVIAAARTLPTWSDGDVPLIPSMERKAVKELQEECQQILAQFPTTSAQDQKLLESMPEARRTLETAIKYRLHRKLFIEKVIEALDIYQERILF >KJB53823 pep chromosome:Graimondii2_0_v6:9:1041543:1045438:-1 gene:B456_009G012700 transcript:KJB53823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MEIPLELMLTIRQKLPWMFFPDIVPLGHPIFDIINSTNPETDWDLRLACLLLYAFDKEDNFWQLYGDFLPSADECTSLLLATEDDLSQLQDPDLVSTMKNQQLRALEFWEKNWHSGVPLKIKRLARDPERFIWAVSIAQSRCINMQVRIGALVQDANMLIPYADMLNHSFQPNCFLHWRFKDRMVEVMINAGQRIRKGEEMTINYMNGQQNQMLMQRYGFSSSVNPWDSIPFSGNAHVHLDSFLSVFNISGLPGEYYHNNKGDNFVDGAVIAAARTLPTWSDGDVPLIPSMERKAVKELQEECQQILAQFPTTSAQDQKLLESMPEARRTLETAIKYRLHRKLFIEKVIEALDIYQERILF >KJB53824 pep chromosome:Graimondii2_0_v6:9:1041543:1045828:-1 gene:B456_009G012700 transcript:KJB53824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MAASFVPLHHVSGCFTYACPEREYRRTHFHSHGWSPAPATKYRLRPINASVGTPSFPLFQPTPVQESPSQLEPADPDFYKIGYVRSMRAYGIEFKEGPDGFGVYASKDVEPLRRARVIMEIPLELMLTIRQKLPWMFFPDIVPLGHPIFDIINSTNPETDWDLRLACLLLYAFDKEDNFWQLYGDFLPSADECTSLLLATEDDLSQLQDPDLVSTMKNQQLRALEFWEKNWHSGVPLKIKRLARDPERFIWAVSIAQSRCINMQVRIGALVQDANMLIPYADMLNHSFQPNCFLHWRFKDRMVEVMINAGQRIRKGEENPWDSIPFSGNAHVHLDSFLSVFNISGLPGEYYHNSQLADKGDNFVDGAVIAAARTLPTWSDGDVPLIPSMERKAVKELQEECQQILAQFPTTSAQDQKLLESMPEARRTLETAIKYRLHRKLFIEKVIEALDIYQERILF >KJB61024 pep chromosome:Graimondii2_0_v6:9:36122228:36125009:-1 gene:B456_009G335900 transcript:KJB61024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLLLFWFMAFLDLAKENSEAFHILEGLKRKMRGFLCLIWGLSPAYMIGGRVDYGERHSKTCGHSRFGRIYEQGHYPQWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFEGYENTSENWVLSITALSGAFNGTTRTYLDGMLPEDGQNMKPLCLLQLCRLGVIIYDWLDIPLLKAYYNFGFDHFNLSWRKAGLWGLVDCLLGNAGPWATGDWILPDLTIQGSIKLNSNLQTFPNSFYFSYATKRTRKILGVTVPSGILGIHPMLFMRVLQMSLYRYPTDVPPPYKGYRDEDWQDNDGALNTISMTHPRLPIEHPSCSIVNDSDCQPLQPGIWYYKIVEADHIFFILNRERAGVQFDLMYDNIFERCRKHIFRKTSQTLPNEAP >KJB61019 pep chromosome:Graimondii2_0_v6:9:36122228:36125009:-1 gene:B456_009G335900 transcript:KJB61019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEDWVWAPSTLSLLIGHLFCMFGNVEKYSTAVAADLSQALSECVFKSNVSLEVKKQDPSKSTVDDLPPIVLVHGIFGFGKGKFGSFSYFGGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGRVDYGERHSKTCGHSRFGRIYEQGHYPQWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFEGYENTSENWVLSITALSGAFNGTTRTYLDGMLPEDGQNMKPLCLLQLCRLGVIIYDWLDIPLLKAYYNFGFDHFNLSWRKAGLWGLVDCLLGNAGPWATGDWILPDLTIQGSIKLNSNLQTFPNSFYFSYATKRTRKILGVTVPSGILGIHPMLFMRVLQMSLYRYPTDVPPPYKGYRDEDWQDNDGALNTISMTHPRLPIEHPSCSIVNDSDCQPLQPGIWYYKIVEADHIFFILNRERAGVQFDLMYDNIFERCRKHIFRKTSQTLPNEAP >KJB61021 pep chromosome:Graimondii2_0_v6:9:36122910:36124663:-1 gene:B456_009G335900 transcript:KJB61021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRWWISSFQLAELFISSVVHLLYGFYVYSTAVAADLSQALSECVFKSNVSLEVKKQDPSKSTVDDLPPIVLVHGIFGFGKGKFGSFSYFGGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGRVDYGERHSKTCGHSRFGRIYEQGHYPQWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFEGYENTSENWVLSITALSGAFNGTTRTYLDGMLPEDGQNMKPLCLLQLCRLGVIIYDWLDIPLLKAYYNFGFDHFNLSWRKAGLWGLVDCLLGNAGPWATGDWILPDLTIQGSIKLNSNLQTFPNSFYFSYATKRTRKILGVTVPSGILGIHPMLFMRVLQMSLYRYPTDVPPPYKGYRYTLTSSCCLKLIALLKALI >KJB61022 pep chromosome:Graimondii2_0_v6:9:36122228:36125009:-1 gene:B456_009G335900 transcript:KJB61022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWFGFCLQKFGSFSYFGGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGRVDYGERHSKTCGHSRFGRIYEQGHYPQWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFEGYENTSENWVLSITALSGAFNGTTRTYLDGMLPEDGQNMKPLCLLQLCRLGVIIYDWLDIPLLKAYYNFGFDHFNLSWRKAGLWGLVDCLLGNAGPWATGDWILPDLTIQGSIKLNSNLQTFPNSFYFSYATKRTRKILGVTVPSGILGIHPMLFMRVLQMSLYRYPTDVPPPYKGYRDEDWQDNDGALNTISMTHPRLPIEHPSCSIVNDSDCQPLQPGIWYYKIVEADHIFFILNRERAGVQFDLMYDNIFERCRKHIFRKTSQTLPNEAP >KJB61018 pep chromosome:Graimondii2_0_v6:9:36122218:36125009:-1 gene:B456_009G335900 transcript:KJB61018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRWWISSFQLAELFISSVVHLLYGFYVYSTAVAADLSQALSECVFKSNVSLEVKKQDPSKSTVDDLPPIVLVHGIFGFGKGKFGSFSYFGGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGRVDYGERHSKTCGHSRFGRIYEQGHYPQWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFEGYENTSENWVLSITALSGAFNGTTRTYLDGMLPEDGQNMKPLCLLQLCRLGVIIYDWLDIPLLKAYYNFGFDHFNLSWRKAGLWGLVDCLLGNAGPWATGDWILPDLTIQGSIKLNSNLQTFPNSFYFSYATKRTRKILGVTVPSGILGIHPMLFMRVLQMSLYRYPTDVPPPYKGYRDEDWQDNDGALNTISMTHPRLPIEHPSCSIVNDSDCQPLQPGIWYYKIVEADHIFFILNRERAGVQFDLMYDNIFERCRKHIFRKTSQTLPNEAP >KJB61020 pep chromosome:Graimondii2_0_v6:9:36122518:36124073:-1 gene:B456_009G335900 transcript:KJB61020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDIARLVATLGLVEFMNKARHYPQWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFEGYENTSENWVLSITALSGAFNGTTRTYLDGMLPEDGQNMKPLCLLQLCRLGVIIYDWLDIPLLKAYYNFGFDHFNLSWRKAGLWGLVDCLLGNAGPWATGDWILPDLTIQGSIKLNSNLQTFPNSFYFSYATKRTRKILGVTVPSGILGIHPMLFMRVLQMSLYRYPTDVPPPYKGYRDEDWQDNDGALNTISMTHPRLPIEHPSCSIVNDSDCQPLQPGIWYYKIVEADHIFFILNRERAGVQFDLMYDNIFERCRKHIFRKTSQTLPNEAP >KJB61023 pep chromosome:Graimondii2_0_v6:9:36122910:36124663:-1 gene:B456_009G335900 transcript:KJB61023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRWWISSFQLAELFISSVVHLLYGFYVYSTAVAADLSQALSECVFKSNVSLEVKKQDPSKSTVDDLPPIVLVHGIFGFGKGKFGSFSYFGGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGRVDYGERHSKTCGHSRFGRIYEQGHYPQWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFEGYENTSENWVLSITALSGAFNGTTRTYLDGMLPEDGQNMKPLCLLQLCRLGVIIYDWLDIPLLKAYYNFGFDHFNLSWRKAGLWGLVDCLLGNAGPWATGDWILPDLTIQGSIKLNSNLQTFPNSFYFSYATKRTRKILGVTVPSGILGIHPMLFMRVLQMSLYRYPTDVPPPYKGYRYTLTSSCCLKLIALLKALI >KJB59220 pep chromosome:Graimondii2_0_v6:9:19732350:19737082:1 gene:B456_009G245500 transcript:KJB59220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLKSDSPLSRRIVRSFLDFLDSVEPAPGVDLEGLEVARECLVEVFKLDSASINYVKPDLLVDIFSSLDESEDKKIKSDLSHRGTSDNATASLSAHDIGDNWTKESQSTGVSKDELFGQFFAAIEKIHFLRAMPDGNDDPAQLDKATRLFEDAVNEMERSGCQAFDHKNLAETFKCQGNRTMQSKQYSDAIELYSIAVSLCDDNAVYYCNRAAAYTQIQKYNEAIRDCHKSIEIDPNYSKAYSRLGLAYYAQGNYADAIEKGFKKALQLDPNNQSVKENIQVGFSVPFFTSLL >KJB59221 pep chromosome:Graimondii2_0_v6:9:19732350:19738225:1 gene:B456_009G245500 transcript:KJB59221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLKSDSPLSRRIVRSFLDFLDSVEPAPGVDLEGLEVARECLVEGDNWTKESQSTGVSKDELFGQFFAAIEKIHFLRAMPDGNDDPAQLDKATRLFEDAVNEMERSGCQAFDHKNLAETFKCQGNRTMQSKQYSDAIELYSIAVSLCDDNAVYYCNRAAAYTQIQKYNEAIRDCHKSIEIDPNYSKAYSRLGLAYYAQGNYADAIEKGFKKALQLDPNNQSVKENIQVAEQKLNDEQQRAEWDQGASSSQNNQGSNNQSSGSRSHGGSSPFRMPFDASSLPTEIASMLMNMASSAYQGQPSQNRQGEDDNINGSEEPGIRVGGNINLNFGEQMQIPEELTGAFRSVMEMFSGTPPHGNNQDTNGGSGSN >KJB59219 pep chromosome:Graimondii2_0_v6:9:19732172:19738243:1 gene:B456_009G245500 transcript:KJB59219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLKSDSPLSRRIVRSFLDFLDSVEPAPGVDLEGLEVARECLVEVFKLDSASINYVKPDLLVDIFSSLDESEDKKIKSDLSHRGTSDNATASLSAHDIGDNWTKESQSTGVSKDELFGQFFAAIEKIHFLRAMPDGNDDPAQLDKATRLFEDAVNEMERSGCQAFDHKNLAETFKCQGNRTMQSKQYSDAIELYSIAVSLCDDNAVYYCNRAAAYTQIQKYNEAIRDCHKSIEIDPNYSKAYSRLGLAYYAQGNYADAIEKGFKKALQLDPNNQSVKENIQVAEQKLNDEQQRAEWDQGASSSQNNQGSNNQSSGSRSHGGSSPFRMPFDASSLPTEIASMLMNMASSAYQGQPSQNRQGEDDNINGSEEPGIRVGGNINLNFGEQMQIPEELTGAFRSVMEMFSGTPPHGNNQDTNGGSGSN >KJB61010 pep chromosome:Graimondii2_0_v6:9:35551882:35552330:-1 gene:B456_009G335300 transcript:KJB61010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFGDNENMSKIEHNDVKMKSEGAVRARSKKALLVKSEDDDVKALRYNPQVSRIQTEERTKESGKLCKCDSNSESYEDNVNLIKFGGFSKEVKMSKVFKYDEVNSKNEGIRRNSC >KJB62060 pep chromosome:Graimondii2_0_v6:9:57324677:57328073:1 gene:B456_009G400000 transcript:KJB62060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRSTSESSQSPRSPPSQRYLSVSVSDPVKLGNGVQGYISYRVITKTNFPEYQGSEKIVIRRYSDFVWLRDRLFDKYKGIFVPPLPEKSAVEKFRFSAEFIETRRQALDVFVNRIASHNELQQSEDLRTFLQADEEDVQSKVSDVVLGKEKPLEESNPEYEKLKHYVFELENHLTEAQKHAYRLLKRHRELGQSLSGFGKASKLLGACEGQALGKAFDELGAKSETLSIKLQKEAHQLLMNFEEPVKDYVRAVQSIKVTIGERANAFRHQCELAETMKLKEINLDKLMLTRSDRVGEAEQEYKELKAESEEVTRRFETIVRRMNEEIVRFQEQKTQDMRIAFHEFAKGQARLAKSIADAWRSLLPKLEACSDTS >KJB62061 pep chromosome:Graimondii2_0_v6:9:57324689:57328073:1 gene:B456_009G400000 transcript:KJB62061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRSTSESSQSPRSPPSQRYLSVSVSDPVKLGNGVQGYISYRVITKTNFPEYQGSEKIVIRRYSDFVWLRDRLFDKYKGIFVPPLPEKSAVEKFRFSAEFIETRRQALDVFVNRIASHNELQQSEDLRTFLQADEESMERLRSQETGIFKKKPADLMQMFKDVQSKVSDVVLGKEKPLEESNPEYEKLKHYVFELENHLTEAQKHAYRLLKRHRELGQSLSGFGKASKLLGACEGQALGKAFDELGAKSETLSIKLQKEAHQLLMNFEEPVKDYVRAVQSIKVTIGERANAFRHQCELAETMKLKEINLDKLMLTRSDRVGEAEQEYKEASRE >KJB62064 pep chromosome:Graimondii2_0_v6:9:57324689:57328073:1 gene:B456_009G400000 transcript:KJB62064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRSTSESSQSPRSPPSQRYLSVSVSDPVKLGNGVQGYISYRVITKTNFPEYQGSEKIVIRRYSDFVWLRDRLFDKYKGIFVPPLPEKSAVEKFRFSAEFIETRRQALDVFVNRIASHNELQQSEDLRTFLQADEESMERLRSQETGIFKKKPADLMQMFKDVQSKVSDVVLGKEKPLEESNPEYEKLKHYVFELENHLTEAQKHAYRLLKRHRELGQSLSGFGKASKLLGACEGQALGKAFDELGAKSETLSIKLQKEAHQLLMNFEEPVKDYVRAVQSIKVR >KJB62059 pep chromosome:Graimondii2_0_v6:9:57324689:57326729:1 gene:B456_009G400000 transcript:KJB62059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRSTSESSQSPRSPPSQRYLSVSVSDPVKLGNGVQGYISYRVITKTNFPEYQGSEKIVIRRYSDFVWLRDRLFDKYKGIFVPPLPEKSAVEKFRFSAEFIETRRQALDVFVNRIASHNELQQSEDLRTFLQADEESMERLRSQETGIFKKKPADLMQMFKDVQSKVSDVVLGKEKPLEESNPEYEKLKHYVFELENHLTEAQKHAYRLLKRHRELGQSLSGFGKASKLLGACEGQALGKAFDELGAKSETLSIKLQKEVGCLLSLSLFLW >KJB62063 pep chromosome:Graimondii2_0_v6:9:57324689:57328073:1 gene:B456_009G400000 transcript:KJB62063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRSTSESSQSPRSPPSQRYLSVSVSDPVKLGNGVQGYISYRVITKTNFPEYQGSEKIVIRRYSDFVWLRDRLFDKYKGIFVPPLPEKSAVEKFRFSAEFIETRRQALDVFVNRIASHNELQQSEDLRTFLQADEEFLFQSMERLRSQETGIFKKKPADLMQMFKDVQSKVSDVVLGKEKPLEESNPEYEKLKHYVFELENHLTEAQKHAYRLLKRHRELGQSLSGFGKASKLLGACEGQALGKAFDELGAKSETLSIKLQKEAHQLLMNFEEPVKDYVRAVQSIKVTIGERANAFRHQCELAETMKLKEINLDKLMLTRSDRVGEAEQEYKELKAESEEVTRRFETIVRRMNEEIVRFQEQKTQDMRIAFHEFAKGQARLAKSIADAWRSLLPKLEACSDTS >KJB62062 pep chromosome:Graimondii2_0_v6:9:57324689:57328073:1 gene:B456_009G400000 transcript:KJB62062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRSTSESSQSPRSPPSQRYLSVSVSDPVKLGNGVQGYISYRVITKTNFPEYQGSEKIVIRRYSDFVWLRDRLFDKYKGIFVPPLPEKSAVEKFRFSAEFIETRRQALDVFVNRIASHNELQQSEDLRTFLQADEESMERLRSQETGIFKKKPADLMQMFKDVQSKVSDVVLGKEKPLEESNPEYEKLKHYVFELENHLTEAQKHAYRLLKRHRELGQSLSGFGKASKLLGACEGQALGKAFDELGAKSETLSIKLQKEAHQLLMNFEEPVKDYVRAVQSIKVTIGERANAFRHQCELAETMKLKEINLDKLMLTRSDRVGEAEQEYKEAIEGRE >KJB62058 pep chromosome:Graimondii2_0_v6:9:57324628:57328084:1 gene:B456_009G400000 transcript:KJB62058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRSTSESSQSPRSPPSQRYLSVSVSDPVKLGNGVQGYISYRVITKTNFPEYQGSEKIVIRRYSDFVWLRDRLFDKYKGIFVPPLPEKSAVEKFRFSAEFIETRRQALDVFVNRIASHNELQQSEDLRTFLQADEESMERLRSQETGIFKKKPADLMQMFKDVQSKVSDVVLGKEKPLEESNPEYEKLKHYVFELENHLTEAQKHAYRLLKRHRELGQSLSGFGKASKLLGACEGQALGKAFDELGAKSETLSIKLQKEAHQLLMNFEEPVKDYVRAVQSIKVTIGERANAFRHQCELAETMKLKEINLDKLMLTRSDRVGEAEQEYKELKAESEEVTRRFETIVRRMNEEIVRFQEQKTQDMRIAFHEFAKGQARLAKSIADAWRSLLPKLEACSDTS >KJB54028 pep chromosome:Graimondii2_0_v6:9:1370464:1371827:-1 gene:B456_009G017300 transcript:KJB54028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILSSQGVVLATAMAVSGTVILLAFRLQKSYLLDQISQPSQQALRSCISSEGKKREEKKKKKVHFAEDVVDPRKDGEEFRKQLKNRVRINNSAALNSSTKFKKFGGGKDRGMPANRVALYTGILRDRGVQRLAYSC >KJB54975 pep chromosome:Graimondii2_0_v6:9:4094341:4097358:1 gene:B456_009G056600 transcript:KJB54975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSLSPLPLCYPKPSCSSTVSFLPFSDRTRAYFGYSVRKRATLRNSCNSAQKNFLHISRAHDHEPRCYFSPNEGLNRYCISKLYNLNKLSRYVVAKSELAGAGTPDASSSLSEAKLSSKVRGVCFYAVTAMAAISLIGFMIVAHPFVLLFDRYRRKAQHFVAKLWAAATVAPFFKIEYEGLENLPSHDAPAVYVSNHQSFLDIYTLLTLGRSFKFISKTAIFLFPIIGWAMSMMGTIPLKRMDSRSQLECLKRCMDLIGNGASVFFFPEGTRSKDGKLGDFKKGAFSVAAKTRVPVVPITLIGTGGIMPAGMEGVVNSGSVKVIIHKPIKETDPEILRQIARNTILDTLKLRC >KJB54974 pep chromosome:Graimondii2_0_v6:9:4095171:4096550:1 gene:B456_009G056600 transcript:KJB54974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYIISFSSFILAAAQKNFLHISRAHDHEPRCYFSPNEGLNRYCISKLYNLNKLSRYVVAKSELAGAGTPDASSSLSEAKLSSKVRGVCFYAVTAMAAISLIGFMIVAHPFVLLFDRYRRKAQHFVAKLWAAATVAPFFKIEYEGLENLPSHDAPAVYVSNHQSFLDIYTLLTLGRSFKFISKTAIFLFPIIGWAMSMMGTIPLKRMDSRSQLECLKRCMDLIGNGASVFFFPEGTRSKDGKLGDFKKGAFSVAAKTRVPVVPITLIGTGGIMPAGMEGVVNSGSVKVIIHKPIKETDPEILRQIARNTILDTLKLRC >KJB54972 pep chromosome:Graimondii2_0_v6:9:4094280:4097358:1 gene:B456_009G056600 transcript:KJB54972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSLSPLPLCYPKPSCSSTVSFLPFSDRTRAYFGYSVRKRATLRNSCNSAQKNFLHISRAHDHEPRCYFSPNEGLNRYCISKLYNLNKLSRYVVAKSELAGAGTPDASSSLSEAKLSSKVRGVCFYAVTAMAAISLIGFMIVAHPFVLLFDRYRRKAQHFVAKLWAAATVAPFFKIEYEGLENLPSHDAPAVYVSNHQSFLDIYTLLTLGRSFKFISKTAIFLFPIIGWAMSMMGTIPLKRMDSRSQLECLKRCMDLIGNGASVFFFPEGTRSKDGKLGDFKKGAFSVAAKTRVPVVPITLIGTGGIMPAGMEGVVNSGSVKVIIHKPIKETDPEILRQIARNTILDTLKLRC >KJB54973 pep chromosome:Graimondii2_0_v6:9:4094229:4097358:1 gene:B456_009G056600 transcript:KJB54973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISLIGFMIVAHPFVLLFDRYRRKAQHFVAKLWAAATVAPFFKIEYEGLENLPSHDAPAVYVSNHQSFLDIYTLLTLGRSFKFISKTAIFLFPIIGWAMSMMGTIPLKRMDSRSQLECLKRCMDLIGNGASVFFFPEGTRSKDGKLGDFKKGAFSVAAKTRVPVVPITLIGTGGIMPAGMEGVVNSGSVKVIIHKPIKETDPEILRQIARNTILDTLKLRC >KJB54976 pep chromosome:Graimondii2_0_v6:9:4094171:4097401:1 gene:B456_009G056600 transcript:KJB54976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSLSPLPLCYPKPSCSSTVSFLPFSDRTRAYFGYSVRKRATLRNSCNSAQKNFLHISRAHDHEPRCYFSPNEGLNRYCISKLYNLNKLSRYVVAKSELAGAGTPDASSSLSEAKLSSKVRGVCFYAVTAMAAISLIGFMIVAHPFVLLFDRYRRKAQHFVAKLWAAATVAPFFKIEYEGLENLPSHDAPAVYVSNHQSFLDIYTLLTLGRSFKFISKTAIFLFPIIGWAMSMMGTIPLKRMDSRSQLECLKRCMDLIGNGASVFFFPEGTRSKDGKLGDFKKGAFSVAAKTRVPVVPITLIGTGGIMPAGMEGVVNSGSVKVIIHKPIKETDPEILRQIARNTILDTLKLRC >KJB55645 pep chromosome:Graimondii2_0_v6:9:6303684:6309894:1 gene:B456_009G086800 transcript:KJB55645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEKPNWVRHEGMQIFSVDVQPGGLRFATGGGDHKVRIWNLKSVGRDLENDESTQRLLATLRDHFGSVNCVRWAKHGRFVASGSDDQVILIHERKPGSGTTEFGSGEPPDVENWKVAMTLRGHTADVVDLNWSPDDSILASGSLDNTVHIWNMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWAKSLGSTFFRRLGWSPCGHFITTTHGYQKPRHSAPVLERGEWAATFDFLGHNAPIIVVKFNHSMFRRNFANSQEVKATPVGWANGAAAKIGGKESQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFGQSVVDLSWSPDGYSLFACSLDGTVATFHFEAKELGHRLSDAELDELKRSRYGDARGRQSNLAESPAQLLLEAASAKQTTSKKVALDVQQSQIPAKPPVELGLANKSSEPQNNDGKKSGLAASDGLNKAMSSARISSPVKQREYRRADGRKRIIPEVVGVPIQQKNISSNAQSPALDFPVGSSDHRKNDNGAVPSEVGLREASVRGTVGRSSDLKERSGVTARATVTDSLVIEKVPVSAAQDHSINVEKSGSMRPSSSTASSSTSLSISVFDKKEGEDMTPVCLEACLREHAMNDIAGVGHACMMKETEIVCTKGSQTLWSDRISGKVSVLAGNANFWAVGCEDGCLQVYTKCGRRALPTMMMGSAATFIDCDESWKLLLVTKKGSLYLWDLFNRNCLLHDSLASLVSLDLSSSAKGIMKVISVKLSKSGFPLVVLATRHAFLFDMSLMCWLRVADDCFPASNFASSWSLGSIHTGELAALQVDVRKYLARKPGWTRVTDDGVQTRAHLEAQLASSLALKSPNEYRQGLLSYIRFLAREADESRLREVCESFLGPPTGMASDSKNPAWDPYVLGMRKHKLLREDILPAMASNRKVQRLLNEFMDLLSEYEIIENNLDQKSPSPPTTSHPVIDPMDSTPSAAAQTDSPVLATDKKENPSLGPDKMHSAPSITGRVNTGALLTDSSEPKLQ >KJB59732 pep chromosome:Graimondii2_0_v6:9:22422272:22423003:-1 gene:B456_009G268900 transcript:KJB59732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQGKPRSMILRILPKAVSAVRVSFQNPPFSPGKDKRAACASKGLSCPIISIIPDEARRKSKSGTLETPEPTSPKVSCMGQIKHKKNIRKLAAKANLKPVSVPLPHQSSSPTHGKKQASKLRRVFSLAKSDAPSSNKKDLPDVNRAPGLGEMKRFASGRDAFASFDWTAQIAPLEADHVHKDCNYYSDDERRDGDFEEEVMIPFSAPMRIGCEGLPLRPRKEINIWKRRTTNPPPPLQLKSL >KJB58776 pep chromosome:Graimondii2_0_v6:9:17667331:17671410:1 gene:B456_009G225900 transcript:KJB58776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSTFSGAKLERLLINSCSSPSASSLRACSLSHQQMKAFSKPNRGRKALAQRTGGVRCEVAQSDAINEGDKMDPSKASALSALEQLKTSAADRYTKERSSIVVIGLSVHTAPVEMREKLAIPEAEWPRAIAELCGLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKTSGIPVSEICEHRFLLYNKDATQHIFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVVGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPESSHATARMLVIGAGKMGKLVIKHLVAKGCTKMVVVNRSEEKVAAIHEEMQGVEIIYRPLTDMLACSAEADVVFTSTASETPLFLKEHVKDLPPVSSEVGGLRLFVDISVPRNVGSCVSDVEGARLYNVDDLKEVVAANKEDRLRKAMEAQAIIAEESKQFEAWRDSLETVPTIKKLRAYAERIRVAELEKCLSKMGEDIPKKTRRAVDDLSRGIVNKLLHGPMQHLRCDGSDDRTLSETLENMHALNRMFGLESDISLFEQKIRAKVEQSQKIVEVGNLTIRGSNGS >KJB58775 pep chromosome:Graimondii2_0_v6:9:17667331:17670576:1 gene:B456_009G225900 transcript:KJB58775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSTFSGAKLERLLINSCSSPSASSLRACSLSHQQMKAFSKPNRGRKALAQRTGGVRCEVAQSDAINEGDKMDPSKASALSALEQLKTSAADRYTKERSSIVVIGLSVHTAPVEMREKLAIPEAEWPRAIAELCGLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKTSGIPVSEICEHRFLLYNKDATQHIFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVVGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPESSHATARMLVIGAGKMGKLVIKHLVAKGCTKMVVVNRSEEKVAAIHEEMQGARLYNVDDLKEVVAANKEDRLRKAMEAQAIIAEESKQFEAWRDSLETVPTIKKLRAYAERIRVAELEKCLSKMGEDIPKKTRRAVDDLSRGIVNKLLHGPMQHLRCDGSDDRTLSETLENMHALNRMFGLESDISLFEQKIRAKVEQSQK >KJB58774 pep chromosome:Graimondii2_0_v6:9:17667179:17670597:1 gene:B456_009G225900 transcript:KJB58774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSTFSGAKLERLLINSCSSPSASSLRACSLSHQQMKAFSKPNRGRKALAQRTGGVRCEVAQSDAINEGDKMDPSKASALSALEQLKTSAADRYTKERSSIVVIGLSVHTAPVEMREKLAIPEAEWPRAIAELCGLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKTSGIPVSEICEHRFLLYNKDATQHIFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVVGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPESSHATARMLVIGAGKMGKLVIKHLVAKGCTKMVVVNRSEEKVAAIHEEMQGVEIIYRPLTDMLACSAEADVVFTSTASETPLFLKEHVKDLPPVSSEVGGLRLFVDISVPRNVGSCVSDVEGARLYNVDDLKEVVAANKEDRLRKAMEAQAIIAEESKQFEAWRDSLETVPTIKKLRAYAERIRVAELEKCLSKMGEDIPKKTRRAVDDLSRGIVNKLLHGPMQHLRCDGSDDRTLSETLENMHALNRMFGLESDISLFEQKIRAKVEQSQK >KJB60837 pep chromosome:Graimondii2_0_v6:9:32942711:32951195:1 gene:B456_009G327800 transcript:KJB60837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSASSSLLRAASLYSRTRFFHSSFRNLSPSSPSLLNHQRSLASAAVRSFHCSVPRWSHRLDWRSPFSLRAQIRAVNPVIERLERKFSTMAAEHPFKAVLTSLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAVRNCDNFQVKEEDVEKIIDWQNTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMHKLGSDTNKINPLVPVDLVIDHSVQVDVTRSENAVQANMELEFQRNKERFSFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTNGMLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQILRKHGVVGKFVEFYGDGMSELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIESYLRANKMFVDYNEPQQERVYSSYLELNLAEVEPCISGPKRPHDRVPLREMKSDWNSCLNNKAGFKGFAVPKEAQDKVAKFSFHGQPAELRHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLQVKPWIKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHIVGYGCTTCIGNSGELDESVASAISENDIVASAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGKDGKSVYFKDIWPSTAEIAEAVQSSVLPEMFKSTYEAITKGNPMWNQLSVPSSTMYSWDPNSTYIHEPPYFKNMTMEPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLDRGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTVHVPTGEKLYVFDAAMVILRESIEVIW >KJB60835 pep chromosome:Graimondii2_0_v6:9:32942657:32951195:1 gene:B456_009G327800 transcript:KJB60835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSASSSLLRAASLYSRTRFFHSSFRNLSPSSPSLLNHQRSLASAAVRSFHCSVPRWSHRLDWRSPFSLRAQIRAVNPVIERLERKFSTMAAEHPFKAVLTSLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAVRNCDNFQVKEEDVEKIIDWQNTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMHKLGSDTNKINPLVPVDLVIDHSVQVDVTRSENAVQANMELEFQRNKERFSFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTNGMLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQILRKHGVVGKFVEFYGDGMSELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIESYLRANKMFVDYNEPQQERVYSSYLELNLAEVEPCISGPKRPHDRVPLREMKSDWNSCLNNKAGFKGFAVPKEAQDKVAKFSFHGQPAELRHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLQVKPWIKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHIVGYGCTTCIGNSGELDESVASAISENDIVASAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGKDGKSVYFKDIWPSTAEIAEAVQSSVLPEMFKSTYEAITKGNPMWNQLSVPSSTMYSWDPNSTYIHEPPYFKNMTMEPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLDRGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTVHVPTGEKLYVFDAAMRYKDAGYDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPSKITDIRPGQDVTVTTDNGKSFTCTVRFDTEVELAYFNNGGILPYVIRNLIKQ >KJB60836 pep chromosome:Graimondii2_0_v6:9:32942711:32951195:1 gene:B456_009G327800 transcript:KJB60836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSASSSLLRAASLYSRTRFFHSSFRNLSPSSPSLLNHQRSLASAAVRSFHCSVPRWSHRLDWRSPFSLRAQIRAVNPVIERLERKFSTMAAEHPFKAVLTSLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAVRNCDNFQVKEEDVEKIIDWQNTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMHKLGSDTNKINPLVPVDLVIDHSVQVDVTRSENAVQANMELEFQRNKERFSFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTNGMLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQILRKHGVVGKFVEFYGDGMSELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIESYLRANKMFVDYNEPQQERVYSSYLELNLAEVEPCISGPKRPHDRVPLREMKSDWNSCLNNKAGFKGFAVPKEAQDKVAKFSFHGQPAELRHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLQVKPWIKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHIVGYGCTTCIGNSGELDESVASAISENDIVASAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGKDGKSVYFKDIWPSTAEIAEAVQSSVLPEMFKSTYEAITKGNPMWNQLSVPSSTMYSWDPNSTYIHEPPYFKNMTMEPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLDRGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTVHVPTGEKLYVFDAAMVQGCRI >KJB58206 pep chromosome:Graimondii2_0_v6:9:15395425:15399373:-1 gene:B456_009G199100 transcript:KJB58206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACCVAARDRTIANRTGRETSHRNLRCSPSWSFCRDNRRRVAGEIDEPSYQVSNGASRNVSMEIKGTLGSDRGNFSDQGSPLEIETYGTPTSQKSPVHEEMGGNMMTPPSDISRGSNYSVDIKNLVELPDIIDSSTPKLSFCIPASFSPPVTDTFSSHAHLLPPNSTSLRRAHRSPGQQLLRQVSDSRILGLKSPNNYSMSEARSSFVLSRCSNDLTAGSHGGSSDGWSMRTFSELVASSQRERWSFDSEHLGSGYGKISGCSSRFSYSPSIDARTCGACSKLLAERSSWSSNEISVVSVLVCGHVYHAECLELMTPEADRFDPACPICMVGEKQVSKMCRKALKAEAELKAKHLKLLKNRVIDNSVDGGCSDIEHLENTKREGKTPKLEPSSSRRSSLAKPFLKRHFSIGSRWGRLLSENDSARKKGFWVRYRKD >KJB58205 pep chromosome:Graimondii2_0_v6:9:15396343:15398187:-1 gene:B456_009G199100 transcript:KJB58205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACCVAARDRTIANRTGRETSHRNLRCSPSWSFCRDNRRRVAGEIDEPSYQVSNGASRNVSMEIKGTLGSDRGNFSDQGSPLEIETYGTPTSQKSPVHEEMGGNMMTPPSDISRGSNYSVDIKNLVELPDIIDSSTPKLSFCIPASFSPPVTDTFSSHAHLLPPNSTSLRRAHRSPGQQLLRQVSDSRILGLKSPNNYSMSEARSSFVLSRCSNDLTAGSHGGSSDGWSMRTFSELVASSQRERWSFDSEHLGSGYGKISGCSSRFSYSPSIDARTCGACSKLLAERSSWSSNEISVVSVLVCGHVYHAECLELMTPEADRFDPACPICMVGEKQVSKMCRKALKAEAELKAKHLKLLKNRVIDNSVDGGCSDIEHLENTKREGKTPKLEPSSSRRSSLAKPFLKRHFSIGSRWGRLLSENDSARKKGFWVRYRKD >KJB58204 pep chromosome:Graimondii2_0_v6:9:15395541:15398595:-1 gene:B456_009G199100 transcript:KJB58204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACCVAARDRTIANRTGRETSHRNLRCSPSWSFCRDNRRRVAGEIDEPSYQVSNGASRNVSMEIKGTLGSDRGNFSDQGSPLEIETYGTPTSQKSPVHEEMGGNMMTPPSDISRGSNYSVDIKNLVELPDIIDSSTPKLSFCIPASFSPPVTDTFSSHAHLLPPNSTSLRRAHRSPGQQLLRQVSDSRILGLKSPNNYSMSEARSSFVLSRCSNDLTAGSHGGSSDGWSMRTFSELVASSQRERWSFDSEHLGSGYGKISGCSSRFSYSPSIDARTCGACSKLLAERSSWSSNEISVVSVLVCGHVYHAECLELMTPEADRFDPACPICMVGEKQVSKMCRKALKAEAELKAKHLKLLKNRVIDNSVDGGCSDIEHLENTKREGKTPKLEPSSSRRSSLAKPFLKRHFSIGSRWGRLLSENDSARKKGFWVRYRKD >KJB60203 pep chromosome:Graimondii2_0_v6:9:25471924:25476320:-1 gene:B456_009G293600 transcript:KJB60203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPKMQDPPSLDPNPDRPFPSNASSSTTQTTPSFPNPTSFRGSYHRRAHSEVQFRIPDDLDLVSDPFEGLGSEDDLFCTYVDIEKLGGSSKGSEDGGGAAGSSSGGSGRNPEGEEMSGVSGKGEKNNGGGKGRHRYSNSIDGCSLMESIEAKKAMSPDKLAELWTIDPKRAKRIIANRQSAARSKERKARYISELERKVQTLQTEATTLSAQLTLFQRDTTGLTTENTELKLRLQAMEQQAQLRDALNEALKKEVERLKSATGEITTSTDTFNLGMHRMPYNQSSFFPPQPEHMQVGTPNIQMPPFHPLRSNMLTPNQSVVTSSNSQAFAGIMQQDPLGRLQGLDISSRSSHLVKSESPSISADESSGTL >KJB54090 pep chromosome:Graimondii2_0_v6:9:1537980:1538756:-1 gene:B456_009G020100 transcript:KJB54090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGSCRVSILYVKWLVAGDDVVPHKFGEKSSRALSSNGFQDTTFKSYSGLGHYTIPEEMDEVCAWLTSKLGLEGRSA >KJB54088 pep chromosome:Graimondii2_0_v6:9:1537910:1542398:-1 gene:B456_009G020100 transcript:KJB54088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGSSVGPGGGTVRRALEFGRTYVVRPKGRHQATIVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPITIFGGFPSTAWFDVGDISEDAADDIEGLDAAAAHVANLLSTEPADIKLGVGGFSMGAATSLYSATCFTHGKYENGNPYPAKLSAVVGLSGWLPCSKTLKNKIGQDEAARRAASLPILLCHGKGDDVVPHKFGEKSSRALSSNGFQDTTFKSYSGLGHYTIPEEMDEVCAWLTSKLGLEGRSA >KJB54089 pep chromosome:Graimondii2_0_v6:9:1537978:1542312:-1 gene:B456_009G020100 transcript:KJB54089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGGGTVRRALEFGRTYVVRPKGRHQATIVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPITIFGGFPSTAWFDVGDISEDAADDIEGLDAAAAHVANLLSTEPADIKLGVGGFSMGAATSLYSATCFTHGKYENGNPYPAKLSAVVGLSGWLPCSKTLKNKIGQDEAARRAASLPILLCHGKGDDVVPHKFGEKSSRALSSNGFQDTTFKSYSGLGHYTIPEEMDEVCAWLTSKLGLEGRSA >KJB60119 pep chromosome:Graimondii2_0_v6:9:25080100:25082139:-1 gene:B456_009G291100 transcript:KJB60119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVTGKVCVTGAGGYLGSWVVKHLLSNNYTVHGTVRQPGDAKYAHLNQLERASHNLQLFKADVLDYDSLCSAITGCTGVFHVASPVPSTIVPNPQEEVIEPAVKGTLTVLKACVESNVKRVVVVSSVTAVSLNPRWPVGQIKDEACWSDKEYCAATKNWYCLSKTEAESEAFEFAKKSGLDVVTVCPTLIWGPLLQSTINASSKVLINLLKGGLNSYLCFCTSTPT >KJB60117 pep chromosome:Graimondii2_0_v6:9:25080017:25082013:-1 gene:B456_009G291100 transcript:KJB60117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVTGKVCVTGAGGYLGSWVVKHLLSNNYTVHGTVRQPGDAKYAHLNQLERASHNLQLFKADVLDYDSLCSAITGCTGVFHVASPVPSTIVPNPQEEVIEPAVKGTLTVLKACVESNVKRVVVVSSVTAVSLNPRWPVGQIKDEACWSDKEYCAATKNWYCLSKTEAESEAFEFAKKSGLDVVTVCPTLIWGPLLQSTINASSKVLINLLKGGIRHSGKQTSKDS >KJB60115 pep chromosome:Graimondii2_0_v6:9:25079239:25082326:-1 gene:B456_009G291100 transcript:KJB60115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVTGKVCVTGAGGYLGSWVVKHLLSNNYTVHGTVRQPGDAKYAHLNQLERASHNLQLFKADVLDYDSLCSAITGCTGVFHVASPVPSTIVPNPQEEVIEPAVKGTLTVLKACVESNVKRVVVVSSVTAVSLNPRWPVGQIKDEACWSDKEYCAATKNWYCLSKTEAESEAFEFAKKSGLDVVTVCPTLIWGPLLQSTINASSKVLINLLKEGYDTLENKLRKIVDVRDVAQALLLVYEKPAAEGRYICTAHTIKARDLVDKLRSMFPQYNYPKSFTAGGEEDTVSSEKLQRLGWSYRPLEETLVDSIESYKKAGILD >KJB60118 pep chromosome:Graimondii2_0_v6:9:25079370:25082139:-1 gene:B456_009G291100 transcript:KJB60118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVTGKVCVTGAGGYLGSWVVKHLLSNNYTVHGTVRQPGDAKYAHLNQLERASHNLQLFKADVLDYDSLCSAITGCTGVFHVASPVPSTIVPNPQEEVIEPAVKGTLTVLKACVESNVKRVVVVSSVTAVSLNPRWPVGQIKDEACWSDKEYCAATKNWYCLSKTEAESEAFEFAKKSGLDVVTVCPTLIWGPLLQSTINASSKVLINLLKGIRHSGKQTSKDS >KJB60116 pep chromosome:Graimondii2_0_v6:9:25079370:25082139:-1 gene:B456_009G291100 transcript:KJB60116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVTGKVCVTGAGGYLGSWVVKHLLSNNYTVHGTVRQPGDAKYAHLNQLERASHNLQLFKADVLDYDSLCSAITGCTGVFHVASPVPSTIVPNPQEVIEPAVKGTLTVLKACVESNVKRVVVVSSVTAVSLNPRWPVGQIKDEACWSDKEYCAATKNWYCLSKTEAESEAFEFAKKSGLDVVTVCPTLIWGPLLQSTINASSKVLINLLKGGIRHSGKQTSKDS >KJB62165 pep chromosome:Graimondii2_0_v6:9:58986765:58987294:-1 gene:B456_009G404500 transcript:KJB62165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYFIFFPYFSQNRFPPNPKIPPLFFSPFYFFPNQGYSLKTDGLDEILSFVNRFQDAEDEAIDLLLDQLDHQSLKSFIIDKEAVHQVFMVGQLSIIQSLVGERGRRWVSSPSWRKFEILVQILGLCNCLRFSRPSICYYRY >KJB53668 pep chromosome:Graimondii2_0_v6:9:94297:100343:1 gene:B456_009G000300 transcript:KJB53668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSTVYFCHNLKLPLTSLFSVAWRNQPSFFVRFSCKRKRFCGFLANAENDNNEYSRKRKTSWWGRFFFEDDGNWLGLKDDDMVEEVGDMLSDSEEDEELLGGGKFEAWKRRAEAIIELREAQEDMRNEESRRWEDWIVVADGDDNKLGSANDWDDGGGGGGLGLGLGVGEENGNGLVRSVRDLVIGRGDEDVLYEDRVFRYASFNSAKFLAALLIVPLALDFVVHDFVLMPFLDRYVKTVPLAEEILDVRRNQKLEMVKQLQVEKARFRFEVEIGKSPPLSDEELWWELRRKALDLRDEFRLENRKAFANIWSDMVFGISLFLVLHLNQSKVNIPSQSLHDGFPTSNITVLVYYK >KJB53670 pep chromosome:Graimondii2_0_v6:9:94432:103331:1 gene:B456_009G000300 transcript:KJB53670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSTVYFCHNLKLPLTSLFSVAWRNQPSFFVRFSCKRKRFCGFLANAENDNNEYSRKRKTSWWGRFFFEDDGNWLGLKDDDMVEEVGDMLSDSEEDEELLGGGKFEAWKRRAEAIIELREAQEDMRNEESRRWEDWIVVADGDDNKLGSANDWDDGGGGGGLGLGLGVGEENGNGLVRSVRDLVIGRGDEDVLYEDRVFRYASFNSAKFLAALLIVPLALDFVVHDFVLMPFLDRYVKTVPLAEEILDVRRNQKLEMVKQLQVEKARFRFEVEIGKSPPLSDEELWWELRRKAWLC >KJB53667 pep chromosome:Graimondii2_0_v6:9:94270:108155:1 gene:B456_009G000300 transcript:KJB53667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSTVYFCHNLKLPLTSLFSVAWRNQPSFFVRFSCKRKRFCGFLANAENDNNEYSRKRKTSWWGRFFFEDDGNWLGLKDDDMVEEVGDMLSDSEEDEELLGGGKFEAWKRRAEAIIELREAQEDMRNEESRRWEDWIVVADGDDNKLGSANDWDDGGGGGGLGLGLGVGEENGNGLVRSVRDLVIGRGDEDVLYEDRVFRYASFNSAKFLAALLIVPLALDFVVHDFVLMPFLDRYVKTVPLAEEILDVRRNQKLEMVKQLQVEKARFRFEVEIGKSPPLSDEELWWELRRKALDLRDEFRLENRKAFANIWSDMVFGISLFLVLHLNQSKVALLKFAGYKIISNISDTGKAFLIILITDIFLGYHSESGWQTLLEIIVEHYGLQVDQSAITVFVCLIPVVIDACVKLWLFKSLPRLSPRVSNIFREMKRH >KJB53671 pep chromosome:Graimondii2_0_v6:9:94297:108155:1 gene:B456_009G000300 transcript:KJB53671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAEDGKIGLLLRMGMITSLVLQMTGMMVAVEVALALALALGRKTETVWFGLSEIWLLGGEMRMSCTRIVFFDMPPSIRQFLAALLIVPLALDFVVHDFVLMPFLDRYVKTVPLAEEILDVRRNQKLEMVKQLQVEKARFRFEVEIGKSPPLSDEELWWELRRKALDLRDEFRLENRKAFANIWSDMVFGISLFLVLHLNQSKVALLKFAGYKIISNISDTGKAFLIILITDIFLGYHSESGWQTLLEIIVEHYGLQVDQSAITVFVCLIPVVIDACVKLWLFKSLPRLSPRVSNIFREMKRH >KJB53672 pep chromosome:Graimondii2_0_v6:9:94297:108155:1 gene:B456_009G000300 transcript:KJB53672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSTVYFCHNLKLPLTSLFSVAWRNQPSFFVRFSCKRKRFCGFLANAENDNNEYSRKRKTSWWGRFFFEDDGNWLGLKDDDMVEEVGDMLSDSEEDEELLGGGKFEAWKRRAEAIIELREAQEDMRNEESRRWEDWIVVADGDDNKLGSANDWDDGGGGGGLGLGLGVGEENGNGLVRSVRDLVIGRGDEDVLYEDRVFRYASFNSAKFLAALLIVPLALDFVVHDFVLMPFLDRYVKTVPLAEEILDVRRNQKLEMVKQLQVEKARFRFEVEIGKSPPLSDEELWWELRRKALQNNK >KJB53669 pep chromosome:Graimondii2_0_v6:9:94297:108155:1 gene:B456_009G000300 transcript:KJB53669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSTVYFCHNLKLPLTSLFSVAWRNQPSFFVRFSCKRKRFCGFLANAENDNNEYSRKRKTSWWGRFFFEDDGNWLGLKDDDMVEEVGDMLSDSEEDEELLGGGKFEAWKRRAEAIIELREAQEDMRNEESRRWEDWIVVADGDDNKLGSANDWDDGGGGGGLGLGLGVGEENGNGLVRSVRDLVIGRGDEDVLYEDRVFRYASFNSAKFLAALLIVPLALDFVVHDFVLMPFLDRYVKTVPLAEEILDVRRNQKLEMVKQLQVEKARFRFEVEIGKSPPLSDEELWWELRRKAWLC >KJB56292 pep chromosome:Graimondii2_0_v6:9:8453571:8460982:1 gene:B456_009G115200 transcript:KJB56292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVKKSTSAKIRLRGLLNQPDNRTCADCGAPDPKWASANIGVFLCLKCCGVHRSLGTHISKVLSVALDEWSDEEIDAMIEVGGNSSANSIYEAYIPEGYTKPGPNASNDERRKFIKSKYELQEFLKASLRITSGKDSSSSSSQSNISGKILDTILTNSTQKEGMVEFIGLLKVKVVKGTNLAVRDMMTSDPYVVLTLGKQTVQSTVISSNLNPVWNEELMLSVPSNYGPVKLQVYDHDTFSADDIMGEAEIDIQPLITSATSYGNPEMFGNMQIGKWLKSHDNALMEDSIVNIIDGKVKQDVPLKLQNVECGELYLELEWLPLDQ >KJB56293 pep chromosome:Graimondii2_0_v6:9:8453360:8460982:1 gene:B456_009G115200 transcript:KJB56293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVKKSTSAKIRLRGLLNQPDNRTCADCGAPDPKWASANIGVFLCLKCCGVHRSLGTHISKVLSVALDEWSDEEIDAMIEVGGNSSANSIYEAYIPEGYTKPGPNASNDERRKFIKSKYELQEFLKASLRITSGKDSSSSSSQSNISGKILDTILTNSTQKEGMVEFIGLLKVKVVKGTNLAVRDMMTSDPYVVLTLGKQTVQSTVISSNLNPVWNEELMLSVPSNYGPVKLDIFRFRLAWLGVDWVLVFQVLGCSNSFYQVYDHDTFSADDIMGEAEIDIQPLITSATSYGNPEMFGNMQIGKWLKSHDNALMEDSIVNIIDGKVKQDVPLKLQNVECGELYLELEWLPLDQ >KJB56294 pep chromosome:Graimondii2_0_v6:9:8453360:8460982:1 gene:B456_009G115200 transcript:KJB56294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVKKSTSAKIRLRGLLNQPDNRTCADCGAPDPKWASANIGVFLCLKCCGVHRSLGTHISKVLSVALDEWSDEEIDAMIEVGGNSSANSIYEAYIPEGYTKPGPNASNDERRKFIKSKYELQEFLKASLRITSGKDSSSSSSQSNISGKILDTILTNSTQKEGMVEFIGLLKVKVVKGTNLAVRDMMTSDPYVVLTLGKQTVQSTVISSNLNPVWNEELMLSVPSNYGPVKLQVYDHDTFSADDIMGEAEIDIQPLITSATSYGNPEMFGNMQIGKWLKSHDNALMEDSIVNIIDGKVKQDVPLKLQNVECGELYLELEWLPLDQ >KJB56290 pep chromosome:Graimondii2_0_v6:9:8453243:8460982:1 gene:B456_009G115200 transcript:KJB56290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVKKSTSAKIRLRGLLNQPDNRTCADCGAPDPKWASANIGVFLCLKCCGVHRSLGTHISKVLSVALDEWSDEEIDAMIEVGGNSSANSIYEAYIPEGYTKPGPNASNDERRKFIKSKYELQEFLKASLRITSGKDSSSSSSQSNISGKILDTILTNSTQKEGMVEFIGLLKVKVVKGTNLAVRDMMTSDPYVVLTLGKQTVQSTVISSNLNPVWNEELMLSVPSNYGPVKLQVYDHDTFSADDIMGEAEIDIQPLITSATSYGNPEMFGNMQIGKWLKSHDNALMEDSIVNIIDGKVKQDVPLKLQNVECGELYLELEWLPLDQ >KJB56291 pep chromosome:Graimondii2_0_v6:9:8453360:8458409:1 gene:B456_009G115200 transcript:KJB56291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVKKSTSAKIRLRGLLNQPDNRTCADCGAPDPKWASANIGVFLCLKCCGVHRSLGTHISKVLSVALDEWSDEEIDAMIEVGGNSSANSIYEAYIPEGYTKPGPNASNDERRKFIKSKYELQEFLKASLRITSGKDSSSSSSQSNISGKILDTILTNSTQKEGMVEFIGLLKVKVVKGTNLAVRDMMTSDPYVVLTLGKQTVQSTVISSNLNPVWNEELMLSVPSNYGPVKLVSVIKRNVY >KJB59770 pep chromosome:Graimondii2_0_v6:9:22641819:22643462:-1 gene:B456_009G270800 transcript:KJB59770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIPFVFSVLILQLLFASQQCHRFLAAAADGRWQLLQKSIGVSAMHMQLLRNDRVVVFDRTDFGPSKLPLPNGKCRNDPSDTALKVDCTAHSVEYDVLTNTFRPLMVQTDVWCSSGAIMPNGNLVQTGGFNDGERRVRTFSPCSTCDWQETPNGLAAKRWYASNHILPDGRQIVVGGRRQFNYEFVPKTITANTFSLPFLSQTSERGVENNLYPFVFLNVDGNLFIFANNRAILLDYMKNKVVKTYPTIPGGDPRSYPSTGSAILLPLKNLKAAAIQAEVLVCGGAPKGSYVQAVRGKFIGALNTCARIKITDPNPQWVMETMPLARVMGDMILLPNGNVLLINGAGSGTAGWELGRNPVLNPVLYKPDNKIGTRFETQNPTTVPRLYHSTAALLRDGRVLVGGSNPHAFYNLTGVLFPTELSLEAFSPAYLDTKFNNIRPTITAPKSMSGIKYGTKLTVRVVITGKVAKNQVSVTMVAPAFNTHSFSMNQRLLVLGNDKVVALGKATYDIDVTTPRSGNLAPSGFYLLFVVHQGIPSKGIWVKLQ >KJB54405 pep chromosome:Graimondii2_0_v6:9:2455227:2455862:-1 gene:B456_009G032700 transcript:KJB54405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLGSKAYGDVKMQQIESYKGPNSSYGNGIHSKQDLSCYSASHASTVRQGQTQVQNTDAKFRKGKSGCCSKSWSLNDPELQRKKRVASYKVYDVEGRVKGSLKKSFRWLKDRYTRIVYGSSFE >KJB59347 pep chromosome:Graimondii2_0_v6:9:20376311:20381019:-1 gene:B456_009G250600 transcript:KJB59347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKYSRVDGRKSSSYCSLATIVVFVIFCLVGIWMFMSSSIVPVKNSEFLSVETGNEVKQTLSRSDSKQFDDTSGDLSGAETREDGSGLSSKELGITNEDNSLDNLVVESNNEEKESQDSAEKNQEENHANESSDEKTESESGQKMVDEDDGKDRETNSRDRESNIETGEAENEGGEMKNKLHTESEESSDENGSESAESGKNYGVDGTADKLKHVGKEANLTEEKNGKNQDEHLELSADHNIVESKENNQASNEILPAGAQSEILTETTTQNGAWSTQAVESQNEKKSQQSSTSSKNNDHHWKLCKSTAGPDYIPCLDNWQVIRKLPSTMHYEHRERHCPDEAPTCLVPLPEGYKRSVKWPKSRDKIWYYNVPHTKLAEVKGHQNWVKVTGEYLTFPGGGTQFVNGALHYIDFIQESVPEIAWGKRTRVVLDVGCGVASFGGYLFERNVLAMSFAPKDEHEAQVQFALERGIPAILNVMGTKRLPFPGSVFDLVHCARCRVPWHVEGGKLLLELNRVLRPGGYFVWSATPVYRKGPEDVGIWQEMSRLTKSMCWELVVVKKDKLNNVGAAIYRKPTSNDCYNKRSRNAPPLCEESDDPNAAWDVPLQACMHKVPVDSTKRGSLWPAQWPERLEKPPYWLNSQVGVYGKAAQSDLTADYSHWKKVVSQSYLSGMGIDWSSVRNVIDMKAVYGGFAAALKDLKVWVMNVVPIDSPDTLPIIYERGLFGMYHDWCESFNTYPRTYDVVHADHLFSSIKKRCKLVAVIAEVDRILRPEGKLILRDNLETISEVESMAKSLQWEIRMIYSKDNEGLLCVHKTFWRPTEEPIKFAIV >KJB59346 pep chromosome:Graimondii2_0_v6:9:20376311:20381630:-1 gene:B456_009G250600 transcript:KJB59346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKYSRVDGRKSSSYCSLATIVVFVIFCLVGIWMFMSSSIVPVKNSEFLSVETGNEVKQTLSRSDSKQFDDTSGDLSGAETREDGSGLSSKELGITNEDNSLDNLVVESNNEEKESQDSAEKNQEENHANESSDEKTESESGQKMVDEDDGKDRETNSRDRESNIETGEAENEGGEMKNKLHTESEESSDENGSESAESGKNYGVDGTADKLKHVGKEANLTEEKNGKNQDEHLELSADHNIVESKENNQASNEILPAGAQSEILTETTTQNGAWSTQAVESQNEKKSQQSSTSSKNNDHHWKLCKSTAGPDYIPCLDNWQVIRKLPSTMHYEHRERHCPDEAPTCLVPLPEGYKRSVKWPKSRDKIWYYNVPHTKLAEVKGHQNWVKVTGEYLTFPGGGTQFVNGALHYIDFIQESVPEIAWGKRTRVVLDVGCGVASFGGYLFERNVLAMSFAPKDEHEAQVQFALERGIPAILNVMGTKRLPFPGSVFDLVHCARCRVPWHVEGGKLLLELNRVLRPGGYFVWSATPVYRKGPEDVGIWQEMSRLTKSMCWELVVVKKDKLNNVGAAIYRKPTSNDCYNKRSRNAPPLCEESDDPNAAWDVPLQACMHKVPVDSTKRGSLWPAQWPERLEKPPYWLNSQVGVYGKAAQSDLTADYSHWKKVVSQSYLSGMGIDWSSVRNVIDMKAVYGGFAAALKDLKVWVMNVVPIDSPDTLPIIYERGLFGMYHDWCESFNTYPRTYDVVHADHLFSSIKKRCKLVAVIAEVDRILRPEGKLILRDNLETISEVESMAKSLQWEIRMIYSKDNEGLLCVHKTFWRPTEEPIKFAIV >KJB58476 pep chromosome:Graimondii2_0_v6:9:16397055:16398885:1 gene:B456_009G211800 transcript:KJB58476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQNILYSNASFIFRKNLYGQFNHRIACTFPNLHHHQSHVSLLRAKIPSITISSHLDALEISHALQHGCIKGVGDENQGELSDEDDDLCPVDCVREFKTDEEFLKILEKAKETNSLVVVDFYRTSCGSCKYIEQGFSKLCKGAGDEDAGVIFLKHNVIDEYDEQSEVAERLRIRAVPLFHFYKNGVLLEAFPTRDKERIVEAIMKYTSTTQDT >KJB58475 pep chromosome:Graimondii2_0_v6:9:16396598:16398898:1 gene:B456_009G211800 transcript:KJB58475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQNILYSNASFIFRKNLYGQFNHRIACTFPNLHHHQSHVSLLRAKIPSITISSHLDALEISHALQHGCIKGVGDENQGELSDEDDDLCPVDCVREFKTDEEFLKILEKAKETNSLVVVDFYRTSCGSCKYIEQGFSKLCKGAGDEDAGVIFLKHNVIDEYDEQSEVAERLRIRAVPLFHFYKNGVLLEAFPTRDKERIVEAIMKYTSTTQDT >KJB57832 pep chromosome:Graimondii2_0_v6:9:14057713:14062186:-1 gene:B456_009G182700 transcript:KJB57832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVIQIFMAKELFNLLRKAHEDRHLPGFRLLNWHFFFTAMFFVYGRLLSQPLVNTVTSDKFLYQFVSSLIKYHMAICYFLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIFAYIFGFFFGKTPLIKLSPKKTWEGFIGASVTTIISAFVLANILGRFRWLTCPRKDLSTGWLECDPGLLFKPENYILPGWISQWFPWKEISVLPVQWHALCFGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMRQGISVEMILDQILTNLTFEEQQSLLMKLGQILQERLEHS >KJB57830 pep chromosome:Graimondii2_0_v6:9:14057713:14063434:-1 gene:B456_009G182700 transcript:KJB57830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVIQIFMAKELFNLLRKAHEDRHLPGFRLLNWHFFFTAMFFVYGRLLSQPLVNTVTSDKFLYQFVSSLIKYHMAICYFLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIFAYIFGFFFGKTPLIKLSPKKTWEGFIGASVTTIISAFVLANILGRFRWLTCPRKDLSTGWLECDPGLLFKPENYILPGWISQWFPWKEISVLPVQWHALCFGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMRQGISVEMILDQILTNLTFEEQQSLLMKLGQILQERLEHS >KJB57831 pep chromosome:Graimondii2_0_v6:9:14058075:14061805:-1 gene:B456_009G182700 transcript:KJB57831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKENNTTVPSATTPRIRHRKRSNEVIPEPSKANGGNLLVNDRNKYKSMWIRTHSTVWMIGGFALIVYMGHLYITAMVVVIQIFMAKELFNLLRKAHEDRHLPGFRLLNWHFFFTAMFFVYGRLLSQPLVNTVTSDKFLYQFVSSLIKYHMAICYFLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIFAYIFGFFFGKTPLIKLSPKKTWEGFIGASVTTIISAFVLANILGRFRWLTCPRKDLSTGWLECDPGLLFKPENYILPGWISQWFPWKEISVLPVQWHALCFGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMRQGISVEMILDQILTNLTFEEQQSLLMKLGQILQERLEHS >KJB57833 pep chromosome:Graimondii2_0_v6:9:14058075:14061805:-1 gene:B456_009G182700 transcript:KJB57833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKENNTTVPSATTPRIRHRKRSNEVIPEPSKANGGNLLVNDRNKYKSMWIRTHSTVWMIGGFALIVYMGHLYITAMVVVIQIFMAKELFNLLRKAHEDRHLPGFRLLNWHFFFTAMFFVYGRLLSQPLVNTVTSDKFLYQFVSSLIKYHMAICYFLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIFAYIFGFFFGKTPLIKLSPKKTWEGFIGASVTTIISAFVLANILGRFRWLTCPRKDLSTGWLECDPGLLFKPENYILPGWISQWFPWKEISVLPVQWHALCFGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMRQGISVEMILDQILTNLTFEEQQSLLMKLGQILQERLEHS >KJB53594 pep chromosome:Graimondii2_0_v6:9:7622559:7624811:1 gene:B456_009G105100 transcript:KJB53594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFGAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQNWTLSRTLFVTAFGAVLHVISTSLLGIAAITMANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFRWLN >KJB53597 pep chromosome:Graimondii2_0_v6:9:7622559:7626025:1 gene:B456_009G105100 transcript:KJB53597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFGAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQNWTLSRTLFVTAFGAVLHVISTSLLGIAAITMANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTIAVMTSLVALSFYGASQLKFRWVERYDKVLVGSVLCLVGILTLIFHDHDGEEGLHAHQVHRKVIGL >KJB53592 pep chromosome:Graimondii2_0_v6:9:7622559:7625956:1 gene:B456_009G105100 transcript:KJB53592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFGAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQNWTLSRTLFVTAFGAVLHVISTSLLGIAAITMANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTIAVMTSLVALSFYGASQLKFRWVERYDKVLVGSVLCLVGILTLIFHDHDGEEGLHAHQVHRKVIGL >KJB53595 pep chromosome:Graimondii2_0_v6:9:7622559:7625948:1 gene:B456_009G105100 transcript:KJB53595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFGAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQNWTLSRTLFVTAFGAVLHVISTSLLGIAAITMANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPGS >KJB53593 pep chromosome:Graimondii2_0_v6:9:7622559:7624808:1 gene:B456_009G105100 transcript:KJB53593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFGAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQNWTLSRTLFVTAFGAVLHVISTSLLGIAAITMANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFRCIA >KJB53596 pep chromosome:Graimondii2_0_v6:9:7622493:7624880:1 gene:B456_009G105100 transcript:KJB53596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFGAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQNWTLSRTLFVTAFGAVLHVISTSLLGIAAITMANTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTIAVMTSLVALSFYGASQLKFRWVERYDKVLVGSVLCLVGILTLIFHDHDGEEGLHAHQVHRKVIGL >KJB59963 pep chromosome:Graimondii2_0_v6:9:23997885:24002590:-1 gene:B456_009G283000 transcript:KJB59963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEAALNEQSDVVSNAKKKKSDDEKDHLVVSVTTPMSHNHRSRRVTPTTAATVGAAVEKVLPNGDIYTGSFSGSAPHGSGKYLWKDGCMYEGEWRRGKASGKGKFSWPSGACYEGDFKSGRMEGIGTFIGSDDDTYRGSWISNRKHGQGRKRYANGDYYEGSWKKDLQDGYGRYVWSNGIEYIGEWKNGVISGRGTLIWANKNRYVGQWENGVPKGNGVFSWPGGSRYIGAWNDDTMKKVQQLNETFSNGNDGKEHCDGRGSLGERNINFPRICIWESDGEEGDITCDIVDNVEASMIYRDGFRDFRRNPCCFNEEIKKPGQMIYKGHKHYDLMLNLQLGIRYSVGKHASMLQDLKPSDFDPKEKFWTKFPSEGSKLTPPHQSIEFRWKDYCPVVFRHLRELFQIDPADYMLAICGNDALREFSSPGKSGSFFYLTQDDRFMIKTVKKSEAKVLIKMLPSYYQHVCRYENSLVTKFYGVHCVKPVGGQKTRFIVMGNLFCSEYRIHRRFDLKGSSHGRSTDKPEEEIDETTTLKDLDLNFVFRLQRNWFEELMKQIDRDCEFLEAERIMDYSLLVGLHFRDDNSGDKMGLSPFLLRTGKIGDNHCIPYEI >KJB59964 pep chromosome:Graimondii2_0_v6:9:23997885:24002707:-1 gene:B456_009G283000 transcript:KJB59964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEAALNEQSDVVSNAKKKKSDDEKDHLVVSVTTPMSHNHRSRRVTPTTAATVGAAVEKVLPNGDIYTGSFSGSAPHGSGKYLWKDGCMYEGEWRRGKASGKGKFSWPSGACYEGDFKSGRMEGIGTFIGSDDDTYRGSWISNRKHGQGRKRYANGDYYEGSWKKDLQDGYGRYVWSNGIEYIGEWKNGVISGRGTLIWANKNRYVGQWENGVPKGNGVFSWPGGSRYIGAWNDDTMKKVQQLNETFSNGNDGKEHCDGRGSLGERNINFPRICIWESDGEEGDITCDIVDNVEASMIYRDGFRDFRRNPCCFNEEIKKPGQMIYKGHKHYDLMLNLQLGIRYSVGKHASMLQDLKPSDFDPKEKFWTKFPSEGSKLTPPHQSIEFRWKDYCPVVFRHLRELFQIDPADYMLAICGNDALREFSSPGKSGSFFYLTQDDRFMIKTVKKSEAKVLIKMLPSYYQHVCRYENSLVTKFYGVHCVKPVGGQKTRFIVMGNLFCSEYRIHRRFDLKGSSHGRSTDKPEEEIDETTTLKDLDLNFVFRLQRNWFEELMKQIDRDCEFLEAERIMDYSLLVGLHFRDDNSGDKMGLSPFLLRTGKNDSYQNEKYMRGCRFLEAELQDMDRVLAGRKPLIRLGANMPARAEQMARRSDFDQYTHGGVGHFSHSGDVYEVVLYFGIIDILQDYDISKKLEHAYKSLQVDPTSISAVDPKLYSKRFRDFIGRIFVEDR >KJB59965 pep chromosome:Graimondii2_0_v6:9:23999415:24002590:-1 gene:B456_009G283000 transcript:KJB59965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEAALNEQSDVVSNAKKKKSDDEKDHLVVSVTTPMSHNHRSRRVTPTTAATVGAAVEKVLPNGDIYTGSFSGSAPHGSGKYLWKDGCMYEGEWRRGKASGKGKFSWPSGACYEGDFKSGRMEGIGTFIGSDDDTYRGSWISNRKHGQGRKRYANGDYYEGSWKKDLQDGYGRYVWSNGIEYIGEWKNGVISGRGTLIWANKNRYVGQWENGVPKGNGVFSWPGGSRYIGAWNDDTMKKVQQLNETFSNGNDGKEHCDGRGSLGERNINFPRICIWESDGEEGDITCDIVDNVEASMIYRDGFRDFRRNPCCFNEEIKKPGQMIYKGHKHYDLMLNLQLGIRYSVGKHASMLQDLKPSDFDPKEKFWTKFPSEGSKLTPPHQSIEFRWKDYCPVVFRHLRELFQIDPADYMLAICGNDALREFSSPGKSGSFFYLTQDDRFMIKTVKKSEAKVLIKMLPSYYQHVCRYENSLVTKFYGVHCVKPVGGQKTRFIVMGNLFCSEYRIHRRFDLKGSSHGRSTDKPEEEIDETTTLKDLDLNFVFRLQRNWFEELMKQIDRDCEFLEAERIMDYSLLVGLHFRDDNSGDKMGLSPFLLRTGKIGDNHCIPYEI >KJB60564 pep chromosome:Graimondii2_0_v6:9:29244939:29246762:1 gene:B456_009G312800 transcript:KJB60564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRVRGGKDDDIEDEEYEDEDQFLDDEDYDEDVDVGRGRGTSSKKWQRFDFIDDVAEEDDEDDEEDDDELYGGGGKKHSKAPRVASQFFYLEAQVDSDEEEEEDEGEGEGEGEDDFIVETGADLPDEDVGRRMHRHPLLLREDQQEDVEALKRSIQARYARSSNTEYDEETIVVEQQALLPSVRDPKLWMVKCVIGRERETAACLMQKYIDKGSELQIRSMIALDHLKNYIYIEADKEAHVREAVKGLRTLFAAKIMLVPIREMTGVLSAESTAVYLSRDTWVRMKVGTYKGDLAQVVDVDNVRQRVTVKLIPRIDLQALANKLEGSKVAKKKAFVPPPYFMNVDKAKALHIRVERRRNSITGDYFENIWGMLFKDGFLYKTVSMKSISAQNIKPTLDELEKFRSPSNNEEGEIVGLSTLFTNRKKTHFMKGDAVIVVKGDLKNLKGWVEKVEEKNVHIRLDMKCLPKTLAVNEKELCKNFEPTNHVKVVSGTKEGATGMVVKVEQHVLIILSDTTKEDICVFAEMLLRALK >KJB61793 pep chromosome:Graimondii2_0_v6:9:51761140:51764292:-1 gene:B456_009G381200 transcript:KJB61793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMRFNPVTSLNWVFLACFICSTLSFETSSQHCDPNDLLALKQFVGNLTAGSIITSWSNESICCQWDGVVCGNNINVSVSNRVIALILHGKGLKGKISSSLASLDLKRLDLSCNHLRDPLPLELSNLKQLEYLDLSHNMLSGPVSSSVSGLESIQWLNLSSNSFDGDLLELGRFPDIVVFNLSNNSFTGQFGSRICSNSKRIQVLDLSMNHLVGSLEALENCSMSLQQLHLDYNSFAGGFPDSLYSMSSLQGLSIAGNNFSGQLSKELSKLSSLKSLIISGNHFSGRLPDIFENLTQLELLCAHSNLFSGPLPSSLAQCLKLRILDLQNNSFSGPLDLDFTGMSNLYSLDLATNHFTGSLPVSLSDCKGLNVLSLAKNEFRGQIPKTYANLGSLVFLSLSNNSFIDLPGALSVLQHCKNLSTLILTKNLYGEEIPRNVSGFQSLTILALGNCALKGQIPDWLLRCEKLEVLDLSWNHLNGSIPTWIGQMKKLFYLDFSNNSLTGEIPKSLTELKSLTSSNCSSSISSSSAGIPLYVKRNRSASGLPYKRLSSFPPALYLSNNRLNGTILPEFGRLKQLHVLDLSWNNITGVIPSSISDMENLEILDLSHNNLHGSIPSSFSKLTFLSKFSVAYNHLHGVIPTDGQFYSFPNSSFEGNPGLCGKIVSPCDVFNSMLKPTIPSGSSKKFSRSSILGITVSIGVGISLLLAIVILRMLRRDAGDRIDDFDEELSQSHGLFEGFGTSKLVLFQSSHCKELTVMDLLNSTNNFNQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCGQMEREFQAEVEALSRAQHKNLVSLQGYCKHGNDRLLIYSYMENGSLDYWLHESVGESSVLKWDVRLRIAQGAACGLAYLHKICEPNIVHRDVKSSNILLDEKFEAHLADFGLSRLLRPYDTHVTTDLVGTLGYIPPEYSQTLTATCRGDVYSFGVVLLELLTGRRPVEVRKGKNCRDLVSWMFQMKSEKRESEIIDSSIWDKDNEKQLFEMLEIACKCLDPDPKRRPLIDEVVSCLNSIENEVVQQ >KJB61792 pep chromosome:Graimondii2_0_v6:9:51760373:51764695:-1 gene:B456_009G381200 transcript:KJB61792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMRFNPVTSLNWVFLACFICSTLSFETSSQHCDPNDLLALKQFVGNLTAGSIITSWSNESICCQWDGVVCGNNINVSVSNRVIALILHGKGLKGKISSSLASLDLKRLDLSCNHLRDPLPLELSNLKQLEYLDLSHNMLSGPVSSSVSGLESIQWLNLSSNSFDGDLLELGRFPDIVVFNLSNNSFTGQFGSRICSNSKRIQVLDLSMNHLVGSLEALENCSMSLQQLHLDYNSFAGGFPDSLYSMSSLQGLSIAGNNFSGQLSKELSKLSSLKSLIISGNHFSGRLPDIFENLTQLELLCAHSNLFSGPLPSSLAQCLKLRILDLQNNSFSGPLDLDFTGMSNLYSLDLATNHFTGSLPVSLSDCKGLNVLSLAKNEFRGQIPKTYANLGSLVFLSLSNNSFIDLPGALSVLQHCKNLSTLILTKNLYGEEIPRNVSGFQSLTILALGNCALKGQIPDWLLRCEKLEVLDLSWNHLNGSIPTWIGQMKKLFYLDFSNNSLTGEIPKSLTELKSLTSSNCSSSISSSSAGIPLYVKRNRSASGLPYKRLSSFPPALYLSNNRLNGTILPEFGRLKQLHVLDLSWNNITGVIPSSISDMENLEILDLSHNNLHGSIPSSFSKLTFLSKFSVAYNHLHGVIPTDGQFYSFPNSSFEGNPGLCGKIVSPCDVFNSMLKPTIPSGSSKKFSRSSILGITVSIGVGISLLLAIVILRMLRRDAGDRIDDFDEELSQSHGLFEGFGTSKLVLFQSSHCKELTVMDLLNSTNNFNQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCGQMEREFQAEVEALSRAQHKNLVSLQGYCKHGNDRLLIYSYMENGSLDYWLHESVGESSVLKWDVRLRIAQGAACGLAYLHKICEPNIVHRDVKSSNILLDEKFEAHLADFGLSRLLRPYDTHVTTDLVGTLGYIPPEYSQTLTATCRGDVYSFGVVLLELLTGRRPVEVRKGKNCRDLVSWMFQMKSEKRESEIIDSSIWDKDNEKQLFEMLEIACKCLDPDPKRRPLIDEVVSCLNSIENEVVQQ >KJB57684 pep chromosome:Graimondii2_0_v6:9:13566429:13568625:-1 gene:B456_009G175400 transcript:KJB57684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSGKLKVVFHDFPGGAVGFELIARFCYNNGRTQITPASVVLLNCAAQFMEMESDGHRPSLLNQTKKSLDGISFWSWSELLVALKECQDLLLSPSKPSLILDKVLDCVVGRLVSPIVASPYTSSSENSSFQCSCDTTSSYSTRNNSSQVSWWFEDLLFLNIDLIDKVIKLMICQHFDHATITKFLFCYQRSRFVTATPTEKCRIMEVIINLLSLLDRNSLSCKHLFDMFRVASSLENISRHCKSVLDTLIGSQLDQATIDFLLVPPPRKKHYMYDMNLVLRLVNAFQNELNCCSSLVRLRKVASLLDSYLVEVSADSYLTPSKFAALVLLLPDSARESHDSLFQAIDIYLQVHGEICEAEKMRICSALNYAKLSTDALRHLARNSKFPSRIAIQGFINQQSKLENLFEGQKHIDTFSGSISIEESIKENENSDQVLVYAKRVNVPGKAELLEVQLPGMQFRVTELDKFSGTIHTQIGNIPRTRLSSLGNNARFLPKLCS >KJB57686 pep chromosome:Graimondii2_0_v6:9:13566429:13569470:-1 gene:B456_009G175400 transcript:KJB57686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVCCDLEVDVNGEEVFMVDKKTLASFSKRFGGLFGNSMDNSGKLKVVFHDFPGGAVGFELIARFCYNNGRTQITPASVVLLNCAAQFMEMESDGHRPSLLNQTKKSLDGISFWSWSELLVALKECQDLLLSPSKPSLILDKVLDCVVGRLVSPIVASPYTSSSENSSFQCSCDTTSSYSTRNNSSQVSWWFEDLLFLNIDLIDKVIKLMICQHFDHATITKFLFCYQRSRFVTATPTEKCRIMEVIINLLSLLDRNSLSCKHLFDMFRVASSLENISRHCKSVLDTLIGSQLDQATIDFLLVPPPRKKHYMYDMNLVLRLVNAFQNELNCCSSLVRLRKVASLLDSYLVEVSADSYLTPSKFAALVLLLPDSARESHDSLFQAIDIYLQVHGEICEAEKMRICSALNYAKLSTDALRHLARNSKFPSRIAIQGFINQQSKLENLFEGQKHIDTFSGSISIEESIKENENSDQVLVYAKRVNVPGKAELLEVQLPGMQFRVTELDKFSGTIHTQIGNIPRTRLSSLGNNARFLPKLCS >KJB57685 pep chromosome:Graimondii2_0_v6:9:13566429:13568862:-1 gene:B456_009G175400 transcript:KJB57685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSGKLKVVFHDFPGGAVGFELIARFCYNNGRTQITPASVVLLNCAAQFMEMESDGHRPSLLNQTKKSLDGISFWSWSELLVALKECQDLLLSPSKPSLILDKVLDCVVGRLVSPIVASPYTSSSENSSFQCSCDTTSSYSTRNNSSQVSWWFEDLLFLNIDLIDKVIKLMICQHFDHATITKFLFCYQRSRFVTATPTEKCRIMEVIINLLSLLDRNSLSCKHLFDMFRVASSLENISRHCKSVLDTLIGSQLDQATIDFLLVPPPRKKHYMYDMNLVLRLVNAFQNELNCCSSLVRLRKVASLLDSYLVEVSADSYLTPSKFAALVLLLPDSARESHDSLFQAIDIYLQVHGEICEAEKMRICSALNYAKLSTDALRHLARNSKFPSRIAIQGFINQQSKLENLFEGQKHIDTFSGSISIEESIKENENSDQVLVYAKRVNVPGKAELLEVQLPGMQFRVTELDKFSGTIHTQIGNIPRTRLSSLGNNARFLPKLCS >KJB53716 pep chromosome:Graimondii2_0_v6:9:244039:245045:1 gene:B456_009G002200 transcript:KJB53716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWMENMENVSEEYNQNIWEPNYVFENEEYSWAMDELYDNDCSSSPDGAPASASLSNSNNILSERNRRKKLNQRLFALRALVPNITKMDKASIIKDAIDYIQQLQEQEATLQADIMELENNNNNNPKNHDLPMLLTSKNTSVFDSPIQLLQLNVTPMGDNTLLVSITCTKRADTMLKLCQLFESLNLKIITANLTVVSANLFNTLFIRVSYISFSYNILCFLLG >KJB53715 pep chromosome:Graimondii2_0_v6:9:244015:245345:1 gene:B456_009G002200 transcript:KJB53715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWMENMENVSEEYNQNIWEPNYVFENEEYSWAMDELYDNDCSSSPDGAPASASLSNSNNILSERNRRKKLNQRLFALRALVPNITKMDKASIIKDAIDYIQQLQEQEATLQADIMELENNNNNNPKNHDLPMLLTSKNTSVFDSPIQLLQLNVTPMGDNTLLVSITCTKRADTMLKLCQLFESLNLKIITANLTVVSANLFNTLFIRADEKEKEELKMQIQTAIAAFNGPQTPITI >KJB55711 pep chromosome:Graimondii2_0_v6:9:6589783:6591448:-1 gene:B456_009G090400 transcript:KJB55711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASRNYPLQFPNQFELYDFIDDPNFDQFIDLIRGESEDAGVGYDCDLLNGSFVEDKQISSTPGDTFCLDASTTIVPDSNYVFDPFPSTFYGEMMKDGEDDNDEENSSGTTTATATATTPTTPTATKKPRVDRSRTLISERLRRGRMKEKLYALRSLVPNITKMDKASIIGDAVLYVQDLQMQAKKLKAEIAGLEATLAGSERYQQSIENPVKIRVARSNSHPVCKKIMQLNMFQVEEREFYIRLICNKGEGVAISLYKALESLTNFKVLNSNLATLSDRFVLTFTLNMRDCEQSMNLPNLKLWVCGALLNQGFEFTTPLSS >KJB60569 pep chromosome:Graimondii2_0_v6:9:29566847:29567972:-1 gene:B456_009G314700 transcript:KJB60569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDMLHGSWVLFDSFFPFLFSWPKVRGAKGPIFEDGPNFDMAFRLFHGRDGVVPLSGQSSLRIEKAETETAPPKFNPLAAKAATISLSSFGPGGPFSFDAFSNKWNNQKGKGKGKSSKKESSSQGGKSNHEAMGNEWLQNGNCPIAKSYRAVSGVLPLVAKVLQPPPGMKYRCPPAVVAARAALAQTAFAKNLRPQSLPTKVLVIGVLGMAANVPLGIWREHTEKFSPSWFVAIHAAVPFIAMLRKSVLMPKTAMAFTIAASVLGQVIGSRAERYRLKAVAAKRLGMVESSVSVGGANQLDIVAVKNSDCRKDVAEWEPVSIQVAMAGPPSSTDVYC >KJB60567 pep chromosome:Graimondii2_0_v6:9:29566567:29569730:-1 gene:B456_009G314700 transcript:KJB60567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFFRGLNEEFSSSQMDILRCPFLRNINEPTNFSFSSALPFPMPVRGAKGPIFEDGPNFDMAFRLFHGRDGVVPLSGQSSLRIEKAETETAPPKFNPLAAKAATISLSSFGPGGPFSFDAFSNKWNNQKGKGKGKSSKKESSSQGGKSNHEAMGNEWLQNGNCPIAKSYRAVSGVLPLVAKVLQPPPGMKYRCPPAVVAARAALAQTAFAKNLRPQSLPTKVLVIGVLGMAANVPLGIWREHTEKFSPSWFVAIHAAVPFIAMLRKSVLMPKTAMAFTIAASVLGQVIGSRAERYRLKAVAAKRLGMVESSVSVGGANQLDIVAVKNSDCRKDVAEWEPVSIQVAMAGPPSSTDVYC >KJB60568 pep chromosome:Graimondii2_0_v6:9:29566636:29569649:-1 gene:B456_009G314700 transcript:KJB60568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFFRGLNEEFSSSQMDILRCPFLRNINEPTNFSFSSALPFPMPVRGAKGPIFEDGPNFDMAFRLFHGRDGVVPLSGQSSLRIEKAETETAPPKFNPLAAKAATISLSSFGPGGPFSFDAFSNKWNNQKGKGKGKSSKKESSSQGGKSNHEAMGNEWLQNGNCPIAKSYRAVSGVLPLVAKVLQPPPGMKYRCPPAVVAARAALAQTAFAKNLRPQSLPTKVLVIGVLGMAANVPLGIWREHTEKFSPSWFVAIHAAVPFIAMLRKSVLMPKTAMAFTIAASVLGQVIGSRAERYRLKAVAAKRLGMVESSVSVGGANQLDIVAVKNSDCRKDVAEWEPVSIQVAMAGPPSSTDVYC >KJB61292 pep chromosome:Graimondii2_0_v6:9:44523473:44523586:-1 gene:B456_009G350300 transcript:KJB61292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl36 MKIRASVRKICEKCRLIRRRGRIIVICFNPRHKQRQG >KJB55197 pep chromosome:Graimondii2_0_v6:9:4850334:4854895:-1 gene:B456_009G068300 transcript:KJB55197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESFSRQECDKLTDIIKSRVMDSPSTSGLGFGRLNEMPDRTDVEIHDFCSTDVLEAKKLLEMKKSEMHHGTSALNYVTLKHDVEGEEGSPVGMAKSYMRTCPPWATPSKNNIEFRYPSPYSIGGNFLYSSKRKRDSPATGSWNIQDEIRKVRSKATEEMLRTLSSSKIDWSSFPLEHKNGPDSIVSNNFGPAEKDKSQSSKRPVDAPIDLAAKPASQLVQDAFHNDALPRPAIFGCEQNQVMQAIQGIEVKKVRFLRSNVTLDMGQRLQSTVDMKTELHSDVVAPDGNHLKESNSSFLPFGSTKEGTLQESQVEDKNFWTLNEVAGISSANGFPSGSNFPSFQYVF >KJB55198 pep chromosome:Graimondii2_0_v6:9:4849994:4854895:-1 gene:B456_009G068300 transcript:KJB55198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESFSRQECDKLTDIIKSRVMDSPSTSGLGFGRLNEMPDRTDVEIHDFCSTDVLEAKKLLEMKKSEMHHGTSALNYVTLKHDVEGEEGSPVGMAKSYMRTCPPWATPSKNNIEFRYPSPYSIGGNFLYSSKRKRDSPATGSWNIQDEIRKVRSKATEEMLRTLSSSKIDWSSFPLEHKNGPDSIVSNNFGPAEKDKSQSSKRPVDAPIDLAAKPASQLVQDAFHNDALPRPAIFGCEQNQVMQAIQGIEVKKVRFLRSNVTLDMGQRLQSTVDMKTELHSDVVAPDGNHLKESNSSFLPFGSTKEGTLQESQVEDKNFWTLNEVAGISSANGFPSGSNMSSEVDKEKNHTPIISKEDKAVGCGHDNAFRVVAEVKCEPLCEESMEVPMVNETDAATSGSQHSWSMPFEGSPQNQNALISEGNLAGKSNSGIEKKPQQQGKKVSRYNRRGRGRGR >KJB55200 pep chromosome:Graimondii2_0_v6:9:4851583:4856167:-1 gene:B456_009G068300 transcript:KJB55200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESFSRQECDKLTDIIKSRVMDSPSTSGLGFGRLNEMPDRTDVEIHDFCSTDVLEAKKLLEMKKSEMHHGTSALNYVTLKHDVEGEEGSPVGMAKSYMRTCPPWATPSKNNIEFRYPSPYSIGGNFLYSSKRKRDSPATGSWNIQDEIRKVRSKATEEMLRTLSSSKIDWSSFPLEHKNGPDSIVSNNFGPAEKDKSQSSKRPVDAPIDLAAKPASQLVQDAFHNDALPRPAIFGCEQNQVMQAIQGIEVKKGCRLDLKLLFSIQRNAYPVHLKVFG >KJB55199 pep chromosome:Graimondii2_0_v6:9:4849681:4856167:-1 gene:B456_009G068300 transcript:KJB55199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSGAAPCQDSQSGAEMDLNSTLDSPNWISRHIFPPTRAIVNGASRMLASVFGFESSSSSSSSSSECDSCSDDTHDNDDQEVSSQGVHTIEDREPQSFAGKKEPKRLIEQILMQESFSRQECDKLTDIIKSRVMDSPSTSGLGFGRLNEMPDRTDVEIHDFCSTDVLEAKKLLEMKKSEMHHGTSALNYVTLKHDVEGEEGSPVGMAKSYMRTCPPWATPSKNNIEFRYPSPYSIGGNFLYSSKRKRDSPATGSWNIQDEIRKVRSKATEEMLRTLSSSKIDWSSFPLEHKNGPDSIVSNNFGPAEKDKSQSSKRPVDAPIDLAAKPASQLVQDAFHNDALPRPAIFGCEQNQVMQAIQGIEVKKVRFLRSNVTLDMGQRLQSTVDMKTELHSDVVAPDGNHLKESNSSFLPFGSTKEGTLQESQVEDKNFWTLNEVAGISSANGFPSGSNMSSEVDKEKNHTPIISKEDKAVGCGHDNAFRVVAEVKCEPLCEESMEVPMVNETDAATSGSQHSWSMPFEGSPQNQNALISEGNLAGKSNSGIEKKPQQQGKKVSRYNRRGRGRGR >KJB56659 pep chromosome:Graimondii2_0_v6:9:9815834:9817434:1 gene:B456_009G130300 transcript:KJB56659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSNLPFDVLAKIFSFLSPDSLARARSTCRHWNACVDTYPIATSFILLSLHHRAWFLALPTRNRGQHCYVHNPVIDNWHLLSFDFLPDPVRPVASIGALILVRPTNCTVLQLALCNPFTRQFRYLPMSNTSRTNPAVGVVTLDSVQHGPNPNFRVYVAGGMSEAPRGGAKYELKLEMYDSMDDTWHVVGFVPTEFAVRLTVWTPNESVYSNGVLYWMTSARAYSVMGYEIDGHRWRGFGVPMADKLEFAALLCHNGGLTLVGGASEGEACIWGLKEGDRWGLIQKVPMEMGRKLLGGKSWGSIKCVGSEEAIYLYKELGSGMVVWREMEEKAGWAWFWIEGCHSIGGNQVPNLPIKGFFLHPNLFPFTF >KJB56660 pep chromosome:Graimondii2_0_v6:9:9815891:9817395:1 gene:B456_009G130300 transcript:KJB56660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIRSSTTGTCSRSISCPTRYLPMSNTSRTNPAVGVVTLDSVQHGPNPNFRVYVAGGMSEAPRGGAKYELKLEMYDSMDDTWHVVGFVPTEFAVRLTVWTPNESVYSNGVLYWMTSARAYSVMGYEIDGHRWRGFGVPMADKLEFAALLCHNGGLTLVGGASEGEACIWGLKEGDRWGLIQKVPMEMGRKLLGGKSWGSIKCVGSEEAIYLYKELGSGMVVWREMEEKAGWAWFWIEGCHSIGGNQVPNLPIKGFFLHPNLFPFTF >KJB56158 pep chromosome:Graimondii2_0_v6:9:7828186:7835045:-1 gene:B456_009G108000 transcript:KJB56158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWLHIIRSNRIGLQYSRKRYFVLEDHLLKSFKSMPISNLQEPGRSVIIDSCIRVADNGRESIHSNVFFIFTLYNTSNHNDQLKLGASSPEEAARWIQSFKEAALKGGTYPGNDVACSKSRWQSFRSSGSSNTNHNSSIDWTLCSSTKMDRVTSDVVAPSPWTIFGCQNGLRLFKEAKDRDSHGKWDDHPAIMAVGVIDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVIEHLDGHTDIIHKQLYGDWLPMGMKRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPQKSYIRACLKSGGYVISPLNEEKHSVVKHMLAIDWKFWKSYLKTSAARSITIRMLERVAALRELFKAKQGKYPSADISSGELIRAVRLQQSEEDCVVDMCTQIEAGKSKENLSEGMEKAPSEHSSLVGLNDAADEFFDVPEPTDYDQSTDRWDSDYAPEVYSQDTRQTKLSTAAVFVKKLHDLAVQKRGYMDLQDMTKEDGICCSYGNTLPKDPTCTLPCSWTAAEPSTFLIRGENYLEDCKKFKAKGTLMQMVAADWLRSDKREDDLGGRPGGIVQKYAAQGGPEFFFIVNIQVPGSTTYSLALYYMMNTPVEDSPLLYNFINGDDAYRNSRGRG >KJB56161 pep chromosome:Graimondii2_0_v6:9:7828323:7835045:-1 gene:B456_009G108000 transcript:KJB56161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWLHIIRSNRIGLQYSRKRYFVLEDHLLKSFKSMPISNLQEPGRSVIIDSCIRVADNGRESIHSNVFFIFTLYNTSNHNDQLKLGASSPEEAARWIQSFKEAALKGGTYPGNDVACSKSRWQSFRSSGSSNTNHNSSIDWTLCSSTKMDRVTSDVVAPSPWTIFGCQNGLRLFKEAKDRDSHGKWDDHPAIMAVGVIDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVIEHLDGHTDIIHKQLYGDWLPMGMKRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPQKSYIRACLKSGGYVISPLNEEKHSVVKHMLAIDWKFWKSYLKTSAARSITIRMLERVAALRELFKAKQGKYPSADISSGELIRAVRLQQSEEDCVVDMCTQIEAGKSKENLSEGMEKAPSEHSSLVGLNDAADEFFDVPEPTDYDQSTDRWDSDYAPEVYSQDTRQTKLSTAAVFVKKLHDLAVQKRGYMDLQDMTKEDGICCSYGNTLPKDPTCTLPCSWTAAEPSTFLIRGENYLEDCKKFKAKGTLMQMVAADWLRSDKREDDLGGRPGGIVQKYAAQGGPEFFFIVNIQVPGSTTYSLALYYMMNTPVEDSPLLYNFINGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFRGKNYLELGIDIGSSTVARGVVSLVLGYLNNLVIEMAFLIQVKSTLRRTGIGSLYGMIIHFLTNTEMWIFLYIYIYIYRQIQKRSYQSIFLEHAG >KJB56157 pep chromosome:Graimondii2_0_v6:9:7827950:7835302:-1 gene:B456_009G108000 transcript:KJB56157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSQIGELKMEGWLHIIRSNRIGLQYSRKRYFVLEDHLLKSFKSMPISNLQEPGRSVIIDSCIRVADNGRESIHSNVFFIFTLYNTSNHNDQLKLGASSPEEAARWIQSFKEAALKGGTYPGNDVACSKSRWQSFRSSGSSNTNHNSSIDWTLCSSTKMDRVTSDVVAPSPWTIFGCQNGLRLFKEAKDRDSHGKWDDHPAIMAVGVIDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVIEHLDGHTDIIHKQLYGDWLPMGMKRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPQKSYIRACLKSGGYVISPLNEEKHSVVKHMLAIDWKFWKSYLKTSAARSITIRMLERVAALRELFKAKQGKYPSADISSGELIRAVRLQQSEEDCVVDMCTQIEAGKSKENLSEGMEKAPSEHSSLVGLNDAADEFFDVPEPTDYDQSTDRWDSDYAPEVYSQDTRQTKLSTAAVFVKKLHDLAVQKRGYMDLQDMTKEDGICCSYGNTLPKDPTCTLPCSWTAAEPSTFLIRGENYLEDCKKFKAKGTLMQMVAADWLRSDKREDDLGGRPGGIVQKYAAQGGPEFFFIVNIQVPGSTTYSLALYYMMNTPVEDSPLLYNFINGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFRGKNYLELGIDIGSSTVARGVVSLVLGYLNNLVIEMAFLIQANTEEELPEYLLGTCRLNHLDASKSITVKV >KJB56159 pep chromosome:Graimondii2_0_v6:9:7828343:7835036:-1 gene:B456_009G108000 transcript:KJB56159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWLHIIRSNRIGLQYSRKRYFVLEDHLLKSFKSMPISNLQEPGRSVIIDSCIRVADNGRESIHSNVFFIFTLYNTSNHNDQLKLGASSPEEAARWIQSFKEAALKGGTYPGNDVACSKSRWQSFRSSGSSNTNHNSSIDWTLCSSTKMDRVTSDVVAPSPWTIFGCQNGLRLFKEAKDRDSHGKWDDHPAIMAVGVIDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVIEHLDGHTDIIHKQLYGDWLPMGMKRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPQKSYIRACLKSGGYVISPLNEEKHSVVKHMLAIDWKFWKSYLKTSAARSITIRMLERVAALRELFKAKQGKYPSADISSGELIRAVRLQQSEEDCVVDMCTQIEAGKSKENLSEGMEKAPSEHSSLVGLNDAADEFFDVPEPTDYDQSTDRWDSDYAPEVYSQDTRQTKLSTAAVFVKKLHDLAGVQKRGYMDLQDMTKEDGICCSYGNTLPKDPTCTLPCSWTAAEPSTFLIRGENYLEDCKKFKAKGTLMQMVAADWLRSDKREDDLGGRPGGIVQKYAAQGGPEFFFIVNIQVPGSTTYSLALYYMMNTPVEDSPLLYNFINGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFRGKNYLELGIDIGSSTVARGVVSLVLGYLNNLVIEMAFLIQANTEEELPEYLLGTCRLNHLDASKSITVKV >KJB56160 pep chromosome:Graimondii2_0_v6:9:7828186:7835045:-1 gene:B456_009G108000 transcript:KJB56160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWLHIIRSNRIGLQYSRKRYFVLEDHLLKSFKSMPISNLQEPGRSVIIDSCIRVADNGRESIHSNVFFIFTLYNTSNHNDQLKLGASSPEEAARWIQSFKEAALKGGTYPGNDVACSKSRWQSFSFLEGLLACRSSGSSNTNHNSSIDWTLCSSTKMDRVTSDVVAPSPWTIFGCQNGLRLFKEAKDRDSHGKWDDHPAIMAVGVIDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVIEHLDGHTDIIHKQLYGDWLPMGMKRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPQKSYIRACLKSGGYVISPLNEEKHSVVKHMLAIDWKFWKSYLKTSAARSITIRMLERVAALRELFKAKQGKYPSADISSGELIRAVRLQQSEEDCVVDMCTQIEAGKSKENLSEGMEKAPSEHSSLVGLNDAADEFFDVPEPTDYDQSTDRWDSDYAPEVYSQDTRQTKLSTAAVFVKKLHDLAVQKRGYMDLQDMTKEDGICCSYGNTLPKDPTCTLPCSWTAAEPSTFLIRGENYLEDCKKFKAKGTLMQMVAADWLRSDKREDDLGGRPGGIVQKYAAQGGPEFFFIVNIQVPGSTTYSLALYYMMNTPVEDSPLLYNFINGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFRGKNYLELGIDIGSSTVARGVVSLVLGYLNNLVIEMAFLIQANTEEELPEYLLGTCRLNHLDASKSITVKV >KJB58563 pep chromosome:Graimondii2_0_v6:9:16718990:16720737:-1 gene:B456_009G215100 transcript:KJB58563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGLPMLNCLLQHTLRSLCSYSDSSSSSSSSKWVYAVFWRILPRNYPPPKWDYGGSALDRSKGNKRNWSTQILVWEDGFCDFYECERAGSGYVKGRFGADIFFKMSHEVYNYGEGLVGKIAADNSHKWVHKEIPNENDPGFISSWNMSIEPQPKAWTSQFNSGIQTIAIISVREGIIQLGSLDKMVEDLNLVISIQRKFSYLQSIPGVFAIQRPYLPIQQHPYALKPNNQMPEHHETTTICLDDKPQFGGTKRLIDERPEDSAIKSINLGWNSPQNGIIGPPFWSIPPLLPTVSCSLGALLSKLPSVIPSYSVSEPPEINIINHTNNTHQRGKADNGGSLGEVPIAETKIEASNQLEAADEEKPRTVKANLVLQDDAVVELGFGPLKG >KJB58561 pep chromosome:Graimondii2_0_v6:9:16718628:16720807:-1 gene:B456_009G215100 transcript:KJB58561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHEVYNYGEGLVGKIAADNSHKWVHKEIPNENDPGFISSWNMSIEPQPKAWTSQFNSGIQTIAIISVREGIIQLGSLDKMVEDLNLVISIQRKFSYLQSIPGVFAIQRPYLPIQQHPYALKPNNQMPEHHETTTICLDDKPQFGGTKRLIDERPEDSAIKSINLGWNSPQNGIIGPPFWSIPPLLPTVSCSLGALLSKLPSVIPSYSVSEPPEINIINHTNNTHQRGKADNGGSLGEVPIAETKIEASNQLEAADEEKPRTVKANLVLQDDAVVELGFGPLKG >KJB58565 pep chromosome:Graimondii2_0_v6:9:16718628:16720887:-1 gene:B456_009G215100 transcript:KJB58565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGLPMLNCLLQHTLRSLCSYSDSSSSSSSSKWVYAVFWRILPRNYPPPKWDYGGSALDRSKGNKRNWILVWEDGFCDFYECERAGSGYVKGRFGADIFFKMSHEVYNYGEGLVGKIAADNSHKWVHKEIPNENDPGFISSWNMSIEPQPKAWTSQFNSGIQTIAIISVREGIIQLGSLDKMVEDLNLVISIQRKFSYLQSIPGVFAIQRPYLPIQQHPYALKPNNQMPEHHETTTICLDDKPQFGGTKRLIDERPEDSAIKSINLGWNSPQNGIIGPPFWSIPPLLPTVSCSLGALLSKLPSVIPSYSVSEPPEINIINHTNNTHQRGKADNGGSLGEVPIAETKIEASNQLEAADEEKPRTVKANLVLQDDAVVELGFGPLKG >KJB58562 pep chromosome:Graimondii2_0_v6:9:16718628:16720807:-1 gene:B456_009G215100 transcript:KJB58562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGLPMLNCLLQHTLRSLCSYSDSSSSSSSSKWVYAVFWRILPRNYPPPKWDYGGSALDRSKGNKRNWILVWEDGFCDFYECERAGSGYVKGRFGADIFFKMSHEVYNYGEGLVGKIAADNSHKWVHKEIPNENDPGFISSWNMSIEPPKAWTSQFNSGIQTIAIISVREGIIQLGSLDKMVEDLNLVISIQRKFSYLQSIPGVFAIQRPYLPIQQHPYALKPNNQMPEHHETTTICLDDKPQFGGTKRLIDERPEDSAIKSINLGWNSPQNGIIGPPFWSIPPLLPTVSCSLGALLSKLPSVIPSYSVSEPPEINIINHTNNTHQRGKADNGGSLGEVPIAETKIEASNQLEAADEEKPRTVKANLVLQDDAVVELGFGPLKG >KJB58564 pep chromosome:Graimondii2_0_v6:9:16718628:16720560:-1 gene:B456_009G215100 transcript:KJB58564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHEVYNYGEGLVGKIAADNSHKWVHKEIPNENDPGFISSWNMSIEPQPKAWTSQFNSGIQTIAIISVREGIIQLGSLDKMVEDLNLVISIQRKFSYLQSIPGVFAIQRPYLPIQQHPYALKPNNQMPEHHETTTICLDDKPQFGGTKRLIDERPEDSAIKSINLGWNSPQNGIIGPPFWSIPPLLPTVSCSLGALLSKLPSVIPSYSVSEPPEINIINHTNNTHQRGKADNGGSLGEVPIAETKIEASNQLEAADEEKPRTVKANLVLQDDAVVELGFGPLKG >KJB60058 pep chromosome:Graimondii2_0_v6:9:38741116:38743894:-1 gene:B456_009G339500 transcript:KJB60058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFSILAKTIWDVLSNKKVIDIIESAPACSSAARTLVQTTVRAWRYKYPTSKVDDYAVVIIRFSVATHEL >KJB55496 pep chromosome:Graimondii2_0_v6:9:5692389:5708321:-1 gene:B456_009G078900 transcript:KJB55496 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MSASRRFLCTLSHSFPHKSTLFPFSRSRYFSTLAMSQKQRRGSGNREQKWQPKTKPNPVTSASASIDEAVTSKLGGLSISDGQVWKPKSYGTVAGSNAASAADVQTEKNNVDLSRIFLKPNLLENFKVDNSTYSLAQIRATFYPKFENEKSDQEIRIRMIEMVSKGLATLEVSLKHSGSLFMYAGNEGGAYAKNSFGNIYTAVGVFVLGRMFHEAWGSKAGEKQAQFNDFIERNCICISMELVTAVLGDHGQRPREDYAVVTAVTELGNGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRKSVTSFFAAYDLLCEEGTATSVCRALDEVADISAPGSKDHITVQGEILEGLVARIVSHESSKHMEEVLKDHPPPSADGAGMDLGPSLREICAANRLDEKQQIKALLLKVGSSFCPDHSDWCDSHSRNADRSVLTKFLQAHPADYSTAKLQEMIRLMKEKRFPAAFKCYHNFHKAESMSSDNLFYKMVIHVHSDSGFRRYQKEMRHKPGLWPLYRGFFVDVNLFKANKERAAGIAKSNNDIVGHVNNGSSRSTIDGLANEDANLMIKLKFLTYKLRTFLIRNGLSILFKDGPAAYRAYYLRQMKIWGTSAGKQRELSKMLDEWAVYIRRKCGNRQLSSAIYLSEAEPFLEQYAKRSPENQALIGSAGNLVKTEDFLAVVEGGMDEEGDLATEREEAPASLSPSVKDTIQKTEGLIVFFPGIPGCAKSALCKELLNTPGRLGDDRPVQSLMGDLIKGRYWPKIADECRKKPYSIILADKNAPNEEVWKQIENMCHSTRASAVPVIPDSEGTDSNPFALDALAVFMFRVLQRDNHPGNLDKSSPNVGYVMLMFYHLYDGKSRKYFEDELVERFGSLVKIPLLKPDRSPLPASLISVLEEGLNLYNLHTERHGRWESNKGSYVQEWAKWEKKLRDTLSANAEYLNSIQVPFEFAVQQVSEQLRKIAKGDYTIPSTEKRKLGTVVFAAVDLPAAEIQGLLNKLSGMNSKAEAFLKDKPMDNFLRKAHVTLAHKKSHGVSAVASYGLYLHRQVPVELNALLFTDKMAALQAQLGSIDDEKIVSKNEWPHVTIWTGEGVPPKEANTLPQLLSEGKATVVEINPPLTVSGTVEFY >KJB55494 pep chromosome:Graimondii2_0_v6:9:5692437:5708280:-1 gene:B456_009G078900 transcript:KJB55494 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MSASRRFLCTLSHSFPHKSTLFPFSRSRYFSTLAMSQKQRRGSGNREQKWQPKTKPNPVTSASASIDEAVTSKLGGLSISDGQVWKPKSYGTVAGSNAASAADVQTEKNNVDLSRIFLKPNLLENFKVDNSTYSLAQIRATFYPKFENEKSDQEVSLKHSGSLFMYAGNEGGAYAKNSFGNIYTAVGVFVLGRMFHEAWGSKAGEKQAQFNDFIERNCICISMELVTAVLGDHGQRPREDYAVVTAVTELGNGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRKSVTSFFAAYDLLCEEGTATSVCRALDEVADISAPGSKDHITVQGEILEGLVARIVSHESSKHMEEVLKDHPPPSADGAGMDLGPSLREICAANRLDEKQQIKALLLKVGSSFCPDHSDWCDSHSRNADRSVLTKFLQAHPADYSTAKLQEMIRLMKEKRFPAAFKCYHNFHKAESMSSDNLFYKMVIHVHSDSGFRRYQKEMRHKPGLWPLYRGFFVDVNLFKANKERAAGIAKSNNDIVGHVNNGSSRSTIDGLANEDANLMIKLKFLTYKLRTFLIRNGLSILFKDGPAAYRAYYLRQMKIWGTSAGKQRELSKMLDEWAVYIRRKCGNRQLSSAIYLSEAEPFLEQYAKRSPENQALIGSAGNLVKTEDFLAVVEGGMDEEGDLATEREEAPASLSPSVKDTIQKTEGLIVFFPGIPGCAKSALCKELLNTPGRLGDDRPVQSLMGDLIKGRYWPKIADECRKKPYSIILADKNAPNEEVWKQIENMCHSTRASAVPVIPDSEGTDSNPFALDALAVFMFRVLQRDNHPGNLDKSSPNVGYVMLMFYHLYDGKSRKYFEDELVERFGSLVKIPLLKPDRSPLPASLISVLEEGLNLYNLHTERHGRWESNKGSYVQEWAKWEKKLRDTLSANAEYLNSIQVPFEFAVQQVSEQLRKIAKGDYTIPSTEKRKLGTVVFAAVDLPAAEIQGLLNKLSGMNSKAEAFLKDKPMDNFLRKAHVTLAHKKSHGVSAVASYGLYLHRQVPVELNALLFTDKMAALQAQLGSIDDEKIVSKNEWPHVTIWTGEGVPPKEANTLPQLLSEGKATVVEINPPLTVSGTVEFY >KJB55495 pep chromosome:Graimondii2_0_v6:9:5692389:5708307:-1 gene:B456_009G078900 transcript:KJB55495 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MSASRRFLCTLSHSFPHKSTLFPFSRSRYFSTLAMSQKQTKPNPVTSASASIDEAVTSKLGGLSISDGQVWKPKSYGTVAGSNAASAADVQTEKNNVDLSRIFLKPNLLENFKVDNSTYSLAQIRATFYPKFENEKSDQEIRIRMIEMVSKGLATLEVSLKHSGSLFMYAGNEGGAYAKNSFGNIYTAVGVFVLGRMFHEAWGSKAGEKQAQFNDFIERNCICISMELVTAVLGDHGQRPREDYAVVTAVTELGNGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRKSVTSFFAAYDLLCEEGTATSVCRALDEVADISAPGSKDHITVQGEILEGLVARIVSHESSKHMEEVLKDHPPPSADGAGMDLGPSLREICAANRLDEKQQIKALLLKVGSSFCPDHSDWCDSHSRNADRSVLTKFLQAHPADYSTAKLQEMIRLMKEKRFPAAFKCYHNFHKAESMSSDNLFYKMVIHVHSDSGFRRYQKEMRHKPGLWPLYRGFFVDVNLFKANKERAAGIAKSNNDIVGHVNNGSSRSTIDGLANEDANLMIKLKFLTYKLRTFLIRNGLSILFKDGPAAYRAYYLRQMKIWGTSAGKQRELSKMLDEWAVYIRRKCGNRQLSSAIYLSEAEPFLEQYAKRSPENQALIGSAGNLVKTEDFLAVVEGGMDEEGDLATEREEAPASLSPSVKDTIQKTEGLIVFFPGIPGCAKSALCKELLNTPGRLGDDRPVQSLMGDLIKGRYWPKIADECRKKPYSIILADKNAPNEEVWKQIENMCHSTRASAVPVIPDSEGTDSNPFALDALAVFMFRVLQRDNHPGNLDKSSPNVGYVMLMFYHLYDGKSRKYFEDELVERFGSLVKIPLLKPDRSPLPASLISVLEEGLNLYNLHTERHGRWESNKGSYVQEWAKWEKKLRDTLSANAEYLNSIQVPFEFAVQQVSEQLRKIAKGDYTIPSTEKRKLGTVVFAAVDLPAAEIQGLLNKLSGMNSKAEAFLKDKPMDNFLRKAHVTLAHKKSHGVSAVASYGLYLHRQVPVELNALLFTDKMAALQAQLGSIDDEKIVSKNEWPHVTIWTGEGVPPKEANTLPQLLSEGKATVVEINPPLTVSGTVEFY >KJB55498 pep chromosome:Graimondii2_0_v6:9:5692892:5707460:-1 gene:B456_009G078900 transcript:KJB55498 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MIEMVSKGLATLEVSLKHSGSLFMYAGNEGGAYAKNSFGNIYTAVGVFVLGRMFHEAWGSKAGEKQAQFNDFIERNCICISMELVTAVLGDHGQRPREDYAVVTAVTELGNGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRKSVTSFFAAYDLLCEEGTATSVCRALDEVADISAPGSKDHITVQGEILEGLVARIVSHESSKHMEEVLKDHPPPSADGAGMDLGPSLREICAANRLDEKQQIKALLLKVGSSFCPDHSDWCDSHSRNADRSVLTKFLQAHPADYSTAKLQEMIRLMKEKRFPAAFKCYHNFHKAESMSSDNLFYKMVIHVHSDSGFRRYQKEMRHKPGLWPLYRGFFVDVNLFKANKERAAGIAKSNNDIVGHVNNGSSRSTIDGLANEDANLMIKLKFLTYKLRTFLIRNGLSILFKDGPAAYRAYYLRQMKIWGTSAGKQRELSKMLDEWAVYIRRKCGNRQLSSAIYLSEAEPFLEQYAKRSPENQALIGSAGNLVKTEDFLAVVEGGMDEEGDLATEREEAPASLSPSVKDTIQKTEGLIVFFPGIPGCAKSALCKELLNTPGRLGDDRPVQSLMGDLIKGRYWPKIADECRKKPYSIILADKNAPNEEVWKQIENMCHSTRASAVPVIPDSEGTDSNPFALDALAVFMFRVLQRDNHPGNLDKSSPNVGYVMLMFYHLYDGKSRKYFEDELVERFGSLVKIPLLKPDRSPLPASLISVLEEGLNLYNLHTERHGRWESNKGSYVQEWAKWEKKLRDTLSANAEYLNSIQVPFEFAVQQVSEQLRKIAKGDYTIPSTEKRKLGTVVFAAVDLPAAEIQGLLNKLSGMNSKAEAFLKDKPMDNFLRKAHVTLAHKKSHGVSAVASYGLYLHRQVPVELNALLFTDKMAALQAQLGSIDDEKIVSKNEWPHVTIWTGEGVPPKEANTLPQLLSEGKATVVEINPPLTVSGTVEFY >KJB55493 pep chromosome:Graimondii2_0_v6:9:5692389:5708233:-1 gene:B456_009G078900 transcript:KJB55493 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MFHEAWGSKAGEKQAQFNDFIERNCICISMELVTAVLGDHGQRPREDYAVVTAVTELGNGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRKSVTSFFAAYDLLCEEGTATSVCRALDEVADISAPGSKDHITVQGEILEGLVARIVSHESSKHMEEVLKDHPPPSADGAGMDLGPSLREICAANRLDEKQQIKALLLKVGSSFCPDHSDWCDSHSRNADRSVLTKFLQAHPADYSTAKLQEMIRLMKEKRFPAAFKCYHNFHKAESMSSDNLFYKMVIHVHSDSGFRRYQKEMRHKPGLWPLYRGFFVDVNLFKANKERAAGIAKSNNDIVGHVNNGSSRSTIDGLANEDANLMIKLKFLTYKLRTFLIRNGLSILFKDGPAAYRAYYLRQMKIWGTSAGKQRELSKMLDEWAVYIRRKCGNRQLSSAIYLSEAEPFLEQYAKRSPENQALIGSAGNLVKTEDFLAVVEGGMDEEGDLATEREEAPASLSPSVKDTIQKTEGLIVFFPGIPGCAKSALCKELLNTPGRLGDDRPVQSLMGDLIKGRYWPKIADECRKKPYSIILADKNAPNEEVWKQIENMCHSTRASAVPVIPDSEGTDSNPFALDALAVFMFRVLQRDNHPGNLDKSSPNVGYVMLMFYHLYDGKSRKYFEDELVERFGSLVKIPLLKPDRSPLPASLISVLEEGLNLYNLHTERHGRWESNKGSYVQEWAKWEKKLRDTLSANAEYLNSIQVPFEFAVQQVSEQLRKIAKGDYTIPSTEKRKLGTVVFAAVDLPAAEIQGLLNKLSGMNSKAEAFLKDKPMDNFLRKAHVTLAHKKSHGVSAVASYGLYLHRQVPVELNALLFTDKMAALQAQLGSIDDEKIVSKNEWPHVTIWTGEGVPPKEANTLPQLLSEGKATVVEINPPLTVSGTVEFY >KJB55497 pep chromosome:Graimondii2_0_v6:9:5692744:5708206:-1 gene:B456_009G078900 transcript:KJB55497 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MIEMVSKGLATLEVSLKHSGSLFMYAGNEGGAYAKNSFGNIYTAVGVFVLGRMFHEAWGSKAGEKQAQFNDFIERNCICISMELVTAVLGDHGQRPREDYAVVTAVTELGNGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRKSVTSFFAAYDLLCEEGTATSVCRALDEVADISAPGSKDHITVQGEILEGLVARIVSHESSKHMEEVLKDHPPPSADGAGMDLGPSLREICAANRLDEKQQIKALLLKVGSSFCPDHSDWCDSHSRNADRSVLTKFLQAHPADYSTAKLQEMIRLMKEKRFPAAFKCYHNFHKAESMSSDNLFYKMVIHVHSDSGFRRYQKEMRHKPGLWPLYRGFFVDVNLFKANKERAAGIAKSNNDIVGHVNNGSSRSTIDGLANEDANLMIKLKFLTYKLRTFLIRNGLSILFKDGPAAYRAYYLRQMKIWGTSAGKQRELSKMLDEWAVYIRRKCGNRQLSSAIYLSEAEPFLEQYAKRSPENQALIGSAGNLVKTEDFLAVVEGGMDEEGDLATEREEAPASLSPSVKDTIQKTEGLIVFFPGIPGCAKSALCKELLNTPGRLGDDRPVQSLMGDLIKGRYWPKIADECRKKPYSIILADKNAPNEEVWKQIENMCHSTRASAVPVIPDSEGTDSNPFALDALAVFMFRVLQRDNHPGNLDKSSPNVGYVMLMFYHLYDGKSRKYFEDELVERFGSLVKIPLLKPDRSPLPASLISVLEEGLNLYNLHTERHGRWESNKGSYVQEWAKWEKKLRDTLSANAEYLNSIQVPFEFAVQQVSEQLRKIAKGDYTIPSTEKRKLGTVVFAAVDLPAAEIQGLLNKLSGMNSKAEAFLKDKPMDNFLRKAHVTLAHKKSHGVSAVASYGLYLHRQVPVELNALLFTDKMAALQAQLGSIDDEKIVSKNEWPHVTIWTGEGVPPKEANTLPQLLSEGKATVVEINPPLTVSGTVEFY >KJB56080 pep chromosome:Graimondii2_0_v6:9:7686240:7690031:-1 gene:B456_009G105900 transcript:KJB56080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKQRSSAVEPTKETADPQPIKEEEQQQHQEYIPEDPKPKVEEEQQLQEVEEEVEEEVEEEEEVELEEEEEEEENEEDDDDEEAENQTLVGSSNAAVQNGAPKGTSQDEELDDEPFEKLLEPFGKDQLITLIKKAVEKHPEFISSVRELADVDPAQRKIFVHGLSWDTTAETLTAEFSKYGEIEECKAVTDRVSGKSKGYAFILFKHRSGARRALKQPQKKIGNRTTSCQLASQGPVPAPPPTAPPVSEYTQRKIFVSNVSAEMDPEKLLEFFKQFGEIEEGPLGLDKHTGKPKGFALFVYRSIESARKALEEPHKNFEGHVLHCQKAIDGPKQTKGGFGGGASTGHHQQYQQHHQQGQHQNQPRYHHSKKGKYSSSGSETGHLMAPSGPAAVGVGFNPGVAAAGFNPGVAAAAPALNPVLGQALTALLASQGAGLGLGNLLGGLSGAPVNQGAPAAGYGSQVAGGYGNQMGVQGGYQNPQMGQGGAGRTQPGGGAPYMG >KJB56084 pep chromosome:Graimondii2_0_v6:9:7686400:7689938:-1 gene:B456_009G105900 transcript:KJB56084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKQRSSAVEPTKETADPQPIKEEEQQQHQEYIPEDPKPKVEEEQQLQEVEEEVEEEVEEEEEVELEEEEEEEENEEDDDDEEAENQTLVGSSNAAVQNGAPKGTSQDEELDDEPFEKLLEPFGKDQLITLIKKAVEKHPEFISSVRELADVDPAQRKIFVHGLSWDTTAETLTAEFSKYGEIEECKAVTDRVSGKSKGYAFILFKHRSGARRALKQPQKKIGNRTTSCQLASQGPVPAPPPTAPPVSEYTQRKIFVSNVSAEMDPEKLLEFFKQFGEIEEGPLGLDKHTGKPKGFALFVYRSIESARKALEEPHKNFEGHVLHCQKAIDGPKQTKGGFGGGASTGHHQQYQQHHQQGQHQNQPRYHHSKKGKYSSSGSETGHLMAPSGPAAVGVGFNPGVAAAGFNPGVAAAAPALNPVLGQALTALLASQGAGLGLGNLLGGLSGAPVNQGAPAAGYGSQVAGGYGNQMGVQGGYQNPQMGQGGAGRTQPGGGAPYMG >KJB56079 pep chromosome:Graimondii2_0_v6:9:7686400:7689938:-1 gene:B456_009G105900 transcript:KJB56079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKQRSSAVEPTKETADPQPIKEEEQQQHQEYIPEDPKPKVEEEQQLQEVEEEVEEEVEEEEEVELEEEEEEEENEEDDDDEEAENQTLVGSSNAAVQNGAPKGTSQDEELDDEPFEKLLEPFGKDQLITLIKKAVEKHPEFISSVRELADVDPAQRKIFVHGLSWDTTAETLTAEFSKYGEIEECKAVTDRVSGKSKGYAFILFKHRSGARRALKQPQKKIGNRTTSCQLASQGPVPAPPPTAPPVSEYTQRKIFVSNVSAEMDPEKLLEFFKQFGEIEEGPLGLDKHTGKPKGFALFVYRSIESARKALEEPHKNFEGHVLHCQKAIDGPKQTKGGFGGGASTGHHQQYQQHHQQGQHQNQPRYHHSKKGKYSSSGSETGHLMAPSGPAAVGVGFNPGVAAAGFNPGVAAAAPALNPVLGQALTALLASQGAGLGLGNLLGGLSGAPVNQGAPAAGYGSQVAGGYGNQMGVQGGYQNPQMGQGGAGRTQPGGGAPYMG >KJB56082 pep chromosome:Graimondii2_0_v6:9:7688327:7689838:-1 gene:B456_009G105900 transcript:KJB56082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKQRSSAVEPTKETADPQPIKEEEQQQHQEYIPEDPKPKVEEEQQLQEVEEEVEEEVEEEEEVELEEEEEEEENEEDDDDEEAENQTLVGSSNAAVQNGAPKGTSQDEELDDEPFEKLLEPFGKDQLITLIKKAVEKHPEFISSVRELADVDPAQRKIFVHGLSWDTTAETLTAEFSKYGEIEECKAVTDRVSGKSKGYAFILFKHRSGARRALKQPQKKIGNRTTSCQLASQGPVPAPPPTAPPVSEYTQRKIFVSNVSAEMDPEKLLEFFKQFGEIEEGPLGLDKHTGKPKGFALFVYRSIESARKALEEPHKNFEGHVLHCQKAIDGPKQTKGGFGGGASTGHHQQYQQHHQQGQHQNQPRYHHSKKGKYSSSGSETGHLMAPSGPAAVGVGFNPGVAAAGFNPGVAAAAPALNPVLGQALTALLASQGAGLGLGNLLGGLSGAPVNQGAPAAGYGSQVAGGYGNQMGVQGGYQNPQMGQGGAGRTQPGGGAPYMG >KJB56081 pep chromosome:Graimondii2_0_v6:9:7688327:7689838:-1 gene:B456_009G105900 transcript:KJB56081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKQRSSAVEPTKETADPQPIKEEEQQQHQEYIPEDPKPKVEEEQQLQEVEEEVEEEVEEEEEVELEEEEEEEENEEDDDDEEAENQTLVGSSNAAVQNGAPKGTSQDEELDDEPFEKLLEPFGKDQLITLIKKAVEKHPEFISSVRELADVDPAQRKIFVHGLSWDTTAETLTAEFSKYGEIEECKAVTDRVSGKSKGYAFILFKHRSGARRALKQPQKKIGNRTTSCQLASQGPVPAPPPTAPPVSEYTQRKIFVSNVSAEMDPEKLLEFFKQFGEIEEGPLGLDKHTGKPKGFALFVYRSIESARKALEEPHKNFEGHVLHCQKAIDGPKQTKGGFGGGASTGHHQQYQQHHQQGQHQNQPRYHHSKKGKYSSSGSETGHLMAPSGPAAVGVGFNPGVAAAGFNPGVAAAAPALNPVLGQALTALLASQGAGLGLGNLLGGLSGAPVNQGAPAAGYGSQVAGGYGNQMGVQGGYQNPQMGQGGAGRTQPGGGAPYMG >KJB56083 pep chromosome:Graimondii2_0_v6:9:7688327:7689838:-1 gene:B456_009G105900 transcript:KJB56083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKQRSSAVEPTKETADPQPIKEEEQQQHQEYIPEDPKPKVEEEQQLQEVEEEVEEEVEEEEEVELEEEEEEEENEEDDDDEEAENQTLVGSSNAAVQNGAPKGTSQDEELDDEPFEKLLEPFGKDQLITLIKKAVEKHPEFISSVRELADVDPAQRKIFVHGLSWDTTAETLTAEFSKYGEIEECKAVTDRVSGKSKGYAFILFKHRSGARRALKQPQKKIGNRTTSCQLASQGPVPAPPPTAPPVSEYTQRKIFVSNVSAEMDPEKLLEFFKQFGEIEEGPLGLDKHTGKPKGFALFVYRSIESARKALEEPHKNFEGHVLHCQKAIDGPKQTKGGFGGGASTGHHQQYQQHHQQGQHQNQPRYHHSKKGKYSSSGSETGHLMAPSGPAAVGVGFNPGVAAAGFNPGVAAAAPALNPVLGQALTALLASQGAGLGLGNLLGGLSGAPVNQGAPAAGYGSQVAGGYGNQMGVQGGYQNPQMGQGGAGRTQPGGGAPYMG >KJB53534 pep chromosome:Graimondii2_0_v6:9:13815383:13815592:-1 gene:B456_009G179000 transcript:KJB53534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCHSASAASTSRGDDHEEEEEVRFEEDEETSSMKKRCLSMAKEQRSRFYILRRCVIMLLCWQKYDKH >KJB58402 pep chromosome:Graimondii2_0_v6:9:16183681:16185695:-1 gene:B456_009G208700 transcript:KJB58402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OSB1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G47720) UniProtKB/Swiss-Prot;Acc:Q9SX99] MIVRRIGALILRRISQLPLPNRNSFHSSVASNRRFSNHFVDDEKEGSAIYRLALKFQRPTTVEVEPPSRNRISLIGTVGEPLNVMNTRSDYFGVQTRLNVKNPYDSDCRFKIRLQMWSEMGKICMEHVKPGDFIYVSGVLGSFSVATLDKQLIIYYKVTVTELSFVTHHGERSTTRECKELESEQDVGEAGMGSYDSQLYLWQVFFTNPFEWWDNRKSKKNPRQPDFKHKDTGEALWLNPNDPPWIKKQLQLLDSKLAEGLGDRESRRYRISEWEYDE >KJB56319 pep chromosome:Graimondii2_0_v6:9:8488837:8490939:1 gene:B456_009G115800 transcript:KJB56319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVKWLEDKSFLAKNGKSKQLEDSFSFLQGMNFPIYCFGIQSLEEDTSFEGISCIIEEEQSILDAVLLSQWEDRMLKDCFKYDVTTSEIKVVTGKMKFLCQLNEGQIKDHLSKSEGNTLLELDPFVFDCVKHQEELLFCLANSKKAKSELIPSASVPDSAVLVIINVTPIEYGHVYLVPCASNRLYRFLDARSTEIIARLAAEINNQSFRIFYNCYIPNCSGVYFEV >KJB56310 pep chromosome:Graimondii2_0_v6:9:8487954:8493768:1 gene:B456_009G115800 transcript:KJB56310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVKWLEDKSFLAKNGKSKQLEDSFSFLQGMNFPIYCFGIQSLEEDTSFEGISCIIEEEQSILDAVLLSQWEDRMLKDCFKYDVTTSEIKVVTGKMKFLCQLNEGQIKDHLSKSEGNTLLELDPFVFDCVKHQEELLFCLANSKKAKSELIPSASVPDSAVLVIINVTPIEYGHVYLVPCASNRLYRFLDARSTEIIARLAAEINNQSFRIFYNCYIPNCSGVYFEACYFPHPLPVEFRPAGTLFGASGRRGIHICSIIDYPIKTLSFQTTQNLKILAAAISEICFQLEEKNIQYNLMISGSGKKIFLFLQKTCAASHAISAWECGGYLLFRNRYQFDQVTEDAMVNRLSSFSLDDNNFEAVKQLCCSIASKFDV >KJB56313 pep chromosome:Graimondii2_0_v6:9:8488159:8493164:1 gene:B456_009G115800 transcript:KJB56313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVKWLEDKSFLAKNGKSKQLEDSFSFLQGMNFPIYCFGIQSLEEDTSFEGISCIIEEEQSILDAVLLSQWEDRMLKDCFKYDVTTSEIKVVTGKMKFLCQLNEGQIKDHLSKSEGNTLLELDPFVFDCVKHQEELLFCLANSKKAKSELIPSASVPDSAVLVIINVTPIEYGHVYLVPCASNRLYRFLDARSTEIIARLAAEINNQSFRIFYNCYIPNCSGVYFEACYFPHPLPVEFRPAGTLFGASGRRGIHICSIIDYPIKTLSFQTTQNLKILAAAISEICFQLEEKNIQYNLMISGSGKKIFLFLQKTCAASHAISAWECGGYLLFRNRYQFDQVTEDAMVNRLSSFSLDDNNFEAVKQLCCSIASKFDV >KJB56318 pep chromosome:Graimondii2_0_v6:9:8488159:8493164:1 gene:B456_009G115800 transcript:KJB56318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVKWLEDKSFLAKNGKSKQLEDSFSFLQGMNFPIYCFGIQSLEEDTSFEGISCIIEEEQSILDAVLLSQWEDRMLKDCFKYDVTTSEIKVVTGKMKFLCQLNEGQIKDHLSKSEGNTLLELDPFVFDCVKHQEELLFCLANSKKAKSELIPSASVPDSAVLVIINVTPIEYGHVYLVPCASNRLYRFLDARSTEIIARLAAEINNQSFRIFYNCYIPNCSGVYFEKTCAASHAISAWECGGYLLFRNRYQFDQVTEDAMVNRLSSFSLDDNNFEAVKQLCCSIASKFDV >KJB56314 pep chromosome:Graimondii2_0_v6:9:8488159:8492190:1 gene:B456_009G115800 transcript:KJB56314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVKWLEDKSFLAKNGKSKQLEDSFSFLQGMNFPIYCFGIQSLEEDTSFEGISCIIEEEQSILDAVLLSQWEDRMLKDCFKYDVTTSEIKVVTGKMKFLCQLNEGQIKDHLSKSEGNTLLELDPFVFDCVKHQEELLFCLANSKKAKSELIPSASVPDSAVLVIINVTPIEYGHVYLVPCASNRLYRFLDARSTEIIARLAAEINNQSFRIFYNCYIPNCSGVYFEV >KJB56317 pep chromosome:Graimondii2_0_v6:9:8487780:8493133:1 gene:B456_009G115800 transcript:KJB56317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVKWLEDKSFLAKNGKSKQLEDSFSFLQGMNFPIYCFGIQSLEEDTSFEGISCIIEEEQSILDAVLLSQWEDRMLKDCFKYDVTTSEIKVVTGKMKFLCQLNEGQIKDHLSKSEGNTLLELDPFVFDCVKHQEELLFCLANSKKAKSELIPSASVPDSAVLVIINVTPIEYGHVYLVPCASNRLYRFLDARSTEIIARLAAEINNQSFRIFYNCYIPNCSGVYFEACYFPHPLPVEFRPAGTLFGASGRRGIHICSIIDYPIKTLSFQTTQNLKILAAAISEICFQLEEKNIQYNLMISGSGKKIFLFLQKTCAASHAISAWECGGYLLFRNRYQFDQVTEDAMVNRLSSFSLDDNNFEAVKQLCCSIASKFDV >KJB56312 pep chromosome:Graimondii2_0_v6:9:8487842:8493164:1 gene:B456_009G115800 transcript:KJB56312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVKWLEDKSFLAKNGKSKQLEDSFSFLQGMNFPIYCFGIQSLEEDTSFEGISCIIEEEQSILDAVLLSQWEDRMLKDCFKYDVTTSEIKVVTGKMKFLCQLNEGQIKDHLSKSEGNTLLELDPFVFDCVKHQEELLFCLANSKKAKSELIPSASVPDSAVLVIINVTPIEYGHVYLVPCASNRLYRFLDARSTEIIARLAAEINNQSFRIFYNCYIPNCSGVYFEACYFPHPLPVEFRPAGTLFGASGRRGIHICSIIDYPIKTLSFQTTQNLKILAAAISEICFQLEEKNIQYNLMISGSGKKIFLFLQKTCAASHAISAWECGGYLLFRNRYQFDQVTEDAMVNRLSSFSLDDNNFEAVKQLCCSIASKFDV >KJB56311 pep chromosome:Graimondii2_0_v6:9:8487743:8493133:1 gene:B456_009G115800 transcript:KJB56311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVKWLEDKSFLAKNGKSKQLEDSFSFLQGMNFPIYCFGIQSLEEDTSFEGISCIIEEEQSILDAVLLSQWEDRMLKDCFKYDVTTSEIKVVTGKMKFLCQLNEGQIKDHLSKSEGNTLLELDPFVFDCVKHQEELLFCLANSKKAKSELIPSASVPDSAVLVIINVTPIEYGHVYLVPCASNRLYRFLDARSTEIIARLAAEINNQSFRIFYNCYIPNCSGVYFEACYFPHPLPVEFRPAGTLFGASGRRGIHICSIIDYPIKTLSFQTTQNLKILAAAISEICFQLEEKNIQYNLMISGSGKKIFLFLQKTCAASHAISAWECGGYLLFRNRYQFDQVTEDAMVNRLSSFSLDDNNFEAVKQLCCSIASKFDV >KJB56316 pep chromosome:Graimondii2_0_v6:9:8488159:8493164:1 gene:B456_009G115800 transcript:KJB56316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVKWLEDKSFLAKNGKSKQLEDSFSFLQGMNFPIYCFGIQSLEEDTSFEGISCIIEEEQSILDAVLLSQWEDRMLKDCFKYDVTTSEIKVVTGKMKFLCQLNEGQIKDHLSKSEGNTLLELDPFVFDCVKHQEELLFCLANSKKAKSELIPSASVTPIEYGHVYLVPCASNRLYRFLDARSTEIIARLAAEINNQSFRIFYNCYIPNCSGVYFEACYFPHPLPVEFRPAGTLFGASGRRGIHICSIIDYPIKTLSFQTTQNLKILAAAISEICFQLEEKNIQYNLMISGSGKKIFLFLQKTCAASHAISAWECGGYLLFRNRYQFDQVTEDAMVNRLSSFSLDDNNFEAVKQLCCSIASKFDV >KJB56315 pep chromosome:Graimondii2_0_v6:9:8488159:8493164:1 gene:B456_009G115800 transcript:KJB56315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVKWLEDKSFLAKNGKSKQLEDSFSFLQGMNFPIYCFGIQSLEEDTSFEGISCIIEEEQSILDAVLLSQWEDRMLKDCFKYDVTTSEIKVVTGKMKFLCQLNEGQIKDHLSKSEGNTLLELDPFVFDCVKHQEELLFCLANSKKAKSELIPSASVPDSAVLVIINVTPIEYGHVYLVPCASNRLYRFLDARSTEIIARLAAEINNQSFRIFYNCYIPNCSGVYFEACYFPHPLPVEFRPAGTLFGASGRRGIHICSIIDYPIKTLSFQTTQNLKILAAAISEICFQLEEKNIQYNLMISGSGKKIFLFLQKTCAASHAISAWECGGYLLFRNRYQFDQVTEDAMVNRLSSFSLDDNNFEAVKQLCCSIASKFDV >KJB57230 pep chromosome:Graimondii2_0_v6:9:11826131:11829405:1 gene:B456_009G154500 transcript:KJB57230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFQGVGAAAALSSSLSNSFDSKKFVSSPCRPFSVRKGASFAVVRSDGRVNLNPKGRRAQQLISNAVATKSDSAAASSSSKPGHELLLFEALQEGLEEEMERDIRVCVMGEDVGHYGGSYKVTKGLAKKFGDLRVLDTPIAENSFTGMGIGAAMTGLRPVVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEDYICNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLIAAITENFNDYLDAPIMCLSSQDVPTPYAGTLEEVTVVQPAQIVTAVEQLCQ >KJB57232 pep chromosome:Graimondii2_0_v6:9:11826203:11829340:1 gene:B456_009G154500 transcript:KJB57232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDIRVCVMGEDVGHYGGSYKVTKGLAKKFGDLRVLDTPIAENSFTGMGIGAAMTGLRPVVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEDYICNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLIAAITENFNDYLDAPIMCLSSQDVPTPYAGTLEEVTVVQPAQIVTAVEQLCQ >KJB57231 pep chromosome:Graimondii2_0_v6:9:11826171:11829358:1 gene:B456_009G154500 transcript:KJB57231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFQGVGAAAALSSSLSNSFDSKKFVSSPCRPFSVRKGASFAVVRSDGRVNLNPKGRRAQQLISNAVATKSDSAAASSSSKPGHELLLFEALQEGLEEEMERDIRVCVMGEDVGHYGGSYKVTKGLAKKFGDLRVLDTPIAENSFTGMGIGAAMTGLRPVVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEDYICNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLIAAITENFNDYLDAPIMCLSSQDVPTPYAGTLEEVTVVQPAQIVTAVEQLCQ >KJB57234 pep chromosome:Graimondii2_0_v6:9:11827927:11829340:1 gene:B456_009G154500 transcript:KJB57234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPFQYLLSGIYLTRYILYSRHELLLFEALQEGLEEEMERDIRVCVMGEDVGHYGGSYKVTKGLAKKFGDLRVLDTPIAENSFTGMGIGAAMTGLRPVVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEDYICNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLIAAITENFNDYLDAPIMCLSSQDVPTPYAGTLEEVTVVQPAQIVTAVEQLCQ >KJB57233 pep chromosome:Graimondii2_0_v6:9:11826203:11829340:1 gene:B456_009G154500 transcript:KJB57233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFQGVGAAAALSSSLSNSFDSKKFVSSPLRKGASFAVVRSDGRVNLNPKGRRAQQLISNAVATKSDSAAASSSSKPGHELLLFEALQEGLEEEMERDIRVCVMGEDVGHYGGSYKVTKGLAKKFGDLRVLDTPIAENSFTGMGIGAAMTGLRPVVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEDYICNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLIAAITENFNDYLDAPIMCLSSQDVPTPYAGTLEEVTVVQPAQIVTAVEQLCQ >KJB61870 pep chromosome:Graimondii2_0_v6:9:52543267:52546290:1 gene:B456_009G387500 transcript:KJB61870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVVGIANCLGTPVCKYLQYYRKLNDYVRNFKRIRDELNCKMEDIELQLKAELLRPLGKIPKKGVGNWLKDAKEMIREAQVVENKVSNGRYLCRACNGKLVDEKSREMQEYLDKASKASEGLAMDGPSAGLPLETSELVGEEAVRKEIWACLMQEEVSKIGVWGMGGVGKSTIMKHIHNDLLKEQRFERVVWVTISKEFNIVKLQNDIASALNGKMPEEANKVRRAAILSEMLKRAGKHVLILDDVWSEVSLEEIGIPKPSSSNGYKLVLTTRVEQVCKSIGCKVIKVKPLSEEEALILFLSEVGPNIVQNQTLMPTLKLVVKECAGLPLTIVVIAGTLRGEDDPLIWKNTLRELKEPIGKVKEGEDKVIESLKVSFNHLKDEKMKHCFLHCALYLEDFQIWKDGLIECWIEEGFIDDMRTRQEMKDKGHVILKKLEDNCLLENVSCEKVKMHDAVRDMALSITRMNPRYMIQAGSQLEELPEKEQWSPNIEKVSLMYNSISEISIDVLPTKCQLLTTLLLQHNPIKKIPCSFFINMPCLCVLNLSYTEIKSLPNSISELKNLTTLLLCDCEELRDLPCLSMLQELKKLDLSWTKIEEVPEGMDMLIKLRYLDLRVPTLKEIPAGLLPKLVHLQRLSFDVDNEKTSLKAEEMEPLKKLECFTGRFEDINEFNKFISSMQQSKKNLIKYHLQVGSSFMLATRDKTVTVGGVKNWEGELIMHPIEIQQLNILSCDYLRNIVDDNSSFKNEIDLRVCRIWWCEGIECVVSLSSFASSSAHPFQSLEVLDLGDLPKLSALIMKDAGIGLATTSTSAPSATFSHLKEIRIYKCLSMKTLLPHWLLPNLQNLEEIHVGACSQLVEILGAATSEVEEKGSDALIKFHLPKLRELELWVLPNLKSICSKSGVMVCDSLELIVVVECDKLKRIPPFIPLVGNGQPFACAPPSLTIRSSTEWWESLEWDDHPNFKNVLRFNPLWRIKGMNHLWFCLFFYFDKSNFSILIKFGV >KJB58383 pep chromosome:Graimondii2_0_v6:9:16102872:16103185:1 gene:B456_009G207600 transcript:KJB58383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALVLLCSPTLLKSSEIKPEITITIPKRCNIANRPIRYKCGAYTLP >KJB57351 pep chromosome:Graimondii2_0_v6:9:12255901:12259623:1 gene:B456_009G160100 transcript:KJB57351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFRFAGDMTHLISILVLLLKIYATKSCSGISLKTQELYALVFLTRYLDLFTDFISVYNTIMKLVFIASSLAIVWCMRMHRVVRRSYDKDLDTFRHYFLILASLILALLLHEKFTFQEIFWAFSIYLEALAILPQLVLLQRSGNVDNLTGQYVFFLGAYRAFYILNWIYRYFTEQRFSRWIGERLRAVPLVVFAYL >KJB57352 pep chromosome:Graimondii2_0_v6:9:12255901:12260221:1 gene:B456_009G160100 transcript:KJB57352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFRFAGDMTHLISILVLLLKIYATKSCSGISLKTQELYALVFLTRYLDLFTDFISVYNTIMKLVFIASSLAIVWCMRMHRVVRRSYDKDLDTFRHYFLILASLILALLLHEKFTFQEIFWAFSIYLEALAILPQLVLLQRSGNVDNLTGQYVFFLGAYRAFYILNWIYRYFTEQRFSRWIACVSGIVQTALYADFFYYYFISWKNNAKLQLPA >KJB56235 pep chromosome:Graimondii2_0_v6:9:8159673:8164430:1 gene:B456_009G111800 transcript:KJB56235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSGQLTKSVKRLESRKSHSWWWDSHISPKNSRWLAENLEEMDRSVKHMLKLIEEDGESFMKKAEMYYQKRPELVSHVEEFYRVYRSLAERYDHLTGELRKNIPSDLQSQGSDVSDIASDLPPIWPSSDQRLSRRKSGPRAAGFSVFLGSGGSGSDMYQKEEDESSTRTDYESESDDSSVNNYSVLSGNGSDQGVSRKMVELELELHEMKQKLRMLEEENTDGSVRGAKSNNSELLARIREYEEKLEFSNRRIQLSEEKITWLRTELQKYKPLEAADLESSEEESVKMHKTEQIEVNQALDLQNKIGIMEKENEHADGKMQALVEELSITKEMLQASEKEIASLKFEKKQSSEKIQKLQGQLDTAQREIITWKSKLNTERREVSKLQERIAMLKNSLSDRDHEIRDLKIAVSDAEQKIFPEKAHIKAEISKLLEGRIFLEQQLRDWEARGRSLEEEIRKAVNEKRESEERLHSEIEHLRLEIAERSDCIKVLNENLETLKSERDEHKIKINSLKADISCRDNQIVQMDKHLQDLHMEHVKLIASAEEAHKSVDELQSKAKELEDVIERQRIMILEGAEEKREAIRQLCFSLDHYRDGYHWLRQAFMGQKRVPVLTT >KJB56237 pep chromosome:Graimondii2_0_v6:9:8160148:8164418:1 gene:B456_009G111800 transcript:KJB56237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSGQLTKSVKRLESRKSHSWWWDSHISPKNSRWLAENLEEMDRSVKHMLKLIEEDGESFMKKAEMYYQKRPELVSHVEEFYRVYRSLAERYDHLTGELRKNIPSDLQSQGSDVSDIASDLPPIWPSSDQRLSRRKSGPRAAGFSVFLGSGGSGSDMYQKEEDESSTRTDYESESDDSSVNNYSVLSGNGSDQGVSRKMVELELELHEMKQKLRMLEEENTDGSVRGAKSNNSELLARIREYEEKLEFSNRRIQLSEEKITWLRTELQKYKPLEAADLESSEEESVKMHKTEQIEVNQALDLQNKIGIMEKENEHADGKMQALVEELSITKEMLQASEKEIASLKFEKKQSSEKIQKLQGQLDTAQREIITWKSKLNTERREVSKLQERIAMLKNSLSDRDHEIRDLKIAVSDAEQKIFPEKAHIKAEISKLLEGRIFLEQQLRDWEARGRSLEEEIRKAVNEKRESEERLHSEIEHLRLEIAERSDCIKVLNENLETLKSERDEHKIKINSLKADISCRDNQIVQMDKHLQDLHMEHVKLIASAEEAHKSVDELQSKAKELEDVIERQRIMILEGAEEKREAIRQLCFSLDHYRDGYHWLRQAFMGQKRVPVLTT >KJB56238 pep chromosome:Graimondii2_0_v6:9:8159788:8164418:1 gene:B456_009G111800 transcript:KJB56238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSGQLTKSVKRLESRKSHSWWWDSHISPKNSRWLAENLEEMDRSVKHMLKLIEEDGESFMKKAEMYYQKRPELVSHVEEFYRVYRSLAERYDHLTGELRKNIPSDLQSQGSDVSDIASDLPPIWPSSDQRLSRRKSGPRAAGFSVFLGSGGSGSDMYQKEEDESSTRTDYESESDDSSVNNYSVLSGNGSDQGVSRKMVELELELHEMKQKLRMLEEENTDGSVRGAKSNNSELLARIREYEEKLEFSNRRIQLSEEKITWLRTELQKYKPLEAADLESSEEESVKMHKTEQIEVNQALDLQNKIGIMEKENEHADGKMQALVEELSITKEMLQASEKEIASLKFEKKQSSEKIQKLQGQLDTAQREIITWKSKLNTERREVSKLQERIAMLKNSLSDRDHEIRDLKIAVSDAEQKIFPEKAHIKAEISKLLEGRIFLEQQLRDWEARGRSLEEEIRKAVNEKRESEERLHSEIEHLRLEIAERSDCIKVLNENLETLKSERDEHKIKINSLKADISCRDNQIVQMDKHLQDLHMEHVKLIASAEEAHKSVDELQSKAKELEDVIERQRIMILEGAEEKREAIRQLCFSLDHYRDGYHWLRQAFMGQKRVPVLTT >KJB56239 pep chromosome:Graimondii2_0_v6:9:8160867:8164418:1 gene:B456_009G111800 transcript:KJB56239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSVKHMLKLIEEDGESFMKKAEMYYQKRPELVSHVEEFYRVYRSLAERYDHLTGELRKNIPSDLQSQGSDVSDIASDLPPIWPSSDQRLSRRKSGPRAAGFSVFLGSGGSGSDMYQKEEDESSTRTDYESESDDSSVNNYSVLSGNGSDQGVSRKMVELELELHEMKQKLRMLEEENTDGSVRGAKSNNSELLARIREYEEKLEFSNRRIQLSEEKITWLRTELQKYKPLEAADLESSEEESVKMHKTEQIEVNQALDLQNKIGIMEKENEHADGKMQALVEELSITKEMLQASEKEIASLKFEKKQSSEKIQKLQGQLDTAQREIITWKSKLNTERREVSKLQERIAMLKNSLSDRDHEIRDLKIAVSDAEQKIFPEKAHIKAEISKLLEGRIFLEQQLRDWEARGRSLEEEIRKAVNEKRESEERLHSEIEHLRLEIAERSDCIKVLNENLETLKSERDEHKIKINSLKADISCRDNQIVQMDKHLQDLHMEHVKLIASAEEAHKSVDELQSKAKELEDVIERQRIMILEGAEEKREAIRQLCFSLDHYRDGYHWLRQAFMGQKRVPVLTT >KJB56236 pep chromosome:Graimondii2_0_v6:9:8159780:8164430:1 gene:B456_009G111800 transcript:KJB56236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSGQLTKSVKRLESRKSHSWWWDSHISPKNSRWLAENLEEMDRSVKHMLKLIEEDGESFMKKAEMYYQKRPELVSHVEEFYRVYRSLAERYDHLTGELRKNIPSDLQSQGSDVSDIASDLPPIWPSSDQRLSRRKSGPRAAGFSVFLGSGGSGSDMYQKEEDESSTRTDYESESDDSSVNNYSVLSGNGSDQGVSRKMVELELELHEMKQKLRMLEEENTDGSVRGAKSNNSELLARIREYEEKLEFSNRRIQLSEEKITWLRTELQKYKPLEAADLESSEEESVKMHKTEQIEVNQALDLQNKIGIMEKENEHADGKMQALVEELSITKEMLQASEKEIASLKFEKKQSSEKIQKLQGQLDTAQREIITWKSKLNTERREVSKLQERIAMLKNSLSDRDHEIRDLKIAVSDAEQKIFPEKAHIKAEISKLLEGRIFLEQQLRDWEARGRSLEEEIRKAVNEKRESEERLHSEIEHLRLEIAERSDCIKVLNENLETLKSERDEHKIKINSLKADISCRDNQIVQMDKHLQDLHMEHVKLIASAEEAHKSVDELQSKAKELEDVIERQRIMILEGAEEKREAIRQLCFSLDHYRDGYHWLRQAFMGQKRVPVLTT >KJB59888 pep chromosome:Graimondii2_0_v6:9:23593793:23596753:1 gene:B456_009G279500 transcript:KJB59888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEMKGKVVEEKDQVRVKRKTLQAVLEECQRALELLSNCEDGTEDDDEDGEGKDEVNHQGELNGVDLRRDQEADELCDLLKSRVQCPDFLEKLECAQVPVPENIGDGSSWDMVNPNDLWGDENGDLDQEDYVLVRQDDIVEGIACFMAAYLLSLKQTKVCEICTLYWYYYHFRSFQSSILLFVVIHT >KJB59887 pep chromosome:Graimondii2_0_v6:9:23593779:23597988:1 gene:B456_009G279500 transcript:KJB59887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEMKGKVVEEKDQVRVKRKTLQAVLEECQRALELLSNCEDGTEDDDEDGEGKDEVNHQGELNGVDLRRDQEADELCDLLKSRVQCPDFLEKLECAQVPVPENIGEDGSSWDMVNPNDLWGDENGDLDQEDYVLVRQDDIVEGIACFMAAYLLSLKQTKDLSPNQLQQALSKTFSVKKKKGKLRKAWDGSKVVYNVASWGATAIGIYQNPLLMRAASKAFWTSCEVISKLL >KJB59891 pep chromosome:Graimondii2_0_v6:9:23593793:23597906:1 gene:B456_009G279500 transcript:KJB59891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEMKGKVVEEKDQVRVKRKTLQAVLEECQRALELLSNCEDGTEDDDEDGEGKDEVNHQGELNGVDLRRDQEADELCDLLKSRVQCPDFLEKLECAQVPVPENIGDGSSWDMVNPNDLWGDENGDLDQEDYVLVRQDDIVEGIACFMAAYLLSLKQTKDLSPNQLQQALSKTFSVKKKKGKLRKAWDGSKVVYNVASWGATAIGIYQNPLLMRAASKAFWTSCEVISKLL >KJB59889 pep chromosome:Graimondii2_0_v6:9:23593793:23597315:1 gene:B456_009G279500 transcript:KJB59889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEMKGKVVEEKDQVRVKRKTLQAVLEECQRALELLSNCEDGTEDDDEDGEGKDEVNHQGELNGVDLRRDQEADELCDLLKSRVQCPDFLEKLECAQVPVPENIGDGSSWDMVNPNDLWGDENGDLDQEDYVLVRQDDIVEGIACFMAAYLLSLKQTKDLSPNQLQQALSKTFSVKKKKGKLRKAWDGSKVVYNVASWGATAIGYVIILTSTIEFSSYIFS >KJB59890 pep chromosome:Graimondii2_0_v6:9:23594399:23597595:1 gene:B456_009G279500 transcript:KJB59890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLCDLLKSRVQCPDFLEKLECAQVPVPENIGEDGSSWDMVNPNDLWGDENGDLDQEDYVLVRQDDIVEGIACFMAAYLLSLKQTKDLSPNQLQQALSKTFSVKKKKGKLRKAWDGSKVVYNVASWGATAIGIYQNPLLMRAASKAFWTSCEVISKLL >KJB53617 pep chromosome:Graimondii2_0_v6:9:61458422:61459699:-1 gene:B456_009G409300 transcript:KJB53617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTDYSETDVCNIMRLKWLSFMWRKLVGSSEKKLPRYVPPGHLAVTVGEAGRRFVIKADYLNQPVFRHLLDKVYEEHSPNKDGPLAIPCDECLFRDIIHSLDGGWFGCLSIS >KJB56441 pep chromosome:Graimondii2_0_v6:9:8880777:8890846:1 gene:B456_009G120100 transcript:KJB56441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIAKGSVGDGGGWLRSLNNHVGRQVWEFCPESGTPEELSKVEMARQSFRDNRFHKKHSSDLLMRIQFAEEKQSVTNLPQTKLEEFEDVKEEAVMTTLRSALDFYSTIQADDGHWPGDYGGPMFLLPGLVITLYVTGALNTVLSKEHQYEICRYLYNHQNRDGGWGLHIEGPSTMFGTVLNYVSLRLLGEGAEGGEGAIEKAREWILEHGSATAITSWGKMWLSVLGAYEWSGNNPLPPEVWLCPYFLPIHPGRMWCHCRMVYLPMSFLYGKKFVGPITPTILSLRKELYTVPYHEVDWNKARNACAQEDLYYPHPLVQDILWASLHYLYEPMLKYWPCKSLREKALQIVMQHIHYEDENTRYICIGPVNKVLNMLCCWVEDPYSESFKLHLPRILDYLWIAEDGMKMQGYNGSQLWDTAFAIQAIISTGLADEYGSVLRKAHDFLKYSQVLENCPGDLNFWYRHISKGAWPFSTADHGWPISDCTSEGLKAALLLSTLPAATAGEPLNVIWLYDAVNVILSLQNADGGFATYELTRSYQWLELINPAETFGDIVIDYPYVECTSAAIQALASFKKLYPEHRTEDIQNCIGRAVEFIEKMQAEDGSWYGSWGICFSYAGWFGIKGLSAAGRTYKNSSHIRKACDFFLSKELVTGGWGESYLSCQNKVYTNLEGNRPHIVNTSWTMLALIEAGQAERDPTPLHRAAKILINSQMEDGDFPQEEIMGVFNKNCMISYSAYRNIFPIWALGEYHCRVLQAP >KJB56444 pep chromosome:Graimondii2_0_v6:9:8882329:8890848:1 gene:B456_009G120100 transcript:KJB56444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTVLNYVSLRLLGEGAEGGEGAIEKAREWILEHGSATAITSWGKMWLSVLGAYEWSGNNPLPPEVWLCPYFLPIHPGRMWCHCRMVYLPMSFLYGKKFVGPITPTILSLRKELYTVPYHEVDWNKARNACAQEDLYYPHPLVQDILWASLHYLYEPMLKYWPCKSLREKALQIVMQHIHYEDENTRYICIGPVNKVLNMLCCWVEDPYSESFKLHLPRILDYLWIAEDGMKMQGYNGSQLWDTAFAIQAIISTGLADEYGSVLRKAHDFLKYSQVLENCPGDLNFWYRHISKGAWPFSTADHGWPISDCTSEGLKAALLLSTLPAATAGEPLNVIWLYDAVNVILSLQNADGGFATYELTRSYQWLELINPAETFGDIVIDYPYVECTSAAIQALASFKKLYPEHRTEDIQNCIGRAVEFIEKMQAEDGSWYGSWGICFSYAGWFGIKGLSAAGRTYKNSSHIRKACDFFLSKELVTGGWGESYLSCQNKVYTNLEGNRPHIVNTSWTMLALIEAGQAERDPTPLHRAAKILINSQMEDGDFPQEEIMGVFNKNCMISYSAYRNIFPIWALGEYHCRVLQAP >KJB56440 pep chromosome:Graimondii2_0_v6:9:8880425:8890876:1 gene:B456_009G120100 transcript:KJB56440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIAKGSVGDGGGWLRSLNNHVGRQVWEFCPESGTPEELSKVEMARQSFRDNRFHKKHSSDLLMRIQFAEEKQSVTNLPQTKLEEFEDVKEEAVMTTLRSALDFYSTIQADDGHWPGDYGGPMFLLPGLVITLYVTGALNTVLSKEHQYEICRYLYNHQNRDGGWGLHIEGPSTMFGTVLNYVSLRLLGEGAEGGEGAIEKAREWILEHGSATAITSWGKMWLSVLGAYEWSGNNPLPPEVWLCPYFLPIHPGRMWCHCRMVYLPMSFLYGKKFVGPITPTILSLRKELYTVPYHEVDWNKARNACAQEDLYYPHPLVQDILWASLHYLYEPMLKYWPCKSLREKALQIVMQHIHYEDENTRYICIGPVNKVLNMLCCWVEDPYSESFKLHLPRILDYLWIAEDGMKMQGYNGSQLWDTAFAIQAIISTGLADEYGSVLRKAHDFLKYSQVLENCPGDLNFWYRHISKGAWPFSTADHGWPISDCTSEGLKAALLLSTLPAATAGEPLNVIWLYDAVNVILSLQNADGGFATYELTRSYQWLELINPAETFGDIVIDYPYVECTSAAIQALASFKKLYPEHRTEDIQNCIGRAVEFIEKMQAEDGSWYGSWGICFSYAGWFGIKGLSAAGRTYKNSSHIRKACDFFLSKELVTGGWGESYLSCQNKVYTNLEGNRPHIVNTSWTMLALIEAGQAERDPTPLHRAAKILINSQMEDGDFPQEEIMGVFNKNCMISYSAYRNIFPIWALGEYHCRVLQAP >KJB56442 pep chromosome:Graimondii2_0_v6:9:8880777:8887882:1 gene:B456_009G120100 transcript:KJB56442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIAKGSVGDGGGWLRSLNNHVGRQVWEFCPESGTPEELSKVEMARQSFRDNRFHKKHSSDLLMRIQFAEEKQSVTNLPQTKLEEFEDVKEEAVMTTLRSALDFYSTIQADDGHWPGDYGGPMFLLPGLVITLYVTGALNTVLSKEHQYEICRYLYNHQNRDGGWGLHIEGPSTMFGTVLNYVSLRLLGEGAEGGEGAIEKAREWILEHGSATAITSWGKMWLSVLGAYEWSGNNPLPPEVWLCPYFLPIHPGRMWCHCRMVYLPMSFLYGKKFVGPITPTILSLRKELYTVPYHEVDWNKARNACAQEDLYYPHPLVQDILWASLHYLYEPMLKYWPCKSLREKALQIVMQHIHYEDENTRYICIGPVNKVLNMLCCWVEDPYSESFKLHLPRILDYLWIAEDGMKMQGYNGSQLWDTAFAIQAIISTGLADEYGSVLRKAHDFLKYSQVLENCPGDLNFWYRHISKGAWPFSTADHGWPISDCTSEGLKAALLLSTLPAATAGEPLNVIWLYDAVNVILSLQNADGGFATYELTRSYQWLEVTT >KJB56443 pep chromosome:Graimondii2_0_v6:9:8880592:8890848:1 gene:B456_009G120100 transcript:KJB56443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIAKGSVGDGGGWLRSLNNHVGRQVWEFCPESGTPEELSKVEMARQSFRDNRFHKKHSSDLLMRIQFAEEKQSVTNLPQTKLEEFEDVKEEAVMTTLRSALDFYSTIQADDGHWPGDYGGPMFLLPGLVITLYVTGALNTVLSKEHQYEICRYLYNHQNRDGGWGLHIEGPSTMFGTVLNYVSLRLLGEGAEGGEGAIEKAREWILEHGSATAITSWGKMWLSVLGAYEWSGNNPLPPEVWLCPYFLPIHPGRMWCHCRMVYLPMSFLYGKKFVGPITPTILSLRKELYTVPYHEVDWNKARNACAQEDLYYPHPLVQDILWASLHYLYEPMLKYWPCKSLREKALQIVMQHIHYEDENTRYICIGPVNKVLNMLCCWVEDPYSESFKLHLPRILDYLWIAEDGMKMQGYNGSQLWDTAFAIQAIISTGLADEYGSVLRKAHDFLKYSQVLENCPGDLNFWYRHISKGAWPFSTADHGWPISDCTSEGLKAALLLSTLPAATAGEPLNVIWLYDAVNVILSLQNADGGFATYELTRSYQWLELINPAETFGDIVIDYPYVECTSAAIQALASFKKLYPEHRTEDIQNCIGRAVEFIEKMQAEDGSWYGSWGICFSYAGWFGIKGLSAAGRTYKNSSHIRKACDFFLSKELVTGGWGESYLSCQNKVYTNLEGNRPHIVNTSWTMLALIEAGQAERDPTPLHRAAKILINSQMEDGDFPQEEIMGVFNKNCMISYSAYRNIFPIWALGEYHCRVLQAP >KJB60347 pep chromosome:Graimondii2_0_v6:9:27014324:27017858:1 gene:B456_009G301400 transcript:KJB60347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGDSGSNGKQLQCQPITRQNSMYSLTLDEVQNQLGDLGKPLNSMNLDELLKNVWTLEANQTLGMETEGTAVTNQTSLQRQASLSLTSALSKKTVDEVWKDIQQNKIDGEKKSRERQPTLGEMTLEDFLVKAGVVTEASTDENAGPPIAGVDLSVAPQFAQQGQWMQYPQQPQYHHPQQSIMGVYMPAQPMPQPLAIGTTGVMDVSYPENQLALPSPLMGTLSDTQASGRKRGAHEDIVEKTVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKQKELDKMLPSAPPPEPKYQLRRTSSAPF >KJB60348 pep chromosome:Graimondii2_0_v6:9:27014311:27017858:1 gene:B456_009G301400 transcript:KJB60348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQGDSGSNGKQLQCQPITRQNSMYSLTLDEVQNQLGDLGKPLNSMNLDELLKNVWTLEANQTLGMETEGTAVTNQTSLQRQASLSLTSALSKKTVDEVWKDIQQNKIDGEKKSRERQPTLGEMTLEDFLVKAGVVTEASTDENAGPPIAGVDLSVAPQFAQQGQWMQYPQQPQYHHPQQSIMGVYMPAQPMPQPLAIGTTGVMDVSYPENQLALPSPLMGTLSDTQASGRKRGAHEDIVEKTVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKQKELDKMLPSAPPPEPKYQLRRTSSAPF >KJB60346 pep chromosome:Graimondii2_0_v6:9:27014798:27017858:1 gene:B456_009G301400 transcript:KJB60346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQGDSGSNGKQLQCQPITRQNSMYSLTLDEVQNQLGDLGKPLNSMNLDELLKNVWTLEANQTLGMETEGTAVTNQTSLQRQASLSLTSALSKKTVDEVWKDIQQNKIDGEKKSRERQPTLGEMTLEDFLVKAGVVTEASTDENAGPPIAGVDLSVAPQFAQQGQWMQYPQQPQYHHPQQSIMGVYMPAQPMPQPLAIGTTGVMDVSYPENQLALPSPLMGTLSDTQASGRKRGAHEDIVEKTVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKQKELDKMLPSAPPPEPKYQLRRTSSAPF >KJB58170 pep chromosome:Graimondii2_0_v6:9:15271846:15275106:1 gene:B456_009G197600 transcript:KJB58170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAARWNCFSFQLVFAISFIFSIAEVCNGGRTSSFVRNSDLSRDMPLDSDVFRVPPGYNAPQQVHITQGDHLGNAVIVSWVTPDEPGSNSVFYWAENSELKNSAQGIVLTYKYFNYTSGFIHHCTVRDLEFDTKYYYEVGIGNSSRRFWFVTPPAIGPDVPYTFGLIGDLGQTHDSNSTLTHYELNPAKGQTLLFLGDLSYADAYPFHDNARWDTWGRFIERNAAYQPWIWTAGNHEIDVVPAIREAIPFKPYTHRYHVPYTASGSTSPLWYSIKRASTYIIVLSSYSAYGTSTPQYKWLERELPKVNRTETPWLIVLMHSPFYNSYVHHYMEGESMRVMFEPWFVEYKVDVVFAGHVHAYERSERISNIAYNIANGLCTPIKDPSAPVYLTIGDGGNLEGLVTEYAISF >KJB58168 pep chromosome:Graimondii2_0_v6:9:15272012:15273234:1 gene:B456_009G197600 transcript:KJB58168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAARWNCFSFQLVFAISFIFSIAEVCNGGRTSSFVRNSDLSRDMPLDSDVFRVPPGYNAPQQVHITQGDHLGNAVIVSWVTPDEPGSNSVFYWAENSELKNSAQGIVLTYKYFNYTSGFIHHCTVRDLEFDTKYYYEVGIGNSSRRFWFVTPPAIGPDVPYTFGLIGDLGQTHDSNSTLTHYELNPAKGQTLLFLGDLSYADAYPFHDNARWDTWGRFIERNAAYQPWIWTAGNHEIDVVPAIVGNFLLSPLSFSYESMH >KJB58167 pep chromosome:Graimondii2_0_v6:9:15271625:15275106:1 gene:B456_009G197600 transcript:KJB58167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAARWNCFSFQLVFAISFIFSIAEVCNGGRTSSFVRNSDLSRDMPLDSDVFRVPPGYNAPQQVHITQGDHLGNAVIVSWVTPDEPGSNSVFYWAENSELKNSAQGIVLTYKYFNYTSGFIHHCTVRDLEFDTKYYYEVGIGNSSRRFWFVTPPAIGPDVPYTFGLIGDLGQTHDSNSTLTHYELNPAKGQTLLFLGDLSYADAYPFHDNARWDTWGRFIERNAAYQPWIWTAGNHEIDVVPAIREAIPFKPYTHRYHVPYTASGSTSPLWYSIKRASTYIIVLSSYSAYGTSTPQYKWLERELPKVNRTETPWLIVLMHSPFYNSYVHHYMEGESMRVMFEPWFVEYKVDVVFAGHVHAYERSERISNIAYNIANGLCTPIKDPSAPVYLTIGDGGNLEGLVTEMTEPQPNYSAYREASFGHGILEIKNRTHAYFGWHRNQDGYAVEADSLWLHNRYWSVSDSEELSVATATI >KJB58172 pep chromosome:Graimondii2_0_v6:9:15271846:15275106:1 gene:B456_009G197600 transcript:KJB58172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAARWNCFSFQLVFAISFIFSIAEVCNGGRTSSFVRNSDLSRDMPLDSDVFRVPPGYNAPQQVHITQGDHLGNAVIVSWVTPDEPGSNSVFYWAENSELKNSAQGIVLTYKYFNYTSGFIHHCTVRDLEFDTKYYYEVGIGNSSRRFWFVTPPAIGPDVPYTFGLIGDLGQTHDSNSTLTHYELNPAKGQTLLFLGDLSYADAYPFHDNARWDTWGRFIERNAAYQPWIWTAGNHEIDVVPAIVGNFLLSPLSFSYESMH >KJB58171 pep chromosome:Graimondii2_0_v6:9:15271846:15275106:1 gene:B456_009G197600 transcript:KJB58171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLKVHITQGDHLGNAVIVSWVTPDEPGSNSVFYWAENSELKNSAQGIVLTYKYFNYTSGFIHHCTVRDLEFDTKYYYEVGIGNSSRRFWFVTPPAIGPDVPYTFGLIGDLGQTHDSNSTLTHYELNPAKGQTLLFLGDLSYADAYPFHDNARWDTWGRFIERNAAYQPWIWTAGNHEIDVVPAIREAIPFKPYTHRYHVPYTASGSTSPLWYSIKRASTYIIVLSSYSAYGTSTPQYKWLERELPKVNRTETPWLIVLMHSPFYNSYVHHYMEGESMRVMFEPWFVEYKVDVVFAGHVHAYERSERISNIAYNIANGLCTPIKDPSAPVYLTIGDGGNLEGLVTEMTEPQPNYSAYREASFGHGILEIKNRTHAYFGWHRNQDGYAVEADSLWLHNRYWSVSDSEELSVATATI >KJB58169 pep chromosome:Graimondii2_0_v6:9:15271846:15275106:1 gene:B456_009G197600 transcript:KJB58169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAARWNCFSFQLVFAISFIFSIAEVCNGGRTSSFVRNSDLSRDMPLDSDVFRVPPGYNAPQQVHITQGDHLGNAVIVSWVTPDEPGSNSVFYWAENSELKNSAQGIVLTYKYFNYTSGFIHHCTVRDLEFDTKYYYEVGIGNSSRRFWFVTPPAIGPDVPYTFGLIGDLGQTHDSNSTLTHYELNPAKGQTLLFLGDLSYADAYPFHDNARWDTWGRFIERNAAYQPWIWTAGNHEIDVVPAIREAIPFKPYTHRYHVPYTASGSTSPLWYSIKRASTYIIVLSSYSAYGTSTPQYKWLERELPKVNRTETPWLIVLMHSPFYNSYVHHYMEGESMRVMFEPWFVEYKVDVVFAGHVHAYERSVSSHPLF >KJB62921 pep chromosome:Graimondii2_0_v6:9:70699402:70700185:1 gene:B456_009G456300 transcript:KJB62921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSMIQYFSTKPKPKMKPIELKTSPEQTQTITRVIFDILKEHGPLTVGDTWERVKVSCLVDYNLYSLCIYICCFHI >KJB56288 pep chromosome:Graimondii2_0_v6:9:8449117:8452685:1 gene:B456_009G115100 transcript:KJB56288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSTVSQVSVAVPVGNDISLRRSVTKRDIISFGNKSWASTLSFDLKSRNAQKNKPYIVCMSVQQASKSKIAVSPLELEIAKEPPLNTFKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPHNVRLYSIASSRYGDSFDGKTTSLCVRRAVYYNPETGKEDPLKSGVCSNFLCNCKPGDKVQITGPSGKIMLLPEGNPKANHIMIATGTGVAPFRSYLRRMFMEDVTFKFKGLAWLFLGVANKDSLLYDDEFTKYLEDYPDQFRYDLALSREQKNKSGGKMYVQDKIEEYSDEIFKLLDDGAHIYFCGLRGMMPGIQETLKRVAEQRGENWDENSVP >KJB56289 pep chromosome:Graimondii2_0_v6:9:8449117:8452685:1 gene:B456_009G115100 transcript:KJB56289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQQASKSKIAVSPLELEIAKEPPLNTFKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPHNVRLYSIASSRYGDSFDGKTTSLCVRRAVYYNPETGKEDPLKSGVCSNFLCNCKPGDKVQITGPSGKIMLLPEGNPKANHIMIATGTGVAPFRSYLRRMFMEDVTFKFKGLAWLFLGVANKDSLLYDDEFTKYLEDYPDQFRYDLALSREQKNKSGGKMYVQDKIEEYSDEIFKLLDDGAHIYFCGLRGMMPGIQETLKRVAEQRGENWDEKLSQLKKNKQWHVEVY >KJB56286 pep chromosome:Graimondii2_0_v6:9:8449026:8452725:1 gene:B456_009G115100 transcript:KJB56286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSTVSQVSVAVPVGNDISLRRSVTKRDIISFGNKSWASTLSFDLKSRNAQKNKPYIVCMSVQQASKSKIAVSPLELEIAKEPPLNTFKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPHNVRLYSIASSRYGDSFDGKTTSLCVRRAVYYNPETGKEDPLKSGVCSNFLCNCKPGDKVQITGPSGKIMLLPEGNPKANHIMIATGTGVAPFRSYLRRMFMEDVTFKFKGLAWLFLGVANKDSLLYDDEFTKYLEDYPDQFRYDLALSREQKNKSGGKMYVQDKIEEYSDEIFKLLDDGAHIYFCGLRGMMPGIQETLKRVAEQRGENWDEKLSQLKKNKQWHVEVY >KJB56287 pep chromosome:Graimondii2_0_v6:9:8449117:8452685:1 gene:B456_009G115100 transcript:KJB56287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSTVSQVSVAVPVGNDISLRRSVTKRDIISFGNKSWASTLSFDLKSRNAQKNKPYIVCMSVQQASKSKIAVSPLELEIAKEPPLNTFKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPHNVRLYSIASSRYGDSFDGKTTSLCVRRAVYYNPETGKEDPLKSGVCSNFLCNCKPGDKVQITAPFRSYLRRMFMEDVTFKFKGLAWLFLGVANKDSLLYDDEFTKYLEDYPDQFRYDLALSREQKNKSGGKMYVQDKIEEYSDEIFKLLDDGAHIYFCGLRGMMPGIQETLKRVAEQRGENWDEKLSQLKKNKQWHVEVY >KJB53577 pep chromosome:Graimondii2_0_v6:9:56469742:56470793:-1 gene:B456_009G398500 transcript:KJB53577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRNGPKLELKLNLSPPRLNPRVESPSRSATVSPTSPPSSCVSSEMEDDTGSSSEATTTSMVLVGCPRCLMYVMLSEDDPKCPKCKSTVLLDFLNDTATAASTKTRKSS >KJB58001 pep chromosome:Graimondii2_0_v6:9:14579412:14582129:1 gene:B456_009G189800 transcript:KJB58001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCS6 MEADSKPKKSGARLCCVCNQNRAALKRPKTLEQICRECFYAVFEEEIHQVILENQLFKPGERIAIGASGGKDSTVLAYVLSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNELQYGLPLKIVSYKDLYGWTMDEIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKVATGHNADDIAETVLLNILRGDIARLSRCTSIITGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERIRPRAILDIIKSGEDFRISTTTKMPEQGTCERCGYISSQVTDAFTLPDTL >KJB57999 pep chromosome:Graimondii2_0_v6:9:14579205:14582317:1 gene:B456_009G189800 transcript:KJB57999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCS6 MEADSKPKKSGARLCCVCNQNRAALKRPKTLEQICRECFYAVFEEEIHQVILENQLFKPGERIAIGASGGKDSTVLAYVLSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNELQYGLPLKIVSYKDLYGWTMDEIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKVATGHNADDIAETVLLNILRGDIARLSRCTSIITGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERIRPRAILDIIKSGEDFRISTTTKMPEQGTCERCGYISSQKWCKACVLLEGLNRGLPKLGIGRNQGLNSDGKKDRKRDSRTPSIESKQCGSLEF >KJB58000 pep chromosome:Graimondii2_0_v6:9:14579465:14581398:1 gene:B456_009G189800 transcript:KJB58000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCS6 MEADSKPKKSGARLCCVCNQNRAALKRPKTLEQICRECFYAVFEEEIHQVILENQLFKPGERIAIGASGGKDSTVLAYVLSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNELQYGLPLKIVSYKDLYGWTMDEIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKVATGHNADDIAETVLLNILRGDIARLSRCTSIITGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERIRFIPLCSCSSLTSVKFENFVVE >KJB53499 pep chromosome:Graimondii2_0_v6:9:36494362:36497079:1 gene:B456_009G336800 transcript:KJB53499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGTEGKIVDGCGIRVIRNGRTVHVGVLDSLRRVKEIVKEVNAGLECGMGVEDYDQWQEGDILEAFNIFQKKRTLEEASASMAAALEGVGVEL >KJB53500 pep chromosome:Graimondii2_0_v6:9:36494751:36496975:1 gene:B456_009G336800 transcript:KJB53500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGTEGKIVDGCGIRVIRNGRTVHVGVLDSLRRVKEIVKEVNAGLECGMGVEDYDQWQEGDILEAFNIFQKKRTLEEASASMAAALEGVGVEL >KJB53497 pep chromosome:Graimondii2_0_v6:9:36494745:36496975:1 gene:B456_009G336800 transcript:KJB53497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGTEGKIVDGCGIRVIRNGRTVHVGVLDSLRRVKEIVKEVNAGLECGMGVEDYDQWQEGDILEAFNIFQKKRTLEEASASMAAALEGVGVEL >KJB53498 pep chromosome:Graimondii2_0_v6:9:36494507:36496975:1 gene:B456_009G336800 transcript:KJB53498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGTEGKIVDGCGIRVIRNGRTVHVGVLDSLRRVKEIVKEVNAGLECGMGVEDYDQWQEGDILEAFNIFQKKRTLEEASASMAAALEGVGVEL >KJB56858 pep chromosome:Graimondii2_0_v6:9:10482128:10485077:1 gene:B456_009G138900 transcript:KJB56858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDLRKGYSLPQCVFAARTITALRVNGCKLGPCNDLNLSNLQKLCLGKLRVNEQMIENLIRACPLLEDFRLIYATGLKVFKVSNLPKLKRSDLHTCSELKEVNLQAPNLETFWYVVVKYRSYKIDLSTCNALKSLTLEDATLKDESFQNHLSCFPALEKLVLSKCNFLKNITISSYKLKTLILRECKQLEEADIISPNLRSFEYKGEKMPFISLNPSSLKEAKLYFKPSGQGEPRLSNEDNHTPWFARLQGFLDMFDYSRGLKLVVRSDKNIVIYERPKGIFLPQVYDLKLDVVKSSVNLEDLLDYILRTWRPLTLSSLSTSRSRLPKLVCKKLITREKDPSCCTYNASGNKCWRHLLDNVETENLVNGKSKSEWIAWLRSSKSKFKINCFRLSWKHSKHVSQGLKGRLMFD >KJB59996 pep chromosome:Graimondii2_0_v6:9:24190651:24197640:1 gene:B456_009G284500 transcript:KJB59996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRFDDEEDDDVEEEEYEDEEEQLLDDEDYDLDEDVGRGRGGSSKKRGRSDFIDDLAEEDDEEDEDDDDEVYGGGGGGGGRGGKRHKAPRDGSQFFDLEAQVDSDEEEEEDEGEDGFIVDSGADMPDEDVGRRMRRPLPLREDEQEDVEALERSIQARYARSSHAEYDEETTDVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSVIALDHLKNYIYIEADKEAHVREAIKGIRNIFGAKIMLVPIREMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAQVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVAKKKAFVPPPRFMNVDEARELHIRVEHRRDPMSGDYFENIGGMLFKDGFLYKTVSMKSISAQNIKPTFDELEKFRTPSVKGEGEMVGLSTLFANRKKGHFMKGDAVIVVKGDLKNLKGWVEKVEEENVHIRPEMKGLPKTLAVNEKELCKYFEPGNHVKVVAGTKEGATGMVVKVEQHVLIILSDTTKEHIRVFADDVVESSEVTTGVTKIGDYELHDLVLLDNNSFGVIIRVEREAFQVLKGVPERPEVSLVKLREIKCKLEKKFDVQDRYRNTVSVKDVVRILEGSCKGKQGPVEHIYKGVLFVYDRHHLEHAGFICAKAGSCCVVGGARSNGNRNGDSLSRFGGFKTPPRVPPSPRRFSRGGPPFDSGGRHRGGRGGHDALVGTTVKIRQGPYKGYRGRVVDIKGQSVRVELESQMKVVTVDRNFVSDNVVISTPHRETSRYGMGSETPMRSARTPLHPYMTPMRDPGATPIHDGMRTPMRDRAWNPYAPMSPPRDNWEEGNPASWGTSPQYQEVLLQGHMKHLHLVRVGPALLAVVTVRLEHRETAVQLMAMLQVPICHQHQVVSL >KJB59995 pep chromosome:Graimondii2_0_v6:9:24190651:24197640:1 gene:B456_009G284500 transcript:KJB59995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRFDDEEDDDVEEEEYEDEEEQLLDDEDYDLDEDVGRGRGGSSKKRGRSDFIDDLAEEDDEEDEDDDDEVYGGGGGGGGRGGKRHKAPRDGSQFFDLEAQVDSDEEEEEDEGEDGFIVDSGADMPDEDVGRRMRRPLPLREDEQEDVEALERSIQARYARSSHAEYDEETTDVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSVIALDHLKNYIYIEADKEAHVREAIKGIRNIFGAKIMLVPIREMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAQVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVAKKKAFVPPPRFMNVDEARELHIRVEHRRDPMSGDYFENIGGMLFKDGFLYKTVSMKSISAQNIKPTFDELEKFRTPSVKGEGEMVGLSTLFANRKKGHFMKGDAVIVVKGDLKNLKGWVEKVEEENVHIRPEMKGLPKTLAVNEKELCKYFEPGNHVKVVAGTKEGATGMVVKVEQHVLIILSDTTKEHIRVFADDVVESSEVTTGVTKIGDYELHDLVLLDNNSFGVIIRVEREAFQVLKGVPERPEVSLVKLREIKCKLEKKFDVQDRYRNTVSVKDVVRILEGSCKGKQGPVEHIYKGVLFVYDRHHLEHAGFICAKAGSCCVVGGARSNGNRNGDSLSRFGGFKTPPRVPPSPRRFSRGGPPFDSGGRHRGGRGGHDALVGTTVKIRQGPYKGYRGRVVDIKGQSVRVELESQMKVVTVDRNFVSDNVVISTPHRETSRYGMGSETPMRSARTPLHPYMTPMRDPGATPIHDGMRTPMRDRAWNPYAPMSPPRDNWEEGNPASWGTSPQYQPGSPPSRAYEAPTPGSGWASTPGGSYSEAGTPRDSGSAYGCNAPSPYMPSTPSGQPMTPSSGPYIPGTPGGQPMTPGTGGLDAMSPVIGPESEGPWFVPDILVNVHKSGDETLGVIQEVLPDGSCKVALGSSGSGDTVIAMPSEMEIIPPKKSDKIKIMGGSLRGLTGKLIGVDGTDGIVRIDDSLDVKILDLVILAKLP >KJB59994 pep chromosome:Graimondii2_0_v6:9:24190531:24197640:1 gene:B456_009G284500 transcript:KJB59994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRFDDEEDDDVEEEEYEDEEEQLLDDEDYDLDEDVGRGRGGSSKKRGRSDFIDDLAEEDDEEDEDDDDEVYGGGGGGGGRGGKRHKAPRDGSQFFDLEAQVDSDEEEEEDEGEDGFIVDSGADMPDEDVGRRMRRPLPLREDEQEDVEALERSIQARYARSSHAEYDEETTDVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSVIALDHLKNYIYIEADKEAHVREAIKGIRNIFGAKIMLVPIREMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAQVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVAKKKAFVPPPRFMNVDEARELHIRVEHRRDPMSGDYFENIGGMLFKDGFLYKTVSMKSISAQNIKPTFDELEKFRTPSVKGEGEMVGLSTLFANRKKGHFMKGDAVIVVKGDLKNLKGWVEKVEEENVHIRPEMKGLPKTLAVNEKELCKYFEPGNHVKVVAGTKEGATGMVVKVEQHVLIILSDTTKEHIRVFADDVVESSEVTTGVTKIGDYELHDLVLLDNNSFGVIIRVEREAFQVLKGVPERPEVSLVKLREIKCKLEKKFDVQDRYRNTVSVKDVVRILEGSCKGKQGPVEHIYKGVLFVYDRHHLEHAGFICAKAGSCCVVGGARSNGNRNGDSLSRFGGFKTPPRVPPSPRRFSRGGPPFDSGGRHRGGRGGHDALVGTTVKIRQGPYKGYRGRVVDIKGQSVRVELESQMKVVTVDRNFVSDNVVISTPHRETSRYGMGSETPMRSARTPLHPYMTPMRDPGATPIHDGMRTPMRDRAWNPYAPMSPPRDNWEEGNPASWGTSPQYQPGSPPSRAYEAPTPGSGWASTPGGSYSEAGTPRDSGSAYGNAPSPYMPSTPSGQPMTPSSGPYIPGTPGGQPMTPGTGGLDAMSPVIGPESEGPWFVPDILVNVHKSGDETLGVIQEVLPDGSCKVALGSSGSGDTVIAMPSEMEIIPPKKSDKIKIMGGSLRGLTGKLIGVDGTDGIVRIDDSLDVKILDLVILAKLP >KJB55023 pep chromosome:Graimondii2_0_v6:9:4197525:4201009:-1 gene:B456_009G058500 transcript:KJB55023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTIRKAIGAVKDQTTIGIAKVASNMAPDLEVAVVKATSHDDDPADEKYIREILSLTSYSRGYVHACVSAVSKRLGKTRDWIVALKALVLVHRLMNDGDPVFQEEILYATRKGTRLLNMSDFRDEAHSSSWDHSAFVRTYAMYLDQRLELMLFDRKRGSGSGSGGSSHGNGDDRYSVRDNFRSPPPRQNEYDYGDFRGDNNGYGNYGMNRRTRSFGDMSEAVGRDGREEKKAVTPLREMKPERIFGKMGHLQRLLDRFLSCRPTGLAKNGRMILIALYPVVKESFQLYADICEVLAVLLDRFFDMEYPDCVKAFDAYASAAKQIDELIAFYNWCKDIGVARSSEYPEVQRITDKLLETLEEFVRDRAKKPTSPERKELPPPPKEEEPEPNMNEVKALPAPENYAPPPPPSEPEPVKPPEPQEDLVDLRDDVVTADDQGNKFALALFNGPAANNGNGSWEAFPSNGQPELTSAWQTPAAEPGKADWELALVESASNLSRQKAALGGGLDPLLLNGMYDQGMVRQHVSTGQLSGGSASSVALPGPGKTPVLALPAPDGTVQNVNQDPFAASLSIPPPSYVQMADMEKKQHLLVQEQQVWQQYSKDGMQGQSSLAKINDPGYYGPGPMPVMPYGMPPVNGMGPPPGYYYTPY >KJB55019 pep chromosome:Graimondii2_0_v6:9:4198351:4200306:-1 gene:B456_009G058500 transcript:KJB55019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTIRKAIGAVKDQTTIGIAKVASNMAPDLEVAVVKATSHDDDPADEKYIREILSLTSYSRGYVHACVSAVSKRLGKTRDWIVALKALVLVHRLMNDGDPVFQEEILYATRKGTRLLNMSDFRDEAHSSSWDHSAFVRTYAMYLDQRLELMLFDRKRGSGSGSGGSSHGNGDDRYSVRDNFRSPPPRQNEYDYGDFRGDNNGYGNYGMNRRTRSFGDMSEAVGRDGREEKKAVTPLREMKPERIFGKMGHLQRLLDRFLSCRPTGLAKNGRMILIALYPVVKESFQLYADICEVLAVLLDRFFDMEYPDCVKAFDAYASAAKQIDELIAFYNWCKDIGVARSSEYPEVQRITDKLLETLEEFVRDRAKKPTSPERKELPPPPKEEEPEPNMNEVKALPAPENYAPPPPPSEPEPVKPPEPQEDLVDLRDDVVTADDQGNKFALALFNGPAANNGNGSWEAFPSNGQPELTSAWQTPAAEPGKADWELALVESASNLSRQKAALGGGLDPLLLNGMYDQGMVRQHVSTGQLSGGSASSVALPGPGKTPVLALPAPDGTVQNVNQDPFAASLSIPPPSYVQMADMEKKQHLLVQEQQVWQQYSKDGMQGQSSLAKINDPGYYGPGPMPVMPYGMPPVNGMGPPPGYYYTPY >KJB55021 pep chromosome:Graimondii2_0_v6:9:4197957:4200881:-1 gene:B456_009G058500 transcript:KJB55021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTIRKAIGAVKDQTTIGIAKVASNMAPDLEVAVVKATSHDDDPADEKYIREILSLTSYSRGYVHACVSAVSKRLGKTRDWIVALKALVLVHRLMNDGDPVFQEEILYATRKGTRLLNMSDFRDEAHSSSWDHSAFVRTYAMYLDQRLELMLFDRKRGSGSGSGGSSHGNGDDRYSVRDNFRSPPPRQNEYDYGDFRGDNNGYGNYGMNRRTRSFGDMSEAVGRDGREEKKAVTPLREMKPERIFGKMGHLQRLLDRFLSCRPTGLAKNGRMILIALYPVVKESFQLYADICEVLAVLLDRFFDMEYPDCVKAFDAYASAAKQIDELIAFYNWCKDIGVARSSEYPEVQRITDKLLETLEEFVRDRAKKPTSPERKELPPPPKEEEPEPNMNEVKALPAPENYAPPPPPSEPEPVKPPEPQEDLVDLRDDVVTADDQGNKFALALFNGPAANNGNGSWEAFPSNGQPELTSAWQTPAAEPGKADWELALVESASNLSRQKAALGGGLDPLLLNGMYDQGMVRQHVSTGQLSGGSASSVALPGPGKTPVLALPAPDGTVQNVNQDPFAASLSIPPPSYVQMADMEKKQHLLVQEQQVWQQYSKDGMQGQSSLAKINDPGYYGPGPMPVMPYGMPPVNGMGPPPGYYYTPY >KJB55024 pep chromosome:Graimondii2_0_v6:9:4198351:4200306:-1 gene:B456_009G058500 transcript:KJB55024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTIRKAIGAVKDQTTIGIAKVASNMAPDLEVAVVKATSHDDDPADEKYIREILSLTSYSRGYVHACVSAVSKRLGKTRDWIVALKALVLVHRLMNDGDPVFQEEILYATRKGTRLLNMSDFRDEAHSSSWDHSAFVRTYAMYLDQRLELMLFDRKRGSGSGSGGSSHGNGDDRYSVRDNFRSPPPRQNEYDYGDFRGDNNGYGNYGMNRRTRSFGDMSEAVGRDGREEKKAVTPLREMKPERIFGKMGHLQRLLDRFLSCRPTGLAKNGRMILIALYPVVKESFQLYADICEVLAVLLDRFFDMEYPDCVKAFDAYASAAKQIDELIAFYNWCKDIGVARSSEYPEVQRITDKLLETLEEFVRDRAKKPTSPERKELPPPPKEEEPEPNMNEVKALPAPENYAPPPPPSEPEPVKPPEPQEDLVDLRDDVVTADDQGNKFALALFNGPAANNGNGSWEAFPSNGQPELTSAWQTPAAEPGKADWELALVESASNLSRQKAALGGGLDPLLLNGMYDQGMVRQHVSTGQLSGGSASSVALPGPGKTPVLALPAPDGTVQNVNQDPFAASLSIPPPSYVQMADMEKKQHLLVQEQQVWQQYSKDGMQGQSSLAKINDPGYYGPGPMPVMPYGMPPVNGMGPPPGYYYTPY >KJB55020 pep chromosome:Graimondii2_0_v6:9:4198351:4200306:-1 gene:B456_009G058500 transcript:KJB55020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTIRKAIGAVKDQTTIGIAKVASNMAPDLEVAVVKATSHDDDPADEKYIREILSLTSYSRGYVHACVSAVSKRLGKTRDWIVALKALVLVHRLMNDGDPVFQEEILYATRKGTRLLNMSDFRDEAHSSSWDHSAFVRTYAMYLDQRLELMLFDRKRGSGSGSGGSSHGNGDDRYSVRDNFRSPPPRQNEYDYGDFRGDNNGYGNYGMNRRTRSFGDMSEAVGRDGREEKKAVTPLREMKPERIFGKMGHLQRLLDRFLSCRPTGLAKNGRMILIALYPVVKESFQLYADICEVLAVLLDRFFDMEYPDCVKAFDAYASAAKQIDELIAFYNWCKDIGVARSSEYPEVQRITDKLLETLEEFVRDRAKKPTSPERKELPPPPKEEEPEPNMNEVKALPAPENYAPPPPPSEPEPVKPPEPQEDLVDLRDDVVTADDQGNKFALALFNGPAANNGNGSWEAFPSNGQPELTSAWQTPAAEPGKADWELALVESASNLSRQKAALGGGLDPLLLNGMYDQGMVRQHVSTGQLSGGSASSVALPGPGKTPVLALPAPDGTVQNVNQDPFAASLSIPPPSYVQMADMEKKQHLLVQEQQVWQQYSKDGMQGQSSLAKINDPGYYGPGPMPVMPYGMPPVNGMGPPPGYYYTPY >KJB55022 pep chromosome:Graimondii2_0_v6:9:4197526:4200979:-1 gene:B456_009G058500 transcript:KJB55022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTIRKAIGAVKDQTTIGIAKVASNMAPDLEVAVVKATSHDDDPADEKYIREILSLTSYSRGYVHACVSAVSKRLGKTRDWIVALKALVLVHRLMNDGDPVFQEEILYATRKGTRLLNMSDFRDEAHSSSWDHSAFVRTYAMYLDQRLELMLFDRKRGSGSGSGGSSHGNGDDRYSVRDNFRSPPPRQNEYDYGDFRGDNNGYGNYGMNRRTRSFGDMSEAVGRDGREEKKAVTPLREMKPERIFGKMGHLQRLLDRFLSCRPTGLAKNGRMILIALYPVVKESFQLYADICEVLAVLLDRFFDMEYPDCVKAFDAYASAAKQIDELIAFYNWCKDIGVARSSEYPEVQRITDKLLETLEEFVRDRAKKPTSPERKELPPPPKEEEPEPNMNEVKALPAPENYAPPPPPSEPEPVKPPEPQEDLVDLRDDVVTADDQGNKFALALFNGPAANNGNGSWEAFPSNGQPELTSAWQTPAAEPGKADWELALVESASNLSRQKAALGGGLDPLLLNGMYDQGMVRQHVSTGQLSGGSASSVALPGPGKTPVLALPAPDGTVQNVNQDPFAASLSIPPPSYVQMADMEKKQHLLVQEQQVWQQYSKDGMQGQSSLAKINDPGYYGPGPMPVMPYGMPPVNGMGPPPGYYYTPY >KJB59892 pep chromosome:Graimondii2_0_v6:9:23618795:23622321:1 gene:B456_009G279600 transcript:KJB59892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGCGSWFPLAWQSHNMNPLAAPPPLGQQNANPLFINSGTKMVSTRGTLPVYGYHDLPHLRIGQVNEPQGWFHCSPRFQQVFAPSSNTFLKEQLPANPYENLTENIVPKAGSGCPQKRFLVFDQSGGQTTLIFSSAFGTPIKCSSLGPNLPFGCNLNKEDPMATVNPNLHPGPISKDVFDDNETDVQSEMHEDTEELNALLYSDDDSDYTEDEEVTSTGHSPSTMTAHCEQFEGGAEGVASSSGLTKKRKLLDGSNDDTPLLMDNTSSLNHCRCSEQEDDADSSFANGQNLRSDGMHLSSGNKRMRMDKIRETVSVLQSLIPGVEGKDAIVVLDEAIDYLKFLKRKAETLGLSSP >KJB59894 pep chromosome:Graimondii2_0_v6:9:23618795:23622321:1 gene:B456_009G279600 transcript:KJB59894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGCGSWFPLAWQSHNMNPLAAPPPLGQQNANPLFINSGTKMVSTRGTLPVYGYHDLPHLRIGQVNEPQGWFHCSPRFQQVFAPSSNTFLKEQLPANPYENLTENIVPKAGSGCPQKRFLVFDQSGGQTTLIFSSAFGTPIKCSSLGPNLPFGCNLNKEDPMATVNPNLHPGPISKDVFDDNETDVQSEMHEDTEELNALLYSDDDSDYTEDEEVTSTGHSPSTMTAHCEQFEGGAEGVASSSGLTKKRKLLDGSNDDTPLLMDNTSSLNHCRCSEQEDDADSSFANGQNLRSDGMHLSSGNKRMRMDKIRETVSVLQSLIPGVEGKDAIVVLDEAIDYLKFLKRKAETLGLSSP >KJB59893 pep chromosome:Graimondii2_0_v6:9:23618795:23622321:1 gene:B456_009G279600 transcript:KJB59893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGCGSWFPLAWQSHNMNPLAAPPPLGQQNANPLFINSGTKMVSTRGTLPVYGYHDLPHLRIGQVNEPQGWFHCSPRFQQVFAPSSNTFLKEQLPANPYENLTENIVPKAGSGCPQKRFLVFDQSGGQTTLIFSSAFGTPIKCSSLGPNLPFGCNLNKEDPMATVNPNLHPGPISKDVFDDNETDVQSEMHEDTEELNALLYSDDDSDYTEDEEVTSTGHSPSTMTAHCEQFEGGAEGVASSSGLTKKRKLLDGSNDDTPLLMDNTSSLNHCRCSEQEDDADSSFANGQNLRSDGMHLSSGNKRMRMDKIRETVSVLQSLIPGVEGKDAIVVLDEAIDYLKFLKRKAETLGLSSP >KJB61242 pep chromosome:Graimondii2_0_v6:9:43033660:43039999:-1 gene:B456_009G347600 transcript:KJB61242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKGEESDKILELFLKIGLDERTARNTIANNKVTANLTAVIHEAALANGCDRAIGNFLYTVATKYPANALVHRPKLLEYVVSSKIKTPAQLEAAFSFLSNVASEEFKRNEFEEACGVGVEVSLEELTQTVNEIFEENKAVILERRYRTNVGELFGSIRKKHPWADPKMAKQLVDAKMYELLGERTAADDEKPSKKKEKKEKPAKVEKAVVDETPAQPSEEELNPFSIFPAPEDNIKVHTEVFFSNGSVLRCCNTRERLDNHLKVTGGRVFTRFPPEPNGYLHIGHAKAMFVDFGLAKERGGCCYLRYDDTNPEAEKKEYINHIEEIVQWMGWEPFKITYTSDYFPELYELAVELIRRGHAYVDHQTPEEIKEYREKKMNSPWRDRPIAESLKLFDDMKRGLIEEGKATLRMKQDMQSDNFNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLHVLDLYQPYVWEYSRLNVTNTVMSKRKLNYIVTNKYVDGWDDPRLMTLAGLRRRGVTSTAINSFVRGIGITRSDCSMIRLDRLEYHIREELNKTAPRVLVVLHPLKVVITNLESGSVLDLDAKKWPDARTDDTSAFYKVPFSNVVYIERSDFRMKDSKDYYGLAPGKSALLRYAFPIKCTDVILADDKETVLEIRAEYDASKKSKPKGVLHWVAEPSPGSYPLKIEVRLFDKLFNSENPAELDNWLTDLNPNSKVVVTTAYAVPSLGYFTVDKDSTAEKLVFNRTVTLKDTYSKGGK >KJB61241 pep chromosome:Graimondii2_0_v6:9:43033352:43040085:-1 gene:B456_009G347600 transcript:KJB61241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKGEESDKILELFLKIGLDERTARNTIANNKVTANLTAVIHEAALANGCDRAIGNFLYTVATKYPANALVHRPKLLEYVVSSKIKTPAQLEAAFSFLSNVASEEFKRNEFEEACGVGVEVSLEELTQTVNEIFEENKAVILERRYRTNVGELFGSIRKKHPWADPKMAKQLVDAKMYELLGERTAADDEKPSKKKEKKEKPAKVEKAVVDETPAQPSEEELNPFSIFPAPEDNIKVHTEVFFSNGSVLRCCNTRERLDNHLKVTGGRVFTRFPPEPNGYLHIGHAKAMFVDFGLAKERGGCCYLRYDDTNPEAEKKEYINHIEEIVQWMGWEPFKITYTSDYFPELYELAVELIRRGHAYVDHQTPEEIKEYREKKMNSPWRDRPIAESLKLFDDMKRGLIEEGKATLRMKQDMQSDNFNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLHVLDLYQPYVWEYSRLNVTNTVMSKRKLNYIVTNKYVDGWDDPRLMTLAGLRRRGVTSTAINSFVRGIGITRSDCSMIRLDRLEYHIREELNKTAPRVLVVLHPLKVVITNLESGSVLDLDAKKWPDARTDDTSAFYKVPFSNVVYIERSDFRMKDSKDYYGLAPGKSALLRYAFPIKCTDVILADDKETVLEIRAEYDASKKSKPKGVLHWVAEPSPGSYPLKIEVRLFDKLFNSENPAELDNWLTDLNPNSKVVVTTAYAVPSLGKAAVGDTFQFERLGYFTVDKDSTAEKLVFNRTVTLKDTYSKGGK >KJB61243 pep chromosome:Graimondii2_0_v6:9:43034321:43039999:-1 gene:B456_009G347600 transcript:KJB61243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKGEESDKILELFLKIGLDERTARNTIANNKVTANLTAVIHEAALANGCDRAIGNFLYTVATKYPANALVHRPKLLEYVVSSKIKTPAQLEAAFSFLSNVASEEFKRNEFEEACGVGVEVSLEELTQTVNEIFEENKAVILERRYRTNVGELFGSIRKKHPWADPKMAKQLVDAKMYELLGERTAADDEKPSKKKEKKEKPAKVEKAVVDETPAQPSEEELNPFSIFPAPEDNIKVHTEVFFSNGSVLRCCNTRERLDNHLKVTGGRVFTRFPPEPNGYLHIGHAKAMFVDFGLAKERGGCCYLRYDDTNPEAEKKEYINHIEEIVQWMGWEPFKITYTSDYFPELYELAVELIRRGHAYVDHQTPEEIKEYREKKMNSPWRDRPIAESLKLFDDMKRGLIEEGKATLRMKQDMQSDNFNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLHVLDLYQPYVWEYSRLNVTNTVMSKRKLNYIVTNKYVDGWDDPRLMTLAGLRRRGVTSTAINSFVRGIGITRSDCSMIRLDRLEYHIREELNKTAPRVLVVLHPLKVVITNLESGSVLDLDAKKWPDARTDDTSAFYKVPFSNVVYIERSDFRMKDSKDYYGLAPGKSALLRYAFPIKCTDVILADDKETVLEIRAEYDASKKSKPKVLICLFLEVVLEYSDDY >KJB58642 pep chromosome:Graimondii2_0_v6:9:17035340:17039207:-1 gene:B456_009G219300 transcript:KJB58642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKTQRKNATMLDSDDDNSSVSSSSTTRSDRMSVSASEEVEFNKDSLLDEAVDALYEKRGSTREKALAVIIEAFNSNLQQGQQFVERKFATLLHQCLNSIKKGSSKEISLASHTIGLLALTVGPGDNAREILEESMIPLSQAFKSGSDPSKTASLLECMAVITFVGGDDPEDTEKSMQIIWQVVHPKLGSNVVAVKPSAAVITAVVSAWSFLLTTMDGWRLSPKLWQELISLGHLCRSITYLSSLLDKDDRSVRIAAGEALAVIFEMGSLEKFAAETKGSSDGSVSEGNKSKEGFSYIQGLKGKILNQVRDLSVEAGGKGSAKKDLNNQRNLFKDVLEFLEDCYSPNTSMKIGGDLLQTSSWSQLIQLNFLRCFLAGGFTKHMQENEFLQDIFGFTPNKRNLLGSEHMSNGEKRMYKSPNSALNKARTQLLNKQRMLSAGRNFGHYAVNVGDEDS >KJB58643 pep chromosome:Graimondii2_0_v6:9:17035340:17039391:-1 gene:B456_009G219300 transcript:KJB58643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKTQRKNATMLDSDDDNSSVSSSSTTRSDRMSVSASEEVEFNKDSLLDEAVDALYEKRGSTREKALAVIIEAFNSNLQQGQQFVERKFATLLHQCLNSIKKGSSKEISLASHTIGLLALTVGPGDNAREILEESMIPLSQAFKSGSDPSKTASLLECMAVITFVGGDDPEDTEKSMQIIWQVVHPKLGSNVVAVKPSAAVITAVVSAWSFLLTTMDGWRLSPKLWQESITYLSSLLDKDDRSVRIAAGEALAVIFEMGSLEKFAAETKGSSDGSVSEGNKSKEGFSYIQGLKGKILNQVRDLSVEAGGKGSAKKDLNNQRNLFKDVLEFLEDCYSPNTSMKIGGDLLQTSSWSQLIQLNFLRCFLAGGFTKHMQENEFLQDIFGFTPNKRNLLGSEHMSNGEKRMYKSPNSALNKARTQLLNKQRMLSAGRNFGHYAVNVGDEDS >KJB58641 pep chromosome:Graimondii2_0_v6:9:17035340:17039207:-1 gene:B456_009G219300 transcript:KJB58641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKTQRKNATMLDSDDDNSSVSSSSTTRSDRMSVSASEEVEFNKDSLLDEAVDALYEKRGSTREKALAVIIEAFNSNLQQGQQFVERKFATLLHQCLNSIKKGSSKEISLASHTIGLLALTVGPGDNAREILEESMIPLSQAFKSGSDPSKTASLLECMAVITFVGGDDPEDTEKSMQIIWQVVHPKLGSNVVAVKPSAAVITAVVSAWSFLLTTMDGWRLSPKLWQESITYLSSLLDKDDRSVRIAAGEALAVIFEMGSLEKFAAETKGSSDGSVSEGNKSKEGFSYIQGLKGKILNQVRDLSVEAGGKGSAKKDLNNQRNLFKDVLEFLEDCYSPNTSMKIGGDLLQTSSWSQLIQLNFLRCFLAGGFTKHMQENEFLQDIFGFTPNKRNLLGSEHMSNGEKRMYKSPNSALNKARTQLLNKQRMLSAVRTLGTMLLM >KJB58644 pep chromosome:Graimondii2_0_v6:9:17036383:17038943:-1 gene:B456_009G219300 transcript:KJB58644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKTQRKNATMLDSDDDNSSVSSSSTTRSDRMSVSASEEVEFNKDSLLDEAVDALYEKRGSTREKALAVIIEAFNSNLQQGQQFVERKFATLLHQCLNSIKKGSSKEISLASHTIGLLALTVGPGDNAREILEESMIPLSQAFKSGSDPSKTASLLECMAVITFVGGDDPEDTEKSMQIIWQVVHPKLGSNVVAVKPSAAVITAVVSAWSFLLTTMDGWRLSPKLWQESITYLSSLLDKDDRSVRIAAGEALAVIFEMGSLEKFAAETKGSSDGSVSEGNKSKEGFSYIQGLKGKILNQVRDLSVEAGGKGSAKKDLNNQRNLFKDVLEFLEVPYYCPSFRELIVLRTFMLTVILMLLGLL >KJB58639 pep chromosome:Graimondii2_0_v6:9:17035340:17037833:-1 gene:B456_009G219300 transcript:KJB58639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLSQAFKSGSDPSKTASLLECMAVITFVGGDDPEDTEKSMQIIWQVVHPKLGSNVVAVKPSAAVITAVVSAWSFLLTTMDGWRLSPKLWQESITYLSSLLDKDDRSVRIAAGEALAVIFEMGSLEKFAAETKGSSDGSVSEGNKSKEGFSYIQGLKGKILNQVRDLSVEAGGKGSAKKDLNNQRNLFKDVLEFLEDCYSPNTSMKIGGDLLQTSSWSQLIQLNFLRCFLAGGFTKHMQENEFLQDIFGFTPNKRNLLGSEHMSNGEKRMYKSPNSALNKARTQLLNKQRMLSAGRNFGHYAVNVGDEDS >KJB58640 pep chromosome:Graimondii2_0_v6:9:17035697:17039207:-1 gene:B456_009G219300 transcript:KJB58640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKTQRKNATMLDSDDDNSSVSSSSTTRSDRMSVSASEEVEFNKDSLLDEAVDALYEKRGSTREKALAVIIEAFNSNLQQGQQFVERKFATLLHQCLNSIKKGSSKEISLASHTIGLLALTVGPGDNAREILEESMIPLSQAFKSGSDPSKTASLLECMAVITFVGGDDPEDTEKSMQIIWQVVHPKLGSNVVAVKPSAAVITAVVSAWSFLLTTMDGWRLSPKLWQESITYLSSLLDKDDRSVRIAAGEALAVIFEMGSLEKFAAETKGSSDGSVSEGNKSKEGFSYIQGLKGKILNQVRDLSVEAGGKGSAKKDLNNQRNLFKDVLEFLEVPYYCPSFRELIVLRTFMLTVILMLLGLL >KJB56200 pep chromosome:Graimondii2_0_v6:9:8007028:8011014:-1 gene:B456_009G109700 transcript:KJB56200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQADLSVNNMSLEIRKKKLSGQQKKEVLEREISMLQKMLNQEEKMHEILTRVHHQEAGSSISIPNFLPPKTKELLAELAMVEGEIARLESQISQLRLDLKQEKEATKAKQWQPGSLMSYLQGHPSTTSNPNPIKQGGQEKVVFETKALHFISKAIKGDYTLSDFSLNERMDSRLLSEQKENQFQGEVKFQERVPRKSSLLKAASPLRDPRHPSPKLRERIPESNWDLPPKSLSSTLLSEESNQNWHPNKLSENIMKCLNFIFVRLLRTSRAMELEKSGPITRFMSTPLSSRSFRVESTLNPKSSLGSQKESRQQDPYGIFDMEESIPRDIGPYKNLVIFASNSMDPKCISSSIPLLKKVLMSNLQKVDLRSLTYQQKLAFWINMYNACIMHGYLQYGVPNTPEKFLTLMNKATLNVGGNTISAQAMEHYILRKPASSNMKEAYQKDDKDDQEAIVRKLYGLQLMDPNVTFALSCGTRSSPAVRIYTADGVAAELEKSKLEYLQASIAVTNTKKIALPELLLRNMFDFSVDMTSLVQWVCQQLPTSGSLRKSMVDCFRSHNSGKVSITVEKIPYDFEFQYLLAM >KJB56202 pep chromosome:Graimondii2_0_v6:9:8007129:8010963:-1 gene:B456_009G109700 transcript:KJB56202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQADLSVNNMSLEIRKKKLSGQQKKEVLEREISMLQKMLNQEEKMHEILTRVHHQEAGSSISIPNFLPPKTKELLAELAMVEGEIARLESQISQLRLDLKQEKEATKAKQWQPGSLMSYLQGHPSTTSNPNPIKQGGQEKVVFETKALHFISKAIKGDYTLSDFSLNERMDSRLLSEQKENQFQGEVKFQERVPRKSSLLKAASPLRDPRHPSPKLRERIPESNWDLPPKSLSSTLLSEESNQNWHPNKLSENIMKCLNFIFVRLLRTSRAMELEKSGPITRFMSTPLSSRSFRVESTLNPKSSLGSQKESRQQDPYGIFDMEESIPRDIGPYKNLVIFASNSMDPKCISSSIPLLKKLRVLMSNLQKVDLRSLTYQQKLAFWINMYNACIMHGYLQYGVPNTPEKFLTLMNKATLNVGGNTISAQAMEHYILRKPASSNMKEAYQKDDKDDQEAIVRKLYGLQLMDPNVTFALSCGTRSSPAVSLGINFGTLSVADVPDPKKLLNKFPWQVRIYTADGVAAELEKSKLEYLQASIAVTNTKKIALPELLLRNMFDFSVDMTSLVQWVCQQLPTSGSLRKSMVDCFRSHNSGKVSITVEKIPYDFEFQYLLAM >KJB56199 pep chromosome:Graimondii2_0_v6:9:8007129:8010963:-1 gene:B456_009G109700 transcript:KJB56199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQADLSVNNMSLEIRKKKLSGQQKKEVLEREISMLQKMLNQEEKMHEILTRVHHQEAGSSISIPNFLPPKTKELLAELAMVEGEIARLESQISQLRLDLKQEKEATKAKQWQPGSLMSYLQGHPSTTSNPNPIKQGGQEKVVFETKALHFISKAIKGDYTLSDFSLNERMDSRLLSEQKENQFQGEVKFQERVPRKSSLLKAASPLRDPRHPSPKLRERIPESNWDLPPKSLSSTLLSEESNQNWHPNKLSENIMKCLNFIFVRLLRTSRAMELEKSGPITRFMSTPLSSRSFRVESTLNPKSSLGSQKESRQQDPYGIFDMEESIPRDIGPYKNLVIFASNSMDPKCISSSIPLLKKLRVLMSNLQKVDLRSLTYQQKLAFWINMYNACIMHGYLQYGVPNTPEKFLTLMNKATLNVGGNTISAQAMEHYILRKPASSNMKEAYQKDDKDDQEAIVRKLYGLQLMDPNVTFALSCGTRSSPAVRIYTADGVAAELEKSKLEYLQASIAVTNTKKIALPELLLRNMFDFSVDMTSLVQWVCQQLPTSGSLRKSMVDCFRSHNSGKVSITVEKIPYDFEFQYLLAM >KJB56198 pep chromosome:Graimondii2_0_v6:9:8007028:8011014:-1 gene:B456_009G109700 transcript:KJB56198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQADLSVNNMSLEIRKKKLSGQQKKEVLEREISMLQKMLNQEEKMHEILTRVHHQEAGSSISIPNFLPPKTKELLAELAMVEGEIARLESQISQLRLDLKQEKEATKAKQWQPGSLMSYLQGHPSTTSNPNPIKQGGQEKVVFETKALHFISKAIKGDYTLSDFSLNERMDSRLLSEQKENQFQGEVKFQERVPRKSSLLKAASPLRDPRHPSPKLRERIPESNWDLPPKSLSSTLLSEESNQNWHPNKLSENIMKCLNFIFVRLLRTSRAMELEKSGPITRFMSTPLSSRSFRVESTLNPKSSLGSQKESRQQDPYGIFDMEESIPRDIGPYKNLVIFASNSMDPKCISSSIPLLKKLRVLMSNLQKVDLRSLTYQQKLAFWINMYNACIMHGYLQYGVPNTPEKFLTLMNKATLNVGGNTISAQAMEHYILRKPASSNMKEKDDKDDQEAIVRKLYGLQLMDPNVTFALSCGTRSSPAVRIYTADGVAAELEKSKLEYLQASIAVTNTKKIALPELLLRNMFDFSVDMTSLVQWVCQQLPTSGSLRKSMVDCFRSHNSGKVSITVEKIPYDFEFQYLLAM >KJB56201 pep chromosome:Graimondii2_0_v6:9:8007129:8010963:-1 gene:B456_009G109700 transcript:KJB56201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQADLSVNNMSLEIRKKKLSGQQKKEVLEREISMLQKMLNQEEKMHEILTRVHHQEAGSSISIPNFLPPKTKELLAELAMVEGEIARLESQISQLRLDLKQEKEATKAKQWQPGSLMSYLQGHPSTTSNPNPIKQGGQEKVVFETKALHFISKAIKGDYTLSDFSLNERMDSRLLSEQKENQFQGEVKFQERVPRKSSLLKAASPLRDPRHPSPKLRERIPESNWDLPPKSLSSTLLSEESNQNWHPNKLSENIMKCLNFIFVRLLRTSRAMELEKSGPITRFMSTPLSSRSFRVESTLNPKSSLGSQKESRQQDPYGIFDMEESIPRDIGPYKNLVIFASNSMDPKCISSSIPLLKKLRVLMSNLQKVDLRSLTYQQKLAFWINMYNACIMHLQGYLQYGVPNTPEKFLTLMNKATLNVGGNTISAQAMEHYILRKPASSNMKEAYQKDDKDDQEAIVRKLYGLQLMDPNVTFALSCGTRSSPAVRIYTADGVAAELEKSKLEYLQASIAVTNTKKIALPELLLRNMFDFSVDMTSLVQWVCQQLPTSGSLRKSMVDCFRSHNSGKVSITVEKIPYDFEFQYLLAM >KJB54040 pep chromosome:Graimondii2_0_v6:9:1400322:1402015:1 gene:B456_009G017700 transcript:KJB54040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFWLVFIVNIVMVSLVQGQGSGLQNGFYSSSCPKAGAVVRSTVESHFNKDPTIAAALLRLHFHDCFVQGCDGSVLISGSSAERSALPNLGLRGFEVIDDAKALLESLCPGVVSCADILAVAARDAVDLSDGPSWAVPTGRRDGRVSSSSQTSSLPSPLESIAVQRQKFAAKGLNDHDLVTLVGAHTIGQTDCLFFRYRLYNFTTTGSADPTINQSFLTQLQSLCPRNGDGSKKVGLDIGSETKFDVSFFKNVRDGKGVLESDQRLWGDDGTRRVVENYAENVRGLLGLRFDYEFRKAMIKMSSIEVKTGSDGEIRKTCSKINK >KJB54039 pep chromosome:Graimondii2_0_v6:9:1400377:1401832:1 gene:B456_009G017700 transcript:KJB54039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFWLVFIVNIVMVSLVQGQGSGLQNGFYSSSCPKAGAVVRSTVESHFNKDPTIAAALLRLHFHDCFVQGCDGSVLISGSSAERSALPNLGLRGFEVIDDAKALLESLCPGVVSCADILAVAARDAVDLSDGPSWAVPTGRRDGRVSSSSQTSSLPSPLESIAVQRQKFAAKGLNDHDLVTLVGAHTIGQTDCLFFRYRLYNFTTTGSADPTINQSFLTQLQSLCPRNGDGSKKVGLDIGSETKFDVSFFKNVRDGKGVLESDQRLWGDDGTRRVVENYAENVRGLLGLRFDYEFRKAMIKMSSIEVKTGSDGEIRKTCSKINK >KJB63096 pep chromosome:Graimondii2_0_v6:9:70422818:70427546:1 gene:B456_009G453100 transcript:KJB63096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSILSPLLLSVFLFSLLQSSTFAVRKSYIVYLGGHNHGLNPTSAELHRVKNSHYELLGSLVGSPETAKEKIFYSYTRNINGFAAILDEDEASQVSKHPNVVSVFLNKGRKLHTTRSWDFLRLERNGVIPSDSLWKKAKFGEDTIIGNLDTGVWPESKSFSDDGIGPIPSRWRGSCQRGVDDRFRCNRKLIGAKYFNRGYKAYLGEKLNATFKTVRDHEGHGSHTLSTAGGNFVDGASVFGYGNGTAKGGSPKARVAAYKVCWPPVNGNQCFDADIMAAFDAAISDGVDVLSVSLGGEPAEFFEDGIAIGSFHAVKKGISVVLSGGNSGPAPGTVSNLSPWMFTVGASTLDREFTSYVELGNKIRLKGASLAAATLKSKTSYPLIGADCAKAANASAVDAILCQPGSLDPTKVKGKILVCLRGINARTDKGKQALLAGAVGMILANDEKSGNEVIADPHLLPATHLNFTDGVTVFAYINSTKKPTAYLTPVKTQLDAKPAPFMASFSSRGPNMIDPAILKPDITAPGVSIIAAFSESVGPTEDESDTRRIPFTSQSGTSMSCPHVSGIVGLLKSLHPEWSPAAIRSAIMTTARTRDNTVNPMLDSDGNKATPFSYGAGHVRPNRAMDPGLIYDLTIDDYVNYLCARGYNQTTIQMFSNKPYVCPKSYNVADLNYPSITVPELNGTTIITRKVKNVGTPGTYKAHVQSPVGVMVTVHPSTLKFTKIGEEKKFEVRLKPSSKKVDAKGGVGYVFGVLRWCDGYHYVRTPLAVKRK >KJB61738 pep chromosome:Graimondii2_0_v6:9:51426386:51429212:1 gene:B456_009G379300 transcript:KJB61738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKEILHKMKEKVQEKVGLNPSNNAESGKGKSKMSKNITHGYHLVKGKANHPMEDYVFAEFKQVNGSELGLFAIFDGHLSHVIPDYLKANLFNNILNEPDFWTEPENAIRKAYRLTDTNILEQAIDLGKGGSTAVTAILINCQKLVIANVGDSRAVISKNGVAKQLSVDHEPASERESIENRGGFVSNFPGDVAPVDAIKGIKDARSAAKHLTEEAVKRNSKDDISIIVVKF >KJB61740 pep chromosome:Graimondii2_0_v6:9:51426612:51427791:1 gene:B456_009G379300 transcript:KJB61740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKEILHKMKEKVQEKVGLNPSNNAESGKGKSKMSKNITHGYHLVKGKANHPMEDYVFAEFKQVNGSELGLFAIFDGHLSHVIPDYLKANLFNNILNEPDFWTEPENAIRKAYRLTDTNILEQAIDLGKGGSTAVTAILINCQKLVIANVGDSRAVISKNGVAKQLSVDHEPASERESIENRGGFVSNFPGNGCLIFWG >KJB61737 pep chromosome:Graimondii2_0_v6:9:51426386:51429212:1 gene:B456_009G379300 transcript:KJB61737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKEILHKMKEKVQEKVGLNPSNNAESGKGKSKMSKNITHGYHLVKGKANHPMEDYVFAEFKQVNGSELGLFAIFDGHLSHVIPDYLKANLFNNILNEPDFWTEPENAIRKAYRLTDTNILEQAIDLGKGGSTAVTAILINCQKLVIANVGDSRAVISKNGVAKQLSVDHEPASERESIENRGGFVSNFPGDVARVDGQLAVARAFGDKSLKKHLTSEPDVSIETIDDDTDLIILASDGLWKVMSNQEAVDAIKGIKDARSAAKHLTEEAVKRNSKDDISIIVVKF >KJB61742 pep chromosome:Graimondii2_0_v6:9:51426386:51429212:1 gene:B456_009G379300 transcript:KJB61742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKEILHKMKEKVQEKVGLNPSNNAESGKGKNYVFAEFKQVNGSELGLFAIFDGHLSHVIPDYLKANLFNNILNEPDFWTEPENAIRKAYRLTDTNILEQAIDLGKGGSTAVTAILINCQKLVIANVGDSRAVISKNGVAKQLSVDHEPASERESIENRGGFVSNFPGDVARVDGQLAVARAFGDKSLKKHLTSEPDVSIETIDDDTDLIILASDGLWKVMSNQEAVDAIKGIKDARSAAKHLTEEAVKRNSKDDISIIVVKF >KJB61739 pep chromosome:Graimondii2_0_v6:9:51426386:51429334:1 gene:B456_009G379300 transcript:KJB61739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKEILHKMKEKVQEKVGLNPSNNAESGKGKSKMSKNITHGYHLVKGKANHPMEDYVFAEFKQVNGSELGLFAIFDGHLSHVIPDYLKANLFNNILNEPDFWTEPENAIRKAYRLTDTNILEQAIDLGKGGSTAVTAILINCQKLVIANVGDSRAVISKNGVAKQLSVDHEPASERESIENRGGFVSNFPGDVARVDGQLAVARAFGDKSLKKHLTSEPDVSIETIDDDTDLIILASDGLWKVMSNQEAVDAIKGIKDARSAAKHLTEEAVKRNSKDDISIIVVKF >KJB61736 pep chromosome:Graimondii2_0_v6:9:51426386:51429212:1 gene:B456_009G379300 transcript:KJB61736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKEILHKMKEKVQEKVGLNPSNNAESGKGKSKMSKNITHGYHLVKGKANHPMEDYVFAEFKQVNGSELGLFAIFDGHLSHVIPDYLKANLFNNILNEPDFWTEPENAIRKAYRLTDTNILEQAIDLGKGGSTAVTAILINCQKLVIANVGDSRAVISKNGVAKQLSVDHEPASERESIENRGGFVSNFPGDVARVDGQLAVARAFGDKSLKKHLTSEPDVSIETIDDDTDLIILASDGLWKVMSNQEAVDAIKGIKDARSAAKHLTEEAVKRNSKDDISIIVVKF >KJB61741 pep chromosome:Graimondii2_0_v6:9:51426335:51429212:1 gene:B456_009G379300 transcript:KJB61741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKEILHKMKEKVQEKVGLNPSNNAESGKGKSKMSKNITHGYHLVKGKANHPMEDYVFAEFKQVNGSELGLFAIFDGHLSHVIPDYLKANLFNNILNEPDFWTEPENAIRKAYRLTDTNILEQAIDLGKGGSTAVTAILINCQKLVIANVGDSRAVISKNGVAKQLSVDHEPASERESIENRGGFVSNFPGDVARVDGQLAVARAFGDKSLKKHLTSEPDVSIETIDDDTDLIILASDGLWKVMSNQEAVDAIKGIKDARSAAKHLTEEAVKRNSKDDISIIVVKF >KJB57891 pep chromosome:Graimondii2_0_v6:9:14247162:14249650:1 gene:B456_009G185200 transcript:KJB57891 gene_biotype:protein_coding transcript_biotype:protein_coding description:RABB1C [Source:Projected from Arabidopsis thaliana (AT4G17170) UniProtKB/TrEMBL;Acc:A0A178V4V1] MSYAYLFKYIIIGDTVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVTTEEGQQFAKDHGLIFMEASAKTAQNVEEAFIKTASTIYTKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGSSSQGGGCCN >KJB57889 pep chromosome:Graimondii2_0_v6:9:14247125:14249651:1 gene:B456_009G185200 transcript:KJB57889 gene_biotype:protein_coding transcript_biotype:protein_coding description:RABB1C [Source:Projected from Arabidopsis thaliana (AT4G17170) UniProtKB/TrEMBL;Acc:A0A178V4V1] MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVTTEEGQQFAKDHGLIFMEASAKTAQNVEEAFIKTASTIYTKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGSSSQGGGCCN >KJB57892 pep chromosome:Graimondii2_0_v6:9:14247386:14249650:1 gene:B456_009G185200 transcript:KJB57892 gene_biotype:protein_coding transcript_biotype:protein_coding description:RABB1C [Source:Projected from Arabidopsis thaliana (AT4G17170) UniProtKB/TrEMBL;Acc:A0A178V4V1] MITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVTTEEGQQFAKDHGLIFMEASAKTAQNVEEAFIKTASTIYTKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGSSSQGGGCCN >KJB57890 pep chromosome:Graimondii2_0_v6:9:14247162:14248210:1 gene:B456_009G185200 transcript:KJB57890 gene_biotype:protein_coding transcript_biotype:protein_coding description:RABB1C [Source:Projected from Arabidopsis thaliana (AT4G17170) UniProtKB/TrEMBL;Acc:A0A178V4V1] MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVTTEEGQQFAKDHGLIFMEASAKTAQNVEEAFIKTASTIYTKIQDGVFDVSNEVLTSS >KJB58338 pep chromosome:Graimondii2_0_v6:9:15908343:15911313:-1 gene:B456_009G205400 transcript:KJB58338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRHSRLHRPPLLSHLHHPLKSFHASSPLYWKLRDEYKLTRPEILSRITRLLILGRYNALNDLSFDFSDDLLDSVLQNLKLNPKSSLYFFKLASRQQKFRPNLTSYCKIVHILSRARMYDETRAYLSELVASCKNNSSSYLIWNEIVRVYNEFRFSPLVFDMLLKIFAENGLIKDALNVFDNMGKYGRVPSLKSCNSLLSNLVKNRESYTALLVYEQMIRIGIMPDVFTCSIIANAYCKEGRVNRAVEFVKEMENSGFDLNVVSYNSLIDGFVSLGDMEGAKKVMKLMIEKGISRNVVTYTMLVKGYCKNCEMEEAEKVIKEMEEELMVVDAYAYGVLLDGYCKVGKIDDALRIQEEMLKMGLKTNLFVCNSLINGYCKVGKTHEAERIFMCMDDWKIKPDSFSYNTLVDGYCRVGHMSKAFKLCDEMLQEGIEPSVLTYNTLLKGLCCAGAFNDALCLWRMMLKKGLTPDEVGCCTLLGVFFKMGDVESALGFWKSILARGFSKNRVVCNTMINGLCKFGKMDDAKEIFGKTMELGCLPDGITYRILSDGYCRIGEIDEAFKFKDKMEREAILPTVGMYNSLISGIFKSRKLSKLGDLLTEMHTRGIAPNLVTYGALISGWCDVGNLNKAFGIFFEMTERGFAPNIKICSKVVSCLYRLGRIDDANILLQKMVGTDPLLAHTGLDSLRANVSCLNIQNIANTIDENAKCFALPNNVVYNIAITGLCKSGKVDDARRFFLALLQQGFNPDNFTYTTLIHGYSASGDVNEAFRLRDEMLKVDLKPNIVTYNALINGLCKSGNLDRARRLFDKLPLKGLAPNAVTYYTLIDGYLKVGKTFEASSLTEKMIEEGISPSLATNSALVSGLREQEEKEKITKLHAMMHIVCPFLDFKPSLNRMLLIESSDPKASENSCFAFETMLMEARKS >KJB60385 pep chromosome:Graimondii2_0_v6:9:27126291:27129247:1 gene:B456_009G302600 transcript:KJB60385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPRLIRLFGDTRSISKGRALHAKIIISGMPRDIYTNNHLLAMYVRFDRILDARKVLEEMPERNVISWTALISGYSQVGMPEKALDCFSLMINDGVEPNYYTFVSAVSACASLGDGRAGKEVHGKIYRSGVDFSTPVSNSLINMYGKCGLLKSAQLVFDAMLSPNSISWTSLLSCYSQLAENLKSLNIFLKSRRVGVKINEFACASVLSACAGLEDLKFGMQIHCLVVKCGLEFDKFVETGLISVYAKCGDLNLACQVVLEVNQSKLAAWNSLIGGYVQQSKRREAIDIFLKLHSSGIRPSERTFSSILGAIADAENIELGNQLHTLIIKMGYSSFLVVRNSVLDFYSKCGFLQESLRSFEDIDEHDTVSWNSLISGYVRSGQYEDAIKLLKNMLFQGYKPNLYTYSIILSISSDFPAIEWGKQTHCCIIKPAFDSNVIVGSALVDMYAKCGVLNAAREVFDSLTSKNLVSWNTMLTGYAQHGFAREALEIYSMMQRGNVKPNDVTFIGLLSACAHAGLLKEGLYYYDSMTRDHGIAPRLEHLASIVNLLARKGETRRAYEFIRSFSMEPSKVVWRCLLSGCKIHKDLILGRLAAEKILSIDPEDTSAHIMLSNIYAEAKMWDKIAELRIIMNEKARKKDTGCSWIESKNKMYSFSSSHSTKFEGVNLIQVLNQLTVHLSDAGYFPHTSFYLSQERA >KJB60386 pep chromosome:Graimondii2_0_v6:9:27126291:27129260:1 gene:B456_009G302600 transcript:KJB60386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPRLIRLFGDTRSISKGRALHAKIIISGMPRDIYTNNHLLAMYVRFDRILDARKVLEEMPERNVISWTALISGYSQVGMPEKALDCFSLMINDGVEPNYYTFVSAVSACASLGDGRAGKEVHGKIYRSGVDFSTPVSNSLINMYGKCGLLKSAQLVFDAMLSPNSISWTSLLSCYSQLAENLKSLNIFLKSRRVGVKINEFACASVLSACAGLEDLKFGMQIHCLVVKCGLEFDKFVETGLISVYAKCGDLNLACQVVLEVNQSKLAAWNSLIGGYVQQSKRREAIDIFLKLHSSGIRPSERTFSSILGAIADAENIELGNQLHTLIIKMGYSSFLVVRNSVLDFYSKCGFLQESLRSFEDIDEHDTVSWNSLISGYVRSGQYEDAIKLLKNMLFQGYKPNLYTYSIILSISSDFPAIEWGKQTHCCIIKPAFDSNVIVGSALVDMYAKCGVLNAAREVFDSLTSKNLVSWNTMLTGYAQHGFAREALEIYSMMQRGNVKPNDVTFIGLLSACAHAGLLKEGLYYYDSMTRDHGIAPRLEHLASIVNLLARKGETRRAYEFIRSFSMEPSKVVWRCLLSGCKIHKDLILGRLAAEKILSIDPEDTSAHIMLSNIYAEAKMWDKIAELRIIMNEKARKKDTGCSWIESKNKMYSFSSSHSTKFEGVNLIQVLNQLTVHLSDAGYFPHTSFYLSQERA >KJB60384 pep chromosome:Graimondii2_0_v6:9:27126074:27129269:1 gene:B456_009G302600 transcript:KJB60384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPRLIRLFGDTRSISKGRALHAKIIISGMPRDIYTNNHLLAMYVRFDRILDARKVLEEMPERNVISWTALISGYSQVGMPEKALDCFSLMINDGVEPNYYTFVSAVSACASLGDGRAGKEVHGKIYRSGVDFSTPVSNSLINMYGKCGLLKSAQLVFDAMLSPNSISWTSLLSCYSQLAENLKSLNIFLKSRRVGVKINEFACASVLSACAGLEDLKFGMQIHCLVVKCGLEFDKFVETGLISVYAKCGDLNLACQVVLEVNQSKLAAWNSLIGGYVQQSKRREAIDIFLKLHSSGIRPSERTFSSILGAIADAENIELGNQLHTLIIKMGYSSFLVVRNSVLDFYSKCGFLQESLRSFEDIDEHDTVSWNSLISGYVRSGQYEDAIKLLKNMLFQGYKPNLYTYSIILSISSDFPAIEWGKQTHCCIIKPAFDSNVIVGSALVDMYAKCGVLNAAREVFDSLTSKNLVSWNTMLTGYAQHGFAREALEIYSMMQRGNVKPNDVTFIGLLSACAHAGLLKEGLYYYDSMTRDHGIAPRLEHLASIVNLLARKGETRRAYEFIRSFSMEPSKVVWRCLLSGCKIHKDLILGRLAAEKILSIDPEDTSAHIMLSNIYAEAKMWDKIAELRIIMNEKARKKDTGCSWIESKNKMYSFSSSHSTKFEGVNLIQVLNQLTVHLSDAGYFPHTSFYLSQERA >KJB54823 pep chromosome:Graimondii2_0_v6:9:3669819:3672272:1 gene:B456_009G050800 transcript:KJB54823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEAGDYTIFMGLDKYENEELIKYGFPEDIWFHVDKMSSAHVYLRLQKGQTIDDISEGLLEDCAQLVKANSIQGNKVNNIDVVYTPWSNLKKTPSMDVGQVGFHNSKMVRTLSVEKRINEIVNRLNRTKVERTPDLKAEREAVNAAERAERKQHMREKKRREELERLEKERQAEIRSYKGLMVSEKMTSNKQIAETSKSLQELEDDFM >KJB54826 pep chromosome:Graimondii2_0_v6:9:3669819:3672272:1 gene:B456_009G050800 transcript:KJB54826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEAGDYTIFMGLDKYENEELIKYGFPEDIWFHVDKMSSAHVYLRLQKGQTIDDISEGLLEDCAQLVKANSIQGNKVNNIDVVYTPWSNLKKTPSMDVGQVGFHNSKMVRTLSVEKRINEIVNRLNRTKVERTPDLKAEREAVNAAERAERKQHMREKKRREELERLEKERQAEIRSYKGLMVSEKMTSNKQIAETSKSLQELEDDFM >KJB54828 pep chromosome:Graimondii2_0_v6:9:3669819:3672300:1 gene:B456_009G050800 transcript:KJB54828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEAGDYTIFMGLDKYENEELIKYGFPEDIWFHVDKMSSAHVYLRLQKGQTIDDISEGLLEDCAQLVKANSIQGNKVNNIDVVYTPWSNLKKTPSMDVGQVGFHNSKMVRTLSVEKRINEIVNRLNRTKVERTPDLKAERAERKQHMREKKRREELERLEKERQAEIRSYKGLMVSEKMTSNKQIAETSKSLQELEDDFM >KJB54825 pep chromosome:Graimondii2_0_v6:9:3669819:3672272:1 gene:B456_009G050800 transcript:KJB54825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEAGDYTIFMGLDKYENEELIKYGFPEDIWFHVDKMSSAHVYLRLQKGQTIDDISEGLLEDCAQLVKANSIQGNKVNNIDVVYTPWSNLKKTPSMDVGQVGFHNSKMVRTLSVEKRINEIVNRLNRTKVERTPDLKAVNAAERAERKQHMREKKRREELERLEKERQAEIRSYKGLMVSEKMTSNKQIAETSKSLQELEDDFM >KJB54824 pep chromosome:Graimondii2_0_v6:9:3669697:3672300:1 gene:B456_009G050800 transcript:KJB54824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEAGDYTIFMGLDKYENEELIKYGFPEDIWFHVDKMSSAHVYLRLQKGQTIDDISEGLLEDCAQLVKANSIQGNKVNNIDVVYTPWSNLKKTPSMDVGQVGFHNSKMVRTLSVEKRINEIVNRLNRTKVERTPDLKAEREAVNAAERAERKQHMREKKRREELERLEKERQAEIRSYKGLMVSEKMTSNKQIAETSKSLQELEDDFM >KJB54827 pep chromosome:Graimondii2_0_v6:9:3669985:3670772:1 gene:B456_009G050800 transcript:KJB54827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEAGDYTIFMGLDKYENEELIKYGFPEDIWFHVDKMSSAHVYLRLQKGQTIDDISEGLLEDCAQLVKANSIQGNKVNNIDVVYTPWSNLKKTPSMDVGQVGFHNSKMVRTLSVEKRINEIVNRLNRTKVERTPDLKGINIPAVPFYALT >KJB55910 pep chromosome:Graimondii2_0_v6:9:7282001:7285705:1 gene:B456_009G100900 transcript:KJB55910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSSNGEQKTTSKPPPLPSPLRFSKFYQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLRKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMGEHTGPINIGNPGEFTMVELAETVKELIKPDVEINMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEEDFRLRLGISKE >KJB55909 pep chromosome:Graimondii2_0_v6:9:7281602:7285766:1 gene:B456_009G100900 transcript:KJB55909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSSNGEQKTTSKPPPLPSPLRFSKFYQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLRKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVDGLIRLMEGEHTGPINIGNPGEFTMVELAETVKELIKPDVEINMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEEDFRLRLGISKE >KJB55907 pep chromosome:Graimondii2_0_v6:9:7281843:7285766:1 gene:B456_009G100900 transcript:KJB55907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSSNGEQKTTSKPPPLPSPLRFSKFYQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLRKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVDGLIRLMEGEHTGPINIGNPGEFTMVELAETVKELIKPDVEINMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEEDFRLRLGISKE >KJB55908 pep chromosome:Graimondii2_0_v6:9:7281717:7285705:1 gene:B456_009G100900 transcript:KJB55908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSSNGEQKTTSKPPPLPSPLRFSKFYQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLRKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPETYWGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVDGLIRLMEGEHTGPINIGNPGEFTMVELAETVKELIKPDVEINMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEEDFRLRLGISKE >KJB55911 pep chromosome:Graimondii2_0_v6:9:7283236:7285705:1 gene:B456_009G100900 transcript:KJB55911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLRKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVDGLIRLMEGEHTGPINIGNPGEFTMVELAETVKELIKPDVEINMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEEDFRLRLGISKE >KJB59130 pep chromosome:Graimondii2_0_v6:9:19148008:19153292:-1 gene:B456_009G240000 transcript:KJB59130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMSHSKNEEVETKEMDIRSMSSSRRYVHSARYLHKVGVPPKQNLFQEFKATVRETFFADDPLRPFKDQPRSRKFVLATQAIFPILEWGRSYSWRKFRGDLIAGLTIASLCIPQDIGYAKLAYLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTMLSDELDPVQNKPEYLRLAFTATFFAGVTQATLGFLRLGFLIEFLSHAAIVGFMGGAAVTIALQQVKGLLGIKNFTKDTDIISVMKSVWSNVEHGWNWQTIVIGVSFLSFLLFAKYIGKRNKKFFWVPAIAPLISVVLSTFFVFITHAEKQGVMIVKHIEKGINPSSVNQIYFTGEYLVKGMRIGVIAGMIALTEAAAIGRTFAAMKDYQIDGNKEMVALGTMNIVGSMTSCYIATGSFSRSAVNFMAGCETAVSNIVMSCVVFLTLEFITPLFKYTPNAILAAIIISAVAGLLDFEAAILIWKIDKFDFLACMGAFLGTVFSSVEIGLLIAVIISFAKILLQVTRPRTAILGKLPGTTVYRNIQQYPEATKVPGILIVRVDSAIYFSNSSYVRERILRWLMDEEEQVKAECQSTIQFLIIEMSPVTDIDTSGIHALEELHSSLQKKNIQLILANPGPVVIEKLHASNFANLIGDDKIFLTVADAVSSCSPKPVQEQGELSSRRDYA >KJB59131 pep chromosome:Graimondii2_0_v6:9:19149193:19153292:-1 gene:B456_009G240000 transcript:KJB59131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMSHSKNEEVETKEMDIRSMSSSRRYVHSARYLHKVGVPPKQNLFQEFKATVRETFFADDPLRPFKDQPRSRKFVLATQAIFPILEWGRSYSWRKFRGDLIAGLTIASLCIPQDIGYAKLAYLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTMLSDELDPVQNKPEYLRLAFTATFFAGVTQATLGFLRLGFLIEFLSHAAIVGFMGGAAVTIALQQVKGLLGIKNFTKDTDIISVMKSVWSNVEHGWNWQTIVIGVSFLSFLLFAKYIGKRNKKFFWVPAIAPLISVVLSTFFVFITHAEKQGVMIVKHIEKGINPSSVNQIYFTGEYLVKGMRIGVIAGMIALTEAAAIGRTFAAMKDYQIDGNKEMVALGTMNIVGSMTSCYIATGSFSRSAVNFMAGCETAVSNIVMSCVVFLTLEFITPLFKYTPNAILAAIIISAVAGLLDFEAAILIWKIDKFDFLACMGAFLGTVFSSVEIGLLIAVIISFAKILLQVTRPRTAILGKLPGTTVYRNIQQYPEATKVPGILIVRVDSAIYFSNSSYVRERILRWLMDEEEQVKAECQSTIQFLIIEMSPVTDIDTSGIHALEELHSSLQKKNIQLILANPGPVVIEKLHASNFANLIGDDKIFLTVADAVSSCSPKPVQEQV >KJB55416 pep chromosome:Graimondii2_0_v6:9:5395902:5397036:-1 gene:B456_009G075300 transcript:KJB55416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium (LRP) protein-related [Source:Projected from Arabidopsis thaliana (AT5G12330) TAIR;Acc:AT5G12330] MGMVGLRDFVLVTPASFNHHHRHHHTQDSIMANDQINGPNDPTALGVGVIPLLTAAPCLAPHHAEDTDLLNNNDRSKFTGMRLWQKPNSSHYLIKSSSNPNNHNSSSMNLIQSSGGIGGTGSGSGGSGLSSGATCQDCGNQAKKDCSYRRCRTCCKSRSFDCPTHVKSTWVPAARRRDRQLMTVGATAAGAGLSGSTAGPKKPRLRTSQTTTASHTSTSNATTPPRSLDTCSSPQNAEFKEPLPAQVRATAVFKCVRVTTIEEGEDEFAYEAVVKIGGHVFKGLLYDQGVDGFPNISQLHLSGRNGESSSSPVLDPSQVYEATTGGGLLRGSNYGCTRN >KJB55417 pep chromosome:Graimondii2_0_v6:9:5396203:5397036:-1 gene:B456_009G075300 transcript:KJB55417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium (LRP) protein-related [Source:Projected from Arabidopsis thaliana (AT5G12330) TAIR;Acc:AT5G12330] MGMVGLRDFVLVTPASFNHHHRHHHTQDSIMANDQINGPNDPTALGVGVIPLLTAAPCLAPHHAEDTDLLNNNDRSKFTGMRLWQKPNSSHYLIKSSSNPNNHNSSSMNLIQSSGGIGGTGSGSGGSGLSSGATCQDCGNQAKKDCSYRRCRTCCKSRSFDCPTHVKSTWVPAARRRDRQLMTVGATAAGAGLSGSTAGPKKPRLRTSQTTTASHTSTSNATTPPRSLDTCSSPQSLYLFLFRCGV >KJB55415 pep chromosome:Graimondii2_0_v6:9:5395432:5397896:-1 gene:B456_009G075300 transcript:KJB55415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium (LRP) protein-related [Source:Projected from Arabidopsis thaliana (AT5G12330) TAIR;Acc:AT5G12330] MGMVGLRDFVLVTPASFNHHHRHHHTQDSIMANDQINGPNDPTALGVGVIPLLTAAPCLAPHHAEDTDLLNNNDRSKFTGMRLWQKPNSSHYLIKSSSNPNNHNSSSMNLIQSSGGIGGTGSGSGGSGLSSGATCQDCGNQAKKDCSYRRCRTCCKSRSFDCPTHVKSTWVPAARRRDRQLMTVGATAAGAGLSGSTAGPKKPRLRTSQTTTASHTSTSNATTPPRSLDTCSSPQNAEFKEPLPAQVRATAVFKCVRVTTIEEGEDEFAYEAVVKIGGHVFKGLLYDQGVDGFPNISQLHLSGRNGESSSSPVLDPSQVYEATTGGGLLRGSNYGCTRN >KJB63196 pep chromosome:Graimondii2_0_v6:9:66823794:66829682:1 gene:B456_009G426100 transcript:KJB63196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSKIKSVDFYRKIPRDLTEASLSGAGLSIIAALAMMFLFGMELSNYFTVSTSTSVIVDNSSDGDFLRIDFNMSFPALSCEFASVDVSDVLGTNRLNITKTIRKFSIDQHLRPTGAEFHSGPVPHSVKHGDEVDEETVEGAVPLNGVSFDRLSHVYPILVVNFFAPWCYWSIRLKPSWEKTANIIRQRYDPEADGRILLAKVDCTEEVDLCRRHHIQGYPSIRIFRKGSDLREDHGHHEHESYYGDRDTETLVKAMEDLVASIPRENRRLALEDKSNITKRPAPLTGGCRIEGYVRVKKVPGNLIISARSGSHSFDTSKMNMSHVINHLSFGKTISPRLLSDAKRLIPYLGRSHDRLNGRLFINHRDLDANVTIEHYLQIVKTEVATRKSSREHTLIEEYEYTAHSSLAQSIYIPVVKFHFELSPMQVLITENPKSFSHFLTNVCAIIGGVFTVSILAPCPEPPFFFTLHEIHGHWCLNLQVAGILDSILHNTIRLMKKVELGKNF >KJB63195 pep chromosome:Graimondii2_0_v6:9:66823794:66828882:1 gene:B456_009G426100 transcript:KJB63195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSKIKSVDFYRKIPRDLTEASLSGAGLSIIAALAMMFLFGMELSNYFTVSTSTSVIVDNSSDGDFLRIDFNMSFPALSCEFASVDVSDVLGTNRLNITKTIRKFSIDQHLRPTGAEFHSGPVPHSVKHGDEVDEETVEGAVPLNGVSFDRLSHVYPILVVNFFAPWCYWSIRLKPSWEKTANIIRQRYDPEADGRILLAKVDCTEEVDLCRRHHIQGYPSIRIFRKGSDLREDHGHHEHESYYGDRDTETLVKAMEDLVASIPRENRRLALEDKSNITKRPAPLTGGCRIEGYVRVKKVPGNLIISARSGSHSFDTSKMNMSHVINHLSFGKTISPRLLSDAKRLIPYLGRSHDRLNGRLFINHRDLDANVTVSLFFYTVLSFQLFYLCK >KJB63194 pep chromosome:Graimondii2_0_v6:9:66823687:66829748:1 gene:B456_009G426100 transcript:KJB63194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSKIKSVDFYRKIPRDLTEASLSGAGLSIIAALAMMFLFGMELSNYFTVSTSTSVIVDNSSDGDFLRIDFNMSFPALSCEFASVDVSDVLGTNRLNITKTIRKFSIDQHLRPTGAEFHSGPVPHSVKHGDEVDEETVEGAVPLNGVSFDRLSHVYPILVVNFFAPWCYWSIRLKPSWEKTANIIRQRYDPEADGRILLAKVDCTEEVDLCRRHHIQGYPSIRIFRKGSDLREDHGHHEHESYYGDRDTETLVKAMEDLVASIPRENRRLALEDKSNITKRPAPLTGGCRIEGYVRVKKVPGNLIISARSGSHSFDTSKMNMSHVINHLSFGKTISPRLLSDAKRLIPYLGRSHDRLNGRLFINHRDLDANVTIEHYLQIVKTEVATRKSSREHTLIEEYEYTAHSSLAQSIYIPVVKFHFELSPMQVLITENPKSFSHFLTNVCAIIGGVFTVAGILDSILHNTIRLMKKVELGKNF >KJB55251 pep chromosome:Graimondii2_0_v6:9:4928439:4928873:1 gene:B456_009G069400 transcript:KJB55251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLESIGFQIIHLKKLKSFLLDDHNASQKSKFLINGGTISPFLINKIPKWMIDSFHTRNNRMKSFDNMDSYFSMISHDQDNWLNLMKPFHKNSLISFYKANRLRFLNNPQHFCFYCNKNFPFYVEKTCINNYNFTYRQFLNILFI >KJB60171 pep chromosome:Graimondii2_0_v6:9:25278607:25281444:-1 gene:B456_009G292500 transcript:KJB60171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylesterase 17 [Source:Projected from Arabidopsis thaliana (AT3G10870) UniProtKB/Swiss-Prot;Acc:Q9SG92] MQNQQGCVAEFPKQLILPCPAKQKAAMEEEVTMSMTEKETAAMNNPHFVLVHGLGGGAWCWYRIKCLMEISGYKVSCIDLKGAGTDRSDANSIVSFDDYNKPLMDFMSALPHSEQVILVGHSAGGLSVTQATHKFANKIRLAVYVAATMLKSGFLTDQDTKDGVPDFSQFGDVYELGFGLGAEQPPTTAMVKKEFQRKIIYQMSPQEDSTLAALLLRPGPTLALQSARFKEDGEMVEKVGRIYIKTMHDNVIKPEQQEAMIKKWPPSQVHVLDSDHSPFFSAPFSLFGLLLKVAATSAGYK >KJB62316 pep chromosome:Graimondii2_0_v6:9:62191933:62192498:-1 gene:B456_009G411200 transcript:KJB62316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRRSSYHDVIRVSKIQKYLDIIGVQTYVINSAKVVFINERSQSRPGKGVIKTCEVYECSLVNKSKFFSLGCKIVGTSMDFKKKKRQMGMASDLEDSYSSSSNH >KJB57166 pep chromosome:Graimondii2_0_v6:9:11502915:11508001:-1 gene:B456_009G151300 transcript:KJB57166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLVFSTNIPLRFSQTPRSISPRFHPNQYQPHKLSPKSSTGYRKVGIFNTNSKRKLCTRALISEVSNQRQYPKVAAKSTGPIPPSQLIEVVETAAKTGAEVVMDAVNKPRNITYKGLTDLVTDTDKMSEVAILEVVKKNFGDHLILGEEGGIIGDTSSDYLWCIDPLDGTTNFAHCYPSFAVSVGVLFQGNPAAAAVVEFVGGPMCWNTRTFTATAGGGAFCNGQKIHPSKTDKVEQSLLVTGFGYDHDDAWITNIELFKEFTDISRGVRRLGAAAVDMCHVALGVAEAYWEYRLKPWDMAAGVLIVEEAGGAVTRMDGGKFCVFDRSVLVSNGAVHAKLLERIAPATEKLKSKGIDFSLWYKPESYVTDL >KJB57163 pep chromosome:Graimondii2_0_v6:9:11502899:11508076:-1 gene:B456_009G151300 transcript:KJB57163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLVFSTNIPLRFSQTPRSISPRFHPNQYQPHKLSPKSSTGYRKVGIFNTNSKRKLCTRALISEVSNQRQYPKVAAKSTGPIPPSQLIEVVETAAKTGAEVVMDAVNKPRNITYKGLTDLVTDTDKMSEVAILEVVKKNFGDHLILGEEGGIIGDTSSDYLWCIDPLDGTTNFAHCYPSFAVSVGVLFQGNPAAAAVVEFVGGPMCWNTRTFTATAGGGAFCNGQKIHPSKTDKVEQSLLVTGFGYDHDDAWITNIELFKEFTDISRGVRRLGAAAVDMCHVALGVAEAYWEYRLKPWDMAAGVLIVEEAGGAVTRMDGGKFCVFDRSVLVSNGAVHAKLLERIAPATEKLKSKGIDFSLWYKPESYVTDL >KJB57167 pep chromosome:Graimondii2_0_v6:9:11504459:11507860:-1 gene:B456_009G151300 transcript:KJB57167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLVFSTNIPLRFSQTPRSISPRFHPNQYQPHKLSPKSSTGYRKVGIFNTNSKRKLCTRALISEVSNQRQYPKVAAKSTGPIPPSQLIEVVETAAKTGAEVVMDAVNKPRNITYKGLTDLVTDTDKMSEVAILEVVKKNFGDHLILGEEGGIIGDTSSDYLWCIDPLDGTTNFAHCYPSFAVSVGVLFQGNPAAAAVVEFVGGPMCWNTRTFTATAGGGAFCNGQKIHPSKTDKVEQSLLVTGFGYDHDDAWITNIELFKEFTDISRGVRRLGAAAVDMCHVALGVAEAYWEYRLKPWDMAAGVLIVEEAGGAVTRMDGGKFCVFDRSVLVSNGAVHAKLLERIAPATEKLKSKGIDFSLWYKPESYVTDL >KJB57164 pep chromosome:Graimondii2_0_v6:9:11504459:11507860:-1 gene:B456_009G151300 transcript:KJB57164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLVFSTNIPLRFSQTPRSISPRFHPNQYQPHKLSPKSSTGYRKVGIFNTNSKRKLCTRALISEVSNQRQYPKVAAKSTGPIPPSQLIEVVETAAKTGAEVVMDAVNKPRNITYKGLTDLVTDTDKMSEVAILEVVKKNFGDHLILGEEGGIIGDTSSDYLWCIDPLDGTTNFAHCYPSFAVSVGVLFQGNPAAAAVVEFVGGPMCWNTRTFTATAGGGAFCNGQKIHPSKTDKVEQSLLVTGFGYDHDDAWITNIELFKEFTDISRGVRRLGAAAVDMCHVALGVAEAYWEYRLKPWDMAAGVLIVEEAGGAVTRMDGGKFCVFDRSVLVSNGAVHAKLLERIAPATEKLKSKGIDFSLWYKPESYVTDL >KJB57165 pep chromosome:Graimondii2_0_v6:9:11502899:11508076:-1 gene:B456_009G151300 transcript:KJB57165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVAILEVVKKNFGDHLILGEEGGIIGDTSSDYLWCIDPLDGTTNFAHCYPSFAVSVGVLFQGNPAAAAVVEFVGGPMCWNTRTFTATAGGGAFCNGQKIHPSKTDKVEQSLLVTGFGYDHDDAWITNIELFKEFTDISRGVRRLGAAAVDMCHVALGVAEAYWEYRLKPWDMAAGVLIVEEAGGAVTRMDGGKFCVFDRSVLVSNGAVHAKLLERIAPATEKLKSKGIDFSLWYKPESYVTDL >KJB58620 pep chromosome:Graimondii2_0_v6:9:16999845:17000621:-1 gene:B456_009G218800 transcript:KJB58620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCRETCVLRSSLRWIESPEAQGNATLFLAKFFGRSDLLSLISSVPESQRPALFQSLLFEACGRTVNPVNGAVGLLSSGNWHICQAAVDTVLRGGSLRPVSEISAGVWRPSCDESSDRFCGDESYHFPSRYAESNPLMRMMMMDNQSASAASDLSLSLTTTKSGNGRRAGRRYEEEKKKAKTMSMYSEESEITASESNEYEGRQERKILKLFV >KJB58621 pep chromosome:Graimondii2_0_v6:9:16999549:17000860:-1 gene:B456_009G218800 transcript:KJB58621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCRETCVLRSSLRWIESPEAQGNATLFLAKFFGRSDLLSLISSVPESQRPALFQSLLFEACGRTVNPVNGAVGLLSSGNWHICQAAVDTVLRGGSLRPVSEISAGVWRPSCDESSDRFCGDESYHFPSRYAESNPLMRMMMMDNQSASAASDLSLSLTTTKSGNGRRAGRRYEEEKKKAKTMSMYSEESEITASESNEYEGRQERKILKLFRSFLISRRSCSE >KJB60581 pep chromosome:Graimondii2_0_v6:9:29335450:29342470:1 gene:B456_009G313600 transcript:KJB60581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSQNEAKMEGWLYTIRSHPLGLQFSRKRYFVLHNHVLRSFKTIPISEKQEPVRSAVIDSCIRVIDNGRESINKKVFFIFTVYSILDGNNQIKLGACSSEEAAAWIRCLKAVALEEPPPCQAKNFVGSPTMTWPSLRSESTWMKLRKNSVKWPFRSSVHAEATTSDVIAPSHWKIFGCQNGLRLFKEAKDWNSLGGLWDDDPAIMAVGVVDGTSEAIFLALMSLGPSRSEWDYCVYHGSLVECLDGHTDIIHKKLYSDWLPWGMKRRDLLLQRYWRREDDGTYVILYHSVVHKKCPPQSGYVRAHLKSGGYVVTPVNQGQQSTVKHMLAIDWKLWKLYPRLSAARSLTILMLMRVAALRELFKAKRRNSSSICLSREWLRHIHLPQTEKPDDKTDTESDKSIDNKLINNEVEQLASLHVSLSGLNEEPDEFFDVPEESEFSDCDHLESERPGEPSSELPPLNLHQPKLTSAASFVRKLHDLATQKKGYMNLQEVATEDTKLYCYGNSLRKDPTATLPSSWSPGNPFLFLIRGQNYLQDKQKIKANGALMQMVGADWLISEKREDNLGSRLGGIVQNHAAQGGPEFFFIVNFQIPGTPRYTLAMYYMMKSPLEDHPSLYKFVNGDDAYRNSRFKLIPHVSEGSWIAKQSVGKRGSLLGQTLEVHYFRGKNYMEVDVDVASSTVARSVCNLVIGYFSSMVLELAFVIQGNTREELPETLLGTSRLNRVDLSKALVALP >KJB57748 pep chromosome:Graimondii2_0_v6:9:13810717:13814315:1 gene:B456_009G178900 transcript:KJB57748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKSRGEHASKHVLPKNLALLLCFASFCAGMFFSNRTWMVPDAKGIPWTSRTGGKQFVDYDPNIVLKNEGNNSGDISGSQHSILTMDKAISDLEIKLMASRAERETIQKDPMISEGVKNIESTLKRKYFMVIGINTAFSSHKRRDSVRATWMPQAEKRKKLEEETGIIIRFVIGHSSTSGGILDKAIEAEEKVHGDFLRLQHVEGYLELSAKTKTYFATAVSLWDAEFYVKVDDDIHVNLATLGVTLARHSKKPRVYIGCMKSGPVLARKGVKYHEPEYWKFGEVGNKYFRHATGQLYAISKDLATYIFINQKLVQLLNEALVLVECTA >KJB57751 pep chromosome:Graimondii2_0_v6:9:13810786:13814292:1 gene:B456_009G178900 transcript:KJB57751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKSRGEHASKHVLPKNLALLLCFASFCAGMFFSNRTWMVPDAKGIPWTSRTGGKQFVDYDPNIVLKNEGNNSGDISGSQHSILTMDKAISDLEIKLMASRAERETIQKDPMISEGVKNIESTLKRKYFMVIGINTAFSSHKRRDSVRATWMPQAEKRKKLEEETGIIIRFVIGHSSTSGGILDKAIEAEEKVHGDFLRLQHVEGYLELSAKTKTYFATAVSLWDAEFYVKVDDDIHVNLGMYCINMLMKMFLWELGLLVWMWSMWMIGDSAVVLHQIVNGKLKLVISVLLHLTGGAVGFAGLWRGLWRSMNVVVKTRMLYGAQTLCKR >KJB57749 pep chromosome:Graimondii2_0_v6:9:13810915:13813212:1 gene:B456_009G178900 transcript:KJB57749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKSRGEHASKHVLPKNLALLLCFASFCAGMFFSNRTWMVPDAKGIPWTSRTGGKQFVDYDPNIVLKNEGNNSGDISGSQHSILTMDKAISDLEIKLMASRAERETIQKDPMISEGVKNIESTLKRKYFMVIGINTAFSSHKRRDSVRATWMPQAEKRKKLEEETGIIIRFVIGHSSTSGGILDKAIEAEEKVHGDFLRLQHVEGYLELSAKTKTYFATAVSLWDAEFYVKVDDDIHVNLATLGVTLARHSKKPRVYIGCMKSGPVLARK >KJB57747 pep chromosome:Graimondii2_0_v6:9:13810480:13814356:1 gene:B456_009G178900 transcript:KJB57747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKSRGEHASKHVLPKNLALLLCFASFCAGMFFSNRTWMVPDAKGIPWTSRTGGKQFVDYDPNIVLKNEGNNSGDISGSQHSILTMDKAISDLEIKLMASRAERETIQKDPMISEGVKNIESTLKRKYFMVIGINTAFSSHKRRDSVRATWMPQAEKRKKLEEETGIIIRFVIGHSSTSGGILDKAIEAEEKVHGDFLRLQHVEGYLELSAKTKTYFATAVSLWDAEFYVKVDDDIHVNLATLGVTLARHSKKPRVYIGCMKSGPVLARKGVKYHEPEYWKFGEVGNKYFRHATGQLYAISKDLATYIFINQNVLHKYANEDVSLGAWFIGLDVEHVDDRRLCCGTPPDCEWKAQAGNICAASFDWRCSGICRSVERIMEVHERCGEDKNALWSANFVQTIRTSS >KJB57750 pep chromosome:Graimondii2_0_v6:9:13810786:13814292:1 gene:B456_009G178900 transcript:KJB57750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKSRGEHASKHVLPKNLALLLCFASFCAGMFFSNRTWMVPDAKGIPWTSRTGGKQFVDYDPNIVLKNEGNNSGDISGSQHSILTMDKAISDLEIKLMASRAERETIQKDPMISEGVKNIESTLKRKYFMVIGINTAFSSHKRRDSVRATWMPQAEKRKKLEEETGIIIRFVIGHSSTSGGILDKAIEAEEKVHGDFLRLQHVEGYLELSAKTKTYFATAVSLWDAEFYVKVDDDIHVNLATLGVTLARHSKKPRVYIGCMKSGPVLARKGVKYHEPEYWKFGEVGNKYFRHATGQLYAISKDLATYIFINQKLVQLLNEALVLVVRCMCSNRSSNFIYLLMPPVIIVMLCLRNVLHKYANEDVSLGAWFIGLDVEHVDDRRLCCGTPPDCEWKAQAGNICAASFDWRCSGICRSVERIMEVHERCGEDKNALWSANFVQTIRTSS >KJB57752 pep chromosome:Graimondii2_0_v6:9:13811290:13814356:1 gene:B456_009G178900 transcript:KJB57752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFWTWMVPDAKGIPWTSRTGGKQFVDYDPNIVLKNEGNNSGDISGSQHSILTMDKAISDLEIKLMASRAERETIQKDPMISEGVKNIESTLKRKYFMVIGINTAFSSHKRRDSVRATWMPQAEKRKKLEEETGIIIRFVIGHSSTSGGILDKAIEAEEKVHGDFLRLQHVEGYLELSAKTKTYFATAVSLWDAEFYVKVDDDIHVNLATLGVTLARHSKKPRVYIGCMKSGPVLARKGVKYHEPEYWKFGEVGNKYFRHATGQLYAISKDLATYIFINQNVLHKYANEDVSLGAWFIGLDVEHVDDRRLCCGTPPDCEWKAQAGNICAASFDWRCSGICRSVERIMEVHERCGEDKNALWSANFVQTIRTSS >KJB57333 pep chromosome:Graimondii2_0_v6:9:12129158:12133391:-1 gene:B456_009G158300 transcript:KJB57333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSKKRKAAKKKKEQATNNTNSSTNNNPHGNGDQRSQDERESDGGDVGSPASQGDPNHQHPFNQREEEGKRGASPVQSHVTEDKSVEEANRDAESSEILRSDDVFAVKVEDESGPKEDLETTLVAIRHIKHEKSSSSSSSRSSSDDESQVTQKNSKEEAYNFVSEATAYGNEDKLATVTSEEVLKLVENEAVGNVDSNSAVETANVDNLVKSGLYVPEELDHAAEVSANKSVSVVVEPGLKESEEKLLPSSNGVSQVELGENEGKNVSSSATSTAESSTVVENPQHLESHDHSEKQPLVASTPPVVQRTSLFSCCGLLDVLTGSGR >KJB57334 pep chromosome:Graimondii2_0_v6:9:12129666:12132624:-1 gene:B456_009G158300 transcript:KJB57334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGNGDQRSQDERESDGGDVGSPASQGDPNHQHPFNQREEEGKRGASPVQSHVTEDKSVEEANRDAESSEILRSDDVFAVKVEDESGPKEDLETTLVAIRHIKHEKSSSSSSSRSSSDDESQVTQKNSKEEAYNFVSEATAYGNEDKLATVTSEEVLKLVENEAVGNVDSNSAVETANVDNLVKSGLYVPEELDHAAEVSANKSVSVVVEPGLKESEEKLLPSSNGVSQVELGENEGKNVSSSATSTAESSTVVENPQHLESHDHSEKQPLVASTPPVVQRTSLFSCCGLLDVLTGSGR >KJB57335 pep chromosome:Graimondii2_0_v6:9:12129666:12133443:-1 gene:B456_009G158300 transcript:KJB57335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSKKRKAAKKKKEQATNNTNSSTNNNPHGNGDQRSQDERESDGGDVGSPASQGDPNHQHPFNQREEEGKRGASPVQSHVTEDKSVEEANRDAESSEILRSDDVFAVKVEDESGPKEDLETTLVAIRHIKHEKSSSSSSSRSSSDDESQVTQKNSKEEAYNFVSEATAYGNEDKLATVTSEEVLKLVENEAVGNVDSNSAVETANVDNLVKSGLYVPEELDHAAEVSANKSVSVVVEPGLKESEEKLLPSSNGVSQVELGENEGKNVSSSATSTAESSTVVENPQHLESHDHSEKQPLVASTPPVVQRTSLFSCCGLLDVLTGSGR >KJB61479 pep chromosome:Graimondii2_0_v6:9:47609480:47616130:1 gene:B456_009G361400 transcript:KJB61479 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDF [Source:Projected from Arabidopsis thaliana (AT5G16780) UniProtKB/TrEMBL;Acc:A0A178UP47] MEKDRYDREDDASRERWVGGAYSDELEQTDKHRSKDKKKSSREEEKDHRSRDRERDRSKRSSDEVLKEREKDSLEKDRVSTRERRKDDRDEHGKDRAKDTKVREKEKDYDRDKHREKEHEREREKDRKDRGKEKDRERDRESEKERGKDKSRDRDREKEKERDKAKEREKERDKLKDREKEREGEKGKDRSKQKNREADLEKERSRDRDNVGKNHEEDYEGSKDGELALDYEDRRDKDEAELNAGSNASLVQASSSELEERIVRMKEDRLKKKSEGLSEVSAWVSRSRKLEDKRNAEKEKALQLSKIFEEQDNFVQGEDEDEEADNRPTHDLGGVKVLHGLDKVMDGGAVVLTLKDQSILADGDLNEDVDMLENIEIGEQKQRDEAYKAAKKKTGVYDDKFNEDPGSEKKILPQYDDPVADEGVTLDERGRFTGEAEKKLEELRKRLLGVPTNNRVEDLNNVGKISSDYYTQEEMLRFKKPKKKKALRKKEKLDIDALEAEAVSAGLGAGDLGSRKDSRRQAIKEEEARSEAEKRKNAYQAAFAKADEASKSLRLEQTHTVKPEEDENQVFADDEEDLYKSLEKARRLALKKQEEKSGPQAIALLATTSASNQTTDDHTSTGEAQENKVVITEMEEFVWGLQLDEEAHKPDSEDVFMDEDEVPGASEQDRKNGENEVGGWTEVIDTSADEKPANEDNDEVVPDETIHEIAVGKGLSGALKLLKDRGTLKETIEWGGRNMDKKKSKLVGIVDDDHQTDNRFKDIRIERTDEFGRIVTPKEAFRMLSHKFHGKGPGKMKQEKRMKQYQEELKLKQMKNSDTPSLSVERMREAQAQLKTPYLVLSGHVKPGQTSDPASGFATVEKDFPGGLTPMLGDRKVEHFLGIKRKAEAGNSGTPKKPKT >KJB61481 pep chromosome:Graimondii2_0_v6:9:47609539:47616086:1 gene:B456_009G361400 transcript:KJB61481 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDF [Source:Projected from Arabidopsis thaliana (AT5G16780) UniProtKB/TrEMBL;Acc:A0A178UP47] MEKDRYDREDDASRERWVGGAYSDELEQTDKHRSKDKKKSSREEEKDHRSRDRERDRSKRSSDEVLKEREKDSLEKDRVSTRERRKDDRDEHGKDRAKDTKVREKEKDYDRDKHREKEHEREREKDRKDRGKEKDRERDRESEKERGKDKSRDRDREKEKERDKAKEREKERDKLKDREKEREGEKGKDRSKQKNREADLEKERSRDRDNVGKNHEEDYEGSKDGELALDYEDRRDKDEAELNAGSNASLVQASSSELEERIVRMKEDRLKKKSEGLSEVSAWVSRSRKLEDKRNAEKEKALQLSKIFEEQDNFVQGEDEDEEADNRPTHDLGGVKVLHGLDKVMDGGAVVLTLKDQSILADGDLNEDVDMLENIEIGEQKQRDEAYKAAKKKTGVYDDKFNEDPGSEKKILPQYDDPVADEGVTLDERGRFTGEAEKKLEELRKRLLGVPTNNRVEDLNNVGKISSDYYTQEEMLRFKKPKKKKALRKKEKLDIDALEAEAVSAGLGAGDLGSRKDSRRQAIKEEEARSEAEKRKNAYQAAFAKADEASKSLRLEQTHTVKPEEDENQVFADDEEDLYKSLEKARRLALKKQEEKSGPQAIALLATTSASNQTTDDHTSTGEAQENKVVITEMEEFVWGLQLDEEAHKPDSEDVFMDEDEVPGASEQDRKNGENEVGGWTEVIDTSADEKPANEDNDEVVPDETIHEIAVGKGLSGALKLLKDRGTLKETIEWGGRNMDKKKSKLVGIVDDDHQTDNRFKDIRIERTDEFGRIVTPKEAFRMLSHKFHGKGPGKMKQEKRMKQYQEELKLKQMKNSDTPSLSVERMREAQAQLKTPYLVLSGHVKPGQTSDPASGFATVEKDFPGGLTPMLGDRKVEHFLGIKRKAEAGNSGTPKKPKT >KJB61484 pep chromosome:Graimondii2_0_v6:9:47609539:47616130:1 gene:B456_009G361400 transcript:KJB61484 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDF [Source:Projected from Arabidopsis thaliana (AT5G16780) UniProtKB/TrEMBL;Acc:A0A178UP47] MEKDRYDREDDASRERWVGGAYSDELEQTDKHRSKDKKKSSREEEKDHRSRDRERDRSKRSSDEVLKEREKDSLEKDRVSTRERRKDDRDEHGKDRAKDTKVREKEKDYDRDKHREKEHEREREKDRKDRGKEKDRERDRESEKERGKDKSRDRDREKEKERDKAKEREKERDKLKDREKEREGEKGKDRSKQKNREADLEKERSRDRDNVGKNHEEDYEGSKDGELALDYEDRRDKDEAELNAGSNASLVQASSSELEERIVRMKEDRLKKKSEGLSEVSAWVSRSRKLEDKRNAEKEKALQLSKIFEEQDNFVQGEDEDEEADNRPTHDLGGVKVLHGLDKVMDGGAVVLTLKDQSILADGDLNEDVDMLENIEIGEQKQRDEAYKAAKKKTGVYDDKFNEDPGSEKKILPQYDDPVADEGVTLDERGRFTGEAEKKLEELRKRLLGVPTNNRVEDLNNVGKISSDYYTQEEMLRFKKPKKKKALRKKEKLDIDALEAEAVSAGLGAGDLGSRKDSRRQAIKEEEARSEAEKRKNAYQAAFAKADEASKSLRLEQTHTVKPEEDENQVFADDEEDLYKSLEKARRLALKKQEEKSGPQAIALLATTSASNQTTDDHTSTGEAQENKVVITEMEEFVWGLQLDEEAHKPDSEDVFMDEDEVPGASEQDRKNGENEVGGWTEVIDTSADEKPANEDNDEVVPDETIHEIAVGKGLSGALKLLKDRGTLKETIEWGGRNMDKKKSKLVGIVDDDHQTDNRFKDIRIERTDEFGRIVTPKEAFRMLSHKFHGKGPGKMKQEKRMKQYQEELKLKQMKNSDTPSLSVERMREAQAQLKTPYLVLSGHVKPGQTSDPASGFATVEKDFPGGLTPMLGDRKVEHFLGIKRKAEAGNSGTPKKPKT >KJB61480 pep chromosome:Graimondii2_0_v6:9:47609550:47616086:1 gene:B456_009G361400 transcript:KJB61480 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDF [Source:Projected from Arabidopsis thaliana (AT5G16780) UniProtKB/TrEMBL;Acc:A0A178UP47] MEKDRYDREDDASRERWVGGAYSDELEQTDKHRSKDKKKSSREEEKDHRSRDRERDRSKRSSDEVLKEREKDSLEKDRVSTRERRKDDRDEHGKDRAKDTKVREKEKDYDRDKHREKEHEREREKDRKDRGKEKDRERDRESEKERGKDKSRDRDREKEKERDKAKEREKERDKLKDREKEREGEKGKDRSKQKNREADLEKERSRDRDNVGKNHEEDYEGSKDGELALDYEDRRDKDEAELNAGSNASLVQASSSELEERIVRMKEDRLKKKSEGLSEVSAWVSRSRKLEDKRNAEKEKALQLSKIFEEQDNFVQGEDEDEEADNRPTHDLGGVKVLHGLDKVMDGGAVVLTLKDQSILADGDLNEDVDMLENIEIGEQKQRDEAYKAAKKKTGVYDDKFNEDPGSEKKILPQYDDPVADEGVTLDERGRFTGEAEKKLEELRKRLLGVPTNNRVEDLNNVGKISSDYYTQEEMLRFKKPKKKKALRKKEKLDIDALEAEAVSAGLGAGDLGSRKDSRRQAIKEEEARSEAEKRKNAYQAAFAKADEASKSLRLEQTHTVKPEEDENQVFADDEEDLYKSLEKARRLALKKQEEKSGPQAIALLATTSASNQTTDDHTSTGEAQENKVVITEMEEFVWGLQLDEEAHKPDSEDVFMDEDEVPGASEQDRKNGENEVGGWTEVIDTSADEKPANEDNDEVVPDETIHEIAVGKGLSGALKLLKDRGTLKETIEWGGRNMDKKKSKLVGIVDDDHQTDNRFKDIRIERTDEFGRIVTPKEAFRMLSHKFHGKGPGKMKQEKRMKQYQEELKLKQMKNSDTPSLSVERMREAQAQLKTPYLVLSGHVKPGQTSDPASGFATVEKDFPGGLTPMLGDRKVEHFLGIKRKAEAGNSGTPKKPKT >KJB61483 pep chromosome:Graimondii2_0_v6:9:47610385:47615458:1 gene:B456_009G361400 transcript:KJB61483 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDF [Source:Projected from Arabidopsis thaliana (AT5G16780) UniProtKB/TrEMBL;Acc:A0A178UP47] MEKDRYDREDDASRERWVGGAYSDELEQTDKHRSKDKKKSSREEEKDHRSRDRERDRSKRSSDEVLKEREKDSLEKDRVSTRERRKDDRDEHGKDRAKDTKVREKEKDYDRDKHREKEHEREREKDRKDRGKEKDRERDRESEKERGKDKSRDRDREKEKERDKAKEREKERDKLKDREKEREGEKGKDRSKQKNREADLEKERSRDRDNVGKNHEEDYEGSKDGELALDYEDRRDKDEAELNAGSNASLVQASSSELEERIVRMKEDRLKKKSEGLSEVSAWVSRSRKLEDKRNAEKEKALQLSKIFEEQDNFVQGEDEDEEADNRPTHDLGGVKVLHGLDKVMDGGAVVLTLKDQSILADGDLNEDVDMLENIEIGEQKQRDEAYKAAKKKTGVYDDKFNEDPGSEKKILPQYDDPVADEGVTLDERGRFTGEAEKKLEELRKRLLGVPTNNRVEDLNNVGKISSDYYTQEEMLRFKKPKKKKALRKKEKLDIDALEAEAVSAGLGAGDLGSRKDSRRQAIKEEEARSEAEKRKNAYQAAFAKADEASKSLRLEQTHTVKPEEDENQVFADDEEDLYKSLEKARRLALKKQEEKSGPQAIALLATTSASNQTTDDHTSTGEAQENKVVITEMEEFVWGLQLDEEAHKPDSEDVFMDEDEVPGASEQDRKNGENEVGGWTEVIDTSADEKPANEDNDEVVPDETIHEIAVGKGLSGALKLLKDRGTLKETIEWGGRNMDKKKSKLVGIVDDDHQTDNRFKDIRIERTDEFGRIVTPKEAFRMLSHKFHGKGPGKMKQEKRMKQYQEELKLKQMKNSDTPSLSVERMREAQAQLKTPYLVLSGHVKPGQTSDPASGFATVEKDFPGGLTPMLGDRKAS >KJB61482 pep chromosome:Graimondii2_0_v6:9:47609550:47614164:1 gene:B456_009G361400 transcript:KJB61482 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDF [Source:Projected from Arabidopsis thaliana (AT5G16780) UniProtKB/TrEMBL;Acc:A0A178UP47] MEKDRYDREDDASRERWVGGAYSDELEQTDKHRSKDKKKSSREEEKDHRSRDRERDRSKRSSDEVLKEREKDSLEKDRVSTRERRKDDRDEHGKDRAKDTKVREKEKDYDRDKHREKEHEREREKDRKDRGKEKDRERDRESEKERGKDKSRDRDREKEKERDKAKEREKERDKLKDREKEREGEKGKDRSKQKNREADLEKERSRDRDNVGKNHEEDYEGSKDGELALDYEDRRDKDEAELNAGSNASLVQASSSELEERIVRMKEDRLKKKSEGLSEVSAWVSRSRKLEDKRNAEKEKALQLSKIFEEQDNFVQGEDEDEEADNRPTHDLGGVKVLHGLDKVMDGGAVVLTLKDQSILADGDLNEDVDMLENIEIGEQKQRDEAYKAAKKKTGVYDDKFNEDPGSEKKILPQYDDPVADEGVTLDERGRFTGEAEKKLEELRKRLLGVPTNNRVEDLNNVGKISSDYYTQEEMLRFKKPKKKKALRKKEKLDIDALEAEAVSAGLGAGDLGSRKDSRRQAIKEEEARSEAEKRKNAYQAAFAKADEASKSLRLEQTHTVKPEEDENQVFADDEEDLYKSLEKARRLALKKQEEKSGPQAIALLATTSASNQTTDDHTSTGEAQENKVVITEMEEFVWGLQLDEGLVSFKIYSL >KJB60086 pep chromosome:Graimondii2_0_v6:9:24846947:24850887:-1 gene:B456_009G289200 transcript:KJB60086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTKVMGLRNVSSICSLSEMDDHDLSRLLDKPRLNIERQRSFDERSLSELPIILTRGSYDNYEGMHSPCGRSGIDTPASWDRNSFDPHPIVAEAWEALRRTLVYFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLQLQGWEKKVDRFKLGEGAMPASFKVLHDPVRKLDTIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAERPECQKGMRLILTLCLAEGFDTFPTLLCADGCSMIDRRMDVYGYPIEIQALFYMALRCALSMLKQDAEGKDCIERIEKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWIFDFMPTRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSVAIMDLIEARWDELVGEMPLKIAYPAIEKHEWRIVTGCDPKNTRWSYHNGGSWPGITIIL >KJB60085 pep chromosome:Graimondii2_0_v6:9:24846144:24851038:-1 gene:B456_009G289200 transcript:KJB60085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTKVMGLRNVSSICSLSEMDDHDLSRLLDKPRLNIERQRSFDERSLSELPIILTRGSYDNYEGMHSPCGRSGIDTPASWDRNSFDPHPIVAEAWEALRRTLVYFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLQLQGWEKKVDRFKLGEGAMPASFKVLHDPVRKLDTIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAERPECQKGMRLILTLCLAEGFDTFPTLLCADGCSMIDRRMDVYGYPIEIQALFYMALRCALSMLKQDAEGKDCIERIEKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWIFDFMPTRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSVAIMDLIEARWDELVGEMPLKIAYPAIEKHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARQAIDLAETRLLKDGWPEYYDGKLGRFIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMIALEEDKQRKPMIKRSSSWNC >KJB55419 pep chromosome:Graimondii2_0_v6:9:5448823:5454618:1 gene:B456_009G075500 transcript:KJB55419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTERLANLALAGLTLAPLVMKVDPNLNVILTACLAVYVGCYRSVKPTPPSETMSKEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATILPALKHFLPKHWNEDHIIWRFPFFRSFEIEFTRSQIIAAIPGTFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSARPFSMLGLGDIVIPGIFVALALRFDVSRGKGSQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHCVWNGDVKPLLEFDELKTAVTSEDGSDDKSSKKVE >KJB55420 pep chromosome:Graimondii2_0_v6:9:5448823:5454618:1 gene:B456_009G075500 transcript:KJB55420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTERLANLALAGLTLAPLVMKVDPNLNVILTACLAVYVGCYRSVKPTPPSETMSKEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATILPALKHFLPKHWNEDHIIWRFPFFRSFEIEFTRSQIIAAIPGTFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSARPFSMLGLGDIVIPACTFVYCTGCYWILGCSLCMEWRCQTVIGV >KJB57128 pep chromosome:Graimondii2_0_v6:9:11392324:11394031:1 gene:B456_009G150000 transcript:KJB57128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVMADQGGDNIVTREYRKGNWTVNETMVLIEAKKMDDERRMKRSGDIEGRGKPTELRWKWVEDYCWRKGCLRSQNQCNDKWDNLMRDYKKVREYQRRIAERGEGTHSNEGSSYWEMEKNERKVKNLPSNMLRLIYERLEEVVEKKGDQTAVAAGGSGLIPNIPYVMDRPITSVETSLPPLLQHQLLAPIPAAIPLTLPAPPQLPPPPIAAAAAAPLVQPSPLSYAQPLPTVDTPAKRRKRGTGNGEGSSGGTASANNSNEVGTAISKSASIIAEAIQASEEREERRHKDLVSLHERRLKMEESKTEMDKRGLDGLVDAINKLANSILALATHKKQSAPK >KJB57127 pep chromosome:Graimondii2_0_v6:9:11392324:11394000:1 gene:B456_009G150000 transcript:KJB57127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVMADQGGDNIVTREYRKGNWTVNETMVLIEAKKMDDERRMKRSGDIEGRGKPTELRWKWVEDYCWRKGCLRSQNQCNDKWDNLMRDYKKVREYQRRIAERGEGTHSNEGSSYWEMEKNERKVKNLPSNMLRLIYERLEEVVEKKGDQTAVAAGGSGLIPNIPYVMDRPITSVETSLPPLLQHQLLAPIPAAIPLTLPAPPQLPPPPIAAAAAAPLVQPSPLSYAQPLPTVDSDTSEYSDTPAKRRKRGTGNGEGSSGGTASANNSNEVGTAISKSASIIAEAIQASEEREERRHKDLVSLHERRLKMEESKTEMDKRGLDGLVDAINKLANSILALATHKKQSAPK >KJB60598 pep chromosome:Graimondii2_0_v6:9:29541673:29543431:-1 gene:B456_009G314300 transcript:KJB60598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQKCYFLPPISSFFIVISSLLFVMAFGYGPPGPITAAFGENGFFFCSIDAGREQQVICWDKTNKTSSVPTFNFVPSMASLSGGEGFLCGITANNSQTFCWDLLDFGINLVLKAFRYNSYSQIAAGKSHACAIKGSYFSSSDDFSNVDCWELDRSLGKSNFTASSFSDNYVNNVIVKNIVSGDGFNCEIAKETGLIFCWGPKSSNLGIFNVSSEFEVLASAKNSICGISEMSGEVECWGDSSEFGLPPHGTLFVSLSACGQRFCDIHEDDHEIECWGRNINVSSGANKQSSLDYSPPLQLCSLGVCSLSSCTDEKFTFNASILNEPELTSLCAQNELQICLPCGTNCSVGYFPSSTCTANADRICTHCSLCQRSYCWDQEIKKLVVIIGSSVLGCLLIFVACCVFPRIIKKRSEGKGRIQCGFCIGKPVAEADPNPNPLPPLSLTTYVGETQIYRLSELKDATHGFKEFSELERGSFGFVYKVVLPDGRQVAVKRANAVTIIHTNSWDFEVELEILCNVKHTNIVNLLGYCVEMGERLLV >KJB53649 pep chromosome:Graimondii2_0_v6:9:60878:84707:1 gene:B456_009G000200 transcript:KJB53649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSGTTLMDLITADPAPVPAAASTVSSSSASSTTAAASPAATQQQYVSTKTALGEKKSKRAALMQIQNDTISVAKAALNPVRTNIISHQKQKQKKPVSYAQLARSIHELAATSDQKSSQKQLVHHVFPKLAVYNSVDPSLAPSLLMLDQQCEDRTVLRYVYYYLARILADTGSQGLNPGGGIPTPNWDALADIDAVGGVTRADVVPRIVNQLTTEATNTDVEFHARRLQALKALTYAPSSNIEILSRLYEIVFGILDKVGDVPQKRKKGIFGAKGGDKESIIRSNLQYAALSALRRLPLDPGNPAFLHHAVQGISFADPVAVRHSLEIISELAIRDPYAVAMALGKLVAPGGALQDVLHLHDVLARVSLARLCHTISTARSLDERPDIKSQFNSVLYQLLLDPSERVCFEAILCILGKHDNTEKTEERAAGWYRLTREILKLPEAPSNSKDKSQKTRRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGRSRAAAHALGLQDLDEGAYGNKYDSMDSFDSDMNDSSHPEGIRRTTGVSNAAGGKDTIAGMLASLMEVVRTTVACECVYVRAMVIKGLIWMQSPHESFDELKSIIASELSDPAWPATLLNDILLTLHARFKATPDMAVTLLELARIFATKVPGKIDADVLQLLWKTCLIGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIIAAQALTTVAIRSGEPFRLQIYEFLHALAQGGVQSQLSEMHLSNGEDQGASGTGLGVLITPMIKVLDEMYSAQDDLIKEMRSHDNANKEWKDEELKKLYETHERLLDLVSLFCYVPRAKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISDLVYESKPATTESDTLDDDLVNAWAVNLGDVPAVNRVNEFLAGAGTDAPDVDENIVSRPSVSYDDMWAKTLLESTEMEV >KJB53651 pep chromosome:Graimondii2_0_v6:9:61049:86721:1 gene:B456_009G000200 transcript:KJB53651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSGTTLMDLITADPAPVPAAASTVSSSSASSTTAAASPAATQQQYVSTKTALGEKKSKRAALMQIQNDTISVAKAALNPVRTNIISHQKQKQKKPVSYAQLARSIHELAATSDQKSSQKQLVHHVFPKLAVYNSVDPSLAPSLLMLDQQCEDRTVLRYVYYYLARILADTGSQGLNPGGGIPTPNWDALADIDAVGGVTRADVVPRIVNQLTTEATNTDVEFHARRLQALKALTYAPSSNIEILSRLYEIVFGILDKVGDVPQKRKKGIFGAKGGDKESIIRSNLQYAALSALRRLPLDPGNPAFLHHAVQGISFADPVAVRHSLEIISELAIRDPYAVAMALGKLVAPGGALQDVLHLHDVLARVSLARLCHTISTARSLDERPDIKSQFNSVLYQLLLDPSERVCFEAILCILGKHDNTEKTEERAAGWYRLTREILKLPEAPSNSKDKSQKTRRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGRSRAAAHALGLQDLDEGAYGNKYDSMDSFDSDMNDSSHPEGIRRTTGVSNAAGGKDTIAGMLASLMEVVRTTVACECVYVRAMVIKGLIWMQSPHESFDELKSIIASELSDPAWPATLLNDILLTLHARFKATPDMAVTLLELARIFATKVPGKIDADVLQLLWKTCLIGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIIAAQALTTVAIRSGEPFRLQIYEFLHALAQGGVQSQLSEMHLSNGEDQGASGTGLGVLITPMIKVLDEMYSAQDDLIKEMRSHDNANKEWKDEELKKLYETHERLLDLVSLFCYVPRAKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISDLVYESKPATTESDTLDDDLVNAWAVNLGDVPAVNRVNEFLAGAGTDAPDVDENIVSRPSVSYDDMWAKTLLESTEMEEDDVRSSGSSSPESTGSVETSISSHFGGMNYPSLFSSRPTTYGASQPSQERSGGSRFNHPSSMHEGYGSPVSGPCPYAICCGICRFMY >KJB53650 pep chromosome:Graimondii2_0_v6:9:60878:88238:1 gene:B456_009G000200 transcript:KJB53650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSGTTLMDLITADPAPVPAAASTVSSSSASSTTAAASPAATQQQYVSTKTALGEKKSKRAALMQIQNDTISVAKAALNPVRTNIISHQKQKQKKPVSYAQLARSIHELAATSDQKSSQKQLVHHVFPKLAVYNSVDPSLAPSLLMLDQQCEDRTVLRYVYYYLARILADTGSQGLNPGGGIPTPNWDALADIDAVGGVTRADVVPRIVNQLTTEATNTDVEFHARRLQALKALTYAPSSNIEILSRLYEIVFGILDKVGDVPQKRKKGIFGAKGGDKESIIRSNLQYAALSALRRLPLDPGNPAFLHHAVQGISFADPVAVRHSLEIISELAIRDPYAVAMALGKLVAPGGALQDVLHLHDVLARVSLARLCHTISTARSLDERPDIKSQFNSVLYQLLLDPSERVCFEAILCILGKHDNTEKTEERAAGWYRLTREILKLPEAPSNSKDKSQKTRRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGRSRAAAHALGLQDLDEGAYGNKYDSMDSFDSDMNDSSHPEGIRRTTGVSNAAGGKDTIAGMLASLMEVVRTTVACECVYVRAMVIKGLIWMQSPHESFDELKSIIASELSDPAWPATLLNDILLTLHARFKATPDMAVTLLELARIFATKVPGKIDADVLQLLWKTCLIGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIIAAQALTTVAIRSGEPFRLQIYEFLHALAQGGVQSQLSEMHLSNGEDQGASGTGLGVLITPMIKVLDEMYSAQDDLIKEMRSHDNANKEWKDEELKKLYETHERLLDLVSLFCYVPRAKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISDLVYESKPATTESDTLDDDLVNAWAVNLGDVPAVNRVNEFLAGAGTDAPDVDENIVSRPSVSYDDMWAKTLLESTEMEEDDVRSSGSSSPESTGSVETSISSHFGGMNYPSLFSSRPTTYGASQPSQERSGGRMVKWLGWSLFFMSVRVKSLDVS >KJB53646 pep chromosome:Graimondii2_0_v6:9:60871:88378:1 gene:B456_009G000200 transcript:KJB53646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSGTTLMDLITADPAPVPAAASTVSSSSASSTTAAASPAATQQQYVSTKTALGEKKSKRAALMQIQNDTISVAKAALNPVRTNIISHQKQKQKKPVSYAQLARSIHELAATSDQKSSQKQLVHHVFPKLAVYNSVDPSLAPSLLMLDQQCEDRTVLRYVYYYLARILADTGSQGLNPGGGIPTPNWDALADIDAVGGVTRADVVPRIVNQLTTEATNTDVEFHARRLQALKALTYAPSSNIEILSRLYEIVFGILDKVGDVPQKRKKGIFGAKGGDKESIIRSNLQYAALSALRRLPLDPGNPAFLHHAVQGISFADPVAVRHSLEIISELAIRDPYAVAMALGKLVAPGGALQDVLHLHDVLARVSLARLCHTISTARSLDERPDIKSQFNSVLYQLLLDPSERVCFEAILCILGKHDNTEKTEERAAGWYRLTREILKLPEAPSNSKDKSQKTRRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGRSRAAAHALGLQDLDEGAYGNKYDSMDSFDSDMNDSSHPEGIRRTTGVSNAAGGKDTIAGMLASLMEVVRTTVACECVYVRAMVIKGLIWMQSPHESFDELKSIIASELSDPAWPATLLNDILLTLHARFKATPDMAVTLLELARIFATKVPGKIDADVLQLLWKTCLIGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIIAAQALTTVAIRSGEPFRLQIYEFLHALAQGGVQSQLSEMHLSNGEDQGASGTGLGVLITPMIKVLDEMYSAQDDLIKEMRSHDNANKEWKDEELKKLYETHERLLDLVSLFCYVPRAKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISDLVYESKPATTESDTLDDDLVNAWAVNLGDVPAVNRVNEFLAGAGTDAPDVDENIVSRPSVSYDDMWAKTLLESTEMEEDDVRSSGSSSPESTGSVETSISSHFGGMNYPSLFSSRPTTYGASQPSQERSGGSRFNHPSSMHEGYGSPIREEPPPYTSPERYESFENPLAGRGSHSFESKDDDRMSSGNPQFGTALYDFTAGGDDELSLTTGEEVEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVSQS >KJB53652 pep chromosome:Graimondii2_0_v6:9:60878:88238:1 gene:B456_009G000200 transcript:KJB53652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSGTTLMDLITADPAPVPAAASTVSSSSASSTTAAASPAATQQQYVSTKTALGEKKSKRAALMQIQNDTISVAKAALNPVRTNIISHQKQKQKKPVSYAQLARSIHELAATSDQKSSQKQLVHHVFPKLAVYNSVDPSLAPSLLMLDQQCEDRTVLRYVYYYLARILADTGSQGLNPGGGIPTPNWDALADIDAVGGVTRADVVPRIVNQLTTEATNTDVEFHARRLQALKALTYAPSSNIEILSRLYEIVFGILDKVGDVPQKRKKGIFGAKGGDKESIIRSNLQYAALSALRRLPLDPGNPAFLHHAVQGISFADPVAVRHSLEIISELAIRDPYAVAMALGKLVAPGGALQDVLHLHDVLARVSLARLCHTISTARSLDERPDIKSQFNSVLYQLLLDPSERVCFEAILCILGKHDNTEKTEERAAGWYRLTREILKLPEAPSNSKDKSQKTRRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGRSRAAAHALGLQDLDEGAYGNKYDSMDSFDSDMNDSSHPEGIRRTTGVSNAAGGKDTIAGMLASLMEVVRTTVACECVYVRAMVIKGLIWMQSPHESFDELKSIIASELSDPAWPATLLNDILLTLHARFKATPDMAVTLLELARIFATKVPGKIDADVLQLLWKTCLIGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIIAAQALTTVAIRSGEPFRLQIYEFLHALAQGGVQSQLSEMHLSNGEDQGASGTGLGVLITPMIKVLDEMYSAQDDLIKEMRSHDNANKEWKDEELKKLYETHERLLDLVSLFCYVPRAKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISDLVYESKPATTESDTLDDDLVNAWAVNLGDVPAVNRVNEFLAGAGTDAPDVDENIVSRPSVSYDDMWAKTLLESTEMEEDDVRSSGSSSPESTGSVETSISSHFGGMNYPSLFSSRPTTYGASQPSERSGGSRFNHPSSMHEGYGSPIREEPPPYTSPERYESFENPLAGRGSHSFESKDDDRMSSGNPQFGTALYDFTAGGDDELSLTTGEEVEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVSQS >KJB53647 pep chromosome:Graimondii2_0_v6:9:60878:80103:1 gene:B456_009G000200 transcript:KJB53647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSGTTLMDLITADPAPVPAAASTVSSSSASSTTAAASPAATQQQYVSTKTALGEKKSKRAALMQIQNDTISVAKAALNPVRTNIISHQKQKQKKPVSYAQLARSIHELAATSDQKSSQKQLVHHVFPKLAVYNSVDPSLAPSLLMLDQQCEDRTVLRYVYYYLARILADTGSQGLNPGGGIPTPNWDALADIDAVGGVTRADVVPRIVNQLTTEATNTDVEFHARRLQALKALTYAPSSNIEILSRLYEIVFGILDKVGDVPQKRKKGIFGAKGGDKESIIRSNLQYAALSALRRLPLDPGNPAFLHHAVQGISFADPVAVRHSLEIISELAIRDPYAVAMALGKLVAPGGALQDVLHLHDVLARVSLARLCHTISTARSLDERPDIKSQFNSVLYQLLLDPSERVCFEAILCILGKHDNTEKTEERAAGWYRLTREILKLPEAPSNSKDKSQKTRRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGRSRAAAHALGLQDLDEGAYGNKYDSMDSFDSDMNDSSHPEGIRRTTGVSNAAGGKDTIAGMLASLMEVVRTTVACECVYVRAMVIKGLIWMQSPHESFDELKSIIASELSDPAWPATLLNDILLTLHARFKATPDMAVTLLELARIFATKVPGKIDADVLQLLWKTCLIGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEV >KJB53648 pep chromosome:Graimondii2_0_v6:9:60878:82054:1 gene:B456_009G000200 transcript:KJB53648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSGTTLMDLITADPAPVPAAASTVSSSSASSTTAAASPAATQQQYVSTKTALGEKKSKRAALMQIQNDTISVAKAALNPVRTNIISHQKQKQKKPVSYAQLARSIHELAATSDQKSSQKQLVHHVFPKLAVYNSVDPSLAPSLLMLDQQCEDRTVLRYVYYYLARILADTGSQGLNPGGGIPTPNWDALADIDAVGGVTRADVVPRIVNQLTTEATNTDVEFHARRLQALKALTYAPSSNIEILSRLYEIVFGILDKVGDVPQKRKKGIFGAKGGDKESIIRSNLQYAALSALRRLPLDPGNPAFLHHAVQGISFADPVAVRHSLEIISELAIRDPYAVAMALGKLVAPGGALQDVLHLHDVLARVSLARLCHTISTARSLDERPDIKSQFNSVLYQLLLDPSERVCFEAILCILGKHDNTEKTEERAAGWYRLTREILKLPEAPSNSKDKSQKTRRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGRSRAAAHALGLQDLDEGAYGNKYDSMDSFDSDMNDSSHPEGIRRTTGVSNAAGGKDTIAGMLASLMEVVRTTVACECVYVRAMVIKGLIWMQSPHESFDELKSIIASELSDPAWPATLLNDILLTLHARFKATPDMAVTLLELARIFATKVPGKIDADVLQLLWKTCLIGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIIAAQALTTVAIRSGEPFRLQIYEFLHALAQGGVQSQLSEMHLSNGEDQGASGTGLGVLITPMIKVLDEMYSAQDDLIKEMRSHDNANKEWKDEELKKLYETHERLLDLVSLFCYVPRAKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISDLVYESKPATTESDTLDDDLVNAWAVNLGDVPAVNRVRNYVLLDLVQVVLFKDYGSRIK >KJB58827 pep chromosome:Graimondii2_0_v6:9:17979792:17984946:1 gene:B456_009G228800 transcript:KJB58827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRATYFFPRQFPDRGFDASTKQLLDHEKKLAKETSATTTAAVTSNFAENDRNNTISRTAPKDFKPPAVAKTNGKDDYYSPLSDLFTDEKLHAQKQQLAAFRDWFVEKKTSTDRPRHVKRSSRRVPSSTTDHDEDEDRRLLLAPEPAPPSTPSPPSPLPAPATEITAVVDDRSVDQNFDRQVSLPRFSSGSSYAGSLFSATTLDGNLSSDVKDTWTKETSSTTVPATGEVEAQEEAKDNLALKSKESYYLQVMLARRLTSQASLLGEPLLLQCSGPGVVDAETVSYRLWVSGCLSYNDKISDGFYNILGMNPYLWVMCNELDEGRRLPPLMSLKEIEPSETSMEVVLVDRRGDSRLKELEDKAQELYCASENTLVLVEKLGKLVAIYMGGSFPGEQGDLHKHWKLVSRRLRDLQKCIVLPIGSLSMGLCRHRAILFKKLADYIGLPCRIARGCKYCAADHRSSCLVKIEDDQQSPREYVVDLVGEPGNIHGPDSSINGGFLSSMPSPFQISHLKEFQQPDMDTAPCCQIKDSKNSHSLCGIEKSQQFKSNGLLESQKEPIFTPIDQDCLGKESLMTFEATAVTTVHQISKPRGDEVAVEQTLGNEIVISGTSVVKSVKQLKGNLPSQSDLEEIGVELDSRGRFSAVTIPRYVNLEPSLAMDWLEISWDELHIKERIGAGSFGTVHRAEWHGSDVAVKVLTVQDFHDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPSLYRLIHRPTAGETLDQRRRLRMALDVAKGINYLHCLRPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKAGTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGIILWELVTMQQPWSGLSPAQVNE >KJB58828 pep chromosome:Graimondii2_0_v6:9:17979792:17985699:1 gene:B456_009G228800 transcript:KJB58828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRATYFFPRQFPDRGFDASTKQLLDHEKKLAKETSATTTAAVTSNFAENDRNNTISRTAPKDFKPPAVAKTNGKDDYYSPLSDLFTDEKLHAQKQQLAAFRDWFVEKKTSTDRPRHVKRSSRRVPSSTTDHDEDEDRRLLLAPEPAPPSTPSPPSPLPAPATEITAVVDDRSVDQNFDRQVSLPRFSSGSSYAGSLFSATTLDGNLSSDVKDTWTKETSSTTVPATGEVEAQEEAKDNLALKSKESYYLQVMLARRLTSQASLLGEPLLLQCSGPGVVDAETVSYRLWVSGCLSYNDKISDGFYNILGMNPYLWVMCNELDEGRRLPPLMSLKEIEPSETSMEVVLVDRRGDSRLKELEDKAQELYCASENTLVLVEKLGKLVAIYMGGSFPGEQGDLHKHWKLVSRRLRDLQKCIVLPIGSLSMGLCRHRAILFKKLADYIGLPCRIARGCKYCAADHRSSCLVKIEDDQQSPREYVVDLVGEPGNIHGPDSSINGGFLSSMPSPFQISHLKEFQQPDMDTAPCCQIKDSKNSHSLCGIEKSQQFKSNGLLESQKEPIFTPIDQDCLGKESLMTFEATAVTTVHQISKPRGDEVAVEQTLGNEIVISGTSVVKSVKQLKGNLPSQSDLEEIGVELDSRGRFSAVTIPRYVNLEPSLAMDWLEISWDELHIKERIGAGSFGTVHRAEWHGSDVAVKVLTVQDFHDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPSLYRLIHRPTAGETLDQRRRLRMALDVAKGINYLHCLRPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKAGTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGIILWELVTMQQPWSGLSPAQVVGAVAFQNRRLAVPPNTSPKLASLMESCWADDPAERPSFANIVEALKKFLKSPLQLVQMGTQ >KJB58825 pep chromosome:Graimondii2_0_v6:9:17979012:17985944:1 gene:B456_009G228800 transcript:KJB58825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRATYFFPRQFPDRGFDASTKQLLDHEKKLAKETSATTTAAVTSNFAENDRNNTISRTAPKDFKPPAVAKTNGKDDYYSPLSDLFTDEKLHAQKQQLAAFRDWFVEKKTSTDRPRHVKRSSRRVPSSTTDHDEDEDRRLLLAPEPAPPSTPSPPSPLPAPATEITAVVDDRSVDQNFDRQVSLPRFSSGSSYAGSLFSATTLDGNLSSDVKDTWTKETSSTTVPATGEVEAQEEAKDNLALKSKESYYLQVMLARRLTSQASLLGEPLLLQCSGPGVVDAETVSYRLWVSGCLSYNDKISDGFYNILGMNPYLWVMCNELDEGRRLPPLMSLKEIEPSETSMEVVLVDRRGDSRLKELEDKAQELYCASENTLVLVEKLGKLVAIYMGGSFPGEQGDLHKHWKLVSRRLRDLQKCIVLPIGSLSMGLCRHRAILFKKLADYIGLPCRIARGCKYCAADHRSSCLVKIEDDQQSPREYVVDLVGEPGNIHGPDSSINGGFLSSMPSPFQISHLKEFQQPDMDTAPCCQIKDSKNSHSLCENPLLSGGIEKSQQFKSNGLLESQKEPIFTPIDQDCLGKESLMTFEATAVTTVHQISKPRGDEVAVEQTLGNEIVISGTSVVKSVKQLKGNLPSQSDLEEIGVELDSRGRFSAVTIPRYVNLEPSLAMDWLEISWDELHIKERIGAGSFGTVHRAEWHGSDVAVKVLTVQDFHDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPTAGETLDQRRRLRMALDVAKGINYLHCLRPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKAGTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGIILWELVTMQQPWSGLSPAQVVGAVAFQNRRLAVPPNTSPKLASLMESCWADDPAERPSFANIVEALKKFLKSPLQLVQMGTQ >KJB58826 pep chromosome:Graimondii2_0_v6:9:17979184:17986151:1 gene:B456_009G228800 transcript:KJB58826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRATYFFPRQFPDRGFDASTKQLLDHEKKLAKETSATTTAAVTSNFAENDRNNTISRTAPKDFKPPAVAKTNGKDDYYSPLSDLFTDEKLHAQKQQLAAFRDWFVEKKTSTDRPRHVKRSSRRVPSSTTDHDEDEDRRLLLAPEPAPPSTPSPPSPLPAPATEITAVVDDRSVDQNFDRQVSLPRFSSGSSYAGSLFSATTLDGNLSSDVKDTWTKETSSTTVPATGEVEAQEEAKDNLALKSKESYYLQVMLARRLTSQASLLGEPLLLQCSGPGVVDAETVSYRLWVSGCLSYNDKISDGFYNILGMNPYLWVMCNELDEGRRLPPLMSLKEIEPSETSMEVVLVDRRGDSRLKELEDKAQELYCASENTLVLVEKLGKLVAIYMGGSFPGEQGDLHKHWKLVSRRLRDLQKCIVLPIGSLSMGLCRHRAILFKKLADYIGLPCRIARGCKYCAADHRSSCLVKIEDDQQSPREYVVDLVGEPGNIHGPDSSINGGFLSSMPSPFQISHLKEFQQPDMDTAPCCQIKDSKNSHSLCENPLLSGGIEKSQQFKSNGLLESQKEPIFTPIDQDCLGKESLMTFEATAVTTVHQISKPRGDEVAVEQTLGNEIVISGTSVVKSVKQLKGNLPSQSDLEEIGVELDSRGRFSAVTIPRYVNLEPSLAMDWLEISWDELHIKERIGAGSFGTVHRAEWHGSDVAVKVLTVQDFHDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPTAGETLDQRRRLRMALDVAKGINYLHCLRPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKAGTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGIILWELVTMQQPWSGLSPAQVVGAVAFQNRRLAVPPNTSPKLASLMESCWADDPAERPSFANIVEALKKFLKSPLQLVQMGTQ >KJB63042 pep chromosome:Graimondii2_0_v6:9:70170978:70176288:-1 gene:B456_009G451000 transcript:KJB63042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQGGLGGGGGGGSRASIPENAKRMIQSIREITGKQHSDEEIFAVLQECFMDPNETAQKLLYLDTFHEVKRKRDKKKEATGVQGRGGRGSRANYFTSSSKDAGVARNSSARRDNWVNHTSDRGSAPLPVYQKVENNAASRTKKTTTSIPNGTTTLPNGSSSYGSQLSVNDVNGEAKDGLPANKPATISVQPDVAEPPACIPAPLFVSLIQGQEKSVSNSNGSSSSSSSATVSGVYPSASDPVFVPTVSQHAGAAGTIKCEIGRQHEATEINNIQGNKHVPDDIDVSKTEKTAFEVPVSMHGNKSPSKSEAAEQVKESNLMESTSLQVVTSEVASLTVKDISQYIADSKVPNGQHVTFPTHFQVSENGLTFGSFDTSFGLGTENNISRAEISSACPVETSLSSDELAGEPSSRSQGILSAAEGDNADQPRSPPELEEVPKPEGNVPSVAELNDQSTQEVHLHPEGHLSQFDGPEAWVHDVSRLANFAGGNTPVPSGTSTPPLQSSVGAAPQAVHLFRQPFPPNYFPYPHYLPPFYMHAMHQYLTLAGLPQQPSTGNVYVPPGAAAPGVKFPLSQFKPGTNAGNPAHFANPSGYGPLTPPPVGFNLSVPSVTSGSSSSKEDLAASQLKENHIYTTGPLNEGSAIWMTASPAQDLSSLLYNPLYPLHGPQLPFSPAQASHGAIAGLYQPSQTIAPPSNTNNLLQQSQATETAIPASGAYQQSQLAQLNWNTNY >KJB63039 pep chromosome:Graimondii2_0_v6:9:70171395:70176282:-1 gene:B456_009G451000 transcript:KJB63039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQGGLGGGGGGGSRASIPENAKRMIQSIREITGKQHSDEEIFAVLQECFMDPNETAQKLLYLDTFHEVKRKRDKKKETAGVQGRGGRGSRANYFTSSSKDAGVARNSSARRDNWVNHTSDRGSAPLPVYQKVENNAASRTKKTTTSIPNGTTTLPNGSSSYGSQLSVNDVNGEAKDGLPANKPATISVQPDVAEPPACIPAPLFVSLIQGQEKSVSNSNGSSSSSSSATVSGVYPSASDPVFVPTVSQHAGAAGTIKCEIGRQHEATEINNIQGNKHVPDDIDVSKTEKTAFEVPVSMHGNKSPSKSEAAEQVKESNLMESTSLQVVTSEVASLTVKDISQYIADSKVPNGQHVTFPTHFQVSENGLTFGSFDTSFGLGTENNISRAEISSACPVETSLSSDELAGEPSSRSQGILSAAEGDNADQPRSPPELEEVPKPEGNVPSVAELNDQSTQEVHLHPEGNPPIIPNAPSYGFGLTPASAGHLSQFDGPEAWVHDVSRLANFAGGNTPVPSGTSTPPLQSSVGAAPQAVHLFRQPFPPNYFPYPHYLPPFYMHAMHQYLTLAGLPQQPSTGNVYVPPGAAAPGVKFPLSQFKPGTNAGNPAHFANPSGYGPLTPPPVGFNLSVPSVTSGSSSSKEDLAASQLKENHIYTTGPLNEGSAIWMTASPAQDLSSLLYNPLYPLHGPQLPFSPAQASHGAIAGLYQPSQTIAPPSNTNNLLQQSQATETAIPASGAYQQSQLAQLNWNTNY >KJB63040 pep chromosome:Graimondii2_0_v6:9:70170721:70176474:-1 gene:B456_009G451000 transcript:KJB63040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQGGLGGGGGGGSRASIPENAKRMIQSIREITGKQHSDEEIFAVLQECFMDPNETAQKLLYLDTFHEVKRKRDKKKETAGVQGRGGRGSRANYFTSSSKDAGVARNSSARRDNWVNHTSDRGSAPLPVYQKVENNAASRTKKTTTSIPNGTTTLPNGSSSYGSQLSVNDVNGEAKDGLPANKPATISVQPDVAEPPACIPAPLFVSLIQGQEKSVSNSNGSSSSSSSATVSGVYPSASDPVFVPTVSQHAGAAGTIKCEIGRQHEATEINNIQGNKHVPDDIDVSKTEKTAFEVPVSMHGNKSPSKSEAAEQVKESNLMESTSLQVVTSEVASLTVKDISQYIADSKVPNGQHVTFPTHFQVSENGLTFGSFDTSFGLGTENNISRAEISSACPVETSLSSDELAGEPSSRSQGILSAAEGDNADQPRSPPELEEVPKPEGNVPSVAELNDQSTQEVHLHPEGHLSQFDGPEAWVHDVSRLANFAGGNTPVPSGTSTPPLQSSVGAAPQAVHLFRQPFPPNYFPYPHYLPPFYMHAMHQYLTLAGLPQQPSTGNVYVPPGAAAPGVKFPLSQFKPGTNAGNPAHFANPSGYGPLTPPPVGFNLSVPSVTSGSSSSKEDLAASQLKENHIYTTGPLNEGSAIWMTASPAQDLSSLLYNPLYPLHGPQLPFSPAQASHGAIAGLYQPSQTIAPPSNTNNLLQQSQATETAIPASGAYQQSQLAQLNWNTNY >KJB63043 pep chromosome:Graimondii2_0_v6:9:70170978:70176288:-1 gene:B456_009G451000 transcript:KJB63043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQGGLGGGGGGGSRASIPENAKRMIQSIREITGKQHSDEEIFAVLQECFMDPNETAQKLLYLDTFHEVKRKRDKKKEATGVQGRGGRGSRANYFTSSSKDAGVARNSSARRDNWVNHTSDRGSAPLPVYQKVENNAASRTKKTTTSIPNGTTTLPNGSSSYGSQLSVNDVNGEAKDGLPANKPATISVQPDVAEPPACIPAPLFVSLIQGQEKSVSNSNGSSSSSSSATVSGVYPSASDPVFVPTVSQHAGAAGTIKCEIGRQHEATEINNIQGNKHVPDDIDVSKTEKTAFEVPVSMHGNKSPSKSEAAEQVKESNLMESTSLQVVTSEVASLTVKDISQYIADSKVPNGQHVTFPTHFQVSENGLTFGSFDTSFGLGTENNISRAEISSACPVETSLSSDELAGEPSSSQGILSAAEGDNADQPRSPPELEEVPKPEGNVPSVAELNDQSTQEVHLHPEGNPPIIPNAPSYGFGLTPASAGHLSQFDGPEAWVHDVSRLANFAGGNTPVPSGTSTPPLQSSVGAAPQAVHLFRQPFPPNYFPYPHYLPPFYMHAMHQYLTLAGLPQQPSTGNVYVPPGAAAPGVKFPLSQFKPGTNAGNPAHFANPSGYGPLTPPPVGFNLSVPSVTSGSSSSKEDLAASQLKENHIYTTGPLNEGSAIWMTASPAQDLSSLLYNPLYPLHGPQLPFSPAQASHGAIAGLYQPSQTIAPPSNTNNLLQQSQATETAIPASGAYQQSQLAQLNWNTNY >KJB63041 pep chromosome:Graimondii2_0_v6:9:70171395:70176282:-1 gene:B456_009G451000 transcript:KJB63041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQGGLGGGGGGGSRASIPENAKRMIQSIREITGKQHSDEEIFAVLQECFMDPNETAQKLLYLDTFHEVKRKRDKKKEATGVQGRGGRGSRANYFTSSSKDAGVARNSSARRDNWVNHTSDRGSAPLPVYQKVENNAASRTKKTTTSIPNGTTTLPNGSSSYGSQLSVNDVNGEAKDGLPANKPATISVQPDVAEPPACIPAPLFVSLIQGQEKSVSNSNGSSSSSSSATVSGVYPSASDPVFVPTVSQHAGAAGTIKCEIGRQHEATEINNIQGNKHVPDDIDVSKTEKTAFEVPVSMHGNKSPSKSEAAEQVKESNLMESTSLQVVTSEVASLTVKDISQYIADSKVPNGQHVTFPTHFQVSENGLTFGSFDTSFGLGTENNISRAEISSACPVETSLSSDELAGEPSSRSQGILSAAEGDNADQPRSPPELEEVPKPEGNVPSVAELNDQSTQEVHLHPEGNPPIIPNAPSYGFGLTPASAGHLSQFDGPEAWVHDVSRLANFAGGNTPVPSGTSTPPLQSSVGAAPQAVHLFRQPFPPNYFPYPHYLPPFYMHAMHQYLTLAGLPQQPSTGNVYVPPGAAAPGVKFPLSQFKPGTNAGNPAHFANPSGYGPLTPPPVGFNLSVPSVTSGSSSSKEDLAASQLKENHIYTTGPLNEGSAIWMTASPAQDLSSLLYNPLYPLHGPQLPFSPAQASHGAIAGLYQPSQTIAPPSNTNNLLQQSQATETAIPASGAYQQSQLAQLNWNTNY >KJB56121 pep chromosome:Graimondii2_0_v6:9:7755327:7759294:-1 gene:B456_009G106900 transcript:KJB56121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPLLLLIQLFQPNCLCHKLFTEALLICKNTVCTPQQTNSRFGKFPCLRQWAYVNCYRPEDADVVSLIPGISRISLPSRLTQLLFFAPKFIPSYSQEKPFKSRHGRVAAASVDGENAVPTLTPLQTADKKPRKQTFAAIIGCISAALLVLIILVLVYICLMRVKRFMRRTSGTESSMPSTVELERANTSHYAAGAQSPIYTQNLKQITMSELEHATHNFSQSNIIGEGRFGLVHKGLLQDGTLVAIKRYLNTQVHFFLHEIKQIAQVRHRNLVKLVGYCEDNHQQFLVYDYIPNGNVGNHLYDYEGSPTGKLNMRQRLLIALGAAKGLEHLHSMAPPLLHMHFRSSNVLLDENFTAKVSDYGLSKLLSEDQFYASSSAIDCFLDPELYSSKRFSVQSDIYGYGVFLLELISGREAICRDPSNLETTLIMPRIRKISAVLSIKPWEVNQCVGQKK >KJB56117 pep chromosome:Graimondii2_0_v6:9:7755327:7759727:-1 gene:B456_009G106900 transcript:KJB56117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICQLLSTRRCRCCFLFIPSYSQEKPFKSRHGRVAAASVDGENAVPTLTPLQTADKKPRKQTFAAIIGCISAALLVLIILVLVYICLMRVKRFMRRTSGTESSMPSTVELERANTSHYAAGAQSPIYTQNLKQITMSELEHATHNFSQSNIIGEGRFGLVHKGLLQDGTLVAIKRYLNTQVHFFLHEIKQIAQVRHRNLVKLVGYCEDNHQQFLVYDYIPNGNVGNHLYDYEGSPTGKLNMRQRLLIALGAAKGLEHLHSMAPPLLHMHFRSSNVLLDENFTAKVSDYGLSKLLSEDQFYASSSAIDCFLDPELYSSKRFSVQSDIYGYGVFLLELISGREAICRDPSNLETTLIMQAKDSKDISSFVDKTLGGKSMRGAKKVVDLALQCVDIRPRRPLMRSIVEELERIQETEIGGLPFEAGEEIRDVALGSELFK >KJB56123 pep chromosome:Graimondii2_0_v6:9:7755478:7759257:-1 gene:B456_009G106900 transcript:KJB56123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPLLLLIQLFQPNCLCHKLFTEALLICKNTVCTPQQTNSRFGKFPCLRQWAYVNCYRPEDADVVSLIPGISRISLPSRLTQLLFFAPKFIPSYSQEKPFKSRHGRVAAASVDGENAVPTLTPLQTADKKPRKQTFAAIIGCISAALLVLIILVLVYICLMRVKRFMRRTSGTESSMPSTVELERANTSHYAAGAQSPIYTQNLKQITMSELEHATHNFSQSNIIGEGRFGLVHKGLLQDGTLVAIKRYLNTQVHFFLHEIKQIAQVRHRNLVKLVGYCEDNHQQFLVYDYIPNGNVGNHLYDYEGSPTGKLNMRQRLLIALGAAKGLEHLHSMAPPLLHMHFRSSNVLLDENFTAKVSDYGLSKLLSEDQFYASSSAIDCFLDPELYSSKRFSVQSDIYGYGVFLLELISGREAICRDPSNLETTLIMQAKDSKDISSFVDKTLGGKSMRGAKKVVDLALQCVDIRPRRPLMRSIVEELERIQETEIGGLPFEAGEEIRDVALGSELFK >KJB56120 pep chromosome:Graimondii2_0_v6:9:7755327:7759294:-1 gene:B456_009G106900 transcript:KJB56120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPLLLLIQLFQPNCLCHKLFTEALLICKNTVCTPQQTNSRFGKFPCLRQWAYVNCYRPEDADVVSLIPGISRISLPSRLTQLLFFAPKFIPSYSQEKPFKSRHGRVAAASVDGENAVPTLTPLQTADKKPRKQTFAAIIGCISAALLVLIILVLVYICLMRVKRFMRRTSGTESSMPSTVELERANTSHYAAGAQSPIYTQNLKQITMSELEHATHNFSQSNIIGEGRFGLVHKGLLQDGTLVAIKRYLNTQVHFFLHEIKQIAQVRHRNLVKLVGYCEDNHQQFLVYDYIPNGNVGNHLYDYEGSPTGKLNMRQRLLIALGAAKGTFTILYICNSRWT >KJB56119 pep chromosome:Graimondii2_0_v6:9:7756306:7759257:-1 gene:B456_009G106900 transcript:KJB56119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPLLLLIQLFQPNCLCHKLFTEALLICKNTVCTPQQTNSRFGKFPCLRQWAYVNCYRPEDADVVSLIPGISRISLPSRLTQLLFFAPKFIPSYSQEKPFKSRHGRVAAASVDGENAVPTLTPLQTADKKPRKQTFAAIIGCISAALLVLIILVLVYICLMRVKRFMRRTSGTESSMPSTVELERANTSHYAAGAQSPIYTQNLKQITMSELEHATHNFSQSNIIGEGRFGLVHKGLLQDGTLVAIKRYLNTQVHFFLHEIKQIAQVRHRNLVKLVGYCEDNHQQFLVYDYIPNGNVGNHLYDYEGSPTGKLNMRQRLLIALGAAKGTFTILYICNSRW >KJB56118 pep chromosome:Graimondii2_0_v6:9:7754926:7759278:-1 gene:B456_009G106900 transcript:KJB56118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFWWQRLPLLLLIQLFQPNCLCHKLFTEALLICKNTVCTPQQTNSRFGKFPCLRQWAYVNCYRPEDADVVSLIPGISRISLPSRLTQLLFFAPKFIPSYSQEKPFKSRHGRVAAASVDGENAVPTLTPLQTADKKPRKQTFAAIIGCISAALLVLIILVLVYICLMRVKRFMRRTSGTESSMPSTVELERANTSHYAAGAQSPIYTQNLKQITMSELEHATHNFSQSNIIGEGRFGLVHKGLLQDGTLVAIKRYLNTQVHFFLHEIKQIAQVRHRNLVKLVGYCEDNHQQFLVYDYIPNGNVGNHLYDYEGSPTGKLNMRQRLLIALGAAKGLEHLHSMAPPLLHMHFRSSNVLLDENFTAKVSDYGLSKLLSEDQFYASSSAIDCFLDPELYSSKRFSVQSDIYGYGVFLLELISGREAICRDPSNLETTLIMQAKDSKDISSFVDKTLGGKSMRGAKKVVDLALQCVDIRPRRPLMRSIVEELERIQETEIGGLPFEAGEEIRDVALGSELFK >KJB56122 pep chromosome:Graimondii2_0_v6:9:7754926:7758291:-1 gene:B456_009G106900 transcript:KJB56122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICQLLSTRRCRCCFLFIPSYSQEKPFKSRHGRVAAASVDGENAVPTLTPLQTADKKPRKQTFAAIIGCISAALLVLIILVLVYICLMRVKRFMRRTSGTESSMPSTVELERANTSHYAAGAQSPIYTQNLKQITMSELEHATHNFSQSNIIGEGRFGLVHKGLLQDGTLVAIKRYLNTQVHFFLHEIKQIAQVRHRNLVKLVGYCEDNHQQFLVYDYIPNGNVGNHLYDYEGSPTGKLNMRQRLLIALGAAKGLEHLHSMAPPLLHMHFRSSNVLLDENFTAKVSDYGLSKLLSEDQFYASSSAIDCFLDPELYSSKRFSVQSDIYGYGVFLLELISGREAICRDPSNLETTLIMQAKDSKDISSFVDKTLGGKSMRGAKKVVDLALQCVDIRPRRPLMRSIVEELERIQETEIGGLPFEAGEEIRDVALGSELFK >KJB59166 pep chromosome:Graimondii2_0_v6:9:19381035:19384872:-1 gene:B456_009G242400 transcript:KJB59166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNRRLDEWVKLEQLDLDSVETVVDEKVEDKVASLKMTRHQKRKIDETHVEVGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDSLKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQS >KJB59164 pep chromosome:Graimondii2_0_v6:9:19380748:19385431:-1 gene:B456_009G242400 transcript:KJB59164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIDTPTITENGSTQSHAAAAPADDNQRPLASSNGAPESEATKKRRSGILPLEVGTRVMCRWRDGKYHPVKVIERRKLQSTEPSDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVASLKMTRHQKRKIDETHVEVGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDSLKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAF >KJB59163 pep chromosome:Graimondii2_0_v6:9:19380691:19385431:-1 gene:B456_009G242400 transcript:KJB59163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIDTPTITENGSTQSHAAAAPADDNQRPLASSNGAPESEATKKRRSGILPLEVGTRVMCRWRDGKYHPVKVIERRKLQSTEPSDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVASLKMTRHQKRKIDETHVEVGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDSLKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQS >KJB59165 pep chromosome:Graimondii2_0_v6:9:19380748:19385431:-1 gene:B456_009G242400 transcript:KJB59165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIDTPTITENGSTQSHAAAAPADDNQRPLASSNGAPESEATKKRRSGILPLEVGTRVMCRWRDGKYHPVKVIERRKLQSTEPSDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVASLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDSLKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQS >KJB54378 pep chromosome:Graimondii2_0_v6:9:2380091:2385180:-1 gene:B456_009G031600 transcript:KJB54378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPQPQQQQQQGPKKRGRKPKPKEEKEQQQQQSASKMKEGKKAHQPSVDEKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQSSRIVATHTDSPDVLIWDVEAQPNRHAVLGATHSRPDLILTGHQDNAEFALAMCPTEPYVLSGGKDKSVVLWSIQDHITTMATDPTKSPGSGGSIIKQNKPGEGNDKAADGPSLGPRGVFCGHEDTVEDVTFCPSSAQEFCSVGDDSCLILWDARVGTGPTVKVEKAHNADLHCVDWNPHDDNLILTGSADHTVRMFDRRNLTSNGIGSPIYKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYDKVGKKVERASRSPSAPAGLFFQHAGHRDKVVDFHWNASDPWTVVSVSDDCDTTGGGGTLQVYLLVSHVNCSLSEMQCFSFPLKVKTVQVSSVIVKIDISMGHR >KJB54373 pep chromosome:Graimondii2_0_v6:9:2378984:2385538:-1 gene:B456_009G031600 transcript:KJB54373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPQPQQQQQQGPKKRGRKPKPKEEKEQQQQQSASKMKEGKKAHQPSVDEKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQSSRIVATHTDSPDVLIWDVEAQPNRHAVLGATHSRPDLILTGHQDNAEFALAMCPTEPYVLSGGKDKSVVLWSIQDHITTMATDPTKSPGSGGSIIKQNKPGEGNDKAADGPSLGPRGVFCGHEDTVEDVTFCPSSAQEFCSVGDDSCLILWDARVGTGPTVKVEKAHNADLHCVDWNPHDDNLILTGSADHTVRMFDRRNLTSNGIGSPIYKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYDKVGKKVERASRSPSAPAGLFFQHAGHRDKVVDFHWNASDPWTVVSVSDDCDTTGGGGTLQIWRMSDLIYRPEEEVLAELEKFKSHVISCATKA >KJB54374 pep chromosome:Graimondii2_0_v6:9:2378989:2385283:-1 gene:B456_009G031600 transcript:KJB54374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPQPQQQQQQGPKKRGRKPKPKEEKEQQQQQSASKMKEGKKAHQPSVDEKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQSSRIVATHTDSPDVLIWDVEAQPNRHAVLGATHSRPDLILTGHQDNAEFALAMCPTEPYVLSGVVLWSIQDHITTMATDPTKSPGSGGSIIKQNKPGEGNDKAADGPSLGPRGVFCGHEDTVEDVTFCPSSAQEFCSVGDDSCLILWDARVGTGPTVKVEKAHNADLHCVDWNPHDDNLILTGSADHTVRMFDRRNLTSNGIGSPIYKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYDKVGKKVERASRSPSAPAGLFFQHAGHRDKVVDFHWNASDPWTVVSVSDDCDTTGGGGTLQIWRMSDLIYRPEEEVLAELEKFKSHVISCATKA >KJB54376 pep chromosome:Graimondii2_0_v6:9:2379239:2385180:-1 gene:B456_009G031600 transcript:KJB54376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPQPQQQQQQGPKKRGRKPKPKEEKEQQQQQSASKMKEGKKAHQPSVDEKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQSSRIVATHTDSPDVLIWDVEAQPNRHAVLGATHSRPDLILTGHQDNAEFALAMCPTEPYVLSGGWKDKSVVLWSIQDHITTMATDPTKSPGSGGSIIKQNKPGEGNDKAADGPSLGPRGVFCGHEDTVEDVTFCPSSAQEFCSVGDDSCLILWDARVGTGPTVKVEKAHNADLHCVDWNPHDDNLILTGSADHTVRMFDRRNLTSNGIGSPIYKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYDKVGKKVERASRSPSAPAGLFFQHAGHRDKVVDFHWNASDPWTVVSVSDDCDTTGGGGTLQIWRMSDLIYRPEEEVLAELEKFKSHVISCATKA >KJB54379 pep chromosome:Graimondii2_0_v6:9:2379668:2385283:-1 gene:B456_009G031600 transcript:KJB54379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPQPQQQQQQGPKKRGRKPKPKEEKEQQQQQSASKMKEGKKAHQPSVDEKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQSSRIVATHTDSPDVLIWDVEAQPNRHAVLGATHSRPDLILTGHQDNAEFALAMCPTEPYVLSGGKDKSVVLWSIQDHITTMATDPTKSPGSGGSIIKQNKPGEGNDKAADGPSLGPRGVFCGHEDTVEDVTFCPSSAQEFCSVGDDSCLILWDARVGTGPTVKVEKAHNADLHCVDWNPHDDNLILTGSADHTVRMFDRRNLTSNGIGSPIYKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYDKVGKKVERASRSPSAPAGLFFQHAGHRDKVVDFHWNASDPWTVVSVSDDCDTTGGGGTLQVSQKQCIRCKKVL >KJB54377 pep chromosome:Graimondii2_0_v6:9:2379031:2385283:-1 gene:B456_009G031600 transcript:KJB54377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPQPQQQQQQGPKKRGRKPKPKEEKEQQQQQSASKMKEGKKAHQPSVDEKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQSSRIVATHTDSPDVLIWDVEAQPNRHAVLGATHSRPDLILTGHQDNAEFALAMCPTEPYVLSGGKDKSVVLWSIQDHITTMATDPTKSPGSGGSIIKQNKPGEGNDKAADGPSLGPRGVFCGHEDTVEDVTFCPSSAQEFCSVGDDSCLILWDARVGTGPTVKVEKAHNADLHCVDWNPHDDNLILTGSADHTVRMFDRRNLTSNGIGSPIYKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYDKVGKKVERASRSPSAPAGLFFQHAGHRDKVVDFHWNASDPWTVVSVSDDCDTTGGGGTLQVYLLVSHVNCSLSEMQCFSFPLKVKTVQVSSVIVKIDISMGHRYGA >KJB54375 pep chromosome:Graimondii2_0_v6:9:2378989:2385283:-1 gene:B456_009G031600 transcript:KJB54375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTLSGSLWFLFFMTGLLITISFGLLFLAAGDHSLSKLLTRIGSVSTSLNRQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQSSRIVATHTDSPDVLIWDVEAQPNRHAVLGATHSRPDLILTGHQDNAEFALAMCPTEPYVLSGGKDKSVVLWSIQDHITTMATDPTKSPGSGGSIIKQNKPGEGNDKAADGPSLGPRGVFCGHEDTVEDVTFCPSSAQEFCSVGDDSCLILWDARVGTGPTVKVEKAHNADLHCVDWNPHDDNLILTGSADHTVRMFDRRNLTSNGIGSPIYKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYDKVGKKVERASRSPSAPAGLFFQHAGHRDKVVDFHWNASDPWTVVSVSDDCDTTGGGGTLQIWRMSDLIYRPEEEVLAELEKFKSHVISCATKA >KJB61918 pep chromosome:Graimondii2_0_v6:9:54026536:54027867:1 gene:B456_009G393300 transcript:KJB61918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIIDGSTVRAFVTDDQQFTKSVDERFAALDLNNDGVLSRSELRKAFESLRLLESHFGVDVATPPDELTQLYNSIFERFDCDGSGTVDLQEFRSEMKKILLAIADGLGSCPIQMVLEDDDQSFLKKAADLEAAKLDPNHAQAS >KJB53757 pep chromosome:Graimondii2_0_v6:9:4743451:4747014:-1 gene:B456_009G066600 transcript:KJB53757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFEDRRDAEDAIRELDAYHLRSTSFTSFMNHVFGSGKNGWRVELSHNSRGRGGRGGGQGCSGGSDLKCYECGEPGHFARECRMRGGSGRRRSSRSPRYRSSPSYGRRSYSPRARSPRRRSPSPRGRGHSKSPPYRGREELPYANGNGAREHRQSRS >KJB53759 pep chromosome:Graimondii2_0_v6:9:4743451:4747132:-1 gene:B456_009G066600 transcript:KJB53759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFEDRRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGQGCSGGSDLKCYECGEPGHFARECRMRGGSGRRRSSRSPRYRSSPSYGRSPRARSPRRRSPSPRGRGHSKSPPYRGREELPYANGNGAREHRQSRS >KJB53760 pep chromosome:Graimondii2_0_v6:9:4745077:4746233:-1 gene:B456_009G066600 transcript:KJB53760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFEDRRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGQGCSGGSDLKCYECGEPGHFARECRMRGGSGRRRSSRSPRYRSSPSYGRR >KJB53756 pep chromosome:Graimondii2_0_v6:9:4744629:4746233:-1 gene:B456_009G066600 transcript:KJB53756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFEDRRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGQGCSGGSDLKCYECGEPGHFARECRMRGGSGRRRSSRSPRYRSSPSYGRRSYSPRARSPRRRSPSPRGRGHSKSPPYRGREELPYANGNGAREHRQSRS >KJB53763 pep chromosome:Graimondii2_0_v6:9:4744896:4746233:-1 gene:B456_009G066600 transcript:KJB53763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFEDRRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGQGCSGGSDLKCYECGEPGHFARECRMRGGSGRRRSSRSPRYRSSPSYGRRSYSPRARSPRRRSPSPRGRGHSKSPPYRGREELPYANG >KJB53758 pep chromosome:Graimondii2_0_v6:9:4744629:4746233:-1 gene:B456_009G066600 transcript:KJB53758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFEDRRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGQGCSGGSDLKCYECGEPGHFARECRMRGGSGRRRSSRSPRYRSSPSYGRRSYSPRARSPRRRSPSPRGRGHSKSPPYRGREELPYANGNGAREHRQSRS >KJB53762 pep chromosome:Graimondii2_0_v6:9:4742208:4747181:-1 gene:B456_009G066600 transcript:KJB53762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFEDRRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGQGCSGGSDLKCYECGEPGHFARECRMRGGSGRRRSSRSPRYRSSPSYGRRSYSPRARSPRRRSPSPRGRGHSKSPPYRGREELPYANGNGAREHRQSRS >KJB53761 pep chromosome:Graimondii2_0_v6:9:4743451:4747132:-1 gene:B456_009G066600 transcript:KJB53761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFEDRRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGQGCSGGSDLKCYECGEPGHFARECRMRGGSGRRRSSRSPRYRSSPSYGRRSYSPRARSPRRRSPSPRGRGHSKSPPYRGREELPYANGNGAREHRQSRS >KJB57098 pep chromosome:Graimondii2_0_v6:9:11243693:11249360:1 gene:B456_009G148000 transcript:KJB57098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MCNCYGSHVLRSLLCLCKGVPLDSPEFHGAKGSKVLAERLNLKVSHLDGNDSQHLQQGFPSLLKFLVSGMMNCTKEDMKTLQVDQYSSLVLQTALKLLGGNDQELLHIIPVLLGCNKENLAEGKFIDMIIAGETVESMKEPAFSHLMEVILEVAPESLYNNMLTKLLKNSLFELSSHPCGNFVVQALISHARTKDQMELIWEELGLKFADLLRMGRSGVIASLIAACQRFQTHEYKCCEALATAVGSKNETSKFIVPRILFLDSYFSYDDKSSWSWPGGAKMHVMGSLILQAIFKFQSEWIQPYILSITSMDAEHVLEAAQDARGARVIEAFLASDASTKQKRRLVVKLRGHFGELAMNLSGSFTVERCFNAGNLSLREAIASELLAVRTELSKTKQGPHLLRTLDIDRYATKPDQWRSKQASKQSAYNEFFVAFGSSESKSTKSNKLLYNASMDTSEHEELNIKKDIDGSLISTSVVDDTSSEKKGRKKRKRDVASEDAVGSNRAIEKAVKNFLSSSTPDKKRHNASNQRLKI >KJB57096 pep chromosome:Graimondii2_0_v6:9:11243268:11249404:1 gene:B456_009G148000 transcript:KJB57096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MAGNNRSKPTKYIYISFWSTTKVSLSSSLSVAVRFQHRKLASHCKSKLWKQMKMGKKAKKGNSGFDDNGYKKNATSQVTDGTGKFKKSFKHHQNSDSQAPVIRKQVEPETAKYFSEIANLFESQGVDVEERSVICGNALEEARGKEFELATDYIISNTLQTLLDGCNLDNLCSFLRGCANIIPAIAMDRSGSHVAETAFKSLARHVQDTEAYTIIEETLKMICKVIVVNPLDLMCNCYGSHVLRSLLCLCKGVPLDSPEFHGAKGSKVLAERLNLKVSHLDGNDSQHLQQGFPSLLKFLVSGMMNCTKEDMKTLQVDQYSSLVLQASLKLLGGNDQELLHIIPVLLGCNKENLAEGKFIDMIIAGETVESMKEPAFSHLMEVILEVAPESLYNNMLTKLLKNSLFELSSHPCGNFVVQALISHARTKDQMELIWEELGLKFADLLRMGRSGVIASLIAACQRFQTHEYKCCEALATAVGSKNETSKFIVPRILFLDSYFSYDDKSSWSWPGGAKMHVMGSLILQAIFKFQSEWIQPYILSITSMDAEHVLEAAQDARGARVIEAFLASDASTKQKRRLVVKLRGHFGELAMNLSGSFTVERCFNAGNLSLREAIASELLAVRTELSKTKQGPHLLRTLDIDRYATKPDQWRSKQASKQSAYNEFFVAFGSSESKSTKSNKLLYNASMDTSEHEELNIKKDIDGSLISTSVVDDTSSEKKGRKKRKRDVASEDAVGSNRAIEKAVKNFLSSSTPDKKRHNASNQRLKI >KJB57095 pep chromosome:Graimondii2_0_v6:9:11243268:11249360:1 gene:B456_009G148000 transcript:KJB57095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MAGNNRSKPTKYIYISFWSTTKVSLSSSLSVAVRFQHRKLASHCKSKLWKQMKMGKKAKKGNSGFDDNGYKKNATSQVTDGTGKFKKSFKHHQNSDSQAPVIRKQVEPETAKYFSEIANLFESQGVDVEERSVICGNALEEARGKEFELATDYIISNTLQTLLDGCNLDNLCSFLRGCANIIPAIAMDRSGSHVAETAFKSLARHVQDTEAYTIIEETLKMICKVIVVNPLDLMCNCYGSHVLRSLLCLCKGVPLDSPEFHGAKGSKVLAERLNLKVSHLDGNDSQHLQQGFPSLLKFLVSGMMNCTKEDMKTLQVDQYSSLVLQTALKLLGGNDQELLHIIPVLLGCNKENLAEGKFIDMIIAGETVESMKEPAFSHLMEVILEVAPESLYNNMLTKLLKNSLFELSSHPCGNFVVQALISHARTKDQMELIWEELGLKFADLLRMGRSGVIASLIAACQRFQTHEYKCCEALATAVGSKNETSKFIVPRILFLDSYFSYDDKSSWSWPGGAKMHVMGSLILQAIFKFQSEWIQPYILSITSMDAEHVLEAAQDARGARVIEAFLASDASTKQKRRLVVNASVNYEGYVDILGSLQ >KJB57097 pep chromosome:Graimondii2_0_v6:9:11243268:11249480:1 gene:B456_009G148000 transcript:KJB57097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MAGNNRSKPTKYIYISFWSTTKVSLSSSLSVAVRFQHRKLASHCKSKLWKQMKMGKKAKKGNSGFDDNGYKKNATSQVTDGTGKFKKSFKHHQNSDSQAPVIRKQVEPETAKYFSEIANLFESQGVDVEERSVICGNALEEARGKEFELATDYIISNTLQTLLDGCNLDNLCSFLRGCANIIPAIAMDRSGSHVAETAFKSLARHVQDTEAYTIIEETLKMICKVIVVNPLDLMCNCYGSHVLRSLLCLCKGVPLDSPEFHGAKGSKVLAERLNLKVSHLDGNDSQHLQQGFPSLLKFLVSGMMNCTKEDMKTLQVDQYSSLVLQTALKLLGGNDQELLHIIPVLLGCNKENLAEGKFIDMIIAGETVESMKEPAFSHLMEVILEVAPESLYNNMLTKLLKNSLFELSSHPCGNFVVQALISHARTKDQMELIWEELGLKFADLLRMGRSGVIASLIAACQRFQTHEYKCCEALATAVGSKNETSKFIVPRILFLDSYFSYDDKSSWSWPGGAKMHVMGSLILQAIFKFQSEWIQPYILSITSMDAEHVLEAAQDARGARVIEAFLASDASTKQKRRLVVKLRGHFGELAMNLSGSFTVERCFNAGNLSLREAIASELLAVRTELSKTKQGPHLLRTLDIDRYATKPDQWRSKQASKQSAYNEFFVAFGSSESKSTKSNKLLYNASMDTSEHEELNIKKDIDGSLISTSVVDDTSSEKKGRKKRKRDVASEDAVGSNRAIEKAVKNFLSSSTPDKKRHNASNQRLKI >KJB57094 pep chromosome:Graimondii2_0_v6:9:11243268:11248515:1 gene:B456_009G148000 transcript:KJB57094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MAGNNRSKPTKYIYISFWSTTKVSLSSSLSVAVRFQHRKLASHCKSKLWKQMKMGKKAKKGNSGFDDNGYKKNATSQVTDGTGKFKKSFKHHQNSDSQAPVIRKQVEPETAKYFSEIANLFESQGVDVEERSVICGNALEEARGKEFELATDYIISNTLQTLLDGCNLDNLCSFLRGCANIIPAIAMDRSGSHVAETAFKSLARHVQDTEAYTIIEETLKMICKVIVVNPLDLMCNCYGSHVLRSLLCLCKGVPLDSPEFHGAKGSKVLAERLNLKVSHLDGNDSQHLQQGFPSLLKFLVSGMMNCTKEDMKTLQVDQYSSLVLQTALKLLGGNDQELLHIIPVLLGCNKENLAEGKFIDMIIAGETVESMKEPAFSHLMEVILEVAPESLYNNMLTKLLKNSLFELSSHPCGNFVVQALISHARTKDQMELIWEELGLKFADLLRMGRSGVIASLIAACQRFQTHEYKCCEALATAVGSKNETSKFIVPRILFLDSYFSYDDKSSWSWPGGAKMHVMGSLILQAIFKFQSEWIQPYILSITSMDAEHVLEAAQDARGARVIEAFLASDASTKQKRRLVVKLRGHFGELAMNLSGSFTVERCFNAGNLSLREAIASELLAVRTELSKTKQGPHLLRTLDIDR >KJB57099 pep chromosome:Graimondii2_0_v6:9:11243340:11249360:1 gene:B456_009G148000 transcript:KJB57099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MKMGKKAKKGNSGFDDNGYKKNATSQVTDGTGKFKKSFKHHQNSDSQAPVIRKQVEPETAKYFSEIANLFESQGVDVEERSVICGNALEEARGKEFELATDYIISNTLQTLLDGCNLDNLCSFLRGCANIIPAIAMDRSGSHVAETAFKSLARHVQDTEAYTIIEETLKMICKVIVVNPLDLMCNCYGSHVLRSLLCLCKGVPLDSPEFHGAKGSKVLAERLNLKVSHLDGNDSQHLQQGFPSLLKFLVSGMMNCTKEDMKTLQVDQYSSLVLQTALKLLGGNDQELLHIIPVLLGCNKENLAEGKFIDMIIAGETVESMKEPAFSHLMEVILEVAPESLYNNMLTKLLKNSLFELSSHPCGNFVVQALISHARTKDQMELIWEELGLKFADLLRMGRSGVIASLIAACQRFQTHEYKCCEALATAVGSKNETSKFIVPRILFLDSYFSYDDKSSWSWPGGAKMHVMGSLILQAIFKFQSEWIQPYILSITSMDAEHVLEAAQDARGARVIEAFLASDASTKQKRRLVVKLRGHFGELAMNLSGSFTVERCFNAGNLSLREAIASELLAVRTELSKTKQGPHLLRTLDIDRYATKPDQWRSKQASKQSAYNEFFVAFGSSESKSTKSNKLLYNASMDTSEHEELNIKKDIDGSLISTSVVDDTSSEKKGRKKRKRDVASEDAVGSNRAIEKAVKNFLSSSTPDKKRHNASNQRLKI >KJB62124 pep chromosome:Graimondii2_0_v6:9:58166965:58168023:-1 gene:B456_009G401900 transcript:KJB62124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIALLQKKSEIPVEELLRRYKEDFSADGASGDESDYASAFSEDILDSSTMHQNLEAKKEGVSKDENPESSAPQGVEHPPAEKEAASPDRKSEDGIKSENRIADTAVAARSAQPTGNTFLTTTVRTNFPFLFKHPLREYQHIGLDRLLTMYEKGLNGLLADEMGLGKTIMTIALLAHLACEKGIWGPPLIVVLTSVMLNWETEFLRWCPAFKIRTYFGSAKERKLKRQAWLKPKPHSMYA >KJB62122 pep chromosome:Graimondii2_0_v6:9:58166965:58167798:-1 gene:B456_009G401900 transcript:KJB62122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNIAALMQIALLQKKSEIPVEELLRRYKEDFSADGASGDESDYASAFSEDILDSSTMHQNLEAKKEGVSKDENPESSAPQGVEHPPAEKEAASPDRKSEDGIKSENRIADTAVAARSAQPTGNTFLTTTVRTNFPFLFKHPLREYQHIGLDRLLTMYEKGLNGLLADEMGLGKTIMTIALLAHLACEKGIWGPPLIVVLTSVMLNWETEFLRWCPAFKIRTYFGSAKERKLKRQAWLKPKPHSMYA >KJB62125 pep chromosome:Graimondii2_0_v6:9:58166965:58167798:-1 gene:B456_009G401900 transcript:KJB62125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNIAALMQIALLQKKSEIPVEELLRRYKEDFSADGASGDESDYASAFSEDILDSSTMHQNLEAKKEGVSKDENPESSAPQGVEHPPAEKEAASPDRKSEDGIKSENRIADTAVAARSAQPTGNTFLTTTVRTNFPFLFKHPLREYQHIGLDRLLTMYEKGLNGLLADEMGLGKTIMTIALLAHLACEKGIWGPPLIVVLTSVMLNWETEFLRWCPAFKIRTYFGSAKERKLKRQAWLKPKPHSMYA >KJB62123 pep chromosome:Graimondii2_0_v6:9:58166965:58169112:-1 gene:B456_009G401900 transcript:KJB62123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIALLQKKSEIPVEELLRRYKEDFSADGASGDESDYASAFSEDILDSSTMHQNLEAKKEGVSKDENPESSAPQGVEHPPAEKEAASPDRKSEDGIKSENRIADTAVAARSAQPTGNTFLTTTVRTNFPFLFKHPLREYQHIGLDRLLTMYEKGLNGLLADEMGLGKTIMTIALLAHLACEKGIWGPPLIVVLTSVMLNWETEFLRWCPAFKIRTYFGSAKERKLKRQAWLKPKPHSMYA >KJB59070 pep chromosome:Graimondii2_0_v6:9:18787959:18789412:1 gene:B456_009G237400 transcript:KJB59070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASATPNKPRDSLSNSKHAPTLQPLPTTFSLFQQINSIQTPTYFGIKLTEVTSSATLIPYHYNAPT >KJB59379 pep chromosome:Graimondii2_0_v6:9:20592572:20594936:-1 gene:B456_009G252400 transcript:KJB59379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHTHLLYGCVIVGFFLATVFLLNVVGWLLMKDELMIDNDDDDDFFEEEYTEDETELYVGDGAGGGGISLAGTWWDKEALLLAEDVCQSFGGDLGIYAFKTLSNSSIQVRIERLTNKSGSPSMEDIEAFSVSYRAKLDEAELARSVAENITLEVSSPGVERVVRIPQDLDRFKDRSLYVKYVTEVADSGKLSEADGVFRLVSFDMETKCCIWGLADVRINREKAGKGRPLSKKQREWRLETSFDSLRLVRLYSAI >KJB59380 pep chromosome:Graimondii2_0_v6:9:20592261:20595448:-1 gene:B456_009G252400 transcript:KJB59380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLVSGWNFKQFAIPVSPPPPLPPPVSPPSTATTCSFYKPPSSAHKVSSPFRTYRLARISNKSSSPFAIHARKKNSKSEPLLEPTLVEEVSMDDDDEEDELLLFDDFEDDELMIDNDDDDDFFEEEYTEDETELYVGDGAGGGGISLAGTWWDKEALLLAEDVCQSFGGDLGIYAFKTLSNSSIQVRIERLTNKSGSPSMEDIEAFSVSYRAKLDEAELARSVAENITLEVSSPGVERVVRIPQDLDRFKDRSLYVKYVTEVADSGKLSEADGVFRLVSFDMETKCCIWGLADVRINREKAGKGRPLSKKQREWRLETSFDSLRLVRLYSAI >KJB56907 pep chromosome:Graimondii2_0_v6:9:10691644:10694804:1 gene:B456_009G141200 transcript:KJB56907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSFMFHQQQQQQQQQQQVLEENMSNLTSASASGEASISSGNRTEAGSNYPQQYFTTSQQPQTQPVKRKRNLPGNPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYVCPETNCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAVESARAINPLLSPHQPGTAAASHMNLQVPQFNPHDIKLQAFSLKKEQQSFTPLRPEIPPWLASQPMLGAGPGPPHPIDLSSPSSSIFSPRLDHQDLTLHGTPSANPSLVPTLPPYHHTALPSPHMSATALLQKAAHMGATMSRKSGSSSVPSTVAAAASASLMRPHQQTHVSPDSAGTNNNTTTAGFGLDLPSREELDVGSSSGIIHGLAPFGNRKPAANAGDDDDDNNNIAGSGATPSLLQDMINSLSSATGFDATNSFDDIAFGGIFNATKKLCGSSINESFSKTTTPTVPTTTNGTRNDHHGSTAGSTTTTTTQGGDQGLTRDFLGLRAFSHTDILNIAGPGRNYCIDTSQEQHNQSQKPWQG >KJB56910 pep chromosome:Graimondii2_0_v6:9:10691907:10694804:1 gene:B456_009G141200 transcript:KJB56910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSFMFHQQQQQQQQQQQVLEENMSNLTSASASGEASISSGNRTEAGSNYPQQYFTTSQQPQTQPVKRKRNLPGNPGLVWVQKALYLNTILFCYLFCSFPFLLSLSLSGTQFLMHFLGGFFIFYFESDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYVCPETNCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAVESARAINPLLSPHQPGTAAASHMNLQVPQFNPHDIKLQAFSLKKEQQSFTPLRPEIPPWLASQPMLGAGPGPPHPIDLSSPSSSIFSPRLDHQDLTLHGTPSANPSLVPTLPPYHHTALPSPHMSATALLQKAAHMGATMSRKSGSSSVPSTVAAAASASLMRPHQQTHVSPDSAGTNNNTTTAGFGLDLPSREELDVGSSSGIIHGLAPFGNRKPAANAGDDDDDNNNIAGSGATPSLLQDMINSLSSATGFDATNSFDDIAFGGIFNATKKLCGSSINESFSKTTTPTVPTTTNGTRNDHHGSTAGSTTTTTTQGGDQGLTRDFLGLRAFSHTDILNIAGPGRNYCIDTSQEQHNQSQKPWQG >KJB56909 pep chromosome:Graimondii2_0_v6:9:10691907:10694804:1 gene:B456_009G141200 transcript:KJB56909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSFMFHQQQQQQQQQQQVLEENMSNLTSASASGEASISSGNRTEAGSNYPQQYFTTSQQPQTQPVKRKRNLPGNPGLVWVQKALYLNTILFCYLFCSFPFLLSLSLSGTQFLMHFLGGFFIFYFESDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYVCPETNCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAVESARAINPLLSPHQPGTAAASHMNLQVPQFNPHDIKLQAFSLKKEQQSFTPLRPEIPPWLASQPMLGAGPGPPHPIDLSSPSSSIFSPRLDHQDLTLHGTPSANPSLVPTLPPYHHTALPSPHMSATALLQKAAHMGATMSRKSGSSSVPSTVAAAASASLMRPHQQTHVSPDSAGTNNNTTTAGFGLDLPSREELDVGSSSGIIHGLAPFGNRKPAANAGDDDDDNNNIAGSEAMWQFHQRILLENNYTNSTHDDKRH >KJB56908 pep chromosome:Graimondii2_0_v6:9:10691907:10694804:1 gene:B456_009G141200 transcript:KJB56908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSFMFHQQQQQQQQQQQVLEENMSNLTSASASGEASISSGNRTEAGSNYPQQYFTTSQQPQTQPVKRKRNLPGNPGLVWVQKALYLNTILFCYLFCSFPFLLSLSLSGTQFLMHFLGGFFIFYFESDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYVCPETNCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAVESARAINPLLSPHQPGTAAASHMNLQVPQFNPHDIKLQAFSLKKEQQSFTPLRPEIPPWLASQPMLGAGPGPPHPIDLSSPSSSIFSPRLDHQDLTLHGTPSANPSLVPTLPPYHHTALPSPHMSATALLQKAAHMGATMSRKSGSSSVPSTVAAAASASLMRPHQQTHVSPDSAGTNNNTTTAGFGLDLPSREELDVGSSSGIIHGLAPFGNRKPAANAGDDDDDNNNIAGSAMWQFHQRILLENNYTNSTHDDKRH >KJB56906 pep chromosome:Graimondii2_0_v6:9:10691548:10695097:1 gene:B456_009G141200 transcript:KJB56906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSFMFHQQQQQQQQQQQVLEENMSNLTSASASGEASISSGNRTEAGSNYPQQYFTTSQQPQTQPVKRKRNLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYVCPETNCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAVESARAINPLLSPHQPGTAAASHMNLQVPQFNPHDIKLQAFSLKKEQQSFTPLRPEIPPWLASQPMLGAGPGPPHPIDLSSPSSSIFSPRLDHQDLTLHGTPSANPSLVPTLPPYHHTALPSPHMSATALLQKAAHMGATMSRKSGSSSVPSTVAAAASASLMRPHQQTHVSPDSAGTNNNTTTAGFGLDLPSREELDVGSSSGIIHGLAPFGNRKPAANAGDDDDDNNNIAGSGATPSLLQDMINSLSSATGFDATNSFDDIAFGGIFNATKKLCGSSINESFSKTTTPTVPTTTNGTRNDHHGSTAGSTTTTTTQGGDQGLTRDFLGLRAFSHTDILNIAGPGRNYCIDTSQEQHNQSQKPWQG >KJB53726 pep chromosome:Graimondii2_0_v6:9:257216:261144:-1 gene:B456_009G002500 transcript:KJB53726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related homeodomain protein [Source:Projected from Arabidopsis thaliana (AT4G29940) UniProtKB/Swiss-Prot;Acc:P48785] MRGTGKKLTDHGSAKSSSSKREAGSKLIATLKKFKNRCKISHGRVRKPKHHVKKVSSTLLKRKVTASVTEGTGNDVSSARKVGCKTNLHKANKKGSSKKLGLSKLQGKNAICASLEENGKKDNADVRIKKLTSKTKKGQKDKVELDEASRLQRRTRYLLIKMKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIREAIRQLDSLSSVGSIEGSVIAPDGSVYHEHIFCAKCKLREAFPDNDIVLCDGTCNRAFHQKCLDPPLDTEHIPPGDQGWFCKFCECKMEIIEAMNAHIGTHFSVDSHWQDIFKDEAAFPDDANASLNPGDEWPSDDSEDDDYDPERRENSCRISGTATDGDESDETDNSTSLSWSVDSEDLSGFGRHENHQFDSGGDSYETSDGEILSGRRRRRAVDYRKLYDEMFGKDAPPYEQVSEDEDWGPGKRKHREKESDAASTLMTLYESETKFLNIETTEMKRQLPSDLKSRRPFFRIPPTAVEKLREVFAENELPSRVVRENLSKELGLEPEKVATEFCKNIEISKTDGYKLIMHFGAHIYKYFLGNQSD >KJB53727 pep chromosome:Graimondii2_0_v6:9:255956:261144:-1 gene:B456_009G002500 transcript:KJB53727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related homeodomain protein [Source:Projected from Arabidopsis thaliana (AT4G29940) UniProtKB/Swiss-Prot;Acc:P48785] MRGTGKKLTDHGSAKSSSSKREAGSKLIATLKKFKNRCKISHGRVRKPKHHVKKVSSTLLKRKVTASVTEGTGNDVSSARKVGCKTNLHKANKKGSSKKLGLSKLQGKNAICASLEENGKKDNADVRIKKLTSKTKKGQKDKVELDEASRLQRRTRYLLIKMKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIREAIRQLDSLSSVGSIEGSVIAPDGSVYHEHIFCAKCKLREAFPDNDIVLCDGTCNRAFHQKCLDPPLDTEHIPPGDQGWFCKFCECKMEIIEAMNAHIGTHFSVDSHWQDIFKDEAAFPDDANASLNPGDEWPSDDSEDDDYDPERRENSCRISGTATDGDESDETDNSTSLSWSVDSEDLSGFGRHENHQFDSGGDSYETSDGEILSGRRRRRAVDYRKLYDEMFGKDAPPYEQVSEDEDWGPGKRKHREKESDAASTLMTLYESETKFLNIETTEMKRQLPSDLKSRRPFFRIPPTAVEKLREVFAENELPSRVVRENLSKELGLEPEKVNKWFKNARYLALKSRKRDQITFRALLLESPRSLKWKLQRGRILKSWHWKICQKQLWYGHQRD >KJB53728 pep chromosome:Graimondii2_0_v6:9:257662:260536:-1 gene:B456_009G002500 transcript:KJB53728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related homeodomain protein [Source:Projected from Arabidopsis thaliana (AT4G29940) UniProtKB/Swiss-Prot;Acc:P48785] MRGTGKKLTDHGSAKSSSSKREAGSKLIATLKKFKNRCKISHGRVRKPKHHVKKVSSTLLKRKVTASVTEGTGNDVSSARKVGCKTNLHKANKKGSSKKLGLSKLQGKNAICASLEENGKKDNADVRIKKLTSKTKKGQKDKVELDEASRLQRRTRYLLIKMKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIREAIRQLDSLSSVGSIEGSVIAPDGSVYHEHIFCAKCKLREAFPDNDIVLCDGTCNRAFHQKCLDPPLDTEHIPPGDQGWFCKFCECKMEIIEAMNAHIGTHFSVDSHWQDIFKDEAAFPDDANASLNPGDEWPSDDSEDDDYDPERRENSCRISGTATDGDESDETDNSTSLSWSVDSEDLSGFGRHENHQFDSGGDSYETSDGEILSGRRRRRAVDYRKLYDEMFGKDAPPYEQVSEDEDWGPGKRKHREKESDAASTLMTLYESETKFLNIETTEMKRQLPSDLKSRRPFFRIPPTAVEKLREVFAENELPSRVVRENLSKELGLEPEKVATEFCKNIEISKTDGYKLIMHFGAHIYKYFLGNQSD >KJB53723 pep chromosome:Graimondii2_0_v6:9:255799:261144:-1 gene:B456_009G002500 transcript:KJB53723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related homeodomain protein [Source:Projected from Arabidopsis thaliana (AT4G29940) UniProtKB/Swiss-Prot;Acc:P48785] MRGTGKKLTDHGSAKSSSSKREAGSKLIATLKKFKNRCKISHGRVRKPKHHVKKVSSTLLKRKVTASVTEGTGNDVSSARKVGCKTNLHKANKKGSSKKLGLSKLQGKNAICASLEENGKKDNADVRIKKLTSKTKKGQKDKVELDEASRLQRRTRYLLIKMKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIREAIRQLDSLSSVGSIEGSVIAPDGSVYHEHIFCAKCKLREAFPDNDIVLCDGTCNRAFHQKCLDPPLDTEHIPPGDQGWFCKFCECKMEIIEAMNAHIGTHFSVDSHWQDIFKDEAAFPDDANASLNPGDEWPSDDSEDDDYDPERRENSCRISGTATDGDESDETDNSTSLSWSVDSEDLSGFGRHENHQFDSGGDSYETSDGEILSGRRRRRAVDYRKLYDEMFGKDAPPYEQVSEDEDWGPGKRKHREKESDAASTLMTLYESETKFLNIETTEMKRQLPSDLKSRRPFFRIPPTAVEKLREVFAENELPSRVVRENLSKELGLEPEKVNKWFKNARYLALKSRKVERSDHLQSSSPRVSKEPEVEAPKRKDTEIMALEDMSEAALVRTPKRLKTKLRKSPNSKSVCTSVDRSPHNGLSIVSHTNSDKVRKELSDDVILKKLLNVKKKWGKEKRVKIIGGGGGLEAFELEMERLCRAKVRLENMKQTLLGLESRKARKLNKRWQRVHEESVIYIPIAELKEKVV >KJB53725 pep chromosome:Graimondii2_0_v6:9:255956:260827:-1 gene:B456_009G002500 transcript:KJB53725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related homeodomain protein [Source:Projected from Arabidopsis thaliana (AT4G29940) UniProtKB/Swiss-Prot;Acc:P48785] MRGTGKKLTDHGSAKSSSSKREAGSKLIATLKKFKNRCKISHGRVRKPKHHVKKVSSTLLKRKVTASVTEGTGNDVSSARKVGCKTNLHKANKKGSSKKLGLSKLQGKNAICASLEENGKKDNADVRIKKLTSKTKKGQKDKVELDEASRLQRRTRYLLIKMKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIREAIRQLDSLSSVGSIEGSVIAPDGSVYHEHIFCAKCKLREAFPDNDIVLCDGTCNRAFHQKCLDPPLDTEHIPPGDQGWFCKFCECKMEIIEAMNAHIGTHFSVDSHWQDIFKDEAAFPDDANASLNPGDEWPSDDSEDDDYDPERRENSCRISGTATDGDESDETDNSTSLSWSVDSEDLSGFGRHENHQFDSGGDSYETSDGEILSGRRRRRAVDYRKLYDEMFGKDAPPYEQVSEDEDWGPGKRKHREKESDAASTLMTLYESETKFLNIETTEMKRQLPSDLKSRRPFFRIPPTAVEKLREVFAENELPSRVVRENLSKELGLEPEKVNKWFKNARYLALKSRKVERSDHLQSSSPRVSKEPEVEAPKRKDTEIMALEDMSEAALVRTPKRLKTKLRKSPNSKSVCTSVDRSPHNGLSIVSHTNSDKVRKELSDDVILKKLLNVKKKWGKEKRVKIIGGGGGLEAFELEMERLCRAKVRLENMKQTLLGLESRKARKLNKRWQRVHEESVIYIPIAELKEKVV >KJB53724 pep chromosome:Graimondii2_0_v6:9:255710:261211:-1 gene:B456_009G002500 transcript:KJB53724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related homeodomain protein [Source:Projected from Arabidopsis thaliana (AT4G29940) UniProtKB/Swiss-Prot;Acc:P48785] MRGTGKKLTDHGSAKSSSSKREAGSKLIATLKKFKNRCKISHGRVRKPKHHVKKVSSTLLKRKVTASVTEGTGNDVSSARKVGCKTNLHKANKKGSSKKLGLSKLQGKNAICASLEENGKKDNADVRIKKLTSKTKKGQKDKVELDEASRLQRRTRYLLIKMKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIREAIRQLDSLSSVGSIEGSVIAPDGSVYHEHIFCAKCKLREAFPDNDIVLCDGTCNRAFHQKCLDPPLDTEHIPPGDQGWFCKFCECKMEIIEAMNAHIGTHFSVDSHWQDIFKDEAAFPDDANASLNPGDEWPSDDSEDDDYDPERRENSCRISGTATDGDESDETDNSTSLSWSVDSEDLSGFGRHENHQFDSGGDSYETSDGEILSGRRRRRAVDYRKLYDEMFGKDAPPYEQVSEDEDWGPGKRKHREKESDAASTLMTLYESETKFLNIETTEMKRQLPSDLKSRRPFFRIPPTAVEKLREVFAENELPSRVVRENLSKELGLEPEKVNKWFKNARYLALKSRKVERSDHLQSSSPRVSKEPEVEAPKRKDTEIMALEDMSEAALVRTPKRLKTKLRKSPNSKSVCTSVDRSPHNGLSIVSHTNSDKVRKELSDDVILKKLLNVKKKWGKEKRVKIIGGGGGLEAFELEMERLCRAKVRLENMKQTLLGLESRKARKLNKRWQRVHEESVIYIPIAELKEKVV >KJB61605 pep chromosome:Graimondii2_0_v6:9:49684710:49687389:1 gene:B456_009G369400 transcript:KJB61605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCSSDGSTSFFSSRVADGWDLISTEFGLVEGSDINGGVTDSVTDHHQLNQGETSEASYQTTEGGGHGGRAKLTPAQRKENKRLSDHKYRQKRKITADEQAAEIKHLKEENEQLNAENRRLKQKILLSHGQLEHQCFSAQDHHGSRPEASDRLSSLQMNEIKNLQQMNSEAGTCSNDDTSISDLLMKIDADEESKVKFTDFTGLDGKHVTVGKYCFPLSLLSTLKLIINVYGDVAATSKMSPSITERIYVMFCASIKEMHDLRLEQITECRILKWRDAIKDALRMNFKVDFAMEHLKKIACAYIGLMERQRLEEVALRISKLEAELSASKKEHSKICERFKVYMDTTKEFIGKPVSLGMLKAQNRRLEDRNRRRQRYLDDLEQSINNYRLENAQVFQVFASEYLVYKLVSLLHFISF >KJB63077 pep chromosome:Graimondii2_0_v6:9:70276386:70279349:1 gene:B456_009G451900 transcript:KJB63077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAWFSGSSSADDGQGKEGSSLLADWNSYAASRESEDGSAFGFDLESAMRSANDTVSGTFNVVSKGVRDIPGNFQSATSSVPSGKALLYFGLFLASGIFFVFIAFTMFLPVMVIMPQKFAICFTLGCGFIIGSFFALRGPKNQLAHMSSKERLPFTLGFIGSMVGTIYVSMVLHSYILSVLFSVLQCLHDHPVLKSILAVSTNLVGSCTCLLRYLLLPWWICRAEISHIGSYLFNN >KJB63078 pep chromosome:Graimondii2_0_v6:9:70278034:70279349:1 gene:B456_009G451900 transcript:KJB63078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLFVSRCSSVSKGVRDIPGNFQSATSSVPSGKALLYFGLFLASGIFFVFIAFTMFLPVMVIMPQKFAICFTLGCGFIIGSFFALRGPKNQLAHMSSKERLPFTLGFIGSMVGTIYVSMVLHSYILSVLFSVLQVLALAYYAISYFPGGSAGLKFLTSALTSSITSCFGR >KJB63076 pep chromosome:Graimondii2_0_v6:9:70276268:70279640:1 gene:B456_009G451900 transcript:KJB63076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAWFSGSSSADDGQGKEGSSLLADWNSYAASRESEDGSAFGFDLESAMRSANDTVSGTFNVVSKGVRDIPGNFQSATSSVPSGKALLYFGLFLASGIFFVFIAFTMFLPVMVIMPQKFAICFTLGCGFIIGSFFALRGPKNQLAHMSSKERLPFTLGFIGSMVGTIYVSMVLHSYILSVLFSVLQVLALAYYAISYFPGGSAGLKFLTSALTSSITSCFGR >KJB54043 pep chromosome:Graimondii2_0_v6:9:1422710:1424240:1 gene:B456_009G018000 transcript:KJB54043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATDAPTTSASSTSTLSPSIIKKILLSYTYVAIWIFLSFTVIVYNKYILDQKLYNWPYPISLTLIHMGFCSSIAALLVRVFHVVDLPTSMSPRLYLSSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFRKDSFKSSTMGNMLAISFGVAIAAYGEAKFDSWGVLLQLGAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCFVFLLIPWITVELPILKENSTFHFDYFIFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPVNLFGYGLAFWGVAYYNHSKLKALREKEAAERKDEEGDDEESGRLLDQREGDVTTRRNESEG >KJB59514 pep chromosome:Graimondii2_0_v6:9:21379294:21385765:1 gene:B456_009G259300 transcript:KJB59514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSLYSTLLLDNELSNFQVLCLCKLKPKIVKTSRDKSERNKTMFISKCLVSKKGPLGAIWVAAYFFKKLKKSQISGTNISSSVDDILQNQLEILAYRVLAYLLLGVVRIYSKKVEYLFDDCQEVLIKINEFVVREKNRAKKEALRSASFSITRPVCFELDAFDLEVLEDTSRDNEVPREEITLKDVAWTNAGTMQYSLDRIAALDDAFLIDCIPPEDSLSCHLMTFETEARTLHDVCESEASMEKLRCDTSIHEEVSHLKIVCRVEEEPLNIVKVFDKNEREHLEVPDMSGLENRTVQEANREKNNDRFLPEECLSLRFEAAEDSLGPFKLNPFAKDQTTSGMIEGPDLLESENELNQAMEEDHACVLEASAWVPDLAGSSSREKSNDRFSSEEGMNLHPEAEEEPLIPVESLVEDLVNREKMGLHLPQSKNEVHQLLEEDHVSMKASKEVPGIASSENHIGREASRENHNDEFCQEECLNLIVEVEEKSPAFMKSFDEEQTSREKKKGSDRVQPENEVHHFMEEGCNSGAGVKKLQAEGFSDMDLQEPSTLVRPLAEENQTDAENGKFPAMRTSKDGKCQVAAKDHPLSVTLDTNPLSMLRDASGATTPHFMLIPTPAKRERARFSRKRKCLFDEVIVFPNDIMRQWIKDASDLVSKGGKDGHTALGARRPWISSLPQGFLEPSVPCTSELKSIYSGKRLRILKSVNIIKPPEQMDTSEPPAVDGSFEQAELTPATVEMRDPPVLLNLSKSPLFDGSSEQAGIAPQTPIRQSTSLVVGEQTEIAPQTPVLYSKSLRPFGSPKDLKFYNLDVGPENVDPIQSMEKEPSLNEIVEKESSLNKNEDLDLNLDMHSNEDDNQGQDGWSSRTRMVAERLQMSFLDQREKKEEKVNLSQLLEGKTKKASVRLFYEILVLKSTGLVDVQQEEAFSDIVVVKGPKWEGMGFCLGRHVK >KJB59515 pep chromosome:Graimondii2_0_v6:9:21379294:21385778:1 gene:B456_009G259300 transcript:KJB59515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSLYSTLLLDNELSNFQVLCLCKLKPKIVKTSRDKSERNKTMFISKCLVSKKGPLGAIWVAAYFFKKLKKSQISGTNISSSVDDILQNQLEILAYRVLAYLLLGVVRIYSKKVEYLFDDCQEVLIKINEFVVREKNRAKKEALRSASFSITRPVCFELDAFDLEVLEDTSRDNEVPREEITLKDVAWTNAGTMQYSLDRIAALDDAFLIDCIPPEDLSCHLMTFETEARTLHDVCESEASMEKLRCDTSIHEEVSHLKIVCRVEEEPLNIVKVFDKNEREHLEVPDMSGLENRTVQEANREKNNDRFLPEECLSLRFEAAEDSLGPFKLNPFAKDQTTSGMIEGPDLLESENELNQAMEEDHACVLEASAWVPDLAGSSSREKSNDRFSSEEGMNLHPEAEEEPLIPVESLVEDLVNREKMGLHLPQSKNEVHQLLEEDHVSMKASKEVPGIASSENHIGREASRENHNDEFCQEECLNLIVEVEEKSPAFMKSFDEEQTSREKKKGSDRVQPENEVHHFMEEGCNSGAGVKKLQAEGFSDMDLQEPSTLVRPLAEENQTDAENGKFPAMRTSKDGKCQVAAKDHPLSVTLDTNPLSMLRDASGATTPHFMLIPTPAKRERARFSRKRKCLFDEVIVFPNDIMRQWIKDASDLVSKGGKDGHTALGARRPWISSLPQGFLEPSVPCTSELKSIYSGKRLRILKSVNIIKPPEQMDTSEPPAVDGSFEQAELTPATVEMRDPPVLLNLSKSPLFDGSSEQAGIAPQTPIRQSTSLVVGEQTEIAPQTPVLYSKSLRPFGSPKDLKFYNLDVGPENVDPIQSMEKEPSLNEIVEKESSLNKNEDLDLNLDMHSNEDDNQGQDGWSSRTRMVAERLQMSFLDQREKKEEKVNLSQLLEGKTKKASVRLFYEILVLKSTGLVDVQQEEAFSDIVVVKGPKWEGMGFCLGRHVK >KJB59513 pep chromosome:Graimondii2_0_v6:9:21379294:21385765:1 gene:B456_009G259300 transcript:KJB59513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSLYSTLLLDNELSNFQVLCLCKLKPKIVKTSRDKSERNKTMFISKCLVSKKGPLGAIWVAAYFFKKLKKSQISGTNISSSVDDILQNQLEILAYRVLAYLLLGVVRIYSKKVEYLFDDCQEVLIKINEFVVREKNRAKKEALRSASFSITRPVCFELDAFDLEVLEDTSRDNEVPREEITLKDVAWTNAGTMQYSLDRIAALDDAFLIDCIPPEDLSCHLMTFETEARTLHDVCESEASMEKLRCDTSIHEEVSHLKIVCRVEEEPLNIVKVFDKNEREHLEVPDMSGLENRTVQEANREKNNDRFLPEECLSLRFEAAEDSLGPFKLNPFAKDQTTSGMIEGPDLLESENELNQAMEEDHACVLEASAWVPDLAGSSSREKSNDRFSSEEGMNLHPEAEEEPLIPVESLVEDLVNREKMGLHLPQSKNEVHQLLEEDHVSMKASKEVPGIASSENHIGREASRENHNDEFCQEECLNLIVEVEEKSPAFMKSFDEEQTSREKKKGSDRVQPENEVHHFMEEGCNSGAGVKKLQAEGFSDMDLQEPSTLVRPLAEENQTDAENGKFPAMRTSKDGKCQVAAKDHPLSVTLDTNPLSMLRDASGATTPHFMLIPTPAKRERARFSRKRKCLFDEVIVFPNDIMRQWIKDASDLVSKGGKDGHTALGARRPWISSLPQGFLEPSVPCTSELKSIYSGKRLRILKSVNIIKPPEQMDTSEPPAVDGSFEQAELTPATVEMRDPPVLLNLSKSPLFDGSSEQAGIAPQTPIRQSTSLVVGEQTEIAPQTPVLYSKSLRPFGSPKDLKFYNLDVGPENVDPIQSMEKEPSLNEIVEKESSLNKNEDLDLNLDMHSNEDDNQGQDGWSSRTR >KJB55713 pep chromosome:Graimondii2_0_v6:9:6596869:6598661:1 gene:B456_009G0905002 transcript:KJB55713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLYQHRDSYLAHQRLAIVDPASGDQPLFNEDKSVAVTVNGEIYNHEELRKKLVNHKFRTGSDCDVIAHLYEEYGEDFVDMLDGIFSFVLLDTRDNSFIVARDAIGVTSLYIGWGLDGSVWISSEMKGLNDDCEHFETFRPGHLYTSKSRGFRRWYNPPWFSESIPSVPYDPLVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARY >KJB56174 pep chromosome:Graimondii2_0_v6:9:7879763:7883922:-1 gene:B456_009G108500 transcript:KJB56174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGNSRSVRFQDDLELAKLHNTINGDGMNMIKLKFNIDGTQISEPGTKKGDKELPTSSSSSSCRTGKSLKAKVLSRVFSEDFERVKKKILDPRGPVIHRWNKIFLISCLVSLFVDPLFFYLPVVWKEVCIDIGIPHEVILTIVRSLADAFYLTQILIRFRTAYVAPPSRVFGRGELVIDSRKIASRYLQKSFWIDLIAALPLPQVLIWIVIPNLRGSTMTNTKNVLRFIIIFQYLPRLFLIFPLSSQIVKATGVVTETAWAGAAYNLILYMLASHVLGACWYLLAIERQEACWRTACDVEDPWCQYKFFDCHRVKDPGRDTWFKSSNITNLCNPSSSFYQFGIYGDALTFDVTTSPFSNKYFYCLWWGLRNLSSLGQNLDTSTYVGEIIFAIIIATLGLVLFALLIGNMQTYLQSTTVRLEEWRIRRTDTEQWMRHRQLPPELKQSVRKYDQYKWLATRGVDEEALLKGLPLDLRRDIKRHLCLDLVRRVPLFDQMDERMLDAICERLKPALCTEGTILVREGDPVNEMLFIIRGHLDSYTTNGGRTGFFNSCKIGPGDFCGEELLTWALDPRPSVILPCSTRTVKAISEVEAFALRAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFIQAAWRRFKKRKEAAELRAKENLVMAAEPEPPAPGSGLAMYAARLAASTRIGVKMHSGSGSGVVSSLPKPAEPDFSVDEE >KJB56173 pep chromosome:Graimondii2_0_v6:9:7879763:7884030:-1 gene:B456_009G108500 transcript:KJB56173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGNSRSVRFQDDLELAKLHNTINGDGMNMIKLKFNIDGTQISEPGTKKGDKELPTSSSSSSCRTGKSLKAKVLSRVFSEDFERVKKKILDPRGPVIHRWNKIFLISCLVSLFVDPLFFYLPVVWKEVCIDIGIPHEVILTIVRSLADAFYLTQILIRFRTAYVAPPSRVFGRGELVIDSRKIASRYLQKSFWIDLIAALPLPQVLIWIVIPNLRGSTMTNTKNVLRFIIIFQYLPRLFLIFPLSSQIVKATGVVTETAWAGAAYNLILYMLASHVLGACWYLLAIERQEACWRTACDVEDPWCQYKFFDCHRVKDPGRDTWFKSSNITNLCNPSSSFYQFGIYGDALTFDVTTSPFSNKYFYCLWWGLRNLSSLGQNLDTSTYVGEIIFAIIIATLGLVLFALLIGNMQTYLQSTTVRLEEWRIRRTDTEQWMRHRQLPPELKQSVRKYDQYKWLATRGVDEEALLKGLPLDLRRDIKRHLCLDLVRRVPLFDQMDERMLDAICERLKPALCTEGTILVREGDPVNEMLFIIRGHLDSYTTNGGRTGFFNSCKIGPGDFCGEELLTWALDPRPSVILPCSTRTVKAISEVEAFALRAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFIQAAWRRFKKRKEAAELRAKENLVMAAEPEPPAPGSGLAMYAARLAASTRIGVKMHSGSGSGVVSSLPKPAEPDFSVDEE >KJB56175 pep chromosome:Graimondii2_0_v6:9:7879763:7883400:-1 gene:B456_009G108500 transcript:KJB56175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGNSRSVRFQDDLELAKLHNTINGDGMNMIKLKFNIDGTQISEPGTKKGDKELPTSSSSSSCRTGKSLKAKVLSRVFSEDFERVKKKILDPRGPVIHRWNKIFLISCLVSLFVDPLFFYLPVVWKEVCIDIGIPHEVILTIVRSLADAFYLTQILIRFRTAYVAPPSRVFGRGELVIDSRKIASRYLQKSFWIDLIAALPLPQVLIWIVIPNLRGSTMTNTKNVLRFIIIFQYLPRLFLIFPLSSQIVKATGVVTETAWAGAAYNLILYMLASHVLGACWYLLAIERQEACWRTACDVEDPWCQYKFFDCHRVKDPGRDTWFKSSNITNLCNPSSSFYQFGIYGDALTFDVTTSPFSNKYFYCLWWGLRNLSSLGQNLDTSTYVGEIIFAIIIATLGLVLFALLIGNMQTYLQSTTVRLEEWRIRRTDTEQWMRHRQLPPELKQSVRKYDQYKWLATRGVDEEALLKGLPLDLRRDIKRHLCLDLVRRVPLFDQMDERMLDAICERLKPALCTEGTILVREGDPVNEMLFIIRGHLDSYTTNGGRTGFFNSCKIGPGDFCGEELLTWALDPRPSVILPCSTRTVKAISEVEAFALRAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFIQAAWRRFKKRKEAAELRAKENLVMAAEPEPPAPGSGLAMYAARLAASTRIGVKMHSGSGSGVVSSLPKPAEPDFSVDEE >KJB54923 pep chromosome:Graimondii2_0_v6:9:3936832:3940766:1 gene:B456_009G054200 transcript:KJB54923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEEKLGGSNGEDGGRDRFPIGMRVLAVDDDPICLKVLENLLRKCQYHVTTTNQAITALKMLRENRNRYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDTKLVMKGITHGACDYLLKPVRIEELKNIWQHVVRKKKPDSKDQINASNQDKSRGGTGETGPTSSSSDQKVSKKRKDQSEDEDEEGDDNGHEDPSTQKKPRVVWSVELHRKFVSAVNQLGLEKAVPKKILDLMNVDGLTRENVASHLQKYRLYLKRLSSVATQQANMVAALGSKDPSYLRMGSLDGFGDFRTLTGPGRISSASLSSYQPGGLFGRLNSSSALSLRGISSGVIQTGHSQTLNNPINGFGKIQPAVVPANQNQNGTLFQGIPTSINQLSQNKPTNHFGEFNRGNDPNAFGVATNFQDARVTVGGSSNTLPVSSGNPLLLQSNTQQTQHSGAFGNQPSSLGVTSLNQEPFDMNVRGSSNFLDHGRCSENWQSAVQLSSFPSNALSASETFSHEQLPSNNLQESISWTSSHLSSSPLDLSSSMANPANLEDSRGNISQVGLNNNVIQNIDCTTKQQWGDSRHDYNGNMNNSFSRGDSLVPASGPMMDQSNVISDKMNDVSLFSQFSGDSTYVVPHLDGEKSAFGTKSRSNDDFLFETKPQNGFNQNSFEPLENIMMSMIKSDQNNETPLMDGEFGFDAYSLGSCI >KJB54922 pep chromosome:Graimondii2_0_v6:9:3936832:3940827:1 gene:B456_009G054200 transcript:KJB54922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEEKLGGSNGEDGGRDRFPIGMRVLAVDDDPICLKVLENLLRKCQYHVTTTNQAITALKMLRENRNRYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDTKLVMKGITHGACDYLLKPVRIEELKNIWQHVVRKKKPDSKDQINASNQDKSRGGTGETGPTSSSSDQKVSKKRKDQSEDEDEEGDDNGHEDPSTQKKPRVVWSVELHRKFVSAVNQLGLEKAVPKKILDLMNVDGLTRENVASHLQKYRLYLKRLSSVATQQANMVAALGSKDPSYLRMGSLDGFGDFRTLTGPGRISSASLSSYQPGGLFGRLNSSSALSLRGISSGVIQTGHSQTLNNPINGFGKIQPAVVPANQNQNGTLFQGIPTSINQLSQNKPTNHFGEFNRGNDPNAFGVATNFQDARVTVGGSSNTLPVSSGNPLLLQSNTQQTQHSGAFGNQPSSLGVTSLNQEPFDMNVRGSSNFLDHGRCSENWQSAVQLSSFPSNALSASETFSHEQLPSNNLQESISWTSSHLSSSPLDLSSSMANPANLEDSRGNISQVGLNNNVIQNIDCTTKQQWGDSRHDYNGNMNNSFSRGDSLVPASGPMMDQSNVISDKMNDVSLFSQFSGDSTYVVPHLDGEKSAFGTKSRSNDDFLFETKPQNGFNQNSFEPLENIMMSMIKSDQNNETPLMDGEFGFDAYSLGSCI >KJB59904 pep chromosome:Graimondii2_0_v6:9:23693557:23694281:1 gene:B456_009G280100 transcript:KJB59904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLRRSSSKGGRSKPNEGCKRHPKHRQSPGVCSLCLVDKLSKLPSPPHCTSTIRTATKLADSNCSPSSSSSSLSSPCSSASDSALYSSSSSPMHRYRFGKDRGAGSSFSLLWFSGKNLLTKSRSVAFVSRIENNGYDDKKKKKKKKINGGFLSKLIH >KJB53786 pep chromosome:Graimondii2_0_v6:9:435618:439108:-1 gene:B456_009G004900 transcript:KJB53786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSFEGVALDPSKCSKLSMDEKRELVYELSKRTSRAPEMLQSWSRQEILQILCAEMGKERKYTGLTKLKIIENLFKIVAEKNSGDHESVMDPEMQSSPTNGQRTAKRQRKADHPCRLPVPVNDFATNNGGDDMTNAIYCKNSACKASLRQEDTFCKRCSCCICYKFDDNKDPSLWLICSSEPPFQGNSCGMSCHLECALKHEKSGFLKDKRHAGLDGIFYCVPCGKVNDLLGCWRKQLMAAKDTRRVDILCYRVSLGQKLLNGTQKYQKLSEIINEAVKKLEAEVGPLTGVPVKMGRGIVNRLSSGPEVQKLCSSAVELLDKMIFDMISNSLPAGSSPKPPSIIRFEDVRPTSVTVIVGSEETLPGVNVGYTLCYRKVHDMEYPEKPISTMLVPNMRYVATGLTPATEYRFKIVSFNGTRWEIPISTACIGDEVPSCPVMGISQSPTTNCSSLSNPSSVEDETNNVTPYSDQNDDRADNYLTYCKDTDKIVSTNLCEGGPNTGEVSLLGMDRAIVGPLPDSDVVNVEKKHTLDDPITEDTSTDNGSDALVQIGTECVPFVGCSEAALPVTPCRMEIVKDGQGRSGRSKTSNKDLENQTGNAEDHQDGSSSKKRSGERRDEECVENGLSEMDFKHCVKVIRWLECEGHIEKNFRQKFLTWYSLRATTQEVRVVKVFIDILNADPASLAEQLVDTFSDCISSKKSSVVPAGLCMKLWH >KJB53787 pep chromosome:Graimondii2_0_v6:9:435250:438377:-1 gene:B456_009G004900 transcript:KJB53787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCYLAIGVALDPSKCSKLSMDEKRELVYELSKRTSRAPEMLQSWSRQEILQILCAEMGKERKYTGLTKLKIIENLFKIVAEKNSGDHESVMDPEMQSSPTNGQRTAKRQRKADHPCRLPVPVNDFATNNGGDDMTNAIYCKNSACKASLRQEDTFCKRCSCCICYKFDDNKDPSLWLICSSEPPFQGNSCGMSCHLECALKHEKSGFLKDKRHAGLDGIFYCVPCGKVNDLLGCWRKQLMAAKDTRRVDILCYRVSLGQKLLNGTQKYQKLSEIINEAVKKLEAEVGPLTGVPVKMGRGIVNRLSSGPEVQKLCSSAVELLDKMIFDMISNSLPGSSPKPPSIIRFEDVRPTSVTVIVGSEETLPGVNVGYTLCYRKVHDMEYPEKPISTMLVPNMRYVATGLTPATEYRFKIVSFNGTRWEIPISTACIGDEVPSCPVMGISQSPTTNCSSLSNPSSVEDETNNVTPYSDQNDDRADNYLTYCKDTDKIVSTNLCEGGPNTGEVSLLGMDRAIVGPLPDSDVVNVEKKHTLDDPITEDTSTDNGSDALVQIGTECVPFVGCSEAALPVTPCRMEIVKDGQGRSGRSKTSNKDLENQTGNAEDHQDGSSSKKRSGERRDEECVENGLSEMDFKHCVKVIRWLECEGHIEKNFRQKFLTWYSLRATTQEVRVVKVFIDILNADPASLAEQLVDTFSDCISSKKSSVVPAGLCMKLWH >KJB53788 pep chromosome:Graimondii2_0_v6:9:435250:439808:-1 gene:B456_009G004900 transcript:KJB53788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSFEGVALDPSKCSKLSMDEKRELVYELSKRTSRAPEMLQSWSRQEILQILCAEMGKERKYTGLTKLKIIENLFKIVAEKNSGDHESVMDPEMQSSPTNGQRTAKRQRKADHPCRLPVPVNDFATNNGGDDMTNAIYCKNSACKASLRQEDTFCKRCSCCICYKFDDNKDPSLWLICSSEPPFQGNSCGMSCHLECALKHEKSGFLKDKRHAGLDGIFYCVPCGKVNDLLGCWRKQLMAAKDTRRVDILCYRVSLGQKLLNGTQKYQKLSEIINEAVKKLEAEVGPLTGVPVKMGRGIVNRLSSGPEVQKLCSSAVELLDKMIFDMISNSLPAGSSPKPPSIIRFEDVRPTSVTVIVGSEETLPGVNVGYTLCYRKVHDMEYPEKPISTMLVPNMRYVATGLTPATEYRFKIVSFNGTRWEIPISTACIGDEVPSCPVMGISQSPTTNCSSLSNPSSVEDETNNVTPYSDQNDDRADNYLTYCKDTDKIVSTNLCEGGPNTGEVSLLGMDRAIVGPLPDSDVVNVEKKHTLDDPITEDTSTDNGSDALVQIGTECVPFVGCSEAALPVTPCRMEIVKDGQGRSGRSKTSNKDLENQTGNAEDHQDGSSSKKRSGERRDEECVENGLSEMDFKHCVKVIRWLECEGHIEKNFRQKFLTWYSLRATTQEVRVVKVFIDILNADPASLAEQLVDTFSDCISSKKSSVVPAGLCMKLWH >KJB53785 pep chromosome:Graimondii2_0_v6:9:435196:439473:-1 gene:B456_009G004900 transcript:KJB53785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSFEGVALDPSKCSKLSMDEKRELVYELSKRTSRAPEMLQSWSRQEILQILCAEMGKERKYTGLTKLKIIENLFKIVAEKNSGDHESVMDPEMQSSPTNGQRTAKRQRKADHPCRLPVPVNDFATNNGGDDMTNAIYCKNSACKASLRQEDTFCKRCSCCICYKFDDNKDPSLWLICSSEPPFQGNSCGMSCHLECALKHEKSGFLKDKRHAGLDGIFYCVPCGKVNDLLGCWRKQLMAAKDTRRVDILCYRVSLGQKLLNGTQKYQKLSEIINEAVKKLEAEVGPLTGVPVKMGRGIVNRLSSGPEVQKLCSSAVELLDKMIFDMISNSLPGSSPKPPSIIRFEDVRPTSVTVIVGSEETLPGVNVGYTLCYRKVHDMEYPEKPISTMLVPNMRYVATGLTPATEYRFKIVSFNGTRWEIPISTACIGDEVPSCPVMGISQSPTTNCSSLSNPSSVEDETNNVTPYSDQNDDRADNYLTYCKDTDKIVSTNLCEGGPNTGEVSLLGMDRAIVGPLPDSDVVNVEKKHTLDDPITEDTSTDNGSDALVQIGTECVPFVGCSEAALPVTPCRMEIVKDGQGRSGRSKTSNKDLENQTGNAEDHQDGSSSKKRSGERRDEECVENGLSEMDFKHCVKVIRWLECEGHIEKNFRQKFLTWYSLRATTQEVRVVKVFIDILNADPASLAEQLVDTFSDCISSKKSSVVPAGLCMKLWH >KJB53783 pep chromosome:Graimondii2_0_v6:9:435618:439108:-1 gene:B456_009G004900 transcript:KJB53783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSFEGVALDPSKCSKLSMDEKRELVYELSKRTSRAPEMLQSWSRQEILQILCAEMGKERKYTGLTKLKIIENLFKIVAEKNSGDHESVMDPEMQSSPTNGQRTAKRQRKADHPCRLPVPVNDFATNNGGDDMTNAIYCKNSACKASLRQEDTFCKRCSCCICYKFDDNKDPSLWLICSSEPPFQGNSCGMSCHLECALKHEKSGFLKDKRHAGLDGIFYCVPCGKVNDLLGCWRKQLMAAKDTRRVDILCYRVSLGQKLLNGTQKYQKLSEIINEAVKKLEAEVGPLTGVPVKMGRGIVNRLSSGPEVQKLCSSAVELLDKMIFDMISNSLPAGSSPKPPSIIRFEDVRPTSVTVIVGSEETLPGVNVGYTLCYRKVHDMEYPEKPISTMLVPNMRYVATGLTPATEYRFKIVSFNGTRWEIPISTACIGDEVPSCPVMGISQSPTTNCSSLSNPSSVEDETNNVTPYSDQNDDRADNYLTYCKDTDKIVSTNLCEGGPNTGEVSLLGMDRAIVGPLPDSDVVNVEKKHTLDDPITEDTSTDNGSDALVQIGTECVPFVGCSEAALPVTPCRMEIVKDGQGRSGRSKTSNKDLENQTGNAEDHQDGSSSKKRSGERRDEECVENGLSEMDFKHCVKVIRWLECEGHIEKNFRQKFLTWYSLRATTQEVRVVKVFIDILNADPASLAEQLVDTFSDCISSKKSSVVPAGLCMKLWH >KJB53784 pep chromosome:Graimondii2_0_v6:9:435145:439817:-1 gene:B456_009G004900 transcript:KJB53784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSFEGVALDPSKCSKLSMDEKRELVYELSKRTSRAPEMLQSWSRQEILQILCAEMGKERKYTGLTKLKIIENLFKIVAEKNSGDHESVMDPEMQSSPTNGQRTAKRQRKADHPCRLPVPVNDFATNNGGDDMTNAIYCKNSACKASLRQEDTFCKRCSCCICYKFDDNKDPSLWLICSSEPPFQGNSCGMSCHLECALKHEKSGFLKDKRHAGLDGIFYCVPCGKVNDLLGCWRKQLMAAKDTRRVDILCYRVSLGQKLLNGTQKYQKLSEIINEAVKKLEAEVGPLTGVPVKMGRGIVNRLSSGPEVQKLCSSAVELLDKMIFDMISNSLPGSSPKPPSIIRFEDVRPTSVTVIVGSEETLPGVNVGYTLCYRKVHDMEYPEKPISTMLVPNMRYVATGLTPATEYRFKIVSFNGTRWEIPISTACIGDEVPSCPVMGISQSPTTNCSSLSNPSSVEDETNNVTPYSDQNDDRADNYLTYCKDTDKIVSTNLCEGGPNTGEVSLLGMDRAIVGPLPDSDVVNVEKKHTLDDPITEDTSTDNGSDALVQIGTECVPFVGCSEAALPVTPCRMEIVKDGQGRSGRSKTSNKDLENQTGNAEDHQDGSSSKKRSGERRDEECVENGLSEMDFKHCVKVIRWLECEGHIEKNFRQKFLTWYSLRATTQEVRVVKVFIDILNADPASLAEQLVDTFSDCISSKKSSVVPAGLCMKLWH >KJB62443 pep chromosome:Graimondii2_0_v6:9:64587327:64590355:1 gene:B456_009G417200 transcript:KJB62443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVIGGESLDVLQHWVVELFSDVRQGSQGKPEFKVEVPVWKAGKLYRLEAVKDVRILELRWALPCLLQAYLKKLEDYLAHLLGHGSQRYTYIKPSD >KJB62445 pep chromosome:Graimondii2_0_v6:9:64588097:64589736:1 gene:B456_009G417200 transcript:KJB62445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKKSLVDAVEKGIDLRKQILELYNDYYHGGPMKLVVIGGESLDVLQHWVVELFSDVRQGSQGKPEFKVEVPVWKAGKLYRLEAVKDVRILELRWALPCLLQAYLKKLEDYLAHLLGHGSQRYTYIKPSD >KJB62444 pep chromosome:Graimondii2_0_v6:9:64587327:64590355:1 gene:B456_009G417200 transcript:KJB62444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVIGGESLDVLQHWVVELFSDVRQGSQGKPEFKVEVPVWKAGKLYRLEAVKDVRILELRWALPCLLQAYLKKLEDYLAHLLGHGSQRYTYIKPSD >KJB63117 pep chromosome:Graimondii2_0_v6:9:70519057:70523547:1 gene:B456_009G453900 transcript:KJB63117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVRMYLGRKRTWMCALLQNLSLYGTDIAYVITTSTSMRAIQKSNCYHREGHKAACSYGDTTYMLLFGGVQVVMSQIPDFHNMEWLSVVAAIMSFTYSFIGFGLGFAQVIENGRIQGSITGVPADSVADKLWLVFQALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKTASMIAIFVTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIFSQPVFAFVERWFTNKFPSSGFVNNFYTIKLPLLPSFQMNPLKICFRTAYVASTTVIAMIFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIQPWTRKWVVLRSFSFFCLLVSIVGLIGSIEGLISAKFG >KJB63118 pep chromosome:Graimondii2_0_v6:9:70519057:70523547:1 gene:B456_009G453900 transcript:KJB63118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGNSIRDLVELCCTHNYCCYRLWSIVLGLEYFTARMVSRTYCLAYLCYYHLSFGFPSFRLLSDQRWNTKQVLHGRCSDVSWYSGRKRTWMCALLQNLSLYGTDIAYVITTSTSMRAIQKSNCYHREGHKAACSYGDTTYMLLFGGVQVVMSQIPDFHNMEWLSVVAAIMSFTYSFIGFGLGFAQVIENGRIQGSITGVPADSVADKLWLVFQALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKTASMIAIFVTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIFSQPVFAFVERWFTNKFPSSGFVNNFYTIKLPLLPSFQMNPLKICFRTAYVASTTVIAMIFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIQPWTRKWVVLRSFSFFCLLVSIVGLIGSIEGLISAKFG >KJB63112 pep chromosome:Graimondii2_0_v6:9:70518345:70523570:1 gene:B456_009G453900 transcript:KJB63112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQHSLELDNGSCDDDGHPARTGTLWSCVAHIITAVIGSGVLSLAWSTSQLGWLAGPIALLIFAIITYLSAFLLSDCYRTNDGTRNKSYMDAVRMYLGRKRTWMCALLQNLSLYGTDIAYVITTSTSMRAIQKSNCYHREGHKAACSYGDTTYMLLFGGVQVVMSQIPDFHNMEWLSVVAAIMSFTYSFIGFGLGFAQVIENGRIQGSITGVPADSVADKLWLVFQALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKTASMIAIFVTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIFSQPVFAFVERWFTNKFPSSGFVNNFYTIKLPLLPSFQMNPLKICFRTAYVASTTVIAMIFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIQPWTRKWVVLRSFSFFCLLVSIVGLIGSIEGLISAKFG >KJB63116 pep chromosome:Graimondii2_0_v6:9:70519058:70523570:1 gene:B456_009G453900 transcript:KJB63116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALLQNLSLYGTDIAYVITTSTSMRAIQKSNCYHREGHKAACSYGDTTYMLLFGGVQVVMSQIPDFHNMEWLSVVAAIMSFTYSFIGFGLGFAQVIENGRIQGSITGVPADSVADKLWLVFQALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKTASMIAIFVTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIFSQPVFAFVERWFTNKFPSSGFVNNFYTIKLPLLPSFQMNPLKICFRTAYVASTTVIAMIFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIQPWTRKWVVLRSFSFFCLLVSIVGLIGSIEGLISAKFG >KJB63119 pep chromosome:Graimondii2_0_v6:9:70518713:70523570:1 gene:B456_009G453900 transcript:KJB63119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQHSLELDNGSCDDDGHPARTGTLWSCVAHIITAVIGSGVLSLAWSTSQLGWLAGPIALLIFAIITYLSAFLLSDCYRTNDGTRNKSYMDAVRMYLGRKRTWMCALLQNLSLYGTDIAYVITTSTSMRAIQKSNCYHREGHKAACSYGDTTYMLLFGGVQVVMSQIPDFHNMEWLSVVAAIMSFTYSFIGFGLGFAQVIENGRIQGSITGVPADSVADKLWLVFQALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKTASMIAIFVTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIFSQPVFAFVERWFTNKFPSSGFVNNFYTIKLPLLPSFQMNPLKICFRTAYVASTTVIAMIFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIQPWTRKWVVLRSFSFFCLLVSIVGLIGSIEGLISAKFG >KJB63110 pep chromosome:Graimondii2_0_v6:9:70512002:70523570:1 gene:B456_009G453900 transcript:KJB63110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHEVAEDSQSPLLPTSSSSTDVSAVLVKRTGTLWTAVAHIITGVIGAGVLSLAWSIAQLGWIAGPICMLAFAGVTIVSTYLLCDCYMFPHPEYGPNRVKSYMDAVRFYLGEKQHKVSGVITQESLYGNNLAYVITSASSIKAIQKSNCYHREGHKAACSYGDTTYMLLFGGVQVVMSQIPDFHNMEWLSVVAAIMSFTYSFIGFGLGFAQVIENGRIQGSITGVPADSVADKLWLVFQALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKTASMIAIFVTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIFSQPVFAFVERWFTNKFPSSGFVNNFYTIKLPLLPSFQMNPLKICFRTAYVASTTVIAMIFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIQPWTRKWVVLRSFSFFCLLVSIVGLIGSIEGLISAKFG >KJB63113 pep chromosome:Graimondii2_0_v6:9:70519057:70523547:1 gene:B456_009G453900 transcript:KJB63113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQHSLELDNGSCDDDGHPARTGTLWSCVAHIITAVIGSGVLSLAWSTSQLGWLAGPIALLIFAIITYLSAFLLSDCYRTNDGTRNKSYMDAVRMYLGRKRTWMCALLQNLSLYGTDIAYVITTSTSMRAIQKSNCYHREGHKAACSYGDTTYMLLFGGVQVVMSQIPDFHNMEWLSVVAAIMSFTYSFIGFGLGFAQVIENGRIQGSITGVPADSVADKLWLVFQALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKTASMIAIFVTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIFSQPVFAFVERWFTNKFPSSGFVNNFYTIKLPLLPSFQMNPLKICFRTAYVASTTVIAMIFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIQPWTRKWVVLRSFSFFCLLVSIVGLIGSIEGLISAKFG >KJB63114 pep chromosome:Graimondii2_0_v6:9:70518713:70523547:1 gene:B456_009G453900 transcript:KJB63114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQHSLELDNGSCDDDGHPARTGTLWSCVAHIITAVIGSGVLSLAWSTSQLGWLAGPIALLIFAIITYLSAFLLSDCYRTNDGTRNKSYMDAVRMYLGRKRTWMCALLQNLSLYGTDIAYVITTSTSMRAIQKSNCYHREGHKAACSYGDTTYMLLFGGVQVVMSQIPDFHNMEWLSVVAAIMSFTYSFIGFGLGFAQVIENGRIQGSITGVPADSVADKLWLVFQALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKTASMIAIFVTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIFSQPVFAFVERWFTNKFPSSGFVNNFYTIKLPLLPSFQMNPLKICFRTAYVASTTVIAMIFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIQPWTRKWVVLRSFSFFCLLVSIVGLIGSIEGLISAKFG >KJB63111 pep chromosome:Graimondii2_0_v6:9:70514212:70523547:1 gene:B456_009G453900 transcript:KJB63111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFAGVTIVSTYLLCDCYMFPHPEYGPNRVKSYMDAVRFYLGEKQHKVSGVITQESLYGNNLAYVITSASSIKAIQKSNCYHREGHKAACSYGDTTYMLLFGGVQVVMSQIPDFHNMEWLSVVAAIMSFTYSFIGFGLGFAQVIENGRIQGSITGVPADSVADKLWLVFQALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKTASMIAIFVTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIFSQPVFAFVERWFTNKFPSSGFVNNFYTIKLPLLPSFQMNPLKICFRTAYVASTTVIAMIFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIQPWTRKWVVLRSFSFFCLLVSIVGLIGSIEGLISAKFG >KJB63115 pep chromosome:Graimondii2_0_v6:9:70518345:70523570:1 gene:B456_009G453900 transcript:KJB63115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQHSLELDNGSCDDDGHPARTGTLWSCVAHIITAVIGSGVLSLAWSTSQLGWLAGPIALLIFAIITYLSAFLLSDCYRTNDGTRNKSYMDAVRMYLGRKRTWMCALLQNLSLYGTDIAYVITTSTSMRAIQKSNCYHREGHKAACSYGDTTYMLLFGGVQVVMSQIPDFHNMEWLSVVAAIMSFTYSFIGFGLGFAQVIENGRIQGSITGVPADSVADKLWLVFQALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKTASMIAIFVTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIFSQPVFAFVERWFTNKFPSSGFVNNFYTIKLPLLPSFQMNPLKICFRTAYVASTTVIAMIFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIQPWTRKWVVLRSFSFFCLLVSIVGLIGSIEGLISAKFG >KJB59700 pep chromosome:Graimondii2_0_v6:9:22295373:22299891:-1 gene:B456_009G267800 transcript:KJB59700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGSSGIGYGLKYQARCISDVKADTDHTSFITGTLSLREENEVHLIRLSSGGTELICEGLFSHPNEIWDLASCPFDQRIFSTVFSTGESYGAAIWQIPELYGQLNSPQLERIASLDAHVAKINCVLWWPSGRHDKLLSIDDENIFLWTLDCSKKAAQVQSKESSGMLHYLSGGAWDPHDMNAVATTCESSVQFWDLRTMKKTNAIERAHIRNANYDMKKSHILVTAEDESGIHIWDLRKPKSPAKELPGHTHWTWAVTCNPEYDGLILSAGTDSTVNLWQAPTSAEDKATSESITEPLNQQADPLLNSYSDYEDSVYGLAWSSREPWIFASLSYDGRVRTFCNSGSQKKHRYITLNH >KJB59701 pep chromosome:Graimondii2_0_v6:9:22295373:22300065:-1 gene:B456_009G267800 transcript:KJB59701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGSSGIGYGLKYQARCISDVKADTDHTSFITGTLSLREENEVHLIRLSSGGTELICEGLFSHPNEIWDLASCPFDQRIFSTVFSTGESYGAAIWQIPELYGQLNSPQLERIASLDAHVAKINCVLWWPSGRHDKLLSIDDENIFLWTLDCSKKAAQVQSKESSGMLHYLSGGAWDPHDMNAVATTCESSVQFWDLRTMKKTNAIERAHIRNANYDMKKSHILVTAEDESGIHIWDLRKPKSPAKELPGHTHWTWAVTCNPEYDGLILSAGTDSTVNLWQAPTSAEDKATSESITEPLNQQADPLLNSYSDYEDSVYGLAWSSREPWIFASLSYDGRVVVESVKPFLSRK >KJB59702 pep chromosome:Graimondii2_0_v6:9:22296372:22299891:-1 gene:B456_009G267800 transcript:KJB59702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGSSGIGYGLKYQARCISDVKADTDHTSFITGTLSLREENEVHLIRLSSGGTELICEGLFSHPNEIWDLASCPFDQRIFSTVFSTGESYGAAIWQIPELYGQLNSPQLERIASLDAHVAKINCVLWWPSGRHDKLLSIDDENIFLWTLDCSKKAAQVQSKESSGMLHYLSGGAWDPHDMNAVATTCESSVQFWDLRTMKKTNAIERAHIRNANYDMKKSHILVTAEDESGIHIWDLRKPKSPAKELPGHTHWTWAVTCNPEYDGLILVLSLSLPLSL >KJB59699 pep chromosome:Graimondii2_0_v6:9:22295954:22299836:-1 gene:B456_009G267800 transcript:KJB59699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGSSGIGYGLKYQARCISDVKADTDHTSFITGTLSLREENEVHLIRLSSGGTELICEGLFSHPNEIWDLASCPFDQRIFSTVFSTGESYGAAIWQIPELYGQLNSPQLERIASLDAHVAKINCVLWWPSGRHDKLLSIDDENIFLWTLDCSKKAAQVQSKESSGMLHYLSGGAWDPHDMNAVATTCESSVQFWDLRTMKKTNAIERAHIRNANYDMKKSHILVTAEDESGIHIWDLRKPKSPAKELPGHTHWTWAVTCNPEYDGLILSAGTDSTVNLWQAPTSAEDKATSESITEPLNQQADPLLNSYSDYEDSVYGNCPNFHLCFMPCF >KJB62363 pep chromosome:Graimondii2_0_v6:9:63268638:63270046:-1 gene:B456_009G413900 transcript:KJB62363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLHDDVVIIRPSEKEGDPSVITVNCPDKTGLGSDLCRILLFFGLSIVRGDVSTDGKWCYIVFWVVCKPATRWELLKKRLVQACPSCSSASGISYYRSELQSPKPPDVFLIKLCCVDRKGLLHDVTQVLSSLELNIQKVKVSTTPDGTVVDLFFITDTRELLHTKNRQEETYKALEDVMQDAMISFNIEKVGPEITACCQASPYLPSAITDDIFNLEMSNDLPSVSLTSNNVCVTMDNSLSPAHTLVQIVCQDHKGLLYDIMRTLKDYNIQVDCFSKFYCQCSVL >KJB62367 pep chromosome:Graimondii2_0_v6:9:63267403:63270160:-1 gene:B456_009G413900 transcript:KJB62367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDAMISFNIEKVGPEITACCQASPYLPSAITDDIFNLEMSNDLPSVSLTSNNVCVTMDNSLSPAHTLVQIVCQDHKGLLYDIMRTLKDYNIQISYGRFYIKQGRKCEIDLFIMQSDGKKIVDPSKQTALSSRLKMELLQPLRVTVVSRGPDTELLVANPVELSSKGRPLVFYDITLALKMLNTCIFSAEIGRHMIRDREWEVYRILLDEGASSSIPRRKVEEEVWKRLMGWE >KJB62362 pep chromosome:Graimondii2_0_v6:9:63267257:63270253:-1 gene:B456_009G413900 transcript:KJB62362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLHDDVVIIRPSEKEGDPSVITVNCPDKTGLGSDLCRILLFFGLSIVRGDVSTDGKWCYIVFWVVCKPATRWELLKKRLVQACPSCSSASGISYYRSELQSPKPPDVFLIKLCCVDRKGLLHDVTQVLSSLELNIQKVKVSTTPDGTVVDLFFITDTRELLHTKNRQEETYKALEDVMQDAMISFNIEKVGPEITACCQASPYLPSAITDDIFNLEMSNDLPSVSLTSNNVCVTMDNSLSPAHTLVQIVCQDHKGLLYDIMRTLKDYNIQISYGRFYIKQGRKCEIDLFIMQSDGKKIVDPSKQTALSSRLKMELLQPLRVTVVSRGPDTELLVANPVELSSKGRPLVFYDITLALKMLNTCIFSAEIGRHMIRDREWEVYRILLDEGASSSIPRRKVEEEVWKRLMGWE >KJB62366 pep chromosome:Graimondii2_0_v6:9:63267403:63270160:-1 gene:B456_009G413900 transcript:KJB62366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRCFGLADVTQVLSSLELNIQKVKVSTTPDGTVVDLFFITDTRELLHTKNRQEETYKALEDVMQDAMISFNIEKVGPEITACCQASPYLPSAITDDIFNLEMSNDLPSVSLTSNNVCVTMDNSLSPAHTLVQIVCQDHKGLLYDIMRTLKDYNIQISYGRFYIKQGRKCEIDLFIMQSDGKKIVDPSKQTALSSRLKMELLQPLRVTVVSRGPDTELLVANPVELSSKGRPLVFYDITLALKMLNTCIFSAEIGRHMIRDREWEVYRILLDEGASSSIPRRKVEEEVWKRLMGWE >KJB62364 pep chromosome:Graimondii2_0_v6:9:63268180:63270046:-1 gene:B456_009G413900 transcript:KJB62364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLHDDVVIIRPSEKEGDPSVITVNCPDKTGLGSDLCRILLFFGLSIVRGDVSTDGKWCYIVFWVVCKPATRWELLKKRLVQACPSCSSASGISYYRSELQSPKPPDVFLIKLCCVDRKGLLHDVTQVLSSLELNIQKVKVSTTPDGTVVDLFFITDTRELLHTKNRQEETYKALEDVMQDAMISFNIEKVGPEITACCQASPYLPSAITDDIFNLEMSNDLPSVSLTSNNVCVTMDNSLSPAHTLVQIVCQDHKGLLYDIMRTLKDYNIQISYGRFYIKQGRKCEIDLFIMQSDGKKIVDPSKQTALSSRLKMELLQPLRVTVVSRGPDTELLVANPVELSSKGRPLVFYDITLALKMLNTCIFSVLPQLSA >KJB62365 pep chromosome:Graimondii2_0_v6:9:63267403:63270166:-1 gene:B456_009G413900 transcript:KJB62365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLHDDVVIIRPSEKEGDPSVITVNCPDKTGLGSDLCRILLFFGLSIVRGDVSTDGKWCYIVFWVVCKPATRWELLKKRLVQACPSCSSASGISYYRSELQSPKPPDVFLIKLCCVDRKGLLHDVTQVLSSLELNIQKVKVSTTPDGTVVDLFFITDTRELLHTKNRQEETYKALEDVMQDAMISFNIEKVGPEITACCQASPYLPSAITDDIFNLEMSNDLPSVSLTSNNVCVTMDNSLSPAHTLVQIVCQDHKGLLYDIMRTLKDYNIQAEIGRHMIRDREWEVYRILLDEGASSSIPRRKVEEEVWKRLMGWE >KJB62368 pep chromosome:Graimondii2_0_v6:9:63267403:63270160:-1 gene:B456_009G413900 transcript:KJB62368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDAMISFNIEKVGPEITACCQASPYLPSAITDDIFNLEMSNDLPSVSLTSNNVCVTMDNSLSPAHTLVQIVCQDHKGLLYDIMRTLKDYNIQISYGRFYIKQGRKCEIDLFIMQSDGKKIVDPSKQTALSSRLKMELLQPLRVTVVSRGPDTELLVANPVELSSKGRPLVFYDITLALKMLNTCIFSAEIGRHMIRDREWEVYRILLDEGASSSIPRRKVEEEVWKRLMGWE >KJB61094 pep chromosome:Graimondii2_0_v6:9:39340802:39341552:1 gene:B456_009G340500 transcript:KJB61094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTHNSFQVKIMVVFLAIMLLMSSAAARSRSRHYGRRHPRNDNSPYYYSPSQGRNNPPYYDSPSDTYGSTESGGGGDPCCN >KJB61331 pep chromosome:Graimondii2_0_v6:9:45023235:45028562:1 gene:B456_009G351700 transcript:KJB61331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTSMAAISLNFQHFRNHRVSFSSCCCFNRKIKLHENQQILSRKYRRKPISALASGLEASISDADDKLIALKDAKIVVESKDENKIQLRVDVTGIETQKVFNKVLTDLARQAPPIPGFRREKGGKTTKVRGFPPLHFFRFLIWPCFLSSCLVSSKGIPITNSW >KJB61330 pep chromosome:Graimondii2_0_v6:9:45023347:45027995:1 gene:B456_009G351700 transcript:KJB61330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTSMAAISLNFQHFRNHRVSFSSCCCFNRKIKLHENQQILSRKVVRYRRKPISALASGLEASISDADDKLIALKDAKIVVESKDENKIQLRVDVTGIETQKVFNKVLTDLARQAPPIPGFRREKGGKTTKVRGFPPLHFFRFLIWPCFLSSCLVSSKGIPITNSW >KJB61333 pep chromosome:Graimondii2_0_v6:9:45023204:45028546:1 gene:B456_009G351700 transcript:KJB61333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTSMAAISLNFQHFRNHRVSFSSCCCFNRKIKLHENQQILSRKVVRYRRKPISALASGLEASISDADDKLIALKDAKIVVESKDENKIQLRVDVTGIETQKVFNKVLTDLARQAPPIPGFRREKGGKTTKVPREFLLQILGEERVTKFVVQEIVTSTVADYVKEENLNVKDKKVSTSQSAEELKVSFTPGKDFWFNAVLELEESENS >KJB61332 pep chromosome:Graimondii2_0_v6:9:45023324:45028464:1 gene:B456_009G351700 transcript:KJB61332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTSMAAISLNFQHFRNHRVSFSSCCCFNRKIKLHENQQILSRKVVRYRRKPISALASGLEASISDADDKLIALKDAKIVVESKDENKIQLRVDVTGIETQKVFNKVLTDLARQAPPIPGFRREKGGKTTKQVPREFLLQILGEERVTKFVVQEIVTSTVADYVKEENLNVKDKKVSTSQSAEELKVSFTPGKDFWFNAVLELEESENS >KJB58348 pep chromosome:Graimondii2_0_v6:9:15935205:15936291:1 gene:B456_009G205800 transcript:KJB58348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRIIFCFAVVIAIAVVVLLALLSPVSHKSSSKNPSRPWLALSMYIQHPHISRSSVQPVAQSDAGAFVFHRALTEGPKNTSRIVGKAQGFIIPIEHFADSAFNIIYLTFETPKFNGSLSIQAKNVEHEDRQELTVVGGTGYFAFARGLAVFMQTKSQSSEADPTYHVKLQLRFPNRSQTIPG >KJB59451 pep chromosome:Graimondii2_0_v6:9:20970219:20976575:1 gene:B456_009G255100 transcript:KJB59451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKGRLVAGSHNRNEFVLINADEVARVTSVKELSGQICQICGDEIEISVDGEPFVACNECAFPVCRACYEYERREGNQACPQCKTRYKRIKGCPRVEGDEEEDGADDLENEFDIASHDRRDPHHIAAAMLSGRYNINHGSQPHVSGISTPAELDAASVAADIPLLTYGQEDVGISPDKHALIVPPFMSRGKRVHPMPMPDPSMTLPPRPMDPKKDLAVYGYGTVAWKERMEDWKKKQNEKLQVVKHEGNNGDEFEDSDLPMMDEGRQPLSRKLPIPSSKINPYRLIILLRLAVLGLFFHYRILHPVNDAYVLWLISVICEIWFAVSWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELASVDVFVSTVDPMKEPPLITANTVLSILSVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFSIEPRAPEWYFAQKVDYLRDKVDPTFVRERRAMKREYEEFKVRINSLVAMAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGHDGVRDIEGNELPRLIYVSREKRPGFDHHKKAGAMNSLALREAMCFMMDPISGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPVKKKPPRRTCNCLPKWCCCCCCCRSKRKNKKSKSIDKKKKEVPKHKHALENIEEGIEGIDNEKSALMPQIKFEKKFGQSPVFIASTLMEDGGIPKGATTASLLKEAIHVISCGYEDKTDWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGCGLKSLERFSYIASVVYPLTSVPLLVYCTLPAICLLTGKFIVPEISNYASLLFMSLFIVIAVTSILEMQWGGVGIHDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVNTNFTVTSKGGDDGEFSELYLFKWTSLLIPPMTLLIINIIGVIVGISDAISNGYDSWGPLFGRLFFAFWVIVHLYPFLKGLMGKQDRLPTIIVVWSILLASIFSLLWARVNPFISKGGIVLEVCGLNCD >KJB59449 pep chromosome:Graimondii2_0_v6:9:20970193:20976611:1 gene:B456_009G255100 transcript:KJB59449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKGRLVAGSHNRNEFVLINADEVARVTSVKELSGQICQICGDEIEISVDGEPFVACNECAFPVCRACYEYERREGNQACPQCKTRYKRIKGCPRVEGDEEEDGADDLENEFDIASHDRRDPHHIAAAMLSGRYNINHGSQPHVSGISTPAELDAASVAADIPLLTYGQEDVGISPDKHALIVPPFMSRGKRVHPMPMPDPSMTLPPRPMDPKKDLAVYGYGTVAWKERMEDWKKKQNEKLQVVKHEGNNGDEFEDSDLPMMDEGRQPLSRKLPIPSSKINPYRLIILLRLAVLGLFFHYRILHPVNDAYVLWLISVICEIWFAVSWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELASVDVFVSTVDPMKEPPLITANTVLSILSVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFSIEPRAPEWYFAQKVDYLRDKVDPTFVRERRAMKREYEEFKVRINSLVAMAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGHDGVRDIEGNELPRLIYVSREKRPGFDHHKKAGAMNSLVRVSAVISNAPFLLNVDCDHYINNSKALREAMCFMMDPISGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPVKKKPPRRTCNCLPKWCCCCCCCRSKRKNKKSKSIDKKKKEVPKHKHALENIEEGIEGIDNEKSALMPQIKFEKKFGQSPVFIASTLMEDGGIPKGATTASLLKEAIHVISCGYEDKTDWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGCGLKSLERFSYIASVVYPLTSVPLLVYCTLPAICLLTGKFIVPEISNYASLLFMSLFIVIAVTSILEMQWGGVGIHDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVNTNFTVTSKGGDDGEFSELYLFKWTSLLIPPMTLLIINIIGVIVGISDAISNGYDSWGPLFGRLFFAFWVIVHLYPFLKGLMGKQDRLPTIIVVWSILLASIFSLLWARVNPFISKGGIVLEVCGLNCD >KJB59450 pep chromosome:Graimondii2_0_v6:9:20970463:20975403:1 gene:B456_009G255100 transcript:KJB59450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKGRLVAGSHNRNEFVLINADEVARVTSVKELSGQICQICGDEIEISVDGEPFVACNECAFPVCRACYEYERREGNQACPQCKTRYKRIKGCPRVEGDEEEDGADDLENEFDIASHDRRDPHHIAAAMLSGRYNINHGSQPHVSGISTPAELDAASVAADIPLLTYGQEDVGISPDKHALIVPPFMSRGKRVHPMPMPDPSMTLPPRPMDPKKDLAVYGYGTVAWKERMEDWKKKQNEKLQVVKHEGNNGDEFEDSDLPMMDEGRQPLSRKLPIPSSKINPYRLIILLRLAVLGLFFHYRILHPVNDAYVLWLISVICEIWFAVSWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELASVDVFVSTVDPMKEPPLITANTVLSILSVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFSIEPRAPEWYFAQKVDYLRDKVDPTFVRERRAMKREYEEFKVRINSLVAMAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGHDGVRDIEGNELPRLIYVSREKRPGFDHHKKAGAMNSLVRVSAVISNAPFLLNVDCDHYINNSKALREAMCFMMDPISGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPVKKKPPRRTCNCLPKWCCCCCCCRSKRKNKKSKSIDKKKKEVPKHKHALENIEEGIEGIDNEKSALMPQIKFEKKFGQSPVFIASTLMEDGGIPKGATTASLLKEAIHVISCGYEDKTDWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGCGLKSLERFSYIASVVYPLTSVPLLVYCTLPAICLLTGKFIVPEVILNF >KJB59452 pep chromosome:Graimondii2_0_v6:9:20970219:20976575:1 gene:B456_009G255100 transcript:KJB59452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKGRLVAGSHNRNEFVLINADEVARVTSVKELSGQICQICGDEIEISVDGEPFVACNECAFPVCRACYEYERREGNQACPQCKTRYKRIKGCPRVEGDEEEDGADDLENEFDIASHDRRDPHHIAAAMLSGRYNINHGSQPHVSGISTPAELDAASVAADIPLLTYGQEDVGISPDKHALIVPPFMSRGKRVHPMPMPDPSMTLPPRPMDPKKDLAVYGYGTVAWKERMEDWKKKQNEKLQVVKHEGNNGDEFEDSDLPMMDEGRQPLSRKLPIPSSKINPYRLIILLRLAVLGLFFHYRILHPVNDAYVLWLISVICEIWFAVSWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELASVDVFVSTVDPMKEPPLITANTVLSILSVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFSIEPRAPEWYFAQKVDYLRDKVDPTFVRERRAMKREYEEFKVRINSLVAMAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGHDGVRDIEGNELPRLIYVSREKRPGFDHHKKAGAMNSLVRVSAVISNAPFLLNVDCDHYINNSKALREAMCFMMDPISGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPVKKKPPRRTCNCLPKWCCCCCCCRSKRKNKKSKSIDKKKKEVPKHKHALENIEEGIEGIDNEKSALMPQIKFEKKFGQSPVFIASTLMEDGGIPKGATTASLLKEAIHVISCGYEDKTDWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGCGLKSLERFSYIASVVYPLTSVPLLVYCTLPAICLLTGKFIVPEGLLKVLAGVNTNFTVTSKGGDDGEFSELYLFKWTSLLIPPMTLLIINIIGVIVGISDAISNGYDSWGPLFGRLFFAFWVIVHLYPFLKGLMGKQDRLPTIIVVWSILLASIFSLLWARVNPFISKGGIVLEVCGLNCD >KJB57855 pep chromosome:Graimondii2_0_v6:9:14120223:14124050:1 gene:B456_009G183400 transcript:KJB57855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNVSVEEFLATAVDAAKKAGEIIRKGFYQTKHVEHKGQVDLVTETDKACEDLVFNHLKLHYPSHKFIGEETTAAYGTSELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTLGKVPTVGVVYNPLMDELFTGILGKGAFLNGSPIRVSSQTELVKSLLATEPGTKRDTPTVDATTNRINNLLFKVRSVRMSGSCALNLCGIACGRLDLFYELGYGGPWDVAAGVLMVKEAGGLVYEPFGKDFDITVPQPRIQVSIADDMDAPKRC >KJB57853 pep chromosome:Graimondii2_0_v6:9:14120310:14122517:1 gene:B456_009G183400 transcript:KJB57853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNVSVEEFLATAVDAAKKAGEIIRKGFYQTKHVEHKGQVDLVTETDKACEDLVFNHLKLHYPSHKFIGEETTAAYGTSELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTLGKVPTVGVVYNPLMDELFTGILGKGAFLNGSPIRVSSQTELVKSLLATEPGTKRDTPTVDATTNRINNLLFKVRSVRMSGSCALNLCGIACGRLDLFYELGYGGPWYAFDHFDILRSYNIHYTIDTVCLYDQGCRSWRFNG >KJB57854 pep chromosome:Graimondii2_0_v6:9:14120223:14122971:1 gene:B456_009G183400 transcript:KJB57854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNVSVEEFLATAVDAAKKAGEIIRKGFYQTKHVEHKGQVDLVTETDKACEDLVFNHLKLHYPSHKFIGEETTAAYGTSELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTLGKVPTVGVVYNPLMDELFTGILGKGAFLNGSPIRVSSQTELVKSLLATEPGTKRDTPTVDATTNRINNLLFKVRSVRMSGSCALNLCGIACGRLDLFYELGYGGPWDVAAGVLMVKEAGGLVYEPFGKDFDITVPQVAASNPFLKDAFLEALQH >KJB59834 pep chromosome:Graimondii2_0_v6:9:23002684:23005702:-1 gene:B456_009G274600 transcript:KJB59834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLQIMFKAKIFVSSIKNLFSHPQFEHQQWRGIVKVRLKWVKNRSLDHVIDTDTDLKAACLLKDAIKRSPTGFLTAKSFADWQKLLGLTVPVLRFLRRYPTLFEEFPHARYANLPCFRLTGTALLLDSQEQSIHQAHENDTIERLSKVLMMMRSRTAPLQSLHSLKWDLGLPDNFEKVLIPKYPDHFSFVKAPTGVSALRLKRWREEFAVSALEKSNEGEEMGDEYRQFKRGQTTLAFPMNFPRGYGAQKKVRAWMEEFQKLPYISPYEDSRHIDPNSELMEKRAVGVLHEFLSLTIHKKTKRNYLRSLREELNIPHKFTRLFTRYPGIFYLSLKCKTTTVALKEGYRRGKLVNPHPLVRLRHKFYHVMRTGLLYRGKGANLIPREDILLKDMENRMEDKEEEGGDYDDDDDEDEEYEEIETGDEYDEETSDVED >KJB54607 pep chromosome:Graimondii2_0_v6:9:3029217:3032090:1 gene:B456_009G041200 transcript:KJB54607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFGPMTPLPDLVTIHPPKEEEEYTEKKDFEPLAPHANIEVPVVV >KJB54605 pep chromosome:Graimondii2_0_v6:9:3029130:3032090:1 gene:B456_009G041200 transcript:KJB54605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHPPKEEEEYTEKKDFEPLAPHANIEVPVVV >KJB54606 pep chromosome:Graimondii2_0_v6:9:3029217:3032090:1 gene:B456_009G041200 transcript:KJB54606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHPPKEEEEYTEKKDFEPLAPHANIEVPVVV >KJB56808 pep chromosome:Graimondii2_0_v6:9:10266514:10267614:1 gene:B456_009G136500 transcript:KJB56808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPNHSSSSEAESWSSNNTNNSNSSSPTSSSSETVLSRKLNGKRGRDTSSKHPSYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGDSAILNFPDLTEFLPRPVSLLPRDIRAAAVKAASMVNFNALTSSPSSSSVVSESSSLSESNASDEPEELSEIVQLPNIEGNFDSLFDSQNEFILLDSVDGWVYPPQDFYGGFYDQIWVTENLTAASFETTFLWE >KJB55300 pep chromosome:Graimondii2_0_v6:9:4968749:4972334:1 gene:B456_009G070200 transcript:KJB55300 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 29 [Source:Projected from Arabidopsis thaliana (AT3G20750) UniProtKB/Swiss-Prot;Acc:Q9LT45] MDNSPWFNQAAAEMNGQNNDNNNAGNSRVDLTLKLGLPLFNPLQNPALYHNIQQGPVNEGAMPEAPQQHFNGGQYAAWPSPDQIGNGSSAHNMNYPNVFNNFSGPSVEFPPYDTTNSYNYVTPPPPPPLHELPPNSYTLLDVPPRRAAQLQQREFESSSGLSLGQGQRGYGLYNDPNKRCTNYNCNTNDTPMWRRGPLGPKTLCNACGIKYRKEGEKRKAREGKDGEQQFDLNE >KJB55302 pep chromosome:Graimondii2_0_v6:9:4969340:4972334:1 gene:B456_009G070200 transcript:KJB55302 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 29 [Source:Projected from Arabidopsis thaliana (AT3G20750) UniProtKB/Swiss-Prot;Acc:Q9LT45] MDNSPWFNQAAAEMNGQNNDNNNAGNSRVDLTLKLGLPLFNPLQNPALYHNIQQGPVNEGAMPEAPQQHFNGGQYAAWPSPDQIGNGSSAHNMNYPNVFNNFSGPSVEFPPYDTTNSYNYVTPPPPPPLHELPPNSYTLLDVPPRRAAQLQQREFESSSGLSLGQGQRGYGLYNDPNKRCTNYNCNTNDTPMWRRGPLGPKTLCNACGIKYRKEGEKRKAREGKDGEQQFDLNE >KJB55303 pep chromosome:Graimondii2_0_v6:9:4970770:4972334:1 gene:B456_009G070200 transcript:KJB55303 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 29 [Source:Projected from Arabidopsis thaliana (AT3G20750) UniProtKB/Swiss-Prot;Acc:Q9LT45] MPEAPQQHFNGGQYAAWPSPDQIGNGSSAHNMNYPNVFNNFSGPSVEFPPYDTTNSYNYVTPPPPPPLHELPPNSYTLLDVPPRRAAQLQQREFESSSGLSLGQGQRGYGLYNDPNKRCTNYNCNTNDTPMWRRGPLGPKTLCNACGIKYRKEGEKRKAREGKDGEQQFDLNE >KJB55301 pep chromosome:Graimondii2_0_v6:9:4968749:4972334:1 gene:B456_009G070200 transcript:KJB55301 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 29 [Source:Projected from Arabidopsis thaliana (AT3G20750) UniProtKB/Swiss-Prot;Acc:Q9LT45] MDNSPWFNQAAAEMNGQNNDNNNAGNSRVDLTLKLGLPLFNPLQNPALYHNIQQGPVNEGAMPEAPQQHFNGGQYAAWPSPDQIGNGSSAHNMNYPNVFNNFSGPSVEFPPYDTTNSYNYVTPPPPPPLHELPPNSYTLLDVPPRRAAQLQQREFESSSGLSLGQGQRGYGLYNDPNKRCTNYNCNTNDTPMWRRGPLGPKTLCNACGIKYRKEGEKRKAREGKDGEQQFDLNE >KJB60786 pep chromosome:Graimondii2_0_v6:9:32480162:32481289:1 gene:B456_009G325700 transcript:KJB60786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVFLEILAEEARKGNKPSNTFRAVSINRVVDAISERFQVQCDAKHVENHLRTVNNQWQIICKIRGESGFGWDDNMKMITCDRATYDAAVMAHKKYEPFLNKSIDHYDEMTVVVGKDMATGSFARTFADIDLDDGNEDSMPVDCNNEEAEELYEQVMSMEEEGFDEDLCSVLDYLGNHESEAKLFLVKNKKHKKIWLQKFSQG >KJB56885 pep chromosome:Graimondii2_0_v6:9:13913340:13914073:-1 gene:B456_009G180800 transcript:KJB56885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALHIFILSALLMALAITNLSATPSGDEPLFVDNDGDGDRSQAEPTSVGIMSRFLAQKPRGMMTCNKYPRVCRAAGSPGPDCCERKCVNVMWDRFNCGKCGKKCKYSEICCKGKCVNPMSNRLHCGDCYNRCTKGSKCLYGMCSYA >KJB58394 pep chromosome:Graimondii2_0_v6:9:16158888:16161061:1 gene:B456_009G208400 transcript:KJB58394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRESITSQTDVALTLTKNVLQTEAKDSNLLFSPLSIHVVLSLIAAGAKGPTLDQLLSFLKFKSNDQLSSFSSELVSVVFADGSPAGGPRLSFANGVWLDRSLPLKPSFKQVVDNVYNAASKLVDFQNKAVQAAGEVNMWAEKETNGLIKEVLPPGSVDASTRLIFANALYFKGAWNEAFDASKTKDHDFHLINGSSVKVPFMTSKKKQTVSAYDGFKVLGLPYKQGNDKRRFSMYFFLPDAKDGLPALVEKVSSESGFLERHLPYQPVEVGEFRIPRFKISFGLKASEVLKRLGLVLPFSGEGGLTEMVDSPQGQNLYVSNIFHKSFIEVNEEGTEAAAATSAVIALRSLRIPQTIDFVADHPFLFLIRENATGVVLFIGHVLNPLEN >KJB56362 pep chromosome:Graimondii2_0_v6:9:8545987:8552966:-1 gene:B456_009G116500 transcript:KJB56362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFYCLYLVLLLFCFGFCVNTLSQADSSSDAITAVYIVSLKQAPAAHYFEEQLRRHNRHGHGFHHNSSSSSGRLNRLHKPRNNSRYHPSSGSYISRVHDSLLRRALRGEKYLKLYSYHYLINGFAVLVTPEQANKLSKRREVANVVLDFSVRTATTHTPQFLGLPKGAWSQQGGYETAGEGIVIGFIDTGIDPTHPSFADDISEHSYPVPAHFSGVCEVTREFPSGSCNRKLVGARHFAASAITRGIFNSSQDYASPFDGDGHGTHTASVAAGNHGIPVVVAGHHFGNASGMAPRSHIAVYKALYKSFGGFAADVVAGIDQAAQDGIDIISLSITPNRRPPGIATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTVGAASHDRAYANSIILGNNVTIPGVGLAPGTDTDQMYTLISAVHALCNETILANDMYVGECQDSSNFNEELIQGNLLICSYSIRFVLGLSTIKQALETAKNLSAAGVVFYMDPYVIGFQLNPTPLEIPGIIIPSPDDSKILLQYYNSSLERDGLSRKIVRFGAVASISGGLKANYSITAPKVMFYSARGPDPEDSSLDDADIMKPNLVAPGNLIWAAWSSLGTDSVEFQGESFAMMSGTSMAAPHIAGLAALIKQKFPHFSPAAIASALSTTASLYDKSGGPIMAQRAYANPDVNQSPATPFDMGSGFVNATAALDPGLILDSTYEDYMSFLCGINGSGPVVLNYTGQNCWVYNSTIGSADLNLPSITISRLQQSKTVERTVTNIAGNETYKVGWSAPYGVSVKVTPTRFFIGTGEKQILTIMFNATMNNSVASFGRIGLFGDQGHKLNIPLSVILKFS >KJB57005 pep chromosome:Graimondii2_0_v6:9:10981605:10990715:1 gene:B456_009G144900 transcript:KJB57005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDRLLDYAVFQLSPKRSRCELFVSSDGNLEKLASGLVKPFVTHLKVAEEQVALSLQSIKLEVEKCKNAETWFTKGTLERFVRFVSTPEVLELVNTLDAEMSQLEAARRIYSEGVGDQPSGALDGDDAGTMAAADATKKELLRAIDVRLIAVQQDLATAFTRSSAAGFNPDTVSELQQFADRFGAHCLNEACTKFLLLCQRRPELIGPCQSGINGQVVRASWGSDMSIDDPDEDHNGFHVNSRPHQPCQNKHQEQQLQPNTKQTQHHIDQSKLATSQQPNPSSTSQQSSHVENKEEEKKEEGVTESSPSQVSQPTRRLSVQDRINLFENKQKESSSSGGKPVAVGKSVELRRLSSDVSAAPAVAEKAVLRRWSGVSDMSIDLGNDKKDGNPDNSPLCTPSSSSVSQGKNNVFQGLSDDTEQKDEKGLSDNVNSGKVESTSGPNKAAGSGLKDPGELQSQVGNLLGKEEGVGLKGWINQKDQLGSQNGQYQSFTIKSEQVELGDQVASQQKVKGSLTGERRGLEVHSRVFPDKAVFVGVKNQLTQSQVGVFADEVGEATPEGELKNRAEAQNKEQSKRKVRLRAPGHSRTLSAQFEGGIGLKTKEAQYKSSEGDQYTTQPQLQWRSFTGEVEEVGTKDIASTEKQISKIEGSGVHKMKFKKQVAVGSEQSKKSQGRREEGGSIYANNKSVPGKKVLENEESLTTPMAPVDQTPRIRQTRGNQELNDELKMKANELEKLFAEHKRRVPGDQLSSARRSKPADEQIEQEGSSQYNKPVAVDVSPVQLPDKNSTSESVGSLSNIANFCTPPTKMVNNQDCADSLRQDFSSISFSGDSRGKFYEKYMRKRDAKLREEWSSKRAEKEAKLKAMQDILEQSRTEMKAKFSGTVDRQASISSARRQAEKGRSFNLRSQREQHPISSIQSEEDEDLPEYYGQDRSYNEASFLDGSSRSSNTKKLLPNRNASLSTPRTTAVTVPRSAAKVSNPTSGRRRAQSENPLVQSVPNFSDLRKENTKPSSGAGKVTSHFQARNYARSKSNNEEIALGKDEQSRRSQSHRKSFAGPVDVSDVPALNSDDIGLAPLKFDKEQMGQSFNDKSLKNEEAKPFLQKGNGFGPGAGVNIAKFKASETFATPNGEESDEPEFEADDSMDMANEDEEDELETMAVDDSADMENGRSRLSQESDKLDNSGLEICDSLRSPSQVDPASVAELPAPMLTTFHTAVSLQESPGESPVSWNSRMHNTYSYPQETSDIDASMDSPIGSPASWNSHPLAQTGVDAARMRKKWGSAQKPFLVANATHNQPRRDMTKGIKRLLKFGRKSRGTDSLVDWISATTSEGDDDTEDGRDPASRSSEDLRKSRMGFPQGHPSDDGYNESELFNDQVQSLRTSIPAVPANFRLREDHMSGSSIKAPRSFFSLSSFRSKGSDNKPR >KJB57003 pep chromosome:Graimondii2_0_v6:9:10981302:10990849:1 gene:B456_009G144900 transcript:KJB57003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDRLLDYAVFQLSPKRSRCELFVSSDGNLEKLASGLVKPFVTHLKVAEEQVALSLQSIKLEVEKCKNAETWFTKGTLERFVRFVSTPEVLELVNTLDAEMSQLEAARRIYSEGVGDQPSGALDGDDAGTMAAADATKKELLRAIDVRLIAVQQDLATAFTRSSAAGFNPDTVSELQQFADRFGAHCLNEACTKFLLLCQRRPELIGPCQSGINGQVVRASWGSDMSIDDPDEDHNGFHVNSRPHQPCQNKHQEQQLQPNTKQTQHHIDQSKLATSQQPNPSSTSQQSSHVENKEEEKKEEGVTESSPSQVSQPTRRLSVQDRINLFENKQKESSSSGGKPVAVGKSVELRRLSSDVSAAPAVAEKAVLRRWSGVSDMSIDLGNDKKDGNPDNSPLCTPSSSSVSQGKNNVFQGLSDDTEQKDEKGLSDNVNSGKVESTSGPNKAAGSGLKDPGELQSQVGNLLGKEEGVGLKGWINQKDQLGSQNGQYQSFTIKSEQVELGDQVASQQKVKGSLTGERRGLEVHSRVFPDKAVFVGVKNQLTQSQVGVFADEVGEATPEGELKNRAEAQNKEQSKRKVRLRAPGHSRTLSAQFEGGIGLKTKEAQYKSSEGDQYTTQPQLQWRSFTGEVEEVGTKDIASTEKQISKIEGSGVHKMKFKKQVAVGSEQSKKSQGRREEGGSIYANNKSVPGKKVLENEESLTTPMAPVDQTPRIRQTRGNQELNDELKMKANELEKLFAEHKRRVPGDQLSSARRSKPADEQIEQEGSSQYNKPVAVDVSPVQLPDKNSTSESVGSLSNIANFCTPPTKMVNNQDCADSLRQDFSSISFSGDSRGKFYEKYMRKRDAKLREEWSSKRAEKEAKLKAMQDILEQSRTEMKAKFSGTVDRQASISSARRQAEKGRSFNLRSQREQHPISSIQSEEDEDLPEYYGQDRSYNEASFLDGSSRSSNTKKLLPNRNASLSTPRTTAVTVPRSAAKVSNPTSGRRRAQSENPLVQSVPNFSDLRKENTKPSSGAGKVTSHFQARNYARSKSNNEEIALGKDEQSRRSQSHRKSFAGPVDVSDVPALNSDDIGLAPLKFDKEQMGQSFNDKSLKNEEAKPFLQKGNGFGPGAGVNIAKFKASETFATPNGEESDEPEFEADDSMDMANEDEEDELETMAVDDSADMENGRSRLSQESDKLDNSGLEICDSLRSPSQVDPASVAELPAPMLTTFHTAVSLQESPGESPVSWNSRMHNTYSYPQETSDIDASMDSPIGSPASWNSHPLAQTGVDAARMRKKWGSAQKPFLVANATHNQPRRDMTKGIKRLLKFGRKSRGTDSLVDWISATTSEGDDDTEDGRDPASRSSEDLRKSRMGFPQGHPSDDGYNESELFNDQAPRSFFSLSSFRSKGSDNKPR >KJB57001 pep chromosome:Graimondii2_0_v6:9:10981387:10990715:1 gene:B456_009G144900 transcript:KJB57001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDRLLDYAVFQLSPKRSRCELFVSSDGNLEKLASGLVKPFVTHLKVAEEQVALSLQSIKLEVEKCKNAETWFTKGTLERFVRFVSTPEVLELVNTLDAEMSQLEAARRIYSEGVGDQPSGALDGDDAGTMAAADATKKELLRAIDVRLIAVQQDLATAFTRSSAAGFNPDTVSELQQFADRFGAHCLNEACTKFLLLCQRRPELIGPCQSGINGQVVRASWGSDMSIDDPDEDHNGFHVNSRPHQPCQNKHQEQQLQPNTKQTQHHIDQSKLATSQQPNPSSTSQQSSHVENKEEEKKEEGVTESSPSQVSQPTRRLSVQDRINLFENKQKESSSSGGKPVAVGKSVELRRLSSDVSAAPAVAEKAVLRRWSGVSDMSIDLGNDKKDGNPDNSPLCTPSSSSVSQGKNNVFQGLSDDTEQKDEKGLSDNVNSGKVESTSGPNKAAGSGLKDPGELQSQVGNLLGKEEGVGLKGWINQKDQLGSQNGQYQSFTIKSEQVELGDQVASQQKVKGSLTGERRGLEVHSRVFPDKAVFVGVKNQLTQSQVGVFADEVGEATPEGELKNRAEAQNKEQSKRKVRLRAPGHSRTLSAQFEGGIGLKTKEAQYKSSEGDQYTTQPQLQWRSFTGEVEEVGTKDIASTEKQISKIEGSGVHKMKFKKQVAVGSEQSKKSQGRREEGGSIYANNKSVPGKKVLENEESLTTPMAPVDQTPRIRQTRGNQELNDELKMKANELEKLFAEHKRRVPGDQLSSARRSKPADEQIEQEGSSQYNKPVAVDVSPVQLPDKNSTSESVGSLSNIANFCTPPTKMVNNQDCADSLRQDFSSISFSGDSRGKFYEKYMRKRDAKLREEWSSKRAEKEAKLKAMQDILEQSRTEMKAKFSGTVDRQASISSARRQAEKGRSFNLRSQREQHPISSIQSEEDEDLPEYYGQDRSYNEASFLDGSSRSSNTKKLLPNRNASLSTPRTTAVTVPRSAAKVSNPTSGRRRAQSENPLVQSVPNFSDLRKENTKPSSGAGKVTSHFQARNYARSKSNNEEIALGKDEQSRRSQSHRKSFAGPVDVSDVPALNSDDIGLAPLKFDKEQMGQSFNDKSLKNEEAKPFLQKGNGFGPGAGVNIAKFKASETFATPNGEESDEPEFEADDSMDMANEDEEDELETMAVDDSADMENGRSRLSQESDKLDNSGLEICDSLRSPSQVDPASVAELPAPMLTTFHTAVSLQESPGESPVSWNSRMHNTYSYPQETSDIDASMDSPIGSPASWNSHPLAQTGVDAARMRKKWGSAQKPFLVANATHNQPRRDMTKGIKRLLKFGRKSRGTDSLVDWISATTSEGDDDTEDGRDPASRSSEDLRKSRMGFPQGHPSDDGYNESELFNDQVPANFRLREDHMSGSSIKAPRSFFSLSSFRSKGSDNKPR >KJB57002 pep chromosome:Graimondii2_0_v6:9:10981302:10990849:1 gene:B456_009G144900 transcript:KJB57002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDRLLDYAVFQLSPKRSRCELFVSSDGNLEKLASGLVKPFVTHLKVAEEQVALSLQSIKLEVEKCKNAETWFTKGTLERFVRFVSTPEVLELVNTLDAEMSQLEAARRIYSEGVGDQPSGALDGDDAGTMAAADATKKELLRAIDVRLIAVQQDLATAFTRSSAAGFNPDTVSELQQFADRFGAHCLNEACTKFLLLCQRRPELIGPCQSGINGQVVRASWGSDMSIDDPDEDHNGFHVNSRPHQPCQNKHQEQQLQPNTKQTQHHIDQSKLATSQQPNPSSTSQQSSHVENKEEEKKEEGVTESSPSQVSQPTRRLSVQDRINLFENKQKESSSSGGKPVAVGKSVELRRLSSDVSAAPAVAEKAVLRRWSGVSDMSIDLGNDKKDGNPDNSPLCTPSSSSVSQGKNNVFQGLSDDTEQKDEKGLSDNVNSGKVESTSGPNKAAGSGLKDPGELQSQVGNLLGKEEGVGLKGWINQKDQLGSQNGQYQSFTIKSEQVELGDQVASQQKVKGSLTGERRGLEVHSRVFPDKAVFVGVKNQLTQSQVGVFADEVGEATPEGELKNRAEAQNKEQSKRKVRLRAPGHSRTLSAQFEGGIGLKTKEAQYKSSEGDQYTTQPQLQWRSFTGEVEEVGTKDIASTEKQISKIEGSGVHKMKFKKQVAVGSEQSKKSQGRREEGGSIYANNKSVPGKKVLENEESLTTPMAPVDQTPRIRQTRGNQELNDELKMKANELEKLFAEHKRRVPGDQLSSARRSKPADEQIEQEGSSQYNKPVAVDVSPVQLPDKNSTSESVGSLSNIANFCTPPTKMVNNQDCADSLRQDFSSISFSGDSRGKFYEKYMRKRDAKLREEWSSKRAEKEAKLKAMQDILEQSRTEMKAKFSGTVDRQASISSARRQAEKGRSFNLRSQREQHPISSIQSEEDEDLPEYYGQDRSYNEASFLDGSSRSSNTKKLLPNRNASLSTPRTTAVTVPRSAAKVSNPTSGRRRAQSENPLVQSVPNFSDLRKENTKPSSGAGKVTSHFQARNYARSKSNNEEIALGKDEQSRRSQSHRKSFAGPVDVSDVPALNSDDIGLAPLKFDKEQMGQSFNDKSLKNEEAKPFLQKGNGFGPGAGVNIAKFKASETFATPNGEESDEPEFEADDSMDMANEDEEDELETMAVDDSADMENGRSRLSQESDKLDNSGLEICDSLRSPSQVDPASVAELPAPMLTTFHTAVSLQESPGESPVSWNSRMHNTYSYPQETSDIDASMDSPIGSPASWNSHPLAQTGVDAARMRKKWGSAQKPFLVANATHNQPRRDMTKGIKRLLKFGRKSRGTDSLVDWISATTSEGDDDTEDGRDPASRSSEDLRKSRMGFPQGHPSDDGYNESELFNDQVQSLRTSIPAVPANFRLREDHMSGSSIKAPRSFFSLSSFRSKGSDNKPR >KJB56999 pep chromosome:Graimondii2_0_v6:9:10981387:10989927:1 gene:B456_009G144900 transcript:KJB56999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDRLLDYAVFQLSPKRSRCELFVSSDGNLEKLASGLVKPFVTHLKVAEEQVALSLQSIKLEVEKCKNAETWFTKGTLERFVRFVSTPEVLELVNTLDAEMSQLEAARRIYSEGVGDQPSGALDGDDAGTMAAADATKKELLRAIDVRLIAVQQDLATAFTRSSAAGFNPDTVSELQQFADRFGAHCLNEACTKFLLLCQRRPELIGPCQSGINGQVVRASWGSDMSIDDPDEDHNGFHVNSRPHQPCQNKHQEQQLQPNTKQTQHHIDQSKLATSQQPNPSSTSQQSSHVENKEEEKKEEGVTESSPSQVSQPTRRLSVQDRINLFENKQKESSSSGGKPVAVGKSVELRRLSSDVSAAPAVAEKAVLRRWSGVSDMSIDLGNDKKDGNPDNSPLCTPSSSSVSQGKNNVFQGLSDDTEQKDEKGLSDNVNSGKVESTSGPNKAAGSGLKDPGELQSQVGNLLGKEEGVGLKGWINQKDQLGSQNGQYQSFTIKSEQVELGDQVASQQKVKGSLTGERRGLEVHSRVFPDKAVFVGVKNQLTQSQVGVFADEVGEATPEGELKNRAEAQNKEQSKRKVRLRAPGHSRTLSAQFEGGIGLKTKEAQYKSSEGDQYTTQPQLQWRSFTGEVEEVGTKDIASTEKQISKIEGSGVHKMKFKKQVAVGSEQSKKSQGRREEGGSIYANNKSVPGKKVLENEESLTTPMAPVDQTPRIRQTRGNQELNDELKMKANELEKLFAEHKRRVPGDQLSSARRSKPADEQIEQEGSSQYNKPVAVDVSPVQLPDKNSTSESVGSLSNIANFCTPPTKMVNNQDCADSLRQDFSSISFSGDSRGKFYEKYMRKRDAKLREEWSSKRAEKEAKLKAMQDILEQSRTEMKAKFSGTVDRQASISSARRQAEKGRSFNLRSQREQHPISSIQSEEDEDLPEYYGQDRSYNEASFLDGSSRSSNTKKLLPNRNASLSTPRTTAVTVPRSAAKVSNPTSGRRRAQSENPLVQSVPNFSDLRKENTKPSSGAGKVTSHFQARNYARSKSNNEEIALGKDEQSRRSQSHRKSFAGPVDVSDVPALNSDDIGLAPLKFDKEQMGQSFNDKSLKNEEAKPFLQKGNGFGPGAGVNIAKFKASETFATPNGEESDEPEFEADDSMDMANEDEEDELETMAVDDSADMENGRSRLSQESDKLDNSGLEICDSLRSPSQVDPASVAELPAPMLTTFHTAVSLQESPGESPVSWNSRMHNTYSYPQETSDIDASMDSPIGSPASWNSHPLAQTGVDAARMRKKWGSAQKPFLVANATHNQPRRDMTKGIKRLLKFGRKSRGTDSLVDWISATTSEGDDDTEDGRDPASRSSEDLRKSRMGFPQGHPSDDGYNESELFNDQGNSHFSFIH >KJB56998 pep chromosome:Graimondii2_0_v6:9:10981387:10990825:1 gene:B456_009G144900 transcript:KJB56998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDRLLDYAVFQLSPKRSRCELFVSSDGNLEKLASGLVKPFVTHLKVAEEQVALSLQSIKLEVEKCKNAETWFTKGTLERFVRFVSTPEVLELVNTLDAEMSQLEAARRIYSEGVGDQPSGALDGDDAGTMAAADATKKELLRAIDVRLIAVQQDLATAFTRSSAAGFNPDTVSELQQFADRFGAHCLNEACTKFLLLCQRRPELIGPCQSGINGQVVRASWGSDMSIDDPDEDHNGFHVNSRPHQPCQNKHQEQQLQPNTKQTQHHIDQSKLATSQQPNPSSTSQQSSHVENKEEEKKEEGVTESSPSQVSQPTRRLSVQDRINLFENKQKESSSSGGKPVAVGKSVELRRLSSDVSAAPAVAEKAVLRRWSGVSDMSIDLGNDKKDGNPDNSPLCTPSSSSVSQGKNNVFQGLSDDTEQKDEKGLSDNVNSGKVESTSGPNKAAGSGLKDPGELQSQVGNLLGKEEGVGLKGWINQKDQLGSQNGQYQSFTIKSEQVELGDQVASQQKVKGSLTGERRGLEVHSRVFPDKAVFVGVKNQLTQSQVGVFADEVGEATPEGELKNRAEAQNKEQSKRKVRLRAPGHSRTLSAQFEGGIGLKTKEAQYKSSEGDQYTTQPQLQWRSFTGEVEEVGTKDIASTEKQISKIEGSGVHKMKFKKQVAVGSEQSKKSQGRREEGGSIYANNKSVPGKKVLENEESLTTPMAPVDQTPRIRQTRGNQELNDELKMKANELEKLFAEHKRRVPGDQLSSARRSKPADEQIEQEGSSQYNKPVAVDVSPVQLPDKNSTSESVGSLSNIANFCTPPTKMVNNQDCADSLRQDFSSISFSGDSRGKFYEKYMRKRDAKLREEWSSKRAEKEAKLKAMQDILEQSRTEMKAKFSGTVDRQASISSARRQAEKGRSFNLRSQREQHPISSIQSEEDEDLPEYYGQDRSYNEASFLDGSSRSSNTKKLLPNRNASLSTPRTTAVTVPRSAAKVSNPTSGRRRAQSENPLVQSVPNFSDLRKENTKPSSGAGKVTSHFQARNYARSKSNNEEIALGKDEQSRRSQSHRKSFAGPVDVSDVPALNSDDIGLAPLKFDKEQMGQSFNDKSLKNEEAKPFLQKGNGFGPGAGVNIAKFKASETFATPNGEESDEPEFEADDSMDMANEDEEDELETMAVDDSADMENGRSRLSQESDKLDNSGLEICDSLRSPSQVDPASVAELPAPMLTTFHTAVSLQESPGESPVSWNSRMHNTYSYPQETSDIDASMDSPIGSPASWNSHPLAQTGVDAARMRKKWGSAQKPFLVANATHNQPRRDMTKGIKRLLKFGRKSRGTDSLVDWISATTSEGDDDTEDGRDPASRSSEDLRKSRMGFPQGHPSDDGYNESELFNDQAPRSFFSLSSFRSKGSDNKPR >KJB56997 pep chromosome:Graimondii2_0_v6:9:10981387:10990825:1 gene:B456_009G144900 transcript:KJB56997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDRLLDYAVFQLSPKRSRCELFVSSDGNLEKLASGLVKPFVTHLKVAEEQVALSLQSIKLEVEKCKNAETWFTKGTLERFVRFVSTPEVLELVNTLDAEMSQLEAARRIYSEGVGDQPSGALDGDDAGTMAAADATKKELLRAIDVRLIAVQQDLATAFTRSSAAGFNPDTVSELQQFADRFGAHCLNEACTKFLLLCQRRPELIGPCQSGINGQVVRASWGSDMSIDDPDEDHNGFHVNSRPHQPCQNKHQEQQLQPNTKQTQHHIDQSKLATSQQPNPSSTSQQSSHVENKEEEKKEEGVTESSPSQVSQPTRRLSVQDRINLFENKQKESSSSGGKPVAVGKSVELRRLSSDVSAAPAVAEKAVLRRWSGVSDMSIDLGNDKKDGNPDNSPLCTPSSSSVSQGKNNVFQGLSDDTEQKDEKGLSDNVNSGKVESTSGPNKAAGSGLKDPGELQSQVGNLLGKEEGVGLKGWINQKDQLGSQNGQYQSFTIKSEQVELGDQVASQQKVKGSLTGERRGLEVHSRVFPDKAVFVGVKNQLTQSQVGVFADEVGEATPEGELKNRAEAQNKEQSKRKVRLRAPGHSRTLSAQFEGGIGLKTKEAQYKSSEGDQYTTQPQLQWRSFTGEVEEVGTKDIASTEKQISKIEGSGVHKMKFKKQVAVGSEQSKKSQGRREEGGSIYANNKSVPGKKVLENEESLTTPMAPVDQTPRIRQTRGNQELNDELKMKANELEKLFAEHKRRVPGDQLSSARRSKPADEQIEQEGSSQYNKPVAVDVSPVQLPDKNSTSESVGSLSNIANFCTPPTKMVNNQDCADSLRQDFSSISFSGDSRGKFYEKYMRKRDAKLREEWSSKRAEKEAKLKAMQDILEQSRTEMKAKFSGTVDRQASISSARRQAEKGRSFNLRSQREQHPISSIQSEEDEDLPEYYGQDRSYNEASFLDGSSRSSNTKKLLPNRNASLSTPRTTAVTVPRSAAKVSNPTSGRRRAQSENPLVQSVPNFSDLRKENTKPSSGAGKVTSHFQARNYARSKSNNEEIALGKDEQSRRSQSHRKSFAGPVDVSDVPALNSDDIGLAPLKFDKEQMGQSFNDKSLKNEEAKPFLQKGNGFGPGAGVNIAKFKASETFATPNGEESDEPEFEADDSMDMANEDEEDELETMAVDDSADMENGRSRLSQESDKLDNSGLEICDSLRSPSQVDPASVAELPAPMLTTFHTAVSLQESPGESPVSWNSRMHNTYSYPQETSDIDASMDSPIGSPASWNSHPLAQTGVDAARMRKKWGSAQKPFLVANATHNQPRRDMTKGIKRLLKFGRKSRGTDSLVDWISATTSEGDDDTEDGRDPASRSSEDLRKSRMGFPQGHPSDDGYNESELFNDQVQSLRTSIPAVPANFRLREDHMSGSSIKAPRSFFSLSSFRSKGSDNKPR >KJB57000 pep chromosome:Graimondii2_0_v6:9:10981387:10990715:1 gene:B456_009G144900 transcript:KJB57000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDRLLDYAVFQLSPKRSRCELFVSSDGNLEKLASGLVKPFVTHLKVAEEQVALSLQSIKLEVEKCKNAETWFTKGTLERFVRFVSTPEVLELVNTLDAEMSQLEAARRIYSEGVGDQPSGALDGDDAGTMAAADATKKELLRAIDVRLIAVQQDLATAFTRSSAAGFNPDTVSELQQFADRFGAHCLNEACTKFLLLCQRRPELIGPCQSGINGQVVRASWGSDMSIDDPDEDHNGFHVNSRPHQPCQNKHQEQQLQPNTKQTQHHIDQSKLATSQQPNPSSTSQQSSHVENKEEEKKEEGVTESSPSQVSQPTRRLSVQDRINLFENKQKESSSSGGKPVAVGKSVELRRLSSDVSAAPAVAEKAVLRRWSGVSDMSIDLGNDKKDGNPDNSPLCTPSSSSVSQGKNNVFQGLSDDTEQKDEKGLSDNVNSGKVESTSGPNKAAGSGLKDPGELQSQVGNLLGKEEGVGLKGWINQKDQLGSQNGQYQSFTIKSEQVELGDQVASQQKVKGSLTGERRGLEVHSRVFPDKAVFVGVKNQLTQSQVGVFADEVGEATPEGELKNRAEAQNKEQSKRKVRLRAPGHSRTLSAQFEGGIGLKTKEAQYKSSEGDQYTTQPQLQWRSFTGEVEEVGTKDIASTEKQISKIEGSGVHKMKFKKQVAVGSEQSKKSQGRREEGGSIYANNKSVPGKKVLENEESLTTPMAPVDQTPRIRQTRGNQELNDELKMKANELEKLFAEHKRRVPGDQLSSARRSKPADEQIEQEGSSQYNKPVAVDVSPVQLPDKNSTSESVGSLSNIANFCTPPTKMVNNQDCADSLRQDFSSISFSGDSRGKFYEKYMRKRDAKLREEWSSKRAEKEAKLKAMQDILEQSRTEMKAKFSGTVDRQASISSARRQAEKGRSFNLRSQREQHPISSIQSEEDEDLPEYYGQDRSYNEASFLDGSSRSSNTKKLLPNRNASLSTPRTTAVTVPRSAAKVSNPTSGRRRAQSENPLVQSVPNFSDLRKENTKPSSGAGKVTSHFQARNYARSKSNNEEIALGKDEQSRRSQSHRKSFAGPVDVSDVPALNSDDIGLAPLKFDKEQMGQSFNDKSLKNEEAKPFLQKGNGFGPGAGVNIAKFKASETFATPNGEESDEPEFEADDSMDMANEDEEDELETMAVDDSADMENGRSRLSQESDKLDNSGLEICDSLRSPSQVDPASVAELPAPMLTTFHTAVSLQESPGESPVSWNSRMHNTYSYPQETSDIDASMDSPIGSPASWNSHPLAQTGVDAARMRKKWGSAQKPFLVANATHNQPRRDMTKGIKRLLKFGRKSRGTDSLVDWISATTSEGDDDTEDGRDPASRSSEDLRKSRMGFPQGHPSDDGYNESELFNDQAVPANFRLREDHMSGSSIKAPRSFFSLSSFRSKGSDNKPR >KJB57004 pep chromosome:Graimondii2_0_v6:9:10982110:10990825:1 gene:B456_009G144900 transcript:KJB57004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDRLLDYAVFQLSPKRSRCELFVSSDGNLEKLASGLVKPFVTHLKVAEEQVALSLQSIKLEVEKCKNAETWFTKGTLERFVRFVSTPEVLELVNTLDAEMSQLEAARRIYSEGVGDQPSGALDGDDAGTMAAADATKKELLRAIDVRLIAVQQDLATAFTRSSAAGFNPDTVSELQQFADRFGAHCLNEACTKFLLLCQRRPELIGPCQSGINGQVVRASWGSDMSIDDPDEDHNGFHVNSRPHQPCQNKHQEQQLQPNTKQTQHHIDQSKLATSQQPNPSSTSQQSSHVENKEEEKKEEGVTESSPSQVSQPTRRLSVQDRINLFENKQKESSSSGGKPVAVGKSVELRRLSSDVSAAPAVAEKAVLRRWSGVSDMSIDLGNDKKDGNPDNSPLCTPSSSSVSQGKNNVFQGLSDDTEQKDEKGLSDNVNSGKVESTSGPNKAAGSGLKDPGELQSQVGNLLGKEEGVGLKGWINQKDQLGSQNGQYQSFTIKSEQVELGDQVASQQKVKGSLTGERRGLEVHSRVFPDKAVFVGVKNQLTQSQVGVFADEVGEATPEGELKNRAEAQNKEQSKRKVRLRAPGHSRTLSAQFEGGIGLKTKEAQYKSSEGDQYTTQPQLQWRSFTGEVEEVGTKDIASTEKQISKIEGSGVHKMKFKKQVAVGSEQSKKSQGRREEGGSIYANNKSVPGKKVLENEESLTTPMAPVDQTPRIRQTRGNQELNDELKMKANELEKLFAEHKRRVPGDQLSSARRSKPADEQIEQEGSSQYNKPVAVDVSPVQLPDKNSTSESVGSLSNIANFCTPPTKMVNNQDCADSLRQDFSSISFSGDSRGKFYEKYMRKRDAKLREEWSSKRAEKEAKLKAMQDILEQSRTEMKAKFSGTVDRQASISSARRQAEKGRSFNLRSQREQHPISSIQSEEDEDLPEYYGQDRSYNEASFLDGSSRSSNTKKLLPNRNASLSTPRTTAVTVPRSAAKVSNPTSGRRRAQSENPLVQSVPNFSDLRKENTKPSSGAGKVTSHFQARNYARSKSNNEEIALGKDEQSRRSQSHRKSFAGPVDVSDVPALNSDDIGLAPLKFDKEQMGQSFNDKSLKNEEAKPFLQKGNGFGPGAGVNIAKFKASETFATPNGEESDEPEFEADDSMDMANEDEEDELETMAVDDSADMENGRSRLSQESDKLDNSGLEICDSLRSPSQVDPASVAELPAPMLTTFHTAVSLQESPGESPVSWNSRMHNTYSYPQETSDIDASMDSPIGSPASWNSHPLAQTGVDAARMRKKWGSAQKPFLVANATHNQPRRDMTKGIKRLLKFGRKSRGTDSLVDWISATTSEGDDDTEDGRDPASRSSEDLRKSRMGFPQGHPSDDGYNESELFNDQVQSLRTSIPAVPANFRLREDHMSGSSIKAPRSFFSLSSFRSKGSDNKPR >KJB63013 pep chromosome:Graimondii2_0_v6:9:70036505:70039441:-1 gene:B456_009G449100 transcript:KJB63013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLTSYHFLYLFFFFPHLSASSVTHLCSHHEATALIHFKNSFSIIKTEFTSLLCDDIAGLKSYPKTNSWKEGTDCCSWDGVTCDHLNAHVIALDLSCSWLYGNFPSNSTLFLLPYIQKLNLAYNDFNYSKMPFEFGQFTRLVYLNISETLFAGKVPSQISHLSKLVSLDLSHNVFFDQLTLDKNILEGLVHNLTEVRHLFLDRIIMPSINPNVFMNLSSSIKSLSLGGCDLQGKFPSNILHSPNLNLLNLGYNRNLSLDLLKFNQSSNLEHLDLSWMSFTKLIDSIDNLHALKYLDLSHSNLRGSISRSLGNLLQLTYLDLSWNQLSGQVPLSILNLTQLQHLRIAENSLEGPIPDEVAAFPNLISLDLSNNLLNGKLPSWLYTASSLMEIYLSQNQFSGHIKEFQSKSLEMIVLGNNKLQGPLPSSIFQSLNLTWLLLYSNNLSGVIEFSMFSNLPNLEYLDLSQNSLSLSSNNVSQFPPFLKGSKKLKALDLSNNRIEGKIPQWLQEVTCDSLSYLNLSHNSLTEVEQLPWKNINILDLSSNLIHGNLPIPSSTINVFLISNNSLGGEISSLICNVSALQVLDLSHNKLIGTIPQCFGNLSNTLEFLNLKKNKFYGMILPTFAKGCQLSYLNLNGNQFEGPLSPSILNCRSLEVLDLGNNKINDTFPHWLGSLPRLQVLVLKSNQMHGSLHDHSSKSSPCFSKIQIFDLSSNYFSGPLPGRYINSFKAIINLTKDASTMTYMGVQDVNGSGGFYSYSIGIVMKGQDIALNKIFVMWAIIDLSNNKFDGEIPKVIGKLRSLKGLNLSHNNLNGYIPTSIGSLTNLEWLDLSSNKLVGWIPRELLNLTALSMLNLSMNELVGCIPQGKQFNTFGNTSYEGNKGLHGFPLSSDCNNNEQPPPSNLSEEGGLKSNIDFGWKVVLLGYGCGVVLGLGVGYVVFQTGKPKWIVSLVEDHIEKRRRRKPMTGNRSNGGRRI >KJB61907 pep chromosome:Graimondii2_0_v6:9:52932556:52935043:-1 gene:B456_009G390200 transcript:KJB61907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETLRLGGNGRVFNVGKLEWLSHLSSLKEVDLSFTNLSNANDWSQVISHLPLLQILSLRHCDLPSISSSSLSLANSSTSLTYLDLSDNNLPSSAIYPWLFNVSSNLVSLNLSSNQLKGPIPEAFGNMMAIQELYLSDNLLILAENQVRGDSVLNEIGKLPDLRVLDLGYNLLNGSISKSIGQLSNLHVLRLAGNSFDGNVISEAHLSNFTYLQVLDLSFTSLTLKFNTGWIPPFHLSQIMLRSCKLGPRFPDWLRTQMDFLEVLEYLDISASGISDSLPYWFWDPFQRLRYLNMSFNQISGTFPNNSIHISHLDLSSNNFSGPLPHFSLDFSDTGTINLSKNKFNGSVSRICNITDESSLALLDLSNNQFSGVVPDCFHSFRSLKALNLGDNSFSGSLPSSLGSLTSLEMLSLRGNKFSGELPLYLQNCTDLKFLDLSDNELSGEIPPWIGQQILSLVFLSLQRNQFRGRIPQQLCELKYLQILDLSVNKISDSIPPCLKNFNSMAKKVSLDRRIELHLLDKPYVESLIDVRYVDEALITWKGTKQNYPQLGLLLAIDLSCNKLRGEIPEELISLQELVALNLSRNFFTGKILQKIGHLRQLESFDPSSFSHNSGLCGPPVSPNCSMVEPPPGKPAVGGEEDSDDQFMKWFYIGMGLGFVVGFWGFCGVVFFKRSWRHSYYRYLDSAKDWVYVSFVLLKTRLVRRIKGFSTRSD >KJB62262 pep chromosome:Graimondii2_0_v6:9:61337350:61340045:-1 gene:B456_009G408900 transcript:KJB62262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRSVKEGRSLTRSFLVSVTQYLFSWMIDFYFAGVIVFYKLAVVEGMSMRALIAYRFIFATACITPLVFIFERQRMGGDLNELNINELQALEAKMDSSFLAIRERKPPLKRLLTMFLGYHVIKTRTDTHKKKVRNLEERHANLVMDLDQMQWVAWKRLLAFENMGAFLLSVGTKGKQYSLPNSRVMIHQPLGGAEGGQTDIDIQLL >KJB62265 pep chromosome:Graimondii2_0_v6:9:61337433:61340528:-1 gene:B456_009G408900 transcript:KJB62265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRSVKEGRSLTRSFLVSVTQYLFSWMIDFYFAGVIVFYKLAVVEGMSMRALIAYRFIFATACITPLVFIFERQRMGGDLNELNINELQALEAKMDSSFLAIRERKPPLKRLLTMFLGYHVIKTRTDTHKKKHGSFSS >KJB62264 pep chromosome:Graimondii2_0_v6:9:61337761:61340045:-1 gene:B456_009G408900 transcript:KJB62264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRSVKEGRSLTRSFLVSVTQYLFSWMIDFYFAGVIVFYKLAVVEGMSMRALIAYRFIFATACITPLVFIFERQRMGGDLNELNINELQALEAKMDSSFLAIRERKPPLKRLLTMFLGYHVIKTRTDTHKKKHGSFSS >KJB62263 pep chromosome:Graimondii2_0_v6:9:61337006:61340528:-1 gene:B456_009G408900 transcript:KJB62263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRSVKEGRSLTRSFLVSVTQYLFSWMIDFYFAGVIVFYKLAVVEGMSMRALIAYRFIFATACITPLVFIFERQRMGGDLNELNINELQALEAKMDSSFLAIRERKPPLKRLLTMFLGYHVIKTRTDTHKKKHGSFSS >KJB62261 pep chromosome:Graimondii2_0_v6:9:61337006:61340528:-1 gene:B456_009G408900 transcript:KJB62261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRSVKEGRSLTRSFLVSVTQYLFSWMIDFYFAGVIVFYKLAVVEGMSMRALIAYRFIFATACITPLVFIFERQRMGGDLNELNINELQALEAKMDSSFLAIRERKPPLKRLLTMFLGYHVIKTRTDTHKKKVRNLEERHANLVMDLDQMQWVAWKRLLAFENMGAFLLSVGTKASWWS >KJB54841 pep chromosome:Graimondii2_0_v6:9:3698280:3699435:1 gene:B456_009G051400 transcript:KJB54841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSVEGWTTSFAASTSFTVPFSFKRSVRLPCLQWGTGSGVYGMLVRTCGIIKAKHNMMKLRKKRYGSDGKQHLQVRGKQPFLSTYYFFYNNKIGKGPKLLIPKGTTKTNVIVTLFQVKKGETKSYFV >KJB57849 pep chromosome:Graimondii2_0_v6:9:14115280:14117570:1 gene:B456_009G183300 transcript:KJB57849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDMVRQTRDLLIYADRSPDSRESKREEKMAELCKNIRELKSILYGNSESEPVSEACAQLTQEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVHSRLIASDYLEANLDLMDILIAGYENTDMALHYGAMLRECIRHQTVARHVLESEHMKKFYDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESTNYITRRQAIKV >KJB57852 pep chromosome:Graimondii2_0_v6:9:14115184:14119321:1 gene:B456_009G183300 transcript:KJB57852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDMVRQTRDLLIYADRSPDSRESKREEKMAELCKNIRELKSILYGNSESEPVSEACAQLTQEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVHSRLIASDYLEANLDLMDILIAGYENTDMALHYGAMLRECIRHQTVARHVLESEHMKKFYDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESTNYITRRQAIKLLGDILLDRSNSAVMTRYVSSRDNLRILMNLLRESSKSIQLEAFHVFKLFAANQNKPPDIVSILVANKSKLLRLFADFKTDKADEQFEADKAQVVKEIAAL >KJB57850 pep chromosome:Graimondii2_0_v6:9:14115198:14119285:1 gene:B456_009G183300 transcript:KJB57850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDMVRQTRDLLIYADRSPDSRESKREEKMAELCKNIRELKSILYGNSESEPVSEACAQLTQEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVHSRLIASDYLEANLDLMDILIAGYENTDMALHYGAMLRECIRHQTVARHVLESEHMKKFYDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESTNYITRRQAIKRLYRWSKVSRDANDLTDKLANMGSTELKISLHGVRMFLYSVGCQVVGGYFVGPLKFSCHD >KJB57851 pep chromosome:Graimondii2_0_v6:9:14115551:14116824:1 gene:B456_009G183300 transcript:KJB57851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDMVRQTRDLLIYADRSPDSRESKREEKMAELCKNIRELKSILYGNSESEPVSEACAQLTQEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVHSRLIASDYLEANLDLMDILIAGYENTDMALHYGAMLRECIRHQTVARHVLESEHMKKFYDYIQLPNFDIAADAAATFKVEYC >KJB57848 pep chromosome:Graimondii2_0_v6:9:14115184:14119321:1 gene:B456_009G183300 transcript:KJB57848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDMVRQTRDLLIYADRSPDSRESKREEKMAELCKNIRELKSILYGNSESEPVSEACAQLTQEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVHSRLIASDYLEANLDLMDILIAGYENTDMALHYGAMLRECIRHQTVARHVLESEHMKKFYDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESTNYITRRQAIKLLGDILLDRSNSAVMTRYVSSRDNLRILMNLLRESSKSIQLEAFHVFKLFAANQNKPPDIVSILVANKSKLLRLFADFKTDKADEQFEADKAQVVKEIAAL >KJB59012 pep chromosome:Graimondii2_0_v6:9:18609021:18609896:1 gene:B456_009G235800 transcript:KJB59012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTAVLIAAGNKLLLKIHALVSLFPVLYLPSIPGLPLLLLFVFISLSFILPKTPLTCHFFLTYRARLIFIMSLKSNISLKSLKSKTLLRSLWAKRRIQLEPKICCISGYTSSFNFISYQILFLNGM >KJB59013 pep chromosome:Graimondii2_0_v6:9:18609021:18609946:1 gene:B456_009G235800 transcript:KJB59013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTAVLIAAGNKLLLKIHALVSLFPVLYLPSIPGLPLLLLFVFISLSFILPKTPLTCHFFLTYRARLIFIMSLKSNISLKSLKSKTLLRSLWAKRRIQLEPKICCISGYTSSFNFISYQILFLNVTK >KJB54169 pep chromosome:Graimondii2_0_v6:9:1887709:1889039:-1 gene:B456_009G024500 transcript:KJB54169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSICLSLLPPSKTLKPGSSTSSIRRCRCSLVVSISKSHQLTTRKRLQVVCMAPEEEKLTRRNPLDFPIEWERPKPGRRPDIFPQFSPMKTPLPPPMPYDPPEEDEEEEEKKEEEEEDPEKEEPDNPEKQ >KJB60930 pep chromosome:Graimondii2_0_v6:9:34346826:34352927:-1 gene:B456_009G331400 transcript:KJB60930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVYWILILNLWILKATSLQPGSRTVLREIGSGGSDPKDYGVELNATNFDGVLKDTPATYAIVEFFAHWCPACRNYKPHYEKVARLFNGPDAVHPGIILMTRVDCALKINTGLCDKFSVSHYPMLFWGPPTKFSTGWKPNQEKSEIREIDNWRTAELLLNWINKQIGSSYGLDDEKFENEQLPSNKSDPGQTAQAIFDVEEATAIAFDIILEQKMIKSKTRASLIKFLQLLVAHHPSQRCRKGSAEVLVNFDEFCPLDMWSSDKHDVPTSNLKEVLHDFQICGKEVPRGYWMFCRGSRNETRGFSCGLWVLMHSLSVRIEDGESQFAFTSICDFIHNFFICEECRQHFYEMCSRVTSPFKKSRDFALWLWSAHNEVNERLMKEEASLKTGDPKFPKIIWPPKQLCPSCYHLVGPKDKGTNQIDWDQNEVFKFLMSYYGNTLISLYKGKGVLADGRTNATLDDLVTSTNAVVVPIGAAFAIAIASCMFGALACYWRSRQKSRKYYHQPYYLKNI >KJB60927 pep chromosome:Graimondii2_0_v6:9:34346229:34353183:-1 gene:B456_009G331400 transcript:KJB60927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVYWILILNLWILKATSLQPGSRTVLREIGSGGSDPKDYGVELNATNFDGVLKDTPATYAIVEFFAHWCPACRNYKPHYEKVARLFNGPDAVHPGIILMTRVDCALKINTGLCDKFSVSHYPMLFWGPPTKFSTGWKPNQEKSEIREIDNWRTAELLLNWINKQIGSSYGLDDEKFENEQLPSNKSDPGQTAQAIFDVEEATAIAFDIILEQKMIKSKTRASLIKFLQLLVAHHPSQRCRKGSAEVLVNFDEFCPLDMWSSDKHDVPTSNLKEVLHDFQICGKEVPRGYWMFCRGSRNETRGFSCGLWVLMHSLSVRIEDGESQFAFTSICDFIHNFFICEECRQHFYEMCSRVTSPFKKSRDFALWLWSAHNEVNERLMKEEASLKTGDPKFPKIIWPPKQLCPSCYHLVGPKDKGTNQIDWDQNEVFKFLMSYYGNTLISLYKGKGVLADGRTNATLDDLVTSTNAVVVPIGAAFAIAIASCMFGALACYWRSRQKSRKPRRSWN >KJB60929 pep chromosome:Graimondii2_0_v6:9:34346379:34352991:-1 gene:B456_009G331400 transcript:KJB60929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVDCALKINTGLCDKFSVSHYPMLFWGPPTKFSTGWKPNQEKSEIREIDNWRTAELLLNWINKQIGSSYGLDDEKFENEQLPSNKSDPGQTAQAIFDVEEATAIAFDIILEQKMIKSKTRASLIKFLQLLVAHHPSQRCRKGSAEVLVNFDEFCPLDMWSSDKHDVPTSNLKEVLHDFQICGKEVPRGYWMFCRGSRNETRGFSCGLWVLMHSLSVRIEDGESQFAFTSICDFIHNFFICEECRQHFYEMCSRVTSPFKKSRDFALWLWSAHNEVNERLMKEEASLKTGDPKFPKIIWPPKQLCPSCYHLVGPKDKGTNQIDWDQNEVFKFLMSYYGNTLISLYKGKGVLADGRTNATLDDLVTSTNAVVVPIGAAFAIAIASCMFGALACYWRSRQKSRKPRRSWN >KJB60928 pep chromosome:Graimondii2_0_v6:9:34346379:34352991:-1 gene:B456_009G331400 transcript:KJB60928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVDCALKINTGLCDKFSVSHYPMLFWGPPTKFSTGWKPNQEKSEIREIDNWRTAELLLNWINKQIGSSYGLDDEKFENEQLPSNKSDPGQTAQAIFDVEEATAIAFDIILEQKMIKSKTRASLIKFLQLLVAHHPSQRCRKGSAEVLVNFDEFCPLDMWSSDKHDVPTSNLKEVLHDFQICGKEVPRGYWMFCRGSRNETRGFSCGLWVLMHSLSVRIEDGESQFAFTSICDFIHNFFICEECRQHFYEMCSRVTSPFKKSRDFALWLWSAHNEVNERLMKEEASLKTGDPKFPKIIWPPKQLCPSCYHLVGPKDKGTNQIDWDQNEVFKFLMSYYGNTLISLYKGKGVLADGRTNATLDDLVTSTNAVVVPIGAAFAIAIASCMFGALACYWRSRQKSRKPRRSWN >KJB54183 pep chromosome:Graimondii2_0_v6:9:1877519:1880291:1 gene:B456_009G024300 transcript:KJB54183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSNKNVQAKLVLLGDVGTGKTSLVLRFVKGQFSDFQESTIGAAFFTQVLSLNEATVKFDIWDTAGQERYHSLAPMYYRGAAAAIVAYDITNSESFERAKKWVQELQRRGNPNLIMFLVANKVDLEEKRAVGNEVKYMPKKMV >KJB54184 pep chromosome:Graimondii2_0_v6:9:1877519:1880291:1 gene:B456_009G024300 transcript:KJB54184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSNKNVQAKLVLLGDVGTGKTSLVLRFVKGQFSDFQESTIGAAFFTQVLSLNEATVKFDIWDTAGQERYHSLAPMYYRGAAAAIVAYDITNSESFERAKKWVQELQRRGNPNLIMFLVANKVDLEEKRAVGNEEGEVYAKENGLTFMETSAKTAQNVSELFYEIAKRLAKAAPSRTGGMKLHSRRQESGRRLFCCSS >KJB54181 pep chromosome:Graimondii2_0_v6:9:1877519:1880291:1 gene:B456_009G024300 transcript:KJB54181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSNKNVQAKLVLLGDVGTGKTSLVLRFVKGQFSDFQESTIGAAFFTQVLSLNEATVKFDIWDTAGQERYHSLAPMYYRGAAAAIVAYDITNSESFERAKKWVQELQRRGNPNLIMFLVANKVDLEEKRAVGNEEGEVYAKENGLTFMETSAKTAQNVSELFYEIAKRLAKAAPSRTGGMKLHSRRQESGRRLFCCSS >KJB54182 pep chromosome:Graimondii2_0_v6:9:1877376:1880439:1 gene:B456_009G024300 transcript:KJB54182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSNKNVQAKLVLLGDVGTGKTSLVLRFVKGQFSDFQESTIGAAFFTQVLSLNEATVKFDIWDTAGQERYHSLAPMYYRGAAAAIVAYDITNSESFERAKKWVQELQRRGNPNLIMFLVANKVDLEEKRAVGNEEGEVYAKENGLTFMETSAKTAQNVSELFYEIAKRLAKAAPSRTGGMKLHSRRQESGRRLFCCSS >KJB55581 pep chromosome:Graimondii2_0_v6:9:6137255:6143807:1 gene:B456_009G084100 transcript:KJB55581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKNSGGHRGMQESMYSVIALVFILVACVELCDAAAAIDVYRLIQYDMSGSAYGSRFAVLNHHAASLHFPPGADLSRAVLIIPLRELNITFVREYINQKKPLGGLLFLLPDIFSFENGGNKQVHEKEKLKNLLAELEQLLIHANIPYPVYFAFENDEIDTVLVDIKKNDAIGQPATATTGGYKLVIPTPEPKKVASPTITNIQGWLSGLKVDGDTNQLPTIAIVASYDTFGAAPALSVGSDSNGSGVVALLEIARLFSLLYSNPKTRGRYNLLFGLTSGGPYNYNGTQKWLRSFDQRLRESIDYAICLNSIGSWDNELWIHVSKPPENAYIKQIFEGFSNVAEELHIKVGLKHKKINISNPRVAWEHEQFSRLRVTAATLSEISTAPELLQGTGGLFDSRQFVNETAITRGVKLVAESLARHIYGHQGKNVQIFADGGSLAVNPAYIQSWLDLLSQTPRVAPFLSKNDPFVMALKKELADHTDEVNMQHEVLEGVFTFYDSTSARLNIYQVASVTFDLLLLLVLGSYLIVLFSFLVITTRGLDDLISLFRRPPSRKVKTA >KJB55583 pep chromosome:Graimondii2_0_v6:9:6137294:6143807:1 gene:B456_009G084100 transcript:KJB55583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKNSGGHRGMQESMYSVIALVFILVACVELCDAAAAIDVYRLIQYDMSGSAYGSRFAVLNHHAASLHFPPGADLSRAVLIIPLRELNITFVREYINQKKPLGGLLFLLPDIFSFENGGNKQVHEKEKLKNLLAELEQLLIHANIPYPVYFAFENDEIDTVLVDIKKNDAIGQPATATTGGYKLVIPTPEPKKVASPTITNIQGWLSGLKVDGDTNQLPTIAIVASYDTFGAAPALSVGSDSNGSGVVALLEIARLFSLLYSNPKTRGRYNLLFGLTSGGPYNYNGTQKWLRSFDQRLRESIDYAICLNSIGSWDNELWIHVSKPPENAYIKQIFEGFSNVAEELHIKVGLKHKKINISNPRVAWEHEQFSRLRVTAATLSEISTAPELLQGTGGLFDSRQFVNETAITRGVKLVAESLARHIYGHQGKNVQIFADGGSLAVNPAYIQSWLDLLSQTPRVAPFLSKNDPFVMALKKELADHTDEVNMQHEVLEGVFTFYDSTSARLNIYQVASVTFDLLLLLVLGSYLIVLFSFLVITTRGLDDLISLFRRPPSRKVKTA >KJB55582 pep chromosome:Graimondii2_0_v6:9:6137294:6143807:1 gene:B456_009G084100 transcript:KJB55582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKNSGGHRGMQESMYSVIALVFILVACVELCDAAAAIDVYRLIQYDMSGSAYGSRFAVLNHHAASLHFPPGADLSRAVLIIPLRELNITFVREYINQKKPLGGLLFLLPDIFSFENGGNKQVHEKEKLKNLLAELEQLLIHANIPYPVYFAFENDEIDTVLVDIKKNDAIGQPATATTGGYKLVIPTPEPKKVASPTITNIQGWLSGLKVDGDTNQLPTIAIVASYDTFGAAPALSVGSDSNGSGVVALLEIARLFSLLYSNPKTRGRYNLLFGLTSGGPYNYNGTQKWLRSFDQRLRESIDYAICLNSIGSWDNELWIHVSKPPENAYIKQIFEGFSNVAEELHIKVGLKHKKINISNPRVTWEHEQFSRLRVTAATLSEISTAPELLQGTGGLFDSRQFVNETAITRGVKLVAESLARHIYGHQGKNVQIFADGGSLAVNPAYIQSWLDLLSQTPRVAPFLSKNDPFVMALKKELADHTDEVNMQHEVLEGVFTFYDSTSARLNIYQVASVTFDLLLLLVLGSYLIVLFSFLVITTRGLDDLISLFRRPPSRKVKTA >KJB61760 pep chromosome:Graimondii2_0_v6:9:51329435:51331016:-1 gene:B456_009G378500 transcript:KJB61760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLPSSFILRSVVNAGSHLSNFHSFSSSSNTIATYIECLSKKPMSMPVRGKGKRDHHFDNVDHALSLFNKMIKKDPVPSIVEFTKLFAAIVRMKHYAIVVPMCSQMELLGVSHDVYSMNILINCFCQLGRIDFGFSVLGKMLELGVEPDVVIFSTLINGLCNQAYNTVIDCLCKNGLLQEALNLLSEMKVKGIRPNIITYTCLIHGMCNSGQQEEATRLLNEMLDNNISLNIVTYNTLVDALCKEGTVSKAVEIVDTMRKQGFEPDVVTYNTLVDAHCKEGMVSEAEDIVDAMIKRGIEPNVVTYSALVNGHCLQNEMDKARRVFNLMIEKGCAPNIVTYSTMINGYCKGKRLDEAVELFHEISQKGPIPNIFTYNTLLQSMFQLGKVSTACELFRKMLASGQVPVIATCLILLDGLCKTGHIEEALKLFQAMQTSGLELDIVPYTILIDGFCKAGHIEVVKELFHQL >KJB57235 pep chromosome:Graimondii2_0_v6:9:11849678:11851535:-1 gene:B456_009G154600 transcript:KJB57235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSCNCAKPQISHPLSSASSSTVHLGHSPTSISWNSRQPNRTHNISIIHSALHSPSVLHFPKQPYQNPLIPIEDDSVNVQVQPKTHRHPQWNLLQRAAAMALDMAESALTHIQHPLPKTADPHVQISGNFAPVPEQPVKQALPVTGTIPSCINGVYLRNGANPLFEPVAGHHFFDGDGMVHAVTIDNGNASYACRFTETQRFLQEKELGRPVFPKAIGELHGHSGIARLLLFYARGLFGLVDHKQGTGVANAGLVYFNNRLLAMSEDDLPYHLRISPSGDLETVGRYNFDDQLESTMIAHPKIDPFSKELFALSYDVIQKPYLKYFKFQPDGTKSPDVEIPLSMPTMMHDFAITENFVVIPNQQVLFKLQEMIFGGSPVIYDKNKKSSFGILSKNASDSKDIIWVESPDTFCFHLWNAWEEPESDEVVVIGSCMTPPDSIFNECDESLKSVLSEIRLNLKTGKSTRRPIISESEQVNLEAGMVNRNRLGRRTRYAYLAIAEPWPKVSGFAKIDLFTGEVKKYIYGDKKFGGEPFFLPRDDNCESAEDDGYIISFVHDENSWKSELQIVDAINLQLESSIKLPSRVPYGFHGTFIDAKSLINQA >KJB56216 pep chromosome:Graimondii2_0_v6:9:8071170:8077816:1 gene:B456_009G110600 transcript:KJB56216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQSQSDHYRSSSSSASSPASRVPAFNFFYLRKPGSLGQPISFEDSPEWEDTDADVRVEEGGGDSINAATTSVSPSLSKLNSGSLPSPHLPEGAAVARKIAGASVAWKDLTVTIEGKRKYSDNVVKGSNGYALPGTMTVIMGPAKSGKSTLLRAIAGRLPPAAKMYGEIFVNGARMHMPYGSYGFVDLETTLIGSLTVREYLYYSAVLQLPGFFSQKKSVVEEAIHAMSLGDFANKLIGGHCFMKGLPSGERRRVAIARELVMRPHILFIDEPLYHLDSVSALLMMVTLKKLTSTGCTLIFTLNQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSAVNMDTAVAICTLEATYKSSADTAAVETMILRLTEKEGPLLKSKGKASNATRIAVLTWRSLLNMSREFKYYWLRLILYMLLTLCIGTIFSGLGHSLSSAVTRVAAIFVFVSFTSLLSIAGVPVLMKEIKIYASEESNQHSGALAFLFGQLLSSIPFLFLISISSSLVFYFLIGLRDEFSLLMYFVLNFFMCLLVNEGLMLAVVSLWQNIFQSVLTLVTIHVVLMLAAGYFRIRSKLPGPVWTHPLSYIAFHTYSIQGLLENEYLGVNFAIGQVRSISGFQALHSAYDISPRSNAKWENVLVLFLMAVGYRILVFVLLHFYARKNVSLHRLFRGKHNSTA >KJB56217 pep chromosome:Graimondii2_0_v6:9:8072249:8077558:1 gene:B456_009G110600 transcript:KJB56217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQSQSDHYRSSSSSASSPASRVPAFNFFYLRKPGSLGQPISFEDSPEWEDTDADVRVEEGGGDSINAATTSVSPSLSKLNSGSLPSPHLPEGAAVARKIAGASVAWKDLTVTIEGKRKYSDNVVKGSNGYALPGTMTVIMGPAKSGKSTLLRAIAGRLPPAAKMYGEIFVNGARMHMPYGSYGFVDLETTLIGSLTVREYLYYSAVLQLPGFFSQKKSVVEEAIHAMSLGDFANKLIGGHCFMKGLPSGERRRVAIARELVMRPHILFIDEPLYHLDSVSALLMMVTLKKLTSTGCTLIFTLNQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSAVNMDTAVAICTLEATYKSSADTAAVETMILRLTEKEGPLLKSKGKASNATRIAVLTWRSLLNMSREFKYYWLRLILYMLLTLCIGTIFSGLGHSLSSAVTRVAAIFVFVSFTSLLSIAGVPVLMKEIKIYASEESNQHSGALAFLFGQLLSSIPFLFLISISSSLVFYFLIGLRDEFSLLMYFVLNFFMCLLVNEGLMLAVVSLWQNIFQSVLTLVTIHVVLMLAAGYFRIRSKLPGPVWTHPLSYIAFHTYSIQVDFWRTSI >KJB56215 pep chromosome:Graimondii2_0_v6:9:8071170:8077816:1 gene:B456_009G110600 transcript:KJB56215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQSQSDHYRSSSSSASSPASRVPAFNFFYLRKPGSLGQPISFEDSPEWEDTDADVRVEEGGGDSINAATTSVSPSLSKLNSGSLPSPHLPEGAAVARKIAGASVAWKDLTVTIEGKRKYSDNVVKGSNGYALPGTMTVIMGPAKSGKSTLLRAIAGRLPPAAKMYGEIFVNGARMHMPYGSYGFVDLETTLIGSLTVREYLYYSAVLQLPGFFSQKKSVVEEAIHAMSLGDFANKLIGGHCFMKGLPSGERRRVAIARELVMRPHILFIDEPLYHLDSVSALLMMVTLKKLTSTGCTLIFTLNQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSAVNMDTAVAICTLEATYKSSADTAAVETMILRLTEKEGPLLKSKGKASNATRIAVLTWRSLLNMSREFKYYWLRLILYMLLTLCIGTIFSGLGHSLSSAVTRVAAIFVFVSFTSLLSIAGVPVLMKEIKIYASEESNQHSGALAFLFGQLLSSIPFLFLISISSSLVFYFLIGLRDEFSLLMYFVLNFFMCLLVNEGLMLAVVSLWQNIFQSVLTLVTIHVVLMLAAGYFRIRSKLPGPVWTHPLSYIAFHTYSIQGLLENEYLGVNFAIGQVRSISGFQALHSAYDISPRSNAKWENVLVLFLMAVGYRILVFVLLHFYARKNVSLHRLFRGKHNSTA >KJB63045 pep chromosome:Graimondii2_0_v6:9:70345846:70350821:1 gene:B456_009G452400 transcript:KJB63045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAVEDMIEASSGVHFSGFHMDGLDSRHIKKPMISPATENMHNQPFLIVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHKLTEEELARVHEYNFDHPDAFDTEKLLDSIDNLRHGRAVDIPKYDFKSYKLDVFPVRRVNPSDVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRITRDTVGKSRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPQDISKRHVLLLDPILGTGNSAVQAISLLIKKGVPESNIIFLNLISAPQGVHMVCKSFPRLKIVTSEIDIGLNKDFCVIPGMGEFGDRYFGTDDDDDQKVVTPMQQSC >KJB63044 pep chromosome:Graimondii2_0_v6:9:70345846:70350821:1 gene:B456_009G452400 transcript:KJB63044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAVEDMIEASSGVHFSGFHMDGLDSRHIKKPMISPATENMHNQPFLIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHKLTEEELARVHEYNFDHPDAFDTEKLLDSIDNLRHGRAVDIPKYDFKSYKLDVFPVRRVNPSDVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRITRDTVGKSRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPQDISKRHVLLLDPILGTGNSAVQAISLLIKKGVPESNIIFLNLISAPQGVHMVCKSFPRLKIVTSEIDIGLNKDFCVIPGMGEFGDRYFGTDDDDDQKVVTPMQQSC >KJB63046 pep chromosome:Graimondii2_0_v6:9:70346454:70349946:1 gene:B456_009G452400 transcript:KJB63046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAVEDMIEASSGVHFSGFHMDGLDSRHIKKPMISPATENMHNQPFLIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHKLTEEELARVHEYNFDHPDAFDTEKLLDSIDNLRHGRAVDIPKYDFKSYKLDVFPVRRVNPSDVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRITRDTVGKSRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSIIRSGESMENALRACCKGIKIGKILIHREGDNGQQVRKLYISSSTSKKFCSFAYFLSHSFLSCFYV >KJB63047 pep chromosome:Graimondii2_0_v6:9:70345838:70350891:1 gene:B456_009G452400 transcript:KJB63047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAVEDMIEASSGVHFSGFHMDGLDSRHIKKPMISPATENMHNQPFLIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHKLTEEELARVHEYNFDHPDAFDTEKLLDSIDNLRHGRAVDIPKYDFKSYKLDVFPVRRVNPSDVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRITRDTVGKSRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPQDISKRHVLLLDPILGTGNSAVQAISLLIKKGVPESNIIFLNLISAPQGVHMVCKSFPRLKIVTSEIDIGLNKDFCVIPGMGEFGDRYFGTDDDDDQKVVTPMQQSC >KJB63048 pep chromosome:Graimondii2_0_v6:9:70345989:70350891:1 gene:B456_009G452400 transcript:KJB63048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIQQLHDQRVVLVNQDSFYHKLTEEELARVHEYNFDHPDAFDTEKLLDSIDNLRHGRAVDIPKYDFKSYKLDVFPVRRVNPSDVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRITRDTVGKSRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPQDISKRHVLLLDPILGTGNSAVQAISLLIKKGVPESNIIFLNLISAPQGVHMVCKSFPRLKIVTSEIDIGLNKDFCVIPGMGEFGDRYFGTDDDDDQKVVTPMQQSC >KJB55324 pep chromosome:Graimondii2_0_v6:9:5021139:5023445:1 gene:B456_009G070900 transcript:KJB55324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQSDGDLVLATVNLPSKHVNDPYYKIVTAGDSNSSSPGFQLVFNESGYLFVLRENEERSVLTPTITGSAKDLYYRATLDFDGVFTLYSHPKASTGNDTWTSLCPVGASSGVCGFNSICSLNADKRPSCGCPRGYALVDPSNQYGNCKPNFTLSCEEEPGPVEDLYEFEVLTNVGWPLGDYALLEPFTEDQCRQSCLHDCMCAVAIYREGDKCWKKRLPLTNGRPVPSHFGAKAFLKVRKGDRPPFCPYFPNQEIKNQETLILALSVLLGISVFFIFILRLKLLPKNEVAVETNLRTFTYKELENATNEFKEELGRGSLGIVYKGTLPTSYVSQTDVHVIGQTHHRNLVRLLGFCDDGDNRLLVYEYLSNGSLASFLFCGSRPSWSQRTQIALGIARGLLYLHEECSTQIYEHYNAKISDFGLAKLLLVNQSHTNTAVRGTKGYVAAEWFRNLPITVKVDVYSFGVLLLELTCCRRSVDMESDMEERAILTDWAYDCYCEGALDALVENDMDALNDIGKVEKFVQVAIWCIQEDPSLRPTMRAVSQMLEGVLEIPFPPCPCPYPYHML >KJB60571 pep chromosome:Graimondii2_0_v6:9:30063167:30066543:-1 gene:B456_009G317700 transcript:KJB60571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVGIIAPLVSIVDRTPIAFAAETKKGFLPVTDKKDGYTFLYPFGWQEVVIEGQDKVFKDVIEPLESVSVNMIPTSKQDIRDFGSPQEVAETLIKKVLAPPTQKTKLIQAGEHDVDGKTYYTFEFVTQAPNYTRHALTAVSIGNGKFYTLTTGANERRWDKMKERLLTVVDSFKIFNV >KJB60574 pep chromosome:Graimondii2_0_v6:9:30063410:30066679:-1 gene:B456_009G317700 transcript:KJB60574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQNSMPSLHHTLFTNSFPQRGLQKLSHGAFLCCKTSVPLHVRGEHLSSPPAAASSSSQFQDSCGRRRMIAVGIIAPLVSIVDRTPIAFAAETKKGFLPVTDKKDGYTFLYPFGWQEVVIEGQDKVFKDVIEPLESVSVNMIPTSKQDIRDFGSPQEVAETLIKKVLAPPTQKTKLIQAGEHDVDGKTYYTFEFVTQAPNYTRHALTAVSIGNGM >KJB60575 pep chromosome:Graimondii2_0_v6:9:30065574:30066896:-1 gene:B456_009G317700 transcript:KJB60575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQNSMPSLHHTLFTNSFPQRGLQKLSHGAFLCCKTSVPLHVRGEHLSSPPAAASSSSQFQDSCGRRRMIAVGIIAPLVSIVDRTPIAFAAETKKGFLPVTDKKDGYTFLYPFGWQEVVIEGQDKVFKDVIEPLESVSVNMIPTSKQDIRDFGSPQEVCHGLSILYIIILRT >KJB60570 pep chromosome:Graimondii2_0_v6:9:30063056:30066944:-1 gene:B456_009G317700 transcript:KJB60570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQNSMPSLHHTLFTNSFPQRGLQKLSHGAFLCCKTSVPLHVRGEHLSSPPAAASSSSQFQDSCGRRRMIAVGIIAPLVSIVDRTPIAFAAETKKGFLPVTDKKDGYTFLYPFGWQEVVIEGQDKVFKDVIEPLESVSVNMIPTSKQDIRDFGSPQEVAETLIKKVLAPPTQKTKLIQAGEHDVDGKTYYTFEFVTQAPNYTRHALTAVSIGNGKFYTLTTGANERRWDKMKERLLTVVDSFKIFNV >KJB60572 pep chromosome:Graimondii2_0_v6:9:30063167:30066896:-1 gene:B456_009G317700 transcript:KJB60572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVGIIAPLVSIVDRTPIAFAAETKKGFLPVTDKKDGYTFLYPFGWQEVVIEGQDKVFKDVIEPLESVSVNMIPTSKQDIRDFGSPQEVAETLIKKVLAPPTQKTKLIQAGEHDVDGKTYYTFEFVTQAPNYTRHALTAVSIGNGKFYTLTTGANERRWDKMKERLLTVVDSFKIFNV >KJB60573 pep chromosome:Graimondii2_0_v6:9:30063167:30066896:-1 gene:B456_009G317700 transcript:KJB60573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQNSMPSLHHTLFTNSFPQVSFLINIRGLQKLSHGAFLCCKTSVPLHVRGEHLSSPPAAASSSSQFQDSCGRRRMIAVGIIAPLVSIVDRTPIAFAAETKKGFLPVTDKKDGYTFLYPFGWQEVVIEGQDKVFKDVIEPLESVSVNMIPTSKQDIRDFGSPQEVAETLIKKVLAPPTQKTKLIQAGEHDVDGKTYYTFEFVTQAPNYTRHALTAVSIGNGKFYTLTTGANERRWDKMKERLLTVVDSFKIFNV >KJB57886 pep chromosome:Graimondii2_0_v6:9:14205366:14207146:1 gene:B456_009G184800 transcript:KJB57886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMRMGEANHTNSHSNSDDNECTVREQDRFMPIANVIRIMRKILPPHAKISDDAKETIQECVSEYISFITGEANEHCQREQRKTITAEDVLWAMSKLGFDDYIEPLTVYLHRYRELEGERGSIRGEPVVKRVVDYGTLGVAAFAPAFHMGHHHHHGHGFFGSGAMGGYLKDESSAGSSQAAVANGEPYAQQHK >KJB57885 pep chromosome:Graimondii2_0_v6:9:14205053:14207215:1 gene:B456_009G184800 transcript:KJB57885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGFHGYRKLPDNTSGLKVTEMNMRMGEANHTNSHSNSDDNECTVREQDRFMPIANVIRIMRKILPPHAKISDDAKETIQECVSEYISFITGEANEHCQREQRKTITAEDVLWAMSKLGFDDYIEPLTVYLHRYRELEGERGSIRGEPVVKRVVDYGTLGVAAFAPAFHMGHHHHHGHGFFGSGAMGGYLKDESSAGSSQAAVANGEPYAQQHK >KJB58492 pep chromosome:Graimondii2_0_v6:9:16501820:16504801:1 gene:B456_009G212800 transcript:KJB58492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELGLQDRNTVRSGYRAGAASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDRDSIASELSLHMAGQESGDQNESFGGPDPDPDPNKAITVPKHIRLSRKGEKVKVKTEENGPAHTEDETQLRDSARNSFSLALKECQDRKTRSDALLKNPDRRRPASLDLNNISGLSPRLGTVKKSSVVTRKLGAFPSPGTPNYHHNSSVGMQKGWSSERVALHSNGGRRQGNASGLLAFNNGRTLPSKWEDAERWIFSPVSGDAGSKQSIVHPQRRPKSKSGPLGPPGIAYYSLYSPALQMFDGGHMGNFMAGSPFSAGVIAADGLTVHSRSHGGGFAVQTEPCMGRSVSVHGCSEAANPPSSQDAEENLDVVKDAATDISQTVSRRDMATQMSPQSSTHSSPKGRPSFSPSSPSALPIMELQSIHGSKSLLRDVPVDERVTLTRWSKKHRARNTGKSSEIVDDWRKKVVDTCTSTWDVTETGKSISKYVYFTTTLPLFFFF >KJB58491 pep chromosome:Graimondii2_0_v6:9:16501820:16506647:1 gene:B456_009G212800 transcript:KJB58491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELGLQDRNTVRSGYRAGAASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDRDSIASELSLHMAGQESGDQNESFGGPDPDPDPNKAITVPKHIRLSRKGEKVKVKTEENGPAHTEDETQLRDSARNSFSLALKECQDRKTRSDALLKNPDRRRPASLDLNNISGLSPRLGTVKKSSVVTRKLGAFPSPGTPNYHHNSSVGMQKGWSSERVALHSNGGRRQGNASGLLAFNNGRTLPSKWEDAERWIFSPVSGDAGSKQSIVHPQRRPKSKSGPLGPPGIAYYSLYSPALQMFDGGHMGNFMAGSPFSAGVIAADGLTVHSRSHGGGFAVQTEPCMGRSVSVHGCSEAANPPSSQDAEENLDVVKDAATDISQTVSRRDMATQMSPQSSTHSSPKGRPSFSPSSPSALPIMELQSIHGSKSLLRDVPVDERVTLTRWSKKHRARNTGKSSEIVDDWRKKVVDTCTSTWDVTETGKSISKMKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKRAQDMRNSMLANQAHQVTKTSHMAISFRRTRQMSSLSGCFTCQAF >KJB58498 pep chromosome:Graimondii2_0_v6:9:16501820:16506647:1 gene:B456_009G212800 transcript:KJB58498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELGLQDRNTVRSGYRAGAASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDRDSIASELSLHMAGQESGDQNESFGGPDPDPDPNKAITVPKHIRLSRKGEKVKECQDRKTRSDALLKNPDRRRPASLDLNNISGLSPRLGTVKKSSVVTRKLGAFPSPGTPNYHHNSSVGMQKGWSSERVALHSNGGRRQGNASGLLAFNNGRTLPSKWEDAERWIFSPVSGDAGSKQSIVHPQRRPKSKSGPLGPPGIAYYSLYSPALQMFDGGHMGNFMAGSPFSAGVIAADGLTVHSRSHGGGFAVQTEPCMGRSVSVHGCSEAANPPSSQDAEENLDVVKDAATDISQTVSRRDMATQMSPQSSTHSSPKGRPSFSPSSPSALPIMELQSIHGSKSLLRDVPVDERVTLTRWSKKHRARNTGKSSEIVDDWRKKVVDTCTSTWDVTETGKSISKMKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKRAQDMRNSMLANQAHQVTKTSHMAISFRRTRQMSSLSGCFTCQAF >KJB58497 pep chromosome:Graimondii2_0_v6:9:16502330:16505567:1 gene:B456_009G212800 transcript:KJB58497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQESGDQNESFGGPDPDPDPNKAITVPKHIRLSRKGEKVKVKTEENGPAHTEDETQLRDSARNSFSLALKECQDRKTRSDALLKNPDRRRPASLDLNNISGLSPRLGTVKKSSVVTRKLGAFPSPGTPNYHHNSSVGMQKGWSSERVALHSNGGRRQGNASGLLAFNNGRTLPSKWEDAERWIFSPVSGDAGSKQSIVHPQRRPKSKSGPLGPPGIAYYSLYSPALQMFDGGHMGNFMAGSPFSAGVIAADGLTVHSRSHGGGFAVQTEPCMGRSVSVHGCSEAANPPSSQDAEENLDVVKDAATDISQTVSRRDMATQMSPQSSTHSSPKGRPSFSPSSPSALPIMELQSIHGSKSLLRDVPVDERVTLTRWSKKHRARNTGKSSEIVDDWRKKVVDTCTSTWDVTETGKSISKMKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKRAQDMRNSMLANQAHQVTKTSHMAISFRRTRQMSSLSGCFTCQAF >KJB58493 pep chromosome:Graimondii2_0_v6:9:16501820:16506647:1 gene:B456_009G212800 transcript:KJB58493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELGLQDRNTVRSGYRAGAASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDRDSIASELSLHMAGQESGDQNESFGGPDPDPDPNKAITVPKHIRLSRKGEKVKEENGPAHTEDETQLRDSARNSFSLALKECQDRKTRSDALLKNPDRRRPASLDLNNISGLSPRLGTVKKSSVVTRKLGAFPSPGTPNYHHNSSVGMQKGWSSERVALHSNGGRRQGNASGLLAFNNGRTLPSKWEDAERWIFSPVSGDAGSKQSIVHPQRRPKSKSGPLGPPGIAYYSLYSPALQMFDGGHMGNFMAGSPFSAGVIAADGLTVHSRSHGGGFAVQTEPCMGRSVSVHGCSEAANPPSSQDAEENLDVVKDAATDISQTVSRRDMATQMSPQSSTHSSPKGRPSFSPSSPSALPIMELQSIHGSKSLLRDVPVDERVTLTRWSKKHRARNTGKSSEIVDDWRKKVVDTCTSTWDVTETGKSISKMKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKRAQDMRNSMLANQAHQVTKTSHMAISFRRTRQMSSLSGCFTCQAF >KJB58496 pep chromosome:Graimondii2_0_v6:9:16501576:16506647:1 gene:B456_009G212800 transcript:KJB58496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELGLQDRNTVRSGYRAGAASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDRDSIASELSLHMAGQESGDQNESFGGPDPDPDPNKAITVPKHIRLSRKGEKVKVKTEENGPAHTEDETQLRDSARNSFSLALKECQDRKTRSDALLKNPDRRRPASLDLNNISGLSPRLGTVKKSSVVTRKLGAFPSPGTPNYHHNSSVGMQKGWSSERVALHSNGGRRQGNASGLLAFNNGRTLPSKWEDAERWIFSPVSGDAGSKQSIVHPQRRPKSKSGPLGPPGIAYYSLYSPALQMFDGGHMGNFMAGSPFSAGVIAADGLTVHSRSHGGGFAVQTEPCMGRSVSVHGCSEAANPPSSQDAEENLDVVKDAATDISQTVSRRDMATQMSPQSSTHSSPKGRPSFSPSSPSALPIMELQSIHGSKSLLRDVPVDERVTLTRWSKKHRARNTGKSSEIVDDWRKKVVDTCTSTWDVTETGKSISKMKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKRAQDMRNSMLANQAHQVTKTSHMAISFRRTRQMSSLSGCFTCQAF >KJB58494 pep chromosome:Graimondii2_0_v6:9:16501937:16504952:1 gene:B456_009G212800 transcript:KJB58494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELGLQDRNTVRSGYRAGAASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDRDSIASELSLHMAGQESGDQNESFGGPDPDPDPNKAITVPKHIRLSRKGEKVKVKTEENGPAHTEDETQLRDSARNSFSLALKECQDRKTRSDALLKNPDRRRPASLDLNNISGLSPRLGTVKKSSVVTRKLGAFPSPGTPNYHHNSSVGMQKGWSSERVALHSNGGRRQGNASGLLAFNNGRTLPSKWEDAERWIFSPVSGDAGSKQSIVHPQRRPKSKSGPLGPPGIAYYSLYSPALQMFDGGHMGNFMAGSPFSAGVIAADGLTVHSRSHGGGFAVQTEPCMGRSVSVHGCSEAANPPSSQDAEENLDVVKDAATDISQTVSRRDMATQMSPQSSTHSSPKGRPSFSPSSPSALPIMELQSIHGSKSLLRDVPVDERVTLTRWSKKHRARNTGKSSEIVDDWRKKVVDTCTSTWDVTETGKSISKYDEKRRSQNHCLGKSAEG >KJB58495 pep chromosome:Graimondii2_0_v6:9:16501820:16506647:1 gene:B456_009G212800 transcript:KJB58495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELGLQDRNTVRSGYRAGAASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDRDSIASELSLHMAGQESGDQNESFGGPDPDPDPNKAITVPKHIRLSRKGEKVKVKTEENGPAHTEDETQLRDSARNSFSLALKECQDRKTRSDALLKNPDRRRPASLDLNNISGLSPRLGTVKKSSVVTRKLGAFPSPGTPNYHHNSSVGMQKGWSSERVALHSNGGRRQGNASGLLAFNNGRTLPSKWEDAERWIFSPVSGDAGSKQSIVHPQRRPKSKSGPLGPPGIAYYSLYSPALQMFDGGHMGNFMAGSPFSAGVIAADGLTVHSRSHGGGFAVQTEPCMGRSVSVHGCSEAANPPSSQEENLDVVKDAATDISQTVSRRDMATQMSPQSSTHSSPKGRPSFSPSSPSALPIMELQSIHGSKSLLRDVPVDERVTLTRWSKKHRARNTGKSSEIVDDWRKKVVDTCTSTWDVTETGKSISKMKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKRAQDMRNSMLANQAHQVTKTSHMAISFRRTRQMSSLSGCFTCQAF >KJB59429 pep chromosome:Graimondii2_0_v6:9:20913116:20916441:-1 gene:B456_009G2546001 transcript:KJB59429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELGLKITRTRDDITSTSDLRISKHPFGPVFMYTETDQMFILIAHLKGFRRQDIFIEINKDGNRIAISGEKPVQEMVLIGWIMCKKQVDIKAFRKVFSIPDAVDLERIKAKYNEQESTLRIIMKKKFKGISGVAIEEQNEESEPEQEQEQEREEEQEEPKMINEEQPEEDEQLPNIELGEKKEPAKEQWVKKDAETAAEAEAAKASKLKLAEEKKPEEVPKEKSVEQVVPKPMPVEKETEAEKVLKLKLAEKKKPEEVPKEESVKQKVQKPKLVQKEDEAEPENLPKLKFAEKKKPEEVPKEKSVEQMVPKPKLVVKEAEAEKVPKLTLAEKKKPEEVTKEESIEQKVPKPNLVEKEAEAEKVPKQMMAELKKLEEITKEESVEQKVPKPQLLKAEEEKVPQLILAEKKKPKEIPKEESVEQKVPKPKLVDAEAEAEKVPKLMLSEKKKPKEVTEESIQQKMPKPKLVEKEAEPEKVPKQMMAAKKKPEEVPKEESVEQKVPKPKLVEKKEPKEVPK >KJB59428 pep chromosome:Graimondii2_0_v6:9:20913116:20916441:-1 gene:B456_009G2546001 transcript:KJB59428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELGLKITRTRDDITSTSDLRISKHPFGPVFMYTETDQMFILIAHLKGFRRQDIFIEINKDGNRIAISGEKPVQEMVLIGWIMCKKQVDIKAFRKVFSIPDAVDLERIKAKYNEQESTLRIIMKKKFKGISGVAIEEQNEESEPEQEQEQEREEEQEEPKMINEEQPEEDEQLPNIELGEKKEPAKEQWVKKDAETAAEAEAAKASKLKLAEEKKPEEVPKEKSVEQVVPKPMPVEKETEAEKVLKLKLAEKKKPEEVPKEESVKQKVQKPKLVQKEDEAEPENLPKLKFAEKKKPEEVPKEKSVEQMVPEPRMVQKETEAEKVTKLTLAEKKKPEDVTKEESIEQKVPKPKLVVKEAEAEAEKVPKLILAEKKKLEEVPKEESVEQNVPKQKLVEDEAKKKVPKLMLAEKKKPEEVTKDESVEQKVPKQKLVEKEAEVAAEDEKVPKLKLAEKKKHEEVQVEENVEQKVPKPKLVEAESEKVPKLTLAEKKKPEEVTKEESVEQKAPKQKLVEKEAEVEAEDEKVPKLAEKKKPEEVQEETIEQKGPKPKLVDAEAEAEKVPKLTLAEKKKPEEVTKEESIEQKVPKPNLVEKEAEAEKVPKQMMAELKKLEEITKEESVEQKVPKPQLLKAEEEKVPQLILAEKKKPKEIPKEESVEQKVPKPKLVDAEAEAEKVPKLMLSEKKKPKEVTEESIQQKMPKPKLVEKEAEPEKVPKQMMAAKKKPEEVPKEESVEQKVPKPKLVEKKEPKEVPK >KJB60803 pep chromosome:Graimondii2_0_v6:9:32624232:32626143:1 gene:B456_009G326500 transcript:KJB60803 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit T, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09350) UniProtKB/Swiss-Prot;Acc:Q9SMS0] MASTSTLQAPYSLSLKPQNVTHLLHGSRAATTRARSRRRTYSGAGVFAATTGPSKPQRPPPGVDTRIHWDNEDEGWIGESSNSRQTKEKLNPEEEQKSLLGEKFADLLNDSSDSHYQFLGVSAEADLEEIKAAYRRLSKEYHPDTTSLPLKAASEKFMKLREVYNVLSDGESRSFYDWTLAQEAASRKAEKLRMRLDDPYQQDVRNYVPKPDKVDRLGGRNMELNDQALSALTFDAFVIIFAICCIVYVLVFKEPYY >KJB62507 pep chromosome:Graimondii2_0_v6:9:65508500:65512107:1 gene:B456_009G420300 transcript:KJB62507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKASFIVFGSKTSPLEVPQRNSSATPRTSHQMKISGSDAGTVSSPNPASKTAKDRSPKVTERKALRSPVSEKKRASRVTELEAQLTQLQDDLRKTKDQLTASESWKRQAMQDAEEAKKQLSEMSAKLEESEQQLLEISVSEDGRVQELLKISQERDRAWQSELEAVQKRHSMDSAALASALNEIQKLKAQLEKAYESEAIQTKHAESAYAEIQNLRIELTKTLSLVETVKSEINNCRESEAQAVEVVSETEMQLEAANKTVEVLRSDATKRTEAYNKLSLELEQSQARVKSLEELVSKLQAELVGNSSKTLKDRNDDELPQKNGENEDIEKLKTELNFAKLEVGQLKSALDASEVRYQEEYIRSTLQIRSAYEQVECIRTQSCQREMELETELNKMKADVEELRANLMDKETELQSILAQNEELNLKTEKKQFDEEELELSMKLKKKLEADLTELNANLTAKETELQSVTMQNEKLKMEIMKMEMDSNKLSDESAALLEAARAAEQEALLKHDNLTEEAEKSRKRAAQVTEQLGAAQAENNEMEAELRRIKVQSDQWRKAAEAATAMLSTGNYGKHMDRTIPFDGNHNPVTGSPNSEDMDDDSPKKKNGSMLKKIGVLWKKGQK >KJB62510 pep chromosome:Graimondii2_0_v6:9:65509376:65512107:1 gene:B456_009G420300 transcript:KJB62510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDAEEAKKQLSEMSAKLEESEQQLLEISVSEDGRVQELLKISQERDRAWQSELEAVQKRHSMDSAALASALNEIQKLKAQLEKAYESEAIQTKHAESAYAEIQNLRIELTKTLSLVETVKSEINNCRESEAQAVEVVSETEMQLEAANKTVEVLRSDATKRTEAYNKLSLELEQSQARVKSLEELVSKLQAELVGNSSKTLKDRNDDELPQKNGENEDIEKLKTELNFAKLEVGQLKSALDASEVRYQEEYIRSTLQIRSAYEQVECIRTQSCQREMELETELNKMKADVEELRANLMDKETELQSILAQNEELNLKTEKKQFDEEELELSMKLKKKLEADLTELNANLTAKETELQSVTMQNEKLKMEIMKMEMDSNKLSDESAALLEAARAAEQEALLKHDNLTEEAEKSRKRAAQVTEQLGAAQAENNEMEAELRRIKVQSDQWRKAAEAATAMLSTGNYGKHMDRTIPFDGNHNPVTGSPNSEDMDDDSPKKKNGSMLKKIGVLWKKGQK >KJB62509 pep chromosome:Graimondii2_0_v6:9:65509376:65512107:1 gene:B456_009G420300 transcript:KJB62509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKASKTSPLEVPQRNSSATPRTSHQMKISGSDAGTVSSPNPASKTAKDRSPKVTERKALRSPVSERASRVTELEAQLTQLQDDLRKTKDQLTASESWKRQAMQDAEEAKKQLSEMSAKLEESEQQLLEISVSEDGRVQELLKISQERDRAWQSELEAVQKRHSMDSAALASALNEIQKLKAQLEKAYESEAIQTKHAESAYAEIQNLRIELTKTLSLVETVKSEINNCRESEAQAVEVVSETEMQLEAANKTVEVLRSDATKRTEAYNKLSLELEQSQARVKSLEELVSKLQAELVGNSSKTLKDRNDDELPQKNGENEDIEKLKTELNFAKLEVGQLKSALDASEVRYQEEYIRSTLQIRSAYEQVECIRTQSCQREMELETELNKMKADVEELRANLMDKETELQSILAQNEELNLKTEKKQFDEEELELSMKLKKKLEADLTELNANLTAKETELQSVTMQNEKLKMEIMKMEMDSNKLSDESAALLEAARAAEQEALLKHDNLTEEAEKSRKRAAQVTEQLGAAQAENNEMEAELRRIKVQSDQWRKAAEAATAMLSTGNYGKHMDRTIPFDGNHNPVTGSPNSEDMDDDSPKKKNGSMLKKIGVLWKKGQK >KJB62508 pep chromosome:Graimondii2_0_v6:9:65508633:65512169:1 gene:B456_009G420300 transcript:KJB62508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKASKTSPLEVPQRNSSATPRTSHQMKISGSDAGTVSSPNPASKTAKDRSPKVTERKALRSPVSEKKRASRVTELEAQLTQLQDDLRKTKDQLTASESWKRQAMQDAEEAKKQLSEMSAKLEESEQQLLEISVSEDGRVQELLKISQERDRAWQSELEAVQKRHSMDSAALASALNEIQKLKAQLEKAYESEAIQTKHAESAYAEIQNLRIELTKTLSLVETVKSEINNCRESEAQAVEVVSETEMQLEAANKTVEVLRSDATKRTEAYNKLSLELEQSQARVKSLEELVSKLQAELVGNSSKTLKDRNDDELPQKNGENEDIEKLKTELNFAKLEVGQLKSALDASEVRYQEEYIRSTLQIRSAYEQVECIRTQSCQREMELETELNKMKADVEELRANLMDKETELQSILAQNEELNLKTEKKQFDEEELELSMKLKKKLEADLTELNANLTAKETELQSVTMQNEKLKMEIMKMEMDSNKLSDESAALLEAARAAEQEALLKHDNLTEEAEKSRKRAAQVTEQLGAAQAENNEMEAELRRIKVQSDQWRKAAEAATAMLSTGNYGKHMDRTIPFDGNHNPVTGSPNSEDMDDDSPKKKNGSMLKKIGVLWKKGQK >KJB62506 pep chromosome:Graimondii2_0_v6:9:65508258:65512243:1 gene:B456_009G420300 transcript:KJB62506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKASKTSPLEVPQRNSSATPRTSHQMKISGSDAGTVSSPNPASKTAKDRSPKVTERKALRSPVSEKKRASRVTELEAQLTQLQDDLRKTKDQLTASESWKRQAMQDAEEAKKQLSEMSAKLEESEQQLLEISVSEDGRVQELLKISQERDRAWQSELEAVQKRHSMDSAALASALNEIQKLKAQLEKAYESEAIQTKHAESAYAEIQNLRIELTKTLSLVETVKSEINNCRESEAQAVEVVSETEMQLEAANKTVEVLRSDATKRTEAYNKLSLELEQSQARVKSLEELVSKLQAELVGNSSKTLKDRNDDELPQKNGENEDIEKLKTELNFAKLEVGQLKSALDASEVRYQEEYIRSTLQIRSAYEQVECIRTQSCQREMELETELNKMKADVEELRANLMDKETELQSILAQNEELNLKTEKKQFDEEELELSMKLKKKLEADLTELNANLTAKETELQSVTMQNEKLKMEIMKMEMDSNKLSDESAALLEAARAAEQEALLKHDNLTEEAEKSRKRAAQVTEQLGAAQAENNEMEAELRRIKVQSDQWRKAAEAATAMLSTGNYGKHMDRTIPFDGNHNPVTGSPNSEDMDDDSPKKKNGSMLKKIGVLWKKGQK >KJB62511 pep chromosome:Graimondii2_0_v6:9:65509660:65512169:1 gene:B456_009G420300 transcript:KJB62511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATLTSLSKTSPLEVPQRNSSATPRTSHQMKISGSDAGTVSSPNPASKTAKDRSPKVTERKALRSPVSEKKRASRVTELEAQLTQLQDDLRKTKDQLTASESWKRQAMQDAEEAKKQLSEMSAKLEESEQQLLEISVSEDGRVQELLKISQERDRAWQSELEAVQKRHSMDSAALASALNEIQKLKAQLEKAYESEAIQTKHAESAYAEIQNLRIELTKTLSLVETVKSEINNCRESEAQAVEVVSETEMQLEAANKTVEVLRSDATKRTEAYNKLSLELEQSQARVKSLEELVSKLQAELVGNSSKTLKDRNDDELPQKNGENEDIEKLKTELNFAKLEVGQLKSALDASEVRYQEEYIRSTLQIRSAYEQVECIRTQSCQREMELETELNKMKADVEELRANLMDKETELQSILAQNEELNLKTEKKQFDEEELELSMKLKKKLEADLTELNANLTAKETELQSVTMQNEKLKMEIMKMEMDSNKLSDESAALLEAARAAEQEALLKHDNLTEEAEKSRKRAAQVTEQLGAAQAENNEMEAELRRIKVQSDQWRKAAEAATAMLSTGNYGKHMDRTIPFDGNHNPVTGSPNSEDMDDDSPKKKNGSMLKKIGVLWKKGQK >KJB61679 pep chromosome:Graimondii2_0_v6:9:50940936:50945978:-1 gene:B456_009G374700 transcript:KJB61679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLEGRLEEPKRNKRKLDKRLILSLTKPSHLLTLKYAHIRWENRQRLCYLLERLVKKQNWAEASGVLSLLLKGTSHDFSAGLNRLKYSVAIRLLKYIDGDHVDIRRIRDIYEIWMSRIRFKTVKTEEQIAVHLEFILFCLTHGNLGWAHQATLSLMKEQNFSCHPMANLVMGLTFCQLWYSNLTEEIKLRNSDQDYFPQQSDASGSHMGNEIVFSEGNYAAYSHGAVSSQCGSETSVMNDKRESLLAGSNQQRDVHVQNNVNLQRAAPLVQEVEPLGSNQNSAENEVDFYDDSGYTCDPSVFSALEGLESWLMPLKLPYSSENFVYLHRQMVNNHYKDALKHLRLALHCEPPLSAALLPLIQLLLIGGQAKEALSEVEKFCISNMPFPFRLRASLLEYFYSNDSVMLCNCFEEVLKRDPTCCHSLARLVSMHQKGDYSLESLVEMIALHVEATFPESETWREFASCFLKLYEYEEDRLSVCLVGNEGEQKANRSIYYRRIPSIFTEVNSRRAWRLRCRCWLKRHFGKRMLASEIASGMLELVTYKAACAVHLYGEECHYVIKVYTHLREHNEKDLRKFLKLHMVNSIRLNVKFQEK >KJB53404 pep chromosome:Graimondii2_0_v6:9:4397544:4399264:1 gene:B456_009G061500 transcript:KJB53404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFYTHGNGTTFIILGPFFFPPDKVKDLAAILGCKYMRVGLTRNNEIMWCSSLGKMKSRAVRFRKARESF >KJB53403 pep chromosome:Graimondii2_0_v6:9:4397544:4398111:1 gene:B456_009G061500 transcript:KJB53403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFYTHGNGTTFIILGPFFFPPDKVKDLAAILGCKYMRVGLTRNNEIMWCSSLGKMKSRAVRFRKARESF >KJB55568 pep chromosome:Graimondii2_0_v6:9:6038777:6043730:1 gene:B456_009G082800 transcript:KJB55568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLKEALKSLCGVTQWSYAVFWKIGCRNTKLLIWEEYYYESTLSGLQNPELREWGECCGSDTSSQLGCQPWDKVGLLISKMMNNRIVIVGQGLVGRAAFTGNHQWILANSYMTDSQPPEVLNELHLQFSAGMQTVAVIPVFPHGVLQLGSSTTIIENMGFVNDIKSLILCFGCIPGSLFSDSCGTSECVENNGIPISLEKPVSMDSAGIYWSTNSSILVTEGCNRQSNSSQASGIVGESSFPTKQIQENQTLWLNPHVSFCNSQSEFNCQPVIGQSAASYSNLKSMEKLIVSDTGLQNHVTNSTSTSNSQNKPKPIPDIVPSLEKVEDVTLSIPANQLSSIAMFSGVSNQGHDSEDSKCSRADVVSNKESKDNGLFQAPNISLLPFDDGLTFSEQLPIKSFSTRSPNSKYEDACIKPPSGDDLFDVLGADLKSKLLDGKLNNALSQGPDSKMQNLEKDTWVFRDMHNLYSDTFTANEGITDRGTHSSVVTDHLLDAVVSSAQSTARQILDDDESCRTTLTNFSNSVQFSSPTFGRVNIFNQVERELHGGLPKSSLKGGTPPSGSFRSGCSKDDAGTCSQTTSLYGSQISSLVELGHTTRHNSSLSTAYSKRNDETSKPNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLEKTIKHMLFMQSVTKHADKLKHMGESKIKENFKGGTTWAFEVGSRSMICPIIVEDLNPPRQMLVEMLCEEQGFFLEIADLIRGMGLTILKGVMETRNDKIWARFAVEFGVQANRDVTRVEIFMSLVRLLEQTVKGSASLANGLDGNNMMVQQSFPQAASIPATGTASSLQ >KJB55569 pep chromosome:Graimondii2_0_v6:9:6038777:6043730:1 gene:B456_009G082800 transcript:KJB55569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLKEALKSLCGVTQWSYAVFWKIGCRNTKLLIWEEYYYESTLSGLQNPELREWGECCGSDTSSQLGCQPWDKVGLLISKMMNNRIVIVGQGLVGRAAFTGNHQWILANSYMTDSQPPEVLNELHLQFSAGMQTVAVIPVFPHGVLQLGSSTTIIENMGFVNDIKSLILCFGCIPGSLFSDSCGTSECVENNGIPISLEKPVSMDSAGIYWSTNSSILVTEGCNRQSNSSQASGIVGESSFPTKQIQENQTLWLNPHVSFCNSQSEFNCQPVIGQSAASYSNLKSMEKLIVSDTGLQNHVTNSTSTSNSQNKPKPIPDIVPSLEKVEDVTLSIPANQLSSIAMFSGVSNQGHDSEDSKCSRADVVSNKESKDNGLFQAPNISLLPFDDGLTFSEQLPIKSFSTRSPNSKYEDACIKPPSGDDLFDVLGADLKSKLLDGKLNNALSQGPDSKMQNLEKDTWVFRDMHNLYSDTFTANEGITDRGTHSSVVTDHLLDAVVSSAQSTARQILDDDESCRTTLTNFSNSVQFSSPTFGRVNIFNQVERELHGGLPKSSLKGGTPPSGSFRSGCSKDDAGTCSQTTSLYGSQISSLVELGHTTRHNSSLSTAYSKRNDETSKPNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLEKTIKHMLFMQSVTKHADKLKHMGESKIKENFKGGTTWAFEVGSRSMICPIIVEDLNPPRQMLVEMLCEEQGFFLEIADLIRGMGLTILKGVMETRNDKIWARFAVEANRDVTRVEIFMSLVRLLEQTVKGSASLANGLDGNNMMVQQSFPQAASIPATGTASSLQ >KJB55567 pep chromosome:Graimondii2_0_v6:9:6038777:6043730:1 gene:B456_009G082800 transcript:KJB55567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLKEALKSLCGVTQWSYAVFWKIGCRNTKLLIWEEYYYESTLSGLQNPELREWGECCGSDTSSQLGCQPWDKVGLLISKMMNNRIVIVGQGLVGRAAFTGNHQWILANSYMTDSQPPEVLNELHLQFSAGMQTVAVIPVFPHGVLQLGSSTTIIENMGFVNDIKSLILCFGCIPGSLFSDSCGTSECVENNGIPISLEKPVSMDSAGIYWSTNSSILVTEGCNRQSNSSQASGIVGESSFPTKQIQENQTLWLNPHVSFCNSQSEFNCQPVIGQSAASYSNLKSMEKLIVSDTGLQNHVTNSTSTSNSQNKPKPIPDIVPSLEKVEDVTLSIPANQLSSIAMFSGVSNQGHDSEDSKCSRADVVSNKESKDNGLFQAPNISLLPFDDGLTFSEQLPIKSFSTRSPNSKYEDACIKPPSGDDLFDVLGADLKSKLLDGKLNNALSQGPDSKMQNLEKDTWVFRDMHNLYSDTFTANEGITDRGTHSSVVTDHLLDAVVSSAQSTARQILDDDESCRTTLTNFSNSVQFSSPTFGRVNIFNQVERELHGGLPKSSLKGGTPPSGSFRSGCSKDDAGTCSQTTSLYGSQISSLVELGHTTRHNSSLSTAYSKRNDETSKPNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKVCSIDALLEKTIKHMLFMQSVTKHADKLKHMGESKIKENFKGGTTWAFEVGSRSMICPIIVEDLNPPRQMLVEMLCEEQGFFLEIADLIRGMGLTILKGVMETRNDKIWARFAVEANRDVTRVEIFMSLVRLLEQTVKGSASLANGLDGNNMMVQQSFPQAASIPATGTASSLQ >KJB55806 pep chromosome:Graimondii2_0_v6:9:6958672:6960953:1 gene:B456_009G095700 transcript:KJB55806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIHLHVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALMVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVTEEDGQALAEREGLSFLETSALEATNIEKAFQTILTEIYHIISKKALAAQEAAASTAIPGQGTTINVTDTSGNTKRGCCST >KJB55804 pep chromosome:Graimondii2_0_v6:9:6958651:6960953:1 gene:B456_009G095700 transcript:KJB55804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRVDHEYDYLFKIVLIGDSGVGKTNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALMVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVTEEDGQALAEREGLSFLETSALEATNIEKAFQTILTEIYHIISKKALAAQEAAASTAIPGQGTTINVTDTSGNTKRGCCST >KJB55805 pep chromosome:Graimondii2_0_v6:9:6958672:6960953:1 gene:B456_009G095700 transcript:KJB55805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVTEEDGQALAEREGLSFLETSALEATNIEKAFQTILTEIYHIISKKALAAQEAAASTAIPGQGTTINVTDTSGNTKRGCCST >KJB55807 pep chromosome:Graimondii2_0_v6:9:6958672:6960953:1 gene:B456_009G095700 transcript:KJB55807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRVDHEYDYLFKIVLIGDSGVGKTNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALMVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVTEEDGQALAEREGLSFLETSALEATNIEKAFQTILTEIYHIISKKALAAQEAAASTAIPGQGTTINVTDTSGNTKRGCCST >KJB55481 pep chromosome:Graimondii2_0_v6:9:5636769:5644217:-1 gene:B456_009G078400 transcript:KJB55481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) UniProtKB/Swiss-Prot;Acc:Q9SCV4] MRRRTEILVLLICLVIVTTSFASTVTYDHRAIVIDGKRRVLISGSIHYPRSTPEMWPDLIQKSKDGGLDVIETYVFWNLHEPVRNQYNFEGRNDLVKFVKLVAEAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNEPFKAEMQRFTAKIVEMMKQEKLYASQGGPIILSQIENEYGNIDSAYGAAAKPYIKWAAGMAISLDTGVPWVMCQQSDAPDPIINTCNGFYCDQFTPNSNKKPKMWTENWSGWFLSFGGTVPYRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFDRTTGGPFIATSYDYDAPIDEYGQVRQPKWGHLRDVHKAIKLCEEALIATDPKISSLGPNLEAAVYKTGSGGCSAFLANIDTKSDATVNFNGNSYHLPAWSVSILPDCKNVVLNTAKVNSMSVIPSFIHESLNKNADSTDSIGSGWSWINEPVGISKASAFNKLGLLEQINTTADKSDYLWYSLSMNIKGDEPFLQDGSQTVLHVESLGHGLHAFINGKLTGSRTGNSDNAKVKVDIPITVVPGKNTIDLLSLTVGLQNYGAFFDLSGAGITGPVKLNGLSNGSSIDLSSQQWTYQVGLKEEDSGLPSGSSSEWVSQPALPKNQPLIWYKTNFDAPTGNDPVALDFMGMGKGEAWINGQSIGRYWPAYIASNSGCTDSCDYRGPYSANKCRKNCGKPSQQLYHVPRSWLKPSGNILVLFEEMGGDPTQLAFATRKMGSLCSHVSDSHPLPMDMWGLDSKTRRASNPTLSLSCPSPNQVISSIKFASFGTPLGTCGSFSHGRCSSAKAHSIVQKVCVGSTSCSIDVSTKTLGDPCKGVKKSLAVEVSCA >KJB55482 pep chromosome:Graimondii2_0_v6:9:5636832:5643786:-1 gene:B456_009G078400 transcript:KJB55482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) UniProtKB/Swiss-Prot;Acc:Q9SCV4] MRRRTEILVLLICLVIVTTSFASTVTYDHRAIVIDGKRRVLISGSIHYPRSTPEMWPDLIQKSKDGGLDVIETYVFWNLHEPVRNQYNFEGRNDLVKFVKLVAEAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNEPFKAEMQRFTAKIVEMMKQEKLYASQGGPIILSQIENEYGNIDSAYGAAAKPYIKWAAGMAISLDTGVPWVMCQQSDAPDPIINTCNGFYCDQFTPNSNKKPKMWTENWSGWFLSFGGTVPYRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFDRTTGGPFIATSYDYDAPIDEYGQVRQPKWGHLRDVHKAIKLCEEALIATDPKISSLGPNLEAAVYKTGSGGCSAFLANIDTKSDATVNFNGNSYHLPAWSVSILPDCKNVVLNTAKVNSMSVIPSFIHESLNKNADSTDSIGSGWSWINEPVGISKASAFNKLGLLEQINTTADKSDYLWYSLSMNIKGDEPFLQDGSQTVLHVESLGHGLHAFINGKLTGSRTGNSDNAKVKVDIPITVVPGKNTIDLLSLTVGLQNYGAFFDLSGAGITGPVKLNGLSNGSSIDLSSQQWTYQVGLKEEDSGLPSGSSSEWVSQPALPKNQPLIWYKTNFDAPTGNDPVALDFMGMGKGEAWINGQSIGRYWPAYIASNSGCTDSCDYRGPYSANKCRKNCGKPSQQLYHVPRSWLKPSGNILVLFEEMGGDPTQLAFATRKMGSLCSHVSDSHPLPMDMWGLDSKTRRASNPTLSLSCPSPNQVISSIKFASFGTPLGTCGSFSHGRCSSAKAHSIVQKVRSCCNI >KJB60383 pep chromosome:Graimondii2_0_v6:9:27109549:27112597:-1 gene:B456_009G302500 transcript:KJB60383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTKYYEILGVPKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGAHDPFDIFQSFFGGNPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNIICSKCKGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNDCKGTGETINDKDRCPQCKGEKVVQEKKVLEVNVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQKDHPKFKRKSDDLFVEHTLTLTEALCGFQFILTHLDGRQLLIKTHPGEVVKPDQFKAINDEGMAMYQRPFMRGKLYIHFTVDFPDSLAPEQCKALEAVLPPRTSVQLTDMELDECEETTLYDVNIEEEMRRKQAQAAQEAYEEDDDMHGGAQRVQCAQQ >KJB60379 pep chromosome:Graimondii2_0_v6:9:27109927:27112333:-1 gene:B456_009G302500 transcript:KJB60379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTKYYEILGVPKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGAHDPFDIFQSFFGGNPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNIICSKCKGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNDCKGTGETINDKDRCPQCKGEKVVQEKKVLEVNVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQKDHPKFKRKSDDLFVEHTLTLTEALCGFQFILTHLDGRQLLIKTHPGEVVKPDQFKAINDEGMAMYQRPFMRGKLYIHFTVDFPDSLAPEQCKALEAVLPPRTSVQLTDMELDECEETTLYDVNIEEEMRRKQAQAAQEAYEEDDDMHGGAQRVQCAQQ >KJB60380 pep chromosome:Graimondii2_0_v6:9:27109549:27112537:-1 gene:B456_009G302500 transcript:KJB60380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTKYYEILGVPKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGAHDPFDIFQSFFGGNPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNIICSKCKGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNDCKGTGETINDKDRCPQCKGEKVVQEKKVLEVNVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQKDHPKFKRKSDDLFVEHTLTLTEALCGFQFILTHLDGRQLLIKTHPGEVVKPDQFKAINDEGMAMYQRPFMRGKLYIHFTVDFPDSLAPEQCKALEAVLPPRTSVQLTDMELDECEETTLYDVNIEEEMRRKQAQAAQEAYEEDDDMHGGAQRVQCAQQ >KJB60382 pep chromosome:Graimondii2_0_v6:9:27108722:27112537:-1 gene:B456_009G302500 transcript:KJB60382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTKYYEILGVPKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGAHDPFDIFQSFFGGNPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNIICSKCKGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNDCKGTGETINDKDRCPQCKGEKVVQEKKVLEVNVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQKDHPKFKRKSDDLFVEHTLTLTEALCGFQFILTHLDGRQLLIKTHPGEVVKPDQFKAINDEGMAMYQRPFMRGKLYIHFTVDFPDSLAPEQCKALEAVLPPRTSVQLTDMELDECEETTLYDVNIEEEMRRKQAQAAQEAYEEDDDMHGGAQRVQCAQQ >KJB60381 pep chromosome:Graimondii2_0_v6:9:27108654:27112537:-1 gene:B456_009G302500 transcript:KJB60381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTKYYEILGVPKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGAHDPFDIFQSFFGGNPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNIICSKCKGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNDCKGTGETINDKDRCPQCKGEKVVQEKKVLEVNVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQKDHPKFKRKSDDLFVEHTLTLTEALCGFQFILTHLDGRQLLIKTHPGEVVKPDQFKAINDEGMAMYQRPFMRGKLYIHFTVDFPDSLAPEQCKALEAVLPPRTSVQLTDMELDECEETTLYDVNIEEEMRRKQAQAAQEAYEEDDDMHGGAQRVQCAQQ >KJB56764 pep chromosome:Graimondii2_0_v6:9:10145646:10151513:-1 gene:B456_009G135100 transcript:KJB56764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVDMTNPPTKDFFASPALSLSLAGIFRDAGATAAAPTASASMEVEEGDEGSGGGGGSGSKKDDTVEISSENSGPARSRSEDDLLDHDDDEDDADKSKKKKRKKYHRHTADQIREMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKQELDKLRDENKAMRETINKACCLNCGMATTAKDGSITAEEQQLRIENAKLKAEVEKLRTVIGKYPPGASTTGSCSSENDQENRSSLDFYTGIFGLEKSRIMEIVNQAMEELQKMATAGEPLWVRSVETGREILNYDEYVKEFSVESSSNGRPKRSIEASRETGVVFLDLPRLVQSFMDANQWKEMFPCIISKAATVDVICHGEAPNKNGAVQLMFAELQMLTPLVPTREVYFVRYCKQLSAEQWAIVDVSIDKVEENIDASLVKCRKRPSGCIIQDKTNGHCKVIWVEHLECQKNTVHTLFRTIVRSGLAFGARHWMATLQHQCERLVFFMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCHSIGASSYHTWNKVSTKTGEDIRVSSRKNLNDPGEPHGVIVCAVSSVWLPVSPTLLFDFLRDESRRSEWDIMSNGGPVQSIANLAKGKDRGNAVTIQAMKSKENSMWVLQDSCTNAFESMVVFAHVDVTGIQSVITGCDSSNMAILPSGFSILPDGLESRPLVISSRHEKSNDTEGGSLLTVAFQILTNSSPTAKLTMESVESVNTIVSCTLRNIKTSLQCEDG >KJB56762 pep chromosome:Graimondii2_0_v6:9:10145613:10151513:-1 gene:B456_009G135100 transcript:KJB56762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVDMTNPPTKDFFASPALSLSLAGIFRDAGATAAAPTASASMEVEEGDEGSGGGGGSGSKKDDTVEISSENSGPARSRSEDDLLDHDDDEDDADKSKKKKRKKYHRHTADQIREMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKQELDKLRDENKAMRETINKACCLNCGMATTAKDGSITAEEQQLRIENAKLKAEVEKLRTVIGKYPPGASTTGSCSSENDQENRSSLDFYTGIFGLEKSRIMEIVNQAMEELQKMATAGEPLWVRSVETGREILNYDEYVKEFSVESSSNGRPKRSIEASRETGVVFLDLPRLVQSFMDANQWKEMFPCIISKAATVDVICHGEAPNKNGAVQLMFAELQMLTPLVPTREVYFVRYCKQLSAEQWAIVDVSIDKVEENIDASLVKCRKRPSGCIIQDKTNGHCKVIWVEHLECQKNTVHTLFRTIVRSGLAFGARHWMATLQHQCERLVFFMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCHSIGASSYHTWNKVSTKTGEDIRVSSRKNLNDPGEPHGVIVCAVSSVWLPVSPTLLFDFLRDESRRSEWDIMSNGGPVQSIANLAKGKDRGNAVTIQAMKSKENSMWVLQDSCTNAFESMVVFAHVDVTGIQSVITGCDSSNMAILPSGFSILPDGLESRPLVISSRHEKSNDTEGGSLLTVAFQILTNSSPTAKLTMESVESVNTIVSCTLRNIKTSLQCEDG >KJB56761 pep chromosome:Graimondii2_0_v6:9:10145613:10151282:-1 gene:B456_009G135100 transcript:KJB56761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEGDEGSGGGGGSGSKKDDTVEISSENSGPARSRSEDDLLDHDDDEDDADKSKKKKRKKYHRHTADQIREMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKQELDKLRDENKAMRETINKACCLNCGMATTAKDGSITAEEQQLRIENAKLKAEVEKLRTVIGKYPPGASTTGSCSSENDQENRSSLDFYTGIFGLEKSRIMEIVNQAMEELQKMATAGEPLWVRSVETGREILNYDEYVKEFSVESSSNGRPKRSIEASRETGVVFLDLPRLVQSFMDANQWKEMFPCIISKAATVDVICHGEAPNKNGAVQLMFAELQMLTPLVPTREVYFVRYCKQLSAEQWAIVDVSIDKVEENIDASLVKCRKRPSGCIIQDKTNGHCKVIWVEHLECQKNTVHTLFRTIVRSGLAFGARHWMATLQHQCERLVFFMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCHSIGASSYHTWNKVSTKTGEDIRVSSRKNLNDPGEPHGVIVCAVSSVWLPVSPTLLFDFLRDESRRSEWDIMSNGGPVQSIANLAKGKDRGNAVTIQAMKSKENSMWVLQDSCTNAFESMVVFAHVDVTGIQSVITGCDSSNMAILPSGFSILPDGLESRPLVISSRHEKSNDTEGGSLLTVAFQILTNSSPTAKLTMESVESVNTIVSCTLRNIKTSLQCEDG >KJB56763 pep chromosome:Graimondii2_0_v6:9:10145979:10151460:-1 gene:B456_009G135100 transcript:KJB56763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVDMTNPPTKDFFASPALSLSLAGIFRDAGATAAAPTASASMEVEEGDEGSGGGGGSGSKKDDTVEISSENSGPARSRSEDDLLDHDDDEDDADKSKKKKRKKYHRHTADQIREMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKQELDKLRDENKAMRETINKACCLNCGMATTAKDGSITAEEQQLRIENAKLKAEVEKLRTVIGKYPPGASTTGSCSSENDQENRSSLDFYTGIFGLEKSRIMEIVNQAMEELQKMATAGEPLWVRSVETGREILNYDEYVKEFSVESSSNGRPKRSIEASRETGVVFLDLPRLVQSFMDANQWKEMFPCIISKAATVDVICHGEAPNKNGAVQLMFAELQMLTPLVPTREVYFVRYCKQLSAEQWAIVDVSIDKVEENIDASLVKCRKRPSGCIIQDKTNGHCKVIWVEHLECQKNTVHTLFRTIVRSGLAFGARHWMATLQHQCERLVFFMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCHSIGASSYHTWNKVSTKTGEDIRVSSRKNLNDPGEPHGVIVCAVSSVWLPVSPTLLFDFLRDESRRSEWDIMSNGGPVQSIANLAKGKDRGNAVTIQVSSSGCFFPLLTVYNILSCIMFSVQAMKSKENSMWVLQDSCTNAFESMVVFAHVDVTGIQSVITGCDSSNMAILPSGFSILPDGLESRPLVISSRHEKSNDTEGGSLLTVAFQILTNSSPTAKLTMESVESVNTIVSCTLRNIKTSLQCEDG >KJB62426 pep chromosome:Graimondii2_0_v6:9:64167654:64170760:-1 gene:B456_009G416300 transcript:KJB62426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMITQNAQQSSSLESFCTTTTTAKGGVVDPLNWGVAAKSLQGSHLDEVKRMVAEYRRSLVKLGGETLTISQVAAIATRDLGVKVELSEDARAGVKASADWVLDGMNKGTDSYGVTTGFGATSHRRTKQGAALQKELIRFLNAGIFGNGIESCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLLNHNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGEPLDAQEAFHVAGIDSGFFVLQPKEGLALVNGTAVGSGLASMVLFEANILAVLSEILSAIFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYVKAAKKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNARLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTFLVALCQAIDLRHVEENLRNTVKNTVSQIAKKTLTTGANGELHPSRFCEKDLLKAVDREYVFAYIDDPCSATYPLMQKLRQVLVEHALTNGESEKNTSTSIFQKITDFEEELQAVLPKEVESARVTLENGNAAIPNMIKDCRSYPLYKFVREELGTGLLTGEKVKSPGEEFDKVFTAMCQGKIIDPMLECLKEWNGAPLPIC >KJB62458 pep chromosome:Graimondii2_0_v6:9:64976269:64977036:1 gene:B456_009G418000 transcript:KJB62458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEMRHLSSWVEVAPPLLISPLRNRTSNSPVLETITEDEAEDSNDD >KJB61380 pep chromosome:Graimondii2_0_v6:9:46024994:46025866:1 gene:B456_009G354300 transcript:KJB61380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAIWSGRKLGKSYEFDFWQIVKCVTERGWGELCTTVEKKDKDAYDNLMRNSPKMWTRAFLGTTCKSDIIDNNLCESFNSNIIEARFKSIIRMLEDIRTKMMTRIVQKRKLYNRWKRNYGPLVKAKLDANKKDCVEWQLIWNGENGCELRKGRYQYTVDLSQSICSCRSWQISGILCAHICAAMYHLGLQLDDYLHEYHHIETCKKAYSFPMQPINGSHDWPKTGIELALPPIERKIPGRPKKNRRIAKDEPKKLKLDHLSRKGLLMTCTQCGQQGHNKGFCTKGNKHVKQ >KJB56253 pep chromosome:Graimondii2_0_v6:9:8220527:8222831:-1 gene:B456_009G112800 transcript:KJB56253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNHLTPPSSLTRSITERHIIHQHHHLEDRIAIQHREIQTLLLDNQRLAAAHVALKQDLALAQQETRHLTAASANVKSERDAEVREVYERSLKMDAEARAVDAMTAELACVRADVKKFMADNKELTAELEAVNDELAKARMEVKQVPVRMADMEAVRKEIHKGRTAIELEKKTRASNLEQRQILEKNMVLVARELEKLQAQRELANAEKRAREAAAPTTATATANSIPTYNGNYGNIDAKYGGSYSMPQAGVACPQFAPGAGAGTVPPVTLEGQGSHTPNVNQTGLQSVSNVPFENQVVQL >KJB57545 pep chromosome:Graimondii2_0_v6:9:16394496:16396332:-1 gene:B456_009G211700 transcript:KJB57545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTELKSDNLFEMMKAHLASDAGKELTKKIGLVYQINIAPKKIGFDEVSYIVDLKKGEVTKGKYEGGKPDAIFSFKDDDFMKVATGKMNPQIAFMRGAMKIKGSLSAAQKFTPDIFPKPAKM >KJB61119 pep chromosome:Graimondii2_0_v6:9:39898078:39899171:-1 gene:B456_009G341800 transcript:KJB61119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYVSCTLATPLIKSGKAARVILPGGEIRQFREPVKAAELMLECPNFFLTNSQSLHIGKRFSALTADEELELGNVFLMFPMKRLNSVITAADMAILFMAANSSAKRISSGKVRVLPETGNVEDEQEKSMGGTRLSLEGVEEFKHRLSVCRSRKPSLETIKEEPVYSR >KJB56307 pep chromosome:Graimondii2_0_v6:9:8471614:8476027:-1 gene:B456_009G115500 transcript:KJB56307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSVSDPTSDLIFTLQNTTPFGFTVKRRSSGDTLFDASPDPSDPRTFLVFKEQYIQLSSALPENRSSLYGLGEHTKRSFKLQHDDTLTLWNADLASANLDFNLYGSHPFYIDVRSASGSGRIAAGTSHGVLLFNSNGMDIVYGANRITYKVIGGIIDLYVFGGPLPHTVVQQYTELIGRPAPMPYWSFGFHQCRYGYKNVSDLEGVVAGYAKANIPLEVMWTDIDYMDGFKDFTLDPVNFPEDKMKQLVDKLHRNGQKYVVIIDPGISVNSSYGSYIRGMQADIFIKRDGIPYLGEVWPGRVYFPDFVNPQTLTYWGGEIKLFRDILPVDGLWLDMNEVSNFITSPPTPNSALDDPPYKINNQGIQRPINNKTVPATALHFGNLTEYDVHNLYGLLECKATHAALTNLTGKRPFILSRSTFVSSGKYTAHWTGDNAATWEDLAYTIPSILNFGLFGIPMVGADICGFSGNTTEELCRRWIQLGAFYPFARDHSELHSIRQELYIWDSVAATARKVLGLRYRLLPYFYTLMYEAHTKGTPIARPLFFTFPQDVHTYEINSQFLVGKGIMVSPALHPGVVSVDAYFPTGNWFDLFNYSNSVSATSGKYFTLAAPPDHINVHVREGNIIAMQGEAMTTKAARETPFQLLVAVSNTENITGELFLDDGEAVEMGEGGGKWSFVRFHGADSGDSVSVRSEVENGEYALSQKWMINKVTFVGLEKRRRVKGYELSPGNTRILNGKPILKPKLGKDAQFQVVEITRLMLPVGEEFNLQLKTPQS >KJB56309 pep chromosome:Graimondii2_0_v6:9:8471614:8476203:-1 gene:B456_009G115500 transcript:KJB56309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTQKKDLLHHLLLFFIIFSATSSYLAVVHGVNEAVGYGYKLKSVSVDPEQKWLAADLSLIRNSSVYGPDIQNLNLFVSFETSDRLRIRVTDSGHQRWEIPQEIIPRQSQNPFSLGSPANYQTRKLMETRSVSDPTSDLIFTLQNTTPFGFTVKRRSSGDTLFDASPDPSDPRTFLVFKEQYIQLSSALPENRSSLYGLGEHTKRSFKLQHDDTLTLWNADLASANLDFNLYGSHPFYIDVRSASGSGRIAAGTSHGVLLFNSNGMDIVYGANRITYKVIGGIIDLYVFGGPLPHTVVQQYTELIGRPAPMPYWSFGFHQCRYGYKNVSDLEGVVAGYAKANIPLEVMWTDIDYMDGFKDFTLDPVNFPEDKMKQLVDKLHRNGQKYVVIIDPGISVNSSYGSYIRGMQADIFIKRDGIPYLGEVWPGRVYFPDFVNPQTLTYWGGEIKLFRDILPVDGLWLDMNEVSNFITSPPTPNSALDDPPYKINNQGIQRPINNKTVPATALHFGNLTEYDVHNLYGLLECKATHAALTNLTGKRPFILSRSTFVSSGKYTAHWTGDNAATWEDLAYTIPSILNFGLFGIPMVGADICGFSGNTTEELCRRWIQLGAFYPFARDHSELHSIRQELYIWDSVAATARKVLGLRYRLLPYFYTLMYEAHTKGTPIARPLFFTFPQDVHTYEINSQFLETGSTSSITPTQ >KJB56306 pep chromosome:Graimondii2_0_v6:9:8472950:8475830:-1 gene:B456_009G115500 transcript:KJB56306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTQKKDLLHHLLLFFIIFSATSSYLAVVHGVNEAVGYGYKLKSVSVDPEQKWLAADLSLIRNSSVYGPDIQNLNLFVSFETSDRLRIRVTDSGHQRWEIPQEIIPRQSQNPFSLGSPANYQTRKLMETRSVSDPTSDLIFTLQNTTPFGFTVKRRSSGDTLFDASPDPSDPRTFLVFKEQYIQLSSALPENRSSLYGLGEHTKRSFKLQHDDTLTLWNADLASANLDFNLYGSHPFYIDVRSASGSGRIAAGTSHGVLLFNSNGMDIVYGANRITYKVIGGIIDLYVFGGPLPHTVVQQYTELIGRPAPMPYWSFGFHQCRYGYKNVSDLEGVVAGYAKANIPLEVMWTDIDYMDGFKDFTLDPVNFPEDKMKQLVDKLHRNGQKYVVIIDPGISVNSSYGSYIRGMQADIFIKRDGIPYLGEVWPGRVYFPDFVNPQTLTYWGGEIKLFRDILPVDGLWLDMNEVSNFITSPPTPNSALDDPPYKINNQGIQRPINNKTVPATALHFGNLTEYDVHNLYGLLECKATHAALTNLTGKRPFILSRSTFVSSGKYTAHWTGDNAATWEDLAYTIPSILNFGLFGIPMVGADICGFSGNTTEELCRRWIQVSS >KJB56308 pep chromosome:Graimondii2_0_v6:9:8471614:8476106:-1 gene:B456_009G115500 transcript:KJB56308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTQKKDLLHHLLLFFIIFSATSSYLAVVHGVNEAVGYGYKLKSVSVDPEQKWLAADLSLIRNSSVYGPDIQNLNLFVSFETSDRLRIRVTDSGHQRWEIPQEIIPRQSQNPFSLGSPANYQTRKLMETRSVSDPTSDLIFTLQNTTPFGFTVKRRSSGDTLFDASPDPSDPRTFLVFKEQYIQLSSALPENRSSLYGLGEHTKRSFKLQHDDTLTLWNADLASANLDFNLYGSHPFYIDVRSASGSGRIAAGTSHGVLLFNSNGMDIVYGANRITYKVIGGIIDLYVFGGPLPHTVVQQYTELIGRPAPMPYWSFGFHQCRYGYKNVSDLEGVVAGYAKANIPLEVMWTDIDYMDGFKDFTLDPVNFPEDKMKQLVDKLHRNGQKYVVIIDPGISVNSSYGSYIRGMQADIFIKRDGIPYLGEVWPGRVYFPDFVNPQTLTYWGGEIKLFRDILPVDGLWLDMNEVSNFITSPPTPNSALDDPPYKINNQGIQRPINNKTVPATALHFGNLTEYDVHNLYGLLECKATHAALTNLTGKRPFILSRSTFVSSGKYTAHWTGDNAATWEDLAYTIPSILNFGLFGIPMVGADICGFSGNTTEELCRRWIQLGAFYPFARDHSELHSIRQELYIWDSVAATARKVLGLRYRLLPYFYTLMYEAHTKGTPIARPLFFTFPQDVHTYEINSQFLVGKGIMVSPALHPGVVSVDAYFPTGNWFDLFNYSNSVSATSGKYFTLAAPPDHINVHVREGNIIAMQGEAMTTKAARETPFQLLVAVSNTENITGELFLDDGEAVEMGEGGGKWSFVRFHGADSGDSVSVRSEVENGEYALSQKWMINKVTFVGLEKRRRVKGYELSPGNTRILNGKPILKPKLGKDAQFQVVEITRLMLPVGEEFNLQLKTPQS >KJB60495 pep chromosome:Graimondii2_0_v6:9:28389503:28389772:1 gene:B456_009G308600 transcript:KJB60495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMMILHIFRVYLTGGFKKPRELTWVTGVVLVVLTASFGVTGYSLPQDQISYWVVKIVTGVPEAIPLIGSPLVELLRG >KJB58605 pep chromosome:Graimondii2_0_v6:9:16921971:16922748:1 gene:B456_009G217700 transcript:KJB58605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLKISDCSLDDIVRSIELQCSVRKAQLPTLYLHSSARAHPPLFSPSFAQIKDCWLAIDGRALNVTRCLEEHPGGEGVLIESAGKDTTQAFSDIGRSKLGYSFKKDADAQVASIEEPKKKEMSAFVIKDDSMPKYASIVEMFLPLLVAGSYFSYRYLTTASSMV >KJB56819 pep chromosome:Graimondii2_0_v6:9:10314827:10316259:1 gene:B456_009G137000 transcript:KJB56819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNVPVMTKRVWSIVKAVLFMMRKGLLSKRKLMVDLNVMLKRGKIAGAKAIGNLMFHHHSNRQVSSSSTAEATATAVQEYEFSCSNTPNYIFPFNLAAKKKNSYYHHFFACTHAPPTLDDDNVATMNALKVALEMINKNDGNNSVVAASPMLPGFGQTPLARQLRITDSPFPLRDMDEDADYVDKAAEDFINRFYKDLKQQTK >KJB57263 pep chromosome:Graimondii2_0_v6:9:11930125:11934276:1 gene:B456_009G155500 transcript:KJB57263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYSFSLNLQHFSCSGLSRSPKRHTSVLATSRLAFLSSSRFRGVASNFCSPLKLQLKRSQNAQKRRRRFRHHVKLSATNDDDSMGISSFDDWIVDDSVAAYMFSSSSDGEYSDGEIVLNPLAEVDLPPVSADDSVSMRSLTSRRFFSLGRARKKHRINVGLLNNLILIIFLTSVLLLVDWCGWKIVRLPLSQFYLTSPFFLSLVLAACAGYICVPYLKTLEFHQIIRKEGPPRHSKKQRTPTMGGLFFLPVGLFVSNFATGFSSVEVAAAGAATLAFATIGLLDDALCVIKQHNNGLSPWLRLFLEVSVGIWFSFWLNATNLSSPYGMKMLVPLPAPLGLVCFGKVYLLLTSFCFVSMGNGVNLTDGLDGLAGGTAALAFIGMSIAVLPVCPELAIFGASMAGACVGFLLHNRYKASVFMGDTGSLALGGALAAMAACTGMFFPLFLSSGIFVFEASSVILQVVYFRTTKRLHGTGRRLFRMAPFHHHLELGGVKEPVIVAGAYVVSCVLALFAGYVGLISA >KJB57262 pep chromosome:Graimondii2_0_v6:9:11930040:11934030:1 gene:B456_009G155500 transcript:KJB57262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYSFSLNLQHFSCSGLSRSPKRHTSVLATSRLAFLSSSRFRGVASNFCSPLKLQLKRSQNAQKRRRRFRHHVKLSATNDDDSMGISSFDDWIVDDSVAAYMFSSSSDGEYSDGEIVLNPLAEVDLPPVSADDSVSMRSLTSRRFFSLGRARKKHRINVGLLNNLILIIFLTSVLLLVDWCGWKIVRLPLSQFYLTSPFFLSLVLAACAGYICVPYLKTLEFHQIIRKEGPPRHSKKQRTPTMGGLFFLPVGLFVSNFATGFSSVEVAAAGAATLAFATIGLLDDALCVIKQHNNGLSPWLRLFLEVSVGIWFSFWLNATNLSSPYGMKMLVPLPAPLGLVCFGKVYLLLTSFCFVSMGNGVNLTDGLDGLAGGTAALAFIGMSIAVLPVCPELAIFGASMAGACVGFLLHNRYKASVFMGDTGSLALGGALAAMAACTGMFFPLFLSSGIFVFEASSVILQVVYFRTTKRLHGTGRRLFRMAPFHHHLELGGVKEPVIVAGAYVVSCVLALFAGYVGLISA >KJB57264 pep chromosome:Graimondii2_0_v6:9:11930147:11934276:1 gene:B456_009G155500 transcript:KJB57264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYSFSLNLQHFSCSGLSRSPKRHTSVLATSRLAFLSSSRFRGVASNFCSPLKLQLKRSQNAQKRRRRFRHHVKLSATNDDDSMGISSFDDWIVDDSVAAYMFSSSSDGEYSDGEIVLNPLAEVDLPPVSADDSVSMRSLTSRRFFSLGRARKKHRINVGLLNNLILIIFLTSVLLLVDWCGWKIVRLPLSQFYLTSPFFLSLVLAACAGYICVPYLKTLEFHQIIRKEGPPRHSKKQRTPTMGGLFFLPVGLFVSNFATGFSSVEVAAAGAATLAFATIGLLDDALCVIKQHNNGLSPWLRLFLEVSVGIWFSFWLNATNLSSPYGMKMLVPLPAPLGLVCFGKVYLLLTSFCFVSMGNGVNLTDGLDGLAGGTAALAFIGMSIAVLPVCPELAIFGASMAGACVGFLLHNRYKASVFMGDTGSLALGGALAAMAACTGMFFPLFLSSGIFVFEASSVILQVPCQLNV >KJB59398 pep chromosome:Graimondii2_0_v6:9:20695921:20699061:1 gene:B456_009G253100 transcript:KJB59398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGYWNRRQPTPPMLSSSGALKRPRTEYDGPPSGLHPSHEMHNYLARDSDRGGHLPVKDTKTIGSAYDRYLQSAQISSFPSGEARTFGGLGKPVGGAMPARPIADPPVMGRPGSAAPDMVPNGRNVGYGNQLPLDAMSRLGRDTVPLPPDASNTLYVEGLPSDSTRREVAHIFRPFVGYKEVRLVSKEFRHRGGDPLILCFVDFTTPACAATAMSALQGYKIDEHDPDSNYLRLQFSRYPGPRSGPGARGRR >KJB59401 pep chromosome:Graimondii2_0_v6:9:20696779:20699037:1 gene:B456_009G253100 transcript:KJB59401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNYLARDSDRGGHLPVKDTKTIGSAYDRYLQSAQISSFPSGEARTFGGLGKPVGGAMPARPIADPPVMGRPGSAAPDMVPNGRNVGYGNQLPLDAMSRLGRDTVPLPPDASNTLYVEGLPSDSTRREVAHIFRPFVGYKEVRLVSKEFRHRGGDPLILCFVDFTTPACAATAMSALQGYKIDEHDPDSNYLRLQFSRYPGPRSGPGARGRR >KJB59399 pep chromosome:Graimondii2_0_v6:9:20696081:20699000:1 gene:B456_009G253100 transcript:KJB59399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGYWNRRQPTPPMLSSSGALKRPRTEYDGPPSGLHPSHEMHNYLARDSDRGGHLPVKDTKTIGSAYDRYLQSAQISSFPSGEARTFGGLGKPVGGAMPARPIADPPVMGRPGSAAPDMVPNGRNVGYGNQLPLDAMSRLGRDTVPLPPDASNTLYVEGLPSDSTRREVARFRHLSPFCGI >KJB59400 pep chromosome:Graimondii2_0_v6:9:20696694:20699000:1 gene:B456_009G253100 transcript:KJB59400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFTDGPPSGLHPSHEMHNYLARDSDRGGHLPVKDTKTIGSAYDRYLQSAQISSFPSGEARTFGGLGKPVGGAMPARPIADPPVMGRPGSAAPDMVPNGRNVGYGNQLPLDAMSRLGRDTVPLPPDASNTLYVEGLPSDSTRREVAHIFRPFVGYKEVRLVSKEFRHRGGDPLILCFVDFTTPACAATAMSALQGYKIDEHDPDSNYLRLQFSRYPGPRSGPGARGRR >KJB59854 pep chromosome:Graimondii2_0_v6:9:23188450:23189304:1 gene:B456_009G276400 transcript:KJB59854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTRKDIDRIKGPWSPEEDEALKRLVQTYGSRNWSLVSKSIPGRSGKSCRLRWCNQLSPDVEHRPFTPEEDDTIARAHTRFGNKWATIARFLNGRTDNAIKNHWNSTLKRKCSSMTDDMNDDSPQPLKKSASLNTGNGGLGLYLSSRSPSGSDLSDLSLPIASPVTITGSLVPSTQTASSATDPPTLLTLSLPGSDTSEITDLGSVSNSFPSSTLVAEPATVPALKLQMEKQFLNAELLAVMQEMIRKEVRKYMSGSESNGLCFRTEAIRKAVVKRIGISKIE >KJB57245 pep chromosome:Graimondii2_0_v6:9:11890423:11895612:1 gene:B456_009G155100 transcript:KJB57245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRECLSCSTGKFLGLLMIFGLACLMLTHTNKAHSVSDGLAKGIGTNEEHEEVTDVGIRFKKLFRRAPRLPPRLSPDEKIIHRNFTGKLNEPNVEEQWKARQQNVKDAFTHAWSGYKKFAMGYDELMPVSRLGVDGLGGLGATVVDALDTAMIMGLDEVVSEAGSWIESHLLDRIRQKGQVNLFETTIRVLGGLLSAYHLSGGEQGMTLAQKGPKPTIYLDIAKNLADRLLSAFTSSPTAIPFSDVVLRDSSAHSAPDGLSSTSEVSTLQLEFNYLSAISGDPKYRTEGMKVLAHLKTLPKTEGLVPIYISPHSGEFSGENIRLGSRGDSYYEYLIKVWLQLRDTQDGNFTYLHDMYEEAMRGVKHMLVQKSIPSELVFVGELPVGPKGYLSPKMDHLVCFLPGTLALGATKGLTKEKAMKDNLLTFEDLENLKLAEDLTKTCFEMYSVTSTGLAPEIAYFRTKDYFESGLDGGNKSSEYVNDIIIKHADRHNLLRPETVESLFVLYRITQDPKYREWGWHIFESFEKYTKVESGGYSSLDDVTTVPPPKRDKMETFFLGETLKYLYLLFGDSSVIPLDKFAFNTEAHPLPIKSS >KJB57244 pep chromosome:Graimondii2_0_v6:9:11889885:11895284:1 gene:B456_009G155100 transcript:KJB57244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSLPYSMKDVHYDNAKFRHRSLFKVITQTLLTSNMRRECLSCSTGKFLGLLMIFGLACLMLTHTNKAHSVSDGLAKGIGTNEEHEEVTDVGIRFKKLFRRAPRLPPRLSPDEKIIHRNFTGKLNEPNVEEQWKARQQNVKDAFTHAWSGYKKFAMGYDELMPVSRLGVDGLGGLGATVVDALDTAMIMGLDEVVSEAGSWIESHLLDRIRQKGQVNLFETTIRVLGGLLSAYHLSGGEQGMTLAQKGPKPTIYLDIAKNLADRLLSAFTSSPTAIPFSDVVLRDSSAHSAPDGLSSTSEVSTLQLEFNYLSAISGDPKYRTEGMKVLAHLKTLPKTEGLVPIYISPHSGEFSGENIRLGSRGDSYYEYLIKVWLQLRDTQDGNFTYLHDMYEEAMRGVKHMLVQKSIPSELVFVGELPVGPKGYLSPKMDHLVCFLPGTLALGATKGLTKEKAMKDNLLTFEDLENLKLAEDLTKTCFEMYSVTSTGLAPEIAYFRTKDYFESGLDGGNKSSEYVNDIIIKHADRHNLLRPETVESLFVLYRITQDPKYALLVL >KJB57242 pep chromosome:Graimondii2_0_v6:9:11889884:11895576:1 gene:B456_009G155100 transcript:KJB57242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSLPYSMKDVHYDNAKFRHRSLFKVITQTLLTSNMRRECLSCSTGKFLGLLMIFGLACLMLTHTNKAHSVSDGLAKGIGTNEEHEEVTDVGIRFKKLFRRAPRLPPRLSPDEKIIHRNFTGKLNEPNVEEQWKARQQNVKDAFTHAWSGYKKFAMGYDELMPVSRLGVDGLGGLGATVVDALDTAMIMGLDEVVSEAGSWIESHLLDRIRQKGQVNLFETTIRVLGGLLSAYHLSGGEQGMTLAQKGPKPTIYLDIAKNLADRLLSAFTSSPTAIPFSDVVLRDSSAHSAPDGLSSTSEVSTLQLEFNYLSAISGDPKYRTEGMKVLAHLKTLPKTEGLVPIYISPHSGEFSGENIRLGSRGDSYYEYLIKVWLQLRDTQDGNFTYLHDMYEEAMRGVKHMLVQKSIPSELVFVGELPVGPKGYLSPKMDHLVCFLPGTLALGATKGLTKEKAMKDNLLTFEDLENLKLAEDLTKTCFEMYSVTSTGLAPEIAYFRTKDYFESGLDGGNKSSEYVNDIIIKHADRHNLLRPETVESLFVLYRITQDPKYREWGWHIFESFEKYTKVESGGYSSLDDVTTVPPPKRDKMETFFLGETLKYLYLLFGDSSVIPLDKFAFNTEAHPLPIKSS >KJB57241 pep chromosome:Graimondii2_0_v6:9:11889857:11895612:1 gene:B456_009G155100 transcript:KJB57241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSLPYSMKDVHYDNAKFRHRSLFKVITQTLLTSNMRRECLSCSTGKFLGLLMIFGLACLMLTHTNKAHSVSDGLAKGIGTNEEHEEVTDVGIRFKKLFRRAPRLPPRLSPDEKIIHRNFTGKLNEPNVEEQWKARQQNVKDAFTHAWSGYKKFAMGYDELMPVSRLGVDGLGGLGATVVDALDTAMIMGLDEVVSEAGSWIESHLLDRIRQKGQVNLFETTIRVLGGLLSAYHLSGGEQGMTLAQKGPKPTIYLDIAKNLADRLLSAFTSSPTAIPFSDVVLRDSSAHSAPDGLSSTSEVSTLQLEFNYLSAISGDPKYRTEGMKVLAHLKTLPKTEGLVPIYISPHSGEFSGENIRLGSRGDSYYEYLIKVWLQLRDTQDGNFTYLHDMYEEAMRGVKHMLVQKSIPSELVFVGELPVGPKGYLSPKMDHLVCFLPGTLALGATKGLTKEKAMKDNLLTFEDLENLKLAEDLTKTCFEMYSVTSTGLAPEIAYFRTKDYFESGLDGGNKSSEYVNDIIIKHADRHNLLRPETVESLFVLYRITQDPKYREWGWHIFESFEKYTKVESGGYSSLDDVTTVPPPKRDKMETFFLGETLKYLYLLFGDSSVIPLDKFAFNTEAHPLPIKSS >KJB57243 pep chromosome:Graimondii2_0_v6:9:11889885:11895284:1 gene:B456_009G155100 transcript:KJB57243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYDELMPVSRLGVDGLGGLGATVVDALDTAMIMGLDEVVSEAGSWIESHLLDRIRQKGQVNLFETTIRVLGGLLSAYHLSGGEQGMTLAQKGPKPTIYLDIAKNLADRLLSAFTSSPTAIPFSDVVLRDSSAHSAPDGLSSTSEVSTLQLEFNYLSAISGDPKYRTEGMKVLAHLKTLPKTEGLVPIYISPHSGEFSGENIRLGSRGDSYYEYLIKVWLQLRDTQDGNFTYLHDMYEEAMRGVKHMLVQKSIPSELVFVGELPVGPKGYLSPKMDHLVCFLPGTLALGATKGLTKEKAMKDNLLTFEDLENLKLAEDLTKTCFEMYSVTSTGLAPEIAYFRTKDYFESGLDGGNKSSEYVNDIIIKHADRHNLLRPETVESLFVLYRITQDPKYREWGWHIFESFEKYTKVESGGYSSLDDVTTVPPPKRDKMETFFLGETLKYLYLLFGDSSVIPLDKFAFNTEAHPLPIKSS >KJB58824 pep chromosome:Graimondii2_0_v6:9:17869762:17871900:1 gene:B456_009G228000 transcript:KJB58824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFELYRRSTIGMCLTEALDEMVSNGTLSPELAIQVLVQFDKSMTEALESQVKSKVTIKGHLHTYRFCDNVWTFILQDALFKKEDSQETVGRVKIVACDSKLLLQ >KJB58823 pep chromosome:Graimondii2_0_v6:9:17869761:17871960:1 gene:B456_009G228000 transcript:KJB58823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFELYRRSTIGMCLTEALDEMVSNGTLSPELAIQVLVQFDKSMTEALESQVKSKVTIKGHLHTYRFCDNVWTFILQDALFKKEDSQETVGRVKIVACDSKLLLQ >KJB62043 pep chromosome:Graimondii2_0_v6:9:56701075:56703392:1 gene:B456_009G398600 transcript:KJB62043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLRLLTIIVISCLSKAHPQLQSGLDLGSLTRELLHSAKEPAFFDWLKRVRRRIHEYPELAFQEHETGRLIMSELESLGIDYSWPVAKTGIVASVGSGLEPYFGLRADIDALPIQELVEWEHKSKKQGKMHACGHDAHVTMLLGAAKLLERKRDELKGTVKLVFQPGEESYAGAYHMIKEGAVDNVHAIFGLHVTPELPTGTVASRPGPMLAGSARFQVIIQGKAGHAAQPHTTRDPVLAASLAILALQHLVSRETDPLEPRVVSIGFIQAGQAANVIPETVSFGGTFRSMSNEGLSYLQQRIKKVIETQAMVHRCTATIDFFEETMRPYPATVNDESMYEHAKKVGEYVVGKSNVLMMPMTMGAEDFSFYSNKMAAAFFVIGTRNETQKPNYGLHSPYLIIDEDVLPIGAAFHAAVAISYLDNHGR >KJB62044 pep chromosome:Graimondii2_0_v6:9:56701746:56703392:1 gene:B456_009G398600 transcript:KJB62044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCIIGFAQFFMFVLYIYLLPNICQNTSNILRENEKVSILKELVEWEHKSKKQGKMHACGHDAHVTMLLGAAKLLERKRDELKGTVKLVFQPGEESYAGAYHMIKEGAVDNVHAIFGLHVTPELPTGTVASRPGPMLAGSARFQVIIQGKAGHAAQPHTTRDPVLAASLAILALQHLVSRETDPLEPRVVSIGFIQAGQAANVIPETVSFGGTFRSMSNEGLSYLQQRIKKVIETQAMVHRCTATIDFFEETMRPYPATVNDESMYEHAKKVGEYVVGKSNVLMMPMTMGAEDFSFYSNKMAAAFFVIGTRNETQKPNYGLHSPYLIIDEDVLPIGAAFHAAVAISYLDNHGR >KJB56352 pep chromosome:Graimondii2_0_v6:9:8536210:8539127:-1 gene:B456_009G116300 transcript:KJB56352 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MSGSDPGKLISKADNLTTLSLTRWSADWESATRLYEQAANGFRVSKDYEKAKLAFEKASKGREMLSSPWDAAKSMESAAALAKELRNWTEVIDFYRKASELYMQCDRPQFASDSLAKAARAVEDALPSDAIKLYSDACVLLEDDRKEQMALDLYHAVTNIYVKLEKYTDAVAFLLKLGLAADKCNATNSQCKAYLSAVIVYLYAHDLKQAEKCYNDCSQ >KJB56351 pep chromosome:Graimondii2_0_v6:9:8534730:8539228:-1 gene:B456_009G116300 transcript:KJB56351 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MSGSDPGKLISKADNLTTLSLTRWSADWESATRLYEQAANGFRVSKDYEKAKLAFEKASKGREMLSSPWDAAKSMESAAALAKELRNWTEVIDFYRKASELYMQCDRPQFASDSLAKAARYTDAVAFLLKLGLAADKCNATNSQCKAYLSAVIVYLYAHDLKQAEKCYNDCSQIDAFLRSDQNRFAGKLLSAYKEGDVEEIKRVAQSRSITNLDSVT >KJB56349 pep chromosome:Graimondii2_0_v6:9:8534398:8539228:-1 gene:B456_009G116300 transcript:KJB56349 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MSGSDPGKLISKADNLTTLSLTRWSADWESATRLYEQAANGFRVSKDYEKAKLAFEKASKGREMLSSPWDAAKSMESAAALAKELRNWTEVIDFYRKASELYMQCDRPQFASDSLAKAARAVEDALPSDAIKLYSDACVLLEDDRKEQMALDLYHAVTNIYVKLEKYTDAVAFLLKLGLAADKCNATNSQCKAYLSAVIVYLYAHDLKQAEKCYNDCSQIDAFLRSDQNRFAGKLLSAYKEGDVEEIKRVAQSRSITNLDSVIIKLARKLPTGDVAAFKTNAAIGEEEALDENDLK >KJB56350 pep chromosome:Graimondii2_0_v6:9:8534620:8539127:-1 gene:B456_009G116300 transcript:KJB56350 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MSGSDPGKLISKADNLTTLSLTRWSADWESATRLYEQAANGFRVSKDYEKAKLAFEKASKGREMLSSPWDAAKSMESAAALAKELRNWTEVIDFYRKASELYMQCDRPQFASDSLAKAARDACVLLEDDRKEQMALDLYHAVTNIYVKLEKYTDAVAFLLKLGLAADKCNATNSQCKAYLSAVIVYLYAHDLKQAEKCYNDCSQIDAFLRSDQNRFAGKLLSAYKEGDVEEIKRVAQSRSITNLDSVIIKLARKLPTGDVAAFKTNAAIGEEEALDENDLK >KJB54784 pep chromosome:Graimondii2_0_v6:9:3569942:3576181:-1 gene:B456_009G049100 transcript:KJB54784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVTSSCKEGTKIAMDNGKYVRYTPEQVEALERLYHECPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNTTLATTDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGRSGVAARACGLVGLDPTRVAEILKDRPSWYRDCRAVDVINVLSTGNGGTIELLYMQLYAPTTLAPARDFWLLRYTSVMEDGSLVVCERSLNNTQNGPSMPPAANFVRAELLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQQTTMAALRHLRQISQEISQPNVTGWGRRPAALRALSQKLNKGFNEAVNGFADEGWSMLESDGVDDVTLLVNSSPSKMMGINLSYGNGFPSMGNAILCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYSAAAVKAGPCGLPVARGGSFGGQVILPLAHTIEHEEFMEVIKLENMGHYREDMIMPGDIFLLQLCSGVDENAVGTCAELTFAPIDASFSDDAPIIPSGFRIIPLDSGMDASSPNRTLDLASTLEVGAAGNRSTGDNSGRNGSTKSVMTIAFQFVYEIHLQENVATMARQYVRSIIASVQRVALALSPSNFGSHAGFRTPPGTPEAQTLGRWICQSYRCYLGVELLEYEGSESILKTLWHHTDAVLCCSLKALPVFTFANQAGLDMLETTLVALQDISLEKIFDDNGRKALFAEFPQVMQQGFMCLQGGICLSSMGRPISYERAVAWKVVNDEENAHCICFMFVNWSFV >KJB54785 pep chromosome:Graimondii2_0_v6:9:3571109:3575507:-1 gene:B456_009G049100 transcript:KJB54785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVTSSCKEGTKIAMDNGKYVRYTPEQVEALERLYHECPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNTTLATTDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGRSGVAARACGLVGLDPTRVAEILKDRPSWYRDCRAVDVINVLSTGNGGTIELLYMQLYAPTTLAPARDFWLLRYTSVMEDGSLVVCERSLNNTQNGPSMPPAANFVRAELLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQQTTMAALRHLRQISQEISQPNVTGWGRRPAALRALSQKLNKGFNEAVNGFADEGWSMLESDGVDDVTLLVNSSPSKMMGINLSYGNGFPSMGNAILCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYSAAAVKAGPCGLPVARGGSFGGQVILPLAHTIEHEEFMEVIKLENMGHYREDMIMPGDIFLLQLCSGVDENAVGTCAELTFAPIDASFSDDAPIIPSGFRIIPLDSGMDASSPNRTLDLASTLEVGAAGNRSTGDNSGRNGSTKSVMTIAFQFVYEIHLQENVATMARQYVRSIIASVQRVALALSPSNFGSHAGFRTPPGTPEAQTLGRWICQSYRCVNQFIFIPISHYCEPNSWLLISIMSF >KJB54786 pep chromosome:Graimondii2_0_v6:9:3570014:3575507:-1 gene:B456_009G049100 transcript:KJB54786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVTSSCKEGTKIAMDNGKYVRYTPEQVEALERLYHECPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNTTLATTDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGRSGVAARACGLVGLDPTRVAEILKDRPSWYRDCRAVDVINVLSTGNGGTIELLYMQLYAPTTLAPARDFWLLRYTSVMEDGSLVVCERSLNNTQNGPSMPPAANFVRAELLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQQTTMAALRHLRQISQEISQPNVTGWGRRPAALRALSQKLNKGFNEAVNGFADEGWSMLESDGVDDVTLLVNSSPSKMMGINLSYGNGFPSMGNAILCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYSAAAVKAGPCGLPVARGGSFGGQVILPLAHTIEHEEFMEVIKLENMGHYREDMIMPGDIFLLQLCSGVDENAVGTCAELTFAPIDASFSDDAPIIPSGFRIIPLDSGMDASSPNRTLDLASTLEVGAAGNRSTGDNSGRNGSTKSVMTIAFQFVYEIHLQENVATMARQYVRSIIASVQRVALALSPSNFGSHAGFRTPPGTPEAQTLGRWICQSYRCYLGVELLEYEGSESILKTLWHHTDAVLCCSLKALPVFTFANQAGLDMLETTLVALQDISLEKIFDDNGRKALFAEFPQVMQQQGFMCLQGGICLSSMGRPISYERAVAWKVVNDEENAHCICFMFVNWSFV >KJB60132 pep chromosome:Graimondii2_0_v6:9:25721258:25722989:1 gene:B456_009G295000 transcript:KJB60132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRERERFDEIGKKIKREADVSANYQMGRRHMLGPPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVPESQRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQSLQAELNAVRAEIIKYKCREANLVPPSSHVAMLSSGAVSVAAPPPATTQPPPPPPPPPLPTTTAVTTCSIYTQPNTSTDYTTLSNDNVSYFG >KJB60133 pep chromosome:Graimondii2_0_v6:9:25721511:25722704:1 gene:B456_009G295000 transcript:KJB60133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPECPEKGKKERFDEIGKKIKREADVSANYQMGRRHMLGPPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVPESQRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQSLQAELNAVRAEIIKYKCREANLVPPSSHVAMLSSGAVSVAAPPPATTQPPPPPPPPPLPTTTAVTTCSIYTQPNTSTDYTTLSNDNVSYFG >KJB61451 pep chromosome:Graimondii2_0_v6:9:47711741:47715106:1 gene:B456_009G362100 transcript:KJB61451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHEEKEQEIPKLKDEHQMTKMELEEYKSKIGVMGNEIQQLKRKLEAQLVEWFALQTNVQGSQVLATSGAVLQSVNELVSSGETGATYFQPSMAGFLQQTCFDQDYNITAPAAAAGFANHNLRFGFDAPNSQGNKAGDWTDSATANYNLPEYGATNNHDNIFNNVVGAGLLPTGSSLDANPEASFMFDAANNHENYGDLLPHGHATGSCSAHARKQFLAHVEKAKTTGWLDSSFHYGNSINGKENGACQ >KJB61446 pep chromosome:Graimondii2_0_v6:9:47711733:47715106:1 gene:B456_009G362100 transcript:KJB61446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHEEKEQEIPKLKDEHQMTKMELEEYKSKIGVMGNEIQQLKRKLEAQGQMLTSLEKLVEWFALQTNVQGSQVLATSGAVLQSVNELVSSGETGATYFQPSMAGFLQQTCFDQDYNITAPAAAAGFANHNLRFGFDAPNSQGNKAGDWTDSATANYNLPEYGATNNHDNIFNNVVGAGLLPTGSSLDANPEASFMFDAANNHENYGDLLPHGHATVSSTC >KJB61447 pep chromosome:Graimondii2_0_v6:9:47711733:47715106:1 gene:B456_009G362100 transcript:KJB61447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHEEKEQEIPKLKDEHQMTKMELEEYKSKIGVMGNEIQQLKRKLEAQGQMLTSLEKLVEWFALQTNVQGSQVLATSGAVLQSVNELVSSGETGATYFQPSMAGFLQQTCFDQDYNITAPAAAAGFANHNLRFGFDAPNSQGNKAGDWTDSATANYNLPEYGATNNHDNIFNNVVGAGLLPTGSSLDANPEASFMFDAANNHENYGDLLPHGHATVSSTC >KJB61442 pep chromosome:Graimondii2_0_v6:9:47711691:47715131:1 gene:B456_009G362100 transcript:KJB61442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHEEKEQEIPKLKDEHQMTKMELEEYKSKIGVMGNEIQQLKRKLEAQGQMLTSLEKLVEWFALQTNVQGSQVLATSGAVLQSVNELVSSGETGATYFQPSMAGFLQQTCFDQDYNITAPAAAAGFANHNLRFGFDAPNSQGDWTDSATANYNLPEYGATNNHDNIFNNVVGAGLLPTGSSLDANPEASFMFDAANNHENYGDLLPHGHATGSCSAHARKQFLAHVEKAKTTGWLDSSFHYGNSINGKENGACQ >KJB61445 pep chromosome:Graimondii2_0_v6:9:47711733:47715106:1 gene:B456_009G362100 transcript:KJB61445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHEEKEQEIPKLKDEHQMTKMELEEYKSKIGVMGNEIQQLKRKLEAQGQMLTSLEKLVEWFALQTNVQGSQVLATSGAVLQSVNELVSSGETGATYFQPSMAGFLQQTCFDQDYNITAPAAAAGFANHNLRFGFDAPNSQGNKAGDWTDSATANYNLPEYGATNNHDNIFNNVVGAGLLPTGSSLDANPEASFMFDAANNHENYGDLLPHGHATGSCSAHARKQFLAHVEKAKTTGWLDSSFHYGNSINGKENGACQ >KJB61444 pep chromosome:Graimondii2_0_v6:9:47711691:47715131:1 gene:B456_009G362100 transcript:KJB61444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHEEKEQEIPKLKDEHQMTKMELEEYKSKIGVMGNEIQQLKRKLEAQLVEWFALQTNVQGSQVLATSGAVLQSVNELVSSGETGATYFQPSMAGFLQQTCFDQDYNITAPAAAAGFANHNLRFGFDAPNSQGDWTDSATANYNLPEYGATNNHDNIFNNVVGAGLLPTGSSLDANPEASFMFDAANNHENYGDLLPHGHATGSCSAHARKQFLAHVEKAKTTGWLDSSFHYGNSINGKENGACQ >KJB61448 pep chromosome:Graimondii2_0_v6:9:47711733:47715106:1 gene:B456_009G362100 transcript:KJB61448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHEEKEQEIPKLKDEHQMTKMELEEYKSKIGVMGNEIQQLKRKLEAQGQMLTSLEKLVEWFALQTNVQGSQVLATSGAVLQSVNELVSSGETGATYFQPSMAGFLQQTCFDQDYNITAPAAAAGFANHNLRFGFDAPNSQGNKAGDWTDSATANYNLPEYGATNNHDNIFNNVVGAGNTSRLLPTGSSLDANPEASFMFDAANNHENYGDLLPHGHATVSSTC >KJB61450 pep chromosome:Graimondii2_0_v6:9:47711733:47715106:1 gene:B456_009G362100 transcript:KJB61450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHEEKEQEIPKLKDEHQMTKMELEEYKSKIGVMGNEIQQLKRKLEAQGQMLTSLEKLVEWFALQTNVQGSQVLATSGAVLQSVNELVSSGETGATYFQPSMAGFLQQTCFDQDYNITAPAAAAGFANHNLRFGFDAPNSQGNKAGDWTDSATANYNLPEYGATNNHDNIFNNVVGAGLLPTGSSLDANPEASFMFDAANNHENYGDLLPHGHATGSCSAHARKQFLAHVEKAKTTGWLDSSFHYGNSINGKENGACQ >KJB61443 pep chromosome:Graimondii2_0_v6:9:47711691:47715131:1 gene:B456_009G362100 transcript:KJB61443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHEEKEQEIPKLKDEHQMTKMELEEYKSKIGVMGNEIQQLKRKLEAQGQMLTSLEKLVEWFALQTNVQGSQVLATSGAVLQSVNELVSSGETGATYFQPSMAGFLQQTCFDQDYNITAPAAAAGFANHNLRFGFDAPNSQGDWTDSATANYNLPEYGATNNHDNIFNNVVGAGLLPTGSSLDANPEASFMFDAANNHENYGDLLPHGHATGSCSAHARKQFLAHVEKAKTTGWLDSSFHYGNSINGKENGACQ >KJB61449 pep chromosome:Graimondii2_0_v6:9:47711733:47715106:1 gene:B456_009G362100 transcript:KJB61449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHEEKEQEIPKLKDEHQMTKMELEEYKSKIGVMGNEIQQLKRKLEAQGQMLTSLEKLVEWFALQTNVQGSQVLATSGAVLQSVNELVSSGETGATYFQPSMAGFLQQTCFDQDYNITAPAAAAGFANHNLRFGFDAPNSQGNKAGDWTDSATANYNLPEYGATNNHDNIFNNVVGAGLLPTGSSLDANPEASFMFDAANNHENYGDLLPHGHATVSSTC >KJB61661 pep chromosome:Graimondii2_0_v6:9:50654235:50663398:-1 gene:B456_009G373700 transcript:KJB61661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCMVKSVGCQLDYVCRFHDNVEKLREKKCDLESARDRLKHEIEDAERQLLLIQKDVQNLQSKAREIVSDMGTLEGEIQLNKRCLNWCPNWIWRYHLSKKAMKKTHDISELLEKFDRLGPVGTMVSESSKAAFKQIIEAFKDENIIMIGLWGMGGVGKTTLAREVGFQAPKLNLFDKVMITTVSQKPNLERIQDQIAQYIGFDMKNEQGRRSEQELWLRLKNKPRILIILDDIWESINLKEEIGIPIGDDHKGCKWLAAYPRLEGRRLTKIEDVNERNAYKCLEASFVYLKYKETKTMEDLVRYAWGLELYKGVDSIKDVRSEVLASIEILKNPGLLLDCGEWHVKMHDVVRQFALWITSSRNEISFGTVDALPMDESFKHYTAKSFETDQMYELLKGVVFPNLKFLLLVGDYFVETSLEISSEFFEGVKAIQVCALEDQLISLDAFKFNMNIRTFCLIDCLKTLHNLSLSRSDITELPTEAGDLDNLRLLDLSHCYELRRIAPNLIRRLSNLEELYLHGESYSSLSELDLLPKLVVISLDISSKHLPDGFVFCILWSFDFCIGIEREMWYQKGKTYPISRSLRINNSIDQLFEDVESLELNEVEGHPNLIPSLDLGFSKLTSLDLDWCDSMQYLIDASKQQVPITVFSNLRKLSLSHMFHLEEMCNVPQLQGFLQKLEEVIVSNCDKMQSYLILDVSLKILNLSELTELRVIWSAPIQVEYFQNLCQLTVQDYRRLRYIFSPTIARNLLQLSRLGIFNCEELEQIIEKHRTPSQHHLHPICFPNLKQITIINCENLKCLFPIPLVHGGLPKLSGLCLRQVSKLEQVFEGDETNLNEEEEKVIRLLVILIVIFYIIERHFFLIYNFNCDFL >KJB57938 pep chromosome:Graimondii2_0_v6:9:14338780:14341532:1 gene:B456_009G186400 transcript:KJB57938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHASLAPSRIRATTRLPSKTTHSFPSQIQCSSTRLQVTEFSGLRSASCVTFVNNARDASFFDVVAAQLTPKTAGGATPVRGETVAKLKVAINGFGRIGRNFLRCWHGRKNSPLDVIVVNDSGGVKNGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYDHEVSNIISNASCTTNCLAPFVKVMDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVEKKGITAEDVNAAFRKAAKGPLKGVLDVCDVPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGMPAGGSSDPLEDFCKTNPADEECKVYEA >KJB57936 pep chromosome:Graimondii2_0_v6:9:14338571:14341590:1 gene:B456_009G186400 transcript:KJB57936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHASLAPSRIRATTRLPSKTTHSFPSQIQCSSTRLQVTEFSGLRSASCVTFVNNARDASFFDVVAAQLTPKTAGGATPVRGETVAKLKVAINGFGRIGRNFLRCWHGRKNSPLDVIVVNDSGGVKNASHLLKYDSMLGTFKADVKIVDNETISVDGKPIKVVSNRDPVQLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYDHEVSNIISNASCTTNCLAPFVKVMDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVEKKGITAEDVNAAFRKAAKGPLKGVLDVCDVPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGMPAGGSSDPLEDFCKTNPADEECKVYEA >KJB57939 pep chromosome:Graimondii2_0_v6:9:14338824:14340509:1 gene:B456_009G186400 transcript:KJB57939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHASLAPSRIRATTRLPSKTTHSFPSQIQCSSTRLQVTEFSGLRSASCVTFVNNARDASFFDVVAAQLTPKTAGGATPVRGETVAKLKVAINGFGRIGRNFLRCWHGRKNSPLDVIVVNDSGGVKNASHLLKYDSMLGTFKADVKIVDNETISVDGKPIKVVSNRDPVQLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYDHEVSNIISNASCTTNCLAPFVKVMDEEFGIVKGTMTTTHSYTGDQVKSYCLINHSTVKSTEEPRSCIFSKFWFYDRLYAERINCFNKEVN >KJB57937 pep chromosome:Graimondii2_0_v6:9:14338780:14341532:1 gene:B456_009G186400 transcript:KJB57937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHASLAPSRIRATTRLPSKTTHSFPSQIQCSSTRLQVTEFSGLRSASCVTFVNNARDASFFDVVAAQLTPKTAGGATPVRGETVAKLKVAINGFGRIGRNFLRCWHGRKNSPLDVIVVNDSGGVKNASHLLKYDSMLGTFKADVKIVDNETISVDGKPIKVVSNRDPVQLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYDHEVSNIISNASCTTNCLAPFVKVMDEEFGIVKGTMTTTHSYTGDQIIC >KJB58951 pep chromosome:Graimondii2_0_v6:9:18290558:18294049:1 gene:B456_009G232000 transcript:KJB58951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDDERQQSPPSDLEFVSNKARNCNATGSGSGHPEFQSTYPDQVLENVLENVLHFLTSRRDRNAASLVCKSWYRVEALTRSELFIGNCYAVSPGRATARFTRVKALHLKGKPRFADFNLMPPDWGAHFNPWALAMAKAYPWLEKVHLKRMSVTDDDLATLAELFLGFKELVLVCCDGFGTSGLAIFVSKCRQLKVLDLIESEVSDDETDWISCFPEGESNLESLIFDCVECHINFEALDRLVARSPSLKKLKLNRYVSIGQLYRLMVRAPQLTHLGTGSFSPSDIAGQGDQEPDYVAAFAACRSLVCLSGFREIIPDYLPAIYPVCANLTSLNFSYANITADQLKPIISKCHKLQTFWVLDSICDEGLQAVAATCKDLRELRVFPVDPREDNDGPVSEVGLQAISEGCRKLQSILYFCQRMTNAAVIAMSKNCPDLVVFRLCIMGRHRPDPVTGEPMDDGFGAIVMNCKKLTRLAVSGLLTDKAFDYIGRHGKLVRTLSVAFAGDSDMALKYVLEGCPQLQKLEIRDSPFGDAALRSGLHHYYNMRFLWMSSCRLTRHGCQEIARAMPHLVVEVIRSADEEEMDDVVGTLYMYRSLEGPRTDAPKFVTIL >KJB60331 pep chromosome:Graimondii2_0_v6:9:26738532:26741078:1 gene:B456_009G300500 transcript:KJB60331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLNQLAGMPNASGSLLQNSDLTKHSLPMELMYSISTLIYFGISSKGNFMRINCLFFCCFRAQQFSYQNNQLPWALGLYNSETGSTMFHEIFIPLRLENVGSSAPNLMQTPLSNHTEQGNSEPRGNARSRLEVGESSPFKRLRRETNEPQAPSAEQIMGNSLSLPTGASASPDIGNLIPPRPIMNSLYDPMFEELGLPIDPHLRLFAKYKK >KJB54410 pep chromosome:Graimondii2_0_v6:9:2459796:2464155:1 gene:B456_009G032900 transcript:KJB54410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLVSKVITMECVGARNFAAMAVPTRAFWKANLMRTKTAIPSHNRLISLKVRASGARSESCVAVKEDFADEEDFIKAGGSEILFVQMQQNKEMDKQSKLADKLPPISTGENVLDLVVIGCGPAGLALAAESAKLGLNVGLIGPDLPFTNNYGVWEDEFKDLGLERCIEHVWRDTIVYLDDDKPIMIGRAYGRVSRYLLHEELLRRCIESGVMYLNSKVETIVEATDGHNLVVCEHNRVVPCWLATVASGAASGKLLQYEVGGPRVSVQTAYGVEVEVENNPYDPSLMVFMDYRDYAKQEVQSLEAQYPTFLYAMPMSSTRVFFEKVALQETCLASKDAMPFDLLKKKLMSRLESMGIRILKVYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPNYASVIANILKKDNSNGLLTSERNNGNISMQAWDTLWPQERKRQRSFFLFGLALILQLDIEGIRTFFHTFFRLPSWMWQGFLGSNLSSADLILFAFYMFVIAPNDMRMSLVRHLISDPTGATMIRTYLTI >KJB54409 pep chromosome:Graimondii2_0_v6:9:2459667:2464155:1 gene:B456_009G032900 transcript:KJB54409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLVSKVITMECVGARNFAAMAVPTRAFWKANLMRTKTAIPSHNRLISLKVRASGARSESCVAVKEDFADEEDFIKAGGSEILFVQMQQNKEMDKQSKLADKLPPISTGENVLDLVVIGCGPAGLALAAESAKLGLNVGLIGPDLPFTNNYGVWEDEFKDLGLERCIEHVWRDTIVYLDDDKPIMIGRAYGRVSRYLLHEELLRRCIESGVMYLNSKVETIVEATDGHNLVVCEHNRVVPCWLATVASGAASGKLLQYEVGGPRVSVQTAYGVEVEVENNPYDPSLMVFMDYRDYAKQEVQSLEAQYPTFLYAMPMSSTRVFFEETCLASKDAMPFDLLKKKLMSRLESMGIRILKVYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPNYASVIANILKKDNSNGLLTSERNNGNISMQAWDTLWPQERKRQRSFFLFGLALILQLDIEGIRTFFHTFFRLPSWMWQGFLGSNLSSADLILFAFYMFVIAPNDMRMSLVRHLISDPTGATMIRTYLTI >KJB54412 pep chromosome:Graimondii2_0_v6:9:2459796:2464155:1 gene:B456_009G032900 transcript:KJB54412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLVSKVITMECVGARNFAAMAVPTRAFWKANLMRTKTAIPSHNRLISLKVRASGARSESCVAVKEDFADEEDFIKAGGSEILFVQMQQNKEMDKQSKLADKLPPISTGENVLDLVVIGCGPAGLALAAESAKLGLNVGLIGPDLPFTNNYGVWEDEFKDLGLERCIEHVWRDTIVYLDDDKPIMIGRAYGRVSRYLLHEELLRRCIESGVMYLNSKVETIVEATDGHNLVVCEHNRVVPCWLATVASGAASGKLLQYEVGGPRVSVQTAYGVEVEVENNPYDPSLMVFMDYRDYAKQEVQSLEAQYPTFLYAMPMSSTRVFFEETCLASKDAMPFDLLKKKLMSRLESMGIRILKVYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATDHCQRLQIMLL >KJB54411 pep chromosome:Graimondii2_0_v6:9:2459796:2464155:1 gene:B456_009G032900 transcript:KJB54411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLVSKVITMECVGARNFAAMAVPTRAFWKANLMRTKTAIPSHNRLISLKVRASGARSESCVAVKEDFADEEDFIKAGGSEILFVQMQQNKEMDKQSKLADKLPPISTGENVLDLVVIGCGPAGLALAAESAKLGLNVGLIGPDLPFTNNYGVWEDEFKDLGLERCIEHVWRDTIVYLDDDKPIMIGRAYGRVSRYLLHEELLRRCIESGVMYLNSKVETIVEATDGHNLVVCEHNRVVPCWLATVASGAASGKLLQYEVGGPRVSVQTAYGVEVEVENNPYDPSLMVFMDYRDYAKQEVQSLEAQYPTFLYAMPMSSTRVFFEETCLASKDAMPFDLLKKKLMSRLESMGIRILKVYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPNYASVIANILKKDNSNGLLTSERNNGNISMQGCGKDFLVLIFPLPISFCLPFICLS >KJB60646 pep chromosome:Graimondii2_0_v6:9:29907093:29910248:-1 gene:B456_009G317000 transcript:KJB60646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGFSEMDALAERGFIPGMSKEERERLARSETLAIRISNIANMVLFAAKVYASMRSGSLAIIATTLDSLLDLLSGFILWFTAFSMSTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVRTMVSNEDEFNLTNEQERWVVGIMLGVTLTKLLLMFYCRTFTNEIVKAYAQDHFFDVITNIIGLVAVLLANYIDDWMDPVGAIILALYTIRTWSMTVLENVNSLVGRSAAPEYLQKLTYLCWNHHKAIKNIDTVRAYTFGSHYFVEVDIVLPANMALQEAHDIGESLQEKLELLPDIERAFVHLDYEFSHKPEHAQAHAL >KJB60647 pep chromosome:Graimondii2_0_v6:9:29907093:29911202:-1 gene:B456_009G317000 transcript:KJB60647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGEMVEMVARETDEELSLLPHQSNVDRSWRLNFDGFQLSPEHKDKKPPRSLHDCLGVLGPEDNVAEYYQQQVEMLEGFSEMDALAERGFIPGMSKEERERLARSETLAIRISNIANMVLFAAKVYASMRSGSLAIIATTLDSLLDLLSGFILWFTAFSMSTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVRTMVSNEDEFNLTNEQERWVVGIMLGVTLTKLLLMFYCRTFTNEIVKAYAQDHFFDVITNIIGLVAVLLANYIDDWMDPVGAIILALYTIRTWSMTVLENVNSLVGRSAAPEYLQKLTYLCWNHHKAIKNIDTVRAYTFGSHYFVEVDIVLPANMALQEAHDIGESLQEKLELLPDIERAFVHLDYEFSHKPEHAQAHAL >KJB60648 pep chromosome:Graimondii2_0_v6:9:29907166:29911199:-1 gene:B456_009G317000 transcript:KJB60648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGEMVEMVARETDEELSLLPHQSNVDRSWRLNFDGFQLSPEHKDKKPPRSLHDCLGVLGPEDNVAEYYQQQVEMLEGFSEMDALAERGFIPGMSKEERERLARSETLAIRISNIANMVLFAAKVYASMRSGSLAIIATTLDSLLDLLSGFILWFTAFSMSTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVRTMVSNEDEFNLTNEQERWVVGIMLGVTLTKLLLMFYCRTFTNEIVKAYAQDHFFDVITNIIGLVAVLLANYIDDWMDPVGAIIYPCPVYI >KJB62682 pep chromosome:Graimondii2_0_v6:9:67505527:67506422:1 gene:B456_009G429900 transcript:KJB62682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISPMQLHGSRVLIVKFESCMKLTILIVLSSMVIHSFQEKEPSLYKSPSLSSSPLLHQPKPSPISVLFSSLLMEVVVDFEDYFPSMVESMGAEGFIMELCNGFRLLMDVERGLITFESLKRNSVVLGLNDLRDDEIVGMLSEGDLDGDGALNQVEFCILMFRLSPGLMYNNASKHWVDEYI >KJB61040 pep chromosome:Graimondii2_0_v6:9:36517512:36524825:-1 gene:B456_009G336900 transcript:KJB61040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIYGFYSMGDQYVDNALMSPENMIFPSDYQPRLCSSDRVPAFGSDELISVASAISEAAYVTPQIQGEVDISNVIKAKIASHPYYPRLLEAYIDCQKVGAPPEIARILDEILMETDVNKRDILPTCLGADPELDEFMETYCDMLVKYKSDISRPFDEATTFLNKIEMQLRNLCTGASITGLSDEGGESSEGDVSVGEDDVEELQPRSKDIGLKDLLLHRFGNHITTLKLEFSKKKKKGKLPKEARQILLEWWNVHSKWPYPTEGDKMALAETTGLDPKQINNWFINQRKRHWKPSETFQMDELSGRFFIDQE >KJB58694 pep chromosome:Graimondii2_0_v6:9:17228891:17233224:1 gene:B456_009G221700 transcript:KJB58694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAHTSYSVTVAICSQQVLRNRQVGQDTPLSSIYKIVKTVYASPSRVNFHLDSKKEVETTPAYPDICFAIDDFDSTFDAVVLTDTDLCYCVLLNALDGAGFPSETDTNDSCSSNKLPLGVDTNSMRTKNCKLTLFSGFVSYQMVRDAYDDGHSRFGSLLSVGHSSGKTDRLYMKGPGGRGEVEVAVSGVTDQSKQYSGPFSPIKSKRGLRLGSMFRKAASVVSLAAKHAYAAAAATSTSDEEMIPLKCCLMSITLPWEHIAHDLLFKRSPPVNL >KJB58689 pep chromosome:Graimondii2_0_v6:9:17228477:17232898:1 gene:B456_009G221700 transcript:KJB58689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKHSNLLGKTVVEEEDASDVEMDGQFWHDVFDLYFVRGKESKRRQDDDLLFFVRKWREHGFNDKNGVAPYFVRRWALELDKLVGESFSEVDWRRSFYLNMIAHTSYSVTVAICSQQVLRNRQVGQDTPLSSIYKIVKTVYASPSRVNFHLDSKKEVETTPAYPDICFAIDDFDSTFDAVVLTDTDLCYCVLLNALDGAGFPSETDTNDSCSSNKLPLGVDTNSMRTKNCKLTLFSGFVSYQMVRDAYDDGHSRFGSLLSVGHSSGKTDRLYMKGPGGRGEVEVAVSGVTDQSKQYSGPFSPIKSKRGLRLGSMFRKAASVVSLAAKHAYAAAAATSTSDEEMIPLKCCLMSITLPWEHIAHDLLFKRSPPVNL >KJB58690 pep chromosome:Graimondii2_0_v6:9:17228114:17233224:1 gene:B456_009G221700 transcript:KJB58690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVGEETPSRFDLLSMVKKHSNLLGKTVVEEEDASDVEMDGQFWHDVFDLYFVRGKESKRRQDDDLLFFVRKWREHGFNDKNGVAPYFVRRWALELDKLVGESFSEVDWRRSFYLNMIAHTSYSVTVAICSQQVLRNRQVGQDTPLSSIYKIVKTVYASPSREVETTPAYPDICFAIDDFDSTFDAVVLTDTDLCYCVLLNALDGAGFPSETDTNDSCSSNKLPLGVDTNSMRTKNCKLTLFSGFVSYQMVRDAYDDGHSRFGSLLSVGHSSGKTDRLYMKGPGGRGEVEVAVSGVTDQSKQYSGPFSPIKSKRGLRLGSMFRKAASVVSLAAKHAYAAAAATSTSDEEMIPLKCCLMSITLPWEHIAHDLLFKRSPPVNL >KJB58691 pep chromosome:Graimondii2_0_v6:9:17229025:17232898:1 gene:B456_009G221700 transcript:KJB58691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAHTSYSVTVAICSQQVLRNRQVGQDTPLSSIYKIVKTVYASPSRVNFHLDSKKEVETTPAYPDICFAIDDFDSTFDAVVLTDTDLCYCVLLNALDGAGFPSETDTNDSCSSNKLPLGVDTNSMRTKNCKLTLFSGFVSYQMVRDAYDDGHSRFGSLLSVGHSSGKTDRLYMKGPGGRGEVEVAVSGVTDQSKQYSGPFSPIKSKRGLRLGSMFRKAASVVSLAAKHAYAAAAATSTSDEEMIPLKCCLMSITLPWEHIAHDLLFKRSPPVNL >KJB58688 pep chromosome:Graimondii2_0_v6:9:17228107:17233247:1 gene:B456_009G221700 transcript:KJB58688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVGEETPSRFDLLSMVKKHSNLLGKTVVEEEDASDVEMDGQFWHDVFDLYFVRGKESKRRQDDDLLFFVRKWREHGFNDKNGVAPYFVRRWALELDKLVGESFSEVDWRRSFYLNMIAHTSYSVTVAICSQQVLRNRQVGQDTPLSSIYKIVKTVYASPSRVNFHLDSKKEVETTPAYPDICFAIDDFDSTFDAVVLTDTDLCYCVLLNALDGAGFPSETDTNDSCSSNKLPLGVDTNSMRTKNCKLTLFSGFVSYQMVRDAYDDGHSRFGSLLSVGHSSGKTDRLYMKGPGGRGEVEVAVSGVTDQSKQYSGPFSPIKSKRGLRLGSMFRKAASVVSLAAKHAYAAAAATSTSDEEMIPLKCCLMSITLPWEHIAHDLLFKRSPPVNL >KJB58687 pep chromosome:Graimondii2_0_v6:9:17228056:17233258:1 gene:B456_009G221700 transcript:KJB58687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVGEETPSRFDLLSMVKKHSNLLGKTVVEEEDASDVEMDGQFWHDVFDLYFVRGKESKRRQDDDLLFFVRKWREHGFNDKNGVAPYFVRRWALELDKLVGESFSEVDWRRSFYLNMIAHTSYSVTVAICSQQVLRNRQVGQDTPLSSIYKIVKTVYASPSRVNFHLDSKKEVETTPAYPDICFAIDDFDSTFDAVVLTDTDLCYCVLLNALDGAGFPSETDTNDSCSSNKLPLGVDTNSMRTKNCKLTLFSGFVSYQMVRDAYDDGHSRFGSLLSVGHSSGKTDRLYMKGPGGRGEVEVAVSGVTAKHAYAAAAATSTSDEEMIPLKCCLMSITLPWEHIAHDLLFKRSPPVNL >KJB58693 pep chromosome:Graimondii2_0_v6:9:17228139:17233224:1 gene:B456_009G221700 transcript:KJB58693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVGEETPSRFDLLSMVKKHSNLLGKTVVEEEDASDVEMDGQFWHDVFDLYFVRGKESKRRQDDDLLFFVRKWREHGFNDKNGVAPYFVRRWALELDKLVGESFSEVDWRRSFYLNMIAHTSYSVTVAICSQQVLRNRQVGQDTPLSSIYKIVKTVYASPSRVNFHLDSKKEVETTPAYPDICFAIDDFDSTFDAVLTLFSGFVSYQMVRDAYDDGHSRFGSLLSVGHSSGKTDRLYMKGPGGRGEVEVAVSGVTAKHAYAAAAATSTSDEEMIPLKCCLMSITLPWEHIAHDLLFKRSPPVNL >KJB58692 pep chromosome:Graimondii2_0_v6:9:17228139:17233224:1 gene:B456_009G221700 transcript:KJB58692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVGEETPSRFDLLSMVKKHSNLLGKTVVEEEDASDVEMDGQFWHDVFDLYFVRGKESKRRQDDDLLFFVRKWREHGFNDKNGVAPYFVRRWALELDKLVGESFSEVDWRRSFYLNMIAHTSYSVTVAICSQQVLRNRQVGQDTPLSSIYKIVKTVYASPSRVNFHLDSKKEVETTPAYPDICFAIDDFDSTFDAVVLTDTDLCYCVLLNALDGAGFPSETDTNDSCSSNKLPLGVDTNSMRTKNSIKWFGMHMMMGIPDLEVFCQLVIPLGKQTDFT >KJB58648 pep chromosome:Graimondii2_0_v6:9:17069029:17072473:-1 gene:B456_009G219900 transcript:KJB58648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKDIGDREMGKIRPNSFSSRIKSNRISNLQLSNNKDIVSSHRGSINSLQVDLTEGRYLLSGASDASAAVFDIQRATNYEGDGLIAKHKCLFSVDKQHQKGHKYAISSAIWYPVDTGLFVTGSYDHHIKVWDTNTTQVVMNFKMPGKVYRTAMSTLATSHMLIAAGTEDVQVRLCDISSGAFAHTLSGHRDGVMTVEWSTSSEWVLITGGCDGAIRFWDIRRAGCFLVLDQSQSQLGQRPPVLARSAANKVSVSKSLSAGQNLLVKSRVPQKKYANGHGMKQSTIGRLPSKGYARQRLHPGMLSIQDRATAHYGAVTGLKVTDDGMYLLSAGSDSRIKLWDLESGYNTLVNFGKVRLQASKAIQLAVSQDSAQVFVPCMAVVKAFDVWSGKMSLTFRGHYESVNCCWFSSQDQELYTGGNDRQILVWSPSKPITDDTVIHLS >KJB58647 pep chromosome:Graimondii2_0_v6:9:17068698:17072626:-1 gene:B456_009G219900 transcript:KJB58647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKDIGDREMGKIRPNSFSSRIKSNRISNLQLSNNKDIVSSHRGSINSLQVDLTEGRYLLSGASDASAAVFDIQRATNYEGDGLIAKHKCLFSVDKQHQKGHKYAISSAIWYPVDTGLFVTGSYDHHIKVWDTNTTQVVMNFKMPGKVYRTAMSTLATSHMLIAAGTEDVQVRLCDISSGAFAHTLSGHRDGVMTVEWSTSSEWVLITGGCDGAIRFWDIRRAGCFLVLDQSQSQLGQRPPVLARSAANKVSVSKSLSAGQNLLVKSRVPQKKYANGHGMKQSTIGRLPSKGYARQRLHPGMLSIQDRATAHYGAVTGLKVTDDGMYLLSAGSDSRIKLWDLESGYNTLVNFGKVRLQASKAIQLAVSQDSAQVFVPCMAVVKAFDVWSGKMSLTFRGHYESVNCCWFSSQDQELYTGGNDRQILVWSPSKPITDDTNERQAKDEDSWSD >KJB58649 pep chromosome:Graimondii2_0_v6:9:17068689:17072652:-1 gene:B456_009G219900 transcript:KJB58649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKDIGDREMGKIRPNSFSSRIKSNRISNLQLSNNKDIVSSHRGSINSLQVDLTEGRYLLSGASDASAAVFDIQRATNYEGDGLIAKHKCLFSVDKQHQKGHKYAISSAIWYPVDTGLFVTGSYDHHIKVWDTNTTQVVMNFKMPGKVYRTAMSTLATSHMLIAAGTEDVQVRLCDISSGAFAHTLSGHRDGVMTVEWSTSSEWVLITGGCDGAIRFWDIRRAGCFLVLDQSQSQLGQRPPVLARSAANKVSVSKSLSAGQNLLVKSRVPQKKYANGHGMKQSTIGRLPSKGYARQRLHPGMLSIQDRATAHYGAVTGLKVTDDGMYLLSAGSDSRIKLWDLESGYNTLVNFGKVRLQASKAIQLAVSQDSAQVFVPCMAVVKAFDVWSGKMSLTFRGHYESVNCCWFSSQDQVAMIDKFLSGLQANRLRMTRMKGRLKMRIVGVIRIWCYHL >KJB59645 pep chromosome:Graimondii2_0_v6:9:21985645:21990445:1 gene:B456_009G265300 transcript:KJB59645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPFNNSTMIKHWFLLFTIMASALFPPCSAINVETRALLDVKSKLRDPLNVLGSWKESDSACKFYGVTCDPVSGNVTEISLAGKSLSGEISLSISMLNSLETLYLPLNSISGELPDQINHCSNLRVLNISWNKMVGIIPDLSGLKSLEILALAFNSFSGRFPSWIGNLTGLVFLVLGGNDFDESEIPESIGNLKNLNTLYLRNSNLVGQIPESVFELKALQILDLSWNNISGNIPNSISKLKNLNQIELFFNNLTGELPPGIADLPLLKEFDISSNRLNGTLPKEIGKMNLTVFQCYNNSFYGEIPAGFGDMQHLIGFSVYKNRFSGQFPANFGRFSPLISIDISENQFSGGFPRFLCERGKLEILLALDNNFSGEFPDSYVECKSLVRLRVNKNHLSGNVPDGFWALPHANMIDFSDNDFTGGVSASIGSSIGLNQLVLHNNKFSSSLPSELGKLTNLEKLLLNNNNFSGNLPAEIGALKQLFSLGLEQNSLTGSIPAELGNCVRLVDLNLADNYLSGIIPPTVSFMSSLNSLNLSGNKLTGPIPKNLENLKLSSIDLSENQLSGNVPHELLTIGGVKAFLGNRGLCIDKMFRNNMNDTVLNVCREEQGRKMVFGDKSVFFIVIVVALIMVLAGLLLVSYKNFKHNREDMENSLGGNKGVNPKWELACFHNMDIDADEICNLEERNLIGSGSTGRVYRLDLRKKGVVVAVKRLWDGEGLKVLAAEMEILGKIRHRNILKLYACLKKGRSSYLVFEYMAKGNVFQALHRVKKDGKPKLDWHRRHKIALGAAKGIAYLHHDCSPPIIHRDIKSCNILLDKDYEPKIADFGVAKVAEKSLKGSEWNCFAGTHGYIAPELAYTLKVTEKSDVYSFGVVLLELVTRRAAIEEEYGEGKDLVYWVLSHLNHHENVLKVLDGKVATGTATVRDDMMKVLKIGILCTAELPNLRPTMREVVRMLVNAEPARSTSPDSQSDKNW >KJB57595 pep chromosome:Graimondii2_0_v6:9:13268408:13273566:1 gene:B456_009G171700 transcript:KJB57595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRSDLELRPQWRKSLRSAVKVKTNKNLLAMPVGIKQKEHVDDVVKKFRTENFTIVLFHYDGKVDGWWDLDWCDKAIHIVAHNQTKWWFAKRFLHPDIVSTYDYIFLWDEDLGVEHFNPGRYLEIVKSEGLEISQPALDPNSTEIHHRITIRSRMKKFHRRVYELRGKTRCSNISEGPPCSGFVEGMAPVFSRAAWRCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKNVGVIDREYIVHKGIQTLGGTGELTKKTKKKRGTSSGSSGLDPRAEIRRQSTWELKIFKERWNQAVIQDKDWIDPFPRQQRRRKHKRQSKFVDLYD >KJB57592 pep chromosome:Graimondii2_0_v6:9:13268086:13272980:1 gene:B456_009G171700 transcript:KJB57592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFTDKRRNASSDGGNSGFKMKQLPFMGVICSVTLYIVYRTTNYQYYETQMETKLHPFDSVEDNELPSGKLKDLPRGIMHTRSDLELRPQWRKSLRSAVKVKTNKNLLAMPVGIKQKEHVDDVVKKFRTENFTIVLFHYDGKVDGWWDLDWCDKAIHIVAHNQTKWWFAKRFLHPDIVSTYDYIFLWDEDLGVEHFNPGRYLEIVKSEGLEISQPALDPNSTEIHHRITIRSRMKKFHRRVYELRGKTRCSNISEGPPCSGFVEGMAPVFSRAAWRCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKNVGVIDREYIVHKGIQTLGGTGELTKKTKKKRGTSSGSSGLDPRAEVIHDS >KJB57584 pep chromosome:Graimondii2_0_v6:9:13267538:13273566:1 gene:B456_009G171700 transcript:KJB57584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFTDKRRNASSDGGNSGFKMKQLPFMGVICSVTLYIVYRTTNYQYYETQMETKLHPFDSVEDNELPSGKLKDLPRGIMHTRSDLELRPQWRKSLRSAVKVKTNKNLLAMPVGIKQKEHVDDVVKKFRTENFTIVLFHYDGKVDGWWDLDWCDKAIHIVAHNQTKWWFAKRFLHPDIVSTYDYIFLWDEDLGVEHFNPGRYLEIVKSEGLEISQPALDPNSTEIHHRITIRSRMKKFHRRVYELRGKTRCSNISEGPPCSGFVEGMAPVFSRAAWRCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKNVGVIDREYIVHKGIQTLGGTGELTKKTKKKRGTSSGSSGLDPRAEIRRQSTWELKIFKERWNQAVIQDKDWIDPFPRQQRRRKHKRQSKFVDLYD >KJB57594 pep chromosome:Graimondii2_0_v6:9:13267461:13273547:1 gene:B456_009G171700 transcript:KJB57594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFTDKRRNASSDGGNSGFKMKQLPFMGVICSVTLYIVYRTTNYQYYETQMETKLHPFDSVEDNELPSGKLKDLPRGIMHTRSDLELRPQWRKSLRSAVKVKTNKNLLAMPVGIKQKEHVDDVVKKFRTENFTIVLFHYDGKVDGWWDLDWCDKAIHIVAHNQTKWWFAKRFLHPDIVSTYDYIFLWDEDLGVEHFNPGRYLEIVKSEGLEISQPALDPNSTEIHHRITIRSRMKKFHRRVYELRGKTRCSNISEGPPCSGFVEGMAPVFSRAAWRCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKNVGVIDREYIVHKGIQTLGGTGELTKKTKKKRGTSSGSSGLDPRAEIRRQSTWELKIFKERWNQAVIQDKDWIDPFPRQQRRRKHKRQSKFVDLYD >KJB57587 pep chromosome:Graimondii2_0_v6:9:13267461:13273566:1 gene:B456_009G171700 transcript:KJB57587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFTDKRRNASSDGGNSGFKMKQLPFMGVICSVTLYIVYRTTNYQYYETQMETKLHPFDSVEDNELPSGKLKDLPRGIMHTRSDLELRPQWRKSLRSAVKVKTNKNLLAMPVGIKQKEHVDDVVKKFRTENFTIVLFHYDGKVDGWWDLDWCDKAIHIVAHNQTKWWFAKRFLHPDIVSTYDYIFLWDEDLGVEHFNPGRYLEIVKSEGLEISQPALDPNSTEIHHRITIRSRMKKFHRRVYELRGKTRCSNISEGPPCSGFVEGMAPVFSRAAWRCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKNVGVIDREYIVHKGIQTLGGTGELTKKTKKKRGTSSGSSGLDPRAEIRRQSTWELKIFKERWNQAVIQDKDWIDPFPRQQRRRKHKRQSKFVDLYD >KJB57593 pep chromosome:Graimondii2_0_v6:9:13267541:13273547:1 gene:B456_009G171700 transcript:KJB57593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFTDKRRNASSDGGNSGFKMKQLPFMGVICSVTLYIVYRTTNYQYYETQMETKLHPFDSVEDNELPSGKLKDLPRGIMHTRSDLELRPQWRKSLRSAVKVKTNKNLLAMPVGIKQKEHVDDVVKKFRTENFTIVLFHYDGKVDGWWDLDWCDKAIHIVAHNQTKWWFAKRFLHPDIVSTYDYIFLWDEDLGVEHFNPGRYLEIVKSEGLEISQPALDPNSTEIHHRITIRSRMKKFHRRVYELRGKTRCSNISEGPPCSGFVEGMAPVFSRAAWRCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKNVGVIDREYIVHKGIQTLGGTGELTKKTKKKRGTSSGSSGLDPRAEIRRQSTWELKIFKERWNQAVIQDKDWIDPFPRQQRRRKHKRQSKFVDLYD >KJB57586 pep chromosome:Graimondii2_0_v6:9:13267661:13273547:1 gene:B456_009G171700 transcript:KJB57586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFTDKRRNASSDGGNSGFKMKQLPFMGVICSVTLYIVYRTTNYQYYETQMETKLHPFDSVEDNELPSGKLKDLPRGIMHTRSDLELRPQWRKSLRSAVKVKTNKNLLAMPVGIKQKEHVDDVVKKFRTENFTIVLFHYDGKVDGWWDLDWCDKAIHIVAHNQTKWWFAKRFLHPDIVSTYDYIFLWDEDLGVEHFNPGRYLEIVKSEGLEISQPALDPNSTEIHHRITIRSRMKKFHRRVYELRGKTRCSNISEGPPCSGFVEGMAPVFSRAAWRCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKNVGVIDREYIVHKGIQTLGGTGELTKKTKKIRRQSTWELKIFKERWNQAVIQDKDWIDPFPRQQRRRKHKRQSKFVDLYD >KJB57590 pep chromosome:Graimondii2_0_v6:9:13267985:13273547:1 gene:B456_009G171700 transcript:KJB57590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKLHPFDSVEDNELPSGKLKDLPRGIMHTRSDLELRPQWRKSLRSAVKVKTNKNLLAMPVGIKQKEHVDDVVKKFRTENFTIVLFHYDGKVDGWWDLDWCDKAIHIVAHNQTKWWFAKRFLHPDIVSTYDYIFLWDEDLGVEHFNPGRYLEIVKSEGLEISQPALDPNSTEIHHRITIRSRMKKFHRRVYELRGKTRCSNISEGPPCSGFVEGMAPVFSRAAWRCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKNVGVIDREYIVHKGIQTLGGTGELTKKTKKIRRQSTWELKIFKERWNQAVIQDKDWIDPFPRQQRRRKHKRQSKFVDLYD >KJB57589 pep chromosome:Graimondii2_0_v6:9:13267537:13273566:1 gene:B456_009G171700 transcript:KJB57589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFTDKRRNASSDGGNSGFKMKQLPFMGVICSVTLYIVYRTTNYQYYETQMETKLHPFDSVEDNELPSGKLKDLPRGIMHTRSDLELRPQWRKSLRSAVKVKTNKNLLAMPVGIKQKEHVDDVVKKFRTENFTIVLFHYDGKVDGWWDLDWCDKAIHIVAHNQTKWWFAKRFLHPDIVSTYDYIFLWDEDLGVEHFNPGRYLEIVKSEGLEISQPALDPNSTEIHHRITIRSRMKKFHRRVYELRGKTRCSNISEGPPCSGFVEGMAPVFSRAAWRCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKNVGVIDREYIVHKGIQTLGGTGELTKKTKKIRRQSTWELKIFKERWNQAVIQDKDWIDPFPRQQRRRKHKRQSKFVDLYD >KJB57585 pep chromosome:Graimondii2_0_v6:9:13268300:13273547:1 gene:B456_009G171700 transcript:KJB57585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFTDKRRNASSDGGNSGFKMKQLPFMGVICSVTLYIVYRTTNYQYYETQMETKLHPFDSVEDNELPSGKLKDLPRGIMHTRSDLELRPQWRKSLRSAVKVKTNKNLLAMPVGIKQKEHVDDVVKKFRTENFTIVLFHYDGKVDGWWDLDWCDKAIHIVAHNQTKWWFAKRFLHPDIVSTYDYIFLWDEDLGVEHFNPGRYLEIVKSEGLEISQPALDPNSTEIHHRITIRSRMKKFHRRVYELRGKTRCSNISEGPPCSGFVEGMAPVFSRAAWRCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKNVGVIDREYIVHKGIQTLGGTGELTKKTKKKRGTSSGSSGLDPRAEIRRQSTWELKIFKERWNQAVIQDKDWIDPFPRQQRRRKHKRQSKFVDLYD >KJB57591 pep chromosome:Graimondii2_0_v6:9:13267985:13273547:1 gene:B456_009G171700 transcript:KJB57591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKLHPFDSVEDNELPSGKLKDLPRGIMHTRSDLELRPQWRKSLRSAVKVKTNKNLLAMPVGIKQKEHVDDVVKKFRTENFTIVLFHYDGKVDGWWDLDWCDKAIHIVAHNQTKWWFAKRFLHPDIVSTYDYIFLWDEDLGVEHFNPGRYLEIVKSEGLEISQPALDPNSTEIHHRITIRSRMKKFHRRVYELRGKTRCSNISEGPPCSGFVEGMAPVFSRAAWRCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKNVGVIDREYIVHKGIQTLGGTGELTKKTKKKRGTSSGSSGLDPRAEIRRQSTWELKIFKERWNQAVIQDKDWIDPFPRQQRRRKHKRQSKFVDLYD >KJB57588 pep chromosome:Graimondii2_0_v6:9:13269263:13273316:1 gene:B456_009G171700 transcript:KJB57588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQGNSGFKMKQLPFMGVICSVTLYIVYRTTNYQYYETQMETKLHPFDSVEDNELPSGKLKDLPRGIMHTRSDLELRPQWRKSLRSAVKVKTNKNLLAMPVGIKQKEHVDDVVKKFRTENFTIVLFHYDGKVDGWWDLDWCDKAIHIVAHNQTKWWFAKRFLHPDIVSTYDYIFLWDEDLGVEHFNPGRYLEIVKSEGLEISQPALDPNSTEIHHRITIRSRMKKFHRRVYELRGKTRCSNISEGPPCSGFVEGMAPVFSRAAWRCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKNVGVIDREYIVHKGIQTLGGTGELTKKTKKKRGTSSGSSGLDPRAEIRRQSTWELKIFKERWNQAVIQDKDWIDPFPRQQRRRKHKRQSKFVDLYD >KJB61079 pep chromosome:Graimondii2_0_v6:9:37902276:37903645:-1 gene:B456_009G339000 transcript:KJB61079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNERETHDFMNVESFSQLPFIRRAPIKERGIFRVFGKEFSGGHSGSAIARNESDSAGNNEDTTKENENGENSRRFECHYCCRNFPTSQALGGHQNAHKRDRQHAKRAHLQLASAPTQATASYPSWNNSTSSRFYGNQSSFPQPPINGSPLGLWRIPATVQRNSSNFYPDLSSSSSQPSPFFAGEELKPSQVVGGGGSSSQSRYVYKSNPRVQDHVGADGDRKRKINRFKVWVFVLVQQ >KJB53789 pep chromosome:Graimondii2_0_v6:9:1199988:1201984:1 gene:B456_009G014900 transcript:KJB53789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSTFADEEGITKACGCPLLPLKSRKKGPGPAPVSEQGKTDIVDEAITFFRCNVFFRNFDIKGPADKLLIYLTFYINLSLKKLEGCRTLAEGSKAILNLGVENVTIPGESGFPFTGLFSLPQSQQEAELLRDYLKQIREETSGRLLGVAYRPNGTPNKWWLAFAKRKFMNIALLDK >KJB56219 pep chromosome:Graimondii2_0_v6:9:8080070:8081367:-1 gene:B456_009G110700 transcript:KJB56219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKSGNKKGGDGDKKEKGSLTVVFKVDCLCEGCASKILKCVREFEGVETVKTESNSSKVTVTGAVDPTAIKENIVKKSKKKVDVIAPQPKKDDNKEDKKEKKPEKDKNQPSDNSKQEKKPKEAPLTTADLKVQLKCQCQGCILKIRKIISETKGVQELKVDTQKELVTVKGTMDVKALAEVLKDKLKKNVEIVLPKKEKDGNKEEEQRWQCWWRWWK >KJB56218 pep chromosome:Graimondii2_0_v6:9:8079159:8081494:-1 gene:B456_009G110700 transcript:KJB56218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKSGNKKGGDGDKKEKGSLTVVFKVDCLCEGCASKILKCVREFEGVETVKTESNSSKVTVTGAVDPTAIKENIVKKSKKKVDVIAPQPKKDDNKEDKKEKKPEKDKNQPSDNSKQEKKPKEAPLTTADLKVQLKCQCQGCILKIRKIISETKGVQELKVDTQKELVTVKGTMDVKALAEVLKDKLKKNVEIVLPKKEKDGNKEGGDNGAGSGTGGGGGGGVGGGGKKKNKGGNAGGDGGNEANAVPSGGGGAKVEGNRMEFLVQPEYGYGYMPSYPGYMPSYPGYGYPGYGYGYGHPHPHGHGHCYPAYVPNYPVFVHPPHQMFNDENPNACAIL >KJB56220 pep chromosome:Graimondii2_0_v6:9:8079303:8081494:-1 gene:B456_009G110700 transcript:KJB56220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKSGNKKGGDGDKKEKGSLTVVFKVDCLCEGCASKILKCVREFEGVETVKTESNSSKVTVTGAVDPTAIKENIVKKSKKKVDVIAPQPKKDDNKEDKKEKKPEKDKNQPSDNSKQEKKPKEAPLTTADLKVQLKCQCQGCILKIRKIISETKGVQELKVDTQKELVTVKGTMDVKALAEVLKDKLKKNVEIVLPKKEKDGNKEGGNAGGDGGNEANAVPSGGGGAKVEGNRMEFLVQPEYGYGYMPSYPGYMPSYPGYGYPGYGYGYGHPHPHGHGHCYPAYVPNYPVFVHPPHQMFNDENPNACAIL >KJB56221 pep chromosome:Graimondii2_0_v6:9:8080070:8081367:-1 gene:B456_009G110700 transcript:KJB56221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKSGNKKGGDGDKKEKGSLTVVFKVDCLCEGCASKILKCVREFEGVETVKTESNSSKVTVTGAVDPTAIKENIVKKSKKKVDVIAPQPKKDDNKEDKKEKKPEKDKNQPSDNSKQEKKPKEAPLTTADLKVQLKCQCQGCILKIRKIISETKGVQELKVDTQKELVTVKGTMDVKALAEVLKDKLKKNVEIVLPKKEKDGNKEEEEQRWQCWWRWWK >KJB55442 pep chromosome:Graimondii2_0_v6:9:5844076:5844335:1 gene:B456_009G0810002 transcript:KJB55442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVSGGETKQSSLVFAVNGKRFELFESDIHPSTTLLEFLRSHTHFKSVKLGCGE >KJB58815 pep chromosome:Graimondii2_0_v6:9:17849692:17852941:1 gene:B456_009G227600 transcript:KJB58815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASDETTRICNHCDRAIPSSNIDLHYAHCSRNLEKCKVCGDMVPKKHAEEHFLNTHAPVTCSLCSETMEREILPIHKGENCPQRIVTCEFCEFPLPAIDLAEHQEVCGNRTELCHLCNRYVRLRERYNHESRCNGIPEDHVGSSRNVRPVEREQGARRRQPPEYSRKRLLFTIAIAGIAVLLGSLFFQKTMETGPVV >KJB58814 pep chromosome:Graimondii2_0_v6:9:17849478:17853254:1 gene:B456_009G227600 transcript:KJB58814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASDETTRICNHCDRAIPSSNIDLHYAHCSRNLEKCKVCGDMVPKKHAEEHFLNTHAPVTCSLCSETMEREILPIHKGENCPQRIVTCEFCEFPLPAIDLAEHQEVCGNRTELCHLCNRYVRLRERYNHESRCNGIPEDHVGSSRNVRPVEREQGARRRQPPEYSRKRLLFTIAIAGIAVLLGSLFFQKTMETGPVV >KJB58816 pep chromosome:Graimondii2_0_v6:9:17849466:17853216:1 gene:B456_009G227600 transcript:KJB58816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKIKKEIGEFPTKIKGLFFAGFSFCRPEIFERIAMAVASDETTRICNHWAIPSSNIDLHYAHCSRNLEKCKVCGDMVPKKHAEEHFLNTHAPVTCSLCSETMEREILPIHKGENCPQRIVTCEFCEFPLPAIDLAEHQEVCGNRTELCHLCNRYVRLRERYNHESRCNGIPEDHVGSSRNVRPVEREQGARRRQPPEYSRKRLLFTIAIAGIAVLLGSLFFQKTMETGPVV >KJB58817 pep chromosome:Graimondii2_0_v6:9:17849509:17853211:1 gene:B456_009G227600 transcript:KJB58817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKKHAEEHFLNTHAPVTCSLCSETMEREILPIHKGENCPQRIVTCEFCEFPLPAIDLAEHQEVCGNRTELCHLCNRYVRLRERYNHESRCNGIPEDHVGSSRNVRPVEREQGARRRQPPEYSRKRLLFTIAIAGIAVLLGSLFFQKTMETGPVV >KJB60887 pep chromosome:Graimondii2_0_v6:9:33832427:33836207:-1 gene:B456_009G329800 transcript:KJB60887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIAGEAAEVTGNARSESPVRLTSTTSGAPPPLAVSASFKESGGKGSSRRRTVRPSFDADNDFITLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRMSERLREKAVEELTDDLSKMAEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALIDAERTVEFALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLMQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVSANRVATVVANEWKDANDKVMPVKQWLEERRCLQGEMQQLRDKLAITERTAKSEAQLKEKYLLRLRVLEDSLRGSSNSVSRSTSDGRSVSNGRSRRQSLGGADNFSKLTPSGVLSKRSPSFQLRSSFSSSTVLKHAKGTSKSFDGGTRSLDVTKVLLNGTDSNSSLNQPSEGKAEAPSDEKPNNFLAVDAEDNVPGVLYDLLQKEVIALRKAGHEKDLSLKDKDEAIEVDACVLSI >KJB60884 pep chromosome:Graimondii2_0_v6:9:33830320:33836517:-1 gene:B456_009G329800 transcript:KJB60884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIAGEAAEVTGNARSESPVRLTSTTSGAPPPLAVSASFKESGGKGSSRRRTVRPSFDADNDFITLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRMSERLREKAVEELTDDLSKMAEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALIDAERTVEFALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLMQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVSANRVATVVANEWKDANDKVMPVKQWLEERRCLQGEMQQLRDKLAITERTAKSEAQLKEKYLLRLRVLEDSLRGSSNSVSRSTSDGRSVSNGRSRRQSLGGADNFSKLTPSGVLSKRSPSFQLRSSFSSSTVLKHAKGTSKSFDGGTRSLDVTKVLLNGTDSNSSLNQPSEGKAEAPSDEKPNNFLAVDAEDNVPGVLYDLLQKEVIALRKAGHEKDLSLKDKDEAIEMLAKKVETLTKAMEVEAKKMRREVATMEKEVAAMRVKKEHENRAKRFGNSKGSAAQLLTGRNVSRSGLTRSTQ >KJB60886 pep chromosome:Graimondii2_0_v6:9:33830357:33836442:-1 gene:B456_009G329800 transcript:KJB60886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALIDAERTVEFALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLMQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVSANRVATVVANEWKDANDKVMPVKQWLEERRCLQGEMQQLRDKLAITERTAKSEAQLKEKYLLRLRVLEDSLRGSSNSVSRSTSDGRSVSNGRSRRQSLGGADNFSKLTPSGVLSKRSPSFQLRSSFSSSTVLKHAKGTSKSFDGGTRSLDVTKVLLNGTDSNSSLNQPSEGKAEAPSDEKPNNFLAVDAEDNVPGVLYDLLQKEVIALRKAGHEKDLSLKDKDEAIEMLAKKVETLTKAMEVEAKKMRREVATMEKEVAAMRVKKEHENRAKRFGNSKGSAAQLLTGRNVSRSGLTRSTQ >KJB60888 pep chromosome:Graimondii2_0_v6:9:33831648:33836207:-1 gene:B456_009G329800 transcript:KJB60888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIAGEAAEVTGNARSESPVRLTSTTSGAPPPLAVSASFKESGGKGSSRRRTVRPSFDADNDFITLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRMSERLREKAVEELTDDLSKMAEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALIDAERTVEFALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLMQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVSANRVATVVANEWKDANDKVMPVKQWLEERRCLQGEMQQLRDKLAITERTAKSEAQLKEKYLLRLRVLEDSLRGSSNSVSRSTSDGRSVSNGRSRRQSLGGADNFSKLTPSGVLSKRSPSFQLRSSFSSSTVLKHAKGTSKSFDGGTRSLDVTKVLLNGTDSNSSLNQPSEGKAEAPSDEKPNNFLAVDAEDNVPGVLYDLLQKEVIALRKAGHEKDLSLKDKDEAIEMLAKKVETLTKAMEVEAKKMRREVATMEKEVAAMRVKKEHENRAKRFGNSKGSAAQLLTGSHRRGVRNL >KJB60885 pep chromosome:Graimondii2_0_v6:9:33830310:33836524:-1 gene:B456_009G329800 transcript:KJB60885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIAGEAAEVTGNARSESPVRLTSTTSGAPPPLAVSASFKESGGKGSSRRRTVRPSFDADNDFITLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRMSERLREKAVEELTDDLSKMAEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALIDAERTVEFALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLMQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVSANRVATVVANEWKDANDKVMPVKQWLEERRCLQGEMQQLRDKLAITERTAKSEAQLKEKYLLRLRVLEDSLRGSSNSVSRSTSDGRSVSNGRSRRQSLGGADNFSKLTPSGVLSKRSPSFQLRSSFSSSTVLKHAKGTSKSFDGGTRSLDVTKVLLNGTDSNSSLNQPSEGKAEAPSDEKPNNFLAVDAEDNVPGVLYDLLQKEVIALRKAGHEKDLSLKDKDEAIEMLAKKVETLTKAMEVEAKKMRREVATMEKEVAAMRVKKEHENRAKRFGNSKGSAAQLLTGRNVSRSGLTRSTQ >KJB60889 pep chromosome:Graimondii2_0_v6:9:33830357:33836442:-1 gene:B456_009G329800 transcript:KJB60889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIAGEAAEVTGNARSESPVRLTSTTSGAPPPLAVSASFKESGGKGSSRRRTVRPSFDADNDFITLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRMSERLREKAVEELTDDLSKMAEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALIDAERTVEFALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLMQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVSANRVATVVANEWKDANDKVMPVKQWLEERRCLQGEMQQLRDKLAITERTAKSEAQLKEKYLLRLRVLEDSLRGSSNSVSRSTSDGRSVSNGRSRRQSLGGADNFSKLTPSGVLSKRSPSFQLRSSFSSSTVLKHAKGTSKSFDGGTRSLDVTKVLLNGTDSNSSLNQPSEGKAEAPSDEKPNNFLAVDAEDNVPGVLYDLLQKEVIALRKAGHEKDLSLKDKDEAIEMLAKKVETLTKAMEVEAKKMRREVATMEKEVAAMRVKKEHENRAKRFGNSKGSAAQLLTGRNVSRSGLTRSTQ >KJB55542 pep chromosome:Graimondii2_0_v6:9:5890347:5909144:1 gene:B456_009G081400 transcript:KJB55542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMTPSPIDQQEDEEMLVPHSDLTDNHQPMEVVSQAENANTVENQPVEDPPSSRFTWKIDNFSRLNTKKHYSDVFVVGGYKWRILIFPKGNNVDHLSMYLDVADSASLPYGWSRYAQFSLAVVNQIHSKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPCRGYLVNDTFVVEAEVVVRKIVDYWAYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYQAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDDGKYLSPEADRSVRNLYTLHSGGVHGGHYYAYIRPTLSDQWYKFDDERVTKEDMKKALEEQYGGEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDDDLAEQIGKGICFDLVDHDKVRSFHIQKQMPFNVFKEEVAREFGIPVQFQRFWLWAKRQNHTYRPNRPLTPLEETQSVGALKEVSNKVHNAELKLFLEVELGLDLRPIAPPYKTKEDILLFFKHYDPEKEELRYVGRLFVKSAGKPTEILSRLNKMAGYAPDEDINLYEEIKFEPSVMCEPIDKKITFRASQLEDGDIVCFQKPLPVESTNQYRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLEMSRLYTYDDVVERVAQQLGLDNPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLFHYNQTSDILYFEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPDAELRLLEVFYNKIYKIFPPNEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKETAQNQMQILNFGEPFFLVIHEGETLAEIKVRIQRKLQVPDEEFAKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNIPKRAYAINQNRHTFEKPVKIYN >KJB55541 pep chromosome:Graimondii2_0_v6:9:5890183:5909256:1 gene:B456_009G081400 transcript:KJB55541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMTPSPIDQQEDEEMLVPHSDLTDNHQPMEVVSQAENANTVENQPVEDPPSSRFTWKIDNFSRLNTKKHYSDVFVVGGYKWRILIFPKGNNVDHLSMYLDVADSASLPYGWSRYAQFSLAVVNQIHSKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPCRGYLVNDTFVVEAEVVVRKIVDYWAYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYQAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWYKFDDERVTKEDMKKALEEQYGGEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDDDLAEQIGKGICFDLVDHDKVRSFHIQKQMPFNVFKEEVAREFGIPVQFQRFWLWAKRQNHTYRPNRPLTPLEETQSVGALKEVSNKVHNAELKLFLEVELGLDLRPIAPPYKTKEDILLFFKHYDPEKEELRYVGRLFVKSAGKPTEILSRLNKMAGYAPDEDINLYEEIKFEPSVMCEPIDKKITFRASQLEDGDIVCFQKPLPVESTNQYRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLEMSRLYTYDDVVERVAQQLGLDNPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLFHYNQTSDILYFEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPDAELRLLEVFYNKIYKIFPPNEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKETAQNQMQILNFGEPFFLVIHEGETLAEIKVRIQRKLQVPDEEFAKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNIPKRAYAINQNRHTFEKPVKIYN >KJB55543 pep chromosome:Graimondii2_0_v6:9:5890347:5909144:1 gene:B456_009G081400 transcript:KJB55543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMTPSPIDQQEDEEMLVPHSDLTDNHQPMEVVSQAENANTVENQPVEDPPSSRFTWKIDNFSRLNTKKHYSDVFVVGGYKWRILIFPKGNNVDHLSMYLDVADSASLPYGWSRYAQFSLAVVNQIHSKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPCRGYLVNDTFVVEAEVVVRKIVDYWAYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYQAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWYKFDDERVTKEDMKKALEEQYGGEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKVARDDDLAEQIGKGICFDLVDHDKVRSFHIQKQMPFNVFKEEVAREFGIPVQFQRFWLWAKRQNHTYRPNRPLTPLEETQSVGALKEVSNKVHNAELKLFLEVELGLDLRPIAPPYKTKEDILLFFKHYDPEKEELRYVGRLFVKSAGKPTEILSRLNKMAGYAPDEDINLYEEIKFEPSVMCEPIDKKITFRASQLEDGDIVCFQKPLPVESTNQYRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLEMSRLYTYDDVVERVAQQLGLDNPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLFHYNQTSDILYFEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPDAELRLLEVFYNKIYKIFPPNEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKETAQNQMQILNFGEPFFLVIHEGETLAEIKVRIQRKLQVPDEEFAKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNIPKRAYAINQNRHTFEKPVKIYN >KJB61587 pep chromosome:Graimondii2_0_v6:9:49534184:49537232:1 gene:B456_009G368300 transcript:KJB61587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGRGVFKLELFLPEEYPMTAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLRLILRLSSIFQHSSTLECSKSR >KJB61586 pep chromosome:Graimondii2_0_v6:9:49534127:49537256:1 gene:B456_009G368300 transcript:KJB61586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMTAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYASGA >KJB54466 pep chromosome:Graimondii2_0_v6:9:2628859:2637369:-1 gene:B456_009G035300 transcript:KJB54466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVGLPPSCDIQALQRQYVTRQSEGSGKLRSALSCQNNSRVEPYLEPQVLFVYPPEKPLPLKHKDLLSFCFPGGIEVHAVEKTPSMSELNEILLSQEHLKQSDLSFVFRLQVADNSTLYGCCVLVEEIVQKPSGLLSLISDRQPAYPSLSRYVMTTRRCYCILSRLPFFELHFGVLNSIFDEERLERLTKSIGDIDLELSESYSNEANIDDVLTDQGALEDMQNTMTEPSEISSGDSKLGGNDDGNGLEHQMLESDFDSNKAVNHDTVVPVDLETESFKRGKESGGADPEDCDTDVDDFTTNKQAAERHLPNAVLPFLRYYQYESSESSCSFQGSPCDDRNLRSDVDDTETEEASISGQEDSSDHLDILEWAKANNHGSLQILCEYYRLPCPERGSKLRFHPLEHLHPLEYHRPDEKVLHIAGSTIDLRSCSTSLEFAEAHTALLAEEEATALSTWAVACMCGSLRLEHVLTIFAAALLEKQIVVVCSNLGILSAIVLSIVPLIRPYQWQSLLMPVLPDDMLDFLDAPVPYIVGVKNKTSEVQSKLANVILVDANKNQIKTSTIPQLPQHRELFACLSPYHAKLVGESYLGRKRPVHECTDVQIEAAKGFLAVLRSYLDSLCSNMRSHTITNVQSNNDKVSLLLKESFIDSFPSRDRPFMKLFVDTQLFTVHTDLVLSFIQKE >KJB54464 pep chromosome:Graimondii2_0_v6:9:2628727:2638516:-1 gene:B456_009G035300 transcript:KJB54464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSEDSGSPGWTASFFMPTKEDVARAVAAAAAAATAVHSPRPSAVYSLKDENSGSQFQKLQHHVARVLKGFSQPPEVRRGTYNPEVLTSQKRQWANFQLQHLDHRSFKGPSRLFESMVVVGLPPSCDIQALQRQYVTRQSEGSGKLRSALSCQNNSRVEPYLEPQVLFVYPPEKPLPLKHKDLLSFCFPGGIEVHAVEKTPSMSELNEILLSQVADNSTLYGCCVLVEEIVQKPSGLLSLISDRQPAYPSLSRYVMTTRRCYCILSRLPFFELHFGVLNSIFDEERLERLTKSIGDIDLELSESYSNEANIDDVLTDQGALEDMQNTMTEPSEISSGDSKLGGNDDGNGLEHQMLESDFDSNKAVNHDTVVPVDLETESFKRGKESGGADPEDCDTDVDDFTTNKQAAERHLPNAVLPFLRYYQYESSESSCSFQGSPCDDRNLRSDVDDTETEEASISGQEDSSDHLDILEWAKANNHGSLQILCEYYRLPCPERGSKLRFHPLEHLHPLEYHRPDEKVLHIAGSTIDLRSCSTSLEFAEAHTALLAEEEATALSTWAVACMCGSLRLEHVLTIFAAALLEKQIVVVCSNLGILSAIVLSIVPLIRPYQWQSLLMPVLPDDMLDFLDAPVPYIVGVKNKTSEVQSKLANVILVDANKNQIKTSTIPQLPQHRELFACLSPYHAKLVGESYLGRKRPVHECTDVQIEAAKGFLAVLRSYLDSLCSNMRSHTITNVQSNNDKVSLLLKESFIDSFPSRDRPFMKLFVDTQLFTVHTDLVLSFIQKE >KJB54463 pep chromosome:Graimondii2_0_v6:9:2629093:2636737:-1 gene:B456_009G035300 transcript:KJB54463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVGLPPSCDIQALQRQYVTRQSEGSGKLRSALSCQNNSRVEPYLEPQVLFVYPPEKPLPLKHKDLLSFCFPGGIEVHAVEKTPSMSELNEILLSQEHLKQSDLSFVFRLQVADNSTLYGCCVLVEEIVQKPSGLLSLISDRQPAYPSLSRYVMTTRRCYCILSRLPFFELHFGVLNSIFDEERLERLTKSIGDIDLELSESYSNEANIDDVLTDQGALEDMQNTMTEPSEISSGDSKLGVDLETESFKRGKESGGADPEDCDTDVDDFTTNKQAAERHLPNAVLPFLRYYQYESSESSCSFQGSPCDDRNLRSDVDDTETEEASISGQEDSSDHLDILEWAKANNHGSLQILCEYYRLPCPERGSKLRFHPLEHLHPLEYHRPDEKVLHIAGSTIDLRSCSTSLEFAEAHTALLAEEEATALSTWAVACMCGSLRLEHVLTIFAAALLEKQIVVVCSNLGILSAIVLSIVPLIRPYQWQSLLMPVLPDDMLDFLDAPVPYIVGVKNKTSEVQSKLANVILVDANKNQIKTSTIPQLPQHRELFACLSPYHAKLVGESYLGRKRPVHECTDVQIEAAKGFLAVLRSYLDSLCSNMRSHTITNVQSNNDKVSLLLKESFIDSFPSRDRPFMKLFVDTQLFTVHTDLVLSFIQKE >KJB54467 pep chromosome:Graimondii2_0_v6:9:2628727:2638598:-1 gene:B456_009G035300 transcript:KJB54467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSEDSGSPGWTASFFMPTKEDVARAVAAAAAAATAVHSPRPSAVYSLKDENSGSQFQKLQHHVARVLKGFSQPPEVRRGTYNPEVLTSQKRQWANFQLQHLDHRSFKGPSRLFESMVVVGLPPSCDIQALQRQYVTRQSEGSGKLRSALSCQNNSRVEPYLEPQVLFVYPPEKPLPLKHKDLLSFCFPGGIEVHAVEKTPSMSELNEILLSQEHLKQSDLSFVFRLQVADNSTLYGCCVLVEEIVQKPSGLLSLISDRQPAYPSLSRYVMTTRRCYCILSRLPFFELHFGVLNSIFDEERLERLTKSIGDIDLELSESYSNEANIDDVLTDQGALEDMQNTMTEPSEISSGDSKLGGNDDGNGLEHQMLESDFDSNKAVNHDTVVPVDLETESFKRGKESGGADPEDCDTDVDDFTTNKQAAERHLPNAVLPFLRYYQYESSESSCSFQGSPCDDRNLRSDVDDTETEEASISGQEDSSDHLDILEWAKANNHGSLQILCEYYRLPCPERGSKLRFHPLEHLHPLEYHRPDEKVLHIAGSTIDLRSCSTSLEFAEAHTALLAEEEATALSTWAVACMCGSLRLEHVLTIFAAALLEKQIVVVCSNLGILSAIVLSIVPLIRPYQWQSLLMPVLPDDMLDFLDAPVPYIVGVKNKTSEVQSKLANVILVDANKNQIKTSTIPQLPQHRELFACLSPYHAKLVGESYLGRKRPVHECTDVQIEAAKGFLAVLRSYLDSLCSNMRSHTITNVQSNNDKVSLLLKESFIDSFPSRDRPFMKLFVDTQLFTVHTDLVLSFIQKE >KJB54465 pep chromosome:Graimondii2_0_v6:9:2628780:2638598:-1 gene:B456_009G035300 transcript:KJB54465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSEDSGSPGWTASFFMPTKEDVARAVAAAAAAATAVHSPRPSAVYSLKDENSGSQFQKLQHHVARVLKGFSQPPEVRRGTYNPEVLTSQKRQWANFQLQHLDHRSFKGPSRLFESMVVVGLPPSCDIQALQRQYVTRQSEGSGKLRSALSCQNNSRVEPYLEPQVLFVYPPEKPLPLKHKDLLSFCFPGGIEVHAVEKTPSMSELNEILLSQEHLKQSDLSFVFRLQVADNSTLYGCCVLVEEIVQKPSGLLSLISDRQPAYPSLSRYVMTTRRCYCILSRLPFFELHFGVLNSIFDEERLERLTKSIGDIDLELSESYSNEANIDDVLTDQGALEDMQNTMTEPSEISSGDSKLGGNDDGNGLEHQMLESDFDSNKAVNHDTVVPVDLETESFKRGKESGGADPEDCDTDVDDFTTNKQAAERHLPNAVLPFLRYYQYESSESSCSFQGSPCDDRNLRSDVDDTETEEASISGQEDSSDHLDILEWAKANNHGSLQILCEYYRLPCPERGSKLRFHPLEHLHPLEYHRPDEKVLHIAGSTIDLRSCSTSLEFAEAHTALLAEEEATALSTWAVACMCGSLRLEHVLTIFAAALLEKQIVVVCSNLGILSAIVLSIVPLIRPYQWQSLLMPVLPDDMLDFLDAPVPYIVGVKNKTSEVQSKLANVILVDANKNQIKTSTIPQLPQHRELFACLSPYHAKLVGESYLGRKRPVHECTDVQIEAAKGFLAVLRSYLDSLCSNMRSHTITNVQSNNDKVSLLLKESFIDSFPSRDRPFMKLFVDTQLFTVHTDLVLSFIQKE >KJB54468 pep chromosome:Graimondii2_0_v6:9:2630044:2637366:-1 gene:B456_009G035300 transcript:KJB54468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSEDSGSPGWTASFFMPTKEDVARAVAAAAAAATAVHSPRPSAVYSLKDENSGSQFQKLQHHVARVLKGFSQPPEVRRGTYNPEVLTSQKRQWANFQLQHLDHRSFKGPSRLFESMVVVGLPPSCDIQALQRQYVTRQSEGSGKLRSALSCQNNSRVEPYLEPQVLFVYPPEKPLPLKHKDLLSFCFPGGIEVHAVEKTPSMSELNEILLSQEHLKQSDLSFVFRLQVADNSTLYGCCVLVEEIVQKPSGLLSLISDRQPAYPSLSRYVMTTRRCYCILSRLPFFELHFGVLNSIFDEERLERLTKSIGDIDLELSESYSNEANIDDVLTDQGALEDMQNTMTEPSEISSGDSKLGGNDDGNGLEHQMLESDFDSNKAVNHDTVVPVDLETESFKRGKESGGADPEDCDTDVDDFTTNKQAAERHLPNAVLPFLRYYQYESSESSCSFQGSPCDDRNLRSDVDDTETEEASISGQEDSSDHLDILEWAKANNHGSLQILCEYYRLPCPERGSKLRFHPLEHLHPLEYHRPDEKVLHIAGSTIDLRSCSTSLEFAEAHTALLAEEEATALSTWAVACMCGSLRLEHVLTIFAAALLEKQIVVVCSNLGILSAIVLSIVPLIRPYQWQSLLMPVLPDDMLDFLDAPVPYIVGVKNKTSEVQSKLANVILVDANKNQIKTSTIPQLPQHRELFACLSPYHAKLVGESYLGRKRPVHECTDVQIEAAKGFLAVLRSYLDSLCSNMRSHTITNVQSNNDKVCVSLN >KJB54462 pep chromosome:Graimondii2_0_v6:9:2628727:2638516:-1 gene:B456_009G035300 transcript:KJB54462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSEDSGSPGWTASFFMPTKEDVARAVAAAAAAATAVHSPRPSAVYSLKDENSGSQFQKLQHHVARVLKGFSQPPEVRRGTYNPEVLTSQKRQWANFQLQHLDHRSFKGPSRLFESMVVVGLPPSCDIQALQRQYVTRQSEGSGKLRSALSCQNNSRVEPYLEPQVLFVYPPEKPLPLKHKDLLSFCFPGGIEVHAVEKTPSMSELNEILLSQEHLKQSDLSFVFRLQVADNSTLYGCCVLVEEIVQKPSGLLSLISDRQPAYPSLSRYVMTTRRCYCILSRLPFFELHFGVLNSIFDEERLERLTKSIGDIDLELSESYSNEANIDDVLTDQGALEDMQNTMTEPSEISSGDSKLGVDLETESFKRGKESGGADPEDCDTDVDDFTTNKQAAERHLPNAVLPFLRYYQYESSESSCSFQGSPCDDRNLRSDVDDTETEEASISGQEDSSDHLDILEWAKANNHGSLQILCEYYRLPCPERGSKLRFHPLEHLHPLEYHRPDEKVLHIAGSTIDLRSCSTSLEFAEAHTALLAEEEATALSTWAVACMCGSLRLEHVLTIFAAALLEKQIVVVCSNLGILSAIVLSIVPLIRPYQWQSLLMPVLPDDMLDFLDAPVPYIVGVKNKTSEVQSKLANVILVDANKNQIKTSTIPQLPQHRELFACLSPYHAKLVGESYLGRKRPVHECTDVQIEAAKGFLAVLRSYLDSLCSNMRSHTITNVQSNNDKVSLLLKESFIDSFPSRDRPFMKLFVDTQLFTVHTDLVLSFIQKE >KJB57346 pep chromosome:Graimondii2_0_v6:9:12192139:12194067:1 gene:B456_009G159200 transcript:KJB57346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIINCRHWLELITCLTGFDFPNGPTGRFSNGKTTVDVIAELLGFDNYIPPYSTASGRQILGGVNYASAAAGIREETGQQLGARISFSGQVRNYQRTISQVVNLLGDETTAANYLSKCIYSIGLGSNDYLNNYFMPLYYSTSRQYTPEQFADVLIQQYTEQLQALYNYGARKFALIGVGQIGCSPNELAQYSGDGKTCVERINAANRIFNDKLKGLVDQFNNANSDAKFIYINAYGIFQDITSNPATYGKPRQVPVKTD >KJB57348 pep chromosome:Graimondii2_0_v6:9:12192139:12194143:1 gene:B456_009G159200 transcript:KJB57348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIINCRHWLELITCLTGFDFPNGPTGRFSNGKTTVDVIAELLGFDNYIPPYSTASGRQILGGVNYASAAAGIREETGQQLGARISFSGQVRNYQRTISQVVNLLGDETTAANYLSKCIYSIGLGSNDYLNNYFMPLYYSTSRQYTPEQFADVLIQQYTEQLQALYNYGARKFALIGVGQIGCSPNELAQYSGDGKTCVERINAANRIFNDKLKGLVDQFNNANSDAKFIYINAYGIFQDITSNPATYGFKVTNAGCCGVGRNNGQITCLPAQTPCPNRNEYLFWDAFHPTEAANVIIGRRSYSAQSSSDAYPIDIRRLAQL >KJB57347 pep chromosome:Graimondii2_0_v6:9:12192139:12194067:1 gene:B456_009G159200 transcript:KJB57347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIINCRHWLELITCLTGFDFPNGPTGRFSNGKTTVDVIAELLGFDNYIPPYSTASGRQILGGVNYASAAAGIREETGQQLLQLQTCTIQGARISFSGQVRNYQRTISQVVNLLGDETTAANYLSKCIYSIGLGSNDYLNNYFMPLYYSTSRQYTPEQFADVLIQQYTEQLQALYNYGARKFALIGVGQIGCSPNELAQYSGDGKTCVERINAANRIFNDKLKGLVDQFNNANSDAKFIYINAYGIFQDITSNPATYGFKVTNAGCCGVGRNNGQITCLPAQTPCPNRNEYLFWDAFHPTEAANVIIGRRSYSAQSSSDAYPIDIRRLAQL >KJB58161 pep chromosome:Graimondii2_0_v6:9:15202329:15204103:-1 gene:B456_009G197100 transcript:KJB58161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSMASQRSQPEVNTPQSSRFTDRIKRSLSSILTKFHAGYFRISLSLGGQALLWKTLIGPTHDKSTLRHLVHKFHPTAFLVLWSFALFILILLSLLYILRCLFYFKMVKAEFLHHVGVNYLFAPWISWLLLLQSAPFATPKTTSYYVLWWFFAVPVVALDVKIYGQWFTKGKKFLSTVANPTSQISVIGNLVGALAAASMGWKESAVCLFSLGMVHYLVLLVTLYQRFSGSDRIPAMLRPVFFLFFAAPSVASLAWESITGAFDIASKMLFFLSLFLFISLVCRPALFRRSMRRFNVAWWAYSFPLTILALASTEYAEEVKGSIAHLLMLLLLALSVLVSLGLTIFTLLNTRMLLPDNDPISTLHHLPTVSP >KJB54596 pep chromosome:Graimondii2_0_v6:9:2976694:2993309:-1 gene:B456_009G040400 transcript:KJB54596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRKGAKVWVEDKHLAWVPAEITDCRGKQVQLQIGSGKTVLALPEKLFPRDADEEEEHGGVDDMTKLTYLNEPGVLYNLQRRYALNDIYTYTGSILIAVNPFTKLPHLYNVHMMEQYKGAPFGELSPHVFAVADVSYRAMMNEGRSQSILVSGESGAGKTETTKLIMQYLTFVGGRAAGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDANGRISGAAVRTYLLERSRVVQITDPERNYHCFYQLCASGKDAEKYKLGHPSHFHYLNQSKTYDLEGVSNAEEYMKARRAMDIVGISHEEQEAIFRTLAAILHLGNVEFSPGREHDSSVVKDQKSTLHMQMAADLFRCDVNLLLATLCTRTIQTREGSIVKALDCNAAVASRDALAKTVYARLFDWLVDKINISVGQDPNSCVQIGVLDIYGFECFKHNSFEQFCINFANEKLQQHFNEHVFKMEQDEYKKEEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPRSTHETFSTKLFQNFRGHPRLEKAKFSETDFTVSHYAGKVTYQTDSFLDKNRDYVVVEHCNLLASSKCPFVAGLFPSPPEESSRSSYKFSSVATRFKQQLQALMETLNSTEPHYIRCVKPNSSNRPQKFENLSILHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLLAPEFMDTSYDEKRLTEKILQKLNLQNFQLGRTKVFLRAGQIGVLDSRRAEVLDMAAKRIQHRLRTFIAHRKFISARVAAIALQAYCRGCLARKMFAARREAAAAICLQKYVRRWLLRHAYLKLISAAVCIQSNIRGFSTRQKFLHGKRHRAASVIQAHWRLCRFRSAFHNYKKSIIALQCRWRQKLAKRELRRLKQEANEAGALRLAKSKLEKQLEDLTWRLHLEKRMRVSNEDAKSVEISKLQKAFESLKLELDAAKLATISECNKNAVLQNQLELSRKEKSALEKEFTMIAEMRKENASLKSSLDTLEKKNSALELELKKALKDANDTVDKLQELEQKNSELQNNMQSLEEKLSHLEDENHVLRQKALTPSPKSNRSNFLKSFSDKYGGMLNLPLNDRKQVFESPTPSKLIVPFSHSMSESRRPKLTAERQQENYEFLSRCIKENLGFHNGKPLAACIIYKCLHHWHSFESERTAIFDYIIEGINDVLKVGAENETLPYWLSNTSALLCLLQKNLRSNGFLSAGTQRSGGNTGLPGRVSYGLKSPFKYLGFEDGMSHIDARYPAILFKQQLTACVEKIFGLIRDNIKKELSPLLALCIQVPKNARMLAGKSRSPGGLPQQSPSSQWDSIIKFLNNLMDRLRENHVPSFFIRKLITQVFSFINMSLFNSLLLRRECCSFSNGEYVKSGLAELEKWIGNATEEFAGTSWHELNYIRQAVGFLVIHQKRKKSLDEISNDLCPVLTIRQIYRISTMYWDDKYGTQSVSNEVVAEMREMLNKDNQYLASNSFLLDDDLSIPFSTEDIDIAIPAIDPSDIELPAILSEYSCAQFLTQNQK >KJB54595 pep chromosome:Graimondii2_0_v6:9:2976694:2990539:-1 gene:B456_009G040400 transcript:KJB54595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARRAMDIVGISHEEQEAIFRTLAAILHLGNVEFSPGREHDSSVVKDQKSTLHMQMAADLFRCDVNLLLATLCTRTIQTREGSIVKALDCNAAVASRDALAKTVYARLFDWLVDKINISVGQDPNSCVQIGVLDIYGFECFKHNSFEQFCINFANEKLQQHFNEHVFKMEQDEYKKEEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPRSTHETFSTKLFQNFRGHPRLEKAKFSETDFTVSHYAGKVTYQTDSFLDKNRDYVVVEHCNLLASSKCPFVAGLFPSPPEESSRSSYKFSSVATRFKQQLQALMETLNSTEPHYIRCVKPNSSNRPQKFENLSILHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLLAPEFMDTSYDEKRLTEKILQKLNLQNFQLGRTKVFLRAGQIGVLDSRRAEVLDMAAKRIQHRLRTFIAHRKFISARVAAIALQAYCRGCLARKMFAARREAAAAICLQKYVRRWLLRHAYLKLISAAVCIQSNIRGFSTRQKFLHGKRHRAASVIQAHWRLCRFRSAFHNYKKSIIALQCRWRQKLAKRELRRLKQEANEAGALRLAKSKLEKQLEDLTWRLHLEKRMRVSNEDAKSVEISKLQKAFESLKLELDAAKLATISECNKNAVLQNQLELSRKEKSALEKEFTMIAEMRKENASLKSSLDTLEKKNSALELELKKALKDANDTVDKLQELEQKNSELQNNMQSLEEKLSHLEDENHVLRQKALTPSPKSNRSNFLKSFSDKYGGMLNLPLNDRKQVFESPTPSKLIVPFSHSMSESRRPKLTAERQQENYEFLSRCIKENLGFHNGKPLAACIIYKCLHHWHSFESERTAIFDYIIEGINDVLKVGAENETLPYWLSNTSALLCLLQKNLRSNGFLSAGTQRSGGNTGLPGRVSYGLKSPFKYLGFEDGMSHIDARYPAILFKQQLTACVEKIFGLIRDNIKKELSPLLALCIQVPKNARMLAGKSRSPGGLPQQSPSSQWDSIIKFLNNLMDRLRENHVPSFFIRKLITQVFSFINMSLFNSLLLRRECCSFSNGEYVKSGLAELEKWIGNATEEFAGTSWHELNYIRQAVGFLVIHQKRKKSLDEISNDLCPVLTIRQIYRISTMYWDDKYGTQSVSNEVVAEMREMLNKDNQYLASNSFLLDDDLSIPFSTEDIDIAIPAIDPSDIELPAILSEYSCAQFLTQNQK >KJB54597 pep chromosome:Graimondii2_0_v6:9:2976694:2993474:-1 gene:B456_009G040400 transcript:KJB54597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRKGAKVWVEDKHLAWVPAEITDCRGKQVQLQIGSGKTVLALPEKLFPRDADEEEEHGGVDDMTKLTYLNEPGVLYNLQRRYALNDIYTYTGSILIAVNPFTKLPHLYNVHMMEQYKGAPFGELSPHVFAVADVSYRAMMNEGRSQSILVSGESGAGKTETTKLIMQYLTFVGGRAAGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDANGRISGAAVRTYLLERSRVVQITDPERNYHCFYQLCASGKDAEKYKLGHPSHFHYLNQSKTYDLEGVSNAEEYMKARRAMDIVGISHEEQEAIFRTLAAILHLGNVEFSPGREHDSSVVKDQKSTLHMQMAADLFRCDVNLLLATLCTRTIQTREGSIVKALDCNAAVASRDALAKTVYARLFDWLVDKINISVGQDPNSCVQIGVLDIYGFECFKHNSFEQFCINFANEKLQQHFNEHVFKMEQDEYKKEEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPRSTHETFSTKLFQNFRGHPRLEKAKFSETDFTVSHYAGKVTYQTDSFLDKNRDYVVVEHCNLLASSKCPFVAGLFPSPPEESSRSSYKFSSVATRFKQQLQALMETLNSTEPHYIRCVKPNSSNRPQKFENLSILHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLLAPEFMDTSYDEKRLTEKILQKLNLQNFQLGRTKVFLRAGQIGVLDSRRAEVLDMAAKRIQHRLRTFIAHRKFISARVAAIALQAYCRGCLARKMFAARREAAAAICLQKYVRRWLLRHAYLKLISAAVCIQSNIRGFSTRQKFLHGKRHRAASVIQAHWRLCRFRSAFHNYKKSIIALQCRWRQKLAKRELRRLKQEANEAGALRLAKSKLEKQLEDLTWRLHLEKRMRVSNEDAKSVEISKLQKAFESLKLELDAAKLATISECNKNAVLQNQLELSRKEKSALEKEFTMIAEMRKENASLKSSLDTLEKKNSALELELKKALKDANDTVDKLQELEQKNSELQNNMQSLEEKLSHLEDENHVLRQKALTPSPKSNRSNFLKSFSDKYGGMLNLPLNDRKQVFESPTPSKLIVPFSHSMSESRRPKLTAERQQENYEFLSRCIKENLGFHNGKPLAACIIYKCLHHWHSFESERTAIFDYIIEGINDVLKVGAENETLPYWLSNTSALLCLLQKNLRSNGFLSAGTQRSGGNTGLPGRVSYGLKSPFKYLGFEDGMSHIDARYPAILFKQQLTACVEKIFGLIRDNIKKELSPLLALCIQVPKNARMLAGKSRSPGGLPQQSPSSQWDSIIKFLNNLMDRLRENHVPSFFIRKLITQVFSFINMSLFNSLLLRRECCSFSNGEYVKSGLAELEKWIGNATEEFAGTSWHELNYIRQAVGFLVIHQKRKKSLDEISNDLCPVLTIRQIYRISTMYWDDKYGTQSVSNEVVAEMREMLNKDNQYLASNSFLLDDDLSIPFSTEDIDIAIPAIDPSDIELPAILSEYSCAQFLTQNQK >KJB54598 pep chromosome:Graimondii2_0_v6:9:2976694:2993626:-1 gene:B456_009G040400 transcript:KJB54598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFIRFGKFVEIQFDANGRISGAAVRTYLLERSRVVQITDPERNYHCFYQLCASGKDAEKYKLGHPSHFHYLNQSKTYDLEGVSNAEEYMKARRAMDIVGISHEEQEAIFRTLAAILHLGNVEFSPGREHDSSVVKDQKSTLHMQMAADLFRCDVNLLLATLCTRTIQTREGSIVKALDCNAAVASRDALAKTVYARLFDWLVDKINISVGQDPNSCVQIGVLDIYGFECFKHNSFEQFCINFANEKLQQHFNEHVFKMEQDEYKKEEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPRSTHETFSTKLFQNFRGHPRLEKAKFSETDFTVSHYAGKVTYQTDSFLDKNRDYVVVEHCNLLASSKCPFVAGLFPSPPEESSRSSYKFSSVATRFKQQLQALMETLNSTEPHYIRCVKPNSSNRPQKFENLSILHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLLAPEFMDTSYDEKRLTEKILQKLNLQNFQLGRTKVFLRAGQIGVLDSRRAEVLDMAAKRIQHRLRTFIAHRKFISARVAAIALQAYCRGCLARKMFAARREAAAAICLQKYVRRWLLRHAYLKLISAAVCIQSNIRGFSTRQKFLHGKRHRAASVIQAHWRLCRFRSAFHNYKKSIIALQCRWRQKLAKRELRRLKQEANEAGALRLAKSKLEKQLEDLTWRLHLEKRMRVSNEDAKSVEISKLQKAFESLKLELDAAKLATISECNKNAVLQNQLELSRKEKSALEKEFTMIAEMRKENASLKSSLDTLEKKNSALELELKKALKDANDTVDKLQELEQKNSELQNNMQSLEEKLSHLEDENHVLRQKALTPSPKSNRSNFLKSFSDKYGGMLNLPLNDRKQVFESPTPSKLIVPFSHSMSESRRPKLTAERQQENYEFLSRCIKENLGFHNGKPLAACIIYKCLHHWHSFESERTAIFDYIIEGINDVLKVGAENETLPYWLSNTSALLCLLQKNLRSNGFLSAGTQRSGGNTGLPGRVSYGLKSPFKYLGFEDGMSHIDARYPAILFKQQLTACVEKIFGLIRDNIKKELSPLLALCIQVPKNARMLAGKSRSPGGLPQQSPSSQWDSIIKFLNNLMDRLRENHVPSFFIRKLITQVFSFINMSLFNSLLLRRECCSFSNGEYVKSGLAELEKWIGNATEEFAGTSWHELNYIRQAVGFLVIHQKRKKSLDEISNDLCPVLTIRQIYRISTMYWDDKYGTQSVSNEVVAEMREMLNKDNQYLASNSFLLDDDLSIPFSTEDIDIAIPAIDPSDIELPAILSEYSCAQFLTQNQK >KJB58038 pep chromosome:Graimondii2_0_v6:9:14934805:14938129:-1 gene:B456_009G194100 transcript:KJB58038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLANFMAQLTFIASIFVLILSHSVISGVQATTFTVVNKCDYVVWPGILTNAGVPPLPTTGFTLQRGETKTIAAPTSWGGRFWGRTHCSQDSTGKFSCLTGDCGSGKFECSGNGATPPATLAEFTLDGAGGLDFFDVSLVDGYNVPMLVVPQGGTGPNCTYTGCAVDLNDSCPSDLRVMSADGGDGVACKSACEAFRQPQYCCNGAYATPDTCKPSSYSKIFKTACPLAYSYAYDDKTSTFTCANADYTITFCPSPNTSQKSSKGENGEPTTTTTPTSPLINSTMVYEGALNENAASPSTCTHVFVGITLFMAFWWSWPISLTSSILEAI >KJB58039 pep chromosome:Graimondii2_0_v6:9:14936865:14937872:-1 gene:B456_009G194100 transcript:KJB58039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLANFMAQLTFIASIFVLILSHSVISGVQATTFTVVNKCDYVVWPGILTNAGVPPLPTTGFTLQRGETKTIAAPTSWGGRFWGRTHCSQDSTGKFSCLTGDCGSGKFECSGNGATPPATLAEFTLDGAGGLDFFDVSLVDGYNVPMLVVPQGGTGPNCTYTGCAVDLNDSCPSDLRVMSADGGDGVACKSACEAFRQPQYCCNGAYATPDTCKPSSYSKIFKTACPLAYSYAYDDKTSTFTCANADYTITFCPSPNTR >KJB57680 pep chromosome:Graimondii2_0_v6:9:13531864:13535410:1 gene:B456_009G175100 transcript:KJB57680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLKGNLLFFLSLVFLFLESSIAVDTITPADSINDSQTIVSAGHKFELGFFNTGDSNYRYLGIWYKNIPVRTVVWVANREFPLENNSGLLKLGDDGTLSIVNESRSIIWSSNSSLTAKNPVAELLDTGNLVVKDAGDDNDERYLWQSFDYPSDTLLPGMKLGWNKKTGLNRHLTSWKSSDDPSPGEYTYSVDPRGLPQLVLRKGSDEQFRSGPWYGTQFSGVPVLKVNPVFTPIFVSNADEVYYSYNITANIPSRFVLSQSGSVQHLSWNDRHSNWYLIFTVQEDRCDNYDLCGSYGICNINRTPNCDCLKGFEPKSSKDWDVLDWSGGCVRKDPHICHEGEGFVKFTGLKLPDASQFRVNVSMTIGDCEAECLKNCSCTAYAKLDIRGTGNGCVTWYEDLIDIRQAPQYGQDLYIRMAASALEKKADTSNNRKNVTIATTISVASAMIILVLIGWFVSWKQKMIRTQQPENQVTISKVETEEDLELPLFEFATIQAATNNFSPANKIGEGGYGPVFKGEIPSGQEVAVKRLAENSGQGLQEFKNEVLLISNLQHRNLVKLLGCCIEREERMLVYEYMPNRSLDSLIFDESRRSSLDWRRRYDIIVGIARGLLYLHRDSRLRIIHRDLKASNVLLDNEMNPKISDFGMARTFCGDQTEANTKRIVGTYGYMPPEYAIDGHFSLKSDVFSFGVILLEMVSGKKNRGFFHSTHKLNLLGHAWKLWNEGRALELMDELMEQDFAEEEALRYIQVGLLCVQQRPEDRPVMQTVVLMLDSESMSLPQPGRPGFYAERCLSETDSSSLGNLISNEMTVTLLEGR >KJB53523 pep chromosome:Graimondii2_0_v6:9:41019917:41024918:-1 gene:B456_009G344700 transcript:KJB53523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTLSETHQKPFESPQLSLSFQINPHLNMPHKVLTTPPFLASQYRLAITFHRQQHKIKHPPTQLQGPSYQTLGKEP >KJB53524 pep chromosome:Graimondii2_0_v6:9:41019854:41025192:-1 gene:B456_009G344700 transcript:KJB53524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTLSETHQKPFESPQLSLSFQINPHLNMPHKVLTTPPFLASQYRLAITFHRQQHKIKHPPTQLQGPSYQTLGKEP >KJB53522 pep chromosome:Graimondii2_0_v6:9:41024555:41024918:-1 gene:B456_009G344700 transcript:KJB53522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTLSETHQKPFESPQLSLSFQINPHLNMPHKVLTTPPFLASQYRLAITFHRQQHKIKHPPTQLQGPSYQTLGKEP >KJB53706 pep chromosome:Graimondii2_0_v6:9:237886:243381:1 gene:B456_009G002100 transcript:KJB53706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLQIYADNSDEEDLSHQPSTLSADSSPPRLIPSKSAAPKVDDTMLALTAAEARQAQSRPIDPTQHVVAFNPTYDQLWAPTYGPAHPYAKDGIAQGMRNHKLGFVEDASIDSFVFDEQYNTFHKYGYAADPSGSNYIGDLDALQKNDAISVYNIPQHEQKKRKIEREKDEDEVDPTEIDNPATDVWLMKNKRSPWAGKKEGVQTELTEEQKKYAEEYAKKKEEKGHKGEKGEHVVDKTTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLVHTWSGHTKGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGTKFLTAGYDKNIKYWDTETGQVISTFSTGKVPYVVKLNPDEDKQNILLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNTNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYWD >KJB53702 pep chromosome:Graimondii2_0_v6:9:237920:243381:1 gene:B456_009G002100 transcript:KJB53702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLQIYADNSDEEDLSHQPSTLSADSSPPRLIPSKSAAPKVDDTMLALTAAEARQAQSRPIDPTQHVVAFNPTYDQLWAPTYGPAHPYAKDGIAQGMRNHKLGFVEDASIDSFVFDEQYNTFHKYGYAADPSGSNYIGDLDALQKNDAISVYNIPQHEQKKRKIEREKDEDEVDPTEIDNPATDVWLMKNKRSPWAGKKEGVQTELTEEQKKYAEEYAKKKEEKGHKGEKGEHVVDKTTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLVHTWSGHTKGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGTKFLTAGYDKNIKYWDTETGQVISTFSTGKVPYVVKLNPDEDKQNILLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNTNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYWD >KJB53704 pep chromosome:Graimondii2_0_v6:9:237880:243341:1 gene:B456_009G002100 transcript:KJB53704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLQIYADNSDEEDLSHQPSTLSADSSPPRLIPSKSAAPKVDDTMLALTAAEARQAQSRPIDPTQHVVAFNPTYDQLWAPTYGPAHPYAKDGIAQGMRNHKLGFVEDASIDSFVFDEQYNTFHKYGYAADPSGSNYIGDLDALQKNDAISVYNIPQHEQKKRKIEREKDEDEVDPTEIDNPATDVWLMKNKRSPWAGKKEGVQTELTEEQKKYAEEYAKKKEEKGHKGEKGEHVVDKTTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLVHTWSGHTKGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGTKFLTAGYDKNIKYWDTETGQVISTFSTGKVPYVVKLNPDEDKQNILLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNTNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYWD >KJB53705 pep chromosome:Graimondii2_0_v6:9:238165:243313:1 gene:B456_009G002100 transcript:KJB53705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPAFKKPSMDSLQIYADNSDEEDLSHQPSTLSADSSPPRLIPSKSAAPKVDDTMLALTAAEARQAQSRPIDPTQHVVAFNPTYDQLWAPTYGPAHPYAKDGIAQGMRNHKLGFVEDASIDSFVFDEQYNTFHKYGYAADPSGSNYIGDLDALQKNDAISVYNIPQHEQKKRKIEREKDEDEVDPTEIDNPATDVWLMKNKRSPWAGKKEGVQTELTEEQKKYAEEYAKKKEEKGHKGEKGEHVVDKTTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLVHTWSGHTKGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGTKFLTAGYDKNIKYWDTETGQVISTFSTGKVPYVVKLNPDEDKQNILLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNTNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYWD >KJB53703 pep chromosome:Graimondii2_0_v6:9:238208:243313:1 gene:B456_009G002100 transcript:KJB53703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLQIYADNSDEEDLSHQPSTLSADSSPPRLIPSKSAAPKVDDTMLALTAAEARQAQSRPIDPTQHVVAFNPTYDQLWAPTYGPAHPYAKDGIAQGMRNHKLGFVEDASIDSFVFDEQYNTFHKYGYAADPSGSNYIGDLDALQKNDAISVYNIPQHEQKKRKIEREKDEDEVDPTEIDNPATDVWLMKNKRSPWAGKKEGVQTELTEEQKKYAEEYAKKKEEKGHKGEKGEHVVDKTTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLVHTWSGHTKGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGTKFLTAGYDKNIKYWDTETGQVISTFSTGKVPYVVKLNPDEDKQNILLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNTNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYWD >KJB56258 pep chromosome:Graimondii2_0_v6:9:8250734:8252155:1 gene:B456_009G113400 transcript:KJB56258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKGGTKFRTSHRGTAAYQLGFKGGTKFRTSHRGTAAYQLVCFRSQISNPVGLVYRENPIMLSFNVILFALILNILLSRTIQLLLKPLRQPRLVCQLIGGVMMGPSLLARHKSFTSLVFPFTSDFVLENLGRMGLTLFLFVIGVKMDLGLLKRSGKKHLYIALASVFGPLLIVSIVAVLTRESMDEELARVSSIGEIALSLSVTTFPVHYTILEELNLLCSEVGNMALSIALISDAIGINFMVVFEAMKQGDGSAQSIIWYSISCVVMAAFTPVIRRAMVWIIEQTQEGEAVDQFYVIAILLGSFVAAFVTDMFGLGIANGSFWLGLMMPDGRPLGSTLVQKSETIITEVFMPCSFVFMGLYTDFYAMKEAGWSALRPLFSLVISGYLSKFFCTMLAAKVVGVSWRDSLAISLILSLRGYVELVLYVHWVDRNVRCLLAALLSFIYY >KJB56257 pep chromosome:Graimondii2_0_v6:9:8250734:8256055:1 gene:B456_009G113400 transcript:KJB56257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKGGTKFRTSHRGTAAYQLGFKGGTKFRTSHRGTAAYQLVCFRSQISNPVGLVYRENPIMLSFNVILFALILNILLSRTIQLLLKPLRQPRLVCQLIGGVMMGPSLLARHKSFTSLVFPFTSDFVLENLGRMGLTLFLFVIGVKMDLGLLKRSGKKHLYIALASVFGPLLIVSIVAVLTRESMDEELARVSSIGEIALSLSVTTFPVHYTILEELNLLCSEVGNMALSIALISDAIGINFMVVFEAMKQGDGSAQSIIWYSISCVVMAAFTPVIRRAMVWIIEQTQEGEAVDQFYVIAILLGSFVAAFVTDMFGLGIANGSFWLGLMMPDGRPLGSTLVQKSETIITEVFMPCSFVFMGLYTDFYAMKEAGWSALRPLFSLVISGYLSKFFCTMLAAKVVGVSWRDSLAISLILSLRGYVELVLYVHWVDRNVIGLPGYSLMILGTIVVVGILTPLISILYDPSKPYMLKQRRTIQHTAQGDRLRILVCIRGKTNLPSLVNLLQLFHPTVQNPFSLHAFYLVELIGPANPVFVEHQNQELEDLSGRFPDLEIIHHALKQYQEGREECVELHLFSAATVKPTMYQDVCKLALISKAVFIILPLEKKLDG >KJB56259 pep chromosome:Graimondii2_0_v6:9:8250635:8253598:1 gene:B456_009G113400 transcript:KJB56259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKGGTKFRTSHRGTAAYQLGFKGGTKFRTSHRGTAAYQLVCFRSQISNPVGLVYRENPIMLSFNVILFALILNILLSRTIQLLLKPLRQPRLVCQLIGGVMMGPSLLARHKSFTSLVFPFTSDFVLENLGRMGLTLFLFVIGVKMDLGLLKRSGKKHLYIALASVFGPLLIVSIVAVLTRESMDEELARVSSIGEIALSLSVTTFPVHYTILEELNLLCSEVGNMALSIALISDAIGINFMVVFEAMKQGDGSAQSIIWYSISCVVMAAFTPVIRRAMVWIIEQTQEGEAVDQFYVIAILLGSFVAAFVTDMFGLGIANGSFWLGLMMPDGRPLGSTLVQKSETIITEVFMPCSFVFMGLYTDFYAMKEAGWSALRPLFSLVISGYLSKFFCTMLAAKVVGVSWRDSLAISLILSLRGYVELVLYVHWVDRNVIGLPGYSLMILGTIVVVGILTPLISILYDPSKPYMLKQRRTIQHTAQGDRLRILVCIRGKTNLPSLVNLLQLFHPTVQNPFSLHAFYLVELIGPANPVFVEHQNQELEDLSGRFPDLEIIHHALKQYQEGREECVELHLFSAATVKPTMYQDVCKLALISKAVFIILPLEKKLDG >KJB56260 pep chromosome:Graimondii2_0_v6:9:8250734:8256075:1 gene:B456_009G113400 transcript:KJB56260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKGGTKFRTSHRGTAAYQLGFKGGTKFRTSHRGTAAYQLGGVMMGPSLLARHKSFTSLVFPFTSDFVLENLGRMGLTLFLFVIGVKMDLGLLKRSGKKHLYIALASVFGPLLIVSIVAVLTRESMDEELARVSSIGEIALSLSVTTFPVHYTILEELNLLCSEVGNMALSIALISDAIGINFMVVFEAMKQGDGSAQSIIWYSISCVVMAAFTPVIRRAMVWIIEQTQEGEAVDQFYVIAILLGSFVAAFVTDMFGLGIANGSFWLGLMMPDGRPLGSTLVQKSETIITEVFMPCSFVFMGLYTDFYAMKEAGWSALRPLFSLVISGYLSKFFCTMLAAKVVGVSWRDSLAISLILSLRGYVELVLYVHWVDRNVIGLPGYSLMILGTIVVVGILTPLISILYDPSKPYMLKQRRTIQHTAQGDRLRILVCIRGKTNLPSLVNLLQLFHPTVQNPFSLHAFYLVELIGPANPVFVEHQNQELEDLSGRFPDLEIIHHALKQYQEGREECVELHLFSAATVKPTMYQDVCKLALISKAVFIILPLEKKLDG >KJB53521 pep chromosome:Graimondii2_0_v6:9:490697:492713:1 gene:B456_009G005500 transcript:KJB53521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLASVDSYMNLQLGNAEEYVDGQFTGNLGEILISIGPSFYRCNNVLYLRGVPEDEDIEDAEKD >KJB53518 pep chromosome:Graimondii2_0_v6:9:490629:492782:1 gene:B456_009G005500 transcript:KJB53518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLASVDSYMNLQLGNAEEYVDGQFTGNLGEILIRCNNVLYLRGVPEDEDIEDAEKD >KJB53520 pep chromosome:Graimondii2_0_v6:9:490695:492732:1 gene:B456_009G005500 transcript:KJB53520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLASVDSYMNLQLGNAEEYVDGQFTGNLGEILIR >KJB53519 pep chromosome:Graimondii2_0_v6:9:490695:491934:1 gene:B456_009G005500 transcript:KJB53519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLASVDSYMNLQLGNAEEYVDGQFTGNLGEILIR >KJB58630 pep chromosome:Graimondii2_0_v6:9:17015950:17019679:1 gene:B456_009G219000 transcript:KJB58630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDEQDPRISNISSAIRVIPDFPKPGIIFQDITTLLLDTKAFRDTIDLFVERYRGKDISVVAGIEARGFIFGPPIALAIGAKFVPMRKPNKLPGEVLSEEYSLEYGKDTMEMHVDAVKAGERALIIDDLVATGGTLSAAIRLLERVGVHVVECACVIELPELKGRERLGEKPLFVLVSST >KJB58624 pep chromosome:Graimondii2_0_v6:9:17015302:17019679:1 gene:B456_009G219000 transcript:KJB58624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRALIFSSSNPICSQLPTTAPPDNTVFPKRNGGWIIPFSSYQLPAFRLRNYRRTLLPPLCSASDSAANRPNMASQDEQDPRISNISSAIRVIPDFPKPGIIFQDITTLLLDTKAFRDTIDLFVERYRGKDISVVAGIEARGFIFGPPIALAIGAKFVPMRKPNKLPAIAKFSNTFKNCSKEYDLSVNC >KJB58626 pep chromosome:Graimondii2_0_v6:9:17015302:17019679:1 gene:B456_009G219000 transcript:KJB58626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRALIFSSSNPICSQLPTTAPPDNTVFPKRNGGWIIPFSSYQLPAFRLRNYRRTLLPPLCSASDSAANRPNMASQDEQDPRISNISSAIRVIPDFPKPGIIFQDITTLLLDTKAFRDTIDLFVERYRGKDISVVAGIEARGFIFGPPIALAIGAKFVPMRKPNKLPGEVLSEEYSLEYGKDTMEMHVDAVKAGERALIIDDLVATGGTLSAAIRLLGI >KJB58628 pep chromosome:Graimondii2_0_v6:9:17015302:17019787:1 gene:B456_009G219000 transcript:KJB58628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRALIFSSSNPICSQLPTTAPPDNTVFPKRNGGWIIPFSSYQLPAFRLRNYRRTLLPPLCSASDSAANRPNMASQDEQDPRISNISSAIRVIPDFPKPGIIFQDITTLLLDTKAFRDTIDLFVERYRGKDISVVAGIEARGFIFGPPIALAIGAKFVPMRKPNKLPGEVLSEEYSLEYGKDTMEMHVDAVKAGERALIIDDLVATGGTLSAAIRLLERVGVHVVECACVIELPELKGRERLGEKPLFVLVSST >KJB58625 pep chromosome:Graimondii2_0_v6:9:17015302:17019679:1 gene:B456_009G219000 transcript:KJB58625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRALIFSSSNPICSQLPTTAPPDNTVFPKRNGGWIIPFSSYQLPAFRLRNYRRTLLPPLCSASDSAANRPNMASQDEQDPRISNISSAIRVIPDFPKPGIIFQDITTLLLDTKAFRDTIDLFVERYRGKDISVVAGIEARGFIFGPPIALAIGAKFVPMRKPNKLPGEVLSEEYSLEYGKDTMEMHVDAVKAGERALIIDDLVATGGTLSAAIRLLGI >KJB58629 pep chromosome:Graimondii2_0_v6:9:17015302:17019679:1 gene:B456_009G219000 transcript:KJB58629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDEQDPRISNISSAIRVIPDFPKPGIIFQDITTLLLDTKAFRDTIDLFVERYRGKDISVVAGIEARGFIFGPPIALAIGAKFVPMRKPNKLPGEVLSEEYSLEYGKDTMEMHVDAVKAGERALIIDDLVATGGTLSAAIRLLERVGVHVVECACVIELPELKGRERLGEKPLFVLVSST >KJB58627 pep chromosome:Graimondii2_0_v6:9:17015760:17019679:1 gene:B456_009G219000 transcript:KJB58627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDEQDPRISNISSAIRVIPDFPKPGIIFQDITTLLLDTKAFRDTIDLFVERYRGKDISVVAGIEARGFIFGPPIALAIGAKFVPMRKPNKLPGEVLSEEYSLEYGKDTMEMHVDAVKAGERALIIDDLVATGGTLSAAIRLLERVGVHVVECACVIELPELKGRERLGEKPLFVLVSST >KJB53673 pep chromosome:Graimondii2_0_v6:9:117067:119000:-1 gene:B456_009G000400 transcript:KJB53673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLELQSRSGVAPPGMDEENLGHPSEQPAKTPKKLTLIPLIFLIYFEVAGGPYGEEPAVQAAGPLLALLGFLLFPFIWSVPEALITAELSTAFPGNGGFVIWAERAFGPFFGSLMGSWKFLSGVINIAAFPVLCVDYLEKIIHPLESGWPRHISILISTLVLSFVNYTGLAIVGWAAVLLGVISLSPFIIMSLIAIPKIQPHRWLSLGQKGVKRDWNLFFNTLFWNLNFWDNVSTLAGEVDKPQKTFPRALLVAVVFTCLAYLVPLFAVIGAVSVDQRDWGSGFHADAAELIAGKWLKVWIEVGAVLSAIGLFEAQLSSCAYQLEGMANLAILPKLFGSRAKWFNTPWFRDASGVFFFCLVEEKVARNKKTLQGASQDSGTSSHVLNPICIPCSYYGYCH >KJB62555 pep chromosome:Graimondii2_0_v6:9:65963962:65971841:1 gene:B456_009G422400 transcript:KJB62555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGKVGSLISQGVYSVATPFHPFGGAVDIIVVRQPDGTFRSTPWYVRFGKFQGVLKGAEKVVRITVNGIEADFHMYLDNSGQAYFLKEVESGKGFETNGDLKDSDGEVVSDSWVAQLRDECDATNQKQLERAESDTRFYDFQDDQFSQEGLVNFSEYGSNRYEGLDSECFGEAKGLDSVVFFSEDGPILTAPVLASDRSAENVQLSTPLFHIGAGEGPDSCDGNGEFSPGGNESDADYIGKLNAAAPKNSSDIVCSLDNDSTALRHHLEVCEKGGEHACQTEETRNLFKHENEFIRQSYSEDASVHIKDDVFKSCLELSELGRHDENTNSEEIDSPLQAQISQDKPSCSPPEVGETENGAIGGSRNKDVLSSSCSPNYSNENGSPDLPVEKTMLATDNMGSNNASVDLVVNDPELRDEQFNTSAATEGTNSSLQSPPPEDKSSISETVETETSCAKEIDVRASLGFEISLCGNELYVGMGSDAAAEVFESHRISMEEYKNNAMSIIKNTNLIIRFGEMYFTWEKAAPVVLGMAAFGLELAIEPQDAIPVEKDESSKPKGGASGVTSAPSGRRWRLWSIPLKRVKTLEKTGSNLSSEEVFLDTESSLQNSPEDLIPTSSGRIESPGKQFVRTNIPTNEQIASLNLKNGQNMITFSFSSRVLGIQQVEAHLYLWKWIAKIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVANLFSAIKGNGYQLLFLSARAIVQAYLTRSFLLNLKQDGKALPSGPVVISPDGLFPSLYREVIRRTPHEFKIACLKNIRKLFPSDYNPFYAGFGNRDTDELSYKEIGIPKGKRFIINPKGEVAVSYCMNTRSYTSLHTLVEEMFPPTSSIEPEDYNEWNFWKVPLQDME >KJB62553 pep chromosome:Graimondii2_0_v6:9:65963821:65971841:1 gene:B456_009G422400 transcript:KJB62553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGKVGSLISQGVYSVATPFHPFGGAVDIIVVRQPDGTFRSTPWYVRFGKFQGVLKGAEKVVRITVNGIEADFHMYLDNSGQAYFLKEVESGKGFETNGDLKDSDGEVVSDSWVAQLRDECDATNQKQLERAESDTRFYDFQDDQFSQEGLVNFSEYGSNRYEGLDSECFGEAKGLDSVVFFSEDGPILTAPVLASDRSAENVQLSTPLFHIGAGEGPDSCDGNGEFSPGGNESDADYIGKLNAAAPKNSSDIVCSLDNDSTALRHHLEVCEKGGEHACQTEETRNLFKHENEFIRQSYSEDASVHIKDDVFKSCLELSELGRHDENTNSEEIDSPLQAQISQDKPSCSPPEVGETENGAIGGSRNKDVLSSSCSPNYSNENGSPDLPVEKTMLATDNMGSNNASVDLVVNDPELRDEQFNTSAATEGTNSSLQSPPPEDKSSISETVETETSCAKEIDVRASLGFEISLCGNELYVGMGSDAAAEVFESHRISMEEYKNNAMSIIKNTNLIIRFGEMYFTWEKAAPVVLGMAAFGLELAIEPQDAIPVEKDESSKPKGGASGVTSAPSGRRWRLWSIPLKRVKTLEKTGSNLSSEEVFLDTESSLQNSPEDLIPTSSGRIESPGKQFVRTNIPTNEQIASLNLKNGQNMITFSFSSRVLGIQQVEAHLYLWKWIAKIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVANLFSAIKGNGYQLLFLSARAIVQAYLTRSFLLNLKQDGKALPSGPVVISPDGLFPSLYREVIRRTPHEFKIACLKNIRKLFPSDYNPFYAGFGNRDTDELSYKEIGIPKGKRFIINPKGEVAVSYCMNTRSYTSLHTLVEEMFPPTSSIEPEDYNEWNFWKVPLQDME >KJB62554 pep chromosome:Graimondii2_0_v6:9:65963962:65969413:1 gene:B456_009G422400 transcript:KJB62554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGKVGSLISQGVYSVATPFHPFGGAVDIIVVRQPDGTFRSTPWYVRFGKFQGVLKGAEKVVRITVNGIEADFHMYLDNSGQAYFLKEVESGKGFETNGDLKDSDGEVVSDSWVAQLRDECDATNQKQLERAESDTRFYDFQDDQFSQEGLVNFSEYGSNRYEGLDSECFGEAKGLDSVVFFSEDGPILTAPVLASDRSAENVQLSTPLFHIGAGEGPDSCDGNGEFSPGGNESDADYIGKLNAAAPKNSSDIVCSLDNDSTALRHHLEVCEKGGEHACQTEETRNLFKHENEFIRQSYSEDASVHIKDDVFKSCLELSELGRHDENTNSEEIDSPLQAQISQDKPSCSPPEVGETENGAIGGSRNKDVLSSSCSPNYSNENGSPDLPVEKTMLATDNMGSNNASVDLVVNDPELRDEQFNTSAATEGTNSSLQSPPPEDKSSISETVETETSCAKEIDVRASLGFEISLCGNELYVGMGSDAAAEVFESHRISMEEYKNNAMSIIKNTNLIIRFGEMYFTWEKAAPVVLGMAAFGLELAIEPQDAIPVEKDESSKPKGGASGVTSAPSGRRWRLWSIPLKRVKTLEKTGSNLSSEEVFLDTESSLQNSPEDLIPTSSGRIESPGKQFVRTNIPTNEQIASLNLKNGQNMITFSFSSRVLGIQQVEAHLYLWKWIAKIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVANLFSAIKGNGYQLLFLSARAIVQAYLTRSFLLNLKQDGKALPSGPVVISPDGLFPSLYREGEIFHSLFSLTLFVY >KJB59042 pep chromosome:Graimondii2_0_v6:9:23812621:23813035:-1 gene:B456_009G281500 transcript:KJB59042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTEGEFQDGARKLQISIRCAKAAFLLSSLKSSVNRVNDEPEEKEKLIREIENLRVAFVKERLKINKIKLCGLTELILQVVFAILISCFFTKQAFDTFHY >KJB59041 pep chromosome:Graimondii2_0_v6:9:23812417:23813286:-1 gene:B456_009G281500 transcript:KJB59041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTEGEFQDGARKLQISIRCAKAAFLLSSLKSSVNRVNDEPELIREIENLRVAFVKERLKINKIKLCGLTELILQVVFAILISCFFTKQAFDTFHY >KJB56143 pep chromosome:Graimondii2_0_v6:9:7810060:7817019:1 gene:B456_009G107700 transcript:KJB56143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWQLTALSASSPVDSILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLQYIVEEPPEDADNKRSFKFPFIACEIFTCEIDVILRTLAEEEELMNLLFSFLEPNRPHSALLAGYFSKVVVCLMLRKTVPLMNYVQVHQDVFCQLVDLIGITSIMEVLVRLVAADDHVYPNFSDVMQWLADSNLLEMIVDKLSPSCAPEVHANAAETLCAITRNVPSALATKLSSPSFVARIFGHALEDSHSKSGLVNSLSVCISLLDPKRSAINSPLMYSFRNQLMYEPPIPVNSETINAMLPQLGDLLMLLNVSSDEKILPTTYGELRPPLGKHRLQIVEFIAVLLRTGNEAAQKELVNSGAIRRVLDLFFEYPYNNALHHHVESIMLSCLESKNDAIVDHLLQECDLIGKFLQTDKHQILSSDSNQPTLVAAGKRATRVGNIGHITRISNKLVQLGCSNSRIQACLQENSEWNEWQAKVLQERNAVENVYRWACGRPTSLQDRTRDSDEDDLHDRDYDVAALANNLSQAFRYRMHGNDDNEEQGALDRDDEDVYFDDESAEVVISSLRLGDEQGSLFTNSNWFAFQDDRTGNAPAATSPTEVMTEMNLNGTANGGNSSSDDEVVVGEEDELNENSQSVNSTSTSDVMNEFNNFMSRGDLSPQGEKANATQDMGFFRFETTENELFGDRSLPEWVRWGEYSDLQVGGSSKNPFLDDDSSDVNLPSQTETMVTDVAPPLNGSSDSMDLSEGSEGSDTNQKSPPLVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGQLKRSSVPKVPEKENPDDDGAGMQEFNDSNYWRVDQEVSVSE >KJB56146 pep chromosome:Graimondii2_0_v6:9:7811286:7816958:1 gene:B456_009G107700 transcript:KJB56146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLFSFLEPNRPHSALLAGYFSKVVVCLMLRKTVPLMNYVQQVHQDVFCQLVDLIGITSIMEVLVRLVAADDHVYPNFSDVMQWLADSNLLEMIVDKLSPSCAPEVHANAAETLCAITRNVPSALATKLSSPSFVARIFGHALEDSHSKSGLVNSLSVCISLLDPKRSAINSPLMYSFRNQLMYEPPIPVNSETINAMLPQLGDLLMLLNVSSDEKILPTTYGELRPPLGKHRLQIVEFIAVLLRTGNEAAQKELVNSGAIRRVLDLFFEYPYNNALHHHVESIMLSCLESKNDAIVDHLLQECDLIGKFLQTDKHQILSSDSNQPTLVAAGKRATRVGNIGHITRISNKLVQLGCSNSRIQACLQENSEWNEWQAKVLQERNAVENVYRWACGRPTSLQDRTRDSDEDDLHDRDYDVAALANNLSQAFRYRMHGNDDNEEQGALDRDDEDVYFDDESAEVVISSLRLGDEQGSLFTNSNWFAFQDDRTGNAPAATSPTEVMTEMNLNGTANGGNSSSDDEVVVGEEDELNENSQSVNSTSTSDVMNEFNNFMSRGDLSPQGEKANATQDMGFFRFETTENELFGDRSLPEWVRWGEYSDLQVGGSSKNPFLDDDSSDVNLPSQTETMVTDVAPPLNGSSDSMDLSEGSEGSDTNQKSPPLVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGQLKRSSVPKVPEKENPDDDGAGMQEFNDSNYWRVDQEVSVSE >KJB56145 pep chromosome:Graimondii2_0_v6:9:7810137:7817075:1 gene:B456_009G107700 transcript:KJB56145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWQLTALSASSPVDSILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLQYIVEEPPEDADNKRSFKFPFIACEIFTCEIDVILRTLAEEEELMNLLFSFLEPNRPHSALLAGYFSKVVVCLMLRKTVPLMNYVQVHQDVFCQLVDLIGITSIMEVLVRLVAADDHVYPNFSDVMQWLADSNLLEMIVDKLSPSCAPEVHANAAETLCAITRNVPSALATKLSSPSFVARIFGHALEDSHSKSGLVNSLSVCISLLDPKRSAINSPLMYSFRNQLMYEPPIPVNSETINAMLPQLGDLLMLLNVSSDEKILPTTYGELRPPLGKHRLQIVEFIAVLLRTGNEAAQKELVNSGAIRRVLDLFFEYPYNNALHHHVESIMLSCLESKNDAIVDHLLQECDLIGKFLQTDKHQILSSDSNQPTLVAAGKRATRVGNIGHITRISNKLVQLGCSNSRIQACLQENSEWNEWQAKVLQERNAVENVYRWACGRPTSLQDRTRDSDEDDLHDRDYDVAALANNLSQAFRYRMHGNDDNEEQGALDRDDEDVYFDDESAEVVISSLRLGDEQGSLFTNSNWFAFQDDRTGNAPAATSPTEVMTEMNLNGTANGGNSSSDDEVVVGEEDELNENSQSVNSTSTSDVMNEFNNFMSRGDLSPQGEKANATQDMGFFRFETTENELFGDRSLPEWVRWGEYSDLQVGGSSKNPFLDDDSSDVNLPSQTETMVTDVAPPLNGSSDSMDLSEGSEGSDTNQKSPPLVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGQLKRSSVPKVPEKENPDDDGAGMQEFNDSNYWRVDQEVSVSE >KJB56144 pep chromosome:Graimondii2_0_v6:9:7810379:7816958:1 gene:B456_009G107700 transcript:KJB56144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWQLTALSASSPVDSILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLQYIVEEPPEDADNKRSFKFPFIACEIFTCEIDVILRTLAEEEELMNLLFSFLEPNRPHSALLAGYFSKVVVCLMLRKTVPLMNYVQVHQDVFCQLVDLIGITSIMEVLVRLVAADDHVYPNFSDVMQWLADSNLLEMIVDKLSPSCAPEVHANAAETLCAITRNVPSALATKLSSPSFVARIFGHALEDSHSKSGLVNSLSVCISLLDPKRSAINSPLMYSFRNQLMYEPPIPVNSETINAMLPQLGDLLMLLNVSSDEKILPTTYGELRPPLGKHRLQIVEFIAVLLRTGNEAAQKELVNSGAIRRVLDLFFEYPYNNALHHHVESIMLSCLESKNDAIVDHLLQECDLIGKFLQTDKHQILSSDSNQPTLVAAGKRATRVGNIGHITRISNKLVQLGCSNSRIQACLQENSEWNEWQAKVLQERNAVENVYRWACGRPTSLQDRTRDSDEDDLHDRDYDVAALANNLSQAFRYRMHGNDDNEEQGALDRDDEDVYFDDESAEVVISSLRLGDEQGSLFTNSNWFAFQDDRTGNAPAATSPTEVMTEMNLNGTANGGNSSSDDEVVVGEEDELNENSQSVNSTSTSDVMNEFNNFMSRGDLSPQGEKANATQDMGFFRFETTENELFGDRSLPEWVRWGEYSDLQVGGSSKNPFLDDDSSDVNLPSQTETMVTDVAPPLNGSSDSMDLSEGSEGSDTNQKSPPLVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGQLKRSSVPKVPEKENPDDDGAGMQEFNDSNYWRVDQEVSVSE >KJB57381 pep chromosome:Graimondii2_0_v6:9:12272315:12274757:1 gene:B456_009G160300 transcript:KJB57381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIKPKSALTNSNNKSRLARTFQKVINLRTATKIASSNGVGICVLASQRKLPHHGSTPDRKTSSHAPNNSMVKQKAVLEALLAKVFASVTSIKAAYAELQLAQHPYNSDAIQASDQAVVEELRALSEVKRKFLKKELDLSPQVTLMLAEIQEQQSLMRTYGITIKKLESDVEEKGSDIALLRKQLEECTTFNKSLEKKLNASGPLSMFDDFQFTKLNPSHFVQVLHFCLRSARSFVKVMVKEMESAKWDLDAAAKTIEPGAVFARESHKCFAFESFVCRTMLEGFDSPDFGVAKESDSKRLDPEQHFNTFKTLKSAHPKSFLAQNPSSSLARFTRTKYLNLVHAKMECSFFGNLNQRKLVTSGGFPDTAFFTAFAEMSRRFWLLHCLGFSMHEQVSIFQVKKDCSFSEIYMENVTEESLLSGEINDGNVDVRVSFTVVPGFKIGATVIQSQVYLSPVITPPVSR >KJB54434 pep chromosome:Graimondii2_0_v6:9:2594216:2594949:1 gene:B456_009G034600 transcript:KJB54434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSAVSMALPLTRATQNRVPASEAFFKPLPLKPSGAIPTTTSNGRLQVKAAASSLKDKAVTGLTAAAITTSMVIPEVAQAADGVSPSLKNFLLSIVAGGVVLVAIVGAVIGVSNFDPVKRT >KJB59512 pep chromosome:Graimondii2_0_v6:9:21373314:21375872:1 gene:B456_009G259200 transcript:KJB59512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKKNGFIPSCAPEELKKVLKAVASEWGDMIKDMEEFHVVPLKGALTNEVFQINWPTKHGELHQKVLVRVYGEGVEVFFNRDDEIRTFECMSKHGQGPRLLGRFPDGRIEEFIHARTLSAADLRDPEISSLVAAKLREFHNLDMPGPKDVLLWKRLRTWLSLSKKFCSPEAAKEFGLDILGDEISILEKELSQGYQEIGFCHNDLQYGNIMMDEETRVITLIDYEYASYNPIAYDLANHFCEMAANYHSETPHILDFSICPDMEERRRFIRAYIASSGNEPSDAEVEQLLVDAEKYTLANHLFWGLWGIISGHVNKIEFDYLEYARQRFQQYWFKKTLALESLTL >KJB59510 pep chromosome:Graimondii2_0_v6:9:21372683:21375929:1 gene:B456_009G259200 transcript:KJB59510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFASINKSIQSTPSFHQALFSSKTFRPLIKEKKSFGVSVCNFLGFYQSLWSLEFYLLIHTQMAIKKNGFIPSCAPEELKKVLKAVASEWGDMIKDMEEFHVVPLKGALTNEVFQINWPTKHGELHQKVLVRVYGEGVEVFFNRDDEIRTFECMSKHGQGPRLLGRFPDGRIEEFIHARTLSAADLRDPEISSLVAAKLREFHNLDMPGPKDVLLWKRLRTWLSLSKKFCSPEAAKEFGLDILGDEISILEKELSQGYQEIGFCHNDLQYGNIMMDEETRVITLIDYEYASYNPIAYDLANHFCEMAANYHSETPHILDFSICPDMEERRRFIRAYIASSGNEPSDAEVEQLLVDAEKYTLANHLFWGLWGIISGHVNKIEFDYLEYARQRFQQYWFKKTLALESLTL >KJB59511 pep chromosome:Graimondii2_0_v6:9:21372799:21375897:1 gene:B456_009G259200 transcript:KJB59511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKKNGFIPSCAPEELKKVLKAVASEWGDMIKDMEEFHVVPLKGALTNEVFQINWPTKHGELHQKVLVRVYGEGVEVFFNRDDEIRTFECMSKHGQGPRLLGRFPDGRIEEFIHARTLSAADLRDPEISSLVAAKLREFHNLDMPGPKDVLLWKRLRTWLSLSKKFCSPEAAKEFGLDILGDEISILEKELSQGYQEIGFCHNDLQYGNIMMDEETRVITLIDYEYASYNPIAYDLANHFCEMAANYHSETPHILDFSICPDMEERRRFIRAYIASSGNEPSDAEVEQLLVDAEKYTLANHLFWGLWGIISGHVNKIEFDYLEYARQRFQQYWFKKTLALESLTL >KJB60807 pep chromosome:Graimondii2_0_v6:9:32710356:32711644:1 gene:B456_009G326900 transcript:KJB60807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDHGNGNIEYSRTDIEETDGIGSNKQSGEYQGLFARNQSRNGRHGTVEKGTNKHATKHLELDDESGSSEHSSKGLEVNHFETNPEVSKGWGDNHNFEILGDSSKQSEVIQEKKDWIEGNIHISAEKRSLSSSSPLTSSSSLSTDDQFEVDINLSKTKSGPKPEQKATQIQSLEHKHHVSDGDASLALASQVSCVTHEPTLMQSPLVQVMDRVGGLDSSKIPSAVFARSKSITPMDWSLPSSESLFSIQLGDNSFSRDYILSSKSRELFKSGEFVELSPLAIVLQGDTVKKGVESDKSEATSISDDVVKDKTGRSVEGQVIEKPARPMVSWNSDTTAYHSVDNVASVHSIDFPM >KJB62451 pep chromosome:Graimondii2_0_v6:9:64876907:64878683:-1 gene:B456_009G417600 transcript:KJB62451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRGRRRILVGLAVAMFLGVAVYFRLWAIHYSISSDDAELLRRQFDLANKEAMDESAEWRLRFDEEADKASKCAKELDEVTPFLLSKFLVEIKESIGKKGDSTGFEEKLMLLQKENAALLKQVETLKNELEAEKRRCHT >KJB62452 pep chromosome:Graimondii2_0_v6:9:64876490:64878976:-1 gene:B456_009G417600 transcript:KJB62452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRGRRRILVGLAVAMFLGVAVYFRLWAIHYSISSDDAELLRRQFDLANKEAMDESAEWRLRFDEEADKASKCAKELDEIKESIGKKGDSTGFEEKLMLLQKENAALLKQVETLKNELEAEKRRCHT >KJB54983 pep chromosome:Graimondii2_0_v6:9:4107892:4111446:-1 gene:B456_009G056900 transcript:KJB54983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHRFDVAWTFSLVALFFLRAHCFYLPGVAPEDFKKGDPLLVKVNKLTSTKTQLPYSYYSLPFCQPEHIVDSAENLGEVLRGDRIENSPYVFKMREPQMCNIVCRKILDKKAAKAFKEKINDEYRVNMILDNLPLVVPIRRPDQENAVVYQYGYHVGLRGRYAGSKEEKHFIHNHLTFTVKYHKDPLSGSARIVGFEVKPFSVRHEYEGEWNEKTRLTTCDPHAKRAVTSSESPQEVEEKKEIIFTYDVEFQESDIKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPANSDLLCVYVGTGVQFFGMILVMMIFALLGFLSPSNRGGLMTAMLLLWVFMGLFAGYSSARLYKLFKGTEWKKITLKTAFMFPATVFAIFFVLNALIWGQKSSGAVPFGTMFALVLLWFGISVPLVFVGSYFGFKKPATEDPVKTNKIPRQIPEQAWYMKPAFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFVILIITCAEITVVLCYFQLCSEDYLWWWRSYLTSGSSAFYLFLYAAFYFFTKLEITKAVSGVLYFGYMLIISYSFFVLTGTIGFYACFWFTRLIYSSVKID >KJB61320 pep chromosome:Graimondii2_0_v6:9:44824381:44832842:-1 gene:B456_009G351300 transcript:KJB61320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKRSGQGDGPVSGPVRTSDRLRRRPKVYGRTYLYYTPTIIRTKKSKTKTRTAASRIAKMLSSGDRSVRTSKNKSGAPNLRRSSRKRRVSVSLNNFTDSSGSGDEDMMRSSYQTLSNRVGNSVSQDESPSPKRKKTMETTETPRREGLRPRRSKAVAVKRINLDYHAEQETSEEKVGEDETENGNDLDDDAADDGQNESEGDAEDEGDGEAEGEGEDEGEDDDDDDEEGEEEQEGRRRYDLRNRADVRRLSMNESKQRARSPRRVLHQGMGTKVSRDVRKGGSRVHKGHRLTRTEDSDDSLLVDELDQGPAIPWGRGGSKSGQPWLFGGLDMHVTAAWGLNVAASGWGHQSDAFATLTSGIQTAGPSSKGGADIQPLQIDESVSFDEIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKQPPSKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFLIDVESVKVEKYHFIEAMSTITPAAHRGSVVQSRPLSLMVAPCLQRHLQNVMNYISDIFPPLTVSSELTKLSMLSYGSAIPLVYRPRLLLCGGDGVGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEAVVHIFGEARRATPSILYIPQFNLWWDTAHEQLRAVLLTLLEELPSDLTILLLGTSSISLAEFDGNPYSIFPQRSVYQVDKPSTEDRSLFFDHLIEAAMSVLLEFVTKRPKESASLPELPKVPKVASGPKVSELKAKVEAEQHALRRLRMCLRDVCNRILYDKRFSAFHYPVTDEDAPNYHSIIQNPMDIATLLQRVDSGQYLTCSSFLQDVDLVVANAKAYNGDDYNGSRIVSRAYELRDSVHGMLSQMDPSLVAYCDKIAAQGGPANMPDGIGVSSLPSVPVVQQGTITRASARLRNVQPEANLQSYEALKWPKKNADTALSEDKLRNVDSIQTKLASQTLEANENCERPESSFGDGNQQETCTEWCDVIDGSGSKEARMSGGEFSKQVETVKQLFVERTENYGIPELERLYSRIMKGIFESREKGVGDDPKPSILKFLIQFAEDEANF >KJB61319 pep chromosome:Graimondii2_0_v6:9:44824145:44834697:-1 gene:B456_009G351300 transcript:KJB61319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKRSGQGDGPVSGPVRTSDRLRRRPKVYGRTYLYYTPTIIRTKKSKTKTRTAASRIAKMLSSGDRSVRTSKNKSGAPNLRRSSRKRRVSVSLNNFTDSSGSGDEDMMRSSYQTLSNRVGNSVSQDESPSPKRKKTMETTETPRREGLRPRRSKAVAVKRINLDYHAEQETSEEKVGEDETENGNDLDDDAADDGQNESEGDAEDEGDGEAEGEGEDEGEDDDDDDEEGEEEQEGRRRYDLRNRADVRRLSMNESKQRARSPRRVLHQGMGTKVSRDVRKGGSRVHKGHRLTRTEDSDDSLLVDELDQGPAIPWGRGGSKSGQPWLFGGLDMHVTAAWGLNVAASGWGHQSDAFATLTSGIQTAGPSSKGGADIQPLQIDESVSFDEIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKQPPSKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFLIDVESVKVEKYHFIEAMSTITPAAHRGSVVQSRPLSLMVAPCLQRHLQNVMNYISDIFPPLTVSSELTKLSMLSYGSAIPLVYRPRLLLCGGDGVGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEAVVHIFGEARRATPSILYIPQFNLWWDTAHEQLRAVLLTLLEELPSDLTILLLGTSSISLAEFDGNPYSIFPQRSVYQVDKPSTEDRSLFFDHLIEAAMSVLLEFVTKRPKESASLPELPKVPKVASGPKVSELKAKVEAEQHALRRLRMCLRDVCNRLITEMIIMVLELLVELMNYGIQYMVCCLRWTLHWLLIVTKLLPKGVQQICRMA >KJB61321 pep chromosome:Graimondii2_0_v6:9:44826883:44832842:-1 gene:B456_009G351300 transcript:KJB61321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKRSGQGDGPVSGPVRTSDRLRRRPKVYGRTYLYYTPTIIRTKKSKTKTRTAASRIAKMLSSGDRSVRTSKNKSGAPNLRRSSRKRRVSVSLNNFTDSSGSGDEDMMRSSYQTLSNRVGNSVSQDESPSPKRKKTMETTETPRREGLRPRRSKAVAVKRINLDYHAEQETSEEKVGEDETENGNDLDDDAADDGQNESEGDAEDEGDGEAEGEGEDEGEDDDDDDEEGEEEQEGRRRYDLRNRADVRRLSMNESKQRARSPRRVLHQGMGTKVSRDVRKGGSRVHKGHRLTRTEDSDDSLLVDELDQGPAIPWGRGGSKSGQPWLFGGLDMHVTAAWGLNVAASGWGHQSDAFATLTSGIQTAGPSSKGGADIQPLQIDESVSFDEIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKQPPSKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFLIDVESVKVEKYHFIEAMSTITPAAHRGSVVQSRPLSLMVAPCLQRHLQNVMNYISDIFPPLTVSSELTKLSMLSYGSAIPLVYRPRLLLCGGDGVGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEAVVHIFGEARRATPSILYIPQFNLWWDTAHEQLRAVLLTLLEELPSDLTILLLGTSSISLAEFDGNPYSIFPQRSVYQVDKPSTEDRSLFFDHLIEAAMSVLLEFVTKRPKESASLPELPKVPKVASGPKVSELKAKVEAEQHALRRLRMCLRDVCNRILYDKRFSAFHYPVTDEDAPNYHSIIQNPMDIATLLQRVDSGQYLTCSSFLQDVDLVVANAKVWVCQILDAIYSKFHSFLNLVEHLPCY >KJB62840 pep chromosome:Graimondii2_0_v6:9:69163579:69167927:1 gene:B456_009G440200 transcript:KJB62840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTVPEAEDKTISRAMGVKDERLKLISEGCDPIRKDVKHESKDILSEVSRTHNAIQTLDKTISNLEMELAATRAVQESIINGSPISDELKIPETNGKRKYLMVVGINTAFSSRKRRDSVRATWMPQGEERKKLEEEKGIIMRFVIGHSATSGGILDRAIEAEDRKHGDFLRLEHVEGYLELSAKTKTYFATAAALWDADFYVKVDDDVHVNIATLGATLARHRTKPRVYIGCMKSGPVLAQKGVRYHEPEHWKFGEEGNKYFRHATGQLYAISRDLASYISINQHVLHKYANEDVSLGSWFIGLDVDHIDDRSLCCGTTDCEWKAQAGNVCVASFDWTCSGICKSVERMKDVHRRCGEGKNALWTEA >KJB62839 pep chromosome:Graimondii2_0_v6:9:69163579:69167927:1 gene:B456_009G440200 transcript:KJB62839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTVPEAEDKTISRAMGVKDERLKLISEGCDPIRQKDVKHESKDILSEVSRTHNAIQTLDKTISNLEMELAATRAVQESIINGSPISDELKIPETNGKRKYLMVVGINTAFSSRKRRDSVRATWMPQGEERKKLEEEKGIIMRFVIGHSATSGGILDRAIEAEDRKHGDFLRLEHVEGYLELSAKTKTYFATAAALWDADFYVKVDDDVHVNIATLGATLARHRTKPRVYIGCMKSGPVLAQKGVRYHEPEHWKFGEEGNKYFRHATGQLYAISRDLASYISINQHVLHKYANEDVSLGSWFIGLDVDHIDDRSLCCGTTDCEWKAQAGNVCVASFDWTCSGICKSVERMKDVHRRCGEGKNALWTEA >KJB62837 pep chromosome:Graimondii2_0_v6:9:69162923:69167927:1 gene:B456_009G440200 transcript:KJB62837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKSKGFDPNPKSMVTKKLTLLLCIGCFCAGMLFSDRMWTVPEAEDKTISRAMGVKDERLKLISEGCDPIRKDVKHESKDILSEVSRTHNAIQTLDKTISNLEMELAATRAVQESIINGSPISDELKIPETNGKRKYLMVVGINTAFSSRKRRDSVRATWMPQGEERKKLEEEKGIIMRFVIGHSATSGGILDRAIEAEDRKHGDFLRLEHVEGYLELSAKTKTYFATAAALWDADFYVKVDDDVHVNIATLGATLARHRTKPRVYIGCMKSGPVLAQKGVRYHEPEHWKFGEEGNKYFRHATGQLYAISRDLASYISINQHVLHKYANEDVSLGSWFIGLDVDHIDDRSLCCGTTDCEWKAQAGNVCVASFDWTCSGICKSVERMKDVHRRCGEGKNALWTEA >KJB62836 pep chromosome:Graimondii2_0_v6:9:69162907:69167927:1 gene:B456_009G440200 transcript:KJB62836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKSKGFDPNPKSMVTKKLTLLLCIGCFCAGMLFSDRMWTVPEAEDKTISRAMGVKDERLKLISEGCDPIRKDVKHESKDILSEVSRTHNAIQTLDKTISNLEMELAATRAVQESIINGSPISDELKIPETNGKRKYLMVVGINTAFSSRKRRDSVRATWMPQGEERKKLEEEKGIIMRFVIGHSATSGGILDRAIEAEDRKHGDFLRLEHVEGYLELSAKTKTYFATAAALWDADFYVKVDDDVHVNIATLGATLARHRTKPRVYIGCMKSGPVLAQKGVRYHEPEHWKFGEEGNKYFRHATGQLYAISRDLASYISINQHVLHKYANEDVSLGSWFIGLDVDHIDDRSLCCGTTDCEWKAQAGNVCVASFDWTCSGICKSVERMKDVHRRCGEGKNALWTEA >KJB62838 pep chromosome:Graimondii2_0_v6:9:69162937:69167927:1 gene:B456_009G440200 transcript:KJB62838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKSKGFDPNPKSMVTKKLTLLLCIGCFCAGMLFSDRMWTVPEAEDKTISRAMGVKDERLKLISEGCDPIRKDVKHESKDILSEVSRTHNAIQTLDKTISNLEMELAATRAVQESIINGSPISDELKIPETNGKRKYLMVVGINTAFSSRKRRDSVRATWMPQGEERKKLEEEKGIIMRFVIGHSATSGGILDRAIEAEDRKHGDFLRLEHVEGYLELSAKTKTYFATAAALWDADFYVKVDDDVHVNIATLGATLARHRTKPRVYIGCMKSGPVLAQK >KJB55249 pep chromosome:Graimondii2_0_v6:9:4917423:4928132:1 gene:B456_009G069300 transcript:KJB55249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAWFLFLSIIVSVVVYAVWVSPSRTFLFLNSISKSVKNIMGWGNIYRRRMKVFTAALIIYLDYKAVQQRGKWTSKSKRTALWEKAHERNAKRVLSLIIKLEGLWVKLGQYLSTRADVLPEAYISLLKQLQDSLPPRPLKEVCRTIQKEFGKSMDDLFAEFVEKPLATASIAQVHRATLLNGQEVVVKVQHDGIKAIILEDLKNAKSIVNWIAWAEPQYDFNPMIDEWCKEAPKELDFDHEAENTRTVAANLGCKKSPGESYSSNRVNVLIPEVIQSTKSVLILEYMDGIRLNDTASLEAFGIDKQNIVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEAPHRPILLDFGLTKKLSSSMKHALAKMFLASAEGDHVALLSAFSEMGLRLRLDMPEQVMEITSVFFRSSTPATEAQQNLKSLAEQREKNMKAIQEKLQLNQKEVKRFNPVDAFPGDIVIFGRVLNLLRGLSSTMNVRIVYMDIMKPFAEAVLGNINKTPAANAQWIYNTPVHSDVEAKLRRLLVELGNNDKILGIQVCAYKDGEVIIDTAAGVLGRYDPRPVQPDTLFSVFSATKGITAGMLHWLVDNGKLKLDENVANIWPEFRGNGKEHIKVHHILNHTSGLHNALADLRGENAFLMTEWDACLKLIEASQPETEPGKQQLYHYLSFGWLCGGIVEHASGKKFQEILEEALIRPLKIEGELYVGIPPGVEARLANLTLDTDDLKKFSEMRNRAEMPSTFQLNNISEFAEYLPAFFNMLNVRRAIVPAANGHCSARALARYYAALADCGVVPPPHSSASNPPLGSHPHIPKFPSKKTDEKQKGKVDGGLKNERSDGRQNVYIRIEEDDDNDEEKCSKSSRDTSKGAGPENKKGKIFSNPRVHEAFMGVGEYENMCLRDGVFGLGFRRLKSKDGDGSYSGFGHSGMGGSTGFCDVKNRFAMAVTLNKLSFGGVTAKIVELICSELNLPLPEGLSGSGDINRPLIN >KJB55250 pep chromosome:Graimondii2_0_v6:9:4917601:4928132:1 gene:B456_009G069300 transcript:KJB55250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLFAEFVEKPLATASIAQVHRATLLNGQEVVVKVQHDGIKAIILEDLKNAKSIVNWIAWAEPQYDFNPMIDEWCKEAPKELDFDHEAENTRTVAANLGCKKSPGESYSSNRVNVLIPEVIQSTKSVLILEYMDGIRLNDTASLEAFGIDKQNIVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEAPHRPILLDFGLTKKLSSSMKHALAKMFLASAEGDHVALLSAFSEMGLRLRLDMPEQVMEITSVFFRSSTPATEAQQNLKSLAEQREKNMKAIQEKLQLNQKEVKRFNPVDAFPGDIVIFGRVLNLLRGLSSTMNVRIVYMDIMKPFAEAVLGNINKTPAANAQWIYNTPVHSDVEAKLRRLLVELGNNDKILGIQVCAYKDGEVIIDTAAGVLGRYDPRPVQPDTLFSVFSATKGITAGMLHWLVDNGKLKLDENVANIWPEFRGNGKEHIKVHHILNHTSGLHNALADLRGENAFLMTEWDACLKLIEASQPETEPGKQQLYHYLSFGWLCGGIVEHASGKKFQEILEEALIRPLKIEGELYVGIPPGVEARLANLTLDTDDLKKFSEMRNRAEMPSTFQLNNISEFAEYLPAFFNMLNVRRAIVPAANGHCSARALARYYAALADCGVVPPPHSSASNPPLGSHPHIPKFPSKKTDEKQKGKVDGGLKNERSDGRQNVYIRIEEDDDNDEEKCSKSSRDTSKGAGPENKKGKIFSNPRVHEAFMGVGEYENMCLRDGVFGLGFRRLKSKDGDGSYSGFGHSGMGGSTGFCDVKNRFAMAVTLNKLSFGGVTAKIVELICSELNLPLPEGLSGSGDINRPLIN >KJB56738 pep chromosome:Graimondii2_0_v6:9:10065249:10066148:-1 gene:B456_009G133400 transcript:KJB56738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKLQKSLQDYVSKIKKTSPNIQFLPPNSLSSSKKWIRCKHTKTLSFAVNLAENHGHQGNSDDSNDVAATLADVDRFLFENFKSLYIEDYGEINEKRSDVRLEDDEVKGTRGIFLDSPRFIDLPSDLCGSNRFFVSTGSSGSLVDEARSSAGTKSMSEELGSTSKSSASIDNTTAANGSNSNGTIGGDGVTVKSLSNIPNECIAVLTYSPNPYDDFRRSMQDMVEMRLKHNSDIDWDFMEELVFCYLNLNDKKCYKFILNAFVDLVVDLRQHDIEVPMKARNNVRDHQRSMRTRHNIR >KJB61349 pep chromosome:Graimondii2_0_v6:9:45399485:45400790:-1 gene:B456_009G352700 transcript:KJB61349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCRYALPLVTKRLELVKINQRPRNTVVCAAKGRRPRYPRVKKSRNRIGTASKSAKLAFVKQLSNVKEEVYGALDLFITWELEFPLITMLSKGQGRTMGTYFTLLNALAEDGRLEEAEELWVKLFSDNLESTPRIFFDKMISIYYHKDMHEKMFELCFFLPSYSRLLCSTII >KJB62842 pep chromosome:Graimondii2_0_v6:9:69037570:69042675:-1 gene:B456_009G439600 transcript:KJB62842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFGEAALSAFLELLSAKLLDSVLNFVADYRQVHQQLKLWQSIFPEIKAVLNHAEEKQIKDEGVKNWLDDLQDLAYDVDDILDEFAYQELRLKLQKTQAQASASKVQKLIPTCCTGGHFSPIAFMFNAKMISKIKAITDRLNSLNTRRSNLGLSEIMSQGATSKGKKPRLQPTSLMDGAVEYVGRANEKQEMLELLKSNNSDGVCVLSIVGMGGMGKTTLAQLVYNDPSIKESFDHKSWVCVSDDFDAVNITKTILRSLDADLRDVNDLNLLQVKLKEKLSGKRLLLVLDDIWNESYSDWTILRAPFGAGTKIIVTTRLQKVSSNVDSVKAFYLDKLSHHDCLSIFAQHALKARNFDGHLQFKEIGENIVRRCNGLPLAAKAIGSLLRSVTDHSEWEKVYESEIWDLPEDPCGLIPALRLSYHYLPPHLKRCFAYCSIFPKDYEFEEEEIILLWRAEGFLQSKAKIQGKGLGNQYFQDLVSRSFFQRSSEDKSRFVMHDLMNDLAQSVAGEICCRVEGEKQQKFSHRSRHSAYVIDDWYQSVKKFEAFYQMTSLRTFLRLMAPMAPRYHIFYLTKVVLEDLLPSLSYLRVLSLSGYLIYDLPDLFENLKHLRYLNFSRTRINRLPDSLCTLYHLETLILRDCSELKNLPSKIGNLVNLHFLDIRGADSIERMPSGFDQLTQLQTLSNFVIGEGDGRLIRELKNLSNLRGNFCLSGLENVNGQDAREAKLNEKLGIDGLELQWGPDLENNTRKTEVEERVLDFLHPPKKLEQLIIENYGGVKFSYWIADSSLKNLSSLKLRNCKNCKSLPSVGRLPLLKDLSIIGFDQVQKIGVELFGENQLNPFASLDILSFESLPNWKEWDTCEGDEKVLKLPSLRELSIKTCPQLLGRLPTHLPSLQKLEIHRCMSLVVSISSFLSLCELSIRGCAELVDDCCSPAKELSSLSLSNISKFNIPSDRIMLRFGNSGHFEIDGWEELASLSQHGFSLVGHRFITLWGCPQLQSLEAKEAELQPDKISRVESLKIVSCDRLNRLPQVLHEFAFLTVIEIGNCRGLVSFAENNLPPNLKKLRIRKCENLEYLVDEKEDKKSMSSTLCLLEELSIYECPSLMSLSSKGRKNICNQLQLLEIIDCSKLSCLFSNTKFPITLKYLTIVGCPILEYIAEEFEESACLESILFFRSGIKSLPRGLNKLKHLQEIRLVSCSNLVSFEESGLLTTSFRAFVVDGCGNFGALPKCMASITSLRQLSVYNCSADISFPSEGFPANLTSLAISNAPKIYRSFVEWGLNRLTSLQELTIGGGGCSNVVSFPEEGIGMMLPPSLTFIILSNFKNLEFMFSEGFQDLASLQELKIYKCPKLTSLPKKDMLLSLGYLRIYGCPLLQEECSSDKGREWSKISHIPFVEIDGKEGIPRESD >KJB54256 pep chromosome:Graimondii2_0_v6:9:2064217:2067038:1 gene:B456_009G027100 transcript:KJB54256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFALTLRLFHAEVRKLLLIIGLAVSFIILFQCFSFSYGKIFVPSPTSKISVVKLVSNATTLNDSNMAELFVNVVANDTNGSDPEEEARYKHNTLEANTGSDSSSEVNRYLDGSFSKFKDQNSHERKSKPRITQVAAKGLQSSNLSSGTSSSLLGANLPSQRNAETSIGTQHTNFKPLQIESAISVSQMNSLLLQSIDSSRSLRPRRSSARDRELLSARQEIGNARVSRKVPVLHASVYQNISKFESYEMMEQILKVYIYKEGVKPIFHLPKMRGIYASEGWFMKLMEGNKKFVVKDPRRAHLFYLPFSSNMLRSALNGQDFQHVKDLQKYLKDYVELIAGKYSFWNRTGGADHFLVACHDWAINLTKNIRSCIRALCNSNAAKGFEIGKDTTLPVTYIRSMEAPLENLGGKPPSERNILAFFAGGMHGYLRPILLQYWQNKESDMKIFGPMPRDVEGKRKYREHMKSSKYCICAKGYEVHTPRVVESIYYECVPVIISDNYVPPFFEVLNWEAFAILVQEKDIPSLRNILLSIPEEKYLEMHARVKLVQRHFLWHKRPVKYDLFHMILHSVWYNRVFHIKTR >KJB54255 pep chromosome:Graimondii2_0_v6:9:2064217:2067038:1 gene:B456_009G027100 transcript:KJB54255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYKHNTLEANTGSDSSSEVNRYLDGSFSKFKDQNSHERKSKPRITQVAAKGLQSSNLSSGTSSSLLGANLPSQRNAETSIGTQHTNFKPLQIESAISVSQMNSLLLQSIDSSRSLRPRRSSARDRELLSARQEIGNARVSRKVPVLHASVYQNISKFERSYEMMEQILKVYIYKEGVKPIFHLPKMRGIYASEGWFMKLMEGNKKFVVKDPRRAHLFYLPFSSNMLRSALNGQDFQHVKDLQKYLKDYVELIAGKYSFWNRTGGADHFLVACHDWAINLTKNIRSCIRALCNSNAAKGFEIGKDTTLPVTYIRSMEAPLENLGGKPPSERNILAFFAGGMHGYLRPILLQYWQNKESDMKIFGPMPRDVEGKRKYREHMKSSKYCICAKGYEVHTPRVVESIYYECVPVIISDNYVPPFFEVLNWEAFAILVQEKDIPSLRNILLSIPEEKYLEMHARVKLVQRHFLWHKRPVKYDLFHMILHSVWYNRVFHIKTR >KJB54253 pep chromosome:Graimondii2_0_v6:9:2064046:2067038:1 gene:B456_009G027100 transcript:KJB54253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFALTLRLFHAEVRKLLLIIGLAVSFIILFQCFSFSYGKIFVPSPTSKISVVKLVSNATTLNDSNMAELFVNVVANDTNGSDPEEEARYKHNTLEANTGSDSSSEVNRYLDGSFSKFKDQNSHERKSKPRITQGKSLMSGYITSTDDGSTKVMVEIAKNSEKMINGPKPTTDYGGVVPFISAIVAAKGLQSSNLSSGTSSSLLGANLPSQRNAETSIGTQHTNFKPLQIESAISVSQMNSLLLQSIDSSRSLRPRRSSARDRELLSARQEIGNARVSRKVPVLHASVYQNISKFERSYEMMEQILKVYIYKEGVKPIFHLPKMRGIYASEGWFMKLMEGNKKFVVKDPRRAHLFYLPFSSNMLRSALNGQDFQHVKDLQKYLKDYVELIAGKYSFWNRTGGADHFLVACHDWAINLTKNIRSCIRALCNSNAAKGFEIGKDTTLPVTYIRSMEAPLENLGGKPPSERNILAFFAGGMHGYLRPILLQYWQNKESDMKIFGPMPRDVEGKRKYREHMKSSKYCICAKGYEVHTPRVVESIYYECVPVIISDNYVPPFFEVLNWEAFAILVQEKDIPSLRNILLSIPEEKYLEMHARVKLVQRHFLWHKRPVKYDLFHMILHSVWYNRVFHIKTR >KJB54254 pep chromosome:Graimondii2_0_v6:9:2064162:2067038:1 gene:B456_009G027100 transcript:KJB54254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFALTLRLFHAEVRKLLLIIGLAVSFIILFQCFSFSYGKIFVPSPTSKISVVKLVSNATTLNDSNMAELFVNVVANDTNGSDPEEEARYKHNTLEANTGSDSSSEVNRYLDGSFSKFKDQNSHERKSKPRITQVAAKGLQSSNLSSGTSSSLLGANLPSQRNAETSIGTQHTNFKPLQIESAISVSQMNSLLLQSIDSSRSLRPRRSSARDRELLSARQEIGNARVSRKVPVLHASVYQNISKFERSYEMMEQILKVYIYKEGVKPIFHLPKMRGIYASEGWFMKLMEGNKKFVVKDPRRAHLFYLPFSSNMLRSALNGQDFQHVKDLQKYLKDYVELIAGKYSFWNRTGGADHFLVACHDWAINLTKNIRSCIRALCNSNAAKGFEIGKDTTLPVTYIRSMEAPLENLGGKPPSERNILAFFAGGMHGYLRPILLQYWQNKESDMKIFGPMPRDVEGKRKYREHMKSSKYCICAKGYEVHTPRVVESIYYECVPVIISDNYVPPFFEVLNWEAFAILVQEKDIPSLRNILLSIPEEKYLEMHARVKLVQRHFLWHKRPVKYDLFHMILHSVWYNRVFHIKTR >KJB58228 pep chromosome:Graimondii2_0_v6:9:15483797:15488031:-1 gene:B456_009G200000 transcript:KJB58228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVILEEKDAVDWIYRGEGAANLILAYTGSSPAFIGKVLRIQKSQRNGNSGVKEGRALTTHERLLWRENKELTASSNREILEQLYVKHVMIPLLGPKHVDVGIRVLVTKEFLKSVEKNVTCHRPAWRVHSSQVDVNRDSVLILSDHSIFPDGNLKGEPCITVEIKPKCGFLPFSRFITEENAVKRNVVRFRMHQALKLHNQEISEYSEYNPLDLFSGSREGIQKAIEALYATPQNNFRVFLNGSIVFGGLGGGTNSTTVQVGEAFEDILKNVIQADNGQRTTSFLQLVAETIYISGALEQLLEVQKLDTYDIEGAIHAYYNIISQPCMVCRELCKDKLSCRYTSLHSIPLDQSLKIVKDYLIAATAKDCSLMISFRPKEDGRLPSHNTVYLGSTNQAFECKVYFIDLDLKPLKKMEAYYELDKKIVSCYSQMVKTEHETDKAVTINSNGSVRDQRYALEDGLFTEGCTGKCK >KJB58226 pep chromosome:Graimondii2_0_v6:9:15484778:15487663:-1 gene:B456_009G200000 transcript:KJB58226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVILEEKDAVDWIYRGEGAANLILAYTGSSPAFIGKVLRIQKSQRNGNSGVKEGRALTTHERLLWRENKELTASSNREILEQLYVKHVMIPLLGPKHVDVGIRVLVTKEFLKSVEKNVTCHRPAWRVHSSQVDVNRDSVLILSDHSIFPDGNLKGEPCITVEIKPKCGFLPFSRFITEENAVKRNVVRFRMHQALKLHNQEISEYSEYNPLDLFSGSREGIQKAIEALYATPQNNFRVFLNGSIVFGGLGGGTNSTTVQVGEAFEDILKNVIQADNGQRTTSFLQLVAETIYISGALEQLLEVQKLDTYDIEGAIHAYYNIISQPCMVCRELCKDKLSCRYTSLHSIPLDQSLKIVKDYLIAATAKDCSLMISFRPKEDGRLPSHNTVYLGSTNQAFECKVYFIDLDLKPLKKMEAYYELDKKIVSCYSQMVKTEHETDKAVTINSNGSVRDQRYALEDGLFTEGCTGKCK >KJB58227 pep chromosome:Graimondii2_0_v6:9:15484195:15487880:-1 gene:B456_009G200000 transcript:KJB58227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVILEEKDAVDWIYRGEGAANLILAYTGSSPAFIGKVLRIQKSQRNGNSGVKEGRALTTHERLLWRENKELTASSNREILEQLYVKHVMIPLLGPKHVDVGIRVLVTKEFLKSVEKNVTCHRPAWRVHSSQVDVNRDSVLILSDHSIFPDGNLKGEPCITVEIKPKCGFLPFSRFITEENAVKRNVVRFRMHQALKLHNQEISEYSEYNPLDLFSGSREGIQKAIEALYATPQNNFRVFLNGSIVFGGLGGGTNSTTVQVGEAFEDILKNVIQADNGQRTTSFLQLVAETIYISGALEQLLEVQKLDTYDIEGAIHAYYNIISQPCMVCRELCKDKLSCRYTSLHSIPLDQSLKIVKDYLIAATAKDCSLMISFRPKEDGRLPSHNTVYLGSTNQAFECKVYFIDLDLKPLKKMEAYYELDKKIVSCYSQMVKTEHETDKAVTINSNGSVRDQRYALEDGLFTEGCTGKCK >KJB53356 pep chromosome:Graimondii2_0_v6:9:5854941:5860609:1 gene:B456_009G0810001 transcript:KJB53356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVSLYSALVNANKTNRPKPCPGFSKLTVTEAEKAIAGNLCRCTGYRPIADACKSFSSDVDMEDLGYNSFWKKGESDEVKMSRLPAYNHNHASSKFPEFLKKEIKAGANLGSKLCHWYSPTSIEQLQSLLQKNEAEDGTSMKIVVGNTGTGYYKEQEHYDKYIDLKHIPELSIIVQDQTGIEIGAAVTISKAIEALKQNKMGDFPLDSKTVFKKISDHMEKIASGFVRNSGSVGGNLMMAQRKQFPSDIATILLPVEAIVNIMIGQKLEKLTLEEFLGRPPLDSKAILFSIKIPSWESTKDISSETDTKLLFETYRAAPRPLGNALPYLNAAFLADVSFSRNSTGATLNNCRLAFGAYAAKHPIRARTVEKFLIGKVLSVSVLYEAIKLLGTTIIPEDGICSPAYKSSLAVGFLYEFLSPLVRTPDEIPGDQFNGYNSALLHVDYNNELKENCDELNGTKISTLLSSSKQTIQSSKEYHPVGQPVTKAGAAIQASGEAVFVDDIPAPSNCLYGAFIYSTEPLARVKSVSFKSGSPPVGVTAVISAKDIPGKNLGCRSVFGDEPLYADELVQCAGDRIALVVADTQRHADLAANLAVIDYDKENLNPPILSVEEAVKRGSFLEIPPFLDPEQVGDFSKGMAEADHQILSAEIKLGSQYYFYMETQTTLAVPDEDDSMVVYSSIQCPQIAHETLAQCLGLPANNIRVITRRIGGGFGGKTIKAVSVAAACAVAAYKLQRPVRTYLNRKTDMIMAGGRHPMKVTYTVGFKDSGKITALKLEILIDAGVFLDISIMMAYTVVETLKRYDWGALNFDVKICKTNLPSRSAMRAPGEVQASFIAETIIEHVASSLSIEVDTVRSINLHTYDSLGLFYKSTAGEPSQYTLPAIWDKLAVSSSFHQRTEMIKEFNRFNKWRKRGISRVPIVQEVIVKPTRAKVSILNDGSIVVEVGGIEMGQGLWTKAKQTAAYGLSLIKCDGSEELLDKVRVIQADSLSLIQGGFTGGSTTSESSCEAVRLCCNVLVERLTALKEKLVEQMGSLRWESLILQAYVASVDLSASTLFLPDSTSSNYLNYGAAVSEVQVNLLTGETTTLRTDIIYDCGQSLNPAVDLGQIEGAYVQGIGFFMLEEYPTNSDGLVTANGTWSYKIPTLDTIPKQFNVEILNSGHHEKRVLSSKASGEPPLTLAVSVHCATRAAIVEARRQLASWSGFDESNSEIFQLEVPATMPVVKERSGLDSVEKFLRWTMGTK >KJB53355 pep chromosome:Graimondii2_0_v6:9:5854941:5860587:1 gene:B456_009G0810001 transcript:KJB53355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCGACVVLLSKYDPVLDQVEDSTVSSCLTLLCSLNGCSITTAEGVGNSKDGFHPIQERFVGFHASQCGFCTPGMCVSLYSALVNANKTNRPKPCPGFSKLTVTEAEKAIAGNLCRCTGYRPIADACKSFSSDVDMEDLGYNSFWKKGESDEVKMSRLPAYNHNHASSKFPEFLKKEIKAGANLGSKLCHWYSPTSIEQLQSLLQKNEAEDGTSMKIVVGNTGTGYYKEQEHYDKYIDLKHIPELSIIVQDQTGIEIGAAVTISKAIEALKQNKMGDFPLDSKTVFKKISDHMEKIASGFVRNSGSVGGNLMMAQRKQFPSDIATILLPVEAIVNIMIGQKLEKLTLEEFLGRPPLDSKAILFSIKIPSWESTKDISSETDTKLLFETYRAAPRPLGNALPYLNAAFLADVSFSRNSTGATLNNCRLAFGAYAAKHPIRARTVEKFLIGKVLSVSVLYEAIKLLGTTIIPEDGICSPAYKSSLAVGFLYEFLSPLVRTPDEIPGDQFNGYNSALLHVDYNNELKENCDELNGTKISTLLSSSKQTIQSSKEYHPVGQPVTKAGAAIQASGEAVFVDDIPAPSNCLYGAFIYSTEPLARVKSVSFKSGSPPVGVTAVISAKDIPGKNLGCRSVFGDEPLYADELVQCAGDRIALVVADTQRHADLAANLAVIDYDKENLNPPILSVEEAVKRGSFLEIPPFLDPEQVGDFSKGMAEADHQILSAEIKLGSQYYFYMETQTTLAVPDEDDSMVVYSSIQCPQIAHETLAQCLGLPANNIRVITRRIGGGFGGKTIKAVSVAAACAVAAYKLQRPVRTYLNRKTDMIMAGGRHPMKVTYTVGFKDSGKITALKLEILIDAGVFLDISIMMAYTVVETLKRYDWGALNFDVKICKTNLPSRSAMRAPGEVQASFIAETIIEHVASSLSIEVDTVRSINLHTYDSLGLFYKSTAGEPSQYTLPAIWDKLAVSSSFHQRTEMIKEFNRFNKWRKRGISRVPIVQEVIVKPTRAKVSILNDGSIVVEVGGIEMGQGLWTKAKQTAAYGLSLIKCDGSEELLDKVRVIQADSLSLIQGGFTGGSTTSESSCEAVRLCCNVLVERLTALKEKLVEQMGSLRWESLILQAYVASVDLSASTLFLPDSTSSNYLNYGAAVSEVQVNLLTGETTTLRTDIIYDCGQSLNPAVDLGQIEGAYVQGIGFFMLEEYPTNSDGLVTANGTWSYKIPTLDTIPKQFNVEILNSGHHEKRVLSSKGK >KJB58224 pep chromosome:Graimondii2_0_v6:9:15474895:15480281:1 gene:B456_009G199800 transcript:KJB58224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGIVCNDERKDRKSDFEVSEDDRRTRIGNLKKKAIKASSKFKRSLKKKSSRRKSGLSVSIKDFRDIEELQTVDAFRQALIAEQLLPARHDDYHMLLRFLKARKFDIEKAKHMWDNMIQWRKDFGTDTILEDFEFSELNEVLKYYPQGYHGVDKEGRPVYIELLGKVEPDKLMRVTTLERYVRYHVQEFEKCFAIKFPACSIAAKRHIDSSTTILDVQGMGYKNFTKTAQDLVRRLQKIDGDNYPETLYRMFIINAGPGFKMGLKAVKSFLDSKTASKINVLGSNYQNKLLEIIEASELPQFLGGSCICSDQGGCMRSDKGPWKDPNILKMIASGEALFPRQIVTVSNSEGRVIAYDKPCYPLIKSSDTSTAESGSEVEELASPKKTRSYLHPILAPVSEEARMAGKVICGGGSSEYGEYVPMIDKVVDLECEKQVSRQTLYTSEGTPLLLERQTPKGIYGRIIAIVVAFLTFLTFIQTMALNLMKKKSSTDLTCSIPEQHIEPTYKEETRPPSPAPRFTEADVLSSVVRRLGDLEEKIEMLQSKRFEMPHEKEELLNAAMYRVEMLEAELIATKKALHEAIIRQDELLARIQSEVVVKPGVLLSRYKNGFPNLISGSQFLRGFNEIAKHGFSVMSGDNLRSLSNSASPRNYRVVVAATREMGIGKDGKLPWRLPSDLKFFKELTVTTSDPEKKNAVVMGRKTWESIPLEFRPLPGRLNVVLTRSQSSDITTGENVVICGSTPSAFELLAEDPYCFSIEKVFVIGGGQIFRETLNAPGCEAIHITEIGTSIECDTFIPSIDSSCFQLWYSSKPLEENNVRFSFATYVRVRSRTTDNYEVKDLSFLPRMIVERRDE >KJB58221 pep chromosome:Graimondii2_0_v6:9:15474642:15478926:1 gene:B456_009G199800 transcript:KJB58221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGIVCNDERKDRKSDFEVSEDDRRTRIGNLKKKAIKASSKFKRSLKKKSSRRKSGLSVSIKDFRDIEELQTVDAFRQALIAEQLLPARHDDYHMLLRFLKARKFDIEKAKHMWDNMIQWRKDFGTDTILEDFEFSELNEVLKYYPQGYHGVDKEGRPVYIELLGKVEPDKLMRVTTLERYVRYHVQEFEKCFAIKFPACSIAAKRHIDSSTTILDVQGMGYKNFTKTAQDLVRRLQKIDGDNYPETLYRMFIINAGPGFKMGLKAVKSFLDSKTASKINVLGSNYQNKLLEIIEASELPQFLGGSCICSDQGGCMRSDKGPWKDPNILKMIASGEALFPRQIVTVSNSEGRVIAYDKPCYPLIKSSDTSTAESGSEVEELASPKKTRSYLHPILAPVSEEARMAGKVICGGGSSEYGEYVPMIDKVVDLECEKQVSRQTLYTSEGTPLLLERQTPKGIYGRIIAIVVAFLTFLTFIQTMALNLMKKKSSTDLTCSIPEQHIEPTYKEETRPPSPAPRFTEADVLSSVVRRLGDLEEKIEMLQSKRFEMPHEKEELLNAAMYRVEMLEAELIATKKALHEAIIRQDELLARIQSEVVVKPGRRKLFCWCK >KJB58222 pep chromosome:Graimondii2_0_v6:9:15474667:15478215:1 gene:B456_009G199800 transcript:KJB58222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGIVCNDERKDRKSDFEVSEDDRRTRIGNLKKKAIKASSKFKRSLKKKSSRRKSGLSVSIKDFRDIEELQTVDAFRQALIAEQLLPARHDDYHMLLRFLKARKFDIEKAKHMWDNMIQWRKDFGTDTILEDFEFSELNEVLKYYPQGYHGVDKEGRPVYIELLGKVEPDKLMRVTTLERYVRYHVQEFEKCFAIKFPACSIAAKRHIDSSTTILDVQGMGYKNFTKTAQDLVRRLQKIDGDNYPETLYRMFIINAGPGFKMGLKAVKSFLDSKTASKINVLGSNYQNKLLEIIEASELPQFLGGSCICSDQGGCMRSDKGPWKDPNILKMIASGEALFPRQIVTVSNSEGRVIAYDKPCYPLIKSSDTSTAESGSEVEELASPKKTRSYLHPILAPVSEEARMAGKVICGGGSSEYGEYVPMIDKVVDLECEKQVSRQTLYTSEGTPLLLERQTPKGIYGRIIAIVVAFLTFLTFIQTMALNLMKKKSSTDLTCSIPEQHIEPTYKEETRPPSPAPRFTEADVLSSVVRRLGDLEEKIEMLQSKRFEMPHEKEELLNAAMYRVEMLEAELIATKKVTILCFQLRV >KJB58223 pep chromosome:Graimondii2_0_v6:9:15474667:15478889:1 gene:B456_009G199800 transcript:KJB58223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGIVCNDERKDRKSDFEVSEDDRRTRIGNLKKKAIKASSKFKRSLKKKSSRRKSGLSVSIKDFRDIEELQTVDAFRQALIAEQLLPARHDDYHMLLRFLKARKFDIEKAKHMWDNMIQWRKDFGTDTILEDFEFSELNEVLKYYPQGYHGVDKEGRPVYIELLGKVEPDKLMRVTTLERYVRYHVQEFEKCFAIKFPACSIAAKRHIDSSTTILDVQGMGYKNFTKTAQDLVRRLQKIDGDNYPETLYRMFIINAGPGFKMGLKAVKSFLDSKTASKINVLGSNYQNKLLEIIEASELPQFLGGSCICSDQGGCMRSDKGPWKDPNILKMIASGEALFPRQIVTVSNSEGRVIAYDKPCYPLIKSSDTSTAESGSEVEELASPKKTRSYLHPILAPVSEEARMAGKVICGGGSSEYGEYVPMIDKVVDLECEKQVSRQTLYTSEGTPLLLERQTPKGIYGRIIAIVVAFLTFLTFIQTMALNLMKKKSSTDLTCSIPEQHIEPTYKEETRPPSPAPRFTEADVLSSVVRRLGDLEEKIEMLQSKRFEMPHEKEELLNAAMYRVEMLEAELIATKKQALHEAIIRQDELLARIQSEVVVKPGRRKLFCWCK >KJB62086 pep chromosome:Graimondii2_0_v6:9:57426371:57428476:-1 gene:B456_009G400300 transcript:KJB62086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LECAPSKDAVFCLPCFLFNSNPSSLFRSTAFTHSGFSNWKKVHDGCNCAFLTHMGKDLNSHHNNAQRAYVDLMNKAQHIEVSLDRQTTQQIAANRLRLKTSIDVVLWLSFQGCAFRGHDESSGSKNRENFLELLSLLASYDEKVEDILESALQNASYTSSTIQKEMLQIYASRVRNVIREEIGDRKFRIIVDEARDDFFDIVHVKDTASLTLKNVIFNVLLQHSFDIQNIRGQGYDGASNMCGDFNSLQALILNDYRYLIMFTVLLIVFTQTAEIARLASINELATGTRMNQIGTLQPPDVKMYNATSTVLENLKNIASNYSQQGDAHHAYNRLRYFEFIFILHMMKEVLGITDNLCQALQCHSQDILNAMSLVLTTKYLIQKLRDDGWNKLLKNVISFCETWELNFPYMNAQYIVGHSRNKKEDVTVEHHYQVDIFFATIDTQLQELKSRFNEHFVELLTLTTSLYLKEFFKLFDIDKICILHYELDVCKNPDLRKISTLSELCRSLVESGKSIMYPLVDRFVDKLIRLILTLLVSTASSERAFSAMKIVKSRLCNKMKDEFLRSSLVVYIEKEIAEKFDINEIIDDFSGVKDRRVQFK >KJB62603 pep chromosome:Graimondii2_0_v6:9:66765174:66768857:-1 gene:B456_009G425600 transcript:KJB62603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFKQSGLATCMNVTNSGFHKRLISTICSRSSFSPCLNLQNPERRNLSVSKPLHISSIEKINEKKPVFECKAYEADKSQPIEVEVKSEAAKRLKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLACGSLMMLISWATRIAETPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPPSVYLSLVPIIGGCALAAVTELNFNMTGFMGAMISNFAFVLRNIFSKKGMKGKSVSGMNYYACLSLLSLLILTPFAVAVEGPQMWAAGWQKALSEIGPQFIWWVAAQSIFYHLYNQVSYMSLDEISPLTFSVGNTMKRISVIVSSIIIFHTPVQPINALGAAIAILGTFLYSQAKA >KJB62604 pep chromosome:Graimondii2_0_v6:9:66765841:66768855:-1 gene:B456_009G425600 transcript:KJB62604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFKQSGLATCMNVTNSGFHKRLISTICSRSSFSPCLNLQNPERRNLSVSKPLHISSIEKINEKKPVFECKAYEADKSQPIEVEVKSEAAKRLKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLACGSLMMLISWATRIAETPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPPSVYLSLVPIIGGCALAAVTELNFNMTGFMGAMISNFAFVLRNIFSKKGMKGKSVSGMNYYACLSLLSLLILTPFAVAVEGPQMWAAGWQKALSEIGPQFIWWVAAQSIFYHLYNQVSYMSLDEISPLTFSVGNTMKRISVIVSSIIIFHTPVQPINALGAAIAILGTFLYSQVSVPYMILRNTASNISQVLI >KJB53581 pep chromosome:Graimondii2_0_v6:9:47551326:47552181:-1 gene:B456_009G360800 transcript:KJB53581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFCTFFGNRRSSVFDPFSLDVWDPFKDFPFSSPSSLSTRSSETSAFVNTRIDWKETPEAHVLVLQISGERNVEKEDKDTWHRVERSSGKFMRRFRLPETARWIRSRLPWKME >KJB61042 pep chromosome:Graimondii2_0_v6:9:36720383:36726053:-1 gene:B456_009G337000 transcript:KJB61042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NSP-INTERACTING KINASE 3 [Source:Projected from Arabidopsis thaliana (AT1G60800) UniProtKB/Swiss-Prot;Acc:Q93ZS4] MEIKNLMLFRLGLLILALNGVSSATLSPTGINYEVVALMAIKSNLHDPYNVLENWDSNSVDPCSWRMVTCTADGYVSALGLPSQSLSGSLSTSIGNLSYLQSVLLQNNAISGSIPATIGKLEKLVTLDLSNNTFSGEIPASLGDLKNLNYLRLNNNSLTGACPDSLSKIGGLTLVDLSYNNLSGSLPKISARTFKVVGNPLICGPKASNNCSAFFPEPLSLPPDGLKAQSDSGSKGHHVAVAFGASFGAAFFIILFLGLLVWWRYRRNQQIFFDVNEQYVLEVCLGHLKRYTFKELRAATDHFNSKNILGRGGFGIVYKGLLSDGTLVAVKRLKDYNIAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERLLVYPYMPNGSVASRLRG >KJB61044 pep chromosome:Graimondii2_0_v6:9:36722316:36725316:-1 gene:B456_009G337000 transcript:KJB61044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NSP-INTERACTING KINASE 3 [Source:Projected from Arabidopsis thaliana (AT1G60800) UniProtKB/Swiss-Prot;Acc:Q93ZS4] MEIKNLMLFRLGLLILALNGVSSATLSPTGINYEVVALMAIKSNLHDPYNVLENWDSNSVDPCSWRMVTCTADGYVSALGLPSQSLSGSLSTSIGNLSYLQSVLLQNNAISGSIPATIGKLEKLVTLDLSNNTFSGEIPASLGDLKNLNYLRLNNNSLTGACPDSLSKIGGLTLVDLSYNNLSGSLPKISARTFKVVGNPLICGPKASNNCSAFFPEPLSLPPDGLKAQSDSGSKGHHVAVAFGASFGAAFFIILFLGLLVWWRYRRNQQIFFDVNEQYVLEVCLGHLKRYTFKELRAATDHFNSKNILGRGGFGIVYKGLLSDGTLVAVKRLKDYNIAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERLLVYPYMPNGSVASRLRG >KJB61041 pep chromosome:Graimondii2_0_v6:9:36720348:36726094:-1 gene:B456_009G337000 transcript:KJB61041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NSP-INTERACTING KINASE 3 [Source:Projected from Arabidopsis thaliana (AT1G60800) UniProtKB/Swiss-Prot;Acc:Q93ZS4] MEIKNLMLFRLGLLILALNGVSSATLSPTGINYEVVALMAIKSNLHDPYNVLENWDSNSVDPCSWRMVTCTADGYVSALGLPSQSLSGSLSTSIGNLSYLQSVLLQNNAISGSIPATIGKLEKLVTLDLSNNTFSGEIPASLGDLKNLNYLRLNNNSLTGACPDSLSKIGGLTLVDLSYNNLSGSLPKISARTFKVVGNPLICGPKASNNCSAFFPEPLSLPPDGLKAQSDSGSKGHHVAVAFGASFGAAFFIILFLGLLVWWRYRRNQQIFFDVNEQYVLEVCLGHLKRYTFKELRAATDHFNSKNILGRGGFGIVYKGLLSDGTLVAVKRLKDYNIAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERLLVYPYMPNGSVASRLRDNIHGRPALDWTMRKRIALGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRAANQKGVMLDWVKKLHQDGKLSLLVDKDLKGNFDRIELEEMVQVALLCTQFNPLHRPRMSEVLRMLEGDGLAEKWEASQMIKTLKLRSCDNDNPPQRYSDFIEESSLVLEAMELSGPR >KJB61045 pep chromosome:Graimondii2_0_v6:9:36720383:36726054:-1 gene:B456_009G337000 transcript:KJB61045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NSP-INTERACTING KINASE 3 [Source:Projected from Arabidopsis thaliana (AT1G60800) UniProtKB/Swiss-Prot;Acc:Q93ZS4] MEIKNLMLFRLGLLILALNGVSSATLSPTGINYEVVALMAIKSNLHDPYNVLENWDSNSVDPCSWRMVTCTADGYVSALGLPSQSLSGSLSTSIGNLSYLQSVLLQNNAISGSIPATIGKLEKLVTLDLSNNTFSGEIPASLGDLKNLNYLRLNNNSLTGACPDSLSKIGGLTLVDLSYNNLSGSLPKISARTFKVVGNPLICGPKASNNCSAFFPEPLSLPPDGLKDSGSKGHHVAVAFGASFGAAFFIILFLGLLVWWRYRRNQQIFFDVNEQYVLEVCLGHLKRYTFKELRAATDHFNSKNILGRGGFGIVYKGLLSDGTLVAVKRLKDYNIAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERLLVYPYMPNGSVASRLRDNIHGRPALDWTMRKRIALGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRAANQKGVMLDWVKKLHQDGKLSLLVDKDLKGNFDRIELEEMVQVALLCTQFNPLHRPRMSEVLRMLEGDGLAEKWEASQMIKTLKLRSCDNDNPPQRYSDFIEESSLVLEAMELSGPR >KJB61043 pep chromosome:Graimondii2_0_v6:9:36720383:36726053:-1 gene:B456_009G337000 transcript:KJB61043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NSP-INTERACTING KINASE 3 [Source:Projected from Arabidopsis thaliana (AT1G60800) UniProtKB/Swiss-Prot;Acc:Q93ZS4] MEIKNLMLFRLGLLILALNGVSSATLSPTGINYEVVALMAIKSNLHDPYNVLENWDSNSVDPCSWRMVTCTADGYVSALGLPSQSLSGSLSTSIGNLSYLQSVLLQNNAISGSIPATIGKLEKLVTLDLSNNTFSGEIPASLGDLKNLNYLRLNNNSLTGACPDSLSKIGGLTLVDLSYNNLSGSLPKISARTFKVVGNPLICGPKASNNCSAFFPEPLSLPPDGLKAQSDSGSKGHHVAVAFGASFGAAFFIILFLGLLVWWRYRRNQQIFFDVNEQYVLEVCLGHLKRYTFKELRAATDHFNSKNILGRGGFGIVYKGLLSDGTLVAVKRLKDYNIAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERLLVYPYMPNGSVASRLRDNIHGRPALDWTMRKRIALGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRAANQKGVMLDWVLSLSLPLYVLAECC >KJB61008 pep chromosome:Graimondii2_0_v6:9:35506157:35511570:-1 gene:B456_009G335200 transcript:KJB61008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSSKDSSGRAYPTSRSGGSDSWSRYGYPPQSGYPPQTPYYTPRHHHAPPHSSNYGLQTLHRGHKIVKYSRIADNYETLDQVTAALAQAGLESSNLIVGIDFTKSNEWTGARSFNRKSLHHIGNGQNPYEQAISIIGQSLSAFDEDNFIPCYGFGDASTHDQDVFSFYPEERFCNGFEEVLARYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEQKTIDAIVRASEFPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNTDSSRKQAEFALSALMEIPAQYKATIELGLLGQRKGNTFERVPLPPPMYGASSFNNPKSYSRSSSFQQDPSPYSQSGSFKQNPSPYSRSSSFQQNIPLYSGYDTSAATATGPSSSSLYDHQICPICLGNPKDMAFGCGHQTCCDCGEDLQICPICRSAIQTRIRLY >KJB61007 pep chromosome:Graimondii2_0_v6:9:35505410:35511537:-1 gene:B456_009G335200 transcript:KJB61007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSSKDSSGRAYPTSRSGGSDSWSRYGYPPQSGYPPQTPYYTPRHHHAPPHSSNYGLQTLHRGHKIVKYSRIADNYETLDQVTAALAQAGLESSNLIVGIDFTKSNEWTGARSFNRKSLHHIGNGQNPYEQAISIIGQSLSAFDEDNFIPCYGFGDASTHDQDVFSFYPEERFCNGFEEVLARYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEQKTIDAIVRASEFPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNTDSSRKQAEFALSALMEIPAQYKATIELGLLGQRKGNTFERVPLPPPMYGASSFNNPKSYSRSSSFQQDPSPYSQSGSFKQNPSPYSRSSSFQQNIPLYSGYDTSAATATGPSSSSLYDHQICPICLGNPKDMAFGCGHQTCCDCGEDLQICPICRSAIQTRIRLY >KJB61009 pep chromosome:Graimondii2_0_v6:9:35506157:35511537:-1 gene:B456_009G335200 transcript:KJB61009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSSKDSSGRAYPTSRSGGSDSWSRYGYPPQSGYPPQTPYYTPRHHHAPPHSSNYGLQTLHRGHKIVKYSRIADNYETLDQVTAALAQAGLESSNLIVGIDFTKSNEWTGARSFNRKSLHHIGNGQNPYEQAISIIGQSLSAFDEDNFIPCYGFGDASTHDQDVFSFYPEERFCNGFEEVLARYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEQKTIDAIVRASEFPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNTDSSRKQAEFALSALMEIPAQYKATIELGLLGQRKGNTFERVPLPPPMYGASSFNNPKSYSRSSSFQQDPSPYSQSGSFKQNPSPYSRSSSFQQNIPLYSGYDTSAATATGPSSSSLYDHQICPICLGNPKDMAFGCGHQTCCDCGEDLQICPICRSAIQTRIRLY >KJB61002 pep chromosome:Graimondii2_0_v6:9:35506150:35512155:-1 gene:B456_009G335200 transcript:KJB61002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSSKDSSGRAYPTSRSGGSDSWSRYGYPPQSGYPPQTPYYTPRHHHAPPHSSNYGLQTLHRGHKIVKYSRIADNYETLDQVTAALAQAGLESSNLIVGIDFTKSNEWTGARSFNRKSLHHIGNGQNPYEQAISIIGQSLSAFDEDNFIPCYGFGDASTHDQDVFSFYPEERFCNGFEEVLARYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEQKTIDAIVRASEFPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNTDSSRKQAEFALSALMEIPAQYKATIELGLLGQRKGNTFERVPLPPPMYGASSFNNPKSYSRSSSFQQDPSPYSQSGSFKQNPSPYSRSSSFQQNIPLYSGYDTSAATATGPSSSSLYDHQICPICLGNPKDMAFGCGHQTCCDCGEDLQICPICRSAIQTRIRLY >KJB61006 pep chromosome:Graimondii2_0_v6:9:35506069:35512227:-1 gene:B456_009G335200 transcript:KJB61006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSSKDSSGRAYPTSRSGGSDSWSRYGYPPQSGYPPQTPYYTPRHHHAPPHSSNYGLQTLHRGHKIVKYSRIADNYETLDQVTAALAQAGLESSNLIVGIDFTKSNEWTGARSFNRKSLHHIGNGQNPYEQAISIIGQSLSAFDEDNFIPCYGFGDASTHDQDVFSFYPEERFCNGFEEVLARYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEQKTIDAIVRASEFPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNTDSSRKQAEFALSALMEIPAQYKATIELGLLGQRKGNTFERVPLPPPMYGASSFNNPKSYSRSSSFQQDPSPYSQSGSFKQNPSPYSRSSSFQQNIPLYSGYDTSAATATGPSSSSLYDHQICPICLGNPKDMAFGCGHQTCCDCGEDLQICPICRSAIQTRIRLY >KJB61005 pep chromosome:Graimondii2_0_v6:9:35506113:35510951:-1 gene:B456_009G335200 transcript:KJB61005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSSKDSSGRAYPTSRSGGSDSWSRYGYPPQSGYPPQTPYYTPRHHHAPPHSSNYGLQTLHRGHKIVKYSRIADNYETLDQVTAALAQAGLESSNLIVGIDFTKSNEWTGARSFNRKSLHHIGNGQNPYEQAISIIGQSLSAFDEDNFIPCYGFGDASTHDQDVFSFYPEERFCNGFEEVLARYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEQKTIDAIVRASEFPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNTDSSRKQAEFALSALMEIPAQYKATIELGLLGQRKGNTFERVPLPPPMYGASSFNNPKSYSRSSSFQQDPSPYSQSGSFKQNPSPYSRSSSFQQNIPLYSGYDTSAATATGPSSSSLYDHQICPICLGNPKDMAFGCGHQTCCDCGEDLQICPICRSAIQTRIRLY >KJB61003 pep chromosome:Graimondii2_0_v6:9:35506473:35510951:-1 gene:B456_009G335200 transcript:KJB61003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSSKDSSGRAYPTSRSGGSDSWSRYGYPPQSGYPPQTPYYTPRHHHAPPHSSNYGLQTLHRGHKIVKYSRIADNYETLDQVTAALAQAGLESSNLIVGIDFTKSNEWTGARSFNRKSLHHIGNGQNPYEQAISIIGQSLSAFDEDNFIPCYGFGDASTHDQDVFSFYPEERFCNGFEEVLARYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEQKTIDAIVRASEFPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNTDSSRKQAEFALSALMEIPAQYKATIELGLLGQRKGNTFERVPLPPPMYGASSFNNPKSYSRSSSFQQDPSPYSQSGSFKQNPSPYSRSSSFQQNIPLYSGYDTSAATATGPSSSSLYDHQICPICLGNPKDMAFGCGHQTCCDCGEDLQICPICRSAIQTRIRLY >KJB61004 pep chromosome:Graimondii2_0_v6:9:35506157:35511994:-1 gene:B456_009G335200 transcript:KJB61004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSSKDSSGRAYPTSRSGGSDSWSRYGYPPQSGYPPQTPYYTPRHHHAPPHSSNYGLQTLHRGHKIVKYSRIADNYETLDQVTAALAQAGLESSNLIVGIDFTKSNEWTGARSFNRKSLHHIGNGQNPYEQAISIIGQSLSAFDEDNFIPCYGFGDASTHDQDVFSFYPEERFCNGFEEVLARYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEQKTIDAIVRASEFPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNTDSSRKQAEFALSALMEIPAQYKATIELGLLGQRKGNTFERVPLPPPMYGASSFNNPKSYSRSSSFQQDPSPYSQSGSFKQNPSPYSRSSSFQQNIPLYSGYDTSAATATGPSSSSLYDHQICPICLGNPKDMAFGCGHQTCCDCGEDLQICPICRSAIQTRIRLY >KJB62266 pep chromosome:Graimondii2_0_v6:9:61390989:61392886:-1 gene:B456_009G409000 transcript:KJB62266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSPSFLLCFIVFASSALFLFIQRRNNSVTGKLPPGPPGWPIFGNMFDLGTMPHRTLTRLRDKYGQVIWLRLGAVNTMVILSTKAATEFFKNYDLTFAERHITEIMRAHGYHKSSVALAPYGSYWRVLRRLVTVDMLVNKRINETACVRRKCLDDMLGWIEDEASKIRGESNRNGIHVARFMFLLSLNLLGNLMLSQDLFDPNSKEGSEFFLVILRLMSLSGTGNIADYFPWLKWLDPQGLKRKMNKDLGKAIEIASKFVKQRMEDKKLSENNKRDFLDLLLEFEGNGKDEPSKLSDQNLNVFILELFMAGSETTSSTVEWALTELLCNLESMVKVKAELSRVVGPNKRVEESDIQNLHYLNAVIKETFRLHPPIPFLVPRRAMRDTEFMGYHIPQNTQVFVNAWAIGRDPEVWDDPLSFKPERFIGSKIDYKGQNFELIPFGAGRRMCAGVALGERVLHLVLGSLLHHFDWELGGNVTKETIDMRDILGVTMRKLEPLLAVPKMCLKSAG >KJB54756 pep chromosome:Graimondii2_0_v6:9:3445286:3446938:-1 gene:B456_009G047600 transcript:KJB54756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIGESIQPNPTIKIPVGDPFNDPTASFPSVNFNYNNAVSSPCPVDYLGSLHRYLPSNDYESDSFSDDSDLPVDAFSCDHFRMYEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKFHYSGTACPDFRKGSCKKGDSCEFAHGVFECWLHPARYRTQPCKDGTSCQRRVCFFAHTPEQLRVLPQQSPRGNGSASADLDYVGSPMRHRLEFVSSPTSILASPPISPPSESPPMSPSGSFNSVSELAASMRSLQLGKSKMNGACSWGLQMGSGFSSPRGSTLRPGFCSSPSTPTRTPTRSSLGQFDIWEFNAVQEEPAMERVESGRDLRARMYAKLSKENSIGRLEPTGSGPDVGWVTELVK >KJB58803 pep chromosome:Graimondii2_0_v6:9:17775117:17779266:1 gene:B456_009G226900 transcript:KJB58803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVDQIKREISIMKLVRHPYVVRLHEVIASRTRIYIILEFITGGELFDKLVHNGRFSEAEARRYFQQLIDGVEFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPEQGVSLLRTTCGTPNYVAPEVLSHKGYDGAVADVWSCGVILYVLMAGYLPFDELDLTTLYSKIERAEFSCPSWFPMGAKSLIHRILDPNPQTRITIEQIRSDEWFKKSYVPARLLEYEDINLDDVNAVFDDPEIDKEERGDEPSRNENMGPLNLNAFDLIILSQGLNLATLFDRGKDTMKHQTRFVSRKPARVVLSSMEVVAQSMGYKTHIRNYKMRVEGLSANKTSHFSVILEVFEVAPTFLMVDIQKAAGDAGDYLKFYKTFCSNLDDIIWKPPNESSKSRITKSKSKRR >KJB58805 pep chromosome:Graimondii2_0_v6:9:17775156:17779244:1 gene:B456_009G226900 transcript:KJB58805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVDQIKREISIMKLVRHPYVVRLHEVHNGRFSEAEARRYFQQLIDGVEFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPEQGVSLLRTTCGTPNYVAPEVLSHKGYDGAVADVWSCGVILYVLMAGYLPFDELDLTTLYSKIERAEFSCPSWFPMGAKSLIHRILDPNPQTRITIEQIRSDEWFKKSYVPARLLEYEDINLDDVNAVFDDPEIDKEERGDEPSRNENMGPLNLNAFDLIILSQGLNLATLFDRGKDTMKHQTRFVSRKPARVVLSSMEVVAQSMGYKTHIRNYKMRVEGLSANKTSHFSVILEVFEVAPTFLMVDIQKAAGDAGDYLKFYKTFCSNLDDIIWKPPNESSKSRITKSKSKRR >KJB58806 pep chromosome:Graimondii2_0_v6:9:17775156:17779244:1 gene:B456_009G226900 transcript:KJB58806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVDQIKREISIMKLVRHPYVVRLHEVIASRTRIYIILEFITGGELFDKLVHNGRFSEAEARRYFQQLIDGVEFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPEQGVSLLRTTCGTPNYVAPEVLSHKGYDGAVADVWSCGVILYVLMAGYLPFDELDLTTLYSKIERAEFSCPSWFPMGAKSLIHRILDPNPQTRITIEQIRSDEWFKKSYVPARLLEYEDINLDDVNAVFDDPEIDKEERGDEPSRNENMGPLNLNAFDLIILSQGLNLATLFDRGKDTMKHQTRFVSRKPARVVLSSMEVVAQSMGYKTHIRNYKMRVEGLSANKTSHFSVILEVFEVAPTFLMVDIQKAAGDAGDYLKVSYLVPLACRVLFGQVICL >KJB58804 pep chromosome:Graimondii2_0_v6:9:17775156:17779244:1 gene:B456_009G226900 transcript:KJB58804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVDQIKREISIMKLVRHPYVVRLHEVIASRTRIYIILEFITGGELFDKLVHNGRFSEAEARRYFQQLIDGVEFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPEQGVSLLRTTCGTPNYVAPEVLSHKGYDGAVADVWSCGVILYVLMAGYLPFDELDLTTLYSKIERAEFSCPSWFPMGAKSLIHRILDPNPQTRITIEQIRSDEWFKKSYVPARLLEYEDINLDDVNAVFDDPEIDKEERGDEPSRNENMGPLNLNAFDLIILSQGLNLATLFDRGKDTMKHQTRFVSRKPARVVLSSMEVVAQSMGYKTHIRNYKMRVEGLSANKTSHFSVILELLNNFVFLPYSPDCSREFEGFRGCSHVFDGGYSKGSW >KJB60178 pep chromosome:Graimondii2_0_v6:9:25344186:25348786:-1 gene:B456_009G292800 transcript:KJB60178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEYSKFSDASKKGSWKTALLFAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSESNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEAVSTYKLEHPPEKRSSSRVKVYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFLFAPVVLTWLLCISALGLYNMIHWNPHVYKALSPYYMFKFLKKTKKGGWMSLGGILLCITVYLIIHAGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHHTSYHISFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCITVTIGFRDTKHMGNASGLAVMTVMLVTTCLMSLVIILCWNKPPAVALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGVARVPGIGLVFTDLTSGIPANFSRFVTNLPAYHRILVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDIDSFETELVARLADFIRYDWHRSQHTSPYAEDDASHSNESSSERRLAVIGTVTFSGTPGYGIEESVEPASVSIGFSTVESVTDVIEMQAVGAGAVERRVRFAIDDDDSESDGQTKMYVQLEEELRDLMTAQEAGTAFILGHTHVEAKQGSSILKRLAINFGYNFLRRNCRGPDVALKVPPISLLEVGMVYVV >KJB60186 pep chromosome:Graimondii2_0_v6:9:25343869:25348989:-1 gene:B456_009G292800 transcript:KJB60186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEYSKFSDASKKGSWKTALLFAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSESNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEAVSTYKLEHPPEKRSSSRVKVYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFLFAPVVLTWLLCISALGLYNMIHWNPHVYKALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHHTSYHISFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCITVTIGFRDTKHMGNASGLAVMTVMLVTTCLMSLVIILCWNKPPAVALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGVARVPGIGLVFTDLTSGIPANFSRFVTNLPAYHRILVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDIDSFETELVARLADFIRYDWHRSQHTSPYAEDDASHSNESSSERRLAVIGTVTFSGTPGYGIEESVEPASVSIGFSTVESVTDVIEMQAVGAGAVERRVRFAIDDDDSESDGQTKMYVQLEEELRDLMTAQEAGTAFILGHTHVEAKQGSSILKRLAINFGYNFLRRNCRGPDVALKVPPISLLEVGMVYVV >KJB60175 pep chromosome:Graimondii2_0_v6:9:25343869:25347334:-1 gene:B456_009G292800 transcript:KJB60175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHWNPHVYKALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHHTSYHISFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCITVTIGFRDTKHMGNASGLAVMTVMLVTTCLMSLVIILCWNKPPAVALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGVARVPGIGLVFTDLTSGIPANFSRFVTNLPAYHRILVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDIDSFETELVARLADFIRYDWHRSQHTSPYAEDDASHSNESSSERRLAVIGTVTFSGTPGYGIEESVEPASVSIGFSTVESVTDVIEMQAVGAGAVERRVRFAIDDDDSESDGQTKMYVQLEEELRDLMTAQEAGTAFILGHTHVEAKQGSSILKRLAINFGYNFLRRNCRGPDVALKVPPISLLEVGMVYVV >KJB60183 pep chromosome:Graimondii2_0_v6:9:25343869:25349166:-1 gene:B456_009G292800 transcript:KJB60183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEYSKFSDASKKGSWKTALLFAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSESNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEAVSTYKLEHPPEKRSSSRVKVYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFLFAPVVLTWLLCISALGLYNMIHWNPHVYKALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHHTSYHISFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCITVTIGFRDTKHMGNASGLAVMTVMLVTTCLMSLVIILCWNKPPAVALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGVARVPGIGLVFTDLTSGIPANFSRFVTNLPAYHRILVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDIDSFETELVARLADFIRYDWHRSQHTSPYAEDDASHSNESSSERRLAVIGTVTFSGTPGYGIEESVEPASVSIGFSTVESVTDVIEMQAVGAGAVERRVRFAIDDDDSESDGQTKMYVQLEEELRDLMTAQEAGTAFILGHTHVEAKQGSSILKRLAINFGYNFLRRNCRGPDVALKVPPISLLEVGMVYVV >KJB60181 pep chromosome:Graimondii2_0_v6:9:25343869:25349166:-1 gene:B456_009G292800 transcript:KJB60181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEYSKFSDASKGSWKTALLFAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSESNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEAVSTYKLEHPPEKRSSSRVKVYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFLFAPVVLTWLLCISALGLYNMIHWNPHVYKALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHHTSYHISFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCITVTIGFRDTKHMGNASGLAVMTVMLVTTCLMSLVIILCWNKPPAVALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGVARVPGIGLVFTDLTSGIPANFSRFVTNLPAYHRILVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDIDSFETELVARLADFIRYDWHRSQHTSPYAEDDASHSNESSSERRLAVIGTVTFSGTPGYGIEESVEPASVSIGFSTVESVTDVIEMQAVGAGAVERRVRFAIDDDDSESDGQTKMYVQLEEELRDLMTAQEAGTAFILGHTHVEAKQGSSILKRLAINFGYNFLRRNCRGPDVALKVPPISLLEVGMVYVV >KJB60176 pep chromosome:Graimondii2_0_v6:9:25344186:25347900:-1 gene:B456_009G292800 transcript:KJB60176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFLFAPVVLTWLLCISALGLYNMIHWNPHVYKALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHHTSYHISFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCITVTIGFRDTKHMGNASGLAVMTVMLVTTCLMSLVIILCWNKPPAVALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGVARVPGIGLVFTDLTSGIPANFSRFVTNLPAYHRILVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDIDSFETELVARLADFIRYDWHRSQHTSPYAEDDASHSNESSSERRLAVIGTVTFSGTPGYGIEESVEPASVSIGFSTVESVTDVIEMQAVGAGAVERRVRFAIDDDDSESDGQTKMYVQLEEELRDLMTAQEAGTAFILGHTHVEAKQGSSILKRLAINFGYNFLRRNCRGPDVALKVPPISLLEVGMVYVV >KJB60180 pep chromosome:Graimondii2_0_v6:9:25343869:25350591:-1 gene:B456_009G292800 transcript:KJB60180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEYSKFSDASKKGSWKTALLFAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSESNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEAVSTYKLEHPPEKRSSSRVKVYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFLFAPVVLTWLLCISALGLYNMIHWNPHVYKALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHHTSYHISFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCITVTIGFRDTKHMGNASGLAVMTVMLVTTCLMSLVIILCWNKPPAVALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGVARVPGIGLVFTDLTSGIPANFSRFVTNLPAYHRILVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDIDSFETELVARLADFIRYDWHRSQHTSPYAEDDASHSNESSSERRLAVIGTVTFSGTPGYGIEESVEPASVSIGFSTVESVTDVIEMQAVGAGAVERRVRFAIDDDDSESDGQTKMYVQLEEELRDLMTAQEAGTAFILGHTHVEAKQGSSILKRLAINFGYNFLRRNCRGPDVALKVPPISLLEVGMVYVV >KJB60177 pep chromosome:Graimondii2_0_v6:9:25344186:25347900:-1 gene:B456_009G292800 transcript:KJB60177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFLFAPVVLTWLLCISALGLYNMIHWNPHVYKALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHHTSYHISFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCITVTIGFRDTKHMGNASGLAVMTVMLVTTCLMSLVIILCWNKPPAVALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGVARVPGIGLVFTDLTSGIPANFSRFVTNLPAYHRILVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDIDSFETELVARLADFIRYDWHRSQHTSPYAEDDASHSNESSSERRLAVIGTVTFSGTPGYGIEESVEPASVSIGFSTVESVTDVIEMQAVGAGAVERRVRFAIDDDDSESDGQTKMYVQLEEELRDLMTAQEAGTAFILGHTHVEAKQGSSILKRLAINFGYNFLRRNCRGPDVALKVPPISLLEVGMVYVV >KJB60179 pep chromosome:Graimondii2_0_v6:9:25343869:25348989:-1 gene:B456_009G292800 transcript:KJB60179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEYSKFSDASKKGSWKTALLFAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSESNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEAVSTYKLEHPPEKRSSSRVKVYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHHTSYHISFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCITVTIGFRDTKHMGNASGLAVMTVMLVTTCLMSLVIILCWNKPPAVALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGVARVPGIGLVFTDLTSGIPANFSRFVTNLPAYHRILVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDIDSFETELVARLADFIRYDWHRSQHTSPYAEDDASHSNESSSERRLAVIGTVTFSGTPGYGIEESVEPASVSIGFSTVESVTDVIEMQAVGAGAVERRVRFAIDDDDSESDGQTKMYVQLEEELRDLMTAQEAGTAFILGHTHVEAKQGSSILKRLAINFGYNFLRRNCRGPDVALKVPPISLLEVGMVYVV >KJB60184 pep chromosome:Graimondii2_0_v6:9:25343869:25349504:-1 gene:B456_009G292800 transcript:KJB60184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHAFYLLYLRQLNWVHWQETLAENRSIIIMDLEYSKFSDASKKGSWKTALLFAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSESNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEAVSTYKLEHPPEKRSSSRVKVYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFLFAPVVLTWLLCISALGLYNMIHWNPHVYKALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHHTSYHISFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCITVTIGFRDTKHMGNASGLAVMTVMLVTTCLMSLVIILCWNKPPAVALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGVARVPGIGLVFTDLTSGIPANFSRFVTNLPAYHRILVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDIDSFETELVARLADFIRYDWHRSQHTSPYAEDDASHSNESSSERRLAVIGTVTFSGTPGYGIEESVEPASVSIGFSTVESVTDVIEMQAVGAGAVERRVRFAIDDDDSESDGQTKMYVQLEEELRDLMTAQEAGTAFILGHTHVEAKQGSSILKRLAINFGYNFLRRNCRGPDVALKVPPISLLEVGMVYVV >KJB60185 pep chromosome:Graimondii2_0_v6:9:25343869:25349410:-1 gene:B456_009G292800 transcript:KJB60185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEYSKFSDASKKGSWKTALLFAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSESNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEAVSTYKLEHPPEKRSSSRVKVYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFLFAPVVLTWLLCISALGLYNMIHWNPHVYKALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHHTSYHISFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCITVTIGFRDTKHMGNASGLAVMTVMLVTTCLMSLVIILCWNKPPAVALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGVARVPGIGLVFTDLTSGIPANFSRFVTNLPAYHRILVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDIDSFETELVARLADFIRYDWHRSQHTSPYAEDDASHSNESSSERRLAVIGTVTFSGTPGYGIEESVEPASVSIGFSTVESVTDVIEMQAVGAGAVERRVRFAIDDDDSESDGQTKMYVQLEEELRDLMTAQEAGTAFILGHTHVEAKQGSSILKRLAINFGYNFLRRNCRGPDVALKVPPISLLEVGMVYVV >KJB60182 pep chromosome:Graimondii2_0_v6:9:25343869:25350605:-1 gene:B456_009G292800 transcript:KJB60182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEYSKFSDASKKGSWKTALLFAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSESNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEAVSTYKLEHPPEKRSSSRVKVYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFLFAPVVLTWLLCISALGLYNMIHWNPHVYKALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHHTSYHISFYVSVPESVRWPVLVIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCITVTIGFRDTKHMGNASGLAVMTVMLVTTCLMSLVIILCWNKPPAVALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGVARVPGIGLVFTDLTSGIPANFSRFVTNLPAYHRILVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDIDSFETELVARLADFIRYDWHRSQHTSPYAEDDASHSNESSSERRLAVIGTVTFSGTPGYGIEESVEPASVSIGFSTVESVTDVIEMQAVGAGAVERRVRFAIDDDDSESDGQTKMYVQLEEELRDLMTAQEAGTAFILGHTHVEAKQGSSILKRLAINFGYNFLRRNCRGPDVALKVPPISLLEVGMVYVV >KJB61761 pep chromosome:Graimondii2_0_v6:9:51359835:51360852:1 gene:B456_009G378700 transcript:KJB61761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMNRLGRVENKNKFIDTHRNGSWLWEYTRNMGCCSLLEAELWLILDGLNLLWIQGFRRVEIVSDSAAAIRIRLDESAAKQSISHNSSSLESAKEGQDTSHCPGSQCVWPKQAAEPPPAALRSILCKDGTGTVGDRLKSLPSFI >KJB62983 pep chromosome:Graimondii2_0_v6:9:69748832:69749532:-1 gene:B456_009G446400 transcript:KJB62983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCSKCRKKALKVAAVAYGVTSVALHGPEKDKLMILGDGVDAACLTEALRKKLCHASIEIVEEVKDTPKPVDPPKPPSPQIIICQPQPFEYYRVVPDPTPAPCTIM >KJB62984 pep chromosome:Graimondii2_0_v6:9:69748832:69750138:-1 gene:B456_009G446400 transcript:KJB62984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVLKVSMHCSKCRKKALKVAAVAYGVTSVALHGPEKDKLMILGDGVDAACLTEALRKKLCHASIEIVEEVKDTPKPVDPPKPPSPQIIICQPQPFEYYRVVPDPTPAPCTIM >KJB62056 pep chromosome:Graimondii2_0_v6:9:56974120:56984314:-1 gene:B456_009G399100 transcript:KJB62056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKFHHHSFVTSRSSNPWLSWNSFGSHVSCKRVANLDYLLMNWGTLRKKYLIRQSSSDNHRLLGYRRHYSAFCKSRRAGIGFPLASAEDGVTVNESPQASTSTDVDEIRVQLNQSLHGEDHSNGLVQSLHDAARAFELAIKEQSSLSKLTWFSTAWLGVDRNAWVKTLSYQAAVYSLLQAASEISSRGDSRDRDVNVFVQRSLLRQSAPLESLIREKLSTKQPEAYDLFWTDKVPAAVISFVNYFEGDPRFTAATDVCGKGKSLGVGSASDKALLMLALTCVAAITKLGPTKISCAHFFSMIPDITGRLMDMLVDFVPIRQAYNSIKDFGLHREFLVHFGPRAAACRVENDQDSEEVIFWVDLVQKQLQQAIDREKIWSRLTTSESIEVLERDLTIFGFFIALGRNTQAFLSANGFEVIDDPIEGFIRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYPGIVSASKQFHGHKSAREGPPNIKAIPQALDVCSHWMQSFIKYSRWLEIPYNVKAARFLSRGHNKLMVCMEELGIPTREIVETSLVGRTGLAIEKESDSFDKALESVEEALKRLENLLQELHVSSSSAGKEQLQAACSDLERIRKLKKEAEFLEASFRAKEAFLRQEEGDGSSQSSVSEQQQYPKAKARKSAMVTNDRSSRVVNKSRGLWSFIHPSTRKPDTESSALEKSGNEFVEQNASNIGGEPNEIRRFEQLRNELIELEKRVTTSAQSAYEEDIKVTDGYPGSINDAGHAQVVEVQKKESIIEKSLVKIKETSTDVLQGSQLLAIDVAAAVELLGRALIGDELAEKEKKSLRRTLTDLASVVPIGFLMLLPVTAVGHAAILAAIQRYVPSLIPSTYGSERLDLLRQLEKVKELETSEADSEENIEQVA >KJB62057 pep chromosome:Graimondii2_0_v6:9:56976825:56984314:-1 gene:B456_009G399100 transcript:KJB62057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKFHHHSFVTSRSSNPWLSWNSFGSHVSCKRVANLDYLLMNWGTLRKKYLIRQSSSDNHRLLGYRRHYSAFCKSRRAGIGFPLASAEDGVTVNESPQASTSTDVDEIRVQLNQSLHGEDHSNGLVQSLHDAARAFELAIKEQSSLSKLTWFSTAWLGVDRNAWVKTLSYQAAVYSLLQAASEISSRGDSRDRDVNVFVQRSLLRQSAPLESLIREKLSTKQPEAYDLFWTDKVPAAVISFVNYFEGDPRFTAATDVCGKGKSLGVGSASDKALLMLALTCVAAITKLGPTKISCAHFFSMIPDITGRLMDMLVDFVPIRQAYNSIKDFGLHREFLVHFGPRAAACRVENDQDSEEVIFWVDLVQKQLQQAIDREKIWSRLTTSESIEVLERDLTIFGFFIALGRNTQAFLSANGFEVIDDPIEGFIRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYPGIVSASKQFHGHKSAREGPPNIKAIPQALDVCSHWMQSFIKYSRWLEIPYNVKAARFLSRGHNKLMVCMEELGIPTREIVETSLVGRTGLAIEKESDSFDKALESVEEALKRLENLLQELHVSSSSAGKEQLQAACSDLERIRKLKKEAEFLEASFRAKEAFLRQEEGDGSSQSSVSEQQQYPKAKARKSAMVTNDRSSRVVNKSRGLWSFIHPSTRKPDTESSALEKSDLALNSNFRVLND >KJB62055 pep chromosome:Graimondii2_0_v6:9:56974500:56983932:-1 gene:B456_009G399100 transcript:KJB62055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKFHHHSFVTSSRSSNPWLSWNSFGSHVSCKRVANLDYLLMNWGTLRKKYLIRQSSSDNHRLLGYRRHYSAFCKSRRAGIGFPLASAEDGVTVNESPQASTSTDVDEIRVQLNQSLHGEDHSNGLVQSLHDAARAFELAIKEQSSLSKLTWFSTAWLGVDRNAWVKTLSYQAAVYSLLQAASEISSRGDSRDRDVNVFVQRSLLRQSAPLESLIREKLSTKQPEAYDLFWTDKVPAAVISFVNYFEGDPRFTAATDVCGKGKSLGVGSASDKALLMLALTCVAAITKLGPTKISCAHFFSMIPDITGRLMDMLVDFVPIRQAYNSIKDFGLHREFLVHFGPRAAACRVENDQDSEEVIFWVDLVQKQLQQAIDREKIWSRLTTSESIEVLERDLTIFGFFIALGRNTQAFLSANGFEVIDDPIEGFIRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYPGIVSASKQFHGHKSAREGPPNIKAIPQALDVCSHWMQSFIKYSRWLEIPYNVKAARFLSRGHNKLMVCMEELGIPTREIVETSLVGRTGLAIEKESDSFDKALESVEEALKRLENLLQELHVSSSSAGKEQLQAACSDLERIRKLKKEAEFLEASFRAKEAFLRQEEGDGSSQSSVSEQQQYPKAKARKSAMVTNDRSSRVVNKSRGLWSFIHPSTRKPDTESSALEKSGNEFVEQNASNIGGEPNEIRRFEQLRNELIELEKRVTTSAQSAYEEDIKVTDGYPGSINDAGHAQVVEVQKKESIIEKSLVKIKETSTDVLQGSQLLAIDVAAAVELLGRALIGDELAEKEKKSLRRTLTDLASVVPIGFLMLLPVTAVGHAAILAAIQRYVPSLIPSTYGSERLDLLRQLEKVKELETSEADSEENIEQVA >KJB55172 pep chromosome:Graimondii2_0_v6:9:4796708:4802321:-1 gene:B456_009G067100 transcript:KJB55172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCTLLIHFDKGTPAIANEIKEALEGNDVPAKIDAMKKAIMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIEKTDTKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAILAVMSIYKLPQGEQLLVDAPEMIEKVLSTEQDASAKRNAFLMLFTCAQDRAVNYLLTHVDRVSEWGELLQMVVLELIRKVCRTNRAEKGKYIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHRDIMVDLIMDVLRALSSPNLDIRRKTLDIVLELITPRNINEVVLMLKKEVMKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGMATIKQCLGELPFYSVSEEGEATDASKKTPQANSITVSSRRPAVLADGTYATQSAASETAFSAPTVVQGSLASGNLRSLLLTGDFFLGAVVACTLTKLVLRLQEVQPSKVEVNKATTQALLIFVSMLQLGQSPVLPHPIDNDSNDRIVLCIRLLCDTGDGIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFVNDNDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDITVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVHV >KJB55171 pep chromosome:Graimondii2_0_v6:9:4798222:4801043:-1 gene:B456_009G067100 transcript:KJB55171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCTLLIHFDKGTPAIANEIKEALEGNDVPAKIDAMKKAIMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIEKTDTKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAILAVMSIYKLPQGEQLLVDAPEMIEKVLSTEQDASAKRNAFLMLFTCAQDRAVNYLLTHVDRVSEWGELLQMVVLELIRKVCRTNRAEKGKYIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHRDIMVDLIMDVLRALSSPNLDIRRKTLDIVLELITPRNINEVVLMLKKEVMKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGMATIKQCLGELPFYSVSEEGEATDASKKTPQANSITVSSRRPAVLADGTYATQSAASETAFSAPTVVQGSLASGNLRSLLLTGDFFLGAVVACTLTKLVLRLQEVQPSKVEVNKATTQALLIFVSMLQLGQSPVLPHPIDNDSNDRIVLCIRLLCDTGDGIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFVNDNDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDITVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVHV >KJB55173 pep chromosome:Graimondii2_0_v6:9:4796708:4802333:-1 gene:B456_009G067100 transcript:KJB55173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCTLLIHFDKGTPAIANEIKEALEGNDVPAKIDAMKKAIMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIEKTDTKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAILAVMSIYKLPQGEQLLVDAPEMIEKVLSTEQDASAKRNAFLMLFTCAQDRAVNYLLTHVDRVSEWGELLQMVVLELIRKVCRTNRAEKGKYIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHRDIMVDLIMDVLRALSSPNLDIRRKTLDIVLELITPRNINEVVLMLKKEVMKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGMATIKQCLGELPFYSVSEEGEATDASKKTPQANSITVSSRRPAVLADGTYATQSAASETAFSAPTVVQGSLASGNLRSLLLTGDFFLGAVVACTLTKLVLRLQEVQPSKVEVNKATTQALLIFVSMLQLGQSPVLPHPIDNDSNDRIVLCIRLLCDTGDGIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFVNDNDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDITVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTVIQDEKEFLNHIIKSTNMKCLTPVSALDDECGFLAANLYAKSVFGEDALVNLSVEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGG >KJB63139 pep chromosome:Graimondii2_0_v6:9:70587810:70592382:1 gene:B456_009G454800 transcript:KJB63139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYQEDDAEYMAEEYDMEDIDDMDEEFCGRDMSGSESDVDEYDYSNNKISDTSAAEARRGKDIQGIPWDQLSITREKYRQTRLEQYKNYENIPHSGEVSGKDCKITKKGASYYDFRLNSRSVKSTILHFQLRNLVWATSKHDVYLMSQFSVMHWSSLTHKKREILNVSGHVAPSEKHPGSLMEGFTQTQVSTLAVKDKLLVAGGFQGELICKHLDRPGISFCSRTTYDDNAITNAVEIYVTPSGAVHFTASNNDCGVRDFDMEKYQLSKHFHFLWPVNHTSLSPDGKLLIIVGDNPDIMLVDSDTGKTVMPLRGHLDFSFASAWHPDGVTFATGNQDKTCRIWDVRNLSKSIAVLKGNLGAIRSIRYTSDGKYMAMAEPADFVHVYDVKSGYENEQEIDFFGEISGLSFSPDTESLFIGVWDRTYGSLLEYSRQRNYLYLDSLM >KJB63138 pep chromosome:Graimondii2_0_v6:9:70587661:70592382:1 gene:B456_009G454800 transcript:KJB63138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYQEDDAEYMAEEYDMEDIDDMDEEFCGRDMSGSESDVDEYDYSNNKISDTSAAEARRGKDIQGIPWDQLSITREKYRQTRLEQYKNYENIPHSGEVSGKDCKITKKGASYYDFRLNSRSVKSTILHFQLRNLVWATSKHDVYLMSQFSVMHWSSLTHKKREILNVSGHVAPSEKHPGSLMEGFTQTQVSTLAVKDKLLVAGGFQGELICKHLDRPGISFCSRTTYDDNAITNAVEIYVTPSGAVHFTASNNDCGVRDFDMEKYQLSKHFHFLWPVNHTSLSPDGKLLIIVGDNPDIMLVDSDTGKTVMPLRGHLDFSFASAWHPDGVTFATGNQDKTCRIWDVRNLSKSIAVLKGNLGAIRSIRYTSDGKYMAMAEPADFVHVYDVKSGYENEQEIDFFGEISGLSFSPDTESLFIGVWDRTYGSLLEYSRQRNYLYLDSLM >KJB63140 pep chromosome:Graimondii2_0_v6:9:70587717:70592357:1 gene:B456_009G454800 transcript:KJB63140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYQEDDAEYMAEEYDMEDIDDMDEEFCGRDMSGSESDVDEYDYSNNKISDTSAAEARRGKDIQGIPWDQLSITREKYRQTRLEQYKNYENIPHSGEVSGKDCKITKKGASYYDFRLNSRSVKSTILHFQLRNLVWATSKHDVYLMSQFSVMHWSSLTHKKREILNVSGHVAPSEKHPGSLMEGFTQTQVSTLAVKDKLLVAGGFQGELICKHLDRPGISFCSRTTYDDNAITNAVEIYVTPSGAVHFTASNNDCGVRDFDMEKYQLSKHFHFLWPVNHTSLSPDGKLLIIVGDNPDIMLVDSDTGKTVMPLRGHLDFSFASAWHPDGVTFATGNQDKTCRIWDVRNLSKSIAVLKGNLGAIRSIRYTSDGKYMAMAEPADFVHVYDVKSGYENEQEIDFFGEISGLSFSPDTESLFIGVWDRTYGSLLEYSRQRNYLYLDSLM >KJB63143 pep chromosome:Graimondii2_0_v6:9:70580383:70592382:1 gene:B456_009G454800 transcript:KJB63143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYQEDDAEYMAEEYDMEDIDDMDEEFCGRDMSGSESDVDEYDYSNNKISDTSAAEARRGKDIQGIPWDQLSITREKYRQTRLEQYKNYENIPHSGEVSGKDCKITKKGASYYDFRLNSRSVKSTILHFQLRNLVWATSKHDVYLMSQFSVMHWSSLTHKKREILNVSGHVAPSEKHPGSLMEGFTQTQVSTLAVKDKLLVAGGFQGELICKHLDRPGISFCSRTTYDDNAITNAVEIYVTPSGAVHFTASNNDCGVRDFDMEKYQLSKHFHFLWPVNHTSLSPDGKLLIIVGDNPDIMLVDSDTGKTVMPLRGHLDFSFASAWHPDGVTFATGNQDKTCRIWDVRNLSKSIAVLKGNLGAIRSIRYTSDGKYMAMAEPADFVHVYDVKSGYENEQEIDFFGEISGLSFSPDTESLFIGVWDRTYGSLLEYSRQRNYLYLDSLM >KJB63142 pep chromosome:Graimondii2_0_v6:9:70587810:70592357:1 gene:B456_009G454800 transcript:KJB63142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYQEDDAEYMAEEYDMEDIDDMDEEFCGRDMSGSESDVDEYDYSNNKISDTSAAEARRGKDIQGIPWDQLSITREKYRQTRLEQYKNYENIPHSGEVSGKDCKITKKGASYYDFRLNSRSVKSTILHFQLRNLVWATSKHDVYLMSQFSVMHWSSLTHKKREILNVSGHVAPSEKHPGSLMEGFTQTQVSTLAVKDKLLVAGGFQGELICKHLDRPGISFCSRTTYDDNAITNAVEIYVTPSGAVHFTASNNDCGVRDFDMEKYQLSKHFHFLWPVNHTSLSPDGKLLIIVGDNPDIMLVDSDTGKVLTVMPLRGHLDFSFASAWHPDGVTFATGNQDKTCRIWDVRNLSKSIAVLKGNLGAIRSIRYTSDGKYMAMAEPADFVHVYDVKSGYENEQEIDFFGEISGLSFSPDTESLFIGVWDRTYGSLLEYSRQRNYLYLDSLM >KJB63144 pep chromosome:Graimondii2_0_v6:9:70587830:70592407:1 gene:B456_009G454800 transcript:KJB63144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKFPNLETKCSFVWWNMSYYQEDDAEYMAEEYDMEDIDDMDEEFCGRDMSGSESDVDEYDYSNNKISDTSAAEARRGKDIQGIPWDQLSITREKYRQTRLEQYKNYENIPHSGEVSGKDCKITKKGASYYDFRLNSRSVKSTILHFQLRNLVWATSKHDVYLMSQFSVMHWSSLTHKKREILNVSGHVAPSEKHPGSLMEGFTQTQVSTLAVKDKLLVAGGFQGELICKHLDRPGISFCSRTTYDDNAITNAVEIYVTPSGAVHFTASNNDCGVRDFDMEKYQLSKHFHFLWPVNHTSLSPDGKLLIIVGDNPDIMLVDSDTGKTVMPLRGHLDFSFASAWHPDGVTFATGNQDKTCRIWDVRNLSKSIAVLKGNLGAIRSIRYTSDGKYMAMAEPADFVHVYDVKSGYENEQEIDFFGEISGLSFSPDTESLFIGVWDRTYGSLLEYSRQRNYLYLDSLM >KJB63141 pep chromosome:Graimondii2_0_v6:9:70589044:70591613:1 gene:B456_009G454800 transcript:KJB63141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYQEDDAEYMAEEYDMEDIDDMDEEFCGRDMSGSESDVDEYDYSNNKISDTSAAEARRGKDIQGIPWDQLSITREKYRQTRLEQYKNYENIPHSGEVSGKDCKITKKGASYYDFRLNSRSVKSTILHFQLRNLVWATSKHDVYLMSQFSVMHWSSLTHKKREILNVSGHVAPSEKHPGSLMEGFTQTQVSTLAVKDKLLVAGGFQGELICKHLDRPGISFCSRTTYDDNAITNAVEIYVTPSGAVHFTASNNDCGVRDFDMEKYQLSKHFHFLWPVNHTSLSPDGKLLIIVGDNPDIMLVDSDTGKVLVILLLQYCLHFWRLQSIS >KJB62656 pep chromosome:Graimondii2_0_v6:9:67025498:67026805:-1 gene:B456_009G428100 transcript:KJB62656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPHSLSFSQFLFPVYLLLKFYFLTSFLLFLFSLLRTLPIFLSSLENLGVLFFFHYFDLSFVAALLRWKMCDIYSFSK >KJB62657 pep chromosome:Graimondii2_0_v6:9:67026485:67026805:-1 gene:B456_009G428100 transcript:KJB62657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPHSLSFSQFLFPVYLLLKFYFLTSFLLFLFSLLRTLPIFLSSLENLGVLFFFHYFDLSFVAALLRYRHLVSVWCYHYCCAIFMLGIVYSFISLLFVMNRSFM >KJB62658 pep chromosome:Graimondii2_0_v6:9:67025498:67026808:-1 gene:B456_009G428100 transcript:KJB62658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPHSLSFSQFLFPVYLLLKFYFLTSFLLFLFSLLRTLPIFLSSLENLGVLFFFHYFDLSFVAALLRWKMCDIYSFSK >KJB59356 pep chromosome:Graimondii2_0_v6:9:20445856:20449971:1 gene:B456_009G251100 transcript:KJB59356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEISKKVDAYVNMLQQSAEDCEDQGVNIEVKITAGFPIKNVIIQEVQTYNAAWVFLDRHFRRDLKLYVKQIPCKVALVDDSLNVEVLRNHTLSQTDVVGQKPSYPSVSKPVPQFGENIEQSEISVRSYSWTPISIENSSSGHLMPSFTLKSEEQNFSSSKLEKSDTHSRGENKQPTMTFFQRKRRSCCHTKSSGAPLLCAACGLRTEMYIQDSKKFTYSVIQQATNDFSMENLLGEGGYGRVYKGKLKDGQLIAAKVRKQESTQGFTEFNSEVSVLSFARHKNIVMLLGYFCKDKLNILVYEYICNKSLHWHLFDNTENVLDWHQRRAIAIGTAKGLRFLHEECRGGPIIHRDMRPSNILLTHDLVPMLGDFGLARRKIDEDTLQTTVLGTLGYLAPEYAENGFVSVRTDVYAFGIVLLQLMSGRKVIDLESKGQHVSLRQWAKPLIKKLALHELIDPRIGESYDSYQLYLMAKTAYLCVQRSPEMRPSMGEVLRLLEGESDHFHRLKDKIGPHYTKR >KJB60454 pep chromosome:Graimondii2_0_v6:9:27734921:27738199:-1 gene:B456_009G305700 transcript:KJB60454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRYAMVCSSNQNRSMEAHSLLKKQGFDVSSYGTGAHVKLPGPSLREPNVYEFGTPYKHMFDDLRRKDPELYKRNGILPMLKRNLSVKLAPQRWQDNAADGCFDIVFTFEEKVFDMVLEDLHNRDQVLLKSVLVINLEVKDNHEEAAIGARIALDLCEQIEAVESWEDSIDDIMVSFENKHRRKLLYSISFY >KJB60456 pep chromosome:Graimondii2_0_v6:9:27736190:27738063:-1 gene:B456_009G305700 transcript:KJB60456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRYAMVCSSNQNRSMEAHSLLKKQGFDVSSYGTGAHVKLPGPSLREPNVYEFGTPYKHMFDDLRRKDPELYKRNGILPMLKRNLSVKLAPQRWQDNAADGCFDIVFTFEEKVFDMVLEDLHNRDQVLLKSVLVINLEVKDNHEEAAIGARIALDLCEQVHVMSCNVFDILLCLIFHQ >KJB60458 pep chromosome:Graimondii2_0_v6:9:27737260:27738157:-1 gene:B456_009G305700 transcript:KJB60458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRYAMVCSSNQNRSMEAHSLLKKQGFDVSSYGTGAHVKLPGPSLREPNVYEFGTPYKHMFDDLRRKDPELYKRNGILPMLKRNLSVKLAPQRWQDNAADGCFDIVFTFEEKVFDMVLEGSAPSL >KJB60455 pep chromosome:Graimondii2_0_v6:9:27735239:27738157:-1 gene:B456_009G305700 transcript:KJB60455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRYAMVCSSNQNRSMEAHSLLKKQGFDVSSYGTGAHVKLPGPSLREPNVYEFGTPYKHMFDDLRRKDPELYKRNGILPMLKRNLSVKLAPQRWQDNAADGCFDIVFTFEEKVFDMVLEDLHNRDQVLLKSVLVINLEVKDNHEEAAIGARIALDLCEQIEAVESWEDSIDDIMVSFENKHRRKLLYSISFY >KJB60457 pep chromosome:Graimondii2_0_v6:9:27736190:27738063:-1 gene:B456_009G305700 transcript:KJB60457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRYAMVCSSNQNRSMEAHSLLKKQGFDVSSYGTGAHVKLPGPSLREPNVYEFGTPYKHMFDDLRRKDPELYKRNGILPMLKRNLSVKLAPQRWQDNAADGCFDIVFTFEEKVFDMVLEDLHNRDQVLLKSVLVINLEVKDNHEEAAIGARIALDLCEQVHVMSCNVFDILLCLIFHQ >KJB61049 pep chromosome:Graimondii2_0_v6:9:37043223:37045347:-1 gene:B456_009G337600 transcript:KJB61049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRISLDTPRNGKSKVLDPAFSAFLVQLPCKLQNCLKSQLKRLAKDGDRIKPLNSFLEKKNGSSSGLGINLEKQLQAWRENPSWVNPPPEIEVSVAKGSLCYLKATIDVGLPPDAVYNIVTDPDNRRVFKNVKEVISRKVLIDEGQRQVVEVEQAAWWRFLWWSGTISVHVLVDQNREDYSMRFKQMSTGFMKKFEGYWRVEPFFVDEKTCFPFKPKTWAEYCSCTGGKGRIGSKVSLDQLIQPAIAPPPPISWYLSGIPAKTTEMLINDLLAEADRLKGGRDSEISEELRLSKEIGGYHHQLEQVSDIKERWKSHRRNRKLSRHRRKLLTEEPSSA >KJB61050 pep chromosome:Graimondii2_0_v6:9:37043128:37047141:-1 gene:B456_009G337600 transcript:KJB61050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRISLDTPRNGKSKVLDPAFSAFLVQLPCKLQNCLKSQLKRLAKDGDRIKPLNSFLEKKNGSSSGLGINLEKQLQAWRENPSWVNPPPEIEEVISRKVLIDEGQRQVVEVEQAAWWRFLWWSGTISVHVLVDQNREDYSMRFKQMSTGFMKKFEGYWRVEPFFVDEKTCFPFKPKTWAEYCSCTGGKGRIGSKVSLDQLIQPAIAPPPPISWYLSGIPAKTTEMLINDLLAEADRLKGGRDSEISEELRLSKEIGGYHHQLEQVSDIKERWKSHRRNRKLSRHRRKLLTEEPSSA >KJB61048 pep chromosome:Graimondii2_0_v6:9:37043004:37047278:-1 gene:B456_009G337600 transcript:KJB61048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRISLDTPRNGKSKVLDPAFSAFLVQLPCKLQNCLKSQLKRLAKDGDRIKPLNSFLEKKNGSSSGLGINLEKQLQAWRENPSWVNPPPEIEVSVAKGSLCYLKATIDVGLPPDAVYNIVTDPDNRRVFKNVKEVISRKVLIDEGQRQVVEVEQAAWWRFLWWSGTISVHVLVDQNREDYSMRFKQMSTGFMKKFEGYWRVEPFFVDEKTCFPFKPKTWAEYCSCTGGKGRIGSKVSLDQLIQPAIAPPPPISWYLSGIPAKTTEMLINDLLAEADRLKGGRDSEISEELRLSKEIGGYHHQLEQVSDIKERWKSHRRNRKLSRHRRKLLTEEPSSA >KJB53831 pep chromosome:Graimondii2_0_v6:9:583094:586325:1 gene:B456_009G006900 transcript:KJB53831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILFTKMFSNLFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKEEFHAILEEEELRGAAVLIFANKQDLPGALDDAAVTESLELHKIKNRQWAIFKTSAIKGEGLFEGLDW >KJB53832 pep chromosome:Graimondii2_0_v6:9:583094:586846:1 gene:B456_009G006900 transcript:KJB53832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVADRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKEEFHAILEEEELRGAAVLIFANKQDLPGALDDAAVTESLELHKIKNRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGSG >KJB53833 pep chromosome:Graimondii2_0_v6:9:583094:586846:1 gene:B456_009G006900 transcript:KJB53833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILFTKMFSNLFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKEEFHAILEVNPSRCTLLVFLFLKNFLKPCYGISYKYSMH >KJB53830 pep chromosome:Graimondii2_0_v6:9:583047:586849:1 gene:B456_009G006900 transcript:KJB53830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILFTKMFSNLFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKEEFHAILEEEELRGAAVLIFANKQDLPGALDDAAVTESLELHKIKNRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGSG >KJB55597 pep chromosome:Graimondii2_0_v6:9:6204332:6208496:-1 gene:B456_009G085300 transcript:KJB55597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSLLFTLLLVLLTFSDSSVQTLGLEVGINYGQIANNLPSPARVSYLLRSLNISRVKLYDADPNVLLAFANTNVEFIIGLGNEYLQNMTDPIKAQNWIQQRVQPYLTRTKITCITVGNEVYKTYDHQLWSNLLPAMQTVYNTLVNLGLDKQVTVTTAHSLDILSLSFPPSVGAFRQDLGEYLHGILNFHAQVKSPFLINAYPYFAYKDKPNEVPLNYVLFQPNQGTMDPSTNLKYDNMLFAQIDAVYSAIKAMGHTDIEVRISETGWPSRGDENEVGATPENAGLYNGNLLRRIEEKQGTPAKPNVPIDIYVFALFNENQKPGPTSERNYGLFYPDGTPVYNIGVQGYLPELIYSSATTNNGFSICSLLVLAIAYLISS >KJB55599 pep chromosome:Graimondii2_0_v6:9:6206172:6208440:-1 gene:B456_009G085300 transcript:KJB55599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSLLFTLLLVLLTFSDSSVQTLGLEVGINYGQIANNLPSPARVSYLLRSLNISRVKLYDADPNVLLAFANTNVEFIIGLGNEYLQNMTDPIKAQNWIQQRVQPYLTRTKITCITVGNEVYKTYDHQLWSNLLPAMQTVYNTLVNLGLDKQVTVTTAHSLDILSLSFPPSVGAFRQDLGEYLHGILNFHAQVKSPFLINAYPYFAYKDKPNEVPLNYVLFQPNQGTMDPSTNLKYDNMLFAQIDAVYSAIKAMGHTDIEVRISETGWPSRGDENEVGATPENAGLYNGNLLRRIEEKQGTPAKPNVPIDIYVFALFNENQKPGPTSERNYGLFYPDGTPVYNIGVQGYLPELIYSSATTNNGFSICSLLVLAIAYLISS >KJB55601 pep chromosome:Graimondii2_0_v6:9:6206488:6208324:-1 gene:B456_009G085300 transcript:KJB55601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSLLFTLLLVLLTFSDSSVQTLGLEVGINYGQIANNLPSPARVSYLLRSLNISRVKLYDADPNVLLAFANTNVEFIIGLGNEYLQNMTDPIKAQNWIQQRVQPYLTRTKITCITVGNEVYKTYDHQLWSNLLPAMQTVYNTLVNLGLDKQVTVTTAHSLDILSLSFPPSVGAFRQDLGEYLHGILNFHAQVKSPFLINAYPYFAYKDKPNEVPLNYVLFQPNQGTMDPSTNLKYDNMLFAQIDAVYSAIKAMGHTDIEVRISETGWPSRGDENEVGATPENAGLYNGNLLRRIEEKQGTPAKPNVPIDIYVFALFNENQKPGPTSERNYGLFYPDGTPVYNIGVQGYLPELIYSSATTNNVRKAFLGLTITRACYLNDDISIKSLLALIFLFFFCRDSLSAVFWSWL >KJB55602 pep chromosome:Graimondii2_0_v6:9:6204332:6208496:-1 gene:B456_009G085300 transcript:KJB55602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSLLFTLLLVLLTFSDSSVQTLGLEVGINYGQIANNLPSPARVSYLLRSLNISRVKLYDADPNVLLAFANTNVEFIIGLGNEYLQNMTDPIKAQNWIQQRVQPYLTRTKITCITVGNEVYKTYDHQLWSNLLPAMQTVYNTLVNLGLDKQVTVTTAHSLDILSLSFPPSVGAFRQDLGEYLHGILNFHAQVKSPFLINAYPYFAYKDKPNEVPLNYVLFQPNQGTMDPSTNLKYDNMLFAQIDAVYSAIKAMGHTDIEVRISETGWPSRGDENEVGATPENAGLYNGNLLRRIEEKQGTPAKPNVPIDIYVFALFNENQKPGPTSERNYGLFYPDGTPVYNIGVQGYLPELIYSSATTNNGFSICSLLVLAIAYLISS >KJB55598 pep chromosome:Graimondii2_0_v6:9:6206468:6208324:-1 gene:B456_009G085300 transcript:KJB55598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSLLFTLLLVLLTFSDSSVQTLGLEVGINYGQIANNLPSPARVSYLLRSLNISRVKLYDADPNVLLAFANTNVEFIIGLGNEYLQNMTDPIKAQNWIQQRVQPYLTRTKITCITVGNEVYKTYDHQLWSNLLPAMQTVYNTLVNLGLDKQVTVTTAHSLDILSLSFPPSVGAFRQDLGEYLHGILNFHAQVKSPFLINAYPYFAYKDKPNEVPLNYVLFQPNQGTMDPSTNLKYDNMLFAQIDAVYSAIKAMGHTDIEVRISETGWPSRGDENEVGATPENAGLYNGNLLRRIEEKQGTPAKPNVPIDIYVFALFNENQKPGPTSERNYGLFYPDGTPVYNIGVQGYLPELIYSSATTNNGFSICSLLVLAIAYLISS >KJB55594 pep chromosome:Graimondii2_0_v6:9:6204332:6207731:-1 gene:B456_009G085300 transcript:KJB55594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPIKAQNWIQQRVQPYLTRTKITCITVGNEVYKTYDHQLWSNLLPAMQTVYNTLVNLGLDKQVTVTTAHSLDILSLSFPPSVGAFRQDLGEYLHGILNFHAQVKSPFLINAYPYFAYKDKPNEVPLNYVLFQPNQGTMDPSTNLKYDNMLFAQIDAVYSAIKAMGHTDIEVRISETGWPSRGDENEVGATPENAGLYNGNLLRRIEEKQGTPAKPNVPIDIYVFALFNENQKPGPTSERNYGLFYPDGTPVYNIGVQGYLPELIYSSATTNNGFSICSLLVLAIAYLISS >KJB55595 pep chromosome:Graimondii2_0_v6:9:6206547:6208324:-1 gene:B456_009G085300 transcript:KJB55595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSLLFTLLLVLLTFSDSSVQTLGLEVGINYGQIANNLPSPARVSYLLRSLNISRVKLYDADPNVLLAFANTNVEFIIGLGNEYLQNMTDPIKAQNWIQQRVQPYLTRTKITCITVGNEVYKTYDHQLWSNLLPAMQTVYNTLVNLGLDKQVTVTTAHSLDILSLSFPPSVGAFRQDLGEYLHGILNFHAQVKSPFLINAYPYFAYKDKPNEVPLNYVLFQPNQGTMDPSTNLKYDNMLFAQIDAVYSAIKAMGHTDIEVRISETGWPSRGDENEVGATPENAGLYNGNLLRRIEEKQGTPAKPNVPIDIYVFALFNENQKPGPTSERNYGLFYPDGTPVYNIGVQGYLPELIYSSATTNNL >KJB55596 pep chromosome:Graimondii2_0_v6:9:6204332:6208440:-1 gene:B456_009G085300 transcript:KJB55596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSLLFTLLLVLLTFSDSSVQTLGLEVGINYGQIANNLPSPARVSYLLRSLNISRVKLYDADPNVLLAFANTNVEFIIGLGNEYLQNMTDPIKAQNWIQQRVQPYLTRTKITCITVGNEVYKTYDHQLWSNLLPAMQTVYNTLVNLGLDKQVTVTTSPFLINAYPYFAYKDKPNEVPLNYVLFQPNQGTMDPSTNLKYDNMLFAQIDAVYSAIKAMGHTDIEVRISETGWPSRGDENEVGATPENAGLYNGNLLRRIEEKQGTPAKPNVPIDIYVFALFNENQKPGPTSERNYGLFYPDGTPVYNIGVQGYLPELIYSSATTNNGFSICSLLVLAIAYLISS >KJB55600 pep chromosome:Graimondii2_0_v6:9:6206468:6208324:-1 gene:B456_009G085300 transcript:KJB55600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSLLFTLLLVLLTFSDSSVQTLGLEVGINYGQIANNLPSPARVSYLLRSLNISRVKLYDADPNVLLAFANTNVEFIIGLGNEYLQNMTDPIKAQNWIQQRVQPYLTRTKITCITVGNEVYKTYDHQLWSNLLPAMQTVYNTLVNLGLDKQVTVTTAHSLDILSLSFPPSVGAFRQDLGEYLHGILNFHAQVKSPFLINAYPYFAYKDKPNEVPLNYVLFQPNQGTMDPSTNLKYDNMLFAQIDAVYSAIKAMGHTDIEVRISETGWPSRGDENEVGATPENAGLYNGNLLRRIEEKQGTPAKPNVPIDIYVFALFNENQKPGPTSERNYGLFYPDGTPVYNIGVQGYLPELIYSSATTNNGFSICSLLVLAIAYLISS >KJB59264 pep chromosome:Graimondii2_0_v6:9:19866853:19870523:1 gene:B456_009G246600 transcript:KJB59264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMKSENIVQDRQPQPQEEEEIMQQEEEEEQRFHVLAVDDSVIDRKLLEKLLKASSYQVTCVESGEKALEYLGLLHHSSPASSSSSQHHHQGHKVNLIMTDFSMPGTSGYDLLKRIKGSSWKDVPVVVMSSENVPSRISMCLEGGAEEFMLKPLQLSDLHKIQAHLLKSLPHS >KJB59265 pep chromosome:Graimondii2_0_v6:9:19866938:19870513:1 gene:B456_009G246600 transcript:KJB59265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMKSENIVQDRQPQPQEEEEIMQQEEEEEQRFHVLAVDDSVIDRKLLEKLLKASSYQVTCVESGEKALEYLGLLHHSSPASSSSSQHHHQETQGHKVNLIMTDFSMPGTSGYDLLKRIKGSSWKDVPVVVMSSENVPSRISMCLEGGAEEFMLKPLQLSDLHKIQAHLLKSLPHS >KJB60953 pep chromosome:Graimondii2_0_v6:9:34620494:34628430:1 gene:B456_009G332800 transcript:KJB60953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLGHGISFGYRNHTNILMRISSIDSQETDPSVLMNAHFDGPLGSPGAGDCASCVASLLEIARLTIDSGWVPPRPIIFLFNGAEEVFLLGAHGFMRTHKWRDSIGAFINVEASGTGGLDLVCQSGPGSWPSSVYAQSAIYPMAHSAAQDVFPVIPGDTDYRMFSEDYGSIPGLDIIFLLGGYYYHTNYDTVDRLVPGSMQARGDNLYSAVKAFAESAKLRNARQRESLGVSNGNDDGQAVFFDYLAWFMIFYSRRIAMVLHGIPVIIFLAMPFFSRFLYSGLWCCFATFYDFVKGMILHTTGIMLAIIFPVLFSILRLLVSSYGMNWFANPFLAFMMFIPISLVGLLIPRTVFRCFPLSQNVSVLKVSKEALSDEARFWGAFGFYASLTLAYLLAGLSGGFLTFFTSASMLLAWISFCLSIKFCGRQLARSTVFYVIPLIPCLTYSVYFGGFLVQFLIEKMGMMGSLPPPYGNYVPDIVVAAIVGVVTSWCMGPLMPICGKWLARSSILQFLLHLSVIALALSSQFFPYSRDAPKRVVFQHTFLTADANRIVDSSYDFSVVDSNSLLFLFKYAPEVAKELNIGPEFSFETAKMSNQRTFLTLFPVNFLFSRSLQFPARSDEILKQYRQFPHLYTNKPQTMSSDGSRRVYLELSLGSLKEVWVAVLNITGPLSSWSFADTKLPVPETAEGGPPSYICRLTGSSREKWNFWLEGRNVEDIRVDVAVLDQNLVEEAKKLKSVFPGWADVTAYSSFLSTYVF >KJB60951 pep chromosome:Graimondii2_0_v6:9:34619774:34628599:1 gene:B456_009G332800 transcript:KJB60951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLDGTDVSGFKFLLSLAVMYGLMSILVHSVMYTKFITPLGIDAPLDRFSEARAIEHVRVLAHEIDGRQEGRQGLREAAEYIKAQLERLKDRAGSNFRIEIEENVVGGSFNMMFLGHGISFGYRNHTNILMRISSIDSQETDPSVLMNAHFDGPLGSPGAGDCASCVASLLEIARLTIDSGWVPPRPIIFLFNGAEEVFLLGAHGFMRTHKWRDSIGAFINVEASGTGGLDLVCQSGPGSWPSSVYAQSAIYPMAHSAAQDVFPVIPGDTDYRMFSEDYGSIPGLDIIFLLGGYYYHTNYDTVDRLVPGSMQARGDNLYSAVKAFAESAKLRNARQRESLGVSNGNDDGQAVFFDYLAWFMIFYSRRIAMVLHGIPVIIFLAMPFFSRFLYSGLWCCFATFYDFVKGMILHTTGIMLAIIFPVLFSILRLLVSSYGMNWFANPFLAFMMFIPISLVGLLIPRTVFRCFPLSQNVSVLKVSKEALSDEARFWGAFGFYASLTLAYLLAGLSGGFLTFFTSASMLLAWISFCLSIKFCGRQLARSTVFYVIPLIPCLTYSVYFGGFLVQFLIEKMGMMGSLPPPYGNYVPDIVVAAIVGVVTSWCMGPLMPICGKWLARSSILQFLLHLSVIALALSSQFFPYSRDAPKRVVFQHTFLTADANRIVDSSYDFSVVDSNSLLFLFKYAPEVAKELNIGPEFSFETAKMSNQRTFLTLFPVNFLFSRSLQFPARSDEILKQYRQFPHLYTNKPQTMSSDGSRRVYLELSLGSLKEVWVAVLNITGPLSSWSFADTKLPVPETAEGGPPSYICRLTGSSREKWNFWLEGRNVEDIRVDVAVLDQNLVEEAKKLKSVFPGWADVTAYSSFLSTYVF >KJB60952 pep chromosome:Graimondii2_0_v6:9:34619966:34627902:1 gene:B456_009G332800 transcript:KJB60952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLDGTDVSGFKFLLSLAVMYGLMSILVHSVMYTKFITPLGIDAPLDRFSEARAIEHVRVLAHEIDGRQEGRQGLREAAEYIKAQLERLKDRAGSNFRIEIEENVVGGSFNMMFLGHGISFGYRNHTNILMRISSIDSQETDPSVLMNAHFDGPLGSPGAGDCASCVASLLEIARLTIDSGWVPPRPIIFLFNGAEEVFLLGAHGFMRTHKWRDSIGAFINVEASGTGGLDLVCQSGPGSWPSSVYAQSAIYPMAHSAAQDVFPVIPGDTDYRMFSEDYGSIPGLDIIFLLGGYYYHTNYDTVDRLVPGSMQARGDNLYSAVKAFAESAKLRNARQRESLGVSNGNDDGQAVFFDYLAWFMIFYSRRIAMVLHGIPVIIFLAMPFFSRFLYSGLWCCFATFYDFVKGMILHTTGIMLAIIFPVLFSILRLLVSSYGMNWFANPFLAFMMFIPISLVGLLIPRTVFRCFPLSQNVSVLKVSKEALSDEARFWGAFGFYASLTLAYLLAGLSGGFLTFFTSASMLLAWISFCLSIKFCGRQLARSTVFYVIPLIPCLTYSVYFGGFLVQFLIEKMGMMGSLPPPYGNYVPDIVVAAIVGVVTSWCMGPLMPICGKWLARSSILQFLLHLSVIALALSSQFFPYSRDAPKRVVFQHTFLTADANRIVDSSYDFSVVDSNSLLFLFKYAPEVAKELNIGPEFSFETAKMSNQRTFLTLFPVNFLFSRSLQFPARSDEILKQYRQFPHLYTNKPQTMSSDGSRRVYLELSLGSLKEVWVAVLNITGPLSSWSFADTKLPVPETAEGGPPSYICRLTGSSREKWNFWLEVYWYKEGRSLEIPEGFADFRIQILQLQNSTF >KJB60954 pep chromosome:Graimondii2_0_v6:9:34622226:34628430:1 gene:B456_009G332800 transcript:KJB60954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGAHGFMRTHKWRDSIGAFINVEASGTGGLDLVCQSGPGSWPSSVYAQSAIYPMAHSAAQDVFPVIPGDTDYRMFSEDYGSIPGLDIIFLLGGYYYHTNYDTVDRLVPGSMQARGDNLYSAVKAFAESAKLRNARQRESLGVSNGNDDGQAVFFDYLAWFMIFYSRRIAMVLHGIPVIIFLAMPFFSRFLYSGLWCCFATFYDFVKGMILHTTGIMLAIIFPVLFSILRLLVSSYGMNWFANPFLAFMMFIPISLVGLLIPRTVFRCFPLSQNVSVLKVSKEALSDEARFWGAFGFYASLTLAYLLAGLSGGFLTFFTSASMLLAWISFCLSIKFCGRQLARSTVFYVIPLIPCLTYSVYFGGFLVQFLIEKMGMMGSLPPPYGNYVPDIVVAAIVGVVTSWCMGPLMPICGKWLARSSILQFLLHLSVIALALSSQFFPYSRDAPKRVVFQHTFLTADANRIVDSSYDFSVVDSNSLLFLFKYAPEVAKELNIGPEFSFETAKMSNQRTFLTLFPVNFLFSRSLQFPARSDEILKQYRQFPHLYTNKPQTMSSDGSRRVYLELSLGSLKEVWVAVLNITGPLSSWSFADTKLPVPETAEGGPPSYICRLTGSSREKWNFWLEGRNVEDIRVDVAVLDQNLVEEAKKLKSVFPGWADVTAYSSFLSTYVF >KJB55605 pep chromosome:Graimondii2_0_v6:9:6159554:6161067:1 gene:B456_009G084500 transcript:KJB55605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMETGFNHLRFPATVLFLLLFLHPHRLLALPLNSMVAHDLNHEKQNSKDAMEVKQFSISDEAIEVVKKRGGGAVAGAHGGGEHGNAGNGGGDNGRGSGGQIPVYAAGAGNHNRDHLHHGSTSGTVNYIGTSCLPLIPFMFFLFVCCM >KJB55604 pep chromosome:Graimondii2_0_v6:9:6159359:6161067:1 gene:B456_009G084500 transcript:KJB55604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMETGFNHLRFPATVLFLLLFLHPHRLLALPLNSMVAHDLNHEKQNSKDAMEVKQFSISDEAIEVVKKRGGGAVAGAHGGGEHGNAGNGGGDNGRGSGGQIPVYAAGAGNHNRDHLHHGSTSGTVNYIGTSCLPLIPFMFFLFVCCM >KJB62215 pep chromosome:Graimondii2_0_v6:9:59774754:59776727:-1 gene:B456_009G406500 transcript:KJB62215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHLKQCRNQHESDHQQPPPSANIPKLHFHHHHHQHPSPLPLLVPHHHCKSSSNNLPPVPHSSSTFPSRMGSFFSLSQWQELELQALIFRYMLAGAAVPPQLLQSIENSTILHSPSYFLHHPLRHFSHYQPPWYWGRAAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHVHRGRNRSRKPVEMLKSSGACTTIINNNTLVGAADGVVDVGCGGLKPTSLIPNSPLELAGDRANSLLLSGHSPPVDLLRLNYSSSDSNNVHKGLFEAQNEVNNRSDGQMLRHFFDDRPRSLQQPDNHAESPMSSATCLSISMPGNSSSDVSLKLSTGNGDQLNWAAGWASNQVASMGGPLAEALRSSMSNSQP >KJB62216 pep chromosome:Graimondii2_0_v6:9:59774111:59776956:-1 gene:B456_009G406500 transcript:KJB62216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHLKQCRNQHESDHQQPPPSANIPKLHFHHHHHQHPSPLPLLVPHHHCKSSSNNLPPVPHSSSTFPSRMGSFFSLSQWQELELQALIFRYMLAGAAVPPQLLQSIENSTILHSPSYFLHHPLRHFSHYQPPWYWGRAAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHVHRGRNRSRKPVEMLKSSGACTTIINNNTLVGAADGVVDVGCGGLKPTSLIPNSPLELAGDRANSLLLSGHSPPVDLLRLNYRPRSLQQPDNHAESPMSSATCLSISMPGNSSSDVSLKLSTGNGDQLNWAAGWASNQVASMGGPLAEALRSSMSNSQP >KJB62217 pep chromosome:Graimondii2_0_v6:9:59774754:59776727:-1 gene:B456_009G406500 transcript:KJB62217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHLKQCRNQHESDHQQPPPSANIPKLHFHHHHHQHPSPLPLLVPHHHCKSSSNNLPPVPHSSSTFPSRMGSFFSLSQWQELELQALIFRYMLAGAAVPPQLLQSIENSTILHSPSYFLHHPLRHFSHYQPPLLQSGYWGRAAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHVHRGRNRSRKPVEMLKSSGACTTIINNNTLVGAADGVVDVGCGGLKPTSLIPNSPLELAGDRANSLLLSGHSPPVDLLRLNYSSSDSNNVHKGLFEAQNEVNNRSDGQMLRHFFDDRPRSLQQPDNHAESPMSSATCLSISMPGNSSSDVSLKLSTGNGDQLNWAAGWASNQVASMGGPLAEALRSSMSNSQP >KJB62218 pep chromosome:Graimondii2_0_v6:9:59774111:59776956:-1 gene:B456_009G406500 transcript:KJB62218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHLKQCRNQHESDHQQPPPSANIPKLHFHHHHHQHPSPLPLLVPHHHCKSSSNNLPPVPHSSSTFPSRMGSFFSLSQWQELELQALIFRYMLAGAAVPPQLLQSIENSTILHSPSYFLHHPLRHFSHYQPPLLQSGYWGRAAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHVHRGRNRSRKPVEMLKSSGACTTIINNNTLVGAADGVVDVGCGGLKPTSLIPNSPLELAGDRANSLLLSGHSPPVDLLRLNYRPRSLQQPDNHAESPMSSATCLSISMPGNSSSDVSLKLSTGNGDQLNWAAGWASNQVASMGGPLAEALRSSMSNSQP >KJB62228 pep chromosome:Graimondii2_0_v6:9:60160426:60163644:-1 gene:B456_009G407300 transcript:KJB62228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWSGDLIDVQKFSNRGVDLYIRLPSSELDKGNSEIIVITTVISGMIVIIIIFALFLLRRMGQQRGVESLKSGSIDERGDKETPKPSFGPHKLREGLPLILILRNRLKYALTYIEVIAILMQRHVMVDRKVRTDKTYPAGFMGKRINHYRENKLSGFHDQCFSGFTLNEQETSQQFIGIEQSKFRIK >KJB62226 pep chromosome:Graimondii2_0_v6:9:60160168:60164060:-1 gene:B456_009G407300 transcript:KJB62226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVIIIIFALFLLRRMGQQRGVESLKSGSIDERGDKETPKPSFGPHKLREGLPLILILRNRLKYALTYIEVIAILMQRHVMVDRKVRTDKTYPAGFMGKRINHYRENKLSGFHDQCFSGFTLNEQETSQQFIGIEQSKFRIK >KJB62227 pep chromosome:Graimondii2_0_v6:9:60160426:60163695:-1 gene:B456_009G407300 transcript:KJB62227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCSCVAYAYDAGIGCMLWSGDLIDVQKFSNRGVDLYIRLPSSELDKGNSEIIVITTVISGMIVIIIIFALFLLRRMGQQRGVESLKSGSIDERGDKETPKPSFGPHKLREGLPLILILRNRLKYALTYIEVIAILMQRHVMVDRKVRTDKTYPAGFMGKRINHYRENKLSGFHDQCFSGFTLNEQETSQQFIGIEQSKFRIK >KJB62395 pep chromosome:Graimondii2_0_v6:9:63995860:63999031:-1 gene:B456_009G415600 transcript:KJB62395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKKTEALGFAVTSISFWHLDLHCGIYCVFSSSRAMKCFIFLSWRRKDEPKTPTPISTRSKNSTFTDREIGRSGSELNSQNVSATSSESIRRSSFPSMSQRPSNLKVFTVSELKSATKNFSRSAMLGEGGFGCVYKGFIKSPDDSSQKIEVAVKQLGKRGLQGHKEWVTEVNLLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNSSVEYLLSERSETTLSWAMRLKIAQDAARGLAYLHEGMDFQIIFRDFKSSNILLDDQWRAKLSDFGLARLGPSEGLTHVSTAVVGTMGYAAPEYIQTGRLTSKIDVWSYGVFLYELITGRLPLDKNRPKSEQKLLEWVKPYLSKAKKFELIVDPRLKRQYQLKSAQKLAAVANRCVVRNPKSRPKMSEVLEMVNQIVEAPTGAGNPEPPLKTKPFVDTSRGTERKHNRRIIDSRSGDKFVHHVNSR >KJB62397 pep chromosome:Graimondii2_0_v6:9:63995591:63998573:-1 gene:B456_009G415600 transcript:KJB62397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRNHSYSLYLALGFAVTSISFWHLDLHCGIYCVFSSSRAMKCFIFLSWRRKDEPKTPTPISTRSKNSTFTDREIGRSGSELNSQNVSATSSESIRRSSFPSMSQRPSNLKVFTVSELKSATKNFSRSAMLGEGGFGCVYKGFIKSPDDSSQKIEVAVKQLGKRGLQGHKEWVTEVNLLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNSSVEYLLSERSETTLSWAMRLKIAQDAARGLAYLHEGMDFQIIFRDFKSSNILLDDQWRAKLSDFGLARLGPSEGLTHVSTAVVGTMGYAAPEYIQTGRLTSKIDVWSYGVFLYELITGRLPLDKNRPKSEQKLLEWVKPYLSKAKKFELIVDPRLKRQYQLKSAQKLAAVANRCVVRNPKSRPKMSEVLEMVNQIVEAPTGAGNPEPPLKTKPFVDTSRGTERKHNRRIIDSRSGDKFVHHVNSR >KJB62396 pep chromosome:Graimondii2_0_v6:9:63995343:63999557:-1 gene:B456_009G415600 transcript:KJB62396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKKTEVTSISFWHLDLHCGIYCVFSSSRAMKCFIFLSWRRKDEPKTPTPISTRSKNSTFTDREIGRSGSELNSQNVSATSSESIRRSSFPSMSQRPSNLKVFTVSELKSATKNFSRSAMLGEGGFGCVYKGFIKSPDDSSQKIEVAVKQLGKRGLQGHKEWVTEVNLLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNSSVEYLLSERSETTLSWAMRLKIAQDAARGLAYLHEGMDFQIIFRDFKSSNILLDDQWRAKLSDFGLARLGPSEGLTHVSTAVVGTMGYAAPEYIQTGRLTSKIDVWSYGVFLYELITGRLPLDKNRPKSEQKLLEWVKPYLSKAKKFELIVDPRLKRQYQLKSAQKLAAVANRCVVRNPKSRPKMSEVLEMVNQIVEAPTGAGNPEPPLKTKPFVDTSRGTERKHNRRIIDSRSGDKFVHHVNSR >KJB57794 pep chromosome:Graimondii2_0_v6:9:13953618:13956158:-1 gene:B456_009G181400 transcript:KJB57794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISRRIVRSFGSLSALGFREYPTVAGRSFQASDLSDQIAGDNLLHAECFSFSKGGPSFLGFRRFSTTIWTPDSNGGAFPSDLLSSKTVLTPERTIGLYEDLVVPVTNFHNEDHGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGLGRARHGSLRGPQFRGGATMHGPKPRSHAFKLNKKVRRLGLKIALSARAAEGKLLVFEDLEVPTHKTKNIVNYVNQMENTKKLLLVDGGPINEKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMSRDAVNRIVERMHTPINR >KJB57802 pep chromosome:Graimondii2_0_v6:9:13954481:13956158:-1 gene:B456_009G181400 transcript:KJB57802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISRRIVRSFGSLSALGFREYPTVAGRSFQASDLSDQIAGDNLLHAECFSFSKGGPSFLGFRRFSTTIWTPDSNGGAFPSDLLSSKTVLTPERTIGLYEDLVVPVTNFHNEDHGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGLGRARHGSLRGPQVTPLLFFDF >KJB57801 pep chromosome:Graimondii2_0_v6:9:13953269:13956858:-1 gene:B456_009G181400 transcript:KJB57801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISRRIVRSFGSLSALGFREYPTVAGRSFQASDLSDQIAGDNLLHAECFSFSKGGPSFLGFRRFSTTIWTPDSNGGAFPSDLLSSKTVLTPERTIGLYEDLVVPVTNFHNEDHGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGLGRARHGSLRGPQFRGGATMHGPKPRSHAFKLNKKVRRLGLKIALSARAAEGKLLVFEDLEVPTHKTKNIVNYVNQMENTKKLLLVDGGPINEKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMSRDAVNRIVERMHTPINR >KJB57804 pep chromosome:Graimondii2_0_v6:9:13953269:13956722:-1 gene:B456_009G181400 transcript:KJB57804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISRRIVRSFGSLSALGFREYPTVAGRSFQASDLSDQIAGDNLLHAECFSFSKGGPSFLGFRRFSTTIWTPDSNGGAFPSDLLSSKTVLTPERTIGLYEDLVVPVTNFHNEDHGLMVLAGDVFDVPIRKDIIHRVVRWHPGNRRVLVELGMDHCVVPSFEVVLLCMAPSQEVMLLN >KJB57805 pep chromosome:Graimondii2_0_v6:9:13954284:13956158:-1 gene:B456_009G181400 transcript:KJB57805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISRRIVRSFGSLSALGFREYPTVAGRSFQASDLSDQIAGDNLLHAECFSFSKGGPSFLGFRRFSTTIWTPDSNGGAFPSDLLSSKTVLTPERTIGLYEDLVVPVTNFHNEDHGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGLGRARHGSLRGPQFRGGATMHGPKPRSHAFKLNKKVRRLGLKIALSARAAEGKVSS >KJB57793 pep chromosome:Graimondii2_0_v6:9:13953269:13955067:-1 gene:B456_009G181400 transcript:KJB57793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYYGAGLYEDLVVPVTNFHNEDHGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGLGRARHGSLRGPQFRGGATMHGPKPRSHAFKLNKKVRRLGLKIALSARAAEGKLLVFEDLEVPTHKTKNIVNYVNQMENTKKLLLVDGGPINEKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMSRDAVNRIVERMHTPINR >KJB57807 pep chromosome:Graimondii2_0_v6:9:13953269:13956722:-1 gene:B456_009G181400 transcript:KJB57807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISRRIVRSFGSLSALGFREYPTVAGRSFQASDLSDQIAGDNLLHAECFSFSKGGPSFLGFRRFSTTIWTPDSNGGAFPSDLLSSKTVLTPERTIGLYEDLVVPVTNFHNEDHGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGLGRARHGSLRGPQFRGGATMHGPKPRSHAFKLNKKVRRLGLKIALSARAAEGKLLVFEDLEVPTHKTKNIVNYVNQMENTKKLLLVDGGPINEKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMSRDAVNRIVERMHTPINR >KJB57808 pep chromosome:Graimondii2_0_v6:9:13953784:13956722:-1 gene:B456_009G181400 transcript:KJB57808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISRRIVRSFGSLSALGFREYPTVAGRSFQASDLSDQIAGDNLLHAECFSFSKGGPSFLGFRRFSTTIWTPDSNGGAFPSDLLSSKTVLTPERTIGLYEDLVVPVTNFHNEDHGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGLGRARHGSLRGPQFRGGATMHGPKPRSHAFKLNKKVRRLGLKIALSARAAEGKVSS >KJB57795 pep chromosome:Graimondii2_0_v6:9:13953269:13956672:-1 gene:B456_009G181400 transcript:KJB57795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNVLAFPRFSTTIWTPDSNGGAFPSDLLSSKTVLTPERTIGLYEDLVVPVTNFHNEDHGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGLGRARHGSLRGPQFRGGATMHGPKPRSHAFKLNKKVRRLGLKIALSARAAEGKLLVFEDLEVPTHKTKNIVNYVNQMENTKKLLLVDGGPINEKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMSRDAVNRIVERMHTPINR >KJB57800 pep chromosome:Graimondii2_0_v6:9:13953269:13956672:-1 gene:B456_009G181400 transcript:KJB57800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISRRIVRSFGSLSALGFREYPTVADLSDQIAGDNLLHAECFSFSKGGPSFLGFRRFSTTIWTPDSNGGAFPSDLLSSKTVLTPERTIGLYEDLVVPVTNFHNEDHGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGLGRARHGSLRGPQFRGGATMHGPKPRSHAFKLNKKVRRLGLKIALSARAAEGKLLVFEDLEVPTHKTKNIVNYVNQMENTKKLLLVDGGPINEKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMSRDAVNRIVERMHTPINR >KJB57798 pep chromosome:Graimondii2_0_v6:9:13953269:13956698:-1 gene:B456_009G181400 transcript:KJB57798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISRRIVRSFGSLSALGFREYPTVAGRSFQASDLSDQIAGDNLLHAECFSFSKGGPSFLGFRRFSTTIWTPDSNGGAFPSDLLSSKTVLTPERTIGLYEDLVVPVTNFHNEDHGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGLGRARHGSLRGPQFRGGATMHGPKPRSHAFKLNKKVRRLGLKIALSARAAEGKLLVFEDLEVPTHKTKNIVNYVNQMENTKKLLLVDGGPINEKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMSRDAVNRIVERMHTPINR >KJB57797 pep chromosome:Graimondii2_0_v6:9:13953269:13956676:-1 gene:B456_009G181400 transcript:KJB57797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISRRIVRSFGSLSALGFREYPTVADLSDQIAGDNLLHAECFSFSKGGPSFLGFRRFSTTIWTPDSNGGAFPSDLLSSKTVLTPERTIGLYEDLVVPVTNFHNEDHGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGLGRARHGSLRGPQFRGGATMHGPKPRSHAFKLNKKVRRLGLKIALSARAAEGKLLVFEDLEVPTHKTKNIVNYVNQMENTKKLLLVDGGPINEKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMSRDAVNRIVERMHTPINR >KJB57803 pep chromosome:Graimondii2_0_v6:9:13953703:13956158:-1 gene:B456_009G181400 transcript:KJB57803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISRRIVRSFGSLSALGFREYPTVAGRSFQASDLSDQIAGDNLLHAECFSFSKGGPSFLGFRRFSTTIWTPDSNGGAFPSDLLSSKTVLTPERTIGLYEDLVVPVTNFHNEDHGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGLGRARHGSLRGPQFRGGATMHGPKPRSHAFKLNKKVRRLGLKIALSARAAEGKLLVFEDLEVPTHKTKNIVNYVNQMENTKKLLLVDGGPINEKLKLATQNLHYVNVLPSIVRLECL >KJB57806 pep chromosome:Graimondii2_0_v6:9:13953269:13956722:-1 gene:B456_009G181400 transcript:KJB57806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISRRIVRSFGSLSALGFREYPTVAGRSFQASDLSDQIAGDNLLHAECFSFSKGGPSFLGFRRFSTTIWTPDSNGGAFPSDLLSSKTVLTPERTIGLYEDLVVPVTNFHNEDHGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGLGRARHGSLRGPQFRGGATMHGPKPRSHAFKLNKKVRRLGLKIALSARAAEGKLLVFEDLEVPTHKTKNIVNYVNQMENTKKLLLVDGGPINEKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMSRDAVNRIVERMHTPINR >KJB57799 pep chromosome:Graimondii2_0_v6:9:13953618:13956158:-1 gene:B456_009G181400 transcript:KJB57799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISRRIVRSFGSLSALGFREYPTVAGRSFQASGQNSILNLSDQIAGDNLLHAECFSFSKGGPSFLGFRRFSTTIWTPDSNGGAFPSDLLSSKTVLTPERTIGLYEDLVVPVTNFHNEDHGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGLGRARHGSLRGPQFRGGATMHGPKPRSHAFKLNKKVRRLGLKIALSARAAEGKLLVFEDLEVPTHKTKNIVNYVNQMENTKKLLLVDGGPINEKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMSRDAVNRIVERMHTPINR >KJB57796 pep chromosome:Graimondii2_0_v6:9:13953269:13956672:-1 gene:B456_009G181400 transcript:KJB57796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSISRRIVRSFGSLSALGFREYPTVADLSDQIAGDNLLHAECFSFSKGGPSFLGFRRFSTTIWTPDSNGGAFPSDLLSSKTVLTPERTIGLYEDLVVPVTNFHNEDHGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGLGRARHGSLRGPQFRGGATMHGPKPRSHAFKLNKKVRRLGLKIALSARAAEGKLLVFEDLEVPTHKTKNIVNYVNQMENTKKLLLVDGGPINEKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMSRDAVNRIVERMHTPINR >KJB62824 pep chromosome:Graimondii2_0_v6:9:68923108:68926953:-1 gene:B456_009G438800 transcript:KJB62824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAEVTVLLHVAAEVDIVAEKGNEKKYLLNASCVKVGSGSSDVYVNGNDSDNGVVMESDGNCIVEEDHEVPTESDSVTITMNQQEVDIVAEKENETRYLLNGANMSSVKVGSGSSDVYVNLDGNDSVNGVVVEPGDNCIVEEDHEVQSESDSVTITMNQQEVDIVAEKQNETRYLLNGANTSSVNVGSDGSDAYANLDGNDSVNGVVVESGDNCIVEEDHEVKSEPDSVTITMTQQEVDIVAEKENETRYLLIGANAICVKVGSGSLDVYVNGAVVESDGNCIVEEDHEVKSESDSVTITMNQQEADIVDEKENERIYLLNGANTSSVKVGTGSTDVYVNVNGSDSVNGVVVESGGNCIIEEDHKVTISMNKQEGEKPVEEKGGYFEEKSGDGDDCLAKQTVQDAAIVIDSSVLKSDSASDSSIVIDSSLKQSDGKVDCSVETDVNSIVIDSVTTDDDATHIEIKTDSDSSKSSTTVETDANFVVGSISFVVDVQSNQYNGNLADSEMGSQLVDKSLDVVSGLKSETNVCSDSISVVASNSSERTCGVTNGGIEFGSFDDEAERKTPFNYMIRVPRNNDESLKVKIRLAQIKVDEKSRIRDGIRNDMQSTRVTCKEYGNDFNAAVSQERKARDLHRSKCREIESMQSVLDIEDTDVKIRNMEWAIQHQTLPLKDEKKFIRDIKQLKQTREKLSSTTSRQDENQQGLDLKERLKSLKKEADQFKARLKNTEAITKAAKRKYYEETEKLSELQYQFKAANDIQQEAYAQLQCLKKQSHEKSKHFWQYKDDLNKANELASKGDKVALQNFCINQLMVQVEKFMDLWNNNDEFRKEYVRCNERSTLWRLRTLDGRALGPGEVPPVIPRALNGRAVVDHTMSGLTLEDRTQELVAVAKAEKVLAEKVVEQKTFMKSVPPESVSTTASNGDKIEEAEEEKPKRTKEEESDRKAEELRKEEEAAKLKEQRRLEEIAKAKESLERKRQKAEKAEAREANRAANEAEKKEKKREKRAKKKENQKAVATAGDTGIEDEAVSACPTSETLAETSKKTENKEKPVVATTERPQKVSKFVKQTKVTSIPPPIRNRGKQKMRPWMRFILTLFVILALFFLGNYI >KJB61892 pep chromosome:Graimondii2_0_v6:9:52657178:52658446:-1 gene:B456_009G389000 transcript:KJB61892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKCGNCDCSDKSQCVKKGNALVIETEKSYISTVVVEAVAENDGKCKCGTSCSCTNCTCGNH >KJB62753 pep chromosome:Graimondii2_0_v6:9:68257818:68260353:1 gene:B456_009G434400 transcript:KJB62753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYINPENCRNRETRESFQGLKQAKFQRKRMLKQFSLVFFVLLACYNGVNSQELKHITKELEVNAPASEAWELYRKLGLLKLIDLKLTNIFQSTEVLKGDGGVGTVVKLTFVPGNSSYTEKFTVMDDQRRVKETKGLEGDCLAIGCSVQILEFEIIEKSQNSSIIKSTISYAVKEEFQAKDPKPSIQIVEAIVQSSKKFLERNN >KJB57517 pep chromosome:Graimondii2_0_v6:9:12971497:12976578:-1 gene:B456_009G168700 transcript:KJB57517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVIDSPEGFLQEWWSLFYEIFAARQTKLQGEAESTSAKSEIKEDQSQSFGPMFLPQLMINQQMARQVPIPRYFDSSLQLLETNQLRLPNSFVASSSLLQQPINLAQHQAKREQSQGTNLGSNILINSNTPNKALEGKLPVTWPHAAGLNESIDPAPLSGWALDALNYQQPFQILKCQPEKSDKGLSLMPRNLTPTFPGSSGKFSYQNLILPKPEIIENNRPTGRKKKKLSNSLTADQKLGYIKDEENKPVDDTVDSFLSHDHDDVDNTSTPFTNLRSRSNRSNKSEHKGFTFEEVSCLHSCKSKVLSCHFSSDGKLLASAGHEKKVLIWNMETLDFVRTAEGHSLLITDVRFRPSSTIFATSSFDKTVQLWDSAKPSKSLFKLVGHAEQVLSLDFHPRKTDLLCSCDSNNEMRLWNINQRSCVHVSKSATKQVRFQPRPGKLIATASGNVVNVIDAETNKPLSCLKGHNKEVLSICWDPSGKYFASISEDSARMWSVSGGECLHELRSTGNKFQSCTFHPGYSQLLVIGGYQCLELWNPVESNKTWTVEAHRGLISSLADCLQTEMVASASHDQCVKIWK >KJB57520 pep chromosome:Graimondii2_0_v6:9:12972253:12977337:-1 gene:B456_009G168700 transcript:KJB57520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEEDCWDAGKMLDLYLHDYLVKKNMHETAALFREEAGISNRPVVIDSPEGFLQEWWSLFYEIFAARQTKLQGEAESTSAKSEIKEDQSQSFGPMFLPQLMINQQMARQVPIPRYFDSSLQLLETNQLRLPNSFVASSSLLQQPINLAQHQAKREQSQGTNLGSNILINSNTPNKALEGKLPVTWPHAAGLNESIDPAPLSGWALDALNYQQPFQILKCQPEKSDKGLSLMPRNLTPTFPGSSGKFSYQNLILPKPEIIENNRPMNTQTVQTEEHQNQYNLLQQLQTGRKKKKLSNSLTADQKLGYIKDEENKPVDDTVDSFLSHDHDDVDNTSTPFTNLRSRSNRSNKSEHKGFTFEEVSCLHSCKSKVLSCHFSSDGKLLASAGHEKKVLIWNMETLDFVRTAEGHSLLITDVRFRPSSTIFATSSFDKTVQLWDSAKPSKSLFKLVGHAEQVLSLDFHPRKTDLLCSCDSNNEMRLWNINQRSCVHVSKSATKQVRFQPRPGKLIATASGNVVNVIDAETNKPLSCLKVDSLSM >KJB57516 pep chromosome:Graimondii2_0_v6:9:12971068:12977378:-1 gene:B456_009G168700 transcript:KJB57516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEEDCWDAGKMLDLYLHDYLVKKNMHETAALFREEAGISNRPVVIDSPEGFLQEWWSLFYEIFAARQTKLQGEAESTSAKSEIKEDQSQSFGPMFLPQLMINQQMARQVPIPRYFDSSLQLLETNQLRLPNSFVASSSLLQQPINLAQHQAKREQSQGTNLGSNILINSNTPNKALEGKLPVTWPHAAGLNESIDPAPLSGWALDALNYQQPFQILKCQPEKSDKGLSLMPRNLTPTFPGSSGKFSYQNLILPKPEIIENNRPMNTQTVQTEEHQNQYNLLQQLQTGRKKKKLSNSLTADQKLGYIKDEENKPVDDTVDSFLSHDHDDVDNTSTPFTNLRSRSNRSNKSEHKGFTFEEVSCLHSCKSKVLSCHFSSDGKLLASAGHEKKVLIWNMETLDFVRTAEGHSLLITDVRFRPSSTIFATSSFDKTVQLWDSAKPSKSLFKLVGHAEQVLSLDFHPRKTDLLCSCDSNNEMRLWNINQRSCVHVSKSATKQVRFQPRPGKLIATASGNVVNVIDAETNKPLSCLKGHNKEVLSICWDPSGKYFASISEDSARMWSVSGGECLHELRSTGNKFQSCTFHPGYSQLLVIGGYQCLELWNPVESNKTWTVEAHRGLISSLADCLQTEMVASASHDQCVKIWK >KJB57521 pep chromosome:Graimondii2_0_v6:9:12971180:12977337:-1 gene:B456_009G168700 transcript:KJB57521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEEDCWDAGKMLDLYLHDYLVKKNMHETAALFREEAGISNRPVVIDSPEGFLQEWWSLFYEIFAARQTKLQGEAESTSAKSEIKEDQSQSFGPMFLPQLMINQQMARQVPIPRYFDSSLQLLETNQLRLPNSFVASSSLLQQPINLAQHQAKREQSQGTNLGSNILINSNTPNKALEGLNESIDPAPLSGWALDALNYQQPFQILKCQPEKSDKGLSLMPRNLTPTFPGSSGKFSYQNLILPKPEIIENNRPMNTQTVQTEEHQNQYNLLQQLQTGRKKKKLSNSLTADQKLGYIKDEENKPVDDTVDSFLSHDHDDVDNTSTPFTNLRSRSNRSNKSEHKGFTFEEVSCLHSCKSKVLSCHFSSDGKLLASAGHEKKVLIWNMETLDFVRTAEGHSLLITDVRFRPSSTIFATSSFDKTVQLWDSAKPSKSLFKLVGHAEQVLSLDFHPRKTDLLCSCDSNNEMRLWNINQRSCVHVSKSATKQVRFQPRPGKLIATASGNVVNVIDAETNKPLSCLKGHNKEVLSICWDPSGKYFASISEDSARMWSVSGGECLHELRSTGNKFQSCTFHPGYSQLLVIGGYQCLELWNPVESNKTWTVEAHRGLISSLADCLQTEMVASASHDQCVKIWK >KJB57518 pep chromosome:Graimondii2_0_v6:9:12971118:12977378:-1 gene:B456_009G168700 transcript:KJB57518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEEDCWDAGKMLDLYLHDYLVKKNMHETAALFREEAGISNRPVVIDSPEGFLQEWWSLFYEIFAARQTKLQGEAESTSAKSEIKEDQSQSFGPMFLPQLMINQQMARQVPIPRYFDSSLQLLETNQLRLPNSFVASSSLLQQPINLAQHQAKREQSQGTNLGSNILINSNTPNKALEGKLPVTWPHAAGLNESIDPAPLSGWALDALNYQQPFQILKCQPEKSDKGLSLMPRNLTPTFPGSSGKFSYQNLILPKPEIIENNRPTGRKKKKLSNSLTADQKLGYIKDEENKPVDDTVDSFLSHDHDDVDNTSTPFTNLRSRSNRSNKSEHKGFTFEEVSCLHSCKSKVLSCHFSSDGKLLASAGHEKKVLIWNMETLDFVRTAEGHSLLITDVRFRPSSTIFATSSFDKTVQLWDSAKPSKSLFKLVGHAEQVLSLDFHPRKTDLLCSCDSNNEMRLWNINQRSCVHVSKSATKQVRFQPRPGKLIATASGNVVNVIDAETNKPLSCLKGHNKEVLSICWDPSGKYFASISEDSARMWSVSGGECLHELRSTGNKFQSCTFHPGYSQLLVIGGYQCLELWNPVESNKTWTVEAHRGLISSLADCLQTEMVASASHDQCVKIWK >KJB57519 pep chromosome:Graimondii2_0_v6:9:12971172:12974250:-1 gene:B456_009G168700 transcript:KJB57519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLDFVRTAEGHSLLITDVRFRPSSTIFATSSFDKTVQLWDSAKPSKSLFKLVGHAEQVLSLDFHPRKTDLLCSCDSNNEMRLWNINQRSCVHVSKSATKQVRFQPRPGKLIATASGNVVNVIDAETNKPLSCLKGHNKEVLSICWDPSGKYFASISEDSARMWSVSGGECLHELRSTGNKFQSCTFHPGYSQLLVIGGYQCLELWNPVESNKTWTVEAHRGLISSLADCLQTEMVASASHDQCVKIWK >KJB59438 pep chromosome:Graimondii2_0_v6:9:21173660:21174253:-1 gene:B456_009G256900 transcript:KJB59438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNQLCLVLVVFLSIFSLSSLPTNAIIPKANVSLPVPSSQLVENLCNGKAVENRRFCLQALSTPKIIAAMDTTQLGTLIMKLGAANAKATLNVYNEIIKKPGSPQALKALNCCVEAYKYAILSFEMVSSELVEDPQTANYDVAVIGPEIANCEKELINAKVQAPRLLAGNRFMKYYVSMGYEITSTLELENPNEY >KJB59250 pep chromosome:Graimondii2_0_v6:9:19841185:19852204:1 gene:B456_009G246400 transcript:KJB59250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGAPRPGANARQQSPHPNFNPNFQTNPNSLADNMQNMNLNRPHSMPNSGPRPSPFGQPPPFPQSSVTAGFPVASPPMSRPGPPPGMVGRPAVIPAGPLQSTLPANVPPGRPVGLPVSHPLPFGSRPPPASLSSSTGGAVLPSSAFPSSGVSSASAAPPPPGTRPSSFVSSSPLTGGPAGPMSSARAGPSSNGPPAVGAGALPGAPQFPPPAIVSQPPVGPPPSMMSARAPAQAPTMRSVLGSSAVSSPQTPPLPSASSPFPAMTQARPPPPGSPYGPQTWSMQPQQGTQPPHIPGSTHAQPPRMFGMPQQALPNQAMTNIPPALGQPGAPMSGSSKIDPNQIPRPIPNATPIVYETRHGNSANPPPPATSDYIVRDTGNCSPRYMRCTINQIPCTGDLLTTSAMQLALLVQPMALPHPSEDPIQVVDFGESGPVRCSRCKGYINPFMKFIDQGRKFICNLCGFTDDTPRDYHCNLGPDGRRRDADERPELCRGTVEFVASKEYMVRDPMPAVYFFLIDVSMNAVQTGATAAACSAINQVISDLPEGTRTQVGIATFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLETDVIVQLSECRQHLELLLENIPTMFQTSTTAESCFGAAIKAAFLAMKSIGGKLLVFQSVLPSVGIGALSSREAEGRTNISASEKEAHKLLQPADKILKTMAIEFAEYQVCVDVFVTTQTYVDIASISVIPRTTGGQVYYYYPFSAVSDPAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQDYSGNFCKRIPTDVDLAGIDCDKCILVTLKHDDKLQDGSECGFQCALLYTTVYGQRRIRVTNLSLPCTNMLSNLFRSADLDTQFTCFLKQDALHFHYLSNYGLVLR >KJB59253 pep chromosome:Graimondii2_0_v6:9:19841130:19854721:1 gene:B456_009G246400 transcript:KJB59253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGAPRPGANARQQSPHPNFNPNFQTNPNSLADNMQNMNLNRPHSMPNSGPRPSPFGQPPPFPQSSVTAGFPVASPPMSRPGPPPGMVGRPAVIPAGPLQSTLPANVPPGRPVGLPVSHPLPFGSRPPPASLSSSTGGAVLPSSAFPSSGVSSASAAPPPPGTRPSSFVSSSPLTGGPAGPMSSARAGPSSNGPPAVGAGALPGAPQFPPPAIVSQPPVGPPPSMMSARAPAQAPTMRSVLGSSAVSSPQTPPLPSASSPFPAMTQARPPPPGSPYGPQTWSMQPQQGTQPPHIPGSTHAQPPRMFGMPQQALPNQAMTNIPPALGQPGAPMSGSSKIDPNQIPRPIPNATPIVYETRHGNSANPPPPATSDYIVRDTGNCSPRYMRCTINQIPCTGDLLTTSAMQLALLVQPMALPHPSEDPIQVVDFGESGPVRCSRCKGYINPFMKFIDQGRKFICNLCGFTDDTPRDYHCNLGPDGRRRDADERPELCRGTVEFVASKEYMVRDPMPAVYFFLIDVSMNAVQTGATAAACSAINQVISDLPEGTRTQVGIATFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLETDVIVQLSECRQHLELLLENIPTMFQTSTTAESCFGAAIKAAFLAMKSIGGKLLVFQSVLPSVGIGALSSREAEGRTNISASEKEAHKLLQPADKILKTMAIEFAEYQVCVDVFVTTQTYVDIASISVIPRTTGGQVYYYYPFSAVSDPAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQDYSGNFCKRIPTDVDLAGIDCDKCILVTLKHDDKLQDGSECGFQCALLYTTVYGQRRIRVTNLSLPCTNMLSNLFRSADLDTQFTCFLKQAAIEIPTCPLLQVRDHVTNLCINILLSYRKFCATVSSTGQLILPEALKLLPLYTLALIKSRGLRNDGRIDDRSFWFNYVSSLSTPLAIPLVYPRMFAIHNVDTKDGDESVLPPTIPLSSEHVCDDGIYLLENGEDALIYFGSSVDSSILQQLFGFTSVDEVPTQFVMQQFSNPLSKNFNDVVNVIRQQRCSYLRFALCKKGDPSGMAFLSCMVEDKNANGPSYVEFLVHIHRQIQMKMS >KJB59251 pep chromosome:Graimondii2_0_v6:9:19841185:19852828:1 gene:B456_009G246400 transcript:KJB59251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGAPRPGANARQQSPHPNFNPNFQTNPNSLADNMQNMNLNRPHSMPNSGPRPSPFGQPPPFPQSSVTAGFPVASPPMSRPGPPPGMVGRPAVIPAGPLQSTLPANVPPGRPVGLPVSHPLPFGSRPPPASLSSSTGGAVLPSSAFPSSGVSSASAAPPPPGTRPSSFVSSSPLTGGPAGPMSSARAGPSSNGPPAVGAGALPGAPQFPPPAIVSQPPVGPPPSMMSARAPAQAPTMRSVLGSSAVSSPQTPPLPSASSPFPAMTQARPPPPGSPYGPQTWSMQPQQGTQPPHIPGSTHAQPPRMFGMPQQALPNQAMTNIPPALGQPGAPMSGSSKIDPNQIPRPIPNATPIVYETRHGNSANPPPPATSDYIVRDTGNCSPRYMRCTINQIPCTGDLLTTSAMQLALLVQPMALPHPSEDPIQVVDFGESGPVRCSRCKGYINPFMKFIDQGRKFICNLCGFTDDTPRDYHCNLGPDGRRRDADERPELCRGTVEFVASKEYMVRDPMPAVYFFLIDVSMNAVQTGATAAACSAINQVISDLPEGTRTQVGIATFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLETDVIVQLSECRQHLELLLENIPTMFQTSTTAESCFGAAIKAAFLAMKSIGGKLLVFQSVLPSVGIGALSSREAEGRTNISASEKEAHKLLQPADKILKTMAIEFAEYQVCVDVFVTTQTYVDIASISVIPRTTGGQVYYYYPFSAVSDPAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQDYSGNFCKRIPTDVDLAGIDCDKCILVTLKHDDKLQDGSECGFQCALLYTTVYGQRRIRVTNLSLPCTNMLSNLFRSADLDTQFTCFLKQAAIEIPTCPLLQVRDHVTNLCINILLSYRKFCATVSSTGQLILPEALKLLPLYTLALIKSRGLRNDGRIDDRSFWFNYVSSLSTPLAIPLVYPRMFAIHNVDTKVIKFLVGLWSKVF >KJB59254 pep chromosome:Graimondii2_0_v6:9:19841185:19854651:1 gene:B456_009G246400 transcript:KJB59254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGAPRPGANARQQSPHPNFNPNFQTNPNSLADNMQNMNLNRPHSMPNSGPRPSPFGQPPPFPQSSVTAGFPVASPPMSRPGPPPGMVGRPAVIPAGPLQSTLPANVPPGRPVGLPVSHPLPFGSRPPPASLSSSTGGAVLPSSAFPSSGVSSASAAPPPPGTRPSSFVSSSPLTGGPAGPMSSARAGPSSNGPPAVGAGALPGAPQFPPPAIVSQPPVGPPPSMMSARAPAQAPTMRSVLGSSAVSSPQTPPLPSASSPFPAMTQARPPPPGSPYGPQTWSMQPQQGTQPPHIPGSTHAQPPRMFGMPQQALPNQAMTNIPPALGQPGAPMSGSSKIDPNQIPRPIPNATPIVYETRHGNSANPPPPATSDYIVRDTGNCSPRYMRCTINQIPCTGDLLTTSAMQLALLVQPMALPHPSEDPIQVVDFGESGPVRCSRCKGYINPFMKFIDQGRKFICNLCGFTDDTPRDYHCNLGPDGRRRDADERPELCRGTVEFVASKEYMVRDPMPAVYFFLIDVSMNAVQTGATAAACSAINQVISDLPEGTRTQVGIATFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLETDVIVQLSECRQHLELLLENIPTMFQTSTTAESCFGAAIKAAFLAMKSIGGKLLVFQSVLPSVGIGALSSREAEGRTNISASEKEAHKLLQPADKILKTMAIEFAEYQVCVDVFVTTQTYVDIASISVIPRTTGGQVYYYYPFSAVSDPAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQDYSGNFCKRIPTDVDLAGIDCDKCILVTLKHDDKLQDGSECGFQCALLYTTVYGQRRIRVTNLSLPCTNMLSNLFRSADLDTQFTCFLKQAAIEIPTCPLLQVRDHVTNLCINILLSYRKFCATVSSTGQLILPEALKLLPLYTLALIKSRGLRNDGRIDDRSFWFNYVSSLSTPLAIPLVYPRMFAIHNVDTKDGDESVLPPTIPLSSEHVCDDGIYLLENGEDALIYFGSSVDSSILQQLFGFTSVDEVPTQFVMQQFSNPLSKNFNDVVNVIRQQRCSYLRFALCKKGDPSGMAFLSCMVEDKNANGPSYVEFLVHIHRQIQMKMS >KJB59252 pep chromosome:Graimondii2_0_v6:9:19841185:19854651:1 gene:B456_009G246400 transcript:KJB59252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGAPRPGANARQQSPHPNFNPNFQTNPNSLADNMQNMNLNRPHSMPNSGPRPSPFGQPPPFPQSSVTAGFPVASPPMSRPGPPPGMVGRPAVIPAGPLQSTLPANVPPGRPVGLPVSHPLPFGSRPPPASLSSSTGGAVLPSSAFPSSGVSSASAAPPPPARAPAQAPTMRSVLGSSAVSSPQTPPLPSASSPFPAMTQARPPPPGSPYGPQTWSMQPQQGTQPPHIPGSTHAQPPRMFGMPQQALPNQAMTNIPPALGQPGAPMSGSSKIDPNQIPRPIPNATPIVYETRHGNSANPPPPATSDYIVRDTGNCSPRYMRCTINQIPCTGDLLTTSAMQLALLVQPMALPHPSEDPIQVVDFGESGPVRCSRCKGYINPFMKFIDQGRKFICNLCGFTDDTPRDYHCNLGPDGRRRDADERPELCRGTVEFVASKEYMVRDPMPAVYFFLIDVSMNAVQTGATAAACSAINQVISDLPEGTRTQVGIATFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLETDVIVQLSECRQHLELLLENIPTMFQTSTTAESCFGAAIKAAFLAMKSIGGKLLVFQSVLPSVGIGALSSREAEGRTNISASEKEAHKLLQPADKILKTMAIEFAEYQVCVDVFVTTQTYVDIASISVIPRTTGGQVYYYYPFSAVSDPAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQDYSGNFCKRIPTDVDLAGIDCDKCILVTLKHDDKLQDGSECGFQCALLYTTVYGQRRIRVTNLSLPCTNMLSNLFRSADLDTQFTCFLKQAAIEIPTCPLLQVRDHVTNLCINILLSYRKFCATVSSTGQLILPEALKLLPLYTLALIKSRGLRNDGRIDDRSFWFNYVSSLSTPLAIPLVYPRMFAIHNVDTKDGDESVLPPTIPLSSEHVCDDGIYLLENGEDALIYFGSSVDSSILQQLFGFTSVDEVPTQFVMQQFSNPLSKNFNDVVNVIRQQRCSYLRFALCKKGDPSGMAFLSCMVEDKNANGPSYVEFLVHIHRQIQMKMS >KJB59249 pep chromosome:Graimondii2_0_v6:9:19841185:19854651:1 gene:B456_009G246400 transcript:KJB59249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGAPRPGANARQQSPHPNFNPNFQTNPNSLADNMQNMNLNRPHSMPNSGPRPSPFGQPPPFPQSSVTAGFPVASPPMSRPGPPPGMVGRPAVIPAGPLQSTLPANVPPGRPVGLPVSHPLPFGSRPPPASLSSSTGGAVLPSSAFPSSGVSSASAAPPPPGTRPSSFVSSSPLTGGPAGPMSSARAGPSSNGPPAVGAGALPGAPQFPPPAIVSQPPVGPPPSMMSARAPAQAPTMRSVLGSSAVSSPQTPPLPSASSPFPAMTQARPPPPGSPYGPQTWSMQPQQGTQPPHIPGSTHAQPPRMFGMPQQALPNQAMTNIPPALGQPGAPMSGSSKIDPNQIPRPIPNATPIVYETRHGNSANPPPPATSDYIVRDTGNCSPRYMRCTINQIPCTGDLLTTSAMQLALLVQPMALPHPSEDPIQVVDFGESGPVRCSRCKGYINPFMKFIDQGRKFICNLCGFTDDTPRDYHCNLGPDGRRRDADERPELCRGTVEFVASKEYMVRDPMPAVYFFLIDVSMNAVQTGATAAACSAINQVISDLPEGTRTQVGIATFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLETDVIVQLSECRQHLELLLENIPTMFQTSTTAESCFGAAIKAAFLAMKSIGGKLLVFQSVLPSVGIGALSSREAEGRTNISASEKEAHKLLQPADKILKTMAIEFAEYQVCVDVFVTTQTYVDIASISVIPRTTGGQVYYYYPFSAVSDPAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQDYSGNFCKRIPTDVDLAGIDCDKCILVTLKHDDKLQDGSECGFQCALLYTTVYGQRRIRVTNLSLPCTNMLSNLFRSADLDTQFTCFLKQAAIEIPTCPLLQVRDHVTNLCINILLSYRKFCATVSSTGQLILPEALKLLPLYTLALIKSRGLRNDGRIDDRSFWFNYVSSLSTPLAIPLVYPRMFAIHNVDTKDGDESVLPPTIPLSSEHVCDDGIYLLENGEDALIYFGSSVDSSILQQLFGFTSVDEVPTQFVMQQFSNPLSKNFNDVVNVIRQQRCSYLRFALCKKGDPSGMAFLSCMVEDKNANGPSYVEFLVHIHRQIQMKMS >KJB57105 pep chromosome:Graimondii2_0_v6:9:11273811:11277795:1 gene:B456_009G148300 transcript:KJB57105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIYFSAETLQRIRERTRLAMQNPKVRMKLVNLGHTQSKETREKIGTGVRMGWEKRREKLMVQETCHFEWMNLIAEASRKGYLGEEELQWDSYKVLDEQLVKEWLESVEQRKTMPRPKGSKRAPKSLEQRRKIAAAIAAKWADPDYRERVCSGLAKFHGVSDGAERKPKRKPTTSSQSRQSPSKKKANETNYSTTSETISPIERLRMRRRNKPLYMDPMASSKLEMLKNIRAQRAAEELKKTEAVEQARLLIAEAEKAAKALEIAAMKSPVAQASLIETRKLIAEAIQSIESIEGGCVTSNENGGYISVDSAEPVGQVEKKMQSEKSTLSQSDQKEVNGNQFLSLSKNEDFNFHNFMFPRIVNGDSDEQLTSPGLNNYSLSTLDFQSLIKESDSPKQFNQLETNRIINGTKVELKDNNVASKAVAAVTKKWVHGRLVEVIEEAS >KJB57102 pep chromosome:Graimondii2_0_v6:9:11273689:11277835:1 gene:B456_009G148300 transcript:KJB57102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLDIASAQPSLQGPLVPVRVQTLFHGQLLSNPWKSSKLPKRVNFSVGHLENPSGRLQIRAVATLEPKCSVPKEDEHNKSQLGGDSSPSSTRLESLKSGDSDEEPDEREKLRRMRISKANKGNTPWNKGRKHSAETLQRIRERTRLAMQNPKVRMKLVNLGHTQSKETREKIGTGVRMGWEKRREKLMVQETCHFEWMNLIAEASRKGYLGEEELQWDSYKVLDEQLVKEWLESVEQRKTMPRPKGSKRAPKSLEQRRKIAAAIAAKWADPDYRERVCSGLAKFHGVSDGAERKPKRKPTTSSQSRQSPSKKKANETNYSTTSETISPIERLRMRRRNKPLYMDPMASSKLEMLKNIRAQRAAEELKKTEAVEQARLLIAEAEKAAKALEIAAMKSPVAQASLIETRKLIAEAIQSIESIEGGCVTSNENGGYISVDSAEPVGQVEKKMQSEKSTLSQSDQKEVNGNQFLSLSKNEDFNFHNFMFPRIVNGDSDEQLTSPGLNNYSLSTLDFQSLIKESDSPKQFNQLETNRIINGTKVELKDNNVASKAVAAVTKKWVHGRLVEVIEEAS >KJB57104 pep chromosome:Graimondii2_0_v6:9:11273719:11277835:1 gene:B456_009G148300 transcript:KJB57104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLDIASAQPSLQGPLVPVRVQTLFHGQLLSNPWKSSKLPKRVNFSVGHLENPSGRLQIRAVATLEPKCSVPKEDEHNKSQLGGDSSPSSTRLESLKSGDSDEEPDEREKLRRMRISKANKGNTPWNKGRKHSAETLQRIRERTRLAMQNPKVRMKLVNLGHTQSKETREKIGTGVRMGWEKRREKLMVQETCHFEWMNLIAEASRKGYLGEEELQWDSYKVLDEQLVKEWLESVEQRKTMPRPKGSKRAPKSLEQRRKIAAAIAAKWADPDYRERVCSGLAKFHGVSDGAERKPKRKPTTSSQSRQSPSKKKANETNYSTTSETISPIERLRMRRRNKPLYMDPMASSKLEMLKNIRAQRAAEELKKTEAVEQARLLIAEAEKAAKALEIAAMKSPVAQASLIETRKLIAEAIQSIESIEGGCVTSNENGGYISVDSAEPVGQVEKKMQSEKSTLSQSDQKEVNGNQFLSLSKNEDFNFHNFMFPRIVNGDSDEQLTSPGLNNYSLSTLDFQSLIKESDSPKQFNQLETNRIINGTKVELKDNNVASKAVAAVTKKWVHGRLVEVIEEAS >KJB57103 pep chromosome:Graimondii2_0_v6:9:11273783:11278122:1 gene:B456_009G148300 transcript:KJB57103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISKANKGNTPWNKGRKHSAETLQRIRERTRLAMQNPKVRMKLVNLGHTQSKETREKIGTGVRMGWEKRREKLMVQETCHFEWMNLIAEASRKGYLGEEELQWDSYKVLDEQLVKEWLESVEQRKTMPRPKGSKRAPKSLEQRRKIAAAIAAKWADPDYRERVCSGLAKFHGVSDGAERKPKRKPTTSSQSRQSPSKKKANETNYSTTSETISPIERLRMRRRNKPLYMDPMASSKLEMLKNIRAQRAAEELKKTEAVEQARLLIAEAEKAAKALEIAAMKSPVAQASLIETRKLIAEAIQSIESIEGGCVTSNENGGYISVDSAEPVGQVEKKMQSEKSTLSQSDQKEVNGNQFLSLSKNEDFNFHNFMFPRIVNGDSDEQLTSPGLNNYSLSTLDFQSLIKESDSPKQFNQLETNRIINGTKVELKDNNVASKAVAAVTKKWVHGRLVEVIEEAS >KJB61585 pep chromosome:Graimondii2_0_v6:9:49395776:49396700:1 gene:B456_009G368200 transcript:KJB61585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANISIIALLCFTISVSSSLNYSYGAAAENMQYMVVGHVYCDTCRVEFETKLSEPISGAVVKLECRNRTNEAITFQSKEIVTDNHGDYHVIVEGDYEESDCDVALVRSPRADCSDPTEAWRKSRVVLTTFDGLSGKLRFANNLGFKKDIALPQCTRILQEMGYYELSQEAGYFTTWSKL >KJB59466 pep chromosome:Graimondii2_0_v6:9:21220069:21220724:-1 gene:B456_009G257600 transcript:KJB59466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNQICLVVVIFLSIFSLSSLPTSAIIPKANVSLPIPSSQLVENLCNGKAIQNRRFCLKALSTHEVIAAMDTTQLGTLIMKLGAVNAKATLNKPGSPQALKALNCCVEAYKYAILSFEMVSSELVEDPQTANYDVAVIGTEIANCEKELINAKVQAPRLLAGNRFMKYYVSMGYEITSTLELENPNEY >KJB54138 pep chromosome:Graimondii2_0_v6:9:1710913:1717663:-1 gene:B456_009G022400 transcript:KJB54138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNSAENVFSRSASFREEDEEEEALRWAALERLPTYARVRRGIFRNMVGDSKEVDVSELELTDQRLLLERLVKSAEDDPEQFFDRMRKRIEAVDLKFPKIEVRFQNLTVESFVHVGSRALPTIPNFIFNMAEAFLRQLRMYQGRRSKLTILDDCSGIVRPSRLTLLLGPPSSGKTTLLLALAGRLGTHLQMSGEVTYNGHGLKEFVPPRTSAYVSQQDWHVAEMTVRETLEFAGRCQGVGSKYDMLVELARREKNAGIKPDEDLDIFMKSLALGGNETSLVVEYIMKILGLDLCADTLVGDEMLKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTYQIIKYLRHSTCALDGTTVISLLQPAPETYQLFDDVILLCEGQIVYQGPLEEALDFFAFMGFKCPERKNVADFLQEVLSKKDQQQYWSLPFQPYRYITPGKFSEAFRSYQTGKNLYEELSIPFDKRYSHPAALSTSRYGVKKNELLKTNFNWQMLLMKRNSFIYVFKFIQLFIVALITMSVFMRTVLHHNTIDDGGLYLGALYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPTWAYTLPSWLLSIPTSLYESGFWVAISYYVIGYDPNLTRFLRQFLLYFCLHQMSIALFRVIGSLGRNMIVANTFGSFAMLVVMALGGYIISRDHIPSWWIWGYWVSPLMYAQNAASVNEFLGHSWNKRAGNYTNFSLGEALLRARSYFPESCWYWIGVGALLGYTVLLNILFTFFLANLNPLGKQQAVFSKEELQERDNRRKGENVTELRHYLQYSSSVNGNYFKHRGMVLPFQPLSMSFSNINYFVEIPVELKQQGITEDRLQLLVDVTGAFRPSVLTALVGVSGAGKTTLMDVLAGRKTGGVIEGSINISGYPKRQETFARISGYCEQNDIHSPCLTILESLLFSAWLRLPSDVDLEIQRAFVEEVMELVELTPLSGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTTGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPNSSELIKYFEAVEGVPKIRPGYNPAAWMLEVTSTAEENRLGLDFADIYRRSNLFQRNRELVENLSKPSSNSKELNFPSKYSQSFFEQFLTCLWKQNLSYWRNPQYTAVKFFYTIVISLMLGTICWKFGSQRFSSYLLNIISNQYSKESELFYVLILFLCVSLTGRASKICSMQWGRCMRQSSSLVLQMQLLFNLLCPLRDSFHTEKEPQECIQA >KJB54135 pep chromosome:Graimondii2_0_v6:9:1709124:1717938:-1 gene:B456_009G022400 transcript:KJB54135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNSAENVFSRSASFREEDEEEEALRWAALERLPTYARVRRGIFRNMVGDSKEVDVSELELTDQRLLLERLVKSAEDDPEQFFDRMRKRIEAVDLKFPKIEVRFQNLTVESFVHVGSRALPTIPNFIFNMAEAFLRQLRMYQGRRSKLTILDDCSGIVRPSRLTLLLGPPSSGKTTLLLALAGRLGTHLQMSGEVTYNGHGLKEFVPPRTSAYVSQQDWHVAEMTVRETLEFAGRCQGVGSKYDMLVELARREKNAGIKPDEDLDIFMKSLALGGNETSLVVEYIMKILGLDLCADTLVGDEMLKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTYQIIKYLRHSTCALDGTTVISLLQPAPETYQLFDDVILLCEGQIVYQGPLEEALDFFAFMGFKCPERKNVADFLQEVLSKKDQQQYWSLPFQPYRYITPGKFSEAFRSYQTGKNLYEELSIPFDKRYSHPAALSTSRYGVKKNELLKTNFNWQMLLMKRNSFIYVFKFIQLFIVALITMSVFMRTVLHHNTIDDGGLYLGALYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPTWAYTLPSWLLSIPTSLYESGFWVAISYYVIGYDPNLTRFLRQFLLYFCLHQMSIALFRVIGSLGRNMIVANTFGSFAMLVVMALGGYIISRDHIPSWWIWGYWVSPLMYAQNAASVNEFLGHSWNKRAGNYTNFSLGEALLRARSYFPESCWYWIGVGALLGYTVLLNILFTFFLANLNPLGKQQAVFSKEELQERDNRRKGENVTELRHYLQYSSSVNGNYFKHRGMVLPFQPLSMSFSNINYFVEIPVELKQQGITEDRLQLLVDVTGAFRPSVLTALVGVSGAGKTTLMDVLAGRKTGGVIEGSINISGYPKRQETFARISGYCEQNDIHSPCLTILESLLFSAWLRLPSDVDLEIQRAFVEEVMELVELTPLSGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTTGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPNSSELIKYFEAVEGVPKIRPGYNPAAWMLEVTSTAEENRLGLDFADIYRRSNLFQRNRELVENLSKPSSNSKELNFPSKYSQSFFEQFLTCLWKQNLSYWRNPQYTAVKFFYTIVISLMLGTICWKFGSQRESQQDLFNAMGSMYAAVLFIGITNATAVQPVVSIERFVSYRERAAGMYSGLAFAFAQVAIEFPYVFAQSVIYCSIFYSMASFEWTALKFIWYIFFMYSTLLYFTFYGMMTTAVTPNHNVAAIIAAPFYMLWNLFSGFMIPHKRIPIWWRWYYWANPIAWSLYGLVVSQYADDERAVKSSDGVHSMPTRQLLEQVFGYRHDFLVIAALMVAFFVIFFGMIFAFAIKAFNFQRR >KJB54139 pep chromosome:Graimondii2_0_v6:9:1710426:1717663:-1 gene:B456_009G022400 transcript:KJB54139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNSAENVFSRSASFREEDEEEEALRWAALERLPTYARVRRGIFRNMVGDSKEVDVSELELTDQRLLLERLVKSAEDDPEQFFDRMRKRIEAVDLKFPKIEVRFQNLTVESFVHVGSRALPTIPNFIFNMAEAFLRQLRMYQGRRSKLTILDDCSGIVRPSRLTLLLGPPSSGKTTLLLALAGRLGTHLQMSGEVTYNGHGLKEFVPPRTSAYVSQQDWHVAEMTVRETLEFAGRCQGVGSKYDMLVELARREKNAGIKPDEDLDIFMKSLALGGNETSLVVEYIMKILGLDLCADTLVGDEMLKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTYQIIKYLRHSTCALDGTTVISLLQPAPETYQLFDDVILLCEGQIVYQGPLEEALDFFAFMGFKCPERKNVADFLQEVLSKKDQQQYWSLPFQPYRYITPGKFSEAFRSYQTGKNLYEELSIPFDKRYSHPAALSTSRYGVKKNELLKTNFNWQMLLMKRNSFIYVFKFIQLFIVALITMSVFMRTVLHHNTIDDGGLYLGALYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPTWAYTLPSWLLSIPTSLYESGFWVAISYYVIGYDPNLTRFLRQFLLYFCLHQMSIALFRVIGSLGRNMIVANTFGSFAMLVVMALGGYIISRDHIPSWWIWGYWVSPLMYAQNAASVNEFLGHSWNKRAGNYTNFSLGEALLRARSYFPESCWYWIGVGALLGYTVLLNILFTFFLANLNPLGKQQAVFSKEELQERDNRRKGENVTELRHYLQYSSSVNGNYFKHRGMVLPFQPLSMSFSNINYFVEIPVELKQQGITEDRLQLLVDVTGAFRPSVLTALVGVSGAGKTTLMDVLAGRKTGGVIEGSINISGYPKRQETFARISGYCEQNDIHSPCLTILESLLFSAWLRLPSDVDLEIQRAFVEEVMELVELTPLSGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTTGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPNSSELIKYFEAVEGVPKIRPGYNPAAWMLEVTSTAEENRLGLDFADIYRRSNLFQRNRELVENLSKPSSNSKELNFPSKYSQSFFEQFLTCLWKQNLSYWRNPQYTAVKFFYTIVISLMLGTICWKFGSQRESQQDLFNAMGSMYAAVLFIGITNATAVQPVVSIERFVSYRERAAGMYSGLAFAFAQVAIEFPYVFAQSVIYCSIFYSMASFEWTALKFIWYIFFMYSTLLYFTFYGMMTTAVTPNHNVAAIIAAPFYMLWNLFSGFMIPHKVIKQLLSSLFISPNLLCVLVFCLSPIVLFLLYLHPASS >KJB54137 pep chromosome:Graimondii2_0_v6:9:1709157:1717841:-1 gene:B456_009G022400 transcript:KJB54137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAFLRQLRMYQGRRSKLTILDDCSGIVRPSRLTLLLGPPSSGKTTLLLALAGRLGTHLQMSGEVTYNGHGLKEFVPPRTSAYVSQQDWHVAEMTVRETLEFAGRCQGVGSKYDMLVELARREKNAGIKPDEDLDIFMKSLALGGNETSLVVEYIMKILGLDLCADTLVGDEMLKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTYQIIKYLRHSTCALDGTTVISLLQPAPETYQLFDDVILLCEGQIVYQGPLEEALDFFAFMGFKCPERKNVADFLQEVLSKKDQQQYWSLPFQPYRYITPGKFSEAFRSYQTGKNLYEELSIPFDKRYSHPAALSTSRYGVKKNELLKTNFNWQMLLMKRNSFIYVFKFIQLFIVALITMSVFMRTVLHHNTIDDGGLYLGALYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPTWAYTLPSWLLSIPTSLYESGFWVAISYYVIGYDPNLTRFLRQFLLYFCLHQMSIALFRVIGSLGRNMIVANTFGSFAMLVVMALGGYIISRDHIPSWWIWGYWVSPLMYAQNAASVNEFLGHSWNKRAGNYTNFSLGEALLRARSYFPESCWYWIGVGALLGYTVLLNILFTFFLANLNPLGKQQAVFSKEELQERDNRRKGENVTELRHYLQYSSSVNGNYFKHRGMVLPFQPLSMSFSNINYFVEIPVELKQQGITEDRLQLLVDVTGAFRPSVLTALVGVSGAGKTTLMDVLAGRKTGGVIEGSINISGYPKRQETFARISGYCEQNDIHSPCLTILESLLFSAWLRLPSDVDLEIQRAFVEEVMELVELTPLSGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTTGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPNSSELIKYFEAVEGVPKIRPGYNPAAWMLEVTSTAEENRLGLDFADIYRRSNLFQRNRELVENLSKPSSNSKELNFPSKYSQSFFEQFLTCLWKQNLSYWRNPQYTAVKFFYTIVISLMLGTICWKFGSQRESQQDLFNAMGSMYAAVLFIGITNATAVQPVVSIERFVSYRERAAGMYSGLAFAFAQVAIEFPYVFAQSVIYCSIFYSMASFEWTALKFIWYIFFMYSTLLYFTFYGMMTTAVTPNHNVAAIIAAPFYMLWNLFSGFMIPHKRIPIWWRWYYWANPIAWSLYGLVVSQYADDERAVKSSDGVHSMPTRQLLEQVFGYRHDFLVIAALMVAFFVIFFGMIFAFAIKAFNFQRR >KJB54136 pep chromosome:Graimondii2_0_v6:9:1709157:1717841:-1 gene:B456_009G022400 transcript:KJB54136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTYQIIKYLRHSTCALDGTTVISLLQPAPETYQLFDDVILLCEGQIVYQGPLEEALDFFAFMGFKCPERKNVADFLQEVLSKKDQQQYWSLPFQPYRYITPGKFSEAFRSYQTGKNLYEELSIPFDKRYSHPAALSTSRYGVKKNELLKTNFNWQMLLMKRNSFIYVFKFIQLFIVALITMSVFMRTVLHHNTIDDGGLYLGALYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPTWAYTLPSWLLSIPTSLYESGFWVAISYYVIGYDPNLTRFLRQFLLYFCLHQMSIALFRVIGSLGRNMIVANTFGSFAMLVVMALGGYIISRDHIPSWWIWGYWVSPLMYAQNAASVNEFLGHSWNKRAGNYTNFSLGEALLRARSYFPESCWYWIGVGALLGYTVLLNILFTFFLANLNPLGKQQAVFSKEELQERDNRRKGENVTELRHYLQYSSSVNGNYFKHRGMVLPFQPLSMSFSNINYFVEIPVELKQQGITEDRLQLLVDVTGAFRPSVLTALVGVSGAGKTTLMDVLAGRKTGGVIEGSINISGYPKRQETFARISGYCEQNDIHSPCLTILESLLFSAWLRLPSDVDLEIQRAFVEEVMELVELTPLSGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTTGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPNSSELIKYFEAVEGVPKIRPGYNPAAWMLEVTSTAEENRLGLDFADIYRRSNLFQRNRELVENLSKPSSNSKELNFPSKYSQSFFEQFLTCLWKQNLSYWRNPQYTAVKFFYTIVISLMLGTICWKFGSQRESQQDLFNAMGSMYAAVLFIGITNATAVQPVVSIERFVSYRERAAGMYSGLAFAFAQVAIEFPYVFAQSVIYCSIFYSMASFEWTALKFIWYIFFMYSTLLYFTFYGMMTTAVTPNHNVAAIIAAPFYMLWNLFSGFMIPHKRIPIWWRWYYWANPIAWSLYGLVVSQYADDERAVKSSDGVHSMPTRQLLEQVFGYRHDFLVIAALMVAFFVIFFGMIFAFAIKAFNFQRR >KJB62825 pep chromosome:Graimondii2_0_v6:9:69572742:69574737:-1 gene:B456_009G444600 transcript:KJB62825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCKDMVTNLRSKSNQMASAFNMSNFANRDSLLGPETKPDTMSRTIGLDNSGLIGLQRQIMKEQDEGLEKLEETLVSTKHIALAVSEELDLHTTLIDDLGQHVDVTDSHLQRVQKNMGILNKHINGGWSCMCMPLAVIGIVVLLVLIYLLIKYL >KJB62826 pep chromosome:Graimondii2_0_v6:9:69572691:69574999:-1 gene:B456_009G444600 transcript:KJB62826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDTWIKEYNDAIKIADDINGMISGKGSLPSSGPETQRTRLDGLQSLLSKHTGKPLTDKEMNRCKDMVTNLRSKSNQMASAFNMSNFANRDSLLGPETKPDTMSRTIGLDNSGLIGLQRQIMKEQDEGLEKLEETLVSTKHIALAVSEELDLHTTLIDDLGQHVDVTDSHLQRVQKNMGILNKHINGGWSCMCMPLAVIGIVVLLVLIYLLIKYL >KJB57512 pep chromosome:Graimondii2_0_v6:9:12888191:12890930:1 gene:B456_009G167700 transcript:KJB57512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPLGSTGEFFKRRDEWRKHPMLSNQLRHATPGLGIALVAFGIYLVGEQVYNRIYAPSSSHHHQQQSSSHSH >KJB55798 pep chromosome:Graimondii2_0_v6:9:6930949:6932317:1 gene:B456_009G095000 transcript:KJB55798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIPSFFGNRRSNVFDPFSLDVWDPFKDFPLSSSLTSQTPETSAFVNTRIDWKETPEAHVFKADVPGLKKEEVKVEVEDDRVLQISGERNIEKEDKNDTWHRVERSSGKFMRRFRLPENAKMDQIKASMENGVLTVTVPKLEVKKPDVKAIDISG >KJB59088 pep chromosome:Graimondii2_0_v6:9:18933205:18934224:-1 gene:B456_009G238400 transcript:KJB59088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRETKGAPSADLLVCFPSRAHLTLMPPKPICSPARSSEPNKRHHNHHHHHHRLLKKSSTRKGCGAGGQASPLLWAKNKQMGSEITEPTSPKVTCAGQIKVRSKTSSCKSWQSVMEEIERIHNGRKHKKRLSWVDSLGFKKEVMQFLTCLRAIRFDFRCFGSFPQSDITTDDEDEDEDEEPQENHNYVQGNETSRTVFSKWFMVLQENQNNGLYREESKEQESSLDHVDDQAAAVPPANALLLMRCRSAPAKSWLEEANMVEEDEEDQGDKQECKRKDEKKRKNLRSLMEEENRKVKESLVVMKYDPDFYEISSDIAQETWLVGGMKDHLSRSRSWKR >KJB57378 pep chromosome:Graimondii2_0_v6:9:12248863:12249554:-1 gene:B456_009G159900 transcript:KJB57378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFPKPIAFLLSVLLSFIWLRTNKLDAATLPQNEVDVINRIAKTMGSNDRNFDAGSCNVSDKVDLGAEKNITCTCQNDTCHVTHI >KJB60420 pep chromosome:Graimondii2_0_v6:9:27469124:27474825:1 gene:B456_009G304400 transcript:KJB60420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEYREPLLKKKHYKNCPGCKVDEMKELEQGLPIRPLLSIWIIVLCTALPISSLFPFLYFMIRDFHIAEREEDIGSYAGYVGSAFMLGRALTSVSWGIFADRYGRKPVIIMGTTSVVIFNTLFGLSVNFWMAVITRFLLGSLNGLLGPIKAYAVEIFRDEYQALGLSTVSTAWGIGLIIGPALGGFLAQPAEKYPNLFSRDSLFGRFPYFLPCLAISIFALAVTIATCWLPETLHKHNDNDQSSDDSYDALEAASNESNTKDITEKDEGRESTSKQSLLKNWPLMSSVIVYCVFSLHDMAYTEIFSLWAVSPRKYGGLSYSTEQVGEVLAVSGFSLLVFQISLYPFIERLLGPVMVSRIGSILAIPLLQSYPWIAMLSGFTLTLVINCASVLKNVLSVSVITGLFILQNRAVDQHQRGAANGIAMTGMSLFKAVGPAGGGALFSWSEKRLDASFLPGTQMVFFVLNIVEAVGFLLTFEPFLAQRRQ >KJB60421 pep chromosome:Graimondii2_0_v6:9:27469124:27474829:1 gene:B456_009G304400 transcript:KJB60421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEYREPLLKKKHYKNCPGCKVDEMKELEQGLPIRPLLSIWIIVLCTALPISSLFPFLYFMIRDFHIAEREEDIGSYAGYVGSAFMLGRALTSVSWGIFADRYGRKPVIIMGTTSVVIFNTLFGLSVNFWMAVITRFLLGSLNGLLGPIKAYAVEIFRDEYQALGLSTVSTAWGIGLIIGPALGGFLAQPAEKYPNLFSRDSLFGRFPYFLPCLAISIFALAVTIATCWLPETLHKHNDNDQSSDDSYDALEAASNESNTKDITEKDEGRESTSKQSLLKNWPLMSSVIVYCVFSLHDMAYTEIFSLWAVSPRKYGGLSYSTEQVGEVLAVSGFSLLVFQISLYPFIERLLGPVMVSRIGSILAIPLLQSYPWIAMLSGFTLTLVINCASVLKNVLSVSVITGLFILQNRAVDQHQRGAANGIAMTGMSLFKAVGPAGGGALFSWSEKRLDASFLPGTQMVFFVLNIVEAVGFLLTFEPFLAQRRQ >KJB55679 pep chromosome:Graimondii2_0_v6:9:6552890:6556015:1 gene:B456_009G089800 transcript:KJB55679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHTESQSWNIFPSDTSPFGFERYQQFPRGLFDDHSFINNGPSLEILQHDDTFPTWAASIMVPVPSFPDGVANTNPNELSQQFNSRDNSQRDVEGGVVCIEPDPKDLDHAGQASNGRIHKDDEARMPPLGNANSQGGTSSRGCNEKKRKGPQLTEAEQAQKQKKRENDKKYRTARKMECEKLKDLEKRVSRCGGIDQIESELPRLCKKEVDFDRFQQLIGTELRPLQQMVSRYEGIDKMKFMLDKYKELETKNGGIEKLEADYNKLNQIKSILEINEEEFIVDKVKGMVDELHKLKGIDETEPAKNRIKKMELQWEKQKQMVSQKEVESFQASPGSPLEKKGSQLLDLISDFDNVPDQRGINLNFFTTVRGIAPNMQYSDGLVERLGHQ >KJB55678 pep chromosome:Graimondii2_0_v6:9:6552890:6561050:1 gene:B456_009G089800 transcript:KJB55678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHTESQSWNIFPSDTSPFGFERYQQFPRGLFDDHSFINNGPSLEILQHDDTFPTWAASIMVPVPSFPDGVANTNPNELSQQFNSRDNSQRDVEGGVVCIEPDPKDLDHAGQASNGRIHKDDEARMPPLGNANSQGGTSSRGCNEKKRKGPQLTEAEQAQKQKKRENDKKYRTARKMECEKLKDLEKRVSRCGGIDQIESELPRLCKKEVDFDRFQQLIGTELRPLQQMVSRYEGIDKMKFMLDKYKELETKNGGIEKLEADYNKLNQIKSILEINEEEFIVDKVKGMVDELHKLKGIDETEPAKNRIKKMELQWEKQKQMVSQKEVESFQASPGSPLEKKGSQLLDLISDFDNVPDQRGINLNFFTTVRGIAPNMQYSDGLVERLGHQ >KJB54355 pep chromosome:Graimondii2_0_v6:9:2342615:2344976:1 gene:B456_009G031000 transcript:KJB54355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLANYITAVLNFAAFLCSIPIIAAGIWFAQKPDNVCIHLFRWPVIVLGFLILLVSLAGFVGACFYKKTLLAFYLCCMAILIALLLILLVFAFVVTRPDGSYDVPGKGYKEYRVDGYSSWLRNRIVDSKSWNKIRSCLADTDVCPKLNQQYITVDQFFAAHLSPLQARCCKPPTACGYNFVNPTVWTNPTNPTGDPDCYLWSNDQTQLCYNCKSCRAGLLGNLRSEWRKVNIILIVAVVVLICVYVIACSALKNAQTEDLFRRYKQGWI >KJB54354 pep chromosome:Graimondii2_0_v6:9:2342557:2344966:1 gene:B456_009G031000 transcript:KJB54354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLANYITAVLNFAAFLCSIPIIAAGIWFAQKPDNVCIHLFRWPVIVLGFLILLVSLAGFVGACFYKKTLLAFYLCCMAILIALLLILLVFAFVVTRPDGSYDVPGKGYKEYRVDGYSSWLRNRIVDSKSWNKIRSCLADTDVCPKLNQQYITVDQFFAAHLSPLQSGCCKPPTACGYNFVNPTVWTNPTNPTGDPDCYLWSNDQTQLCYNCKSCRAGLLGNLRSEWRKVNIILIVAVVVLICVYVIACSALKNAQTEDLFRRYKQGWI >KJB53744 pep chromosome:Graimondii2_0_v6:9:299536:302451:-1 gene:B456_009G003200 transcript:KJB53744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g34400 [Source:Projected from Arabidopsis thaliana (AT2G34400) UniProtKB/Swiss-Prot;Acc:O64705] MQKAKGSSNLVSRQITILSETHFHQSFAEKLLSLLKKCTSTKLLQQIHTQMLVNAIQKPNFLLSKIIDLKNFAYASLLFSQIPQPNDYAFNVMIRGLTTAWQNYPATLHFYYQMKFLGLKPNKFTYPFLFIACANLLQLTHGQLAHSSVFKLGLDADSHTTHSLITMYARCSELGSARKVFDEITDRDLVSWNSMISGYSKMRQASEAVGLFGKMREEGFVPDEMTLVSVLGACGDLGDLSLGMWVEGFVVKHKIQLSSYIGSALVDMYGKCGDLVSARRVFDGMLGKDVVTWNAMITGYAQNGMSDEAIKLFHGMNDAGVNPDKRTLAGVLSACASIGALELGKWIDAYASQRGLQHDIFVSTALVDMYAKCGSLDDARRVFENMPIKNEVSWNAMISALAFHGRSQEALSLFERMSTEGTDACPNDVTFVGVLSACVHAGLVDEGWRYFNLMNLSYGLTPKIEHYSCMVDLLSRAGKLYEAWDFIEKMPEKPDAIVLGALLGACQKSKNLDLSEKVMLLLLQMEPSNSGNYVISSKIYAKSRRWDESAQMRALMRHRGVSKTPGCSWLDIEGQLHEFLAGDDLLYHSSELHQVFELLNVEMKREGYIPKVD >KJB53748 pep chromosome:Graimondii2_0_v6:9:299939:302336:-1 gene:B456_009G003200 transcript:KJB53748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g34400 [Source:Projected from Arabidopsis thaliana (AT2G34400) UniProtKB/Swiss-Prot;Acc:O64705] MQKAKGSSNLVSRQITILSETHFHQSFAEKLLSLLKKCTSTKLLQQIHTQMLVNAIQKPNFLLSKIIDLKNFAYASLLFSQIPQPNDYAFNVMIRGLTTAWQNYPATLHFYYQMKFLGLKPNKFTYPFLFIACANLLQLTHGQLAHSSVFKLGLDADSHTTHSLITMYARCSELGSARKVFDEITDRDLVSWNSMISGYSKMRQASEAVGLFGKMREEGFVPDEMTLVSVLGACGDLGDLSLGMWVEGFVVKHKIQLSSYIGSALVDMYGKCGDLVSARRVFDGMLGKDVVTWNAMITGYAQNGMSDEAIKLFHGMNDAGVNPDKRTLAGVLSACASIGALELGKWIDAYASQRGLQHDIFVSTALVDMYAKCGSLDDARRVFENMPIKNEVSWNAMISALAFHGRSQEALSLFERMSTEGTDACPNDVTFVGVLSACVHAGLVDEGWRYFNLMNLSYGLTPKIEHYSCMVDLLSRAGKLYEAWDFIEKMPEKPDAIVLGALLGACQKSKNLDLSEKVMLLLLQMEPSNSGNYVISSKIYAKSRRWDESAQMRALMRHRGVSKTPGCSWLDIEGQLHEFLAGDDLLYHSSELHQVFELLNVEMKREGYIPKVD >KJB53745 pep chromosome:Graimondii2_0_v6:9:298835:302451:-1 gene:B456_009G003200 transcript:KJB53745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g34400 [Source:Projected from Arabidopsis thaliana (AT2G34400) UniProtKB/Swiss-Prot;Acc:O64705] MQKAKGSSNLVSRQITILSETHFHQSFAEKLLSLLKKCTSTKLLQQIHTQMLVNAIQKPNFLLSKIIDLKNFAYASLLFSQIPQPNDYAFNVMIRGLTTAWQNYPATLHFYYQMKFLGLKPNKFTYPFLFIACANLLQLTHGQLAHSSVFKLGLDADSHTTHSLITMYARCSELGSARKVFDEITDRDLVSWNSMISGYSKMRQASEAVGLFGKMREEGFVPDEMTLVSVLGACGDLGDLSLGMWVEGFVVKHKIQLSSYIGSALVDMYGKCGDLVSARRVFDGMLGKDVVTWNAMITGYAQNGMSDEAIKLFHGMNDAGVNPDKRTLAGVLSACASIGALELGKWIDAYASQRGLQHDIFVSTALVDMYAKCGSLDDARRVFENMPIKNEVSWNAMISALAFHGRSQEALSLFERMSTEGTDACPNDVTFVGVLSACVHAGLVDEGWRYFNLMNLSYGLTPKIEHYSCMVDLLSRAGKLYEAWDFIEKMPEKPDAIVLGALLGACQKSKNLDLSEKVMLLLLQMEPSNSGNYVISSKIYAKSRRWDESAQMRALMRHRGVSKTPGCSWLDIEGQLHEFLAGDDLLYHSSELHQVFELLNVEMKREGYIPKVD >KJB53743 pep chromosome:Graimondii2_0_v6:9:298531:302451:-1 gene:B456_009G003200 transcript:KJB53743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g34400 [Source:Projected from Arabidopsis thaliana (AT2G34400) UniProtKB/Swiss-Prot;Acc:O64705] MQKAKGSSNLVSRQITILSETHFHQSFAEKLLSLLKKCTSTKLLQQIHTQMLVNAIQKPNFLLSKIIDLKNFAYASLLFSQIPQPNDYAFNVMIRGLTTAWQNYPATLHFYYQMKFLGLKPNKFTYPFLFIACANLLQLTHGQLAHSSVFKLGLDADSHTTHSLITMYARCSELGSARKVFDEITDRDLVSWNSMISGYSKMRQASEAVGLFGKMREEGFVPDEMTLVSVLGACGDLGDLSLGMWVEGFVVKHKIQLSSYIGSALVDMYGKCGDLVSARRVFDGMLGKDVVTWNAMITGYAQNGMSDEAIKLFHGMNDAGVNPDKRTLAGVLSACASIGALELGKWIDAYASQRGLQHDIFVSTALVDMYAKCGSLDDARRVFENMPIKNEVSWNAMISALAFHGRSQEALSLFERMSTEGTDACPNDVTFVGVLSACVHAGLVDEGWRYFNLMNLSYGLTPKIEHYSCMVDLLSRAGKLYEAWDFIEKMPEKPDAIVLGALLGACQKSKNLDLSEKVMLLLLQMEPSNSGNYVISSKIYAKSRRWDESAQMRALMRHRGVSKTPGCSWLDIEGQLHEFLAGDDLLYHSSELHQVFELLNVEMKREGYIPKVD >KJB53746 pep chromosome:Graimondii2_0_v6:9:298839:302449:-1 gene:B456_009G003200 transcript:KJB53746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g34400 [Source:Projected from Arabidopsis thaliana (AT2G34400) UniProtKB/Swiss-Prot;Acc:O64705] MQKAKGSSNLVSRQITILSETHFHQSFAEKLLSLLKKCTSTKLLQQIHTQMLVNAIQKPNFLLSKIIDLKNFAYASLLFSQIPQPNDYAFNVMIRGLTTAWQNYPATLHFYYQMKFLGLKPNKFTYPFLFIACANLLQLTHGQLAHSSVFKLGLDADSHTTHSLITMYARCSELGSARKVFDEITDRDLVSWNSMISGYSKMRQASEAVGLFGKMREEGFVPDEMTLVSVLGACGDLGDLSLGMWVEGFVVKHKIQLSSYIGSALVDMYGKCGDLVSARRVFDGMLGKDVVTWNAMITGYAQNGMSDEAIKLFHGMNDAGVNPDKRTLAGVLSACASIGALELGKWIDAYASQRGLQHDIFVSTALVDMYAKCGSLDDARRVFENMPIKNEVSWNAMISALAFHGRSQEALSLFERMSTEGTDACPNDVTFVGVLSACVHAGLVDEGWRYFNLMNLSYGLTPKIEHYSCMVDLLSRAGKLYEAWDFIEKMPEKPDAIVLGALLGACQKSKNLDLSEKVMLLLLQMEPSNSGNYVISSKIYAKSRRWDESAQMRALMRHRGVSKTPGCSWLDIEGQLHEFLAGDDLLYHSSELHQVFELLNVEMKREGYIPKVD >KJB53749 pep chromosome:Graimondii2_0_v6:9:298765:302449:-1 gene:B456_009G003200 transcript:KJB53749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g34400 [Source:Projected from Arabidopsis thaliana (AT2G34400) UniProtKB/Swiss-Prot;Acc:O64705] MQKAKGSSNLVSRQITILSETHFHQSFAEKLLSLLKKCTSTKLLQQIHTQMLVNAIQKPNFLLSKIIDLKNFAYASLLFSQIPQPNDYAFNVMIRGLTTAWQNYPATLHFYYQMKFLGLKPNKFTYPFLFIACANLLQLTHGQLAHSSVFKLGLDADSHTTHSLITMYARCSELGSARKVFDEITDRDLVSWNSMISGYSKMRQASEAVGLFGKMREEGFVPDEMTLVSVLGACGDLGDLSLGMWVEGFVVKHKIQLSSYIGSALVDMYGKCGDLVSARRVFDGMLGKDVVTWNAMITGYAQNGMSDEAIKLFHGMNDAGVNPDKRTLAGVLSACASIGALELGKWIDAYASQRGLQHDIFVSTALVDMYAKCGSLDDARRVFENMPIKNEVSWNAMISALAFHGRSQEALSLFERMSTEGTDACPNDVTFVGVLSACVHAGLVDEGWRYFNLMNLSYGLTPKIEHYSCMVDLLSRAGKLYEAWDFIEKMPEKPDAIVLGALLGACQKSKNLDLSEKVMLLLLQMEPSNSGNYVISSKIYAKSRRWDESAQMRALMRHRGVSKTPGCSWLDIEGQLHEFLAGDDLLYHSSELHQVFELLNVEMKREGYIPKVD >KJB53747 pep chromosome:Graimondii2_0_v6:9:299939:302336:-1 gene:B456_009G003200 transcript:KJB53747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g34400 [Source:Projected from Arabidopsis thaliana (AT2G34400) UniProtKB/Swiss-Prot;Acc:O64705] MQKAKGSSNLVSRQITILSETHFHQSFAEKLLSLLKKCTSTKLLQQIHTQMLVNAIQKPNFLLSKIIDLKNFAYASLLFSQIPQPNDYAFNVMIRGLTTAWQNYPATLHFYYQMKFLGLKPNKFTYPFLFIACANLLQLTHGQLAHSSVFKLGLDADSHTTHSLITMYARCSELGSARKVFDEITDRDLVSWNSMISGYSKMRQASEAVGLFGKMREEGFVPDEMTLVSVLGACGDLGDLSLGMWVEGFVVKHKIQLSSYIGSALVDMYGKCGDLVSARRVFDGMLGKDVVTWNAMITGYAQNGMSDEAIKLFHGMNDAGVNPDKRTLAGVLSACASIGALELGKWIDAYASQRGLQHDIFVSTALVDMYAKCGSLDDARRVFENMPIKNEVSWNAMISALAFHGRSQEALSLFERMSTEGTDACPNDVTFVGVLSACVHAGLVDEGWRYFNLMNLSYGLTPKIEHYSCMVDLLSRAGKLYEAWDFIEKMPEKPDAIVLGALLGACQKSKNLDLSEKVMLLLLQMEPSNSGNYVISSKIYAKSRRWDESAQMRALMRHRGVSKTPGCSWLDIEGQLHEFLAGDDLLYHSSELHQVFELLNVEMKREGYIPKVD >KJB56445 pep chromosome:Graimondii2_0_v6:9:9066850:9069818:1 gene:B456_009G122000 transcript:KJB56445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISHQNQKNGSGNEKTAAQPTTKLKRTRKTVPRHSPPQRSSTYRGVTRHRWTGRFEAHLWDKNCWNESQKKKGRQVYLGAYADEEAAAHAYDLAALKYWGQDTVLNFPVSTYEKELKEMESQSKEEYIGSLRRKSSGFARGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIGWLHPNDQSDSNNSSNPQQNFNGDTNSTPSPNHDTKLEISIQSQTYCTSDTRLDDSNSNGSSSSASSALGHLLKSSKIKEMLDRTSEAACPSTPPEPNVPRRSFPDYIQTYFDCQDSSSYTEDDDIIFGDLDSLAIPMFHCELDG >KJB56448 pep chromosome:Graimondii2_0_v6:9:9066865:9069770:1 gene:B456_009G122000 transcript:KJB56448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISHQNQKNGSGNEKTAAQPTTKLKRTRKTVPRHSPPQRSSTYRGVTRHRWTGRFEAHLWDKNCWNESQKKKGRQVYLGAYADEEAAAHAYDLAALKYWGQDTVLNFPVSTYEKELKEMESQSKEEYIGSLRSSGFARGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIGWLHPNDQSDSNNSSNPQQNFNGDTNSTPSPNHDTKLEISIQSQTYCTSDTRLDDSNSNGSSSSASSALGHLLKSSKIKEMLDRTSEAACPSTPPEPNVPRRSFPDYIQTYFDCQDSSSYTEDDDIIFGDLDSLAIPMFHCELDG >KJB56449 pep chromosome:Graimondii2_0_v6:9:9067560:9069770:1 gene:B456_009G122000 transcript:KJB56449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQSKEEYIGSLRRKSSGFARGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIGWLHPNDQSDSNNSSNPQQNFNGDTNSTPSPNHDTKLEISIQSQTYCTSDTRLDDSNSNGSSSSASSALGHLLKSSKIKEMLDRTSEAACPSTPPEPNVPRRSFPDYIQTYFDCQDSSSYTEDDDIIFGDLDSLAIPMFHCELDG >KJB56447 pep chromosome:Graimondii2_0_v6:9:9066865:9069770:1 gene:B456_009G122000 transcript:KJB56447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISHQNQKNGSGNEKTAAQPTTKLKRTRKTVPRHSPPQRSSTYRGVTRHRWTGRFEAHLWDKNCWNESQKKKGRQVYLGAYADEEAAAHAYDLAALKYWGQDTVLNFPLATYEKELKEMESQSKEEYIGSLRRKSSGFARGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIGWLHPNDQSDSNNSSNPQQNFNGDTNSTPSPNHDTKLEISIQSQTYCTSDTRLDDSNSNGSSSSASSALGHLLKSSKIKEMLDRTSEAACPSTPPEPNVPRRSFPDYIQTYFDCQDSSSYTEDDDIIFGDLDSLAIPMFHCELDG >KJB56446 pep chromosome:Graimondii2_0_v6:9:9066850:9069838:1 gene:B456_009G122000 transcript:KJB56446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISHQNQKNGSGNEKTAAQPTTKLKRTRKTVPRHSPPQRSSTYRGVTRHRWTGRFEAHLWDKNCWNESQKKKGRQGAYADEEAAAHAYDLAALKYWGQDTVLNFPLATYEKELKEMESQSKEEYIGSLRRKSSGFARGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIGWLHPNDQSDSNNSSNPQQNFNGDTNSTPSPNHDTKLEISIQSQTYCTSDTRLDDSNSNGSSSSASSALGHLLKSSKIKEMLDRTSEAACPSTPPEPNVPRRSFPDYIQTYFDCQDSSSYTEDDDIIFGDLDSLAIPMFHCELDG >KJB58670 pep chromosome:Graimondii2_0_v6:9:17131044:17144479:1 gene:B456_009G220900 transcript:KJB58670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MEDAYARSVSEVLDFFGVDSSKGLTDFQVSQHARLYGKNVLPEEERTPFWKLVFKQFDDLLVKILIAAALVSFLLALINGETGLIAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVSVGCKIPADMRMIEMLSGQLRVDQAILTGESSSVEKDLESTIATNAVYQDKTNILFSGTVVVAGRARAVVIGVGANTAMGSIRDSMLRTDDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPAHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVHSIKNGPEVAEFGVSGTTYAPEGFIFDNTGVQLEFPAQLPCLLHLAMCSALCNESLLQYNPDKGNYEKIGESTEVALRVLAEKVGLPGFDSMPSALNMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCNHKQMEIMFSKGAPESIISRCTNILCNNDGSTIPMDATLRAELDSRFNSFAGKETLRCLALALKIMPMGQQILSFDDEKDLTFIGLVGMLDPPREEVRNAMISCMTAGIRVIVVTGDNKSTAESVCRKIGAFDHLVDYVGHSYTAAEFEELPGTQQTMALQRMALLTRVEPSHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGVAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKPDSDVMKAKPRKVSEAVVSGWLFFRYLVIGAYVGLATVAGFIWWFIYSETGPKLPYTELMNFDTCPTRETTYPCSIFEDRHPSTVAMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVASIILTMLLHILILYVPPLSTLFSVTSLSWNEWAVILYLSFPVSSK >KJB58668 pep chromosome:Graimondii2_0_v6:9:17130813:17145359:1 gene:B456_009G220900 transcript:KJB58668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MEDAYARSVSEVLDFFGVDSSKGLTDFQVSQHARLYGKNVLPEEERTPFWKLVFKQFDDLLVKILIAAALVSFLLALINGETGLIAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVSVGCKIPADMRMIEMLSGQLRVDQAILTGESSSVEKDLESTIATNAVYQDKTNILFSGTVVVAGRARAVVIGVGANTAMGSIRDSMLRTDDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPAHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVHSIKNGPEVAEFGVSGTTYAPEGFIFDNTGVQLEFPAQLPCLLHLAMCSALCNESLLQYNPDKGNYEKIGESTEVALRVLAEKVGLPGFDSMPSALNMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCNHKQMEIMFSKGAPESIISRCTNILCNNDGSTIPMDATLRAELDSRFNSFAGKETLRCLALALKIMPMGQQILSFDDEKDLTFIGLVGMLDPPREEVRNAMISCMTAGIRVIVVTGDNKSTAESVCRKIGAFDHLVDYVGHSYTAAEFEELPGTQQTMALQRMALLTRVEPSHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGVAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKPDSDVMKAKPRKVSEAVVSGWLFFRYLVIGAYVGLATVAGFIWWFIYSETGPKLPYTELMNFDTCPTRETTYPCSIFEDRHPSTVAMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVASIILTMLLHILILYVPPLSTLFSVTSLSWNEWAVILYLSFPVIIIDEVLKFFSRNSHGIRFNFRFRRYDALPKKELRDK >KJB58671 pep chromosome:Graimondii2_0_v6:9:17130850:17145349:1 gene:B456_009G220900 transcript:KJB58671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MEDAYARSVSEVLDFFGVDSSKGLTDFQVSQHARLYGKNVLPEEERTPFWKLVFKQFDDLLVKILIAAALVSFLLALINGETGLIAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVSVGCKIPADMRMIEMLSGQLRVDQAILTGESSSVEKDLESTIATNAVYQDKTNILFSGTVVVAGRARAVVIGVGANTAMGSIRDSMLRTDDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPAHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVHSIKNGPEVAEFGVSGTTYAPEGFIFDNTGVQLEFPAQLPCLLHLAMCSALCNESLLQYNPDKGNYEKIGESTEVALRVLAEKVGLPGFDSMPSALNMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCNHKQMEIMFSKGAPESIISRCTNILCNNDGSTIPMDATLRAELDSRFNSFAGKETLRCLALALKIMPMGQQILSFDDEKDLTFIGLVGMLDPPREEVRNAMISCMTAGIRVIVVTGDNKSTAESVCRKIGAFDHLVDYVGHSYTAAEFEELPGTQQTMALQRMALLTRVEPSHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGVAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKPDSDVMKAKPRKVSEAVVSGWLFFRYLVIGAYVGLATVAGFIWWFIYSETGPKLPYTELMNFDTCPTRETTYPCSIFEDRHPSTVAMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVASIILTMLLHILILLHHCLGMSGLLSCIFLSLL >KJB58673 pep chromosome:Graimondii2_0_v6:9:17130850:17145349:1 gene:B456_009G220900 transcript:KJB58673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MEDAYARSVSEVLDFFGVDSSKGLTDFQVSQHARLYGKNVLPEEERTPFWKLVFKQFDDLLVKILIAAALVSFLLALINGETGLIAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVSVGCKIPADMRMIEMLSGQLRVDQAILTGESSSVEKDLESTIATNAVYQDKTNILFSGTVVVAGRARAVVIGVGANTAMGSIRDSMLRTDDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPAHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVHSIKNGPEVAEFGVSGTTYAPEGFIFDNTGVQYNPDKGNYEKIGESTEVALRVLAEKVGLPGFDSMPSALNMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCNHKQMEIMFSKGAPESIISRCTNILCNNDGSTIPMDATLRAELDSRFNSFAGKETLRCLALALKIMPMGQQILSFDDEKDLTFIGLVGMLDPPREEVRNAMISCMTAGIRVIVVTGDNKSTAESVCRKIGAFDHLVDYVGHSYTAAEFEELPGTQQTMALQRMALLTRVEPSHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGVAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKPDSDVMKAKPRKVSEAVVSGWLFFRYLVIGAYVGLATVAGFIWWFIYSETGPKLPYTELMNFDTCPTRETTYPCSIFEDRHPSTVAMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVASIILTMLLHILILYVPPLSTLFSVTSLSWNEWAVILYLSFPVIIIDEVLKFFSRNSHGIRFNFRFRRYDALPKKELRDK >KJB58669 pep chromosome:Graimondii2_0_v6:9:17130850:17140910:1 gene:B456_009G220900 transcript:KJB58669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MEDAYARSVSEVLDFFGVDSSKGLTDFQVSQHARLYGKNVLPEEERTPFWKLVFKQFDDLLVKILIAAALVSFLLALINGETGLIAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVSVGCKIPADMRMIEMLSGQLRVDQAILTGESSSVEKDLESTIATNAVYQDKTNILFSGTVVVAGRARAVVIGVGANTAMGSIRDSMLRTDDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPAHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVHSIKNGPEVAEFGVSGTTYAPEGFIFDNTGVQLEFPAQLPCLLHLAMCSALCNESLLQYNPDKGNYEKIGESTEVALRVLAEKVGLPGFDSMPSALNMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCNHKQMEIMFSKGAPESIISRCTNILCNNDGSTIPMDATLRAELDSRFNSFAGKETLRCLALALKIMPMGQQILSFDDEKDLTFIGLACLIHHGRK >KJB58675 pep chromosome:Graimondii2_0_v6:9:17134008:17145349:1 gene:B456_009G220900 transcript:KJB58675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MRMIEMLSGQLRVDQAILTGESSSVEKDLESTIATNAVYQDKTNILFSGTVVVAGRARAVVIGVGANTAMGSIRDSMLRTDDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPAHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVHSIKNGPEVAEFGVSGTTYAPEGFIFDNTGVQLEFPAQLPCLLHLAMCSALCNESLLQYNPDKGNYEKIGESTEVALRVLAEKVGLPGFDSMPSALNMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCNHKQMEIMFSKGAPESIISRCTNILCNNDGSTIPMDATLRAELDSRFNSFAGKETLRCLALALKIMPMGQQILSFDDEKDLTFIGLVGMLDPPREEVRNAMISCMTAGIRVIVVTGDNKSTAESVCRKIGAFDHLVDYVGHSYTAAEFEELPGTQQTMALQRMALLTRVEPSHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGVAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKPDSDVMKAKPRKVSEAVVSGWLFFRYLVIGAYVGLATVAGFIWWFIYSETGPKLPYTELMNFDTCPTRETTYPCSIFEDRHPSTVAMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVASIILTMLLHILILYVPPLSTLFSVTSLSWNEWAVILYLSFPVIIIDEVLKFFSRNSHGIRFNFRFRRYDALPKKELRDK >KJB58674 pep chromosome:Graimondii2_0_v6:9:17132179:17145349:1 gene:B456_009G220900 transcript:KJB58674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MLKFANYYYFTLLNTGTPFWKLVFKQFDDLLVKILIAAALVSFLLALINGETGLIAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVSVGCKIPADMRMIEMLSGQLRVDQAILTGESSSVEKDLESTIATNAVYQDKTNILFSGTVVVAGRARAVVIGVGANTAMGSIRDSMLRTDDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPAHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVHSIKNGPEVAEFGVSGTTYAPEGFIFDNTGVQLEFPAQLPCLLHLAMCSALCNESLLQYNPDKGNYEKIGESTEVALRVLAEKVGLPGFDSMPSALNMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCNHKQMEIMFSKGAPESIISRCTNILCNNDGSTIPMDATLRAELDSRFNSFAGKETLRCLALALKIMPMGQQILSFDDEKDLTFIGLVGMLDPPREEVRNAMISCMTAGIRVIVVTGDNKSTAESVCRKIGAFDHLVDYVGHSYTAAEFEELPGTQQTMALQRMALLTRVEPSHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGVAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKPDSDVMKAKPRKVSEAVVSGWLFFRYLVIGAYVGLATVAGFIWWFIYSETGPKLPYTELMNFDTCPTRETTYPCSIFEDRHPSTVAMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVASIILTMLLHILILYVPPLSTLFSVTSLSWNEWAVILYLSFPVIIIDEVLKFFSRNSHGIRFNFRFRRYDALPKKELRDK >KJB58672 pep chromosome:Graimondii2_0_v6:9:17130850:17145349:1 gene:B456_009G220900 transcript:KJB58672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MEDAYARSVSEVLDFFGVDSSKGLTDFQVSQHARLYGKNVLPEEERTPFWKLVFKQFDDLLVKILIAAALVSFLLALINGETGLIAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVSVGCKIPADMRMIEMLSGQLRVDQAILTGESSSVEKDLESTIATNAVYQDKTNILFSGTVVVAGRARAVVIGVGANTAMGSIRDSMLRTDDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPAHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVHSIKNGPEVAEFGVSGTTYAPEGFIFDNTGVQLEFPAQLPCLLHLAMCSALCNESLLQYNPDKGNYEKIGESTEVALRVLAEKVGLPGFDSMPSALNMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCNHKQMEIMFSKGAPESIISRCTNILCNNDGSTIPMDATLRAELDSRFNSFAGKETLRCLALALKIMPMGQQILSFDDEKDLTFIGLVGMLDPPREEVRNAMISCMTAGIRVIVVTGDNKSTAESVCRKIGAFDHLVDYVGHSYTAAEFEELPGTQQTMALQRMALLTRVEPSHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGVAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKPDSDVMKAKPRKVSEAVVSGWLFFRYLVIGAYVGLATVAGFIWWFIYSETGPKLPYTELMNFDTCPTRETTYPCSIFEDRHPSTVAMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVASIILTMLLHILILYVPPLSTLFSVTSLSWNEWAVILYLSFPVIIIDEVLKFFSRNSHGNAYCSFGSVSADLINNHVSYICDEHTIYCIMFLIIFKRSAATIKYSFVYFDLL >KJB58676 pep chromosome:Graimondii2_0_v6:9:17138318:17145349:1 gene:B456_009G220900 transcript:KJB58676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MCSALCNESLLQYNPDKGNYEKIGESTEVALRVLAEKVGLPGFDSMPSALNMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCNHKQMEIMFSKGAPESIISRCTNILCNNDGSTIPMDATLRAELDSRFNSFAGKETLRCLALALKIMPMGQQILSFDDEKDLTFIGLVGMLDPPREEVRNAMISCMTAGIRVIVVTGDNKSTAESVCRKIGAFDHLVDYVGHSYTAAEFEELPGTQQTMALQRMALLTRVEPSHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGVAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKPDSDVMKAKPRKVSEAVVSGWLFFRYLVIGAYVGLATVAGFIWWFIYSETGPKLPYTELMNFDTCPTRETTYPCSIFEDRHPSTVAMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVASIILTMLLHILILYVPPLSTLFSVTSLSWNEWAVILYLSFPVIIIDEVLKFFSRNSHGIRFNFRFRRYDALPKKELRDK >KJB57147 pep chromosome:Graimondii2_0_v6:9:11411058:11415210:1 gene:B456_009G150300 transcript:KJB57147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLQNLLKEEGFERGKEISLRNPSSAKPDESVALPIYICHARKSLGKPNHDAEESVTRNGSSVFSSRRVSNSDRSKPKSSTNDDTPRRDEPAIDDVAIRAVISILGGYTGKYIKDESFRGMIKGKCSSCLTRRKTGSDDGVFENMKLGIESIDSLVQNPGNKKELRMKTLRNSIELLSIVASLNSKKTRNGSTCGVPNSHLSACAQLYLSIVYKLEKNHRISARHLLQVFCDSAFLARTHLLPDLWEHLFLPHLLHLKVWYHKELELLSNLDYGEKEKRMKVLCKLYNDQMDIGTAKFAMYYKEWLKIGAKAPAVPTVPLPSSPSFRSSRRRSSDSFASRSSINKNLYRTVFGTTTELQSIELDHRIRASMDICHLQAEENECTDEENYNGCNYVHNMTKTRRSSSSQIYRTPRTDLLPETRKSDHFRLFTCQSGPTECLVNGKNVVRHSSMRRKDNVHLPLSDLSRSIATICSSDNLTECEIAVRLLTKAWLESHGGPAIEAAIAKAPVIEGILEVLFASSDDEILELAISILAEFVARSEVNRQIILNSDPHLEIFLRLLRNSGLFLKAAVLLYLIKPKAKQMISTDWVPLVLRVLEFGEQLQTLFTVRCSPQVAAFYVLDQLLTGFNEDRNLENASQVVSLGGLNLLIRNVEMGGVLERNNAAMIISCCIRADGSCRNYVADKINKASLLELIVGNHKDSNGSVIALLTELLCLNRRTQITEFLNDLLNGWGGLNTMHILMVYLQKAQPEERPLVAAILLQLDLLGDPLRYSVYREEAVEAIVEALDCEKCNDRIQEQAARALMMLGGCFSYVGEATTENWLLEQAGFHETLGDSFHGKEIVDEILVSLSIMECPL >KJB57148 pep chromosome:Graimondii2_0_v6:9:11411058:11415210:1 gene:B456_009G150300 transcript:KJB57148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLQNLLKEEGFERGKEISLRNPSSAKPDESVALPIYICHARKSLGKPNHDAEESVTRNGSSVFSSRRVSNSDRSKPKSSTNDDTPRRDEPAIDDVAIRAVISILGGYTGKYIKDESFRGMIKGKCSSCLTRRKTGSDDGVFENMKLGIESIDSLVQNPGNKKELRMKTLRNSIELLSIVASLNSKKTRNGSTCGVPNSHLSACAQLYLSIVYKLEKNHRISARHLLQVFCDSAFLARTHLLPDLWEHLFLPHLLHLKVWYHKELELLSNLDYGEKEKRMKVLCKLYNDQMDIGTAKFAMYYKEWLKIGAKAPAVPTVPLPSSPSFRSSRRRSSDSFASRSSINKNLYRTVFGTTTELQSIELDHRIRASMDICHLQAEENECTDEENYNGCNYVHNMTKTRRSSSSQIYRTPRTDLLPETRKSDHFRLFTCQSGPTECLVNGKNVVRHSSMRRKDNVHLPLSDLSRSIATICSSDNLTECEIAVRLLTKAWLESHGGPAIEAAIAKAPVIEGILEVLFASSDDEILELAISILAEFVARSEVNRQIILNSDPHLEIFLRLLRNSGLFLKAAVLLYLIKPKAKQMISTDWVPLVLRVLEFGEQLQTLFTVRCSPQVAAFYVLDQLLTGFNEDRNLENASQVVSLGGLNLLIRNVEMGGVLERNNAAMIISCCIRADGSCRNYVADKINKASLLELIVGNHKDSNGSVIALLTELLCLNRRTQITEFLNDLLNGWGGLNTMHILMVYLQKAQPEERPLVAAILLQLDLLGDPLRYSVYREEAVEAIVEALDCEKCNDRIQEQAARALMMLGGCFSYVGEATTENWLLEQAGFHETLGDSFHGKEIVDEILHEEKEAIKNWQRKAAISLLNSGNKKFLAALSNSMANGIPSLARASLLTVTWMSSFLHSVRDKDFQSMACSVLVPRLLESSNYSRAVEETVLASISLQQLINGSGIVNFQTFSKLTPRQLFNSLSSLPLVILNDTQ >KJB57146 pep chromosome:Graimondii2_0_v6:9:11410866:11415236:1 gene:B456_009G150300 transcript:KJB57146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLQNLLKEEGFERGKEISLRNPSSAKPDESVALPIYICHARKSLGKPNHDAEESVTRNGSSVFSSRRVSNSDRSKPKSSTNDDTPRRDEPAIDDVAIRAVISILGGYTGKYIKDESFRGMIKGKCSSCLTRRKTGSDDGVFENMKLGIESIDSLVQNPGNKKELRMKTLRNSIELLSIVASLNSKKTRNGSTCGVPNSHLSACAQLYLSIVYKLEKNHRISARHLLQVFCDSAFLARTHLLPDLWEHLFLPHLLHLKVWYHKELELLSNLDYGEKEKRMKVLCKLYNDQMDIGTAKFAMYYKEWLKIGAKAPAVPTVPLPSSPSFRSSRRRSSDSFASRSSINKNLYRTVFGTTTELQSIELDHRIRASMDICHLQAEENECTDEENYNGCNYVHNMTKTRRSSSSQIYRTPRTDLLPETRKSDHFRLFTCQSGPTECLVNGKNVVRHSSMRRKDNVHLPLSDLSRSIATICSSDNLTECEIAVRLLTKAWLESHGGPAIEAAIAKAPVIEGILEVLFASSDDEILELAISILAEFVARSEVNRQIILNSDPHLEIFLRLLRNSGLFLKAAVLLYLIKPKAKQMISTDWVPLVLRVLEFGEQLQTLFTVRCSPQVAAFYVLDQLLTGFNEDRNLENASQVVSLGGLNLLIRNVEMGGVLERNNAAMIISCCIRADGSCRNYVADKINKASLLELIVGNHKDSNGSVIALLTELLCLNRRTQITEFLNDLLNGWGGLNTMHILMVYLQKAQPEERPLVAAILLQLDLLGDPLRYSVYREEAVEAIVEALDCEKCNDRIQEQAARALMMLGGCFSYVGEATTENWLLEQAGFHETLGDSFHGKEIVDEILHEEKEAIKNWQRKAAISLLNSGNKKFLAALSNSMANGIPSLARASLLTVTWMSSFLHSVRDKDFQSMACSVLVPRLLESSNYSRAVEETVLASISLQQLINGSEYASIISSLDAT >KJB57149 pep chromosome:Graimondii2_0_v6:9:11411058:11415210:1 gene:B456_009G150300 transcript:KJB57149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLQNLLKEEGFERGKEISLRNPSSAKPDESVALPIYICHARKSLGKPNHDAEESVTRNGSSVFSSRRVSNSDRSKPKSSTNDDTPRRDEPAIDDVAIRAVISILGGYTGKYIKDESFRGMIKGKCSSCLTRRKTGSDDGVFENMKLGIESIDSLVQNPGNKKELRMKTLRNSIELLSIVASLNSKKTRNGSTCGVPNSHLSACAQLYLSIVYKLEKNHRISARHLLQVFCDSAFLARTHLLPDLWEHLFLPHLLHLKVWYHKELELLSNLDYGEKEKRMKVLCKLYNDQMDIGTAKFAMYYKEWLKIGAKAPAVPTVPLPSSPSFRSSRRRSSDSFASRSSINKNLYRTVFGTTTELQSIELDHRIRASMDICHLQAEENECTDEENYNGCNYVHNMTKTRRSSSSQIYRTPRTDLLPETRKSDHFRLFTCQSGPTECLVNGKNVVRHSSMRRKDNVHLPLSDLSRSIATICSSDNLTECEIAVRLLTKAWLESHGGPAIEAAIAKAPVIEGILEVLFASSDDEILELAISILAEFVARSEVNRQIILNSDPHLEIFLRLLRNSGLFLKAAVLLYLIKPKAKQMISTDWVPLVLRVLEFGEQLQTLFTVRCSPQVAAFYVLDQLLTGFNEDRNLENASQVVSLGGLNLLIRNVEMGGVLERNNAAMIISCCIRADGSCRNYVADKINKASLLELIVGNHKDSNGSVIALLTELLCLNRRTQITEFLNDLLNGWGGLNTMHILMVYLQKAQPEERPLVAAILLQLDLLSFCREIL >KJB62529 pep chromosome:Graimondii2_0_v6:9:65841411:65842657:1 gene:B456_009G421200 transcript:KJB62529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFSLQGNFSASNQQRLQSMEAPVEYANWEKMRAQVRPNHHQNAPSQAETDQTSEPSKVGSQNMEEDPKVLPAVANSDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYKGEHNHPKPQPPKRNSSQGLGVTSDGTGQDANNSLWSNNHNERNEGSETRAENHSEVGLSVLPAYQVKALAPYEHVTTGGTSENSAGLSGECEEASKEGADVESKSKRRKNENQSSEVGTLGECIQEPRVVVQSCTDSEIMGDGFRWRKYGQKVVKGNPYPRSYYRCTNVKCSVRKHVERASDDPRAFITTYEGKHNHEMPLRNTNHVTAASDPDSNSPAIKDK >KJB62527 pep chromosome:Graimondii2_0_v6:9:65839452:65843031:1 gene:B456_009G421200 transcript:KJB62527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKEAERIVIAKPVASRPTCSSFKSFSELLAGAINAAPPNACSANIVPAIRPKTVRFKPVVNRAPSAMVSSQAEQSRIGISISSDKVSKSDVKPTVVYKPQAKLVSKTTVSLLANMGNFSASNQQRLQSMEAPVEYANWEKMRAQVRPNHHQNAPSQAETDQTSEPSKVGSQNMEEDPKVLPAVANSDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYKGEHNHPKPQPPKRNSSQGLGVTSDGTGQDANNSLWSNNHNERNEGSETRAENHSEVGLSVLPAYQVKALAPYEHVTTGGTSENSAGLSGECEEASKEGADVESKSKRRKNENQSSEVGTLGECIQEPRVVVQSCTDSEIMGDGFRWRKYGQKVVKGNPYPRLKFSFVEVIHHIYIYIY >KJB62524 pep chromosome:Graimondii2_0_v6:9:65839443:65843032:1 gene:B456_009G421200 transcript:KJB62524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKEAERIVIAKPVASRPTCSSFKSFSELLAGAINAAPPNACSANIVPAIRPKTVRFKPVVNRAPSAMVSSQAEQSRIGISISSDKVSKSDVKPTVVYKPQAKLVSKTTVSLLANMGNFSASNQQRLQSMEAPVEYANWEKMRAQVRPNHHQNAPSQAETDQTSEPSKVGSQNMEEDPKVLPAVANSDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYKGEHNHPKPQPPKRNSSQGLGVTSDGTGQDANNSLWSNNHNERNEGSETRAENHSEVGLSVLPAYQVKALAPYEHVTTGGTSENSAGLSGECEEASKEGADVESKSKRRKNENQSSEVGTLGECIQEPRVVVQSCTDSEIMGDGFRWRKYGQKVVKGNPYPRSYYRCTNVKCSVRKHVERASDDPRAFITTYEGKHNHEMPLRNTNHVTAASDPDSNSPAIKDK >KJB62531 pep chromosome:Graimondii2_0_v6:9:65839452:65843031:1 gene:B456_009G421200 transcript:KJB62531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKEAERIVIAKPVASRPTCSSFKSFSELLAGAINAAPPNACSANIVPAIRPKTVRFKPVVNRAPSAMVSSQAEQSRIGISISSDKVSKSDVKPTVVYKPQAKLVSKTTVSLLANMGNFSASNQQRLQSMEAPVEYANWEKMRAQVRPNHHQNAPSQAETDQTSEPSKVGSQNMEEDPKVLPAVANSDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYKGEHNHPKPQPPKRNSSQGLGVTSDGTGQDANNSLWSNNHNERNEGSETRAENHSEVGLSVLPAYQVKALAPYEHVTTGGTSENSAGLSGECEEASKEGADVESKSKRRKNENQSSEVGTLGECIQEPRVVVQSCTDSEIMGDGFRWRKYGQKVVKGNPYPRSYYRCTNVKCSVRKHVERASDDPRAFITTYEGKHNHEMPLRNTNHVTAASDPDSNSPAIKDK >KJB62525 pep chromosome:Graimondii2_0_v6:9:65840878:65842136:1 gene:B456_009G421200 transcript:KJB62525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKEAERIVIAKPVASRPTCSSFKSFSELLAGAINAAPPNACSANIVPAIRPKTVRFKPVVNRAPSAMVSSQAEQSRIGISISSDKVSKSDVKPTVVYKPQAKLVSKTTVSLLANMGNFSASNQQRLQSMEAPVEYANWEKMRAQVRPNHHQNAPSQAETDQTSEPSKVGSQNMEEDPKVLPAVANSDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYKGEHNHPKPQPPKRNSSQGLGVTSDGTGQDANNSLWSNNHNERNEGSETRAENHSEVGLSVLPAYQVKALAPYEHVTTGGTSENSAGLSGECEEASKEGADVESKSKRR >KJB62528 pep chromosome:Graimondii2_0_v6:9:65840878:65842136:1 gene:B456_009G421200 transcript:KJB62528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKEAERIVIAKPVASRPTCSSFKSFSELLAGAINAAPPNACSANIVPAIRPKTVRFKPVVNRAPSAMVSSQAEQSRIGISISSDKVSKSDVKPTVVYKPQAKLVSKTTVSLLANMGNFSASNQQRLQSMEAPVEYANWEKMRAQVRPNHHQNAPSQAETDQTSEPSKVGSQNMEEDPKVLPAVANSDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYKGEHNHPKPQPPKRNSSQGLGVTSDGTGQDANNSLWSNNHNERNEGSETRAENHSEVGLSVLPAYQVKALAPYEHVTTGGTSENSAGLSGECEEASKEGADVESKSKRR >KJB62530 pep chromosome:Graimondii2_0_v6:9:65839452:65843031:1 gene:B456_009G421200 transcript:KJB62530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKEAERIVIAKPVASRPTCSSFKSFSELLAGAINAAPPNACSANIVPAIRPKTVRFKPVVNRAPSAMVSSQAEQSRIGISISSDKVSKSDVKPTVVYKPQAKLVSKTTVSLLANMGNFSASNQQRLQSMEAPVEYANWEKMRAQVRPNHHQNAPSQAETDQTSEPSKVGSQNMEEDPKVLPAVANSDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYKGEHNHPKPQPPKRNSSQGLGVTSDGTGQDANNSLWSNNHNERNEGSETRAENHSEVGLSVLPAYQVKALAPYEHVTTGGTSENSAGLSGECEEASKEGADVESKSKRRKNENQSSEVGTLGECIQEPRVVVQSCTDSEIMGDGFRWRKYGQKVVKGNPYPRSYYRCTNVKCSVRKHVERASDDPRAFITTYEGKHNHEMPLRNTNHVTAASDPDSNSPAIKDK >KJB62526 pep chromosome:Graimondii2_0_v6:9:65839452:65843031:1 gene:B456_009G421200 transcript:KJB62526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKEAERIVIAKPVASRPTCSSFKSFSELLAGAINAAPPNACSANIVPAIRPKTVRFKPVVNRAPSAMVSSQAEQSRIGISISSDKVSKSDVKPTVVYKPQAKLVSKTTVSLLANMGNFSASNQQRLQSMEAPVEYANWEKMRAQVRPNHHQNAPSQAETDQTSEPSKVGSQNMEEDPKVLPAVANSDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYKGEHNHPKPQPPKRNSSQGLGVTSDGTGQDANNSLWSNNHNERNEGSETRAENHSEVGLSVLPAYQVKALAPYEHVTTGGTSENSAGLSGECEEASKEGADVESKSKRRKNENQSSEVGTLGECIQEPRVVVQSCTDSEIMGDGFRWRKYGQKVVKGNPYPSYYRCTNVKCSVRKHVERASDDPRAFITTYEGKHNHEMPLRNTNHVTAASDPDSNSPAIKDK >KJB58758 pep chromosome:Graimondii2_0_v6:9:17556552:17558276:-1 gene:B456_009G224800 transcript:KJB58758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISVHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGEGDEGDEEEY >KJB58759 pep chromosome:Graimondii2_0_v6:9:17556297:17558475:-1 gene:B456_009G224800 transcript:KJB58759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTISLVAIIQLAKRLLISAWIVSESLLITVLGSKASWFSMLLVRLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGEGDEGDEEEY >KJB58756 pep chromosome:Graimondii2_0_v6:9:17556297:17557969:-1 gene:B456_009G224800 transcript:KJB58756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGEGDEGDEEEY >KJB58757 pep chromosome:Graimondii2_0_v6:9:17556297:17558475:-1 gene:B456_009G224800 transcript:KJB58757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISVHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGEGDEGDEEEY >KJB56329 pep chromosome:Graimondii2_0_v6:9:13715212:13716002:-1 gene:B456_009G177400 transcript:KJB56329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYLKMPMALCARRSCLFLSYRIHQIVWKSSLKHEPGKKIMEDRSGSSYKLSAGKPASELETLQKQHEERTVKIQELKKRIETVKLRLEQKKKKETPGENKEAFHDLILKYNSLRDEYIALSRDKSRDLNK >KJB56331 pep chromosome:Graimondii2_0_v6:9:13715139:13716603:-1 gene:B456_009G177400 transcript:KJB56331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRSGSSYKLSAGKPASELETLQKQHEERTVKIQELKKRIETVKLRLEQKKKKETPGENKEAFHDLILKYNSLRDEYIALSRDKSRDLNK >KJB56330 pep chromosome:Graimondii2_0_v6:9:13715139:13716575:-1 gene:B456_009G177400 transcript:KJB56330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYLKMPMALCARRSCLFLSYRIHQIVWKSSLKHEPGKKIMEDRSGSSYKLSAELETLQKQHEERTVKIQELKKRIETVKLRLEQKKKKETPGENKEAFHDLILKYNSLRDEYIALSRDKSRDLNK >KJB59225 pep chromosome:Graimondii2_0_v6:9:19787087:19788599:-1 gene:B456_009G245800 transcript:KJB59225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTMLLMSSQAVSLKRDHPLLHFQAQGLKPKPVSHLFFNPLSNGVHTSPKAFTTLAIFKSKTKAAPKRVEPKPKVEDGIFGTSGGIGFTKQNELFVGRVAMLGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDPPTGIEGAVIPPGKGIRGALGLKEGGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGIPISDIEPLVLFNVAFFFFAAINPGTGKFLTDEEEE >KJB59228 pep chromosome:Graimondii2_0_v6:9:19787095:19788558:-1 gene:B456_009G245800 transcript:KJB59228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTMLLMSSQAVSLKRDHPLLHFQAQGLKPKPVSHLFFNPLSNGVHTSPKAFTTLAIFKSKTKAAPKRVEPKPKVEDGIFGTSGGIGFTKQNELFVGRVAMLGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDPPTGIEGAVIPPGKGIRGALGLKEGGKGALAQLNIETGIPISDIEPLVLFNVAFFFFAAINPGTGKFLTDEEEE >KJB59227 pep chromosome:Graimondii2_0_v6:9:19787631:19788497:-1 gene:B456_009G245800 transcript:KJB59227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTMLLMSSQAVSLKRDHPLLHFQAQGLKPKPVSHLFFNPLSNGVHTSPKAFTTLAIFKSKTKAAPKRVEPKPKVEDGIFGTSGGIGFTKQNELFVGRVAMLGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDPPTGIEGAVIPPGKGIRGALGLKEGGMQSAILESLTQQSCSALYYIV >KJB59229 pep chromosome:Graimondii2_0_v6:9:19787095:19788558:-1 gene:B456_009G245800 transcript:KJB59229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTMLLMSSQAVSLKRDHPLLHFQAQGLKPKPVSHLFFNPLSNGVHTSPKAFTTLAIFKSKTKAAPKRVEPKPKVEDGIFGTSGGIGFTKQNELFVGRVASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDPPTGIEGAVIPPGKGIRGALGLKEGGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGIPISDIEPLVLFNVAFFFFAAINPGTGKFLTDEEEE >KJB59226 pep chromosome:Graimondii2_0_v6:9:19787095:19788212:-1 gene:B456_009G245800 transcript:KJB59226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDPPTGIEGAVIPPGKGIRGALGLKEGGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGIPISDIEPLVLFNVAFFFFAAINPGTGKFLTDEEEE >KJB59230 pep chromosome:Graimondii2_0_v6:9:19787631:19788497:-1 gene:B456_009G245800 transcript:KJB59230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTMLLMSSQAVSLKRDHPLLHFQAQGLKPKPVSHLFFNPLSNGVHTSPKAFTTLAIFKSKTKAAPKRVEPKPKVEDGIFGTSGGIGFTKQNELFVGRVAMLGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDPPTGIEGAVIPPGKGIRGALGLKEGGMQSAILESLTQQSCSALYYIV >KJB59580 pep chromosome:Graimondii2_0_v6:9:21606427:21613057:-1 gene:B456_009G261700 transcript:KJB59580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKFRNPRLLLIQGALGQSSSGLSSLDSLDEEKGHMKSLSEMIDMCHPNVILVEKTVSRDVQESVLAKGITLVFDMKQHRLKRVACCTGSSIIPSDHLIGQKLKQNDSYKQCDSFHIEKFVEEHACSGEGGKRPSKTLMFLEGCPKHLCCTILLKGSHSEELKKIKCVVQYAVVMAYHLILETSFLIDQKAMFSTIPLTGIADVLPADHESHALEICNMNATCLDESTAETGSHEIDIPISSGLHEEGYHVNGDQILKSGLGDSSALPGILSGLSSISVSLKKVIGSNFPLASTAPHRSLSTYLGLNGVESELTEAVPAMKSFEGSEQLDVESKSGPDQEKSLDDGQPQSFPASSEALLNLNAGGDNNEEKMQNKESINTMLDAQSILVLMSCRNALKGTICEQSHFSHIMFYRNFDVPLGKFLRDNLLNQRSQCSICGELPEAHFYYYAHHNKQLTIQVKRLPKHLPGEAEGKLWMWSRCGKCQTENGMSKSTKRVLISTAARFLSFGKFLELSFSEHNTSCGLSSCGHSPHKDFLYFFGLGPMVAMFSFSSVTTYTVSMPPQQLEFSRSIRPDWLKEESENVYTKGMVMFREVATFLVQIRSQFAGSTLNLKDSLKVFSDVEEMLELEASEFELNIQNAVANNGNANLGSHKLLSLNRLRWDLLLEACIWDRRLHSLLLPDPTVVVAGANNKAVVEQLKLHTDSADGEDNGRESKPIDGDKGSENTGNMKTYSGSLVGGNEFPGDELSSNVPVKKSEGCDSIQGSSTEVENIEKPKVDAVTKSSKPESVVAHDISVCSHFGDENYQAEDAPISGPLQVDRTIPISTDLDDNDSMIDSNESKIHGSPHSLLSSLENVNGWFWMPFSEIRQIYMKDLQRGNVPKFESISSYTPSQIPTGCQLIREEASRLRIPLGTNDYIVSDYKGELSSIIACALALLKDLPAGTEVSNEDGRRDRLVESLRSLSRVPTLTSLHWSSSGSSDSESVSSLSISSEESRFSSFDGLSLLDSLVPPDAHNIEVSLGVSKSLGKGKYSVFCLYANQFRDLRERCCPSELDYIASLSRCRNWDAKGGKSKSFFAKTLDDRFIIKEIKKTEYESFEKFALHYFKYMNQSFESGSQTCLAKVLGIYQVIVRQPKTGKETRHDLMVMENLTFGRNITRQYDLKGALHARFNSAAEGSGDVLLDQNFVNDMNSSPLYVSNQAKRLLQRAVWNDTTFLNSINVMDYSLLVGVDTERRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNLLPTVISPKEYKKRLRKFMSTYFLSVPDHWCSQGSSDPCQLCGTPNDAWSQPKSLNGISA >KJB59578 pep chromosome:Graimondii2_0_v6:9:21606372:21616229:-1 gene:B456_009G261700 transcript:KJB59578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSMCHYCGAEITKTSEDKRKLENGNALISCSGDPIRSCKLCWERQGREFVKRDGITPYSTPMISPTSSLSSSDRSYSSCSDFSVDVINSYDRVEGDQEFGSKNSHGDLNCLPNGRNPCSEGLGKRLDSSNLMSESDLRDKKDSNDMDIVRDGEITQTSNEQQAKENVIENSARPFVKESGVSQVINGEIDNQIWEPPEPEDPEDDLENSLAYDDDDDEDDECGDGTKWGKPSSLSHTDVGNGRYRFKEEKERAIKEVIDGKFKAIVSQLLKSVGVACSVSDNDSWVDIVTSLSLEAALFLKPDAIDGNAMGPDGYVKVKCIATGSRSQSQLIKGLVFKKRAAHKHMQTKFRNPRLLLIQGALGQSSSGLSSLDSLDEEKGHMKSLSEMIDMCHPNVILVEKTVSRDVQESVLAKGITLVFDMKQHRLKRVACCTGSSIIPSDHLIGQKLKQNDSYKQCDSFHIEKFVEEHACSGEGGKRPSKTLMFLEGCPKHLCCTILLKGSHSEELKKIKCVVQYAVVMAYHLILETSFLIDQKAMFSTIPLTGIADVLPADHESHALEICNMNATCLDESTAETGSHEIDIPISSGLHEEGYHVNGDQILKSGLGDSSALPGILSGLSSISVSLKKVIGSNFPLASTAPHRSLSTYLGLNGVESELTEAVPAMKSFEGSEQLDVESKSGPDQEKSLDDGQPQSFPASSEALLNLNAGGDNNEEKMQNKESINTMLDAQSILVLMSCRNALKGTICEQSHFSHIMFYRNFDVPLGKFLRDNLLNQRSQCSICGELPEAHFYYYAHHNKQLTIQVKRLPKHLPGEAEGKLWMWSRCGKCQTENGMSKSTKRVLISTAARFLSFGKFLELSFSEHNTSCGLSSCGHSPHKDFLYFFGLGPMVAMFSFSSVTTYTVSMPPQQLEFSRSIRPDWLKEESENVYTKGMVMFREVATFLVQIRSQFAGSTLNLKDSLKVFSDVEEMLELEASEFELNIQNAVANNGNANLGSHKLLSLNRLRWDLLLEACIWDRRLHSLLLPDPTVVVAGANNKAVVEQLKLHTDSADGEDNGRESKPIDGDKGSENTGNMKTYSGSLVGGNEFPGDELSSNVPVKKSEGCDSIQGSSTEVENIEKPKVDAVTKSSKPESVVAHDISVCSHFGDENYQAEDAPISGPLQVDRTIPISTDLDDNDSMIDSNESKIHGSPHSLLSSLENVNGWFWMPFSEIRQIYMKDLQRGNVPKFESISSYTPSQIPTGCQLIREEASRLRIPLGTNDYIVSDYKGELSSIIACALALLKDLPAGTEVSNEDGRRDRLVESLRSLSRVPTLTSLHWSSSGSSDSESVSSLSISSEESRFSSFDGLSLLDSLVPPDAHNIEVSLGVSKSLGKGKYSVFCLYANQFRDLRERCCPSELDYIASLSRCRNWDAKGGKSKSFFAKTLDDRFIIKEIKKTEYESFEKFALHYFKYMNQSFESGSQTCLAKVLGIYQVIVRQPKTGKETRHDLMVMENLTFGRNITRQYDLKGALHARFNSAAEGSGDVLLDQNFVNDMNSSPLYVSNQAKRLLQRAVWNDTTFLNSINVMDYSLLVGVDTERRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNLLPTVISPKEYKKRLRKFMSTYFLSVPDHWCSQGSSDPCQLCGTPNDAWSQPKSLNGISA >KJB59579 pep chromosome:Graimondii2_0_v6:9:21606372:21616235:-1 gene:B456_009G261700 transcript:KJB59579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSMCHYCGAEITKTSEDKRKLENGNALISCSGDPIRSCKLCWERQGREFVKRDGITPYSTPMISPTSSLSSSDRSYSSCSDFSVDVINSYDRVEGDQEFGSKNSHGDLNCLPNGRNPCSEGLGKRLDSSNLMSESDLRDKKDSNDMDIVRDGEITQTSNEQQAKENVIENSARPFVKESGVSQVINGEIDNQIWEPPEPEDPEDDLENSLAYDDDDDEDDECGDGTKWGKPSSLSHTDVGNGRYRFKEEKERAIKEVIDGKFKAIVSQLLKSVGVACSVSDNDSWVDIVTSLSLEAALFLKPDAIDGNAMGPDGYVKVKCIATGSRSQSQLIKGLVFKKRAAHKHMQTKFRNPRLLLIQGALGQSSSGLSSLDSLDEEKGHMKSLSEMIDMCHPNVILVEKTVSRDVQESVLAKGITLVFDMKQHRLKRVACCTGSSIIPSDHLIGQKLKQNDSYKQCDSFHIEKFVEEHACSGEGGKRPSKTLMFLEGCPKHLCCTILLKGSHSEELKKIKCVVQYAVVMAYHLILETSFLIDQKAMFSTIPLTGIADVLPADHESHALEICNMNATCLDESTAETGSHEIDIPISSGLHEEGYHVNGDQILKSGLGDSSALPGILSGLSSISVSLKKVIGSNFPLASTAPHRSLSTYLGLNGVESELTEAVPAMKSFEGSEQLDVESKSGPDQEKSLDDGQPQSFPASSEALLNLNAGGDNNEEKMQNKESINTMLDAQSILVLMSCRNALKGTICEQSHFSHIMFYRNFDVPLGKFLRDNLLNQRSQCSICGELPEAHFYYYAHHNKQLTIQVKRLPKHLPGEAEGKLWMWSRCGKCQTENGMSKSTKRVLISTAARFLSFGKFLELSFSEHNTSCGLSSCGHSPHKDFLYFFGLGPMVAMFSFSSVTTYTVSMPPQQLEFSRSIRPDWLKEESENVYTKGMVMFREVATFLVQIRSQFAGSTLNLKDSLKVFSDVEEMLELEASEFELNIQNAVANNGNANLGSHKLLSLNRLRWDLLLEACIWDRRLHSLLLPDPTVVVAGANNKAVVEQLKLHTDSADGEDNGRESKPIDGDKGSENTGNMKTYSGSLVGGNEFPGDELSSNVPVKKSEGCDSIQGSSTEVENIEKPKVDAVTKSSKPESVVAHDISVCSHFGDENYQAEDAPISGPLQVDRTIPISTDLDDNDSMIDSNESKIHGSPHSLLSSLENVNGWFWMPFSEIRQIYMKDLQRGNVPKFESISSYTPSQIPTGCQLIREEASRLRIPLGTNDYIVSDYKGELSSIIACALALLKDLPAGTEVSNEDGRRDRLVESLRSLSRVPTLTSLHWSSSGSSDSESVSSLSISSEESRFSSFDGLSLLDSLVPPDAHNIEVSLGVSKSLGKGKYSVFCLYANQFRDLRERCCPSELDYIASLSRCRNWDAKGGKSKSFFAKTLDDRFIIKEIKKTEYESFEKFALHYFKYMNQSFESGSQTCLAKVLGIYQVIVRQPKTGKETRHDLMVMENLTFGRNITRQYDLKGALHARFNSAAEGSGDVLLDQNFVNDMNSSPLYVSNQAKRLLQRAVWNDTTFLNSINVMDYSLLVGVDTERRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNLLPTVISPKEYKKRLRKFMSTYFLSVPDHWCSQGSSDPCQLCGTPNDAWSQPKSLNGISA >KJB61254 pep chromosome:Graimondii2_0_v6:9:43211630:43217137:-1 gene:B456_009G348200 transcript:KJB61254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLQFHSQSRFESDIRFASSTSCSFRPRRNFTLPRRFRPRPPSLHSHSSTSLRPLPRAFSNDPAVTEKPSICTADELHYVPLPNSDWRLALWRYHPPPQAPPRNHPLLLLSGLGTNAIGYDLSPESSFARYMSGQGFDTWILEFRGAGLSVQASNLKELKQSADAVSEQIEAIAKSVANEVSPQKQPTGVSGYLSDSEFYFLREDSIGIGTAWDEAKLVAELTEIFMRLSERLSGFLSESQSRLISTKLLDQISKLLEDSQLSERFEEVRIKLANILEKQQNSVIASQIRDLSQRLVNIIEGGQRSVSPQFIDIQERLSSTIEDFQKQLDLIVKYDWDFDHYLEEDVPAAMEYIRAQTKPKDGKLLAIGHSMGGILLYAMLSRCGSEGREPRLKAVVTMASALDYRCSKPLKVLLPLADPAQALNVPAVPFGTLLAAAYPLASRPPYVLGWLNDLISAEDMMHPELLEKLVLNNFCTIPTKLIMQLTSAFGDKGLCNRSGKLSYRDHLNKSNVPVLAIAGDQDLICPPEAVGETAKLFPENLVTYKLFGEPHGPHYAHYDLVGGRLAMEQVYPCIVQFLSQYDLTSLQKLL >KJB61253 pep chromosome:Graimondii2_0_v6:9:43211108:43217300:-1 gene:B456_009G348200 transcript:KJB61253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLQFHSQSRFESDIRFASSTSCSFRPRRNFTLPRRFRPRPPSLHSHSSTSLRPLPRAFSNDPAVTEKPSICTADELHYVPLPNSDWRLALWRYHPPPQAPPRNHPLLLLSGLGTNAIGYDLSPESSFARYMSGQGFDTWILEFRGAGLSVQASNLKELKQSADAVSEQIEAIAKSVANEVSPQKQPTGVSGYLSDSEFYFLREDSIGIGTAWDEAKLVAELTEIFMRLSERLSGFLSESQSRLISTKLLDQISKLLEDSQLSERFEEVRIKLANILEKQQNSVIASQIRDLSQRLVNIIEGGQRSVSPQFIDIQERLSSTIEDFQKQLDLIVKYDWDFDHYLEEDVPAAMEYIRAQTKPKDGKLLAIGHSMGGILLYAMLSRCGSEGREPRLKAVVTMASALDYRCSKPLKVLLPLADPAQALNVPAVPFGTLLAAAYPLASRPPYVLGWLNDLISAEDMMHPELLEKLVLNNFCTIPTKLIMQLTSAFGDKGLCNRSGKLSYRDHLNKSNVPVLAIAGDQDLICPPEAVGETAKLFPENLVTYKLFGEPHGPHYAHYDLVGGRLHQIYTSHSYCYVIDHFRGGIGRAYVTQIQV >KJB61251 pep chromosome:Graimondii2_0_v6:9:43211108:43216463:-1 gene:B456_009G348200 transcript:KJB61251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQGFDTWILEFRGAGLSVQASNLKELKQSADAVSEQIEAIAKSVANEVSPQKQPTGVSGYLSDSEFYFLREDSIGIGTAWDEAKLVAELTEIFMRLSERLSGFLSESQSRLISTKLLDQISKLLEDSQLSERFEEVRIKLANILEKQQNSVIASQIRDLSQRLVNIIEGGQRSVSPQFIDIQERLSSTIEDFQKQLDLIVKYDWDFDHYLEEDVPAAMEYIRAQTKPKDGKLLAIGHSMGGILLYAMLSRCGSEGREPRLKAVVTMASALDYRCSKPLKVLLPLADPAQALNVPAVPFGTLLAAAYPLASRPPYVLGWLNDLISAEDMMHPELLEKLVLNNFCTIPTKLIMQLTSAFGDKGLCNRSGKLSYRDHLNKSNVPVLAIAGDQDLICPPEAVGETAKLFPENLVTYKLFGEPHGPHYAHYDLVGGRLHQIYTSHSYCYVIDHFRGGIGRAYVTQIQV >KJB61252 pep chromosome:Graimondii2_0_v6:9:43211630:43215093:-1 gene:B456_009G348200 transcript:KJB61252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQGFDTWILEFRGAGLSVQASNLKELKQSADAVSEQIEAIAKSVANEVSPQKQPTGVSGYLSDSEFYFLREDSIGIGTAWDEAKLVAELTEIFMRLSERLSGFLSESQSRLISTKLLDQISKLLEDSQLSERFEEVRIKLANILEKQQNSVIASQIRDLSQRLVNIIEGGQRSVSPQFIDIQERLSSTIEDFQKQLDLIVKYDWDFDHYLEEDVPAAMEYIRAQTKPKDGKLLAIGHSMGGILLYAMLSRCGSEGREPRLKAVVTMASALDYRCSKPLKVLLPLADPAQALNVPAVPFGTLLAAAYPLASRPPYVLGWLNDLISAEDMMHPELLEKLVLNNFCTIPTKLIMQLTSAFGDKGLCNRSGKLSYRDHLNKSNVPVLAIAGDQDLICPPEAVGETAKLFPENLVTYKLFGEPHGPHYAHYDLVGGRLAMEQVYPCIVQFLSQYDLTSLQKLL >KJB61255 pep chromosome:Graimondii2_0_v6:9:43211115:43217174:-1 gene:B456_009G348200 transcript:KJB61255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLQFHSQSRFESDIRFASSTSCSFRPRRNFTLPRRFRPRPPSLHSHSSTSLRPLPRAFSNDPAVTEKPSICTADELHYVPLPNSDWRLALWRYHPPPQAPPRNHPLLLLSGLGTNAIGYDLSPESSFARYMSGQGFDTWILEFRGAGLSVQASNLKELKQSADAVSEQIEAIAKSVANEVSPQKQPTGVSGYLSDSEFYFLREDSIGIGTAWDEAKLVAELTEIFMRLSERLSGFLSESQSRLISTKLLDQISKLLEDSQLSERFEEVRIKLANILEKQQNSVIASQIRDLSQRLVNIIEGGQRSVSPQFIDIQERLSSTIEDFQKQLDLIVKYDWDFDHYLEEDVPAAMEYIRAQTKPKDGKLLAIGHSMGGILLYAMLSRCGREPRLKAVVTMASALDYRCSKPLKVLLPLADPAQALNVPAVPFGTLLAAAYPLASRPPYVLGWLNDLISAEDMMHPELLEKLVLNNFCTIPTKLIMQLTSAFGDKGLCNRSGKLSYRDHLNKSNVPVLAIAGDQDLICPPEAVGETAKLFPENLVTYKLFGEPHGPHYAHYDLVGGRLAMEQVYPCIVQFLSQYDLTSLQKLL >KJB55202 pep chromosome:Graimondii2_0_v6:9:4856372:4858568:1 gene:B456_009G068400 transcript:KJB55202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTCNACNKEFLDDADQKLHYKSDWHRYNLKRKVAGVPGVTEALFLARQSALAQEKEKQNETPMLYSCGVCGKGYRSSKAYSQHLKSRAHIMQASQGTNHPQEVKAIIKPLPRRTVNKPPQPRDRNDDESQDEWEEVDPEEELVGQAANSLTHLNVTEDGAGDEMEEEEEEEEELDPCCCFMCDLEHDTIESCMVHMHKLHGFFIPDVEYLKDPEGLLTYLGLKVKRDFMCLYCNERCHPFASLEAVRKHMAAKGHCKVHYGDGDEEEEAELEEFYDYSSRYASHFIILYIYIKLSLLTILFRLKQLRG >KJB55204 pep chromosome:Graimondii2_0_v6:9:4856384:4858568:1 gene:B456_009G068400 transcript:KJB55204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSCGVCGKGYRSSKAYSQHLKSRAHIMQASQGTNHPQEVKAIIKPLPRRTVNKPPQPRDRNDDESQDEWEEVDPEEELVGQAANSLTHLNVTEDGAGDEMEEEEEEEEELDPCCCFMCDLEHDTIESCMVHMHKLHGFFIPDVEYLKDPEGLLTYLGLKVKRDFMCLYCNERCHPFASLEAVRKHMAAKGHCKVHYGDGDEEEEAELEEFYDYSSSYVDESGKQLVAAGDTGNSVELVGGSELVITKRSDEGILSKTLGSREYMRYYRQKPRPSPANNMAITAALASRYRSMGIATVQSREQMVRMKVMKAMNRGGVEAMRTKVGVKNNVIRNLPKNVPY >KJB55201 pep chromosome:Graimondii2_0_v6:9:4856372:4858568:1 gene:B456_009G068400 transcript:KJB55201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTCNACNKEFLDDADQKLHYKSDWHRYNLKRKVAGVPGVTEALFLARQSALAQEKEKQNETPMLYSCGVCGKGYRSSKAYSQHLKSRAHIMQASQGTNHPQEVKAIIKPLPRRTVNKPPQPRDRNDDESQDEWEEVDPEEELVGQAANSLTHLNVTEDGAGDEMEEEEEEEEELDPCCCFMCDLEHDTIESCMVHMHKLHGFFIPDVEYLKDPEGLLTYLGLKVKRDFMCLYCNERCHPFASLEAVRKHMAAKGHCKVHYGDGDEEEEAELEEFYDYSSSYVDESGKQLVAAGDTGNSVELVGGSELVITKRSDEGILSKTLGSREYMRYYRQKPRPSPANNMAITAALASRYRSMGIATVQSREQMVRMKVMKAMNRGGVEAMRTKVGVKNNVIRNLPKNVPY >KJB55205 pep chromosome:Graimondii2_0_v6:9:4856339:4858869:1 gene:B456_009G068400 transcript:KJB55205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTCNACNKEFLDDADQKLHYKSDWHRYNLKRKVAGVPGVTEALFLARQSALAQEKEKQNETPMLYSCGVCGKGYRSSKAYSQHLKSRAHIMQASQGTNHPQEVKAIIKPLPRRTVNKPPQPRDRNDDESQDEWEEVDPEEELVGQAANSLTHLNVTEDGAGDEMEEEEEEEEELDPCCCFMCDLEHDTIESCMVHMHKLHGFFIPDVEYLKDPEGLLTYLGLKVKRDFMCLYCNERCHPFASLEAVRKHMAAKGHCKVHYGDGDEEEEAELEEFYDYSSSYVDESGKQLVAAGDTGNSVELVGGSELVITKRSDEGILSKTLGSREYMRYYRQKPRPSPANNMAITAALASRYRSMGIATVQSREQMVRMKVMKAMNRGGVEAMRTKVGVKNNVIRNLPKNVPY >KJB55203 pep chromosome:Graimondii2_0_v6:9:4856536:4857486:1 gene:B456_009G068400 transcript:KJB55203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTCNACNKEFLDDADQKLHYKSDWHRYNLKRKVAGVPGVTEALFLARQSALAQEKEKQNETPMLYSCGVCGKGYRSSKAYSQHLKSRAHIMQASQGTNHPQEVKAIIKPLPRRTVNKPPQPRDRNDDESQDEWEEVDPEEELVGQAANSLTHLNVTEDGAGDEMEEEEEEEEELDPCCCFMCDLEHDTIESCMVHMHKLHGFFIPDVEYLKDPEGLLTYLGLKVPKLYICFCFD >KJB55993 pep chromosome:Graimondii2_0_v6:9:7424754:7426779:-1 gene:B456_009G103100 transcript:KJB55993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGYPTFPRSSSEFLRDSLTSPDVMTSPLNFPLCPLNQLTVAESYDLVSEAIHSSGSSSSSSSSGSYNSPSSQLSCCTQKQGFLQRSVSSHSLQNKGFPCYFTSSLSEFVDSGSGPVRRAFSTGDLEQGRQSGGRRSESPLSTESSANDIIEGMNRTYRYSPEEKKERIERYRSKRNLRNFTKKIKYTCRKTLADSRPRIRGRFARNEEIEKDVAQVEWSHHIHIGGHHEEDDDENWVNFLDSLSANLINP >KJB56255 pep chromosome:Graimondii2_0_v6:9:8234203:8235900:1 gene:B456_009G113000 transcript:KJB56255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNSMLKTPKKEEQQVPDASTASEFDDTKGSYAHGEIDIIEELEGILGVENEDLSGDKVHAHLTWDFMDWETGFQNAEEEEGEEEEGDRKYFEEYNQAVKTEHLGFWEVDDEKRVSLNLNLNYQDVLDAWSDRGPLWADDYSISTATNGNAYYMGEVPMMEFEERTRREASVLRYKEKRQSRLFCKKIRYQVRKLNADKRPRLKVCPLSLL >KJB56256 pep chromosome:Graimondii2_0_v6:9:8234203:8236024:1 gene:B456_009G113000 transcript:KJB56256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNSMLKTPKKEEQQVPDASTASEFDDTKGSYAHGEIDIIEELEGILGVENEDLSGDKVHAHLTWDFMDWETGFQNAEEEEGEEEEGDRKYFEEYNQAVKTEHLGFWEVDDEKRVSLNLNLNYQDVLDAWSDRGPLWADDYSISTATNGNAYYMGEVPMMEFEERTRREASVLRYKEKRQSRLFCKKIRYQVRKLNADKRPRLKGRFVKRVS >KJB63164 pep chromosome:Graimondii2_0_v6:9:70658654:70659282:-1 gene:B456_009G455500 transcript:KJB63164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECSTTGAFLCGESIAEATSDLGISPAATQASKFIPAPSATGMHAFALVYLTKLSIRVFMDFKEALCICLDLISFPEDEEATFVTSVIFLRSSPVLRYSSSGTAAGELSPSSDPVSRSFTPLLCQDSLFTLVTTFSCDRSIHRLFTC >KJB63165 pep chromosome:Graimondii2_0_v6:9:70657721:70659932:-1 gene:B456_009G455500 transcript:KJB63165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECSTTGAFLCGESIAEATSDLGISPAATQASKFIPAPSATGMHAFALVYLTKLSIRVFMDFKEALCICLDLISFPEDEEATFVTSVIFLRSSPVLRYSSSGTAAGELSPSSDPVSRSFTPLLCQDSLFTLVTTFSWSRISVQRRIVAWSGFPLNPQWPWQTINEFPVP >KJB53335 pep chromosome:Graimondii2_0_v6:9:70689652:70689687:1 gene:B456_009G4562001 transcript:KJB53335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FERRMKDLGIE >KJB53334 pep chromosome:Graimondii2_0_v6:9:70689650:70689801:1 gene:B456_009G4562001 transcript:KJB53334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FERRMKDLGIE >KJB53336 pep chromosome:Graimondii2_0_v6:9:70689652:70689687:1 gene:B456_009G4562001 transcript:KJB53336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FERRMKDLGIE >KJB59304 pep chromosome:Graimondii2_0_v6:9:20095016:20096074:-1 gene:B456_009G248700 transcript:KJB59304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGSSMLYSFLLFTVILSLQEMYRGKLASSELFTILGGFISSLLFLVLLTFIGNFQEASGVKTGWGAVILAEAVSLIAASTVHRVCITTW >KJB59303 pep chromosome:Graimondii2_0_v6:9:20094048:20096331:-1 gene:B456_009G248700 transcript:KJB59303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGSSMLYSFLLFTVILSLQEMYRGKLASSELFTILGGFISSLLFLVLLTFIGNFQEASGVKTGWGAVILAEAVSLIAASTVHRVCITTCFLFSVGLLYEVNKISAVTVSKIESKAKRH >KJB59302 pep chromosome:Graimondii2_0_v6:9:20094014:20096449:-1 gene:B456_009G248700 transcript:KJB59302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEILYLYISKFLRIGRATKRIRALLGFKRSLVMAGSGSSMLYSFLLFTVILSLQEMYRGKLASSELFTILGGFISSLLFLVLLTFIGNFQEASGVKTGWGAVILAEAVSLIAASTVHRVCITTCFLFSVGLLYEVNKISAVTVSKIESKAKRH >KJB58302 pep chromosome:Graimondii2_0_v6:9:15777093:15785973:1 gene:B456_009G203700 transcript:KJB58302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADARRNDHVFSSEVGIPSGLNRIKTPRVLLKEQPSSKPGELNESRTSKNKQKSVAHGHGQKSGKKMARWLLSYISRNSTQAFNNVTNIEDGVSEVKTRDKEPVRTKLGYMENKNGKQSSAENACYRIVSKGLKSFSHELGPKGGIPSAYPRAHSYNDLKELFGSLHSRFDAAKEVVDTELATFAGDVMDVLQTIDSSSPEGRKMAEDLLLLAQQCVEMTCTEFRLKCETIVQDLTEKRQQCQTVLVKWLCTRMLFILTRCTRLLQFQKEKEPIDENSLNKFKKCLESIPAVEMSWSLTSEVADSHSANAVHQKAGGEHNLQGQNKVPLFPEPGGQNGITSGKGSTNSEKISAAQGSQSDFTSQEQQFCHPGGHFVGDSVTNFCYSSLHEHNHNFHGSLSEPEQTLDGSYSVICRICEEAVPISHLESHSYICAYADKCALNCIDVDECLVKLAEILELIIESRNLNSIGSPENSRMQNLSSAITSEGYSPKISEWRNKGVEGMFEDIHEMDTASIEDSHLASIDFKGHLGMRLGNHGAASSTGSMTSLSSTNTPRGSHFDSFWLERNNPSELEDVQQMVDLADIARCVADTDLLKEGSHEFLLACMQDLQDVLQHSELKALVIDTFGGRIETLLREKCILACEATDIKSPTSCIEQRENSRHLSDNASQSSTVSTPLHMSHKERTTIDDFEIIKPISRGAFGKVFLARKRTTGDLFAIKVLKKLDMIRKNDIERILAERNILIAVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLYSLLRKVGCLDEEVARTYIAELVLALEYLHSVGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLINNTIDLSGPETTGTTSLDACNLHKQQMEDRSRHSAVGTPDYLAPEILLGTEHGYAADWWSVGIILFEFITGIPPFAAECPEIIFDNILNRKIPWPSVPSEMSYEAQDLINRLLTHDPKQRLGANGATEVKAHAFFNGVNWDSLALQKAAFVPHTDSADDTSYFLSRFSQISGGIPENECSSSDNETYDSGSNTGPEMDECGDLAEFDSCPLNLSLINFSFKNLSQLASINHDVLVQSGKDSAKSSPSRGLGI >KJB58303 pep chromosome:Graimondii2_0_v6:9:15777077:15786624:1 gene:B456_009G203700 transcript:KJB58303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADARRNDHVFSSEVGIPSGLNRIKTPRVLLKEQPSSKPGELNESRTSKNKQKSVAHGHGQKSGKKMARWLLSYISRNSTQAFNNVTNIEDGVSEVKTRDKEPVRTKLGYMENKNGKQSSAENACYRIVSKGLKSFSHELGPKGGIPSAYPRAHSYNDLKELFGSLHSRFDAAKEVVDTELATFAGDVMDVLQTIDSSSPEGRKMAEDLLLLAQQCVEMTCTEFRLKCETIVQDLTEKRQQCQTVLVKWLCTRMLFILTRCTRLLQFQKEKEPIDENSLNKFKKCLESIPAVEMSWSLTSEVADSHSANAVHQKAGGEHNLQGQNKVPLFPEPGGQNGITSGKGSTNSEKISAAQGSQSDFTSQEQQFCHPGGHFVGDSVTNFCYSSLHEHNHNFHGSLSEPEQTLDGSYSVICRICEEAVPISHLESHSYICAYADKCALNCIDVDECLVKLAEILELIIESRNLNSIGSPENSRMQNLSSAITSEGYSPKISEWRNKGVEGMFEDIHEMDTASIEDSHLASIDFKGHLGMRLGNHGAASSTGSMTSLSSTNTPRGSHFDSFWLERNNPSELEDVQQMVDLADIARCVADTDLLKEGSHEFLLACMQDLQDVLQHSELKALVIDTFGGRIETLLREKCILACEATDIKSPTSCIEQRENSRHLSDNASQSSTVSTPLHMSHKERTTIDDFEIIKPISRGAFGKVFLARKRTTGDLFAIKVLKKLDMIRKNDIERILAERNILIAVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLYSLLRKVGCLDEEVARTYIAELVLALEYLHSVGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLINNTIDLSGPETTGTTSLDACNLHKQQMEDRSRHSAVGTPDYLAPEILLGTEHGYAADWWSVGIILFEFITGIPPFAAECPEIIFDNILNRKIPWPSVPSEMSYEAQDLINRLLTHDPKQRLGANGATEVKAHAFFNGVNWDSLALQKAAFVPHTDSADDTSYFLSRFSQISGGIPENECSSSDNETYDSGSNTGPEMDECGDLAEFDSCPLNLSLINFSFKNLSQLASINHDVLVQSGKDSAKSSPSRGLGI >KJB58304 pep chromosome:Graimondii2_0_v6:9:15777133:15785176:1 gene:B456_009G203700 transcript:KJB58304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADARRNDHVFSSEVGIPSGLNRIKTPRVLLKEQPSSKPGELNESRTSKNKQKSVAHGHGQKSGKKMARWLLSYISRNSTQAFNNVTNIEDGVSEVKTRDKEPVRTKLGYMENKNGKQSSAENACYRIVSKGLKSFSHELGPKGGIPSAYPRAHSYNDLKELFGSLHSRFDAAKEVVDTELATFAGDVMDVLQTIDSSSPEGRKMAEDLLLLAQQCVEMTCTEFRLKCETIVQDLTEKRQQCQTVLVKWLCTRMLFILTRCTRLLQFQKEKEPIDENSLNKFKKCLESIPAVEMSWSLTSEVADSHSANAVHQKAGGEHNLQGQNKVPLFPEPGGQNGITSGKGSTNSEKISAAQGSQSDFTSQEQQFCHPGGHFVGDSVTNFCYSSLHEHNHNFHGSLSEPEQTLDGSYSVICRICEEAVPISHLESHSYICAYADKCALNCIDVDECLVKLAEILELIIESRNLNSIGSPENSRMQNLSSAITSEGYSPKISEWRNKGVEGMFEDIHEMDTASIEDSHLASIDFKGHLGMRLGNHGAASSTGSMTSLSSTNTPRGSHFDSFWLERNNPSELEDVQQMVDLADIARCVADTDLLKEGSHEFLLACMQDLQDVLQHSELKALVIDTFGGRIETLLREKCILACEATDIKSPTSCIEQRENSRHLSDNASQSSTVSTPLHMSHKERTTIDDFEIIKPISRGAFGKVFLARKRTTGDLFAIKVLKKLDMIRKNDIERILAERNILIAVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLYSLLRKVGCLDEEVARTYIAELVLALEYLHSVGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLINNTIDLSGPETTGTTSLDACNLHKQQMEDRSRHSAVGTPDYLAPEILLGTEHGYAADWWSVGIILFEFITGIPPFAAECPEIIFDNILNRKIPWPSVPSEMSYEAQDLINRLLTHDPKQRLGANGATEVKAHAFFNGVNWDSLALQKAAFVPHTDSADDTSYFLSRFSQISGGIPENECSSSDNETYDSGSNTGPEVIF >KJB58305 pep chromosome:Graimondii2_0_v6:9:15778503:15785973:1 gene:B456_009G203700 transcript:KJB58305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWLLSYISRNSTQAFNNVTNIEDGVSEVKTRDKEPVRTKLGYMENKNGKQSSAENACYRIVSKGLKSFSHELGPKGGIPSAYPRAHSYNDLKELFGSLHSRFDAAKEVVDTELATFAGDVMDVLQTIDSSSPEGRKMAEDLLLLAQQCVEMTCTEFRLKCETIVQDLTEKRQQCQTVLVKWLCTRMLFILTRCTRLLQFQKEKEPIDENSLNKFKKCLESIPAVEMSWSLTSEVADSHSANAVHQKAGGEHNLQGQNKVPLFPEPGGQNGITSGKGSTNSEKISAAQGSQSDFTSQEQQFCHPGGHFVGDSVTNFCYSSLHEHNHNFHGSLSEPEQTLDGSYSVICRICEEAVPISHLESHSYICAYADKCALNCIDVDECLVKLAEILELIIESRNLNSIGSPENSRMQNLSSAITSEGYSPKISEWRNKGVEGMFEDIHEMDTASIEDSHLASIDFKGHLGMRLGNHGAASSTGSMTSLSSTNTPRGSHFDSFWLERNNPSELEDVQQMVDLADIARCVADTDLLKEGSHEFLLACMQDLQDVLQHSELKALVIDTFGGRIETLLREKCILACEATDIKSPTSCIEQRENSRHLSDNASQSSTVSTPLHMSHKERTTIDDFEIIKPISRGAFGKVFLARKRTTGDLFAIKVLKKLDMIRKNDIERILAERNILIAVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLYSLLRKVGCLDEEVARTYIAELVLALEYLHSVGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLINNTIDLSGPETTGTTSLDACNLHKQQMEDRSRHSAVGTPDYLAPEILLGTEHGYAADWWSVGIILFEFITGIPPFAAECPEIIFDNILNRKIPWPSVPSEMSYEAQDLINRLLTHDPKQRLGANGATEVKAHAFFNGVNWDSLALQKAAFVPHTDSADDTSYFLSRFSQISGGIPENECSSSDNETYDSGSNTGPEMDECGDLAEFDSCPLNLSLINFSFKNLSQLASINHDVLVQSGKDSAKSSPSRGLGI >KJB55406 pep chromosome:Graimondii2_0_v6:9:5341528:5342684:-1 gene:B456_009G074500 transcript:KJB55406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNFFFIFILLILALCGVSHASADLPAEVHWKSVFPHTPMPKALKHRLLHPAAGNKPWVVTRKEDSINDGDYGNADPSMGFGRGSIISRTNITMYFFENDLYPGKKVKLEYFSKAKARNEVPFLSRRVAESIPFSSDEFPGILKLFSLKPESREAKAMNRTIAMCERRVIEGEDMYCAASLESLVDLSVRKFGNDIQVLSSEVEKETENQDFSVANEGTILMGEKDIVCHKMKYPYAVFLCHSLDKTAVYRVPLVGKHGTKANALAVCHRDTSAWNPNHIAFLLLKVKPGSVPICHFLMRESLVWVPNRFAH >KJB55405 pep chromosome:Graimondii2_0_v6:9:5341346:5342757:-1 gene:B456_009G074500 transcript:KJB55405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGRGSIISRTNITMYFFENDLYPGKKVKLEYFSKAKARNEVPFLSRRVAESIPFSSDEFPGILKLFSLKPESREAKAMNRTIAMCERRVIEGEDMYCAASLESLVDLSVRKFGNDIQVLSSEVEKETENQDFSVANEGTILMGEKDIVCHKMKYPYAVFLCHSLDKTAVYRVPLVGKHGTKANALAVCHRDTSAWNPNHIAFLLLKVKPGSVPICHFLMRESLVWVPNRFAH >KJB53985 pep chromosome:Graimondii2_0_v6:9:1178182:1180818:1 gene:B456_009G014600 transcript:KJB53985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRRASSQHLNHQNLHLPSSSGMPCSSGTELLSNEPSFNLDAGMDVRDGSMKLLNPNSSVGQRHYNFGRSIFSKRSRRYYDQHYQFQNFGNHSNPSTSRAKISPLHDERLSFKFTDSSQEPGRQSDGRQKAFGRPERIRSSLLVTDPVSPDKVKMICGLCQKHLRRKPCFLGNTLASTEFSVVAVLVCGHAYHADCLEGRTSFENRRDPPCPLCSGSPSQV >KJB53984 pep chromosome:Graimondii2_0_v6:9:1178165:1180818:1 gene:B456_009G014600 transcript:KJB53984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRDGSMKLLNPNSSVGQRHYNFGRSIFSKRSRRYYDQHYQFQNFGNHSNPSTSRAKISPLHDERLSFKFTDSSQEPGRQSDGRQKAFGRPERIRSSLLVTDPVSPDKVKMICGLCQKHLRRKPCFLGNTLASTEFSVVAVLVCGHAYHADCLEGRTSFENRRDPPCPLCSGSPSQV >KJB53987 pep chromosome:Graimondii2_0_v6:9:1178642:1180818:1 gene:B456_009G014600 transcript:KJB53987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGKFIAGMPCSSGTELLSNEPSFNLDAGMDVRDGSMKLLNPNSSVGQRHYNFGRSIFSKRSRRYYDQHYQFQNFGNHSNPSTSRAKISPLHDERLSFKFTDSSQEPGRQSDGRQKAFGRPERIRSSLLVTDPVSPDKVKMICGLCQKHLRRKPCFLGNTLASTEFSVVAVLVCGHAYHADCLEGRTSFENRRDPPCPLCSGSPSQV >KJB53983 pep chromosome:Graimondii2_0_v6:9:1177987:1180818:1 gene:B456_009G014600 transcript:KJB53983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRRASSQHLNHQNLHLPSSSAGMPCSSGTELLSNEPSFNLDAGMDVRDGSMKLLNPNSSVGQRHYNFGRSIFSKRSRRYYDQHYQFQNFGNHSNPSTSRAKISPLHDERLSFKFTDSSQEPGRQSDGRQKAFGRPERIRSSLLVTDPVSPDKVKMICGLCQKHLRRKPCFLGNTLASTEFSVVAVLVCGHAYHADCLEGRTSFENRRDPPCPLCSGSPSQV >KJB53986 pep chromosome:Graimondii2_0_v6:9:1178428:1180818:1 gene:B456_009G014600 transcript:KJB53986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKCSKFAGMPCSSGTELLSNEPSFNLDAGMDVRDGSMKLLNPNSSVGQRHYNFGRSIFSKRSRRYYDQHYQFQNFGNHSNPSTSRAKISPLHDERLSFKFTDSSQEPGRQSDGRQKAFGRPERIRSSLLVTDPVSPDKVKMICGLCQKHLRRKPCFLGNTLASTEFSVVAVLVCGHAYHADCLEGRTSFENRRDPPCPLCSGSPSQV >KJB55289 pep chromosome:Graimondii2_0_v6:9:6468541:6470583:-1 gene:B456_009G088600 transcript:KJB55289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGIEVKSGQPIKADPGANYVIHLSQASLGESKNKAESVPLYVNVDGKKLILGTLSHQSCPQLSFDLVFDEEFELSHNWKNGSVYFLGMSSEEESEEEEDEELPVAAAAAAAVNGKAKPDAKTAKANAGKPDAVKQSGKIAEPSNNRKSEDEDDDDESEDESGSDEEDDSDDDDESDEMSMDESSDDEDEETPKKVESSKKRPVESSKKRPAEAATPASAKKAKSAVTPQKTDGKKGGHTATPHPAKQSGKNSAKSPKSGRSGLRAV >KJB55288 pep chromosome:Graimondii2_0_v6:9:6468541:6470583:-1 gene:B456_009G088600 transcript:KJB55288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGIEVKSGQPIKADPGANYVIHLSQASLGESKNKAESVPLYVNVDGKKLILGTLSHQSCPQLSFDLVFDEEFELSHNWKNGSVYFLGMSSEEESEEEEDEELPVAAAAAAAVNGKAKPDAKTAKANAGKPDAVKQSGKIAEPSNNRKSEDEDDDDESEDESGSDEEDDSDDDDESDEMSMDESSDDEDEETPKKVESSKKRPVESSKKRPAEAATPASAKKAKSAVTPQKTDGKKGGHTATPHPAKQSGKNSAKSPKSGGQFSCGSCRSGLRAV >KJB55291 pep chromosome:Graimondii2_0_v6:9:6468207:6470583:-1 gene:B456_009G088600 transcript:KJB55291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGIEVKSGQPIKADPGANYVIHLSQASLGESKNKAESVPLYVNVDGKKLILGTLSHQSCPQLSFDLVFDEEFELSHNWKNGSVYFLGMSSEEESEEEEDEELPVAAAAAAAVNGKAKPDAKTAKANAGKPDAVKQSGKIAEPSNNRKSEDEDDDDESEDESGSDEEDDSDDDDESDEMSMDESSDDEDEETPKKVESSKKRPVESSKKRPAEAATPASAKKAKSAVTPQKTDGKKGGHTATPHPAKQSGKNSAKSPKSFGSEGGLESHKKAKHGGK >KJB55285 pep chromosome:Graimondii2_0_v6:9:6468177:6470775:-1 gene:B456_009G088600 transcript:KJB55285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGIEVKSGQPIKADPGANYVIHLSQASLGESKNKAESVPLYVNVDGKKLILGTLSHQSCPQLSFDLVFDEEFELSHNWKNGSVYFLGYKTFIPEEGGMSSEEESEEEEDEELPVAAAAAAAVNGKAKPDAKTAKANAGKPDAVKQSGKIAEPSNNRKSEDEDDDDESEDESGSDEEDDSDDDDESDEMSMDESSDDEDEETPKKVESSKKRPVESSKKRPAEAATPASAKKAKSAVTPQKTDGKKGGHTATPHPAKQSGKNSAKSPKSFGSEGGLESHKKAKHGGK >KJB55287 pep chromosome:Graimondii2_0_v6:9:6468207:6470583:-1 gene:B456_009G088600 transcript:KJB55287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGIEVKSGQPIKADPGANYVIHLSQASLGESKNKAESVPLYVNVDGKKLILGTLSHQSCPQLSFDLVFDEEFELSHNWKNGSVYFLGMSSEEESEEEEDEELPVAAAAAAAVNGKAKPDAKTAKANAGKPDAVKQSGKIAEPSNNRKSEDEDDDDESEDESGSDEEDDSDDDDESDEMSMDESSDDEDEETPKKTCRVKQEEAC >KJB55292 pep chromosome:Graimondii2_0_v6:9:6468947:6470583:-1 gene:B456_009G088600 transcript:KJB55292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGIEVKSGQPIKADPGANYVIHLSQASLGESKNKAESVPLYVNVDGKKLILGTLSHQSCPQLSFDLVFDEEFELSHNWKNGSVYFLGMSSEEESEEEEDEELPVAAAAAAAVNGKAKPDAKTAKANAGKPDAVKQSGKIAEPSNNRKSEDEDDDDESEDESGSDEEDDSDDDDESDEMSMDESSDDEDEETPKKTCRVKQEEAC >KJB55290 pep chromosome:Graimondii2_0_v6:9:6468207:6470583:-1 gene:B456_009G088600 transcript:KJB55290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGIEVKSGQPIKADPGANYVIHLSQASLGESKNKAESVPLYVNVDGKKLILGTLSHQSCPQLSFDLVFDEEFELSHNWKNGSVYFLGMSSEEESEEEEDEELPVAAAAAAAVNGKAKPDAKTAKANAGKPDAVKQSGKIAEPSNNRKSEDEDDDDESEDESGSDEEDDSDDDDESDETCRVKQEEAC >KJB55283 pep chromosome:Graimondii2_0_v6:9:6468506:6470583:-1 gene:B456_009G088600 transcript:KJB55283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGIEVKSGQPIKADPGANYVIHLSQASLGESKNKAESVPLYVNVDGKKLILGTLSHQSCPQLSFDLVFDEEFELSHNWKNGSVYFLGYKTFIPEEGGMSSEEESEEEEDEELPVAAAAAAAVNGKAKPDAKTAKANAGKPDAVKQSGKIAEPSNNRKSEDEDDDDESEDESGSDEEDDSDDDDESDEMSMDESSDDEDEETPKKVESSKKRPVESSKKRPAEAATPASAKKAKSAVTPQKTDGKKGGHTATPHPAKQSGKNSAKSPKSGGQFSCGSCNKSFGSEGGLESHKKAKHGGK >KJB55293 pep chromosome:Graimondii2_0_v6:9:6468541:6470583:-1 gene:B456_009G088600 transcript:KJB55293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGIEVKSGQPIKADPGANYVIHLSQASLGESKNKAESVPLYVNVDGKKLILGTLSHQSCPQLSFDLVFDEEFELSHNWKNGSVYFLGMSSEEESEEEEDEELPVAAAAAAAVNGKAKPDAKTAKANAGKPDAVKQSGKIAEPSNNRKSEDEDDDDESEDESGSDEEDDSDDDDESDEMSMDESSDDEDEETPKKVESSKKRPVESSKKRPAEAATPASAKKAKSAVTPQKTDGKKGGHTATPHPAKQSGKNSAKSPKSGGQFSCGRSGLRAV >KJB55284 pep chromosome:Graimondii2_0_v6:9:6468541:6470583:-1 gene:B456_009G088600 transcript:KJB55284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGIEVKSGQPIKADPGANYVIHLSQASLGESKNKAESVPLYVNVDGKKLILGTLSHQSCPQLSFDLVFDEEFELSHNWKNGSVYFLGYKTFIPEEGGMSSEEESEEEEDEELPVAAAAAAAVNGKAKPDAKTAKANAGKPDAVKQSGKIAEPSNNRKSEDEDDDDESEDESGSDEEDDSDDDDESDEMSMDESSDDEDEETPKKVESSKKRPVESSKKRPAEAATPASAKKAKSAVTPQKTVRLQMVRRVDTQQHRIQQSNLGKILQSLQSLVVNSLVVLVTSRSGLRAV >KJB55286 pep chromosome:Graimondii2_0_v6:9:6468947:6470583:-1 gene:B456_009G088600 transcript:KJB55286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGIEVKSGQPIKADPGANYVIHLSQASLGESKNKAESVPLYVNVDGKKLILGTLSHQSCPQLSFDLVFDEEFELSHNWKNGSVYFLGMSSEEESEEEEDEELPVAAAAAAAVNGKAKPDAKTAKANAGKPDAVKQSGKIAEPSNNRKSEDEDDDDESEDESGSDEEDDSDDDDESDEMSMDESSDDEDEETPKKTCRVKQEEAC >KJB60095 pep chromosome:Graimondii2_0_v6:9:26210228:26212408:-1 gene:B456_009G298700 transcript:KJB60095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFSRMFLKVQMPWNVIIPADSLDAKGLMLKKAIVIRLMDEFACKKATKDRGYFMAVTTLESIGEGRVRQNTGDVLFPVVFSGITFKMYRGEILQGVVHKILKHGVFLRCGPVQNIYLSHIKMPDYHYVPGENGIFMNDKHSKIDKDVIVRFIVIGTKWMEAEREFQALVSLEGDYLGPVS >KJB60092 pep chromosome:Graimondii2_0_v6:9:26210192:26212507:-1 gene:B456_009G298700 transcript:KJB60092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTGRYQFHSLAARTLSLFIVQMKSFSRMFLKVQMPWNVIIPADSLDAKGLMLKKAIVIRLMDEFACKKATKDRGYFMAVTTLESIGEGRVRQNTGDVLFPVVFSGITFKMYRGEILQGVVHKILKHGVFLRCGPVQNIYLSHIKMPDYHYVPGENGIFMNDKHSKIDKDVIVRFIVIGTKWMEAEREFQALVSLEGDYLGPVS >KJB60094 pep chromosome:Graimondii2_0_v6:9:26210192:26212615:-1 gene:B456_009G298700 transcript:KJB60094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKVQMPWNVIIPADSLDAKGLMLKKAIVIRLMDEFACKKATKDRGYFMAVTTLESIGEGRVRQNTGDVLFPVVFSGITFKMYRGEILQGVVHKILKHGVFLRCGPVQNIYLSHIKMPDYHYVPGENGIFMNDKHSKIDKDVIVRFIVIGTKWMEAEREFQALVSLEGDYLGPVS >KJB60093 pep chromosome:Graimondii2_0_v6:9:26210592:26211122:-1 gene:B456_009G298700 transcript:KJB60093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKVQMPWNVIIPADSLDAKGLMLKKAIVIRLMDEFACKKATKDRGYFMAVTTLESIGEGRVRQNTGDVLFPVVFSGITFKMYRGEILQGVVHKILKHGVFLRCGPVQNIYLSHIKMPDYHYVPGENGIFMNDKHSKIDKDVIVRFIVIGTKWMEAEREFQALVSLEGDYLGPVS >KJB60590 pep chromosome:Graimondii2_0_v6:9:29471057:29474299:-1 gene:B456_009G314000 transcript:KJB60590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRIKFLLCIVAVITLASMSFKPAMAAMGNETDRLALLALKDELLGGSHGGPLLSWNASLHFCEWQGVGCGQQQQRVISLSLPGLKLGGIISPSIGNLSFLREANLFNNSLKGNIPREFGHLRQLRSLNLSRNNLQGNIPVELNNCSNLQFLNLSDNSFTGKIPFQLGDTMKNLIKLSLARNDFIGTIPSSLGNLSSLDYLQLGNNHLEGNIPISLGRLSNLKILVLSRNNLSGIIPPSFYNLSAMKEIRMSTNKLHGGLEPELGFAFPELEILHLGGNHLSGRIPVAVTNISSLKQFDIHSNGFSGLVPGDMGKFQNLELFSINYNELGNGEEGDLDFLSSLTNCSRLKLLAIHMNKLSGVLPDSMANLSTQLETLYIGGNQISGNIPEGIGNLVKLAQIHVGENLLTGEVPTSIGKLRNVGRFDLSLNRLSGEIPSCIGNLSLLSHLYLNGNSFEGRIPLTLEKCKSMQIMDLSTNKLSGGIPDQLVAGFERLVTLNLSHNSFNGSFPSGVSNSKNLVELYADNNNFSGEIPERFGEISELRILHMQGNYFHGSIPQSLASLRGLESLDLSSNNLSGTVPLELRNLPFLVSLNLSFNLLEGEVPEEGVFKNISQFSIAGNKDLCGGIPEIELPKCLNQAEKGKRNGLSTKSIIVIVISLSLALASVAFISILCWRKRFGKKMIPLTLEPVNLMQVSYRELVQATNGFATSNIIGEGSFGSVYKGFLDQQENPVAIKVLNLQNLGAVKSFAVECKALRNVRHRNLVKLITCCSSIDYQGNDFKAIVLEFMANGSLESWLHHEHDQDHSSHHLNFAQMLDIAIDVANALDYLHHRCQTPIVHRDLKPTNVLLGDDMVAHVGDFGMAKFLFDVASNLDNEQAISSIIKGTIGYLAPEYGMGGSTSPEGDIYSYGILVLEMITRKRPTDDMFDDEMSLHSYCKMSLPEKLEEIFDFRLLEQIKEKSQKIIGDQNIDCNMLDCLVSFTNVGVACSVEVPVERMKIEDVVNELHAIKARLHARNRIDPQQEIKELEV >KJB54723 pep chromosome:Graimondii2_0_v6:9:3361291:3365285:-1 gene:B456_009G046400 transcript:KJB54723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACGGTAMMGSLQQPMRVNKPAFPLKGSRFVGYPHQVKLNSVKPCRAQVEGSLATGRPSSSVSASVLENSLVDHGLSEADPEVSSIIKKEKERQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQKRALAAFHLDKKKWGINVQPLSGSPANFEVYTAILNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGLVDYDMLEKTATLFRPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVVANPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKAYQNQVVSNCRALANRLVELGYTLVSGGTDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFKEKEFIAIADFIHEGVQITIDAKGLVSGSSKLQEFLKFAVSSDFVLTDKVSSLCSRVEALTTQFPIPGV >KJB54721 pep chromosome:Graimondii2_0_v6:9:3361431:3365252:-1 gene:B456_009G046400 transcript:KJB54721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACGGTAMMGSLQQPMRVNKPAFPLKGSRFVGYPHQVKLNSVKPCRAQVEGSLATGRPSSSVSASVLENSLVDHGLSEADPEVSSIIKKEKERQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQKRALAAFHLDKKKWGINVQPLSGSPANFEVYTAILNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGLVDYDMLEKTATLFRPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVVANPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKAYQNQVVSNCRALANRLVELGYTLVSGGTDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFKEKEFIAIADFIHEGVQITIDAKGLVSGSSKLQEFLKFAVSSDFVLTDKVSSLCSRVEALTTQFPIPGV >KJB54722 pep chromosome:Graimondii2_0_v6:9:3361355:3364873:-1 gene:B456_009G046400 transcript:KJB54722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHKQVFRRTTWYYGGNEYIDELEILCQKRALAAFHLDKKKWGINVQPLSGSPANFEVYTAILNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGLVDYDMLEKTATLFRPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVVANPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKAYQNQVVSNCRALANRLVELGYTLVSGGTDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFKEKEFIAIADFIHEGVQITIDAKGLVSGSSKLQEFLKFAVSSDFVLTDKVSSLCSRVEALTTQFPIPGV >KJB60520 pep chromosome:Graimondii2_0_v6:9:28597493:28601501:1 gene:B456_009G309900 transcript:KJB60520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFTCILLICILGPFSSFGRAAFNLSLPHQHPHPESVVHDVQRRLNVSISRRQALSVSQKDQCLTGNPIDDCWRCDPNWYNNRQRLADCSIGFGQGTLGGKGGRIYTVVDSSDNDVANPKPGTLRHAVIQDEPLWIIFSTNMVIKLKHELIFNSFKTVDGRGVTVHVTGNGCITLQYVSHVIIHNIHVHHCKPSGNADIASSPTHVGWRGRSDGDGISIFSSQKIWIDHCALSYCTDGLIDAIMGSTGITISNNYFSHHNEVMLLGHDDRHLADSGMQVTIAFNHFGEALVQRMPRCRLGYIHVVNNDFTAWEMYAIGGSANPTINSQGNRYTAPVDPNAKEVTKRVDTDEREWASWNWRTEGDLMVNGAYFVPSGADVSPQYAKASSIQPKSAAFIQQLTFTAGVFGESSEETGSYTYPGYTGNTVPSGTGTTTTGSAGPTDDGNFFGMIFGSGAAPSPTPPALTSMASTFLSLLIILILCSITNQGALPPLLSLLLL >KJB60521 pep chromosome:Graimondii2_0_v6:9:28598038:28601493:1 gene:B456_009G309900 transcript:KJB60521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKLKHELIFNSFKTVDGRGVTVHVTGNGCITLQYVSHVIIHNIHVHHCKPSGNADIASSPTHVGWRGRSDGDGISIFSSQKIWIDHCALSYCTDGLIDAIMGSTGITISNNYFSHHNEVMLLGHDDRHLADSGMQVTIAFNHFGEALVQRMPRCRLGYIHVVNNDFTAWEMYAIGGSANPTINSQGNRYTAPVDPNAKEVTKRVDTDEREWASWNWRTEGDLMVNGAYFVPSGADVSPQYAKASSIQPKSAAFIQQLTFTAGVFGESSEETGSYTYPGYTGNTVPSGTGTTTTGSAGPTDDGNFFGMIFGSGAAPSPTPPALTSMASTFLSLLIILILCSITNQGALPPLLSLLLL >KJB54655 pep chromosome:Graimondii2_0_v6:9:3162403:3166053:1 gene:B456_009G043400 transcript:KJB54655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPEGSQFDARQYDAKMDELEAVGQDFFTSYDEVYDTFDVMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYSLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNARDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTTDDERMLYDIQRFYNVVIEELPSNVADLI >KJB54656 pep chromosome:Graimondii2_0_v6:9:3162244:3166053:1 gene:B456_009G043400 transcript:KJB54656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPEGSQFDARQYDAKMDELEAVGQDFFTSYDEVYDTFDVMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYSLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNARDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTTDDERMLYDIQRFYNVVIEELPSNVADLI >KJB62401 pep chromosome:Graimondii2_0_v6:9:63731652:63736295:1 gene:B456_009G415000 transcript:KJB62401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVKREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERISKETHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPIAKEVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRSENPEAMEEDFEDEVAEIKPAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFAETGSRTAASDPFAAAAGGADEDDLYS >KJB62398 pep chromosome:Graimondii2_0_v6:9:63731621:63736382:1 gene:B456_009G415000 transcript:KJB62398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQAESSDSKGAKKDFSTAILERKKAANRLVVDEAINDDNSVVSLHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVKREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERISKETHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPIAKEVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRSENPEAMEEDFEDEVAEIKPAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFAETGSRTAASDPFAAAAGGADEDDLYS >KJB62400 pep chromosome:Graimondii2_0_v6:9:63731652:63736295:1 gene:B456_009G415000 transcript:KJB62400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQAESSDSKGAKKDFSTAILERKKAANRLVVDEAINDDNSVVSLHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVKREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERISKETHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVSNEHFQTALGTSNPSALRETVIPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPIAKEVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRSENPEAMEEDFEDEVAEIKPAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFAETGSRTAASDPFAAAAGGADEDDLYS >KJB62402 pep chromosome:Graimondii2_0_v6:9:63733164:63736295:1 gene:B456_009G415000 transcript:KJB62402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVKREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERISKETHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPIAKEVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRSENPEAMEEDFEDEVAEIKPAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFAETGSRTAASDPFAAAAGGADEDDLYS >KJB62399 pep chromosome:Graimondii2_0_v6:9:63731652:63736295:1 gene:B456_009G415000 transcript:KJB62399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQAESSDSKGAKKDFSTAILERKKAANRLVVDEAINDDNSVVSLHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVKREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERISKETHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQVHSSLFSSIIFMNWLAFSSMASYGFLCMDYSSNQNMLIFVNSWHSLPCPSFDAALC >KJB60287 pep chromosome:Graimondii2_0_v6:9:26169723:26171290:-1 gene:B456_009G298400 transcript:KJB60287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEANEEKRKHGGEVEAKAKTFGWKKMKANQFGLIWGYEIDGKFLGRRRQSILVSKCEEENGRYNLIFYLKICFPNDYWIQFHPFNSFVSWFGDLEIGLKETMEHGSFTDNSAATFSLTDEDHTIANAVRFNLNQDPRVTFCGYSIPHPSEARVNIRVQTTGDPAREVLKDACQNLMLMCRNVRCTFDKAVEDFKASNVVKAMKIDSQDSSGDDSEESE >KJB59839 pep chromosome:Graimondii2_0_v6:9:23044460:23045101:-1 gene:B456_009G275100 transcript:KJB59839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVNGCSSSSSCDTSSSSSSKSSLSAMASSKSEKIKGPWSAEEDRILTRFVEKYGPRNWSLISRYIKGRSGKSCRLRWCNQLSPSVEHRPFSQAEDETILAAHARFGNRWATIARLLHGRTDNAVKNHWNSTLKRKAKESKQQQQRKEQISMSTYQQMDEEALSTSLTLAPPGSGTASVAERRISVSAELWDVMRDVVAREVREYMSSTSSH >KJB60407 pep chromosome:Graimondii2_0_v6:9:27384646:27389768:1 gene:B456_009G304000 transcript:KJB60407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETSLPKWASTPCIMGIDEAGRGPVLGPMVYGCLYCARSYQKTLATLNFADSKTLKEEKREELFENLKADESIGWSVDVIDPRELSAKMLKKNKVNLNEISHDSAIGLINRVLNMGILLTEVYLDTVGDADKYRIKLSERFPSIKFVVAKKADSLYPVVSGASIVAKVTRDRALREWVLEETSENMHGSFGSGYPGG >KJB60410 pep chromosome:Graimondii2_0_v6:9:27385487:27389768:1 gene:B456_009G304000 transcript:KJB60410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKNKVNLNEISHDSAIGLINRVLNMGILLTEVYLDTVGDADKYRIKLSERFPSIKFVVAKKADSLYPVVSGASIVAKVTRDRALREWVLEETSENMHGSFGSGYPGDPETKAWLAHHKHSVFGFPTLVRFSWGTCTSYYKDMVEVLWESDKVDEDVGYGSSGKQLKLSNVGFGKAAKRKIEEIESSGKGRCKFFHSRKLEQLTHF >KJB60409 pep chromosome:Graimondii2_0_v6:9:27385066:27389768:1 gene:B456_009G304000 transcript:KJB60409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKNKVNLNEISHDSAIGLINRVLNMGILLTEVYLDTVGDADKYRIKLSERFPSIKFVVAKKADSLYPVVSGASIVAKVTRDRALREWVLEETSENMHGSFGSGYPGDPETKAWLAHHKHSVFGFPTLVRFSWGTCTSYYKDMVEVLWESDKVDEDVGYGSSGKQLKLSNVGFGKAAKRKIEEIESSGKGRCKFFHSRKLEQLTHF >KJB60406 pep chromosome:Graimondii2_0_v6:9:27384536:27389770:1 gene:B456_009G304000 transcript:KJB60406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETSLPKWASTPCIMGIDEAGRGPVLGPMVYGCLYCARSYQKTLATLNFADSKTLKEEKREELFENLKADESIGWSVDVIDPRELSAKMLKKNKVNLNEISHDSAIGLINRVLNMGILLTEVYLDTVGDADKYRIKLSERFPSIKFVVAKKADSLYPVVSGASIVAKVTRDRALREWVLEETSENMHGSFGSGYPGDPETKAWLAHHKHSVFGFPTLVRFSWGTCTSYYKDMVEVLWESDKVDEDVGYGSSGKQLKLSNVGFGKAAKRKIEEIESSGKGRCKFFHSRKLEQLTHF >KJB60408 pep chromosome:Graimondii2_0_v6:9:27384881:27389768:1 gene:B456_009G304000 transcript:KJB60408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYGCLYCARSYQKTLATLNFADSKTLKEEKREELFENLKADESIGWSVDVIDPRELSAKMLKKNKVNLNEISHDSAIGLINRVLNMGILLTEVYLDTVGDADKYRIKLSERFPSIKFVVAKKADSLYPVVSGASIVAKVTRDRALREWVLEETSENMHGSFGSGYPGDPETKAWLAHHKHSVFGFPTLVRFSWGTCTSYYKDMVEVLWESDKVDEDVGYGSSGKQLKLSNVGFGKAAKRKIEEIESSGKGRCKFFHSRKLEQLTHF >KJB55338 pep chromosome:Graimondii2_0_v6:9:5089904:5090964:-1 gene:B456_009G071700 transcript:KJB55338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLHSYFLSAGECVSGSMVLAVAMSIASYFLIPMVFGGRHKNWKNAPPGPVGWPILGSLPHLSNRLHEDFFHMAKIYGPLFSLNLGIKPAIVVSSPEMAAQVLKEKEGMFSSRTITETIRVISYDAHSIIFSPYGPRWKVLRRILITELLSPKAFEQFEPLRTSQVHGLLKYLYLVSKSNTQVNIAEYAFTALANLVSNFVCSKDLFDNSMPEGRKMKERFWELIKVIGTPNFSDLIPIVKPFDPQGLKRKINKIFGQLDAFYEKLIEERLAEKGKAQLDGTIPYKRKMDMLDVLLSYESNDKENGLDRLPKSIVKGMLSVSTYI >KJB55339 pep chromosome:Graimondii2_0_v6:9:5088986:5091200:-1 gene:B456_009G071700 transcript:KJB55339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLHSYFLSAGECVSGSMVLAVAMSIASYFLIPMVFGGRHKNWKNAPPGPVGWPILGSLPHLSNRLHEDFFHMAKIYGPLFSLNLGIKPAIVVSSPEMAAQVLKEKEGMFSSRTITETIRVISYDAHSIIFSPYGPRWKVLRRILITELLSPKAFEQFEPLRTSQVHGLLKYLYLVSKSNTQVNIAEYAFTALANLVSNFVCSKDLFDNSMPEGRKMKERFWELIKEMFIAGTETTSSTVEWGMAEILRKPSVLKKLLLELDQVVGKDRFVVESDIPKLAYLQAVVKEVFRLHPGVPLIIPRRTNEACEVAGYHIPKHCIVYVNVWGMARDPNVWEDPLEFKPERFIGSSVDVKGQDFNLLPFGTGRRSCVGWPLAHRMVHYYLAALLHAFQWESPPDVLNDLGERVGLTIQKGKSLLSTPKPRLPASVYER >KJB55337 pep chromosome:Graimondii2_0_v6:9:5088970:5091231:-1 gene:B456_009G071700 transcript:KJB55337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLHSYFLSAGECVSGSMVLAVAMSIASYFLIPMVFGGRHKNWKNAPPGPVGWPILGSLPHLSNRLHEDFFHMAKIYGPLFSLNLGIKPAIVVSSPEMAAQVLKEKEGMFSSRTITETIRVISYDAHSIIFSPYGPRWKVLRRILITELLSPKAFEQFEPLRTSQVHGLLKYLYLVSKSNTQVNIAEYAFTALANLVSNFVCSKDLFDNSMPEGRKMKERFWELIKVIGTPNFSDLIPIVKPFDPQGLKRKINKIFGQLDAFYEKLIEERLAEKGKAQLDGTIPYKRKMDMLDVLLSYESNDKENGLDRLPKSIVKGMLSEMFIAGTETTSSTVEWGMAEILRKPSVLKKLLLELDQVVGKDRFVVESDIPKLAYLQAVVKEVFRLHPGVPLIIPRRTNEACEVAGYHIPKHCIVYVNVWGMARDPNVWEDPLEFKPERFIGSSVDVKGQDFNLLPFGTGRRSCVGWPLAHRMVHYYLAALLHAFQWESPPDVLNDLGERVGLTIQKGKSLLSTPKPRLPASVYER >KJB60035 pep chromosome:Graimondii2_0_v6:9:24406325:24409837:1 gene:B456_009G286600 transcript:KJB60035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPTLFAGTHFLFFYLFVFRTLLVTSLSPDGEALLSLLSAADPSAKASSPVLSSWNPKSSTPCLWQGITCSPQNRVISLSLPNIFLNISSLPSQLSSLSSLQLLNLSSTNISGSIPPSFGKLVHLRLLDLSSNSLTGSIPQELGQLSLLQFLFLNSNGLSGGIPPQLGNLTSLQVLCLQDNLLNGSIPSQMGSLVSLQQFRIGGNPDLTGEIPTQLGLLTNLTTFGAAATGLSGSIPSTFGNLINLQTLSLYDTEVSGSIPPELGLCSELRNLYLHMNKLTGSIPPQLGKLQKVTSLLLWGNALTGSIPAEISNCSSLVVLDASANDLSGKIPSDIGKLGVLEQLHLSDNSLIGLIPWEISNCSSLTTLQLDKNKLSGAIPWQIGNLKQLQSFFLWGNSVSGTIPSSFGNCTELYSIDLSRNKLTGSIPEDIFSLKKLSKLLLLGNSLSGKLPRSVSNCQSLVRLRLGENRLSGQIPKEIGQLQNLVFLDLYMNHFTGSLPSEIANITVLELLDVHNNHINGEIPSQLGELVNLEQLDLSRNSFTGAIPLSFGNFSYLNKLILNSNLLTGSIPKSFQNLQKLTLLDLSYNRLSGEIPSEIGYITSLTISLDLSSNMFTGEIPESMSGLRQLQSLDLSHNMLHGRIKVLGSLTSLTFLNISFNNFSGPIPVTPFFRTLSYNSYLQNLNLCESVDGSTCSSSLMRKTRLRSAKTVALVSVILASVTIAVLASWYLVTRNHRYMMEKSAGASSSSPGAEDFSYPWTFIPFQRLNFTIDNILDCLKDENVIGKGCSGIVYKAEMPNGELIAVKKLWRTKDDEEPTVDSFAAEIQILGHIRHRNIVKLLGYCSNKSVKLLLYNYIPNGNLQQLLRGNRNLSWEVRYKIAVGSAQGLAYLHHDCKPAILHRDVKCNNILLDSKFEAYLADFGLAKLMNSPNYHHAMSRVAGSYGYIAPEYGYTMNITEKSDVYSYGVVLLEILSGRSAVESQVGDGVHIVEWVKKKMGSFEPAASILDAKLRGMPDQLVQEMLQTLGIAMFCVNSSPAERPTMKEVVALLMEVKTQPEEWGKTSQPLIKQSSNQS >KJB62595 pep chromosome:Graimondii2_0_v6:9:66658849:66660728:1 gene:B456_009G425000 transcript:KJB62595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKLEAIHGGGGSIKLGTKGTISSLMTKELDSIKTPKQAPPRHKSQAIPASVACSSTTLKRLQPRKSLDGAGTCRGRNSTSYKSPQSTKNVHQMPMLGSENVALERTPIRQKSDKKVVSNIVEVVDIKCGNSDRAWSTPITNRLKKLGFSKLSETIV >KJB62596 pep chromosome:Graimondii2_0_v6:9:66658878:66660728:1 gene:B456_009G425000 transcript:KJB62596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKLEAIHGGGGSIKLGTKGTISSLMTKELDSIKTPKQAPPRHKSQAIPASVACSSTTLKRLQPRKSLDGAGTCRGRNSTSYKSPQSTKNVHQMPMLGSENVALERTPIRQKSDKKVVSNIVEVVDIKCGNSDRAWSTPITNRLKKLGFSKLSETIV >KJB62594 pep chromosome:Graimondii2_0_v6:9:66658849:66660728:1 gene:B456_009G425000 transcript:KJB62594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSALGEESSNMVHKLEAIHGGGGSIKLGTKGTISSLMTKELDSIKTPKQAPPRHKSQAIPASVACSSTTLKRLQPRKSLDGAGTCRGRNSTSYKSPQSTKNVHQMPMLGSENVALERTPIRQKSDKKVVSNIVEVVDIKCGNSDRAWSTPITNRLKKLGFSKLSETIV >KJB58270 pep chromosome:Graimondii2_0_v6:9:15681178:15683374:1 gene:B456_009G202100 transcript:KJB58270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCPVKNQKGQGQCPIVYMVASGRPFNSEDYMALTPSWAIYGAEESRYYPNQSWYRKKVTSKVKKLSNSELYQYRAA >KJB59519 pep chromosome:Graimondii2_0_v6:9:32548478:32550172:-1 gene:B456_009G326200 transcript:KJB59519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINPPTKSVEIKEKDLFKAAEKGDSSIFKSLSQDHLTKSLKLRNEDARSLLHVAVSSAHPEVVKLLSAAADESVVNGIDEEGWAPIHSAASIGNLELMEILLSKGANVNVKNDGGRTALHYAASKGWLKIAELLISHGAKINSKDKVGCTPLHRAASTGKSALCELLIEEGAEVDATDRAGQTPLMSAVICQNKEVALLLIRHGADVDIEDKEGYTVLGLASNDFRSILIDAAKAMLEG >KJB59522 pep chromosome:Graimondii2_0_v6:9:32548713:32550172:-1 gene:B456_009G326200 transcript:KJB59522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINPPTKSVEIKEKDLFKAAEKGDSSIFKSLSQDHLTKSLKLRNEDARSLLHVAVSSAHPEVVKLLSAAADESVVNGIDEEGWAPIHSAASIGNLELMEILLSKGANVNVKNDGGRTALHYAASKGWLKIAELLISHGAKINSKDKVGCTPLHRAASTGKSALCELLIEEGAEVDATDRAGQTPLMSAVICQNKEVLSLSFEKPRFKAHAGERHLFIISFTFEITKISSMLLW >KJB59517 pep chromosome:Graimondii2_0_v6:9:32548105:32551035:-1 gene:B456_009G326200 transcript:KJB59517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINPPTKSVEIKEKDLFKAAEKGDSSIFKSLSQDHLTKSLKLRNEDARSLLHVAVSSAHPEVVKLLSAAADESVVNGIDEEGWAPIHSAASIGNLELMEILLSKGANVNVKNDGGRTALHYAASKGWLKIAELLISHGAKINSKDKVGCTPLHRAASTGKSALCELLIEEGAEVDATDRAGQTPLMSAVICQNKEVALLLIRHGADVDIEDKEGYTVLGLASNDFRSILIDAAKAMLEG >KJB59523 pep chromosome:Graimondii2_0_v6:9:32548530:32550172:-1 gene:B456_009G326200 transcript:KJB59523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINPPTKSVEIKEKDLFKAAEKGDSSIFKSLSQDHLTKSLKLRNEDARSLLHVAVSSAHPEVVKLLSAAADESVVNGIDEEGWAPIHSAASIGNLELMEILLSKGANVNVKNDGGRTALHYAASKGWLKIAELLISHGAKINSKDKVGCTPLHRAASTGKSALCELLIEEGAEVDATDRAGQTPLMSAVICQNKEVLSLSFEKPRFKAHAGSPPLNKTWSGCGHRRQRRIYCSWPSF >KJB59520 pep chromosome:Graimondii2_0_v6:9:32548105:32550901:-1 gene:B456_009G326200 transcript:KJB59520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTLLSPWMQRVGLLRIEIKEKDLFKAAEKGDSSIFKSLSQDHLTKSLKLRNEDARSLLHVAVSSAHPEVVKLLSAAADESVVNGIDEEGWAPIHSAASIGNLELMEILLSKGANVNVKNDGGRTALHYAASKGWLKIAELLISHGAKINSKDKVGCTPLHRAASTGKSALCELLIEEGAEVDATDRAGQTPLMSAVICQNKEVALLLIRHGADVDIEDKEGYTVLGLASNDFRSILIDAAKAMLEG >KJB59516 pep chromosome:Graimondii2_0_v6:9:32548114:32550929:-1 gene:B456_009G326200 transcript:KJB59516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINPPTKSVEIKEKDLFKAAEKGDSSIFKSLSQDHLTKSLKLRNEDARSLLHVAVSSAHPEVVKLLSAAADESVVNGIDEEGWAPIHSAASIGNLELMEILLSKGANVNVKNDGGRTALHYAASKGWLKIAELLISHGAKINSKDKVGCTPLHRAASTGKSALCELLIEEGAEVDATDRAGQTPLMSAVICQNKEVALLLIRHGADVDIEDKEGYTVLGLASNDFRSILIDAAKAMLEG >KJB59521 pep chromosome:Graimondii2_0_v6:9:32549035:32550172:-1 gene:B456_009G326200 transcript:KJB59521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINPPTKSVEIKEKDLFKAAEKGDSSIFKSLSQDHLTKSLKLRNEDARSLLHVAVSSAHPEVVKLLSAAADESVVNGIDEEGWAPIHSAASIGNLELMEILLSKGANVNVKNDGGRTALHYAASKGWLKIAELLISHGAKINSKDKACNFTHKSFFLI >KJB59524 pep chromosome:Graimondii2_0_v6:9:32548114:32550901:-1 gene:B456_009G326200 transcript:KJB59524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINPPTKSVEIKEKDLFKAAEKGDSSIFKSLSQDHLTKSLKLRNEDARSLLHVAVSSAHPEVVKLLSAAADESVVNGIDEEGWAPIHSAASIGNLELMEILLSKGANVNVKNDGGRTALHYAASKGWLKIAELLISHGAKINSKDKA >KJB59526 pep chromosome:Graimondii2_0_v6:9:32549333:32550901:-1 gene:B456_009G326200 transcript:KJB59526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINPPTKSVEIKEKDLFKAAEKGDSSIFKSLSQDHLTKSLKLRNEDARSLLHVAVSSAHPEVVKLLSAAADESVVNGIDEEGWAPIHSAASIGNLELMEILLSKGEKFV >KJB59525 pep chromosome:Graimondii2_0_v6:9:32548109:32550982:-1 gene:B456_009G326200 transcript:KJB59525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINPPTKSVEIKEKDLFKAAEKGDSSIFKSLSQDHLTKSLKLRNEDARSLLHVAVSSAHPEVVKLLSAAADESVVNGIDEEGWAPIHSAASIGNLELMEILLSKGANVNVKNDGGRTALHYAASKGWLKIAELLISHGAKINSKDKVGCTPLHRAASTGKSALCELLIEEGAEVDATDRAGQTPLMSAVICQNKEVALLLIRHGADVDIEDKEGYTVLGLASNDFRSILIDAAKAMLEG >KJB59518 pep chromosome:Graimondii2_0_v6:9:32548114:32550901:-1 gene:B456_009G326200 transcript:KJB59518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINPPTKSVEIKEKDLFKAAEKGDSSIFKSLSQDHLTKSLKLRNEDARSLLHVAVSSAHPEVVKLLSAAADESVVNGIDEEGWAPIHSAASIGNLELMEILLSKGANVNVKNDGGRTALHYAASKGWLKIAELLISHGAKINSKDKVGCTPLHRAASTGKSALCELLIEEGAEVDATDRAGQTPLMSAVICQNKEVALLLIRHGADVDIEDKEGYTVLGLASNDFRSILIDAAKAMLEG >KJB61726 pep chromosome:Graimondii2_0_v6:9:51111581:51112535:1 gene:B456_009G376300 transcript:KJB61726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKYQEETAVRLVESSLRTSEYRPINVFVNFYSEPEYNFRVPRTNFFPQPNVDAAVVTFRLKQALDYPSVASTKSFFSMVG >KJB61725 pep chromosome:Graimondii2_0_v6:9:51110819:51112535:1 gene:B456_009G376300 transcript:KJB61725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLEETAVRLVESSLRTSEYRPINVFVNFYSEPEYNFRVPRTNFFPQPNVDAAVVTFRLKQALDYPSVASTKSFFSMVG >KJB62175 pep chromosome:Graimondii2_0_v6:9:59154825:59158039:1 gene:B456_009G404900 transcript:KJB62175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCELKKDCHDGIVVNGGSDGLLLSHANSNKLIIDTDPGIDDSMAIFMAFQNPEYEILGLTTIFGNVQTEDATRNALLLCEIAGRPDVPVAQGSPEPLTGGRPIVADFVHGSDGLGNILLSPPKTSKSDKAASEFLVEKVSEYPGEVSILALGPLTNLALAIKRDSSFASKVKNIVVLGGSFFALGNVNPAAEANIYGDPEAADVVFTSGANIVIVGLNITTQVLMTDVDLLELKQSEGKYAQVLCDMCKFYRDWHVKSDGIHGIFLHDPVSFMALARPDLFTYKKGVVRVETQGIYKGHTLLDQGLKRWNGSNPWTGYSPVSVAGAVNVDEVLNYIKQQLMKP >KJB62174 pep chromosome:Graimondii2_0_v6:9:59154825:59157835:1 gene:B456_009G404900 transcript:KJB62174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCELKKDCHDGIVVNGGSDGLLLSHANSNKLIIDTDPGIDDSMAIFMAFQNPEYEILGLTTIFGNVQTEDATRNALLLCEIAGRPDVPVAQGSPEPLTGGRPIVADFVHGSDGLGNILLSPPKTSKSDKAASEFLVEKVSEYPGEVSILALGPLTNLALAIKRDSSFASKVKNIVVLGGSFFALGNVNPAAEANIYGDPEAADVVFTSGANIVIVGLNITTQVLMTGENLAVILLSKQVSFAFIFEVVILTMKHHLFIR >KJB62176 pep chromosome:Graimondii2_0_v6:9:59155350:59157835:1 gene:B456_009G404900 transcript:KJB62176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFMAFQNPEYEILGLTTIFGNVQTEDATRNALLLCEIAGRPDVPVAQGSPEPLTGGRPIVADFVHGSDGLGNILLSPPKTSKSDKAASEFLVEKVSEYPGEVSILALGPLTNLALAIKRDSSFASKVKNIVVLGGSFFALGNVNPAAEANIYGDPEAADVVFTSGANIVIVGLNITTQVLMTDVDLLELKQSEGKYAQVLCDMCKFYRDWHVKSDGIHGIFLHDPVSFMALARPDLFTYKKGVVRVETQGIYKGHTLLDQGLKRWNGSNPWTGYSPVSVAGAVNVDEVLNYIKQQLMKP >KJB56850 pep chromosome:Graimondii2_0_v6:9:10446740:10448669:-1 gene:B456_009G138300 transcript:KJB56850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILIDQPELGVEAGVNKLDNADNELVLDGGFVVPQTNSFGHTFRDYHVESERQQGVENFYRTNHINQTSDFVKRMRTEYGKLNKMEMGIWECCELLNDVIDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWMHLTGLIHDLGKVLLHPGFGELPQWAVVGDTFPVGCAFDKSIVHHKYFEENPDYHNSDYNTKYGVYSEGCGLNNVMMSWGHDDYMYLVYPPLCV >KJB56846 pep chromosome:Graimondii2_0_v6:9:10445823:10448815:-1 gene:B456_009G138300 transcript:KJB56846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILIDQPELGVEAGVNKLDNADNELVLDGGFVVPQTNSFGHTFRDYHVESERQQGVENFYRTNHINQTSDFVKRMRTEYGKLNKMEMGIWECCELLNDVIDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWMHLTGLIHDLGKVLLHPGFGELPQWAVVGDTFPVGCAFDKSIVHHKVAKGNNTTLPPAALFIIRYHSFYAMHKSGAYRHLMNEEDTENLKWLQIFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPAKLRW >KJB56849 pep chromosome:Graimondii2_0_v6:9:10445871:10448771:-1 gene:B456_009G138300 transcript:KJB56849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEYGKLNKMEMGIWECCELLNDVIDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWMHLTGLIHDLGKVLLHPGFGELPQWAVVGDTFPVGCAFDKSIVHHKYFEENPDYHNSDYNTKYGVYSEGCGLNNVMMSWGHDDYMYLVAKGNNTTLPPAALFIIRYHSFYAMHKSGAYRHLMNEEDTENLKWLQIFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPAKLRW >KJB56848 pep chromosome:Graimondii2_0_v6:9:10445823:10448819:-1 gene:B456_009G138300 transcript:KJB56848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILIDQPELGVEAGVNKLDNADNELVLDGGFVVPQTNSFGHTFRDYHVESERQQGVENFYRTNHINQTSDFVKRMRTEYGKLNKMEMGIWECCELLNDVIDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWMHLTGLIHDLGKVLLHPGFGELPQWAVVGDTFPVGCAFDKSIVHHKYFEENPDYHNSDYNTKYGVYSEGCGLNNVMMSWGHDDYMYLVAKGNNTTLPPAALFIIRYHSFYAMHKSGAYRHLMNEEDTENLKWLQIFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPAKLRW >KJB56847 pep chromosome:Graimondii2_0_v6:9:10445871:10447815:-1 gene:B456_009G138300 transcript:KJB56847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEYGKLNKMEMGIWECCELLNDVIDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWMHLTGLIHDLGKVLLHPGFGELPQWAVVGDTFPVGCAFDKSIVHHKYFEENPDYHNSDYNTKYGVYSEGCGLNNVMMSWGHDDYMYLVAKGNNTTLPPAALFIIRYHSFYAMHKSGAYRHLMNEEDTENLKWLQIFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPAKLRW >KJB56323 pep chromosome:Graimondii2_0_v6:9:8481497:8484688:-1 gene:B456_009G115700 transcript:KJB56323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSDKHCPARGFLTPQPPAWKKVQSTTVLPMSERKRISPANNGDCFHVIHKVPASDSPYGRAKHVQLIDKDPSKAVSLFWAAINAGDRVDSALKDMAVVMKQLNRSDEAIEAIKSFRHLCPYDSQESLDNVLVELYKRSGRVDEEIEILLNKLRNIEEGTVFGGKKTKIARSQGKKIQITIEQEKSRILGNLAWAYLQQHNYGIAEQHYRKALSLEPDKNKQCNLAICLMHMNRLGEAKSLLQDVKVSAGTEEMDESYSKSYERAMEILMQVETQSKLEPAGAQEPEKGNETRRCLTSCRDRSLKEASVFLPRNGDNIPWCIEKNGNLSGYDDTSSSQCTPIGLKGSFQCSPQTMLSEKWRKGSYFESPSEGSVYSSSKLKESWRYSAGQEVGSAHKNMYASLAASRKNSEKVLLTQPRRCSWGFNTADQRRGGRWGEDTTVRNSIRKLSFEQTTTTESVPSPSIQKLKEEPLSSSNAKSENYSAVGLGEEEAQEGLSGVLFTQPRNSLSWLNNRDQRRGRCAEESIDGSFSKLSSSVTTHSVQSLNVEPLVSSKDESEIGVEKPADAASNKKTWADMVEEEEKDEFLNDENLNSNIIYQHPDRSKHHIENITQQLESFGVKGGYNASANTVSLRRNRLQVFRDITST >KJB56322 pep chromosome:Graimondii2_0_v6:9:8481497:8483920:-1 gene:B456_009G115700 transcript:KJB56322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQLIDKDPSKAVSLFWAAINAGDRVDSALKDMAVVMKQLNRSDEAIEAIKSFRHLCPYDSQESLDNVLVELYKRSGRVDEEIEILLNKLRNIEEGTVFGGKKTKIARSQGKKIQITIEQEKSRILGNLAWAYLQQHNYGIAEQHYRKALSLEPDKNKQCNLAICLMHMNRLGEAKSLLQDVKVSAGTEEMDESYSKSYERAMEILMQVETQSKLEPAGAQEPEKGNETRRCLTSCRDRSLKEASVFLPRNGDNIPWCIEKNGNLSGYDDTSSSQCTPIGLKGSFQCSPQTMLSEKWRKGSYFESPSEGSVYSSSKLKESWRYSAGQEVGSAHKNMYASLAASRKNSEKVLLTQPRRCSWGFNTADQRRGGRWGEDTTVRNSIRKLSFEQTTTTESVPSPSIQKLKEEPLSSSNAKSENYSAVGLGEEEAQEGLSGVLFTQPRNSLSWLNNRDQRRGRCAEESIDGSFSKLSSSVTTHSVQSLNVEPLVSSKDESEIGVEKPADAASNKKTWADMVEEEEKDEFLNDENLNSNIIYQHPDRSKHHIENITQQLESFGVKGGYNASANTVSLRRNRLQVFRDITST >KJB56275 pep chromosome:Graimondii2_0_v6:9:8355386:8360626:1 gene:B456_009G114100 transcript:KJB56275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFEKPQVSMEEDTEMEESDAVENPKASQKSSSDSESSDSEEEADQNEQLLALEYELSTNPSNYDAHVQYIKLLRRSAEIEKLREARENMNTLFPLSPEMWMEWAKDEASLSNESNFESVEKLYERGISEYLSIPLWCEYLNYVQEHDPKVRDCLADGISKARNLFERAVTAAALHVSQGSQIWDAYIQYEQAILLTIDQSDIQAKEKQVQRIRSIFHRYLSIPLASLKSTLLAYKAWEVEQGNSLDAEPGDVLGISSHVASGYQKAEEMYNARAHHEEQITRQDISESERFQHYMSYLDFEKSFGDPARVQILYERAITDFPVSNDLWLHYTHYLDKTLKVGNVVKDVYSRATRNCPWVGELWVRYLLCLERGLAFEKEISAAFEKSLKCTFSTLEEYLDLFLTRVDGLRRRISSASGDGVLNYSMIRESFQQAADYLSPHMKNTDGLLHLHAYWARLELKLGNDLIAARGVWESLLKTCGSMLEAWKSYIAMEIELDHINEARAIYKRCYSKRFSGTGSENHSGHLPFLVAL >KJB56277 pep chromosome:Graimondii2_0_v6:9:8355386:8365138:1 gene:B456_009G114100 transcript:KJB56277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFEKPQVSMEEDTEMEESDAVENPKASQKSSSDSESSDSEEEADQNEQLLALEYELSTNPSNYDAHVQYIKLLRRSAEIEKLREARENMNTLFPLSPEMWMEWAKDEASLSNESNFESVEKLYERGISEYLSIPLWCEYLNYVQEHDPKVRDCLADGISKARNLFERAVTAAALHVSQGSQIWDAYIQYEQAILLTIDQSDIQAKEKQVQRIRSIFHRYLSIPLASLKSTLLAYKAWEVEQGNSLDAEPGDVLGISSHVASGYQKAEEMYNARAHHEEQITRQDISESERFQHYMSYLDFEKSFGDPARVQILYERAITDFPVSNDLWLHYTHYLDKTLKVGNVVKDVYSRATRNCPWVGELWVRYLLCLERGLAFEKEISAAFEKSLKCTFSTLEEYLDLFLTRVDGLRRRISSASGDGVLNYSMIRESFQQAADYLSPHMKNTDGLLHLHAYWARLELKLGNDLIAARGVWESLLKTCGSMLEAWKSYIAMEIELDHINEARAIYKRCYSKRFSGTGSEDICHSWLRFEREFGTLEDLNHAVQKVTPRLEELQLFRLQQESKSFTGAIDQREQTLKNTSRERRKPGSSAIDEQPPAKWQKYTSQNKKLHKRENTQGLNLAEANDGEEKEGKVEKKAKDEDLHQFFSDVGGVTAIRILHDKFTGKSRGLAYVDFKDDEHLAAAVAKNKQMFLGKKLSVARSNPKQGKRESLAHTAPGEEASNQSGGHGRSVSKESGEDSKGSRGRKRIENIQLKGKNTFAVPRNVKPLGWTNKPGTREEEDEKPKSNDEFRKMFMKT >KJB56279 pep chromosome:Graimondii2_0_v6:9:8355386:8365138:1 gene:B456_009G114100 transcript:KJB56279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFEKPQVSMEEDTEMEESDAVENPKASQKSSSDSESSDSEEEADQNEQLLALEYELSTNPSNYDAHVQYIKLLRRSAEIEKLREARENMNTLFPLSPEMWMEWAKDEASLSNESNFESVEKLYERGISEYLSIPLWCEYLNYVQEHDPKVRDCLADGISKARNLFERAVTAAALHVSQGSQIWDAYIQYEQAILLTIDQSDIQAKEKQVQRIRSIFHRYLSIPLASLKSTLLAYKAWEVEQGNSLDAEPGDVLGISSHVASGYQKAEEMYNARAHHEEQITRQDISESERFQHYMSYLDFEKSFGDPARVQILYERAITDFPVSNDLWLHYTHYLDKTLKVGNVVKDVYSRATRNCPWVGELWVRYLLCLERGLAFEKEISAAFEKSLKCTFSTLEEYLDLFLTRVDGLRRRISSASGDGVLNYSMIRESFQQAADYLSPHMKNTDGLLHLHAYWARLELKLGNDLIAARGVWESLLKTCGSMLEAWKSYIAMEIELDHINEARAIYKRCYSKRFSGTGSEGLAYVDFKDDEHLAAAVAKNKQMFLGKKLSVARSNPKQGKRESLAHTAPGEEASNQSGGHGRSVSKESGEDSKGSRGRKRIENIQLKGKNTFAVPRNVKPLGWTNKPGTREEEDEKPKSNDEFRKMFMKT >KJB56273 pep chromosome:Graimondii2_0_v6:9:8355363:8365171:1 gene:B456_009G114100 transcript:KJB56273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFEKPQVSMEEDTEMEESDAVENPKASQKSSSDSESSDSEEEADQNEQLLALEYELSTNPSNYDAHVQYIKLLRRSAEIEKLREARENMNTLFPLSPEMWMEWAKDEASLSNESNFESVEKLYERGISEYLSIPLWCEYLNYVQEHDPKVRDCLADGISKARNLFERAVTAAALHVSQGSQIWDAYIQYEQAILLTIDQSDIQAKEKQVQRIRSIFHRYLSIPLASLKSTLLAYKAWEVEQGNSLDAEPGDVLGISSHVASGYQKAEEMYNARAHHEEQITRQDISESERFQHYMSYLDFEKSFGDPARVQILYERAITDFPVSNDLWLHYTHYLDKTLKVGNVVKDVYSRATRNCPWVGELWVRYLLCLERGLAFEKEISAAFEKSLKCTFSTLEEYLDLFLTRVDGLRRRISSASGDGVLNYSMIRESFQQAADYLSPHMKNTDGLLHLHAYWARLELKLGNDLIAARGVWESLLKTCGSMLEAWKSYIAMEIELDHINEARAIYKRCYSKRFSGTGSEDICHSWLRFEREFGTLEDLNHAVQKVTPRLEELQLFRLQQESKSFTGAIDQREQTLKNTSRERRKPGSSAIDEQPPAKWQKYTSQNKKLHKRENTQGLNLAEANDGEEKEGKVEKKVNEKQMKDTKNTTSRLYKDQCTAFVSNLNITAKDEDLHQFFSDVGGVTAIRILHDKFTGKSRGLAYVDFKDDEHLAAAVAKNKQMFLGKKLSVARSNPKQGKRESLAHTAPGEEASNQSGGHGRSVSKESGEDSKGSRGRKRIENIQLKGKNTFAVPRNVKPLGWTNKPGTREEEDEKPKSNDEFRKMFMKT >KJB56276 pep chromosome:Graimondii2_0_v6:9:8355534:8363913:1 gene:B456_009G114100 transcript:KJB56276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFEKPQVSMEEDTEMEESDAVENPKASQKSSSDSESSDSEEEADQNEQLLALEYELSTNPSNYDAHVQYIKLLRRSAEIEKLREARENMNTLFPLSPEMWMEWAKDEASLSNESNFESVEKLYERGISEYLSIPLWCEYLNYVQEHDPKVRDCLADGISKARNLFERAVTAAALHVSQGSQIWDAYIQYEQAILLTIDQSDIQAKEKQVQRIRSIFHRYLSIPLASLKSTLLAYKAWEVEQGNSLDAEPGDVLGISSHVASGYQKAEEMYNARAHHEEQITRQDISESERFQHYMSYLDFEKSFGDPARVQILYERAITDFPVSNDLWLHYTHYLDKTLKVGNVVKDVYSRATRNCPWVGELWVRYLLCLERGLAFEKEISAAFEKSLKCTFSTLEEYLDLFLTRVDGLRRRISSASGDGVLNYSMIRESFQQAADYLSPHMKNTDGLLHLHAYWARLELKLGNDLIAARGVWESLLKTCGSMLEAWKSYIAMEIELDHINEARAIYKRCYSKRFSGTGSEDICHSWLRFEREFGTLEDLNHAVQKVTPRLEELQLFRLQQESKSFTGAIDQREQTLKNTSRERRKPGSSAIDEQPPAKWQKYTSQNKKLHKRENTQGLNLAEANDGEEKEGKVEKKVNEKQMKDTKNTTSRLYKDQCTAFVSNLNITAKDEDLHQFFSDVGGVTAIRILHDKFTGKSRGLAYVDFKDDEHLAAAVAKNKQMFLGKKLSVARSNPKQGKRESLAHTAPGVRASSSIFKSLELNLSLPTF >KJB56274 pep chromosome:Graimondii2_0_v6:9:8355386:8358708:1 gene:B456_009G114100 transcript:KJB56274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFEKPQVSMEEDTEMEESDAVENPKASQKSSSDSESSDSEEEADQNEQLLALEYELSTNPSNYDAHVQYIKLLRRSAEIEKLREARENMNTLFPLSPEMWMEWAKDEASLSNESNFESVEKLYERGISEYLSIPLWCEYLNYVQEHDPKVRDCLADGISKARNLFERAVTAAALHVSQGSQIWDAYIQYEQAILLTIDQSDIQAKEKQVQRIRSIFHRYLSIPLASLKSTLLAYKAWEVEQGNSLDAEPGDVLGISSHVASGYQKAEEMYNARAHHEEQITRQDISESERFQHYMSYLDFEKSFGDPARVQILYERAITDFPVSNDLWLHYTHYLDKTLKVGNVVKDVYSRATRNCPWVGELWVRYLLCLERGLAFEKEISAAFEKSLKCTFSTLEEYLDLFLTRVDGLRRRISSASGDGVLNYSMIRESFQQAADYLSPHMKNTDGLLHLHAYWARLELKLGNDLIAARGVWESLLKTCGSMLEAWKSYIAMEIELDHINEARAIYKRCYSKRFSGTGSEVHFPCLM >KJB56278 pep chromosome:Graimondii2_0_v6:9:8355386:8365138:1 gene:B456_009G114100 transcript:KJB56278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKTLKPSSDSEEEADQNEQLLALEYELSTNPSNYDAHVQYIKLLRRSAEIEKLREARENMNTLFPLSPEMWMEWAKDEASLSNESNFESVEKLYERGISEYLSIPLWCEYLNYVQEHDPKVRDCLADGISKARNLFERAVTAAALHVSQGSQIWDAYIQYEQAILLTIDQSDIQAKEKQVQRIRSIFHRYLSIPLASLKSTLLAYKAWEVEQGNSLDAEPGDVLGISSHVASGYQKAEEMYNARAHHEEQITRQDISESERFQHYMSYLDFEKSFGDPARVQILYERAITDFPVSNDLWLHYTHYLDKTLKVGNVVKDVYSRATRNCPWVGELWVRYLLCLERGLAFEKEISAAFEKSLKCTFSTLEEYLDLFLTRVDGLRRRISSASGDGVLNYSMIRESFQQAADYLSPHMKNTDGLLHLHAYWARLELKLGNDLIAARGVWESLLKTCGSMLEAWKSYIAMEIELDHINEARAIYKRCYSKRFSGTGSEDICHSWLRFEREFGTLEDLNHAVQKVTPRLEELQLFRLQQESKSFTGAIDQREQTLKNTSRERRKPGSSAIDEQPPAKWQKYTSQNKKLHKRENTQGLNLAEANDGEEKEGKVEKKVNEKQMKDTKNTTSRLYKDQCTAFVSNLNITAKDEDLHQFFSDVGGVTAIRILHDKFTGKSRGLAYVDFKDDEHLAAAVAKNKQMFLGKKLSVARSNPKQGKRESLAHTAPGEEASNQSGGHGRSVSKESGEDSKGSRGRKRIENIQLKGKNTFAVPRNVKPLGWTNKPGTREEEDEKPKSNDEFRKMFMKT >KJB59207 pep chromosome:Graimondii2_0_v6:9:19656970:19659131:1 gene:B456_009G244800 transcript:KJB59207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQTSSASALSDVLNKAKPYLAIISLQFGYAGMYILSTICMKHGMSNFILATYRHVVATIVIAPFAFVLERKIRPKMTLPIFLRIVVLGFLEPVLDQNLYYLGMKFTTATYSSAFVNMLPAVTFIMAMIFRLEKINLKKIHSIAKVVGTAITVGGAMVMTLYKGPIIDFVKSGGATHHSTNTESADQHWVSGTIMLLGSIAGWSSFFILQSFTLKKYPAELSLTAWICFMGTVQDAGLSFIMVRDLSAWKIGFDSRLLAASYSVSPFSYQP >KJB59206 pep chromosome:Graimondii2_0_v6:9:19656920:19659318:1 gene:B456_009G244800 transcript:KJB59206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQTSSASALSDVLNKAKPYLAIISLQFGYAGMYILSTICMKHGMSNFILATYRHVVATIVIAPFAFVLERKIRPKMTLPIFLRIVVLGFLEPVLDQNLYYLGMKFTTATYSSAFVNMLPAVTFIMAMIFRLEKINLKKIHSIAKVVGTAITVGGAMVMTLYKGPIIDFVKSGGATHHSTNTESADQHWVSGTIMLLGSIAGWSSFFILQSFTLKKYPAELSLTAWICFMGTVQDAGLSFIMVRDLSAWKIGFDSRLLAASYSGIVCSGIAYYVQGIVIRQRGPVFVTAFSPLCMIITAALGTVILAEKIHLGSILGAIIIVSGLYTVVWGKSKDVKTPELEGKSNGLQELPITDNGRSMNVVDGAANAVNIPDSKNTYSTRGT >KJB57118 pep chromosome:Graimondii2_0_v6:9:11320741:11322043:1 gene:B456_009G149000 transcript:KJB57118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVSSDFDFAEEVYWSVLDGNEGGNLEDLDALLAEKLQFQEAIFLSVKENVSSSSSTSSSTLKVTSSSMPEHNKPGKSKKRVGPSSLSYCEICYDRKERHQMFRISGCSHSFCAGCISTYVKTRLEQNITIIMCPRENCKVPLELEACKPRFPKEVIELWGDLLRDELLCATGGQLYCPFKDCSALLLNDNHEVIAETECPYCHRLLCARCKVSWHSGISCEEYQKLSEDERGSEDLMVRNLAKEKKWNRCPRCHIIVERTEGCLHMTCWCKYEFCYACGAEWTHYHGGCQGN >KJB59295 pep chromosome:Graimondii2_0_v6:9:19986454:19990663:-1 gene:B456_009G248100 transcript:KJB59295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLQQQQQESEVAVPESWDVGRNNCITAINVQGTTPLSFPNLHHHHDIDHPNNHEKPGWKKFLSFVGPGFLVSLAYLDPGNLETDLQAGANHGYELLWVVLIGLVFALIIQSLAANLGVSTGKHLSELCKAEYPKLIKYCLWLLAEIAVIAADIPEVIGTAFALNILFNIPVWVGVLCTGLSTLLLLGLQRYGVRKLEMLIAVLVFVMAGCFFGEMNYVKPPASGVIKGMFVPKLAGQDATADAIALLGALVMPHNLFLHSALVLSRKVPNSVRGVNDACRYFLIESGFALLVALLINIAVVSVSGAVCSADNLSSDDHHRCNDLNLNSASFLLQNVLGKSSSTLYAIALLASGQSSTITGTYAGQFIMQGFLNLKMKKWVRNLMTRCIAITPSLIVSIIGGSQGAGRLIIIASMILSFELPFALIPLLKFSSSSTKMGPYKNSIIELES >KJB59296 pep chromosome:Graimondii2_0_v6:9:19986665:19990606:-1 gene:B456_009G248100 transcript:KJB59296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLQQQQQESEVAVPESWDVGRNNCITAINVQGTTPLSFPNLHHHHDIDHPNNHEKPGWKKFLSFVGPGFLVSLAYLDPGNLETDLQAGANHGYELLWVVLIGLVFALIIQSLAANLGVSTGKHLSELCKAEYPKLIKYCLWLLAEIAVIAADIPEVIGTAFALNILFNIPVWVGVLCTGLSTLLLLGLQRYGVRKLEMLIAVLVFVMAGCFFGEMNYVKPPASGVIKGMFVPKLAGQDATADAIALLGALVMPHNLFLHSALVLSRKVPNSVRGVNDACRYFLIESGFALLVALLINIAVVSVSGAVCSADNLSSDDHHRCNDLNLNSASFLLQNVLGKSSSTLYAIALLASGQSSTITGTYAGQFIMQGFLNLKMKKWVRNLMTRCIAITPSLIVSIIGGSQGAGRLIIIASMILSFELPFALIPLLKFSSSSTKMGPYKNSIIIIVISWILGIGIIGINVYYLTTAFVDWLIHNDMPKVGNVFIGIVVFPLMAIYVLAVIYLTFRKDTVVTYIEPEKNVDPAAQSRMESGLAKPDVSFQVDVAPYRQDLADIPFPE >KJB59115 pep chromosome:Graimondii2_0_v6:9:19102908:19106188:1 gene:B456_009G239600 transcript:KJB59115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTGRLEGEFQVTNGYGPENAPQVMREHWRTFIVEKDFKFISQNGLNAVRIPVGWWIASDPTPPPPFVGGSLQALDNAFQWAKKYGLKVIIDLHAAPGSQNGWEHSGSRDSSQEWGKTDETITQTVAVIDFLASRYANSPSLYAVELINEPLSPGATLSSVIEYYKAGYAAVRRHTSTAFVVMSNRLGPMKPRELFPIASGFKRSVIDVHYYNLFEDMFNNMTVQQNIDFVYNNRSSQLNYVTTSNGPLTFVGEWVAEWQVVGAGKEDYQRLAEAQMEVYGRASFGWAYWTLKNVNKHWSLEWMINNGYIKL >KJB59119 pep chromosome:Graimondii2_0_v6:9:19103080:19106188:1 gene:B456_009G239600 transcript:KJB59119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSSTMHLLSMCVLSLVLSCCQGREDPNFRIRAVNLGGWLVTEGWIKPSLFDGIVNKDFLDGTALQFKSVTTGKYLSAEQGGGSIIVANRTTASGWETFRLWRINETAFNFRVFGKQFIGVDTDGNGIGIVAISKAPGHSETFEILRNSDDKNRVRIKASNGYYLQAKTEEVVTADSQGNKGWGDDDPSVFVMKMTGRLEGEFQVTNGYGPENAPQVMREHWRTFIVEKDFKFISQNGLNAVRIPVGWWIASDPTPPPPFVGGSLQALDNAFQWAKKYGLKVIIDLHAAPGSQNGWEHSGSRDSSQEWGKTDETITQTVAVIDFLASRSKQ >KJB59117 pep chromosome:Graimondii2_0_v6:9:19103080:19105756:1 gene:B456_009G239600 transcript:KJB59117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSSTMHLLSMCVLSLVLSCCQGREDPNFRIRAVNLGGWLVTEGWIKPSLFDGIVNKDFLDGTALQFKSVTTGKYLSAEQGGGSIIVANRTTASGWETFRLWRINETAFNFRVFGKQFIGVDTDGNGIGIVAISKAPGHSETFEILRNSDDKNRVRIKASNGYYLQAKTEEVVTADSQGNKGWGDDDPSVFVMKMTGRLEGEFQVTNGYGPENAPQVMREHWRTFIVEKDFKFISQNGLNAVRIPVGWWIASDPTPPPPFVGGSLQALDNAFQWAKKYGLKVIIDLHAAPGSQNGWEHSGSRDSSQEWGKTDETITQTVAVIDFLASRYANSPSLYAVELINEPLSPGATLSSVIEYYKAGYAAVRRHTSTAFVVMSNRLGPMKPRELFPIASGFKRSVIDVHYYNLFEDMFNNMTVQQNIDFVYNNRSSQLNYVTTSNGPLTFVGKNGWSVLM >KJB59114 pep chromosome:Graimondii2_0_v6:9:19104090:19105949:1 gene:B456_009G239600 transcript:KJB59114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFEKRCKMQAKTEEVVTADSQGNKGWGDDDPSVFVMKMTGRLEGEFQVTNGYGPENAPQVMREHWRTFIVEKDFKFISQNGLNAVRIPVGWWIASDPTPPPPFVGGSLQALDNAFQWAKKYGLKVIIDLHAAPGSQNGWEHSGSRDSSQEWGKTDETITQTVAVIDFLASRYANSPSLYAVELINEPLSPGATLSSVIEYYKAGYAAVRRHTSTAFVVMSNRLGPMKPRELFPIASGFKRSVIDVHYYNLFEDMFNNMTVQQNIDFVYNNRSSQLNYVTTSNGPLTFVGEWVAEWQVVGAGKEDYQRLAEAQMEVYGRASFGWAYWTLKNVNKHWSLEWMINNGYIKL >KJB59116 pep chromosome:Graimondii2_0_v6:9:19102908:19106188:1 gene:B456_009G239600 transcript:KJB59116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSSTMHLLSMCVLSLVLSCCQGREDPNFRIRAVNLGGWLVTEGWIKPSLFDGIVNKDFLDGTALQFKSVTTGKYLSAEQGGGSIIVANRTTASGWETFRLWRINETAFNFRVFGKQFIGVDTDGNGIGIVAISKAPGHSETFEILRNSDDKNRVRIKASNGYYLQAKTEEVVTADSQGNKGWGDDDPSVFVMKMTGRLEGEFQVTNGYGPENAPQVMREHWRTFIVEKDFKFISQNGLNAVRIPVGWWIASDPTPPPPFVGGSLQALDNAFQWAKKYGLKVIIDLHAAPGSQNGWEHSGSRDSSQEWGKTDETITQTVAVIDFLASRYANSPSLYAVELINEPLSPGATLSSVIEYYKAGYAAVRRHTSTAFVVMSNRLGPMKPRELFPIASGFKRSVIDVHYYNLFEDMFNNMTVQQNIDFVYNNRSSQLNYVTTSNGPLTFVGEWVAEWQVVGAGKEDYQRLAEAQMEVYGRASFGWAYWTLKNVNKHWSLEWMINNGYIKL >KJB59118 pep chromosome:Graimondii2_0_v6:9:19103080:19106188:1 gene:B456_009G239600 transcript:KJB59118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLWRINETAFNFRVFGKQFIGVDTDGNGIGIVAISKAPGHSETFEILRNSDDKNRVRIKASNGYYLQAKTEEVVTADSQGNKGWGDDDPSVFVMKMTGRLEGEFQVTNGYGPENAPQVMREHWRTFIVEKDFKFISQNGLNAVRIPVGWWIASDPTPPPPFVGGSLQALDNAFQWAKKYGLKVIIDLHAAPGSQNGWEHSGSRDSSQEWGKTDETITQTVAVIDFLASRYANSPSLYAVELINEPLSPGATLSSVIEYYKAGYAAVRRHTSTAFVVMSNRLGPMKPRELFPIASGFKRSVIDVHYYNLFEDMFNNMTVQQNIDFVYNNRSSQLNYVTTSNGPLTFVGEWVAEWQVVGAGKEDYQRLAEAQMEVYGRASFGWAYWTLKNVNKHWSLEWMINNGYIKL >KJB59120 pep chromosome:Graimondii2_0_v6:9:19104544:19106244:1 gene:B456_009G239600 transcript:KJB59120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEHWRTFIVEKDFKFISQNGLNAVRIPVGWWIASDPTPPPPFVGGSLQALDNAFQWAKKYGLKVIIDLHAAPGSQNGWEHSGSRDSSQEWGKTDETITQTVAVIDFLASRYANSPSLYAVELINEPLSPGATLSSVIEYYKAGYAAVRRHTSTAFVVMSNRLGPMKPRELFPIASGFKRSVIDVHYYNLFEDMFNNMTVQQNIDFVYNNRSSQLNYVTTSNGPLTFVGEWVAEWQVVGAGKEDYQRLAEAQMEVYGRASFGWAYWTLKNVNKHWSLEWMINNGYIKL >KJB60375 pep chromosome:Graimondii2_0_v6:9:27094496:27100261:-1 gene:B456_009G302300 transcript:KJB60375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVWFIMSGFEFQVIADFDATLTRYWVNGLRGQSSHGLLKQGNPEYDAKRQALFEYYHPLEFSPAIPLEEKTKLMEEWWGKTHGLLIEGGLTYDAIKSSVANSNIAFRDGVVELFELLEEKDVPVLIFSAGLADIIEEVLRQKVQRYFKNIKIVSNQMVFDDSGRLVSFKGKLIHSLNKNEHALDMATPLHDQTGGIDGAVADNASVKQRTNVLLLGDHTGDLGMSDGLNYENRISVGFLNDNIEKNLESYRKAFDIVYLNDRPMWGVVDLAAELCSKFDG >KJB60374 pep chromosome:Graimondii2_0_v6:9:27094486:27101144:-1 gene:B456_009G302300 transcript:KJB60374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRLLSSNIINTEWSAILKLQNFPNSNHIPNFFLLNRVWCCSNNYNNLEMEDLDPTKLIVINDQRSLDRKISAIRNAGPSKLQVIADFDATLTRYWVNGLRGQSSHGLLKQGNPEYDAKRQALFEYYHPLEFSPAIPLEEKTKLMEEWWGKTHGLLIEGGLTYDAIKSSVANSNIAFRDGVVELFELLEEKDVPVLIFSAGLADIIEEVLRQKVQRYFKNIKIVSNQMVFDDSGRLVSFKGKLIHSLNKNEHALDMATPLHDQTGGIDGAVADNASVKQRTNVLLLGDHTGDLGMSDGLNYENRISVGFLNDNIEKNLESYRKAFDIVYLNDRPMWGVVDLAAELCSKFDG >KJB60376 pep chromosome:Graimondii2_0_v6:9:27094496:27101068:-1 gene:B456_009G302300 transcript:KJB60376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRLLSSNIINTEWSAILKLQNFPNSNHIPNFFLLNRVWCCSNNYNNLEMEDLDPTKLIVINDQRSLDRKISAIRNAGPSKLQVIADFDATLTRYWVNGLRGQSSHGLLKQGNPEYDAKRQALFEYYHPLEFSPAIPLEEKTKLMEEWWGKTHGLLIEGGLTYDAIKSSVANSNIAFRDGVVELFELLEEKDVPVLIFSAGLADIIEEVLRQKVQRYFKNIKIVSNQMVFDDSGRLVSFKGKLIHSLNKNEHALDMATPLHDQTGGIDGAVADNASVKQRTNVLLLGMTTLRRILRATARLLILFT >KJB60377 pep chromosome:Graimondii2_0_v6:9:27094525:27101078:-1 gene:B456_009G302300 transcript:KJB60377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRLLSSNIINTEWSAILKLQNFPNSNHIPNFFLLNRVWCCSNNYNNLEMEDLDPTKLIVINDQRSLDRKISAIRNAGPSKLQVIADFDATLTRYWVNGLRGQSSHGLLKQGNPEYDAKRQALFEYYHPLEFSPAIPLEEKTKLMEEWWGKTHGLLIEGGLTYDAIKSSVANSNIAFRDGVVELFELLEEKDVPVLIFSAGLADIIEEVLRQKVQRYFKNIKIVSNQMVFDDSGRLVSFKGKLIHSLNKNEHALDMATPLHDQTGGIDGAVADNASVKQRTNVLLLGDHTGDLGMSDGLNYENRISVGFLNDNIEKNLESYRKAFDIVYLTHVGSGGSCS >KJB56544 pep chromosome:Graimondii2_0_v6:9:9347460:9354704:-1 gene:B456_009G124400 transcript:KJB56544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSGEESDLSESEINEYKEKPYEEIRSGKYKVKALNGSLRCPFCAGKKKQDYKYKDLLQHASGVGKGSANRSAKQRANHLALAKYLEIDLASEADETSRPTVPQAVDQTPEQTELYVWPWMGIIMNIVAESKNIDTLHDKGYWLKRFAKYKPINVQCFWNEVDLTGQAIVVFNSDWNGFVNATQFEKAFESERHSKKHWNGQQSQLGSNIYGWCARADDYQSDGPIGDYLRKVGKLQTISGIVQEAAQDRNSVVANLTTKIDLTNENLDELQYKYNETTMSLSRMLEEKDRLHLAFIEETRKMQRLARDNVRRILEEQEKLNHELETKKRKIDNWTRELNKRETLTERERQKLDEEKKKNNERNNSLQLASMEQKKADENVLRLVEEQKREKEEALKKILLLEKQLDIKQKLEMEIEDLKGKLQVMKHLGQDDAAVQKKMEEMNNELQEKIDDLQDLESTNKALIYKERQSNDELQEARKVLIQGLPELLGNRTNIGLKRMGELDPKAFHDTCKSRFPPDEAEIQATTLCSSWQENLKNPDWHPFKVIVEGGNPKEILNEEDEKLTNLKLEWGEEIYNAVVTALKELNEYNPSGRYVISELWNFKENRKATLKEVVGYVVRNIKTAKRKRT >KJB56540 pep chromosome:Graimondii2_0_v6:9:9351302:9353640:-1 gene:B456_009G124400 transcript:KJB56540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSGEESDLSESEINEYKEKPYEEIRSGKYKVKALNGSLRCPFCAGKKKQDYKYKDLLQHASGVGKGSANRSAKQRANHLALAKYLEIDLASEADETSRPTVPQAVDQTPEQTELYVWPWMGIIMNIVAESKNIDTLHDKGYWLKRFAKYKPINVQCFWNEVDLTGQAIVVFNSDWNGFVNATQFEKAFESERHSKKHWNGQQSQLGSNIYGWCARADDYQSDGPIGDYLRKVGKLQTISGIVQEAAQDRNSVVANLTTKIDLTNENLDELQYKYNETTMSLSRMLEEKDRLHLAFIEETRKMQRLARDNVRRILEEQEKLNHELETKKRKIDNWTRELNKRETLTERERQKLDEEKKKNNERNNSLQLASMEQKKADENVLRLVEEQKREKEEALKKILLLEKQLDIKQKLEMEIEDLKGKLQVMKHLGQDDAAVQKKMEEMNNELQEKIDDLQDLESTNKALIYKERQSNDELQEARKVLIQVLPTLSWLKTELRLPKPWITMQTQATGHKINVLFLLCRVCQNCWVIALILD >KJB56542 pep chromosome:Graimondii2_0_v6:9:9350279:9354640:-1 gene:B456_009G124400 transcript:KJB56542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSGEESDLSESEINEYKEKPYEEIRSGKYKVKALNGSLRCPFCAGKKKQDYKYKDLLQHASGVGKGSANRSAKQRANHLALAKYLEIDLASEADETSRPTVPQAVDQTPEQTELYVWPWMGIIMNIVAESKNIDTLHDKGYWLKRFAKYKPINVQCFWNEVDLTGQAIVVFNSDWNGFVNATQFEKAFESERHSKKHWNGQQSQLGSNIYGWCARADDYQSDGPIGDYLRKVGKLQTISGIVQEAAQDRNSVVANLTTKIDLTNENLDELQYKYNETTMSLSRMLEEKDRLHLAFIEETRKMQRLARDNVRRILEEQEKLNHELETKKRKIDNWTRELNKRETLTERERQKLDEEKKKNNERNNSLQLASMEQKKADENVLRLVEEQKREKEEALKKILLLEKQLDIKQKLEMEIEDLKGKLQVMKHLGQDDAAVQKKMEEMNNELQEKIDDLQDLESTNKALIYKERQSNDELQEARKVLIQGLPELLGNRTNIGLKRMGELDPKAFHDTCKSRFPPDEAEIQATTLCSSWQENLKNPDWHPFKVIVEGGNPKEILNEEDEKLTNLKLEWGEEIYNAVVTALKELNEYNPSGRYVISELWNFKENRKATLKEVVGYVVRNIKTAKRKRT >KJB56543 pep chromosome:Graimondii2_0_v6:9:9347460:9354640:-1 gene:B456_009G124400 transcript:KJB56543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSGEESDLSESEINEYKEKPYEEIRSGKYKVKALNGSLRCPFCAGKKKQDYKYKDLLQHASGVGKGSANRSAKQRANHLALAKYLEIDLASEADETSRPTVPQAVDQTPEQTELYVWPWMGIIMNIVAESKNIDTLHDKGYWLKRFAKYKPINVQCFWNEVDLTGQAIVVFNSDWNGFVNATQFEKAFESERHSKKHWNGQQSQLGSNIYGWCARADDYQSDGPIGDYLRKVGKLQTISGIVQEAAQDRNSVVANLTTKIDLTNENLDELQYKYNETTMSLSRMLEEKDRLHLAFIEETRKMQRLARDNVRRILEEQEKLNHELETKKRKIDNWTRELNKRETLTERERQKLDEEKKKNNERNNSLQLASMEQKKADENVLRLVEEQKREKEEALKKILLLEKQLDIKQKLEMEIEDLKGKLQVMKHLGQDDAAVQKKMEEMNNELQEKIDDLQDLESTNKALIYKERQSNDELQEARKVLIQGLPELLGNRTNIGLKRMGELDPKAFHDTCKSRFPPDEAEIQATTLCSSWQENLKNPDWHPFKVIVEGGNPKEILNEEDEKLTNLKLEWGEEIYNAVVTALKELNEYNPSGRYVISELWNFKENRKATLKEVVGYVVRNIKTAKRKRT >KJB56541 pep chromosome:Graimondii2_0_v6:9:9347460:9354580:-1 gene:B456_009G124400 transcript:KJB56541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSGEESDLSESEINEYKEKPYEEIRSGKYKVKALNGSLRCPFCAGKKKQDYKYKDLLQHASGVGKGSANRSAKQRANHLALAKYLEIDLASEADETSRPTVPQAVDQTPEQTELYVWPWMGIIMNIVAESKNIDTLHDKGYWLKRFAKYKPINVQCFWNEVDLTGQAIVVFNSDWNGFVNATQFEKAFESERHSKKHWNGQQSQLGSNIYGWCARADDYQSDGPIGDYLRKVGKLQTISGIVQEAAQDRNSVVANLTTKIDLTNENLDELQYKYNETTMSLSRMLEEKDRLHLAFIEETRKMQRLARDNVRRILEEQEKLNHELETKKRKIDNWTRELNKRETLTERERQKLDEEKKKNNERNNSLQLASMEQKKADENVLRLVEEQKREKEEALKKILLLEKQLDIKQKLEMEIEDLKGKLQVMKHLGQDDAAVQKKMEEMNNELQEKIDDLQDLESTNKALIYKERQSNDELQEARKVLIQGLPELLGNRTNIGLKRMGELDPKAFHDTCKSRFPPDEAEIQATTLCSSWQENLKNPDWHPFKVIVEGGNPKEILNEEDEKLTNLKLEWGEEIYNAVVTALKELNEYNPSGRYVISELWNFKENRKATLKEVVGYVVRNIKTAKRKRT >KJB56539 pep chromosome:Graimondii2_0_v6:9:9347460:9354640:-1 gene:B456_009G124400 transcript:KJB56539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSGEESDLSESEINEYKEKPYEEIRSGKYKVKALNGSLRCPFCAGKKKQDYKYKDLLQHASGVGKGSANRSAKQRANHLALAKYLEIDLASEADETSRPTVPQAVDQTPEQTELYVWPWMGIIMNIVAESKNIDTLHDKGYWLKRFAKYKPINVQCFWNEVDLTGQAIVVFNSDWNGFVNATQFEKAFESERHSKKHWNGQQSQLGSNIYGWCARADDYQSDGPIGDYLRKVGKLQTISGIVQEAAQDRNSVVANLTTKIDLTNENLDELQYKYNETTMSLSRMLEEKDRLHLAFIEETRKMQRLARDNVRRILEEQEKLNHELETKKRKIDNWTRELNKRETLTERERQKLDEEKKKNNERNNSLQLASMEQKKADENVLRLVEEQKLDIKQKLEMEIEDLKGKLQVMKHLGQDDAAVQKKMEEMNNELQEKIDDLQDLESTNKALIYKERQSNDELQEARKVLIQGLPELLGNRTNIGLKRMGELDPKAFHDTCKSRFPPDEAEIQATTLCSSWQENLKNPDWHPFKVIVEGGNPKEILNEEDEKLTNLKLEWGEEIYNAVVTALKELNEYNPSGRYVISELWNFKENRKATLKEVVGYVVRNIKTAKRKRT >KJB56538 pep chromosome:Graimondii2_0_v6:9:9350539:9353640:-1 gene:B456_009G124400 transcript:KJB56538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSGEESDLSESEINEYKEKPYEEIRSGKYKVKALNGSLRCPFCAGKKKQDYKYKDLLQHASGVGKGSANRSAKQRANHLALAKYLEIDLASEADETSRPTVPQAVDQTPEQTELYVWPWMGIIMNIVAESKNIDTLHDKGYWLKRFAKYKPINVQCFWNEVDLTGQAIVVFNSDWNGFVNATQFEKAFESERHSKKHWNGQQSQLGSNIYGWCARADDYQSDGPIGDYLRKVGKLQTISGIVQEAAQDRNSVVANLTTKIDLTNENLDELQYKYNETTMSLSRMLEEKDRLHLAFIEETRKMQRLARDNVRRILEEQEKLNHELETKKRKIDNWTRELNKRETLTERERQKLDEEKKKNNERNNSLQLASMEQKKADENVLRLVEEQKREKEEALKKILLLEKQLDIKQKLEMEIEDLKGKLQVMKHLGQDDAAVQKKMEEMNNELQEKIDDLQDLESTNKALIYKERQSNDELQEARKVLIQGLPELLGNRTNIGLKRMGELDPKAFHDTCKSRFPPDEAEIQATTLCSSWQENLKNPDWHPFKVIVEGGNPKEILNEEDEKLTNLKLEWGEEIYNAVVTALKELNEYNPSGRYVISELWNFKENRKATLKEVVGYVVRNIKTAKRKRT >KJB60156 pep chromosome:Graimondii2_0_v6:9:25221456:25224747:1 gene:B456_009G291900 transcript:KJB60156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTTISSTTSTQIMIIQRGGSGAAFPSKSMELRWIRSNSRRKNSNLSSREFGGLKSIPPPLVMPIYISTDPSHINIQELSELYTCCNHSCHRFPKVDPHTGIVQEVMDLDKLHIALSHSCVVVSVFCKPQHAKVTNTTKQNQSQEQQEQIKTGLVGDLMENVMPVNPSNGQLVGFGRAVSDLGLTASIYDVMVVPTLQGMGIGTIIVKRIVRMLTSRDIYDIAALCSRKERFFFKACGFRDDILGSTTMMYTRTVSSTRFEGERMVKQAGRKLLLVPSLQLPLASSKTTKPQS >KJB60152 pep chromosome:Graimondii2_0_v6:9:25221360:25224907:1 gene:B456_009G291900 transcript:KJB60152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTTISSTTSTQIMIIQRGGSGAAFPSKSMELRWIRSNSRRKNSNLSSREFGGLKSIPPPLVMPIYISTDPSHINIQELSELYTCCNHSCHRFPKVDPHTGIVQEVMDLDKLHIALSHSCVVVSVFCKPQHAKVTNTTKQNQSQEQQEQIKTGLVGDLMENVMPVNPSNGQLVGFGRAVSDLGLTASIYDVMVVPTLQGMGIGTIIVKRIVRMLTSRDIYDIAALCSRKERFFFKACGFRDDILGSTTMMYTRTVSSTRFEGERMVKQAGRKLLLVPSLQLPLASSKTTKPQS >KJB60154 pep chromosome:Graimondii2_0_v6:9:25222219:25223742:1 gene:B456_009G291900 transcript:KJB60154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTTISSTTSTQIMIIQRGGSGAAFPSKSMELRWIRSNSRRKNSNLSSREFGGLKSIPPPLVMPIYISTDPSHINIQELSELYTCCNHSCHRFPKVDPHTGIVQEVMDLDKLHIALSHSCVVVSVFCKPQHAKVTNTTKQNQSQEQQEQIKTGLVGDLMENVMPVNPSNGQLVGFGRAVSDLGLTASIYDVMVVPTLQGMGIGTIIVKRIVRMLTSRDIYDIAALCSRKER >KJB60153 pep chromosome:Graimondii2_0_v6:9:25221456:25224747:1 gene:B456_009G291900 transcript:KJB60153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTTISSTTSTQIMIIQRGGSGAAFPSKSMELRWIRSNSRRKNSNLSSREFGGLKSIPPPLVMPIYISTDPSHINIQELSELYTCCNHSCHRFPKVDPHTGIVQEVMDLDKLHIALSHSCVVVSVFCKPQHAKVTNTTKQNQSQEQQEQIKTGLVGDLMENVMPVNPSNGQLVGFGRAVSDLGLTASIYDVMVVPTLQGMGIGTIIVKRIVRFFFKACGFRDDILGSTTMMYTRTVSSTRFEGERMVKQAGRKLLLVPSLQLPLASSKTTKPQS >KJB60155 pep chromosome:Graimondii2_0_v6:9:25221456:25224747:1 gene:B456_009G291900 transcript:KJB60155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTTISSTTSTQIMIIQRGGSGAAFPSKSMELRWIRSNSRRKNSNLSSREFGGLKSIPPPLVMPIYISTDPSHINIQELSELYTCCNHSCHRFPKVDPHTGIVQEVMDLDKLHIALSHSCVVVSVFCKPQHAKVTNTTKQNQSQEQQEQIKTGLVGDLMENVMPVNPSNGQLVGFGRAVSDLGLTASIYDVMVVPTLQGMGIGTIIVKRIVRMLTSRDIYDIAALCSRKESMWIQR >KJB57673 pep chromosome:Graimondii2_0_v6:9:13491337:13494600:1 gene:B456_009G174700 transcript:KJB57673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHHLSEMDSTTDSVASSPRSEHLAPHDAHTRVRFMCSFGGKILPRPHDNQLCYMGGDTRIVAVHRSTSFSAFLTKLSKLSGIVNVSVKYQLPNEDLDALISVTTDEDLENMMEEYDRLAQNHNPRLARLRVFLFSKGDDDSRPSSINSLMDGSVNREHWFFDALNSGLERGRSEASSVVSEVPDYLIGMENSEEGQPRDPKLRTRQLLHEDVSLSDTGSPAPDVSSPFCSTSSAPTVPSMPHLPPVKTRPDNTEQVMESKQSQTESFLEQPILHPTAYSGNPMWHYVSDSHYSAPPVQQIPVYYVPGPAQPGNVQLQPVQIQTQYVQQYPVPAGQIPIGYHQPAPGVGQAYRPVTSLDPYDPALRVVPDGVNQPVYYGVRSSGPVPVYPGMAPQGGEEMARRGSDMTPGRVPHSGQ >KJB59627 pep chromosome:Graimondii2_0_v6:9:32638703:32640861:1 gene:B456_009G326800 transcript:KJB59627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNGSISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRPAEEWEIDLAKLDLRNVIAHGTYGTVYRATYDNQDVAGT >KJB59628 pep chromosome:Graimondii2_0_v6:9:32639685:32640033:1 gene:B456_009G326800 transcript:KJB59628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNGSISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRPAEEWEIDLAKLDLRNVIAHGTYGTVYRATYDNQDVAALKIML >KJB59624 pep chromosome:Graimondii2_0_v6:9:32638677:32641664:1 gene:B456_009G326800 transcript:KJB59624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNGSISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRPAEEWEIDLAKLDLRNVIAHGTYGTVYRATYDNQDVAGT >KJB59622 pep chromosome:Graimondii2_0_v6:9:32638677:32640200:1 gene:B456_009G326800 transcript:KJB59622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNGSISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRPAEEWEIDLAKLDLRNVIAHGTYGTVYRATYDNQDVAGKFLDSI >KJB59629 pep chromosome:Graimondii2_0_v6:9:32638677:32641887:1 gene:B456_009G326800 transcript:KJB59629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNGSISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRPAEEWEIDLAKLDLRNVIAHGTYGTVYRATYDNQDVAVKNYDSLLWLGC >KJB59626 pep chromosome:Graimondii2_0_v6:9:32638677:32640861:1 gene:B456_009G326800 transcript:KJB59626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNGSISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRPAEEWEIDLAKLDLRNVIAHGTYGTVYRATYDNQDVAALKIML >KJB59625 pep chromosome:Graimondii2_0_v6:9:32638677:32641686:1 gene:B456_009G326800 transcript:KJB59625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNGSISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRPAEEWEIDLAKLDLRNVIAHGTYGTVYRATYDNQDVAGCC >KJB59623 pep chromosome:Graimondii2_0_v6:9:32638755:32641870:1 gene:B456_009G326800 transcript:KJB59623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNGSISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRPAEEWEIDLAKLDLRNVIAHGTYGTVYRATYDNQDVAALKIML >KJB56957 pep chromosome:Graimondii2_0_v6:9:10882964:10887053:-1 gene:B456_009G143800 transcript:KJB56957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLPQHFHNNEQLSFQFQDQDSSSTQSTGQSYPEVASAKDRNLYGQTLTSASSGGNGTHGKLVGNHAKLAYVTGTQDHVFPPSQGYRKPIVHIPHHYANPYLGSVAATAYGSQAMIHHAHMMAMLPARVPLPLDLKEGEPIYVNAKQYHAILRRRQYRAKLEAQNKLIKVRKPYMHESRHLHAIKRARGSGGQFLNTKKLQSKSTPTSHGPDMSRSPQLHLSANISVTDVHQPENFKDSGSANSCSDVTSASNSDEIFQQPDFRFYGYPSCHTGEAMPGHAGHILLSSNSRAN >KJB56956 pep chromosome:Graimondii2_0_v6:9:10883225:10884941:-1 gene:B456_009G143800 transcript:KJB56956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLLHKVHIPHHYANPYLGSVAATAYGSQAMQIHHAHMMAMLPARVPLPLDLKEGEPIYVNAKQYHAILRRRQYRAKLEAQNKLIKVRKPYMHESRHLHAIKRARGSGGQFLNTKKLQSKSTPTSHGPDMSRSPQLHLSANISVTDVHQPENFKDSGSANSCSDVTSASNSDEIFQQPDFRFYGYPSCHTGEAMPGHAGHILLSSNSRAN >KJB56959 pep chromosome:Graimondii2_0_v6:9:10883225:10884745:-1 gene:B456_009G143800 transcript:KJB56959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIHHAHMMAMLPARVPLPLDLKEGEPIYVNAKQYHAILRRRQYRAKLEAQNKLIKVRKPYMHESRHLHAIKRARGSGGQFLNTKKLQSKSTPTSHGPDMSRSPQLHLSANISVTDVHQPENFKDSGSANSCSDVTSASNSDEIFQQPDFRFYGYPSCHTGEAMPGHAGHILLSSNSRAN >KJB56955 pep chromosome:Graimondii2_0_v6:9:10882964:10887053:-1 gene:B456_009G143800 transcript:KJB56955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLLHKVHIPHHYANPYLGSVAATAYGSQAMIHHAHMMAMLPARVPLPLDLKEGEPIYVNAKQYHAILRRRQYRAKLEAQNKLIKVRKPYMHESRHLHAIKRARGSGGQFLNTKKLQSKSTPTSHGPDMSRSPQLHLSANISVTDVHQPENFKDSGSANSCSDVTSASNSDEIFQQPDFRFYGYPSCHTGEAMPGHAGHILLSSNSRAN >KJB56960 pep chromosome:Graimondii2_0_v6:9:10882981:10886684:-1 gene:B456_009G143800 transcript:KJB56960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTYVVGCSSLGNSTESQVQQSSISESLTLKMGVLPQHFHNNEQLSFQFQDQDSSSTQSTGQSYPEVASAKDRNLYGQTLTSASSGGNGTHGKLVGNHAKLAYVTGTQDHVFPPSQGYRKPIVHIPHHYANPYLGSVAATAYGSQAMIHHAHMMAMLPARVPLPLDLKEGEPIYVNAKQYHAILRRRQYRAKLEAQNKLIKVRKPYMHESRHLHAIKRARGSGGQFLNTKKLQSKSTPTSHGPDMSRSPQLHLSANISVTDVHQPENFKDSGSANSCSDVTSASNSDEIFQQPDFRFYGYPSCHTGEAMPGHAGHILLSSNSRAN >KJB56954 pep chromosome:Graimondii2_0_v6:9:10882964:10887053:-1 gene:B456_009G143800 transcript:KJB56954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHHAHMMAMLPARVPLPLDLKEGEPIYVNAKQYHAILRRRQYRAKLEAQNKLIKVRKPYMHESRHLHAIKRARGSGGQFLNTKKLQSKSTPTSHGPDMSRSPQLHLSANISVTDVHQPENFKDSGSANSCSDVTSASNSDEIFQQPDFRFYGYPSCHTGEAMPGHAGHILLSSNSRAN >KJB56961 pep chromosome:Graimondii2_0_v6:9:10882981:10885753:-1 gene:B456_009G143800 transcript:KJB56961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTYVVGCSSLGNSTESQVQQSSISESLTLKMGVLPQHFHNNEQLSFQFQDQDSSSTQSTGQSYPEVASAKDRNLYGQTLTSASSGGNGTHGKLVGNHAKLAYVTGTQDHVFPPSQGYRKPIVHIPHHYANPYLGSVAATAYGSQAMIHHAHMMAMLPARVPLPLDLKEGEPIYVNAKQYHAILRRRQYRAKLEAQNKLIKVRKPYMHESRHLHAIKRARGSGGQFLNTKKLQSKSTPTSHGPDMSRSPQLHLSANISVTDVHQPENFKDSGSANSCSDVTSASNSDEIFQQPDFRFYGYPSCHTGEAMPGHAGHILLSSNSRAN >KJB56958 pep chromosome:Graimondii2_0_v6:9:10883225:10885417:-1 gene:B456_009G143800 transcript:KJB56958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLPQHFHNNEQLSFQFQDQDSSSTQSTGQSYPEVASAKDRNLYGQTLTSASSGGNGTHGKLVGNHAKLAYVTGTQDHVFPPSQGYRKPIVHIPHHYANPYLGSVAATAYGSQAMQIHHAHMMAMLPARVPLPLDLKEGEPIYVNAKQYHAILRRRQYRAKLEAQNKLIKVRKPYMHESRHLHAIKRARGSGGQFLNTKKLQSKSTPTSHGPDMSRSPQLHLSANISVTDVHQPENFKDSGSANSCSDVTSASNSDEIFQQPDFRFYGYPSCHTGEAMPGHAGHILLSSNSRAN >KJB56962 pep chromosome:Graimondii2_0_v6:9:10883225:10885510:-1 gene:B456_009G143800 transcript:KJB56962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTYVVGCSSLGNSTESQVQQSSISESLTLKMGVLPQHFHNNEQLSFQFQDQDSSSTQSTGQSYPEVASAKDRNLYGQTLTSASSGGNGTHGKLVGNHAKLAYVTGTQDHVFPPSQGYRKPIVHIPHHYANPYLGSVAATAYGSQAMQIHHAHMMAMLPARVPLPLDLKEGEPIYVNAKQYHAILRRRQYRAKLEAQNKLIKVRKPYMHESRHLHAIKRARGSGGQFLNTKKLQSKSTPTSHGPDMSRSPQLHLSANISVTDVHQPENFKDSGSANSCSDVTSASNSDEIFQQPDFRFYGYPSCHTGEAMPGHAGHILLSSNSRAN >KJB53428 pep chromosome:Graimondii2_0_v6:9:14152704:14155306:-1 gene:B456_009G183900 transcript:KJB53428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEGIASRSELRKELERERRRVRDRQRRQSMSHEEREKHLARRRRNYQLRRQRAETARLYPAPPPVQFEDTSISTIQSPLSNSISNISPQFYAAALVDPNHGQERLMLDTRSSQSLEIPAHKLATLPGKV >KJB53430 pep chromosome:Graimondii2_0_v6:9:14152704:14155422:-1 gene:B456_009G183900 transcript:KJB53430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHEEREKHLARRRRNYQLRRQRAETARLYPAPPPVQFEDTSISTIQSPLSNSISNISPQFYAAALVDPNHGQERLMLDTRSSQSLEIPAHKLATLPGKVRLNHIKHLARAIQNPVCDGVGIGGMMKGNGTSNCLVSKGVRLNHIKRLARAVHPIVQEAPSLSLQTIRTEG >KJB53429 pep chromosome:Graimondii2_0_v6:9:14152704:14155306:-1 gene:B456_009G183900 transcript:KJB53429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEGIASRSELRKELERERRRVRDRQRRQSMSHEEREKHLARRRRNYQLRRQRAETARLYPAPPPVQFEDTSISTIQSPLSNSISNISPQFYAAALVDPNHGQERLMLDTRSSQSLEIPAHKLATLPGKVRLNHIKHLARAIQNPVCDGVGIGGMMKGNGTSNW >KJB53427 pep chromosome:Graimondii2_0_v6:9:14153677:14154851:-1 gene:B456_009G183900 transcript:KJB53427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEGIASRSELRKELERERRRVRDRQRRQSMSHEEREKHLARRRRNYQLRRQRAETARLYPAPPPVQFEDTSISTIQSPLSNSISNISPQFYAAALVDPNHGQERLMLDTRSSQSLEIPAHKLATLPGKVRLNHIKHLARAIQNPVCDGVGIGGMMKGNGTSNCLVSKGVRLNHIKRLARAVHPIVQEAPSLSLQTIRTEG >KJB53431 pep chromosome:Graimondii2_0_v6:9:14153579:14154924:-1 gene:B456_009G183900 transcript:KJB53431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEGIASRSELRKELERERRRVRDRQRRQSMSHEEREKHLARRRRNYQLRRQRAETARLYPAPPPVQFEDTSISTIQSPLSNSISNISPQFYAAALVDPNHGQERLMLDTRSSQSLEIPAHKLATLPGKVRLNHIKHLARAIQNPVCDGVGIGGMMKGNGTSNCLVSKGVRLNHIKRLARAVHPIVQEAPSLSLQTIRTEGKNVSCVFFRLCCTDSHFTESYKYILALPQILSAEDL >KJB61597 pep chromosome:Graimondii2_0_v6:9:49642810:49646087:1 gene:B456_009G368900 transcript:KJB61597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFSCLFSRKKTFSAEQTIEIDEEVSGILNTKRFPYKELKMATGNFHHSNKIGEGGFGVVYKGTFGDGTMGAIKVLSADSKQGVREFLTEINMIAEIEHENLVELCGCCVEGNHRILVYGYLENNSLAQTLLGGGHSSMQFSWEARRNICIGVAKGLAFLHEEVQPHIIHRDIKASNILLDKNLNPKISDFGLAKLFPDNMTHISTRVAGTTGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGRCNTNRRLPLSEQYLLERAWNKYESRELVELVDTSMGGEYDEEEAQKFLKIGLLCTQDIPKLRPSMSQVVKMLMGEEAVNDENISRPGLLSEFTALRGQKDNSGMISEGTGKGGNSSSSSENVTTSYATMTFNSIFDRSN >KJB61598 pep chromosome:Graimondii2_0_v6:9:49643132:49646075:1 gene:B456_009G368900 transcript:KJB61598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFSCLFSRKKTFSAEQTIEIDEEVSGILNTKRFPYKELKMATGNFHHSNKIGEGGFGVVYKGTFGDGTMGAIKVLSADSKQGVREFLTEINMIAEIEHENLVELCGCCVEGNHRILVYGYLENNSLAQTLLGGGHSSMQFSWEARRNICIGVAKGLAFLHEEVQPHIIHRDIKASNILLDKNLNPKISDFGLAKLFPDNMTHISTRVAGTTGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGRCNTNRRLPLSEQYLLERAWNKYESRELVELVDTSMGGEYDEEEAQKFLKIGLLCTQDIPKLRPSMSQVVKMLMGEEAVNDENISRPGLLSEFTALRGQKDNSGMISEGTGKGGNSSSSSENVTTSYATMTFNSIFDRSN >KJB61596 pep chromosome:Graimondii2_0_v6:9:49642810:49646075:1 gene:B456_009G368900 transcript:KJB61596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFSCLFSRKKTFSAEQTIEIDEEVSGILNTKRFPYKELKMATGNFHHSNKIGEGGFGVVYKGTFGDGTMGAIKVLSADSKQGVREFLTEINMIAEIEHENLVELCGCCVEGNHRILVYGYLENNSLAQTLLGNYFYSWFVFNIRLNVNNLRPLYLLGGGHSSMQFSWEARRNICIGVAKGLAFLHEEVQPHIIHRDIKASNILLDKNLNPKISDFGLAKLFPDNMTHISTRVAGTTGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGRCNTNRRLPLSEQYLLERAWNKYESRELVELVDTSMGGEYDEEEAQKFLKIGLLCTQDIPKLRPSMSQVVKMLMGEEAVNDENISRPGLLSEFTALRGQKDNSGMISEGTGKGGNSSSSSENVTTSYATMTFNSIFDRSN >KJB62306 pep chromosome:Graimondii2_0_v6:9:64037641:64039125:1 gene:B456_009G415700 transcript:KJB62306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSALRNAYYFTLSRYSLNPNPSLLHIVPFISTFPSLPSVLSRNHPFRSPLCATLMTGGDSDSPQTSPSLEKQFEKFRVQLEDSGSLRERIRAVVLEIESATRLMQASLLLVHQSKPIPEVLQKANSQINVLKDLYNKLAEVVRECPGQYYRYHGDWRSETQMVVSLLAFMHWLETGNLLMHTEAEEKLGLNNSDFALDLEDYLVGVCFMSNEMV >KJB62300 pep chromosome:Graimondii2_0_v6:9:64037555:64040091:1 gene:B456_009G415700 transcript:KJB62300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSALRNAYYFTLSRYSLNPNPSLLHIVPFISTFPSLPSVLSRNHPFRSPLCATLMTGGDSDSPQTSPSLEKQFEKFRVQLEDSGSLRERIRAVVLEIESATRLMQASLLLVHQSKPIPEVLQKANSQINVLKDLYNKLAEVVRECPGQYYRYHGDWRSETQMVVSLLAFMHWLETGNLLMHTEAEEKLGLNNSDFALDLEDYLVGVCFMSNEMPRYVVNQVTAGDYDCPRKVLKFLTDLHAAFRMLNLRNDFLRKKFDSMKYDLRRVEEVYYDVKIRGLATTGDSVGDQGAQKI >KJB62302 pep chromosome:Graimondii2_0_v6:9:64037590:64040020:1 gene:B456_009G415700 transcript:KJB62302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSALRNAYYFTLSRYSLNPNPSLLHIVPFISTFPSLPSVLSRNHPFRSPLCATLMTGGDSDSPQTSPSLEKQFEKFRVQLEDSGSLRERIRAVVLEIESATRLMQASLLLVHQSKPIPEVLQKANSQINVLKDLYNKLAEVVRECPGQYYRYHGDWRSETQMVVSLLAFMHWLETGNLLMHTEAEEKLGLNNSDFALDLEDYLVGVCFMSNEMMFNETAS >KJB62304 pep chromosome:Graimondii2_0_v6:9:64037590:64039286:1 gene:B456_009G415700 transcript:KJB62304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSALRNAYYFTLSRYSLNPNPSLLHIVPFISTFPSLPSVLSRNHPFRSPLCATLMTGGDSDSPQTSPSLEKQFEKFRVQLEDSGSLRERIRAVVLEIESATRLMQASLLLVHQSKPIPEVLQKANSQINVLKDLYNKLAEVVRECPGQYYRYHGDWRSETQMVVSLLAFMHWLETGNLLMHTEAEEKLGCMFHEQFGFCFRPGGLSCWCLFHVK >KJB62303 pep chromosome:Graimondii2_0_v6:9:64037590:64040020:1 gene:B456_009G415700 transcript:KJB62303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSALRNAYYFTLSRYSLNPNPSLLHIVPFISTFPSLPSVLSRNHPFRSPLCATLMTGGDSDSPQTSPSLEKQFEKFRVQLEDSGSLRERIRAVVLEIESATRLMQASLLLVHQSKPIPEVLQKANSQINVLKDLYNKLAEVVRECPGQYYRYHGDWRSETQMVVSLLAFMHWLETGNLLMHTEAEEKLGCMFHEQFGFCFRPGGLSCWCLFHVK >KJB62305 pep chromosome:Graimondii2_0_v6:9:64037590:64040020:1 gene:B456_009G415700 transcript:KJB62305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSALRNAYYFTLSRYSLNPNPSLLHIVPFISTFPSLPSVLSRNHPFRSPLCATLMTGGDSDSPQTSPSLEKQFEKFRVQLEDSGSLRERIRAVVLEIESATRLMQASLLLVHQSKPIPEVLQKANSQINVLKDLYNKLAEVVRECPGQYYRYHGDWRSETQMVVSLLAFMHWLETGNLLMHTEAEEKLGCIEQFGFCFRPGGLSCWCLFHVK >KJB62301 pep chromosome:Graimondii2_0_v6:9:64037590:64038765:1 gene:B456_009G415700 transcript:KJB62301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSALRNAYYFTLSRYSLNPNPSLLHIVPFISTFPSLPSVLSRNHPFRSPLCATLMTGGDSDSPQTSPSLEKQFEKFRVQLEDSGSLRERIRAVVLEIESATRLMQASLLLVHQSKPIPEVLQKANSQINVLKDLYNKLAEVVRECPGQYYRYHGDWRSETQMVVSLLAFMHWLETGNLLMHTEAEEKLGCMFQ >KJB58144 pep chromosome:Graimondii2_0_v6:9:15067243:15072595:-1 gene:B456_009G195900 transcript:KJB58144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWPWKKKSSDKAAAAVTLTSAASQGDQDTLKKYVQISVESYSHLTGLENQVKTYEEQVQRLEDEINDLNEKVSAANSEITSKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEEKASHLDDALKECMRQIRNLKEEHDHKLQDVVATKNKQCEKIKLELEAKIAILEQELLKSEAENAAMSGSLQERSNMVIKISEEKSQAEAEIERFKGNIESCEREINSLKYEIHVLSKEVEIRNEEKNMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRFKRSPVRPSSPHLSTISDFSIDSAQKSHKEQDFFRERLLAMEEETKMLKEALAIRNSELLASRNLCAKTSSKLQTLEAQLAISNQQRSPSKTIVQIPTEVYSSQIASNPPSMTSVSEDGNDDDRSCAESWATALISELSHFKKENSTEKSSKSENVKHLDLMDDFIEMEKLACSSNDSTANGAIIVSGCNKDKISETVNGDASGEISCRELQSEKQHDLSPPADHVSDADKLPVMKLRARLFMVLQSMSKDADMQKILEDIKHAAQDARDTLDERSVNGVSEVNGFDGTCNEHAFSGDGNLTGEKTIAMPPGDKVKSGTAQIISPELAAAISHIHNFVLSLGKEARAVDDISFDGNGLSHKIDEFFVTRNKVLSSNASLDDLIFDLSTVLAKAGELRFSVLGYKGNEVEINSPHCIDKVALPENKVTQDDSSGAIYQNGSAIISNPASNPEVPDDGNLVSEYESKQTSKFSSEEFKELKLENENMARDLSQCTENLEMTKSQLHETEQLLAEAKSQLAYAQRSNSLAETQLKCMTESYRSLETRAEELETEVNRLQVKIEALENELQDEKRSHQDAFARCKELEEQLQRNETCSVCSSAAKNDLKNKQEKELQLKAFRPQTDIMGSPFNERSQKGDDEPTTSGINLQDLYQAKMDSIAAAAASGIGSRGGAESLMESVNTPSSPYDSEANSLRTPIKPKHRSTTSMSSSSSSTPTPDKHSRGFSRFFSSKGKNDN >KJB58147 pep chromosome:Graimondii2_0_v6:9:15067243:15072059:-1 gene:B456_009G195900 transcript:KJB58147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWPWKKKSSDKAAAAVTLTSAASQGDQDTLKKYVQISVESYSHLTGLENQVKTYEEQVQRLEDEINDLNEKVSAANSEITSKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEEKASHLDDALKECMRQIRNLKEEHDHKLQDVVATKNKQCEKIKLELEAKIAILEQELLKSEAENAAMSGSLQERSNMVIKISEEKSQAEAEIERFKGNIESCEREINSLKYEIHVLSKEVEIRNEEKNMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRFKRSPVRPSSPHLSTISDFSIDSAQKSHKEQDFFRERLLAMEEETKMLKEALAIRNSELLASRNLCAKTSSKLQTLEAQLAISNQQRSPSKTIVQIPTEVYSSQIASNPPSMTSVSEDGNDDDRSCAESWATALISELSHFKKENSTEKSSKSENVKHLDLMDDFIEMEKLACSSNDSTANGAIIVSGCNKDKISETVNGDASGEISCRELQSEKQHDLSPPADHVSDADKLPVMKLRARLFMVLQSMSKDADMQKILEDIKHAAQDARDTLDERSVNGVSEVNGFDGTCNEHAFSGDGNLTGEKTIAMPPGDKVKSGTAQIISPELAAAISHIHNFVLSLGKEARAVDDISFDGNGLSHKIDEFFVTRNKVLSSNASLDDLIFDLSTVLAKAGELRFSVLGYKGNEVEINSPHCIDKVALPENKVTQDDSSGAIYQNGSAIISNPASNPEVPDDGNLVSEYESKQTSKFSSEEFKELKLENENMARDLSQCTENLEMTKSQLHETEQLLAEAKSQLAYAQRSNSLAETQLKCMTESYRSLETRAEELETEVNRLQVKIEALENELQDEKRSHQDAFARCKELEEQLQRNETCSVCSSAAKNDLKNKQEKELAEKLAECQETIFLLGKQLKAFRPQTDIMGSPFNERSQKGDDEPTTSGINLQDLYQAKMDSIAAAAASGIGSRGGAESLMESVNTPSSPYDSEANSLRTPIKPKHRSTTSMSSSSSSTPTPDKHSRGFSRFFSSKGKNDN >KJB58140 pep chromosome:Graimondii2_0_v6:9:15067243:15073034:-1 gene:B456_009G195900 transcript:KJB58140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWPWKKKSSDKAAAAVTLTSAASQGDQDTLKKYVQISVESYSHLTGLENQVKTYEEQVQRLEDEINDLNEKVSAANSEITSKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEEKASHLDDALKECMRQIRNLKEEHDHKLQDVVATKNKQCEKIKLELEAKIAILEQELLKSEAENAAMSGSLQERSNMVIKISEEKSQAEAEIERFKGNIESCEREINSLKYEIHVLSKEVEIRNEEKNMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRFKRSPVRPSSPHLSTISDFSIDSAQKSHKEQDFFRERLLAMEEETKMLKEALAIRNSELLASRNLCAKTSSKLQTLEAQLAISNQQRSPSKTIVQIPTEVYSSQIASNPPSMTSVSEDGNDDDRSCAESWATALISELSHFKKENSTEKSSKSENVKHLDLMDDFIEMEKLACSSNDSTANGAIIVSGCNKDKISETVNGDASGEISCRELQSEKQHDLSPPADHVSDADKLPVMKLRARLFMVLQSMSKDADMQKILEDIKHAAQDARDTLDERSVNGVSEVNGFDGTCNEHAFSGDGNLTGEKTIAMPPGDKVKSGTAQIISPELAAAISHIHNFVLSLGKEARAVDDISFDGNGLSHKIDEFFVTRNKVLSSNASLDDLIFDLSTVLAKAGELRFSVLGYKGNEVEINSPHCIDKVALPENKVTQDDSSGAIYQNGSAIISNPASNPEVPDDGNLVSEYESKQTSKFSSEEFKELKLENENMARDLSQCTENLEMTKSQLHETEQLLAEAKSQLAYAQRSNSLAETQLKCMTESYRSLETRAEELETEVNRLQVKIEALENELQDEKRSHQDAFARCKELEEQLQRNETCSVCSSAAKNDLKNKQEKELAEKLAECQETIFLLGKQLKAFRPQTDIMGSPFNERSQKGDDEPTTSGINLQDLYQAKMDSIAAAAASGIGSRGGAESLMESVNTPSSPYDSEANSLRTPIKPKHRSTTSMSSSSSSTPTPDKHSRGFSRFFSSKGKNDN >KJB58143 pep chromosome:Graimondii2_0_v6:9:15067226:15072595:-1 gene:B456_009G195900 transcript:KJB58143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWPWKKKSSDKAAAAVTLTSAASQGDQDTLKKYVQISVESYSHLTGLENQVKTYEEQVQRLEDEINDLNEKVSAANSEITSKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEEKASHLDDALKECMRQIRNLKEEHDHKLQDVVATKNKQCEKIKLELEAKIAILEQELLKSEAENAAMSGSLQERSNMVIKISEEKSQAEAEIERFKGNIESCEREINSLKYEIHVLSKEVEIRNEEKNMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRFKRSPVRPSSPHLSTISDFSIDSAQKSHKEQDFFRERLLAMEEETKMLKEALAIRNSELLASRNLCAKTSSKLQTLEAQLAISNQQRSPSKTIVQIPTEVYSSQIASNPPSMTSVSEDGNDDDRSCAESWATALISELSHFKKENSTEKSSKSENVKHLDLMDDFIEMEKLACSSNDSTANGAIIVSGCNKDKISETVNGDASGEISCRELQSEKQHDLSPPADHVSDADKLPVMKLRARLFMVLQSMSKDADMQKILEDIKHAAQDARDTLDERSVNGVSEVNGFDGTCNEHAFSGDGNLTGEKTIAMPPGDKVKSGTAQIISPELAAAISHIHNFVLSLGKEARAVDDISFDGNGLSHKIDEFFVTRNKVLSSNASLDDLIFDLSTVLAKAGELRFSVLGYKGNEVEINSPHCIDKVALPENKVTQDDSSGAIYQNGSAIISNPASNPEVPDDGNLVSEYESKQTSKFSSEEFKELKLENENMARDLSQCTENLEMTKSQLHETEQLLAEAKSQLAYAQRSNSLAETQLKCMTESYRSLETRAEELETEVNRLQVKIEALENELQDEKRSHQDAFARCKELEEQLQRNETCSVCSSAAKNDLKNKQEKELAEKLAECQETIFLLGKQLKAFRPQTDIMGSPFNERSQKGDDEPTTSGINLQDLYQAKMDSIAAAAASGIGSRGGAESLMESVNTPSSPYDSEANSLRTPIKPKHRSTTSMSSSSSSTPTPDKHSRGFSRFFSSKGKNDN >KJB58141 pep chromosome:Graimondii2_0_v6:9:15067243:15071233:-1 gene:B456_009G195900 transcript:KJB58141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQIRNLKEEHDHKLQDVVATKNKQCEKIKLELEAKIAILEQELLKSEAENAAMSGSLQERSNMVIKISEEKSQAEAEIERFKGNIESCEREINSLKYEIHVLSKEVEIRNEEKNMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRFKRSPVRPSSPHLSTISDFSIDSAQKSHKEQDFFRERLLAMEEETKMLKEALAIRNSELLASRNLCAKTSSKLQTLEAQLAISNQQRSPSKTIVQIPTEVYSSQIASNPPSMTSVSEDGNDDDRSCAESWATALISELSHFKKENSTEKSSKSENVKHLDLMDDFIEMEKLACSSNDSTANGAIIVSGCNKDKISETVNGDASGEISCRELQSEKQHDLSPPADHVSDADKLPVMKLRARLFMVLQSMSKDADMQKILEDIKHAAQDARDTLDERSVNGVSEVNGFDGTCNEHAFSGDGNLTGEKTIAMPPGDKVKSGTAQIISPELAAAISHIHNFVLSLGKEARAVDDISFDGNGLSHKIDEFFVTRNKVLSSNASLDDLIFDLSTVLAKAGELRFSVLGYKGNEVEINSPHCIDKVALPENKVTQDDSSGAIYQNGSAIISNPASNPEVPDDGNLVSEYESKQTSKFSSEEFKELKLENENMARDLSQCTENLEMTKSQLHETEQLLAEAKSQLAYAQRSNSLAETQLKCMTESYRSLETRAEELETEVNRLQVKIEALENELQDEKRSHQDAFARCKELEEQLQRNETCSVCSSAAKNDLKNKQEKELAEKLAECQETIFLLGKQLKAFRPQTDIMGSPFNERSQKGDDEPTTSGINLQDLYQAKMDSIAAAAASGIGSRGGAESLMESVNTPSSPYDSEANSLRTPIKPKHRSTTSMSSSSSSTPTPDKHSRGFSRFFSSKGKNDN >KJB58142 pep chromosome:Graimondii2_0_v6:9:15067199:15071689:-1 gene:B456_009G195900 transcript:KJB58142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWPWKKKSSDKAAAAVTLTSAASQGDQDTLKKYVQISVESYSHLTGLENQVKTYEEQVQRLEDEINDLNEKVSAANSEITSKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEEKASHLDDALKECMRQIRNLKEEHDHKLQDVVATKNKQCEKIKLELEAKIAILEQELLKSEAENAAMSGSLQERSNMVIKISEEKSQAEAEIERFKGNIESCEREINSLKYEIHVLSKEVEIRNEEKNMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRFKRSPVRPSSPHLSTISDFSIDSAQKSHKEQDFFRERLLAMEEETKMLKEALAIRNSELLASRNLCAKTSSKLQTLEAQLAISNQQRSPSKTIVQIPTEVYSSQIASNPPSMTSVSEDGNDDDRSCAESWATALISELSHFKKENSTEKSSKSENVKHLDLMDDFIEMEKLACSSNDSTANGAIIVSGCNKDKISETVNGDASGEISCRELQSEKQHDLSPPADHVSDADKLPVMKLRARLFMVLQSMSKDADMQKILEDIKHAAQDARDTLDERSVNGVSEVNGFDGTCNEHAFSGDGNLTGEKTIAMPPGDKVKSGTAQIISPELAAAISHIHNFVLSLGKEARAVDDISFDGNGLSHKIDEFFVTRNKVLSSNASLDDLIFDLSTVLAKAGELRFSVLGYKGNEVEINSPHCIDKVALPENKVTQDDSSGAIYQNGSAIISNPASNPEVPDDGNLVSEYESKQTSKFSSEEFKELKLENENMARDLSQCTENLEMTKSQLHETEQLLAEAKSQLAYAQRSNSLAETQLKCMTESYRSLETRAEELETEVNRLQVKIEALENELQDEKRSHQDAFARCKELEEQLQRNETCSVCSSAAKNDLKNKQEKELAEKLAECQETIFLLGKQLKAFRPQTDIMGSPFNERSQKGDDEPTTSGINLQDLYQAKMDSIAAAAASGIGSRGGAESLMESVNTPSSPYDSEANSLRTPIKPKHRSTTSMSSSSSSTPTPDKHSRGFSRFFSSKGKNDN >KJB58139 pep chromosome:Graimondii2_0_v6:9:15067243:15072545:-1 gene:B456_009G195900 transcript:KJB58139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWPWKKKSSDKAAAAVTLTSAASQGDQDTLKKYVQISVESYSHLTGLENQVKTYEEQVQRLEDEINDLNEKVSAANSEITSKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEEKASHLDDALKECMRQIRNLKEEHDHKLQDVVATKNKQCEKIKLELEAKIAILEQELLKSEAENAAMSGSLQERSNMVIKISEEKSQAEAEIERFKGNIESCEREINSLKYEIHVLSKEVEIRNEEKNMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRFKRSPVRPSSPHLSTISDFSIDSAQKSHKEQDFFRERLLAMEEETKMLKEALAIRNSELLASRNLCAKTSSKLQTLEAQLAISNQQRSPSKTIVQIPTEVYSSQIASNPPSMTSVSEDGNDDDRSCAESWATALISELSHFKKENSTEKSSKSENVKHLDLMDDFIEMEKLACSSNDSTANGAIIVSGCNKDKISETVNGDASGEISCRELQSEKQHDLSPPADHVSDADKLPVMKLRARLFMVLQSMSKDADMQKILEDIKHAAQDARDTLDERSVNGVSEVNGFDGTCNEHAFSGDGNLTGEKTIAMPPGDKVKSGTAQIISPELAAAISHIHNFVLSLGKEARAVDDISFDGNGLSHKIDEFFVTRNKVLSSNASLDDLIFDLSTVLAKAGELRFSVLGYKGNEVEINSPHCIDKVALPENKVTQDDSSGAIYQNGSAIISNPASNPEVPDDGNLVSEYESKQTSKFSSEEFKELKLENENMARDLSQCTENLEMTKSQLHETEQLLAEAKSQLAYAQRSNSLAETQLKCMTESYRSLETRAEELETEVNRLQVKIEALENELQDEKRSHQDAFARCKELEEQLQRNETCSVCSSAAKNDLKNKQEKELAEKLAECQETIFLLGKQLKAFRPQTDIMGSPFNERSQKGDDEPTTSGINLQDLYQAKMDSIAAAAASGIGSRGGAESLMESVNTPSSPYDSEANSLRTPIKPKHRSTTSMSSSSSSTPTPDKHSRGFSRFFSSKGKNDN >KJB58146 pep chromosome:Graimondii2_0_v6:9:15068199:15071403:-1 gene:B456_009G195900 transcript:KJB58146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWPWKKKSSDKAAAAVTLTSAASQGDQDTLKKYVQISVESYSHLTGLENQVKTYEEQVQRLEDEINDLNEKVSAANSEITSKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEEKASHLDDALKECMRQIRNLKEEHDHKLQDVVATKNKQCEKIKLELEAKIAILEQELLKSEAENAAMSGSLQERSNMVIKISEEKSQAEAEIERFKGNIESCEREINSLKYEIHVLSKEVEIRNEEKNMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRFKRSPVRPSSPHLSTISDFSIDSAQKSHKEQDFFRERLLAMEEETKMLKEALAIRNSELLASRNLCAKTSSKLQTLEAQLAISNQQRSPSKTIVQIPTEVYSSQIASNPPSMTSVSEDGNDDDRSCAESWATALISELSHFKKENSTEKSSKSENVKHLDLMDDFIEMEKLACSSNDSTANGAIIVSGCNKDKISETVNGDASGEISCRELQSEKQHDLSPPADHVSDADKLPVMKLRARLFMVLQSMSKDADMQKILEDIKHAAQDARDTLDERSVNGVSEVNGFDGTCNEHAFSGDGNLTGEKTIAMPPGDKVKSGTAQIISPELAAAISHIHNFVLSLGKEARAVDDISFDGNGLSHKIDEFFVTRNKVLSSNASLDDLIFDLSTVLAKAGELRFSVLGYKGNEVEINSPHCIDKVALPENKVTQDDSSGAIYQNGSAIISNPASNPEVPDDGNLVSEYESKQTSKFSSEEFKELKLENENMARDLSQCTENLEMTKSQLHETEQLLAEAKSQLAYAQRSNSLAETQLKCMTESYRSLETRAEELETEVNRLQVKIEALENELQDEKRSHQDAFARCKELEEQLQRNETCSVCSSAAKNDLKNKQVRLYFIMCS >KJB58145 pep chromosome:Graimondii2_0_v6:9:15067243:15072595:-1 gene:B456_009G195900 transcript:KJB58145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWPWKKKSSDKAAAAVTLTSAASQGDQDTLKKYVQISVESYSHLTGLENQVKTYEEQVQRLEDEINDLNEKVSAANSEITSKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEEKASHLDDALKECMRQIRNLKEEHDHKLQDVVATKNKQCEKIKLELEAKIAILEQELLKSEAENAAMSGSLQERSNMVIKISEEKSQAEAEIERFKGNIESCEREINSLKYEIHVLSKEVEIRNEEKNMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRFKRSPVRPSSPHLSTISDFSIDSAQKSHKEQDFFRERLLAMEEETKMLKEALAIRNSELLASRNLCAKTSSKLQTLEAQLAISNQQRSPSKTIVQIPTEVYSSQIASNPPSMTSVSEDGNDDDRSCAESWATALISELSHFKKENSTEKSSKSENVKHLDLMDDFIEMEKLACSSNDSTANGAIIVSGCNKDKISETVNGDASGEISCRELQSEKQHDLSPPADHVSDADKLPVMKLRARLFMVLQSMSKDADMQKILEDIKHAAQDARDTLDERSVNGVSEVNGFDGTCNEHAFSGDGNLTGEKTIAMPPGDKVKSGTAQIISPELAAAISHIHNFVLSLGKEARAVDDISFDGNGLSHKIDEFFVTRNKVLSSNASLDDLIFDLSTVLAKAGELRFSVLGYKGNEVEINSPHCIDKVALPENKVTQDDSSGAIYQNGSAIISNPASNPEVPDDGNLVSEYESKQTSKFSSEEFKELKLENENMARDLSQCTENLEMTKSQLHETEQLLAEAKSQLAYAQRSNSLAETQLKCMTESYRSLETRAEELETEVNRLQVKIEALENELQDEKRSHQDAFARCKELEEQLQRNETCSVCSSAAKNDLKNKQVRISRETSGMSRNHIPSWQAIKSFPSSDRYNGITLQREEPKG >KJB58954 pep chromosome:Graimondii2_0_v6:9:18302575:18303983:1 gene:B456_009G232200 transcript:KJB58954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole-succinocarboxamide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21110) UniProtKB/Swiss-Prot;Acc:P38025] MAECVRTTLNPSHFLLHSTKTPTQNPFFSSISSFEAKPNARNYPKISLSVMSSQNQSQGQTQVPSLDALLNSGRKEEVFASIKASLHNCLSETNLQLTVPGLKSKTRGKVRDIYDSGDYLVLVTTDRQSAFDRILASIPFKGQVLNETSLWWFNRTRHMIPSAVLSVPDKNVTIAKKCSVFPVEFVVRGFVTGSTETSLWTVYKNGVRNYCGNVLPNGLVKNQKLTANILTPTTKAEDHDVPVTPDEVDPSFHQS >KJB58956 pep chromosome:Graimondii2_0_v6:9:18302173:18305647:1 gene:B456_009G232200 transcript:KJB58956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole-succinocarboxamide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21110) UniProtKB/Swiss-Prot;Acc:P38025] MAECVRTTLNPSHFLLHSTKTPTQNPFFSSISSFEAKPNARNYPKISLSVMSSQNQSQGQTQVPSLDALLNSGRKEEVFASIKASLHNCLSETNLQLTVPGLKSKTRGKVRDIYDSGDYLVLVTTDRQSAFDRILASIPFKGQVLNETSLWWFNRTRHMIPSAVLSVPDKNVTIAKKCSVFPVEFVVRGFVTGSTETSLWTVYKNGVRNYCGNVLPNGLVKNQKLTANILTPTTKAEDHDVPVTPDEIIERGLMTQDEFDEAREKALSLFEYGQRVALERGLILVDTKYEFGKSSDGSILLIDEVHTPDSSRYWISNSYEERFQNGLEPENIDKVCG >KJB58958 pep chromosome:Graimondii2_0_v6:9:18302173:18306576:1 gene:B456_009G232200 transcript:KJB58958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole-succinocarboxamide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21110) UniProtKB/Swiss-Prot;Acc:P38025] MAECVRTTLNPSHFLLHSTKTPTQNPFFSSISSFEAKPNARNYPKISLSVMSSQNQSQGQTQVPSLDALLNSGRKEEVFASIKASLHNCLSETNLQLTVPGLKSKTRGKVRDIYDSGDYLVLVTTDRQSAFDRILASIPFKGQVLNETSLWWFNRTRHMIPSAVLSVPDKNVTIAKKCSVFPVEFVVRGFVTGSTETSLWTVYKNGVRNYCGNVLPNGLVKNQKLTANILTPTTKAEDHDVPVTPDEIIERGLMTQDEFDEAREKALSLFEYGQRVALERGLILVDTKYEFGKSSDGSILLIDEVHTPDSSRYWISNSYEERFQNGLEPENIDKEFLRLWFRENCNPYEDKIHLFIRDYNKIKI >KJB58953 pep chromosome:Graimondii2_0_v6:9:18302131:18306589:1 gene:B456_009G232200 transcript:KJB58953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole-succinocarboxamide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21110) UniProtKB/Swiss-Prot;Acc:P38025] MAECVRTTLNPSHFLLHSTKTPTQNPFFSSISSFEAKPNARNYPKISLSVMSSQNQSQGQTQVPSLDALLNSGRKEEVFASIKASLHNCLSETNLQLTVPGLKSKTRGKVRDIYDSGDYLVLVTTDRQSAFDRILASIPFKGQVLNETSLWWFNRTRHMIPSAVLSVPDKNVTIAKKCSVFPVEFVVRGFVTGSTETSLWTVYKNGVRNYCGNVLPNGLVKNQKLTANILTPTTKAEDHDVPVTPDEIIERGLMTQDEFDEAREKALSLFEYGQRVALERGLILVDTKYEFGKSSDGSILLIDEVHTPDSSRYWISNSYEERFQNGLEPENIDKEFLRLWFRENCNPYEDKVLPDAPEELVCELAWRYIFLYETITKSRFEMQPMGEPIHDRISRNVSSALSSFQ >KJB58959 pep chromosome:Graimondii2_0_v6:9:18302173:18306576:1 gene:B456_009G232200 transcript:KJB58959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole-succinocarboxamide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21110) UniProtKB/Swiss-Prot;Acc:P38025] MAECVRTTLNPSHFLLHSTKTPTQNPFFSSISSFEAKPNARNYPKISLSVMSSQNQSQGQTQVPSLDALLNSGRKEEVFASIKASLHNCLSETNLQLTVPGLKSKTRGKVRDIYDSGDYLVLVTTDRQSAFDRILASIPFKGQVLNETSLWWFNRTRHMIPSAVLSVPDKNVTIAKKCSVFPVEFVVRGFVTGSTETSLWTVYKNGVRNYCGNVLPNGLVKNQKLTANILTPTTKAEDHDVPVTPDEIIERGLMTQDEFDEAREKALSLFEYGQRVALERGLILVDTKYEFGKSSDGSILLIDEVHTPDSSRYWISNSYEERFQNGLEPENIDKEFLRLWFRENCNPYEDKVLPDAPEELVCELAWRYIFLYETITKSRFEMQPMGEPIHDRISRNVSSALSSFQ >KJB58957 pep chromosome:Graimondii2_0_v6:9:18302173:18306042:1 gene:B456_009G232200 transcript:KJB58957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole-succinocarboxamide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21110) UniProtKB/Swiss-Prot;Acc:P38025] MAECVRTTLNPSHFLLHSTKTPTQNPFFSSISSFEAKPNARNYPKISLSVMSSQNQSQGQTQVPSLDALLNSGRKEEVFASIKASLHNCLSETNLQLTVPGLKSKTRGKVRDIYDSGDYLVLVTTDRQSAFDRILASIPFKGQVLNETSLWWFNRTRHMIPSAVLSVPDKNVTIAKKCSVFPVEFVVRGFVTGSTETSLWTVYKNGVRNYCGNVLPNGLVKNQKLTANILTPTTKAEDHDVPVTPDEIIERGLMTQDEFDEAREKALSLFEYGQRVALERGLILVDTKYEFGKSSDGSILLIDEVHTPDSSRYWISNSYEERFQNGLEPENIDKEFLRLWFRENCNPYEDKVLPDAPEELVCELAWRYIFLFIIPFS >KJB58955 pep chromosome:Graimondii2_0_v6:9:18302173:18304665:1 gene:B456_009G232200 transcript:KJB58955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole-succinocarboxamide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21110) UniProtKB/Swiss-Prot;Acc:P38025] MAECVRTTLNPSHFLLHSTKTPTQNPFFSSISSFEAKPNARNYPKISLSVMSSQNQSQGQTQVPSLDALLNSGRKEEVFASIKASLHNCLSETNLQLTVPGLKSKTRGKVRDIYDSGDYLVLVTTDRQSAFDRILASIPFKGQVLNETSLWWFNRTRHMIPSAVLSVPDKNVTIAKKCSVFPVEFVVRGFVTGSTETSLWTVYKNGVRNYCGNVLPNGLVKNQKLTANILTPTTKAEDHDVPVTPDEIIERGLMTQDEFDEAREKALSLFEYGQVILILFINFMCFHGVISINTLEILQDEIK >KJB60765 pep chromosome:Graimondii2_0_v6:9:32163420:32167916:1 gene:B456_009G324500 transcript:KJB60765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDCTQWTCNLCGNVGMADGSDGYFYCLRCGSQADDIIDTGVADEDFIEKGSQGGGALYLASHTRHARQPIPVQPLSQVDPKSLEFWSRLTEEPGGHNVNQDGTGDGVGPTGTSDFGSYPACAYSYEGYYQEVRNRYVMGMQMMIEAQCEALVEKFNMKPLICGIVGPIWLRFLASTKVFDDGWADEAIHQSEIKKSGESEDFKPLSRHKAEPHNIHGQRALIIWHKYLRKKIPLSCSLAISFLGCHVSREAVLPSDVIKWAVEGKLTYFDAFVEIEKRIGQSLPPFPLSLKSMFRPRHACSAQQLESLAATIAQCIGLNLPPVNFYGIASRYLTELSLPVEKILPHACRIHEWAMPPELRLSTNNFGLPTCVHAMAILVIAIRILYNINGLGVWEKSLSSHMLPSRSTEATSKDPASSPKVSDTAENGFGSHSVDCMDTSSSRNLLSDNESKFDAAELLCNLEARYNEINNACGGVKCLRDLSKSMPLYLQFCQDVVFAGSEPAVDFYHEEKTLIDKLWDYYQKEKGSEPEEDLGRRHSIANGCVYKANKMARDNEHHSSPSHERTSHEDVSTQRHSDFDHSSMTSEERENSEPSDKVSAETNEHRAIRLMKKNMEENRFCYIPPRVMLKRLDYLHYARKKDEGTITYVAHADYYILLRACAIVAEVDMRIMHVGVLNMERRLAWLEKRIDHCLHLIPPSTTCKFCSNEPEQATDDHTIGLSNLNLL >KJB60766 pep chromosome:Graimondii2_0_v6:9:32164332:32166625:1 gene:B456_009G324500 transcript:KJB60766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDCTQWTCNLCGNVGMADGSDGYFYCLRCGSQADDIIDTGVADEDFIEKGSQGGGALYLASHTRHARQPIPVQPLSQVDPKSLEFWSRLTEEPGGHNVNQDGTGDGVGPTGTSDFGSYPACAYSYEGYYQEVRNRYVMGMQMMIEAQCEALVEKFNMKPLICGIVGPIWLRFLASTKVFDDGWADEAIHQSEIKKSGESEDFKPLSRHKAEPHNIHGQRALIIWHKYLRKKIPLSCSLAISFLGCHVSREAVLPSDVIKWAVEGKLTYFDAFVEIEKRIGQSLPPFPLSLKSMFRPRHACSAQQLESLAATIAQCIGLNLPPVNFYGIASRYLTELSLPVEKILPHACRIHEWAMPPELRLSTNNFGLPTCVHAMAILVIAIRILYNINGLGVWEKSLSSHMLPSRSTEATSKDPASSPKVSDTAENGFGSHSVDCMDTSSSRNLLSDNESKFDAAELLCNLEARYNEINNACGGVKCLRDLSKSMPLYLQFCQDVVFAGSEPAVDFYHEEKTLIDKLWDYYQKEKVTYKL >KJB60767 pep chromosome:Graimondii2_0_v6:9:32163362:32167916:1 gene:B456_009G324500 transcript:KJB60767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDCTQWTCNLCGNVGMADGSDGYFYCLRCGSQADDIIDTGVADEDFIEKGSQGGGALYLASHTRHARQPIPVQPLSQVDPKSLEFWSRLTEEPGGHNVNQDGTGDGVGPTGTSDFGSYPACAYSYEGYYQEVRNRYVMGMQMMIEAQCEALVEKFNMKPLICGIVGPIWLRFLASTKVFDDGWADEAIHQSEIKKSGESEDFKPLSRHKAEPHNIHGQRALIIWHKYLRKKIPLSCSLAISFLGCHVSREAVLPSDVIKWAVEGKLTYFDAFVEIEKRIGQSLPPFPLSLKSMFRPRHACSAQQLESLAATIAQCIGLNLPPVNFYGIASRYLTELSLPVEKILPHACRIHEWAMPPELRLSTNNFGLPTCVHAMAILVIAIRILYNINGLGVWEKSLSSHMLPSRSTEATSKDPASSPKVSDTAENGFGSHSVDCMDTSSSRNLLSDNESKFDAAELLCNLEARYNEINNACGGVKCLRDLSKSMPLYLQFCQDVVFAGSEPAVDFYHEEKTLIDKLWDYYQKEKGSEPEEDLGRRHSIANGCVYKANKMARDNEHHSSPSHERTSHEDVSTQRHSDFDHSSMTSEERENSEPSDKVSAETNEHRAIRLMKKNMEENRFCYIPPRVMLKRLDYLHYARKKDEGTITYVAHADYYILLRACAIVAEVDMRIMHVGVLNMERRLAWLEKRIDHCLHLIPPSTTCKFCSNEPEQATDDHTIGLSNLNLL >KJB62019 pep chromosome:Graimondii2_0_v6:9:54804067:54806994:1 gene:B456_009G395800 transcript:KJB62019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDNLVLHSKQNHELVSIFNKLKYSPSKDAIFCLPCFLFNSNPSSHFGSTAFTHSGFSNWKKIHDGCNCTFLTSMGKDPNLLHNNAQRAYVDLMNQAQHIEVSLDRQTTQQITANRLRLKTSIDVVRWLSFQRCAFRGYDESSRSKNRGKFLEFLSLLESYDEKVEYVLKSAPQNANYISSTIQKEILQIYASRVPNVIREKIGDRKFSIIVDEARDKSKKEQMAITLRFNVIFNVLLQHSFDIQNIRGQGYDGASNMRREFNGLQALILNDFRYAYYVHCFAHRLQLALVVAAREVVEVHQFFKDLSDTVNIALASSKWYDELQKPQAIEITHLVSINELATGIGMNQIGTLQRPGETRWSSYLNSVTSLLKMYNATSTVLENLKNTAFNYYQRGDAYNAYNRFRYFEFTFILHMMKEVLGVTDNLCQALQRRPQDILNAKSLVLTMKDLIQKLRDDGWNELLKNVISFCETWEFDFPDMNAQYIVGRSRNNKEAVTVEHHYRVDIFFSTIDTQLQELKSMFNEHVVELLTLTTALDLKEFFKLFDIDKICILKERHLYELKHYELDVCKHPNLRKISTPSELCRSLFESGKSVMYPLVDRLIRLILFLPVSTRSPERDFSTMKIIEKEIAEKFDINKIIDDFTEVKDRRVQLK >KJB54880 pep chromosome:Graimondii2_0_v6:9:3791954:3792966:1 gene:B456_009G052600 transcript:KJB54880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKIWHRQCSSRLETIKLGRSYTQRGHDEHSKPKWRTFWKIFTRERKKIFASPVGFQASYDPDEYSQNFDQGTGWAEPDNLSRSFSARFADPSRISRRNPLRSCDWQMNDS >KJB56381 pep chromosome:Graimondii2_0_v6:9:8640457:8641508:-1 gene:B456_009G1174001 transcript:KJB56381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVPNTPETSITGAPGEDVQVSPCCQVWKNKYLKVEKGRMCLKQAVRLLEKECDNIQAQNLKLKKAYEDEQARAEVEKEGKKTELALRGSLENELSALKSEISNLQQKGDSDVEHKFEEIKLLEASLSDREKEISWLKELVEKEKKRADLEKKKAAEAEKHADIVKSKAGEERRLADMERKKAEDYRTQLEALREEVREAKSKLVFEKSKFDEATKQLQEETRK >KJB56760 pep chromosome:Graimondii2_0_v6:9:10144303:10145563:1 gene:B456_009G135000 transcript:KJB56760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITFSLQSLKLSSPFLHGSTSLPLLSKPNSSPPHQPLRSPAFLPPIRAMKSMQGRVVCATNDKTVAVEVVRLAPHPKYKRRVRKKKKFQAHDPDNQFKVGDYVQLEKSRPISKTKTFIAVAVPSRNGKQEKEEAGELGIPLESKQTQEQPQA >KJB61783 pep chromosome:Graimondii2_0_v6:9:51646470:51649429:-1 gene:B456_009G380500 transcript:KJB61783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPILGIANCLGTPACKYLQYHRKLNDYVRNFKRMRDELNCKMEDIELQLKAELLRPLGKIPKKGVEIWLKAVKEMIREAQVVENKVSNGRYLCRACNGKLVDEKTGEMKKFLDNAPNASEGLATDGPSAGLPLPTSELVGEEAVRNEIWACLMQEEVSKIGVWGMGGVGKTTIMKHIHNDLLKEQRFERVIWVTISKEFNVMKVQDDIAGALKLKEDWPREGDKLRRAAILLEMLKNAGKHVLILDDVWDKVSVVEVGIPEPSGGNGCKLVVTTRSEHVCKYMGCKVIKVKPLSEEEALILFLNKVGPNIVQSPTIMPTLKLVVKECAGLPLTIIVVAGTMKGEYSPRIWKNALKDLKDRIGKVEGVEAEVFERLKFSFDHLKDEKVKDCFLYCALYPEDYEIHKVELIECWIAEIFIDEMDTRQEMEDKGLSILKRLEDNCLLENITTQFGLHGIKMHDAVRDMALSITRMNPRYMIQAGLQLEELPEKEQWSPDIEKVSLMYNSISEISIDVLPTKCQLLTTLLLQHNPVKKIPYSFFINMPCLSVLNLSSTKIESLPNSISELKNLTTLLLCDCEELRDLPCLSMLQELKKLDLCGTKIEEVPEGMDMLIKLRYLDLGVFTLKEIPAGLLPKLVHLQHLGFHWNNKRTSLKAEEMEPLKKLECLTGCFEDISEFNKFISSMQQSKKNLIKYSLHMSSSFMDATRDKTVTIGGVQNWEGELIMHPIEIQELNIFHCDYLRSLVDDNSSFKNAIGLRLCRIYDCKGIECVVSLSSFASSFAYPFQSLEMLNLLALPKLSALIMKDAGIGSATTSTFAPSTTFSHLKEIKIVDCSSMKTLLPHCQLVEILGAETSEVEEKGSDALIKFHLPKLRELSFWELPNLKSICSKSGVMVCDSLQLIQVAGDCYKLKRFPPFVPLVGNGQPFAYAPPSLTIRSWEEWWEWLEWDDHPNFKNVLRFNPFAG >KJB59566 pep chromosome:Graimondii2_0_v6:9:21546098:21548572:-1 gene:B456_009G261100 transcript:KJB59566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQQGFVTWFVGVLFLTTLLLSSADVHHYEFFVRESNFTKLCNTTTLLVVNDSYPGPQIRVHRGDTVFVNVHNQGNYGFTIHWHGVKQPRNPWSDGPEFVTQCPIQPGTNFTYEIVLSDEIGTLWWHAHSDWTRGSVHGAFIILPAKKETYPFPTPNADQTVILESWYDGDYKQIIDDALAAGVSPRQPNAYAINGHVGDTYGCPNDTIFRMQVDSEKIYLLRIINAAMNEHFFFTIANHTLTVVAQDASYVRRFTRDYILISPGQTMDVLVSANRNVGQYYMAIRPFSDSSAAPIDNITTGIFQYTNSEGGLNASLITLPVMNDTDAMINFLNQIRNTKVSQNPRINVPADKDIKRRVFIALAVNNLPCSNCVVGTRLVASLNNVSYVSPSIDILQAYYNRYIIYIHGYSNS >KJB59565 pep chromosome:Graimondii2_0_v6:9:21545998:21548717:-1 gene:B456_009G261100 transcript:KJB59565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQQGFVTWFVGVLFLTTLLLSSADVHHYEFFVRESNFTKLCNTTTLLVVNDSYPGPQIRVHRGDTVFVNVHNQGNYGFTIHWHGVKQPRNPWSDGPEFVTQCPIQPGTNFTYEIVLSDEIGTLWWHAHSDWTRGSVHGAFIILPAKKETYPFPTPNADQTVILESWYDGDYKQIIDDALAAGVSPRQPNAYAINGHVGDTYGCPNDTIFRMQVDSEKIYLLRIINAAMNEHFFFTIANHTLTVVAQDASYVRRFTRDYILISPGQTMDVLVSANRNVGQYYMAIRPFSDSSAAPIDNITTGIFQYTNSEGGLNASLITLPVMNDTDAMINFLNQIRNTKVSQNPRINVPADKDIKRRVFIALAVNNLPCSNCVVGTRLVASLNNVSYVSPSIDILQAYYNRNMSGVYTEDFPLNPPVIYDFTGNLTNLNTPVEEGTRVIVVNYGEGVEMVLQATQMGAGGSHPIHLHGFSFYWVGTGFGNFNNKTDPSTYNLVDPPLINTVHVPGRRWVAIRFFATNPGVWFMHCHLERHSSWGMDTVLIVRNGKTKKTSIRPPPSTMPRCPGT >KJB57814 pep chromosome:Graimondii2_0_v6:9:13998469:13999997:1 gene:B456_009G181900 transcript:KJB57814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLYRRGTVHPSPLSTTDHLSFLPATILTLVAALSPEDREVLAYLISCANNDFSNFSSHRKNTQKHPTKRSISFSSGSDHDHPPLFTCDCFRCYMSYWVRWDSSSNRQLIHEIIDAFEDGLAQSKKTKSKKDRKKKSGGADGSGGSKRTDLSLGKDESCDSKSAEASSSSKSCGAEVCGDDGEEEGTDKGPVRRFVNFIGERIWNVWGQ >KJB57776 pep chromosome:Graimondii2_0_v6:9:13874380:13875657:-1 gene:B456_009G180100 transcript:KJB57776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQASNFLASSSSPSKQIHAAISVPKLPSIRFSVPKVPTKSLSVELNTRDGFINTIPFQKNVTGNGIPPVQESSSASMATVQLYAILESVIDRVEMHNNVGQQRENWNALLLNSINMITLTAATMAGVTATGSAGISVLGLKLASTLLFSAATGMLVMMNKIQPSQLVEEQRNATRLFKQLQGQIETLLAIGSPSKEEVKDAMEKVLALDKAYPLPLLGVMLEKFPESLEPAVWWPKTQSQKTNKQKHSNGKVESNGWTEELELEMRQVVEVIKRKDSEDYERLGNKALKMNKVLAASGPLLTGIAALGSAFMGPSNGPWAAIMAAVAGALASAVNTFEHGGQVGMVFEMYRNNAGFFKLVQESIESTLSESDLGERENGELFEMKVALQLGRSLSQLRDLAKKPSYSCIDGSPIDEFASKLF >KJB59593 pep chromosome:Graimondii2_0_v6:9:21684761:21690784:-1 gene:B456_009G262500 transcript:KJB59593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLQEFKKNNNNGNRKRKSQSHSNTGNTDRRSNTLTFPLLMAAALSHSHTNTNTHTVVKDCLTKFLSHPIQPHEATCILSLCPLLLRSKSPHIATLTAQFVGAVSLLSFEVNHQVVSDFHTLKALISLIPTSRKAVSMAACDGLLDICSTCLGRQRLLHFSALETLMFAFLEVQGSSTLISLSTGDDVNIASLRIGFQKGELPVLLLNAAIILINTCNIEQLRKIPSKLSECFSVSLKEVWREAHKKMINSDILGAGQGMNLYFSNITISDLAESIFRLSINVDQFPALMSSNIVERRIFWSSEDDFKHFILNQWEVLPCVVRRFSSASLEVDEIFTSFMQSLCFKDSFPLVLSSILQDLISCLPIDSDELDILNFLMEVRNKLGCPLINEQDIRVLRTDNQFKQEVHFFQENSDSCRVKTPHVLCLDDMLKCQEAYNDGYTVALRGMEFRFQRVALIVDRLASIFGQPSAGANMYLTPPNSQGLARHYDDHCVFICQIFGSKQWKIFSQLNGQLPRLYDPCNILNNETIDDTRDCYNFFLNEGDVLYIPRGCPHEACTHYDKQDGSVGFSLHLTLGVEVEPPFEWEGFMHMALFCWNRTQHHSHPSLKSLSGILNVMSTRLLHIVIGLIGNSDPAFRKACLVAAASSQSNTNSWLDQCQRTIFSYLINKISRELRFQEALKSVEAAIERNEDPFQQIKWLGYLNQERESVEGHDWDLDWYVGSTEIKDIFAVYVEYKEMAERVFMDVKSKFCNEVSYDDVTANYKLLHEKYEEVRKQYMNGMLSLHSI >KJB59594 pep chromosome:Graimondii2_0_v6:9:21684761:21690816:-1 gene:B456_009G262500 transcript:KJB59594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLQEFKKNNNNGNRKRKSQSHSNTGNTDRRSNTLTFPLLMAAALSHSHTNTNTHTVVKDCLTKFLSHPIQPHEATCILSLCPLLLRSKSPHIATLTAQFVGAVSLLSFEVNHQVVSDFHTLKALISLIPTSRKAVSMAACDGLLDICSTCLGRQRLLHFSALETLMFAFLEVQGSSTLISLSTGDDVNIASLRIGFQKGELPVLLLNAAIILINTCNIEQLRKIPSKLSECFSVSLKEVWREAHKKMINSDILGAGQGMNLYFSNITISDLAESIFRLSINVDQFPALMSSNIVERRIFWSSEDDFKHFILNQWEVLPCVVRRFSSASLEVDEIFTSFMQSLCFKDSFPLVLSSILQDLISCLPIDSDELDILNFLMEVRNKLGCPLINEQDIRVLRTDNQFKQEVHFFQENSDSCRVKTPHVLCLDDMLKCQEAYNDGYTVALRGMEFRFQRVALIVDRGCPHEACTHYDKQDGSVGFSLHLTLGVEVEPPFEWEGFMHMALFCWNRTQHHSHPSLKSLSGILNVMSTRLLHIVIGLIGNSDPAFRKACLVAAASSQSNTNSWLDQCQRTIFSYLINKISRELRFQEALKSVEAAIERNEDPFQQIKWLGYLNQERESVEGHDWDLDWYVGSTEIKDIFAVYVEYKEMAERVFMDVKSKFCNEVSYDDVTANYKLLHEKYEEVRKQYMNGMLSLHSI >KJB60558 pep chromosome:Graimondii2_0_v6:9:29179742:29185683:1 gene:B456_009G312500 transcript:KJB60558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAVAWHASFTSYSSSSSSSPFPRNPIVPVGFSLSLSPRIHTLSSAFPSLSIKPKRPNSSFEVRSVAAPAEDIAGFDEMVSGTERKYYMLGGKGGVGKTSCAASLAVKFANNGHHTLVVSTDPAHSLSDSFAQDLTGGMLVPVEGPDFPLFALEINPDKAREEFRDATKNNGGTGVKEFMDGMGLGMLVEQLGELKLGELLDTPPPGLDEAIAISKVMQFLESPQYNMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKIASATSAIKSVFGQEETKQNAADKLERLRERMVKVRDLFRDADSTEFVIVTIPTVMAVSESSRLSASLKKENVPVKRLIVNQILPPSASDCKFCAVKRKDQMRALDVIQSDPELSSLKLIQSPLVDMEIRGVPALKFMGDIVWK >KJB60561 pep chromosome:Graimondii2_0_v6:9:29179921:29185588:1 gene:B456_009G312500 transcript:KJB60561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAVAWHASFTSYSSSSSSSPFPRNPIVPVGFSLSLSPRIHTLSSAFPSLSIKPKRPNSSFEVRSVAAPAEDIAGFDEMVSGTERKYYMLGGKGGVGKTSCAASLAVKFANNGHHTLVVSTDPAHSLSDSFAQDLTGGMLVPVEGPDFPLFALELGELKLGELLDTPPPGLDEAIAISKVMQFLESPQYNMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKIASATSAIKSVFGQEETKQNAADKLERLRERMVKVRDLFRDADSTEFVIVTIPTVMAVSESSRLSASLKKENVPVKRLIVNQILPPSASDCKFCAVKRKDQMRALDVIQSDPELSSLKLIQSPLVDMEIRGVPALKFMGDIVWK >KJB60559 pep chromosome:Graimondii2_0_v6:9:29179777:29185588:1 gene:B456_009G312500 transcript:KJB60559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAVAWHASFTSYSSSSSSSPFPRNPIVPVGFSLSLSPRIHTLSSAFPSLSIKPKRPNSSFEEDIAGFDEMVSGTEHKAREEFRDATKNNGGTGVKEFMDGMGLGMLVEQLGELKLGELLDTPPPGLDEAIAISKVMQFLESPQYNMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKIASATSAIKSVFGQEETKQNAADKLERLRERMVKVRDLFRDADSTEFVIVTIPTVMAVSESSRLSASLKKENVPVKRLIVNQILPPSASDCKFCAVKRKDQMRALDVIQSDPELSSLKLIQSPLVDMEIRGVPALKFMGDIVWK >KJB60560 pep chromosome:Graimondii2_0_v6:9:29179777:29185588:1 gene:B456_009G312500 transcript:KJB60560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAVAWHASFTSYSSSSSSSPFPRNPIVPVGFSLSLSPRIHTLSSAFPSLSIKPKRPNSSFEEDIAGFDEMVSGTERKYYMLGGKGGVGKTSCAASLAVKFANNGHHTLVVSTDPAHSLSDSFAQDLTGGMLVPVEGPDFPLFALEINPDKAREEFRDATKNNGGTGVKEFMDGMGLGMLVEQLGELKLGELLDTPPPGLDEAIAISKVMQFLESPQYNMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKIASATSAIKSVFGQEETKQNAADKLERLRERMVKVRDLFRDADSTEFVIVTIPTVMAVSESSRLSASLKKENVPVKRLIVNQILPPSASDCKFCAVKRKDQMRALDVIQSDPELSSLKLIQSPLVDMEIRGVPALKFMGDIVWK >KJB60874 pep chromosome:Graimondii2_0_v6:9:33565040:33568434:-1 gene:B456_009G329300 transcript:KJB60874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMGDFPTFLVVALLIFSCLSYVRSDASDHRYKDGDAVPLYANKVGPFHNPSETYRYFDLPFCSPDHVTEKREALGEVLNGDRLVSAPYKLNFKEEKDSSVVCKKKLLKDEVAFFRKAVDKDYYFQMYYDDLPIWGFIGKVDKEGKTDPSEYKYFLFKHIQFDVLYNKDRVIEVNARMDPHSLVDLTEDKEVDAEFMYSAKWKETETPFEKRMDKYSMSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILLRVLKNDFIKYGQDEEAADDQEETGWKYIHGDVFRFPKYKSLFAAALGSGTQLFTLTVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGNNWVRNLLLTGCLFCGPLFLTFCFLNTVAIVYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRSAIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGIFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYRSIKCE >KJB60875 pep chromosome:Graimondii2_0_v6:9:33565847:33568252:-1 gene:B456_009G329300 transcript:KJB60875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMGDFPTFLVVALLIFSCLSYVRSDASDHRYKDGDAVPLYANKVGPFHNPSETYRYFDLPFCSPDHVTEKREALGEVLNGDRLVSAPYKLNFKEEKDSSVVCKKKLLKDEVAFFRKAVDKDYYFQMYYDDLPIWGFIGKVDKEGKTDPSEYKYFLFKHIQFDVLYNKDRVIEVNARMDPHSLVDLTEDKEVDAEFMYSAKWKETETPFEKRMDKYSMSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILLRVLKNDFIKYGQDEEAADDQEETGWKYIHGDVFRFPKYKSLFAAALGSGTQLFTLTVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGNNWVRNLLLTGCLFCGPLFLTFCFLNTVAIVYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRSAIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRYIISLILHLPLHCFLCLHFESIRKFAI >KJB60873 pep chromosome:Graimondii2_0_v6:9:33565040:33568252:-1 gene:B456_009G329300 transcript:KJB60873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYNFPWNLDHVTEKREALGEVLNGDRLVSAPYKLNFKEEKDSSVVCKKKLLKDEVAFFRKAVDKDYYFQMYYDDLPIWGFIGKVDKEGKTDPSEYKYFLFKHIQFDVLYNKDRVIEVNARMDPHSLVDLTEDKEVDAEFMYSAKWKETETPFEKRMDKYSMSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILLRVLKNDFIKYGQDEEAADDQEETGWKYIHGDVFRFPKYKSLFAAALGSGTQLFTLTVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGNNWVRNLLLTGCLFCGPLFLTFCFLNTVAIVYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRSAIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGIFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYRSIKCE >KJB54469 pep chromosome:Graimondii2_0_v6:9:3290164:3293187:-1 gene:B456_009G045600 transcript:KJB54469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTGKTQFFRSSSLTAGERICASAFLPVLIVIDFLIYATSNCFGYRPRPKKCIYEFTDLTRLAHESRFSVNEVEALYELFKKLSSSIIDDGLIHKEELQLALFQTPIGSNLFLDRVFDLFDEKRNGVIEFEEFVHALNVFHPCAPLEDKIDFAFRLYDLRQTGFIEREEVKQMVIAILMESGMNLSDDLLEEIIDKTFDDADADKDGKINKEEWKAFVLRNPNLLRNMTLPHLKCITTFFPSFVYNTGVEDF >KJB54472 pep chromosome:Graimondii2_0_v6:9:3290175:3292999:-1 gene:B456_009G045600 transcript:KJB54472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTGKTQFFRSSSLTAGERICASAFLPVLIVIDFLIYATSNCFGYRPRPKKCIYEFTDLTRLAHESRFSVNEVEALYELFKKLSSSIIDDGLIHKEELQLALFQTPIGSNLFLDRVFDLFDEKRNGVIEFEEFVHALNVFHPCAPLEDKIDFAFRLYDLRQTGFIEREEVKQMVIAILMESGMNLSDDLLEEIIDKVAL >KJB54473 pep chromosome:Graimondii2_0_v6:9:3290175:3292999:-1 gene:B456_009G045600 transcript:KJB54473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTGKTQFFRSSSLTAGERICASAFLPVLIVIDFLIYATSNCFGYRPRPKKCIYEFTDLTRLAHESRFSVNEVEALYELFKKLSSSIIDDGLIHKEELQLALFQTPIGSNLFLDRVFDLFDEKRNGVIEFEEFVHALNVFHPCAPLEDKIDFAFRLYDLRQTGFIEREEVKQMTFDDADADKDGKINKEEWKAFVLRNPNLLRNMTLPHLKSVMNSYFQCITTFFPSFVYNTGVEDF >KJB54471 pep chromosome:Graimondii2_0_v6:9:3291170:3292865:-1 gene:B456_009G045600 transcript:KJB54471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTGKTQFFRSSSLTAGERICASAFLPVLIVIDFLIYATSNCFGYRPRPKKCIYEFTDLTRLAHESRFSVNEVEALYELFKKLSSSIIDDGLIHKEELQLALFQTPIGSNLFLDRVFDLFDEKRNGVIEFEEFVHALNVFHPCAPLEDKIDFAFRLYDLRQTGFIEREEVS >KJB54474 pep chromosome:Graimondii2_0_v6:9:3290803:3292865:-1 gene:B456_009G045600 transcript:KJB54474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTGKTQFFRSSSLTAGERICASAFLPVLIVIDFLIYATSNCFGYRPRPKKCIYEFTDLTRLAHESRFSVNEVEALYELFKKLSSSIIDDGLIHKEELQLALFQTPIGSNLFLDRVFDLFDEKRNGVIEFEEFVHALNVFHPCAPLEDKIDFAFRLYDLRQTGFIEREEVKQMVIAILMESGMNLSDDLLEEIIDKTFDDADADKDGKINKEEWKAFVLRNPNLLRNMTLPHLKSVMNSYFQYCTPNLVFLIDPLALSYLR >KJB54470 pep chromosome:Graimondii2_0_v6:9:3290175:3292999:-1 gene:B456_009G045600 transcript:KJB54470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTGKTQFFRSSSLTAGERICASAFLPVLIVIDFLIYATSNCFGYRPRPKKCIYEFTDLTRLAHESRFSVNEVEALYELFKKLSSSIIDDGLIHKEELQLALFQTPIGSNLFLDRVFDLFDEKRNGVIEFEEFVHALNVFHPCAPLEDKIDFAFRLYDLRQTGFIEREEVKQMVIAILMESGMNLSDDLLEEIIDKTFDDADADKDGKINKEEWKAFVLRNPNLLRNMTLPHLKSVMNSYFQCITTFFPSFVYNTGVEDF >KJB59075 pep chromosome:Graimondii2_0_v6:9:18824316:18825492:1 gene:B456_009G2377002 transcript:KJB59075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRVVWLASLLLLVVTLHACLSHAQSNSTAESLALLDWKVNLQSLTNRSVLPSWTISPRNAEASPCSWFGVHCKGDSVYRINLTSYGVKGTLHGFPFSSLPNLEELDLSINGLFGTIPPQINQLSNLTYLDLSYNQLSGKIPPQIGQLIHLRTLHLVQNLLNSSIPEEIGQLKSLEELALQNNYLNGSIPSSLVNLANLTYLYMLNNSLSGNIPSEIGNLSSLQELYIDNNQLAGSIPSTFGKLKHLRLLHLSTNSLSGSIPSEFGHMESLNELALFKNNLSGFIPPSLGNLTDLTILQLYENKLFGPIPEELGNLKLLVFLEVSQNQLNGSIPSSFANLSNLETLFLRDNQLSGPIPQEIGNLMKMWMLELDGNQFTGQLPQNICR >KJB60593 pep chromosome:Graimondii2_0_v6:9:29501020:29507451:-1 gene:B456_009G314200 transcript:KJB60593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSITSKPFLGATKPNSSLSSDLQRLSFASLHISIKPRTLKKLQIEAAGSTFGNYFRVTTFGESHGGGVGCIVDGCPPRIPLSEADLQVDLDRRRPGQSRITTPRKETDTCRLYSGVSEGVTTGTPIHVFVPNTDQRGHDYKEMSIAYRPSHADATYDMKYGVRAVQGGGRSSARETIGRVASGAIAKKILKHFSGTEVLAYVSQVHQVVLPDGSVYHDTVTLDQIESNIVRCPHPEYAEKMIAAIDAVRTRGDSIGGVVTCIVRNAPRGLGSPVFDKLEAELAKAVMSLPATKGFEFGSGFAGTFLTGSEHNDEFFTDEHGTIRTRTNRSGGIQGGISNGEIINMRVAFKPTATISVKKAAYSDSRKERDRTVSSRSS >KJB60592 pep chromosome:Graimondii2_0_v6:9:29500998:29507455:-1 gene:B456_009G314200 transcript:KJB60592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSITSKPFLGATKPNSSLSSDLQRLSFASLHISIKPRTLKKLQIEAAGSTFGNYFRVTTFGESHGGGVGCIVDGCPPRIPLSEADLQVDLDRRRPGQSRITTPRKETDTCRLYSGVSEGVTTGTPIHVFVPNTDQRGHDYKEMSIAYRPSHADATYDMKYGVRAVQGGGRSSARETIGRVASGAIAKKILKHFSGTEVLAYVSQVHQVVLPDGSVYHDTVTLDQIESNIVRCPHPEYAEKMIAAIDAVRTRGDSIGGVVTCIVRNAPRGLGSPVFDKLEAELAKAVMSLPATKGFEFGSGFAGTFLTGSEHNDEFFTDEHGTIRTRTNRSGGIQGGISNGEIINMRVAFKPTATISKKQHTVTREKKEIELLARGRHDPCVVPRAVPMVEAMVAMVLVDQLMAQHGQCNLFPINPELQEPLSFSFPNFEPANI >KJB60594 pep chromosome:Graimondii2_0_v6:9:29503340:29507451:-1 gene:B456_009G314200 transcript:KJB60594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSITSKPFLGATKPNSSLSSDLQRLSFASLHISIKPRTLKKLQIEAAGSTFGNYFRVTTFGESHGGGVGCIVDGCPPRIPLSEADLQVDLDRRRPGQSRITTPRKETDTCRLYSGVSEGVTTGTPIHVFVPNTDQRGHDYKEMSIAYRPSHADATYDMKYGVRAVQGGGRSSARETIGRVASGAIAKKILKHFSGTEVLAYVSQVHQVVLPDGSVYHDTVTLDQIESNIVRCPHPEYAEKMIAAIDAVRTRGDSIGGVVTCIVRNAPRGLGSPVFDKLEAELAKAVMSLPATKGFEFGSGFAGTFLTGSEHNDEFFTDEHGTIRTRTNRSGGIQVGIFSVTLSLSICLPSNSYLESSVGYGYVLDKGVCNF >KJB59339 pep chromosome:Graimondii2_0_v6:9:20351922:20354444:1 gene:B456_009G250400 transcript:KJB59339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASMGDERRAWHLAFVCLLLAAVAAAESTPIAKLDCQDRCGNVSIPYPFGTTTDCYLNEDFYIACNSTHYDPPRAFLRRSNIEVTNITVEGKLWIMQFIARDCYNKSGSPVSSKTPSITLSKFRVSDTDNKFVAIGCDTKATIQGVQDDKAGYTSGCISKCDSIDYVDNFTCSGIGCCQTSIAKDVRYFDITVRSYHNHKGIWDFNPCSYGFVVEENSFNFSSNYLRDLQNVTMMPMVLDWFIGNETCETIKTKSSDDVCQGDSTCYNVDNGSGYRCKCLDGYQGDPYLPNGCQDIDECKDPNLNKCEKICENTKGNYTCLCPKGYHGDGRTDGTGCVANQSGGSLIIELTVGLGVGITVLIAGSTWSYWAFKKWKLIKLKHKFFRQNGGLMLQQELSRRDSSTETAKIFSAEELETATNNYDESRIIGRGGYGTVYKGTLSDGRTVAIKKSQVVDESQIDQFINEVVVLSQINHRNVVKLLGCCLETEVPLLVYEFITNGTLFEHIHNKSKASSLTFETRLRIAAETAGVLSYLHSSASIPIIHRDVKSTNILLDDSYTAKVSDFGASRLVPLDQAGISTVVQGTLGYLDPEYLQTSQLTEKSDVYSFAVVLLELLTGQKALCFERLEEDRNLAMYFISALKEDRLVQILEKCVVDEAKIEMVEEIGSLARRCLRVKGEERPTMKEVAMELEGLRMMLEHHPWVNNDESRLEETEYLLGEPSLKIGSNGGMNNVTYDTITDHIILQVGHGR >KJB59688 pep chromosome:Graimondii2_0_v6:9:22230886:22233788:-1 gene:B456_009G267500 transcript:KJB59688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reduction oxidase 8, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G50160) UniProtKB/Swiss-Prot;Acc:Q8VY13] MGKAAVVILLKVLMILISTAWLSLWLLKPTNLWTKKWKAAESSARNTVFGYYGLDFTVYTFPVIAVAMIGLVYLKLQSWGQRNRRQIRSSTAAFSNPLVTSSLVGILSGVEILSVFLFILFLAWTFYTRISHDFTKLTPMESLKLDLWQLKFLRVATRFGLLAEACLALLLLPILRELAVFRILGIQFEASVRYHIWLGTAMICFATFHGASTLFIWGVSHYIQDEITKWQRTGRIYLAGEITLVVGLVMWITSLPQIRRKRFEIFYYMHHLYIIFLVFFLLHAGDRHFYMIFAGVFLFCLDKLLRILQSWPQTHILSARLYPCKVVELILPKDTGLKYTPTSITFMKIPSISRFQWHSFSITSSSTIDHHTMSVLVKCDGRWTTSLYDNIRAQLDSDADKMKPIPVAIEGPYGPSSLTFLRYDNLLLVAGGIGITPFLSILHEIAATQGNGSSRYRLPSRIQLIYVVKKSQYIGLLQSASSLLQNHPSNKCHLKLKVFVTQEKQCGATLGEMLNEASQVQSVHFGLKGPIYAIHGPQSLYWTAALAGIASITFLVFLICFNHIFVPSGKNTSGHHSSKQAVSSKMKAPKEKSPSWVADILIISSFIISLACTSLVAIVLRWRRLKKEEVPLVSSKEEKVQQLSSIETKGVVEEEHEVHFGGRPNLKDEFSKFLNETNGSDIGVLVSGPETMKEAVASLCQQKSGCFQIGNKEKKPYLSFHALTFTL >KJB59689 pep chromosome:Graimondii2_0_v6:9:22230413:22233883:-1 gene:B456_009G267500 transcript:KJB59689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reduction oxidase 8, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G50160) UniProtKB/Swiss-Prot;Acc:Q8VY13] MGKAAVVILLKVLMILISTAWLSLWLLKPTNLWTKKWKAAESSARNTVFGYYGLDFTVYTFPVIAVAMIGLVYLKLQSWGQRNRQIRSSTAAFSNPLVTSSLVGILSGVEILSVFLFILFLAWTFYTRISHDFTKLTPMESLKLDLWQLKFLRVATRFGLLAEACLALLLLPILRELAVFRILGIQFEASVRYHIWLGTAMICFATFHGASTLFIWGVSHYIQDEITKWQRTGRIYLAGEITLVVGLVMWITSLPQIRRKRFEIFYYMHHLYIIFLVFFLLHAGDRHFYMIFAGVFLFCLDKLLRILQSWPQTHILSARLYPCKVVELILPKDTGLKYTPTSITFMKIPSISRFQWHSFSITSSSTIDHHTMSVLVKCDGRWTTSLYDNIRAQLDSDADKMKPIPVAIEGPYGPSSLTFLRYDNLLLVAGGIGITPFLSILHEIAATQGNGSSRYRLPSRIQLIYVVKKSQYIGLLQSASSLLQNHPSNKCHLKLKVFVTQEKQCGATLGEMLNEASQVQSVHFGLKGPIYAIHGPQSLYWTAALAGIASITFLVFLICFNHIFVPSGKNTSGHHSSKQAVSSKMKAPKEKSPSWVADILIISSFIISLACTSLVAIVLRWRRLKKEEVPLVSSKEEKVQQLSSIETKGVVEEEHEVHFGGRPNLKDEFSKFLNETNGSDIGVLVSGPETMKEAVASLCQQKSGCFQIGNKEKKPYLSFHALTFTL >KJB53583 pep chromosome:Graimondii2_0_v6:9:3594893:3597508:-1 gene:B456_009G049500 transcript:KJB53583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAPTRNSSQPSNKKLQKQGSALLGVLVVRQDLKMKAGKIASQCAHAATGIYAELMHSDRSLLREWEDCGQPKIVVTCRNQQEMNKLRDAAEGIGLPTFVVADAGRTQVSAGSKTVLAIGPGPNVVVDSVTGKLNLL >KJB53585 pep chromosome:Graimondii2_0_v6:9:3594900:3597487:-1 gene:B456_009G049500 transcript:KJB53585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAPTRNSSQPSNKKLQKQGSALLGVSFKPENFIPGLVIGFILGLFLDLSKPTKTLSKKKNFLSGKLRELDLVSYNADQDLKMVLVVRQDLKMKAGKIASQCAHAATGIYAELMHSDRSLLREWEDCGQPKIVVTCRNQQEMNKLRDAAEGIGLPTFVVADAGRTQVFFCITSSNLTVR >KJB53584 pep chromosome:Graimondii2_0_v6:9:3594893:3597508:-1 gene:B456_009G049500 transcript:KJB53584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAPTRNSSQPSNKKLQKQGSALLGVSFKPENFIPGLVIGFILGLFLDLSKPTKTLSKKKNFLSGKLRELDLVSYNADQDLKMVLVVRQDLKMKAGKIASQCAHAATGIYAELMHSDRSLLREWEDCGQPKIVVTCRNQQEMNKLRDAAEGIGLPTFVVADAGRTQVSAGSKTVLAIGPGPNVVVDSVTGKLNLL >KJB53582 pep chromosome:Graimondii2_0_v6:9:3595932:3597321:-1 gene:B456_009G049500 transcript:KJB53582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAPTRNSSQPSNKKLQKQGSALLGVLVVRQDLKMKAGKIASQCAHAATGIYAELMHSDRSLLREWEDCGQPKIVVTCRNQQEMNKLRDAAEGIGLPTFVVADAGRTQVFFCITSSNLTVR >KJB54991 pep chromosome:Graimondii2_0_v6:9:4118244:4122513:1 gene:B456_009G057100 transcript:KJB54991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPRLRSMNVTDSEARPVLGPAGNKAGSLSARKPASKPSKKVEKSSVEVTVVEEKKALPSSTVNSLSPKTHSLSVPSVLRRHERLLHSSLSLNASCSSDASTDSFQSRASTGRLSRCGSLGSRRKPYASKPKSLVSDDSLDLSSNSSHHKKRCTWVTPNTDPSYAAFHDEEWGVPVHDDKKLFELLVLSGSLSELTWSAILSKRHIFSFYGF >KJB54987 pep chromosome:Graimondii2_0_v6:9:4118244:4122513:1 gene:B456_009G057100 transcript:KJB54987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPRLRSMNVTDSEARPVLGPAGNKAGSLSARKPASKPSKKVEKSSVEVTVVEEKKALPSSTVNSLSPKTHSLSVPSVLRRHERLLHSSLSLNASCSSDASTDSFQSRASTGRLSRCGSLGSRRKPYASKPKSLVSDDSLDLSSNSSHHKKRCTWVTPNTDPSYAAFHDEEWGVPVHDDKKLFELLVLSEKFLWILILLLYQN >KJB54985 pep chromosome:Graimondii2_0_v6:9:4118064:4122513:1 gene:B456_009G057100 transcript:KJB54985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPRLRSMNVTDSEARPVLGPAGNKAGSLSARKPASKPSKKVEKSSVEVTVVEEKKALPSSTVNSLSPKTHSLSVPSVLRRHERLLHSSLSLNASCSSDASTDSFQSRASTGRLSRCGSLGSRRKPYASKPKSLVSDDSLDLSSNSSHHKKRCTWVTPNTDPSYAAFHDEEWGVPVHDDKKLFELLVLSGSLSELTWSAILSKRHIFREVFMDFDPVAVSKLNEKKLIAHGSVASSLLSELMLRAIVENARQISKVIDEFRSFDQYIWSFVNHKPIVSRFRYPRQVPVKTPKADVISKDLVRRGFRSVGPTVIYSFMQVAGITNDHLTSCFRFQECITAAEAKEENVTKDTTEKKETVNVINTELSVAIDELSFSTE >KJB54990 pep chromosome:Graimondii2_0_v6:9:4118244:4122513:1 gene:B456_009G057100 transcript:KJB54990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPRLRSMNVTDSEARPVLGPAGNKAGSLSARKPASKPSKKVEKSSVEVTVVEEKKALPSSTVNSLSPKTHSLSVPSVLRRHERLLHSSLSLNASCSSDASTDSFQSRASTGRLSRCGSLGSRRKPYASKPKSLVSDDSLDLSSNSSHHKKRCTWVTPNTDPSYAAFHDEEWGVPVHDDKKLFELLVLSGSLSELTWSAILSKRHIFSFYGF >KJB54986 pep chromosome:Graimondii2_0_v6:9:4118116:4122513:1 gene:B456_009G057100 transcript:KJB54986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPRLRSMNVTDSEARPVLGPAGNKAGSLSARKPASKPSKKVEKSSVEVTVVEEKKALPSSTVNSLSPKTHSLSVPSVLRRHERLLHSSLSLNASCSSDASTDSFQSRASTGRLSRCGSLGSRRKPYASKPKSLVSDDSLDLSSNSSHHKKRCTWVTPNTDPSYAAFHDEEWGVPVHDDKKLFELLVLSGSLSELTWSAILSKRHIFREVFMDFDPVAVSKLNEKKLIAHGSVASSLLSELMLRAIVENARQISKVIDEFRSFDQYIWSFVNHKPIVSRFRYPRQVPVKTPKADVISKDLVRRGFRSVGPTVIYSFMQVAGITNDHLTSCFRFQECITAAEAKEENVTKDTTEKKETVNVINTELSVAIDELSFSTE >KJB54988 pep chromosome:Graimondii2_0_v6:9:4118244:4122513:1 gene:B456_009G057100 transcript:KJB54988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPRLRSMNVTDSEARPVLGPAGNKAGSLSARKPASKPSKKVEKSSVEVTVVEEKKALPSSTVNSLSPKTHSLSVPSVLRRHERLLHSSLSLNASCSSDASTDSFQSRASTDPSYAAFHDEEWGVPVHDDKKLFELLVLSGSLSELTWSAILSKRHIFREVFMDFDPVAVSKLNEKKLIAHGSVASSLLSELMLRAIVENARQISKVIDEFRSFDQYIWSFVNHKPIVSRFRYPRQVPVKTPKADVISKDLVRRGFRSVGPTVIYSFMQVAGITNDHLTSCFRFQECITAAEAKEENVTKDTTEKKETVNVINTELSVAIDELSFSTE >KJB54992 pep chromosome:Graimondii2_0_v6:9:4118244:4122513:1 gene:B456_009G057100 transcript:KJB54992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTDSEARPVLGPAGNKAGSLSARKPASKPSKKVEKSSVEVTVVEEKKALPSSTVNSLSPKTHSLSVPSVLRRHERLLHSSLSLNASCSSDASTDSFQSRASTGRLSRCGSLGSRRKPYASKPKSLVSDDSLDLSSNSSHHKKRCTWVTPNTDPSYAAFHDEEWGVPVHDDKKLFELLVLSGSLSELTWSAILSKRHIFREVFMDFDPVAVSKLNEKKLIAHGSVASSLLSELMLRAIVENARQISKVIDEFRSFDQYIWSFVNHKPIVSRFRYPRQVPVKTPKADVISKDLVRRGFRSVGPTVIYSFMQVAGITNDHLTSCFRFQECITAAEAKEENVTKDTTEKKETVNVINTELSVAIDELSFSTE >KJB54989 pep chromosome:Graimondii2_0_v6:9:4119085:4121341:1 gene:B456_009G057100 transcript:KJB54989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPRLRSMNVTDSEARPVLGPAGNKAGSLSARKPASKPSKKVEKSSVEVTVVEEKKALPSSTVNSLSPKTHSLSVPSVLRRHERLLHSSLSLNASCSSDASTDSFQSRASTGRLSRCGSLGSRRKPYASKPKSLVSDDSLDLSSNSSHHKKRCTWVTPNTDPSYAAFHDEEWGVPVHDDKKLFELLVLSGSLSELTWSAILSKRHIFREVFMDFDPVAVSKLNEKKLIAHGSVASSLLSELMLRAIVENARQISKVLVMPGYR >KJB55809 pep chromosome:Graimondii2_0_v6:9:6962474:6964995:1 gene:B456_009G095800 transcript:KJB55809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSMEPAVLDDIIYRLLDLKQARPGKQVQLLEGEIRQLCTVAREIFLQQPNLLELEAPIKICGDIHGQYTDLLRLFEYGGFPPDANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKIFTECFNCLPVAAVIDDKILCMHGGLSPDLTNLDQIRSITRPTDVPDSGLLCDLLWSDPGRDIKGWGMNDRGVSFTFGPDRVSEFLMKNDMDLVCRAHQVVEDGYEFFSDRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADKRSRFI >KJB55808 pep chromosome:Graimondii2_0_v6:9:6962216:6965610:1 gene:B456_009G095800 transcript:KJB55808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSMEPAVLDDIIYRLLDLKQARPGKQVQLLEGEIRQLCTVAREIFLQQPNLLELEAPIKICGDIHGQYTDLLRLFEYGGFPPDANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKIFTECFNCLPVAAVIDDKILCMHGGLSPDLTNLDQIRSITRPTDVPDSGLLCDLLWSDPGRDIKGWGMNDRGVSFTFGPDRVSEFLMKNDMDLVCRAHQVVEDGYEFFSDRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADKRSRFI >KJB55810 pep chromosome:Graimondii2_0_v6:9:6962239:6965649:1 gene:B456_009G095800 transcript:KJB55810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSMEPAVLDDIIYRLLDLKQARPGKQVQLLEGEIRQLCTVAREIFLQQPNLLELEAPIKICGDIHGQYTDLLRLFEYGGFPPDANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKIFTECFNCLPVAAVIDDKILCMHGGLSPDLTNLDQIRSITRPTDVPDSGLLCDLLWSDPGRDIKGWGMNDRGVSFTFGPDRVSEFLMKNDMDLVCRAHQVVEDGYEFFSDRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADKRSRFI >KJB58110 pep chromosome:Graimondii2_0_v6:9:15002761:15005770:1 gene:B456_009G195300 transcript:KJB58110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSFLTRGLVTVFAYAFPAYECYKTVEMNKPDVEELRFWCQYWILVAVLTVSERIGDAFVSWVPMYSEAKLAFFIYLWYPKTRGTSYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRVASYGQTRIFEILQYVASQSTPRPHHAKNSQAQVDRARQPSGVPICQSSSKAQAAHPEAEEPPSPTSSTFSSQNPKEVAEEVGPSKVASQVAKSATPSASSNSQKPDPASESTSQPADTEAEAMQIEPVLPSPRNEVTNPPPKETLMEESIRVTRGRLRKSRSGTR >KJB58113 pep chromosome:Graimondii2_0_v6:9:15002761:15005770:1 gene:B456_009G195300 transcript:KJB58113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSFLTRGLVTVFAYAFPAYECYKTVEMNKPDVEELRFWCQYWILVAVLTVSERIGDAFVSWVPMYSEAKLAFFIYLWYPKTRGTSYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRVASYGQTRIFEILQYVASQSTPRPHHAKAQVDRARQPSGVPICQSSSKAQAAHPEAEEPPSPTSSTFSSQNPKEVAEEVGPSKVASQVAKSATPSASSNSQKPDPASESTSQPADTEAEAMQIEPVLPSPRNEVTNPPPKETLMEESIRVTRGRLRKSRSGTR >KJB58112 pep chromosome:Graimondii2_0_v6:9:15004128:15005634:1 gene:B456_009G195300 transcript:KJB58112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFAYAFPAYECYKTVEMNKPDVEELRFWCQYWILVAVLTVSERIGDAFVSWVPMYSEAKLAFFIYLWYPKTRGTSYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRVASYGQTRIFEILQYVASQSTPRPHHAKNSQAQVDRARQPSGVPICQSSSKAQAAHPEAEEPPSPTSSTFSSQNPKEVAEEVGPSKVASQVAKSATPSASSNSQKPDPASESTSQPADTEAEAMQIEPVLPSPRNEVTNPPPKETLMEESIRVTRGRLRKSRSGTR >KJB58111 pep chromosome:Graimondii2_0_v6:9:15002477:15005770:1 gene:B456_009G195300 transcript:KJB58111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSFLTRGLVTVFAYAFPAYECYKTVEMNKPDVEELRFWCQYWILVAVLTVSERIGDAFVSWVPMYSEAKLAFFIYLWYPKTRGTSYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRVASYGQTRIFEILQYVASQSTPRPHHAKNSQAQVDRARQPSGVPICQSSSKAQAAHPEAEEPPSPTSSTFSSQNPKEVAEEVGPSKVASQVAKSATPSASSNSQKPDPASESTSQPADTEAEAMQIEPVLPSPRNEVTNPPPKETLMEESIRVTRGRLRKSRSGTR >KJB58117 pep chromosome:Graimondii2_0_v6:9:15002763:15005770:1 gene:B456_009G195300 transcript:KJB58117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSEAKLAFFIYLWYPKTRGTSYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRVASYGQTRIFEILQYVASQSTPRPHHAKNSQAQVDRARQPSGVPICQSSSKAQAAHPEAEEPPSPTSSTFSSQNPKEVAEEVGPSKVASQVAKSATPSASSNSQKPDPASESTSQPADTEAEAMQIEPVLPSPRNEVTNPPPKETLMEESIRVTRGRLRKSRSGTR >KJB58116 pep chromosome:Graimondii2_0_v6:9:15003971:15005770:1 gene:B456_009G195300 transcript:KJB58116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EESFALISICIDCSCFLGLIILILLLAFNPGSIIFHQGIDKMIGSFLTRGLVTVFAYAFPAYECYKTVEMNKPDVEELRFWCQYWILVAVLTVSERIGDAFVSWVPMYSEAKLAFFIYLWYPKTRGTSYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRVASYGQTRIFEILQYVASQSTPRPHHAKNSQAQVDRARQPSGVPICQSSSKAQAAHPEAEEPPSPTSSTFSSQNPKEVAEEVGPSKVASQVAKSATPSASSNSQKPDPASESTSQPADTEAEAMQIEPVLPSPRNEVTNPPPKETLMEESIRVTRGRLRKSRSGTR >KJB58114 pep chromosome:Graimondii2_0_v6:9:15002761:15005770:1 gene:B456_009G195300 transcript:KJB58114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSFLTRGLVTVFAYAFPAYECYKTVEMNKPDVEELRFWCQYWILVAVLTVSERIGDAFVSWVPMYSEAKLAFFIYLWYPKTRGTSYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRVASYGQTRIFEILQYVASQSTPRPHHAKNSQAQVDRARQPSGVPICQSSSKAQAAHPEAEEPPSPTSSTFSSQNPKEVAEEVGPSKVASQVAKSATPSASSNSQKPDPASESTSQPADTEAEAMQIEPVLPSPRNEVTNPPPKETLMEESIRVTRGRLRKSRSGTR >KJB58115 pep chromosome:Graimondii2_0_v6:9:15002746:15005770:1 gene:B456_009G195300 transcript:KJB58115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSLQYFLLFFLKSLFHSCLTATADFITVSRRILAAPIFLFPGDLLRKTVFAYAFPAYECYKTVEMNKPDVEELRFWCQYWILVAVLTVSERIGDAFVSWVPMYSEAKLAFFIYLWYPKTRGTSYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRVASYGQTRIFEILQYVASQSTPRPHHAKNSQAQVDRARQPSGVPICQSSSKAQAAHPEAEEPPSPTSSTFSSQNPKEVAEEVGPSKVASQVAKSATPSASSNSQKPDPASESTSQPADTEAEAMQIEPVLPSPRNEVTNPPPKETLMEESIRVTRGRLRKSRSGTR >KJB58863 pep chromosome:Graimondii2_0_v6:9:18024300:18029945:1 gene:B456_009G229300 transcript:KJB58863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSDLVGFVESVLGVSLEGSVTDSMIVVATTSLAVILGLLVFLWKKSGSERSRDVKPLVAPKPVSLKDEEDDDAVIAAGKTKVTIFYGTQTGTAEGFAKALAEEIKARYEKAAVKVVDLDDYAMDDEQYEEKLKKETLAFFMVATYGDGEPTDNAARFYKWFTEGNERQPWLQQLTYGVFGLGNRQYEHFNKIAKVLDEQLSEQGAKRLIEVGLGDDDQCIEDDFTAWRELLWPELDQLLRDEDDENATSTPYTAAIPEYRVVVHDPAVMYVEENYSNKANGNATYDLHHPCRVNVAVQRELHKPESDRSCIHLEFDISGTGITYETGDHVGVYADNCVETVEEAARLLGQPLDLLFSIHTDNEDGTSAGSSLPPPFASPCTLRMALARYADLLNPPRKAALIALAAHATEPSEAEKLKFLSSPQGKDEYSQWVVASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPRFVPARVHVTCALVYGPTPTGRIHRGVCSTWMKNAVPLEKSKDCSWAPIFIRQSNFKLPADPSVPIIMVGPGTGLAPFRGFLQERLVLKEDGAELGSSLLFFGCRNRRMDFIYEDELNNFVEQGALSDLLVAFSREGPQKEYVQHKMMDKAADIWNLISKGGYLYVCGDAKGMARDVHRTLHTIIQEQENVDSSKAESMVKKLQMDGRYLRDVW >KJB58864 pep chromosome:Graimondii2_0_v6:9:18024471:18028915:1 gene:B456_009G229300 transcript:KJB58864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSDLVGFVESVLGVSLEGSVTDSMIVVATTSLAVILGLLVFLWKKSGSERSRDVKPLVAPKPVSLKDEEDDDAVIAAGKTKVTIFYGTQTGTAEGFAKALAEEIKARYEKAAVKVVDLDDYAMDDEQYEEKLKKETLAFFMVATYGDGEPTDNAARFYKWFTEGNERQPWLQQLTYGVFGLGNRQYEHFNKIAKVLDEQLSEQGAKRLIEVGLGDDDQCIEDDFTAWRELLWPELDQLLRDEDDENATSTPYTAAIPEYRVVVHDPAVMYVEENYSNKANGNATYDLHHPCRVNVAVQRELHKPESDRSCIHLEFDISGTGITYETGDHVGVYADNCVETVEEAARLLGQPLDLLFSIHTDNEDGTSAGSSLPPPFASPCTLRMALARYADLLNPPRKAALIALAAHATEPSEAEKLKFLSSPQGKDEYSQWVVASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPRFVPARVHVTCALVYGPTPTGRIHRGVCSTWMKNAVPLEKSKDCSWAPIFIRQSNFKLPADPSVPIIMVGPGTGLAPFRGFLQLFTGKIGPQRRWCRTWLFSTLFWM >KJB58865 pep chromosome:Graimondii2_0_v6:9:18024471:18029923:1 gene:B456_009G229300 transcript:KJB58865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSDLVGFVESVLGVSLEGSVTDSMIVVATTSLAVILGLLVFLWKKSGSERSRDVKPLVAPKPVSLKDEEDDDAVIAAGKTKVTIFYGTQTGTAEGFAKALAEEIKARYEKAAVKVVDLDDYAMDDEQYEEKLKKETLAFFMVATYGDGEPTDNAARFYKWFTEGNERQPWLQQLTYGVFGLGNRQYEHFNKIAKVLDEQLSEQGAKRLIEVGLGDDDQCIEDDFTAWRELLWPELDQLLRDEDDENATSTPYTAAIPEYRVVVHDPAVMYVEENYSNKANGNATYDLHHPCRVNVAVQRELHKPESDRSCIHLEFDISGTGITYETGDHVGVYADNCVETVEEAARLLGQPLDLLFSIHTDNEDGTSAGSSLPPPFASPCTLRMALARYADLLNPPRKAALIALAAHATEPSEAEKLKFLSSPQGKDEYSQWVVASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPSRFVPARVHVTCALVYGPTPTGRIHRGVCSTWMKNAVPLEKSKDCSWAPIFIRQSNFKLPADPSVPIIMVGPGTGLAPFRGFLQERLVLKEDGAELGSSLLFFGCRNRRMDFIYEDELNNFVEQGALSDLLVAFSREGPQKEYVQHKMMDKAADIWNLISKGGYLYVCGDAKGMARDVHRTLHTIIQEQENVDSSKAESMVKKLQMDGRYLRDVW >KJB59536 pep chromosome:Graimondii2_0_v6:9:21433374:21437241:1 gene:B456_009G260000 transcript:KJB59536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAAKAATTGSCFGEDQVWPPGFRFHPTDEELVLYYLKRKICRRKLKLDIIRETDVYKWDPEELPAQSILKSGDRQWFFFSPRDRKYPNAARSNRATGHGYWKATGKDRTVTCNSRTVGVKKTLVFYRGRAPIGERTDWVMHEYTLDEEELKRCQNVKDYYALYKLYKKSGPGPKNGEQYGAPFKEEEWADEEYASKAVDVITPVKHPNEAFPDDVEKAKNQIQSPLNDIEEFMRQFAAEPALPQPQAHFDNILPRLAGEEEIQSTLLDTSPRDVLLPKPVEVVHDHASFELSQSPTSRLQLQEAPEDASVSDQFEQIPQICEEDFLEIDDLTSNVEKPAENGLQFNDWDGLGEFDLYHDAAMFLQDIGPIEQGIVPFSYTENMINQVSYPLEPQLQHQSNTYHMDQELQFQLNGTGVDEQLQLQSNAFGNNQQVQSQLNTIGDNQPQLNAFEDGILNQVGYQFHSSANNMMDQQLVPNSTVDQVDYHLPFQSFGNEMERQLQMDQINGSIWINDQSGDVFTPSGSNLGNASSSSGLVYNGNNQDEGDKNGEGASRFSTAVWSFVDSIPTTPASASESPSVNRAFERMSSFSRLRMNVRNTNALTVNGGTTARRRSRNKGFFFFSILGALCAILWFLIGMVRVVRSSISS >KJB59533 pep chromosome:Graimondii2_0_v6:9:21433374:21437241:1 gene:B456_009G260000 transcript:KJB59533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAAKAATTGSCFGEDQVWPPGFRFHPTDEELVLYYLKRKICRRKLKLDIIRETDVYKWDPEELPAQSILKSGDRQWFFFSPRDRKYPNAARSNRATGHGYWKATGKDRTVTCNSRTVGVKKTLVFYRGRAPIGERTDWVMHEYTLDEEELKRCQNVKDYYALYKLYKKSGPGPKNGEQYGAPFKEEEWADEEYASKAVDVITPVKHPNEAFPDDVEKAKNQIQSPLNDIEEFMRQFAAEPALPQPQAHFDNILPRLAGEEEIQSTLLDTSPRDVLLPKPVEVVHDHASFELSQSPTSRLQLQEAPEDASVSDQFEQIPQICEEDFLEIDDLTSNVEKPAENGLQFNDWDGLGEFDLYHDAAMFLQDIGPIEQGIVPFSYTENMINQPQLNAFEDGILNQVGYQFHSSANNMMDQQLVPNSTVDQVDYHLPFQSFGNEMERQLQMDQINGSIWINDQSGDVFTPSGSNLGNASSSSGLVYNGNNQDEGDKNGEGASRFSTAVWSFVDSIPTTPASASESPSVNRAFERMSSFSRLRMNVRNTNALTVNGGTTARRRSRNKGFFFFSILGALCAILWFLIGMVRVVRSSISS >KJB59534 pep chromosome:Graimondii2_0_v6:9:21433374:21437241:1 gene:B456_009G260000 transcript:KJB59534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAAKAATTGSCFGEDQVWPPGFRFHPTDEELVLYYLKRKICRRKLKLDIIRETDVYKWDPEELPAQSILKSGDRQWFFFSPRDRKYPNAARSNRATGHGYWKATGKDRTVTCNSRTVGVKKTLVFYRGRAPIGERTDWVMHEYTLDEEELKRCQNVKDYYALYKLYKKSGPGPKNGEQYGAPFKEEEWADEEYASKAVDVITPVKHPNEAFPDDVEKAKNQIQSPLNDIEEFMRQFAAEPALPQPQAHFDNILPRLAGEEEIQSTLLDTSPRDVLLPKPVEVVHDHASFELSQSPTSRLQLQEAPEDASVSDQFEQIPQICEEDFLEIDDLTSNVEKPAENGLQFNDWDGLGEFDLYHDAAMFLQDIGPIEQGIVPFSYTENMINQLQLQSNAFGNNQQVQSQLNTIGDNQPQLNAFEDGILNQVGYQFHSSANNMMDQQLVPNSTVDQVDYHLPFQSFGNEMERQLQMDQINGSIWINDQSGDVFTPSGSNLGNASSSSGLVYNGNNQDEGDKNGEGASRFSTAVWSFVDSIPTTPASASESPSVNRAFERMSSFSRLRMNVRNTNALTVNGGTTARRRSRNKGFFFFSILGALCAILWFLIGMVRVVRSSISS >KJB59535 pep chromosome:Graimondii2_0_v6:9:21433374:21437241:1 gene:B456_009G260000 transcript:KJB59535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAAKAATTGSCFGEDQVWPPGFRFHPTDEELVLYYLKRKICRRKLKLDIIRETDVYKWDPEELPAQSILKSGDRQWFFFSPRDRKYPNAARSNRATGHGYWKATGKDRTVTCNSRTVGVKKTLVFYRGRAPIGERTDWVMHEYTLDEEELKRCQNVKDYYALYKLYKKSGPGPKNGEQYGAPFKEEEWADEEYASKAVDVITPVKHPNEAFPDDVEKAKNQIQSPLNDIEEFMRQFAAEPALPQPQAHFDNILPRLAGEEEIQSTLLDTSPRDVLLPKPVEVVHDHASFELSQSPTSRLQLQEAPEDASVSDQFEQIPQICEEDFLEIDDLTSNVEKPAENGLQFNDWDGLGEFDLYHDAAMFLQDIGPIEQGIVPFSYTENMINQVSYPLEPQLQHQSNTYHMDQELQFQLNGTGVDEQLQLQSNAFGNNQQVQSQLNTIGDNQPQLNAFEDGILNQVDYHLPFQSFGNEMERQLQMDQINGSIWINDQSGDVFTPSGSNLGNASSSSGLVYNGNNQDEGDKNGEGASRFSTAVWSFVDSIPTTPASASESPSVNRAFERMSSFSRLRMNVRNTNALTVNGGTTARRRSRNKGFFFFSILGALCAILWFLIGMVRVVRSSISS >KJB54478 pep chromosome:Graimondii2_0_v6:9:2657157:2660517:1 gene:B456_009G035900 transcript:KJB54478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGREYYQGPNFESGVPSRNFGHCQEENFEYLIRPRYGVGLHMTLFSFTTRASRVRPASKLFVPQYHIQFHGGSHPPGYKDVKVVQKHEAWFVKVVCTLFLYSQPLSDRSLGYLSKHLSPLIELQVVKWLNDPALGLKFFEFSRVNFNITHSFWTYTLLMRSLCNMGLHESAKLVFDYARIDRHLPDDTMVGFMISSFARAGDFGMAKKLLAEIQSDEVGIDIFALNNLLHMMIKQNKLEEAVSLYKENLGLNFNPDNRTFNILILGLCKARQVDKAFEFFNDMWIFGCFPDILTYNTIIGGLCRANEVDRGHELLNEIRLRDDCSPDVVTYTSVISGYCKLGKMGKASALLNEMINSGTVPSAVTFNVLIDGFGKVGDMLSAKSMYEKMVSFGCVADVVTFTSLIDGYCRNGDVNRSLQLWNTMKVRNISPNVYTFSIIINALCKENRLHEARELLKELTCTNIVPKPFIFNPVIDGFCKSGNLDEANLIAVEMKEKKCYPDKVTYTILIIGHCMKGRMLEAIGIFDKMLSVGCTPDDFAVHSLVSCLFKAGMPNEASRVSTIASQGKKSASSSSLDNNIPLRINRVVPVAA >KJB54476 pep chromosome:Graimondii2_0_v6:9:2656994:2660517:1 gene:B456_009G035900 transcript:KJB54476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGREYYQGPNFESGVPSRNFGHCQEENFEYLIRPRYGVGLHMTLFSFTTRASRVRPASKLFVPQYHIQFHGGSHPPGYKDVKVVQKHEAWFVKVVCTLFLYSQPLSDRSLGYLSKHLSPLIELQVVKWLNDPALGLKFFEFSRVNFNITHSFWTYTLLMRSLCNMGLHESAKLVFDYARIDRHLPDDTMVGFMISSFARAGDFGMAKKLLAEIQSDEVGIDIFALNNLLHMMIKQNKLEEAVSLYKENLGLNFNPDNRTFNILILGLCKARQVDKAFEFFNDMWIFGCFPDILTYNTIIGGLCRANEVDRGHELLNEIRLRDDCSPDVVTYTSVISGYCKLGKMGKASALLNEMINSGTVPSAVTFNVLIDGFGKVGDMLSAKSMYEKMVSFGCVADVVTFTSLIDGYCRNGDVNRSLQLWNTMKVRNISPNVYTFSIIINALCKENRLHEARELLKELTCTNIVPKPFIFNPVIDGFCKSGNLDEANLIAVEMKEKKCYPDKVTYTILIIGHCMKGRMLEAIGIFDKMLSVGCTPDDFAVHSLVSCLFKAGMPNEASRVSTIASQGKKSASSSSLDNNIPLRINRVVPVAA >KJB54475 pep chromosome:Graimondii2_0_v6:9:2656951:2660517:1 gene:B456_009G035900 transcript:KJB54475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGREYYQGPNFESGVPSRNFGHCQEENFEYLIRPRYGVGLHMTLFSFTTRASRVRPASKLFVPQYHIQFHGGSHPPGYKDVKVVQKHEAWFVKVVCTLFLYSQPLSDRSLGYLSKHLSPLIELQVVKWLNDPALGLKFFEFSRVNFNITHSFWTYTLLMRSLCNMGLHESAKLVFDYARIDRHLPDDTMVGFMISSFARAGDFGMAKKLLAEIQSDEVGIDIFALNNLLHMMIKQNKLEEAVSLYKENLGLNFNPDNRTFNILILGLCKARQVDKAFEFFNDMWIFGCFPDILTYNTIIGGLCRANEVDRGHELLNEIRLRDDCSPDVVTYTSVISGYCKLGKMGKASALLNEMINSGTVPSAVTFNVLIDGFGKVGDMLSAKSMYEKMVSFGCVADVVTFTSLIDGYCRNGDVNRSLQLWNTMKVRNISPNVYTFSIIINALCKENRLHEARELLKELTCTNIVPKPFIFNPVIDGFCKSGNLDEANLIAVEMKEKKCYPDKVTYTILIIGHCMKGRMLEAIGIFDKMLSVGCTPDDFAVHSLVSCLFKAGMPNEASRVSTIASQGKKSASSSSLDNNIPLRINRVVPVAA >KJB54477 pep chromosome:Graimondii2_0_v6:9:2656951:2660517:1 gene:B456_009G035900 transcript:KJB54477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGREYYQGPNFESGVPSRNFGHCQEENFEYLIRPRYGVGLHMTLFSFTTRASRVRPASKLFVPQYHIQFHGGSHPPGYKDVKVVQKHEAWFVKVVCTLFLYSQPLSDRSLGYLSKHLSPLIELQVVKWLNDPALGLKFFEFSRVNFNITHSFWTYTLLMRSLCNMGLHESAKLVFDYARIDRHLPDDTMVGFMISSFARAGDFGMAKKLLAEIQSDEVGIDIFALNNLLHMMIKQNKLEEAVSLYKENLGLNFNPDNRTFNILILGLCKARQVDKAFEFFNDMWIFGCFPDILTYNTIIGGLCRANEVDRGHELLNEIRLRDDCSPDVVTYTSVISGYCKLGKMGKASALLNEMINSGTVPSAVTFNVLIDGFGKVGDMLSAKSMYEKMVSFGCVADVVTFTSLIDGYCRNGDVNRSLQLWNTMKVRNISPNVYTFSIIINALCKENRLHEARELLKELTCTNIVPKPFIFNPVIDGFCKSGNLDEANLIAVEMKEKKCYPDKVTYTILIIGHCMKGRMLEAIGIFDKMLSVGCTPDDFAVHSLVSCLFKAGMPNEASRVSTIASQGKKSASSSSLDNNIPLRINRVVPVAA >KJB56177 pep chromosome:Graimondii2_0_v6:9:7896390:7898095:-1 gene:B456_009G108600 transcript:KJB56177 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP41L [Source:Projected from Arabidopsis thaliana (AT4G27490) UniProtKB/TrEMBL;Acc:A0A178UYE3] MAAKPGSAPVTYSPNLGQKTRPPIFKDNDLDWVRPDGRGFHQCRPAFFRTGAVNSASGSAYAELGSTKVIVSVFGPRESKKAMMYSDTGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIMLETFPKTTVDVFALVLESGGSK >KJB56178 pep chromosome:Graimondii2_0_v6:9:7896699:7898095:-1 gene:B456_009G108600 transcript:KJB56178 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP41L [Source:Projected from Arabidopsis thaliana (AT4G27490) UniProtKB/TrEMBL;Acc:A0A178UYE3] MAAKPGSAPVTYSPNLGQKTRPPIFKDNDLDWVRPDGRGFHQCRPAFFRTGAVNSASGSAYAELGSTKVIVSVFGPRESKKAMMYSDTGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIMLETFPKTTVDVFALVLESGGSKSSH >KJB56181 pep chromosome:Graimondii2_0_v6:9:7894316:7898223:-1 gene:B456_009G108600 transcript:KJB56181 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP41L [Source:Projected from Arabidopsis thaliana (AT4G27490) UniProtKB/TrEMBL;Acc:A0A178UYE3] MAAKPGSAPVTYSPNLGQKTRPPIFKDNDLDWVRPDGRGFHQCRPAFFRTGAVNSASGSAYAELGSTKVIVSVFGPRESKKAMMYSDTGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIMLETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMMYDLVAAVSVSCLGKNLVIDPVLEEESYQDGSLMLTCMPSRYEVTQLTFTGEWSTPDINEAMQLCLDACNKLGKVMRTCLKEAASGSQE >KJB56176 pep chromosome:Graimondii2_0_v6:9:7895085:7898174:-1 gene:B456_009G108600 transcript:KJB56176 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP41L [Source:Projected from Arabidopsis thaliana (AT4G27490) UniProtKB/TrEMBL;Acc:A0A178UYE3] MAAKPGSAPVTYSPNLGQKTRPPIFKDNDLDWVRPDGRGFHQCRPAFFRTGAVNSASGSAYAELGSTKVIVSVFGPRESKKAMMYSDTGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIMLETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMMYDLVAAVSVSCLGKNLVIDPVLEEESYQDGSLMLTCMPSRYEVTQLTFTGEWSTPDINEAMQLCLDACNKLGKVMRTCLKEAASGSQE >KJB56179 pep chromosome:Graimondii2_0_v6:9:7895091:7898174:-1 gene:B456_009G108600 transcript:KJB56179 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP41L [Source:Projected from Arabidopsis thaliana (AT4G27490) UniProtKB/TrEMBL;Acc:A0A178UYE3] MAAKPGSAPVTYSPNLGQKTRPPIFKDNDLDWVRPDGRGFHQCRPAFFRTGAVNSASGSAYAELGSTKVIVSVFGPRESKKAMMYSDTGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIMLETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMIPV >KJB56180 pep chromosome:Graimondii2_0_v6:9:7896281:7898095:-1 gene:B456_009G108600 transcript:KJB56180 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP41L [Source:Projected from Arabidopsis thaliana (AT4G27490) UniProtKB/TrEMBL;Acc:A0A178UYE3] MAAKPGSAPVTYSPNLGQKTRPPIFKDNDLDWVRPDGRGFHQCRPAFFRTGAVNSASGSAYAELGSTKVIVSVFGPRESKKAMMYSDTGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIMLETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMMYDLVAAVSVVNVFGLH >KJB61424 pep chromosome:Graimondii2_0_v6:9:47006014:47013692:-1 gene:B456_009G358100 transcript:KJB61424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSVLCFLLTKVFKILKVEEGMTLEIYTTSVIPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFILGVAAGLEVMSCRMLLIMSVISFGVLVASYGEINISWIGVVYQMGGVVGESLRLIFMEILVKRKGLKLNPISIMYYVSPCSALCLFIPWIFLEKPKMDAHGMWNLHPVVLTLNSICTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLVSALLFADTKLTVINLFGYGIAIAGVAAYNNHKLKREAIRNISDDSQAALTIPMATSSNLNR >KJB61425 pep chromosome:Graimondii2_0_v6:9:47006014:47014033:-1 gene:B456_009G358100 transcript:KJB61425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVKKKMLKEEIITYAYLILYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSVLCFLLTKVFKILKVEEGMTLEIYTTSVIPIGAMFAMTLWLGNTAYLYISVAFAQMLKAISNWIGVVYQMGGVVGESLRLIFMEILVKRKGLKLNPISIMYYVSPCSALCLFIPWIFLEKPKMDAHGMWNLHPVVLTLNSICTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLVSALLFADTKLTVINLFGYGIAIAGVAAYNNHKLKREAIRNISDDSQAALTIPMATSSNLNR >KJB61422 pep chromosome:Graimondii2_0_v6:9:47006010:47014033:-1 gene:B456_009G358100 transcript:KJB61422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVKKKMLKEEIITYAYLILYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSVLCFLLTKVFKILKVEEGMTLEIYTTSVIPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFILGVAAGLEVMSCRMLLIMSVISFGVLVASYGEINISWIGVVYQMGGVVGESLRLIFMEILVKRKGLKLNPISIMYYVSPCSALCLFIPWIFLEKPKMDAHGMWNLHPVVLTLNSICTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLVSALLFADTKLTVINLFGYGIAIAGVAAYNNHKLKREAIRNISDDSQAALTIPMATSSNLNR >KJB61427 pep chromosome:Graimondii2_0_v6:9:47006014:47014040:-1 gene:B456_009G358100 transcript:KJB61427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVKKKMLKEEIITYAYLILYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSVLCFLLTKVFKILKVEEGMTLEIYTTSVIPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFILGVAAGLEVMSCRMLLIMSVISFGVLVASYGEINISWIGVVYQMGGVVGESLRLIFMEILVKRKGLKLNPISIMYYVSPCSALCLFIPWIFLEKPKMDAHGMWNLHPVVLTLNSICTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLVSALLFADTKLTVINLFGYGIAIAGVAAYNNHKLKREAIRNISDDSQAALTIPMATSSNLNR >KJB61423 pep chromosome:Graimondii2_0_v6:9:47006014:47012939:-1 gene:B456_009G358100 transcript:KJB61423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEIYTTSVIPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFILGVAAGLEVMSCRMLLIMSVISFGVLVASYGEINISWIGVVYQMGGVVGESLRLIFMEILVKRKGLKLNPISIMYYVSPCSALCLFIPWIFLEKPKMDAHGMWNLHPVVLTLNSICTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLVSALLFADTKLTVINLFGYGIAIAGVAAYNNHKLKREAIRNISDDSQAALTIPMATSSNLNR >KJB61426 pep chromosome:Graimondii2_0_v6:9:47006014:47014065:-1 gene:B456_009G358100 transcript:KJB61426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVKKKMLKEEIITYAYLILYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSVLCFLLTKVFKILKVEEGMTLEIYTTSVIPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFILGVAAGLEVMSCRMLLIMSVISFGVLVASYGEINISWIGVVYQMGGVVGESLRLIFMEILVKRKGLKLNPISIMYYVSPCSALCLFIPWIFLEKPKMDAHGMWNLHPVVLTLNSICTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLVSALLFADTKLTVINLFGYGIAIAGVAAYNNHKLKREAIRNISDDSQAALTIPMATSSNLNR >KJB62887 pep chromosome:Graimondii2_0_v6:9:69353546:69356669:1 gene:B456_009G441900 transcript:KJB62887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 3-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G20420) UniProtKB/Swiss-Prot;Acc:Q9LTQ0] MGASVDAVERILNYRFRDKRLLEDALTHSSYSDATSYERLEFVGDAALGLAFTNHVFLAYPMLDPGQLSLIRAANISTEKLARVAVKHGLFQFVRHNAVGLAQKVKVFTEAVTQEDEFVAHGGSMKAPKVLADIVEALAAAVYVDIRFDLTTLWVIFRGLLEPIVTPEALQQQPQPVSSLFEICQKQGKYVDIKHWKNGVKNIATVFVDGEFIASGSSDRKDIAKLNAVREALQRLSTSMVVDVDSLGIDEINGSLEIKEAKQKLHKLCMKKKWPKPIYELEKDEGPPHEKKFVSAVKIPTEDGIFYITGDKKSRVKEAENSAASCLIQSLKEMRYL >KJB59213 pep chromosome:Graimondii2_0_v6:9:19714015:19715671:1 gene:B456_009G245200 transcript:KJB59213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHEAVEVAKTVLEVADVAWTAMECCHHLHHRHNPDDDSPENHDAFKLEKELESLKSENQRLRNLLEQNLKLLNNLSESPAYLNDCPPDLYARLVSTVESKDFLLRLKSLNGSNTKIEFPFKEATGDDKHSAEILINVNQNEPSWWVWVTDEMVPSNVEECSGIDDETYTVINEEHVVDAVANFMAKCILSNPKAQALTPEELQKSKFSTYFTWLYAFTT >KJB59212 pep chromosome:Graimondii2_0_v6:9:19713956:19716524:1 gene:B456_009G245200 transcript:KJB59212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHEAVEVAKTVLEVADVAWTAMECCHHLHHRHNPDDDSPENHDAFKLEKELESLKSENQRLRNLLEQNLKLLNNLSESPAYLNDCPPDLYARLVSTVESKDFLLRLKSLNGSNTKIEFPFKEATGDDKHSAEILINVNQNEPSWWVWVTDEMVPSNVEECSGIDDETYTVINEEHVVDAVANFMAKCILSNPKAQALTPEELQKTLLKSLGGVSKLEKVLGIWHAGKLFYALSTWGLALVGLYRARSVVKVAAMGIHTTSKVVMRAL >KJB59783 pep chromosome:Graimondii2_0_v6:9:22701581:22705118:1 gene:B456_009G271600 transcript:KJB59783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYSADFGKE >KJB59781 pep chromosome:Graimondii2_0_v6:9:22701521:22705251:1 gene:B456_009G271600 transcript:KJB59781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGEDAVRRRNAVAEYRKKLLQHKEYESRVRAGRENLRAAKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYSADFGKE >KJB59782 pep chromosome:Graimondii2_0_v6:9:22701618:22705118:1 gene:B456_009G271600 transcript:KJB59782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYSADFGKE >KJB62812 pep chromosome:Graimondii2_0_v6:9:68819706:68824962:1 gene:B456_009G437800 transcript:KJB62812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKNRVEEDNCSVNEALLFVTISIIGLQVDVHLKDGSVYSGIFHTASVEKEYGIVLKKAKLTKQGRCATNVANGSVVETLVILAGDLVQVFAKGVPLPLDGFAGNIACGNGEAASEIPPSSANSLNGTKKFNKSTMDERISNTKRNSAPNENGFADGFISMGAGNEDEGQKLLEKPMGNGKGVEYHKREETNIEQREDVSGATVARRQVGEDRSQPSQDEFGQNFKFHVDGSEKEVQSSVSSCESSALDTLKPVDGEIDNHCERPSATDIHQDAVCSGVSISSNPAMNVSPESCQRSFANPTAILPPQNSELNKNSKEFKLNPGAKIFSPSFVTATSVPPPMVPTVANMSYIPSNSPMVAVAGSQPEVGMGTFAPRSSAPKFVSYGNLSAATGVNGSQFSQPIVGHMGNRTQPLRYASQYHPVQAAPAYLNPNSQAVMFGHMGQLICVPVSHDLVPNAAAISPEPARPPLTPHHVQFPKHQGSAPDQALQLCVPQPFIAGGQPPLTVPSHIPYLQPPFPVNRPIQIPGSNGLFSTKLP >KJB62813 pep chromosome:Graimondii2_0_v6:9:68819865:68823740:1 gene:B456_009G437800 transcript:KJB62813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKNRVEEDNCSVNEALLFVTISIIGLQVDVHLKDGSVYSGIFHTASVEKEYGIVLKKAKLTKQGRCATNVANGSVVETLVILAGDLVQVFAKGVPLPLDGFAGNIACGNGEAASEIPPSSANSLNGTKKFNKSTMDERISNTKRNSAPNENGFADGFISMGAGNEDEGQKLLEKPMGNGKGVEYHKREETNIEQREDVSGATVARRQVGEDRSQPSQDEFGQNFKFHVDGSEKEVQSSVSSCESSALDTLKPVDGEIDNHCERPSATDIHQDAVCSGVSISSNPAMNVSPESCQRSFANPTAILPPQNSELNKNSKEFKLNPGAKIFSPSFVTATSVPPPMVPTVANMSYIPSNSPMVAVAGSQPEVGMGTFAPRSSAPKFVSYGNLSAATGVNGSQFSQPVCILYTFVVH >KJB62815 pep chromosome:Graimondii2_0_v6:9:68820837:68824962:1 gene:B456_009G437800 transcript:KJB62815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERISNTKRNSAPNENGFADGFISMGAGNEDEGQKLLEKPMGNGKGVEYHKREETNIEQREDVSGATVARRQVGEDRSQPSQDEFGQNFKFHVDGSEKEVQSSVSSCESSALDTLKPVDGEIDNHCERPSATDIHQDAVCSGVSISSNPAMNVSPESCQRSFANPTAILPPQNSELNKNSKEFKLNPGAKIFSPSFVTATSVPPPMVPTVANMSYIPSNSPMVAVAGSQPEVGMGTFAPRSSAPKFVSYGNLSAATGVNGSQFSQPIVGHMGNRTQPLRYASQYHPVQAAPAYLNPNSQAVMFGHMGQLICVPVSHDLVPNAAAISPEPARPPLTPHHVQFPKHQGSAPDQALQLCVPQPFIAGGQPPLTVPSHIPYLQPPFPVNRPIQIPGSNGLFSTKLP >KJB62814 pep chromosome:Graimondii2_0_v6:9:68819865:68824832:1 gene:B456_009G437800 transcript:KJB62814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKNRVEEDNCSVNEALLFVTISIIGLQVDVHLKDGSVYSGIFHTASVEKEYGIVLKKAKLTKQGRCATNVANGSVVETLVILAGDLVQVFAKGVPLPLDGFAGNIACGNGEAASEIPPSSANSLNGTKKFNKSTMDERISNTKRNSAPNENGFADGFISMGAGNEDEGQKLLEKPMGNGKGVEYHKREETNIEQREDVSGATVARRQVGEDRSQPSQDEFGQNFKFHVDGSEKEVQSSVSSCESSALDTLKPVDGEIDNHCERPSATDIHQDAVCSGVSISSNPAMNVSPESCQRSFANPTAILPPQNSELNKNSKEFKLNPGAKIFSPSFVTATSVPPPMVPTVANMSYIPSNSPMVAVAGSQPEVGMGTFAPRSSAPKFVSYGNLSAATGVNGSQFSQPIVGHMGNRTQPLRYASQYHPVQAAPAYLNPNSQAVMFGHMGQLICVPVSHDLVPNAAAISPEPARPPLTPHHVQFPKHQGSAPDQALQLCVPQPFIAGGQPPLTVPSHIPYLQPPFPVNRPIQIPGSNGLFSTKLP >KJB58596 pep chromosome:Graimondii2_0_v6:9:16965529:16968489:1 gene:B456_009G218300 transcript:KJB58596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRGNPLKSLSNRCLLRLSSDTHSLFHGKTFNETSLTTQRRGFYSFSSPNKLASCKGSHPLRSFSTSSSSSKVGFLGWYLAKLESNPIITKSVTTSLIFAAADFTSQMITSASSYDSIRTLRMAGYGLLLLGPSQHLWFNLLSKALPKRDMLTTFKKMFLGQAVFGPLVTTVFFSYNAALQGENAEEIAARLKRDLLPTLISGAMFWPTCDFVTYKFVPVHLQPLMNSSCSYIWTIYLTYMASLNKVSIE >KJB58599 pep chromosome:Graimondii2_0_v6:9:16965529:16967450:1 gene:B456_009G218300 transcript:KJB58599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRGNPLKSLSNRCLLRLSSDTHSLFHGKTFNETSLTTQRRGFYSFSSPNKLASCKGSHPLRSFSTSSSSSKVGFLGWYLAKLESNPIITKSVTTSLIFAAADFTSQMITSASSYDSIRTLRMAGYGLLLLGPSQHLWFNLLSKALPKRDMLTTFKKMFLGQAVFGPLVTTVFFSYNAALQGENAEEIAARLKRDLLPTLISGAMFWPTCDFVTYKFVPVHLQPLMNSSCSYIWTIYLTYMASLNKVSIE >KJB58600 pep chromosome:Graimondii2_0_v6:9:16965622:16967628:1 gene:B456_009G218300 transcript:KJB58600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSASSYDSIRTLRMAGYGLLLLGPSQHLWFNLLSKALPKRDMLTTFKKMFLGQAVFGPLVTTVFFSYNAALQGENAEEIAARLKRDLLPTLISGAMFWPTCDFVTYKFVPVHLQPLMNSSCSYIWTIYLTYMASLNKVSIE >KJB58598 pep chromosome:Graimondii2_0_v6:9:16965500:16967635:1 gene:B456_009G218300 transcript:KJB58598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRGNPLKSLSNRCLLRLSSDTHSLFHGKTFNETSLTTQRRGFYSFSSPNKLASCKGSHPLRSFSTSSSSSKVGFLGWYLAKLESNPIITKSVTTSLIFAAADFTSQMITSASSYDSIRTLRMAGYGLLLLGPSQHLWFNLLSKALPKRDMLTTFKKMFLGQAVFGPLVTTVFFSYNAALQGENAEEIAARLKRDLLPTLISGAMFWPTCDFVTYKFVPVHLQFLFLHMDNLFDVHGKLKQSEHRVVGLLT >KJB58597 pep chromosome:Graimondii2_0_v6:9:16965559:16967275:1 gene:B456_009G218300 transcript:KJB58597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRGNPLKSLSNRCLLRLSSDTHSLFHGKTFNETSLTTQRRGFYSFSSPNKLASCKGSHPLRSFSTSSSSSKVGFLGWYLAKLESNPIITKSVTTSLIFAAADFTSQMITSASSYDSIRTLRMAGYGLLLLGPSQHLWFNLLSKALPKRDMLTTFKKMFLGQAVFGPLVTTVFFSYNAALQGENAEEIAARLKRDLLPTLISGAMFWPTCDFVTYKFVPVHLQVYLCYFLLYFWSFLISNCCD >KJB56820 pep chromosome:Graimondii2_0_v6:9:10498040:10500083:-1 gene:B456_009G139200 transcript:KJB56820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPGQLIWEVVKKNNCFLVRQFGRGTASLQFSKEPNNLYNLHSYKHSGLANKKTVTIQSGGKDQSVLLATTKTKKQNKPSALLHNSLMKKEFPRMAKAVKNQVTDNYYRPDLTKAALARLSAVHRSLKVAKSGVKKRNRQALKVCGRK >KJB58859 pep chromosome:Graimondii2_0_v6:9:17989527:17990016:1 gene:B456_009G229000 transcript:KJB58859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLRRWSKDIYISALLGVLLYVTLTTCFHGLHNYLEEHTQVNLADNSVKRQGLVVRSVRDGFTALAIRFLHFLVAKLLQFRHVLLLHQPVPFMPITFWLAFMLSIFHQSL >KJB62618 pep chromosome:Graimondii2_0_v6:9:66836122:66841560:1 gene:B456_009G426300 transcript:KJB62618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGAPAEPADSYYEVRPECTDVPNTKFKIRPGKTLSVRRWKAAFSPDGRLDIAKTLDRIHRGGIHPTIRGEVWEFLLGCFDADSTYEERDQIRQQRREQYAKMKSDCREIFPVVGSGKYITAPVITEDGQPISDPLVLAETSQDDNTIEIVKELTSRGPLDNKVIQWLLTLHQIGLDVRRTDRTLVFYEKEENLAKLWDILSVYAWIDIDVGYCQGMSDLCSPMIMLLEDEADAFWCFERLMRRLRGNFRCTDSSVGVETQLCSLATVTQVIDPKLHQHLETLGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDMFYQYEDSGSDMEKTEGAKLRQKSTRRCGKYERENMKVKNPEAHLPISVFLVASVLKDKSSTLLQEARGLDDVVQILNDITGNLDAKKACIGAMKLHKKYLKKAQATPSTQRLFPFTL >KJB62931 pep chromosome:Graimondii2_0_v6:9:69526513:69529512:1 gene:B456_009G444000 transcript:KJB62931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLVTTLIFSVIGVIASLCTSICCNRGPSANLLHLTLVITATVCCWMMWAIVYLAQMKPLIVPILSEGE >KJB54001 pep chromosome:Graimondii2_0_v6:9:1257863:1258596:-1 gene:B456_009G015900 transcript:KJB54001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRFNPKTDLRIDSVVKYDLRLSRANITFHIPSILLPSSSSCCSFLVTVHIYVCMYILDTVSFLHGIFPVYCRTDETQQVGSCFVLWLFCKDDHPTMV >KJB57022 pep chromosome:Graimondii2_0_v6:9:11489622:11490650:-1 gene:B456_009G150900 transcript:KJB57022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKSLIYAFVSRGEVILAEYTEFSGNFNSIAFQCLQKLPSSNNKFTYNCDGHTFNYLVDNGYTYCVVADELAGRQVPIAFLERVKEDFVSKYGSGKAATAPANSLNKEFGPKLKEHMQYCVEHPEEISKLAKIKSQVSEVKGVMMENIEKVHMLCWLYIYYASIVMPAICKWME >KJB57020 pep chromosome:Graimondii2_0_v6:9:11488378:11490228:-1 gene:B456_009G150900 transcript:KJB57020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRKRGRGIAYCVVADELAGRQVPIAFLERVKEDFVSKYGSGKAATAPANSLNKEFGPKLKEHMQYCVEHPEEISKLAKIKSQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHQQAQDFRNTGTKIRRKMWLQNMKIKLIVLGILIALILIIVLSVCHGFNCGGK >KJB57019 pep chromosome:Graimondii2_0_v6:9:11488137:11490950:-1 gene:B456_009G150900 transcript:KJB57019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKSLIYAFVSRGEVILAEYTEFSGNFNSIAFQCLQKLPSSNNKFTYNCDGHTFNYLVDNGYTYCVVADELAGRQVPIAFLERVKEDFVSKYGSGKAATAPANSLNKEFGPKLKEHMQYCVEHPEEISKLAKIKSQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHQQAQDFRNTGTKIRRKMWLQNMKIKLIVLGILIALILIIVLSVCHGFNCGGK >KJB57021 pep chromosome:Graimondii2_0_v6:9:11488378:11490813:-1 gene:B456_009G150900 transcript:KJB57021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKSLIYAFVSRGEVILAEYTEFSGNFNSIAFQCLQKLPSSNNKFTYNCDGHTFNYLVDNGYNELAGRQVPIAFLERVKEDFVSKYGSGKAATAPANSLNKEFGPKLKEHMQYCVEHPEEISKLAKIKSQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHQQAQDFRNTGTKIRRKMWLQNMKIKLIVLGILIALILIIVLSVCHGFNCGGK >KJB57023 pep chromosome:Graimondii2_0_v6:9:11488378:11490813:-1 gene:B456_009G150900 transcript:KJB57023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKSLIYAFVSRGEVILAEYTEFSGNFNSIAFQCLQKLPSSNNKFTYNCDGHTFNYLVDNGYTYCVVADELAGRQVPIAFLERVKEDFVPKLKEHMQYCVEHPEEISKLAKIKSQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHQQAQDFRNTGTKIRRKMWLQNMKIKLIVLGILIALILIIVLSVCHGFNCGGK >KJB62588 pep chromosome:Graimondii2_0_v6:9:66477944:66479265:1 gene:B456_009G424400 transcript:KJB62588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPVAEKAPASAEKRPKAEKKISKDSGDKKKKKIKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTIASREIQTAVKLVLPGELAKHAVSEGTKAVTKFTSS >KJB57035 pep chromosome:Graimondii2_0_v6:9:11066629:11069136:-1 gene:B456_009G145800 transcript:KJB57035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFGWVQNKLNGKPGRSKPQTDSATNYMKQEPRQEFSDWPHGLLAIGTFGNNNDMIENPPSQNTARQDPFDIREEHEPSSSEDLHEFTPEEVGKLEKELTKLLSRKPASDVKKELANLPLDRFLNCPSSLEVDRRISNAVCSDSGDKSDQEDIDRTISVILGRCKDICAEKNKKSIGKKSLSFLLKKMFACGSGFSPAPSLRDVLQESKMERLLRVMLHKKIYNQNPSGASAVKKYLEDRQSPKRRNKLNNEDETQERKSEDGYKWVKTDSEYIVLEI >KJB57034 pep chromosome:Graimondii2_0_v6:9:11066667:11068874:-1 gene:B456_009G145800 transcript:KJB57034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFGWVQNKLNGKPGRSKPQTDSATNYMKQEPRQEFSDWPHGLLAIGTFGNNNDMIENPPSQNTARQDPFDIREEHEPSSSEDLHEFTPEEVGKLEKELTKLLSRKPASDVKKELANLPLDRFLNCPSSLEVDRRISNAVCSDSGDKSDQEDIDRTISVILGRCKDICAEKNKKSIGKKSLSFLLKKMFACGSGFSPAPSLRDVLQESKMERLLRVMLHKKIYNQNPSGASAVKKYLEDRQSPKRRNKLNNEDETQERKSEDGYKWVKTDSEYIVLEI >KJB61106 pep chromosome:Graimondii2_0_v6:9:39527761:39528449:-1 gene:B456_009G341000 transcript:KJB61106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYNNFRTLTPLLCMLMLASCISQTPVSYAKSVDNLVIRFRYKVHIINGLPDNAKPLELSCHSNDDDLGHHTLWKDQEFRFKFGIHFWKKTHFVCNFSWGSKSLNDITVFVNEVETRTCRETGNCFWKVETDGIYFSSNDQNWEKRFNW >KJB63090 pep chromosome:Graimondii2_0_v6:9:70356438:70357256:-1 gene:B456_009G452500 transcript:KJB63090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPLPSPPPPPPPQSPSPSPTPPSTKYTTPISLSQIVISKPTITQQQEAPSSKKANPNTDTDNDNDNTTTLVRKSLLRQPHPRRTNPLIWCCAIICLIFSVILILFGVATLIIFLSMKPRIPLFDTPNARLNGIYFDTPEYFNGDFTFLANFSNPNKRIDVRFEYLVIELYFHDRLISTQAVQPFYQRSGEVRVEAVHFISSLVYLPQNLGVELQKQVLSNRVTYFIRGTFKVRAHMGLIHFSYWLHARCELLMSSPPTGVLVSHICKTKR >KJB60002 pep chromosome:Graimondii2_0_v6:9:24229226:24234295:1 gene:B456_009G284800 transcript:KJB60002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGYWNRRQPNPPMLSSGGMLKRPRTDYDAPPSGPRSALEMPSYLARDDDHGGHLSVRDTKSIGSAYDRYLQSAKLSSFTSGEASAFGGSGRAVGGAMPVHPIADPPLMGRLGSAAPDLSPNGHNIGYSGQHPVDAIARPGRDTVPLPPDASNTLYVEGFPPNSTRREVAHIFRPFVGYKEVRLVSKEPRQRGGDPIVLCFVDFSSPACAATAMSALQGYKMDEHDPDSNYLKLQFSRYPGPRSGPGTRGRR >KJB60001 pep chromosome:Graimondii2_0_v6:9:24229163:24234255:1 gene:B456_009G284800 transcript:KJB60001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGYWNRRQPNPPMLSSGGMLKRPRTDYDAPPSGPRSALEMPSYLARDDDHGGHLSVRDTKSIGSAYDRYLQSAKLSSFTSGEASAFGGSGRAVGGAMPVHPIADPPLMGRLGSAAPDLSPNGHNIGYSGQHPVDAIARPGRDTVPLPPDASNTLYVEGFPPNSTRREVAHIFRPFVGYKEVRLVSKEPRQRGGDPIVLCFVDFSSPACAATAMSALQGYKMDEHDPDSNYLKLQFSRYPGPRSGPGTRGRR >KJB60003 pep chromosome:Graimondii2_0_v6:9:24230104:24234295:1 gene:B456_009G284800 transcript:KJB60003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSYLARDDDHGGHLSVRDTKSIGSAYDRYLQSAKLSSFTSGEASAFGGSGRAVGGAMPVHPIADPPLMGRLGSAAPDLSPNGHNIGYSGQHPVDAIARPGRDTVPLPPDASNTLYVEGFPPNSTRREVAHIFRPFVGYKEVRLVSKEPRQRGGDPIVLCFVDFSSPACAATAMSALQGYKMDEHDPDSNYLKLQFSRYPGPRSGPGTRGRR >KJB63011 pep chromosome:Graimondii2_0_v6:9:70024966:70028121:-1 gene:B456_009G449000 transcript:KJB63011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIVLRSRTISNNFSSKSISQRGLCSGVVSSSSDPSKGTITSSQSILSDQSTPPPPAPKVAPQVSGGKIWSFVKYGLIAGVTGTTGYAGYLSYKCSCEEVDHKAKALRAAASYAPSEDASAIDKYGGLLYSAAMTVPAKALESYLDLRRLVEEHLLEYTEPTSDKLLPDLHPLEQHVFTLVLDLNETLLYTDWKRERGWRTFKRPGVDAFLEHLAKFYEIVVYSDQMNMYVDPVCERLDTNHCIRYRLSRGATKYQDGKHYRDLSKLNRDPTKILYVSAHAFDSSLQPENCVPIKPYKLETDDTALLDLIPFLEYVARNSPADIRQVLQSYERKDIAKEFLERSKEYQRRVQEQKQQGRLWRR >KJB63010 pep chromosome:Graimondii2_0_v6:9:70024622:70028348:-1 gene:B456_009G449000 transcript:KJB63010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIVLRSRTISNNFSSKSISQRGLCSGVVSSSSDPSKGTITSSQSILSDQSTPPPPAPKVAPQVSGGKIWSFVKYGLIAGVTGTTGYAGYLSYKCSCEEVDHKAKALRAAASYAPSEDASAIDKYGGLLYSAAMTVPAKALESYLDLRRLVEEHLLEYTEPTSDKLLPDLHPLEQHVFTLVLDLNETLLYTDWKRERGWRTFKRPGVDAFLEHLAKFYEIVVYSDQMNMYVDPVCERLDTNHCIRYRLSRGATKYQDGKHYRDLSKLNRDPTKILYM >KJB63012 pep chromosome:Graimondii2_0_v6:9:70024683:70028147:-1 gene:B456_009G449000 transcript:KJB63012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIVLRSRTISNNFSSKSISQRGLCSGVVSSSSDPSKGTITSSQSILSDQSTPPPPAPKVAPQVSGGKIWSFVKYGLIAGVTGTTGYAGYLSYIDHKAKALRAAASYAPSEDASAIDKYGGLLYSAAMTVPAKALESYLDLRRLVEEHLLEYTEPTSDKLLPDLHPLEQHVFTLVLDLNETLLYTDWKRERGWRTFKRPGVDAFLEHLAKFYEIVVYSDQMNMYVDPVCERLDTNHCIRYRLSRGATKYQDGKHYRDLSKLNRDPTKILYVSAHAFDSSLQPENCVPIKPYKLETDDTALLDLIPFLEYVARNSPADIRQVLQSYERKDIAKEFLERSKEYQRRVQEQKQQGRLWRR >KJB61929 pep chromosome:Graimondii2_0_v6:9:53732113:53733668:-1 gene:B456_009G392300 transcript:KJB61929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASIKRLLAEKEELAMQLTNSLLEMEEEKAIQCAREKASIEAIEEKRKLYNSQITSLSEKLSEEGYTAFATKEEADTEFVAEGFSARPCFSCS >KJB61931 pep chromosome:Graimondii2_0_v6:9:53732162:53733838:-1 gene:B456_009G392300 transcript:KJB61931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANKKATCCRFMLMWLLARKLFGKKLSLEQSSFSQQGMEASIKRLLAEKEELAMEEKAIQCAREKASIEAIEEKRKLYNSQITSLSEKLSEES >KJB61930 pep chromosome:Graimondii2_0_v6:9:53732219:53733592:-1 gene:B456_009G392300 transcript:KJB61930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANKKATCCRFMLMWLLARKLFGKKLSLEQSSFSQQGMEASIKRLLAEKEELAMQLTNSLLEMEEEKAIQCAREKASIEAIEEKRKLYNSQITSLSEKLSEES >KJB60909 pep chromosome:Graimondii2_0_v6:9:34161907:34168243:-1 gene:B456_009G330700 transcript:KJB60909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLQTWRKAYGALKDSTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHLRKILLATSAIRPRADVAYCIHALSRRLAKTHNWTVALKTLIVIHRALREGDPTFREEILNFSQRARILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPRPSQGQDKGYSRTRDLGSEELLEQLPALQQLLHRLIGCRPEGAAIGNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAVKALDVYKRAGQQANSLSDFYEVCKGLELARNFQFPVLREPPQSFLATMEEYIREAPRVVSVPTEPVLQLTYRPEEGPSEDTKSFNDEPEPSAPADDVAVSSVETAPPPPPVPQTKLDTDDLLGLNDAAPNASAIEESNALALAIVPTESGTASAFNSSAGQPKDFDPTGWELALVTTPSTDISAPTERQLAGGLDSLTLNSLYDEAAYRASQQPVYGTAAPNPFEVQDPFAVSNNIATPTVVQMAAMGQQQNNPFGSYQPTYPQQHLVMRPSNPFGDAGLGAFPANQMVPFAQPHANNPFGGSTGLL >KJB60907 pep chromosome:Graimondii2_0_v6:9:34161907:34168243:-1 gene:B456_009G330700 transcript:KJB60907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLQTWRKAYGALKDSTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHLRKILLATSAIRPRADVAYCIHALSRRLAKTHNWTVALKTLIVIHRALREGDPTFREEILNFSQRARILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPRPSQGQDKGYSRTRDLGSEELLEQLPALQQLLHRLIGCRVLKESFKIYCAINDGIINLVDKFFEMPRHEAVKALDVYKRAGQQANSLSDFYEVCKGLELARNFQFPVLREPPQSFLATMEEYIREAPRVVSVPTEPVLQLTYRPEEGPSEDTKSFNDEPEPSAPADDVAVSSVETAPPPPPVPQTKLDTDDLLGLNDAAPNASAIEESNALALAIVPTESGTASAFNSSAGQPKDFDPTGWELALVTTPSTDISAPTERQLAGGLDSLTLNSLYDEAAYRASQQPVYGTAAPNPFEVQDPFAVSNNIATPTVVQMAAMGQQQNNPFGSYQPTYPQQHLVMRPSNPFGDAGLGAFPANQMVPFAQPHANNPFGGSTGLL >KJB60910 pep chromosome:Graimondii2_0_v6:9:34161907:34168243:-1 gene:B456_009G330700 transcript:KJB60910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLQTWRKAYGALKDSTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHLRKILLATSAIRPRADVAYCIHALSRRLAKTHNWTVALKTLIVIHRALREGDPTFREEILNFSQRARILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPRPSQGQDKPEGAAIGNYVIQYALALFFEMPRHEAVKALDVYKRAGQQANSLSDFYEVCKGLELARNFQFPVLREPPQSFLATMEEYIREAPRVVSVPTEPVLQLTYRPEEGPSEDTKSFNDEPEPSAPADDVAVSSVETAPPPPPVPQTKLDTDDLLGLNDAAPNASAIEESNALALAIVPTESGTASAFNSSAGQPKDFDPTGWELALVTTPSTDISAPTERQLAGGLDSLTLNSLYDEAAYRASQQPVYGTAAPNPFEVQDPFAVSNNIATPTVVQMAAMGQQQNNPFGSYQPTYPQQHLVMRPSNPFGDAGLGAFPANQMVPFAQPHANNPFGGSTGLL >KJB60908 pep chromosome:Graimondii2_0_v6:9:34162108:34167815:-1 gene:B456_009G330700 transcript:KJB60908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLRKKGILEVKILLATSAIRPRADVAYCIHALSRRLAKTHNWTVALKTLIVIHRALREGDPTFREEILNFSQRARILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPRPSQGQDKGYSRTRDLGSEELLEQLPALQQLLHRLIGCRPEGAAIGNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAVKALDVYKRAGQQANSLSDFYEVCKGLELARNFQFPVLREPPQSFLATMEEYIREAPRVVSVPTEPVLQLTYRPEEGPSEDTKSFNDEPEPSAPADDVAVSSVETAPPPPPVPQTKLDTDDLLGLNDAAPNASAIEESNALALAIVPTESGTASAFNSSAGQPKDFDPTGWELALVTTPSTDISAPTERQLAGGLDSLTLNSLYDEAAYRASQQPVYGTAAPNPFEVQDPFAVSNNIATPTVVQMAAMGQQQNNPFGSYQPTYPQQHLVMRPSNPFGDAGLGAFPANQMVPFAQPHANNPFGGSTGLL >KJB60906 pep chromosome:Graimondii2_0_v6:9:34162108:34168036:-1 gene:B456_009G330700 transcript:KJB60906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLQTWRKAYGALKDSTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHLRKILLATSAIRPRADVAYCIHALSRRLAKTHNWTVALKTLIVIHRALREGDPTFREEILNFSQRARILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPRPSQGQDKPEGAAIGNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAVKALDVYKRAGQQANSLSDFYEVCKGLELARNFQFPVLREPPQSFLATMEEYIREAPRVVSVPTEPVLQLTYRPEEGPSEDTKSFNDEPEPSAPADDVAVSSVETAPPPPPVPQTKLDTDDLLGLNDAAPNASAIEESNALALAIVPTESGTASAFNSSAGQPKDFDPTGWELALVTTPSTDISAPTERQLAGGLDSLTLNSLYDEAAYRASQQPVYGTAAPNPFEVQDPFAVSNNIATPTVVQMAAMGQQQNNPFGSYQPTYPQQHLVMRPSNPFGDAGLGAFPANQMVPFAQPHANNPFGGSTGLL >KJB60905 pep chromosome:Graimondii2_0_v6:9:34161873:34168266:-1 gene:B456_009G330700 transcript:KJB60905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLQTWRKAYGALKDSTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHLRKILLATSAIRPRADVAYCIHALSRRLAKTHNWTVALKTLIVIHRALREGDPTFREEILNFSQRARILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPRPSQGQDKGYSRTRDLGSEELLEQLPALQQLLHRLIGCRPEGAAIGNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAVKALDVYKRAGQQANSLSDFYEVCKGLELARNFQFPVLREPPQSFLATMEEYIREAPRVVSVPTEPVLQLTYRPEEGPSEDTKSFNDEPEPSAPADDVAVSSVETAPPPPPVPQTKLDTDDLLGLNDAAPNASAIEESNALALAIVPTESGTASAFNSSAGQPKDFDPTGWELALVTTPSTDISAPTERQLAGGLDSLTLNSLYDEAAYRASQQPVYGTAAPNPFEVQDPFAVSNNIATPTVVQMAAMGQQQNNPFGSYQPTYPQQHLVMRPSNPFGDAGLGAFPANQMVPFAQPHANNPFGGSTGLL >KJB58419 pep chromosome:Graimondii2_0_v6:9:17432826:17434968:1 gene:B456_009G223800 transcript:KJB58419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPNYVCCHRNRLLVVLLFLLVSSLTQVTFMAAEGRSLSRLLEVAQKETEEEDKPFMMRSLIGSRPPRCERKCSSWPNCEAIQVPVTTLFKNRRDRHFSAALFSISFSRGDDISNYKPMSWKCKCGNLICNP >KJB58418 pep chromosome:Graimondii2_0_v6:9:17432826:17434968:1 gene:B456_009G223800 transcript:KJB58418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPNYVCCHRNRLLVVLLFLLVSSLTQVTFMAAEGRSLSRLLEVAQKETEEEDKPFMMRSLIGSRPPRCERKCSSWPNCEAIQVPVTTLFKNRRDRHFSAALFSISFSRGDDISNYKPMSWKCKCGNLICNP >KJB58421 pep chromosome:Graimondii2_0_v6:9:17432826:17436910:1 gene:B456_009G223800 transcript:KJB58421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPNYVCCHRNRLLVVLLFLLVSSLTQVTFMAAEGRSLSRLLEVAQKETEEEDKPFMMRSLIGSRPPRCERKCSSWPNCEAIQVPVTTLFKNRRDRHFSAALFSISFSRGDDISNYKPMSWKCKCGNLICNP >KJB58420 pep chromosome:Graimondii2_0_v6:9:17432823:17434968:1 gene:B456_009G223800 transcript:KJB58420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVCLQLQDSTFPRSIFEENKMSSSPNYVCCHRNRLLVVLLFLLVSSLTQVTFMAAEGRSLSRLLEVAQKETEEEDKPFMMRSLIGSRPPRCERKCSSWPNCEAIQVPVTTLFKNRRDRHFSAALFSISFSRGDDISNYKPMSWKCKCGNLICNP >KJB58425 pep chromosome:Graimondii2_0_v6:9:17432823:17434968:1 gene:B456_009G223800 transcript:KJB58425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPNYVCCHRNRLLVVLLFLLVSSLTQVTFMAAEGRSLSRLLEVAQKETEEEDKPFMMRSLIGSRPPRCERKCSSWPNCEAIQVPVTTLFKNRRDRHFSAALFSISFSRGDDISNYKPMSWKCKCGNLICNP >KJB58422 pep chromosome:Graimondii2_0_v6:9:17432826:17434968:1 gene:B456_009G223800 transcript:KJB58422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPNYVCCHRNRLLVVLLFLLVSSLTQVTFMAAEGRSLSRLLEVAQKETEEEDKPFMMRSLIGSRPPRCERKCSSWPNCEAIQVPVTTLFKNRRDRHFSAALFSISFSRGDDISNYKPMSWKCKCGNLICNP >KJB58423 pep chromosome:Graimondii2_0_v6:9:17432826:17434968:1 gene:B456_009G223800 transcript:KJB58423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPNYVCCHRNRLLVVLLFLLVSSLTQVTFMAAEGRSLSRLLEVAQKETEEEDKPFMMRSLIGSRPPRCERKCSSWPNCEAIQVPVTTLFKNRRDRHFSAALFSISFSRGDDISNYKPMSWKCKCGNLICNP >KJB58424 pep chromosome:Graimondii2_0_v6:9:17432826:17434968:1 gene:B456_009G223800 transcript:KJB58424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPNYVCCHRNRLLVVLLFLLVSSLTQVTFMAAEGRSLSRLLEVAQKETEEEDKPFMMRSLIGSRPPRCERKCSSWPNCEAIQVPVTTLFKNRRDRHFSAALFSISFSRGDDISNYKPMSWKCKCGNLICNP >KJB59256 pep chromosome:Graimondii2_0_v6:9:19871126:19876424:-1 gene:B456_009G246700 transcript:KJB59256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVSLWFALSAILCSVTAISAEQSSETKDFVLTLDHSNFTDTVSKHKFVVVEFYAPWCGHCKNLAPEYEKAASILSKHDPPVILAKVDANEEANKYLANEYEVRGYPTLRILRNGGKNVQEYKGPREADGIVEYLKKQSGPASAELKSAEDASNLIDGKKIVVVGVFPKFSGEEFESYMALAEKLRSDYEFGHTLDAKHLPRGESSVTGPVVRLFKPFDELFVDFEDFNVEALEKFVAESSMPLVTLFNKDPSNHPFVIKFYNSPNAKAMLFANLSVEGIDSLTSKYREVAEQYKGQGIGFLLGDLEASQAAFQYFGVQESQVPLIIIQNNDGKKYLKPNLQANDIAPFVKDYKEGKVPPYLKSEPIPEENKEPVKVVVADTFEDMVFKSGKNVLLEFYAPWCGHCKKLAPILDEVAVHYEKDDNVLIAKLDATANDIVGENFDVRGYPTIYFRSTSGNITPYEGNRTKEDIINFIEKNRDKTAQQESAKDEL >KJB59258 pep chromosome:Graimondii2_0_v6:9:19871745:19876236:-1 gene:B456_009G246700 transcript:KJB59258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVSLWFALSAILCSVTAISAEQSSETKDFVLTLDHSNFTDTVSKHKFVVVEFYAPWCGHCKNLAPEYEKAASILSKHDPPVILAKVDANEEANKYLANEYEVRGYPTLRILRNGGKNVQEYKGPREADGIVEYLKKQSGPASAELKSAEDASNLIDGKKIVVVGVFPKFSGEEFESYMALAEKLRSDYEFGHTLDAKHLPRGESSVTGPVVRLFKPFDELFVDFEDFNVEALEKFVAESSMPLVTLFNKDPSNHPFVIKFYNSPNAKAMLFANLSVEGIDSLTSKYREVAEQYKGQGIGFLLGDLEASQAAFQYFGVQESQVPLIIIQNNDGKKYLKPNLQANDIAPFVKDYKEGKVPPYLKSEPIPEENKEPVKVVVADTFEDMVFKSGKNVLLEFYAPWCGHCKKLAPILDEVAVHYEKDDNVLIAKLDATANDIVGENFDVRGYPTIYFRSTSGNITPYEGNRTKEDIINFIEKNRDKTAQQESAKDEL >KJB59261 pep chromosome:Graimondii2_0_v6:9:19871136:19876392:-1 gene:B456_009G246700 transcript:KJB59261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVSLWFALSAILCSVTAISAEQSSETKDFVLTLDHSNFTDTVSKHKFVVVEFYAPWCGHCKNLAPEYEKAASILSKHDPPVILAKVDANEEANKYLANEYEVRGYPTLRILRNGGKNVQEYKGPREADGIVEYLKKQSGPASAELKSAEDASNLIDGKKIVVVGVFPKFSGEEFESYMALAEKLRSDYEFGHTLDAKHLPRGESSVTGPVVRLFKPFDELFVDFEDFNVEALEKFVAESSMPLVTLFNKDPSNHPFVIKFYNSPNAKAMLFANLSVEGIDSLTSKYREVAEQYKGQGIGFLLGDLEASQAAFQYFGVQESQVPLIIIQNNDGKKYLKPNLQANDIAPFVKDYKEGKVPPYLKSEPIPEENKEPVKVVVADTFEDMVFKSGKNVLLEFYAPWCGHCKKLAPILDEVAVHYEKDDNVLIAKLDATANDIVGENFDVRGYPTIYFRSTSGNITPYEGNRTKEDIINFIEKNRDKTAQQESAKDEL >KJB59259 pep chromosome:Graimondii2_0_v6:9:19871136:19876392:-1 gene:B456_009G246700 transcript:KJB59259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVSLWFALSAILCSVTAISAEQSSETKDFVLTLDHSNFTDTVSKHKFVVVEFYAPWCGHCKNLAPEYEKAASILSKHDPPVILAKVDANEEANKYLANEYEVRGYPTLRILRNGGKNVQEYKGPREADGIVEYLKKQSGPASAELKSAEDASNLIDGKKIVVVGVFPKFSGEEFESYMALAEKLRSDYEFGHTLDAKHLPRGESSVTGPVVRLFKPFDELFVDFEDFNVEALEKFVAESSMPLVTLFNKDPSNHPFVIKFYNSPNAKAMLFANLSVEGIDSLTSKYREVAEQYKGQGIGFLLGDLEASQAAFQYFGVQESQVPLIIIQNNDGKKYLKPNLQANDIAPFVKDYKEGKVPPYLKSEPIPEENKEPVKVVVADTFEDMVFKSGKNVLLEFYAPWCGHCKKLAPILDEVAVHYEKDDNVLIAKLDATANDIVGENFDVRGYPTIYFRSTSGNITPYEGNRTKEDIINFIEKNRDKTAQQESAKDEL >KJB59257 pep chromosome:Graimondii2_0_v6:9:19871136:19876424:-1 gene:B456_009G246700 transcript:KJB59257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVSLWFALSAILCSVTAISAEQSSETKDFVLTLDHSNFTDTVSKHKFVVVEFYAPWCGHCKNLAPEYEKAASILSKHDPPVILAKVDANEEANKYLANEYEVRGYPTLRILRNGGKNVQEYKGPREADGIVEYLKKQSGPASAELKSAEDASNLIDGKKIVVVGVFPKFSGEEFESYMALAEKLRSDYEFGHTLDAKHLPRGESSVTGPVVRLFKPFDELFVDFEDFNVEALEKFVAESSMPLVTLFNKDPSNHPFVIKFYNSPNAKAMLFANLSVEGIDSLTSKYREVAEQYKGQGIGFLLGDLEASQAAFQYFGVQESQVPLIIIQNNDGKKYLKPNLQANDIAPFVKDYKEGKVPPYLKSEPIPEENKEPVKVVVADTFEDMVFKSGKNVLLEFYAPWCGHCKKLAPILDEVAVHYEKDDNVLIAKLDATANDIVGENFDVRGYPTIYFRSTSGNITPYEGNRTKEDIINFIEKNRDKTAQQESAKDEL >KJB59262 pep chromosome:Graimondii2_0_v6:9:19871745:19876236:-1 gene:B456_009G246700 transcript:KJB59262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVSLWFALSAILCSVTAISAEQSSETKDFVLTLDHSNFTDTVSKHKFVVVEFYAPWCGHCKNLAPEYEKAASILSKHDPPVILAKVDANEEANKYLANEYEVRGYPTLRILRNGGKNVQEYKGPREADGIVEYLKKQSGPASAELKSAEDASNLIDGKKIVVVGVFPKFSGEEFESYMALAEKLRSDYEFGHTLDAKHLPRGESSVTGPVVRLFKPFDELFVDFEDFNVEALEKFVAESSMPLVTLFNKDPSNHPFVIKFYNSPNAKAMLFANLSVEGIDSLTSKYREVAEQYKGQGIGFLLGDLEASQAAFQYFGVQESQVPLIIIQNNDGKKYLKPNLQANDIAPFVKDYKEGKVPPYLKSEPIPEENKEPVKVVVADTFEDMVFKSGKNVLLEFYAPWCGHCKKLAPILDEVAVHYEKDDNVLIAKLDATANDIVGENFDVRGYPTIYFRSTSGNITPYEGNRTKEDIINFIEKNRDKTAQQESAKDEL >KJB59260 pep chromosome:Graimondii2_0_v6:9:19872495:19876236:-1 gene:B456_009G246700 transcript:KJB59260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVSLWFALSAILCSVTAISAEQSSETKDFVLTLDHSNFTDTVSKHKFVVVEFYAPWCGHCKNLAPEYEKAASILSKHDPPVILAKVDANEEANKYLANEYEVRGYPTLRILRNGGKNVQEYKGPREADGIVEYLKKQSGPASAELKSAEDASNLIDGKKIVVVGVFPKFSGEEFESYMALAEKLRSDYEFGHTLDAKHLPRGESSVTGPVVRLFKPFDELFVDFEDFNVEALEKFVAESSMPLVTLFNKDPSNHPFVIKFYNSPNAKAMLFANLSVEGIDSLTSKYREVAEQYKGQGIGFLLGDLEASQAAFQYFGVQESQVPLIIIQNNDGKKYLKPNLQANDIAPFVKDYKEGKVPPYLKSEPIPEENKEPVKVVVADTFEDMVFKSGKNGFCLSFMPLGVDIARNWPQSWMKLLFIMKKMIMF >KJB56547 pep chromosome:Graimondii2_0_v6:9:9629423:9633132:-1 gene:B456_009G127800 transcript:KJB56547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCSMICCGKGVDRKEKGKQQPTWRIFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKAEVQFSVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGHHSSECHLDWSRRMNIAIGSAEGIAYLHHHSTPHIIHRDIKASNVLLDSDFQPQVADFGFAKFIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELASGKKPLEKLSPTVKRPIAEWALPVASEGTFSELADPRLNGKYVEEELKRVVLVALVCADAQPEKRPTILEVVKLLKGESKEKLSELENSDLFKNPQSAVCNDEILASEESSDTIKEEKDS >KJB56548 pep chromosome:Graimondii2_0_v6:9:9629875:9632597:-1 gene:B456_009G127800 transcript:KJB56548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCSMICCGKGVDRKEKGKQQPTWRIFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKAEVQFSVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGHHSSECHLDWSRRMNIAIGSAEGIAYLHHHSTPHIIHRDIKASNVLLDSDFQPQVADFGFAKFIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELASGKKPLEKLSPTVKRPIAEWALPVASEGTFSELADPRLNGKYVEEELKRVVLVALVCADAQPEKRPTILEVVKLLKGESKEKLSELENSDLFKNPQSAVCNDEILASEESSDTIKEEKDS >KJB56546 pep chromosome:Graimondii2_0_v6:9:9629423:9632903:-1 gene:B456_009G127800 transcript:KJB56546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCSMICCGKGVDRKEKGKQQPTWRIFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKAEVQFSVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGHHSSECHLDWSRRMNIAIGSAEGIADIKASNVLLDSDFQPQVADFGFAKFIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELASGKKPLEKLSPTVKRPIAEWALPVASEGTFSELADPRLNGKYVEEELKRVVLVALVCADAQPEKRPTILEVVKLLKGESKEKLSELENSDLFKNPQSAVCNDEILASEESSDTIKEEKDS >KJB56545 pep chromosome:Graimondii2_0_v6:9:9629423:9631031:-1 gene:B456_009G127800 transcript:KJB56545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISVTIKMNLLDVFLLLCFSFNRVLVLKVIDLQIAVKRLKVWSNKAEVQFSVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGHHSSECHLDWSRRMNIAIGSAEGIAYLHHHSTPHIIHRDIKASNVLLDSDFQPQVADFGFAKFIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELASGKKPLEKLSPTVKRPIAEWALPVASEGTFSELADPRLNGKYVEEELKRVVLVALVCADAQPEKRPTILEVVKLLKGESKEKLSELENSDLFKNPQSAVCNDEILASEESSDTIKEEKDS >KJB58612 pep chromosome:Graimondii2_0_v6:9:16949159:16951992:-1 gene:B456_009G218000 transcript:KJB58612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLKFKLVEIFLFLSLYYVCIAAEEKIQKAKRTYIVHVDKSNMPETFTDHALWYDTSLKSVSDSASVLYRYENVVHGYSTMLTTEEAEALGEQPGILSVLPEVIYELHTTRTPEFLGLGKSTTLFPTSDSMGEVIVGVLDTGVWPELKSFDDSGLGPVPSRWKGECQVGKNFSASSCNRKLIGAKFFSKGYEVAFGPIDETMESRSPRDDDGHGTHTATTAAGSVVPSANLLGYASGTARGMASHARVAVYKVCWLGGCFGSDIVAAMDAAVADGVDVLSMSIGGGLSEYYSDTVAIGAFTAAANGIFVSCSAGNGGPMPSSLSNVAPWITTVGAGTLDRDFPASITLGNSELHSGVTLYNGKQLSDSMVPLVYGGNVSNSSGGALCMAGSLIPEKVARKIVVCDRGGSARVQKGVVVKDAGGIGMILTNTDTFGEELVADAHLLPSAAVGQKTGDAIKKYISSTRNPTAKIGPGTTKLGVQPSPVVAAFSSRGPNPVTPAILKPDIIAPGVNILAGWTAAVGPTGLQSDPRHVNFNIISGTSMSCPHVSGLAAIVKAAHPEWSPAAIKSALMTTAYTAYKTGQKIEDVATGGPATPFDYGAGHADPVAALDPGLVYDATIDDYLGFLCALNYTPNQIKSTTHRDFTCQTSKKYTLGDFNYPSFSVPLETASGRRGGADSSSIIKYTRTLTNVGAPATYKVSLHSQTQAVKMSVEPETLSFKAQYEKKSYTVTFKTSSMPSGTTSFARLEWSDGKHIVGSPIAFSWT >KJB58299 pep chromosome:Graimondii2_0_v6:9:17104053:17106016:1 gene:B456_009G220600 transcript:KJB58299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFVQRVGQSLVRAHRPPQVSWKRCCSSDALMELETKPGEVGMVSGIPEQQLKRRVIIYSPARTASQQGSGKVGKWKINFLSTHKWDNPLMGWTSTGDPYANVGEAGLEFDSEEAAKAFAEKYGWEYQVKKRQTPLLKPKSYADNFKWKGPPNAEE >KJB58300 pep chromosome:Graimondii2_0_v6:9:17104075:17105970:1 gene:B456_009G220600 transcript:KJB58300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFVQRVGQSLVRAHRPPQVSWKRCCSSDALMELETKPGEVGMVSGIPEQQLKRRGSGKVGKWKINFLSTHKWDNPLMGWTSTGDPYANVGEAGLEFDSEEAAKAFAEKYGWEYQVKKRQTPLLKPKSYADNFKWKGPPNAEE >KJB62708 pep chromosome:Graimondii2_0_v6:9:67723317:67725170:-1 gene:B456_009G431800 transcript:KJB62708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTPAMVPVIDFSNQNLKPGSPEWDSVKSQVREALEEYGCFEALFDPILELRKVVFGALQEAFDLPLETKKLCVSDKPFRGYLNPRSGLFQSLSMDDACVAENIERCVTNTLWPQGNISFSKTLASFTQLASELEKIILKMILESFGLEKYMDELIDSTNYHLRVMKYEKPKTKDSTHDAHCDSNMMTLLYQNEVNGLQIQSKDGEWINMKPSPNSFIVLIGESLSVWLNGRLPSPTHRVMMKGNEDRYSLGLFTRPRGGYVIKVPNELVDDNNPILFKPHDHEEYLKFYYSEITQAAVKSGGYISRLKAYCSV >KJB62707 pep chromosome:Graimondii2_0_v6:9:67723167:67725191:-1 gene:B456_009G431800 transcript:KJB62707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTPAMVPVIDFSNQNLKPGSPEWDSVKSQVREALEEYGCFEALFDPILELRKVVFGALQEAFDLPLETKKLCVSDKPFRGYLNPRSGLFQSLSMDDACVAENIERCVTNTLWPQGNISFSKTLASFTQLASELEKIILKMILESFGLEKYMDELIDSTNYHLRVMKYEKPKTKDSTHDAHCDSNMMTLLYQNEVWLNGRLPSPTHRVMMKGNEDRYSLGLFTRPRGGYVIKVPNELVDDNNPILFKPHDHEEYLKFYYSEITQAAVKSGGYISRLKAYCSV >KJB56948 pep chromosome:Graimondii2_0_v6:9:10854449:10857739:1 gene:B456_009G143300 transcript:KJB56948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSPAVAVTLSLGNSMGDNSGIAAHVEITPIKLVTDAASLSKMLPKNGNGSIAASETMVQESEEDVILSVDSNGIINEGLLVLNAGSDISLQNAEIESGRILAKAIILGESSIDQVPTAEVLLTTVSPDLKISDGFELKASEVVIQLPNEKNLNRGSRSVFELDCIPLWGSVSIIGKRAEMEDAVAAMPRFMKIPIKMLIGDRVFDGISQGLTDLTGHFFGVYDGHGGSQVANYCRDRIHVALAEEIGRIKDNLCDDTSKESRQMQWEKTFTSCFLKVDDEIGGKISQGNEDASDASFEPVAPETVGSTAVVALVCSSHIVVANCGDSRAVLCRGKEAMALSSDHKPSRDDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGMFIFV >KJB56947 pep chromosome:Graimondii2_0_v6:9:10854371:10857757:1 gene:B456_009G143300 transcript:KJB56947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSPAVAVTLSLGNSMGDNSGIAAHVEITPIKLVTDAASLSKMLPKNGNGSIAASETMVQESEEDVILSVDSNGIINEGLLVLNAGSDISLQNAEIESGRILAKAIILGESSIDQVPTAEVLLTTVSPDLKISDGFELKASEVVIQLPNEKNLNRGSRSVFELDCIPLWGSVSIIGKRAEMEDAVAAMPRFMKIPIKMLIGDRVFDGISQGLTDLTGHFFGVYDGHGGSQVANYCRDRIHVALAEEIGRIKDNLCDDTSKESRQMQWEKTFTSCFLKVDDEIGGKISQGNEDASDASFEPVAPETVGSTAVVALVCSSHIVVANCGDSRAVLCRGKEAMALSSDHKPSRDDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAREDECLILASDGLWDVISNEEACEVARRRILLWHKKNGVPSLVERGKGIDPAAQAAAEYLSMLAIQKGSRDNISVIVVDLKARRKFKSKT >KJB60642 pep chromosome:Graimondii2_0_v6:9:29894051:29896255:-1 gene:B456_009G316700 transcript:KJB60642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRQKSKTELLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKKKKFLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKEMYFPMRKYAIKCHAGIFGGQCHMWELLLGIP >KJB60641 pep chromosome:Graimondii2_0_v6:9:29894522:29896010:-1 gene:B456_009G316700 transcript:KJB60641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRQKSKTELLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKKKKFLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKEMYFPMRKYAIKV >KJB60643 pep chromosome:Graimondii2_0_v6:9:29894636:29896107:-1 gene:B456_009G316700 transcript:KJB60643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRQKSKTELLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKKKKFLPLDLRPKKTRAIRRRLTKHQVQTFF >KJB53395 pep chromosome:Graimondii2_0_v6:9:65387554:65388613:1 gene:B456_009G4199001 transcript:KJB53395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALSEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELEKEPKFLKNGDAGMIKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKSAAKKK >KJB53396 pep chromosome:Graimondii2_0_v6:9:65387522:65388913:1 gene:B456_009G4199001 transcript:KJB53396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALSEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELEKEPKFLKNGDAGMIKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKSAAKKK >KJB55846 pep chromosome:Graimondii2_0_v6:9:7091714:7096887:1 gene:B456_009G097900 transcript:KJB55846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSKLGRSSPRSSHSRKLLYRGEGGATTGGTSPNLPLLSGSVDRIIGQSGYLRGYLASIGAGKEFTSKAYLSDLNFVLANPRIRRFFSSEAPKKKNYENFYPKEKKEIPKQNDQKPDSKEDSKTDDQWNFQETFLKLFQNLVTPLLVLALFLSMSPWTVEQQQISFQEFKNKFLEPGLVDHIVVSNKSVAKVYVRNTPYNQTSEDLIQGPANGSSVRGHGGEYKCFFTIGSVESFEEKLEEAQEALGIDPHDYVPVTYASDVMWYQELMRFAPTLLLLGTLMYMGRRMQGGLGVGGGGGKGARGIFNIGKAHITKVDKNSKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGREQIFLVYLRKIKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARSERAQITMDHFEAAIDRIIGGLEKKNRVISKLERKTVAYHESGHAVSGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPLREDGFEMSKPYSNKTGAIIDGEVREWVAKAYEKTVQLIEEHKEQVAQIAELLLEKEVLHQEDLVRVLGERPFKSSELTNYDRFKQGFEDEETKSMQTPEGGIADDDGSAPPLVPQVVPT >KJB55847 pep chromosome:Graimondii2_0_v6:9:7091910:7096856:1 gene:B456_009G097900 transcript:KJB55847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSKLGRSSPRSSHSRLLYRGEGGATTGGTSPNLPLLSGSVDRIIGQSGYLRGYLASIGAGKEFTSKAYLSDLNFVLANPRIRRFFSSEAPKKKNYENFYPKEKKEIPKQNDQKPDSKEDSKTDDQWNFQETFLKLFQNLVTPLLVLALFLSMSPWTVEQQQISFQEFKNKFLEPGLVDHIVVSNKSVAKVYVRNTPYNQTSEDLIQGPANGSSVRGHGGEYKCFFTIGSVESFEEKLEEAQEALGIDPHDYVPVTYASDVMWYQELMRFAPTLLLLGTLMYMGRRMQGGLGVGGGGGKGARGIFNIGKAHITKVDKNSKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGTNRPDILDKALLRPGRFDRQISIDKPDIKGREQIFLVYLRKIKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARSERAQITMDHFEAAIDRIIGGLEKKNRVISKLERKTVAYHESGHAVSGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPLREDGFEMSKPYSNKTGAIIDGEVREWVAKAYEKTVQLIEEHKEQVAQIAELLLEKEVLHQEDLVRVLGERPFKSSELTNYDRFKQGFEDEETKSMQTPEGGIADDDGSAPPLVPQVVPT >KJB56344 pep chromosome:Graimondii2_0_v6:9:8524672:8530784:1 gene:B456_009G116100 transcript:KJB56344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDNNIEPSGSPRSRKVSARWDPDEACRPTIDDAPVFYPTVEEFEDTLAYVEKIREEAESFGICRIVPPPSWTPPCLLKEKDIWEHAKFSTRIQQVDLLQNREPMRKKTRSRKRKRKRQSKKGATRRRANSSVESTSASSEKFGFSSGSDFTLEEFQRYANEFKEAYFQRDRDEDLKPGVVEYSKWEPSWEDIEGEYWRIVEQPTDEIEVYYGADLETGTFGSGFPKASSMLTGNDADKYAMSGWNLNNFSRLQGSVLSFEGCDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGDPKVWYGVPQNHASSLEAAMRKHLPDLFEEQPGLLHDLVTQLSPSILKAEGVPIYRAVQYSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLEHGQHAVELYSEQRRRTSLSHDKLLLGSARQAIQALWEIFFAGRETPGNLRWKHVCGKDGMLTKAVRMRVQMEEERVNCLPPHLPLRKMEKDFDLESERECFSCFYDLHLSACSCNCSPKQFACLKHVKNFCSCQMEDRFVLLRYTIDELQMLVKALEGGVDAVKVWACKDIGLLPGKDCDAYMPNLVQDSEAVKLEPSEPSGSWSCSWRMEEKANISSSSYGHVSEVLHQHGTKLKASPSTVGCENNAYNIGVLIMENRVNLEQDACMKLNLDILTDYPASKSVNALDSPNNKSDVEIFLPSFNQEKICGFDEVRKPVLKRLKSDCGSSVSQESPNKYQHSTSCVHQDSDGFDGKKLFDVELHPGQSNTFWKTATVNSSDLNASIVARGDPLLISSVEPLSFGSVMLGKLWCSKKAIFPKGFRSRVKFFSVIDPTETSTYISEVLDAGPLGPLFKVTLEGCPTVSFSNVSVEKCWELVVQQLKQEILRSNLGERGVLPLQSLKRVNGLEMFGFLSPPIIQAVEALDPNHQCLEYWNHKTSSDKNEVNKDLD >KJB56346 pep chromosome:Graimondii2_0_v6:9:8523681:8531055:1 gene:B456_009G116100 transcript:KJB56346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDNNIEPSGSPRSRKVSARWDPDEACRPTIDDAPVFYPTVEEFEDTLAYVEKIREEAESFGICRIVPPPSWTPPCLLKEKDIWEHAKFSTRIQQVDLLQNREPMRKKTRSRKRKRKRQSKKGATRRRANSSVESTSASSEKFGFSSGSDFTLEEFQRYANEFKEAYFQRDRDEDLKPGVVEYSKWEPSWEDIEGEYWRIVEQPTDEIEVYYGADLETGTFGSGFPKASSMLTGNDADKYAMSGWNLNNFSRLQGSVLSFEGCDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGDPKVWYGVPQNHASSLEAAMRKHLPDLFEEQPGLLHDLVTQLSPSILKAEGVPIYRAVQYSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLEHGQHAVELYSEQRRRTSLSHDKLLLGSARQAIQALWEIFFAGRETPGNLRWKHVCGKDGMLTKAVRMRVQMEEERVNCLPPHLPLRKMEKDFDLESERECFSCFYDLHLSACSCNCSPKQFACLKHVKNFCSCQMEDRFVLLRYTIDELQMLVKALEGGVDAVKVWACKDIGLLPGKDCDAYMPNLVQDSEAVKLEPSEPSGSWSCSWRMEEKANISSSSYGHVSEVLHQHGTKLKASPSTVGCENNAYNIGVLIMENRVNLEQDACMKLNLDILTDYPASKSVNALDSPNNKSDVEIFLPSFNQEKICGFDEVRKPVLKRLKSDCGSSVSQESPNKYQHSTSCVHQDSDGFDGKKLFDVELHPGQSNTFWKTATVNSSDLNASIVARGDPLLISSVEPLSFGSVMLGKLWCSKKAIFPKGFRSRVKFFSVIDPTETSTYISEVLDAGPLGPLFKVTLEGCPTVSFSNVSVEKCWELVVQQLKQEILRSNLGERGVLPLQSLKRVNGLEMFGFLSPPIIQAVEALDPNHQCLEYWNHKTSSDKNEVNKDLD >KJB56345 pep chromosome:Graimondii2_0_v6:9:8523681:8531055:1 gene:B456_009G116100 transcript:KJB56345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDNNIEPSGSPRSRKVSARWDPDEACRPTIDDAPVFYPTVEEFEDTLAYVEKIREEAESFGICRIVPPPSWTPPCLLKEKDIWEHAKFSTRIQQVDLLQNREPMRKKTRSRKRKRKRQSKKGATRRRANSSVESTSASSEKFGFSSGSDFTLEEFQRYANEFKEAYFQRDRDEDLKPGVVEYSKWEPSWEDIEGEYWRIVEQPTDEIEVYYGADLETGTFGSGFPKASSMLTGNDADKYAMSGWNLNNFSRLQGSVLSFEGCDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGDPKVWYGVPQNHASSLEAAMRKHLPDLFEEQPGLLHDLVTQLSPSILKAEGVPIYRAVQYSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLEHGQHAVELYSEQRRRTSLSHDKLLLGSARQAIQALWEIFFAGRETPGNLRWKHVCGKDGMLTKAVRMRVQMEEERVNCLPPHLPLRKMEKDFDLESERECFSCFYDLHLSACSCNCSPKQFACLKHVKNFCSCQMEDRFVLLRYTIDELQMLVKALEGGVDAVKVWACKDIGLLPGKDCDAYMPNLVQDSEAVKLEPSEPSGSWSCSWRMEEKANISSSSYGHVSEVLHQHGTKLKASPSTVGCENNAYNIGVLIMENRVNLEQDACMKLNLDILTDYPASKSVNALDSPNNKSDVEIFLPSFNQEKICGFDEVRKPVLKRLKSDCGSSVSQESPNKYQHSTSCVHQDSDGFDGKKLFDVELHPGQSNTFWKTATVNSSDLNASIVARGDPLLISSVEPLSFGSVMLGKLWCSKKAIFPKGFRSRVKFFSVIDPTETSTYISEVLDAGPLGPLFKVTLEGCPTVSFSNVSVEKCWELVVQQLKQEILRSNLGERGVLPLQSLKRVNGLEMFGFLSPPIIQAVEALDPNHQCLEYWNHKTSSDKNEVNKDLD >KJB58252 pep chromosome:Graimondii2_0_v6:9:15562799:15564336:-1 gene:B456_009G201000 transcript:KJB58252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAYSSYLCMFVMALFMFVLASSRVDALVTSTAILVRVDQSGKGDYKKIQDAIDAVPSDNKEPVFILVKPGIYQEKIVVPADKPFITISGLKANGTIITWNDGGEIFESPTFTVLASDFVARYLTIQNTFGARTKAVALRVSGDRAAFFGCKILAYQDTLLDDNGRHYYKNCYIEGAVDFICGNAASLFEKCHLHSLSEGDASITAQRRESPSEDTGFTFLGCKITGVRSALLGRPWGAYSRVVFALSYMSGAILPQGWDDWGDTSKQSTTFYREYKCYGPGANARKRVEWSGKLTTEEAEVFMTKNMIGGRSWIRSTPTHFKKASTAISNNPATHA >KJB60470 pep chromosome:Graimondii2_0_v6:9:27887397:27889571:1 gene:B456_009G306400 transcript:KJB60470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRDSNREILKSKIVRNEDILSMFAAKAIACVQVVTLSNDLGLTMVEIEGDTLLVVKKVQSDGVDRLEIGAYIKDAKH >KJB60469 pep chromosome:Graimondii2_0_v6:9:27887397:27889571:1 gene:B456_009G306400 transcript:KJB60469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRDSNREILKSKIVRNEDILSMFAAKAIACVQVVTLSNDLGLTMVEIEGDTLLVVKKVQSDGVDRLEIGAYIKDAKH >KJB62738 pep chromosome:Graimondii2_0_v6:9:67934038:67935354:-1 gene:B456_009G432900 transcript:KJB62738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRKCPVYFTNYALLRDGPRNGVHKADQVRRERRKKAPQIDHFRPMLPSPYSISRSEASASIRMDELFTIYHLILIKTHIVFFLQIIYQFCNICSRSIDDWLFF >KJB56285 pep chromosome:Graimondii2_0_v6:9:8422846:8432941:1 gene:B456_009G114900 transcript:KJB56285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFANCFLREKAQVEQLLRYIVVEPPEDAENRRTFKFPFIACELFTCEVDIILKTLVEDEELMNLLFSFLDTNHSHSTPLAGYFSKVVICLLLRKTLPFLQYVKGHQEIVKHLVDLIGVTSVMEVLIRLIGADEHMYTNYKESMQWIEDTDILEMIVDKFSSSDSAEVHANAAETLCAITRFAPPGLAAKITSPNFTGRLFRHALEDSRPKSVLVNSLTVCISLLDPKRLTFGIYQTYRQVSQGPMIAANPETVEGMLESLGDLLKLLDVSSSELTLLTTYGKLQPPLGKHRLKIVEFISVLLMVGSEAAEKELIRLGAVQRILNLFFEYPYNNFLHHHVENIILSCLESKNVPLVEHLLRECNLLGKILEAEKNCTLTSDPNMPTISAEGRPPPKIGNLGHLTRISNKLVQLGNSNAEIQAHLQENSEWVDWHTNVLLKRNATENIYQWACGRPTALHDRTRDSDDDDYHDRDYDVAALANNLSQAFRYGIYSNDDMDQVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVSNECSSGALASASPNTERAAVVNGEGEDDEVVGGEDDDDLDDTATSSEVSEGNKIDHSSDESKDSKEAGPSANDKPPAWVEWRETPGSNEASGSGESAAVPNGEVQVKLEEKGRDDNPPSSSSSVNTSEIVLGTNESLSSTPPESSASPLVTKDDDTTSGTESAPEITEDVGDPTKETKN >KJB56284 pep chromosome:Graimondii2_0_v6:9:8420017:8432941:1 gene:B456_009G114900 transcript:KJB56284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRMAALSTASPVETILDKENFTLEELLDEDEIIQECKALNGRLINFLREKAQVEQLLRYIVVEPPEDAENRRTFKFPFIACELFTCEVDIILKTLVEDEELMNLLFSFLDTNHSHSTPLAGYFSKVVICLLLRKTLPFLQYVKGHQEIVKHLVDLIGVTSVMEVLIRLIGADEHMYTNYKESMQWIEDTDILEMIVDKFSSSDSAEVHANAAETLCAITRFAPPGLAAKITSPNFTGRLFRHALEDSRPKSVLVNSLTVCISLLDPKRLTFGIYQTYRQVSQGPMIAANPETVEGMLESLGDLLKLLDVSSSELTLLTTYGKLQPPLGKHRLKIVEFISVLLMVGSEAAEKELIRLGAVQRILNLFFEYPYNNFLHHHVENIILSCLESKNVPLVEHLLRECNLLGKILEAEKNCTLTSDPNMPTISAEGRPPPKIGNLGHLTRISNKLVQLGNSNAEIQAHLQENSEWVDWHTNVLLKRNATENIYQWACGRPTALHDRTRDSDDDDYHDRDYDVAALANNLSQAFRYGIYSNDDMDQVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVSNECSSGALASASPNTERAAVVNGEGEDDEVVGGEDDDDLDDTATSSEVSEGNKIDHSSDESKDSKEAGPSANDKPPAWVEWRETPGSNEASGSGESAAVPNGEVQVKLEEKGRDDNPPSSSSSVNTSEIVLGTNESLSSTPPESSASPLVTKDDDTTSGTESAPEITEDVGDPTKETKN >KJB54623 pep chromosome:Graimondii2_0_v6:9:3080643:3081041:1 gene:B456_009G041900 transcript:KJB54623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRYKKRRKKNGVLLVFFSSFCEAKFVSERGSAEISRTFTHHTRTPDFIFDSYQKKSKPLQHLQSRLHLNLSDRQLGNHKEREAQISKAQRI >KJB57439 pep chromosome:Graimondii2_0_v6:9:13819996:13821085:-1 gene:B456_009G179200 transcript:KJB57439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAPSWADQWGAGGIGAMEDEEATTKKEHDNKKPKRKGGLNKAKTATLKGAKKFKHGISKGLTWVKNKCQKKGSSK >KJB61845 pep chromosome:Graimondii2_0_v6:9:52367067:52368456:-1 gene:B456_009G385900 transcript:KJB61845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAKSLCTPFEQPELSEANKLNPDFVLDYIDNIDTKVRHRLRKDHGIEGGIPVVFSLEKPKAQLLPFRGPSGEEDNPSDYQWGNSSNILKQNLK >KJB55936 pep chromosome:Graimondii2_0_v6:9:7334341:7336296:-1 gene:B456_009G101800 transcript:KJB55936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKVEKKADPKAQALKAAKAVKSGATFKKAAKKIRTKVTFHRPKTLKKDRNPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDIHADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >KJB55937 pep chromosome:Graimondii2_0_v6:9:7334356:7337041:-1 gene:B456_009G101800 transcript:KJB55937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKVEKKADPKAQALKAAKAVKSGATFKKAAKKIRTKVTFHRPKTLKKDRNPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDIHADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >KJB58519 pep chromosome:Graimondii2_0_v6:9:16555481:16557870:-1 gene:B456_009G213200 transcript:KJB58519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPQQQFQQHYQPQQQQQQSKSFRNMYAIDAQVSPPLAYYNTPNLQDSSQHPPYIPPFHVVGFAPGPVPVTDGSDGGAEMQWNNGVEPKRKKLKEQDFLENNSQISSVDFFQAQSVSTGLGLSLDNNNNNRMASSGDSALLSLIGDDIDNELQRQDAEIDRFLKGDRLRQSVLEKVQANQLQTISLVEEKVFQKLREKEAEVENINKKNMELEEKMEQLTMEAGAWQQRARYNENMITALKFNLQQVYAQSRDSKEGCGDSEVDDTASCCNGRAIDFQLLCKENSNKKELMACKVCAVNEACMLLLPCKHLCLCKSCESKLSFCPLCQSSKFIGMEVFM >KJB58517 pep chromosome:Graimondii2_0_v6:9:16555481:16557922:-1 gene:B456_009G213200 transcript:KJB58517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPQQQFQQHYQPQQQQQQSKSFRNMYAIDAQVSPPLAYYNTPNLQDSSQHPPYIPPFHVVGFAPGPVPVTDGSDGGAEMQWNNGVEPKRKKLKEQDFLENNSQISSVDFFQAQSVSTGLGLSLDNNNNNRMASSGDSALLSLIGDDIDNELQRQDAEIDRFLKVQGDRLRQSVLEKVQANQLQTISLVEEKVFQKLREKEAEVENINKKNMELEEKMEQLTMEAGAWQQRARYNENMITALKFNLQQVYAQSRDSKEGCGDSEVDDTASCCNGRAIDFQLLCKENSNKKELMACKVCAVNEACMLLLPCKHLCLCKSCESKLSFCPLCQSSKFIGMEVFM >KJB58518 pep chromosome:Graimondii2_0_v6:9:16555541:16557130:-1 gene:B456_009G213200 transcript:KJB58518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWNNGVEPKRKKLKEQDFLENNSQISSVDFFQAQSVSTGLGLSLDNNNNNRMASSGDSALLSLIGDDIDNELQRQDAEIDRFLKVQGDRLRQSVLEKVQANQLQTISLVEEKVFQKLREKEAEVENINKKNMELEEKMEQLTMEAGAWQQRARYNENMITALKFNLQQVYAQSRDSKEGCGDSEVDDTASCCNGRAIDFQLLCKENSNKKELMACKVCAVNEACMLLLPCKHLCLCKSCESKLSFCPLCQSSKFIGMEVFM >KJB60938 pep chromosome:Graimondii2_0_v6:9:34543109:34543983:1 gene:B456_009G332300 transcript:KJB60938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSHVCCLWLILQMHVNNIAGCLKSIAKLRLNLLIQMNQQHQLLYLMVMIWKATIFPISHSIPPPHPL >KJB62071 pep chromosome:Graimondii2_0_v6:9:57130136:57132608:-1 gene:B456_009G399700 transcript:KJB62071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIQHPRSRLNRFDLVITPQHDYYPLTPHGQRQIPWFLRRWITPRRPPARHVVLTVGALHPADSAALRSAASVWHDELAPLARPLLVVNIGGPTSSCQYGADLAKQLIAMLQNVLWSCGSIRISFSRRTPEKVSKILLKEFSSNPKVYIWDGEGPNPHMGHLAWADAFVITADSVSMLSEACTTGKPVYVIGAERCTWKLADFQKSLRERGAVRPFTGKEDISESWSYTPLNDTAGAASQVMKALAARGWPIDA >KJB62073 pep chromosome:Graimondii2_0_v6:9:57130136:57133943:-1 gene:B456_009G399700 transcript:KJB62073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIRLPEPPIGRRSAAEVFEAGIYGIIKRVVVIGNGFSGAENQCIGLVRALGLSGCLTLYRVTRPRGGINKWLHWLPVSLHKKLDYVIRQICIYSGVQVEARWGLSSVIEADAKQIAMMARDTFEKEGPLLVIASGRDTISVASSIKRLATENVFLVQIQHPRSRLNRFDLVITPQHDYYPLTPHGQRQIPWFLRRWITPRRPPARHVVLTVGALHPADSAALRSAASVWHDELAPLARPLLVVNIGGPTSSCQYGADLAKQLIAMLQNVLWSCGSIRISFSRRTPEKVSKILLKEFSSNPKVYIWDGEGPNPHMGHLAWADAFVITADSVSMLSEACTTGKPVYVIGAERCTWKLADFQKSLRERGAVRPFTGKEDISESWSYTPLNDTAGAASQVMKALAARGWPIDA >KJB62072 pep chromosome:Graimondii2_0_v6:9:57130465:57133851:-1 gene:B456_009G399700 transcript:KJB62072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIRLPEPPIGRRSAAEVFEAGIYGIIKRVVVIGNGFSGAENQCIGLVRALGLSGCLTLYRVTRPRGGINKWLHWLPVSLHKKLDYVIRQICIYSGVQVEARWGKVVLFAVEKTGLSSVIEADAKQIAMMARDTFEKEGPLLVIASGRDTISVASSIKRLATENVFLVQIQHPRSRLNRFDLVITPQHDYYPLTPHGQRQIPWFLRRWITPRRPPARHVVLTVGALHPADSAALRSAASVWHDELAPLARPLLVVNIGGPTSSCQYGADLAKQLIAMLQNVLWSCGSIRISFSRRTPEKVSKILLKEFSSNPKVYIWDGEGPNPHMGHLAWADAFVITADSVSMLSEACTTGKPVYVIGAERCTWKLADFQKSLRERGAVRPFTGKEDISESWSYTPLNDTAGAASQVMKALAARGWPIDA >KJB62074 pep chromosome:Graimondii2_0_v6:9:57132690:57133917:-1 gene:B456_009G399700 transcript:KJB62074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIRLPEPPIGRRSAAEVFEAGIYGIIKRVVVIGNGFSGAENQCIGLVRALGLSGCLTLYRVTRPRGGINKWLHWLPVSLHKKLDYVIRQICIYSGVQVEARWGKVVLFAVEKTGLSSVIEADAKQIAMMARDTFEKEGPLLVIASGRDTISVASSIKRCLIYKAICMFPLKLFNCFDFVDLGDFTVG >KJB54286 pep chromosome:Graimondii2_0_v6:9:2143386:2149422:1 gene:B456_009G028100 transcript:KJB54286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEEECKGNVKAQLAKLFEVSLKVTVPNEADVDPLIAACTGKFGDYQCNNAMGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEIIDSCSVAGPGFVNVVLSKNWMAKSIQMMLIEGIDTWAPQPPVKTAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVKVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQAFYKASKQRFDSDPAFKERAQQAVVRLQGGDEKYRRAWAQICEISRTEFHKVYQRLGVHLEEKGESFYNPYIPGVIEGLTKQGLVEESQGARVIFIDGINIPLIVVKSDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAAKRAGWLPQGDNYPKASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKNRSKAALIERGKGEEWTEEEIESTADAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDVEELKNGTLVLEHKDERDLGLHLLQFAEVVEEACTNLLPNVVCDYLYSLSEIFSKFYSNPECKVIGSDKETSRLLLCEATAVVMRKCFNLLGITPIYKI >KJB54289 pep chromosome:Graimondii2_0_v6:9:2144167:2148023:1 gene:B456_009G028100 transcript:KJB54289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEEECKGNVKAQLAKLFEVSLKVTVPNEADVDPLIAACTGKFGDYQCNNAMGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEIIDSCSVAGPGFVNVVLSKNWMAKSIQMMLIEGIDTWAPQPPVKTAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVKVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQAFYKASKQRFDSDPAFKERAQQAVVRLQGGDEKYRRAWAQICEISRTEFHKVYQRLGVHLEEKGESFYNPYIPGVIEGLTKQGLVEESQGARVIFIDGINIPLIVVKSDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAAKRAGWLPQGDNYPKASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKNRSKAALIERGKGEEWTEEEIESTADAVGYGAVK >KJB54290 pep chromosome:Graimondii2_0_v6:9:2144167:2148748:1 gene:B456_009G028100 transcript:KJB54290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEEECKGNVKAQLAKLFEVSLKVTVPNEADVDPLIAACTGKFGDYQCNNAMGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEIIDSCSVAGPGFVNVVLSKNWMAKSIQMMLIEGIDTWAPQPPVKTAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVKVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQAFYKASKQRFDSDPAFKERAQQAVVRLQGGDEKYRRAWAQICEISRTEFHKVYQRLGVHLEEKGESFYNPYIPGVIEGLTKQGLVEESQGARVIFIDGINIPLIVVKSDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAAKRAGWLPQGDNYPKASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKNRSKAALIERGKGEEWTEEEIESTADAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDVEELKNKGTLVLEHKDERDLGLHLLQFAEVRT >KJB54285 pep chromosome:Graimondii2_0_v6:9:2143386:2149422:1 gene:B456_009G028100 transcript:KJB54285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEEECKGNVKAQLAKLFEVSLKVTVPNEADVDPLIAACTGKFGDYQCNNAMGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEIIDSCSVAGPGFVNVVLSKNWMAKSIQMMLIEGIDTWAPQPPVKTAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVKVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQAFYKASKQRFDSDPAFKERAQQAVVRLQGGDEKYRRAWAQICEISRTEFHKVYQRLGVHLEEKGESFYNPYIPGVIEGLTKQGLVEESQGARVIFIDGINIPLIVVKSDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAAKRAGWLPQGDNYPKASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKNRSKAALIERGKGEEWTEEEIESTADAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDVEELKNKGTLVLEHKDERDLGLHLLQFAEEACTNLLPNVVCDYLYSLSEIFSKFYSNPECKVIGSDKETSRLLLCEATAVVMRKCFNLLGITPIYKI >KJB54292 pep chromosome:Graimondii2_0_v6:9:2143386:2149422:1 gene:B456_009G028100 transcript:KJB54292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEEECKGNVKAQLAKLFEVSLKVTVPNEADVDPLIAACTGKFGDYQCNNAMGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEIIDSCSVAGPGFVNVVLSKNWMAKSIQMMLIEGIDTWAPQPPVKTAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVKVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQAFYKASKQRFDSDPAFKERAQQAVVRLQGGDEKYRRAWAQICEISRTEFHKVYQRLGVHLEEKGESFYNPYIPGVIEGLTKQGLVEESQGARVIFIDGINIPLIVVKSDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAAKRAGWLPQGDNYPKASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKNRSKAALIERGKGEEWTEEEIESTADAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDVEELKNKGTLVLEHKDERDLGLHLLQFAEVRT >KJB54282 pep chromosome:Graimondii2_0_v6:9:2141966:2149422:1 gene:B456_009G028100 transcript:KJB54282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVQQVQSPPKYRRHLLLHCFPSIASLISHFLPTSPPPPPPPLNVLLQCIKEGVKLDHCYRPYLLRVVSRRLVLATKTQSITTMAAQEEECKGNVKAQLAKLFEVSLKVTVPNEADVDPLIAACTGKFGDYQCNNAMGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEIIDSCSVAGPGFVNVVLSKNWMAKSIQMMLIEGIDTWAPQPPVKTAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVKVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQAFYKASKQRFDSDPAFKERAQQAVVRLQGGDEKYRRAWAQICEISRTEFHKVYQRLGVHLEEKGESFYNPYIPGVIEGLTKQGLVEESQGARVIFIDGINIPLIVVKSDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAAKRAGWLPQGDNYPKASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKNRSKAALIERGKGEEWTEEEIESTADAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDVEELKNKGTLVLEHKDERDLGLHLLQFAEVVEEACTNLLPNVVCDYLYSLSEIFSKFYSNPECKVIGSDKETSRLLLCEATAVVMRKCFNLLGITPIYKI >KJB54288 pep chromosome:Graimondii2_0_v6:9:2143386:2149422:1 gene:B456_009G028100 transcript:KJB54288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEEECKGNVKAQLAKLFEVSLKVTVPNEADVDPLIAACTGKFGDYQCNNAMGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEIIDSCSVAGPGFVNVVLSKNWMAKSIQMMLIEGIDTWAPQPPVKTAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVKVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQAFYKASKQRFDSDPAFKERAQQAVVRLQGGDEKYRRAWAQICEISRTEFHKVYQRLGVHLEEKGESFYNPYIPGVIEGLTKQGLVEESQGARVIFIDGINIPLIVVKSDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAAKRAGWLPQGDNYPKASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKNRSKAALIERGKGEEWTEEEIESTADAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDVEELKNVRDISIGA >KJB54283 pep chromosome:Graimondii2_0_v6:9:2143366:2149449:1 gene:B456_009G028100 transcript:KJB54283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPLTLLVPPASPPSLFSFNRFSHFPFSTYISATTTSASDLLRVVSRRLVLATKTQSITTMAAQEEECKGNVKAQLAKLFEVSLKVTVPNEADVDPLIAACTGKFGDYQCNNAMGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEIIDSCSVAGPGFVNVVLSKNWMAKSIQMMLIEGIDTWAPQPPVKTAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVKVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQAFYKASKQRFDSDPAFKERAQQAVVRLQGGDEKYRRAWAQICEISRTEFHKVYQRLGVHLEEKGESFYNPYIPGVIEGLTKQGLVEESQGARVIFIDGINIPLIVVKSDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAAKRAGWLPQGDNYPKASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKNRSKAALIERGKGEEWTEEEIESTADAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDVEELKNKGTLVLEHKDERDLGLHLLQFAEVVEEACTNLLPNVVCDYLYSLSEIFSKFYSNPECKVIGSDKETSRLLLCEATAVVMRKCFNLLGITPIYKI >KJB54287 pep chromosome:Graimondii2_0_v6:9:2143386:2149422:1 gene:B456_009G028100 transcript:KJB54287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPLTLLVPPASPPSLFSFNRFSHFPFSTYISATTTSASDLLRVVSRRLVLATKTQSITTMAAVKEECKGNVKAQLAKLFEVSLKVTVPNEADVDPLIAACTGKFGDYQCNNAMGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEIIDSCSVAGPGFVNVVLSKNWMAKSIQMMLIEGIDTWAPQPPVKTAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVKVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQAFYKASKQRFDSDPAFKERAQQAVVRLQGGDEKYRRAWAQICEISRTEFHKVYQRLGVHLEEKGESFYNPYIPGVIEGLTKQGLVEESQGARVIFIDGINIPLIVVKSDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAAKRAGWLPQGDNYPKASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKNRSKAALIERGKGEEWTEEEIESTADAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDVEELKNKGTLVLEHKDERDLGLHLLQFAEVVEEACTNLLPNVVCDYLYSLSEIFSKFYSNPECKVIGSDKETSRLLLCEATAVVMRKCFNLLGITPIYKI >KJB54284 pep chromosome:Graimondii2_0_v6:9:2143384:2149441:1 gene:B456_009G028100 transcript:KJB54284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEEECKGNVKAQLAKLFEVSLKVTVPNEADVDPLIAACTGKFGDYQCNNAMGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEIIDSCSVAGPGFVNVVLSKNWMAKSIQMMLIEGIDTWAPQPPVKTAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVKVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQAFYKASKQRFDSDPAFKERAQQAVVRLQGGDEKYRRAWAQICEISRTEFHKVYQRLGVHLEEKGESFYNPYIPGVIEGLTKQGLVEESQGARVIFIDGINIPLIVVKSDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAAKRAGWLPQGDNYPKASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKNRSKAALIERGKGEEWTEEEIESTADAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDVEELKNKGTLVLEHKDERDLGLHLLQFAEVVEEACTNLLPNVVCDYLYSLSEIFSKFYSNPECKVIGSDKETSRLLLCEATAVVMRKCFNLLGITPIYKI >KJB54293 pep chromosome:Graimondii2_0_v6:9:2143463:2149422:1 gene:B456_009G028100 transcript:KJB54293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKEECKGNVKAQLAKLFEVSLKVTVPNEADVDPLIAACTGKFGDYQCNNAMGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEIIDSCSVAGPGFVNVVLSKNWMAKSIQMMLIEGIDTWAPQPPVKTAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVKVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQAFYKASKQRFDSDPAFKERAQQAVVRLQGGDEKYRRAWAQICEISRTEFHKVYQRLGVHLEEKGESFYNPYIPGVIEGLTKQGLVEESQGARVIFIDGINIPLIVVKSDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAAKRAGWLPQGDNYPKASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKNRSKAALIERGKGEEWTEEEIESTADAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDVEELKNKGTLVLEHKDERDLGLHLLQFAEVVEEACTNLLPNVVCDYLYSLSEIFSKFYSNPECKVIGSDKETSRLLLCEATAVVMRKCFNLLGITPIYKI >KJB54294 pep chromosome:Graimondii2_0_v6:9:2145269:2149422:1 gene:B456_009G028100 transcript:KJB54294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIEFLFEKFPNFEDATETAIGDLQAFYKASKQRFDSDPAFKERAQQAVVRLQGGDEKYRRAWAQICEISRTEFHKVYQRLGVHLEEKGESFYNPYIPGVIEGLTKQGLVEESQGARVIFIDGINIPLIVVKSDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAAKRAGWLPQGDNYPKASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKNRSKAALIERGKGEEWTEEEIESTADAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDVEELKNKGTLVLEHKDERDLGLHLLQFAEVVEEACTNLLPNVVCDYLYSLSEIFSKFYSNPECKVIGSDKETSRLLLCEATAVVMRKCFNLLGITPIYKI >KJB54291 pep chromosome:Graimondii2_0_v6:9:2143386:2149422:1 gene:B456_009G028100 transcript:KJB54291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEEECKGNVKAQLAKLFEVSLKVTVPNEADVDPLIAACTGKFGDYQCNNAMGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEIIDSCSVAGPGFVNVVLSKNWMAKSIQMMLIEGIDTWAPQPPVKTAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVKVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQAFYKASKQRFDSDPAFKERAQQAVVRLQGGDEKYRRAWAQICEISRTEFHKVYQRLGVHLEEKGESFYNPYIPGVIEGLTKQGLVEESQGARVIFIDGINIPLIVVKSDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAAKRAGWLPQGDNYPKASHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKNRSKAALIERGKGEEWTEEEIESTADAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDVEELKNKGTLVLEHKDERDLGLHLLQFAEVVEEACTNLLPNVVCDYLYSLSEIFSKFYSNPECKV >KJB60554 pep chromosome:Graimondii2_0_v6:9:29117081:29124008:-1 gene:B456_009G312000 transcript:KJB60554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCDIDPDVVRWGLQDLQVCVFSHSGASGSVTQYEKDSSQTGYIREGYHEPELVNVENDAVIAHALQEELSRVAAAEASGFNNPNQDSILAQDWVGLTGRRHSPDNEDDQKTIDDNQGHGNEVNQGKCDLDDSILSRCEQGGTSSMGDKISLGEDMLRIDIIDQSSDLDGQVEKRLNDMVAIPHVPKINGVIPSVDEETSDHQRLLDRLQLYGLVENKVQGDGNCQFRSLSDQLYRSQDHHKFVRQQVVYQLKSNSEMYEGYVPMAYGDYLKKMNKNGEWGDHVTLQAAADLYGVKIFVLTSFKDTCYIEILPHDQKSERIIFLSFWAEVHYNSICPEEELLMLESKKKKKWWML >KJB60553 pep chromosome:Graimondii2_0_v6:9:29117498:29122759:-1 gene:B456_009G312000 transcript:KJB60553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCDIDPDVVRWGLQDLQVCVFSHSGASGSVTQYEKDSSQTGYIREGYHEPELVNVENDAVIAHALQEELSRVAAAEASGFNNPNQDSILAQDWVGLTGRRHSPDNEDDQKTIDDNQGHGNEVNQGKCDLDDSILSRCEQGGTSSMGDKISLGEDMLRIDIIDQSSDLDGQVEKRLNDMVAIPHVPKINGVIPSVDEETSDHQRLLDRLQLYGLVENKVQGDGNCQFRSLSDQLYRSQDHHKFVRQQVVYQLKSNSEMYEGYVPMAYGDYLKKMNNRNGEWGDHVTLQAAADLYGVKIFVLTSFKDTCYIEILPHDQKSERIIFLSFWAEVHYNSICPEEELLMLESKKKKKWWML >KJB58062 pep chromosome:Graimondii2_0_v6:9:14815640:14819667:1 gene:B456_009G192600 transcript:KJB58062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLITFIFSLTSFFFCVSLLSEPGAAAAHNDGVYIVYMGAPASLKGSLKDDHAQLLDSLLKRKQDALIHNYKSGFSGFAAHLSAEEARSIAEKPGVVSVFPDPVLQLHTTRSWDFLKYETSVMIESNPSSDSNSTDAIIGILDTGIWPESESFNDETMGPIPLRWNGTCANGQDSSTFNCNKKIIGARSYGAGENKSRTPRDMMGHGTHVASTAAGVEVKDVSYYGLAAGTAKGGSPGSRLAIYQVFSSQNGSHGSTVLAAFDDAIADGVDVLSLSFGSSSFLEQEFINDPIALGAFHAVQNGITVVCSAGNDGPNPGSVVNSAPWILTVAATTIDRVFESDVVLGNNKVIKGTGINFASIQNSPVYPIIYAKSAKKSGVDENATRNCEPNSMDQEIIKGKIVVCDNEDSLYPQRNKQDEVKKLGGIGVILIDDELRGVAFNFGTFPMTVISSKDGAKVLSYINSTKNPVATILPTTAPTNYKPAPTIAYFSSRGPSTIPKNILKPDIAAPGVNILAAWIGNDTVQTLKGKDPPLYNVLSGTSMACPHVSGIAAAVKSRNPTWSPSVIRSAIMTTAAQTNNMKAPITTEKGTAATPYDFGAGEVSTTGPLQPGLVYETTAIDYLNFLCYHGYNIATIKIMANTIPDGFTCPEESSIDLISNINYPSIAISNFDEKAGRRVNRTLTNVAGNAKMEDAKTVYNISIDAPAGLDVQVVPDKLHFSKIDEKSSYQVSFSAANPLKKDVFGSITWSNGRYKVRSTFALSSKSGSVALDKK >KJB61867 pep chromosome:Graimondii2_0_v6:9:52523782:52524421:1 gene:B456_009G3873002 transcript:KJB61867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVAAIANCLGSPVCKYLQYHRKLNDYVRNFKRIRDKLNCKMEDIELQLKAELLRPLGKIPKKGVENWLKDVKEMIRETQVVENKVSNGRYLCRACNGKLVDKKSRQMQEYLDKTPKASEGLAVDGPSSGLPLETSELVGEEAVRKEIWACLMQEEVSKIGVWGMGGVGKSTIMKHIHNDLLKEQRFERVVWVTISKEFNIVKLQNDIA >KJB56578 pep chromosome:Graimondii2_0_v6:9:9499905:9509318:1 gene:B456_009G126200 transcript:KJB56578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNNWRPTPPSGEPSMDSGDWRTTLQPDSRQRIVNKIMDTLKRHLPFSGQDGLSELRKIAVRFEEKIFTAASSQTDYLRRISLKMLTMETKSQNTMPNTGNNSKPPDPGSQGMQNQVHSQGQSVPIPLQCNQSQAHQQLLPQSVPNNMASAGVQSSAGLQSGMPPVSGLSQNPISNVVGQNSNIQNMSGILQNSMGQGMPSNIFANQQRQMQGRQQVVPQQQQQQLYHQQLQQTLMKQKIQQGNLQSSLLQSHMQQQQQQNLLPPTQLQSSQQSGMQTSSIMQPSALQSTLPGLQQNQQSSLQQSTQSMLQQHQQSVLRQQQQPQQTTSAGIHQQQTPMTQQSMMPQQQQQQHMMGQQANAANIPQNQLIGQQNSIADMQQQRLLGQSSNLQQQQQQQQLMAQQNNLSNMHQQQLGPQSNISGLQQQQQQLVGTQSGNSSMQTNQQSLHMLSQPKVALQQTQQTAPNLLPTQGQTSQQPQQQQQLMSQMQSQPTQLQQQLGLQQQPNQVQQNMQQRLQASGQTSSSLLQSQNLIDQQKQLYQSQRAVPETSSTSLDSTAQTGHSNGGDWQEDVYQKIKAMKETYFSELNEMHQKISAKLLQHDSFPQQPKSEQLEKLKIFKTMLERILHFLTVSKANIVPAFKDKLSSYEKQILNFINTNRPRKPVSALQQGQLPPPHMHSMQQPQPQSNQTQSHDNQMNPQLQSINLQGSMPTMQPNNMTSLQHNTLSSLPGVSTAQQTMLNSLQPGSNLDPGQGNALGSMQQVAPGSLQQNPASTSQQANLNSLSSQSGLSVLQQNMNPLQSNSNMLQHQHMKQQQEQQILQSQKYKQQRQMQHQIMQQKHQLMQQQQQQAKQQLPTQLQAHQMPQLHQMNDVNDMKQGISVKPGVFQQHLPAGQRQTYTHQQLKPGAQFPISSPQLHPAASPQMPQHSSPQIDQQSLLPSISKTGTPLQSANSPFVVPSPSTPLAPSPMPGESEKPAPGTSSLSNAANIGHQQGTGVQAGSQSLAIGTPGISASPLLAEFTDGTHANVLTTVSSKSNITEQPLERLMKAVKSMSPTALCASVSDIGSVVSMTDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITQDGMNGTKKMRRHTSAMPLNVVSSVGSINDSFKQLTGSETSDLESTATSTVKKPRIEVLCFAHGTFFLNVSS >KJB56579 pep chromosome:Graimondii2_0_v6:9:9499905:9511630:1 gene:B456_009G126200 transcript:KJB56579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNNWRPTPPSGEPSMDSGDWRTTLQPDSRQRIVNKIMDTLKRHLPFSGQDGLSELRKIAVRFEEKIFTAASSQTDYLRRISLKMLTMETKSQNTMPNTGNNSKPPDPGSQGMQNQVHSQGQSVPIPLQCNQSQAHQQLLPQSVPNNMASAGVQSSAGLQSGMPPVSGLSQNPISNVVGQNSNIQNMSGILQNSMGQGMPSNIFANQQRQMQGRQQVVPQQQQQQLYHQQLQQTLMKQKIQQGNLQSSLLQSHMQQQQQQNLLPPTQLQSSQQSGMQTSSIMQPSALQSTLPGLQQNQQSSLQQSTQSMLQQHQQSVLRQQQQPQQTTSAGIHQQQTPMTQQSMMPQQQQQQHMMGQQANAANIPQNQLIGQQNSIADMQQQRLLGQSSNLQQQQQQQQLMAQQNNLSNMHQQQLGPQSNISGLQQQQQQLVGTQSGNSSMQTNQQSLHMLSQPKVALQQTQQTAPNLLPTQGQTSQQPQQQQQLMSQMQSQPTQLQQQLGLQQQPNQVQQNMQQRLQASGQTSSSLLQSQNLIDQQKQLYQSQRAVPETSSTSLDSTAQTGHSNGGDWQEDVYQKIKAMKETYFSELNEMHQKISAKLLQHDSFPQQPKSEQLEKLKIFKTMLERILHFLTVSKANIVPAFKDKLSSYEKQILNFINTNRPRKPVSALQQGQLPPPHMHSMQQPQPQSNQTQSHDNQMNPQLQSINLQGSMPTMQPNNMTSLQHNTLSSLPGVSTAQQTMLNSLQPGSNLDPGQGNALGSMQQVAPGSLQQNPASTSQQANLNSLSSQSGLSVLQQNMNPLQSNSNMLQHQHMKQQQEQQILQSQKYKQQRQMQHQIMQQKHQLMQQQQQQAKQQLPTQLQAHQMPQLHQMNDVNDMKQGISVKPGVFQQHLPAGQRQTYTHQQLKPGAQFPISSPQLHPAASPQMPQHSSPQIDQQSLLPSISKTGTPLQSANSPFVVPSPSTPLAPSPMPGESEKPAPGTSSLSNAANIGHQQGTGVQAGSQSLAIGTPGISASPLLAEFTDGTHANVLTTVSSKSNITEQPLERLMKAVKSMSPTALCASVSDIGSVVSMTDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITQDGMNGTKKMRRHTSAMPLNVVSSVGSINDSFKQLTGSETSDLESTATSTVKKPRIEANHALLEEIREINQQLIDTVVDISDEDVDPGTVATAAEGGEGTVVKCSFNAVALTSNLKSQYMSAQMSPIQPLRLLVPTNYPNCSPVLLDKFPVEVSKEYEDLSVKAKSKFSISLRTLSQPMSLGEIARTWDVCARAIISEHAQQSGGGSFSSKYGTWENCSMAA >KJB63015 pep chromosome:Graimondii2_0_v6:9:70053945:70054921:1 gene:B456_009G449300 transcript:KJB63015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKWFLSSAFTQVFGHTDGGNLHAKAVVSCPNEGLIGCKEMEGGITSIKTCKEDYPNGFQMPVHYPRYSKADYEKMEEWRIDMLLKEYGFSFNGGTVDEKRAFAIGAFLWPDQL >KJB59597 pep chromosome:Graimondii2_0_v6:9:21711806:21716277:1 gene:B456_009G262800 transcript:KJB59597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFEMNDRKKIGLGLTGFGIFFSFLGIIFLFDKGFLAMGNILFISGVALTIGLKSTMQFFMKRQNFKGTISFGVGFFFVVIGWPIIGMVLEAYGFIVLFSGFWPTLAVFLQRIPIVGWLFQQPYIRSLFDRYRGRRVPV >KJB59596 pep chromosome:Graimondii2_0_v6:9:21711806:21715280:1 gene:B456_009G262800 transcript:KJB59596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFEMNDRKKIGLGLTGFGIFFSFLGIIFLFDKGFLAMGNILFISGVALTIGLKSTMQFFMKRQNFKGTISFGVGFFFVVIGWPIIGMVLEAYGFIVLFSGFWPTLAVFLQRIPIVGWLFQQPYIRSV >KJB59687 pep chromosome:Graimondii2_0_v6:9:22217004:22220722:-1 gene:B456_009G267400 transcript:KJB59687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNESMSVASRTRSRKGKAPCGDSSKKRKKTLAVEEVRLAATNMATPQSSVNVKVSLASQKGTAQVQTLREEFDGELRGKDGNDPDPYIICLGSSDDDGDDDEDEDSEDDEALTSTSGEDNSDSSDLDYREEEGEGEDPQSSSSSEDEVEETPSHGIAKRKATEVEFLGENGSTVDEVEETPSHDIAKRKATEVEFLGENGSTVDEVVMKPKSKRRRSASAKSGQVHLLSVFVDSILDNQDSDSSTQGEDPILQQETYENPLPLKFTFGVEDSIVPDKTEFENEMNSLWSEMEISLMSDPTSTLPSPLENEDADVSEFEHDTTTHSLCLQGKHHLVLDEEIGMKCKFCSFLLLEIKDISPPFMTDRFGKYERQFSGIVDYSMFDDLHCEDSNNDMSGFDHSAEIEGTVWEIIPNLKTKLYPHQHEGFEFIWNNIAGGIYRDKSKNSSKGCGGCIISHAPGTGKTLLTIVFLQTYLNEYPSCRPVIVAPRSMLLTWEAEFRKWKVDIPFHNLNTLDFSGKEKPKAIGLYEKFKLKVPCQDRALARRLVKLLSWKSDRGILGISYKLFVQLAVVDNEEKHKCTTLNKDVSKILLELPGLFVLDEGHTPRNDDTLIWKALSRIKTERRIILSGTPFQNNFDELFNTLYLVRPKFAEGIQSRHRVEVNNKCSDEGKEAKRKWAYLTGSIGKDDIYEAEKLRELKAVIKPFVHVHNGRILQTTLPGLRHSVVVLRPSDLQSRILERVKETKNALLRDYYVSLISTHPSLLQQLSNKEDIKEKVSSIVSMDMLERIRLKPDKGVKTKFLMELLKLSGALGEKVLVFSQYLEPLNLIVDQIKDFFKWKEMEEILYMDGQCEMKQRQRVINVFNDPTSKARVLLASTKACSEGINLVGGSRVVLLDVTWNPSVERQAICRAYRLGQQRMVYTYHVISSGTMEGFKCYRQAEKDRLSELLFSASHRRDDHHKKGFNCPEDKILEAMVGQEQFTSMIEKIINEPKDSDLIVTYGGL >KJB60013 pep chromosome:Graimondii2_0_v6:9:24315691:24316077:-1 gene:B456_009G285800 transcript:KJB60013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIFKLFSTLTLLFLALPMASSLETSELTLQSRLNADSPSCWESLTQIQSCTGEVILFFLNGETYLGDSCCHAIHTVSHQCWPNMLETLGYTTEEGDILEGYCDHETSKSPPSVKASVVAPANDILP >KJB60922 pep chromosome:Graimondii2_0_v6:9:34252811:34253496:1 gene:B456_009G331000 transcript:KJB60922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTVLYYPNIVRFNSIKVIKKYSLVVSKSKISLFQTRVGHYVAQGTITPIEISIEFVNEYPNQILDKDQLQRLRKLSKPLYERLKKNPQPWTKVHTNIVIQIKKQITKILCLHLADLAVPKIVEIDAFEMGDLLNKKFLLQIDIKSAKEVLQNDVQNIASKHIFAR >KJB58802 pep chromosome:Graimondii2_0_v6:9:17768880:17770553:1 gene:B456_009G226800 transcript:KJB58802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFSYSSYPESGDSSPRSREIECENQSWDESPSLNATNNNVNYKVKFMCSYGGKIQPRSHDNQLAYVGGDTKILVVDRNIKFSAIMAKLSSLYGGDSEVCFKYQLPGEDLDALISVTNDEDLEHMMMEYDRLHRSFAKPARLRLFLFPLNSPLVASGFEGSEPKSDRQWFIDALNSVQIQNLDASSPPTVAVLAANPDFLFGLDKVNVPDSVPPVVTVAQEVVAKDVTAGSDCGSEDQHLITDPMVSPAEIQRQIHELQRMHIAATQEQGILQRKIDESNARAYNTQDYSKMLDKIATSPVPVSTPLQMPIQTAYLSSAAYSVSATAAPANQPVYLIPTPAAGVYQRPPTIQQVTVPAGQPYYGVQRVFQDVYREQSVYNAVPSTKVGAYTEGIQVMQQTGGMPESGYVQVGYDGAGRQVYYTAAPYQGMPQMAASGSVPVLSQDGKVAANANAPPQTSSL >KJB58801 pep chromosome:Graimondii2_0_v6:9:17768850:17771608:1 gene:B456_009G226800 transcript:KJB58801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFSYSSYPESGDSSPRSREIECENQSWDESPSLNATNNNVNYKVKFMCSYGGKIQPRSHDNQLAYVGGDTKILVVDRNIKFSAIMAKLSSLYGGDSEVCFKYQLPGEDLDALISVTNDEDLEHMMMEYDRLHRSFAKPARLRLFLFPLNSPLVASGFEGSEPKSDRQWFIDALNSVQIQNLDASSPPTVAVLAANPDFLFGLDKVNVPDSVPPVVTVAQEVVAKDVTAGSDCGSEDQHLITDPMVSPAEIQRQIHELQRMHIAATQEQGILQRKIDESNARAYNTQDYSKMLDKIATSPVPVSTPLQMPIQTAYLSSAAYSVSATAAPANQPVYLIPTPAAGVYQRPPTIQQVTVPAGQPYYGVQRVFQDVYREQSVYNAVPSTKVGAYTEGIQVMQQTGGMPESGYVQVGYDGAGRQVYYTAAPYQGMPQMAASGSVPVLSQDGT >KJB55373 pep chromosome:Graimondii2_0_v6:9:5214562:5220902:-1 gene:B456_009G073000 transcript:KJB55373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFIVSPNLLRLKPRLTPIVSLPSSHFLHYHFPKPTSSSSTASLPQTLPTSYSLPPKPVSPLNTAATFNNVILDPRHLSCCMPDKRLKVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFENYWTECPWEEDLKYARAVCDQVDVPLQVVHLTDEYWKNVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFMDAIYNMDYDYIASGHYANVVHPSADQSNKASILELSQDMVKDQTYFLSHLSQFQLKQLIFPLGCLSKDEVRQLAAKFDLPNKDKVQ >KJB55376 pep chromosome:Graimondii2_0_v6:9:5216368:5220794:-1 gene:B456_009G073000 transcript:KJB55376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFIVSPNLLRLKPRLTPIVSLPSSHFLHYHFPKPTSSSSTASLPQTLPTSYSLPPKPVSPLNTAATFNNVILDPRHLSCCMPDKRLKVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFENYWTECPWEEDLKYARAVCDQVDVPLQVVHLTDEYWKNVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFMDAIYNMDYDYIASGHYANVVHPSADQSNKASILELSQDMVKDQTYFLSHLSQFQLKQLIFPLGCLSKDEVRQLAAKFDLPNKGRKDSQGICFLGKIRFSDFVARHIGEMEGIILEAETGDFLGKHRGFWFYTIGQRQGLRLPGGPWYAI >KJB55374 pep chromosome:Graimondii2_0_v6:9:5214562:5220908:-1 gene:B456_009G073000 transcript:KJB55374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFIVSPNLLRLKPRLTPIVSLPSSHFLHYHFPKPTSSSSTASLPQTLPTSYSLPPKPVSPLNTAATFNNVILDPRHLSCCMPDKRLKVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFENYWTECPWEEDLKYARAVCDQVDVPLQVVHLTDEYWKNVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFMDAIYNMDYDYIASGHYANVVHPSADQSNKASILELSQDMVKDQTYFLSHLSQFQLKQLIFPLGCLSKDEVRQLAAKFDLPNKGRKDSQGICFLGKIRFSDFVARHIGEMEGIILEAETGDFLGKHRGFWFYTIGQRQGLRLPGGPWYVVQKDVKNNVVFVSRNYFSLDKRRRVFRVGSLKWFSGSPPNHIDQLQCKVRHGPGFYNCNFKMEHGEDGNDDIAVVQLSEDDQGLAAGQFAAFYEGQTCIGSGVILESWDDRGFPVCAKALEIARMEDKSELGKPVKIMTKPGTLA >KJB55375 pep chromosome:Graimondii2_0_v6:9:5214847:5220831:-1 gene:B456_009G073000 transcript:KJB55375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFIVSPNLLRLKPRLTPIVSLPSSHFLHYHFPKPTSSSSTASLPQTLPTSYSLPPKPVSPLNTAATFNNVILDPRHLSCCMPDKRLKVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFENYWTECPWEEDLKYARAVCDQVDVPLQVVHLTDEYWKNVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFMDAIYNMDYDYIASGHYANVVHPSADQSNKASILELSQDMVKDQTYFLSHLSQFQLKQLIFPLGCLSKDEVRQLAAKFDLPNKGRKDSQGICFLGKIRFSDFVARHIGEMEGIILEAETGDFLGKHRGFWFYTIGQRQGLRLPGGPWYAI >KJB53349 pep chromosome:Graimondii2_0_v6:9:50367785:50370743:1 gene:B456_009G3720002 transcript:KJB53349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLMHALQYNSYGGGAAALKWDDHPAIMVVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVVEHLDGHTDIVLKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYVILYHLVVHKKCSPQKSYVCASLKSNVCLKFMHKKRSF >KJB53346 pep chromosome:Graimondii2_0_v6:9:50368524:50370080:1 gene:B456_009G3720002 transcript:KJB53346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFRSQLQIKWDDHPAIMVVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVVEHLDGHTDIVLKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYVILYHLVVHKKCSPQKSYVCASLKSNVCLKFMHKKRSF >KJB53350 pep chromosome:Graimondii2_0_v6:9:50368524:50370080:1 gene:B456_009G3720002 transcript:KJB53350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFRSQLQIKWDDHPAIMVVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVVEHLDGHTDIVLKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYVILYHLVVHKKCSPQKSYVCASLKSNVCLKFMHKKRSF >KJB53347 pep chromosome:Graimondii2_0_v6:9:50367785:50370712:1 gene:B456_009G3720002 transcript:KJB53347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFRSQLQIKWDDHPAIMVVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVVEHLDGHTDIVLKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYGAGYVISPVTEGKHSVVKL >KJB53354 pep chromosome:Graimondii2_0_v6:9:50367785:50370743:1 gene:B456_009G3720002 transcript:KJB53354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLMHALQYNSYGGGAAALKHVEVPIPTPNKGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVVEHLDGHTDIVLKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYVILYHLVVHKKCSPQKSYVCASLKSNVCLKFMHKKRSF >KJB53351 pep chromosome:Graimondii2_0_v6:9:50367785:50370740:1 gene:B456_009G3720002 transcript:KJB53351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLMHALQYNSYGGGAAALKWDDHPAIMVVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVVEHLDGHTDIVLKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYVILYHLVVHKKCSPQKSYVCASLKSNVCLKFMHKKRSF >KJB53352 pep chromosome:Graimondii2_0_v6:9:50367785:50370545:1 gene:B456_009G3720002 transcript:KJB53352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFRSQLQIKWDDHPAIMVVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVVEHLDGHTDIVLKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYVILYHLVVHKKCSPQKSYVCASLKSNVCLKFMHKKRSF >KJB53353 pep chromosome:Graimondii2_0_v6:9:50367785:50370740:1 gene:B456_009G3720002 transcript:KJB53353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVVEHLDGHTDIVLKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYVILYHLVVHKKCSPQKSYVCASLKSNVCLKFMHKKRSF >KJB53348 pep chromosome:Graimondii2_0_v6:9:50367785:50370712:1 gene:B456_009G3720002 transcript:KJB53348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFRSQLQIKWDDHPAIMVVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVVEHLDGHTDIVLKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYVILYHLVVHKKCSPQKSYVCASLKSAGYVISPVTEGKHSVVKL >KJB62877 pep chromosome:Graimondii2_0_v6:9:69663896:69667578:1 gene:B456_009G445500 transcript:KJB62877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEENNSCVGLNEPRLVVRKFLAKPQYEGVGAIVRRSIGRFELRYFDPFLVLDEFSVTAPAGFPDHPHRGFETVTYMIQGSVTHEDFEGHKGTIGAGDLQWMTAGRGIVHSEMPSPHGTQKGLQLWVNLSSKYKMMEPKYQEISSKNIAETTKDGIKVRVIAGEALGTKSPIYTRTPTMYLDFTLDPGTHLQQPIPKPWNAFIYILEGEGVFGQSKSSASAHHLLLLGHGDGLEAWNKSTKLLRFILVGGEPLDEPLVQFGPFVMNTQEEIDQTIEDFENYTNGFEKARHWRSESGLSLDF >KJB62878 pep chromosome:Graimondii2_0_v6:9:69663942:69667578:1 gene:B456_009G445500 transcript:KJB62878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQGSVTHEDFEGHKGTIGAGDLQWMTAGRGIVHSEMPSPHGTQKGLQLWVNLSSKYKMMEPKYQEISSKNIAETTKDGIKVRVIAGEALGTKSPIYTRTPTMYLDFTLDPGTHLQQPIPKPWNAFIYILEGEGVFGQSKSSASAHHLLLLGHGDGLEAWNKSTKLLRFILVGGEPLDEPLVQFGPFVMNTQEEIDQTIEDFENYTNGFEKARHWRSESGLSLDF >KJB60209 pep chromosome:Graimondii2_0_v6:9:25540789:25542238:1 gene:B456_009G294000 transcript:KJB60209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYQIMDGDYGGSCGGCSEDMTVEFGYEPHVLAVDDNLIDRTLVEKLLKNSSCKVTTAENGLRALEFLGLGSDERNSLEGTVSKVNLVITDYCMPGMTGYELLKKIKESSVLKEVPVVIMSSENIPTRINQCLEEGAQMFMLKPLKQSDVKQLKRHLMKYRS >KJB59978 pep chromosome:Graimondii2_0_v6:9:24098384:24101515:1 gene:B456_009G283500 transcript:KJB59978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGLDGSLSTVELLASTALCGILHSIFGGQPLLILGVAEPTVIMYTYLYNFAKGRDDLGQELCLAWVGWVCVWTALLLFLLAVFNACTVINRFTRIAGEIFGMLISVLFIQEAIKYKVVSEFQAPDHQDAKSEKYQFQWVYTNGLLGIMFSLGLLYTPLKRWFRSFIADYGVPLMVVVWTAMSFSVPSKVPSGVPRRLFSPLPWEPASSQHWTVIKKRLQDMGRIPPLYIFAAFIPAVMIAGLYFFDHSVASRMAQEKEFNLKNPSAYHYDILLLGFMTLLCGLICLPPSNGVLPQSPMHTKSLAVLKGQVDHPTKMVQSAKESIKHKASDSEIYSKMQAVFIEMDKSPETAVIKELEDLKKVVMKGENEGEIKKETFDPEKHIDAYLPSLLVAASVCGMPAIKLIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFIAPSRRYQVLEHVHASFVESVLYRFIAIFTLSQFIYLRICFGVTWIPIAGILFPLPFFLLIIIRQYILPKLILPNYLRELDEAEYEELTGAFPRTSLSISSRKWIYLVLRMRLMRLKGLMLSY >KJB60703 pep chromosome:Graimondii2_0_v6:9:30885162:30885705:1 gene:B456_009G320700 transcript:KJB60703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCSTVFPLLCILLLFLSVEAQTCSPSGKIKGKTPPPGQCNQENDPDCCKDGKWYTTYKCSPPVSSQTKATLTLNSFEPDGDGGAPSECDNQYHSDDDPVVALSTGWYNNGKRWVDECDSTMGCDSDHDYQPPCPNNIVDASKAVWKDLGVPESDWGGMDIYWSDTD >KJB61506 pep chromosome:Graimondii2_0_v6:9:47933090:47938974:-1 gene:B456_009G362600 transcript:KJB61506 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPS1 [Source:Projected from Arabidopsis thaliana (AT1G78580) UniProtKB/TrEMBL;Acc:A0A384L3B9] MPGNKYNGNSNHIPTRVERLLRERVLSEQRKSIRASQSNEYLEEAGAARPFGQRLLVVANRLPVSAVRRGEDSWSLDISAGGLVSALLGVKQFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNAHYEEGDVVWCHDYHLMYLPECLKKYNTKMKVGWFLHTPFPSSEIHRTLPSRYELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSDRFIRALELPQVQEHIKELKERFSGRKVMLGVDRLDMIKGIPQKLLAFEKFLEENPYWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDCSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQEAKKGVLVLSEFAGAAQSLGAGAVLVNPWNITEVAASIGQALNMPAEEREKRHCHNFHHVTEHTAQEWAETFVSELNDTVVEAQLRSSKVPPELPQNDAMECYLLSSNRLVILGFNTTLTEPVDTPGSRGDQIKEMELKLHPALREPLTALCNDPKTTIVVLSGSDRCVLDKNFGEYNLWLAAENGMFLRHTKGDWMTTMPEHLNLEWIDSVKHVFEYFTERTPRSHFDFRDTSLVWNYKYAGKPIG >KJB61504 pep chromosome:Graimondii2_0_v6:9:47933317:47938974:-1 gene:B456_009G362600 transcript:KJB61504 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPS1 [Source:Projected from Arabidopsis thaliana (AT1G78580) UniProtKB/TrEMBL;Acc:A0A384L3B9] MPGNKYNGNSNHIPTRVERLLRERVLSEQRKSIRASQSNEYLEEAGAARPFGQRLLVVANRLPVSAVRRGEDSWSLDISAGGLVSALLGVKQFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNAHYEEGDVVWCHDYHLMYLPECLKKYNTKMKVGWFLHTPFPSSEIHRTLPSRYELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSDRFIRALELPQVQEHIKELKERFSGRKVMLGVDRLDMIKGIPQKLLAFEKFLEENPYWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDCSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQEAKKGVLVLSEFAGAAQSLGAGAVLVNPWNITEVAASIGQALNMPAEEREKRHCHNFHHVTEHTAQEWAETFVSELNDTVVEAQLRSSKVPPELPQNDAMECYLLSSNRLVILGFNTTLTEPVDTPGSRGDQIKEMELKLHPALREPLTALCNDPKTTIVVLSGSDRCVLDKNFGEYNLWLAAENGMFLRHTKGDWMTTMPEHLNLEWIDSVKHVFEYFTERTPRSHFDFRDTSLVWNYKYADIEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRAVGVTKGAAIDRILGEIVHTTSMTTPIDYVLCIGHFLGKDEDVYTFFEPELPFDALSIARSKPFDGPRLLPAERSPPLKLPASKSGAKSSLHKMTQPPFPAPDRRTSSYYSGESLRRHPSVPEKISWSVLDLKGDNYFSCAVGRTRTSARYLLGSSDDVVSFLNRLTNASSSSDLVFGTDSLHLHPTHIRTSV >KJB61505 pep chromosome:Graimondii2_0_v6:9:47933090:47938974:-1 gene:B456_009G362600 transcript:KJB61505 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPS1 [Source:Projected from Arabidopsis thaliana (AT1G78580) UniProtKB/TrEMBL;Acc:A0A384L3B9] MPGNKYNGNSNHIPTRVERLLRERVLSEQRKSIRASQSNEYLEEAGAARPFGQRLLVVANRLPVSAVRRGEDSWSLDISAGGLVSALLGVKQFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNAHYEEGDVVWCHDYHLMYLPECLKKYNTKMKVGWFLHTPFPSSEIHRTLPSRYELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSDRFIRALELPQVQEHIKELKERFSGRKVMLGVDRLDMIKGIPQKLLAFEKFLEENPYWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDCSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQEAKKGVLVLSEFAGAAQSLGAGAVLVNPWNITEVAASIGQALNMPAEEREKRHCHNFHHVTEHTAQEWAETFVSELNDTVVEAQLRSSKVPPELPQNDAMECYLLSSNRLVILGFNTTLTEPVDTPGSRGDQIKEMELKLHPALREPLTALCNDPKTTIVVLSGSDRCVLDKNFGEYNLWLAAENGMFLRHTKGDWMTTMPEHLNLEWIDSVKHVFEYFTERTPRSHFDFRDTSLVWNYKYADIEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEGAAIDRILGEIVHTTSMTTPIDYVLCIGHFLGKDEDVYTFFEPELPFDALSIARSKPFDGPRLLPAERSPPLKLPASKSGAKSSLHKMTQPPFPAPDRRTSSYYSGESLRRHPSVPEKISWSVLDLKGDNYFSCAVGRTRTSARYLLGSSDDVVSFLNRLTNASSSSDLVFGTDSLHLHPTHIRTSV >KJB60255 pep chromosome:Graimondii2_0_v6:9:25863935:25874040:1 gene:B456_009G296300 transcript:KJB60255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDWNDEELKNIIWDDSGETDSLIVPYQEGSENCCSKKEWSQATAVIKPNEQKKPGDEVDLCERKLDGSSNFNTKGGIDTSGVGIGSWPELSLSNAAKTDQNSMGSEVSNSLVEVTKCSSDNAETPELGKDPENFQNPSEGKEQGDLVDYSWANIGSFDDLDRIFSNDDPIFGNVSFGSAYELWSSSKEVTDSPGKSFPTTLDSPSVGIGALKSTSEPLELKSEYEEQDNQLFALSYGKPGGSTSHGLHNEEFPGDKRKSVIEGQINVETAGKRSASKSHLNAEKVVTLDELAKRAGKLNKLLKFQKRAEEIGETKPVQYLYDTWTPSGNPLAEYENKWATSMVKSSPTSVVNQLKQLRESDSLQYQHISNSFVAPSTYGNLLNQCPAIPALSNIQSGEFYQQPLLSCYNVSPGKANQVKRSVKVAATPLSMTPQEKIEKLRRRQQMQALFAIQEQQQQFTNQVPCINHSIIQKSTQENQFPHIGGADIEDQSVPASFDPASPREQDDSNTVSVVIDDCSVEETVLYQLQDIITKLDVRIRLCIRDSLYRLAQNAMQRHHANGTSCNNKSGRDENKAAKEENKNYNRMSEAETETNPIDRAVAHLLFHRPLELSGKHTGTPESPASVKFPFEHKSAGLMSLPMGCVSDNSQVKQNLSHQASKVPSPLMDSQPVEQFKNSPCIDTSENASTYGPADGAPEEVEASQ >KJB60254 pep chromosome:Graimondii2_0_v6:9:25863935:25873986:1 gene:B456_009G296300 transcript:KJB60254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDWNDEELKNIIWDDSGETDSLIVPYQEGSENCCSKKEWSQATAVIKPNEQKKPGDEVDLCERKLDGSSNFNTKGGIDTSGVGIGSWPELSLSNAAKTDQNSMGSEVSNSLVEVTKCSSDNETPELGKDPENFQNPSEGKEQGDLVDYSWANIGSFDDLDRIFSNDDPIFGNVSFGSAYELWSSSKEVTDSPGKSFPTTLDSPSVGIGALKSTSEPLELKSEYEEQDNQLFALSYGKPGGSTSHGLHNEEFPGDKRKSVIEGQINVETAGKRSASKSHLNAEKVVTLDELAKRAGKLNKLLKFQKRAEEIGETKPVQYLYDTWTPSGNPLAEYENKWATSMVKSSPTSVVNQLKQLRESDSLQYQHISNSFVAPSTYGNLLNQCPAIPALSNIQSGEFYQQPLLSCYNVSPGKANQVKRSVKVAATPLSMTPQEKIEKLRRRQQMQALFAIQEQQQQFTNQVPCINHSIIQKSTQENQFPHIGGADIEDQSVPASFDPASPREQDDSNTVSVVIDDCSVEETVLYQLQDIITKLDVRIRLCIRDSLYRLAQNAMQRHHANGTSCNNKSGRDENKAAKEENKNYNRMSEAETETNPIDRAVAHLLFHRPLELSGKHTGTPESPASVKFPFEHKSAGLMSLPMGCVSDNSQVKQNLSHQASKVPSPLMDSQPVEQFKNSPCIDTSENASTYGPADGAPEEVEASQ >KJB62850 pep chromosome:Graimondii2_0_v6:9:69172731:69177507:-1 gene:B456_009G440400 transcript:KJB62850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIVKRKKKGRPSKADLAKRGSSPAAATESELRRSHRRRNVRYNIDYDDYLDEDFEEEDEEEERRREKKLKLILKLNQGQEAEPPSPPVSLPPSRGRGVVSSSAARGRRTAKEERKIKKRRINVSDGVEEEEEEEEDRQVDDDEDDDDDGRVDVDAEMRGRKGESKGQDSVPGTPSDPPSVVPLPDKKILELILDKLQKKDTYGVYAEPVDPEELPDYHDVIEHPMDFATVRKKLANGSYSALEQFESDVFLICSNAMQYNAPDTVYHKQARSIQELAMKKFEKLRIDVERSEKDSKIEQKTKSNFLAKKQTRKPLYLTTQEPVGSDFSSGAILATSGDILNTSIAIQANACGRPSHTDVLVDGNSSVPDYNREKTEELSSGKGLLSKFGRRATALDDNRRATYNISNQPVVRSDSIFTTFEAEIKQLVAVGLQAELSYARSLARFAATLGPVAWKVASRRIEQALPVGCKFGRGWVGEYEPLPTPVLTFETRAPKESALFTKLQHAADVHPRKDDATHRTTPVPATDVRKDVGTYRTPVPAKPHPLDVPVSEPKSSSFCPANGSTSEGRPSLFTPPAMPSVPPIRRDESSNAAAVAARAWMSIGAGAFKEANENSGTSKGKISADSLYNPARELHPQAPQVRGQFPVSAGMHFQPQPEKNNFPLHGFPPQHVRMMNEAQFQNRPMVFPQLVATDLSRFQVQPHWQGLSPRAQPRQKQDSLPPDLNIGFQSPGSPVKQSAGVLVDSQQPDLALQL >KJB62851 pep chromosome:Graimondii2_0_v6:9:69172731:69177507:-1 gene:B456_009G440400 transcript:KJB62851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIVKRKKKGRPSKADLAKRGSSPAAATESELRRSHRRRNVRYNIDYDDYLDEDFEEEDEEEERRREKKLKLILKLNQGQEAEPPSPPVSLPPSRGRGVVSSSAARGRRTAKEEVEEEEEDEDEEEEEEEIEEEEEEEEDRQVDDDEDDDDDGRVDVDAEMRGRKGESKGQDSVPGTPSDPPSVVPLPDKKILELILDKLQKKDTYGVYAEPVDPEELPDYHDVIEHPMDFATVRKKLANGSYSALEQFESDVFLICSNAMQYNAPDTVYHKQARSIQELAMKKFEKLRIDVERSEKDSKIEQKTKSNFLAKKQTRKPLYLTTQEPVGSDFSSGAILATSGDILNTSIAIQANACGRPSHTDVLVDGNSSVPDYNREKTEELSSGKGLLSKFGRRATALDDNRRATYNISNQPVVRSDSIFTTFEAEIKQLVAVGLQAELSYARSLARFAATLGPVAWKVASRRIEQALPVGCKFGRGWVGEYEPLPTPVLTFETRAPKESALFTKLQHAADVHPRKDDATHRTTPVPATDVRKDVGTYRTPVPAKPHPLDVPVSEPKSSSFCPANGSTSEGRPSLFTPPAMPSVPPIRRDESSNAAAVAARAWMSIGAGAFKEANENSGTSKGKISADSLYNPARELHPQAPQVRGQFPVSAGMHFQPQPEKNNFPLHGFPPQHVRMMNEAQFQNRPMVFPQLVATDLSRFQVQPHWQGLSPRAQPRQKQDSLPPDLNIGFQSPGSPVKQSAGVLVDSQQPDLALQL >KJB62852 pep chromosome:Graimondii2_0_v6:9:69172731:69177581:-1 gene:B456_009G440400 transcript:KJB62852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIVKRKKKGRPSKADLAKRGSSPAAATESELRRSHRRRNVRYNIDYDDYLDEDFEEEDEEEERRREKKLKLILKLNQGQEAEPPSPPVSLPPSRGRGVVSSSAARGRRTAKEEVEEEEEDEDEEEEEEESERRKRKIKKRRINVSDGVEEEEEEEEDRQVDDDEDDDDDGRVDVDAEMRGRKGESKGQDSVPGTPSDPPSVVPLPDKKILELILDKLQKKDTYGVYAEPVDPEELPDYHDVIEHPMDFATVRKKLANGSYSALEQFESDVFLICSNAMQYNAPDTVYHKQARSIQELAMKKFEKLRIDVERSEKDSKIEQKTKSNFLAKKQTRKPLYLTTQEPVGSDFSSGAILATSGDILNTSIAIQANACGRPSHTDVLVDGNSSVPDYNREKTEELSSGKGLLSKFGRRATALDDNRRATYNISNQPVVRSDSIFTTFEAEIKQLVAVGLQAELSYARSLARFAATLGPVAWKVASRRIEQALPVGCKFGRGWVGEYEPLPTPVLTFETRAPKESALFTKLQHAADVHPRKDDATHRTTPVPATDVRKDVGTYRTPVPAKPHPLDVPVSEPKSSSFCPANGSTSEGRPSLFTPPAMPSVPPIRRDESSNAAAVAARAWMSIGAGAFKEANENSGTSKGKISADSLYNPARELHPQAPQVRGQFPVSAGMHFQPQPEKNNFPLHGFPPQHVRMMNEAQFQNRPMVFPQLVATDLSRFQVQPHWQGLSPRAQPRQKQDSLPPDLNIGFQSPGSPVKQSAGVLVDSQQPDLALQL >KJB58082 pep chromosome:Graimondii2_0_v6:9:14871047:14874603:1 gene:B456_009G193600 transcript:KJB58082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLYSYFLLCISLILLLISTTINGYSNLEVLLKLKSSMIGPKGSGLQDWGSSSSPSAHCNFSGVKCDEDSRVVALNVSFHPLFGSIPPEIGLLNKLVDLTISMVNLTGSIPVTMRNLTSLRIFNISNNAFEGDFPGEILTGMTQLEILDAYNNNFTGRLPLEVVNLKNLKHLCFGGNYFTGEIPEKYSDIQSLEYLGLNAIGLKGKSPAFLSRLKNLKHLYLGYFNSYDGGIPHEFGSLSQLQLLDMAACNLTGEIPASLSNLKHLHTLFFQQNNLTGHIPPQLSGLISLKSLDLSINELTGEIPLSFSALQNITLINLFKNNLYGPIPSFVGDFPHLEVLELWGNNFTLELPENLGRNGKLYRLDVASNHLTGNIPRDLCKGGRLDWLVLMDNFFFGSLPEGLGDCKSLTKIRIMNNLLNGSIPAGIFNLPLLTMFEADNNFFSGEFPSQMLGASLNQLKVSNNKITGKIPPAIGNLGSLQILSLGMNKFSGEIPEEIFKIKLLSKIDLSDNNLTGEIPPSISQCASLTAIDFSQNNLIGEIPKGIKNLMDLSILNFSRNQLTGEIPGEIRDMISLTTLDLSFNNFIGRIPTGGQFLAFNGSSFIGNPNLCLLRRSTCPSLMNQAKGSGHGQAASFTASKLIITIITLITALLLTVVTVYRMRKKKLEKSRAWKLTAFQKLNFKAEDVLDCLQEENIIGKGGAGIVYRGSMPDGLLVAIKRLVGRGTGRRDYGFSAEIQTLGRIKHRNIVRLLGYVSNKDTNLLLYEYMPNGSLGEMLHGSKGAHLQWERRYSIAVEAAKGLCYLHHDCSPLIIHRDVKSNNILLDEYYEAHVADFGLAKFLQDADASECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGRKPVGEFGDGVDIVWWVRKTIPQHADPASVLAIVDPRLSEYPLTGVIHLFKVAMKCVEEQSSARPTMREVVHLLTNTPQSTPRPCLLST >KJB59902 pep chromosome:Graimondii2_0_v6:9:23671883:23673136:1 gene:B456_009G280000 transcript:KJB59902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSVGVACVLLVLCMVVPSLAAVYTVGDTSGWTTGIDYSTWTQGKTFKVGDTLVFKYPTFHTVDEVSSSDYSTCTVGNAIRSDNSGSTTVTLKAAGTHYFICGVVGHCGNGMKLAVKVESSSSTTGTTTTTNPDFSYSSSNRSSFAAYFITCVALIVILVINVSLWQEF >KJB59903 pep chromosome:Graimondii2_0_v6:9:23671952:23673106:1 gene:B456_009G280000 transcript:KJB59903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSVGVACVLLVLCMVVPSLAAVYTVGDTSGWTTGIDYSTWTQGKTFKVGDTLVFKYPTFHTVDEVSSSDYSTCTVGNAIRSDNSGSTTVTLKAAGTHYFICGVVGHSQQQEQQRQQTQIFHILHRIVPHLRLIS >KJB60453 pep chromosome:Graimondii2_0_v6:9:27728029:27731310:-1 gene:B456_009G305600 transcript:KJB60453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMEFTGQIELIWEQTKVPLVVPVLKVLVVLCLAMSVMLFIERVYMGIVMVFVQLFRRKPEKMYKWEPMKDDVELGNLDYPMVLVQIPMYNEKEVYQLSIGAACGLSWPADRVIIQVLDDSTDPAIKTLVQVECQRWASKGINIKYEIRDNRNGYKAGALKEGMKHSYVKQCDYVAIFDADFQPEPHFLTLTIPFLVNNPQLGLVQARWKFVNSDECLMTRMQEMSLDYHFIVEQEVGSSTHAFFGFNGTAGVWRISAINEAGGWKDRTTVEDMDLAVRASLKGWKFVYVGDLKVKNELPSTFNAYRNQQHRWSCGPANLFKKMAMEIIRNKKVSLWKKFYVIYSFFFVRKIVAHIVTFVFYCVVLPATVLVPEVEVPKWGAVYIPSIITLLNAVGTPRSLHLVLFWIIFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDGLKTKLGGKAPRKPRFRIGDRVHILELGVGAYLFFCGCYDLAFGKNRYFIFLFLQSTAFFIAGVGYVGTMVSNS >KJB53488 pep chromosome:Graimondii2_0_v6:9:27914442:27914718:1 gene:B456_009G306600 transcript:KJB53488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLGVAYHFQEQIQQALNQLNSYLKLISDDLSTVALHFRLLREDCFPITVGLFVCFWMLSELLAY >KJB62567 pep chromosome:Graimondii2_0_v6:9:66107072:66111482:-1 gene:B456_009G423300 transcript:KJB62567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWQRSLDFTASTASPSFTGKQRKFPNSASDSGSCSSDTTEGDKLMFELGWRSSKQSTGATMKKLLAQEMSKENESRRRPLSVIARLMGVDGLPPLQPNHKQRKRTQISQAKVQNGGTFSSRQSSRKCSKEEQEFKDVFEVLDAAKVETGGYSSQGAANSKVSDVQLAFIQKKFMEGKGLSPDENLLDSGEFKDTLEVVDSNADILVKFLHQPDSLFTKHLDDLQVALPQSRCSRFSTMKSSHTLKNQNVCLGQKAGRETQLKCPQQHQEDILNQSYGRYAAHNRLKAPKVQLEEKNGPPILPTTIVVLKPSLGKSQNSALTASSPCSSHHPPSGSAGNSGILNREAELWREKKVHQDIEFSMHNSKESRKIAREITRQMKNRFSNGSIKISTSRFRGYAGNVNSCDVSGSESANDSDVKTVSYTDNIGWNKQHRRSSSGSSESSLSREAKKRLSERWKQTHRPQEVDLISMGSTLGEMLAISEKEVSPANSSSGFGEEGCSEFGNHVKSALWNEPLGISSWDCWKDGCLGSLSRPPLVPTCSTDFGSLRINTNHGTRCIDRHEIRKDGSKREASLPSNQRFCVKKSRCPISSCSNNRENNDTSLDSLFTPYQLLQNSDGDNQSEDNLMVSGASACTTRNSTSVLENAVDANNQNKVVLSEPFHKDLSASTSANAVVSTGDLDNLDSQEPSEGPSKQATSHCPVPEQESRLISKEADQPSPVSIIETPFTEDFSSGSECFESISADLQSLRMQLQLLKLESEAYEEGIMLLSSEDEGDEVSIGFTEDKGIPKAEEDWESMYIDDIWVESGINGADLDTFLARCHSPECPVNPLTFEELEKKYGNLNSSSRAERRLMFDRINSKLGETYQQYMKHHLHPRVKSVRKWSIEDVEDSLRKSLVSQNMDAGEIVLAGESQWLESSDNMDAIGREIEIWLVDELVAEVVKMLSVL >KJB61678 pep chromosome:Graimondii2_0_v6:9:50937237:50940126:-1 gene:B456_009G374600 transcript:KJB61678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRVDDDYDYLFKVVLVGDSGVGKSNLLSRFTRNEFSLQSKSTIGVEFATRSIRVNDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRVTFENVQRWLKELRDHTDSSIVIMLIGNKADLRHLFAVTTDDAKAYAESENTFFMETSALESTNVEHAFTEVLTKIHHVISRKALEGGNDQTTLPKGQTINVGSRDDVSAVKKDGCCSA >KJB61677 pep chromosome:Graimondii2_0_v6:9:50937237:50939749:-1 gene:B456_009G374600 transcript:KJB61677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGILVAGKIYRAITSAYYRGAVGALLVYDVTRRVTFENVQRWLKELRDHTDSSIVIMLIGNKADLRHLFAVTTDDAKAYAESENTFFMETSALESTNVEHAFTEVLTKIHHVISRKALEGGNDQTTLPKGQTINVGSRDDVSAVKKDGCCSA >KJB63149 pep chromosome:Graimondii2_0_v6:9:70639442:70642203:-1 gene:B456_009G455300 transcript:KJB63149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39530 [Source:Projected from Arabidopsis thaliana (AT4G39530) UniProtKB/Swiss-Prot;Acc:Q9SVA5] MRNNYCYLLKVHLKNIQKSTNKQAFNLSTLALDLLPSKDPYFFPPDPQLKRCLIANLLRKLPSYPNPIAHYKRIQAQIVVSGYQSDTFLINILLNLYSRYDKLGDASKLFDEMPKKNLVSWSTMVSMYTRHGYIEKALALFLEFRRNCCKGPNEYILASVIRACMQMGDGGELGVQIHDFVFKCGFDQDVYVGTCLVDFYMKSGCIDEARLVFDGLKGKNAVTWTTMITGYVKSGKAEVALQLFRQRKATDVMPDRYVLSSVLSACSVLDFIQGGKQVHCHVLRRGDEMDVSVINVLIDFYCKCGKVKAAYRLFDEMTARNVISWTTMIAGYMQNSFNREAMTLFSEMTRLGWKADAFACTSVLTSCGSLKALDQGRQVHAYTIKDNLESDDFVANGLIDMYAKCSSLIDARRAFDIMGDQNVVSYNAMIEGYSSLENLSEALELFHNMRRQSLQPSLLTFVSLLGVSAALCTIELSRQIHTLIIKFGVSLDLFVGSSLIDVYSKCSHVKEARLLFEEMKEKDIVVWNALFFGYTQQLESEEALKLFSKLQLSRQNPNEFTFAALMIASSNLASLQHGQQFHTQLIKHGLDSDPFVTNAIIDMYAKCGSFQDACKTFSTTTWRDIVCWNSVISTYAHHGEAEGALQIFKRMLKEGMKPNYVTFVGLLSACVHAGFVELGLQHFESMPTFGVEPGTEHYACAVSLLGHAGKLYEAKALIESVPIKPAAVLWRTLLSSCRIVGNVELGRYAAERAISIDPMDSGSYTLLSNIFASKGMWADVKRVREKMDLEGVLKEPGCSWIEVNNETNAFIARDRTHHDSGLIYLVLDNLIMHIKCAGYVPDIAIPVDD >KJB55775 pep chromosome:Graimondii2_0_v6:9:6844850:6847952:-1 gene:B456_009G093800 transcript:KJB55775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWRVTILVLLACLWFPASVQCMVRHYKFNVVVKNTRRLCSTKPIVTVNGRYPGPTIVAREDDTILVKVVNHVKYNLSIHWHGIRQLRTGWADGPAYITQCPIQPGQSYVYNFTVTGQRGTLFWHAHILWLRATVHGAIVILPKRGVPYPFPKPHKEEIVILGEWWKSDVEAVINEALKSGLAPNVSDAHTINGHPGSTPSCPSKGGYTLAVKPGKTYMLRIINAALNEELFFMIAGHQLTVVEVDATYVKPFKTDTIVISPGQTTNVLVTTHRRAGKYLVAASPFMDAPIAVDNLTATATLHYAGSLTSAATTLVSPPPKNATSVAANFTNALRSLNSKKYPAKVPLKIDHSLLFTIGLGINPCSTCVNGSRVVASVNNITFVMPKTALLQAHFFNINGVFTTDFPGRPPVPFNYTSIQLTNLGTKQATKLYRLGYNDTVQLVLQDTGMITPENHPIHLHGFNFFEVGRGVGNFDPKKDPKNFNLVDPVERNTIGVPSGGWTAIRFRADNPGVWFMHCHLEVHTTWGLKMAFVVDNGKGPNESLLPPPSDLPKC >KJB57425 pep chromosome:Graimondii2_0_v6:9:12538190:12540968:1 gene:B456_009G163400 transcript:KJB57425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYSFNNNFASGNCYSGIFDPFSRDLDLCNESSHGGSLSVPQSLVLDHEKGELVKAPVEVRKKSVSEEKIIAALKNHSEAERRRRERINAHLDTLRTLLPCRQKMDKATLLGEVIKQLKELKKNATEASKGLLVPMDDDDEVRVEPCNVNEADGTFSFKALICCDYRPQLLTDLRQALDALPLPITIVKSEISTLGSRLKTDFIFTASYRSTSSVANVGNDDDGTDARRFLACSIRKALNSVLEKASISPEHSPFLTFPNKRRRISYIDSPSSS >KJB53619 pep chromosome:Graimondii2_0_v6:9:68219399:68220304:-1 gene:B456_009G434300 transcript:KJB53619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQFSLVFFVLFACYVGVNSRELKHITKELEVDAPAYEAWELYRNLGLINIIVPKLPNVQSTQVLKGDGGIGTVAKTTFVPGNSSYTEEFIVIDDQKKGESGKSLGR >KJB53620 pep chromosome:Graimondii2_0_v6:9:68219212:68220362:-1 gene:B456_009G434300 transcript:KJB53620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQFSLVFFVLFACYVGVNSRELKHITKELEVDAPAYEAWELYRNLGLINIIVPKLPNVQSTQVLKGDGGIGTVAKTTFVPDEAGNSSYTEEFIVIDDQKKGESGKSLGR >KJB53618 pep chromosome:Graimondii2_0_v6:9:68219689:68220304:-1 gene:B456_009G434300 transcript:KJB53618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQFSLVFFVLFACYVGVNSRELKHITKELEVDAPAYEAWELYRNLGLINIIVPKLPNVQSTQVLKGDGGIGTVAKTTFVPVLLVFEWFGLMLKMKQGIQVIQRSSL >KJB53621 pep chromosome:Graimondii2_0_v6:9:68219212:68220363:-1 gene:B456_009G434300 transcript:KJB53621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQFSLVFFVLFACYVGVNSRELKHITKELEVDAPAYEAWELYRNLGLINIIVPKLPNVQSTQVLKGDGGIGTVAKTTFVPAAKALEGDCLAFGCSVLIVEFDIKEKSQNSCIIKSIISYAVKKEFEAKDPKPTLPIEAATQASKEYLERETINDS >KJB62892 pep chromosome:Graimondii2_0_v6:9:69380466:69384968:-1 gene:B456_009G442300 transcript:KJB62892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MOCS3 MESNGGGASPIRREIETLKEERARIEQRISFLEAQLQEEASLAPQQQQNDGVCNGFCPSETSTVDANLAHGLSADSIYRYSRHLLLPSFGVKAQSNLLKSSILVVGAGGLGSPALLYLAACGVGRLGIVDHDVVELNNMHRQVIHTEAYIGQPKVKSAAAACRSINSTIQIVEHKQALRTSNALEILSQYDIVVDATDNAPSRYMISDCCVVLGKPLVSGAALGLEGQLTVYNYKGGPCYRCLFPTPPPTTACQRCSDSGVLGVVPGIIGCLQALEAIKIASDIGEPLSGRMLLFDALSARIRIVKIRGRSLQCEVCGENTTFNQQQFKELDYEKFTQSPLSTSPPKLKLLAPDSRITSKEYKERIISGEPHVLVDVRPELHYKIVSMPNSLNIPLASLVTRLPEISSALKEQEKEGTRSGANLYVICRRGNDSQRAVDYLRNKGFDLAKDIVGGLESWANDVDPNFPMY >KJB62891 pep chromosome:Graimondii2_0_v6:9:69380540:69384958:-1 gene:B456_009G442300 transcript:KJB62891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MOCS3 MESNGGGASPIRREIETLKEERARIEQRISFLEAQLQEEASLAPQQQQNDGVCNGFCPSETSTVDANLAHGLSADSIYRYSRHLLLPSFGVKAQSNLLKSSILVVGAGGLGSPALLYLAACGVGRLGIVDHDVVELNNMHRQVIHTEAYIGQPKVKSAAAACRSINSTIQIVEHKQALRTSNALEILSQYDIVVDATDNAPSRYMISDCCVVLGKPLVSGAALGLEGQLTVYNYKGGPCYRCLFPTPPPTTACQRCSDSGVLGVVPGIIGCLQALEAIKIASDIGEPLSGRMLLFDALSARIRIVKIRGRSLQCEVCGENTTFNQQQFKELDYEKFTQSPLSTA >KJB62893 pep chromosome:Graimondii2_0_v6:9:69381507:69384838:-1 gene:B456_009G442300 transcript:KJB62893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MOCS3 MESNGGGASPIRREIETLKEERARIEQRISFLEAQLQEEASLAPQQQQNDGVCNGFCPSETSTVDANLAHGLSADSIYRYSRHLLLPSFGVKAQSNLLKSSILVVGAGGLGSPALLYLAACGVGRLGIVDHDVVELNNMHRQVIHTEAYIGQPKVKSAAAACRSINSTIQIVEHKQALRTSNALEILSQYDIVVDATDNAPSRYMISDCCVVLGKPLVSGAALGLEGQLTVYNYKGGPCYRCLFPTPPPTTACQRCSDSGVLGVVPGIIGCLQALEAIKIASDIGEPLSGRMLLFDALSARIRIVKIRGRSLQCEVCGENTTFNQQQFKELDYEKFTQSPLSTVSFLLCSLCS >KJB55018 pep chromosome:Graimondii2_0_v6:9:4191034:4193571:-1 gene:B456_009G058400 transcript:KJB55018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYVCERRAWHSDRHQPMRGSLIQEIFRVVNEIHSSATKKNKEWQEKLPDVVLKAEEIMYSKANSEAEYMDIKTLWDRTNDAINTIIRRDESTETGELLQPCIEAALNLGCTARRTLRSQRNCSPRSYLNPGAQKAEGTTLGNLITNSHCMASDSSFLKHTTVNMTDMGSEAQKHIAQNGNRGTDKFSFASNNSPLASNVEKHPPNTYSVYPLFYGNHLKVEEQRHGYGISPKSFSNTVEPAMMGVIHSLFSPDVDSSNKMNQTDVRNTSNNPHEIPCDLSLRLGPLSTPCLSAGNSRHKEIKNTDSTFLEWNKFSYLTPPIDESLSSLPRSNRDAPLNPYSNERNLEGGHMDVDATLSKRKTIYGPPVDQQFCLSPKLPCSELTGRMKRVGS >KJB62764 pep chromosome:Graimondii2_0_v6:9:68421889:68424852:1 gene:B456_009G435000 transcript:KJB62764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSLFRCFLHFFFFIISQVQAANLPFHPRDVLPLLPRQVSWPVLNSLNSPVDLLPSFVGSVSSSNRIVNWKGACFYENTAWVEFHNKTGSEFGGGTLHIKVSYPHSWTCMDLYVFATPYRVTWDYYFLSREHTLEIKEWQDKAEYEYVKNRGISIFLMQAGMLGTLQALWDVFPLFTNTGWGENSNIGFLEKHMGATFEERPQPWFTNISVDDVHSGDFLAISKIRGRWGGFETLEKWVSGAYAGHTAVCLKDSEGKLWIGESGHENEKGEDIIAIVPWDEWWDFELNKDDSNPHIAYLPLHPDVRAKFNETAAWEYALSMAGKPYGYHNMIFSWIDTIGGNYPPPLDAHLVASVMTVWSKIQPEYAANMWNEALNKRLGTQGLNLSDILVETEKRGSSFDELLTIPEQDNWIYSDGKSTSCIAFVLELYKEAGLFDPIADSIQVTEFTPAAKVVQ >KJB62765 pep chromosome:Graimondii2_0_v6:9:68421889:68424852:1 gene:B456_009G435000 transcript:KJB62765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSLFRCFLHFFFFIISQVQAANLPFHPRDVLPLLPRQVSWPVLNSLNSPVDLLPSFVGSVSSSNRIVNWKGACFYENTAWVEFHNKTGSEFGGGTLHIKVSYPHSWTCMDLYVFATPYRVTWDYYFLSREHTLEIKEWQDKAEYEYVKNRGISIFLMQAGMLGTLQALWDVFPLFTNTGWGENSNIGFLEKHMGATFEERPQPWFTNISVDDVHSGDFLAISKIRGRWGGFETLEKWVSGAYAGHTAVCLKDSEGKLWIGESGHENEKGEDIIAIVPWDEWWDFELNKDDSNPHIAYLPLHPDVRAKFNETAAWEYALSMAGKPYGYHNMIFSWIDTIGGNYPPPLDAHLLQL >KJB62763 pep chromosome:Graimondii2_0_v6:9:68421719:68424891:1 gene:B456_009G435000 transcript:KJB62763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSLFRCFLHFFFFIISQVQAANLPFHPRDVLPLLPRQVSWPVLNSLNSPVDLLPSFVGSVSSSNRIVNWKGACFYENTAWVEFHNKTGSEFGGGTLHIKVSYPHSWTCMDLYVFATPYRVTWDYYFLSREHTLEIKEWQDKAEYEYVKNRGISIFLMQAGMLGTLQALWDVFPLFTNTGWGENSNIGFLEKHMGATFEERPQPWFTNISVDDVHSGDFLAISKIRGRWGGFETLEKWVSGAYAGHTAVCLKDSEGKLWIGESGHENEKGEDIIAIVPWDEWWDFELNKDDSNPHIAYLPLHPDVRAKFNETAAWEYALSMAGKPYGYHNMIFSWIDTIGGNYPPPLDAHLVASVMTVWSKIQPEYAANMWNEALNKRLGTQGLNLSDILVETEKRGSSFDELLTIPEQDNWIYSDGKSTSCIAFVLELYKEAGLFDPIADSIQVTEFTIKDAYSLKFFENDSSRLPKWCNDADNVKLPYCQIKGKYRMELPGYNSMDPYVHMNERCPSMPPKYFRPQNC >KJB57286 pep chromosome:Graimondii2_0_v6:9:12509382:12509853:1 gene:B456_009G163100 transcript:KJB57286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEGGIVKEGHDEGMNMAIGLLEEFGLPLGLLPLADVIEVGFVRNTGYMWIVQKKKVEHKFQMISKLVSYDTEITGFVDKKRIKKLKGVKARELMLWPPVNEIVVDDPPTGKIHFKSLAGVTKTFPVDAFAAGQ >KJB59145 pep chromosome:Graimondii2_0_v6:9:19318430:19320292:-1 gene:B456_009G241200 transcript:KJB59145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MLSLTVISPQVTHFQWPSFFTIQSPSFHYSNSRNESRPRITKNPPQFSCFSSKDSCFLPEFDDLTSISTYHEDPDAGFRDRTPPSVSRNVGSDELAALLQSCSNGRQVRRVHAVVLKRLGNPVTYVENNLLSSYLKFGTLVEARKVFDNMAERNVVSWTAMINGYSKLGFDDEALRLFWDSISCGVQGNRKMLVCLMNLCSRRADFELGRQIHGCILKANCRNLIVDSAVTYFYAQCGELSRAFQVFHGMAEKDVVCWTAMITACSQQGYAEEAFSLFSRMLSDGFWPNEITVCSVLKACGEQKALRPGRQLHGAIIKKMFKNDVFLGSSLVDMYAKCGEILDARIVFNGMSSRNTVTWTSIIAGYARKGLGEDAISLFRVMKRRNIIANNLTIVSILRACGSVVDLLMGKEVHAQVVKKSIQTNMYIGSTLVWFYCKCGEYDIASKVLQQMPLRDVVSWTAMISGCASVGHEAEALDILKEMMEEGVEPNSFTYSSALKACAKLGAITKGKLIHSFANKTPAFSNVFVGSALIHMYAKCGFVAEASRVFDSMPERNLVSWKAMIMGYARNGLCREALQLMYRMEAEGFEVDDYILATVLSACGDIELDEGPSSEILLVT >KJB53777 pep chromosome:Graimondii2_0_v6:9:452105:456637:-1 gene:B456_009G005000 transcript:KJB53777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 32 kDa subunit B [Source:Projected from Arabidopsis thaliana (AT3G02920) UniProtKB/Swiss-Prot;Acc:Q8LFJ8] MQANEFDGNAAFAGGGFMPFQATQTAPDRPSSSSKNSDARCLTPVTVKQLKDLSKVGESGISIDGVDVNNIVLVGIISKIDNAVSDCTFRVDDGTGWVECTKWIHEHVDSVEVDVLSVGMYVRVYGQFKSIQSRRSIHTFSIRPITDFNEIAHHFLECIYVHLYNTKLRLRMTSATDGMTAQPQVANSYSGNHIMGYSYQTNSTSQFSNQYNTDEEQIRGISSMVLQYLRRPACLASEMGVSSDIVARELNVSVDKVRKTLDFLASEGVVYTTTDDHYKFTDA >KJB53778 pep chromosome:Graimondii2_0_v6:9:452124:456511:-1 gene:B456_009G005000 transcript:KJB53778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 32 kDa subunit B [Source:Projected from Arabidopsis thaliana (AT3G02920) UniProtKB/Swiss-Prot;Acc:Q8LFJ8] MQANEFDGNAAFAGGGFMPFQATQTAPDRPSSSSKNSDARCLTPVTVKQLKDLSKVGESGISIDGVDVNNIVLVGIISKIDNAVSDCTFRVDDGTGWVECTKWIHEHVDSVEVDVLSVGMYVRVYGQFKSIQSRRSIHTFSIRPITDFNEIAHHFLECIYVHLYNTKLRLRMTSATDGMTAQPQVANSYSGNHIMGYSYQTNSTSQFSNQYNTDEEQIRGISSMVLQYLRRPACLASEMGVSSDIVARELNVSVDKVRKTLDFLASEGVVYTTTDDHYKFTDA >KJB53780 pep chromosome:Graimondii2_0_v6:9:452124:456562:-1 gene:B456_009G005000 transcript:KJB53780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 32 kDa subunit B [Source:Projected from Arabidopsis thaliana (AT3G02920) UniProtKB/Swiss-Prot;Acc:Q8LFJ8] MQANEFDGNAAFAGGGFMPFQATQTAPDRPSSSSKNSDARCLTPVTVKQLKDLSKVGESGISIDGVDVNNIVLVGIISKIDNAVSDCTFRVDDGTGWVECTKWIHEHVDSVEVDVLSVGMYVRVYGQFKSIQSRRSIHTFSIRPITDFNEIAHHFLECIYVHLYNTKLRLRMTSATDGMTAQPQVANSYSGNHIMGYSYQTNSTSQIRGISSMVLQYLRRPACLASEMGVSSDIVARELNVSVDKVRKTLDFLASEGVVYTTTDDHYKFTDA >KJB53779 pep chromosome:Graimondii2_0_v6:9:452124:456511:-1 gene:B456_009G005000 transcript:KJB53779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 32 kDa subunit B [Source:Projected from Arabidopsis thaliana (AT3G02920) UniProtKB/Swiss-Prot;Acc:Q8LFJ8] MQANEFDGNAAFAGGGFMPFQATQTAPDRPSSSSKNSDARCLTPVTVKQLKDLSKVGESGISIDGVDVNNIVLVGIISKIDNAVSDCTFRVDDGTGWVECTKWVGMYVRVYGQFKSIQSRRSIHTFSIRPITDFNEIAHHFLECIYVHLYNTKLRLRMTSATDGMTAQPQVANSYSGNHIMGYSYQTNSTSQFSNQYNTDEEQIRGISSMVLQYLRRPACLASEMGVSSDIVARELNVSVDKVRKTLDFLASEGVVYTTTDDHYKFTDA >KJB56890 pep chromosome:Graimondii2_0_v6:9:10637675:10642773:-1 gene:B456_009G140700 transcript:KJB56890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDSRSGDPTSYRDRRSDSGFGGASAYDGSVRSSSSRRDYDGAEPPRKLDLDGLTPFEKNFYIESPLVAAMSDAEVEDYRRRREITVEGRDVPKPVNSFRDIGFPDYVLQEITKAGFVEPTAIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEAAKFGASSRIKNTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLESNHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLYNPYKVVIGSADLKANHAIRQHVNIVSESQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPTLSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELIAILEEAGQKVSPELAAMGRGAPPPPSG >KJB56888 pep chromosome:Graimondii2_0_v6:9:10637397:10642974:-1 gene:B456_009G140700 transcript:KJB56888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDSRSGDPTSYRDRRSDSGFGGASAYDGSVRSSSSRRDYDGAEPPRKLDLDGLTPFEKNFYIESPLVAAMSDAEVEDYRRRREITVEGRDVPKPVNSFRDIGFPDYVLQEITKAGFVEPTAIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEAAKFGASSRIKNTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLESNHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLYNPYKVVIGSADLKANHAIRQHVNIVSESQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPTLSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELIAILEEAGQKVSPELAAMGRGAPPPPSGHGGFRDRGKGYSGGRPWS >KJB56891 pep chromosome:Graimondii2_0_v6:9:10637675:10642934:-1 gene:B456_009G140700 transcript:KJB56891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDSRSGDPTSYRDRRSDSGFGGASAYDGSVRSSSSRRDYDGAEPPRKLDLDGLTPFEKNFYIESPLVAAMSDAEVEDYRRRREITVEGRDVPKPVNSFRDIGFPDYVLQEITKAGFVEPTAIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEAAKFGASSRIKNTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLESNHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLYNPYKVVIGSADLKANHAIRQHVNIVSESQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPTLSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELIAILEEAGQKVSPELAAMGRGAPPPPSGHGGFRDRGKGYSGGRPWS >KJB56889 pep chromosome:Graimondii2_0_v6:9:10637675:10642773:-1 gene:B456_009G140700 transcript:KJB56889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDSRSGDPTSYRDRRSDSGFGGASAYDGSVRSSSSRRDYDGAEPPRKLDLDGLTPFEKNFYIESPLVAAMSDAEVEDYRRRREITVEGRDVPKPVNSFRDIGFPDYVLQEITKAGFVEPTAIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEAAKFGASSRIKNTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLESNHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLYNPYKVVIGSADLKANHAIRQHVNIVSESQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPTLSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLGMHIPLFSILCLCCSF >KJB61517 pep chromosome:Graimondii2_0_v6:9:48247475:48251514:-1 gene:B456_009G363400 transcript:KJB61517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNSGDRKEIILKIDDRSNDGGTPVSGGAVTAADGGKIWRESSYDFWNDNEKIEGNWNEANVNMNGAGSSGNNSNRESEGFDFMPSKQAATEDPPSKLIGQFLHKQKASGEFSLDMDLEMEELQQEPPHHGGILPTVAESPSTAPSPSAAAFPRVSFENNPVRRRPSKGSPSPRKEESDGVVKCSSNSSSKRSEGRSFQRKSSLLITKTKSRLMDPPTPEKGEPKSAKSGAGKSRQIMRSGVLGKSMEEEEDDPLLEEDLPDEYKKNKLSVLVLLEWLSLILIIAGLVCSLTIPYLRKKSLWSLMLWKWEVLVLVLICGRLVSGWIIRIIVFFIERNFLLRKRVLYFVYGVRKPVRNCLWLGLVLIAWHYLFDKKVQKETKSKFLGYVTKILVCLLVGVLLWLVKTLLVKVLASSFHVSTFFDRIQDSLFNQYVIETLSGPPLIEIQRAEEEEEKIANEVMNLQKAGATIPPGLKTSTVSSPYSGKQTGSGRIQKTPRGKSPLLSPAFSAGKGEKDDKGITIDHLHKLNTKNVSAWNMKRLVHIIRHGALSTLDEQIHDSAFEDESANQIRNEYEAKAAARKIFENVAKPGSRFIYLEDIERFLQEDEALKTMSLFEEASESKRISKKALKNWVVNAFRERRALALTLNDTKTAVNKLHRMVNVLVGIIILVIWLLILEIASSKVLVFISSQLLLVAFIFGNTCKTIFEAIIFLFVMHPFDVGDRCDIDGIQMIVEEMNILTTVFLRYDNQKIIIPNSVLATKAIHNYYRSPDMLEIVEFCIHVKTPAEKIGLMKQRIMSYIEHKSDHWYQDTMIIFKELEELNRVRIAIWVTHRMNHQDMGERYIRRALLIEELVKIFNDLDIKYRLYPININVCSMPPATSDRLPPNWSGPAS >KJB61519 pep chromosome:Graimondii2_0_v6:9:48247543:48251400:-1 gene:B456_009G363400 transcript:KJB61519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNSGDRKEIILKIDDRSNDGGTPVSGGAVTAADGGKIWRESSYDFWNDNEKIEGNWNEANVNMNGAGSSGNNSNRESEGFDFMPSKQAATEDPPSKLIGQFLHKQKASGEFSLDMDLEMEELQQEPPHHGGILPTVAESPSTAPSPSAAAFPRVSFENNPVRRRPSKGSPSPRKEESDGVVKCSSNSSSKRSEGRSFQRKSSLLITKTKSRLMDPPTPEKGEPKSAKSGAGKSRQIMRSGVLGKSMEEEEDDPLLEEDLPDEYKKNKLSVLVLLEWLSLILIIAGLVCSLTIPYLRKKSLWSLMLWKWEVLVLVLICGRLVSGWIIRIIVFFIERNFLLRKRVLYFVYGVRKPVRNCLWLGLVLIAWHYLFDKKVQKETKSKFLGYVTKILVCLLVGVLLWLVKTLLVKVLASSFHVSTFFDRIQDSLFNQYVIETLSGPPLIEIQRAEEEEEKIANEVMNLQKAGATIPPGLKTSTVSSPYSGKQTGSGRIQKTPRGKSPLLSPAFSAGKGEKDDKGITIDHLHKLNTKNVSAWNMKRLVHIIRHGALSTLDEQIHDSAFEDESANQIRNEYEAKAAARKIFENVAKPGSRFIYLEDIERFLQEDEALKTMSLFEEASESKRISKKALKNWVVNAFRERRALALTLNDTKTAVNKLHRMVNVLVGIIILVIWLLILEIASSKVLVFISSQLLLVAFIFGNTCKTIFEAIIFLFVMHPFDVGDRCDIDGIQVL >KJB61518 pep chromosome:Graimondii2_0_v6:9:48247543:48251400:-1 gene:B456_009G363400 transcript:KJB61518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNSGDRKEIILKIDDRSNDGGTPVSGGAVTAADGGKIWRESSYDFWNDNEKIEGNWNEANVNMNGAGSSGNNSNRESEGFDFMPSKQAATEDPPSKLIGQFLHKQKASGEFSLDMDLEMEELQQEPPHHGGILPTVAESPSTAPSPSAAAFPRVSFENNPVRRRPSKGSPSPRKEESDGVVKCSSNSSSKRSEGRSFQRKSSLLITKTKSRLMDPPTPEKGEPKSAKSGAGKSRQIMRSGVLGKSMEEEEDDPLLEEDLPDEYKKNKLSVLVLLEWLSLILIIAGLVCSLTIPYLRKKSLWSLMLWKWEVLVLVLICGRLVSGWIIRIIVFFIERNFLLRKRVLYFVYGVRKPVRNCLWLGLVLIAWHYLFDKKVQKETKSKFLGYVTKILVCLLVGVLLWLVKTLLVKVLASSFHVSTFFDRIQDSLFNQYVIETLSGPPLIEIQRAEEEEEKIANEVMNLQKAGATIPPGLKTSTVSSPYSGKQTGSGRIQKTPRGKSPLLSPAFSAGKGEKDDKGITIDHLHKLNTKNVSAWNMKRLVHIIRHGALSTLDEQIHDSAFEDESANQIRNEYEAKAAARKIFENVAKPGSRFIYLEDIERFLQEDEALKTMSLFEEASESKRISKKALKNWVVNAFRERRALALTLNDTKTAVNKLHRMVNVLVGIIILVIWLLILEIASSKVLVFISSQLLLVAFIFGNTCKTIFEAIIFLFVMHPFDVGDRCDIDGIQMIVEEMNILTTVFLRYDNQKIIIPNSVLATKAIHNYYRSPDMLEIVEFCIHVKTPAEKIGLMKQRIMR >KJB54421 pep chromosome:Graimondii2_0_v6:9:6235876:6237999:-1 gene:B456_009G085600 transcript:KJB54421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDALREAISTIVTQSKEKQRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPSNRIF >KJB54424 pep chromosome:Graimondii2_0_v6:9:6235973:6237976:-1 gene:B456_009G085600 transcript:KJB54424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDALREAISTIVTQSKEKQRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPSNRIF >KJB54423 pep chromosome:Graimondii2_0_v6:9:6235973:6237867:-1 gene:B456_009G085600 transcript:KJB54423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPSNRIF >KJB54422 pep chromosome:Graimondii2_0_v6:9:6235973:6236850:-1 gene:B456_009G085600 transcript:KJB54422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEALKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPSNRIF >KJB54331 pep chromosome:Graimondii2_0_v6:9:2258678:2259612:-1 gene:B456_009G029700 transcript:KJB54331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAVMSFWGIFYSGWIWQKEGT >KJB54332 pep chromosome:Graimondii2_0_v6:9:2258678:2259612:-1 gene:B456_009G029700 transcript:KJB54332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAGWLDLAKRGDLTHLRSKRSM >KJB54333 pep chromosome:Graimondii2_0_v6:9:2258678:2259677:-1 gene:B456_009G029700 transcript:KJB54333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSEK >KJB60761 pep chromosome:Graimondii2_0_v6:9:32543515:32545599:-1 gene:B456_009G326100 transcript:KJB60761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSTASSLPIYFDERTSITSTKILKTSSSSSSSPCYLPLNCSVLHKSFVPLAASVTILLSPIPAKAGLLSGFPGIESIPGPQLPEIEFLNRFNEENQKKYAEADARFKSSPLLKQLLERSKQNKEKLLQIIAKLQEQARNSRQVLHARGGVGSRGLFSGGNVAGRQRKLHCNVEGKGWNQMKWSFQFAWI >KJB60763 pep chromosome:Graimondii2_0_v6:9:32544813:32545587:-1 gene:B456_009G326100 transcript:KJB60763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSTASSLPIYFDERTSITSTKILKTSSSSSSSPCYLPLNCSVLHKSFVPLAASVTILLSPIPAKAGLLSGFPGIESIPGPQLPEIEFLNRFNENQKKYAEADARFKSSPLLKQLLERSKQNKEK >KJB60762 pep chromosome:Graimondii2_0_v6:9:32543515:32545619:-1 gene:B456_009G326100 transcript:KJB60762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSTASSLPIYFDERTSITSTKILKTSSSSSSSPCYLPLNCSVLHKSFVPLAASVTILLSPIPAKAGLLSGFPGIESIPGPQLPEIEFLNRFNENQKKYAEADARFKSSPLLKQLLERSKQNKEKNKQEIQDKYCMRGAEWGVGDCSVEAMSPEDKENFIAMLKEKAGIK >KJB60760 pep chromosome:Graimondii2_0_v6:9:32543510:32545739:-1 gene:B456_009G326100 transcript:KJB60760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSTASSLPIYFDERTSITSTKILKTSSSSSSSPCYLPLNCSVLHKSFVPLAASVTILLSPIPAKAGLLSGFPGIESIPGPQLPEIEFLNRFNEENQKKYAEADARFKSSPLLKQLLERSKQNKEKNKQEIQDKYCMRGAEWGVGDCSVEAMSPEDKENFIAMLKEKAGIK >KJB60764 pep chromosome:Graimondii2_0_v6:9:32544813:32545587:-1 gene:B456_009G326100 transcript:KJB60764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSTASSLPIYFDERTSITSTKILKTSSSSSSSPCYLPLNCSVLHKSFVPLAASVTILLSPIPAKAGLLSGFPGIESIPGPQLPEIEFLNRFNEENQKKYAEADARFKSSPLLKQLLERSKQNKEK >KJB56666 pep chromosome:Graimondii2_0_v6:9:9838360:9839450:1 gene:B456_009G130900 transcript:KJB56666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGICSQSGLVLATAMVVSSTVIFLTFSRQKALPPPKQTLRPCISSEGKKRDKKKKKVQFAENVKETNGNGEEYRKEQRKKIMAEAAEVDRFCRNEMPPNRIALFNGILRDRVRFHRMESSY >KJB61257 pep chromosome:Graimondii2_0_v6:9:43453843:43454410:1 gene:B456_009G348400 transcript:KJB61257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSDDGITPMTEELNDLLARLNFSEEESVQIMCKKDDHSGRCFESWAIGKIMATEIPNREAMYRVFKSLWYTKEEVEFVALKEGVVIVKFGCQEDRNRILNLTPWLFDRCLFSMLPFEKGKDIESYEFGWSPFWLRIYNVPLELMDRQTALDVGNANFSG >KJB60105 pep chromosome:Graimondii2_0_v6:9:24939435:24940700:-1 gene:B456_009G290000 transcript:KJB60105 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC30 [Source:Projected from Arabidopsis thaliana (AT5G56150) UniProtKB/TrEMBL;Acc:A0A178UMN8] MASKRITKELKDLQKDPLVSCSAGPVGDDMFHWQATITGPADSPYAGGVFLVSIHFPPDYPFKPPKVSFETKVYHPNINSNGSICLDILKEQWSPALTVPKVLLSICSLLADPNPDDPLEPEIAHTYKTDRAKYESTAQAWTQKYAMG >KJB57888 pep chromosome:Graimondii2_0_v6:9:14237989:14243131:1 gene:B456_009G185100 transcript:KJB57888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTLENPFSNAFLTAQDKARASNNNLSQDKFNFFSHRSLFLTRNQKWRQHMLLATRPLLKDRNLSINGKEALKDVPENIVVMPLTDSSAFVGATSPHASSRHVFKLGVIKDVRLLCLFRFKLWWMIPRTGSSASDIPLETQMLLLEARQGPTSSQSTYIIFLPVLDGNFRSSLQGNSSDELEFCDPAVVTSESPKAVFVNYRDHPFDLVKDSMMILEKQFGTFALRETKQAIQTPGMLDWFGWCTWDAFYSDVNPQGIKDGLMSLSQGGTPARFLLIDDGWQDTINEFLEQGEPFVDGLHRLANIKENKKFRRIANEANSKTPSDLKEFISDIRTFDLKYVYVWHALLGCWGGLVPNAVATKNYDPKLRYPILSPVYLANMRDISMDSMGKYGICLVDPDKISQFYDDLHGYLASQDVDGVKVDAQNILETISAGLGGRVWLTRRFQQALEGSIAANFSDNSIICCMAQSTDSIYHLKQSAVSRASDDFYPKEPTTWARHVAAVAFNSILHGELVVPDWDIDKPGRHDFKILQRLVLPDGSVLRAKYPGRPSRDCLFTDPVTDGKSLLKIWNLNKCTGVIGIFNCQGSWPVPSTNKAFQMVTSSELSGQVSPACVEYLEEPMEGSLNVALKPLECDVFTVSPIKVYCEGIEFAAIGLMNMYNSGGALECRSWLLRCISCSINLKDEDFNFNDQLNLLTITIPPTHNSSWDIAIY >KJB61670 pep chromosome:Graimondii2_0_v6:9:50993451:50996656:-1 gene:B456_009G375000 transcript:KJB61670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYCNPSSDQKPDEMASMEVGSDKDVKVHVFSSSSELIEKLHEKWSPVKKKPYPAMYSSVFGGIILHPAMMVIPIDDHMVHRGHGVFDTAVILDGYIYELDAHLDRFLRSASKARITLPFPRSTLRSILVQLTAASQCKEGTLRYWLSAGPGNFLLSPAKCPTSAFYAVVIADVVSQCKNGVKVITSTIPMKAPLFATMKNVNYLPNVLSIMEAEDKGAYASIWVDDDGFIAEGPNVNVAFITNDKELILPSFDNILSGCTAKRLLELAPKLVKQGRLKSVKTANLTVMEAKGAAEMMYIGSTLPILPIIMWDEHPIGDGKVGDLTMALSDLLWHDMVAGPNTHRICVPY >KJB61671 pep chromosome:Graimondii2_0_v6:9:50993693:50996540:-1 gene:B456_009G375000 transcript:KJB61671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSVFGGIILHPAMMVIPIDDHMVHRGHGVFDTAVILDGYIYELDAHLDRFLRSASKARITLPFPRSTLRSILVQLTAASQCKEGTLRYWLSAGPGNFLLSPAKCPTSAFYAVVIADVVSQCKNGVKVITSTIPMKAPLFATMKNVNYLPNVLSIMEAEDKGAYASIWVDDDGFIAEGPNVNVAFITNDKELILPSFDNILSGCTAKRLLELAPKLVKQGRLKSVKTANLTVMEAKGAAEMMYIGSTLPILPIIMWDEHPIGDGKVGDLTMALSDLLWHDMVAGPNTHRICVPY >KJB61673 pep chromosome:Graimondii2_0_v6:9:50994249:50996575:-1 gene:B456_009G375000 transcript:KJB61673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYCNPSSDQKPDEMASMEVGSDKDVKVHVFSSSSELIEKLHEKWSPVKKKPYPAMYSSVFGGIILHPAMMVIPIDDHMVHRGHGVFDTAVILDGYIYELDAHLDRFLRSASKARITLPFPRSTLRSILVQLTAASQCKEGTLRYWLSAGPGNFLLSPAKCPTSAFYAVVIADVVSQCKNGVKVITSTIPMKAPLFATMKNVNYLPNVLSIMEAEDKGAYASIWVDDDGFIAEGPNVNVAFITNDKELILPSFDNILSGCTAKRLLELAPKLVKQGRLKSVKTANLTVMEAKGAAEMMYIGSTLPILPIIMWDEHPIGDGMYNLMFRKP >KJB61672 pep chromosome:Graimondii2_0_v6:9:50994142:50995322:-1 gene:B456_009G375000 transcript:KJB61672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYIYELDAHLDRFLRSASKARITLPFPRSTLRSILVQLTAASQCKEGTLRYWLSAGPGNFLLSPAKCPTSAFYAVVIADVVSQCKNGVKVITSTIPMKAPLFATMKNVNYLPNVLSIMEAEDKGAYASIWVDDDGFIAEGPNVNVAFITNDKELILPSFDNILSGCTAKRLLELAPKLVKQGRLKSVKTANLTVMEAKGAAEMMYIGSTLPILPIIMWDEHPIGDGKVGDLTMALSDLLWHDMVAGPNTHRICVPY >KJB59396 pep chromosome:Graimondii2_0_v6:9:20878626:20882901:1 gene:B456_009G254100 transcript:KJB59396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVNDVRDALGSLSRRSLENSRWASLPPELLRDVIKRLEASESNWPARKHVVACAAVCRSWREMCKEIVRCPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLSPPGRSRRFYSKKVSPKVPTGSYNIAQVSYELNVLGTRGPRRMHCSMYSIPASAVEPGGIVPGQPELIPRSLEDSFRSISFSKSIDNSSEFSSARFSDIVGTRDEEDEGKDRPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAANQPAAGAPTPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KJB59394 pep chromosome:Graimondii2_0_v6:9:20878526:20882901:1 gene:B456_009G254100 transcript:KJB59394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVNDVRDALGSLSRRSLEVRLPGHHKGKSNGSVLELNDEPMVIQNSRWASLPPELLRDVIKRLEASESNWPARKHVVACAAVCRSWREMCKEIVRCPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLSPPGRSRRFYSKKVSPKVPTGSYNIAQVSYELNVLGTRGPRRMHCSMYSIPASAVEPGGIVPGQPELIPRSLEDSFRSISFSKSIDNSSEFSSARFSDIVGTRDEEDEGKDRPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAANQPAAGAPTPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KJB59393 pep chromosome:Graimondii2_0_v6:9:20878526:20882901:1 gene:B456_009G254100 transcript:KJB59393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVNDVRDALGSLSRRSLEVRLPGHHKGKSNGSVLELNDEPMVIQNSRWASLPPELLRDVIKRLEASESNWPARKHVVACAAVCRSWREMCKEIVRCPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLSPPGRSRRFYSKKVSPKVPTGSYNIAQVSYELNVLGTRGPRRMHCSMYSIPASAVEPGGIVPGQPELIPRSLEDSFRSISFSKSIDNSSEFSSARFSDIVGTRDEEDEGKDRPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAANQPAAGAPTPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KJB59397 pep chromosome:Graimondii2_0_v6:9:20879521:20882901:1 gene:B456_009G254100 transcript:KJB59397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVNDVRDALGSLSRRSLEVRLPGHHKGKSNGSVLELNDEPMVIQNSRWASLPPELLRDVIKRLEASESNWPARKHVVACAAVCRSWREMCKEIVRCPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLSPPGRSRRFYSKKVSPKVPTGSYNIAQVSYELNVLGTRGPRRMHCSMYSIPASAVEPGGIVPGQPELIPRSLEDSFRSISFSKSIDNSSEFSSARFSDIVGTRDEEDEGKDRPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAANQPAAGAPTPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KJB59395 pep chromosome:Graimondii2_0_v6:9:20878771:20882901:1 gene:B456_009G254100 transcript:KJB59395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVNDVRDALGSLSRRSLENSRWASLPPELLRDVIKRLEASESNWPARKHVVACAAVCRSWREMCKEIVRCPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLSPPGRSRRFYSKKVSPKVPTGSYNIAQVSYELNVLGTRGPRRMHCSMYSIPASAVEPGGIVPGQPELIPRSLEDSFRSISFSKSIDNSSEFSSARFSDIVGTRDEEDEGKDRPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAANQPAAGAPTPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KJB62471 pep chromosome:Graimondii2_0_v6:9:65025613:65031136:-1 gene:B456_009G418400 transcript:KJB62471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTANGTISTSSSSANAQSPGLKTYFKTPEGRYKLHYEKTHPSSLLHYAHGKTVTQVTLAHLKEKPAPSTPTSSSSSYSASGGVRSAAARWLGAGNGSRTLGFAGGNGGSKSISSTSRIGSLGASSSSNSITNMNFDGKGTYLIFNVGDAIFISDLNSQDKDPIKSINFSNSNPICHAFDQDAKDGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNNSRCTGIAWVPGSDGVFVVAHADGNMYVYEKNKEGAGDSSFPVVKDQTQFSVSHARYSKYGWEIYSDWR >KJB62470 pep chromosome:Graimondii2_0_v6:9:65027231:65030815:-1 gene:B456_009G418400 transcript:KJB62470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTANGTISTSSSSANAQSPGLKTYFKTPEGRYKLHYEKTHPSSLLHYAHGKTVTQVTLAHLKEKPAPSTPTSSSSSYSASGGVRSAAARWLGAGNGSRTLGFAGGNGGSKSISSTSRIGSLGASSSSNSITNMNFDGKGTYLIFNVGDAIFISDLNSQDKDPIKSINFSNSNPICHAFDQDAKDGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNNSRCTGIAWVPGSDGVFVVAHADGNMYVYEKNKEGAGDSSFPVVKDQTQFSVSHARYSKSNPMARWHVCQGSINSIAFSHDGAYLATVGRDGYLRVFDYSKEQLVCGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSSPNSDGTGETVMYRFGSVGQV >KJB62468 pep chromosome:Graimondii2_0_v6:9:65026609:65030815:-1 gene:B456_009G418400 transcript:KJB62468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTANGTISTSSSSANAQSPGLKTYFKTPEGRYKLHYEKTHPSSLLHYAHGKTVTQVTLAHLKEKPAPSTPTSSSSSYSASGGVRSAAARWLGAGNGSRTLGFAGGNGGSKSISSTSRIGSLGASSSSNSITNMNFDGKGTYLIFNVGDAIFISDLNSQDKDPIKSINFSNSNPICHAFDQDAKDGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNNSSRCTGIAWVPGSDGVFVVAHADGNMYVYEKNKEGAGDSSFPVVKDQTQFSVSHARYSKSNPMARWHVCQGSINSIAFSHDGAYLATVGRDGYLRVFDYSKEQLVCGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSSPNSDGTGETVMYRFGSVGQTPENQDTRTVKV >KJB62469 pep chromosome:Graimondii2_0_v6:9:65025613:65031136:-1 gene:B456_009G418400 transcript:KJB62469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFDGKGTYLIFNVGDAIFISDLNSQDKDPIKSINFSNSNPICHAFDQDAKDGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNNSRCTGIAWVPGSDGVFVVAHADGNMYVYEKNKEGAGDSSFPVVKDQTQFSVSHARYSKSNPMARWHVCQGSINSIAFSHDGAYLATVGRDGYLRVFDYSKEQLVCGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSSPNSDGTGETVMYRFGSVGQDTQLLLWDLEMDEIVVPLRLCPPGGSPTYTTGSQSSHWDNISPLGTLQPAPSIRDVPKVSPLVAHRVHNEPLSGLIFTQESVLTVCREGHIKIWMRPGVGESHSSNSETVLTNSLKDKPFVSSKIGSSSYKQ >KJB62472 pep chromosome:Graimondii2_0_v6:9:65027231:65030815:-1 gene:B456_009G418400 transcript:KJB62472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTANGTISTSSSSANAQSPGLKTYFKTPEGRYKLHYEKTHPSSLLHYAHGKTVTQVTLAHLKEKPAPSTPTSSSSSYSASGGVRSAAARWLGAGNGSRTLGFAGGNGGSKSISSTSRIGSLGASSSSNSITNMNFDGKGTYLIFNVGDAIFISDLNSQDKDPIKSINFSNSNPICHAFDQDAKDGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNNSRCTGIAWVPGSDGVFVVAHADGNMYVYEKNKEGAGDSSFPVVKDQTQFSVSHARYSKSNPMARWHVCQGSINSIAFSHDGAYLATVGRDGYLRVFDYSKEQLVCGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSSPNSDGTGETVMYRFGSVGQV >KJB62467 pep chromosome:Graimondii2_0_v6:9:65026609:65030815:-1 gene:B456_009G418400 transcript:KJB62467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTANGTISTSSSSANAQSPGLKTYFKTPEGRYKLHYEKTHPSSLLHYAHGKTVTQVTLAHLKEKPAPSTPTSSSSSYSASGGVRSAAARWLGAGNGSRTLGFAGGNGGSKSISSTSRIGSLGASSSSNSITNMNFDGKGTYLIFNVGDAIFISDLNSQDKDPIKSINFSNSNPICHAFDQDAKDGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNNSRCTGIAWVPGSDGVFVVAHADGNMYVYEKNKEGAGDSSFPVVKDQTQFSVSHARYSKSNPMARWHVCQGSINSIAFSHDGAYLATVGRDGYLRVFDYSKEQLVCGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSSPNSDGTGETVMYRFGSVGQTPENQDTRTVKV >KJB62466 pep chromosome:Graimondii2_0_v6:9:65025331:65031260:-1 gene:B456_009G418400 transcript:KJB62466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTANGTISTSSSSANAQSPGLKTYFKTPEGRYKLHYEKTHPSSLLHYAHGKTVTQVTLAHLKEKPAPSTPTSSSSSYSASGGVRSAAARWLGAGNGSRTLGFAGGNGGSKSISSTSRIGSLGASSSSNSITNMNFDGKGTYLIFNVGDAIFISDLNSQDKDPIKSINFSNSNPICHAFDQDAKDGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNNSSRCTGIAWVPGSDGVFVVAHADGNMYVYEKNKEGAGDSSFPVVKDQTQFSVSHARYSKSNPMARWHVCQGSINSIAFSHDGAYLATVGRDGYLRVFDYSKEQLVCGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSSPNSDGTGETVMYRFGSVGQDTQLLLWDLEMDEIVVPLRLCPPGGSPTYTTGSQSSHWDNISPLGTLQPAPSIRDVPKVSPLVAHRVHNEPLSGLIFTQESVLTVCREGHIKIWMRPGVGESHSSNSETVLTNSLKDKPFVSSKIGSSSYKQ >KJB62473 pep chromosome:Graimondii2_0_v6:9:65028335:65031136:-1 gene:B456_009G418400 transcript:KJB62473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTANGTISTSSSSANAQSPGLKTYFKTPEGRYKLHYEKTHPSSLLHYAHGKTVTQVTLAHLKEKPAPSTPTSSSSSYSASGGVRSAAARWLGAGNGSRTLGFAGGNGGSKSISSTSRIGSLGASSSSNSITNMNFDGKGTYLIFNVGDAIFISDLNSQDKDPIKSINFSNSNPICHAFDQDAKDGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNNSSRCTGIAWVPGSDGVFVVAHADGNMYVYEKNKEGAGDSSFPVVKDQTQFSVSHARYSKVLVSVYFGGKRAILGFLICVCFLKLVVFTFVV >KJB62465 pep chromosome:Graimondii2_0_v6:9:65025331:65031260:-1 gene:B456_009G418400 transcript:KJB62465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTANGTISTSSSSANAQSPGLKTYFKTPEGRYKLHYEKTHPSSLLHYAHGKTVTQVTLAHLKEKPAPSTPTSSSSSYSASGGVRSAAARWLGAGNGSRTLGFAGGNGGSKSISSTSRIGSLGASSSSNSITNMNFDGKGTYLIFNVGDAIFISDLNSQDKDPIKSINFSNSNPICHAFDQDAKDGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNNSRCTGIAWVPGSDGVFVVAHADGNMYVYEKNKEGAGDSSFPVVKDQTQFSVSHARYSKSNPMARWHVCQGSINSIAFSHDGAYLATVGRDGYLRVFDYSKEQLVCGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSSPNSDGTGETVMYRFGSVGQDTQLLLWDLEMDEIVVPLRLCPPGGSPTYTTGSQSSHWDNISPLGTLQPAPSIRDVPKVSPLVAHRVHNEPLSGLIFTQESVLTVCREGHIKIWMRPGVGESHSSNSETVLTNSLKDKPFVSSKIGSSSYKQ >KJB56897 pep chromosome:Graimondii2_0_v6:9:10745581:10749099:-1 gene:B456_009G142000 transcript:KJB56897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLGALKKLSICLILWLNTLPFLQGGSDYYEFKYPFIKRASSFWASSSSFSSSNTDEASYDYIIVGGGTAGCPLAATLSQNFSVLVLERGGVPFSNVNVSFLSNFHIALADTSPTSASQPFVSTDGVINARARVLGGGTCINAGFYTRANSGFIRRVGWDERLVNESYPWVEKQIIHQPKLAQWQGAFKDSLLDVGVSPYNGFTYDHIHGTKVGGTLFDRFGRRHTAAELLASANPKMLTVLVYATVQKVLFDQTGRRPMAMGVIFKDENGNQHQAFLTNNRRSEVILSCGAIGTPQMLMLSGIGPKAELNKLNISMVLRNEFVGKGMADNPMNSVFVPTNRPVEQSLIQTVGITKMGVYIESSSGFGQSQDSIRCHHGILSAEVISSTID >KJB56898 pep chromosome:Graimondii2_0_v6:9:10744505:10749226:-1 gene:B456_009G142000 transcript:KJB56898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLGALKKLSICLILWLNTLPFLQGGSDYYEFKYPFIKRASSFWASSSSFSSSNTDEASYDYIIVGGGTAGCPLAATLSQNFSVLVLERGGVPFSNVNVSFLSNFHIALADTSPTSASQPFVSTDGVINARARVLGGGTCINAGFYTRANSGFIRRVGWDERLVNESYPWVEKQIIHQPKLAQWQGAFKDSLLDVGVSPYNGFTYDHIHGTKVGGTLFDRFGRRHTAAELLASANPKMLTVLVYATVQKVLFDQTGRRPMAMGVIFKDENGNQHQAFLTNNRRSEVILSCGAIGTPQMLMLSGIGPKAELNKLNISMVLRNEFVGKGMADNPMNSVFVPTNRPVEQSLIQTVGITKMGVYIESSSGFGQSQDSIRCHHGILSAEIGQLSTIPPKQRTPEAIQVSFWKRLPGLFPRGTSTWSTPTSMTTLQLPSTTSTTHMICSDALTGSAWLPRLYNQNISQTSQGVTNQL >KJB56895 pep chromosome:Graimondii2_0_v6:9:10744505:10749226:-1 gene:B456_009G142000 transcript:KJB56895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLGALKKLSICLILWLNTLPFLQGGSDYYEFKYPFIKRASSFWASSSSFSSSNTDEASYDYIIVGGGTAGCPLAATLSQNFSVLVLERGGVPFSNVNVSFLSNFHIALADTSPTSASQPFVSTDGVINARARVLGGGTCINAGFYTRANSGFIRRVGWDERLVNESYPWVEKQIIHQPKLAQWQGAFKDSLLDVGVSPYNGFTYDHIHGTKVGGTLFDRFGRRHTAAELLASANPKMLTVLVYATVQKVLFDQTGRRPMAMGVIFKDENGNQHQAFLTNNRRSEVILSCGAIGTPQMLMLSGIGPKAELNKLNISMVLRNEFVGKGMADNPMNSVFVPTNRPVEQSLIQTVGITKMGVYIESSSGFGQSQDSIRCHHGILSAEIGQLSTIPPKQRTPEAIQAFIKRKRDLPHEAFKGGFILEKIARPISTGHLNLVNTNIDDNPSVTFNYFHHPHDLQRCVNGIRMAAKVIQSKHFTNFTSSAKTL >KJB56894 pep chromosome:Graimondii2_0_v6:9:10744482:10749304:-1 gene:B456_009G142000 transcript:KJB56894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLGALKKLSICLILWLNTLPFLQGGSDYYEFKYPFIKRASSFWASSSSFSSSNTDEASYDYIIVGGGTAGCPLAATLSQNFSVLVLERGGVPFSNVNVSFLSNFHIALADTSPTSASQPFVSTDGVINARARVLGGGTCINAGFYTRANSGFIRRVGWDERLVNESYPWVEKQIIHQPKLAQWQGAFKDSLLDVGVSPYNGFTYDHIHGTKVGGTLFDRFGRRHTAAELLASANPKMLTVLVYATVQKVLFDQTGRRPMAMGVIFKDENGNQHQAFLTNNRRSEVILSCGAIGTPQMLMLSGIGPKAELNKLNISMVLRNEFVGKGMADNPMNSVFVPTNRPVEQSLIQTVGITKMGVYIESSSGFGQSQDSIRCHHGILSAEIGQLSTIPPKQRTPEAIQAFIKRKRDLPHEAFKGGFILEKIARPISTGHLNLVNTNIDDNPSVTFNYFHHPHDLQRCVNGIRMAAKVIQSKHFTNFTRCDKPTVEKLLNMSVKANINLIPKHTNDTKSLEQFCKDTVITIWHYHGGCHVGKVVDPDLKVLGTNRLRIVDGSTFNESPGTNPQGTVLMMGRYMGVKILRRRLGKAAHV >KJB56896 pep chromosome:Graimondii2_0_v6:9:10745581:10749099:-1 gene:B456_009G142000 transcript:KJB56896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLGALKKLSICLILWLNTLPFLQGGSDYYEFKYPFIKRASSFWASSSSFSSSNTDEASYDYIIVGGGTAGCPLAATLSQNFSVLVLERGGVPFSNVNVSFLSNFHIALADTSPTSASQPFVSTDGVINARARVLGGGTCINAGFYTRANSGFIRRVGWDERLVNESYPWVEKQIIHQPKLAQWQGAFKDSLLDVGVSPYNGFTYDHIHGTKVGGTLFDRFGRRHTAAELLASANPKMLTVLVYATVQKVLFDQTGRRPMAMGVIFKDENGNQHQAFLTNNRRSEVILSCGAIGTPQMLMLSGIGPKAELNKLNISMVLRNEFVGKGMADNPMNSVFVPTNRPVEQSLIQTVGITKMGVYIESSSGFGQSQDSIRCHHGILSAEVISSTID >KJB61968 pep chromosome:Graimondii2_0_v6:9:54562097:54563407:-1 gene:B456_009G394800 transcript:KJB61968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPMILKNRNSLQRRQPLLHSRSNSVDSCITPRGNTNLRFGEVCGGTTADVAAVCCCCPCGLANLVVLAIYKVPAGLYRRALRQKRLRKLHKKGLLQPKNHGAHFRCNDPELLCLEDYFQDAGVSEETEKAAVELENEMWQRFYGTGFWRSPSQKEGETPRFHPL >KJB61966 pep chromosome:Graimondii2_0_v6:9:54562097:54563389:-1 gene:B456_009G394800 transcript:KJB61966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPMILKNRNSLQRRQPLLHSRSNSVDSCITPRGNTNLRFGEVCGGTTADVAAVCCCCPCGLANLVVLAIYKVPAGLYRRALRQKRLRKLHKKGLLQPKNHGAHFRCNDPELLCLEDYFQDAGVSEETEKAAVELENEMWQRFYGTGFWRSPSQKEGETPRFHPL >KJB61967 pep chromosome:Graimondii2_0_v6:9:54562395:54562895:-1 gene:B456_009G394800 transcript:KJB61967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPMILKNRNSLQRRQPLLHSRSNSVDSCITPRGNTNLRFGEVCGGTTADVAAVCCCCPCGLANLVVLAIYKVPAGLYRRALRQKRLRKLHKKGLLQPKNHGAHFRCNDPELLCLEDYFQDAGVSEETEKAAVELENEMWQRFYGTGFWRSPSQKEGETPRFHPL >KJB62864 pep chromosome:Graimondii2_0_v6:9:69231681:69237384:1 gene:B456_009G440800 transcript:KJB62864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSFVSKARTAFNSAAAKAERVFTDFKPDFDSDKLLRKEFKNESLNEGESKSFDEVKNSRWRPSNLGTKQEWQERFRKLRIGRKGIEDTEKVEISIMAVPFYDENIYLLNMKNDTEAKLLEAIPSVDVLNTTNTNSIPPTSVLKQLAVAIEAGKNFKTVKDLLASFGNSSPSKEKPGLSFSTVKSLVIREKEDKLASGFGDDKKVLALINSLFDADGKFLQRKLVSDSNTCTPKIPFIKDIHGAPPHGFVVKLSEVIGSFRSLHKMALFWCRVVIELRRFWSEEKHLPGIPVNEIPDLNSCLLYQQLQVINCCLSRKRQHYIATESFDSEMMEAGSNFKESDVSKGTVSAGSALFARLKTGELVLRQGANQLIENLAMLETGEPMYSPITQEGPLLTEDLIRETEELVLRTGSVGAGCSRLLSDMQAFKAANPGCVLEDFVRWHSPPDWIEDEPSDKVPGARGQLSSRMQKGGNLWRELWETSKPVPAIKQTPLYDEDLAVLGFVLAEDKLSANENLSKLFYECKDYVVATCQRNTWNDKVDDLCQVYETVETMLVSPEEVIKAIKQADETPTQENESPTRGELKLRFLRLGLNFGNKDKQQKKTPTTTKEPKKNADENPSRPFASFFDTKSSLFAKMSPKAKNLPQVDKPPAIDESNLTVA >KJB62863 pep chromosome:Graimondii2_0_v6:9:69231811:69236379:1 gene:B456_009G440800 transcript:KJB62863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSFVSKARTAFNSAAAKAERVFTDFKPDFDSDKLLRKEFKNESLNEGESKSFDEVKNSRWRPSNLGTKQEWQERFRKLRIGRKGIEDTEKVEISIMAVPFYDENIYLLNMKNDTEAKLLEAIPSVDVLNTTNTNSIPPTSVLKQLAVAIEAGKNFKTVKDLLASFGNSSPSKEKPGLSFSTVKSLVIREKEDKLASGFGDDKKVLALINSLFDADGKFLQRKLVSDSNTCTPKIPFIKDIHGAPPHGFVVKLSEVIGSFRSLHKMALFWCRVVIELRRFWSEEKHLPGIPVNEIPDLNSCLLYQQLQVINCCLSRKRQHYIATESFDSEMMEAGSNFKESDVSKGTVSAGSALFARLKTGELVLRQGANQLIENLAMLETGEPMYSPITQEGPLLTEDLIRETEELVLRTGSVGAGCSRLLSDMQAFKAANPGCVLEDFVRWHSPPDWIEDEPSDKVPGARGQLSSRMQKGGNLWRELWETSKPVPAIKQTPLYDEDLAVSVWDLY >KJB62860 pep chromosome:Graimondii2_0_v6:9:69231681:69237264:1 gene:B456_009G440800 transcript:KJB62860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPFYDENIYLLNMKNDTEAKLLEAIPSVDVLNTTNTNSIPPTSVLKQLAVAIEAGKNFKTVKDLLASFGNSSPSKEKPGLSFSTVKSLVIREKEDKLASGFGDDKKVLALINSLFDADGKFLQRKLVSDSNTCTPKIPFIKDIHGAPPHGFVVKLSEVIGSFRSLHKMALFWCRVVIELRRFWSEEKHLPGIPVNEIPDLNSCLLYQQLQVINCCLSRKRQHYIATESFDSEMMEAGSNFKESDVSKGTVSAGSALFARLKTGELVLRQGANQLIENLAMLETGEPMYSPITQEGPLLTEDLIRETEELVLRTGSVGAGCSRLLSDMQAFKAANPGCVLEDFVRWHSPPDWIEDEPSDKVPGARGQLSSRMQKGGNLWRELWETSKPVPAIKQTPLYDEDLAVDGILNFFENIPISELFQQLFLALLSLGFVLAEDKLSANENLSKLFYECKDYVVATCQRNTWNDKVDDLCQVYETVETMLVSPEEVIKAIKQADETPTQENESPTRGELKLRFLRLGLNFGNKDKQQKKTPTTTKEPKKNADENPSRPFASFFDTKSSLFAKMSPKAKNLPQVDKPPAIDESNLTVA >KJB62861 pep chromosome:Graimondii2_0_v6:9:69231681:69237264:1 gene:B456_009G440800 transcript:KJB62861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPFYDENIYLLNMKNDTEAKLLEAIPSVDVLNTTNTNSIPPTSVLKQLAVAIEAGKNFKTVKDLLASFGNSSPSKEKPGLSFSTVKSLVIREKEDKLASGFGDDKKVLALINSLFDADGKFLQRKLVSDSNTCTPKIPFIKDIHGAPPHGFVVKLSEVIGSFRSLHKMALFWCRVVIELRRFWSEEKHLPGIPVNEIPDLNSCLLYQQLQVINCCLSRKRQHYIATESFDSEMMEAGSNFKESDVSKGTVSAGSALFARLKTGELVLRQGANQLIENLAMLETGEPMYSPITQEGPLLTEDLIRETEELVLRTGSVGAGCSRLLSDMQAFKAANPGCVLEDFVRWHSPPDWIEDEPSDKVPGARGQLSSRMQKGGNLWRELWETSKPVPAIKQTPLYDEDLAVSVWDLY >KJB62862 pep chromosome:Graimondii2_0_v6:9:69231681:69237384:1 gene:B456_009G440800 transcript:KJB62862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSFVSKARTAFNSAAAKAERVFTDFKPDFDSDKLLRKEFKNESLNEGESKSFDEVKNSRWRPSNLGTKQEWQERFRKLRIGRKGIEDTEKVEISIMAVPFYDENIYLLNMKNDTEAKLLEAIPSVDVLNTTNTNSIPPTSVLKQLAVAIEAGKNFKTVKDLLASFGNSSPSKEKPGLSFSTVKSLVIREKEDKLASGFGDDKKVLALINSLFDADGKFLQRKLVSDSNTCTPKIPFIKDIHGAPPHGFVVKLSEVIGSFRSLHKMALFWCRVVIELRRFWSEEKHLPGIPVNEIPDLNSCLLYQQLQVINCCLSRKRQHYIATESFDSEMMEAGSNFKESDVSKGTVSAGSALFARLKTGELVLRQGANQLIENLAMLETGEPMYSPITQEGPLLTEDLIRETEELVLRTGSVGAGCSRLLSDMQAFKAANPGCVLEDFVRWHSPPDWIEDEPSDKVPGARGQLSSRMQKGGNLWRELWETSKPVPAIKQTPLYDEDLAVDGILNFFENIPISELFQQLFLALLSLGFVLAEDKLSANENLSKLFYECKDYVVATCQRNTWNDKVDDLCQVYETVETMLVSPEEVIKAIKQADETPTQENESPTRGELKLRFLRLGLNFGNKDKQQKKTPTTTKEPKKNADENPSRPFASFFDTKSSLFAKMSPKAKNLPQVDKPPAIDESNLTVA >KJB61174 pep chromosome:Graimondii2_0_v6:9:40863164:40865216:-1 gene:B456_009G344300 transcript:KJB61174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMSPLKPHPNSPSPTKKLLPFALYALLPIALLRFYFHPFHHLPPNNPTILTKEEEIVYETPCDYTDGRWVHDKMGPLYNGTTCGTIKDGQNCISHGRPDFDYLYWRWRPSQCKLPRFNPNTFLHLLSNKHIAFVGDSMARNQLESLLCMLATASNPNLVYRDGEDNKFRTWHFASHNISISVYWSPFLVKGVEKSKAGPNHNELYVDTVDEKWGSDLDHIDMILLSIGHWFLHPAVYYEGGLVLGCHYCPGLNHTEIGFYDVMRKALKTALKTIIERKGANGNGIDVFLATFSPSHFEGEWDKAGACPKTKPYKEGEKMLEGMDADMRAIEVEEIEAVKVNAKQIEGLRIEMLDVTKLSLMRPDGHPGPYMYPFPFANGVRERVQNDCVHWCLPGPVDTWNQILLEVTRKWSIQSRRKE >KJB57198 pep chromosome:Graimondii2_0_v6:9:11696328:11697029:-1 gene:B456_009G153100 transcript:KJB57198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSRIPRFVMEVAPPQFITVMRHRTRKMLDTISEEDKDGSSYNDSLSAASKASATVPVGAMPAAAANTVTANSKYILKGLSSFSNFNN >KJB55894 pep chromosome:Graimondii2_0_v6:9:7231855:7236662:1 gene:B456_009G100000 transcript:KJB55894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKFHEVTARVEQALSGISYENLDISDEVKEQVELVLAQFRRAKGRVDVPDVELYEDLLSLYNKSNDAAADPDVLRRLAEKLQLVGIAELTQESLALHEMVSASCGDPGETFEKMSNLLKIIKDFVQTENPNLDASAREKNLPSSSGQATTDGNHKTPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIEKWLEQGHGTCPKTQQTLSSPALTPNYVLRSLIAQWCEANGIEPPKRPGSSRPNKTTSACSPAERTKIEILLRKLASSSPEDQRMAAGEIRLLAKRNADNRVAIAEAGAIPLLVTLLSTPDSRTQEHAVTALLNLSICEENKGSIISFGAVPGIVQVLKKGSMEARENAAAALFSLSVVDENKVTIGASGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVPTLMRLLTEPGGGMVDEALAILAILSSHPEGKSAIGAAEAVPVLVDVIGNGSPRNRENAAAVLVHLCAGDQQHLAEAQELGVMGPLMDLAQNGTDRGKRKAAQLLERMSRFVEQQKLAQAQAGAQAQQSQSQSQSEIQQPHPPSVAYTVDR >KJB55893 pep chromosome:Graimondii2_0_v6:9:7231792:7236662:1 gene:B456_009G100000 transcript:KJB55893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKGELVRSLTDAVNQIAPIGDYRCAVKKEFCNLARRLKLLTPMFEEIRESKEQIPEETVKALVSLKEALVSAKELLRFGSEGSKIYLVLERDQIMHKFHEVTARVEQALSGISYENLDISDEVKEQVELVLAQFRRAKGRVDVPDVELYEDLLSLYNKSNDAAADPDVLRRLAEKLQLVGIAELTQESLALHEMVSASCGDPGETFEKMSNLLKIIKDFVQTENPNLDASAREKNLPSSSGQATTDGNHKTPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIEKWLEQGHGTCPKTQQTLSSPALTPNYVLRSLIAQWCEANGIEPPKRPGSSRPNKTTSACSPAERTKIEILLRKLASSSPEDQRMAAGEIRLLAKRNADNRVAIAEAGAIPLLVTLLSTPDSRTQEHAVTALLNLSICEENKGSIISFGAVPGIVQVLKKGSMEARENAAAALFSLSVVDENKVTIGASGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVPTLMRLLTEPGGGMVDEALAILAILSSHPEGKSAIGAAEAVPVLVDVIGNGSPRNRENAAAVLVHLCAGDQQHLAEAQELGVMGPLMDLAQNGTDRGKRKAAQLLERMSRFVEQQKLAQAQAGAQAQQSQSQSQSEIQQPHPPSVAYTVDR >KJB55895 pep chromosome:Graimondii2_0_v6:9:7231855:7236627:1 gene:B456_009G100000 transcript:KJB55895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKFHEVTARVEQALSGISYENLDISDEVKEQVELVLAQFRRAKGRVDVPDVELYEDLLSLYNKSNDAAADPDVLRRLAEKLQLVGIAELTQESLALHEMVSASCGDPGETFEKMSNLLKIIKDFVQTENPNLDASAREKNLPSSSGQATTDGNHKTPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIEKWLEQGHGTCPKTQQTLSSPALTPNYVLRSLIAQWCEANGIEPPKRPGSSRPNKTTSACSPAERTKIEILLRKLASSSPEDQRMAAGEIRLLAKRNADNRVAIAEAGAIPLLVTLLSTPDSRTQEHAVTALLNLSICEENKGSIISFGAVPGIVQVLKKGSMEARENAAAALFSLSVVDENKVTIGASGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVPTLMRLLTEPGGGMVDEALAILAILSSHPEGKSAIGAAEAVPVLVDVIGNGSPRNRENAAAVLVHLCAGDQQHLAEAQELGVMGPLMDLAQNGTDRGKRKAAQLLERMSRFVEQQKLAQAQAGAQAQQSQSQSQSEIQQPHPPSVAYTVDR >KJB55896 pep chromosome:Graimondii2_0_v6:9:7234017:7236577:1 gene:B456_009G100000 transcript:KJB55896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASCGDPGETFEKMSNLLKIIKDFVQTENPNLDASAREKNLPSSSGQATTDGNHKTPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIEKWLEQGHGTCPKTQQTLSSPALTPNYVLRSLIAQWCEANGIEPPKRPGSSRPNKTTSACSPAERTKIEILLRKLASSSPEDQRMAAGEIRLLAKRNADNRVAIAEAGAIPLLVTLLSTPDSRTQEHAVTALLNLSICEENKGSIISFGAVPGIVQVLKKGSMEARENAAAALFSLSVVDENKVTIGASGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVPTLMRLLTEPGGGMVDEALAILAILSSHPEGKSAIGAAEAVPVLVDVIGNGSPRNRENAAAVLVHLCAGDQQHLAEAQELGVMGPLMDLAQNGTDRGKRKAAQLLERMSRFVEQQKLAQAQAGAQAQQSQSQSQSEIQQPHPPSVAYTVDR >KJB57386 pep chromosome:Graimondii2_0_v6:9:12327902:12330764:1 gene:B456_009G160700 transcript:KJB57386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRAEDDYDFLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLNVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLRELRDHTDPNIVVMLIGNKSDLRHLVAVPTEDAKSFAEKESLYFMETSALEATNVENAFAEVLTQIYHIVSKKAIETSDEGAASAVPSKGEKIDVSKDVSAMKKGGCCSS >KJB57385 pep chromosome:Graimondii2_0_v6:9:12327952:12330750:1 gene:B456_009G160700 transcript:KJB57385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRAEDDYDFLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLNVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLRELRDHTDPNIVVMLIGNKSDLRHLVAVPTEDAKSFAEKESLYFMETSALEATNVENAFAEVLTQIYHIVSKKAIETSDEGAASAVPSKGEKIDVSKDVSAMKKGGCCSS >KJB54931 pep chromosome:Graimondii2_0_v6:9:3993379:3997984:1 gene:B456_009G054900 transcript:KJB54931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVGKIKQYSNILEKPLSKGKQEVSLSAFAFLFSELVQYNQTRVDNIAELERRLEDAGYAVGARVLELLCHRDKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKDLLVNKYISIPKDMGTFNCGAFVAGIVRGVLDGAGFPAVVTAHFVPMEGLQRPRTTILIKFAEEVLQREARLG >KJB54932 pep chromosome:Graimondii2_0_v6:9:3993433:3997896:1 gene:B456_009G054900 transcript:KJB54932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVGKIKQYSNILEKPLSKGKQEVSLSAFAFLFSELVQYNQTRVDNIAELERRLEDAGYAVGARVLELLCHRDKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKDLLVNKYISIPKDMGTFNCGAFVAGIVRGVLDGAGFPAVVTAHFVPMEGLQRPRTTILIKFAEEVLQREARLG >KJB61180 pep chromosome:Graimondii2_0_v6:9:40954036:40956302:-1 gene:B456_009G344500 transcript:KJB61180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSCSLVSIHPPSTPAKIPTSTILILRNAGLILNPPPPPPSFSTLSSKPISVKPFLSALRASHSQKYVYHDPIPKFAEAETQKFKAELFNKLSKDKDKFGDDLDSVIDVCVKIFNDFLHNEYGGPGTLLVEPFTDMFVALKEKKLPGAPVAARASLLWAQNHLDHDWEVWNSNSPK >KJB61181 pep chromosome:Graimondii2_0_v6:9:40953743:40956390:-1 gene:B456_009G344500 transcript:KJB61181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSCSLVSIHPPSTPAKIPTSTILILRNAGLILNPPPPPPSFSTLSSKPISVKPFLSALRASHSQKYVYHDPIPKFAEAKFKAELFNKLSKDKDKFGDDLDSVIDVCVKIFNDFLHNEYGGPGTLLVEPFTDMFVALKEKKLPGAPVAARASLLWAQNHLDHDWEVWNSNSPK >KJB61179 pep chromosome:Graimondii2_0_v6:9:40953743:40956302:-1 gene:B456_009G344500 transcript:KJB61179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSCSLVSIHPPSTPAKIPTSTILILRNAGLILNPPPPPPSFSTLSSKPISVKPFLSALRASHSQKYVYHDPIPKFAEAIFNDFLHNEYGGPGTLLVEPFTDMFVALKEKKLPGAPVAARASLLWAQNHLDHDWEVWNSNSPK >KJB61178 pep chromosome:Graimondii2_0_v6:9:40953108:40956302:-1 gene:B456_009G344500 transcript:KJB61178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSCSLVSIHPPSTPAKIPTSTILILRNAGLILNPPPPPPSFSTLSSKPISVKPFLSALRASHSQKYVYHDPIPKFAEAETQKFKAELFNKLSKDKDKFGDDLDSVIDVCVKIFNDFLHNEYGGPGTLLVEPFTDMFVALKEKKLPGAPVAARASLLWAQNHLDHDWEIA >KJB58296 pep chromosome:Graimondii2_0_v6:9:15754056:15755327:-1 gene:B456_009G203100 transcript:KJB58296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLGAISSWVPKIPALFLYNNNRCASRSLVFKPVCWNRPSVFSTSPRSLLTMAQADQISNSPEIKEPSPKVPKLGQNGVHEVTHGPASLLKVKKLSEKAVLPSRGSPLAAGYDLSSATDTKVPARGKALIPTDLSIAIPEGTYGRVAPRSGLAWKHSIDVGAGVIDADYRGPLGVILFNHSDVDFEVKVGDRISQLIIEKIMTPDVLEVDDLDSTTRGAGGFGSTGV >KJB60690 pep chromosome:Graimondii2_0_v6:9:30720588:30726118:-1 gene:B456_009G320000 transcript:KJB60690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVVASAGEDKKISLWRKNGQRMWTVPVAGTDCGDNIEESILAISFSNKGSRYICSGGSGQVVQIWDLQRKRCIKWLRGHTSTITGVMYNCKDEHLASISQSGDLILHNLASGARAAELKDPNEQVLSVLDYSRISRHILVTAGDDGSIHLWDTTGRSPKVSWLKQHSAPTTGISFSPSNDKIIATVGLDKKLYTYDTGSRRPSAFISHEAPFTSLAFRDDGWTLAAGTNNGRVAFYDLRGKLQPFTVLRAYSSSEAVSSLCWQRSKPVIVNESTCAAETALLGGPVEDSVLMPDPLPSVTSSSLSVSTAISGGRSGPAEVSFLTSSSESVSSTGNLSSSMETPHRSHLWPGGTLTRLHAPHSKYNLKDDMDVFSPVVDVQPITPSLDKLWDIHEGAKKEHLLADKSPSSLLFPSSRRFAFADDGAGDHPIFDWKSSSMYQQDDKRSLTSLGSMPTPSSKSEEASITPPEAWGGDKISDKFAHLRHLPSRFGMEASGGLTTSSTYSGQTQSSMLSQTSISSLTSYDISYENLRTKDVSSSQETSLGFPEHLSSSMSALSLGSKGITGAGSLDSPKLASLGLPRRFSTYAERISTTSAFSDGTSQLVVSPKTKKTGAETREELLNSLLLRSDSFTGAESGILPAMNGGTQLHKAPQPDPQQGSNFTLQLFQRTLEETLDSFQKSIHGDMRNLHIEILRQFHMQEMEMSRVMSSILQNQAELMEEVKSLRKENQQLRQLL >KJB60692 pep chromosome:Graimondii2_0_v6:9:30720588:30726323:-1 gene:B456_009G320000 transcript:KJB60692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRPYPSLELLAASGGDTVKIFDIKLEPNDPCVLSYSPSPSCFVNSVRWNHTNLVVASAGEDKKISLWRKNGQRMWTVPVAGTDCGDNIEESILAISFSNKGSRYICSGGSGQVVQIWDLQRKRCIKWLRGHTSTITGVMYNCKDEHLASISQSGDLILHNLASGARAAELKDPNEQVLSVLDYSRISRHILVTAGDDGSIHLWDTTGRSPKVSWLKQHSAPTTGISFSPSNDKIIATVGLDKKLYTYDTGSRRPSAFISHEAPFTSLAFRDDGWTLAAGTNNGRVAFYDLRGKLQPFTVLRAYSSSEAVSSLCWQRSKPVIVNESTCAAETALLGGPVEDSVLMPDPLPSVTSSSLSVSTAISGGRSGPAEVSFLTSSSESVSSTGNLSSSMETPHRSHLWPGGTLTRLHAPHSKYNLKDDMDVFSPVVDVQPITPSLDKLWDIHEGAKKEHLLADKSPSSLLFPSSRRFAFADDGAGDHPIFDWKSSSMYQQDDKRSLTSLGSMPTPSSKSEEASITPPEAWGGDKISDKFAHLRHLPSRFGMEASGGLTTSSTYSGQTQSSMLSQTSISSLTSYDISYENLRTKDVSSSQETSLGFPEHLSSSMSALSLGSKGITGAGSLDSPKLASLGLPRRFSTYAERISTTSAFSDGTSQLVVSPKTKKTGAETREELLNSLLLRSDSFTGAESGILPAMNGGTQLHKAPQPDPQQGSNFTLQLFQRTLEETLDSFQKSIHGDMRNLHIEILRQFHMQEMEMSRVMSSILQNQAELMEEVKSLRKENQQLRQLL >KJB60691 pep chromosome:Graimondii2_0_v6:9:30720588:30725801:-1 gene:B456_009G320000 transcript:KJB60691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVVASAGEDKKISLWRKNGQRMWTVPVAGTDCGDNIEESILAISFSNKGSRYICSGGSGQVVQIWDLQRKRCIKWLRGHTSTITGVMYNCKDEHLASISQSGDLILHNLASGARAAELKDPNEQVLSVLDYSRISRHILVTAGDDGSIHLWDTTGRSPKVSWLKQHSAPTTGISFSPSNDKIIATVGLDKKLYTYDTGSRRPSAFISHEAPFTSLAFRDDGWTLAAGTNNGRVAFYDLRGKLQPFTVLRAYSSSEAVSSLCWQRSKPVIVNESTCAAETALLGGPVEDSVLMPDPLPSVTSSSLSVSTAISGGRSGPAEVSFLTSSSESVSSTGNLSSSMETPHRSHLWPGGTLTRLHAPHSKYNLKDDMDVFSPVVDVQPITPSLDKLWDIHEGAKKEHLLADKSPSSLLFPSSRRFAFADDGAGDHPIFDWKSSSMYQQDDKRSLTSLGSMPTPSSKSEEASITPPEAWGGDKISDKFAHLRHLPSRFGMEASGGLTTSSTYSGQTQSSMLSQTSISSLTSYDISYENLRTKDVSSSQETSLGFPEHLSSSMSALSLGSKGITGAGSLDSPKLASLGLPRRFSTYAERISTTSAFSDGTSQLVVSPKTKKTGAETREELLNSLLLRSDSFTGAESGILPAMNGGTQLHKAPQPDPQQGSNFTLQLFQRTLEETLDSFQKSIHGDMRNLHIEILRQFHMQEMEMSRVMSSILQNQAELMEEVKSLRKENQQLRQLL >KJB55179 pep chromosome:Graimondii2_0_v6:9:4813890:4819066:1 gene:B456_009G067600 transcript:KJB55179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g10690 [Source:Projected from Arabidopsis thaliana (AT5G10690) UniProtKB/Swiss-Prot;Acc:Q8VYD6] MPHLHAIFPLPSNSKPFRHSNIFSSSSSSFSSSAPIRRRLPRTFSPSKHPNLKRLTSRIVQLTRRRQLHQILEETENAKREYGKLNTIVMNAVMEACVHCGDVDLALNIFHQMIQPHSCGVDTVTYATLLKGLGRARRIDDAFQLLESVEKGTAAGKPKLSTQLIYGLLDALIEAGDLRRANGLLARFGFLLREGGSSSILTYNLLMKGYINTGCPQAAINLHEEIQLLGLEPDRLTYNTLIFACVKAENLEAAMRFFKEMKDKAQRLCCSDLYPDVITYTTLLKGFGHAKDLHSVQKIVLEMKSCHDLFIDRTAFTAMVDAMLNCGSIKGALCIFGEILKQAGANVDLRPKPHLYLSMMRAFADRGDYNMVKNLHERLWPDSAGTISLAAQQEADHLLMESALNNGQIDAAVENLTKIINRWKCISWTSRGGMVALRIEVLLGFNKSMLSPYLLPQVLPGNPIERIMLPLETVRPLSGSLELKKVVMRLYREPVVPIIDDWGSCIGLLHREDCCEINAPLSTMMRSPPPCVTTTTSIGHVVDLVLKKKYKMVIVVKHSNLNGTTHGSRAVGVFTAEQLHNLVAPVPEGLKQKHTVRRSLTMF >KJB55180 pep chromosome:Graimondii2_0_v6:9:4813959:4818934:1 gene:B456_009G067600 transcript:KJB55180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g10690 [Source:Projected from Arabidopsis thaliana (AT5G10690) UniProtKB/Swiss-Prot;Acc:Q8VYD6] MPHLHAIFPLPSNSKPFRHSNIFSSSSSSFSSSAPIRRRLPRTFSPSKHPNLKRLTSRIVQLTRRRQLHQILEETENAKREYGKLNTIVMNAVMEACVHCGDVDLALNIFHQMIQPHSCGVDTVTYATLLKGLGRARRIDDAFQLLESVEKGTAAGKPKLSTQLIYGLLDALIEAGDLRRANGLLARFGFLLREGGSSSILTYNLLMKDKAQRLCCSDLYPDVITYTTLLKGFGHAKDLHSVQKIVLEMKSCHDLFIDRTAFTAMVDAMLNCGSIKGALCIFGEILKQAGANVDLRPKPHLYLSMMRAFADRGDYNMVKNLHERLWPDSAGTISLAAQQEADHLLMESALNNGQIDAAVENLTKIINRWKCISWTSRGGMVALRIEVLLGFNKSMLSPYLLPQVLPGNPIERIMLPLETVRPLSGSLELKKVVMRLYREPVVPIIDDWGSCIGLLHREDCCEINAPLSTMMRSPPPCVTTTTSIGHVVDLVLKKKYKMVIVVKHSNLNGTTHGSRAVGVFTAEQLHNLVAPVPEGLKQKHTVRRSLTMF >KJB55789 pep chromosome:Graimondii2_0_v6:9:6885509:6890218:-1 gene:B456_009G094400 transcript:KJB55789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELLASIHCYFWSPSRTICLWMSLVLLLQYSKTVVSKSDYLIGLGSYDITGPAADVNMMGYANAEQIASGIHFRLRARSFIVAEPQGKRVVFVNLDACMASQLVTIKVIERLKARYGELYTEQNVAISGIHTHAGPGGYLQYVVYLITSLGFVRQSFDVLVDGIEKSIIQAHENLRPGSIFVNKGELLDASVNRSPSAYLNNPASERRKHKYDVDKEMTLLKFVDDQWGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFEKNGIKSSDTNESGSDGLPRRVSNIIPNIHDDNNKLLELATSFRSSPGSPATQTMSVARRVRGALKQAEKPGFVSAFCQTNCGDVSPNVLGAFCTDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFRKAVDLFEKASEQLKGEVDYRHTYIDFSQLEVTLTKVGGGSEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDQGNPFWRLVRDVLKTPDKKQVDCQHPKPILLDTGEMKQPYDWAVSSNGIIGAILVRWSLHKVQLIPLHELYLDA >KJB55790 pep chromosome:Graimondii2_0_v6:9:6885509:6890218:-1 gene:B456_009G094400 transcript:KJB55790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELLASIHCYFWSPSRTICLWMSLVLLLQYSKTVVSKSDYLIGLGSYDITGPAADVNMMGYANAEQIASGIHFRLRARSFIVAEPQGKRVVFVNLDACMASQLVTIKVIERLKARYGELYTEQNVAISGIHTHAGPGGYLQYVVYLITSLGFVRQSFDVLVDGIEKSIIQAHENLRPGSIFVNKGELLDASVNRSPSAYLNNPASERRKHKYDVDKEMTLLKFVDDQWGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFEKNGIKSSDTNESGSDGLPRRVSNIIPNIHDDNNKLLELATSFRSSPGSPATQTMSVARRVRGALKQAEKPGFVSAFCQTNCGDVSPNVLGAFCTDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFRKAVDLFEKASEQLKGEVDYRHTYIDFSQLEVTLTKVGGGSEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDQGNPFWRLVRDVLKTPDKKQVDCQHPKPILLDTGEMKQPYDWAPSVLPIQILRIGQFVILSVPGGKFTTMSGRRLRNAVKTVLTRSGNGEFGSNTHVVIAGLTNTYSQYVATLEEYQVQRYEGASTLYGPHTLSAYIQEFQKLATALIKGQPVEAGMPPPDLLQKQISLLTPVIMDSTPAGKNFGDVSSDVPANSTFKRGSTVTVVFWSSCPRNDLLTEGTFALVEMLQGKDTWTPMYDDDDFCLRFKWSRPSKLSPQSKATIEWIIPSSSSPGVYRIRHFGASKGLMGSIRHFTGSSSAFVVA >KJB55788 pep chromosome:Graimondii2_0_v6:9:6885509:6890144:-1 gene:B456_009G094400 transcript:KJB55788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNASMQGHELSAAWCTYLFMMELLASIHCYFWSPSRTICLWMSLVLLLQYSKTVVSKSDYLIGLGSYDITGPAADVNMMGYANAEQIASGIHFRLRARSFIVAEPQGKRVVFVNLDACMASQLVTIKVIERLKARYGELYTEQNVAISGIHTHAGPGGYLQYVVYLITSLGFVRQSFDVLVDGIEKSIIQAHENLRPGSIFVNKGELLDASVNRSPSAYLNNPASERRKHKYDVDKEMTLLKFVDDQWGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFEKNGIKSSDTNESGSDGLPRRVSNIIPNIHDDNNKLLELATSFRSSPGSPATQTMSVARRVRGALKQAEKPGFVSAFCQTNCGDVSPNVLGAFCTDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFRKAVDLFEKASEQLKGEVDYRHTYIDFSQLEVTLTKVGGGSEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDQGNPFWRLVRDVLKTPDKKQVDCQHPKPILLDTGEMKQPYDWAPSVLPIQILRIGQFVILSVPGEFTTMSGRRLRNAVKTVLTRSGNGEFGSNTHVVIAGLTNTYSQYVATLEEYQVQRYEGASTLYGPHTLSAYIQEFQKLATALIKGQPVEAGMPPPDLLQKQISLLTPVIMDSTPAGKNFGDVSSDVPANSTFKRGSTVTVVFWSSCPRNDLLTEGTFALVEMLQGKDTWTPMYDDDDFCLRFKWSRPSKLSPQSKATIEWIIPSSSSPGVYRIRHFGASKGLMGSIRHFTGSSSAFVVA >KJB55787 pep chromosome:Graimondii2_0_v6:9:6885442:6890293:-1 gene:B456_009G094400 transcript:KJB55787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELLASIHCYFWSPSRTICLWMSLVLLLQYSKTVVSKSDYLIGLGSYDITGPAADVNMMGYANAEQIASGIHFRLRARSFIVAEPQGKRVVFVNLDACMASQLVTIKVIERLKARYGELYTEQNVAISGIHTHAGPGGYLQYVVYLITSLGFVRQSFDVLVDGIEKSIIQAHENLRPGSIFVNKGELLDASVNRSPSAYLNNPASERRKHKYDVDKEMTLLKFVDDQWGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFEKNGIKSSDTNESGSDGLPRRVSNIIPNIHDDNNKLLELATSFRSSPGSPATQTMSVARRVRGALKQAEKPGFVSAFCQTNCGDVSPNVLGAFCTDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFRKAVDLFEKASEQLKGEVDYRHTYIDFSQLEVTLTKVGGGSEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDQGNPFWRLVRDVLKTPDKKQVDCQHPKPILLDTGEMKQPYDWAPSVLPIQILRIGQFVILSVPGEFTTMSGRRLRNAVKTVLTRSGNGEFGSNTHVVIAGLTNTYSQYVATLEEYQVQRYEGASTLYGPHTLSAYIQEFQKLATALIKGQPVEAGMPPPDLLQKQISLLTPVIMDSTPAGKNFGDVSSDVPANSTFKRGSTVTVVFWSSCPRNDLLTEGTFALVEMLQGKDTWTPMYDDDDFCLRFKWSRPSKLSPQSKATIEWIIPSSSSPGVYRIRHFGASKGLMGSIRHFTGSSSAFVVA >KJB61603 pep chromosome:Graimondii2_0_v6:9:49671806:49672617:1 gene:B456_009G369200 transcript:KJB61603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDELTYGISCNCLPIMTAIQVTTQNSLNIETENTVLRAELLELNARLQSLNETVSISLMKESNDGEGGRIQS >KJB61602 pep chromosome:Graimondii2_0_v6:9:49670886:49672617:1 gene:B456_009G369200 transcript:KJB61602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTKEFIGKPVSLGMLKAENRRLEDRNRRRQIEQSINEYKRESAQIMTAIQVTTQNSLNIETENTVLRAELLELNARLQSLNETVSISLMKESNDGEGGRIQS >KJB53394 pep chromosome:Graimondii2_0_v6:9:56033968:56035720:1 gene:B456_009G3977001 transcript:KJB53394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIPKTLMPREITGETVGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYNSLLSFIDKAVDEGFISPISRRIIVSAPTAKQLVRQLEEYVPEYDEITSKLVWDEVDRLSYVPESGVAT >KJB53392 pep chromosome:Graimondii2_0_v6:9:56033771:56035720:1 gene:B456_009G3977001 transcript:KJB53392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWNLAISYILIGVIPKTLMPREITGETVGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYNSLLSFIDKAVDEGFISPISRRIIVSAPTAKQLVRQLEEYVPEYDEITSKLVWDEVDRLSYVPESGVAT >KJB53393 pep chromosome:Graimondii2_0_v6:9:56034031:56035265:1 gene:B456_009G3977001 transcript:KJB53393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREITGETVGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYNSLLSFIDKAVDEGFISPISRRIIVSAPTAKQLVRQLEEYVPEYDEITSKLVWDEVDRLSYVPESGVAT >KJB59463 pep chromosome:Graimondii2_0_v6:9:21093661:21094254:-1 gene:B456_009G255800 transcript:KJB59463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNQLLLVLVIFLSIFSLSSLPTSAIIPKANVSLPIPSSQLVEKLCNSKAVQNRRFCLKALSTPEVIAAKHTTQLGTLIMKLGAANAKATLNVYNEIIKKPGSPQALNALNCCVEAYKYAILSFEMVSSELVEDPQTANYDVAVIGPEIANCEKELINAKVQAPRLLAGNRFMKYYVSMGYEITSTLELENQNEY >KJB59444 pep chromosome:Graimondii2_0_v6:9:20954101:20956113:1 gene:B456_009G254900 transcript:KJB59444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSISASATVPSLSRRSSTQLSPYYCSSKLSFSSSLRFQPIRIVNRSVSSRYRPLQVEAKKQTFNSFDDLLANSDKPVLVDFYATWCGPCQFMVPVLNEVSATLKDKIQVVKIDTEKYPSIADKYNIQGLPTFIIFKDGKPLDRFEGALGADQLIQRIETSLSVKQ >KJB59446 pep chromosome:Graimondii2_0_v6:9:20954201:20956108:1 gene:B456_009G254900 transcript:KJB59446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSISASATVPSLSRRSSTQLSPYYCSSKLSFSSSLRFQPIRIVNRSVSSRYRPLQVEAKKQTFNSFDDLLANSDKPVLVDFYATWWFEFCRCGPCQFMVPVLNEVSATLKDKIQVVKIDTEKYPSIADKYNIQGLPTFIIFKDGKPLDRFEGALGADQLIQRIETSLSVKQ >KJB59445 pep chromosome:Graimondii2_0_v6:9:20954101:20956113:1 gene:B456_009G254900 transcript:KJB59445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSISASATVPSLSRRSSTQLSPYYCSSKLSFSSSLRFQPIRIVNRSVSSRYRPLQVEAKKQTFNSFDDLLANSDKPVLVDFYATWCGPCQFMVPVLNEVSATLKDKIQVVKIDTEKYPSIADKYNIQGLPTFIIFKDGKPLDRFVRCLGC >KJB59443 pep chromosome:Graimondii2_0_v6:9:20954101:20956108:1 gene:B456_009G254900 transcript:KJB59443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSISASATVPSLSRRSSTQLSPYYCSSKLSFSSSLRFQPIRIVNRSVSSRYRPLQVEAKKQTFNSFDDLLANSDKPVLVDFYATWCGPCQFMVPVLNEVSATLKDKIQVVKIDTEKYPSIADKYNIQGLPTFIIFKDGKPLDRFVRGCLGC >KJB59440 pep chromosome:Graimondii2_0_v6:9:20954091:20956253:1 gene:B456_009G254900 transcript:KJB59440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSISASATVPSLSRRSSTQLSPYYCSSKLSFSSSLRFQPIRIVNRSVSSRYRPLQVEAKKQTFNSFDDLLANSDKPVLVDFYATWCGPCQFMVPVLNEVSATLKDKIQVVKIDTEKYPSIADKYNIQGLPTFIIFKDGKPLDRFEGALGADQLIQRIETSLSVKQ >KJB59447 pep chromosome:Graimondii2_0_v6:9:20954201:20956113:1 gene:B456_009G254900 transcript:KJB59447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSISASATVPSLSRRSSTQLSPYYCSSKLSFSSSLRFQPIRIVNRSVSSRYRPLQVEAKKQTFNSFDDLLANSDKPVLVDFYATWWFEFCRCGPCQFMVPVLNEVSATLKDKIQVVKIDTEKYPSIADKYNIQGLPTFIIFKDGKPLDRFEGALGADQLIQRIETSLSVKQ >KJB59442 pep chromosome:Graimondii2_0_v6:9:20954101:20955713:1 gene:B456_009G254900 transcript:KJB59442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSISASATVPSLSRRSSTQLSPYYCSSKLSFSSSLRFQPIRIVNRSVSSRYRPLQVEAKKQTFNSFDDLLANSDKPVLVDFYATWCGPCQFMVPVLNEVSATLKDKIQVVKIDTEKYPSIADKYNIQGLPTFIIFKDGKPLDRFVS >KJB59441 pep chromosome:Graimondii2_0_v6:9:20954083:20956241:1 gene:B456_009G254900 transcript:KJB59441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSISASATVPSLSRRSSTQLSPYYCSSKLSFSSSLRFQPIRIVNRSVSSRYRPLQVEAKKQTFNSFDDLLANSDKPVLVDFYATWCGPCQFMVPVLNEVSATLKDKIQVVKIDTEKYPSIADKYNIQGLPTFIIFKDGKPLDRFEGALGADQLIQRIETSLSVKQ >KJB58574 pep chromosome:Graimondii2_0_v6:9:16771643:16773032:-1 gene:B456_009G215800 transcript:KJB58574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFIALALLAHALPIECENLLKHKLNIIILAGQSNMAGRGGVANETSTGIGTWDGVVPPQCQPNPSIFRLSADLAWVKAREPIHSDIDARKTDGVGPGMSFANAVLTKDPNFGVVGLVPCAVGGTTISQWQKGEFLYEQLVKRAEMAQQSGGVYRAMLWYQGEADTVNEEDVELYKGRLKTFFDDLRSDLHAPLLPIFQVILASGEGPYIEEVREAQLNIGLPNVKCVDAKGLPLEPDDLHLTTQAQVRLGEMLADAYLRFKPRSLQSNNVPTPCSNFVPFFIITAQFLWIILTFS >KJB58573 pep chromosome:Graimondii2_0_v6:9:16771480:16773211:-1 gene:B456_009G215800 transcript:KJB58573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFIALALLAHALPIECENLLKHKLNIIILAGQSNMAGRGGVANETSTGIGTWDGVVPPQCQPNPSIFRLSADLAWVKAREPIHSDIDARKTDGVGPGMSFANAVLTKDPNFGVVGLVPCAVGGTTISQWQKGEFLYEQLVKRAEMAQQSGGVYRAMLWYQGEADTVNEEDVELYKGRLKTFFDDLRSDLHAPLLPIFQHQERDLILRK >KJB56589 pep chromosome:Graimondii2_0_v6:9:9825322:9829108:-1 gene:B456_009G130600 transcript:KJB56589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIGDTVDSIKSIQIRQLLTQAVSLGMIVTSALIIWKALMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGREIPIVHRVIKVHERQDTGEVDVLTKGDNNYGDDRLLYAQGQQWLHRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >KJB56590 pep chromosome:Graimondii2_0_v6:9:9825439:9828903:-1 gene:B456_009G130600 transcript:KJB56590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIGDTVDSIKSIQIRQLLTQAVSLGMIVTSALIIWKALMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGREIPIVHRVIKILEKLMSSPKETTIMGMTGFYMLKVSNGFIGTISWAELWGSCLMLVG >KJB59883 pep chromosome:Graimondii2_0_v6:9:23544503:23549391:-1 gene:B456_009G279200 transcript:KJB59883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQSQPMNVPAPVTSSGVDVRDDVSASASVDNHQNVSYDAHPLNNGVGVEGVHTDPIYATAEAASAADLAIVQRVDDASQLTLSFRGQVYVFDAITPNKFHAVLLLLGGCELTSGPHGVEMSSQNLRSGVDFPRSNQPHRAASLDRFRQKRKERCFDKKVRYGVRQEVALRCTHCGISSNSTPMMRRGPSGPRSLCNACGLFWANKGTLRDLPKKTRDHSVPPVEHRDSEANDSDSGTAIPTQSNIVSV >KJB59884 pep chromosome:Graimondii2_0_v6:9:23545071:23549205:-1 gene:B456_009G279200 transcript:KJB59884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQSQPMNVPAPVTSSGVDVRDDVSASASVDNHQNVSYDAHPLNNGVGVEGVHTDPIYATAEAASAADLAIVQRVDDASQLTLSFRGQVYVFDAITPNKFHAVLLLLGGCELTSGPHGVEMSSQNLRSGVDFPRSNQPHRAASLDRFRQKRKERCFDKKVRYGVRQEVALRMQRNKGQFTSSKKSDRTDSCSTQDNDNLPDSSCTHCGISSNSTPMMRRGPSGPRSLCNACGLFWANKGTLRDLPKKTRDHSVPPVEHRDSEANDSDSGTAIPTQSNIVSV >KJB61554 pep chromosome:Graimondii2_0_v6:9:48933956:48937381:1 gene:B456_009G3658002 transcript:KJB61554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRNLNSDQFALLEFKDRIAGPQNVLANNWKASTSVCNWIGVSCGIFHKRVIALNLTSMNLRGTIPPHLGNLSFLLSLDLSSNHFYGHLPEELGQLHRLRILQLRYNRLNGEIPSWLGNLQRVRRLEMINNNFTGTIPETLVNMSNLEILNLGLNQLSGQVPSSIFKISSLKAISLSSNSLSSSLPNDMCQHLPKLEGLYLSSNELSGNIPFGMGKCNNLKNLSLSYNQFTGIIPRSIGNLIQLEELYLGFNNLEGDNESRNVLDVFFLGKAVAEALNGRIESTVGEFLSVFGRLQVEQQKQVQDFQ >KJB53775 pep chromosome:Graimondii2_0_v6:9:419779:421115:-1 gene:B456_009G004600 transcript:KJB53775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGNTFDGSTATYAIVIIAVIIIKVVILVYVCRKMSQRQSSNNVCPDSQFLTLTMDKFLNDMEREKPIRFTCQQLRIATDNFTNLLGSGGFGAVYKGMFSNGTMVAVKVLYGSSDKRIKEQFMAEVSTIGRVHHFNLVRLYGFCFEQNLRALVYEYMENGSLDKFLFGEDKKLGFQQLRSIAVGTAKGIAYLHEECQHRIIHYDIKPGNVLLDAKFLPKVADFGLAKLCNRENTHVTMTGGRGTPGYAAPELWAPYAVTHKCDVYSFGMLLFEIIGKRRNFDSNLPESQEWFPRWVWKKVEAGNVVELLIVCGFEEMDKDTAERMMKTALWCVQYQPDSRPSMSIVVKMLEGALEIPTPPNPFATYLISSNVVPINNNGSTHTTWTDTSCDLESSSMVARSTLACATPVMEKYGIEMAST >KJB57435 pep chromosome:Graimondii2_0_v6:9:12573691:12576453:-1 gene:B456_009G164100 transcript:KJB57435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQTMGRARVSNQLGLEAAVEATANFLNKAVKPVLVGGPKLRVANAKDAFVELADACGYPIAVMPSGKGLVPEHHPHFIGTYWGAVSTSFCGEIVESADAYVFAGPIFNDYSSVGYSLLIKKDKAIIVQPNRVTIGNGPSFGWVFMADFLSALARKLKKNTTAVENYQRIFVPPGMPLKHGNDEPLRVNVLFKHIQDMLSKDSAVIAETGDSWFNCQKLHLPENCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDISTMIRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTAFVDAIHNGEGKCWTAKVRTEDELIDAIAAATGEKKDSLCFIEVFAHKDDTSKELLEWGSRVSSANSRPPNPQ >KJB57434 pep chromosome:Graimondii2_0_v6:9:12573683:12577837:-1 gene:B456_009G164100 transcript:KJB57434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGHKIGSSAHPTSLHPPVRGDASSGTLGRHLARRLVEIGVKDVFSVPGDFNLTLLDHLIAEPELNLVGCCNELNAGYAADGYARAKGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCAQAVVNNLDDAHELLDTAISTALKESKPVYISIGCNLPGIPHPTFARDPVPFFLAPKVSNQLGLEAAVEATANFLNKAVKPVLVGGPKLRVANAKDAFVELADACGYPIAVMPSGKGLVPEHHPHFIGTYWGAVSTSFCGEIVESADAYVFAGPIFNDYSSVGYSLLIKKDKAIIVQPNRVTIGNGPSFGWVFMADFLSALARKLKKNTTAVENYQRIFVPPGMPLKHGNDEPLRVNVLFKHIQDMLSKDSAVIAETGDSWFNCQKLHLPENCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDISTMIRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTAFVDAIHNGEGKCWTAKVRTEDELIDAIAAATGEKKDSLCFIEVFAHKDDTSKELLEWGSRVSSANSRPPNPQ >KJB57436 pep chromosome:Graimondii2_0_v6:9:12573691:12577526:-1 gene:B456_009G164100 transcript:KJB57436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGHKIGSSAHPTSLHPPVRGDASSGTLGRHLARRLVEIGVKDVFSVPGDFNLTLLDHLIAEPELNLVGCCNELNAGYAADGYARAKGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCAQAVVNNLDDAHELLDTAISTALKESKPVYISIGCNLPGIPHPTFARDPVPFFLAPKVSNQLGLEAAVEATANFLNKAVKPVLVGGPKLRVANAKDAFVELADACGYPIAVMPSGKGLVPEHHPHFIGTYWGAVSTSFCGEIVESADAYVFAGPIFNDYSSVGYSLLIKKDKAIIVQPNRVTIGNGPSFGWVFMADFLSALARKLKKNTTAVENYQRIFVPPGMPLKHGNDEPLRVNVLFKHIQDMLSKDSAVIAETGDSWFNCQKLHLPENCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDISTMIRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTAFVDAIHNGEGKCWTAKVSEDRG >KJB57437 pep chromosome:Graimondii2_0_v6:9:12574375:12577440:-1 gene:B456_009G164100 transcript:KJB57437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGHKIGSSAHPTSLHPPVRGDASSGTLGRHLARRLVEIGVKDVFSVPGDFNLTLLDHLIAEPELNLVGCCNELNAGYAADGYARAKGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCAQAVVNNLDDAHELLDTAISTALKESKPVYISIGCNLPGIPHPTFARDPVPFFLAPKVSNQLGLEAAVEATANFLNKAVKPVLVGGPKLRVANAKDAFVELADACGYPIAVMPSGKGLVPEHHPHFIGTYWGAVSTSFCGEIVESADAYVFAGPIFNDYSSVGYSLLIKKDKAIIVQPNRVTIGNGPSFGWVFMADFLSALARKLKKNTTAVENYQRIFVPPGMPLKHGNDEPLRVNVLFKHIQDMLSKDSAVIAETGDSWFNCQKLHLPENCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDISTMIRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTAFVDAIHNGEGKCWTAKVSKY >KJB57438 pep chromosome:Graimondii2_0_v6:9:12575163:12577526:-1 gene:B456_009G164100 transcript:KJB57438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGHKIGSSAHPTSLHPPVRGDASSGTLGRHLARRLVEIGVKDVFSVPGDFNLTLLDHLIAEPELNLVGCCNELNAGYAADGYARAKGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCAQAVVNNLDDAHELLDTAISTALKESKPVYISIGCNLPGIPHPTFARDPVPFFLAPKVSNQLGLEAAVEATANFLNKAVKPVLVGGPKLRVANAKDAFVELADACGYPIAVMPSGKGLVPEHHPHFIGTYWGAVSTSFCGEIVESADAYVFAGPIFNDYSSVGYSLLIKKDKAIIVQPNRVTIGNGPSFGWVFMADFLSALARKLKKNTTAVENYQRIFVPPGMPLKHGNDEPLRVNVLFKHIQVGTRVMT >KJB58505 pep chromosome:Graimondii2_0_v6:9:16477558:16481051:-1 gene:B456_009G212500 transcript:KJB58505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMMEKGYVWIVGDEIASLLDSIDSSALYNMQGVLGFRTRFIDSSKSFRRYAEEEEFSNPSIYALRAYDAAWTIAEAMDKSPANATSKELFKQMLSSNFEGLSGRIRFRNTTLLKHLPTFQVINVVGENTTFGNMTMKELGPIYWPGGLQTVPKGWTSFEFDEDKPLKIGVPARGAFNLFVKVSYDQGINGSYVTGFSVEVFEAVVKRLPYRLPYVLVPFYGSYDDMVEQVYSKDLDAAVGDTEIMAYRYQYAEFSQPYLESGLIMVVTAKPDKSKEQWVFLETFTRRMWLITIAMHTFIGFVIWLIEHAENPDLTRFGAVLWFSVTVLFFEHREPLRSNLSRIVLAPWLFAILIISAGFTASLTSMLTISHLQPSVSDIDTLLRTNASVGCNRNSFIVGYLINVLGFKPKNIRKIDSINDYPDAFRNGDIQAAFFVVPHAKVFLAKYCKGFTMTGPTFKLGGFGFFSEAILKVMENGEMPRLEEYLLISNNSCSQSTGVSDGLSSSLGPRPVAGLFFVSGGNSAFAFLVATVRLARRHQHFIRAKLNGLRTCAFTFLTNCTQFVEFRFF >KJB62981 pep chromosome:Graimondii2_0_v6:9:69859646:69860173:-1 gene:B456_009G447500 transcript:KJB62981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTLVLSWILIICLSLVAVQSEYYSETRPVHHHKPAKETNLHFFMHEHTGVTAVVVAQANITSNNSSVPFASLVAVNDPLRTGPEPDSKVIGNVQGIALLAGMNASSTQYIDFGFNTGKFNGSSLSVFSRGEPGLAVVGGRGRFMLARGVALFNPILINATNVIIEFNVTVVHY >KJB61627 pep chromosome:Graimondii2_0_v6:9:50050826:50056185:-1 gene:B456_009G371200 transcript:KJB61627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNTCFHLLSLLFIQCSIVSLAMTVRNLNSDQFALFELKDRIVDPQNVLANNWTNSTSVCKWVGVSCGIIHERVVALNLTNMNLRGTIPPHLGNLSFLFSLDLSNNNFYGHLPKELGQLHRLRIIRLSYNRLNGEIPPWLGNLHRVQRLEMRNNNFTGTIPQTLVNMSNLEILNLGFNQLSGQVPSSIFNISSLKRIHFRHNCLSGGLPDDLCVHLPKLKELYFSRNELSSSIPSSIDKCCNLQILELSINQFSGIIPKSIGNLTQLKQLYLGLNNLEGQIPKEIGNLFSLEMLDIQAIKGLTGQIPTLIFNISSFKTIDLSNNSLSGSLPNDMCQHLPKLEGLYLSRNELSGQIPSSIFNSTMVEVIGLYDNKLEGNLPPITNAPKLQILLLWTNKLSGNIPNSISNASMLKILDLSQNLFSGPIPKMLGSLQHLEVLQISDNNLITGSATDHEWSFLSSFTNCKNLKTISVSGNPLSGILPTYIGNLSKSLQYFFADGCKLQGIIPIEIGNLTNMLVLELRNLQSLGLSSNKLGGPISESLCDLERLYNLFLRLNKLHGSIPSCLGNITSLRYLHIDSNKLSSTIPSTLWNLKNILEIDLSSNHLHNSHAIDVGNFRSLLRLNLSRNLLMGDNLSTFGGLHTLVSFDLSNNILHGHIPESFDGLISLEFLDLSNNNLSGVIPKSLEKLLYLKYFNVSFNRLEGEIPSEGCFSKFSSTSFMKNYALCGPPRLLVPPCKNDIHKNSQMIILHAFRYGLPTI >KJB61299 pep chromosome:Graimondii2_0_v6:9:44586003:44592659:1 gene:B456_009G350600 transcript:KJB61299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQFRNFSTKISNSSLANTVEDAQVSSPEPRPQSLPRKPWLIVSLGNPVKKFNGTGHNVDFMMVDAIAEAKEISINTVNFKAQIGKGFIGNVPVMLAKPQTFMNSSGESVGAIVSYYKIPLKQVLVIFVHP >KJB55648 pep chromosome:Graimondii2_0_v6:9:6335097:6338984:1 gene:B456_009G087100 transcript:KJB55648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFLQSSLSNFTLNSVKWEVKTGCSPIRKLTPNQIQCVITEDKRRSIVKNGTDSLEICRVVNGMWQTSGGWGRIDRDNAVDAMLRYADAGLTTFDMADIYGPAEDLYGIFINRVRRERPPEYLEKVRGLTKWVPPPVKMISGYVRENIDVSRKRMDVPSLDMLQFHWWDYSNPGYLDALKNLTDLKEEGKIKTVALTNFDTERLQIILENGIPVVSNQVQHSIVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFLDTNLTIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQTLLKTLKTVASKHGVTIPTVAVKYILDQTAVAGSMVGVRLGLSEHIQDTNAIFSLVLDEEDVNSIQVAQRGKDLLRVIGDCGDEYRRA >KJB55647 pep chromosome:Graimondii2_0_v6:9:6334999:6338984:1 gene:B456_009G087100 transcript:KJB55647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTVFTGVSLFKSNNSTKLFTSTRFSDSKQQLSPNSVKCCSKTTTATTEEATGRLVTVKNGNDSLEICRVLNGMWQTSGGWGRIDRDAAVEAMLRYADAGLSTFDMADHYGPAEDLYGIFINRVRRERPPEYLEKVRGLTKWVPPPVKMISGYVRENIDVSRKRMDVPSLDMLQFHWWDYSNPGYLDALKNLTDLKEEGKIKTVALTNFDTERLQIILENGIPVVSNQVQHSIVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFLDTNLTIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQTLLKTLKTVASKHGVTIPTVAVKYILDQTAVAGSMVGVRLGLSEHIQDTNAIFSLVLDEEDVNSIQVAQRGKDLLRVIGDCGDEYRRA >KJB55757 pep chromosome:Graimondii2_0_v6:9:6770497:6772751:1 gene:B456_009G092500 transcript:KJB55757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLTLVKRLQNINAKEADLGISEEASWHAKYKDSAYIFVGGLPYDLTEGDLLAVFAQYGEIVDVNLVRDKGTGKSKGFAFLAYEDQRSTILAVDNLNGAQILGRIIRVDHAEKYKKKEEEEDEEEEQKKKEARGVCYAFQRGECTRGAGCKFSHNEQRAANTGWGPQDNKSSRWGNDKYEGSKKSDKSSSMQEHTVEKRDGREGKRSRRYDGKDAGPASREDCSGIEGKRLTRHNDDDRYKREEKRSRWHNDSDLEPRSVEDRHRRDENRSRRHGDDGEDRARFKEDRKDESRSKRHGDDEFDPRPNGDHDRRGEKRILRNESESHPREERDKRGGERRTGYNRDSSSHRHGERNKNF >KJB55758 pep chromosome:Graimondii2_0_v6:9:6770545:6772751:1 gene:B456_009G092500 transcript:KJB55758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSIRRKKKKKMKRKNRKKRRPGGFVMLSREVNVLAALDVNFPTMSNCISRKTPFFLCYSSFLAILLSCARRAANTGWGPQDNKSSRWGNDKYEGSKKSDKSSSMQEHTVEKRDGREGKRSRRYDGKDAGPASREDCSGIEGKRLTRHNDDDRYKREEKRSRWHNDSDLEPRSVEDRHRRDENRSRRHGDDGEDRARFKEDRKDESRSKRHGDDEFDPRPNGDHDRRGEKRILRNESESHPREERDKRGGERRTGYNRDSSSHRHGERNKNF >KJB57442 pep chromosome:Graimondii2_0_v6:9:12581204:12582805:-1 gene:B456_009G164300 transcript:KJB57442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g28690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G28690) UniProtKB/Swiss-Prot;Acc:Q1PFQ9] MKSGRLPLIKSSIFSSSPNHRSLLIPLNTTASTNHQNYLSNPTSTTFSIAIQHFINSDTPFHGQKIHAHIIKSGFSPNTNISIKLLILHLKTGCLRYATQMFDELPQHTLSAYNYLIGGYLKNGNVQESLGLVRRMVDSGEKPDGFTFSMILKASACGSSTVTLPRFLGRMAHALMVKLDVEPDEVLYTALVDSYVKSGKVQYARTVFDMMLEKNVVCSTALITGYMNNGSVEEAENVFNKTVEKDIVVFNAMIEGYSKSVETAKKGLEIFVEMQRLNFRPNISTFVSLIGACSVLSVVEIGQQVQGQLMKTESFMDIKIASALVDMHAKCGRIEDARRVFDYMPEKNVFTWTSMIDGYGKNGNPIKALELFYVMQECCVEPNDVTFLSALSACGHAGLLAKGREIFESMERDYSIKPKMEHYACMVDLLGRAGRLDLAWELVMGMPEKPNSDVWAALLSSCRLHGDVQMASIAANELFKLNADSRPGAFVALSNTLAAAGKWDGVSDLREMMKVRGISKDTACSWVGTDGGL >KJB55570 pep chromosome:Graimondii2_0_v6:9:6049345:6056134:1 gene:B456_009G082900 transcript:KJB55570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMDHYEIMEQIGRGAFGAAILVHHKSENKKYVLKKIRLARQTERCRRSAHQEMALISRVQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDIAELMKKSNGVYFPEEKLCKWFAQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPYYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKTLIKGMLRKNPEHRPSASELLKHPYLQPYVDQYRSSFSYPSTNCSLDKHISTGRESRDNRKNMAESQSSNSSCSDKDSLLSSDRNTATMVSNSNSKATDRDSISNDEEGSTEQHLPSKEENGPIVSTGKLDEKGMTKPSCMEQGSNVQSKQPKTIRNIMMALKEGKVRESGSPMRGSRTKSAGGLTQRNNVEASPKVLKPPAPSPSSKSNADTPTVASTKLPLDSAKRIPGSHHLKHQFPLIESSPKAKPRHEGIPQPAPGTHVAEDGLPSKPRQTTPPSNVARRSPITGRIKHAESDVSNGASNGPKLGYSEINQECEVIPCQMLNGRIANASRGVKPEAEIALMAGTKGAQTDSNNSASSSISIQAFEVCDDATAPFIDMTEQVHDHETITDMKSLESFPPHSSPALKSDKPEVLLRESFGYDHKSIMSSTEESGPTKDLFHFNSVDAKVSLNAPVDLPGAVSEEMYVHKDDSTSSWTISRHDASLIQTSYINDAPLSGVNRRDGLLISDTSSRGNTSIRRPSSGDDPPISILSSWNDTPISRSSSRDTPVRGPTIEEDFPIIRSSIKDETVSNGPSSRLDMMHHSNLSSASSSDDKFTVMELVSSVAGNMPCSSQPISSTQKNTQPEKRTNEHNPTIEKPAVTSPPVFDDVIHVIRHSSFRVGSEQPGMEKAEPGVDVGNFINVVKDELNMRNMTSPVTLKSPSSKSIVSGYDGVKEEDIRNSNSSSLESGSTEVVKEEETAEKETLDVKCDRQRAEALEGLLELSAELLQNKRLEELSVVLKPFGKDKVSPRETAIWLAKSMKGMMIEDCRRSS >KJB55572 pep chromosome:Graimondii2_0_v6:9:6050482:6056083:1 gene:B456_009G082900 transcript:KJB55572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKTLIKGMLRKNPEHRPSASELLKHPYLQPYVDQYRSSFSYPSTNCSLDKHISTGRESRDNRKNMAESQSSNSSCSDKDSLLSSDRNTATMVSNSNSKATDRDSISNDEEGSTEQHLPSKEENGPIVSTGKLDEKGMTKPSCMEQGSNVQSKQPKTIRNIMMALKEGKVRESGSPMRGSRTKSAGGLTQRNNVEASPKVLKPPAPSPSSKSNADTPTVASTKLPLDSAKRIPGSHHLKHQFPLIESSPKAKPRHEGIPQPAPGTHVAEDGLPSKPRQTTPPSNVARRSPITGRIKHAESDVSNGASNGPKLGYSEINQECEVIPCQMLNGRIANASRGVKPEAEIALMAGTKGAQTDSNNSASSSISIQAFEVCDDATAPFIDMTEQVHDHETITDMKSLESFPPHSSPALKSDKPEVLLRESFGYDHKSIMSSTEESGPTKDLFHFNSVDAKVSLNAPVDLPGAVSEEMYVHKDDSTSSWTISRHDASLIQTSYINDAPLSGVNRRDGLLISDTSSRGNTSIRRPSSGDDPPISILSSWNDTPISRSSSRDTPVRGPTIEEDFPIIRSSIKDETVSNGPSSRLDMMHHSNLSSASSSDDKFTVMELVSSVAGNMPCSSQPISSTQKNTQPEKRTNEHNPTIEKPAVTSPPVFDDVIHVIRHSSFRVGSEQPGMEKAEPGVDVGNFINVVKDELNMRNMTSPVTLKSPSSKSIVSGYDGVKEEDIRNSNSSSLESGSTEVVKEEETAEKETLDVKCDRQRAEALEGLLELSAELLQNKRLEELSVVLKPFGKDKVSPRETAIWLAKSMKGMMIEDCRRSS >KJB55571 pep chromosome:Graimondii2_0_v6:9:6049500:6056083:1 gene:B456_009G082900 transcript:KJB55571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMDHYEIMEQIGRGAFGAAILVHHKSENKKYVLKKIRLARQTERCRRSAHQEMALISRVQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDIAELMKKSNGVYFPEEKLCKWFAQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPYYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKTLIKGMLRKNPEHRPSASELLKHPYLQPYVDQYRSSFSYPSTNCSLDKHISTGRESRDNRKNMAESQSSNSSCSDKDSLLSSDRNTATMVSNSNSKATDRDSISNDEEGSTEQHLPSKEENGPIVSTGKLDEKGMTKPSCMEQGSNVQSKQPKTIRNIMMALKEGKVRESGSPMRGSRTKSAGGLTQRNNVEASPKVLKPPAPSPSSKSNADTPTVASTKLPLDSAKRIPGSHHLKHQFPLIESSPKAKPRHEGIPQPAPGTHVAEDGLPSKPRQTTPPSNVARRSPITGRIKHAESDVSNGASNGPKLGYSEINQECEVIPCQMLNGRIANASRGVKPEAEIALMAGTKGAQTDSNNSASSSISIQAFEVCDDATAPFIDMTEQVHDHETITDMKSLESFPPHSSPALKSDKPEVLLRESFGYDHKSIMSSTEESGPTKDLFHFNSVDAKVSLNAPVDLPGAVSEEMYVHKDDSTSSWTISRHDASLIQTSYINDAPLSGVNRRDGLLISDTSSRGNTSIRRPSSGDDPPISILSSWNDTPISRSSSRDTPVRGPTIEEDFPIIRSSIKDETVSNGPSSRLDMMHHSNLSSASSSDDKFTVMELVSSVAGNMPCSSQPISSTQKNTQPEKRTNEHNPTIEKPAVTSPPVFDDVIHVIRHSSFRVGSEQPGMEKAEPGYDGVKEEDIRNSNSSSLESGSTEVVKEEETAEKETLDVKCDRQRAEALEGLLELSAELLQNKRLEELSVVLKPFGKDKVSPRETAIWLAKSMKGMMIEDCRRSS >KJB55574 pep chromosome:Graimondii2_0_v6:9:6052748:6056083:1 gene:B456_009G082900 transcript:KJB55574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLISKINRSSIGPLPSCYSPSLKTLIKGMLRKNPEHRPSASELLKHPYLQPYVDQYRSSFSYPSTNCSLDKHISTGRESRDNRKNMAESQSSNSSCSDKDSLLSSDRNTATMVSNSNSKATDRDSISNDEEGSTEQHLPSKEENGPIVSTGKLDEKGMTKPSCMEQGSNVQSKQPKTIRNIMMALKEGKVRESGSPMRGSRTKSAGGLTQRNNVEASPKVLKPPAPSPSSKSNADTPTVASTKLPLDSAKRIPGSHHLKHQFPLIESSPKAKPRHEGIPQPAPGTHVAEDGLPSKPRQTTPPSNVARRSPITGRIKHAESDVSNGASNGPKLGYSEINQECEVIPCQMLNGRIANASRGVKPEAEIALMAGTKGAQTDSNNSASSSISIQAFEVCDDATAPFIDMTEQVHDHETITDMKSLESFPPHSSPALKSDKPEVLLRESFGYDHKSIMSSTEESGPTKDLFHFNSVDAKVSLNAPVDLPGAVSEEMYVHKDDSTSSWTISRHDASLIQTSYINDAPLSGVNRRDGLLISDTSSRGNTSIRRPSSGDDPPISILSSWNDTPISRSSSRDTPVRGPTIEEDFPIIRSSIKDETVSNGPSSRLDMMHHSNLSSASSSDDKFTVMELVSSVAGNMPCSSQPISSTQKNTQPEKRTNEHNPTIEKPAVTSPPVFDDVIHVIRHSSFRVGSEQPGMEKAEPGVDVGNFINVVKDELNMRNMTSPVTLKSPSSKSIVSGYDGVKEEDIRNSNSSSLESGSTEVVKEEETAEKETLDVKCDRQRAEALEGLLELSAELLQNKRLEELSVVLKPFGKDKVSPRETAIWLAKSMKGMMIEDCRRSS >KJB55575 pep chromosome:Graimondii2_0_v6:9:6052933:6056083:1 gene:B456_009G082900 transcript:KJB55575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESQSSNSSCSDKDSLLSSDRNTATMVSNSNSKATDRDSISNDEEGSTEQHLPSKEENGPIVSTGKLDEKGMTKPSCMEQGSNVQSKQPKTIRNIMMALKEGKVRESGSPMRGSRTKSAGGLTQRNNVEASPKVLKPPAPSPSSKSNADTPTVASTKLPLDSAKRIPGSHHLKHQFPLIESSPKAKPRHEGIPQPAPGTHVAEDGLPSKPRQTTPPSNVARRSPITGRIKHAESDVSNGASNGPKLGYSEINQECEVIPCQMLNGRIANASRGVKPEAEIALMAGTKGAQTDSNNSASSSISIQAFEVCDDATAPFIDMTEQVHDHETITDMKSLESFPPHSSPALKSDKPEVLLRESFGYDHKSIMSSTEESGPTKDLFHFNSVDAKVSLNAPVDLPGAVSEEMYVHKDDSTSSWTISRHDASLIQTSYINDAPLSGVNRRDGLLISDTSSRGNTSIRRPSSGDDPPISILSSWNDTPISRSSSRDTPVRGPTIEEDFPIIRSSIKDETVSNGPSSRLDMMHHSNLSSASSSDDKFTVMELVSSVAGNMPCSSQPISSTQKNTQPEKRTNEHNPTIEKPAVTSPPVFDDVIHVIRHSSFRVGSEQPGMEKAEPGVDVGNFINVVKDELNMRNMTSPVTLKSPSSKSIVSGYDGVKEEDIRNSNSSSLESGSTEVVKEEETAEKETLDVKCDRQRAEALEGLLELSAELLQNKRLEELSVVLKPFGKDKVSPRETAIWLAKSMKGMMIEDCRRSS >KJB55573 pep chromosome:Graimondii2_0_v6:9:6051667:6056083:1 gene:B456_009G082900 transcript:KJB55573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEMAAHRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKTLIKGMLRKNPEHRPSASELLKHPYLQPYVDQYRSSFSYPSTNCSLDKHISTGRESRDNRKNMAESQSSNSSCSDKDSLLSSDRNTATMVSNSNSKATDRDSISNDEEGSTEQHLPSKEENGPIVSTGKLDEKGMTKPSCMEQGSNVQSKQPKTIRNIMMALKEGKVRESGSPMRGSRTKSAGGLTQRNNVEASPKVLKPPAPSPSSKSNADTPTVASTKLPLDSAKRIPGSHHLKHQFPLIESSPKAKPRHEGIPQPAPGTHVAEDGLPSKPRQTTPPSNVARRSPITGRIKHAESDVSNGASNGPKLGYSEINQECEVIPCQMLNGRIANASRGVKPEAEIALMAGTKGAQTDSNNSASSSISIQAFEVCDDATAPFIDMTEQVHDHETITDMKSLESFPPHSSPALKSDKPEVLLRESFGYDHKSIMSSTEESGPTKDLFHFNSVDAKVSLNAPVDLPGAVSEEMYVHKDDSTSSWTISRHDASLIQTSYINDAPLSGVNRRDGLLISDTSSRGNTSIRRPSSGDDPPISILSSWNDTPISRSSSRDTPVRGPTIEEDFPIIRSSIKDETVSNGPSSRLDMMHHSNLSSASSSDDKFTVMELVSSVAGNMPCSSQPISSTQKNTQPEKRTNEHNPTIEKPAVTSPPVFDDVIHVIRHSSFRVGSEQPGMEKAEPGVDVGNFINVVKDELNMRNMTSPVTLKSPSSKSIVSGYDGVKEEDIRNSNSSSLESGSTEVVKEEETAEKETLDVKCDRQRAEALEGLLELSAELLQNKRLEELSVVLKPFGKDKVSPRETAIWLAKSMKGMMIEDCRRSS >KJB53409 pep chromosome:Graimondii2_0_v6:9:6903554:6905528:1 gene:B456_009G094700 transcript:KJB53409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANTTPAGIDKEQAFGMAETEMEYRVELFNRLGQTCFNKCVDKRYKESELNMGENSCIDRCASKYWQVNSMIGQMLSAGGRPPM >KJB53410 pep chromosome:Graimondii2_0_v6:9:6903763:6905528:1 gene:B456_009G094700 transcript:KJB53410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANTTPAGIDKEQAFGMAETEMEYRVELFNRLGQTCFNKCVDKRYKESELNMGENSCIDRCASKYWQVNSMIGQMLSAGGRPPM >KJB56712 pep chromosome:Graimondii2_0_v6:9:10180248:10184064:1 gene:B456_009G135300 transcript:KJB56712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDLGFHGDTMAEGLVVSTCLGGAFVGSLFSGSIADGVGRRRAFQLCALPLIIGSSMSATAKNLWGMLLGRLFVGTGMGIGPPVAALYVAEVSPAYVRGTYGSCSQLATCLGLMGALFIGFPAKQIEGWWRMCFWASVAPAALLTVFMEFSVESPHWLFKRGRGAEAEAEFEKLLGGPYVKGAMAELSKSQRGDEADTVKLSELFYGRHRKVVFMGSSLFALQQLSGINAVFYFSSTVFKSAGVPSESANICVGIANLLGSLFALVSMDKLGRKALLIASFSGMAVAMTIQATSASSLVSGSSVIYLSVGGMLLFVLTFAMGAGPVPGLLLSEMFPSRVRAKAMSICMAVHWVTSF >KJB56715 pep chromosome:Graimondii2_0_v6:9:10179512:10184490:1 gene:B456_009G135300 transcript:KJB56715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDLGFHGDTMAEGLVVSTCLGGAFVGSLFSGSIADGVGRRRAFQLCALPLIIGSSMSATAKNLWGMLLGRLFVGTGMGIGPPVAALYVAEVSPAYVRGTYGSCSQLATCLGLMGALFIGFPAKQIEGWWRMCFWASVAPAALLTVFMEFSVESPHWLFKRGRGAEAEAEFEKLLGGPYVKGAMAELSKSQRGDEADTVKLSELFYGRHRKVVFMGSSLFALQQLSGINAVFYFSSTVFKSAGVPSESANICVGIANLLGSLFALVSMDKLGRKALLIASFSGMAVAMTIQATSASSLVSGSSVIYLSVGGMLLFVLTFAMGAGPVPGLLLSEMFPSRVRAKAMSICMAVHWMINSLVGLLFLRLLELLGPLVLNTIFATFCLLAVIFVKKNVLETKGKSLQDIEIALLPPV >KJB56711 pep chromosome:Graimondii2_0_v6:9:10179512:10184490:1 gene:B456_009G135300 transcript:KJB56711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDLGFHGDTMAEGLVVSTCLGGAFVGSLFSGSIADGVGRRRAFQLCALPLIIGSSMSATAKNLWGMLLGRLFVGTGMGIGPPVAALYVAEVSPAYVRGTYGSCSQLATCLGLMGALFIGFPAKQIEGWWRMCFWASVAPAALLTVFMEFSVESPHWLFKRGRGAEAEAEFEKLLGGPYVKGAMAELSKSQRGDEADTVKLSELFYGRHRKVVFMGSSLFALQQLSGINAVFYFSSTVFKSAGVPSESANICVGIANLLGSLFALVSMDKLGRKALLIASFSGSGYDYSGNFS >KJB56713 pep chromosome:Graimondii2_0_v6:9:10179512:10184490:1 gene:B456_009G135300 transcript:KJB56713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDLGFHGDTMAEGLVVSTCLGGAFVGSLFSGSIADGVGRRRAFQLCALPLIIGSSMSATAKNLWGMLLGRLFVGTGMGIGPPVAALYVAEVSPAYVRGTYGSCSQLATCLGLMGALFIGFPAKQIEGWWRMCFWASVAPAALLTVFMEFSVESPHWLFKRGRGAEAEAEFEKLLGGPYVKGAMAELSKSQRGDEADTVKLSELFYGRHRKVVFMGSSLFALQQLSGINAVFYFSSTVFKSAGVPSESANICVGIANLLGSLFALVSMDKLGRKALLIASFSGMAVAMTIQATSASSLVSGSSVIYLSVGGMLLFVLTFAMGAGPVPGLLLSEMFPSRVRAKAMSICMAVHWVTSF >KJB56716 pep chromosome:Graimondii2_0_v6:9:10179954:10184502:1 gene:B456_009G135300 transcript:KJB56716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDLGFHGDTMAEGLVVSTCLGGAFVGSLFSGSIADGVGRRRAFQLCALPLIIGSSMSATAKNLWGMLLGRLFVGTGMGIGPPVAALYVAEVSPAYVRGTYGSCSQLATCLGLMGALFIGFPAKQIEGWWRMCFWASVAPAALLTVFMEFSVESPHWLFKRGRGAEAEAEFEKLLGGPYVKGAMAELSKSQRGDEADTVKLSELFYGRHRKVVFMGSSLFALQQLSGINAVFYFSSTVFKSAGVPSESANICVGIANLLGSLFALVSMDKLGRKALLIASFSGMAVAMTIQATSASSLVSGSSVIYLSVGGMLFRLPRCV >KJB56714 pep chromosome:Graimondii2_0_v6:9:10179559:10183333:1 gene:B456_009G135300 transcript:KJB56714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDLGFHGDTMAEGLVVSTCLGGAFVGSLFSGSIADGVGRRRAFQLCALPLIIGSSMSATAKNLWGMLLGRLFVGTGMGIGPPVAALYVAEVSPAYVRGTYGSCSQLATCLGLMGALFIGFPAKQIEGWWRMCFWASVAPAALLTVFMEFSVESPHWLFKRGRGAEAEAEFEKLLGGPYVKGAMAELSKSQRGDEADTVKLSELFYGRHRKVVFMGSSLFALQQLSGINAVFYFSSTVFKSAGVPSESANICVGIANLLGSLFALVSMDKLGRKALLIASFSGMVKKKRFGLSFNVWCMLVAYISHPNMIIFPSCLWHL >KJB56710 pep chromosome:Graimondii2_0_v6:9:10179014:10184502:1 gene:B456_009G135300 transcript:KJB56710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEMLTSESFAFRSGESVYNSVEMRGRHVDSYSMYKRGTRDHVINTYDYDKEQVRLLSGVGKDIGNPSWKRSFPYILLAALSSFLFGYHLGVVNETLESMSHDLGFHGDTMAEGLVVSTCLGGAFVGSLFSGSIADGVGRRRAFQLCALPLIIGSSMSATAKNLWGMLLGRLFVGTGMGIGPPVAALYVAEVSPAYVRGTYGSCSQLATCLGLMGALFIGFPAKQIEGWWRMCFWASVAPAALLTVFMEFSVESPHWLFKRGRGAEAEAEFEKLLGGPYVKGAMAELSKSQRGDEADTVKLSELFYGRHRKVVFMGSSLFALQQLSGINAVFYFSSTVFKSAGVPSESANICVGIANLLGSLFALVSMDKLGRKALLIASFSGMAVAMTIQATSASSLVSGSSVIYLSVGGMLFRLPRCV >KJB61676 pep chromosome:Graimondii2_0_v6:9:50922160:50923724:1 gene:B456_009G374500 transcript:KJB61676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTSTTTTTTTTPKPPSPCFPNSTTASPKSPKLPYLSAIDRKPSSSSLQFQPYFFLPNHVRLWLLLFIISLQIIILLMARTLHLSHRRSHFPSHPHHHLLQNPLTFHPRRPLPLFHHPTTAPSELLDNCVELDPWHSRCRALSNDGFGSKATGLSGVIPEDLVPAWYWTDQFAMEIIYHNRILNYKCRTMEPDLATAFYIPFYAGLAVGKYLWFKYRWKDRDRYCEMILKWLQEQPHWNSSGGWDHFITMGRITWDFRRTKDEDWGSKCIYLPGMRNITRLLIERNPWDYFDVAVPYPTGFHPRSDSDVLEWQDFVRNHNRKTLFCFAGAPRDAIKNDFRSLLLRQCMNVSGLCRAVDCAGTRCSNGTSLVLEAFLDSEFCLQPRGDSFTRRSIFDCMVAGSIPVFFWHRTAYLQYQWFLPSDPKSYSVFIHRNEVKNGTSIKSVLESYSKEEVKKIREKVIEYIPKLVYAKPKEGLESIKDAFDVAIEGALKRIKEQKQFGHKWK >KJB55988 pep chromosome:Graimondii2_0_v6:9:7706740:7708512:1 gene:B456_009G106200 transcript:KJB55988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQVPVAPLPRADGHSFFNQTWRHDSPVVADSPSEKGIPVIITWNYGGNDVAVEGSWDNWRSRKMLQRSGKDHSILLVLPPGIYHYKFIVDGEWRYTPDLPFIADEMGRICNLLDVHDYVPENLDSVAEFEAPASPTSSYSQAFPTEEDFAKEPAVVPSQLHLTVLGTDDQDGASSSKPQHVVLNHLFIEKGWASQSVVALGYTHRFESKYVTVVLYKPLKR >KJB55985 pep chromosome:Graimondii2_0_v6:9:7705491:7708512:1 gene:B456_009G106200 transcript:KJB55985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRSGKDHSILLVLPPGIYHYKFIVDGEWRYTPDLPFIADEMGRICNLLDVHDYVPENLDSVAEFEAPASPTSSYSQAFPTEEDFAKEPAVVPSQLHLTVLGTDDQDGASSSKPQHVVLNHLFIEKGWASQSVVALGYTHRFESKYVTVVLYKPLKR >KJB55986 pep chromosome:Graimondii2_0_v6:9:7705491:7708525:1 gene:B456_009G106200 transcript:KJB55986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNANGRQDGANGGVDDLSGRSNGGEAVVPGAAAVGIRVPSSDSMVNTPPQSPGRSRSPLLFAPQVPVAPLPRADGHSFFNQTWRHDSPVVADSPSEKGIPVIITWNYGGNDVAVEGSWDNWRSRKMLQRSGKDHSILLVLPPGIYHYKFIVDGEWRYTPDLPFIADEMGRICNLLDVHDYVPENLDSVAEFEAPASPTSSYSQAFPTEEDFAKEPAVVPSQLHLTVLGTDDQDGASSSKPQHVVLNHLFIEKGWASQSVVALGYTHRFESKYVTVVLYKPLKR >KJB55987 pep chromosome:Graimondii2_0_v6:9:7705563:7708695:1 gene:B456_009G106200 transcript:KJB55987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNANGRQDGANGGVDDLSGRSNGGEAVVPGAAAVGIRVPSSDSMVNTPPQSPGRSRSPLLFAPQVPVAPLPRADGHSFFNQTWRHDSPVVADSPSEKGIPVIITWNYGGNDVAVEGSWDNWRSRKMLQRSGKDHSILLVLPPGIYHYKFIVDGEWRYTPDLPFIADEMGRICNLLDVHDYVPENLDSVAEFEAPASPTSSYSQAFPTEEDFAKEPAVVPSQLHLTVLGTDDQDGASSSKPQHVVLNHLFIEKGWASQSVVALGYTHRFESKYVTVVLYKPLKR >KJB56094 pep chromosome:Graimondii2_0_v6:9:7694141:7699567:1 gene:B456_009G106000 transcript:KJB56094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable peptide/nitrate transporter At3g43790 [Source:Projected from Arabidopsis thaliana (AT3G43790) UniProtKB/Swiss-Prot;Acc:Q3EAQ5] MEENRTSLLEREAEYREKCPGCKMDRLKQEQAGVPYKLLSFIWIVSLCTALQISSLFPFVYFMIRDFHIAKREEDIGFYAGFVGSSFMVGRALTSLFWGVVADRYGRKPVILIGTFSVVVFNTLFGLSTNFWMALSMRFFLGCFNSQLGTIRAYASEVCREEYRALALSVVSTSRGIGLIIGPAIGGFFAQPVEKYPNLFVESSIFGRFPYFLPCLIISVYAVGSLVACKWLPETLHKHAEKANERADLHDISEHSSNDSGQKDNIVELEDKQIHKSNLLKNWPLMSTIIVYCVFSLQEMAYSEMFSFWAVSDKKYGGLSFSSQDVGEVLAISGFGLLLFQLLLYPPVERRLGPLMVTRLSAVISIPLLSCFPYIAMLSGVVLHLVINCAAILRNSLSPQSQRGAANAISITAMSVFKAFGPAGGGAL >KJB56097 pep chromosome:Graimondii2_0_v6:9:7694141:7699567:1 gene:B456_009G106000 transcript:KJB56097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable peptide/nitrate transporter At3g43790 [Source:Projected from Arabidopsis thaliana (AT3G43790) UniProtKB/Swiss-Prot;Acc:Q3EAQ5] MEENRTSLLEREAEYREKCPGCKMDRLKQEQAGVPYKLLSFIWIVSLCTALQISSLFPFVYFMIRDFHIAKREEDIGFYAGFVGSSFMVGRALTSLFWGVVADRYGRKPVILIGTFSVVVFNTLFGLSTNFWMALSMRFFLGCFNSQLGTIRAYASEVCREEYRALALSVVSTSRGIGLIIGPAIGGFFAQPVEKYPNLFVESSIFGRFPYFLPCLIISVYAVGSLVACKWLPETLHKHAEKANERADLHDISEHSSNDSGQKDNIVELEDKQIHKSNLLKNWPLMSTIIVYCVFSLQEMAYSEMFSFWAVSDKKYGGLSFSSQDVGEVLAISGFGLLLFQLLLYPPVERRLGPLMVTRLSAVISIPLLSCFPYIAMLSGVVLHLVINCAAILRNSLSPQSQRGAANAISITAMSVFKAFGPAGGGALFSWAQERQVASFLPGDQMVFFALNVVQFIGLLLTFKPFLAELYQGE >KJB56093 pep chromosome:Graimondii2_0_v6:9:7694141:7699567:1 gene:B456_009G106000 transcript:KJB56093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable peptide/nitrate transporter At3g43790 [Source:Projected from Arabidopsis thaliana (AT3G43790) UniProtKB/Swiss-Prot;Acc:Q3EAQ5] MVGRALTSLFWGVVADRYGRKPVILIGTFSVVVFNTLFGLSTNFWMALSMRFFLGCFNSQLGTIRAYASEVCREEYRALALSVVSTSRGIGLIIGPAIGGFFAQPVEKYPNLFVESSIFGRFPYFLPCLIISVYAVGSLVACKWLPETLHKHAEKANERADLHDISEHSSNDSGQKDNIVELEDKQIHKSNLLKNWPLMSTIIVYCVFSLQEMAYSEMFSFWAVSDKKYGGLSFSSQDVGEVLAISGFGLLLFQLLLYPPVERRLGPLMVTRLSAVISIPLLSCFPYIAMLSGVVLHLVINCAAILRNSLSVSLVTGLFILLNNAVPQSQRGAANAISITAMSVFKAFGPAGGGALFSWAQERQVASFLPGDQMVFFALNVVQFIGLLLTFKPFLAELYQGE >KJB56098 pep chromosome:Graimondii2_0_v6:9:7694141:7699567:1 gene:B456_009G106000 transcript:KJB56098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable peptide/nitrate transporter At3g43790 [Source:Projected from Arabidopsis thaliana (AT3G43790) UniProtKB/Swiss-Prot;Acc:Q3EAQ5] MEENRTSLLEREAEYREKCPGCKMDRLKQEQAGVPYKLLSFIWIVSLCTALQISSLFPFVYFMIRDFHIAKREEDIGFYAGFVGSSFMVGRALTSLFWGVVADRYGRKPVILIGTFSVVVFNTLFGLSTNFWMALSMRFFLGCFNSQLGTIRAYASEVCREEYRALALSVVSTSRGIGLIIGPAIGGFFAQPVEKYPNLFVESSIFGRFPYFLPCLIISVYAVGSLVACKWLPETLHKHAEKANERADLHDISEHSSNDSGQKDNIVELEDKQIHKSNLLKNWPLMSTIIVYCVFSLQEMAYSEMFSFWAVSDKKYGGLSFSSQDVGEVLAISGFGLLLFQLLLYPPVERRLGPLMVTRLSAVISIPLLSCFPYIAMLSGVVLHLVINCAAILRNSLSVSLVTGLFILLNNAVPQSQRGAANAISITAMSVFKAFGPAGGGALFSWAQERQVASFLPGDQMVFFALNVVQFIGLLLTFKPFLAELYQGE >KJB56088 pep chromosome:Graimondii2_0_v6:9:7694141:7699567:1 gene:B456_009G106000 transcript:KJB56088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable peptide/nitrate transporter At3g43790 [Source:Projected from Arabidopsis thaliana (AT3G43790) UniProtKB/Swiss-Prot;Acc:Q3EAQ5] MVGRALTSLFWGVVADRYGRKPVILIGTFSVVVFNTLFGLSTNFWMALSMRFFLGCFNSQLGTIRAYASEVCREEYRALALSVVSTSRGIGLIIGPAIGGFFAQPVEKYPNLFVESSIFGRFPYFLPCLIISVYAVGSLVACKWLPETLHKHAEKANERADLHDISEHSSNDSGQKDNIVELEDKQIHKSNLLKNWPLMSTIIVYCVFSLQEMAYSEMFSFWAVSDKKYGGLSFSSQDVGEVLAISGFGLLLFQLLLYPPVERRLGPLMVTRLSAPQSQRGAANAISITAMSVFKAFGPAGGGAL >KJB56092 pep chromosome:Graimondii2_0_v6:9:7694141:7699567:1 gene:B456_009G106000 transcript:KJB56092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable peptide/nitrate transporter At3g43790 [Source:Projected from Arabidopsis thaliana (AT3G43790) UniProtKB/Swiss-Prot;Acc:Q3EAQ5] MEENRTSLLEREAEYREKCPGCKMDRLKQEQAGVPYKLLSFIWIVSLCTALQISSLFPFVYFMIRDFHIAKREEDIGFYAGFVGSSFMVGRALTSLFWGVVADRYGRKPVILIGTFSVVVFNTLFGLSTNFWMALSMRFFLGCFNSQLGTIRAYASEVCREEYRALALSVVSTSRGIGLIIGPAIGGFFAQPVEKYPNLFVESSIFGRFPYFLPCLIISVYAVGSLVACKWLPETLHKHAEKANERADLHDISEHSSNDSGQKDNIVELEDKQIHKSNLLKNWPLMSTIIVYCVFSLQEMAYSEMFSFWAVSDKKYGGLSFSSQDVGEVLAISGFGLLLFQLLLYPPVERRLGPLMVTRLSAPQSQRGAANAISITAMSVFKAFGPAGGGAL >KJB56089 pep chromosome:Graimondii2_0_v6:9:7694141:7699567:1 gene:B456_009G106000 transcript:KJB56089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable peptide/nitrate transporter At3g43790 [Source:Projected from Arabidopsis thaliana (AT3G43790) UniProtKB/Swiss-Prot;Acc:Q3EAQ5] MVGRALTSLFWGVVADRYGRKPVILIGTFSVVVFNTLFGLSTNFWMALSMRFFLGCFNSQLGTIRAYASEVCREEYRALALSVVSTSRGIGLIIGPAIGGFFAQPVEKYPNLFVESSIFGRFPYFLPCLIISVYAVGSLVACKWLPETLHKHAEKANERADLHDISEHSSNDSGQKDNIVELEDKQIHKSNLLKNWPLMSTIIVYCVFSLQEMAYSEMFSFWAVSDKKYGGLSFSSQDVGEVLAISGFGLLLFQLLLYPPVERRLGPLMVTRLSAVISIPLLSCFPYIAMLSGVVLHLVINCAAILRNSLSPQSQRGAANAISITAMSVFKAFGPAGGGAL >KJB56096 pep chromosome:Graimondii2_0_v6:9:7694141:7699567:1 gene:B456_009G106000 transcript:KJB56096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable peptide/nitrate transporter At3g43790 [Source:Projected from Arabidopsis thaliana (AT3G43790) UniProtKB/Swiss-Prot;Acc:Q3EAQ5] MEENRTSLLEREAEYREKCPGCKMDRLKQEQAGVPYKLLSFIWIVSLCTALQISSLFPFVYFMIRDFHIAKREEDIGFYAGFVGSSFMVGRALTSLFWGVVADRYGRKPVILIGTFSVVVFNTLFGLSTNFWMALSMRFFLGCFNSQLGTIRAYASEVCREEYRALALSVVSTSRGIGLIIGPAIGGFFAQPVEKYPNLFVESSIFGRFPYFLPCLIISVYAVGSLVACKWLPETLHKHAEKANERADLHDISEHSSNDSGQKDNIVELEDKQIHKSNLLKNWPLMSTIIVYCVFSLQEMAYSEMFSFWAVSDKKYGGLSFSSQDVGEVLAISGFGLLLFQLLLYPPVERRLGPLMVTRLSAVISIPLLSCFPYIAMLSGVVLHLVINCAAILRNSLSVSLVTGLFILLNNAVPQSQRGAANAISITAMSVFKAFGPAGGGAL >KJB56099 pep chromosome:Graimondii2_0_v6:9:7694141:7699567:1 gene:B456_009G106000 transcript:KJB56099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable peptide/nitrate transporter At3g43790 [Source:Projected from Arabidopsis thaliana (AT3G43790) UniProtKB/Swiss-Prot;Acc:Q3EAQ5] MVGRALTSLFWGVVADRYGRKPVILIGTFSVVVFNTLFGLSTNFWMALSMRFFLGCFNSQLGTIRAYASEVCREEYRALALSVVSTSRGIGLIIGPAIGGFFAQPVEKYPNLFVESSIFGRFPYFLPCLIISVYAVGSLVACKWLPETLHKHAEKANERADLHDISEHSSNDSGQKDNIVELEDKQIHKSNLLKNWPLMSTIIVYCVFSLQEMAYSEMFSFWAVSDKKYGGLSFSSQDVGEVLAISGFGLLLFQLLLYPPVERRLGPLMVTRLSAVISIPLLSCFPYIAMLSGVVLHLVINCAAILRNSLSPQSQRGAANAISITAMSVFKAFGPAGGGALFSWAQERQVASFLPGDQMVFFALNVVQFIGLLLTFKPFLAELYQGE >KJB56090 pep chromosome:Graimondii2_0_v6:9:7694141:7699567:1 gene:B456_009G106000 transcript:KJB56090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable peptide/nitrate transporter At3g43790 [Source:Projected from Arabidopsis thaliana (AT3G43790) UniProtKB/Swiss-Prot;Acc:Q3EAQ5] MVGRALTSLFWGVVADRYGRKPVILIGTFSVVVFNTLFGLSTNFWMALSMRFFLGCFNSQLGTIRAYASEVCREEYRALALSVVSTSRGIGLIIGPAIGGFFAQPVEKYPNLFVESSIFGRFPYFLPCLIISVYAVGSLVACKWLPETLHKHAEKANERADLHDISEHSSNDSGQKDNIVELEDKQIHKSNLLKNWPLMSTIIVYCVFSLQEMAYSEMFSFWAVSDKKYGGLSFSSQDVGEVLAISGFGLLLFQLLLYPPVERRLGPLMVTRLSAPQSQRGAANAISITAMSVFKAFGPAGGGALFSWAQERQVASFLPGDQMVFFALNVVQFIGLLLTFKPFLAELYQGE >KJB56091 pep chromosome:Graimondii2_0_v6:9:7694141:7699567:1 gene:B456_009G106000 transcript:KJB56091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable peptide/nitrate transporter At3g43790 [Source:Projected from Arabidopsis thaliana (AT3G43790) UniProtKB/Swiss-Prot;Acc:Q3EAQ5] MVGRALTSLFWGVVADRYGRKPVILIGTFSVVVFNTLFGLSTNFWMALSMRFFLGCFNSQLGTIRAYASEVCREEYRALALSVVSTSRGIGLIIGPAIGGFFAQPVEKYPNLFVESSIFGRFPYFLPCLIISVYAVGSLVACKWLPETLHKHAEKANERADLHDISEHSSNDSGQKDNIVELEDKQIHKSNLLKNWPLMSTIIVYCVFSLQEMAYSEMFSFWAVSDKKYGGLSFSSQDVGEVLAISGFGLLLFQLLLYPPVERRLGPLMVTRLSAVISIPLLSCFPYIAMLSGVVLHLVINCAAILRNSLSVSLVTGLFILLNNAVPQSQRGAANAISITAMSVFKAFGPAGGGAL >KJB56095 pep chromosome:Graimondii2_0_v6:9:7694141:7699567:1 gene:B456_009G106000 transcript:KJB56095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable peptide/nitrate transporter At3g43790 [Source:Projected from Arabidopsis thaliana (AT3G43790) UniProtKB/Swiss-Prot;Acc:Q3EAQ5] MEENRTSLLEREAEYREKCPGCKMDRLKQEQAGVPYKLLSFIWIVSLCTALQISSLFPFVYFMIRDFHIAKREEDIGFYAGFVGSSFMVGRALTSLFWGVVADRYGRKPVILIGTFSVVVFNTLFGLSTNFWMALSMRFFLGCFNSQLGTIRAYASEVCREEYRALALSVVSTSRGIGLIIGPAIGGFFAQPVEKYPNLFVESSIFGRFPYFLPCLIISVYAVGSLVACKWLPETLHKHAEKANERADLHDISEHSSNDSGQKDNIVELEDKQIHKSNLLKNWPLMSTIIVYCVFSLQEMAYSEMFSFWAVSDKKYGGLSFSSQDVGEVLAISGFGLLLFQLLLYPPVERRLGPLMVTRLSAPQSQRGAANAISITAMSVFKAFGPAGGGALFSWAQERQVASFLPGDQMVFFALNVVQFIGLLLTFKPFLAELYQGE >KJB60338 pep chromosome:Graimondii2_0_v6:9:26947236:26950088:-1 gene:B456_009G301100 transcript:KJB60338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTKHEREDGMLSKDQTESPLIDDGSCGGGGAGGVVLKKGPWTSAEDAILIDYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHMPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVCLQALQESHSTSVVNALDKGPNDILQNNSYEIPDVIFDSLKANQNVLPYVPELPVLSASSMLMKGLGSSQYCGFMQPTIHRQKRLRESPAFFPGYTGAVKNECPLFMQFQEDISDKAAGSFGLSFPIEPDPAAKNSQPFGVFPGSHTLSNGNFSASEPPLEAVKLELPSLQYPETELGNWGTLTCPPPLLESVDAFIQSPPPTSGLESDSLSPRNSGLLDALLHEAKTLSSAKNHASDKSSNSSTPGDIAEGSNFNICETEWEKCGEPLSPMGNSATSIFSECISASGSSLDEQPPAETVTESHVKSEPADRVLTPEIQKEAPIRLDSSGPDTLLASNWLEQGSGYDKDQAILTDAISSLLGDDLRSEYKNMEEGTSISSQAWGLDSCAWNNMPAVCQMSELP >KJB60340 pep chromosome:Graimondii2_0_v6:9:26946532:26950481:-1 gene:B456_009G301100 transcript:KJB60340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTKHEREDGMLSKDQTESPLIDDGSCGGGGAGGVVLKKGPWTSAEDAILIDYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHMPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVCLQALQESHSTSVVNALDKGPNDILQNNSYEIPDVIFDSLKANQNVLPYVPELPVLSASSMLMKGLGSSQYCGFMQPTIHRQKRLRESPAFFPGYTGAVKNECPLFMQFQEDISDKAAGSFGLSFPIEPDPAAKNSQPFGVFPGSHTLSNGNFSASEPPLEAVKLELPSLQYPETELGNWGTLTCPPPLLESVDAFIQSPPPTSGLESDSLSPRNSGLLDALLHEAKTLSSAKNHASDKSSNSSTPGDIAEGSNFNICETEWEKCGEPLSPMGNSATSIFSECISASGSSLDEQPPAETVTESHVKSEPADRVLTPEIQKEAPIRLDSSGPDTLLASNWLEQGSGYDKDQAILTDAISSLLGDDLRSEYKNMEEGTSISSQAWGLDSCAWNNMPAVCQMSELP >KJB60339 pep chromosome:Graimondii2_0_v6:9:26945119:26951323:-1 gene:B456_009G301100 transcript:KJB60339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTKHEREDGMLSKDQTESPLIDDGSCGGGGAGGVVLKKGPWTSAEDAILIDYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHMPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVCLQALQESHSTSVVNALDKGPNDILQNNSYEIPDVIFDSLKANQNVLPYVPELPVLSASSMLMKGLGSSQYCGFMQPTIHRQKRLRESPAFFPGYTGAVKNECPLFMQFQEDISDKAAGSFGLSFPIEPDPAAKNSQPFGVFPGSHTLSNGNFSASEPPLEAVKLELPSLQYPETELGNWGTLTCPPPLLESVDAFIQSPPPTSGLESDSLSPRNSGLLDALLHEAKTLSSAKNHASDKSSNSSTPGDIAEGSNFNICETEWEKCGEPLSPMGNSATSIFSECISASGSSLDEQPPAETVTESHVKSEPADRVLTPEIQKEAPIRLDSSGPDTLLASNWLEQGSGYDKDQAILTDAISSLLGDDLRSEYKNMEEGTSISSQAWGLDSCAWNNMPAVCQMSELP >KJB60337 pep chromosome:Graimondii2_0_v6:9:26946532:26951323:-1 gene:B456_009G301100 transcript:KJB60337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTKHEREDGMLSKDQTESPLIDDGSCGGGGAGGVVLKKGPWTSAEDAILIDYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHMPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVCLQALQESHSTSVVNALDKGPNDILQNNSYEIPDVIFDSLKANQNVLPYVPELPVLSASSMLMKGLGSSQYCGFMQPTIHRQKRLRESPAFFPGYTGAVKNECPLFMQFQEDISDKAAGSFGLSFPIEPDPAAKNSQPFGVFPGSHTLSNGNFSASEPPLEAVKLELPSLQYPETELGNWGTLTCPPPLLESVDAFIQSPPPTSGLESDSLSPRNSGLLDALLHEAKTLSSAKNHASDKSSNSSTPGDIAEGSNFNICETEWEKCGEPLSPMGNSATSIFSECISASGSSLDEQPPAETVTESHVKSEPADRVLTPEIQKEAPIRLDSSGPDTLLASNWLEQGSGYDKDQAILTDAISSLLGDDLRSEYKNMEEGTSISSQAWGLDSCAWNNMPAVCQMSELP >KJB62521 pep chromosome:Graimondii2_0_v6:9:65701599:65703293:-1 gene:B456_009G420900 transcript:KJB62521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TOP6A MADKKRRRADSDSDSASQPFKNLLKSDAQILQILKSVTSSSSSSTSKPLTLADLALSATCREVSDLSLSSVQSTIESLVLQLTHQILSGQGFSFTVPSRSSSNQLYVPELDRIVLKDKSTVRPFSHISSVRKTTITAKILSLVHQLCLKNIHVTKRDLFYTDVKLFQDQVQSDAVLDDVSCILGCTRSSLNVIAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDALFILLVEKDAAYIRLAEDRFYNRFPCIIVTAKGQPDVATRLFLKKMKMELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGIRPSDLDKYKIPEQCRLPMTEQDIKTGKDLLEEDFVKKNPGWVEELNLMVKTKQKAEIQALSSFGFQYLSEVYLPLKLQQQDWL >KJB58789 pep chromosome:Graimondii2_0_v6:9:17727771:17732850:1 gene:B456_009G226300 transcript:KJB58789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAVGVFGGEGYKDGIEVPPLMVANAGQSDRPEISSLNCPPFVAVELCREHLGVHPCDRRRSINEYRSLFPAIDFSLIENDDDVLWKADTREKNEEVAARGLKFLSWLWTRKEKEIAIVTHSGFLYHTLSAFGSDCHPSVKDEICKHFANCELRSVVIIDRSMMGSDPATTNYPGKIPSGLDLPSDVADEKLPDEGKVN >KJB58787 pep chromosome:Graimondii2_0_v6:9:17727553:17732850:1 gene:B456_009G226300 transcript:KJB58787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNPKTNTYFSSVSLSTHGTLCLSSPSSHVKLVSFSYILLFWVIAEMDATISPGLYPLHRCKTLHLVRHAQGVHNVAGEIDHAAYSSEEYFDAHLTPLGWQQVDHLRNHVHETGLSKKVDLVIVSPLLRTMQTAVGVFGGEGYKDGIEVPPLMVANAGQSDRPEISSLNCPPFVAVELCREHLGVHPCDRRRSINEYRSLFPAIDFSLIENDDDVLWKADTREKNEEVAARGLKFLSWLWTRKEKEIAIVTHSGFLYHTLSAFGSDCHPSVKDEICKHFANCELRSVVIIDRSMMGSDPATTNYPGKIPSGLDLPSDVADEKLPDEGKVN >KJB58788 pep chromosome:Graimondii2_0_v6:9:17727574:17732883:1 gene:B456_009G226300 transcript:KJB58788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATISPGLYPLHRCKTLHLVRHAQGVHNVAGEIDHAAYSSEEYFDAHLTPLGWQQVDHLRNHVHETGLSKKVDLVIVSPLLRTMQTAVGVFGGEGYKDGIEVPPLMVANAGQSDRPEISSLNCPPFVAVELCREHLGVHPCDRRRSINEYRSLFPAIDFSLIENDDDVLWKADTREKNEEVAARGLKFLSWLWTRKEKEIAIVTHSGFLYHTLSAFGSDCHPSVKDEICKHFANCELRSVVIIDRSMMGSDPATTNYPGKIPSGLDLPSDVADEKLPDEGKVN >KJB61951 pep chromosome:Graimondii2_0_v6:9:54424097:54425847:1 gene:B456_009G394500 transcript:KJB61951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMIQYNRFTAHLLLLSLILVVPLAVATDVQYCDKQGEYDVKVQGVEISPNPIARGQPATFSIAATTGAAIDGGKLVIEVAYFGWHIHSEIHDLCDETSCPVSTGDFVVSHSQVLPGYTPPVSLKLMLINSC >KJB61952 pep chromosome:Graimondii2_0_v6:9:54424097:54426670:1 gene:B456_009G394500 transcript:KJB61952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMIQYNRFTAHLLLLSLILVVPLAVATDVQYCDKQGEYDVKVQGVEISPNPIARGQPATFSIAATTAIDGGKLVIEVAYFGWHIHSEIHDLCDETSCPVSTGDFVVSHSQVLPGYTPPGPYSLKMKMFDANKRELTCIGFDFSIGFASSVADS >KJB61953 pep chromosome:Graimondii2_0_v6:9:54424487:54426338:1 gene:B456_009G394500 transcript:KJB61953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMIQYNRFTAHLLLLSLILVVPLAVATDVQYCDKQGEYDVKVQGVEISPNPIARGQPATFSIAATTGAAIDGGKLVIEVAYFGWHIHSEIHDLCDETSCPVSTGDFVVSHSQVLPGYTPPVRPVLSENEDVRCKQT >KJB61950 pep chromosome:Graimondii2_0_v6:9:54424035:54426670:1 gene:B456_009G394500 transcript:KJB61950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMIQYNRFTAHLLLLSLILVVPLAVATDVQYCDKQGEYDVKVQGVEISPNPIARGQPATFSIAATTGAAIDGGKLVIEVAYFGWHIHSEIHDLCDETSCPVSTGDFVVSHSQVLPGYTPPGPYSLKMKMFDANKRELTCIGFDFSIGFASSVADS >KJB60243 pep chromosome:Graimondii2_0_v6:9:27209374:27212930:-1 gene:B456_009G303200 transcript:KJB60243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVFGVKKDKEPPPSIQDATDRISKRGDTVDEKLKKLDAELSRYKEQIKKTRPGPAQEALKSRAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFASEGLKDAQQTMTALKSANKELKGMMKTVKIQDIDNLQDEMMDMMDMSNEIQETLGRSYNVPDDIDEDELMGELDALEADMGTEADGVPSYLQPDKEEPDLDAELNLPTAPSGNATAAAGRANSQVEDELGFPAVPRASVRS >KJB60245 pep chromosome:Graimondii2_0_v6:9:27209626:27212846:-1 gene:B456_009G303200 transcript:KJB60245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIGKRGDTVDEKLKKLDAELSRYKEQIKKTRPGPAQEALKSRAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFASEGLKDAQQTMTALKSANKELKGMMKTVKIQDIDNLQDEMMDMMDMSNEIQETLGRSYNVPDDIDEDELMGELDALEADMGTEADGVPSYLQPDKEEPDLDAELNLPTAPSGNATAAAGRANSQVEDELGFPAVPRASVRS >KJB60246 pep chromosome:Graimondii2_0_v6:9:27209905:27212660:-1 gene:B456_009G303200 transcript:KJB60246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVFGVKKDKEPPPSIQDATDRISKRGDTVDEKLKKLDAELSRYKEQIKKTRPGPAQEALKSRAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFASEGLKDAQQTMTALKSANKELKGMMKTVKIQDIDNLQDEMMDMMDMSNEIQETLGRSYNVPDDIDEDELMGELDALEADMGTEADGVPSYLQPDKEEPDLDAELNLPTAPSGNATAAAGRANSQQVEDELGFPAVPRASVRS >KJB60244 pep chromosome:Graimondii2_0_v6:9:27209626:27212846:-1 gene:B456_009G303200 transcript:KJB60244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLKQKRMYEGQRDMLYNQTFNLDQVAFASEGLKDAQQTMTALKSANKELKGMMKTVKIQDIDNLQDEMMDMMDMSNEIQETLGRSYNVPDDIDEDELMGELDALEADMGTEADGVPSYLQPDKEEPDLDAELNLPTAPSGNATAAAGRANSQVEDELGFPAVPRASVRS >KJB60247 pep chromosome:Graimondii2_0_v6:9:27210520:27212846:-1 gene:B456_009G303200 transcript:KJB60247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVFGVKKDKEPPPSIQDATDRISKRGDTVDEKLKKLDAELSRYKEQIKKTRPGPAQEALKSRAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFASEGLKDAQQTMTALKSANKELKGMMKTVKIQDIDNLQDEMMDMMDMSNEIQETLGRSYNVPDDIDEDELMGELDALEADMGTEADGVPSYLQPDKEEPDLDAELNLPTAPSGNATAAAGRANSQVNFIYLQF >KJB60168 pep chromosome:Graimondii2_0_v6:9:25268425:25272913:-1 gene:B456_009G292400 transcript:KJB60168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSQLSSLNESRINKAFQYQLLVPVGQPDSLTSDHLEFDFSDVFGPAPVQPSMEINSQNPRNLVVAIESNEMLYDVPAVICNRSHSLVGPSTYVSQSLKLSKLTLRETGDSLELVEGAREEAQKELKKPSIDDVILENPDDHIETHLLRQQSVGLDDFEVLKVVGQGAFAKVYQVRRNGTSDIYAMKVMRKDKIMEQNHAEYMKSERAILGKVDHPFIVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYRQGLFREDLARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHVMLTDFGLAKEFDENTRSNSLCGTLEYMAPEIVLGKGHDKAADWWSVGILLYEMLTGKLLQKEASKRLGCGKGGSEEIKRHKWFKAINWKKLEAREVRPSFLPDVAGNHCVANFEECWTSMPVIDSPVASPTFGENPFKGFTYVRPVASFLQRNA >KJB60167 pep chromosome:Graimondii2_0_v6:9:25268787:25271280:-1 gene:B456_009G292400 transcript:KJB60167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSQLSSLNESRINKAFQYQLLVPVGQPDSLTSDHLEFDFSDVFGPAPVQPSMEINSQNPRNLVVAIESNEMLYDVPAVICNRSHSLVGPSTYVSQSLKLSKLTLRETGDSLELVEGAREEAQKELKKPSIDDVILENPDDHIETHLLRQQSVGLDDFEVLKVVGQGAFAKVYQVRRNGTSDIYAMKVMRKDKIMEQNHAEYMKSERAILGKVDHPFIVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYRQGLFREDLARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHVMLTDFGLAKEFDENTRSNSLCGTLEYMAPEIVLGKGHDKAADWWSVGILLYEMLTGKPPFTGGNRQKVQDKIIKEKIKLPAFLSSEAHSILKGLLQKEASKRLGCGKGGSEEIKRHKWFKAINWKKLEAREVRPSFLPDVAGNHCVANFEECWTSMPVIDSPVASPTFGENPFKGFTYVRPVASFLQRNA >KJB60169 pep chromosome:Graimondii2_0_v6:9:25268425:25271623:-1 gene:B456_009G292400 transcript:KJB60169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSQLSSLNESRINKAFQYQLLVPVGQPDSLTSDHLEFDFSDVFGPAPVQPSMEINSQNPRNLVVAIESNEMLYDVPAVICNRSHSLVGPSTYVSQSLKLSKLTLRETGDSLELVEGAREEAQKELKKPSIDDVILENPDDHIETHLLRQQSVGLDDFEVLKVVGQGAFAKVYQVRRNGTSDIYAMKVMRKDKIMEQNHAEYMKSERAILGKVDHPFIVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYRQGLFREDLARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHVMLTDFGLAKEFDENTRSNSLCGTLEYMAPEIVLGKGHDKAADWWSVGILLYEMLTGKPPFTGGNRQKVQDKIIKEKIKLPAFLSSEAHSILKGLLQKEASKRLGCGKGGSEEIKRHKWFKAINWKKLEAREVRPSFLPDVAGNHCVANFEECWTSMPVIDSPVASPTFGENPFKGFTYVRPVASFLQRNA >KJB61279 pep chromosome:Graimondii2_0_v6:9:44101009:44101694:1 gene:B456_009G349500 transcript:KJB61279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAPIKISSSPSCCSSSENRKAIMLSPVCLDKSRSFQFLFSGSKLQCSKTLDIKQLSSAKFRVSRGSKFRVFCDSKVSFFGILYFIFMGSSGICDGLGFWMCSTVHSMFFSCKLALFYFWYYIYFSQMVLELTILFVR >KJB61280 pep chromosome:Graimondii2_0_v6:9:44100941:44101694:1 gene:B456_009G349500 transcript:KJB61280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAPIKISSSPSCCSSSENRKAIMLSPVCLDKSRSFQFLFSGSKLQCSKTLDIKQLSSAKFRVSRGSKFRVFCDSKVSFFGILYFIFMGSSGICDGLGFWMCSTVHSMFFSCKLALFYFWYYIYFSQMVLELTILFVR >KJB56001 pep chromosome:Graimondii2_0_v6:9:7574361:7577019:1 gene:B456_009G104500 transcript:KJB56001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQKSVEKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKHPAELAHAANNGLDIAVRLLEPIKEQFPNLTYADFYQLAGVVAVEITGGPEIPFHPGREDKPHPPPEGRLPDATKGSDHLRQVFSAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLSGEKEGLLQLPTDKVLLSDPVFRPLVEKYAADEDAFFADYTEAHLKLSELGFADA >KJB55999 pep chromosome:Graimondii2_0_v6:9:7575055:7576630:1 gene:B456_009G104500 transcript:KJB55999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTTALISPSDFSSRSRSSSLTSPTLTSISLLVSSPLRLLVDLKFPSIPEERTSLTHHLRAVFLMLPRVRSDHLRQVFSAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLSGEKEGLLQLPTDKVLLSDPVFRPLVEKYAADEDAFFADYTEAHLKLSELGFADA >KJB55996 pep chromosome:Graimondii2_0_v6:9:7574409:7577019:1 gene:B456_009G104500 transcript:KJB55996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPAELAHAANNGLDIAVRLLEPIKEQFPNLTYADFYQLAGVVAVEITGGPEIPFHPGREDKPHPPPEGRLPDATKGSDHLRQVFSAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLSGEKEGLLQLPTDKVLLSDPVFRPLVEKYAADEDAFFADYTEAHLKLSELGFADA >KJB56000 pep chromosome:Graimondii2_0_v6:9:7574409:7577019:1 gene:B456_009G104500 transcript:KJB56000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQKSVEKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKHPAELAHAANNGLDIAVRLLEPIKEQFPNLTYADFYQLAGVVAVEITGGPEIPFHPGREDKPHPPPEGRLPDATKGSDHLRQVFSAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLSGEKEGLLQLPTDKVLLSDPVFRPLVEKYAADEDAFFADYTEAHLKLSELGFADA >KJB55998 pep chromosome:Graimondii2_0_v6:9:7574409:7577019:1 gene:B456_009G104500 transcript:KJB55998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQKSVEKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKHPAELAHAANNGLDIAVRLLEPIKEQFPNLTYADFYQLAGVVAVEITGGPEIPFHPGREDKPHPPPEGRLPDATKGSDHLRQVFSAQMGLSDQDIVALSGGHTLVCATRRGPGLRDHGPPTLSSLTTLTSRSFCRERRKAFFSYQLTKFSCQILFSVHWLKNMLPMRMPSLLTTPKLT >KJB56004 pep chromosome:Graimondii2_0_v6:9:7574409:7577019:1 gene:B456_009G104500 transcript:KJB56004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQKSVEKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKHPAELAHAANNGLDIAVRLLEPIKEQFPNLTYADFYQLAGVVAVEITGGPEIPFHPGREPHPPPEGRLPDATKGSDHLRQVFSAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLSGEKEGLLQLPTDKVLLSDPVFRPLVEKYAADEDAFFADYTEAHLKLSELGFADA >KJB55997 pep chromosome:Graimondii2_0_v6:9:7574409:7577019:1 gene:B456_009G104500 transcript:KJB55997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPAELAHAANNGLDIAVRLLEPIKEQFPNLTYADFYQLAGVVAVEITGGPEIPFHPGREDKPHPPPEGRLPDATKGSDHLRQVFSAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLSGEKEGLLQLPTDKVLLSDPVFRPLVEKYAADEDAFFADYTEAHLKLSELGFADA >KJB55994 pep chromosome:Graimondii2_0_v6:9:7574409:7577019:1 gene:B456_009G104500 transcript:KJB55994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQKSVEKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKHPAELAHAANNGLDIAVRLLEPIKEQFPNLTYADFYQLAGVVAVEITGGPEIPFHPGREDKPHPPPEGRLPDATKGSDHLRQVFSAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLSGEKEGLLQLPTDKVLLSDPVFRPLVEKYAADEDAFFADYTEAHLKLSELGFADA >KJB56003 pep chromosome:Graimondii2_0_v6:9:7574409:7577019:1 gene:B456_009G104500 transcript:KJB56003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQKSVEKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKHPAELAHAANNGLDIAVRLLEPIKEQFPNLTYADFYQLAGVVAVEITGGPEIPFHPGREDKPHPPPEGRLPDATKGSDHLRQVFSAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLSGEKEGLLQLPTDKVLLSDPVFRPLVEKYAADEDAFFADYTEAHLKLSELGFADA >KJB55995 pep chromosome:Graimondii2_0_v6:9:7575055:7576630:1 gene:B456_009G104500 transcript:KJB55995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTTALISPSDFSSRSRSSSLTSPTLTSISLLVSSPLRLLVDLKFPSIPEERSDKPHPPPEGRLPDATKGSDHLRQVFSAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLSGEKEGLLQLPTDKVLLSDPVFRPLVEKYAADEDAFFADYTEAHLKLSELGFADA >KJB56002 pep chromosome:Graimondii2_0_v6:9:7574753:7576394:1 gene:B456_009G104500 transcript:KJB56002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCYPTVSEEYQKSVEKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVKTKTGGPFGTMKHPAELAHAANNGLDIAVRLLEPIKEQFPNLTYADFYQLAGVVAVEITGGPEIPFHPGREDKPHPPPEGRLPDATKGSDHLRQVFSAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELLSGEKEGLLQLPTDKVLLSDPVFRPLVEKYAAVCNPLLQSSLSVHINGLRI >KJB54769 pep chromosome:Graimondii2_0_v6:9:3501829:3502536:-1 gene:B456_009G048300 transcript:KJB54769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDIGGAFGMGAVGGAAFHFLKGTYNSPSGARLMGGTQAVRMNAPRVGGSFAVWGGLFSTFDCTMVYLRQKEDPWNSIIAGAATGGFLSMRQGLGAASRSAVFGGVLLALIEGAGIMLNKFLSQPQMPIMIEEPAPNVAGMPGYPMGQLPNQAPVSVDSLRQASPSSSSTSSGSSLDSSSSWFGGLFGGGKKQESATGSGSRTEVLESFDAPPVPSFEYK >KJB54768 pep chromosome:Graimondii2_0_v6:9:3501616:3503156:-1 gene:B456_009G048300 transcript:KJB54768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVGGAAFHFLKGTYNSPSGARLMGGTQAVRMNAPRVGGSFAVWGGLFSTFDCTMVYLRQKEDPWNSIIAGAATGGFLSMRQGLGAASRSAVFGGVLLALIEGAGIMLNKFLSQPQMPIMIEEPAPNVAGMPGYPMGQLPNQAPVSVDSLRQASPSSSSTSSGSSLDSSSSWFGGLFGGGKKQESATGSGSRTEVLESFDAPPVPSFEYK >KJB54868 pep chromosome:Graimondii2_0_v6:9:3780530:3786647:1 gene:B456_009G052400 transcript:KJB54868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVDGIENLEFKWGKKRGTGGINTKVQFYESFTYDGIDYTLYDNVYLHKEGEPLPYLGKLIKIWETDSRLKKVKVLWFFQPSEISNYLFVELAHPNEVFLASGEGVGLANINPLEAIAGKCNVVCISKDGRNPQPSDEDIQMADYVFYRTFDVGQRIILDKIDGKIAGIDVKFIFNQSGSLKPCSIHNFSVDEPASENAIEANGRVVMSKLNSSANQISEDDRQVEQKPVVAENGFNHKAEENSDVKASSVKPNLSLQQEVVAGVVSESGELAKTNDRSGDRTGLSPKIKAKADSKLKNPSGEEIGCVNQVKSEEKLKSVKDTVELDERPHKKAKLDNSVKVSSDKETTKCATEPCGTATIPSKKLKIDDKLKKSTNCKSPAVPSNDGIKTGDKAMEVTRRPDSDRSKWFGELPWEESLRDAHEFGKLVLFQNLDPAYSSAEVEDIVWNAFNETCRAKVVQQTAYSSPHFGQAFAIFKSREVAEDVIKKLDERCLLLPNRRPLVASIPNPCFSRKQSMFAGHLIVDKLKSQREMKAAVSTSHSSQPNTVEYDMAMEWFLMTERSNQFWKKLYEQQGKELKKLRVNFKSK >KJB54871 pep chromosome:Graimondii2_0_v6:9:3780524:3786647:1 gene:B456_009G052400 transcript:KJB54871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVDGIENLEFKWGKKRGTGGINTKVQFYESFTYDGIDYTLYDNVYLHKEGEPLPYLGKLIKIWETDSRLKKVKVLWFFQPSEISNYLFVELAHPNEVFLASGEGVGLANINPLEAIAGKCNVVCISKDGRNPQPSDEDIQMADYVFYRTFDVGQRIILDKIDGKIAGIDVKFIFNQSGSLKPCSIHNFSVDEPASENAIEANGRVVMSKLNSSANQISEDDRQVEQKPVVAENGFNHKAEENSDVKASSVKPNLSLQQEVVAGVVSESGELAKTNDRSGDRTGLSPKIKAKADSKLKNPSGEEIGCVNQVKSEEKLKSVKDTVELDERPHKKAKLDNSVKVSSDKETTKCATEPCGTATIPSKKLKIDDKLKKSTNCKSPAVPSNDGIKTGDKAMEVTRRPDSDRSKWFGELPWEESLRDAHEFGKLVLFQNLDPAYSSAEVEDIVWNAFNETCRAKVVQQTAYSSPHFGQAFAIFKSREVAEDVIKKLDERCLLLPNRRPLVASIPNPCFSRKQSMFAGHLIVDKLKSQREMKAAVSTSHSSQPNTVEYDMAMEWFLMTERSNQFWKKLYEQQGKELKKLRVNFKSK >KJB54869 pep chromosome:Graimondii2_0_v6:9:3780524:3786647:1 gene:B456_009G052400 transcript:KJB54869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVDGIENLEFKWGKKRGTGGINTKVQFYESFTYDGIDYTLYDNVYLHKEGEPLPYLGKLIKIWETDSRLKKVKVLWFFQPSEISNYLFVELAHPNEVFLASGEGVGLANINPLEAIAGKCNVVCISKDGRNPQPSDEDIQMADYVFYRTFDVGQRIILDKIDGKIAGIDVKFIFNQSGSLKPCSIHNFSVDEPASENAIEANGRVVMSKLNSSANQISEDDRQVEQKPVVAENGFNHKAEENSDVKASSVKPNLSLQQEVVAGVVSESGELAKTNDRSGDRTGLSPKIKAKADSKLKNPSGEEIGCVNQVKSEEKLKSVKDTVELDERPHKKAKLDNSVKVSSDKETTKCATEPCGTATIPSKKLKIDDKLKKSTNCKSPAVPSNDGIKTGDKAMEVTRRPDSDRSKWFGELPWEESLRDAHEFGKLVLFQNLDPAYSSAEVEDIVWNAFNETCRAKVVQQTAYSSPHFGQAFAIFKSREVAEDVIKKLDERCLLLPNRRPLVASIPNPCFSRKQSMFAGHLIVDKLKSQREMKAAVSTSHSSQPNTVEYDMAMEWFLMTERSNQFWKKLYEQQGKELKKLRVNFKSK >KJB54872 pep chromosome:Graimondii2_0_v6:9:3780530:3786647:1 gene:B456_009G052400 transcript:KJB54872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVDGIENLEFKWGKKRGTGGINTKVQFYESFTYDGIDYTLYDNVYLHKEGEPLPYLGKLIKIWETDSRLKKVKVLWFFQPSEISNYLFVELAHPNEVFLASGEGVGLANINPLEAIAGKCNVVCISKDGRNPQPSDEDIQMADYVFYRTFDVGQRIILDKIDGKIAGIDVKFIFNQSGSLKPCSIHNFSVDEPASENAIEANGRVVMSKLNSSANQISEDDRQVEQKPVVAENGFNHKAEENSDVKASSVKPNLSLQQEVVAGVVSESGELAKTNDRSGDRTGLSPKIKAKADSKLKNPSGEEIGCVNQVKSEEKLKSVKDTVELDERPHKKAKLDNSVKVSSDKETTKCATEPCGTATIPSKKLKIDDKLKKSTNCKSPAVPSNDGIKTGDKAMEVTRRPDSDRSKWFGELPWEESLRDAHEFGKLVLFQNLDPAYSSAEVEDIVWNAFNETCRAKVVQQTAYSSPHFGQAFAIFKSREVAEDVIKKLDERCLLLPNRRPLVASIPNPCFSRKQSMFAGHLIVDKLKSQREMKAAVSTSHSSQPNTVEYDMAMEWFLMTERSNQFWKKLYEQQGKELKKLRVNFKSK >KJB54867 pep chromosome:Graimondii2_0_v6:9:3780492:3786733:1 gene:B456_009G052400 transcript:KJB54867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVDGIENLEFKWGKKRGTGGINTKVQFYESFTYDGIDYTLYDNVYLHKEGEPLPYLGKLIKIWETDSRLKKVKVLWFFQPSEISNYLFVELAHPNEVFLASGEGVGLANINPLEAIAGKCNVVCISKDGRNPQPSDEDIQMADYVFYRTFDVGQRIILDKIDGKIAGIDVKFIFNQSGSLKPCSIHNFSVDEPASENAIEANGRVVMSKLNSSANQISEDDRQVEQKPVVAENGFNHKAEENSDVKASSVKPNLSLQQEVVAGVVSESGELAKTNDRSGDRTGLSPKIKAKADSKLKNPSGEEIGCVNQVKSEEKLKSVKDTVELDERPHKKAKLDNSVKVSSDKETTKCATEPCGTATIPSKKLKIDDKLKKSTNCKSPAVPSNDGIKTGDKAMEVTRRPDSDRSKWFGELPWEESLRDAHEFGKLVLFQNLDPAYSSAEVEDIVWNAFNETCRAKVVQQTAYSSPHFGQAFAIFKSREVAEDVIKKLDERCLLLPNRRPLVASIPNPCFSRKQSMFAGHLIVDKLKSQREMKAAVSTSHSSQPNTVEYDMAMEWFLMTERSNQFWKKLYEQQGKELKKLRVNFKSK >KJB54870 pep chromosome:Graimondii2_0_v6:9:3780530:3785380:1 gene:B456_009G052400 transcript:KJB54870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVDGIENLEFKWGKKRGTGGINTKVQFYESFTYDGIDYTLYDNVYLHKEGEPLPYLGKLIKIWETDSRLKKVKVLWFFQPSEISNYLFVELAHPNEVFLASGEGVGLANINPLEAIAGKCNVVCISKDGRNPQPSDEDIQMADYVFYRTFDVGQRIILDKIDGKIAGIDVKFIFNQSGSLKPCSIHNFSVDEPASENAIEANGRVVMSKLNSSANQISEDDRQVEQKPVVAENGFNHKAEENSDVKASSVKPNLSLQQEVVAGVVSESGELAKTNDRSGDRTGLSPKIKAKADSKLKNPSGEEIGCVNQVKSEEKLKSVKDTVELDERPHKKAKLDNSVKVSSDKETTKCATEPCGTATIPSKKLKIDDKLKKSTNCKSPAVPSNDGIKTGDKAMEVTRRPDSDRSKWFGELPWEESLRDAHEFGKLVLFQNLDPAYSSAEVEDIVWNAFNETCRAKVVQQTAYSSPHFGQAFAIFKSREVAEDVIKKLDERCLLLPNRRPLVASIPNPCFSRKQSMFAGHLIVDKLKSQREMVLSFSLFLIVGVC >KJB60901 pep chromosome:Graimondii2_0_v6:9:34149668:34151780:1 gene:B456_009G330500 transcript:KJB60901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFLGLNSKQSFPLVKEEVEEIGFTKSLGIQWPISNKFSSAVPPQPMSFDFAQGDNAKRIGYDSIVSSTFMHISPPDAAELQKSFNHNRQRVGNHFPFTAASSVQHDVHHVQRPYDMKMFPVSNQSVPVSTTNPFMNSHFTTTAMKSQLLGGIPVTTPTHSVLPTLGSFGGSIEPRKSVRGLGDSRSPVQLTIFYAGTVNVYDDITPEKAQAIMLLAGNGSSLTSNVAHPNVQVQAPISKPLQFETLPTNHFTNAQLCSGIPSPLSVSSHTGVQSRSGSTSTDEKTVCKTTGSLTTPISLVEAPKLANTMGPVTPTSMMPSVPQARKASLAWFLEKRKERLVFIQGTVIGSLMVF >KJB60899 pep chromosome:Graimondii2_0_v6:9:34149627:34152550:1 gene:B456_009G330500 transcript:KJB60899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDFAQGDNAKRIGYDSIVSSTFMHISPPDAAELQKSFNHNRQRVGNHFPFTAASSVQHDVHHVQRPYDMKMFPVSNQSVPVSTTNPFMNSHFTTTAMKSQLLGGIPVTTPTHSVLPTLGSFGGSIEPRKSVRGLGDSRSPVQLTIFYAGTVNVYDDITPEKAQAIMLLAGNGSSLTSNVAHPNVQVQAPISKPLQFETLPTNHFTNAQLCSGIPSPLSVSSHTGVQSRSGSTSTDEKTVCKTTGSLTTPISLVEAPKLANTMGPVTPTSMMPSVPQARKASLAWFLEKRKERIMTASPYDLSKKPPHCTTQESNA >KJB60900 pep chromosome:Graimondii2_0_v6:9:34149627:34152849:1 gene:B456_009G330500 transcript:KJB60900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFLGLNSKQSFPLVKEEVEEIGFTKSLGIQWPISNKFSSAVPPQPMSFDFAQGDNAKRIGYDSIVSSTFMHISPPDAAELQKSFNHNRQRVGNHFPFTAASSVQHDVHHVQRPYDMKMFPVSNQSVPVSTTNPFMNSHFTTTAMKSQLLGGIPVTTPTHSVLPTLGSFGGSIEPRKSVRGLGDSRSPVQLTIFYAGTVNVYDDITPEKAQAIMLLAGNGSSLTSNVAHPNVQVQAPISKPLQFETLPTNHFTNAQLCSGIPSPLSVSSHTGVQSRSGSTSTDEKTVCKTTGSLTTPISLVEAPKLANTMGPVTPTSMMPSVPQARKASLAWFLEKRKERIMTASPYDLSKKPPHCTTQESNA >KJB61145 pep chromosome:Graimondii2_0_v6:9:40316685:40318909:-1 gene:B456_009G343200 transcript:KJB61145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTFRLIYSVACIIYRFETEDKARRLLSNVSFLLKPGGYFFGITPEKVIAKNCCFWICVFSFFFLVVTAAPVSGR >KJB54879 pep chromosome:Graimondii2_0_v6:9:3787568:3791356:1 gene:B456_009G052500 transcript:KJB54879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAQSRIDNEESVARCKDRKILMKEAVVARNAFAAAHSGYAISLKNTGAALSDYGHGEAEVPLQHPHQIPPLDSTPQPPPPPPMMDNLPPPPPLPNFSPSPVVPIKRAVSMPAMPVKSRKEFDASLAIEEEEEEEEEEEEEEEHHEGHEVRAGNNKEDLRKDSRGSHREEITPPRTPENNNVDHHTPPMPEAKNVAWDYFFMVDDNMPGPSLDLHDDNDEESTNPNVDALENNVSGVGHVEVDSEIEPKTPERPDKVAMAAEADGKQQVHIEHSKTAPADFRRMVKAVSGVNLMQVLNEIDDHFLKGSESAQEVSKMLEATRLHYHSNFADNRGHIDHSERVMRVITWNRSFRGAMNGENRKGEFDSDESETHATILDKLLAWEKKLYDEVKQGEMMKLEYKRKVALLNKQKKHGASAESLEKAKAAVSHLHTRYIVDMQSMDSTVSEVNQIRDEQLYPKLFMLVDGMANMWARMCMHHDSQLKIVEKLKSLDIAFASKETTKHHHERTIQLHNVVQEWHSQFDKLVTHQKQYIQALNNWLKLNLIPIESSLKEKVSSPPRAQNPPIQKLLHTWHDYLEKLPDEVAKSAISSFAAVIKTIIIHQEEEMKLKEKCEETRKEFLRKSQAFEEWYHKYRQRRSGSDEMDAERGEDANAKDPVSERQFAVESLKKRLEEEVEAHEKHCIQVREKSLGSLKIRLPELFRAMSDYSHACSDAYEKLRTVIQSQKPNTAPSK >KJB61815 pep chromosome:Graimondii2_0_v6:9:51968441:51974500:1 gene:B456_009G383000 transcript:KJB61815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVVAIANCLRTPVCKYLQYHRKLNDYARNFKTIRDELNCKMEDIELQLKAELLRPLGKIPKKGVENWLKAVNEMIREAQVVENKVSNGRYLCRACNGKLVDEKTREMKGFLDKAPNASEGLAMDGPSAGLPLPTSELVGEEAVRNEIWACLMQEEVSKIGVWGMGGVGKTTIMKHIHNDLLKQQRFERVIWVTISKEFNVMKVQDDIAGALKLKEDWPREGDKLRRAAILSEMLKNAGKRVLILDDVWDKVSLEEVGIPEPSGSNGCKLVLTTRSEHVCKYMGCKVIKVKPLSEEEALILFLNKVGPNIVQSPTIMPTLKLVVKECAGLPLTIVVVAGTMKGEDNPLIWKNALGELKERIGKVEGVEAEVIERLKFSFDHLKDEKVKYCFLHCALYPEDFEIEKDELIECWIEEGFIDDMGTRQEMKGKGHVILKKLEDNCLLENVSSGKMKMHDAVRDMALSITRMNPRYMIQAGLQLEELPEKEQWSPDIEKVSLMYNSISEISIHVLPTKCQLLTTLLLQHNPIKKISISFFTNMPCLCVLNLSFTKIESLPNSISELKNLTTLLLRGCVELRDLPCLAMLQELKKLDLFATKIEEVPEGMDMLINLRYLDLRVRTLKEIPAGLLPKLVHLQHLGFYESNEKTSLKAEEMEPLKKLECLTGHFKDISEFNKFISSMQQSKKNLIKYSLHVGSSLLHAAGDKRVTIGGVQNWEGELIMHPIEIQELHIIECDYLMNLVDDNSFFKNAIDLRVCRIWWCEEIECLVPLSSFASSSAHPFQSLEVLELSELPKLSALIMNDEGIGSAKTSTLAPSTTFSHRKKICVGRCSSMKTLLPHWLLPNLQNLEEIRVIECDEIVEILGAATSEVEEKGSDALIKFHFPKLRELRLSNLPNLKSICSKSGVMVCDSLEFIDVYKCDKLKRIPPFVPLVGNGQPFAYAPPSLTIRSWKEWWESLEWDDHPNFKNVLRFDPFGG >KJB54053 pep chromosome:Graimondii2_0_v6:9:1448370:1450543:-1 gene:B456_009G018600 transcript:KJB54053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSVLFCLIHTSREMHSRNKKAMELIAKGWSALKEVDRVIDYCELNDKRLIPLLRAAKENFELALEADNSNTHARYWLSKLHLKYHVPGACKAIGAALLVEAADMGDKDAQYELGCRLRVENDYVQSDQQAFYYLEKAVDQLHPGALYLLGAVYLTGDCVKKDISSALWCFHRASEKGHAGAAIAYGSLLLRGALVPECLTKFSSKRGSAAKRTKKNVDHPAGNPVEMAKEQFRIAATAGCDLGLKWLQRLEEVEERLLSESNSTDGVSQSNTALL >KJB54054 pep chromosome:Graimondii2_0_v6:9:1448015:1450709:-1 gene:B456_009G018600 transcript:KJB54054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQLFAKSTAKHATFSFILFNPHREMHSRNKKAMELIAKGWSALKEVDRVIDYCELNDKRLIPLLRAAKENFELALEADNSNTHARYWLSKLHLKYHVPGACKAIGAALLVEAADMGDKDAQYELGCRLRVENDYVQSDQQAFYYLEKAVDQLHPGALYLLGAVYLTGDCVKKDISSALWCFHRASEKGHAGAAIAYGSLLLRGALVPECLTKFSSKRGSAAKRTKKNVDHPAGNPVEMAKEQFRIAATAGCDLGLKWLQRLEEVEERLLSESNSTDGVSQSNTALL >KJB54055 pep chromosome:Graimondii2_0_v6:9:1448958:1450655:-1 gene:B456_009G018600 transcript:KJB54055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HFQQQQQKTEYREAISSQTQSLRETMLRQLFAKSTAKHATFSFILFNPHREMHSRNKKAMELIAKGWSALKEVDRVIDYCELNDKRLIPLLRAAKENFELALEADNSNTHARYWLSKLHLKYHVPGACKAIGAALLVEAADMGDKDAQYELGCRLRVENDYVQSDQQAFYYLEKAVDQLHPGALYLLGAVYLTGDCVKKDISSALWCFHRASEKVRFLFVLIICGFVYCM >KJB56115 pep chromosome:Graimondii2_0_v6:9:7728156:7728638:-1 gene:B456_009G106500 transcript:KJB56115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFGFLTIAFFLVFELTFATDSPAPAPSLGADTSPLLAPTPVSGSPDSAPLISPVMPASPPAPIAPSPSDLAEGESSSSSSPAPSPGDASDIDHSNINAKESENKTGGGGGLNGGKKAGIVVGVVAAACLVGFGGLVYKKRQDNIRRSQYGYAARREIL >KJB59617 pep chromosome:Graimondii2_0_v6:9:21838033:21845315:1 gene:B456_009G264100 transcript:KJB59617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVLQTSFLPSTSSLRRPSISGSKGKSQSLQVKAKIREIFMPALSSTMTEGKIVSWMKSEGDKLSKGESVVVVESDKADMDVESFHEGFLAAIMVEEGGVAPVGSAIALLAETEDEISEAKAKSQSSSSSTPQVVEEKPKQIEEAAPDVPAAAAAPSVPVAKAVTLGSAVHPASEGGKRIVASPYAKKLAKELKVDLGTVVGSGPLGRIVAKDVEAAAVAVPVAASPAKPDPVAPGIELGTVVPFTTMQGAVSRNMVESLSVPTFRVGYTITTNALDALYKKIKSKGVTMTALLAKATALALVQHPVVNSCCRDGNSFTYNSSINIAVAVAIDGGLITPVLQDADKVDIYTLSRKWKELVDKARAKQLQPHEYNTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASQPTVVASKDGRIGMKNQMQVNVTADHRVIYGADLAAFLQTLAKIVEDPKDLTF >KJB54243 pep chromosome:Graimondii2_0_v6:9:2043272:2044840:1 gene:B456_009G026700 transcript:KJB54243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPIEHDYIGLSEQQLPSMEKSSDKKDGLHLKDTELRLGLPGSESPEREDHDQNVLSLKSFVSGAKRGFSDALDGGGNWVFSGGSGGGTEGLFSPRRGGKNNGGKDLSGSDSGSVLKDGAAQKPSVVQEKKLQVAATSSHGNGNIAPASKAQVVGWPPIRSFRKNTMAAHPPKNDDGDGNAEAKLGSGCLYVKVSMDGAPYLRKVDLKIYGSYKELSSALEKMFSCFTIGQCGSHGVSSGDGLTESRLVDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCKRLRIMKSTEAIGLGML >KJB54240 pep chromosome:Graimondii2_0_v6:9:2043099:2045397:1 gene:B456_009G026700 transcript:KJB54240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPIEHDYIGLSEQQLPSMEKSSDKKDGLHLKDTELRLGLPGSESPEREDHDQNVLSLKSFVSGAKRGFSDALDGGGNWVFSGGSGGGTEGLFSPRRGGKNNGGKDLSGSDSGSVLKDGAAQKPSVVQEKKLQVAATSSHGNGNIAPASKAQVVGWPPIRSFRKNTMAAHPPKNDDGDGNAEAKLGSGCLYVKVSMDGAPYLRKVDLKIYGSYKELSSALEKMFSCFTIGQCGSHGVSSGDGLTESRLVDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCKRLRIMKSTEAIGLAPRAMEKCKNRT >KJB54245 pep chromosome:Graimondii2_0_v6:9:2043130:2045372:1 gene:B456_009G026700 transcript:KJB54245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPIEHDYIGLSEQQLPSMEKSSDKKDGLHLKDTELRLGLPGSESPEREDHDQNVLSLKSFVSGAKRGFSDALDGGGNWVFSGGSGGGTEGLFSPRRGGKNNGGKDLSGSDSGSVLKDGAAQKPSVVQEKKLQVAATSSHGNGNIAPASKAQVVGWPPIRSFRKNTMAAHPPKNDDGDGNAEAKLGSGCLYVKVSMDGAPYLRKVDLKIYGSYKELSSALEKMFSCFTIGQCGSHGVSSGDGLTESRLVDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCKRLRIMKSTEAIGLAPRAMEKCKNRT >KJB54242 pep chromosome:Graimondii2_0_v6:9:2043130:2045372:1 gene:B456_009G026700 transcript:KJB54242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPIEHDYIGLSEQQLPSMEKSSDKKDGLHLKDTELRLGLPGSESPEREDHDQNVLSLKSFVSGAKRGFSDALDGGGNWVFSGGSGGGTEGLFSPRRGGKNNGGKDLSGSDSGSVLKDGAAQKPSVVQEKKLQVAATSSHGNGNIAPASKAQVVGWPPIRSFRKNTMAAHPPKNDDGDGNAEAKLGSGCLYVKVSMDGAPYLRKVDLKIYGSYKELSSALEKMFSCFTIGQCGSHGVSSGDGLTESRLVDLLHGSEYVLTYEDKDGDWMLVGDVPWE >KJB54244 pep chromosome:Graimondii2_0_v6:9:2043130:2045372:1 gene:B456_009G026700 transcript:KJB54244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPIEHDYIGLSEQQLPSMEKSSDKKDGLHLKDTELRLGLPGSESPEREDHDQNVLSLKSFVSGAKRGFSDALDGGGNWVFSGGSGGGTEGLFSPRRGGKNNGGKDLSGSDSGSVLKDGAAQKPSVVQEKKLQVAATSSHGNGNIAPASKAQVVGWPPIRSFRKNTMAAHPPKNDDGDGNAEAKLGSGCLYVKVSMDGAPYLRKVDLKIYGSYKELSSALEKMFSCFTIGQCGSHGVSSGDGLTESRLVDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCKRLRIMKSTEAIGLVTRQCLLPNK >KJB54241 pep chromosome:Graimondii2_0_v6:9:2043272:2044683:1 gene:B456_009G026700 transcript:KJB54241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPIEHDYIGLSEQQLPSMEKSSDKKDGLHLKDTELRLGLPGSESPEREDHDQNVLSLKSFVSGAKRGFSDALDGGGNWVFSGGSGGGTEGLFSPRRGGKNNGGKDLSGSDSGSVLKDGAAQKPSVVQEKKLQVAATSSHGNGNIAPASKAQVVGWPPIRSFRKNTMAAHPPKNDDGDGNAEAKLGSGCLYVKVSMDGAPYLRKVDLKIYGSYKELSSALEKMFSCFTIGQCGSHGVSSGDGLTESRLVDLLHGSEYVLTYEDKDGDWMLVGDVPWE >KJB59367 pep chromosome:Graimondii2_0_v6:9:20529218:20530703:1 gene:B456_009G251900 transcript:KJB59367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALENCFQLSSVCTTRTCVMQSYRHQISSVEKIHLPTFRGFNKPNLSFSSSFVHSFKGRCQKSRLICKASEAVDQVEAVTEASWGELVLGSETPVLVEFWAPWCGPCRMIEPVIADLAKEYAGKIACYKLNTDESPNIATEFGIRSIPTMLFFKNGEKKESIIGAVPKSTLAASIDKYVDS >KJB61430 pep chromosome:Graimondii2_0_v6:9:47039348:47044834:1 gene:B456_009G358200 transcript:KJB61430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCNCIEPQWPPDDLLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTVHSRTVASVMTTAKVLTAAVSCITALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHHPVINQVFSSNRAVKISPNCPVARLRPAGKYMPGEVVAVRVPLLHLSNFHINDWPELSTKIYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMDQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETVLKSSNLLATLINDVLDLSRLEDGSLQLDLGTFNLYAVFREVLNLIKPIASVKKLHVSLNLAPDLPEYAVGDEKRLMQTILNIVGNAVKFSKEGSISITAFVAKLDTLRDSKAPEFLPVLSDNHFYLRVQVKDSGSGINPLDIPKLFTKFAQNQSTGTRNSGGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTAILIVKLGMPERVNESKLPYMPKMPTNHGQTAFPGLKVLVMDENGVSRMVTKGLLLHLGCNVTMVGSSDECLRLVSHEHKVVFMDICVPGIDSYGITIRIHEKFTKCHERPLLVALTGNTDKVTKENCMRAGMDGLILKPVSLDKMRSVLTDLLEHRVFFEAI >KJB61429 pep chromosome:Graimondii2_0_v6:9:47040660:47044042:1 gene:B456_009G358200 transcript:KJB61429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCNCIEPQWPPDDLLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTVHSRTVASVMTTAKVLTAAVSCITALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHHPVINQVFSSNRAVKISPNCPVARLRPAGKYMPGEVVAVRVPLLHLSNFHINDWPELSTKIYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMDQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETVLKSSNLLATLINDVLDLSRLEDGSLQLDLGTFNLYAVFREVLNLIKPIASVKKLHVSLNLAPDLPEYAVGDEKRLMQTILNIVGNAVKFSKEGSISITAFVAKLDTLRDSKAPEFLPVLSDNHFYLRVQVKDSGSGINPLDIPKLFTKFAQNQSTGTRNSGGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTAILIVKLGMPERVNESKLPYMPKMPTNHGQTAFPGLKVLVMDENGSGLAGW >KJB61428 pep chromosome:Graimondii2_0_v6:9:47039110:47045357:1 gene:B456_009G358200 transcript:KJB61428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCNCIEPQWPPDDLLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTVHSRTVASVMTTAKVLTAAVSCITALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHHPVINQVFSSNRAVKISPNCPVARLRPAGKYMPGEVVAVRVPLLHLSNFHINDWPELSTKIYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMDQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETVLKSSNLLATLINDVLDLSRLEDGSLQLDLGTFNLYAVFREVLNLIKPIASVKKLHVSLNLAPDLPEYAVGDEKRLMQTILNIVGNAVKFSKEGSISITAFVAKLDTLRDSKAPEFLPVLSDNHFYLRVQVKDSGSGINPLDIPKLFTKFAQNQSTGTRNSGGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTAILIVKLGMPERVNESKLPYMPKMPTNHGQTAFPGLKVLVMDENGVSRMVTKGLLLHLGCNVTMVGSSDECLRLVSHEHKVVFMDICVPGIDSYGITIRIHEKFTKCHERPLLVALTGNTDKVTKENCMRAGMDGLILKPVSLDKMRSVLTDLLEHRVFFEAI >KJB63060 pep chromosome:Graimondii2_0_v6:9:70244737:70247020:-1 gene:B456_009G451600 transcript:KJB63060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKGRQDGTGSAKVEVGEIDTSAPFQSVKDAVTLFGEGAFSGEKPAIKKSKAYSPERVVAKETQLHVAQKELNKLKEQLENAKTTKTQALAELEKAKSMVEELTCKLKTINESKDSAIKATEAAKSQAKHIEEANSDILPGPDDARSQDLETSREQYMAVIAELDAAKQGLRKLHQDCDASLEAKITAINLTLEAKHSAKANIEKIGELSKQISSLQGSIGQVKLASLEAQQEQAKFFAEKDTQRELYKATIEDSRKKLLALKNEFDPELTRNLEAQLLETVNQIGDLQKQMENAKASDLESVRIVTLELDGAKESLQKVAEEENSLRSMVESLQLELENVKKEHSKLKEKDVETESIAGNLHVKLQKSKSELEAFLVEESKTRGACEEMFSTLQQLTVEAGNARLEATEMKKEAEKLKLEAEAARISLEEASKQLKADLEEAEVAKEAEIRALDQMKMLSEKTSAARTSTSESGANVTISREEFEALSHKVEESDNLAEMKVAAAIAQVEAIKASESEALKRLEATQKEIEDMKVATADALKRAEMAEAAKRAVEGELRRWREREQKKAAEAASRILAEAQMSTESSPQHYRLQKPNPPEKIQVHKLEKERSSISKKVLLPNISGIFNRKKNQIEGGSPSYLPGENPF >KJB63063 pep chromosome:Graimondii2_0_v6:9:70244291:70247124:-1 gene:B456_009G451600 transcript:KJB63063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKGRQDGTGSAKVEVGEIDTSAPFQSVKDAVTLFGEGAFSGEKPAIKKSKAYSPERVVAKETQLHVAQKELNKLKEQLENAKTTKTQALAELEKAKSMVEELTCKLKTINESKDSAIKATEAAKSQAKHIEEANSDILPGPDDARSQDLETSREQYMAVIAELDAAKQGLRKLHQDCDASLEAKITAINLTLEAKHSAKANIEKIGELSKQISSLQGSIGQVKLASLEAQQEQAKFFAEKDTQRELYKATIEDSRKKLLALKNEFDPELTRNLEAQLLETVNQIGDLQKQMENAKASDLESVRIVTLELDGAKESLQKVAEEENSLRSMVESLQLELENVKKEHSKLKEKDVETESIAGNLHVKLQKSKSELEAFLVEESKTRGACEEMFSTLQQLTVEAGNARLEATEMKKEAEKLKLEAEAARISLEEASKQLKADLEEAEVAKEAEIRALDQMKMLSEKTSAARTSTSESGANVTISREEFEALSHKVEESDNLAEMKVAAAIAQVEAIKASESEALKRLEATQKEIEDMKVATADALKRAEMAEAAKRAVEGELRRWREREQKKAAEAASRILAEAQMSTESSPQHYRLQKPNPPEKIQVHKLEKERSSISKKVLLPNISGIFNRKKNQIEGGSPSYLPGENPF >KJB63062 pep chromosome:Graimondii2_0_v6:9:70244737:70247020:-1 gene:B456_009G451600 transcript:KJB63062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKGRQDGTGSAKVEVGEIDTSAPFQSVKDAVTLFGEGAFSGEKPAIKKSKAYSPERVVAKETQLHVAQKELNKLKEQLENAKTTKTQALAELEKAKSMVEELTCKLKTINESKDSAIKATEAAKSQAKHIEEANSDILPGPDDARSQDLETSREQYMAVIAELDAAKQGLRKLHQDCDASLEAKITAINLTLEAKHSAKANIEKIGELSKQISSLQGSIGQVKLASLEAQQEQAKFFAEKDTQRELYKATIEDSRKKLLALKNEFDPELTRNLEAQLLETVNQIGDLQKQMENAKASDLESVRIVTLELDGAKESLQKVAEEENSLRSMVESLQLELENVKKEHSKLKEKDVETESIAGNLHVKLQKSKSELEAFLVEESKTRGACEEMFSTLQQLTVEAGNARLEATEMKKEAEKLKLEAEAARISLEEASKQLKADLEEAEVAKEAEIRALDQMKMLSEKTSAARTSTSESGANVTISREEFEALSHKVEESDNLAEMKVAAAIAQVEAIKASESEALKRLEATQKEIEDMKVATADALKRAEMAEAAKRAVEGELRRWREREQKKAAEAASRILAEAQMSTESSPQHYRLQKPNPPEKIQVHKLEKERSSISKKVLLPNISGIFNRKKNQIEGGSPSYLPGENPF >KJB63064 pep chromosome:Graimondii2_0_v6:9:70244737:70247020:-1 gene:B456_009G451600 transcript:KJB63064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKGRQDGTGSAKVEVGEIDTSAPFQSVKDAVTLFGEGAFSGEKPAIKKSKAYSPERVVAKETQLHVAQKELNKLKEQLENAKTTKTQALAELEKAKSMVEELTCKLKTINESKDSAIKATEAAKSQAKHIEEANSDILPGPDDARSQDLETSREQYMAVIAELDAAKQGLRKLHQDCDASLEAKITAINLTLEAKHSAKANIEKIGELSKQISSLQGSIGQVKLASLEAQQEQAKFFAEKDTQRELYKATIEDSRKKLLALKNEFDPELTRNLEAQLLETVNQIGDLQKQMENAKASDLESVRIVTLELDGAKESLQKVAEEENSLRSMVESLQLELENVKKEHSKLKEKDVETESIAGNLHVKLQKSKSELEAFLVEESKTRGACEEMFSTLQQLTVEAGNARLEATEMKKEAEKLKLEAEAARISLEEASKQLKADLEEAEVAKEAEIRALDQMKMLSEKTSAARTSTSESGANVTISREEFEALSHKVEESDNLAEMKVAAAIAQVEAIKASESEALKRLEATQKEIEDMKVATADALKRAEMAEAAKRAVEGELRRWREREQKKAAEAASRILAEAQMSTESSPQHYRLQKPNPPEKIQVHKLEKERSSISKKVLLPNISGIFNRKKNQIEGGSPSYLPGENPF >KJB63061 pep chromosome:Graimondii2_0_v6:9:70244291:70248330:-1 gene:B456_009G451600 transcript:KJB63061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKGRQDGTGSAKVEVGEIDTSAPFQSVKDAVTLFGEGAFSGEKPAIKKSKAYSPERVVAKETQLHVAQKELNKLKEQLENAKTTKTQALAELEKAKSMVEELTCKLKTINESKDSAIKATEAAKSQAKHIEEANSDILPGPDDARSQDLETSREQYMAVIAELDAAKQGLRKLHQDCDASLEAKITAINLTLEAKHSAKANIEKIGELSKQISSLQGSIGQVKLASLEAQQEQAKFFAEKDTQRELYKATIEDSRKKLLALKNEFDPELTRNLEAQLLETVNQIGDLQKQMENAKASDLESVRIVTLELDGAKESLQKVAEEENSLRSMVESLQLELENVKKEHSKLKEKDVETESIAGNLHVKLQKSKSELEAFLVEESKTRGACEEMFSTLQQLTVEAGNARLEATEMKKEAEKLKLEAEAARISLEEASKQLKADLEEAEVAKEAEIRALDQMKMLSEKTSAARTSTSESGANVTISREEFEALSHKVEESDNLAEMKVAAAIAQVEAIKASESEALKRLEATQKEIEDMKVATADALKRAEMAEAAKRAVEGELRRWREREQKKAAEAASRILAEAQMSTESSPQHYRLQKPNPPEKIQEFKVEYIQGLVCKYHGFPVRLKHECR >KJB53860 pep chromosome:Graimondii2_0_v6:9:718514:721272:-1 gene:B456_009G008700 transcript:KJB53860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAVKIEARLSFPMTIYVKQMGFGCSYEEAWSNNRRDIISFLKVQSLQALFFFFIFSFW >KJB53861 pep chromosome:Graimondii2_0_v6:9:719943:721272:-1 gene:B456_009G008700 transcript:KJB53861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAVKIEARLSFPMTIYVKQMGFGCSYEEAWSNNRRDIISFLKVQSLQALFFFFIFSFW >KJB58353 pep chromosome:Graimondii2_0_v6:9:15936392:15945452:1 gene:B456_009G205900 transcript:KJB58353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSADDEELRRACEAAIEGTKQKIVMSIRVAKSRGIWGKSGRLGRNMAKPRVLALSVKSKGQETEAFLRVLKYSSGGVLEPAKLYKLKHLSKVEVVTNDPSGCTFTLGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILNICKDVLGCLPKVVGVDIVEMALWAKENTPSVTTQRNLQDGPVATTVPESGMKVTVEKELVSQVEEEDIEALLGTYVMGIGEAEAFSERLKRELHALEAANVHAILESEPLVDEVLQGLEAATSCVDDMDDWLGMFNVKLRHMREDIESIESRNNKLEMQSVNNKSLIEELDKLLERLCVPSEYAACLTGGSFDEARMLQNVEACEWLTGALRGLQVPNLDPSYAKMRAVKDKRAELEKLKATFVRRASEFLRNYFASLVDFMIGDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEASTGGSQSGNSADTSAVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPALAPPGGVANGGKSGSYDDDTNDDDLGIMDIDDNDSKAGKTSADLQSLNESLQDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGALESKISMQFSKFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSVMFATLEKLAQTDPKYADIFLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARKIEDLMFTISAEEIPFQLGLSKMDLRKMLKSSLSGVDKSITAMYKKLQKNLTSEELLPSLWDKCKKEFLDKYDSFAQLVAKIYPTETIPSVSEMRDLLASM >KJB60023 pep chromosome:Graimondii2_0_v6:9:24423845:24425954:1 gene:B456_009G286900 transcript:KJB60023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTYEELHELCTRSMYVFISYRNQMLLIWAIVLFSVKHGPGICLCPGPWTCNLDENIMKSVSYTVLYYYSGTLRWCFYSLSFYETVVGEGYFVAGLVWILMNANLCLVNLSCCSF >KJB59231 pep chromosome:Graimondii2_0_v6:9:19797986:19802805:1 gene:B456_009G245900 transcript:KJB59231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKWLWKRRSSERSPGETDTSGSLSSHSERYSDDQDVSKLSSPLNNNTQSPEVSSKASTNSEDVTDSVKILTEKLSAALVNISAKEDLVKQHSKVAEEAIAGWENAENEVVVLKQKLEASIQQNLTLEDRVSHLDGALKECVRQLRQAREEQEEKIGEAIAKAAQDWETTKLELESKLLDLQAKSEAINSKLPPQVGPEVWRKIEDLEKKNADLKLELSSQLEEMEIRTIERDLSTQAAETASKQHLESIKKVAKLEAECRRLKAIAGKSLNISSIYVGPLTDSQSDSGERVNLVEIDTHKMICSEANKGELSCSDSWASALIAELDQFKNEKTVNRSLPGSSIEIDIMDDFLEMERLAALPATKSKNQCLELKATAKVSNNDGDSLLLKAELEAMIHRTTELEKKLEKIEVEKAELETALTKTRESLNESKLQLRDSGLKLEELQRELSMVNEAKQNLESQLRNMEADVETMSSKIESLEKEIEKERTLSAEVSVNANESKKMLESQLISIEVEARTMSAKIDSLETEVEKERALSAQITVKCQELEEELSRKKQETELQQTVNSNVEVKIKQEDLTAAAGKLAECQRTIASLGQQLKSLATLEDFLIDSASIPEFPKGRSLIPEAGGEPWNLHSNETFSPKRDPESPRTSSDKNNGNTPPSSSSSSSIMTSNHASSEKNRNGFAKFFTRSKNGIQLEI >KJB59235 pep chromosome:Graimondii2_0_v6:9:19799979:19802804:1 gene:B456_009G245900 transcript:KJB59235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLDVSKLSSPLNNNTQSPEVSSKASTNSEDVTDSVKILTEKLSAALVNISAKEDLVKQHSKVAEEAIAGWENAENEVVVLKQKLEASIQQNLTLEDRVSHLDGALKECVRQLRQAREEQEEKIGEAIAKAAQDWETTKLELESKLLDLQAKSEAINSKLPPQVGPEVWRKIEDLEKKNADLKLELSSQLEEMEIRTIERDLSTQAAETASKQHLESIKKVAKLEAECRRLKAIAGKSLNISSIYVGPLTDSQSDSGERVNLVEIDTHKMICSEANKGELSCSDSWASALIAELDQFKNEKTVNRSLPGSSIEIDIMDDFLEMERLAALPATKSKNQCLELKATAKVSNNDGDSLLLKAELEAMIHRTTELEKKLEKIEVEKAELETALTKTRESLNESKLQLRDSGLKLEELQRELSMVNEAKQNLESQLRNMEADVETMSSKIESLEKEIEKERTLSAEVSVNANESKKMLESQLISIEVEARTMSAKIDSLETEVEKERALSAQITVKCQELEEELSRKKQETELQQTVNSNVEVKIKQEDLTAAAGKLAECQRTIASLGQQLKSLATLEDFLIDSASIPEFPKGRSLIPEAGGEPWNLHSNETFSPKRDPESPRTSSDKNNGNTPPSSSSSSSIMTSNHASSEKNRNGFAKFFTRSKNGIQLEI >KJB59232 pep chromosome:Graimondii2_0_v6:9:19797986:19802804:1 gene:B456_009G245900 transcript:KJB59232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKWLWKRRSSERSPGETDTSGSLSSHSERYSDDQDVSKLSSPLNNNTQSPEVSSKASTNSEDVTDSVKILTEKLSAALVNISAKEDLVKQHSKVAEEAIAGWENAENEVVVLKQKLEASIQQNLTLEDRVSHLDGALKECVRQLRQAREEQEEKIGEAIAKAAQDWETTKLELESKLLDLQAKSEAINSKLPPQVGPEVWRKIEDLEKKNADLKLELSSQLEEMEIRTIERDLSTQAAETASKQHLESIKKVAKLEAECRRLKAIAGKSLNISSIYVGPLTDSQSDSGERVNLVEIDTHKMICSEANKGELSCSDSWASALIAELDQFKNEKTVNRSLPGSSIEIDIMDDFLEMERLAALPATKSKNQCLELKATAKVSNNDGDSLLLKAELEAMIHRTTELEKKLEKIEVEKAELETALTKTRESLNESKLQLRDSGLKLEELQRELSMVNEAKQNLESQLRNMEADVETMSSKIESLEKEIEKERTLSAEVSVNANESKKMLESQLISIEVEARTMSAKIDSLETEVEKERALSAQITVKCQELEEELSRKKQETELQQTVNSNVEVKIKQEDLTAAAGKLAECQRTIASLGQQLKSLATLEDFLIDSASIPEFPKGRSLIPEAGGEPWNLHSNETFSPKRDPESPRTSSDKNNGNTPPSSSSSSSIMTSNHASSEKNRNGFAKFFTRSKNGIQLEI >KJB59233 pep chromosome:Graimondii2_0_v6:9:19798678:19802804:1 gene:B456_009G245900 transcript:KJB59233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRRTISDELSGAGYFKLAMEKRKWLWKRRSSERSPGETDTSGSLSSHSERYSDDQDVSKLSSPLNNNTQSPEVSSKASTNSEDVTDSVKILTEKLSAALVNISAKEDLVKQHSKVAEEAIAGWENAENEVVVLKQKLEASIQQNLTLEDRVSHLDGALKECVRQLRQAREEQEEKIGEAIAKAAQDWETTKLELESKLLDLQAKSEAINSKLPPQVGPEVWRKIEDLEKKNADLKLELSSQLEEMEIRTIERDLSTQAAETASKQHLESIKKVAKLEAECRRLKAIAGKSLNISSIYVGPLTDSQSDSGERVNLVEIDTHKMICSEANKGELSCSDSWASALIAELDQFKNEKTVNRSLPGSSIEIDIMDDFLEMERLAALPATKSKNQCLELKATAKVSNNDGDSLLLKAELEAMIHRTTELEKKLEKIEVEKAELETALTKTRESLNESKLQLRDSGLKLEELQRELSMVNEAKQNLESQLRNMEADVETMSSKIESLEKEIEKERTLSAEVSVNANESKKMLESQLISIEVEARTMSAKIDSLETEVEKERALSAQITVKCQELEEELSRKKQETELQQTVNSNVEVKIKQEDLTAAAGKLAECQRTIASLGQQLKSLATLEDFLIDSASIPEFPKGRSLIPEAGGEPWNLHSNETFSPKRDPESPRTSSDKNNGNTPPSSSSSSSIMTSNHASSEKNRNGFAKFFTRSKNGIQLEI >KJB59234 pep chromosome:Graimondii2_0_v6:9:19799134:19802804:1 gene:B456_009G245900 transcript:KJB59234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKWLWKRRSSERSPGETDTSGSLSSHSERYSDDQDVSKLSSPLNNNTQSPEVSSKASTNSEDVTDSVKILTEKLSAALVNISAKEDLVKQHSKVAEEAIAGWENAENEVVVLKQKLEASIQQNLTLEDRVSHLDGALKECVRQLRQAREEQEEKIGEAIAKAAQDWETTKLELESKLLDLQAKSEAINSKLPPQVGPEVWRKIEDLEKKNADLKLELSSQLEEMEIRTIERDLSTQAAETASKQHLESIKKVAKLEAECRRLKAIAGKSLNISSIYVGPLTDSQSDSGERVNLVEIDTHKMICSEANKGELSCSDSWASALIAELDQFKNEKTVNRSLPGSSIEIDIMDDFLEMERLAALPATKSKNQCLELKATAKVSNNDGDSLLLKAELEAMIHRTTELEKKLEKIEVEKAELETALTKTRESLNESKLQLRDSGLKLEELQRELSMVNEAKQNLESQLRNMEADVETMSSKIESLEKEIEKERTLSAEVSVNANESKKMLESQLISIEVEARTMSAKIDSLETEVEKERALSAQITVKCQELEEELSRKKQETELQQTVNSNVEVKIKQEDLTAAAGKLAECQRTIASLGQQLKSLATLEDFLIDSASIPEFPKGRSLIPEAGGEPWNLHSNETFSPKRDPESPRTSSDKNNGNTPPSSSSSSSIMTSNHASSEKNRNGFAKFFTRSKNGIQLEI >KJB60897 pep chromosome:Graimondii2_0_v6:9:33933650:33934527:-1 gene:B456_009G330300 transcript:KJB60897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGWILKRKKKQNSTSNTPTSTASPSTRSSSQTQLQELEQIFNKLDVNGDGKISLSELGSIMGSLAQKHQSKEELQKMMKEFNADYGDGFMNFDQFVELNTKGVESEEVLKDAFSVYDRNGNGWISAEELQEVLKSLGDECSIEECRKMISGVDNDGNGMIDFQEFKVMMVAAHSIQPLNGLNL >KJB53378 pep chromosome:Graimondii2_0_v6:9:50948500:50949067:1 gene:B456_009G3748003 transcript:KJB53378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FAKRKLDEFVFLGNHLLVSYAPQFESLDDTKDKLEGRRKEILARLNSQRSKGHPVHRVLSSNEAPSLPASSHHIPNQINNRLRVQVSQ >KJB53379 pep chromosome:Graimondii2_0_v6:9:50948501:50948998:1 gene:B456_009G3748003 transcript:KJB53379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FAKRKLDEFVFLGNHLLVSYAPQFESLDDTKDKLEGRRKEILARLNSQRSKGHPVHRVLSSNEAPSLPASSHHIPNQINNRLR >KJB53380 pep chromosome:Graimondii2_0_v6:9:50948500:50949067:1 gene:B456_009G3748003 transcript:KJB53380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FAKRKLDEFVFLGNHLLVSYAPQFESLDDTKDKLEGRRKEILARLNSQRSKGHPVHRVLSSNEAPSLPASSHHIPNQINNRLRDVGDAEFRSHSNNARITRVSSD >KJB53377 pep chromosome:Graimondii2_0_v6:9:50948500:50949067:1 gene:B456_009G3748003 transcript:KJB53377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FAKRKLDEFVFLGNHLLVSYAPQFESLDDTKDKLEGRRKEILARLNSQRSKGHPVHRVLSSNEAPSLPASSHHIPNQINNRLRDVGDAEFRSHSNNARITRVSSDK >KJB53376 pep chromosome:Graimondii2_0_v6:9:50948500:50949069:1 gene:B456_009G3748003 transcript:KJB53376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FAKRKLDEFVFLGNHLLVSYAPQFESLDDTKDKLEGRRKEILARLNSQRSKGHPVHRVLSSNEAPSLPASSHHIPNQINNRLRDVGDAEFRSHSNNARITRVSSDK >KJB61399 pep chromosome:Graimondii2_0_v6:9:46329041:46331200:-1 gene:B456_009G3550001 transcript:KJB61399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLISASADNRDAESLFRANPISEIRNVESTTNKQIQDKKEELRQLVGTRYRDLIDSADSILHMKSASESISSNISSIHRCIRSLSVSDLEPSPKLQSSPNNTTRLRIYGIACRVKYLVDTPENIWGCLDESMFLEAAARYIRAKHVHSNLMLPDGDLDLSILSNFPLLQHQWQIVDSFKAQISQRSRERLLDRGLSVAAYADALAAVAVIDELDPEQVLGLFLEARKTWILHTLTASAGNANATSSTAISAFCDVLSIIQVTVAQVGELFLHVMNDMPLFYKVILGSPPASQLFGGIPNPDDEIRLWKSFRDKLESVTVILHKTFISGTCWSWLRDCGARITSKINGRYLIDAISSGQDLRAAEKSIRLTMESKEVLQGSLEWLKNVFGSDIELPWNRIRELVLEGDSDLWDEIFEDAFARRMKMIIDSGFEDLTRAVNVSGVIHTIAAIAGEKVVSETYLTRSSTGGRVWFTEPNNCKKAGPLLGNRAVPEEDNFQSCLNAYFGPEVSRIRDQVDSLCQSVLEDLLSFLESSKVSVRLKDLVPYLQDKCYESLSTIMKELKTELDILYTAIGSEPPSIIVEISLFIGRLLFAFENHSKHIPVILGSPRFWVKYTAPAIFEKLPSLLWQSKAVNSSPFSDSLGRQMLTSSQRQSSYATAALLGANESASPKLDDLWKVMRELCVRAYSLWISWLSDELSVILSRELGQDDGLSASIPLR >KJB61400 pep chromosome:Graimondii2_0_v6:9:46329041:46331315:-1 gene:B456_009G3550001 transcript:KJB61400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLISASADNRDAESLFRANPISEIRNVESTTNKQIQDKKEELRQLVGTRYRDLIDSADSILHMKSASESISSNISSIHRCIRSLSVSDLEPSPKLQSSPNNTTRLRIYGIACRVKYLVDTPENIWGCLDESMFLEAAARYIRAKHVHSNLMLPDGDLDLSILSNFPLLQHQWQIVDSFKAQISQRSRERLLDRGLSVAAYADALAAVAVIDELDPEQVLGLFLEARKTWILHTLTASAGNANATSSTAISAFCDVLSIIQVTVAQVGELFLHVMNDMPLFYKVILGSPPASQLFGGIPNPDDEIRLWKSFRDKLESVTVILHKTFISGTCWSWLRDCGARITSKINGRYLIDAISSGQDLRAAEKSIRLTMESKEVLQGSLEWLKNVFGSDIELPWNRIRELVLEGDSDLWDEIFEDAFARRMKMIIDSGFEDLTRAVNVSGVIHTIAAIAGEKVVSETYLTRSSTGGRVWFTEPNNCKKAGPLLGNRAVPEEDNFQSCLNAYFGPEVSRIRDQVDSLCQSVLEDLLSFLESSKVSVRLKDLVPYLQDKCYESLSTIMKELKTELDILYTAIGSEPPSIIVEISLFIGRLLFAFENHSKHIPVILGSPRFWVKYTAPAIFEKLPSLLWQSKAVNSSPFSDSLGRQMLTSSQRQSSYATAALLGANESASPKLDDLWKVMRELCVRAYSLWISWLSDELSVILSRELGQDDGLSASIPLR >KJB61398 pep chromosome:Graimondii2_0_v6:9:46329041:46331416:-1 gene:B456_009G3550001 transcript:KJB61398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLISASADNRDAESLFRANPISEIRNVESTTNKQIQDKKEELRQLVGTRYRDLIDSADSILHMKSASESISSNISSIHRCIRSLSVSDLEPSPKLQSSPNNTTRLRIYGIACRVKYLVDTPENIWGCLDESMFLEAAARYIRAKHVHSNLMLPDGDLDLSILSNFPLLQHQWQIVDSFKAQISQRSRERLLDRGLSVAAYADALAAVAVIDELDPEQVLGLFLEARKTWILHTLTASAGNANATSSTAISAFCDVLSIIQVTVAQVGELFLHVMNDMPLFYKVILGSPPASQLFGGIPNPDDEIRLWKSFRDKLESVTVILHKTFISGTCWSWLRDCGARITSKINGRYLIDAISSGQDLRAAEKSIRLTMESKEVLQGSLEWLKNVFGSDIELPWNRIRELVLEGDSDLWDEIFEDAFARRMKMIIDSGFEDLTRAVNVSGVIHTIAAIAGEKVVSETYLTRSSTGGRVWFTEPNNCKKAGPLLGNRAVPEEDNFQSCLNAYFGPEVSRIRDQVDSLCQSVLEDLLSFLESSKVSVRLKDLVPYLQDKCYESLSTIMKELKTELDILYTAIGSEPPSIIVEISLFIGRLLFAFENHSKHIPVILGSPRFWVKYTAPAIFEKLPSLLWQSKAVNSSPFSDSLGRQMLTSSQRQSSYATAALLGANESASPKLDDLWKVMRELCVRAYSLWISWLSDELSVILSRELGQDDGLSASIPLR >KJB62230 pep chromosome:Graimondii2_0_v6:9:60357488:60359525:-1 gene:B456_009G407500 transcript:KJB62230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVDELAKNAAYIGTPGKGILAADESTGTIGKRLSSINVENVEDNRRALRELLFTTPGALQYLSGVILFEETLYQKTASGKPFVDVLKEGGVLPGIKVDKGTVELNGTNGETFTQGLDGLAQRCQKYYEAGARFAKWRAVLKISANEPTELAIQENANGLAMYAAICQQCGLVPIVEPEILVDGSHDIKKCAAVTERVLAACYKALNDHHVMLEGTLLKPNMVTPGSDSLKVAPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLQTKKPWSLSFSFGRALQQSTLKAWAGKEENVKKAQDAFLVRCKANSEATLGTYKGDAKISEGAAESLHVKDYKY >KJB62234 pep chromosome:Graimondii2_0_v6:9:60357488:60359960:-1 gene:B456_009G407500 transcript:KJB62234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFISKYADELAKNAAYIGTPGKGILAADESTGTIGKRLSSINVENVEDNRRALRELLFTTPGALQYLSGVILFEETLYQKTASGKPFVDVLKEGGVLPGIKVDKGTVELNGTNGETFTQGLDGLAQRCQKYYEAGARFAKWRAVLKISANEPTELAIQENANGLAMYAAICQQCGLVPIVEPEILVDGSHDIKKCAAVTERVLAACYKALNDHHVMLEGTLLKPNMVTPGSDSLKVAPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLQTKKPWSLSFSFGRALQQSTLKAWAGKEENVKKAQDAFLVRCKANSEATLGTYKGDAKISEGAAESLHVKDYKY >KJB62233 pep chromosome:Graimondii2_0_v6:9:60357488:60359960:-1 gene:B456_009G407500 transcript:KJB62233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFISKYADELAKNAAYIGTPGKGILAADESTGTIGKRLSSINVENVEDNRRALRELLFTTPGALQYLSGVILFEETLYQKTASGKPFVDVLKEGGVLPGIKVDKGTVELNGTNGETFTQGLDGLAQRCQKYYEAGARFAKWRAVLKISANEPTELAIQENANGLAMYAAICQQCGLVPIVEPEILVDGSHDIKKCAAVTERVLAACYKALNDHHVMLEGTLLKPNMVTPGSDSLKVAPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLQTKKPWSLSFSFGRALQQSTLKAWAGKEENVKKAQDAFLVRCKANSEATLGTYKGDAKISEGAAESLHVKDYKY >KJB62236 pep chromosome:Graimondii2_0_v6:9:60357488:60359787:-1 gene:B456_009G407500 transcript:KJB62236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFISKYADELAKNAAYIGTPGKGILAADESTGTIGKRLSSINVENVEDNRRALRELLFTTPGALQYLSGVILFEETLYQKTASGKPFVDVLKEGGVLPGIKVDKGTVELNGTNGETFTQGLDGLAQRCQKYYEAGARFAKWRAVLKISANEPTELAIQENANGLAMYAAICQQCGLVPIVEPEILVDGSHDIKKCAAVTERVLAACYKALNDHHVMLEGTLLKPNMVTPGSDSLKVAPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLQTKKPWSLSFSFGRALQQSTLKAWAGKEENVKKAQDAFLVRCKANSEATLGTYKGDAKISEGAAESLHVKDYKY >KJB62232 pep chromosome:Graimondii2_0_v6:9:60357488:60359787:-1 gene:B456_009G407500 transcript:KJB62232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFISKYADELAKNAAYIGTPGKGILAADESTGTIGKRLSSINVENVEDNRRALRELLFTTPGALQYLSGVILFEETLYQKTASGITKNTMIILRTAVVLFLFKSYGWLLISDIDVYCAGKPFVDVLKEGGVLPGIKVDKGTVELNGTNGETFTQGLDGLAQRCQKYYEAGARFAKWRAVLKISANEPTELAIQENANGLAMYAAICQQCGLVPIVEPEILVDGSHDIKKCAAVTERVLAACYKALNDHHVMLEGTLLKPNMVTPGSDSLKVAPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLQTKKPWSLSFSFGRALQQSTLKAWAGKEENVKKAQDAFLVRCKANSEATLGTYKGDAKISEGAAESLHVKDYKY >KJB62231 pep chromosome:Graimondii2_0_v6:9:60357958:60359124:-1 gene:B456_009G407500 transcript:KJB62231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVDELAKNAAYIGTPGKGILAADESTGTIGKRLSSINVENVEDNRRALRELLFTTPGALQYLSGVILFEETLYQKTASGKPFVDVLKEGGVLPGIKVDKGTVELNGTNGETFTQGLDGLAQRCQKYYEAGARFAKWRAVLKISANEPTELAIQENANGLAMYAAICQQCGLVPIVEPEILVDGSHDIKKCAAVTERVLAACYKALNDHHVMLEGTLLKPNMVTPGSDSLKVAPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLQTKKPWSLSFSFGRALQQSTLKAWAGKEENVKKAQDAFLVRCKANSEATLGTYKGDAKISEGAAESLHVKDYKY >KJB62235 pep chromosome:Graimondii2_0_v6:9:60357488:60359960:-1 gene:B456_009G407500 transcript:KJB62235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFISKYADELAKNAAYIGTPGKGILAADESTGTIGKRLSSINVENVEDNRRALRELLFTTPGALQYLSGVILFEETLYQKTASGKPFVDVLKEGGVLPGIKVDKGTVELNGTNGETFTQGLDGLAQRCQKYYEAGARFAKWRAVLKISANEPTELAIQENANGLAMYAAICQQCGLVPIVEPEILVDGSHDIKKCAAVTERVLAACYKALNDHHVMLEGTLLKPNMVTPGSDSLKVAPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLQTKKPWSLSFSFGRALQQSTLKAWAGKEENVKKAQDAFLVRCKANSEATLGTYKGDAKISEGAAESLHVKDYKY >KJB55885 pep chromosome:Graimondii2_0_v6:9:7193939:7196933:1 gene:B456_009G099400 transcript:KJB55885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGVGKLSVCFTGGGGYSGEEARPRKEISSLLLDPLDEGLGHSFCYVRPDPSQLYSSKVYSEESSTTFGTISGASVSANTYTPLSTALVDPYVCYNSSCFDRAAAFESTASFSSIPLQPIPKNMINSSGPLSGSLVPGSGPLERGFMSGPIERGFMSGPLDNTNNYNRGIFSGPLDRGFSDQFQRSFSHGAFAFKSGTRKGSLIRILQRAISKTVSRGQKSVVAPIKGVVSVKESEWVIGSDKNLIHHQNENLTVSSLNLSSEGSLDDDESMGSQNIQWAQGKAGEDRIHVVVSEECRWVFVGIYDGFNGPDAPDFLLSNLYSNVHKELKGLLWDDELEQAPATSPEEEKQSREPESTQGCSDYACSRCLEQENYPCKKEDIDFDSNLRSKKKKGRTSKVRYKGMANKWEENQRRWKCEWDRERLELDRKLKEQLNRNKSDRSSSMINHGDVLKALSRALKKTEESYLDIADKMLMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKAEPDYWLGKVKQDLERINEETLHDLEGFDGDKFSSIPDLTAFQLSVDHSTNEKEVKIPYTNLLVQNEMISIPSFDH >KJB55887 pep chromosome:Graimondii2_0_v6:9:7193939:7197238:1 gene:B456_009G099400 transcript:KJB55887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGVGKLSVCFTGGGGYSGEEARPRKEISSLLLDPLDEGLGHSFCYVRPDPSQLYSSKVYSEESSTTFGTISGASVSANTYTPLSTALVDPYVCYNSSCFDRAAAFESTASFSSIPLQPIPKNMINSSGPLSGSLVPGSGPLERGFMSGPIERGFMSGPLDNTNNYNRGIFSGPLDRGFSDQFQRSFSHGAFAFKSGTRKGSLIRILQRAISKTVSRGQKSVVAPIKGVVSVKESEWVIGSDKNLIHHQNENLTVSSLNLSSEGSLDDDESMGSQNIQWAQGKAGEDRIHVVVSEECRWVFVGIYDGFNGPDAPDFLLSNLYSNVHKELKGLLWDDELEQAPATSPEEEKQSREPESTQGCSDYACSRCLEQENYPCKKEDIDFDSNLRSKKKKGRTSKVRYKGMANKWEENQRRWKCEWDRERLELDRKLKEQLNRNKSDRSSSMINHGDVLKALSRALKKTEESYLDIADKMLMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKAEPDYWLGKVKQDLERINEETLHDLEGFDGDKFSSIPDLTAFQLSVDHSTNEKEEVQRIKNEHPDDPCAVMNDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYKGNSPYITCVPSLHHHRLGPKDRFLVLSSDGLYQYLTNEEAVSEVELFITLQPEGDPAQHLIEEVLFRAAKKASMDFHELLEIPQGDRRRYHDDVSVIVISLEGRIWRYCV >KJB55886 pep chromosome:Graimondii2_0_v6:9:7193939:7197180:1 gene:B456_009G099400 transcript:KJB55886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGVGKLSVCFTGGGGYSGEEARPRKEISSLLLDPLDEGLGHSFCYVRPDPSQLYSSKVYSEESSTTFGTISGASVSANTYTPLSTALVDPYVCYNSSCFDRAAAFESTASFSSIPLQPIPKNMINSSGPLSGSLVPGSGPLERGFMSGPIERGFMSGPLDNTNNYNRGIFSGPLDRGFSDQFQRSFSHGAFAFKSGTRKGSLIRILQRAISKTVSRGQKSVVAPIKGVVSVKESEWVIGSDKNLIHHQNENLTVSSLNLSSEGSLDDDESMGSQNIQWAQGKAGEDRIHVVVSEECRWVFVGIYDGFNGPDAPDFLLSNLYSNVHKELKGLLWDDELEQAPATSPEEEKQSREPESTQGCSDYACSRCLEQENYPCKKEDIDFDSNLRSKKKKGRTSKVRYKGMANKWEENQRRWKCEWDRERLELDRKLKEQLNRNKSDRSSSMINHGDVLKALSRALKKTEESYLDIADKMLMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKAEPDYWLGKVKQDLERINEETLHDLEGFDGDKFSSIPDLTAFQLSVDHSTNEKEEVQRIKNEHPDDPCAVMNDRVKGSLKPKWNNALLEMFRIDYKGNSPYITCVPSLHHHRLGPKDRFLVLSSDGLYQYLTNEEAVSEVELFITLQPEGDPAQHLIEEVLFRAAKKASMDFHELLEIPQGDRRRYHDDVSVIVISLEGRIWRYCV >KJB53408 pep chromosome:Graimondii2_0_v6:9:4756689:4766969:-1 gene:B456_009G066800 transcript:KJB53408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNKSRTDMLAAGRQKLQQFRQKKDGKGSSSRGKSSKKSNKSDADAASSVGKPTVSSQVSEGETVAVDLTVSQFMESSSPSGLDTTAVVSSLEPSVSLTGNVETVVAHNAGVPVEVDSSVSNGVESTQCVDSMVSTEIHSSTADIPVSEGETEHNIVPQPSTSVDTVEGTVFKLNLVDSNEGTGQNPLFTALSQASGDQEADGLGLNQFDRGGETEFEIDGKLPLSEHGECAKSPEGETSEVTGLQGPSSEAKQAISRDDASVSIGAASSSQPEESFADSYQLTNKLAGEAIPCSHVDEKQAMGSPFGDYGDDKTLEEKQQCLPKGSFVSRDGSHERSHLTKFAGLPDPVLSLVRDGSPVRLPQLAEMIRSLDEDEYRHLLNLQALVSIADVATYGLALSYHSDLFEKVKEELYLTSSTKDIFYLQLAEQSDLHMQSDHHCQQLIDEISVLRSSVNGVLEKNACLVEELAQCRSELQVFASEREELQSQYNTLVDQKMSFHENEKLLKELADCRAMIAALQVEHSDISKSLALMTEERMKLEEEKELLALGKEKTALDLEEYKDLLAALQVEKSNLNGNLALVTEERKKLDEEKEYFVHENKRLASDLLVLQEQFATEHGQHIQLEAELKEVTVQLEKLIEENNFLNASLDVHKAKIAESESRATHNVKVGSQVKNLDVVSGVHENATEQEHSCQIPWKRDPELSTVVLEKALPDDVGGLSLALHEQEIFDESSGFLVLKEHLKEAERILQNLGMAIEQIHSHSMSLQQSSSKPVVPGVSKLIQAFESRVPHDEPKVEERGLTECQSLGDLLDSTKEITEALRAVLKLLVQDADNASSLYRGERNCRKSANLTFGELMVLHESLKEYSNNLEATNIELAVLYEAIKQHALLVEAKNKEFEVLYEALKQQESICSSENAELCQKLSDYQLRLTEMQGHFSDLQKRSDEMASDLYKQLESSQEEAAERALMVELEWKSKLTRIVETVRMLDGYVGRLSNSSFSNNSNDVLDTSSQVTTSVTSAINSIQDLQEKLEAANAGHDAMSSSYKEVDEKYNDLLRMNEVMTQILQKMYNGLKKLLIDSCALVGEAEMNPKVEKLPNLLDYSKYTIFIEQLENVLGERLHLQSVNDQLNSELVNRTRDFEEMSKECLNSNAIRKLIEHVENVVELGDYETDSYKTPGSRLELLVYLLVKKYKEIVELASDCRKEFGSKVIEVTELEEKMHQLDALRLQQELEIHTLKESLRQEEEALVTAHSELQEKKGELELSEQRVSSVREKLSIAVAKGKGLVVQRDGLKQSLAETSAELERCSQELQAKDARLQELEIKLKTYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRLEEILEELDLPEHFYSRDIIEKVDWLVKSTTGNSLPPTDWDQKSSIGGLHSDAGFVPVDTWKEDPQQGSTLGEDLRRKYEDLQGKFYRLAERNEMLEQSLMERNHLLQKWEELLDGINMPSQMQSMEPEEKIEWLGRAFTEANHETNSLQKKIDNLENYYGSLAADLEGPEKRVADLEADLQSVMLERDQLSEKLETMTSNHHNLSAKAARFEVENENLQGKIYRLAERNELLEQSLMERSHLVQKWVELLDGIDMPSQMQSMEPEEKIEWFGRAITEANHERNSLQKKIDNLENYYGSLAADLEESEKRVADLGADLQSVTLERDKLSEKLETMTSNHHNVSAKAAHFEVENENLQIRVSGLQEELVKRMEEEEHLLRMDGEIRRLQHLISDVLPDADAKDLVSGGSSTACLERLLNKLIENYTNLKSMNPDLVDVEKDQPKIGDASLDEARSRDALTTEEDVASLKKKLEAMLLDLMQVKDERDEIFGKHQSLLHEVQALERKREELQELLNQEEQKSGSLREKLNLAVRKGKSLVQQRDSLKKTTEDMNAELERLKSEFSHRENALADYELKMRDFSAYRERVESLEADSLFLRNHLLETERMLEEKGLLLSRILNAIADIDVGNEINISDPVEKLELIEKVCHDLHAAAASSEQESRKSKTAAELLLAELNEVQERNDGLQEDLAKLASELTEVVKERDVAEAAKVEVLSRLEKLSAVHSEEKRKQYSELIMLQSSLDALRNGVNNVQGLASNIFSKDLEFLQNLEVIVKLCLEGGDAQDMSGWPYSTSSNLEDKENIQFVETWPVANMQDPVDDKSIVEVCGLLWQHLQDLRTEIAALKEKLIVQSKSLQEKGHGIWNVLEILHREKKSQKESFEAMRRNIMHLESVGEEKDMEILVLRRNIAFLYEACANSVLEIENQKAELLGNNLGTADLGTKMKPVILADGVRSLSGQNIVSAEKNIKTMADKLFSTVKDFLRMKAEITEGSQREMRITIANLQKELQEKDIQKDRICMELVSQIKLAEASSTNYSRDLQSSKTMVYDLEKELEVMREEQTSLQQRVKELENVQTNTVELQDRVKSLADVLSSKDQEIEALMQALDEEEVQMEELTKKIEELEKVLQQKNTDLENLEASRGKVMKKLSVTVSRFDELRDLSESLITKVEQLQSQLQDRDAEISFLRQEVTRCTNDVLAASQVGNKRDSDEINEFLTWLESIVSRVGLPDLHFDTKNSQVTEYKEIIQRRIISIISELENLREVAQNRDELLQAERSKVEELTRREETLKKTLHEKESQLNLLEGVGDVGQAAGLISEIVEVEPVINKRAIAGTSTASQVRSLRKVNTDQVAIPIDADDGNNSRLEDEDEDKGILHCFLKNLICL >KJB53407 pep chromosome:Graimondii2_0_v6:9:4755791:4767047:-1 gene:B456_009G066800 transcript:KJB53407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNKSRTDMLAAGRQKLQQFRQKKDGKGSSSRGKSSKKSNKSDADAASSVGKPTVSSQVSEGETVAVDLTVSQFMESSSPSGLDTTAVVSSLEPSVSLTGNVETVVAHNAGVPVEVDSSVSNGVESTQCVDSMVSTEIHSSTADIPVSEGETEHNIVPQPSTSVDTVEGTVFKLNLVDSNEGTGQNPLFTALSQASGDQEADGLGLNQFDRGGETEFEIDGKLPLSEHGECAKSPEGETSEVTGLQGPSSEAKQAISRDDASVSIGAASSSQPEESFADSYQLTNKLAGEAIPCSHVDEKQAMGSPFGDYGDDKTLEEKQQCLPKGSFVSRDGSHERSHLTKFAGLPDPVLSLVRDGSPVRLPQLAEMIRSLDEDEYRHLLNLQALVSIADVATYGLALSYHSDLFEKVKEELYLTSSTKDIFYLQLAEQSDLHMQSDHHCQQLIDEISVLRSSVNGVLEKNACLVEELAQCRSELQVFASEREELQSQYNTLVDQKMSFHENEKLLKELADCRAMIAALQVEHSDISKSLALMTEERMKLEEEKELLALGKEKTALDLEEYKDLLAALQVEKSNLNGNLALVTEERKKLDEEKEYFVHENKRLASDLLVLQEQFATEHGQHIQLEAELKEVTVQLEKLIEENNFLNASLDVHKAKIAESESRATHNVKVGSQVKNLDVVSGVHENATEQEHSCQIPWKRDPELSTVVLEKALPDDVGGLSLALHEQEIFDESSGFLVLKEHLKEAERILQNLGMAIEQIHSHSMSLQQSSSKPVVPGVSKLIQAFESRVPHDEPKVEERGLTECQSLGDLLDSTKEITEALRAVLKLLVQDADNASSLYRGERNCRKSANLTFGELMVLHESLKEYSNNLEATNIELAVLYEAIKQHALLVEAKNKEFEVLYEALKQQESICSSENAELCQKLSDYQLRLTEMQGHFSDLQKRSDEMASDLYKQLESSQEEAAERALMVELEWKSKLTRIVETVRMLDGYVGRLSNSSFSNNSNDVLDTSSQVTTSVTSAINSIQDLQEKLEAANAGHDAMSSSYKEVDEKYNDLLRMNEVMTQILQKMYNGLKKLLIDSCALVGEAEMNPKVEKLPNLLDYSKYTIFIEQLENVLGERLHLQSVNDQLNSELVNRTRDFEEMSKECLNSNAIRKLIEHVENVVELGDYETDSYKTPGSRLELLVYLLVKKYKEIVELASDCRKEFGSKVIEVTELEEKMHQLDALRLQQELEIHTLKESLRQEEEALVTAHSELQEKKGELELSEQRVSSVREKLSIAVAKGKGLVVQRDGLKQSLAETSAELERCSQELQAKDARLQELEIKLKTYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRLEEILEELDLPEHFYSRDIIEKVDWLVKSTTGNSLPPTDWDQKSSIGGLHSDAGFVPVDTWKEDPQQGSTLGEDLRRKYEDLQGKFYRLAERNEMLEQSLMERNHLLQKWEELLDGINMPSQMQSMEPEEKIEWLGRAFTEANHETNSLQKKIDNLENYYGSLAADLEGPEKRVADLEADLQSVMLERDQLSEKLETMTSNHHNLSAKAARFEVENENLQGKIYRLAERNELLEQSLMERSHLVQKWVELLDGIDMPSQMQSMEPEEKIEWFGRAITEANHERNSLQKKIDNLENYYGSLAADLEESEKRVADLGADLQSVTLERDKLSEKLETMTSNHHNVSAKAAHFEVENENLQIRVSGLQEELVKRMEEEEHLLRMDGEIRRLQHLISDVLPDADAKDLVSGGSSTACLERLLNKLIENYTNLKSMNPDLVDVEKDQPKIGDASLDEARSRDALTTEEDVASLKKKLEAMLLDLMQVKDERDEIFGKHQSLLHEVQALERKREELQELLNQEEQKSGSLREKLNLAVRKGKSLVQQRDSLKKTTEDMNAELERLKSEFSHRENALADYELKMRDFSAYRERVESLEADSLFLRNHLLETERMLEEKGLLLSRILNAIADIDVGNEINISDPVEKLELIEKVCHDLHAAAASSEQESRKSKTAAELLLAELNEVQERNDGLQEDLAKLASELTEVVKERDVAEAAKVEVLSRLEKLSAVHSEEKRKQYSELIMLQSSLDALRNGVNNVQGLASNIFSKDLEFLQNLEVIVKLCLEGGDAQDMSGWPYSTSSNLEDKENIQFVETWPVANMQDPVDDKSIVEVCGLLWQHLQDLRTEIAALKEKLIVQSKSLQEKGHGIWNVLEILHREKKSQKESFEAMRRNIMHLESVGEEKDMEILVLRRNIAFLYEACANSVLEIENQKAELLGNNLGTADLGTKMKPVILADGVRSLSGQNIVSAEKNIKTMADKLFSTVKDFLRMKAEITEGSQREMRITIANLQKELQEKDIQKDRICMELVSQIKLAEASSTNYSRDLQSSKTMVYDLEKELEVMREEQTSLQQRVKELENVQTNTVELQDRVKSLADVLSSKDQEIEALMQALDEEEVQMEELTKKIEELEKVLQQKNTDLENLEASRGKVMKKLSVTVSRFDELRDLSESLITKVEQLQSQLQDRDAEISFLRQEVTRCTNDVLAASQVGNKRDSDEINEFLTWLESIVSRVGLPDLHFDTKNSQVTEYKEIIQRRIISIISELENLREVAQNRDELLQAERSKVEELTRREETLKKTLHEKESQLNLLEGVGDVGQAAGLISEIVEVEPVINKRAIAGTSTASQVRSLRKVNTDQVAIPIDADDGNNSRLEDEDEDKVHGFKSLTTSRVVPRFTRPITDMIDGLWVSCDRALMRQPALRLGIIIYWALLHTLLGAFVF >KJB60531 pep chromosome:Graimondii2_0_v6:9:28848525:28852387:1 gene:B456_009G310700 transcript:KJB60531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNGGDGNDGGGAAANSKKFEAPQPHSVKEQLPGIQYCINSSPPWPEAIILGFQHYLLTLGITVLIPSIIVPQMGGGNTEKAAVIQNLLFVSGLSTLFQSFFGTRLPIVVVGSYAYLIPVTSIIQASRYTSYSDPYERFVRTMRGIQGALIGAAFFQCTIGFLGLWRNAVRFLSPLSVVPYVTFTGLGLYHLGFPMLAKCVEVGLPGIILMVFISQFLPRYLESKRSLCGRFSILFSVSITWLFAQLLTSTTVYKHKPENTQISCRTDRAGLISTAPWIYLPYPFQWGSPTFNAGDAIVMMAPAFVSLFESTGTFFAAARYGSATPVPPSVISRGAGWLGIGVLLNGCLGSVTGNTASVENVGLLALTRVGSRRVIQISAGFMIFFSIFAKFGAFFASVPLPIVAAVYCVLFSFVSSAGLSFLQFCNLNSFKTKFILGFSFFMGLSVPQYFREYFHGGWRSAHPTGLFSDIVVVIFMSHTTVAALVALFFDLTLCRENDESRKDIGLNWWEKFSFYKSDVRNDEFYALPFRLNKLFPSI >KJB62383 pep chromosome:Graimondii2_0_v6:9:63340052:63341145:1 gene:B456_009G414400 transcript:KJB62383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSGESSKKKGLEGTGLDLPPNKHGNLKSASSDENLKHILLRVKTSKTPTVINYGASWCGVCSQILPAFCKLSNQFPNLSFVYADIDECPETTQHIRYTPTFHFYRDGERVDEMFGAGEERLHDRLWLHS >KJB61456 pep chromosome:Graimondii2_0_v6:9:47217632:47219250:1 gene:B456_009G359000 transcript:KJB61456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQISLFQVLITFFLVLFMAAITSIRKSKARNLTQGLIPGPRKLPLIGNLHQLAGPGLPHHTLRDFATKYGAIMHLQLGQISTVVVSSAEMAKEIMKTHDIVFANRPVLASAKILTYGCTDIAFTPYGNYWRNLRKICTSELLNATRVASFQSIREEEVLNLVETIKSNEGSAVNLSHKVFSLSYGITARAAFGKKCKDQEAFISVVTEENKVNSGFLVSEFFPSLEFLDTVLGLKHKVEKIHGEADMILGNIVNDHKESRAKGRSKDENKENLVDVLLRIQEDGEFPLTDNNVKAVILDIFSAGSETSAGTVEWALSEMIKNPRVMTKAQAEVRQVFQGKGNVDETGIHQLKYLKCVIKETLRLHPVIPLLIPRESMKNCVVNGFEIPAKTRVIVNAWAIGRDPNHWVEPEKFEPERFVSSSVDFIGTNFEFIPFGAGRRVCPGILFALPTVELPLAQLLFHFDWKVPRGMKQEDIDMTEVFGVSVRRKNDLVLVPSLYRASTTVA >KJB61457 pep chromosome:Graimondii2_0_v6:9:47217558:47219641:1 gene:B456_009G359000 transcript:KJB61457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTNNGVADILIPGPRKLPLIGNLHQLAGPGLPHHTLRDFATKYGAIMHLQLGQISTVVVSSAEMAKEIMKTHDIVFANRPVLASAKILTYGCTDIAFTPYGNYWRNLRKICTSELLNATRVASFQSIREEEVLNLVETIKSNEGSAVNLSHKVFSLSYGITARAAFGKKCKDQEAFISVVTEENKVNSGFLVSEFFPSLEFLDTVLGLKHKVEKIHGEADMILGNIVNDHKESRAKGRSKDENKENLVDVLLRIQEDGEFPLTDNNVKAVILDIFSAGSETSAGTVEWALSEMIKNPRVMTKAQAEVRQVFQGKGNVDETGIHQLKYLKCVIKETLRLHPVIPLLIPRESMKNCVVNGFEIPAKTRVIVNAWAIGRDPNHWVEPEKFEPERFVSSSVDFIGTNFEFIPFGAGRRVCPGILFALPTVELPLAQLLFHFDWKVPRGMKQEDIDMTEVFGVSVRRKNDLVLVPSLYRASTTVA >KJB61710 pep chromosome:Graimondii2_0_v6:9:51084595:51086712:1 gene:B456_009G376000 transcript:KJB61710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSNPLSLSVPDPTFESWLRDSGYLDVIDNQQTAAAAAPTTGTSTISDPTITIPISSFLFRYLVSFFSHLWTLLSLLTFNPFAKLTTNDFSGETPSWTKGFFADFLSYSFPASASQARLRVQENVKRYARNYASLFILFFACSLYQLPLALVGLISSLALWDSFRFFSDKWGLNRFPVTEMILVRFAQCVTAIILLWLNVQMALCCTLVVSYIVMLLHAAFRKLTPVKQPSQGRRK >KJB61711 pep chromosome:Graimondii2_0_v6:9:51084595:51086712:1 gene:B456_009G376000 transcript:KJB61711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSNPLSLSVPDPTFESWLRDSGYLDVIDNQQTAAAAAPTTGTSTISDPTITIPISSFLFRYLVSFFSHLWTLLSLLTFNPFAKLTTNDFSGETPSWTKGFFADFLSYSFPASASQARLRVQENVKRYARNYASLFILFFACSLYQLPLALVGLISSLALWDSFRFFSDKWGLNRFPVTEMILVRFAQCVMLLHAAFRKLTPVKQPSQGRRK >KJB56575 pep chromosome:Graimondii2_0_v6:9:9478201:9480239:1 gene:B456_009G125900 transcript:KJB56575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSTIIPSFDENRWVIKIRRTLEAELEDDHEIPVSIFNVPKTLFSSDPDSYTPQLVAIGPYHYWRPELYEMERYKIDAAKRTQKNILLHNNLQFDDLVEQLKRLAPKIRACYHKLLDFNNETLGWMVAIDASFLLEFLQIYAMKEGKSLTRVSSRMSHLVDYAGRKSAHNAILRDIMMLENQIPLFVLRKILEVQSASLEQADDLLLSMITGLCKELSPFKMMKVLPKIHLSEASHLLDCLYDRIVPKIQIRTTSEISELEDPNETNKGKRESSQDQDPGYVQKVLCAIWNLLSNLNKGPISLIKKILSSKPIKLIFKLPWTVLSKLPGFSILKQPMELFFNGGDSEGAKSDEESSADKPPLVEEITIPSVSELSDSGVRFLPTTGNISSITFDPKTFTLYLPTISLDVNTEVILRNLVAYEASNASGPLVFTRYTEMMNGIIDTGDDVKLLREKGIILNHLKSDEEAADVWNGMSKSIRLTKVPFLDKTIEEVNKYHNGRWNIKAKNMMKSYVFGSWQILTFLAAILLLLLMTLQAFCSVYSCSRVFRIDAADAND >KJB56574 pep chromosome:Graimondii2_0_v6:9:9478249:9480186:1 gene:B456_009G125900 transcript:KJB56574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSTIIPSFDENRWVIKIRRTLEAELEDDHEIPVSIFNVPKTLFSSDPDSYTPQLVAIGPYHYWRPELYEMERYKIDAAKRTQKNILLHNNLQFDDLVEQLKRLAPKIRACYHKLLDFNNETLGWMVAIDASFLLEFLQIYAMKEGKSLTRVSSRMSHLVDYAGRKSAHNAILRDIMMLENQIPLFVLRKILEVQSASLEQADDLLLSMITGLCKELSPFKMMKVLPKIHLSEASHLLDCLYDRIVPKIQIRTTSEISELEDPNETNKGKRESSQDQDPGYVQKVLCAIWNLLSNLNKGPISLIKKILSSKPIKLIFKLPWTVLSKLPGFSILKQPMELFFNGGDSEGAKSDEESSADKPPLVEEITIPSVSELSDSGVRFLPTTGNISSITFDPKTFTLYLPTISLDVNTEVILRNLVAYEASNASGPLVFTRYTEMMNGIIDTGDDVKLLREKGIILNHLKSDEEAADVWNGMSKSIRLTKVPFLDKTIEEVNKYHNGRWNIKAKNMMKSYVFGSWQILTFLAAILLLLLMTLQAFCSVYSCSRVFRIDAADAND >KJB61282 pep chromosome:Graimondii2_0_v6:9:44239066:44242009:-1 gene:B456_009G349700 transcript:KJB61282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANPTSKQTIIDNETQREKEEQLWSWGAGTDGQLGTLRLQDEHLPQLLNVPSLSSASSVSMLACGGAHVVGLTSSGKVLTWGRGNSGQLGHGDMDCLLSPKIVMSLESYCITQVSAGWSHSGFVSDERCVFTCGDGSFGQLGHGDYRSHCSPVKVSFFVNKHVEQIACGMRHSLVLLKDGSGNLLYGFGSGKRGQLGISIDRSKSVNAPEIIRGFDDVQIITITANADHSAALSADGELYTWGRGFGATSDFLSPQQSPSSSKFSKVALGWNHALVLSDNGEVFMLGGSHHGMLSNPEITILSKHLSDGAVLERVPGLDGIKVVDIAAGAEHSAIVTEEGAIKIWGWGEHGQLGLGSTRDETSPQTVSLGDEVERKDGTVRVYCGSGYTYAIRTFFS >KJB61281 pep chromosome:Graimondii2_0_v6:9:44238728:44242079:-1 gene:B456_009G349700 transcript:KJB61281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANPTSKQTIIDNETQREKEEQLWSWGAGTDGQLGTLRLQDEHLPQLLNVPSLSSASSVSMLACGGAHVVGLTSSGKVLTWGRGNSGQLGHGDMDCLLSPKIVMSLESYCITQVSAGWSHSGFVSDGSGNLLYGFGSGKRGQLGISIDRSKSVNAPEIIRGFDDVQIITITANADHSAALSADGELYTWGRGFGATSDFLSPQQSPSSSKFSKVALGWNHALVLSDNGEVFMLGGSHHGMLSNPEITILSKHLSDGAVLERVPGLDGIKVVDIAAGAEHSAIVTEEGAIKIWGWGEHGQLGLGSTRDETSPQTVSLGDEVERKDGTVRVYCGSGYTYAIRTFFS >KJB61283 pep chromosome:Graimondii2_0_v6:9:44238803:44242031:-1 gene:B456_009G349700 transcript:KJB61283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANPTSKQTIIDNETQREKEEQLWSWGAGTDGQLGTLRLQDEHLPQLLNVPSLSSASSVSMLACGGAHVVGLTSSGKVLTWGRGNSGQLGHGDMDCLLSPKIVMSLESYCITQVSAGWSHSGFVSDERCVFTCGDGSFGQLGHGDYRSHCSPVKVSFFVNKHVEQIACGMRHSLVLLKDGSGNLLYGFGSGKRGQLGISIDRSKSVNAPEIIRGFDDVQIITITANADHSAALSADGELYTWGRGFGATSDFLSPQQSPSSSKFSKVALGWNHALVLSDNGEVFMLGGSHHGMLSNPEITILSKHLSDGAVLERVPGLDGIKVVDIAAGAEHSAIVTGKERLRYGVGVNMGSLVLGVQGMKPALKQSV >KJB59340 pep chromosome:Graimondii2_0_v6:9:20373202:20375985:1 gene:B456_009G250500 transcript:KJB59340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWSLVLFLLFLFEFRSGIRLAKFLISHIKNKFTAIGCDTYAYVRGSLGHTYSTGCLTFCYNTTDGINGSCFGIGCCQTVIPKGVRGYNVSFNKTFPIILDWTIGNQTCKEAKMHPLNYACKENSACVDAENGPGYLCKCVDGFQGNPYLLYGCQDINECDKLKPCSGTCHNTPGSYYCSCPEGFEELKNATNNYHDSRIVGRGGQGTVYKGILSDGRSVAIKKSIIGDQSQVQQFINEVIVLSQINHRNVVKLLGCCLETQVPLLVYEYVRNGTLFHHLHNAAHASVISWEARLKIATEAAEALSYLHSAASPPIIHRDVKLTNILLDENYIAKVSDFGASRLVPSNKAQITTLVQGTLGYLDPEYFHTSQLTEKSDVYSFGVVLIELLTGLEAISFERPEHERNLCMYFVSVMKEERLLDIIDKRVLNDKSIEQLKEAANLARRCVRLKGEERPTMKEVASELEGLRTTQKHPWGIHDLPDEETEYLLHDSYGCGTSYDGSTSFSVGPDSMKNQVPFDIDGAR >KJB60315 pep chromosome:Graimondii2_0_v6:9:26519162:26521542:1 gene:B456_009G299900 transcript:KJB60315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLRCCGNFLESSKPYFAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAVIAPFALIFERRGQPKITFPVFMQIFILALLGPVIDQNFYYAGLKYTSPTFSCAMSNMLPAMTFVMAAMCRMEKIDVKKVRCQAKIVGTIVTVAGAMLMTLYKGPIVELFWTKNIHPKQSYADTTGTTDKDWVKGSILLILATLAWASLFVLQAKALKTYKNHQLSLTSLVCFVGTLQAIVVTFVMEHKVSAWQIGWDMNLLAAAYAGIVTSSISYYVQGMVIKKRGPVFATAFSPLMMIIVAIMGSFILAEKIFLGGVIGSILIVVGLYSVLWGKHKENKEREGEMEIEDEPIKPIQPNMLLVGDIEANQVLELQKNEANDKLSTLVLTMPVPESPIKHNSDHK >KJB54315 pep chromosome:Graimondii2_0_v6:9:2203640:2205914:1 gene:B456_009G028800 transcript:KJB54315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEELDEVENDRRNDGVKQLQIVRVDDAKRVLVGAGARILFYPTLLYNVFRNKIQSEFRWWDEVDQFLLLGAVPFPKDVRRLKQLGVGGVITLNEPFETLVSTSLYRAHGIDHLVIPTRDYLFAPSISDISKAVAFIHKNACCCRTTYVHCKAGRGRSTTVVLCYLVEHKQMTPAGALEYVQSRRPRVLLAPSQWKLWSIAGTDSQHTPQQQMQL >KJB54317 pep chromosome:Graimondii2_0_v6:9:2203856:2204792:1 gene:B456_009G028800 transcript:KJB54317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEELDEVENDRRNDGVKQLQIVRVDDAKRVLVGAGARILFYPTLLYNVFRNKIQSEFRWWDEVDQFLLLGAVPFPKDVRRLKQLGVGGVITLNEPFETLVSTSLYRAHGIDHLVIPTRDYLFAPSISDISKAVAFIHKNACCCRTTYVHCKAGRGRSTTVVLCYLVFFY >KJB54314 pep chromosome:Graimondii2_0_v6:9:2203628:2206422:1 gene:B456_009G028800 transcript:KJB54314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEELDEVENDRRNDGVKQLQIVRVDDAKRVLVGAGARILFYPTLLYNVFRNKIQSEFRWWDEVDQFLLLGAVPFPKDVRRLKQLGVGGVITLNEPFETLVSTSLYRAHGIDHLVIPTRDYLFAPSISDISKAVAFIHKNACCCRTTYVHCKAGRGRSTTVVLCYLVEHKQMTPAGALEYVQSRRPRVLLAPSQWKAVMEYSRHRQPTHSPTADAVMITKEDLEGYHNTFDNITGKELVVMPRMVRARPMIARLSKLSCLFASLKVSGVSGPVNGRLSETRAC >KJB54316 pep chromosome:Graimondii2_0_v6:9:2203640:2205914:1 gene:B456_009G028800 transcript:KJB54316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEELDEVENDRRNDGVKQLQIVRVDDAKRVLVGAGARILFYPTLLYNVFRNKIQSEFRWWDEVDQFLLLGAVPFPKDVRRLKQLGVGGVITLNEPFETLVSTSLYRAHGIDHLVIPTRDYLFAPSISDISKAVAFIHKNACCCRTTYVHCKAGRGRSTTVVLCYLVEHKQMTPAGALEYVQSRRPRVLLAPSQWKVSLMVLFCAPHSRKKTKLMI >KJB58121 pep chromosome:Graimondii2_0_v6:9:15007249:15010869:1 gene:B456_009G195400 transcript:KJB58121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISHLPFQVLQAPLTRSMPMLSKSQCNSLTFKNVGDPDLDHKCWERPENMKEKRPLTQVNITVPGTEVAAETAAAMASASLVFKTSNSAYSSTLLKHAKQLFNFADKYPASYSENIPEVATYYNSTGYGDELLWAASWLYHATGDRSYLDYATGENGKQFASWGSPTWFSWDNKNAGTQVLLSRLSLFGAKGVSGNSGLRNYRSSAEGVMCGLLPKSPSATSSRTDGGLVWISEWNALQHPVASAFLAALYSDYMLTSQTAKITCGDHSFKPSDLRKLAKSQADYVLGKNPLKMSFLVGYGDKYPQYVHHRGASIPADATTGCTDGFKWLDSTEPNPNVAVGGLVGGPFLNETYIDSRNNSKQAEPTTYNSALIVGLLSSLVTTSSAVKSFT >KJB58119 pep chromosome:Graimondii2_0_v6:9:15008014:15010420:1 gene:B456_009G195400 transcript:KJB58119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDAGDHMKFGFPMAFTATVLSWAILEYGDQMAAVNQLEPAQQSLKWITDFLINAHPKDNVLYIQVGDPDLDHKCWERPENMKEKRPLTQVNITVPGTEVAAETAAAMASASLVFKTSNSAYSSTLLKHAKQLFNFADKYPASYSENIPEVATYYNSTGYGDELLWAASWLYHATGDRSYLDYATGENGKQFASWGSPTWFSWDNKNAGTQVLLSRLSLFGAKGVSGNSGLRNYRSSAEGVMCGLLPKSPSATSSRTDGGLVWISEWNALQHPVASAFLAALYSDYMLTSQTAKITCGDHSFKPSDLRKLAKSQADYVLGKNPLKMSFLVGYGDKYPQYVHHRGASIPADATTGCTDGFKWLDSTEPNPNVAVGGLVGGPFLNETYIDSRNNSKQAEPTTYNSALIVGLLSSLVTTSSAVKSFT >KJB58120 pep chromosome:Graimondii2_0_v6:9:15007249:15010869:1 gene:B456_009G195400 transcript:KJB58120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKESKSGGCLGWLLAIVILALVVGAIVYAVKQKIDHANDNKPSPVPGPPGAIDKKYADALKIAMQFFDIQKLNNKISWRGDSGLKDGNEANLDLSKGMYDAGDHMKFGFPMAFTATVLSWAILEYGDQMAAVNQLEPAQQSLKWITDFLINAHPKDNVLYIQVGDPDLDHKCWERPENMKEKRPLTQVNITVPGTEVAAETAAAMASASLVFKTSNSAYSSTLLKHAKQLFNFADKYPASYSENIPEVATYYNSTGYGDELLWAASWLYHATGDRSYLDYATGENGKQFASWGSPTWFSWDNKNAGTQVLLSRLSLFGAKGVSGNSGLRNYRSSAEGVMCGLLPKSPSATSSRTDGGLVWISEWNALQHPVASAFLAALYSDYMLTSQTAKITCGDHSFKPSDLRKLAKSQADYVLGKNPLKMSFLVGYGDKYPQYVHHRGASIPADATTGCTDGFKWLDSTEPNPNVAVGGLVGGPFLNETYIDSRNNSKQAEPTTYNSALIVGLLSSLVTTSSAVKSFT >KJB58118 pep chromosome:Graimondii2_0_v6:9:15007183:15011344:1 gene:B456_009G195400 transcript:KJB58118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKESKSGGCLGWLLAIVILALVVGAIVYAVKQKIDHANDNKPSPVPGPPGAIDKKYADALKIAMQFFDIQKSGKLVNNKISWRGDSGLKDGNEANLDLSKGMYDAGDHMKFGFPMAFTATVLSWAILEYGDQMAAVNQLEPAQQSLKWITDFLINAHPKDNVLYIQVGDPDLDHKCWERPENMKEKRPLTQVNITVPGTEVAAETAAAMASASLVFKTSNSAYSSTLLKHAKQLFNFADKYPASYSENIPEVATYYNSTGYGDELLWAASWLYHATGDRSYLDYATGENGKQFASWGSPTWFSWDNKNAGTQVLLSRLSLFGAKGVSGNSGLRNYRSSAEGVMCGLLPKSPSATSSRTDGGLVWISEWNALQHPVASAFLAALYSDYMLTSQTAKITCGDHSFKPSDLRKLAKSQADYVLGKNPLKMSFLVGYGDKYPQYVHHRGASIPADATTGCTDGFKWLDSTEPNPNVAVGGLVGGPFLNETYIDSRNNSKQAEPTTYNSALIVGLLSSLVTTSSAVKSFT >KJB54204 pep chromosome:Graimondii2_0_v6:9:1960017:1963808:1 gene:B456_009G025300 transcript:KJB54204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MARGLVLCSQTRTIAKLSFFLPYPKLWFKPHCHHHPCYGPQNIPSARVFCHCVSSQTLNLVDMAKYNEAFSRRMAMAGIKHHNRIALGVSGGPDSMALCVLAASWKTEGLYGFDNSGKFIDGLFAIIVDHGLRSESKDEASLVGNRVSEMGIRCKIVRCDWLNGKPKQGHLQESARDMRYKIFQDVCMQNQISVLLVAHHADDQAELFILRSSRDSGVLGLAGMAFTSQVFSSHTNFCNNDWKNHSVLLVRPLLDFSKEDMYKICQGSNQDWVEDPTNRSPLFARNRIRASLGNLLSGTFNSELQAVISVCRRTRIYVDQMCNSLIKQSITVMDQGFAVINLKTLNPSKIEDICLSKFISLVLQFVSQRQRPIRGSTSKLLLQYIRTIPCKTSLTAAGCYICPAPGSKGFKALVCCSVDCPLPSNAESFHTFSNVEEKHCFSDELEQIIANGKSYSNNNLVTNSSQAQFLDMRSQSVLDEARRLDVISESTHRNIISFQREEVSHFKSKTDEVASGCESKQGTEHIAAFLSEPLLPGQTCYFMNRFIISWKLSKEISSNWFRGEPLSLSYLEGDGHCCCVNEDEMVAKVRTMIDADWLYLAKLTKLPHSDNFEATRLPLTGKITCSDYSRLSAKVALKSLKSIPVAARKSIPVLVDDLGRLLSIPSIGFKHCPCMKASAVFKPRVPLGGGHGSFL >KJB54202 pep chromosome:Graimondii2_0_v6:9:1959102:1963808:1 gene:B456_009G025300 transcript:KJB54202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MARGLVLCSQTRTIAKLSFFLPYPKLWFKPHCHHHPCYGPQNIPSARVFCHCVSSQTLNLVDMAKYNEAFSRRMAMAGIKHHNRIALGVSGGPDSMALCVLAASWKTEGLYGFDNSGKFIDGLFAIIVDHGLRSESKDEASLVGNRVSEMGIRCKIVRCDWLNGKPKQGHLQESARDMRYKIFQDVCMQNQISVLLVAHHADDQAELFILRSSRDSGVLGLAGMAFTSQVFSSHTNFCNNDWKNHSVLLVRPLLDFSKEDMYKICQGSNQDWVEDPTNRSPLFARNRIRASLGNLLSGTFNSELQAVISVCRRTRIYVDQMCNSLIKQSITVMDFVSQRQRPIRGSTSKLLLQYIRTIPCKTSLTAAGCYICPAPGSKGFKALVCCSVDCPLPSNAESFHTFSNVEEKHCFSDELEQIIANGKSYSNNNLVTNSSQAQFLDMRSQSVLDEARRLDVISESTHRNIISFQREEVSHFKSKTDEVASGCESKQGTEHIAAFLSEPLLPGQTCYFMNRFIISWKLSKEISSNWFRGEPLSLSYLEGDGHCCCVNEDEMVAKVRTMIDADWLYLAKLTKLPHSDNFEATRLPLTVAARKSIPVLVDDLGRLLSIPSIGFKHCPCMKASAVFKPRVPLGGGHGSFL >KJB54205 pep chromosome:Graimondii2_0_v6:9:1960051:1963643:1 gene:B456_009G025300 transcript:KJB54205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MARGLVLCSQTRTIAKLSFFLPYPKLWFKPHCHHHPCYGPQNIPSARVFCHCVSSQTLNLVDMAKYNEAFSRRMAMAGIKHHNRIALGVSGGPDSMALCVLAASWKTEGLYGFDNSGKFIDGLFAIIVDHGLRSESKDEASLVGNRVSEMGIRCKIVRCDWLNGKPKQGHLQESARDMRYKIFQDVCMQNQISVLLVAHHADDQAELFILRSSRDSGVLGLAGMAFTSQVFSSHTNFCNNDWKNHSVLLVRPLLDFSKEDMYKICQGSNQDWVEDPTNRSPLFARNRIRASLGNLLSGTFNSELQAVISVCRRTRIYVDQMCNSLIKQSITVMDQGFAVINLKTLNPSKIEDICLSKFISLVLQFVSQRQRPIRGSTSKLLLQYIRTIPCKGFKALVCCSVDCPLPSNAESFHTFSNVEEKHCFSDELEQIIANGKSYSNNNLVTNSSQAQFLDMRSQSVLDEARRLDVISESTHRNIISFQREEVSHFKSKTDEVASGCESKQGTEHIAAFLSEPLLPGQTCYFMNRFIISWKLSKEISSNWFRGEPLSLSYLEGDGHCCCVNEDEMVAKVRTMIDADWLYLAKLTKLPHSDNFEATRLPLTGKITCSDYSRLSAKVALKSLKSIPVAARKSIPVLVDDLGRLLSIPSIGFKHCPCMKASAVFKPRVPLGGGHGSFL >KJB54203 pep chromosome:Graimondii2_0_v6:9:1959102:1963808:1 gene:B456_009G025300 transcript:KJB54203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MARGLVLCSQTRTIAKLSFFLPYPKLWFKPHCHHHPCYGPQNIPSARVFCHCVSSQTLNLVDMAKYNEAFSRRMAMAGIKHHNRIALGVSGGPDSMALCVLAASWKTEGLYGFDNSGKFIDGLFAIIVDHGLRSESKDEASLVGNRVSEMGIRCKIVRCDWLNGKPKQGHLQESARDMRYKIFQDVCMQNQISVLLVAHHADDQAELFILRSSRDSGVLGLAGMAFTSQVFSSHTNFCNNDWKNHSVLLVRPLLDFSKEDMYKICQGSNQDWVEDPTNRSPLFARNRIRASLGNLLSGTFNSELQAVISVCRRTRIYVDQMCNSLIKQSITVMDQGFAVINLKTLNPSKIEDICLSKFISLVLQFVSQRQRPIRGSTSKLLLQYIRTIPCKTSLTAAGCYICPAPGSKGFKALVCCSVDCPLPSNAESFHTFSNVEEKHCFSDELEQIIANGKSYSNNNLVTNSSQAQFLDMRSQSVLDEARRLDVISESTHRNIISFQREEVSHFKSKTDEVASGCESKQGTEHIAAFLSEPLLPGQTCYFMNRFIISWKLSKEISSNWFRGEPLSLSYLEGDGHCCCVNEDEMVAKVRTMIDADWLYLAKLTKLPHSDNFEATRLPLTVAARKSIPVLVDDLGRLLSIPSIGFKHCPCMKASAVFKPRVPLGGGHGSFL >KJB55533 pep chromosome:Graimondii2_0_v6:9:5862013:5865148:1 gene:B456_009G081100 transcript:KJB55533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTSVLLVGFLFSFLVATVQAGDKYKKYNDPSLPINKRIRDLMRRMSLDEKIGQMVQIDRKVATPEVMRDYKIGSILSGGGSVPHLQATPQEWLNMVNGFQNGSLSTRLGIPMIYGIDAVHGHNNVYKATIFPHNIGLGATRDPELVKRIGSATAREVRATGINYVFAPCIAVCRDPRWGRCFESYSEDPDIVKEMTEIINGLQGEIPKDSRKGVPYVGGKDKVVACAKHFVGDGGTTRGINENNTVIDKHGLLSIHMPGYNAAIIKGVGTVMVSYSSWNGVKMHANHDLVTNFLKGALRFRGFVISDWQAIDRMTYPVHANYTYSVLTGVQAGLDMIMVPYNYTEFIDTLTGLVKNKFIPMSRIDDAVRRILRVKFQLGLFENPLSDESFIDQLGHQAHRDLAREAVRKSLVLLKNGENADEPMLPLPKKSSKILVAGSHADDLGNQCGGWTINWQGGSGNNLTSGTTILKGIAEAVDPSTEIVYEENPEFDYVKANNFSYGIVVVGELPYAETNGDNLNLTIPLQGQYTLYNVCANIKCVVVLISGRPLVIMPHLEQVDALVAAWLPGTEGQGVADVLFGDYGFSGKLPRTWFKTAEQLPMNVGDLNYDPLYPFGYGLTTQPLNATS >KJB59292 pep chromosome:Graimondii2_0_v6:9:19975208:19975987:-1 gene:B456_009G247800 transcript:KJB59292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGAKQSPKMLPFTQLDLIDADLAVALALQEQERVFSLLETVERDGYDNDVDDDSDVFHDQNNNTSYEYIEDGGNLEFLNRQDSNDSEDDYDNDDDDDNDDFEEHDIDLDDFSYEELIALGELIGIERRGLSEKEISSCLVPVKFQSIEHENEIDRCVICQVEYGENDEGLVALPNCKHPYHLDCISKWLQMKKLCPICSTEISSSIHQC >KJB54896 pep chromosome:Graimondii2_0_v6:9:3895286:3896353:-1 gene:B456_009G053600 transcript:KJB54896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFMIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >KJB54895 pep chromosome:Graimondii2_0_v6:9:3894663:3896224:-1 gene:B456_009G053600 transcript:KJB54895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFMIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >KJB59720 pep chromosome:Graimondii2_0_v6:9:22365665:22369163:-1 gene:B456_009G268400 transcript:KJB59720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTNNGPEESTDLGAVLRNGREILLQGFNWESHKYDWWRNLERKVPDIAKSGFTSVWLPPASNSFSPEGYLPQNLYSLNSSYGSEQLLKALLQKLKQYKVRPMADIVINHRIGTTKGHGGMYNRYDGIPLAWNEHAVTSCTGGLGNKSTGDNFHGVPNIDHSQHFVRKDIIGWLKWLRSVGFQDFRFDFARGYSAKYVKEYIEGAKPIFSVGEYWDSCNYNGHGLDYNQDSHRQRIVNWIDATGQLSAAFDFTTKGILQEAVKGQFWRLRDPQGKPPGVMGWWPSRAVTFIDNHDTGSTQAHWPFPSNHIMEV >KJB59719 pep chromosome:Graimondii2_0_v6:9:22365011:22369163:-1 gene:B456_009G268400 transcript:KJB59719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTNNGPEESTDLGAVLRNGREILLQGFNWESHKYDWWRNLERKVPDIAKSGFTSVWLPPASNSFSPEGYLPQNLYSLNSSYGSEQLLKALLQKLKQYKVRPMADIVINHRIGTTKGHGGMYNRYDGIPLAWNEHAVTSCTGGLGNKSTGDNFHGVPNIDHSQHFVRKDIIGWLKWLRSVGFQDFRFDFARGYSAKYVKEYIEGAKPIFSVGEYWDSCNYNGHGLDYNQDSHRQRIVNWIDATGQLSAAFDFTTKGILQEAVKGQFWRLRDPQGKPPGVMGWWPSRAVTFIDNHDTGSTQAHWPFPSNHIMEGYAYILTHPGIPTVFYDHFYDWGNSIHEQIVKLMDIRRRQDIHSRSSVRILESQHNLYSAIIAEKVCMKIGDGSWCPTGREWALATSGHRYAVWQKQ >KJB57820 pep chromosome:Graimondii2_0_v6:9:14019257:14021686:1 gene:B456_009G182200 transcript:KJB57820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSSAFAISAPSFSFKARRPKTPLPSSFQNPLRCNSTPSVPPLSRKLHPVKATILQEDEEDVFVEKSFRTTSFPGNGIEEVEESRGNSSSSSLEKWVIKVEQSVNIFLTDSVIKILDTLYRDRDYARFFVLETIARVPYFAFISVLHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNSWWFDRFLAQHIAIFYYFMTVFMYTLSPRMAYHFSECVESHAFETYDKFIKAQGDELKKKPAPEVAIKYYTGGDLYLFDEFQTDRAPCSRRPKIGEGQDFAFLTLLLFHCMGYRC >KJB57819 pep chromosome:Graimondii2_0_v6:9:14019117:14022445:1 gene:B456_009G182200 transcript:KJB57819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSSAFAISAPSFSFKARRPKTPLPSSFQNPLRCNSTPSVPPLSRKLHPVKATILQEDEEDVFVEKSFRTTSFPGNGIEEVEESRGNSSSSSLEKWVIKVEQSVNIFLTDSVIKILDTLYRDRDYARFFVLETIARVPYFAFISVLHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNSWWFDRFLAQHIAIFYYFMTVFMYTLSPRMAYHFSECVESHAFETYDKFIKAQGDELKKKPAPEVAIKYYTGGDLYLFDEFQTDRAPCSRRPKIENLYDVFMNIRDDEAEHCKTMKACQTHGNLRSPHSYEEDGFEDTPDCMIPEADCEGIVDCIKKSLTPSQVKQIRTVERNR >KJB57821 pep chromosome:Graimondii2_0_v6:9:14019118:14022296:1 gene:B456_009G182200 transcript:KJB57821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSSAFAISAPSFSFKARRPKTPLPSSFQNPLRCNSTPSVPPLSRKLHPVKATILQEDEEDVFVEKSFRTTSFPGNGIEEVEESRGNSSSSSLEKWVIKVEQSDSVIKILDTLYRDRDYARFFVLETIARVPYFAFISVLHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNSWWFDRFLAQHIAIFYYFMTVFMYTLSPRMAYHFSECVESHAFETYDKFIKAQGDELKKKPAPEVAIKYYTGGDLYLFDEFQTDRAPCSRRPKIENLYDVFMNIRDDEAEHCKTMKACQTHGNLRSPHSYEEDGFEDTPDCMIPEADCEGIVDCIKKSLTPSQVKQIRTVERNR >KJB57822 pep chromosome:Graimondii2_0_v6:9:14019118:14022296:1 gene:B456_009G182200 transcript:KJB57822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSSAFAISAPSFSFKARRPKTPLPSSFQNPLRCNSTPSVPPLSRKLHPVKATILQEDEEDVFVEKSFRTTSFPGNGIEEVEESRGNSSSSSLEKWVIKDSVIKILDTLYRDRDYARFFVLETIARVPYFAFISVLHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNSWWFDRFLAQHIAIFYYFMTVFMYTLSPRMAYHFSECVESHAFETYDKFIKAQGDELKKKPAPEVAIKYYTGGDLYLFDEFQTDRAPCSRRPKIENLYDVFMNIRDDEAEHCKTMKACQTHGNLRSPHSYEEDGFEDTPDCMIPEADCEGIVDCIKKSLTPSQVKQIRTVERNR >KJB56033 pep chromosome:Graimondii2_0_v6:9:7560427:7565011:-1 gene:B456_009G104400 transcript:KJB56033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSEILIRLWQVVGLVALGI >KJB56038 pep chromosome:Graimondii2_0_v6:9:7560427:7565011:-1 gene:B456_009G104400 transcript:KJB56038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSAVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSEILIRLWQVVGLVALGI >KJB56040 pep chromosome:Graimondii2_0_v6:9:7560427:7565011:-1 gene:B456_009G104400 transcript:KJB56040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSAVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSEILIRLWQVVGLVALGI >KJB56047 pep chromosome:Graimondii2_0_v6:9:7559960:7565011:-1 gene:B456_009G104400 transcript:KJB56047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSGSHLMDCSSLLRNSYQALASGGIGGPGDIEFMDPAILAVGKGRLQGALNSSGLDMRSNFPPQLDPYEDEARFQLLMQRSLSPNQNMRYDGGDSSPYFEGISSGLMDQSQVNKISPFAQLSLPQSRNTNGHWDGWNEVEGGNGIGVAELLQNQRLGFNKFYSGYEDSNKYRMPTSGDLYSRTFGM >KJB56052 pep chromosome:Graimondii2_0_v6:9:7559960:7565011:-1 gene:B456_009G104400 transcript:KJB56052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSGSHLMDCSSLLRNSYQALASGGIGGPGDIEFMDPAILAVGKGRLQGALNSSGLDMRSNFPPQLDPYEDEARFQLLMQRSLSPNQNMRYDGGDSSPYFEGISSGLMDQSQVNKISPFAQLSLPQSRNTNGHWDGWNEVEGGNGIGVAELLQNQRLGFNKFYSGYEDSNKYRMPTSGDLYSRTFGM >KJB56042 pep chromosome:Graimondii2_0_v6:9:7559960:7565011:-1 gene:B456_009G104400 transcript:KJB56042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSGSHLMDCSSLLRNSYQALASGGIGGPGDIEFMDPAILAVGKGRLQGALNSSGLDMRSNFPPQLDPYEDEARFQLLMQRSLSPNQNMRYDGGDSSPYFEGISSGLMDQSQVNKISPFAQLSLPQSRNTNGHWDGWNEVEGGNGIGVAELLQNQRLGFNKFYSGYEDSNKYRMPTSGDLYSRTFGM >KJB56035 pep chromosome:Graimondii2_0_v6:9:7559960:7565011:-1 gene:B456_009G104400 transcript:KJB56035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSGSHLMDCSSLLRNSYQALASGGIGGPGDIEFMDPAILAVGKGRLQGALNSSGLDMRSNFPPQLDPYEDEARFQLLMQRSLSPNQNMRYDGGDSSPYFEGISSGLMDQSQVNKISPFAQLSLPQSRNTNGHWDGWNEVEGGNGIGVAELLQNQRLGFNKFYSGYEDSNKYRMPTSGDLYSRTFGM >KJB56034 pep chromosome:Graimondii2_0_v6:9:7558974:7565011:-1 gene:B456_009G104400 transcript:KJB56034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSEILIRLWQVVGLVALGI >KJB56055 pep chromosome:Graimondii2_0_v6:9:7559960:7565011:-1 gene:B456_009G104400 transcript:KJB56055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSAVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSGSHLMDCSSLLRNSYQALASGGIGGPGDIEFMDPAILAVGKGRLQGALNSSGLDMRSNFPPQLDPYEDEARFQLLMQRSLSPNQNMRYDGGDSSPYFEGISSGLMDQSQVNKISPFAQLSLPQSRNTNGHWDGWNEVEGGNGIGVAELLQNQRLGFNKFYSGYEDSNKYRMPTSGDLYSRTFGM >KJB56054 pep chromosome:Graimondii2_0_v6:9:7559960:7565011:-1 gene:B456_009G104400 transcript:KJB56054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSAVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSGSHLMDCSSLLRNSYQALASGGIGGPGDIEFMDPAILAVGKGRLQGALNSSGLDMRSNFPPQLDPYEDEARFQLLMQRSLSPNQNMRYDGGDSSPYFEGISSGLMDQSQVNKISPFAQLSLPQSRNTNGHWDGWNEVEGGNGIGVAELLQNQRLGFNKFYSGYEDSNKYRMPTSGDLYSRTFGM >KJB56050 pep chromosome:Graimondii2_0_v6:9:7559960:7565011:-1 gene:B456_009G104400 transcript:KJB56050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSAVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSGSHLMDCSSLLRNSYQALASGGIGGPGDIEFMDPAILAVGKGRLQGALNSSGLDMRSNFPPQLDPYEDEARFQLLMQRSLSPNQNMRYDGGDSSPYFEGISSGLMDQSQVNKISPFAQLSLPQSRNTNGHWDGWNEVEGGNGIGVAELLQNQRLGFNKFYSGYEDSNKYRMPTSGDLYSRTFGM >KJB56053 pep chromosome:Graimondii2_0_v6:9:7558978:7565610:-1 gene:B456_009G104400 transcript:KJB56053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSGSHLMDCSSLLRNSYQALASGGIGGPGDIEFMDPAILAVGKGRLQGALNSSGLDMRSNFPPQLDPYEDEARFQLLMQRSLSPNQNMRYDGGDSSPYFEGISSGLMDQSQVNKISPFAQLSLPQSRNTNGHWDGWNEVEGGNGIGVAELLQNQRLGFNKFYSGYEDSNKYRMPTSGDLYSRTFGM >KJB56058 pep chromosome:Graimondii2_0_v6:9:7559134:7565524:-1 gene:B456_009G104400 transcript:KJB56058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSGEFSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSGSHLMDCSSLLRNSYQALASGGIGGPGDIEFMDPAILAVGKGRLQGALNSSGLDMRSNFPPQLDPYEDEARFQLLMQRSLSPNQNMRYDGGDSSPYFEGISSGLMDQSQVNKISPFAQLSLPQSRNTNGHWDGWNEVEGGNGIGVAELLQNQRLGFNKFYSGYEDSNKYRMPTSGDLYSRTFGM >KJB56041 pep chromosome:Graimondii2_0_v6:9:7560427:7565011:-1 gene:B456_009G104400 transcript:KJB56041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSEILIRLWQVVGLVALGI >KJB56048 pep chromosome:Graimondii2_0_v6:9:7558978:7565610:-1 gene:B456_009G104400 transcript:KJB56048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSGSHLMDCSSLLRNSYQALASGGIGGPGDIEFMDPAILAVGKGRLQGALNSSGLDMRSNFPPQLDPYEDEARFQLLMQRSLSPNQNMRYDGGDSSPYFEGISSGLMDQSQVNKISPFAQLSLPQSRNTNGHWDGWNEVEGGNGIGVAELLQNQRLGFNKFYSGYEDSNKYRMPTSGDLYSRTFGM >KJB56056 pep chromosome:Graimondii2_0_v6:9:7559134:7565011:-1 gene:B456_009G104400 transcript:KJB56056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSGEFSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSGSHLMDCSSLLRNSYQALASGGIGGPGDIEFMDPAILAVGKGRLQGALNSSGLDMRSNFPPQLDPYEDEARFQLLMQRSLSPNQNMRYDGGDSSPYFEGISSGLMDQSQVNKISPFAQLSLPQSRNTNGHWDGWNEVEGGNGIGVAELLQNQRLGFNKFYSGYEDSNKYRMPTSGDLYSRTFGM >KJB56046 pep chromosome:Graimondii2_0_v6:9:7558974:7565610:-1 gene:B456_009G104400 transcript:KJB56046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSAVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSEILIRLWQVVGLVALGI >KJB56049 pep chromosome:Graimondii2_0_v6:9:7559960:7565011:-1 gene:B456_009G104400 transcript:KJB56049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSAVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSGSHLMDCSSLLRNSYQALASGGIGGPGDIEFMDPAILAVGKGRLQGALNSSGLDMRSNFPPQLDPYEDEARFQLLMQRSLSPNQNMRYDGGDSSPYFEGISSGLMDQSQVNKISPFAQLSLPQSRNTNGHWDGWNEVEGGNGIGVAELLQNQRLGFNKFYSGYEDSNKYRMPTSGDLYSRTFGM >KJB56043 pep chromosome:Graimondii2_0_v6:9:7558974:7565610:-1 gene:B456_009G104400 transcript:KJB56043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSEILIRLWQVVGLVALGI >KJB56051 pep chromosome:Graimondii2_0_v6:9:7558978:7565610:-1 gene:B456_009G104400 transcript:KJB56051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSAVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSGSHLMDCSSLLRNSYQALASGGIGGPGDIEFMDPAILAVGKGRLQGALNSSGLDMRSNFPPQLDPYEDEARFQLLMQRSLSPNQNMRYDGGDSSPYFEGISSGLMDQSQVNKISPFAQLSLPQSRNTNGHWDGWNEVEGGNGIGVAELLQNQRLGFNKFYSGYEDSNKYRMPTSGDLYSRTFGM >KJB56045 pep chromosome:Graimondii2_0_v6:9:7560427:7565011:-1 gene:B456_009G104400 transcript:KJB56045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSEILIRLWQVVGLVALGI >KJB56037 pep chromosome:Graimondii2_0_v6:9:7558974:7565610:-1 gene:B456_009G104400 transcript:KJB56037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSEILIRLWQVVGLVALGI >KJB56039 pep chromosome:Graimondii2_0_v6:9:7558974:7565610:-1 gene:B456_009G104400 transcript:KJB56039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSAVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSEILIRLWQVVGLVALGI >KJB56036 pep chromosome:Graimondii2_0_v6:9:7560427:7565011:-1 gene:B456_009G104400 transcript:KJB56036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSAVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSEILIRLWQVVGLVALGI >KJB56057 pep chromosome:Graimondii2_0_v6:9:7559134:7565011:-1 gene:B456_009G104400 transcript:KJB56057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSGNHLMDCSSLLRNSYQALASGGIGGPGDIEFMDPAILAVGKGRLQGALNSSGLDMRSNFPPQLDPYEDEARFQLLMQRSLSPNQNMRYDGGDSSPYFEGISSGLMDQSQVNKISPFAQLSLPQSRNTNGHWDGWNEVEGGNGIGVAELLQNQRLGFNKFYSGYEDSNKYRMPTSGDLYSRTFGM >KJB56044 pep chromosome:Graimondii2_0_v6:9:7560427:7565011:-1 gene:B456_009G104400 transcript:KJB56044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDETEGKCPACRCAYDKDRIVGMAANCERLVAEINSERKSKSTKAKTKSSEGRRQLSSVRVIQRNLVYIVGLPLNLGDEDLLQQREYFGQYGKVLKVSMSRTATGVIQQFPNNTCSVYITYSKEEEAIRCIRSIHGFVLEGRPLKACFGTTKYCHAWLRNVPCNNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLWPAGNMLPPPVDDYCPTPSYASAAKPIAKNAPNNTTVCIPKGSPPNGSTGRSVALPAGASWGMRALNYPQTAGLASSNGPSKQKSDTVRSALPFSSAVTNTNQNYPVHGDVIKKSSEEIHDMQMKGKQQNADLDCQTTVLEKPTTFGGVSASKSLSCQLSCPPVFNHYEQGSNMPSTVTNSTFCHAEQSFISSSEKPGCTGSTDGKIHSLCSDMQKLTVDRNVYGGPSNVLSPSSADSDHGSSGSPSSLCLQQCYTEDYREPLSSLAIGRTVTSPSGFCVSKQQSDWINDRQTQPVANRSSDVEEDILSFDNQRLNDPEVISRSSYVPNSPISLHLSNQSRPHWFQRGAVNLDADAFNVDNKVSDSLHLHGSSVSSLSNGYPEKYISSSIGSDITVDGSHLLPSEGKGKQIGRFLDYVEGNDAKETGESNIISNILSLDFDTWDESLASPHNLAKLLCDTVKQPNTLKLSTSWTAPNNGQSRFSFARQEDSKYHPFDMESSFSLFGQMPQNPSSQEFAESTDLYQNKFGISNGFSSHHFAESDYAISGPSVFSSNKLSAVSRPQISAPPGFAVPSRTPPPGFSSHERIDHAYDTTSEILIRLWQVVGLVALGI >KJB58416 pep chromosome:Graimondii2_0_v6:9:16217453:16221261:-1 gene:B456_009G209300 transcript:KJB58416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGRNSLQSKKVPGKHEKEEAEMQGIKPTIATKTMKNRRASSKERKKALQQDVDKLKKKLRQEENIHRALERAFNRPLGALPRLPPYLPPPTLELLAEVAVLEEEIVRLEEQVVHFRQDLYQEAVYTSTSKRNMESSADSSEPCLDNSPKSPQPRTSTRNTSMASHLQTLSDEGRGKENQSCTNSTKSNKGSLVDKSPTVKTPVKRPLIDSKPVEKRLDPQKLQLECRVRDLDSAKARNLSTSEERPLANDGPNKVSEELVKCLSTIFLRMSSKKRNSAADSFPSLSMLGSQESSDVTKFQDPYGICSNFGRRDIGPYKHLFPIDAGSINLNRTSNSLFLLRRLKLLLERLAASNLQNLNHQEKLAFWINIYNSCMMNAFLEHGVPESPEMVVELMRKATINVGRRLLNAITIEHFILRLPYHSKFTFSKGAKSDEMTARSMFGLELSEPLVTFALSCGSWSSPAVRVYTASHVEAELEVAKKEYLQATVGISSTKFAIPKLLDWYLLDFAKDLDSLLDWICLQLPSEVGKEAIKYLERAKSESLLQFVQIIPYDFSFRYLLCT >KJB58413 pep chromosome:Graimondii2_0_v6:9:16217453:16221489:-1 gene:B456_009G209300 transcript:KJB58413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGRNSLQSKKVPGKHEKEEAEMQGIKPTIATKTMKNRRASSKERKKALQQDVDKLKKKLRQEENIHRALERAFNRPLGALPRLPPYLPPPTLELLAEVAVLEEEIVRLEEQVVHFRQDLYQEAVYTSTSKRNMESSADSSEPCLDNSPKSPQPRTSTRNTSMASHLQTLSDEGRGKENQSCTNSTKSNKGSLVDKSPTVKTPVKRPLIDSKPVEKRLDPQKLQLECRVRDLDSAKARNLSTSEERPLANDGPNKVSEELVKCLSTIFLRMSSKKRNSAADSFPSLSMLGSQESSDVTKFQDPYGICSNFGRRDIGPYKHLFPIDAGSINLNRTSNSLFLLRRLKLLLERLAASNLQNLNHQEKLAFWINIYNSCMMNAFLEHGVPESPEMVVELMRKATINVGRRLLNAITIEHFILRLPYHSKFTFSKGAKSDEMTARSMFGLELSEPLVTFALSCGSWSSPAVRVYTASHVEAELEVAKKEYLQATVGISSTKFAIPKLLDWYLLDFAKDLDSLLDWICLQLPSEVGKEAIKYLERAKSESLLQFVQIIPYDFSFRYLLCT >KJB58415 pep chromosome:Graimondii2_0_v6:9:16217453:16220622:-1 gene:B456_009G209300 transcript:KJB58415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGIKPTIATKTMKNRRASSKERKKALQQDVDKLKKKLRQEENIHRALERAFNRPLGALPRLPPYLPPPTLELLAEVAVLEEEIVRLEEQVVHFRQDLYQEAVYTSTSKRNMESSADSSEPCLDNSPKSPQPRTSTRNTSMASHLQTLSDEGRGKENQSCTNSTKSNKGSLVDKSPTVKTPVKRPLIDSKPVEKRLDPQKLQLECRVRDLDSAKARNLSTSEERPLANDGPNKVSEELVKCLSTIFLRMSSKKRNSAADSFPSLSMLGSQESSDVTKFQDPYGICSNFGRRDIGPYKHLFPIDAGSINLNRTSNSLFLLRRLKLLLERLAASNLQNLNHQEKLAFWINIYNSCMMNAFLEHGVPESPEMVVELMRKATINVGRRLLNAITIEHFILRLPYHSKFTFSKGAKSDEMTARSMFGLELSEPLVTFALSCGSWSSPAVRVYTASHVEAELEVAKKEYLQATVGISSTKFAIPKLLDWYLLDFAKDLDSLLDWICLQLPSEVGKEAIKYLERAKSESLLQFVQIIPYDFSFRYLLCT >KJB58417 pep chromosome:Graimondii2_0_v6:9:16217378:16221489:-1 gene:B456_009G209300 transcript:KJB58417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGRNSLQSKKVPGKHEKEEAEMQGIKPTIATKTMKNRRASSKERKKALQQDVDKLKKKLRQEENIHRALERAFNRPLGALPRLPPYLPPPTLELLAEVAVLEEEIVRLEEQVVHFRQDLYQEAVYTSTSKRNMESSADSSEPCLDNSPKSPQPRTSTRNTSMASHLQTLSDEGRGKENQSCTNSTKSNKGSLVDKSPTVKTPVKRPLIDSKPVEKRLDPQKLQLECRVRDLDSAKARNLSTSEERPLANDGPNKVSEELVKCLSTIFLRMSSKKRNSAADSFPSLSMLGSQESSDVTKFQDPYGICSNFGRRDIGPYKHLFPIDAGSINLNRTSNSLFLLRRLKLLLERLAASNLQNLNHQEKLAFWINIYNSCMMNAFLEHGVPESPEMVVELMRKATINVGRRLLNAITIEHFILRLPYHSKFTFSKGAKSDEMTARSMFGLELSEPLVTFALSCGSWSSPAVRVYTASHVEAELEVAKKEYLQATVGISSTKFAIPKLLDWYLLDFAKDLDSLLDWICLQLPSEVGKEAIKYLERAKSESLLQFVQIIPYDFSFRYLLCT >KJB58412 pep chromosome:Graimondii2_0_v6:9:16217378:16221983:-1 gene:B456_009G209300 transcript:KJB58412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGRNSLQSKKVPGKHEKEEAEMQGIKPTIATKTMKNRRASSKERKKALQQDVDKLKKKLRQEENIHRALERAFNRPLGALPRLPPYLPPPTLELLAEVAVLEEEIVRLEEQVVHFRQDLYQEAVYTSTSKRNMESSADSSEPCLDNSPKSPQPRTSTRNTSMASHLQTLSDEGRGKENQSCTNSTKSNKGSLVDKSPTVKTPVKRPLIDSKPVEKRLDPQKLQLECRVRDLDSAKARNLSTSEERPLANDGPNKVSEELVKCLSTIFLRMSSKKRNSAADSFPSLSMLGSQESSDVTKFQDPYGICSNFGRRDIGPYKHLFPIDAGSINLNRTSNSLFLLRRLKLLLERLAASNLQNLNHQEKLAFWINIYNSCMMNAFLEHGVPESPEMVVELMRKATINVGRRLLNAITIEHFILRLPYHSKFTFSKGAKSDEMTARSMFGLELSEPLVTFALSCGSWSSPAVRVYTASHVEAELEVAKKEYLQATVGISSTKFAIPKLLDWYLLDFAKDLDSLLDWICLQLPSEVGKEAIKYLERAKSESLLQFVQIIPYDFSFRYLLCT >KJB58414 pep chromosome:Graimondii2_0_v6:9:16217390:16221983:-1 gene:B456_009G209300 transcript:KJB58414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRGRNSLQSKKVPGKHEKEEAEMQGIKPTIATKTMKNRRASSKERKKALQQDVDKLKKKLRQEENIHRALERAFNRPLGALPRLPPYLPPPTLELLAEVAVLEEEIVRLEEQVVHFRQDLYQEAVYTSTSKRNMESSADSSEPCLDNSPKSPQPRTSTRNTSMASHLQTLSDEGRGKENQSCTNSTKSNKGSLVDKSPTVKTPVKRPLIDSKPVEKRLDPQKLQLECRVRDLDSAKARNLSTSEERPLANDGPNKVSEELVKCLSTIFLRMSSKKRNSAADSFPSLSMLGSQESSDVTKFQDPYGICSNFGRRDIGPYKHLFPIDAGSINLNRTSNSLFLLRRLKLLLERLAASNLQNLNHQEKLAFWINIYNSCMMNAFLEHGVPESPEMVVELMRKATINVGRRLLNAITIEHFILRLPYHSKFTFSKGAKSDEMTARSMFGLELSEPLVTFALSCGSWSSPAVRVYTASHVEAELEVAKKEYLQATVGISSTKFAIPKLLDWYLLDFAKDLDSLLDWICLQLPSEVGKEAIKYLERAKSESLLQFVQIIPYDFSFRYLLCT >KJB59478 pep chromosome:Graimondii2_0_v6:9:21295919:21299871:-1 gene:B456_009G258400 transcript:KJB59478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTVEGGGFFSSSVSGYSKGLALLLLGQKHEDKPMRVSPWNHYQLVDQESEPDLNQLASIKNRLSRGCTSFVCFGRTSAGLDAASALKVGPVQQQDVLPELHVLDKTNDHASQLDDGNSNVREIALKSSLKKRPINTLIPVEDVNDHEASGDKDGDIPSHPERRKVQWTDAHGSELAEIREFEPSETGGSDDEFDNGSERSCSCTIM >KJB59477 pep chromosome:Graimondii2_0_v6:9:21295726:21300054:-1 gene:B456_009G258400 transcript:KJB59477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTVEGGGFFSSSVSGYSKGLALLLLGQKHEDKPMRVSPWNHYQLVDQESEPDLNQLASIKNRLSRGCTSFVCFGRTSAGLDAASALKVGPVQQQDVLPELHVLDKTNDHASQLDDGNSNVREIALKSSLKKRPINTLIPVEDVNDHEASGDKDGDIPSHPERRKVQWTDAHGSELAEIREFEPSETGGSDDEFDNGSERSCSCTIM >KJB59479 pep chromosome:Graimondii2_0_v6:9:21295726:21300020:-1 gene:B456_009G258400 transcript:KJB59479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTVEGGGFFSSSVSGYSKGLALLLLGQKHEDKPMRVSPWNHYQLVDQESEPDLNQLASIKNRLSRGCTSFVCFGRTSAGLDAASALKVGPVQQQDVLPELHVLDKTNDHASQLDDGNSNVREIALKSSLKKRPINTLIPVEDVNDHEASGDKDGDIPSHPERRKVQWTDAHGSELAEIREFEPSETGGSDDEFDNGSERSCSCTIM >KJB61078 pep chromosome:Graimondii2_0_v6:9:37549694:37552840:-1 gene:B456_009G338900 transcript:KJB61078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSKLGSLFSFLKFQGILQRLRQQQQQQGPPQSERAREAAKRAEYPIRTYGTKVAWNHLHDWLILMLLVIIEVGLFVIHPFYRFVGKDMMGDLRYPMKDNTVPLWAVPIYSVLLPICVSIFFYYRRKDVYDLHHSVLGLLFAVLITAVITDAIKDAVGRPRPDFFWRCFPDGKDVYDKWGNVVCHGNKADIKEGHKSFPSGHASWSFAGLGYLSLYLAGKIHTFDRQGHIAKLCITVIPLLAASLVAVSRVDDYWHHWNDVFAGGFLGLVVSAFCYRQFFPNPYDDDGWGPYAYFDALLEELSDNNGDGAAQTTNQLTAHPTMGVHIVNQTQGSKGDSDYGPSSRLDDIELGRR >KJB61077 pep chromosome:Graimondii2_0_v6:9:37549694:37551922:-1 gene:B456_009G338900 transcript:KJB61077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVIIEVGLFVIHPFYRFVGKDMMGDLRYPMKDNTVPLWAVPIYSVLLPICVSIFFYYRRKDVYDLHHSVLGLLFAVLITAVITDAIKDAVGRPRPDFFWRCFPDGKDVYDKWGNVVCHGNKADIKEGHKSFPSGHASWSFAGLGYLSLYLAGKIHTFDRQGHIAKLCITVIPLLAASLVAVSRVDDYWHHWNDVFAGGFLGLVVSAFCYRQFFPNPYDDDGWGPYAYFDALLEELSDNNGDGAAQTTNQLTAHPTMGVHIVNQTQGSKGDSDYGPSSRLDDIELGRR >KJB59784 pep chromosome:Graimondii2_0_v6:9:22705768:22709195:1 gene:B456_009G271700 transcript:KJB59784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICQLSGHFFSGIPLQFNHRLRKSARTPKFLTYANGVSCYQTEKTGQDSQNRMFILGMGFVGQFFAEELRNEGWIVSGTCTSMKKRNEIQERGLDVLLFDANQPEMGTMNTLKSYTHLLVSIPPIMGIGDPMLQHGELLRSTMIDGNLQWLCYLSSTSVYGDCGGACVDEDFLASPTNEMAKLRLVAEQGWLNLAHDVGIKAQVFRLGGIYGPGRSAVDTIIKQGPLSESQKRRVAKQFTSRVHVADICQALKASIRIQLSRRIYNIVDDDPASRKEVFAYALDLVEKKWPSLVKEITSHERAEPFVQKTTLKGEKRVSNAHMKNELAVQLLYPSYKSGLQSIIDQIGNPF >KJB58244 pep chromosome:Graimondii2_0_v6:9:15533979:15536770:1 gene:B456_009G200600 transcript:KJB58244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKACAKAKTVRRLVFTSSAGTIDVAEQQKPCYDETCWSDLEFIQAKKMTGWMYFVSKTMAEQAAWKFAKENNIDFVSIIPPLVVGPFIMQSMPPSLITALSPITGNEAHYSIIKQGQFIHLDDLCRAHIFLFENPKAEGRYICASHHATIIDLAKMLSDKYPEYNVPTKFKDVDENLKSVEFSSKKLLDLGFEFKYSLEDMFVGAVETCREKGLLPLSNEKKTNNID >KJB58238 pep chromosome:Graimondii2_0_v6:9:15534128:15535800:1 gene:B456_009G200600 transcript:KJB58238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTENQMKDMKQNSIMGSSVTEGEIVCVTGGSGFIGSWLIKLLLERGYVVRATVRDPDNSKKVKHLLELPKAETHLTLWKADLAEEGSFDDAIQGCTGVFHVATPMDFESEDPENEVIKPTINGVLSIMKACAKAKTVRRLVFTSSAGTIDVAEQQKPCYDETCWSDLEFIQAKKMTGWVSIPNSRPKTQSGLKFCQILTLVFFVV >KJB58247 pep chromosome:Graimondii2_0_v6:9:15533979:15536775:1 gene:B456_009G200600 transcript:KJB58247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFESEDPENEVIKPTINGVLSIMKACAKAKTVRRLVFTSSAGTIDVAEQQKPCYDETCWSDLEFIQAKKMTGWMYFVSKTMAEQAAWKFAKENNIDFVSIIPPLVVGPFIMQSMPPSLITALSPITGNEAHYSIIKQGQFIHLDDLCRAHIFLFENPKAEGRYICASHHATIIDLAKMLSDKYPEYNVPTKFKDVDENLKSVEFSSKKLLDLGFEFKYSLEDMFVGAVETCREKGLLPLSNEKKTNNID >KJB58237 pep chromosome:Graimondii2_0_v6:9:15533979:15536775:1 gene:B456_009G200600 transcript:KJB58237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTENQMKDMKQNSIMGSSVTEGEIVCVTGGSGFIGSWLIKLLLERGYVVRATVRDPDNSKKVKHLLELPKAETHLTLWKADLAEEGSFDDAIQGCTGVFHVATPMDFESEDPENEVIKPTINGVLSIMKACAKAKTVRRLVFTSSAGTIDVAEQQKPCYDETCWSDLEFIQAKKMTGWMYFVSKTMAEQAAWKFAKENNIDFVSIIPPLVVGPFIMQSMPPSLITALSPITELISFYSRIQKRKVATFAPLTMLPLSILRKCSVTNTLNIMFPPSSKMWMRT >KJB58243 pep chromosome:Graimondii2_0_v6:9:15533979:15536770:1 gene:B456_009G200600 transcript:KJB58243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKACAKAKTVRRLVFTSSAGTIDVAEQQKPCYDETCWSDLEFIQAKKMTGWMYFVSKTMAEQAAWKFAKENNIDFVSIIPPLVVGPFIMQSMPPSLITALSPITGNEAHYSIIKQGQFIHLDDLCRAHIFLFENPKAEGRYICASHHATIIDLAKMLSDKYPEYNVPTKFKDVDENLKSVEFSSKKLLDLGFEFKYSLEDMFVGAVETCREKGLLPLSNEKKTNNID >KJB58246 pep chromosome:Graimondii2_0_v6:9:15533979:15536776:1 gene:B456_009G200600 transcript:KJB58246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTENQMKDMKQNSIMGSSVTEGEIVCVTGGSGFIGSWLIKLLLERGYVVRATVRDPDNSKKVKHLLELPKAETHLTLWKADLAEEGSFDDAIQGCTGVFHVATPMDFESEDPENEVIKPTINGVLSIMKACAKAKTVRRLVFTSSAGTIDVAEQQKPCYDETCWSDLEFIQAKKMTGWMYFVSKTMAEQAAWKFAKENNIDFVSIIPPLVVGPFIMQSMPPSLITALSPITGNEAHYSIIKQGQFIHLDDLCRAHIFLFENPKAEGRYICASHHATIIDLAKMLSDKYPEYNVPTKFKDVDENLKSVEFSSKKLLDLGFEFKYSLEDMFVGAVETCREKGLLPLSNEKKTNNID >KJB58241 pep chromosome:Graimondii2_0_v6:9:15533979:15536770:1 gene:B456_009G200600 transcript:KJB58241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTENQMKDMKQNSIMGSSVTEGEIVCVTGGSGFIGSWLIKLLLERGYVVRATVRDPDNSKKVKHLLELPKAETHLTLWKADLAEEGSFDDAIQGCTGVFHVATPMDFESEDPENEVIKPTINGVLSIMKACAKAKTVRRLVFTSSAGTIDVAEQQKPCYDETCWSDLEFIQAKKMTGWMYFVSKTMAEQAAWKFAKENNIDFVSIIPPLVVGPFIMQSMPPSLITALSPITGTVFCNFTA >KJB58245 pep chromosome:Graimondii2_0_v6:9:15533979:15536775:1 gene:B456_009G200600 transcript:KJB58245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTENQMKDMKQNSIMGSSVTEGEIVCVTGGSGFIGSWLIKLLLERGYVVRATVRDPDNSKKVKHLLELPKAETHLTLWKADLAEEGSFDDAIQGCTGVFHVATPMDFESEDPENEVIKPTINGVLSIMKACAKAKTVRRLVFTSSAGTIDVAEQQKPCYDETCWSDLEFIQAKKMTGWMYFVSKTMAEQAAWKFAKENNIDFVSIIPPLVVGPFIMQSMPPSLITALSPITGNEAHYSIIKQGQFIHLDDLCRAHIFLFENPKAEGRYICASHHATIIDLAKMLSDKYPEYNVPTK >KJB58239 pep chromosome:Graimondii2_0_v6:9:15533979:15536776:1 gene:B456_009G200600 transcript:KJB58239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTENQMKDMKQNSIMGSSVTEGEIVCVTGGSGFIGSWLIKLLLERGYVVRATVRDPADNSKKVKHLLELPKAETHLTLWKADLAEEGSFDDAIQGCTGVFHVATPMDFESEDPENEVIKPTINGVLSIMKACAKAKTVRRLVFTSSAGTIDVAEQQKPCYDETCWSDLEFIQAKKMTGWMYFVSKTMAEQAAWKFAKENNIDFVSIIPPLVVGPFIMQSMPPSLITALSPITGNEAHYSIIKQGQFIHLDDLCRAHIFLFENPKAEGRYICASHHATIIDLAKMLSDKYPEYNVPTKFKDVDENLKSVEFSSKKLLDLGFEFKYSLEDMFVGAVETCREKGLLPLSNEKKTNNID >KJB58240 pep chromosome:Graimondii2_0_v6:9:15533979:15536770:1 gene:B456_009G200600 transcript:KJB58240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTENQMKDMKQNSIMGSSVTEGEIVCVTGGSGFIGSWLIKLLLERGYVVRATVRDPDNSKKVKHLLELPKAETHLTLWKADLAEEGSFDDAIQGCTGVFHVATPMDFESEDPENEVIKPTINGVLSIMKACAKAKTVRRLVFTSSAGTIDVAEQQKPCYDETCWSDLEFIQAKKMTGWVSIPNSRPKTQSGLKFCQILTLVFFVV >KJB58242 pep chromosome:Graimondii2_0_v6:9:15533979:15536770:1 gene:B456_009G200600 transcript:KJB58242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTENQMKDMKQNSIMGSSVTEGEIVCVTGGSGFIGSWLIKLLLERGYVVRATVRDPDNSKKVKHLLELPKAETHLTLWKADLAEEGSFDDAIQGCTGVFHVATPMDFESEDPENEVIKPTINGVLSIMKACAKAKTVRRLVFTSSAGTIDVAEQQKPCYDETCWSDLEFIQAKKMTGWVNVFCLQDDGRASSLEIR >KJB58329 pep chromosome:Graimondii2_0_v6:9:15853070:15853781:1 gene:B456_009G204800 transcript:KJB58329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKLWERARGYCNLPNDMDVSGRAHVIVKSKINVETTTMLVRKLTEGGATSKTTTTTSTKSRFGDITPSTVEKRNQ >KJB58397 pep chromosome:Graimondii2_0_v6:9:16232303:16237807:-1 gene:B456_009G209500 transcript:KJB58397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Uroporphyrinogen III synthase [Source: Projected from Oryza sativa (Os03g0186100)] MALLSLSSLSPLAPPCPSFPLQLQTRISFSRARIGASSTSSPSTSQVIQHASSNPKVVVTRERGKNTKLIDALAEHGINCLELPLIQHLQGPDSDRLASVLSAETAFDWIVITSPEAGSVFLEAWKAAGTPNVRIGVVGAGTASIFENLKQPSKQSLHVAFAPSKATGKVLASELPMDGNKRCTVLYPASVKASNEIEEGLSHRGFQVLRLNTYTTVPVDHVDHIVLEKAQSAPVVTVASPSAVRSWVNLISEPDSWSNAVACIGETTASAAKRLGLRNVYFPMQPGLDGWVGSILEALRAHDKF >KJB58398 pep chromosome:Graimondii2_0_v6:9:16232303:16238028:-1 gene:B456_009G209500 transcript:KJB58398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Uroporphyrinogen III synthase [Source: Projected from Oryza sativa (Os03g0186100)] MALLSLSSLSPLAPPCPSFPLQLQTRISFSRARIGASSTSSPSTSQVIQHASSNPKVVVTRERGKNTKLIDALAEHGINCLELPLIQHLQGPDSDRLASVLSETAFDWIVITSPEAGSVFLEAWKAAGTPNVRIGVVGAGTASIFENLKQPSKQSLHVAFAPSKATGKVLASELPMDGNKRCTVLYPASVKASNEIEEGLSHRGFQVLRLNTYTTVPVDHVDHIVLEKAQSAPVVTVASPSAVRSWVNLISEPDSWSNAVACIGETTASAAKRLGLRNVYFPMQPGLDGWVGSILEALRAHDKF >KJB58399 pep chromosome:Graimondii2_0_v6:9:16232331:16237646:-1 gene:B456_009G209500 transcript:KJB58399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Uroporphyrinogen III synthase [Source: Projected from Oryza sativa (Os03g0186100)] MALLSLSSLSPLAPPCPSFPLQLQTRISFSRARIGASSTSSPSTSQVIQHASSNPKVVVTRERGKNTKLIDALAEHGINCLELPLIQHLQGPDSDRLASVLSETAFDWIVITSPEAGSVFLEAWKAAGTPNVRIGVVGAGTASIFENLKQPSKQSLHVAFAPSKATGKVLASELPMDGNKRCTVLYPASVKASNEIEEGLSHRGFQVLRLNTYTTVPVDHVDHIVLEKAQSAPVVTVASPSAVRSWVNLISEPDSWSNAVACIGETTASAAKRLGLRNVYFPMQPGLDGWVGSILEALRAHDKF >KJB58400 pep chromosome:Graimondii2_0_v6:9:16232331:16238028:-1 gene:B456_009G209500 transcript:KJB58400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Uroporphyrinogen III synthase [Source: Projected from Oryza sativa (Os03g0186100)] MALLSLSSLSPLAPPCPSFPLQLQTRISFSRARIGASSTSSPSTSQVIQHASSNPKVVVTRERGKNTKLIDALAEHGINCLELPLIQHLQGPDSDRLASVLSETAFDWIVITSPEAGSVFLEAWKAAGTPNVRIGVVGAGTASIFENLKQPSKQSLHVAFAPSKATGKVLASELPMDGNKRCTVLYPASVKASNEIEGLSHRGFQVLRLNTYTTVPVDHVDHIVLEKAQSAPVVTVASPSAVRSWVNLISEPDSWSNAVACIGETTASAAKRLGLRNVYFPMQPGLDGWVGSILEALRAHDKF >KJB55310 pep chromosome:Graimondii2_0_v6:9:4986579:4988897:1 gene:B456_009G070500 transcript:KJB55310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYTVERIKVATPDKVELMTKVFKPNEEIKDNLVVVLVHPYSILGGRQALLKGIASGLAQNGHRAVTFDMRGVGESIGRASLTGFAEVKDVIAVCNWVSEHLDSHRILLVGSSAGAPIAGSAVDQIEQVVGYVSLGYPFGMMASILFGRHHKAILQSSKPKLFVMGTRDGFTSVKQLNNKLSSAAGRNEIHLMEGVSHFEMEGPAYDAEMVKLILKFISSL >KJB59030 pep chromosome:Graimondii2_0_v6:9:18656077:18661791:1 gene:B456_009G236100 transcript:KJB59030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPLHRGVSGIRVSGNSNDFWDAEVKDNTEKEDLDRNHSSSQSFLSLRSPFRFFFQDNSPSKYGVTENGFTADPFGGGTPRSRHRLTMLFLKLSLVVIVILALTGSFWWTISISTSSRGHIFRGYRRLQEQLVSDLWDIGELSLGPSRLKEIEFCSEEFENYIPCFNVSENVALGYSDGNEYDRLCGHGSRKSCLVLPPVNYKIPLRWPTGKEVIWVANVKITGQEVFSSGSLTKRMMMLEEDQISFRSASLMFDGVEDYSHQIAEMIGLRNESNLIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYESSGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMLHCARCGVDWDKKDGIFLIEADRVLKPGGYFVWTSPLTNVHTFLRDKEKQKRWNFVRDFAENLCWELISQQDETVVWKKTNKKSCYSSRKPGSFPPICSKGQDVESPYYRPLQNCIGGTNSRQWVPIEEGATWPFRSNLNKNELELYGLHSEELVVDTANYKTAVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMFRNVLDMNARYGGFNAALLEAGKSVWVMNVVPTTGPNYLPLVLDRGYIGVFHNWCEAFPTYPRTYDMVHADGLLSLETSQYRRCTMLDLLTEIDRLLRPEGWVIIHDKAPLIESARALTVQLKWDARVVEIESNSDERLLICQKPFFKRQATS >KJB59034 pep chromosome:Graimondii2_0_v6:9:18656258:18661789:1 gene:B456_009G236100 transcript:KJB59034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPLHRGVSGIRVSGNSNDFWDAEVKDNTEKEDLDRNHSSSQSFLSLRSPFRFFFQDNSPSKYGVTENGFTADPFGGGTPRSRHRLTMLFLKLSLVVIVILALTGSFWWTISISTSSRGHIFRGYRRLQEQLVSDLWDIGELSLGPSRLKEIEFCSEEFENYIPCFNVSENVALGYSDGNEYDRLCGHGSRKSCLVLPPVNYKIPLRWPTGKEVIWVANVKITGQEVFSSGSLTKRMMMLEEDQISFRSASLMFDGVEDYSHQIAEMIGLRNESNLIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYESSGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMLHCARCGVDWDKKDGIFLIEADRVLKPGGYFVWTSPLTNVHTFLRDKEKQKRWNFVRDFAENLCWELISQQDETVVWKKTNKKSCYSSRKPGSFPPICSKGQDVESPYYRPLQNCIGGTNSRQWVPIEEGATWPFRSNLNKNELELYGLHSEELVVDTANYKTAVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMFRNVLDMNARYGGFNAALLEAGKSVWVMNVVPTTGPNYLPLVLDRGYIGVFHNWCEAFPTYPRTYDMVHADGLLSLETSQYRRCTMLDLLTEIDRLLRPEI >KJB59032 pep chromosome:Graimondii2_0_v6:9:18656258:18661789:1 gene:B456_009G236100 transcript:KJB59032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPLHRGVSGIRVSGNSNDFWDAEVKDNTEKEDLDRNHSSSQSFLSLRSPFRFFFQDNSPSKYGVTENGFTADPFGGGTPRSRHRLTMLFLKLSLVVIVILALTGSFWWTISISTSSRGHIFRGYRRLQEQLVSDLWDIGELSLGPSRLKEIEFCSEEFENYIPCFNVSENVALGYSDGNEYDRLCGHGSRKSCLVLPPVNYKIPLRWPTGKEVIWVANVKITGQEVFSSGSLTKRMMMLEEDQISFRSASLMFDGVEDYSHQIAEMIGLRNESNLIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYESSGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMLHCARCGVDWDKKDGIFLIEADRVLKPGGYFVWTSPLTNVHTFLRDKEKQKRWNFVRDFAENLCWELISQQDETVVWKKTNKKSCYSSRKPGSFPPICSKGQDVESPYYRPLQNCIGGTNSRQWVPIEEGATWPFRSNLNKNELELYGLHSEELVVDTANYKTAVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMFRNVLDMNARYGGFNAALLEAGKSVWVMNVVPTTGPNYLPLVLDRGYIGVFHNW >KJB59033 pep chromosome:Graimondii2_0_v6:9:18656258:18661789:1 gene:B456_009G236100 transcript:KJB59033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPLHRGVSGIRVSGNSNDFWDAEVKDNTEKEDLDRNHSSSQSFLSLRSPFRFFFQDNSPSKYGVTENGFTADPFGGGTPRSRHRLTMLFLKLSLVVIVILALTGSFWWTISISTSSRGHIFRGYRRLQEQLVSDLWDIGELSLGPSRLKEIEFCSEEFENYIPCFNVSENVALGYSDGNEYDRLCGHGSRKSCLVLPPVNYKIPLRWPTGKEVIWVANVKITGQEVFSSGSLTKRMMMLEEDQISFRSASLMFDGVEDYSHQIAEMIGLRNESNLIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYESSGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMLHCARCGVDWDKKDGIFLIEADRVLKPGGYFVWTSPLTNVHTFLRDKEKQKRWNFVRDFAENLCWELISQQDETVVWKKTNKKSCYSSRKPGSFPPICSKGQDVESPYYRPLQNCIGGTNSRQWVPIEEGATWPFRSNLNKNELELYGLHSEELVVDTANYKTAVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMFRNVLDMNARYGGFNAALLEAGKSVWVMNVVPTTGPNYLPLVLDRGYIGVFHNWCEAFPTYPRTYDMVHADGLLSLETSQYRRCTMLDLLTEIDRLLRPEI >KJB59031 pep chromosome:Graimondii2_0_v6:9:18656223:18661789:1 gene:B456_009G236100 transcript:KJB59031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLKLSLVVIVILALTGSFWWTISISTSSRGHIFRGYRRLQEQLVSDLWDIGELSLGPSRLKEIEFCSEEFENYIPCFNVSENVALGYSDGNEYDRLCGHGSRKSCLVLPPVNYKIPLRWPTGKEVIWVANVKITGQEVFSSGSLTKRMMMLEEDQISFRSASLMFDGVEDYSHQIAEMIGLRNESNLIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYESSGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMLHCARCGVDWDKKDGIFLIEADRVLKPGGYFVWTSPLTNVHTFLRDKEKQKRWNFVRDFAENLCWELISQQDETVVWKKTNKKSCYSSRKPGSFPPICSKGQDVESPYYRPLQNCIGGTNSRQWVPIEEGATWPFRSNLNKNELELYGLHSEELVVDTANYKTAVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMFRNVLDMNARYGGFNAALLEAGKSVWVMNVVPTTGPNYLPLVLDRGYIGVFHNWCEAFPTYPRTYDMVHADGLLSLETSQYRRCTMLDLLTEIDRLLRPEGWVIIHDKAPLIESARALTVQLKWDARVVEIESNSDERLLICQKPFFKRQATS >KJB54510 pep chromosome:Graimondii2_0_v6:9:2724644:2725259:-1 gene:B456_009G037000 transcript:KJB54510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPIGERDDGGRYKGVRMRKWGKWVAEVRQPNSRGRIWLGSYKTAEEAARAYDAAVFCLRGNSAKLNFPDNPPDIADANELTPDQIKEAAFRHARRDAGEEETEVAAAAAGNSYTECYFGGGSVGDRSGRAYFHSPGVWTF >KJB56267 pep chromosome:Graimondii2_0_v6:9:8281325:8282850:1 gene:B456_009G113700 transcript:KJB56267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQVTPQKMIQGVDLVDKNTTTQLLSLRRLEEKVAIITGGARGIGEATVRVFVKHGAKAVIADIADAAGIALANSLAPSAVYVHCDVSSEEDVEMLINRTISLYGKLDILVNNAGVLGNQSKRKSIIDFDAGEFDRVMQVNVRGVALGMKHAAKVMMPRRSGCIISMASVAGCMGGLGPHAYTASKHAIIGLTKNTACELGQYGIRVNCISPFGVATSMLINAWRSSDQVDHEECTDLEAEEMEEFVRGLANLKGVTLKAKDVAEAALYMASEESRYISGHNLVVDGGFTSFKNCVAL >KJB61719 pep chromosome:Graimondii2_0_v6:9:51088271:51098711:-1 gene:B456_009G376100 transcript:KJB61719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAGLQEAAGSRFSEVELIGRGSFGDVYKAFDKELKKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLEEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRFIRNARKSQKLLERIRERPKYQLKEDGETRNGPMAVGESTDTLKVMRDIGGDGTVRASSNLGKTFKSAGWDFSIGGSNSTGTVRTAAKPPQIRERKLEASYNQARPRTPESGNALSESREASFGKDARESYHNEHQDNDHDKNAIRCTKHVPCLKESEDEMSVSGSGTIVIKSPRGSQSSALFRDSSSLSSSTYASFEDASTSGTIVLRGQTDDPDSPRTPRSRQGIQDRTSSASVEDSASNLAEAKAAIQAGLRKANARDKSSRSKLNSPGHENRRKDLTSNCSDSSSSSREYFDAPRLLSRSSQASNDEENAKIASASVPLSMLLIPSLKEVIADDSEGSVVRAVTNSLINMERAKPGSCEALVRKLLERLASSKESSMKDMQELAARKFNKGKTTPEDAQNTNPETESRKRQQHKELPSNSNLSPLARFLLSRWQSQT >KJB61714 pep chromosome:Graimondii2_0_v6:9:51088018:51099672:-1 gene:B456_009G376100 transcript:KJB61714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAGLQEAAGSRFSEVELIGRGSFGDVYKAFDKELKKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLEEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRFIRNARKSQKLLERIRERPKYQLKEDGETRNGPMAVGESTDTLKVMRDIGGDGTVRASNLGKTFKSAGWDFSIGGSNSTGTVRTAAKPPQIRERKLEASYNQARPRTPESGNALSESREASFGKDARESYHNEHQDNDHDDEMSVSGSGTIVIKSPRGSQSSALFRDSSSLSSSTYASFEDASTSGTIVLRGQTDDPDSPRTPRSRQGIQDRTSSASVEDSASNLAEAKAAIQAGLRKANARDKSSRSKLNSPGHENRRKDLTSNCSDSSSSREYFDAPRLLSRSSQASNDEENAKIASASVPLSMLLIPSLKEVIADDSEGSVVRAVTNSLINMERAKPGSCEALVRKLLERLASSKESSMKDMQELAARKFNKGKTTPEDAQNTNPETESRKRQQHKELPSNSNLSPLARFLLSRWQSQT >KJB61713 pep chromosome:Graimondii2_0_v6:9:51088086:51099346:-1 gene:B456_009G376100 transcript:KJB61713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAGLQEAAGSRFSEVELIGRGSFGDVYKAFDKELKKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLEEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRFIRNARKSQKLLERIRERPKYQLKEDGETRNGPMAVGESTDTLKVMRDIGGDGTVRASNLGKTFKSAGWDFSIGGSNSTGTVRTAAKPPQIRERKLEASYNQARPRTPESGNALSESREASFGKDARESYHNEHQDNDHDDEMSVSGSGTIVIKSPRGSQSSALFRDSSSLSSSTYASFEDASTSGTIVLRGQTDDPDSPRTPRSRQGIQDRTSSASVEDSASNLAEAKAAIQAGLRKANARDKSSRSKLNSPGHENRRKDLTSNCSDSSSSSREYFDAPRLLSRSSQASNDEENAKIASASVPLSMLLIPSLKEVIADDSEGSVVRAVTNSLINMERAKPGSCEALVRKLLERLASSKESSMKDMQELAARKFNKGKTTPEDAQNTNPETESRKRQQHKELPSNSNLSPLARFLLSRWQSQT >KJB61721 pep chromosome:Graimondii2_0_v6:9:51088973:51098711:-1 gene:B456_009G376100 transcript:KJB61721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAGLQEAAGSRFSEVELIGRGSFGDVYKAFDKELKKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLEEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRFIRNARKSQKLLERIRERPKYQLKEDGETRNGPMAVGESTDTLKVMRDIGGDGTVRASNLGKTFKSAGWDFSIGGSNSTGTVRTAAKPPQIRERKLEASYNQARPRTPESGNALSESREASFGKDARESYHNEHQDNDHDDEMSVSGSGTIVIKSPRGSQSSALFRDSSSLSSSTYASFEDASTSGTIVLRGQTDDPDSPRTPRSRQGIQDRTSSASVEDSASNLAEAKAAIQAGLRKANARDKSSRSKLNSPGHENRRKDLTSNCSDSSSSSREYFDAPRLLSRSSQASNDEENAKIASASVPLSMLLIPSLKEVIADDSEGSVVRAVTNSLINMERAKPGSCEALVRKLLERLARLKPFYFLFPWYCSLYMYCTVF >KJB61716 pep chromosome:Graimondii2_0_v6:9:51088086:51099091:-1 gene:B456_009G376100 transcript:KJB61716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAGLQEAAGSRFSEVELIGRGSFGDVYKAEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLEEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRFIRNARKSQKLLERIRERPKYQLKEDGETRNGPMAVGESTDTLKVMRDIGGDGTVRASNLGKTFKSAGWDFSIGGSNSTGTVRTAAKPPQIRERKLEASYNQARPRTPESGNALSESREASFGKDARESYHNEHQDNDHDDEMSVSGSGTIVIKSPRGSQSSALFRDSSSLSSSTYASFEDASTSGTIVLRGQTDDPDSPRTPRSRQGIQDRTSSASVEDSASNLAEAKAAIQAGLRKANARDKSSRSKLNSPGHENRRKDLTSNCSDSSSSSREYFDAPRLLSRSSQASNDEENAKIASASVPLSMLLIPSLKEVIADDSEGSVVRAVTNSLINMERAKPGSCEALVRKLLERLASSKESSMKDMQELAARKFNKGKTTPEDAQNTNPETESRKRQQHKELPSNSNLSPLARFLLSRWQSQT >KJB61712 pep chromosome:Graimondii2_0_v6:9:51088018:51098863:-1 gene:B456_009G376100 transcript:KJB61712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAGLQEAAGSRFSEVELIGRGSFGDVYKAFDKELKKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLEEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRFIRNARKSQKLLERIRERPKYQLKEDGETRNGPMAVGESTDTLKVMRDIGGDGTVRASNLGKTFKSAGWDFSIGGSNSTGTVRTAAKPPQIRERKLEASYNQARPRTPESGNALSESREASFGKDARESYHNEHQDNDHDDEMSVSGSGTIVIKSPRGSQSSALFRDSSSLSSSTYASFEDASTSGTIVLRGQTDDPDSPRTPRSRQGIQDRTSSASVEDSASNLAEAKAAIQAGLRKANARDKSSRSKLNSPGHENRRKDLTSNCSDSSSSREYFDAPRLLSRSSQASNDEENAKIASASVPLSMLLIPSLKEVIADDSEGSVVRAVTNSLINMERAKPGSCEALVRKLLERLASSKESSMKDMQELAARKFNKGKTTPEDAQNTNPETESRKRQQHKELPSNSNLSPLARFLLSRWQSQT >KJB61717 pep chromosome:Graimondii2_0_v6:9:51088086:51099346:-1 gene:B456_009G376100 transcript:KJB61717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAGLQEAAGSRFSEVELIGRGSFGDVYKAFDKELKKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLEEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRFIRNARKSQKLLERIRERPKYQLKEDGETRNGPMAVGESTDTLKVMRDIGGDGTVRASNLGKTFKSAGWDFSIGGSNSTGTVRTAAKPPQIRERKLEASYNQARPRTPESGNALSESREASFGKDARESYHNEHQDNDHDKNAIRCTKHVPCLKESEDEMSVSGSGTIVIKSPRGSQSSALFRDSSSLSSSTYASFEDASTSGTIVLRGQTDDPDSPRTPRSRQGIQDRTSSASVEDSASNLAEAKAAIQAGLRKANARDKSSRSKLNSPGHENRRKDLTSNCSDSSSSSREYFDAPRLLSRSSQASNDEENAKIASASVPLSMLLIPSLKEVIADDSEGSVVRAVTNSLINMERAKPGSCEALVRKLLERLASSKESSMKDMQELAARKFNKGKTTPEDAQNTNPETESRKRQQHKELPSNSNLSPLARFLLSRWQSQT >KJB61718 pep chromosome:Graimondii2_0_v6:9:51088881:51098711:-1 gene:B456_009G376100 transcript:KJB61718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAGLQEAAGSRFSEVELIGRGSFGDVYKAFDKELKKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLEEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRFIRNARKSQKLLERIRERPKYQLKEDGETRNGPMAVGESTDTLKVMRDIGGDGTVRASNLGKTFKSAGWDFSIGGSNSTGTVRTAAKPPQIRERKLEASYNQARPRTPESGNALSESREASFGKDARESYHNEHQDNDHDDEMSVSGSGTIVIKSPRGSQSSALFRDSSSLSSSTYASFEDASTSGTIVLRGQTDDPDSPRTPRSRQGIQDRTSSASVEDSASNLAEAKAAIQAGLRKANARDKSSRSKLNSPGHENRRKDLTSNCSDSSSSSREYFDAPRLLSRSSQASNDEENAKIASASVPLSMLLIPSLKEVIADDSEGSVVRAVTNSLINMERAKPGSCEALVRKLLERLARGKILQLKGIFHERYAGAGRSQVQ >KJB61720 pep chromosome:Graimondii2_0_v6:9:51088566:51099346:-1 gene:B456_009G376100 transcript:KJB61720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAGLQEAAGSRFSEVELIGRGSFGDVYKAFDKELKKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLEEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRFIRNARKSQKLLERIRERPKYQLKEDGETRNGPMAVGESTDTLKVMRDIGGDGTVRASNLGKTFKSAGWDFSIGGSNSTGTVRTAAKPPQIRERKLEASYNQARPRTPESGNALSESREASFGKDARESYHNEHQDNDHDDEMSVSGSGTIVIKSPRGSQSSALFRDSSSLSSSTYASFEDASTSGTIVLRGQTDDPDSPRTPRSRQGIQDRTSSASVEDSASNLAEAKAAIQAGLRKANARDKSSRSKLNSPGHENRRKDLTSNCSDSSSSSREYFDAPRLLSRSSQASNDEENAKIASASVPLSMLLIPSLKEVIADDSEGSVVRAVTNSLINMERAKPGSCEALVRKLLERLASSKESSMKDMQELAARKFNKGKTTPEDAQNTNPETESRKRQQHKELPSNSNLSPLARFLLSRFVEILLLDLTNDFYMIVLSVGYGYVQFYL >KJB61715 pep chromosome:Graimondii2_0_v6:9:51088271:51098711:-1 gene:B456_009G376100 transcript:KJB61715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAGLQEAAGSRFSEVELIGRGSFGDVYKAFDKELKKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLEEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRFIRNARKSQKLLERIRERPKYQLKEDGETRNGPMAVGESTDTLKVMRDIGGDGTVRASNLGKTFKSAGWDFSIGGSNSTGTVRTAAKPPQIRERKLEASYNQARPRTPESGNALSESREASFGKDARESYHNEHQDNDHDDEMSVSGSGTIVIKSPRGSQSSALFRDSSSLSSSTYASFEDASTSGTIVLRGQTDDPDSPRTPRSRQGIQDRTSSASVEDSASNLAEAKAAIQAGLRKANARDKSSRSKLNSPGHENRRKDLTSNCSDSSSSSREYFDAPRLLSRSSQASNDEENAKIASASVPLSMLLIPSLKEVIADDSEGSVVRAVTNSLINMERAKPGSCEALVRKLLERLASSKESSMKDMQELAARKFNKGKTTPEDAQNTNPETESRKRQQHKELPSNSNLSPLARFLLSRWQSQT >KJB54765 pep chromosome:Graimondii2_0_v6:9:3485245:3491418:1 gene:B456_009G048100 transcript:KJB54765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNPNGSLPQLSQPPKYGITKPISLAGPSEADVQRNTELEKFLIESGLYESKEEAAKREEVLGRITEIVKIWVKQLTRQRGYTDQMVEEAKAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPDDLDISCVSVLHNVDEQSVRSLNGCRVADQILKLVPNIEHFRTALRCLKFWAKRRGVYSNVTGFLGGVNWALLVARICQLYPNAIPSMLLSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSVSTLRVIMEQFQCGNGICEEIELNKAQWNTLFERYLFFEAYKNYLQVDIVSADADDLLAWKGWTESRLRLLTLKIERDTNGMLQCHPYPNEYVDKSKLFPHCSFFMGLQRKEGVNGQEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYRRPRTLRHPSQHTEKACEDVTRSQSGSAERRIKRKHDDEAVDEKLSKPRDCSTSNFGGTSHISIGQEAKLEHLRTGDVDSNSVDRLLDRDKRYEEITIQQARTDGQGSLTTCGLTSLHVDNLSIVRNVGSREQ >KJB54764 pep chromosome:Graimondii2_0_v6:9:3485245:3491426:1 gene:B456_009G048100 transcript:KJB54764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNPNGSLPQLSQPPKYGITKPISLAGPSEADVQRNTELEKFLIESGLYESKEEAAKREEVLGRITEIVKIWVKQLTRQRGYTDQMVEEAKAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPDDLDISCVSVLHNVDEQSVRSLNGCRVADQILKLVPNIEHFRTALRCLKFWAKRRGVYSNVTGFLGGVNWALLVARICQLYPNAIPSMLLSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSVSTLRVIMEQFQCGNGICEEIELNKAQWNTLFERYLFFEAYKNYLQVDIVSADADDLLAWKGWTESRLRLLTLKIERDTNGMLQCHPYPNEYVDKSKLFPHCSFFMGLQRKEGVNGQEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYRRPRTLRHPSQHTEKACEDVTRSQSGSAERRIKRKHDDEAVDEKLSKPRDCSTSNFGGTSHISIGQEAKLEHLRTGDVDSNSVDRLLDRDKRYEEITIQQARTDGQGSLTTCGLTSLHVDNLSIVRNVGSREQ >KJB54763 pep chromosome:Graimondii2_0_v6:9:3485245:3491434:1 gene:B456_009G048100 transcript:KJB54763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNPNGSLPQLSQPPKYGITKPISLAGPSEADVQRNTELEKFLIESGLYESKEEAAKREEVLGRITEIVKIWVKQLTRQRGYTDQMVEEAKAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPDDLDISCVSVLHNVDEQSVRSLNGCRVADQILKLVPNIEHFRTALRCLKFWAKRRGVYSNVTGFLGGVNWALLVARICQLYPNAIPSMLLSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSVSTLRVIMEQFQCGNGICEEIELNKAQWNTLFERYLFFEAYKNYLQVDIVSADADDLLAWKGWTESRLRLLTLKIERDTNGMLQCHPYPNEYVDKSKLFPHCSFFMGLQRKEGVNGQEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYRRPRTLRHPSQHTEKACEDVTRSQSGSAERRIKRKHDDEAVDEKLSKPRDCSTSNFGGTSHISIGQEAKLEHLRTGDVDSNSVDRLLDRDKRYEEITIQQARTDGQGSLTTCGLTSLHVDNLSIVRNVGSREQ >KJB54178 pep chromosome:Graimondii2_0_v6:9:6145339:6146303:-1 gene:B456_009G084200 transcript:KJB54178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLGMISALVVFLVALTRVQLSNCQHLNAKISCFDCPHNYDFSVKCEKVKKLATATTEENGSFKVQLPSGISNTNCLAKLLGGPNQLYSKKKNLVSKVVKVGHQPNTYTLSTPLAFSTSCPSASIDAKSCGAQKGIGSSKTVDLPLPPEWGLAPSSYYVPFFPIIGIP >KJB54179 pep chromosome:Graimondii2_0_v6:9:6145339:6146372:-1 gene:B456_009G084200 transcript:KJB54179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLGMISALVVFLVALTRVQLSNCQHLNAKISCFDCPHNYDFSGIQVAVKCEKVKKLATATTEENGSFKVQLPSGISNTNCLAKLLGGPNQLYSKKKNLVSKVVKVGHQPNTYTLSTPLAFSTSCPSASIDAKSCGAQKGIGSSKTVDLPLPPEWGLAPSSYYVPFFPIIGIP >KJB59959 pep chromosome:Graimondii2_0_v6:9:23955235:23958860:-1 gene:B456_009G282700 transcript:KJB59959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEPALVPEWLRSTGTVTGGGNSAHHFTSSSSNSDVSSLLHHGRHRNSRNISDFRSPRSAFLDRTCSLNSGRSSRNVSAKHAYSSFSRSHRDKDRERDKERTSFGDHWDRYCSEPLESILTSRVEKLGGISTSRLEIDTLRRSHSMLYRKQVEPLPRRIVVDSRDNGNSNHNISNGLHSGGTIGSSIPKAVFEKDFPSLGTEERQGVPEMVRVSSPGLSSASQSLPVGNSALIDGEGWTSALAEVPSVVGSSSTSTLSASQPASTSGSGASSISSSLNMAEALAQAPSRTRTPPMVLNSADKSKAKPAARISEANVAVKSGPQPQPLTHQGNQSLHGGHVKSDMPKTSGKLLVLKPGWENGVSSPTQKDIASSATITNNRVTTSQHAVAPIKSAPARNSNSPKLSSGELKAASINPIAGFTVEKRPSLAQTQSRNDFFNLLKKKTSTNTSAGLSDPDPHISTSTTEKSEVTKEVDGASATAHADENGTAAASNGDTCSDDGEKNMSASAMVYPDEEEAAFLRSLGWEENTGEDEGLTEEEINAFYQEYMKLRPSLKVCRGMQPKLSQSFANNLDGASELSSSDSGSET >KJB59958 pep chromosome:Graimondii2_0_v6:9:23955170:23959983:-1 gene:B456_009G282700 transcript:KJB59958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEPALVPEWLRSTGTVTGGGNSAHHFTSSSSNSDVSSLLHHGRHRNSRNISDFRSPRSAFLDRTCSLNSGRSSRNVSAKHAYSSFSRSHRDKDRERDKERTSFGDHWDRYCSEPLESILTSRVEKLGGISTSRLEIDTLRRSHSMLYRKQVEPLPRRIVVDSRDNGNSNHNISNGLHSGGTIGSSIPKAVFEKDFPSLGTEERQGVPEMVRVSSPGLSSASQSLPVGNSALIDGEGWTSALAEVPSVVGSSSTSTLSASQPASTSGSGASSISSSLNMAEALAQAPSRTRTPPMLSVNTQRREELAIKQSRQLIPVTPSMPKGSVLNSADKSKAKPAARISEANVAVKSGPQPQPLTHQGNQSLHGGHVKSDMPKTSGKLLVLKPGWENGVSSPTQKDIASSATITNNRVTTSQHAVAPIKSAPARNSNSPKLSSGELKAASINPIAGFTVEKRPSLAQTQSRNDFFNLLKKKTSTNTSAGLSDPDPHISTSTTEKSEVTKEVDGASATAHADENGTAAASNGDTCSDDGEKNMSASAMVYPDEEEAAFLRSLGWEENTGEDEGLTEEEINAFYQEYMKLRPSLKVCRGMQPKLSQSFANNLDGASELSSSDSGSET >KJB59960 pep chromosome:Graimondii2_0_v6:9:23955235:23958860:-1 gene:B456_009G282700 transcript:KJB59960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEPALVPEWLRSTGTVTGGGNSAHHFTSSSSNSDVSSLLHHGRHRNSRNISDFRSPRSAFLDRTCSLNSGRSSRNVSAKHAYSSFSRSHRDKDRERDKERTSFGDHWDRYCSEPLESILTSRVEKLGGISTSRLEIDTLRRSHSMLYRKQVEPLPRRIVVDSRDNGNSNHNISNGLHSGGTIGSSIPKAVFEKDFPSLGTEERQGVPEMVRVSSPGLSSASQSLPVGNSALIDGEGWTSALAEVPSVVGSSSTSTLSASQPASTSGSGASSISSSLNMAEALAQAPSRTRTPPMLSVNTQRREELAIKQSRQLIPVTPSMPKGSVLNSADKSKAKPAARISEANVAVKSGPQPQPLTHQGNQSLHGGHVKSDMPKTSGKLLVLKPGWENGVSSPTQKDIANLNKHFCWSFRSGSSYFNFHYREI >KJB55210 pep chromosome:Graimondii2_0_v6:9:4858114:4864461:-1 gene:B456_009G068500 transcript:KJB55210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNCGIDYDDGYDDDFDDYDYGYDVEENVKEPSLQDTIKRGVWRCSVCTYDNDDTMSSCDICGILRSPSVSNGTYDEKRTAPFKFDVPSPDDLVSNGLHSSAQHSKAKIFDFKSSRAPSRGVGKNEAVKVLSSAKSSDSSHDSTGKDKHDGIIFSKNLEINTSSGFKASDKSSASMAKGRVEDSDGRSVSINLCSSGQSSSSLMPKERTDMVEDGSSLTHGGEGHLTSNLKNMTLGAKSGNSKDIKANSRAQYKPEKWMFPEKAEGSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKEMHKYEKESKLQGKGSFAYAWALDESAEERERGVTMTVAVTYLDSKRYHVVVLDSPGHKDFVPNMITGATQADAAILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQIIVAVNKMDAVEYSKDRFDLIKSQLGTFLRSCDFKDSSVTWIPLSAVENQNLVVAPSDLRLSWYHGPYLLDAIDSFQPSSRDFSKPLLMPICDVIKSSQGQVSACGKLEAGAVRSGSKVLVMPSADIATVRSLERDSQTCSIARAGDNVAINLHGIDGNHVIAGGVLCHPDFPVAFAKHLELKVLVVDGATPILMGSQLEFYAHHAKEAARVARISSLLDSKTGKVAKKAPRCIVAKQSAVIELVLQESICIEMFSKCKALGRVFLRTLGRTVAVGVVTRIVEELV >KJB55207 pep chromosome:Graimondii2_0_v6:9:4858114:4864461:-1 gene:B456_009G068500 transcript:KJB55207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNCGIDYDDGYDDDFDDYDYGYDVEENVKEPSLQDTIKRGVWRCSVCTYDNDDTMSSCDICGILRSPSVSNGTYDEKRTAPFKFDVPSPDDLVSNGLHSSAQHSKAKIFDFKSSRAPSRGVGKNEAVKVLSSAKSSDSSHDSTGKDKHDGIIFSKNLEINTSSGFKASDKSSASMAKGRVEDSDGRSVSINLCSSGQSSSSLMPKERTDMVEDGSSLTHGGEGHLTSNLKNMTLGAKSGNSKDIKANSRAQYKPEKWMFPEKAEGSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKEMHKYEKESKLQGKGSFAYAWALDESAEERERGVTMTVAVTYLDSKRYHVVVLDSPGHKDFVPNMITGATQADAAILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQIIVAVNKMDAVEYSKDRFDLIKSQLGTFLRSCDFKDSSVTWIPLSAVENQNLVVAPSDLRLSWYHGPYLLDAIDSFQPSSRDFSKPLLMPICDVIKSSQGQVSACGKLEAGAVRSGSKVLVMPSADIATVRSLERDSQTCSIARAGDNVAINLHGIDGNHVIAGGVLCHPDFPVAFAKHLELKVLVVDGATPILMGSQLEFYAHHAKEAARVARISSLLDSKTGKVAKKAPRCIVAKQSAVIELVLQESICIEMFSKCKALGRVFLRTLGRTVAVGVVTRIVEELV >KJB55216 pep chromosome:Graimondii2_0_v6:9:4859966:4864461:-1 gene:B456_009G068500 transcript:KJB55216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNCGIDYDDGYDDDFDDYDYGYDVEENVKEPSLQDTIKRGVWRCSVCTYDNDDTMSSCDICGILRSPSVSNGTYDEKRTAPFKFDVPSPDDLVSNGLHSSAQHSKAKIFDFKSSRAPSRGVGKNEAVKVLSSAKSSDSSHDSTGKDKHDGIIFSKNLEINTSSGFKASDKSSASMAKGRVEDSDGRSVSINLCSSGQSSSSLMPKERTDMVEDGSSLTHGGEGHLTSNLKNMTLGAKSGNSKDIKANSRAQYKPEKWMFPEKAEGSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKEMHKYEKESKLQGKGSFAYAWALDESAEERERGVTMTVAVTYLDSKRYHVVVLDSPGHKDFVPNMITGATQADAAILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQIIVAVNKMDAVEYSKDRFDLIKSQLGTFLRSCDFKDSSVTWIPLSAVENQNLVVAPSDLRLSW >KJB55211 pep chromosome:Graimondii2_0_v6:9:4858691:4863537:-1 gene:B456_009G068500 transcript:KJB55211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNCGIDYDDGYDDDFDDYDYGYDVEENVKEPSLQDTIKRGVWRCSVCTYDNDDTMSSCDICGILRSPSVSNGTYDEKRTVHGICKVSGVSIMAKSLFASRPHQMSKKEVDSQQQNDDFVVKENNNFHMLGNNQGQFHEFHKAYSSPTHSRINIAPFKFDVPSPDDLVSNGLHSSAQHSKAKIFDFKSSRAPSRGVGKNEAVKVLSSAKSSDSSHDSTGKDKHDGIIFSKNLEINTSSGFKASDKSSASMAKGRVEDSDGRSVSINLCSSGQSSSSLMPKERTDMVEDGSSLTHGGEGHLTSNLKNMTLGAKSGNSKDIKANSRAQYKPEKWMFPEKAEGSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKEMHKYEKESKLQGKGSFAYAWALDESAEERERGVTMTVAVTYLDSKRYHVVVLDSPGHKDFVPNMITGATQADAAILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQIIVAVNKMDAVEYSKDRFDLIKSQLGTFLRSCDFKDSSVTWIPLSAVENQNLVVAPSDLRLSWYHGPYLLDAIDSFQPSSRDFSKPLLMPICDVIKSSQGQVSACGKLEAGAVRSGSKVLVMPSADIATVRSLERDSQTCSIARAGDNVAINLHGIDGNHVIAGGVLCHPDFPVAFAKHLELKVLVVDGATPILMGSQLEFYAHHAKEAARVARISSLLDSKTGKVAKKAPRCIVAKQSAVIELVLQESICIEMFSKCKALGRVFLRTLGRTVAVGVVTRIVEELV >KJB55208 pep chromosome:Graimondii2_0_v6:9:4858114:4864540:-1 gene:B456_009G068500 transcript:KJB55208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNCGIDYDDGYDDDFDDYDYGYDVEENVKEPSLQDTIKRGVWRCSVCTYDNDDTMSSCDICGILRSPSVSNGTYDEKRTAPFKFDVPSPDDLVSNGLHSSAQHSKAKIFDFKSSRAPSRGVGKNEAVKVLSSAKSSDSSHDSTGKDKHDGIIFSKNLEINTSSGFKASDKSSASMAKGRVEDSDGRSVSINLCSSGQSSSSLMPKERTDMVEDGSSLTHGGEGHLTSNLKNMTLGAKSGNSKDIKANSRAQYKPEKWMFPEKAEGSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKEMHKYEKESKLQGKGSFAYAWALDESAEERERGVTMTVAVTYLDSKRYHVVVLDSPGHKDFVPNMITGATQADAAILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQIIVAVNKMDAVEYSKDRFDLIKSQLGTFLRSCDFKDSSVTWIPLSAVENQNLVVAPSDLRLSWYHGPYLLDAIDSFQPSSRDFSKPLLMPICDVIKSSQGQVSACGKLEAGAVRSGSKVLVMPSADIATVRSLERDSQTCSIARAGDNVAINLHGIDGNHVIAGGVLCHPDFPVAFAKHLELKVLVVDGATPILMGSQLEFYAHHAKEAARVARISSLLDSKTGKVAKKAPRCIVAKQSAVIELVLQESICIEMFSKCKALGRVFLRTLGRTVAVGVVTRIVEELV >KJB55212 pep chromosome:Graimondii2_0_v6:9:4858126:4864143:-1 gene:B456_009G068500 transcript:KJB55212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNCGIDYDDGYDDDFDDYDYGYDVEENVKEPSLQDTIKRGVWRCSVCTYDNDDTMSSCDICGILRSPSVSNGTYDEKRTVHGICKVSGVSIMAKSLFASRPHQMSKKEVDSQQQNDDFVVKENNNFHMLGNNQGQFHEFHKAYSSPTHSRINIAPFKFDVPSPDDLVSNGLHSSAQHSKAKIFDFKSSRAPSRGVGKNEAVKVLSSAKSSDSSHDSTGKDKHDGIIFSKNLEINTSSGFKASDKSSASMAKGRVEDSDGRSVSINLCSSGQSSSSLMPKERTDMVEDGSSLTHGGEGHLTSNLKNMTLGAKSGNSKDIKANSRAQYKPEKWMFPEKAEGSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKEMHKYEKESKLQGKGSFAYAWALDESAEERERGVTMTVAVTYLDSKRYHVVVLDSPGHKDFVPNMITGATQADAAILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQIIVAVNKMDAVEYSKDRFDLIKSQLGTFLRSCDFKDSSVTWIPLSAVENQNLVVAPSDLRLSWYHGPYLLDAIDSFQPSSRDFSKPLLMPICDVIKSSQGQVSACGKLEAGAVRSGSKVLVMPSADIATVRSLERDSQTCSIARAGDNVAINLHGIDGNHVIAGGVLCHPDFPVAFAKHLELKVLVVDGATPILMGSQLEFYAHHAKEAARVARISSLLDSKTGKVAKKAPRCIVAKQSAVIEESICIEMFSKCKALGRVFLRTLGRTVAVGVVTRIVEELV >KJB55215 pep chromosome:Graimondii2_0_v6:9:4860346:4863537:-1 gene:B456_009G068500 transcript:KJB55215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNCGIDYDDGYDDDFDDYDYGYDVEENVKEPSLQDTIKRGVWRCSVCTYDNDDTMSSCDICGILRSPSVSNGTYDEKRTVHGICKVSGVSIMAKSLFASRPHQMSKKEVDSQQQNDDFVVKENNNFHMLGNNQGQFHEFHKAYSSPTHSRINIAPFKFDVPSPDDLVSNGLHSSAQHSKAKIFDFKSSRAPSRGVGKNEAVKVLSSAKSSDSSHDSTGKDKHDGIIFSKNLEINTSSGFKASDKSSASMAKGRVEDSDGRSVSINLCSSGQSSSSLMPKERTDMVEDGSSLTHGGEGHLTSNLKNMTLGAKSGNSKDIKANSRAQYKPEKWMFPEKAEGSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKEMHKYEKESKLQGKGSFAYAWALDESAEERERGVTMTVAVTYLDSKRYHVVVLDSPGHKDFVPNMITGATQADAAILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQIIVAVNKMDAVEYSKDRFDLIKSQLGTFLRSCDFKDSSVTWIPLSAVENQNLVVAPSDLRLSW >KJB55214 pep chromosome:Graimondii2_0_v6:9:4860346:4863537:-1 gene:B456_009G068500 transcript:KJB55214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNCGIDYDDGYDDDFDDYDYGYDVEENVKEPSLQDTIKRGVWRCSVCTYDNDDTMSSCDICGILRSPSVSNGTYDEKRTVHGICKVSGVSIMAKSLFASRPHQMSKKEVDSQQQNDDFVVKENNNFHMLGNNQGQFHEFHKAYSSPTHSRINIAPFKFDVPSPDDLVSNGLHSSAQHSKAKIFDFKSSRAPSRGVGKNEAVKVLSSAKSSDSSHDSTGKDKHDGIIFSKNLEINTSSGFKASDKSSASMAKGRVEDSDGRSVSINLCSSGQSSSSLMPKERTDMVEDGSSLTHGGEGHLTSNLKNMTLGAKSGNSKDIKANSRAQYKPEKWMFPEKAEGSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKEMHKYEKESKLQGKGSFAYAWALDESAEERERGVTMTVAVTYLDSKRYHVVVLDSPGHKDFVPNMITGATQADAAILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQIIVAVNKMDAVEYSKDRFDLIKSQLGTFLRSCDFKDSSVTWIPLSAVENQNLVVAPSDLRLSW >KJB55209 pep chromosome:Graimondii2_0_v6:9:4858691:4863537:-1 gene:B456_009G068500 transcript:KJB55209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNCGIDYDDGYDDDFDDYDYGYDVEENVKEPSLQDTIKRGVWRCSVCTYDNDDTMSSCDICGILRSPSVSNGTYDEKRTVHGICKVSGVSIMAKSLFASRPHQMSKKEVDSQQQNDDFVVKENNNFHMLGNNQGQFHEFHKAYSSPTHSRINIAPFKFDVPSPDDLVSNGLHSSAQHSKAKIFDFKSSRAPSRGVGKNEAVKVLSSAKSSDSSHDSTGKDKHDGIIFSKNLEINTSSGFKASDKSSASMAKGRVEDSDGRSVSINLCSSGQSSSSLMPKERTDMVEDGSSLTHGGEGHLTSNLKNMTLGAKSGNSKDIKANSRAQYKPEKWMFPEKAEGSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKEMHKYEKESKLQGKGSFAYAWALDESAEERERGVTMTVAVTYLDSKRYHVVVLDSPGHKDFVPNMITGATQADAAILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQIIVAVNKMDAVEYSKDRFDLIKSQLGTFLRSCDFKDSSVTWIPLSAVENQNLVVAPSDLRLSWYHGPYLLDAIDSFQPSSRDFSKPLLMPICDVIKSSQGQVSACGKLEAGAVRSGSKVLVMPSADIATVRSLERDSQTCSIARAGDNVAINLHGIDGNHVIAGGVLCHPDFPVAFAKHLELKVLVVDGATPILMGSQLEFYAHHAKEAARVARISSLLDSKTGKVAKKAPRCIVAKQSAVIELVLQESICIEMFSKCKALGRVFLRTLGRTVAVGVVTRIVEELV >KJB55213 pep chromosome:Graimondii2_0_v6:9:4859966:4864461:-1 gene:B456_009G068500 transcript:KJB55213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNCGIDYDDGYDDDFDDYDYGYDVEENVKEPSLQDTIKRGVWRCSVCTYDNDDTMSSCDICGILRSPSVSNGTYDEKRTAPFKFDVPSPDDLVSNGLHSSAQHSKAKIFDFKSSRAPSRGVGKNEAVKVLSSAKSSDSSHDSTGKDKHDGIIFSKNLEINTSSGFKASDKSSASMAKGRVEDSDGRSVSINLCSSGQSSSSLMPKERTDMVEDGSSLTHGGEGHLTSNLKNMTLGAKSGNSKDIKANSRAQYKPEKWMFPEKAEGSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKEMHKYEKESKLQGKGSFAYAWALDESAEERERGVTMTVAVTYLDSKRYHVVVLDSPGHKDFVPNMITGATQADAAILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQIIVAVNKMDAVEYSKDRFDLIKSQLGTFLRSCDFKDSSVTWIPLSAVENQNLVVAPSDLRLSW >KJB55206 pep chromosome:Graimondii2_0_v6:9:4858691:4863537:-1 gene:B456_009G068500 transcript:KJB55206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNCGIDYDDGYDDDFDDYDYGYDVEENVKEPSLQDTIKRGVWRCSVCTYDNDDTMSSCDICGILRSPSVSNGTYDEKRTVHGICKVSGVSIMAKSLFASRPHQMSKKEVDSQQQNDDFVVKENNNFHMLGNNQGQFHEFHKAYSSPTHSRINIAPFKFDVPSPDDLVSNGLHSSAQHSKAKIFDFKSSRAPSRGVGKNEAVKVLSSAKSSDSSHDSTGKDKHDGIIFSKNLEINTSSGFKASDKSSASMAKGRVEDSDGRSVSINLCSSGQSSSSLMPKERTDMVEDGSSLTHGGEGHLTSNLKNMTLGAKSGNSKDIKANSRAQYKPEKWMFPEKAEGSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKEMHKYEKESKLQGKGSFAYAWALDESAEERERGVTMTVAVTYLDSKRYHVVVLDSPGHKDFVPNMITGATQADAAILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQIIVAVNKMDAVEYSKDRFDLIKSQLGTFLRSCDFKDSSVTWIPLSAVENQNLVVAPSDLRLSWYHGPYLLDAIDSFQPSSRDFSKPLLMPICDVIKSSQGQVSACGKLEAGAVRSGSKVLVMPSADIATVRSLERDSQTCSIARAGDNVAINLHGIDGNHVIAGGVLCHPDFPVAFAKHLELKVLVVDGATPILMGSQLEFYAHHAKEAARVARISSLLDSKTGKVAKKAPRCIVAKQSAVIELVLQESICIEMFSKCKALGRVFLRTLGRTVAVGVVTRIVEELV >KJB58027 pep chromosome:Graimondii2_0_v6:9:14664833:14667276:1 gene:B456_009G190700 transcript:KJB58027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPREEFPTEGLEGAPSNDIGWHFGTPMPNARGNIVCKLCGKVVKGGITRVKEHIAHKTDNVTPCPNVTGVIRESMMNVLKESNTKKIDKKKEKR >KJB55512 pep chromosome:Graimondii2_0_v6:9:5770136:5773444:1 gene:B456_009G080000 transcript:KJB55512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVVKSALQAIRERGLRSFLRDLKEDGFTKCIFDGNLLQTKIHNIGATVGGVDKFGNKYYEKLGDTQSGRHRWVPPEWQGWLHCITDHTGDEVWVEHKENLSGKGSEFIYHSKGHALNPCQREWTRYQSWQPTKPE >KJB53640 pep chromosome:Graimondii2_0_v6:9:129520:130149:1 gene:B456_009G000600 transcript:KJB53640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNSGGGGADRGGNDVELLCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSSGISWFLDLFNYYVNSDDNDLFSKELQLDTKVFYFDIGENRRGRFLKEFWLD >KJB53638 pep chromosome:Graimondii2_0_v6:9:129241:131540:1 gene:B456_009G000600 transcript:KJB53638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNSGGGGADRGGNDVELLCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSSGISWFLDLFNYYVNSDDNDLFSKELQLDTKVFYFDIGENRRGRFLKDDRLRRKLSPNC >KJB53639 pep chromosome:Graimondii2_0_v6:9:129243:132611:1 gene:B456_009G000600 transcript:KJB53639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNSGGGGADRGGNDVELLCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSSGISWFLDLFNYYVNSDDNDLFSKELQLDTKVFYFDIGENRRGRFLKMSSYYVRDTSRAGLLSE >KJB53361 pep chromosome:Graimondii2_0_v6:9:8633692:8640140:-1 gene:B456_009G1174002 transcript:KJB53361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVEERKCADLEIAKAQEKRKTAEELKKKVVEERKHAALEMAKAQEQRKIAEESKKKVVEERKHVALEMAKAQEQKKIAEEIKKKAVEERKHADLQMAEAEAQRKIAEETKKKAVEARKCADFEIAKAEATKKKAVEEKLLADNMTKQLEEARRRNEDLAKKLRGSRDLGKDPFDQADRNIGAAAAKIQKTAEMGVLNVEDDKYRAVFESLQFEKAEKEKAISEKKPADSKMRKAQNKRKLVEVNTKKAKEGKHCGDHMLKQLEDVRLKINELQKQMHELSSARNMVDKLVASSAKCISAEVEVKLLKKQLKFQKKRVKHAIDVARLEKGHSNLLQQELGCMKLELIQFLNRLDALDKCFSTPSEGIDEMGKGGDFGSMQRSKLKKTLCSLNLRQKCLQTEHQLLKSRCMDTPPFNPLLETVQHDTHLHHMQGGDGTETLTGITSKLESLLGGSNRKMLQSSAISSSTASFSDRQLVGSQERGAFSVTTSAKLSEENLNLQPTISSMSGGVAKTRGNENLAVVDENNMRSSLPVGLLGGVHHGIKKRKMILDAVESIDQFCCESKQLHLQLEEKLSVLHRMVGQMDKPREDAKHVRPDLQDIAYPVHDRFHKKRKTYHEETVAMEQSCDGLQMKHIQSCPEQLFNPDVIDPKIMVGFEEVMNKNYMKLLDLDNAAEEEYYRIAVEMPISPTLPEIEFPGIETFEANQFRRLQDEVCERFSHENENFASSDSGGVKNAENVSNNLQCNRVGTSPKLLHHENECSYCSFDIPRSNENGLCSTMPAERAFLSHSRNSGVVVEMSVIPSSSDRLAGIPFESETRSTIESIPKYCIVFSNIKDDSSVSRIVCATKTCMAHCSLPAQTEFVVHRILQALKQEEQLSSKEKACTFFSLVLLNFCKATSGKCSLIRDFIPCLNLFAKHIIEVVSDAEPRSVLSELCLGDLLSVIEGFLIEGRVISCTNLSSETSVEYESGIHVTVDGLDVIFSYEAASADLLVGGSIILGSICTAAGSVSFLCEAVYNIFRMHRYDTSVVLIILHVFAYVGGDKLFTLRNYSLTMTVLKSVVMFLERERASVATSTLPLVDDVQPQFPACVGCPFSKDALSVDTVVSLLFAKLQNFARSGFLCQDLTSNSSNSSSRSTEDEAEQNLTCVLDINCEVPCCLNMYSSTCKNSGSVGTGTLCDISDVLSLMELLACNMSWDWTCRKIISQLWSMLESSFIGNLSVAIVILLGQLGRLGVDAVGYEDKEVENLRAKLSAFLWQETTIRAGLPIQLASVSALLGLVSLDFKKASLENGNLPGMSGQCVPADLLRNWFLQLTEEQRSMSIRLFFQAVD >KJB53358 pep chromosome:Graimondii2_0_v6:9:8633951:8640084:-1 gene:B456_009G1174002 transcript:KJB53358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAQEQRKIAEESKKKVVEERKHVALEMAKAQEQKKIAEEIKKKAVEERKHADLQMAEAEAQRKIAEETKKKAVEARKCADFEIAKAEATKKKAVEEKLLADNMTKQLEEARRRNEDLAKKLRGSRDLGKDPFDQADRNIGAAAAKIQKTAEMGVLNVEDDKYRAVFESLQFEKAEKEKAISEKKPADSKMRKAQNKRKLVEVNTKKAKEGKHCGDHMLKQLEDVRLKINELQKQMHELSSARNMVDKLVASSAKCISAEVEVKLLKKQLKFQKKRVKHAIDVARLEKGHSNLLQQELGCMKLELIQFLNRLDALDKCFSTPSEGIDEMGKGGDFGSMQRSKLKKTLCSLNLRQKCLQTEHQLLKSRCMDTPPFNPLLETVQHDTHLHHMQGGDGTETLTGITSKLESLLGGSNRKMLQSSAISSSTASFSDRQLVGSQERGAFSVTTSAKLSEENLNLQPTISSMSGGVAKTRGNENLAVVDENNMRSSLPVGLLGGVHHGIKKRKMILDAVESIDQFCCESKQLHLQLEEKLSVLHRMVGQMDKPREDAKHVRPDLQDIAYPVHDRFHKKRKTYHEETVAMEQSCDGLQMKHIQSCPEQLFNPDVIDPKIMVGFEEVMNKNYMKLLDLDNAAEEEYYRIAVEMPISPTLPEIEFPGIETFEANQFRRLQDEVCERFSHENENFASSDSGGVKNAENVSNNLQCNRVGTSPKLLHHENECSYCSFDIPRSNENGLCSTMPAERAFLSHSRNSGVVVEMSVIPSSSDRLAGIPFESETRSTIESIPKYCIVFSNIKDDSSVSRIVCATKTCMAHCSLPAQTEFVVHRILQALKQEEQLSSKEKACTFFSLVLLNFCKATSGKCSLIRDFIPCLNLFAKHIIEVVSDAEPRSVLSELCLGDLLSVIEGFLIEGRVISCTNLSSETSVEYESGIHVTVDGLDVIFSYEAASADLLVGGSIILGSICTAAGSVSFLCEAVYNIFRMHRYDTSVVLIILHVFAYVGGDKLFTLRNYSLTMTVLKSVVMFLERERASVATSTLPLVDDVQPQFPACVGCPFSKDALSVDTVVSLLFAKLQNFARSGFLCQDLTSNSSNSSSRSTEDEAEQNLTCVLDINCEVPCCLNMYSSTCKNSGSVGTGTLCDISDVLSLMELLACNMSWDWTCRKIISQLWSMLESSFIGNLSVAIVILLGQLGRLGVDAVGYEDKEVENLRAKLSAFLWQETTIRAGLPIQLASVSALLGLVSLDFKKASLENGNLPGMSGQCVPADLLRNWFLQLTEEQRSMSIRLFFQAVD >KJB53357 pep chromosome:Graimondii2_0_v6:9:8633692:8639248:-1 gene:B456_009G1174002 transcript:KJB53357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVAKTRGNENLAVVDENNMRSSLPVGLLGGVHHGIKKRKMILDAVESIDQFCCESKQLHLQLEEKLSVLHRMVGQMDKPREDAKHVRPDLQDIAYPVHDRFHKKRKTYHEETVAMEQSCDGLQMKHIQSCPEQLFNPDVIDPKIMVGFEEVMNKNYMKLLDLDNAAEEEYYRIAVEMPISPTLPEIEFPGIETFEANQFRRLQDEVCERFSHENENFASSDSGGVKNAENVSNNLQCNRVGTSPKLLHHENECSYCSFDIPRSNENGLCSTMPAERAFLSHSRNSGVVVEMSVIPSSSDRLAGIPFESETRSTIESIPKYCIVFSNIKDDSSVSRIVCATKTCMAHCSLPAQTEFVVHRILQALKQEEQLSSKEKACTFFSLVLLNFCKATSGKCSLIRDFIPCLNLFAKHIIEVVSDAEPRSVLSELCLGDLLSVIEGFLIEGRVISCTNLSSETSVEYESGIHVTVDGLDVIFSYEAASADLLVGGSIILGSICTAAGSVSFLCEAVYNIFRMHRYDTSVVLIILHVFAYVGGDKLFTLRNYSLTMTVLKSVVMFLERERASVATSTLPLVDDVQPQFPACVGCPFSKDALSVDTVVSLLFAKLQNFARSGFLCQDLTSNSSNSSSRSTEDEAEQNLTCVLDINCEVPCCLNMYSSTCKNSGSVGTGTLCDISDVLSLMELLACNMSWDWTCRKIISQLWSMLESSFIGNLSVAIVILLGQLGRLGVDAVGYEDKEVENLRAKLSAFLWQETTIRAGLPIQLASVSALLGLVSLDFKKASLENGNLPGMSGQCVPADLLRNWFLQLTEEQRSMSIRLFFQAVD >KJB53359 pep chromosome:Graimondii2_0_v6:9:8633951:8637833:-1 gene:B456_009G1174002 transcript:KJB53359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVAKTRGNENLAVVDENNMRSSLPVGLLGGVHHGIKKRKMILDAVESIDQFCCESKQLHLQLEEKLSVLHRMVGQMDKPREDAKHVRPDLQDIAYPVHDRFHKKRKTYHEETVAMEQSCDGLQMKHIQSCPEQLFNPDVIDPKIMVGFEEVMNKNYMKLLDLDNAAEEEYYRIAVEMPISPTLPEIEFPGIETFEANQFRRLQDEVCERFSHENENFASSDSGGVKNAENVSNNLQCNRVGTSPKLLHHENECSYCSFDIPRSNENGLCSTMPAERAFLSHSRNSGVVVEMSVIPSSSDRLAGIPFESETRSTIESIPKYCIVFSNIKDDSSVSRIVCATKTCMAHCSLPAQTEFVVHRILQALKQEEQLSSKEKACTFFSLVLLNFCKATSGKCSLIRDFIPCLNLFAKHIIEVVSDAEPRSVLSELCLGDLLSVIEGFLIEGRVISCTNLSSETSVEYESGIHVTVDGLDVIFSYEAASADLLVGGSIILGSICTAAGSVSFLCEAVYNIFRMHRERASVATSTLPLVDDVQPQFPACVGCPFSKDALSVDTVVSLLFAKLQNFARSGFLCQDLTSNSSNSSSRSTEDEAEQNLTCVLDINCEVPCCLNMYSSTCKNSGSVGTGTLCDISDVLSLMELLACNMSWDWTCRKIISQLWSMLESSFIGNLSVAIVILLGQLGRLGVDAVGYEDKEVENLRAKLSAFLWQETTIRAGLPIQLASVSALLGLVSLDFKKASLENGNLPGMSGQCVPADLLRNWFLQLTEEQRSMSIRLFFQAVD >KJB53360 pep chromosome:Graimondii2_0_v6:9:8633709:8640140:-1 gene:B456_009G1174002 transcript:KJB53360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVAKTRGNENLAVVDENNMRSSLPVGLLGGVHHGIKKRKMILDAVESIDQFCCESKQLHLQLEEKLSVLHRMVGQMDKPREDAKHVRPDLQDIAYPVHDRFHKKRKTYHEETVAMEQSCDGLQMKHIQSCPEQLFNPDVIDPKIMVGFEEVMNKNYMKLLDLDNAAEEEYYRIAVEMPISPTLPEIEFPGIETFEANQFRRLQDEVCERFSHENENFASSDSGGVKNAENVSNNLQCNRVGTSPKLLHHENECSYCSFDIPRSNENGLCSTMPAERAFLSHSRNSGVVVEMSVIPSSSDRLAGIPFESETRSTIESIPKYCIVFSNIKDDSSVSRIVCATKTCMAHCSLPAQTEFVVHRILQALKQEEQLSSKEKACTFFSLVLLNFCKATSGKCSLIRDFIPCLNLFAKHIIEVVSDAEPRSVLSELCLGDLLSVIEGFLIEGRVISCTNLSSETSVEYESGIHVTVDGLDVIFSYEAASADLLVGGSIILGSICTAAGSVSFLCEAVYNIFRMHRERASVATSTLPLVDDVQPQFPACVGCPFSKDALSVDTVVSLLFAKLQNFARSGFLCQDLTSNSSNSSSRSTEDEAEQNLTCVLDINCEVPCCLNMYSSTCKNSGSVGTGTLCDISDVLSLMELLACNMSWDWTCRKIISQLWSMLESSFIGNLSVAIVILLGQLGRLGVDAVGYEDKEVENLRAKLSAFLWQETTIRAGLPIQLASVSALLGLVSLDFKKASLENGNLPGMSGQCVPADLLRNWFLQLTEEQRSMSIRLFFQAVD >KJB58900 pep chromosome:Graimondii2_0_v6:9:18149920:18162456:-1 gene:B456_009G230500 transcript:KJB58900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKDESTLLQQKMASKKEDPSKAQKMASKKEEPSQAHQKMEEPSQAQQRMMPKRDEREPSQGNQGDASTAAAPPGPASPTDNLNSRSGNSANSSSPIVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVVVKADKKLITVLFQDGRDGRTFTLKAETLDDLYEWKAALEKALSQAPSSALSAGQNGIFGNDQAEAVDGSKEPVNDKQPVRSSVLHRPILLALEDVDGAPTFLEKALRFVEEHGVKVEGILRQAADVEDVERRIREYEQGKIEFSPDEDAHVIADCVKYVIRELPSSPVPASCCNALLEACRTEHSARVNAMRMAVLDTFPEPNRRLLQRILNMMQIVASHKNENRMSSSAVAACMAPLLLRPLLSGDCEIESDFDVGGDGSMQLLQAAAAANHAQAICITLLEEYDKIFGEGCVSHDMYSDSEESGSGSEEEADDDESDEDDEDYEDDECDDESQESDEDDDYAESGTGSEGGHSVKSDDKDYDSSSSSSESSKSGNYVQATKKKSSPLHPNDGSKRKEDNRISKSSETETNKPAELSKGVHGVTKLEDTSTRQNSITSASKPPAVGNGPGLNVRQGTMWRSSGSKKLSMDSIDYPIEEEAAVESLEAEKLDLQKRLTEEIESNTILEANLEKRKKALHEQRLALEKEVARLEEELQRAREKRTALEAGLNPSQGPTSLPEKIDEKTKEDLKDIARSEADVRNLNKRVNELGTQLNQQPEQKSVSMSDSCTQREPNHQTKMKDKTKGAEAPMRSRSKKEMKVDKAEGEKGKKQESSMANRHRNPVQQEMDVDKAESEKGKKQESSTANKHKNPVQQETNVDKAESEKGKKQESFTENKQKNLLQQETNVDKVEPEKGKKQESSTTNKHSPQNQQLDHSTHDSKPTSAAETVSHKRHTRSNSKKSSTRGAAKPSCK >KJB58894 pep chromosome:Graimondii2_0_v6:9:18149920:18155772:-1 gene:B456_009G230500 transcript:KJB58894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSLLKGILNMMQIVASHKNENRMSSSAVAACMAPLLLRPLLSGDCEIESDFDVGGDGSMQLLQAAAAANHAQAICITLLEEYDKIFGEGCVSHDMYSDSEESGSGSEEEADDDESDEDDEDYEDDECDDESQESDEDDDYAESGTGSEGGHSVKSDDKDYDSSSSSSESSKSGNYVQATKKKSSPLHPNDGSKRKEDNRISKSSETETNKPAELSKGVHGVTKLEDTSTRQNSITSASKPPAVGNGPGLNVRQGTMWRSSGSKKLSMDSIDYPIEEEAAVESLEAEKLDLQKRLTEEIESNTILEANLEKRKKALHEQRLALEKEVARLEEELQRAREKRTALEAGLNPSQGPTSLPEKIDEKTKEDLKDIARSEADVRNLNKRVNELGTQLNQQPEQKSVSMSDSCTQREPNHQTKMKDKTKGAEAPMRSRSKKEMKVDKAEGEKGKKQESSMANRHRNPVQQEMDVDKAESEKGKKQESSTANKHKNPVQQETNVDKAESEKGKKQESFTENKQKNLLQQETNVDKVEPEKGKKQESSTTNKHSPQNQQLDHSTHDSKPTSAAETVSHKRHTRSNSKKSSTRGAAKPSCK >KJB58892 pep chromosome:Graimondii2_0_v6:9:18150414:18155743:-1 gene:B456_009G230500 transcript:KJB58892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSLLKGILNMMQIVASHKNENRMSSSAVAACMAPLLLRPLLSGDCEIESDFDVGGDGSMQLLQAAAAANHAQAICITLLEEYDKIFGEGCVSHDMYSDSEESGSGSEEEADDDESDEDDEDYEDDECDDESQESDEDDDYAESGTGSEGGHSVKSDDKDYDSSSSSSESSKSGNYVQATKKKSSPLHPNDGSKRKEDNRISKSSETETNKPAELSKGVHGVTKLEDTSTRQNSITSASKPPAVGNGPGLNVRQGTMWRSSGSKKLSMDSIDYPIEEEAAVESLEAEKLDLQKRLTEEIESNTILEANLEKRKKALHEQRLALEKEVARLEEELQRAREKRTALEAGLNPSQGPTSLPEKIDEKTKEDLKDIARSEADVRNLNKRVNELGTQLNQQPEQKSVSMSDSCTQREPNHQTKMKDKTKGAEAPMRSRSKKEMKVDKAEGEKGKKQESSMANRHRNPVQQEMDVDKAESEKGKKQESSTANKHKNPVQQETNVDKAESEKGKKQESFTENKQKNLLQQETNVDKVEPEKGKKQESSTTNKHSPQNQQLDHSTHDSKPTSAAETVSHKRHTRSNSKKSSTRGEERRSQAANETHGKEKSYGSSQGVSSSSSDKGKGPEAIQSVKNPEKGRGSDISQ >KJB58897 pep chromosome:Graimondii2_0_v6:9:18151501:18161990:-1 gene:B456_009G230500 transcript:KJB58897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKDESTLLQQKMASKKEDPSKAQKMASKKEEPSQAHQKMEEPSQAQQRMMPKRDEREPSQGNQGDASTAAAPPGPASPTDNLNSRSGNSANSSSPIVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVVVKADKKLITVLFQDGRDGRTFTLKAETLDDLYEWKAALEKALSQAPSSALSAGQNGIFGNDQAEAVDGSKEPVNDKQPVRSSVLHRPILLALEDVDGAPTFLEKALRFVEEHGVKVEGILRQAADVEDVERRIREYEQGKIEFSPDEDAHVIADCVKYVIRELPSSPVPASCCNALLEACRTEHSARVNAMRMAVLDTFPEPNRRLLQRILNMMQIVASHKNENRMSSSAVAACMAPLLLRPLLSGDCEIESDFDVGGDGSMQLLQAAAAANHAQAICITLLEEYDKIFGEGCVSHDMYSDSEESGSGSEEEADDDESDEDDEDYEDDECDDESQESDEDDDYAESGTGSEGGHSVKSDDKDYDSSSSSSESSKSGNYVQATKKKSSPLHPNDGSKRKEDNRISKSSETETNKPAELSKGVHGVTKLEDTSTRQNSITSASKPPAVGNGPGLNVRQGTMWRSSGSKKLSMDSIDYPIEEEAAVESLEAEKLDLQKRLTEEIESNTILEANLEKRKKALHEQRLALEKEVARLEEELQRAREKRTALEAGLNPSQGPTSLPEKIDEKTKEDLKDIARSEADVRNLNKRVNELGTQLNQQPEQKSVSMSDSCTQREPNHQTKMKDKTKGAEAPMRSRSKHGNSTS >KJB58895 pep chromosome:Graimondii2_0_v6:9:18150414:18154824:-1 gene:B456_009G230500 transcript:KJB58895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAFCWQEGCVSHDMYSDSEESGSGSEEEADDDESDEDDEDYEDDECDDESQESDEDDDYAESGTGSEGGHSVKSDDKDYDSSSSSSESSKSGNYVQATKKKSSPLHPNDGSKRKEDNRISKSSETETNKPAELSKGVHGVTKLEDTSTRQNSITSASKPPAVGNGPGLNVRQGTMWRSSGSKKLSMDSIDYPIEEEAAVESLEAEKLDLQKRLTEEIESNTILEANLEKRKKALHEQRLALEKEVARLEEELQRAREKRTALEAGLNPSQGPTSLPEKIDEKTKEDLKDIARSEADVRNLNKRVNELGTQLNQQPEQKSVSMSDSCTQREPNHQTKMKDKTKGAEAPMRSRSKKEMKVDKAEGEKGKKQESSMANRHRNPVQQEMDVDKAESEKGKKQESSTANKHKNPVQQETNVDKAESEKGKKQESFTENKQKNLLQQETNVDKVEPEKGKKQESSTTNKHSPQNQQLDHSTHDSKPTSAAETVSHKRHTRSNSKKSSTRGEERRSQAANETHGKEKSYGSSQGVSSSSSDKGKGPEAIQSVKNPEKGRGSDISQ >KJB58899 pep chromosome:Graimondii2_0_v6:9:18150414:18161990:-1 gene:B456_009G230500 transcript:KJB58899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKDESTLLQQKMASKKEDPSKAQKMASKKEEPSQAHQKMEEPSQAQQRMMPKRDEREPSQGNQGDASTAAAPPGPASPTDNLNSRSGNSANSSSPIVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVVVKADKKLITVLFQDGRDGRTFTLKAETLDDLYEWKAALEKALSQAPSSALSAGQNGIFGNDQAEAVDGSKEPVNDKQPVRSSVLHRPILLALEDVDGAPTFLEKALRFVEEHGVKVEGILRQAADVEDVERRIREYEQGKIEFSPDEDAHVIADCVKYVIRELPSSPVPASCCNALLEACRTEHSARVNAMRMAVLDTFPEPNRRLLQRILNMMQIVASHKNENRMSSSAVAACMAPLLLRPLLSGDCEIESDFDVGGDGSMQLLQAAAAANHAQAICITLLEEYDKIFGEGCVSHDMYSDSEESGSGSEEEADDDESDEDDEDYEDDECDDESQESDEDDDYAESGTGSEGGHSVKSDDKDYDSSSSSSESSKSGNYVQATKKKSSPLHPNDGSKRKEDNRISKSSETETNKPAELSKGVHGVTKLEDTSTRQNSITSASKPPAVGNGPGLNVRQGTMWRSSGSKKLSMDSIDYPIEEEAAVESLEAEKLDLQKRLTEEIESNTILEANLEKRKKALHEQRLALEKEVARLEEELQRAREKRTALEAGLNPSQGPTSLPEKIDEKTKEDLKDIARSEADVRNLNKRVNELGTQLNQQPEQKSVSMSDSCTQREPNHQTKMKDKTKGAEAPMRSRSKKEMKVDKAEGEKGKKQESSMANRHRNPVQQEMDVDKAESEKGKKQESSTANKHKNPVQQETNVDKAESEKGKKQESFTENKQKNLLQQETNVDKVEPEKGKKQESSTTNKHSPQNQQLDHSTHDSKPTSAAETVSHKRHTRSNSKKSSTRGEERRSQAANETHGKEKSYGSSQGVSSSSSDKGKGPEAIQSVKNPEKGRGSDISQ >KJB58896 pep chromosome:Graimondii2_0_v6:9:18149920:18155772:-1 gene:B456_009G230500 transcript:KJB58896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAFCWQEGCVSHDMYSDSEESGSGSEEEADDDESDEDDEDYEDDECDDESQESDEDDDYAESGTGSEGGHSVKSDDKDYDSSSSSSESSKSGNYVQATKKKSSPLHPNDGSKRKEDNRISKSSETETNKPAELSKGVHGVTKLEDTSTRQNSITSASKPPAVGNGPGLNVRQGTMWRSSGSKKLSMDSIDYPIEEEAAVESLEAEKLDLQKRLTEEIESNTILEANLEKRKKALHEQRLALEKEVARLEEELQRAREKRTALEAGLNPSQGPTSLPEKIDEKTKEDLKDIARSEADVRNLNKRVNELGTQLNQQPEQKSVSMSDSCTQREPNHQTKMKDKTKGAEAPMRSRSKKEMKVDKAEGEKGKKQESSMANRHRNPVQQEMDVDKAESEKGKKQESSTANKHKNPVQQETNVDKAESEKGKKQESFTENKQKNLLQQETNVDKVEPEKGKKQESSTTNKHSPQNQQLDHSTHDSKPTSAAETVSHKRHTRSNSKKSSTRGAAKPSCK >KJB58893 pep chromosome:Graimondii2_0_v6:9:18150414:18155743:-1 gene:B456_009G230500 transcript:KJB58893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSLLKGILNMMQIVASHKNENRMSSSAVAACMAPLLLRPLLSGDCEIESDFDVGGDGSMQLLQAAAAANHAQAICITLLEEYDKIFGEGCVSHDMYSDSEESGSGSEEEADDDESDEDDEDYEDDECDDESQESDEDDDYAESGTGSEGGHSVKSDDKDYDSSSSSSESSKSGNYVQATKKKSSPLHPNDGSKRKEDNRISKSSETETNKPAELSKGVHGVTKLEDTSTRQNSITSASKPPAVGNGPGLNVRQGTMWRSSVSGSKKLSMDSIDYPIEEEAAVESLEAEKLDLQKRLTEEIESNTILEANLEKRKKALHEQRLALEKEVARLEEELQRAREKRTALEAGLNPSQGPTSLPEKIDEKTKEDLKDIARSEADVRNLNKRVNELGTQLNQQPEQKSVSMSDSCTQREPNHQTKMKDKTKGAEAPMRSRSKKEMKVDKAEGEKGKKQESSMANRHRNPVQQEMDVDKAESEKGKKQESSTANKHKNPVQQETNVDKAESEKGKKQESFTENKQKNLLQQETNVDKVEPEKGKKQESSTTNKHSPQNQQLDHSTHDSKPTSAAETVSHKRHTRSNSKKSSTRGEERRSQAANETHGKEKSYGSSQGVSSSSSDKGKGPEAIQSVKNPEKGRGSDISQ >KJB58898 pep chromosome:Graimondii2_0_v6:9:18149920:18162456:-1 gene:B456_009G230500 transcript:KJB58898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKDESTLLQQKMASKKEDPSKAQKMASKKEEPSQAHQKMEEPSQAQQRMMPKRDEREPSQGNQGDASTAAAPPGPASPTDNLNSRSGNSANSSSPIVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVVVKADKKLITVLFQDGRDGRTFTLKAETLDDLYEWKAALEKALSQAPSSALSAGQNGIFGNDQAEAVDGSKEPVNDKQPVRSSVLHRPILLALEDVDGAPTFLEKALRFVEEHGVKVEGILRQAADVEDVERRIREYEQGKIEFSPDEDAHVIADCVKYVIRELPSSPVPASCCNALLEACRTEHSARVNAMRMAVLDTFPEPNRRLLQRILNMMQIVASHKNENRMSSSAVAACMAPLLLRPLLSGDCEIESDFDVGGDGSMQLLQAAAAANHAQAICITLLEEYDKIFGEGCVSHDMYSDSEESGSGSEEEADDDESDEDDEDYEDDECDDESQESDEDDDYAESGTGSEGGHSVKSDDKDYDSSSSSSESSKSGNYVQATKKKSSPLHPNDGSKRKEDNRISKSSETETNKPAELSKGVHGVTKLEDTSTRQNSITSASKPPAVGNGPGLNVRQGTMWRSSVSGSKKLSMDSIDYPIEEEAAVESLEAEKLDLQKRLTEEIESNTILEANLEKRKKALHEQRLALEKEVARLEEELQRAREKRTALEAGLNPSQGPTSLPEKIDEKTKEDLKDIARSEADVRNLNKRVNELGTQLNQQPEQKSVSMSDSCTQREPNHQTKMKDKTKGAEAPMRSRSKKEMKVDKAEGEKGKKQESSMANRHRNPVQQEMDVDKAESEKGKKQESSTANKHKNPVQQETNVDKAESEKGKKQESFTENKQKNLLQQETNVDKVEPEKGKKQESSTTNKHSPQNQQLDHSTHDSKPTSAAETVSHKRHTRSNSKKSSTRGAAKPSCK >KJB58891 pep chromosome:Graimondii2_0_v6:9:18149920:18155772:-1 gene:B456_009G230500 transcript:KJB58891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSLLKGILNMMQIVASHKNENRMSSSAVAACMAPLLLRPLLSGDCEIESDFDVGGDGSMQLLQAAAAANHAQAICITLLEEYDKIFGEGCVSHDMYSDSEESGSGSEEEADDDESDEDDEDYEDDECDDESQESDEDDDYAESGTGSEGGHSVKSDDKDYDSSSSSSESSKSGNYVQATKKKSSPLHPNDGSKRKEDNRISKSSETETNKPAELSKGVHGVTKLEDTSTRQNSITSASKPPAVGNGPGLNVRQGTMWRSSVSGSKKLSMDSIDYPIEEEAAVESLEAEKLDLQKRLTEEIESNTILEANLEKRKKALHEQRLALEKEVARLEEELQRAREKRTALEAGLNPSQGPTSLPEKIDEKTKEDLKDIARSEADVRNLNKRVNELGTQLNQQPEQKSVSMSDSCTQREPNHQTKMKDKTKGAEAPMRSRSKKEMKVDKAEGEKGKKQESSMANRHRNPVQQEMDVDKAESEKGKKQESSTANKHKNPVQQETNVDKAESEKGKKQESFTENKQKNLLQQETNVDKVEPEKGKKQESSTTNKHSPQNQQLDHSTHDSKPTSAAETVSHKRHTRSNSKKSSTRGAAKPSCK >KJB58901 pep chromosome:Graimondii2_0_v6:9:18149920:18162368:-1 gene:B456_009G230500 transcript:KJB58901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKDESTLLQQKMASKKEDPSKAQKMASKKEEPSQAHQKMEEPSQAQQRMMPKRDEREPSQGNQGDASTAAAPPGPASPTDNLNSRSGNSANSSSPIVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVVVKADKKLITVLFQDGRDGRTFTLKAETLDDLYEWKAALEKALSQAPSSALSAGQNGIFGNDQAEAVDGSKEPVNDKQPVRSSVLHRPILLALEDVDGAPTFLEKALRFVEEHGVKVEGILRQAADVEDVERRIREYEQGKIEFSPDEDAHVIADCVKYVIRELPSSPVPASCCNALLEACRTEHSARVNAMRMAVLDTFPEPNRRLLQRILNMMQIVASHKNENRMSSSAVAACMAPLLLRPLLSGDCEIESDFDVGGDGSMQLLQAAAAANHAQAICITLLEEYDKIFGEGCVSHDMYSDSEESGSGSEEEADDDESDEDDEDYEDDECDDESQESDEDDDYAESGTGSEGGHSVKSDDKDYDSSSSSSESSKSGNYVQATKKKSSPLHPNDGSKRKEDNRISKSSETETNKPAELSKGVHGVTKLEDTSTRQNSITSASKPPAVGNGPGLNVRQGTMWRSSGSKKLSMDSIDYPIEEEAAVESLEAEKLDLQKRLTEEIESNTILEANLEKRKKALHEQRLALEKEVARLEEELQRAREKRTALEAGLNPSQGPTSLPEKIDEKTKEDLKDIARSEADVRNLNKRVNELGTQLNQQPEQKSVSMSDSCTQREPNHQTKMKDKTKGAEAPMRSRSKKEMKVDKAEGEKGKKQESSMANRHRNPVQQEMDVDKAESEKGKKQESSTANKHKNPVQQETNVDKAESEKGKKQESFTENKQKNLLQQETNVDKVEPEKGKKQESSTTNKHSPQNQQLDHSTHDSKPTSAAETVSHKRHTRSNSKKSSTRGEERRSQAANETHGKEKSYGSSQGVSSSSSDKGKGPEAIQSVKNPEKGNDLKVVLSYSSD >KJB58902 pep chromosome:Graimondii2_0_v6:9:18149947:18162345:-1 gene:B456_009G230500 transcript:KJB58902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKDESTLLQQKMASKKEDPSKAQKMASKKEEPSQAHQKMEEPSQAQQRMMPKRDEREPSQGNQGDASTAAAPPGPASPTDNLNSRSGNSANSSSPIVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPNAVSQKGNEVNLTLGGIDLNNSGSVVVKADKKLITVLFQDGRDGRTFTLKAETLDDLYEWKAALEKALSQAPSSALSAGQNGIFGNDQAEAVDGSKEPVNDKQPVRSSVLHRPILLALEDVDGAPTFLEKALRFVEEHGVKVEGILRQAADVEDVERRIREYEQGKIEFSPDEDAHVIADCVKYVIRELPSSPVPASCCNALLEACRTEHSARVNAMRMAVLDTFPEPNRRLLQRILNMMQIVASHKNENRMSSSAVAACMAPLLLRPLLSGDCEIESDFDVGGDGSMQLLQAAAAANHAQAICITLLEEYDKIFGEGCVSHDMYSDSEESGSGSEEEADDDESDEDDEDYEDDECDDESQESDEDDDYAESGTGSEGGHSVKSDDKDYDSSSSSSESSKSGNYVQATKKKSSPLHPNDGSKRKEDNRISKSSETETNKPAELSKGVHGVTKLEDTSTRQNSITSASKPPAVGNGPGLNVRQGTMWRSSVSGSKKLSMDSIDYPIEEEAAVESLEAEKLDLQKRLTEEIESNTILEANLEKRKKALHEQRLALEKEVARLEEELQRAREKRTALEAGLNPSQGPTSLPEKIDEKTKEDLKDIARSEADVRNLNKRVNELGTQLNQQPEQKSVSMSDSCTQREPNHQTKMKDKTKGAEAPMRSRSKKEMKVDKAEGEKGKKQESSMANRHRNPVQQEMDVDKAESEKGKKQESSTANKHKNPVQQETNVDKAESEKGKKQESFTENKQKNLLQQETNVDKVEPEKGKKQESSTTNKHSPQNQQLDHSTHDSKPTSAAETVSHKRHTRSNSKKSSTRGEERRSQAANETHGKEKSYGSSQGVSSSSSDKGKGPEAIQSVKNPEKGRGSDISQ >KJB62626 pep chromosome:Graimondii2_0_v6:9:66880924:66883720:-1 gene:B456_009G426900 transcript:KJB62626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB62628 pep chromosome:Graimondii2_0_v6:9:66880822:66883815:-1 gene:B456_009G426900 transcript:KJB62628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB62627 pep chromosome:Graimondii2_0_v6:9:66880875:66883840:-1 gene:B456_009G426900 transcript:KJB62627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB62630 pep chromosome:Graimondii2_0_v6:9:66880924:66883722:-1 gene:B456_009G426900 transcript:KJB62630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB62629 pep chromosome:Graimondii2_0_v6:9:66880879:66889720:-1 gene:B456_009G426900 transcript:KJB62629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB55434 pep chromosome:Graimondii2_0_v6:9:5479798:5480817:1 gene:B456_009G075900 transcript:KJB55434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFESGDWSEMCGDSDIKSAVMETKTLPDSRNRVFCTYCSKGFKSNKALAGHLRIHSQHPPMSKTEGKHFQEGDDDDSFFGCFDCDASFSSMKLLCQHMRLHRDTDSNAATTFKQGTGSTVSPRDDHHNIDLLKDFNGNWSRTEKRGSKRTASEHDIIYNAEPFKVYYGRMKTEETSMESEWGAADNESTVELSGNRVKTCNSSNKSKSCHGRRRRSMKKTKAVKSVHRCEICDKTYETGQALGGHKSYHRVKDPLKQRKTEQQSCGEVKMVTRMVLPGLPPEEADKNPSKRMLDFDFNISYEE >KJB58617 pep chromosome:Graimondii2_0_v6:9:16986568:16991796:1 gene:B456_009G218600 transcript:KJB58617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATVSAAVNPKHRGGVTQPHGQHQIPSRPPLLPSDPDNAIAPRRQKFREVTSRYLSTPSSSSNSSISSSSSFAAASKRCPSPFVSTSTTPSAIKRSQSVERRQAVTPRPNNSMKLRSSDGNNNGELSAAQKLLFTSTRSLSVSFQGDSFPYQFNKAKPALSPSAARKGTPEKRKPTAITTTPGRGTDQTENSNTERLPASFRKPNSMSRKVDCTVERKRLNGSVNGNVVRALQNSMIDNRDSTAVTALGSEAQCDPSASDTESVSSGSTSGAPEGSCNGNGDVKRGPRGIIVPARFWQETNSRLRRSDPLSPVSKKNTAPSKIIAPEKFGIDSPSSSPKGVVNSRGQLSPVRGSIRPASPGKLGASTTSSPLRGMSPSRVRSGLASNLVNTPSILSFNGDVLKMGKIGENKASDAHFLRLLHNRLLQWRFVNARADAAMSSQKSNAEKSLCGAWITTSKLRESVRAKRTELQLLKQKLKLISILKGQMILLDEWDVVDHDYCSSLSAATEALMASTLRLPVVAGARADVPKLKDAICSAVDVMQAISSYICPLFSKVIPWVVLFSSTQDCRRQLFDGRTSTYKFK >KJB58615 pep chromosome:Graimondii2_0_v6:9:16986513:16992029:1 gene:B456_009G218600 transcript:KJB58615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATVSAAVNPKHRGGVTQPHGQHQIPSRPPLLPSDPDNAIAPRRQKFREVTSRYLSTPSSSSNSSISSSSSFAAASKRCPSPFVSTSTTPSAIKRSQSVERRQAVTPRPNNSMKLRSSDGNNNGELSAAQKLLFTSTRSLSVSFQGDSFPYQFNKAKPALSPSAARKGTPEKRKPTAITTTPGRGTDQTENSNTERLPASFRKPNSMSRKVDCTVERKRLNGSVNGNVVRALQNSMIDNRDSTAVTALGSEAQCDPSASDTESVSSGSTSGAPEGSCNGNGDVKRGPRGIIVPARFWQETNSRLRRSDPLSPVSKKNTAPSKIIAPEKFGIDSPSSSPKGVVNSRGQLSPVRGSIRPASPGKLGASTTSSPLRGMSPSRVRSGLASNLVNTPSILSFNGDVLKMGKIGENKASDAHFLRLLHNRLLQWRFVNARADAAMSSQKSNAEKSLCGAWITTSKLRESVRAKRTELQLLKQKLKLISILKGQMILLDEWDVVDHDYCSSLSAATEALMASTLRLPVVAGARADVPKLKDAICSAVDVMQAISSYICPLFSKIADVNSLMGELRHISSNEIALLDHCQELVSTIAAMQVKECSLRTHILQLNQLPSSITTKL >KJB58616 pep chromosome:Graimondii2_0_v6:9:16986513:16992029:1 gene:B456_009G218600 transcript:KJB58616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATVSAAVNPKHRGGVTQPHGQHQIPSRPPLLPSDPDNAIAPRRQKFREVTSRYLSTPSSSSNSSISSSSSFAAASKRCPSPFVSTSTTPSAIKRSQSVERRQAVTPRPNNSMKLRSSDGNNNGELSAAQKLLFTSTRSLSVSFQGDSFPYQFNKAKPALSPSAARKGTPEKRKPTAITTTPGRGTDQTENSNTERLPASFRKPNSMSRKVDCTVERKRLNGSVNGNVVRALQNSMIDNRDSTAVTALGSEAQCDPSASDTESVSSGSTSGAPEGSCNGNGDVKRGPRGIIVPARFWQETNSRLRRSDPLSPVSKKNTAPSKIIAPEKFGIDSPSSSPKGVVNSRGQLSPVRGSIRPASPGKLGASTTSSPLRGMSPSRVRSGLASNLVNTPSILSFNGDVLKMGKIGENKASDAHFLRLLHNRLLQWRFVNARADAAMSSQKSNAEKSLCGAWITTSKLRESVRAKRTELQLLKQKLKLISILKGQMILLDEWDVVDHDYCSSLSAATEALMASTLRLPVVAGARADVPKLKDAICSAVDVMQAISSYICPLFSKIADVNSLMGELRHISSNEIALLDHCQELVSTIAAMQVNILFIVLLEELLFINAFFNLTVLMSR >KJB59799 pep chromosome:Graimondii2_0_v6:9:22828851:22830853:1 gene:B456_009G273000 transcript:KJB59799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSKTSSRDSNSYWTPKQNKLFEKALAVYDRDTPDRWQKVAAAVGDKTPEEVRRHYEILVTDVNHIESGQIPIPDYESTGNNSR >KJB63058 pep chromosome:Graimondii2_0_v6:9:70227268:70229263:-1 gene:B456_009G451500 transcript:KJB63058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSGTRPSQTAEGNSRRPSPIQIPKTSKSELVTPSKPHKLESVDSLTEKVDSDLSLSAPKEVQNHVGSGLSQIGEQEKKALEHGSNSASAKSSDGATGVVKVSGGAKVGDRPDYIESGKSSMCRGSTSSDVSDESTCSSLSSSINKPHKANDIRWEAIQAVRAKDGVLGLNHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLSLEYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLIKSSTLESEPLRKNSGYCVQPACIQPSCVAPTTCFSPRFFSSKSKKDRKPKNDMGNQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSAKDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVDIERIRTPTSSAGDKPSVPAAKDQSNYLEFDFF >KJB63056 pep chromosome:Graimondii2_0_v6:9:70227026:70230107:-1 gene:B456_009G451500 transcript:KJB63056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKMKVTWTASESNLVSMAGSNNSASAKSSDGATGVVKVSGGAKVGDRPDYIESGKSSMCRGSTSSDVSDESTCSSLSSSINKPHKANDIRWEAIQAVRAKDGVLGLNHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLSLEYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLIKSSTLESEPLRKNSGYCVQPACIQPSCVAPTTCFSPRFFSSKSKKDRKPKNDMGNQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSAKDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVDIERIRTPTSSAGDKPSVPAAKDQSNYLEFDFF >KJB63057 pep chromosome:Graimondii2_0_v6:9:70227268:70229263:-1 gene:B456_009G451500 transcript:KJB63057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSGTRPSQTAEGNSRRPSPIQIPKTSKSELVTPSKPHKLESVDSLTEKVDSDLSLSAPKEVQNHVGSGLSQIGEQEKKALEHGSNSASAKSSDGATGVVKVSGGAKVGDRPDYIESGKSSMCRGSTSSDVSDESTCSSLSSSINKPHKANDIRWEAIQAVRAKDGVLGLNHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLSLEYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLIKSSTLESEPLRKNSGYCVQPACIQPSCVAPTTCFSPRFFSSKSKKDRKPKNDMGNQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSAKDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVDIERIRTPTSSAGDKPSVPAAKDQSNYLEFDFF >KJB63055 pep chromosome:Graimondii2_0_v6:9:70227026:70230086:-1 gene:B456_009G451500 transcript:KJB63055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSGTRPSQTAEGNSRRPSPIQIPKTSKSELVTPSKPHKLESVDSLTEKVDSDLSLSAPKEVQNHVGSGLSQIGEQEKKALEHGSNSASAKSSDGATGVVKVSGGAKVGDRPDYIESGKSSMCRGSTSSDVSDESTCSSLSSSINKPHKANDIRWEAIQAVRAKDGVLGLNHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLSLEYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLIKSSTLESEPLRKNSGYCVQPACIQPSCVAPTTCFSPRFFSSKSKKDRKPKNDMGNQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSAKDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVDIERIRTPTSSAGDKPSVPAAKDQSNYLEFDFF >KJB63059 pep chromosome:Graimondii2_0_v6:9:70227026:70230452:-1 gene:B456_009G451500 transcript:KJB63059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSGTRPSQTAEGNSRRPSPIQIPKTSKSELVTPSKPHKLESVDSLTEKVDSDLSLSAPKEVQNHVGSGLSQIGEQEKKALEHGSNSASAKSSDGATGVVKVSGGAKVGDRPDYIESGKSSMCRGSTSSDVSDESTCSSLSSSINKPHKANDIRWEAIQAVRAKDGVLGLNHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLSLEYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLIKSSTLESEPLRKNSGYCVQPACIQPSCVAPTTCFSPRFFSSKSKKDRKPKNDMGNQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSAKDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVDIERIRTPTSSAGDKPSVPAAKDQSNYLEFDFF >KJB55475 pep chromosome:Graimondii2_0_v6:9:5625499:5630091:-1 gene:B456_009G078200 transcript:KJB55475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFGCFGFSTKPKQTTRPNCRSNFPLSQEFLLDEEIEEDDDDCSYNGEVTRTAHGDEVDSLGRGKRSEEILRFKLNNGLVCRQVPVKETNMIVRTEDENGNKMINEYVREYKIGSGSYGKVVLYRNSVDGKHYAIKAFHKSHLLKLRVAPSETAMTDVLREVLIMKILEHPNIVNLIEVIDDPTMDQFYMVLEYVEGKWVCEDSGPPRGLGEDTARKYVRDIVSGLMYLHAHNIVHGDIKPDNLLITSMGTVKIGDFSVSQVFEDDNDELRRSPGTPVFTAPECCVGLTYQGKAADTWALGVTLYCMILGRYPFLGETLQDTYDKIVNNPLILPSDMNPELRNLLEGLLCKDPKQRMTLNALAEHSWVIGEDGPIPQYLCWCTRNSYSREESNGRTGTQLTETD >KJB55478 pep chromosome:Graimondii2_0_v6:9:5625572:5630072:-1 gene:B456_009G078200 transcript:KJB55478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNSFSFARAMGCFGCFGFSTKPKQTTRPNCRSNFPLSQEFLLDEEIEEDDDDCSYNGEVTRTAHGDEVDSLGRGKRSEEILRFKLNNGLVCRQVPVKETNMIVRTEVLYRNSVDGKHYAIKAFHKSHLLKLRVAPSETAMTDVLREVLIMKILEHPNIVNLIEVIDDPTMDQFYMVLEYVEGKWVCEDSGPPRGLGEDTARKYVRDIVSGLMYLHAHNIVHGDIKPDNLLITSMGTVKIGDFSVSQVFEDDNDELRRSPGTPVFTAPECCVGLTYQGKAADTWALGVTLYCMILGRYPFLGETLQDTYDKIVNNPLILPSDMNPELRNLLEGLLCKDPKQRMTLNALAEHSWVIGEDGPIPQYLCWCTRNSYSREESNGRTGTQLTETD >KJB55476 pep chromosome:Graimondii2_0_v6:9:5626570:5628891:-1 gene:B456_009G078200 transcript:KJB55476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNSFSFARAMGCFGCFGFSTKPKQTTRPNCRSNFPLSQEFLLDEEIEEDDDDCSYNGEVTRTAHGDEVDSLGRGKRSEEILRFKLNNGLVCRQVPVKETNMIVRTEDENGNKMINEYVREYKIGSGSYGKVVLYRNSVDGKHYAIKAFHKSHLLKLRVAPSETAMTDVLREVLIMKILEHPNIVNLIEVIDDPTMDQFYMVLEYVEGKWVCEDSGPPRGLGEDTARKYVRDIVSGLMYLHAHNIVHGDIKPDNLLITSMGTVKIGDFSVSQVFEDDNDELRRSPGTPVFTAPECCVA >KJB55474 pep chromosome:Graimondii2_0_v6:9:5625969:5628891:-1 gene:B456_009G078200 transcript:KJB55474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNSFSFARAMGCFGCFGFSTKPKQTTRPNCRSNFPLSQEFLLDEEIEEDDDDCSYNGEVTRTAHGDEVDSLGRGKRSEEILRFKLNNGLVCRQVPVKETNMIVRTEDENGNKMINEYVREYKIGSGSYGKVVLYRNSVDGKHYAIKAFHKSHLLKLRVAPSETAMTDVLREVLIMKILEHPNIVNLIEVIDDPTMDQFYMVLEYVEGKWVCEDSGPPRGLGEDTARKYVRDIVSGLMYLHAHNIVHGDIKPDNLLITSMGTVKIGDFSVSQVFEDDNDELRRSPGTPVFTAPECCVGLTYQGKAADTWALGVTLYCMILGRYPFLGETLQDTYDKIVNNPLILPSDMNPELRNLLEGLLCKDPKQRMTLNALAEHSWVIGEDGPIPQYLCWCTRNSYSREESNGRTGTQLTETD >KJB55477 pep chromosome:Graimondii2_0_v6:9:5626626:5628891:-1 gene:B456_009G078200 transcript:KJB55477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNSFSFARAMGCFGCFGFSTKPKQTTRPNCRSNFPLSQEFLLDEEIEEDDDDCSYNGEVTRTAHGDEVDSLGRGKRSEEILRFKLNNGLVCRQVPVKETNMIVRTEDENGNKMINEYVREYKIGSGSYGKVVLYRNSVDGKHYAIKAFHKSHLLKLRVAPSETAMTDVLREVLIMKILEHPNIVNLIEVIDDPTMDQFYMVLEYVEGKWVCEDSGPPRGLGEDTARKYVRDIVSGLMYLHAHNIVHGDIKPDNLLITSMGTVKIGDFSVSQVFEDDNDELRRSPGTPVFTAPECCVGQCSLC >KJB57879 pep chromosome:Graimondii2_0_v6:9:14175903:14177240:-1 gene:B456_009G184400 transcript:KJB57879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKVTMVLKVDLQCRRCYKKVKQVLCKFPQIQDQIYDEKANTVTIKVVCCDPEKLRGKIRCKGGYSIKCIEIKQPKSETDQSEKPKTKLPDPPGQTKPPEKPASPTVLALFPGGFCCKDCYHGHHGGPCYFGGPPPPPYYWPYGRPVYVNWGGGGGGSTYCYCFEENPQCSVM >KJB62545 pep chromosome:Graimondii2_0_v6:9:65928204:65929061:-1 gene:B456_009G422100 transcript:KJB62545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSSFDISEAQVIDNYSSWFNSKNGFTGTAYQVQHGKLSEYDSCFRGYWGDDLFSCKYATDDDDDDDGCNDTRARTKHEDSNQSEDQYRSYTSYCEGEFGQPDCNYYNPWSYKLFCEDDDSDSQYNGDETSSTYGHHMDDVGLFKGIFGSFPCLRQYAGINQ >KJB56787 pep chromosome:Graimondii2_0_v6:9:10237923:10241419:-1 gene:B456_009G136000 transcript:KJB56787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRAFSARRTQPHSLFDVPTSSAVSDVSPDAESADLLADESKTKKPHLYVLASNYMSRLGLVKSPCLCLSLCLLLSVFILFSLMLNSRSFVCLSSYDPISRASFFGLDGLDSDFGSLGVPWCRSKQGKTVEWTSKDLVKGLEEFVPIYETRPIKNNMHGMGFDHSFGLWFIAQWLRPDIMIESGAFKGHSTWVMRQAMPDTPIISLTPRHPEKYLKKGPAYVDENCTYFAGKDFVDFGSVDWERVLKKHGISDFSKVLVFFDDHQNELKRLKQALKAGFNHLIFEDNYDTGTGDHYSLRQICDQFYIRGGGHSCFKDSDEARIRSKRKKFWEKAVDIDELCGPHEAWWGVRGEMRDNFNHNNTPISYGEHFQNSSWSFPHPPNSLRSCSCTYTYC >KJB56786 pep chromosome:Graimondii2_0_v6:9:10239388:10241400:-1 gene:B456_009G136000 transcript:KJB56786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRAFSARRTQPHSLFDVPTSSAVSDVSPDAESADLLADESKTKKPHLYVLASNYMSRLGLVKSPCLCLSLCLLLSVFILFSLMLNSRSFVCLSSYDPISRASFFGLDGLDSDFGSLGVPWCRSKQGKTVEWTSKDLVKGLEEFVPIYETRPIKNNMHGMGFDHSFGLWFIAQWLRPDIMIESGAFKGHSTWVMRQAMPDTPIISLTPRHPEKYLKKGPAYVDENCTYFAGKDFVDFGSVDWERVLKKHGISDFSKVLVFFDDHQNELKRLKQALKAGFNHLIFEDNYDTGTGDHYSLRQICDQFYIRGRSSYTIFICFSFILFSVSDIL >KJB56785 pep chromosome:Graimondii2_0_v6:9:10238123:10241400:-1 gene:B456_009G136000 transcript:KJB56785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRAFSARRTQPHSLFDVPTSSAVSDVSPDAESADLLADESKTKKPHLYVLASNYMSRLGLVKSPCLCLSLCLLLSVFILFSLMLNSRSFVCLSSYDPISRASFFGLDGLDSDFGSLGVPWCRSKQGKTVEWTSKDLVKGLEEFVPIYETRPIKNNMHGMGFDHSFGLWFIAQWLRPDIMIESGAFKGHSTWVMRQAMPDTPIISLTPRHPEKYLKKGPAYVDENCTYFAGKDFVDFGSVDWERVLKKHGISDFSKVLVFFDDHQNELKRLKQALKAGFNHLIFEDNYDTGTGDHYSLRQICDQFYIRGGGHSCFKDSDEARIRSKRKKFWEKAVDIDELCGPHEAWWGVRGEMRDNFNHNNTPISYGEHFQNSRFVESILDVYWELPPAAGPSLTHQTRYDPARAPTPIVEDGRYRMFKRLGLDRLERSVFNGYTQMVYLQISKPET >KJB56788 pep chromosome:Graimondii2_0_v6:9:10239688:10241419:-1 gene:B456_009G136000 transcript:KJB56788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRAFSARRTQPHSLFDVPTSSAVSDVSPDAESADLLADESKTKKPHLYVLASNYMSRLGLVKSPCLCLSLCLLLSVFILFSLMLNSRSFVCLSSYDPISRASFFGLDGLDSDFGSLGVPWCRSKQGKTVEWTSKDLVKGLEEFVPIYETRPIKNNMHGMGFDHSFGLWFIAQWLRPDIMIESGAFKGHSTWVMRQAMPDTPIISLTPRHPEKYLKKGPAYVDENCTYFAGKDFVDFGSVDWERVLKKHGISDFSKVLVFFDDHQNELKRYLMQPSTVDILP >KJB56784 pep chromosome:Graimondii2_0_v6:9:10237855:10241514:-1 gene:B456_009G136000 transcript:KJB56784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGMGFDHSFGLWFIAQWLRPDIMIESGAFKGHSTWVMRQAMPDTPIISLTPRHPEKYLKKGPAYVDENCTYFAGKDFVDFGSVDWERVLKKHGISDFSKVLVFFDDHQNELKRLKQALKAGFNHLIFEDNYDTGTGDHYSLRQICDQFYIRGGGHSCFKDSDEARIRSKRKKFWEKAVDIDELCGPHEAWWGVRGEMRDNFNHNNTPISYGEHFQNSRFVESILDVYWELPPAAGPSLTHQTRYDPARAPTPIVEDGRYRMFKRLGLDRLERSVFNGYTQMVYLQISKPET >KJB54116 pep chromosome:Graimondii2_0_v6:9:1611445:1612891:1 gene:B456_009G021300 transcript:KJB54116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTWSSTRQHSLWSLFHTLRVVALWQKQAIHHHYQHHHLYKHLRMALKSKPSYILNLSIIQRQTQATIRPIFKPQFLLCYRSRTKMSPLSKVVASWCKKHADGDDDDNDFDYAPAACMEGNGDDDDGDYDVAPAASLEGDDDDDGSYDYAPAA >KJB56748 pep chromosome:Graimondii2_0_v6:9:10126052:10130438:-1 gene:B456_009G134500 transcript:KJB56748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSKNPPSRFLLLTLTFVLLLSFSININASDPTNSEDDSDDLEQLLALDEQEDQLPEDQDQESSSRFSEAEVLSKAQRIVLELNSDNSKRVIDENEFVLLLGYAPWCVRSAELMPQFAEAATSLKELGSPVLMAKLDAERYPKVASLLDIKGFPTLLLFVNGTSQAYTGGFSAEEIVIWARKKTGVPVIRINTVTEAEDFLEKHHMFVIGLFEKFEGSDYKAFIKAAMSDNEIQFAEASNIEVAKLLYPDIKATNFLGIVKSEPERYTAYDGTFEMENILQFLDYNKFPLVTKLTELNSVRVYSSPVKLQVYVFAKADDFKTLLEPLQDVARKFITKVMFIYIDIVDENLAKPFLTLFGLEESKNTLVTAFDNKGSSKYLLQSDPTPSNIEEFCSGLLHGSISTYFKSQPIPDNNNASVLAVVGKTFDDLVLNSPKNVLLEVYTPWCINCETTSKQVEKLAKHFKGLDSLIFAKIDASANEHPKLQVCFIRNRSVSIP >KJB56747 pep chromosome:Graimondii2_0_v6:9:10125250:10130592:-1 gene:B456_009G134500 transcript:KJB56747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSKNPPSRFLLLTLTFVLLLSFSININASDPTNSEDDSDDLEQLLALDEQEDQLPEDQDQESSSRFSEAEVLSKAQRIVLELNSDNSKRVIDENEFVLLLGYAPWCVRSAELMPQFAEAATSLKELGSPVLMAKLDAERYPKVASLLDIKGFPTLLLFVNGTSQAYTGGFSAEEIVIWARKKTGVPVIRINTVTEAEDFLEKHHMFVIGLFEKFEGSDYKAFIKAAMSDNEIQFAEASNIEVAKLLYPDIKATNFLGIVKSEPERYTAYDGTFEMENILQFLDYNKFPLVTKLTELNSVRVYSSPVKLQVYVFAKADDFKTLLEPLQDVARKFITKVMFIYIDIVDENLAKPFLTLFGLEESKNTLVTAFDNKGSSKYLLQSDPTPSNIEEFCSGLLHGSISTYFKSQPIPDNNNASVLAVVGKTFDDLVLNSPKNVLLEVYTPWCINCETTSKQVEKLAKHFKGLDSLIFAKIDASANEHPKLQVDNYPSLFLYKAGDKDNPIKLSTKSGSKELAAFINKQVRPKDQAAKDEL >KJB56749 pep chromosome:Graimondii2_0_v6:9:10126953:10130438:-1 gene:B456_009G134500 transcript:KJB56749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSKNPPSRFLLLTLTFVLLLSFSININASDPTNSEDDSDDLEQLLALDEQEDQLPEDQDQESSSRFSEAEVLSKAQRIVLELNSDNSKRVIDENEFVLLLGYAPWCVRSAELMPQFAEAATSLKELGSPVLMAKLDAERYPKVASLLDIKGFPTLLLFVNGTSQAYTGGFSAEEIVIWARKKTGVPVIRINTVTEAEDFLEKHHMFVIGLFEKFEGSDYKAFIKAAMSDNEIQFAEASNIEVAKLLYPDIKATNFLGIVKSEPERYTAYDGTFEMENILQFLDYNKFPLVTKLTELNSVRVYSSPVKLQVYVFAKADDFKTLLEPLQDVARKFITKVMFIYIDIVDENLAKPFLTLFGLEESKNTLVTAFDNKGSSKYLLQSDPTPSNIEEFCSGLLHGSISTYFKSQPIPDNVRVKFCVSLISLT >KJB58283 pep chromosome:Graimondii2_0_v6:9:15770889:15773355:1 gene:B456_009G203500 transcript:KJB58283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLKGRYTNDKSTAVVSLSANAGDIKLRASMTDATFIKGPSLNGLTLAVEKPGFFIIDYDVPKKDFRFQFMNSVRVAEKPLKLTYIHGRGDNRTVLDGTLTLDSANKVSANYMFGTRNCKVKYSYSHGGATTFEPCYDFGKNAWDFAISRRVYGDVFKATYQTWSRDLALEWSRNSKFNGTFKISASVNLAEETKIPKLIAESSWDLEM >KJB61894 pep chromosome:Graimondii2_0_v6:9:52669346:52671512:1 gene:B456_009G389200 transcript:KJB61894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSQHQSGYFSGGDNVLNNPPSRSYFPSKSKALIFETHHVFSTDVEDVFKVEGNLIFQTSFSYEQSIFPGSSSISSLSDSSNRGTLDFDFRGFWSRITGKLCMVGSSYAYSKEGKVLHLAAALQINNLKNSSTIRTLATGTMDSLNSVDDPNYFERISLLMFPHVNYTYIMVSKQFSEEYPRGTHVQPELSLRLSRTRTICNMFSGGGNAFELEYTGSCKSSKSCYPFGDSIGYLPSLMSLSMIQCSNDRLSLRFLIEFWNNSYRGYYGSPNISTSLIGEGSWDEKKNRLHIVACRIYYASSSLDKSHVGDCTTRLSLRFPAILSIRNTSTIVGEIWSVKPWNESGFFDRVQFRYGGRIQLQGLKYEYMQMDKVKKSCPMKNPRTSSNRGQYPYDVSPDMRYRLLAIEGSKGRIGWGSLDPLAVGDQQYQRFPFLLPSSSSKPNNPAVESDSNSGLLNISYKISITLSSSKLGGGLNPVNDSSNESLRTKIRISAEGVYDIATGSLCMVGCRHLRSSDKSSSSDSMDCEILVKINFPPLNSDMRNKIKGSIQSMREKTDPLSFKPLQFSGRSDYRSGILEQIVITDFESRSIWRMNFYLTTVQITFQLIGTLLLLCQLCSFRYNNRRSIFPSEESLILCDS >KJB54304 pep chromosome:Graimondii2_0_v6:9:2262689:2264329:-1 gene:B456_009G029900 transcript:KJB54304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTRGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAEKAMGTKDVRVDVKLNKHIWSRGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKVIDDDEE >KJB54305 pep chromosome:Graimondii2_0_v6:9:2262623:2264460:-1 gene:B456_009G029900 transcript:KJB54305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTRGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAEKAMGTKDVRVDVKLNKHIWSRGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKVIDDDEE >KJB54614 pep chromosome:Graimondii2_0_v6:9:3052268:3056343:-1 gene:B456_009G041600 transcript:KJB54614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLVSSKNLIRLQSAISRSFLQHSSKPISQTPFFIPIIPTNTINLYNQRYQNLQFQIRSNSTSIMSSSAFKPEQARLPPALPLPSPPLTKFKIGICQLAVTPDKERNIQHARKAIEEAAQKGAQLVVLPEIWNSPYSNDGFPVYAEDIDAGHDASPSTAMLSEVSSRLKITVVGGSIPERCGDKLYNTCCVFGTDGKLKAKHRKIHLFDIDIPGKITFMESKTLTAGETPTIVDTDVGRIGVGICYDIRFQELAMIYASRGAHLLCYPGAFNMTTGPLHWELLQRARAVDNQLYVATCSPARDTGAGYVAWGHSTLVGPFGEVLATTEHDEDIIIAEIDYSILEQRRANLPLAKQRRGDLYQLVDIRRVSAH >KJB54616 pep chromosome:Graimondii2_0_v6:9:3052369:3056272:-1 gene:B456_009G041600 transcript:KJB54616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLVSSKNLIRLQSAISRSFLQHSSKPISQTPFFIPIIPTNTINLYNQRYQNLQFQIRSNSTSIMSSSAFKPEQARLPPALPLPSPPLTKFKIGICQLAVTPDKERNIQHARKAIEEAAQKGAQLVVLPEIWNSPYSNDGFPVYAEDIDAGHDASPSTAMLSEVSSRLKITVVGGSIPERCGDKLYNTCCVFGTDGKLKAKHRKIHLFDIDIPGKITFMESKTLTAGETPTIVDTDVGRIGVGICYDIRFQELAMIYASRGAHLLCYPGAFNMTTGPLHWELLQRASYMWQLVHLLEILELVMWLGVTQPL >KJB54615 pep chromosome:Graimondii2_0_v6:9:3052856:3056269:-1 gene:B456_009G041600 transcript:KJB54615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLVSSKNLIRLQSAISRSFLQHSSKPISQTPFFIPIIPTNTINLYNQRYQNLQFQIRSNSTSIMSSSAFKPEQARLPPALPLPSPPLTKFKIGICQLAVTPDKERNIQHARKAIEEAAQKGAQLVVLPEIWNSPYSNDGFPVYAEDIDAGHDASPSTAMLSEVSSRLKITVVGGSIPERCGDKLYNTCCVFGTDGKLKAKHRKIHLFDIDIPGKITFMESKTLTAGETPTIVDTDVGRIGVGICYDIRFQELAMIYASRGAHLLCYPGAFNMTTGPLHWELLQRARAVDNQLYVATCSPARDTGAGYVAWGHSTLVGPVIWRSPSHN >KJB53752 pep chromosome:Graimondii2_0_v6:9:302581:309992:-1 gene:B456_009G003300 transcript:KJB53752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP59 [Source:Projected from Arabidopsis thaliana (AT1G53720) UniProtKB/Swiss-Prot;Acc:Q6Q151] MDEQLGASELEEVLRAKDAHSSAVVLESIGDIPDAEIKPPENVLFVCKLNPVTEDEDLHTIFSRFGTVVSAEVIRDYKTGDSLCYAFIEFETNEACEQAYFKMDNALIDDRRIHVDFSQSVAKLWSQYRRKDQQKGKGGGCFKCGAIDHVAKDCTGGPSTQLQNSKYILKDENAQHGGDNSSRYEMVFDGDAPETPQQGKMDLSHEFDGNERRYKINRNSSHTMEGKDFNDKDKQRNRSRDYRADMSRSGGWRDEKHLKDQFDGERHVDRQRGRDEQSHRKSSSNIRGDDRRGDAGNRKRYADDNSPPNRRQDEHRKRSRDDDAYTDKKGESDYLKKYADSNRRDSRNESSYRRSGANDHGHKDRREERTDRHRRTESDDDHDRDRRWHRRTESDDDHDRDKRSRGDRKR >KJB53753 pep chromosome:Graimondii2_0_v6:9:302581:310856:-1 gene:B456_009G003300 transcript:KJB53753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP59 [Source:Projected from Arabidopsis thaliana (AT1G53720) UniProtKB/Swiss-Prot;Acc:Q6Q151] MASAGENLNASQFYFTLRDDLDYLDGKHTVFGEVAEGLETLTRINEAYVDEKSRPYKNIRIKHTHILDDPFDDPPQLSELIPGASPEGKPKDEVDDDVRLEDDWVPMDEQLGASELEEVLRAKDAHSSAVVLESIGDIPDAEIKPPENVLFVCKLNPVTEDEDLHTIFSRFGTVVSAEVIRDYKTGDSLCYAFIEFETNEACEQAYFKMDNALIDDRRIHVDFSQSVAKLWSQYRRKDQQKGKGGGCFKCGAIDHVAKDCTGGPSTQLQNSKYILKDENAQHGGDNSSRYEMVFDGDAPETPQQGKMDLSHEFDGNERRYKINRNSSHTMEGKDFNDKDKQRNRSRDYRADMSRSGGWRDEKHLKDQFDGERHVDRQRGRDEQSHRKSSSNIRGDDRRGDAGNRKRYADDNSPPNRRQDEHRKRSRDDDAYTDKKGESDYLKKYADSNRRDSRNESSYRRSGANDHGHKDRREERTDRHRRTESDDDHDRDRRWHRRTESDDDHDRDKRSRGDRKR >KJB53751 pep chromosome:Graimondii2_0_v6:9:302484:311300:-1 gene:B456_009G003300 transcript:KJB53751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP59 [Source:Projected from Arabidopsis thaliana (AT1G53720) UniProtKB/Swiss-Prot;Acc:Q6Q151] MSVLIVTSLGDIVVDLFTDKCPLTCKNFLKLCKIKYYHGCLFHTVQKDFTAQTGDPTGTGSGGDSVYKFLYGDQARFFGDEIHHDIKHSKTGTVAMASAGENLNASQFYFTLRDDLDYLDGKHTVFGEVAEGLETLTRINEAYVDEKSRPYKNIRIKHTHILDDPFDDPPQLSELIPGASPEGKPKDEVDDDVRLEDDWVPMDEQLGASELEEVLRAKDAHSSAVVLESIGDIPDAEIKPPENVLFVCKLNPVTEDEDLHTIFSRFGTVVSAEVIRDYKTGDSLCYAFIEFETNEACEQAYFKMDNALIDDRRIHVDFSQSVAKLWSQYRRKDQQKGKGGGCFKCGAIDHVAKDCTGGPSTQLQNSKYILKDENAQHGGDNSSRYEMVFDGDAPETPQQGKMDLSHEFDGNERRYKINRNSSHTMEGKDFNDKDKQRNRSRDYRADMSRSGGWRDEKHLKDQFDGERHVDRQRGRDEQSHRKSSSNIRGDDRRGDAGNRKRYADDNSPPNRRQDEHRKRSRDDDAYTDKKGESDYLKKYADSNRRDSRNESSYRRSGANDHGHKDRREERTDRHRRTESDDDHDRDRRWHRRTESDDDHDRDKRSRGDRKR >KJB61143 pep chromosome:Graimondii2_0_v6:9:40138111:40140665:-1 gene:B456_009G343000 transcript:KJB61143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSRKNQASSSSGGGGGEGNQDGITDEEALSNITKQKVAAAKQYIENHYKEQMNLQDRKERYKDEPSIMKYIKIAATLPDKTVRDVALRC >KJB59171 pep chromosome:Graimondii2_0_v6:9:19436317:19441130:-1 gene:B456_009G242800 transcript:KJB59171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVVLNEPSDVVLNAKKKKSDEEKDQLVVAVTTPTDHHHRSRSQAATRRVTPTTTAASFFTTGSGDTVEKFLPNGDLYIGSFSTNAPHGSGKYLWKDGCMYEGEWRRGKANGKGKFSWPSGATFEGDFKSGRMEGFGTFIGSDGDTYRGSWSSDLKHGYGHKCYANGDYYEGSWRKNLQEGHGRYVWSNGIEYVGEWKNGVISGRGTLIWANGNRYDGQWENGMPKGDGVFSWPDGSCYIGAWNGDNMKKTQKLNGTFYHGNDGKEHCLKGGESLVLMPRKRSSVDGRGSLGERNMNFPRICIWESDGEAGDITCDIIDNVEASMIYRDGFRQFRKNPCCFSGEIKKPGQTISKGHKNYELMLNLQLGIRYSVGKDASILRDLKPSDFDPKEKFWTRFPVEGSKLTPPHQSVEFRWKDYCPVVFRHLRDLFQVDPADYMVAICGSDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLIRMLPSYYQHVSKYENSLVTKFFGVHCVKPIGGQKTRFIVMGNLFCSDYRIHRRFDLKGSSHGRSTDKPEEEIDETTTLKDLDLNYVFRLQRNWFQELMKQIDRDCEFLEAERIMDYSLLVGLHFRDDNRGDKMGLSPFLLRTGKKDSYQNEKFMRGCRFLEAELQDMDRILAGQKPLIRLGANMPARAVRMSRKSDFDQYTQGGVGLFSHSGEVYEVVLYFGIIDILQDYDISKKLEHAYKSLQADPSSISAVGPKLYSKRFRDFIGRIFIEDE >KJB54724 pep chromosome:Graimondii2_0_v6:9:3366588:3368181:1 gene:B456_009G046500 transcript:KJB54724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSLPKEGNRGQMNMEGIEGDATVGGAGRYILNPTRINSEDIIFCVDVDAESLVEMKTAGVTRLDSIKQAILLFVNSKLSINPDHRFAFATLSKTASWLRKEFSSDVESTIAAVRALSATTVSTGQADLTNLFRLAAHEAKKSRAQNRILRVILIYCRSSIRPHHQWPVNQKLFTLDVMYLHDKPGPDNCPQAVYDALVDALEHVSEYEGYIHESGHGLPRTLFRFMSMLLSHPQQRCPQDDCDIPKPLMKKSAESANGEDNNVHVSTSR >KJB54725 pep chromosome:Graimondii2_0_v6:9:3366637:3368099:1 gene:B456_009G046500 transcript:KJB54725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSLPKEGNRGQMNMEGIEGDATVGGAGRYILNPTRINSEDIIFCVDVDAESLVEMKTAGVTRLDSIKQAILLFVNSKLSINPDHRFAFATLSKTASWILIYCRSSIRPHHQWPVNQKLFTLDVMYLHDKPGPDNCPQAVYDALVDALEHVSEYEGYIHESGHGLPRTLFRFMSMLLSHPQQRCPQDDCDIPKPLMKKSAESANGEDNNVHVSTSR >KJB55753 pep chromosome:Graimondii2_0_v6:9:6754382:6766242:-1 gene:B456_009G092400 transcript:KJB55753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin family protein [Source: Projected from Oryza sativa (Os05g0153000)] MAFYSKDVDPVFHGAGAKPGLEIWCIEKLRLVPVPKSSIGKFYSGSAYVVLSTSVLKSGPTQHDIHYWLGNDANEVDSALASDKALELDAALGSCAVQYREVQGQETEKFLSYFKPCIIPVEGVFTTQEGALNGDTYQVTLLTCKGDHVAHVKEVPFSRSSLNHNDVFILDTASKIFLFCGCNSSIQERAKSLEVVQYIKENKHSGKCEVATIEDGKLVGDSDVGEFWSLFGGYAPIPRDSALGGPQQVDSPVILFWISLQGKLSQIGSDSLEKDMLEKNKCYMLDCGAEVFVWMGRNTLITERKTSISAAEDFLRKQERSNGTHLTFLTEGLETSIFKSYFNSWPQTAETKLYDEGREKVAAIFKHQGYEVKELPEEDVQSYINCRGTLKVWRVNGHELSLLPASEQTKLYSGDCYIVQYTYPGTERDESLFYAWLGQGSVLEDRADAVFHMDAIVDSARGDPVMAQIAQNKEPLQFFLIFQTLIVYKGGISAGYKKFVAETGVDDYTYDEKKTALFRVQGTGPENMQAIQVDHVSSSLNSSYCYILQSGTSVFTWIGNLTSSKDHDLLDRMIELINPAWQPISVREGSEPDSFWSSLGGKTEYPREKEMKKFIEDPHLFKFTCTEGDFKVKEIYSFTQDDLTTEDVLVLDCHKEIYVWIGRHSTIKSKQEALNLGLKFLQTNILEEELSLEAPIYVVTEGHEPPFFTCFFEWDPSKANMHGNSFERKLATLKGKTSSGTETYDQATPDLSFTVIL >KJB55756 pep chromosome:Graimondii2_0_v6:9:6759024:6766242:-1 gene:B456_009G092400 transcript:KJB55756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin family protein [Source: Projected from Oryza sativa (Os05g0153000)] MAFYSKDVDPVFHGAGAKPGLEIWCIEKLRLVPVPKSSIGKFYSGSAYVVLSTSVLKSGPTQHDIHYWLGNDANEVDSALASDKALELDAALGSCAVQYREVQGQETEKFLSYFKPCIIPVEGVFTTQEGALNGDTYQVTLLTCKGDHVAHVKEVPFSRSSLNHNDVFILDTASKIFLFCGCNSSIQERAKSLEVVQYIKENKHSGKCEVATIEDGKLVGDSDVGEFWSLFGGYAPIPRDSALGGPQQVDSPVILFWISLQGKLSQIGSDSLEKDMLEKNKCYMLDCGAEVFVWMGRNTLITERKTSISAAEDFLRKQERSNGTHLTFLTEGLETSIFKSYFNSWPQTAETKLYDEGREKVAAIFKHQGYEVKELPEEDVQSYINCRGTLKVWRVNGHELSLLPASEQTKLYSGDCYIVQYTYPGTERDESLFYAWLGQGSVLEDRADAVFHMDAIVDSARGDPVMAQIAQNKEPLQFFLIFQTLIVYKGGISAGYKKFVAETGVDDYTYDEKKTALFRVQGTGPENMQAIQVDHVSSSLNSSYCYILQSGTSVFTWIGNLTSSKDHDLLDRMIELINPAWQPISVREGSEPDSFWSSLGGKTEYPREKEMKKFIEDPHLFKFTCTEEVFWL >KJB55754 pep chromosome:Graimondii2_0_v6:9:6754329:6766487:-1 gene:B456_009G092400 transcript:KJB55754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin family protein [Source: Projected from Oryza sativa (Os05g0153000)] MAFYSKDVDPVFHGAGAKPGLEIWCIEKLRLVPVPKSSIGKFYSGSAYVVLSTSVLKSGPTQHDIHYWLGNDANEVDSALASDKALELDAALGSCAVQYREVQGQETEKFLSYFKPCIIPVEGVFTTQEGALNGDTYQVTLLTCKGDHVAHVKEVPFSRSSLNHNDVFILDTASKIFLFCGCNSSIQERAKSLEVVQYIKENKHSGKCEVATIEDGKLVGDSDVGEFWSLFGGYAPIPRDSALGGPQQVDSPVILFWISLQGKLSQIGSDSLEKDMLEKNKCYMLDCGAEVFVWMGRNTLITERKTSISAAEDFLRKQERSNGTHLTFLTEGLETSIFKSYFNSWPQTAETKLYDEGREKVAAIFKHQGYEVKELPEEDVQSYINCRGTLKVWRVNGHELSLLPASEQTKLYSGDCYIVQYTYPGTERDESLFYAWLGQGSVLEDRADAVFHMDAIVDSARGDPVMAQIAQNKEPLQFFLIFQTLIVYKGGISAGYKKFVAETGVDDYTYDEKKTALFRVQGTGPENMQAIQVDHVSSSLNSSYCYILQSGTSVFTWIGNLTSSKDHDLLDRMIELINPAWQPISVREGSEPDSFWSSLGGKTEYPREKEMKKFIEDPHLFKFTCTEGDFKVKEIYSFTQDDLTTEDVLVLDCHKEIYVWIGRHSTIKSKQEALNLGLKFLQTNILEEELSLEAPIYVVTEGHEPPFFTCFFEWDPSKANMHGNSFERKLATLKGKTSSGTAPSRNALKPRSREATPDGLRSRSSSSNGWERSFSPASTVSGSHLKFSDNYSVSSPTPVARKLFTGSSPYQDSPVIEPSSPSTNENSHQIDANETSANSLIYPYQRLTVSSTEPVSGIDVTKREAYLSEEEFAEKIGMPKGAFYKLPKWRQNKLKMAVDLF >KJB55755 pep chromosome:Graimondii2_0_v6:9:6757150:6766193:-1 gene:B456_009G092400 transcript:KJB55755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin family protein [Source: Projected from Oryza sativa (Os05g0153000)] MAFYSKDVDPVFHGAGAKPGLEIWCIEKLRLVPVPKSSIGKFYSGSAYVVLSTSVLKSGPTQHDIHYWLGNDANEVDSALASDKALELDAALGSCAVQYREVQGQETEKFLSYFKPCIIPVEGVFTTQEGALNGDTYQVTLLTCKGDHVAHVKEVPFSRSSLNHNDVFILDTASKIFLFCGCNSSIQERAKSLEVVQYIKENKHSGKCEVATIEDGKLVGDSDVGEFWSLFGGYAPIPRDSALGGPQQVDSPVILFWISLQGKLSQIGSDSLEKDMLEKNKCYMLDCGAEVFVWMGRNTLITERKTSISAAEDFLRKQERSNGTHLTFLTEGLETSIFKSYFNSWPQTAETKLYDEGREKVAAIFKHQGYEVKELPEEDVQSYINCRGTLKVWRVNGHELSLLPASEQTKLYSGDCYIVQYTYPGTERDESLFYAWLGQGSVLEDRADAVFHMDAIVDSARGDPVMAQIAQNKEPLQFFLIFQTLIVYKGGISAGYKKFVAETGVDDYTYDEKKTALFRVQGTGPENMQAIQVDHVSSSLNSSYCYILQSGTSVFTWIGNLTSSKDHDLLDRMIELINPAWQPISVREGSEPDSFWSSLGGKTEYPREKEMKKFIEDPHLFKFTCTEGDFKVKEIYSFTQDDLTTEDVLVLDCHKEIYVWIGRHSTIKSKQEALNLGLKFLQTNILEEELSLEAPIYVVTEGHEPPFFTCFFEWDPSKANMHGNSFERKLATLKGKTSSGTVSDCC >KJB56928 pep chromosome:Graimondii2_0_v6:9:10991568:10995082:1 gene:B456_009G145000 transcript:KJB56928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMTMIARVTDGLPLAEGLDDGRDLVDAEMYKQQVKALFKNLSKGHNEASRMSIETGPYVFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGAQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKARDLNRQALIRKWAPVAIVLGVVFLLFWVKAKLW >KJB56926 pep chromosome:Graimondii2_0_v6:9:10991701:10994995:1 gene:B456_009G145000 transcript:KJB56926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMTMIARVTDGLPLAEGLDDGRDLVDAEMYKQQVKALFKNLSKGHNEASRMSIETGPYVFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGAQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKARDLNRQFVICFLLHVGFDSKVGSCCHCVGSCVPPLLGESKVMVIQL >KJB56927 pep chromosome:Graimondii2_0_v6:9:10991701:10995378:1 gene:B456_009G145000 transcript:KJB56927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMTMIARVTDGLPLAEGLDDGRDLVDAEMYKQQVKALFKNLSKGHNEASRMSIETGPYVFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGAQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKARDLNRQALIRKWAPVAIVLGVVFLLFWVKAKLW >KJB56925 pep chromosome:Graimondii2_0_v6:9:10992151:10995000:1 gene:B456_009G145000 transcript:KJB56925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMTMIARVTDGLPLAEGLDDGRDLVDAEMYKQQVKALFKNLSKGHNEASRMSIETGPYVFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGAQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKARDLNRQALIRKWAPVAIVLGVVFLLFWVKAKLW >KJB54599 pep chromosome:Graimondii2_0_v6:9:2996148:2997146:1 gene:B456_009G040500 transcript:KJB54599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRGACRSNRSSFEKWVAIVLTFLAVVSPLYVNQKPTELELEEQSMDFTSWLCLLFVLLLLAFAFWLYLNQSFTRFDRHWIRPSRYSSYERLVAIGLIVLGVLSPLYINKGTSELEPDEQPINFASWLPLLLLILTLAIATLLFCDRGCTKFDPNWIHRVGGSSAGILLVLLILAVVLKCKNAG >KJB55162 pep chromosome:Graimondii2_0_v6:9:4714195:4720412:-1 gene:B456_009G066300 transcript:KJB55162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICEMETDPTEDMNVEVLCSMWPEDIEHEAGKQFNVEKPRGDQDMLEEVTIVGEPTIVDFQHLIELTNYTDKGSSQLAYLVKHWEYKQANAVRLLREELDNLSRQRQESELKKLEILEEHRFEEERYGGDKRSISILDGIYDIWQEVPRRKKNVVVPSKRVEIDAEYDTVIYWKQRAMHLEKMLEASMQREQLLKEKLQESIKNLEKQSSPVEELSQILKRADNFLHFVLQTAPVVFGHQDKELRYRFIYNHFPSLQEEDILGKTDVEIFTGSGVKESQDFKKEVMDKGLPAKREITFETELFGSKTFLIYVEPVFSKAGETIGINYMGMDITDQVRKRERMIKIREEIAVQKAKETELNRTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILATTKLDREQRQLLNVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKILTLEGNVADDVPIEVIGDVLRIRQILTNLISNAIKFTHEGKVGVKLYVVPEPPFAKEGSQHGSDGSTANQTTTNVPKEETCTSTSQTSSDQRGFHGKKHEGSCQNRSQSEPSTPVMNGKIDVTEEQAELPETTVWIRCDVYDTGIGIPENALPTLFKKYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSRVHCGSTFTFILPYKVSLSCDHSDDSDDPDDLSDMDDHGDSDDDATNGFFQFQPRTLGSLFSSNGSSRTQKLLAHNMGHVNSHKINGFSENYCSFPTSNGQAKEMDLVEDACSVAEALEISSEPECSFSHSPDHDSESAICRGRRHHNDVNAENKVSSIDVSTYSETSTEVDVKVKISEPQLPAERQGRSDSGSQSTLNTSQEVSNSISKPKILLVEDNKINVLVTQSMMKQLGHTIDVVNNGVQAVRAIQCRSYDLVLMVLPSGSFNSYLSFLPYRI >KJB55163 pep chromosome:Graimondii2_0_v6:9:4714195:4721572:-1 gene:B456_009G066300 transcript:KJB55163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICEMETDPTEDMNVEVLCSMWPEDIEHEAGKQFNVEKPRGDQDMLEEVTIVGEPTIVDFQHLIELTNYTDKGSSQLAYLVKHWEYKQANAVRLLREELDNLSRQRQESELKKLEILEEHRFEEERYGGDKRSISILDGIYDIWQEVPRRKKNVVVPSKRVEIDAEYDTVIYWKQRAMHLEKMLEASMQREQLLKEKLQESIKNLEKQSSPVEELSQILKRADNFLHFVLQTAPVVFGHQDKELRYRFIYNHFPSLQEEDILGKTDVEIFTGSGVKESQDFKKEVMDKGLPAKREITFETELFGSKTFLIYVEPVFSKAGETIGINYMGMDITDQVRKRERMIKIREEIAVQKAKETELNRTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILATTKLDREQRQLLNVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKILTLEGNVADDVPIEVIGDVLRIRQILTNLISNAIKFTHEGKVGVKLYVVPEPPFAKEGSQHGSDGSTANQTTTNVPKEETCTSTSQTSSDQRGFHGKKHEGSCQNRSQSEPSTPVMNGKIDVTEEQAELPETTVWIRCDVYDTGIGIPENALPTLFKKYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSRVHCGSTFTFILPYKVSLSCDHSDDSDDPDDLSDMDDHGDSDDDATNGFFQFQPRTLGSLFSSNGSSRTQKLLAHNMGHVNSHKINGFSENYCSFPTSNGQAKEMDLVEDACSVAEALEISSEPECSFSHSPDHDSESAICRGRRHHNDVNAENKVSSIDVSTYSETSTEVDVKVKISEPQLPAERQGRSDSGSQSTLNTSQEVSNSISKPKILLVEDNKINVLVTQSMMKQLGHTIDVVNNGVQAVRAIQCRSYDLVLMDVCMPVMDGLQATRLIRSFEETGNWDAAAKAGIERPSPSSDSLQPDCTPPKHIPIIAMTANALSESAEECFANGMDSFVSKPVTFQKLKECLEQYLP >KJB60437 pep chromosome:Graimondii2_0_v6:9:27672685:27684621:1 gene:B456_009G305300 transcript:KJB60437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MVDVLRVPFPVLQSSPSLSFKPRLCPLIPPSRRLHLKSNSLLFPLFCSSPSFFKLPLRCSSSSMPLQLSSLSQDSLLYSRAYWVTKTIIAWNVDVVEGSCYLYASKVAALSVTDNGIQGHDLEIKLEEDRSGLPPNVIEKFPHIRDYRAFKLPPVLDAKNLVKCQLAVAEFNSQGKCRNATGLQLPGVLDELFSYDGPLGAFYSAEAVSLCLWAPTAQAVYAHIYKDPVGGCPLEIIPLEETNGVWSTKGPKSWEGCYYMYEVSVYHPSTLHIEKCYANDPYARGLSADGKRTLFVNLHADDLKPEGWDELEDMKPDILSFSDISIYELHIRDFSANDDTVNADFRGGYMAFTLKDSAGVLHLKKLSKAGITHVHLLPTFQFAAVDDEKENWKYVDSKILEKLPSDSAEQQEKITAIQDDDAYNWGYNPVLWGVPKGSYSSDPNGPCRIVEFRKMIQALTHIGLRVVLDVVYNHLHANGPFDKDSVLDKIVPGYYLRRNTDGFIENSTCVNNTASEHYMVERLIIDDLLSWAINYKVDGFRFDLMGHIMKRTMVKAKDALCSLTKERDGVDGSRIYIYGEGWDFGEVAKNGRGINASQFNLCGTGIGSFNDRIRDAMLGGSPFGPPLQQGFVTGLLLEPNGHDHGTKDVEKAMLASAKDHIQVGLAANLRGFVLTDFEGKERKGSEVLCYGGTPVGYAFCPTETVNYVSAHDNETLFDIISLKTPVGISVEDRCRMNHFATSIIALTQVFPFLVIKFLHICIDLWS >KJB60435 pep chromosome:Graimondii2_0_v6:9:27672576:27686829:1 gene:B456_009G305300 transcript:KJB60435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MVDVLRVPFPVLQSSPSLSFKPRLCPLIPPSRRLHLKSNSLLFPLFCSSPSFFKLPLRCSSSSMPLQLSSLSQDSLLYSRAYWVTKTIIAWNVDVVEGSCYLYASKVAALSVTDNGIQGHDLEIKLEEDRSGLPPNVIEKFPHIRDYRAFKLPPVLDAKNLVKCQLAVAEFNSQGKCRNATGLQLPGVLDELFSYDGPLGAFYSAEAVSLCLWAPTAQAVYAHIYKDPVGGCPLEIIPLEETNGVWSTKGPKSWEGCYYMYEVSVYHPSTLHIEKCYANDPYARGLSADGKRTLFVNLHADDLKPEGWDELEDMKPDILSFSDISIYELHIRDFSANDDTVNADFRGGYMAFTLKDSAGVLHLKKLSKAGITHVHLLPTFQFAAVDDEKENWKYVDSKILEKLPSDSAEQQEKITAIQDDDAYNWGYNPVLWGVPKGSYSSDPNGPCRIVEFRKMIQALTHIGLRVVLDVVYNHLHANGPFDKDSVLDKIVPGYYLRRNTDGFIENSTCVNNTASEHYMVERLIIDDLLSWAINYKVDGFRFDLMGHIMKRTMVKAKDALCSLTKERDGVDGSRIYIYGEGWDFGEVAKNGRGINASQFNLCGTGIGSFNDRIRDAMLGGSPFGPPLQQGFVTGLLLEPNGHDHGTKDVEKAMLASAKDHIQVGLAANLRGFVLTDFEGKERKGSEVLCYGGTPVGYAFCPTETVNYVSAHDNETLFDIISLKTPVGISVEDRCRMNHFATSIIALTQGIPFFHAGDEMLRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPKQKNENSWPLIQPRLADPSFKPQRSHILAAVENFTDVLCIRYSSPLFRLRTANAIQERVRFHNTGPSWVPGFIVMSIEDGNEGIPGLSQLDPIFSYIVVVFNACPTEESFSSPTLRGRTLQLHPIQVTSTDETVKKSSYEASTGCFTVPARTTSVFVEPRKI >KJB60443 pep chromosome:Graimondii2_0_v6:9:27672685:27686784:1 gene:B456_009G305300 transcript:KJB60443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MVDVLRVPFPVLQSSPSLSFKPRLCPLIPPSRRLHLKSNSLLFPLFCSSPSFFKLPLRCSSSSMPLQLSSLSQDSLLYSRAYWVTKTIIAWNVDVVEGSCYLYASKVAALSVTDNGIQGHDLEIKLEEDRSGLPPNVIEKFPHIRDYRAFKLPPVLDAKNLVKCQLAVAEFNSQGKCRNATGLQLPGVLDELFSYDGPLGAFYSAEAVSLCLWAPTAQAVYAHIYKDPVGGCPLEIIPLEETNGVWSTKGPKSWEGCYYMYEVSVYHPSTLHIEKCYANDPYARGLSADGKRTLFVNLHADDLKPEGWDELEDMKPDILSFSDISIYELHIRDFSANDDTVNADFRGGYMAFTLKDSAGVLHLKKLSKAGITHVHLLPTFQFAAVDDEKENWKYVDSKILEKLPSDSAEQQEKITAIQDDDAYNWGYNPVLWGVPKGSYSSDPNGPCRIVEFRKMIQALTHIGLRVVLDVVYNHLHANGPFDKDSVLDKIVPGYYLRRNTDGFIENSTCVNNTASEHYMVERLIIDDLLSWAINYKVDGFRFDLMGHIMKRTMVKAKDALCSLTKERDGVDGSRIYIYGEGWDFGEVAKNGRGINASQFNLCGTGIGSFNDRIRDAMLGGSPFGPPLQQGFVTGLLLEPNGHDHGTKDVEKAMLASAKDHIQVGLAANLRGFVLTDFEGKERKGSEVLCYGGTPVGYAFCPTETVNYVSAHDNETLFDIISLKTPVGISVEDRCRMNHFATSIIALTQGIPFFHAGDEMLRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPKQKNENSWPLIQPRLADPSFKPQRSHILAAVENFTDVLCIRYSSPLFRLRTANAIQVRKAIYNFAFTRFSLRFYDIVVELNQGYSFVC >KJB60434 pep chromosome:Graimondii2_0_v6:9:27672685:27686784:1 gene:B456_009G305300 transcript:KJB60434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MVDVLRVPFPVLQSSPSLSFKPRLCPLIPPSRRLHLKSNSLLFPLFCSSPSFFKLPLRCSSSSMPLQLSSLSQDSLLYSRAYWVTKTIIAWNVDVVEGSCYLYASKVAALSVTDNGIQGHDLEIKLEEDRSGLPPNVIEKFPHIRDYRAFKLPPVLDAKNLVKCQLAVAEFNSQGKCRNATGLQLPGVLDELFSYDGPLGAFYSAEAVSLCLWAPTAQAVYAHIYKDPVGGCPLEIIPLEETNGVWSTKGPKSWEGCYYMYEVSVYHPSTLHIEKCYANDPYARGLSADGKRTLFVNLHADDLKPEGWDELEDMKPDILSFSDISIYELHIRDFSANDDTVNADFRGGYMAFTLKDSAGVLHLKKLSKAGITHVHLLPTFQFAAVDDEKENWKYVDSKILEKLPSDSAEQQEKITAIQDDDAYNWGYNPVLWGVPKGSYSSDPNGPCRIVEFRKMIQALTHIGLRVVLDVVYNHLHANGPFDKDSVLDKIVPGYYLRRNTDGFIENSTCVNNTASEHYMVERLIIDDLLSWAINYKVDGFRFDLMGHIMKRTMVKAKDALCSLTKERDGVDGSRIYIYGEGWDFGEVAKNGRGINASQFNLCGTGIGSFNDRIRDAMLGGSPFGPPLQQGFVTGLLLEPNGHDHGTKDVEKAMLASAKDHIQVGLAANLRGFVLTDFEGKERKGSEVLCYGGTPVGYAFCPTETVNYVSAHDNETLFDIISLKTPVGISVEDRCRMNHFATSIIALTQGIPFFHAGDEMLRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPKQKNENSWPLIQPRLADPSFKPQRSHILAAVENFTDVLCIRYSSPLFRLRTANAIQLPFVTLRTHHSETEDQRLLPPVSFSLFKNGSIKRNEYGSTTPVHHGSLASL >KJB60438 pep chromosome:Graimondii2_0_v6:9:27672576:27686829:1 gene:B456_009G305300 transcript:KJB60438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MVDVLRVPFPVLQSSPSLSFKPRLCPLIPPSRRLHLKSNSLLFPLFCSSPSFFKLPLRCSSSSMPLQLSSLSQDSLLYSRAYWVTKTIIAWNVDVVEGSCYLYASKVAALSVTDNGIQGHDLEIKLEEDRSGLPPNVIEKFPHIRDYRAFKLPPVLDAKNLVKCQLAVAEFNSQGKCRNATGLQLPGVLDELFSYDGPLGAFYSAEAVSLCLWAPTAQAVYAHIYKDPVGGCPLEIIPLEETNGVWSTKGPKSWEGCYYMYEVSVYHPSTLHIEKCYANDPYARGLSADGKRTLFVNLHADDLKPEGWDELEDMKPDILSFSDISIYELHIRDFSANDDTVNADFRGGYMAFTLKDSAGVLHLKKLSKAGITHVHLLPTFQFAAVDDEKENWKYVDSKILEKLPSDSAEQQEKITAIQDDDAYNWGYNPVLWGVPKGSYSSDPNGPCRIVEFRKMIQALTHIGLRVVLDVVYNHLHANGPFDKDSVLDKIVPGYYLRRNTDGFIENSTCVNNTASEHYMVERLIIDDLLSWAINYKVDGFRFDLMGHIMKRTMVKAKDALCSLTKERDGVDGSRIYIYGEGWDFGEVAKNGRGINASQFNLCGTGIGSFNDRIRDAMLGGSPFGPPLQQGFVTGLLLEPNGHDHGTKDVEKAMLASAKDHIQVGLAANLRGFVLTDFEGKERKGSEVLCYGGTPVGYAFCPTETVNYVSAHDNETLFDIISLKTPVGISVEDRCRMNHFATSIIALTQGIPFFHAGDEMLRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPKQKNENSWPLIQPRLADPSFKPQRSHILAAVENFTDVLCIRYSSPLFRLRTANAIQERVRFHNTGPSWVPGFIVMSIEDGNEGIPGLSQLDPIFSYIVVVFNACPTEESFSSPTLRGRTLQLHPIQVTSTDETVKKSSYEASTGCFTVPARTTSVFVEPRKI >KJB60436 pep chromosome:Graimondii2_0_v6:9:27672685:27683124:1 gene:B456_009G305300 transcript:KJB60436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MVDVLRVPFPVLQSSPSLSFKPRLCPLIPPSRRLHLKSNSLLFPLFCSSPSFFKLPLRCSSSSMPLQLSSLSQDSLLYSRAYWVTKTIIAWNVDVVEGSCYLYASKVAALSVTDNGIQGHDLEIKLEEDRSGLPPNVIEKFPHIRDYRAFKLPPVLDAKNLVKCQLAVAEFNSQGKCRNATGLQLPGVLDELFSYDGPLGAFYSAEAVSLCLWAPTAQAVYAHIYKDPVGGCPLEIIPLEETNGVWSTKGPKSWEGCYYMYEVSVYHPSTLHIEKCYANDPYARGLSADGKRTLFVNLHADDLKPEGWDELEDMKPDILSFSDISIYELHIRDFSANDDTVNADFRGGYMAFTLKDSAGVLHLKKLSKAGITHVHLLPTFQFAAVDDEKENWKYVDSKILEKLPSDSAEQQEKITAIQDDDAYNWGYNPVLWGVPKGSYSSDPNGPCRIVEFRKMIQALTHIGLRVVLDVVYNHLHANGPFDKDSVLDKIVPGYYLRRNTDGFIENSTCVNNTASEHYMVERLIIDDLLSWAINYKVDGFRFDLMGHIMKRTMVKAKDALCSLTKERDGVDGSRIYIYGEGWDFGEVAKNGRGINASQFNLCGTGIGSFNDRIRDAMLGGSPFGPPLQQGFVTGLLLEPNGHDHGTKDVEKAMLASAKDHIQVGLAANLRGFVLTDFEGKERKGSEVLCYGGTPVGYAFCPTETVLILLSENTLLRFNVPGFVLKVCTLLSGVKFS >KJB60441 pep chromosome:Graimondii2_0_v6:9:27672685:27686784:1 gene:B456_009G305300 transcript:KJB60441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MVDVLRVPFPVLQSSPSLSFKPRLCPLIPPSRRLHLKSNSLLFPLFCSSPSFFKLPLRCSSSSMPLQLSSLSQDSLLYSRAYWVTKTIIAWNVDVVEGSCYLYASKVAALSVTDNGIQGHDLEIKLEEDRSGLPPNVIEKFPHIRDYRAFKLPPVLDAKNLVKCQLAVAEFNSQGKCRNATGLQLPGVLDELFSYDGPLGAFYSAEAVSLCLWAPTAQAVYAHIYKDPVGGCPLEIIPLEETNGVWSTKGPKSWEGCYYMYEVSVYHPSTLHIEKCYANDPYARGLSADGKRTLFVNLHADDLKPEGWDELEDMKPDILSFSDISIYELHIRDFSANDDTVNADFRGGYMAFTLKDSAGVLHLKKLSKAGITHVHLLPTFQFAAVDDEKENWKYVDSKILEKLPSDSAEQQEKITAIQDDDAYNWGYNPVLWGVPKGSYSSDPNGPCRIVEFRKMIQALTHIGLRVVLDVVYNHLHANGPFDKDSVLDKIVPGYYLRRNTDGFIENSTCVNNTASEHYMVERLIIDDLLSWAINYKVDGFRFDLMGHIMKRTMVKAKDALCSLTKERDGVDGSRIYIYGEGWDFGEVAKNGRGINASQFNLCGTGIGSFNDRIRDAMLGGSPFGPPLQQGFVTGLLLEPNGHDHGTKDVEKAMLASAKDHIQVGLAANLRGFVLTDFEGKERKGSEVLCYGGTPVGYAFCPTETVNYVSAHDNETLFDIISLKTPVGISVEDRCRMNHFATSIIALTQGIPFFHAGDEMLRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPKQKNENSWPLIQPRLADPSFKPQRSHILAAVENFTDVLCIRYSSPLFRLRTANAIQVRKAIYNFAFTRFSLRFYDIVVELNQGYSFVC >KJB60439 pep chromosome:Graimondii2_0_v6:9:27672685:27685585:1 gene:B456_009G305300 transcript:KJB60439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MVDVLRVPFPVLQSSPSLSFKPRLCPLIPPSRRLHLKSNSLLFPLFCSSPSFFKLPLRCSSSSMPLQLSSLSQDSLLYSRAYWVTKTIIAWNVDVVEGSCYLYASKVAALSVTDNGIQGHDLEIKLEEDRSGLPPNVIEKFPHIRDYRAFKLPPVLDAKNLVKCQLAVAEFNSQGKCRNATGLQLPGVLDELFSYDGPLGAFYSAEAVSLCLWAPTAQAVYAHIYKDPVGGCPLEIIPLEETNGVWSTKGPKSWEGCYYMYEVSVYHPSTLHIEKCYANDPYARGLSADGKRTLFVNLHADDLKPEGWDELEDMKPDILSFSDISIYELHIRDFSANDDTVNADFRGGYMAFTLKDSAGVLHLKKLSKAGITHVHLLPTFQFAAVDDEKENWKYVDSKILEKLPSDSAEQQEKITAIQDDDAYNWGYNPVLWGVPKGSYSSDPNGPCRIVEFRKMIQALTHIGLRVVLDVVYNHLHANGPFDKDSVLDKIVPGYYLRRNTDGFIENSTCVNNTASEHYMVERLIIDDLLSWAINYKVDGFRFDLMGHIMKRTMVKAKDALCSLTKERDGVDGSRIYIYGEGWDFGEVAKNGRGINASQFNLCGTGIGSFNDRIRDAMLGGSPFGPPLQQGFVTGLLLEPNGHDHGTKDVEKAMLASAKDHIQVGLAANLRGFVLTDFEGKERKGSEVLCYGGTPVGYAFCPTETVNYVSAHDNETLFDIISLKTPVGISVEDRCRMNHFATSIIALTQGIPFFHAGDEMLRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPKQKNENSWPLIQPRLADPSFKPQRSHILAAVENFTDVLCIRYSSPLFRLRTANAIQVRKAIYNFAFTRFSLRFYDIVVELNQGYSFVC >KJB60444 pep chromosome:Graimondii2_0_v6:9:27672685:27685585:1 gene:B456_009G305300 transcript:KJB60444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MVDVLRVPFPVLQSSPSLSFKPRLCPLIPPSRRLHLKSNSLLFPLFCSSPSFFKLPLRCSSSSMPLQLSSLSQDSLLYSRAYWVTKTIIAWNVDVVEGSCYLYASKVAALSVTDNGIQGHDLEIKLEEDRSGLPPNVIEKFPHIRDYRAFKLPPVLDAKNLVKCQLAVAEFNSQGKCRNATGLQLPGVLDELFSYDGPLGAFYSAEAVSLCLWAPTAQAVYAHIYKDPVGGCPLEIIPLEETNGVWSTKGPKSWEGCYYMYEVSVYHPSTLHIEKCYANDPYARGLSADGKRTLFVNLHADDLKPEGWDELEDMKPDILSFSDISIYELHIRDFSANDDTVNADFRGGYMAFTLKDSAGVLHLKKLSKAGITHVHLLPTFQFAAVDDEKENWKYVDSKILEKLPSDSAEQQEKITAIQDDDAYNWGYNPVLWGVPKGSYSSDPNGPCRIVEFRKMIQALTHIGLRVVLDVVYNHLHANGPFDKDSVLDKIVPGYYLRRNTDGFIENSTCVNNTASEHYMVERLIIDDLLSWAINYKVDGFRFDLMGHIMKRTMVKAKDALCSLTKERDGVDGSRIYIYGEGWDFGEVAKNGRGINASQFNLCGTGIGSFNDRIRDAMLGGSPFGPPLQQGFVTGLLLEPNGHDHGTKDVEKAMLASAKDHIQVGLAANLRGFVLTDFEGKERKGSEVLCYGGTPVGYAFCPTETVNYVSAHDNETLFDIISLKTPVGISVEDRCRMNHFATSIIALTQGIPFFHAGDEMLRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPKQKNENSWPLIQPRLADPSFKPQRSHILAAVENFTDVLCIRYSSPLFRLRTANAIQVRKAIYNFAFTRFSLRFYDIVVELNQGYSFVC >KJB60445 pep chromosome:Graimondii2_0_v6:9:27672685:27686784:1 gene:B456_009G305300 transcript:KJB60445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MVDVLRVPFPVLQSSPSLSFKPRLCPLIPPSRRLHLKSNSLLFPLFCSSPSFFKLPLRCSSSSMPLQLSSLSQDSLLYSRAYWVTKTIIAWNVDVVEGSCYLYASKVAALSVTDNGIQGHDLEIKLEEDRSGLPPNVIEKFPHIRDYRAFKLPPVLDAKNLVKCQLAVAEFNSQGKCRNATGLQLPGVLDELFSYDGPLGAFYSAEAVSLCLWAPTAQAVYAHIYKDPVGGCPLEIIPLEETNGVWSTKGPKSWEGCYYMYEVSVYHPSTLHIEKCYANDPYARGLSADGKRTLFVNLHADDLKPEGWDELEDMKPDILSFSDISIYELHIRDFSANDDTVNADFRGGYMAFTLKDSAGVLHLKKLSKAGITHVHLLPTFQFAAVDDEKENWKYVDSKILEKLPSDSAEQQEKITAIQDDDAYNWGYNPVLWGVPKGSYSSDPNGPCRIVEFRKMIQALTHIGLRVVLDVVYNHLHANGPFDKDSVLDKIVPGYYLRRNTDGFIENSTCVNNTASEHYMVERLIIDDLLSWAINYKVDGFRFDLMGHIMKRTMVKAKDALCSLTKERDGVDGSRIYIYGEGWDFGEVAKNGRGINASQFNLCGTGIGSFNDRIRDAMLGGSPFGPPLQQGFVTGLLLEPNGHDHGTKDVEKAMLASAKDHIQVGLAANLRGFVLTDFEGKERKGSEVLCYGGTPVGYAFCPTETVNYVSAHDNETLFDIISLKTPVGISVEDRCRMNHFATSIIALTQGIPFFHAGDEMLRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPKQKNENSWPLIQPRLADPSFKPQRSHILAAVENFTDVLCIRNEYGSTTPVHHGSLASL >KJB60440 pep chromosome:Graimondii2_0_v6:9:27672685:27686784:1 gene:B456_009G305300 transcript:KJB60440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MVDVLRVPFPVLQSSPSLSFKPRLCPLIPPSRRLHLKSNSLLFPLFCSSPSFFKLPLRCSSSSMPLQLSSLSQDSLLYSRAYWVTKTIIAWNVDVVEGSCYLYASKVAALSVTDNGIQGHDLEIKLEEDRSGLPPNVIEKFPHIRDYRAFKLPPVLDAKNLVKCQLAVAEFNSQGKCRNATGLQLPGVLDELFSYDGPLGAFYSAEAVSLCLWAPTAQAVYAHIYKDPVGGCPLEIIPLEETNGVWSTKGPKSWEGCYYMYEVSVYHPSTLHIEKCYANDPYARGLSADGKRTLFVNLHADDLKPEGWDELEDMKPDILSFSDISIYELHIRDFSANDDTVNADFRGGYMAFTLKDSAGVLHLKKLSKAGITHVHLLPTFQFAAVDDEKENWKYVDSKILEKLPSDSAEQQEKITAIQDDDAYNWGYNPVLWGVPKGSYSSDPNGPCRIVEFRKMIQALTHIGLRVVLDVVYNHLHANGPFDKDSVLDKIVPGYYLRRNTDGFIENSTCVNNTASEHYMVERLIIDDLLSWAINYKVDGFRFDLMGHIMKRTMVKAKDALCSLTKERDGVDGSRIYIYGEGWDFGEVAKNGRGINASQFNLCGTGIGSFNDRIRDAMLGGSPFGPPLQQGFVTGLLLEPNGHDHGTKDVEKAMLASAKDHIQVGLAANLRGFVLTDFEGKERKGSEVLCYGGTPVGYAFCPTETVNYVSAHDNETLFDIISLKTPVGISVEDRCRMNHFATSIIALTQGIPFFHAGDEMLRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPKQKNENSWPLIQPRLADPSFKPQRSHILAAVENFTDVLCIRYSSPLFRLRTANAIQVRKAIYNFAFTRFSLRFYDIVVELNQGYSFVC >KJB60442 pep chromosome:Graimondii2_0_v6:9:27672685:27686784:1 gene:B456_009G305300 transcript:KJB60442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MVDVLRVPFPVLQSSPSLSFKPRLCPLIPPSRRLHLKSNSLLFPLFCSSPSFFKLPLRCSSSSMPLQLSSLSQDSLLYSRAYWVTKTIIAWNVDVVEGSCYLYASKVAALSVTDNGIQGHDLEIKLEEDRSGLPPNVIEKFPHIRDYRAFKLPPVLDAKNLVKCQLAVAEFNSQGKCRNATGLQLPGVLDELFSYDGPLGAFYSAEAVSLCLWAPTAQAVYAHIYKDPVGGCPLEIIPLEETNGVWSTKGPKSWEGCYYMYEVSVYHPSTLHIEKCYANDPYARGLSADGKRTLFVNLHADDLKPEGWDELEDMKPDILSFSDISIYELHIRDFSANDDTVNADFRGGYMAFTLKDSAGVLHLKKLSKAGITHVHLLPTFQFAAVDDEKENWKYVDSKILEKLPSDSAEQQEKITAIQDDDAYNWGYNPVLWGVPKGSYSSDPNGPCRIVEFRKMIQALTHIGLRVVLDVVYNHLHANGPFDKDSVLDKIVPGYYLRRNTDGFIENSTCVNNTASEHYMVERLIIDDLLSWAINYKVDGFRFDLMGHIMKRTMVKAKDALCSLTKERDGVDGSRIYIYGEGWDFGEVAKNGRGINASQFNLCGTGIGSFNDRIRDAMLGGSPFGPPLQQGFVTGLLLEPNGHDHGTKDVEKAMLASAKDHIQVGLAANLRGFVLTDFEGKERKGSEVLCYGGTPVGYAFCPTETVNYVSAHDNETLFDIISLKTPVGISVEDRCRMNHFATSIIALTQGIPFFHAGDEMLRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPKQKNENSWPLIQPRLADPSFKPQRSHILAAVENFTDVLCIRYSSPLFRLRTANAIQLPFVTLRTHHSETEDQRLLPPVSFSLFKNGSIKR >KJB62258 pep chromosome:Graimondii2_0_v6:9:61098364:61098837:-1 gene:B456_009G408700 transcript:KJB62258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTEQIKEEKNAPVVIRAVGRDEEGRKKVEKAEVPSRNMGTIKYVEKKLQDKGVQRMDRHPASGIGIGRPPPKSGRGGKYTWEGPDDMMENELSPVPAAIDEKDPNYVDEEEEGRILRGEKSDVSGFVVGEVEVTKTAQDRDGVARVEVDAHLMPN >KJB59912 pep chromosome:Graimondii2_0_v6:9:23721438:23721700:-1 gene:B456_009G2806002 transcript:KJB59912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGN >KJB57441 pep chromosome:Graimondii2_0_v6:9:12711592:12712425:-1 gene:B456_009G165600 transcript:KJB57441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGEEAAPNTRHACAACKHQRRKCESNCVFAPFFPASRAESFREVHKIFGVKNMTGILTPRTPDERKKAVESLEWEALAWTQDPVRGPLGLFRRLEEELQHLNDLLDQLVEPYQQNQINSAGLNGFNHNSVTGRVIVPNSNINATNIGYGSNPYLGIDVPPIDNYDGVPPISSDGLNENQLVVNNYFPGYYGIPDRLAVFPESGGVDNVAQTSTPSPTLPAKQETMAQRPQIWGPSRRPLTNNHFPLRNHRRGSQQRYQYGVLQRPASQSQGRGLH >KJB56697 pep chromosome:Graimondii2_0_v6:9:9964995:9971091:-1 gene:B456_009G132600 transcript:KJB56697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVSKVPPSLTLGSFKSSLSGRSTPKSSPTFRRLHSSRTPRREARSGAGGIQWFRSNRLVYWLLLITLWAYLGFYVQSRWAHGHKKEEFLGFTGDPRNKLLDAEKNARRDLLADDSLVAVNTGTNKTQVSSDRKFNVILAKKGNEVSSRKKRSKRARRNLHKMRGKPKEKMNNEISDTEDQEQEILLTNSTYRLLVGPFGSVEDRVLEWSPEKRSGTCDRKGHFARLVWSRRLVLVFHELSMTGAPISMMELATELLSCGATVSAVVLSKKGGLASELARRRIKVLEDRADLSFKTAMKADLVIAGSAVCASWIDQYIAHFPAGGSQIAWWIMENRREYFDRSKLVLHRVKMLIFLSELQSKQWLTWCQEENIKLRSQPALVPLAVNDELAFVAGIPCSLNTPSSSPEKMLEKRQLLRDAVRKEMGLKDNDMLVMSLSSINAGKGQLFLLEAAHLFIDQYPLQTGSEVKKSLDIRHDHSTLGVKHHLRGLVLKSSNGDLSSRDLRTRNSHRRKMLFDSQGTEEQALKVLIGSVGSKSNKISYVKEILRFLSQHAKLSESVLWTPTTTRVASLYSAADVYVMNSQGLGETFGRVTVEAMAFGLPVLGTDAGGTKEIVDHNVTGLLHPMGHPGTQVLAENLRFFLKNPSARKQMGMEGRKKVERNYLKKHMYKRFVEVLTRCMRSR >KJB56695 pep chromosome:Graimondii2_0_v6:9:9964995:9971091:-1 gene:B456_009G132600 transcript:KJB56695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVSKVPPSLTLGSFKSSLSGRSTPKSSPTFRRLHSSRTPRREARSGAGGIQWFRSNRLVYWLLLITLWAYLGFYVQSRWAHGHKKEEFLGFTGDPRNKLLDAEKNARRDLLADDSLVAVNTGTNKTQVSSDRKFNVILAKKGNEVSSRKKRSKRARRNLHKMRGKPKEKMNNEISDTEDQEQEILLTNSTYRLLVGPFGSVEDRVLEWSPEKRSGTCDRKGHFARLVWSRRLVLVFHELSMTGAPISMMELATELLSCGATVSAVVLSKKGGLASELARRRIKVLEDRADLSFKTAMKADLVIAGSAVCASWIDQYIAHFPAGGSQIAWWIMENRREYFDRSKLVLHRVKMLIFLSELQSKQWLTWCQEENIKLRSQPALVPLAVNDELAFVAGIPCSLNTPSSSPEKMLEKRQLLRDAVRKEMGLKDNDMLVMSLSSINAGKGQLFLLEAAHLFIDQYPLQTGSEVKKSLDIRHDHSTLGVKHHLRGLVLKSSNGDLSSRDLRTRNSHRRKMLFDSQGTEEQALKVLIGSVGSKSNKISYVKEILRFLSQHAKLSESVLWTPTTTRVASLYSAADVYVMNSQGLGETFGRVTVEAMAFGLPVLGTDAGGTKEIVDHNVTGLLHPMGHPGTQVLAENLRFFLKNPSARKQMGMEGRKKVERNYLKKHMYKRFVEVLTRCMRSR >KJB56696 pep chromosome:Graimondii2_0_v6:9:9965349:9969755:-1 gene:B456_009G132600 transcript:KJB56696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVSKVPPSLTLGSFKSSLSGRSTPKSSPTFRRLHSSRTPRREARSGAGGIQWFRSNRLVYWLLLITLWAYLGFYVQSRWAHGHKKEEFLGFTGDPRNKLLDAEKNARRDLLADDSLVAVNTGTNKTQVSSDRKFNVILAKKGNEVSSRKKRSKRARRNLHKMRGKPKEKMNNEISDTEDQEQEILLTNSTYRLLVGPFGSVEDRVLEWSPEKRSGTCDRKGHFARLVWSRRLVLVFHELSMTGAPISMMELATELLSCGATVSAVVLSKKGGLASELARRRIKVLEDRADLSFKTAMKADLVIAGSAVCASWIDQYIAHFPAGGSQIAWWIMENRREYFDRSKLVLHRVKMLIFLSELQSKQWLTWCQEENIKLRSQPALVPLAVNDELAFVAGIPCSLNTPSSSPEKMLEKRQLLRDAVRKEMGLKDNDMLVMSLSSINAGKGQLFLLEAAHLFIDQYPLQTGSEVKKSLDIRHDHSTLGVKHHLRGLVLKSSNGDLSSRDLRTRNSHRRKMLFDSQGTEEQALKVLIGSVGSKSNKISYVKEILRFLSQHAKLSESVLWTPTTTRVASLYSAADVYVMNSQGLGETFGRVTVEAMAFGLPVLGTDAGGTKEIVDHNVTGLLHPMGHPGTQVLAENLRFFLKNPSARKQMGMEGRKKVERNYLKKHMYKRFVEVLTRCMRSR >KJB56694 pep chromosome:Graimondii2_0_v6:9:9964995:9971274:-1 gene:B456_009G132600 transcript:KJB56694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVSKVPPSLTLGSFKSSLSGRSTPKSSPTFRRLHSSRTPRREARSGAGGIQWFRSNRLVYWLLLITLWAYLGFYVQSRWAHGHKKEEFLGFTGDPRNKLLDAEKNARRDLLADDSLVAVNTGTNKTQVSSDRKFNVILAKKGNEVSSRKKRSKRARRNLHKMRGKPKEKMNNEISDTEDQEQEILLTNSTYRLLVGPFGSVEDRVLEWSPEKRSGTCDRKGHFARLVWSRRLVLVFHELSMTGAPISMMELATELLSCGATVSAVVLSKKGGLASELARRRIKVLEDRADLSFKTAMKADLVIAGSAVCASWIDQYIAHFPAGGSQIAWWIMENRREYFDRSKLVLHRVKMLIFLSELQSKQWLTWCQEENIKLRSQPALVPLAVNDELAFVAGIPCSLNTPSSSPEKMLEKRQLLRDAVRKEMGLKDNDMLVMSLSSINAGKGQLFLLEAAHLFIDQYPLQTGSEVKKSLDIRHDHSTLGVKHHLRGLVLKSSNGDLSSRDLRTRNSHRRKMLFDSQGTEEQALKVLIGSVGSKSNKISYVKEILRFLSQHAKLSESVLWTPTTTRVASLYSAADVYVMNSQGLGETFGRVTVEAMAFGLPVLGTDAGGTKEIVDHNVTGLLHPMGHPGTQVLAENLRFFLKNPSARKQMGMEGRKKVERNYLKKHMYKRFVEVLTRCMRSR >KJB57647 pep chromosome:Graimondii2_0_v6:9:13371690:13372065:1 gene:B456_009G173500 transcript:KJB57647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLKEETKVMNKAEKRLKLLMKKLESLNSSGTKNPERTASKSQNAVPRISKNMEENASDTTTSIPSFKISFSRENSFSSRENSSFKSSSVHEDPKVDDTRTM >KJB56403 pep chromosome:Graimondii2_0_v6:9:8730265:8730647:-1 gene:B456_009G118200 transcript:KJB56403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLFTLSITEPDLVCYKGFAFSIDSYRLNQKQFLNEVFNSMDESKKKSLSALPPIFYEENESFYRRIRKKWVRISCGNDLEDPKPKIVVFARLTHLFK >KJB59547 pep chromosome:Graimondii2_0_v6:9:21473732:21476111:-1 gene:B456_009G260400 transcript:KJB59547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPKKSLILIQILCFLALYFHHQPCSLAIDEYEFVVKEATYTRLCSTKKILTVNGQFPGPTIEAQHGDTIYVNVYNQGKQNITIHWHGVLQPRYPWADGPEYITQCPIKPGGRFRQKIIFSTEEGTLWWHAHSDWSRATVYGAIIIHPKVGTRYPFPKPDAEVPIILGEWWKEDITKVLQQMHDTGGDPNISDAFTINGQPGDLYPCSKQGTFKLTVDRGKTYLLRLINAAMNIILFFAIKKHNLTVVGVDAGYTKPLSSEYVTISPGQTIDALLSANQKPDLYYMVARAYSTGSNHHFDNTTTTAIVQYKGKYSNPTSSSSSSLPSLPFYNDTNAAFSFLGGLKSLADKKHPIDVPLHIRNRIFTTISVNSFPCQDNNNCAGPNGTRFAASMNNISFVNPSTALLQAYYQHTNGVYGDNFPDMPPFLFNFTDIFLPLNLETPKRGTEVMVLDYNTTVEIVLQGTALMGGIDHPIHLHGYNFYIVGFGLGNFDIYKDPLKYNLKDPPLRNTVSVPINGWVTVRFKADNPGVWLLHCHIDRHMTWGMKTVFIVKDGDQPEERLLPPPPDMPRC >KJB59548 pep chromosome:Graimondii2_0_v6:9:21474044:21476111:-1 gene:B456_009G260400 transcript:KJB59548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPKKSLILIQILCFLALYFHHQPCSLAIDEYEFVVKEATYTRLCSTKKILTVNGQFPGPTIEAQHGDTIYVNVYNQGKQNITIHWHGVLQPRYPWADGPEYITQCPIKPGGRFRQKIIFSTEEGTLWWHAHSDWSRATVYGAIIIHPKVGTRYPFPKPDAEVPIILGEWWKEDITKVLQQMHDTGGDPNISDAFTINGQPGDLYPCSKQGTFKLTVDRGKTYLLRLINAAMNIILFFAIKKHNLTVVGVDAGYTKPLSSEYVTISPGQTIDALLSANQKPDLYYMVARAYSTGSNHHFDNTTTTAIVQYKGKYSNPTSSSSSSLPSLPFYNDTNAAFSFLGGLKSLADKKHPIDVPLHIRNRIFTTISVNSFPCQDNNNCAGPNGTRFAASMNNISFVNPSTALLQAYYQHTNGVYGDNFPDMPPFLFNFTDIFLPLNLETPKRGTEVMVLDYNTTVEIVLQGTALMGGIDHPIHLHGYNFYIVGFGLGNFDIYKDPLKYNLKDPPLRNTVSVPINGWVTVRFKADNPGRIL >KJB59549 pep chromosome:Graimondii2_0_v6:9:21473913:21482308:-1 gene:B456_009G260400 transcript:KJB59549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSVECILWVKEATYTRLCSTKKILTVNGQFPGPTIEAQHGDTIYVNVYNQGKQNITIHWHGVLQPRYPWADGPEYITQCPIKPGGRFRQKIIFSTEEGTLWWHAHSDWSRATVYGAIIIHPKVGTRYPFPKPDAEVPIILGEWWKEDITKVLQQMHDTGGDPNISDAFTINGQPGDLYPCSKQGTFKLTVDRGKTYLLRLINAAMNIILFFAIKKHNLTVVGVDAGYTKPLSSEYVTISPGQTIDALLSANQKPDLYYMVARAYSTGSNHHFDNTTTTAIVQYKGKYSNPTSSSSSSLPSLPFYNDTNAAFSFLGGLKSLADKKHPIDVPLHIRNRIFTTISVNSFPCQDNNNCAGPNGTRFAASMNNISFVNPSTALLQAYYQHTNGVYGDNFPDMPPFLFNFTDIFLPLNLETPKRGTEVMVLDYNTTVEIVLQGTALMGGIDHPIHLHGYNFYIVGFGLGNFDIYKDPLKYNLKDPPLRNTVSVPINGWVTVRFKADNPGVWLLHCHIDRHMTWGMKTVFIVKDGDQPEERLLPPPPDMPRC >KJB59282 pep chromosome:Graimondii2_0_v6:9:19913264:19917039:1 gene:B456_009G247000 transcript:KJB59282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHWMMPEKAKTSLTTFPSSAEIVSEPLGVVLVISAWNYPFLLSLDPVVGAISAGNAIVLKPSEMAPASSSLIAKLVADYLDSSCIKVVEGAVPETSALLEQKWDKILYTGNGRVARIVMAAAAKHLTPVVLELGGKSPVIVDSNINLKVATRRIIAGKWGCNNGQACISPDYIITTKDYATKLVDSFKCELERFYGKDPLESKDLSRIVNSNHFDRLSKLMDEEKVSSKIVHGGQRNEKNLQIAPTIFLDVPVNSLIMKEEIFGPLLPIITVDKVEQSFDLIHSSGGKPLAAYLFTNNKKLKRKFVETVSAGGLVVNDTAVHLAIHSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRGFAGDAFLRYPPYTPGKLTLLQALLSGSIVGIIRALLGWFWA >KJB59281 pep chromosome:Graimondii2_0_v6:9:19912904:19917038:1 gene:B456_009G247000 transcript:KJB59281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHWMMPEKAKTSLTTFPSSAEIVSEPLGVVLVISAWNYPFLLSLDPVVGAISAGNAIVLKPSEMAPASSSLIAKLVADYLDSSCIKVVEGAVPETSALLEQKWDKILYTGNGRVARIVMAAAAKHLTPVVLELGGKSPVIVDSNINLKVATRRIIAGKWGCNNGQACISPDYIITTKDYATKLVDSFKCELERFYGKDPLESKDLSRIVNSNHFDRLSKLMDEEKVSSKIVHGGQRNEKNLQIAPTIFLDVPVNSLIMKEEIFGPLLPIITVDKVEQSFDLIHSSGGKPLAAYLFTNNKKLKRKFVETVSAGGLVVNDTAVHLAIHSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRGFAGDAFLRYPPYTPGKLTLLQALLSGSIVGIIRALLGWFWA >KJB59283 pep chromosome:Graimondii2_0_v6:9:19913954:19917038:1 gene:B456_009G247000 transcript:KJB59283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAKHLTPVVLELGGKSPVIVDSNINLKVATRRIIAGKWGCNNGQACISPDYIITTKDYATKLVDSFKCELERFYGKDPLESKDLSRIVNSNHFDRLSKLMDEEKVSSKIVHGGQRNEKNLQIAPTIFLDVPVNSLIMKEEIFGPLLPIITVDKVEQSFDLIHSSGGKPLAAYLFTNNKKLKRKFVETVSAGGLVVNDTAVHLAIHSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRGFAGDAFLRYPPYTPGKLTLLQALLSGSIVGIIRALLGWFWA >KJB59280 pep chromosome:Graimondii2_0_v6:9:19911266:19917038:1 gene:B456_009G247000 transcript:KJB59280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMENKAENKAVFDAESAKEVVKELRDSFVTGKTKSHRWRVTQLKAMLKMLDENEPQIVAALRDDLSKPEFESSLYEIQILKNSCRLALKEMNHWMMPEKAKTSLTTFPSSAEIVSEPLGVVLVISAWNYPFLLSLDPVVGAISAGNAIVLKPSEMAPASSSLIAKLVADYLDSSCIKVVEGAVPETSALLEQKWDKILYTGNGRVARIVMAAAAKHLTPVVLELGGKSPVIVDSNINLKVATRRIIAGKWGCNNGQACISPDYIITTKDYATKLVDSFKCELERFYGKDPLESKDLSRIVNSNHFDRLSKLMDEEKVSSKIVHGGQRNEKNLQIAPTIFLDVPVNSLIMKEEIFGPLLPIITVDKVEQSFDLIHSSGGKPLAAYLFTNNKKLKRKFVETVSAGGLVVNDTAVHLAIHSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRGFAGDAFLRYPPYTPGKLTLLQALLSGSIVGIIRALLGWFWA >KJB55413 pep chromosome:Graimondii2_0_v6:9:5387191:5389327:-1 gene:B456_009G075200 transcript:KJB55413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFRTSSGFRFNPNSNTIDDADRDSEFSGILEIYVHHARNIHNICIYDNQDVYAKFSLTYNPDDTHSTRIINGGGKNPEFNENLMMKVTQIDAVLKCEIWMLSRARNYMEDQLLGFALVPISQVIGKGKITQDYSLSSTDLFHSPAGTVKLSVSLNTSMALNPQTSPFPETTKTNSSISAEVVLLDRKISESQVILDPVEYSRIEFPDINVVRENQQMISEYFDGLNPRPGIASFLQLGASHQHLQDYEMTANSSEETHGGSVSPNGSLQNSGFLSSTTTSLSDDRNSADSTEKKSRVGGEPSNTSVTTETNPSQGGCPDTPTSKKGSEAREEKELKYSSKQVFSAPLGNMNLEAEQSAMQQQIVDMYMRSMQQFTESLAKMKLPMDLDKPEHEDRGDLIQNNSKKIEHEKKKDGSRVFYGSRAFF >KJB55414 pep chromosome:Graimondii2_0_v6:9:5387191:5389380:-1 gene:B456_009G075200 transcript:KJB55414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFRTSSGFRFNPNSNTIDDADRDSEFSGILEIYVHHARNIHNICIYDNQDVYAKFSLTYNPDDTHSTRIINGGGKNPEFNENLMMKVTQIDAVLKCEIWMLSRARNYMEDQLLGFALVPISQVIGKGKITQDYSLSSTDLFHSPAGTVKLSVSLNTSMALNPQTSPFPETTKTNSSISAEVVLLDRKISESQVILDPVEYSRIEFPDINVVRENQQMISEYFDGLNPRPGIASFLQLGASHQHLQDYEMTANSSEETHGGSVSPNGSLQNSGFLSSTTTSLSDDRNSADSTEKKSRVGGEPSNTSVTTETNPSQGGCPDTPTSKKGSEAREEKELKYSSKQVFSAPLGNMNLEAEQSAMQQQIVDMYMRSMQQFTESLAKMKLPMDLDKPEHEDRGDLIQNNSKKIEHEKKKDGSRVFYGSRAFF >KJB62089 pep chromosome:Graimondii2_0_v6:9:57739631:57740997:1 gene:B456_009G400700 transcript:KJB62089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADCGIFNTWSCVKRNVIASLLQENGNLLVKKQQISWSVFQIIQISNSLGLIYKIVLRFSRNIPINHSTKSRVTSYAQNVQLHTEDMMGDSHYLPPLFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQQGNPPLT >KJB62090 pep chromosome:Graimondii2_0_v6:9:57739631:57742671:1 gene:B456_009G400700 transcript:KJB62090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADCGIFNTWSCVKRNVIASLLQENGNLLVKKQQISWSVFQIIQISNSLGLIYKIVLRFSRNIPINHSTKSRVTSYAQNVQLHTEDMMGDSHYLPPLFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQQGNPVTCVEEEAVLSQEAFRFMEKLGKIESLQTGKAKA >KJB62028 pep chromosome:Graimondii2_0_v6:9:55127139:55130474:1 gene:B456_009G396800 transcript:KJB62028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPSKIGDRSTSDVVVRLRTLEGRDEWIYCHSDILVEKSKYFADRLSDNWPTCQILDSRNCVEVYCQELDFDHHVNVLRLFYVVIDGSVDDLWNGVKNALGILQVGIQLGCPQIVTACVNYLEAVPWEESEEEEILRIIPGMGSEVEPILARLQPVNLSAIRGIFISTLRFAMSSPPSLMNDLKSSAQEQLEYMVTEDDDSPLLIADDDIKSEVKDCVGRLFSRFNNLLEVYLCEPAESVDEAGKMTLFQSYLADFSWACQLLSKLEIMRVFVNSWVDASDKIVAIMQSSPTVEMIGIKLKVVEVVAKVLESIGYGTVILPTTKRLHMVKIWLPFVRITKPLIDSVMTSDDDSLEFKIDGELWQSLESTFVSILLALPSVNQAEILTEWLENEYIRYPDLTEAFEVWCYRSKVANRRLALIEGGHCAL >KJB62027 pep chromosome:Graimondii2_0_v6:9:55127139:55130474:1 gene:B456_009G396800 transcript:KJB62027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPSKIGDRSTSDVVVRLRTLEGRDEWIYCHSDILVEKSKYFADRLSDNWPTCQILDSRNCVEVYCQELDFDHHVNVLRLFYVVIDGSVDDLWNGVKNALGILQVGIQLGCPQIVTACVNYLEAVPWEESEEEEILRIIPGMGSEVEPILARLQPVNLSAIRGIFISTLRFAMSSPPSLMNDLKSSAQEQLEYMVTEDDDSPLLIADDDIKSEVKDCVGRLFSRFNNLLEVYLCEPAESVDEAGKMTLFQSYLADFSWACQLLSKLEIMRVFVNSWVDASDKIVAIMQSSPTVEMIGIKLKVVEVVAKVLESIGYGTVILPTTKRLHMVKIWLPFVRITKPLIDSVMTSDDDSLEFKIDGELWQSLESTFVSILLALPSVNQAEILTEWLENEYIRYPDLTEAFEVWCYRSKVANRRLALIEGGHCAL >KJB56881 pep chromosome:Graimondii2_0_v6:9:13817959:13819933:1 gene:B456_009G179100 transcript:KJB56881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKLDYPLERRQDEAARIRAKYPDRVPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFVKNTLPPTGE >KJB56880 pep chromosome:Graimondii2_0_v6:9:13817959:13819933:1 gene:B456_009G179100 transcript:KJB56880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRCLKFAADNQGSSLAMAKSSFKLDYPLERRQDEAARIRAKYPDRVPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGSPSLQA >KJB56879 pep chromosome:Graimondii2_0_v6:9:13817949:13819996:1 gene:B456_009G179100 transcript:KJB56879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKLDYPLERRQDEAARIRAKYPDRVPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGSPSLQA >KJB60110 pep chromosome:Graimondii2_0_v6:9:24957037:24962720:1 gene:B456_009G290200 transcript:KJB60110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKIAGSSSRPRKPTGTVLPYQTQRIRDHYFLGKKLGQGQFGTTYLCTDKVTGIRYACKSIPKRKLVCREDYDDVWREIQIMHHLSENPFVVQIKGTYEDAVFVHLVMELCAGGELFDRIVAKGHYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFDTPADDAVLKATDFGLSVFYKPGQYFSDVVGSPFYVAPEVLLKHYGPEADIWSAAVILYILLSGVPPFWAETDSGIFRQILHGKVDFESEPWPSISESAKDLLRKMLERDPQKRITAYEVLCHPWIVDDRVAPDKPLDSAVLSRLKKFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFQELKDGLKKVGSELTETEIKALMEAADIDNSGTIDYGEFLAATLHINKIEREENLVAAFSFFDKDGSGYITIDELQQACKEFGLGDVHLDEMIKEIDQDNDGRIDYGEFAAMMRTGDGGMGRSRSLRSSLTFSIADAFGMKDPTQDIK >KJB60111 pep chromosome:Graimondii2_0_v6:9:24957057:24962720:1 gene:B456_009G290200 transcript:KJB60111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGGRSRSCIICLRTHSWFRSRELTKMPCLFIWLWSFVRVGNFLIGSWLKVIIVKERLLSLSKPLLVSLRLVIPLGLCIEISNLRISCLILPLTMPFSRLPILACPSSINQYFSDVVGSPFYVAPEVLLKHYGPEADIWSAAVILYILLSGVPPFWAETDSGIFRQILHGKVDFESEPWPSISESAKDLLRKMLERDPQKRITAYEVLCHPWIVDDRVAPDKPLDSAVLSRLKKFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFQELKDGLKKVGSELTETEIKALMEAADIDNSGTIDYGEFLAATLHINKIEREENLVAAFSFFDKDGSGYITIDELQQACKEFGLGDVHLDEMIKEIDQDNDGRIDYGEFAAMMRTGDGGMGRSRSLRSSLTFSIADAFGMKDPTQDIK >KJB60108 pep chromosome:Graimondii2_0_v6:9:24957057:24962720:1 gene:B456_009G290200 transcript:KJB60108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKIAGSSSRPRKPTGTVLPYQTQRIRDHYFLGKKLGQGQFGTTYLCTDKVTGIRYACKSIPKRKLVCREDYDDVWREIQIMHHLSENPFVVQIKGTYEDAVFVHLVMELCAGGELFDRIVAKGHYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFDTPADDAVLKATDFGLSVFYKPGQYFSDVVGSPFYVAPEVLLKHYGPEADIWSAAVILYILLSGVPPFWAETDSGIFRQILHGKVDFESEPWPSISESAKDLLRKMLERDPQKRITAYEVLCHPWIVDDRVAPDKPLDSAVLSRLKKFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFQELKDGLKKVGSELTETEIKALMEAADIDNSGTIDYGEFLAATLHINKIEREENLVAAFSFFDKDGSGYITIDELQQACKEFGLGDVHLDEMIKEIDQDNDGRIDYGEFAAMMRTGDGGMGRSRSLRSSLTFSIADAFGMKDPTQDIK >KJB60112 pep chromosome:Graimondii2_0_v6:9:24957004:24962720:1 gene:B456_009G290200 transcript:KJB60112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKIAGSSSRPRKPTGTVLPYQTQRIRDHYFLGKKLGQGQFGTTYLCTDKVTGIRYACKSIPKRKLVCREDYDDVWREIQIMHHLSENPFVVQIKGTYEDAVFVHLVMELCAGGELFDRIVAKGHYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFDTPADDAVLKATDFGLSVFYKPGQYFSDVVGSPFYVAPEVLLKHYGPEADIWSAAVILYILLSGVPPFWAETDSGIFRQILHGKVDFESEPWPSISESAKDLLRKMLERDPQKRITAYEVLCHPWIVDDRVAPDKPLDSAVLSRLKKFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFQELKDGLKKVGSELTETEIKALMEAADIDNSGTIDYGEFLAATLHINKIEREENLVAAFSFFDKDGSGYITIDELQQACKEFGLGDVHLDEMIKEIDQDNDGRIDYGEFAAMMRTGDGGMGRSRSLRSSLTFSIADAFGMKDPTQDIK >KJB60109 pep chromosome:Graimondii2_0_v6:9:24957004:24962720:1 gene:B456_009G290200 transcript:KJB60109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKIAGSSSRPRKPTGTVLPYQTQRIRDHYFLGKKLGQGQFGTTYLCTDKVTGIRYACKSIPKRKLVCREDYDDVWREIQIMHHLSENPFVVQIKGTYEDAVFVHLVMELCAGGELFDRIVAKGHYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFDTPADDAVLKATDFGLSVFYKPGQYFSDVVGSPFYVAPEVLLKHYGPEADIWSAAVILYILLSGVPPFWAETDSGIFRQILHGKVDFESEPWPSISESAKDLLRKMLERDPQKRITAYEVLCHPWIVDDRVAPDKPLDSAVLSRLKKFSAMNKLKKMALRHPPSKGKKKKQKEKTKGAVHANNSHKGRARDREEATVIAERLSEEEIGGLKELFKMIDTDNSGTITFQELKDGLKKVGSELTETEIKALMEAADIDNSGTIDYGEFLAATLHINKIEREENLVAAFSFFDKDGSGYITIDELQQACKEFGLGDVHLDEMIKEIDQDNDGRIDYGEFAAMMRTGDGGMGRSRSLRSSLTFSIADAFGMKDPTQDIK >KJB54111 pep chromosome:Graimondii2_0_v6:9:1601291:1604337:-1 gene:B456_009G021000 transcript:KJB54111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS7 MADSKPDQPLMPSSSSNLPDFKKSVKLKYVKLGYHYLITHGMYLFLTPLVVVIAAQLSTFSVKDLFDLWEHLQYNLISVIICSALLVFLSTLYFLTRPRPVYLVNFACYKPEESRKCTKRMFVDQSQLTGTFTEENLQFQRRILERSGLGDSTYLPEAVLNIPPNPSMHEARKEAEAVMFGAIDELLAKTSVKPKDIGILIVNCSLFNPTPSLSAMVINHYKLRGNIQSYNLGGMGCSAGLISIDLAKHLLQVHPNSYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNKWSDERRSKYQLVHTVRTHKGSDDKCFSCVTQEEDSIGKIGVTLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKLFKMKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSEWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRRGDRTWQIAFGSGFKCNSAVWKALRTINPVKEKNPWMDEIHNFPVDVPRVSII >KJB54112 pep chromosome:Graimondii2_0_v6:9:1601227:1604337:-1 gene:B456_009G021000 transcript:KJB54112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS7 MADSKPDQPLMPSSSSNLPDFKKSVKLKYVKLGYHYLITHGMYLFLTPLVVVIAAQLSTFSVKDLFDLWEHLQYNLISVIICSALLVFLSTLYFLTRPRPVYLVNFACYKPEESRKCTKRMFVDQSQLTGTFTEENLQFQRRILERSGLGDSTYLPEAVLNIPPNPSMHEARKEAEAVMFGAIDELLAKTSVKPKDIGILIVNCSLFNPTPSLSAMVINHYKLRGNIQSYNLGGMGCSAGLISIDLAKHLLQVHPNSYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNKWSDERRSKYQLVHTVRTHKGSDDKCFSCVTQEEDSIGKIGVTLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKLFKMKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSEWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRRGDRTWQIAFGSGFKCNSAVWKALRTINPVKEKNPWMDEIHNFPVDVPRVSII >KJB59715 pep chromosome:Graimondii2_0_v6:9:22340689:22343410:-1 gene:B456_009G268300 transcript:KJB59715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MADNSACNPDLIIAHKFPDTTYAYTERDVAVYALGVGACGRDAVDTDELKYVYHENGQQFIKVLPTFSTLFSLRGLPQLSGVPGLRFDKRLLLHGQQYIEIHKPLPSNASILNKTAIAGFHDKGKAAILELETRSYEKESGELLTLNRTSVFLRGAGGFSDPSKPFTYSNYPVNPASAMKIPKTQPSAVFEDCTQPPQACSNNTRILSLRAFMLLSK >KJB59718 pep chromosome:Graimondii2_0_v6:9:22340205:22343410:-1 gene:B456_009G268300 transcript:KJB59718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MADNSACNPDLIIAHKFPDTTYAYTERDVAVYALGVGACGRDAVDTDELKYVYHENGQQFIKVLPTFSTLFSLRGLPQLSGVPGLRFDKRLLLHGQQYIEIHKPLPSNASILNKTAIAGFHDKGKAAILELETRSYEKESGELLTLNRTSVFLRGAGGFSDPSKPFTYSNYPVNPASAMKIPKTQPSAVFEDCTQPPQACSNNTRILSLRAFMLLSK >KJB59714 pep chromosome:Graimondii2_0_v6:9:22340198:22343501:-1 gene:B456_009G268300 transcript:KJB59714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MADNSACNPDLIIAHKFPDTTYAYTERDVAVYALGVGACGRDAVDTDELKYVYHENGQQFIKVLPTFSTLFSLRGLPQLSGVPGLRFDKRLLLHGQQYIEIHKPLPSNASILNKTAIAGFHDKGKAAILELETRSYEKESGELLTLNRTSVFLRGAGGFSDPSKPFTYSNYPVNPASAMKIPKTQPSAVFEDCTQPPQALLYRLSGDYNPLHSDPMIAKVAGFSRPILHGLCTLGFAVRAIIKCICRGDPDMVKSIFARFLLHVYPGEALITEMWLEGSRVIYQVKAKERNRAVLSGYVDLHRLAASL >KJB59716 pep chromosome:Graimondii2_0_v6:9:22340912:22343337:-1 gene:B456_009G268300 transcript:KJB59716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MADNSACNPDLIIAHKFPDTTYAYTERDVAVYALGVGACGRDAVDTDELKYVYHENGQQFIKVLPTFSTLFSLRGLPQLSGVPGLRFDKRLLLHGQQYIEIHKPLPSNASILNKTAIAGFHDKGKAAILELETRSYEKESGELLTLNRTSVFLRGAGGFSDPSKPFTYSNYPVNPASAMKIPKTQPSAVFEDCTQPPQALLYRLSGDYNPLHSDPMIAKVAGFSRPILHGLCTLGFAVRAIIKCICRGDPDMVKSIFARFLLHVYPGEALITEMWLEGSRYTSFFF >KJB59717 pep chromosome:Graimondii2_0_v6:9:22340205:22343410:-1 gene:B456_009G268300 transcript:KJB59717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MPWVLEHVVGTPSIPTNLNTFTMKTDSSLSRFDKRLLLHGQQYIEIHKPLPSNASILNKTAIAGFHDKGKAAILELETRSYEKESGELLTLNRTSVFLRGAGGFSDPSKPFTYSNYPVNPASAMKIPKTQPSAVFEDCTQPPQALLYRLSGDYNPLHSDPMIAKVAGFSRPILHGLCTLGFAVRAIIKCICRGDPDMVKSIFARFLLHVYPGEALITEMWLEGSRVIYQVKAKERNRAVLSGYVDLHRLAASL >KJB55912 pep chromosome:Graimondii2_0_v6:9:7285829:7287709:-1 gene:B456_009G101000 transcript:KJB55912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWFDPWPVFFKREFNRTWPFLVGFAVTGTIITKFSLGLTEEDGKNSPFAQKHKR >KJB55913 pep chromosome:Graimondii2_0_v6:9:7286025:7287617:-1 gene:B456_009G101000 transcript:KJB55913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWFDPWPVFFKREFNRTWPFLVGFAVTGTIITKFSLGLTEEDGKNSPFAQKHKR >KJB55914 pep chromosome:Graimondii2_0_v6:9:7287165:7287617:-1 gene:B456_009G101000 transcript:KJB55914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWFDPWPVFFKREFNRTWPFLVGFAVTGTIITKFSLGLTEEDGKNSPFAQKHKR >KJB55115 pep chromosome:Graimondii2_0_v6:9:4591948:4595035:1 gene:B456_009G064500 transcript:KJB55115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKYNAGLGLIATVVFIWVVSAEVTQRIFSEYKQPFALTYLGVSLMVVYLPIALLKDWICNLFNANLYRNLYDGSSVIDTSIGLNELPQSAEADLKRCLITDKDLSEREEGQPLNSSTEKDVPDLPDHGGGTSSWETAKCSLYLTPIWFTTEYLSNSALANTSVASTTVLTSTSGLFTLFFGALLSQDSVNVAKVVAVFISMTGVAMTTIGKTWASDEMLSVSEAKRHSIMGNIFGLLSAISYGLFTVLLKKSAGSEGEKVDMQKFFGYVGLFTLLGLWWLVWPLNVVGIEPPFAFPHSASVGEAVLLNGFVGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRHFSAVYIFGCIQVA >KJB55116 pep chromosome:Graimondii2_0_v6:9:4591948:4595035:1 gene:B456_009G064500 transcript:KJB55116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKYNAGLGLIATVVFIWVVSAERIFSEYKQPFALTYLGVSLMVVYLPIALLKDWICNLFNANLYRNLYDGSSVIDTSIGLNELPQSAEADLKRCLITDKDLSEREEGQPLNSSTEKDVPDLPDHGGGTSSWETAKCSLYLTPIWFTTEYLSNSALANTSVASTTVLTSTSGLFTLFFGALLSQDSVNVAKVVAVFISMTGVAMTTIGKTWASDEMLSVSEAKRHSIMGNIFGLLSAISYGLFTVLLKKSAGSEGEKVDMQKFFGYVGLFTLLGLWWLVWPLNVVGIEPPFAFPHSASVGEAVLLNGFVGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRHFSAVYIFGCIQVFAGFVIANVSDKFSRKPQL >KJB55112 pep chromosome:Graimondii2_0_v6:9:4591948:4593824:1 gene:B456_009G064500 transcript:KJB55112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKYNAGLGLIATVVFIWVVSAEVTQRIFSEYKQPFALTYLGVSLMVVYLPIALLKDWICNLFNANLYRNLYDGSSVIDTSIGLNELPQSAEADLKRCLITDKDLSEREEGQPLNSSTEKDVPDLPDHGGGTSSWETAKCSLYLTPIWFTTEYLSNSALANTSVASTTVLTSTSGLFTLFFGALLSQDSVNVAKVVAVFISMTGVAMTTIGKTWASDEMLSVSECVGSYFASYFNNLLTFPYS >KJB55118 pep chromosome:Graimondii2_0_v6:9:4592635:4595035:1 gene:B456_009G064500 transcript:KJB55118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLQRIFSEYKQPFALTYLGVSLMVVYLPIALLKDWICNLFNANLYRNLYDGSSVIDTSIGLNELPQSAEADLKRCLITDKDLSEREEGQPLNSSTEKDVPDLPDHGGGTSSWETAKCSLYLTPIWFTTEYLSNSALANTSVASTTVLTSTSGLFTLFFGALLSQDSVNVAKVVAVFISMTGVAMTTIGKTWASDEMLSVSEAKRHSIMGNIFGLLSAISYGLFTVLLKKSAGSEGEKVDMQKFFGYVGLFTLLGLWWLVWPLNVVGIEPPFAFPHSASVGEAVLLNGFVGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRHFSAVYIFGCIQVFAGFVIANVSDKFSRKPQL >KJB55113 pep chromosome:Graimondii2_0_v6:9:4592278:4594280:1 gene:B456_009G064500 transcript:KJB55113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKYNAGLGLIATVVFIWVVSAEVTQRIFSEYKQPFALTYLGVSLMVVYLPIALLKDWICNLFNANLYRNLYDGSSVIDTSIGLNELPQSAEADLKRCLITDKDLSEREEGQPLNSSTEKDVPDLPDHGGGTSSWETAKCSLYLTPIWFTTEYLSNSALANTSVASTTVLTSTSGLFTLFFGALLSQDSVNVAKVVAVFISMTGVAMTTIGKTWASDEMLSVSEAKRHSIMGNIFGLLSAISYGLFTVLLKKSAGSEGEKVDMQKFFGYVGLFTLLGLWWLVWPLNVVGIEPPFAFPHSASVGEAVLLNGFVGSVLSDYFWYVYKPPFTFELVECY >KJB55114 pep chromosome:Graimondii2_0_v6:9:4591948:4595035:1 gene:B456_009G064500 transcript:KJB55114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKYNAGLGLIATVVFIWVVSAEVTQRIFSEYKQPFALTYLGVSLMVVYLPIALLKDWICNLFNANLYRNLYDGSSVIDTSIGLNELPQSAEADLKRCLITDKDLSEREEGQPLNSSTEKDVPDLPDHGGGTSSWETAKCSLYLTPIWFTTEYLSNSALANTSVASTTVLTSTSGLFTLFFGALLSQDSVNVAKVVAVFISMTGVAMTTIGKTWASDEMLSVSEAKRHSIMGNIFGLLSAISYGLFTVLLKKSAGSEGEKVDMQKFFGYVGLFTLLGLWWLEPPFAFPHSASVGEAVLLNGFVGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRHFSAVYIFGCIQVFAGFVIANVSDKFSRKPQL >KJB55117 pep chromosome:Graimondii2_0_v6:9:4592278:4594280:1 gene:B456_009G064500 transcript:KJB55117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKYNAGLGLIATVVFIWVVSAEVTQRIFSEYKQPFALTYLGVSLMVVYLPIALLKDWICNLFNANLYRNLYDGSSVIDTSIGLNELPQSAEADLKRCLITDKDLSEREEGQPLNSSTEKDVPDLPDHGGGTSSWETAKCSLYLTPIWFTTEYLSNSALANTSVASTTVLTSTSGLFTLFFGALLSQDSVNVAKVVAVFISMTGVAMTTIGKTWASDEMLSVSEAKRHSIMGNIFGLLSAISYGLFTVLLKKSAGSEGEKVDMQKFFGYVGLFTLLGLWWLVWPLNVVGIEPPFAFPHSASVGEAVLLNGFVGSVLSDYFWYVYKPPFTFELVECY >KJB55111 pep chromosome:Graimondii2_0_v6:9:4591865:4595064:1 gene:B456_009G064500 transcript:KJB55111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKYNAGLGLIATVVFIWVVSAEVTQRIFSEYKQPFALTYLGVSLMVVYLPIALLKDWICNLFNANLYRNLYDGSSVIDTSIGLNELPQSAEADLKRCLITDKDLSEREEGQPLNSSTEKDVPDLPDHGGGTSSWETAKCSLYLTPIWFTTEYLSNSALANTSVASTTVLTSTSGLFTLFFGALLSQDSVNVAKVVAVFISMTGVAMTTIGKTWASDEMLSVSEAKRHSIMGNIFGLLSAISYGLFTVLLKKSAGSEGEKVDMQKFFGYVGLFTLLGLWWLVWPLNVVGIEPPFAFPHSASVGEAVLLNGFVGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRHFSAVYIFGCIQVFAGFVIANVSDKFSRKPQL >KJB53814 pep chromosome:Graimondii2_0_v6:9:1379544:1381277:1 gene:B456_009G017500 transcript:KJB53814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTHCIQIPAFCLQIMVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDQAAILMSGRNAKTNFPLSQTANGDPEGNESSHSSSPPNELSELLHAKLRKCSKAPSPSMTCLRLDTENSHIGVWQKRAGKTSDSNWVMTVQFGKGNAQASAKGSNTQGPSASSEVRGEMDEEERIALQMIEELLHRNCSSSFGVAEEEGNLFV >KJB53815 pep chromosome:Graimondii2_0_v6:9:1380022:1381219:1 gene:B456_009G017500 transcript:KJB53815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDQAAILMSGRNAKTNFPLSQTANGDPEGNESSHSSSPPNELSELLHAKLRKCSKAPSPSMTCLRLDTENSHIGVWQKRAGKTSDSNWVMTVQFGKGNAQASAKGSNTQGPSASSEVRGEMDEEERIALQMIEELLHRNCSSSFGVAEEEGNLFV >KJB53816 pep chromosome:Graimondii2_0_v6:9:1380022:1381219:1 gene:B456_009G017500 transcript:KJB53816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIASYMIQIPAFCLQIMVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDQAAILMSGRNAKTNFPLSQTANGDPEGNESSHSSSPPNELSELLHAKLRKCSKAPSPSMTCLRLDTENSHIGVWQKRAGKTSDSNWVMTVQFGKGNAQASAKGSNTQGPSASSEVRGEMDEEERIALQMIEELLHRNCSSSFGVAEEEGNLFV >KJB63202 pep chromosome:Graimondii2_0_v6:9:17194615:17196107:-1 gene:B456_009G221200 transcript:KJB63202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKGHSHNKFMRFITVPFKALVKARDLYVRSLTTCASRVSYGHGSGGCSAQYSGLPRSFSSALSATCNDNEDLRELIRAASVTSLGHRKEMEMLLKQQLRVQMGSKALPKSCSVVMGRIDEEKPCEFEENDASAAVVVKKNNNPRSRSYAVRKTTSSFDSY >KJB59498 pep chromosome:Graimondii2_0_v6:9:21332323:21343454:-1 gene:B456_009G258800 transcript:KJB59498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSKRWIDGLQFSSLFWPPPQDPQERKVQITAYVEYFGQFTSEQFPEDIAELIRTRYPSKEQRLFDDVLATFVLHHPEHGHAVVLPIISGIIDGSLVYDKSSLPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKMEPQNNETDRSHSSSQATTSESVDGEPSFQIPLMQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLKPPTTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETASLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPIRQVEGVEVQHEPSGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVSTPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESPREQTRKTRYSIGSASKNLAVAELRTMVHSLFLESCASIELASRLLFVVLTVCVSHEAQFSGSKRPRGEESFPPDEGVEESQAQSEKLKDIKPRKAKKQGPVAAFDSYVLAAVCALACELQLFPLVTRGNTHSTAKDVQAMANPAKVNGSSIEYGHGIDSAIHHTHRILAILEALFSLKPSSVGTSWGYSSNEIVAAAMVAAHISELFRRSKACMYALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLEAQLIYAPVRKYSPCLDDRKQNKCSSATCFDPGQSSASECEDSTCSDNNLRSEKLLASDEGLGNSLGKGIAGFPLDASDLANFLTRDRHIGFNCSAQILLRSVLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPTKATTAVVLQADRELQPWIAKDDDQGQKMWRINQRIVKLIVELMRNHDSAESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVADGLSNLLKCRLPATTRCLSHPSAHVRALSTSVLRNILHIGSINSKSKLEIYGIRGPSYQFFNIGAINWQTDIEKCLTWEVYSQLARGMTIQFLDTAAKELGCNISI >KJB59494 pep chromosome:Graimondii2_0_v6:9:21331873:21344665:-1 gene:B456_009G258800 transcript:KJB59494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSKRWIDGLQFSSLFWPPPQDPQERKVQITAYVEYFGQFTSEQFPEDIAELIRTRYPSKEQRLFDDVLATFVLHHPEHGHAVVLPIISGIIDGSLVYDKSSLPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKMEPQNNETDRSHSSSQATTSESVDGEPSFQIPLMQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLKPPTTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETASLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPIRQVEGVEVQHEPSGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVSTPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESPREQTRKTRYSIGSASKNLAVAELRTMVHSLFLESCASIELASRLLFVVLTVCVSHEAQFSGSKRPRGEESFPPDEGVEESQAQSEKLKDIKPRKAKKQGPVAAFDSYVLAAVCALACELQLFPLVTRGNTHSTAKDVQAMANPAKVNGSSIEYGHGIDSAIHHTHRILAILEALFSLKPSSVGTSWGYSSNEIVAAAMVAAHISELFRRSKACMYALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLEAQLIYAPVRKYSPCLDDRKQNKCSSATCFDPGQSSASECEDSTCSDNNLRSEKLLASDEGLGNSLGKGIAGFPLDASDLANFLTRDRHIGFNCSAQILLRSVLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPTKATTAVVLQADRELQPWIAKDDDQGQKMWRINQRIVKLIVELMRNHDSAESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVADGLSNLLKCRLPATTRCLSHPSAHVRALSTSVLRNILHIGSINSKSKLEIYGIRGPSYQFFNIGAINWQTDIEKCLTWEVYSQLARGMTIQFLDTAAKELGCNISI >KJB59495 pep chromosome:Graimondii2_0_v6:9:21332323:21343454:-1 gene:B456_009G258800 transcript:KJB59495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSKRWIDGLQFSSLFWPPPQDPQERKVQITAYVEYFGQFTSEQFPEDIAELIRTRYPSKEQRLFDDVLATFVLHHPEHGHAVVLPIISGIIDGSLVYDKSSLPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKMEPQNNETDRSHSSSQATTSESVDGEPSFQIPLMQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLKPPTTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETASLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPIRQVEGVEVQHEPSGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVSTPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESPREQTRKTRYSIGSASKNLAVAELRTMVHSLFLESCASIELASRLLFVVLTVCVSHEAQFSGSKRPRGEESFPPDEGVEESQAQSEKLKDIKPRKAKKQGPVAAFDSYVLAAVCALACELQLFPLVTRGNTHSTAKDVQAMANPAKVNGSSIEYGHGIDSAIHHTHRILAILEALFSLKPSSVGTSWGYSSNEIVAAAMVAAHISELFRRSKACMYALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLEAQLIYAPVRKYSPCLDDRKQNKCSSATCFDPGQSSASECEDSTCSDNNLRSEKLLASDEGLGNSLGKGIAGFPLDASDLANFLTRDRHIGFNCSAQILLRSVLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPTKATTAVVLQADRELQPWIAKDDDQGQKMWRINQRIVKLIVELMRNHDSAESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVADGLSNLLKCRLPATTRCLSHPSAHVRALSTSVLRNILHIGSINSKSKLEIYGIRGPSYQFFNIGAINWQTDIEKCLTWEVYSQLARGMTIQFLDTAAKELGCNISI >KJB59490 pep chromosome:Graimondii2_0_v6:9:21331873:21342533:-1 gene:B456_009G258800 transcript:KJB59490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQNNETDRSHSSSQATTSESVDGEPSFQIPLMQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLKPPTTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETASLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPIRQVEGVEVQHEPSGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVSTPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESPREQTRKTRYSIGSASKNLAVAELRTMVHSLFLESCASIELASRLLFVVLTVCVSHEAQFSGSKRPRGEESFPPDEGVEESQAQSEKLKDIKPRKAKKQGPVAAFDSYVLAAVCALACELQLFPLVTRGNTHSTAKDVQAMANPAKVNGSSIEYGHGIDSAIHHTHRILAILEALFSLKPSSVGTSWGYSSNEIVAAAMVAAHISELFRRSKACMYALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLEAQLIYAPVRKYSPCLDDRKQNKCSSATCFDPGQSSASECEDSTCSDNNLRSEKLLASDEGLGNSLGKGIAGFPLDASDLANFLTRDRHIGFNCSAQILLRSVLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPTKATTAVVLQADRELQPWIAKDDDQGQKMWRINQRIVKLIVELMRNHDSAESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVADGLSNLLKCRLPATTRCLSHPSAHVRALSTSVLRNILHIGSINSKSKLEIYGIRGPSYQFFNIGAINWQTDIEKCLTWEVYSQLARGMTIQFLDTAAKELGCNISI >KJB59489 pep chromosome:Graimondii2_0_v6:9:21331873:21336604:-1 gene:B456_009G258800 transcript:KJB59489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPIRQVEGVEVQHEPSGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVSTPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESPREQTRKTRYSIGSASKNLAVAELRTMVHSLFLESCASIELASRLLFVVLTVCVSHEAQFSGSKRPRGEESFPPDEGVEESQAQSEKLKDIKPRKAKKQGPVAAFDSYVLAAVCALACELQLFPLVTRGNTHSTAKDVQAMANPAKVNGSSIEYGHGIDSAIHHTHRILAILEALFSLKPSSVGTSWGYSSNEIVAAAMVAAHISELFRRSKACMYALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLEAQLIYAPVRKYSPCLDDRKQNKCSSATCFDPGQSSASECEDSTCSDNNLRSEKLLASDEGLGNSLGKGIAGFPLDASDLANFLTRDRHIGFNCSAQILLRSVLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPTKATTAVVLQADRELQPWIAKDDDQGQKMWRINQRIVKLIVELMRNHDSAESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVADGLSNLLKCRLPATTRCLSHPSAHVRALSTSVLRNILHIGSINSKSKLEIYGIRGPSYQFFNIGAINWQTDIEKCLTWEVYSQLARGMTIQFLDTAAKELGCNISI >KJB59496 pep chromosome:Graimondii2_0_v6:9:21331873:21344665:-1 gene:B456_009G258800 transcript:KJB59496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSKRWIDGLQFSSLFWPPPQDPQERKVQITAYVEYFGQFTSEQFPEDIAELIRTRYPSKEQRLFDDVLATFVLHHPEHGHAVVLPIISGIIDGSLVYDKSSLPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKMEPQNNETDRSHSSSQATTSESVDGEPSFQIPLMQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLKPPTTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETASLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPIRQVEGVEVQHEPSGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVSTPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESPREQTRKTRYSIGSASKNLAVAELRTMVHSLFLESCASIELASRLLFVVLTVCVSHEAQFSGSKRPRGEESFPPDEGVEESQAQSEKLKDIKPRKAKKQGPVAAFDSYVLAAVCALACELQLFPLVTRGNTHSTAKDVQAMANPAKVNGSSIEYGHGIDSAIHHTHRILAILEALFSLKPSSVGTSWGYSSNEIVAAAMVAAHISELFRRSKACMYALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLEAQLIYAPVRKYSPCLDDRKQNKCSSATCFDPGQSSASECEDSTCSDNNLRSEKLLASDEGLGNSLGKGIAGFPLDASDLANFLTRDRHIGFNCSAQILLRSVLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPTKATTAVVLQADRELQPWIAKDDDQGQKMWRINQRIVKLIVELMRNHDSAESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVADGLSNLLKCRLPATTRCLSHPSAHVRALSTSVLRNILHIGSINSKSKLEIYGIRGPSYQFFNIGAINWQTDIEKCLTWEVYSQLARGMTIQFLDTAAKELGCNISI >KJB59493 pep chromosome:Graimondii2_0_v6:9:21333964:21343454:-1 gene:B456_009G258800 transcript:KJB59493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSKRWIDGLQFSSLFWPPPQDPQERKVQITAYVEYFGQFTSEQFPEDIAELIRTRYPSKEQRLFDDVLATFVLHHPEHGHAVVLPIISGIIDGSLVYDKSSLPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKMEPQNNETDRSHSSSQATTSESVDGEPSFQIPLMQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLKPPTTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETASLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPIRQVEGVEVQHEPSGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVSTPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESPREQTRKTRYSIGSASKNLAVAELRTMVHSLFLESCASIELASRLLFVVLTVCVSHEAQFSGSKRPRGEESFPPDEGVEESQAQSEKLKDIKPRKAKKQGPVAAFDSYVLAAVCALACELQLFPLVTRGNTHSTAKDVQAMANPAKVNGSSIEYGHGIDSAIHHTHRILAILEALFSLKPSSVGTSWGYSSNEIVAAAMVAAHISELFRRSKACMYALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLEAQLIYAPVRKYSPCLDDRKQNKCSSATCFDPGQSSASECEDSTCSDNNLRSEKLLASDEGLGNSLGKGIAGFPLDASDLANFLTRDRHIGFNCSAQILLRSVLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPTKATTAVVLQVQILFIL >KJB59491 pep chromosome:Graimondii2_0_v6:9:21331873:21344549:-1 gene:B456_009G258800 transcript:KJB59491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSKRWIDGLQFSSLFWPPPQDPQERKVQITAYVEYFGQFTSEQFPEDIAELIRTRYPSKEQRLFDDVLATFVLHHPEHGHAVVLPIISGIIDGSLVYDKSSLPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKMEPQNNETDRSHSSSQATTSESVDGEPSFQIPLMQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLKPPTTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETASLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPIRQVEGVEVQHEPSGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVSTPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESPREQTRKTRYSIGSASKNLAVAELRTMVHSLFLESCASIELASRLLFVVLTVCVSHEAQFSGSKRPRGEESFPPDEGVEESQAQSEKLKDIKPRKAKKQGPVAAFDSYVLAAVCALACELQLFPLVTRGNTHSTAKDVQAMANPAKVNGSSIEYGHGIDSAIHHTHRILAILEALFSLKPSSVGTSWGYSSNEIVAAAMVAAHISELFRRSKACMYALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLEAQLIYAPVRKYSPCLDDRKQNKCSSATCFDPGQSSASECEDSTCSDNNLRSEKLLASDEGLGNSLGKGIAGFPLDASDLANFLTRDRHIGFNCSAQILLRSVLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPTKATTAVVLQGIAALDCQR >KJB59497 pep chromosome:Graimondii2_0_v6:9:21332323:21343454:-1 gene:B456_009G258800 transcript:KJB59497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSKRWIDGLQFSSLFWPPPQDPQERKVQITAYVEYFGQFTSEQFPEDIAELIRTRYPSKEQRLFDDVLATFVLHHPEHGHAVVLPIISGIIDGSLVYDKSSLPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKMEPQNNETDRSHSSSQATTSESVDGEPSFQIPLMQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLKPPTTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETASLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPIRQVEGVEVQHEPSGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVSTPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESPREQTRKTRYSIGSASKNLAVAELRTMVHSLFLESCASIELASRLLFVVLTVCVSHEAQFSGSKRPRGEESFPPDEGVEESQAQSEKLKDIKPRKAKKQGPVAAFDSYVLAAVCALACELQLFPLVTRGNTHSTAKDVQAMANPAKVNGSSIEYGHGIDSAIHHTHRILAILEALFSLKPSSVGTSWGYSSNEIVAAAMVAAHISELFRRSKACMYALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLEAQLIYAPVRKYSPCLDDRKQNKCSSATCFDPGQSSASECEDSTCSDNNLRSEKLLASDEGLGNSLGKGIAGFPLDASDLANFLTRDRHIGFNCSAQILLRSVLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPTKATTAVVLQADRELQPWIAKDDDQGQKMWRINQRIVKLIVELMRNHDSAESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVADGLSNLLKCRLPATTRCLSHPSAHVRALSTSVLRNILHIGSINSKSKLEIYGIRGPSYQFFNIGAINWQTDIEKCLTWEVYSQLARGMTIQFLDTAAKELGCNISI >KJB59492 pep chromosome:Graimondii2_0_v6:9:21331873:21344549:-1 gene:B456_009G258800 transcript:KJB59492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSKRWIDGLQFSSLFWPPPQDPQERKVQITAYVEYFGQFTSEQFPEDIAELIRTRYPSKEQRLFDDVLATFVLHHPEHGHAVVLPIISGIIDGSLVYDKSSLPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKMEPQNNETDRSHSSSQATTSESVDGEPSFQIPLMQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLKPPTTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETASLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPIRQVEGVEVQHEPSGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVSTPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESPREQTRKTRYSIGSASKNLAVAELRTMVHSLFLESCASIELASRLLFVVLTVCVSHEAQFSGSKRPRGEESFPPDEGVEESQAQSEKLKDIKPRKAKKQGPVAAFDSYVLAAVCALACELQLFPLVTRGNTHSTAKDVQAMANPAKVNGSSIEYGHGIDSAIHHTHRILAILEALFSLKPSSVGTSWGYSSNEIVAAAMVAAHISELFRRSKACMYALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLEAQLIYAPVRKYSPCLDDRKQNKCSSATCFDPGQSSASECEDSTCSDNNLRSEKLLASDEGLGNSLGKGIAGFPLDASDLANFLTRDRHIGFNCSAQILLRSVLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPTKATTAVVLQADRELQPWIAKDDDQGQKMWRINQRIVKLIVELMRNHDSAESLVIVASASDLLLRATDGMLVDGEACTLPQLELEQFSRYSSGENQDWQ >KJB59859 pep chromosome:Graimondii2_0_v6:9:23241096:23246126:-1 gene:B456_009G277000 transcript:KJB59859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIVKLLEEDEDESMHSGADVEAFQAALNRDIEGDASNSQQSGSNTAVLSQGSNPASSQSVAQWPTSGQDGNSNFQNQQVLQSAQQQQQTSSESEQKQHGAVVTGSQQQVQQPNDVPKEHGRLPPQQKQPQEDHPQGVTEQIPAPVPQTTGIQSQTTEKSPISHEPERTNNQDSESQFAKLQKMSNQQASGAEQPNSPMNRTKQVPFAVLLPALLPQLDKDRAMQLHTLYGKLKKNEIAKDGFVRHMRDIVGDQMLRLAVNKLQVQMSSNQFPLQSPAGLRQNTPRMPSVGGATQFAGPHSLVQLHQKGPNSPANSSHVPSTAVPMQTNSSYLSGENKAQKSQDMDRQSDSRFGMLGSQISSSGSTTVNQERGRPSIPAQGINKQQQQHLNFPQTSFAMYGSNNYHTYSGPNVNTSGSSLKPQPHDSQMRPTAHQSIGSNPVGGSTQAMNMMSGPKLERQNSSNDPNRLQGTSLSHFSSGSVPWQASPSKELNPGPLSSATYVKQESADQGADQHRPHLSVTQGVSTTLAEQGKAVTSTPKDEPGEKQSSRVGFTTTPSITAQMDSNVLLGSRNPSVPAPTGMNARTPQKKPSIGQKKPLEALGSSPPPSSKKQKVSGAFSDQSIEQLNDVTAVSGVNLREEEEQLLSGPKDESRVSEASRRVVQEEEERLFLKKTPLQKKLAEIMAKSGLKNISNDVERCLSLSVEERMRGLICNLIRLSKQRVDIEKPRHRTVITSDVRQQIMMMNQNARGEWEKKQAEAEKLRKLNEPEAETAFDGDKEKDDSRVKAVKVNKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTDAASGSQASKDANRRPLSASGKSTKDNQESEKRGPLNPHGSGKLGSFLDLLLF >KJB59858 pep chromosome:Graimondii2_0_v6:9:23240688:23246126:-1 gene:B456_009G277000 transcript:KJB59858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIVKLLEEDEDESMHSGADVEAFQAALNRDIEGDASNSQQSGSNTVLSQGSNPASSQSVAQWPTSGQDGNSNFQNQQVLQSAQQQQQTSSESEQKQHGAVVTGSQQQVQQPNDVPKEHGRLPPQQKQPQEDHPQGVTEQIPAPVPQTTGIQSQTTEKSPISHEPERTNNQDSESQFAKLQKMSNQQASGAEQPNSPMNRTKQVPFAVLLPALLPQLDKDRAMQLHTLYGKLKKNEIAKDGFVRHMRDIVGDQMLRLAVNKLQVQMSSNQFPLQSPAGLRQNTPRMPSVGGATQFAGPHSLVQLHQKGPNSPANSSHVPSTAVPMQTNSSYLSGENKAQKSQDMDRQSDSRFGMLGSQISSSGSTTVNQERGRPSIPAQGINKQQQQHLNFPQTSFAMYGSNNYHTYSGPNVNTSGSSLKPQPHDSQMRPTAHQSIGSNPVGGSTQAMNMMSGPKLERQNSSNDPNRLQGTSLSHFSSGSVPWQASPSKELNPGPLSSATYVKQESADQGADQHRPHLSVTQGVSTTLAEQGKAVTSTPKDEPGEKQSSRVGFTTTPSITAQMDSNVLLGSRNPSVPAPTGMNARTPQKKPSIGQKKPLEALGSSPPPSSKKQKVSGAFSDQSIEQLNDVTAVSGVNLREEEEQLLSGPKDESRVSEASRRVVQEEEERLFLKKTPLQKKLAEIMAKSGLKNISNDVERCLSLSVEERMRGLICNLIRLSKQRVDIEKPRHRTVITSDVRQQIMMMNQNARGEWEKKQAEAEKLRKLNEPEAETAFDGDKEKDDSRVKAVKVNKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTDAASGSQASKDANRRPLSASGKSTKDNQESEKRGPLNPHGSGTSKKFGRNQGTTLTAHARVARTISIKDVIAVLEREPQMSKSTLIYGLYEKTCSESKAE >KJB59857 pep chromosome:Graimondii2_0_v6:9:23240556:23246159:-1 gene:B456_009G277000 transcript:KJB59857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIVKLLEEDEDESMHSGADVEAFQAALNRDIEGDASNSQQSGSNTAVLSQGSNPASSQSVAQWPTSGQDGNSNFQNQQVLQSAQQQQQTSSESEQKQHGAVVTGSQQQVQQPNDVPKEHGRLPPQQKQPQEDHPQGVTEQIPAPVPQTTGIQSQTTEKSPISHEPERTNNQDSESQFAKLQKMSNQQASGAEQPNSPMNRTKQVPFAVLLPALLPQLDKDRAMQLHTLYGKLKKNEIAKDGFVRHMRDIVGDQMLRLAVNKLQVQMSSNQFPLQSPAGLRQNTPRMPSVGGATQFAGPHSLVQLHQKGPNSPANSSHVPSTAVPMQTNSSYLSGENKAQKSQDMDRQSDSRFGMLGSQISSSGSTTVNQERGRPSIPAQGINKQQQQHLNFPQTSFAMYGSNNYHTYSGPNVNTSGSSLKPQPHDSQMRPTAHQSIGSNPVGGSTQAMNMMSGPKLERQNSSNDPNRLQGTSLSHFSSGSVPWQASPSKELNPGPLSSATYVKQESADQGADQHRPHLSVTQGVSTTLAEQGKAVTSTPKDEPGEKQSSRVGFTTTPSITAQMDSNVLLGSRNPSVPAPTGMNARTPQKKPSIGQKKPLEALGSSPPPSSKKQKVSGAFSDQSIEQLNDVTAVSGVNLREEEEQLLSGPKDESRVSEASRRVVQEEEERLFLKKTPLQKKLAEIMAKSGLKNISNDVERCLSLSVEERMRGLICNLIRLSKQRVDIEKPRHRTVITSDVRQQIMMMNQNARGEWEKKQAEAEKLRKLNEPEAETAFDGDKEKDDSRVKAVKVNKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTDAASGSQASKDANRRPLSASGKSTKDNQESEKRGPLNPHGSGTSKKFGRNQGTTLTAHARVARTISIKDVIAVLEREPQMSKSTLIYGLYEKTCSESKAE >KJB61183 pep chromosome:Graimondii2_0_v6:9:41281968:41289540:-1 gene:B456_009G344900 transcript:KJB61183 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) UniProtKB/TrEMBL;Acc:Q93ZV8] MALTSTLPLYSHPKRLGLQALASDHGRCLGHDSAWFITRRRYSRSKRLVVAAAYGAEGGARRRVYRQSQAKQPLSSAPKQIATFVAPAGVFIAATFVLWKLVEKLLMPKPSRSSSVENKSPSQGVKWSFAPGTNLLSGVTAKIDRQSKLTLNEFAKELRAFSSVDMSGRNFGDEGLFFLAESLGYNQIVEEVSFAANGITARGMKAFDGVLEANMVLKTLDLSGNPIGDEGIKCLCDILVNNTGIQKLQLNSVDLGDEGAKAIAELLKKNSTLRALELNNNMIDYSGFTSLAGALLENKTIRNFHLNGNYGGALGANALAKGLEGNKSLRELHLHGNSIGDEGIRSLISSLSSHKGKITLLDFGNNSITAKGAFHVAEYIKRSKNLLWVNLYMNDIGDEGAEKIADALKENQTVTTIDLGGNNIRAKGVGAIAEALKDNTVITNLELGYNPIGADGAKALSEVLKFHGNVKTLKLGWCQIGPKGAEFVADMLRYNNTISILDLRANGLRDEGAACLARSLKVVNETLTSLDLGFNEIRDDGAFAIAQALKANEDVTVTSLNLASNFLTKFGQSALTDARDHVYEMSEREVNIFF >KJB61184 pep chromosome:Graimondii2_0_v6:9:41282682:41289443:-1 gene:B456_009G344900 transcript:KJB61184 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) UniProtKB/TrEMBL;Acc:Q93ZV8] MPKPSRSSSVENKSPSQGVKWSFAPGTNLLSGVTAKIDRQSKLTLNEFAKELRAFSSVDMSGRNFGDEGLFFLAESLGYNQIVEEVSFAANGITARGMKAFDGVLEANMVLKTLDLSGNPIGDEGIKCLCDILVNNTGIQKLQLNSVDLGDEGAKAIAELLKKNSTLRALELNNNMIDYSGFTSLAGALLENKTIRNFHLNGNYGGALGANALAKGLEGNKSLRELHLHGNSIGDEGIRSLISSLSSHKGKITLLDFGNNSITAKGAFHVAEYIKRSKNLLWVNLYMNDIGDEGAEKIADALKENQTVTTIDLGGNNIRAKGVGAIAEALKDNTVITNLELGYNPIGADGAKALSEVLKFHGNVKTLKLGWCQIGPKGAEFVADMLRYNNTISILDLRANGLRDEGAACLARSLKVVNETLTSLDLGFNEIRDDGAFAIAQALKANEDVTVTSLNLASNFLTKFGQSALTDARDHVYEMSEREVNIFF >KJB61185 pep chromosome:Graimondii2_0_v6:9:41281989:41289469:-1 gene:B456_009G344900 transcript:KJB61185 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) UniProtKB/TrEMBL;Acc:Q93ZV8] MALTSTLPLYSHPKRLGLQALASDHGRCLGHDSAWFITRRRYSRSKRLVVAAAYGAEGGARRRVYRQSQAKQPLSSAPKQIATFVAPAGVFIAATFVLWKLVEKLLMPKPSRSSSVENKSPSQGVKWSFAPGTNLLSGVTAKIDRQSKLTLNEFAKELRAFSSVDMSGRNFGDEGLFFLAESLGYNQIVEEVSFAANGITARGMKAFDGVLEANMVLKTLDLSGNPIGDEGIKCLCDILVNNTGIQKLQLNSVDLGDEGAKAIAELLKKNSTLRALELNNNMIDYSGFTSLAGALLENKTIRNFHLNGNYGGALGANALAKGLEGNKSLRELHLHGNSIGDEGIRSLISSLSSHKGKITLLDFGNNSITAKGAFHVAEYIKRSKNLLWVNLYMNDIGDEGAEKIADALKENQTVTTIDLGGNNIRAKGVGAIAEALKDNTVITNLELGYNPIGADGAKALSEVLKFHGNVKTLKLGWCQIGPKGAEFVADMLRYNNTISILDLRANGLRDEGAACLARSLKVVNETLTSLDLGFNEIRDDGAFAIAQALKANEDVTVTSLNLASNFLTKFGQSALTDARDHVYEMSEREVNIFF >KJB57338 pep chromosome:Graimondii2_0_v6:9:12162039:12163745:-1 gene:B456_009G158700 transcript:KJB57338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTVVSSVYVVCSDAAGIAGNIFAFVLFLSSIPTFRRIIRNESTEMVSGMPYIYALLNCLICLWYGMPLVSPGIILVATVNSVGAIFQLIYISVFVVYAEKPMKLKMMGLLISVFATFASIVFVSMRFLDSPSRQLFVGYLSVASLISMFASPLIIIKLVIKTRSVEYMPFSLSLATFLMSLAFFVYGMFKHDAFIYIPNGIGTGLGTLQLALYAYFNDASQEELKHPLIDP >KJB59024 pep chromosome:Graimondii2_0_v6:9:18816830:18819204:-1 gene:B456_009G237600 transcript:KJB59024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPFLQKFFPSVLRKAAEAKTNIYCVYDSQVLTSFTSSLYIAGLAASLVASRLTAAIGRRNTMVLGGLTFLAGAAINGGAANIAMLIFGRILLGFGVGFTNQATPVYLSEVAPPRWRGAFNTGFQFFIGIGVVAANCINYGTAKRSWGWRLSLGLAVVPAAIMTIGALLISDTPSSLIERGKVEQARHSLRKVRGKDCDVEAELAELKKASDVANEAKREPFVTIFERQYRPHLVMSIAIPFFQQLTGINIIAFYAPVLFQSVGFGNDSALIAAIILGLVNLASILVSTGVVDRFGRRFLFLEGGIQMFVCQVGVAVLLAVTTGVSGTKEISKGYAILVLVLMCIYAAGFGWSWGPLSWLIPSEIFPIKIRSTGQSISVAVNFATTFVLSQTFLTMLCHFKYGTFLFYAAWIASMTIFVALFLPETKGIPLDSMNSVWEKHWYWRRFVSG >KJB59028 pep chromosome:Graimondii2_0_v6:9:18817435:18819910:-1 gene:B456_009G237600 transcript:KJB59028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGFGGVDGPVSSFNGKITASVVITCIVAASSGLIFGYDIGISGGVTTMQPFLQKFFPSVLRKAAEAKTNIYCVYDSQVLTSFTSSLYIAGLAASLVASRLTAAIGRRNTMVLGGLTFLAGAAINGGAANIAMLIFGRILLGFGVGFTNQATPVYLSEVAPPRWRGAFNTGFQFFIGIGVVAANCINYGTAKRSWGWRLSLGLAVVPAAIMTIGALLISDTPSSLIERGKVEQARHSLRKVRGKDCDVEAELAELKKASDVANEAKREPFVTIFERQYRPHLVMSIAIPFFQQLTGINIIAFYAPVLFQSVGFGNDSALIAAIILGLVNLASILVSTGVVDRFGRRFLFLEGGIQMFVCQVCFCSCYFPIRRSYTHCQGRTKERQGLGPQGKWKNFLLSPFQFTVGPLKK >KJB59022 pep chromosome:Graimondii2_0_v6:9:18816830:18819910:-1 gene:B456_009G237600 transcript:KJB59022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGFGGVDGPVSSFNGKITASVVITCIVAASSGLIFGYDIGISGGVTTMQPFLQKFFPSVLRKAAEAKTNIYCVYDSQVLTSFTSSLYIAGLAASLVASRLTAAIGRRNTMVLGGLTFLAGAAINGGAANIAMLIFGRILLGFGVGFTNQATPVYLSEVAPPRWRGAFNTGFQFFIGIGVVAANCINYGTAKRSWGWRLSLGLAVVPAAIMTIGALLISDTPSSLIERGKVEQARHSLRKVRGKDCDVEAELAELKKASDVANEAKREPFVTIFERQYRPHLVMSIAIPFFQQLTGINIIAFYAPVLFQSVGFGNDSALIAAIILGLVNLASILVSTGVVDRFGRRFLFLEGGIQMFVCQVGVAVLLAVTTGVSGTKEISKGYAILVLVLMCIYAAGFGWSWGPLSWLIPSEIFPIKIRSTGQSISVAVNFATTFVLSQTFLTMLCHFKYGTFLFYAAWIASMTIFVALFLPETKGIPLDSMNSVWEKHWYWRRFVSG >KJB59023 pep chromosome:Graimondii2_0_v6:9:18817092:18819910:-1 gene:B456_009G237600 transcript:KJB59023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGFGGVDGPVSSFNGKITASVVITCIVAASSGLIFGYDIGISGGVTTMQPFLQKFFPSVLRKAAEAKTNIYCVYDSQVLTSFTSSLYIAGLAASLVASRLTAAIGRRNTMVLGGLTFLAGAAINGGAANIAMLIFGRILLGFGVGFTNQATPVYLSEVAPPRWRGAFNTGFQFFIGIGVVAANCINYGTAKRSWGWRLSLGLAVVPAAIMTIGALLISDTPSSLIERGKVEQARHSLRKVRGKDCDVEAELAELKKASDVANEAKREPFVTIFERQYRPHLVMSIAIPFFQQLTGINIIAFYAPVLFQSVGFGNDSALIAAIILGLVNLASILVSTGVVDRFGRRFLFLEGGIQMFVCQVCFCSCYFPIRRSYTHCQGRTKERQGLGPQGRGGSSASSYNRGFRHKGNQQRLRHTGTGSNVHLRSWVWLVLGSSQLAHSK >KJB59025 pep chromosome:Graimondii2_0_v6:9:18816570:18820217:-1 gene:B456_009G237600 transcript:KJB59025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGFGGVDGPVSSFNGKITASVVITCIVAASSGLIFGYDIGISGGVTTMQPFLQKFFPSVLRKAAEAKTNIYCVYDSQVLTSFTSSLYIAGLAASLVASRLTAAIGRRNTMVLGGLTFLAGAAINGGAANIAMLIFGRILLGFGVGFTNQATPVYLSEVAPPRWRGAFNTGFQFFIGIGVVAANCINYGTAKRSWGWRLSLGLAVVPAAIMTIGALLISDTPSSLIERGKVEQARHSLRKVRGKDCDVEAELAELKKASDVANEAKREPFVTIFERQYRPHLVMSIAIPFFQQLTGINIIAFYAPVLFQSVGFGNDSALIAAIILGYAFALVIFQSGDRILIARGAPRRGRALAPRVGVAVLLAVTTGVSGTKEISKGYAILVLVLMCIYAAGFGWSWGPLSWLIPSEIFPIKIRSTGQSISVAVNFATTFVLSQTFLTMLCHFKYGTFLFYAAWIASMTIFVALFLPETKGIPLDSMNSVWEKHWYWRRFVSG >KJB59029 pep chromosome:Graimondii2_0_v6:9:18816570:18820217:-1 gene:B456_009G237600 transcript:KJB59029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGFGGVDGPVSSFNGKITASVVITCIVAASSGLIFGYDIGISGGVTTMQPFLQKFFPSVLRKAAEAKTNIYCVYDSQVLTSFTSSLYIAGLAASLVASRLTAAIGRRNTMVLGGLTFLAGAAINGGAANIAMLIFGRILLGFGVGFTNQATPVYLSEVAPPRWRGAFNTGFQFFIGIGVVAANCINYVLFQSVGFGNDSALIAAIILGLVNLASILVSTGVVDRFGRRFLFLEGGIQMFVCQVGVAVLLAVTTGVSGTKEISKGYAILVLVLMCIYAAGFGWSWGPLSWLIPSEIFPIKIRSTGQSISVAVNFATTFVLSQTFLTMLCHFKYGTFLFYAAWIASMTIFVALFLPETKGIPLDSMNSVWEKHWYWRRFVSG >KJB59026 pep chromosome:Graimondii2_0_v6:9:18816555:18820217:-1 gene:B456_009G237600 transcript:KJB59026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGFGGVDGPVSSFNGKITASVVITCIVAASSGLIFGYDIGISGGVTTMQPFLQKFFPSVLRKAAEAKTNIYCVYDSQVLTSFTSSLYIAGLAASLVASRLTAAIGRRNTMVLGGLTFLAGAAINGGAANIAMLIFGRILLGFGVGFTNQATPVYLSEVAPPRWRGAFNTGFQFFIGIGVVAANCINYGTAKRSWGWRLSLGLAVVPAAIMTIGALLISDTPSSLIERGKVEQARHSLRKVRGKDCDVEAELAELKKASDVANEAKREPFVTIFERQYRPHLVMSIAIPFFQQLTGINIIAFYAPVLFQSVGFGNDSALIAAIILGLVNLASILVSTGVVDRFGRRFLFLEGGIQMFVCQVGVAVLLAVTTGVSGTKEISKGYAILVLVLMCIYAAGFGWSWGPLSWLIPSEIFPIKIRSTGQSISVAVNFATTFVLSQTFLTMLCHFKYGTFLFYAAWIASMTIFVALFLPETKGIPLDSMNSVWEKHWYWRRFVSG >KJB59027 pep chromosome:Graimondii2_0_v6:9:18816570:18820217:-1 gene:B456_009G237600 transcript:KJB59027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGFGGVDGPVSSFNGKITASVVITCIVAASSGLIFGYDIGISGGVTTMQPFLQKFFPSVLRKAAEAKTNIYCVYDSQVLTSFTSSLYIAGLAASLVASRLTAAIGRRNTMVLGGLTFLAGAAINGGAANIAMLIFGRILLGFGVGFTNQATPVYLSEVAPPRWRGAFNTGFQFFIGIGVVAANCINYGTAKRSWGWRLSLGLAVVPAAIMTIGALLISDTPSSLIERGKVEQARHSLRKVRGKDCDVEAELAELKKASDVANEAKREPFVTIFERQYRPHLVMSIAIPFFQQLTGINIIAFYAPVLFQSVGFGNDSALIAAIILGLVNLASILVSTGVVDRFGRRFLFLEGGIQMFVCQGWQFC >KJB55479 pep chromosome:Graimondii2_0_v6:9:5633088:5636308:1 gene:B456_009G078300 transcript:KJB55479 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDX2 [Source:Projected from Arabidopsis thaliana (AT5G60540) UniProtKB/TrEMBL;Acc:A0A178UA48] MAVVGVLALQGSFNEHIAALRRLGMKGVEIRKPEQLQSISSLIIPGGESTSMAKLAEFHNLFPALREFVQMGKPVWGTCAGLIFLANKAVGQKDGGQELVGGLNCTVHRNYFGSQIQSFEAELLVPELASQEGGPETFRGVFIRAPAVLEVGPEVEVLADYPIPSNKVLYSSSAVEIQEESAVPEKKVIVAIKQGNLLGTAFHPELTADTRWHSYFLKMVRDVGEGTSNATVAVSEAASSSDRQTKYDLPIFR >KJB55480 pep chromosome:Graimondii2_0_v6:9:5632908:5636438:1 gene:B456_009G078300 transcript:KJB55480 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDX2 [Source:Projected from Arabidopsis thaliana (AT5G60540) UniProtKB/TrEMBL;Acc:A0A178UA48] MAVVGVLALQGSFNEHIAALRRLGMKGVEIRKPEQLQSISSLIIPGGESTSMAKLAEFHNLFPALREFVQMGKPVWGTCAGLIFLANKAVGQKDGGQELVGGLNCTVHRNYFGSQIQSFEAELLVPELASQEGGPETFRGVFIRAPAVLEVGPEVEVLADYPIPSNKVLYSSSAVEIQEESAVPEKKVIVAIKQGNLLGTAFHPELTADTRWHSYFLKMVRDVGEGTSNATVAVSEAASSSDRQTKYDLPIFR >KJB60697 pep chromosome:Graimondii2_0_v6:9:30736132:30737743:-1 gene:B456_009G320100 transcript:KJB60697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVLRWLRELRDHADSNIVIMMAGNKSDLNHLRAVSTEDAQSLAESEGLSFMETSALEAFNIEKAFQTVLLDIYQIIRKKALAAQESASGTGVPQGTTINVNNMSDNANKKPCCST >KJB60700 pep chromosome:Graimondii2_0_v6:9:30861678:30862244:1 gene:B456_009G320400 transcript:KJB60700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQVICSTIFPLLCILLLFLSVEAQTCSPSGKIKGKTPPPGQCNQENDSDCCKDGKWYTTYKCSPPVSSQTKATLTLNSFEPDGDGGAPSECDNQYHSDDDPVVALSTGWYNNGKRCLNYINIHGNGESVRAKVVDECDSTMGCDSDHDYQPPCPNNIVDASKAVWKDLGVPESDWGVMDIYCSGTD >KJB58050 pep chromosome:Graimondii2_0_v6:9:14773823:14775491:-1 gene:B456_009G191900 transcript:KJB58050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGAHHLPPPLLTPHPSPTINSAAAAGGHHHPMLVSVFLALLLPCAGMSAVFIVYVCILWYASNYRTDNPGTLATKQVGEKGLSVSELEKLPKVTGKELVLGTDCAVCLEEVGAEQPARMVPGCNHGFHLECADTWLSKHPICPVCRAKLEPMLFDPSDVNP >KJB59186 pep chromosome:Graimondii2_0_v6:9:19481424:19485097:-1 gene:B456_009G243300 transcript:KJB59186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESPGSSGYLIVDANGGLNQQRSAICNAVAVAGLLNAILVIPRFELNSVWRDPSQFGDIYDEEHFINNLKGYVNVVRELPEALMERYDQNISNIPNFRVQAWATVNYYLGVVLPILRDQRVIRIAPFANRLTMNVPSHIQLLRCITNYKALKFSTPISSLAGKLVSRMIERSSMTGGKYVSVHLRFEQDMVAFSCCVYEGGEAEKLKMDSFREQEWKGKFKRKDRVIVPALNRIEGKCPLTPVEVGLMLRGMGFDNNTSIYIASGKIYQAEKHLAPLREMFPLLYTKESLATPDELAPFEGHSSKLAALDYTVSLFSEVFVTTQGGNFPQFLMGHRRFLFNGHSKTIKPDKRKLVVLLQDMDISWKAFKDEMEVMLAESDRKGMMVPRVKKFNRKTSIYTYPLPECACLQSSNNSTFRLTEGANVLNSHHESTR >KJB59187 pep chromosome:Graimondii2_0_v6:9:19480760:19486770:-1 gene:B456_009G243300 transcript:KJB59187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHIATAMKPKSNNSNSNNNSNCCSNGYSSDVSNGSDNNSPSPPPSPPKPRLTPSLSQCRRRLRSKTKSFVRRENLGSGLNFRRNLRYLLLLPLLYISGLLMCVGPFSGFWGYASVPGSVYRSYENFQRLWDDIRLDNSSALELSSVWKYKRRVKVQKPCPNSTARNHFSMYRTSIMESPGSSGYLIVDANGGLNQQRSAICNAVAVAGLLNAILVIPRFELNSVWRDPSQFGDIYDEEHFINNLKGYVNVVRELPEALMERYDQNISNIPNFRVQAWATVNYYLGVVLPILRDQRVIRIAPFANRLTMNVPSHIQLLRCITNYKALKFSTPISSLAGKLVSRMIERSSMTGGKYVSVHLRFEQDMVAFSCCVYEGGEAEKLKMDSFREQEWKGKFKRKDRVIVPALNRIEGKCPLTPVEVGLMLRGMGFDNNTSIYIASGKIYQAEKHLAPLREMFPLLYTKESLATPDELAPFEGHSSKLAALDYTVSLFSEVFVTTQGGNFPQFLMGHRRFLFNGHSKTIKPDKRKLVVLLQDMDISWKAFKDEMEVMLAESDRKGMMVPRVKKFNRKTSIYTYPLPECACLQSSNNSTFRLTEGANVLNSHHESTR >KJB59188 pep chromosome:Graimondii2_0_v6:9:19480852:19486770:-1 gene:B456_009G243300 transcript:KJB59188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHIATAMKPKSNNSNSNNNSNCCSNGYSSDVSNGSDNNSPSPPPSPPKPRLTPSLSQCRRRLRSKTKSFVRRENLGSGLNFRRNLRYLLLLPLLYISGLLMCVGPFSGFWGYASVPGSVYRSYENFQRLWDDIRLDNSSALELSSVWKYKRRVKVQKPCPNSTARNHFSMYRTSIMESPGSSGYLIVDANGGLNQQRSAMCLVLTIQVHIWFFYAHICNAVAVAGLLNAILVIPRFELNSVWRDPSQFGDIYDEEHFINNLKGYVNVVRELPEALMERYDQNISNIPNFRVQAWATVNYYLGVVLPILRDQRVIRIAPFANRLTMNVPSHIQLLRCITNYKALKFSTPISSLAGKLVSRMIERSSMTGGKYVSVHLRFEQDMVAFSCCVYEGGEAEKLKMDSFREQEWKGKFKRKDRVIVPALNRIEGKCPLTPVEVGLMLRGMGFDNNTSIYIASGKIYQAEKHLAPLREMFPLLYTKESLATPDELAPFEGHSSKLAALDYTVSLFSEVFVTTQGGNFPQFLMGHRRFLFNGHSKTIKPDKRKLVVLLQDMDISWKAFKDEMEVMLAESDRKGMMVPRVKKFNRKTSIYTYPLPECACLQSSNNSTFRLTEGANVLNSHHESTR >KJB61139 pep chromosome:Graimondii2_0_v6:9:40103397:40105560:1 gene:B456_009G342800 transcript:KJB61139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAEKEQLNRALTAHLNTIHETLQVLDQSPPSNSMEKVTWSQVIQIGEQVSKQATIAGMLWNGESPQAKEVEENVNSYFNVLQGFLLLSHGSTVGAGPTLSSSIHESVKHVVDCSFRLMKEHVSLYGSHNKEKKLSISQIVGAVWEACSALKKVPPTNVTAIGRAMTQVAVSMKDVLREINELKPASSNPGDETSDNTPSKAESKPEDDDISDDDLGSDLSPEEMKVAQLAHGVVSETLVVIKELIRTITEIDEIGACLYPPQEIDAIKLALEKMSSRINEIQQEVESFPTSSEPFLEACSGLRTVLKEMEAQLNSLSATELTTKMQNVAVIN >KJB61138 pep chromosome:Graimondii2_0_v6:9:40103306:40105562:1 gene:B456_009G342800 transcript:KJB61138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAEKEQLNRALTAHLNTIHETLQVLDQSPPSNSMEKVTWSQVIQIGEQVSKQATIAGMLWNGESPQAKEVEENVNSYFNVLQGFLLLSHGSTVGAGPTLSSSIHESVKHVVDCSFRLMKEHVSLYGSHNKEKKLSISQIVGAVWEACSALKKVPPTNVTAIGRAMTQVAVSMKDVLREINELKPASSNPGDETSDNTPSKAESKPEDDDISDDDLGSDLSPEEMKVAQLAHGVVSETLVVIKELIRTITGMLKLETPDDNGKFVGSLEKLLKMCQGVGAEIDEIGACLYPPQEIDAIKLALEKMSSRINEIQQEVESFPTSSEPFLEACSGLRTVLKEMEAQLNSLSATELTTKMQNVAVIN >KJB61140 pep chromosome:Graimondii2_0_v6:9:40103397:40105560:1 gene:B456_009G342800 transcript:KJB61140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAEKEQLNRALTAHLNTIHETLQVLDQSPPSNSMEKVTWSQVIQIGEQVSKQATIAGMLWNGESPQAKEVEENVNSYFNVLQGFLLLSHGSTVGAGPTLSSSIHESVKHVVDCSFRLMKEHVSLYAGSHNKEKKLSISQIVGAVWEACSALKKVPPTNVTAIGRAMTQVAVSMKDVLREINELKPASSNPGDETSDNTPSKAESKPEDDDISDDDLGSDLSPEEMKVAQLAHGVVSETLVVIKELIRTITGMLKLETPDDNGKFVGSLEKLLKMCQGVGAEIDEIGACLYPPQEIDAIKLALEKMSSRINEIQQEVESFPTSSEPFLEACSGLRTVLKEMEAQLNSLSATELTTKMQNVAVIN >KJB61682 pep chromosome:Graimondii2_0_v6:9:50950432:50950945:1 gene:B456_009G3748001 transcript:KJB61682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YFPSQSMNQTVKTVRDKLKKIQSSTEHLQAGPTPKKTRVDNRRRI >KJB61680 pep chromosome:Graimondii2_0_v6:9:50950432:50950973:1 gene:B456_009G3748001 transcript:KJB61680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTSLPSQ >KJB61681 pep chromosome:Graimondii2_0_v6:9:50950435:50950509:1 gene:B456_009G3748001 transcript:KJB61681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YFPSQSMNQTVKTVRDKLKKVINL >KJB56271 pep chromosome:Graimondii2_0_v6:9:8342865:8354948:1 gene:B456_009G114000 transcript:KJB56271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEFLKQCQQSGDAAYAAFRSLLERLEDPKTRVDSRVFLSDLYSKVGSSDDCFSKYHFRIQDIQLDQYEGYPGRKKLTMMVIPSIFVPEDWSFTFYEGLNRHPDSIFKDKIVAELGCGNGWISIAIAEKWLPEKVYGLDINPRAVKVSWINLYMNAFDEKGQPIYDVEKKTLLDRVEFHESDLLSYCRERDIQLERIVGCIPQILNPNPEAMSKLITENASEEFLHALSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPTGIMIFNMGGRPGQGVCKRLFERRGFRVTRLWQTKVLQAGDTDISALVEIEKNSPHRFEFFMGLSGDEPICARTAWAYGKAGGRISHGLSVYSCQLRQPNQVKVIFEFLKSGFQEISSSLDLSFEDDLVADEKIPFLAYLASILKENSYFPYELPAGCKRFRNLIAGFMKTYHHIPLTSDNVVIFPSRTVAIENALRLFSPRLAIVDEHLTRNLPRKWLTSLAVETAETGLSEDVLTVIDAPRQSDLMVELIKKLKPQVVVTGIAHFESVTSSAFVQLLDATREIGSRLFLDISDHFDLSSLPVTNGVLKYLSGTPLPSHAAILCGLVKNQVYSDLEVAFVISEDEAILKALSKTVEVLEGNTSLISQYYYGHLFHELLAFQLTDRHSHLQRSEKSKSVEVIGFSTSAISVLNNAELSISGDENSLIHMDVDQWFLPTPSPVKAAIFESFARQNMGEFEIDVTHSIQQFVRSNYGFPIDSNTAFIYSDCLQALFSKLVLCCVHEGGTLCFPAGSNGNHVSAAKFLKANIVSIPTNSEEGFKLTEKTLNKTLETVKNPWVYISGPTINPTGLIYSNKEMENILTACAKFGARVVIDTSFSGLEFDFDGWGGWNLEGFLPKLSSSGNPAFCVSLLGGLSLKLLSGAVEFGFVALNQPFLIDTFHSYPGLSKPHSTEKYAIKKLLALREQKGGMLDIVKEQIRNLEVRTKRLKEALEKCGWHVLQPCAGVSMMAKPPFLDKTVKLSHSLKDTNSGEKDAAYEVMLNDATIREAIAKTTGLCINSGSWTGIPGYCRFTVALEESEFELALACLDKFKSIIDHARWH >KJB56272 pep chromosome:Graimondii2_0_v6:9:8345298:8354457:1 gene:B456_009G114000 transcript:KJB56272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASRTLKYLHLASFFSFPLTRVSQLSCRVESIEGYPGRKKLTMMVIPSIFVPEDWSFTFYEGLNRHPDSIFKDKIVAELGCGNGWISIAIAEKWLPEKVYGLDINPRAVKVSWINLYMNAFDEKGQPIYDVEKKTLLDRVEFHESDLLSYCRERDIQLERIVGCIPQILNPNPEAMSKLITENASEEFLHALSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPTGIMIFNMGGRPGQGVCKRLFERRGFRVTRLWQTKVLQAGDTDISALVEIEKNSPHRFEFFMGLSGDEPICARTAWAYGKAGGRISHGLSVYSCQLRQPNQVKVIFEFLKSGFQEISSSLDLSFEDDLVADEKIPFLAYLASILKENSYFPYELPAGCKRFRNLIAGFMKTYHHIPLTSDNVVIFPSRTVAIENALRLFSPRLAIVDEHLTRNLPRKWLTSLAVETAETGLSEDVLTVIDAPRQSDLMVELIKKLKPQVVVTGIAHFESVTSSAFVQLLDATREIGSRLFLDISDHFDLSSLPVTNGVLKYLSGTPLPSHAAILCGLVKNQVYSDLEVAFVISEDEAILKALSKTVEVLEGNTSLISQYYYGHLFHELLAFQLTDRHSHLQRSEKSKSVEVIGFSTSAISVLNNAELSISGDENSLIHMDVDQWFLPTPSPVKAAIFESFARQNMGEFEIDVTHSIQQFVRSNYGFPIDSNTAFIYSDCLQALFSKLVLCCVHEGGTLCFPAGSNGNHVSAAKFLKANIVSIPTNSEEGFKLTEKTLNKTLETVKNPWVYISGPTINPTGLIYSNKEMENILTACAKFGARVVIDTSFSGLEFDFDGWGGWNLEGFLPKLSSSGNPAFCVSLLGGLSLKLLSGAVEFGFVALNQPFLIDTFHSYPGLSKPHSTEKYAIKKLLALREQKGGMLDIVKEQIRNLEVRTKRLKEALEKCGWHVLQPCAGVSMMAKPPFLDKTVKLSHSLKDTNSGEKDAAYEVMLNDATIREAIAKTTGLCINSGSWTGIPGYCRFTVALEESEFELALACLDKFKSIIGN >KJB56270 pep chromosome:Graimondii2_0_v6:9:8342858:8354462:1 gene:B456_009G114000 transcript:KJB56270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEFLKQCQQSGDAAYAAFRSLLERLEDPKTRVDSRVFLSDLYSKVGSSDDCFSKYHFRIQDIQLDQYEGYPGRKKLTMMVIPSIFVPEDWSFTFYEGLNRHPDSIFKDKIVAELGCGNGWISIAIAEKWLPEKVYGLDINPRAVKVSWINLYMNAFDEKGQPIYDVEKKTLLDRVEFHESDLLSYCRERDIQLERIVGCIPQILNPNPEAMSKLITENASEEFLHALSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPTGIMIFNMGGRPGQGVCKRLFERRGFRVTRLWQTKVLQAGDTDISALVEIEKNSPHRFEFFMGLSGDEPICARTAWAYGKAGGRISHGLSVYSCQLRQPNQVKVIFEFLKSGFQEISSSLDLSFEDDLVADEKIPFLAYLASILKENSYFPYELPAGCKRFRNLIAGFMKTYHHIPLTSDNVVIFPSRTVAIENALRLFSPRLAIVDEHLTRNLPRKWLTSLAVETAETGLSEDVLTVIDAPRQSDLMVELIKKLKPQVVVTGIAHFESVTSSAFVQLLDATREIGSRLFLDISDHFDLSSLPVTNGVLKYLSGTPLPSHAAILCGLVKNQVYSDLEVAFVISEDEAILKALSKTVEVLEGNTSLISQYYYGHLFHELLAFQLTDRHSHLQRSEKSKSVEVIGFSTSAISVLNNAELSISGDENSLIHMDVDQWFLPTPSPVKAAIFESFARQNMGEFEIDVTHSIQQFVRSNYGFPIDSNTAFIYSDCLQALFSKLVLCCVHEGGTLCFPAGSNGNHVSAAKFLKANIVSIPTNSEEGFKLTEKTLNKTLETVKNPWVYISGPTINPTGLIYSNKEMENILTACAKFGARVVIDTSFSGLEFDFDGWGGWNLEGFLPKLSSSGNPAFCVSLLGGLSLKLLSGAVEFGFVALNQPFLIDTFHSYPGLSKPHSTEKYAIKKLLALREQKGGMLDIVKEQIRNLEVRTKRLKEALEKCGWHVLQPCAGVSMMAKPPFLDKTVKLSHSLKDTNSGEKDAAYEVMLNDATIREAIAKTTGLCINSGSWTGIPGYCRFTVALEESEFELALACLDKFKSIIGN >KJB60452 pep chromosome:Graimondii2_0_v6:9:27706647:27709782:-1 gene:B456_009G305500 transcript:KJB60452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIILFHFFLLYTAAAVGLAPPPTYPNGCQPTRCKRGGPSVRFPFQLKGRQHENCGSPGFNLSCDNKNRTVLELPKSVKLLVKRIDYVKQMIQVYAEDGCVQNQLPNLTMSLSPFNLSLDNAYSSELRNFTLFECSDVDQFDYGNYNIIRCLSSKPGFVVKYTDSDYASTDLLHCRKTIDLKEVPYALLSSDWSRSNNFYFNWSRPACGYCEAQHQGCRRNDTNPSGFECFVIPIKHMGTRTKLMISGISIGSFFLALSLSVVLYMHHLHKKEKDAQRKIEQFLEDYKALKPSRYSYADIKRITFDFKEKLGQGGYGTVFKGTLSNDVSVAVKLLNNFKGNGEEFINEVSSMGRIHHVNVTRLVGFCADGYHRALVYEYLPNESLEKFIFGDKGENHFLGWEKLHEIALGIAKGIEYLHQGCEQRILHFDIKPHNILLDQHFNPKISDFGLAKLCSKEQSAVSMTAARGTMGYIAPEVLSRNFGNVSYKSDVYSFGMMLLEMVGGRKNIDVKVEHMSQVYFPEWVYNRLDKGEALGMSIENEEHDKIAKKLTIVGLWCIQWYPVDRPSMKSVVQMLEGEVEHLTVPPNPFASKDEMRAKLPINRELPTISE >KJB62392 pep chromosome:Graimondii2_0_v6:9:63698717:63702852:1 gene:B456_009G414900 transcript:KJB62392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTESLVSLPSEAQHNQENVPIQVGDLDVASSTSNNTVPEQPAKKPTRQWAAWTRQEEESFFTALRQVGKNFEKITCRVQSKNKDQVRHYYYRLVRRMNKLLGPRLCLDAKNSKDTNAAMLRWWSLLEKYSCRASKLHLKPRRFKIFVEALEHQLLKDRKKTVRKRPSQGENSSPTSPNSVTNQSRASGQDARTVKLFLVDSQNIQKLGPGKGSFKRNNNVGVNRSNSKGESNTMKPARQRQKPGASSSAYKKWEKAAIAGVSLVADAAEHLEKTINDNGGPGQHDPVENNQLPLPSFSHNPFTENYIHATGKLKLQLFPIDDSTRRALEMDKHNPHLELTLSTRKKISSVLEHLNRKWGHSSIASGELMLFPYGVQRENLRGHQRWNQATTVSAADVFAMAGSPQVFRLRYGWFSDTEVASLSWQAPVSLPYIPSVHNMNVENRNGQIVEEVQAVSCTSDQRKELNDRYKNQPMIQKENLVLEPSLTDPHDEINTYLNTSSKDDLGDSLVPARNASSDRSETCNVAIMRRLEDTDDQRLNNSSAALCAGEWADSLTNISVGDLLAEVPQDFNDNCVDHPVPESSLCFQQIPFSCDSFDAAIAAHISRHQNKMGVPSLASRASSIWDAEETCDAFLFPKNPIPCVDSARLSGDASPAACKQIATSDSAGSEYMQLFVQSPDPEEPKENSACEELVDERASDPHIMGTTEKDFNGLTDIYWVC >KJB62393 pep chromosome:Graimondii2_0_v6:9:63697309:63703636:1 gene:B456_009G414900 transcript:KJB62393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTESLVSLPSEAQHNQENVPIQVGDLDVASSTSNNTVPEQPAKKPTRQWAAWTRQEEESFFTALRQVGKNFEKITCRVQSKNKDQVRHYYYRLVRRMNKLLGPRLCLDAKNSKDTNAAMLRWWSLLEKYSCRASKLHLKPRRFKIFVEALEHQLLKDRKKTVRKRPSQGENSSPTSPNSVTNQSRASGQDARTVKLFLVDSQNIQKLGPGKGSFKRNNNVGVNRSNSKGESNTMKPARQRQKPGASSSAYKKWEKAAIAGVSLVADAAEHLEKTINDNGGEHELDTRGPGQHDPVENNQLPLPSFSHNPFTENYIHATGKLKLQLFPIDDSTRRALEMDKHNPHLELTLSTRKKISSVLEHLNRKWGHSSIASGELMLFPYGVQRENLRGHQRWNQATTVSAADVFAMAGSPQVFRLRYGWFSDTEVASLSWQAPVSLPYIPSVHNMNVENRNGQIVEEVQAVSCTSDQRKELNDRYKNQPMIQKENLVLEPSLTDPHDEINTYLNTSSKDDLGDSLVPARNASSDRSETCNVAIMRRLEDTDDQRLNNSSAALCAGEWADSLTNISVGDLLAEVPQDFNDNCVDHPVPESSLCFQQIPFSCDSFDAAIAAHISRHQNKMGVPSLASRASSIWDAEETCDAFLFPKNPIPCVDSARLSGDASPAACKQIATSDSAGSEYMQLFVQSPDPEEPKENSACEELVDERASDPHIMGTTEKDFNGLTDIYWADSLGPLDLDAPSRKYHSEDLILGDSLGGLNRLIASSLDAFQNCSFFGLDKKESTSTVEGRDTASPAFKIGSEG >KJB62394 pep chromosome:Graimondii2_0_v6:9:63697309:63703636:1 gene:B456_009G414900 transcript:KJB62394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTESLVSLPSEAQHNQENVPIQVGDLDVASSTSNNTVPEQPAKKPTRQWAAWTRQEEESFFTALRQVGKNFEKITCRVQSKNKDQVRHYYYRLVRRMNKLLGPRLCLDAKNSKDTNAAMLRWWSLLEKYSCRASKLHLKPRRFKIFVEALEHQLLKDRKKTVRKRPSQGENSSPTSPNSVTNQSRASGQDARTVKLFLVDSQNIQKLGPGKGSFKRNNNVGVNRSNSKGESNTMKPARQRQKPGASSSAYKKWEKAAIAGVSLVADAAEHLEKTINDNGGPGQHDPVENNQLPLPSFSHNPFTENYIHATGKLKLQLFPIDDSTRRALEMDKHNPHLELTLSTRKKISSVLEHLNRKWGHSSIASGELMLFPYGVQRENLRGHQRWNQATTVSAADVFAMAGSPQVFRLRYGWFSDTEVASLSWQAPVSLPYIPSVHNMNVENRNGQIVEEVQAVSCTSDQRKELNDRYKNQPMIQKENLVLEPSLTDPHDEINTYLNTSSKDDLGDSLVPARNASSDRSETCNVAIMRRLEDTDDQRLNNSSAALCAGEWADSLTNISVGDLLAEVPQDFNDNCVDHPVPESSLCFQQIPFSCDSFDAAIAAHISRHQNKMGVPSLASRASSIWDAEETCDAFLFPKNPIPCVDSARLSGDASPAACKQIATSDSAGSEYMQLFVQSPDPEEPKENSACEELVDERASDPHIMGTTEKDFNGLTDIYWADSLGPLDLDAPSRKYHSEDLILGDSLGGLNRLIASSLDAFQNCSFFGLDKKESTSTVEGRDTASPAFKIGSEG >KJB62391 pep chromosome:Graimondii2_0_v6:9:63697188:63703672:1 gene:B456_009G414900 transcript:KJB62391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTESLVSLPSEAQHNQENVPIQVGDLDVASSTSNNTVPEQPAKKPTRQWAAWTRQEEESFFTALRQVGKNFEKITCRVQSKNKDQVRHYYYRLVRRMNKLLGPRLCLDAKNSKDTNAAMLRWWSLLEKYSCRASKLHLKPRRFKIFVEALEHQLLKDRKKTVRKRPSQGENSSPTSPNSVTNQSRASGQDARTVKLFLVDSQNIQKLGPGKGSFKRNNNVGVNRSNSKGESNTMKPARQRQKPGASSSAYKKWEKAAIAGVSLVADAAEHLEKTINDNGGPGQHDPVENNQLPLPSFSHNPFTENYIHATGKLKLQLFPIDDSTRRALEMDKHNPHLELTLSTRKKISSVLEHLNRKWGHSSIASGELMLFPYGVQRENLRGHQRWNQATTVSAADVFAMAGSPQVFRLRYGWFSDTEVASLSWQAPVSLPYIPSVHNMNVENRNGQIVEEVQAVSCTSDQRKELNDRYKNQPMIQKENLVLEPSLTDPHDEINTYLNTSSKDDLGDSLVPARNASSDRSETCNVAIMRRLEDTDDQRLNNSSAALCAGEWADSLTNISVGDLLAEVPQDFNDNCVDHPVPESSLCFQQIPFSCDSFDAAIAAHISRHQNKMGVPSLASRASSIWDAEETCDAFLFPKNPIPCVDSARLSGDASPAACKQIATSDSAGSEYMQLFVQSPDPEEPKENSACEELVDERASDPHIMGTTEKDFNGLTDIYWADSLGPLDLDAPSRKYHSEDLILGDSLGGLNRLIASSLDAFQNCSFFGLDKKESTSTVEGRDTASPAFKIGSEG >KJB57304 pep chromosome:Graimondii2_0_v6:9:12093360:12097253:1 gene:B456_009G157600 transcript:KJB57304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDITGIGINIREVPDDTGSVKLKVQGLILDGPAHTAGVRQGDEVLAVNGEDVVGKSAFEVSSLLQGPNETFVTIKIRHGNCGPTQSLEIQRQLVARSPVFYRLEQVSNSPSSVGYMRLKEFNALARKDLVIAMKRLQDMGASYFILDLRDNLGGLVQAGIEIAKLFLNKGETIIYTVGRDPQYQKNVVADTAPLVTLPVVVLVNNRTASASEIVASALHDNCRAVLVGERTFGKGLIQSVFELRDGSGVVVTVGQYVTPNHLDINGNGIEPDFRNFPGWSDVSQHLLKCNLHQQG >KJB57310 pep chromosome:Graimondii2_0_v6:9:12092463:12097830:1 gene:B456_009G157600 transcript:KJB57310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILLCNCNSPPSLSLTPPQKPPPSLFPAAAVWTKKTLITALSGALSLTLLVSSPSPALSSDSPPFQPPRPPQLSTPLPDRCNEEEEEQLQNKVVLKPEFVTNEEIVQEAWQIVNDSFLDTGRHRWSPQSWEQKKEDILGTSIQTRSKAHELIKRMLASLGDPYTRFLSPAEFSKMARYDITGIGINIREVPDDTGSVKLKVQGLILDGPAHTAGVRQGDEVLAVNGEDVVGKSAFEVSSLLQGPNETFVTIKIRHGNCGPTQSLEIQRQLVARSPVFYRLEQVSNSPSSVGYMRLKEFNALARKDLVIAMKRLQDMGASYFILDLRDNLGGLVQAGIEIAKLFLNKGETIIYTVGRDPQYQKNVVADTAPLVTLPVVVLVNNRTASASEIVASALHDNCRAVLVGERTFGKGLIQSVFELRDGSGVVVTVGQYVTPNHLDINGNGIEPDFRNFPGWSDVSQHLLKCNLHQQG >KJB57305 pep chromosome:Graimondii2_0_v6:9:12092463:12097742:1 gene:B456_009G157600 transcript:KJB57305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASLGDPYTRFLSPAEMARYDITGIGINIREVPDDTGSVKLKVQGLILDGPAHTAGVRQGDEVLAVNGEDVVGKSAFEVSSLLQGPNETFVTIKIRHGNCGPTQSLEIQRQLVARSPVFYRLEQVSNSPSSVGYMRLKEFNALARKDLVIAMKRLQDMGASYFILDLRDNLGGLVQAGIEIAKLFLNKGETIIYTVGRDPQYQKNVVADTAPLVTLPVVVLVNNRTASASEIVASALHDNCRAVLVGERTFGKGLIQSVFELRDGSGVVVTVGQYVTPNHLDINGNGIEPDFRNFPGWSDVSQHLLKCNLHQQG >KJB57311 pep chromosome:Graimondii2_0_v6:9:12093360:12097253:1 gene:B456_009G157600 transcript:KJB57311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDITGIGINIREVPDDTGSVKLKVQGLILDGPAHTAGVRQGDEVLAVNGEDVVGKSAFEVSSLLQGPNETFVTIKIRHGNCGPTQSLEIQRQLVARSPVFYRLEQVSNSPSSVGYMRLKEFNALARKDLVIAMKRLQDMGASYFILDLRDNLGGLVQAGIEIAKLFLNKGETIIYTVGRDPQYQKNVVADTAPLVTLPVVVLVNNRTASASEIVASALHDNCRAVLVGERTFGKGLIQSVFELRDGSGVVVTVGQYVTPNHLDINGNGIEPDFRNFPGWSDVSQHLLKCNLHQQG >KJB57307 pep chromosome:Graimondii2_0_v6:9:12092463:12097742:1 gene:B456_009G157600 transcript:KJB57307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILLCNCNSPPSLSLTPPQKPPPSLFPAAAVWTKKTLITALSGALSLTLLVSSPSPALSSDSPPFQPPRPPQLSTPLPDRCNEEEEEQLQNKVVLKPEFVTNEEIVQEAWQIVNDSFLDTGRHRWSPQSWEQKKEDILGTSIQTRSKAHELIKRMLASLGDPYTRFLSPAEMARYDITGIGINIREVPDDTGSVKLKVQGLILDGPAHTAGVRQGDEVLAVNGEDVVGKSAFEVSSLLQGPNETFVTIKIRHGNCGPTQSLEIQRQLVARSPVFYRLEQVSNSPSSVGYMRLKEFNALARKDLVIAMKRLQDMGASYFILDLRDNLGGLVQAGIEIAKLFLNKGETIIYTVGRDPQYQKNVVADTAPLVTLPVVVLVNNRTASASEIVASALHDNCRAVLVGERTFGKGLIQSVFELRDGSGVVVTVGQYVTPNHLDINGNGIEPDFRNFPGWSDVSQHLLKCNLHQQG >KJB57309 pep chromosome:Graimondii2_0_v6:9:12092463:12097742:1 gene:B456_009G157600 transcript:KJB57309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILLCNCNSPPSLSLTPPQKPPPSLFPAAAVWTKKTLITALSGALSLTLLVSSPSPALSSDSPPFQPPRPPQLSTPLPDRCNEEEEEQLQNKVVLKPEFVTNEEIVQEAWQIVNDSFLDTGRHRWSPQSWEQKKEDILGTSIQTRSKAHELIKRMLASLGDPYTRFLSPAEFSKMARYDITGIGINIREVPDDTGSVKLKVQGLILDGPAHTAGVRQGDEVLAVNGEDVVGKSAFEVSSLLQGPNETFVTIKIRHGNCGPTQSLEIQRQLVARSPVFYRLEQVSNSPSSVGYMRLKEFNALARKDLVIAMKRLQDMGASYFILDLRDNLGGLVQAGIEIAKLFLNKGETVLVNNRTASASEIVASALHDNCRAVLVGERTFGKVGAMFHSIC >KJB57306 pep chromosome:Graimondii2_0_v6:9:12092463:12097742:1 gene:B456_009G157600 transcript:KJB57306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILLCNCNSPPSLSLTPPQKPPPSLFPAAAVWTKKTLITALSGALSLTLLVSSPSPALSSDSPPFQPPRPPQLSTPLPDRCNEEEEEQLQNKVVLKPEFVTNEEIVQEAWQIVNDSFLDTGRHRWSPQSWEQKKEDILGTSIQTRSKAHELIKRMLASLGDPYTRFLSPAEFSKMARYDITGIGINIREVPDDTGSVKLKVQGLILDGPAHTAGVRQGDEVLAVNGEDVVGKSAFEVSSLLQGPNETFVTIKIRHGNCGPTQSLEIQRQLVARSPVFYRLEQVSNSPSSVGYMRLKEFNALARKDLVIAMKRLQDMGASYFILDLRDNLGGLVQAGIEIAKLFLNKGETVLVNNRTASASEIVASALHDNCRAVLVGERTFGKGLIQSVFELRDGSGVVVTVGQYVTPNHLDINGNGIEPDFRNFPGWSDVSQHLLKCNLHQQG >KJB57308 pep chromosome:Graimondii2_0_v6:9:12092463:12097931:1 gene:B456_009G157600 transcript:KJB57308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILLCNCNSPPSLSLTPPQKPPPSLFPAAAVWTKKTLITALSGALSLTLLVSSPSPALSSDSPPFQPPRPPQLSTPLPDRCNEEEEEQLQNKVVLKPEFVTNEEIVQEAWQIVNDSFLDTGRHRWSPQSWEQKKEDILGTSIQTRSKAHELIKRMLASLGDPYTRFLSPAEFSKMARYDITGIGINIREVPDDTGSVKLKVQGLILDGPAHTAGVRQGDEVLAVNGEDVVGKSAFEVSSLLQGPNETFVTIKIRHGNCGPTQSLEIQRQLVARSPVFYRLEQVSNSPSSVGYMRLKEFNALARKDLVIAMKRLQDMGASYFILDLRDNLGGLVQAGIEIAKLFLNKGETIIYTVGRDPQYQKNVVADTAPLVTLPVVVLVNNRTASASEIVASALHDNCRAVLVGERTFGKGLIQSVFELRDGSGVVVTVGQYVTPNHLDINGNGIEPDFRNFPGWSDVSQHLLKCNLHQQG >KJB56836 pep chromosome:Graimondii2_0_v6:9:10415397:10418189:1 gene:B456_009G137800 transcript:KJB56836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAARWLKGLLGMKKEKDKDRIEQYSSVLSDQKERKRFSFGKSSKGVSEITQSPSNVSTTTDAAWLRSYIAETEKDQNKHAIAVAAATAAAADAAVAAAKAAMAVVKLTSNGRTSLVGGGRERWAAVKIQSVFRGYLSRKALRALKGLVRLQALVRGYLVRKRTIATLHSMQALLRAQTTVRSQRIRRSFNRDHSCCLENKPRSSIERFDEPRSVIHSKRLSASMETNAHDDSPKIVEIDTFKTRSRSRRFNLNALSECGDDFASHTTSSPLLCPVPARTSTPHHQNVDDFEWCFADDECRLSTAQSTPRFANPVRTPIAPATPLSVCGEWYFRRPHSNLPNYMAKTQSFKAKLRSHSAPKQRPEPGAKKRLSLNGLMAARNSISGVRMNTSCYQVDEGLEL >KJB56837 pep chromosome:Graimondii2_0_v6:9:10414411:10418189:1 gene:B456_009G137800 transcript:KJB56837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAARWLKGLLGMKKEKDKDRIEQYSSVLSDQKERKRFSFGKSSKGVSEITQSPSNVSTTTDAAWLRSYIAETEKDQNKHAIAVAAATAAAADAAVAAAKAAMAVVKLTSNGRTSLVGGGRERWAAVKIQSVFRGYLSRKALRALKGLVRLQALVRGYLVRKRTIATLHSMQALLRAQTTVRSQRIRRSFNRDHSCCLENKPRSSIERFDEPRSVIHSKRLSASMETNAHDDSPKIVEIDTFKTRSRSRRFNLNALSECGDDFASHTTSSPLLCPVPARTSTPHHQNVDDFEWCFADDECRLSTAQSTPRFANPVRTPIAPATPLSVCGEWYFRRPHSNLPNYMAKTQSFKAKLRSHSAPKQRPEPGAKKRLSLNGLMAARNSISGVRMNTSCYQVDEGLEL >KJB57543 pep chromosome:Graimondii2_0_v6:9:13035004:13038780:-1 gene:B456_009G169300 transcript:KJB57543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEANSWIRRTKFSHTVCHRLDSSRLGSFPLNLQSARNQYRSLGSKPRSTVASSIQKPVHNDPQIQPNPITNKQRSVSPVPQTVLPDTFKEAKSERRRSSTPHPQRKESEKRRVGKFLHKESHQTKRSGSPAPSSISSPLRHLGSLKVSDRSKGMKESAWTKYFDHAGGRVNAVDAADEHTVDLSQLFLGLRFAHGAHSRLYHGIYKEEAVAVKIIRVPDDDNGDLATRLEKQFDREVNLLSRLHHQNVIKFIAACRKPPVYCVITEYLSEGSLRAYLHKLDHKSLPLKKLIVIALEVARGMEYIHSQGVIHRDLKPENVLIDQDFHMKIADFGIACEEAYCDLLADDPGTYRWMAPEMIKRKSYGRKVDVYSFGLMLWELVAGTIPYEDMNPIQAAFAVVNKNLRPVIPKDCPPAMRALIEQCWSLNPEKRPEFWQIVKVLEQFESSLNRDGTLDWVPNPNCQDHKKGLLHWVQKLSPTHNPHFHAYASSPMPKPKFS >KJB57544 pep chromosome:Graimondii2_0_v6:9:13035127:13037649:-1 gene:B456_009G169300 transcript:KJB57544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEANSWIRRTKFSHTVCHRLDSSRLGSFPLNLQSARNQYRSLGSKPRSTVASSIQKPVHNDPQIQPNPITNKQRSVSPVPQTVLPDTFKEAKSERRRSSTPHPQRKESEKRRVGKFLHKESHQTKRSGSPAPSSISSPLRHLGSLKVSDRSKGMKESAWTKYFDHAGGRVNAVDAADEHTVDLSQLFLGLRFAHGAHSRLYHGIYKEEAVAVKIIRVPDDDNGDLATRLEKQFDREVNLLSRLHHQNVIKDFHMKIADFGIACEEAYCDLLADDPGTYRWMAPEMIKRKSYGRKVDVYSFGLMLWELVAGTIPYEDMNPIQAAFAVVNKNLRPVIPKDCPPAMRALIEQCWSLNPEKRPEFWQIVKVLEQFESSLNRDGTLDWVPNPNCQDHKKGLLHWVQKLSPTHNPHFHAYASSPMPKPKFS >KJB60288 pep chromosome:Graimondii2_0_v6:9:26171692:26173989:1 gene:B456_009G298500 transcript:KJB60288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCDLDPDVVRWGLQDLQVCVFNYSSSSRSVTQYEKDSSQTGYIREENDAVIAHAFQEELSRVAAAEASGFNNPNQDSILAQDWAGLTGRRHSPDNNEDDQKTIDQNQGQRNEVNQGKCDLDDSFLSRCEQGGTSSMGDKISLGEDMLRIDIIDQSSDLDGQVEKRLNDMVAIPHVPKINAVIPSVDEETSDHQRLLDRLQLYGLVENKVQGDGNYQEECDGESE >KJB62747 pep chromosome:Graimondii2_0_v6:9:68153267:68154937:-1 gene:B456_009G433700 transcript:KJB62747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVKEIPNFICLLQNLQTLILDGCTTVEELPKDVQNLSGLTMQSITTKQRFLPENGVGRLICLRFLAITGCQNLKYLPQVEFDNAMMGACLRGYHRMGKQFGSYSKHKLMYFLECFFIT >KJB56482 pep chromosome:Graimondii2_0_v6:9:9013696:9019738:-1 gene:B456_009G121300 transcript:KJB56482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVKEESTVAVRKNEVVAAVAPFQDHWLPLTNLDLLLPPLDFGVFFCYKKPTSSHSSRMFSVLKKSLAEALISYYCLGGEVVPNALGEPELLCNNRGVDFVEAYADIELRNLNFHNPDDSIEGKFVPKKKRGVLAVQATELKCGGIVVACAFDHRVADAYTANMFLVSWAEIAQSKPASMVPSFRRSLLNPRRPGRVDPSLDEMYVPIPSLPPPEENHEPTDHLISRIYYVSSDQINELQSLASSDGDKRSKLESFSAFLWKQVAAFAASKDRNRISKMGIVVDGRSRLGEGDEDMATAMTYHFGNVLSVPYGSQPIGELIEQPLCRVAKQVHEFLKPATNKEHFLGLIDWVEAHRPEPAMTRVYNVVKDDGPAVVVSSGLRFPVSKMDFGWGCPAFGSYHFPWGGLCGYVMPMPSPVRDGDWVVYMLLLKGQLEQIEKEAALVFRPLTSDYLNL >KJB58785 pep chromosome:Graimondii2_0_v6:9:17709037:17710681:-1 gene:B456_009G226200 transcript:KJB58785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDINEQSFLQELLALRRDNWDTVPPQMNDIFSNGFNFDCFDDASAAAGFLPQSFCQDFSLPLEQDLSFNFDEAYGPFGDEFSAAPQVTDTSSNTFDTLPFPVQDDHRHWMNMVEEEEWGTLVDEINMLDPQAPACKVEPIRSPEAPVFNVGSCSVDRNNRAKRLKGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILVDTIDYTKELLERIKSLQQEMEAGPNELNMSHIFKDVKPNEILVRKTPKFEVERRNVDTRIEICCTGKPGLLLSTLTTLEALGLDIQQCVISCFNDFAMQASCAEDLEQRTLISSEDIKQTLFRNAGYAGRCL >KJB58786 pep chromosome:Graimondii2_0_v6:9:17709071:17710568:-1 gene:B456_009G226200 transcript:KJB58786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDINEQSFLQELLALRRDNWDTVPPQMNDIFSNGFNFDCFDDASAAAGFLPQSFCQDFSLPLEQDLSFNFDEAYGPFGDEFSAAPQVTDTSSNTFDTLPFPVQDDHRHWMNMVEEEEWGTLVDEINMLDPQAPACKVEPIRSPEAPVFNVGSCSVDRNNRAKRLKGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILVDTIDYTKELLERIKSLQQEMEAGPNELNMSHIFKDVKPNEILVRKTPKFEVERRNVDTRIEICCTGKPGLLLSTLTTLEALGLDIQQCVISCFNDFAMQASCAEVTKTSDRNC >KJB60004 pep chromosome:Graimondii2_0_v6:9:24238461:24240896:1 gene:B456_009G284900 transcript:KJB60004 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-interacting partner 15 [Source:Projected from Arabidopsis thaliana (AT1G76920) UniProtKB/Swiss-Prot;Acc:O49279] MEDSPINRLPEDTLHQIFSSLSLRQIMICRSVCKLFNRTLTSSSFIHLISARSHLHLLALRPPHLHHHHHSRHASLHSCIHVYDPDQNQWLRFSLDFLPFRSPHPVASSLGLVYLWADSPDSNKSLIVCNPLTRQYRVLPQLGSAWSRHGSVLVDSRNRVMVLTELAALYFSFSQKTQQWLKFSSNLPSKPRSPIVISNSVFALCDVGSPWRSKWKLFSCAVKNLLNLNLNVMNNNWECLERHEWGDIFDIMKRPRLIPGDGNKILMIGGLKSNYSFNQSCSTILILRLDLETMEWEEATRMPEAMHRWFQDSKFKVFGGGNRVCFSGKKVGRLALWDCCKWRWIDGVPGNGDELFRGFTFEARLTAIP >KJB60005 pep chromosome:Graimondii2_0_v6:9:24238537:24240896:1 gene:B456_009G284900 transcript:KJB60005 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-interacting partner 15 [Source:Projected from Arabidopsis thaliana (AT1G76920) UniProtKB/Swiss-Prot;Acc:O49279] MEDSPINRLPEDTLHQIFSSLSLRQIMICRSVCKLFNRTLTSSSFIHLISARSHLHLLALRPPHLHHHHHSRHASLHSCIHVYDPDQNQWLRFSLDFLPFRSPHPVASSLGLVYLWADSPDSNKSLIVCNPLTRQYRVLPQLGSAWSRHGSVLVDSRNRVMVLTELAALYFSFSQKTQQWLKFSSNLPSKPRSPIVISNSVFALCDVGSPWRSKWKLFSCAVKNLLNLNLNVMNNNWECLERHEWGDIFDIMKRPRLIPGDGNKILMIGGLKSNYSFNQSCSTILILRLDLETMEWEEATRMPEAMHRWFQDSKFKVFGGGNRVCFSGKKVGRLALWDCCKWRWIDGVPGNGDELFRGFTFEARLTAIP >KJB55086 pep chromosome:Graimondii2_0_v6:9:4504310:4509181:-1 gene:B456_009G062900 transcript:KJB55086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDTFQADGKCIRYVISDLATDVTIIVGEVKFHLHKFPLMSKSNRLQKLVLKASEENSNEIDMVDFPGGPKAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMTEDVDRGNLIFKIEVFINSSILRSWKDSIIVLQTTKSLLPWSENLKIVGRCIDSIASKTSVDPANVTWSYTYNRKLSAPEIIVKGGMKYQEKIESVPKDWWVEDICELEIFLYKRVMTAVKSKGRMDGAIIGEALKTYAVRWLPDSVDALISDVHSWRNKLLVETIVCLLPSDKGVSCSCSFLLKLLKVAILVGVDDSAREDLMNRISLKLDEASVKDLLIPARSPQTTIYDVGMVHSIVNQCMINEKCSQDLDLGKNDVGCTDFVLGHGSLLSVGKVIDGYLEEIARDPNLSLASFIDLARSIPEFARPVHDGLYKAIDMYLKEHLSLTKAERKELCSLMDVKKLTMDASMHAAQNDRLPLRVVVQVLFFEQVRASAGVQSLNNNPRDASRSTTNTEEEWEKTAAEDCNSIKKQMNQTKIKEGEFPKNGKFLKKDSRNSKSGMQLLPSRSRRIFDKLWVVGKGHGENKSSETSGSSQSPTSMVPGDTKSAASSAKHRRHSIS >KJB55088 pep chromosome:Graimondii2_0_v6:9:4504114:4509252:-1 gene:B456_009G062900 transcript:KJB55088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDTFQADGKCIRYVISDLATDVTIIVGEVKFHLHKFPLMSKSNRLQKLVLKASEENSNEIDMVDFPGGPKAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMTEDVDRGNLIFKIEVFINSSILRSWKDSIIVLQTTKSLLPWSENLKIVGRCIDSIASKTSVDPANVTWSYTYNRKLSAPEIIVKGGMKYQEKIESVPKDWWVEDICELEIFLYKRVMTAVKSKGRMDGAIIGEALKTYAVRWLPDSVDALISDVHSWRNKLLVETIVCLLPSDKGVSCSCSFLLKLLKVAILVGVDDSAREDLMNRISLKLDEASVKDLLIPARSPQTTIYDVGMVHSIVNQCMINEKCSQDLDLGKNDVGCTDFVLGHGSLLSVGKVIDGYLEEIARDPNLSLASFIDLARSIPEFARPVHDGLYKAIDMYLKEHLSLTKAERKELCSLMDVKKLTMDASMHAAQNDRLPLRVVVQVLFFEQVRASAGVQSLNNNPRDASRSTTNTEEEWEKTAAEDCNSIKKQMNQTKIKEGEFPKNGKFLKKDSRNSKSGMQLLPSRSRRIFDKLWVVGKGHGENKSSETSGSSQSPTSMVPGDTKSAASSAKHRRHSIS >KJB55087 pep chromosome:Graimondii2_0_v6:9:4505308:4508134:-1 gene:B456_009G062900 transcript:KJB55087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDTFQADGKCIRYVISDLATDVTIIVGEVKFHLHKFPLMSKSNRLQKLVLKASEENSNEIDMVDFPGGPKAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMTEDVDRGNLIFKIEVFINSSILRSWKDSIIVLQTTKSLLPWSENLKIVGRCIDSIASKTSVDPANVTWSYTYNRKLSAPEIIVKGGMKYQEKIESVPKDWWVEDICELEIFLYKRVMTAVKSKGRMDGAIIGEALKTYAVRWLPDSVDALISDVHSWRNKLLVETIVCLLPSDKGVSCSCSFLLKLLKVAILVGVDDSAREDLMNRISLKLDEASVKDLLIPARSPQTTIYDVGMVHSIVNQCMINEKCSQDLDLGKNDVGCTDFVLGHGSLLSVGKVIDGYLEEIARDPNLSLASFIDLARSIPEFARPVHDGLYKAIDMYLKVGF >KJB57887 pep chromosome:Graimondii2_0_v6:9:14234340:14236341:-1 gene:B456_009G185000 transcript:KJB57887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MANLSQPEATNLAAGQNPPPEQQLQDHLDDHSFSFSPITLKKLPHLSDYLQDLRPAGPNPLDHNPFFHPSDGFYVNTSDIVLRQVACRLSPSGTIASPSHHLAYIRAGPRKQIFFDHCATRAAIVTCGGLCPGMNTVIRELVVGLWDLYGVRQIYGIKAGYRGFYSIHPIELNPKMVHNWHRRGGTVLETSRGGFDLTKIVDAIENHGFNQVYIIGGDGTMRGAVKIFEEVRRRKLKVGVTGIPKTVDNDVGIIDRSFGFQTAVEMAEQAIHAAHVEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPETEFYLEGKGGLLEFLEQRLKERGHAVVVVAEGAGQDLIPRTTAQKEERDESGNMVFLDVGAWLKTELKKWWDREHPHELFTVKYIDPTYMIRAVPANATDNLYCTLVAHSAIHGLMAGYSGFVTGPINANHAYIPLADVAQARNEVNIKDHKWEWVRSITNQPDFVKSKQNSV >KJB57610 pep chromosome:Graimondii2_0_v6:9:13297947:13301008:-1 gene:B456_009G172200 transcript:KJB57610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRRMGWHELLWVGRLLVLMQLLHGVFGWGKDGHFAVCKIAEEYLTKDALATVKELLPDSARGELASVCSWADDVRWHYHWSSPLHYVDTPDFKCNYKYCRDCHDTAGHKDRCVTGAIFNYTKQLFSAYQGYSPQLSYNLTEALMFLAHFIGDVHQPLHVGFLGDLGGNTITVSWYRRKTNLHHVWDTMIIDSAVKTLYGSDLATMIQAIQRNITDAWSNDVSSWKNCGHNQTVCPNVYASESVRMACKFAYRNATPGSTLEGIFFFSNKPFLL >KJB57612 pep chromosome:Graimondii2_0_v6:9:13297686:13301008:-1 gene:B456_009G172200 transcript:KJB57612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRRMGWHELLWVGRLLVLMQLLHGVFGWGKDGHFAVCKIAEEYLTKDALATVKELLPDSARGELASVCSWADDVRWHYHWSSPLHYVDTPDFKCNYKYCRDCHDTAGHKDRCVTGAIFNYTKQLFSAYQGYSPQLSYNLTEALMFLAHFIGDVHQPLHVGFLGDLGGNTITVSWYRRKTNLHHVWDTMIIDSAVKTLYGSDLATMIQAIQRNITDAWSNDVSSWKNCGHNQTVCPNVYASESVRMACKFAYRNATPGSTLEDGNITATMPSKHMTGLLAFSPCSVTDSVPEFGAYMCCVYIWLLLTIKGTSMIFLTVLFSL >KJB57609 pep chromosome:Graimondii2_0_v6:9:13296256:13301261:-1 gene:B456_009G172200 transcript:KJB57609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRRMGWHELLWVGRLLVLMQLLHGVFGWGKDGHFAVCKIAEEYLTKDALATVKELLPDSARGELASVCSWADDVRWHYHWSSPLHYVDTPDFKCNYKYCRDCHDTAGHKDRCVTGAIFNYTKQLFSAYQGYSPQLSYNLTEALMFLAHFIGDVHQPLHVGFLGDLGGNTITVSWYRRKTNLHHVWDTMIIDSAVKTLYGSDLATMIQAIQRNITDAWSNDVSSWKNCGHNQTVCPNVYASESVRMACKFAYRNATPGSTLEDEYFLSRLPIVEKRLAQGGIRLAAVLNRLFNSEVKIAQA >KJB57615 pep chromosome:Graimondii2_0_v6:9:13296895:13301510:-1 gene:B456_009G172200 transcript:KJB57615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRRMGWHELLWVGRLLVLMQLLHGVFGWGKDGHFAVCKIAEEYLTKDALATVKELLPDSARGELASVCSWADDVRWHYHWSSPLHYVDTPDFKCNYKYCRDCHDTAGHKDRCVTGAIFNYTKQLFSAYQGYSPQLSYNLTEALMFLAHFIGDVHQPLHVGFLGDLGGNTITVSWYRRKTNLHHVWDTMIIDSAVKTLYGSDLATMIQAIQRNITDAWSNDVSSWKNCGHNQTVCPNVYASESVRMACKFAYRNATPGSTLEDEYFLSRLPIVEKRLAQGGIRLAAVLNRLFNSEVKIAQA >KJB57618 pep chromosome:Graimondii2_0_v6:9:13296895:13301367:-1 gene:B456_009G172200 transcript:KJB57618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRRMGWHELLWVGRLLVLMQLLHGVFGWGKDGHFAVCKIAEEYLTKDALATVKELLPDSARGELASVCSWADDVRWHYHWSSPLHYVDTPDFKCNYKYCRDCHDTAGHKDRCVTGAIFNYTKQLFSAYQGYSPQLSYNLTEALMFLAHFIGDVHQPLHVGFLGDLGGNTITVSWYRRKTNLHHVWDTMIIDSAVKTLYGSDLATMIQAIQRNITDAWSNDVSSWKNCGHNQTVCPNVYASESVRMACKFAYRNATPGSTLEDEYFLSRLPIVEKRLAQGGIRLAAVLNRLFNSEVKIAQA >KJB57613 pep chromosome:Graimondii2_0_v6:9:13297371:13301008:-1 gene:B456_009G172200 transcript:KJB57613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRRMGWHELLWVGRLLVLMQLLHGVFGWGKDGHFAVCKIAEEYLTKDALATVKELLPDSARGELASVCSWADDVRWHYHWSSPLHYVDTPDFKWDCHDTAGHKDRCVTGAIFNYTKQLFSAYQGYSPQLSYNLTEALMFLAHFIGDVHQPLHVGFLGDLGGNTITVSWYRRKTNLHHVWDTMIIDSAVKTLYGSDLATMIQAIQRNITDAWSNDVSSWKNCGHNQTVCPNVYASESVRMACKFAYRNATPGSTLEDEYFLSRLPIVEKRLAQGGIRLAAVLNRLFNSEVKIAQA >KJB57619 pep chromosome:Graimondii2_0_v6:9:13299796:13301269:-1 gene:B456_009G172200 transcript:KJB57619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRRMGWHELLWVGRLLVLMQLLHGVFGWGKDGHFAVCKIAEEYLTKDALATVKELLPDSARGELASVCSWADDVRWHYHWSSPLHYVDTPDFKCNYKYCRDCHDTAGHKDRCVTGAIFNYTKQLFSAYQGYSPQLSYNLTEALMFLAHFIGDVHQVCALLPVPLNLKVFLKIQCYKLCSYTGIGRMADWV >KJB57614 pep chromosome:Graimondii2_0_v6:9:13296895:13301261:-1 gene:B456_009G172200 transcript:KJB57614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRRMGWHELLWVGRLLVLMQLLHGVFGWGKDGHFAVCKIAEEYLTKDALATVKELLPDSARGDCHDTAGHKDRCVTGAIFNYTKQLFSAYQGYSPQLSYNLTEALMFLAHFIGDVHQPLHVGFLGDLGGNTITVSWYRRKTNLHHVWDTMIIDSAVKTLYGSDLATMIQAIQRNITDAWSNDVSSWKNCGHNQTVCPNVYASESVRMACKFAYRNATPGSTLEDEYFLSRLPIVEKRLAQGGIRLAAVLNRLFNSEVKIAQA >KJB57617 pep chromosome:Graimondii2_0_v6:9:13296895:13301428:-1 gene:B456_009G172200 transcript:KJB57617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRRMGWHELLWVGRLLVLMQLLHGVFGWGKDGHFAVCKIAEEYLTKDALATVKELLPDSARGELASVCSWADDVRWHYHWSSPLHYVDTPDFKCNYKYCRDCHDTAGHKDRCVTGAIFNYTKQLFSAYQGYSPQLSYNLTEALMFLAHFIGDVHQPLHVGFLGDLGGNTITVSWYRRKTNLHHVWDTMIIDSAVKTLYGSDLATMIQAIQRNITDAWSNDVSSWKNCGHNQTVCPNVYASESVRMACKFAYRNATPGSTLEDEYFLSRLPIVEKRLAQGGIRLAAVLNRLFNSEVKIAQA >KJB57611 pep chromosome:Graimondii2_0_v6:9:13296895:13301261:-1 gene:B456_009G172200 transcript:KJB57611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLQISSVIINTAVTIFNYTKQLFSAYQGYSPQLSYNLTEALMFLAHFIGDVHQPLHVGFLGDLGGNTITVSWYRRKTNLHHVWDTMIIDSAVKTLYGSDLATMIQAIQRNITDAWSNDVSSWKNCGHNQTVCPNVYASESVRMACKFAYRNATPGSTLEDEYFLSRLPIVEKRLAQGGIRLAAVLNRLFNSEVKIAQA >KJB57616 pep chromosome:Graimondii2_0_v6:9:13296895:13301416:-1 gene:B456_009G172200 transcript:KJB57616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRRMGWHELLWVGRLLVLMQLLHGVFGWGKDGHFAVCKIAEEYLTKDALATVKELLPDSARGELASVCSWADDVRWHYHWSSPLHYVDTPDFKWDCHDTAGHKDRCVTGAIFNYTKQLFSAYQGYSPQLSYNLTEALMFLAHFIGDVHQPLHVGFLGDLGGNTITVSWYRRKTNLHHVWDTMIIDSAVKTLYGSDLATMIQAIQRNITDAWSNDVSSWKNCGHNQTVCPNVYASESVRMACKFAYRNATPGSTLEDEYFLSRLPIVEKRLAQGGIRLAAVLNRLFNSEVKIAQA >KJB59845 pep chromosome:Graimondii2_0_v6:9:23098148:23102142:1 gene:B456_009G275700 transcript:KJB59845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKNFGSNQPPSDDGGGGNKPPGNYPISRQPSVYSLTFDEFQSTMGGIGTDFGSMNMDELLKSIWSAEETQTMASPGVGLVGNGGLQRQGSLTLPRTLSHKTVDEVWREISNEFSLGTEATDNMPQRQQTLKEITLEEFLVRAGVVREDNTQFSWKDNNVNGGFFGELPQAGSNTSGIGIGFQQGGRGPNLMGNRLPDGGNQNGFQASNLHMNLNGVRPNQHHLTRPQQQPLCPKQPGVEFGAQTGLQSVGQLGSPGIRCSQGLYNGLIHGGGMSMVGLRSPTNHLSSDGIGKSSGDSSSVSPVPYVFNGSLRGRKSSAVEKVAERRQRRMIKNRESAARSRARKQAYTTELEAEVAKLKEENQELQKKHDHIIKIQKNQVMEILNVQPGAKKRCLRRTRTGPW >KJB56827 pep chromosome:Graimondii2_0_v6:9:10517807:10523993:1 gene:B456_009G139400 transcript:KJB56827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSDLKVLKLKLEQEASENLGMAMIYTLVSSAKEWLSERYGQDACPDNAKEEEAAKDEVIVPHGEPVTVDTFSAWRERFEAELALERAKLMPESALTAPKEKKLTGRQWFENGRASAKGASPVREGSDEEDEEDIDLDNYDFDGDGRPDYLVLDCAMNIILIDAFIIMIFSSKTEARSVSKLEERNCLITLDYYR >KJB56825 pep chromosome:Graimondii2_0_v6:9:10517887:10523993:1 gene:B456_009G139400 transcript:KJB56825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSDLKVLKLKLEQEASENLGMAMIYTLVSSAKEWLSERYGQDACPDNAKEEEAAKDEVIVPHGEPVTVDTFSAWRERFEAELALERAKLMPESALTAPKEKKLTGRQWFENGRASAKGASPVREGSDEEDEEDIDLDNYDFDGDGRPDYLVLDCAMNIILIDAFIIMIFSSKTEARSVSKLEERNCLITLDYYR >KJB56826 pep chromosome:Graimondii2_0_v6:9:10521024:10522902:1 gene:B456_009G139400 transcript:KJB56826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSDLKVLKLKLEQEASENLGMAMIYTLVSSAKEWLSERYGQDACPDNAKEEEAAKDEVIVPHGEPVTVDTFSAWRERFEAELALERAKLMPESALTAPKEKKLTGRQWFENGRASAVTILSNFSSL >KJB56828 pep chromosome:Graimondii2_0_v6:9:10520300:10523979:1 gene:B456_009G139400 transcript:KJB56828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIYTLVSSAKEWLSERYGQDACPDNAKEEEAAKDEVIVPHGEPVTVDTFSAWRERFEAELALERAKLMPESALTAPKEKKLTGRQWFENGRASAKGASPVREGSDEEDEEDIDLDNYDFDDDE >KJB59409 pep chromosome:Graimondii2_0_v6:9:21115811:21116404:-1 gene:B456_009G256000 transcript:KJB59409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPNQLCLVLVIFLSIFSLSSFPTSAIIPKANVSLPIPSSQLVENLCNGKAIQNRRFCLKALSTPEVITALDTTQLRTLIMKLGAAKAKATLNVYNEIIKKPGSPQALKALNMCVEAYKYAILSFEMVSSELVEYPQTANYDVAVLGPEIANCEKELINAKVQAPQLLARNRFMKYYVSMGYEITSTLELENPNDY >KJB53627 pep chromosome:Graimondii2_0_v6:9:49207335:49209624:-1 gene:B456_009G367300 transcript:KJB53627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGPLTQDWEPVVIRKKAPTAAAKKDEKVVNAARRAGAEIESIKKSNAGTNRAASSSTSLNTRKLDEDTENLAHDRVPTELKKAIMQARMDKKLTQAQLAQMINEKPQIIQEYESGKAIPNQQIIGKLERALGAKLRGKK >KJB53626 pep chromosome:Graimondii2_0_v6:9:49207335:49209540:-1 gene:B456_009G367300 transcript:KJB53626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGPLTQDWEPVVIRKKAPTAAAKKDEKVVNAARRAGAEIESIKKSNAGTNRAASSSTSLNTRKLDEDTENLAHDRVPTELKKAIMQARMDKKLTQAQLAQVSSLYSCVYIFMHIPQD >KJB56398 pep chromosome:Graimondii2_0_v6:9:8715932:8718415:1 gene:B456_009G117900 transcript:KJB56398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHLVFWLQCTAILLLLSPVSSLARPLPQGDPLYLNFVLNATYFPSEDYYDYIVVGGGTAGCPLAATLSQSYRVLVLERGGVPYGNQNLMNQEGFLTTLTEVDTFDSPAQSFTSEDGVLNARGRVLGGSSAINAGFYSRADPEFYESSGVNWDLSLVNQSYEWVERGVVFRPELKNWQSAVRDALLEAGIDPYHGFTLDHLVGTKIGGSTFDSSGKRHSAADLLNYGKPANIKVALYASVERVLLASSSSNVLSRQSAIGVVYRDETGRYHHAMVKEKGEVLLCAGAIGSPQLLLLSGIGPRPYLSSWGIPVVYHHPYVGQFVYDNPRNGISILPPIPLEYSLIQVAGITEMGAYVEAASNVIPFTTPSRSAFIRPPSSPLLLTVATIMEKIVGPISTGSLRLASTDVRVNPIVRFNYFSNPIDLERCVNGTRKIGDILRSRSMDDFKFNEWFGSRNFRFVGPSLPVDQSNYEQMADFCRRTVSTIWHYHGGCVVGRVVDQNYHVIGIDALRVVDGSTFTISPGTNPQATVMMLGRYVGLRIIKERKRLK >KJB58934 pep chromosome:Graimondii2_0_v6:9:18227458:18228025:1 gene:B456_009G231500 transcript:KJB58934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLVIPRGTRPHKKGGLSARPLGNCTSKEASPISSYANATVQPEGGGPLSHITPSDVLFLCHHGTLCVFLLLNS >KJB56434 pep chromosome:Graimondii2_0_v6:9:8861401:8866478:1 gene:B456_009G119700 transcript:KJB56434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSPADQTPPFSGMQSLSVDSQPPSSSSTPQMSLEEKFKIIRSVGEECIQEDELLNLLNHKPEPICYDGFEPSGRMHIAQGVMKAINVNKLTSAGCRVKIWIADWFAQLNNKMGGDLKKIKVVGQYLIEIWKAVGMDLNEKVEFLWSSDEINSRASEYWPLVMDIARRNKLPRIMRCCQIMGRSEQDELSAAQILYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSDPSSSIFMEDEEAEVNVKIKKAYCPPKIVEGNPCLEYIKYIIFPWFNEFRVERNADNGGDKTYKDFEELVSDYESGGLHPGDLKPALSKALNKILQPVRDHFNKDAKAKDLLKRVKSYRVTK >KJB56436 pep chromosome:Graimondii2_0_v6:9:8861419:8866439:1 gene:B456_009G119700 transcript:KJB56436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSPADQTPPFSGMQSLSVDSQPPSSSSTPQMSLEEKFKIIRSVGEECIQEDELLNLLNHKPEPICYDGFEPSGRMHIAQGVMKAINVNKLTSAGCRVKIWIADWFAQLNNKMGGDLKKIKVVGQYLIEIWKAVGMDLNEKVEFLWSSDEINSRASEYWPLVMDIARRNKLPRIMRCCQIMGRSEQDELSAAQILYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSDPSSSIFMEDEEAEVNVKIKKAYCPPKIVEGNPCLEYIKYIIFPWFNEFRVERNADNGGDKLVVPYLFTYIPA >KJB56435 pep chromosome:Graimondii2_0_v6:9:8861419:8864805:1 gene:B456_009G119700 transcript:KJB56435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSPADQTPPFSGMQSLSVDSQPPSSSSTPQMSLEEKFKIIRSVGEECIQEDELLNLLNHKPEPICYDGFEPSGRMHIAQGVMKAINVNKLTSAGCRVKIWIADWFAQLNNKMGGDLKKIKVVGQYLIEIWKAVGMDLNEKVEFLWSSDEINSRASEYWPLVMDIARRNKLPRIMRCCQIMGRSEQDELSAAQILYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSDPSSSIFMEDEEAEVNVKIKKAYCPPKIVEGNPCLEYIKYIIFPWFNEFRVERNADNGGDKTYKDFEELVSDYESGGLHPGDLKPALSKALNKILQVSMFLPFAFISLLLLITR >KJB56993 pep chromosome:Graimondii2_0_v6:9:11396728:11399447:1 gene:B456_009G150100 transcript:KJB56993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQASFERAKKWVQELQAQGNPGMVMALAGNKVDLLDARKVAAEEAQTYAQENGLFFKETSAKTASNVNEIFYEIGVLFVSLLSFQ >KJB56995 pep chromosome:Graimondii2_0_v6:9:11397734:11399216:1 gene:B456_009G150100 transcript:KJB56995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELESLVLFCASLKGNLLNFRSESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQASFERAKKWVQELQAQGNPGMVMALAGNKVDLLDARKVAAEATQTYAQENGLFFKETSAKTASNVNEIFYEIAKRLPRVQPAQNPAGMVLMDRPAERSASATCCS >KJB56994 pep chromosome:Graimondii2_0_v6:9:11396728:11400219:1 gene:B456_009G150100 transcript:KJB56994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQASFERAKKWVQELQAQGNPGMVMALAGNKVDLLDARKVAAEATQTYAQENGLFFKETSAKTASNVNEIFYEIAKRLPRVQPAQNPAGMVLMDRPAERSASATCCS >KJB56992 pep chromosome:Graimondii2_0_v6:9:11396728:11398835:1 gene:B456_009G150100 transcript:KJB56992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQASFERAKKWVQELQAQGNPGMVMALAGNKVDLLDARKVAAEASYQFLLHISLPQNINIILLLIGNSEHDFEGRMTFRIIIILIF >KJB56991 pep chromosome:Graimondii2_0_v6:9:11396728:11400240:1 gene:B456_009G150100 transcript:KJB56991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQASFERAKKWVQELQAQGNPGMVMALAGNKVDLLDARKVAAEEAQTYAQENGLFFKETSAKTASNVNEIFYEIAKRLPRVQPAQNPAGMVLMDRPAERSASATCCS >KJB56996 pep chromosome:Graimondii2_0_v6:9:11396677:11399568:1 gene:B456_009G150100 transcript:KJB56996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQASFERAKKWVQELQAQGNPGMVMALAGNKVDLLDARKVAAEEAQTYAQENGLFFKETSAKTASNVNEIFYEIAKRLPRVQPAQNPAGMVLMDRPAERSASATCCS >KJB56621 pep chromosome:Graimondii2_0_v6:9:9787454:9788584:-1 gene:B456_009G129800 transcript:KJB56621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDRRVGSFFFERSRVSPYPCSSRGRDAKQCQHEDPLELEFGLEDVKEWEDARCPICMEHPHNAVLLRCSSFEKGCRPFMCNTSYRHSNCLDQFCKSSVSSPSTAMLQEIPLGNLTSTSDGWRNPPPFFDQQTEAGSDKQPKLLCPLCRGEIYGWSVVEAARQFMNSKPRSCSSETCDFTGTYGELRKHARSAHPLVRPTEVDPERQRDWSRLERERDYEDMLSSIQPVAREESNGESISDLEDFRSWLTINLAYLTLALEFISDSRNNEHDRFRRRPGNRRFRYDRESDHGTRENNSSTPDRALFGHRNNPTPEERVPGRHRGSQGILHWRNRNSPSDRIPQGRRQSSQADRTHRGQGGLRWRTPRWSTFNDGQ >KJB56620 pep chromosome:Graimondii2_0_v6:9:9786669:9789279:-1 gene:B456_009G129800 transcript:KJB56620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKPRSCSSETCDFTGTYGELRKHARSAHPLVRPTEVDPERQRDWSRLERERDYEDMLSSIQPVAREESNGESISDLEDFRSWLTINLAYLTLALEFISDSRNNEHDRFRRRPGNRRFRYDRESDHGTRENNSSTPDRALFGHRNNPTPEERVPGRHRGSQGILHWRNRNSPSDRIPQGRRQSSQADRTHRGQGGLRWRTPRWSTFNDGQ >KJB56618 pep chromosome:Graimondii2_0_v6:9:9786801:9789279:-1 gene:B456_009G129800 transcript:KJB56618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDRRVGSFFFERSRVSPYPCSSRGRDAKQCQHEDPLELEFGLEDVKEWEDARCPICMEHPHNAEIPLGNLTSTSDGWRNPPPFFDQQTEAGSDKQPKLLCPLCRGEIYGWSVVEAARQFMNSKPRSCSSETCDFTGTYGELRKHARSAHPLVRPTEVDPERQRDWSRLERERDYEDMLSSIQPVAREESNGESISDLEDFRSWLTINLAYLTLALEFISDSRNNEHDRFRRRPGNRRFRYDRESDHGTRENNSSTPDRALFGHRNNPTPEERVPGRHRGSQGILHWRNRNSPSDRIPQGRRQSSQADRTHRGQGGLRWRTPRWSTFNDGQ >KJB56616 pep chromosome:Graimondii2_0_v6:9:9786669:9789190:-1 gene:B456_009G129800 transcript:KJB56616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDRRVGSFFFERSRVSPYPCSSRGRDAKQCQHEDPLELEFGLEDVKEWEDARCPICMEHPHNAEIPLGNLTSTSDGWRNPPPFFDQQTEAGSDKQPKLLCPLCRGEIYGWSVVEAARQFMNSKPRSCSSETCDFTGTYGELRKHARSAHPLVRPTEVDPERQRDWSRLERERDYEDMLSSIQPVAREESNGESISDLEDFRSWLTINLAYLTLALEFISDSRNNEHDRFRRRPGNRRFRYDRESDHGTRENNSSTPDRALFGHRNNPTPEERVPGRHRGSQGILHWRNRNSPSDRIPQGRRQSSQADRTHRGQGGLRWRTPRWSTFNDGQ >KJB56619 pep chromosome:Graimondii2_0_v6:9:9787454:9788410:-1 gene:B456_009G129800 transcript:KJB56619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPHNAEIPLGNLTSTSDGWRNPPPFFDQQTEAGSDKQPKLLCPLCRGEIYGWSVVEAARQFMNSKPRSCSSETCDFTGTYGELRKHARSAHPLVRPTEVDPERQRDWSRLERERDYEDMLSSIQPVAREESNGESISDLEDFRSWLTINLAYLTLALEFISDSRNNEHDRFRRRPGNRRFRYDRESDHGTRENNSSTPDRALFGHRNNPTPEERVPGRHRGSQGILHWRNRNSPSDRIPQGRRQSSQADRTHRGQGGLRWRTPRWSTFNDGQ >KJB56623 pep chromosome:Graimondii2_0_v6:9:9786669:9788956:-1 gene:B456_009G129800 transcript:KJB56623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDRRVGSFFFERSRVSPYPCSSRGRDAKQCQHEDPLELEFGLEDVKEWEDARCPICMEHPHNAEIPLGNLTSTSDGWRNPPPFFDQQTEAGSDKQPKLLCPLCRGEIYGWSVVEAARQFMNSKPRSCSSETCDFTGTYGELRKHARSAHPLVRPTEVDPERQRDWSRLERERDYEDMLSSIQPVAREESNGESISDLEDFRSWLTINLAYLTLALEFISDSRNNEHDRFRRRPGNRRFRYDRESDHGTRENNSSTPDRALFGHRNNPTPEERVPGRHRGSQGILHWRNRNSPSDRIPQGRRQSSQADRTHRGQGGLRWRTPRWSTFNDGQ >KJB56617 pep chromosome:Graimondii2_0_v6:9:9786801:9789195:-1 gene:B456_009G129800 transcript:KJB56617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDRRVGSFFFERSRVSPYPCSSRGRDAKQCQHEDPLELEFGLEDVKEWEDARCPICMEHPHNAVLLRCSSFEKGCRPFMCNTSYRHSNCLDQFCKSSVSSPSTAMLQEIPLGNLTSTSDGWRNPPPFFDQQTEAGSDKQPKLLCPLCRGEIYGWSVVEAARQFMNSKPRSCSSETCDFTGTYGELRKHARSAHPLVRPTEVDPERQRDWSRLERERDYEDMLSSIQPVAREESNGESISDLEDFRSWLTINLAYLTLALEFISDSRNNEHDRFRRRPGNRRFRYDRESDHGTRENNSSTPDRALFGHRNNPTPEERVPGRHRGSQGILHWRNRNSPSDRIPQGRRQSSQADRTHRGQGGLRWRTPRWSTFNDGQ >KJB56622 pep chromosome:Graimondii2_0_v6:9:9787454:9788584:-1 gene:B456_009G129800 transcript:KJB56622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDRRVGSFFFERSRVSPYPCSSRGRDAKQCQHEDPLELEFGLEDVKEWEDARCPICMEHPHNAVLLRCSSFEKGCRPFMCNTSYRHSNCLDQFCKSSVSSPSTAMLQEIPLGNLTSTSDGWRNPPPFFDQQTEAGSDKQPKLLCPLCRGEIYGWSVVEAARQFMNSKPRSCSSETCDFTGTYGELRKHARSAHPLVRPTEVDPERQRDWSRLERERDYEDMLSSIQPVAREESNGESISDLEDFRSWLTINLAYLTLALEFISDSRNNEHDRFRRRPGNRRFRYDRESDHGTRENNSSTPDRALFGHRNNPTPEERVPGRHRGSQGILHWRNRNSPSDRIPQGRRQSSQADRTHRGQGGLRWRTPRWSTFNDGQ >KJB56811 pep chromosome:Graimondii2_0_v6:9:10287172:10287993:-1 gene:B456_009G136700 transcript:KJB56811 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENODL7 [Source:Projected from Arabidopsis thaliana (AT1G79800) UniProtKB/TrEMBL;Acc:A0A178W8V4] MEHLRGFIFCICFFIIAAMNGSVEASKQFKVGDHIGWQQPGANNTEVYTQWATSKRFHVGDSLSFEYQNDSVLVVEKWDYYHCNINKPISSFDDGNTVINLDRPGLFYFISGVPDHCKKSQKLMIQVMGLHQRAESSPGIPNTPEVGLAPGPHPSSSGIVVTVTLTSVFLALILTVVTMV >KJB59385 pep chromosome:Graimondii2_0_v6:9:20659327:20661577:-1 gene:B456_009G252800 transcript:KJB59385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAISESMLQCAPRPMLAIDRNWKSNAELAPNCPRCASANTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKSRRGKSNRVDKNGAQVSTNYSKNLTSFGTHQEHMMGATTSHGDTGNQPGPATTTGSDIDLAVIFAQFLNQSTSSDQPEIILTQEWPNEGMDPWSCLEQDTNNQNESSMELPVVHTIPESYNMLQEMPQVEQHLKDNSVEELLESDEINAFGLQNLLADEMVQDVFWSNYAANTPSFECQLQQLESFPVDDQLKISANLMAETWTSFDLSGSELFSKP >KJB60055 pep chromosome:Graimondii2_0_v6:9:24616648:24620210:1 gene:B456_009G287800 transcript:KJB60055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHPLFFSLRSLSLVSILFLVVFPPSLSLSIETQALLDFKNMLKDPLNVLDSWKESESPCEFFGVSCDPVSGNVTEISLANKSLSGEISPSISTLGNLKTIYLPQNLISGKLPPQLNHCSNLRVLNLSWNGMIGTIPDLSGLQNLKVLDLSVNFFSGRFPSWVGNLTGLVYLGLASNHYDEGEIPESIGNLKNLTWLFLARSNLRGQIPESIFELNALQTLDISRNKISGDFPSSISKLKNLTKIELFFNNFTGELPPGIADLSLLREIDISSNQMHGRLPEKMGNLKNLVVFQCYNNNFSGEIPAGFGDMRNLIGFSVYRNNFSGLFPANFGRFSPLDSIDISENQFSGEFPRFLCENRKLRLLLALDNNFSGEFPDSYVDCKSLERLRINKNHLSGKIPDGGWALPHATMIDFGDNDFEGEISPMIVFSISLNQLVLKNNRFSGNVPSVLGKLANLERLLLNNNSFSGNLPAEIGALKQLSSLHLEQNSLTGLIPGEISDCFRLVDLNLADNDFSGNIPSTVSLMSSLNSLNLSGNQLTGPIPKNLENLRLSSIDLSRNQLSGNVPSDLLTIGGDEAFVGNGLCIDQYSKTLVKYTLLNVCKEGQGKKRVLGGKLVVFIIMAVALLLVLAGLLLVSCKNFKLGESDVENGLEGEKGVNSKWKLASFHHMDIDPDEICNLEEENLIGSGGTGRVYRLDLKEKGNVVAVKQLWKGNGMKVLAAEMDILGQIRHRNILKLYACLMKGGLNYLVFEYMSNGNLFQALRSENKGGKPELNWYQRYKIALGAAKGISYLHHDCSPPIIHRDIKSCNILLDDDYEPKIADFGVAKIAEKSLEGSEYSSFAGTHGYIAPELAYTLKVTEKSDVYSFGVVLLELVTGKASIEEEYGEGKDIVYWVLTHLGDGENVVKVLDDRVDVETVQDDMIKVLKIGILCAAKLPNLRPTMREVVNMLMDAEPCTAISADIKSDKPGNSFL >KJB60054 pep chromosome:Graimondii2_0_v6:9:24615752:24620210:1 gene:B456_009G287800 transcript:KJB60054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHPLFFSLRSLSLVSILFLVVFPPSLSLSIETQALLDFKNMLKDPLNVLDSWKESESPCEFFGVSCDPVSGNVTEISLANKSLSGEISPSISTLGNLKTIYLPQNLISGKLPPQLNHCSNLRVLNLSWNGMIGTIPDLSGLQNLKVLDLSVNFFSGRFPSWVGNLTGLVYLGLASNHYDEGEIPESIGNLKNLTWLFLARSNLRGQIPESIFELNALQTLDISRNKISGDFPSSISKLKNLTKIELFFNNFTGELPPGIADLSLLREIDISSNQMHGRLPEKMGNLKNLVVFQCYNNNFSGEIPAGFGDMRNLIGFSVYRNNFSGLFPANFGRFSPLDSIDISENQFSGEFPRFLCENRKLRLLLALDNNFSGEFPDSYVDCKSLERLRINKNHLSGKIPDGGWALPHATMIDFGDNDFEGEISPMIVFSISLNQLVLKNNRFSGNVPSVLGKLANLERLLLNNNSFSGNLPAEIGALKQLSSLHLEQNSLTGLIPGEISDCFRLVDLNLADNDFSGNIPSTVSLMSSLNSLNLSGNQLTGPIPKNLENLRLSSIDLSRNQLSGNVPSDLLTIGGDEAFVGNGLCIDQYSKTLVKYTLLNVCKEGQGKKRVLGGKLVVFIIMAVALLLVLAGLLLVSCKNFKLGESDVENGLEGEKGVNSKWKLASFHHMDIDPDEICNLEEENLIGSGGTGRVYRLDLKEKGNVVAVKQLWKGNGMKVLAAEMDILGQIRHRNILKLYACLMKGGLNYLVFEYMSNGNLFQALRSENKGGKPELNWYQRYKIALGAAKGISYLHHDCSPPIIHRDIKSCNILLDDDYEPKIADFGVAKIAEKSLEGSEYSSFAGTHGYIAPELAYTLKVTEKSDVYSFGVVLLELVTGKASIEEEYGEGKDIVYWVLTHLGDGENVVKVLDDRVDVETVQDDMIKVLKIGILCAAKLPNLRPTMREVVNMLMDAEPCTAISADIKSDKPGNSFL >KJB62950 pep chromosome:Graimondii2_0_v6:9:69594644:69595680:-1 gene:B456_009G444800 transcript:KJB62950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENELHNFIKVWILAIVSLCYCFYISSKLPKGIFKPFSLTPIIIFFLYLPLTVSSVHLVGITAFFLSWLANFKLLLFCFDQPPLSPPPSNLFHFISLASLPIKLQQKTSSQNKSKPPQRSILFAIKVLILALLYHCYNYKRNLHKNVVLAMYGVHMYIELELTLALAAIPARAMFGLEIEPQFNEPFLTTSLQDFWGRRWNLIVTSILRPTVYYPMRRISTRLVGSRWTSLPAIITVFVVSGLMHELMYYYVTRVAPTWEMTWFFILHGVAVAAEVVVKKVVPEKMRLHPVVSGALAMGFLAVTAVWLFLPQLLRNGVDEKAIGEYCKLMDLLKGLLTF >KJB59980 pep chromosome:Graimondii2_0_v6:9:24111997:24114721:1 gene:B456_009G283700 transcript:KJB59980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNHAKIPSTSSFCSNIFFVSALPVIAFGEQLSRDTDGSLSTVETLASTALCGILNSIFGGQPLLILGVAEPTVIMYTYLYNFAKGRDDLGQELHLAWVGWVCVWTALLLFLLAVFNACTVINRFTRIAGELFGMLISGVVSEFQVPDHQDAKSEKYQFQWVYTKGLLGIMFSLGLLYTPLKSRRARSWWYGTGWFRSFIADYGVPLMVVVWTAMSFSVPSKDMGRIPPLYIFAAFIPAVMIAGLHFFDHSVASRMAQEKEFNLKNPSAYHYDILLLGFMTLLCGLICLPPSNGVLPQSPMHTKSLAVLKGQVDHPIKMVQSAKESIKHKASDSEIYSKMQAVFIEMDKSPETAVIKELEDLKKVFMRGENEGEIKKETFDPEKHIDAYLPKITTSGQ >KJB59384 pep chromosome:Graimondii2_0_v6:9:20652356:20655001:1 gene:B456_009G252700 transcript:KJB59384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAGGATTAGVRLFSVPRTLPAIRTSSFVTTLPFSKLGSFPGRVRSNEPARKKLLVIRAARTESQGVSLGFRAPNFQLPEPLTGKTWTLEDLESYPALLVMFICNHCPFVKHLKKDIAKLSNFYMKKGLAVVAISSNSVATHPQDGPQFMAEDAKLYNYPFPYLYDETQDVARDFGAVCTPEFFLFKKDGRRPFELVYHGQFDDSRPSNSVPVTGRDLSLAIDSVLSGQPVPSVQKPRCILP >KJB59382 pep chromosome:Graimondii2_0_v6:9:20652303:20655082:1 gene:B456_009G252700 transcript:KJB59382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAGGATTAGVRLFSVPRTLPAIRTSSFVTTLPFSKLGSFPGRVRSNEPARKKLLVIRAARTESQGVSLGFRAPNFQLPEPLTGKTWTLEDLESYPALLVMFICNHCPFVKHLKKDIAKLSNFYMKKGLAVVAISSNSVATHPQDGPQFMAEDAKLYNYPFPYLYDETQDVARDFGAVCTPEFFLFKKDGRRPFELVYHGQFDDSRPSNSVPVTGRDLSLAIDSVLSGQPVPSVQKPSVGCSIKWHPQKSW >KJB59383 pep chromosome:Graimondii2_0_v6:9:20652356:20655001:1 gene:B456_009G252700 transcript:KJB59383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAGGATTAGVRLFSVPRTLPAIRTSSFVTTLPFSKLGSFPGRVRSNEPARKKLLVIRAARTESQGVSLGFRAPNFQLPEPLTGKTWTLEDLESYPALLVMFICNHCPFVKHLKKDIAKLSNFYMKKGLAVVAISSNSVATHPQDGPQFMAEDAKLYNYPFPYLYDETQDVARDFGAVCTPEFFLFKKDGRRPFELVYHGQFDDSRPSNSVPVTGRFGFMNFSLICFNNMKTCSCCKQICLV >KJB54687 pep chromosome:Graimondii2_0_v6:9:3254484:3259607:1 gene:B456_009G045100 transcript:KJB54687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARKVANRAILKRLVNESKQSRNGEMSSRSPVSYTPSRYVSSLSPFGSKNHSRSDSLGARNVSNNVGFGVGSQIRSISVEALKSSDTFPRRHNSATPEEQTKMAESCGFDSLDALIDATVPKAIRIDSMKFPKFDGGLTESQMIEHMKDLESKNKIFKSFIGMGYYNTHVPPVILRNIMENPAWYTQYTPYQAEISQGRLESLLNFQTMITDLTGLPMSNASLLDEGTAAAEAMAMCNNIVKGKKKTFIIANNCHPQTIDICKTRADGFDLKVVTADLKDIDYSSGDVCGVLVQYPGTEGEILDYGEFVKNAHAQGVKVVMATDLLALIMLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKTIAQRVHGLAGAFAVGLKKLGNIEVQGLPFFDTVKVTCADAYAIADAAYKSEINLRVVDAKTITVSFDETTTLDDLDKLFKVFAGGKPVSFTAASLAPEVENAIPSGLLRQSSYLTHQIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPGFTDIHPFAPSEQAQGYQEMFNNLGDLLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHKSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIVPVGTDSKGNINIEELRKAAEANRDKLSALMVTYPSTHGVYEEGIDEICRIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVSTGGIPAPDKSHPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLENYYPVLFRGVNGTVAHEFIVDLRGFKNTAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAQIENGKADIHNNVLKGAPHPPSLLMGDAWTKPYTREYAAFPASWLRTAKFWPTTGRVDNVYGDRNLICTLLPVSQMVEEEAAANA >KJB54688 pep chromosome:Graimondii2_0_v6:9:3254555:3259577:1 gene:B456_009G045100 transcript:KJB54688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARKVANRAILKRLVNESKQSRNGEMSSRSPVSYTPSRYVSSLSPFGSKNHSRSDSLGARNVSNNVGFGVGSQIRSISVEALKSSDTFPRRHNSATPEEQTKMAESCGFDSLDALIDATVPKAIRIDSMKFPKFDGGLTESQMIEHMKDLESKNKIFKSFIGMGYYNTHVPPVILRNIMENPAWYTQYTPYQAEISQGRLESLLNFQTMITDLTGLPMSNASLLDEGTAAAEAMAMCNNIVKGKKKTFIIANNCHPQTIDICKTRADGFDLKVVTADLKDIDYSSGDVCGVLVQYPGTEGEILDYGEFVKNAHAQGVKVVMATDLLALIMLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKTIAQRVHGLAGAFAVGLKKLGNIEVQGLPFFDTVKVTCADAYAIADAAYKSEINLRVVDAKTITVSFDETTTLDDLDKLFKVFAGGKPVSFTAASLAPEVENAIPSGLLRQSSYLTHQIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPGFTDIHPFAPSEQAQGYQEMFNNLGDLLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHKSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIVPVGTDSKGNINIEELRKAAEANRDKLSALMVTYPSTHGVYEEGIDEICRIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVSTGGIPAPDKSHPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLENYYPVLFRGVNGTVAHEFIVDLRGFKNTAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAQIENGKADIHNNVLKGAPHPPSLLMGDAWTKPYTREYAAFPASWLRTAKFWPTTGDVLTMYMVIATSFAPFSQCRRWLKKRRQPTRNR >KJB59073 pep chromosome:Graimondii2_0_v6:9:18791821:18793770:-1 gene:B456_009G237500 transcript:KJB59073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKTISEFDNSSKKRKLEEDSQGDHEETSDKRSKAESIKSVFDMELHLETPLPLEWQRCLDIQSGQIHFYNTRTHKRTSKDPRRSPEPPSPVHMSLDLELNLPCDSSVRKVNEKDHQLFNKHNSVGPTRAGSVDKKINSSGGLTRNLSWLAVEENDQQDQEMVATVCMRCHMLVMLCKSSPACPNCKFMHPPDQGPPKLFKQGLSLLC >KJB59072 pep chromosome:Graimondii2_0_v6:9:18791821:18793122:-1 gene:B456_009G237500 transcript:KJB59072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFQAPLSPSDMIGKTISEFDNSSKKRKLEEDSQGDHEETSDKRSKAESIKSVFDMELHLETPLPLEWQRCLDIQSGQIHFYNTRTHKRTSKDPRRSPEPPSPVHMSLDLELNLPCDSSVRKVNEKDHQLFNKHNSVGPTRAGSVDKKINSSGGLTRNLSWLAVEENDQQDQEMVATVCMRCHMLVMLCKSSPACPNCKFMHPPDQGPPKLFKQGLSLLC >KJB59071 pep chromosome:Graimondii2_0_v6:9:18791821:18793053:-1 gene:B456_009G237500 transcript:KJB59071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRHSGQIHFYNTRTHKRTSKDPRRSPEPPSPVHMSLDLELNLPCDSSVRKVNEKDHQLFNKHNSVGPTRAGSVDKKINSSGGLTRNLSWLAVEENDQQDQEMVATVCMRCHMLVMLCKSSPACPNCKFMHPPDQGPPKLFKQGLSLLC >KJB55488 pep chromosome:Graimondii2_0_v6:9:5667271:5671314:1 gene:B456_009G078700 transcript:KJB55488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MVLGFMSLILTVSRSFISKICIPNEVANSMLPCRKTLDSIRTTQDLGYDQIWSVHGLHERILDDENVSPEYCDSKGKTSLISEVGANQLSIFIFVLAGMQIVYTVLTMALGRAKMRRWKTWEKETRTVEYQAANDPNRFRFTRQTTFAQRHINPCTDASILLWTKCFFQQFFNSVAKVDYLTLRHGFVATHLSVGSSFNFQKYIQRSLEDDFKIIVGISPFMWFLVVIFLLVDVHGWNVYLWVSFLPLTIVLIMGTKLQVILAKMAHRVEDQNTVIHGAPLVQPNDNFFWFNNPKFVLTLLHYTLFMNAFEVAFFVWVTTQYGIKSCYHENREIIATRVVLAVTVQVICSYVTLPLYALVTQMGSNFKRAVLEEQTTNAIKQWHAGVKLKRKKQRRSSQAAADDFPENSTTISTVDSSSHQPPTLASFEICSSPEIQEAGPAMPTVAVEIQMASVEKKLERDYRVI >KJB55487 pep chromosome:Graimondii2_0_v6:9:5667239:5671314:1 gene:B456_009G078700 transcript:KJB55487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAAGEESSTSRSLQETPTWAVATVCFVFISLSIIIEYLIHRISNWLKRRRKIALFDAVEKLKSVLMVLGFMSLILTVSRSFISKICIPNEVANSMLPCRKTLDSIRTTQDLGYDQIWSVHGLHERILDDENVSPEYCDSKGKTSLISEVGANQLSIFIFVLAGMQIVYTVLTMALGRAKMRRWKTWEKETRTVEYQAANDPNRFRFTRQTTFAQRHINPCTDASILLWTKCFFQQFFNSVAKVDYLTLRHGFVATHLSVGSSFNFQKYIQRSLEDDFKIIVGISPFMWFLVVIFLLVDVHGWNVYLWVSFLPLTIVLIMGTKLQVILAKMAHRVEDQNTVIHGAPLVQPNDNFFWFNNPKFVLTLLHYTLFMNAFEVAFFVWVTTQYGIKSCYHENREIIATRVVLAVTVQVICSYVTLPLYALVTQMGSNFKRAVLEEQTTNAIKQWHAGVKLKRKKQRRSSQAAADDFPENSTTISTVDSSSHQPPTLASFEICSSPEIQEAGPAMPTVAVEIQMASVEKKLERDYRVI >KJB62517 pep chromosome:Graimondii2_0_v6:9:65639615:65641152:1 gene:B456_009G420700 transcript:KJB62517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRAGIGSLKSQSFWKTSQRSISSFNFPCSSFKTFCSKKDSQQQPQQNGDDNNGDKFSTDWDKAWSNFKKQTKRSFLSGFSPNKYVTWNPRQSNYPLSEEVDPIKRTERSNLMLWTSPGFTLVGAIIIVSFLLLYTILAPVK >KJB62518 pep chromosome:Graimondii2_0_v6:9:65639615:65641152:1 gene:B456_009G420700 transcript:KJB62518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRAGIGSLKSQSFWKTSQRSISSFNFPCSSFKTFCSKKDSQQQPQQNGDDNNGMKCRSALKAQYLSTHLEFHLNIEKGDKFSTDWDKAWSNFKKQTKRSFLSGFSPNKYVTWNPRQSNYPLSEEVDPIKRTERSNLMLWTSPGFTLVGAIIIVSFLLLYTILAPVK >KJB61238 pep chromosome:Graimondii2_0_v6:9:42722547:42722876:1 gene:B456_009G347300 transcript:KJB61238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTITSYQAHKILFLTIGPGDFLVHHAIALGLHTITLILVNGTLDARGSKLMPDKEDFGYSFPCNGPGRGGTCDISVWDAFYLVVFWMLNTIGWVTFYWNWKHITLSSHI >KJB63125 pep chromosome:Graimondii2_0_v6:9:70531172:70545507:-1 gene:B456_009G454100 transcript:KJB63125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYNAWLPPPVAEETKKEKESFSRVVSSLKDLYRPDDPDSVFSTLKWISVIDLFIKAKSDISLEDVVSVVQIGLELFHKSQSKLYVQVRWGNILVRLLNKYRKKLSLKVQWHPLYDTLIHTHFTRNTGPEGWRLRQRHFEMVTSLVRSCRRFFPAGSASEIWSEFRSLLENPWHNATFEGAGFLRLFLPTNADNQDFFSDTWIRECMELWDSIPNCQFWNSQWTAIVARVVKNCKFINWECFLPTLFTRFLNMFEVPVASGSGSYPFSVDVPRNTRFLFSNKTVTPAKAIAKSVVYLLKPSSVAQEHFEKLVNLLEQYYHPSNGGRWTYSLERFLLYLVITFQKRLQHEQQNTDNDIQAELYLGKLERTAFVNVLLRLIDRGQYSKNEHLSETVATATSILSYVEPSLVLPFLASRFHMALETLTATHQLKTAVMSVAFAGRSLFFTSLSSSSVKSADLEGGDDTFIALLMISLSNALLGMDANDPPKTLATMQLIGSIFSNMAMLDDNMDELSFMPMIRFSEWLDEFFCRLFSLLLHLEPSSVLNEGLHSSATSGTFLVEDGPYYYCMLEILLGRLSKQLYNQALKKISKFVRTNILPGAIAEVGLLCCACVHSNPEEAVVHLVEPILSSVLSSLNGTPVTGFGGRGTLDPSASTKAKPTLSPALETAIDYQLKILSVAISYGGSAFLRYKDQFKEVIVSAFDSPSWKVNGAGDHLLRSLLGSLVLYYPMDQYKCTFNHPAAAALEEWISAKDYSDGALKGPRWHVPSDEEVQFANELLILHFQSALDDLLRICQTKIHLDSGNEKEHLKVTLLRIDSCLQGVLSCLPDFSPPSRNGTIQDSCNPFLIAGATGARVGSTQLREKAAEVIHTASKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIIEPPINFIVSSHSKGKRRPRWAIIDKADMHSTWRSSQSSYHLFRTSGNFSPPDHVILLMDDLLNLSLHNYESVRMLAGKSLLKIMKRWPSLISKCVLSLCENLRKPNSPEPAVLGSCAVLSTQTVLKHLTMDPKAFSSFLLAVLLSSHHESLKAQKAINELFVKYNIHFAGVSKSIFKTMDNHMDTPDFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAMVCRSDPHISPKIISETAGHFLKNLKSQLPQTRILAISALNTLLKDSPHKISADQLVFSTNSQESAKSVIEGALQEIFQEEGFFNETLNSLSHVHITDTDSTSSRGNHGNSSFQSLADKSITRFYFDFSASWPRTPSWISLLGSDTFYSNFARIFKRLIQECGMPVLLALKSTLEEFVNSKERSKQCVAAEAFAGVLHSDVNGLLEEWDSWMMVQLQHIILAQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQQILNCLVTPLPPAVTTTVVAKRYAFISAALIELSPQKMPVPEIQLHSKLLDELLGNMCHSSAQVREAIGVALSVLCSNIRLYVSSSQDHSNERGKTEINNQLKQENWVQLLTERASEVVVNIQNSTLSDVLDNSTDINIKNAHQNGDSQDDVKWMETLFHFIISTLKSGRSSYLLDVIVGLLYPVISLQETSNKDLSTLAKAAFELLKWRIILEPHLQKAVSVILSSANDPNWRTRSATLTYLRTFMFRHTFILSKGDKQKIWKTVERLLQDSQVEVREHAAAVLAGLMKGGDEDLARDFRERAYIEANTIQRSRKTRNRNSGHSVASVHGVVLALAASVLSVPYDMPRLVYVKHDT >KJB63124 pep chromosome:Graimondii2_0_v6:9:70530312:70545780:-1 gene:B456_009G454100 transcript:KJB63124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYNAWLPPPVAEETKKEKESFSRVVSSLKDLYRPDDPDSVFSTLKWISVIDLFIKAKSDISLEDVVSVVQIGLELFHKSQSKLYVQVRWGNILVRLLNKYRKKLSLKVQWHPLYDTLIHTHFTRNTGPEGWRLRQRHFEMVTSLVRSCRRFFPAGSASEIWSEFRSLLENPWHNATFEGAGFLRLFLPTNADNQDFFSDTWIRECMELWDSIPNCQFWNSQWTAIVARVVKNCKFINWECFLPTLFTRFLNMFEVPVASGSGSYPFSVDVPRNTRFLFSNKTVTPAKAIAKSVVYLLKPSSVAQEHFEKLVNLLEQYYHPSNGGRWTYSLERFLLYLVITFQKRLQHEQQNTDNDIQAELYLGKLERTAFVNVLLRLIDRGQYSKNEHLSETVATATSILSYVEPSLVLPFLASRFHMALETLTATHQLKTAVMSVAFAGRSLFFTSLSSSSVKSADLEGGDDTFIALLMISLSNALLGMDANDPPKTLATMQLIGSIFSNMAMLDDNMDELSFMPMIRFSEWLDEFFCRLFSLLLHLEPSSVLNEGLHSSATSGTFLVEDGPYYYCMLEILLGRLSKQLYNQALKKISKFVRTNILPGAIAEVGLLCCACVHSNPEEAVVHLVEPILSSVLSSLNGTPVTGFGGRGTLDPSASTKAKPTLSPALETAIDYQLKILSVAISYGGSAFLRYKDQFKEVIVSAFDSPSWKVNGAGDHLLRSLLGSLVLYYPMDQYKCTFNHPAAAALEEWISAKDYSDGALKGPRWHVPSDEEVQFANELLILHFQSALDDLLRICQTKIHLDSGNEKEHLKVTLLRIDSCLQGVLSCLPDFSPPSRNGTIQDSCNPFLIAGATGARVGSTQLREKAAEVIHTASKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIIEPPINFIVSSHSKGKRRPRWAIIDKADMHSTWRSSQSSYHLFRTSGNFSPPDHVILLMDDLLNLSLHNYESVRMLAGKSLLKIMKRWPSLISKCVLSLCENLRKPNSPEPAVLGSCAVLSTQTVLKHLTMDPKAFSSFLLAVLLSSHHESLKAQKAINELFVKYNIHFAGVSKSIFKTMDNHMDTPDFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAMVCRSDPHISPKIISETAGHFLKNLKSQLPQTRILAISALNTLLKDSPHKISADQLVFSTNSQESAKSVIEGALQEIFQEEGFFNETLNSLSHVHITDTDSTSSRGNHGNSSFQSLADKSITRFYFDFSASWPRTPSWISLLGSDTFYSNFARIFKRLIQECGMPVLLALKSTLEEFVNSKERSKQCVAAEAFAGVLHSDVNGLLEEWDSWMMVQLQHIILAQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQQILNCLVTPLPPAVTTTVVAKRYAFISAALIELSPQKMPVPEIQLHSKLLDELLGNMCHSSAQVREAIGVALSVLCSNIRLYVSSSQDHSNERGKTEINNQLKQENWVQLLTERASEVVVNIQNSTLSDVLDNSTDINIKNAHQNGDSQDDVKWMETLFHFIISTLKSGRSSYLLDVIVGLLYPVISLQETSNKDLSTLAKAAFELLKWRIILEPHLQKAVSVILSSANDPNWRTRSATLTYLRTFMFRHTFILSKGDKQKIWKTVERLLQDSQVEVREHAAAVLAGLMKGGDEDLARDFRERAYIEANTIQRSRKTRNRNSGHSVASVHGVVLALAASVLSVPYDMPSWLPDHVTLLARFSGEPSPVKSTVTKAVAEFRRTHADTWNVQKDSFTEEQLEVLADTSSSSSYFA >KJB63121 pep chromosome:Graimondii2_0_v6:9:70530312:70544282:-1 gene:B456_009G454100 transcript:KJB63121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLFTRISQGPEGWRLRQRHFEMVTSLVRSCRRFFPAGSASEIWSEFRSLLENPWHNATFEGAGFLRLFLPTNADNQDFFSDTWIRECMELWDSIPNCQFWNSQWTAIVARVVKNCKFINWECFLPTLFTRFLNMFEVPVASGSGSYPFSVDVPRNTRFLFSNKTVTPAKAIAKSVVYLLKPSSVAQEHFEKLVNLLEQYYHPSNGGRWTYSLERFLLYLVITFQKRLQHEQQNTDNDIQAELYLGKLERTAFVNVLLRLIDRGQYSKNEHLSETVATATSILSYVEPSLVLPFLASRFHMALETLTATHQLKTAVMSVAFAGRSLFFTSLSSSSVKSADLEGGDDTFIALLMISLSNALLGMDANDPPKTLATMQLIGSIFSNMAMLDDNMDELSFMPMIRFSEWLDEFFCRLFSLLLHLEPSSVLNEGLHSSATSGTFLVEDGPYYYCMLEILLGRLSKQLYNQALKKISKFVRTNILPGAIAEVGLLCCACVHSNPEEAVVHLVEPILSSVLSSLNGTPVTGFGGRGTLDPSASTKAKPTLSPALETAIDYQLKILSVAISYGGSAFLRYKDQFKEVIVSAFDSPSWKVNGAGDHLLRSLLGSLVLYYPMDQYKCTFNHPAAAALEEWISAKDYSDGALKGPRWHVPSDEEVQFANELLILHFQSALDDLLRICQTKIHLDSGNEKEHLKVTLLRIDSCLQGVLSCLPDFSPPSRNGTIQDSCNPFLIAGATGARVGSTQLREKAAEVIHTASKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIIEPPINFIVSSHSKGKRRPRWAIIDKADMHSTWRSSQSSYHLFRTSGNFSPPDHVILLMDDLLNLSLHNYESVRMLAGKSLLKIMKRWPSLISKCVLSLCENLRKPNSPEPAVLGSCAVLSTQTVLKHLTMDPKAFSSFLLAVLLSSHHESLKAQKAINELFVKYNIHFAGVSKSIFKTMDNHMDTPDFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAMVCRSDPHISPKIISETAGHFLKNLKSQLPQTRILAISALNTLLKDSPHKISADQLVFSTNSQESAKSVIEGALQEIFQEEGFFNETLNSLSHVHITDTDSTSSRGNHGNSSFQSLADKSITRFYFDFSASWPRTPSWISLLGSDTFYSNFARIFKRLIQECGMPVLLALKSTLEEFVNSKERSKQCVAAEAFAGVLHSDVNGLLEEWDSWMMVQLQHIILAQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQQILNCLVTPLPPAVTTTVVAKRYAFISAALIELSPQKMPVPEIQLHSKLLDELLGNMCHSSAQVREAIGVALSVLCSNIRLYVSSSQDHSNERGKTEINNQLKQENWVQLLTERASEVVVNIQNSTLSDVLDNSTDINIKNAHQNGDSQDDVKWMETLFHFIISTLKSGRSSYLLDVIVGLLYPVISLQETSNKDLSTLAKAAFELLKWRIILEPHLQKAVSVILSSANDPNWRTRSATLTYLRTFMFRHTFILSKGDKQKIWKTVERLLQDSQVEVREHAAAVLAGLMKGGDEDLARDFRERAYIEANTIQRSRKTRNRNSGHSVASVHGVVLALAASVLSVPYDMPSWLPDHVTLLARFSGEPSPVKSTVTKAVAEFRRTHADTWNVQKDSFTEEQLEVLADTSSSSSYFA >KJB63123 pep chromosome:Graimondii2_0_v6:9:70530312:70545507:-1 gene:B456_009G454100 transcript:KJB63123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLFTRISQGPEGWRLRQRHFEMVTSLVRSCRRFFPAGSASEIWSEFRSLLENPWHNATFEGAGFLRLFLPTNADNQDFFSDTWIRECMELWDSIPNCQFWNSQWTAIVARVVKNCKFINWECFLPTLFTRFLNMFEVPVASGSGSYPFSVDVPRNTRFLFSNKTVTPAKAIAKSVVYLLKPSSVAQEHFEKLVNLLEQYYHPSNGGRWTYSLERFLLYLVITFQKRLQHEQQNTDNDIQAELYLGKLERTAFVNVLLRLIDRGQYSKNEHLSETVATATSILSYVEPSLVLPFLASRFHMALETLTATHQLKTAVMSVAFAGRSLFFTSLSSSSVKSADLEGGDDTFIALLMISLSNALLGMDANDPPKTLATMQLIGSIFSNMAMLDDNMDELSFMPMIRFSEWLDEFFCRLFSLLLHLEPSSVLNEGLHSSATSGTFLVEDGPYYYCMLEILLGRLSKQLYNQALKKISKFVRTNILPGAIAEVGLLCCACVHSNPEEAVVHLVEPILSSVLSSLNGTPVTGFGGRGTLDPSASTKAKPTLSPALETAIDYQLKILSVAISYGGSAFLRYKDQFKEVIVSAFDSPSWKVNGAGDHLLRSLLGSLVLYYPMDQYKCTFNHPAAAALEEWISAKDYSDGALKGPRWHVPSDEEVQFANELLILHFQSALDDLLRICQTKIHLDSGNEKEHLKVTLLRIDSCLQGVLSCLPDFSPPSRNGTIQDSCNPFLIAGATGARVGSTQLREKAAEVIHTASKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIIEPPINFIVSSHSKGKRRPRWAIIDKADMHSTWRSSQSSYHLFRTSGNFSPPDHVILLMDDLLNLSLHNYESVRMLAGKSLLKIMKRWPSLISKCVLSLCENLRKPNSPEPAVLGSCAVLSTQTVLKHLTMDPKAFSSFLLAVLLSSHHESLKAQKAINELFVKYNIHFAGVSKSIFKTMDNHMDTPDFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAMVCRSDPHISPKIISETAGHFLKNLKSQLPQTRILAISALNTLLKDSPHKISADQLVFSTNSQESAKSVIEGALQEIFQEEGFFNETLNSLSHVHITDTDSTSSRGNHGNSSFQSLADKSITRFYFDFSASWPRTPSWISLLGSDTFYSNFARIFKRLIQECGMPVLLALKSTLEEFVNSKERSKQCVAAEAFAGVLHSDVNGLLEEWDSWMMVQLQHIILAQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQQILNCLVTPLPPAVTTTVVAKRYAFISAALIELSPQKMPVPEIQLHSKLLDELLGNMCHSSAQVREAIGVALSVLCSNIRLYVSSSQDHSNERGKTEINNQLKQENWVQLLTERASEVVVNIQNSTLSDVLDNSTDINIKNAHQNGDSQDDVKWMETLFHFIISTLKSGRSSYLLDVIVGLLYPVISLQETSNKDLSTLAKAAFELLKWRIILEPHLQKAVSVILSSANDPNWRTRSATLTYLRTFMFRHTFILSKGDKQKIWKTVERLLQDSQVEVREHAAAVLAGLMKGGDEDLARDFRERAYIEANTIQRSRKTRNRNSGHSVASVHGVVLALAASVLSVPYDMPSWLPDHVTLLARFSGEPSPVKSTVTKAVAEFRRTHADTWNVQKDSFTEEQLEVLADTSSSSSYFA >KJB63122 pep chromosome:Graimondii2_0_v6:9:70530312:70545378:-1 gene:B456_009G454100 transcript:KJB63122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYNAWLPPPVAEETKKEKESFSRVVSSLKDLYRPDDPDSVFSTLKWISVIDLFIKAKSDISLEDVVSVVQIGLELFHKSQSKLYVQVRWGNILVRLLNKYRKKLSLKVQWHPLYDTLIHTHFTRNTGPEGWRLRQRHFEMVTSLVRSCRRFFPAGSASEIWSEFRSLLENPWHNATFEGAGFLRLFLPTNADNQDFFSDTWIRECMELWDSIPNCQFWNSQWTAIVARVVKNCKFINWECFLPTLFTRFLNMFEVPVASGSGSYPFSVDVPRNTRFLFSNKTVTPAKAIAKSVVYLLKPSSVAQEHFEKLVNLLEQYYHPSNGGRWTYSLERFLLYLVITFQKRLQHEQQNTDNDIQAELYLGKLERTAFVNVLLRLIDRGQYSKNEHLSETVATATSILSYVEPSLVLPFLASRFHMALETLTATHQLKTAVMSVAFAGRSLFFTSLSSSSVKSADLEGGDDTFIALLMISLSNALLGMDANDPPKTLATMQLIGSIFSNMAMLDDNMDELSFMPMIRFSEWLDEFFCRLFSLLLHLEPSSVLNEGLHSSATSGTFLVEDGPYYYCMLEILLGRLSKQLYNQALKKISKFVRTNILPGAIAEVGLLCCACVHSNPEEAVVHLVEPILSSVLSSLNGTPVTGFGGRGTLDPSASTKAKPTLSPALETAIDYQLKILSVAISYGGSAFLRYKDQFKEVIVSAFDSPSWKVNGAGDHLLRSLLGSLVLYYPMDQYKCTFNHPAAAALEEWISAKDYSDGALKGPRWHVPSDEEVQFANELLILHFQSALDDLLRICQTKIHLDSGNEKEHLKVTLLRIDSCLQGVLSCLPDFSPPSRNGTIQDSCNPFLIAGATGARVGSTQLREKAAEVIHTASKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIIEPPINFIVSSHSKGKRRPRWAIIDKADMHSTWRSSQSSYHLFRTSGNFSPPDHVILLMDDLLNLSLHNYESVRMLAGKSLLKIMKRWPSLISKCVLSLCENLRKPNSPEPAVLGSCAVLSTQTVLKHLTMDPKAFSSFLLAVLLSSHHESLKAQKAINELFVKYNIHFAGVSKSIFKTMDNHMDTPDFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAMVCRSDPHISPKIISETAGHFLKNLKSQLPQTRILAISALNTLLKDSPHKISADQLVFSTNSQESAKSVIEGALQEIFQEEGFFNETLNSLSHVHITDTDSTSSRGNHGNSSFQSLADKSITRFYFDFSASWPRTPSWISLLGSDTFYSNFARIFKRLIQECGMPVLLALKSTLEEFVNSKERSKQCVAAEAFAGVLHSDVNGLLEEWDSWMMVQLQHIILAQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQQILNCLVTPLPPAVTTTVVAKRYAFISAALIELSPQKMPVPEIQLHSKLLDELLGNMCHSSAQVREAIGVALSVLCSNIRLYVSSSQDHSNERGKTEINNQLKQENWVQLLTERASEVVVNIQNSTLSDVLDNSTDINIKNAHQNGDSQDDVKWMETLFHFIISTLKSGRSSYLLDVIVGLLYPVISLQETSNKDLSTLAKAAFELLKWRIILEPHLQKAVSVILSSANDPNWRTRSATLTYLRTFMFRHTFILSKGDKQKIWKTVERLLQDSQVEVREHAAAVLAGLMKGGDEDLARDFRERAYIEANTIQRSRKTRNRNSGHSVASVHGVVLALAASVLSVPYDMPSWLPDHVTLLARFSGEPSPVKSTVTKAVAEFRRTHADTWNVQKDSFTEEQLEVYLHRTMNDHGFSIKLKNMMHSFCSLIFYFMLSGPSRYIIFVIIFCLI >KJB62496 pep chromosome:Graimondii2_0_v6:9:65385219:65385966:-1 gene:B456_009G419800 transcript:KJB62496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADHPLKAVTLAHVRYQRGDQLGHFLAWVSLVPVFISLGGFVSHFCFRRELQGMFFGLGLLISHFINELIKKSVQQARPEACALLEMCDSHGWPSSHCSFGIGGIWKVTTKWAALFLPWSSAVLTMYSRFLLELLWGLFLLGFGFPLIEESALGRFFYVKDTSHISDVLKFEYDNARAARKGMAARKVMASKNS >KJB54525 pep chromosome:Graimondii2_0_v6:9:2771434:2774711:-1 gene:B456_009G037600 transcript:KJB54525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAISGQWVELLSETRAFFQGAIFQKHHYFSTLGSNNTSGGGDEQKETISVTFVSKDGEEIPIRVPIGTSMLEAAHQNDIELEGACEGSLACSTCHVIVMDMEHYNKLEEPSDEENDMLDLAFGLTETSRLGCQIIARPELDGIRLAIPAATRNFAVDGYVAKPH >KJB54524 pep chromosome:Graimondii2_0_v6:9:2771348:2774783:-1 gene:B456_009G037600 transcript:KJB54524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSRLSRVGFRFVNELSRGGYRCMSRTRFRQGHCGQCQRSPVELLSETRAFFQGAIFQKHHYFSTLGSNNTSGGGDEQKETISVTFVSKDGEEIPIRVPIGTSMLEAAHQNDIELEGACEGSLACSTCHVIVMDMEHYNKLEEPSDEENDMLDLAFGLTETSRLGCQIIARPELDGIRLAIPAATRNFAVDGYVAKPH >KJB61764 pep chromosome:Graimondii2_0_v6:9:51386507:51388357:-1 gene:B456_009G378900 transcript:KJB61764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPVRGKGERDHHFDNVDHALSLFNKMIEKYPKPSIVEFNKLLGAIVKMKHYAIVVSKYRQIELLGVSHDGYSMSILINCFCQLGRIDFGFSVLGKMLKLGVEPSVVTFSTLINGLCNQSKISEAVCMFDEMTEKGYQPNLIVYSTMLKGLCKTGNTGRAVRFLRLMESRGYEPDIVAYNTILDCLCKNGLLKEALDLFSEVKVKGIRPDISTYTCLILGMCNLGQQEEATRFLNEMVDSNISLNIVTYNTLVDALCKEGTISKAVEIVDTMRKQGIEPDVVTYSTLVDAHFKEGMVSEAEDIVDAMIKRGIGPNVVTYNTLVDAYCKEGMVSEAEDIVDAMIKREIKPNVVTYSALVNGHCLQNKMDKARRVFNLMIEKGCAPNIVTYSTMINGYCKGKRLDEAMELFHEISQKGPIPNIVTYNSLLQSMFQLGRVSTACELFRKMLASGQVPDIATCLILLDGLCKTGHIEEALKLFQAMQNSGLELDIVPYTILIDGFCKAGHIEVAKELFHQLSDNGLKPDVVTYCVMINRLCKEGLPDEAYRLFGSMGDNDCLPDSCCYNVMIRGFLRNSYTSKAMQLLTEMVGKGFSADIITATLFMDLIVYSNKSILL >KJB61763 pep chromosome:Graimondii2_0_v6:9:51386103:51388409:-1 gene:B456_009G378900 transcript:KJB61763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPVRGKGERDHHFDNVDHALSLFNKMIEKYPKPSIVEFNKLLGAIVKMKHYAIVVSKYRQIELLGVSHDGYSMSILINCFCQLGRIDFGFSVLGKMLKLGVEPSVVTFSTLINGLCNQSKISEAVCMFDEMTEKGYQPNLIVYSTMLKGLCKTGNTGRAVRFLRLMESRGYEPDIVAYNTILDCLCKNGLLKEALDLFSEVKVKGIRPDISTYTCLILGMCNLGQQEEATRFLNEMVDSNISLNIVTYNTLVDALCKEGTISKAVEIVDTMRKQGIEPDVVTYSTLVDAHFKEGMVSEAEDIVDAMIKRGIGPNVVTYNTLVDAYCKEGMVSEAEDIVDAMIKREIKPNVVTYSALVNGHCLQNKMDKARRVFNLMIEKGCAPNIVTYSTMINGYCKGHIEEALKLFQAMQNSGLELDIVPYTILIDGFCKAGHIEVAKELFHQLSDNGLKPDVVTYCVMINRLCKEGLPDEAYRLFGSMGDNDCLPDSCCYNVMIRGFLRNSYTSKAMQLLTEMVGKGFSADIITATLFMDLIVYSNKSILL >KJB54146 pep chromosome:Graimondii2_0_v6:9:1740741:1753231:-1 gene:B456_009G022700 transcript:KJB54146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAIVLLADAYGKKSIDHGGFVLCPLYGRKSKAFQAASGTTNSAIISSLTKTAKSMVGVSLSVDKSQSLTATEYIKQRAKEAVGAAETPCGGWYVTRLRSAAHGTLNVPGLSFSVGPKGGLGEHGDAVSRQLILTKASLVERRPYNYEAVIVRPLSSVSCLVRFAEEPQMFAIEFNDGCPIHVYASTSRDNLLAAIRDVLQIERQCAVPVLPRLTIPGHRVDPPCGRATLQFGQQFALADMESASMHLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNACIPYSGVPPNIEVPEVAMMALITMLPATPNLPLESSPLPPPSPKAAATVVGFVACLRRLLASKSAASHVMSFPAAVGRIMGLLRNGSQGIAAEAAGLVAALIGGGPRDTNLLTDSKGEQHATIMHTKSVLFSQSGYVSILVNRLKPMSVSPLLSMTIVEVLEAMICDPHGETTQYTVFVELLRQVAGLKRRLFAMFGHPAEGVRETVAVIMRTIAEEDAIAAESMREAALRDGALLRHLLHAFFLFDGERREVSRQLVALWADSYQPALELLSRVLPPGLVAYLHTRSDGVLEDSIQEGILTSKRQSRLLQQRRGRTGQGITSQEQPFPSVNNFEAGDAVRQMNAGYQKSTIDPNAGQVSNQSSAAYSVESLTTDAYSTGISQNGHLVMAASTDVPSANVHGASEINASNAVDSDSNVVGSHNTGLPTPAQVVVENTPVGSGRLLCNWPEFWRAFSLDHNRADLIWNERTRQELREALQAEAHKLDVEKGRTEDIVPGCATVETMSDQECILRISWNYSEFSISYPSLSKEVCVGQYYLRLLLESGSSDRAQDFPLRDPVAFFRALYHRFLCDADIGLTVGGAVPDELGSSDDWCDVGRLDGFGGGGGSSVRELCARAMSIVYEHHCNTIGPFEGTAHITVLLDRTDDRTLRHRLLLLLKVLMKVLANVESCVLVGGCVLAVDLLTVVHEASERTAIPLQSNLIAATAFMEPLKEWMYSDKDGEEVGPLEKDAIRRLWSKNAIDWTTRCWASGMVDWKRLRDIRELRWALSGRVPVLTPTQVGEAALSVLHSMVSAHSDLDDAGEMVTPTPRVKRILSSPRCIPHIAQAMLSGEPSIVEAAAALLKAIVTRNPKAMMRLYSTGAFYFALAYAGSNLFSIAQLFSVTHLLQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPLAFAAAMVSDSDTPEIIWTHKMRAENLICQVLQHLGDFPQQLSQHCHSLYDYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPSWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEITLGDVSSDVADQKYSHKVTEEISTISKQIENIDEETLKRQYRKLAMKYHPDKNPEGREKFLAVQRAYERIQATMQGLQGPQPWRLLLLLKGQCILYRRYGDVLVPFKYAGYPMLLSAVTVDKEDNNFLSSERAPLLVTASELVWLTCASSSLNGEELVRDGGIKLLATLLSRCMFVVQPTTTANEPSAIIVTNVIRTFSVLSQFETARDEMLELSGLVQDIVHCTELELVPAALDASLQTIAHVSVSSDLQDAFIKAGVLWYLLPLLLQYDSTAEDSDTSKSHGVGARVQIAKNMHAIRASQALSRLSGLCSDESSTPYNAPVVNALRALLTPKLASMLRDQVPKDLLSKLNSNLESPEIIWNSATRAELLKFVDQQRASLGPDGSYDLKDSHIFGYEALSKELFVGNVYLRVYNNQPDLEISEPEAFCVALIGFIAFLVHNVREILNINISSPKSDEHQSDTTGTSVNEQQVPDDSMATSDVKDKEENLMIKNLQFGLKSLKNLLSTHSNLASIFSTKEKLLPIFECFSVPVAPESNIPQLCLKILSLLTAYASSSEAMVADGSNLLLLLQMLHSAPACREGALHVLYALASTPELAWAAAKHGGIVYILALLLPLQEEIPLQQRAAAASLLGKLVAQPMHGPRVAITLARFFPDGLVSIIRDGPGEAVVSALEQNTETPELVWTPAMAASLSAQIATMVSNLYHEQTKGRVIDWDVPEQPSSQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYESQSVDSGLPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAFEGKRETMSLGEMKDGNSMEGLAYEPDEQPSQTPQELVRLSCLRVLHQLAASTICAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRLVGAGNRARDALVAQGLKVGLVEVLLGLLDWRAGGRSGFCSQMKWNESEASIGRVLAIEVLHAFATEGAHWVKVREILNSSNVWSAYKDQKHDLFLPSNAQSAAAGIAGLIENPSSRLTYALTAPSQTSQARSPASKVSDLNGSEDQPS >KJB54148 pep chromosome:Graimondii2_0_v6:9:1740463:1754667:-1 gene:B456_009G022700 transcript:KJB54148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHHSSITAPSPQEEPEYLARYFVIKHSWRGRYKRILCISNVAIITLDPSTLSVTNSYDASRDFETAMPVISRDENSTEFNMSVRTDGKGKFKAIKFSSRYRSSILTELHRISWNRLAPVAEFPVLLLRGHHAEWILLKLKVTYVGVELIDLKSGDPRWCLDFRDMSSPAIVLLADAYGKKSIDHGGFVLCPLYGRKSKAFQAASGTTNSAIISSLTKTAKSMVGVSLSVDKSQSLTATEYIKQRAKEAVGAAETPCGGWYVTRLRSAAHGTLNVPGLSFSVGPKGGLGEHGDAVSRQLILTKASLVERRPYNYEAVIVRPLSSVSCLVRFAEEPQMFAIEFNDGCPIHVYASTSRDNLLAAIRDVLQIERQCAVPVLPRLTIPGHRVDPPCGRATLQFGQQFALADMESASMHLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNACIPYSGVPPNIEVPEVAMMALITMLPATPNLPLESSPLPPPSPKAAATVVGFVACLRRLLASKSAASHVMSFPAAVGRIMGLLRNGSQGIAAEAAGLVAALIGGGPRDTNLLTDSKGEQHATIMHTKSVLFSQSGYVSILVNRLKPMSVSPLLSMTIVEVLEAMICDPHGETTQYTVFVELLRQVAGLKRRLFAMFGHPAEGVRETVAVIMRTIAEEDAIAAESMREAALRDGALLRHLLHAFFLFDGERREVSRQLVALWADSYQPALELLSRVLPPGLVAYLHTRSDGVLEDSIQEGILTSKRQSRLLQQRRGRTGQGITSQEQPFPSVNNFEAGDAVRQMNAGYQKSTIDPNAGQVSNQSSAAYSVESLTTDAYSTGISQNGHLVMAASTDVPSANVHGASEINASNAVDSDSNVVGSHNTGLPTPAQVVVENTPVGSGRLLCNWPEFWRAFSLDHNRADLIWNERTRQELREALQAEAHKLDVEKGRTEDIVPGCATVETMSDQECILRISWNYSEFSISYPSLSKEVCVGQYYLRLLLESGSSDRAQDFPLRDPVAFFRALYHRFLCDADIGLTVGGAVPDELGSSDDWCDVGRLDGFGGGGGSSVRELCARAMSIVYEHHCNTIGPFEGTAHITVLLDRTDDRTLRHRLLLLLKVLMKVLANVESCVLVGGCVLAVDLLTVVHEASERTAIPLQSNLIAATAFMEPLKEWMYSDKDGEEVGPLEKDAIRRLWSKNAIDWTTRCWASGMVDWKRLRDIRELRWALSGRVPVLTPTQVGEAALSVLHSMVSAHSDLDDAGEMVTPTPRVKRILSSPRCIPHIAQAMLSGEPSIVEAAAALLKAIVTRNPKAMMRLYSTGAFYFALAYAGSNLFSIAQLFSVTHLLQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPLAFAAAMVSDSDTPEIIWTHKMRAENLICQVLQHLGDFPQQLSQHCHSLYDYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPSWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEITLGDVSSDVADQKYSHKVTEEISTISKQIENIDEETLKRQYRKLAMKYHPDKNPEGREKFLAVQRAYERIQATMQGLQGPQPWRLLLLLKGQCILYRRYGDVLVPFKYAGYPMLLSAVTVDKEDNNFLSSERAPLLVTASELVWLTCASSSLNGEELVRDGGIKLLATLLSRCMFVVQPTTTANEPSAIIVTNVIRTFSVLSQFETARDEMLELSGLVQDIVHCTELELVPAALDASLQTIAHVSVSSDLQDAFIKAGVLWYLLPLLLQYDSTAEDSDTSKSHGVGARVQIAKNMHAIRASQALSRLSGLCSDESSTPYNAPVVNALRALLTPKLASMLRDQVPKDLLSKLNSNLESPEIIWNSATRAELLKFVDQQRASLGPDGSYDLKDSHIFGYEALSKELFVGNVYLRVYNNQPDLEISEPEAFCVALIGFIAFLVHNVREILNINISSPKSDEHQSDTTGTSVNEQQVPDDSMATSDVKDKEENLMIKNLQFGLKSLKNLLSTHSNLASIFSTKEKLLPIFECFSVPVAPESNIPQLCLKILSLLTAYASSSEAMVADGSNLLLLLQMLHSAPACREGALHVLYALASTPELAWAAAKHGGIVYILALLLPLQEEIPLQQRAAAASLLGKLVAQPMHGPRVAITLARFFPDGLVSIIRDGPGEAVVSALEQNTETPELVWTPAMAASLSAQIATMVSNLYHEQTKGRVIDWDVPEQPSSQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYESQSVDSGLPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAFEGKRETMSLGEMKDGNSMEGLAYEPDEQPSQTPQELVRLSCLRVLHQLAASTICAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRLVGAGNRARDALVAQGLKVGLVEVLLGLLDWRAGGRSGFCSQMKWNESEASIGRVLAIEVLHAFATEGAHWVKVREILNSSNVWSAYKDQKHDLFLPSNAQSAAAGIAGLIENPSSRLTYALTAPSQTSQARSPASKVSDLNGSEDQPS >KJB54147 pep chromosome:Graimondii2_0_v6:9:1740366:1753386:-1 gene:B456_009G022700 transcript:KJB54147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAIVLLADAYGKKSIDHGGFVLCPLYGRKSKAFQAASGTTNSAIISSLTKTAKSMVGVSLSVDKSQSLTATEYIKQRAKEAVGAAETPCGGWYVTRLRSAAHGTLNVPGLSFSVGPKGGLGEHGDAVSRQLILTKASLVERRPYNYEAVIVRPLSSVSCLVRFAEEPQMFAIEFNDGCPIHVYASTSRDNLLAAIRDVLQIERQCAVPVLPRLTIPGHRVDPPCGRATLQFGQQFALADMESASMHLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNACIPYSGVPPNIEVPEVAMMALITMLPATPNLPLESSPLPPPSPKAAATVVGFVACLRRLLASKSAASHVMSFPAAVGRIMGLLRNGSQGIAAEAAGLVAALIGGGPRDTNLLTDSKGEQHATIMHTKSVLFSQSGYVSILVNRLKPMSVSPLLSMTIVEVLEAMICDPHGETTQYTVFVELLRQVAGLKRRLFAMFGHPAEGVRETVAVIMRTIAEEDAIAAESMREAALRDGALLRHLLHAFFLFDGERREVSRQLVALWADSYQPALELLSRVLPPGLVAYLHTRSDGVLEDSIQEGILTSKRQSRLLQQRRGRTGQGITSQEQPFPSVNNFEAGDAVRQMNAGYQKSTIDPNAGQVSNQSSAAYSVESLTTDAYSTGISQNGHLVMAASTDVPSANVHGASEINASNAVDSDSNVVGSHNTGLPTPAQVVVENTPVGSGRLLCNWPEFWRAFSLDHNRADLIWNERTRQELREALQAEAHKLDVEKGRTEDIVPGCATVETMSDQECILRISWNYSEFSISYPSLSKEVCVGQYYLRLLLESGSSDRAQDFPLRDPVAFFRALYHRFLCDADIGLTVGGAVPDELGSSDDWCDVGRLDGFGGGGGSSVRELCARAMSIVYEHHCNTIGPFEGTAHITVLLDRTDDRTLRHRLLLLLKVLMKVLANVESCVLVGGCVLAVDLLTVVHEASERTAIPLQSNLIAATAFMEPLKEWMYSDKDGEEVGPLEKDAIRRLWSKNAIDWTTRCWASGMVDWKRLRDIRELRWALSGRVPVLTPTQVGEAALSVLHSMVSAHSDLDDAGEMVTPTPRVKRILSSPRCIPHIAQAMLSGEPSIVEAAAALLKAIVTRNPKAMMRLYSTGGLLPESLLYVLERSGPLAFAAAMVSDSDTPEIIWTHKMRAENLICQVLQHLGDFPQQLSQHCHSLYDYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPSWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEITLGDVSSDVADQKYSHKVTEEISTISKQIENIDEETLKRQYRKLAMKYHPDKNPEGREKFLAVQRAYERIQATMQGLQGPQPWRLLLLLKGQCILYRRYGDVLVPFKYAGYPMLLSAVTVDKEDNNFLSSERAPLLVTASELVWLTCASSSLNGEELVRDGGIKLLATLLSRCMFVVQPTTTANEPSAIIVTNVIRTFSVLSQFETARDEMLELSGLVQDIVHCTELELVPAALDASLQTIAHVSVSSDLQDAFIKAGVLWYLLPLLLQYDSTAEDSDTSKSHGVGARVQIAKNMHAIRASQALSRLSGLCSDESSTPYNAPVVNALRALLTPKLASMLRDQVPKDLLSKLNSNLESPEIIWNSATRAELLKFVDQQRASLGPDGSYDLKDSHIFGYEALSKELFVGNVYLRVYNNQPDLEISEPEAFCVALIGFIAFLVHNVREILNINISSPKSDEHQSDTTGTSVNEQQVPDDSMATSDVKDKEENLMIKNLQFGLKSLKNLLSTHSNLASIFSTKEKLLPIFECFSVPVAPESNIPQLCLKILSLLTAYASSSEAMVADGSNLLLLLQMLHSAPACREGALHVLYALASTPELAWAAAKHGGIVYILALLLPLQEEIPLQQRAAAASLLGKLVAQPMHGPRVAITLARFFPDGLVSIIRDGPGEAVVSALEQNTETPELVWTPAMAASLSAQIATMVSNLYHEQTKGRVIDWDVPEQPSSQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYESQSVDSGLPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAFEGKRETMSLGEMKDGNSMEGLAYEPDEQPSQTPQELVRLSCLRVLHQLAASTICAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRLVGAGNRARDALVAQGLKVGLVEVLLGLLDWRAGGRSGFCSQMKWNESEASIGRVLAIEVLHAFATEGAHWVKVREILNSSNVWSAYKDQKHDLFLPSNAQSAAAGIAGLIENPSSRLTYALTAPSQTSQARSPASKVSDLNGSEDQPS >KJB53859 pep chromosome:Graimondii2_0_v6:9:694888:697819:-1 gene:B456_009G008400 transcript:KJB53859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVALISIKRELDDPHGVLNNWDEDSVDPCSWAMITCSPENLVFALGAPSQSLSGTLSRTIGNLTNLHQVLLQNNNISGEIPTELGTLLKLQTLDLSNNRFSGPIPVSFGLLNSLQYLRLNNNSLSGPFPASLAKTPQLAFLDLSFNNLTGPVPQFPTKTFSIVGNPLICRSSSTEVCSGSANAAPLSFSLGSSNGEHRSKKLAIALGISLSFAFLILLAFALLWHRKKCKRLTVLSIIDNKEEGLTSLGNLRNFTFRELQLATNNFSSKNLLGSGGFGNVYKGKLVEGTLVAVKRLKDLTGSFGELQFRTELEMISLAVHRNLLRLIGYCATSNERLLVYPYMSNGSVASRLRGKLALDWNTRKRIAIGAARALLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHTDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLIELITGMRALEFGKTVGQKGAMLEWVKKIQQEKKVEVLVDRELGSNYDRIDVGEMLQVALLCTQILPVHRPKMSEVVRMLEGDGLAEKWAASLNHSDPTMNLVPSNLSNKSISHPTIGSKHDGKSHDQSSSVFRTGMDEDDDDEYSLDYYAMELSGPR >KJB57723 pep chromosome:Graimondii2_0_v6:9:13722419:13725070:-1 gene:B456_009G177700 transcript:KJB57723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHLKNLFDRFQEQFGSGPGLGPGSGTCLMKVEGISSNFIKLLFKASAALYRTEPWRRLRPGHLFGVRVGKDSDWSGKKQPFPCSQFIGGDGGDIGFYMFRSENDAKKMTGSRETIRVPNVELLRVTYELETLMFPSNRKMIKSLSLEASGNDRFPVIDVTRCTSSGELQFRNPTLEELKFVYAFMRAISLVHPLLQADKEGGSNWTKLISFGPFIETVDIQWPPEMARGHELVAVTISHPPGQAYEEKISSTASSTPTKYAEPPDEVTFMDIRVNSNSSSRHCAMCEKEVNGDQSLCCGRCRAVVYCTSLCQKQHWKESHKSMCGLYKAMMEREEELVMKIFMFPCSAAQPCKWLESLGIHQKGMWRRKCSCYSHCPFGLLPVKGGLWDSWGGLDDEEYPGDLPFHNQLRDGISSPILLSGWSEYYNLRSLPLSSPVADILSHPLTVYHILTALSINTKNLLLKGKEVILHYLGPEGEMDWMPAFSEISHLLNGLGNIQIIMVGPEVPTNLSGTTSGISSRVRVNLVRGVYQEEATYLPSPHVIVALNCALDRYSSWGGALDLIKAIGVPAFFTEQSEILCANAKQVLRGAGLHITHPVTPNPFRSPVKNHDSSTNLPSYSNGFVLGVNA >KJB57722 pep chromosome:Graimondii2_0_v6:9:13722417:13725250:-1 gene:B456_009G177700 transcript:KJB57722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHLKNLFDRFQEQFGSGPGLGPGSGTCLMKVEGISSNFIKLLFKASAALYRTEPWRRLRPGHLFGVRVGKDSDWSGKKQPFPCSQFIGGDGGDIGFYMFRSENDAKKMTGSRETIRVPNVELLRVTYELETLMFPSNRKMIKSLSLEASGNDRFPVIDVTRCTSSGELQFRNPTLEELKFVYAFMRAISLVHPLLQADKEGGSNWTKLISFGPFIETVDIQWPPEMARGHELVAVTISHPPGQAYEEKISSTASSTPTKYAEPPDEVTFMDIRVNSNSSSRHCAMCEKEVNGDQSLCCGRCRAVVYCTSLCQKQHWKESHKSMCGLYKAMMEREEELVMKIFMFPCSAAQPCKWLESLGIHQKGMWRRKCSCYSHCPFGLLPVKGGLWDSWGGLDDEEYPGDLPFHNQLRDGISSPILLSGWSEYYNLRSLPLSSPVADILSHPLTVYHILTALSINTKNLLLKGKEVILHYLGPEGEMDWMPAFSEISHLLNGLGNIQIIMVGPEVPTNLSGTTSGISSRVRVNLVRGVYQEEATYLPSPHVIVALNCALDRYSSWGGALDLIKAIGVPAFFTEQSEILCANAKQVLRGAGLHITHPVTPNPFRSPVKNHDSSTNLPSYSNGFVLGVNA >KJB58704 pep chromosome:Graimondii2_0_v6:9:17307933:17310491:1 gene:B456_009G222400 transcript:KJB58704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWYLWAWILCSTMTLVVLRGSVLKRRKGNGISATRGESVRRVLAGNGECRSADGSDADVIVVGAGVAGSALAHTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIEAQKVFGYALFKDGKHTRLSYPLENFHSDVSGRSFHNGRFIQRMREKAASLPNVRLEQGTVTSLLEEKGTIRGVQYKTKDGRELTAFAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCHLPYSNHGHVILADPSPILFYPISSTEVRCLVDIPGQKVPSIANGEMTNYLKTIVAHQVFNCSYCCTFEHMALFIFVEVVLCSLHLNLYLLVSWIDNVLHM >KJB58703 pep chromosome:Graimondii2_0_v6:9:17307744:17311947:1 gene:B456_009G222400 transcript:KJB58703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWYLWAWILCSTMTLVVLRGSVLKRRKGNGISATRGESVRRVLAGNGECRSADGSDADVIVVGAGVAGSALAHTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIEAQKVFGYALFKDGKHTRLSYPLENFHSDVSGRSFHNGRFIQRMREKAASLPNVRLEQGTVTSLLEEKGTIRGVQYKTKDGRELTAFAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCHLPYSNHGHVILADPSPILFYPISSTEVRCLVDIPGQKVPSIANGEMTNYLKTIVAHQVPPEIYDSFVAAVDKGNIRTMPNRSMPASPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLRDLNDGPNLCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARKEMRQACFDYLSLGGVFSTGPISLLSGLNPRP >KJB58702 pep chromosome:Graimondii2_0_v6:9:17307662:17311566:1 gene:B456_009G222400 transcript:KJB58702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWYLWAWILCSTMTLVVLRGSVLKRRKGNGISATRGESVRRVLAGNGECRSADGSDADVIVVGAGVAGSALAHTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIEAQKVFGYALFKDGKHTRLSYPLENFHSDVSGRSFHNGRFIQRMREKAASLPNVRLEQGTVTSLLEEKGTIRGVQYKTKDGRELTAFAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCHLPYSNHGHVILADPSPILFYPISSTEVRCLVDIPGQKVPSIANGEMTNYLKTIVAHQVPPEIYDSFVAAVDKGNIRTMPNRSMPASPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLRDLNDGPNLCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARKEMRQACFDYLSLGGVFSTGPISLLSGLNPRP >KJB58706 pep chromosome:Graimondii2_0_v6:9:17307744:17311566:1 gene:B456_009G222400 transcript:KJB58706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWYLWAWILCSTMTLVVLRGSVLKRRKGNGISATRGESVRRVLAGNGECRSADGSDADVIVVGAGVAGSALAHTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIEAQKVFGYALFKDGKHTRLSYPLENFHSDVSGRSFHNGRFIQRMREKAASLPNVRLEQGTVTSLLEEKGTIRGVQYKTKDGRELTAFAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCHLPYSNHGHVILADPSPILFYPISSTEVRCLVDIPGQKVPSIANGEMTNYLKTIVAHQVPPEIYDSFVAAVDKGNIRTMPNRSMPASPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLRDLNDGPNLCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARKEMRQACFDYLSLGGVFSTGPISLLSGLNPRP >KJB58705 pep chromosome:Graimondii2_0_v6:9:17307744:17311566:1 gene:B456_009G222400 transcript:KJB58705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWYLWAWILCSTMTLVVLRGSVLKRRKGNGISATRGESVRRVLAGNGECRSADGSDADVIVVGAGVAGSALAHTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIEAQKVFGYALFKDGKHTRLSYPLENFHSDVSGRSFHNGRFIQRMREKAASLPNVRLEQGTVTSLLEEKGTIRGVQYKTKDGRELTAFAPLTIVCDGCFSNLRRSLCNPKVNVPSCFVGLVLENCHLPYSNHGHVILADPSPILFYPISSTEVRCLVDIPGQKVPSIANGEMTNYLKTIVAHQVPPEIYDSFVAAVDKGNIRTMPNRSMPASPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLRDLNDGPNLCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARKEMRQACFDYLSLGGVFSTGPISLLSGLNPRP >KJB55903 pep chromosome:Graimondii2_0_v6:9:7256024:7259129:-1 gene:B456_009G100400 transcript:KJB55903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDSSVQSDMKLWPFKVIPGPGDKPMIVVTYKGEEKQFAAEEISSMVLMKMKEIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKAGSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSNVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIKDEKINSKLPAADKKKIEDAIEQAIQWLDGNQLAEADEFEDKMKELEGICNPIIAKMYQGAGGDMGAGPMDDDGPSVGGGSGAGPKIEEVD >KJB61371 pep chromosome:Graimondii2_0_v6:9:45812420:45816818:-1 gene:B456_009G353700 transcript:KJB61371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISACNNLFSTLTFLPNKVSIFTKTPQFITALNGSKMRLYSSKVSMSLRAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLHVLSELSKSQRAVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGKVDPKSDIDVINLELVFSDLDQIEKRLEKLKKGKVKDSKSKLKEEAEKSGLEKIRQALMDGKPARSVGLTDYEKDAVKHLCLLTMKPIIYVANVAESDLAEPGNNPHVNEVMNLASELQSGIVTISAQVESELTELPSEERTEYLKSLGVSESGLGNLIRETYSLLGLRTYFTSGEKESKAWTILAGRMTAPQAAGVIHSDFEKGFIRAETVSYDDFVTSGSLAAAREKGLLRSEGKDYIVQEGDVMLFRFNV >KJB61369 pep chromosome:Graimondii2_0_v6:9:45811968:45816917:-1 gene:B456_009G353700 transcript:KJB61369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISACNNLFSTLTFLPNKVSIFTKTPQFITALNGSKMRLYSSKVSMSLRAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLHVLSELSKSQRAVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGKVDPKSDIDVINLELVFSDLDQIEKRLEKLKKGKVKDSKSKLKEEAEKSGLEKIRQALMDGKPARSVGLTDYEKDAVKHLCLLTMKPIIYVANVAESDLAEPGNNPHVNEVMNLASELQSGIVTISAQVESELTELPSEERTEYLKSLGVSESGLGNLIRETYSLLGLRTYFTSGEKESKAWTILAGMTAPQAAGVIHSDFEKGFIRAETVSYDDFVTSGSLAAAREKGLLRSEGKDYIVQEGDVMLFRFNV >KJB61370 pep chromosome:Graimondii2_0_v6:9:45812020:45816859:-1 gene:B456_009G353700 transcript:KJB61370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISACNNLFSTLTFLPNKVSIFTKTPQFITALNGSKMRLYSSKVSMSLRAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLHVLSELSKSQRAVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGKVDPKSDIDVINLELVFSDLDQIEKRLEKLKKGKVKDSKSKLKEAEKSGLEKIRQALMDGKPARSVGLTDYEKDAVKHLCLLTMKPIIYVANVAESDLAEPGNNPHVNEVMNLASELQSGIVTISAQVESELTELPSEERTEYLKSLGVSESGLGNLIRETYSLLGLRTYFTSGEKESKAWTILAGMTAPQAAGVIHSDFEKGFIRAETVSYDDFVTSGSLAAAREKGLLRSEGKDYIVQEGDVMLFRFNV >KJB61372 pep chromosome:Graimondii2_0_v6:9:45813386:45816818:-1 gene:B456_009G353700 transcript:KJB61372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISACNNLFSTLTFLPNKVSIFTKTPQFITALNGSKMRLYSSKVSMSLRAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLHVLSELSKSQRAVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGKVDPKSDIDVINLELVFSDLDQIEKRLEKLKKGKVKDSKSKLKEEAEKSGLEKIRQALMDGKPARSVGLTDYEKDAVKHLCLLTMKPIIYVANVAESDLAEPGNNPHVNEVMNLASELQSGIVTISAQVESELTELPSEERTEYLKSLGVSESGLGNLIRETYSLLGLRTYFTSGEKESKAWTILAGSCSYAGV >KJB61373 pep chromosome:Graimondii2_0_v6:9:45812767:45816859:-1 gene:B456_009G353700 transcript:KJB61373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISACNNLFSTLTFLPNKVSIFTKTPQFITALNGSKMRLYSSKVSMSLRAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLHVLSELSKSQRAVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGKVDPKSDIDVINLELVFSDLDQIEKRLEKLKKGKVKDSKSKLKEEAEKSGLEKIRQALMDGKPARSVGLTDYEKDAVKHLCLLTMKPIIYVANVAESDLAEPGNNPHVNEVMNLASELQSGIVTISAQVESELTELPSEERTEYLKSLGVSESGLGNLIRETYSLLGLRTYFTSGEKESKAWTILAGMTAPQAAGVIHSDFEKGFIRAETVSYDDFVTSGSLAAAREKGLVSCSIVLNL >KJB60056 pep chromosome:Graimondii2_0_v6:9:24733351:24734194:1 gene:B456_009G288600 transcript:KJB60056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSALPHLPQYTPGMLINGNKQLIKPRAFPVFAAKSGPLNSILKRCQKCGGKGAIECPGCKGTGKNKKNGNIFERWKCFDCQGFGLKSCPNCGQGGLTPEQRGER >KJB60057 pep chromosome:Graimondii2_0_v6:9:24733369:24734188:1 gene:B456_009G288600 transcript:KJB60057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSALPHLPQYTPGMLINGNKQLIKPRAFPVFAAKSGPLNSILKRCQKCGGKGAIECPGWNRKKQKEWQHLREMEML >KJB53550 pep chromosome:Graimondii2_0_v6:9:6331741:6334174:1 gene:B456_009G087000 transcript:KJB53550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSIVKGLLEQYVQSLFNEGIINEQFSHIQHLKMVGRTEHFVQLINTYCLDVESILAQLASSIDLPEVDFSKLAALAAEVTERSSRIGAEHVRLACVDLMQACEQMQKQKFLLALDWTKTEFTQTQNKLQVLVQMERRIMRLEAKQKN >KJB53551 pep chromosome:Graimondii2_0_v6:9:6332904:6334288:1 gene:B456_009G087000 transcript:KJB53551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLFTDYRVDNIPCPHQMHLNSIGAEHVRLACVDLMQACEQMQKQKFLLALDWTKTEFTQTQNKLQVLVQMERRIMRLEAKQKN >KJB53549 pep chromosome:Graimondii2_0_v6:9:6329203:6334288:1 gene:B456_009G087000 transcript:KJB53549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRTEHFVQLINTYCLDVESILAQLASSIDLPEVDFSKLAALAAEVTERSSRIGAEHVRLACVDLMQACEQMQKQKFLLALDWTKTEFTQTQNKLQVLVQMERRIMRLEAKQKN >KJB53548 pep chromosome:Graimondii2_0_v6:9:6332149:6333262:1 gene:B456_009G087000 transcript:KJB53548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRTEHFVQLINTYCLDVESILAQLASSIDLPEVDFSKLAALAAEVTERSSRIGAEHVRLACVDLMQACEQMQKQKYETFLNALFH >KJB56882 pep chromosome:Graimondii2_0_v6:9:10593070:10594757:1 gene:B456_009G140400 transcript:KJB56882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDIVVIFDFDKTIIDCDSDNWVVDELGATELFNQLLPTMPWNSLMDRMMKEQHSQGTTVEDIAAVLKRTPIHLQIIEAIKSAHALGCDLKIVSDANTFFIDTILEHHGLQECFSEINTNPGFVDEQGRLRIFPHHDFTKSSHGCDHPSCPPNMCKGIVIEKIQASLSMEDQKKTIIYLGDGLGDFCPSLKLGDGDYVMPRKDFPVWDLICKNRSLIKAQIYEWSDGEEFKSVLLRLISRIISIDGINTNANIGQLYSVDCKLNTMALPATTGHEAFPQALPVLH >KJB57457 pep chromosome:Graimondii2_0_v6:9:12704762:12705556:1 gene:B456_009G165400 transcript:KJB57457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVESSHHAAADESILENVWANFIGGEEGDKARKPSVGSWEELPSLDGRDGSMEILQRLPSLGRWISMGADAWEDLLHGIIPPGNIPQSCNDVTANDIISTRGHKANSMRVEKLATRHYRGVRRRPWGKYAAEIRDSSRKGARVWLGTYDTAEEAAMAYDKAASRIRGPKANLNFPLHTVAKAMGIDDTKTNFNRCLSATNGQGTEDFTDHRKRECRAWEQNSEVVMVEQPQLKRMASIEECGYDVFWFQDLGSDYLESLLSSF >KJB61774 pep chromosome:Graimondii2_0_v6:9:51587750:51590705:-1 gene:B456_009G379900 transcript:KJB61774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPGVGIANCLGPPVCKYLQYHRKLNDYVINFKRIRDELNCKMEDIELQLKAELLRPRGKIPKKGVENWLKAVKEMIREAQVVENKVSNGSYLCRACNGKLADEKTREMKEFLDKAPNASEALAMDGPSGGLPLPTSELVGEEAAIKEIRACLMQEVVKKIGVWGMGGVGKTTIMKHIHNDLLKEQRFETVIWVTISKEFNVMKVQDDIASALKLKEDLAIEGDKLRRAAILSEMLKKVGKHVLILDDVWDEVSLEEVGIPEPSDSNGCKLVLTTRSEHVCKYMGCTVIKVKPLSEQQALTLFLSKVGPNIVQNQTIMPTLKVVVKECAGLPLTIVVVAGTLKGEEDPLIWKNALRELKERIEKVEGVEAKVIERLKFSFDHLKDEKVKSCFLHCTLYPEDFEIEKDKLIECWIDEGFIDDMDTRQEKEEKGHVILKKLEENCLLENITIVLGQPCIKMHDAVREMALSIARMNPRYMIKAGLQLEELPEKEQWSPDIEKVSLMYNSISEISIDVLPTKCQLLTTLLLQNNPIKNISISFFTNMPCLSVLNLSFTKIESLPNSISELKNLTTLLLRGCYQIRDLPCLSMLQELKKLDLCGTKIEEVPEGMDMLIKLRYLDLQVGTLKEIPAGLLPKLVHLQHLSFNVVNEKTSLKAEEMEPLKKLECFTGRFEYISEFNKFISSVQKSKKNLIKYHLHMGSSIMPAARDKTVTIGGVQNWEGDLIMHPIEIQQLNIVKCHYLRNLVDDNSSLKNAIDLRIYDCKGIECVVSLSAFASSSAHPLQSLEILDLRDLPKLSALIMKDAGIGSATTSTLAPSTTFSHLKEIDVQRCSSMKTLLPHWLLPNLQNLEQIWVSECDEIVEILGAATSEVEEKRGDALIQFHLPKLRVLAFRKLPNLKSICSKSGVMICDSLKLIAVIRCDKLKRIPPFVPLVGNGQPFAYAPPSLTITSNTEWWESLEWDDHPNFKNVLRFDLIR >KJB57177 pep chromosome:Graimondii2_0_v6:9:11562824:11565878:1 gene:B456_009G152300 transcript:KJB57177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQCLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEG >KJB57179 pep chromosome:Graimondii2_0_v6:9:11562431:11566820:1 gene:B456_009G152300 transcript:KJB57179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQCLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGTDKKFRVLTPAEIDDYLAEVE >KJB57178 pep chromosome:Graimondii2_0_v6:9:11562593:11566820:1 gene:B456_009G152300 transcript:KJB57178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQCLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGTDKKFRVLTPAEIDDYLAEVE >KJB57176 pep chromosome:Graimondii2_0_v6:9:11562593:11566820:1 gene:B456_009G152300 transcript:KJB57176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQCLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGTDKKFRVLTPAEIDDYLAEVE >KJB58044 pep chromosome:Graimondii2_0_v6:9:14745290:14751345:-1 gene:B456_009G191500 transcript:KJB58044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICQSLCRCFSKSHEIPVSSSSDSPPRPYQPLTVSTSGGQNPSFPKAPSSSQAGTILLKPYVDITSLYDLRKELGRGQFGITYLCIEKATKREYACKSISRRKLTTDKDVDDVRREISILQHLTGQPNIVEFKGAYEDAWNLHLVMELCSGGELFDRITAKRSYSERQASSICRQIMNVVHACHFMGVMHRDLKPENFLMVSKDEDSQIKATDFGLSVFIEEGRMYKDLVGSPYYVAPEVLQRKYGKEIDVWSAGVILYILLSGVPPFWGETEKEIFKAVSEGNLDLKSQPWPTISEGAKDLIRKMLARDPKKRITAAQALEHPWMKEGGEASDKPIDSAVLSRLKQFRVMNKLKKLALKVIAENLSSEEEKKGLQQMFNNIDTDGSGTITLEELRDGLARLGSKLTEPEIKQLMDAADVDKSGTIDYIEFVTATMNRHRLDREDNIRKAFNFFDKDSNGFITRDELRQAMTQYGMGDEATIDEVIEDVDTDKDGRINYEEFVAMMKRGTQDGDGMATSISTSATKHEEPIRTSYAGVQLEETVDETKQGKLRLDSWISSRIQGISRARVQSSIKSGLVKVNGRVVDKVSHSLRAGDKVDCVISDLQPLKAEPEDIPLDIVFEDDHVLVVNKPSHMVVHPAPGNANGTLVNGILHHCSLPTVASSEKEVLFDTEDMSDDEQDIFHGASAGAASVRPGIVHRLDKGTSGLLVVAKDEYSHAHLSEQFKQHTIQRVYISLTCGVPSAASGRVDIPIGRDSNNRIRMVAVPGLSHHGQARHAASRYKVIEVLAGGGSALVQWRLETGRTHQIRAHAKYMGIPLLGDEVYGGTKNMALSLLRPRTPPYYNDELSRLVSRLERPYLHALVLGLEDKT >KJB62541 pep chromosome:Graimondii2_0_v6:9:65891458:65892801:-1 gene:B456_009G421700 transcript:KJB62541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMVACNKEDIKCRWVLHFSFSFSSCDCRLLQNLRWVSLCFSEKRVGGDGGMRTVECLRGRLLAERQASKIAKQDAQLMESKLLELENKLKEETKLRNKAEKRFKLLKKKLESLKILPNLDESEKSSSSESSTVSSVSSASSSGTQHPQDAVPEILKNVEGSASDTNPSIKSFEICSNEENSTPPGTSTKSDTSCSSLKASTMEINMMNGRNETSEDDEYVDNSLALVPLNLPETKVAPEINIEVSKSIGEVLDNLRHARERIQNTMERRQMIRVGAILNSYM >KJB62540 pep chromosome:Graimondii2_0_v6:9:65891141:65893006:-1 gene:B456_009G421700 transcript:KJB62540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLWKLLELENKLKEETKLRNKAEKRFKLLKKKLESLKILPNLDESEKSSSSESSTVSSVSSASSSGTQHPQDAVPEILKNVEGSASDTNPSIKSFEICSNEENSTPPGTSTKSDTSCSSLKASTMEINMMNGRNETSEDDEYVDNSLALVPLNLPETKVAPEINIEVSKSIGEVLDNLRHARERIQNTMERRQMIRVGAILNSYM >KJB62539 pep chromosome:Graimondii2_0_v6:9:65891523:65892571:-1 gene:B456_009G421700 transcript:KJB62539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMVACNKEDIKCSEKRVGGDGGMRTVECLRGRLLAERQASKIAKQDAQLMESKLLELENKLKEETKLRNKAEKRFKLLKKKLESLKILPNLDESEKSSSSESSTVSSVSSASSSGTQHPQDAVPEILKNVEGSASDTNPSIKSFEICSNEENSTPPGTSTKSDTSCSSLKASTMEINMMNGRNETSEDDEYVDNSLALVPLNLPETKVAPEINIEVSKSIGEVLDNLRHARERIQNTMERRQMIRVGAILNSYM >KJB60974 pep chromosome:Graimondii2_0_v6:9:36921466:36928221:-1 gene:B456_009G337300 transcript:KJB60974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADTTTLSYWLNWRFSFCALFILTSMVVAAIIIWKFEGRKRSENREVENRKESPGILYEDETWKTCLEGIHPAWLLGFRVFAFLMLLALLMANAVISGGGIFYFYTQWTFTLVTIYFGFGSAVSIDGYRKHCRKACGDRSNHISLDSEQGTYVPPTIDEIADVSSNQSKHFDPREAPYHPSIAGPWTYAFQIIYQICAGAVMLTDSVFWLIIFPFLMPKYRSLNFIVVCMHSINAVFLIGDTILNCMRFPLFRIAYFILWTGAFVIFQWIIHAYVNLWWPYPFLDLSSSYAPLWYLGIGVMLIPCYGIFALIVKLKDFSLSRTFRDSYRKLR >KJB60976 pep chromosome:Graimondii2_0_v6:9:36921529:36928208:-1 gene:B456_009G337300 transcript:KJB60976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADTTTLSYWLNWRFSFCALFILTSMVVAAIIIWKFEGRKRSENREVENRKESPGILYEDETWKTCLEGIHPAWLLGFRVFAFLMLLALLMANAVISGGGIFYFYTQWTFTLVTIYFGFGSAVSIDGYRKHCRKACGDRSNHISLDSEQGTYVPPTIDEIADVSSNQSKHFDPREAPYHPSIAGPWTYAFQIIYQICAGAVMLTDSVFWLIIFPFLMPKYRSLNFIVVCMHSINAVFLIGDTILNCMVAISIS >KJB60975 pep chromosome:Graimondii2_0_v6:9:36921529:36927594:-1 gene:B456_009G337300 transcript:KJB60975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHHFVFLKVLVLIPVLDTSFEGPFWEKDLTLQSNIDFLSFLTGSSKHTWQPNMTADTTTLSYWLNWRFSFCALFILTSMVVAAIIIWKFEGRKRSENREVENRKESPGILYEDETWKTCLEGIHPAWLLGFRVFAFLMLLALLMANAVISGGGIFYFYTQWTFTLVTIYFGFGSAVSIDGYRKHCRKACGDRSNHISLDSEQGTYVPPTIDEIADVSSNQSKHFDPREAPYHPSIAGPWTYAFQIIYQICAGAVMLTDSVFWLIIFPFLMPKYRSLNFIVVCMHSINAVFLIGDTILNCMRFPLFRIAYFILWTGAFVIFQWIIHAYVNLWWPYPFLDLSSSYAPLWYLGIGVMLIPCYGIFALIVKLKDFSLSRTFRDSYRKLR >KJB60973 pep chromosome:Graimondii2_0_v6:9:36921455:36928221:-1 gene:B456_009G337300 transcript:KJB60973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADTTTLSYWLNWRFSFCALFILTSMVVAAIIIWKFEGRKRSENREVENRKESPGILYEDETWKTCLEGIHPAWLLGFRVFAFLMLLALLMANAVISGGGIFYFYTQWTFTLVTIYFGFGSAVSIDGYRKHCRKACGDRSNHISLDSEQGTYVPPTIDEIADVSSNQSKHFDPREAPYHPSIAGPWTYAFQIIYQICAGAVMLTDSVFWLIIFPFLMPKYRSLNFIVVCMHSINAVFLIGDTILNCMRFPLFRIAYFILWTGAFVIFQWIIHAYVNLWWPYPFLDLSSSYAPLWYLGIGVMLIPCYGIFALIVKLKDFSLSRTFRDSYRKLR >KJB61641 pep chromosome:Graimondii2_0_v6:9:50402594:50408320:1 gene:B456_009G372200 transcript:KJB61641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFMDPTKKRKLDENGIISAVSEPDPITKLTPQDGRKLIERFTVDQLLDILQDAVCRHFDVLSAVRSIADQDPSQRKLFIRGLGWDTTTDGLRSLFSVYGELEEAVVILDKATGKSKGYGFVTFKHVDGALLALKEPSKKIDGRVTVTQLAAAGNSGTNTNPVDVHMRKIYVANVPYDMPADKLLGHFAQYGEIEEGPLGFDKQTGKSKGFALFVYKTAEGAQSALVEPVKNIDGRQLNCKLAIEGKKGKPGQDGMMQSGVGAAGNTEMGVGGHGGGYGGHVGPGGPGGMGGYGGFPGGLQGPPGPMGHPHHLNSSGVGVGALSGSGGAAGGGYGSGLSGPYGGYGGPGSTGYGGLTGAGAGVGLSGAALGSSLYRMPPNSVGMPSGGYPETAHYSLSSAAAFPSQHHQGAGTSPVPRVPPGGMYPNGPPFY >KJB56428 pep chromosome:Graimondii2_0_v6:9:8854234:8858275:1 gene:B456_009G119600 transcript:KJB56428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNRLGHHLLSLARHYSRVAPPPPAYADPVIRVSNNVAYLGSPKQGPKPRQLLSLPPFPGHHLPGKNSATGRVTAISWLKYYFDEISDSAIQSHFNKGLVEIESSNPNAADSFIERDGQMKSLRKIKHNEVMEVGARVWVPVSIAETRVSKRFDCIPSGTLHPNADEIDYLRRLVKYKDYAILVLNKPPKLPVKGSLPVHNSMDALAAAALSYYYDEGPKLVHRLDRESSGLLLMGRTKESINHLHWLFNDINPAKSSCKAWNDACDAKFQRYWALVIGTPKENEGLIRSPLSKILLDDGKTERVILAQNVGLEPSQEAVTEYRVLGPMINGCSWVELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRKWKQMPRVDIEQRTGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFLPLLSNNAETLSPGLSGKPDLLRFVASMPKHMKISWNLMSSYLV >KJB56430 pep chromosome:Graimondii2_0_v6:9:8854449:8856897:1 gene:B456_009G119600 transcript:KJB56430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNRLGHHLLSLARHYSRVAPPPPAYADPVIRVSNNVAYLGSPKQGPKPRQLLSLPPFPGHHLPGKNSATGRVTAISWLKYYFDEISDSAIQSHFNKGLVEIESSNPNAADSFIERDGQMKSLRKIKHNEVMEVGARVWVPVSIAETRVSKRFDCIPSGTLHPNADEIDYLRRLVKYKDYAILVLNKPPKLPVKGSLPVHNSMDALAAAALSYYYDEGPKLVHRLDRESSGLLLMGRTKESINHLHWLFNDINPAKSSCKVHVP >KJB56432 pep chromosome:Graimondii2_0_v6:9:8854290:8858327:1 gene:B456_009G119600 transcript:KJB56432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNRLGHHLLSLARHYSRVAPPPPAYADPVIRVSNNVAYLGSPKQGPKPRQLLSLPPFPGHHLPGKNSATGRVTAISWLKYYFDEISDSAIQSHFNKGLVEIESSNPNAADSFIERDGQMKSLRKIKHNEVMEVGARVWVPVSIAETRVSKRFDCIPSGTLHPNADEIDYLRRLVKYKDYAILVLNKPPKLPVKGSLPVHNSMDALAAAALSYYYDEGPKLVHRLDRESSGLLLMGRTKESINHLHWLFNDINPAKSSCKAWNDACDAKFQRYWALVIGTPKENEGLIRSPLSKILLDDGKTERVILAQNVGLEPSQEAVTEYRVLGPMINGCSWVELRPLTSRKHQKL >KJB56429 pep chromosome:Graimondii2_0_v6:9:8854438:8858244:1 gene:B456_009G119600 transcript:KJB56429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLRKIKHNEVMEVGARVWVPVSIAETRVSKRFDCIPSGTLHPNADEIDYLRRLVKYKDYAILVLNKPPKLPVKGSLPVHNSMDALAAAALSYYYDEGPKLVHRLDRESSGLLLMGRTKESINHLHWLFNDINPAKSSCKAWNDACDAKFQRYWALVIGTPKENEGLIRSPLSKILLDDGKTERVILAQNVGLEPSQEAVTEYRVLGPMINGCSWVELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRKWKQMPRVDIEQRTGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFLPLLSNNAETLSPGLSGKPDLLRFVASMPKHMKISWNLMSSYLV >KJB56431 pep chromosome:Graimondii2_0_v6:9:8854449:8858244:1 gene:B456_009G119600 transcript:KJB56431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNRLGHHLLSLARHYSRVAPPPPAYADPVIRVSNNVAYLGSPKQGPKPRQLLSLPPFPGHHLPGKNSATGRVTAISWLKYYFDEISDSAIQSHFNKGLVEIESSNPNAADSFIERDGQMKSLRKIKHNEVMEVGARVWVPVSIAETRVSKRFDCIPSGTLHPNADEIDYLRRLVKYKDYAILVLNKPPKLPVKGSLPVHNSMDALAAAALSYYYDEGPKLVHRLDRESSGLLLMGRTKESINHLHWLFNDINPAKSSCKAWNDACDAKFQRYWALVIGTPKENEGLIRSPLSKILLDDGKTERVILAQNVGLEPSQEAVTEYRVLGPMINGCSWVELRPLTSRKHQVPYLSLYFFLCLLIQVMYEGNL >KJB56433 pep chromosome:Graimondii2_0_v6:9:8854449:8858244:1 gene:B456_009G119600 transcript:KJB56433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNRLGHHLLSLARHYSRVAPPPPAYADPVIRVSNNVAYLGSPKQGPKPRQLLSLPPFPGHHLPGKNSATGRVTAISWLKYYFDEISDSAIQSHFNKGLVEIESSNPNAADSFIERDGQMKSLRKIKHNEVMEVGARVWVPVSIAETRVSKRFDCIPSGTLHPNADEIDYLRRLVKYKDYAILVLNKPPKLPVKGSLPVHNSMDALAAAALSYYYDEGPKLVHRLDRESSGLLLMGRTKESINHLHWLFNDINPAKSSCKAWNDACDAKFQRYWALVIGTPKENEGLIRSPLSKVFFCQLLSLPLP >KJB56427 pep chromosome:Graimondii2_0_v6:9:8855130:8858037:1 gene:B456_009G119600 transcript:KJB56427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGARVWVPVSIAETRVSKRFDCIPSGTLHPNADEIDYLRRLVKYKDYAILVLNKPPKLPVKGSLPVHNSMDALAAAALSYYYDEGPKLVHRLDRESSGLLLMGRTKESINHLHWLFNDINPAKSSCKAWNDACDAKFQRYWALVIGTPKENEGLIRSPLSKILLDDGKTERVILAQNVGLEPSQEAVTEYRVLGPMINGCSWVELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRKWKQMPRVDIEQRTGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFLPLLSNNAETLSPGLSGKPDLLRFVASMPKHMKISWNLMSSYLV >KJB59422 pep chromosome:Graimondii2_0_v6:9:20859014:20861600:1 gene:B456_009G254000 transcript:KJB59422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSILKYAMLMENHKPYIAMLFVQFIYAGMALFSKAAISKGMNPYVFVVYRQAFATITLAPFAFFLESKQTSLSYNLIIKIFLISLCGLTLSLNLYSVAIKYTTATFAAATTNTIPVLTLIIAVCLRMESISVRKFPGLAKVLGSVISLSGALVFAFVKGPTIKFMNWYPETQNQTADSIAKDHPVGVWIKGCLIMLSANTAWSMWLVLQGRIVKQYPAKLRLTALQCFFSCIQSAIWAIAAERNPSAWKLGWDVHLLAVIYCVS >KJB59420 pep chromosome:Graimondii2_0_v6:9:20859097:20860223:1 gene:B456_009G254000 transcript:KJB59420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSILKYAMLMENHKPYIAMLFVQFIYAGMALFSKAAISKGMNPYVFVVYRQAFATITLAPFAFFLESKQTSLSYNLIIKIFLISLCGLTLSLNLYSVAIKYTTATFAAATTNTIPVLTLIIAVCLRMESISVRKFPGLAKVLGSVISLSGALVFAFVKGPTIKFMNWYPETQNQTADSIAKDHPVGVWIKGCLIMLSANTAWSMWLVLQGRIVKQYPAKLRLTALQCFFSCIQSAIWAIAAERNPSAWKLGWDVHLLAVIYCVS >KJB59423 pep chromosome:Graimondii2_0_v6:9:20859014:20861600:1 gene:B456_009G254000 transcript:KJB59423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESISVRKFPGLAKVLGSVISLSGALVFAFVKGPTIKFMNWYPETQNQTADSIAKDHPVGVWIKGCLIMLSANTAWSMWLVLQGRIVKQYPAKLRLTALQCFFSCIQSAIWAIAAERNPSAWKLGWDVHLLAVIYCGVIVAGITYWLQVWTIEKKGPVFTAIFTPLALVITAIFSAFLWKETLHWGSVAGVVLLVGGLYSVLWGKKKEDEKMVRNEQNPGTNKEEIVLECITHQ >KJB59421 pep chromosome:Graimondii2_0_v6:9:20859014:20861600:1 gene:B456_009G254000 transcript:KJB59421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSILKYAMLMENHKPYIAMLFVQFIYAGMALFSKAAISKGMNPYVFVVYRQAFATITLAPFAFFLESKQTSLSYNLIIKIFLISLCGLTLSLNLYSVAIKYTTATFAAATTNTIPVLTLIIAVCLRMESISVRKFPGLAKVLGSVISLSGALVFAFVKGPTIKFMNWYPETQNQTADSIAKDHPVGVWIKGCLIMLSANTAWSMWLVLQGRIVKQYPAKLRLTALQCFFSCIQSAIWAIAAERNPSAWKLGWDVHLLAVIYCGVIVAGITYWLQVWTIEKKGPVFTAIFTPLALVITAIFSAFLWKETLHWGSVAGVVLLVGGLYSVLWGKKKEDEKMVRNEQNPGTNKEEIVLECITHQ >KJB59419 pep chromosome:Graimondii2_0_v6:9:20859014:20861600:1 gene:B456_009G254000 transcript:KJB59419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSILKYAMLMENHKPYIAMLFVQFIYAGMALFSKAAISKGMNPYVFVVYRQAFATITLAPFAFFLESKQTSLSYNLIIKIFLISLCGLTLSLNLYSVAIKYTTATFAAATTNTIPVLTLIIAVCLRMESISVRKFPGLAKVLGSVISLSGALVFAFVKGPTIKFMNWYPETQNQTADSIAKDHPVGVWIKGCLIMLSANTAWSMWLVLQGRIVKQYPAKLRLTALQCFFSCIQSAIWAIAAERNPSAWKLGWDVHLLAVIYCGVIVAGITYWLQVWTIEKKGPVFTAIFTPLALVITAIFSAFLWKETLHWGRYLLLLSLSAD >KJB62371 pep chromosome:Graimondii2_0_v6:9:63304117:63305000:-1 gene:B456_009G414000 transcript:KJB62371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIACLLPLFLVPIVNILPLLFDFIMGKIYRLLGWEYRKPERAPPACPYKPAAKLENNSKVSDR >KJB62370 pep chromosome:Graimondii2_0_v6:9:63302318:63305167:-1 gene:B456_009G414000 transcript:KJB62370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIACLLPLFLVPIVNILPLLFDFIMGKIYRLLGWEYRKPERAPPACPYKPAAKLENNSKVTPETEPGAPESSSKPVGMTNGKQD >KJB62369 pep chromosome:Graimondii2_0_v6:9:63302318:63305000:-1 gene:B456_009G414000 transcript:KJB62369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIACLLPLFLVPIVNILPLLFDFIMGKIYRLLGWEYRKPERAPPACPYKPAAKLENNSKVSDPRNRTRCTRV >KJB54563 pep chromosome:Graimondii2_0_v6:9:2865004:2867576:-1 gene:B456_009G039100 transcript:KJB54563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGDRKKKLFLALFASILLVTAIVTIATTVSVSKKKSSNTAAAHSIIKSSCSSTLYPELCYSTISSAPHAETKVKNPKDVIEMSLNLTVTAVQSNYLSIKKLISTRRKSLTEREKAALNDCLELVDETLDELLVAEHDLSDYPSFNKSISQHAEDLKSLLSAAMTNQETCLDGFSHDKADKKVRQALLDGQMHVFHMCSNALAMIKNLTDTDMASQGYHPSSGRQLEEEDQTEWPKWLSAGDRRLLQATTVIPNVTVAADGSGDFLTVSEAVAAAPERSTTRYIIKIKAGVYSENVDVPRKKTNLMFVGDGRVNTIITASRNVVDGSTTFHSATVAAVGDGFLARDITFQNTAGPSKHQAVALRVGSDLSAFYRCDILAYQDTLYVHSLRQFYSQCLVAGTVDFIFGNAAAVLQDCDIHARRPNPNQRNMVTAQGRSDPNENTGIVIQKCRIGATSDLEAVKSDFATYLGRPWKTHSRTVIMQSVISDIIHPAGWFPWDKDFALDTLTYREYQNTGPGANTSSRVTWKGYSVITNISEAQTYTARNFIGGANWLNATGFPFSLDL >KJB54564 pep chromosome:Graimondii2_0_v6:9:2865038:2867461:-1 gene:B456_009G039100 transcript:KJB54564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGDRKKKLFLALFASILLVTAIVTIATTVSVSKKKSSNTAAAHSIIKSSCSSTLYPELCYSTISSAPHAETKVKNPKDVIEMSLNLTVTAVQSNYLSIKKLISTRRKSLTEREKAALNDCLELVDETLDELLVAEHDLSDYPSFNKSISQHAEDLKSLLSAAMTNQETCLDGFSHDKADKKVRQALLDGQMHVFHMCSNALAMIKNLTDTDMASQGYHPSSGRQLEEEDQTEWPKWLSAGDRRLLQATTVIPNVTVAADGSGDFLTVSEAVAAAPERSTTRYIIKIKAGVYSENVDVPRKKTNLMFVGDGRVNTIITASRNVVDGSTTFHSATVAAVGDGFLARDITFQNTAGPSKHQAVALRVGSDLSAFYRHRGLHFRKCSSSVARLRHSCSSTQSKPKEHGHRTRP >KJB56493 pep chromosome:Graimondii2_0_v6:9:9043327:9054376:-1 gene:B456_009G121700 transcript:KJB56493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQTHMSGQISGQVPNQGGLPQQNGNLLQPSQMQNLGVAGGVSGVLGSSGPPHNMLNMDPDLMRTRDYMRGEIIKFLKSRQQHPITEASMIKFQDFARRLEEGLFKIARTKEDYTNLSTLEQRLQILIRNSRNVHNQRHAQLVNSGSAPVGTMIPTPGLSHSGNPSLMVTSSIDTSMSAANASIPPTNVNTGSLLPTAGMNSGSFSRSEGNISNGYQHPSANFHIASGGMSSIGVQRMASQMIPTPGLNSNNNSINNQSSNNVGLSTVESTMSSQPQQQKQHGGQNSRILHTLGSQIGSGIRSGLQQKTFGFPNASLNGALGMMGNNMLIVNEPGTSGGYQTTTPFANSPKPLQQHFDQHQRPLMQGDGYGMNNADSFGSGNLYGSATSVGSITNSQNSDPVNLQSISRANSSLISNQSNLHGMQSAAHMNPQSMDNFEKMNFQPPVSSRDNVLQANQLQQFQQRSLQFQQRQFLQQQHHPQQNQQHQNLMSNNSGYSQPQMASDIGSQVKHEPGVELHGEVLHQQASGQFQLSESQSQFQQNHAEQISSQQDMHSSLPQNSQQMQQMLHQHQLVPESQNDYKLSAGAPPESAVQGQWLPHSQEQSQMPVNMSREQHVQEDFQQRISGQDEAQCNNLSAGASTIPKSSSNLSNSRGAGSGSHDRQFRNQVRWLLLLRHARRCKASEGKCDGYCFTVRKLLSHMDSCQSSQCSYPRCHHSKRLIRHHKTCRYPACPVCVHVNNYVQAQKARACLNSTSILPSSDSISTKTDSSGDISTRITSTSAAIDTSVDIQPSLKRMKIEQSSQSVIAKSEGPLASGCVVTETHISQDIQRQDYRYVDRRMPVKSEPMEVKTDVPMSSAKGSPVITEMKDVLEDISKQKSDAEPITSDEFGGLRKQENAEIEKEPDLVKPENVTQSSEGAAGNKSGKPKIKGVSLTELFTPEQVREHITGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVERLTFEPPPIYCSHCGARIKRNAMYYTVGAGDTRHYFCIPCHNESRGDSIVVDGTAIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIEEVERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFRRLKQERQERAKVQGKSYDEVPGAEALVVRVVSSVDKKLEVKPRFLEIFQEENYPLEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECAFPNQRRVYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYIIYCHPEIQKTPKSDKLREWYLAMLRKASKENIVVDLTNLYDHFFLTTGECKAKVTAARLPYFDGDYWPGAAEDLIIQLRQEDGRKLNKKGTIKKTLTKRALKASGQADLSSNASKDLLLMHKLGETISPMKEDFIMVHLQHCCTHCCILMVSGSRWVCNQCKNFQICDRCYETEQKREERERHPFNQREKHVLYPVEITDVPTDTKDRDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCHLDIETGQGWRCEVCPDYDVCNSCYYKDGGIDHPHKLTNHPSLAERDAQNKEARQLRVVQLRKMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGIQCKKRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNSA >KJB56492 pep chromosome:Graimondii2_0_v6:9:9044051:9053535:-1 gene:B456_009G121700 transcript:KJB56492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQTHMSGQISGQVPNQGGLPQQNGNLLQPSQMQNLGVAGGVSGVLGSSGPPHNMLNMDPDLMRTRDYMRGEIIKFLKSRQQHPITEASMIKFQDFARRLEEGLFKIARTKEDYTNLSTLEQRLQILIRNSRNVHNQRHAQLVNSGSAPVGTMIPTPGLSHSGNPSLMVTSSIDTSMSAANASIPPTNVNTGSLLPTAGMNSGSFSRSEGNISNGYQHPSANFHIASGGMSSIGVQRMASQMIPTPGLNSNNNSINNQSSNNVGLSTVESTMSSQPQQQKQHGGQNSRILHTLGSQIGSGIRSGLQQKTFGFPNASLNGALGMMGNNMLIVNEPGTSGGYQTTTPFANSPKPLQQHFDQHQRPLMQGDGYGMNNADSFGSGNLYGSATSVGSITNSQNSDPVNLQSISRANSSLISNQSNLHGMQSAAHMNPQSMDNFEKMNFQPPVSSRDNVLQANQLQQFQQRSLQFQQRQFLQQQHHPQQNQQHQNLMSNNSGYSQPQMASDIGSQVKHEPGVELHGEVLHQQASGQFQLSESQSQFQQNHAEQISSQQDMHSSLPQNSQQMQQMLHQHQLVPESQNDYKLSAGAPPESAVQGQWLPHSQEQSQMPVNMSREQHVQEDFQQRISGQDEAQCNNLSAGASTIPKSSSNLSNSRGAGSGSHDRQFRNQVRWLLLLRHARRCKASEGKCDGYCFTVRKLLSHMDSCQSSQCSYPRCHHSKRLIRHHKTCRYPACPVCVHVNNYVQAQKARACLNSTSILPSSDSISTKTDSSGDISTRITSTSAAIDTSVDIQPSLKRMKIEQSSQSVIAKSEGPLASGCVVTETHISQDIQRQDYRYVDRRMPVKSEPMEVKTDVPMSSAKGSPVITEMKDVLEDISKQKSDAEPITSDEFGGLRKQENAEIEKEPDLVKPENVTQSSEGAAGNKSGKPKIKGVSLTELFTPEQVREHITGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVERLTFEPPPIYCSHCGARIKRNAMYYTVGAGDTRHYFCIPCHNESRGDSIVVDGTAIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIEEVERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFRRLKQERQERAKVQGKSYDEVPGAEALVVRVVSSVDKKLEVKPRFLEIFQEENYPLEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECAFPNQRRVYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYIIYCHPEIQKTPKSDKLREWYLAMLRKASKENIVVDLTNLYDHFFLTTGECKAKVTAARLPYFDGDYWPGAAEDLIIQLRQEDGRKLNKKGTIKKTLTKRALKASGQADLSSNASKDLLLMHKLGETISPMKEDFIMVHLQHCCTHCCILMVSGSRWVCNQCKNFQICDRCYETEQKREERERHPFNQREKHVLYPVEITDVPTDTKDRDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCHLDIETGQGWRCEVCPDYDVCNSCYYKDGGIDHPHKLTNHPSLAERDAQNKEARQLRVVQLRKMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGIQCKKRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNSA >KJB56491 pep chromosome:Graimondii2_0_v6:9:9043327:9054239:-1 gene:B456_009G121700 transcript:KJB56491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQTHMSGQISGQVPNQGGLPQQNGNLLQPSQMQNLGVAGGVSGVLGSSGPPHNMLNMDPDLMRTRDYMRGEIIKFLKSRQQHPITEASMIKFQDFARRLEEGLFKIARTKEDYTNLSTLEQRLQILIRNSRNVHNQRHAQLVNSGSAPVGTMIPTPGLSHSGNPSLMVTSSIDTSMSAANASIPPTNVNTGSLLPTAGMNSGSFSRSEGNISNGYQHPSANFHIASGGMSSIGVQRMASQMIPTPGLNSNNNSINNQSSNNVGLSTVESTMSSQPQQQKQHGGQNSRILHTLGSQIGSGIRSGLQQKTFGFPNASLNGALGMMGNNMLIVNEPGTSGGYQTTTPFANSPKPLQQHFDQHQRPLMQGDGYGMNNADSFGSGNLYGSATSVGSITNSQNSDPVNLQSISRANSSLISNQSNLHVSSRDNVLQANQLQQFQQRSLQFQQRQFLQQQHHPQQNQQHQNLMSNNSGYSQPQMASDIGSQVKHEPGVELHGEVLHQQASGQFQLSESQSQFQQNHAEQISSQQDMHSSLPQNSQQMQQMLHQHQLVPESQNDYKLSAGAPPESAVQGQWLPHSQEQSQMPVNMSREQHVQEDFQQRISGQDEAQCNNLSAGASTIPKSSSNLSNSRGAGSGSHDRQFRNQVRWLLLLRHARRCKASEGKCDGYCFTVRKLLSHMDSCQSSQCSYPRCHHSKRLIRHHKTCRYPACPVCVHVNNYVQAQKARACLNSTSILPSSDSISTKTDSSGDISTRITSTSAAIDTSVDIQPSLKRMKIEQSSQSVIAKSEGPLASGCVVTETHISQDIQRQDYRYVDRRMPVKSEPMEVKTDVPMSSAKGSPVITEMKDVLEDISKQKSDAEPITSDEFGGLRKQENAEIEKEPDLVKPENVTQSSEGAAGNKSGKPKIKGVSLTELFTPEQVREHITGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVERLTFEPPPIYCSHCGARIKRNAMYYTVGAGDTRHYFCIPCHNESRGDSIVVDGTAIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIEEVERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFRRLKQERQERAKVQGKSYDEVPGAEALVVRVVSSVDKKLEVKPRFLEIFQEENYPLEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECAFPNQRRVYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYIIYCHPEIQKTPKSDKLREWYLAMLRKASKENIVVDLTNLYDHFFLTTGECKAKVTAARLPYFDGDYWPGAAEDLIIQLRQEDGRKLNKKGTIKKTLTKRALKASGQADLSSNASKDLLLMHKLGETISPMKEDFIMVHLQHCCTHCCILMVSGSRWVCNQCKNFQICDRCYETEQKREERERHPFNQREKHVLYPVEITDVPTDTKDRDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCHLDIETGQGWRCEVCPDYDVCNSCYYKDGGIDHPHKLTNHPSLAERDAQNKEARQLRVVQLRKMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGIQCKKRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNSA >KJB59568 pep chromosome:Graimondii2_0_v6:9:21551498:21554131:1 gene:B456_009G261200 transcript:KJB59568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFQGLRFGFAEGTQFLSTSTIKETMLYPSSGNTYIHTCICLHPLFMMKQLVIYIELCLWVFREGVKGSIDGSNELIQPGTNFTYKIELKGEIGTLWWHATSAWAAATVHGAFVISPAANEDYPFPAPTSDQTIILGQWFKQELTEGDKTIAPGRADAYTINGHPGETYGCSNDTTFEMQVDYEGLYLVRVINAVVNETMVFAVASHSFTIVGQNGAYTKRSFTNSLTLAPAQVVDVLLCANVNVGHYYITARPSSGTYITNGILRYLTTSS >KJB59567 pep chromosome:Graimondii2_0_v6:9:21551474:21554133:1 gene:B456_009G261200 transcript:KJB59567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEKQGFIWLSGLLFLNILVLSTADVHYYEFFLQESQFTKLCSTKSILTVNGSFPGPEIRVRRGDTVFVNVHNQGNHAVSLKWEGVKGSIDGSNELIQPGTNFTYKIELKGEIGTLWWHATSAWAAATVHGAFVISPAANEDYPFPAPTSDQTIILGQWFKQELTEGDKTIAPGRADAYTINGHPGETYGCSNDTTFEMQVDYEGLYLVRVINAVVNETMVFAVASHSFTIVGQNGAYTKRSFTNSLTLAPAQVVDVLLCANVNVGHYYITARPSSGTYITNGILRYLTTSS >KJB60965 pep chromosome:Graimondii2_0_v6:9:34849908:34854277:1 gene:B456_009G333600 transcript:KJB60965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTGSNVVALKPAARFADPTDRKFVQIRQWSPISGGFGSVQARLCIGLQCQSKRSFASPGVKAQVATVEQASIEAAQNVEAPVAIVTGASRGIGKAVALALGKAGCKVLVNYARSSKEAEEVSKEIESYGGQAVTFGGDVSKEADVEGMIKTAVDTWGTVDILINNAGITRDTLLMRMKKSQWQEVIDLNLTGVFLCTQAAAKIMMKKKKGKIINIASVVGLVGNIGQANYSAAKAGVIGLTKTVAREYASRNINVNAVAPGFIASDMTAKLGEDLEKRILETIPLGRYGQPEEVAGLVEFLAINPASNYITGQVFTIDGGMVM >KJB60966 pep chromosome:Graimondii2_0_v6:9:34849912:34854277:1 gene:B456_009G333600 transcript:KJB60966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTGSNVVALKPAARFADPTDRKFVQIRQWSPISGGFGSVQARLCIGLQCQSKRSFASPGVKAQVATVEQASIEAAQNVEAPVAIVTGASRGIGKAVALALGKAGCKVLVNYARSSKEAEEVSKEIESYGGQAVTFGGDVSKEADVEGMIKTAVDTWGTVDILINNAGITRDTLLMRMKKSQWQEVIDLNLTGVFLCTQAAAKIMMKKKKIINIASVVGLVGNIGQANYSAAKAGVIGLTKTVAREYASRNINVNAVAPGFIASDMTAKLGEDLEKRILETIPLGRYGQPEEVAGLVEFLAINPASNYITGQVFTIDGGMVM >KJB58067 pep chromosome:Graimondii2_0_v6:9:14830070:14830566:-1 gene:B456_009G192900 transcript:KJB58067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYVIHLYHSKFLNNEPLSHVKDMEDCHSAVVLIGSKHILMDSASLQMDENLLKRLNADLVINSFICRNTCTHGCRKLANLCSGMSRNKVKYSCAVFVIA >KJB61208 pep chromosome:Graimondii2_0_v6:9:42037819:42047412:1 gene:B456_009G346400 transcript:KJB61208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGQLNQELEMEMELLRLSKFSLQLRALVTESRDLRERNRSATEQIHLLVQKQKQTEEEYSRKLQELQAEVASCNESQQKLERKVSYLQNDNALLENKQKEFQGIIQSLLQSKDSFINAYQESTYEMKQSIEARDRKIAVLSEKLNSHLSLFDSIEKEAFSVKQVVDNVERIVSEKEEVVAGLRREMDQVSAFEKAFVERINDLENRLKNDGYEFQRKNKIISELEAQLEAAKISDCSRAQIEEISTQVLITNATLFCFRRTNCKFNKINFSSLCFLSQQHLQKTISAKDTVIQNLVSEKEALHFEVRSLANILQKIQNAVAHMNEEDRRAVSSKLESQEECQMNTSEEDNRIQDTTKHSAEESPNKTCRIDAAENRASQQLSQGYNSTSHHLQANDTFNSCVRESACSPVCSDPQPPANVLSISVNGRMDTCGISVQQLDSECSTTQAETSNNQVKF >KJB61203 pep chromosome:Graimondii2_0_v6:9:42037632:42047570:1 gene:B456_009G346400 transcript:KJB61203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGQLNQELEMEMELLRLSKFSLQLRALVTESRDLRKQKQTEEEYSRKLQELQAEVASCNESQQKLERKVSYLQNDNALLENKQKEFQGIIQSLLQSKDSFINAYQESTYEMKQSIEARDRKIAVLSEKLNSHLSLFDSIEKEAFSVKQVVDNVERIVSEKEEVVAGLRREMDQVSAFEKAFVERINDLENRLKNDGYEFQRKNKIISELEAQLEAAKISDCSRAQIEELQKTISAKDTVIQNLVSEKEALHFEVRSLANILQKIQNAVAHMNEEDRRAVSSKLESQEECQMNTSEEDNRFTAAISGV >KJB61205 pep chromosome:Graimondii2_0_v6:9:42037632:42047570:1 gene:B456_009G346400 transcript:KJB61205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGQLNQELEMEMELLRLSKFSLQLRALVTESRDLRERNRSATEQIHLLVQKQKQTEEEYSRKLQELQAEVASCNESQQKLERKVSYLQNDNALLENKQKEFQGIIQSLLQSKDSFINAYQESTYEMKQSIEARDRKIAVLSEKLNSHLSLFDSIEKEAFSVKQVVDNVERIVSEKEEVVAGLRREMDQVSAFEKAFVERINDLENRLKNDGYEFQRKNKIISELEAQLEAAKISDCSRAQIEELQKTISAKDTVIQNLVSEKEALHFEVRSLANILQKIQNAVAHMNEEDRRAVSSKLESQEECQMNTSEEDNRFTAAISGV >KJB61202 pep chromosome:Graimondii2_0_v6:9:42037632:42047570:1 gene:B456_009G346400 transcript:KJB61202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGQLNQELEMEMELLRLSKFSLQLRALVTESRDLRKQKQTEEEYSRKLQELQAEVASCNESQQKLERKVSYLQNDNALLENKQKEFQGIIQSLLQSKDSFINAYQESTYEMKQSIEARDRKIAVLSEKLNSHLSLFDSIEKEAFSVKQVVDNVERIVSEKEEVVAGLRREMDQVSAFEKAFVERINDLENRLKNDGYEFQRKNKIISELEAQLEAAKISDCSRAQIEELQKTISAKDTVIQNLVSEKEALHFEVRSLANILQKIQNAVAHMNEEDRRAVSSKLESQEECQMNTSEEDNRIQDTTKHSAEESPNKTCRIDAAENRASQQLSQGYNSTSHHLQANDTFNSCVRESACSPVCSDPQPPANVLSISVNGRMDTCGISVQQLDSECSTTQAETSNNQVKF >KJB61200 pep chromosome:Graimondii2_0_v6:9:42037632:42047570:1 gene:B456_009G346400 transcript:KJB61200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGQLNQELEMEMELLRLSKFSLQLRALVTESRDLRERNRSATEQIHLLVQKQKQTEEEYSRKLQELQAEVASCNESQQKLERKVSYLQNDNALLENKQKEFQGIIQSLLQSKDSFINAYQESTYEMKQSIEARDRKIAVLSEKLNSHLSLFDSIEKEAFSVKQVVDNVERIVSEKEEVVAGLRREMDQVSAFEKAFVERINDLENRLKNDGYEFQRKNKIISELEAQLEAAKISDCSRAQIEELQKTISAKDTVIQNLVSEKEALHFEVRSLANILQKIQNAVAHMNEEDRRAVSSKLESQEECQMNTSEEDNRIQDTTKHSAEESPNKTCRIDAAENRASQQLSQGYNSTSHHLQANDTFNSCVREDTCGISVQQLDSECSTTQAETSNNQVKF >KJB61204 pep chromosome:Graimondii2_0_v6:9:42037632:42047570:1 gene:B456_009G346400 transcript:KJB61204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGQLNQELEMEMELLRLSKFSLQLRALVTESRDLRKQKQTEEEYSRKLQELQAEVASCNESQQKLERKVSYLQNDNALLENKQKEFQGIIQSLLQSKDSFINAYQESTYEMKQSIEARDRKIAVLSEKLNSHLSLFDSIEKEAFSVKQVVDNVERIVSEKEEVVAGLRREMDQVSAFEKAFVERINDLENRLKNDGYEFQRKNKIISELEAQLEAAKISDCSRAQIEELQKTISAKDTVIQNLVSEKEALHFEVRSLANILQKIQNAVAHMNEEDRRAVSSKLESQEECQMNTSEEDNRIQDTTKHSAEESPNKTCRIDAAENRASQQLSQGYNSTSHHLQANDTFNSCVREDTCGISVQQLDSECSTTQAETSNNQVKF >KJB61207 pep chromosome:Graimondii2_0_v6:9:42037632:42047570:1 gene:B456_009G346400 transcript:KJB61207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGQLNQELEMEMELLRLSKFSLQLRALVTESRDLRERNRSATEQIHLLVQKQKQTEEEYSRKLQELQAEVASCNESQQKLERKVSYLQNDNALLENKQKEFQGIIQSLLQSKDSFINAYQESTYEMKQSIEARDRKIAVLSEKLNSHLSLFDSIEKEAFSVKQVVDNVERIVSEKEEVVAGLRREMDQVSAFEKAFVERINDLENRLKNDGYEFQRKNKIISELEAQLEAAKISDCSRAQIEELQKTISAKDTVIQNLVSEKEALHFEVRSLANILQKIQNAVAHMNEEDRRAVSSKLESQEECQMNTSEEDNRIQDTTKHSAEESPNKTCRIDAAENRASQQLSQGYNSTSHHLQANDTFNSCVRESACSPVCSDPQPPANVLSISVNGRMDTCGISVQQLDSECSTTQAETSNNQVKF >KJB61201 pep chromosome:Graimondii2_0_v6:9:42037632:42047570:1 gene:B456_009G346400 transcript:KJB61201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGQLNQELEMEMELLRLSKFSLQLRALVTESRDLRKQKQTEEEYSRKLQELQAEVASCNESQQKLERKVSYLQNDNALLENKQKEFQGIIQSLLQSKDSFINAYQESTYEMKQSIEARDRKIAVLSEKLNSHLSLFDSIEKEAFSVKQVVDNVERIVSEKEEVVAGLRREMDQVSAFEKAFVERINDLENRLKNDGYEFQRKNKIISELEAQLEAAKISDCSRAQIEELQKTISAKDTVIQNLVSEKEALHFEVRSLANILQKIQNAVAHMNEEDRRAVSSKLESQEECQMNTSEEDNRFTAAISGV >KJB61206 pep chromosome:Graimondii2_0_v6:9:42037632:42047570:1 gene:B456_009G346400 transcript:KJB61206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGQLNQELEMEMELLRLSKFSLQLRALVTESRDLRERNRSATEQIHLLVQKQKQTEEEYSRKLQELQAEVASCNESQQKLERKVSYLQNDNALLENKQKEFQGIIQSLLQSKDSFINAYQESTYEMKQSIEARDRKIAVLSEKLNSHLSLFDSIEKEAFSVKQVVDNVERIVSEKEEVVAGLRREMDQVSAFEKAFVERINDLENRLKNDGYEFQRKNKIISELEAQLEAAKISDCSRAQIEELQKTISAKDTVIQNLVSEKEALHFEVRSLANILQKIQNAVAHMNEEDRRAVSSKLESQEECQMNTSEEDNRFTAAISGV >KJB55492 pep chromosome:Graimondii2_0_v6:9:5677217:5682852:1 gene:B456_009G078800 transcript:KJB55492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNHAVNEVEKTVLCNGSCEKASACVYCLSSSSSSSSSSSSSSSCSSNSGSPPCSSSIDLELWHACAGPLTSLPKKGNVVVYFPQGHLEQLALAFPFSPLDTFDLPPQIFCKVMNVQLLANKENDEVYTQVTLLPQPELGGHNLGSKQLDEVGADEHADGSPSKPTSHMFCKTLTASDTSIHGGFSAPRRAAEDCFPRLDYKQTRPSQELVAKDLHGVEWRFRHIFRGQPRRHLLTTGWSVFVSQKNLIAGDAVLFLRGEDGELRLGIRRAVRPRTGLPDSVIAKHNSYPKVLSAVSNALSTKRVFQVCYCPRASHAEFVIPFQKYIKSITNPMCTGARFRMKFEMDDLPERRCNGVVTGIGDSDPYKWPNSKWRCVMVRWDDDIASDHQERVSPWEIDPPVFPPPLSIPSPFRLKKLRTGLQTAALDTPITGFAETNRFPKVLQGQEICSLRSLTHKADLNLGVWAKTNHGCNSFNMNQAPNTNCYPEGLRNMYFPYNEFYKAGQEPKMFSYASKLPRGNVLFNASSIKPGVSVDDIRKPNPPNDHKPMENIPSPGFGKKLRNQQNECYKGNVAGCKLFGFSLTAESPTLNSQNSGKRSCTKVHKQGSLVGRAIDLSRLYGYKDLMTELEHLFGMEGLLNDPDKGWRVLYTDRDNDVMVVGDDPWHEFCDVVSKIHIYTGEEVEKMTVGMGSDETQSCLEEAAVIMEASKSSSVGQPDSSPTVMRGMKISVCF >KJB55491 pep chromosome:Graimondii2_0_v6:9:5677626:5682039:1 gene:B456_009G078800 transcript:KJB55491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNHAVNEVEKTVLCNGSCEKASACVYCLSSSSSSSSSSSSSSSCSSNSGSPPCSSSIDLELWHACAGPLTSLPKKGNVVVYFPQGHLEQLALAFPFSPLDTFDLPPQIFCKVMNVQLLANKENDEVYTQVTLLPQPELGGHNLGSKQLDEVGADEHADGSPSKPTSHMFCKTLTASDTSIHGGFSAPRRAAEDCFPRLDYKQTRPSQELVAKDLHGVEWRFRHIFRGQPRRHLLTTGWSVFVSQKNLIAGDAVLFLRGEDGELRLGIRRAVRPRTGLPDSVIAKHNSYPKVLSAVSNALSTKRVFQVCYCPRASHAEFVIPFQKYIKSITNPMCTGARFRMKFEMDDLPERRCNGVVTGIGDSDPYKWPNSKWRCVMVRWDDDIASDHQERVSPWEIDPPVFPPPLSIPSPFRLKKLRTGLQTAALDTPITGFAETNRFPKVLQGQEICSLRSLTHKADLNLGVWAKTNHGCNSFNMNQAPNTNCYPEGLRNMYFPYNEFYKAGQEPKMFSYASKLPRGNVLFNASSIKPGVSVDDIRKPNPPNDHKPMENIPSPGFGKKLRNQQNECYKGNVAGCKLFGFSLTAESPTLNSQNSGKRSCTKVSMLRPKLNFNSVSYFCPTDTVT >KJB55489 pep chromosome:Graimondii2_0_v6:9:5677105:5682933:1 gene:B456_009G078800 transcript:KJB55489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNHAVNEVEKTVLCNGSCEKASACVYCLSSSSSSSSSSSSSSSCSSNSGSPPCSSSIDLELWHACAGPLTSLPKKGNVVVYFPQGHLEQLALAFPFSPLDTFDLPPQIFCKVMNVQLLANKENDEVYTQVTLLPQPELGGHNLGSKQLDEVGADEHADGSPSKPTSHMFCKTLTASDTSIHGGFSAPRRAAEDCFPRLDYKQTRPSQELVAKDLHGVEWRFRHIFRGQPRRHLLTTGWSVFVSQKNLIAGDAVLFLRGEDGELRLGIRRAVRPRTGLPDSVIAKHNSYPKVLSAVSNALSTKRVFQVCYCPRASHAEFVIPFQKYIKSITNPMCTGARFRMKFEMDDLPERRCNGVVTGIGDSDPYKWPNSKWRCVMVRWDDDIASDHQERVSPWEIDPPVFPPPLSIPSPFRLKKLRTGLQTAALDTPITGGVGYLDFEESTRSSKVLQGQENVGFISPVYGCGTVNHPLNSEMQSMAHQSLASTGIGKSNISDFMRAHSSSYTGFAETNRFPKVLQGQEICSLRSLTHKADLNLGVWAKTNHGCNSFNMNQAPNTNCYPEGLRNMYFPYNEFYKAGQEPKMFSYASKLPRGNVLFNASSIKPGVSVDDIRKPNPPNDHKPMENIPSPGFGKKLRNQQNECYKGNVAGCKLFGFSLTAESPTLNSQNSGKRSCTKVHKQGSLVGRAIDLSRLYGYKDLMTELEHLFGMEGLLNDPDKGWRVLYTDRDNDVMVVGDDPWHEFCDVVSKIHIYTGEEVEKMTVGMGSDETQSCLEEAAVIMEASKSSSVGQPDSSPTVMRGMKISVCF >KJB55490 pep chromosome:Graimondii2_0_v6:9:5677217:5682852:1 gene:B456_009G078800 transcript:KJB55490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNHAVNEVEKTVLCNGSCEKASACVYCLSSSSSSSSSSSSSSSCSSNSGSPPCSSSIDLELWHACAGPLTSLPKKGNVVVYFPQGHLEQLALAFPFSPLDTFDLPPQIFCKVMNVQLLANKENDEVYTQVTLLPQPELGGHNLGSKQLDEVGADEHADGSPSKPTSHMFCKTLTASDTSIHGGFSAPRRAAEDCFPRLDYKQTRPSQELVAKDLHGVEWRFRHIFRGQPRRHLLTTGWSVFVSQKNLIAGDAVLFLRGEDGELRLGIRRAVRPRTGLPDSVIAKHNSYPKVLSAVSNALSTKRVFQVCYCPRASHAEFVIPFQKYIKSITNPMCTGARFRMKFEMDDLPERRCNGVVTGIGDSDPYKWPNSKWRCVMVRWDDDIASDHQERVSPWEIDPPVFPPPLSIPSPFRLKKLRTGLQTAALDTPITGFAETNRFPKVLQGQEICSLRSLTHKADLNLGVWAKTNHGCNSFNMNQAPNTNCYPEGLRNMYFPYNEFYKAGQEPKMFSYASKLPRGNVLFNASSIKPGVSVDDIRKPNPPNDHKPMENIPSPGFGKKLRNQQNECYKGNVAGCKLFGFSLTAESPTLNSQNSGSQARQLGWKSH >KJB57531 pep chromosome:Graimondii2_0_v6:9:12965870:12968519:1 gene:B456_009G168500 transcript:KJB57531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSYMPFLPTTSTATNTILRQPNMGCSQNSEFSIYEPMNSKIFSSKPITTAPNSQFHHPTQFMAPYQSLQLPYRHPIEQTDHSLAYPFFLGQNGIEFGSIIASNGAQHGSCFMQTDGISMAEERRMLDPYGSKAARIKRKLARQRSLSLQRNASSGATAQVDKRRLNSSGADNDVNINNDTKRDLYMFCTPDNKRLRVLLRKELKNSDVGSLGRIVLPKREAELNLPTLCDKEGIQVVLKDVYSHQSWTLKYKFWSNNKSRMYVLENTVDFVKQNGLGIGDFLILYEDEGKNLYFSVIKVERVAAAEPSNNQPYDSQNIYTGMPFTGPLRDEEETCLELLIEQLKHTEHEESNDLMTLAMDATYSHGPPAADESQHFVSDANVEITATELQTSSTLLRGKARSVDEIQINFDDCYSGLDMLPDVNLYNFLL >KJB61409 pep chromosome:Graimondii2_0_v6:9:46436049:46437427:1 gene:B456_009G3555001 transcript:KJB61409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKSIQYSGAYASMGIDHSSRLDRFSNNFIVEVVSEVPENRRRLSVYKGIVISKQNAGIHTTIRIRRIIAGVGVKIVFPVYSPNIKEIKVVKHRKVRRARLYYLRDKLPRFSNFK >KJB62577 pep chromosome:Graimondii2_0_v6:9:66203390:66206690:1 gene:B456_009G423800 transcript:KJB62577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAISLSLPPQTRRSSASIAAPFRSTSSLHFDSLRSNFSSLKNPNLRVSASSMSIGTPEKISTTSFLDRRESGFLHFVKYHGLGNDFILVDNRDSTEPRVTPEQAVKLCDRNFGIGADGVIFAMPGVNGTDYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLHGKQSFTVHTGAGLIVPEIQEDGKVKVDMGEPILKASDVPTKLPANKDQAAVKADINVDGVTWNVTCVSMGNPHCVTFGNRQSQNLTVDELNLAAIGPKFEHHEMFPARTNTEFVEVFSHEHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAGRLHGGFARRSVRY >KJB62580 pep chromosome:Graimondii2_0_v6:9:66203390:66206690:1 gene:B456_009G423800 transcript:KJB62580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAISLSLPPQTRRSSASIAAPFRSTSSLHFDSLRSNFSSLKNPNLRVSASSMSIGTPEKISTTSFLDRRESGFLHFVKYHGLGNDFILVDNRDSTEPRVTPEQAVKLCDRNFGIGADGVIFAMPGVNGTDYTMRIFNSDGSEPEVKVDMGEPILKASDVPTKLPANKDQAAVKADINVDGVTWNVTCVSMGNPHCVTFGNRQSQNLTVDELNLAAIGPKFEHHEMFPARTNTEFVEVFSHEHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAGRSCTVDLPGGPLDIEWKEEDNHVYMTGPAEVVFYGSVAV >KJB62579 pep chromosome:Graimondii2_0_v6:9:66203390:66206690:1 gene:B456_009G423800 transcript:KJB62579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAISLSLPPQTRRSSASIAAPFRSTSSLHFDSLRSNFSSLKNPNLRVSASSMSIGTPEKISTTSFLDRRESGFLHFVKYHGLGNDFILVDNRDSTEPRVTPEQAVKLCDRNFGIGADGVIFAMPGVNGTDYTMRIFNSDGSEPEFYCAYWCGINCSRNSRRRKVDMGEPILKASDVPTKLPANKDQAAVKADINVDGVTWNVTCVSMGNPHCVTFGNRQSQNLTVDELNLAAIGPKFEHHEMFPARTNTEFVEVFSHEHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAGRSCTVDLPGGPLDIEWKEEDNHVYMTGPAEVVFYGSVAV >KJB62582 pep chromosome:Graimondii2_0_v6:9:66203390:66206730:1 gene:B456_009G423800 transcript:KJB62582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAISLSLPPQTRRSSASIAAPFRSTSSLHFDSLRSNFSSLKNPNLRVSASSMSIGTPEKISTTSFLDRRESGFLHFVKYHGLGNDFILVDNRDSTEPRVTPEQAVKLCDRNFGIGADGVIFAMPGVNGTDYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLHGKQSFTVHTGAGLIVPEIQEDGKVKVDMGEPILKASDVPTKLPANKDQAAVKADINVDGVTWNVTCVSMGNPHCVTFGNRQSQNLTVDELNLAAIGPKFEHHEMFPARTNTEFVEVFSHEHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAGRSCTVDLPGGPLDIEWKEEDNHVYMTGPAEVVFYGSVAV >KJB62578 pep chromosome:Graimondii2_0_v6:9:66203390:66206690:1 gene:B456_009G423800 transcript:KJB62578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAISLSLPPQTRRSSASIAAPFRSTSSLHFDSLRSNFSSLKNPNLRVSASSMSIGTPEKISTTSFLDRRESGFLHFVKYHGLGNDFILVDNRDSTEPRVTPEQAVKLCDRNFGIGADGVIFAMPGVNGTDYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLHGKQSFTVHTGAGLIVPEIQEDGKVKVDMGEPILKASDVPTKLPANKDQAAVKADINVDGVTWNVTCVSMGNPHCVTFGNRQSQNLTVDELNLAAIGPKFEHHEMFPARTNTGATLACGTGACAVVVAAVLEGRAGRSCTVDLPGGPLDIEWKEEDNHVYMTGPAEVVFYGSVAV >KJB62581 pep chromosome:Graimondii2_0_v6:9:66203390:66206690:1 gene:B456_009G423800 transcript:KJB62581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAISLSLPPQTRRSSASIAAPFRSTSSLHFDSLRSNFSSLKNPNLRVSASSMSIGTPEKISTTSFLDRRESGFLHFVKYHGLGNDFILVDNRDSTEPRVTPEQAVKLCDRNFGIGADGVIFAMPGVNGTDYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLHGKQSFTVHTGAGLIVPEIQEDGKVKVDMGEPILKASDVPTKLPANKDQAAVKADINVDGVTWNVTCVSMGNPHCVTFGNRQSQQNLTVDELNLAAIGPKFEHHEMFPARTNTEFVEVFSHEHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAGRSCTVDLPGGPLDIEWKEEDNHVYMTGPAEVVFYGSVAV >KJB62576 pep chromosome:Graimondii2_0_v6:9:66203390:66206690:1 gene:B456_009G423800 transcript:KJB62576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAISLSLPPQTRRSSASIAAPFRSTSSLHFDSLRSNFSSLKNPNLRVSASSMSIGTPEKISTTSFLDRRESGFLHFVKYHGLGNDFILVDNRDSTEPRVTPEQAVKLCDRNFGIGADGVIFAMPGVNGTDYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLHGKQSFTVHTGAGLIVPEIQEDGKVKVDMGEPILKASDVPTKLPANKDQAAVKADINVDGVTWNVTCVSMGNPHCVTFGNRQSQNLTVDELNLAAIGPKFEHHEMFPARTNTEFVEVFSHEHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAGRVSVVLECLNSVNEIRSMGLCQ >KJB56854 pep chromosome:Graimondii2_0_v6:9:10590071:10591353:1 gene:B456_009G140300 transcript:KJB56854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWNSLMDQMMKELHSKGTRIEDIAAVLKRSPIHPRIVEAIKSAHALGCDLKNVSDANTFFIETILEHHGLKECFLEINTNPGFVDQQGRLRIFPHHDFTKSSHGCQHPSCLPNMCKFLTRILSRVIDPSFLEYRIQASLSMEDPKKTKIYLGDGLGDFCPSLKLGDGDYVMPRKDVPVWDLICKNRSLIKAQICEWSNGEEFKTVLLHLISRIISVEGNNTSANIDQLYSVDCKLETMSLPAATGPETFPQSLHVLH >KJB58700 pep chromosome:Graimondii2_0_v6:9:17438402:17442032:-1 gene:B456_009G223900 transcript:KJB58700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGSLRLASVWFGFFDIWALRLAVFSNTTMSEVHGRMFGVWTLLTCTLCFLCAFNLENKPLYLVTFLSFIYAFGHFLTEYLFYQTMALSNLTTVGIFAGTSIIWMLLQWNSHQPTHVKRI >KJB54577 pep chromosome:Graimondii2_0_v6:9:2924429:2927174:1 gene:B456_009G039600 transcript:KJB54577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKANGCISRPSRKRKLPQEGNDVLNFTLDELSDDLLERVLSWLPTSTFFRFNLVCKRWKSVAASESFKLACSRIPSREPWFFMVDPNLNQSVVFDSVERSWKKLSHPPLLSQNCNCNSIPVAAAGGLVCFRNMSGDYFVCNPVTGSCRELPPVNPDSHHRSLHAIAMNAYSNYHGSYKLFLVSGDLPKLSYKVYNSSADCWEEEIMLRRKTDDCTGFDFDSNDDDDAVYFLSKAGNVVATNMQRSPSKQFSSVITHKDGEEIVYFLSSSGTVVACNLTQKHFSEYPRLLPVFLEYSIDVVECKGEMLVVMLSEFFESASIRVWRFDEKTKTWNQIAGMPPSMSHEFYGKKVDINCLGAGNQIFICLSSAELCSYFRCDIVTNEWVEVPKCCLNGEAMEFMSAFSFEPRIEASV >KJB57652 pep chromosome:Graimondii2_0_v6:9:13387316:13389921:1 gene:B456_009G173700 transcript:KJB57652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLKKLVSCVILDLDGTLLNTDGVVSEVLKGFLAKYGKQWDGREAQRIVGKTPLEAAAAVVEEYGLPCGKEEFLAELHPVFYAQLCNIKPLPGASRLLKHLSGHGVPMALASNSPRGSIESKISYHQGWKDYFSAIVGGDEVTAGKPSPEIFLEAAKRLNREPSSCLVIEDSMPGVTAGKAAGMEVVAVPSVPKQAHLYTSADEVINSLLDLQPEKWGLPPFQDWIEGTLPTEPWYISGPVIKGFGRGSKVLGIPTGI >KJB57656 pep chromosome:Graimondii2_0_v6:9:13387206:13390803:1 gene:B456_009G173700 transcript:KJB57656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLKKLVSCVILDLDGTLLNTDGVVSEVLKGFLAKYGKQWDGREAQRIVGKTPLEAAAAVVEEYGLPCGKEEFLAELHPVFYAQLCNIKPLPGASRLLKHLSGHGVPMALASNSPRGSIESKISYHQGWKDYFSAIVGGDEVTAGKPSPEIFLEAAKRLNREPSSCLVIEDSMPGVTAGKAAGMEVVAVPSVPKQAHLYTSADEVINSLLDLQPEKWGLPPFQDWIEGTLPTEPWYISGPVIKGFGRGSKVLGIPTANLSPKGHSSLLSEHPSGVYFGWAGLSTRGVYKMVMSIGWNPYFNNAEKTIEPWLLHEFTEDFYGEELRLVIVGYLRPEVNFPSLESLIAKIHEDKRIAERALDLPLYSKHKDDPYLSTIQYEHKGHQPLFLDWMLKRL >KJB57654 pep chromosome:Graimondii2_0_v6:9:13387316:13390765:1 gene:B456_009G173700 transcript:KJB57654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLKKLVSCVILDLDGTLLNTDGVVSEVLKGFLAKYGKQWDGREAQRIVGKTPLEAAAAVVEEYGLPCGKEEFLAELHPVFYAQLCNIKPLPGASRLLKHLSGHGVPMALASNSPRGSIESKISYHQGWKDYFSAIVGGDEVTAGKPSPEIFLEAAKRLNREPSSCLVIEDSMPGVTAGKAAGMEVVAVPSVPKQAHLYTSADEVINSLLDLQPEKWGLPPFQDWIEGTLPTEPWYISGPVIKGFGRGSKVLGIPTEHPSGVYFGWAGLSTRGVYKMVMSIGWNPYFNNAEKTIEPWLLHEFTEDFYGEELRLVIVGYLRPEVNFPSLESLIAKIHEDKRIAERALDLPLYSKHKDDPYLSSSLHSESNHS >KJB57651 pep chromosome:Graimondii2_0_v6:9:13387316:13390765:1 gene:B456_009G173700 transcript:KJB57651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLKKLVSCVILDLDGTLLNTDGVVSEVLKGFLAKYGKQWDGREAQRIVGKTPLEAAAAVVEEYGLPCGKEEFLAELHPVFYAQLCNIKPLPGASRLLKHLSGHGVPMALASNSPRGSIESKISYHQGWKDYFSAIVGGDEVTAGKPSPEIFLEAAKRLNREPSSCLVIEDSMPGVTAGKAAGMEVVAVPSVPKQAHLYTSADEVINSLLDLQPEKWGLPPFQDWIEGTLPTEPWYISGPVIKGFGRGSKVLGIPTANLSPKGHSSLLSEHPSGVYFGWAGLSTRGVYKMVMSIGWNPYFNNAEKTIEPWLLHEFTEDFYGEELRLVIVGYLRPEVNFPSLESLIAKIHEDKRIAERALDLPLYSKHKDDPYLSSSLHSESNHS >KJB57655 pep chromosome:Graimondii2_0_v6:9:13387316:13390765:1 gene:B456_009G173700 transcript:KJB57655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLKKLVSCVILDLDDGVVSEVLKGFLAKYGKQWDGREAQRIVGKTPLEAAAAVVEEYGLPCGKEEFLAELHPVFYAQLCNIKPLPGASRLLKHLSGHGVPMALASNSPRGSIESKISYHQGWKDYFSAIVGGDEVTAGKPSPEIFLEAAKRLNREPSSCLVIEDSMPGVTAGKAAGMEVVAVPSVPKQAHLYTSADEVINSLLDLQPEKWGLPPFQDWIEGTLPTEPWYISGPVIKGFGRGSKVLGIPTANLSPKGHSSLLSEHPSGVYFGWAGLSTRGVYKMVMSIGWNPYFNNAEKTIEPWLLHEFTEDFYGEELRLVIVGYLRPEVNFPSLESLIAKIHEDKRIAERALDLPLYSKHKDDPYLSSSLHSESNHS >KJB57653 pep chromosome:Graimondii2_0_v6:9:13387720:13390261:1 gene:B456_009G173700 transcript:KJB57653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLKKLVSCVILDLDGTLLNTDGVVSEVLKGFLAKYGKQWDGREAQRIVGKTPLEAAAAVVEEYGLPCGKEEFLAELHPVFYAQLCNIKPLPGASRLLKHLSGHGVPMALASNSPRGSIESKISYHQGWKDYFSAIVGGDEVTAGKPSPEIFLEAAKRLNREPSSCLVIEDSMPGVTAGKAAGMEVVAVPSVPKQAHLYTSADEVINSLLDLQPEKWGLPPFQDWIEGTLPTEPWYISGPVIKGFGRGSKVLGIPTANLSPKGHSSLLSEHPSGVYFGWAGLSTRGVYKMVMSIGWNPYFNNAEKTIVSAIITWMLTTVSLINDCNSLFSKHDSCCRNHGCFMNLQRTSMVRNCVLS >KJB57284 pep chromosome:Graimondii2_0_v6:9:12010316:12011078:-1 gene:B456_009G156600 transcript:KJB57284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWSSFTAVVRGLCLLYVTNKYLGTVTITYGPSMLPTLNVTGSMLLVERISTRTGKLRPGDVVIFRSPEAPRKIVCKRLIGLEGDQITYVVDPQSGDRCETIVVPKGHVWVEGDYIYNTKDSRNFGALPYGLLEGRAFWTLLPRKDFGPLTPKPE >KJB57281 pep chromosome:Graimondii2_0_v6:9:12009584:12011607:-1 gene:B456_009G156600 transcript:KJB57281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWSSFTAVVRGLCLLYVTNKYLGTVTITYGPSMLPTLNVTGSMLLVERISTRTGKLRPGDVVIFRSPEAPRKIVCKRLIGLEGDQITYVVDPQSGDRCETIVVPKGHVWVEGDYIYNTKDSRNFGALPYGLLEGRAFWTLLPRKDFGPLTPKPE >KJB57283 pep chromosome:Graimondii2_0_v6:9:12010316:12010863:-1 gene:B456_009G156600 transcript:KJB57283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTLNVTGSMLLVERISTRTGKLRPGDVVIFRSPEAPRKIVCKRLIGLEGDQITYVVDPQSGDRCETIVVPKGHVWVEGDYIYNTKDSRNFGALPYGLLEGRAFWTLLPRKDFGPLTPKPE >KJB57285 pep chromosome:Graimondii2_0_v6:9:12009584:12011307:-1 gene:B456_009G156600 transcript:KJB57285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWSSFTAVVRGLCLLYVTNKYLGTVTITYGPSMLPTLNVTGSMLLVERISTRTGKLRPGDVVIFRSPEAPRKIVCKRLIGLEGDQITYVVDPQSGDRCETIVVPKGHVWVEGDYIYNTKDSRNFGALPYGLLEGRAFWTLLPRKDFGPLTPKPE >KJB57282 pep chromosome:Graimondii2_0_v6:9:12010316:12011078:-1 gene:B456_009G156600 transcript:KJB57282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWSSFTAVVRGLCLLYVTNKYLGTVTITYGPSMLPTLNVTGSMLLVERISTRTGKLRPGDVVIFRSPEAPRKIVCKRLIGLEGDQITYVVDPQSGDRCETIVVPKGHVWVEGDYIYNTKDSRNFGALPYGLLEGRAFWTLLPRKDFGPLTPKPE >KJB54495 pep chromosome:Graimondii2_0_v6:9:2680077:2681436:1 gene:B456_009G036200 transcript:KJB54495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQTSGSTAPSSSTEEPKHFDMKKWSSVAFWSWDIAVDNCAVCRNHIMDLCIECQANQIHGADNECTVAWGACNHAFHFHCISRWLQHRSVCPLDNAEWEFRKYGR >KJB56571 pep chromosome:Graimondii2_0_v6:9:9461787:9462611:-1 gene:B456_009G125700 transcript:KJB56571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFRSLQAVPFFDTPQTSEASWPCDRPAGSSQFPSCSSMIAPTYFYKRNYPPVCTEFAGVLSLDGFDKNRENAVETTIESGPEMPPVLDGIAVVVGQHVLFGNKANPTTSNRSSDGDRPGFISVSQRFGANKRDLGSSVSVQKSYRGVRKRPWGRWSAEIRDRVGRCRHWLGTFDTAEEAARAYDAAARRLRGSKAKTNFEIPSVLPALASPSTSSSSSEAKKVKGKAKTVRKCAVVTSVDQLFSSDSSFGGNEGKGKSGLVLTTSKATTSNK >KJB56901 pep chromosome:Graimondii2_0_v6:9:10685170:10685484:1 gene:B456_009G141100 transcript:KJB56901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLDKKAVENGHPISVFLNSITEIENGLWRSPTPRIRICHFQSFERRIFHPKQISFSSHTLNSHYMHCSIPFSRNELESTTLELPCQVLRVPSKWSRLRVILI >KJB56902 pep chromosome:Graimondii2_0_v6:9:10685170:10686499:1 gene:B456_009G141100 transcript:KJB56902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLDKKAVENGHPISVFLNSITEIENGLWRSPTPRIRICHFQSFERRIFHPKQISFSSHTLNSHYMHCSIPFSRNELESTTLELPCQVLRVPRQIRAHEGQQALQQSPPNEPNYVLEISTPL >KJB53559 pep chromosome:Graimondii2_0_v6:9:8806579:8806951:-1 gene:B456_009G119000 transcript:KJB53559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVMMNGYASPPSPPLPISVGPGNSNYLFSPSPTPSPPTPGHASTESLPLLRHNPTSTPGQVTSAFSLDRKLPDELEDQSSCLKDLH >KJB53557 pep chromosome:Graimondii2_0_v6:9:8805904:8807271:-1 gene:B456_009G119000 transcript:KJB53557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVMMNGYASPPSPPLPISVGPGNSNYLFSPSPTPSPPTPGHASTESLPLLRHNPTSTPGQVTSAFSLDRKLPDELEDQSSCLKDFR >KJB53556 pep chromosome:Graimondii2_0_v6:9:8805757:8807299:-1 gene:B456_009G119000 transcript:KJB53556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVMMNGYASPPSPPLPISVGPGNSNYLFSPSPTPSPPTPGHASTESLPLLRHNPTSTPGQVTSAFSLDRKLPDELEDQSSCLKDLLEWLVRKCCNCCCT >KJB53558 pep chromosome:Graimondii2_0_v6:9:8805904:8807271:-1 gene:B456_009G119000 transcript:KJB53558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVMMNGYASPPSPPLPISVGPGNSNYLFSPSPTPSPPTPGHASTESLPLLRHNPTSTPGQVTSAFSLDRKLPDELEDQSSCLKDLH >KJB62210 pep chromosome:Graimondii2_0_v6:9:59754752:59774698:1 gene:B456_009G406400 transcript:KJB62210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCVSGLRFPRVSPVYRFGASSFNGASRSCSLSLLLKKHHFSPFSSLTVAASKKVLDPGGQGDASSPMTDQLESPSTISDDPQVIHNVDIEGMEDDKMIAVEEQESFPSVFANSDEEAHAEEPSVPLHRNASTEKSEAKPRSIPPPGEGQKIYEIDSLLLGFRNHIDYRYAQYKKIRKEIDKYEGGLEVFSRGYEKLGFTRSETGITYREWAPGAKSAALIGDFNNWNPSADTMSQNEFGVWEIFLPNTADGSPAIPHGSRVKIRMETRSGVKDSIPAWIKFSVQAPGEIPYSGIYYDPPEEEKYVFKHPHPKRPKSLRIYESHVGMSSMEPLINTYANFRDNVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDRAHELGLLVLMDIVHSHASNNVLDGLNMFDGTDAHYFHSGSKGHHWMWDSRLFNYGSWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGYATDVEAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCLPVQDGGVGFDYRLHMAVADKWIELLKKRDEDWKMGDIVYTLVNRRWLEKCVVYAESHDQALVGDKTIAFWLMDKDMYDFMSLDRPSSPIIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQHLPSGKVIPGNNFSYDKCRRRFDLGDADYLRYKGMQQFDQAMQHVEAKYGFMTSEHQYISRKDEGERVIVFERGNLVFVFNFHWHESYGGYRVGCSKPGKYKIVLDSDDLLFGGFNRLNHDVEFFSTEGWYDNRPRSLLVYAPNRTAVVYALVEDEPKATGNLQLTENVKNC >KJB62209 pep chromosome:Graimondii2_0_v6:9:59754748:59774698:1 gene:B456_009G406400 transcript:KJB62209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQLESPSTISDDPQVIHNVDIEGMEDDKMIAVEEQESFPSVFANSDEEAHAEEPSVPLHRNASTEKSEAKPRSIPPPGEGQKIYEIDSLLLGFRNHIDYRYAQYKKIRKEIDKYEGGLEVFSRGYEKLGFTRSETGITYREWAPGAKSAALIGDFNNWNPSADTMSQNEFGVWEIFLPNTADGSPAIPHGSRVKIRMETRSGVKDSIPAWIKFSVQAPGEIPYSGIYYDPPEEEKYVFKHPHPKRPKSLRIYESHVGMSSMEPLINTYANFRDNVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDRAHELGLLVLMDIVHSHASNNVLDGLNMFDGTDAHYFHSGSKGHHWMWDSRLFNYGSWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGYATDVEAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCLPVQDGGVGFDYRLHMAVADKWIELLKKRDEDWKMGDIVYTLVNRRWLEKCVVYAESHDQALVGDKTIAFWLMDKDMYDFMSLDRPSSPIIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQHLPSGKVIPGNNFSYDKCRRRFDLGDADYLRYKGMQQFDQAMQHVEAKYGFMTSEHQYISRKDEGERVIVFERGNLVFVFNFHWHESYGGYRVGCSKPGKYKIVLDSDDLLFGGFNRLNHDVEFFSTEGWYDNRPRSLLVYAPNRTAVVYALVEDEPKATGNLQLTENVKNC >KJB62207 pep chromosome:Graimondii2_0_v6:9:59754734:59775348:1 gene:B456_009G406400 transcript:KJB62207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCVSGLRFPRVSPVYRFGASSFNGASRSCSLSLLLKKHHFSRRIFIEKSSSYSAFSSLTVAASKKVLDPGGQGDASSPMTDQLESPSTISDDPQVIHNVDIEGMEDDKMIAVEEQESFPSVFANSDEEAHAEEPSVPLHRNASTEKSEAKPRSIPPPGEGQKIYEIDSLLLGFRNHIDYRYAQYKKIRKEIDKYEGGLEVFSRGYEKLGFTRSETGITYREWAPGAKSAALIGDFNNWNPSADTMSQNEFGVWEIFLPNTADGSPAIPHGSRVKIRMETRSGVKDSIPAWIKFSVQAPGEIPYSGIYYDPPEEEKYVFKHPHPKRPKSLRIYESHVGMSSMEPLINTYANFRDNVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDRAHELGLLVLMDIVHSHASNNVLDGLNMFDGTDAHYFHSGSKGHHWMWDSRLFNYGSWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGYATDVEAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCLPVQDGGVGFDYRLHMAVADKWIELLKKRDEDWKMGDIVYTLVNRRWLEKCVVYAESHDQALVGDKTIAFWLMDKDMYDFMSLDRPSSPIIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQHLPSGKVIPGNNFSYDKCRRRFDLGDADYLRYKGMQQFDQAMQHVEAKYGFMTSEHQYISRKDEGERVIVFERGNLVFVFNFHWHESYGGYRVGCSKPGKYKIVLDSDDLLFGGFNRLNHDVEFFSTEGWYDNRPRSLLVYAPNRTAVVYALVEDEPKATGNLQLTENVKNC >KJB62208 pep chromosome:Graimondii2_0_v6:9:59754740:59770809:1 gene:B456_009G406400 transcript:KJB62208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCVSGLRFPRVSPVYRFGASSFNGASRSCSLSLLLKKHHFSRRIFIEKSSSYSAFSSLTVAASKKVLDPGGQGDASSPMTDQLESPSTISDDPQVIHNVDIEGMEDDKMIAVEEQESFPSVFANSDEEAHAEEPSVPLHRNASTEKSEAKPRSIPPPGEGQKIYEIDSLLLGFRNHIDYRYAQYKKIRKEIDKYEGGLEVFSRGYEKLGFTRSETGITYREWAPGAKSAALIGDFNNWNPSADTMSQNEFGVWEIFLPNTADGSPAIPHGSRVKIRMETRSGVKDSIPAWIKFSVQAPGEIPYSGIYYDPPEEEKYVFKHPHPKRPKSLRIYESHVGMSSMEPLINTYANFRDNVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDRAHELGLLVLMDIVHSHASNNVLDGLNMFDGTDAHYFHSGSKGHHWMWDSRLFNYGSWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGYATDVEAVVYLMLVNDMIHGLYPEAVTIGEDVCSITPFESC >KJB62212 pep chromosome:Graimondii2_0_v6:9:59764025:59775348:1 gene:B456_009G406400 transcript:KJB62212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQNAVVVEPLINTYANFRDNVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDRAHELGLLVLMDIVHSHASNNVLDGLNMFDGTDAHYFHSGSKGHHWMWDSRLFNYGSWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGYATDVEAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCLPVQDGGVGFDYRLHMAVADKWIELLKKRDEDWKMGDIVYTLVNRRWLEKCVVYAESHDQALVGDKTIAFWLMDKDMYDFMSLDRPSSPIIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQHLPSGKVIPGNNFSYDKCRRRFDLGDADYLRYKGMQQFDQAMQHVEAKYGFMTSEHQYISRKDEGERVIVFERGNLVFVFNFHWHESYGGYRVGCSKPGKYKIVLDSDDLLFGGFNRLNHDVEFFSTEGWYDNRPRSLLVYAPNRTAVVYALVEDEPKATGNLQLTENVKNC >KJB62211 pep chromosome:Graimondii2_0_v6:9:59759588:59775348:1 gene:B456_009G406400 transcript:KJB62211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSGVKDSIPAWIKFSVQAPGEIPYSGIYYDPPEEEKYVFKHPHPKRPKSLRIYESHVGMSSMEPLINTYANFRDNVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDRAHELGLLVLMDIVHSHASNNVLDGLNMFDGTDAHYFHSGSKGHHWMWDSRLFNYGSWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGYATDVEAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCLPVQDGGVGFDYRLHMAVADKWIELLKKRDEDWKMGDIVYTLVNRRWLEKCVVYAESHDQALVGDKTIAFWLMDKDMYDFMSLDRPSSPIIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQHLPSGKVIPGNNFSYDKCRRRFDLGDADYLRYKGMQQFDQAMQHVEAKYGFMTSEHQYISRKDEGERVIVFERGNLVFVFNFHWHESYGGYRVGCSKPGKYKIVLDSDDLLFGGFNRLNHDVEFFSTEGWYDNRPRSLLVYAPNRTAVVYALVEDEPKATGNLQLTENVKNC >KJB62214 pep chromosome:Graimondii2_0_v6:9:59766322:59775348:1 gene:B456_009G406400 transcript:KJB62214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGTDAHYFHSGSKGHHWMWDSRLFNYGSWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGYATDVEAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCLPVQDGGVGFDYRLHMAVADKWIELLKKRDEDWKMGDIVYTLVNRRWLEKCVVYAESHDQALVGDKTIAFWLMDKDMYDFMSLDRPSSPIIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQHLPSGKVIPGNNFSYDKCRRRFDLGDADYLRYKGMQQFDQAMQHVEAKYGFMTSEHQYISRKDEGERVIVFERGNLVFVFNFHWHESYGGYRVGCSKPGKYKIVLDSDDLLFGGFNRLNHDVEFFSTEGWYDNRPRSLLVYAPNRTAVVYALVEDEPKATGNLQLTENVKNC >KJB62213 pep chromosome:Graimondii2_0_v6:9:59764962:59775348:1 gene:B456_009G406400 transcript:KJB62213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKGHASNNVLDGLNMFDGTDAHYFHSGSKGHHWMWDSRLFNYGSWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGYATDVEAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCLPVQDGGVGFDYRLHMAVADKWIELLKKRDEDWKMGDIVYTLVNRRWLEKCVVYAESHDQALVGDKTIAFWLMDKDMYDFMSLDRPSSPIIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQHLPSGKVIPGNNFSYDKCRRRFDLGDADYLRYKGMQQFDQAMQHVEAKYGFMTSEHQYISRKDEGERVIVFERGNLVFVFNFHWHESYGGYRVGCSKPGKYKIVLDSDDLLFGGFNRLNHDVEFFSTEGWYDNRPRSLLVYAPNRTAVVYALVEDEPKATGNLQLTENVKNC >KJB57608 pep chromosome:Graimondii2_0_v6:9:13287049:13289022:1 gene:B456_009G172000 transcript:KJB57608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g66500, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66500) UniProtKB/Swiss-Prot;Acc:Q9FJY9] MSVSRRCIDYLSYQARNLRAIASIKVPVKKLHKRYAHIRHSLEELPLRDSSSFNVQLASYVRSGNVQATWALFCHMHFSFCDLNAYTFTPVLSACSALPGTKYGKQVHGLMIKTGVDAGTVAKTALMNLYSKYRCLGDSVRAFEEIELKDVVTWNAMISSFLRHGLAKEALHVFATMRRERVRLSEFTLCSVLKACSSLKAFAQGKQIHGLVVVFGCDLVILSTALVDFYSDMERINDALKVFSSLNDRKDNVMCNSLISGCIKNRRYKEAFSIMSKMRPNVVALTSALGACSENSDLWIGKQIHSVALHFGFTDDTQLCNGILDMYAKCGKILYARSLFDGISNKCVVSWTSMIDAYGSHGYGIEALELFKLMEVNRNGVMPNSVTFLAVLSACGHSGLVEEGRKCFHLMREKYGLDPDQEHYACFIDVLGRAGRIDEAWSLFDGMVKNGTKPTAAVWIALLNACSLNQDIARGEIAAKRLLELEPDKPSNYVLLSNFYAAVGRWDSVDKLRDVMKQKGLNKEAGSSRVTVKPHDKTVIIGESRIAGVFL >KJB59434 pep chromosome:Graimondii2_0_v6:9:20942976:20950985:1 gene:B456_009G254800 transcript:KJB59434 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT80B1 [Source:Projected from Arabidopsis thaliana (AT1G43620) UniProtKB/TrEMBL;Acc:A0A384L9N2] MGSNGIECSRKDLQEESVSNNRSKGVLKDSEQTDEVDESAMEYRSSDDQSFSSCYEKEENQKSSSEQKSSVIEVSETKQSSVSSTPKRGLDHCTSAPLAAQGNQLIDDNEIAFSRSMTEKKNSRHDLKIDRLSEREKVIERLTRWKNLIVNLIKIQNDGTVEVDLPKNSPVASELLELSSIEGPSFDLDDTLFYETTKSIPRLNIAILVVGTRGDVQPFLAMAKRLQEFGHRVRLATHANFRNFVKLADIDFYPLGGDPRVLAGYMARNKGLIPSGPGEISIQRKQLKSIIESLLPACTEPDIETGMPFRAQAIIANPPAYGHSHVAEALGVPLHIFFTMPWTPTSEFPHPLARVPQSAGYWLSYIVVDLLIWWGIRGYINDFRKKKLKLAPIAYFSTYNGSISHLPTGYMWSSHLVPKPKDWGPLVDVVGYCFLNLGSKYRPREEFVQWIQKGPQPIYIGFGSMPLDDTKKTTDVILEALKDTGQRGIIDRGWGDLGQFTEATENVFLIEDCPHDWLFPQCSAVVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGDRVHQRGLGPAPIPISELSIEKLSNAITFMLQPEVKSLAMELAKLIENEDGVAAAVNAFHRHLPPELPLPTAALEENDRPNPLQWFFLQIGKLCCLPCGLWNS >KJB59436 pep chromosome:Graimondii2_0_v6:9:20942732:20950962:1 gene:B456_009G254800 transcript:KJB59436 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT80B1 [Source:Projected from Arabidopsis thaliana (AT1G43620) UniProtKB/TrEMBL;Acc:A0A384L9N2] MGSNGIECSRKDLQEESVSNNRSKGVLKDSEQTDEVDESAMEYRSSDDQSFSSCYEKEENQKSSSEQKSSVIEVSETKQSSVSSTPKRGLDHCTSAPLAAQGNQLIDDNEIAFSRSMTEKKNSRHDLKIDRLSEREKVIERLTRWKNLIVNLIKIQNDGTVEVDLPKNSPVASELLELSSIEGPSFDLDDTLFYETTKSIPRLNIAILVVGTRGDVQPFLAMAKRLQEFGHRVRLATHANFRNFVKLADIDFYPLGGDPRVLAGYMARNKGLIPSGPGEISIQRKQLKSIIESLLPACTEPDIETGMPFRAQAIIANPPAYGHSHVAEALGVPLHIFFTMPWTPTSEFPHPLARVPQSAGYWLSYIVVDLLIWWGIRGYINDFRKKKLKLAPIAYFSTYNGSISHLPTGYMWSSHLVPKPKDWGPLVDVVGYCFLNLGSKYRPREEFVQWIQKGPQPIYIGFGSMPLDDTKKTTDVILEALKDTGQRGIIDRGWGDLGQFTEATENVFLIEDCPHDWLFPQCSAVVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGDRVHQRGLGPAPIPISELSIEKLSNAITFMLQPEVKSLAMELAKLIENEDGVAAAVNAFHRHLPPELPLPTAALEENDRPNPLQWFFLQIGKLCCLPCGLWNS >KJB59435 pep chromosome:Graimondii2_0_v6:9:20942637:20950985:1 gene:B456_009G254800 transcript:KJB59435 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT80B1 [Source:Projected from Arabidopsis thaliana (AT1G43620) UniProtKB/TrEMBL;Acc:A0A384L9N2] MGSNGIECSRKDLQEESVSNNRSKGVLKDSEQTDEVDESAMEYRSSDDQSFSSCYEKEENQKSSSEQKSSVIEVSETKQSSVSSTPKRGLDHCTSAPLAAQGNQLIDDNEIAFSRSMTEKKNSRHDLKIDRLSEREKVIERLTRWKNLIVNLIKIQNDGTVEVDLPKNSPVASELLELSSIEGPSFDLDDTLFYETTKSIPRLNIAILVVGTRGDVQPFLAMAKRLQEFGHRVRLATHANFRNFVKLADIDFYPLGGDPRVLAGYMARNKGLIPSGPGEISIQRKQLKSIIESLLPACTEPDIETGMPFRAQAIIANPPAYGHSHVAEALGVPLHIFFTMPWTPTSEFPHPLARVPQSAGYWLSYIVVDLLIWWGIRGYINDFRKKKLKLAPIAYFSTYNGSISHLPTGYMWSSHLVPKPKDWGPLVDVVGYCFLNLGSKYRPREEFVQWIQKGPQPIYIGFGSMPLDDTKKTTDVILEALKDTGQRGIIDRGWGDLGQFTEATENVFLIEDCPHDWLFPQCSAVVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGDRVHQRGLGPAPIPISELSIEKLSNAITFMLQPEVKSLAMELAKLIENEDGVAAAVNAFHRHLPPELPLPTAALEENDRPNPLQWFFLQIGKLCCLPCGLWNS >KJB59433 pep chromosome:Graimondii2_0_v6:9:20942637:20950985:1 gene:B456_009G254800 transcript:KJB59433 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT80B1 [Source:Projected from Arabidopsis thaliana (AT1G43620) UniProtKB/TrEMBL;Acc:A0A384L9N2] MGSNGIECSRKDLQEESVSNNRSKGVLKDSEQTDEVDESAMEYRSSDDQSFSSCYEKEENQKSSSEQKSSVIEVSETKQSSVSSTPKRGLDHCTSAPLAAQGNQLIDDNEIAFSRSMTEKKNSRHDLKIDRLSEREKKNLIVNLIKIQNDGTVEVDLPKNSPVASELLELSSIEGPSFDLDDTLFYETTKSIPRLNIAILVVGTRGDVQPFLAMAKRLQEFGHRVRLATHANFRNFVKLADIDFYPLGGDPRVLAGYMARNKGLIPSGPGEISIQRKQLKSIIESLLPACTEPDIETGMPFRAQAIIANPPAYGHSHVAEALGVPLHIFFTMPWTPTSEFPHPLARVPQSAGYWLSYIVVDLLIWWGIRGYINDFRKKKLKLAPIAYFSTYNGSISHLPTGYMWSSHLVPKPKDWGPLVDVVGYCFLNLGSKYRPREEFVQWIQKGPQPIYIGFGSMPLDDTKKTTDVILEALKDTGQRGIIDRGWGDLGQFTEATENVFLIEDCPHDWLFPQCSAVVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGDRVHQRGLGPAPIPISELSIEKLSNAITFMLQPEVKSLAMELAKLIENEDGVAAAVNAFHRHLPPELPLPTAALEENDRPNPLQWFFLQIGKLCCLPCGLWNS >KJB59437 pep chromosome:Graimondii2_0_v6:9:20943360:20950033:1 gene:B456_009G254800 transcript:KJB59437 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT80B1 [Source:Projected from Arabidopsis thaliana (AT1G43620) UniProtKB/TrEMBL;Acc:A0A384L9N2] MGSNGIECSRKDLQEESVSNNRSKGVLKDSEQTDEVDESAMEYRSSDDQSFSSCYEKEENQKSSSEQKSSVIEVSETKQSSVSSTPKRGLDHCTSAPLAAQGNQLIDDNEIAFSRSMTEKKNSRHDLKIDRLSEREKVIERLTRWKNLIVNLIKIQNDGTVEVDLPKNSPVASELLELSSIEGPSFDLDDTLFYETTKSIPRLNIAILVVGTRGDVQPFLAMAKRLQEFGHRVRLATHANFRNFVKLADIDFYPLGGDPRVLAGYMARNKGLIPSGPGEISIQRKQLKSIIESLLPACTEPDIETGMPFRAQAIIANPPAYGHSHVAEALGVPLHIFFTMPWTPTSEFPHPLARVPQSAGYWLSYIVVDLLIWWGIRGYINDFRKKKLKLAPIAYFSTYNGSISHLPTGYMWSSHLVPKPKDWGPLVDVVGYCFLNLGSKYRPREEFVQWIQKGPQPIYIGFGSMPLDDTKKTTDVILEALKDTGQRGIIDRGWGDLGQFTEATENVFLIEDCPHDWLFPQCSAVVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGDRVHQRGLGPAPIPISELSIEKLSNAITFMLQPEVTQVAFVCLSYSHSLCY >KJB60919 pep chromosome:Graimondii2_0_v6:9:34183476:34184110:1 gene:B456_009G330800 transcript:KJB60919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCVDQWRNFSQHPQSPSLPPPPPSSTPTRQSLEIPVDVLFNILSILSAVDAARFGKTCSSWHPISHDCHCQPSSKRALSLTRRHDSHHTNAGTVIKTCTINKGR >KJB55725 pep chromosome:Graimondii2_0_v6:9:6691637:6694500:-1 gene:B456_009G091600 transcript:KJB55725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQNFKVCLCWRRIFKTRVVEPPPDVKNAFNHFSQSGTMTVDDLLTFLIEHQGENNATKEDAQAIFDSLKHLNLFHRRGLHLEAFFRYLLGDHNLAHPPSSKVHHDMTAPLAHYFLFTGHNSYLTGNQVISASSVEPIKDALLRGVRVIELDLWPNSKGDDVEIRHGGTLTSPVDLQKCLQAIKENAFQASEYPVVITFEDHLNPNLQKKVAKMVTETFGDMLYWSETENMQQFPSPESLKKRILISTKPPKEYLGENRGDVSETESGRRNPSDVGEHFPDEDEEYTVVQYRQLIAIHAGKLKGGLENWLSDDPVKVRRLSLSEQELENAIRTYATKIVRFTQRNLLRVYPKGTRLDSSNYNPFVGWMHGAQMVAFNMQGYGKYLWIMQGMFKANGGCGYVKKPDFLLRRGENDEVFNPSAPLEVKTVMRVKVILGEGWHQDFHHTAFDRYSPPDFYTKIGIAGVPEDKDVKQTTIIEDEWLPVWDQDFEFLIRVPELAVLRIQVLEYDTTGRPDFGGQTSLPVSELRTGIRTVPLCDKKGNKYKHVRLLLSINFGRPYDL >KJB54226 pep chromosome:Graimondii2_0_v6:9:1990909:1996679:1 gene:B456_009G026000 transcript:KJB54226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGRHREAFSMYKRMPSRDHSTLVDDVEENSALLQNSMDVETTNPSWLLSFPHVVVATISSLLFGYHLGVVNEPLESISIDLGFRGNTLAEGLVVSTCLGGAFIGSLFSGWIADSAGRRRAFQLCALPMIIGAATSATTRTLAGMLIGRFLVGTGMGIGPPVASLYLAEVSPASLRGTYGSFIQIATCLGLMGALFIGIPVREIAGWWRICFWVSTVPAGILAFAMIFCAESPHWLYKKGRSAEAEAEFERLLGGSHVKYAMLELSKLDRGDEADTVKLSELFRGRHFRVVYIGSTLFALQQLSGINAVFYFSSSVFKSAGVPSDVANALIGVANLTGSIVAMLLMDKLGRKLLLLWSFFGMTMSMVLQVAAANSYVSGSGSLYLSVGGMLMFVLTFALGAGPVPGLLLPEIFPNRIRAKAMAFCMSVHWVINFFVGLLFLRLLEQLGPQLLYSIFASVCMMAVIFVKKNVMETKGKSLQEIEIALLPPE >KJB54223 pep chromosome:Graimondii2_0_v6:9:1990828:1996729:1 gene:B456_009G026000 transcript:KJB54223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGRHREAFSMYKRMPSRDHSTLVDDVEENSALLQNSMDVETTNPSWLLSFPHVVVATISSLLFGYHLGVVNEPLESISIDLGFRGNTLAEGLVVSTCLGGAFIGSLFSGWIADSAGRRRAFQLCALPMIIGAATSATTRTLAGMLIGRFLVGTGMGIGPPVASLYLAEVSPASLRGTYGSFIQIATCLGLMGALFIGIPVREIAGWWRICFWVSTVPAGILAFAMIFCAESPHWLYKKGRSAEAEAEFERLLGGSHVKYAMLELSKLDRGDEADTVKLSELFRGRHFRVVYIGSTLFALQQLSGINAVFYFSSSVFKSAGVPSDVANALIGVANLTGSIVAMLLMDKLGRKLLLLWSFFGMTMSMVLQVAAANSYVSGSGSLYLSVGGMLMFVLTFALGAGPVPGLLLPEIFPNRIRAKAMAFCMSVHWVINFFVGLLFLRLLEQLGPQLLYSIFASVCMMAVIFVKKNVMETKGKSLQEIEIALLPPE >KJB54225 pep chromosome:Graimondii2_0_v6:9:1990828:1996729:1 gene:B456_009G026000 transcript:KJB54225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGRHREAFSMYKRMPSRDHSTLVDDVEENSALLQNSMDVETTNPSWLLSFPHVVVATISSLLFGYHLGVVNEPLESISIDLGFRGNTLAEGLVVSTCLGGAFIGSLFSGWIADSAGRRRAFQLCALPMIIGAATSATTRTLAGMLIGRFLVGTGMGIGPPVASLYLAEVSPASLRGTYGSFIQIATCLGLMGALFIGIPVREIAGWWRICFWVSTVPAGILAFAMIFCAESPHWLYKKGRSAEAEAEFERLLGGSHVKYAMLELSKLDRGDEADTVKLSELFRGRHFRVVYIGSTLFALQQLSGINAVFYFSSSVFKSAGVPSDVANALIGVANLTGSIVAMLLMDKLGRKLLLLWSFFGMTMSMVLQVAAANSYVSGSGSLYLSVGGMLMFVLTFALGAGPVPGLLLPEIFPNRIRAKAMAFCMSVHWVINFFVGLLFLRLLEQLGPQLLYSIFASVCMMAVIFVKKNVMETKGKSLQEIEIALLPPE >KJB54224 pep chromosome:Graimondii2_0_v6:9:1991976:1996729:1 gene:B456_009G026000 transcript:KJB54224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVETTNPSWLLSFPHVVVATISSLLFGYHLGVVNEPLESISIDLGFRGNTLAEGLVVSTCLGGAFIGSLFSGWIADSAGRRRAFQLCALPMIIGAATSATTRTLAGMLIGRFLVGTGMGIGPPVASLYLAEVSPASLRGTYGSFIQIATCLGLMGALFIGIPVREIAGWWRICFWVSTVPAGILAFAMIFCAESPHWLYKKGRSAEAEAEFERLLGGSHVKYAMLELSKLDRGDEADTVKLSELFRGRHFRVVYIGSTLFALQQLSGINAVFYFSSSVFKSAGVPSDVANALIGVANLTGSIVAMLLMDKLGRKLLLLWSFFGMTMSMVLQVAAANSYVSGSGSLYLSVGGMLMFVLTFALGAGPVPGLLLPEIFPNRIRAKAMAFCMSVHWVINFFVGLLFLRLLEQLGPQLLYSIFASVCMMAVIFVKKNVMETKGKSLQEIEIALLPPE >KJB54227 pep chromosome:Graimondii2_0_v6:9:1990828:1996729:1 gene:B456_009G026000 transcript:KJB54227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVETTNPSWLLSFPHVVVATISSLLFGYHLGVVNEPLESISIDLGFRGNTLAEGLVVSTCLGGAFIGSLFSGWIADSAGRRRAFQLCALPMIIGAATSATTRTLAGMLIGRFLVGTGMGIGPPVASLYLAEVSPASLRGTYGSFIQIATCLGLMGALFIGIPVREIAGWWRICFWVSTVPAGILAFAMIFCAESPHWLYKKGRSAEAEAEFERLLGGSHVKYAMLELSKLDRGDEADTVKLSELFRGRHFRVVYIGSTLFALQQLSGINAVFYFSSSVFKSAGVPSDVANALIGVANLTGSIVAMLLMDKLGRKLLLLWSFFGMTMSMVLQVAAANSYVSGSGSLYLSVGGMLMFVLTFALGAGPVPGLLLPEIFPNRIRAKAMAFCMSVHWVINFFVGLLFLRLLEQLGPQLLYSIFASVCMMAVIFVKKNVMETKGKSLQEIEIALLPPE >KJB60904 pep chromosome:Graimondii2_0_v6:9:34154869:34158922:-1 gene:B456_009G330600 transcript:KJB60904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTKNFFQNLIKPFRSNSSNGGENEEDLEKIAQKEQKNFLFETLVAATKDFHPHHKLGEGGFGPVYRGKLDDGREIAVKKLSQYSNQGKKEFENEAKLLARVQHRNVVNLLGYCIHGNEKLLVYEYVANQSLDKFLFSIARGLLYLHEDSHNRIIHRDIKASNILLDDKWVPKIADFGMARLFPEGESNVNTRVAGTNGYMAPEYVMHGQLSVKADVFSFGVLVLELISGQKNSSFNLNLDAQNLLDWAYKLYKKGKGLEIMDAAIVPSASPEQVSFCIQIGLLCVQSDPKSRPDMHRVVIMLSKKPGHLDEPMRPGIAGNRYRRSGPRPGSSSTAGASGFSDSRTSESTFNINTNTASASASASTLASSSKSDPHGKRPMKS >KJB60903 pep chromosome:Graimondii2_0_v6:9:34155274:34158718:-1 gene:B456_009G330600 transcript:KJB60903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTKNFFQNLIKPFRSNSSNGGENEEDLEKIAQKEQKNFLFETLVAATKDFHPHHKLGEGGFGPVYRGKLDDGREIAVKKLSQYSNQGKKEFENEAKLLARVQHRNVVNLLGYCIHGNEKLLVYEYVANQSLDKFLFKSNRNVELDWKRRYEIITGIARGLLYLHEDSHNRIIHRDIKASNILLDDKWVPKIADFGMARLFPEGESNVNTRVAGTNGYMAPEYVMHGQLSVKADVFSFGVLVLELISGQKNSSFNLNLDAQNLLDWAYKLYKKGKGLEIMDAAIVPSASPEQVSFCIQIGLLCVQSDPKSRPDMHRVVIMLSKKPGHLDEPMRPGIAGNRYRRSGPRPGSSSTAGASGFSDSRTSESTFNINTNTASASASASTLASSSKSDPHGKRPMKS >KJB60902 pep chromosome:Graimondii2_0_v6:9:34155674:34158718:-1 gene:B456_009G330600 transcript:KJB60902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTKNFFQNLIKPFRSNSSNGGENEEDLEKIAQKEQKNFLFETLVAATKDFHPHHKLGEGGFGPVYRGKLDDGREIAVKKLSQYSNQGKKEFENEAKLLARVQHRNVVNLLGYCIHGNEKLLVYEYVANQSLDKFLFKSNRNVELDWKRRYEIITGIARGLLYLHEDSHNRIIHRDIKASNILLDDKWVPKIADFGMARLFPEGESNVNTRVAGTNGYMAPEYVMHGQLSVKADVFSFGVLVLELISGQKNSSFNLNLDAQNLLDWLGPYHLHEMDSVKSTESIHYGSWHAWEGI >KJB55371 pep chromosome:Graimondii2_0_v6:9:5179246:5187331:1 gene:B456_009G072700 transcript:KJB55371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWDPHMEVQYINSNYPYNSAGSFIEYFEGLTYQHVNFIFDGASHVQESVYPSMTSSFYKFGPSDSGSISYYDHRNDHSYEVNNHELCIDEYRRASENSLSGSNEQTAAMNVEWERNAHATSLENSVDCPRRQHNAHDYQVIWQDCVDPDNMTYEELLELGESVGTQSRGLTQELISLLPVSKYKCSLFSRKKSRKERCVICQMEYKRGERQITLPCKHVYHAGCGTRWLSINKACPICYTEVFGNGSKH >KJB55369 pep chromosome:Graimondii2_0_v6:9:5179856:5187331:1 gene:B456_009G072700 transcript:KJB55369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWDPHMEVQYINSNYPYNSAGSFIEYFEGLTYQHVNFIFDGASHVQESVYPSMTSSFYKFGPSDSGSISYYDHRNDHSYEVNNHELCIDEYRRASENSLSGSNEQTAAMNVEWERNAHATSLENSVDCPRRQHNAHDYQVIWQDCVDPDNMTYEELLELGESVGTQSRGLTQELISLLPVSKYKCSLFSRKKSRKERCVICQMEYKRGERQITLPCKHVYHAGCGTRWLSINKACPICYTEVFGNGSKH >KJB55370 pep chromosome:Graimondii2_0_v6:9:5179429:5185286:1 gene:B456_009G072700 transcript:KJB55370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWDPHMEVQYINSNYPYNSAGSFIEYFEGLTYQHVNFIFDGASHVQESVYPSMTSSFYKFGPSDSGSISYYDHRNDHSYEVNNHELCIDEYRRASENSLSGSNEQTAAMNVEWERNAHATSLENSVDCPRRQHNAHDYQVIWQDCVDPDNMTYEELLELGESVGTQSRGLTQELISLLPVSKYKCSLFSRKKSRKERYCLCFIFASLKCDLLLSAAAFGLPQILN >KJB61688 pep chromosome:Graimondii2_0_v6:9:51079608:51083488:-1 gene:B456_009G375900 transcript:KJB61688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRHFFKHLTILTSSYGFCTISSRFIHPSLTTLFNNINPKTQPLIPTKFSLPLSHCYTSLSHPSTSTLLSPYLSVRIRCPKDIADVFSEALMCFGASSTTVDEDDNCDTSNEICIESIFPESEDVDVCISLAADSVGLKMIPSYEVKTGEHYDWIKKTQESFDPVEVTEGLWIVPVWKTPPVWLSPINFS >KJB61689 pep chromosome:Graimondii2_0_v6:9:51081962:51083488:-1 gene:B456_009G375900 transcript:KJB61689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRHFFKHLTILTSSYGFCTISSRFIHPSLTTLFNNINPKTQPLIPTKFSLPLSHCYTSLSHPSTSTLLSPYLSVRIRCPKDIADVFSEALMCFGASSTTVDEDDNCDTSNEICIESIFPESEDVDVCISLAADSVGLKMIPSYEVKTGEHYDWIKKTQESFDPVEVTEGLWIVPVWKTPPVWLSPINFS >KJB61690 pep chromosome:Graimondii2_0_v6:9:51079608:51083513:-1 gene:B456_009G375900 transcript:KJB61690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRHFFKHLTILTSSYGFCTISSRFIHPSLTTLFNNINPKTQPLIPTKFSLPLSHCYTSLSHPSTSTLLSPYLSVRIRCPKDIADVFSEALMCFGASSTTVDEDDNCDTSNEICIESIFPESEDVDVCISLAADSVGLKMIPSYEVKTGEHYDWIKKTQESFDPVEVTEGLWIVPVWKTPPDVKATNIILNPGLAFGTGEHPTTRLCLLLLQRLIIGGERFLDYGTGSGILAIAALKFGASFSVGIDIDPLAITSARHNAALNDIGPENFQLRLVSSNTSSPSADEHKDIQKQTSFEAVAESEHETYDVIVANILLNPLLELADDIVSHARPGAAIGLSGILSEQVPCIIDRYSPLLDNISVSEIDYWACLSGTKKLSGN >KJB61691 pep chromosome:Graimondii2_0_v6:9:51080552:51083604:-1 gene:B456_009G375900 transcript:KJB61691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNQTFISAPLGKKMALRHFFKHLTILTSSYGFCTISSRFIHPSLTTLFNNINPKTQPLIPTKFSLPLSHCYTSLSHPSTSTLLSPYLSVRIRCPKDIADVFSEALMCFGASSTTVDEDDNCDTSNEICIESIFPESEDVDVCISLAADSVGLKMIPSYEVKTGEHYDWIKKTQESFDPVEVTEGLWIVPVWKTPPDVKATNIILNPGLAFGTGEHPTTRLCLLLLQRLIIGGERFLDYGTGSGILAIAALKFGASFSVGIDIDPLAITSARHNAALNDIGPENFQLRLVSSNTSSPSADEHKDIQKQTSFEAVAESEHETYDVIVANILLNPLLELADDIVSHARPGAAIGLSGILSEQVLLSGPCVLAP >KJB59882 pep chromosome:Graimondii2_0_v6:9:23497888:23512826:1 gene:B456_009G278900 transcript:KJB59882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIRSTLPSRLRQLLSGETTISPSIKLDSEPPPQIKAFIDKVIQSPLQDIAIPLSGFRWEYSKGNFHHWRPLFLHFDTYFKTYLSCRNDLLLSDKILEDDSPVPKQAVLQILRVMQIILENCHNKSSFDGLENFKLLLSSTDPEILIATLETLFALVKINPSKVHGTGKLIGCGSVNSYLLSLAQGWGSKEEGLGLYSCVLANERTQEDGLSLFPSDIENENVGDKSQHRIGSSLYFELHGLNTQSTEESVGNSSSTLRVIHIPDLHLRKEDDLLIMKQCIERYNVPPELRFSLLTRIRYAHAFRSPRICRLYSRICLLAFIVLVKSNDANDELTAFFANEPEYTSELIRIVRSEETIPGNIRTLAMLALGAQLAAYSASHDRARILSGSSISFTAGNRMILLNVLQKAVLSLKGSSDPSSLSFIEALLQFYLLHITSSSASGSNIRGSGMVPTFLPLLEDSDPSHMHLVYLAVKALQKLMDYSSSAVTLLRELGGVELLAQRLQIEVHRVTGISGGNDNSMVYGEYSGYNDDLLHSQKRLIKVLLKALGSATYAPANSTRPQNPNESSLPGTLSLIYGNADKFGGDIYYSAVTVMSEIIHKDPTCLPALLDLGLPDAFLSSVLSGVLPSSKAITCVPNGLGAICLNANGLEAVKETSALRFLVDIFTSKKYVLVMNEAIVPFANAVEELLRHVSSLRSSGVDILIEIVNKIDSFGDSSGFSRSSSVEKVPESTAMETDSVDKGNEEQCCLVSAVDSVNEGISDEQFLQLCILHLMVLLHRTTENSETCRLFVEKSGIEALLKLLLRPSIVKSSEGMSIALHSTMVFKGFTQHHSAPLARAFCSSLGEHLKKAMSGFAAASGSFLLDPKLMPDDGVFSPLFLVEFLLFLAASKDNRWVSALLAELGSGSKDVLEDIGSVHREILWQIALLEDAKLEADDDGASASSAEPDSQQRESSASDTEEQRLNSFRRFLDPLLRRRTPGWSIESQFFDLVNLYRDLGRAGGFHQRLGIDGSNMRFGASHSTSPNASGTANKKEYDKKRSYHTSCCDMMRSLSFHITHLFQELGKVMLLPSHRRDDTVNASPAAKSVASSFSSIALGHMNFGGHVNSSGSEASISTKCRYFGKVIDFIDSVLQDRPDSCNAIMLNCLYGCGVVQSVLTTFEATSQLLFAVNRAPASPMDMDDGNVKQDEKEDGDHAWIYGALASCGKLMAHLVASSFILSPFTKHLLVQPLVNGDVPFPRDAETFVKVLQSMVLKAVLPVWTHPQFTDCSYDFITTVISIIRHIYSGVEVKDVISSNSARITGPPPNETAIATIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEETQEDDELARALAMSLGNSETDTNVDVTNENSQELEEEMVQLPPVEELLSTCTKLLQMKEPLAFPVRDLLVLICSQNDGQCRSSVISFLLDQVRDSSSASDGRNNSLLSALFHVLALILYEDVETREIASKTGLVKLVTDLLFEWDTGSADKEKRQAPKWVTTAFLALDRLLQVDQKLNTEIVEQLKGDNVSCQQTSVSIDEDKKSKLHCSFGSARHIDIHEQKRLIEIACSCIRNQFPSETMHAVLQLCSTLTRTHSIAVHFLDGGGVSSLLLLPTSSLFPGFDNVAATIIHHVLEDPQTLQQAMEAEIKHSLSAMANRHSNGRVSPRNFLVNLSSVILRDPVIFMQSVKSVCQVEMVGDRPYIVLIKDRDKDKFKEKEKDKEKASDKDKTPQSDGKGNLCNVNSAGPGNGPGRFNDLNSKSVKMHRKYPQSFVIVIELLLDSVSAFVPPLTDDVKTEVPVDAPTSTDMEIDVTASKGKGKAIATVSEENEASGQDASASLAKMVFILKLLSEILLMYASSVNVLLRRDAEISSCRLPHQRMSTGLSTCGIFHHFLHSFIPYSRNSKKERKIDGDWRHKLATRASQFLVASCVRSAEARKRVFTEINCIFNDFVDSCEGFKPPSSDMQTFIDLLNDILVARTPTGSCISAEASATFIDVGLVASLTRMLEVLDLDNSESPKFVTGLIKALELVTKEHVHSADSSAIKGENSVKPADQNQSGGADNIVDASQSMEMVSQSNRDAVAADHIESFNTVQNYGGSEAVTDDMEHDQDIDGGFARATEDDYMQETSEDGRGLGNGVDNLGIHFEIQPHEQENLGDDEDEEMSGDEGDEVDEDDDDEDEDHNDLEEDDVHHLSHPDTDQDDHEIDDDEFDDEVLEEDDEDDGDDEGGVILRLEEGINGMDVFDQIEVLGRDHSFASEALHVMPVEVFGSRRHERTTSIYSPLGRSGENSGPSTHPLLVGPSSLHSASTRLSENARDMIISNRNSNSTSSRLDTIFRSLRNGRHSHRLNLWVDESQQSSGSSTATVPQGLEELLVSQLRRPVPEKSSDHNTSTVEPQTHGEGSQLQGSGPGATPEIPVVNSGNNENANVLPSSAATDSSLNADGRPAVTDSLQGTDASNIHQQSVEMQFEQNDAAVRVIEAVSQESSGSGATLGESLRSLDVEIGSADGLDDGGERQGSSDRISDPQAARARRTNVAFGNSTAVGGRDVPLHSVTEVSENSSREAEQDSTTAEQQMNSDGGSGSIDPAFLDALPEELRTEVLSAQQGPVAQPSNAEEQNSGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSQELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYHNRNLFGMYPRNRRGESSRRGEGIGSSLDRMGGSIVSRRSVSAKLIEAEGTPLIGPEALQAMVRLLRMVQPLYKGSLQKLLLNLCAHNETRTALVKILMDMLTLDTRKPVSYPNAIEPPYRLYGCQNNVMYSRPQYFDGVPPLVSRRVLETLTYLTRNHPYVAKILLQFRLPSPTLQELRNSDQTRGKALMNEEQQEGYISIVLLLSLLNQPLYLRSIAHLEQLLNLLDVIIDHAERKPLSSEKSKASSTEQKPALQISMSDADINAENHDALEVSESPLKTVDSSTASTSDGSNECDAQSVLANLPRTELRLLCSLLAREGLSDNAYSLVAEVMKKLVAIAPSHCHLFISELADAVQNLIRSAMDELKLFGEAVKSLLSTTSSDGAAILRVLQALSSLVTSITEKEKDLQLQLHLLPETERSSALSQVWDINTALEPLWIELSICISKIESYSDSAPDLLAPSSTSTSRQSGLTPPLPAGTQNILPYIESFFVMCEKLHPAQPGSVNDFGMAALSDVEDAGTPSAGQQKNASSVSKFDEKHVAFVKFSEKHRKLLNAFIRQNPGLLEKSFSLMLKVPRFVDFDNKRAHFRSKIKHQQHDHHHSPLRISVRRAYILEDSYNQLRLRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTQVTDYELIPGGRNIKVTEENKHQYVDLIAEHRLTTAIRPQINAFLEGFNELIPRELISIFNDKELELLISGLPEIDMDDMRANTEYSGFSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVIQHLKL >KJB59881 pep chromosome:Graimondii2_0_v6:9:23497830:23513655:1 gene:B456_009G278900 transcript:KJB59881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIRSTLPSRLRQLLSGETTISPSIKLDSEPPPQIKAFIDKVIQSPLQDIAIPLSGFRWEYSKGNFHHWRPLFLHFDTYFKTYLSCRNDLLLSDKILEDDSPVPKQAVLQILRVMQIILENCHNKSSFDGLENFKLLLSSTDPEILIATLETLFALVKINPSKVHGTGKLIGCGSVNSYLLSLAQGWGSKEEGLGLYSCVLANERTQEDGLSLFPSDIENENVGDKSQHRIGSSLYFELHGLNTQSTEESVGNSSSTLRVIHIPDLHLRKEDDLLIMKQCIERYNVPPELRFSLLTRIRYAHAFRSPRICRLYSRICLLAFIVLVKSNDANDELTAFFANEPEYTSELIRIVRSEETIPGNIRTLAMLALGAQLAAYSASHDRARILSGSSISFTAGNRMILLNVLQKAVLSLKGSSDPSSLSFIEALLQFYLLHITSSSASGSNIRGSGMVPTFLPLLEDSDPSHMHLVYLAVKALQKLMDYSSSAVTLLRELGGVELLAQRLQIEVHRVTGISGGNDNSMVYGEYSGYNDDLLHSQKRLIKVLLKALGSATYAPANSTRPQNPNESSLPGTLSLIYGNADKFGGDIYYSAVTVMSEIIHKDPTCLPALLDLGLPDAFLSSVLSGVLPSSKAITCVPNGLGAICLNANGLEAVKETSALRFLVDIFTSKKYVLVMNEAIVPFANAVEELLRHVSSLRSSGVDILIEIVNKIDSFGDSSGFSRSSSVEKVPESTAMETDSVDKGNEEQCCLVSAVDSVNEGISDEQFLQLCILHLMVLLHRTTENSETCRLFVEKSGIEALLKLLLRPSIVKSSEGMSIALHSTMVFKGFTQHHSAPLARAFCSSLGEHLKKAMSGFAAASGSFLLDPKLMPDDGVFSPLFLVEFLLFLAASKDNRWVSALLAELGSGSKDVLEDIGSVHREILWQIALLEDAKLEADDDGASASSAEPDSQQRESSASDTEEQRLNSFRRFLDPLLRRRTPGWSIESQFFDLVNLYRDLGRAGGFHQRLGIDGSNMRFGASHSTSPNASGTANKKEYDKKRSYHTSCCDMMRSLSFHITHLFQELGKVMLLPSHRRDDTVNASPAAKSVASSFSSIALGHMNFGGHVNSSGSEASISTKCRYFGKVIDFIDSVLQDRPDSCNAIMLNCLYGCGVVQSVLTTFEATSQLLFAVNRAPASPMDMDDGNVKQDEKEDGDHAWIYGALASCGKLMAHLVASSFILSPFTKHLLVQPLVNGDVPFPRDAETFVKVLQSMVLKAVLPVWTHPQFTDCSYDFITTVISIIRHIYSGVEVKDVISSNSARITGPPPNETAIATIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEETQEDDELARALAMSLGNSETDTNVDVTNENSQELEEEMVQLPPVEELLSTCTKLLQMKEPLAFPVRDLLVLICSQNDGQCRSSVISFLLDQVRDSSSASDGRNNSLLSALFHVLALILYEDVETREIASKTGLVKLVTDLLFEWDTGSADKEKRQAPKWVTTAFLALDRLLQVDQKLNTEIVEQLKGDNVSCQQTSVSIDEDKKSKLHCSFGSARHIDIHEQKRLIEIACSCIRNQFPSETMHAVLQLCSTLTRTHSIAVHFLDGGGVSSLLLLPTSSLFPGFDNVAATIIHHVLEDPQTLQQAMEAEIKHSLSAMANRHSNGRVSPRNFLVNLSSVILRDPVIFMQSVKSVCQVEMVGDRPYIVLIKDRDKDKFKEKEKDKEKASDKDKTPQSDGKGNLCNVNSAGPGNGPGRFNDLNSKSVKMHRKYPQSFVIVIELLLDSVSAFVPPLTDDVKTEVPVDAPTSTDMEIDVTASKGKGKAIATVSEENEASGQDASASLAKMVFILKLLSEILLMYASSVNVLLRRDAEISSCRLPHQRMSTGLSTCGIFHHFLHSFIPYSRNSKKERKIDGDWRHKLATRASQFLVASCVRSAEARKRVFTEINCIFNDFVDSCEGFKPPSSDMQTFIDLLNDILVARTPTGSCISAEASATFIDVGLVASLTRMLEVLDLDNSESPKFVTGLIKALELVTKEHVHSADSSAIKGENSVKPADQNQSGGADNIVDASQSMEMVSQSNRDAVAADHIESFNTVQNYGGSEAVTDDMEHDQDIDGGFARATEDDYMQETSEDGRGLGNGVDNLGIHFEIQPHEQENLGDDEDEEMSGDEGDEVDEDDDDEDEDHNDLEEDDVHHLSHPDTDQDDHEIDDDEFDDEVLEEDDEDDGDDEGGVILRLEEGINGMDVFDQIEVLGRDHSFASEALHVMPVEVFGSRRHERTTSIYSPLGRSGENSGPSTHPLLVGPSSLHSASTRLSENARDMIISNRNSNSTSSRLDTIFRSLRNGRHSHRLNLWVDESQQSSGSSTATVPQGLEELLVSQLRRPVPEKSSDHNTSTVEPQTHGEGSQLQGSGPGATPEIPVVNSGNNENANVLPSSAATDSSLNADGRPAVTDSLQGTDASNIHQQSVEMQFEQNDAAVRVIEAVSQESSGSGATLGESLRSLDVEIGSADGLDDGGERQGSSDRISDPQAARARRTNVAFGNSTAVGGRDVPLHSVTEVSENSSREAEQDSTTAEQQMNSDGGSGSIDPAFLDALPEELRTEVLSAQQGPVAQPSNAEEQNSGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSQELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYHNRNLFGMYPRNRRGESSRRGEGIGSSLDRMGGSIVSRRSVSAKLIEAEGTPLIGPEALQAMVRLLRMVQPLYKGSLQKLLLNLCAHNETRTALVKILMDMLTLDTRKPVSYPNAIEPPYRLYGCQNNVMYSRPQYFDGVPPLVSRRVLETLTYLTRNHPYVAKILLQFRLPSPTLQELRNSDQTRGKALMNEEQQEGYISIVLLLSLLNQPLYLRSIAHLEQLLNLLDVIIDHAERKPLSSEKSKASSTEQKPALQISMSDADINAENHDALEVSESPLKTVDSSTASTSDGSNECDAQSVLANLPRTELRLLCSLLAREGLSDNAYSLVAEVMKKLVAIAPSHCHLFISELADAVQNLIRSAMDELKLFGEAVKSLLSTTSSDGAAILRVLQALSSLVTSITEKEKDLQLQLHLLPETERSSALSQVWDINTALEPLWIELSICISKIESYSDSAPDLLAPSSTSTSRQSGLTPPLPAGTQNILPYIESFFVMCEKLHPAQPGSVNDFGMAALSDVEDAGTPSAGQQKNASSVSKFDEKHVAFVKFSEKHRKLLNAFIRQNPGLLEKSFSLMLKVPRFVDFDNKRAHFRSKIKHQQHDHHHSPLRISVRRAYILEDSYNQLRLRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTQVTDYELIPGGRNIKVTEENKHQYVDLIAEHRLTTAIRPQINAFLEGFNELIPRELISIFNDKELELLISGLPEIDMDDMRANTEYSGFSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKEHLEERLLLAIHEANEGFGFG >KJB61675 pep chromosome:Graimondii2_0_v6:9:50897711:50906107:1 gene:B456_009G374400 transcript:KJB61675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKGKPTGHRHFSTPEELRAGTSARPRTFKKEEAEVEEEEEESEEEVEEEPEKRKGTQGLIEIENPNLAKQKNVKAKDVDMGRTTELSRREREEIEKQKAHERYMKLQEQGKTEQARKDLERLALIRQQRAEAAKKREEEKTAREQKKTEARK >KJB61836 pep chromosome:Graimondii2_0_v6:9:52254501:52255306:1 gene:B456_009G385100 transcript:KJB61836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTLNLIAILSLTISILLSLYPQPAFSFQMEDFDSEEEYVLDHPVIIPNLRSRSRFLKTSPTKDKIRKGADCDPHPSLNICKGISANNGTSLLYCCKTHCRNVLSDRNNCGKCGNRCEFGQRCCGGVCTNVANNVNHCGKCGNQCSSGVQCDNGFCGYA >KJB62313 pep chromosome:Graimondii2_0_v6:9:61992706:61994483:1 gene:B456_009G410900 transcript:KJB62313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLARKLPSSFSAFSSSPITKLTWGISPPSMSHGGPGLRCASSSSSSSSSTTTTGSNSSSSKREKKITDRLSAAIDAVNDRKLPPELRGQRNKVRSETDIINVVEQRIWHSMEEGQFENLAGKGKPLNLNTTPHADPAEDTLYRILSKNGCAPEWVELNKEIRNKVSEWRVALKKAWTSKCNGNDEEKWIERCESLKKQLRDINDKVKRNCILSYV >KJB62312 pep chromosome:Graimondii2_0_v6:9:61992582:61994483:1 gene:B456_009G410900 transcript:KJB62312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLARKLPSSFSAFSSSPITKLTWGISPPSMSHGGPGLRCASSSSSSSSSTTTTGSNSSSSKREKKITDRLSAAIDAVNDRKLPPELRGQRNKVRSETDIINVVEQRIWHSMEEGQFENLAGKGKPLNLNTTPHADPAEDTLYRILSKNGCAPEWVELNKEIRNKVSEWRVALKKAWTSKCNGNDEEKWIERCESLKKQLRDINDKVFRYNLIVPFGRQMFGLKWEKEVARLEE >KJB54408 pep chromosome:Graimondii2_0_v6:9:2456135:2458428:1 gene:B456_009G032800 transcript:KJB54408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNNSPPGSPKQNPAQNSETNSLPKDDSSLETIVRKIQDSMSLAKRHKFWETQPVGQFKDVGDTSLPEGPIEPPTPLSEVKQEPYNLPNPYEWTTCDMDSEDTCTEVYNLLKNNYVEDDENMFRFNYSKEFLSWALRPPGYYESWHIGVRAKASKKLVAFITGVPARIRVRDEVVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGPRMTMSRTIKLYKLPDSPATPGFRKMELHDVPAVTRLLRNYLSQFVVSPDFDENDVEHWLLPTEGVVDSYLVESPETHDVTDFCSFYTLPSSILGNQNYSILKAAYSYYNVSTKTPLLQLMNDALIVAKQKDFDVFNALDVMHNESFLKELKFGPGDGQLHYYLYNYRIGNALRPSELGLVLL >KJB54407 pep chromosome:Graimondii2_0_v6:9:2456508:2458230:1 gene:B456_009G032800 transcript:KJB54407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNNSPPGSPKQNPAQNSETNSLPKDDSSLETIVRKIQDSMSLAKRHKFWETQPVGQFKDVGDTSLPEGPIEPPTPLSEVKQEPYNLPNPYEWTTCDMDSEDTCTEVYNLLKNNYVEDDENMFRFNYSKEFLSWALRPPGYYESWHIGVRAKASKKLVAFITGVPARIRVRDEVVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGPRMTMSRTIKLYKLPDSPATPGFRKMELHDVPAVTRLLRNYLSQFVVSPDFDENDVEHWLLPTEGVVDSYLVESPETHDVTDFCSFYTLPSSILGNQNYSILKAAYSYYNVSTKTPLLQLMNDALIVAKQKDFDVFNALDVMHNESFLKELKFGPGDGQLHYYLYNYRIGNALRPSELGLVLL >KJB54406 pep chromosome:Graimondii2_0_v6:9:2456168:2458230:1 gene:B456_009G032800 transcript:KJB54406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNNSPPGSPKQNPAQNSETNSLPKDDSSLETIVRKIQDSMSLAKRHKFWETQPVGQFKDVGDTSLPEGPIEPPTPLSEVKQEPYNLPNPYEWTTCDMDSEDTCTEVYNLLKNNYVEDDENMFRFNYSKEFLSWALRPPGYYESWHIGVRAKASKKLVAFITGVPARIRVRDEVVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGPRMTMSRTIKLYKLPDSPATPGFRKMELHDVPAVTRLLRNYLSQFVVSPDFDENDVEHWLLPTEGVVDSYLVESPETHDVTDFCSFYTLPSSILGNQNYSILKAAYSYYNVSTKTPLLQLMNDALIVAKQKDFDVFNALDVMHNESFLKELKFGPGDGQLHYYLYNYRIGNALRPSELGLVLL >KJB60989 pep chromosome:Graimondii2_0_v6:9:35054792:35063957:1 gene:B456_009G334200 transcript:KJB60989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLTINCGMFVRYYGIQEFSPRISIISTKFSARAFASRKPMKISRREGRLSKSFTLQTKETLPEDINGLADMCPSKDGNNNNGKSVDSTPPDIISIPSRSNVLQACTITSGLIAALGLIIRQVSHVGSMEGLPILDCSTEVSFGFELWHLELITGLVLLISSCRYILLKTWQYFAESSDTANKQELLFRGALLPVLGFDWKSVMVVSTVFGVLHLGNGRKYSFAVWATFVGIVYGYATIMSSSVIVPMASHALNNLVGGLLWRYTSKSLE >KJB60988 pep chromosome:Graimondii2_0_v6:9:35054792:35063101:1 gene:B456_009G334200 transcript:KJB60988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLTINCGMFVRYYGIQEFSPRISIISTKFSARAFASRKPMKISRREGRLSKSFTLQTKETLPEDINGLADMCPSKDGNNNNGKSVDSTPPDIISIPSRSNVLQACTITSGLIAALGLIIRQVSHVGSMEGLPILDCSTEVSFGFELWHLELITGLVLLISSCRYILLKTWQYFAESSDTANKQVLSSLQPYDYLVVAFLPGMSEELLFRGALLPVLGFDWKSVMVVSTVFGVLHLGNGRKYSFAVWYMLLTSY >KJB60990 pep chromosome:Graimondii2_0_v6:9:35054792:35063957:1 gene:B456_009G334200 transcript:KJB60990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLTINCGMFVRYYGIQEFSPRISIISTKFSARAFASRKPMKISRREGRLSKSFTLQTKETLPEDINGLADMCPSKDGNNNNGKSVDSTPPDIISIPSRSNVLQACTITSGLIAALGLIIRQVSHVGSMEGLPILDCSTEVSFGFELWHLELITGLVLLISSCRYILLKTWQYFAESSDTANKQVLSSLQPYDYLVVAFLPGMSEELLFRGALLPVLGFDWKSVMVVSTVFGVLHLGNGRKYSFAVWATFVGIVYGYATIMSSSVIVPMASHALNNLVGGLLWRYTSKSLE >KJB60991 pep chromosome:Graimondii2_0_v6:9:35054874:35063957:1 gene:B456_009G334200 transcript:KJB60991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLTINCPTSFIHVCFHPQSTKFSARAFASRKPMKISRREGRLSKSFTLQTKETLPEDINGLADMCPSKDGNNNNGKSVDSTPPDIISIPSRSNVLQACTITSGLIAALGLIIRQVSHVGSMEGLPILDCSTEVSFGFELWHLELITGLVLLISSCRYILLKTWQYFAESSDTANKQVLSSLQPYDYLVVAFLPGMSEELLFRGALLPVLGFDWKSVMVVSTVFGVLHLGNGRKYSFAVWATFVGIVYGYATIMSSSVIVPMASHALNNLVGGLLWRYTSKSLE >KJB55835 pep chromosome:Graimondii2_0_v6:9:7045766:7047572:-1 gene:B456_009G097100 transcript:KJB55835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEVKIGVFEANGRDDEAIATATDAVVDEEDDVNERVLEWEMGLPNCDDLTPLSQLLVPPELASAFSISPEPRRTALDVNRASQDTLSSLRSTGAHSSTTNNNNNNNNNNNFRSFDDPMVVEPEGDGSGSGSGSDPKKMRMMEIAEEADSAVRTAENSDDPSGKTVKRQRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSASDQLFASTPVPQSMHANGAGVGGGGGIGVGGGSVNSNGHVGMTLPMLYGASMIPMPMPMYGPVHQGGNHHPQNGYEANSYGMMQQRDWSGGNKYGFLFDHTGGKTINVPCILVNVRRSFLLLFFLSFLCFQNFD >KJB55833 pep chromosome:Graimondii2_0_v6:9:7044941:7047609:-1 gene:B456_009G097100 transcript:KJB55833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEVKIGVFEANGRDDEAIATATDAVVDEEDDVNERVLEWEMGLPNCDDLTPLSQLLVPPELASAFSISPEPRRTALDVNRASQDTLSSLRSTGAHSSTTNNNNNNNNNNNFRSFDDPMVVEPEGDGSGSGSGSDPKKMRMMEIAEEADSAVRTAENSDDPSGKTVKRQRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSASDQLFASTPVPQSMHANGAGVGGGGGIGVGGGSVNSNGHVGMTLPMLYGASMIPMPMPMYGPVHQGGNHHPQNGYEANSYGMMQQRDWSGGNKYGRLCLSSYTAWCQPRIAEGHMLILRFFWSRNAT >KJB55834 pep chromosome:Graimondii2_0_v6:9:7045678:7047371:-1 gene:B456_009G097100 transcript:KJB55834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEVKIGVFEANGRDDEAIATATDAVVDEEDDVNERVLEWEMGLPNCDDLTPLSQLLVPPELASAFSISPEPRRTALDVNRASQDTLSSLRSTGAHSSTTNNNNNNNNNNNFRSFDDPMVVEPEGDGSGSGSGSDPKKMRMMEIAEEADSAVRTAENSDDPSGKTVKRQRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSASDQLFASTPVPQSMHANGAGVGGGGGIGVGGGSVNSNGHVGMTLPMLYGASMIPMPMPMYGPVHQGGNHHPQNGYEANSYGMMQQRDWSGGNKYGFRKLLICLVFIHYS >KJB55832 pep chromosome:Graimondii2_0_v6:9:7044941:7047572:-1 gene:B456_009G097100 transcript:KJB55832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEVKIGVFEANGRDDEAIATATDAVVDEEDDVNERVLEWEMGLPNCDDLTPLSQLLVPPELASAFSISPEPRRTALDVNRASQDTLSSLRSTGAHSSTTNNNNNNNNNNNFRSFDDPMVVEPEGDGSGSGSGSDPKKMRMMEIAEEADSAVRTAENSDDPSGKTVKRQRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSASDQLFASTPVPQSMHANGAGVGGGGGIGVGGGSVNSNGHVGMTLPMLYGASMIPMPMPMYGPVHQGGNHHPQNGYEANSYGMMQQRDWSGGNKYGKLLICLVFIHYS >KJB53456 pep chromosome:Graimondii2_0_v6:9:6089587:6090750:-1 gene:B456_009G083400 transcript:KJB53456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRPMLLVFLLLILIMTSQFEWRHQIVDFDMTPSVTPKQQQISRREEAVKEKNIQRLQELVRSLQQQLLQCKGNNKTNDTISHLTEHVLELERQQILED >KJB53460 pep chromosome:Graimondii2_0_v6:9:6089587:6090750:-1 gene:B456_009G083400 transcript:KJB53460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRPMLLVFLLLILIMTSQFEWRHQIVDFDMTPSVTPKQQQISRREEAVKEKIILSQEKNIQRLQELVRSLQQQLLQCKGNNKTNDTISHLTEHVLELERQQILED >KJB53463 pep chromosome:Graimondii2_0_v6:9:6089243:6091262:-1 gene:B456_009G083400 transcript:KJB53463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRPMLLVFLLLILIMTSQFEWRHQIVDFDMTPSVTPKQQQISRREEAVKEKNIQRLQELVRSLQQQILED >KJB53458 pep chromosome:Graimondii2_0_v6:9:6089587:6090750:-1 gene:B456_009G083400 transcript:KJB53458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRPMLLVFLLLILIMTSQFEWRHQIVDFDMTPSVTPKQQQISRREEAVKEKIILSQEKNIQRLQELVRSLQQQLLQCKGNNKTNDTISHLTEHVLELERQQILED >KJB53465 pep chromosome:Graimondii2_0_v6:9:6089587:6090750:-1 gene:B456_009G083400 transcript:KJB53465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRPMLLVFLLLILIMTSQFEWRHQIVDFDMTPSVTPKQQQISRREEAVKEKIILSQEKNIQRLQELVRSLQQQLLQCKGNNKTNDTISHLTEHVLELERQQILED >KJB53468 pep chromosome:Graimondii2_0_v6:9:6089587:6090750:-1 gene:B456_009G083400 transcript:KJB53468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRPMLLVFLLLILIMTSQFEWRHQIVDFDMTPSVTPKQQQISRREEAVKEKNIQRLQELVRSLQQQLLQCKGNNKTNDTISHLTEHVLELERQQILED >KJB53457 pep chromosome:Graimondii2_0_v6:9:6089188:6091477:-1 gene:B456_009G083400 transcript:KJB53457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRPMLLVFLLLILIMTSQFEWRHQIVDFDMTPSVTPKQQQISRREEAVKEKNIQRLQELVRSLQQQLLQCKGNNKTNDTISHLTEHVLELERQQILED >KJB53464 pep chromosome:Graimondii2_0_v6:9:6089243:6091477:-1 gene:B456_009G083400 transcript:KJB53464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRPMLLVFLLLILIMTSQFEWRHQIVDFDMTPSVTPKQQQISRREEAVKEKNIQRLQELVRSLQQQLLQCKGNNKTNDTISHLTEHVLELERQQILED >KJB53467 pep chromosome:Graimondii2_0_v6:9:6089587:6090750:-1 gene:B456_009G083400 transcript:KJB53467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRPMLLVFLLLILIMTSQFEWRHQIVDFDMTPSVTPKQQQISRREEAVKEKNIQRLQELVRSLQQQLLQCKGNNKTNDTISHLTEHVLELERQQILED >KJB53459 pep chromosome:Graimondii2_0_v6:9:6089188:6091534:-1 gene:B456_009G083400 transcript:KJB53459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRPMLLVFLLLILIMTSQFEWRHQIVDFDMTPSVTPKQQQISRREEAVKEKIILSQEKNIQRLQELVRSLQQQLLQCKGNNKTNDTISHLTEHVLELERQQILED >KJB53461 pep chromosome:Graimondii2_0_v6:9:6089188:6091534:-1 gene:B456_009G083400 transcript:KJB53461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRPMLLVFLLLILIMTSQFEWRHQIVDFDMTPSVTPKQQQISRREEAVKEKNIQRLQELVRSLQQQLLQCKGNNKTNDTISHLTEHVLELERQQILED >KJB53462 pep chromosome:Graimondii2_0_v6:9:6089587:6090750:-1 gene:B456_009G083400 transcript:KJB53462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRPMLLVFLLLILIMTSQFEWRHQIVDFDMTPSVTPKQQQISRREEAVKEKIILSQEKNIQRLQELVRSLQQQLLQCKGNNKTNDTISHLTEHVLELERQQILED >KJB53466 pep chromosome:Graimondii2_0_v6:9:6089243:6091264:-1 gene:B456_009G083400 transcript:KJB53466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRPMLLVFLLLILIMTSQFEWRHQIVDFDMTPSVTPKQQQISRREEAVKEKIILSQEKNIQRLQELVRSLQQQLLQCKGNNKTNDTISHLTEHVLELERQQILED >KJB60644 pep chromosome:Graimondii2_0_v6:9:29897567:29898469:-1 gene:B456_009G316800 transcript:KJB60644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYKLISALLLTFTIAFSTSARMLDEQPSNPSNPVSTPVSNVPPLTTPPGSNPNPTVVGGATSGPNPVNPNPDHHTLTFFMHDILGGSNPTALAITGIVNNPAVNGQLPFAKPNGANLPLNNGINQNTGNTGILNNNNLPLLTGLGGNTQALNNGKNLNNGPSNFPVLTGGQLPSGSALQKLMFGTMTVIDDELTVGHELGSGFLGKAQGFYVASSIDGNSQTMAFTAMFESGHYADTLSFFGVHRIGVSESHLAIMGGTGKYVNAKGFAIVKTIPASNQQQETDGFETVLEFTVYITY >KJB57954 pep chromosome:Graimondii2_0_v6:9:14390772:14394546:1 gene:B456_009G187200 transcript:KJB57954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESPVESGPTPLTPLPDKKLLLPDYHDIIAHPMDFLTVRKKLDKGAYTTLEQFEYNAPDTIYFRQARSMQELAKKDFENLRQDSDEGEPQLKVVRRGRSPEKSLKKSLVSASSIDHVGSEYSDATLAAGRYTSSLSNTYNSHKLRPADTFIRSSWGSPSKENHAICLSEWENEFPASVVRAVMKFGMKHFAVDENGRDTYNHSSTSGHEQRTFSTLVGELKQLIPVCFMFV >KJB57407 pep chromosome:Graimondii2_0_v6:9:12476885:12483676:1 gene:B456_009G162400 transcript:KJB57407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRAQVRNEYGLGQPELYKEVNREDPKAVLDGIAVAGLVGILRQLGDLAEFAAEVFHGLQEQVMSTASRSHKLTIRVQRIEAALPPLEKAVLAQTSHIHFAYTAGSEWHPRIRNEKNLFIYNDLPRFIMDSYEVCRDPPHLHLLDKFDAGGPGSCLQRYSDPTFFKRASGSSIEEDVEKVQRDKRSRKSKKRRSSHRNGKLLRGASLSSCSGRMQFTSVLANGRTSSQNASTVDMAPKSDMGEHSSSFDSRTGSGYIECAFNLGSSMLEELEPKQFSSKLMQETDTLGSNFPVDQTRVLDDNFSRSSSQEQIVPSLSCVTWDEKAEIVESKAGNRDIDEVPEMNFDVDVQEIGIANAGNGDQVHIPFNDVDAPQASIIENQNDEIESEPDNYMDALNTIESESENDIECHRKQEVEQCSENDIECQRELGMERSEDTNNISNENREDEEVVMDDNVDSTSSIIEPSASSNIISSNGMSGSSSDPVASENIPCEQVPQILGKSSDPDRTSNTGLCISEEICNGSQAEFVISDPSPSSGSTVSDMHDPAGDRIMNSVNDSQNSQTESSVHSVGFWTNGGLLGLQPSKPPDFAVSTVGQGSAATTSEVFGPPNQILMSLQDGLKGNAGTVVENANLDANLGRPVNSHFNDNLDNLNGVGSSLNTSLPHGNKNPVNPNIKATSIESDEEEDDKSSRMFGLGHKLLVNGFRRKVSIGNDDDSEPATSRKTGVLEPRNELQRISYQKIPQTTVNEQIGNGSPINSLTSSPPLEHMKISFNPLDGFGTSKLKLQFPDGNHYHENIRDMFPSFQLVPVPAISAHGVASDSDDDTFCRSSPYMSDDCLSHCSESNSEQWESGEAPESNDPALHDALSRLSSMESVSSCLQVRGAANNGICVNGGNKKPIAPGSSAAEPSLSMPLDLPGFDVINPVLHDETESNSDQKNQVKLQNAMQLKPLPPPPPPAQWRVSKPCFNEAEERQHALSESLRHELDLKLLSSFVSQKPEPALVNQQKINDKANALQPEKKVDEEKNNGQKGVSLLSGGRGMDEKEDFLHQIRTKSFNLRPTATARPTVISGPTANVQVTAILQKANAIRQAVGSDDDDNWSDN >KJB57406 pep chromosome:Graimondii2_0_v6:9:12477258:12481954:1 gene:B456_009G162400 transcript:KJB57406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRAQVRNEYGLGQPELYKEVNREDPKAVLDGIAVAGLVGILRQLGDLAEFAAEVFHGLQEQVMSTASRSHKLTIRVQRIEAALPPLEKAVLAQTSHIHFAYTAGSEWHPRIRNEKNLFIYNDLPRFIMDSYEVCRDPPHLHLLDKFDAGGPGSCLQRYSDPTFFKRASGSSIEEDVEKVQRDKRSRKSKKRRSSHRNGKLLRGASLSSCSGRMQFTSVLANGRTSSQNASTVDMAPKSDMGEHSSSFDSRTGSGYIECAFNLGSSMLEELEPKQFSSKLMQETDTLGSNFPVDQTRVLDDNFSRSSSQEQIVPSLSCVTWDEKAEIVESKAGNRDIDEVPEMNFDVDVQEIGIANAGNGDQVHIPFNDVDAPQASIIENQNDEIESEPDNYMDALNTIESESENDIECHRKQEVEQCSENDIECQRELGMERSEDTNNISNENREDEEVVMDDNVDSTSSIIEPSASSNIISSNGMSGSSSDPVASENIPCEQVPQILGKSSDPDRTSNTGLCISEEICNGSQAEFVISDPSPSSGSTVSDMHDPAGDRIMNSVNDSQNSQTESSVHSVGFWTNGGLLGLQPSKPPDFAVSTVGQGSAATTSEVFGPPNQILMSLQDGLKGNAGTVVENANLDANLGRPVNSHFNDNLDNLNGVGSSLNTSLPHGNKNPVNPNIKATSIESDEEEDDKSSRMFGLGHKLLVNGFRRKVSIGNDDDSEPATSRKTGVLEPRNELQRISYQKIPQTTVNEQIGNGSPINSLTSSPPLEHMKISFNPLDGFGTSKLKLQFPDGNHYHENIRDMFPSFQLVPVPAISAHGVASDSDDDTFCRSSPYMSDDCLSHCSESNSEQWESGEAPESNDPALHDALSRLSSMESVSSCLQVRGAANNGICVNGGNKKPIAPGSSAAEPSLSMPLDLPGFDVINPVLHDETESNSDQKNQVKLQNAMQLKPLPPPPPPAQWRVSKPCFNEAEERQHALSESLRHELDLKLLSSFVSQKPEPALVNQQKINDKANALQPEKKVLQQCCQSVLLLLLVLLF >KJB57410 pep chromosome:Graimondii2_0_v6:9:12477174:12483676:1 gene:B456_009G162400 transcript:KJB57410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEVCRDPPHLHLLDKFDAGGPGSCLQRYSDPTFFKRASGSSIEEDVEKVQRDKRSRKSKKRRSSHRNGKLLRGASLSSCSGRMQFTSVLANGRTSSQNASTVDMAPKSDMGEHSSSFDSRTGSGYIECAFNLGSSMLEELEPKQFSSKLMQETDTLGSNFPVDQTRVLDDNFSRSSSQEQIVPSLSCVTWDEKAEIVESKAGNRDIDEVPEMNFDVDVQEIGIANAGNGDQVHIPFNDVDAPQASIIENQNDEIESEPDNYMDALNTIESESENDIECHRKQEVEQCSENDIECQRELGMERSEDTNNISNENREDEEVVMDDNVDSTSSIIEPSASSNIISSNGMSGSSSDPVASENIPCEQVPQILGKSSDPDRTSNTGLCISEEICNGSQAEFVISDPSPSSGSTVSDMHDPAGDRIMNSVNDSQNSQTESSVHSVGFWTNGGLLGLQPSKPPDFAVSTVGQGSAATTSEVFGPPNQILMSLQDGLKGNAGTVVENANLDANLGRPVNSHFNDNLDNLNGVGSSLNTSLPHGNKNPVNPNIKATSIESDEEEDDKSSRMFGLGHKLLVNGFRRKVSIGNDDDSEPATSRKTGVLEPRNELQRISYQKIPQTTVNEQIGNGSPINSLTSSPPLEHMKISFNPLDGFGTSKLKLQFPDGNHYHENIRDMFPSFQLVPVPAISAHGVASDSDDDTFCRSSPYMSDDCLSHCSESNSEQWESGEAPESNDPALHDALSRLSSMESVSSCLQVRGAANNGICVNGGNKKPIAPGSSAAEPSLSMPLDLPGFDVINPVLHDETESNSDQKNQVKLQNAMQLKPLPPPPPPAQWRVSKPCFNEAEERQHALSESLRHELDLKLLSSFVSQKPEPALVNQQKINDKANALQPEKKVDEEKNNGQKGVSLLSGGRGMDEKEDFLHQIRTKSFNLRPTATARPTVISGPTANVQVTAILQKANAIRQAVGSDDDDNWSDN >KJB57404 pep chromosome:Graimondii2_0_v6:9:12477174:12483676:1 gene:B456_009G162400 transcript:KJB57404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRAQVRNEYGLGQPELYKEVNREDPKAVLDGIAVAGLVGILRQLGDLAEFAAEVFHGLQEQVMSTASRSHKLTIRVQRIEAALPPLEKAVLAQTSHIHFAYTAGSEWHPRIRNEKNLFIYNDLPRFIMDSYEVCRDPPHLHLLDKFDAGGPGSCLQRYSDPTFFKRASGSSIEEDVEKVQRDKRSRKSKKRRSSHRNGKLLRGASLSSCSGRMQFTSVLANGRTSSQNASTVDMAPKSDMGEHSSSFDSRTGSGYIECAFNLGSSMLEELEPKQFSSKLMQETDTLGSNFPVDQTRVLDDNFSRSSSQEQIVPSLSCVTWDEKAEIVESKAGNRDIDEVPEMNFDVDVQEIGIANAGNGDQVHIPFNDVDAPQASIIENQNDEIESEPDNYMDALNTIESESENDIECHRKQEVEQCSENDIECQRELGMERSEDTNNISNENREDEEVVMDDNVDSTSSIIEPSASSNIISSNGMSGSSSDPVASENIPCEQVPQILGKSSDPDRTSNTGLCISEEICNGSQAEFVISDPSPSSGSTVSDMHDPAGDRIMNSVNDSQNSQTESSVHSVGFWTNGGLLGLQPSKPPDFAVSTVGQGSAATTSEVFGPPNQILMSLQDGLKGNAGTVVENANLDANLGRPVNSHFNDNLDNLNGVGSSLNTSLPHGNKNPVNPNIKATSIESDEEEDDKSSRMFGLGHKLLVNGFRRKVSIGNDDDSEPATSRKTGVLEPRNELQRISYQKIPQTTVNEQIGNGSPINSLTSSPPLEHMKISFNPLDGFGTSKLKLQFPDGNHYHENIRDMFPSFQLVPVPAISAHGVASDSDDDTFCRSSPYMSDDCLSHCSESNSEQWESGEAPESNDPALHDALSRLSSMESVSSCLQVRGAANNGICVNGGNKKPIAPGSSAAEPSLSMPLDLPGFDVINPVLHDETESNSDQKNQVKLQNAMQLKPLPPPPPPAQWRVSKPCFNEAEERQHALSESLRHELDLKLLSSFVSQKPEPALVNQQKINDKANALQPEKKVDEEKNNGQKGVSLLSGGRGMDEKEDFLHQIRTKSFNLRPTATARPTVISGPTANVQVTAILQKANAIRQAVGSDDDDNWSDN >KJB57408 pep chromosome:Graimondii2_0_v6:9:12477174:12483676:1 gene:B456_009G162400 transcript:KJB57408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRAQVRNEYGLGQPELYKEVNREDPKAVLDGIAVAGLVGILRQLGDLAEFAAEVFHGLQEQVMSTASRSHKLTIRVQRIEAALPPLEKAVLAQTSHIHFAYTAGSEWHPRIRNEKNLFIYNDLPRFIMDSYEVCRDPPHLHLLDKFDAGGPGSCLQRYSDPTFFKRASGSSIEEDVEKVQRDKRSRKSKKRRSSHRNGKLLRGASLSSCSGRMQFTSVLANGRTSSQNASTVDMAPKSDMGEHSSSFDSRTGSGYIECAFNLGSSMLEELEPKQFSSKLMQETDTLGSNFPVDQTRVLDDNFSRSSSQEQIVPSLSCVTWDEKAEIVESKAGNRDIDEVPEMNFDVDVQEIGIANAGNGDQVHIPFNDVDAPQASIIENQNDEIESEPDNYMDALNTIESESENDIECHRKQEVEQCSENDIECQRELGMERSEDTNNISNENREDEEVVMDDNVDSTSSIIEPSASSNIISSNGMSGSSSDPVASENIPCEQVPQILGKSSDPDRTSNTGLCISEEICNGSQAEFVISDPSPSSGSTVSDMHDPAGDRIMNSVNDSQNSQTESSVHSVGFWTNGGLLGLQPSKPPDFAVSTVGQGSAATTSEVFGPPNQILMSLQDGLKGNAGTVVENANLDANLGRPVNSHFNDNLDNLNGVGSSLNTSLPHGNKNPVNPNIKATSIESDEEEDDKSSRMFGLGHKLLVNGFRRKVSIGNDDDSEPATSRKTGVLEPRNELQRISYQKIPQTTVNEQIGNGSPINSLTSSPPLEHMKISFNPLDGFGTSKLKLQFPDGNHYHENIRDMFPSFQLVPVPAISAHGVASDSDDDTFCRSSPYMSDDCLSHCSESNSEQWESGEAPESNDPALHDALSRLSSMESVSSCLQVRGAANNGICVNGGNKKPIAPGSSAAEPSLSMPLDLPGFDVINPVLHDETESNSDQKNQVKLQNAMQLKPLPPPPPPAQWRVSKPCFNEAEERQHALSESLRHELDLKLLSSFVSQKPEPALVNQQKINDKANALQPEKKVDEEKNNGQKGVSLLSGGRGMDEKEDFLHQIRTKSFNLRPTATARPTVISGPTANVQVTAILQKANAIRQAVGSDDDDNWSDN >KJB57409 pep chromosome:Graimondii2_0_v6:9:12476882:12483729:1 gene:B456_009G162400 transcript:KJB57409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRAQVRNEYGLGQPELYKEVNREDPKAVLDGIAVAGLVGILRQLGDLAEFAAEVFHGLQEQVMSTASRSHKLTIRVQRIEAALPPLEKAVLAQTSHIHFAYTAGSEWHPRIRNEKNLFIYNDLPRFIMDSYEVCRDPPHLHLLDKFDAGGPGSCLQRYSDPTFFKRASGSSIEEDVEKVQRDKRSRKSKKRRSSHRNGKLLRGASLSSCSGRMQFTSVLANGRTSSQNASTVDMAPKSDMGEHSSSFDSRTGSGYIECAFNLGSSMLEELEPKQFSSKLMQETDTLGSNFPVDQTRVLDDNFSRSSSQEQIVPSLSCVTWDEKAEIVESKAGNRDIDEVPEMNFDVDVQEIGIANAGNGDQVHIPFNDVDAPQASIIENQNDEIESEPDNYMDALNTIESESENDIECHRKQEVEQCSENDIECQRELGMERSEDTNNISNENREDEEVVMDDNVDSTSSIIEPSASSNIISSNGMSGSSSDPVASENIPCEQVPQILGKSSDPDRTSNTGLCISEEICNGSQAEFVISDPSPSSGSTVSDMHDPAGDRIMNSVNDSQNSQTESSVHSVGFWTNGGLLGLQPSKPPDFAVSTVGQGSAATTSEVFGPPNQILMSLQDGLKGNAGTVVENANLDANLGRPVNSHFNDNLDNLNGVGSSLNTSLPHGNKNPVNPNIKATSIESDEEEDDKSSRMFGLGHKLLVNGFRRKVSIGNDDDSEPATSRKTGVLEPRNELQRISYQKIPQTTVNEQIGNGSPINSLTSSPPLEHMKISFNPLDGFGTSKLKLQFPDGNHYHENIRDMFPSFQLVPVPAISAHGVASDSDDDTFCRSSPYMSDDCLSHCSESNSEQWESGEAPESNDPALHDALSRLSSMESVSSCLQVRGAANNGICVNGGNKKPIAPGSSAAEPSLSMPLDLPGFDVINPVLHDETESNSDQKNQVKLQNAMQLKPLPPPPPPAQWRVSKPCFNEAEERQHALSESLRHELDLKLLSSFVSQKPEPALVNQQKINDKANALQPEKKVDEEKNNGQKGVSLLSGGRGMDEKEDFLHQIRTKSFNLRPTATARPTVISGPTANVQVTAILQKANAIRQAVGSDDDDNWSDN >KJB57405 pep chromosome:Graimondii2_0_v6:9:12477174:12483676:1 gene:B456_009G162400 transcript:KJB57405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRAQVRNEYGLGQPELYKEVNREDPKAVLDGIAVAGLVGILRQLGDLAEFAAEVFHGLQEQVMSTASRSHKLTIRVQRIEAALPPLEKAVLAQTSHIHFAYTAGSEWHPRIRNEKNLFIYNDLPRFIMDSYEVCRDPPHLHLLDKFDAGGPGSCLQRYSDPTFFKRASGSSIEEDVEKVQRDKRSRKSKKRRSSHRNGKLLRGASLSSCSGRMQFTSVLANGRTSSQNASTVDMAPKSDMGEHSSSFDSRTGSGYIECAFNLGSSMLEELEPKQFSSKLMQETDTLGSNFPVDQTRVLDDNFSRSSSQEQIVPSLSCVTWDEKAEIVESKAGNRDIDEVPEMNFDVDVQEIGIANAGNGDQVHIPFNDVDAPQASIIENQNDEIESEPDNYMDALNTIESESENDIECHRKQEVEQCSENDIECQRELGMERSEDTNNISNENREDEEVVMDDNVDSTSSIIEPSASSNIISSNGMSGSSSDPVASENIPCEQVPQILGKSSDPDRTSNTGLCISEEICNGSQAEFVISDPSPSSGSTVSDMHDPAGDRIMNSVNDSQNSQTESSVHSVGFWTNGGLLGLQPSKPPDFAVSTVGQGSAATTSEVFGPPNQILMSLQDGLKGNAGTVVENANLDANLGRPVNSHFNDNLDNLNGVGSSLNTSLPHGNKNPVNPNIKATSIESDEEEDDKSSRMFGLGHKLLVNGFRRKVSIGNDDDSEPATSRKTGVLEPRNELQRISYQKIPQTTVNEQIGNGSPINSLTSSPPLEHMKISFNPLDGFGTSKLKLQFPDGNHYHENIRDMFPSFQLVPVPAISAHGVASDSDDDTFCRSSPYMSDDCLSHCSESNSEQWESGEAPESNDPALHDALSRLSSMESVSSCLQVRGAANNGICVNGGNKKPIAPGSSAAEPSLSMPLDLPGFDVINPVLHDETESNSDQKNQVKLQNAMQLKPLPPPPPPAQWRVSKPCFNEAEERQHALSESLRHELDLKLLSSFVSQKPEPALVNQQKINDKANALQPEKKVDEEKNNGQKGVSLLSGGRGMDEKEDFLHQIRTKSFNLRPTATARPTVISGPTANVQVTAILQKANAIRQAVGSDDDDNWSDN >KJB55044 pep chromosome:Graimondii2_0_v6:9:4275458:4282962:-1 gene:B456_009G059700 transcript:KJB55044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLSRDLIFLILQFLDEEKFKETVHILQKESGLFFSMKYFEDLILGGKWEEVERYLSGFTRVDDNRYSMKIFFEIRKQKYLEALDKHDRTMAVDILAKDLKVFSSFNEDLFREITQLLTLDNFRENEQLSTYRDAKTARTIMLGELKKLIEANPLFCDKLQFPNIKTSRLRMLINQSLNWQHSLCANPRQNPDIRTLMVDHNCRNSTDPYTQLATSNQLMVSAQRTEGFIPMCANGPFQPASTSVQAPLSAWLTAPLSMNHPLVSVGGSLGGPSNLAAVSKGLVDSDMSKPRVSTVPDRIMPPGINSSQNGLQFNMTEELPRTVARTLNQGSLTTSMDFHPIQQTLLLSGTNIGEISLWEVSSREKLVSRNFQVWNIGASSMTLKAALIKDPFVSVRRILWSPDGSLFGVAYSKHMLQLYSYFEGNDIRQHLEIDSHIGAVNDLAFCNPYKQLAVITCGDDKTIKVWDVDTGANMYTFEGHEASVHSVCPHNKENVHFFFSTSVDGKIKAWLYDTMGSKVNYYAPGCSCAAMAYSADGKRLFSCGTTKEGESHMVEWNENEGTVKRTYQGFHKRSLGIVQFDTAKNRFLAVGDDYSIKFWDMENCILLMTVDAEGGLPASPRIRFNKEGSLLAVSANDNKIKILATVDGLRLMRTYETHSHIAPRPAPSNAPVKNGDSRKPEDTKPKSTEEVNPVKAAKVTEISTSAQFRSLKLSAHFEADKIGRLVYTYTGNAILALAFNAIHLLWKWPQNDLNLSGKATTKAAPQLMQPASGILMTNHLIEGNPVETVPCFALSKNDSYVMSASGGKITLFNMMTFKKMVTFMSPPPVATNLAFHPQDNNIIAIGMEDSTVYIYNVRLDEVKSKLRGHSKRITGLAFSPLLNILVSSGADAQIIVWDLNNWERKNGCYLQSPAGRTPTARSDTQIQFHQDQTQLLAVNETQLAIYDMRKMECIKQLAIGEASASISHATFSCDSQLVYTSFVDGTVRIFGASNLQLQRQINPAAYLPSNASSTVYPLVVAAHPQEPNQFAIGLTDGSVYVFEPLESEGEWDVVPQPQRIENGSTSTISTQVAALDQPKPQP >KJB61250 pep chromosome:Graimondii2_0_v6:9:43202845:43206680:-1 gene:B456_009G348100 transcript:KJB61250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNASSFLLNDSVLHSVHNYQSNEENGVIDLGLSLGTFQPQPYHPSTHLVSFEGRYSDLINWPGQPNSSHQKSSNAGYSEECQDEAEGVESKERWVYVKVNMDGVMVGRKVCMADHGGYLGLARQLEEMFGRHSLSGLRLFGVESEYWLLYKDDIGENWRNVGDVPWKEFVERVRRLRICRKKDDVHRPSF >KJB62184 pep chromosome:Graimondii2_0_v6:9:59401686:59407025:-1 gene:B456_009G405400 transcript:KJB62184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGRGFLSSSCASPTADAAKDALAADRMDMEAAEILAALAHSKKRLAVSVSAEFGAKWGCKGSRLRKRASTSTESPPSGVGSNPVQSGSDLAEDQATIGQEQSQVMSTSVVIESVVAESLNGSHPSAERYPSDGVVRSSQNVTKAEKETCRLHRMLTRKESDWQMIHERPILFSTVGIPEKDIWEDSPLNEMTGNNALIKPVKTEQNAESVRSSPAGAIKHMSGGGGRSRQNLTEAEKEARRLRRILANRESARQTIRRRQALCEKLTLKVTDLTQENENLKRAKELALKEYQSQDSTNKHLKAEMAKALKADEGKTTAELLDHHISGPSGNYPYFFYNQHHFLPFCWPSSVQSSHPVQSQCGQNAIFVPSSISSPANGRLDSSFNQENPINVNGPKTALYVVPYPWVFSLPDQRSGLHPQPSCGTKDIEDETSVSNHFNAGCHLKPVMNEKYNSSLPVEVEKEANDPIEASPNNKNCTSVRLPADVGVQCMSHIKEEVLVPGPLCSAGTTFENRTDHVVKTEEAPIGAFHFVGALPEENKESKNYTSKKVLDAVAAAEARKRRKELTKLKNLHGRHRSPLSLSAKI >KJB62186 pep chromosome:Graimondii2_0_v6:9:59401686:59407025:-1 gene:B456_009G405400 transcript:KJB62186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRYILKAPQRLVRDLFLLGRGFLSSSCASPTADAAKDALAADRMDMEAAEILAALAHSKKRLAVSVSAEFGAKWGCKGSRLRKRASTSTESPPSGVGSNPVQSGSDLAEDQATIGQEQSQVMSTSVVIESVVAESLNGSHPSAERYPSDGVVRSSQNVTKAEKETCRLHRMLTRKESDWQMIHERPILFSTVGIPEKDIWEDSPLNEMTGNNALIKPVKTEQNAESVRSSPAGAIKHMSGGGGRSRQNLTEAEKEARRLRRILANRESARQTIRRRQALCEKLTLKVTDLTQENENLKRAKELALKEYQSQDSTNKHLKAEMAKALKADEGKTTAELLDHHISGPSGNYPYFFYNQHHFLPFCWPSSVQSSHPVQSQCGQNAIFVPSSISSPANGRLDSSFNQENPINVNGPKTALYVVPYPWVFSLPDQRSGLHPQPSCGTKDIEDETSVSNHFNAGCHLKPVMNEKYNSSLPVEVEKEANDPIEASPNNKNCTSVRLPADVGVQCMSHIKEEVLVPGPLCSAGTTFENRTDHVVKTEEAPIGAFHFVGALPEENKESKNYTSKKVLDAVAAAEARKRRKELTKLKNLHGRHRSPLSLSAKI >KJB62187 pep chromosome:Graimondii2_0_v6:9:59402192:59407025:-1 gene:B456_009G405400 transcript:KJB62187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRYILKAPQRLVRDLFLLGRGFLSSSCASPTADAAKDALAADRMDMEAAEILAALAHSKKRLAVSVSAEFGAKWGCKGSRLRKRASTSTESPPSGVGSNPVQSGSDLAEQDQATIGQEQSQVMSTSVVIESVVAESLNGSHPSAERYPSDGVVRSSQNVTKAEKETCRLHRMLTRKESDWQMIHERPILFSTVGIPEKDIWEDSPLNEMTGNNALIKPVKTEQNAESVRSSPAGAIKHMSGGGGRSRQNLTEAEKEARRLRRILANRESARQTIRRRQALCEKLTLKVTDLTQENENLKRAKELALKEYQSQDSTNKHLKAEMAKALKADEGKTTAELLDHHISGPSGNYPYFFYNQHHFLPFCWPSSVQSSHPVQSQCGQNAIFVPSSISSPANGRLDSSFNQENPINVNGPKTALYVVPYPWVFSLPDQRSGLHPQPSCGTKDIEDETSVSNHFNAGCHLKPVMNEKYNSSLPVEVEKEANDPIEASPNNKNCTSVRLPADVGVQCMSHIKEEVLVPGPLCSAGTTFENRTDHVVKTEEAPIGAFHFVGALPEENKESKNYTSKKVLDAVAAAEARKRRKELTKLKNLHGRHRSPLSLSAKI >KJB62185 pep chromosome:Graimondii2_0_v6:9:59402192:59406868:-1 gene:B456_009G405400 transcript:KJB62185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGRGFLSSSCASPTADAAKDALAADRMDMEAAEILAALAHSKKRLAVSVSAEFGAKWGCKGSRLRKRASTSTESPPSGVGSNPVQSGSDLAEQDQATIGQEQSQVMSTSVVIESVVAESLNGSHPSAERYPSDGVVRSSQNVTKAEKETCRLHRMLTRKESDWQMIHERPILFSTVGIPEKDIWEDSPLNEMTGNNALIKPVKTEQNAESVRSSPAGAIKHMSGGGGRSRQNLTEAEKEARRLRRILANRESARQTIRRRQALCEKLTLKVTDLTQENENLKRAKELALKEYQSQDSTNKHLKAEMAKALKADEGKTTAELLDHHISGPSGNYPYFFYNQHHFLPFCWPSSVQSSHPVQSQCGQNAIFVPSSISSPANGRLDSSFNQENPINVNGPKTALYVVPYPWVFSLPDQRSGLHPQPSCGTKDIEDETSVSNHFNAGCHLKPVMNEKYNSSLPVEVEKEANDPIEASPNNKNCTSVRLPADVGVQCMSHIKEEVLVPGPLCSAGTTFENRTDHVVKTEEAPIGAFHFVGALPEENKESKNYTSKKVLDAVAAAEARKRRKELTKLKNLHGRHRSPLSLSAKI >KJB62859 pep chromosome:Graimondii2_0_v6:9:69326582:69330649:1 gene:B456_009G441600 transcript:KJB62859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFALDTIFILISLSSLSIVVSSSKPLNPCNGDFLHIQAYKQMQNQTNTTQCKKLSSLQAQFAWNYHKTSHNQTQLNILIGTKLIASTGWLAWGINPDLPRMVGTRAIIAVKVPNQITPSVNTYNITKDIQLGCKLQPSGIDFKVQNMTVVDDKDVGFFSVFATLVLPQRYNVSSLNHVWQVGYCVDGLEPKMHEASLGNLDSKEVLDLETGDCQNIGQHRRHMRKVHGILNIIGWGTLLPIGVIVARYFKQFPIKLDPCWYYFHYSCQVVGYILGTAGWGLGLFLGHESKYYTFHSHRVLGICIFGFTTLQILAFQFKPTQEDEYRKHWNVYHHFLGYALLVMIPVNIYKGIKLLKPDNITWKWTYNGILVLLGVVVLAFEILTWAVFLYKKCCKKTVEESSPRRVEIEEDSKGQTNEGSSPRKTEVEE >KJB58042 pep chromosome:Graimondii2_0_v6:9:14741214:14744719:1 gene:B456_009G191400 transcript:KJB58042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRHSRLQAKKLSTVTLVLSMLFMLTVVLLMLLGLGIFSLPINGDDSPPNDLTSYRRMASERGKGLGKRGEQWTEVLSWEPRAFLYHNFLSREECEYLINLAKPYMKKSTVVDSKTGQSKDSRVRTSSGMFLRRGQDKIIMDIEKRIADYTFIPVENGEGLQVLHYEVEQKYDAHFDYFLDEINTKNGGQRMATVLMYLSDVEEGGETIFPAAKGNVSAVPWWNELSECGKKGLAVKPKMGDALLFWSMRPDATLDPSSLHGGCPVISGNKWSSTKWLHVEEYKL >KJB58040 pep chromosome:Graimondii2_0_v6:9:14741210:14744719:1 gene:B456_009G191400 transcript:KJB58040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMLTVVLLMLLGLGIFSLPINGDDSPPNDLTSYRRMASERGKGLGKRGEQWTEVLSWEPRAFLYHNFLSREECEYLINLAKPYMKKSTVVDSKTGQSKDSRVRTSSGMFLRRGQDKIIMDIEKRIADYTFIPVENGEGLQVLHYEVEQKYDAHFDYFLDEINTKNGGQRMATVLMYLSDVEEGGETIFPAAKGNVSAVPWWNELSECGKKGLAVKPKMGDALLFWSMRPDATLDPSSLHGGCPVISGNKWSSTKWLHVEEYKL >KJB58043 pep chromosome:Graimondii2_0_v6:9:14741214:14743923:1 gene:B456_009G191400 transcript:KJB58043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRHSRLQAKKLSTVTLVLSMLFMLTVVLLMLLGLGIFSLPINGDDSPPNDLTSYRRMASERGKGLGKRGEQWTEVLSWEPRAFLYHNFLSREECEYLINLAKPYMKKSTVVDSKTGQSKDSRVRTSSGMFLRRGQDKIIMDIEKRIADYTFIPVENGEGLQVLHYEVEQKYDAHFDYFLDEINTKNGGQRMATVLMYLSDVEEGGETIFPAAKGNVSAVPWWNELSECGKKGLAVKPKMGDALLFWSMRPDATLDPSSLHGGCPVISGNKWSSTKWLHVEEYKL >KJB58041 pep chromosome:Graimondii2_0_v6:9:14741214:14744719:1 gene:B456_009G191400 transcript:KJB58041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRHSRLQAKKLSTVTLVLSMLFMLTVVLLMLLGLGIFSLPINGDDSPPNDLTSYRRMASERGKGLGKRGEQWTEVLSWEPRAFLYHNFLSREECEYLINLAKPYMKKSTVVDSKTGQSKDSRVRTSSGMFLRRGQDKIIMDIEKRIADYTFIPVENGEGLQVLHYEVEQKYDAHFDYFLDEINTKNGGQRMATVLMYLSDVEEGGETIFPAAKGNVSAVPWWNELSECGKKGLAVKPKMGDALLFWSMRPDATLDPSSLHGGCPVISGNKWSSTKWLHVEEYKL >KJB61762 pep chromosome:Graimondii2_0_v6:9:51374398:51375247:1 gene:B456_009G378800 transcript:KJB61762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGSADRSKEAVGMMALHEALRTVCLNSDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCKGRVTTECLEEIEGEDPVRKAFSKMFSYIIMEKGGYWVGVFIIINMYTYIQFNHSLISL >KJB62069 pep chromosome:Graimondii2_0_v6:9:57127272:57128349:-1 gene:B456_009G399600 transcript:KJB62069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSSDHSSINVAESSGVEKGKGSLIATSREQKKGFKKGLGILDFLLRLGAIISTIAAAATMGTSDETLPFFSQFFQFEASYDDLPTLMFFVIAMALVGAYLVLSLPFSLVTIVRPHAVAPRRLLFILDTVVLTLATAAGAAAAAIVYLAQNGNPNTNWLSICDQFGDFCQGVSGAVVASFFTVLVLMSLILLSAFALKKH >KJB62070 pep chromosome:Graimondii2_0_v6:9:57127664:57128349:-1 gene:B456_009G399600 transcript:KJB62070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSSDHSSINVAESSGVEKGKGSLIATSREQKKGFKKGLGILDFLLRLGAIISTIAAAATMGTSDETLPFFSQFFQFEASYDDLPTLMFFVIAMALVGAYLVLSLPFSLVTIVRPHAVAPRRLLFILDTVSIRMHVRKIPL >KJB57840 pep chromosome:Graimondii2_0_v6:9:15824358:15825786:1 gene:B456_009G204500 transcript:KJB57840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVATRPRSSTKPLTEPRAILGPAGNRVRVSDESKRRTEALKKPQRPKVPVSQSPKSVVQSNVSVDSCCSSDSSSSNSSFKTASSRKTVKQNGVKQAKPKVASTADEVVTEISPAMSGPLKRCDWITPFSDPLYTSFHDEEWGVPVHDDRKLFELLVFSQALAELSWPTVLKKREIFRYLCHYSLRTELGRDEILP >KJB57839 pep chromosome:Graimondii2_0_v6:9:15824118:15827023:1 gene:B456_009G204500 transcript:KJB57839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVATRPRSSTKPLTEPRAILGPAGNRVRVSDESKRRTEALKKPQRPKVPVSQSPKSVVQSNVSVDSCCSSDSSSSNSSFKTASSRKTVKQNGVKQAKPKVASTADEVVTEISPAMSGPLKRCDWITPFSDPLYTSFHDEEWGVPVHDDRKLFELLVFSQALAELSWPTVLKKREIFSLPKRRCYH >KJB57838 pep chromosome:Graimondii2_0_v6:9:15824118:15827023:1 gene:B456_009G204500 transcript:KJB57838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVATRPRSSTKPLTEPRAILGPAGNRVRVSDESKRRTEALKKPQRPKVPVSQSPKSVVQSNVSVDSCCSSDSSSSNSSFKTASSRKTVKQNGVKQAKPKVASTADEVVTEISPAMSGPLKRCDWITPFSDPLYTSFHDEEWGVPVHDDRKLFELLVFSQALAELSWPTVLKKREIFRYLCHYSLRTELGRDEILP >KJB57836 pep chromosome:Graimondii2_0_v6:9:15823995:15827075:1 gene:B456_009G204500 transcript:KJB57836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVATRPRSSTKPLTEPRAILGPAGNRVRVSDESKRRTEALKKPQRPKVPVSQSPKSVVQSNVSVDSCCSSDSSSSNSSFKTASSRKTVKQNGVKQAKPKVASTADEVVTEISPAMSGPLKRCDWITPFSDPLYTSFHDEEWGVPVHDDRKLFELLVFSQALAELSWPTVLKKREIFRKFFDDFDPSSMAQFTEKKMLSLKVDGCLLLSEAKLRAIVENAKLILKVQQEFGSFSSYCWGFVNHKPLRNAFRYARQVPVKTPKAEVMSKDMMRRGFCCVGPTVVYSFMQVAGIVNDHLVTCFRYQECNATVKKDIKPKIEEIERLTKDAENICLSR >KJB57837 pep chromosome:Graimondii2_0_v6:9:15824118:15826291:1 gene:B456_009G204500 transcript:KJB57837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVATRPRSSTKPLTEPRAILGPAGNRVRVSDESKRRTEALKKPQRPKVPVSQSPKSVVQSNVSVDSCCSSDSSSSNSSFKTASSRKTVKQNGVKQAKPKVASTADEVVTEISPAMSGPLKRCDWITPFSDPLYTSFHDEEWGVPVHDDRKLFELLVFSQALAELSWPTVLKKREIFRKFFDDFDPSSMAQFTEKKMLSLKVDGCLLLSEAKLRAIVENAKLILKVSSGYLIREKEWKKGEPLSQTRSIKSFIFPCFVSCLM >KJB60557 pep chromosome:Graimondii2_0_v6:9:29144551:29146843:1 gene:B456_009G312300 transcript:KJB60557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSKKSTDVIRPRSSNSKGPSFSPSSRKPKTLKSSSSLSQPSSSTSITNNSVLSSGYFDNNSSYYNSFSTQASKNLSSIRDSLPENPHIYDFSDICLATDNFRSNRFSSSSASSSWLCNLQGKQVVIFQRKMRRPIELADLVHKLSVICRSHHSSLIKLLGVSLSGNYVYLVYEYVHGVNLRDCLRNPKNPSFTVLSSWISRMQIAADIASGLDYSHHCSGLETSFTHNHIKITSIIVAEGSLMAKICHFGTAELCGEVTTDEESSKTLGRSKSKVMKIEGTRGYMAPELQFTGLVTQKCDVYAFGVVILELLSGKEALKFLVDEESGGYQRVSVIDTAREAAAGGSAGVRTWIDRRLKDSFPVDVAEKMVLVALECVEEDPKKRPDMDKVAGQVSKLYLDSKNWADNIGLPTDISISIAPRHSCLEFDVLSLWYLRSFSTCDLQ >KJB61316 pep chromosome:Graimondii2_0_v6:9:44679857:44682751:-1 gene:B456_009G3510002 transcript:KJB61316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KILLTFMQKWYEKYPVFKSKALYIAGSSFAKIKQSNDLDLILQSKQSKFNLKGLVLGNPMLRKKLDDIAKIDFFFSREMINSSLYNEIKKECNAIDENNCFFRIKTTWSAKCKNLVFEADLAAFKTDAHNYSPQKLFDVFRPPCAENELNLNLRKQVPIVSTEVNMCHPLRVQFYFNLPEVQKAFQGNRTKLSYRWQGCFTANFKYNEADKDLDMLSALKNLLQQSIPITIFSGDQDGIIPTEGTLQHLEKLAKELNIKLTKEETWSFRTKEVTFSIFSIFSIIWMH >KJB60650 pep chromosome:Graimondii2_0_v6:9:29929807:29938767:-1 gene:B456_009G317200 transcript:KJB60650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDEGLKRAAETGNIDALYSFIHHDANVFKRIDEMEFVDTPLHDAAVAGNTGFAMEMMNLKPSFARKLNQDGFSPLHLALLTGKPEMVVDFLSVGKDLIRVKGKGGFTVLHHVALDENYAHLLRRLLNVCPDCIFDLTVERQTALHIAAEKNKLEAFKAMLEWIQSAFEDDKCKRSKILNYQDKDGNTVLHLAASINHPQMIKLLIECEEVDKNKINDRGFTAMDVLQRQTVADNMESVNILNSNPLTFQKLSKLKLLRDEIKEMRDETVGVLLIVFALVLTMTYQGVLSPPGRVFQGDATASTSSNHREGKSVMKTSSFLIFYIPNGVAFLIS >KJB59202 pep chromosome:Graimondii2_0_v6:9:19623453:19627279:-1 gene:B456_009G244400 transcript:KJB59202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSKDQLLARLKELQIEFSQYEHPVVLTVEAQAKYVGNLGGALSKNLFLKDKKHRYYVVSALADTKVDLKVLSQRLGLGKGGLRMAAEEALVEILKVPLGCVTPFAVVNESARHVSLLLDQGFKTQEYCFFHPLSNDMSISLNAHGLDKFLKSIGRDPSYVDLEANPPVGKDQPPDLAAFVPSDAPILSDLPVKAPTQDSTGKHVSAASNSAAVAAKAIKPSGNAQNVKEKPVNTVQPSIPAADAGNFVEELLDRASALLLSEISEDSIKQHSGQLGAEVANNIKKCLREDLKNLAVSIIW >KJB59201 pep chromosome:Graimondii2_0_v6:9:19623020:19627279:-1 gene:B456_009G244400 transcript:KJB59201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSKDQLLARLKELQIEFSQYEHPVVLTVEAQAKYVGNLGGALSKNLFLKDKKHRYYVVSALADTKVDLKVLSQRLGLGKGGLRMAAEEALVEILKVPLGCVTPFAVVNESARHVSLLLDQGFKTQEYCFFHPLSNDMSISLNAHGLDKFLKSIGRDPSYVDLEANPPVGKDQPPDLAAFVPSDAPILSDLPVKAPTQDSTGKHVSAASNSAAVAGNLSKRIVMHHLFTFLAQLTNARGKTGCTFHRGNGEILLFFL >KJB59200 pep chromosome:Graimondii2_0_v6:9:19622827:19627324:-1 gene:B456_009G244400 transcript:KJB59200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSKDQLLARLKELQIEFSQYEHPVVLTVEAQAKYVGNLGGALSKNLFLKDKKHRYYVVSALADTKVDLKVLSQRLGLGKGGLRMAAEEALVEILKVPLGCVTPFAVVNESARHVSLLLDQGFKTQEYCFFHPLSNDMSISLNAHGLDKFLKSIGRDPSYVDLEANPPVGKDQPPDLAAFVPSDAPILSDLPVKAPTQDSTGKHVSAASNSAAVAAKAIKPSGNAQNVKEKPVNTVQPSIPAADAGNFVEELLDRASALLLSEISEDSIKQHSGQLGAEVANNIKKCLREDLKNLATIFKNTAYTEGFYAGTRYQPKRL >KJB61562 pep chromosome:Graimondii2_0_v6:9:49051163:49052824:-1 gene:B456_009G366400 transcript:KJB61562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSMALLSRKLHSVLVSSSITNTSSFAVTKTIIIPSQLLVQSNNDSNVSVISDLFKKTHNWDTLTRTLSSVQLTHSLVQQVLLQLKMPEHARSALNFFYWSAKTQNFQHQIDSYCIAIHIVVHAQQLAEAKILIQSALKTSESNSTRFCLVESLLGSYKVVDSSPLVFDLLVQAYAKLRMFEDGFEVSCYLENHGFCLNLSSFNALLHGMQKSGENAMVWKVYGHMIRKRKYPNEITVRTMIGALCKEGKLQVVVNLLDRIHGKRCSPIVIVNTNLVFKVIEEGRIEEGMELLKRMLQKNLILDTIASSFIVYTKLKLGNLESAWEVYDEMLKRDFSANSFLFSSFIKAYCERGKIQEAESMLQDMKNMGLKPYDETFNHLIEACSKAGELDAGVKHFEEMIGRGLVPSCSTFNEMVRGLCEIGDSAKANEFLTLVLDKGLSPNEVTYIHLMSGYGKQGNIQQVLKLYYEMEYRSLSPGLPVFTTLIRGLCDCGKVEEAEKYLRIMKGRSIGLSEEIYEALITGYLRNGDKTRAALLHNEMVARGMKTMKII >KJB61560 pep chromosome:Graimondii2_0_v6:9:49051163:49052284:-1 gene:B456_009G366400 transcript:KJB61560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEDGFEVSCYLENHGFCLNLSSFNALLHGMQKSGENAMVWKVYGHMIRKRKYPNEITVRTMIGALCKEGKLQVVVNLLDRIHGKRCSPIVIVNTNLVFKVIEEGRIEEGMELLKRMLQKNLILDTIASSFIVYTKLKLGNLESAWEVYDEMLKRDFSANSFLFSSFIKAYCERGKIQEAESMLQDMKNMGLKPYDETFNHLIEACSKAGELDAGVKHFEEMIGRGLVPSCSTFNEMVRGLCEIGDSAKANEFLTLVLDKGLSPNEVTYIHLMSGYGKQGNIQQVLKLYYEMEYRSLSPGLPVFTTLIRGLCDCGKVEEAEKYLRIMKGRSIGLSEEIYEALITGYLRNGDKTRAALLHNEMVARGMKTMKII >KJB61561 pep chromosome:Graimondii2_0_v6:9:49050964:49053364:-1 gene:B456_009G366400 transcript:KJB61561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIVSMFEAYAKLRMFEDGFEVSCYLENHGFCLNLSSFNALLHGMQKSGENAMVWKVYGHMIRKRKYPNEITVRTMIGALCKEGKLQVVVNLLDRIHGKRCSPIVIVNTNLVFKVIEEGRIEEGMELLKRMLQKNLILDTIASSFIVYTKLKLGNLESAWEVYDEMLKRDFSANSFLFSSFIKAYCERGKIQEAESMLQDMKNMGLKPYDETFNHLIEACSKAGELDAGVKHFEEMIGRGLVPSCSTFNEMVRGLCEIGDSAKANEFLTLVLDKGLSPNEVTYIHLMSGYGKQGNIQQVLKLYYEMEYRSLSPGLPVFTTLIRGLCDCGKVEEAEKYLRIMKGRSIGLSEEIYEALITGYLRNGDKTRAALLHNEMVARGMKTMKII >KJB57125 pep chromosome:Graimondii2_0_v6:9:11350720:11353911:-1 gene:B456_009G149500 transcript:KJB57125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENVYVEHCKGVNGLDKVILREIRGSSAEVYLDGGQVTSWKNEFREQLLFLSSKATFKPPNAIRGGIQICFPQFGTIGSLEQHGFARNRLWSVDRDPPPFPANTSHRAFVDLILRHSEEEVKIWPHRYECRLRVALGPGGDLMLTSRIRNTNTDGKSFTFTFAYHTYFSVTDISEVRVEGLETLDYLDNLKNRERFTEQGDALTFESEVSVDFHKQAYPFSWSF >KJB57126 pep chromosome:Graimondii2_0_v6:9:11349788:11354019:-1 gene:B456_009G149500 transcript:KJB57126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENVYVEHCKGVNGLDKVILREIRGSSAEVYLDGGQVTSWKNEFREQLLFLSSKATFKPPNAIRGGIQICFPQFGTIGSLEQHGFARNRLWSVDRDPPPFPANTSHRAFVDLILRHSEEEVKIWPHRYECRLRVALGPGGDLMLTSRIRNTNTDGKSFTFTFAYHTYFSVTDISASRRTRDTGLFG >KJB57124 pep chromosome:Graimondii2_0_v6:9:11349756:11354130:-1 gene:B456_009G149500 transcript:KJB57124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENVYVEHCKGVNGLDKVILREIRGSSAEVYLDGGQVTSWKNEFREQLLFLSSKATFKPPNAIRGGIQICFPQFGTIGSLEQHGFARNRLWSVDRDPPPFPANTSHRAFVDLILRHSEEEVKIWPHRYECRLRVALGPGGDLMLTSRIRNTNTDGKSFTFTFAYHTYFSVTDISEVRVEGLETLDYLDNLKNRERFTEQGDALTFESEVDKVYLSTPTKIAILDHERKRTFVLRKDGLPDAVVWNPWDKKAKAMADFGDDEYKHMLCVTAACVENPIILKPGEEWKGRLEISAVPSSYCSGQLDPRRIFRSN >KJB57530 pep chromosome:Graimondii2_0_v6:9:12960330:12963023:-1 gene:B456_009G168400 transcript:KJB57530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDRGGRGSEQGMKQSQQQDQRLKPLTGENQQQHPPQKCPRCESLNTKFCYYNNYSLSQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRTKVSSSGENSRSQPLIPQQQAAQQHSLTSPQSMISSNPMISVSAAFRTKESGSLASSSAIPSVGSYYPAGFMSSLAAVQSMNQPQPFNQPLNQALSMGGDLGGSSNLGLLQGYGVPSFGSQQHQPSQQTQFFPMVDRDQKTVNMYPSDHERLIQSSRLAAGNSSQQNWHQSFINNSDPTASEAALWSMNNNSSSSTSSAGNTNTSNTTAASLNPNQWPDLPGYGAPP >KJB57528 pep chromosome:Graimondii2_0_v6:9:12960352:12962942:-1 gene:B456_009G168400 transcript:KJB57528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDRGGRGSEQGMKQSQQQDQRLKPLTGENQQQHPPQKCPRCESLNTKFCYYNNYSLSQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRTKVSSSGENSRSQPLIPQQQAAQQHSLTSPQSMISSNPMISVSAAFRTKESGSLASSSAIPSVGSYYPAGFMSSLAAVQSMNQPQPFNQPLNQALSMGGDLGGSSNLGLLQGYGVPSFGSQQHQPSQQTQFFPMVDRDQKTVNMYPSDHERLIQSSRLAAGNSSQQNWHQSFINNSDPTASEAALWSMNNNSSSSTSSAGNTNTSNTTAASLNPNQWPDLPGYGAPP >KJB57529 pep chromosome:Graimondii2_0_v6:9:12960757:12961716:-1 gene:B456_009G168400 transcript:KJB57529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDRGGRGSEQGMKQSQQQDQRLKPLTGENQQQHPPQKCPRCESLNTKFCYYNNYSLSQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRTKVSSSGENSRSQPLIPQQQAAQQHSLTSPQSMISSNPMISVSAAFRTKESGSLASSSAIPSVGSYYPAGFMSSLAAVQSMNQPQPFNQPLNQALSMGGDLGGSSNLGLLQGYGVPSFGSQQHQPSQQTQFFPMVDRDQKTVNMYPSDHERLIQSSRLAAGNSSQQNWHQSFINNSDPTASEAALWSMNNNSSSSTSSAGNTNTSNTTAASLNPNQWPDLPGYGAPP >KJB55061 pep chromosome:Graimondii2_0_v6:9:4362857:4364152:1 gene:B456_009G060800 transcript:KJB55061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFIPTAQRAFVSAASKTLTNGSQSRTASAYSLLQFHPYATSQTHKSPFTANIIRILSNEIEYQHDYAPPHQPATSFNSFTVQDRPGEKWMTMKGKYGEYEEIKIEATMFDGCVFVPKPGEYSTGENVVLHISLLVDISKGQGYPDMEFLCSAWPDHLEIQTVYLLNRDKTIINPYMGRDLRRKKNRKLQRTLGDYLEERGVNNELCVFLHEYMMNKDRIELIQWLGNVKSIVQK >KJB55060 pep chromosome:Graimondii2_0_v6:9:4362772:4365249:1 gene:B456_009G060800 transcript:KJB55060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFIPTAQRAFVSAASKTLTNGSQSRTASAYSLLQFHPYATSQTHKSPFTANIIRILSNEIEYQHDYAPPHQPATSFNSFTVQDRPGEKWMTMKGKYGEYEEIKIEATMFDGCVFVPKPGEYSTGENVVLHISLLVDISKGQGYPDMEFLCSAWPDHLEIQTVYLLNRDKTIINPYMGRDLRRKKNRKLQRTLGDYLEERGVNNELCVFLHEYMMNKDRIELIQWLGNVKSIVQK >KJB55059 pep chromosome:Graimondii2_0_v6:9:4362772:4365249:1 gene:B456_009G060800 transcript:KJB55059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFIPTAQRAFVSAASKTLTNGSQSRTASAYSLLQFHPYATSQTHKSPFTANIIRILSNEIEYQHDYAPPHQPATSFNSFTVQDRPGEKWMTMKGKYGEYEEIKIEATMFDGCVFVPKPGEYSTGENVVLHISLLVDISKGQGYPDMEFLCSAWPDHLEIQTVYLLNRDKTIINPYMGRDLRRKKNRKLQRTLGDYLEERGVNNELCVFLHEYMMNKDRIELIQWLGNVKSIVQK >KJB55058 pep chromosome:Graimondii2_0_v6:9:4362857:4364152:1 gene:B456_009G060800 transcript:KJB55058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFIPTAQRAFVSAASKTLTNGSQSRTASAYSLLQFHPYATSQTHKSPFTANIIRILSNEIEYQHDYAPPHQPATSFNSFTVQDRPGEKWMTMKGKYGEYEEIKIEATMFDGCVFVPKPGEYSTGENVVLHISLLVDISKGQGYPDMEFLCSAWPDHLEIQTVYLLNRDKTIINPYMGRDLRRKKNRKLQRTLGDYLEERGVNNELCVFLHEYMMNKDRIELIQWLGNVKSIVQK >KJB58929 pep chromosome:Graimondii2_0_v6:9:18206753:18208754:-1 gene:B456_009G231100 transcript:KJB58929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLCCRASLLVSSNATRRRFQLLASFPTLSSSSSYLKMGCVQPLPFVRLLRSKFSTRAPDKTVPVVKMEASSNTVPSIVVYVTVPNRDAGRKLADSIVKEKLAACVNIVPGVVSVYEWEGKVNRDPEELLIIKTRQSLLPALTEHVKANHEYDVPEVIALPIGGGSAEFLEWLKNSTRE >KJB56654 pep chromosome:Graimondii2_0_v6:9:9803099:9804561:1 gene:B456_009G130100 transcript:KJB56654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGDWNCRSCQHLNFQRRDSCQRCGEFRSGDHFGSYGGGRGGSSFGFATGSDVRPGDWYCTAGNCGTHNFASRSSCFKCGAFKDDPAGGFDSDVPRSRGFGGGNRSGWKSGDWICTRSGCNEHNFASRMECFRCSAPRDFTARTSY >KJB54249 pep chromosome:Graimondii2_0_v6:9:2054679:2058795:-1 gene:B456_009G026900 transcript:KJB54249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRIIPMASSIRPSISSFIFSDGVATTRSGLSFPPLHLPRRLLFSQLGSAIPQLRFFGFKASELLNAERSRVGMPLIRNGVQARTVAAQETAVEFVKKDKRRMLHVVYRVGDLERTIKFYTGCLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVDKYDIGTAFGHFGIGVEDVAKTVELIKIKGGKVTREPGPVKGGTTVIAFVEDPDGYKFELIERWPAPEPLCKVMLHVDDLDRSIKFYEKAFGMELLHTQDNPEYKYTIAMMGYGPEEKNAVLELAYNYGVTNYKKGNGYAQIAIGTDDVYNTAEAVRLFGGIVTQEPGPLPGINTKITACLDPDGWKTVFVDNIDFLKEME >KJB61946 pep chromosome:Graimondii2_0_v6:9:54061975:54067329:-1 gene:B456_009G393500 transcript:KJB61946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIHYKLFEFAAFAAVSGNSLDTDKEVLLNLKSFLEEQNRVNRGRYTEWNEKSSNPCEWYGILCSNGEARIVGMDLSDSNISGEMFNNFSALTHLQHLDLSTNTLNGVILDDLNRCHNLVYLNLSHNILGGELMLTGLTGLEKLDLSTNRFHGEVKFSFPAICHKLVVANLSMNNFWGSTDYFDGCWNPQYLDLSSNNFSGNIWTGFATLIEFSVAENYFTGSVPASCFMENCTPSSNNLVTLNLWGNNFSGPIPLELGSISTLESLLLGNNRFSILISESLLNLKKLASLDLSNNSFGGKVHEIFGRFTQLKSLTLHGNSYTDGILSSGIHKLTNMSQLDLSYNNFSGELPVEISLMLGLKFLILGYNQFTSDIPSVYGDLSHLQALDLSFNGLSGSISPTFGRSLLWLMLANNSLTGEIPHFSSNEFNGKLPAEMGKLPLIVLNISRNEFSGQILTEIGNIRCLKNLDLSVNNFSGIFLVELGKLHDLSNFNISFNPFLAGIILATGKLSTFGKDSFLGNPLLHNLLFNFDD >KJB60805 pep chromosome:Graimondii2_0_v6:9:32630492:32631737:1 gene:B456_009G326600 transcript:KJB60805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLVCLVLFLAMTGHSSATYCLCNDGVGDQALQTTLDYACGNGADCSAIQQNGACYNPNTVKDHCNYAVNSYFQNKGQVTGSCDFAGTASVSANPPTNIPSTCTYPSSTGTTPATTPTTAGTPTSLGGGTGTAFSPTGTTTGINEPNHAVALFTSINNILFTFFITLWIVMQGCL >KJB60804 pep chromosome:Graimondii2_0_v6:9:32630406:32631956:1 gene:B456_009G326600 transcript:KJB60804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLVCLVLFLAMTGHSSATYCLCNDGVGDQALQTTLDYACGNGADCSAIQQNGACYNPNTVKDHCNYAVNSYFQNKGQVTGSCDFAGTASVSANPPTNIPSTCTYPSSSTGTTPATTPTTAGTPTSLGGGTGTAFSPTGTTTGINEPNHAVALFTSINNILFTFFITLWIVMQGCL >KJB59948 pep chromosome:Graimondii2_0_v6:9:23941346:23947075:1 gene:B456_009G282600 transcript:KJB59948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKASNCAICDNSNRASICAVCVNYRLNEYNSLLISLKSRREFLYSKLTEVLAAKGKTDDQLNWKIRQNEKLTCLKEKLRRSKEQLAQGKAKIERVSHDLKFNYGVLDSARAALEKNRVEQIKKYYPNLICTQSLGHMAITSERLHKQSVVIKQICKLFPQRRVNLEGGRKDGSSGQYDLICNARLPRGLDPHSVPSEELAASLGYMVQLLNLVVRNLAVPALHNSGFAGSCSRIWQRDSYWDACPSSRSNEYPLFIPRQNYCSASGDNSWTDRSSSNFGVASMESDKRFRLDSSGSSSFNCSSGSPHTAETHEDLQKGISLLKKTVACITAYCYNSLCLDFPTEASTFEAFSKLLATLSSTKEVRSVFSVKMACSRSSKQVQQLNKSVWNVNSAMSSSTLLESAHTLPLTKNFSDSNLRSSAASFLFATEMSDTRKNENSIDEWDLVEHPTFPPPPSETEDVEHWTRAMFIDATKQ >KJB59951 pep chromosome:Graimondii2_0_v6:9:23941524:23945759:1 gene:B456_009G282600 transcript:KJB59951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKASNCAICDNSNRASICAVCVNYRLNEYNSLLISLKSRREFLYSKLTEVLAAKGKTDDQLNWKIRQNEKLTCLKEKLRRSKEQLAQGKAKIERVSHDLKFNYGVLDSARAALEKNRVEQIKKYYPNLICTQSLGHMAITSERLHKQSVVIKQICKLFPQRRVNLEGGRKDGSSGQYDLICNARLPRGLDPHSVPSEELAASLGYMVQLLNLVVRNLAVPALHNSGFAGSCSRIWQRDSYWDACPSSRSNEYPLFIPRQNYCSASGDNSWTDRSSSNFGVASMESDKRFRLDSSGSSSFNCSSGSPHTAETHEDLQKGISLLKKTVACITAYCYNSLCLDFPTEASTFEAFSKLLATLSSTKEVRSVFSVKMACSRSSKQVQQLNKSVWNVNSAMSSSTLLESAHTLPLTV >KJB59950 pep chromosome:Graimondii2_0_v6:9:23941346:23947075:1 gene:B456_009G282600 transcript:KJB59950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKASNCAICDNSNRASICAVCVNYRLNEYNSLLISLKSRREFLYSKLTEVLAAKGKTDDQLNWKIRQNEKLTCLKEKLRRSKEQLAQGKAKIERVSHDLKFNYGVLDSARAALEKNRVEQIKKYYPNLICTQSLGHMAITSERLHKQSVVIKQICKLFPQRRVNLEGGRKDGSSGQYDLICNARLPRGLDPHSVPSEELAASLGYMVQLLNLVVRNLAVPALHNSGFAGSCSRIWQRDSYWDACPSSRSNEYPLFIPRQNYCSASGDNSWTDRSSSNFGVASMESDKRFRLDSSGSSSFNCSSGSPHTAETHEDLQKGISLLKKTVACITAYCYNSLCLDFPTEASTFEAFSKLLATLSSTKEVRSVFSVKMACSRSSKQVQQLNKSVWNVNSAMSSSTLLESAHTLPLTKNFSDSNLRSSAASFLFATEMSDTRKNENSIDEWDLVEHPTFPPPPSETEDVEHWTRAMFIDATKQ >KJB59949 pep chromosome:Graimondii2_0_v6:9:23941335:23947140:1 gene:B456_009G282600 transcript:KJB59949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKASNCAICDNSNRASICAVCVNYRLNEYNSLLISLKSRREFLYSKLTEVLAAKGKTDDQLNWKIRQNEKLTCLKEKLRRSKEQLAQGKAKIERVSHDLKFNYGVLDSARAALEKNRVEQIKKYYPNLICTQSLGHMAITSERLHKQSVVIKQICKLFPQRRVNLEGGRKDGSSGQYDLICNARLPRGLDPHSVPSEELAASLGYMVQLLNLVVRNLAVPALHNSGFAGSCSRIWQRDSYWDACPSSRSNEYPLFIPRQNYCSASGDNSWTDRSSSNFGVASMESDKRFRLDSSGSSSFNCSSGSPHTAETHEDLQKGISLLKKTVACITAYCYNSLCLDFPTEASTFEAFSKLLATLSSTKEVRSVFSVKMACSRSSKQVQQLNKSVWNVNSAMSSSTLLESAHTLPLTKNFSDSNLRSSAASFLFATEMSDTRKNENSIDEWDLVEHPTFPPPPSETEDVEHWTRAMFIDATKQ >KJB59947 pep chromosome:Graimondii2_0_v6:9:23941344:23947076:1 gene:B456_009G282600 transcript:KJB59947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKASNCAICDNSNRASICAVCVNYRLNEYNSLLISLKSRREFLYSKLTEVLAAKGKTDDQLNWKIRQNEKLTCLKEKLRRSKEQLAQGKAKIERVSHDLKFNYGVLDSARAALEKNRVEQIKKYYPNLICTQSLGHMAITSERLHKQSVVIKQICKLFPQRRVNLEGGRKDGSSGQYDLICNARLPRGLDPHSVPSEELAASLGYMVQLLNLVVRNLAVPALHNSGFAGSCSRIWQRDSYWDACPSSRSNEYPLFIPRQNYCSASGDNSWTDRSSSNFGVASMESDKRFRLDSSGSSSFNCSSGSPHTAETHEDLQKGISLLKKTVACITAYCYNSLCLDFPTEASTFEAFSKLLATLSSTKEVRSVFSVKMACSRSSKQVQQLNKSVWNVNSAMSSSTLLESAHTLPLTKNFSDSNLRSSAASFLFATEMSDTRKNENSIDEWDLVEHPTFPPPPSETEDVEHWTRAMFIDATKQ >KJB62131 pep chromosome:Graimondii2_0_v6:9:58375916:58376380:1 gene:B456_009G402300 transcript:KJB62131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLAQQQKLIRVMKIDGKILEYQPPIKVEQVLSDFPAHAISDTFSGFHHFQSDAKLLPGHLYYLVPLPSTAINKSKEKKVRFLNPEVNDDGKGSHGVVRIKLIITKQELQELIQKQGVTVQDMVSQIHKSDAIRNSGGWKWKPVLESIDEVN >KJB56645 pep chromosome:Graimondii2_0_v6:9:9741438:9745755:1 gene:B456_009G129200 transcript:KJB56645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRAWMVVEKARRIVRTAFFMVAMLASLLASSLPLLVAICDITVPFLLLSSFTCVTCYSFHEHLRRYAFKNSLTDIPLVSILRSIIISCVYSLCDGSALSHGPYLGTVTLCSFLSILVLSVKACVFTVISQIEAGADAEASPAYSIAKQRLHLKKSWGMPVLFLSSVVFALGHTVVAYRTSCRARRKLLLHRVDPEAVLSCKNVFSGFQKVPRSPTPSAGRTPKSDSETRRKPFGQSRDEGELPVRLLADLDSLFIKLQGVTIHYKLCFPGSPPRSLSSTTFLEPKVSSTPQVTPGKLKFDRQALSVLPKTQYNHLHRSYSNQFHSSSLYAPLLDGSPNSPVLSEDIPVLSLEDSIAQAETSHLNSGTLEQEIEANVQFGIVLVHGFGGGVFSWRHVMGVLARQLGCSVAAFDRPGWGLTSRPSRRDWEGKELPNPYKLETQVDLLLSFCYEMGFSSVVLVGHDDGGLLALKAAQKVQASMNSFNITIKAVVLLSVSLSREVVPAFARILLRTSLGKKHLVRPLLRTEITQVVNRRAWYDATKLTTEVLNLYKVYVLQN >KJB56646 pep chromosome:Graimondii2_0_v6:9:9741438:9747162:1 gene:B456_009G129200 transcript:KJB56646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRAWMVVEKARRIVRTAFFMVAMLASLLASSLPLLVAICDITVPFLLLSSFTCVTCYSFHEHLRRYAFKNSLTDIPLVSILRSIIISCVYSLCDGSALSHGPYLGTVTLCSFLSILVLSVKACVFTVISQIEAGADAEASPAYSIAKQRLHLKKSWGMPVLFLSSVVFALGHTVVAYRTSCRARRKLLLHRVDPEAVLSCKNVFSGFQKVPRSPTPSAGRTPKSDSETRRKPFGQSRDEGELPVRLLADLDSLFIKLQGVTIHYKLCFPGSPPRSLSSTTFLEPKVSSTPQVTPGKLKFDRQALSVLPKTQYNHLHRSYSNQFHSSSLYAPLLDGSPNSPVLSEDIPVLSLEDSIAQAETSHLNSGTLEQEIEANVQFGIVLVHGFGGGVFSWRHVMGVLARQLGCSVAAFDRPGWGLTSRPSRRDWEGKELPNPYKLETQVDLLLSFCYEMGFSSVVLVGHDDGGLLALKAAQKVQASMNSFNITIKAVVLLSVSLSREVVPAFARILLRTSLGKKHLVRPLLRTEITQVVNRRAWYDATKLTTEVLNLYKAPLCVGGWDEALHEICRLSHETILSPQNATSLLNAVEEMPVLVIAGAEDSHISLKSSQAMASKLRLVAISGCGHLPHEECPKALLAAISPFISRLLIQTRIAKSQ >KJB56644 pep chromosome:Graimondii2_0_v6:9:9741332:9747189:1 gene:B456_009G129200 transcript:KJB56644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRAWMVVEKARRIVRTAFFMVAMLASLLASSLPLLVAICDITVPFLLLSSFTCVTCYSFHEHLRRYAFKNSLTDIPLVSILRSIIISCVYSLCDGSALSHGPYLGTVTLCSFLSILVLSVKACVFTVISQIEAGADAEASPAYSIAKQRLHLKKSWGMPVLFLSSVVFALGHTVVAYRTSCRARRKLLLHRVDPEAVLSCKNVFSGFQKVPRSPTPSAGRTPKSDSETRRKPFGQSRDEGELPVRLLADLDSLFIKLQGVTIHYKLCFPGSPPRSLSSTTFLEPKVSSTPQVTPGKLKFDRQALSVLPKTQYNHLHRSYSNQFHSSSLYAPLLDGSPNSPVLSEDIPVLSLEDSIAQAETSHLNSGTLEQEIEANVQFGIVLVHGFGGGVFSWRHVMGVLARQLGCSVAAFDRPGWGLTSRPSRRDWEGKELPNPYKLETQVDLLLSFCYEMGFSSVVLVGHDDGGLLALKAAQKVQASMNSFNITIKAVVLLSVSLSREVVPAFARILLRTSLGKKHLVRPLLRTEITQVVNRRAWYDATKLTTEVLNLYKAPLCVGGWDEALHEICRLSHETILSPQNATSLLNAVEEMPVLVIAGAEDSHISLKSSQAMASKLVNSRLVAISGCGHLPHEECPKALLAAISPFISRLLIQTRIAKSQ >KJB54369 pep chromosome:Graimondii2_0_v6:9:2403094:2406375:-1 gene:B456_009G032000 transcript:KJB54369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSVWKFSERSRHHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGVGEANGTTDEAAMDQGEDKAAEEKGVPDFWLNAMKNNEVLSEEITERDEGPLKYLRDIKWYRIEEPKGFKLEFYFDTNPYFKNTVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKQITKTEDCESFFNFFNPPQVPDDDEDIDEDAAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELELDDEDEDEIDEDDDDEDEEDDDDDDDDEEEEEETKTKKKRNGRAQGDGQQGERPPECKQQ >KJB54372 pep chromosome:Graimondii2_0_v6:9:2403966:2406375:-1 gene:B456_009G032000 transcript:KJB54372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLGGVINELARADLLNDLKNKLQNLSRHHTEMLERLSPDVKKRVEVLREIQGQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGVGEANGTTDEAAMDQGEDKAAEEKGVPDFWLNAMKNNEVLSEEITERDEGPLKYLRDIKWYRIEEPKGFKLEFYFDTNPYFKNTVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKQITKTEDCESFFNFFNPPQVPDDDEDIDEDAAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELELDDEDEDEIDEDDDDEDEEDDDDDDDDEEEEEETKTKKKVWS >KJB54365 pep chromosome:Graimondii2_0_v6:9:2403094:2406375:-1 gene:B456_009G032000 transcript:KJB54365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLGGVINELARADLLNDLKNKLQNLSRHHTEMLERLSPDVKKRVEVLREIQGQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGVGEANGTTDEAAMDQGEDKAAEEKGVPDFWLNAMKNNEVLSEEITERDEGPLKYLRDIKWYRIEEPKGFKLEFYFDTNPYFKNTVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKQITKTEDCESFFNFFNPPQVPDDDEDIDEDAAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELELDDEDEDEIDEDDDDEDEEDDDDDDDDEEEEEETKTKKKEWKSTRRWAAR >KJB54366 pep chromosome:Graimondii2_0_v6:9:2403790:2406205:-1 gene:B456_009G032000 transcript:KJB54366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLGGVINELARADLLNDLKNKLQNLSRHHTEMLERLSPDVKKRVEVLREIQGQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGVGEANGTTDEAAMDQGEDKAAEEKGVPDFWLNAMKNNEVLSEEITERDEGPLKYLRDIKWYRIEEPKGFKLEFYFDTNPYFKNTVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKQITKTEDCESFFNFFNPPQVPDDDEDIDEDAAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELELDDEDEDEIDEDDDDEDEEDDDDDDDDEEEEEETKTKKKSSSAHKRNGRAQGDGQQGERPPECKQQ >KJB54367 pep chromosome:Graimondii2_0_v6:9:2403094:2406375:-1 gene:B456_009G032000 transcript:KJB54367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLGGVINELARADLLNDLKNKLQNLSRHHTEMLERLSPDVKKRVEVLREIQGQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGVGEANGTTDEAAMDQGEDKAAEEKGVPDFWLNAMKNNEVLSEEITERDEGPLKYLRDIKWYRIEEPKGFKLEFYFDTNPYFKNTVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKQITKTEDCESFFNFFNPPQVPDDDEDIDEDAAEELQNQMEQDYDIGCILFSSYS >KJB54364 pep chromosome:Graimondii2_0_v6:9:2403094:2406419:-1 gene:B456_009G032000 transcript:KJB54364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLGGVINELARADLLNDLKNKLQNLSRHHTEMLERLSPDVKKRVEVLREIQGQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGVGEANGTTDEAAMDQGEDKAAEEKGVPDFWLNAMKNNEVLSEEITERDEGPLKYLRDIKWYRIEEPKGFKLEFYFDTNPYFKNTVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKQITKTEDCESFFNFFNPPQVPDDDEDIDEDAAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELELDDEDEDEIDEDDDDEDEEDDDDDDDDEEEEEETKTKKKRNGRAQGDGQQGERPPECKQQ >KJB54371 pep chromosome:Graimondii2_0_v6:9:2401284:2406375:-1 gene:B456_009G032000 transcript:KJB54371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLGGVINELARADLLNDLKNKLQNLSRHHTEMLERLSPDVKKRVEVLREIQGQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGVGEANGTTDEAAMDQGEDKAAEEKGVPDFWLNAMKNNEVLSEEITERDEGPLKYLRDIKWYRIEEPKGFKLEFYFDTNPYFKNTVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKQITKTEDCESFFNFFNPPQVPDDDEDIDEDAAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELELDDEDEDEIDEDDDDEDEEDDDDDDDDEEEEEETKTKKKRNGRAQGDGQQGERPPECKQQ >KJB54368 pep chromosome:Graimondii2_0_v6:9:2403790:2405888:-1 gene:B456_009G032000 transcript:KJB54368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSVWKFSERSRHHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGVGEANGTTDEAAMDQGEDKAAEEKGVPDFWLNAMKNNEVLSEEITERDEGPLKYLRDIKWYRIEEPKGFKLEFYFDTNPYFKNTVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKQITKTEDCESFFNFFNPPQVPDDDEDIDEDAAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELELDDEDEDEIDEDDDDEDEEDDDDDDDDEEEEEETKTKKKRNGRAQGDGQQGERPPECKQQ >KJB54370 pep chromosome:Graimondii2_0_v6:9:2403094:2406375:-1 gene:B456_009G032000 transcript:KJB54370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSVWKFSERSRHHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGVGEANGTTDEAAMDQGEDKAAEEKGVPDFWLNAMKNNEVLSEEITERDEGPLKYLRDIKWYRIEEPKGFKLEFYFDTNPYFKNTVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKQITKTEDCESFFNFFNPPQVPDDDEDIDEDAAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELELDDEDEDEIDEDDDDEDEEDDDDDDDDEEEEEETKTKKKRNGRAQGDGQQGERPPECKQQ >KJB53657 pep chromosome:Graimondii2_0_v6:9:137258:141584:1 gene:B456_009G000800 transcript:KJB53657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPASASWKAPLKFRMPTAENLIPIRLDIEVDGQRYKDAFTWNPYDPDSEVVMFAKRTVKDLKLAPGFLPQIVQSIQSQLATFRSYEGQDMYVGDKIIPIKLDLQVNHTVIRDQFLWDLNNFDSDPEEFARTLCKDLGIEDPEVGPAVAFAIREQLYEIAIQNVTSARENRISKKGRRAAEHFTPSKASGAALDLMKLFSFRSSVVRKRKEWDYYKPVLDLLSNEEVDALEAKEERSGR >KJB53661 pep chromosome:Graimondii2_0_v6:9:137283:141584:1 gene:B456_009G000800 transcript:KJB53661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKRTVKDLKLAPGFLPQIVQSIQSQLATFRSYEGQDMYVGDKIIPIKLDLQVNHTVIRDQFLWDLNNFDSDPEEFARTLCKDLGIEDPEVGPAVAFAIREQLYEIAIQNVTSARENRISKKGRRAAEHFTPSKASGAALDLMKLFSFRSSVVRKRKEWDYYKPVLDLLSNEEVDALEAKEERSGR >KJB53659 pep chromosome:Graimondii2_0_v6:9:137258:141504:1 gene:B456_009G000800 transcript:KJB53659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPASASWKAPLKFRMLDIEVDGQRYKDAFTWNPYDPDSEVVMFAKRTVKDLKLAPGFLPQIVQSIQSQLATFRSYEGQDMYVGDKIIPIKLDLQVNHTVIRDQFLWDLNNFDSDPEEFARTLCKDLGIEDPEVGPAVAFAIREQLYEIAIQNVTSARENRISKKGRRAAEHFTPSKASGAALDLMKLFSFRSSVVRKRKEWDYYKPVLDLLSNEEVDALEAKEERSGR >KJB53660 pep chromosome:Graimondii2_0_v6:9:136216:141608:1 gene:B456_009G000800 transcript:KJB53660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPASASWKAPLKFRMPTAENLIPIRLDIEVDGQRYKDAFTWNPYDPDSEVVMFAKRTVKDLKLAPGFLPQIVQSIQSQLATFRSYEGQDMYVGDKIIPIKLDLQVNHTVIRDQFLWDLNNFDSDPEEFARTLCKDLGIEDPEVGPAVAFAIREQLYEIAIQNVTSARENRISKKGRRAAEHFTPSKASGAALDLMKLFSFRSSVVRKRKEWDYYKPVLDLLSNEEVDALEAKEERSGR >KJB53662 pep chromosome:Graimondii2_0_v6:9:137947:141504:1 gene:B456_009G000800 transcript:KJB53662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKRTVKDLKLAPGFLPQIVQSIQSQLATFRSYEGQDMYVGDKIIPIKLDLQVNHTVIRDQFLWDLNNFDSDPEEFARTLCKDLGIEDPEVGPAVAFAIREQLYEIAIQNVTSARENRISKKGRRAAEHFTPSKASGAALDLMKLFSFRSSVVRKRKEWDYYKPVLDLLSNEEVDALEAKEERSGR >KJB53658 pep chromosome:Graimondii2_0_v6:9:136383:141504:1 gene:B456_009G000800 transcript:KJB53658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPASASWKAPLKFRMPTAENLIPIRLDIEVDGQRYKDAFTWNPYDPDSEVVMFAKRTVKDLKLAPGFLPQIVQSIQLATFRSYEGQDMYVGDKIIPIKLDLQVNHTVIRDQFLWDLNNFDSDPEEFARTLCKDLGIEDPEVGPAVAFAIREQLYEIAIQNVTSARENRISKKGRRAAEHFTPSKASGAALDLMKLFSFRSSVVRKRKEWDYYKPVLDLLSNEEVDALEAKEERSGR >KJB57300 pep chromosome:Graimondii2_0_v6:9:12076130:12082121:-1 gene:B456_009G157400 transcript:KJB57300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGGGAGNNGIGKGNSGISGIPAGSRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKRDKKKESKDTVDSRSRGANNLGSRGGRSGSDRYMGRGGSSYYSSNESGPFHGKPAQKRENGTHAYAGSSSSASGVQGNNMNRRPPSHSEAGIAGHKTTTAGLVDGISSSSQPCGYQSAWLGAPGQVSMADIVKMGRPQNKASVIPNPPHQSVNNRHLAVPHSAEVHPNLRSPHGHASKVSDVTYEPDITTNQRSSPSDEWPPIDNSSAVNVNPILEAPAESGLYAEASNLPVDRINQLMKAELEEAQAVDDGPVETVDGNHVESPSISTRNIQEDDSGGSSLYDNNLHKDANSYQPQRHAFEHDEAEDGSSSVAVNMQQLNLHNDDQEASFEEDNPSVIIPNHLQVHTPDCSHLSFGSFGPAIGSAFPGSFASRPLKNNLDEAPESADISTIGHSENRNPEYYGDEHLRSNAEGNIMIRSTVNTGNYEATEDSQPAVLKQDASEAAQGNQYAFPSSASGYSYENSQQLNPAFTHPQTSTQMQNLTPFSSVMQAYTNSLQSTLLTSTVQTAREPDLPYSPFPVTQSMPTKYTNAVSSISGPTISMPEALRAASISAPQATPQTLPSASVATGPALPQHLAMHPFSQPTLPLGHFANMISYPFLPQSYTYVPSAFQQGFAGNSNYPQSLAAVLPQYKNSVSVSSLPQSAAIASGYGFGSSTNIPGGLPLNPPTAPAGTTIGYDDILSSQYKDSNHLMSLQQTENSAMWVHGPGSRTMSAVPGSNYYSFQGQNQQGGGFRQGQQPSQHFGALGYPNFYHSQTGVLGDHQQQNPMDGSLSGSQGQPSKQTQQLWQNNY >KJB57294 pep chromosome:Graimondii2_0_v6:9:12076130:12080631:-1 gene:B456_009G157400 transcript:KJB57294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFYALPTSLSCEFCSEAGIAGHKTTTAGLVDGISSSSQPCGYQSAWLGAPGQVSMADIVKMGRPQNKASVIPNPPHQSVNNRHLAVPHSAEVHPNLRSPHGHASKVSDVTYEPDITTNQRSSPSDEWPPIDNSSAVNVNPILEAPAESGLYAEASNLPVDRINQLMKAELEEAQAVDDGPVETVDGNHVESPSISTRNIQEDDSGGSSLYDNNLHKDANSYQPQRHAFEHDEAEDGSSSVAVNMQQLNLHNDDQEASFEEDNPSVIIPNHLQVHTPDCSHLSFGSFGPAIGSAFPGSFASRPLKNNLDEAPESADISTIGHSENRNPEYYGDEHLRSNAEGNIMIRSTVNTGNYEATEDSQPAVLKQDASEAAQGNQYAFPSSASGYSYENSQQLNPAFTHPQTSTQMQNLTPFSSVMQAYTNSLQSTLLTSTVQTAREPDLPYSPFPVTQSMPTKYTNAVSSISGPTISMPEALRAASISAPQATPQTLPSASVATGPALPQHLAMHPFSQPTLPLGHFANMISYPFLPQSYTYVPSAFQQGFAGNSNYPQSLAAVLPQYKNSVSVSSLPQSAAIASGYGFGSSTNIPGGLPLNPPTAPAGTTIGYDDILSSQYKDSNHLMSLQQTENSAMWVHGPGSRTMSAVPGSNYYSFQGQNQQGGGFRQGQQPSQHFGALGYPNFYHSQTGVLGDHQQQNPMDGSLSGSQGQPSKQTQQLWQNNY >KJB57298 pep chromosome:Graimondii2_0_v6:9:12076603:12081128:-1 gene:B456_009G157400 transcript:KJB57298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGSSYYSSNESGPFHGKPAQKRENGTHAYAGSSSSASGVQGNNMNRRPPSHSEAGIAGHKTTTAGLVDGISSSSQPCGYQSAWLGAPGQVSMADIVKMGRPQNKASVIPNPPHQSVNNRHLAVPHSAEVHPNLRSPHGHASKVSDVTYEPDITTNQRSSPSDEWPPIDNSSAVNVNPILEAPAESGLYAEASNLPVDRINQLMKAELEEAQAVDDGPVETVDGNHVESPSISTRNIQEDDSGGSSLYDNNLHKDANSYQPQRHAFEHDEAEDGSSSVAVNMQQLNLHNDDQEASFEEDNPSVIIPNHLQVHTPDCSHLSFGSFGPAIGSAFPGSFASRPLKNNLDEAPESADISTIGHSENRNPEYYGDEHLRSNAEGNIMIRSTVNTGNYEATEDSQPAVLKQDASEAAQGNQYAFPSSASGYSYENSQQLNPAFTHPQTSTQMQNLTPFSSVMQAYTNSLQSTLLTSTVQTAREPDLPYSPFPVTQSMPTKYTNAVSSISGPTISMPEALRAASISAPQATPQTLPSASVATGPALPQHLAMHPFSQPTLPLGHFANMISYPFLPQSYTYVPSAFQQGFAGNSNYPQSLAAVLPQYKNSVSVSSLPQSAAIASGYGFGSSTNIPGGLPLNPPTAPAGTTIGYDDILSSQYKDSNHLMSLQQTENSAMWVHGPGSRTMSAVPGSNYYSFQGQNQQGGGFRQGQQPSQHFGALGYPNFYHSQTGVLGDHQQQNPMDGSLSGSQGQPSKQTQQLWQNNY >KJB57297 pep chromosome:Graimondii2_0_v6:9:12076130:12082179:-1 gene:B456_009G157400 transcript:KJB57297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGSSYYSSNESGPFHGKPAQKRENGTHAYAGSSSSASGVQGNNMNRRPPSHSEAGIAGHKTTTAGLVDGISSSSQPCGYQSAWLGAPGQVSMADIVKMGRPQNKASVIPNPPHQSVNNRHLAVPHSAEVHPNLRSPHGHASKVSDVTYEPDITTNQRSSPSDEWPPIDNSSAVNVNPILEAPAESGLYAEASNLPVDRINQLMKAELEEAQAVDDGPVETVDGNHVESPSISTRNIQEDDSGGSSLYDNNLHKDANSYQPQRHAFEHDEAEDGSSSVAVNMQQLNLHNDDQEASFEEDNPSVIIPNHLQVHTPDCSHLSFGSFGPAIGSAFPGSFASRPLKNNLDEAPESADISTIGHSENRNPEYYGDEHLRSNAEGNIMIRSTVNTGNYEATEDSQPAVLKQDASEAAQGNQYAFPSSASGYSYENSQQLNPAFTHPQTSTQMQNLTPFSSVMQAYTNSLQSTLLTSTVQTAREPDLPYSPFPVTQSMPTKYTNAVSSISGPTISMPEALRAASISAPQATPQTLPSASVATGPALPQHLAMHPFSQPTLPLGHFANMISYPFLPQSYTYVPSAFQQGFAGNSNYPQSLAAVLPQYKNSVSVSSLPQSAAIASGYGFGSSTNIPGGLPLNPPTAPAGTTIGYDDILSSQYKDSNHLMSLQQTENSAMWVHGPGSRTMSAVPGSNYYSFQGQNQQGGGFRQGQQPSQHFGALGYPNFYHSQTGVLGDHQQQNPMDGSLSGSQGQPSKQTQQLWQNNY >KJB57299 pep chromosome:Graimondii2_0_v6:9:12076130:12082179:-1 gene:B456_009G157400 transcript:KJB57299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGSSYYSSNESGPFHGKPAQKRENGTHAYAGSSSSASGVQGNNMNRRPPSHSEAGIAGHKTTTAGLVDGISSSSQPCGYQSAWLGAPGQVSMADIVKMGRPQNKASVIPNPPHQSVNNRHLAVPHSAEVHPNLRSPHGHASKVSDVTYEPDITTNQRSSPSDEWPPIDNSSAVNVNPILEAPAESGLYAEASNLPVDRINQLMKAELEEAQAVDDGPVETVDGNHVESPSISTRNIQEDDSGGSSLYDNNLHKDANSYQPQRHAFEHDEAEDGSSSVAVNMQQLNLHNDDQEASFEEDNPSVIIPNHLQVHTPDCSHLSFGSFGPAIGSAFPGSFASRPLKNNLDEAPESADISTIGHSENRNPEYYGDEHLRSNAEGNIMIRSTVNTGNYEATEDSQPAVLKQDASEAAQGNQYAFPSSASGYSYENSQQLNPAFTHPQTSTQMQNLTPFSSVMVIIYKFIAKHFADINCSDCKGARSSIFTLPCDSVNANKIHQCSLFH >KJB57295 pep chromosome:Graimondii2_0_v6:9:12076130:12082199:-1 gene:B456_009G157400 transcript:KJB57295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGGGAGNNGIGKGNSGISGIPAGSRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKRDKKKESKDTVDSRSRGANNLGSRGGRSGSDRYMGRGGSSYYSSNESGPFHGKPAQKRENGTHAYAGSSSSASGVQGNNMNRRPPSHSEAGIAGHKTTTAGLVDGISSSSQPCGYQSAWLGAPGQVSMADIVKMGRPQNKASVIPNPPHQSVNNRHLAVPHSAEVHPNLRSPHGHASKVSDVTYEPDITTNQRSSPSDEWPPIDNSSAVNVNPILEAPAESGLYAEASNLPVDRINQLMKAELEEAQAVDDGPVETVDGNHVESPSISTRNIQEDDSGGSSLYDNNLHKDANSYQPQRHAFEHDEAEDGSSSVAVNMQQLNLHNDDQEASFEEDNPSVIIPNHLQVHTPDCSHLSFGSFGPAIGSAFPGSFASRPLKNNLDEAPESADISTIGHSENRNPEYYGDEHLRSNAEGNIMIRSTVNTGNYEATEDSQPAVLKQDASEAAQGNQYAFPSSASGYSYENSQQLNPAFTHPQTSTQMQNLTPFSSVMQAYTNSLQSTLLTSTVQTAREPDLPYSPFPVTQSMPTKYTNAVSSISGPTISMPEALRAASISAPQATPQTLPSASVATGPALPQHLAMHPFSQPTLPLGHFANMISYPFLPQSYTYVPSAFQQGFAGNSNYPQSLAAVLPQYKNSVSVSSLPQSAAIASGYGFGSSTNIPGGLPLNPPTAPAGTTIGYDDILSSQYKDSNHLMSLQQTENSAMWVHGPGSRTMSAVPGSNYYSFQGQNQQGGGFRQGQQPSQHFGALGYPNFYHSQTGVLGDHQQQNPMDGSLSGSQGQPSKQTQQLWQNNY >KJB57296 pep chromosome:Graimondii2_0_v6:9:12077116:12081128:-1 gene:B456_009G157400 transcript:KJB57296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGSSYYSSNESGPFHGKPAQKRENGTHAYAGSSSSASGVQGNNMNRRPPSHSEAGIAGHKTTTAGLVDGISSSSQPCGYQSAWLGAPGQVSMADIVKMGRPQNKASVIPNPPHQSVNNRHLAVPHSAEVHPNLRSPHGHASKVSDVTYEPDITTNQRSSPSDEWPPIDNSSAVNVNPILEAPAESGLYAEASNLPVDRINQLMKAELEEAQAVDDGPVETVDGNHVESPSISTRNIQEDDSGGSSLYDNNLHKDANSYQPQRHAFEHDEAEDGSSSVAVNMQQLNLHNDDQEASFEEDNPSVIIPNHLQVHTPDCSHLSFGSFGPAIGSAFPGSFASRPLKNNLDEAPESADISTIGHSENRNPEYYGDEHLRSNAEGNIMIRSTVNTGNYEATEDSQPAVLKQDASEAAQGNQYAFPSSASGYSYENSQQLNPAFTHPQTSTQMQNLTPFSSVMQAYTNSLQSTLLTSTVQTAREPDLPYSPFPVTQSMPTKYTNAVSSISGPTISMPEALRAASISAPQATPQTLPSASVATGPALPQHLAMHPFSQPTLPLGHFANMISYPFLPQSYTYVPSAFQQGFAGNSNYPQSLAAVLPQYKNSVSVSSLPQSAAIASGYGFGSSTNIPGGLPLNPPTAPAGTTIGYDDILSSQYKDSNHLMSLQQVINVPNNVNLN >KJB63050 pep chromosome:Graimondii2_0_v6:9:70181769:70184790:1 gene:B456_009G451100 transcript:KJB63050 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER membrane protein complex subunit 8/9 homolog [Source:Projected from Arabidopsis thaliana (AT5G55940) UniProtKB/Swiss-Prot;Acc:Q9FG71] MVAELKYEISQNAYIKLVLHALKHKTTAVNGILLGRVNPQNDSVVEITDSVPLFHSNLALLPSLEIALIMIEEHYGSKGLGIVGYFHANERFDDAELGIVAKNIGDHICRYFPQAAIFLLDNKKLEALPMGKDQSPVMQLYIRDASKNWKLAGPDGGSRLVIKEPAANAVLSDYISSEKWQDVVDFDDHLDDIKKDWLNPELFK >KJB63049 pep chromosome:Graimondii2_0_v6:9:70181804:70184883:1 gene:B456_009G451100 transcript:KJB63049 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER membrane protein complex subunit 8/9 homolog [Source:Projected from Arabidopsis thaliana (AT5G55940) UniProtKB/Swiss-Prot;Acc:Q9FG71] MVAELKYEISQNAYIKLVLHALKHKTTAVNGILLGRVNPQNDSVVEITDSVPLFHSNLALLPSLEIALIMIEEHYGSKGLGIVGYFHANERFDDAELGIVAKNIGDHICRYFPQAAIFLLDNKKLEALPMGKDQSPVMQLYIRDASKNWKLAGPDGGSRLVIKEPAANAVLSDYISSEKWQDVVDFDDHLDDIKKDWLNPELFK >KJB58984 pep chromosome:Graimondii2_0_v6:9:18459987:18461183:1 gene:B456_009G233900 transcript:KJB58984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGLEEEDSLEEADQTTEKKRRLSMNQVKALEKNFELENKLEPERKVKLAEELGLQPRQVAIWFQNRRARWKTKQLEKDYGVLKANYSALKLDYDNLEQEKQALTAELRELKAKLEENSESSHSVKEDSPKSSQQVKDQGFFDNDDDSNEIVKEESNGNAQLLISPSSSSSFQFNGYSSSSDSSNHLWFQPFDSSLILGNMYQPQLVKVEEQCVFTTEESCNFFSVDQAPTLQWYFTGQ >KJB58983 pep chromosome:Graimondii2_0_v6:9:18459497:18461266:1 gene:B456_009G233900 transcript:KJB58983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLTCSGSLDALNPFFPPKEEKNSKKKQIYSREFQAMLDGLEEEDSLEEADQTTEKKRRLSMNQVKALEKNFELENKLEPERKVKLAEELGLQPRQVAIWFQNRRARWKTKQLEKDYGVLKANYSALKLDYDNLEQEKQALTAELRELKAKLEENSESSHSVKEDSPKSSQQVKDQGFFDNDDDSNEIVKEESNGNAQLLISPSSSSSFQFNGYSSSSDSSNHLWFQPFDSSLILGNMYQPQLVKVEEQCVFTTEESCNFFSVDQAPTLQWYFTGQ >KJB59817 pep chromosome:Graimondii2_0_v6:9:22927407:22930642:-1 gene:B456_009G273900 transcript:KJB59817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELRGFNTKPSKTPQPDPTHDDASSRDDRPLLTSASNVLELEKKCAAYVRNDVYGAMGRGELLLMEKLQLGIAFVTLLPLRTILVMTVLVVYYLICRICTLFSVPNHGEGQEDYAHLGGWRRTVILRSGRFLSKTLLFLVGFYRINETHNGSKNSQENSKTAGTLQPDEPEQRPGAIVSNHISYLDILYHMSSSFPSFVAKRSVANIPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTDRVRKAHQDEYAPKILLFPEGTTTNGDYLISFKTGAFLARAPVVPVILKYPYQRFSPAWESVTGMRHVVFLLCQFVNHMEVTWLPVYYPSQLEKDDPKLYANNVRRLMASEGNLILSDIGLAEKRIYLATLNETNQRDGFTFLIEPKMTPFAVIL >KJB59822 pep chromosome:Graimondii2_0_v6:9:22926533:22930688:-1 gene:B456_009G273900 transcript:KJB59822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELRGFNTKPSKTPQPDPTHDDASSRDDRPLLTSASNVLELEKKCAAYVRNDVYGAMGRGELLLMEKLQLGIAFVTLLPLRTILVMTVLVVYYLICRICTLFSVPNHGEGQEDYAHLGGWRRTVILRSGRFLSKTLLFLVGFYRINETHNGSKNSQENSKTAGTLQPDEPEQRPGAIVSNHISYLDILYHMSSSFPSFVAKRSVANIPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTDRVRKAHQDEYAPKILLFPEGTTTNGDYLISFKTGAFLARAPVVPVILKYPYQRFSPAWESVTGGNLILSDIGLAEKRIYLATLNGNNSMPSVLRQKDD >KJB59821 pep chromosome:Graimondii2_0_v6:9:22927555:22930642:-1 gene:B456_009G273900 transcript:KJB59821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELRGFNTKPSKTPQPDPTHDDASSRDDRPLLTSASNVLELEKKCAAYVRNDVYGAMGRGELLLMEKLQLGIAFVTLLPLRTILVMTVLVVYYLICRICTLFSVPNHGEGQEDYAHLGGWRRTVILRSGRFLSKTLLFLVGFYRINETHNGSKNSQENSKTAGTLQPDEPEQRPGAIVSNHISYLDILYHMSSSFPSFVAKRSVANIPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTDRVRKAHQDEYAPKILLFPEGTTTNGDYLISFKTGAFLARAPVVPVILKYPYQRFSPAWESVTGMRHVVFLLCQFVNHMEVTWLPVYYPSQLEKDDPKLYANNVRRLMASEGNLILSDIGLAEKRIYLATLNGNNSMPSVLRQKDD >KJB59818 pep chromosome:Graimondii2_0_v6:9:22926303:22930920:-1 gene:B456_009G273900 transcript:KJB59818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELRGFNTKPSKTPQPDPTHDDASSRDDRPLLTSASNVLELEKKCAAYVRNDVYGAMGRGELLLMEKLQLGIAFVTLLPLRTILVMTVLVVYYLICRICTLFSVPNHGEGQEDYAHLGGWRRTVILRSGRFLSKTLLFLVGFYRINETHNGSKNSQENSKTAGTLQPDEPEQRPGAIVSNHISYLDILYHMSSSFPSFVAKRSVANIPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTDRVRKAHQDEYAPKILLFPEGTTTNGDYLISFKTGAFLARAPVVPVILKYPYQRFSPAWESVTGGNLILSDIGLAEKRIYLATLNETNQRDGFTFLIEPKMTPFAVIL >KJB59819 pep chromosome:Graimondii2_0_v6:9:22927555:22930642:-1 gene:B456_009G273900 transcript:KJB59819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELRGFNTKPSKTPQPDPTHDDASSRDDRPLLTSASNVLELEKKCAAYVRNDVYGAMGRGELLLMEKLQLGIAFVTLLPLRTILVMTVLVVYYLICRICTLFSVPNHGEGQEDYAHLGGWRRTVILRSGRFLSKTLLFLVGFYRINETHNGSKNSQENSKTAGTLQPDEPEQRPGAIVSNHISYLDILYHMSSSFPSFVAKRSVANIPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTDRVRKAHQDEYAPKILLFPEGTTTNGDYLISFKTGAFLARAPVVPVILKYPYQRFSPAWESVTGGNLILSDIGLAEKRIYLATLNGNNSMPSVLRQKDD >KJB59814 pep chromosome:Graimondii2_0_v6:9:22926303:22930912:-1 gene:B456_009G273900 transcript:KJB59814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELRGFNTKPSKTPQPDPTHDDASSRDDRPLLTSASNVLELEKKCAAYVRNDVYGAMGRGELLLMEKLQLGIAFVTLLPLRTILVMTVLVVYYLICRICTLFSVPNHGEGQEDYAHLGGWRRTVILRSGRFLSKTLLFLVGFYRINETHNGSKNSQENSKTAGTLQPDEPEQRPGAIVSNHISYLDILYHMSSSFPSFVAKRSVANIPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTDRVRKAHQDEYAPKILLFPEGTTTNGDYLISFKTGAFLARAPVVPVILKYPYQRFSPAWESVTGMRHVVFLLCQFVNHMEVTWLPVYYPSQLEKDDPKLYANNVRRLMASEGNLILSDIGLAEKRIYLATLNETNQRDGFTFLIEPKMTPFAVIL >KJB59816 pep chromosome:Graimondii2_0_v6:9:22927407:22930642:-1 gene:B456_009G273900 transcript:KJB59816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELRGFNTKPSKTPQPDPTHDDASSRDDRPLLTSASNVLELEKKCAAYVRNDVYGAMGRGELLLMEKLQLGIAFVTLLPLRTILVMTVLVVYYLICRICTLFSVPNHGEGQEDYAHLGGWRRTVILRSGRFLSKTLLFLVGFYRINETHNGSKNSQENSKTAGTLQPDEPEQRPGAIRSVANIPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTDRVRKAHQDEYAPKILLFPEGTTTNGDYLISFKTGAFLARAPVVPVILKYPYQRFSPAWESVTGMRHVVFLLCQFVNHMEVTWLPVYYPSQLEKDDPKLYANNVRRLMASEGNLILSDIGLAEKRIYLATLNETNQRDGFTFLIEPKMTPFAVIL >KJB59823 pep chromosome:Graimondii2_0_v6:9:22928303:22930688:-1 gene:B456_009G273900 transcript:KJB59823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELRGFNTKPSKTPQPDPTHDDASSRDDRPLLTSASNVLELEKKCAAYVRNDVYGAMGRGELLLMEKLQLGIAFVTLLPLRTILVMTVLVVYYLICRICTLFSVPNHGEGQEDYAHLGGWRRTVILRSGRFLSKTLLFLVGFYRINETHNGSKNSQENSKTAGTLQPDEPEQRPGAIVSNHISYLDILYHMSSSFPSFVAKRSVANIPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTDRVRKAHQDEYAPKILLFPEGTTTNGDYLISFKTGAFLARAPVVPVILKYPYQRFSPAWESVTGVSIAYANSRCNCKFDNKSYLFPNFCS >KJB59815 pep chromosome:Graimondii2_0_v6:9:22926388:22930920:-1 gene:B456_009G273900 transcript:KJB59815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELRGFNTKPSKTPQPDPTHDDASSRDDRPLLTSASNVLELEKKCAAYVRNDVYGAMGRGELLLMEKLQLGIAFVTLLPLRTILVMTVLVVYYLICRICTLFSVPNHGEGQEDYAHLGGWRRTVILRSGRFLSKTLLFLVGFYRINETHNGSKNSQENSKTAGTLQPDEPEQRPGAIVSNHISYLDILYHMSSSFPSFVAKRSVANIPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTDRVRKAHQDEYAPKILLFPEGTTTNGDYLISFKTGAFLARAPVVPVILKYPYQRFSPAWESVTGGNLILSDIGLAEKRIYLATLNETNQRDGFTFLIEPKMTPFAVIL >KJB59820 pep chromosome:Graimondii2_0_v6:9:22926388:22930920:-1 gene:B456_009G273900 transcript:KJB59820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELRGFNTKPSKTPQPDPTHDDASSRDDRPLLTSASNVLELEKKCAAYVRNDVYGAMGRGELLLMEKLQLGIAFVTLLPLRTILVMTVLVVYYLICRICTLFSVPNHGEGQEDYAHLGGWRRTVILRSGRFLSKTLLFLVGFYRINETHNGSKNSQENSKTAGTLQPDEPEQRPGAIRSVANIPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTDRVRKAHQDEYAPKILLFPEGTTTNGDYLISFKTGAFLARAPVVPVILKYPYQRFSPAWESVTGGNLILSDIGLAEKRIYLATLNETNQRDGFTFLIEPKMTPFAVIL >KJB60274 pep chromosome:Graimondii2_0_v6:9:26015598:26018856:-1 gene:B456_009G297500 transcript:KJB60274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKLGFRKALETRLKKSQVAAKKKAISIFTAMSVAHVDDEELTPGEVRNIEKALPRGDIYTGQWCDNLPHGDGKYLWTDGCMYVGEWYKGKMTGKGKFSWPSGATYEGEFKSGFMDGKGTYTGCSGDTYKGSWVMNFKQGEGAHSFSSGDRYEGEWRRGLPDGQGRYQWKNGNHYMGQWRNGLMHGNGTMIWSNGNRYDGSWEDGFPRGNGNFKWPDGSLYVGIWTKDGKDQNGTYYPAGSPSENLDWDPQQVFLEDLKDAKICTGENVSILPSQKMPNWSAMAKRSEGRQRRMVERKSKNLSYGSDITDVSTAGEGDSKVEDVVVRKPNPENSDIRQSRPQFKKIMKKQGQTISKGHKHYDLMLNLQLGIRHSVGRPGPVVSLDLRPSAFDPKEKVWTKFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFNVDAADYMLSICGNDALRELSSPGKSGMFFYLTNDDRYMIKTMKKAEVKVLIRMLPAYYNHVRSFQNTLVTKFFGLHCVKLTGTSQKKVRFVIMENLFCTHYAIHRRFDLKGSSHGRTSSKPESEVDPTTTLKDLDLNYIFRLQKLWFQEFCRQVDRDCDFLEQERIMDYSLLIGFHFREVSSPCGSDVPTPTGNQDPLTEDAPRLSQASKDQFPSDRWSSVRLGTNMPARAEKTVRKSDSDSQLVGEPTGVFYDVILFFGIIDILQDYDITKKLEHAYKSMQYDPTSISAVDPKQYSKRFRDFIFKVFVEDT >KJB60276 pep chromosome:Graimondii2_0_v6:9:26014852:26018856:-1 gene:B456_009G297500 transcript:KJB60276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKLGFRKALETRLKKSQVAAKKKAISIFTAMSVAHVDDEELTPGEVRNIEKALPRGDIYTGQWCDNLPHGDGKYLWTDGCMYVGEWYKGKMTGKGKFSWPSGATYEGEFKSGFMDGKGTYTGCSGDTYKGSWVMNFKQGEGAHSFSSGDRYEGEWRRGLPDGQGRYQWKNGNHYMGQWRNGLMHGNGTMIWSNGNRYDGSWEDGFPRGNGNFKWPDGSLYVGIWTKDGKDQNGTYYPAGSPSENLDWDPQQVFLEDLKDAKICTGENVSILPSQKMPNWSAMAKRSEGRQRRMVERKSKNLSYGSDITDVSTAGEGDSKVEDVVVRKPNPENSDIRQSRPQFKKIMKKQGQTISKGHKHYDLMLNLQLGIRHSVGRPGPVVSLDLRPSAFDPKEKVWTKFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFNVDAADYMLSICGNDALRELSSPGKSGMFFYLTNDDRYMIKTMKKAEVKVLIRMLPAYYNHVRSFQNTLVTKFFGLHCVKLTGTSQKKVRFVIMENLFCTHYAIHRRFDLKGSSHGRTSSKPESEVDPTTTLKDLDLNYIFRLQKLWFQEFCRQVDRDCDFLEQERIMDYSLLIGFHFREVSSPCGSDVPTPTGNQDPLTEDAPRLSQASKDQFPSDRWSSVRLGTNMPARAEKTVRKSDSDSQLVGEPTGVFYDVILFFGIIDILQDYDITKKLEHAYKSMQYDPTSISAVDPKQYSKRFRDFIFKVFVEDT >KJB60275 pep chromosome:Graimondii2_0_v6:9:26015598:26018856:-1 gene:B456_009G297500 transcript:KJB60275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKLGFRKALETRLKKSQVAAKKKAISIFTAMSVAHVDDEELTPGEVRNIEKALPRGDIYTGQWCDNLPHGDGKYLWTDGCMYVGEWYKGKMTGKGKFSWPSGATYEGEFKSGFMDGKGTYTGCSGDTYKGSWVMNFKQGEGAHSFSSGDRYEGEWRRGLPDGQGRYQWKNGNHYMGQWRNGLMHGNGTMIWSNGNRYDGSWEDGFPRGNGNFKWPDGSLYVGIWTKDGKDQNGTYYPAGSPSENLDWDPQQVFLEDLKDAKICTGENVSILPSQKMPNWSAMAKRSEGRQRRMVERKSKNLSYGSDITDVSTAGEGDSKVEDVVVRKPNPENSDIRQSRPQFKKIMKKQGQTISKGHKHYDLMLNLQLGIRHSVGRPGPVVSLDLRPSAFDPKEKVWTKFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFNVDAADYMLSICGNDALRELSSPGKSGMFFYLTNDDRYMIKTMKKAEVKVLIRMLPAYYNHVRSFQNTLVTKFFGLHCVKLTGTSQKKVRFVIMENLFCTHYAIHRRFDLKGSSHGRTSSKPESEVDPTTTLKDLDLNYIFRLQKLWFQEFCRQVDRDCDFLEQERIMDYSLLIGFHFREVSSPCGSDVPTPTGNQDPLTEDAPRLSQASKDQFPSDRWSSVRLGTNMPARAEKTVRKSDSDSQLVGEPTGVFYDVILFFGIIDILQDYDITKKLEHAYKSMQYDPTSISAVDPKQYSKRFRDFIFKVFVEDT >KJB53479 pep chromosome:Graimondii2_0_v6:9:19218202:19219048:-1 gene:B456_009G240300 transcript:KJB53479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVHGARGNGSYCDVGVYSAGLLLAVGVCLFFWGCTVLLVWALLGLVFGFLAKPKLAYYICNDNDHIVNFLLSMLEITIMKIR >KJB59308 pep chromosome:Graimondii2_0_v6:9:20099625:20106930:-1 gene:B456_009G248800 transcript:KJB59308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVCHMAVFDIERLSPVTGLSSSFIFHRKSKVFGFGFGFGLKRKVAIRKWPKLKAKAELSKSFSVNLGLDSQTAQSHDVSQLRWIGPVPGDIAEVEAYYCEIEFWRGGQVTEEGLKWLIDRGFKTIVDLRAETVKDNFYQSALDDAILSGKVELVKIPVEVGTAPSMEQVEKFASLVSDCNKKPVYLHSKEGVWRTSAMVSRWQQYMTRFASVSNQSASPSDALPLDANGSGTLRPSSSKEEKFKLQETNKLLQESSILICSSNGEHLKGAFSDSEKEDHRIGEANIDPVPSQVMTSGEAVDNENGAKINIYENANPLQAQFPPCNVFSRKEMSKFLRSKKISPPMHFNPQLKRLEIQPVSGEISIGGTWGSEVVPANTKSGLVETESSNGVFSAKNQAQENKNLAAANEKRMNGTSYASSSLNVNGFVEGERYSMTETKVATLDGSSDGHVTSNSFSKIQKSNGNASSYSSDDELVSIQGNMCASATGVVRVQSRKKAEMFLVRTDGFSCAREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMKEAKEVASFLYYQEKMNVLVEPEVHDIFARIPGFGFVQTFYTQDTSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFEDYRQDLKQVIHGNNTAEGVYITLRMRLRCEIFRNGKAVPGKIFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGHSVRISMSQHPLPTVNKCDQTGDWFHSLIRCLNWNERMDQKAL >KJB59306 pep chromosome:Graimondii2_0_v6:9:20099625:20106920:-1 gene:B456_009G248800 transcript:KJB59306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVCHMAVFDIERLSPVTGLSSSFIFHRKSKVFGFGFGFGLKRKVAIRKWPKLKAKAELSKSFSVNLGLDSQTAQSHDVSQLRWIGPVPGDIAEVEAYCRIFRAAERLHTALMETLCNPLTGECSVSYDFTPEEKPVAEDKIVSVLGCMLSLLNKGREDVLSGRVSVMNTFRMADLRVMEDKLPPLALFRSEMKRCCESLHVALENYLTPDDFRSLHVWRKLQRLKNACYDLGFPRKDNHPCHTLFANWQSVCWSTSKEEVESKDCEIEFWRGGQVTEEGLKWLIDRGFKTIVDLRAETVKDNFYQSALDDAILSGKVELVKIPVEVGTAPSMEQVEKFASLVSDCNKKPVYLHSKEGVWRTSAMVSRWQQYMTRFASVSNQSASPSDALPLDANGSGTLRPSSSKEEKFKLQETNKLLQESSILICSSNGEHLKGAFSDSEKEDHRIGEANIDPVPSQVMTSGEAVDNENGAKINIYENANPLQAQFPPCNVFSRKEMSKFLRSKKISPPMHFNPQLKRLEIQPVSGEISIGGTWGSEVVPANTKSGLVETESSNGVFSAKNQAQENKNLAAANEKRMNGTSYASSSLNVNGFVEGERYSMTETKVATLDGSSDGHVTSNSFSKIQKSNGNASSYSSDDELVSIQGNMCASATGVVRVQSRKKAEMFLVRTDGFSCAREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMKEAKEVASFLYYQEKMNVLVEPEVHDIFARIPGFGFVQTFYTQDTSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFEDYRQDLKQVIHGNNTAEGVYITLRMRLRCEIFRNGKAVPGKIFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGHSVRISMSQHPLPTVNKCDQTGDWFHSLIRCLNWNERMDQKAL >KJB59307 pep chromosome:Graimondii2_0_v6:9:20099898:20106660:-1 gene:B456_009G248800 transcript:KJB59307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVCHMAVFDIERLSPVTGLSSSFIFHRKSKVFGFGFGFGLKRKVAIRKWPKLKAKAELSKSFSVNLGLDSQKTAQSHDVSQLRWIGPVPGDIAEVEAYCRIFRAAERLHTALMETLCNPLTGECSVSYDFTPEEKPVAEDKIVSVLGCMLSLLNKGREDVLSGRVSVMNTFRMADLRVMEDKLPPLALFRSEMKRCCESLHVALENYLTPDDFRSLHVWRKLQRLKNACYDLGFPRKDNHPCHTLFANWQSVCWSTSKEEVESKDCEIEFWRGGQVTEEGLKWLIDRGFKTIVDLRAETVKDNFYQSALDDAILSGKVELVKIPVEVGTAPSMEQVEKFASLVSDCNKKPVYLHSKEGVWRTSAMVSRWQQYMTRFASVSNQSASPSDALPLDANGSGTLRPSSSKEEKFKLQETNKLLQESSILICSSNGEHLKGAFSDSEKEDHRIGEANIDPVPSQVMTSGEAVDNENGAKINIYENANPLQAQFPPCNVFSRKEMSKFLRSKKISPPMHFNPQLKRLEIQPVSGEISIGGTWGSEVVPANTKSGLVETESSNGVFSAKNQAQENKNLAAANEKRMNGTSYASSSLNVNGFVEGERYSMTETKVATLDGSSDGHVTSNSFSKIQKSNGNASSYSSDDELVSIQGNMCASATGVVRVQSRKKAEMFLVRTDGFSCAREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMKEAKEVASFLYYQEKMNVLVEPEVHDIFARIPGFGFVQTFYTQDTSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFEDYRQDLKQVIHGNNTAEGVYITLRMRLRCEIFRNGKAVPGKIFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGHSVRISMSQHPLPTVNKCDQTGDWFHSLIRCLNWNERMDQKAL >KJB59305 pep chromosome:Graimondii2_0_v6:9:20099625:20105130:-1 gene:B456_009G248800 transcript:KJB59305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVEKFASLVSDCNKKPVYLHSKEGVWRTSAMVSRWQQYMTRFASVSNQSASPSDALPLDANGSGTLRPSSSKEEKFKLQETNKLLQESSILICSSNGEHLKGAFSDSEKEDHRIGEANIDPVPSQVMTSGEAVDNENGAKINIYENANPLQAQFPPCNVFSRKEMSKFLRSKKISPPMHFNPQLKRLEIQPVSGEISIGGTWGSEVVPANTKSGLVETESSNGVFSAKNQAQENKNLAAANEKRMNGTSYASSSLNVNGFVEGERYSMTETKVATLDGSSDGHVTSNSFSKIQKSNGNASSYSSDDELVSIQGNMCASATGVVRVQSRKKAEMFLVRTDGFSCAREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMKEAKEVASFLYYQEKMNVLVEPEVHDIFARIPGFGFVQTFYTQDTSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFEDYRQDLKQVIHGNNTAEGVYITLRMRLRCEIFRNGKAVPGKIFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGHSVRISMSQHPLPTVNKCDQTGDWFHSLIRCLNWNERMDQKAL >KJB59309 pep chromosome:Graimondii2_0_v6:9:20099898:20106660:-1 gene:B456_009G248800 transcript:KJB59309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVCHMAVFDIERLSPVTGLSSSFIFHRKSKVFGFGFGFGLKRKVAIRKWPKLKAKAELSKSFSVNLGLDSQKTAQSHDVSQLRWIGPVPGDIAEVEAYYCEIEFWRGGQVTEEGLKWLIDRGFKTIVDLRAETVKDNFYQSALDDAILSGKVELVKIPVEVGTAPSMEQVEKFASLVSDCNKKPVYLHSKEGVWRTSAMVSRWQQYMTRFASVSNQSASPSDALPLDANGSGTLRPSSSKEEKFKLQETNKLLQESSILICSSNGEHLKGAFSDSEKEDHRIGEANIDPVPSQVMTSGEAVDNENGAKINIYENANPLQAQFPPCNVFSRKEMSKFLRSKKISPPMHFNPQLKRLEIQPVSGEISIGGTWGSEVVPANTKSGLVETESSNGVFSAKNQAQENKNLAAANEKRMNGTSYASSSLNVNGFVEGERYSMTETKVATLDGSSDGHVTSNSFSKIQKSNGNASSYSSDDELVSIQGNMCASATGVVRVQSRKKAEMFLVRTDGFSCAREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMKEAKEVASFLYYQEKMNVLVEPEVHDIFARIPGFGFVQTFYTQDTSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFEDYRQDLKQVIHGNNTAEGVYITLRMRLRCEIFRNGKAVPGKIFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGHSVRISMSQHPLPTVNKCDQTGDWFHSLIRCLNWNERMDQKAL >KJB59004 pep chromosome:Graimondii2_0_v6:9:18550471:18551719:-1 gene:B456_009G235000 transcript:KJB59004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNSSILPTNSILQSQPSTPFSKAKPLSVLRISAVHSSDSPKNPVVSDKSVKQPATAPLAATKSATAPTTAPPKNAVPGKWTVDSWKSKKALQLPEYPDQAELESVLKTLDAFPPIVFAGEARSLEEKLGEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKNGVKLPSYRGDNVNGDSFDEKSRIPDPQRMIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDR >KJB59002 pep chromosome:Graimondii2_0_v6:9:18549951:18551664:-1 gene:B456_009G235000 transcript:KJB59002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNSSILPTNSILQSQPSTPFSKAKPLSVLRISAVHSSDSPKNPVVSDKSVKQPATAPLAATKSATAPTTAPPKNAVPGKWTVDSWKSKKALQLPEYPDQAELESVLKTLDAFPPIVFAGEARSLEEKLGEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKNGVKLPSYRGDNVNGDSFDEKSRIPDPQRMIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYRELASRVDEALGFMAAAGLTVDHPIMTTTEFWTSHECLLLPYEQSLTRLDSTSGRYYDCSAHFLWAGERTRQLDGAHVEFLRGVANPLGIKVMTPWSNVSK >KJB59001 pep chromosome:Graimondii2_0_v6:9:18547795:18551719:-1 gene:B456_009G235000 transcript:KJB59001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNSSILPTNSILQSQPSTPFSKAKPLSVLRISAVHSSDSPKNPVVSDKSVKQPATAPLAATKSATAPTTAPPKNAVPGKWTVDSWKSKKALQLPEYPDQAELESVLKTLDAFPPIVFAGEARSLEEKLGEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKNGVKLPSYRGDNVNGDSFDEKSRIPDPQRMIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRLK >KJB59000 pep chromosome:Graimondii2_0_v6:9:18547747:18551797:-1 gene:B456_009G235000 transcript:KJB59000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNSSILPTNSILQSQPSTPFSKAKPLSVLRISAVHSSDSPKNPVVSDKSVKQPATAPLAATKSATAPTTAPPKNAVPGKWTVDSWKSKKALQLPEYPDQAELESVLKTLDAFPPIVFAGEARSLEEKLGEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKNGVKLPSYRGDNVNGDSFDEKSRIPDPQRMIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYRELASRVDEALGFMAAAGLTVDHPIMTTTEFWTSHECLLLPYEQSLTRLDSTSGRYYDCSAHFLWAGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPNELVKLIEILNPQNKAGRITVITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDSIRAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLELAFIIAERLRKRRINSPQLQPLITGL >KJB59003 pep chromosome:Graimondii2_0_v6:9:18547795:18551719:-1 gene:B456_009G235000 transcript:KJB59003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNSSILPTNSILQSQPSTPFSKAKPLSVLRISAVHSSDSPKNPVVSDKSVKQPATAPLAATKSATAPTTAPPKNAVPGKWTVDSWKSKKALQLPEYPDQAELDLEEKLGEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKNGVKLPSYRGDNVNGDSFDEKSRIPDPQRMIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYRELASRVDEALGFMAAAGLTVDHPIMTTTEFWTSHECLLLPYEQSLTRLDSTSGRYYDCSAHFLWAGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPNELVKLIEILNPQNKAGRITVITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDSIRAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLELAFIIAERLRKRRINSPQLQPLITGL >KJB55486 pep chromosome:Graimondii2_0_v6:9:5653527:5657863:-1 gene:B456_009G078600 transcript:KJB55486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MSGEGEASSTRSLEETPTWAVAVVCLGLVLISIIIEHIIHMIGKWLTKKHKRALYEALEKIKSELMLLGFISLLLTVGEGSITSICVSEKVGSTWHPCHNDRQEIADEPPSEEDTSDNNEHRRRLLMMSDSVRVFRRSLAGKSEDKCADKGKVQFISYEALDQLHYFIFVLAVFHVIYCILTLLLGRAKMRKWKRWERETRTIEYQYSHDPERFRFARETSFGRRHLSFWTKNPILLWIVCFFRQFIRSVPKVDYLTLRHGFIMAHLAPQSQNHFNFQKYINRSLEEDFSVVVGISPPIWFLAVLFLLFNTHGWYSYLWLPFLPLIVVLLVGTKLQVIITKMGLRIQERGEVVKGVPVVELGDHLFWFNRPSLILFLINFVLFQNAFQLAFFVYTWYEFGIHSCFHEHVEDLVISISMGVIVQILCSYVTLPLYALVTQMGSNMKPTIFNERVATALRNWHHTARKHVRNKGSTTVTPFSSIPNTPSHHTSPIHLLKHYRGEMDSVHTSPNRSSFDIESSETDSPYTSHHPYYDDGSTSHNPNMAEPVQGGTDKDINEASSSEEREPEHHAINILPKEFSFDRRTNI >KJB57893 pep chromosome:Graimondii2_0_v6:9:14259960:14262984:1 gene:B456_009G185300 transcript:KJB57893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKVKVRYIVLNLLWVSVFSPIFIYTYVVGTFFDPSFSRLDFLDDVAAFTLSGDTSHLHVVPLETSTALKKPVGVVYSDHSANSFPNEMTEHKSTRVLSAASEERQPLLNNPITRAYESQLLAIRLEEQHTLPPGKIEQKEHSVNKSDQMTEAADAQVRRLKDLLIRAKVYISLPAIKSNSRLTRELRLRIKELSRAIGDAAKDTDLPKSAFEKLKAMEQSLEKGKQIQDNCGASIKRLRAMLHSTEDQLRVHKKKTTFLAQLTAKTLPKGLHCLPLRLTTEYYTLNSSQQNFLDEDKLEDPRLYHYALFSDNILAAAVVVNSTISHVKHPSKHVFHIVTDRLNYAAMRMWFLCNSPGKVTIQVQNIEEFTWLNSSYSPVLKQLGSPSIRDYYFRARRSNSVSNLKYRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDVVVQKDLSDLWSLDLKGNVNGAVETCGESFHRFDRYFNFSHPLISKNFDPHACGWAYGMNIFDLVEWRRQNITEVYHRWQKLVSNV >KJB62437 pep chromosome:Graimondii2_0_v6:9:64539437:64542115:1 gene:B456_009G416900 transcript:KJB62437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSASSLILPSLKPKTISLPNPTPISLSPFSLSHRLHAKPLFSSALQSFTLLTKKSSSFPSNFVRNVSVSSEYGQEEGLFGSDDEEATSFSPDLKLFVGNLPFSVDSAQLAGLFGSAGNVQMVEVIYDKVTGRSRGFGFVTMSTTEEVEAAAQQFNGYELEGRALRVNSGPPPPRREEFSPRARGGSTMGASNRVYVGNLSWGVDDLALETLFSEQGKVVEAKVVYDRESGRSRGFGFVTYNSSEEVDSAVKTFNGVELDGRPIRVSVAESRPRRQF >KJB62439 pep chromosome:Graimondii2_0_v6:9:64539477:64542115:1 gene:B456_009G416900 transcript:KJB62439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSASSLILPSLKPKTISLPNPTPISLSPFSLSHRLHAKPLFSSALQSFTLLTKKSSSFPSNFVRNVSVSSEYGQEEGLFGSDDEEATSFSPDLKLFVGNLPFSVDSAQLAGLFGSAGNVQMVERGLRFSLNSSIVIYDKVTGRSRGFGFVTMSTTEEVEAAAQQFNGYELEGRALRVNSGPPPPRREEFSPRARGGSTMGASNRVYVGNLSWGVDDLALETLFSEQGKVVEAKVVYDRESGRSRGFGFVTYNSSEEVDSAVKTFNGVELDGRPIRVSVAESRPRRQF >KJB62438 pep chromosome:Graimondii2_0_v6:9:64539378:64542215:1 gene:B456_009G416900 transcript:KJB62438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSASSLILPSLKPKTISLPNPTPISLSPFSLSHRLHAKPLFSSALQSFTLLTKKSSSFPSNFVRNVSVSSEYGQEEGLFGSDDEEATSFSPDLKLFVGNLPFSVDSAQLAGLFGSAGNVQMVEVIYDKVTGRSRGFGFVTMSTTEEVEAAAQQFNGYELEGRALRVNSGPPPPRREEFSPRARGGSTMGASNRVYVGNLSWGVDDLALETLFSEQGKVVEAKVVYDRESGRSRGFGFVTYNSSEEVDSAVKTFNGVELDGRPIRVSVAESRPRRQF >KJB62436 pep chromosome:Graimondii2_0_v6:9:64539437:64542115:1 gene:B456_009G416900 transcript:KJB62436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSASSLILPSLKPKTISLPNPTPISLSPFSLSHRLHAKPLFSSALQSFTLLTKKSSSFPSNFVRNVSVSSEYGQEEGLFGSDDEEATSFSPDLKLFVGNLPFSVDSAQLAGLFGSAGNVQMVEVIYDKVTGRSRGFGFVTMSTTEEVEAAAQQFNGYELEGRALRVNSGPPPPRREEFSPRARGGSTMGASNRVYVGNLSWGVDDLALETLFSEQGKVVEAKVVYDRESGRSRGFGFVTYNSSEEVDSAVKTFNGVELDGRPIRVSVAESRPRRQF >KJB58363 pep chromosome:Graimondii2_0_v6:9:16011120:16012200:1 gene:B456_009G206800 transcript:KJB58363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNWIARFVVERKLGKGGFGQVFVGRRVTSGNERGTGSAAMEVALKFEHRNSKGCNDGPPYEWQVYNALGGSHKVPKVHYKGKQGDYDVMV >KJB63188 pep chromosome:Graimondii2_0_v6:9:65524264:65532157:-1 gene:B456_009G420400 transcript:KJB63188 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL4 [Source:Projected from Arabidopsis thaliana (AT5G02880) UniProtKB/Swiss-Prot;Acc:Q9LYZ7] MGSRGQKRTETADELPADKRACSSLEFRPSSSNCSSIRTHLNSPNSIPDADMETSSSTSASSRSDGEHEKEDESTYGSCDSDDAEQQPRHHILRDYQRRRSSSDHGKLNTILSNLNEGNGGSGQLAALTELCEVLSFCNEDSLSSLMADSLSPVLVKLAKNESNANIMLLAIRGMTYLCDVYPRSSGFLVRHDAVPALCERLLAIEYVDVAEQCLQALEKISRDQPVACLQAGAIMAALNFIDFFSISVQRVALATVVNICKKLPLEGPAPFVEAVPKLCDLLQHEDQQLVESVATCLIKISERMCQSSELMEELCKHELINQVTLVMKSNSRTTISQPIYNGLIGLLVKLSSGSFVAFRSLYELNISNILKDVLSTYDLSHGISPPDLVDGNCNQVHEVLKLLNELLPASTGDQANQVVLDKESFLADHHDLLQRFGMDLFPVLVQVVNSGANIYVCYGCLSVISKLVVLSKPDMLGELLKTANIPSFLAGVFTRKDHHLLMLALQIAEIILKKLSDVFLSSFIKEGVFYVIDALLMPEKCSQLMLPVFGGIQPSFDSSQKSSAREFQRCLCYAFDMVPSSSVSSCKIDKDTVCNLAKHIKTNYFAPELVESEKGMTDVLQNLRTLSAALSSLINMPVDDGTTVQHEEKFYSILHQIMLKLNGREPVSTFEFIESGIVKSLMHYLSDGMHMRGNVEFTGSYDHLVVLGKRFEVFTKLFFSYSDILVEDLPLSILIQKLQSGLSTLENFPVIPSHGFKQRNSFATVPNGRCVMYPCFRVRFVRAEGENCLSDCAEDVLAVDPFSPLDAIEGYLWPKVFTERTEYGELDAEELEQREVLPNLLPSNANSTQAKSSGFIDSMSIDLPEMQEDEANFSQIASEQVHFRELNSGETMSLDETNMGSAGKEQEFPTESTKNMRTPCSASGDNDIKDSSARLLLYLEGHQLDRTLTLYQVILQQLLNSEKEFMTWAKLWSRVYMITYKRALESNQDDPQEHTYQERKFSVSDQKIASIQNMGYFASMFACKLTSDLDKSSPIYDILFLLKLLEGINKYSFHLMSCERVRAFAEGRNDNLDNLKVMVRSVSQNEFVSSRLTGKLEQQMQDAFTLSTGGMPSWCNGLVSSCPFLFSFEARCKYFRLAAFGPRRGQLNAISRSNSGTSSDRQTTSGGLPRKKFLVSRDQILDSATRMMDLHARHKGLLEVEYNEEVGTGLGPTLEFYTLVSHEFQKFGLGMWRGDHCSFITSTTLPTESVILRNSSGLFPRPCSPKSDANNGIQFSQVLKKFVLLGQIVAKAIQDGRVLDVSFSKAFYKLILGQDLSLYDIQSFDPELGRTLLEFQAIIVNQKRHQESICVENAALKQDLCFRNTRIEDLYLDFTLPGYPDYVLSSECNLKMVNSANLEEYVELVVDATIHSGIARQVEAFKSGFNQLLEIIQEFEYSQRRAFLQFVTGAPRLPPGGLASLIPKLTIVRKHSSNCADTELPSVMTCANYLKLPPYSSKEKMKEKLLYAISEGQGSFHLS >KJB63186 pep chromosome:Graimondii2_0_v6:9:65524264:65531457:-1 gene:B456_009G420400 transcript:KJB63186 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL4 [Source:Projected from Arabidopsis thaliana (AT5G02880) UniProtKB/Swiss-Prot;Acc:Q9LYZ7] MGSRGQKRTETADELPADKRACSSLEFRPSSSNCSSIRTHLNSPNSIPDADMETSSSTSASSRSDGEHEKEDESTYGSCDSDDAEQQPRHHILRDYQRRRSSSDHGKLNTILSNLNEGNGGSGQLAALTELCEVLSFCNEDSLSSLMADSLSPVLVKLAKNESNANIMLLAIRGMTYLCDVYPRSSGFLVRHDAVPALCERLLAIEYVDVAEQCLQALEKISRDQPVACLQAGAIMAALNFIDFFSISVQRVALATVVNICKKLPLEGPAPFVEAVPKLCDLLQHEDQQLVESVATCLIKISERMCQSSELMEELCKHELINQVTLVMKSNSRTTISQPIYNGLIGLLVKLSSGSFVAFRSLYELNISNILKDVLSTYDLSHGISPPDLVDGNCNQVHEVLKLLNELLPASTGDQANQVVLDKESFLADHHDLLQRFGMDLFPVLVQVVNSGANIYVCYGCLSVISKLVVLSKPDMLGELLKTANIPSFLAGVFTRKDHHLLMLALQIAEIILKKLSDVFLSSFIKEGVFYVIDALLMPEKCSQLMLPVFGGIQPSFDSSQKSSAREFQRCLCYAFDMVPSSSVSSCKIDKDTVCNLAKHIKTNYFAPELVESEKGMTDVLQNLRTLSAALSSLINMPVDDGTTVQHEEKFYSILHQIMLKLNGREPVSTFEFIESGIVKSLMHYLSDGMHMRGNVEFTGSYDHLVVLGKRFEVFTKLFFSYSDILVEDLPLSILIQKLQSGLSTLENFPVIPSHGFKQRNSFATVPNGRCVMYPCFRVRFVRAEGENCLSDCAEDVLAVDPFSPLDAIEGYLWPKVFTERTEYGELDAEELEQREVLPNLLPSNANSTQAKSSGFIDSMSIDLPEMQEDEANFSQIASEQVHFRELNSGETMSLDETNMGSAGKEQEFPTESTKNMRTPCSASGDNDIKDSSARLLLYLEGHQLDRTLTLYQVILQQLLNSEKEFMTWAKLWSRVYMITYKRALESNQDDPQEHTYQERKFSVSDQKIASIQNMGYFASMFACKLTSDLDKSSPIYDILFLLKLLEGINKYSFHLMSCERVRAFAEGRNDNLDNLKVMVRSVSQNEFVSSRLTGKLEQQMQDAFTLSTGGMPSWCNGLVSSCPFLFSFEARCKYFRLAAFGPRRGQLNAISRSNSGTSSDRQTTSGGLPRKKFLVSRDQILDSATRMMDLHARHKGLLEVEYNEEVGTGLGPTLEFYTLVSHEFQKFGLGMWRGDHCSFITSTTLPTESVILRNSSGLFPRPCSPKSDANNGIQFSQVLKKFVLLGQIVAKAIQDGRVLDVSFSKAFYKLILGQDLSLYDIQSFDPELGRTLLEFQAIIVNQKRHQESICVENAALKQDLCFRNTRIEDLYLDFTLPGYPDYVLSSECNLKMVNSANLEEYVELVVDATIHSGIARQVEAFKSGFNQIFSISHLHIFTEEELERLLCGECDIWAFNELLEHIKFDHGYTASSPPIVNLLEIIQEFEYSQRRAFLQFVTGAPRLPPGGLASLIPKLTIVRKVRIIIEP >KJB63190 pep chromosome:Graimondii2_0_v6:9:65525756:65531457:-1 gene:B456_009G420400 transcript:KJB63190 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL4 [Source:Projected from Arabidopsis thaliana (AT5G02880) UniProtKB/Swiss-Prot;Acc:Q9LYZ7] MGSRGQKRTETADELPADKRACSSLEFRPSSSNCSSIRTHLNSPNSIPDADMETSSSTSASSRSDGEHEKEDESTYGSCDSDDAEQQPRHHILRDYQRRRSSSDHGKLNTILSNLNEGNGGSGQLAALTELCEVLSFCNEDSLSSLMADSLSPVLVKLAKNESNANIMLLAIRGMTYLCDVYPRSSGFLVRHDAVPALCERLLAIEYVDVAEQCLQALEKISRDQPVACLQAGAIMAALNFIDFFSISVQRVALATVVNICKKLPLEGPAPFVEAVPKLCDLLQHEDQQLVESVATCLIKISERMCQSSELMEELCKHELINQVTLVMKSNSRTTISQPIYNGLIGLLVKLSSGSFVAFRSLYELNISNILKDVLSTYDLSHGISPPDLVDGNCNQVHEVLKLLNELLPASTGDQANQVVLDKESFLADHHDLLQRFGMDLFPVLVQVVNSGANIYVCYGCLSVISKLVVLSKPDMLGELLKTANIPSFLAGVFTRKDHHLLMLALQIAEIILKKLSDVFLSSFIKEGVFYVIDALLMPEKCSQLMLPVFGGIQPSFDSSQKSSAREFQRCLCYAFDMVPSSSVSSCKIDKDTVCNLAKHIKTNYFAPELVESEKGMTDVLQNLRTLSAALSSLINMPVDDGTTVQHEEKFYSILHQIMLKLNGREPVSTFEFIESGIVKSLMHYLSDGMHMRGNVEFTGSYDHLVVLGKRFEVFTKLFFSYSDILVEDLPLSILIQKLQSGLSTLENFPVIPSHGFKQRNSFATVPNGRCVMYPCFRVRFVRAEGENCLSDCAEDVLAVDPFSPLDAIEGYLWPKVFTERTEYGELDAEELEQREVLPNLLPSNANSTQAKSSGFIDSMSIDLPEMQEDEANFSQIASEQVHFRELNSGETMSLDETNMGSAGKEQEFPTESTKNMRTPCSASGDNDIKDSSARLLLYLEGHQLDRTLTLYQVILQQLLNSEKEFMTWAKLWSRVYMITYKRALESNQDDPQEHTYQERKFSVSDQKIASIQNMGYFASMFACKLTSDLDKSSPIYDILFLLKLLEGINKYSFHLMSCERVRAFAEGRNDNLDNLKVMVRSVSQNEFVSSRLTGKLEQQMQDAFTLSTGGMPSWCNGLVSSCPFLFSFEARCKYFRLAAFGPRRGQLNAISRSNSGTSSDRQTTSGGLPRKKFLVSRDQILDSATRMMDLHARHKGLLEVEYNEEVGTGLGPTLEFYTLVSHEFQKFGLGMWRGDHCSFITSTTLPTESVILRNSSGLFPRPCSPKSDANNGIQFSQVLKKFVLLGQIVAKAIQDGRVLDVSFSKAFYKLILGQDLSLYDIQSFDPELGRTLLEFQAIIVNQKRHQESICVENAALKQDLCFRNTRIEDLYLDFTLPGYPDYVLSSECNLKMVSIS >KJB63185 pep chromosome:Graimondii2_0_v6:9:65524186:65532225:-1 gene:B456_009G420400 transcript:KJB63185 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL4 [Source:Projected from Arabidopsis thaliana (AT5G02880) UniProtKB/Swiss-Prot;Acc:Q9LYZ7] MGSRGQKRTETADELPADKRACSSLEFRPSSSNCSSIRTHLNSPNSIPDADMETSSSTSASSRSDGEHEKEDESTYGSCDSDDAEQQPRHHILRDYQRRRSSSDHGKLNTILSNLNEGNGGSGQLAALTELCEVLSFCNEDSLSSLMADSLSPVLVKLAKNESNANIMLLAIRGMTYLCDVYPRSSGFLVRHDAVPALCERLLAIEYVDVAEQCLQALEKISRDQPVACLQAGAIMAALNFIDFFSISVQRVALATVVNICKKLPLEGPAPFVEAVPKLCDLLQHEDQQLVESVATCLIKISERMCQSSELMEELCKHELINQVTLVMKSNSRTTISQPIYNGLIGLLVKLSSGSFVAFRSLYELNISNILKDVLSTYDLSHGISPPDLVDGNCNQVHEVLKLLNELLPASTGDQANQVVLDKESFLADHHDLLQRFGMDLFPVLVQVVNSGANIYVCYGCLSVISKLVVLSKPDMLGELLKTANIPSFLAGVFTRKDHHLLMLALQIAEIILKKLSDVFLSSFIKEGVFYVIDALLMPEKCSQLMLPVFGGIQPSFDSSQKSSAREFQRCLCYAFDMVPSSSVSSCKIDKDTVCNLAKHIKTNYFAPELVESEKGMTDVLQNLRTLSAALSSLINMPVDDGTTVQHEEKFYSILHQIMLKLNGREPVSTFEFIESGIVKSLMHYLSDGMHMRGNVEFTGSYDHLVVLGKRFEVFTKLFFSYSDILVEDLPLSILIQKLQSGLSTLENFPVIPSHGFKQRNSFATVPNGRCVMYPCFRVRFVRAEGENCLSDCAEDVLAVDPFSPLDAIEGYLWPKVFTERTEYGELDAEELEQREVLPNLLPSNANSTQAKSSGFIDSMSIDLPEMQEDEANFSQIASEQVHFRELNSGETMSLDETNMGSAGKEQEFPTESTKNMRTPCSASGDNDIKDSSARLLLYLEGHQLDRTLTLYQVILQQLLNSEKEFMTWAKLWSRVYMITYKRALESNQDDPQEHTYQERKFSVSDQKIASIQNMGYFASMFACKLTSDLDKSSPIYDILFLLKLLEGINKYSFHLMSCERVRAFAEGRNDNLDNLKVMVRSVSQNEFVSSRLTGKLEQQMQDAFTLSTGGMPSWCNGLVSSCPFLFSFEARCKYFRLAAFGPRRGQLNAISRSNSGTSSDRQTTSGGLPRKKFLVSRDQILDSATRMMDLHARHKGLLEVEYNEEVGTGLGPTLEFYTLVSHEFQKFGLGMWRGDHCSFITSTTLPTESVILRNSSGLFPRPCSPKSDANNGIQFSQVLKKFVLLGQIVAKAIQDGRVLDVSFSKAFYKLILGQDLSLYDIQSFDPELGRTLLEFQAIIVNQKRHQESICVENAALKQDLCFRNTRIEDLYLDFTLPGYPDYVLSSECNLKMVNSANLEEYVELVVDATIHSGIARQVEAFKSGFNQIFSISHLHIFTEEELERLLCGECDIWAFNELLEHIKFDHGYTASSPPIVNLLEIIQEFEYSQRRAFLQFVTGAPRLPPGGLASLIPKLTIVRKHSSNCADTELPSVMTCANYLKLPPYSSKEKMKEKLLYAISEGQGSFHLS >KJB63189 pep chromosome:Graimondii2_0_v6:9:65524737:65531457:-1 gene:B456_009G420400 transcript:KJB63189 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL4 [Source:Projected from Arabidopsis thaliana (AT5G02880) UniProtKB/Swiss-Prot;Acc:Q9LYZ7] MGSRGQKRTETADELPADKRACSSLEFRPSSSNCSSIRTHLNSPNSIPDADMETSSSTSASSRSDGEHEKEDESTYGSCDSDDAEQQPRHHILRDYQRRRSSSDHGKLNTILSNLNEGNGGSGQLAALTELCEVLSFCNEDSLSSLMADSLSPVLVKLAKNESNANIMLLAIRGMTYLCDVYPRSSGFLVRHDAVPALCERLLAIEYVDVAEQCLQALEKISRDQPVACLQAGAIMAALNFIDFFSISVQRVALATVVNICKKLPLEGPAPFVEAVPKLCDLLQHEDQQLVESVATCLIKISERMCQSSELMEELCKHELINQVTLVMKSNSRTTISQPIYNGLIGLLVKLSSGSFVAFRSLYELNISNILKDVLSTYDLSHGISPPDLVDGNCNQVHEVLKLLNELLPASTGDQANQVVLDKESFLADHHDLLQRFGMDLFPVLVQVVNSGANIYVCYGCLSVISKLVVLSKPDMLGELLKTANIPSFLAGVFTRKDHHLLMLALQIAEIILKKLSDVFLSSFIKEGVFYVIDALLMPEKCSQLMLPVFGGIQPSFDSSQKSSAREFQRCLCYAFDMVPSSSVSSCKIDKDTVCNLAKHIKTNYFAPELVESEKGMTDVLQNLRTLSAALSSLINMPVDDGTTVQHEEKFYSILHQIMLKLNGREPVSTFEFIESGIVKSLMHYLSDGMHMRGNVEFTGSYDHLVVLGKRFEVFTKLFFSYSDILVEDLPLSILIQKLQSGLSTLENFPVIPSHGFKQRNSFATVPNGRCVMYPCFRVRFVRAEGENCLSDCAEDVLAVDPFSPLDAIEGYLWPKVFTERTEYGELDAEELEQREVLPNLLPSNANSTQAKSSGFIDSMSIDLPEMQEDEANFSQIASEQVHFRELNSGETMSLDETNMGSAGKEQEFPTESTKNMRTPCSASGDNDIKDSSARLLLYLEGHQLDRTLTLYQVILQQLLNSEKEFMTWAKLWSRVYMITYKRALESNQDDPQEHTYQERKFSVSDQKIASIQNMGYFASMFACKLTSDLDKSSPIYDILFLLKLLEGINKYSFHLMSCERVRAFAEGRNDNLDNLKVMVRSVSQNEFVSSRLTGKLEQQMQDAFTLSTGGMPSWCNGLVSSCPFLFSFEARCKYFRLAAFGPRRGQLNAISRSNSGTSSDRQTTSGGLPRKKFLVSRDQILDSATRMMDLHARHKGLLEVEYNEEVGTGLGPTLEFYTLVSHEFQKFGLGMWRGDHCSFITSTTLPTESVILRNSSGLFPRPCSPKSDANNGIQFSQVLKKFVLLGQIVAKAIQDGRVLDVSFSKAFYKLILGQDLSLYDIQSFDPELGRTLLEFQAIIVNQKRHQESICVENAALKQDLCFRNTRIEDLYLDFTLPGYPDYVLSSECNLKMVNSANLEEYVELVVDATIHSGIARQVEAFKSGFNQIFSISHLHIFTEEELERLLCGECDIWAFNELLEHIKFDHGYTASSPPIVNLLEIIQEFEYSQRRAFLQFVTGAPRLPPGGLASLIPKLTIVRKHSSNCADTELPSVMTCANYLKLPPYSSKVILHTLIES >KJB63184 pep chromosome:Graimondii2_0_v6:9:65524213:65532225:-1 gene:B456_009G420400 transcript:KJB63184 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL4 [Source:Projected from Arabidopsis thaliana (AT5G02880) UniProtKB/Swiss-Prot;Acc:Q9LYZ7] MGSRGQKRTETADELPADKRACSSLEFRPSSSNCSSIRTHLNSPNSIPDADMETSSSTSASSRSDGEHEKEDESTYGSCDSDDAEQQPRHHILRDYQRRRSSSDHGKLNTILSNLNEGNGGSGQLAALTELCEVLSFCNEDSLSSLMADSLSPVLVKLAKNESNANIMLLAIRGMTYLCDVYPRSSGFLVRHDAVPALCERLLAIEYVDVAEQCLQALEKISRDQPVACLQAGAIMAALNFIDFFSISVQRVALATVVNICKKLPLEGPAPFVEAVPKLCDLLQHEDQQLVESVATCLIKISERMCQSSELMEELCKHELINQVTLVMKSNSRTTISQPIYNGLIGLLVKLSSGSFVAFRSLYELNISNILKDVLSTYDLSHGISPPDLVDGNCNQVHEVLKLLNELLPASTGDQANQVVLDKESFLADHHDLLQRFGMDLFPVLVQVVNSGANIYVCYGCLSVISKLVVLSKPDMLGELLKTANIPSFLAGVFTRKDHHLLMLALQIAEIILKKLSDVFLSSFIKEGVFYVIDALLMPEKCSQLMLPVFGGIQPSFDSSQKSSAREFQRCLCYAFDMVPSSSVSSCKIDKDTVCNLAKHIKTNYFAPELVESEKGMTDVLQNLRTLSAALSSLINMPVDDGTTVQHEEKFYSILHQIMLKLNGREPVSTFEFIESGIVKSLMHYLSDGMHMRGNVEFTGSYDHLVVLGKRFEVFTKLFFSYSDILVEDLPLSILIQKLQSGLSTLENFPVIPSHGFKQRNSFATVPNGRCVMYPCFRVRFVRAEGENCLSDCAEDVLAVDPFSPLDAIEGYLWPKVFTERTEYGELDAEELEQREVLPNLLPSNANSTQAKSSGFIDSMSIDLPEMQEDEANFSQIASEQVHFRELNSGETMSLDETNMGSAGKEQEFPTESTKNMRTPCSASGDNDIKDSSARLLLYLEGHQLDRTLTLYQVILQQLLNSEKEFMTWAKLWSRVYMITYKRALESNQDDPQEHTYQERKFSVSDQKIASIQNMGYFASMFACKLTSDLDKSSPIYDILFLLKLLEGINKYSFHLMSCERVRAFAEGRNDNLDNLKVMVRSVSQNEFVSSRLTGKLEQQMQDAFTLSTGGMPSWCNGLVSSCPFLFSFEARCKYFRLAAFGPRRGQLNAISRSNSGTSSDRQTTSGGLPRKKFLVSRDQILDSATRMMDLHARHKGLLEVEYNEEVGTGLGPTLEFYTLVSHEFQKFGLGMWRGDHCSFITSTTLPTESVILRNSSGLFPRPCSPKSDANNGIQFSQVLKKFVLLGQIVAKAIQDGRVLDVSFSKAFYKLILGQDLSLYDIQSFDPELGRTLLEFQAIIVNQKRHQESICVENAALKQDLCFRNTRIEDLYLDFTLPGYPDYVLSSECNLKMVNSANLEEYVELVVDATIHSGIARQVEAFKSGFNQIFSISHLHIFTEEELERLLCGECDIWAFNELLEHIKFDHGYTASSPPIVNLLEIIQEFEYSQRRAFLQFVTGAPRLPPGGLASLIPKLTIVRKHSSNCADTELPSVMTCANYLKLPPYSSKEKMKEKLLYAISEGQGSFHLS >KJB63187 pep chromosome:Graimondii2_0_v6:9:65524264:65531457:-1 gene:B456_009G420400 transcript:KJB63187 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL4 [Source:Projected from Arabidopsis thaliana (AT5G02880) UniProtKB/Swiss-Prot;Acc:Q9LYZ7] MGSRGQKRTETADELPADKRACSSLEFRPSSSNCSSIRTHLNSPNSIPDADMETSSSTSASSRSDGEHEKEDESTYGSCDSDDAEQQPRHHILRDYQRRRSSSDHGKLNTILSNLNEGNGGSGQLAALTELCEVLSFCNEDSLSSLMADSLSPVLVKLAKNESNANIMLLAIRGMTYLCDVYPRSSGFLVRHDAVPALCERLLAIEYVDVAEQCLQALEKISRDQPVACLQAGAIMAALNFIDFFSISVQRVALATVVNICKKLPLEGPAPFVEAVPKLCDLLQHEDQQLVESVATCLIKISERMCQSSELMEELCKHELINQVTLVMKSNSRTTISQPIYNGLIGLLVKLSSGSFVAFRSLYELNISNILKDVLSTYDLSHGISPPDLVDGNCNQVHEVLKLLNELLPASTGDQANQVVLDKESFLADHHDLLQRFGMDLFPVLVQVVNSGANIYVCYGCLSVISKLVVLSKPDMLGELLKTANIPSFLAGVFTRKDHHLLMLALQIAEIILKKLSDVFLSSFIKEGVFYVIDALLMPEKCSQLMLPVFGGIQPSFDSSQKSSAREFQRCLCYAFDMVPSSSVSSCKIDKDTVCNLAKHIKTNYFAPELVESEKGMTDVLQNLRTLSAALSSLINMPVDDGTTVQHEEKFYSILHQIMLKLNGREPVSTFEFIESGIVKSLMHYLSDGMHMRGNVEFTGSYDHLVVLGKRFEVFTKLFFSYSDILVEDLPLSILIQKLQSGLSTLENFPVIPSHGFKQRNSFATVPNGRCVMYPCFRVRFVRAEGENCLSDCAEDVLAVDPFSPLDAIEGYLWPKVFTERTEYGELDAEELEQREVLPNLLPSNANSTQAKSSGFIDSMSIDLPEMQEDEANFSQIASEQVHFRELNSGETMSLDETNMGSAGKEQEFPTESTKNMRTPCSASGDNDIKDSSARLLLYLEGHQLDRTLTLYQVILQQLLNSEKEFMTWAKLWSRVYMITYKRALESNQDDPQEHTYQERKFSVSDQKIASIQNMGYFASMFACKLTSDLDKSSPIYDILFLLKLLEGINKYSFHLMSCERVRAFAEGRNDNLDNLKVMVRSVSQNEFVSSRLTGKLEQQMQDAFTLSTGGMPSWCNGLVSSCPFLFSFEARCKYFRLAAFGPRRGQLNAISRSNSGTSSDRQTTSGGLPRKKFLVSRDQILDSATRMMDLHARHKGLLEVEYNEEVGTGLGPTLEFYTLVSHEFQKFGLGMWRGDHCSFITSTTLPTESVILRNSSGLFPRPCSPKSDANNGIQFSQVLKKFVLLGQIVAKAIQDGRVLDVSFSKAFYKLILGQDLSLYDIQSFDPELGRTLLEFQAIIVNQKRHQESICVENAALKQDLCFRNTRIEDLYLDFTLPGYPDYVLSSECNLKMVNSANLEEYVELVVDATIHSGIARQVEAFKSGFNQIFSISHLHIFTEEELERLLCGECDIWAFNELLEHIKFDHGYTASSPPIVNVSLAFSIIFPLPFSFSFLSSAFVIR >KJB62016 pep chromosome:Graimondii2_0_v6:9:54760618:54774026:-1 gene:B456_009G395700 transcript:KJB62016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMTTPPLDQEDEEMLVPHSDVVDGTQPMEASTVENQQVEEPPSMKFTWTIENFSRLNTKKHYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSSALPYGWSRYAQFSLAVVNQIHHKYSIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRKILDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPVGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRRESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPDADRSVRNLYMLHSVLVHSGGVHGGHYYAFIRPTLSDQWYRFDDERVTKEDMKRVLEEQYGGEEELPQTNPGFDNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRMRLKKEQEEKEDRRRYKAQAHLYTIIKVARDEDLLEQIGKDIYFDLVDHDKVRSFRIQKQTSFLAFKEEVAKEFGIPLQYQRFWIWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKAHNAELKLFLEVECGQDLHPIPPPDKTRDDILLFFKLYDPEKGELRYVGRLLVKLSGKPIDYIAKLNQMAGFSPDEEIELYEEIKFEPCVMCEHLDKRCSFRLSQIEDGDIICFQKSSPIQSEEACRCPDVPSFLEYVHNRQIVRFRSLERPKEDDFCLELSKIHTYDDVVERVAYKIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSEMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKEEVVIHNIRLPKQSTVGDVIDELKTKVELSHPNAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIREEEKNLGPNGRLIHVYHFTKETAQNQMQIQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFSKWKFAFMSLSRPEYLQESDIVFNRFQRRDVYGAWEQYLGLEHSDNTPKRAYVNQNRHTYEKAVKIYN >KJB62015 pep chromosome:Graimondii2_0_v6:9:54760618:54773855:-1 gene:B456_009G395700 transcript:KJB62015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMTTPPLDQEDEEMLVPHSDVVDGTQPMEASTVENQQVEEPPSMKFTWTIENFSRLNTKKHYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSSALPYGWSRYAQFSLAVVNQIHHKYSIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRKILDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPVGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRRESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPDADRSVRNLYMLHSVLVHSGGVHGGHYYAFIRPTLSDQWYRFDDERVTKEDMKRVLEEQYGGEEELPQTNPGFDNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRMRLKKEQEEKEDRRRYKAQAHLYTIIKVARDEDLLEQIGKDIYFDLVDHDKVRSFRIQKQTSFLAFKEEVAKEFGIPLQYQRFWIWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKAHNAELKLFLEVECGQDLHPIPPPDKTRDDILLFFKLYDPEKGELRYVGRLLVKLSGKPIDYIAKLNQMAGFSPDEEIELYEEIKFEPCVMCEHLDKRCSFRLSQIEDGDIICFQKSSPIQSEEACRCPDVPSFLEYVHNRQIVRFRSLERPKEDDFCLELSKIHTYDDVVERVAYKIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSEMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKEEHDFQVVIHNIRLPKQSTVGDVIDELKTKVELSHPNAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIREEEKNLGPNGRLIHVYHFTKETAQNQMQIQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFSKWKFAFMSLSRPEYLQESDIVFNRFQRRDVYGAWEQYLGLEHSDNTPKRAYVNQNRHTYEKAVKIYN >KJB62018 pep chromosome:Graimondii2_0_v6:9:54765868:54773855:-1 gene:B456_009G395700 transcript:KJB62018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMTTPPLDQEDEEMLVPHSDVVDGTQPMEASTVENQQVEEPPSMKFTWTIENFSRLNTKKHYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSSALPYGWSRYAQFSLAVVNQIHHKYSIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRKILDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPVGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRRESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPDADRSVRNLYMLHSVLVHSGGVHGGHYYAFIRPTLSDQWYRFDDERVTKEDMKRVLEEQYGGEEELPQTNPGFDNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRMRLKKEQEEKEDRRRYKAQAHLYTIIKVARDEDLLEQIGKDIYFDLVDHDKVRSFRIQKQTSFLAFKEEVAKEFGIPLQYQRFWIWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKAHNAELKLFLEVECGQDLHPIPPPDKTRDDILLFFKLYDPEKGELRYVGRLLVKLSGKPIDYIAKLNQMAGFSPDEEIELYEEIKFEPCVMCEHLDKRCSFRLSQIEDGDIICFQKSSPIQSEEACRCPDVPSFLEYVHNRQV >KJB62017 pep chromosome:Graimondii2_0_v6:9:54762808:54773855:-1 gene:B456_009G395700 transcript:KJB62017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMTTPPLDQEDEEMLVPHSDVVDGTQPMEASTVENQQVEEPPSMKFTWTIENFSRLNTKKHYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSSALPYGWSRYAQFSLAVVNQIHHKYSIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRKILDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPVGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRRESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPDADRSVRNLYMLHSVLVHSGGVHGGHYYAFIRPTLSDQWYRFDDERVTKEDMKRVLEEQYGGEEELPQTNPGFDNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRMRLKKEQEEKEDRRRYKAQAHLYTIIKVARDEDLLEQIGKDIYFDLVDHDKVRSFRIQKQTSFLAFKEEVAKEFGIPLQYQRFWIWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKAHNAELKLFLEVECGQDLHPIPPPDKTRDDILLFFKLYDPEKGELRYVGRLLVKLSGKPIDYIAKLNQMAGFSPDEEIELYEEIKFEPCVMCEHLDKRCSFRLSQIEDGDIICFQKSSPIQSEEACRCPDVPSFLEYVHNRQIVRFRSLERPKEDDFCLELSKIHTYDDVVERVAYKIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSEMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKEEVVIHNIRLPKQSTVGDVIDELKTKVELSHPNAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEASFPFSIPF >KJB62014 pep chromosome:Graimondii2_0_v6:9:54760618:54771894:-1 gene:B456_009G395700 transcript:KJB62014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSDLYDPSRGYLVNDTVVVEAEVAVRKILDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPVGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRRESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPDADRSVRNLYMLHSVLVHSGGVHGGHYYAFIRPTLSDQWYRFDDERVTKEDMKRVLEEQYGGEEELPQTNPGFDNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRMRLKKEQEEKEDRRRYKAQAHLYTIIKVARDEDLLEQIGKDIYFDLVDHDKVRSFRIQKQTSFLAFKEEVAKEFGIPLQYQRFWIWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKAHNAELKLFLEVECGQDLHPIPPPDKTRDDILLFFKLYDPEKGELRYVGRLLVKLSGKPIDYIAKLNQMAGFSPDEEIELYEEIKFEPCVMCEHLDKRCSFRLSQIEDGDIICFQKSSPIQSEEACRCPDVPSFLEYVHNRQIVRFRSLERPKEDDFCLELSKIHTYDDVVERVAYKIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSEMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKEEVVIHNIRLPKQSTVGDVIDELKTKVELSHPNAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIREEEKNLGPNGRLIHVYHFTKETAQNQMQIQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFSKWKFAFMSLSRPEYLQESDIVFNRFQRRDVYGAWEQYLGLEHSDNTPKRAYVNQNRHTYEKAVKIYN >KJB62012 pep chromosome:Graimondii2_0_v6:9:54760588:54774137:-1 gene:B456_009G395700 transcript:KJB62012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMTTPPLDQEDEEMLVPHSDVVDGTQPMEVAQVEPASTVENQQVEEPPSMKFTWTIENFSRLNTKKHYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSSALPYGWSRYAQFSLAVVNQIHHKYSIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRKILDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPVGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRRESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPDADRSVRNLYMLHSVLVHSGGVHGGHYYAFIRPTLSDQWYRFDDERVTKEDMKRVLEEQYGGEEELPQTNPGFDNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRMRLKKEQEEKEDRRRYKAQAHLYTIIKVARDEDLLEQIGKDIYFDLVDHDKVRSFRIQKQTSFLAFKEEVAKEFGIPLQYQRFWIWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKAHNAELKLFLEVECGQDLHPIPPPDKTRDDILLFFKLYDPEKGELRYVGRLLVKLSGKPIDYIAKLNQMAGFSPDEEIELYEEIKFEPCVMCEHLDKRCSFRLSQIEDGDIICFQKSSPIQSEEACRCPDVPSFLEYVHNRQIVRFRSLERPKEDDFCLELSKIHTYDDVVERVAYKIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSEMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKEEVVIHNIRLPKQSTVGDVIDELKTKVELSHPNAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIREEEKNLGPNGRLIHVYHFTKETAQNQMQIQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFSKWKFAFMSLSRPEYLQESDIVFNRFQRRDVYGAWEQYLGLEHSDNTPKRAYVNQNRHTYEKAVKIYN >KJB62013 pep chromosome:Graimondii2_0_v6:9:54761061:54773855:-1 gene:B456_009G395700 transcript:KJB62013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMTTPPLDQEDEEMLVPHSDVVDGTQPMEVAQVEPASTVENQQVEEPPSMKFTWTIENFSRLNTKKHYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSSALPYGWSRYAQFSLAVVNQIHHKYSIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRKILDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPVGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRRESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPDADRSVRNLYMLHSVLVHSGGVHGGHYYAFIRPTLSDQWYRFDDERVTKEDMKRVLEEQYGGEEELPQTNPGFDNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRMRLKKEQEEKEDRRRYKAQAHLYTIIKVARDEDLLEQIGKDIYFDLVDHDKVRSFRIQKQTSFLAFKEEVAKEFGIPLQYQRFWIWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKAHNAELKLFLEVECGQDLHPIPPPDKTRDDILLFFKLYDPEKGELRYVGRLLVKLSGKPIDYIAKLNQMAGFSPDEEIELYEEIKFEPCVMCEHLDKRCSFRLSQIEDGDIICFQKSSPIQSEEACRCPDVPSFLEYVHNRQIVRFRSLERPKEDDFCLELSKIHTYDDVVERVAYKIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSEMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKEEVVIHNIRLPKQSTVGDVIDELKTKVELSHPNAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIREEEKNLGPNGRLIHVYHFTKETAQNQMQIQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFSKWKFAFMSLSRPEYLQESDIVFNRFQRRDVYGAWEQYLGLEHSDNTPKRAYVNQNRHTYEKAVKIYN >KJB53446 pep chromosome:Graimondii2_0_v6:9:57429602:57431443:1 gene:B456_009G400400 transcript:KJB53446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >KJB53443 pep chromosome:Graimondii2_0_v6:9:57429548:57431447:1 gene:B456_009G400400 transcript:KJB53443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVREPKEENTNLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >KJB53447 pep chromosome:Graimondii2_0_v6:9:57429604:57431443:1 gene:B456_009G400400 transcript:KJB53447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >KJB53444 pep chromosome:Graimondii2_0_v6:9:57429595:57431443:1 gene:B456_009G400400 transcript:KJB53444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVREPKEENTNLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIGCYSHSH >KJB53448 pep chromosome:Graimondii2_0_v6:9:57430497:57431443:1 gene:B456_009G400400 transcript:KJB53448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVLMIFMSDSQVIFLSNISLTCSFHFSNVGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >KJB53445 pep chromosome:Graimondii2_0_v6:9:57429595:57431443:1 gene:B456_009G400400 transcript:KJB53445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVREPKEENTNLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKVLYPSLTCVAILGLCVVNLWQLLFVILQELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIGKILSPFHFSTIHWVP >KJB53903 pep chromosome:Graimondii2_0_v6:9:1506956:1508282:-1 gene:B456_009G019500 transcript:KJB53903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVAQSATLASLDQKLAMAKRCSHEGVVAGAKAAVVATIATAIPTLASVRMLPWARANLNHTAQALIISTAAGAAYFIVADKTVLATARKNSFKQAPNKEA >KJB56103 pep chromosome:Graimondii2_0_v6:9:7699598:7702634:-1 gene:B456_009G106100 transcript:KJB56103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWSCDVSRDSMRLITGSADQTVKLWNVQTGAQLYTFNFGSPARTIVSAGEDAVIRIWDSETGKLLREADKESGHKKTITSLTKSADGSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVAMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFFDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFNIKI >KJB56101 pep chromosome:Graimondii2_0_v6:9:7699974:7702509:-1 gene:B456_009G106100 transcript:KJB56101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWSCDVSRDSMRLITGSADQTVKLWNVQTGAQLYTFNFGSPARAVWGPLNRTIVSAGEDAVIRIWDSETGKLLREADKESGHKKTITSLTKSADGSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVAMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFFDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFNIKI >KJB56100 pep chromosome:Graimondii2_0_v6:9:7699569:7702647:-1 gene:B456_009G106100 transcript:KJB56100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWSCDVSRDSMRLITGSADQTVKLWNVQTGAQLYTFNFGSPARSVDFSVGDKLAVITTDPFMELTSAIHVKRIARDPTEQTEESELVIKGPQGRINRAVWGPLNRTIVSAGEDAVIRIWDSETGKLLREADKESGHKKTITSLTKSADGSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVAMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFFDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFNIKI >KJB56104 pep chromosome:Graimondii2_0_v6:9:7700640:7702634:-1 gene:B456_009G106100 transcript:KJB56104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWSCDVSRDSMRLITGSADQTVKLWNVQTGAQLYTFNFGSPARSVDFSVGDKLAVITTDPFMELTSAIHVKRIARDPTEQTEESELVIKGPQGRINRAVWGPLNRTIVSAGEDAVIRIWDSETGKLLREADKESGHKKTITSLTKSADGSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVAMSPLLDHVSMNKYEQHNLYAIPFAPNCIFP >KJB56102 pep chromosome:Graimondii2_0_v6:9:7700233:7702509:-1 gene:B456_009G106100 transcript:KJB56102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWSCDVSRDSMRLITGSADQTVKLWNVQTGAQLYTFNFGSPARSVDFSVGDKLAVITTDPFMELTSAIHVKRIARDPTEQTEESELVIKGPQGRINRAVWGPLNRTIVSAGEDAVIRIWDSETGKLLREADKESGHKKTITSLTKSADGSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVAMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFFDKVSFMFIKRMFLYEVKICYFCNFVSLFIELFGCLGRFFKKKLEV >KJB62461 pep chromosome:Graimondii2_0_v6:9:65007601:65009258:1 gene:B456_009G418200 transcript:KJB62461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRGQGGIQQLLAAEQEAQHIVNTARNAKMARLKQAKEEAEKEIAEYRAQVEKEFQRKLAESSGDSGANVKRLEKETEAKIDHLKNEAARISDDVVQMLLKHVTTVKN >KJB62462 pep chromosome:Graimondii2_0_v6:9:65007010:65009258:1 gene:B456_009G418200 transcript:KJB62462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRGQGGIQQLLAAEQEAQHIVNTARNAKMARLKQAKEEAEKEIAEYRAQVEKEFQRKLAESSGDSGANVKRLEKETEAKIDHLKNEAARISDDVVQMLLKHVTTVKN >KJB55955 pep chromosome:Graimondii2_0_v6:9:7358087:7360788:1 gene:B456_009G102200 transcript:KJB55955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIFFKSSPSPTRMTPPASPLHLTFSESLMEENIEAAELIITKWDSSSDNHSSSSNASLFSDDNREEAKQYLSSVKGLQKAMQYLVSHQASSEMLVRAQALMQTAMKRLEKEFYQIFKSFRFYLDPESFSAHSSSSRPSASRSSFSDLEEDESENESRDENDSIPEAQRVSLAAVEDLRAIAEAMIKAGYAKECIKIYKIIRKSFVDEALHGLGVDRTLNLQKVQKMDWEVLEVKIRNWLHAVKVAVKTLFPGERILSDQVFSISTAMGESCFAEISKEGALALFEFPENVAKCKKTPEKMFRFLDLYEAVSNLWPEVESMFNSESTSTLRSTAVNSLIKLGDAVRTMLTSFETAIQKDPSKSTVPGGGIHPLTRYVMNYISFLADYTEVLSDIVADWPLTIPSPLPEPFFGSPDNEESISSPVSVRLAWLILLMLCKLDGKAAMYKDVSLSYLFLANNLQYVVGKVRQSNLKILLGDEWVTKHELKVKQYCSNYERMGWSKVLASLPENPTAEIPVDQVKDHFRNFNLAFEETYTKQTSWVVPDPGLRDDIKISLARRIVPIYKEFYETYGGMHLRKEMWVESLVRYTPDDLGNYWSDLLNGSGSSGSVSSSSSRGGRSG >KJB60604 pep chromosome:Graimondii2_0_v6:9:30694021:30695738:-1 gene:B456_009G319900 transcript:KJB60604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIICEISLLVLISFCSYIRYGLIWITTTLVFMLASFGNWATYLMQKHSDGTTSWSFDVGYINAAAVGIYGYAVVVPMSFKFLLQYLGSNASLVRFWCMWGYSFSIFIPTALLLLIPVEILRWIIILIAGSASSCFVALNLKSYIEGANDLKMMVVAAFLLQMALAIFIKVWFFP >KJB60606 pep chromosome:Graimondii2_0_v6:9:30694021:30697295:-1 gene:B456_009G319900 transcript:KJB60606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSYSNLSTSHLLGSVPAVTNEEKKASYEVPEANMQIFPPNNGSGGGRGRGYQTLEAPTEEFEQQPPNNWSGVFSISSYTQYFNVDTDVVIYRLISSFYPVTGDFFSKIDANPDLYGLIWITTTLVFMLASFGNWATYLMQKHSDGSNASLVRFWCMWGYSFSIFIPTALLLLIPVEILRWIIILIAGSASSCFVALNLKSYIEGANDLKMMVVAAFLLQMALAIFIKVWFFP >KJB60607 pep chromosome:Graimondii2_0_v6:9:30694021:30697466:-1 gene:B456_009G319900 transcript:KJB60607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSYSNLSTSHLLGSVPAVTNEEKKASYEVPEANMQIFPPNNGSGGGRGRGYQTLEAPTEEFEQQPPNNWSGVFSISSYTQYFNVDTDVVIYRLISSFYPVTGDFFSKIDANPDLYGLIWITTTLVFMLASFGNWATYLMQKHSDGTTSWSFDVGYINAAAVGIYGYAVVVPMSFKFLLQYLGSNASLVRFWCMWGYSFSIFIPTALLLLIPVEILRWIIILIAGSASSCFVALNLKSYIEGANDLKMMVVAAFLLQMALAIFIKVWFFP >KJB60605 pep chromosome:Graimondii2_0_v6:9:30694021:30697295:-1 gene:B456_009G319900 transcript:KJB60605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSYSNLSTSHLLGSVPAVTNEEKKASYEVPEANMQIFPPNNGSGGGRGRGYQTLEAPTEFEQQPPNNWSGVFSISSYTQYFNVDTDVVIYRLISSFYPVTGDFFSKIDANPDLYGLIWITTTLVFMLASFGNWATYLMQKHSDGTTSWSFDVGYINAAAVGIYGYAVVVPMSFKFLLQYLGSNASLVRFWCMWGYSFSIFIPTALLLLIPVEILRWIIILIAGSASSCFVALNLKSYIEGANDLKMMVVAAFLLQMALAIFIKVWFFP >KJB58735 pep chromosome:Graimondii2_0_v6:9:17457884:17459009:1 gene:B456_009G224100 transcript:KJB58735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMPLVSIASAPKITFVPNSISLPSIKVRNIPSSTASTGGLSIECSSRPQKKATKHHMKTRPRKTQPWDIRRKPTVYAPLPPLPPDWALVSSVGENDGADVAEVGLAGSALQAPASTGM >KJB58766 pep chromosome:Graimondii2_0_v6:9:17612932:17614083:-1 gene:B456_009G225400 transcript:KJB58766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGNGSTSSIVENFGAFFNGWLVRQERFLEQLVQALRSQDEEEIERRGDLIQEVLSHYDQYSAEKFKAAREQVLLFYSPPWLTSFEKAQLWVGGFKPFILFKILTNSVTEFTLEQEQAIERVKCETRKEERELTQASAAIQESLAALPLLNLVRRCGRAVDGEELELESAVRKLKEDMLRALESADKLRGSTVRKLLQTLSPVQTVKFLAASAEFQLAVRKWGLQKDQERAAT >KJB59370 pep chromosome:Graimondii2_0_v6:9:21205853:21206446:-1 gene:B456_009G257300 transcript:KJB59370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNQLCLVLVIFLSIFSLSSLPTSAIILKANVSLPVPSSQLVENLCNGKAVENRRFCLKALSTPKIIAAMDTTQLGTLIMKLGAANAKATLNVYNEIIKKPGSPQALKALNCCVEAYKYAILSFEMVSSELVEDPQTANYDVAVIGPEIGNCEKELINAKVQAPRLLAGNRFMKYYVSMGYEITSTLELENPNEY >KJB53810 pep chromosome:Graimondii2_0_v6:9:551610:557307:1 gene:B456_009G006500 transcript:KJB53810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKIWLFLAVAVDADGSPVTVSWSGDSRRNIIVWMDHRAVKQAEKINSCNSPVLQYCGGSVSPEMQPPKLLWVKENLQESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQHINEKDSRDMEACGWDDDFWEEIGLGDLVEGHHAKIGRSVAFPGHALGSGITPTAAKELGLVAGTPVGTSLIDAHAGGVGVMESLPEKENDNEAICHRMVLVCGTSTCHMAVSRDKLFIPGVWGPFWSAMIPEYWLTEGGQSATGALLDYIIESHVASPRLANHAASQKTSLFQLLNNILESMMRELQCPFIAALTEDTHVLPDFHGNRSPIADPKAKGVVFGLTLDPSEQQLARLYLAAVQAIAYGTRHIVEHCNANGHKIDTLLACGGLSKNALFIQEHADIIGCPIILPRESESVLLGAAILGAVAAKKYTCLSEAMKALNAAGQVIHPSTDSRVKKYHDSKYRIFRELYQQQLSQRSIMAQALA >KJB53813 pep chromosome:Graimondii2_0_v6:9:549952:557519:1 gene:B456_009G006500 transcript:KJB53813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKGKEMLGKGRTRSDTTPLLGKHKQQHQQPHPKNRFHSSPSACSHSISSPLFLEMAAAISSASSSPTSHCNVFLGVDVGTGSARAGLFDEEGKLLGSASSPIQIWKEGDCIEQSSTDIWHAVCSAVKAACSLAKIDGEQVKGIGFAATCSLVAVDADGSPVTVSWSGDSRRNIIVWMDHRAVKQAEKINSCNSPVLQYCGGSVSPEMQPPKLLWVKENLQESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQHINEKDSRDMEACGWDDDFWEEIGLGDLVEGHHAKIGRSVAFPGHALGSGITPTAAKELGLVAGTPVGTSLIDAHAGGVGVMESLPEKENDNEAICHRMVLVCGTSTCHMAVSRDKLFIPGVWGPFWSAMIPEYWLTEGGQSATGALLDYIIESHVASPRLANHAASQKTSLFQLLNNILESMMRELQCPFIAALTEDTHVLPDFHGNRSPIADPKAKGVVFGLTLDPSEQQLARLYLAAVQAIAYGTRHIVEHCNANGHKIDTLLACGGLSKNALFIQEHADIIGCPIILPRESESVLLGAAILGAVAAKKYTCLSEAMKALNAAGQVC >KJB53809 pep chromosome:Graimondii2_0_v6:9:549952:557519:1 gene:B456_009G006500 transcript:KJB53809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKGKEMLGKGRTRSDTTPLLGKHKQQHQQPHPKNRFHSSPSACSHSISSPLFLEMAAAISSASSSPTSHCNVFLGVDVGTGSARAGLFDEEGKLLGSASSPIQIWKEGDCIEQSSTDIWHAVCSAVKAACSLAKIDGEQVKGIGFAATCSLVAVDADGSPVTVSWSGDSRRNIIVWMDHRAVKQAEKINSCNSPVLQYCGGSVSPEMQPPKLLWVKENLQESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQHINEKDSRDMEACGWDDDFWEEIGLGDLVEGHHAKIGRSVAFPGHALGSGITPTAAKELGLVAGTPVGTSLIDAHAGGVGVMESLPEKENDNEAICHRMVLVCGTSTCHMAVSRDKLFIPGVWGPFWSAMIPEYWLTEGGQSATGALLDYIIESHVASPRLANHAASQKTSLFQLLNNILESMMRELQCPFIAALTEDTHVLPDFHGNRSPIADPKAKGVVFGLTLDPSEQQLARLYLAAVQAIAYGTRHIVEHCNANGHKIDTLLACGGLSKNALFIQEHADIIGLCICFLPFCMHVFSCVHVPAC >KJB53811 pep chromosome:Graimondii2_0_v6:9:549952:557519:1 gene:B456_009G006500 transcript:KJB53811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKGKEMLGKGRTRSDTTPLLGKHKQQHQQPHPKNRFHSSPSACSHSISSPLFLEMAAAISSASSSPTSHCNVFLGVDVGTGSARAGLFDEEGKLLGSASSPIQIWKEGDCIEQSSTDIWHAVCSAVKAACSLAKIDGEQVKGIGFAATCSLVAVDADGSPVTVSWSGDSRRNIIVWMDHRAVKQAEKINSCNSPVLQYCGGSVSPEMQPPKLLWVKENLQESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQHINEKDSRDMEACGWDDDFWEEIGLGDLVEGHHAKIGRSVAFPGHALGSGITPTAAKELGLVAGTPVGTSLIDAHAGGVGVMESLPEKENDNEAICHRMVLVCGTSTCHMAVSRDKLFIPGVWGPFWSAMIPEYWLTEGGQSATGALLDYIIESHVASPRLANHAASQKTSLFQLLNNILESMMRELQCPFIAALTEDTHVLPDFHGNRSPIADPKAKGVVFGLTLDPSEQQLARLYLAAVQAIAYGTRHIVEHCNANGHKIDTLLACGGLSKNALFIQEHADIIGCPIILPRESESVLLGAAILGAVAAKKYTCLSEAMKALNAAGQVC >KJB53808 pep chromosome:Graimondii2_0_v6:9:549952:556389:1 gene:B456_009G006500 transcript:KJB53808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKGKEMLGKGRTRSDTTPLLGKHKQQHQQPHPKNRFHSSPSACSHSISSPLFLEMAAAISSASSSPTSHCNVFLGVDVGTGSARAGLFDEEGKLLGSASSPIQIWKEGDCIEQSSTDIWHAVCSAVKAACSLAKIDGEQVKGIGFAATCSLVAVDADGSPVTVSWSGDSRRNIIVWMDHRAVKQAEKINSCNSPVLQYCGGSVSPEMQPPKLLWVKENLQESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQHINEKDSRDMEACGWDDDFWEEIGLGDLVEGHHAKIGRSVAFPGHALGSGITPTAAKELGLVAGTPVGTSLIDAHAGGVGVMESLPEKENDNEAICHRMVLVCGTSTCHMAVSRDKLFIPGVWGPFWSAMIPEYWLTEGGQSATGALLDYIIESHVASPRLANHAASQKTSLFQLLNNILESMMRELQCPFIAALTEDTHVLPDFHGNRSPIADPKAKGVVFGLTLDPSEQQLARLYLAAVQAIAYGTRHIVEHCNANGHKARYAPLFSFFFFLFLFILILNRRFVSAL >KJB53812 pep chromosome:Graimondii2_0_v6:9:549952:557519:1 gene:B456_009G006500 transcript:KJB53812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKGKEMLGKGRTRSDTTPLLGKHKQQHQQPHPKNRFHSSPSACSHSISSPLFLEMAAAISSASSSPTSHCNVFLGVDVGTGLFDEEGKLLGSASSPIQIWKEGDCIEQSSTDIWHAVCSAVKAACSLAKIDGEQVKGIGFAATCSLVAVDADGSPVTVSWSGDSRRNIIVWMDHRAVKQAEKINSCNSPVLQYCGGSVSPEMQPPKLLWVKENLQESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQHINEKDSRDMEACGWDDDFWEEIGLGDLVEGHHAKIGRSVAFPGHALGSGITPTAAKELGLVAGTPVGTSLIDAHAGGVGVMESLPEKENDNEAICHRMVLVCGTSTCHMAVSRDKLFIPGVWGPFWSAMIPEYWLTEGGQSATGALLDYIIESHVASPRLANHAASQKTSLFQLLNNILESMMRELQCPFIAALTEDTHVLPDFHGNRSPIADPKAKGVVFGLTLDPSEQQLARLYLAAVQAIAYGTRHIVEHCNANGHKIDTLLACGGLSKNALFIQEHADIIGCPIILPRESESVLLGAAILGAVAAKKYTCLSEAMKALNAAGQVIHPSTDSRVKKYHDSKYRIFRELYQQQLSQRSIMAQALA >KJB53807 pep chromosome:Graimondii2_0_v6:9:549938:557591:1 gene:B456_009G006500 transcript:KJB53807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKGKEMLGKGRTRSDTTPLLGKHKQQHQQPHPKNRFHSSPSACSHSISSPLFLEMAAAISSASSSPTSHCNVFLGVDVGTGSARAGLFDEEGKLLGSASSPIQIWKEGDCIEQSSTDIWHAVCSAVKAACSLAKIDGEQVKGIGFAATCSLVAVDADGSPVTVSWSGDSRRNIIVWMDHRAVKQAEKINSCNSPVLQYCGGSVSPEMQPPKLLWVKENLQESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQHINEKDSRDMEACGWDDDFWEEIGLGDLVEGHHAKIGRSVAFPGHALGSGITPTAAKELGLVAGTPVGTSLIDAHAGGVGVMESLPEKENDNEAICHRMVLVCGTSTCHMAVSRDKLFIPGVWGPFWSAMIPEYWLTEGGQSATGALLDYIIESHVASPRLANHAASQKTSLFQLLNNILESMMRELQCPFIAALTEDTHVLPDFHGNRSPIADPKAKGVVFGLTLDPSEQQLARLYLAAVQAIAYGTRHIVEHCNANGHKIDTLLACGGLSKNALFIQEHADIIGCPIILPRESESVLLGAAILGAVAAKKYTCLSEAMKALNAAGQVIHPSTDSRVKKYHDSKYRIFRELYQQQLSQRSIMAQALA >KJB58684 pep chromosome:Graimondii2_0_v6:9:17220393:17223884:1 gene:B456_009G221400 transcript:KJB58684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLARSLANSLSLDDDGNESASSRDEENDDVSHDSQSSAPPPHLIHNEETEAKGEHQSLAPEQVAELQSHGIKEDLSELKQTLTRQLFGVASFLAPPPPPPPPPLSSTQFNGQSNGRSFSNLNQPEPSDQSISRDEEDPSDPAAVAGVRDDLAEIGGTLSKMASDYFPFGQGENGEENECEEEEEDFEVVGITDEVLAFARNIAHHPETWLDFPLDPDEDLDDFDMSISQRDHAMAIEYLAPRLAALRIELCPCHMSDSYFWKVYFVLLHSRLNKADAEILSTPQVMEARALWMKELQEQTKPETDWYGGSNSHLGDSHSIMHNGLTPSSSNYFAFETMSPRTYASEPASSISTDYVTKHPVESTEMPFVDKPVIEEKQVSNTEDKGNVAGPSSKIWIPDFDDEEIDWPEDDGPDVGGYSGAAICAEDVEDISFSDLEDFDDSSTPTNSKLVSKGFETSKT >KJB60362 pep chromosome:Graimondii2_0_v6:9:27056498:27062569:-1 gene:B456_009G301900 transcript:KJB60362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLNGPPRLMIVSDLDFTMVDHEDPENLSLLRFNALWEAYYRHDSLLVYSTGRSPTIYKQLRNEKPLLTPDITIMSVGTEIAYGEPMVPDYDWEQHLNLNWDRDIITQETAKFPQLIPQSGTEQRPHKVSFFLEKPNSLEVIKALSESLEKHKLDVKIIYSHGTALDVLPKGADKGQALAYLQRKLKANGRVPLSSLVCGDSGNDAELFTVPDVYGVMVGNAQEELLQWCAENARNNPNIIHSTERCASGIIQAIGKFSIGPNVSPRDIKDWGKCRVNVLSPGYEVVKFYLLYERWRRAEVEKSDQILQNLKSSFYPLGTFVHPSGTEQPMSKCKDMMERLYGDKQGKQYCIWLDRVSTSQIGSDAWLVKFDKWESTGEERHCCLTTVLLNTKQAEEGFTWMHIHQTWLDGLETKPRIVWFL >KJB60365 pep chromosome:Graimondii2_0_v6:9:27056573:27062424:-1 gene:B456_009G301900 transcript:KJB60365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLNGPPRLMIVSDLDFTMVDHEDPENLSLLRFNALWEAYYRHDSLLVYSTGRSPTIYKQLRNEKPLLTPDITIMSVGTEIAYGEPMVPDYDWEQHLNLNWDRDIITQETAKFPQLIPQSGTEQRPHKVSFFLEKPNSLEVIKALSESLEKHKLDVKIIYSHGTALDVLPKGADKGQALAYLQRKLKANGRVPLSSLVCGDSGNDAELFTVPDVYGVMVGNAQEELLQWCAENARNNPNIIHSTERCASGIIQAIGKFSIGPNVSPRDIKDWGKCRVNVLSPGYEVVKFYLLYERWRRAEVEKSDQILQNLKSSFVLLSIPLELSNQ >KJB60366 pep chromosome:Graimondii2_0_v6:9:27056548:27062424:-1 gene:B456_009G301900 transcript:KJB60366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLNGPPRLMIVSDLDFTMVDHEDPENLSLLRFNALWEAYYRHDSLLVYSTGRSPTIYKQLRNEKPLLTPDITIMSVGTEIAYGEPMVPDYDWEQHLNLNWDRDIITQETAKFPQLIPQACTEQRPHKVSFFLEKPNSLEVIKALSESLEKHKLDVKIIYSHGTALDVLPKGADKGQALAYLQRKLKANGRVPLSSLVCGDSGNDAELFTVPDVYGVMVGNAQEELLQWCAENARNNPNIIHSTERCASGIIQAIGKFSIGPNVSPRDIKDWGKCRVNVLSPGYEVVKFYLLYERWRRAEVEKSDQILQNLKSSFYPLGTFVHPSGTEQPMSKCKDMMERLYGDKQGKQYCIWLDRVSTSQIGSDAWLVKFDKWESTGEERHCCLTTVLLNTKQAEEGFTWMHIHQTWLDGLETKPRIVWFL >KJB60364 pep chromosome:Graimondii2_0_v6:9:27056573:27062173:-1 gene:B456_009G301900 transcript:KJB60364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGTEIAYGEPMVPDYDWEQHLNLNWDRDIITQETAKFPQLIPQSGTEQRPHKVSFFLEKPNSLEVIKALSESLEKHKLDVKIIYSHGTALDVLPKGADKGQALAYLQRKLKANGRVPLSSLVCGDSGNDAELFTVPDVYGVMVGNAQEELLQWCAENARNNPNIIHSTERCASGIIQAIGKFSIGPNVSPRDIKDWGKCRVNVLSPGYEVVKFYLLYERWRRAEVEKSDQILQNLKSSFYPLGTFVHPSGTEQPMSKCKDMMERLYGDKQGKQYCIWLDRVSTSQIGSDAWLVKFDKWESTGEERHCCLTTVLLNTKQAEEGFTWMHIHQTWLDGLETKPRIVWFL >KJB60367 pep chromosome:Graimondii2_0_v6:9:27058915:27062424:-1 gene:B456_009G301900 transcript:KJB60367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLNGPPRLMIVSDLDFTMVDHEDPENLSLLRFNALWEAYYRHDSLLVYSTGRSPTIYKQLRNEKPLLTPDITIMSVGTEIAYGEPMVPDYDWEQHLNLNWDRDIITQETAKFPQLIPQSGTEQRPHKVSFFLEKPNSLEVIKALSESLEKHKLDVKIIYSHGTALDVLPKGADKGQALAYLQRKLKANGRVPLSSLVCGDSGNDAELFTVPDVYGVMLSTYYRFHSSGWQCTRRVITVVC >KJB60363 pep chromosome:Graimondii2_0_v6:9:27056573:27062424:-1 gene:B456_009G301900 transcript:KJB60363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLNGPPRLMIVSDLDFTMVDHEDPENLSLLRFNALWEAYYRHDSLLVYSTGRSPTIYKQLRNEKPLLTPDITIMSVGTEIAYGEPMVPDYDWEQHLNLNWDRDIITQETAKFPQLIPQACTEQRPHKVSFFLEKPNSLEVIKALSESLEKHKLDVKIIYSHGTALDVLPKGADKGQALAYLQRKLKANGRVPLSSLVCGDSGNDAELFTVPDVYGVMVGNAQEELLQWCAENARNNPNIIHSTERCASGIIQAIGKFSIGPNVSPRDIKDWGKCRVNVLSPGYEVVKFYLLYERWRRAEVEKSDQILQNLKSSFYPLGTFVHPSGTEQPMSKCKDMMERLYGDKQGKQYCIWLDRVSTSQIGSDAWLVKFDKWESTGEERHCCLTTVLLNTKQAEEGFTWMHIHQTWLDGLETKPRIVWFL >KJB60979 pep chromosome:Graimondii2_0_v6:9:34967300:34971701:-1 gene:B456_009G334100 transcript:KJB60979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPKEKPKAAASPSQPPPPIEDLFTSLNRHIQRSEFTQAVKVANQVLSVAPGDEDAIRCEVVALIKADKIEEALSAIQSSHKVSFDFSFYKAYCLYRQNKLDEALEVLGKQDKTQPSMLLESQILYRLGKMDACVDICRNLQKAKIDSLEINLVAGLISAGRASEVQGTLDAIKTKATSSFELAYNIACSLIAGNKLKDAEKLLLTARRIGQETLTEENLADDDIEIELAPIAVQLAYVHQLLGQTQEAAGAYTDIVNRNLADEPSLAVAVNNLIAVKGPKEISDSLRKLDRLKEKDSQKFELARAIDMKLSPKQKETIYANRVLLLLHANKMDQARELVAVLPEMFPDNVMPLLLQAAVLVRENKAGKAEEMLGQFAERFPEKSKIILLARAQEAAHLYEELVKSNGNIEALVGLITTVAHANVEKAEAYEKQLKSLPGLKGVDVDALEKTSGAKPVDGASRAGLAEAQEDGKTKEKSKKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAAQVRGSQGAVVRDKNEPNASATNSNTSNSKSNQATSSKGVSQSAEPSRPQSKSSRKKSRK >KJB60978 pep chromosome:Graimondii2_0_v6:9:34967296:34971726:-1 gene:B456_009G334100 transcript:KJB60978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPKEKPKAAASPSQPPPPIEDLFTSLNRHIQRSEFTQAVKVANQVLSVAPGDEDAIRCEVVALIKADKIEEALSAIQSSHKVSFDFSFYKAYCLYRQNKLDEALEVLGKQDKTQPSMLLESQILYRLGKMDACVDICRNLQKAKIDSLEINLVAGLISAGRASEVQGTLDAIKTKATSSFELAYNIACSLIAGNKLKDAEKLLLTARRIGQETLTEENLADDDIEIELAPIAVQLAYVHQLLGQTQEAAGAYTDIVNRNLADEPSLAVAVNNLIAVKGPKEISDSLRKLDRLKEKDSQKFELARAIDMKLSPKQKETIYANRVLLLLHANKMDQARELVAVLPEMFPDNVMPLLLQAAVLVRENKAGKAEEMLGQFAERFPEKSKIILLARAQVAAAAGHPQIAADSLAKVPDIQHMPATVATLVALKERAGDINGAAAVLDSAIKWWKTAMTEGDQLSVITREAASFKLRHGKEEEAAHLYEELVKSNGNIEALVGLITTVAHANVEKAEAYEKQLKSLPGLKGVDVDALEKTSGAKPVDGASRAGLAEAQEDGKTKEKSKKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAAQVRGSQGAVVRDKNEPNASATNSNTSNSKSNQATSSKGVSQSAEPSRPQSKSSRKKSRK >KJB60977 pep chromosome:Graimondii2_0_v6:9:34966930:34971754:-1 gene:B456_009G334100 transcript:KJB60977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPKEKPKAAASPSQPPPPIEDLFTSLNRHIQRSEFTQAVKVANQVLSVAPGDEDAIRCEVVALIKADKIEEALSAIQSSHKVSFDFSFYKAYCLYRQNKLDEALEVLGKQDKTQPSMLLESQILYRLGKMDACVDICRNLQKAKIDSLEINLVAGLISAGRASEVQGTLDAIKTKATSSFELAYNIACSLIAGNKLKDAEKLLLTARRIGQETLTEENLADDDIEIELAPIAVQLAYVHQLLGQTQEAAGAYTDIVNRNLADEPSLAVAVNNLIAVKGPKEISDSLRKLDRLKEKDSQKFELARAIDMKLSPKQKETIYANRVLLLLHANKMDQARELVAVLPEMFPDNVMPLLLQAAVLVRENKAGKAEEMLGQFAERFPEKSKIILLARAQVAAAAGHPQIAADSLAKVPDIQHMPATVATLVALKERAGDINGAAAVLDSAIKWWKTAMTEGDQLSVITREAASFKLRHGKEEEAAHLYEELVKSNGNIEALVGLITTVAHANVEKAEAYEKQLKSLPGLKGVDVDALEKTSGAKPVDGASRAGLAEAQEDGKTKEKSKKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAAQVRGSQGAVVRDKNEPNASATNSNTSNSKSNQATSSKGVSQSAEPSRPQSKSSRKKSRK >KJB62623 pep chromosome:Graimondii2_0_v6:9:66866270:66866892:1 gene:B456_009G426600 transcript:KJB62623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLALLVATFALAFFLVNASIYRTSITPGGDEETWSRHGRQSCQDQIWRQGYLPNCQRYMNEETGSSHHRYSSHYLNSCCDELENLREDCRCQGLKHALGQQLKLQGVRWESPEAEDMCEAAETALNRCGLESRRCDMHSGRWL >KJB53494 pep chromosome:Graimondii2_0_v6:9:33055488:33058027:-1 gene:B456_009G328400 transcript:KJB53494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMEEDTVKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >KJB53492 pep chromosome:Graimondii2_0_v6:9:33055008:33057458:-1 gene:B456_009G328400 transcript:KJB53492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMEEDTVKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEKNILPKKLFSCTIIIFLH >KJB53491 pep chromosome:Graimondii2_0_v6:9:33055005:33057896:-1 gene:B456_009G328400 transcript:KJB53491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMEEDTVKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFIKKHSPKEAFLMHHHHLSPLNYRSRRSIHWWLI >KJB53493 pep chromosome:Graimondii2_0_v6:9:33055488:33056697:-1 gene:B456_009G328400 transcript:KJB53493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TNFFILFLHVVFHFLHDRLTLPFVCFFFCSRPMEEDTVKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >KJB53495 pep chromosome:Graimondii2_0_v6:9:33055488:33057901:-1 gene:B456_009G328400 transcript:KJB53495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMEEDTVKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >KJB59328 pep chromosome:Graimondii2_0_v6:9:20610070:20615976:1 gene:B456_009G252500 transcript:KJB59328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQTVITASTPLLEDAYSYGVVEHPFPLNWFLKDWYLGPKFYHAVKIGIVQYMILKMICALLAMILQSFGVYGEGKFEWRYGYPYLAVVLNFSQTWALYCLLQFYAVTKEKLEPIRPLAKFLTFKSIVFLTWWQGVAVAFLFSMGAFKGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAVPYKRGERCVRNVAVMTDYASLGTPPDPEEVQDCERTTRMRLDRHYEREKRLNFPQSVRDVVLGSGEIIVDDMKYTVSHVVEPVERGIAKINKTFHQISENVKRHEEERRRNSKDDSYLVPLSSWNREFSEARENVVEGSVSDSGLAATGKRNNNIQARNRSAR >KJB59323 pep chromosome:Graimondii2_0_v6:9:20610423:20615128:1 gene:B456_009G252500 transcript:KJB59323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRGVFYSSLFLLTLVESSSRSEKILFSNLGAQKAVEFSWPVFSASIFVFVALVLSMYLIFEHLASYNQPEEQKFLIGLILMVPVYALESFLSLLDSGAAFNCEVIRDCYEAFALYCFERYLIACLGGEERTIQFMESQTVITASTPLLEDAYSYGVVEHPFPLNWFLKDWYLGPKFYHAVKIGIVQYMILKMICALLAMILQSFGVYGEGKFEWRYGYPYLAVVLNFSQTWALYCLLQFYAVTKEKLEPIRPLAKFLTFKSIVFLTWWQGVAVAFLFSMGAFKGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAVPYKRGERCVRNVAVMTDYASLGTPPDPEEVQDCERTTRMRLDRHYEREKRLNFPQSVRDVVLGSGEIVRHLSICLSGRILFCFLVFLVFVALR >KJB59326 pep chromosome:Graimondii2_0_v6:9:20610112:20614789:1 gene:B456_009G252500 transcript:KJB59326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRGVFYSSLFLLTLVESSSRSEKILFSNLGAQKAVEFSWPVFSASIFVFVALVLSMYLIFEHLASYNQPEEQKFLIGLILMVPVYALESFLSLLDSGAAFNCEVIRDCYEAFALYCFERYLIACLGGEERTIQFMESQTVITASTPLLEDAYSYGVVEHPFPLNWFLKDWYLGPKFYHAVKIGIVQYMILKMICALLAMILQSFGVYGEGKFEWRYGYPYLAVVLNFSQTWALYCLLQFYAVTKEKLEPIRPLAKFLTFKSIVFLTWWQGVAVAFLFSMGAFKGSLAQELKTRIQDYIICIEVFF >KJB59327 pep chromosome:Graimondii2_0_v6:9:20610112:20615945:1 gene:B456_009G252500 transcript:KJB59327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRGVFYSSLFLLTLVESSSRSEKILFSNLGAQKAVEFSWPVFSASIFVFVALVLSMYLIFEHLASYNQPEEQKFLIGLILMVPVYALESFLSLLDSGAAFNCEVIRDCYEAFALYCFERYLIACLGGEERTIQFMESQTVITASTPLLEDAYSYGVVEHPFPLNWFLKDWYLGPKFYHAVKIGIVQYMILKMICALLAMILQSFGVYGEGKFEWRYGYPYLAVVLNFSQTWALYCLLQFYAVTKEKLEPIRPLAKFLTFKSIVFLTWWQGVAVAFLFSMGAFKGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAVPYKRGERCVRNVAVMTDYASLGTPPDPEEVQDCERTTRMRLDRHYEREKRLNFPQSVRDVVLGSGEIVRHLSICLSGRILFCFLVFLVFVALR >KJB59325 pep chromosome:Graimondii2_0_v6:9:20608277:20616122:1 gene:B456_009G252500 transcript:KJB59325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRGVFYSSLFLLTLVESSSRSEKILFSNLGAQKAVEFSWPVFSASIFVFVALVLSMYLIFEHLASYNQPEEQKFLIGLILMVPVYALESFLSLLDSGAAFNCEVIRDCYEAFALYCFERYLIACLGGEERTIQFMESQTVITASTPLLEDAYSYGVVEHPFPLNWFLKDWYLGPKFYHAVKIGIVQYMILKMICALLAMILQSFGVYGEGKFEWRYGYPYLAVVLNFSQTWALYCLLQFYAVTKEKLEPIRPLAKFLTFKSIVFLTWWQGVAVAFLFSMGAFKGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAVPYKRGERCVRNVAVMTDYASLGTPPDPEEVQDCERTTRMRLDRHYEREKRLNFPQSVRDVVLGSGEIIVDDMKYTVSHVVEPVERGIAKINKTFHQISENVKRHEEERRRNSKDDSYLVPLSSWNREFSEARENVVEGSVSDSGLAATGKRNNNIQARNRSAR >KJB59324 pep chromosome:Graimondii2_0_v6:9:20608277:20616122:1 gene:B456_009G252500 transcript:KJB59324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRGVFYSSLFLLTLVESSSRSEKILFSNLGAQKAVEFSWPVFSASIFVFVALVLSMYLIFEHLASYNQPEEQKFLIGLILMVPVYALESFLSLLDSGAAFNCEVIRDCYEAFALYCFERYLIACLGGEERTIQFMESQTVITASTPLLEDAYSYGVVEHPFPLNWFLKDWYLGPKFYHAVKIGIVQYMILKMICALLAMILQSFGVYGEGKFEWRYGYPYLAVVLNFSQTWALYCLLQFYAVTKEKLEPIRPLAKFLTFKSIVFLTWWQGVAVAFLFSMGAFKGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAVPYKRGERCVRNVAVMTDYASLGTPPDPEEVQDCERTTRMRLDRHYEREKRLNFPQSVRDVVLGSGEIIVDDMKYTVSHVVEPVERGIAKINKTFHQISENVKRHEEERRRNSKDDSYLVPLSSWNREFSEARENVVEGSVSDSGLAATGKRNNNIQARNRSAR >KJB55511 pep chromosome:Graimondii2_0_v6:9:5760948:5763059:1 gene:B456_009G079900 transcript:KJB55511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSVLQYFREYFHGGWRSAHLTGLGSYIVFALEAKFSAMLNPKAGWRLRLKGESLHGR >KJB59679 pep chromosome:Graimondii2_0_v6:9:22180991:22193494:1 gene:B456_009G267200 transcript:KJB59679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSNYFDDFFFSLLFSTELAASIPRTEIVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECCEGPRGRSSSGKKLDLGEDSSSSKGLQSAQLYGFEEERVGVPVKGGLYEVDLVKRHCFPVYWNGENRRVLRGHWFARKGGVDWLPLREDVAEQLEIAYSNKVWHRRTFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSSVISFGGSAIKLRRGYSASHSPKPTQDELRQRREEEMDDYCSEVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITATLAEKHLTSHQRRTQRVLFIPCQWRKGLKLSGEAAVEKITLDGVRGLRVMLSATVHDVLYYMSPIYCQSIINSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMEWVYEKHSKDVGCPVDTNNQSSNPSSLDNLEENNINVRMKDAVDCVGEDMLVSQPTALVIEGNVEDESLVNSEIDVSAEDSIQKSCEEDVHRLLNDFSGTLLLDEGGLGKATDVAGLSEKVTEEESEEARDKDKEIKMLREEVNSLEAKIAELQSHKSEDTTENKEMLVRKPPSLQKFDQKLVVTLDDAPQRYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMLNIFHPYDPVAYRIEPLVCKEHITKRPVIIPYHKGGRRLHIGFQEFTEDLAARSQGVMDRLSFIRAKVLTVCQSRNTDDLEGPKNMEEKEERPYGSQMIERLTGSEEGRIDHMLQDKTFEHPYLQAIGAHTNYWRDYDTALFILKHLYRDIPEDPDSSMESNGDSSKDKSVFTGWSDHRGSPDEESPLTFSDRIMVKSFSREAKKFVKKS >KJB59677 pep chromosome:Graimondii2_0_v6:9:22178952:22194115:1 gene:B456_009G267200 transcript:KJB59677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVANPTVVGASTVEETSPDLLKNTPSNIARLEDVIEHCKGRRKYLAQTRSPSDGGDVRWYFCKVPLAENELAASIPRTEIVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECCEGPRGRSSSGKKLDLGEDSSSSKGLQSAQLYGFEEERVGVPVKGGLYEVDLVKRHCFPVYWNGENRRVLRGHWFARKGGVDWLPLREDVAEQLEIAYSNKVWHRRTFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSSVISFGGSAIKLRRGYSASHSPKPTQDELRQRREEEMDDYCSEVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITATLAEKHLTSHQRRTQRVLFIPCQWRKGLKLSGEAAVEKITLDGVRGLRVMLSATVHDVLYYMSPIYCQSIINSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMEWVYEKHSKDVGCPVDTNNQSSNPSSLDNLEENNINVRMKDAVDCVGEDMLVSQPTALVIEGNVEDESLVNSEIDVSAEDSIQKSCEEDVHRLLNDFSGTLLLDEGGLGKATDVAGLSEKVTEEESEEARDKDKEIKMLREEVNSLEAKIAELQSHKSEDTTENKEMLVRKPPSLQKFDQKLVVTLDDAPQRYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMLNIFHPYDPVAYRIEPLVCKEHITKRPVIIPYHKGGRRLHIGFQEFTEDLAARSQGVMDRLSFIRAKVLTVCQSRNTDDLEGPKNMEEKEERPYGSQMIERLTGSEEGRIDHMLQDKTFEHPYLQAIGAHTNYWRDYDTALFILKHLYRDIPEDPDSSMESNGDSSKDKSVFTGWSDHRGSPDEESPLTFSDRIMVKSFSREAKKFVKKS >KJB59680 pep chromosome:Graimondii2_0_v6:9:22178952:22194179:1 gene:B456_009G267200 transcript:KJB59680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVANPTVVGASTVEETSPDLLKNTPSNIARLEDVIEHCKGRRKYLAQTRSPSDGGDVRWYFCKVPLAENELAASIPRTEIVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECCEGPRGRSSSGKKLDLGEDSSSSKGLQSAQLYGFEEERVGVPVKGGLYEVDLVKRHCFPVYWNGENRRVLRGHWFARKGGVDWLPLREDVAEQLEIAYSNKVWHRRTFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSSVISFGGSAIKLRRGYSASHSPKPTQDELRQRREEEMDDYCSEVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITATLAEKHLTSHQRRTQRVLFIPCQWRKGLKLSGEAAVEKITLDGVRGLRVMLSATVHDVLYYMSPIYCQSIINSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMEWVYEKHSKDVGCPVDTNNQSSNPSSLDNLEENNINVRMKDAVDCVGEDMLVSQPTALVIEGNVEDESLVNSEIDVSAEDSIQKSCEEDVHRLLNDFSGTLLLDEGGLGKATDVAGLSEKVTEEESEEARDKDKEIKMLREEVNSLEAKIAELQSHKSEDTTENKEMLVRKPPSLQKFDQKLVVTLDDAPQRYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMLNIFHPYDPVAYRIEPLVCKEHITKRPVIIPYHKGGRRLHIGFQEFTEDLAARSQGVMDRLSFIRAKVLTVCQSRNTDDLEGPKNMEEKEERPYGSQMIERLTGSEEGRIDHMLQDKTFEHPYLQAIGAHTEHLFLWTEEFWK >KJB59678 pep chromosome:Graimondii2_0_v6:9:22178952:22194115:1 gene:B456_009G267200 transcript:KJB59678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVANPTVVGASTVEETSPDLLKNTPSNIARLEDVIEHCKGRRKYLAQTRSPSDGGDVRWYFCKVPLAENELAASIPRTEIVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECCEGPRGRSSSGKKLDLGEDSSSSKGLQSAQLYGFEEERVGVPVKGGLYEVDLVKRHCFPVYWNGENRRVLRGHWFARKGGVDWLPLREDVAEQLEIAYSNKVWHRRTFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSSVISFGGSAIKLRRGYSASHSPKPTQDELRQRREEEMDDYCSEVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITATLAEKHLTSHQRRTQRVLFIPCQWRKGLKLSGEAAVEKITLDGVRGLRVMLSATVHDVLYYMSPIYCQSIINSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMEWVYEKHSKDVGCPVDTNNQSSNPSSLDNLEENNINVRMKDAVDCVGEDMLVSQPTALVIEGNVEDESLVNSEIDVSAEDSIQKSCEEDVHRLLNDFSGTLLLDEGGLGKATDVAGLSEKVTEEESEEARDKDKEIKMLREEVNSLEAKIAELQSHKSEDTTENKEMLVRKPPSLQKFDQKLVVTLDDAPQRYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMLNIFHPYDPVAYRIEPLVCKEHITKRPVIIPYHKGGRRLHIGFQEFTEDLAARSQGVMDRLSFIRAKVLTVCQSRNTDDLEGPKNMEEKEERPYGSQMIERLTGSEEGRIDHMLQDKTFEHPYLQAIGAHT >KJB59683 pep chromosome:Graimondii2_0_v6:9:22179314:22194115:1 gene:B456_009G267200 transcript:KJB59683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSATVHDVLYYMSPIYCQSIINSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMEWVYEKHSKDVGCPVDTNNQSSNPSSLDNLEENNINVRMKDAVDCVGEDMLVSQPTALVIEGNVEDESLVNSEIDVSAEDSIQKSCEEDVHRLLNDFSGTLLLDEGGLGKATDVAGLSEKVTEEESEEARDKDKEIKMLREEVNSLEAKIAELQSHKSEDTTENKEMLVRKPPSLQKFDQKLVVTLDDAPQRYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMLNIFHPYDPVAYRIEPLVCKEHITKRPVIIPYHKGGRRLHIGFQEFTEDLAARSQGVMDRLSFIRAKVLTVCQSRNTDDLEGPKNMEEKEERPYGSQMIERLTGSEEGRIDHMLQDKTFEHPYLQAIGAHTNYWRDYDTALFILKHLYRDIPEDPDSSMESNGDSSKDKSVFTGWSDHRGSPDEESPLTFSDRIMVKSFSREAKKFVKKS >KJB59681 pep chromosome:Graimondii2_0_v6:9:22178952:22194361:1 gene:B456_009G267200 transcript:KJB59681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVANPTVVGASTVEETSPDLLKNTPSNIARLEDVIEHCKGRRKYLAQTRSPSDGGDVRWYFCKVPLAENELAASIPRTEIVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECCEGPRGRSSSGKKLDLGEDSSSSKGLQSAQLYGFEEERVGVPVKGGLYEVDLVKRHCFPVYWNGENRRVLRGHWFARKGGVDWLPLREDVAEQLEIAYSNKVWHRRTFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSSVISFGGSAIKLRRGYSASHSPKPTQDELRQRREEEMDDYCSEVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITATLAEKHLTSHQRRTQRVLFIPCQWRKGLKLSGEAAVEKITLDGVRGLRVMLSATVHDVLYYMSPIYCQSIINSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMEWVYEKHSKDVGCPVDTNNQSSNPSSLDNLEENNINVRMKDAVDCVGEDMLVSQPTALVIEGNVEDESLVNSEIDVSAEDSIQKSCEEDVHRLLNDFSGTLLLDEGGLGKATDVAGLSEKVTEEESEEARDKDKEIKMLREEVNSLEAKIAELQSHKSEDTTENKEMLVRKPPSLQKFDQKLVVTLDDAPQRYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMLNIFHPYDPVAYRIEPLVCKEHITKRPVIIPYHKGGRRLHIGFQEFTEDLAARSQGVMDRLSFIRAKVLTVCQSRNTDDLEGPKNMEEKEERPYGSQMIERLTGSEEGRIDHMLQDKTFEHPYLQAIGAHTNYWRDYDTALFILKHLYRDIPEDPDSSMESNGDSSKDKSVFTGWSDHRGSPDEESPLTFSDRIMVKSFSREAKKFVKKS >KJB59685 pep chromosome:Graimondii2_0_v6:9:22185871:22194179:1 gene:B456_009G267200 transcript:KJB59685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVYEKHSKDVGCPVDTNNQSSNPSSLDNLEENNINVRMKDAVDCVGEDMLVSQPTALVIEGNVEDESLVNSEIDVSAEDSIQKSCEEDVHRLLNDFSGTLLLDEGGLGKATDVAGLSEKVTEEESEEARDKDKEIKMLREEVNSLEAKIAELQSHKSEDTTENKEMLVRKPPSLQKFDQKLVVTLDDAPQRYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMLNIFHPYDPVAYRIEPLVCKEHITKRPVIIPYHKGGRRLHIGFQEFTEDLAARSQGVMDRLSFIRAKVLTVCQSRNTDDLEGPKNMEEKEERPYGSQMIERLTGSEEGRIDHMLQDKTFEHPYLQAIGAHTNYWRDYDTALFILKHLYRDIPEDPDSSMESNGDSSKDKSVFTGWSDHRGSPDEESPLTFSDRIMVKSFSREAKKFVKKS >KJB59684 pep chromosome:Graimondii2_0_v6:9:22187648:22194361:1 gene:B456_009G267200 transcript:KJB59684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFKVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMEWVYEKHSKDVGCPVDTNNQSSNPSSLDNLEENNINVRMKDAVDCVGEDMLVSQPTALVIEGNVEDESLVNSEIDVSAEDSIQKSCEEDVHRLLNDFSGTLLLDEGGLGKATDVAGLSEKVTEEESEEARDKDKEIKMLREEVNSLEAKIAELQSHKSEDTTENKEMLVRKPPSLQKFDQKLVVTLDDAPQRYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMLNIFHPYDPVAYRIEPLVCKEHITKRPVIIPYHKGGRRLHIGFQEFTEDLAARSQGVMDRLSFIRAKVLTVCQSRNTDDLEGPKNMEEKEERPYGSQMIERLTGSEEGRIDHMLQDKTFEHPYLQAIGAHTNYWRDYDTALFILKHLYRDIPEDPDSSMESNGDSSKDKSVFTGWSDHRGSPDEESPLTFSDRIMVKSFSREAKKFVKKS >KJB59682 pep chromosome:Graimondii2_0_v6:9:22178755:22194377:1 gene:B456_009G267200 transcript:KJB59682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVANPTVVGASTVEETSPDLLKNTPSNIARLEDVIEHCKGRRKYLAQTRSPSDGGDVRWYFCKVPLAENELAASIPRTEIVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECCEGPRGRSSSGKKLDLGEDSSSSKGLQSAQLYGFEEERVGVPVKGGLYEVDLVKRHCFPVYWNGENRRVLRGHWFARKGGVDWLPLREDVAEQLEIAYSNKVWHRRTFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSSVISFGGSAIKLRRGYSASHSPKPTQDELRQRREEEMDDYCSEVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITATLAEKHLTSHQRRTQRVLFIPCQWRKGLKLSGEAAVEKITLDGVRGLRVMLSATVHDVLYYMSPIYCQSIINSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMEWVYEKHSKDVGCPVDTNNQSSNPSSLDNLEENNINVRMKDAVDCVGEDMLVSQPTALVIEGNVEDESLVNSEIDVSAEDSIQKSCEEDVHRLLNDFSGTLLLDEGGLGKATDVAGLSEKVTEEESEEARDKDKEIKMLREEVNSLEAKIAELQSHKSEDTTENKEMLVRKPPSLQKFDQKLVVTLDDAPQRYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGLGKGQDYWDEENISEEMPACRQMLNIFHPYDPVAYRIEPLVCKEHITKRPVIIPYHKGGRRLHIGFQEFTEDLAARSQGVMDRLSFIRAKVLTVCQSRNTDDLEGPKNMEEKEERPYGSQMIERLTGSEEGRIDHMLQDKTFEHPYLQAIGAHTNYWRDYDTALFILKHLYRDIPEDPDSSMESNGDSSKDKSVFTGWSDHRGSPDEESPLTFSDRIMVKSFSREAKKFVKKS >KJB62381 pep chromosome:Graimondii2_0_v6:9:66447503:66449635:-1 gene:B456_009G424200 transcript:KJB62381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRVCFFFLFHIHICDSVHILFRSPSVCHISCDCFFFLSS >KJB62382 pep chromosome:Graimondii2_0_v6:9:66446725:66450148:-1 gene:B456_009G424200 transcript:KJB62382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKAEPQTIKINQADQAGGAAANAQKSGCCG >KJB62380 pep chromosome:Graimondii2_0_v6:9:66446723:66450256:-1 gene:B456_009G424200 transcript:KJB62380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKAEPQTIKINQADQAGGAAANAQKSGCCG >KJB60416 pep chromosome:Graimondii2_0_v6:9:27395889:27407221:1 gene:B456_009G304200 transcript:KJB60416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKIVFLILLFSFRFRLSLSGQTNSMESVPDLQKSMYMVVDGYPCVRLVNLSGEIGCSNPGRDKVVAPIIKYKNNKELGLPSAILLSMDDVQGFFSRISNDSSFASNVAGVLVESRVEIQHKLKGFSPARKFPQAEFAPYHNTTYEWNPIGSGIMWKSYNFPVFLLSESSTSTLQEIAMKNEKTEKAYTTNVAEFDVVMQTTKVGTHDSESCLKEETCLPLGGYSVWSAVPPINNSSSNQSKPIILTVASMDAASFFRDKSLGADSPISGLISLLAAVDALSHVDGLDNLNKQLVFLVFTGEAWGYLGSRRFLLELDQQSDAVRGLNSSLIQLVMEIGSTGKGFSQGNKTFFAHTQVSSDTNEALDALKLAQESLKSEGVTVSNASSSNPGIPPSSLMAFLRKNSSTSGIVLEDFDTVFANKFYHSHLDDSANINSSAIVAAASLVARTLYVLASDKKDSTSSALSSINANASLVEELISCLLDCDPGLSCELVSSYITSVDTCPSYYVGVALGEPSSTPSPNQVDDISRFVWNFLADRTSTLKGNTTVCSKDCSNNGGVCIRAETDGKGICVNSTTRYVPAYSTRLKLDSGTWKVLPPNSSDPMGMLDPVWTESNWNTIGLRVYTVQEAAYDRLVLLGGISVTVLAYLAIVLTRAYITKALKQD >KJB60414 pep chromosome:Graimondii2_0_v6:9:27395801:27407224:1 gene:B456_009G304200 transcript:KJB60414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKIVFLILLFSFRFRLSLSGNGQTNSMESVPDLQKSMYMVVDGYPCVRLVNLSGEIGCSNPGRDKVVAPIIKYKNNKELGLPSAILLSMDDVQGFFSRISNDSSFASNVAGVLVESRVEIQHKLKGFSPARKFPQAEFAPYHNTTYEWNPIGSGIMWKSYNFPVFLLSESSTSTLQEIAMKNEKTEKAYTTNVAEFDVVMQTTKVGTHDSESCLKEETCLPLGGYSVWSAVPPINNSSSNQSKPIILTVASMDAASFFRDKSLGADSPISGLISLLAAVDALSHVDGLDNLNKQLVFLVFTGEAWGYLGSRRFLLELDQQSDAVRGLNSSLIQLVMEIGSTGKGFSQGNKTFFAHTQVSSDTNEALDALKLAQESLKSEGVTVSNASSSNPGIPPSSLMAFLRKNSSTSGIVLEDFDTVFANKFYHSHLDDSANINSSAIVAAASLVARTLYVLASDKKDSTSSALSSINANASLVEELISCLLDCDPGLSCELVSSYITSVDTCPSYYVGVALGEPSSTPSPNQVDDISRFVWNFLADRTSTLKGNTTVCSKDCSNNGGVCIRAETDGKGICVNSTTRYVPAYSTRLKLDSGTWKVLPPNSSDPMGMLDPVWTESNWNTIGLRVYTVQEAAYDRLVLLGGISVTVLAYLAIVLTRAYITKALKQD >KJB60415 pep chromosome:Graimondii2_0_v6:9:27395889:27407221:1 gene:B456_009G304200 transcript:KJB60415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKIVFLILLFSFRFRLSLSGNGQTNSMESVPDLQKSMYMVVDGYPCVRLVNLSGEIGCSNPGRDKVVAPIIKYKNNKELGLPSAILLSMDDVQGFFSRISNDSSFASNVAGVLVESRVEIQHKLKGFSPARKFPQAEFAPYHNTTYEWNPIGSGIMWKSYNFPVFLLSESSTSTLQEIAMKNEKTEKAYTTNVAEFDVVMQTTKVGTHDSESCLKEETCLPLGGYSVWSAVPPINNSSSNQSKPIILTVASMDAASFFRDKSLGADSPISGLISLLAAVDALSHVDGLDNLNKQLVFLVFTGEAWGYLGSRRFLLELDQQSDAVRGLNSSLIQLVMEIGSTGKGFSQGNKTFFAHTQVSSDTNEALDALKLAQESLKSEGVTVSNASSSNPGIPPSSLMAFLRKNSSTSGIVLEDFDTVFANKFYHSHLDDSAIVAAASLVARTLYVLASDKKDSTSSALSSINANASLVEELISCLLDCDPGLSCELVSSYITSVDTCPSYYVGVALGEPSSTPSPNQVDDISRFVWNFLADRTSTLKGNTTVCSKDCSNNGGVCIRAETDGKGICVNSTTRYVPAYSTRLKLDSGTWKVLPPNSSDPMGMLDPVWTESNWNTIGLRVYTVQEAAYDRLVLLGGISVTVLAYLAIVLTRAYITKALKQD >KJB55451 pep chromosome:Graimondii2_0_v6:9:5606673:5610179:-1 gene:B456_009G077900 transcript:KJB55451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYLFISCFSIIFFIKSLTLKPPPPWAAEMRLLSPWFRKDVSFFLSSRLIKNILGLAYFTTVVSKMSLKKMSFTSKVDNFEEVEESAMSILDLPDLVLECILERLPPAALCSMAGVCSSLRSRCISDHFWEKHMKKKWGRIIGPAAYREWQWHIALRTRKDSSHLMQGKPKGLMRVLSIVRPSWWIKSKVDDSSKQCSLPDDSIMSWFLALETGRFWFPAQVYNRENGHVGFMLSCYDAELSYDPRIDTFKARYPPHGRRAVAKEGDVPWERLRAPPFDTSPHDLHISDCLNELRPGDNIEIQWRRNKEFPYGWWYGVVGHLESCDGNDNYCRCHNSVHRRLKVEACHNQSERTPRGRKRGRWILWRNQKTLQ >KJB55449 pep chromosome:Graimondii2_0_v6:9:5606657:5610302:-1 gene:B456_009G077900 transcript:KJB55449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYLFISCFSIIFFIKSLTLKPPPPWAAEMRLLSPWFRKDVSFFLSSRLIKNILGLAYFTTVVSKMSLKKMSFTSKVDNFEEVEESAMSILDLPDLVLECILERLPPAALCSMAGVCSSLRSRCISDHFWEKHMKKKWGRIIGPAAYREWQWHIALRTRKDSSHLMQGKPKGLMRVLSIVRPSWWIKSKVDDSSKQCSLPDDSIMSWFLALETGRFWFPAQVYNRENGHVGFMLSCYDAELSYDPRIDTFKARYPPHGRRAVAKEGDVPWERLRAPPFDTSPHDLHISDCLNELRPGDNIEIQWRRNKEFPYGWWYGVVGHLESCDGNDNYCRCHNSDTVVLEFNQYTAGSRWRRATINRKEHREEGNEADGFYGGIRKLCNEEEISTWKRLWPSEILE >KJB55450 pep chromosome:Graimondii2_0_v6:9:5607129:5610011:-1 gene:B456_009G077900 transcript:KJB55450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYLFISCFSIIFFIKSLTLKPPPPWAAEMRLLSPWFRKDVSFFLSSRLIKNILGLAYFTTVVSKMSLKKMSFTSKVDNFEEVEESAMSILDLPDLVLECILERLPPAALCSMAGVCSSLRSRCISDHFWEKHMKKKWGRIIGPAAYREWQWHIALRTRKDSSHLMQGKPKGLMRVLSIVRPSWWIKSKVDDSSKQCSLPDDSIMSWFLALETGRFWFPAQVYNRENGHVGFMLSCYDAELSYDPRIDTFKARYPPHGRRAVAKEGDVPWERLRAPPFDTSPHDLHISDCLNELRPGDNIEIQWRRNKEFPYGWWYGVVGHLESCDGNDNYCRCHNSEFNQYTAGSRWRRATINRKEHREEGNEADGFYGGIRKLCNEEEISTWKRLWPSEILE >KJB55452 pep chromosome:Graimondii2_0_v6:9:5608490:5610179:-1 gene:B456_009G077900 transcript:KJB55452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYLFISCFSIIFFIKSLTLKPPPPWAAEMRLLSPWFRKDVSFFLSSRLIKNILGLAYFTTVVSKMSLKKMSFTSKVDNFEEVEESAMSILDLPDLVLECILERLPPAALCSMAGVCSSLRSRCISDHFWEKHMKKKWGRIIGPAAYREWQWHIALRTRKDSSHLMQGKPKGLMRVLSIVRPSWWIKSKVDDSSKQCSLPDDSIMSWFLALETGRFWFPAQVYNRENGHVGFMLSCYDAELSYDPRIDTFKARYPPHGRRAVAKEGDVPWERLRAPPFDTSPHDLHISDCLNELRPGDNIEIQWRRNKEFPYG >KJB55152 pep chromosome:Graimondii2_0_v6:9:4689693:4690103:-1 gene:B456_009G065900 transcript:KJB55152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLRVITITLPYPRFPNLCFPLLCFSIFCPRPQPSDSPTPPCKDTFFIAILPDFAFTRLVFQRFFQRLFCMFDWKT >KJB57293 pep chromosome:Graimondii2_0_v6:9:12048719:12050826:-1 gene:B456_009G157300 transcript:KJB57293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHGFRDFCYHGGAFNNIDHDQQSYGGETDHMYRVSSSSIDPSYMSFTDCLHSTSMDYGSLEKAFGLSPTSSEVFSSVEGGNRTMMKQHVGADELGGNTGEVTATLNSYVSSSSSEAGCEEDSDKSKKDGQPKGSDDGGESSKKGNKAKMKGEKKQREPRFAFVTKSEVDQLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCTVKKRVERSFQDPSTVITTYEGQHNHPLPTTLRGSAAGLFPPSMLTPSPLGRPSFPHELLMQMPSYHHQMNNQAPAGSMFAENFSPFHQYVHQHQVPDYGLLQDMVPSTFLKHEP >KJB57092 pep chromosome:Graimondii2_0_v6:9:11222950:11225711:-1 gene:B456_009G147800 transcript:KJB57092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIKSFKGYGKVDEAEERAFKRKTRRRLIILVISIIVLLAVIIGAVAGTLIHKRNNSSPDTASPTELTPAASLKAVCEVTQYPSSCFSSISSIASSNANDPKILFKLSLKVAIDELSGLSQYPKKLQAETNNSQVKHALDVCGTVFDDALDRLNDSATSLEVGEGESLLSDSKIDDLKTWLSTVITDQETCLDALEELNTTKNFNATLFEELKAAMQNSSEYASNSLAIAAKFLGLLTNFRIPIHRRLLGFQKAAPSEFPAWVSPTERRLLQESKPTPNVIVAKDGSGHFKTINEAVQLVGKKNQSRFVIYVKEGKYVENVNLDKHKWNVMIYGDGKTKTTISGSRNFVDGTATFDTATFTVAGRGFIAKDIKFENTAGAAKHQAVAMRSGSDRSVFYSCAFDAYQDTLYAHSNRQFYRECDILGTIDFIFGNAAVVFQNCNIQPRQPLPNQFNTITAQGKKDPNQNTGISIQKCTITPFGNLTANTYLGRPWKEFSTTVIMQSNIGAFLNPVGWREWVTNVDPPSTIFYAEYQNTGPGSTVDQRVKWAGYRSSLTEADAGKFTVANFIQGQDWLPAATVAYEPAF >KJB61625 pep chromosome:Graimondii2_0_v6:9:49993931:49994500:1 gene:B456_009G371000 transcript:KJB61625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKGFPTIFLFICFVLVITNCFMVEADTCKPSGKLRGKKPPLGKCNKGHDSDCCQEGKFYNTYTCSPPVSSHTTATLTLNGFGPKEDGGGPCECDNNYHKDSELIVALSTGWFNKKKRCMNYINIHGNGKTVKAKVVDECDSTVGCDDEHDYQPPCANNIVDASDAVWDALGVYGDKRGEMEIYWSDA >KJB58636 pep chromosome:Graimondii2_0_v6:9:17029731:17035676:1 gene:B456_009G219200 transcript:KJB58636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSSEQPLKKRRLFDPPPDTVSQPETSVAPPTTPPPLSQEEILLRRRNRDEIRSVYENYKRIKSCISLKGKDARCMPELEQAYHALITASRGCLSVQRLVADFVPRYASHCPTALESATKAIIDVHNSSLAVISRGEDADNVAFQTAKACIFGLADICSTASIEAPSSLVARGICSTVFQNVLSFLIASFQGKDLFQIVDRDICKILDSDEMFSKLKQKFSDEDESSLIKLFKLQILSLLRILFCCPKKLLSACFELFRSSETDEADKGLYFLRQATGRLDDLDPTFGLDKIKVEPKSCMDSLGTSTKTSVLTGETPGSDSCTAIEDSSSVLKSSLLGLVFGKNPSLRNWMLLKYKKLCKSSPSKSVPEIVSSLEGIFELFGKGINMEASLVDSDEDDSDSSKFSSQPNLISRSSNQHETLSDQSGRGKSSNETCIENLSGQYLNPHIVPPETNLHLNAGSSHDSGGSRSVDFERHDHGDLTSSRSSVPRDQSSHQLLSPATRTPRDLRGNSFEGRNHFKNVDMSQGSNSSGTSALRSASGGVSNALASPSNRYGASSLSVWYFDSDPASLGVFSASRQLWLGSLGPDASEGYIRFELERFGPVEQFFFFQVKGFALVEYRNIIDSIRAREYIRGCFPWQVMFMDIGLGTRGSVNGVAVGSSSHVYVGNISSQWAKDETLHESRKVIYKGPYMVIDLTCECALLLEYETPEEAAAVMAHLRQHRKERNGHMPPFNAAPPSVSMPHVDSGRSGAAPPIHADIINNTSGNMSSSSMELVSPKLRMENHGTPAPGAHPFQSNWPPEGAVRKGDGYDNNLIADPAQGGGGAVSGASAQVWSYQKPESEMHSAPGSMPCMPIATQNLTAPPPPPQLQAPPFMRPVYHPPNSSWDPRGLNHQFPQNPISPGVVPNTFHGNAVPPPPPPPFVSASVTPLAQMQGPPVQHFEQRFPHPVVPPPLSSMPPPPPPPLSPPPLPQSLPPLVPPPPNSPPPPPPPIAESTDMGSSERCVKYQWQGSLCKSGAHYCTIYAQRLDSDLCKYSNASPEPTEWPAKLDMTKRTDFRHVKSTFTNTPPHKREVCCLIPSSTSDHKGFQDFISYLKQRDCAGVIKIPVVKSMWSRLLFILPYSQDACSMLSVVPPNASDCLIALVLPKETNFEWV >KJB58638 pep chromosome:Graimondii2_0_v6:9:17029793:17034906:1 gene:B456_009G219200 transcript:KJB58638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSSEQPLKKRRLFDPPPDTVSQPETSVAPPTTPPPLSQEEILLRRRNRDEIRSVYENYKRIKSCISLKGKDARCMPELEQAYHALITASRGCLSVQRLVADFVPRYASHCPTALESATKAIIDVHNSSLAVISRGEDADNVAFQTAKACIFGLADICSTASIEAPSSLVARGICSTVFQNVLSFLIASFQGKDLFQIVDRDICKILDSDEMFSKLKQKFSDEDESSLIKLFKLQILSLLRILFCCPKKLLSACFELFRSSETDEADKGLYFLRQATGRLDDLDPTFGLDKIKVEPKSCMDSLGTSTKTSVLTGETPGSDSCTAIEDSSSVLKSSLLGLVFGKNPSLRNWMLLKYKKLCKSSPSKSVPEIVSSLEGIFELFGKGINMEASLVDSDEDDSDSSKFSSQPNLISRSSNQHETLSDQSGRGKSSNETCIENLSGQYLNPHIVPPETNLHLNAGSSHDSGGSRSVDFERHDHGDLTSSRSSVPRDQSSHQLLSPATRTPRDLRGNSFEGRNHFKNVDMSQGSNSSGTSALRSASGGVSNALASPSNRYGASSLSVWYFDSDPASLGVFSASRQLWLGSLGPDASEGYIRFELERFGPVEQFFFFQVKGFALVEYRNIIDSIRAREYIRGCFPWQVMFMDIGLGTRGSVNGVAVGSSSHVYVGNISSQWAKDETLHESRKVIYKGPYMVIDLTCECALLLEYETPEEAAAVMAHLRQHRKERNGHMPPFNAAPPSVSMPHVDSGRSGAAPPIHADIINNTSGNMSSSSMELVSPKLRMENHGTPAPGAHPFQSNWPPEGAVRKGDGYDNNLIADPAQGGGGAVSGASAQVWSYQKPESEMHSAPGSMPCMPIATQNLTAPPPPPQLQAPPFMRPVYHPPNSSWDPRGLNHQFPQNPISPGVVPNTFHGNAVPPPPPPPFVSASVTPLAQMQGPPVQHFEQRFPHPVVPPPLSSMPPPPPPPLSPPPLPQSLPPLVPPPPNSPPPPPPPIAESTDMGSSERCVKYQWQGSLCKSGAHYCTIYAQRLDSDLCKYSNASPEPTEWPAKLDMTKRTDFRHVKSTFTNTPPHKREVCCLIPSSTSDHKGFQDFISYLKQRDCAGVIKIPVVKSMWSRLLFILPYSQDACSMLSVVPPNASDCLIALVLPKETNFEWV >KJB58637 pep chromosome:Graimondii2_0_v6:9:17029731:17035676:1 gene:B456_009G219200 transcript:KJB58637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSSEQPLKKRRLFDPPPDTVSQPETSVAPPTTPPPLSQEEILLRRRNRDEIRSVYENYKRIKSCISLKGKDARCMPELEQAYHALITASRGCLSVQRLVADFVPRYASHCPTALESATKAIIDVHNSSLAVISRGEDADNVAFQTAKACIFGLADICSTASIEAPSSLVARGICSTVFQNVLSFLIASFQGKDLFQIVDRDICKILDSDEMFSKLKQKFSDEDESSLIKLFKLQILSLLRILFCCPKKLLSACFELFRSSETDEADKGLYFLRQATGRLDDLDPTFGLDKIKVEPKSCMDSLGTSTKTSVLTGETPGSDSCTAIEDSSSVLKSSLLGLVFGKNPSLRNWMLLKYKKLCKSSPSKSVPEIVSSLEGIFELFGKGINMEASLVDSDEDDSDSSKFSSQPNLISRSSNQHETLSDQSGRETNLHLNAGSSHDSGGSRSVDFERHDHGDLTSSRSSVPRDQSSHQLLSPATRTPRDLRGNSFEGRNHFKNVDMSQGSNSSGTSALRSASGGVSNALASPSNRYGASSLSVWYFDSDPASLGVFSASRQLWLGSLGPDASEGYIRFELERFGPVEQFFFFQVKGFALVEYRNIIDSIRAREYIRGCFPWQVMFMDIGLGTRGSVNGVAVGSSSHVYVGNISSQWAKDETLHESRKVIYKGPYMVIDLTCECALLLEYETPEEAAAVMAHLRQHRKERNGHMPPFNAAPPSVSMPHVDSGRSGAAPPIHADIINNTSGNMSSSSMELVSPKLRMENHGTPAPGAHPFQSNWPPEGAVRKGDGYDNNLIADPAQGGGGAVSGASAQVWSYQKPESEMHSAPGSMPCMPIATQNLTAPPPPPQLQAPPFMRPVYHPPNSSWDPRGLNHQFPQNPISPGVVPNTFHGNAVPPPPPPPFVSASVTPLAQMQGPPVQHFEQRFPHPVVPPPLSSMPPPPPPPLSPPPLPQSLPPLVPPPPNSPPPPPPPIAESTDMGSSERCVKYQWQGSLCKSGAHYCTIYAQRLDSDLCKYSNASPEPTEWPAKLDMTKRTDFRHVKSTFTNTPPHKREVCCLIPSSTSDHKGFQDFISYLKQRDCAGVIKIPVVKSMWSRLLFILPYSQDACSMLSVVPPNASDCLIALVLPKETNFEWV >KJB57526 pep chromosome:Graimondii2_0_v6:9:12934298:12934979:1 gene:B456_009G168200 transcript:KJB57526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSKLLLTNSFMFSSLMASDLLEFLYYSYNVELFNVRVEIEYLVFFIYRWKLGFMLQYVTFAFYM >KJB57155 pep chromosome:Graimondii2_0_v6:9:11426560:11430723:-1 gene:B456_009G150700 transcript:KJB57155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQEVLPDDISVSLVRGVRAEEDEEDFQSCCEDDEVWKDNEEVAKEEEKKELDEFSVKMFFKGISLAETGDSSSGFSGIGVVMERSANSPVIQVQKKLDFYVEESVADYLALMDGLTEAMQNKIRRVYAFTDSALLHDQITCEENLDNPLLMALRERIMEHSRNLEEFVLKLVPSTDLLRPLQLAQVAIGVVSSPAKGDKSLQYCSICFEDKPSLMMITMKCSHRFCSHCMRTHVDGKLQSSKVPIRCPQSQCKYYISTAECRSFLPLASYESLERAQAEANVLHSDGIYCPYPNCSVLLDPRECLSTRASSSSQSDNSCVECPVCQRFICVECGVPWHSSMSCEMYQNLPLEERDAADITLHRLAQNKRWRRCQQCRRMIELAQGCYHMTCWCGHEFCYSCGAEYRDSQQTCQCAFWDEDNSEGLATHSVQESEQWAWETFNSLPMLMDAYSDQERSQLALIQRFLAGGFSLSDHHPYQSPPRCTDSYVDAMKDLRQLPWLERFVSVISDNYYEDYIQ >KJB57153 pep chromosome:Graimondii2_0_v6:9:11426916:11429653:-1 gene:B456_009G150700 transcript:KJB57153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQEVLPDDISVSLVRGVRAEEDEEDFQSCCEDDEVWKDNEEVAKEEEKKELDEFSVKMFFKGISLAETGDSSSGFSGIGVVMERSANSPVIQVQKKLDFYVEESVADYLALMDGLTEAMQNKIRRVYAFTDSALLHDQITCEENLDNPLLMALRERIMEHSRNLEEFVLKLVPSTDLLRPLQLAQVAIGVVSSPAKGDKSLQYCSICFEDKPSLMMITMKCSHRFCSHCMRTHVDGKLQSSKVPIRCPQSQCKYYISTAECRSFLPLASYESLERAQAEANVLHSDGIYCPYPNCSVLLDPRECLSTRASSSSQSDNSCVECPVCQRFICVECGVPWHSSMSCEMYQNLPLEERDAADITLHRLAQNKRWRRCQQCRRMIELAQGCYHMTCWCGHEFCYSCGAEYRDSQQTCQCAFWDEDNSEGLATHSVQESEQWAWETFNSLPMLMDAYSDQERSQLALIQRFLAGGFSLSDHHPYQSPPRCTDSYVDAMKDLRQLPWLERFVSVISDNYYEDYIQ >KJB57154 pep chromosome:Graimondii2_0_v6:9:11426916:11429653:-1 gene:B456_009G150700 transcript:KJB57154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQEVLPDDISVSLVRGVRAEEDEEDFQSCCEDDEVWKDNEEVAKEEEKKELDEFSVKMFFKGISLAETGDSSSGFSGIGVVMERSANSPVIQVQKKLDFYVEESVADYLALMDGLTEAMQNKIRRVYAFTDSALLHDQITCEENLDNPLLMALRERIMEHSRNLEEFVLKLVPSTDLLRPLQLAQVAIGVVSSPAKGDKSLQYCSICFEDKPSLMMITMKCSHRFCSHCMRTHVDGKLQSSKVPIRCPQSQCKYYISTAECRSFLPLASYESLERAQAEANVLHSDGIYCPYPNCSVLLDPRECLSTRASSSSQSDNSCVECPVCQRFICVECGVPWHSSMSCEMYQNLPLEERDAADITLHRLAQNKRWRRCQQCRRMIELAQGCYHMTCWCGHEFCYSCGAEYRDSQQTCQCAFWDEDNSEGLATHSVQESEQWAWETFNSLPMLMDAYSDQERSQLALIQRFLAGGFSLSDHHPYQSPPRCTDSYVDAMKDLRQLPWLERFVSVISDNYYEDYIQ >KJB60609 pep chromosome:Graimondii2_0_v6:9:29599616:29601692:-1 gene:B456_009G315000 transcript:KJB60609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRGMGAGRKLRTHRRRQRWADKAYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KJB60325 pep chromosome:Graimondii2_0_v6:9:26560695:26564561:-1 gene:B456_009G300000 transcript:KJB60325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHDLQAQRREMKHKGRNVVWSIAMDKCLIEALAVQAKNGNKIDKCFNENAYTAACIAVNSRFNLNLNNQKVINRLKTIKKRYKVMRDMLSQDGFRWNPNTKMIEYDSEDLWKRYIAHPDAKGFRGKQIEMYDELKIVCGNYQAPSRWAKMKDGSHPMGYKNFEEDSASFVSPSSDDLSDTDGTESYSGQPEYLHEGSQDPPVMEPLRQLPKRPRESDVLQEAMLAVASSIRRLADAMDQSKTAINPSELLQAVLEIDGLEEAKQMYAFEYLNADPIKARAFMTYNVRMRKMYLFRQFWWWK >KJB60316 pep chromosome:Graimondii2_0_v6:9:26560084:26564632:-1 gene:B456_009G300000 transcript:KJB60316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHDLQAQRREMKHKGRNVVWSIAMDKCLIEALAVQAKNGNKIDKCFNENAYTAACIAVNSRFNLNLNNQKVINRLKTIKKRYKVMRDMLSQDGFRWNPNTKMIEYDSEDLWKRYIVAHPDAKGFRGKQIEMYDELKIVCGNYQAPSRWAKMKDGSHPMGYKNFEEDSASFVSPSSDDLSDTDGTESYSGQPEYLHEGSQDPPVMEPLRQLPKRPRESDVLQEAMLAVASSIRRLADAMDQSKTAINPSELLQAVLEIDGLEEAKQMYAFEYLNADPIKARAFMTYNVRMRKMYLFRQFWWWK >KJB60323 pep chromosome:Graimondii2_0_v6:9:26560082:26564579:-1 gene:B456_009G300000 transcript:KJB60323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHDLQAQRREMKHKGRNVVWSIAMDKCLIEALAVQAKNGNKIDKCFNENAYTAACIAVNSRFNLNLNNQKVINRLKTIKKRYKVMRDMLSQDGFRWNPNTKMIEYDSEDLWKRYIVAHPDAKGFRGKQIEMYDELKIVCGNYQAPSRWAKMKDGSHPMGYKNFEEDSASFVSPSSDDLSDTDGTESYSGQPEYLHEGSQDPPVMEPLRQLPKRPRESDVLQEAMLAVASSIRRLADAMDQSKTAINPSELLQAVLEIDGLEEAKQMYAFEYLNADPIKARAFMTYNVRMRKMYLFRQFWWWK >KJB60322 pep chromosome:Graimondii2_0_v6:9:26561138:26562142:-1 gene:B456_009G300000 transcript:KJB60322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHDLQAQRREMKHKGRNVVWSIAMDKCLIEALAVQAKNGNKIDKCFNENAYTAACIAVNSRFNLNLNNQKVINRLKTIKKRYKVMRDMLSQDGFRWNPNTKMIEYDSEDLWKRYIVAHPDAKGFRGKQIEMYDELKIVCGNYQAPSRWAKMKDGSHPMGYKNFEEDSASFVSPSSDDLSDTDGTESYSGQPEYLHEGSQDPPVMEPLRQLPKRPRESDVLQEAMLAVASSIRRLADAMDQSKTAINPSELLQAVLEIDGLEEAKQMYAFEYLNADPIKARAFMTYNVRMRKMYLFRQFWWWK >KJB60319 pep chromosome:Graimondii2_0_v6:9:26560695:26564483:-1 gene:B456_009G300000 transcript:KJB60319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHDLQAQRREMKHKGRNVVWSIAMDKCLIEALAVQAKNGNKIDKCFNENAYTAACIAVNSRFNLNLNNQKVINRLKTIKKRYKVMRDMLSQDGFRWNPNTKMIEYDSEDLWKRYIVAHPDAKGFRGKQIEMYDELKIVCGNYQAPSRWAKMKDGSHPMGYKNFEEDSASFVSPSSDDLSDTDGTESYSGQPEYLHEGSQDPPVMEPLRQLPKRPRESDVLQEAMLAVASSIRRLADAMDQSKTAINPSELLQAVLEIDGLEEAKQMYAFEYLNADPIKARAFMTYNVRMRKMYLFRQFWWWK >KJB60317 pep chromosome:Graimondii2_0_v6:9:26561138:26562142:-1 gene:B456_009G300000 transcript:KJB60317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHDLQAQRREMKHKGRNVVWSIAMDKCLIEALAVQAKNGNKIDKCFNENAYTAACIAVNSRFNLNLNNQKVINRLKTIKKRYKVMRDMLSQDGFRWNPNTKMIEYDSEDLWKRYIVAHPDAKGFRGKQIEMYDELKIVCGNYQAPSRWAKMKDGSHPMGYKNFEEDSASFVSPSSDDLSDTDGTESYSGQPEYLHEGSQDPPVMEPLRQLPKRPRESDVLQEAMLAVASSIRRLADAMDQSKTAINPSELLQAVLEIDGLEEAKQMYAFEYLNADPIKARAFMTYNVRMRKMYLFRQFWWWK >KJB60321 pep chromosome:Graimondii2_0_v6:9:26560695:26564451:-1 gene:B456_009G300000 transcript:KJB60321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHDLQAQRREMKHKGRNVVWSIAMDKCLIEALAVQAKNGNKIDKCFNENAYTAACIAVNSRFNLNLNNQKVINRLKTIKKRYKVMRDMLSQDGFRWNPNTKMIEYDSEDLWKRYIVAHPDAKGFRGKQIEMYDELKIVCGNYQAPSRWAKMKDGSHPMGYKNFEEDSASFVSPSSDDLSDTDGTESYSGQPEYLHEGSQDPPVMEPLRQLPKRPRESDVLQEAMLAVASSIRRLADAMDQSKTAINPSELLQAVLEIDGLEEAKQMYAFEYLNADPIKARAFMTYNVRMRKMYLFRQFWWWK >KJB60320 pep chromosome:Graimondii2_0_v6:9:26561138:26562142:-1 gene:B456_009G300000 transcript:KJB60320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHDLQAQRREMKHKGRNVVWSIAMDKCLIEALAVQAKNGNKIDKCFNENAYTAACIAVNSRFNLNLNNQKVINRLKTIKKRYKVMRDMLSQDGFRWNPNTKMIEYDSEDLWKRYIVAHPDAKGFRGKQIEMYDELKIVCGNYQAPSRWAKMKDGSHPMGYKNFEEDSASFVSPSSDDLSDTDGTESYSGQPEYLHEGSQDPPVMEPLRQLPKRPRESDVLQEAMLAVASSIRRLADAMDQSKTAINPSELLQAVLEIDGLEEAKQMYAFEYLNADPIKARAFMTYNVRMRKMYLFRQFWWWK >KJB60326 pep chromosome:Graimondii2_0_v6:9:26560695:26564561:-1 gene:B456_009G300000 transcript:KJB60326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHDLQAQRREMKHKGRNVVWSIAMDKCLIEALAVQAKNGNKIDKCFNENAYTAACIAVNSRFNLNLNNQKVINRLKTIKKRYKVMRDMLSQDGFRWNPNTKMIEYDSEDLWKRYIAHPDAKGFRGKQIEMYDELKIVCGNYQAPSRWAKMKDGSHPMGYKNFEEDSASFVSPSSDDLSDTDGTESYSGQPEYLHEGSQDPPVMEPLRQLPKRPRESDVLQEAMLAVASSIRRLADAMDQSKTAINPSELLQAVLEIDGLEEAKQMYAFEYLNADPIKARAFMTYNVRMRKMYLFRQFWWWK >KJB60318 pep chromosome:Graimondii2_0_v6:9:26561138:26562142:-1 gene:B456_009G300000 transcript:KJB60318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHDLQAQRREMKHKGRNVVWSIAMDKCLIEALAVQAKNGNKIDKCFNENAYTAACIAVNSRFNLNLNNQKVINRLKTIKKRYKVMRDMLSQDGFRWNPNTKMIEYDSEDLWKRYIVAHPDAKGFRGKQIEMYDELKIVCGNYQAPSRWAKMKDGSHPMGYKNFEEDSASFVSPSSDDLSDTDGTESYSGQPEYLHEGSQDPPVMEPLRQLPKRPRESDVLQEAMLAVASSIRRLADAMDQSKTAINPSELLQAVLEIDGLEEAKQMYAFEYLNADPIKARAFMTYNVRMRKMYLFRQFWWWK >KJB60324 pep chromosome:Graimondii2_0_v6:9:26561138:26562142:-1 gene:B456_009G300000 transcript:KJB60324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHDLQAQRREMKHKGRNVVWSIAMDKCLIEALAVQAKNGNKIDKCFNENAYTAACIAVNSRFNLNLNNQKVINRLKTIKKRYKVMRDMLSQDGFRWNPNTKMIEYDSEDLWKRYIVAHPDAKGFRGKQIEMYDELKIVCGNYQAPSRWAKMKDGSHPMGYKNFEEDSASFVSPSSDDLSDTDGTESYSGQPEYLHEGSQDPPVMEPLRQLPKRPRESDVLQEAMLAVASSIRRLADAMDQSKTAINPSELLQAVLEIDGLEEAKQMYAFEYLNADPIKARAFMTYNVRMRKMYLFRQFWWWK >KJB54361 pep chromosome:Graimondii2_0_v6:9:2358977:2360696:-1 gene:B456_009G031400 transcript:KJB54361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSNCYSSLYPFPIDLNEDDQHQHHQLFNLISQPSSSSSSSSSSSSPSTSLTCPFSFSPTVQHQQAAFYQSLPQQFHDDQQDQEKIHVPQDGPLRSDCELRLSIWKKEERVETHHQSHDSAKWMPSKTRMMSSDHTDLSNSPTPKSEDHQEQKQPSSSPDNNNSTIRVCADCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAAAAAAAAGIVVAAETPPSMKSEVQRLKAKRSINDGFPHLKNKKCKLNSQSQSRKKLCFEDLRIILSKNSAFHGVFPQDEKEAAILLMALSYGLVHG >KJB54362 pep chromosome:Graimondii2_0_v6:9:2358996:2360533:-1 gene:B456_009G031400 transcript:KJB54362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSNCYSSLYPFPIDLNEDDQHQHHQLFNLISQPSSSSSSSSSSSSPSTSLTCPFSFSPTVQHQQAAFYQSLPQQFHDDQQDQEKIHVPQDGPLRSDCELRLSIWKKEERVETHHQSHDSAKWMPSKTRMMSSDHTDLSNSPTPKSEDHQEQKQPSSSPDNNNSTIRVCADCNTTKTPLWRSGPRGPKVLKFPVFFFFLIQTLALKSNVKSTKAIATQFLLKIFH >KJB62274 pep chromosome:Graimondii2_0_v6:9:61526563:61527658:1 gene:B456_009G409700 transcript:KJB62274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFHFLSHLRILQWPMVLESKYQVTQSIRLISSPILGQHTFKYLHVSLVTGHTLNTCLRVSTDVAHFGHTTLFINLLYCRLHKVEM >KJB56551 pep chromosome:Graimondii2_0_v6:9:9386679:9388458:-1 gene:B456_009G124700 transcript:KJB56551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPQSGILNLNPNENPSPKKQQRSRQAASMAWLIRPLRRTLFSPSLRTALLRQHPNSTSFSNSRDYISDMRKSAFQDNILRLLRKEIQYEHDHCPPKQPTTRFNSFTVDDRSGEQWIRLKRKFREKEDITIDVTMFDGSVPVPDSGQVQSDEQLHITFIVNISKGDDSDVLEIVCSAWPNTIDIQKFYVRGCNRTANHPYTGPEFKELDEQLQDSLYEFLEERSINDGLAIFLHEYMKNKDKTEFIRWLQTVKSHIEKK >KJB60748 pep chromosome:Graimondii2_0_v6:9:31916595:31918128:-1 gene:B456_009G323600 transcript:KJB60748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGMAFFPANFMLQTPHHDHEDHQRSTNSINQILPSCTAPQEFHGVASFLGKRSMSSYSGIDHVCEEANGEDDISDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQYELIKAENDALQAHNKKLQAEIMALKGREASECINLNKETEGCSSNRSENSSDVKLDNDRPPAAAATISRPARTLFPAGLFQAASSNSSHDDHHQPPQMVKEESLITNMFCTIEEQTGFWPWLEQQQHFN >KJB60746 pep chromosome:Graimondii2_0_v6:9:31916553:31918347:-1 gene:B456_009G323600 transcript:KJB60746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGMAFFPANFMLQTPHHDHEDHQRSTNSINQILPSCTAPQEFHGVASFLGKRSMSSYSGIDHVCEEANGEDDISDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQYELIKAENDALQAHNKKLQAEIMALKGREASECINLNKETEGCSSNRSENSSDVKLDNDRPPAAAATISRPARTLFPAGLFQAASSNSSHDDHHQPPQMVKEESLITNMFCTIEEQTGFWPWLEQQQHFN >KJB60747 pep chromosome:Graimondii2_0_v6:9:31916287:31918263:-1 gene:B456_009G323600 transcript:KJB60747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGMAFFPANFMLQTPHHDHEDHQRSTNSINQILPSCTAPQEFHGVASFLGKRSMSSYSGIDHVCEEANGEDDISDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQYELIKAENDALQAHNKKLQAEIMALKGREASECINLNKETEGCSSNRSENSSDVKLDNDRPPAAAATISRPARTLFPAGLFQAASSNSSHDDHHQPPQMVKEESLITNMFCTIEEQTGFWPWLEQQQHFN >KJB57524 pep chromosome:Graimondii2_0_v6:9:12932819:12938061:1 gene:B456_009G168100 transcript:KJB57524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIPHPIPRTVEEVFNDFKGRRAGLIKALTTDVDKFYQQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFLMINDLPSIFEVVTGNVKQSKDQSANHNSSGKSKSSAKSRQSEPQSKMVKMSPPSKDEDESGEEEEEDDEQGATCGACGDSYGTDEFWICCDICERWFHGKCVKITPAKAEHIKQYKCPSCSSKRARV >KJB57525 pep chromosome:Graimondii2_0_v6:9:12932889:12938009:1 gene:B456_009G168100 transcript:KJB57525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIPHPIPRTVEEVFNDFKGRRAGLIKALTTDVDKFYQQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFLMINDLPSIFEVVTGNVKQSKDQSANHNSSGKSKSSAKVSRQSEPQSKMVKMSPPSKDEDESGEEEEEDDEQGATCGACGDSYGTDEFWICCDICERWFHGKCVKITPAKAEHIKQYKCPSCSSKRARV >KJB59928 pep chromosome:Graimondii2_0_v6:9:23813956:23816638:-1 gene:B456_009G281600 transcript:KJB59928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTPVRKPHTSTADLLTWSETPLPDPATSAARSVRPHQPSDGIRKVVFGGQVTDEEFESLNKRKPPSGYKMKEMTGSGIFAANVENDESEPGSANPASNNKTGLRMYQQALAGISHISFAEEETISPKKPTTLPEVAKQRELSGTLESEDSKLQKQLSDAKCKELSGHNIFAPPPEILPRPTTVRALALKDSFDLGESHTHNVLPRSHLVWRKCGR >KJB59927 pep chromosome:Graimondii2_0_v6:9:23813906:23816791:-1 gene:B456_009G281600 transcript:KJB59927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTPVRKPHTSTADLLTWSETPLPDPATSAARSVRPHQPSDGIRKVVFGGQVTDEEFESLNKRKPPSGYKMKEMTGSGIFAANVENDESEPGSANPASNNKTGLRMYQQALAGISHISFAEEETISPKKPTTLPEVAKQRELSGTLESEDSKLQKQLSDAKCKELSGHNIFAPPPEILPRPTTVRALALKDSFDLGESHTHNSSDEARVKTAKKIPNQKLAELSGNDIFKGDVPPGSAEKPLSMAKMREISGSNIFADGKVESRDYFGGVRKPPGGESSIALV >KJB59926 pep chromosome:Graimondii2_0_v6:9:23813836:23816696:-1 gene:B456_009G281600 transcript:KJB59926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTPVRKPHTSTADLLTWSETPLPDPATSAARSVRPHQPSDGIRKVVFGGQVTDEEFESLNKRKPPSGYKMKEMTGSGIFAANVENDESEPGSANPASNNKTGLRMYQQALAGISHISFAEEETISPKKPTTLPEVAKQRELSGTLESEDSKLQKQLSDAKCKELSGHNIFAPPPEILPRPTTVRALALKDSFDLGESHTHNSSDEARVKTAKKIPNQKLAELSGNDIFKGDVPPGSAEKPLSMAKMREISGSNIFADGKVESRDYFGGVRKPPGGESSIALV >KJB53982 pep chromosome:Graimondii2_0_v6:9:1165101:1168719:-1 gene:B456_009G014500 transcript:KJB53982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASILSDLGTEILIPVCAVIGIAFSLLQWVLVSKVKLSPGRDPGSPRNNGAGGKNDCADYLIEEEEGLNDHNVVLKCAEIQSAISEGATSFLFTEYQYVGIFMVAFAILIFVFLGSVEGFSTKSQPCTYDQSKICKPALATAAFSTISFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYITINLFKIYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLIISSVGIIVCLITTLFATDFFEIKAVKEIEPSLKRQLIISTVLMTIGIGVVSWVALPSSFTIFNFGDQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAVSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKVCYLFFFFLLEHWVCFLILFDLWHIWVGST >KJB53981 pep chromosome:Graimondii2_0_v6:9:1163584:1168970:-1 gene:B456_009G014500 transcript:KJB53981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASILSDLGTEILIPVCAVIGIAFSLLQWVLVSKVKLSPGRDPGSPRNNGAGGKNDCADYLIEEEEGLNDHNVVLKCAEIQSAISEGATSFLFTEYQYVGIFMVAFAILIFVFLGSVEGFSTKSQPCTYDQSKICKPALATAAFSTISFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYITINLFKIYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLIISSVGIIVCLITTLFATDFFEIKAVKEIEPSLKRQLIISTVLMTIGIGVVSWVALPSSFTIFNFGDQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAVSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLVVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHAKTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >KJB57085 pep chromosome:Graimondii2_0_v6:9:11205019:11207377:-1 gene:B456_009G147500 transcript:KJB57085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMNLGCGVQLIGMWKLNSKMLKLSQLIPHYGWFPCYNTKSDGKIELLSANHFHLVCCLNNVASLSSLCLLCQSWDTTSISLTAGCDLFMLYVTRTSALEYEDFNSAKSRLIERAEKFGEISCKARRIIAMLSQDFFFDGCTILVHGFSRVVLEVLKTAAENKKHFRVLCTEGRPDRTGLRFSNELAKLDVPVKLLIDSAVAYTMDEVDVVLFGADGVVESGGIINMMGTFQIALVAHSMNKPVYVAAESYKFARHYPLDQKDMSPARRPIDFGVPIPSKVEVETSARDYTPPQYLTLLFTDLGVLTPSVISDELIQLYL >KJB57086 pep chromosome:Graimondii2_0_v6:9:11205019:11208346:-1 gene:B456_009G147500 transcript:KJB57086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWRSASFILDRQQHQNRTVAPSPESFLLSSPPPMADSPLNPKSNISAYYQTRAAHHAVVTTDWLAQAQAAVGRHSDDDGSLGGDKGAARIGGGGGGEEEGSGKAFSVIDEFNSWRKQPALAEAVAAIRALAAVIRTSGAATMMELEIELKKASDSLKSWDTTSISLTAGCDLFMLYVTRTSALEYEDFNSAKSRLIERAEKFGEISCKARRIIAMLSQDFFFDGCTILVHGFSRVVLEVLKTAAENKKHFRVLCTEGRPDRTGLRFSNELAKLDVPVKLLIDSAVAYTMDEVDVVLFGADGVVESGGIINMMGTFQIALVAHSMNKPVYVAAESYKFARHYPLDQKDMSPARRPIDFGVPIPSKVEVETSARDYTPPQYLTLLFTDLGVLTPSVISDELIQLYL >KJB62194 pep chromosome:Graimondii2_0_v6:9:59694922:59698306:-1 gene:B456_009G406000 transcript:KJB62194 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g02130 [Source:Projected from Arabidopsis thaliana (AT5G02130) UniProtKB/TrEMBL;Acc:Q9LZL9] MIHAAVKLSKSVISMSRTSLVAATSSQPLRFLHDGTNSPSSNPVAVQMINYALSHARSQKSDESYAQGMLILEQCLSTQSSESAGQFAQNSIGTVLLAMSNLLYERGSFEEAIEKLHRVQGLTRSSLGVRVAATEALVGLYLQLGQDDTSSVLADKCLDFLHKDDLRSNGGSKESLIARAKAVKGLVELVTGNLESAESFFHGVQDIEAWNGTAVLSYGEFLHATQQFSLAKELYQNIIEGVPKNEDFSDMNTLAICNMASDEVLLAATFALGQLESHMGNFRAAEEILTKALTKTEEYFGSSHPKVGVVLTCIALMYRNKARQERSSSLLIQEGLYRRAIEFLKAPPLESKGAETKLDRGDILALARGGYAEVLCVQQNRKTEGEKMKTWAEAVWSNRRMSLTDVLDISEPSSKVPVIDVRISRVL >KJB62196 pep chromosome:Graimondii2_0_v6:9:59694941:59698273:-1 gene:B456_009G406000 transcript:KJB62196 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g02130 [Source:Projected from Arabidopsis thaliana (AT5G02130) UniProtKB/TrEMBL;Acc:Q9LZL9] MIHAAVKLSKSVISMSRTSLVAATSSQPLRFLHDGTNSPSSNPVAVQMINYALSHARSQKSDESYAQGMLILEQCLSTQSSESAGQFAQNSIGTVLLAMSNLLYERGSFEEAIEKLHRVQGLTRSSLGVRVAATEALVGLYLQLGQDDTSSVLADKCLDFLHKDDLRSNGGSKESLIARAKAVKGLVELVTGNLESAESFFHGVQDIEAWNGTAVLSYGEFLHATQQFSLAKELYQNIIEGVPKNEDFSDMNTLAICNMASDEVLLAATFALGQLESHMGNFRAAEEILTKALTKTEEYFGSSHPKVGVVLTCIALMYRNKARQERSSSLLIQEGLYRRAIEFLKAPPLESKETKLDRGDILALARGGYAEVLCVQQNRKTEGEKMKTWAEAVWSNRRMSLTDVLDISEPSSKVPVIDVRISRVL >KJB62193 pep chromosome:Graimondii2_0_v6:9:59693840:59698273:-1 gene:B456_009G406000 transcript:KJB62193 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g02130 [Source:Projected from Arabidopsis thaliana (AT5G02130) UniProtKB/TrEMBL;Acc:Q9LZL9] MIHAAVKLSKSVISMSRTSLVAATSSQPLRFLHDGTNSPSSNPVAVQMINYALSHARSQKSDESYAQGMLILEQCLSTQSSESAGQFAQNSIGTVLLAMSNLLYERGSFEEAIEKLHRVQGLTRSSLGVRVAATEALVGLYLQLGQDDTSSVLADKCLDFLHKDDLRSNGGSKESLIARAKAVKGLVELVTGNLESAESFFHGVQDIEAWNGTAVLSYGEFLHATQQFSLAKELYQNIIEGVPKNEDFSDMNTLAICNMASDEVLLAATFALGQLESHMGNFRAAEEILTKALTKTEEYFGSSHPKVGVVLTCIALMYRNKARQERSSSLLIQEGLYRRAIEFLKAPPLESKGAETKLDRGDILALARGGYAEVLCVQQNRKTEGEKMKTWAEAVWSNRRMSLTDVLDISEPSSKKQNPV >KJB62195 pep chromosome:Graimondii2_0_v6:9:59694941:59698273:-1 gene:B456_009G406000 transcript:KJB62195 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g02130 [Source:Projected from Arabidopsis thaliana (AT5G02130) UniProtKB/TrEMBL;Acc:Q9LZL9] MIHAAVKLSKSVISMSRTSLVAATSSQPLRFLHDGTNSPSSNPVAVQMINYALSHARSQKSDESYAQGMLILEQCLSTQSSESAGQFAQNSIGTVLLAMSNLLYESFEEAIEKLHRVQGLTRSSLGVRVAATEALVGLYLQLGQDDTSSVLADKCLDFLHKDDLRSNGGSKESLIARAKAVKGLVELVTGNLESAESFFHGVQDIEAWNGTAVLSYGEFLHATQQFSLAKELYQNIIEGVPKNEDFSDMNTLAICNMASDEVLLAATFALGQLESHMGNFRAAEEILTKALTKTEEYFGSSHPKVGVVLTCIALMYRNKARQERSSSLLIQEGLYRRAIEFLKAPPLESKGAETKLDRGDILALARGGYAEVLCVQQNRKTEGEKMKTWAEAVWSNRRMSLTDVLDISEPSSKVPVIDVRISRVL >KJB59615 pep chromosome:Graimondii2_0_v6:9:21834499:21836247:1 gene:B456_009G264000 transcript:KJB59615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSSLILPPYLTKLSFKTQSNQHLLQFLQPSLPKNLTLSSRPRKLRLFVAAEEQTATVASPSSEAARRLYVGNIPRNLKNDELTKIVEEHGAVEKAEVMYDKYSGRSRRFAFVTMKTLEDANAAIEKLNGTQIGGREIKVNITEKPLAQVDLSLLQAEDSQFVDSPHKVYVGNLAKTVTSETLKKFFSEKGKVMSAKVSRVPGSSKSGGFGFVSFSSDEEVEAAINSFNNAYSCPINGYRDMTFKYMKEL >KJB59614 pep chromosome:Graimondii2_0_v6:9:21834445:21836646:1 gene:B456_009G264000 transcript:KJB59614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSSLILPPYLTKLSFKTQSNQHLLQFLQPSLPKNLTLSSRPRKLRLFVAAEEQTATVASPSSEAARRLYVGNIPRNLKNDELTKIVEEHGAVEKAEVMYDKYSGRSRRFAFVTMKTLEDANAAIEKLNGTQIGGREIKVNITEKPLAQVDLSLLQAEDSQFVDSPHKVYVGNLAKTVTSETLKKFFSEKGKVMSAKVSRVPGSSKSGGFGFVSFSSDEEVEAAINSFNNAMLEGQEIRVNKA >KJB59616 pep chromosome:Graimondii2_0_v6:9:21834499:21836622:1 gene:B456_009G264000 transcript:KJB59616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSSLILPPYLTKLSFKTQSNQHLLQFLQPSLPKNLTLSSRPRKLRLFVAAEEQTATVASPSSEAARRLYVGNIPRNLKNDELTKIVEEHGAVEKAEVMYDKYSGRSRRFAFVTMKTLEDANAAIEKLNGTQIGGREIKVNITEKPLAQVDLSLLQAEDSQFVDSPHKVYVGNLAKTVTSETLKKFFSEKGKVMSAKVSRVPGSSKSGGFGFVSFSSDEEVEAAINSFNNAMLEGQEIRVNKA >KJB54508 pep chromosome:Graimondii2_0_v6:9:2718340:2720664:1 gene:B456_009G036800 transcript:KJB54508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSESTAMKPARSLEKPSFAQTCNLLSQYLKEKGSFGGLSLGMTCNVEANGTPVVPPPTMNLFPVSDKSDDVCGRNGGNPKNLTSMDLFPQQAGLASKDDSPNKLEPQTAPMTIFYGGRVIVFNDFPANKAKEIMLLASNSSSQSNDSFNPIPFTSSIARSPIKSSIGVPPTSKPVHPAQRAVPGDLPIARRASLHRFLEKRKDRITAKAPYQISHSAAAPSLSGDNKSWLGLAAQSP >KJB54506 pep chromosome:Graimondii2_0_v6:9:2718340:2720664:1 gene:B456_009G036800 transcript:KJB54506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSESTAMKPARSLEKPSFAQTCNLLSQYLKEKGSFGGLSLGMTCNVEANGTPVVPPPTMNLFPVSDKSDDVCGRNGGNPKNLTSMDLFPQQAGLASKDDSPNKLEPQTAPMTIFYGGRVIVFNDFPANKAKEIMLLASNSSSQSNDSFNPIPFTSSIARSPIKSSIGVPPTSKPVHPAQRAVPGDLPIARRASLHRFLEKRKDRITAKAPYQISHSAAAPSLSGDNKSWLGLAAQSP >KJB54507 pep chromosome:Graimondii2_0_v6:9:2718616:2720038:1 gene:B456_009G036800 transcript:KJB54507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSESTAMKPARSLEKPSFAQTCNLLSQYLKEKGSFGGLSLGMTCNVEANGTPVVPPPTMNLFPVSDKSDDVCGRNGGNPKNLTSMDLFPQQAGLASKDDSPNKLEPQTAPMTIFYGGRVIVFNDFPANKAKEIMLLASNSSSQSNDSFNPIPFTSSIARSPIKSSIGVPPTSKPVHPAQRAVPGDLPIARRASLHRFLEKRKDR >KJB53485 pep chromosome:Graimondii2_0_v6:9:20977852:20987764:-1 gene:B456_009G255200 transcript:KJB53485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHGMKRKELQALCKKHGVPANLTNREMVDRLTSIFKENEDPVSLEESSSIPEEICSENEAKIVKKQLKKVRFSSDNETIEYEVSVYQQRRGRSRRQTLSKNPAQVLENVPDSEDSRNSEGCQVRVTRSRVQNAVEEVVHLAPSPPVGRKRGRVGKKGKDAEEVVSDSEGLELGQKEDVKNGHDKVTGGLRGRQLRSRKNVSQEDSKKIRDGKGGDEVHLLDDNSEAKNGPKQQSTRTSRKGDQSVAFGSEVEKVEVVSRVTRQSSAQSKDVASMVEKEVKIVGVKEGYEAEKPVRRSKRNSEKDFATSVKSDTQVTEVREHSEKDNALVEPPECSRRYSSRRKAVVSQSGKGGNKEEFLKEETRKRSRSAELEAIVEESSEVEKVKCVVTSQQEAPSRRSRRKTVALTALASDLASVGGKGSTEELDAIVEDSSEVERAKYAATSQQEAPSRRSRRKTVVLTAPAADLANKVDIGRTEQLEAPVVGKGTTEELDAIVEDSSEVERAKYAATSQQEAPSRKGRRKTVVLTAPAADLANKEDIGRTEQLEAPVVGKGTTEELDAIVEDSSEVERTKYAATSQQEAPSRRGRRKTVVLTAPAADLANKEDIGRTEQLEAPLVGKGTTEELDAIVEDSSEVERAKAQQEAPSRRNRRKTVILTAPAADLANKEDIGSTEQLKAPLQGKGTTEEVDAIVQDRSEVERAKSAITSQHQSPLRKNRRKTVILTAPAAELPIREDISRMEQLRSPHLEKVSTEEIPRKSSRNSSRHSIPGTSKEDQFAVAENDDQGVKQQTQERNLEEEKTSVLKHHAVTQKPQRSSSLITSASASVTPPNQTAEGIEKKLPSKSGMVIVEEEAAIVESLPSAGELSEDSGNRNNLDLDVSKQVVKNGDACSNWVKSLPVEFESNVINMDSSDASPIAGLPFGVKRDLIGATSTFLHSEKELTVLPGGENTNIESDVSADDSRIPLEEAQIIDKLKADVINLNKFGESSGWKSEQEDCVTKAEEMIATDVSNAEGIPYIESSVEAAENQVSSAQSHFATAETDAHKIFDIQQSRYSNIVAPGTPSGPAPGNQFEDKCQAEAADTAGKEMSYQERSEDPDAGNEPCVHESVLMYEENNELVKNDEEIRIHDGSFESNSSPSLNRTGAVDNSNLVESRMVDFESSGSKMVCPEITSLADIFSSGGQEDHAGKPSRIELENVLETQELSENMNINYNMDAEGIRTPVAEDQIKGKLENANTIIADSVEEVIFSDLLNELGQSIGLKSESEIPLEMSEQLSATKFSNGQGIILIESSVKTEKQEHRAHIDVVASITGTPSTTEMKCRSSSDNATHGTPVGLAPQSQDKLCSAGNTVSIVGSDIFNHDVESGLMEDDKEKLLQDDTIEGEIKNQLFMSLNKTGSLDDACVEVASNANKMVSSGMTYIADVYSSANQTNFAGETSNELKPEKLLDLEEPSRIETVNYTTDKFEVKEDTSLSQEDQGSMHLEDTDITTAEPVQQVVSLKNEEETGTDSSGIGMIQTENSVEINEMSEAVSQKAITERQWSLSNLDKDLISPPRPQEGSQDVKGKPDVSNAGHAIRGQLNHEGVKIVENEEAINTETEFQFVSAKKIIDQSSEGEFRANGVYDPISESIDSETHEKHLCEAFYGERTGPVSSEEKRNDILNSASKGISEKKSPFNSCLPVTDDMQENSEAACMDNKKMRDEIPANIFQQPAATMEESEAAKQGAESEVNAIAFSNGSQALPPQELTAPITSESFSMTPLDHSLVDGERIANGERVMDLPFVKDFAAIMDGNGISARGETDAVQENNIVEEMATSALKVELTDCSGGNVDAELYDTNMSDTETIIQETDTFGVASTEFLEEMSNAPSAMGSDNSRDLDDPSDKVGLERDCSFSSGVDGFTSTAGIIVDNISVLGTLESTLKSNDLQSAIEVDDPNISAAKQLDATVTIEEAGVGDGEKAYVSHLGSLHGGEQEDVSSFADTPCSKLEIRTEKEHSQAMDDINNVGGTEISTECKVTREERDWCHINAAGFNDVTDGSFVEGSKIDLEEIAEVKSDDCESSMKTDAALDSIDGLDVNQSPCLDERKSCDLETEEPHGLHFPGNIAGDSMEVETKSCSKLDIMTLVKPEMVVDCSSVSSVCVVSDERKHLENSDESNIFTCMEMSLFLGVEDGVKTPVAMAGDSKYDNLDKAIPECNSSDFEEGDYDTRRDVDDTNFSVEKDGPEDTEETMELRNDFYGQDAIIAVKSPLSKNSEISRESKYLANPDEPNIFTNVEMSLFLGVEYEVKRSDANAGDGNDVALDKAITECNSSDTKEGDFVARTDVEDTNFSVKNDSPEDTEGTVELSKDFSGQDAAMADKSSLSKHSEISRECEDLADPDESNIFTNMEMSLFLGVEDEVKNSDAKAGESNDVTLDKAIPECNSSDFEEGDHATRTDVDTTFAVKEDGPEETMELRNDFYGQDAAIAGKSPLSKNSGISRG >KJB53482 pep chromosome:Graimondii2_0_v6:9:20976653:20987896:-1 gene:B456_009G255200 transcript:KJB53482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHGMKRKELQALCKKHGVPANLTNREMVDRLTSIFKENEDPVSLEESSSIPEEICSENEAKIVKKQLKKVRFSSDNETIEYEVSVYQQRRGRSRRQTLSKNPAQVLENVPDSEDSRNSEGCQVRVTRSRVQNAVEEVVHLAPSPPVGRKRGRVGKKGKDAEEVVSDSEGLELGQKEDVKNGHDKVTGGLRGRQLRSRKNVSQEDSKKIRDGKGGDEVHLLDDNSEAKNGPKQQSTRTSRKGDQSVAFGSEVEKVEVVSRVTRQSSAQSKDVASMVEKEVKIVGVKEGYEAEKPVRRSKRNSEKDFATSVKSDTQVTEVREHSEKDNALVEPPECSRRYSSRRKAVVSQSGKGGNKEEFLKEETRKRSRSAELEAIVEESSEVEKVKCVVTSQQEAPSRRSRRKTVALTALASDLASVGGKGSTEELDAIVEDSSEVERAKYAATSQQEAPSRRSRRKTVVLTAPAADLANKVDIGRTEQLEAPVVGKGTTEELDAIVEDSSEVERAKYAATSQQEAPSRKGRRKTVVLTAPAADLANKEDIGRTEQLEAPVVGKGTTEELDAIVEDSSEVERTKYAATSQQEAPSRRGRRKTVVLTAPAADLANKEDIGRTEQLEAPLVGKGTTEELDAIVEDSSEVERAKAQQEAPSRRNRRKTVILTAPAADLANKEDIGSTEQLKAPLQGKGTTEEVDAIVQDRSEVERAKSAITSQHQSPLRKNRRKTVILTAPAAELPIREDISRMEQLRSPHLEKVSTEEIPRKSSRNSSRHSIPGTSKEDQFAVAENDDQGVKQQTQERNLEEEKTSVLKHHAVTQKPQRSSSLITSASASVTPPNQTAEGIEKKLPSKSGMVIVEEEAAIVESLPSAGELSEDSGNRNNLDLDVSKQVVKNGDACSNWVKSLPVEFESNVINMDSSDASPIAGLPFGVKRDLIGATSTFLHSEKELTVLPGGENTNIESDVSADDSRIPLEEAQIIDKLKADVINLNKFGESSGWKSEQEDCVTKAEEMIATDVSNAEGIPYIESSVEAAENQVSSAQSHFATAETDAHKIFDIQQSRYSNIVAPGTPSGPAPGNQFEDKCQAEAADTAGKEMSYQERSEDPDAGNEPCVHESVLMYEENNELVKNDEEIRIHDGSFESNSSPSLNRTGAVDNSNLVESRMVDFESSGSKMVCPEITSLADIFSSGGQEDHAGKPSRIELENVLETQELSENMNINYNMDAEGIRTPVAEDQIKGKLENANTIIADSVEEVIFSDLLNELGQSIGLKSESEIPLEMSEQLSATKFSNGQGIILIESSVKTEKQEHRAHIDVVASITGTPSTTEMKCRSSSDNATHGTPVGLAPQSQDKLCSAGNTVSIVGSDIFNHDVESGLMEDDKEKLLQDDTIEGEIKNQLFMSLNKTGSLDDACVEVASNANKMVSSGMTYIADVYSSANQTNFAGETSNELKPEKLLDLEEPSRIETVNYTTDKFEVKEDTSLSQEDQGSMHLEDTDITTAEPVQQVVSLKNEEETGTDSSGIGMIQTENSVEINEMSEAVSQKAITERQWSLSNLDKDLISPPRPQEGSQDVKGKPDVSNAGHAIRGQLNHEGVKIVENEEAINTETEFQFVSAKKIIDQSSEGEFRANGVYDPISESIDSETHEKHLCEAFYGERTGPVSSEEKRNDILNSASKGISEKKSPFNSCLPVTDDMQENSEAACMDNKKMRDEIPANIFQQPAATMEESEAAKQGAESEVNAIAFSNGSQALPPQELTAPITSESFSMTPLDHSLVDGERIANGERVMDLPFVKDFAAIMDGNGISARGETDAVQENNIVEEMATSALKVELTDCSGGNVDAELYDTNMSDTETIIQETDTFGVASTEFLEEMSNAPSAMGSDNSRDLDDPSDKVGLERDCSFSSGVDGFTSTAGIIVDNISVLGTLESTLKSNDLQSAIEVDDPNISAAKQLDATVTIEEAGVGDGEKAYVSHLGSLHGGEQEDVSSFADTPCSKLEIRTEKEHSQAMDDINNVGGTEISTECKVTREERDWCHINAAGFNDVTDGSFVEGSKIDLEEIAEVKSDDCESSMKTDAALDSIDGLDVNQSPCLDERKSCDLETEEPHGLHFPGNIAGDSMEVETKSCSKLDIMTLVKPEMVVDCSSVSSVCVVSDERKHLENSDESNIFTCMEMSLFLGVEDGVKTPVAMAGDSKYDNLDKAIPECNSSDFEEGDYDTRRDVDDTNFSVEKDGPEDTEETMELRNDFYGQDAIIAVKSPLSKNSEISRDVEDTNFSVKNDSPEDTEGTVELSKDFSGQDAAMADKSSLSKHSEISRECEDLADPDESNIFTNMEMSLFLGVEDEVKNSDAKAGESNDVTLDKAIPECNSSDFEEGDHATRTDVDTTFAVKEDGPEETMELRNDFYGQDAAIAERKDLANPDESNIFTNTEMSLFWGVEDEVKNSDVKAGESNDVTLDKAISECNASDFKEGNHVEDANSSVIKDGPEDNEATIELRKDILVEGAAVADKSPLPKTSEIRRAVDGEEAAFRTELKLLNASAKKESNSVSVKQLISSIMKSKSKPVLTQRTPKRPIFHDMKENEGSTKRARIGNLTTPKISSKLRRPLERILD >KJB53484 pep chromosome:Graimondii2_0_v6:9:20976857:20987764:-1 gene:B456_009G255200 transcript:KJB53484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHGMKRKELQALCKKHGVPANLTNREMVDRLTSIFKENEDPVSLEESSSIPEEICSENEAKIVKKQLKKVRFSSDNETIEYEVSVYQQRRGRSRRQTLSKNPAQVLENVPDSEDSRNSEGCQVRVTRSRVQNAVEEVVHLAPSPPVGRKRGRVGKKGKDAEEVVSDSEGLELGQKEDVKNGHDKVTGGLRGRQLRSRKNVSQEDSKKIRDGKGGDEVHLLDDNSEAKNGPKQQSTRTSRKGDQSVAFGSEVEKVEVVSRVTRQSSAQSKDVASMVEKEVKIVGVKEGYEAEKPVRRSKRNSEKDFATSVKSDTQVTEVREHSEKDNALVEPPECSRRYSSRRKAVVSQSGKGGNKEEFLKEETRKRSRSAELEAIVEESSEVEKVKCVVTSQQEAPSRRSRRKTVALTALASDLASVGGKGSTEELDAIVEDSSEVERAKYAATSQQEAPSRRSRRKTVVLTAPAADLANKVDIGRTEQLEAPVVGKGTTEELDAIVEDSSEVERAKYAATSQQEAPSRKGRRKTVVLTAPAADLANKEDIGRTEQLEAPVVGKGTTEELDAIVEDSSEVERTKYAATSQQEAPSRRGRRKTVVLTAPAADLANKEDIGRTEQLEAPLVGKGTTEELDAIVEDSSEVERAKAQQEAPSRRNRRKTVILTAPAADLANKEDIGSTEQLKAPLQGKGTTEEVDAIVQDRSEVERAKSAITSQHQSPLRKNRRKTVILTAPAAELPIREDISRMEQLRSPHLEKVSTEEIPRKSSRNSSRHSIPGTSKEDQFAVAENDDQGVKQQTQERNLEEEKTSVLKHHAVTQKPQRSSSLITSASASVTPPNQTAEGIEKKLPSKSGMVIVEEEAAIVESLPSAGELSEDSGNRNNLDLDVSKQVVKNGDACSNWVKSLPVEFESNVINMDSSDASPIAGLPFGVKRDLIGATSTFLHSEKELTVLPGGENTNIESDVSADDSRIPLEEAQIIDKLKADVINLNKFGESSGWKSEQEDCVTKAEEMIATDVSNAEGIPYIESSVEAAENQVSSAQSHFATAETDAHKIFDIQQSRYSNIVAPGTPSGPAPGNQFEDKCQAEAADTAGKEMSYQERSEDPDAGNEPCVHESVLMYEENNELVKNDEEIRIHDGSFESNSSPSLNRTGAVDNSNLVESRMVDFESSGSKMVCPEITSLADIFSSGGQEDHAGKPSRIELENVLETQELSENMNINYNMDAEGIRTPVAEDQIKGKLENANTIIADSVEEVIFSDLLNELGQSIGLKSESEIPLEMSEQLSATKFSNGQGIILIESSVKTEKQEHRAHIDVVASITGTPSTTEMKCRSSSDNATHGTPVGLAPQSQDKLCSAGNTVSIVGSDIFNHDVESGLMEDDKEKLLQDDTIEGEIKNQLFMSLNKTGSLDDACVEVASNANKMVSSGMTYIADVYSSANQTNFAGETSNELKPEKLLDLEEPSRIETVNYTTDKFEVKEDTSLSQEDQGSMHLEDTDITTAEPVQQVVSLKNEEETGTDSSGIGMIQTENSVEINEMSEAVSQKAITERQWSLSNLDKDLISPPRPQEGSQDVKGKPDVSNAGHAIRGQLNHEGVKIVENEEAINTETEFQFVSAKKIIDQSSEGEFRANGVYDPISESIDSETHEKHLCEAFYGERTGPVSSEEKRNDILNSASKGISEKKSPFNSCLPVTDDMQENSEAACMDNKKMRDEIPANIFQQPAATMEESEAAKQGAESEVNAIAFSNGSQALPPQELTAPITSESFSMTPLDHSLVDGERIANGERVMDLPFVKDFAAIMDGNGISARGETDAVQENNIVEEMATSALKVELTDCSGGNVDAELYDTNMSDTETIIQETDTFGVASTEFLEEMSNAPSAMGSDNSRDLDDPSDKVGLERDCSFSSGVDGFTSTAGIIVDNISVLGTLESTLKSNDLQSAIEVDDPNISAAKQLDATVTIEEAGVGDGEKAYVSHLGSLHGGEQEDVSSFADTPCSKLEIRTEKEHSQAMDDINNVGGTEISTECKVTREERDWCHINAAGFNDVTDGSFVEGSKIDLEEIAEVKSDDCESSMKTDAALDSIDGLDVNQSPCLDERKSCDLETEEPHGLHFPGNIAGDSMEVETKSCSKLDIMTLVKPEMVVDCSSVSSVCVVSDERKHLENSDESNIFTCMEMSLFLGVEDGVKTPVAMAGDSKYDNLDKAIPECNSSDFEEGDYDTRRDVDDTNFSVEKDGPEDTEETMELRNDFYGQDAIIAVKSPLSKNSEISRESKYLANPDEPNIFTNVEMSLFLGVEYEVKRSDANAGDGNDVALDKAITECNSSDTKEGDFVARTDVEDTNFSVKNDSPEDTEGTVELSKDFSGQDAAMADKSSLSKHSEISRECEDLADPDESNIFTNMEMSLFLGVEDEVKNSDAKAGESNDVTLDKAIPECNSSDFEEGDHATRTDVDTTFAVKEDGPEETMELRNDFYGQDAAIAERKDLANPDESNIFTNTEMSLFWGVEDEVKNSDVKAGESNDVTLDKAISECNASDFKEGNHVEDANSSVIKDGPEDNEATIELRKDILVEGAAVADKSPLPKTSEIRRAVDGEEAAFRTELKLLNASAKKESNSVSVKQLISSIMKSKSKPVLTQRTPKRPIFHDMKENEGSTKRARIGNLTTPKISSKLRRPLERILD >KJB53486 pep chromosome:Graimondii2_0_v6:9:20976653:20987929:-1 gene:B456_009G255200 transcript:KJB53486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHGMKRKELQALCKKHGVPANLTNREMVDRLTSIFKENEDPVSLEESSSIPEEICSENEAKIVKKQLKKVRFSSDNETIEYEVSVYQQRRGRSRRQTLSKNPAQVLENVPDSEDSRNSEGCQVRVTRSRVQNAVEEVVHLAPSPPVGRKRGRVGKKGKDAEEVVSDSEGLELGQKEDVKNGHDKVTGGLRGRQLRSRKNVSQEDSKKIRDGKGGDEVHLLDDNSEAKNGPKQQSTRTSRKGDQSVAFGSEVEKVEVVSRVTRQSSAQSKDVASMVEKEVKIVGVKEGYEAEKPVRRSKRNSEKDFATSVKSDTQVTEVREHSEKDNALVEPPECSRRYSSRRKAVVSQSGKGGNKEEFLKEETRKRSRSAELEAIVEESSEVEKVKCVVTSQQEAPSRRSRRKTVALTALASDLASVGGKGSTEELDAIVEDSSEVERAKYAATSQQEAPSRRSRRKTVVLTAPAADLANKVDIGRTEQLEAPVVGKGTTEELDAIVEDSSEVERAKYAATSQQEAPSRKGRRKTVVLTAPAADLANKEDIGRTEQLEAPVVGKGTTEELDAIVEDSSEVERTKYAATSQQEAPSRRGRRKTVVLTAPAADLANKEDIGRTEQLEAPLVGKGTTEELDAIVEDSSEVERAKAQQEAPSRRNRRKTVILTAPAADLANKEDIGSTEQLKAPLQGKGTTEEVDAIVQDRSEVERAKSAITSQHQSPLRKNRRKTVILTAPAAELPIREDISRMEQLRSPHLEKVSTEEIPRKSSRNSSRHSIPGTSKEDQFAVAENDDQGVKQQTQERNLEEEKTSVLKHHAVTQKPQRSSSLITSASASVTPPNQTAEGIEKKLPSKSGMVIVEEEAAIVESLPSAGELSEDSGNRNNLDLDVSKQVVKNGDACSNWVKSLPVEFESNVINMDSSDASPIAGLPFGVKRDLIGATSTFLHSEKELTVLPGGENTNIESDVSADDSRIPLEEAQIIDKLKADVINLNKFGESSGWKSEQEDCVTKAEEMIATDVSNAEGIPYIESSVEAAENQSRYSNIVAPGTPSGPAPGNQFEDKCQAEAADTAGKEMSYQERSEDPDAGNEPCVHESVLMYEENNELVKNDEEIRIHDGSFESNSSPSLNRTGAVDNSNLVESRMVDFESSGSKMVCPEITSLADIFSSGGQEDHAGKPSRIELENVLETQELSENMNINYNMDAEGIRTPVAEDQIKGKLENANTIIADSVEEVIFSDLLNELGQSIGLKSESEIPLEMSEQLSATKFSNGQGIILIESSVKTEKQEHRAHIDVVASITGTPSTTEMKCRSSSDNATHGTPVGLAPQSQDKLCSAGNTVSIVGSDIFNHDVESGLMEDDKEKLLQDDTIEGEIKNQLFMSLNKTGSLDDACVEVASNANKMVSSGMTYIADVYSSANQTNFAGETSNELKPEKLLDLEEPSRIETVNYTTDKFEVKEDTSLSQEDQGSMHLEDTDITTAEPVQQVVSLKNEEETGTDSSGIGMIQTENSVEINEMSEAVSQKAITERQWSLSNLDKDLISPPRPQEGSQDVKGKPDVSNAGHAIRGQLNHEGVKIVENEEAINTETEFQFVSAKKIIDQSSEGEFRANGVYDPISESIDSETHEKHLCEAFYGERTGPVSSEEKRNDILNSASKGISEKKSPFNSCLPVTDDMQENSEAACMDNKKMRDEIPANIFQQPAATMEESEAAKQGAESEVNAIAFSNGSQALPPQELTAPITSESFSMTPLDHSLVDGERIANGERVMDLPFVKDFAAIMDGNGISARGETDAVQENNIVEEMATSALKVELTDCSGGNVDAELYDTNMSDTETIIQETDTFGVASTEFLEEMSNAPSAMGSDNSRDLDDPSDKVGLERDCSFSSGVDGFTSTAGIIVDNISVLGTLESTLKSNDLQSAIEVDDPNISAAKQLDATVTIEEAGVGDGEKAYVSHLGSLHGGEQEDVSSFADTPCSKLEIRTEKEHSQAMDDINNVGGTEISTECKVTREERDWCHINAAGFNDVTDGSFVEGSKIDLEEIAEVKSDDCESSMKTDAALDSIDGLDVNQSPCLDERKSCDLETEEPHGLHFPGNIAGDSMEVETKSCSKLDIMTLVKPEMVVDCSSVSSVCVVSDERKHLENSDESNIFTCMEMSLFLGVEDGVKTPVAMAGDSKYDNLDKAIPECNSSDFEEGDYDTRRDVDDTNFSVEKDGPEDTEETMELRNDFYGQDAIIAVKSPLSKNSEISRESKYLANPDEPNIFTNVEMSLFLGVEYEVKRSDANAGDGNDVALDKAITECNSSDTKEGDFVARTDVEDTNFSVKNDSPEDTEGTVELSKDFSGQDAAMADKSSLSKHSEISRECEDLADPDESNIFTNMEMSLFLGVEDEVKNSDAKAGESNDVTLDKAIPECNSSDFEEGDHATRTDVDTTFAVKEDGPEETMELRNDFYGQDAAIAERKDLANPDESNIFTNTEMSLFWGVEDEVKNSDVKAGESNDVTLDKAISECNASDFKEGNHVEDANSSVIKDGPEDNEATIELRKDILVEGAAVADKSPLPKTSEIRRAVDGEEAAFRTELKLLNASAKKESNSVSVKQLISSIMKSKSKPVLTQRTPKRPIFHDMKENEGSTKRARIGNLTTPKISSKLRRPLERILD >KJB53483 pep chromosome:Graimondii2_0_v6:9:20976653:20987929:-1 gene:B456_009G255200 transcript:KJB53483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHGMKRKELQALCKKHGVPANLTNREMVDRLTSIFKENEDPVSLEESSSIPEEICSENEAKIVKKQLKKVRFSSDNETIEYEVSVYQQRRGRSRRQTLSKNPAQVLENVPDSEDSRNSEGCQVRVTRSRVQNAVEEVVHLAPSPPVGRKRGRVGKKGKDAEEVVSDSEGLELGQKEDVKNGHDKVTGGLRGRQLRSRKNVSQEDSKKIRDGKGGDEVHLLDDNSEAKNGPKQQSTRTSRKGDQSVAFGSEVEKVEVVSRVTRQSSAQSKDVASMVEKEVKIVGVKEGYEAEKPVRRSKRNSEKDFATSVKSDTQVTEVREHSEKDNALVEPPECSRRYSSRRKAVVSQSGKGGNKEEFLKEETRKRSRSAELEAIVEESSEVEKVKCVVTSQQEAPSRRSRRKTVALTALASDLASVGGKGSTEELDAIVEDSSEVERAKYAATSQQEAPSRKGRRKTVVLTAPAADLANKEDIGRTEQLEAPVVGKGTTEELDAIVEDSSEVERTKYAATSQQEAPSRRGRRKTVVLTAPAADLANKEDIGRTEQLEAPLVGKGTTEELDAIVEDSSEVERAKAQQEAPSRRNRRKTVILTAPAADLANKEDIGSTEQLKAPLQGKGTTEEVDAIVQDRSEVERAKSAITSQHQSPLRKNRRKTVILTAPAAELPIREDISRMEQLRSPHLEKVSTEEIPRKSSRNSSRHSIPGTSKEDQFAVAENDDQGVKQQTQERNLEEEKTSVLKHHAVTQKPQRSSSLITSASASVTPPNQTAEGIEKKLPSKSGMVIVEEEAAIVESLPSAGELSEDSGNRNNLDLDVSKQVVKNGDACSNWVKSLPVEFESNVINMDSSDASPIAGLPFGVKRDLIGATSTFLHSEKELTVLPGGENTNIESDVSADDSRIPLEEAQIIDKLKADVINLNKFGESSGWKSEQEDCVTKAEEMIATDVSNAEGIPYIESSVEAAENQQSRYSNIVAPGTPSGPAPGNQFEDKCQAEAADTAGKEMSYQERSEDPDAGNEPCVHESVLMYEENNELVKNDEEIRIHDGSFESNSSPSLNRTGAVDNSNLVESRMVDFESSGSKMVCPEITSLADIFSSGGQEDHAGKPSRIELENVLETQELSENMNINYNMDAEGIRTPVAEDQIKGKLENANTIIADSVEEVIFSDLLNELGQSIGLKSESEIPLEMSEQLSATKFSNGQGIILIESSVKTEKQEHRAHIDVVASITGTPSTTEMKCRSSSDNATHGTPVGLAPQSQDKLCSAGNTVSIVGSDIFNHDVESGLMEDDKEKLLQDDTIEGEIKNQLFMSLNKTGSLDDACVEVASNANKMVSSGMTYIADVYSSANQTNFAGETSNELKPEKLLDLEEPSRIETVNYTTDKFEVKEDTSLSQEDQGSMHLEDTDITTAEPVQQVVSLKNEEETGTDSSGIGMIQTENSVEINEMSEAVSQKAITERQWSLSNLDKDLISPPRPQEGSQDVKGKPDVSNAGHAIRGQLNHEGVKIVENEEAINTETEFQFVSAKKIIDQSSEGEFRANGVYDPISESIDSETHEKHLCEAFYGERTGPVSSEEKRNDILNSASKGISEKKSPFNSCLPVTDDMQENSEAACMDNKKMRDEIPANIFQQPAATMEESEAAKQGAESEVNAIAFSNGSQALPPQELTAPITSESFSMTPLDHSLVDGERIANGERVMDLPFVKDFAAIMDGNGISARGETDAVQENNIVEEMATSALKVELTDCSGGNVDAELYDTNMSDTETIIQETDTFGVASTEFLEEMSNAPSAMGSDNSRDLDDPSDKVGLERDCSFSSGVDGFTSTAGIIVDNISVLGTLESTLKSNDLQSAIEVDDPNISAAKQLDATVTIEEAGVGDGEKAYVSHLGSLHGGEQEDVSSFADTPCSKLEIRTEKEHSQAMDDINNVGGTEISTECKVTREERDWCHINAAGFNDVTDGSFVEGSKIDLEEIAEVKSDDCESSMKTDAALDSIDGLDVNQSPCLDERKSCDLETEEPHGLHFPGNIAGDSMEVETKSCSKLDIMTLVKPEMVVDCSSVSSVCVVSDERKHLENSDESNIFTCMEMSLFLGVEDGVKTPVAMAGDSKYDNLDKAIPECNSSDFEEGDYDTRRDVDDTNFSVEKDGPEDTEETMELRNDFYGQDAIIAVKSPLSKNSEISRESKYLANPDEPNIFTNVEMSLFLGVEYEVKRSDANAGDGNDVALDKAITECNSSDTKEGDFVARTDVEDTNFSVKNDSPEDTEGTVELSKDFSGQDAAMADKSSLSKHSEISRECEDLADPDESNIFTNMEMSLFLGVEDEVKNSDAKAGESNDVTLDKAIPECNSSDFEEGDHATRTDVDTTFAVKEDGPEETMELRNDFYGQDAAIAERKDLANPDESNIFTNTEMSLFWGVEDEVKNSDVKAGESNDVTLDKAISECNASDFKEGNHVEDANSSVIKDGPEDNEATIELRKDILVEGAAVADKSPLPKTSEIRRAVDGEEAAFRTELKLLNASAKKESNSVSVKQLISSIMKSKSKPVLTQRTPKRPIFHDMKENEGSTKRARIGNLTTPKISSKLRRPLERILD >KJB57122 pep chromosome:Graimondii2_0_v6:9:11343326:11345634:1 gene:B456_009G149300 transcript:KJB57122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWWHKMIFPVRRLWFVVSSRVKARKNGAGLLKLHDDVQTCGYEDVQVMWEMLRRSESELLAANNNPKRKHRPAFWRVFVWSSNHTSSFSPNYA >KJB57121 pep chromosome:Graimondii2_0_v6:9:11343297:11346057:1 gene:B456_009G149300 transcript:KJB57121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWWHKMIFPVRRLWFVVSSRVKARKNGAGLLKLHDDVQTCGYEDVQVMWEMLRRSESELLAANNNPKPWTFASYV >KJB60411 pep chromosome:Graimondii2_0_v6:9:27390812:27393960:-1 gene:B456_009G304100 transcript:KJB60411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIQYGRHALRQIIKETNVQQSHDRLMQPLFYACQGIRYRKLDVILTTSIEKLGKAGETVKVAPGYFRNHLMPKLLAVPNIDKFAYLIKEQRKIYQPKEEEVQVVTKNVEDKSKVYEKAAYRLLNGRLVLRRSINVEKFRARSTKDDPIELRSPVTKEEIVAEVARQLCVQIDPENLHLPTPLETFGLFDVQLRMPKSIPLPEGRYNWTLKVKIRGK >KJB60538 pep chromosome:Graimondii2_0_v6:9:28926634:28927389:1 gene:B456_009G310900 transcript:KJB60538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIRASPSTSSATTTLTIDINSAASSSSSQPQEALVLELRPRKKRVTWKEGTVDNEFMNKKSSKICCIYHKEKPFDEDDSDDDCHDHHHHPSHGSDSSNDGCRLNPSNST >KJB61896 pep chromosome:Graimondii2_0_v6:9:52679001:52679897:-1 gene:B456_009G389400 transcript:KJB61896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKSAILLAFATSVILVLVTGINAGDIAIYWGQNSDEGTLAETCATGNYDFVSLAFLPTFGNGQTPMINLAGHCDPYSNGCTNLSSDIKSCQAQGIKVILSLGGGAGSYYLASSDDARQVATYLWNNFLGGESASRPFGDAILDGIDFDIEGGTNQHWDDLAKYLSGYSQRSKKVYLTAAPQCPFPDAWVGGALKTGLFDYVWVQFYNNPQCEYIDGDITNLENAWKQWTTNVPATKIFLGLPASPEAAGRGFIPESDLISQVLPAIKGSTKYGGVMLWSNYYDNQTGYSSAIKNYV >KJB57564 pep chromosome:Graimondii2_0_v6:9:13106091:13108720:1 gene:B456_009G170000 transcript:KJB57564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEQYYAQEWKTIPSDSTSENFNGSFDCNICLDFAHEPIVTLCGHLYCWPCIYKWLHVQSASLAPDEHPQCPVCKADISHTTMVPLYGRGQSESELQGKQTLYRGMVIPPRPPACGNKALLSTTSPSSQQLPYRNPYQNESYNRHAHNEEGSSVPPLLNLGGTAVTGFHHPVVGMFGEMVYARVFGNSESLYTYPNSYYLTGSSSPRLRRHEMQADRSLNRISIFLFCCFLLCLIVF >KJB57561 pep chromosome:Graimondii2_0_v6:9:13107570:13108720:1 gene:B456_009G170000 transcript:KJB57561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEQYYAQEWKTIPSDSTSENFNGSFDCNICLDFAHEPIVTLCGHLYCWPCIYKWLHVQSASLAPDEHPQCPVCKADISHTTMVPLYGRGQSESELQGKQTLYRGMVIPPRPPACGNKALLSTTSPSSQQLPYRNPYQNESYNRHAHNEEGSSVPPLLNLGGTAVTGFHHPVVGMFGEMVYARVFGNSESLYTYPNSYYLTGSSSPRLRRHEMQADRSLNRISIFLFCCFLLCLIVF >KJB57562 pep chromosome:Graimondii2_0_v6:9:13106891:13108720:1 gene:B456_009G170000 transcript:KJB57562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEQYYAQEWKTIPSDSTSENFNGSFDCNICLDFAHEPIVTLCGHLYCWPCIYKWLHVQSASLAPDEHPQCPVCKADISHTTMVPLYGRGQSESELQGKQTLYRGMVIPPRPPACGNKALLSTTSPSSQQLPYRNPYQNESYNRHAHNEEGSSVPPLLNLGGTAVTGFHHPVVGMFGEMVYARVFGNSESLYTYPNSYYLTGSSSPRLRRHEMQADRSLNRISIFLFCCFLLCLIVF >KJB57560 pep chromosome:Graimondii2_0_v6:9:13107487:13108720:1 gene:B456_009G170000 transcript:KJB57560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEQYYAQEWKTIPSDSTSENFNGSFDCNICLDFAHEPIVTLCGHLYCWPCIYKWLHVQSASLAPDEHPQCPVCKADISHTTMVPLYGRGQSESELQGKQTLYRGMVIPPRPPACGNKALLSTTSPSSQQLPYRNPYQNESYNRHAHNEEGSSVPPLLNLGGTAVTGFHHPVVGMFGEMVYARVFGNSESLYTYPNSYYLTGSSSPRLRRHEMQADRSLNRISIFLFCCFLLCLIVF >KJB57563 pep chromosome:Graimondii2_0_v6:9:13107483:13108720:1 gene:B456_009G170000 transcript:KJB57563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEQYYAQEWKTIPSDSTSENFNGSFDCNICLDFAHEPIVTLCGHLYCWPCIYKWLHVQSASLAPDEHPQCPVCKADISHTTMVPLYGRGQSESELQGKQTLYRGMVIPPRPPACGNKALLSTTSPSSQQLPYRNPYQNESYNRHAHNEEGSSVPPLLNLGGTAVTGFHHPVVGMFGEMVYARVFGNSESLYTYPNSYYLTGSSSPRLRRHEMQADRSLNRISIFLFCCFLLCLIVF >KJB55853 pep chromosome:Graimondii2_0_v6:9:7115116:7116483:-1 gene:B456_009G098200 transcript:KJB55853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRKNKHLAMAVEGSSEEHMFDEVFLVKTGNGVSMSCPLYEGYQNREANSKLEDNGEVKRNNRKRIPAKKQAGNGKEMVDLGSLLTQCVQAVAVYDQRIATELLGKIGYYSSPYGDGTERLAHYFAKGLEIRLNGTRAPLFTQIPSNTTLATDVLKSHILHVSVFPFGRISNLFSNRNIAKLAEKATTVHLRITGIDFPQPGFRPAERIEATGRRLRRYCENVNVPFEFNAIAKRWETIRAEELNIEKDELLVVNCMYRLRNLPDDSVTLNSPRNMVLKLIKRLNPDLFIQGVVNGNYNFPFFINRFREAFFHFSAMFDVLEANVGGEDPDRLLLERETFGRDAINVIAYEGMERSDRSETYKQWQLRNQKAGFRQLSLEQNMLNRARTVLKSDYHKDFDIEVDGKWMLQGWKGRVIHALSCWKPVQD >KJB59054 pep chromosome:Graimondii2_0_v6:9:18680535:18684229:-1 gene:B456_009G236500 transcript:KJB59054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWLCCFNSSQYGGGRSSSASGKGKSHEGIMRFGFSLVKGKASHPMEDYHVAKFMQIQGHELGLFAIYDGHLGDSVPAYLQKHLFANILKEEEFWVDPRRAISKAYDKTDQAILSRAADLGRGGSTAVTAILINGKSLWVANVGDSRAVLSSRGQATQMTTDHEPNTERGSIENRGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQNAKVDGNTDVLVLASDGLWKVMTNQEAVDIAKRFKDPQKAAKQLTTEAVKRDSKDDISCVVVRFRG >KJB53904 pep chromosome:Graimondii2_0_v6:9:856582:859919:-1 gene:B456_009G010600 transcript:KJB53904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENISPFKGIIKDVKGRSACYKQDWVSGFRSGYRILAPTTYIFFASALPVIAFGEQLSRDTDGALSPVETLASTALCGIIHSIVGGQPLLILGVAEPTIIMYTYLYNFCKARAELGKQLFLAWAAWVCVWTALLLILLAIFNACTIITRFTRIAGELFGMLITVLFIQEAIKGVVSEFNIPKGENPNLEKYQFHWLYTNGLLAVIFSFGLTITALKTRKARSWRYGTGWFRSFIAEYGVPLMVVCWTALSYTVPRKVNSGIPRRLFCPLLWEPESLPHWTVIKDMGNVPIEYIFAAIIPALMIAGLYFFDHSVASQLAQQKEFNLKYPSTYHYDVLLLGVMTLICGLLGLPPSNGVLPQSPMHTKSLSVLKKQLIRKKMVKSAKEGKLQHASNSEIYGRMQAVFIKMDQSPALAVDNELKNLKEAVMRGGDGDDDAKENFDPEKDIDAYLPVRVNEQRVSNLLQSLLVGLSLCALPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERLLLLFITPSRRYKVFEGAHASFVESVPFKPILMFTLFQFVYLVICFGVTWIPIAGILFPLPFFLLITIREHIFPKFFHYEHLRELDAAEYEEKSGTPRRNFDLIREEQSTDSRSEGSEDDFYDAEILDEITTNRGELKLRSRSTKEERLYQVHPEEKAELNSSSNRF >KJB56953 pep chromosome:Graimondii2_0_v6:9:10939256:10940375:-1 gene:B456_009G144200 transcript:KJB56953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVADTFTHWFNFPENIEKLMFPSRTHESRDHENKGCSSIPADILDTPKEFIFYLDVPGLSKSDIQVTVEDENTLVIKSGGKRKREEVEEEGCKYIRLERKAPQKLMRKFRLPENANVSAITAKCENGVLTVVVEKLPPPPKPKTVEVAIA >KJB57739 pep chromosome:Graimondii2_0_v6:9:13789941:13794979:1 gene:B456_009G178600 transcript:KJB57739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKYEYVKSFEVEDEVMPPNLIVVHIDGRDFRRFSEVHEFEKPNDEKALNLMNQCAMAVLEEYPDIVFSYGYGDEYSFVLKKTSKFYQRRSSKISSVVVSFFTSVYVTKWKEFFPLKELRYPPSFLSQIVCCASLEILQAYLAWRQRDCHVQNQYNTCFWELVKKGGKTEMEAQEILKYAKEQDRNELLHQQFGINYNGTLALFRQGTCIFKTEVEDIVKYNEDGTPVKRLRRKAGIFRSENIAGRRFWNAHASLLKELGNFSEDCFKTNPDYIRSFLFESKLMPSTWIVIRIDGCHFHRFADVHEFSKPNDKQALGLMNLCAVAVLEEFHDIVFCYGVSDEYSFVLKKDSQLYQRRASKIVSAIVSFFSSMYVMKWKDVFWKKELKYPPSFDGRAVCYPSNEILQDYLAWRQVDCHINNQYNTCFWSLVKSGKSKSEAQNYLKGTQAREKNELLLKEFGINYNTLPLMFRQGSSIFRVKRENPTLLENDASSVEKTETKIVTEHCNIIEQSFWEAHPSILD >KJB57740 pep chromosome:Graimondii2_0_v6:9:13790422:13794788:1 gene:B456_009G178600 transcript:KJB57740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKYEYVKSFEVEDEVMPPNLIVVHIDGRDFRRFSEVHEFEKPNDEKALNLMNQCAMAVLEEYPDIVFSYGYGDEYSFVLKKTSKFYQRRSSKISSVVVSFFTSVYVTKWKEFFPLKELRYPPSFLSQIVCCASLEILQAYLAWRQRDCHVQNQYNTCFWELVKKGGKTEMEAQEILKYAKEQDRNELLHQQFGINYNGTLALFRQGTCIFKTEVEDIVKYNEDGTPVKRLRRKAGIFRSENIAGRRFWNAHASLLKELGNFSEDCFKTNPDYIRSFLFESKLMPSTWIVIRIDGCHFHRFADVHEFSKPNDKQALGLMNLCAVAVLEEFHDIVFCYGVSDEYSFVLKKDSQLYQRRASKIVSAIVSFFSSMYVMKWKDVFWKKELKYPPSFDGRAVCYPSNEILQDYLAWRQVDCHINNQYNTCFWSLVKSGKSKSEAQNYLKVSFWSQYVYSVLTHLCGKLA >KJB57513 pep chromosome:Graimondii2_0_v6:9:12891698:12900377:-1 gene:B456_009G167800 transcript:KJB57513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLKGVSALSRLRSRVKQHSSLSNSVRWLQMQSSSDLDLHSQLKELIPEQQERLKKLRAEHGKVQLGNITVDMVIGGMRGMTGLLWETSLLDPDEGIRFRGLSIPECQKLLPAVKPDGEPLPEGLLWLLLTGKVPSKAQVDALSQELRDRAVVPDYVFKAIDALPVSAHPMTQFATGVMALQVQSEFQKAYEKGISKLKYWEPTYEDALSLIACVPLVASYVYRRMYKDGKFIPMDDSLDYGGNFSHMLGFSSHQMHELMRLYVTIHSDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVDECGENISKEQLKDYVWKTLNGGKVVPGFGHGVLRKTDPRYMCQREFALKHLPDDPLFKLVSQLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLTEARYYTVLFGVSRSIGICSQLIWDRALGLPLERPKSVTMDWLENYCKKAKAA >KJB57515 pep chromosome:Graimondii2_0_v6:9:12891749:12900314:-1 gene:B456_009G167800 transcript:KJB57515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLKGVSALSRLRSRVHSSLSNSVRWLQMQSSSDLDLHSQLKELIPEQQERLKKLRAEHGKVQLGNITVDMVIGGMRGMTGLLWETSLLDPDEGIRFRGLSIPECQKLLPAVKPDGEPLPEGLLWLLLTGKVPSKAQVDALSQELRDRAVVPDYVFKAIDALPVSAHPMTQFATGVMALQVQSEFQKAYEKGISKLKYWEPTYEDALSLIACVPLVASYVYRRMYKDGKFIPMDDSLDYGGNFSHMLGFSSHQMHELMRLYVTIHSDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVDECGENISKEQLKDYVWKTLNGGKVVPGFGHGVLRKTDPRYMCQREFALKHLPDDPLFKLVSQLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLTEARYYTVLFGVSRSIGICSQLIWDRALGLPLERPKSVTMDWLENYCKKAKAA >KJB57514 pep chromosome:Graimondii2_0_v6:9:12891749:12898676:-1 gene:B456_009G167800 transcript:KJB57514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGGMRGMTGLLWETSLLDPDEGIRFRGLSIPECQKLLPAVKPDGEPLPEGLLWLLLTGKVPSKAQVDALSQELRDRAVVPDYVFKAIDALPVSAHPMTQFATGVMALQVQSEFQKAYEKGISKLKYWEPTYEDALSLIACVPLVASYVYRRMYKDGKFIPMDDSLDYGGNFSHMLGFSSHQMHELMRLYVTIHSDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVDECGENISKEQLKDYVWKTLNGGKVVPGFGHGVLRKTDPRYMCQREFALKHLPDDPLFKLVSQLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLTEARYYTVLFGVSRSIGICSQLIWDRALGLPLERPKSVTMDWLENYCKKAKAA >KJB59345 pep chromosome:Graimondii2_0_v6:9:20452191:20457326:1 gene:B456_009G251200 transcript:KJB59345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKACWPYFDPEYETLSARMNPPRVSVDNISCRHCTVVKIHSVNKPGILLEVVQILTDLDFTITKAYISSDGGWFMDVFHVTDQQGKKITDGRTVDYIERALGPKGHAADGMKNCPGKRVGVHSVGNHTAIELVGRDRPGLLSEISAVLADLQFNVAAAEVWTHNRRIACVLYVNDYSTNHAVHDLNRLSIMEEQLKHILRGSEDDDKVARTSFSMGFTHTDRRLHQMLFADRDYEGSGVTSEVDYPSSFKPKVTVDRCEEKGYSVVSVQCKDRAKLMFDIVCTLTDMQYVVFHANISSNGPYASQEYFIRHMDGCTLDAEGEKERVIKCLEASIHRRVSEGLSLELCAKDRVGLLSEVTRILRENGLSVRRAGISTIGEEAVNVFYVRDTYGNPVESKKIEALRREIGHTMMLNVKKDPGSAKAPEAEAISTGWAKTSFFFGNLLDKLLA >KJB59344 pep chromosome:Graimondii2_0_v6:9:20451863:20457279:1 gene:B456_009G251200 transcript:KJB59344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKACWPYFDPEYETLSARMNPPRVSVDNISCRHCTVVKIHSVNKPGILLEVVQILTDLDFTITKAYISSDGGWFMDVFHVTDQQGKKITDGRTVDYIERALGPKGHAADGMKNCPGKRVGVHSVGNHTAIELVGRDRPGLLSEISAVLADLQFNVAAAEVWTHNRRIACVLYVNDYSTNHAVHDLNRLSIMEEQLKHILRGSEDDDKVARTSFSMGFTHTDRRLHQMLFADRDYEGSGVTSEVDYPSSFKPKVTVDRCEEKGYSVVSVQCKDRAKLMFDIVCTLTDMQYVVFHANISSNGPYASQEYFIRHMDGCTLDAEGEKERVIKCLEASIHRRVSEGLSLELCAKDRVGLLSEVTRILRENGLSVRRAGISTIGEEAVNVFYVRDTYGNPVESKKIEALRREIGHTMMLNVKKDPGSAKAPEAEAISTGWAKTSFFFGNLLDKLLA >KJB59341 pep chromosome:Graimondii2_0_v6:9:20451740:20457326:1 gene:B456_009G251200 transcript:KJB59341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKACWPYFDPEYETLSARMNPPRVSVDNISCRHCTVVKIHSVNKPGILLEVVQILTDLDFTITKAYISSDGGWFMDVFHVTDQQGKKITDGRTVDYIERALGPKGHAADGMKNCPGKRVGVHSVGNHTAIELVGRDRPGLLSEISAVLADLQFNVAAAEVWTHNRRIACVLYVNDYSTNHAVHDLNRLSIMEEQLKHILRGSEDDDKVARTSFSMGFTHTDRRLHQMLFADRDYEGSGVTSEVDYPSSFKPKVTVDRCEEKGYSVVSVQCKDRAKLMFDIVCTLTDMQYVVFHANISSNGPYASQEYFIRHMDGCTLDAEGEKERVIKCLEASIHRRVSEGLSLELCAKDRVGLLSEVTRILRENGLSVRRAGISTIGEEAVNVFYVRDTYGNPVESKKIEALRREIGHTMMLNVKKDPGSAKAPEAEAISTGWAKTSFFFGNLLDKLLA >KJB59342 pep chromosome:Graimondii2_0_v6:9:20451819:20457326:1 gene:B456_009G251200 transcript:KJB59342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKACWPYFDPEYETLSARMNPPRVSVDNISCRHCTVVKIHSVNKPGILLEVVQILTDLDFTITKAYISSDGGWFMDVFHVTDQQGKKITDGRTVDYIERALGPKGHAADGMKNCPGKRVGVHSVGNHTAIELVGRDRPGLLSEISAVLADLQFNVAAAEVWTHNRRIACVLYVNDYSTNHAVHDLNRLSIMEEQLKHILRGSEDDDKVARTSFSMGFTHTDRRLHQMLFADRDYEGSGVTSEVDYPSSFKPKVTVDRCEEKGYSVVSVQCKDRAKLMFDIVCTLTDMQYVVFHANISSNGPYASQEYFIRHMDGCTLDAEGEKERVIKCLEASIHRRVSEGLSLELCAKDRVGLLSEVTRILRENGLSVRRAGISTIGEEAVNVFYVRDTYGNPVESKKIEALRREIGHTMMLNVKKDPGSAKAPEAEAISTGWAKTSFFFGNLLDKLLA >KJB59343 pep chromosome:Graimondii2_0_v6:9:20454126:20456685:1 gene:B456_009G251200 transcript:KJB59343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKACWPYFDPEYETLSARMNPPRVSVDNISCRHCTVVKIHSVNKPGILLEVVQILTDLDFTITKAYISSDGGWFMDVFHVTDQQGKKITDGRTVDYIERALGPKGHAADGMKNCPGKRVGVHSVGNHTAIELVGRDRPGLLSEISAVLADLQFNVAAAEVWTHNRRIACVLYVNDYSTNHAVHDLNRLSIMEEQLKHILRGSEDDDKVARTSFSMGFTHTDRRLHQMLFADRDYEGSGVTSEVDYPSSFKPKVTVDRCEEKGYSVVSVQCKDRAKLMFDIVCTLTDMQYVVFHANISSNGPYASQEYFIRHMDGCTLDAEGEKERVIKCLEASIHRRVSEVSCISN >KJB54994 pep chromosome:Graimondii2_0_v6:9:4135675:4137696:-1 gene:B456_009G057400 transcript:KJB54994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGSLSDGVIKKILLSYTYVAIWIFLSFTVIVYNKYILDKKMYNWPFPISLTMIHMSFCATLAFLLIKVFKVVEPVSMSRELYLSSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKEGFKTDTMANMLSISLGVAIAAYGEAKFDTWGVMLQLGAVAFEATRLVMIQILLTSKGITLNPITSLYYVAPCCFVFLLVPWIFVELPVLKETSSFHFNFVIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHSKLQALKAKEAQKKAAQADEEGGRLLEERESEGTDKKSESQN >KJB54500 pep chromosome:Graimondii2_0_v6:9:2694584:2696063:1 gene:B456_009G036400 transcript:KJB54500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MTIAFSTLLLLPILAKLVVLLGDGRHRLGLLRSFDQFASIVLEGACERVIVGDLYCDIPLGLNIIRGENVVLIGEMDMEKEELPSHMTAVSTVEIKRAQKAERDATYLKGSMKNIMEFLGID >KJB54499 pep chromosome:Graimondii2_0_v6:9:2694618:2695529:1 gene:B456_009G036400 transcript:KJB54499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MTIAFSTLLLLPILAKLVVLLGDGRHRLGLLRSFDQFASIVLEGACERVIVGDLYCDIPLGLNIIRGENVVLIGEMVCCFMPFCWLEELVLL >KJB54498 pep chromosome:Graimondii2_0_v6:9:2694114:2696182:1 gene:B456_009G036400 transcript:KJB54498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MSRIGHDDSFLYTSLATYPGKKLVVLLGDGRHRLGLLRSFDQFASIVLEGACERVIVGDLYCDIPLGLNIIRGENVVLIGEMDMEKEELPSHMTAVSTVEIKRAQKAERDATYLKGSMKNIMEFLGID >KJB54497 pep chromosome:Graimondii2_0_v6:9:2694426:2696182:1 gene:B456_009G036400 transcript:KJB54497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MSRIGHDDSFLYTSLATYPGKKLVVLLGDGRHRLGLLRSFDQFASIVLEGACERVIVGDLYCDIPLGLNIIRGENVVLIGEMDMEKEELPSHMTAVSTVEIKRAQKAERDATYLKGSMKNIMEFLGID >KJB53628 pep chromosome:Graimondii2_0_v6:9:49208616:49209005:1 gene:B456_009G367400 transcript:KJB53628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYNLLTPQRKNKSKHTQTNLSNKNKLIQPPQFILQNHLLNPLGRKINAKTTSHTELHNLKPALNQQSARTRNMHIIKINPNI >KJB57387 pep chromosome:Graimondii2_0_v6:9:12382370:12383998:1 gene:B456_009G161100 transcript:KJB57387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDGIISLFDSCWFEMEIFKKQTTPSTSTVSEPNQDLRAEETSSKPEFTRTPSLHTRSMSDQLSLNSTSFIGSASLSPDSVLHSPKLHKIISGKEMTEEELQDNGSRIQEEPKKKETAASNRRSSRRKKGTSKSLSDLEFEELKGFMDLGFVFSEEDNKDSRLVEIIPGLQRLGRKDGEEENKEAAGAEDDDRDEVSRPYLSEAWHVSERRKENPLMNWRVPALGNEVDVKDSLRWWAHTVASTVK >KJB59162 pep chromosome:Graimondii2_0_v6:9:19379047:19379589:1 gene:B456_009G242300 transcript:KJB59162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRYNCHQNLHLNHAKRSIFLPMLCSKVSINKDVMKLPKWKDKLSDDPLSPKIGCMGQVKRNNRIVGFPALDITTKINNSCNANNNTNDNGIKYFKLKKLFSGKRKQGLVEHGGKENSGSRSINIENMDPPLPVIKRVPKQGDKGEGDTLWQRRSRGVSLESLQLKQIQLNRRREPTTV >KJB59183 pep chromosome:Graimondii2_0_v6:9:19466675:19472601:-1 gene:B456_009G243100 transcript:KJB59183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREAKLPLPPPANLVRPIPNQDTSSSTSSSSSPPGFIRDVQAAFKRHRSLGTIQTNGIMPRRMVVPQRAASRNMGANNDTNKSQDCGSLSHGQLVKNKINAGESQEDAFITPPSITGTTTKTFDENFNPFDVDQDQDKEVDAKKENNPIPLQDVQSQNADGQRKVQFLTGHNAVSQEMEWNTVNQVETSTVVNNESKHSHYQNLESEIVLKSDGGISSLAKRTTIVQDQIHHLRNFLGQPVTQSSVVGSSCATTTSIHSSSVPMLNLTTYCSRTQEEGGSHVAKEPPGDSDVNRQLLNQGDMLQQGFSSKKEMSRMLVDQAAQASTSGNNAQLEVNEFDLSKRQEGSLVTQNELSKDSFPQNDKSIKGQEIAGCVTNIQSQPPLSKDLNSDLKLEPSKAEKQGKVTSSKGAPAPRKRSYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYASAYGFCQEIEYLNRLKGKNNIINLIDYEVTDKNLLREVMNGCMSNKDGRVKDDGYLYMVLEYGEIDLAHMLSQKWKEMDSSNQTIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDANGNTIKCGRPSDIWSLGCILYQMVYGRTPFADYKTFWSKFKVITDPNHEISYEPVSNPWLLDIMKKCLVWDRNKRWRIPELLQHPFLVPPVPPQPSLAQDQSCQLLELIAKACSNNQDASMLCSRLTQLLNDPMSQVTSESLTSREQQCKLLSQMSKLCFQLQEHLSQSG >KJB59184 pep chromosome:Graimondii2_0_v6:9:19466879:19472350:-1 gene:B456_009G243100 transcript:KJB59184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREAKLPLPPPANLVRPIPNQDTSSSTSSSSSPPGFIRDVQAAFKRHRSLGTIQTNGIMPRRMVVPQRAASRNMGANNDTNKSQDCGSLSHGQLVKNKINAGESQEDAFITPPSITGTTTKTFDENFNPFDVDQDQDKEVDAKKENNPIPLQDVQSQNADGQRKVQFLTGHNAVSQGADDGMATGLENLSSHMGSLALTEMEWNTVNQVETSTVVNNESKHSHYQNLESEIVLKSDGGISSLAKRTTIVQDQIHHLRNFLGQPVTQSSVVGSSCATTTSIHSSSVPMLNLTTYCSRTQEEGGSHVAKEPPGDSDVNRQLLNQGDMLQQGFSSKKEMSRMLVDQAAQASTSGNNAQLEVNEFDLSKRQEGSLVTQNELSKDSFPQNDKSIKGQEIAGCVTNIQSQPPLSKDLNSDLKLEPSKAEKQGKVTSSKGAPAPRKRSYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYASAYGFCQEIEYLNRLKGKNNIINLIDYEVTDKNLLREVMNGCMSNKDGRVKDDGYLYMVLEYGEIDLAHMLSQKWKEMDSSNQTIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDANGNTIKCGRPSDIWSLGCILYQMVYGRTPFADYKTFWSKFKVITDPNHEISYEPVSNPWLLDIMKKCLVWDRNKRWRIPELLQHPFLVPPVPPQPSLAQDQSCQLLELIAKACSNNQDASMLCSRLTQLLNDPMSQVTSESLTSREQQCKLLSQMSKLCFQLQEHLSQSG >KJB60398 pep chromosome:Graimondii2_0_v6:9:27283803:27287801:-1 gene:B456_009G303600 transcript:KJB60398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPTRGGVRGGRDQFSWEDVKVDKHRENYLGHSIKAPIGRWQKGMDLHWYSRDKNSKGSDAEALKEEIRRVKEEEEQAMREALGLAPKHSSQPQGNRLDKHELSELVKRGSTAEDLGAGHAEAARVHGLGFARLPRAWEDPTTLPSTQKEASPEPVKVDEPAPSTTNAEEGESDYESSRKRKKREEKRREKHDRHEKRHDNKHEKHEKRHEKNEKRHEKYGKHERHEKHEKPYSRESDDKRSHRKDKERRA >KJB60396 pep chromosome:Graimondii2_0_v6:9:27284023:27287707:-1 gene:B456_009G303600 transcript:KJB60396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPTRGGVRGGRDQFSWEDVKVDKHRENYLGHSIKAPIGRWQKGKDLHWYSRDKNSKGSDAEALKEEIRRVKEEEEQAMREALGLAPKHSSQPQGNRLDKHELSELVKRGSTAEDLGAGHAEAARVHGLGFARLPRAWEDPTTLPSTQKEASPEPVKVDEPAPSTTNAEEGESDYESSRKRKKREEKRREKHDRHEKRHDNKHEKHEKRHEKNEKRHEKYGKHERHEKHEKPYSRESDDKRSHRKDKERRA >KJB60397 pep chromosome:Graimondii2_0_v6:9:27283698:27287857:-1 gene:B456_009G303600 transcript:KJB60397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPTRGGVRGGRDQFSWEDVKVDKHRENYLGHSIKAPIGRWQKGKDLHWYSRDKNSKGSDAEALKEEIRRVKEEEEQAMREALGLAPKHSSQPQGNRLDKHELSELVKRGSTAEDLGAGHAEAARVHGLGFARLPRAWEDPTTLPSTQKEASPEPVKVDEPAPSTTNAEEGESDYESSRKRKKREEKSGMTTNTRSMKSDTKRMRSGMKSTGSMRDMRNMRNHIPVNQMIREVIGRTKKGGHESNFGLNVFSFWPPLYRFPVLWIDILVSLLLFFFFFLCAMV >KJB54324 pep chromosome:Graimondii2_0_v6:9:2239304:2242321:-1 gene:B456_009G029400 transcript:KJB54324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRLLGKPKQEPNALTSLDKLHETLEMLEKKEKVLLKKASAEVEKAKEFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRSGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAPAAAVQAPAGRQPAHNAPKRTAEEDELAALQAEMAL >KJB54325 pep chromosome:Graimondii2_0_v6:9:2239299:2242321:-1 gene:B456_009G029400 transcript:KJB54325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRLLGKPKQEPNALTSLDKLHETLEMLEKKEKVLLKKASAEVEKAKEFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRSGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAPAAAVQAPAGRQPAHNAPKRTAEEDELAALQAEMAL >KJB54326 pep chromosome:Graimondii2_0_v6:9:2239937:2242050:-1 gene:B456_009G029400 transcript:KJB54326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRLLGKPKQEPNALTSLDKLHETLEMLEKKEKVLLKKASAEVEKAKEFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRSGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEVITL >KJB60036 pep chromosome:Graimondii2_0_v6:9:24412822:24413082:-1 gene:B456_009G286700 transcript:KJB60036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAAAAFSGETRWAEAAVTCNPIELSPCLAAVMSSTPPSETCCSRLREQTPCFCGYLNDPSLRQFADNPIIRTVGNACGVAYPQC >KJB61640 pep chromosome:Graimondii2_0_v6:9:50374650:50379287:1 gene:B456_009G372100 transcript:KJB61640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNTCFHLLSLLFIQCSIVSLAMTVRNLNSDQFALFEFKDRIVDPQNVLANNWTNSTSVCKWVGVSCGIIHETVVALNLTNMNLRGTNPPHLGNPSFLFSIDLSNNNFYGHLPKEFGQLHHLRIIRLSYNRLNGEIPSWLRNLHRVQRIHFRDNSLSGGLPDDLCVHLPKLKELYLSRNELSSSIPSSINKCCNLQILELSINQFNGIIPKSIRNLTQVKQLYLGLNNLEGQIPEEIGNLFSLEMLNIQAIKGLTGQIPTSIFNISSLKTIALSRNSLLGSLSNDMCQHLPELEGLYLHSNELSGNIPSSIGKCNNLKNLLLSHNQFMGIIPRSIGNLTRLQELYLGFNNLEGQIPEEIGNLLGLELLTIKAIKGLMIPTSIFNISSLKTIDLSNNSLSGSLPNDMCQHLPKLEGLYLSRNELSGNIPSSISKCNNLKILAFSYNQLTGIIPRSIGNLTRLKELYLGLNNLEGQILEEISNLFSLEMLGIQAIKGLTGQIPTSIFNIYSLKTIALSSNSLLGSLPNDMCQHLLKLEGLY >KJB61639 pep chromosome:Graimondii2_0_v6:9:50374650:50379287:1 gene:B456_009G372100 transcript:KJB61639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNTCFHLLSLLFIQCSIVSLAMTVRNLNSDQFALFEFKDRIVDPQNVLANNWTNSTSVCKWVGVSCGIIHETVVALNLTNMNLRGTNPPHLGNPSFLFSIDLSNNNFYGHLPKEFGQLHHLRIIRLSYNRLNGEIPSWLRNLHRVQRIHFRDNSLSGGLPDDLCVHLPKLKELYLSRNELSSSIPSSINKCCNLQILELSINQFNGIIPKSIRNLTQVKQLYLGLNNLEGQIPEEIGNLFSLEMLNIQAIKGLTGQIPTSIFNISSLKTIALSRNSLLGSLSNDMCQHLPELEGLYLHSNELSGNIPSSIGKCNNLKNLLLSHNQFMGIIPRSIGNLTRLQELYLGFNNLEGQIPEEIGNLLGLELLTIKAIKGLMIPTSIFNISSLKTIDLSNNSLSGSLPNDMCQHLPKLEGLYLSRNELSGNIPSSISKCNNLKILAFSYNQLTGIIPRSIGNLTRLKELYLGLNNLEDRIVDPQNVLANNWTNSTSVCKWVGVSCGIIHERVVALNLTNMNLRGTIPPHLGNFSFLFSLDLSNNNFYGHLPKELDQLHRLRIIRLSYNRLNGEIPSWLGNLHRVQRLEMRNNNFTGTIPQTLVNLSNLEILNLGFNQLSGLVPSSIFNISSLKRIHFHDNSLSGGLPDDLCVHLPKLKELYLSRNELSSSIPSSIEKCCNLQILELSINQFSGIIPKSIGNLTQLKQLYLGLNNLEAIKGLTGQIPTSIFNIYSLKTIALSSNSLLGSLPNDMCQHLLKLEGLY >KJB61393 pep chromosome:Graimondii2_0_v6:9:47543702:47544172:-1 gene:B456_009G360700 transcript:KJB61393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPSFFGNLRSSVFDPFSLDVWDLFKDFPFSSPLSTRSSETSAFVNPRIDWKETPEAHVFKADVPGLQKEEVEVEVEDDRVLQISGERNVEKEDEKDTWHRVERSSGKFMRRFRLPENAKMDQIKASMENGVLTVTIPKLEVKKGDVKSIEISG >KJB54062 pep chromosome:Graimondii2_0_v6:9:1487288:1492487:1 gene:B456_009G019100 transcript:KJB54062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNLEISLDKLPVKRLDAIEENGLERYPPESSYDEKRVSLIRRIDFAWAVEEDEEKERKKKQKKNSSKDASATWQWQSMVENLQLAHQELSVIIDLINTHLGKYFKQSANALEQQIAREARFYGALIRLQQNWKVKRQRVAGPGPASSNEGFTIDLFDNSLYSSTPMSRPSSLSSIRVEHDSAGMLSINLPPNSCHSLHFGFLGVHSADIPKEFNKIRTHGSVGQHTRNTEKESMSDDEYVKETHLLLRDVHQSIFNDQVFDMLNCEAFNQSVGVNVTGMRENYLQLSIGQGTSLFISLVPSSEGDNQAVDTANMQNVDSAIVPLDSFNEVKFGERKHDTPTMKKKWGFPHRISCEIYLQQIVHEHAFLKAKDKPNLSGTPVSGQSGKDGPGLLGHFCLSLAHRIFSSRVLIELENVVCRVPYLHLMTHPTWHSRKSSWTIFMKVPQSILHAESQSPRSDFQNIKDVIKSQFHTKVVLSDDRINVEGEGAPNVVGLFKRSSEDICSVNKYDCDLADLPVILLQQVASQVIRWLHGEALMVGIKTNRYFLSLAFDLEQGESVSLVAHVDPEDIQGGISWWLVMEDGFAEDWKLQMEIYDGTPEYRKFLGHLNLDVLYSTMMDLVTLSDGGGNH >KJB54060 pep chromosome:Graimondii2_0_v6:9:1487258:1492487:1 gene:B456_009G019100 transcript:KJB54060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNLEISLDKLPVKRLDAIEENGLERYPPESSYDEKRVSLIRRIDFAWAVEEDEEKERKKKQKKNSSKDASATWQWQSMVENLQLAHQELSVIIDLINTVEANDAVTVAGMTRPKPLPNELMSDLAVSAATKLQCYRHLGKYFKQSANALEQQIAREARFYGALIRLQQNWKVKRQRVAGPGPASSNEGFTIDLFDNSLYSSTPMSRPSSLSSIRVEHDSAGMLSINLPPNSCHSLHFGFLGVHSADIPKEFNKIRTHGSVGQHTRNTEKESMSDDEYVKETHLLLRDVHQSIFNDQVFDMLNCEAFNQSVGVNVTGMRENYLQLSIGQGTSLFISLVPSSEGDNQAVDTANMQNVDSAIVPLDSFNEVKFGERKHDTPTMKKKWGFPHRISCEIYLQQIVHEHAFLKAKDKPNLSGTPVSGQSGKDGPGLLGHFCLSLAHRIFSSRVLIELENVVCRVPYLHLMTHPTWHSRKSSWTIFMKVPQSILHAESQSPRSDFQNIKDVIKSQFHTKVVLSDDRINVEGEGAPNVVGLFKRSSEDICSVNKYDCDLADLPVILLQQVASQVIRWLHGEALMVGIKTNRYFLSLAFDLEQGESVSLVAHVDPEDIQGGISWWLVMEDGFAEDWKLQMEIYDGTPEYRKFLGHLNLDVLYSTMMDLVTLSDGGGNH >KJB54063 pep chromosome:Graimondii2_0_v6:9:1487312:1492487:1 gene:B456_009G019100 transcript:KJB54063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNLEISLDKLPVKRLDAIEENGLERYPPESSYDEKRVSLIRRIDFAWAVEEDEEKERKKKQKKNSSKDASATWQWQSMVENLQLAHQELSVIIDLINTVEANDAVTVAGMTRPKPLPNELMSDLAVSAATKLQCYRHLGKYFKQSANALEQQIAREARFYGALIRTHGSVGQHTRNTEKESMSDDEYVKETHLLLRDVHQSIFNDQVFDMLNCEAFNQSVGVNVTGMRENYLQLSIGQGTSLFISLVPSSEGDNQAVDTANMQNVDSAIVPLDSFNEVKFGERKHDTPTMKKKWGFPHRISCEIYLQQIVHEHAFLKAKDKPNLSGTPVSGQSGKDGPGLLGHFCLSLAHRIFSSRVLIELENVVCRVPYLHLMTHPTWHSRKSSWTIFMKVPQSILHAESQSPRSDFQNIKDVIKSQFHTKVVLSDDRINVEGEGAPNVVGLFKRSSEDICSVNKYDCDLADLPVILLQQVASQVIRWLHGEALMVGIKTNRYFLSLAFDLEQGESVSLVAHVDPEDIQGGISWWLVMEDGFAEDWKLQMEIYDGTPEYRKFLGHLNLDVLYSTMMDLVTLSDGGGNH >KJB54064 pep chromosome:Graimondii2_0_v6:9:1487312:1492487:1 gene:B456_009G019100 transcript:KJB54064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNLEISLDKLPVKRLDAIEENGLERYPPESSYDEKRVSLIRRIDFAWAVEEDEEKERKKKQKKNSSKDASATWQWQSMVENLQLAHQELSVIIDLINTHLGKYFKQSANALEQQIAREARFYGALIRLQQNWKVKRQRVAGPGPASSNEGFTIDLFDNSLYSSTPMSRPSSLSSIRVEHDSAGMLSINLPPNSCHSLHFGFLGVHSADIPKEFNKIRTHGSVGQHTRNTEKESMSDDEYVKETHLLLRDVHQSIFNDQVFDMLNCEAFNQSVGVNVTGMRENYLQLSIDSAIVPLDSFNEVKFGERKHDTPTMKKKWGFPHRISCEIYLQQIVHEHAFLKAKDKPNLSGTPVSGQSGKDGPGLLGHFCLSLAHRIFSSRVLIELENVVCRVPYLHLMTHPTWHSRKSSWTIFMKVPQSILHAESQSPRSDFQNIKDVIKSQFHTKVVLSDDRINVEGEGAPNVVGLFKRSSEDICSVNKYDCDLADLPVILLQQVASQVIRWLHGEALMVGIKTNRYFLSLAFDLEQGESVSLVAHVDPEDIQGGISWWLVMEDGFAEDWKLQMEIYDGTPEYRKFLGHLNLDVLYSTMMDLVTLSDGGGNH >KJB54061 pep chromosome:Graimondii2_0_v6:9:1487222:1492608:1 gene:B456_009G019100 transcript:KJB54061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNLEISLDKLPVKRLDAIEENGLERYPPESSYDEKRVSLIRRIDFAWAVEEDEEKERKKKQKKNSSKDASATWQWQSMVENLQLAHQELSVIIDLINTVEANDAVTVAGMTRPKPLPNELMSDLAVSAATKLQCYRHLGKYFKQSANALEQQIAREARFYGALIRLQQNWKVKRQRVAGPGPASSNEGFTIDLFDNSLYSSTPMSRPSSLSSIRVEHDSAGMLSINLPPNSCHSLHFGFLGVHSADIPKEFNKIRTHGSVGQHTRNTEKESMSDDEYVKETHLLLRDVHQSIFNDQVFDMLNCEAFNQSVGVNVTGMRENYLQLSIGQGTSLFISLVPSSEGDNQAVDTANMQNVDSAIVPLDSFNEVKFGERKHDTPTMKKKWGFPHRISCEIYLQQIVHEHAFLKAKDKPNLSGTPVSGQSGKDGPGLLGHFCLSLAHRIFSSRVLIELENVVCRVPYLHLMTHPTWHSRKSSWTIFMKVPQSILHAESQSPRSDFQNIKDVIKSQFHTKVVLSDDRINVEGEGAPNVVGLFKRSSEDICSVNKYDCDLADLPVILLQQVASQVIRWLHGEALMVGIKTNRYFLSLAFDLEQGESVSLVAHVDPEDIQGGISWWLVMEDGFAEDWKLQMEIYDGTPEYRKFLGHLNLDVLYSTMMDLVTLSDGGGNH >KJB61376 pep chromosome:Graimondii2_0_v6:9:45875946:45879939:-1 gene:B456_009G353900 transcript:KJB61376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKVAIEYLNLCDWDVEASIDYFYDTPVLVDDTLLEELYNRYKDPNKDMILVDGITLLCNDLEVDPQDIIMLVISWHMNASTMCEYSKMEFLQGLQELSVDTVEKFRDKISYIRSELNDENKFHDIYNFAFSWAKEKGQKSMALNTAIGMWRLLFAEKKCPLLDHWCQFLQDMTNNQERTVKFA >KJB55929 pep chromosome:Graimondii2_0_v6:9:7324962:7326915:-1 gene:B456_009G101600 transcript:KJB55929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSRGKMTEFGGIVSRKWNDREISPERNKVWIEPKPHNKSSLTERKVAVVYYLSRSGQLEQPHFMEVPLSSNDGLYLKDVINRLNLLRGKGMASLYSWSSKRSYKNGFVWHDLAENDFIYPSHGQEYILKGSEILDHTINSQALDITSSSFRLPKPAESQKSENEHDFPSIRRRRNQSWSSIDLHEYKVYKSESRPESIGRIAADASTQTDDKRRRRKALVKEPEIEELESTEGQSQELELNHTTELSREEISPPPSDSSPETLESLMKADGRLRLGNGGGSNEDSLNRTAESCSSGRIKASSVLMQLVSCGSMSFKDCGASSEKDEGFSLIGHYKSRLPRGAGSCSQVGKEAGIERETGSFSRVSLEDKEYFSGSLIETKKEEIPNLKRSSSYNADRHKPNTEVKKH >KJB55931 pep chromosome:Graimondii2_0_v6:9:7324185:7326915:-1 gene:B456_009G101600 transcript:KJB55931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSRGKMTEFGGIVSRKWNDREISPERNKVWIEPKPHNKSSLTERKVAVVYYLSRSGQLEQPHFMEVPLSSNDGLYLKDVINRLNLLRGKGMASLYSWSSKRSYKNGFVWHDLAENDFIYPSHGQEYILKGSEILDHTINSQALDITSSSFRLPKPAESQKSENEHDFPSIRRRRNQSWSSIDLHEYKVYKSESRPESIGRIAADASTQTDDKRRRRKALVKEPEIEELESTEGQSQELELNHTTELSREEISPPPSDSSPETLESLMKADGRLRLGNGGGSNEDSLNRTAESCSSGRIKASSVLMQLVSCGSMSFKDCGASSEKDEGFSLIGHYKSRLPRGAGSCSQVGKEAGIERETGSFSRVSLEDKEYFSGSLIETKKEEIPNLKRSSSYNADSRRSQLQLAGKEMDGVRTKCIPRKPRT >KJB55932 pep chromosome:Graimondii2_0_v6:9:7324962:7326915:-1 gene:B456_009G101600 transcript:KJB55932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSRGKMTEFGGIVSRKWNDREISPERNKVWIEPKPHNKSSLTERKVAVVYYLSRSGQLEQPHFMEVPLSSNDGLYLKDVINRLNLLRGKGMASLYSWSSKRSYKNGFVWHDLAENDFIYPSHGQEYILKGSEILDHTINSQALDITSSSFRLPKPAESQKSENEHDFPSIRRRRNQSWSSIDLHEYKVYKSESRPESIGRIAADASTQTDDKRRRRKALVKEPEIEELESTEGQSQELELNHTTELSREEISPPPSDSSPETLESLMKADGRLRLGNGGGSNEDSLNRTAESCSSGRIKASSVLMQLVSCGSMSFKDCGASSEKDEGFSLIGHYKSRLPRGAGSCSQVGKEAGIERETGSFSRVSLEDKEYFSGSLIETKKEEIPNLKRSSSYNADRHKPNTEVKKH >KJB55933 pep chromosome:Graimondii2_0_v6:9:7323609:7327236:-1 gene:B456_009G101600 transcript:KJB55933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSRGKMTEFGGIVSRKWNDREISPERNKVWIEPKPHNKSSLTERKVAVVYYLSRSGQLEQPHFMEVPLSSNDGLYLKDVINRLNLLRGKGMASLYSWSSKRSYKNGFVWHDLAENDFIYPSHGQEYILKGSEILDHTINSQALDITSSSFRLPKPAESQKSENEHDFPSIRRRRNQSWSSIDLHEYKVYKSESRPESIGRIAADASTQTDDKRRRRKALVKEPEIEELESTEGQSQELELNHTTELSREEISPPPSDSSPETLESLMKADGRLRLGNGGGSNEDSLNRTAESCSSGRIKASSVLMQLVSCGSMSFKDCGASSEKDEGFSLIGHYKSRLPRGAGSCSQVGKEAGIERETGSFSRVSLEDKEYFSGSLIETKKEEIPNLKRSSSYNADRRSQLQLAGKEMDGVRTKCIPRKPRT >KJB55930 pep chromosome:Graimondii2_0_v6:9:7323609:7327236:-1 gene:B456_009G101600 transcript:KJB55930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSRGKMTEFGGIVSRKWNDREISPERNKVWIEPKPHNKSSLTERKVAVVYYLSRSGQLEQPHFMEVPLSSNDGLYLKDVINRLNLLRGKGMASLYSWSSKRSYKNGFVWHDLAENDFIYPSHGQEYILKGSEILDHTINSQALDITSSSFRLPKPAESQKSENEHDFPSIRRRRNQSWSSIDLHEYKVYKSESRPESIGRIAADASTQTDDKRRRRKALVKEPEIEELESTEGQSQELELNHTTELSREEISPPPSDSSPETLESLMKADGRLRLGNGGGSNEDSLNRTAESCSSGRIKASSVLMQLVSCGSMSFKDCGASSEKDEGFSLIGHYKSRLPRGAGSCSQVGKEAGIERETGSFSRVSLEDKEYFSGSLIETKKEEIPNLKRSSSYNADRRSQLQLAGKEMDGVRTKCIPRKPRT >KJB55934 pep chromosome:Graimondii2_0_v6:9:7325057:7327231:-1 gene:B456_009G101600 transcript:KJB55934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSRGKMTEFGGIVSRKWNDREISPERNKVWIEPKPHNKSSLTERKVAVVYYLSRSGQLEQPHFMEVPLSSNDGLYLKDVINRLNLLRGKGMASLYSWSSKRSYKNGFVWHDLAENDFIYPSHGQEYILKGSEILDHTINSQALDITSSSFRLPKPAESQKSENEHDFPSIRRRRNQSWSSIDLHEYKVYKSESRPESIGRIAADASTQTDDKRRRRKALVKEPEIEELESTEGQSQELELNHTTELSREEISPPPSDSSPETLESLMKADGRLRLGNGGGSNEDSLNRTAESCSSGRIKASSVLMQLVSCGSMSFKDCGASSEKDEGFSLIGHYKSRLPRGAGSCSQVGKEAGIERETGSFSRVSLEDKEYFSGSLIETKKEEIPNLKRSSSYNADR >KJB60050 pep chromosome:Graimondii2_0_v6:9:24568402:24572655:1 gene:B456_009G287500 transcript:KJB60050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKAGKGFILVCLQLLLCFSQINWIRAKGEDGSDDEIKGMFVFGSSLVDNGNNNYLENSTAKADFLPYGIDFPKGPSGRFTNGKNVIDLLGEMLKLPCLIPPFSDPSTKGSKVVHGVNFASGASGILDDTGFLAGQVISLNQQIRNFETVTLPEVKNQLGVNRRENRKAAKKYMFIMGTGGNDYLFNYFARKGEYNVSLETFTANLTTSLSLQLKKLYRLGARKFVLMSIYPLGCSPMLNAMRKDGCIEVMNQAAILFNDGLKSIIDAAKSDMPTSNLVLVNSYNIVTDIIQNPSSKGFKDANNPCCEVVPLTQLAGNGVSCKKGGRICMDRSAHVFFDGLHPTEKVNVEIATKAFSSDLKTEVYPINVNQLAQL >KJB62846 pep chromosome:Graimondii2_0_v6:9:69110748:69114992:-1 gene:B456_009G439900 transcript:KJB62846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFGEAALSAFLELLSAKLFDSVLNFVADHRQVHQQLKLWQSILPEIKAVLNHAEEKQIKDDGVKNWLGDLQDLAYDVDDILDEFAYQELRLKLQNTQAQATSSQVRKLIPTCCTGGHFSPIAFMFNAKMISKIKAITDRLNSLNTRRSNLGLSEIMSQGATSKGKKPRLQPTSLMDGAVEYVGRANEKQEMLELLKSNNSDGVCVLSIVGMGGMGKTTLAQLVYNDPSIKESFDHKSWVCVSDDFDAVNITKTILRSLDADSRDENDLNLLQVKLKEKLSGKRFLLVLDDIWNESYSDWTILRTPFGAGTKIIVTTRLQKVSSNVDSVKAFYLDKLSHHDCLSIFAQHALKGINFDGHLQFKEIGENIVRRCNGLPLAAKAIGSLLRTVTDHSEWEKVYESEIWDLPQDPCGLVPALRLSYHYLPPHLKRCFAYCSIFPKDYEFEEEEIILLWRAEGFLQSKAKIQGKGFGNQYFQDLVSRSFFQRSSEDKSRFVMHDLMNDLAQSVSGEICCRVEGEKQQKFSHRSRHSAYVIDDRYQSVKMFEAFYQMTSLRTFLRLMAPRYEEFYLSNVVLDDLLPRLSYLRVLSLCGYEIYDLPDFFENLKHLRYLNFSRTRINRLPDSLCTLYHLETLILRDCLELKNLPSKIGNLVNLHFLDIRGADSIERMPSGFDQLTQLQTLSNFVIGEGDGRLIRELKNLSNLRGNFCLSGLENVNGQDAREAKLNEKLGIDGLELQWGTDLEKNTRKTEVEERVLDFLHPPKKLEQLIIENYGGVKFSSWIADSSLKNLSSLKLRNCKNCKSLPSVGRLPLLKDLSIIGFDQVQKIGVELFGENQLNPFVSLEILSFESLPNWKEWDTCEGDEKVLNLPSLHELSIKTCPQLLGRLPTHLPSLQKLEIHRCMSLVVPISSFPSLCKVSIQGCAELVDDCSSPAKEVSSLQTLSLSNISKFNIPADRTMLRFGNSEHFDIDGWEELASLSRYGFSLVGHRFITVRGCPQLQSLEAEEAELQPDKISRVESLQIYDCERLNRLPQVLHELIFLTVMKIDNCRSLVSFAENNLPPNLKKLRIRNCENLEYLVDEKEDNKSMSSTLCLLEDLIIYNCPSLMSLSSKGHKNICNQLQLLEIDQCSKLSCLFSNTKFPITLKHLGIWGCPMLEYIAEEFEETACLESIKIIGSGIKSLPRGLDKLIHLQNIWLYSCSNLVSFEKSGLPSTSFRAFIVDGCGNFGALPKCMASITSLRELSVDNCSADISFPSEGFPANLTSLAISNAPKIYRSLVEWGLNRLTSLQELTIGGVGCSNVVSFPEEGTGMMLPPSLSHIFLLEFENLEYMSSKGFQDLASLKELEIYKCPKLTSLPEKDMLRSLGYLCISSCPLLQEECSSDKGREWSKISQIPLVLIDFKAVIPRESD >KJB56558 pep chromosome:Graimondii2_0_v6:9:9404527:9409429:1 gene:B456_009G125000 transcript:KJB56558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFGAAAANHNPNKSFEVAQPPSDSVSSLSFSPKANFLIATSWDNQVRCWEISRNGTAVASTPKASITHDQPVLCSTWKDDGMTVFSGGCDKQVKMWPLLSGGQPMTVAMHDAPIKEVAWIPEMNLLATGSWDKTLKYWDTRQSNPVHTQQLPDRCYALTVKYPLMVVGTADRNLIVFNLQNPQVNEYKRVTSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDGQQGKNFTFKCHRDGSEIYSVNSLNFHPIHHTFATAGSDGAFNFWDKDSKQRLKAMSRCSQPIPCSTFNNDGSIFAYSVCYDWSKGAENHNPSTAKSYIFLHLPQESEVKGKPRVGTSGRK >KJB56559 pep chromosome:Graimondii2_0_v6:9:9404783:9409429:1 gene:B456_009G125000 transcript:KJB56559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFGAAAANHNPNKSFEVAQPPSDSVSSLSFSPKANFLIATSWDNQVRCWEISRNGTAVASTPKASITHDQPVLCSTWKDDGMTVFSGGCDKQVKMWPLLSGGQPMTVAMHDAPIKEVAWIPEMNLLATGSWDKTLKYWDTRQSNPVHTQQLPDRCYALTVKYPLMVVGTADRNLIVFNLQNPQNEYKRVTSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDGQQGKNFTFKCHRDGSEIYSVNSLNFHPIHHTFATAGSDGAFNFWDKDSKQRLKAMSRCSQPIPCSTFNNDGSIFAYSVCYDWSKGAENHNPSTAKSYIFLHLPQESEVKGKPRVGTSGRK >KJB56557 pep chromosome:Graimondii2_0_v6:9:9404527:9408073:1 gene:B456_009G125000 transcript:KJB56557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFGAAAANHNPNKSFEVAQPPSDSVSSLSFSPKANFLIATSWDNQVRCWEISRNGTAVASTPKASITHDQPVLCSTWKDDGMTVFSGGCDKQVKMWPLLSGGQPMTVAMHDAPIKEVAWIPEMNLLATGSWDKTLKYWDTRQSNPVHTQQLPDRCYALTVKYPLMVVGTADRNLIVFNLQNPQNEYKRVTSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDGQQGKNFTFKCHRDGSEIYSVNSLNFHPVSFFLPCTSSCCFLPCLFILSMLGRYYF >KJB56556 pep chromosome:Graimondii2_0_v6:9:9404485:9409569:1 gene:B456_009G125000 transcript:KJB56556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFGAAAANHNPNKSFEVAQPPSDSVSSLSFSPKANFLIATSWDNQVRCWEISRNGTAVASTPKASITHDQPVLCSTWKDDGMTVFSGGCDKQVKMWPLLSGGQPMTVAMHDAPIKEVAWIPEMNLLATGSWDKTLKYWDTRQSNPVHTQQLPDRCYALTVKYPLMVVGTADRNLIVFNLQNPQNEYKRVTSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDGQQGKNFTFKCHRDGSEIYSVNSLNFHPIHHTFATAGSDGAFNFWDKDSKQRLKAMSRCSQPIPCSTFNNDGSIFAYSVCYDWSKGAENHNPSTAKSYIFLHLPQESEVKGKPRVGTSGRK >KJB61732 pep chromosome:Graimondii2_0_v6:9:51137354:51137743:1 gene:B456_009G376800 transcript:KJB61732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGRVENKNKFIDTHRNGSWLWEYTRNMGCCSLLEAELWLILDGLNLLWIQGFRRVEIVSDSAAAVRIILDESAAKQSISHNSSSLESAKEGQDTSHCPGSQCVSPKQAAESTCCTEEHSLQGQYRDCWG >KJB54556 pep chromosome:Graimondii2_0_v6:9:2824629:2828121:1 gene:B456_009G038500 transcript:KJB54556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYLDQYFSSSSWSDVNVKERSYWVHNEPDQPNEVFPSSNFNSIECLAAHNTPPLVHNRGSGCSVEHGLLYGEGGLQMNGENCSGNSSQEMMNGSFELRNLGLQLNTDVHSSGSSSLVSLNDVPVVGDMIPSLSFNERGRMISNGGESSEFRRSFTGLETLSPIPQLWHLQPYDSVSSLPTLVGQTKVDDEGNINRFIEIDEILQPENLSASINSKGQQDMQNSFYSSFPADHPITKTMIGLPSLVQGASPNLNNGSDRTAKPRVRARRGQATDPHSIAERLRREKIAERMKNLQELVPNSNKTDKASILDEIIGYVKFLQLQVKVLSMSRLGAAAAVVPLITDGRAEVSNGLSLAPLAGQGVDFSPSPDQVVFEQEVVKLMESNMTMAMQYLQSKGFCLMPVALAAAISNVKSSTSSSSSSVPASDESKKLGFTNSTLINNTCSSSSSSSSNSSGSLPGVGIPNINADGNFMTGMLGSDIMAKGCTGTFKQEELNTLCTAK >KJB54579 pep chromosome:Graimondii2_0_v6:9:2953263:2958780:-1 gene:B456_009G039900 transcript:KJB54579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKKGTQISDAKSNVPSKATTLNPNAAEFVPFSLRSPSSSGSTRAAATATFSTSGTVGKAVLDRSGSSVSNNSDDEAHQFWRHQLPDDITPDFKVINEDDSQSMEPGSLSLAGLSLHDGSEASRFPASAAGGYVFGDQQELLHQYGNGNNLDEKFRYPSSSYGEEPISASFLNLPLKPWDEQLVNSDQLIGNGREGQLYDGNSRHAFASDILGEHTNINDTEMNHVDFLASQFPGFAAESLAEVYFANGCDLNLTIEMLTQLELQVDGGFNQNLNSKTLSAPNLSTMDFPALTVSDGQSGPPKYTGDDLQHSASTYRSSEKDNLLMFKTSSSLPSRGAIDFASAVRKMASQDSGMWKYDRNGSADSTVGSSRSSNGSANSYSAAPGRGVYANRLQTRGSARSAPVWLETGDAVGNLYSELREEARDHARLRNAYFEQARQAFLIGNKALAKELSVKGQMHNMHMKAAHGKAQESIYHQRNPVPLENFRGQERMIDLHGLHVSEALHMLKHELSVLRSTARAADQRLQVYICVGTGHHTRGSRTPARLPVAVQRYLLEEEGLDYTEPQPGLLRVVIY >KJB54582 pep chromosome:Graimondii2_0_v6:9:2955044:2958780:-1 gene:B456_009G039900 transcript:KJB54582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKKGTQISDAKSNVPSKATTLNPNAAEFVPFSLRSPSSSGSTRAAATATFSTSGTVGKAVLDRSGSSVSNNSDDEAHQFWRHQLPDDITPDFKVINEDDSQSMEPGSLSLAGLSLHDGSEASRFPASAAGGYVFGDQQELLHQYGNGNNLDEKFRYPSSSYGEEPISASFLNLPLKPWDEQLVNSDQLIGNGREGQLYDGNSRHAFASDILGEHTNINDTEMNHVDFLASQFPGFAAESLAEVYFANGCDLNLTIEMLTQLELQVDGGFNQNLNSKTLSAPNLSTMDFPALTVSDGQSGPPKYTGDDLQHSASTYRSSEKDNLLMFKTSSSLPSRGAIDFASAVRKMASQDSGMWKYDRNGSADSTVGSSRSSNGSANSYSAAPGRGVYANRLQTRGSARSAPVWLETGDAVGNLYSELREEARDHARLRNAYFEQVFYWKFDLSCYIL >KJB54580 pep chromosome:Graimondii2_0_v6:9:2955563:2957458:-1 gene:B456_009G039900 transcript:KJB54580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKKGTQISDAKSNVPSKATTLNPNAAEFVPFSLRSPSSSGSTRAAATATFSTSGTVGKAVLDRSGSSVSNNSDDEAHQFWRHQLPDDITPDFKVINEDDSQSMEPGSLSLAGLSLHDGSEASRFPASAAGGYVFGDQQELLHQYGNGNNLDEKFRYPSSSYGEEPISASFLNLPLKPWDEQLVNSDQLIGNGREGQLYDGNSRHAFASDILGEHTNINDTEMNHVDFLASQFPGFAAESLAEVYFANGCDLNLTIEMLTQLELQVDGGFNQNLNSKTLSAPNLSTMDFPALTVSDGQSGPPKYTGDDLQHSASTYRSSEKDNLLMFKTSSSLPSRGAIDFASAVRKMASQDSGMWKYDRNGSADSTVGSSRSSNGSANSYSAAPGRGVYANRLQTRGSARSAPVWLETGDAVGNILHLIKFMHL >KJB54581 pep chromosome:Graimondii2_0_v6:9:2953436:2958780:-1 gene:B456_009G039900 transcript:KJB54581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKKGTQISDAKSNVPSKATTLNPNAAEFVPFSLRSPSSSGSTRAAATATFSTSGTVGKAVLDRSGSSVSNNSDDEAHQFWRHQLPDDITPDFKVINEDDSQSMEPGSLSLAGLSLHDGSEASRFPASAAGGYVFGDQQELLHQYGNGNNLDEKFRYPSSSYGEEPISASFLNLPLKPWDEQLVNSDQLIGNGREGQLYDGNSRHAFASDILGEHTNINDTEMNHVDFLASQFPGFAAESLAEVYFANGCDLNLTIEMLTQLELQVDGGFNQNLNSKTLSAPNLSTMDFPALTVSDGQSGPPKYTGDDLQHSASTYRSSEKDNLLMFKTSSSLPSRGAIDFASAVRKMASQDSGMWKYDRNGSADSTVGSSRSSNGSANSYSAAPGRGVYANRLQTRGSARSAPVWLETGDAVGNILHLIKFMHL >KJB54660 pep chromosome:Graimondii2_0_v6:9:3171839:3173741:-1 gene:B456_009G043600 transcript:KJB54660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVVMFLGKIIPKALMPFEISGETVGEVRTVLDMHERKAEMAREADAFIALPGGYGTMEELLEMITWSHLGIHKKTVGLLNVDGYYNNLLALFDNGVEEGFIKPGARHIIVSAPTANELLEKMEQYTPSHEHVAPQESWQMEQLGDYPKQVNAQ >KJB54662 pep chromosome:Graimondii2_0_v6:9:3171282:3174379:-1 gene:B456_009G043600 transcript:KJB54662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVVMFLGKIIPKALMPFEISGETVGEVRTVLDMHERKAEMAREADAFIALPGGYGTMEELLEMITWSHLGIHKKTVGLLNVDGYYNNLLALFDNGVEEGFIKPGARHIIVSAPTANELLEKMEQYTPSHEHVAPQESWQMEQLGDYPKQVNAQ >KJB54659 pep chromosome:Graimondii2_0_v6:9:3171282:3174412:-1 gene:B456_009G043600 transcript:KJB54659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKGSSKFKRVCVFCGSNSGRRKVFSDAALELGNELVKRKIDLVYGGGSVGLMGLISQTVYDGGCHVLGIIPKALMPFEISGETVGEVRTVLDMHERKAEMAREADAFIALPGGYGTMEELLEMITWSHLGIHKKTVGLLNVDGYYNNLLALFDNGVEEGFIKPGARHIIVSAPTANELLEKMEQYTPSHEHVAPQESWQMEQLGDYPKQVNAQ >KJB54661 pep chromosome:Graimondii2_0_v6:9:3171176:3174639:-1 gene:B456_009G043600 transcript:KJB54661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKGSSKFKRVCVFCGSNSGRRKVFSDAALELGNELVKRKIDLVYGGGSVGLMGLISQTVYDGGCHVLGIIPKALMPFEISGETVGEVRTVLDMHERKAEMAREADAFIALPGGYGTMEELLEMITWSHLGIHKKTVGLLNVDGYYNNLLALFDNGVEEGFIKPGARHIIVSAPTANELLEKMEQYTPSHEHVAPQESWQMEQLGDYPKQVNAQ >KJB56856 pep chromosome:Graimondii2_0_v6:9:10476809:10477678:-1 gene:B456_009G138700 transcript:KJB56856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLSIRLGFYFLGLVVFALVFPRTLLEMLSIRLGFYFLALIVFAFVFPRTLLTLDKTKIPVAFQNLLALLFHSLQN >KJB56085 pep chromosome:Graimondii2_0_v6:9:7673523:7674300:-1 gene:B456_009G105700 transcript:KJB56085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 20 [Source:Projected from Arabidopsis thaliana (AT2G27035) UniProtKB/TrEMBL;Acc:F4IVN9] MQNLWIKLACLVLLMVTVENTHSREPVLHRVGGGRYSWKPNVNFTDWAIDEQFFVGGWLYFGFNKQLYSVLQVNETSYTNCIEGTGRGYCFKRMKVAIFVRNYQPFPITNGSLLNKVSSDSNFQAKLILLVLILQVYYFESIAFLFFYILF >KJB57330 pep chromosome:Graimondii2_0_v6:9:12122363:12124442:1 gene:B456_009G158000 transcript:KJB57330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVGELELLMMHNRTYCAEIAHDVSTKKRKEIVERAAQLDVVVTNKLARLRSQEDE >KJB57329 pep chromosome:Graimondii2_0_v6:9:12122573:12124433:1 gene:B456_009G158000 transcript:KJB57329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVGELELLMMHNRTYCAEIAHDVSTKKRKEIVERAAQLDVVVTNKLARLRSQEDE >KJB58309 pep chromosome:Graimondii2_0_v6:9:15806604:15810913:1 gene:B456_009G204100 transcript:KJB58309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSALFLKMRSAVRNGIQRTECELQDSLEILIGKGKLGFRNYRFFHSSSLSGLADLQGFFPSGTVLAACSDSLSVNCGRNISAVGAFSRTVFVPSVSGPAFQVCRYHIDCALVDSSQIPPPLSKCQSNPMAVSSSGVAIGGFVDSLKLKHGHLSSSASSADILYGNRSIHPRISLKNLEKPNNYAIYGQLLHSVGKKWCNCNLSSGSGSRAFHSSLPSCLSAGTARDVSFDNSGREEQVTSSEEKISAGKTLKLLSGSCYLPHPDKEDTGGEDAHFICADEQAIGVADGVGGWADLGIDAGQFSRELMSKSVSAIQEEPKGSINPARVLEKAHSSIKAKGSSTACVIALGDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGNNADLPSSGQIFAVPIAPGDVIIAGTDGLFDNLYNNEITAVVVHALRAGFGPEVTAQKIAALARERAQAKDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYVTSSDEASSKKS >KJB58312 pep chromosome:Graimondii2_0_v6:9:15806653:15810725:1 gene:B456_009G204100 transcript:KJB58312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSALFLKMRSAVRNGIQRTECELQDSLEILIGKGKLGFRNYRFFHSSSLSGLADLQGFFPSGTVLAACSDSLSVNCGRNISAVGAFSRTVFVPSVSGPAFQVCRYHIDCALVDSSQIPPPLSKCQSNPMAVSSSGVAIGGFVDSLKLKHGHLSSSASSADILYGNRSIHPRISLKNLEKPNNYAIYGQLLHSVGKKWCNCNLSSGSGSRAFHSSLPSCLSAGTARDVSFDNSGREEQVTSSEEKISAGKTLKLLSGSCYLPHPDKEDTGGEDAHFICADEQAIGVADGVGGWADLGIDAGQFSRELMSKSVSAIQEEPKGSINPARVLEKAHSSIKAKGSSTACVIALGDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGNNADLPSSGQIFAVPIAPGDVIIAGTDGLFDNLYNNEITAVVVHALRAGFGPEVTAQKIAALARERAQAKDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYVTSSDEASSKKS >KJB58311 pep chromosome:Graimondii2_0_v6:9:15806653:15810725:1 gene:B456_009G204100 transcript:KJB58311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSALFLKMRSAVRNGIQRTECELQDSLEILIGKGKLGFRNYRFFHSSSLSGLADLQGFFPSGTVLAACSDSLSVNCGRNISAVGAFSRTVFVPSVSGPAFQVCRYHIDCALVDSSQIPPPLSKCQSNPMAVSSSGVAIGGFVDSLKLKHGHLSSSASSADILYGNRSIHPRISLKNLEKPNNYAIYGQLLHSVGKKWCNCNLSSGSGSRAFHSSLPSCLSAGTARDVSFDNSGREEQVTSSEEKISAGKTLKLLSGSCYLPHPDKEDTGGEDAHFICADEQAIGVADGVGGWADLGIDAGQFSRELMSKSVSAIQEEPKGSINPARVLEKAHSSIKAKGSSTACVIALGDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGNNADLPSSGQVIFAVPIAPGDVIIAGTDGLFDNLYNNEITAVVVHALRAGFGPEVTAQKIAALARERAQAKDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYVTSSDEASSKKS >KJB58310 pep chromosome:Graimondii2_0_v6:9:15806587:15810858:1 gene:B456_009G204100 transcript:KJB58310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSALFLKMRSAVRNGIQRTECELQDSLEILIGKGKLGFRNYRFFHSSSLSGLADLQGFFPSGTVLAACSDSLSVNCGRNISAVGAFSRTVFVPSVSGPAFQVCRYHIDCALVDSSQIPPPLSKCQSNPMAVSSSGVAIGGFVDSLKLKHGHLSSSASSADILYGNRSIHPRISLKNLEKPNNYAIYGQLLHSVGKKWCNCNLSSGSGSRAFHSSLPSCLSAGTARDVSFDNSGREEQVTSSEEKISAGKTLKLLSGSCYLPHPDKEDTGGEDAHFICADEQAIGVADGVGGWADLGIDAGQFSRELMSKSVSAIQEEPKGSINPARVLEKAHSSIKAKGSSTACVIALGDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGNNADLPSSGQIFAVPIAPGDVIIAGTDGLFDNLYNNEITAVVVHALRAGFGPEVTAQKIAALARERAQAKDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYVTSSDEASSKKS >KJB62929 pep chromosome:Graimondii2_0_v6:9:69522715:69525627:-1 gene:B456_009G443900 transcript:KJB62929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDRQIEQLKKCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPVISTLDQIRIIDRKQEVPHDGAMCDLLWSDPEENVDGWGLSPRGAGFLFGGSVVTSFNHANNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYR >KJB62927 pep chromosome:Graimondii2_0_v6:9:69522665:69525759:-1 gene:B456_009G443900 transcript:KJB62927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDRQIEQLKKCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPVISTLDQIRIIDRKQEVPHDGAMCDLLWSDPEENVDGWGLSPRGAGFLFGGSVVTSFNHANNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFDAAPQDSRGTPAKRPAPDYFL >KJB62930 pep chromosome:Graimondii2_0_v6:9:69522715:69525657:-1 gene:B456_009G443900 transcript:KJB62930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGSFKFWSNLDSILNGTVLPIAFQICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPVISTLDQIRIIDRKQEVPHDGAMCDLLWSDPEENVDGWGLSPRGAGFLFGGSVVTSFNHANNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFDAAPQDSRGTPAKRPAPDYFL >KJB62928 pep chromosome:Graimondii2_0_v6:9:69522715:69525575:-1 gene:B456_009G443900 transcript:KJB62928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDRQIEQLKKCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRWRASNVSDCQGRVLSTVSDDLLCCHRLLYVSLSALIENKIFSVHGGLSPVISTLDQIRIIDRKQEVPHDGAMCDLLWSDPEENVDGWGLSPRGAGFLFGGSVVTSFNHANNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFDAAPQDSRGTPAKRPAPDYFL >KJB62326 pep chromosome:Graimondii2_0_v6:9:62410256:62412041:-1 gene:B456_009G411800 transcript:KJB62326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIGRLLAQRDVTVTIVTTPYNAGRVQKSVARAIESGLPIRLLQLQFPGKEVGLPDGVENIDMLHSMEDLIKFVSAANKMEEAMLKLFEKLTPRPNCIISDINLFYTRKIATKFQVPRISFHGFCCFCLLCLRNIQSSKINETVTSDSEYFTVPGLTDKVEFTRVQLPLDYDGSWKEIFEPMWEADRASYGVVINTFEELESAYVKEYRKEKKAWCIGPVSLSHKDELDMAERGNKASIDGQKCLKWLDSQQPGSVIYACLGSTGSIKCPELIELGLGLEASNKPFIWVLRGNNPTASEVDKWIKRNGFEERTKGRGLVAVGWAPQVLILSHPAIGGFLTHCGWNSIIEGISAGVPLITLPFMGDQFCNEKLVVQILKIGVNLGANKPTMLGEEKSGFILNKEHVKNAIDKLMDRGNEGTEMRKRAKELGDEANKAVEVGGSSYMNITLLIQDILQQSQEMR >KJB62523 pep chromosome:Graimondii2_0_v6:9:65795865:65796484:1 gene:B456_009G421100 transcript:KJB62523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKVKLAWIENNSTRKASLKKKRLGLVKKVESATLSFGVQGPSFSGSLSVAFDHGLPPFKTFSGRQF >KJB61291 pep chromosome:Graimondii2_0_v6:9:44521665:44522251:1 gene:B456_009G350200 transcript:KJB61291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGETKQKFGSNEEKNGKRKNSPILITKKPDLNDPVLRAKLAKGMGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRT >KJB56873 pep chromosome:Graimondii2_0_v6:9:10582226:10584042:1 gene:B456_009G140100 transcript:KJB56873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKEMHAQGTTIDDIVEVLKRSPIHPRIVPAIKSAHALGCELRIVSDANMFFIETILEHLGVKECFSELNSNPSFVDEEGRLRIFPYHDFTKTSHGCNLCPPNMCKGVIIERIQACLEGKKKIIYLGDGSGDYCPSLRLGEGDYVMPRKNFPVWELICRNPMLIKADIHEWSAGEDLERVLLHIINMVSVEHNSAQLFSVDCKLQTISTSNHALPHALPVPQ >KJB56872 pep chromosome:Graimondii2_0_v6:9:10581969:10584112:1 gene:B456_009G140100 transcript:KJB56872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVVVFDFDKTIIDRDSDNWVVDGLGFTDLFNQLLPTMPWNTLMDTMMKEMHAQGTTIDDIVEVLKRSPIHPRIVPAIKSAHALGCELRIVSDANMFFIETILEHLGVKECFSELNSNPSFVDEEGRLRIFPYHDFTKTSHGCNLCPPNMCKGVIIERIQACLEGKKKIIYLGDGSGDYCPSLRLGEGDYVMPRKNFPVWELICRNPMLIKADIHEWSAGEDLERVLLHIINMVSVEHNSAQLFSVDCKLQTISTSNHALPHALPVPQ >KJB61192 pep chromosome:Graimondii2_0_v6:9:41520029:41521126:-1 gene:B456_009G345300 transcript:KJB61192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFILYAAALLLLHFLLTVSFCLAIRTTVGGDGLGFRFPEAPYYRNGVECPVSSGDRNLVQVAMTLDFEYLRGSIAAVHSVVRHASCPENIFFHFIAAEFDPASPRVLSKLVRSTFPSLNFRIYIFREDTVINLISSSIRQALENPLNYARNYLGDMLDLQVGRVIYLDSDLVLVDDILKLWNTTLTNSRVIGAPEYCHANFTKYFTAGFWSDPVISRVFRSRKPCYFNTGVMVMDLVRWREGNYRKRIENWMEIQRKKKIYELGSLPPYLLVFAGNVEGIDHRWNQHGLGGDNIRGSCRSLHPGPVSLLHWSGKGKPWVRLDGGSPCPLDHIWESYDLYKGNLIKHQSFPSAFANFFEYPSYLF >KJB62681 pep chromosome:Graimondii2_0_v6:9:67448446:67451413:-1 gene:B456_009G429800 transcript:KJB62681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALGQLTSYGNAFELGFFSVGSTDLYLVIRMKNVATKDIVWVANRDLPFTGSSMILTINDGGCLMIVNGRATYRVSDDPSSSQNVSATLLDSGNLVLRDGNMDILWQSFDYPSNTFLPGMKFGYNNKTGKVWSLTSWLDQADPNKGNFELKMDPRKSNGVFLMRGTEILWMSGPWNGYGFAFMPEMLSPYIFNYFNYSMYSDENETYFSYSLYNSSVITRFIIDVTGHMRELLWFEESQQWMSIWSEPSQFCEVLNSCGPFSSCSEDTTSCRCLRGFYPSGKQQGQDGGCMRRVALTCGNGDNRDMFFRMNHVRNPVSSTQQINSSYNFPSGPQVSNSDAKACGEACLSNCTCSAYAYNTSGLCLRWYGVILGLEQLPEKDPNGRTIFIKLAASEFDNGRVLGANWYLWIIAIPIVLLVFLPASYIVIRWKKSFKNKGDREDPSQDILLFDMEMSITTSSSNFLGSENPRKRKRKDAPFPLFSFDSISLATDNFSSENKLGEGGFGPVYKGKLLNGQEIAVKRLSKRSGQGLEELKNETMLIAKLQHRNLVRLLGCCLEQGENILIYEFMPNKSLDSFLFGSYNEGLLDWGTRVRIIEGIAQGLLYLHQYSRLRIIHRDLKASNILLDSEMNPKISDFGLARMFGGDKLQANTNRIVGTYGYMSPEYAMEGLFSIKSDVFSFGVLLLEIVSGKKSTGFYYSSSLNLIGHAWELWKGDRVVELMDPKLEDQVSYPMLYRYINVALLCVQEMAADRPTMSEVAAMLTNELTVLNSPKKPAFSNARNMTNSSNFSVNNVTVSLMEPR >KJB62680 pep chromosome:Graimondii2_0_v6:9:67448380:67451561:-1 gene:B456_009G429800 transcript:KJB62680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALGQLTSYGNAFELGFFSVGSTDLYLVIRMKNVATKDIVWVANRDLPFTGSSMILTINDGGCLMIVNGRATYRVSDDPSSSQNVSATLLDSGNLVLRDGNMDILWQSFDYPSNTFLPGMKFGYNNKTGKVWSLTSWLDQADPNKGNFELKMDPRKSNGVFLMRGTEILWMSGPWNGYGFAFMPEMLSPYIFNYFNYSMYSDENETYFSYSLYNSSVITRFIIDVTGHMRELLWFEESQQWMSIWSEPSQFCEVLNSCGPFSSCSEDTTSCRCLRGFYPSGKQQGQDGGCMRRVALTCGNGDNRDMFFRMNHVRNPVSSTQQINSSYNFPSGPQVSNSDAKACGEACLSNCTCSAYAYNTSGLCLRWYGVILGLEQLPEKDPNGRTIFIKLAASEFDNGRGANWYLWIIAIPIVLLVFLPASYIVIRWKKSFKNKGDREDPSQDILLFDMEMSITTSSSNFLGSENPRKRKRKDAPFPLFSFDSISLATDNFSSENKLGEGGFGPVYKGKLLNGQEIAVKRLSKRSGQGLEELKNETMLIAKLQHRNLVRLLGCCLEQGENILIYEFMPNKSLDSFLFGSYNEGLLDWGTRVRIIEGIAQGLLYLHQYSRLRIIHRDLKASNILLDSEMNPKISDFGLARMFGGDKLQANTNRIVGTYGYMSPEYAMEGLFSIKSDVFSFGVLLLEIVSGKKSTGFYYSSSLNLIGHAWELWKGDRVVELMDPKLEDQVSYPMLYRYINVALLCVQEMAADRPTMSEVAAMLTNELTVLNSPKKPAFSNARNMTNSSNFSVNNVTVSLMEPR >KJB58506 pep chromosome:Graimondii2_0_v6:9:16485536:16489620:1 gene:B456_009G212600 transcript:KJB58506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTNQHLALPRRPKRRPQKQPEAQIILNLKNKILMGTNMNFGSNPPPSGDGGGNKPPGNNLLTRQPSIYSLTFDEFQSTMGGIGKDFGSMNMDELLRNIWSAEEIQTMASSGGVLEGNGGLQRQGSLTLPRTLSQKTVDEVWKDISKEYSLGKDGIGGGGGTNNMPQRQQTLGEMTLEEFLVRAGVVIEDTQLAGKVNNEGFFGGNNTGFEIGFQQGGKGPNLMGTRIPDGGNQINIQASNLHPNVNGVRSNQHQLAQQHQHQQPIFPKQTGVGYGAQIPLQSGGQLGSPGIRSGMHGIGDQGISNGLIQAGALQGGGMGMVGLGGAVGVATGSPANQVSSDGIGKSSGDTSSVSPVPYVFNGSMRGRKYSAVEKVAERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELRKKHAEIMEMQKNQVIEMVDMQQGAKKRCLRRTQTGPW >KJB58507 pep chromosome:Graimondii2_0_v6:9:16485782:16488639:1 gene:B456_009G212600 transcript:KJB58507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNMNFGSNPPPSGDGGGNKPPGNNLLTRQPSIYSLTFDEFQSTMGGIGKDFGSMNMDELLRNIWSAEEIQTMASSGGVLEGNGGLQRQGSLTLPRTLSQKTVDEVWKDISKEYSLGKDGIGGGGGTNNMPQRQQTLGEMTLEEFLVRAGVVIEDTQLAGKVNNEGFFGGNNTGFEIGFQQGGKGPNLMGTRIPDGGNQINIQASNLHPNVNGVRSNQHQLAQQHQHQQPIFPKQTGVGYGAQIPLQSGGQLGSPGIRSGMHGIGDQGISNGLIQAGALQGGGMGMVGLGGAVGVATGSPANQVSSDGIGKSSGDTSSVSPVPYVFNGSMRGRKYSAVEKVAERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELRKKHAEIMEMQKNQVIEMVDMQQGAKKRCLRRTQTGPW >KJB58508 pep chromosome:Graimondii2_0_v6:9:16485833:16488639:1 gene:B456_009G212600 transcript:KJB58508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNMNFGSNPPPSGDGGGNKPPGNNLLTRQPSIYSLTFDEFQSTMGGIGKDFGSMNMDELLRNIWSAEEIQTMASSGGVLEGNGGLQRQGSLTLPRTLSQKTVDEVWKDISKEYSLGKDGIGGGGGTNNMPQRQQTLGEMTLEEFLVRAGVVIEDTQLAGKVNNEGFFGGNNTGFEIGFQQGGKGPNLMGTRIPDGGNQINIQASNLHPNVNGVRSNQHQLAQQHQHQQPIFPKQTGVGYGAQIPLQSGGQLGSPGIRSGMHGIGDQGISNGLIQAGALQGGGMGMVGLGGAVGVATGSPANQVSSDGIGKSSGDTSSVSPVPYVFNGSMRGRKYSAVEKVAERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELRKKHVFYFSFFQCTPLSFAHNSTYTFSYRLYFGCLQAEIMEMQKNQVIEMVDMQQGAKKRCLRRTQTGPW >KJB54569 pep chromosome:Graimondii2_0_v6:9:2876183:2893732:-1 gene:B456_009G039300 transcript:KJB54569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGFVSRAFESMLKECSSKKNPDLQKAIQTYLDSPKQRNQHSSSTEPRPGDGSSTGDTQHVSKPTGLSGTITTALANAGYTLEGAEVELVLNPLRLAFETKNLKILEPALDCLHKLIAYDHLEGDPGLDGGKNVPLFTDILNMVCNCVDNSSTDSTILQVLKVLLTAVASTKFRVHGEPLLGIIRVCYNITLHSKSPITQATSKAMLTQMISILFRRMETDVVPTSSGSADHTEAASSSDQNDNGTTLGDALNWVKDTTFASIEELQKLAGGADLKGLEAALDNVVHVENGKKITRGIDLENMSLGKRDALLVFRTLCKMSKKEDTDDTKTQILSLELLQGLLEGVSHSFTKNFHLIDSVKAYLLSALLQASVSQSAVIFQYATGIFSVLLLRYRESLKGEIGVFIPLIILRSLDGSDFPVNQKMSVLRMLEKICKDPQMLVDVYVNYDCDHEAPSLFQRMVTALSKIAQVPQNADSNPVAANQTTSIKSSSLQCLVNILKSLIDWEKSKRQPERNRRGDRAPEEDLARESVETKGHESTMAAAISEFNRQPVKGIENLISNKLVENTPASVAQFLRNTLNLDKAMIGDYLGQQEEFPLAVMHAYVDSVTFSGMKFDTAIREFLKGFYLPGEAQKIDRIMEKFAERYCADNPGLFKNADIAYVLAYAVIMLNTDAHDPMVWPKMSKSDFICMNNTYNPEEHAPIKLLEEIYDSIVKEEIKMRDEAAGTGKDSKQKPEGEERGHLVSILKLSLPKTKSSSDAKPQSEAIIKQTQAIIQNRAKRGIFYTAQEIELVRPMVEAVGWSLLATFSVTMEQSEDKPMVVLSMEGFSAGIHLTYALGMDTMRFAFVTSLIRFTFLHSPKEMRIKNVEALRTLFDLCDVEPDCLQDTWNAVLECVSRLEHITTTPAVAATVMHGLNQISKEAVLQALKEQAGKPAEQVFVNSEKLPSNSIVEFFTALCGVSAEELKQSPARIFSLQRAVEISYYNIARIRMVWARIWTVLANHFISAGSHADEKVAVYAIDSLRQLGMKYLEHAELTSFTFQNDILKPFVVLMRNSRSETIRSLIVDCIVQLIKSKVAGIKSGWQSVFMIFTAAADDDLESIIEKAFENVEQILLERFDQVVGDCFMDCINCLIRFANNKTSHHISLKAVALLRICENRLAEGRIPGGALKPTNVDAEYYWFPMLAGLSDLTSDLRPEVRSCALEVLFDLVNERGRKFSTPFWESIFHRVLFPIFDHVRHAGEESLFSAGDEWLRESSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKQTDQMVVSISLSALVHLIEVGGNQFSESDWDLLLKSIRDASYTTQPLELLNELGLENPMNPSIALGDLKVYTSGEVRQFDSTDNGKISPLASLSSGNNSSTRDTNASLSQDHHQESGLQSEGVPSPSDKAQKSAEAASLHRSQTIGQRIMGNMMDNIFLRSRSAKAKSGTSDIPAPSSPPKLPEAVEPEAKDEEESPLMTTVRGKCITQLILLGAIDGIQKKYWENLKEPQKIAIMDILLSLVEFAASYNSYSNFRTRMQHIPAERPPLNLLRQELAGTCIYLDVLHKTTSGFNDNNGQLLEPKSSQDTDVSSDNNGSELAEHSYEETKLEGIAEEKLVSFCAQVLRDTSDLQSTIGETSSMDIHRVLELRSPVIVKVLKGMCFMNNKIFRNHLGVFYPLLTKLICCDQMDIRGALGDLFRVQLKALLP >KJB54570 pep chromosome:Graimondii2_0_v6:9:2876542:2893732:-1 gene:B456_009G039300 transcript:KJB54570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGFVSRAFESMLKECSSKKNPDLQKAIQTYLDSPKQRNQHSSSTEPRPGDGSSTGDTQHVSKPTGLSGTITTALANAGYTLEGAEVELVLNPLRLAFETKNLKILEPALDCLHKLIAYDHLEGDPGLDGGKNVPLFTDILNMVCNCVDNSSTDSTILQVLKVLLTAVASTKFRVHGEPLLGIIRVCYNITLHSKSPITQATSKAMLTQMISILFRRMETDVVPTSSGSADHTEAASSSDQNDNGTTLGDALNWVKDTTFASIEELQKLAGGADLKGLEAALDNVVHVENGKKITRGIDLENMSLGKRDALLVFRTLCKMSKKEDTDDTKTQILSLELLQGLLEGVSHSFTKNFHLIDSVKAYLLSALLQASVSQSAVIFQYATGIFSVLLLRYRESLKGEIGVFIPLIILRSLDGSDFPVNQKMSVLRMLEKICKDPQMLVDVYVNYDCDHEAPSLFQRMVTALSKIAQVPQNADSNPVAANQTTSIKSSSLQCLVNILKSLIDWEKSKRQPERNRRGDRAPEEDLARESVETKGHESTMAAAISEFNRQPVKGIENLISNKLVENTPASVAQFLRNTLNLDKAMIGDYLGQQEEFPLAVMHAYVDSVTFSGMKFDTAIREFLKGFYLPGEAQKIDRIMEKFAERYCADNPGLFKNADIAYVLAYAVIMLNTDAHDPMVWPKMSKSDFICMNNTYNPEEHAPIKLLEEIYDSIVKEEIKMRDEAAGTGKDSKQKPEGEERGHLVSILKLSLPKTKSSSDAKPQSEAIIKQTQAIIQNRAKRGIFYTAQEIELVRPMVEAVGWSLLATFSVTMEQSEDKPMVVLSMEGFSAGIHLTYALGMDTMRFAFVTSLIRFTFLHSPKEMRIKNVEALRTLFDLCDVEPDCLQDTWNAVLECVSRLEHITTTPAVAATVMHGLNQISKEAVLQALKEQAGKPAEQVFVNSEKLPSNSIVEFFTALCGVSAEELKQSPARIFSLQRAVEISYYNIARIRMVWARIWTVLANHFISAGSHADEKVAVYAIDSLRQLGMKYLEHAELTSFTFQNDILKPFVVLMRNSRSETIRSLIVDCIVQLIKSKVAGIKSGWQSVFMIFTAAADDDLESIIEKAFENVEQILLERFDQVVGDCFMDCINCLIRFANNKTSHHISLKAVALLRICENRLAEGRIPGGALKPTNVDAEYYWFPMLAGLSDLTSDLRPEVRSCALEVLFDLVNERGRKFSTPFWESIFHRVLFPIFDHVRHAGEESLFSAGDEWLRESSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKQTDQMVVSISLSALVHLIEVGGNQFSESDWDLLLKSIRDASYTTQPLELLNELGLENPMNPSIALGDLKVYTSGEVRQFDSTDNGKISPLASLSSGNNSSTRDTNASLSQDHHQESGLQSEGVPSPSDKAQKSAEAASLHRSQTIGQRIMGNMMDNIFLRSRSAKAKSGTSDIPAPSSPPKLPEAVEPEAKDEEESPLMTTVRGKCITQLILLGAIDGIQKKYWENLKEPQKIAIMDILLSLVEFAASYNSYSNFRTRMQHIPAERPPLNLLRQELAGTCIYLDVLHKTTSGFNDNNGQLLEPKSSQDTDVSSDNNGSELAEHSYEETKLEGIAEEKLVSFCAQVLRDTSDLQSTIGETSSMDIHRVLELRSPVIVKVLKGMCFMNNKIFRNHLGVFYPLLTKLICCDQMDIRGALGDLFRVQLKALLP >KJB55820 pep chromosome:Graimondii2_0_v6:9:7008315:7012049:1 gene:B456_009G096500 transcript:KJB55820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQAGAICGPIERSTGQKQPTAGGPITPVHDLNVPYEGTEEYETPTADMLFPPTPLQTPVPTPLPGSADGSMYNIPTGSSDYPTPVNDGASNADVKGGRPSPYMQSPSPWSNQRTPLSVDVNVAYVEGRDEVDRGTSNQPLTQDFFMMPPGKRKREDFATQYNNGGYIPQQDGAGDSTSEVAKTEGSKGCNFLGRHDSATTGKTNILAHFATSSLKISQLDGPIPDPFEDMLSTPNIYNYQGVVGEDYNVANTPAPNDLQAATPAPVAQNDTGDDDDDEPLNEDDDDDDLDDVDQEELNTQHLVLAQFDKVTRTKSRWKCTLKDGIMHINNKDILFNKATGEFDF >KJB55819 pep chromosome:Graimondii2_0_v6:9:7008305:7012102:1 gene:B456_009G096500 transcript:KJB55819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTTSAVYIHVIEDVISKVRDEFINNGGPGEAVLNELQGLWETKMMQAGAICGPIERSTGQKQPTAGGPITPVHDLNVPYEGTEEYETPTADMLFPPTPLQTPVPTPLPGSADGSMYNIPTGSSDYPTPVNDGASNADVKGGRPSPYMQSPSPWSNQRTPLSVDVNVAYVEGRDEVDRGTSNQPLTQDFFMMPPGKRKREDFATQYNNGGYIPQQDGAGDSTSEVAKTEGSKGCNFLGRHDSATTGKTNILAHFATSSLKISQLDGPIPDPFEDMLSTPNIYNYQGVVGEDYNVANTPAPNDLQAATPAPVAQNDTGDDDDDEPLNEDDDDDDLDDVDQEELNTQHLVLAQFDKVTRTKSRWKCTLKDGIMHINNKDILFNKATGEFDF >KJB56607 pep chromosome:Graimondii2_0_v6:9:9586077:9589593:-1 gene:B456_009G127400 transcript:KJB56607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISVVAGVEARGFMFGPSIALAIGAKFVPLRKPRKLPGEVISESYVLEYGKDCLEMHVGAVQPGERALVIDDLVATGGTLSAAIRLLERAGAHVVECACVVGLREVKGQHGLNGKPLYILVEPRQQINCSAPSVNGVETCRHDDLILGASFGSPLNPTSKSD >KJB56610 pep chromosome:Graimondii2_0_v6:9:9586077:9589629:-1 gene:B456_009G127400 transcript:KJB56610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGDQNGLQGDPRLKAISEAIRVVPHFPKPGIMFQDITTLLLDHKAFKDTVDIFVDRYRDMGISVVAGVEARGFMFGPSIALAIGAKFVPLRKPRKLPGEVISESYVLEYGKDCLEMHVGAVQPGERALVIDDLVATGGTLSAAIRLLERAGAHVVECACVVGLREVKGQHGLNGKPLYILVEPRQQINCSAPSVNGVETCRHDDLILGASFGSPLNPTSKSD >KJB56611 pep chromosome:Graimondii2_0_v6:9:9586621:9589544:-1 gene:B456_009G127400 transcript:KJB56611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGDQNGLQGDPRLKAISEAIRVVPHFPKPGIMFQDITTLLLDHKAFKDTVDIFVDRYRDMGISVVAGVEARGFMFGPSIALAIGAKFVPLRKPRKLPGEVISESYVLEYGKDCLEMHVGAVQPGERALVIDDLVATGGTLSAAIRLLERAGAHVVECACVVGLREVKGQHGLNGKPLYILVEPRQQINCSAPSGIKLIVTCNKFH >KJB56608 pep chromosome:Graimondii2_0_v6:9:9586359:9589363:-1 gene:B456_009G127400 transcript:KJB56608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGDQNGLQGDPRLKAISEAIRVVPHFPKPGIMFQDITTLLLDHKAFKDTVDIFVDRYRDMGISVVAGVEARGFMFGPSIALAIGAKFVPLRKPRKLPGIGLLPRCTDGEVISESYVLEYGKDCLEMHVGAVQPGERALVIDDLVATGGTLSAAIRLLERAGAHVVECACVVGLREVKGQHGLNGKPLYILVEPRQQINCSAPSVNGVETCRHDDLILGASFGSPLNPTSKSD >KJB56609 pep chromosome:Graimondii2_0_v6:9:9586077:9589544:-1 gene:B456_009G127400 transcript:KJB56609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISVVAGVEARGFMFGPSIALAIGAKFVPLRKPRKLPGEVISESYVLEYGKDCLEMHVGAVQPGERALVIDDLVATGGTLSAAIRLLERAGAHVVECACVVGLREVKGQHGLNGKPLYILVEPRQQINCSAPSVNGVETCRHDDLILGASFGSPLNPTSKSD >KJB60142 pep chromosome:Graimondii2_0_v6:9:25177606:25181234:1 gene:B456_009G291600 transcript:KJB60142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIKKQATKLREQVAKQQQAVLRHLGHFSNEDVTVDEADLQCHQKLQDLYSSTKAAKHLQRNIVRGIEGFIATSSKLIEISRKLADDCCKFGVEDQNTGSSLAKAALHFGNSHKSIEDERETLLGILGERVSEPLRALITGAPLEDARHLTHRYDRFRQEVEAQAADVLRRRSKTREADISAESYMKLKQAEARLADLKSSMMVLGREATAAMLSAEDQQQKITFQLLLAMVDAEKSYHQHVLASLEKLHAEVCT >KJB60139 pep chromosome:Graimondii2_0_v6:9:25177327:25183809:1 gene:B456_009G291600 transcript:KJB60139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIKKQATKLREQVAKQQQAVLRHLGHFSNEDVTVDEADLQCHQKLQDLYSSTKAAKHLQRNIVRGIEGFIATSSKLIEISRKLADDCCKFGVEDQNTGSSLAKAALHFGNSHKSIEDERETLLGILGERVSEPLRALITGAPLEDARHLTHRYDRFRQEVEAQAADVLRRRSKTREADISAESYMKLKQAEARLADLKSSMMVLGREATAAMLSAEDQQQKITFQLLLAMVDAEKSYHQHVLASLEKLHAEMILEGQMNESLNSVTLQREVNVTSAHDNFSSNKSEAQGSNQSDVFFIAKVVHPFDAEADGELSLAVGDYVVVRQVGPSGWSEGECKGKAGWFPSAYVERQEKAPASKLIEPNSAASA >KJB60141 pep chromosome:Graimondii2_0_v6:9:25177376:25182628:1 gene:B456_009G291600 transcript:KJB60141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIKKQATKLREQVAKQQQAVLRHLGHFSNEDVTVDEADLQCHQKLQDLYSSTKAAKHLQRNIVRGIEGFIATSSKLIEISRKLADDCCKFGVEDQNTGSSLAKAALHFGNSHKSIEDERETLLGILGERVSEPLRALITGAPLEDARHLTHRYDRFRQEVEAQAADVLRRRSKTREADISAESYMKLKQAEARLADLKSSMMVLGREATAAMLSAEDQQQKITFQLLLAMVDAEKSYHQHVLASLEKLHAEMILEGQMNESLNSVTLQREVNVTSAHDNFSSNKSEAQGSNQSDVFFIAKVVHPFDAEADGELSLAVGDYVVVRQVGDVSNCSAG >KJB60143 pep chromosome:Graimondii2_0_v6:9:25177376:25183391:1 gene:B456_009G291600 transcript:KJB60143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIKKQATKLREQVAKQQQAVLRHLGHFSNEDVTVDEADLQCHQKLQDLYSSTKAAKHLQRNIVRGIEGFIATSSKLIEISRKLADDCCKFGVEDQNTGSSLAKAALHFGNSHKSIEDERETLLGILGERVSEPLRALITGAPLEDARHLTHRYDRFRQEVEAQAADVLRRRSKTREADISAESYMKLKQAEARLADLKSSMMVLGREATAAMLSAEDQQQKITFQLLLAMVDAEKSYHQHVLASLEKLHAEMILEGQMNESLNSVTLQREVNVTSAHDNFSSNKSEAQGSNQSDVFFIAKMGN >KJB60138 pep chromosome:Graimondii2_0_v6:9:25177333:25183391:1 gene:B456_009G291600 transcript:KJB60138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIKKQATKLREQVAKQQQAVLRHLGHFSNEDVTVDEADLQCHQKLQDLYSSTKAAKHLQRNIVRGIEGFIATSSKLIEISRKLADDCCKFGVEDQNTGSSLAKAALHFGNSHKSIEDERETLLGILGERVSEPLRALITGAPLEDARHLTHRYDRFRQEVEAQAADVLRRRSKTREADISAESYMKLKQAEARLADLKSSMMVLGREATAAMLSAEDQQQKITFQLLLAMVDAEKSYHQHVLASLEKLHAEMILEGQMNESLNSVTLQREVNVTSAHDNFSSNKSEAQGSNQSDVFFIAKVVHPFDAEADGELSLAVGDYVVVRQVGPSGWSEGECKGKAGWFPSAYVERQEKAPASKLIEPNSAASA >KJB60140 pep chromosome:Graimondii2_0_v6:9:25177357:25183391:1 gene:B456_009G291600 transcript:KJB60140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLADDCCKFGVEDQNTGSSLAKAALHFGNSHKSIEDERETLLGILGERVSEPLRALITGAPLEDARHLTHRYDRFRQEVEAQAADVLRRRSKTREADISAESYMKLKQAEARLADLKSSMMVLGREATAAMLSAEDQQQKITFQLLLAMVDAEKSYHQHVLASLEKLHAEMILEGQMNESLNSVTLQREVNVTSAHDNFSSNKSEAQGSNQSDVFFIAKVVHPFDAEADGELSLAVGDYVVVRQVGPSGWSEGECKGKAGWFPSAYVERQEKAPASKLIEPNSAASA >KJB58502 pep chromosome:Graimondii2_0_v6:9:16744460:16746245:1 gene:B456_009G215500 transcript:KJB58502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLLLKGIPLLRLRLQRYNCLSARIVSSPCRPWCAAAEPTGHQDEVSSSEKSSVVLTVKDPPKYHRWDDPDYRKWKDKEEEMLRDIEPIILLVKEILHSSRYMDGERLTVADEETVVEKLLRHHPHSEDKIGCGFDCIMVSF >KJB58501 pep chromosome:Graimondii2_0_v6:9:16744387:16747045:1 gene:B456_009G215500 transcript:KJB58501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLLLKGIPLLRLRLQRYNCLSARIVSSPCRPWCAAAEPTGHQDEVSSSEKSSVVLTVKDPPKYHRWDDPDYRKWKDKEEEMLRDIEPIILLVKEILHSSRYMDGERLTVADEETVVEKLLRHHPHSEDKIGCGFDCIMVDRHPQFRHSRCLFVVRTDGGWIDFSYQKCLRAYIRDKYPSHAERFIREHFKRGSG >KJB55876 pep chromosome:Graimondii2_0_v6:9:7179917:7182092:-1 gene:B456_009G099200 transcript:KJB55876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPVQPSTTDLPSDPLLKPEAFIDANDQNQSAELSEPSNGSIPNGAQSETPAQGPDISSSSAEPKGKRRVLVAPETWLPAGWSIEDRVRSSGATAGTVDRYYFDPSSGRKFRSKKEVLYYLETGIPPSKRKKGAEASGSEEVGTGNSGGNKQKKPDKKLKPLNFDFINVPQKVDWLLTNASEDSWTPFLGDDQVSGPTKQDWAAAFASLIASKSGQMMY >KJB58458 pep chromosome:Graimondii2_0_v6:9:16347207:16352440:1 gene:B456_009G211100 transcript:KJB58458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKGEHQTVPLSVLLKRESESEKIENPEILHGQASQSKKGEDFTLLKTECQRAMGDGVATFSVFGLFDGHNGSAAAIYTKENLLNNILSAIPADLNRDEWVAALPRALVAGFVKTDKDFQAKAKTSGTTVTFVIIDGWVVTVASVGDSRCIFESGEGGIYYLSADHRLECNEEERERITSSGGEVGRLNTGGGTQIGPLRCWPGGLCLSRSIGDMDVGEYIVPVPYVKQVKLSTAGGRLIISSDGVWDVLSAEVALDCCRGMSPDAAAAQIVKEAVHTKGLRDDTTCIVVDILPLEKPSAPLPPPKKAVKGKLKAMFRKKHSEASSQSDKEYMEPDVVEELFEEGSAMLSERFATKYPLCNMFKLFTCAVCQLEMKPGEGISIHAGTSNSVKLRPWDGPFLCSTCQEKKEAMEGKRPSGNMVVTAIS >KJB58459 pep chromosome:Graimondii2_0_v6:9:16347207:16352440:1 gene:B456_009G211100 transcript:KJB58459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKGEHQTVPLSVLLKRESESEKIENPEILHGQASQSKKGEDFTLLKTECQRAMGDGVATFSVFGLFDGHNGSAAAIYTKENLLNNILSAIPADLNRDEWVAALPRALVAGFVKTDKDFQAKAKTSGTTVTFVIIDGWVVTVASVGDSRCIFESGEGGIYYLSADHRLECNEEERERITSSGGEVGRLNTGGGTQIGPLRCWPGGLCLSRSIGDMDVGEYIVPVPYVKQVKLSTAGGRLIISSDGVWDVLSAEVALDCCRGMSPDAAAAQIVKEAVHTKGLRDDTTCIVVDILPLEKPSAPLPPPKKAVKGKLKAMFRKKHSEASSQSDKEYMEPDVVEELFEEGSAMLSERFATKYPLCNMFKLFTCAVCQLEMKPGEGISIHAGTSNSVKLRPWDGPFLCSTCQEKKEAMEGKRPSGSNNKALLYLLPPLQIYGTKRSLNILFLFSLFSGRHGSDSD >KJB58457 pep chromosome:Graimondii2_0_v6:9:16347173:16352504:1 gene:B456_009G211100 transcript:KJB58457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKGEHQTVPLSVLLKRESESEKIENPEILHGQASQSKKGEDFTLLKTECQRAMGDGVATFSVFGLFDGHNGSAAAIYTKENLLNNILSAIPADLNRDEWVAALPRALVAGFVKTDKDFQAKAKTSGTTVTFVIIDGWVVTVASVGDSRCIFESGEGGIYYLSADHRLECNEEERERITSSGGEVGRLNTGGGTQIGPLRCWPGGLCLSRSIGDMDVGEYIVPVPYVKQVKLSTAGGRLIISSDGVWDVLSAEVALDCCRGMSPDAAAAQIVKEAVHTKGLRDDTTCIVVDILPLEKPSAPLPPPKKAVKGKLKAMFRKKHSEASSQSDKEYMEPDVVEELFEEGSAMLSERFATKYPLCNMFKLFTCAVCQLEMKPGEGISIHAGTSNSVKLRPWDGPFLCSTCQEKKEAMEGKRPSGSRHGSDSD >KJB63006 pep chromosome:Graimondii2_0_v6:9:69959437:69972698:-1 gene:B456_009G448600 transcript:KJB63006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRYHVNNYWSCGIVMSTNNVGVVSVNNYFKNSFSITQTDAASFDCDDQSYPKTDSWKEGTDCCSWDGVTCDHLNAHVIALDLSCSWLYGNFPSNTTLFLLPHLQKLNLAYNDFNLSKIPSEFGQFTSLFYFNLSHTGFAGEVPSQVSHLSKLVSLDLSGIEEQLTIDKYALEGLVHNLTEVRHLFLDEINMSSVNAHVFMNLSSSLRSLSLGGCDLQGKFPKNIFDLPNLNLLNLRNNQNLNLDPLKFNRSSNLEHLDLSWMSFSTEFIDSVDNLQALKYLRLSGNSFIQGLSVSITNLSSLEQLIILGANFFGGLPDSVGNLVSLKFLGISKSNLSGLIPKSLGNLLQLTRLDLSWNQLSGQIPRSLGNLLQLTHLRLSQNQLSGQIPLSLGNLLQLTSLDFSQNQLSGQIPLSILNLTQLEFLEISNNSLEGSIPDEVTAFPNLIHLDLSDNLLNGTLPSWLYTAPSLEGMDLSQNQFSGHIKEFQSKSLEYLYLGNNKLQGPLPSSKFQLLNLSWLDLSSNNLSGVIEFRMFSNLPNLYHLDLSYNSLSLTSNSLFLSSCNLSEFPQFLKGLKSLEWLDLSCNKIEGKIPQWMQEVGNDSLTYLNVSHNSLTEVEHFPWKNIEVLDLSSNLISGNLPIPASTINVFLISNNSFNGEVSSLICNAAFLEILDLSHNNLSGTIPQCFGNLSNSLLFLNLKKNKFYGTIPPTFAMGCQLSNFNLNGNLLEGPLTPSVLNCNGLEVLDLGNNKINDTFPHWLGSLPQLQVLVLKSNQLNGSLCVNSSKSIPFFSKIQIFDLSSNYFSGPLPVRYINSFKAIINLEKIGSTVSYMGVNDDGGDFYTYSIGIVMKGQDRELVKIFTMWMIIDLSNNQFEGGIPKVIGKLNLLKGLNISHNNLNGNIPTSIGDLTSLEWLDLCSNRLSGTIPNRLADLPFLSSLNVSENQLHGQIPQGKQFNTFGNDSYEGNKGLCGFPVSKGCNNINPPPPNVLEKDGSKSNIAFGWKVVLIGYGCGVVFGMFVGYVVFQTGKPKWLVNLVENQHERRRRRKSKEGNRSSR >KJB58848 pep chromosome:Graimondii2_0_v6:9:18842637:18844806:1 gene:B456_009G237900 transcript:KJB58848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:Projected from Arabidopsis thaliana (AT1G35720) UniProtKB/TrEMBL;Acc:A0A178WGZ8] MTLRGWFCFGLLILLNVMSANQLLHARQAYHARYKKSLEEDVAHHTTGDFRKLLLPLVSSYRYEGEEVNMTLAKTEAKLLHEKISDKAYSDDDVIRVLATRSKAQINATLNHYKNEYGNDINKDLKADPKDEFLALLRSTVKCLVYPEKYFEKVLRLAINRRGTDEGALTRVVCTRAEVDLKIIADEYQRRNSVPLTRAIVKDTHGDYEKLLLVLAGHVEN >KJB58851 pep chromosome:Graimondii2_0_v6:9:18842637:18844806:1 gene:B456_009G237900 transcript:KJB58851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:Projected from Arabidopsis thaliana (AT1G35720) UniProtKB/TrEMBL;Acc:A0A178WGZ8] MATLTVPTTVPSVSEDCEQLRKAFSGWGTNEGLIIDILGHRNAEQRNLIRKTYAETYGEDLLKALDKELSNDFERLVLLWALDPAERDALLANEATKRWTSSNQVLMEIACTRSANQLLHARQAYHARYKKSLEEDVAHHTTGDFRKLLLPLVSSYRYEGEEVNMTLAKTEAKLLHEKISDKAYSDDDVIRVLATRSKAQINATLNHYKNEYGNDINKVNKVGFWLFCI >KJB58855 pep chromosome:Graimondii2_0_v6:9:18842637:18844806:1 gene:B456_009G237900 transcript:KJB58855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:Projected from Arabidopsis thaliana (AT1G35720) UniProtKB/TrEMBL;Acc:A0A178WGZ8] MTLRLVLLWALDPAERDALLANEATKRWTSSNQVLMEIACTRSANQLLHARQAYHARYKKSLEEDVAHHTTGDFRKLLLPLVSSYRYEGEEVNMTLAKTEAKLLHEKISDKAYSDDDVIRVLATRSKAQINATLNHYKNEYGNDINKDLKADPKDEFLALLRSTVKCLVYPEKYFEKVLRLAINRRGTDEGALTRVVCTRAEVDLKIIADEYQRRNSVPLTRAIVKDTHGDYEKLLLVLAGHVEN >KJB58850 pep chromosome:Graimondii2_0_v6:9:18842637:18844806:1 gene:B456_009G237900 transcript:KJB58850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:Projected from Arabidopsis thaliana (AT1G35720) UniProtKB/TrEMBL;Acc:A0A178WGZ8] MEIACTRSANQLLHARQAYHARYKKSLEEDVAHHTTGDFRKLLLPLVSSYRYEGEEVNMTLAKTEAKLLHEKISDKAYSDDDVIRVLATRSKAQINATLNHYKNEYGNDINKDLKADPKDEFLALLRSTVKCLVYPEKYFEKVLRLAINRRGTDEGALTRVVCTRAEVDLKIIADEYQRRNSVPLTRAIVKDTHGDYEKLLLVLAGHVEN >KJB58853 pep chromosome:Graimondii2_0_v6:9:18842637:18844806:1 gene:B456_009G237900 transcript:KJB58853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:Projected from Arabidopsis thaliana (AT1G35720) UniProtKB/TrEMBL;Acc:A0A178WGZ8] MATLTVPTTVPSVSEDCEQLRKAFSGWGTNEGLIIDILGHRNAEQRNLIRKTYAETYGEDLLKALDKELSNDFERLVLLWALDPAERDALLANEATKRWTSSNQVLMEIACTRSANQLLHARQAYHARYKKSLEEDVAHHTTGDFRKLLLPLVSSYRYEGEEVNMTLAKTEAKLLHEKISDKAYSDDDVIRVLATRSKAQINATLNHYKNEYGNDINKDTHGDYEKLLLVLAGHVEN >KJB58854 pep chromosome:Graimondii2_0_v6:9:18844031:18844678:1 gene:B456_009G237900 transcript:KJB58854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:Projected from Arabidopsis thaliana (AT1G35720) UniProtKB/TrEMBL;Acc:A0A178WGZ8] MCLYSFQLLLPLVSSYRYEGEEVNMTLAKTEAKLLHEKISDKAYSDDDVIRVLATRSKAQINATLNHYKNEYGNDINKDLKADPKDEFLALLRSTVKCLVYPEKYFEKVLRLAINRRGTDEGALTRVVCTRAEVDLKIIADEYQRRNSVPLTRAIVKDTHGDYEKLLLVLAGHVEN >KJB58852 pep chromosome:Graimondii2_0_v6:9:18843655:18844678:1 gene:B456_009G237900 transcript:KJB58852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:Projected from Arabidopsis thaliana (AT1G35720) UniProtKB/TrEMBL;Acc:A0A178WGZ8] MLTNLGRFPSSYQRLVLLWALDPAERDALLANEATKRWTSSNQVLMEIACTRSANQLLHARQAYHARYKKSLEEDVAHHTTGDFRKLLLPLVSSYRYEGEEVNMTLAKTEAKLLHEKISDKAYSDDDVIRVLATRSKAQINATLNHYKNEYGNDINKDLKADPKDEFLALLRSTVKCLVYPEKYFEKVLRLAINRRGTDEGALTRVVCTRAEVDLKIIADEYQRRNSVPLTRAIVKDTHGDYEKLLLVLAGHVEN >KJB58849 pep chromosome:Graimondii2_0_v6:9:18842637:18844806:1 gene:B456_009G237900 transcript:KJB58849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:Projected from Arabidopsis thaliana (AT1G35720) UniProtKB/TrEMBL;Acc:A0A178WGZ8] MATLTVPTTVPSVSEDCEQLRKAFSGWGTNEGLIIDILGHRNAEQRNLIRKTYAETYGEDLLKALDKELSNDFERLVLLWALDPAERDALLANEATKRWTSSNQVLMEIACTRSANQLLHARQAYHARYKKSLEEDVAHHTTGDFRKLLLPLVSSYRYEGEEVNMTLAKTEAKLLHEKISDKAYSDDDVIRVLATRSKAQINATLNHYKNEYGNDINKDLKADPKDEFLALLRSTVKCLVYPEKYFEKVLRLAINRRGTDEGALTRVVCTRAEVDLKIIADEYQRRNSVPLTRAIVKDTHGDYEKLLLVLAGHVEN >KJB59605 pep chromosome:Graimondii2_0_v6:9:21800654:21803020:1 gene:B456_009G263500 transcript:KJB59605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKPPKRRTAIENGETGEDLVLATLIGNGDDLGPLVRHAFEMGRPEPLVQQLKHLMKKKEVEIEELCKTHYEEFIRAVDELRGVLVDAEELKSDLASDNFRLQEVGSALLLKLEELLESYSIKKSVTEAIKMSKICIEVLQLCVKCNTHLSAGQFYLALKAVDLIEKNYLKNIPVNKIKIVIEKAIPIIKAHVEKKVTTHFNEWLVHIRSSAKNIGQTAIGHAASARQREEETLERQRKAEEMNMYGMEFVYTLDEEVSEESPLKFDLTPLHRSYHIHACLGLQEQFREYYYKNRMLQLTSDLQISSSQAFVESHHVYLAQIAGYFIVEDRVLRTSGGLLSDEQVETMWETTVAKVTSVLETQFSLMRSATHLLLVKDYITLLGAALTQYGYKVGSILEVLDKSRDKYHDLLLEECRQQISNIFSNDTCEQMVMKKDADYESNVLAFHLQASDIMPAFPYIAPFSSMVPDSCRVVRSFIKGSVDYLSHGVNCNFYDTVRKYLDKLLIDMLNEVIINKVHSTGLGESQAMQIAANISYLERACDFFVQHAAQLCGIPVRAVERPRAGLTAQAILKTSRDEAYLALLNLVHSKLEEFMALTENINWTSEELVQNKNDYMNEVVFYLETLLSKAQQILPLDALYKVGSGALEHISNSIVAAFLSDSVKRFNANAVIVINNDLKMLENFADERFESTGLKEVYKDGSFRGCLIEARQLINLLSSSQPENFMNPVIRQKNYNALDYKKVGIICDKFKDSADGIFGSLSSRNTKTNSRKKSMDVLKKRLKDFN >KJB61809 pep chromosome:Graimondii2_0_v6:9:51905679:51907787:1 gene:B456_009G382500 transcript:KJB61809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNEGVMKEAAFNIPGARFKKGDFLKNLEGRKNGPSLGSMAGEKVEDGIVQMVWTDSNSWYDCGALKDCYSRIFALAMNIVAKAKDYLQKGRFYHQLWSSFFRRNRFYLGVEVVEQFAS >KJB60481 pep chromosome:Graimondii2_0_v6:9:28171803:28182051:1 gene:B456_009G307600 transcript:KJB60481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSSPPGLDGDGNENGKNNAINNNSDTNNNNNDQGFYSIRDRFPFKRNPGHSRDRIKQYSLLERPLVRNRARFNRKGLLHFPFRGIYLFYFLIFFSVFAFAVASMVMQSSITAMLFRQGGERSWRRSIREGLRFGSSLKFMPPGISRLLAEGGGLDPMRSTDRIGLRGPRLALVLGNMKKNSQSLMLVTVVKSLQRLGYVFKIYALDSGEARGMWENLSAQFSFFGPQQFGHIDWSMFEGVIADSLEAKEAISSLMQEPFDTVPLIWIVQEDTLAKRLPVYEEKGLQHLVLNWKTAFTRANVILFPDFTLPMLYSMLDTGNFHVIPGSPVDVWGAESYSMTHEKQQLRKDNGFSMDDMVVLVVGSSFFYDELSWDYAVALQTIGPLLQRYTRRNDAGGSFKFIFLSGNSTDGYNDALQQVASRLGLPQGSVRHYGLDGDTNGVILMADIVLYGSSQEEQGFPPLIIRAMTFGIPVITPDFPIVKKYVVDGAHCVFFPKHDPDALLRAFSLLISNGRLSKFAETVASSGRLLAKNILASECITGYASLLVNLLYFPSDVLLPGPVSELQQASWEWNLFRKEIEHSNFDTSVDSSVVYTVEEELTKHIIDTSKNRTELQDQDALTGQDLDLVTEIENFEDYERLEMEEINERTERHLGVWDEIYRNARKSEKLRFEANERDEGELERTGQPVCIYEIYSGAGAWPFLHHGSLYRGLSLSRRARRLTSDDVDAVGRLPLLNSTYYRDLLCEVGGMFSIANRVDSIHKRPWIGFQSWQAAGRKVSLSTKAEKVLEETIQRSKGDAMYFWAHLDADGGGEGSSDALTFWSMCDILNAGHCRTAFENAFRKMYNLPLDMEALPPMPQDEGHWSSLHSWVMPTKSFLEFVMFSRMFVDSLDALQSNSSEANMCLLGSTYLEKKQCYCRVLELLVNVWVYHSGRRMVYIEPHSGLLEEQHPVEQRKEFMWARYFNFTLLKSMDEDLAEAADDADHPRKVWLWPLTGEVHWQGIYEREREERYRLKMDKKRKTKEKLLERMRNGYRQRPLGL >KJB60483 pep chromosome:Graimondii2_0_v6:9:28171791:28182098:1 gene:B456_009G307600 transcript:KJB60483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSSPPGLDGDGNENGKNNAINNNSDTNNNNNDQGFYSIRDRFPFKRNPGHSRDRIKQYSLLERPLVRNRARFNRKGLLHFPFRGIYLFYFLIFFSVFAFAVASMVMQSSITAMLFRQGGERSWRRSIREGLRFGSSLKFMPPGISRLLAEGGGLDPMRSTDRIGLRGPRLALVLGNMKKNSQSLMLVTVVKSLQRLGYVFKIYALDSGEARGMWENLSAQFSFFGPQQFGHIDWSMFEGVIADSLEAKEAISSLMQEPFDTVPLIWIVQEDTLAKRLPVYEEKGLQHLVLNWKTAFTRANVILFPDFTLPMLYSMLDTGNFHVIPGSPVDVWGAESYSMTHEKQQLRKDNGFSMDDMVVLVVGSSFFYDELSWDYAVALQTIGPLLQRYTRRNDAGGSFKFIFLSGNSTDGYNDALQQVASRLGLPQGSVRHYGLDGDTNGVILMADIVLYGSSQEEQGFPPLIIRAMTFGIPVITPDFPIVKKYVVDGAHCVFFPKHDPDALLRAFSLLISNGRLSKFAETVASSGRLLAKNILASECITGYASLLVNLLYFPSDVLLPGPVSELQQASWEWNLFRKEIEHSNFDTSVDSSVVYTVEEELTKHIIDTSKNRTELQDQDALTGQDLDLVTEIENFEDYERLEMEEINERTERHLGVWDEIYRNARKSEKLRFEANERDEGELERTGQPVCIYEIYSGAGAWPFLHHGSLYRGLSLSRRARRLTSDDVDAVGRLPLLNSTYYRDLLCEVGGMFSIANRVDSIHKRPWIGFQSWQAAGRKVSLSTKAEKVLEETIQRSKGDAMYFWAHLDADGGGEGSSDALTFWSMCDILNAGHCRTAFENAFRKMYNLPLDMEALPPMPQDEGHWSSLHSWVMPTKSFLEFVMFSRMFVDSLDALQSNSSEANMCLLGSTYLEKKQCYCRVLELLVNVWVYHSGRRMVYIEPHSGLLEEQHPVEQRKEFMWARYFNFTLLKSMDEDLAEAADDADHPRKVWLWPLTGEVHWQGIYEREREERYRLKMDKKRKTKEKLLERMRNGYRQRPLGL >KJB60482 pep chromosome:Graimondii2_0_v6:9:28171803:28182051:1 gene:B456_009G307600 transcript:KJB60482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSSPPGLDGDGNENGKNNAINNNSDTNNNNNDQGFYSIRDRFPFKRNPGHSRDRIKQYSLLERPLVRNRARFNRKGLLHFPFRGIYLFYFLIFFSVFAFAVASMVMQSSITAMLFRQGGERSWRRSIREGLRFGSSLKFMPPGISRLLAEGGGLDPMRSTDRIGLRGPRLALVLGNMKKNSQSLMLVTVVKSLQRLGYVFKIYALDSGEARGMWENLSAQFSFFGPQQFGHIDWSMFEGVIADSLEAKEAISSLMQEPFDTVPLIWIVQEDTLAKRLPVYEEKGLQHLVLNWKTAFTRANVILFPDFTLPMLYSMLDTGNFHVIPGSPVDVWGAESYSMTHEKQQLRKDNGFSMDDMVVLVVGSSFFYDELSWDYAVALQTIGPLLQRYTRRNDAGGSFKFIFLSGNSTDGYNDALQQVASRLGLPQGSVRHYGLDGDTNGVILMADIVLYGSSQEEQGFPPLIIRAMTFGIPVITPDFPIVKKYVVDGAHCVFFPKHDPDALLRAFSLLISNGRLSKFAETVASSGRLLAKNILASECITGYASLLVNLLYFPSDVLLPGPVSELQQASWEWNLFRKEIEHSNFDTSVDSSVVYTVEEELTKHIIDTSKNRTELQDQDALTGQDLDLVTEIENFEDYERLEMEEINERTERHLGVWDEIYRNARKSEKLRFEANERDEGELERTGQPVCIYEIYSGAGAWPFLHHGSLYRGLSLSRRARRLTSDDVDAVGRLPLLNSTYYRDLLCEVGGMFSIANRVDSIHKRPWIGFQSWQAAGRKVSLSTKAEKVLEETIQRSKGDAMYFWAHLDADGGGEGSSDALTFWSMCDILNAGHCRTAFENAFRKMYNLPLDMEALPPMPQDEGHWSSLHSWVMPTKSFLEFVMFSRMFVDSLDALQSNSSEANMCLLGSTYLEKKQCYCRVLELLVNVWVYHSGRRMVYIEPHSGLLEEQHPVEQRKEFMWARYFNFTLLKSMDEDLAEAADDADHPRKVWLWPLTGEVHWQGIYEREREERYRLKMDKKRKTKEKLLERMRNGYRQRPLGL >KJB59674 pep chromosome:Graimondii2_0_v6:9:22168790:22173863:-1 gene:B456_009G267000 transcript:KJB59674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLRQMVVRNRVKLRILGLMVARNRLLKRRVSNLLMRCLSRKLMMVQLLLAELRFLMLSFLQIGVLIGKAGDTIRYLQYNSGAKIQITRDADADRDAPIRPVEIIGTLNSIIKAEKLINAVIAEADAGGSPSLVARGLATTQAAGDADHIEMQVPNEKVGLIIGRGGETIRGMQTRSGARIQLIPQHLPEGDESKERIVRVTGDKRQIEIAREMIKDVMSQNARLSPLSGGFNQQGNRPRGNTGPPQWGSFGHTVPTASYDFQRRRPYPSQNSHYQPPYGGYPSHQMAPRSNFGSSWEQRPRNFQGPPHSGGYNYYSRHGSVSVLHLASIRGHGPRPTPAPAMGAVSSQSSYNYGQPHGRADYAHPPPYSHAFPQHSYGNGYGEKYENHTPVLHPYGGGHGSSQPGYAPPGPQSAYAPQQQYGKPYSYVQSQGPQTYGPPANQPGEVPYQGPTGQSYSPNVPPQQQYPYASGPLQQSYPPCGSAPPGDGYNQPPPVTGQAYSQQGGQSVPGYSQPSAQQATAYAQANTAAGYGQYPPSQQGYSDQAAPNNAAYGSQGTQDSGYGSGPVTTYGAAPSGQVTYAQPTATQATYDQSGGYAAAPGSAPVAYGNTVSPQLGYPQYDSTQMYAAPQ >KJB59673 pep chromosome:Graimondii2_0_v6:9:22168532:22175134:-1 gene:B456_009G267000 transcript:KJB59673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEVVAASPGLVPSDHKRKLEDIEPQAPPVEMPLNSAGDPDATASDSSFDSSEAKRPRLVDEKTDGLASENGFKAEQSDEPVKEEEEAFLQNEVTKQAEDGTAQAEEAQEAAKPQQVEGTTKETEQQSTDNHATTDAELGKDEKFEADGGQEPGKVENFGADGSQEPPTKEESKQPSNEVPQQEVDDGSTITRRIEVPNAKIGVLIGKAGDTIRYLQYNSGAKIQITRDADADRDAPIRPVEIIGTLNSIIKAEKLINAVIAEADAGGSPSLVARGLATTQAAGDADHIEMQVPNEKVGLIIGRGGETIRGMQTRSGARIQLIPQHLPEGDESKERIVRVTGDKRQIEIAREMIKDVMSQNARLSPLSGGFNQQGNRPRGNTGPPQWGSFGHTVPTASYDFQRRRPYPSQNSHYQPPYGGYPSHQMAPRSNFGSSWEQRPRNFQGPPHSGGYNYYSRHGSVSVLHLASIRGHGPRPTPAPAMGAVSSQSSYNYGQPHGRADYAHPPPYSHAFPQHSYGNGYGEKYENHTPVLHPYGGGHGSSQPGYAPPGPQSAYAPQQQYGKPYSYVQSQGPQTYGPPANQPGEVPYQGPTGQSYSPNVPPQQQYPYASGPLQQSYPPCGSAPPGDGYNQPPPVTGQAYSQQGGQSVPGYSQPSAQQATAYAQANTAAGYGQYPPSQQGYSDQAAPNNAAYGSQGTQDSGYGSGPVTTYGAAPSGQVTYAQPTATQATYDQSGGYAAAPGSAPVAYGNTVSPQLGYPQYDSTQMYAAPQ >KJB54730 pep chromosome:Graimondii2_0_v6:9:3390469:3392915:1 gene:B456_009G046800 transcript:KJB54730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLVAGVLLLLLSVTGIVTAQRDATGDVLRLVSPEAYKFFHQSDDGRVGGSRWAVLIAGSRGYENYRHQADVCHAYQLLRKCGLKDENIVVFMYDDIAYNENNPRPGIIINSPNGSDVYHGVPKDYTGDDVTVNNFFNVILGNKAAITGGSGKVVNSGPNDHIFIFYSDHGASGVLGMPDDSYIYANDLNWVLRKKHASGTYKSLVFYIEACESGSIFDGLLDPKGLNIYATTASNATESSWATYCPGGQPSAPPEYDTCLGDLYSVAWIEDSEAHDPRTETLQQQYQNVKKRATTSHVMQYGDIVLSLDHLSVYFGENTAKYNLQPPTTAINQRDADLVHFWEKYRKAPEGSAKKAEAQKQLVEIMSHRMHIDTSVKLIGNLLFGTEIGPDVLNVVRPAGQPLVDDWKCLKEMVKTFETHCGKLAQYGMKYIRSFANICNAGIQIEHMAEASAQACVGIHADH >KJB57950 pep chromosome:Graimondii2_0_v6:9:14365793:14367505:1 gene:B456_009G186800 transcript:KJB57950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLNFNLPLNLSLSFPFLFTFFPPPPFTSTLTLPHQSGYNMKGGKSKSDTKSSRLAVNKKSSAKAGKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKEHPKNKSVAAVGKAGGDKWKSLSDAEKAPYIAKAEKRKVEYEKNMKAYTKRQAEAPKEEESESEKSVSEVNDEEDEDDEEGSGEVSCNILFSFYCRYRSSWLLNFYIKMQEEDDD >KJB57948 pep chromosome:Graimondii2_0_v6:9:14365649:14367508:1 gene:B456_009G186800 transcript:KJB57948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKSKSDTKSSRLAVNKKSSAKAGKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKEHPKNKSVAAVGKAGGDKWKSLSDAEKAPYIAKAEKRKVEYEKNMKAYTKRQAEAPKEEESESEKSVSEVNDEEDEDDEEGSGEEEDDD >KJB57949 pep chromosome:Graimondii2_0_v6:9:14365793:14367505:1 gene:B456_009G186800 transcript:KJB57949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLNFNLPLNLSLSFPFLFTFFPPPPFTSTLTLPHQSGYNMKGGKSKSDTKSSRLAVNKKSSAKAGKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKEHPKNKSVAAVGKAGGDKWKSLSDAEKAPYIAKAEKRKVEYEKNMKAYTKRQAEAPKEEESESEKSVSEVNDEEDEDDEEGSGEVKDDD >KJB60812 pep chromosome:Graimondii2_0_v6:9:32778322:32782352:-1 gene:B456_009G327100 transcript:KJB60812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKEAWKNLLRRCNSKGKFENVVFIDVDGDRFENVIIIDAPESVEENLQRSSGSKGGKHFFSPSVISIDDDETDKIDDPEIFVEPAGDLDSDASSRKSCPAPNFMKKSTGLDDDDDCSFIREKKPAFKLSKCKKTYAGKTPCGKRFGLSPDSEDSSSESDCSDCEVIEGSIGKLKEQWEKAFQRKRYNVRNGQSGSEDQTSASVSRNGTPGVGENRSQQYTGTSAFSGSSDSNIQKQKSPAFETNSDSYFDDTCLDRTERPFVGSEKKVGHENFSQSKYRPTAEAQFSHIEADVIFGRERFMEVPLSRDRNQEFSRAPSKFDPCQSDLQHENTVANEKEKLQSKEPLMSSPKSSEEKQVENDMNPVDVEVGTLFDESTSVKTPLDGIPVTSSKIYCDGDSSQNDETRIQQSFSIAEQSKINSMPNSEKCDESDALHDAIVSGEKDMIIDREKLKQTDQYKRAVEEEWASRQRELKIQAEEAQRLRKRKKAESMRVLDMERRQKQRLEEMRETQKKDEENMNIKEQLRMEVRKELSKLEISCIDMASLLRSLGIPVGGGSYPLSNEIHAAYKRALLRFHPDRASQTDIRQQVEAEEKFKLISRMKEKLLATSCH >KJB60814 pep chromosome:Graimondii2_0_v6:9:32779553:32782464:-1 gene:B456_009G327100 transcript:KJB60814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKEAWKNLLRRCNSKGKFENVVFIDVDGDRFENVIIIDAPESVEENLQRSSGSKGGKHFFSPSVISIDDDETDKIDDPEIFVEPAGDLDSDASSRKSCPAPNFMKKSTGLDDDDDCSFIREKKPAFKLSKCKKTYAGKTPCGKRFGLSPDSEDSSSESDCSDCEVIEGSIGKLKEQWEKAFQRKRYNVRNGQSGSEDQTSASVSRNGTPGVGENRSQQYTGTSAFSGSSDSNIQKQKSPAFETNSDSYFDDTCLDRTERPFVGSEKKVGHENFSQSKYRPTAEAQFSHIEADVIFGRERFMEVPLSRDRNQEFSRAPSKFDPCQSDLQHENTVANEKEKLQSKEPLMSSPKSSEEKQVENDMNPVDVEVGTLFDESTSVKTPLDGIPVTSSKIYCDGDSSQNDETRIQQSFSIAEQSKINSMPNSEKCDESDALHDAIVSGEKDMIIDREKLKQTDQYKRAVEEEWASRQRELKIQRLNLMKLDYKLVLPMARST >KJB60813 pep chromosome:Graimondii2_0_v6:9:32779027:32781518:-1 gene:B456_009G327100 transcript:KJB60813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKEAWKNLLRRCNSKGKFENVVFIDVDGDRFENVIIIDAPESVEENLQRSSGSKGGKHFFSPSVISIDDDETDKIDDPEIFVEPAGDLDSDASSRKSCPAPNFMKKSTGLDDDDDCSFIREKKPAFKLSKCKKTYAGKTPCGKRFGLSPDSEDSSSESDCSDCEVIEGSIGKLKEQWEKAFQRKRYNVRNGQSGSEDQTSASVSRNGTPGVGENRSQQYTGTSAFSGSSDSNIQKQKSPAFETNSDSYFDDTCLDRTERPFVGSEKKVGHENFSQSKYRPTAEAQFSHIEADVIFGRERFMEVPLSRDRNQEFSRAPSKFDPCQSDLQHENTVANEKEKLQSKEPLMSSPKSSEEKQVENDMNPVDVEVGTLFDESTSVKTPLDGIPVTSSKIYCDGDSSQNDETRIQQSFSIAEQSKINSMPNSEKCDESDALHDAIVSGEKDMIIDREKLKQTDQYKRAVEEEWASRQRELKIQAEEAQRLRKRKKAESMRVLDMERRQKQRLEEMRETQKKDEENMNIKEQLRMEVRKELSKLEISCIDMASLLRSLGIPVGGGSYPLSNEVSEIGTSIVILSESSEGFD >KJB60811 pep chromosome:Graimondii2_0_v6:9:32778265:32782535:-1 gene:B456_009G327100 transcript:KJB60811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKEAWKNLLRRCNSKGKFENVVFIDVDGDRFENVIIIDAPESVEENLQRSSGSKGGKHFFSPSVISIDDDETDKIDDPEIFVEPAGDLDSDASSRKSCPAPNFMKKSTGLDDDDDCSFIREKKPAFKLSKCKKTYAGKTPCGKRFGLSPDSEDSSSESDCSDCEVIEGSIGKLKEQWEKAFQRKRYNVRNGQSGSEDQTSASVSRNGTPGVGENRSQQYTGTSAFSGSSDSNIQKQKSPAFETNSDSYFDDTCLDRTERPFVGSEKKVGHENFSQSKYRPTAEAQFSHIEADVIFGRERFMEVPLSRDRNQEFSRAPSKFDPCQSDLQHENTVANEKEKLQSKEPLMSSPKSSEEKQVENDMNPVDVEVGTLFDESTSVKTPLDGIPVTSSKIYCDGDSSQNDETRIQQSFSIAEQSKINSMPNSEKCDESDALHDAIVSGEKDMIIDREKLKQTDQYKRAVEEEWASRQRELKIQAEEAQRLRKRKKAESMRVLDMERRQKQRLEEMRETQKKDEENMNIKEQLRMEVRKELSKLEISCIDMASLLRSLGIPVGGGSYPLSNEIHAAYKRALLRFHPDRASQTDIRQQVEAEEKFKLISRMKEKLLATSCH >KJB57403 pep chromosome:Graimondii2_0_v6:9:12456823:12464213:-1 gene:B456_009G162300 transcript:KJB57403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSCQKYPIIPLGEKRLVEFTIMSGDIARDSAEGSSRSSVSHHNQPQSPPLSRYESQKRRDWNTFGQYLKNQRPPVALSQCNCNHVLEFLRYLDQFGKTKVHLHGCVFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYDEHGGSPETNPFGNGAIRVYLREVRDCQAKARGIPYRKKKKKKTQIKPKVDDPMPSSNQQPSSQLAT >KJB62590 pep chromosome:Graimondii2_0_v6:9:66531967:66532858:1 gene:B456_009G424600 transcript:KJB62590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANPTHHARMKHVEIDHHFIREKDAVIKETLRLHPVAPLLIPHVPSETTVIGGFTVPKGCRVFINAWVIQRDPELWDDPLRFHPERFLETDINYRSNNFGFFPFGSWRRMCVGVSLAEKMVALLLGSLVHSFEWGLSEGTKPSLEDKFGIFLKKTESLVGIPVARLPNLEQYQ >KJB56476 pep chromosome:Graimondii2_0_v6:9:8970348:8977129:1 gene:B456_009G121000 transcript:KJB56476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSSLVQSALSQNEGQLDIVSELQDNNSSIEETSYNEETGSVESCSKSSDFYPYRFQLEQDVHRLQQKLQEEIDLHSVLESAIEKNASELSSPSCLPHHAQELLSHIAVLEGTISKLEQEMISLHFQLSQERNERRLAEYRLRHSVSPSMSPSSRCLQHSDSVLHHSSDDNSCQERTDHPSESTGDSSSLDLVREKNAMGMILHHDGKKISAKTDGKSLQPLRFEEISRGITPKGLWDHPNRLSEEMVRCMRNIFISLADSAVPSKSSASKSHSSTLSPRGHLSNSSWWTSSERSTIPSWVQSPQVDIQSNSEVLASENSFDPYRVRGKLSWAEIGNYGLSTEVSWMSVGKQQLEYASGALRKFRTLVEQLAKVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGYSFSAAAIEYVILKMKPPLHRPQIALLLALHKLKVSDEQRKSAIDTYEPLVTFALSSGMYSSPAVRIYTAKNVREELEEAQRDFIRASVGVSSKGKLLVPKLLHCFTKGFVDDSNLAVWISHYLPPNQAAFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKNISIQ >KJB56475 pep chromosome:Graimondii2_0_v6:9:8970348:8974754:1 gene:B456_009G121000 transcript:KJB56475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSSLVQSALSQNEGQLDIVSELQDNNSSIEETSYNEETGSVESCSKSSDFYPYRFQLEQDVHRLQQKLQEEIDLHSVLESAIEKNASELSSPSCLPHHAQELLSHIAVLEGTISKLEQEMISLHFQLSQERNERRLAEYRLRHSVSPSMSPSSRCLQHSDSVLHHSSDDNSCQERTDHPSESTGDSSSLDLVREKNAMGMILHHDGKKISAKTDGKSLQPLRFEEISRGITPKGLWDHPNRLSEEMVRCMRNIFISLADSAVPSKSSASKSHSSTLSPRGHLSNSSWWTSSERSTIPSWVQSPQVDIQSNSEVLASENSFDPYRVRGKLSWAEIGNYGLSTEVSWMSVGKQQLEYASGALRKFRY >KJB56474 pep chromosome:Graimondii2_0_v6:9:8969700:8977129:1 gene:B456_009G121000 transcript:KJB56474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSSLVQSALSQNEGQLDIVSELQDNNSSIEETSYNEETGSVESCSKSSDFYPYRFQLEQDVHRLQQKLQEEIDLHSVLESAIEKNASELSSPSCLPHHAQELLSHIAVLEGTISKLEQEMISLHFQLSQERNERRLAEYRLRHSVSPSMSPSSRCLQHSDSVLHHSSDDNSCQERTDHPSESTGDSSSLDLVREKNAMGMILHHDGKKISAKTDGKSLQPLRFEEISRGITPKGLWDHPNRLSEEMVRCMRNIFISLADSAVPSKSSASKSHSSTLSPRGHLSNSSWWTSSERSTIPSWVQSPQVDIQSNSEVLASENSFDPYRVRGKLSWAEIGNYGLSTEVSWMSVGKQQLEYASGALRKFRTLVEQLAKVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGYSFSAAAIEYVILKMKPPLHRPQIALLLALHKLKVSDEQRKSAIDTYEPLVTFALSSGMYSSPAVRIYTAKNVREELEEAQRDFIRASVGVSSKGKLLVPKLLHCFTKGFVDDSNLAVWISHYLPPNQAAFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKNISIQ >KJB56478 pep chromosome:Graimondii2_0_v6:9:8970973:8977129:1 gene:B456_009G121000 transcript:KJB56478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSSLVQSALSQNEGQLDIVSELQDNNSSIEETSYNEETGSVESCSKSSDFYPYRFQLEQDVHRLQQKLQEEIDLHSVLESAIEKNASELSSPSCLPHHAQELLSHIAVLEGTISKLEQEMISLHFQLSQERNERRLAEYRLRHSVSPSMSPSSRCLQHSDSVLHHSSDDNSCQERTDHPSESTGDSSSLDLKNAMGMILHHDGKKISAKTDGKSLQPLRFEEISRGITPKGLWDHPNRLSEEMVRCMRNIFISLADSAVPSKSSASKSHSSTLSPRGHLSNSSWWTSSERSTIPSWVQSPQVDIQSNSEVLASENSFDPYRVRGKLSWAEIGNYGLSTEVSWMSVGKQQLEYASGALRKFRTLVEQLAKVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGYSFSAAAIEYVILKMKPPLHRPQIALLLALHKLKVSDEQRKSAIDTYEPLVTFALSSGMYSSPAVRIYTAKNVREELEEAQRDFIRASVGVSSKGKLLVPKLLHCFTKGFVDDSNLAVWISHYLPPNQAAFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKNISIQ >KJB56477 pep chromosome:Graimondii2_0_v6:9:8970973:8977129:1 gene:B456_009G121000 transcript:KJB56477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSSLVQSALSQNEGQLDIVSELQDNNSSIEETSYNEETGSVESCSKSSDFYPYRFQLEQDVHRLQQKLQEEIDLHSVLESAIEKNASELSSPSCLPHHAQELLSHIAVLEGTISKLEQEMISLHFQLSQERNERRLAEYRLRHSVSPSMSPSSRCLQHSDSVLHHSSDDNSCQERTDHPSESTGDSSSLDLVREKNAMGMILHHDGKKISAKTDGKSLQPLRFEEISRGITPKGLWDHPNRLSEEMVRCMRNIFISLADSAVPSKSSASKSHSSTLSPRGHLSNSSWWTSSERSTIPSWVQSPQVDIQSNSEVLASENSFDPYRVRGKLSWAEIGNYGLSTEVSWMSVGKQQLEYASGALRKFRTLVEQLAKVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGYSFSAAAIEYVILKMKPPLHRPQIALLLALHKLKVSDEQRKSAIDTYEPLVTFALSSGMYSSPAVRIYTAKNVREELEEAQRDFIRASVGVSSKGKLLVPKLLHCFTKGFVDDSNLAVWISHYLPPNQAAFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKNISIQ >KJB56473 pep chromosome:Graimondii2_0_v6:9:8969654:8977129:1 gene:B456_009G121000 transcript:KJB56473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSSLVQSALSQNEGQLDIVSELQDNNSSIEETSYNEETGSVESCSKSSDFYPYRFQLEQDVHRLQQKLQEEIDLHSVLESAIEKNASELSSPSCLPHHAQELLSHIAVLEGTISKLEQEMISLHFQLSQERNERRLAEYRLRHSVSPSMSPSSRCLQHSDSVLHHSSDDNSCQERTDHPSESTGDSSSLDLVREKNAMGMILHHDGKKISAKTDGKSLQPLRFEEISRGITPKGLWDHPNRLSEEMVRCMRNIFISLADSAVPSKSSASKSHSSTLSPRGHLSNSSWWTSSERSTIPSWVQSPQVDIQSNSEVLASENSFDPYRVRGKLSWAEIGNYGLSTEVSWMSVGKQQLEYASGALRKFRTLVEQLAKVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGYSFSAAAIEYVILKMKPPLHRPQIALLLALHKLKVSDEQRKSAIDTYEPLVTFALSSGMYSSPAVRIYTAKNVREELEEAQRDFIRASVGVSSKGKLLVPKLLHCFTKGFVDDSNLAVWISHYLPPNQAAFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKNISIQ >KJB61470 pep chromosome:Graimondii2_0_v6:9:47385290:47387294:1 gene:B456_009G360100 transcript:KJB61470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDDFISDLPDEVLATIISGLPAIEAIRTTILSKRLKDVWRNVSRLDFDPKGVKKLFPAPNRRRSTVPVIQFGSNVCHDEGDDIDDTDPREEISRVVKNIDNVLLSHERNLISCRIVHLSNSYRSGDVEKWIKYLTSEKKVQELAFLCDDFQHEFYPVSLFGWGLNLPSGIFSCRTLQSLEFTNYGIRFHRPFHHCHNLKTLKLYYCDISSETLEAIVSSCDFMEHLSVCSSTSSLKQVRIFSQTVKTVELESLDLEGIYLSTQSLGALVLHSMKFPAKSLVIHAPNLRVFTATRKPITKNPYNFTRPSKQTKIAEILEYCTHLLTPVNYKASDPMEDPNLFKNLRELTIDLDLNDRREMLILCIVLQRCLSLHQLEINIEESRSEIEEATRDYSSVNSRLPYPETKLWEQRELCDCITFTLRQVSIKGFNGKDGEMEFPRHLITKGAKLERLEIWCNHDWSSEGGKATLGLLSLPRSSIDVSILLKPPPNLL >KJB54716 pep chromosome:Graimondii2_0_v6:9:3353690:3355576:1 gene:B456_009G046200 transcript:KJB54716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQASRTHQEGIYINRVRYILGSQFALSQPPSTYRKKNYSFVSSSQLMALTFKLAQLQSKATRASQLVSKHAPLYYKQLLEQNKHYIQDPPTVEKCNLLSNIPSRYEAFQKEMDYVKLMWKKRNEWKIEDAGIATLFGLECFAWYCTGEIVGRGFTFTGYYI >KJB59005 pep chromosome:Graimondii2_0_v6:9:18574150:18575152:-1 gene:B456_009G235200 transcript:KJB59005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVKDRSSYSTCRPNNTEAVILAPLPKSQKVLTIAPPFHFVTPAKKITSPGDIPKFHDSAALFPLSKSCGLWVDQIPPVQQSSRYGNTSYRTWHERLTENVESLMLRFLPDDLKPSTVEIIPYFTERFGNSSRIDYGTGHETNFAAWLYCLARMGIIKEEDYHAVVARVFVKYLELMKKLQLIYWLEPAGSHGVWGLDDYRFLPFIFGSSQLIDHKYMKPKSIHNDDILDNFSSEYMYLSCIQFVKKVKQGPFAEHSPLLNDISGVPNWNKVNTGMLKMHKAEVLEKVPIMQHFLFGWLIKW >KJB54559 pep chromosome:Graimondii2_0_v6:9:2838108:2848610:1 gene:B456_009G038700 transcript:KJB54559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSDDKQSDHVDTKSWIPRSELLNVSRDFWMPDQSCRVCYECDSQFTIFNRRHHCRLCGRVFCAKCAANSVPVPPDEPRAGREDSERIRVCNYCFKQWEQGIAVDNNGTKTPSPDLSPSPSAASLASTKSSCTYNSGSSFVGSTPYATGPYYRVNYNSGLSHCESSQMNGAEQNSETSGMSTDQSSALVDSSSNRFDFCSNRSDDEDDDYGAYRSDSESKHYAHAEEYYGAINIDEYGRVYGSDKVHPDAVNIDAKSLSGSPLAKNFDTSVDEIKKFEEENEQENADEGEAPAYDVDGTDAEPVDFENNWLLWLPPEPADGEDEREAALFDDDDDDEGATGEWGYLRSNSFGTGERSRDKSVEEHRRAMKNVVEGHFRALVSQLLQVENLPVRDDDGRENWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRPSESAVVKGVVCKKNVAHRRMTSKIEKPRFLILGGALEYQRISNHLSSFDTLLQQEMDHLKMAVAKIGAHHPNVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKFFEEHGSAGQGGKRLTKTLMFFEGCPKPLGYTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPITVALPDKPSSIDRSISIVPGFTIPSSRKPMASQSINELQKSNKGVVSDGPSFANNIQGDKSTGANLSCLSKGPQTVSNSKESAFDSVEDISSLNSQSASRMETSSCDYVPSSNLAFCKVGVDPKESVQSKTTSSGEALTDDPGMASSKQEPINNNEEAGSSKDEFSPSPSDHQSILVSLSTRCVLKGTVCERSHLFRIKYYGSFDKPLGRFLQDHLFDQSSRCRSCEMPSEAHVHCYTHRQGSLTISVKKLPDPPLPGEREGKIWMWHRCLRCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASIDVHSVYLPPSKLEFNYDNQEWIQSEANEVSNRAGFLFSEVYNALQKFSEKLLGSGSNNCGIKAPERRSCIEELEAIFQKDRKEFQDSLQEVLCKEVKVGQPVIDILEVNKLRRKMLFLSYIWDQRLIHAYSSFNNNIQEVISSPTPKLGLKPTSSVEKLVEMNVSPKPTKVISGCSSALVENKSDINMNQGGNTDEISKPGGGQKEKDMDQDFNNRKEAESSLSSSANSSQKSDSVESERVKRGVLSEGEFPSMENLSDTLEAAWTGETHPVSVLPKENGCSVPDSAVADMSAAVSSDPGNRASGRGEMEVARSPQSDLPTKGLESMEKSMSWESMPFPNFHDSFNKNSSFNVQKLNISEYNPVYVSSFRELEKQSGPRLLLPIGVNETVVPVYDDEPASIIAYALVSSDYHSQISELERRKDAVDSAVSSSLFDSINLLSLNSFSDISDTYRSFGSGDDSILSLSGSQISLVSDPLLYTKDLHARVSFTDDGPLGKVKYSVTCYYAKRFESLRRTCCPSELDFIRSLSRCKKWDAQGGKSKVFFAKTLDDRFIIKQVTKTELESFVKFGPAYFKYLSDSINTRSPTCLAKILGIYQVSSKHLKGGKESKMDVLVMENLLFRRKVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGSKAKRLLERAVWNDTSFLALVDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKTSGILGGQNAPPTVISPQQYKKRFRKAMTAYFLMVPDQWSPPTIAPSGSQTDLCEENTQGGNSVE >KJB54558 pep chromosome:Graimondii2_0_v6:9:2838108:2848610:1 gene:B456_009G038700 transcript:KJB54558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSDDKQSDHVDTKSWIPRSELLNVSRDFWMPDQSCRCAANSVPVPPDEPRAGREDSERIRVCNYCFKQWEQGIAVDNNGTKTPSPDLSPSPSAASLASTKSSCTYNSGSSFVGSTPYATGPYYRVNYNSGLSHCESSQMNGAEQNSETSGMSTDQSSALVDSSSNRFDFCSNRSDDEDDDYGAYRSDSESKHYAHAEEYYGAINIDEYGRVYGSDKVHPDAVNIDAKSLSGSPLAKNFDTSVDEIKKFEEENEQENADEGEAPAYDVDGTDAEPVDFENNWLLWLPPEPADGEDEREAALFDDDDDDEGATGEWGYLRSNSFGTGERSRDKSVEEHRRAMKNVVEGHFRALVSQLLQVENLPVRDDDGRENWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRPSESAVVKGVVCKKNVAHRRMTSKIEKPRFLILGGALEYQRISNHLSSFDTLLQQEMDHLKMAVAKIGAHHPNVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKFFEEHGSAGQGGKRLTKTLMFFEGCPKPLGYTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPITVALPDKPSSIDRSISIVPGFTIPSSRKPMASQSINELQKSNKGVVSDGPSFANNIQGDKSTGANLSCLSKGPQTVSNSKESAFDSVEDISSLNSQSASRMETSSCDYVPSSNLAFCKVGVDPKESVQSKTTSSGEALTDDPGMASSKQEPINNNEEAGSSKDEFSPSPSDHQSILVSLSTRCVLKGTVCERSHLFRIKYYGSFDKPLGRFLQDHLFDQSSRCRSCEMPSEAHVHCYTHRQGSLTISVKKLPDPPLPGEREGKIWMWHRCLRCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASIDVHSVYLPPSKLEFNYDNQEWIQSEANEVSNRAGFLFSEVYNALQKFSEKLLGSGSNNCGIKAPERRSCIEELEAIFQKDRKEFQDSLQEVLCKEVKVGQPVIDILEVNKLRRKMLFLSYIWDQRLIHAYSSFNNNIQEVISSPTPKLGLKPTSSVEKLVEMNVSPKPTKVISGCSSALVENKSDINMNQGGNTDEISKPGGGQKEKDMDQDFNNRKEAESSLSSSANSSQKSDSVESERVKRGVLSEGEFPSMENLSDTLEAAWTGETHPVSVLPKENGCSVPDSAVADMSAAVSSDPGNRASGRGEMEVARSPQSDLPTKGLESMEKSMSWESMPFPNFHDSFNKNSSFNVQKLNISEYNPVYVSSFRELEKQSGPRLLLPIGVNETVVPVYDDEPASIIAYALVSSDYHSQISELERRKDAVDSAVSSSLFDSINLLSLNSFSDISDTYRSFGSGDDSILSLSGSQISLVSDPLLYTKDLHARVSFTDDGPLGKVKYSVTCYYAKRFESLRRTCCPSELDFIRSLSRCKKWDAQGGKSKVFFAKTLDDRFIIKQVTKTELESFVKFGPAYFKYLSDSINTRSPTCLAKILGIYQVSSKHLKGGKESKMDVLVMENLLFRRKVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGSKAKRLLERAVWNDTSFLALVDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKTSGILGGQNAPPTVISPQQYKKRFRKAMTAYFLMVPDQWSPPTIAPSGSQTDLCEENTQGGNSVE >KJB60926 pep chromosome:Graimondii2_0_v6:9:34311047:34313760:1 gene:B456_009G331300 transcript:KJB60926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-17 [Source:Projected from Arabidopsis thaliana (AT2G01430) UniProtKB/Swiss-Prot;Acc:Q8S9N6] MAFSSSNLDLTISVPSSARDLDMNRLPSPGSDDEWIASTMEVVVDEENTTNDGVVPRKKLRLSKEQSRLLEESFRQNHTLNPRQKEALASQLKLRPRQVEVWFQNRRARSKLKQTEMEFQYLKRWFEFLTKQNQELQSEVEELRALQVGPPTVISPHSREPLPASTLTTCPRCERVTTISSRGAGLINTTTSTNNTSTTSAHQSRPSSAAG >KJB55244 pep chromosome:Graimondii2_0_v6:9:4903666:4908367:-1 gene:B456_009G069100 transcript:KJB55244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTCPWQLNVKDFRIMSGLRKRPHLKRPLWIIILSPSFPARELSDEETISQVVIKEILNTPPIQSADSKIAFLFLTPGTLPFELLWDKFFRGHEGRFSVYVHASREKPGHTSQYFIGRDIHSENVDWGKISMVDAERRLLAHALLDPDNLQFVLLSDSCVPLHNFDYVYNYLMHTNVSFIDCFVDLGPHGTGRYSERMMPEVEKAAFRKGSQWFSMKRQHAIIIMADSLYYTKFRLYCKPNFEGRNCYADEHYLPTFFNMIDPGGIANRSVTYVDWSEGKWHPRSFRAEDISFEFLKNLSSIDDGIHITSDPKRRVFYGPCLWNNVKRPCYLFARKFYPDTLNRLLINFSNYTAL >KJB55246 pep chromosome:Graimondii2_0_v6:9:4903692:4908291:-1 gene:B456_009G069100 transcript:KJB55246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTCPWQLNVKDFRIMSGLRKRPHLKRPLWIIILVTFVIIVLITAYVSPLSSSTACYFFSSGDCTLYQSPSFPARELSDEETISQVVIKEILNTPPIQSADSKIAFLFLTPGTLPFELLWDKFFRGHEGRFSVYVHASREKPGHTSQYFIGRDIHSENCLLRSSYHMQVDWGKISMVDAERRLLAHALLDPDNLQFVLLSDSCVPLHNFDYVYNYLMHTNVSFIDCFVDLGPHGTGRYSERMMPEVEKAAFRKGSQWFSMKRQHAIIIMADSLYYTKFRLYCKPNFEGRNCYADEHYLPTFFNMIDPGGIANRSVTYVDWSEGKWHPRSFRAEDISFEFLKNLSSIDDGIHITSDPKRRVFYGPCLWNNVKRPCYLFARKFYPDTLNRLLINFSNYTAL >KJB55245 pep chromosome:Graimondii2_0_v6:9:4903686:4908367:-1 gene:B456_009G069100 transcript:KJB55245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTCPWQLNVKDFRIMSGLRKRPHLKRPLWIIILVTFVIIVLITAYVSPLSSSTACYFFSSGDCTLYQSPSFPARELSDEETISQVVIKEILNTPPIQSADSKIAFLFLTPGTLPFELLWDKFFRGHEGRFSVYVHASREKPGHTSQYFIGRDIHSENVDWGKISMVDAERRLLAHALLDPDNLQFVLLSDSCVPLHNFDYVYNYLMHTNVSFIDCFVDLGPHGTGRYSERMMPEVEKAAFRKGSQWFSMKRQHAIIIMADSLYYTKFRLYCKPNFEGRNCYADEHYLPTFFNMIDPGGIANRSVTYVDWSEGKWHPRSFRAEDISFEFLKNLSSIDDGIHITSDPKRRVFYGPCLWNNVKRPCYLFARKFYPDTLNRLLINFSNYTAL >KJB55248 pep chromosome:Graimondii2_0_v6:9:4905544:4907319:-1 gene:B456_009G069100 transcript:KJB55248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTCPWQLNVKDFRIMSGLRKRPHLKRPLWIIILVTFVIIVLITAYVSPLSSSTACYFFSSGDCTLYQSPSFPARELSDEETISQVVIKEILNTPPIQSADSKIAFLFLTPGTLPFELLWDKFFRGHEGRFSVYVHASREKPGHTSQYFIGRDIHSENVDWGKISMVDAERRLLAHALLDPDNLQFVLLSDSCVPLHNFDYVYNYLMHTNVSFIDCFVDLGPHGTGRYSERMMPEVEKAAFRKGSQ >KJB55247 pep chromosome:Graimondii2_0_v6:9:4905190:4908367:-1 gene:B456_009G069100 transcript:KJB55247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTCPWQLNVKDFRIMSGLRKRPHLKRPLWIIILVTFVIIVLITAYVSPLSSSTACYFFSSGDCTLYQSPSFPARELSDEETISQVVIKEILNTPPIQSADSKIAFLFLTPGTLPFELLWDKFFRGHEGRFSVYVHASREKPGHTSQYFIGRDIHSENVDWGKISMVDAERRLLAHALLDPDNLQFVLLSDSCVPLHNFDYVYNYLMHTNVSFIDCFVDLGPHGTGRYSERMMPEVEKAAFRKGSQERLPLFLNQASLKTEN >KJB60391 pep chromosome:Graimondii2_0_v6:9:27155786:27156697:-1 gene:B456_009G302900 transcript:KJB60391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQREGQASQETTATPPASLAELVQERYRKIKEHAETYPYVWGSYTLVYGGLALWTIYRWRKLRKTEDRVRALQERLRKLVENEEAANSGTSVKKAPTSDDKVPK >KJB62373 pep chromosome:Graimondii2_0_v6:9:63329276:63332422:1 gene:B456_009G414200 transcript:KJB62373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFEISPLLPLLESCKTIKQAFQVHAQIILNGFQHHVFPISRLISFFALLGSEEGLNYSQILFCQIEHPNRFIYNTMIRGFSHSKFPEKALVFYSSMLHQVNQSPNNFTFPFLLNSCARLSTLKPGVQVHSHIIKFGFDLDLYVGNALMHFYSVFKHLNDAQIVFEGSLVRDLVSYNTMINGYGLVGRPGPALRLFRKMQVSGVVPDEFTFVALLSAFSSLDDCRIGKQIHGFVYRNLSCVDSNGLLKTAILDMYAKSGLMDLAERVFSSMASNKSTAAWSSMISGYARRGETAAARRMFDQMDRRDLICWTVMISGYSQSGQYSEALELFAQMEDLGIRPDECTMAAVLSACAGLGALSLGERLHSRYIGGELCSQNIILSTALIDMYSKSGKINSSLNMFHGIPKGLRTVSLFNSMISGLAQHGLGESALAVFREMESLGLRPDSVSFVAVLSACSHSGLIEEGKELFRSMLDVYGIRPHKEHYGCMVDLLGRDGCLDEAYDLIRSMPFEANSVIWRALLGSCKLHGNVKIGEVAAQKLIELEPDHGAHYILLSNMLANTNKWEQAVRVRKLMEDKGIQKPPGWSYTEFQGTIHWFLASDKSHLQDKRIESMLQDMAMQLKSAGYVPNTVQVVFDIDEEEKETVVSYHSEKLALAFGLVNSSTGETIRIMKNLRVCGDCHSAFKLLSKIYCREIIVRDASRFHHFKNGCCSCKDFW >KJB54576 pep chromosome:Graimondii2_0_v6:9:2919762:2922783:1 gene:B456_009G039500 transcript:KJB54576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEAGSYEDVKPNVVAKQSNGDVVGDNGVGNLGSVEAPDFLSKKNFQNCSVATPASGLNSTSPAPSQLTIFYDGHVCVFDAIPVEKVREIMLIAATGAANSVDMKKVATDCATTSPVLTRSPSLQSTATATALASPQAQVYPTNRTPFCKLKELPIARRHSLQRFFEKRRDRLVNRNPYPNPSTPKSFDDTKANLSAATSSESGCFGKSPVAQEEFHPKAPAHVA >KJB62992 pep chromosome:Graimondii2_0_v6:9:69821772:69822819:1 gene:B456_009G447100 transcript:KJB62992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKFHYLSSTFLLHPRNYSKAKTMRGTSVLSWILIICLSQVAVRSQYYSHTLPYHPRPLVVTHLHFYMHEFTGTTAVVLTQANITSNNSSVPFATLVAINDLLRTGPEPDSERIGNVQGIALLAGMNASSTQYIDFGFNTGKFNGSSLSVFSRGEAGLAVVGGRGQFAMATGTALFNPILINATNVIIEFNFTVIHY >KJB56062 pep chromosome:Graimondii2_0_v6:9:7608344:7611401:1 gene:B456_009G104800 transcript:KJB56062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSMTKKGGFITLFFISGTLSGVMLSGFGWLANLIVYLVQEFNVESINATQIANVVHGCINLLPVLGAIIADSFLGSFHVAAISSFISFLGMILLILTAKLSSLKPPPCEIGSSFCRAPSKLQYVVLYLSITTASAGLGGSRYTLATLGANQLDKPKDKETFFNWFFFTVNASSIISSTAIVYVEDSISWALGYTICFAANFIALAVFLAGQRFYRCDKPQGSPFTGLLRVVVAAVRKRKVLLSSRSEDYYHEHCETSKVMPVTANGWFRFLNRAAMKTEGDIDSDGLIARPWKLCSLEQVGNLRTVIRLVPIWSSGIFLITPVAIQSSIAVTQALSMDRHLGSNFKIPAASIIVVILVSSSFFVALFDRFVFPTWQKLTGRPLTLLQRIGIGHVIIVLSMAISAMVESKRLKTIQDNKLEALPGAIVPMQVWWLFPQLVVIGIGDAFHFPGQIALYYHEFPASLRSIATAMVSLVVGIAFYVSTALVELIRRLTGWLPGNINSGRLDNLYWILVTAGALNFMYFLVCAKLYKCRNIEKEVDANSGFDI >KJB56487 pep chromosome:Graimondii2_0_v6:9:9036792:9043032:-1 gene:B456_009G121600 transcript:KJB56487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATATALSPSTSLRNSLNSYPNWMKWQSSPCQSTTFFIPRAAASPDTGKGNKKRKPKAKKPSSPTPVTTTTTESMSEEEQPQPQQQQQQQQVSLSLDDVNPVGLGRKSRQIFDDVWRKFSGLGQISRTTRADDMEALDALLIREGPMCEFAIPGAQNTTVLVVGATSRIGRIVVRKLMLRGYTVKALVRKADQEVLDMLPRSVEIVIGDVGDPSSLQAAVEGCNKIIYCATARSTITGDLYRVDHQGVSNLTKALQDYNNKLAQLRAGKSSKSKLLITKFKSEDSLHGWEVRQGTYFQDVIASKYDGGMDAKFEYTETGQAVFSGYVFTRGGYVELSKKLSLPLGSTLDRYEGLVLSVGGNGRSYVVILEAGPSADTTQSKLYFARINTKIGFCRVRVPFSSFRPVKPDDPPLDPFLVHTLTIRFEPRRQRPVEGSAGMKQDPRSFKLILEYIKALPTGQETDFILVSCTGLGVEPNRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLMEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCHEYVAEQGRELYELVAHLPDKANNYLTPALSVLEKNT >KJB56489 pep chromosome:Graimondii2_0_v6:9:9037554:9042755:-1 gene:B456_009G121600 transcript:KJB56489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATATALSPSTSLRNSLNSYPNWMKWQSSPCQSTTFFIPRAAASPDTGKGNKKRKPKAKKPSSPTPVTTTTTESMSEEEQPQPQQQQQQQQVSLSLDDVNPVGLGRKSRQIFDDVWRKFSGLGQISRTTRADDMEALDALLIREGPMCEFAIPGAQNTTVLVVGATSRIGRIVVRKLMLRGYTVKALVRKADQEVLDMLPRSVEIVIGDVGDPSSLQAAVEGCNKIIYCATARSTITGDLYRVDHQGVSNLTKALQDYNNKLAQLRAGKSSKSKLLITKFKSEDSLHGWEVRQGTYFQDVIASKYDGGMDAKFEYTETGQAVFSGYVFTRGGYVELSKKLSLPLGSTLDRYEGLVLSVGGNGRSYVVILEAGPSADTTQSKLYFARINTKIGFCRVRVPFSSFRPVKPDDPPLDPFLVHTLTIRFEPRRQRPVEGSAGMKQDPRSFKLILEYIKALPTGQETDFILVSCTGLGVEPNRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLMVMLKNLGANVLLYSIRETGFLRELVVLMWLIYA >KJB56488 pep chromosome:Graimondii2_0_v6:9:9036821:9042957:-1 gene:B456_009G121600 transcript:KJB56488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATATALSPSTSLRNSLNSYPNWMKWQSSPCQSTTFFIPRAAASPDTGKGNKKRKPKAKKPSSPTPVTTTTTESMSEEEQPQPQQQQQQQQVSLSLDDVNPVGLGRKSRQIFDDVWRKFSGLGQISRTTRADDMEALDALLIREGPMCEFAIPGAQNTTVLVVGATSRIGRIVVRKLMLRGYTVKALVRKADQEVLDMLPRSVEIVIGDVGDPSSLQAAVEGCNKIIYCATARSTITGDLYRVDHQGVSNLTKALQDYNNKLAQLRAGKSSKSKLLITKFKSEDSLHGWEVRQGYVFTRGGYVELSKKLSLPLGSTLDRYEGLVLSVGGNGRSYVVILEAGPSADTTQSKLYFARINTKIGFCRVRVPFSSFRPVKPDDPPLDPFLVHTLTIRFEPRRQRPVEGSAGMKQDPRSFKLILEYIKALPTGQETDFILVSCTGLGVEPNRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLMEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCHEYVAEQGRELYELVAHLPDKANNYLTPALSVLEKNT >KJB56490 pep chromosome:Graimondii2_0_v6:9:9037788:9042957:-1 gene:B456_009G121600 transcript:KJB56490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATATALSPSTSLRNSLNSYPNWMKWQSSPCQSTTFFIPRAAASPDTGKGNKKRKPKAKKPSSPTPVTTTTTESMSEEEQPQPQQQQQQQQVSLSLDDVNPVGLGRKSRQIFDDVWRKFSGLGQISRTTRADDMEALDALLIREGPMCEFAIPGAQNTTVLVVGATSRIGRIVVRKLMLRGYTVKALVRKADQEVLDMLPRSVEIVIGDVGDPSSLQAAVEGCNKIIYCATARSTITGDLYRVDHQGVSNLTKALQDYNNKLAQLRAGKSSKSKLLITKFKSEDSLHGWEVRQGTYFQDVIASKYDGGMDAKFEYTETGQAVFSGYVFTRGGYVELSKKLSLPLGSTLDRYEGLVLSVGGNGRSYVVILEAGPSADTTQSKLYFARINTKIGFCRVRVPFSSFRPVKPDDPPLDPFLVHTLTIRFEPRRQRPVEGSAGMKQDPRSFKLILEYIKALPTGQETDFILVSCTGLGVEPNRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLMVMLLYHSWQPVEIYM >KJB55992 pep chromosome:Graimondii2_0_v6:9:7419508:7422820:1 gene:B456_009G103000 transcript:KJB55992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFDLNIPYTDSPPQSKANSTVAKSTRIKIVIKAMELGYTGIAYNRTIRGVMSDRDRCSIPLLGLSSLLNVAPFLSSSVNFHRDLLGVPRSSPFRQYTRLTVCIDTASQSQALNSGNPILKTYDIVAVRPLNQNAFDHACEKAEVDIISIDFSDKLPFRLKLPMVKAAIKRGIYFEITYSDLIVDVHQRRQIISNAKLLLDWTRGKNVMLSSAAPSVCEVRGPNDVANLASLLGLSMERAKAAISKNCRSLLTNALRRKHFFKEVIRVEAASTSRQSDSEIPLYADWLKWDPISSGEGDLQLDDMAKSFCASTNASKTVKAIDFDSIIDKMPSHGFQVKDLISGSEASFQPQTEVKSFLSTPQPIELSVRTNQASENSIKHGLFPETDDATLENTCSEPLTSAFGDPQKLDLASYDTKTSTGSEEVVTDTVMTEKELETRSASDAAFGSVEAGNQGLQSKKCYEQNFVLLNENVNDGLNAVMLNEDVISHQTSAMDIELDAAALEISPPSECSSLPPTQGRESKSSKGSCVFSGVETIKVDDIAVDMDKEPQETTASSLNNMSSLENISERMSLRTSEDDAVIADQISLQQSDDEMRVKDNSLVPNHENQVLLMEEQKLAEADSSMNDLGSVLSNEPLHETVIKKEPTTILRNPFPESNPKMKLKVPSSTMTNEIQEVAMELKRRGEDDNKTNDPTLGQRISGKSRRRHRNHHHAPLFPLRRSLYPVSFKRKAARKSERRVKMEEL >KJB55991 pep chromosome:Graimondii2_0_v6:9:7419507:7422820:1 gene:B456_009G103000 transcript:KJB55991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFDLNIPYTDSPPQSKANSTVAKSTRIKIVIKAMELGYTGIAYNRTIRGVMSDRDRCSIPLLGLSSLLNVAPFLSSSVNFHRDLLGVPRSSPFRQYTRLTVCIDTASQSQALNSGNPILKTYDIVAVRPLNQNAFDHACEKAEVDIISIDFSDKLPFRLKLPMVKAAIKRGIYFEITYSDLIVDVHQRRQIISNAKLLLDWTRGKNVMLSSAAPSVCEVRGPNDVANLASLLGLSMERAKAAISKNCSLLTNALRRKHFFKEVIRVEAASTSRQSDSEIPLYADWLKWDPISSGEGDLQLDDMAKSFCASTNASKTVKAIDFDSIIDKMPSHGFQVKDLISGSEASFQPQTEVKSFLSTPQPIELSVRTNQASENSIKHGLFPETDDATLENTCSEPLTSAFGDPQKLDLASYDTKTSTGSEEVVTDTVMTEKELETRSASDAAFGSVEAGNQGLQSKKCYEQNFVLLNENVNDGLNAVMLNEDVISHQTSAMDIELDAAALEISPPSECSSLPPTQGRESKSSKGSCVFSGVETIKVDDIAVDMDKEPQETTASSLNNMSSLENISERMSLRTSEDDAVIADQISLQQSDDEMRVKDNSLVPNHENQVLLMEEQKLAEADSSMNDLGSVLSNEPLHETVIKKEPTTILRNPFPESNPKMKLKVPSSTMTNEIQEVAMELKRRGEDDNKTNDPTLGQRISGKSRRRHRNHHHAPLFPLRRSLYPVSFKRKAARKSERRVKMEEL >KJB53553 pep chromosome:Graimondii2_0_v6:9:13251259:13253945:1 gene:B456_009G171400 transcript:KJB53553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEKSYFYSWSPVGAPLNVRREEDHWRHADNSVNAVSFGFVATAILIFMFLVMAIIERFLKPNTSPGPGGGRNHADLQPQLSFNGKPSHPSPKAMVHLRREGSAEVE >KJB53555 pep chromosome:Graimondii2_0_v6:9:13251259:13253945:1 gene:B456_009G171400 transcript:KJB53555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEKSYFYSWSPVGAPLNVRREEDHWRHADNSVNAVSFGFVATAILIFMFLVMAIIERFLKPNTSPGPGGGRNHADLQPQLSFNGKPSHPSPKMAIYTSGVSVLMPGDVIPTFIAICTPCPHQHHSSVNPNSDSSVNINAS >KJB53554 pep chromosome:Graimondii2_0_v6:9:13251876:13252812:1 gene:B456_009G171400 transcript:KJB53554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEKSYFYSWSPVGAPLNVRREEDHWRHADNSVNAVSFGFVATAILIFMFLVMAIIERFLKPNTSPGPGGGRNHADLQPQLSFNGKPSHPSPKAMVHLRREGSAEVE >KJB53552 pep chromosome:Graimondii2_0_v6:9:13251259:13253889:1 gene:B456_009G171400 transcript:KJB53552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEKSYFYSWSPVGAPLNVRREEDHWRHADNSVNAVSFGFVATAILIFMFLVMAIIERFLKPNTSPGPGGGRNHADLQPQLSFNGKPSHPSPKVVYLCRWRYTPVEFQC >KJB57272 pep chromosome:Graimondii2_0_v6:9:12363542:12364767:1 gene:B456_009G161000 transcript:KJB57272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKFQDNKTQGAASAIANITGQHLHWQAATYTGIPSPTTNSNALVQCALCQRRFARNTYNYFPGFCLQLLKGKCLIHRRSEEMYMQVLTWNI >KJB54200 pep chromosome:Graimondii2_0_v6:9:1953665:1956380:-1 gene:B456_009G025200 transcript:KJB54200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKISTGCKQLSGTSVASPVVAGVVCLLVSIIPENRRKEILNPASMKQALVEGAAKLVGPNMYEQGAGRVDLLESFEILKSYQPRASIFPSILDYTNCPYTWPFCRQALYSGAMPVIFNATILNGMGVIGYVQSPPTWHPSTEEGNLLRIHFTYSEVIWPWTGYLALHMQIKEEGAHFSGVIEGNVTVRIFSPPAQGEKTARTSTCVLQLKLNVIPTPPRSKRILWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYVETLGSPFTCFDASHYGTLLLVDLEDEYFQEEIEKLRDDVINTGLGLAVFAEWYNVDTMVKMRFFDDNTRSWWTPVTGGANIPALNDLLEPFGIAFGDKILNGDFSIDGEHSRYASGTDIVRFPRDGYIHSFPFLDSSESGATQNVLLNSGMNKADSPILGLLDAGEGHIAVYGDSNCLDSSHMVTNCYWLLRKILDFTGSNIKDPVLFSESAKQDVPLYEDDNRLPSRRTDVNYTLYSAVTGKDLICRSDSRFEVWGTKGYNLHVRGRNKIMPGHHVIDLGRGLNSTFGSSRSRRPKFTKKRKGDSLGNRYFSLLYRDELDVPELVASHWVVPAIVAVTGFVLILSIWRIHHKRRRRRRSASGRLANI >KJB54199 pep chromosome:Graimondii2_0_v6:9:1953605:1959098:-1 gene:B456_009G025200 transcript:KJB54199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRHTMLSAQSSFPLKSSLFILLLSLSLFHFKLSFRSSPNLTLTPDRTQPQASRANYIVRFIDYKPASEHRSYLESSLRSEGWEWIQRNNPAAKFPTDFGLLSIRDSVKESVIEEIERLGFVKDVNVDLSYSRGILSGAFENGRKRPGKIFTSMSFSEKEKHFHHSVLSNSSLNWSRHLLMQRSQVTSLFGADALWRKGYTGAKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGEDAECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIAINMDVLNLSIGGPDYLDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKQLSGTSVASPVVAGVVCLLVSIIPENRRKEILNPASMKQALVEGAAKLVGPNMYEQGAGRVDLLESFEILKSYQPRASIFPSILDYTNCPYTWPFCRQALYSGAMPVIFNATILNGMGVIGYVQSPPTWHPSTEEGNLLRIHFTYSEVIWPWTGYLALHMQIKEEGAHFSGVIEGNVTVRIFSPPAQGEKTARTSTCVLQLKLNVIPTPPRSKRILWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYVETLGSPFTCFDASHYGTLLLVDLEDEYFQEEIEKLRDDVINTGLGLAVFAEWYNVDTMVKMRFFDDNTRSWWTPVTGGANIPALNDLLEPFGIAFGDKILNGDFSIDGEHSRYASGTDIVRFPRDGYIHSFPFLDSSESGATQNVLLNSGMNKADSPILGLLDAGEGHIAVYGDSNCLDSSHMVTNCYWLLRKILDFTGSNIKDPVLFSESAKQDVPLYEDDNRLPSRRTDVNYTLYSAVTGKDLICRSDSRFEVWGTKGYNLHVRGRNKIMPGHHVIDLGRGLNSTFGSSRSRRPKFTKKRKGDSLGNRYFSLLYRDELDVPELVASHWVVPAIVAVTGFVLILSIWRIHHKRRRRRRSASGRLANI >KJB54201 pep chromosome:Graimondii2_0_v6:9:1953879:1957786:-1 gene:B456_009G025200 transcript:KJB54201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILDMGPLWLVLLLVRTQNVLALHQILKFMLSVFLLMHSLLQVSYTSWFLDAFNYAIAINMDVLNLSIGGPDYLDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKQLSGTSVASPVVAGVVCLLVSIIPENRRKEILNPASMKQALVEGAAKLVGPNMYEQGAGRVDLLESFEILKSYQPRASIFPSILDYTNCPYTWPFCRQALYSGAMPVIFNATILNGMGVIGYVQSPPTWHPSTEEGNLLRIHFTYSEVIWPWTGYLALHMQIKEEGAHFSGVIEGNVTVRIFSPPAQGEKTARTSTCVLQLKLNVIPTPPRSKRILWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYVETLGSPFTCFDASHYGTLLLVDLEDEYFQEEIEKLRDDVINTGLGLAVFAEWYNVDTMVKMRFFDDNTRSWWTPVTGGANIPALNDLLEPFGIAFGDKILNGDFSIDGEHSRYASGTDIVRFPRDGYIHSFPFLDSSESGATQNVLLNSGMNKADSPILGLLDAGEGHIAVYGDSNCLDSSHMVTNCYWLLRKILDFTGSNIKDPVLFSESAKQDVPLYEDDNRLPSRRTDVNYTLYSAVTGKDLICRSDSRFEVWGTKGYNLHVRGRNKIMPGHHVIDLGRGLNSTFGSSRSRRPKFTKKRKGDSLGNRYFSLLYRDELDVPELVASHWVVPAIVAVTGFVLILSIWRIHHKRRRRRRSASGRLANI >KJB54198 pep chromosome:Graimondii2_0_v6:9:1953665:1958839:-1 gene:B456_009G025200 transcript:KJB54198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILDMGPLWLVLLLVRTQNVLALHQILKFMLSVFLLMHSLLQVSYTSWFLDAFNYAIAINMDVLNLSIGGPDYLDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKQLSGTSVASPVVAGVVCLLVSIIPENRRKEILNPASMKQALVEGAAKLVGPNMYEQGAGRVDLLESFEILKSYQPRASIFPSILDYTNCPYTWPFCRQALYSGAMPVIFNATILNGMGVIGYVQSPPTWHPSTEEGNLLRIHFTYSEVIWPWTGYLALHMQIKEEGAHFSGVIEGNVTVRIFSPPAQGEKTARTSTCVLQLKLNVIPTPPRSKRILWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYVETLGSPFTCFDASHYGTLLLVDLEDEYFQEEIEKLRDDVINTGLGLAVFAEWYNVDTMVKMRFFDDNTRSWWTPVTGGANIPALNDLLEPFGIAFGDKILNGDFSIDGEHSRYASGTDIVRFPRDGYIHSFPFLDSSESGATQNVLLNSGMNKADSPILGLLDAGEGHIAVYGDSNCLDSSHMVTNCYWLLRKILDFTGSNIKDPVLFSESAKQDVPLYEDDNRLPSRRTDVNYTLYSAVTGKDLICRSDSRFEVWGTKGYNLHVRGRNKIMPGHHVIDLGRGLNSTFGSSRSRRPKFTKKRKGDSLGNRYFSLLYRDELDVPELVASHWVVPAIVAVTGFVLILSIWRIHHKRRRRRRSASGRLANI >KJB60547 pep chromosome:Graimondii2_0_v6:9:29098195:29103368:1 gene:B456_009G311700 transcript:KJB60547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAVGGAFLSAALQVLFDRMASQGFLDFIRGKKLEKGLVKKLKPTLMSVKAVLDDAEDKQITNPNVKDWVSELKDAVYDAEDLVDEIATEALRARLEAEDEIASTKVIRVVSSFNPFSRGMESKLEDVLERFESLVKQKEILGLKEYCRGEKAFQRPPATSLVDESGVYGRDNEKEAIMKLLCLENANGNQLDVIPIVGMGGVGKTTLAQLVYNDKRVDEWFDIKAWVCVSEEFDAFRVIKTILEEITSICDSSQNLNQLQLKLKEKLLGKKFLFVLDDVWNEKYVDWEELRSPFCFGAKNSKIVVTTRNESVASIMRTVPTYHLNILSDEDCWELFAKHAFVDTSPSMHPNLIATSEAMVKRCRGLPLAAKALGGLLRCNLDVDEWNKISTSNLWDITDDLLLNFKSKEEMEEQGKEYFKDLASRSFFHQLSLDKSCFVMHDLISDLAKSVAGEFFCRLEGCDNLCEINQKARHLSNVQENYDVRKKFETLPKAKGLRTFLSLKSLPWHSYVTNKVMHDLLSKSRLRVLSLAKYRNINEIPKEISKLKHLRSLDLSGSSIKSLPNSLSTLYNLQMLTLFHCSNLVELPKDMGRLINMYYLNIRGTTLARMPKGMGKLKDLRTLTDFVLGEQNGSSIEELGKLKHLHGQLAISGLKNVACARDAKSVNLKDKMNLKELEFRWKKHTYGSEVLGQFEADKEVLQQLEPHTNLEHLVIGFYRGTRFPEWVGHSSFLNVVSVHLRGCKFCHSMPPLGQLSSLKSLSISGFSAVVKVGDEFYGSGHALTKPFGSLENLRFEDMPEWEEWFCFKVEAFYLLQELSIIDCPKLTKNLPKHLRSLTKLEIRNCENLESLLPRTTSIRQLNLRRCNALRLGPLPCGLQKLQIRDLNIDDSMLEKMVQHCSHLKKLAILDCSKLKILPEGKLPITLKELNIERCPVLDCSKILLYTALESLIIEGKCHKLESFPLGSMPMLNYVSIWECEDLKSTCASNGSHQHLSLLNYLQIYHCTNFTSLQIEDELSATNLTSLTLWHCMTLKSLPEQMRSLFPSLEHLSIRYCPEIESFPEEGLSSKLKTIEIGRTDKLIASMMRRAWGLRTLPSLRGFELSGAELEMGSFPDEHMLPSSITSLTISDLPNLKFLDNKAFQHFTSLCELIIDSCPKLQSLPEKILLTSISYLSINNCPLLRKRCKKEKGKDWPKISHIPVIHNHGELII >KJB60548 pep chromosome:Graimondii2_0_v6:9:29098195:29103368:1 gene:B456_009G311700 transcript:KJB60548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAVGGAFLSAALQVLFDRMASQGFLDFIRGKKLEKGLVKKLKPTLMSVKAVLDDAEDKQITNPNVKDWVSELKDAVYDAEDLVDEIATEALRARLEAEDEIASTKVIRVVSSFNPFSRGMESKLEDVLERFESLVKQKEILGLKEYCRGEKAFQRPPATSLVDESGVYGRDNEKEAIMKLLCLENANGNQLDVIPIVGMGGVGKTTLAQLVYNDKRVDEWFDIKAWVCVSEEFDAFRVIKTILEEITSICDSSQNLNQLQLKLKEKLLGKKFLFVLDDVWNEKYVDWEELRSPFCFGAKNSKIVVTTRNESVASIMRTVPTYHLNILSDEDCWELFAKHAFVDTSPSMHPNLIATSEAMVKRCRGLPLAAKALGGLLRCNLDVDEWNKISTSNLWDITDDLLLNFKSKEEMEEQGKEYFKDLASRSFFHQLSLDKSCFVMHDLISDLAKSVAGEFFCRLEGCDNLCEINQKARHLSNVQENYDVRKKFETLPKAKGLRTFLSLKSLPWHSYVTNKVMHDLLSKSRLRVLSLAKYRNINEIPKEISKLKHLRSLDLSGSSIKSLPNSLSTLYNLQMLTLFHCSNLVELPKDMGRLINMYYLNIRGTTLARMPKGMGKLKDLRTLTDFVLGEQNGSSIEELGKLKHLHGQLAISGLKNVACARDAKSVNLKDKMNLKELEFRWKKHTYGSEVLGQFEADKEVLQQLEPHTNLEHLVIGFYRGTRFPEWVGHSSFLNVVSVHLRGCKFCHSMPPLGQLSSLKSLSISGFSAVVKVGDEFYGSGHALTKPFGSLENLRFEDMPEWEEWFCFKVEAFYLLQELSIIDCPKLTKNLPKHLRSLTKLEIRNCENLESLLPRTTSIRQLNLRRCNALRLGPLPCGLQKLQIRDLNIDDSMLEKMVQHCSHLKKLAILDCSKLKILPEGKLPITLKELNIERCPVLDCSKILLYTALESLIIEGKCHKLESFPLGSMPMLNYVSIWECEDLKSTCASNGSHQHLSLLNYLQIYHCTNFTSLQIEDELSATNLTSLTLWHCMTLKSLPEQMRSLFPSLEHLSIRYCPEIESFPEEGLSSKLKTIEIGRTDKLIASMMRRAWGLRTLPSLRGFELSGAELEMGSFPDEHMLPSSITSLTISDLPNLKFLDNKAFQHFTSLCELIIDSCPKLQSLPEKILLTSISYLSINNCPLLRKRCKKEKGKDWPKISHIPVIHNHGELII >KJB54387 pep chromosome:Graimondii2_0_v6:9:2596535:2598522:-1 gene:B456_009G034700 transcript:KJB54387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFIMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKEDEEIRRVEPEPTNIEVPVMA >KJB54386 pep chromosome:Graimondii2_0_v6:9:2596434:2598570:-1 gene:B456_009G034700 transcript:KJB54386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQISKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFIMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKEDEEIRRVEPEPTNIEVPVMA >KJB54388 pep chromosome:Graimondii2_0_v6:9:2596978:2598428:-1 gene:B456_009G034700 transcript:KJB54388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQISKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFIMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNEYIDSAVRHVLLRQVCYQFV >KJB54644 pep chromosome:Graimondii2_0_v6:9:3109374:3116414:-1 gene:B456_009G042700 transcript:KJB54644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIEEEESGEEEVLGSSLTMEKVAAAKQFIENHYRAQMKNIQERKERRWVLERKLAASDVPKEEQINLIKDLERKETEFMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGNIFAMKKLKKSEMLMRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLTENVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCANLPAIYENKPMDDENMTEPMDIDGCITDKSSFRSPREQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFYSDDPITTCRKIVHWKSHLRFPEDSRISHEAKDLICRLLCDVDHRLGTGGAHQIKAHPWFNDVVWDKLYEMEAAFKPEVNGELDTQNFMKFDELDAPAPARTSSGPSRKMLLTPKDLNFVGYTYKNFDAVKGLRHTFDFKNPSMEQQSHGSIYGDSGVGYSTKQSAEETEMQMLASAGDPMLP >KJB54646 pep chromosome:Graimondii2_0_v6:9:3110071:3116228:-1 gene:B456_009G042700 transcript:KJB54646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIEEEESGEEEVLGSSLTMEKVAAAKQFIENHYRAQMKNIQERKERRWVLERKLAASDVPKEEQINLIKDLERKETEFMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGNIFAMKKLKKSEMLMRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLTENVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCANLPAIYENKPMDDENMTEPMDIDGCITDKSSFRSPREQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFYSDDPITTCRKIVHWKSHLRFPEDSRISHEAKDLICRLLCDVDHRLGTGGAHQIKAHPWFNDVVWDKLYEMEAAFKPEVNGELDTQNFMKFDELDAPAPARTSSGPSRKMLLTPKDLNFVGYTYKNFDAVKGLRHTFDFKNPSMEQQSHGSIYGNVPSLSSSSFSSYH >KJB54645 pep chromosome:Graimondii2_0_v6:9:3109374:3115471:-1 gene:B456_009G042700 transcript:KJB54645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGNIFAMKKLKKSEMLMRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLTENVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCANLPAIYENKPMDDENMTEPMDIDGCITDKSSFRSPREQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFYSDDPITTCRKIVHWKSHLRFPEDSRISHEAKDLICRLLCDVDHRLGTGGAHQIKAHPWFNDVVWDKLYEMEAAFKPEVNGELDTQNFMKFDELDAPAPARTSSGPSRKMLLTPKDLNFVGYTYKNFDAVKGLRHTFDFKNPSMEQQSHGSIYGDSGVGYSTKQSAEETEMQMLASAGDPMLP >KJB54647 pep chromosome:Graimondii2_0_v6:9:3111406:3116414:-1 gene:B456_009G042700 transcript:KJB54647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIEEEESGEEEVLGSSLTMEKVAAAKQFIENHYRAQMKNIQERKERRWVLERKLAASDVPKEEQINLIKDLERKETEFMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGNIFAMKKLKKSEMLMRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLTENVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCANLPAIYENKPMDDENMTEPMDIDGCITDKSSFRSPREQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFYSDDPITTCRKIVHWKSHLRFPEDSRISHEAKDLICRLLCDVDHRLGTGGAHQIKAHPWFNDVVWDKLYEMEAAFKPEVNGELDTQNFMKFDELDAPAPARTSSGPSRKVCNI >KJB54643 pep chromosome:Graimondii2_0_v6:9:3109325:3116522:-1 gene:B456_009G042700 transcript:KJB54643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIEEEESGEEEVLGSSLTMEKVAAAKQFIENHYRAQMKNIQERKERRWVLERKLAASDVPKEEQINLIKDLERKETEFMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGNIFAMKKLKKSEMLMRGQDAEYLYLIMEYLPGGDMMTLLMREDTLTENVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCANLPAIYENKPMDDENMTEPMDIDGCITDKSSFRSPREQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFYSDDPITTCRKIVHWKSHLRFPEDSRISHEAKDLICRLLCDVDHRLGTGGAHQIKAHPWFNDVVWDKLYEMEAAFKPEVNGELDTQNFMKFDELDAPAPARTSSGPSRKMLLTPKDLNFVGYTYKNFDAVKGLRHTFDFKNPSMEQQSHGSIYGDSGVGYSTKQSAEETEMQMLASAGDPMLP >KJB60949 pep chromosome:Graimondii2_0_v6:9:34595706:34599231:-1 gene:B456_009G332700 transcript:KJB60949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVKVAICLTFAILLLISCSIYVGTIDAKSYFFPLQPPPLRLSLCATDRPLRVYMYDLPRKFNAGMMDRGTSQVAAPATVENFPPWPKTSGLKRQHSVEYWLMASLLYDEEEGSEAIRVSDPEMADAFFVPFFSSLSFNKHGHVKTDAEADADRQLQVELLEFLRQSKYYQRSGGRDHVIPMTHPNAFRFLRQELNASILIVVDFGRYPRNMSSLSKDVVAPYVHVVDSFTDDDPLDPYESRTTLLFFRGNTVRKDEGKIRVKLANMLAGNDDVHYENSVRTPKSFKMSTKGMRLSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDKIELPYEDEIDYTEFSIFFSMKEALEPGYLIDQLRRFPKDRWINMWMRLKNVSPHYEFQYPPKKEDAVNMLWRQVKHKLPGVRLAVHRSRRLKVPDWWRQKR >KJB60950 pep chromosome:Graimondii2_0_v6:9:34595486:34599231:-1 gene:B456_009G332700 transcript:KJB60950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVKVAICLTFAILLLISCSIYVGTIDAKSYFFPLQPPPLRLSLCATDRPLRVYMYDLPRKFNAGMMDRGTSQVAAPATVENFPPWPKTSGLKRQHSVEYWLMASLLYDEEEGSEAIRVSDPEMADAFFVPFFSSLSFNKHGHVKTDAEADADRQLQVELLEFLRQSKYYQRSGGRDHVIPMTHPNAFRFLRQELNASILIVVDFGRYPRNMSSLSKDVVAPYVHVVDSFTDDDPLDPYESRTTLLFFRGNTVRKDEGKIRVKLANMLAGNDDVHYENSVRTPKSFKMSTKGMRLSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDKIELPYEDEIDYTEFSIFFSMKEALEPGYLIDQLRRFPKDRWINMWMRLKNVSPHYEFQYPPKKEDAVNMLWRQVKHKLPGVRLAVHRSRRLKVPDWWRQKR >KJB62948 pep chromosome:Graimondii2_0_v6:9:69580379:69585009:-1 gene:B456_009G444700 transcript:KJB62948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAPSKHVYDYDDEDDDGPVVFKRSNTSSSKQNQLNSEVKKASSQRSDGQSGRQASSVQAPNGQNSVAWTNKSILPSKSPPTRSPILSPKSSNSSAKASPVRSPVVSSKASTSLSDQSKQALKQNKFTAVKEEKRLIKCTDETNSDEDDDDSKPLSARLKGISSQGNKGVSTSTPAQSQRLVPKIEVKGSAEDPDDDAPLSARFSMKSNTGTSSSKPYGSHEKKPLPSKIQQNGSIMKDKQQKCSVLSDKRPLDKGNSSDQSYAKKPKISDTPTTMKTKQVTVKAEKDDEDDHIPISQRIKKSVSSVSKTSSVKQKATKVVSSSFKKINKSKKDMKKSKYSKSTKLSPSSGDGQKKWSTLVHNGVIFPPPYKPHGVKMLYDGQPVDLTPEQEEVATMFAVMQETDYMSKPQFKKNFWEDWSKLLGRNHVIKSLDKCDFTPIYEWHLQEKEKKKQMSSEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPCDITINIGKDAPIPECPIPGERWKDIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARLLKDYIKNIRAAYTKDFTAKDVTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVELPVYKAIGQFQTRKSKSDDLFDELDTSKLNAHLKELMPGLTAKVFRTYNASITLDDMLNQETEDGDVAEKVVIYQRANKEVAIICNHQRSISKSHSAQMSRLTEKITELKGVLKELKTDLDRAKKGKPPLKDADGKQKRNLTPEA >KJB62947 pep chromosome:Graimondii2_0_v6:9:69579630:69585796:-1 gene:B456_009G444700 transcript:KJB62947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAPSKHVYDYDDEDDDGPVVFKRSNTSSSKQNQLNSEVKKASSQRSDGQSGRQASSVQAPNGQNSVAWTNKSILPSKSPPTRSPILSPKSSNSSAKASPVRSPVVSSKASTSLSDQSKQALKQNKFTAVKEEKRLIKCTDETNSDEDDDDSKPLSARLKGISSQGNKGVSTSTPAQSQRLVPKIEVKGSAEDPDDDAPLSARFSMKSNTGTSSSKPYGSHEKKPLPSKIQQNGSIMKDKQQKCSVLSDKRPLDKGNSSDQSYAKKPKISDTPTTMKTKQVTVKAEKDDEDDHIPISQRIKKSVSSVSKTSSVKQKATKVVSSSFKKINKSKKDMKKSKYSKSTKLSPSSGDGQKKWSTLVHNGVIFPPPYKPHGVKMLYDGQPVDLTPEQEEVATMFAVMQETDYMSKPQFKKNFWEDWSKLLGRNHVIKSLDKCDFTPIYEWHLQEKEKKKQMSSEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPCDITINIGKDAPIPECPIPGERWKDIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARLLKDYIKNIRAAYTKDFTAKDVTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVELPVYKAIGQFQTRKSKSDDLFDELDTSKLNAHLKELMPGLTAKVFRTYNASITLDDMLNQETEDGDVAEKVVIYQRANKEVAIICNHQRSISKSHSAQMSRLTEKITELKGVLKELKTDLDRAKKGKPPLKDADGKQKRNLTPEAIEKKIAQTNVKIEKMERDMQTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPDFRF >KJB62949 pep chromosome:Graimondii2_0_v6:9:69579520:69586147:-1 gene:B456_009G444700 transcript:KJB62949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAPSKHVYDYDDEDDDGPVVFKRSNTSSSKQNQLNSEVKKASSQRSDGQSGRQASSVQAPNGQNSVAWTNKSILPSKSPPTRSPILSPKSSNSSAKASPVRSPVVSSKASTSLSDQSKQALKQNKFTAVKEEKRLIKCTDETNSDEDDDDSKPLSARLKGISSQGNKGVSTSTPAQSQRLVPKIEVKGSAEDPDDDAPLSARFSMKSNTGTSSSKPYGSHEKKPLPSKIQQNGSIMKDKQQKCSVLSDKRPLDKGNSSDQSYAKKPKISDTPTTMKTKQVTVKAEKDDEDDHIPISQRIKKSVSSVSKTSSVKQKATKVVSSSFKKINKSKKDMKKSKYSKSTKLSPSSGDGQKKWSTLVHNGVIFPPPYKPHGVKMLYDGQPVDLTPEQEEVATMFAVMQETDYMSKPQFKKNFWEDWSKLLGRNHVIKSLDKCDFTPIYEWHLQEKEKKKQMSSEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPCDITINIGKDAPIPECPIPGERWKDIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARLLKDYIKNIRAAYTKDFTAKDVTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVELPVYKAIGQFQTRKSKSDDLFDELDTSKLNAHLKELMPGLTAKVFRTYNASITLDDMLNQETEDGDVAEKVVIYQRANKEVAIICNHQRSISKSHSAQMSRLTEKITELKGVLKELKTDLDRAKKGKPPLKDADGKQKRNLTPEAIEKKIAQTNVKIEKMERDMQTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPDFRF >KJB62946 pep chromosome:Graimondii2_0_v6:9:69579978:69585009:-1 gene:B456_009G444700 transcript:KJB62946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAPSKHVYDYDDEDDDGPVVFKRSNTSSSKQNQLNSEVKKASSQRSDGQSGRQASSVQAPNGQNSVAWTNKSILPSKSPPTRSPILSPKSSNSSAKASPVRSPVVSSKASTSLSDQSKQALKQNKFTAVKEEKRLIKCTDETNSDEDDDDSKPLSARLKGISSQGNKGVSTSTPAQSQRLVPKIEVKGSAEDPDDDAPLSARFSMKSNTGTSSSKPYGSHEKKPLPSKIQQNGSIMKDKQQKCSVLSDKRPLDKGNSSDQSYAKKPKISDTPTTMKTKQVTVKAEKDDEDDHIPISQRIKKSVSSVSKTSSVKQKATKVVSSSFKKINKSKKDMKKSKYSKSTKLSPSSGDGQKKWSTLVHNGVIFPPPYKPHGVKMLYDGQPVDLTPEQEEVATMFAVMQETDYMSKPQFKKNFWEDWSKLLGRNHVIKSLDKCDFTPIYEWHLQEKEKKKQMSSEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPCDITINIGKDAPIPECPIPGERWKDIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARLLKDYIKNIRAAYTKDFTAKDVTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVELPVYKAIGQFQTRKSKSDDLFDELDTSKLNAHLKELMPGLTAKVFRTYNASITLDDMLNQETEDGDVAEKVVIYQRANKEVAIICNHQRSISKSHSAQMSRLTEKITELKGVLKELKTDLDRAKKGKPPLKDADGKQKRNLTPEAIEKKIAQTNVKIEKMERDMQTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPDFRF >KJB54400 pep chromosome:Graimondii2_0_v6:9:2435267:2436995:1 gene:B456_009G032500 transcript:KJB54400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRMCCLLIAILLTTCWIHASSTTSLESHDFVPRQQGTVPEFSGAVNFEPKQGRVEIGNGGVGNEREETYRSRMMGRLGSRPPNCERKCGRCRPCVATQIPATTDKLGIQYTNYEPEGWKCKCGSTFFNP >KJB56667 pep chromosome:Graimondii2_0_v6:9:9846974:9848630:1 gene:B456_009G131000 transcript:KJB56667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFYSTPWEKALLLLLYYVLFGYLRWCSNPYDLLASFVILKEMSCQDVYCTWHKFNSSGCLGCCTRPIFNPLVNESSKGLKFQGQKLSKPSISEGFWTTSTCDMDNSAVQSQGSISSISTSLQTLDPNGNAASANGPSEFVNHGLILWNQTRQLWVGNKKSENQPQQAREPKLNWNATYESLLGSNKLFPQPIPLSEMIDFLVDVWEQEGLYD >KJB55545 pep chromosome:Graimondii2_0_v6:9:5913024:5917164:1 gene:B456_009G081500 transcript:KJB55545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQGQYADSGGNAYVSAQMQHMPAQRMEIKSGHFQGQLEAFTPERDQPYRTPKSDGQWRWERDGSKVSNQMTPKMFNEGQGTDGSRTYFQGQRPDPNLSLEKQNADIRSRPHDENMEVGYEHNLLPPTLEGLEKKFHDDIMKLAKEQNDAEDAENSRHREKINAINAQYQEQLTALRARHANRRDEFLRKESLVRQQQYQQAMMDYYPHSNMAPADSLPTERGLDILGLLEIRGLNLEVHTRGGVPMTTVHVITEFA >KJB55547 pep chromosome:Graimondii2_0_v6:9:5912986:5917164:1 gene:B456_009G081500 transcript:KJB55547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQGQYADSGGNAYVSAQMQHMPAQRMEIKSGHFQGQLEAFTPERDQPYRTPKSDGQWRWERDGSKVSNQMTPKMFNEGQGTDGSRTYFQGQRPDPNLSLEKQNADIRSRPHDENMEVGYEHNLLPPTLEGLEKKFHDDIMKLAKEQNDAEDAENSRHREKINAINAQYQEQLTALRARHANRRDEFLRKESLVRQQQYQQAMMDYYPHSNMAPADSLPTGNNPHGYGSVAGSAAVGDGHRGYNSDNFDSYRERPRYLGAARDQGFEPRGSYQGGRAYDNSSRYN >KJB55544 pep chromosome:Graimondii2_0_v6:9:5913024:5917164:1 gene:B456_009G081500 transcript:KJB55544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQGQYADSGGNAYVSAQMQHMPAQRMEIKSGHFQGQLEAFTPERDQPYRTPKSDGQWRWERDGSKVSNQMTPKMFNEGQGTDGSRTYFQGQRPDPNLSLEKQNADIRSRPHDENMEVGYEHNLLPPTLEGLEKKFHDDIMKLAKEQNDAEDAENSRHREKINAINAQYQEQLTALRARHANRRDEFLRKESLVRQQQYQQAMMDYYPHSNMAPADSLPTGNNPHGYGSVAGSAAVGDGHRGYNSDNFDSYRERPRYLGAARDQGFEPRGSYQGGRAYDNSSRYN >KJB55546 pep chromosome:Graimondii2_0_v6:9:5913024:5917220:1 gene:B456_009G081500 transcript:KJB55546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQGQYADSGGNAYVSAQMQHMPAQRMEIKSGHFQGQLEAFTPERDQPYRTPKSDGQWRWERDGSKVSNQMTPKMFNEGQGTDGSRTYFQGQRPDPNLSLEKQNADIRSRPHDENMEVGYEHNLLPPTLEGLEKKFHDDIMKLAKEQNDAEDAENSRHREKINAINAQYQEQLTALRARHANRRDEFLRKESLVRQQQYQQAMMDYYPHSNMAPADSLPTGNNPHGYGSVAGSAAVGDGHRGYNSDNFDSYRERPRYLGAARDQGFEPRGSYQGGRAYDNSSRYN >KJB58364 pep chromosome:Graimondii2_0_v6:9:16026638:16028703:1 gene:B456_009G206900 transcript:KJB58364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLLDLSTFGYAVVFGITLLFLYSKLKKPSSGSGGKAAPVAAGAWPIIGHLPLLGGPKAPHETLGDLGEKYGPAYMIRIGVHPALVVNSSDVAKEIFTTNDLHVSSRSEFAAAKHLGYNYAMFGFSPYGQYWREMRKITMVEVLSNHRIDQLKKVFVSEIEGSMKLLYNTWAEKKDGSGKVLVEMKKHFSDLTLNVVLRTVAGRRYSVVAEEDQKEVLRYRKALWDFFHLTGLFMLGDAVPFLRWLDIGGYEKRMKITAKELDEISGGWLDDHRKGGHWDENKKEKDFMDVMNSVLKGASLAGYDADTINKATSLNMILAGSDTTTVTLVWCLSLMLNNPRILKKAQEELDTHISKDRFVNETDIGKLVYIQAIIKETFRMYPPAPLSAPRELNESCSIGGYNIPKGTRLIINLHKIQRDPKKWPEPLKFKPERFLTTHKDVDVKGQHFELMPFGSGRRSCPGTSFALHMLYLTVSNFLHAFDFSIPSYGLIDMTGTVGLTNMKSTPLEVLVSPRLAPEVYN >KJB58741 pep chromosome:Graimondii2_0_v6:9:17461115:17464842:-1 gene:B456_009G224300 transcript:KJB58741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEEEFSHCDNYDEEEEPKTMSQCSIGALQQQQQQQKFVVVGYALTSKKIKSFLQPKFEGLARNKGILFVAIDQNRPLSDQGPFDIVLHKLTGKEWRQILEDYRQTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSTSYGRVGVPRQLVIKKDASSIADAVDKAGLILPLVAKPLVADGSAKSHELSLAYDQYSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVTKRELSKVTGVFRFPRVSCAAASADDADLDPSVAVVFGICLNKVSWLNQKRTSPSPFT >KJB58744 pep chromosome:Graimondii2_0_v6:9:17461003:17464842:-1 gene:B456_009G224300 transcript:KJB58744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEEEFSHCDNYDEEEEPKTMSQCSIGALQQQQQQQKFVVVGYALTSKKIKSFLQPKFEGLARNKGILFVAIDQNRPLSDQGPFDIVLHKLTGKEWRQILEDYRQTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSTSYGRVGVPRQLVIKKDASSIADAVDKAGLILPLVAKPLVADGSAKSHELSLAYDQYSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVTKRELSKVTGVFRFPRVSCAAASADDADLDPSVAELPPRPLLERLAKELRWRLVAFKILTIISFRTYYTNLWNNFDIFI >KJB58742 pep chromosome:Graimondii2_0_v6:9:17460252:17465041:-1 gene:B456_009G224300 transcript:KJB58742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEEEFSHCDNYDEEEEPKTMSQCSIGALQQQQQQQKFVVVGYALTSKKIKSFLQPKFEGLARNKGILFVAIDQNRPLSDQGPFDIVLHKLTGKEWRQILEDYRQTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSTSYGRVGVPRQLVIKKDASSIADAVDKAGLILPLVAKPLVADGSAKSHELSLAYDQYSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVTKRELSKVTGVFRFPRVSCAAASADDADLDPSVAELPPRPLLERLAKELRVFGYLT >KJB58743 pep chromosome:Graimondii2_0_v6:9:17460883:17464842:-1 gene:B456_009G224300 transcript:KJB58743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEEEFSHCDNYDEEEEPKTMSQCSIGALQQQQQQQKFVVVGYALTSKKIKSFLQPKFEGLARNKGILFVAIDQNRPLSDQGPFDIVLHKLTGKEWRQILEDYRQTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSTSYGRVGVPRQLVIKKDASSIADAVDKAGLILPLVAKPLVADGSAKSHELSLAYDQYSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVTKRELSKVTGVFRFPRVSCAAASADDADLDPSVAELPPRPLLERLAKELRWRLGLRLFNLDIIREHGTRDHFYVIDINYFPGKCHF >KJB58739 pep chromosome:Graimondii2_0_v6:9:17460252:17462655:-1 gene:B456_009G224300 transcript:KJB58739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCVADMNLSTSYGRVGVPRQLVIKKDASSIADAVDKAGLILPLVAKPLVADGSAKSHELSLAYDQYSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVTKRELSKVTGVFRFPRVSCAAASADDADLDPSVAELPPRPLLERLAKELRWRLGLRLFNLDIIREHGTRDHFYVIDINYFPGYGKMPGYEHIFTDFLLSLVQSRYKKRSS >KJB58745 pep chromosome:Graimondii2_0_v6:9:17460252:17465044:-1 gene:B456_009G224300 transcript:KJB58745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEEEFSHCDNYDEEEEPKTMSQCSIGALQQQQQQQKFVVVGYALTSKKIKSFLQPKFEGLARNKGILFVAIDQNRPLSDQGPFDIVLHKLTGKEWRQILEDYRQTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSTSYGRVGVPRQLVIKKDASSIADAVDKAGLILPLVAKPLVADGSAKSHELSLAYDQYSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVTKRELSKVTGVFRFPRVSCAAASADDADLDPSVAELPPRPLLERLAKELRWRLGLRLFNLDIIREHGTRDHFYVIDINYFPGYGKMPGYEHIFTDFLLSLVQSRYKKRSS >KJB58740 pep chromosome:Graimondii2_0_v6:9:17460252:17465041:-1 gene:B456_009G224300 transcript:KJB58740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEEEFSHCDNYDEEEEPKTMSQCSIGALQQQQQQQKFVVVGYALTSKKIKSFLQPKFEGLARNKGILFVAIDQNRPLSDQGPFDIVLHKLTGKEWRQILEDYRQTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSTSYGRVGVPRQLVIKKDASSIADAVDKAGLILPLGGVLFKVYIVGEAIKVVRRFSLPDVTKRELSKVTGVFRFPRVSCAAASADDADLDPSVAELPPRPLLERLAKELRWRLGLRLFNLDIIREHGTRDHFYVIDINYFPGYGKMPGYEHIFTDFLLSLVQSRYKKRSS >KJB53792 pep chromosome:Graimondii2_0_v6:9:477987:480965:1 gene:B456_009G005200 transcript:KJB53792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVVNSFDLWQKDAFFSAAEEVQESADVMESVYRMWIKEMREGQKSSDTAELCRGLQTALGTAKWQLEEFERAIRLSRGHGCDDNRASRHKQFVAAIESQICRVEAALKDAIIEEGKQPLRWVDLDKQECDDLAMFLSGTSPSLQQSMENTLLVNSHRRKDTNPNINATCYRNMPEVKSLNDFGKDVECLIDVEDEQSSGKTDDVSSGQDRTTGTRRTWSTPNFGSLKIVIADEYADRNQMRSGMEATPKEKGSKPFFSKQRCGELTQAKCVFNLFNQCFGRVGGLQRQLRSPVHLQFRYSLQLKLVLMVAIFLIGVG >KJB53791 pep chromosome:Graimondii2_0_v6:9:477750:481066:1 gene:B456_009G005200 transcript:KJB53791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVVNSFDLWQKDAFFSAAEEVQESADVMESVYRMWIKEMREGQKSSDTAELCRGLQTALGTAKWQLEEFERAIRLSRGHGCDDNRASRHKQFVAAIESQICRVEAALKDAIIEEGKQPLRWVDLDKQECDDLAMFLSGTSPSLQQSMENTLLVNSHRRKDTNPNINATCYRNMPEVKSLNDFGKDVECLIDVEDEQSSGKTDDVSSGQDRTTGTRRTWSTPNFGSLKIVIADEYADRNQMRSGMEATPKEKGSKPFFSKQRCGELTQAKCVFNLFNQCFGRVGGLQRQLRSPVHLQFRYSLQLKLVLMVAIFLIVPFVLYSS >KJB55323 pep chromosome:Graimondii2_0_v6:9:5016512:5019280:1 gene:B456_009G070800 transcript:KJB55323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKRFPSYPIVPTSVASTTEEEMKPSSLPTSAEGTGREASQEVVLTDWPSIVARAHKLLGCSSSTCSYHLVWPRVQEEHSPTIPVRNGETVTELQSRNERNKSPLDQKNPTQAMVMEQGGGIAGKQILDLFSNSPMKENDSCIGISNRKGTNISSASWKGEKGMIAGNSEDGKAETGVRIGCSLTEVGSSRGGCADGRSREGDEEEEEEEGSSNKKKVRMRSLTSIYEDIRSLMPSSSELNTHPENY >KJB60758 pep chromosome:Graimondii2_0_v6:9:32103555:32107136:-1 gene:B456_009G324300 transcript:KJB60758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKPNSPDGRTRSWVQIFIVFGLCCFFYILGAWQRSGFGKGDSIALEITKHGADCNIIPSLNFETHHAGEVGNVGESEKIKSFQPCPPRYVDYTPCQDQKRAMTFPRDNMIYRERHCPREEEKLHCLVPAPTGYVTPFPWPKSRDYVPYANAPYKALTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADKYIDQLAAVIPITNGTVRTALDTGCGVASWGAYLWSRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGANDGMYLKEVDRVLRPGGYWVLSGPPINWRNNYKAWQRPKEELEEEQQKIEDVAKLLCWEKKHEKGEIAIWQKRVNDESCRGREDDSQAKFCKAEEANDVWYELCLRLL >KJB60757 pep chromosome:Graimondii2_0_v6:9:32103337:32107286:-1 gene:B456_009G324300 transcript:KJB60757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKPNSPDGRTRSWVQIFIVFGLCCFFYILGAWQRSGFGKGDSIALEITKHGADCNIIPSLNFETHHAGEVGNVGESEKIKSFQPCPPRYVDYTPCQDQKRAMTFPRDNMIYRERHCPREEEKLHCLVPAPTGYVTPFPWPKSRDYVPYANAPYKALTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADKYIDQLAAVIPITNGTVRTALDTGCGVASWGAYLWSRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGANDGMYLKEVDRVLRPGGYWVLSGPPINWRNNYKAWQRPKEELEEEQQKIEDVAKLLCWEKKHEKGEIAIWQKRVNDESCRGREDDSQAKFCKAEEANDVWYKKMDVCVTPYPDVSSSGEVAGGELKPFPERLFAVPPRIASGSVPGISVETYEEDNNIWKKHVNAYKKINRLIDSGRYRNILDMNAGLGGFAAALNSPKLWVMNVMPTIAEKDTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHAHGLFSLYKDKCNLEDILLEMDRILRPEGAVIFRDEVDVLIKVKKITAGMRWDTKMVDHEDGPLVPEKVLVAVKQYWTVGGNTTSAQ >KJB60755 pep chromosome:Graimondii2_0_v6:9:32104651:32106726:-1 gene:B456_009G324300 transcript:KJB60755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKPNSPDGRTRSWVQIFIVFGLCCFFYILGAWQRSGFGKGDSIALEITKHGADCNIIPSLNFETHHAGEVGNVGESEKIKSFQPCPPRYVDYTPCQDQKRAMTFPRDNMIYRERHCPREEEKLHCLVPAPTGYVTPFPWPKSRDYVPYANAPYKALTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADKYIDQLAAVIPITNGTVRTALDTGCGVASWGAYLWSRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGANDGMYLKEVDRVLRPGGYWVLSGPPINWRNNYKAWQRPKEELEEEQQKIEDVAKLLCWEKKHEKGEIAIWQKRVNDESCRGREDDSQAKFCKAEEANDVWYKKMDVCVTPYPDVSSSGEVAGGELKPFPERLFAVPPRIASGSVPGISVETYEEDNNIWKKHVNAYKKINRLIDSGRYRNILDMNAGLGGFAAALNSPKLWVMNVMPTIAEKDTLGVIYERGLIGIYHDW >KJB60756 pep chromosome:Graimondii2_0_v6:9:32103337:32107136:-1 gene:B456_009G324300 transcript:KJB60756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKPNSPDGRTRSWVQIFIVFGLCCFFYILGAWQRSGFGKGDSIALEITKHGADCNIIPSLNFETHHAGEVGNVGESEKIKSFQPCPPRYVDYTPCQDQKRAMTFPRDNMIYRERHCPREEEKLHCLVPAPTGYVTPFPWPKSRDYVPYANAPYKALTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADKYIDQLAAVIPITNGTVRTALDTGCGVASWGAYLWSRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGANDGMYLKEVDRVLRPGGYWVLSGPPINWRNNYKAWQRPKEELEEEQQKIEDVAKLLCWEKKHEKGEIAIWQKRVNDESCRGREDDSQAKFCKAEEANDVWYKKMDVCVTPYPDVSSSGEVAGGELKPFPERLFAVPPRIASGSVPGISVETYEEDNNIWKKHVNAYKKINRLIDSGRYRNILDMNAGLGGFAAALNSPKLWVMNVMPTIAEKDTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHAHGLFSLYKDK >KJB63079 pep chromosome:Graimondii2_0_v6:9:70566924:70569188:-1 gene:B456_009G454500 transcript:KJB63079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVGKSESPETKLEAKMVEAMQHRASKGTTIKSFNSIILKFPKIDHTLRKCKAIFEQFDEDSNGAIDHEELKKCLHKLQVCFTEEEIEDLFKACDMNEDMGIKFNEFVVLLCLVYLLKDNATEFEAKSRMGVPNLEATFETLVDAFVLLDKNKDGYVSKTEMVQAINESGERSSGPIATKRFEEMDWDKNGSVSFKEFLFAFTTWIGIEEVGDNDEHH >KJB63080 pep chromosome:Graimondii2_0_v6:9:70567092:70569165:-1 gene:B456_009G454500 transcript:KJB63080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVGKSESPETKLEAKMVEAMQHRASKGTTIKSFNSIILKFPKIDHTLRKCKAIFEQFDEDSNGAIDHEELKKCLHKLQVCFTEEEIEDLFKACDMNEDMGIKFNEFVVLLCLVYLLKDNATEFEA >KJB63081 pep chromosome:Graimondii2_0_v6:9:70568114:70569165:-1 gene:B456_009G454500 transcript:KJB63081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVGKSESPETKLEAKMVEAMQHRASKGTTIKSFNSIILKFPKIDHTLRKCKAIFEQFDEDSNGAIDHEELKKCLHKLQVCFTEEEIEDLFKACDMNEDMGIKFNEFVVLLCLVYLLKDNATEFEAVSFLTLLRFSLSFLVCVGELRYMPCLALFVFERVLYF >KJB54338 pep chromosome:Graimondii2_0_v6:9:2275850:2280988:-1 gene:B456_009G030200 transcript:KJB54338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRSFKPAKCKMALKLAIPRIKLMKNKREAHVQQLKRELAQLLESGQDQTARIRVEHVVREEKTVAAYNLLEIYCELIVARMPIIESQKNCPLDLKEAISSVIFASARCEEIPELKDVSKHFTAKYGKEFTSASLELRPNCGVGRMLVEKLSANAPDGPTKLKILTAIAVEQKINWNPESFGAKESKIYDDMLNGPSASMEATKILADPPVVQASPSHEQRPPGVQFPNYDKGTPNVQDSKHTGRSEAPASFYEHNSRSSLHPNNFDHSNASTNNSVSSGTYPPNSKPHGTENQGMEFRNSYSGNERASSSPSQHWEMKFKDATAAAQAAAESAERASMAARAAAELSSRGNISQQYSMESYMSPNGMRHEELRKYTGSSSENEHHARHPINNSLHGRDSGNYEQADRNEQHNQEGGTENVYSNIARSGDKSTHGSFKSTAASFNEKSSVNNEIRDAYSVTNSSEDRQMEHFAEVSMKRNSGGNGKQFVNELHGIKNPQNVDHHDIRDGEQSRYSSSVSQLNTSTDDHDDLSNLNWQESENDKRKSGESRMQFENELHDRKTSYDDHDVLSNINCQKSGNGSGEDLFHLNDEVSLPRGTEETTGSFDNASAVFDDYGSDTYEDNFGLEEEPKVHEYNMDFSSPGQISPSHPFTSTNSLSIEQNINSAQKSVSKSNIFSEEWPASAFFESSTSSAVPSHGDDLPATFDDYGRSSESEEDIGKSRFVGNSNPGIGSQKQNMDSKEAGNSSLNPRLVEGMEDTERSNESSLEESKELNLGNLTGGLRNKGYRRPPYSKVPGGNALSSEEAANDTSTRIKQSSFPAAVEASVSSGSYIHKPYSRKENAEVSRIVSTRAPVTQVDSSDDDSQEEQPKQAFSSTDDQYNKTPSFEESKGSYNKRSSLRTSVPYFDSGNSGSDEDLPKTSLKVHSNTRISRRTKTPLSYSRRSSNHRTTVSSEPAVALDNGEEKSLTSKSPDADEAIPKTQPQKKNSDHRESFQHSRFSSQPTSRLVSETKRYSVGGTLKSSEKEQVSSPVQKSISSGSAKSSKAQTSIERSSKESATHVHPKLPDYDTLTAHLNSLRQNR >KJB54091 pep chromosome:Graimondii2_0_v6:9:6724717:6727701:-1 gene:B456_009G092100 transcript:KJB54091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ELF4-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT2G29950) UniProtKB/Swiss-Prot;Acc:O80877] MVEAAMADTCENKNKRFNIGEGKANGAGAGDGDDTEDEECDVEVWDTLRYSFKMAQAVLDQNRELIKKVNENHQSKIPDNLVKNVGLIREINGNISKVIEIYSGLSVNFSDTVRQRKKIGNRKVENRRSRTEFDCWTS >KJB54093 pep chromosome:Graimondii2_0_v6:9:6727253:6727657:-1 gene:B456_009G092100 transcript:KJB54093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ELF4-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT2G29950) UniProtKB/Swiss-Prot;Acc:O80877] MVEAAMADTCENKNKRFNIGEGKANGAGAGDGDDTEDEECDVEVWDTLRYSFKMAQAVLDQNRELIKKVNENHQSKIPDNLVKNVGLIREINGNISKVIEIYSGLSVNFSDTVRQRKKIGNRKVENRRSRTEFE >KJB54092 pep chromosome:Graimondii2_0_v6:9:6724717:6727718:-1 gene:B456_009G092100 transcript:KJB54092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ELF4-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT2G29950) UniProtKB/Swiss-Prot;Acc:O80877] MVEAAMADTCENKNKRFNIGEGKANGAGAGDGDDTEDEECDVEVWDTLRYSFKMAQAVLDQNRELIKKVNENHQSKIPDNLVKNVGLIREINGNISKVIEIYSGLSVNFSDTVRQRKKIGNRKVENRRSRTEFDCCFYESSCPLFYSAGLPSLPLIYSADEYEVFLCLHLYLSFCLQI >KJB54094 pep chromosome:Graimondii2_0_v6:9:6727253:6727657:-1 gene:B456_009G092100 transcript:KJB54094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ELF4-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT2G29950) UniProtKB/Swiss-Prot;Acc:O80877] MVEAAMADTCENKNKRFNIGEGKANGAGAGDGDDTEDEECDVEVWDTLRYSFKMAQAVLDQNRELIKKVNENHQSKIPDNLVKNVGLIREINGNISKVIEIYSGLSVNFSDTVRQRKKIGNRKVENRRSRTEFE >KJB55121 pep chromosome:Graimondii2_0_v6:9:4556662:4559361:-1 gene:B456_009G063900 transcript:KJB55121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEENIEEACRKAVEEDDGPKGVCYCFWGPIYWFKMLAVEMHWSFVFGVVSIYGINQGLGGALSRIGTEYYMKDVQRVQPSEAQVYSGITSIPWIIKPIWGLLTDVVPIMSYRRRPYFILSGMLGVVSMLFISLHSKLQLVFAILALTAGNLAGAVADVTVDACVAQNSISHPSRAADMQSLCTLSYSFGGLLGFSISGVFINLIGPKGVFGLLTLPAALISLVGILLSEPQVSNFAYNEVNQKFHDAAKSMWTTLKGPDVWRPCLYMYISTTVSLNINEGLFYWYTDSKEGPSFSKEAVGYIFSMGAIGSFLGALLYQNVLKNRSLRDMLFWTQLLFGLAGMLDLMLVQRMNLRFGIPDYLFIVIGEAVSQMIYRLKWMPLLVLCSKLCPSGIEGTFFALLMSIGNLGDLSSSWGGGLLLHVLNVTRIKFEDLWLAILIRNILRVSPLCLLFLVPKGDPNAFMLSTKDEAETSEPDNIELASLIHSVDDKF >KJB58036 pep chromosome:Graimondii2_0_v6:9:14737321:14739981:-1 gene:B456_009G191300 transcript:KJB58036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKARQRFPSSFSHPFFKFYSSSVSTPPQEVEAADAETTVKPQPAALSPQETQVAEQFRSLIKEHHRKNPNPDLNSTPPSPNFTIPSLSLDFSNISTVHPVSPSLVRYVIDKCSGVRHGIPFLQTLSFFNWAAARPDFAHSPDPYNEMIDLAGKLRHFGLAWHLIDQMKAKSVDISLETFAILIRRYVKAGLAAEAVHAFNRMEDYGCVPDKVAFSVLISILCRKRRADEAQTFFDKLKDKFEPDVILYTSLLYGWCRARNISEAERVFREMKMAGIKPNVYSYTIVIDALCRCGQITRAYDVFAEMVDVGCEPNSITFNNLMRVHVKAGRTEKVLQVYNQMKRLGCAADTVTYNFLIECHCRDDNLDEAVKVLNSMLKKGCIPNSSTFNTIFKCIEKLRDVNAAHRMYAKMKEYKCMPNTVTYNVLMRMFASAKSADMVLKLKKEMDENEVEPNVNTYRILITMYCGMGHWNNAYKLFKEMIEEKCLKPSMPLYEMVLEQLRKAEQLKKHEELVEKMVDRGFATRPL >KJB58037 pep chromosome:Graimondii2_0_v6:9:14737521:14739981:-1 gene:B456_009G191300 transcript:KJB58037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKARQRFPSSFSHPFFKFYSSSVSTPPQEVEAADAETTVKPQPAALSPQETQVAEQFRSLIKEHHRKNPNPDLNSTPPSPNFTIPSLSLDFSNISTVHPVSPSLVRYVIDKCSGVRHGIPFLQTLSFFNWAAARPDFAHSPDPYNEMIDLAGKLRHFGLAWHLIDQMKAKSVDISLETFAILIRRYVKAGLAAEAVHAFNRMEDYGCVPDKVAFSVLISILCRKRRADEAQTFFDKLKDKFEPDVILYTSLLYGWCRARNISEAERVFREMKMAGIKPNVYSYTIVIDALCRCGQITRAYDVFAEMVDVGCEPNSITFNNLMRVHVKAGRTEKVLQVYNQMKRLGCAADTVTYNFLIECHCRDDNLDEAVKVLNSMLKKGCIPNSSTFNTIFKCIEKLRDVNAAHRMYAKMKEYKCMPNTVTYNVLMRMFASAKSADMVLKLKKEMDENEVEPNVNTYRILITMYCGMGHWNNAYKLFKEMIEEKCLKPSMPLYEMVLEQLRKAEQLKKHEELVEKMVDRGFATRPL >KJB58035 pep chromosome:Graimondii2_0_v6:9:14737103:14740000:-1 gene:B456_009G191300 transcript:KJB58035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKARQRFPSSFSHPFFKFYSSSVSTPPQEVEAADAETTVKPQPAALSPQETQVAEQFRSLIKEHHRKNPNPDLNSTPPSPNFTIPSLSLDFSNISTVHPVSPSLVRYVIDKCSGVRHGIPFLQTLSFFNWAAARPDFAHSPDPYNEMIDLAGKLRHFGLAWHLIDQMKAKSVDISLETFAILIRRYVKAGLAAEAVHAFNRMEDYGCGQITRAYDVFAEMVDVGCEPNSITFNNLMRVHVKAGRTEKVLQVYNQMKRLGCAADTVTYNFLIECHCRDDNLDEAVKVLNSMLKKGCIPNSSTFNTIFKCIEKLRDVNAAHRMYAKMKEYKCMPNTVTYNVLMRMFASAKSADMVLKLKKEMDENEVEPNVNTYRILITMYCGMGHWNNAYKLFKEMIEEKCLKPSMPLYEMVLEQLRKAEQLKKHEELVEKMVDRGFATRPL >KJB58034 pep chromosome:Graimondii2_0_v6:9:14737190:14739999:-1 gene:B456_009G191300 transcript:KJB58034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKARQRFPSSFSHPFFKFYSSSVSTPPQEVEAADAETTVKPQPAALSPQETQVAEQFRSLIKEHHRKNPNPDLNSTPPSPNFTIPSLSLDFSNISTVHPVSPSLVRYVIDKCSGVRHGIPFLQTLSFFNWAAARPDFAHSPDPYNEMIDLAGKLRHFGLAWHLIDQMKAKSVDISLETFAILIRRYVKAGLAAEAVHAFNRMEDYGCGQITRAYDVFAEMVDVGCEPNSITFNNLMRVHVKAGRTEKVLQVYNQMKRLGCAADTVTYNFLIECHCRDDNLDEAVKVLNSMLKKGCIPNSSTFNTIFKCIEKLRDVNAAHRMYAKMKEYKCMPNTVTYNVLMRMFASAKSADMVLKLKKEMDENEVEPNVNTYRILITMYCGMGHWNNAYKLFKEMIEEKCLKPSMPLYEMVLEQLRKAEQLKKHEELVEKMVDRGFATRPL >KJB54505 pep chromosome:Graimondii2_0_v6:9:2702002:2705195:1 gene:B456_009G036700 transcript:KJB54505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETLPVGRFQLQQQRLELKRWIPAFLSSHKTLFVLLWIAAFGSVFFWQWNIGGSGFSIFANVGPGRPMPKMRPFAFNLTDFGGVGDGVADETAAFERAILAISKFGKRGGAQLNVPPGKWLTAPFNLTSHMTLFLAEDAEILGIQDEKRWALMPPLPSYGYGREHNGSRYGSLIHGQNLRDVVITGHNGTINGQGQSWWKKYRQKLLNHSRGPLVQIMWSSDIVISDITLRDSPFWTFHPYDCKNVTVRNVTILAPIFEAPNTDGIDPVAIKSGWDQYGVAYGRPSRNILIRNLIIRSMVSAGISIGSEMSGGVSNVTVENVLVWSSRRAVRIKTAVGRGGYVRDITYRNLTFDNARVGIVIKTDYNEHPDDDFDRKALPIIRDISFTEIHGHGVRVPVRIHGSKDIPIRNVTFRDISIGITYKKKHIFQCAFVEGRVIGTIFPAPCENLDRYDEEERLVKLSAAQNVTDIDYDI >KJB54504 pep chromosome:Graimondii2_0_v6:9:2701971:2705238:1 gene:B456_009G036700 transcript:KJB54504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETLPVGRFQLQQQRLELKRWIPAFLSSHKTLFVLLWIAAFGSVFFWQWNIGGSGFSIFANVGPGRPMPKMRPFAFNLTDFGGVGDGVADETAAFERAILAISKFGKRGGAQLNVPPGKWLTAPFNLTSHMTLFLAEDAEILGIQDEKRWALMPPLPSYGYGREHNGSRYGSLIHGQNLRDVVITGHNGTINGQGQSWWKKYRQKLLNHSRGPLVQIMWSSDIVISDITLRDSPFWTFHPYDCKNVTVRNVTILAPIFEAPNTDGIDPDSCEDMVIEDCYISVGDDAVAIKSGWDQYGVAYGRPSRNILIRNLIIRSMVSAGISIGSEMSGGVSNVTVENVLVWSSRRAVRIKTAVGRGGYVRDITYRNLTFDNARVGIVIKTDYNEHPDDDFDRKALPIIRDISFTEIHGHGVRVPVRIHGSKDIPIRNVTFRDISIGITYKKKHIFQCAFVEGRVIGTIFPAPCENLDRYDEEERLVKLSAAQNVTDIDYDI >KJB57926 pep chromosome:Graimondii2_0_v6:9:14317931:14320174:-1 gene:B456_009G186100 transcript:KJB57926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPLE CHLOROPLAST DIVISION SITE 1 [Source:Projected from Arabidopsis thaliana (AT1G20830) UniProtKB/Swiss-Prot;Acc:Q8GWA7] MASIWLFQFPGQPSIWGWKHQVSLNGTSLLLHHRHSLSQRLNWIHLLPNRTFSPRAIDNSASSEEDHRAQNKVVDTAKHTLAVDSKHPLALFQESITSFPPVVFLMKNRPKNNLTLGLFVAIAIMVIALRAYAERKSRKSQPGSVADLVRRGQLRSDRRGISRPLKYDDPFNNPLVKVGKSNSTVEMCGKLYRLAPVTLTKEQQAIHQKRRSRAYQWKRPTIFLKEGDSIPPDVDPDTIRWIPANHPFATTANDIDEDLAQNNVYQKHGVPFRIQAEHDALQRKLEAMQKEEKLNNLFIDSRNAKDFQRPFKLNDRSDEPVEQGPNNNPRVETKPTKPERASDSIESNLSSGEMQQP >KJB57927 pep chromosome:Graimondii2_0_v6:9:14317632:14320364:-1 gene:B456_009G186100 transcript:KJB57927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPLE CHLOROPLAST DIVISION SITE 1 [Source:Projected from Arabidopsis thaliana (AT1G20830) UniProtKB/Swiss-Prot;Acc:Q8GWA7] LLLLLLCCPLLGGADIVFHFYCVRVFLSLKSNGINLAFSIPWPVSLNGTSLLLHHRHSLSQRLNWIHLLPNRTFSPRAIDNSASSEEDHRAQNKVVDTAKHTLAVDSKHPLALFQESITSFPPVVFLMKNRPKNNLTLGLFVAIAIMVIALRAYAERKSRKSQPGSVADLVRRGQLRSDRRGISRPLKYDDPFNNPLVKVGKSNSTVEMCGKLYRLAPVTLTKEQQAIHQKRRSRAYQWKRPTIFLKEGDSIPPDVDPDTIRWIPANHPFATTANDIDEDLAQNNVYQKHGVPFRIQAEHDALQRKLEAMQKEEKLNNLFIDSRNAKDFQRPFKLNDRSDEPVEQGPNNNPRVETKPTKPERASDSIESNLSSGEMQQP >KJB57929 pep chromosome:Graimondii2_0_v6:9:14317696:14320364:-1 gene:B456_009G186100 transcript:KJB57929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPLE CHLOROPLAST DIVISION SITE 1 [Source:Projected from Arabidopsis thaliana (AT1G20830) UniProtKB/Swiss-Prot;Acc:Q8GWA7] MASIWLFQFPGQPSIWGWKHQVSLNGTSLLLHHRHSLSQRLNWIHLLPNRTFSPRAIDNSASSEEDHRAQNKVVDTAKHTLAVDSKHPLALFQESITSFPPVVFLMKNRPKNNLTLGLFVAIAIMVIALRAYAERKSRKSQPGSVADLVRRGQLRSDRRGISRPLKYDDPFNNPLVKVGKSNSTVEMCGKLYRLAPVTLTKEQQAIHQKRRSRAYQWKRPTIFLKEGDSIPPDVDPDTIRWIPANHPFATTANDIDEDLAQNNVYQKHGVPFRIQAEHDALQRKLEAMQKVREVEQFVYRQ >KJB57925 pep chromosome:Graimondii2_0_v6:9:14317931:14320174:-1 gene:B456_009G186100 transcript:KJB57925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPLE CHLOROPLAST DIVISION SITE 1 [Source:Projected from Arabidopsis thaliana (AT1G20830) UniProtKB/Swiss-Prot;Acc:Q8GWA7] MASIWLFQFPGQPSIWGWKHQVSLNGTSLLLHHRHSLSQRLNWIHLLPNRTFSPRAIDNSASSEEDHRAQNKVVDTAKHTLAVDSKHPLALFQESITSFPPVVFLMKNRPKNNLTLGLFVAIAIMVIALRAYAERKSRKSQPGSVADLVRRGQLRSDRRGISRPLKYDDPFNNPLVKVGKSNSTVEMCGKLYRLAPVTLTKEQQAIHQKRRSRAYQWKRPTIFLKEGDSIPPDVDPDTIRWIPANHPFATTANDIDEDLAQNNVYQKHGVPFRIQAEHDALQRKLEAMQKEEKLNNLFIDSRNAKDFQRPFKLNDRSDEPVEQGPNNNPRVETKPTKPERASDSIESNLSSGEMQQP >KJB57928 pep chromosome:Graimondii2_0_v6:9:14317696:14320297:-1 gene:B456_009G186100 transcript:KJB57928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPLE CHLOROPLAST DIVISION SITE 1 [Source:Projected from Arabidopsis thaliana (AT1G20830) UniProtKB/Swiss-Prot;Acc:Q8GWA7] MASIWLFQFPGQHQVSLNGTSLLLHHRHSLSQRLNWIHLLPNRTFSPRAIDNSASSEEDHRAQNKVVDTAKHTLAVDSKHPLALFQESITSFPPVVFLMKNRPKNNLTLGLFVAIAIMVIALRAYAERKSRKSQPGSVADLVRRGQLRSDRRGISRPLKYDDPFNNPLVKVGKSNSTVEMCGKLYRLAPVTLTKEQQAIHQKRRSRAYQWKRPTIFLKEGDSIPPDVDPDTIRWIPANHPFATTANDIDEDLAQNNVYQKHGVPFRIQAEHDALQRKLEAMQKEEKLNNLFIDSRNAKDFQRPFKLNDRSDEPVEQGPNNNPRVETKPTKPERASDSIESNLSSGEMQQP >KJB62478 pep chromosome:Graimondii2_0_v6:9:65258900:65262203:-1 gene:B456_009G418800 transcript:KJB62478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIDILTRVDVICKRYDKYDVEKQRDQNVSGGDAFARAYAAVEADIESALEKVELASKEKSKASAVAVNAEIRRTKARLLEEVPTLQRLAVKKVKGISTEEMAARNDLVLALPDRIQAIPDGTAATKQTGGWMSSAPSASRTAIKFDSDERFDNEYFQESEQSSQFRQEYEMRKMKQDQGLDMISEGLDTLKNMAHDMNEELDRQVPLMDEIDTKVDKAAADLKNTNVRLKDTVTQFWE >KJB62481 pep chromosome:Graimondii2_0_v6:9:65260022:65262054:-1 gene:B456_009G418800 transcript:KJB62481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIDILTRVDVICKRYDKYDVEKQRDQNVSGGDAFARAYAAVEADIESALEKVELASKEKSKASAVAVNAEIRRTKARLLEEVPTLQRLAVKKVKGISTEEMAARNDLVLALPDRIQAIPDGTAATKQTGGWMSSAPSASRTAIKFDSDERFDNEYFQESEQSSQFRQEYEMRKMKQDQGLDMISEGLDTLKNMAHDMNEELDRQVPLMDEIDTKVNIITIVLLYIVETA >KJB62477 pep chromosome:Graimondii2_0_v6:9:65258683:65262223:-1 gene:B456_009G418800 transcript:KJB62477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIDILTRVDVICKRYDKYDVEKQRDQNVSGGDAFARAYAAVEADIESALEKVELASKEKSKASAVAVNAEIRRTKARLLEEVPTLQRLAVKKVKGISTEEMAARNDLVLALPDRIQAIPDGTAATKQTGGWMSSAPSASRTAIKFDSDERFDNEYFQESEQSSQFRQEYEMRKMKQDQGLDMISEGLDTLKNMAHDMNEELDRQVPLMDEIDTKVDKAAADLKNTNVRLKDTVTQLRSSRNFCIDIILLCIVLGIAAYLYNVLKK >KJB62479 pep chromosome:Graimondii2_0_v6:9:65259006:65262191:-1 gene:B456_009G418800 transcript:KJB62479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIDILTRVDVICKRYDKYDVEKQRDQNVSGGDAFARAYAAVEADIESALEKVELASKEKSKASAVAVNAEIRRTKARLLEEVPTLQRLAVKGISTEEMAARNDLVLALPDRIQAIPDGTAATKQTGGWMSSAPSASRTAIKFDSDERFDNEYFQESEQSSQFRQEYEMRKMKQDQGLDMISEGLDTLKNMAHDMNEELDRQVPLMDEIDTKVDKAAADLKNTNVRLKDTVTQLRSSRNFCIDIILLCIVLGIAAYLYNVLKK >KJB62480 pep chromosome:Graimondii2_0_v6:9:65259733:65262054:-1 gene:B456_009G418800 transcript:KJB62480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIDILTRVDVICKRYDKYDVEKQRDQNVSGGDAFARAYAAVEADIESALEKVELASKEKSKASAVAVNAEIRRTKARLLEEVPTLQRLAVKKVKGISTEEMAARNDLVLALPDRIQAIPDGTAATKQTGGWMSSAPSASRTAIKFDSDERFDNEYFQESEQSSQFRQEYEMRKMKQDQGLDMISEGLDTLKNMAHDMNEELDRQVPLMDEIDTKVDKAAADLKNTNVRLKDTVTQLRSSRNFCIDIILLCIVLGIAAYLYK >KJB60222 pep chromosome:Graimondii2_0_v6:9:25659236:25664380:-1 gene:B456_009G294700 transcript:KJB60222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNFRTSSSLLQLRSSFCFSPPLSSSKLRLSKSKRLNFVQHRLVIKNSSGFDEDCSFDGFSVKPNKLFMQEAIGAEYGEGFETFRLDGPLKVDVDFLNDRLQEGFLKRIRYAMKPDEAYGLIFSWDDVVANTGALKLNAWKQLALEEGKEIPPEADAQKLMLYASADHVLHKILRWETIESEVDRLKLRLSQIYYDNLPKLRKPMEGLEEWLDAVSTAHIPCAVVSSLDRRNMVDALERIGLKKYFQAIISEEDGMESIAHRFLSAAVKLDRKPSKCVVFEDDPRGITAAHNCTMMAVALIGSHPAYDLGQADLAVGSFNELSVINLRRLFANKGSTFMDRQKQIIEKTPPKRKLTVDTIF >KJB61196 pep chromosome:Graimondii2_0_v6:9:41881469:41885356:1 gene:B456_009G346000 transcript:KJB61196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKFEKKNLLQKKKITIDLKKIRTQKGMRSWNSSVVLFFFSLPFLLPLSASASSIHHQHERIGYRVLMSFKEISKEANNTFDCSPSGPCVPCLYSEKSDQKYRCSETGYRIPFKCVETDDGSKAENKQKTEKGRSNREISVINENLRQRRSLLDDSSTSESGSRAYITYRSCIPAVNEEKLSVLGFEGIIFGLLLISGSVVFLRRKRAITMPGVSAGRIQPSSRF >KJB61194 pep chromosome:Graimondii2_0_v6:9:41881436:41885356:1 gene:B456_009G346000 transcript:KJB61194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKFEKKNLLQKKKITIDLKKIRTQKGMRSWNSSVVLFFFSLPFLLPLSASASSIHHQHERIGYRVLMSFKEISKEANNTFDCSPSGPCVPCLYSEKSDQKYRCSETGYRIPFKCVETDDGSKAENKQKTEKGRSNREISVINENLRQRRSLLDDSSTSESGSRAYITYRSCIPAVNEEKLSVLGFEGIIFGLLLISGSVVFLRRKRAITMPGVSAGRIQPSSRF >KJB61195 pep chromosome:Graimondii2_0_v6:9:41881469:41883183:1 gene:B456_009G346000 transcript:KJB61195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKFEKKNLLQKKKITIDLKKIRTQKGMRSWNSSVVLFFFSLPFLLPLSASASSIHHQHERIGYRVLMSFKEISKEANNTFDCSPSGPCVPCLYSEKSDQKYRCSETGYRIPFKCVETDDGSKAENKQKTEKGRSNREISVINENLRQRRSLLDDSSTSESGSRAYITYRSCIPAVNEEKLSVLGFEVCAFGLHYLSIDFV >KJB61197 pep chromosome:Graimondii2_0_v6:9:41881469:41885356:1 gene:B456_009G346000 transcript:KJB61197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKFEKKNLLQKKKITIDLKKIRTQKGMRSWNSSVVLFFFSLPFLLPLSASASSIHHQQIGYRVLMSFKEISKEANNTFDCSPSGPCVPCLYSEKSDQKYRCSETGYRIPFKCVETDDGSKAENKQKTEKGRSNREISVINENLRQRRSLLDDSSTSESGSRAYITYRSCIPAVNEEKLSVLGFEGIIFGLLLISGSVVFLRRKRAITMPGVSAGRIQPSSRF >KJB54413 pep chromosome:Graimondii2_0_v6:9:2465138:2467914:1 gene:B456_009G033000 transcript:KJB54413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFITPLISIIDRLCTATASRACRVINLDRSMHYLTAELNELMDKRDDLTTRLERAELDGSRRTNEVQRWLARVEVIEAEAASIMENFRQSRQGLGCFNPSCCSKYNMSTEMIEKLQDISELKGKGNFERLVTEPRPAPVEEKPCKPAIGIGVMLDKVWEFLEEEKVGIIALYGMGGVGKTTLLKTINNAFLSNDHNFDVVIWVLVSKEFVVSKIQQSIVARLGLPWADSEAHELLTSKIYNVLKKKRFLLLFDDVWEGIDLGDIGIPLPDDENKCKLIFTTRSMDVCSDMDAHRKLKVELLNDEKSWQLFCEKVGRMDVLESPPIRTYAKTIVRKCGGLPLALITVGRAMANKETEEEWKYAIELLNKSPSEFRGMEDVFTLLKFSYDNLENETAKMCFLYCSLFPQSYSIEKEQLVEYWIAEGFLDRSYDSNAYNKGYAAIGSLKVAFLLETGEEETQVKMNDVIRSFALWIASGCGVNKGNFFVEASLGLTEAPGVKNWEGAQRVSLLDNAITRLEEVPMCPNLLTLLLQWNNCLNRIPDVFFQSMSALRLLDLSFTSIRRIPVSIGQLLELRHLNLTATKITMLPKELGSLAKLVHLNLLRTYSLRTIPREAISGLSKLVVLNLYYSYERWKIQNSNCEDEVGFEVLETLTQLRFLGLTISTVTSLHRLSGIRSLVKCIQYLFIKECEGLHQLELSTLGYGKLLRRLSINDCNELKYLVVEGEAGENWLPNLEVLALYGLPTLISVWKTPPREASLQNLRLLNIWYCHRLKNVSWISLLPRLEAIYLFYCKALEALVSEDEKLEPDSKSFSRLKTISIRDLPALRIITPLALNFSCLKNIAVIDCPKLKKLSIRTAHNSTTLPTVHCSKDWWEGLEWEEVNTKNAFLTNFTSN >KJB55732 pep chromosome:Graimondii2_0_v6:9:6707128:6710864:-1 gene:B456_009G091900 transcript:KJB55732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQTFGKMLFRPESECLKEFPAPEDLKYKILISTKPPKEYLEAQTNKDKEKEKDSDDDVWGKEPTELTAEQEDEKTDSDASDNNQDDEDSDAFEPEVDSSRAPGYKSLIAIPGGKITGRLKEALKVEPDKVRRLSLSEQALEKATVSYGTDLVRFTQKNFLRIYPKGTRFNSSNYKPQIGWMHGAQMIAFNMQGYGRSLWLMQGMFRANGGCGYVKKPDFLMNVDANSERAKLPVKKRLRVKVYMGDGWHLDFKHTHFDTYSPPDFYTKVGIAGVPDDEIMKKTKIKEDNWTPVWDEEFTFPLTVPELALLRVEVHEYDMSEKDDFAGQTCLPVSELKQGIRAVPLFDRKGEKLNSTRLLMRFDFV >KJB55734 pep chromosome:Graimondii2_0_v6:9:6707005:6710898:-1 gene:B456_009G091900 transcript:KJB55734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYRMCGCFTRKFKIIEAAPPPDVIAAFEKYAEGGPQMTAEQLHRFLVDVQGQGGAKVSDAEEILLQVLQKRHHMAKFRKHALTLDDFHHYLFSADLNPPIDNKVHHDMTAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALKRGVRVVELDLWPNSTKDDVDVLHGRTLTAPVELIKCLKSIKEHAFSASEYPVVITLEDHLTPKLQAKVAQMVTQTFGKMLFRPESECLKEFPAPEDLKYKILISTKPPKEYLEAQTNKDKEKEKDSDDDVWGKEPTELTAEQEDEKTDSDASDNNQDDEDSDAFEPEVDSSRAPGYKSLIAIPGGKITGRLKEALKVEPDKVRRLSLSEQALEKATVSYGTDLVRFTQKNFLRIYPKGTRFNSSNYKPQIGWMHGAQMIAFNMQGYGRSLWLMQGMFRANGGCGYVKKPDFLMNVDANSERAKLPVKKRLRVKVYMGDGWHLDFKHTHFDTYSPPDFYTKVGIAGVPDDEIMKKTKIKEDNWTPVWDEEFTFPLTVPELALLRVEVHEYDMSEKDDFAGQTCLPVSELKQGIRAVPLFDRKGEKLNSTRLLMRFDFV >KJB55731 pep chromosome:Graimondii2_0_v6:9:6707756:6710632:-1 gene:B456_009G091900 transcript:KJB55731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYRMCGCFTRKFKIIEAAPPPDVIAAFEKYAEGGPQMTAEQLHRFLVDVQGQGGAKVSDAEEILLQVLQKRHHMAKFRKHALTLDDFHHYLFSADLNPPIDNKVHHDMTAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALKRGVRVVELDLWPNSTKDDVDVLHGRTLTAPVELIKCLKSIKEHAFSASEYPVVITLEDHLTPKLQAKVAQMVTQTFGKMLFRPESECLKEFPAPEDLKYKILISTKPPKEYLEAQTNKDKEKEKDSDDDVWGKEPTELTAEQEDEKTDSDASDNNQDDEDSDAFEPEVDSSRAPGYKSLIAIPGGKITGRLKEALKVEPDKVRRLSLSEQALEKATVSYGTDLVRFTQKNFLRIYPKGTRFNSSNYKPQIGWMHGAQMIAFNMQGYGRSLWLMQGMFRANGGCGYVKKPDFLMNVDANSERAKLPVKKRLRVKVYMGDGWHLDFKHTHFDTYSPPDFYTKVR >KJB55735 pep chromosome:Graimondii2_0_v6:9:6708592:6710864:-1 gene:B456_009G091900 transcript:KJB55735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYRMCGCFTRKFKIIEAAPPPDVIAAFEKYAEGGPQMTAEQLHRFLVDVQGQGGAKVSDAEEILLQVLQKRHHMAKFRKHALTLDDFHHYLFSADLNPPIDNKVHHDMTAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALKRGVRVVELDLWPNSTKDDVDVLHGRTLTAPVELIKCLKSIKEHAFSASEYPVVITLEDHLTPKLQAKVAQMVTQTFGKMLFRPESECLKEFPAPEDLKYKILISTKPPKEYLEAQTNKDKEKEKDSDDDVWGKEPTELTAEQEDEKTDSDASDNNQDDEDSDAFEPEVDSSRAPGYKSLIAIPGGKITGRLKEALKVEPDKVRRLSLSEQALEKATVSYGTDLVR >KJB55733 pep chromosome:Graimondii2_0_v6:9:6707128:6709291:-1 gene:B456_009G091900 transcript:KJB55733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQTFGKMLFRPESECLKEFPAPEDLKYKILISTKPPKEYLEAQTNKDKEKEKDSDDDVWGKEPTELTAEQEDEKTDSDASDNNQDDEDSDAFEPEVDSSRAPGYKSLIAIPGGKITGRLKEALKVEPDKVRRLSLSEQALEKATVSYGTDLVRFTQKNFLRIYPKGTRFNSSNYKPQIGWMHGAQMIAFNMQGYGRSLWLMQGMFRANGGCGYVKKPDFLMNVDANSERAKLPVKKRLRVKVYMGDGWHLDFKHTHFDTYSPPDFYTKVGIAGVPDDEIMKKTKIKEDNWTPVWDEEFTFPLTVPELALLRVEVHEYDMSEKDDFAGQTCLPVSELKQGIRAVPLFDRKGEKLNSTRLLMRFDFV >KJB59006 pep chromosome:Graimondii2_0_v6:9:21199281:21199874:-1 gene:B456_009G257200 transcript:KJB59006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNQLCLVLVIFLSIFSLSSLPTNAIIPKANVSLPVPSSQLVENLCNGKAVENRRFCLKALSTPKIIAAMDTTQLGTLIMKLGAANAKATLNVCNEIIKKPGSPQALKALNYPQTANNDVAVIGPDIANCEKELINAKVQAPRLLAGNRFMKYYVSMGYEITSTLELENPNEY >KJB61593 pep chromosome:Graimondii2_0_v6:9:49608934:49611794:1 gene:B456_009G368600 transcript:KJB61593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQLHHLTLHSLPFTPKLHHSRFSTRKYLRFSFSASASTTMAKQVLVPVANGTEPMEAVITIDVLRRSGAEVTVASVEKELRVDACHGVKIVADALVGDCKDTGFDLIALPGGMPGATNFKDCAVLESVVKKQAAEGRLYAAVCASPAVALGSWGLLKGLKATCYPSFMEQLSSCATAVESIVQQDGKVVTSRGPGTTMEFSVALVEQLYDKEKADEVSGPLLLRPNHGDEYKVIELNPMEWKCNNIPQILVPIADGSEEMEAVMIIDILRRAKANVVVASVGDNLEILASRKVKLVADMLLDEAAKLSYDLIVLPGGLGGAQAFANSDKLVNMLKKQAESNKPYGAICASPALVLEPHGLLKV >KJB61591 pep chromosome:Graimondii2_0_v6:9:49608779:49612918:1 gene:B456_009G368600 transcript:KJB61591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQLHHLTLHSLPFTPKLHHSRFSTRKYLRFSFSASASTTMAKQVLVPVANGTEPMEAVITIDVLRRSGAEVTVASVEKELRVDACHGVKIVADALVGDCKDTGFDLIALPGGMPGATNFKDCAVLESVVKKQAAEGRLYAAVCASPAVALGSWGLLKGLKATCYPSFMEQLSSCATAVESIVQQDGKVVTSRGPGTTMEFSVALVEQLYDKEKADEVSGPLLLRPNHGDEYKVIELNPMEWKCNNIPQILVPIADGSEEMEAVMIIDILRRAKANVVVASVGDNLEILASRKVKLVADMLLDEAAKLSYDLIVLPGGLGGAQAFANSDKLVNMLKKQAESNKPYGAICASPALVLEPHGLLKGKKATAFPAMCNKLSDQSFIDNRVVVDGNLITSRGPGTSMEFALGIVEKFFGRPKALELAKVMLFVH >KJB61592 pep chromosome:Graimondii2_0_v6:9:49609016:49611636:1 gene:B456_009G368600 transcript:KJB61592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQLHHLTLHSLPFTPKLHHSRFSTRKYLRFSFSASASTTMAKQVLVPVANGTEPMEAVITIDVLRRSGAEVTVASVEKELRVDACHGVKIVADALVGDCKDTGFDLIALPGGMPGATNFKDCAVLESVVKKQAAEGRLYAAVCASPAVALGSWGLLKGLKATCYPSFMEQLSSCATAVESIVQQDGKVVTSRGPGTTMEFSVALVEQLYDKEKADEVSGPLLLRPNHGDEYKVIELNPMEWKCNNIPQILVPIADGSEEMEAVMIIDILRRAKANVVVASVGDNLEILASRKVKLVADMLLDEAAKLSYDLIVLPVRRTWWCPSICQL >KJB55698 pep chromosome:Graimondii2_0_v6:9:6516331:6523861:-1 gene:B456_009G089300 transcript:KJB55698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGLHNVSLLENSFLRESQSQTSRRRENGSTRASSLLQMWRELEDEHVASHAQERASERMLHTRSDDLSVTDLSDSRNCEHSGVSDDASVSENDFGQWLPDRFGLQSRSGDSSNLSCEHSSDLGEVERERVRQIFREWMNSGGRDRTSNVSCRNNSSRAQWLGETEQERVRIIREWMQMNSQQRGACVDSREEQAADVGGQIDRVLDGLVINQNEGRTELVRRGIRKLCGRQALLDMLKKAERERQTELQGLLEHRAVSNFAHRNRIQSLLRGRFLRNGRMGEGNRSTSVAASELGLLRQKQTVSGLREGFFSRLDNSGSGPASSNHSDTSSNADTNANRNKQNLETSARVEEWRERICGSVAREWQWSFSDESNESRDAIGEILDGDWQENLANESSLEALPNEAGEHSNLQEADEASYEHSPPNDIENLEGNPAVQIDGQESASLVELWQEEDQETAEADWQEAGVEYNESMDGNEEASDRHHEDGGLRGTAQDWMEESYNQEPVTIRRTDTFYFPDDDNVHSMELRELLSRRSVSTLLHSGFRESLDQLIQSYVERQNHAPVDWELEGTSPSPASLEQDLEQQSGDQNDGHGDAETPPLTLPSPRMPPMQPLWDQDSHHYNWASHDVHQRFGIEWEVINDLRIDMARMQQRMNNMQRMLEACMDMQLEIQRSIRQEVSAALNRSAGSQGVIDDDNLPKDASNWDNVRKGICCICCEGNIDSLLYRCGHMCTCSKCANELVHVGGKCPMCRAPVVEVIRAYSIL >KJB55696 pep chromosome:Graimondii2_0_v6:9:6516930:6522870:-1 gene:B456_009G089300 transcript:KJB55696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGLHNVSLLENSFLRESQSQTSRRRENGSTRASSLLQMWRELEDEHVASHAQERASERMLHTRSDDLSVTDLSDSRNCEHSGVSDDASVSENDFGQWLPDRFGLQSRSGDSSNLSCEHSSDLGEVERERVRQIFREWMNSGGRDRTSNVSCRNNSSRAQWLGETEQERVRIIREWMQMNSQQRGACVDSREEQAADVGGQIDRVLDGLVINQNEGRTELVRRGIRKLCGRQALLDMLKKAERERQTELQGLLEHRAVSNFAHRNRIQSLLRGRFLRNGRMGEGNRSTSVAASELGLLRQKQTVSGLREGFFSRLDNSGSGPASSNHSDTSSNADTNANRNKQNLVNNSEHENEQTDNQMFLHGITDLGDDVEDVRWQETSARVEEWRERICGSVAREWQWSFSDESNESRDAIGEILDGDWQENLANESSLEALPNEAGEHSNLQEADEASYEHSPPNDIENLEGNPAVQIDGQESASLVELWQEEDQETAEADWQEAGVEYNESMDGNEEASDRHHEDGGLRGTAQDWMEESYNQEPVTIRRTDTFYFPDDDNVHSMELRELLSRRSVSTLLHSGFRESLDQLIQSYVERQNHAPVDWELEGTSPSPASLEQDLEQQSGDQNDGHGDAETPPLTLPSPRMPPMQPLWDQDSHHYNWASHDVHQRFGIEWEVINDLRIDMARMQQRMNNMQRMLEACMDMQLEIQRSIRQEVSAALNRSAGSQGVIDDDNLPKDASNWDNVRKGICCICCEGNIDSLLYRCGHMCTCSKCANELVHVGGKCPMCRAPVVEVIRAYSIL >KJB55695 pep chromosome:Graimondii2_0_v6:9:6516331:6523283:-1 gene:B456_009G089300 transcript:KJB55695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGLHNVSLLENSFLRESQSQTSRRRENGSTRASSLLQMWRELEDEHVASHAQERASERMLHTRSDDLSVTDLSDSRNCEHSGVSDDASVSENDFGQWLPDRFGLQSRSGDSSNLSCEHSSDLGEVERERVRQIFREWMNSGGRDRTSNVSCRNNSSRAQWLGETEQERVRIIREWMQMNSQQRGACVDSREEQAADVGGQIDRVLDGLVINQNEGRTELVRRGIRKLCGRQALLDMLKKAERERQTELQGLLEHRAVSNFAHRNRIQSLLRGRFLRNGRMGEGNRSTSVAASELGLLRQKQTVSGLREGFFSRLDNSGSGPASSNHSDTSSNADTNANRNKQNLVNNSEHENEQTDNQMFLHGITDLGDDVEDVRWQETSARVEEWRERICGSVAREWQWSFSDESNESRDAIGEILDGDWQENLANESSLEALPNEAGEHSNLQEADEASYEHSPPNDIENLEGNPAVQIDGQESASLVELWQEEDQETAEADWQEAGVEYNESMDGNEEASDRHHEDGGLRGTAQDWMEESYNQEPVTIRRTDTFYFPDDDNVHSMELRELLSRRSVSTLLHSGFRESLDQLIQSYVERQNHAPVDWELEGTSPSPASLEQDLEQQSGDQNDGHGDAETPPLTLPSPRMPPMQPLWDQDSHHYNWASHDVHQRFGIEWEVINDLRIDMARMQQRMNNMQRMLEACMDMQLEIQRSIRQEVSAALNRSAGSQGVIDDDNLPKDASNWDNVRKGICCICCEGNIDSLLYRCGHMCTCSKCANELVHVGGKCPMCRAPVVEVIRAYSIL >KJB55699 pep chromosome:Graimondii2_0_v6:9:6516930:6522870:-1 gene:B456_009G089300 transcript:KJB55699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGLHNVSLLENSFLRESQSQTSRRRENGSTRASSLLQMWRELEDEHVASHAQERASERMLHTRSDDLSVTDLSDSRNCEHSGVSDDASVSENDFGQWLPDRFGLQSRSGDSSNLSCEHSSDLGEVERERVRQIFREWMNSGGRDRTSNVSCRNNSSRAQWLGETEQERVRIIREWMQMNSQQRGACVDSREEQAADVGGQIDRVLDGLVINQNEGRTELVRRGIRKLCGRQALLDMLKKAERERQTELQGLLEHRAVSNFAHRNRIQSLLRGRFLRNGRMGEGNRSTSVAASELGLLRQKQTVSGLREGFFSRLDNSGSGPASSNHSDTSSNADTNANRNKQNLVNNSEHENEQTDNQMFLHGITDLGDDVEDVRWQETSARVEEWRERICGSVAREWQWSFSDESNESRDAIGEILDGDWQENLANESSLEALPNEAGEHSNLQEADEASYEHSPPNDIENLEGNPAVQIDGQESASLVELWQEEDQETAEADWQEAGVEYNESMDGNEEASDRHHEDGGLRGTAQDWMEESYNQEPVTIRRTDTFYFPDDDNVHSMELRELLSRRSVSTLLHSGFRESLDQLIQSYVERQNHAPVDWELEGTSPSPASLEQDLEQQSGDQNDGHGDAETPPLTLPSPRMPPMQPLWDQDSHHYNWASHDVHQRFGIEWEVINDLRIDMARMQQRMNNMQRMLEACMDMQLEIQRSIRQEVSAALNRSAGSQAAGVIDDDNLPKDASNWDNVRKGICCICCEGNIDSLLYRCGHMCTCSKCANELVHVGGKCPMCRAPVVEVIRAYSIL >KJB55700 pep chromosome:Graimondii2_0_v6:9:6516331:6523861:-1 gene:B456_009G089300 transcript:KJB55700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAELSLSVGAFVNYVAGRLCLICLKRLREKGKLSSRGCWSIGLYQILPIATVFREGFFSRLDNSGSGPASSNHSDTSSNADTNANRNKQNLVNNSEHENEQTDNQMFLHGITDLGDDVEDVRWQETSARVEEWRERICGSVAREWQWSFSDESNESRDAIGEILDGDWQENLANESSLEALPNEAGEHSNLQEADEASYEHSPPNDIENLEGNPAVQIDGQESASLVELWQEEDQETAEADWQEAGVEYNESMDGNEEASDRHHEDGGLRGTAQDWMEESYNQEPVTIRRTDTFYFPDDDNVHSMELRELLSRRSVSTLLHSGFRESLDQLIQSYVERQNHAPVDWELEGTSPSPASLEQDLEQQSGDQNDGHGDAETPPLTLPSPRMPPMQPLWDQDSHHYNWASHDVHQRFGIEWEVINDLRIDMARMQQRMNNMQRMLEACMDMQLEIQRSIRQEVSAALNRSAGSQGVIDDDNLPKDASNWDNVRKGICCICCEGNIDSLLYRCGHMCTCSKCANELVHVGGKCPMCRAPVVEVIRAYSIL >KJB55697 pep chromosome:Graimondii2_0_v6:9:6517118:6522870:-1 gene:B456_009G089300 transcript:KJB55697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGLHNVSLLENSFLRESQSQTSRRRENGSTRASSLLQMWRELEDEHVASHAQERASERMLHTRSDDLSVTDLSDSRNCEHSGVSDDASVSENDFGQWLPDRFGLQSRSGDSSNLSCEHSSDLGEVERERVRQIFREWMNSGGRDRTSNVSCRNNSSRAQWLGETEQERVRIIREWMQMNSQQRGACVDSREEQAADVGGQIDRVLDGLVINQNEGRTELVRRGIRKLCGRQALLDMLKKAERERQTELQGLLEHRAVSNFAHRNRIQSLLRGRFLRNGRMGEGNRSTSVAASELGLLRQKQTVSGLREGFFSRLDNSGSGPASSNHSDTSSNADTNANRNKQNLVNNSEHENEQTDNQMFLHGITDLGDDVEDVRWQETSARVEEWRERICGSVAREWQWSFSDESNESRDAIGEILDGDWQENLANESSLEALPNEAGEHSNLQEADEASYEHSPPNDIENLEGNPAVQIDGQESASLVELWQEEDQETAEADWQEAGVEYNESMDGNEEASDRHHEDGGLRGTAQDWMEESYNQEPVTIRRTDTFYFPDDDNVHSMELRELLSRRSVSTLLHSGFRESLDQLIQSYVERQNHAPVDWELEGTSPSPASLEQDLEQQSGDQNDGHGDAETPPLTLPSPRMPPMQPLWDQDSHHYNWASHDVHQRFGIEWEVINDLRIDMARMQQRMNNMQRMLEACMDMQLEIQRSIRQEVSAALNRSAGSQGVIDDDNLPKDASNWDNVRKGICCICCEGNIDSLLYR >KJB57581 pep chromosome:Graimondii2_0_v6:9:13237858:13240725:1 gene:B456_009G171100 transcript:KJB57581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKKAAQCGNVEDAIEKINDLNPEILDTNPQLFFQLQQQRLIELIRNGKVEEALEFAQEDLAPRAEENQSFLEELERTISLLAFEDTSNCPVGELLDISQRLKTASEVNAAVLTSQSHEKDPKLPSLLKMLIWAQNKLDKKAAYPCINDLSNARIEDPTF >KJB57578 pep chromosome:Graimondii2_0_v6:9:13237858:13240725:1 gene:B456_009G171100 transcript:KJB57578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKKLISREEWEKRLNNVKIRKEDMNKLVMNFLVTEGYVEAAKKFRMESGTHHIDLATITDRMAVKKAAQCGNVEDAIEKINDLNPEILDTNPQLFFQLQQQRLIELIRNGKVEEALEFAQEDLAPRAEENQSFLEELERTISLLAFEDTSNCPVGELLDISQRLKTASEVNAAVLTSQSHEKDPKLPSLLKMLIWAQNKLDKKAAYPCINDLSNARIEDPTF >KJB57579 pep chromosome:Graimondii2_0_v6:9:13237967:13239283:1 gene:B456_009G171100 transcript:KJB57579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKKLISREEWEKRLNNVKIRKEDMNKLVMNFLVTEGYVEAAKKFRMESGTHPDIDLATITDRMAVKKAAQCGNVEDAIEKINDLNPEILDTNPQLFFQLQQQRLIELIRNGKVEEALEFAQEDLAPRAEENVTLLH >KJB57577 pep chromosome:Graimondii2_0_v6:9:13237559:13240725:1 gene:B456_009G171100 transcript:KJB57577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKKLISREEWEKRLNNVKIRKEDMNKLVMNFLVTEGYVEAAKKFRMESGTHPDIDLATITDRMAVKKAAQCGNVEDAIEKINDLNPEILDTNPQLFFQLQQQRLIELIRNGKVEEALEFAQEDLAPRAEENQSFLEELERTISLLAFEDTSNCPVGELLDISQRLKTASEVNAAVLTSQSHEKDPKLPSLLKMLIWAQNKLDKKAAYPCINDLSNARIEDPTF >KJB57580 pep chromosome:Graimondii2_0_v6:9:13237858:13240725:1 gene:B456_009G171100 transcript:KJB57580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKKLISREEWEKRLNNVKIRKEDMNKLVMNFLVTEGYVEAAKKFRMESGTHPDIDLATITDRMAVKKAAQCGNVEDAIEKINDLNPEILDTNPQLFFQLQQQRLIELIRNGKVEEALEFAQEDLAPRAEENQSFLEELERTISLLAFEDTSNCPVGELLDISQRLKTASETQSFQAC >KJB57919 pep chromosome:Graimondii2_0_v6:9:14290709:14292923:1 gene:B456_009G185800 transcript:KJB57919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQSSVIFSSKPAWVKAVHRTSSTDSNSMAATVPAPKWAQKTVTLPPLRRGCHLVTSQILKEIRPDLSEFKCGLAHLFLQHTSASLTINENYDSDVRDDTETFLNKIVPEGRSAPWKHTLEGPDDMPAHIKSSMFGCALTIPITDGRLNMGTWQGIWLCEHRDAPTARRVVVTLNGI >KJB57920 pep chromosome:Graimondii2_0_v6:9:14290709:14292820:1 gene:B456_009G185800 transcript:KJB57920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQSSVIFSSKPAWVKAVHRTSSTDSNSMAATVPAPKWAQKTVTLPPLRRGCHLVTSQILKEIRPDLSEFKCGLAHLFLQHTSASLTINENYDSDVRDDTETFLNKIVPEGRSAPWKHTLEGPDDMPAHIKSSMFGCALTIPITDGRLNMGTWQGIWLCEHRDAPTARRVVVTLNGI >KJB58213 pep chromosome:Graimondii2_0_v6:9:15464247:15466315:1 gene:B456_009G199600 transcript:KJB58213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPENAHHQHHLQDQLLGSSSSLPISPCYGVSSTHSWTPTTALNSSEFNPSCNGDILHSRLKNDMLVSPQNSSMIQGWTNNEGSFTTHSCHGLHLPKIKDELSESITKFTDILSDSTSSVIDSHHLPPPNYLKNNEQRDLSDLSQKLLLKTISSGFPMFSTGPEFYSTTQNCSIPRNSFLPSRGSFSQIYPSINISSLNQASSSPNIPSSFDMNNMEALDLLNPARFSRSSRFSYPSEDHDNLDIYKEISPSFGLHYHHNLQQSSQRPVAYTPSKTSPFPTEITEAKRPSILPETKPAAPTTTTAKKSRLESRASCPPFKVP >KJB58214 pep chromosome:Graimondii2_0_v6:9:15464247:15467459:1 gene:B456_009G199600 transcript:KJB58214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPENAHHQHHLQDQLLGSSSSLPISPCYGVSSTHSWTPTTALNSSEFNPSCNGDILHSRLKNDMLVSPQNSSMIQGWTNNEGSFTTHSCHGLHLPKIKDELSESITKFTDILSDSTSSVIDSHHLPPPNYLKNNEQRDLSDLSQKLLLKTISSGFPMFSTGPEFYSTTQNCSIPRNSFLPSRGSFSQIYPSINISSLNQASSSPNIPSSFDMNNMEALDLLNPARFSRSSRFSYPSEDHDNLDIYKEISPSFGLHYHHNLQQSSQRPVAYTPSKTSPFPTEITEAKRPSILPETKPAAPTTTTAKKSRLESRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVEGSTMEDENEEDEARPDLRSRGLCLVPLSCMSYMTSESGGGGIWPPPPNFTG >KJB58212 pep chromosome:Graimondii2_0_v6:9:15463553:15467745:1 gene:B456_009G199600 transcript:KJB58212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPENAHHQHHLQDQLLGSSSSLPISPCYGVSSTHSWTPTTALNSSEFNPSCNGDILHSRLKNDMLVSPQNSSMIQGWTNNEGSFTTHSCHGLHLPKIKDELSESITKFTDILSDSTSSVIDSHHLPPPNYLKNNEQRDLSDLSQKLLLKTISSGFPMFSTGPEFYSTTQNCSIPRNSFLPSRGSFSQIYPSINISSLNQASSSPNIPSSFDMNNMEALDLLNPARFSRSSRFSYPSEDHDNLDIYKEISPSFGLHYHHNLQQSSQRPVAYTPSKTSPFPTEITEAKRPSILPETKPAAPTTTTAKKSRLESRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKLSRNKTSKSKQGGSTMEDENEEDEARPDLRSRGLCLVPLSCMSYMTSESGGGGIWPPPPNFTG >KJB53679 pep chromosome:Graimondii2_0_v6:9:153984:156988:1 gene:B456_009G001100 transcript:KJB53679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNLPLFFLLFFLPFVFPSYALPESDILLKFKSTLNNASALKSWDSNPQPPCNGPTANWIGVLCNHGAIWGLKLEAMGLSGSIDVNTLKDLPNLTTLSFMNNSFNGPIPELNKLKELRSAYLSFNKFSGQIPANAFDGLILIKKLHLSQNQLTGAIPASLATLPKLTELKLDGNQFSGKIPDFRLPIQTLDLSNNQLEGPIPASLSKMDAQVFAGNKGLCGGPLKACESPGGSNSTSNDGSGSGSGSGSDSGSGSGSGSGYDSGSGSGSSSKKPPIWMIAILVVVGVLIVVAIFAVMMMKRQRKQEATPSSVEAPPSNVRAKGYKGEKHGSPGNSRNGKRAPEATVKLTFVRDDRERFDLPDLLKASAEVLGSGSFGSSFKAALSIGPVVVVKRYKQMNNAGKEEFLEHMRRIGRLTHENLLPLVAYYYRKEEKLLVSDFVKNGSLAVHLHGRKSTGHPTLDWPTRLKIVKGVAKGLAYLHKELPSLIVPHGHLKSSNVLLNETCEPLLTDYGLIPIINQESAKKLMVAYKSPEYAQQGKITRKSDVWALGILILELLTGMFPGNFKGKESDHHQEEDLANWVKSVVGDQERPSKDMEVFDKEMGTINDSDGELMQDLLKIGLSCCEEDVEKRLDLKDAVGRIEELKRKDDDGASKAGQ >KJB60492 pep chromosome:Graimondii2_0_v6:9:28378719:28385605:1 gene:B456_009G308500 transcript:KJB60492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTESSYVSSPEAARKRSPPPPKSPTSENAEKPTYVRFLVSNAAAGSVIGKGGSTITEFQSKSGARIQLSRSHEFFPGTSDRIIMVSGAIDDILKAVELILAKLLDELNIKDNDDAEPRTKVRLIVSNSSCGSIIGKGGATIKSFIEGSQAGIKISPQDNNFYGLNDRLVTVTGTLDEQLRAIDLILSKLCEDSHYSQAMHAPFSYAATYNSMSYAPNGAGVKFPNHKEDHSNSITIGVSDEHIGLVLGRGGRNIMEISQVSGARIKISDRGDFMSGTTDRKVTITGSQRAIHQAESMILQKVANASERVMD >KJB60493 pep chromosome:Graimondii2_0_v6:9:28378811:28385605:1 gene:B456_009G308500 transcript:KJB60493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTESSYVSSPEAARKRSPPPPKSPTSENAEKPTYVRFLVSNAAAGSVIGKGGSTITEFQSKSGARIQLSRSHEFFPGTSDRIIMVSGAIDDILKAVELILAKLLDELNIKDNDDAEPRTKVRLIVSNSSCGSIIGKGGATIKSFIEGSQAGIKISPQDNNFYGLNDRLVTVTGTLDEQLRAIDLILSKLCEDSHYSQAMHAPFSYAGIFFSGFHGIPYAYMLPSVATATYNSMSYAPNGAGVKFPNHKEDHSNSITIGVSDEHIGLVLGRGGRNIMEISQVSGARIKISDRGDFMSGTTDRKVTITGSQRAIHQAESMILQKVANASERVMD >KJB60494 pep chromosome:Graimondii2_0_v6:9:28378880:28385605:1 gene:B456_009G308500 transcript:KJB60494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTESSYVSSPEAARKRSPPPPKSPTSENAEKPTYVRFLVSNAAAGSVIGKGGSTITEFQSKSGARIQLSRSHEFFPGTSDRIIMVSGAIDDILKAVELILAKLLDELNIKDNDDAEPRTKVRLIVSNSSCGSIIGKGGATIKSFIEGSQAGIKISPQDNNFYGLNDRLVTVTGTLDEQLRAIDLILSKLCEDSHYSQAMHAPFSYAATYNSMSYAPNGAGVKFPNHKEDHSNSITIGVSDEHIGLVLGRGGRNIMEISQVSGARIKISDRGDFMSGTTDRKVTITGSQRAIHQAESMILQKVANASERVMD >KJB60943 pep chromosome:Graimondii2_0_v6:9:34590229:34593457:-1 gene:B456_009G332600 transcript:KJB60943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSHKLVIKRSSMSRPPPPPPTTNKDQQHQNPSLQEINIKLIQSGEKERLKELLRERLLECGWIDEMKALCRAFTRKKGRNNVTVDDLVHVISPKGRASVPNSMKAELLQRIRAFLASTAD >KJB60944 pep chromosome:Graimondii2_0_v6:9:34590890:34593246:-1 gene:B456_009G332600 transcript:KJB60944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSHKLVIKRSSMSRPPPPPPTTNKDQQHQNPSLQEINIKLIQSGEKERLKELLRERLLECGWIDEMKALCRAFTRKKGRNNVTVDDLVHVISPKGRGMSIQLCPAKKVLPNT >KJB60946 pep chromosome:Graimondii2_0_v6:9:34590342:34593426:-1 gene:B456_009G332600 transcript:KJB60946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIQSGEKERLKELLRERLLECGWIDEMKALCRAFTRKKGRNNVTVDDLVHVISPKGRASVPNSMKAELLQRIRAFLASTAD >KJB60948 pep chromosome:Graimondii2_0_v6:9:34591150:34593426:-1 gene:B456_009G332600 transcript:KJB60948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSHKLVIKRSSMSRPPPPPPTTNKDQQHQNPSLQEINIKLIQSGEKERLKELLRERLLECGWIDEMKALCRAFTRKKGRNNVTVDDLVHVISPKGRGEYNYNLHFLYPIPLFDTHT >KJB60945 pep chromosome:Graimondii2_0_v6:9:34590267:34593426:-1 gene:B456_009G332600 transcript:KJB60945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSHKLVIKRSSMSRPPPPPPTTNKDQQHQNPSLQEINIKLIQSGEKERLKELLRERLLECGWIDEMKALCRKKGRNNVTVDDLVHVISPKGRASVPNSMKAELLQRIRAFLASTAD >KJB60942 pep chromosome:Graimondii2_0_v6:9:34590229:34593426:-1 gene:B456_009G332600 transcript:KJB60942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSHKLVIKSSMSRPPPPPPTTNKDQQHQNPSLQEINIKLIQSGEKERLKELLRERLLECGWIDEMKALCRAFTRKKGRNNVTVDDLVHVISPKGRASVPNSMKAELLQRIRAFLASTAD >KJB60947 pep chromosome:Graimondii2_0_v6:9:34590786:34593426:-1 gene:B456_009G332600 transcript:KJB60947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSHKLVIKSSMSRPPPPPPTTNKDQQHQNPSLQEINIKLIQSGEKERLKELLRERLLECGWIDEMKALCRAFTRKKGRNNVTVDDLVHVISPKGRGMSIQLCPAKKVLPNT >KJB56860 pep chromosome:Graimondii2_0_v6:9:10485382:10487239:-1 gene:B456_009G139000 transcript:KJB56860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTEKLLNQIMELKFTSKSLQRQARKCEKDEKSEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLASRLDAVVARLDTQAKMTTINKSMANIVKSLESSLNTGNLQKMSETMDQFEKQFVNMEVQAEFMESAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPGAHAVSTKTEEKVDEDDLSRRLAELKARDDRVIFFSHWACEHTELLKSDV >KJB56859 pep chromosome:Graimondii2_0_v6:9:10486165:10487018:-1 gene:B456_009G139000 transcript:KJB56859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTEKLLNQIMELKFTSKSLQRQARKCEKDEKSEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLASRLDAVVARLDTQAKMTTINKSMANIVKSLESSLNTGNLQKMSETMDQFEKQFVNMEVQAEFMESAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPGAHAVSTKTEEKVDEDDLSRRLAELKARG >KJB62425 pep chromosome:Graimondii2_0_v6:9:64104288:64107641:-1 gene:B456_009G416200 transcript:KJB62425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHHHYHHHHHHHHHHHHHHHHHRFGQLRSTSQILKKTAVHFTAHPFTFIFLSFLLLSFRSLVESGSFLLNSFIDRDPSFKSLLSRLDLHPSHPHARFQSTRRHTRRPFLHLTRVGALDNDLFSSDDDLRHRSPFASFSNRPLNGTPLILSNFDTKLGFSHFVADNGILLPEIVRYGVKFKTISFDYENNERDQQEEKIVDFQFVYKGFELGRRDAAVLFFIVSFLSAAYGWVILGFTAIYSLIFGVLFVTIVNDLIGRFVSFFGAFWDGSKMGLKRLTGFVLIKWAVRDAVTQLLGLWYFGEIEDHYSFFKLFVRLKLMPFSVMSPWIRGYEKEISGFLFTWFLVDTLVSFAFSLAAWVAVVDSRRTGREIIKEGCYLMSTLLNQGIQIQCYEAILGGSLARLILTRIGGEFFATVIQAALELYFMVAWLIFYFVVKSREANTEGRRYGRRELEALIDGLG >KJB62902 pep chromosome:Graimondii2_0_v6:9:69412883:69413113:-1 gene:B456_009G4429002 transcript:KJB62902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGDWKNYLTTEMAQRLDQRTLQKLSGSGLSL >KJB60241 pep chromosome:Graimondii2_0_v6:9:25837355:25842963:1 gene:B456_009G296100 transcript:KJB60241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRILLLVLFMNAAFLIVNAVPETCPADLGGKCGEDGEWEGEFFPGVPKIKYEGPSSKNPLSYKWYNAEEVILGKKMKDWLRFSVAFWHTFRGTGADPFGAPTKAWPWEDGTNSIAMAKRRMRANFEFLNKLGVDRWCFHDRDIAPDGKTLKETNSNLDEVVALAKELQGDKIRPLWGTAQLFMHPRYMHGAATSSELGVYAYAAAQVKKAMEVTHYLGGENYVFWGGREGYQTLLNTDMERELDHMAKFLEAAAAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATTLNFLHKYGLLGEFKLNIECNHATLSGHSCHHDLETARINGMLGNIDANTGDPQVGWDTDQFLTDVGEATMVMLSVIRNGGLAPGGFNFDAKLRRESKDVEDLFIAHISGMDTLARGLRNAAKLIEDGSLAELVRKRYSSFDTELGAQIEAGKADFEMLEKKAMEWGEPKVASAKQR >KJB60240 pep chromosome:Graimondii2_0_v6:9:25837355:25842963:1 gene:B456_009G296100 transcript:KJB60240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRILLLVLFMNAAFLINAVPETCPADLGGKCGEDGEWEGEFFPGVPKIKYEGPSSKNPLSYKWYNAEEVILGKKMKDWLRFSVAFWHTFRGTGADPFGAPTKAWPWEDGTNSIAMAKRRMRANFEFLNKLGVDRWCFHDRDIAPDGKTLKETNSNLDEVVALAKELQGDKIRPLWGTAQLFMHPRYMHGAATSSELGVYAYAAAQVKKAMEVTHYLGGENYVFWGGREGYQTLLNTDMERELDHMAKFLEAAAAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATTLNFLHKYGLLGEFKLNIECNHATLSGHSCHHDLETARINGMLGNIDANTGDPQVGWDTDQFLTDVGEATMVMLSVIRNGGLAPGGFNFDAKLRRESKDVEDLFIAHISGMDTLARGLRNAAKLIEDGSLAELVRKRYSSFDTELGAQIEAGKADFEMLEKKAMEWGEPKVASAKQELAEMIFQSAL >KJB60242 pep chromosome:Graimondii2_0_v6:9:25837242:25842991:1 gene:B456_009G296100 transcript:KJB60242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRILLLVLFMNAAFLIVNAVPETCPADLGGKCGEDGEWEGEFFPGVPKIKYEGPSSKNPLSYKWYNAEEVILGKKMKDWLRFSVAFWHTFRGTGADPFGAPTKAWPWEDGTNSIAMAKRRMRANFEFLNKLGVDRWCFHDRDIAPDGKTLKETNSNLDEVVALAKELQGDKIRPLWGTAQLFMHPRYMHGAATSSELGVYAYAAAQVKKAMEVTHYLGGENYVFWGGREGYQTLLNTDMERELDHMAKFLEAAAAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATTLNFLHKYGLLGEFKLNIECNHATLSGHSCHHDLETARINGMLGNIDANTGDPQVGWDTDQFLTDVGEATMVMLSVIRNGGLAPGGFNFDAKLRRESKDVEDLFIAHISGMDTLARGLRNAAKLIEDGSLAELVRKRYSSFDTELGAQIEAGKADFEMLEKKAMEWGEPKVASAKQELAEMIFQSAL >KJB60239 pep chromosome:Graimondii2_0_v6:9:25837355:25842963:1 gene:B456_009G296100 transcript:KJB60239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRILLLVLFMNAAFLIVNAVPETCPADLGGKCGEDGEWEGEFFPGVPKIKYEGPSSKNPLSYKWYNAEEVILGKKMKDWLRFSVAFWHTFRGTGADPFGAPTKAWPWEDGTNSIAMAKRRMRANFEFLNKLGVDRWCFHDRDIAPDGKTLKETNSNLDEVVALAKELQGDKIRPLWGTAQLFMHPRYMHGAATSSELGVYAYAAAQVKKAMEVTHYLGGENYVFWGGREGYQTLLNTDMERELDHMAKFLEAAAAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATTLNFLHKYGLLEFKLNIECNHATLSGHSCHHDLETARINGMLGNIDANTGDPQVGWDTDQFLTDVGEATMVMLSVIRNGGLAPGGFNFDAKLRRESKDVEDLFIAHISGMDTLARGLRNAAKLIEDGSLAELVRKRYSSFDTELGAQIEAGKADFEMLEKKAMEWGEPKVASAKQELAEMIFQSAL >KJB60238 pep chromosome:Graimondii2_0_v6:9:25837355:25850093:1 gene:B456_009G296100 transcript:KJB60238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRILLLVLFMNAAFLIVNAVPETCPADLGGKCGEDGEWEGEFFPGVPKIKYEGPSSKNPLSYKWYNAEEVILGKKMKDWLRFSVAFWHTFRGTGADPFGAPTKAWPWEDGTNSIAMAKRRMRANFEFLNKLGVDRWCFHDRDIAPDGKTLKETNSNLDEVVALAKELQGDKIRPLWGTAQLFMHPRYMHGAATSSELGVYAYAAAQVKKAMEVTHYLGGENYVFWGGREGYQTLLNTDMERELDHMAKFLEAAAAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATTLNFLHKYGLLGEFKLNIECNHATLSGHSCHHDLETARINGMLGNIDANTGDPQVGWDTDQFLTDVGEATMVMLSVIRNGGLAPGGFNFDAKLRRESKDVEDLFIAHISGMDTLARGLRNAAKLIEDGSLAELVRKRYSSFDTELGAQIEAGKADFEMLEKKAMEWGEPKVASAKQELAEMIFQSAL >KJB62448 pep chromosome:Graimondii2_0_v6:9:64760707:64764853:1 gene:B456_009G417300 transcript:KJB62448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVVAMSLVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPNARQIIVSAPTAKELVKKLEEYVPCHERVASKLSWEMEQLGYKTYDISR >KJB62446 pep chromosome:Graimondii2_0_v6:9:64760571:64765111:1 gene:B456_009G417300 transcript:KJB62446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESETQQSKFRRICVFCGSSQGKKGSYQDAAIELGRELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPNARQIIVSAPTAKELVKKLEEYVPCHERVASKLSWEMEQLGYKTYDISR >KJB62449 pep chromosome:Graimondii2_0_v6:9:64760932:64764853:1 gene:B456_009G417300 transcript:KJB62449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLRRVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPNARQIIVSAPTAKELVKKLEEYVPCHERVASKLSWEMEQLGYKTYDISR >KJB62447 pep chromosome:Graimondii2_0_v6:9:64760678:64764853:1 gene:B456_009G417300 transcript:KJB62447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPNARQIIVSAPTAKELVKKLEEYVPCHERVASKLSWEMEQLGYKTYDISR >KJB62450 pep chromosome:Graimondii2_0_v6:9:64761551:64764853:1 gene:B456_009G417300 transcript:KJB62450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLRRVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPNARQIIVSAPTAKELVKKLEEYVPCHERVASKLSWEMEQLGYKTYDISR >KJB61632 pep chromosome:Graimondii2_0_v6:9:50116313:50116465:-1 gene:B456_009G3714002 transcript:KJB61632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKPTDNVFVEEETIRHWMESSLPKGAIEIADVVVELKKIKQRLVNNIQHC >KJB60114 pep chromosome:Graimondii2_0_v6:9:25008838:25010000:1 gene:B456_009G290400 transcript:KJB60114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSTQFGHHHQTSAKECGPIIPATKSPWLSSSLRSTYFPDDSPLSPATPFRFSGVPFSWEQLPGIPKKLHNHNNRKESMKLLPLPPPTIPRTSSKTYSFEDMLSRKKASAGASESFRRVDPFFAALVECSKEDHDGDEETARNLWTGGKVTRSMSDRLGFINLYTSCKRSCAVSESIVYLPRSGRSADYGLISNRRPC >KJB58991 pep chromosome:Graimondii2_0_v6:9:18486055:18486354:-1 gene:B456_009G234300 transcript:KJB58991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WNPITFTRKSILLAVFSSDTIIYEGFFRKASITYFSDQPHFLYTSSFLSHGDLGMKFKTVTANTNDMLGGLKPISFTFSTVFSKSVGLFKNVLDVETVL >KJB54537 pep chromosome:Graimondii2_0_v6:9:2793134:2797752:-1 gene:B456_009G038000 transcript:KJB54537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sus1 MANPVITRVHSLRERLDETLLAHRNEILALLSRIEGKGKGILQHHQIILEFEAIPEENRKKLADGAFFEVLKASQEAIVLPPWVALAVRPRPGVWEYIRVNVHALVVEELTVAEYLHFKEELVDGSSNGNFVLELDFEPFNSSFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLRVHCHKGKNMMLNDRIQNLNALQHVLRKAEEYLGTLPPETPCAEFEHRFQEIGLERGWGDTAQRVLEMIQLLLDLLEAPDPCTLEKFLGRIPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLNITPRILIITRLLPDAVGTTCGQRLEKVYGTEYSDILRVPFRTEKGIVRKWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLEDKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMEIYFPYTEEKRRLKHFHTEIEDLLYSKVENEEHLCVLNDRNKPILFTMARLDRVKNLTGLVEWYGKNAKLRELANLVVVGGDRRKESKDLEEKAEMKKMFELIEKYNLNGQFRWISSQMNRIRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAADILVDFFEKCKKDPSHWDKISQGGLKRIEEKYTWKIYSERLLTLTGVYGFWKHVSNLERRESRRYLEMFYALKYRKLAESVPLAEE >KJB54539 pep chromosome:Graimondii2_0_v6:9:2793333:2797932:-1 gene:B456_009G038000 transcript:KJB54539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sus1 MANPVITRVHSLRERLDETLLAHRNEILALLSRIEGKGKGILQHHQIILEFEAIPEENRKKLADGAFFEVLKASQEAIVLPPWVALAVRPRPGVWEYIRVNVHALVVEELTVAEYLHFKEELVDGSSNGNFVLELDFEPFNSSFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLRVHCHKGKNMMLNDRIQNLNALQHVLRKAEEYLGTLPPETPCAEFEHRFQEIGLERGWGDTAQRVLEMIQLLLDLLEAPDPCTLEKFLGRIPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLNITPRILIITRLLPDAVGTTCGQRLEKVYGTEYSDILRVPFRTEKGIVRKWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLEDKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMEIYFPYTEEKRRLKHFHTEIEDLLYSKVENEEHLCVLNDRNKPILFTMARLDRVKNLTGLVEWYGKNAKLRELANLVVVGGDRRKESKDLEEKAEMKKMFELIEKYNLNGQFRWISSQMNRIRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAADILVDFFEKCKKDPSHWDKISQGGLKRIEEKYTWKIYSERLLTLTGVYGFWKHVSNLERRESRRYLEMFYALKYRKLVIHIVTSPFCINVLHLALITLLVICKMQAESVPLAEE >KJB54538 pep chromosome:Graimondii2_0_v6:9:2793333:2797925:-1 gene:B456_009G038000 transcript:KJB54538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sus1 MANPVITRVHSLRERLDETLLAHRNEILALLSRIEGKGKGILQHHQIILEFEAIPEENRKKLADGAFFEVLKASQEAIVLPPWVALAVRPRPGVWEYIRVNVHALVVEELTVAEYLHFKEELVDGSSNGNFVLELDFEPFNSSFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLRVHCHKGKNMMLNDRIQNLNALQHVLRKAEEYLGTLPPETPCAEFEHRFQEIGLERGWGDTAQRVLEMIQLLLDLLEAPDPCTLEKFLGRIPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLNITPRILIITRLLPDAVGTTCGQRLEKVYGTEYSDILRVPFRTEKGIVRKWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLEDKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMEIYFPYTEEKRRLKHFHTEIEDLLYSKVENEEHLCVLNDRNKPILFTMARLDRVKNLTGLVEWYGKNAKLRELANLVVVGGDRRKESKDLEEKAEMKKMFELIEKYNLNGQFRWISSQMNRIRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAADILVDFFEKCKKDPSHWDKISQGGLKRIEEKYTWKIYSERLLTLTGVYGFWKHVSNLERRESRRYLEMFYALKYRKLAESVPLAEE >KJB54542 pep chromosome:Graimondii2_0_v6:9:2794061:2797932:-1 gene:B456_009G038000 transcript:KJB54542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sus1 MANPVITRVHSLRERLDETLLAHRNEILALLSRIEGKGKGILQHHQIILEFEAIPEENRKKLADGAFFEVLKASQEAIVLPPWVALAVRPRPGVWEYIRVNVHALVVEELTVAEYLHFKEELVDGSSNGNFVLELDFEPFNSSFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLRVHCHKGKNMMLNDRIQNLNALQHVLRKAEEYLGTLPPETPCAEFEHRFQEIGLERGWGDTAQRVLEMIQLLLDLLEAPDPCTLEKFLGRIPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLNITPRILIITRLLPDAVGTTCGQRLEKVYGTEYSDILRVPFRTEKGIVRKWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLEDKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMEIYFPYTEEKRRLKHFHTEIEDLLYSKVENEEHLCVLNDRNKPILFTMARLDRVKNLTGLVEWYGKNAKLRELANLVVVGGDRRKESKDLEEKAEMKKMFELIEKYNLNGQFRWISSQMNRIRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAADILVDFFEKCKKDPSHWDKISQGGLKRIEEK >KJB54541 pep chromosome:Graimondii2_0_v6:9:2793134:2797932:-1 gene:B456_009G038000 transcript:KJB54541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sus1 MANPVITRVHSLRERLDETLLAHRNEILALLSRIEGKGKGILQHHQIILEFEAIPEENRKKLADGAFFEVLKASQEAIVLPPWVALAVRPRPGVWEYIRVNVHALVVEELTVAEYLHFKEELVDGSSNGNFVLELDFEPFNSSFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLRVHCHKGKNMMLNDRIQNLNALQHVLRKAEEYLGTLPPETPCAEFEHRFQEIGLERGWGDTAQRVLEMIQLLLDLLEAPDPCTLEKFLGRIPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLNITPRILIITRLLPDAVGTTCGQRLEKVYGTEYSDILRVPFRTEKGIVRKWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLEDKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMEIYFPYTEEKRRLKHFHTEIEDLLYSKVENEEHLCVLNDRNKPILFTMARLDRVKNLTGLVEWYGKNAKLRELANLVVVGGDRRKESKDLEEKAEMKKMFELIEKYNLNGQFRWISSQMNRIRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAADILVDFFEKCKKDPSHWDKISQGGLKRIEEKYTWKIYSERLLTLTGVYGFWKHVSNLERRESRRYLEMFYALKYRKLNQFHWQRSKLKLLNNIGPVFLGE >KJB54536 pep chromosome:Graimondii2_0_v6:9:2793333:2797932:-1 gene:B456_009G038000 transcript:KJB54536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sus1 MANPVITRVHSLRERLDETLLAHRNEILALLSRIEGKGKGILQHHQIILEFEAIPEENRKKLADGAFFEVLKASQEAIVLPPWVALAVRPRPGVWEYIRVNVHALVVEELTVAEYLHFKEELVDGSSNGNFVLELDFEPFNSSFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLRVHCHKGKNMMLNDRIQNLNALQHVLRKAEEYLGTLPPETPCAEFEHRFQEIGLERGWGDTAQRVLEMIQLLLDLLEAPDPCTLEKFLGRIPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLNITPRILIITRLLPDAVGTTCGQRLEKVYGTEYSDILRVPFRTEKGIVRKWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLEDKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMEIYFPYTEEKRRLKHFHTEIEDLLYSKVENEEHLCVLNDRNKPILFTMARLDRVKNLTGLVEWYGKNAKLRELANLVVVGGDRRKESKDLEEKAEMKKMFELIEKYNLNGQFRWISSQMNRIRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAADILVDFFEKCKKDPSHWDKISQGGLKRIEEKYTWKIYSERLLTLTGVYGFWKHVSNLERRESRRYLEMFYALKYRKLAESVPLAEE >KJB54540 pep chromosome:Graimondii2_0_v6:9:2794748:2797233:-1 gene:B456_009G038000 transcript:KJB54540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Sus1 MANPVITRVHSLRERLDETLLAHRNEILALLSRIEGKGKGILQHHQIILEFEAIPEENRKKLADGAFFEVLKASQEAIVLPPWVALAVRPRPGVWEYIRVNVHALVVEELTVAEYLHFKEELVDGSSNGNFVLELDFEPFNSSFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLRVHCHKGKNMMLNDRIQNLNALQHVLRKAEEYLGTLPPETPCAEFEHRFQEIGLERGWGDTAQRVLEMIQLLLDLLEAPDPCTLEKFLGRIPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLNITPRILIITRLLPDAVGTTCGQRLEKVYGTEYSDILRVPFRTEKGIVRKWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLEDKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMEIYFPYTEEKRRLKHFHTEIEDLLYSKVENEEHL >KJB62221 pep chromosome:Graimondii2_0_v6:9:59923602:59924454:1 gene:B456_009G406800 transcript:KJB62221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHISVLSSKDHENPCSAPEPGSSSGNVEVQSQKRKAGRKKFQETRHPIYKGVRRRNEKWVSEVREPNKKSRIWLGTFSSPVMAAKAYDAAALTLKGVSASLNFPDSAYALPRAKSSSISDIQSAAMQAASEGFGDHAKASSPLPFLSSYPPPLSCSESSKILFVDEEEVFNMPGILDSMAEGLILTPPAMQKGYYYWEDDLDDFVELNLWGD >KJB54025 pep chromosome:Graimondii2_0_v6:9:1359762:1362318:-1 gene:B456_009G017200 transcript:KJB54025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRMITTWSSGCFGCCTKPTPIIAVDEPTKGLRIRGRRVRKPSISDDFWSSSTYELENSALQSQRSLSSISASNPTLSQCSSSSGIVNPSDFVNQGLIVWNQARLQWIGSNRPRNHTRQSRQPRLSSNASYESLLGTRNPFPRPILLSEMVDFLVEVWEEEGLYA >KJB54027 pep chromosome:Graimondii2_0_v6:9:1360376:1362619:-1 gene:B456_009G017200 transcript:KJB54027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRMITTWVTDLLDCMCGCFGCCTKPTPIIAVDEPTKGLRIRGRRVRKPSISDDFWSSSTYELENSALQSQRSLSSISASNPTLSQCSSSSGIVNPSDFVNQGKFCLLYNIYIFLSRQNI >KJB54024 pep chromosome:Graimondii2_0_v6:9:1359599:1362687:-1 gene:B456_009G017200 transcript:KJB54024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRMITTWVTDLLDCMCGCFGCCTKPTPIIAVDEPTKGLRIRGRRVRKPSISDDFWSSSTYELENSALQSQRSLSSISASNPTLSQCSSSSGIVNPSDFVNQGLIVWNQARLQWIGSNRPRNHTRQSRQPRLSSNASYESLLGTRNPFPRPILLSEMVDFLVEVWEEEGLYA >KJB54026 pep chromosome:Graimondii2_0_v6:9:1359762:1362619:-1 gene:B456_009G017200 transcript:KJB54026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRMITTWVTDLLDCMCGCFGCCTKPTPIIAVDEPTKGLRIRGRRVRKPSISDDFWSSSTYELENSALQSQRSLSSISASNPTLSQCSSSSGIVNPSDFVNQGLIVWNQARLQWIGSNRPRNHTRQSRQPRLSSNASYESLLGTRNPFPRPILLSVSLRFV >KJB60433 pep chromosome:Graimondii2_0_v6:9:27649131:27649853:-1 gene:B456_009G305200 transcript:KJB60433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLILLLAKIIVTVMLVGVTGMFLRLLDALVLTPKRIHSQLQIQATSQSIPPSNNTKFKGKQLWFNAYVFTEGNTDFAEEASGYGRKW >KJB59791 pep chromosome:Graimondii2_0_v6:9:22757189:22759093:-1 gene:B456_009G272400 transcript:KJB59791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMAGWDSPVSESDPTSVIRERNRSLTKEEIEAYWKSKKQTEAEHLKATFSPSYTCTHSSLETPLEEHGSKNLRSNSSQEDAETSLENIIKKNGWWTRSNWAFLNEPPVLDRPTNSYKPQFHVANLAASKLNPDSGISV >KJB59311 pep chromosome:Graimondii2_0_v6:9:20111117:20126421:1 gene:B456_009G248900 transcript:KJB59311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLCESTALVNEPLSVVASAEQHSCSESMENLVPEPRDCIISDSSGDSTGNRYDDTVVYLEENRGESNGDSADYSYENHCENVDCSGLKELLGARIDDHVACLNVSPGKIDVHNSENDQLCLENRLFSGKYVPTAINGSSGLSQDEYSACLSSGTEIDTEIYNRIQSVKDSNLTLESIAIAGCRSGCAQQNGQNDNNIVRGPLLDGKNCASSMIKSVTSSEISAICCAQTLSSLQGSGDSVSSCDWLNQKDDMSSRDLSLESFNKAVEAKSIGDTYSKLLASKCCVSSFETLHRAESLCTKQNAQIDNKNFIVLSGDSVAKVSEERTDIAAGAKVETSSEIMNAGDSFELSENSLCDKLVPLSCHPFDIVENGLSGRLDPPDCLTNGAYAALNSSSSIDFCGQRQNEGKVVVKADCVSEIKHHPTESSSSRRGGRKGKSSQKTNAKTRNCRNKLQQPPESIELHFRASRRKRSCSSRPGRSSIQGLFSNITQFLEPCDDPEFNEVQNQKPSNGRDGQGSRKSCKDQSGQSIKGSGGLSKSSTSCLRFRIKVGKGVGPSNLNSVVAEVVNLPVSVDTSFSIYGKGTGLQFPKLANVAEDKVGELGIERQFLNKEDQEKVKTCLDASFMDLKLTNNVSGSAEYLKKYAEDALGDYLVSKPNALAESSGRAIDNKYSGFGTSPDSVVINSIPDAQVGLIHQEELHDPVLNNSGFLASPGGVKSSMVSKKGKKDNHRSPRTVCLRKAKSSNNCRGRTKTRDNEFISNKAISSSAGANSSRGNGLGVSEEAMKMDINMDAKACCSHHVPETKKFKNLSSTKYTLNQLSKSSKSQGVRKERSKVSDSAGSRKGNACKQWGDELKSVSKIKVKEKGSNQEIVTRGGKHPLTGNHISDDFENSDAGNSSASAYMTNIDSVSDVIKQHRQPDNAWVCCDDCHKWRRIPVILLNSIDEACRWICGDNMDKTFADCSIPQEKSNADINAELGVSDAEEDGCDGLNYKEFDKGFNNNRVTVPPPSHFWRIDSNKFLHRGCKTQTIDEIMICHCKRPPDGNLGCGDECLNRMLNIECVQDTCPCGELCSNQQFQKRKYAKMMWDRFGRKGFGLRMLESISAGQFLIEYVGEVLDMQAYEARQKEYASRGQRHFYFMTLNGSEVIDAYVKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFALRDIKKGEEITFDYNYVRVFGAAAKKCHCGSSHCRGYIGGDSLSEGVIVYDDSDVESPEPMMLEDGETWNGYANVISRSSPFVGAEMQPVERVITDGVRKLEKMPEAEGSVYHSASASSKLDISAEIEDLQGNFQLPIEPEEVSPLTAPYEPVQQDDTIQQKAMKKTSRLIHILDTFLNMSDNKLPSVFIDANKESKFNTAEDKRVPPKSHPLMKASCLSSSHKKGKLSSNSLNGTKVRMISDKSQVPSFKLKKFSETSSSCRFEAVEEKLNELLDSEGGITKRKDASKGYLKLLLLTATSGDSCNGEAIQSTRELSMILDALLKTKSRLVLTDIIDKNGLQMLHNIMKKYRRDFNKIPVLRKLLKVLEYLARRKILTVERINGGPPCAGRESFLESILSFTEHYDKTVHEIARNFRDTWIPKPLRKHSYRDKVERRMEFCRYLDCNRVSASHNHSREQAIRSTEAITVVEKTTLDTSHEICSSSPTGVCQTNGTKIRKRKSRWDQPAETEKIDSRSPKKHEYSQLTILGKPTSNHMNKLSRWDKECHDILCKGEAVNVVNGKHRFQGDAPPGFSSPCSASLVSSTAALTATSFPQPKTCQLKCPEMTIAHPQTRLISRLPVSYGIPLPIVQRFGAPKDESVESWVIAPGMPFHPYPPLPPSPCPHGRKDTPPVCAANSIGNNEDAKDEQQDCCRPATSYPDNSIRSTAHCNEPNSEIPCANIQRTSKRTRESSNDLGKYFRQQKRKGPLWHKSESTGSKHNNIGGTSFLDVGNVKNDVRNS >KJB59310 pep chromosome:Graimondii2_0_v6:9:20111117:20126421:1 gene:B456_009G248900 transcript:KJB59310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLCESTALVNEPLSVVASAEQHSCSESMENLVPEPRDCIISDSSGDSTGNRYDDTVVYLEENRGESNGDSADYSYENHCENVDCSGLKELLGARIDDHVACLNVSPGKIDVHNSENDQLCLENRLFSGKYVPTAINGSSGLSQDEYSACLSSGTEIDTEIYNRIQSVKDSNLTLESIAIAGCRSGCAQQNGQNDNNIVRGPLLDGKNCASSMIKSVTSSEISAICCAQTLSSLQGSGDSVSSCDWLNQKDDMSSRDLSLESFNKAVEAKSIGDTYSKLLASKCCVSSFETLHRAESLCTKQNAQIDNKNFIVLSGDSVAKVSEERTDIAAGAKVETSSEIMNAGDSFELSENSLCDKLVPLSCHPFDIVENGLSGRLDPPDCLTNGAYAALNSSSSIDFCGQRQNEGKVVVKADCVSEIKHHPTESSSSRRGGRKGKSSQKTNAKTRNCRNKLQQPPESIELHFRASRRKRSCSSRPGRSSIQGLFSNITQFLEPCDDPEFNEVQNQKPSNGRDGQGSRKSCKDQSGQSIKGSGGLSKSSTSCLRFRIKVGKGVGPSNLNSVVAEVVNLPVSVDTSFSIYGKGTGLQFPKLANVAEDKVGELGIERQFLNKEDQEKVKTCLDASFMDLKLTNNVSGSAEYLKKYAEDALGDYLVSKPNALAESSGRAIDNKYSGFGTSPDSVVINSIPDAQVGLIHQEELHDPVLNNSGFLASPGGVKSSMVSKKGKKDNHRSPRTVCLRKAKSSNNCRGRTKTRDNEFISNKAISSSAGANSSRGNGLGVSEEAMKMDINMDAKACCSHHVPETKKFKNLSSTKYTLNQLSKSSKSQGVRKERSKVSDSAGSRKGNACKQWGDELKSVSKIKVKEKGSNQEIVTRGGKHPLTGNHISDDFENSDAGNSSASAYMTNIDSVSDVIKQHRQPDNAWVCCDDCHKWRRIPVILLNSIDEACRWICGDNMDKTFADCSIPQEKSNADINAELGVSDAEEDGCDGLNYKEFDKGFNNNRVTVPPPSHFWRIDSNKFLHRGCKTQTIDEIMICHCKRPPDGNLGCGDECLNRMLNIECVQDTCPCGELCSNQQFQKRKYAKMMWDRFGRKGFGLRMLESISAGQFLIEYVGEVLDMQAYEARQKEYASRGQRHFYFMTLNGSEVIDAYVKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFALRDIKKGEEITFDYNYVRVFGAAAKKCHCGSSHCRGYIGGDSLSEGVIVYDDSDVESPEPMMLEDGETWNGYANVISRSSPFVGAEMQPVERVITDGVRKLEKMPEAEGSVYHSASASSKLDISAEIEDLQGNFQLPIEPEEVSPLTAPYEPVQQDDTIQQKAMKKTSRLIHILDTFLNMSDNKLPSVFIDANKESKFNTAEDKRVPPKSHPLMKASCLSSSHKKGKLSSNSLNGTKVRMISDKSQVPSFKLKKFSETSSSCRFEAVEEKLNELLDSEGGITKRKDASKGYLKLLLLTATSGDSCNGEAIQSTRELSMILDALLKTKSRLVLTDIIDKNGLQMLHNIMKKYRRDFNKIPVLRKLLKVHEIARNFRDTWIPKPLRKHSYRDKVERRMEFCRYLDCNRVSASHNHSREQAIRSTEAITVVEKTTLDTSHEICSSSPTGVCQTNGTKIRKRKSRWDQPAETEKIDSRSPKKHEYSQLTILGKPTSNHMNKLSRWDKECHDILCKGEAVNVVNGKHRFQGDAPPGFSSPCSASLVSSTAALTATSFPQPKTCQLKCPEMTIAHPQTRLISRLPVSYGIPLPIVQRFGAPKDESVESWVIAPGMPFHPYPPLPPSPCPHGRKDTPPVCAANSIGNNEDAKDEQQDCCRPATSYPDNSIRSTAHCNEPNSEIPCANIQRTSKRTRESSNDLGKYFRQQKRKGPLWHKSESTGSKHNNIGGTSFLDVGNVKNDVRNS >KJB53736 pep chromosome:Graimondii2_0_v6:9:276873:281915:-1 gene:B456_009G002900 transcript:KJB53736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDMQMLPGSSHRPALKRPVWIIFFVSLVSLFLACSYIYPPHGDAACYVFSSKGCKAVTDRPLPSPARELTDEEFASKVVFRDILHIPRIQPKYSKIAFMFLTPSSLPFEKLWDRFFCGHEGKFSVYVHASKEQPVHVSRYFLNREIHSDKVGWGKISMVDAERRLLAHALKDPDNQQFVLLSESCIPLHSFDYVYDYLMHANMSFVDCFFDPGPHGHGRYSKHMLPVVEKKDFRKGAQWFSIRRQHALVVMADSLYYSRFRDYCKRGFDGKNCIADEHYLPTFFHIIDPGGIANWSVTHVDWSERKWHPKSYRARDVTYELLKNITSIELSVHVTSDEKREEQVQACLWNGVRRPCYLFARKFYPETLHKLMKLHNF >KJB53733 pep chromosome:Graimondii2_0_v6:9:277703:280787:-1 gene:B456_009G002900 transcript:KJB53733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDMQMLPGSSHRPALKRPVWIIFFVSLVSLFLACSYIYPPHGDAACYVFSSKGCKAVTDRPLPSPARELTDEEFASKVVFRDILHIPRIQPKYSKIAFMFLTPSSLPFEKLWDRFFCGHEGKFSVYVHASKEQPVHVSRYFLNREIHSDKVGWGKISMVDAERRLLAHALKDPDNQQFVLLSESCIPLHSFDYVYDYLMHANMSFVDCFFDPGPHGHGRYSKHMLPVVEKKDFRKGAQWFSIRRQHALVVMADSLYYSRFRDYCKRGFDGKNCIADEHYLPTFFHVRITVRIIFLIFHLCLS >KJB53737 pep chromosome:Graimondii2_0_v6:9:277935:280800:-1 gene:B456_009G002900 transcript:KJB53737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDMQMLPGSSHRPALKRPVWIIFFVSLVSLFLACSYIYPPHGDAACYVFSSKGCKAVTDRPLPSPARELTDEEFASKVVFRDILHIPRIQPKYSKIAFMFLTPSSLPFEKLWDRFFCGHEGKFSVYVHASKEQPVHVSRYFLNREIHSDKVGWGKISMVDAERRLLAHALKDPDNQQFVLLSESCIPLHSFDYVYDYLMHANMSFVDCFFDPGPHGHGRYSKHMLPVVEKKDFRKGAQVCLNRFI >KJB53735 pep chromosome:Graimondii2_0_v6:9:276873:280800:-1 gene:B456_009G002900 transcript:KJB53735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDMQMLPGSSHRPALKRPVWIIFFVSLVSLFLACSYIYPPHGDAACYVFSSKGCKAVTDRPLPSPARELTDEEFASKVVFRDILHIPRIQPKYSKIAFMFLTPSSLPFEKLWDRFFCGHEGKFSVYVHASKEQPVHVSRYFLNREIHSDKVGWGKISMVDAERRLLAHALKDPDNQQFVLLSESCIPLHSFDYVYDYLMHANMSFVDWYSKHMLPVVEKKDFRKGAQWFSIRRQHALVVMADSLYYSRFRDYCKRGFDGKNCIADEHYLPTFFHIIDPGGIANWSVTHVDWSERKWHPKSYRARDVTYELLKNITSIELSVHVTSDEKREEQVQACLWNGVRRPCYLFARKFYPETLHKLMKLHNF >KJB53732 pep chromosome:Graimondii2_0_v6:9:276873:279637:-1 gene:B456_009G002900 transcript:KJB53732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRWKQKKGHEGKFSVYVHASKEQPVHVSRYFLNREIHSDKVGWGKISMVDAERRLLAHALKDPDNQQFVLLSESCIPLHSFDYVYDYLMHANMSFVDCFFDPGPHGHGRYSKHMLPVVEKKDFRKGAQWFSIRRQHALVVMADSLYYSRFRDYCKRGFDGKNCIADEHYLPTFFHIIDPGGIANWSVTHVDWSERKWHPKSYRARDVTYELLKNITSIELSVHVTSDEKREEQVQACLWNGVRRPCYLFARKFYPETLHKLMKLHNF >KJB53734 pep chromosome:Graimondii2_0_v6:9:276873:280800:-1 gene:B456_009G002900 transcript:KJB53734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDMQMLPGSSHRPALKRPVWIIFFVSLVSLFLACSYIYPPHGDAACYVFSSKGCKAVTDRPLPSPARELTDEEFASKGHEGKFSVYVHASKEQPVHVSRYFLNREIHSDKVGWGKISMVDAERRLLAHALKDPDNQQFVLLSESCIPLHSFDYVYDYLMHANMSFVDCFFDPGPHGHGRYSKHMLPVVEKKDFRKGAQWFSIRRQHALVVMADSLYYSRFRDYCKRGFDGKNCIADEHYLPTFFHIIDPGGIANWSVTHVDWSERKWHPKSYRARDVTYELLKNITSIELSVHVTSDEKREEQVQACLWNGVRRPCYLFARKFYPETLHKLMKLHNF >KJB55356 pep chromosome:Graimondii2_0_v6:9:5147702:5149807:1 gene:B456_009G072300 transcript:KJB55356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAYAQEHIYKHPWERVTSASWRKFADPENKRTLSHILEVDTLNRKLDPLSGKLYTTRALTIHAPGPWFVRKIVGQDICHCVESTVVDAQSKSMQLATRNVSLQKFIEVEEKIRYDPHPDNPTGWTICRQETSIRIKPLSALASMAEKVEQRCAERFMQNSVKGREVMERICKYLEAESSGMAL >KJB58512 pep chromosome:Graimondii2_0_v6:9:17815765:17819933:-1 gene:B456_009G227400 transcript:KJB58512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVIILSVFLLSFKPLEITDFLMQVESIFASMKSVIERYNKTKEEHQQPENPTSEVKLWQREAAVLRQQLQNLQENHRQMMGEELSGLTVKDLQKLESQLEMSLRGVRMKKDQMLMDEIQELTRKGNLIHQENVELYKKVNLIRQENTELYKKVYGTRDVNGANKELVVTNGQSIGEDVHVPVQLQLSQPQQQNYETPTRATKLGRLQLH >KJB58511 pep chromosome:Graimondii2_0_v6:9:17815419:17820226:-1 gene:B456_009G227400 transcript:KJB58511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVIILSVFLLSFKPLEITDFLMQVESIFASMKSVIERYNKTKEEHQQPENPTSEVKLWQREAAVLRQQLQNLQENHRQMMGEELSGLTVKDLQKLESQLEMSLRGVRMKKDQMLMDEIQELTRKGNLIHQENVELYKKVYGTRDVNGANKELVVTNGQSIGEDVHVPVQLQLSQPQQQNYETPTRATKLGLQLH >KJB58514 pep chromosome:Graimondii2_0_v6:9:17815765:17819933:-1 gene:B456_009G227400 transcript:KJB58514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVIILSVFLLSFKPLEITDFLMQVESIFASMKSVIERYNKTKEEHQQPENPTSEVKLWQREAAVLRQQLQNLQENHRQMMGEELSGLTVKDLQKLESQLEMSLRGVRMKKDQMLMDEIQELTRKGNLIHQENVELYKKVYGTRDVNGANKELVVTNGQSIGEDVHVPVQLQLSQPQQQNYETPTRATKLGRLQLH >KJB58513 pep chromosome:Graimondii2_0_v6:9:17815419:17820226:-1 gene:B456_009G227400 transcript:KJB58513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVIILSVFLLSFKPLEITDFLMQVESIFASMKSVIERYNKTKEEHQQPENPTSEVKLWQREAAVLRQQLQNLQENHRQMMGEELSGLTVKDLQKLESQLEMSLRGVRMKKDQMLMDEIQELTRKGNLIHQENVELYKKVNLIRQENTELYKKVYGTRDVNGANKELVVTNGQSIGEDVHVPVQLQLSQPQQQNYETPTRATKLGLQLH >KJB56280 pep chromosome:Graimondii2_0_v6:9:8403148:8403541:1 gene:B456_009G114700 transcript:KJB56280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTYFPTSNILHIFFVYSVENALPLGSQFFLLLKANQAQRKREREMHIEKRYVVFISSSKLNSSIPHSFSLKAF >KJB57402 pep chromosome:Graimondii2_0_v6:9:12436365:12437410:-1 gene:B456_009G162000 transcript:KJB57402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSKELQRHPKTEAMKNQYHTSQLSSNQCGSSLVQTIDAPLPLVWSIIRRFDNPQAYKLFVKSCKLRSGNGGIGSVREVMVVSGLPAATSTERLDELDDECHVMMISIIGGDHRLVNYRSTTTLHEISEEGMNGGKTEVVESYVVDVPAGNSKEDTCCFANMIIGCNLRSLARITEKMAKFHL >KJB57955 pep chromosome:Graimondii2_0_v6:9:14391451:14392642:1 gene:B456_009G187300 transcript:KJB57955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDIGGEIAAETMKKRKKKGRPSLLELQKRSLKQQRLQEPQQPQLLLQNTPNSSINSNRRSTRRHANLNGGSPVSGSTSGGDDDDDDDRLQKKHKPLLGFNSSRTDQHYPIPSALNSASYGSDSNADDDDPDASLKRHKFTTVKPGSDQMGEKLSKVTDTLHGINLSGFSLEKAFLGFLEKRSVGFC >KJB57956 pep chromosome:Graimondii2_0_v6:9:14391451:14392976:1 gene:B456_009G187300 transcript:KJB57956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDIGGEIAAETMKKRKKKGRPSLLELQKRSLKQQRLQEPQQPQLLLQNTPNSSINSNRRSTRRHANLNGGSPVSGSTSGGDDDDDDDRLQKKHKPLLGFNSSRTDQHYPIPSALNSASYGSDSNADDDDPDASLKRHKFTTVKPGSDQMGEKLSKVTDTLHGKTLMGYSLNQ >KJB62691 pep chromosome:Graimondii2_0_v6:9:67551025:67554991:-1 gene:B456_009G430400 transcript:KJB62691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRLFACFRRGSSSSSRNEVHANGKTNGTVVEASAEGPVLVELFSSQGCVTSPAAELLLSRLGRGDFQLDAPVIVLAYHVDYWDYMGWKDPYGSSLSTVRQKAYVEALRLDTMFTPQVVVQGRAQCVGNDEDTLLSIIAGAPRFPAPTFQANFQRPTSESLQVTLTGALRSKVDNNGVNIMVALYESGLVNDCPAGENKGKVLSNDFVVRKLEKLCTVKDVSAKKTVSGTVTFTLWDGFNSSKCSLAVFVQNNSYQILGSQNFQLPNDI >KJB62690 pep chromosome:Graimondii2_0_v6:9:67551025:67555118:-1 gene:B456_009G430400 transcript:KJB62690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRLFACFRRGSSSSSRNEVHANGKTNGTVVEASAEGPVLVELFSSQGCVTSPAAELLLSRLGRGDFQLDAPVIVLAYHVDYWDYMGWKDPYGSSLSTVRQKAYVEALRLDTMFTPQVVVQGRAQCVGNDEDTLLSIIAGAPRFPAPTFQANFQRPTSESLQVTLTGALRSKVDNNGVNIMVALYESGLVNDCPAGENKGKVLSNDFVVRKLEKLCTVKDVSAKKTVSGTVTFTLWDGFNSSKCSLAVFVQNNSYQILGSQNFQLPNDI >KJB62689 pep chromosome:Graimondii2_0_v6:9:67551025:67555111:-1 gene:B456_009G430400 transcript:KJB62689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRLFACFRRGSSSSSRNEVHANGKTNGTVVEASAEGPVLVELFSSQGCVTSPAAELLLSRLGRGDFQLDAPVIVLAYHVDYWDYMGWKDPYGSSLSTVRQKAYVEALRLDTMFTPQVVVQGRAQCVGNDEDTLLSIIAGAPRFPAPTFQANFQRPTSESLQVTLTGALRSKVDNNGVNIMVALYESGLVNDCPAGENKGKVLSNDFVVRKLEKLCTVKDVSAKKTVSGTVTFTLWDGFNSSKCSLAVFVQNNSYQILGSQNFQLPNDI >KJB54105 pep chromosome:Graimondii2_0_v6:9:1579778:1582336:-1 gene:B456_009G020600 transcript:KJB54105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGELQLESADERNLTDSDPLLENPVDSSSPVSLAEIRNEDIENVSAPCCRICLECDGEEDDELISPCMCKGTQQFVHRACLDHWRSVKVIAAIGGFAYVMDKDGAFRNSFSDGWDRMLSKHPIPFYYCIGVLAFFVLLGFFGLIVHCTSFNSNDARMAGCQNCCYGWGVLDCFPASMEACFALLVVFVVIFVILGIAYGLLAATMAIQRIWQKHYHILTKRELTKEYIVEDLHGSYTPPKLDPEHEERLKMMKLL >KJB54104 pep chromosome:Graimondii2_0_v6:9:1579702:1582415:-1 gene:B456_009G020600 transcript:KJB54104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGELQLESADERNLTDSDPLLENPVDSSSPVSLAEIRNEDIENVSAPCCRICLECDGEEDDELISPCMCKGTQQFVHRACLDHWRSVKEGFSFSHCTTCKARFHLRVELFEDNSWRKLKFRIFVARDVFLVFLAVQTVIAAIGGFAYVMDKDGAFRNSFSDGWDRMLSKHPIPFYYCIGVLAFFVLLGFFGLIVHCTSFNSNDARMAGCQNCCYGWGVLDCFPASMEACFALLVVFVVIFVILGIAYGLLAATMAIQRIWQKHYHILTKRELTKEYIVEDLHGSYTPPKLDPEHEERLKMMKLL >KJB56766 pep chromosome:Graimondii2_0_v6:9:10217743:10219256:-1 gene:B456_009G135800 transcript:KJB56766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGVASSSSSSTTTTTVRLSKQMMAAGNPSMVPPSQQHSSNLLTPITPSLFPPQYVLGTSTSLLPTSLPDHHYQELPPLQSWSQLLLGGLSGEEERFGPSHYQPKKLEIWESQILNPSPRVPSVVDVKQEGTQNSNIFGHGNDEFQASAAAWSQIMPVSPPRSCITSLSSNMIDLSSYKAADHETTVNQPGLDHSSKCNSIVAGGRFKKARVQPSSTSSQPPLKVRKEKLGDRITALHQLVSPFGKVRTKNEKFP >KJB56768 pep chromosome:Graimondii2_0_v6:9:10216096:10219408:-1 gene:B456_009G135800 transcript:KJB56768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGVASSSSSSTTTTTVRLSKQMMAAGNPSMVPPSQQHSSNLLTPITPSLFPPQYVLGTSTSLLPTSLPDHHYQELPPLQSWSQLLLGGLSGEEERFGPSHYQPKKLEIWESQILNPSPRVPSVVDVKQEGTQNSNIFGHGNDEFQASAAAWSQIMPVSPPRSCITSLSSNMIDLSSYKAADHETTVNQPGLDHSSKCNSIVAGGRFKKARVQPSSTSSQPPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEVIGYIRFLQDQIEALSSPYLGTASPGVTNQQSNLRSRGLCLVPVSFTHPVESDCNGADYWAPAFAGAGSF >KJB56765 pep chromosome:Graimondii2_0_v6:9:10216013:10219752:-1 gene:B456_009G135800 transcript:KJB56765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGVASSSSSSTTTTTVRLSKQMMAAGNPSMVPPSQQHSSNLLTPITPSLFPPQYVLGTSTSLLPTSLPDHHYQELPPLQSWSQLLLGGLSGEEERFGPSHYQPKKLEIWESQILNPSPRVPSVVDVKQEGTQNSNIFGHGNDEFQASAAAWSQIMPVSPPRSCITSLSSNMIDLSSYKAADHETTVNQPGLDHSSKCNSIVAGGRFKKARVQPSSTSSQPPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEVIGYIRFLQDQIEALSSPYLGTASPGVTNQQSEKPKNLRSRGLCLVPVSFTHPVESDCNGADYWAPAFAGAGSF >KJB56767 pep chromosome:Graimondii2_0_v6:9:10216112:10219408:-1 gene:B456_009G135800 transcript:KJB56767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGVASSSSSSTTTTTVRLSKQMMAAGNPSMVPPSQQHSSNLLTPITPSLFPPQYVLGTSTSLLPTSLPDHHYQELPPLQSWSQLLLGGLSGEEERFGPSHYQPKKLEIWESQILNPSPRVPSVVDVKQEGTQNSNIFGHGNDEFQASAAAWSQIMPVSPPRSCITSLSSNMIDLSSYKAADHETTVNQPGLDHSSKCNSIVAGGRFKKARVQPSSTSSQPPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEVIGYIRFLQDQIEVMFLPHSFLF >KJB59812 pep chromosome:Graimondii2_0_v6:9:22923040:22925472:-1 gene:B456_009G273800 transcript:KJB59812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALANASAIVDQRQKIEQYKHILSTVLSSNDILQAKKFIDHMLSDDVPLVVSRQLLQTFTQELGRLEAELQKEITHYILDQIHPRVVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGIDLDSGMRIIDDTFRLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQHEVLILQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDETIDEDALEQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVLSLFLMIPGTLPHACISTCTSLLVRFYDLKSPF >KJB59810 pep chromosome:Graimondii2_0_v6:9:22922040:22925510:-1 gene:B456_009G273800 transcript:KJB59810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALANASAIVDQRQKIEQYKHILSTVLSSNDILQAKKFIDHMLSDDVPLVVSRQLLQTFTQELGRLEAELQKEITHYILDQIHPRVVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGIDLDSGMRIIDDTFRLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQHEVLILQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDETIDEDALEQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFSEELKPHQKALLPDNYTVLDRAIIEHNLLSASKLYTNIRFDELGTLLGIPPHKAEKIASRMICEDRMRGSIDQVEAVIQFEDDTEELQQWDQQIVGVCQALNDILDSMAKKGMEVPI >KJB59811 pep chromosome:Graimondii2_0_v6:9:22922081:22925472:-1 gene:B456_009G273800 transcript:KJB59811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALANASAIVDQRQKIEQYKHILSTVLSSNDILQAKKFIDHMLSDDVPLVVSRQLLQTFTQELGRLEAELQKEITHYILDQIHPRVVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGIDLDSGMRIIDDTFRLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQHEVLILQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDETIDEDALEQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFSEELKPHQKALLPDNYTVLDRAIIEHNLLSASKLYTNIRLEIFDELGTLLGIPPHKAEKIASRMICEDRMRGSIDQVEAVIQFEDDTEELQQWDQQIVGVCQALNDILDSMAKKGMEVPI >KJB59813 pep chromosome:Graimondii2_0_v6:9:22923570:22925472:-1 gene:B456_009G273800 transcript:KJB59813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALANASAIVDQRQKIEQYKHILSTVLSSNDILQAKKFIDHMLSDDVPLVVSRQLLQTFTQELGRLEAELQKEITHYILDQIHPRVVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGIDLDSGMRIIDDTFRLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQHEVLILQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDETIDEDALEQALSAAVTCTILAAAGPQRSRVLATLYKVSTFS >KJB59474 pep chromosome:Graimondii2_0_v6:9:21256279:21257905:1 gene:B456_009G258100 transcript:KJB59474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAPALIVAAIFSFFSVSLILACIFLICRSTKKPAPPNPPQTRSLNRTRPTPNPATLTACDSAAFDPSLTRIDMAELSAATKNFSSDLIIGDGSFGYVYKATLSNGVTVAIKKLDPNAFQGLREFRAEMETLGKLRHPNIVKILGFCSSGLDRILIYEFIERGSLDQLIYGEDQENPDGRFLCWYTRKKIVRGIANGLAYLHGLDTPIIHRDIKASNVLLDRDFEAHISDFGLARQIEESQTHVSTQVAGTMGYMPPEYREGNTAATVVADVYSFGVLMIEIATQNRPNWPVRFEGKVIGLVEWARRMVAQNREIEMVYQKIPRDALIEEEVKEYFRIACMCSSEGSKERPAMIQVVELLNQIST >KJB62789 pep chromosome:Graimondii2_0_v6:9:68648583:68666926:-1 gene:B456_009G436500 transcript:KJB62789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYVAPAAVRIVANEAKEFASPYLRYFFRYGQIVEDFKNQRMALKLTKQRVDTDVDEAKRQTEIIYDDVKNWLRSAEQELEETQNLQDEIDRVKCFKWCPKWGWRYSLSKKLAKKTPIISKLFETSNFAQVGYRRPLQGIEFITSADFMDSESSQSAFNQIMEAINAKGVNMIGLHGMPGVGKTTLAKEVGKHAREQKLFDKVVMFTMSQNPNIRTIQDKVAEMFGLNFHTNTEEGRAEELFRSMQRVNKILVIVDDLWEEFKMERIGIPFGDEHEGCKILLTTRRQQVCTKMNCKEIQLDILSEDEAWVLFRDKTGLEDDCSTLNDVAKEVAAQCKGLPLAIVVVAKALKGESLNGWRDANQRFKDSTHLYDEEVLGGVIEPLKLSYDYLKKGINQMTGNHIQMCFLLCSLFPEDKEISIEILIMCGIGVGLFPNVYSIEDKRKKIIEALKKLQKSGLLLETDGADTIRIHDVIRDFAHWLTSTGENRFMVKDKLKEWPHMDESYTAIALWNCSSIIKNFPDKVEFSKLKTLFLEGEWKRNRDDNFLVVFGTFFEEMKALQVLLLKCVSFSLKGFPSLPNLKTLCCVDCELENFSSSLTNMRSLEILALIGTKIDEISEELVKLSALKYFCLSGVGVEKEMNIPPNLVSRLTSLQELYVETKNNLNLLELKSLSRLTALSLELSTNQIPQEDFVLPKLQRYDIVGGYYSSDREAFRRLNIQNFSSSISAFNNLFCNVEELSLRNVGGQKNIVPSIDEMGVNELTSLHLESCNDMEFLIDTKKDQGSTVAFSNLVELNIESMVSLKGLCYGVSPSRFLQNLKQVSIERCEELQVIFQMDKLSEKVKCQAPLLSNLTILKLYSLPKLERIWEVKQSHRAIASLQSLKVVRIDYCDNLKTIFSPCLALSMLHLEELYIRYCDRLEQVIGFGQEDEIIENDSPLCCLPKLRILRIQICPNLKYVCANTWTQGLQSLESVYIRGCSQLIQVFNMEQNKHGQDIVLPELGSQKLVLHNVENSSQLCNTDVPVLNEGCIVVGNHKEVFQVQGGYSFSSIEKLELRNLFEVQVIWNDFAQVVTLENLTTLRLSGCKKLRYIFSPMMAHSLSHLKVLSIIGCEEIERLILAKDQVSSSSSNGDTSLQPMSFPNLTEIVVINCKNLNSLFPFGFIYVLSKLETLIVRGNSKLEQVFELEDEVEVVAEEEMKFDKLKWLTLQELPGLIHFFPKGYHSVFPAMTQLKVRDCPKLTTGFIIDSEDFVHCKTKLVEQDAVEESTSVRDAIFNENIYWSRRRR >KJB61913 pep chromosome:Graimondii2_0_v6:9:52980173:52981398:1 gene:B456_009G390700 transcript:KJB61913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEPNFFEQEGQSEPGNISLKHKWDSLSSPTRDQNKDSSGFDCNICFDSAQDPVVTLCGHLYCWPCIYKWLHVQTSSLDADQRQRNCPVCKANISSSSLVPLYGRGTASDSQSKDPHSDLDIPQRPPSLGLNPMTTSSQPSQQLHENLFHHQQYFPHPYGDYATLASSSLGGIAMTNFFNPMFGMLEEMVYARISGSSNTSMFTYPYQSSNLFFGNNNLRMRRQEMQVDKSLSRVSIFLFCCIILCLLLF >KJB59590 pep chromosome:Graimondii2_0_v6:9:21678243:21679599:1 gene:B456_009G262300 transcript:KJB59590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLWFNKKIADPLIQILRKGAEPKQLAFSSALGITLGIFPICGVTVLLCGMAIALLGSHCHPPTVMLVNFLATPIELSLVVPFLRFGEALSGGPHFPLTSDALKKVLTGQASSELLFSIAHAVSAHFLLLPYFTCYSLLCI >KJB59591 pep chromosome:Graimondii2_0_v6:9:21678243:21681051:1 gene:B456_009G262300 transcript:KJB59591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLWFNKKIADPLIQILRKGAEPKQLAFSSALGITLGIFPICGVTVLLCGMAIALLGSHCHPPTVMLVNFLATPIELSLVVPFLRFGEALSGGPHFPLTSDALKKVLTGQASSELLFSIAHALLGWLVAAPFVLVTLYILFLPIFKVVVPKFRSVPLSPRKHLLSPSEVGLKVRQV >KJB60039 pep chromosome:Graimondii2_0_v6:9:24482584:24483671:-1 gene:B456_009G287200 transcript:KJB60039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTSPAKEVTLQGTPANYFPRSFHEVVGAILRCLGLETGFQQNPNPCPKKEDDSKANHNQPISPKENADPPSSTDNSDPSTTVIDPPADPPPSPTGDTNDGSLPMVSLFTPKRPGTSGGSGPQIN >KJB54344 pep chromosome:Graimondii2_0_v6:9:2293846:2295362:-1 gene:B456_009G030500 transcript:KJB54344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIEQPRVGLSKVAVSKTHGEDSPYFAGWKAYDENPYHETSNQSGVIQMGLAENQVSFDLLEKYLEEHSEASSWGKGAPGFRENALFQDYHGLKSFRQAMASFMEQIRGGRAKFDPDRIVLTAGATAANELLTFILADPGDALLIPTPYYPGFDRDLRWRTGVNIVPIHCDSSNNFQITPEAMEAAYQSAESMNLKVRGILITNPSNPLGATIQRSALEQILDFAVNKNIHLVSDEIYSGSTFSSSEFISIAEILETRGYKDAERVHIVYSLSKDLGLPGFRVGTIYSYNDQVVTTARRMSSFTLISSQTQHLLACMLSNKEFSENYIKTNRERLSKRYDMIIKGLKKAGIECLKGNAGLFCWMNLSPLLEKPTRESELALWKVILNEVKLNISPGSSCHCSEPGWFRVCFANMSEQTLEIALERIHKFMEQRKRS >KJB60128 pep chromosome:Graimondii2_0_v6:9:25037208:25041532:-1 gene:B456_009G290700 transcript:KJB60128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47840) UniProtKB/Swiss-Prot;Acc:Q9FIJ7] MLIMGSTSFSPSLSLSPSLSPHSSKFPNPNPNLFLRSHSSFTPLTLRSNQTRYRFKKLPSSNAPNFLVAASGKAEPLKVIISGAPASGKGTQCELITQKYGLVHIAAGDLLRAEVAAASENGKLAKEYMEKGELVPNEIVVMMVKERLLQPDSQQRGWLLDGYPRSSSQAAALEDYGIRPDVFILLDVSEDILVERVVGRRLDPLTGKIYHLKYSPPENDEIASRLTQRFDDTEEKVKLRLRTHHQNVDAVLSVYKDITVKAYC >KJB60126 pep chromosome:Graimondii2_0_v6:9:25036635:25041532:-1 gene:B456_009G290700 transcript:KJB60126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47840) UniProtKB/Swiss-Prot;Acc:Q9FIJ7] MLIMGSTSFSPSLSLSPSLSPHSSKFPNPNPNLFLRSHSSFTPLTLRSNQTRYRFKKLPSSNAPNFLVAASGKAEPLKVIISGAPASGKGTQCELITQKYGLVHIAAGDLLRAEVAAASENGKLAKEYMEKGELVPNEIVVMMVKERLLQPDSQQRGWLLDGYPRSSSQAAALEDYGIRPDVFILLDVSEDILVERVVGRRLDPLTGKIYHLKYSPPENDEIASRLTQRFDDTEEKAFAATHTSSKCGCCALCI >KJB60127 pep chromosome:Graimondii2_0_v6:9:25040201:25041503:-1 gene:B456_009G290700 transcript:KJB60127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47840) UniProtKB/Swiss-Prot;Acc:Q9FIJ7] MLIMGSTSFSPSLSLSPSLSPHSSKFPNPNPNLFLRSHSSFTPLTLRSNQTRYRFKKLPSSNAPNFLVAASGKAEPLKVIISGAPASGKGTQCELITQKYGLVHIAAGDLLRAEVAAASENGKLAKEYMEKGELVPNEIVVMMVKERLLQPDSQQRGWLLDGYPRSSSQAAALEDYGIRPDVFILLDVSEDILVERVVGRRLDPLTGKIYHLKYSPPENDEIASRLTQRFDDTEEKACEVAATHTSSKCGCCALCI >KJB60125 pep chromosome:Graimondii2_0_v6:9:25036628:25041658:-1 gene:B456_009G290700 transcript:KJB60125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47840) UniProtKB/Swiss-Prot;Acc:Q9FIJ7] MLIMGSTSFSPSLSLSPSLSPHSSKFPNPNPNLFLRSHSSFTPLTLRSNQTRYRFKKLPSSNAPNFLVAASGKAEPLKVIISGAPASGKGTQCELITQKYGLVHIAAGDLLRAEVAAASENGKLAKEYMEKGELVPNEIVVMMVKERLLQPDSQQRGWLLDGYPRSSSQAAALEDYGIRPDVFILLDVSEDILVERVVGRRLDPLTGKIYHLKYSPPENDEIASRLTQRFDDTEEKVKLRLRTHHQNVDAVLSVYKDITVKVNGNEAKEHVFAQIDAALTHVGEQRKVNSGSLAA >KJB61854 pep chromosome:Graimondii2_0_v6:9:53123579:53124638:-1 gene:B456_009G391300 transcript:KJB61854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGKLQQTLAGCIELSGKTLQSGKVSKVKIWPGFAGQGRYFEFHSNLIPASIDFVRESLLCTSLCKDGYKIRTVEHLLSALEAKGIDNCRIQIQNLDSEDTEVEVHISCGIDFPKGNRKTV >KJB61855 pep chromosome:Graimondii2_0_v6:9:53123959:53124638:-1 gene:B456_009G391300 transcript:KJB61855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGKLQQTLAGCIELSGKTLQSGKVSKVKIWPGFAGQGRYFEFHSNLIPASIDFVRESLLCTSLCKDGYKIRTVEHLLSALEAKGIDNCRIQIQNLDSEDTEVEVHISCGIDFPKGNRKTV >KJB61856 pep chromosome:Graimondii2_0_v6:9:53123207:53124638:-1 gene:B456_009G391300 transcript:KJB61856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGKLQQTLAGCIELSGKTLQSGKVSKVKIWPGFAGQGRYFEFHSNLIPASIDFVRESLLCTSLCKDGYKIRTVEHLLSALEAKGIDNCRIQIQNLDSEDTEVEVHISCGIDFPKENSLRAYPF >KJB58903 pep chromosome:Graimondii2_0_v6:9:18177694:18180958:1 gene:B456_009G230600 transcript:KJB58903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEVTGSSSKESFAMEVGGLKRKVVEEKDQVRVTRKTLQAVLEQCQRAIESISNSEGGIDDDDEDDKDDMDPQGEASGVGLQRDQEVDELCDLLKSRVQCSDFLEKLECARVPISENVSEEGSSWDMVNDNDLWEDKDVDLDQEDYVLVRQEDIVEGIACFMAAYLLSLKQTKDLSPNQLQEALSKTFSVKKKKGKLRKAWDGSKVVYNVASWGATAIGIYQNPLLLRAASKAFWVSCNVLSKLI >KJB58906 pep chromosome:Graimondii2_0_v6:9:18177791:18180912:1 gene:B456_009G230600 transcript:KJB58906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEVTGSSSKESFAMEVGGLKRKVVEEKDQVRVTRKTLQAVLEQCQRAIESISNSEGGIDDDDEDDKDDMDPQGEASGVGLQRDQEVDELCDLLKSRVQCSDFLEKLECARVPISENVSEEGSSWDMVNDNDLWEDKDVDLDQEDYVLVRQEDIVEGIACFMAAYLLSLKQTKVLEILVSGIRYFYFKVFCGCTFRHCLYDYSPLNAKETSFFFLFQDLSPNQLQEALSKTFSVKKKKGKLRKAWDGSKVVYNVASWGATAIGIYQNPLLLRAASKAFWVSCNVLSKLI >KJB58904 pep chromosome:Graimondii2_0_v6:9:18177911:18180257:1 gene:B456_009G230600 transcript:KJB58904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEVTGSSSKESFAMEVGGLKRKVVEEKDQVRVTRKTLQAVLEQCQRAIESISNSEGGIDDDDEDDKDDMDPQGEASGVGLQRDQEVDELCDLLKSRVQCSDFLEKLECARVPISENVSEEGSSWDMVNDNDLWEDKDVDLDQEDYVLVRQEDIVEGIACFMAAYLLSLKQTKDLSPNQLQEGEFLYSFLNVKKKLRYHFAE >KJB58908 pep chromosome:Graimondii2_0_v6:9:18177791:18180912:1 gene:B456_009G230600 transcript:KJB58908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEVTGSSSKESFAMEVGGLKRKVVEEKDQVRVTRKTLQAVLEQCQRAIESISNSEGGIDDDDEDDKDDMDPQGEASGVGLQRDQEVDELCDLLKSRVQCSDFLEKLECARVPISENVSEGSSWDMVNDNDLWEDKDVDLDQEDYVLVRQEDIVEGIACFMAAYLLSLKQTKDLSPNQLQEALSKTFSVKKKKGKLRKAWDGSKVVYNVASWGATAIGIYQNPLLLRAASKAFWVSCNVLSKLI >KJB58907 pep chromosome:Graimondii2_0_v6:9:18177791:18180912:1 gene:B456_009G230600 transcript:KJB58907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEVTGSSSKESFAMEVGGLKRKVVEEKDQVRVTRKTLQAVLEQCQRAIESISNSEGGIDDDDEDDKDDMDPQGEASGVGLQRDQEVDELCDLLKSRVQCSDFLEKLECARVPISENVSEEGSSWDMVNDNDLWEDKDVDLDQEDYVLVRQEDIVEGIACFMAAYLLSLKQTKDLSPNQLQEALSKTFSVKKKKGKLRKAWDGSKVVYNVASWGATAIGSVITLISTNQCSSVASVMLWF >KJB58905 pep chromosome:Graimondii2_0_v6:9:18177791:18180912:1 gene:B456_009G230600 transcript:KJB58905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEVTGSSSKESFAMEVGGLKRKVVEEKDQVRVTRKTLQAVLEQCQRAIESISNSEGGIDDDDEDDKDDMDPQGEASGVGLQRDQEVDELCDLLKSRVQCSDFLEKLECARVPISENVSEEGSSWDMVNDNDLWEDKDVDLDQEDYVLVRQEDIVEGIACFMAAYLLSLKQTKDLSPNQLQEALSKTFSVKKKKGKLRKAWDGSKVVYNVASWGATAIGSDIPKPSTSKSCLESLLGFL >KJB55712 pep chromosome:Graimondii2_0_v6:9:6604148:6605976:1 gene:B456_009G0905001 transcript:KJB55712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQWGSQLHSFCVGLEGSPDLKAAKEVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDENFGGYLYFHKAPNKEELHRETCHKIKALHQYDCLRANKATSAWGLEARVPFLDIEFINAAMSIDPESKMIKKDQGRIEKWILRKAFDDEERPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAAKNVTDKMMLNASYIFPHNTPTTKEAYYYRMIFERFFPQNSARLTVPGGASVACSTAKAVEWDVAWKNNLDPSGRAALGVHLSAYDAEPPLISNVPSKVIDSIPRMVEVPGVAIHT >KJB58250 pep chromosome:Graimondii2_0_v6:9:15540511:15543532:1 gene:B456_009G200700 transcript:KJB58250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISGHCTFRSHQFPLSFTVPVASSSSFYGEALRSSSVSLASPPSVHRSLISCQASSAASPPSSSVNGTGGMKDFLHISDFDKATIMKILDRAAEVKAQLKSGDRSFAPFKGKTMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSRYNDIIMARVFGHQDILDLAKFSSVPVINGLTDYNHPCQIMADALTIIEHIGQLEGTKVVYVGDGNNIVHSWLLLASVVPFHFVCACPKGFEPDKETVEKAQKAGISKIEITNNPKEAVKGADVVYSDVWASMGQKEEAAHRRQVFQGFQVDEALMKIAGPKAYFMHCLPAERGVEVTNGVIEAPNSIVFPQAENRMHAQNAIMLHALGL >KJB58249 pep chromosome:Graimondii2_0_v6:9:15540511:15542398:1 gene:B456_009G200700 transcript:KJB58249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISGHCTFRSHQFPLSFTVPVASSSSFYGEALRSSSVSLASPPSVHRSLISCQASSAASPPSSSVNGTAKGGMKDFLHISDFDKATIMKILDRAAEVKAQLKSGDRSFAPFKGKTMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSRYNDIIMARVFGHQDILDLAKFSSVPVINGLTDYNHPCQIMADALTIIEHIGQLEGTKVVYVGDGNNIVHSWLLLASVVPFHFVCACPKGFEPDKETVEKAQKAGISKIEITNNPKEAVKGADVVYSDVWASMGQKEEAAHRRQVFQGFQVCLAKILRSRY >KJB58248 pep chromosome:Graimondii2_0_v6:9:15540399:15543543:1 gene:B456_009G200700 transcript:KJB58248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISGHCTFRSHQFPLSFTVPVASSSSFYGEALRSSSVSLASPPSVHRSLISCQASSAASPPSSSVNGTAKGGMKDFLHISDFDKATIMKILDRAAEVKAQLKSGDRSFAPFKGKTMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSRYNDIIMARVFGHQDILDLAKFSSVPVINGLTDYNHPCQIMADALTIIEHIGQLEGTKVVYVGDGNNIVHSWLLLASVVPFHFVCACPKGFEPDKETVEKAQKAGISKIEITNNPKEAVKGADVVYSDVWASMGQKEEAAHRRQVFQGFQVDEALMKIAGPKAYFMHCLPAERGVEVTNGVIEAPNSIVFPQAENRMHAQNAIMLHALGL >KJB61615 pep chromosome:Graimondii2_0_v6:9:49758521:49759847:-1 gene:B456_009G370100 transcript:KJB61615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLPKGAIEIADVDLLRREDEYIVVKANCISSIMELALNCSAELPEERKDMKDVVVELKKIKQRLLNNIQHF >KJB53690 pep chromosome:Graimondii2_0_v6:9:161516:163235:-1 gene:B456_009G001300 transcript:KJB53690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQERRVIEPRKKQQDAGSTRDRILITNSEIAQPNSIPPLVSALKTCAHQNAATFHFPGHNRGRAAPSSLVHLIGLKPFIHDLPELPELDNLFSPEGPILEAQKLAAKLFGSSETWFLVGGTTCGIQAAILATCSPGDYLILPRNSHISAISAIVLSGVIPKYIIPDYDCLWDITAGVTLSQIEKAIEELHMEGQKVGAVFITSPTYHGICSNLTDISKLCHSYEIPVIVDEAHGAHFGFHPQLPSSALQQGADLAVQSTHKVLCSLTQSSMLHMSGNIVDRERICRCLQTLQSTSPSYLLLASLDAARAQLSEKPGSIFNNALDLALETKNLIRNIPGISMLGTLGFSNFPVIDPLRLTFGFWQLGLSGFEADETLYSDQGVISELVGTRSITFAINLGTCRDHIQRLACGIKNLSEASLLSFEKIKGQIEDHCGSAPFSDITVCLNPREAFFARKRKVAIGESLGKICGELICPYPPGIPVMIPGETITKKALEYLVLVKNKGATISGASDPLLNSIVICDV >KJB53693 pep chromosome:Graimondii2_0_v6:9:161516:163235:-1 gene:B456_009G001300 transcript:KJB53693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQERRVIEPRKKQQDAGSTRDRILITNSEIAQPNSIPPLVSALKTCAHQNAATFHFPGHNRGRAAPSSLVHLIGLKPFIHDLPELPELDNLFSPEGPILEAQKLAAKLFGSSETWFLVGGTTCGIQAAILATCSPGDYLILPRNSHISAISAIVLSGVIPKYIIPDYDCLWDITAGVTLSQIEKAIEELHMEGQKVGAVFITSPTYHGICSNLTDISKLCHSYEIPVIVDEAHGAHFGFHPQLPSSALQQGADLAVQSTHKVLCSLTQSSMLHMSGNIVDRERICRCLQTLQSTSPSYLLLASLDAARAQLSEKPGSIFNNALDLALETKNLIRNIPGISMLGTLGFSNFPVIDPLRLTFGFWQLGLSGFEADETLYSDQGVISELVGTRSITFAINLGTCRDHIQRLACGIKNLSEASLLSFEKIKGQIEDHCGSAPFSDITVCLNPREAFFARKRKVAIGESLGKICGELICPYPPGIPVMIPGETITKKALEYLVLVKNKGATISGASDPLLNSIVICDV >KJB53692 pep chromosome:Graimondii2_0_v6:9:161343:163758:-1 gene:B456_009G001300 transcript:KJB53692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLLLPNSWSTTILASKERRVIEPRKKQQDAGSTRDRILITNSEIAQPNSIPPLVSALKTCAHQNAATFHFPGHNRGRAAPSSLVHLIGLKPFIHDLPELPELDNLFSPEGPILEAQKLAAKLFGSSETWFLVGGTTCGIQAAILATCSPGDYLILPRNSHISAISAIVLSGVIPKYIIPDYDCLWDITAGVTLSQIEKAIEELHMEGQKLCHSYEIPVIVDEAHGAHFGFHPQLPSSALQQGADLAVQSTHKVLCSLTQSSMLHMSGNIVDRERICRCLQTLQSTSPSYLLLASLDAARAQLSEKPGSIFNNALDLALETKNLIRNIPGISMLGTLGFSNFPVIDPLRLTFGFWQLGLSGFEADETLYSDQGVISELVGTRSITFAINLGTCRDHIQRLACGIKNLSEASLLSFEKIKGQIEDHCGSAPFSDITVCLNPREAFFARKRKVAIGESLGKICGELICPYPPGIPVMIPGETITKKALEYLVLVKNKGATISGASDPLLNSIVICDV >KJB53691 pep chromosome:Graimondii2_0_v6:9:161016:163907:-1 gene:B456_009G001300 transcript:KJB53691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLLLPNSWSTTILASKERRVIEPRKKQQDAGSTRDRILITNSEIAQPNSIPPLVSALKTCAHQNAATFHFPGHNRGRAAPSSLVHLIGLKPFIHDLPELPELDNLFSPEGPILEAQKLAAKLFGSSETWFLVGGTTCGIQAAILATCSPGDYLILPRNSHISAISAIVLSGVIPKYIIPDYDCLWDITAGVTLSQIEKAIEELHMEGQKVGAVFITSPTYHGICSNLTDISKLCHSYEIPVIVDEAHGAHFGFHPQLPSSALQQGADLAVQSTHKVLCSLTQSSMLHMSGNIVDRERICRCLQTLQSTSPSYLLLASLDAARAQLSEKPGSIFNNALDLALETKNLIRNIPGISMLGTLGFSNFPVIDPLRLTFGFWQLGLSGFEADETLYSDQGVISELVGTRSITFAINLGTCRDHIQRLACGIKNLSEASLLSFEKIKGQIEDHCGSAPFSDITVCLNPREAFFARKRKVAIGESLGKICGELICPYPPGIPVMIPGETITKKALEYLVLVKNKGATISGASDPLLNSIVICDV >KJB54132 pep chromosome:Graimondii2_0_v6:9:1675102:1677726:-1 gene:B456_009G022100 transcript:KJB54132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTGLTLDCKPHSYSMLLKSFGDQQIDQTQKLEDFLGRLEEERLKIDAFKRELPLCMQLLTNAVETSRQQLHACRANQGSRPVLEEFIPLKNSSSENSDKSQNISDKANWMTSAQLWSQAGNETKPPQSSVASPKEADIGFNVSPKLGLDTKQRNGGAFLPFSKDRQNPCPGSTLQPLPDLALASMNEDMDDKKCSETENGCQRRENSGRTGNGGALVEQGKGTSCNAAEGQTTNGNTNTNTGQPHRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVEGLTNDEVKSHLQKYRLHTRRSSPSPQAPGAPTPQLVVLGGIWVSPEYATAAAAAYGGTPTLYGAHHPAAPHAPPPHFCASPVPQEFYSATATPAPTPPPQLHHHTLQQQLHMYKSTSQAHSLPESDVRGAGDHSESIEDGKSESSSWKGESGENGGGADQRKGLAALREDGEESNGSEITLKF >KJB56572 pep chromosome:Graimondii2_0_v6:9:9468265:9472145:-1 gene:B456_009G125800 transcript:KJB56572 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MNTEAIPFSSGNPRIEETRGVMHLFSNDTVSALPVERKPLACVLGVPNHMTYADFCQFCASFIHHISEMRIVRNDGMEDRYSVLIRFDSQDSTDKFYQHFNNRQFNSLEEELCRVLFTLDVQFTGYTGSLDHVQSPPASSTEQPSCPVCLERLDQDASGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSKCFICQTSENLWICVICGFVGCGRYKGGHAIIHWKETQHCYSLELETQRVWDYAGDNYVHRLIQSKTDGKLVELNSHCLHASDGCGSCHCIDSGVNEAMLTCETEIVNEYNELLRTQLENQKVYFETLLQQENEETERAIADAFNKTVMQKRQKMQAKLERCVKEKKFLEDLNENLLKNQEIWKAKLLEVEKREKKVLRMKGDKIQALEDQVSLES >KJB56573 pep chromosome:Graimondii2_0_v6:9:9467784:9472393:-1 gene:B456_009G125800 transcript:KJB56573 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MNTEAIPFSSGNPRIEETRGVMHLFSNDTVSALPVERKPLACVLGVPNHMTYADFCQFCASFIHHISEMRIVRNDGMEDRYSVLIRFDSQDSTDKFYQHFNNRQFNSLEEELCRVLFTLDVQFTGYTGSLDHVQSPPASSTEQPSCPVCLERLDQDASGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSKCFICQTSENLWICVICGFVGCGRYKGGHAIIHWKETQHCYSLELETQRVWDYAGDNYVHRLIQSKTDGKLVELNSHCLHASDGCGSCHCIDSGVNEAMLTCETEIVNEYNELLRTQLENQKVYFETLLQQENEETERAIADAFNKTVMQKRQKMQAKLERCVKEKKFLEDLNENLLKNQEIWKAKLLEVEKREKKVLRMKGDKIQALEDQLRELMAQLEAAGKAVEQSSVSNENER >KJB57486 pep chromosome:Graimondii2_0_v6:9:12769930:12772757:-1 gene:B456_009G166300 transcript:KJB57486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWCNNPDDDARAIKLVAAATKEPSLAVAATSAASYTKIHEIQTIICPSCGFNIPYQDQTGIHDLPGLPAGVKFDPTDQEILEHLEAKVISDLRKLHPLLDEFIPTLEGENGICYTHPEKLPGVSKDGQIRHFFHRPSKAYTTGTRKRRKVHTDEEGSETRWHKTGKTRAVSVGGAVKGFKKILVLYTNYGRQRKPEKTNWVMHQYHLGNNEEEKDGELVVSKVFYQTQPRQCGPGSVKYTLDRKLKTRSRLHQSPNPMAKSSGSRGLFEHNNPPFIPYDDGSHHNRESPPQLIPNLVVQGDGSSFIRLTSDTSKGRVERK >KJB62848 pep chromosome:Graimondii2_0_v6:9:69117173:69123565:-1 gene:B456_009G440000 transcript:KJB62848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCRARFASRLASTTAAASFSPSACSRVSSPIASPSSASSVSFWNQRYRSLSFSYSLRSLRCSAPRLHWRSPESLRVLARTSVPVVERFHRRIATTASEHPFKGVMTSLPKPGGGEFGKFYSLPALNDPRIDRLPYSIRILLESAIRNCDNFQVTKDDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLASMRDAMKNLGNDPKKINPLVPVDLVIDHSVQVDVARSENAVQENMELEFKRNKERFAFLKWGSSAFHNMLVVPPGSGIVHQVNLEYLGRVVFNSDGMLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLAGKLRDGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIEAYLRANKMFVDYDEPQQERAYSSYLQLDLAEVEPCISGPKRPHDRVPLKEMKADWHACLDNNVGFKGFAVPKEEQNKVAKFTFHGLPAELRHGSVVIAAITSCTNTSNPSVMLGAGLVAKKAYELGLEVKPWIKTSLAPGSGVVTKYLLQSGLQKYLNQQGFNIVGYGCTTCIGNSGELDESVASAISENDIIAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGTGKSGKSIYFKDIWPSNDEIAQVVQSSVLPDMFKSTYEAITKGNLMWNQLSVPASTLYSWDPNSTYIHEPPYFKNMTVEPPGPHGVKDAYCLLNFGDSITTDHISPAGSIQKDSPAAKFLLERGVDKKDFNSYGSRRGNDEVMARGTFANIRIVNKLLKGEVGPKTIHVPTGEKLYVYDAAMVSFNFAI >KJB62847 pep chromosome:Graimondii2_0_v6:9:69116669:69123592:-1 gene:B456_009G440000 transcript:KJB62847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCRARFASRLASTTAAASFSPSACSRVSSPIASPSSASSVSFWNQRYRSLSFSYSLRSLRCSAPRLHWRSPESLRVLARTSVPVVERFHRRIATTASEHPFKGVMTSLPKPGGGEFGKFYSLPALNDPRIDRLPYSIRILLESAIRNCDNFQVTKDDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLASMRDAMKNLGNDPKKINPLVPVDLVIDHSVQVDVARSENAVQENMELEFKRNKERFAFLKWGSSAFHNMLVVPPGSGIVHQVNLEYLGRVVFNSDGMLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLAGKLRDGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIEAYLRANKMFVDYDEPQQERAYSSYLQLDLAEVEPCISGPKRPHDRVPLKEMKADWHACLDNNVGFKGFAVPKEEQNKVAKFTFHGLPAELRHGSVVIAAITSCTNTSNPSVMLGAGLVAKKAYELGLEVKPWIKTSLAPGSGVVTKYLLQSGLQKYLNQQGFNIVGYGCTTCIGNSGELDESVASAISENDIIAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGTGKSGKSIYFKDIWPSNDEIAQVVQSSVLPDMFKSTYEAITKGNLMWNQLSVPASTLYSWDPNSTYIHEPPYFKNMTVEPPGPHGVKDAYCLLNFGDSITTDHISPAGSIQKDSPAAKFLLERGVDKKDFNSYGSRRGNDEVMARGTFANIRIVNKLLKGEVGPKTIHVPTGEKLYVYDAAMRYKAAGQDTIVLAGAEYGSGSSRDWAAKGPMLVGVKAVIAKSFERIHRSNLVGMGIIPLCFKPGEDADSLGLTGHERYSIDLPNKISEIRPGQDVTVTTDTGKSFTCTVRFDTEVELAYFDHGGILPFVIRNLNKE >KJB61026 pep chromosome:Graimondii2_0_v6:9:36220149:36224317:-1 gene:B456_009G336000 transcript:KJB61026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILCRIRCPHFDHRIRRLNPCSSMASCSRKTSPIIHAFVIFLLVCSSSISPVYSSEPHNLHARNRTFRPDKELQKLKFIRQRLNKINKPAVKTIQSPDGDTIDCVLSHHQPAFDLPQLKGQRPLDPPEGPRVYERDGVMMTAEVEEEEEGEHVQLWRMSGETCPEGTIPIRRTTEQDLLRASSVRRFGRKPRRRVRRDSTSNGHEHAVGYVSGGEYYGAKGSINVWAPHVSNPYEFSLSQLWVISGSFAHDLNTIEAGWQVSPELYGDNYPRFFTYWTNDAYEATGCYNLMCSGFVQTNNRIAIGAAISPISSYHGGQFDITLLIWKDPKHGNWWLEFGSGILVGYWPSFLFTHLRDHATMVQFGGEIVNTRPGGSHTSTAMGSGHFAGEGFGKASYFRNLEVVDWDNNLIPLPNLRVLADHPNCYDIRGGVNGVWGHYFYYGGPGRSVRCP >KJB59632 pep chromosome:Graimondii2_0_v6:9:21898303:21902021:1 gene:B456_009G264600 transcript:KJB59632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMRSSRTKKPVKNPTSFNFQTLISSLSSPQGLKPETMEGLYLLLLTLCCSDSESNLNFSETQLSFNEFKLKFKDVHQMSDVLFCKLSARFDELFSALNDVSAHSVQHSHSIFHVNVKAAIKELTLLLRCCIVVFKLLLLDQRLLVEKGRILLGILRKCVSVELNGENEKPCSSFEKEVSCECMYVGNGSATLLTEHLVTSVTSLSFTELSNPFQAILCAVLEVFADELLMHEPVRQYLLLVDSLSCGNEFVFIRHFGHGNIGSVLEVLSAHFIVSISDDQVFKNFLNRLFWLPDNNFRVPEMTLTTVLSLLLNPVILSAPKMFQAYLILLVSEVIGISMSSEYLIPSGELRAYLSAFERSVALYTRHMSNLQMKGYSIVDNYSFVKSHFRASYSQMDFESCLMPATKEKIHNLITKSDNLCNSYLSSTLLKERSELVAASVAYTKESLHIVEESCRDEILSIISCITLRGSSDDIDDTLLHKKEDTSPQDICLLASILKLMSSAMLQAIRILTQGRNSGSLKTLENVALSKEYDFLASTFNCFEQFGIRLPVQKFLHDMMEIQPTRHKKSKWMFFHLSGLLSLSYASGLDFLVKNCIFTLVILLKLFVYEAGDLHAFRSILDSRIKSPLRESHFEVRKPEPSHNARELLADRKSSHAVALKFQKIQTLYLGTRSQTSSKNRGQEQEPGSSEKNHMLNHVESALSIEENTVETCNGEIFLQCVLEGSRDSSAVADLADFIECKQGKDYSNWLRGRERYRRWKSKKLANVRWKKKRRAFQKKA >KJB59631 pep chromosome:Graimondii2_0_v6:9:21898303:21901812:1 gene:B456_009G264600 transcript:KJB59631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEPVRQYLLLVDSLSCGNEFVFIRHFGHGNIGSVLEVLSAHFIVSISDDQVFKNFLNRLFWLPDNNFRVPEMTLTTVLSLLLNPVILSAPKMFQAYLILLVSEVIGISMSSEYLIPSGELRAYLSAFERSVALYTRHMSNLQMKGYSIVDNYSFVKSHFRASYSQMDFESCLMPATKEKIHNLITKSDNLCNSYLSSTLLKERSELVAASVAYTKESLHIVEESCRDEILSIISCITLRGSSDDIDDTLLHKKEDTSPQDICLLASILKLMSSAMLQAIRILTQGRNSGSLKTLENVALSKEYDFLASTFNCFEQFGIRLPVQKFLHDMMEIQPTRHKKSKWMFFHLSGLLSLSYASGLDFLVKNCIFTLVILLKLFVYEAGDLHAFRSILDSRIKSPLRESHFEVRKPEPSHNARELLADRKSSHAVALKFQKIQTLYLGTRSQTSSKNRGQEQEPGSSEKNHMLNHVESALSIEENTVETCNGEIFLQCVLEGSRDSSAVADLADFIECKQGKDYSNWLRGRERYRRWKSKKLANVRWKKKRRAFQKKA >KJB59630 pep chromosome:Graimondii2_0_v6:9:21898291:21902099:1 gene:B456_009G264600 transcript:KJB59630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMRSSRTKKPVKNPTSFNFQTLISSLSSPQGLKPETMEGLYLLLLTLCCSDSESNLNFSETQLSFNEFKLKFKDVHQMSDVLFCKLSARFDELFSALNDVSAHSVQHSHSIFHVNVKAAIKELTLLLRCCIVVFKLLLLDQRLLVEKGRILLGILRKCVSVELNGENEKPCSSFEKEVSCECMYVGNGSATLLTEHLVTSVTSLSFTELSNPFQAILCAVLEVFADELLMHEPVRQYLLLVDSLSCGNEFVFIRHFGHGNIGSVLEVLSAHFIVSISDDQVFKNFLNRLFWLPDNNFRVPEMTLTTVLSLLLNPVILSAPKMFQAYLILLVSEVIGISMSSEYLIPSGELRAYLSAFERSVALYTRHMSNLQMKGYSIVDNYSFVKSHFRASYSQMDFESCLMPATKEKIHNLITKSDNLCNSYLSSTLLKERSELVAASVAYTKESLHIVEESCRDEILSIISCITLRGSSDDIDDTLLHKKEDTSPQDICLLASILKLMSSAMLQAIRILTQGRNSGSLKTLENVALSKEYDFLASTFNCFEQFGIRLPVQKFLHDMMEIQPTRHKKSKWMFFHLSGLLSLSYASGLDFLVKNCIFTLVILLKLFVYEAGDLHAFRSILDSRIKSPLRESHFEVRKPEPSHNARELLADRKSSHAVALKFQKIQTLYLGCRTRSQTSSKNRGQEQEPGSSEKNHMLNHVESALSIEENTVETCNGEIFLQCVLEGSRDSSAVADLADFIECKQGKDYSNWLRGRERYRRWKSKKLANVRWKKKRRAFQKKA >KJB63212 pep chromosome:Graimondii2_0_v6:9:8216969:8220503:1 gene:B456_009G112700 transcript:KJB63212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQDGGQVAHPYGGGGGGGGNGGYVGGRGGGGGYGPSSKNRGGAGGYQGGDSGRGGGRGGGGRDGGWLCPNPSCGNLNFTRRVECNKCGASSPVGSSDRGSSGYNRGSTGGYGGNRGGRGDGARGGYDSGRNNNYAGRGGNYDNRSGGYGHVPPPSPSAYSGSASGNYPPAPNAYDGNTNYGMDAVPPPASYTGGPTSYPQSYGGPAGGYGGEGLSDVRSGGRGGHASGYDSGYGPGGPCHQGGGYGGHSADAPFKIKQCDDTCGDSCDNTRIYISNLPTDITIEELRDLFGGIGQVGRIKQKRGYKDQWPWNIKIYEDEKGNQKGDAVLTYEDPQAAHSAGSSFNNHVMRGYTINVAMAEKTAPKVYDHGGRKGGYGDRRRDNYRGGGSGPDRHHYGGNRSRPY >KJB63215 pep chromosome:Graimondii2_0_v6:9:8216984:8220497:1 gene:B456_009G112700 transcript:KJB63215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQDGGQVAHPYGGGGGGGGNGGYVGGRGGGGGYGPSSKNRGGAGGYQGGDSGRGGGRGGGGRDGGWLCPNPSCGNLNFTRRVECNKCGASSPVGSSDRGSSGYNRGSTGGYGGNRGGRGDGARGGYDSGRNNNYAGRGGNYDNRSGGYGHVPPPSPSAYSGSASGNYPPAPNAYDGNTNYGMDAVPPPASYTGGPTSYPQSYGGPAGGYGGEGLSDVRSGGRGGHASGYDSGYGPGGPCHQGGGYGGHSADAPFKIKQCDDTCGDSCDNTRIYISNLPTDITIEELRDLFGGIGQVGRIKQKRGYKDQWPWNIKIYEDEKGNQKGDAVLTYEDPQAAHSAGSSFNKAGKVAMGTGAGIITEVEDLGQIDITMVETVHAHTEGYSASTILCTKD >KJB63214 pep chromosome:Graimondii2_0_v6:9:8216984:8220497:1 gene:B456_009G112700 transcript:KJB63214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQDGGQVAHPYGGGGGGGGNGGYVGGRGGGGGYGPSSKNRGGAGGYQGGDSGRGGGRGGGGRDGGWLCPNPSCGNLNFTRRVECNKCGASSPVGSSDRGSSGYNRGSTGGYGGNRGGRGDGARGGYDSGRNNNYAGRGGNYDNRSGGYGHVPPPSPSAYSGSASGNYPPAPNAYDGNTNYGMDAVPPPASYTGGPTSYPQSYGGPAGGYGGEGLSDVRSGGRGGHASGYDSGYGPGGPCHQGGGYGGHSADAPFKIKQCDDTCGDSCDNTRIYISNLPTDITIEELRDLFGGIGQVGRIKQKRGYKDQWPWNIKIYEDEKGNQKGDAVLTYEDPQAAHSAGSSFNNHVMRGYTINVAMAEKTAPKVYDHGYHFNP >KJB63213 pep chromosome:Graimondii2_0_v6:9:8217365:8219428:1 gene:B456_009G112700 transcript:KJB63213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTDYTGGAGGYQGGDSGRGGGRGGGGRDGGWLCPNPSCGNLNFTRRVECNKCGASSPVGSSDRGSSGYNRGSTGGYGGNRGGRGDGARGGYDSGRNNNYAGRGGNYDNRSGGYGHVPPPSPSAYSGSASGNYPPAPNAYDGNTNYGMDAVPPPASYTGGPTSYPQSYGGPAGGYGGEGLSDVRSGGRGGHASGYDSGYGPGGPCHQGGGYGGHSADAPFKIKQCDDTCGDSCDNTRIYISNLPTDITIEELRDLFGGIGQVGRIKQKRGYKDQWPWNIKIYEDEKGNQKGDAVLTYEDPQAAHSAGSSFNKAGKVAMGTGAGIITEVEDLGQIDITMVETVHAHTEGYSASTILCTKD >KJB62307 pep chromosome:Graimondii2_0_v6:9:61927773:61929424:-1 gene:B456_009G410500 transcript:KJB62307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVVVADIFYSNASKVLVWGNIKVWVWRYVVISISWQITVSQYRSQVFPSPPTKHNLLCQAKDQQNKATNSWSMNGRNKVGADRPLRLSDIKENINCLLDT >KJB55717 pep chromosome:Graimondii2_0_v6:9:6631594:6634475:-1 gene:B456_009G090900 transcript:KJB55717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLESYPPVFRQLKASTPPQLAELNNNSNSMGDTAQASSSVDIPVVDLECLSLEKLGEVCKEWGIFRLVNHGIPPSLLSKLEEHVKRVLSLSFESKQALLTNPLSYFWGTPLLTPTGAALRGALNINWLEGINVPLCHLQQFESEDPRLHDFRHYLEEYGRHLSRIATTLFEAMVKNLNLGPKQSESSLDESTGFIRVYRYPSGSLADEAWGMMEHTDSSVLSIVNQDQVGGLEFFKDNEWLLVNPISGTLVVNIGDMLQAISDDQFMSVKHRVRTNKQAERFSICYFVFPAKGSVIRSSKYKPFTYTDFQQQVQHDTKTLGYKVGLQRFKLNAAV >KJB60518 pep chromosome:Graimondii2_0_v6:9:28514762:28517302:1 gene:B456_009G309600 transcript:KJB60518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIMEDHLQQLYFCGHPLLLNHLSSLSKETCCSACGDPLFPTQTSKPPPPPPPPPPPPPPPPLPPPPPPLPSFAPSPGGDAWDYFFPPPPPPLPSFNPPSSSSEKRDESYPLRSSDRSTFSCEECDQFHLHGRCARAPLEFSHHPLHWEHPFLVLQAGSGGSSCVLCQESGKNFIYRCPSCDFTLDFKCALLSHAQKFLEFKYNLHLHPLLFIEDHKDELKKLVCSTCEEPLLDSIYVCIDCRFYIHKNCAQLPTEINHPCHRLHPLKLESHNKSVFCKLCQAKHSGHFYCCPPCNLDIHIQCVWPHPIIENRTRHEHPFNLFWRQGSFICDACGSEGNNLCYICSICHLQVHKKCTSLPRVIKISRHNHFVFHKYLKQEAKIEKQECMICHHDVKMEYGCYCCLKDDCNYFVHVNCATEDTNLYYIVDSENPDELVEKPIESAITCVIEVNEHGDATKIEHVSHEHCLVLGDMTEDDVDKHCDGCTLSILGSFYSCLQCNFFLHKSCADIPMKKHHWFDIHLLDLETNCIFQCDICLQQCCGFVYNCDECEFSFCLKCAMIPDTLDYLSHEHPLFWDSKYKGKCNACGLGVYDGYRCKSCKYAVHFECITLPNVVRHKCDKHFLKLTYRDESDYPEQHYCDICEERRDPNKWFYCCSICDTSVHRGCVLGKYPFIKAGKTYRYEGHSHPLTFVRKMYHYLECAICGEFCEDLALECDICSYIVHWNCISPFFSLNFISLFAHHNVDQP >KJB61092 pep chromosome:Graimondii2_0_v6:9:39210736:39211272:1 gene:B456_009G340300 transcript:KJB61092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAACFTSRSCMLFCRSSTANMNPLPINWLLSLTLVFVVSEVGLLLHKTDVLIYNDLDGGTDLTLHCKSKNNDLGIQHLAYRNYFEFNFRPSFLGNTLFYCTMQWNGTMRWFDIYVEGRDVSRCIRCLLYERPDGPCLSNYEICYHWKSVA >KJB61256 pep chromosome:Graimondii2_0_v6:9:43403573:43404697:1 gene:B456_009G348300 transcript:KJB61256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSDIEGKSMTEEINDLLERLKFSEEESIQVISKNDVQNARGFESWAVGKIMATEIPNREAMYRVFKSLWFTKEEVDFVALKEGVVIVKFGCQEDRSRILNLTPWLFDRCLFSMLPFEKGKDFDSYEFWWSPFWLRIYNIPFELLERQMVLDVRNALGELVAIDWRDRNGGWTEFVRIKVKINVLKPLRRVVKVLDREGTEVIGVIKYERLPDFCYGCGIIGHSSKICRHNKEASKLNESNLQYGSWMRAPLVYPTQDRNIRRNGVELMKSKDQMNADREESQSNSRGESEQMGQKGKETSGEEESMSTSPWEKRSHKAMRDGLGRFKSKRKRHRGLGGENGEESPTKLVKRRLLENVSPFKAVAGDQPRQEQ >KJB54740 pep chromosome:Graimondii2_0_v6:9:3410724:3414061:-1 gene:B456_009G047200 transcript:KJB54740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRIGSVGSLEEGKDPVITTRTSQSKTLPLRALQLFGFFLALCIGFSIISIYTIRRFGIYSTVTTVKSTFVPCAEEPNSLDHWRKPPSSLLHSMSDKELLWRASFVPRIKKYPFNRLPKIAFMFLTKGPLPLAPLWERFFKGHEGLYSVYIHSLPSFKAEFPSSSVFYRRQIPSQVAEWGRMSMCDAERRLLANALLDISNEWFILLSESCIPLYNFSVIYQYIMKSKHSFMGAFDDPGPFGRGRYNYNMVPEVNISKWRKGSQWFEVNRKLAINIVEDVTYYPKFEQFCRPACYVDEHYFPTMLTIQTPNLLANRSITWVDWSRGGPHPGTFGRSDITVDFFKRILEGHQCKYNDQPSSICFLFARKFAPSAMEPLLQIAQKVLGF >KJB54739 pep chromosome:Graimondii2_0_v6:9:3410718:3414381:-1 gene:B456_009G047200 transcript:KJB54739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRIGSVGSLEEGKDPVITTRTSQSKTLPLRALQLFGFFLALCIGFSIISIYTIRRFGIYSTVTTVKSTFVPCAEEPNSLDHWRKPPSSLLHSMSDKELLWRASFVPRIKKYPFNRLPKIAFMFLTKGPLPLAPLWERFFKGHEGLYSVYIHSLPSFKAEFPSSSVFYRRQIPSQVAEWGRMSMCDAERRLLANALLDISNEWFILLSESCIPLYNFSVIYQYIMKSKHSFMGAFDDPGPFGRGRYNYNMVPEVNISKWRKGSQWFEVNRKLAINIVEDVTYYPKFEQFCRPACYVDEHYFPTMLTIQTPNLLANRSITWVDWSRGGPHPGTFGRSDITVDFFKRILEGHQCKYNDQPSSICFLFARKFAPSAMEPLLQIAQKVLGF >KJB55130 pep chromosome:Graimondii2_0_v6:9:4595984:4598765:1 gene:B456_009G064600 transcript:KJB55130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKGIMGSGRRWAVDFSDNSTAPSSRDIPDPPGFTRASVDQDDSTVSRQKKDAESNWKAQKAWEVAQAPFKNLLMMGFMMWMAGNTVHLFSIGITFSALWQPISALQGVGKVFEPYKDNKVDLLGPKLLFIALNLGGLALGVWKLNTLGLLPTHASDWVSSLPPAQEVEYSGGGIPL >KJB55131 pep chromosome:Graimondii2_0_v6:9:4596095:4597504:1 gene:B456_009G064600 transcript:KJB55131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKGIMGSGRRWAVDFSDNSTAPSSRDIPDPPGFTRASVDQDDSTVSRQKKDAESNWKAQKAWEVAQAPFKNLLMMGFMMWMAGNTVHLFSIGITFSALWQPISALQGVGKGKCPLTTKFSLILYYYLIFVSSYISP >KJB56597 pep chromosome:Graimondii2_0_v6:9:9549910:9552525:-1 gene:B456_009G126700 transcript:KJB56597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMSLGVSFSGLLHCIAESVDLVLSELIDQNSVKLLRKFLPRKKIELASPEMGLDSEFKNFKAAYSSSCKHATSSSADSIMKQEHLFPDLRNEGSWSSKEELNQSGVIISHPRKRVCSNFCKGKAVLQASEAEDLNTRLEILEEESQIMKQALLETMAERKKLVNEIYKLLETRRYTLLPKAQEDGHTFSSGSLIIKPWKGPGQGTAESSLLHALLENPRSGDPNANALAILGQSCTSTQ >KJB62752 pep chromosome:Graimondii2_0_v6:9:68184252:68184893:1 gene:B456_009G434100 transcript:KJB62752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIELQLKAELLRSLGKIPKKGVENWLKDVKEMIREAQVVENKVSNGRYHCRACNGKLVDEKTQEMKEFLDKAPNASEALAMDGPSGELPLPTSELVGEEAVRKEIWECLMQEKVSKIGVWGMGGVGKTTIMKHIHNDLLKQQRFERVIWVTISKEFNVMKIQDNIASALESKECLDKEEDELRRAAILSEILKKAGKHVLIRVAAMAASWC >KJB57522 pep chromosome:Graimondii2_0_v6:9:12914815:12922928:1 gene:B456_009G167900 transcript:KJB57522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEWKNVPSNWGRGDPCDDKWVGIGCTGSRVTSLNLPNMKLEGRLVGDIFFLSELKELDLSYNKGLRGILPPAVQNLKKLENLILVGCGFSGQIPDTIGSLPQLRILSLNSNAFSGNIPPSIGNLSTLNWLDMADNQLEGEIPVSNGSTTPGLDWLIHTKHFHFGLNKLSGPIPRKLFSSDMTLIHVLFENNMLSGPLPLTLGLVKTLEVVRFDNNSLEGDLPLNLNNLTRVQDLYLSNNKLTGPLPNLTGMSSLNTLYLSNNSFDSSDVPSWFPTLLSLTTLMMESTQLKGQIPASFFNLPQLQTVVLKQNELDGSFDIGPSFSNQLQIINLQGNSITSFNNTGGPISFDIVLVDNPVCQETGAGANDYCSLPQPDSSSVYTTAPMNCVPNSCGSGQISSPRCICAYPYTGTLQFRGLYFSNLRNGTPYESLEQNLTQFFRFPELLVDTVSLSNPRMDQHLYLLLDLYLFPYGQDRFNTSGISKIASAFSSQDYKPPEQYFGPYVFTGAEYEYFSDGPAHSNKSSAGIAIGAAVGASVLFILLVVAGIYAYRQRKRADRATKESNPFAHWDPKKSSGSIPQLKGARCFSFEELKKYTKKFSEANDIGSGGYGKVYRGTLPTGELVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLLGFCFERGEQMLVYEYIPNGSLSDSLSGKSGIRLDWPRRLKIALGAAKGVAYLHELANPPIIHRDIKSTNILLDERLNAKVADFGLSKPMGDSEKGHVSTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLEIITARRPIERGKYIVREMRMSMDKTKSLYNLQQILDPAIGFGTSSKGLERFVELAMRCVEESGADRPTMGEVVKEIENIMQMDGMNPNAESASSSATYEDATKGADLHPYDNESFAYSGAFPHSAAKIEPH >KJB57523 pep chromosome:Graimondii2_0_v6:9:12915268:12922997:1 gene:B456_009G167900 transcript:KJB57523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAVWLFLVVVLFHIYIVAAETDPDDNSSLRAVMSEWKNVPSNWGRGDPCDDKWVGIGCTGSRVTSLNLPNMKLEGRLVGDIFFLSELKELDLSYNKGLRGILPPAVQNLKKLENLILVGCGFSGQIPDTIGSLPQLRILSLNSNAFSGNIPPSIGNLSTLNWLDMADNQLEGEIPVSNGSTTPGLDWLIHTKHFHFGLNKLSGPIPRKLFSSDMTLIHVLFENNMLSGPLPLTLGLVKTLEVVRFDNNSLEGDLPLNLNNLTRVQDLYLSNNKLTGPLPNLTGMSSLNTLYLSNNSFDSSDVPSWFPTLLSLTTLMMESTQLKGQIPASFFNLPQLQTVVLKQNELDGSFDIGPSFSNQLQIINLQGNSITSFNNTGGPISFDIVLVDNPVCQETGAGANDYCSLPQPDSSSVYTTAPMNCVPNSCGSGQISSPRCICAYPYTGTLQFRGLYFSNLRNGTPYESLEQNLTQFFRFPELLVDTVSLSNPRMDQHLYLLLDLYLFPYGQDRFNTSGISKIASAFSSQDYKPPEQYFGPYVFTGAEYEYFSDGPAHSNKSSAGIAIGAAVGASVLFILLVVAGIYAYRQRKRADRATKESNPFAHWDPKKSSGSIPQLKGARCFSFEELKKYTKKFSEANDIGSGGYGKVYRGTLPTGELVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLLGFCFERGEQMLVYEYIPNGSLSDSLSGKSGIRLDWPRRLKIALGAAKGVAYLHELANPPIIHRDIKSTNILLDERLNAKVADFGLSKPMGDSEKGHVSTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLEIITARRPIERGKYIVREMRMSMDKTKSLYNLQQILDPAIGFGTSSKGLERFVELAMRCVEESGADRPTMGEVVKEIENIMQMDGMNPNAESASSSATYEDATKGADLHPYDNESFAYSGAFPHSAAKIEPH >KJB54940 pep chromosome:Graimondii2_0_v6:9:4038885:4042512:-1 gene:B456_009G055500 transcript:KJB54940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSFRRGEAKGSVVAGSVWETRMKSDEVKGGIKVFNAGSITEENSNGVGGTKRLSLKKGQPVVGGVGMRKTWKNESFEGLEKNPIQIAKGKAEEQCKELSVSVGGISKRNHQIRVAKGRSLEHCKDLSLSVDGIKSPVQVKKGRSEGMRELSKSVDGIERSPIHMKKPRSEVPKRSKDVNEGGERMEGNSVQLMKSKSDQSAGNGNGNEGNQKVLVLDDQNKGNNVPIDEDEEKNGSESEEKCKEFGVCQETSISSNGDIVDDGDGVGVGDDEEISEEEEEEEEIEEVENEKKSFDIKEMTIPEKKLADERKKLPEEKPGKAEVNKLQEYKPNKVVNEVKKISQFHNKTSPFSSTLNKQVPPVVKRAASVYTTPTKPTISDDYHYQSFPQSQNKLQNLVDLVMWRDVSKSALVFGMGTFIIISSSYTQDLNISFISATSYVCLVYLAAIFLYRSIICRGVVDVDELSYVVGEEEAFWLLKLVLPYLNEFLIKLRALFSGDPATTMKLAVLLFVLARCGSSITIWKMTKLGFFGVFIVPKVCSAYSHQLTAYGKFWIRRFRDAWESCTHKNAVAMAIFTLVWNLCSFVGRVWAAFMLFVALRYYQQKMVTNDWVEDEDGPSCQQTWEGPIGKQTHGLAP >KJB56518 pep chromosome:Graimondii2_0_v6:9:9216335:9237982:1 gene:B456_009G123500 transcript:KJB56518 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT2G07680) TAIR;Acc:AT2G07680] MVWATIVFSLRCACFQHIFCDMVLCIWWTIKPLFGIPHLQKAFSSMEVLDCLKESSALFLDIMFCISINCIRIKQASANSSPMEDSLLCAEMDIEEGCHRDSRRMQGFWDHIVFRSIISVMNSGLGKQLGFDDLLSLPIDMDPSTCHKELLSCWQHQHNNSSSNASLFRAIFSAYGWPYLRLGLLKVFNDFIGFAGPLLLNKLIRFLQQGSGNLDGYVLAISLGLVSVVKSFSDTQYTFHLSKLKLKLRSSIMTVIYHKCLSVSIAERSKFSEGEIQTFMSIDADRTVNLCNSFHDMWSLPIQIGVALYLLYTQVKLAFTAGLAITILLIPVNKWISELIASATEKMMKQKDERIRRTGELLAHIRTLKMYSWESLFSSWLMDTRSLEVKHLATRKYLDAWCVFFWATTPTLFSLCTFGLFTLMGHQLDAAMVFTCLALFNNLISPLNSFPWVINGLIDAFISSRRLSRFLCCSEQKPELERKAKFQPSFSNEKYDLVSNDMVVVMHDASCAWSSDNEDQNLVLNHVTLSLPKGLLVAVVGEVGSGKSSLLNSILGEMRLVFGSIYSSGSVAYAPQVPWILSGTTRDNILFGKNLDSQRYADVLEACALDVDISLMAGHDLAYIGEKGTNLSGGQRARLALARAMYQDSDVYLLDDILSAVDAHVAKWILQNAILGPLVEHKTRILCTHNVQAISSADMIVVMERGHVKWVGNSSDLAVSAYSGFASVNDFDASYIHSKLYSTNTSNMDKQSPLLENNTLDVPLEAQDIIKAEQRKEGKVELIVYKKYAGFAGWFISVVILLSAILMQASRNGNDLWLSYWVDTTGISQGKHSTSFYLLVLCIFCIINSSLTLVRAFSFAFGGLKAAVQVHNTLLNKVINAPAQFFDQTPGGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAVVLSYVQVFFLLLLFPFWYIYSKLQFFYRSTSRELRRLDSVSRSPIYASFTETLDGSSTIRAFNSEDYFLARFTELVAQYQRTSYSELIASLWLSLRLQLLAASIISFIAVMAIIGSHGSLPISFGTPGLVGLALSYAAPIVSLLGSFLTSFTETEKEMVSMERALQYIDVPQEELRGFQYLNSSWPFQGVVEFQNVTMKYIPSLPAALNDITFTIAGGTQVGIVGRTGAGKSSVLNSLFRLTPICGGRILVDGLNIVDIPVRDLRARLAVVPQSPFLFEASLRDNLDPLRMSNDAKIWDVLEKCHVKEEVEVAGGLEAKVKEAGTSFSVGQRQLLCLARALLKSSKVLCLDECTANVDAQTASILQKTISTECQGMTVITIAHRISTVLNMDNVFVLNQGTLVEEGNPNALLQDEYSIFSSFAKASTM >KJB56517 pep chromosome:Graimondii2_0_v6:9:9215346:9238019:1 gene:B456_009G123500 transcript:KJB56517 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT2G07680) TAIR;Acc:AT2G07680] MKQLKFLLCRSSPFVWDGNGFSQCFDDLVLGFGINAASIAVICIVGIMRRRARTNWTVNFSEKALLHSIAVIGACLSCVDAILLVKKKLHGDFVLYHELLFRFSHFMVWATIVFSLRCACFQHIFCDMVLCIWWTIKPLFGIPHLQKAFSSMEVLDCLKESSALFLDIMFCISINCIRIKQASANSSPMEDSLLCAEMDIEEGCHRDSRRMQGFWDHIVFRSIISVMNSGLGKQLGFDDLLSLPIDMDPSTCHKELLSCWQHQHNNSSSNASLFRAIFSAYGWPYLRLGLLKVFNDFIGFAGPLLLNKLIRFLQQGSGNLDGYVLAISLGLVSVVKSFSDTQYTFHLSKLKLKLRSSIMTVIYHKCLSVSIAERSKFSEGEIQTFMSIDADRTVNLCNSFHDMWSLPIQIGVALYLLYTQVKLAFTAGLAITILLIPVNKWISELIASATEKMMKQKDERIRRTGELLAHIRTLKMYSWESLFSSWLMDTRSLEVKHLATRKYLDAWCVFFWATTPTLFSLCTFGLFTLMGHQLDAAMVFTCLALFNNLISPLNSFPWVINGLIDAFISSRRLSRFLCCSEQKPELERKAKFQPSFSNEKYDLVSNDMVVVMHDASCAWSSDNEDQNLVLNHVTLSLPKGLLVAVVGEVGSGKSSLLNSILGEMRLVFGSIYSSGSVAYAPQVPWILSGTTRDNILFGKNLDSQRYADVLEACALDVDISLMAGHDLAYIGEKGTNLSGGQRARLALARAMYQDSDVYLLDDILSAVDAHVAKWILQNAILGPLVEHKTRILCTHNVQAISSADMIVVMERGHVKWVGNSSDLAVSAYSGFASVNDFDASYIHSKLYSTNTSNMDKQSPLLENNTLDVPLEAQDIIKAEQRKEGKVELIVYKKYAGFAGWFISVVILLSAILMQASRNGNDLWLSYWVDTTGISQGKHSTSFYLLVLCIFCIINSSLTLVRAFSFAFGGLKAAVQVHNTLLNKVINAPAQFFDQTPGGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAVVLSYVQVFFLLLLFPFWYIYSKLQFFYRSTSRELRRLDSVSRSPIYASFTETLDGSSTIRAFNSEDYFLARFTELVAQYQRTSYSELIASLWLSLRLQLLAASIISFIAVMAIIGSHGSLPISFGTPGLVGLALSYAAPIVSLLGSFLTSFTETEKEMVSMERALQYIDVPQEELRGFQYLNSSWPFQGVVEFQNVTMKYIPSLPAALNDITFTIAGGTQVGIVGRTGAGKSSVLNSLFRLTPICGGRILVDGLNIVDIPVRDLRARLAVVPQSPFLFEASLRDNLDPLRMSNDAKIWDVLEKCHVKEEVEVAGGLEAKVKEAGTSFSVGQRQLLCLARALLKSSKVLCLDECTANVDAQTASILQKTISTECQGMTVITIAHRISTVLNMDNVFVLNQGTLVEEGNPNALLQDEYSIFSSFAKASTM >KJB59351 pep chromosome:Graimondii2_0_v6:9:20428527:20430745:1 gene:B456_009G250900 transcript:KJB59351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDTMNENSRGRAAVLAIGTANPPHCFNQVDYPDFYFRVTKSHHLTSLKDKFRRICEKSAIRKRYMHLTEDIINKNPNLIIYKAPSFDARQEILVTEVPKLGKDAALKAIKEWGQPISNITHLIVCTSSGIDMPAADHQLAKLIGLKSSVQRFMLYQQGCFAAGTALRLAKDLAENNPGARVLAVCSEIMVGSFQPPSETHLDVLVGSALFSDGAAAVIVGANPNATINERPLFQIVSAKQAVIPDSDDVIIAKIREMGMAYYLSKKLPNVIANNIEQCLFETLGPCGVDDWNKLFYVVHPGGPAVLKRIEEKLGLGSDKLKASWHVLSEYGNMWSPSVLFVLDEMRKRSTEEGKTAAATEGLEWGVLLAFGPGLTVETVVLRSIAADSA >KJB59353 pep chromosome:Graimondii2_0_v6:9:20428562:20430787:1 gene:B456_009G250900 transcript:KJB59353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTEDIINKNPNLIIYKAPSFDARQEILVTEVPKLGKDAALKAIKEWGQPISNITHLIVCTSSGIDMPAADHQLAKLIGLKSSVQRFMLYQQGCFAAGTALRLAKDLAENNPGARVLAVCSEIMVGSFQPPSETHLDVLVGSALFSDGAAAVIVGANPNATINERPLFQIVSAKQAVIPDSDDVIIAKIREMGMAYYLSKKLPNVIANNIEQCLFETLGPCGVDDWNKLFYVVHPGGPAVLKRIEEKLGLGSDKLKASWHVLSEYGNMWSPSVLFVLDEMRKRSTEEGKTAAATEGLEWGVLLAFGPGLTVETVVLRSIAADSA >KJB59352 pep chromosome:Graimondii2_0_v6:9:20428562:20430787:1 gene:B456_009G250900 transcript:KJB59352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDTMNENSRGRAAVLAIGTANPPHCFNQVDYPDFYFRVTKSHHLTSLKDKFRRICEKSAIRKRYMHLTEDIINKNPNLIIYKAPSFDARQEILVTEVPKLGKDAALKAIKEWGQPISNITHLIVCTSSGIDMPAADHQLAKLIGLKSSVQRFMLYQQGCFAAGTALRLAKDLAENNPGARVLAVCSEIMVGSFQPPSETHLDVLVGSALFSDGAAAVIVGANPNATINERPLFQIVSAKQAVIPDSDDVIIAKIREMVHPGGPAVLKRIEEKLGLGSDKLKASWHVLSEYGNMWSPSVLFVLDEMRKRSTEEGKTAAATEGLEWGVLLAFGPGLTVETVVLRSIAADSA >KJB61047 pep chromosome:Graimondii2_0_v6:9:36890202:36891360:-1 gene:B456_009G337200 transcript:KJB61047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEHEEVQSESDIIRGDVLESIFCHVPLIHLVPVCHLSKSWNRALFSSLRHFNKPKPWLIVHSQTSRPPYTTAASSVAYDPRSNLWLRINHHPPVEYVSDLRSSNSTLLYMLSISKFSFSFDPLRLTWHQADPPLVWRTDPVVAMLDRRHIVVAGGAYDFEDDPLSVEIYDLETRKWDTSSMWLSIAANTKTLYAMEQASGITCSFDPISRIWLGPFDLRHDPNIYFSVIGISGDNLIMLGLLGNSENVKDIKIWELKGESLELFKEIGAMPKELVEKLKGEHASLSSIKVSLTGDVLCIYNPEEPEELVVCEIDGRRRVSRWGSLKKSTVNDGGRVTERAVLTCADVSLGDLRKAMKSGKGSFNTVNNGILQ >KJB55873 pep chromosome:Graimondii2_0_v6:9:7169311:7173169:-1 gene:B456_009G099000 transcript:KJB55873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFPPSSKTCNQSSCSLFTLYLPSSHYYYPRKIFKLSLQLRQSASSESPSCFNLLLCWVGLVYTEKGLDLMGICLSARINESPSNTGLSSKYVSSDGKDTSSTSSKASSFSAPLTPRSEGEILQSPNLKCFSFADLKMATRNFRPDSVLGEGGFGSVFKAWIDENSLAAAKPGTGTVIAVKRLNQEGFQGHREWLAEVNYLGQLYHPHIVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGTYFQPLSWSLRVKVALGAAKGLAFLHSAETKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTARSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPYLANKCKIFRVLDNRLEGQYSMEAAFKAATLALRCLSAEAKFRPRMKEVVTALEQLQDSGECGSNPNNISSKPRIRRRSTGDTSNGRSTAAYPRPSASPLYA >KJB55870 pep chromosome:Graimondii2_0_v6:9:7169788:7172150:-1 gene:B456_009G099000 transcript:KJB55870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICLSARINESPSNTGLSSKYVSSDGKDTSSTSSKASSFSAPLTPRSEGEILQSPNLKCFSFADLKMATRNFRPDSVLGEGGFGSVFKAWIDENSLAAAKPGTGTVIAVKRLNQEGFQGHREWLAEVNYLGQLYHPHIVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGTYFQPLSWSLRVKVALGAAKGLAFLHSAETKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTARSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPYLANKCKIFRVLDNRLEGQYSMEAAFKAATLALRCLSAEAKFRPRMKEVVTALEQLQDSGECGSNPNNISSKPRIRRRSTGDTSNGRSTAAYPRPSASPLYA >KJB55871 pep chromosome:Graimondii2_0_v6:9:7169311:7173081:-1 gene:B456_009G099000 transcript:KJB55871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFPPSSKTCNQSSCSLFTLYLPSSHYYYPRKIFKLSLQLRQSASSESPSCFNLLLCWVGLVYTEKGLDLMGICLSARINESPSNTGLSSKYVSSDGKDTSSTSSKASSFSAPLTPRSEGEILQSPNLKCFSFADLKMATRNFRPDSVLGEGGFGSVFKAWIDENSLAAAKPGTGTVIAVKRLNQEGFQGHREWLAEVNYLGQLYHPHIVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGTYFQPLSWSLRVKVALGAAKGLAFLHSAETKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTARSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPYLANKCKIFRVLDNRLEGQYSMEAAFKAATLALRCLSAEAKFRPRMKEVVTALEQLQDSGECGSNPNNISSKPRIRRRSTGDTSNGRSTAAYPRPSASPLYA >KJB55872 pep chromosome:Graimondii2_0_v6:9:7169788:7172150:-1 gene:B456_009G099000 transcript:KJB55872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICLSARINESPSNTGLSSKYVSSDGKDTSSTSSKASSFSAPLTPRSEGEILQSPNLKCFSFADLKMATRNFRPDSVLGEGGFGSVFKAWIDENSLAAAKPGTGTVIAVKRLNQEGFQGHREWLAEVNYLGQLYHPHIVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGTYFQPLSWSLRVKVALGAAKGLAFLHSAETKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTARSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPYLANKCKIFRVLDNRLEGQYSMEAAFKAATLALRCLSAEAKFRPRMKEVVTALEQLQDSGECGSNPNNISSKPRIRRRSTGDTSNGRSTAAYPRPSASPLYA >KJB57350 pep chromosome:Graimondii2_0_v6:9:12197640:12202680:-1 gene:B456_009G159300 transcript:KJB57350 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MENPRTRSGSPLCSSSSLDALTTTLSSSIQALGRGFDVTSDIRLLYCKGAPGSRLVQLDEDHTDDLVLSGRVIVPNVSADIKWSMGKGDIERKPVCSFHEMSGYFNEKSGIAGRVPLGSFNAMFNFTGSSRVDAAATKSLAMVGYLIPLCTVKLAKQNLILHEDVRRAVPYTWDPAALASFIENYGTHIVTSATIGGRDVVYVRQHQSSPLSLTDIENYVKDIGDQRFLDSKGQSSAAPLKYKDKDVTVIFRRRGGDDLEQSHARWAETVQSAPDVINMTFMPIVSLLEGVPGIKHLARAIELYLEYKPPIEDLQYFLDFQIARVWAPEQSNIQRKEPVCSSLQFSLMGPKLYISPDQVTVGRKPVTGLRLSLEGNKQNRLAIHLQHLVSLPKILQPHWDVHMAIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTAPIEHTDTCIGDLSGVHIVTGAQLGVWDFGSKNVLHLKLLFSKVPGCTIRRSVWDHSPCSLSTAQRTDGSSSSVSSERTSDNKKEDSSSHVGKLAKIVDSTEMSKGPQDSPGHWLVTGAKLGVDKGKIVLRIKYSLLNY >KJB57349 pep chromosome:Graimondii2_0_v6:9:12197640:12202526:-1 gene:B456_009G159300 transcript:KJB57349 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MFNFTGSSRVDAAATKSLAMVGYLIPLCTVKLAKQNLILHEDVRRAVPYTWDPAALASFIENYGTHIVTSATIGGRDVVYVRQHQSSPLSLTDIENYVKDIGDQRFLDSKGQSSAAPLKYKDKDVTVIFRRRGGDDLEQSHARWAETVQSAPDVINMTFMPIVSLLEGVPGIKHLARAIELYLEYKPPIEDLQYFLDFQIARVWAPEQSNIQRKEPVCSSLQFSLMGPKLYISPDQVTVGRKPVTGLRLSLEGNKQNRLAIHLQHLVSLPKILQPHWDVHMAIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTAPIEHTDTCIGDLSGVHIVTGAQLGVWDFGSKNVLHLKLLFSKVPGCTIRRSVWDHSPCSLSTAQRTDGSSSSVSSERTSDNKKEDSSSHVGKLAKIVDSTEMSKGPQDSPGHWLVTGAKLGVDKGKIVLRIKYSLLNY >KJB59619 pep chromosome:Graimondii2_0_v6:9:21850064:21852330:-1 gene:B456_009G264300 transcript:KJB59619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRRDSPKNSPRKKTTLKHLFDLDPKPYVGSPLPSPRRGGGGTDYEHDGILSAISYCNSVFTFTDPQESPSQQDLKRLKLMDVVSLLKSPKKPLHEQLFSPLMSMVSVNLFRPLPPPSKTSIVSALPDDEELSTFTPLWPHLQLVYDILLRLVLNVDSKKLKDYIDHQFIRNLLYLFQSEDPRERENLKNVFHRIYSRFTSYRSFMRKAMNEVFLHYVFETEKHCGIGELLEIWGSIINGFGVPLKEEHKLFLMRVLLPLHKPKGLQVYHRQLAYCVSQFVQKEPALGGVVVRGILRYWPITNCHKEVLLIGELEELVENIDPDQYRTLALPLCSRITRCFNSCNSQVAERALYVWNNEQFVKMASEAMEEVFPVVVEGMERNLKLHWSKSVKQLTENVKAMLEEMDPPLYDKCLREIHHRESEAHREQIQRQQKWDQIETAAAKHSHFLQPTKTICVSSH >KJB61724 pep chromosome:Graimondii2_0_v6:9:51107421:51108905:-1 gene:B456_009G376200 transcript:KJB61724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKNGLNVRAMGEVPNVDVSNHPSTIDTRVNSKVRKLKSPEPLCYGYFSQENMGKLPSSFILRSLVNGGSHLSNFHSSSSSSSNTFAPHIKALSKKAMSVRGKGKRDDGFENVDHALILFNKMIGRYPMPSILEFTKLFAAIVRMKHYAIVVSMCSQMELLGVSHDVYSMSILINCFCQLDRIDFGLSILGKMLKLGVEPSAVTFSTLINGLCNQSKISEAVCMFDEMTEKGLMEGRAYEPNIVAYSTVIDCLCKNGLLKEALDLFSEVKVKGIRPNIITYNCLIHGMCNSDQQDEATRLLNEMVDNNISLNIVTYTILIDVLCKEGTISKAVEIIDTMRKKGIEPDVITYSTLVDAHCKEGMVSKAEDFVDVMIKREIEPNTSHSWYDGYGYSFQNLADTPSPHIDSTKFIHSGKTFIYCKDLNLTKKKCTPN >KJB60032 pep chromosome:Graimondii2_0_v6:9:24372033:24376371:1 gene:B456_009G286400 transcript:KJB60032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKKNGFIPSSAPEELKKVLVAVASVWGDTIEDMEEFHVFPLKGAMTNEVFQINWPTNHGDLHQKVLVRVYGKGVEVFFNRDDEIRTFECMSELGQGPRLLGRFSDGRIEEFIHARTLSVADLRDPEISSLIAAKLREFNNLDMPGPKNVLLWERLRTWLSQAKRFCSPSTAKEFGLDGLEEEISILEKELTQGYQEIGFCHNDLQYGNIMMDEETRVITLIDYEYASYNPVAYDLANHFCEMAANYHSETPHILDYSIYPDLEERQRFISAYLASSGNEYSDAEVEQLLSDAEKYTLANHLFWGLWGIISGHVNKIDFNYLEYARQRFQQYWLTKPLLLSS >KJB60031 pep chromosome:Graimondii2_0_v6:9:24372033:24376371:1 gene:B456_009G286400 transcript:KJB60031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGVYVPSSRLVNLELFRQINKMALKKNGFIPSSAPEELKKVLVAVASVWGDTIEDMEEFHVFPLKGAMTNEVFQINWPTNHGDLHQKVLVRVYGKGVEVFFNRDDEIRTFECMSELGQGPRLLGRFSDGRIEEFIHARTLSVADLRDPEISSLIAAKLREFNNLDMPGPKNVLLWERLRTWLSQAKRFCSPSTAKEFGLDGLEEEISILEKELTQGYQEIGFCHNDLQYGNIMMDEETRVITLIDYEYASYNPVAYDLANHFCEMAANYHSETPHILDYSIYPDLEERQRFISAYLASSGNEYSDAEVEQLLSDAEKYTLANHLFWGLWGIISGHVNKIDFNYLEYARQRFQQYWLTKPLLLSS >KJB60030 pep chromosome:Graimondii2_0_v6:9:24371766:24376371:1 gene:B456_009G286400 transcript:KJB60030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKKNGFIPSSAPEELKKVLVAVASVWGDTIEDMEEFHVFPLKGAMTNEVFQINWPTNHGDLHQKVLVRVYGKGVEVFFNRDDEIRTFECMSELGQGPRLLGRFSDGRIEEFIHARTLSVADLRDPEISSLIAAKLREFNNLDMPGPKNVLLWERLRTWLSQAKRFCSPSTAKEFGLDGLEEEISILEKELTQGYQEIGFCHNDLQYGNIMMDEETRVITLIDYEYASYNPVAYDLANHFCEMAANYHSETPHILDYSIYPDLEERQRFISAYLASSGNEYSDAEVEQLLSDAEKYTLANHLFWGLWGIISGHVNKIDFNYLEYARQRFQQYWLTKPLLLSS >KJB55632 pep chromosome:Graimondii2_0_v6:9:6265824:6267947:-1 gene:B456_009G086100 transcript:KJB55632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRFFFRKTFSEKHSFNLSFPLHPSTSASPPHQCASSSWVINRFIESFIAKHVQGRGFVPAMPAPRPAGIGTSKPGFGTNVSSPPESWRFPPIVLPTWRPLLIEWGCQCR >KJB53838 pep chromosome:Graimondii2_0_v6:9:608255:612572:-1 gene:B456_009G007300 transcript:KJB53838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPPSEGESSTSQGESSPSQGESSPSRTVSRSSMMESIRSYGLSRLAGIKIDTDELRRRLLMPQYLRFAMLDSITKKDVDGGDQHFPSSGSADVPCPESPVIVFINPRSGGRNGPVLKERLQKLISEEQVLDLEDVKPHEFVRYGLACIEKWANDGDFCAKEIRQNIRIVVAGGDGTVGWVLGCLGELNQNGREPVPPVAIIPLGTGNDLSRSFGWGGSYPFTWKSGIKKALHRASVGPVSNLDSWHVVVQMPGGEVADPPHSLKAAEECSLDKTLETEGDLPDKVNYYEGVFYNYFSIGMDAKVAYGFHHFRNEKPHLAQGPLANKIIYSGYSCSQGWFLTTCTSDPSLRGLKNILKMHVKKVNSTEWEQIPVPKRGALSRHALMMVF >KJB53837 pep chromosome:Graimondii2_0_v6:9:608494:612472:-1 gene:B456_009G007300 transcript:KJB53837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPPSEGESSTSQGESSPSQGESSPSRTVSRSSMMESIRSYGLSRLAGIKIDTDELRRRLLMPQYLRFAMLDSITKKDVDGGDQHFPSSGSADVPCPESPVIVFINPRSGGRNGPVLKERLQKLISEEQVLDLEDVKPHEFVRYGLACIEKWANDGDFCAKEIRQNIRIVVAGGDGTVGWVLGCLGELNQNGREPVPPVAIIPLGTGNDLSRSFGWGGSYPFTWKSGIKKALHRASVGPVSNLDSWHVVVQMPGGEVADPPHSLKAAEECSLDKTLETEGDLPDKVNYYEGVFYNYFSIGMDAKVAYGFHHFRNEKPHLAQGPLANKIIYSGYSCSQGWFLTTCTSDPSLRGLKNILKMHVKKVNSTEWEQIPVPKSVRAVVALNLHNYGSGRNPWGNLKPKYLEKRGFVEARSDDGLLEIFGLKEGWHASFVMTELISAKHIAQAASIRMEIRGGEWKEAFMQMDGEPWKQPICNDYSTFVEINRVPFQSVLVNGE >KJB55183 pep chromosome:Graimondii2_0_v6:9:4823807:4827656:1 gene:B456_009G067800 transcript:KJB55183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYTGKRAVNGLVPGKGSGLILKDHVNIREQNPQFCNRIGCSRRLNSMKGTPNCYSGKAKCSKPSYHPSSSGKEIIGSSSGVYTEVSNIRKFSTNILRKLSSQLEIDSSETSSVQEEPEVSELLSPLGKIQGGLLPESEDSDSGEVTVMEVGSSSVASNTKRGRSFFQKSGLGNQDTPASPSVTLASRSAFQATQGNTSKYCLRNLRCDSTSVVAPAGCSSSDSSFSRRKYSVKKRDSEGESSSSTWGKKLSGSSPEGLNNSSSLSGSISDSRRARNWSSNRDCGIASSVRTQRSNSSYGRGRLPNQANGNSLTLNESPIVIPQAPQSDIRTDMNAPVPIETASTRTSSYSRSGSIDESLRGFMPSSPSEVSGYHSSVNQGSFQHYNMDGFAEVLLELERIEQDEELTYEQLLVLETSLLLNGLDFYDRHRDMRLDIDDMSYEELLALEERMGTVSTAVPEEALSKCLKNGIYKATSLEDANVRFEGEKDDIKCSICQEEYVIGDEVGRLHCEHRYHIACIQEWLRMKNWCPICKASAEPTQSCSPTSYSS >KJB55185 pep chromosome:Graimondii2_0_v6:9:4823006:4827800:1 gene:B456_009G067800 transcript:KJB55185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYTGKRAVNGLVPGKGSGLILKDHVNIREQNPQFCNRIGCSRRLNSMKGTPNCYSGKAKCSKPSYHPSSSGKEIIGSSSGVYTEVSNIRKFSTNILRKLSSQLEIDSSETSSVQEEPEVSELLSPLGKIQGGLLPESEDSDSGEVTVMEVGSSSVASNTKRGRSFFQKSGLGNQDTPASPSVTLASRSAFQATQGNTSKYCLRNLRCDSTSVVAPAGCSSSDSSFSRRKYSVKKRDSEGESSSSTWGKKLSGSSPEGLNNSSSLSGSISDSRRARNWSSNRDCGIASSVRTQRSNSSYGRGRLPNQANGNSLTLNESPIVIPQAPQSDIRTDMNAPVPIETASTRTSSYSRSGSIDESLRGFMPSSPSEVSGYHSSVNQGSFQHYNMDGFAEVLLELERIEQDEELTYEQLLVLETSLLLNGLDFYDRHRDMRLDIDDMSYEELLALEERMGTVSTAVPEEALSKCLKNGIYKATSLEDANVRFEGEKDDIKCSICQEEYVIGDEVGRLHCEHRYHIACIQEWLRMKNWCPICKASAEPTQSCSPTSYSS >KJB55184 pep chromosome:Graimondii2_0_v6:9:4824825:4826390:1 gene:B456_009G067800 transcript:KJB55184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYTGKRAVNGLVPGKGSGLILKDHVNIREQNPQFCNRIGCSRRLNSMKGTPNCYSGKAKCSKPSYHPSSSGKEIIGSSSGVYTEVSNIRKFSTNILRKLSSQLEIDSSETSSVQEEPEVSELLSPLGKIQGGLLPESEDSDSGEVTVMEVGSSSVASNTKRGRSFFQKSGLGNQDTPASPSVTLASRSAFQATQGNTSKYCLRNLRCDSTSVVAPAGCSSSDSSFSRRKYSVKKRDSEGESSSSTWGKKLSGSSPEGLNNSSSLSGSISDSRRARNWSSNRDCGIASSVRTQRSNSSYGRGRLPNQANGNSLTLNESPIVIPQAPQSDIRTDMNAPVPIETASTRTSSYSRSGSIDESLRGFMPSSPSEVSGYHSSVNQGSFQHYNMDGFAEVLLELERIEQDEELTYEVISFEVPEFT >KJB55182 pep chromosome:Graimondii2_0_v6:9:4823807:4827656:1 gene:B456_009G067800 transcript:KJB55182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYTGKRAVNGLVPGKGSGLILKDHVNIREQNPQFCNRIGCSRRLNSMKGTPNCYSGKAKCSKPSYHPSSSGKEIIGSSSGVYTEVSNIRKFSTNILRKLSSQLEIDSSETSSVQEEPEVSELLSPLGKIQGGLLPESEDSDSGEVTVMEVGSSSVASNTKRGRSFFQKSGLGNQDTPASPSVTLASRSAFQATQGNTSKYCLRNLRCDSTSVVAPAGCSSSDSSFSRRKYSVKKRDSEGESSSSTWGKKLSGSSPEGLNNSSSLSGSISDSRRARNWSSNRDCGIASSVRTQRSNSSYGRGRLPNQANGNSLTLNESPIVIPQAPQSDIRTDMNAPVPIETASTRTSSYSRSGSIDESLRGFMPSSPSEVSGYHSSVNQGSFQHYNMDGFAEVLLELERIEQDEELTYEQLLVLETSLLLNGLDFYDRHRDMRLDIDDMSYEELLALEERMGTVSTAVPEEALSKCLKNGIYKATSLEDANVRFEGEKDDIKCSICQEEYVIGDEVGRLHCEHRYHIACIQEWLRMKNWCPICKASAEPTQSCSPTSYSS >KJB60282 pep chromosome:Graimondii2_0_v6:9:26059382:26063935:-1 gene:B456_009G297900 transcript:KJB60282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFSGEIAISSFFEALFANLTSPDTLHFATEKEVQKELNKLETVLRTVHAVLADAEEKQLKDQHVKMWLSKLRDLAFDVDDILDEFATEALRNKLTKDQQAHRSKVRKIIHSFTASFGPNAFLFRYKMMNKIKAISSRLDDLVTWKNELQLREFDVGRPKRVERPPTSSLVNEALVYGREYDKNVVIDLLLMDGDTDVQVSVVPIVGIGGIGKTTLAQLVYNDNRVQDLFDVKAWVCVSEDFNIVRITKSILQSVTCDASCNDVNNLNLLQVKLKEKLSKKKFLLVLDDIWNMSYNDWTILRSPFEVGDSRSKILVTTRNQNVSSVMKTVPDYSLKELSNDDCLFILAQHSIGAKDFSGHLDLKELGEQIVKKCKGLPLAAKTIGGLLRTRVDPDAWKDVLENEIWNSSEEQSGIIPALRLSYYHLPQHLKQCFSYCSIIPKDYEFREEEVVLLWMAEGMLQQVNTKRLQIKKLGSMYFQDLVSRSFFQRCSRNKSQFMMHDLINDLARSVAGDICFRLEGDEKILSCARYSSFIRSRYNGFKRFHTVGDAEHLRTFMSFMLPNDGDCYLSNSVLIDLLPRLRRLRVLSLEGYYLTKLPDSIGDLTHIRYLNFSYTKIKSLPESICTLFNLQTLLLRGCDRLKHLPSDLRLLANLQHLDITDANSIERMPFGIGELIGLQSLSNFVIGQGVGYQIRELKNLSYLKGQLSISGLEHLVNAQDATEAMLFSKFSLDDLELKWSADVKGDLQNAGVEKEVLSLLQPHKKLKKLSIKCYGGQTFPTWVRDCSLKNLMFLEFEHCHKCTSLPALGQLPFLKALYVKGMDYVNKIGVEFYGENCSNAFPALEILRFEEMPELKEWNTYQADEKTGNFNCLRDLSVKKCPKLLGSLPNYLPCLEKLVIHDCQLLEVSVPKHSRLYEIEIVGCKRVRHDGSLDLCSIKKATLSNITWFSCLKGLMLGLSQTEFLRVGGCRELPSLCHNGAGWLAQSSFLRNLEILNCSQLVSMGISIGGQKEEVRQMETLCSLEHLRIKHCEKLEKLSATMYNLTSLRELEIVKCPKLLSFSHDNLPLTLKGLVVKNCDNLKCLLDEDGMNISRRSLLSHLIIERCRSLASLSSTGDLPVKLQHLKIWSCPKLAYLSSSGYLPVGLKHLRIDTCQMLESIADSVHNNTCLESIFIARCEKIQYLPDGLDKLSYLQQLHIECFRNLVSVSRLPSTGLHVLHLSWCRILQALPNGLHCLTYLQELEISNCPCLLTFPEEGFPTNLTSLTISKPEILEGLIHWGFHKLTSLKRLAIHGGYSDGVMFPHEGKGMMLPCSLSKLAISDFPNVETLSSKGFQNLPFLECLSIVKLPKLKCLPGRDMLMSLLELYIHDCPLLKESCRRDEGKDWSNIARIPFVQIDNRFIYDTEEEEESDSEE >KJB54950 pep chromosome:Graimondii2_0_v6:9:4833436:4837163:1 gene:B456_009G068000 transcript:KJB54950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVNFMQEEDIRLETTRARFANVVKRHAQLTERLSRDSDKMIFERLQKEFEAARASQSQEINLDGEQWNDGLLATVREQVHMEADRKTTSGDANDMPTSHFQEKVTYRVGNKVICCLEGTRIGILYETSFAGEPCELYHCVLESKSFLEKMTVLEHTIPFFLPIREVENDLLSSNAMRFIDHIGELLQAYVDRREQVRLVKELYGNQIGELYHSLPYHMIEFVLEDSDCKVTVSLRYPDLVSVLPTRVKVLAWPMHQYKKSHTSSAGAMGSQPIPARLSYAEDALRTMSLPEAYAEIVLNLQQALQQMFQGGSPC >KJB54951 pep chromosome:Graimondii2_0_v6:9:4833436:4837163:1 gene:B456_009G068000 transcript:KJB54951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEIMQVKQPKSLSQAMGEVNFMQEEDIRLETTRARFANVVKRHAQLTERLSRDSDKMIFERLQKEFEAARASQSQEINLDGEQWNDGLLATVREQVHMEADRKTTSGDANDMPTSHFQEKVTYRVGNKVICCLEGTRIGILYETSFAGEPCELYHCVLESKSFLEKMTVLEHTIPFFLPIREVENDLLSSNAMRFIDHIGELLQAYVDRREQVRLVKELYGNQIGELYHSLPYHMIEFVLEDSDCKVTVSLRYPDLVSVLPTRVKVLAWPMHQYKKSHTSSAGAMGSQPIPARLSYAEDALRTMSLPEAYAEIVLNLQQALQQMFQGGSPC >KJB54960 pep chromosome:Graimondii2_0_v6:9:4833436:4837163:1 gene:B456_009G068000 transcript:KJB54960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEDIRLETTRARFANVVKRHAQLTERLSRDSDKMIFERLQKEFEAARASQSQEINLDGEQWNDGLLATVREQVHMEADRKTTSGDANDMPTSHFQEKVTYRVGNKVICCLEGTRIGILYETSFAGEPCELYHCVLESKSFLEKMTVLEHTIPFFLPIREVENDLLSSNAMRFIDHIGELLQAYVDRREQVRLVKELYGNQIGELYHSLPYHMIEFVLEDSDCKVTVSLRYPDLVSVLPTRVKVLAWPMHQYKKSHTSSAGAMGSQPIPARLSYAEDALRTMSLPEAYAEIVLNLQQALQQMFQGGSPC >KJB54963 pep chromosome:Graimondii2_0_v6:9:4833436:4837163:1 gene:B456_009G068000 transcript:KJB54963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADRKTTSGDANDMPTSHFQEKVTYRVGNKVICCLEGTRIGILYETSFAGEPCELYHCVLESKSFLEKMTVLEHTIPFFLPIREVENDLLSSNAMRFIDHIGELLQAYVDRREQVRLVKELYGNQIGELYHSLPYHMIEFVLEDSDCKVTVSLRYPDLVSVLPTRVKVLAWPMHQYKKSHTSSAGAMGSQPIPARLSYAEDALRTMSLPEAYAEIVLNLQQALQQMFQGGSPC >KJB54952 pep chromosome:Graimondii2_0_v6:9:4833436:4837163:1 gene:B456_009G068000 transcript:KJB54952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVNFMQEEDIRLETTRARFANVVKRHAQLTERLSRDSDKMIFERLQKEFEAARASQSQEINLDGEQWNDGLLATVREQVHMEADRKTTSGDANDMPTSHFQEKVTYRVGNKVICCLEGTRIGILYETSFAGEPCELYHCVLESKSFLEKMTVLEHTIPFFLPIREVENDLLSSNAMRFIDHIGELLQAYVDRREQVRLVKELYGNQIGELYHSLPYHMIEFVLEDSDCKVTVSLRYPDLVSVLPTRVKVLAWPMHQYKKSHTSSAGAMGSQPIPARLSYAEDALRTMSLPEGITFAFFFCFVMSG >KJB54955 pep chromosome:Graimondii2_0_v6:9:4833436:4837163:1 gene:B456_009G068000 transcript:KJB54955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVNFMQEEDIRLETTRARFANVVKRHAQLTERLSRDSDKMIFERLQKEFEAARASQSQEINLDGEQWNDGLLATVREQVHMEADRKTTSGDANDMPTSHFQEKVTYRVGNKVICCLEGTRIGILYETSFADVYVMAGEPCELYHCVLESKSFLEKMTVLEHTIPFFLPIREVENDLLSSNAMRFIDHIGELLQAYVDRREQVRLVKELYGNQIGELYHSLPYHMIEFVLEDSDCKVTVSLRYPDLVSVLPTRVKVLAWPMHQYKKSHTSSAGAMGSQPIPARLSYAEDALRTMSLPEAYAEIVLNLQQALQQMFQGGSPC >KJB54959 pep chromosome:Graimondii2_0_v6:9:4833436:4837163:1 gene:B456_009G068000 transcript:KJB54959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVNFMQEEDIRLETTRARFANVVKRHAQLTERLSRDSDKMIFERLQKEFEAARASQSQEINLDGEQWNDGLLATVREQVHMEADRKTTSGDANDMPTSHFQEKVTYRVGNKVICCLEGTRIGILYETSFAGEPCELYHCVLESKSFLEKMTVLEHTIPFFLPIREVENDLLSSNAMRFIDHIGELLQAYVDRREQVRLVKELYGNQIGELYHSLPYHMIEFVLEDSDCKVTVSLRYPDLVSVLPTRVKVLAWPMHQYKKSHTSSAGAMGSQPIPARLSYAEDALRTMSLPEAYAEIVLNLQQALQQMFQGGSPC >KJB54953 pep chromosome:Graimondii2_0_v6:9:4833436:4837163:1 gene:B456_009G068000 transcript:KJB54953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVNFMQEEDIRLETTRARFANVVKRHAQLTERLSRDSDKMIFERLQKEFEAARASQSQEINLDGEQWNDGLLATVREQVHMEADRKTTSGDANDMPTSHFQEKVTYRVGNKVICCLEGTRIGILYETSFADVYVMAGEPCELYHCVLESKSFLEKMTVLEHTIPFFLPIREVENDLLSSNAMAYVDRREQVRLVKELYGNQIGELYHSLPYHMIEFVLEDSDCKVTVSLRYPDLVSVLPTRVKVLAWPMHQYKKSHTSSAGAMGSQPIPARLSYAEDALRTMSLPEAYAEIVLNLQQALQQMFQGGSPC >KJB54958 pep chromosome:Graimondii2_0_v6:9:4833786:4836097:1 gene:B456_009G068000 transcript:KJB54958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVNFMQEEDIRLETTRARFANVVKRHAQLTERLSRDSDKMIFERLQKEFEAARASQSQEINLDGEQWNDGLLATVREQVHMEADRKTTSGDANDMPTSHFQEKVTYRVGNKVICCLEGTRIGILYETSFAGEPCELYHCVLESKSFLEKMTVLEHTIPFFLPIREVENDLLSSNAMRFIDHIGELLQAYVDRREQVRLVKELYGNQIGELYHSLPYHMIEFVLEDSDWLVHFAFWRYLYFLHIFIVICWFLNTILSLWFPVDR >KJB54954 pep chromosome:Graimondii2_0_v6:9:4833436:4837163:1 gene:B456_009G068000 transcript:KJB54954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVEDIRLETTRARFANVVKRHAQLTERLSRDSDKMIFERLQKEFEAARASQSQEINLDGEQWNDGLLATVREQVHMEADRKTTSGDANDMPTSHFQEKVTYRVGNKVICCLEGTRIGILYETSFAGEPCELYHCVLESKSFLEKMTVLEHTIPFFLPIREVENDLLSSNAMRFIDHIGELLQAYVDRREQVRLVKELYGNQIGELYHSLPYHMIEFVLEDSDCKVTVSLRYPDLVSVLPTRVKVLAWPMHQYKKSHTSSAGAMGSQPIPARLSYAEDALRTMSLPEAYAEIVLNLQQALQQMFQGGSPC >KJB54962 pep chromosome:Graimondii2_0_v6:9:4833436:4837163:1 gene:B456_009G068000 transcript:KJB54962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYNVKQPKSLSQAMGEVNFMQEEDIRLETTRARFANVVKRHAQLTERLSRDSDKMIFERLQKEFEAARASQSQEINLDGEQWNDGLLATVREQVHMEADRKTTSGDANDMPTSHFQEKVTYRVGNKVICCLEGTRIGILYETSFAGEPCELYHCVLESKSFLEKMTVLEHTIPFFLPIREVENDLLSSNAMRFIDHIGELLQAYVDRREQVRLVKELYGNQIGELYHSLPYHMIEFVLEDSDCKVTVSLRYPDLVSVLPTRVKVLAWPMHQYKKSHTSSAGAMGSQPIPARLSYAEDALRTMSLPEAYAEIVLNLQQALQQMFQGGSPC >KJB54957 pep chromosome:Graimondii2_0_v6:9:4833436:4837163:1 gene:B456_009G068000 transcript:KJB54957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVNFMQEEDIRLETTRARFANVVKRHAQLTERLSRDSDKMIFERLQKEFEAARASQSQEINLDGEQWNDGLLATVREQVHMEADRKTTSGDANDMPTSHFQEKVTYRVGNKVICCLEGTRIGILYETSFAGEPCELYHCVLESKSFLEKMTVLEHTIPFFLPIREVENDLLSSNAMAYVDRREQVRLVKELYGNQIGELYHSLPYHMIEFVLEDSDCKVTVSLRYPDLVSVLPTRVKVLAWPMHQYKKSHTSSAGAMGSQPIPARLSYAEDALRTMSLPEAYAEIVLNLQQALQQMFQGGSPC >KJB54956 pep chromosome:Graimondii2_0_v6:9:4833428:4837163:1 gene:B456_009G068000 transcript:KJB54956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVNFMQEEDIRLETTRARFANVVKRHAQLTERLSRDSDKMIFERLQKEFEAARASQSQEINLDGEQWNDGLLATVREQVHMEADRKTTSGDANDMPTSHFQEKVTYRVGNKVICCLEGTRIGILYETSFAGEPCELYHCVLESKSFLEKMTVLEHTIPFFLPIREVENDLLSSNAMRFIDHIGELLQAYVDRREQVRLVKELYGNQIGELYHSLPYHMIEFVLEDSDCKVTVSLRYPDLVSVLPTRVKVLAWPMHQYKKSHTSSAGAMGSQPIPARLSYAEDALRTMSLPEAYAEIVLNLQQALQQMFQGGSPC >KJB54961 pep chromosome:Graimondii2_0_v6:9:4833786:4836150:1 gene:B456_009G068000 transcript:KJB54961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVNFMQEEDIRLETTRARFANVVKRHAQLTERLSRDSDKMIFERLQKEFEAARASQSQEINLDGEQWNDGLLATVREQVHMEADRKTTSGDANDMPTSHFQEKVTYRVGNKVICCLEGTRIGILYETSFAGEPCELYHCVLESKSFLEKMTVLEHTIPFFLPIREVENDLLSSNAMRFIDHIGELLQAYVDRREQVRLVKELYGNQIGELYHSLPYHMIEFVLEDSDWLVHFAFWRYLYFLHIFIVISR >KJB57640 pep chromosome:Graimondii2_0_v6:9:13340525:13345689:-1 gene:B456_009G173100 transcript:KJB57640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTPGAASSSKICFNSDCKDLKSEIPRKGWRLRTGEFAELCDRCAFAFEEGRFCDTFHLNASGWRTCESCGKRVHCGCIVSVHAFTLLDAGGIECVACARKNVVMGSSSSWSPSLIFHSSLSERFKEYSAKGWTQLAGSGPVPWRQAPSLFNSPVTQPELPSRVPYEVDLSTGIDRLNVCDRLSTPSLEKKKVEDFSERLMNGTLKPGTRDIHEKGNAGINCEEQRSPCLTKFQSSLKEEPNPQFGLAVPYTSPDEANGQNGVSGTHLRPNPQPPLAKQFHSNLQNGTDSSGETQIRNGRPRPDGRGKNNLFPRYWPRFTDQDLQQITGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAHFPPISQPEGLPLKVQDSKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQNMQVQAGDVVTFSRLEPGGKLVMGFRKASTASASDQDNEAKNSNGLSMHGDAEMADPTSWSKVDKSGYIAKEALGAKVAVSRKRKNSMLGSKSKRLRIDNEDLIELKLTWEEAQGLLRPPPNHVASVVVIEGFEFEEYGDAPILGKPTIFATDNMGEKIQWAQCEDCFKWRRLPSNVLLPSKWTCSSNSWDPERSSCSAIQELTAEQLENLLPQCNPGICCLKKTKYVGFV >KJB57639 pep chromosome:Graimondii2_0_v6:9:13338925:13345955:-1 gene:B456_009G173100 transcript:KJB57639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTPGAASSSKICFNSDCKDLKSEIPRKGWRLRTGEFAELCDRCAFAFEEGRFCDTFHLNASGWRTCESCGKRVHCGCIVSVHAFTLLDAGGIECVACARKNVVMGSSSSWSPSLIFHSSLSERFKEYSAKGWTQLAGSGPVPWRQAPSLFNSPVTQPELPSRVPYEVDLSTGIDRLNVCDRLSTPSLEKKKVEDFSERLMNGTLKPGTRDIHEKGNAGINCEEQRSPCLTKFQSSLKEEPNPQFGLAVPYTSPDEANGQNGVSGTHLRPNPQPPLAKQFHSNLQNGTDSSGETQIRNGRPRPDGRGKNNLFPRYWPRFTDQDLQQITGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAHFPPISQPEGLPLKVQDSKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQNMQVQAGDVVTFSRLEPGGKLVMGFRKASTASASDQDNEAKNSNGLSMHGDAEMADPTSWSKVDKSGYIAKEALGAKVAVSRKRKNSMLGSKSKRLRIDNEDLIELKLTWEEAQGLLRPPPNHVASVVVIEGFEFEEYGDAPILGKPTIFATDNMGEKIQWAQCEDCFKWRRLPSNVLLPSKWTCSSNSWDPERSSCSAIQELTAEQLENLLPQCNPAASKKMKAAKQEMENVDALEGLDTLANLAILGEGESLPTSSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCETVKRRFRTLMMRREKKQSQKEAETTSKKQQTSLPDKVPDDDPPPCTNAENSSPKPIKIASEGSEDDPNRVKSSISPFKGQIDLNIQPEREEELSPGSDSGSMMRLLQDATDKHLRQQSTLTSGGNSNSEVSQTQPGGGPEGEKISNSVNLGASHQDIDRDHPVFSIKTSAPTSATG >KJB53836 pep chromosome:Graimondii2_0_v6:9:604486:607264:1 gene:B456_009G007200 transcript:KJB53836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIHLVTELLHFVIPYLIKQVQLIKGAPEQVRSLHSELQFIEKSLKQYESYDRIFMEDNTVQEWLIQIRSLVPETKGIFNTYKTAKHVQNQRTWPNRWLNAVPHVLELRDIGKRVEKMKKKIEEINSYKNKYCLESQVAKPKRNSEWLERQRREVEEENVEGFEVAIQQVTSMLLTKALTREVVSIVGTTGSGKTTLAKKIYRTMSKQFEHPAWAFVPSIYSMRDLLLDILKDLMPIGEETLKLDDVRLAQKLRNFLQGKKYLVVIDGVEETQLWETLRKEKVFPNENHGSRLLLTTRSTRVASLASSSRDHVHKMDPLDETSRWTLLKTLVFKDGRCYPELEHLGKQIATKCDGLPLAIVSLASLLDRDKRYGQWVDIISNVSWYLNQQDSPPCFGILDLTYHTTIPEHLRKCLLYLGAYPSGSEILARQVINLWVAEELVKDEGGSKAEEIAWRYLEDLFSQSLIEVVRKRSDGGIRKFRIHEIWRMFCVLKSERTGFLQVHTKFVSHSSNNTPWRLSIHHELRCILSNNQDYLPDICTLLCFHDRDSHDSKGSKLDFDRSPCLTVLDLGSTRVSKVDENIKRSRLLKYLKLNHPSLSRLPRTLFSLPNLQTLDIKNTCVMFPYLPSGIWGMQDLRHLLLPPYTMLPKRSDQSRKCLWHLQTLSTITPDGNTAALIFHSRFPSLIKLSLNSQEMENTKRCLERLYKLGCLQKLKIINRAVFPVPRTFPTSLVKVSLVKTDLAADDVMRMLEYLDHLQVLKLLKRSIRGPELEMKPNSFPQLRFLFMEEILVKTWRMGDGAMGSLEELTITRCHELESLPKQLRHLHNIRHVKVNSPSHCLRRELEQLNVQQCEVQIHF >KJB61268 pep chromosome:Graimondii2_0_v6:9:43835802:43842440:1 gene:B456_009G349000 transcript:KJB61268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAATMVSSAGGLLAMLNESHPQLKFHAVTNLISFVDQFWPEISTSIPIIESLYEDEEFGQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDISEDSDYVHTLLAKAIDEYASLRSKAAESSDGAAEIDCRLEAIVERMLDKCIIDGKFQQGMGVAIDCRRLDKLEESIIRSDNVHATLAYSTHVSHSFIYRREYRREVLQLLVKLYQKLPSPDYLSTSQCLMFLDEPEGVANILEKLLRSDNKEDALLAFQVAFDLVENEHQAFLLNVRDRLPAPKSLPSESLQPESSDPAPIQNENSTAAEDVQMIDGSSASMTDVLEADPKEVMYAERLTKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRDNLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRNTNVEVIQHGACLGLGLAALGTADEDIYDEVKTVLYTDSAVASEAAGISMGLLMVGTASEKASDMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTQDQDPILRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPAQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTLDAVDFVRQGALIAMAMVMVQINEASDSRVGTFRRQLEKIIRDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAIVGLAVFSQFWYCC >KJB61267 pep chromosome:Graimondii2_0_v6:9:43835985:43840250:1 gene:B456_009G349000 transcript:KJB61267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAATMVSSAGGLLAMLNESHPQLKFHAVTNLISFVDQFWPEISTSIPIIESLYEDEEFGQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDISEDSDYVHTLLAKAIDEYASLRSKAAESSDGAAEIDCRLEAIVERMLDKCIIDGKFQQGMGVAIDCRRLDKLEESIIRSDNVHATLAYSTHVSHSFIYRREYRREVLQLLVKLYQKLPSPDYLSTSQCLMFLDEPEGVANILEKLLRSDNKEDALLAFQVAFDLVENEHQAFLLNVRDRLPAPKSLPSESLQPESSDPAPIQNENSTAAEDVQMIDGSSASMTDVLEADPKEVMYAERLTKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRDNLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRNTNVEVIQHGACLGLGLAALGTADEDIYDEVKTVLYTDSAVASEAAGISMGLLMVGTASEKASDMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTQDQDPILRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPAQVGCVFTHLCVGCDQCFMHLFVHNFLTVLYLWICHWAINF >KJB61266 pep chromosome:Graimondii2_0_v6:9:43835795:43842475:1 gene:B456_009G349000 transcript:KJB61266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAATMVSSAGGLLAMLNESHPQLKFHAVTNLISFVDQFWPEISTSIPIIESLYEDEEFGQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDISEDSDYVHTLLAKAIDEYASLRSKAAESSDGAAEIDCRLEAIVERMLDKCIIDGKFQQGMGVAIDCRRLDKLEESIIRSDNVHATLAYSTHVSHSFIYRREYRREVLQLLVKLYQKLPSPDYLSTSQCLMFLDEPEGVANILEKLLRSDNKEDALLAFQVAFDLVENEHQAFLLNVRDRLPAPKSLPSESLQPESSDPAPIQNENSTAAEDVQMIDGSSASMTDVLEADPKEVMYAERLTKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRDNLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRNTNVEVIQHGACLGLGLAALGTADEDIYDEVKTVLYTDSAVASEAAGISMGLLMVGTASEKASDMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTQDQDPILRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPAQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTLDAVDFVRQGALIAMAMVMVQINEASDSRVGTFRRQLEKIIRDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAIVGLAVFSQFWYWYPLIYFVSLSFSPTAFIGLNYDLKVPRFEFLSHAKPFLFEYPKPTTAPTTTSAVKLPTAVLSTSAKAKARAKKEAEQKANAEKSSGLESSSSSLNTGKGKPSSEKDGEVMQVDSLPEKKAEPEPEPTFEILTNPARVVPAQEKFIKFLEDNRYVPVKSAPSGFVLLKDLRPNEPEVLSLTDAPASVASPTTGRPAVGQQSSSSAMAVDDEPQVPQPFEYTS >KJB56594 pep chromosome:Graimondii2_0_v6:9:9548228:9551057:1 gene:B456_009G126600 transcript:KJB56594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDDYVEYIPVAKRRAMEAQKILQRKGKASALEDETEKANVAEVKPSLLIKATQLKKDQPEISQMEQIVQQEKEMIEHLSDRKTLMSVRELAKGITYTEPLLTGWKPPLHIRRMSRKDRDLIRKQWHIIVDGDEIPPPIKNFKDMKFPDPILKKLKAKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMIALQEEMMMPILPGEGPFGLIVCPSRELARQTYEVVEQFLIPMRENGYPELRPLLCIGGVDMRSQLDVVKKGVHIVVATPGRLKDMLAKKKMSLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQETPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREHAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELSDPMEDVDAITNASGVKGCAYCGGLGHRIRDCPKLEHQKSMAIANSRRDYFGSGGYRGEI >KJB56595 pep chromosome:Graimondii2_0_v6:9:9548439:9551057:1 gene:B456_009G126600 transcript:KJB56595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDDYVEYIPVAKRRAMEAQKILQRKGKASALEDETEKANVAEVKPSLLIKATQLKKDQPEISQMEQIVQQEKEMIEHLSDRKTLMSVRELAKGITYTEPLLTGWKPPLHIRRMSRKDRDLIRKQWHIIVDGDEIPPPIKNFKDMKFPDPILKKLKAKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMIALQEEMMMPILPGEGPFGLIVCPSRELARQTYEVVEQFLIPMRENGYPELRPLLCIGGVDMRSQLDVVKKGVHIVVATPGRLKDMLAKKKMSLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREHAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELSDPMEDVDAITNASGVKGCAYCGGLGHRIRDCPKLEHQKSMAIANSRRDYFGSGGYRGEI >KJB56593 pep chromosome:Graimondii2_0_v6:9:9548083:9551194:1 gene:B456_009G126600 transcript:KJB56593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDDYVEYIPVAKRRAMEAQKILQRKGKASALEDETEKANVAEVKPSLLIKATQLKKDQPEISQMEQIVQQEKEMIEHLSDRKTLMSVRELAKGITYTEPLLTGWKPPLHIRRMSRKDRDLIRKQWHIIVDGDEIPPPIKNFKDMKFPDPILKKLKAKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMIALQEEMMMPILPGEGPFGLIVCPSRELARQTYEVVEQFLIPMRENGYPELRPLLCIGGVDMRSQLDVVKKGVHIVVATPGRLKDMLAKKKMSLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREHAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELSDPMEDVDAITNASGVKGCAYCGGLGHRIRDCPKLEHQKSMAIANSRRDYFGSGGYRGEI >KJB56596 pep chromosome:Graimondii2_0_v6:9:9548271:9551057:1 gene:B456_009G126600 transcript:KJB56596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDDYVEYIPVAKRRAMEAQKILQRKGKASALEDETEKANVAEVKPSLLIKATQLKKDQPEISQMEQIVQQEKEMIEHLSDRKTLMSVRELAKGITYTEPLLTGWKPPLHIRRMSRKDRDLIRKQWHIIVDGDEIPPPIKNFKDMKFPDPILKKLKAKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMIALQEEMMMPILPGEGPFGLIVCPSRELARQTYEVVEQFLIPMRENGYPELRPLLCIGGVDMRSQLDVVKKGVHIVVATPGRLKDMLAKKKMSLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREHAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELSDPMEDVDAITNASGVKGCAYCGGLGHRIRDCPKLEHQKSMAIANSRRDYFGSGGYRGEI >KJB59247 pep chromosome:Graimondii2_0_v6:9:32435743:32438329:1 gene:B456_009G325400 transcript:KJB59247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSVIMVVVGILSSASQALRFELQSGHTKCISEDIKSNSMTVGKYHVVNPNEAHPLPDSLKFAVRVTSPQGNSLHTAEKVETGQFAFTAAEDGDYMACFWAEDHSPQIIMTVDFDWRSGVQAKDWSNVAKKGQVDVMELELKKLYDTVTSIHEEMFYLRER >KJB59245 pep chromosome:Graimondii2_0_v6:9:32435720:32438329:1 gene:B456_009G325400 transcript:KJB59245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSVIMVVVGILSSASQALRFELQSGHTKCISEDIKSNSMTVGKYHVVNPNEAHPLPDSLKFAVRVTSPQGNSLHTAEKVETGQFAFTAAEDGDYMACFWAEDHSPQIIMTVDFDWRSGVQAKDWSNVAKKGQVDVMELELKKLYDTVTSIHEEMFYLREREEEMQALNQATTSKMFWLSFLSLFLCLSVAGIQFWHLKTFFEKKKLI >KJB59246 pep chromosome:Graimondii2_0_v6:9:32435743:32436844:1 gene:B456_009G325400 transcript:KJB59246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSVIMVVVGILSSASQALRFELQSGHTKCISEDIKSNSMTVGKYHVVNPNEAHPLPDSLKFAVRVTSPQGNSLHTAEKVETGQFAFTAAEDGDYMACFWAEDHSPQIIMTVDFDWRSGVQAKDWSNVAKKGQVDVSLFCFSLLLTPTINMIGMSHKIIKYQTTSGSLDT >KJB54927 pep chromosome:Graimondii2_0_v6:9:3961934:3965222:1 gene:B456_009G054700 transcript:KJB54927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGKMIRFLVLFSLLVQVTWAQKGGQPAVGGGGGGGQQNAPPPRPLALMKKWPTLSGYPPLVIARGGFTGLFPESSVTAIDMALSSAASDLAVLCNLQLTKDSVGLCVTSLNLENTTNIEDSFPNASKTYKINGEDVKGYFAMDYSFKALRDKVTIVQNILSRPDVFDNTSPLGSVEEVLHSRPPLFWLNVQYDSFYNEHKLSMSDYIENTIGFQSIQYLSCTEIGFLKKMAKKVTNDTRLILTFLGPDAIEPTEKKKYSDILKDLKAVRAFASGILVPKEYIWPLNENGYLAQRTTLVADAHKFDLEVFAAAFANDIPGSYNYSYDPVAEYLQFINDPNNMVDGFVTDFSQTAANAIACLAGNDTDKKVNALIITHNGASGTYPGCTDLAYEQAVKDGADIIDCSVQMSKDGVAFCLDSPDLARDTTAMSTFLTRAVSIPEIQKEKGIFSFDLTWTEIQSLKPKMYSPFEQNSGLERNPEAKNKGKLISLGDFLGFAKTKPVGVLISIENARYLASKMHLDVVDAVTKTLKNASLEKKQVMIQSDDSAVLSKFKGESGYKRLLRFEEISGVSKQAAEEVKKYADGAVLSRRSLIDIDFDCTTKQTNAVKALHDCNVSVYVYVMRNEFVHIPLDYYADPTIEIATYVIDVEVDGIITEFPKTASRYLRSPCANIHAVYTILASPPGELLKILPKRVIQTKDFPPPPLEIKDIVDPPLPPVGAVPVLDSKPPPQGPHGAQAPAPSSGMAVAANFGFSLAAFMALGLLSMGH >KJB55740 pep chromosome:Graimondii2_0_v6:9:6721879:6724515:-1 gene:B456_009G092000 transcript:KJB55740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASVTIIIVRYLAIPQSVIHSNHPFSFFLANFIASSLLLCFHALLLSLKMEHSADHSQHAPPPPSTVASLPSSASTEVREEESNSGQRLLHRQHRQERRPQSSGGSSYRLNISIYDESRFDVSDDVWYCVIVLIAFWLFASITLMVGFYGSVSLQISPHSSHLVRPNSFFVQSIEFEEPDKQKPGLMVYGFHRPPPLDVEISWTETHDIFIPPNFHKEWLFFLNEGSQVNISYAIRSASSLPLSLVIAQGIESLAKWVEDPSYPNTSLSWNIIYGTGKIQQEIPKSSNYYVAVGNLNTKEVEIQLNFSVNALSYDTSQAYYTCSLGDHLCDLELYLLHPNVAVLSSPGRNEESPNNIWYVKVSYGPRWISYFVGSGVMTVLVLIAFRLWKMKQRRSNVGEMGSQRAPLLAQKDDDIASWGSSYYSLSNDEDEEDPETWQQAATCLEGKPLNDGERSSNNPRHLCVVCFGSPRDCFFLPCGHCATCFTCGTRIAEEAGTCPICRRKMKKVRKVFTV >KJB55738 pep chromosome:Graimondii2_0_v6:9:6721358:6724539:-1 gene:B456_009G092000 transcript:KJB55738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASVTIIIVRYLAIPQSVIHSNHPFSFFLANFIASSLLLCFHALLLSLKMEHSADHSQHAPPPPSTVASLPSSASTEVREEESNSGQRLLHRQHRQERRPQSSGGSSYRLNISIYDESRFDVSDDVWYCVIVLIAFWLFAASITLMVGFYGSVSLQISPHSSHLVRPNSFFVQSIEEWLFFLNEGSQVNISYAIRSASSLPLSLVIAQGIESLAKWVEDPSYPNTSLSWNIIYGTGKIQQEIPKSSNYYVAVGNLNTKEVEIQLNFSVNALSYDTSQAYYTCSLGDHLCDLELYLLHPNVAVLSSPGRNEESPNNIWYVKVSYGPRWISYFVGSGVMTVLVLIAFRLWKMKQRRSNVGEMGSQRAPLLAQKDDDIASWGSSYYSLSNDEDEEDPETWQQAATCLEGKPLNDGERSSNNPRHLCVVCFGSPRDCFFLPCGHCATCFTCGTRIAEEAGTCPICRRKMKKVRKVFTV >KJB55743 pep chromosome:Graimondii2_0_v6:9:6721358:6724539:-1 gene:B456_009G092000 transcript:KJB55743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYGFHRPPPLDVEISWTETHDIFIPPNFHKEWLFFLNEGSQVNISYAIRSASSLPLSLVIAQGIESLAKWVEDPSYPNTSLSWNIIYGTGKIQQEIPKSSNYYVAVGNLNTKEVEIQLNFSVNALSYDTSQAYYTCSLGDHLCDLELYLLHPNVAVLSSPGRNEESPNNIWYVKVSYGPRWISYFVGSGVMTVLVLIAFRLWKMKQRRSNVGEMGSQRAPLLAQKDDDIASWGSSYYSLSNDEDEEDPETWQQAATCLEGKPLNDGERSSNNPRHLCVVCFGSPRDCFFLPCGHCATCFTCGTRIAEEAGTCPICRRKMKKVRKVFTV >KJB55739 pep chromosome:Graimondii2_0_v6:9:6722023:6724515:-1 gene:B456_009G092000 transcript:KJB55739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASVTIIIVRYLAIPQSVIHSNHPFSFFLANFIASSLLLCFHALLLSLKMEHSADHSQHAPPPPSTVASLPSSASTEVREEESNSGQRLLHRQHRQERRPQSSGGSSYRLNISIYDESRFDVSDDVWYCVIVLIAFWLFASITLMVGFYGSVSLQISPHSSHLVRPNSFFVQSIEFEEPDKQKPGLMVYGFHRPPPLDVEISWTETHDIFIPPNFHKEWLFFLNEGSQVNISYAIRSASSLPLSLVIAQGIESLAKWVEDPSYPNTSLSWNIIYGTGKIQQEIPKSSNYYVAVGNLNTKEVEIQLNFSVNALSYDTSQAYYTCSLGDHLCDLELYLLHPNVAVLSSPGRNEESPNNIWYVKVSYGPRWISYFVGSGVMTVLVLIAFRLWKMKQRRSNVGEMGSQRAPLLAQKDDDIASWGSSYYSLSNDEDEEDPETWQQAATCLEGKPLNDGERSSNNPRHLCVVCFGSPRDCFFLPCGHCATCFTCGTRFH >KJB55744 pep chromosome:Graimondii2_0_v6:9:6721879:6723952:-1 gene:B456_009G092000 transcript:KJB55744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFYGSVSLQISPHSSHLVRPNSFFVQSIEFEEPDKQKPGLMVYGFHRPPPLDVEISWTETHDIFIPPNFHKEWLFFLNEGSQVNISYAIRSASSLPLSLVIAQGIESLAKWVEDPSYPNTSLSWNIIYGTGKIQQEIPKSSNYYVAVGNLNTKEVEIQLNFSVNALSYDTSQAYYTCSLGDHLCDLELYLLHPNVAVLSSPGRNEESPNNIWYVKVSYGPRWISYFVGSGVMTVLVLIAFRLWKMKQRRSNVGEMGSQRAPLLAQKDDDIASWGSSYYSLSNDEDEEDPETWQQAATCLEGKPLNDGERSSNNPRHLCVVCFGSPRDCFFLPCGHCATCFTCGTRIAEEAGTCPICRRKMKKVRKVFTV >KJB55736 pep chromosome:Graimondii2_0_v6:9:6721879:6724142:-1 gene:B456_009G092000 transcript:KJB55736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFGIALLFLSHFGFLVTASITLMVGFYGSVSLQISPHSSHLVRPNSFFVQSIEFEEPDKQKPGLMVYGFHRPPPLDVEISWTETHDIFIPPNFHKEWLFFLNEGSQVNISYAIRSASSLPLSLVIAQGIESLAKWVEDPSYPNTSLSWNIIYGTGKIQQEIPKSSNYYVAVGNLNTKEVEIQLNFSVNALSYDTSQAYYTCSLGDHLCDLELYLLHPNVAVLSSPGRNEESPNNIWYVKVSYGPRWISYFVGSGVMTVLVLIAFRLWKMKQRRSNVGEMGSQRAPLLAQKDDDIASWGSSYYSLSNDEDEEDPETWQQAATCLEGKPLNDGERSSNNPRHLCVVCFGSPRDCFFLPCGHCATCFTCGTRIAEEAGTCPICRRKMKKVRKVFTV >KJB55737 pep chromosome:Graimondii2_0_v6:9:6721358:6724539:-1 gene:B456_009G092000 transcript:KJB55737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSADHSQHAPPPPSTVASLPSSASTEVREEESNSGQRLLHRQHRQERRPQSSGGSSYRLNISIYDESRFDVSDDVWYCVIVLIAFWLFASITLMVGFYGSVSLQISPHSSHLVRPNSFFVQSIEFEEPDKQKPGLMVYGFHRPPPLDVEISWTETHDIFIPPNFHKEWLFFLNEGSQVNISYAIRSASSLPLSLVIAQGIESLAKWVEDPSYPNTSLSWNIIYGTGKIQQEIPKSSNYYVAVGNLNTKEVEIQLNFSVNALSYDTSQAYYTCSLGDHLCDLELYLLHPNVAVLSSPGRNEESPNNIWYVKVSYGPRWISYFVGSGVMTVLVLIAFRLWKMKQRRSNVGEMGSQRAPLLAQKDDDIASWGSSYYSLSNDEDEEDPETWQQAATCLEGKPLNDGERSSNNPRHLCVVCFGSPRDCFFLPCGHCATCFTCGTRIAEEAGTCPICRRKMKKVRKVFTV >KJB55742 pep chromosome:Graimondii2_0_v6:9:6721879:6723731:-1 gene:B456_009G092000 transcript:KJB55742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYGFHRPPPLDVEISWTETHDIFIPPNFHKEWLFFLNEGSQVNISYAIRSASSLPLSLVIAQGIESLAKWVEDPSYPNTSLSWNIIYGTGKIQQEIPKSSNYYVAVGNLNTKEVEIQLNFSVNALSYDTSQAYYTCSLGDHLCDLELYLLHPNVAVLSSPGRNEESPNNIWYVKVSYGPRWISYFVGSGVMTVLVLIAFRLWKMKQRRSNVGEMGSQRAPLLAQKDDDIASWGSSYYSLSNDEDEEDPETWQQAATCLEGKPLNDGERSSNNPRHLCVVCFGSPRDCFFLPCGHCATCFTCGTRIAEEAGTCPICRRKMKKVRKVFTV >KJB55741 pep chromosome:Graimondii2_0_v6:9:6721879:6724515:-1 gene:B456_009G092000 transcript:KJB55741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASVTIIIVRYLAIPQSVIHSNHPFSFFLANFIASSLLLCFHALLLSLKMEHSADHSQHAPPPPSTVASLPSSASTEVREEESNSGQRLLHRQHRQERRPQSSGGSSYRLNISIYDESRFDVSDDVWYCVIVLIAFWLFAASITLMVGFYGSVSLQISPHSSHLVRPNSFFVQSIEFEEPDKQKPGLMVYGFHRPPPLDVEISWTETHDIFIPPNFHKEWLFFLNEGSQVNISYAIRSASSLPLSLVIAQGIESLAKWVEDPSYPNTSLSWNIIYGTGKIQQEIPKSSNYYVAVGNLNTKEVEIQLNFSVNALSYDTSQAYYTCSLGDHLCDLELYLLHPNVAVLSSPGRNEESPNNIWYVKVSYGPRWISYFVGSGVMTVLVLIAFRLWKMKQRRSNVGEMGSQRAPLLAQKDDDIASWGSSYYSLSNDEDEEDPETWQQAATCLEGKPLNDGERSSNNPRHLCVVCFGSPRDCFFLPCGHCATCFTCGTRIAEEAGTCPICRRKMKKVRKVFTV >KJB54114 pep chromosome:Graimondii2_0_v6:9:1604533:1606998:-1 gene:B456_009G021100 transcript:KJB54114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTHLTTQILRQAPPVCGSFRRVTTTGLSSSSPLTITFKTRKEPSPKNQKFKWARKLSLVEESSPPKPTVDVEGLATFLYDDLPHLFDDQGIDRTAYDEQVKFRDPITKHDTISGYLFNISLLKVLFRPLFQLHWVKQTGPYEITTRWTMVMKFMPLPWKPELVFTGTSVMGINPNNGKFCSHVDFWDSIENNEYFSLEGLWDVFRQLRVYKTPDLETPRYQILKRTANYEVRKYEPFIVVETIGEKLSGSNGFNAVAGYIFGKNSTTEKIPMTTPVFTHALDPELSDVSIQIVLPSEKDISRLVLSV >KJB54113 pep chromosome:Graimondii2_0_v6:9:1604446:1607283:-1 gene:B456_009G021100 transcript:KJB54113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTHLTTQILRQAPPVCGSFRRVTTTGLSSSSPLTITFKTRKEPSPKNQKFKWARKLSLVEESSPPKPTVDVEGLATFLYDDLPHLFDDQGIDRTAYDEQVKFRDPITKHDTISGYLFNISLLKVLFRPLFQLHWVKQTGPYEITTRWTMVMKFMPLPWKPELVFTGTSVMGINPNNGKFCSHVDFWDSIENNEYFSLEGLWDVFRQLRVYKTPDLETPRYQILKRTANYEVRKYEPFIVVETIGEKLSGSNGFNAVAGYIFGKNSTTEKIPMTTPVFTHALDPELSDVSIQIVLPSEKDISSLPDPSQETVKLRKVEGGVAAALKFSGKPTEDVVREKEKALRSNLMKDGLKPRIGCMLARYNDPGRTWSFTMRNEVLIWLEEFSLD >KJB60600 pep chromosome:Graimondii2_0_v6:9:29551156:29554188:-1 gene:B456_009G314500 transcript:KJB60600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRPNQTVDNSSTTPQQHQRHAAAASSKQPVSTTNAVDTTSVTQRLQKELMALMMCGGDLGVSAFPEGESIFTWIGTIEGGKGTLYEGLSYKLSLHFTSEYPFKPPQVKFETMCFHPNVDQFGNICLDILQDKWSSAYDCRTILLSIQSLLGEPNPESPLNTYAAALWNNKEDYKKMVQEQYFGGKAYES >KJB60602 pep chromosome:Graimondii2_0_v6:9:29551313:29554174:-1 gene:B456_009G314500 transcript:KJB60602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGDLGVSAFPEGESIFTWIGTIEGGKGTLYEGLSYKLSLHFTSEYPFKPPQVKFETMCFHPNVDQFGNICLDILQDKWSSAYDCRTILLSIQSLLGEPNPESPLNTYAAALWNNKEDYKKMVQEQYFGGKAYES >KJB60601 pep chromosome:Graimondii2_0_v6:9:29551313:29552572:-1 gene:B456_009G314500 transcript:KJB60601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGDLGVSAFPEGESIFTWIGTIEGGKGTLYEGLSYKLSLHFTSEYPFKPPQVKFETMCFHPNVDQFGNICLDILQDKWSSAYDCRTILLSIQSLLGGLLALPY >KJB61893 pep chromosome:Graimondii2_0_v6:9:52660732:52663629:-1 gene:B456_009G389100 transcript:KJB61893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHFCSSLIQFKNSFSITEGASLYCDEIAGPKSYPKTNSWKEGTDCCSWDHLNAHAIALDLSCSWLYGNFPSNTTLFLLPQLQKLNIAFNNFNLSQIPSKLDRFTSLFYLNLSHTGFAGEVPSRVSHLSKLVSLDLSSSIYYYEQFTFDKHALEGLVQNLTEVRHLFLDGINMSSVNAHVFMNLSSSLRSLSLAGCDLQGKFPKNIFDLPNLNLLNLGGNQNLNLDPLKFNRSSNLEHLDLSWMSSSTEFIDSVDNLQALEYLDLSGNSSFQGLRKFFGGLPDSMGNLVSLKFLDLSNSNLSTTVPRSLENLLQLTHLDLSVNQLSGQILRSLEGSIPDDITACPNLISLGLSDNLLNGTLPSWLYTAPSLKTIYLSQNQFSGHIEEFQSKSLEYLYLNINKLQGPLPSSIFQLLNLTFLVLSSNNLSGVIEFSMFSNLPNLRLLDLSYNSLSLTSNNTSSVNHILPNLADLLLSSCNLSEFPQFLKGLKSLESVDLSCNKIQGKIPPWMLEVGNDSLSFLNHFPWKDIEVLDLSSSLISGNLPILASTIHVFLISNNSFSGEKNKFYGTIPPTFAKGCRLSNFNLNGNLLEGPLAPSILNCRGLEVLDLGNNKINDTFPHWLGSLPFLQILVLKSNHMHGSLRVNSSRSSPFFSKIQNFDLSSNYFSGPLPVRYINSFKAIINLEKIGSTVSYMGVNDPQGCRFYTYSIGIVMKGQDRELVKTFTMWMIIDLSKNQFEGGPKVIGKLNLLKGLNLSHNNLNGDIPTSIGNLKSLEWLDLSSNRLSGTIPNRLADLPFLSSLNVSDNQLHGQIPQGKQFNTFGNDSYEGNKGLC >KJB55891 pep chromosome:Graimondii2_0_v6:9:7201158:7202078:-1 gene:B456_009G099600 transcript:KJB55891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISRRIVWRHCSQWWIHYVPRNCRQNEKGSHGLSIKIKAVASTRKEYCFWIGGSILVSLSTFEQVLSSSDACCMESIHVAYSMLLPFT >KJB61306 pep chromosome:Graimondii2_0_v6:9:44619406:44626548:1 gene:B456_009G350800 transcript:KJB61306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPVRENNQAVGDFNYSDIGIDDEVPFDDEYFEVMDSEIYEAPVRWGMPDSADSSWVHPIYFAKCLMKAVHVEHDRKMDHPSNGVSIVGCLRPAFADEESYLRRLFHFDYDEGYTSDWKDGEAPKPSSKYGGSKSGSILYRLEIMQIELFSVYGAQSLISLKDFQDAEPDVLVHSTSAILERFSEKGIWCNVALKSLCKKKGLQIEEANLIGVDSLGIDVRIFSGVEVRTCRFPFKIRAMSEAAAEKKIRQLLFPRSRRKKFQSHGDELRDPGS >KJB61303 pep chromosome:Graimondii2_0_v6:9:44616624:44622612:1 gene:B456_009G350800 transcript:KJB61303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAASSFSVGSSQCHLCQVEGAYYSPLVGVNNGWIRHTFDGCKVTDSPGISVRCRNPFFGSTQFHWLSMGRDLSLSKVLVAADYSDSVPNPSSYESNQGYHPLEELKVCKRIQEIQLSSAEIARTTVEANSSALLVFPGTVHSEPHEQISWAEFPYVVDDYGDIFFEILDDENILKDRGASNLVNVLIGMDIPVRENNQAVGDFNYSDIGIDDEVPFDDEYFEVMDSEIYEAPVRWGMPDSADSSWVHPIYFAKCLMKAVHVEHDRKMDHPSNGVSIVGCLRPAFADEESYLRRLFHFDYDEGYTSDWKDGEAPKPSSKYGGSKSGSILYRLEIMQIELFSVYGAQACNLLSLSRNCRI >KJB61301 pep chromosome:Graimondii2_0_v6:9:44616543:44626690:1 gene:B456_009G350800 transcript:KJB61301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAASSFSVGSSQCHLCQVEGAYYSPLVGVNNGWIRHTFDGCKVTDSPGISVRCRNPFFGSTQFHWLSMGRDLSLSKVLVAADYSDSVPNPSSYESNQGYHPLEELKVCKRIQEIQLSSAEIARTTVEANSSALLVFPGTVHSEPHEQISWAEFPYVVDDYGDIFFEILDDENILKDRGASNLVNVLIGMDIPVRENNQAVGDFNYSDIGIDDEVPFDDEYFEVMDSEIYEAPVRWGMPDSADSSWVHPIYFAKCLMKAVHVEHDRKMDHPSNGVSIVGCLRPAFADEESYLRRLFHFDYDEGYTSDWKDGEAPKPSSKYGGSKSGSILYRLEIMQIELFSVYGAQSLISLKDFQDAEPDVLVHSTSAILERFSEKGIWCNVALKSLCKKKGLQIEEANLIGVDSLGIDVRIFSGVEVRTCRFPFKIRAMSEAAAEKKIRQLLFPRSRRKKFQSHGDELRDPGS >KJB61300 pep chromosome:Graimondii2_0_v6:9:44616543:44626690:1 gene:B456_009G350800 transcript:KJB61300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAASSFSVGSSQCHLCQVEGAYYSPLVGVNNGWIRHTFDGCKVTDSPGISVRCRNPFFGSTQFHWLSMGRDLSLSKVLVAADYSDSVPNPSSYESNQGYHPLEELKVCKRIQEIQLSSAEIARTTVENVLIGMDIPVRENNQAVGDFNYSDIGIDDEVPFDDEYFEVMDSEIYEAPVRWGMPDSADSSWVHPIYFAKCLMKAVHVEHDRKMDHPSNGVSIVGCLRPAFADEESYLRRLFHFDYDEGYTSDWKDGEAPKPSSKYGGSKSGSILYRLEIMQIELFSVYGAQSLISLKDFQDAEPDVLVHSTSAILERFSEKGIWCNVALKSLCKKKGLQIEEANLIGVDSLGIDVRIFSGVEVRTCRFPFKIRAMSEAAAEKKIRQLLFPRSRRKKFQSHGDELRDPGS >KJB61305 pep chromosome:Graimondii2_0_v6:9:44618367:44626548:1 gene:B456_009G350800 transcript:KJB61305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDLSLSKVLVAADYSDSVPNPSSYESNQGYHPLEELKVCKRIQEIQLSSAEIARTTVEANSSALLVFPGTVHSEPHEQISWAEFPYVVDDYGDIFFEILDDENILKDRGASNLVNVLIGMDIPVRENNQAVGDFNYSDIGIDDEVPFDDEYFEVMDSEIYEAPVRWGMPDSADSSWVHPIYFAKCLMKAVHVEHDRKMDHPSNGVSIVGCLRPAFADEESYLRRLFHFDYDEGYTSDWKDGEAPKPSSKYGGSKSGSILYRLEIMQIELFSVYGAQSLISLKDFQDAEPDVLVHSTSAILERFSEKGIWCNVALKSLCKKKGLQIEEANLIGVDSLGIDVRIFSGVEVRTCRFPFKIRAMSEAAAEKKIRQLLFPRSRRKKFQSHGDELRDPGS >KJB61304 pep chromosome:Graimondii2_0_v6:9:44616624:44626548:1 gene:B456_009G350800 transcript:KJB61304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAASSFSVGSSQCHLCQVEGAYYSPLVGVNNGWIRHTFDGCKVTDSPGISVRCRNPFFGSTQFHWLSMGRDLSLSKVLVAADYSDSVPNPSSYESNQGYHPLEELKVCKRIQEIQLSSAEIARTTVENVLIGMDIPVRENNQAVGDFNYSDIGIDDEVPFDDEYFEAVHVEHDRKMDHPSNGVSIVGCLRPAFADEESYLRRLFHFDYDEGYTSDWKDGEAPKPSSKYGGSKSGSILYRLEIMQIELFSVYGAQSLISLKDFQDAEPDVLVHSTSAILERFSEKGIWCNVALKSLCKKKGLQIEEANLIGVDSLGIDVRIFSGVEVRTCRFPFKIRAMSEAAAEKKIRQLLFPRSRRKKFQSHGDELRDPGS >KJB61302 pep chromosome:Graimondii2_0_v6:9:44616624:44620932:1 gene:B456_009G350800 transcript:KJB61302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAASSFSVGSSQCHLCQVEGAYYSPLVGVNNGWIRHTFDGCKVTDSPGISVRCRNPFFGSTQFHWLSMGRDLSLSKVLVAADYSDSVPNPSSYESNQGYHPLEELKVCKRIQEIQLSSAEIARTTVEANSSALLVFPGTVHSEPHEQISWAEFPYVVDDYGDIFFEILDDENILKDRGASNLVNVLIGMDIPVRENNQAVGDFNYSDIGIDDEVPFDDEYFEVRNH >KJB55624 pep chromosome:Graimondii2_0_v6:9:6202258:6203339:-1 gene:B456_009G085200 transcript:KJB55624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit B11 [Source:Projected from Arabidopsis thaliana (AT2G27470) UniProtKB/TrEMBL;Acc:Q9ZQH2] MEKVVSEAEELPKAIVRRVVKEKLSECSPDYDFNVHKDAHLAFTESARIFIHYLSATANDICKESKRQTMNAEDVFKALEEIEFSEFVKPLRASLAEFRKKNAGKKGGAAKENEVKKKRKIEYSSAKNGTKTKQKKEDNHKEEEQVEGEQEQDEEEDNEEEENHGSE >KJB55623 pep chromosome:Graimondii2_0_v6:9:6202258:6203294:-1 gene:B456_009G085200 transcript:KJB55623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit B11 [Source:Projected from Arabidopsis thaliana (AT2G27470) UniProtKB/TrEMBL;Acc:Q9ZQH2] MEKVVSEAEELPKAIVRRVVKEKLSECSPDYDFNVHKDAHLAFTESARIFIHYLSATANDICKESKRQTMNAEDVFKALEEIEFSEFVKPLRASLAGRRMLGKRVEQPRKMRSKRRGK >KJB61948 pep chromosome:Graimondii2_0_v6:9:54193956:54208466:-1 gene:B456_009G393700 transcript:KJB61948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein virilizer homolog [Source:Projected from Arabidopsis thaliana (AT3G05680) UniProtKB/Swiss-Prot;Acc:F4J8G7] MGRPEPCVLFSQTFVHTHLDEYVDEVLFAEPVVITACEFLEQNASSASQAVSLVGATSPPSFALEVFVQSEGEARFRRLCQPFLYSHSSSNVLEVEAVVTNHLVVRGSYRSLSLVIYGNTAEDLGQFNIEFDDSSLTNLVSSADGKLEDLPLPLCAFNRTFEESLSSLNVLSLPVVTLDLSVEVKQLLQQMLKILELPNLGHEVHEVVHTLALAAASFVTFDLDSNAINQKHLTSGRNKDFKELNHGISEARRELLELYETLQRKSMNESSESLTECIFMESDADLASSKQLVEMLSPCFHFNRSSSSFGHGQLPESNNVILGLNVALFLCSAKESCFHFVNCGGMDQLAYLFDHQMQNSITITLLLLGVIEQATRHSVGCEGFLGWWPREDENIPSGTSDGYSYLLKLLLQKPRHDVASLATYILHRLRFYEVISRYESEILSILGGLSATTKGTNVASNKLRGVGSLLKKLLHLVISHGPIEDPSPVAHASRYFILGQTDGLVSYKATSGLIASSNCCFSDWEIDLHLLALLKDRGFLPLSAALLSTTILHSEAADVVDTSLEIVSSIGSIILSLLFCRSGLVFLLHQPDLTATLIHALKGADAMNKEECVPLRYASVLISKGFTCSPQEVGIIVETHLRVVNAIDCLLSATPQSEEFLWVLWELCGLARSDCGRQALLAMSFFSEVLSVLIEALHSVKESEPVIKNSGASPLNLAILHSAAEIVEVIVTDSTATSLSSWIGHAMELHKALHSSSPGSNRKDAPTRLLEWIDAGLVYHKNGAVGLLRYAAVLASGGDAHLTSTNILVSDLTDVVDNIVGESSNASDINVMENLGSIISMKSFEGVNLRDSSIAQLTTAFRILAFISENPTVAAALYDEGAITVIYVVLVNCSYMLERSSNSYDYLVDEGTECNSTSDLLLERNREQCLVDLLIPSLVLLITLLQRLQEAKEQHKNTKLMNALLRLHREVSPKLAACAADLSSPYPDSALGFEAVCHLSVSALAYWPVYGWSPGLFHTILASVQTTSSLALGPKETCSLLCLLNDLFPEESIWCWKNGMPLLSALRSLAIGTLLGPHKERQVDWYLECGHLEKLFNQLTPHLDRIAQIIQHYAISALVVIQDMLRVFIIRIACQKAEQASKLLRPILSWIHDHTSDLSSLSDTEAYKVYRCLDFLASLLEHPYAKVLLVGEGFPQILTRVLESCFDATDSDGRQASDCRDFAKYGFALISLCIPVFKSISLLCSSLMFPQYDERHEMHKFDSLSPKDCSIFINQLLKFCQVLPVGKELVSCLTAFRDMGSCTEGRNALLSALLYSSSSTHDELESERGNEKNVNFHFLNESEWRKSPPLLCCWIKLLKSIDSKDHLPPYTLEAANVLALGTLGFCMGGNSWNMNSVVALKFLFGLPDDTTGIGGFPEDNIKYIQEFSTLLSSRIDNDEDYQTSSDIHISMHQVSESVKSLLLLFQNLTAAIEVDDAILYGSLSFPQNNVQVPSGIQHFGQGLDGKADDSLYSGGFEDRFSWELPETLPGRLLQTALPTRRKLQAADSANRSARGDNSVAEITNPTAFQRGLGPSTASSGTTRRDSFRQRKPNTSRPPSMHVDDYVARERSVDGVSNSNVIAVPRVGSSGGRPPSIHVDEFMARQRERQNPAASGTETAAQSKNAAPINGPDNEKVNKSKQLKSDLDDDLQGIDIVFDGEESETDDKLPFPQPDDNLQQPAPVIFEQSSPQSVVEETESDVNGSSQFSHMATPLASNADENAQSEFSSRMSVSRPEMSLTREPSVSSDKKFFEQSDDSKNAVSIKNSSGFDSASGTNSSGFSAPIYSNTPATSVQLPLDSRITPQNFYPKSSAQYAGNIPVAAGSRGMYEQKVLPNQPPLPPMPPPPTILPVQSDYLSSVSGSPSLLQSSIPVSDSKFMRTSMPSPSGTTRPPPPLPSTPPPFASSPYNLASLNTSASQPALYNQSVMGKTELPQGSIGPTIDARLPTSAAGLASYPPPPLMQSLVFNRPPSIPVTPYGTSPALHQGENHPPSILQNPSIPQSSMQTIHSLNQLQKLQRPLQPTQHLRPSMQSSQQLEQVVSSQTPVQMQIQSLPMMHQAHISPVNPYYLPQQPEFSAAQQQMQVELAQQQAPPQTGGTSQQQDSGMSLHEYFQSPEAIQSLLRDREKLCQLLEQHPKLMQMLQEKLGQL >KJB61949 pep chromosome:Graimondii2_0_v6:9:54194613:54208208:-1 gene:B456_009G393700 transcript:KJB61949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein virilizer homolog [Source:Projected from Arabidopsis thaliana (AT3G05680) UniProtKB/Swiss-Prot;Acc:F4J8G7] MGRPEPCVLFSQTFVHTHLDEYVDEVLFAEPVVITACEFLEQNASSASQAVSLVGATSPPSFALEVFVQSEGEARFRRLCQPFLYSHSSSNVLEVEAVVTNHLVVRGSYRSLSLVIYGNTAEDLGQFNIEFDDSSLTNLVSSADGKLEDLPLPLCAFNRTFEESLSSLNVLSLPVVTLDLSVEVKQLLQQMLKILELPNLGHEVHEVVHTLALAAASFVTFDLDSNAINQKHLTSGRNKDFKELNHGISEARRELLELYETLQRKSMNESSESLTECIFMESDADLASSKQLVEMLSPCFHFNRSSSSFGHGQLPESNNVILGLNVALFLCSAKESCFHFVNCGGMDQLAYLFDHQMQNSITITLLLLGVIEQATRHSVGCEGFLGWWPREDENIPSGTSDGYSYLLKLLLQKPRHDVASLATYILHRLRFYEVISRYESEILSILGGLSATTKGTNVASNKLRGVGSLLKKLLHLVISHGPIEDPSPVAHASRYFILGQTDGLVSYKATSGLIASSNCCFSDWEIDLHLLALLKDRGFLPLSAALLSTTILHSEAADVVDTSLEIVSSIGSIILSLLFCRSGLVFLLHQPDLTATLIHALKGADAMNKEECVPLRYASVLISKGFTCSPQEVGIIVETHLRVVNAIDCLLSATPQSEEFLWVLWELCGLARSDCGRQALLAMSFFSEVLSVLIEALHSVKESEPVIKNSGASPLNLAILHSAAEIVEVIVTDSTATSLSSWIGHAMELHKALHSSSPGSNRKDAPTRLLEWIDAGLVYHKNGAVGLLRYAAVLASGGDAHLTSTNILVSDLTDVVDNIVGESSNASDINVMENLGSIISMKSFEGVNLRDSSIAQLTTAFRILAFISENPTVAAALYDEGAITVIYVVLVNCSYMLERSSNSYDYLVDEGTECNSTSDLLLERNREQCLVDLLIPSLVLLITLLQRLQEAKEQHKNTKLMNALLRLHREVSPKLAACAADLSSPYPDSALGFEAVCHLSVSALAYWPVYGWSPGLFHTILASVQTTSSLALGPKETCSLLCLLNDLFPEESIWCWKNGMPLLSALRSLAIGTLLGPHKERQVDWYLECGHLEKLFNQLTPHLDRIAQIIQHYAISALVVIQDMLRVFIIRIACQKAEQASKLLRPILSWIHDHTSDLSSLSDTEAYKVYRCLDFLASLLEHPYAKVLLVGEGFPQILTRVLESCFDATDSDGRQASDCRDFAKYGFALISLCIPVFKSISLLCSSLMFPQYDERHEMHKFDSLSPKDCSIFINQLLKFCQVLPVGKELVSCLTAFRDMGSCTEGRNALLSALLYSSSSTHDELESERGNEKNVNFHFLNESEWRKSPPLLCCWIKLLKSIDSKDHLPPYTLEAANVLALGTLGFCMGGNSWNMNSVVALKFLFGLPDDTTGIGGFPEDNIKYIQEFSTLLSSRIDNDEDYQTSSDIHISMHQVSESVKSLLLLFQNLTAAIEVDDAILYGSLSFPQNNVQVPSGIQHFGQGLDGKADDSLYSGGFEDRFSWELPETLPGRLLQTALPTRRKLQAADSANRSARGDNSVAEITNPTAFQRGLGPSTASSGTTRRDSFRQRKPNTSRPPSMHVDDYVARERSVDGVSNSNVIAVPRVGSSGGRPPSIHVDEFMARQRERQNPAASGTETAAQSKNAAPINGPDNEKVNKSKQLKSDLDDDLQGIDIVFDGEESETDDKLPFPQPDDNLQQPAPVIFEQSSPQSVVEETESDVNGSSQFSHMATPLASNADENAQSEFSSRMSVSRPEMSLTREPSVSSDKKFFEQSDDSKNAVSIKNSSGFDSASGTNSSGFSAPIYSNTPATSVQLPLDSRITPQNFYPKSSAQYAGNIPVAAGSRGMYEQKVLPNQPPLPPMPPPPTILPVQSDYLSSVSGSPSLLQSSIPVSDSKFMRTSMPSPSGTTRPPPPLPSTPPPFASSPYNLASLNTSASQPALYNQSVMGKTELPQGSIGPTIDARLPTSAAGLASYPPPPLMQSLVFNRPPSIPVTPYGTSPALHQGENHPPSILQNPSIPQSSMQTIHSLNQLQKLQRPLQPTQHLRPSMQSSQQLEQVVSSQTPVQMQIQSLPMMHQAHISPVNPYYLPQQPEFSAAQQQMQVELAQQQAPPQTGGTSQQQDSGMSLHEYFQSPEAIQSLLRDREKLCQLLEQHPKLMQMLQVQFLH >KJB59530 pep chromosome:Graimondii2_0_v6:9:21419871:21425126:1 gene:B456_009G259800 transcript:KJB59530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAMGLMRRMPPRHSETALSALLSLLPHNSSDLLSQVDLPLQVLSDDDSGKRFILCEYNRDADSYRSPWSNKYHPRLEDAPYPSSKLRQLEIEANDIFTVYCDQYYEGGISSVYMWEDDNEGFVACFLVKKDGSKTGQGRRGYLEEGTWDAIHVIEVGPEEETTRYCLTSTVMLSLTTDDVSSGTFSLSGSIRRQMNMNLPVADGHLCNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPSEVLPMRLPDS >KJB59531 pep chromosome:Graimondii2_0_v6:9:21419896:21425086:1 gene:B456_009G259800 transcript:KJB59531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAMGLMRRMPPRHSETALSALLSLLPHNSSDLLSQVDLPLQVLSDDDSGKRFILCEYNRDADSYRSPWSNKYHPRLEDAPYPSSKLRQLEIEANDIFTVYCDQYYEGGISSVYMWEDDNEGFVACFLKDGSKTGQGRRGYLEEGTWDAIHVIEVGPEEETTRYCLTSTVMLSLTTDDVSSGTFSLSGSIRRQMNMNLPVADGHLCNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPSEVLPMRLPDS >KJB54252 pep chromosome:Graimondii2_0_v6:9:6149578:6151033:-1 gene:B456_009G084300 transcript:KJB54252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSGDADITSDFLVPQDVNNADGNFFTFTGMRVLIGEDFPTKFTILKASMAEFPALNGHSVSYDVLQFPAVSTNPPHTHPRPPSSFSLSPEAWNPVSWTQPTSFSRSRYKLGLGHFQYNIDANNPALAVSAFGSANAGTVTSQDSICPLNLHGHNTKYKTRVFNSFNCFVGDEEQCLRQDKGHSEVPTTTSTKSLVIFT >KJB57762 pep chromosome:Graimondii2_0_v6:9:13860535:13861245:1 gene:B456_009G179700 transcript:KJB57762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVCRVSVFVLVGVLFLCGCIDGRKLVSEKEVHAVGESKSFFPYIPGYGGGFGWPGAGSHGGGFGGGYGGGFGGGSGMP >KJB57764 pep chromosome:Graimondii2_0_v6:9:13860545:13861245:1 gene:B456_009G179700 transcript:KJB57764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVCRVSVFVLVGVLFLCGCIDGRKLVSEKEVHAVGESKSFFPYIPGYGAGSHGGGFGGGYGGGFGGGSGMP >KJB57763 pep chromosome:Graimondii2_0_v6:9:13860545:13861245:1 gene:B456_009G179700 transcript:KJB57763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVCRVSVFVLVGVLFLCGCIDGRKLVSEKEVHAVGESKSFFPYIPGYGGGFGWPGAGGGLGGGGGGGGGGGGGGGGKGGAGSHGGGFGGGYGGGFGGGSGMP >KJB57761 pep chromosome:Graimondii2_0_v6:9:13860308:13861264:1 gene:B456_009G179700 transcript:KJB57761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVCRVSVFVLVGVLFLCGCIDGRKLVSEKEVHAVGESKSFFPYIPGYGGGFGWPGAGGGLGGGGGGGGGGGGGGGGKGGAGYGFGGGVGGGFGSGNGGLGGGGGGGGGGGGGGAGSHGGGFGGGYGGGFGGGSGMP >KJB60785 pep chromosome:Graimondii2_0_v6:9:32465955:32468287:-1 gene:B456_009G325600 transcript:KJB60785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLRQEKIQKFEEFVDRRLKPDVVHAIAERDKIFDQQKIFSDLRKNIENLEKNSVTSLRTLVNLGSEVYMQAEVPDTQRIFVDVGLGFHVEFTWSEALKFISLREEKLERQIEEYTRLIASIKAQIKLVCEGIRELLQIPEEKTVEGRVF >KJB60782 pep chromosome:Graimondii2_0_v6:9:32465591:32468529:-1 gene:B456_009G325600 transcript:KJB60782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLRQEKIQKFEEFVDRRLKPDVVHAIADDKIFDQQKIFSDLRKNIENLEKNSVTSLRTLVNLGSEVYMQAEVPDTQRIFVDVGLGFHVEFTWSEALKFISLREEKLERQIEEYTRLIASIKAQIKLVCEGIRELLQIPEEKTVEGRVF >KJB60781 pep chromosome:Graimondii2_0_v6:9:32465591:32468551:-1 gene:B456_009G325600 transcript:KJB60781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLRQEKIQKFEEFVDRRLKPDVVHAIAERDKIFDQQKIFSDLRKNIENLEKNSVTSLRTLVNLGSEVYMQAEVPDTQRIFVDVGLGFHVEFTWSEALKFISLREEKLERQIEEYTRLIASIKAQIKLVCEGIRELLQIPEEKTVEGRVF >KJB60784 pep chromosome:Graimondii2_0_v6:9:32465591:32468687:-1 gene:B456_009G325600 transcript:KJB60784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLRQEKIQKFEEFVDRRLKPDVVHAIAERDKIFDQQKIFSDLRKNIENLEKNSVTSLRTLVNLGSEVYMQAEVPDTQRIFVDVGLGFHVEFTWSEALKFISLREEKLERQIEEYTRLIASIKAQIKLVCEGIRELLQIPEEKTVEGRVF >KJB60783 pep chromosome:Graimondii2_0_v6:9:32465591:32468438:-1 gene:B456_009G325600 transcript:KJB60783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLRQEKIQKFEEFVDRRLKPDVVHAIAERDKIFDQQKIFSDLRKNIENLEKNSVTSLRTLVNLGSEVYMQAEVPDTQRIFVDVGLGFHVEFTWSEALKFISLREEKLERQIEEYTRLIASIKAQIKLVCEGIRELLQIPEEKTVEGRVF >KJB57735 pep chromosome:Graimondii2_0_v6:9:13916088:13916838:-1 gene:B456_009G180900 transcript:KJB57735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALNILIMLALLMALTITNLSATPSDDEPLFFHNDGDEDRSQDEPTSVGFTSRFLAQKPRAPMTCDRYPRVCRAAGSAGPDCCKKRCVNVKTDWFNCGMCGKKCRYSEICCKGKCVNPMSNRLHCGGCNNSCNKGSKCLYGMCSYA >KJB55503 pep chromosome:Graimondii2_0_v6:9:5720453:5722865:1 gene:B456_009G079300 transcript:KJB55503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRNGSSEVPPRVYPRAVRRLKPTTLDTDAVSTSNRASRTSKEKSPKVVERYLPRSQAPEKKRPSRISELEVRNSQLQEELKVVKEQLNSSESCKNQAQRDAKEFKKQLLSMSAKPETCRKQLLESASEGTRVVELELISQGRDQALQPELEATRKQQSLDSAVNEIQRLKDHLEMVAESEAKQTKQAESTILDFKDLNGNLIETLSLVSNMKHRLKDGQESEPQAEVLASETLLQLEAAKKTVETLRSEGMKAVEAYNSIASDIDQSRERVYSLEGLINKLKADLINAGCIISLDSDGGRIVVEHPTRESEKPEESQQLEAEISSLRSALETAEIKRLEEQIQSIIQIKSAHEQMDRINTREAELLAELEKANSYIIDLKANLMDKETKLQGISEENEELHMKIEKNLLCRREVELENKLKALKEAVVDLKVNMMDKETKLQNISDENEMLRSEIRKRDMNIGKSNDEVAAELELTRAAKQEAIMKLGLEMEEADKNNRKATRVAEQLEAAQAANSEMAAELRRLKVQSEQWRKAAELAAALLSAGNNGKKLIERTGSLDSNYNPKISSPYTEDMDDDLLNKKNGNMLKKIGILWKKPLK >KJB55502 pep chromosome:Graimondii2_0_v6:9:5720016:5722994:1 gene:B456_009G079300 transcript:KJB55502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKPETCRKQLLESASEGTRVVELELISQGRDQALQPELEATRKQQSLDSAVNEIQRLKDHLEMVAESEAKQTKQAESTILDFKDLNGNLIETLSLVSNMKHRLKDGQESEPQAEVLASETLLQLEAAKKTVETLRSEGMKAVEAYNSIASDIDQSRERVYSLEGLINKLKADLINAGCIISLDSDGGRIVVEHPTRESEKPEESQQLEAEISSLRSALETAEIKRLEEQIQSIIQIKSAHEQMDRINTREAELLAELEKANSYIIDLKANLMDKETKLQGISEENEELHMKIEKNLLCRREVELENKLKALKEAVVDLKVNMMDKETKLQNISDENEMLRSEIRKRDMNIGKSNDEVAAELELTRAAKQEAIMKLGLEMEEADKNNRKATRVAEQLEAAQAANSEMAAELRRLKVQSEQWRKAAELAAALLSAGNNGKKLIERTGSLDSNYNPKISSPYTEDMDDDLLNKKNGNMLKKIGILWKKPLK >KJB58472 pep chromosome:Graimondii2_0_v6:9:16369272:16372434:1 gene:B456_009G211400 transcript:KJB58472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELGLVLQKIIKAAPSKALVIRINLVFLAFFLVIYASLLLRPSSSAYFQNAASLVRCSLRECHHKVEKGVKMEAVLEETEAMKPKRKRNLTMLEVPSFIDEIGGGVKIGMLNFEDEDYSEWEKHGETIPIHFQRVSELFEWKDLFPEWIDEEEEIDGPMCPEIPMPDFSKYDDLDLIVAKLPCEYPVDGWARDVFRLQVHLIVANLAVKKGKRDWNWRTKVVFLSKCRPMLEVFRCNDLVKQEGEWWYYEPEITRLEEKVSLPIGSCNLALPLWGQGNDEVFDVSKIQQATSAAKREAYVTVLHSSESYVCGAITLAQSLLKTGTNRDLILLLDRSITEPKRDALKAAGWQLRFIKRIRNPRAEKGTYNEYNYSKFRLWQMTDYDKVIFIDADILVLKNIDLLFHFPQMTATGNDIWIFNSGIMVVEPSNCTFKLLMNKRKEIFSYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWANSTVETGLKSQLFAADPPKVYSIHYLGLKPWHCYRDYDCNWDIGDQRVYASDVAHQRWWKFYDAMDEKLQQQCGLTERRKIELDWDRKMAEKEGFQDEHWKINITDPRRKFLIN >KJB57101 pep chromosome:Graimondii2_0_v6:9:11272239:11272619:-1 gene:B456_009G148200 transcript:KJB57101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIILLIFMASLVASSLCVYQKVSKRWFVGSGSGHEVECVVCLSKVCKGEKLRSLPICHHSFHLDCIGSWLRVRPTCPLCRISVAPERNFLIASLLSLAKRLGKWVENPLYLELRTAVCESFGYI >KJB53528 pep chromosome:Graimondii2_0_v6:9:70570749:70571511:1 gene:B456_009G454600 transcript:KJB53528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLCFCYTKRISIPTTYADCGFFSNKGFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLLIPY >KJB53529 pep chromosome:Graimondii2_0_v6:9:70570749:70574065:1 gene:B456_009G454600 transcript:KJB53529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLCFCYTKRISIPTTYADCGFFSNKGFHPNINSNGSICLDILKEQWSPALTISKVNLDRIEVKIA >KJB59242 pep chromosome:Graimondii2_0_v6:9:19813147:19818563:1 gene:B456_009G246200 transcript:KJB59242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIVNAPCTVPSVKGFETQNYVGLRPISSLRFNSGRTSTSGRSRGLFVVRACERHDGHVKKIEMSIEECEAAVVAGNAPEAPPVPPKPAAPVGTPVIKPYQLTRRPRRNRKSPALRASFQETSISPANFVYPLFIHEGQEDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSIVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKFPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDSEGFHHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALVEAHEDEAEGADILLVKPGLPYLDIIRLLRDQSPLPIAAYQVSGEYSMIKAGGVLKMIDEERVMMESLMCLRRAGADIILTYFALQAARSLCGEKR >KJB59244 pep chromosome:Graimondii2_0_v6:9:19813534:19818563:1 gene:B456_009G246200 transcript:KJB59244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIVNAPCTVPSVKGFETQNYVGLRPISSLRFNSGRTSTSGRSRGLFVVRACERHDGHVKKIEMSIEECEAAVVAGNAPEAPPVPPKPAAPVGTPVIKPYQLTRRPRRNRQEDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSIVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKFPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDSEGFHHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALVEAHEDEAEGADILLVKPGLPYLDIIRLLRDQSPLPIAAYQVSGEYSMIKAGGVLKMIDEERVMMESLMCLRRAGADIILTYFALQAARSLCGEKR >KJB59239 pep chromosome:Graimondii2_0_v6:9:19813044:19818585:1 gene:B456_009G246200 transcript:KJB59239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIVNAPCTVPSVKGFETQNYVGLRPISSLRFNSGRTSTSGRSRGLFVVRACERHDGHVKKIEMSIEECEAAVVAGNAPEAPPVPPKPAAPVGTPVIKPYQLTRRPRRNRKSPALRASFQETSISPANFVYPLFIHEGQEDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSIVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKFPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDSEGFHHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALVEAHEDEAEGADILLVKPGLPYLDIIRLLRDQSPLPIAAYQVSGEYSMIKAGGVLKMIDEERVMMESLMCLRRAGADIILTYFALQAARSLCGEKR >KJB59243 pep chromosome:Graimondii2_0_v6:9:19813421:19818563:1 gene:B456_009G246200 transcript:KJB59243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGCYRLGWRHGLVEEVAKARDVGVNSIVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKFPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDSEGFHHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALVEAHEDEAEGADILLVKPGLPYLDIIRLLRDQSPLPIAAYQVSGEYSMIKAGGVLKMIDEERVMMESLMCLRRAGADIILTYFALQAARSLCGEKR >KJB59241 pep chromosome:Graimondii2_0_v6:9:19813298:19818563:1 gene:B456_009G246200 transcript:KJB59241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIVNAPCTVPSVKGFETQNYVGLRPISSLRFNSGRTSTSGRSRGLFVVRACERHDGHVKKIEMSIEECEAAVVAGNAPEAPPVPPKPAAPVGTPVIKPYQLTRRPRRNRKSPALRASFQETSISPANFVYPLFIHEGQEDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSIVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKFPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDSEGFHHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALVEAHEDEAEGADILLVKPGLPYLDIIRLLRDQSPLPIAAYQVSGEYSMIKAGGVLKMIDEERVMMESLMCLRRAGADIILTYFALQAARSLCGEKR >KJB59240 pep chromosome:Graimondii2_0_v6:9:19813147:19818563:1 gene:B456_009G246200 transcript:KJB59240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIVNAPCTVPSVKGFETQNYVGLRPISSLRFNSGRTSTSGRSRGLFVVRACERHDGHVKKIEMSIEECEAAVVAGNAPEAPPVPPKPAAPVGTPVIKPYQLTRRPRRNRKSPALRASFQETSISPANFVYPLFIHEGQEDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSIVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKFPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDSEGFHHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALVEAHEDEAEGADILLVKPGLPYLDIIRLLRDQSPLPIAAYQVNTP >KJB56416 pep chromosome:Graimondii2_0_v6:9:9395606:9403228:-1 gene:B456_009G124900 transcript:KJB56416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRSAYASKKTGQPNSTNPISCSPSDIFRTASSKASSKEMERIDNLFYSFANRSSGMIDPEGIETLCSDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGLKALRVDTVSKLRKALPELEKEVRRPSNFVDFYSYSFCYCLTEEKQKSIDIESICQLLDLVLGSQFRAQVDYFIEYLKIQSDYKVINLDQWMGFFRFCNEISFPDLSNYDPDLAWPLVLDNFVEWMQAKQS >KJB56418 pep chromosome:Graimondii2_0_v6:9:9398001:9403122:-1 gene:B456_009G124900 transcript:KJB56418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRSAYASKKTGQPNSTNPISCSPSDIFRTASSKASSKEMERIDNLFYSFANRSSGMIDPEGIETLCSDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGLKALRVDTVSKLRKALPELEKEVRRPSNFVDFYSYSFCYCLTEEKQKSIDIESICQLLDLVLGSQFRAQVDYFIEYLKVSCDNYCI >KJB56419 pep chromosome:Graimondii2_0_v6:9:9398185:9403122:-1 gene:B456_009G124900 transcript:KJB56419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRSAYASKKTGQPNSTNPISCSPSDIFRTASSKASSKEMERIDNLFYSFANRSSGMIDPEGIETLCSDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGLKALRVDTVSKLRKALPELEKEVEISELLAT >KJB56417 pep chromosome:Graimondii2_0_v6:9:9395675:9403122:-1 gene:B456_009G124900 transcript:KJB56417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRSAYASKKTGQPNSTNPISCSPSDIFRTASSKASSKEMERIDNLFYSFANRSSGMIDPEGIETLCSDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGLKALRVDTVSKLRKALPELEKEVRRPSNFVDFYSYSFCYCLTEEKQKSIDIESICQLLDLVLGSQFRAQVDYFIEYLKIQSDYKVINLDQWMGFFRFCNEISFPDLSNYDPDLAWPLVLDNFVEWMQAKQS >KJB56415 pep chromosome:Graimondii2_0_v6:9:9397004:9403018:-1 gene:B456_009G124900 transcript:KJB56415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRSAYASKKTGQPNSTNPISCSPSDIFRTASSKASSKEMERIDNLFYSFANRSSGMIDPEGIETLCSDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGLKALRVDTVSKLRKALPELEKEVRRPSNFVDFYSYSFCYCLTEEKQKSIDIESICQLLDLVLGSQFRAQVDYFIEYLKIQSDYKVINLDQWMGFFRFCNEISFPDLSNYDPDLAWPLVLDNFVEWMQAKQS >KJB62621 pep chromosome:Graimondii2_0_v6:9:66859834:66860183:-1 gene:B456_009G426500 transcript:KJB62621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDIHIPTAFDPFADANAEDAGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGKVCV >KJB62620 pep chromosome:Graimondii2_0_v6:9:66859103:66861218:-1 gene:B456_009G426500 transcript:KJB62620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDIHIPTAFDPFADANAEDAGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGKVIQLQGDQRKNVSTFLVQAGIVKKDSIKIHGF >KJB62622 pep chromosome:Graimondii2_0_v6:9:66859103:66861150:-1 gene:B456_009G426500 transcript:KJB62622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDIHIPTAFDPFADANAEDAGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGKVIQLQGDQRKNVSTFLVQAGIVKKDSIKIHGF >KJB56672 pep chromosome:Graimondii2_0_v6:9:9859606:9860975:-1 gene:B456_009G131400 transcript:KJB56672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQEQELEDIELFQKHVSDRFTELSSPPEDDALLSVSWLHRLLDVFLCCEAEFEAILIMDRDPSQLSKPPFDRLIPEFIERAVKALDICNAVANGVDSVRHCQKLSEIVISALDQNPLGDGHAKRAKKALLMLLSAMNLDDKEGTHVKATERSWSFGRRGVNKEQIAGHFRSLSWQVAKNWSSAKQIQSMMYNLVAPRGAEASGLPSPIYTMNVIMIFVMWALVAAIPCQERNGLPTHFPVPKQLNWAHSLIGLQEKIADEWKKKEKKGMSGLLDELQKMEKLAQSLIDFTDSFHFPGDAEKVKEAAANVSELKEIFGRMDQGLTPLQMHIREVFHRIVRSRTEFLDVLEKGSAPVV >KJB62786 pep chromosome:Graimondii2_0_v6:9:68863470:68866032:-1 gene:B456_009G438200 transcript:KJB62786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYILHHKLPHSLKAPYVFIFQEIKFQSQRVSNNFLPMLKELFIGITEVPFRCAEEVSLWVLAAMKDVGIGFLIEVGFAAFSFVFALVGAMKGQTTETGLFNGAGLGAVAGAITAVQLLESLADGELLSQVSLLVRLVSQKVFIARVNSAMLKAFQWEMNIFESTYTEISNICDVDGAKGLSRSWIQKLPMHEIQSSPMFQSSCSICLQGLKDGEMARNLPRCEHMFHLNCIDEWLSRVGTCPMCRDHVF >KJB62784 pep chromosome:Graimondii2_0_v6:9:68863366:68866052:-1 gene:B456_009G438200 transcript:KJB62784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYILHHKLPHSLKAPYVFIFQEIKFQSQRVSNNFLPMLKELFIGITEVPFRCAEEVSLWVLAAMKDVGIGFLIEVGFAAFSFVFALETIVGAMKGQTTETGLFNGAGLGAVAGAITAVQLLESLADGELLSQVSLLVRLVSQKVFIARVNSAMLKAFQWEMNIFESTYTEISNICDVDGAKGLSRSWIQKLPMHEIQSSPMFQSSCSICLQGLKDGEMARNLPRCEHMFHLNCIDEWLSRVGTCPMCRDHVF >KJB62787 pep chromosome:Graimondii2_0_v6:9:68864248:68866032:-1 gene:B456_009G438200 transcript:KJB62787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYILHHKLPHSLKAPYVFIFQEIKFQSQRVSNNFLPMLKELFIGITEVPFRCAEEVSLWVLAAMKDVGIGFLIEVGFAAFSFVFALETIVGAMKGQTTETGLFNGAGLGAVAGAITAVQLLESLADGELLSQVSLLVRLVSQKVFIARVNSAMLKAFQWEMNIFESTYTEISNICDVDGAKGLSRSWIQKLPMHEIQSSPMFQSSCSICLQVRTNNKHEAKIKRVNFTLRPY >KJB62785 pep chromosome:Graimondii2_0_v6:9:68863470:68866032:-1 gene:B456_009G438200 transcript:KJB62785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYILHHKLPHSLKAPYVFIFQEIKFQSQRVSNNFLPMLKELFIGITEVPFRCAEEVSLWVLAAMKDVGIGFLIEVGFAAFSFVFALETIVGAMKGQTTETGLFNGAGLGAVAGAITAVQLLESLADGELLSQVSLLVRLVSQKVFIARVNSAMLKAFQWEMEQRVCQEVGFKSFQCMKFNLVPCFSLLVQFAYRV >KJB57781 pep chromosome:Graimondii2_0_v6:9:13897793:13900521:1 gene:B456_009G180400 transcript:KJB57781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGWGLTLGNSDPVSSFFHNKTNSTAGPVFRLKQHPDMLQFPVSLAGSREDRPGTSSSSSPASDDNRVAVDEVDFFSNKKHGVVDEKKTGTVIVKTETTSHGGDGPSSDHLDVNTGLHLLTVDDGKSSETEDKREKNALAELQAEFKRVNVENQKLRNMISHVSNNYTALQMHLVTLMQQHRNREAKNTQEHEIQEVKSEVKKDEVVVPRQFMELVPHSGTAETDEMTHPSSEERTRSGSPPNNVEVASKDSIKGNNEISQFNQEERDGKRIDREESPESDGWGPNKAQKLNPAKPIEQSTEATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGIMNPNLLARTILPSSSMATISASAPFPTVTLDLTQSPNPLKFQRPPPQFDGPFPGQPQNLASLSSPQLPQAFGQPPFNQSKFSGLQLSKDMGSSQLGHPQLQQPQLPTLADKVSAATAAITNDPSFTAALAAAITSIIGGAHPNTSSNTSNNDNK >KJB57783 pep chromosome:Graimondii2_0_v6:9:13898534:13900421:1 gene:B456_009G180400 transcript:KJB57783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISHVSNNYTALQMHLVTLMQQHRNREAKNTQEHEIQEVKSEVKKDEVVVPRQFMELVPHSGTAETDEMTHPSSEERTRSGSPPNNVEVASKDSIKGNNEISQFNQEERDGKRIDREESPESDGWGPNKAQKLNPAKPIEQSTEATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGIMNPNLLARTILPSSSMATISASAPFPTVTLDLTQSPNPLKFQRPPPQFDGPFPGQPQNLASLSSPQLPQAFGQPPFNQSKFSGLQLSKDMGSSQLGHPQLQQPQLPTLADKVSAATAAITNDPSFTAALAAAITSIIGGAHPNTSSNTSNNDNK >KJB57782 pep chromosome:Graimondii2_0_v6:9:13897893:13900421:1 gene:B456_009G180400 transcript:KJB57782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISHVSNNYTALQMHLVTLMQQHRNREAKNTQEHEIQEVKSEVKKDEVVVPRQFMELVPHSGTAETDEMTHPSSEERTRSGSPPNNVEVASKDSIKGNNEISQFNQEERDGKRIDREESPESDGWGPNKAQKLNPAKPIEQSTEATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGIMNPNLLARTILPSSSMATISASAPFPTVTLDLTQSPNPLKFQRPPPQFDGPFPGQPQNLASLSSPQLPQAFGQPPFNQSKFSGLQLSKDMGSSQLGHPQLQQPQLPTLADKVSAATAAITNDPSFTAALAAAITSIIGGAHPNTSSNTSNNDNK >KJB60833 pep chromosome:Graimondii2_0_v6:9:32923839:32924432:1 gene:B456_009G327600 transcript:KJB60833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIAFTGDKRSSNQTEVESSTIDTTTESTMTQGTENYLEWSQSVKLTIDGCGKLGHLTGDVKQPQVGDPKMSKWRLENYMIIVWLINSMEVSIGKPFLFLPTVKDVWDAMKDTYSDLENASQIFELKIKLCKARQGEKEVTFCYNEMMSLWQELDQCYNDEWECPRDGVKAMKKEENERA >KJB60735 pep chromosome:Graimondii2_0_v6:9:31672361:31677215:-1 gene:B456_009G322900 transcript:KJB60735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQSGVGISRAAPYGVGVFDRVDETSDVVAVAAGKFAVYAVKQELTSSFSIVPYNGHDSHEDMHLALAHQMYKYGNYKQALNHSSVVYNQNWSKLGCYKNPLRTDNLLLLGAIYYQNEEAFRIEPHFAECYGNMANAWKEKGDIVVAIWYYMIAIEVSSAYMRKRRFNEAAQCCRQALQLNPLLLMLIVILEI >KJB60734 pep chromosome:Graimondii2_0_v6:9:31671852:31676982:-1 gene:B456_009G322900 transcript:KJB60734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQSGVGISRAAPYGVGVFDRVDETSDVVAVAAGKFAVYAVKQELTSSFSIVPYNGHDSHEDMHLALAHQMYKYGNYKQALNHSSVVYNQNWSKLGCYKNPLRTDNLLLLGAIYYQNEEAFRIEPHFAECYGNMANAWKEKGDIVVAIWYYMIAIEVSSAYMRKRRFNEAAQCCRQALQLNPLLAYFNLVMSYYNPVKFDAHSNLRNLMKAQGLVQEAYSCYLEALCMQPTFAIAWSNLAGLFMDSGDLN >KJB59913 pep chromosome:Graimondii2_0_v6:9:23743520:23743951:-1 gene:B456_009G280700 transcript:KJB59913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGQSVANDLTMNFKSKRLVRSIFHVHRSSFTFLLYKYDILWAFLIISSAIPILTFLIFGLLVPIRNGLEKLSSYESGIEQMGDAWSQFRIRYFMFALAMNFDVLKVPVFIEAFIFVLLLIVGSVCA >KJB60658 pep chromosome:Graimondii2_0_v6:9:30104135:30106735:1 gene:B456_009G317900 transcript:KJB60658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRYSLYSQKKGDQNMRDYLRELKLIKDNLGICGEKISDAEHIATILNGLPSEFDSMVTLITTSRQAYDVLDLSSMLIDLEARQKLGAMVGLFSVNLVTSQSTSHGV >KJB60657 pep chromosome:Graimondii2_0_v6:9:30104135:30106735:1 gene:B456_009G317900 transcript:KJB60657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRYSLYSQKKGDQNMRDYLRELKLIKDNLGICGEKISDAEHIATILNGLPSEFDSMVTLITTSRQAYDVLDLSSMLIDLEARQKLGAMVGLFSVNLVTSQSTSHGV >KJB61531 pep chromosome:Graimondii2_0_v6:9:48681192:48682337:-1 gene:B456_009G364700 transcript:KJB61531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRTILESTIVDKHEQWMVDFSRKYESKLEKEKRLNIFKDNLEYIESFNNGGNRSFKLGLNEFADMTQDEFIATHTGYKMPSNSTLFESTSFMYENYLNAPTNFDWRDQNAVTHIKNQGKCGCCWAFSAVAAVEGLIKIKTGKLIPLSEQQLLDCSRNGGNQGCEGGWMMNAFDYISQNQGITSEESYPYKEMQETCDTQINEVATISGYQMVPKNDEEALLKAAANQPVSVALEGTGRDFRLYSGGVFTGDCGNSLTHAATIVGYGTSEEGLNYWLIKNSWGETWGENGYMRIQRNVETQGGLCGIAMKASYPIA >KJB55421 pep chromosome:Graimondii2_0_v6:9:5456217:5460632:1 gene:B456_009G075600 transcript:KJB55421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2739 [Source:Projected from Arabidopsis thaliana (AT4G14590) UniProtKB/TrEMBL;Acc:O23315] MASQLISVSSYEAHNQLEISLRQAFDLLQSKLRPPFSLTIPDPQEYTLLNQAILYGHLHAIVIDGYKLFLSLLVGIVNELYGKLVDSVKEQLIWVTKEMIDVSATGIDSLLVCLMRQIVGGDFSDGNLWLCFELVSLCLSKWDCLLQEKPVVLTSALYTFLRLLADHCRVSNNLKLEMLRQMEIEFCVKMLRDQFQLCLKIGRDLVRLLQDLFHVPEFKSIWKDLVLKPSEFRTAEYLDISQLYCIRTSTRYFLLRITPEMETQLRFLLTHVMLGNQKRYQIWFEKKFLLGPERESLIVDIVRFICCAHHPSNGIIQSNIIPRWAVIGWLLTCCKKKYIEANGRLALFYDWLFFNEKVDNIMNIEPAMLLMVCSLPKYVNFTHSLLEFLLLLVDNYDLDRKTIILRGVSSAFNSLVQKGVVHSLDVLTRCDVLSPFIRERLQNLLLNDQGKVPRDLLPVDLPGHSTQSLRLPDMSCMGNSTQSTQEQLTSEGDDGLSTRVVVVPGGNEVDSIERLVESIGDIIKESYERGLQTLEAILFSIVNQCNQRKTSNSICSEDLLSKITKEFESNGYRLFTSLGSLAGIVECDDEIGSATAVIIRTFIFSLNERIQEMLLLWARNGFPVGARLLSYALRLAHEAYAAGCLENSVAVAKVRESRMPLLEYHFDGYFNFLNKRKGDSSENFVSVSEMDEKAIANLVDSAFTAYRHFLSSSRVMSQKESDTSLSKLLFSDLKDCSDWKRIRMKNLFCNIFCYLSDLSICEEDIIRLLIEKLDYVDLTEMQFQIGLKKFSLFGDNHKLVFHLIKNSLNWNSVEQHKLWGLIRSELPVSEVQVEKIILEFFCSGKIDVNLSAIAAGGLLTLCSSCAPTSALVGTIMSLPNNFFQDFAAAALATWAASNASMLFDSITEFAEKLKSKNTCSTFLNSTETEINQSTILWLLNYYNAQGINVSNMLSNLYPNS >KJB55422 pep chromosome:Graimondii2_0_v6:9:5456217:5460632:1 gene:B456_009G075600 transcript:KJB55422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2739 [Source:Projected from Arabidopsis thaliana (AT4G14590) UniProtKB/TrEMBL;Acc:O23315] MASQLISVSSYEAHNQLEISLRQAFDLLQSKLRPPFSLTIPDPQEYTLLNQAILYGHLHAIVIDGYKLFLSLLVGIVNELYGKLVDSVKEQLIWVTKEMIDVSATGIDSLLVCLMRQIVGGDFSDGNLWLCFELVSLCLSKWDCLLQEKPVVLTSALYTFLRLLADHCRVSNNLKLEMLRQMEIEFCVKMLRDQFQLCLKIGRDLVRLLQDLFHVPEFKSIWKDLVLKPSEFRTAEYLDISQLYCIRTSTRYFLLRITPEMETQLRFLLTHVMLGNQKRYQIWFEKKFLLGPERESLIVDIVRFICCAHHPSNGIIQSNIIPRWAVIGWLLTCCKKKYIEANGRLALFYDWLFFNEKVDNIMNIEPAMLLMVCSLPKYVNFTHSLLEFLLLLVDNYDLDRKTIILRGVSSAFNSLVQKGVVHSLDVLTRCDVLSPFIRERLQNLLLNDQGKVPRDLLPVDLPGHSTQSLRLPDMSCMGNSTQSTQEQLTSEGDDGLSTRVVVVPGGNEVDSIERLVESIGDIIKESYERGLQTLEAILFSIVNQCNQRKTSNSICSEDLLSKITKEFESNGYRLFTSLGSLAGIVECDDEIGSATAVIIRTFIFSLNERIQEMLLLWARNGFPVGARLLSYALRLAHEAYAAGCLENSVAVAKVRESRMPLLEYHFDGYFNFLNKRKGDSSENFVSVSEMDEKAIANLVDSAFTAYRHFLSSSRVMSQKESDTSLSKLLFSDLKDCSDWKRIRMKNLFCNIFCYLSDLSICEEDIIRLLIEKLDYVDLTEMQFQIGLKKFSLFGDNHKLVFHLIKNSLNWNSVEQHKLWGLIRSELPVSEVQVEKIILEFFCSGKIDVNLSAIAAGGLLTLCSSCAPTSALVGTIMSLPNNFFQDFAAAALATWAASNASMLFDSITEFAEKLKSKNTCSTFLNSTETEINQSTILWLLNYYNAQGINVSNMLSNLYPNS >KJB55423 pep chromosome:Graimondii2_0_v6:9:5456217:5460524:1 gene:B456_009G075600 transcript:KJB55423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2739 [Source:Projected from Arabidopsis thaliana (AT4G14590) UniProtKB/TrEMBL;Acc:O23315] MASQLISVSSYEAHNQLEISLRQAFDLLQSKLRPPFSLTIPDPQEYTLLNQAILYGHLHAIVIDGYKLFLSLLVGIVNELYGKLVDSVKEQLIWVTKEMIDVSATGIDSLLVCLMRQIVGGDFSDGNLWLCFELVSLCLSKWDCLLQEKPVVLTSALYTFLRLLADHCRVSNNLKLEMLRQMEIEFCVKMLRDQFQLCLKIGRDLVRLLQDLFHVPEFKSIWKDLVLKPSEFRTAEYLDISQLYCIRTSTRYFLLRITPEMETQLRFLLTHVMLGNQKRYQIWFEKKFLLGPERESLIVDIVRFICCAHHPSNGIIQSNIIPRWAVIGWLLTCCKKKYIEANGRLALFYDWLFFNEKVDNIMNIEPAMLLMVCSLPKYVNFTHSLLEFLLLLVDNYDLDRKTIILRGVSSAFNSLVQKGVVHSLDVLTRCDVLSPFIRERLQNLLLNDQGKVPRDLLPVDLPGHSTQSLRLPDMSCMGNSTQSTQEQLTSEGDDGLSTRVVVVPGGNEVDSIERLVESIGDIIKESYERGLQTLEAILFSIVNQCNQRKTSNSICSEDLLSKITKEFESNGYRLFTSLGSLAGIVECDDEIGSATAVIIRTFIFSLNERIQEMLLLWARNGFPVGARLLSYALRLAHEAYAAGCLENSVAVAKVRESRMPLLEYHFDGYFNFLNKRKGDSSENFVSVSEMDEKAIANLVDSAFTAYRHFLSSSRVMSQKESDTSLSKLLFSDLKDCSDWKRIRMKNLFCNIFCYLSDLSICEEDIIRLLIEKLDYVDLTEMQFQIGLKKFSLFGDNHKLVFHLIKNSLNWNSVEQHKLWGLIRSELPVSEVQVEKIILEFFCSGKIDVNLSAIAAGGLLTLCSSCAPTSALVGTIMSLPNNFFQDFAAAALATWAASNASMLFDSITEFAEKLKSKNTCSTFLNSTETEINQSTILWLLNYYNAQGINVSNMLSNLYPNS >KJB55840 pep chromosome:Graimondii2_0_v6:9:7056366:7057799:1 gene:B456_009G097300 transcript:KJB55840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISTTAKFMSTSLFIILLLLQFSITKGHGGDDHDENNGDHTNLHDKGLILVKTWCLIILLVTTFAGGVSPYFFRWDESFLLLGTQFAGGVFLATSLIHFLSDSNETFGDLTTKTYPFAFMLASVGYLLTMLGDCIIVSVTSSSKREVRVQVQVEEGRTADDEHSKDVGMDSNNPVFFKTTSFGDTLLLILALCFHSIFEGIAIGVAGTKGDAWRNLWTISLHKIFAAIAMGIALLRMIPKRPFLLTCGYSFAFAVSSPIGVGIGIAIDATTQGHVADWIYAISMGLACGVFIYVAINHLIAKGFKPQAKCYFDTPSFKFLAVLLGVAVIAVVMIWD >KJB55839 pep chromosome:Graimondii2_0_v6:9:7056366:7057746:1 gene:B456_009G097300 transcript:KJB55839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISTTAKFMSTSLFIILLLLQFSITKGHGGDDHDENNGDHTNLHDKGLILVKTWCLIILLVTTFAGGVSPYFFRWDESFLLLGTQFAGGVFLATSLIHFLSDSNETFGDLTTKTYPFAFMLASVGYLLTMLGDCIIVSVTSSSKREVRVQVQVEEGRTADDEHSKDVGMDSNNPVFFKTTSFGDTLLLILALCFHSIFEGIAIGVAGRCMEESLDNFTAQDFCSHCYGDCTAEDDTKAAVFVNLWLLFRLCSF >KJB53337 pep chromosome:Graimondii2_0_v6:9:70687312:70687501:1 gene:B456_009G4562004 transcript:KJB53337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IYLFITRSKAKGKVEGFEESEKWLVLLHRRVKSFWKYHSGQEVAPVPTILSVEIMKLPIIYVN >KJB53338 pep chromosome:Graimondii2_0_v6:9:70687312:70687501:1 gene:B456_009G4562004 transcript:KJB53338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IYLFITRSKAKGKVEGFEESEKWLVLLHRRVKSFWKYHSGQEVAPVPTILSVEIMKLPIIYVN >KJB53339 pep chromosome:Graimondii2_0_v6:9:70687312:70687501:1 gene:B456_009G4562004 transcript:KJB53339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IYLFITRSKAKGKVEGFEESEKWLVLLHRRVKSFWKYHSGQEVAPVPTILSVEIMKLPIIYVN >KJB59982 pep chromosome:Graimondii2_0_v6:9:24122898:24127571:-1 gene:B456_009G283800 transcript:KJB59982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIVSVWLAFAVIACSLTAISAEESGESKEFVLTLDQSNFTDTVSKHDFIVVEFYAPWCGHCKNLAPEYEKAASMLSKHDPPILLAKVDANEESNKDLASEYEVRGFPTLKILRNGGKNVQEYKGPREADGIVEYLKKQSGPASAEMKSAEDASSFIDEKKIVGVFPKFSGQEFDNYMALAEKLRSDYEFGHTLDAKYLPRGESSVTGPVVRLFKPFDELFVDFKDFNVEALEKFVEESSIPLVTLFNNDPSNHPFVIKFYNSPLVKAMLFANLSNEGVDSLKSKFREVAEQYKGQGIGFLLGDLEASQAAFQYFGVQESQVPLIIILENDGKKYLKPYLEADHIAPWVKDYKEGKVPPYVKSEPIPVENNEPVKVVVADTLDDMVFKSGKNVLLEFYAPWCGHCQKLAPILEEVAVHYENDAKVLIAKLDATANDIVDPNFDVRGYPTVYFRSADGNISAYEGERTKEDIIDFIEKNREKTAHQEALKDEL >KJB59981 pep chromosome:Graimondii2_0_v6:9:24122761:24127706:-1 gene:B456_009G283800 transcript:KJB59981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIVSVWLAFAVIACSLTAISAEESGESKEFVLTLDQSNFTDTVSKHDFIVVEFYAPWCGHCKNLAPEYEKAASMLSKHDPPILLAKVDANEESNKDLASEYEVRGFPTLKILRNGGKNVQEYKGPREADGIVEYLKKQSGPASAEMKSAEDASSFIDEKKIVIVGVFPKFSGQEFDNYMALAEKLRSDYEFGHTLDAKYLPRGESSVTGPVVRLFKPFDELFVDFKDFNVEALEKFVEESSIPLVTLFNNDPSNHPFVIKFYNSPLVKAMLFANLSNEGVDSLKSKFREVAEQYKGQGIGFLLGDLEASQAAFQYFGVQESQVPLIIILENDGKKYLKPYLEADHIAPWVKDYKEGKVPPYVKSEPIPVENNEPVKVVVADTLDDMVFKSGKNVLLEFYAPWCGHCQKLAPILEEVAVHYENDAKVLIAKLDATANDIVDPNFDVRGYPTVYFRSADGNISAYEGERTKEDIIDFIEKNREKTAHQEALKDEL >KJB55468 pep chromosome:Graimondii2_0_v6:9:5604310:5606020:-1 gene:B456_009G077800 transcript:KJB55468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQLLPRTHCMASLSSKLYKPLLFSSSSKLVPFSSSSLSSSSTRVSSSNSLKLCAAPLEAGWLDSLSCPSPHAAADDPTRTNADSTWVIGIDPDLSGALAFLKSDSSGSFAQVFDSPHLPVQVGNRVRKRLDARSIVRLLQSLEAPIGTAAYIEQSVPFPKDGKQGWWSGGFGYGLWIGILVASGFSVVPVSSLSWKREFELAGAGSTKDDSRRLASTLFPSLSDMLKRKKDHGTSSGFQIYSILISICYC >KJB55470 pep chromosome:Graimondii2_0_v6:9:5603290:5606129:-1 gene:B456_009G077800 transcript:KJB55470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQLLPRTHCMASLSSKLYKPLLFSSSSKLVPFSSSSLSSSSTRVSSSNSLKLCAAPLEAGWLDSLSCPSPHAAADDPTRTNADSTWVIGIDPDLSGALAFLKSDSSGSFAQVFDSPHLPVQVGNRVRKRLDARSIVRLLQSLEAPIGTAAYIEQSVPFPKDGKQGWWSGGFGYGLWIGILVASGFSVVPVSSLSWKREFELAGAGSTKDDSRRLASTLFPSLSDMLKRKKDHGRAEALLIATYGKGLRMKVDPSLLVEKSVQ >KJB55469 pep chromosome:Graimondii2_0_v6:9:5603290:5606302:-1 gene:B456_009G077800 transcript:KJB55469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQLLPRTHCMASLSSKLYKPLLFSSSSKLVPFSSSSLSSSSTRVSSSNSLKLCAAPLEAGWLDSLSCPSPHAAADDPTRTNADSTWVIGIDPDLSGALAFLKSDSSGSFAQVFDSPHLPVQVGNRVRKRLDARSIVRLLQSLEAPIGTAAYIEQSVPFPKDGKQGWWSGGFGYGLWIGILVASGFSVVPVSSLSWKREFELAGAGSTKDDSRRLASTLFPSLSDMLKRKKDHGRAEALLIATYGKGLRMKEIIDC >KJB53309 pep chromosome:Graimondii2_0_v6:9:8246218:8248710:-1 gene:B456_009G113300 transcript:KJB53309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSIYRASILQSYSQLLFQPCLSNKHCVPKKTNLLCFASSQLNPARSEVSFCIGTHLIPHPNKVERGGEDAFFVSNFKGGVIAVADGVSGWAEQNVDPSLFSKEIMANASSLVGNLEVNYDPQILIRKAHAATCSKGSATAIVAMLERNGTMKVASVGDCGLRVIRKGQIIFSTTPQEHYFDCPYQLSSELVGQTYLDAVVSSVELVEGDTIVMGSDGLFDNVFDGEIVSTLAAHSDVVEAGMCFFLLTLVSVKGLVYYSIVSPLGLESKE >KJB53317 pep chromosome:Graimondii2_0_v6:9:8246604:8248710:-1 gene:B456_009G113300 transcript:KJB53317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSIYRASILQSYSQLLFQPCLSNKHCVPKKTNLLCFASSQLNPARSEVSFCIGTHLIPHPNKVERGGEDAFFVSNFKGGVIAVADGVSGWAEQNVDPSLFSKEIMANASSLVGNLEVNYDPQILIRKAHAATCSKGSATAIVAMLERNGTMKVASVGDCGLRVIRKGQIIFSTTPQEHYFDCPYQLSSELVGQTYLDAVVSSVELVEGDTIVMGSDGLFDNVFDGEIVSTLAAHSDVVEAGMCFFLLTLVSVKGLVYYSIVSPLGLESKE >KJB53316 pep chromosome:Graimondii2_0_v6:9:8247147:8248659:-1 gene:B456_009G113300 transcript:KJB53316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSIYRASILQSYSQLLFQPCLSNKHCVPKKTNLLCFASSQLNPARSEVSFCIGTHLIPHPNKVERGGEDAFFVSNFKGGVIAVADGVSGWAEQNVDPSLFSKEIMANASSLVGNLEVNYDPQILIRKAHAATCSKGSATAIVAMLERNGTMKVASVGDCGLRVIRKGQIIFSTTPQEHYFDCPYQLSSELVGQTYLDAVELERLACYLYCL >KJB53315 pep chromosome:Graimondii2_0_v6:9:8246483:8248659:-1 gene:B456_009G113300 transcript:KJB53315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSIYRASILQSYSQLLFQPCLSNKHCVPKKTNLLCFASSQLNPARSEVSFCIGTHLIPHPNKVERGGEDAFFVSNFKGGVIAVADGVSGWAEQNVDPSLFSKEIMANASSLVGNLEVNYDPQILIRKAHAATCSKGSATAIVAMLERNGTMKVASVGDCGLRVIRKGQIIFSTTPQEHYFDCPYQLSSELVGQTYLDAVVSSVELVEGDTIVMGSDGLFDNVFDGEIVSTLAAHSDVVEAAKALAKLASNHSLDSRFDSPYTIEARSKGLDVPFWKKILGMKLTGGKLDDITVIVGQVVSSAASPQPT >KJB53312 pep chromosome:Graimondii2_0_v6:9:8247399:8248659:-1 gene:B456_009G113300 transcript:KJB53312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSIYRASILQSYSQLLFQPCLSNKHCVPKKTNLLCFASSQLNPARSEVSFCIGTHLIPHPNKVERGGEDAFFVSNFKGGVIAVADGVSGWAEQNVDPSLFSKEIMANASSLVGNLEVNYDPQILIRKAHAATCSKGSATAIVAMLERNGTMKVASVGDCGLRVIRKGKMSNFVFVCLFVD >KJB53314 pep chromosome:Graimondii2_0_v6:9:8246218:8248720:-1 gene:B456_009G113300 transcript:KJB53314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSIYRASILQSYSQLLFQPCLSNKHCVPKKTNLLCFASSQLNPARSEVSFCIGTHLIPHPNKVERGGEDAFFVSNFKGGVIAVADGVSGWAEQNVDPSLFSKEIMANASSLVGNLEVNYDPQILIRKAHAATCSKGSATAIVAMLERNGTMKVASVGDCGLRVIRKGQIIFSTTPQEHYFDCPYQLSSELVGQTYLDAVVSSVELVEGDTIVMGSDGLFDNVFDGEIVSTLAAHSDVVEAGIG >KJB53307 pep chromosome:Graimondii2_0_v6:9:8246218:8248456:-1 gene:B456_009G113300 transcript:KJB53307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFWFGFRSEVSFCIGTHLIPHPNKVERGGEDAFFVSNFKGGVIAVADGVSGWAEQNVDPSLFSKEIMANASSLVGNLEVNYDPQILIRKAHAATCSKGSATAIVAMLERNGTMKVASVGDCGLRVIRKGQIIFSTTPQEHYFDCPYQLSSELVGQTYLDAVVSSVELVEGDTIVMGSDGLFDNVFDGEIVSTLAAHSDVVEAAKALAKLASNHSLDSRFDSPYTIEARSKGLDVPFWKKILGMKLTGGKLDDITVIVGQVVSSAASPQPT >KJB53313 pep chromosome:Graimondii2_0_v6:9:8247122:8248659:-1 gene:B456_009G113300 transcript:KJB53313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSIYRASILQSYSQLLFQPCLSNKHCVPKKTNLLCFASSQLNPARSEVSFCIGTHLIPHPNKVERGGEDAFFVSNFKGGVIAVADGVSGWAEQNVDPSLFSKEIMANASSLVGNLEVNYDPQILIRKAHAATCSKGSATAIVAMLERNGTMKVASVGDCGLRVIRKGQIIFSTTPQEHYFDCPYQLSSELVGQTYLDAVVMPYVYQLSYQSLLFRTNQNRLTMSKQFQFARNLNALLVIYIACKNRLAVWN >KJB53311 pep chromosome:Graimondii2_0_v6:9:8246218:8248710:-1 gene:B456_009G113300 transcript:KJB53311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSIYRASILQSYSQLLFQPCLSNKHCVPKKTNLLCFASSQLNPARSEVSFCIGTHLIPHPNKVERGGEDAFFVSNFKGGVIAVADGVSGWAEQNVDPSLFSKEIMANASSLVGNLEVNYDPQILIRKAHAATCSKGSATAIVAMLERNGTMKVASVGDCGLRVIRKGQIIFSTTPQEHYFDCPYQLSSELVGQTYLDAVVSSVELVEGDTIVMGSDGLFDNVFDGEIVSTLAAHSDVVEAGMCFFLLTLVSVKGLVYYSIVSPLGLESKE >KJB53310 pep chromosome:Graimondii2_0_v6:9:8246218:8248710:-1 gene:B456_009G113300 transcript:KJB53310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSIYRASILQSYSQLLFQPCLSNKHCVPKKTNLLCFASSQLNPARSEVSFCIGTHLIPHPNKVERGGEDAFFVSNFKGGVIAVADGVSGWAEQNVDPSLFSKEIMANASSLVGNLEVVNYDPQILIRKAHAATCSKGSATAIVAMLERNGTMKVASVGDCGLRVIRKGQIIFSTTPQEHYFDCPYQLSSELVGQTYLDAVVSSVELVEGDTIVMGSDGLFDNVFDGEIVSTLAAHSDVVEAAKALAKLASNHSLDSRFDSPYTIEARSKGLDVPFWKKILGMKLTGGKLDDITVIVGQVVSSAASPQPT >KJB53308 pep chromosome:Graimondii2_0_v6:9:8247147:8248659:-1 gene:B456_009G113300 transcript:KJB53308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSIYRASILQSYSQLLFQPCLSNKHCVPKKTNLLCFASSQLNPARSEVSFCIGTHLIPHPNKVERGGEDAFFVSNFKGGVIAVADGVSGWAEQNVDPSLFSKEIMANASSLVGNLEVNYDPQILIRKAHAATCSKGSATAIVAMLERNGTMKVASVGDCGLRVIRKGQIIFSTTPQEHYFDCPYQLSSELVGQTYLDAVELERLACYLYCL >KJB53796 pep chromosome:Graimondii2_0_v6:9:495778:501237:-1 gene:B456_009G005600 transcript:KJB53796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSSSSGPSPLDLMSALIKAKMDPSNASSDSAAQVTTVLFGNREFIMILTTSIAVLIGCVVILIWRRSSSQKPKEIQLPLKPSIIKEPELEVDDGKKKVTILFGTQTGTSEGFAKALVEEAKARYEKATFNIVDLDDYAADDEEYEEKMKKESLAFFFLATYGDGEPTDNAARFYKWFTEGKERGEWLQNMKYGIFGLGNKQYEHFNKVAKVVDELLTEQGAKRIVPLGLGDDDQCIEDDFTAWRELVWPELDQLLRDEDDATVSTPYTAAVLEYRVVFYDPADAPLEDKNWSNANGHATYDAQHPCRSNVAVRKELHAPESDRSCTHLEFDIAGTGLSYETGDHVGVYCENLDEVVDEALSLLGLSPDTYFSVHTDKEDGTPLGGSSLPSSFPPCTLRTALARYADLLSSPKKAALLALAAHASDPTEADRLRHLASPAGKDEYAQWIVANQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPRLAPSRIHVTCALVYEKTPTGRIHKGVCSTWMKNAVSSGKSDDCSWAPIFVRQSNFKLPSDAKVPIIMIGPGTGLAPFRGFLQERLALKEAGAELGPSVLFFGCRNRKMVTHTHIPVL >KJB53797 pep chromosome:Graimondii2_0_v6:9:495778:501237:-1 gene:B456_009G005600 transcript:KJB53797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSSSSGPSPLDLMSALIKAKMDPSNASSDSAAQVTTVLFGNREFIMILTTSIAVLIGCVVILIWRRSSSQKPKEIQLPLKPSIIKEPELEVDDGKKKVTILFGTQTGTSEGFAKALVEEAKARYEKATFNIVDLDDYAADDEEYEEKMKKESLAFFFLATYGDGEPTDNAARFYKWFTEGKERGEWLQNMKYGIFGLGNKQYEHFNKVAKVVDELLTEQGAKRIVPLGLGDDDQCIEDDFTAWRELVWPELDQLLRDEDDATVSTPYTAAVLEYRVVFYDPADAPLEDKNWSNANGHATYDAQHPCRSNVAVRKELHAPESDRSCTHLEFDIAGTGLSYETGDHVGVYCENLDEVVDEALSLLGLSPDTYFSVHTDKEDGTPLGGSSLPSSFPPCTLRTALARYADLLSSPKKAALLALAAHASDPTEADRLRHLASPAGKVRRYAQWIVANQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPRLAPSRIHVTCALVYEKTPTGRIHKGVCSTWMKNAVSSGKSDDCSWAPIFVRQSNFKLPSDAKVPIIMIGPGTGLAPFRGFLQERLALKEAGAELGPSVLFFGCRNRKMDFIYEDELNNFVNSGALSELVVAFSREGPTKEYVQHKMMEKAKDIWDMISQGGYLYVCGDAKGMARDVHRALHTILQEQGSLDSSKAESMVKNLQMSGRYLRDVW >KJB53794 pep chromosome:Graimondii2_0_v6:9:495778:501237:-1 gene:B456_009G005600 transcript:KJB53794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSSSSGPSPLDLMSALIKAKMDPSNASSDSAAQVTTVLFGNREFIMILTTSIAVLIGCVVILIWRRSSSQKPKEIQLPLKPSIIKEPELEVDDGKKKVTILFGTQTGTSEGFAKALVEEAKARYEKATFNIVDLDDYAADDEEYEEKMKKESLAFFFLATYGDGEPTDNAARFYKWFTEGKERGEWLQNMKYGIFGLGNKQYEHFNKVAKVVDELLTEQGAKRIVPLGLGDDDQCIEDDFTAWRELVWPELDQLLRDEDDATVSTPYTAAVLEYRVVFYDPADAPLEDKNWSNANGHATYDAQHPCRSNVAVRKELHAPESDRSCTHLEFDIAGTGLSYETGDHVGVYCENLDEVVDEALSLLGLSPDTYFSVHTDKEDGTPLGGSSLPSSFPPCTLRTALARYADLLSSPKKAALLALAAHASDPTEADRLRHLASPAGKDEYAQWIVANQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPRLAPSRIHVTCALVYEKTPTGRIHKGVCSTWMKNAVSSGKSDDCSWAPIFVRQSNFKLPSDAKVPIIMIGPGTGLAPFRGFLQERLALKEAGAELGPSVLFFGCRNRKMDFIYEDELNNFVNSGALSELVVAFSREGPTKEYVQHKMMEKAKDIWDMISQGGYLYVCGDAKGMARDVHRALHTILQEQGSLDSSKAESMVKNLQMSGRYLRDVW >KJB53795 pep chromosome:Graimondii2_0_v6:9:496792:500971:-1 gene:B456_009G005600 transcript:KJB53795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSSSSGPSPLDLMSALIKAKMDPSNASSDSAAQVTTVLFGNREFIMILTTSIAVLIGCVVILIWRRSSSQKPKEIQLPLKPSIIKEPELEVDDGKKKVTILFGTQTGTSEGFAKALVEEAKARYEKATFNIVDLDDYAADDEEYEEKMKKESLAFFFLATYGDGEPTDNAARFYKWFTEGKERGEWLQNMKYGIFGLGNKQYEHFNKVAKVVDELLTEQGAKRIVPLGLGDDDQCIEDDFTAWRELVWPELDQLLRDEDDATVSTPYTAAVLEYRVVFYDPADAPLEDKNWSNANGHATYDAQHPCRSNVAVRKELHAPESDRSCTHLEFDIAGTGLSYETGDHVGVYCENLDEVVDEALSLLGLSPDTYFSVHTDKEDGTPLGGSSLPSSFPPCTLRTALARYADLLSSPKKAALLALAAHASDPTEADRLRHLASPAGKDEYAQWIVANQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPRLAPSRIHVTCALVYEKTPTGRIHKGVCSTWMKNAVSSGKSDDCSWAPIFVRQSNFKLPSDAKVPIIMIGPGTGLAPFRGFLQERLALKEAGAELGPSVLFFGCRNRKMDFIYEDELNNFVNSGALSELVVAFSREGPTKEYVQHKMMEKVLEICFTYHEL >KJB55294 pep chromosome:Graimondii2_0_v6:9:4949445:4954806:1 gene:B456_009G069900 transcript:KJB55294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKMVEGPKFTGLMGGTDNNDNNYYDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVENSSVGSNDSLTHILSHPGLKPVNRHNYSVSVGQSVIRPGKVGHALNDDALAQALMDNRYPTEGLPNYDEWTIDLRKLNMGSAFAQGAFGKLYKGTYNGEDVAIKILERPENSPEKAQVMEQQFQQEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAADRSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLREIMTRCWDINPEVRPPFTDIVKMLEIAETDILTTVRKARFRCCMTQPMTID >KJB55297 pep chromosome:Graimondii2_0_v6:9:4949445:4954820:1 gene:B456_009G069900 transcript:KJB55297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKMVEGPKFTGLMGGTDNNDNNYYDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVENSSVGSNDSLTHILSHPGLKPVNRHNYSVSVGQSVIRPGKVGHALNDDALAQALMDNRYPTEGLPNYDEWTIDLRKLNMGSAFAQGAFGKLYKGTYNGEDVAIKILERPENSPEKAQVMEQQFQQEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAADRSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLREIMTRCWDINPEVRPPFTDIVKMLEIAETDILTTVRKARFRCCMTQPMTID >KJB55295 pep chromosome:Graimondii2_0_v6:9:4949229:4954852:1 gene:B456_009G069900 transcript:KJB55295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKMVEGPKFTGLMGGTDNNDNNYYDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVENSSVGSNDSLTHILSHPGLKPVNRHNYSVSVGQSVIRPGKVGHALNDDALAQALMDNRYPTEGLPNYDEWTIDLRKLNMGSAFAQGAFGKLYKGTYNGEDVAIKILERPENSPEKAQVMEQQFQQEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAADRSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLREIMTRCWDINPEVRPPFTDIVKMLEIAETDILTTVRKARFRCCMTQPMTID >KJB55296 pep chromosome:Graimondii2_0_v6:9:4949210:4954871:1 gene:B456_009G069900 transcript:KJB55296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKMVEGPKFTGLMGGTDNNDNNYYDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVENSSVGSNDSLTHILSHPGLKPVNRHNYSVSVGQSVIRPGKVGHALNDDALAQALMDNRYPTEGLPNYDEWTIDLRKLNMGSAFAQGAFGKLYKGTYNGEDVAIKILERPENSPEKAQVMEQQFQQEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAADRSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLREIMTRCWDINPEVRPPFTDIVKMLEIAETDILTTVRKARFRCCMTQPMTID >KJB54108 pep chromosome:Graimondii2_0_v6:9:1594655:1598489:1 gene:B456_009G020900 transcript:KJB54108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKKIAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSVCLDTNALRAASASADFSAKVWDALTGDVLHSFEHKHIVRACAFSEDTHLLLTGGVEKVLRIYDLNRPDAPPREVDKSPGSVRTVTWMHSDQTILSSCTDMGGVRLWDVRSGKIVQTLETKSSVTSAEVSQDGRYITTADGSTVKFWDANHYGLVKSYNMPCTVESASLEPKYGNKFVAGGEDMWVRVFDFHTGDEIACNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWLTGPLTHDGTEPFAANGSVGKVKVTAEDVSRKIEGFQIAEEGKTKEKETGKE >KJB62250 pep chromosome:Graimondii2_0_v6:9:60571793:60578201:-1 gene:B456_009G408100 transcript:KJB62250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPRRSFDRSREPGLKKPRLTEDLTPNPNIRHFSQRTNPVGPASGLRFRSNDSDTNDLTRGDGGAYEPQPVSHQQQHLQQQELVSQYKTALAELTFNSKPIITNLTIIAGENVHAAKAVAATVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKCFAARLPEVFCKAYRQVDAPLHQSMRHLFGTWKGVFPLQTLQVIEKELGFTPLVNGSSSGNTTSRMDTLSQRPLQSIHVNPKYLEKQRLQQSSRAKGMVNDMAGTLANSKESERPDRGRSIAGLSYGDHSVKMNTPAGIEVRRTRGNVTDQGLDAPWFGATSSITETIPSQRNGFNIKPGSLNYSTSKSVNADPRLQVTHNISGRNSSGLSSSWKNSEEEEFMWEMHSRLSEHDAANFSNNLRRDRWTPDVSEKMDFESQLHRSQSIHDAGSRFDRETSADALSTEQDKTSFGRQISTACTDGLPAMSSRRTESYSATVGGLPTGASSSPTSIGMRPQTNSSHLGASGVEILANVASGSTGTSGKQRFQPMGTASPPEQSPMRQHLPSPSFPARHPHQQLQKLTDPDYQQAVSLPPADLKPSNFSGKLNVGSHRRSPHTSASSLHPSLRSPLSQPPQQDSVQAESSGQIQKPLPSQISKLEAASTLGSALEHSNPLAIQSSELSSTSSLLAAVMKSGILSSTSFTGSLPTKISQDGGQISQPPVPNRPPAVLTTSGLMLDSAISSGSATHDSIAATPNSSREKVEQLPLPPGPPPSSLVTNAPSPTSDAESKDTNPISNLLSSLVAKGLISASKKGAASLPMPNQIQKSLGIERPTESLNKSSDIQSSSDAPRSSTMDEVSHAEPAPKCSVASHQSTSTEVESFIGLELRPDVIREFHSSVISGLSDDLPHCCSLCGLRLKLQGKLDRHLEWHEMKKTASRGSGRALRGWYPKSDDWLAGKPGQLVFGSTGSLNKLEKTTEKAELMVPADENQCACLLCGKLFEDYFSLNRGEWMFKGAAYLAIPSKEGGVGTTYGCAAYGPIVHANCMSESSVQDLGLSGDIKVVKYFDSNMIYHSYELVLLYSGSFSQ >KJB62249 pep chromosome:Graimondii2_0_v6:9:60571730:60578201:-1 gene:B456_009G408100 transcript:KJB62249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPRRSFDRSREPGLKKPRLTEDLTPNPNIRHFSQRTNPVGPASGLRFRSNDSDTNDLTRGDGGAYEPQPVSHQQQHLQQQELVSQYKTALAELTFNSKPIITNLTIIAGENVHAAKAVAATVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKCFAARLPEVFCKAYRQVDAPLHQSMRHLFGTWKGVFPLQTLQVIEKELGFTPLVNGSSSGNTTSRMDTLSQRPLQSIHVNPKYLEKQRLQQSSRAKGMVNDMAGTLANSKESERPDRGRSIAGLSYGDHSVKMNTPAGIEVRRTRGNVTDQGLDAPWFGATSSITETIPSQRNGFNIKPGSLNYSTSKSVNADPRLQVTHNISGRNSSGLSSSWKNSEEEEFMWEMHSRLSEHDAANFSNNLRRDRWTPDVSEKMDFESQLHRSQSIHDAGSRFDRETSADALSTEQDKTSFGRQISTACTDGLPAMSSRRTESYSATVGGLPTGASSSPTSIGMRPQTNSSHLGASGVEILANVASGSTGTSGKQRFQPMGTASPPEQSPMRQHLPSPSFPARHPHQQLQKLTDPDYQQAVSLPPADLKPSNFSGKLNVGSHRRSPHTSASSLHPSLRSPLSQPPQQDSVQAESSGQIQKPLPSQISKLEAASTLGSALEHSNPLAIQSSELSSTSSLLAAVMKSGILSSTSFTGSLPTKISQDGGQISQPPVPNRPPAVLTTSGLMLDSAISSGSATHDSIAATPNSSREKVEQLPLPPGPPPSSLVTNAPSPTSDAESKDTNPISNLLSSLVAKGLISASKKGAASLPMPNQIQKSLGIERPTESLNKSSDIQSSSDAPRSSTMDEVSHAEPAPKCSVASHQSTSTEVESFIGLELRPDVIREFHSSVISGLSDDLPHCCSLCGLRLKLQGKLDRHLEWHEMKKTASRGSGRALRGWYPKSDDWLAGKPGQLVFGSTGSLNKLEKTTEKAELMVPADENQCACLLCGKLFEDYFSLNRGEWMFKGAAYLAIPSKEGGVGTTYGCAAYGPIVHANCMSESSVQDLGLSGDIKVEMEE >KJB54761 pep chromosome:Graimondii2_0_v6:9:3477493:3479959:1 gene:B456_009G047900 transcript:KJB54761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAQTNSQQQEKVSSEASAGEKKRWTLSDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLQQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMGMWLTIETK >KJB54762 pep chromosome:Graimondii2_0_v6:9:3478179:3479959:1 gene:B456_009G047900 transcript:KJB54762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVEQSNHIVALKVLFKSQLQQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRIVQVDLKFPTKPIVSSAAKDLISQMLVKDSSQRLPLHKLLEHPWIVQNADPSGIYKA >KJB54760 pep chromosome:Graimondii2_0_v6:9:3477360:3479959:1 gene:B456_009G047900 transcript:KJB54760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAQTNSQQQEKVSSEASAGEKKRWTLSDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLQQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRIVQVDLKFPTKPIVSSAAKDLISQMLVKDSSQRLPLHKLLEHPWIVQNADPSGIYKA >KJB55079 pep chromosome:Graimondii2_0_v6:9:4477639:4479766:1 gene:B456_009G062300 transcript:KJB55079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVLCERYSSLQNQYMELVSRNSGSDATAATSKKRKAECEDYVPMIGFSGKAESSFSDEDSCKKPKDCIKAKISRAYVRTNPSDNSLIVRDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQRSAEDPSILVATYEGEHNHAQHSTPALSSLSPNSGTSNPRSAPVSSSSSAPTKSSPPTVTLELMKPTGLGNDTKNPTQQVDEPAIQKILVQQMAASLTRDPTFTAALAAAISGKVLDHKW >KJB55078 pep chromosome:Graimondii2_0_v6:9:4477630:4479767:1 gene:B456_009G062300 transcript:KJB55078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTWVDTTLDLNINSSHNTIKVLKRESSGKLADSDVKVPVKQETGALVEELNRIIAENKKLTEMLTVLCERYSSLQNQYMELVSRNSGSDATAATSKKRKAECEDYVPMIGFSGKAESSFSDEDSCKKPKDCIKAKISRAYVRTNPSDNSLIVRDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQRSAEDPSILVATYEGEHNHAQHSTPALSSLSPNSGTSNPRSAPVSSSSSAPTKSSPPTVTLELMKPTGLGNDTKNPTQQVDEPAIQKILVQQMAASLTRDPTFTAALAAAISGKVLDHKW >KJB57759 pep chromosome:Graimondii2_0_v6:9:13841706:13844198:-1 gene:B456_009G179500 transcript:KJB57759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSVTSSPAAISGSSFSRSSASSDLKIPQIGSFRLADRHATVNLSQKRCAVKPVNAEPKRNDSMLSHAATTSAPEVSEKVEVEDFEQLAKELNNASPLEIMDKALEKFGNDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYRFFDEVEKHYGIRIEYMFPDAVEVQALVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPVFEGLDGGYGSLLKWNPVANVDGKDIWNFLRAMNVPVNSLHAQGFVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNLKEDGAAQVNGNGATTESDIFNSQSLVTLSRTGIENLAKLESRKESWIVVLYAPWCPFCQAMEASYVELAEKLAGSGVKVGKFRADGEQKEYSKNELQLGSFPTILFFPKHSAKPIKYASEKRDVDSLMAFVNALR >KJB57970 pep chromosome:Graimondii2_0_v6:9:14440714:14445824:-1 gene:B456_009G188200 transcript:KJB57970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSRGFTTQLELKLSTLFISYGLAPSKKKRMCFPRDPLTILSRISCCCSEPVVPVRRGSGSGKSNEKVEDWRFDSKKSPHRVRVQASSAMPFASAQSRFPSKQEKFYSRCTPRNSGPQSRDTPPKRDTGIANEKDWGISLLNESVNESGTNEDGSTWYQESGEDLGENGHRCRWTRMGGKSHDGSSEWKETWWEKSDWSGYKELGVEKSGRNAEGDSWWETWQEVLHQDEWSNLARIERSAQKEAKSGSENAGWHEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGSGSVLKWTDKWAETELGTKWGDKWEEKFFAGIGSRQGETWHVSPSGERWSRKWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYESEPHYGWADVVGDSSQLLSIQPRERPPGVYPPLDFGSSPRPGDDQSDKPPTSAS >KJB57971 pep chromosome:Graimondii2_0_v6:9:14440714:14445633:-1 gene:B456_009G188200 transcript:KJB57971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSRGFTTQLELKLSTLFISYGLAPSKKKRMCFPRDPLTILSRISCCCSEPVVPVRRGSGSGKSNEKVEDWRFDSKKSPHRVRVQASSAMPFASAQSRFPSKQEKFYSRCTPRNSGPQSRDTPPKRDTGIANEKDWGISLLNESVNESGTNEDGSTWYQESGEDLGENGHRCRWTRMGGKSHDGSSEWKETWWEKSDWSGYKELGVEKSGRNAEGDSWWETWQEVLHQDEWSNLARIERSAQKEAKSGSENAGWHEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGSGSVLKWTDKWAETELGTKWGDKWEEKFFAGIGSRQGETWHVSPSGERWSRKWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYESEPHYGWADVVGDSSQLLSIQPRERPPGVYPPLDFGSSPRPGDDQSDKPPTSAS >KJB57968 pep chromosome:Graimondii2_0_v6:9:14440973:14445263:-1 gene:B456_009G188200 transcript:KJB57968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSRGFTTQLELKLSTLFISYGLAPSKKKRMCFPRDPLTILSRISCCCSEPVVPVRRGSGSGKSNEKVEDWRFDSKKSPHRVRVQASSAMPFASAQSRFPSKQEKFYSRCTPRNSGPQSRDTPPKRDTGIANEKDWGISLLNESVNESGTNEDGSTWYQESGEDLGENGHRCRWTRMGGKSHDGSSEWKETWWEKSDWSGYKELGVEKSGRNAEGDSWWETWQEVLHQDEWSNLARIERSAQKEAKSGSENAGWHEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGSGSVLKWTDKWAETELGTKWGDKWEEKFFAGIGSRQGETWHVSPSGERWSRKWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYESEPHYGWADVVGDSSQLLSIQPRERPPGVYPPLDFGSSPRPGDDQSDKPPTSAS >KJB57969 pep chromosome:Graimondii2_0_v6:9:14440663:14445891:-1 gene:B456_009G188200 transcript:KJB57969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSRGFTTQLELKLSTLFISYGLAPSKKKRMCFPRDPLTILSRISCCCSEPVVPVRRGSGSGKSNEKVEDWRFDSKKSPHRVRVQASSAMPFASAQSRFPSKQEKFYSRCTPRNSGPQSRDTPPKRDTGIANEKDWGISLLNESVNESGTNEDGSTWYQESGEDLGENGHRCRWTRMGGKSHDGSSEWKETWWEKSDWSGYKELGVEKSGRNAEGDSWWETWQEVLHQDEWSNLARIERSAQKEAKSGSENAGWHEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGSGSVLKWTDKWAETELGTKWGDKWEEKFFAGIGSRQGETWHVSPSGEQKFTNMERAQLVKAGILLLTKRRTMRVNLTMDGQMWWATQASCYPYNLGRGRLVSTLPLILGHRLVQVMTNQTNLPLQHHEETFFFN >KJB61240 pep chromosome:Graimondii2_0_v6:9:42996266:42997147:1 gene:B456_009G347500 transcript:KJB61240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNSHERAPRKELMMNGIRPSPLKINKGSHVIQKPSSSSALHHPKQQQQQRQGPLIIYTHSPKIIHTQARDFMALVQKLTGLSRSDGEVVKQTAAPPPSRPPHKNKIITRQEDNETSSAITNDVCSSYVATTVSPMSQFLADMPLFTPSSTDFFCSPRPPAQKFADMGTLNSPSLLEFMKGLPDY >KJB58046 pep chromosome:Graimondii2_0_v6:9:14763484:14767252:-1 gene:B456_009G191800 transcript:KJB58046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEMNLDNDSNNLVQAPTNGDSEKLSPSTLSSLLGSKDRDYLLCSTAAAAETDQVKISDLEGKVIGIYFSANWYPPCRNFNRVLIGVYEQLKSNGSNFEIVFVSSDEDLDAFNSYRENMPWLSIPFSDLETKKALNRKYDVESIPCLVILQPDNTKDDDTYYDGVELIYRYGVDAFPFTKEKLDELRREEKRKHDSQTVTNLLTNPERDYLLDQTITRKVPVDSLKGKTVGLYLSAQWCMPCVEFTPKLISIYQKIKQALQEKGGGEDFEIVFVSNDRDQSSFESYFGTMPWLALPFRDPTARTLAKYFDVQWIPCLIIIGPDGKTVTKQGRNLINLYQENAYPFTDAKVESLEKEMEEAAKSLPRSEYHAGHRHLLTLVSEGSGGGPFICCDCDEQGSGWAYQCLECGYEVHPRCIRAVTPQSSIEDRIQLDLSPQLRDVSHERFSADMATSPILARNHANEASSKFPFFFIRNNS >KJB58049 pep chromosome:Graimondii2_0_v6:9:14764052:14767059:-1 gene:B456_009G191800 transcript:KJB58049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEMNLDNDSNNLVQAPTNGDSEKLSPSTLSSLLGSKDRDYLLCSTAAAAETDQQVKISDLEGKVIGIYFSANWYPPCRNFNRVLIGVYEQLKSNGSNFEIVFVSSDEDLDAFNSYRENMPWLSIPFSDLETKKALNRKYDVESIPCLVILQPDNTKDDDTYYDGVELIYRYGVDAFPFTKEKLDELRREEKRKHDSQTVTNLLTNPERDYLLDQTITRKVPVDSLKGKTVGLYLSAQWCMPCVEFTPKLISIYQKIKQALQEKGGGEDFEIVFVSNDRDQSSFESYFGTMPWLALPFRDPTARTLAKYFDVQWIPCLIIIGPDGKTVTKQGRNLINLYQENAYPFTDAKVESLEKEMEEAAKSLPRSEYHAGHRHLLTLVSEGSGGGPFICCDCDEQGSGWAYQCLECGYEVHPRCIRAVTPQSSIEDR >KJB58048 pep chromosome:Graimondii2_0_v6:9:14763757:14767284:-1 gene:B456_009G191800 transcript:KJB58048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEMNLDNDSNNLVQAPTNGDSEKLSPSTLSSLLGSKDRDYLLCSTAAAAETDQVKISDLEGKVIGIYFSANWYPPCRNFNRVLIGVYEQLKSNGSNFEIVFVSSDEDLDAFNSYRENMPWLSIPFSDLETKKALNRKYDVESIPCLVILQPDNTKDDDTYYDGVELIYRYGVDAFPFTKEKLDELRREEKRKHDSQTVTNLLTNPERDYLLDQTITRKVPVDSLKGKTVGLYLSAQWCMPCVEFTPKLISIYQKIKQALQEKGGGEDFEIVFVSNDRDQSSFESYFGTMPWLALPFRDPTARTLAKYFDVQWIPCLIIIGPDGKTVTKQGRNLINLYQENAYPFTDAKVESLEKEMEEAAKSLPRSEYHAGHRHLLTLVSEGSGGGPFICCDCDEQGSGWAYQCLECGYEVHPRCIRAVTPQSSIEDR >KJB58047 pep chromosome:Graimondii2_0_v6:9:14763551:14767059:-1 gene:B456_009G191800 transcript:KJB58047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEMNLDNDSNNLVQAPTNGDSEKLSPSTLSSLLGSKDRDYLLCSTAAAAETDQQVKISDLEGKVIGIYFSANWYPPCRNFNRVLIGVYEQLKSNGSNFEIVFVSSDEDLDAFNSYRENMPWLSIPFSDLETKKALNRKYDVESIPCLVILQPDNTKDDDTYYDGVELIYRYGVDAFPFTKEKLDELRREEKRKHDSQTVTNLLTNPERDYLLDQTITRKVPVDSLKGKTVGLYLSAQWCMPCVEFTPKLISIYQKIKQALQEKGGGEDFEIVFVSNDRDQSSFESYFGTMPWLALPFRDPTARTLAKYFDVQWIPCLIIIGPDGKTVTKQGRNLINLYQENAYPFTDAKVESLEKEMEEAAKSLPRSEYHAGHRHLLTLVSEGSGGGPFICCDCDEQGSGWAYQCLECGYEVHPRCIRAVTPQSSIEDRIQLDLSPQLRDVSHERFSADMATSPILARNHANEASSKFPFFFIRNNS >KJB60049 pep chromosome:Graimondii2_0_v6:9:24521778:24523028:1 gene:B456_009G287400 transcript:KJB60049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILSLGVVARLLAVVILFMFCLSSESLRVPPHHAAGIHGEATKFGDETQMNPKEELGMELYPTGSSLPDCSHACGPCFPCKRVMVSFKCSMAESCPVVYRCMCKGKYYHVPSN >KJB57645 pep chromosome:Graimondii2_0_v6:9:13407398:13408744:1 gene:B456_009G173900 transcript:KJB57645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMALSKVRSTSSLNLNHGGVNEEEELRLGFVRRTLGLGRKRVGISNEMEDFSPLDSSAAKLPLLKRQCSERIVMIVTFDDYHEKSSLESLPQDLLIRIICGVDHEDLKRLLIVSKSIREATVIAKQLHFAYSTPTKVKAFRTSIDFEEPSELHEIEAPNAPRQWRSHRSINRNKLADISVALFA >KJB57644 pep chromosome:Graimondii2_0_v6:9:13407219:13408905:1 gene:B456_009G173900 transcript:KJB57644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMALSKVRSTSSLNLNHGGVNEEEELRLGFVRRTLGLGRKRVGISNEMEDFSPLDSSAAKLPLLKRQCSERIVMIVTFDDYHEKSSLESLPQDLLIRIICGVDHEDLKRLLIVSKSIREATVIAKQLHFAYSTPTKVKAFRTSIDFEEPSELHEIEAPNAPRQWRSHRSINRNKLADISVALFA >KJB58444 pep chromosome:Graimondii2_0_v6:9:16292196:16294157:-1 gene:B456_009G210400 transcript:KJB58444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIPQDNFAVASPKSPLQAPTYGNLITVLSIDGGGIRGLIPGTILAFLESQLQKLDGEEARLADYFDVITGTSTGGLVTAMLTTPDPNNGNRPLFAAKDISDFYLEHCPKIFPQDCTPFAPATNLVKLLTGPKYDGQYLHKIVREKLGETRLHQTLTNVVIPTFDIKQLHPKIFSSYEVKNNPCRNALLSDICIGTSAAPTYLPAHQFETKDSTGKVQEFHLIDGGVAANNPTLIAINEVSKAITRGSPDFFPIKPNDYARFQVLSLGTGSQKCEEKYTAHMAAKWGLLGWLTCEHSTPLIDVFMQASSDMVDFHNATVFKALKSEKSYLRIQDDTLSGTVASVDIATKENLENLVKVGESLLKKPVSKVNLENGKFEPSNQGTNEEALVRLAQVLSKEKRLRDMTSPLGNPACRA >KJB55794 pep chromosome:Graimondii2_0_v6:9:6906198:6909799:-1 gene:B456_009G094800 transcript:KJB55794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSKLSLCWWPSNLKSNLNDSSDLENGEEALPGFSEYRLDQLRAATAGFSTDNIVSEHGEKAPNVVYRGTLDEDRLIAVKRFNRSAWPDPRQFLEEARAVGQLRSDRLANLIGCCCEGDERLLVAEFMPNETLSKHLFHWENQHMKWPMRLRVALYLAQALEYCSNRGRALYHDLNAYRILFDQNSRDGKSYSTNLAFTPPEYLRTGRVIPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNVKSLVTALTPLQKETEVPSHVLMGIPHGMASSKQTMVLTPLGEACSRMDLTAIHEILEKIGYKDDEGIANELSFQMWTDQIQETLNSKKRGDTAFRAKDFGTAIECYTHFIDGGTMISPTVFARRCLCYLMNNMAQEALGDAMQAQVISPEWPTAFYLQAAALFSLGMDNDAQETLKDGTNLEAKKHRN >KJB55795 pep chromosome:Graimondii2_0_v6:9:6906502:6909512:-1 gene:B456_009G094800 transcript:KJB55795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSKLSLCWWPSNLKSNLNDSSDLENGEEALPGFSEYRLDQLRAATAGFSTDNIVSEHGEKAPNVVYRGTLDEDRLIAVKRFNRSAWPDPRQFLEEARAVGQLRSDRLANLIGCCCEGDERLLVAEFMPNETLSKHLFHWENQHMKWPMRLRVALYLAQALEYCSNRGRALYHDLNAYRILFDQDGNPRLSSFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVIPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNVKSLVTALTPLQKETEVPSHVLMGIPHGMASSKQTMVLTPLGEACSRMDLTAIHEILEKIGYKDDEGIANELSFQMWTDQIQETLNSKKRGDTAFRAKDFGTAIECYTHFIDGGTMISPTVFARRCLCYLMNNMAQEALGDAMQAQVISPEWPTAFYLQAAALFSLGMDNDAQETLKDGTNLEAKKHRN >KJB55796 pep chromosome:Graimondii2_0_v6:9:6906232:6909753:-1 gene:B456_009G094800 transcript:KJB55796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSKLSLCWWPSNLKSNLNDSSDLENGEEALPGFSEYRLDQLRAATAGFSTDNIVSEHGEKAPNVVYRGTLDEDRLIAVKRFNRSAWPDPRQFLDGNPRLSSFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVIPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNVKSLVTALTPLQKETEVPSHVLMGIPHGMASSKQTMVLTPLGEACSRMDLTAIHEILEKIGYKDDEGIANELSFQMWTDQIQETLNSKKRGDTAFRAKDFGTAIECYTHFIDGGTMISPTVFARRCLCYLMNNMAQEALGDAMQAQVISPEWPTAFYLQAAALFSLGMDNDAQETLKDGTNLEAKKHRN >KJB59089 pep chromosome:Graimondii2_0_v6:9:18961184:18963904:-1 gene:B456_009G238600 transcript:KJB59089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQQNVVVLDVISRGVSNVLPRSSLPVPKSFSPQDLKMLLMKRFEAENGGAKISAWVDSMRASSPTRIKSSTTASLPETDDTSSWIVHHPSALSMFEQIVAASKGKQIVMFLDYDGTLSPIVEDPDQAFMPKEMRATVRDVARYFPTAIVTGRCRDKVYSFVKLAGLYYAGSHGMDIKGPSKSCKYKKGNQGVLFQAASEFLPMIDEVYKDLVEKTKSIPGTKVENNKFCVSVHFRCVDEKSWAALAEQVRSVLNHYPKLKLTQGRKVLEIRPTIKWDKGRALEFLLESLGYANSTNVLPVYIGDDRSDEDAFKVLRERGQGFGILVSKLPKETNASYSLQEPSEVKEFLKRLVDWKKMSQRAAKCA >KJB55845 pep chromosome:Graimondii2_0_v6:9:7087406:7090285:-1 gene:B456_009G097800 transcript:KJB55845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METASVLLQSRTIPFGFNLKCNQIVSCRHFNKQFQSKGFYSHQSLKLIQNPSSFSLLPSPLHKTRTQFFSPLKCSFSDIPSSDSQNPLLKPFKNLSFDSVKSSLSELTPIKIVKWATTVSLGIAATKWTVKLAFSPFFWMYFSWSWLFWPWFVAIALAVYGLYCFRKHSIGEASIVEQLAIVTSVFAWLTLVPPAYFNGYLEGWPFVFFFVYHYFFFFNVSVRKRLYGDYYARPHDPKWDVNPPKWYRLLFCVGVMVGHWLAAFEAPELHRIPGGWSNVGVWILIVVTLLMQYNSTLYLAKYSEKVVVPTAVVQFGPYRWVRHPVYASTMLLFATYCLALRAPLSLMFVVAVCLMYYEQKAKLEEVLMVETFGESYLEYASKVRCKFIPFVY >KJB60330 pep chromosome:Graimondii2_0_v6:9:26693778:26694383:-1 gene:B456_009G300400 transcript:KJB60330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQKNAQQTTNGKLSNSSSEALTLTDKKVWQGSHYADFPEIIEDGDAREFTHESVTDDADSHGSVAGLVYRRRDGTKWVVAWSNPLDENSKVYTDIQRQPVHWGQIKTDLEKRGKPKFKVTKFGYVASIEIDAGSRSPTMKASFGLEA >KJB61395 pep chromosome:Graimondii2_0_v6:9:46158810:46163089:-1 gene:B456_009G354700 transcript:KJB61395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRARRKQSWYTQTLTPLLEGPMDPEMQEEGNKKERSWEVIREWFRTQKGSSFSSSPTSFSMSNYFYGNGSIPPARRHDLRLLLGVLGCPLAPIPLLNHPIHHIRLKDIPIETSTAHYIIQQYLAASGCLKQRQKCGAKNMYATGRVKMICCETEISRGSGKGKNIVKSLGTRSEEMGGCFVVWQMKPEMWSLELVVGGNKVIAGSDGKTVWRHTSWLGTHAAKGPQRPLRRIIQGLDPKTTASLFAKAQCLGEKRIGDEDCFVLKVCADRAAVMERNEGPAEVMRHVLYGYFSQKSGLLIYLEDSHLTRVQTQEENEGGCACAYWETTIGSCIGDYRDVDGVLIAHQGRSIATVFRFGELSMQHSRSRMEEFWSIDDVVFNVQGLSIDSFIPPADIFDR >KJB57665 pep chromosome:Graimondii2_0_v6:9:13431957:13432719:-1 gene:B456_009G174300 transcript:KJB57665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGAWFLQFDEQKDQVAIEQILRVVFLDPERPCPALEKLKCQLDEADAALEARKKPPEDSGPRIIGEGLVIDEWKERRERYLARQQVEGVDSA >KJB53952 pep chromosome:Graimondii2_0_v6:9:1023422:1029976:-1 gene:B456_009G012400 transcript:KJB53952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDHSAIPKDLRPLNVARTVTEEPRIAATSNVSGRNIEGFFPNPSREPGSPGTMPGFYPATMANAGFVGLGYANAVPLAPGAPAWRPPMPMPMHVPVPVGRPSINPVVGFSYNPNLSNMVVGNAVDQVSNDVVAGQGFSPSLGNRNCGNGSDQASNDIASTGFGQSSNIGNRGSGNEIDQLNNELSGGFTYKPNLGLRSNGSGADQVSDEGGGDSVSGKKVKILCSFGGKILPRPSDGVLRYVGGQTRIISLRRDVSFNEFVQKMVDAYGQPVVIKYQLPDEDLDALVSISSLDDLDNMMDEYDKVVERSSDGSAKLRVFLFSASELDPSGLVQFGDLNDNGQKYVEAVNGIVEGASCGFTRKESMASLASTRNSDVSGTEAVDSIGAGQVDVGGPPPSNILSPGGNSATSHDTGPKMMAPDPNPVAAYPDASAVHLGIPVVKSAPPQTLSSQPEVELERSMPLTSAPQQVRYDSPLHYASAYIDPRHDVMNRSDYVHLAPQMGYSSPQLVGNTDSVFSQQHFCDNATAHQFIPALHMTMTPSASHAGIRPAVVQPLLQPKQIPLESHPGESAFGTKIVQLPVERNQNVYQAQIPSAMVGGGYAWHCIPQTEHVLLSDGSLPQHQATTPEKISRLEDCCMCQKALPHAHSDPLVKDTRNSSGTLLVNTNSTYHSLRPEDGMKISPVNRVVVSGALGDGIAEQGAGFRQLGHVDHQVGGLHSEAVGFSQGLDAQNECRTDNSDHPRIPASQGLMGMAGELQSQYVLPTQYQLKQDVPHPGAIGIQASEQQVAEASREYHGNIPAVSKMDIVDPNRLIPINGMMDALRISNEQGNSPVYKTRKDALDERSPQIAGREVPLDNIFNKPIDSSEIVILDNVVTHSQPKVGAQNLDSLEVQYGNPPFSGLQSAHKLDDVSWTQQKIVLNGTEAAPLNANTRSSFSPSNRGGDVLDSSDSLFSNQDPWNLRQDAQFPPPRPNKIQTQREGLPTRDPFGENQAVIIGESKAQLEDDVYQPLNHLNKDFISDQKQSAKAEELIKKELQAVAEGVAASVFQSSTPSTPDIPAATDASGFEGNQEIDGSTRNIEMQHKAKFEEIKTKQPDRTNFGFRVSDGIGCLQIIKNIDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRTALQKNEKNLDKRKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYADLHYGAIIGGIVSNTLRPQVPEGCDPEWRSLMERCWSSELPERPSFTEIANELRSMAAKIQPKGQIPQK >KJB58125 pep chromosome:Graimondii2_0_v6:9:15023415:15031820:-1 gene:B456_009G195600 transcript:KJB58125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYKWLAEKYPLILVDVIEEVPEMVDGVTIAVDTSKPNPNRIEFDNLYLDMNGIIHPCFHPEDRSSPRTFDEVFRRIFEYIDRLFIMVRPRKLLFMAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEARLREEFEREGKRLPPKDETQLSDSNVITPGTSFMAVLSIALQYYIHLRLNYDPGWKNIKVILSDANVPGEGEHKIMSYIRLQKNLPGFDPNTRHCLYGLDADLIMLALATHEVHFSILREMGHIAAKCDGTAKRKSGEFDEKGDRKNVARKPYQFLNIWTLREYLEHEMRISNSPFEIDLERIVDDFIFMCFFVGNDFLPHMPTLEIREGAIDLLMTIYKKEFRGMGGYLTDGSKPKLSRVEHFIQAVGSYEEQIFRKRALLHQRQAERIKRGKAQAGGGDDAEPQVQPDSIVPVTRFNGSRLASGSSPAPFQQTMESNGDESSGRPHKVRRLNSGVTIDAAIVEAEDGIESDMLENKEELEAKLKELIHNKSDVFNSKDSKEDKIKLGEPGWKERYYQEKFLAETPEEMETTRKDVVLKFTEGLCWVMHYYYEGVCSWRWFYPYHYAPFASDLKDLGELNIQFELGSPFKPFNQLLGVFPAASSHALPEQYRKLMTDPNSPIIDFYPTDFEVDMDGKRFSWQGIAKLPFIDEQRLLTEVAKIEHTLTEEEARRNSTMCDMLFVAASHRLSEQIFSLDNRCKRLTERQQVEVEEVKPDLSDGMNGYIFPCAGDTHPPIFRSPIKDMEDILANEVICCIYRTPKAHKHIPRPSAGVIFPPKMIQLSDLKPKPLLWHEDSGRRPFQNGRFAAARHLVEGSMLKSPVERYNPPGSISGRKLGEASHRLIANSLKKLKVDRTGFSNNVQAPPPSIATAPHIPPFNSHVNNGSLNQGQAVVAPSEQDYSRAGSQKQPRRAPPEHDSRAGYPLSQNLPFDFPNAQSYYPYPICVPGSYLHYPPNHQNGGVRLITSPMEQISAVPEPQPHLSGKKRRYNTRYQANLRYQANLSGSYPQCGGIMAPQGNLNGSAVIPLLHQPYPQPHPHLLHEQRETQPHGANQHQRGNQQQGGNPFSVLDR >KJB58124 pep chromosome:Graimondii2_0_v6:9:15023135:15032106:-1 gene:B456_009G195600 transcript:KJB58124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYKWLAEKYPLILVDVIEEVPEMVDGVTIAVDTSKPNPNRIEFDNLYLDMNGIIHPCFHPEDRSSPRTFDEVFRRIFEYIDRLFIMVRPRKLLFMAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEARLREEFEREGKRLPPKDETQLSDSNVITPGTSFMAVLSIALQYYIHLRLNYDPGWKNIKVILSDANVPGEGEHKIMSYIRLQKNLPGFDPNTRHCLYGLDADLIMLALATHEVHFSILREIIFTPGEDKCFLCGQMGHIAAKCDGTAKRKSGEFDEKGDRKNVARKPYQFLNIWTLREYLEHEMRISNSPFEIDLERIVDDFIFMCFFVGNDFLPHMPTLEIREGAIDLLMTIYKKEFRGMGGYLTDGSKPKLSRVEHFIQAVGSYEEQIFRKRALLHQRQAERIKRGKAQAGGGDDAEPQVQPDSIVPVTRFNGSRLASGSSPAPFQQTMESNGDESSGRPHKVRRLNSGVTIDAAIVEAEDGIESDMLENKEELEAKLKELIHNKSDVFNSKDSKEDKIKLGEPGWKERYYQEKFLAETPEEMETTRKDVVLKFTEGLCWVMHYYYEGVCSWRWFYPYHYAPFASDLKDLGELNIQFELGSPFKPFNQLLGVFPAASSHALPEQYRKLMTDPNSPIIDFYPTDFEVDMDGKRFSWQGIAKLPFIDEQRLLTEVAKIEHTLTEEEARRNSTMCDMLFVAASHRLSEQIFSLDNRCKRLTERQQVEVEEVKPDLSDGMNGYIFPCAGDTHPPIFRSPIKDMEDILANEVICCIYRTPKAHKHIPRPSAGVIFPPKMIQLSDLKPKPLLWHEDSGRRPFQNGRFAAARHLVEGSMLKSPVERYNPPGSISGRKLGEASHRLIANSLKKLKVDRTGFSNNVQAPPPSIATAPHIPPFNSHVNNGSLNQGQAVVAPSEQDYSRAGSQKQPRRAPPEHDSRAGYPLSQNLPFDFPNAQSYYPYPICVPGSYLHYPPNHQNGGVRLITSPMEQISAVPEPQPHLSGKKRRYNTRYQANLRYQANLSGSYPQCGGIMAPQGNLNGSAVIPLLHQPYPQPHPHLLHEQRETQPHGANQHQRGNQQQGGNPFSVLDR >KJB55465 pep chromosome:Graimondii2_0_v6:9:5600488:5602798:1 gene:B456_009G077700 transcript:KJB55465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENENEKEMEKENQGSNHNAATIGGVSEQVTPSNSSISPPPDPESESNNDLSTEALAKALSSMLTSLIKDFDSKALDTLASQDILSSSIDRLTRELDQLLEDAPSPFIMQHAVKFSNVRKRVLSLNLLLKSIQRRVDNIDRMLSMGLQHEKTATEGS >KJB55466 pep chromosome:Graimondii2_0_v6:9:5600488:5603812:1 gene:B456_009G077700 transcript:KJB55466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENENEKEMEKENQGSNHNAATIGGVSEQVTPSNSSISPPPDPESESNNDLSTEALAKALSSMLTSLIKDFDSKALDTLASQDILSSSIDRLTRELDQLLEDAPSPFIMQHAVKFSNVRKRVLSLNLLLKSIQRRVDNIDRMLSMGLQHDCHRRFLTTSTLAVCYWSF >KJB55464 pep chromosome:Graimondii2_0_v6:9:5600572:5601855:1 gene:B456_009G077700 transcript:KJB55464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENENEKEMEKENQGSNHNAATIGGVSEQVTPSNSSISPPPDPESESNNDLSTEALAKALSSMLTSLIKDFDSKALDTLASQDILSSSIDRLTRELDQLLEDAPSPFIMQHAVKFSNVRKRVLSLNLLLKSIQRRVDNIDRMLSMGLQHEKTATEGS >KJB55467 pep chromosome:Graimondii2_0_v6:9:5600488:5603278:1 gene:B456_009G077700 transcript:KJB55467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENENEKEMEKENQGSNHNAATIGGVSEQVTPSNSSISPPPDPESESNNDLSTEALAKALSSMLTSLIKDFDSKALDTLASQDILSSSIDRLTRELDQLLEDAPSPFIMQHAVKFSNVRKRVLSLNLLLKSIQRRVDNIDRMLSMGLQHEKTATEGS >KJB61085 pep chromosome:Graimondii2_0_v6:9:40968010:40970867:1 gene:B456_009G344600 transcript:KJB61085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTHRRSSAKASSLPTILMTPISTKKIKKNRFNYGGGAAALKTGQAMASVLNVVQTSHSYGDLMLLMKAAELQQV >KJB58410 pep chromosome:Graimondii2_0_v6:9:16211244:16211773:-1 gene:B456_009G209100 transcript:KJB58410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPNWSPSTTVASSSSYREDSGAMVAASSSGVSEEEEDRDRDYPPQNHFQFHSPELGNRCIGGFLSYKDNSPAFNDNSPPVIRDDMWSCIIYLRLNKETTVVMFTGKRGRRKRKKKEMEMENKREICGKKKR >KJB60868 pep chromosome:Graimondii2_0_v6:9:33294038:33296000:-1 gene:B456_009G328800 transcript:KJB60868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAMVAASMASGLRAKAMAELCCYLTVALCAFLVPSFAKLTTIEHPVKPNRSLSFLVVGDWGRKGFYNQSEVAFQMGRIGEKLKIDFVISTGDNFYDNGLTSVYDPAFRDSFTNIYTAKGLQKPWYSVLGNHDYRGNVEAQLNPILRSVDKRWICMRSFVLKTELVDIFFVDTTPFVDKYFTDPEDHTYDWKGVEPRKNYLSSLIKDLKAEMRNSTALWKFAVGHHAIKSLGHHGDTAQLIKFLLPVLKDNNVDVYMNGHDHCLEHITSMNGAMQYLTSGGGSKAWRGDLKPDDDVNHSAKFLYDGQGFMSVEMNENEAMILFYDVEGLILHQWKISKQLRSAV >KJB60429 pep chromosome:Graimondii2_0_v6:9:27587006:27590602:-1 gene:B456_009G304800 transcript:KJB60429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPKPHRPLCGVLLVTIALFLSPKACDGRTRTHDCGLTMCGNVSIRYPFRLKTQPLHCGAHVIFQLDCDEKHNRTILGNENGKFYVQEILYNNFTILLVDSNLASNSCSLPRSSAPVLYDRGCFVSYSRPYVDQHTGFQIMHLVNCTRSIKSSKYVDASPCSDSSSGHDPPSSYHYFLNELTEPLDFSPYCTVIARVPVMAPYTTDIATLDIYEKLSLGFHLSWKNYIKGSGCYEKYTFQTMLETLRDGFLDFVNSFVYYLFHHSPVTFGHNFYSVEHEGKYAICLGIIGGRTFLGISILIALVTYKWRRRHLCADNKIEEFLRNQNNLMPIRYSYYEIKRMTRGFSDKLGAGGFGSVFKGKLRSGHDVAVKLLDKSKANGQDFIAEVATIGRIHHVNVASLRGFCVEGSKQALVYDFMPNGSLDKIIFEKENKTSLSWDKMFDIVIGVARGIAYLHQGCDMQILHFDIKPHNVLLEENFVPKVSDFGLAKLYSVDDSIVSLTAARGTIGYIAPELIYKNLGGISYKADVYSFGMLLIEIVGKRKNLNVYAEHSSQIYFPSWIYDQLHQGEDIDLEEVTNDEKLIVRKMAIIAFWCIQMKPCDRPSMNKVLEMLETDVELLQMPPKPFQQLPFEIPIEDHVDDNPNDEDPTTSLLSTSEISLEVA >KJB61620 pep chromosome:Graimondii2_0_v6:9:49875178:49875747:1 gene:B456_009G370600 transcript:KJB61620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKGFPTIFLFICFVLVFTNCFMVEADTCKPSGKLRGKKPPPGKCNKGHDSDCCQEGKFYNTYTCSPPVSSHTKATLTLNGFGPKEDGGGPCECDNNYHKDSELIVALLTGWFNKKKRCMNYINIHGNRKTVKAKVVDECDSTVGCDDEHVYQPPCANNIVDASDAVWDALGVYGDKRGEMEIYWSDA >KJB63017 pep chromosome:Graimondii2_0_v6:9:70087907:70090774:-1 gene:B456_009G449900 transcript:KJB63017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSTSWTHLQCTPLLHSMNKKMSGTKKEENIYLGPHGAPPSQSRQQELNLSTRKQRFKQKLKEADKRVSGTGRENKVENLKELVGGGKASPNMSKGSPRDWLDPHCNESEFEKPYPQ >KJB63018 pep chromosome:Graimondii2_0_v6:9:70088118:70090520:-1 gene:B456_009G449900 transcript:KJB63018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPVHTTSSLHEQEDEWDTDGFVIPSLGIEEPYETKADTSDVETSKSYSQQTKKEENIYLGPHGAPPSQSRQQELNLSTRKQRFKQKLKEADKRVSGTGRENKVENLKELVGGGKASPNMSKGSPRDWLDPHCNESEFEKPYPQ >KJB63019 pep chromosome:Graimondii2_0_v6:9:70088118:70090520:-1 gene:B456_009G449900 transcript:KJB63019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPVHTTSSLHEQEDEWGILTLSLSLCLFAGKILINGRNLDPYQKDRFFINTDGFVIPSLGIEEPYETKADTSDVETSKSYSQQTKKEENIYLGPHGAPPSQSRQQELNLSTRKQRFKQKLKEADKRVSGTGRENKVENLKELVGGGKASPNMSKGSPRDWLDPHCNESEFEKPYPQ >KJB54443 pep chromosome:Graimondii2_0_v6:9:2583436:2587914:1 gene:B456_009G034400 transcript:KJB54443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSKVEAKKKNGEDSGNRKLLNEIEAISRALYLDKNPPRTSFSALNTWSKPAGKTYYPEPKSKLKNSNEDSSRKDKKSIWNWKPLKAFSNVRNRRFACCFSLQVHSIEGLPSSFNDFSLCVHWKRRDGGLMTRPAKVLDGTAEFEEKLTHTCSVQGSRSGPHHSAKYEAKHFLLYASVFGTPDLDLGKHRLDLTRLLPLTLEELEEEKSSGKWTTSFKLSGKAKGATMNVSLGYMIIADDCVPLGNSQYSSNLSHLKSMGKSITKFANGDQKGTMRRVESLPGFVNFGSFGSSLLAEEVKDLHEVLPVSKSELDDTKRVDQKFDDDKADASSASKPGPDVLAEQLEPIKPPSYFGPESSKENIEKETEDNDFCIVEKGIEVSSEKQALLTMESPEDVKSNPGMGVNQEKFSHLHSSNEASSSNQSDVLVVQDCNSKEDDQCSKETLMRELELALDGITNLEAALDSPDPEDYLENKANYKTNRKAKSLSLDEVTESVASDFLNMLGIDHSPFGSSSDIEPESPRERLLREFEKDALASGFLLFDFDMAEGEDFDTSTTSGWGNLTDDLSSFILDGEQERQVATNQSSKTRAKVLEDLETEALMHEWGLNEEAFHHSPPGTSGGFGSPVHFPPEEALELPPLGEGLGPFLQTKNGGFLRSMDPSLFSNAKNGGNLIMQVSSPVVVPAEMGSGIMDILQRLASVGIEKLSMQASKLMPLVDITGKNTEQVAWETALSLEGPERQCLLQNGFEVGQDLSSGQKEVKRRSPLLSSNKCSSTSVNGMGSDYVSLEDLAPLAMNKIEALSMEGLRIQSGMSDEDAPANISAQSIGEISALQGKGFGVSGSLGLDGTGGLQLLDIKNNGDDVDGLMGLSLTLDEWMRLDSGELDDDDDQISERTSKILAAHHATSLDLIRRGSKGEKRRGKKCGLLGNNFTVALMVQLHDPLRNYEPVGTPMLALIQVERVFVPPKPKIYTTVSASRNDNQEDDDSDSAVNEVEEEEIKEEKASQEEEIPQFRITEVHVAGLKSEPGKKKHWGTKTQQQSGSRWLLANGMGKSNKHPLLKSNSKAAPKTSTPSTTKVQPGDTLWSISSRIHGTGAKWKELAGLNPHIRNPNVIFPNETIRLK >KJB54444 pep chromosome:Graimondii2_0_v6:9:2583345:2587974:1 gene:B456_009G034400 transcript:KJB54444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSKVEAKKKNGEDSGNRKLLNEIEAISRALYLDKNPPRTSFSALNTWSKPAGKTYYPEPKSKLKNSNEDSSRKDKKSIWNWKPLKAFSNVRNRRFACCFSLQVHSIEGLPSSFNDFSLCVHWKRRDGGLMTRPAKVLDGTAEFEEKLTHTCSVQGSRSGPHHSAKYEAKHFLLYASVFGTPDLDLGKHRLDLTRLLPLTLEELEEEKSSGKWTTSFKLSGKAKGATMNVSLGYMIIADDCVPLGNSQYSSNLSHLKSMGKSITKFANGDQKGTMRRVESLPGFVNFGSFGSSLLAEEVKDLHEVLPVSKSELDDTKRVDQKFDDDKADASSASKPGPDVLAEQLEPIKPPSYFGPESSKENIEKETEDNDFCIVEKGIEVSSEKQALLTMESPEDVKSNPGMGVNQEKFSHLHSSNEASSSNQSDVLVVQDCNSKEDDQCSKETLMRELELALDGITNLEAALDSPDPEDYLENKANYKTNRKAKSLSLDEVTESVASDFLNMLGIDHSPFGSSSDIEPESPRERLLREFEKDALASGFLLFDFDMAEGEDFDTSTTSGWGNLTDDLSSFILDGEQERQVATNQSSKTRAKVLEDLETEALMHEWGLNEEAFHHSPPGTSGGFGSPVHFPPEEALELPPLGEGLGPFLQTKNGGFLRSMDPSLFSNAKNGGNLIMQVSSPVVVPAEMGSGIMDILQRLASVGIEKLSMQASKLMPLVDITGKNTEQVAWETALSLEGPERQCLLQNGFEVGQDLSSGQKEVKRRSPLLSSNKCSSTSVNGMGSDYVSLEDLAPLAMNKIEALSMEGLRIQSGMSDEDAPANISAQSIGEISALQGKGFGVSGSLGLDGTGGLQLLDIKNNGDDVDGLMGLSLTLDEWMRLDSGELDDDDDQISERTSKILAAHHATSLDLIRRGSKGEKRRGKKCGLLGNNFTVALMVQLHDPLRNYEPVGTPMLALIQVERVFVPPKPKIYTTVSASRNDNQEDDDSDSAVNEVEEEEIKEEKASQEEEIPQFRITEVHVAGLKSEPGKKKHWGTKTQQQSGSRWLLANGMGKSNKHPLLKSNSKAAPKTSTPSTTKVQPGDTLWSISSRIHGTGAKWKELAGLNPHIRNPNVIFPNETIRLK >KJB57741 pep chromosome:Graimondii2_0_v6:9:13795901:13799888:1 gene:B456_009G178700 transcript:KJB57741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSLQLQRPLRFKEVLNHRTDCFKIRPFLGSLSFARTIQFTPWRCECLPSRVSLRVTAGAADFSKRRQRRLSTRSSKSSKGFGRKTKLGTGNQKRDQKDNGENEDSNIPTLSESEESNQTEMESTVAVDEESTIALYQKNKVNESEKEELKEDVPSKTKSYLNAENGSARKVVEDVLGLQKKELILENDTVSTSRDAATYEGKHFTDYAITEEKHLAGTETDGTVTGKDEKTIEDASAKLKLEMEEKLRKQEIERLAEGNFLKGNKIFVYPQTVRPDEDIEVFFNRSFSTLNDEQDILIMGAFNDWRWRSFTMRLNKTYFKGDWWSCQIHVPKEAYKMDFVFFNGQNIYDNNDKQDFCIIVEGGMDVFAFEDFLLEEKRRELEKLAKEQAEKERQEEEQRRIEAEKAASEADRAQAKVETGKRREILEQLMKQAPRSVDNIWFIEPNEFKGADKVKLYYNKTSGPLAHANELWIHGGHNNWCNGLTIVEKFLRSGREGGDWWYAEVVVPGRALVLDWVFADGPPKVATIYDNNNYQDFHAVVPKSIPEEMFWVEEEHQIFRKLQAERKLREEAIRAKAEKTARMKAEMKERTLKRFLLSQKHIVYTEPLDVHAGSTVTVFYNPANTVLNGKHEIWFRCSFNRWTHRMGPLPPQRMLPADNGSHVKATVKVPLDAYMMDFVFSEREDGGMFDNKGGMDYHIPVFGGIVKEPPMHIVHIAVEMAPIAKVNFGH >KJB57742 pep chromosome:Graimondii2_0_v6:9:13795544:13800719:1 gene:B456_009G178700 transcript:KJB57742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSLQLQRPLRFKEVLNHRTDCFKIRPFLGSLSFARTIQFTPWRCECLPSRVSLRVTAGAADFSKRRQRRLSTRSSKSSKGFGRKTKLGTGNQKRDQKDNGENEDSNIPTLSESEESNQTEMESTVAVDEESTIALYQKNKVNESEKEELKEDVPSKTKSYLNAENGSARKVVEDVLGLQKKELILENDTVSTSRDAATYEGKHFTDYAITEEKHLAGTETDGTVTGKDEKTIEDASAKLKLEMEEKLRKQEIERLAEGNFLKGNKIFVYPQTVRPDEDIEVFFNRSFSTLNDEQDILIMGAFNDWRWRSFTMRLNKTYFKGDWWSCQIHVPKEAYKMDFVFFNGQNIYDNNDKQDFCIIVEGGMDVFAFEDFLLEEKRRELEKLAKEQAEKERQEEEQRRIEAEKAASEADRAQAKVETGKRREILEQLMKQAPRSVDNIWFIEPNEFKGADKVKLYYNKTSGPLAHANELWIHGGHNNWCNGLTIVEKFLRSGREGGDWWYAEVVVPGRALVLDWVFADGPPKVATIYDNNNYQDFHAVVPKSIPEEMFWVEEEHQIFRKLQAERKLREEAIRAKAEKTARMKAEMKERTLKRFLLSQKHIVYTEPLDVHAGSTVTVFYNPANTVLNGKHEIWFRCSFNRWTHRMGPLPPQRMLPADNGSHVKATVKVPLDAYMMDFVFSEREDGGMFDNKGGMDYHIPVFGGIVKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVNIILPKYDCLNLSHVSFISVALARFPASNDGHLWF >KJB57744 pep chromosome:Graimondii2_0_v6:9:13795544:13804683:1 gene:B456_009G178700 transcript:KJB57744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSLQLQRPLRFKEVLNHRTDCFKIRPFLGSLSFARTIQFTPWRCECLPSRVSLRVTAGAADFSKRRQRRLSTRSSKSSKGFGRKTKLGTGNQKRDQKDNGENEDSNIPTLSESEESNQTEMESTVAVDEESTIALYQKNKVNESEKEELKEDVPSKTKSYLNAENGSARKVVEDVLGLQKKELILENDTVSTSRDAATYEGKHFTDYAITEEKHLAGTETDGTVTGKDEKTIEDASAKLKLEMEEKLRKQEIERLAEGNFLKGNKIFVYPQTVRPDEDIEVFFNRSFSTLNDEQDILIMGAFNDWRWRSFTMRLNKTYFKGDWWSCQIHVPKEAYKMDFVFFNGQNIYDNNDKQDFCIIVEGGMDVFAFEDFLLEEKRRELEKLAKEQAEKERQEEEQRRIEAEKAASEADRAQAKVETGKRREILEQLMKQAPRSVDNIWFIEPNEFKGADKVKLYYNKTSGPLAHANELWIHGGHNNWCNGLTIVEKFLRSGREGGDWWYAEVVVPGRALVLDWVFADGPPKVATIYDNNNYQDFHAVVPKSIPEEMFWVEEEHQIFRKLQAERKLREEAIRAKAEKTARMKAEMKERTLKRFLLSQKHIVYTEPLDVHAGSTVTVFYNPANTVLNGKHEIWFRCSFNRWTHRMGPLPPQRMLPADNGSHVKATVKVPLDAYMMDFVFSEREDGGMFDNKGGMDYHIPVFGGIVKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVNIILPKYDCLNLSHVKDLHYQKSYSWGGTEIKVWFGKVEGLSVYFLEPQNGFVWTGCVYGCKNDAERFGFFCHAALEFLHQGGLQPDIIHCHDWSSAPVAWLFKDHYMHYGLSKTRVVFTIHNLEFGAHFIGKAMAYADKATTVSHTYSKEVAGNPAVAPHLHKFHGILNGIDLDIWDPYNDKFIPVPYTSENVVEGKRAAKEALQQRLGLKKSDHPLVGIITRLTHQKGIHLIKHAIWNTLKRNGQVVLLGSAPDPRIQNDFVNLANQLHSSHSDQARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGDFMILYSMLTMIKLELKHKVLNPTDLTSMELMVLESIMPSIGLSLLGMMVGTGLTHCARG >KJB57743 pep chromosome:Graimondii2_0_v6:9:13795544:13804683:1 gene:B456_009G178700 transcript:KJB57743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSLQLQRPLRFKEVLNHRTDCFKIRPFLGSLSFARTIQFTPWRCECLPSRVSLRVTAGAADFSKRRQRRLSTRSSKSSKGFGRKTKLGTGNQKRDQKDNGENEDSNIPTLSESEESNQTEMESTVAVDEESTIALYQKNKVNESEKEELKEDVPSKTKSYLNAENGSARKVVEDVLGLQKKELILENDTVSTSRDAATYEGKHFTDYAITEEKHLAGTETDGTVTGKDEKTIEDASAKLKLEMEEKLRKQEIERLAEGNFLKGNKIFVYPQTVRPDEDIEVFFNRSFSTLNDEQDILIMGAFNDWRWRSFTMRLNKTYFKGDWWSCQIHVPKEAYKMDFVFFNGQNIYDNNDKQDFCIIVEGGMDVFAFEDFLLEEKRRELEKLAKEQAEKERQEEEQRRIEAEKAASEADRAQAKVETGKRREILEQLMKQAPRSVDNIWFIEPNEFKGADKVKLYYNKTSGPLAHANELWIHGGHNNWCNGLTIVEKFLRSGREGGDWWYAEVVVPGRALVLDWVFADGPPKVATIYDNNNYQDFHAVVPKSIPEEMFWVEEEHQIFRKLQAERKLREEAIRAKAEKTARMKAEMKERTLKRFLLSQKHIVYTEPLDVHAGSTVTVFYNPANTVLNGKHEIWFRCSFNRWTHRMGPLPPQRMLPADNGSHVKATVKVPLDAYMMDFVFSEREDGGMFDNKGGMDYHIPVFGGIVKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVNIILPKYDCLNLSHVKDLHYQKSYSWGGTEIKVWFGKVEGLSVYFLEPQNGFVWTGCVYGCKNDAERFGFFCHAALEFLHQGGLQPDIIHCHDWSSAPVAWLFKDHYMHYGLSKTRVVFTIHNLEFGAHFIGKAMAYADKATTVSHTYSKEVAGNPAVAPHLHKFHGILNGIDLDIWDPYNDKFIPVPYTSENVVEGKRAAKEALQQRLGLKKSDHPLVGIITRLTHQKGIHLIKHAIWNTLKRNGQVVLLGSAPDPRIQNDFVNLANQLHSSHSDQARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGLYDTVFDVDDDKARAEAQGLEPNGFNFDGADGAGVDYALNR >KJB57746 pep chromosome:Graimondii2_0_v6:9:13796148:13804683:1 gene:B456_009G178700 transcript:KJB57746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTVAVDEESTIALYQKNKVNESEKEELKEDVPSKTKSYLNAENGSARKVVEDVLGLQKKELILENDTVSTSRDAATYEGKHFTDYAITEEKHLAGTETDGTVTGKDEKTIEDASAKLKLEMEEKLRKQEIERLAEGNFLKGNKIFVYPQTVRPDEDIEVFFNRSFSTLNDEQDILIMGAFNDWRWRSFTMRLNKTYFKGDWWSCQIHVPKEAYKMDFVFFNGQNIYDNNDKQDFCIIVEGGMDVFAFEDFLLEEKRRELEKLAKEQAEKERQEEEQRRIEAEKAASEADRAQAKVETGKRREILEQLMKQAPRSVDNIWFIEPNEFKGADKVKLYYNKTSGPLAHANELWIHGGHNNWCNGLTIVEKFLRSGREGGDWWYAEVVVPGRALVLDWVFADGPPKVATIYDNNNYQDFHAVVPKSIPEEMFWVEEEHQIFRKLQAERKLREEAIRAKAEKTARMKAEMKERTLKRFLLSQKHIVYTEPLDVHAGSTVTVFYNPANTVLNGKHEIWFRCSFNRWTHRMGPLPPQRMLPADNGSHVKATVKVPLDAYMMDFVFSEREDGGMFDNKGGMDYHIPVFGGIVKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVNIILPKYDCLNLSHVKDLHYQKSYSWGGTEIKVWFGKVEGLSVYFLEPQNGFVWTGCVYGCKNDAERFGFFCHAALEFLHQGGLQPDIIHCHDWSSAPVAWLFKDHYMHYGLSKTRVVFTIHNLEFGAHFIGKAMAYADKATTVSHTYSKEVAGNPAVAPHLHKFHGILNGIDLDIWDPYNDKFIPVPYTSENVVEGKRAAKEALQQRLGLKKSDHPLVGIITRLTHQKGIHLIKHAIWNTLKRNGQVVLLGSAPDPRIQNDFVNLANQLHSSHSDQARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGLYDTVFDVDDDKARAEAQGLEPNGFNFDGADGAGVDYALNRAISAWYDGRDWFNSLCKRVMEQDWSWNRPALDYMELYHAAKKY >KJB57745 pep chromosome:Graimondii2_0_v6:9:13795544:13804698:1 gene:B456_009G178700 transcript:KJB57745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSLQLQRPLRFKEVLNHRTDCFKIRPFLGSLSFARTIQFTPWRCECLPSRVSLRVTAGAADFSKRRQRRLSTRSSKSSKGFGRKTKLGTGNQKRDQKDNGENEDSNIPTLSESEESNQTEMESTVAVDEESTIALYQKNKVNESEKEELKEDVPSKTKSYLNAENGSARKVVEDVLGLQKKELILENDTVSTSRDAATYEGKHFTDYAITEEKHLAGTETDGTVTGKDEKTIEDASAKLKLEMEEKLRKQEIERLAEGNFLKGNKIFVYPQTVRPDEDIEVFFNRSFSTLNDEQDILIMGAFNDWRWRSFTMRLNKTYFKGDWWSCQIHVPKEAYKMDFVFFNGQNIYDNNDKQDFCIIVEGGMDVFAFEDFLLEEKRRELEKLAKEQAEKERQEEEQRRIEAEKAASEADRAQAKVETGKRREILEQLMKQAPRSVDNIWFIEPNEFKGADKVKLYYNKTSGPLAHANELWIHGGHNNWCNGLTIVEKFLRSGREGGDWWYAEVVVPGRALVLDWVFADGPPKVATIYDNNNYQDFHAVVPKSIPEEMFWVEEEHQIFRKLQAERKLREEAIRAKAEKTARMKAEMKERTLKRFLLSQKHIVYTEPLDVHAGSTVTVFYNPANTVLNGKHEIWFRCSFNRWTHRMGPLPPQRMLPADNGSHVKATVKVPLDAYMMDFVFSEREDGGMFDNKGGMDYHIPVFGGIVKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVNIILPKYDCLNLSHVKDLHYQKSYSWGGTEIKVWFGKVEGLSVYFLEPQNGFVWTGCVYGCKNDAERFGFFCHAALEFLHQGGLQPDIIHCHDWSSAPVAWLFKDHYMHYGLSKTRVVFTIHNLEFGAHFIGKAMAYADKATTVSHTYSKEVAGNPAVAPHLHKFHGILNGIDLDIWDPYNDKFIPVPYTSENVVEGKRAAKEALQQRLGLKKSDHPLVGIITRLTHQKGIHLIKHAIWNTLKRNGQVVLLGSAPDPRIQNDFVNLANQLHSSHSDQARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGLYDTVFDVDDDKARAEAQGLEPNGFNFDGADGAGVDYALNRAISAWYDGRDWFNSLCKRVMEQDWSWNRPALDYMELYHAAKKY >KJB60233 pep chromosome:Graimondii2_0_v6:9:25790212:25794279:1 gene:B456_009G295700 transcript:KJB60233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQSLLASVGINIGLAMVILFLFSILKKQPSNAAIYYPRPLSKRHPITFPPFSLRRFIPSFSWIPRAFRVTEDEILQTNGLDALIVIRLFKFGINFFTVCSSVGLLILLPINFGGQPASSDSYRSMDSCTISNIKTGSNMLWVHFMCLWFISLYGLHLLYKEYSEILVKRIQQVRNLRHRPDQFTTLVREIPVCGEHQARGCCVDHFFSKHHPYSYHSYKMLYDGKDIEDLSKQAKYVHEKVQGLRKKCEGKKHGKESDECRDDLLKITGLEEKLEELCRKFRQLQSEDMLKGKELPVAFVTFKSRWGAAMAAQTQQHTNPLLWITEMAPEPSDVSWRNLSIQYKILPVYKIGVILAATLLTIFFAVPVTAVQGIAKFEKLKKWFPPAMAIEFIPGLSSVVTGYLPSAVLKGFIYIVPFAMLGMAKLGGSISKSKEEIKACNMVFYFLLGNVFFLSLISGSLLDEIGEYVSHPKNLPSHLAALVSSQADFFMTYILTEGLSGFSLEVLQPGLLIWDFIKSRTYCRGKEKDLYLYSLQYFRIIPIVSLSILIGIVYAVIAPLLLPFLIVYFFLGYAVYINQIQDVYETVYDTCGTFWPFIHHYIIVAIILMQITMIGLFGLKSKPAASVSTIPLLLLTIMFNEYCKIRFLPAFRSHSIQNAVENDELDEKSSEMEHSFDKAIEEYLQPCLVPVSFTQSDSSLYQPLITSW >KJB54229 pep chromosome:Graimondii2_0_v6:9:2000520:2002973:-1 gene:B456_009G026200 transcript:KJB54229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGSIVNLGLWDTAGQEDYCRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDDRAYLADQMGSNIITSAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEVARKKRRRRSGCSIMSLVCGGCDA >KJB58361 pep chromosome:Graimondii2_0_v6:9:15982718:15984960:-1 gene:B456_009G206600 transcript:KJB58361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLDFSTFGYAVVLGITLLFLYTKLKKSSSGSGGKAAPVAAGAWPIIGHLPLLGGPKTPHETLGDLGEKYGPAYMIRIGVHPALVVNSSEVAKEIFTVNDMYVSSRSEFAAAEHLGYNYAMFGFSPYGQYWREMRKITMLEVLSNHRIDQLKKVFVSEIEGSMKLLYKTWAKKKDGSGKVLVEMKKHFSDLTLNVIMRTVAGKRYSVVAEEDQKEVLRYRKALRDFFHLTGMFVLGDAVPFLRWLDIGGYEKWMKKTAKELDEISGGWLDDHRKGGRWDENKKEKDFMDVMNSVLKGASLAGYDADTINKATSLNMILAGSDTTTVTLIWGLSLMLNKPHILKKAQEELDTYIGRDRFVNETDIGKLVYIQAIVKETLRMYPPAPLSAPRELSESCSIGGYDIPKGTRLIINLHKIQRDPKKWPEPSEFNPERFLTTHKDVDVRGQHFELMPFGSGRRSCPGTSFALHMLYLTMSNFLHAFDFSTPSNGLIDLTGTVGLTNIKSTPLEALVSPRLAPELYN >KJB54144 pep chromosome:Graimondii2_0_v6:9:1730610:1733532:-1 gene:B456_009G022600 transcript:KJB54144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQILSKLPRKSQKSDSLDSAGLDSGNHTSNSSNGVQCTNIGNSITSRLSVVKRVSSAVFPASIMAGVEAVEPNLSFKDVSNPQKQNLFISKLNLCCEVSDFSDPEKASSEQDLKRQTLIELVDFVSSGSAKFNEPAVAAMCKMCAVNLFRVFPPKYRSNTSSGEAEDDEPMFDPAWSNLQLVYDLLLRFVSYSSLDAKVAKKHVDHSFILKLLDLFESEDPRERDCLKTILHRIYGKFMVHRPFVRKAVSNIIYRFVFETERHNGIAELLEIFGSIISGFAIPLKEEHKMFLCRALIPLHKPKSIGVYHQQLTYCVVQFVDKDQKLANNVIKGLLKYWPITNSQKELMFISELEEILEMINMVEFQKIMVPVFRRIACCLNSSHYQVAERAHLLWNNEHILNLITHNRHVIFPLIYPSLERNSQNHWNQAVLNLTQNIRKMFCEMDEELLLACQHKLEEENSQLIEAAEKRKLAWERLETTAAAFKPAATNNVPPVKPATLPVAC >KJB54145 pep chromosome:Graimondii2_0_v6:9:1730606:1733956:-1 gene:B456_009G022600 transcript:KJB54145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQILSKLPRKSQKSDSLDSAGLDSGNHTSNSSNGVQCTNIGNSITSRLSVVKRVSSAVFPASIMAGVEAVEPNLSFKDVSNPQKQNLFISKLNLCCEVSDFSDPEKASSEQDLKRQTLIELVDFVSSGSAKFNEPAVAAMCKMCAVNLFRVFPPKYRSNTSSGEAEDDEPMFDPAWSNLQLVYDLLLRFVSYSSLDAKVAKKHVDHSFILKLLDLFESEDPRERDCLKTILHRIYGKFMVHRPFVRKAVSNIIYRFVFETERHNGIAELLEIFGSIISGFAIPLKEEHKMFLCRALIPLHKPKSIGVYHQQLTYCVVQFVDKDQKLANNVIKGLLKYWPITNSQKELMFISELEEILEMINMVEFQKIMVPVFRRIACCLNSSHYQVAERAHLLWNNEHILNLITHNRHVIFPLIYPSLERNSQNHWNQAVLNLTQNIRKMFCEMDEELLLACQHKLEEENSQLIEAAEKRKLAWERLETTAAAFKPAATNNVPPVKPATLPVAC >KJB60072 pep chromosome:Graimondii2_0_v6:9:34468501:34469030:-1 gene:B456_009G331900 transcript:KJB60072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTGNYLQICPLFLLATNKHLSQLLWLSFLIFVTIICSASHESKLVVQSVSLPFISMDPLLFSLSVG >KJB56483 pep chromosome:Graimondii2_0_v6:9:9030428:9032672:1 gene:B456_009G121400 transcript:KJB56483 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17F16.6 protein [Source:Projected from Arabidopsis thaliana (AT1G16730) UniProtKB/TrEMBL;Acc:Q9FWQ7] MMMKGAALAADIKRNLGVIKERVLEKLAAAAVPADALENARHFLESVVRDVTVAAQGLTKDALHRIKTHLVDILPSLSPAITRKLVDDAEKEANEEHESEGEQQEGEEARQDEHQLSGKSTFVSPASSLFALIKPLSRL >KJB56484 pep chromosome:Graimondii2_0_v6:9:9030627:9032047:1 gene:B456_009G121400 transcript:KJB56484 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17F16.6 protein [Source:Projected from Arabidopsis thaliana (AT1G16730) UniProtKB/TrEMBL;Acc:Q9FWQ7] MMMKGAALAADIKRNLGVIKERVLEKLAAAAVPADALENARHFLESVVRDVTVAAQGLTKDALHRIKTHLVDILPSLSPAITRKLVDDAEKEANEEHESEGEQQEGEEARQDEHQLSGKSTFVSPASSLFALIKPLSRL >KJB56569 pep chromosome:Graimondii2_0_v6:9:9452922:9454509:1 gene:B456_009G125500 transcript:KJB56569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASSLVVLVVLALLLFTQIATEARSHSHHRGQQQQLRRRRSGGGGRTSSNCDPLFQFLFGTCGQWPFSTSPSPDNPFLPRRPPPPLISPPLPPPLPPPAQPIVQPSPPPLLPSPPPVVSAPPPSSPPPSPPPQSPPPPPPPLVPSPPPPSIPPPSLIPPPPLVFSPPPPDVIPSPVFPWLSPPDTPSLPDLSPPPNVFLPPPEEITPPQPELTPAPPLIPAFSPPADEFTPVQPIFAPPTNPNLPPGDEFTPTTPFLPIFAPPTDEFQPAPPFMPIVFPPPAQDLPPDVTIPAPLVPIFSSPPDPPRLPLLPPEQPFTDAPPIDSTGPPNVFLPPQVVPTIPEIPQQPLPFSSTPAAPDTGFVDPVQPMLSPPQSFLPPFQLPSSFDSPPFKN >KJB59175 pep chromosome:Graimondii2_0_v6:9:19451102:19458376:-1 gene:B456_009G242900 transcript:KJB59175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPSVRNLSPSSSAPISRSKSTLPLDQIQCPCNPSHQVLYHRSRSVNYYNRMKQKNGVSSGREVTAALVDVKLNNIVGNGISGVLFKWVNYGKGWKPRWFVLQDGVLSYYKLHGPDKIVVSQETEKGCKVIGEESLRIITSCRKPICHQSLTRRKPFGEVHLKVSSIRESKSDDKRFSIYTGTKMLHLRAETRDDKVAWIEALQAVKDMFPRIPNSELMVQVNNASVSTEKLRQQLMQEGVSELAIQDSEQIMRTEFLTMQKQMLLLRQKQYLLIETLRQLEAEKVDLENTVVNESQNKSNQGAYAMVKHDRSGNTTDSDDDPERVDAAEEETDDDDHNFFDTREFLSSSSFKDNGSDVRASSFSSDDGLNTLGSEDDTDPQIKSVGNSFPYIKRRKKLPDPVEKEKGISLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRANEWGKRGNSLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGLHFFSEKVSHHPTIVACHCEGTGWKFWGDSNLKSKFWGRSIMLEPVGVLTLEFEDGEVFQWSKVTTSIYNLILGKLYCDHYGTMQIKGNREYSCKLKFKEQSIVDRNPHQVHGVVQDKNGKTAATLFGKWNESMQYVNGECSIKGKGQESLSEADLLWKKSKPPKFPTRYNLTRFAITLNELTPDLKEKLPPTDSRLRPDQRFLENGEYEMANSEKLRLEQRQRQARKMQERGWKPRWFAREKNNDTYRYVGGYWEARQQGKWDSCPDIFGQILSD >KJB59173 pep chromosome:Graimondii2_0_v6:9:19451772:19457959:-1 gene:B456_009G242900 transcript:KJB59173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPSVRNLSPSSSAPISRSKSTLPLDQIQCPCNPSHQVLYHRSRSVNYYNRMKQKNGVSSGREVTAALVDVKLNNIVGNGISGVLFKWVNYGKGWKPRWFVLQDGVLSYYKLHGPDKIVVSQETEKGCKVIGEESLRIITSCRKPICHQSLTRRKPFGEVHLKVSSIRESKSDDKRFSIYTGTKMLHLRAETRDDKVAWIEALQAVKDMFPRIPNSELMVQVNNASVSTEKLRQQLMQEGVSELAIQDSEQIMRTEFLTMQKQMLLLRQKQYLLIETLRQLEAEKVDLENTVVNESQNKSNQGAYAMVKHDRSEGNTTDSDDDPERVDAAEEETDDDDHNFFDTREFLSSSSFKDNGSDVRASSFSSDDGLNTLGSEDDTDPQIKSVGNSFPYIKRRKKLPDPVEKEKGISLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRANEWGKRGNSLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGLHFFSEKVSHHPTIVACHCEGTGWKFWGDSNLKSKFWGRSIMLEPVGVLTLEFEDGEVFQWSKVTTSIYNLILGKLYCDHYGTMQIKGNREYSCKLKFKEQSIVDRNPHQVHGVVQDKNGKTAATLFGKWNESMQYVNGECSIKGKGQESLSEADLLWKKSKPPKFPTRYNLTRFAITLNELTPDLKEKLPPTDSRLRPDQRFLENGEYEMANSEKLRLEQRQRQARKMQERGWKPRWFAREKNNDTYRYVGGYWEARQQGKWDSCPDIFGQILSD >KJB59172 pep chromosome:Graimondii2_0_v6:9:19450885:19458376:-1 gene:B456_009G242900 transcript:KJB59172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPSVRNLSPSSSAPISRSKSTLPLDQIQCPCNPSHQVLYHRSRSVNYYNRMKQKNGVSSGREVTAALVDVKLNNIVGNGISGVLFKWVNYGKGWKPRWFVLQDGVLSYYKLHGPDKIVVSQETEKGCKVIGEESLRIITSCRKPICHQSLTRRKPFGEVHLKVSSIRESKSDDKRFSIYTGTKMLHLRAETRDDKVAWIEALQAVKDMFPRIPNSELMVQVNNASVSTEKLRQQLMQEGVSELAIQDSEQIMRTEFLTMQKQMLLLRQKQYLLIETLRQLEAEKVDLENTVVNESQNKSNQGAYAMVKHDRSEGNTTDSDDDPERVDAAEEETDDDDHNFFDTREFLSSSSFKDNGSDVRASSFSSDDGLNTLGSEDDTDPQIKSVGNSFPYIKRRKKLPDPVEKEKGISLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRANEWGKRGNSLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGLHFFSEKVSHHPTIVACHCEGTGWKFWGDSNLKSKFWGRSIMLEPVGVLTLEFEDGEVFQWSKVTTSIYNLILGKLYCDHYGTMQIKGNREYSCKLKFKEQSIVDRNPHQVHGVVQDKNGKTAATLFGKWNESMQYVNGECSIKGKGQESLSEADLLWKKSKPPKFPTRYNLTRFAITLNELTPDLKEKLPPTDSRLRPDQRFLENGEYEMANSEKLRLEQRQRQEMMMEWKHDL >KJB59174 pep chromosome:Graimondii2_0_v6:9:19452799:19457959:-1 gene:B456_009G242900 transcript:KJB59174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPSVRNLSPSSSAPISRSKSTLPLDQIQCPCNPSHQVLYHRSRSVNYYNRMKQKNGVSSGREVTAALVDVKLNNIVGNGISGVLFKWVNYGKGWKPRWFVLQDGVLSYYKLHGPDKIVVSQETEKGCKVIGEESLRIITSCRKPICHQSLTRRKPFGEVHLKVSSIRESKSDDKRFSIYTGTKMLHLRAETRDDKVAWIEALQAVKDMFPRIPNSELMVQVNNASVSTEKLRQQLMQEGVSELAIQDSEQIMRTEFLTMQKQMLLLRQKQYLLIETLRQLEAEKVDLENTVVNESQNKSNQGAYAMVKHDRSEGNTTDSDDDPERVDAAEEETDDDDHNFFDTREFLSSSSFKDNGSDVRASSFSSDDGLNTLGSEDDTDPQIKSVGNSFPYIKRRKKLPDPVEKEKGISLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRANEWGKRGNSLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGLHFFSEKVSHHPTIVACHCEGTGWKFWGDSNLKSKFWGRSIMLEPVGVLTLEFEDGEVFQWSKVTTSIYNLILGKLYCDHYGTMQIKGNREYSCKLKFKEQSIVDRNPHQVHGVVQDKNGKTAATLFGKWNESMQYVNGECSIKGKGQESLSEADLLWKKSKPPKFPTRYNLTRFAITLNELTPDLKEKLPPTDSRLRPDQRFLENGEYEMANSEKLRLEQRQRQGTMKRKDELKLLFCSFQVLDSQYRVKA >KJB61750 pep chromosome:Graimondii2_0_v6:9:51202989:51204044:-1 gene:B456_009G377800 transcript:KJB61750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKGIRPNIFTYNCLIHGMCNSGQQEEATRLLNEMVDNNISLNIVTYSTLVDALCKEGMISKAVETVDTMRKQGIEPDVVTYSTLVDAHCKEGMVSEAEDIVDAMIKRGIEPNVVTYNTLVNGHCLQNKMDKARRVFNLMIEKSSAPDIVTYNTMINGYCKAKRLNEVMEIFHEISRKGPIPNILTYNTLLQSMFQLGKVSTACEQFRKMLASGQVPDLVTCSILLNCLGKTGHMEEALKLFQAMRNSGLELDIVPYNILIDGLCKAGHLEFAKELFHQLSDNGLKPNVVTYCIMINGLCKEGLPDEAYRLFGSMGDNDCLPNSCCYNVMIRGFLRNSYTSKATQLLTEMV >KJB62273 pep chromosome:Graimondii2_0_v6:9:61505450:61506823:1 gene:B456_009G409600 transcript:KJB62273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNGTLSFVPGQPPSLTSLYNYNYDQYSPGMEMMNVGLAEAEAMEKKKKKKKKKIYMNNEEKKKRLTNEQLEWLEMSFQEDIKLDPQRKMKLSRELGLQPRQIAVWFQNRRARWKAKELERLCHALQHHLHLVSKETQKLQHEVSKLKAMLREQATRNQVSTGYTEISGEETIESTLIHCSNKPMVVPNNHHPIADHCSYLFNVDKHNPNPVGSTYWGEQLPTNP >KJB53574 pep chromosome:Graimondii2_0_v6:9:47625894:47626261:1 gene:B456_009G361600 transcript:KJB53574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQANARRGLPVLTDKSVQLKLTTSHPLVVFIGNLTYKSTYANLRKGISSANTRRTYLMLTLFTMLLFIFLQVLMFDAYPDMVIGLQPSKDPPNIWKNF >KJB55328 pep chromosome:Graimondii2_0_v6:9:5066342:5074069:1 gene:B456_009G071300 transcript:KJB55328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAKPIEDQFSKLHPCLPVNTSIAILGAGPSGISAAYALIKLGYNNITLLEKHHTVGGMCESVDIQGKVYDLGGQVLAANSAPVIFHLAKEIGAELEEMDSHKLALIDSSAGKYQDIKVADDYVSMIALTLELQDKAKASSRIGVHAVSDLAADLTPTYLEAHGFKSVPKSVFYGYTASGYGYVQDMPYAYIHEFTRTSMAGNIRRFKGGYTSFWEKISKNLPVKVLCNTEVLAIRHNDSADGVSINVKNIKGESEVMEFDKIIISGAFPFKNGKTYRSPASNNTEHETQAMDLNDLEKELFSKVMTIDYYTTVLKIKGLEDMPVGFYYFGEYMDDPASIGHPVAMQRFYADSDIFLFWSYGNSLDIQGSTVCELAIKAVEPMGGQVEQVVLQRRFKYFPHVSSQDMKNGFYERLESELQGKRNTYYVGGLMAFELTERNSSYAMALICKHFANNKSTPVFPYVKSLFPFQSYNGDKNLKQLGELPGVEFPDLCTLDGYLKRWGTHEVTQSKTLYTWINEEGAAVGQRTYAELHANASFIAQKILTSKKPVIRPGDRVLLVYVPGLDFVDAFFGCLRAKVLPVPVLPPDPLQRGGQALLKIENISKSCGAVAILSTIVYHSAVRAGLVKQMISLTGKKGKSSANWPNLTWLHTDSWIKNFKKVIPDATVNPSEPHPDDLCFLQFTSGSTGDAKGVMITHGGLIHNVKLMRKRYKSTSKTVLISWLPQYHDMGLIGGLFTAMVSGGSAVLFSPMTFIRNPLLWLQTMSKYKATHSAGPNFAFELVVRRLESDKDKAWNYDLSSLMFLMVAAEPVRQRTLKKFIELTYPFGLSQEVMAPGYGLAENCVFVSCAFGEGNPILVDWQGRVCCGYTDLDNQDVDIRIVDPETGVELEEGGKEGEIWISSPSSGIGYWGRQEHSHQTFRNELKNHSGRKYTRTGDLGRIVDGKLFITGRIKDLIIVAGRNIYSSDVEKTVESSSEVIRPGCCAVIGVPEDVLSEKGIPVPDGADHVGLVVIAEVRDGKPVKKEVIEQIKTRVAEEHGVSVAAIKLIKPKTISKTTSGKIKRFECLKEFSEGTLNIVPEPIFSKRTLVRSFTTGTCAEGRTPRQLQSSPVPSTRLRNKDIVEFLKGMVSELTGIPTNNISAVESLVSYGIDSIGVVRASQKLSDFLGVPVGAVDIFTATCIADLANFSENLLVKSKPDLTTASSFHAEPDLDSDEPLVEVSIHSQVGIWFLQFLAFTFVSLLLSLPAYLSVSAFTSFTLTVHATIDGNQWLVCLIYLAFAPLVWILCIALTCMSISFLGNPFLRPNYALIHDVSIWSVDFVKWWALYKAQQIASKVLAQHLRGTVFLNYWFEMLGARIGPLVTLDTIDITDPSLVSIGDRALVSEGALIQSHEVKNGILSFQSIRIGKNSTIGPYAVIQKGSVLAEEAEVLPLQRIDGGTSVNRSTKANSVQKSTVFSNATPNKTMSQFMGIYLVGFLSSFSAAILYFLYIWLTEKPHSLQHFAFACISGALHWIPFTVIAYATMFASITVNPASFAVSIAIAYVAHGLILSFLTGTLTRLLTERQQSKQSYVKIFIRHRITIACHLRFAHLLSGTEAFCMYLRLLGAKVGRHCSIRAINPISDPELVEIGAGVHLGDFSRIITGFYTRGGLIRRKVEVQDNSVVGSQSLVLPGSRVENDVILGALSVAPENSLLQRGGVYVGSQTPIMVKNTNHALDDRIEEMDMKYKNIVGNLAASLAVTTLKVKSRYFHRIGVAGNGYLKMYDKIEGFPDHNVFHPGKSYRVVVRHSNSLSADDDARIDARGAALRIHLDENNDANTSVLDLTLKTGKAFYARTIADFATWLVCGLPAREEHVKRVPHIRDAVWMSLRHANSYAELHYYSNFVRLLRFSDGEERYVKFKLRPYDGSISEESGKVEPKGILPPETGAIPRDENDTRPLLFLAQDFQRRVNSGGVRYIFQLQVRPVPSDEAARDVALDCTKPWDETEFPYIDVGEINLERNLTAEEAEALEFNPFRRCHLIDVIRASMSSQSASIDHGRSLIYEICQRLRNKEPLPEAWRIFLEQSDVKVDLSGCPMAAALEKKDTGKVTLERKWYQTSWSIFVQPLLQTVLPYSLLGLAIFAPLTCVLHMKESEKFPLHWLLPLLWVSSGIVAAITCAVAKWVLVGKKNEGETVQIWSKRVFMDTIWQAFRTLVGDYFMEMSSGSIIFLVWMKLMGSDIEVDQGMYVDSMGALLNPEMVDMERGGCVGRDALLFGHIYEGEGGKVKFGKIRIGEGGYIGSRAVAMPGVRVESGGNLTALTLAMKEEIVNSK >KJB60859 pep chromosome:Graimondii2_0_v6:9:33050106:33052979:1 gene:B456_009G328300 transcript:KJB60859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKVGLLRRSPLIFTSPLLNPLVHKAWQACIKELGDSGSPVHAIIYDGFMAWALDVAKQWGIRAAVFLTQSCAVNSIHYHVSRGLLKVPAEGSSVWLSGLPELKVWELPSLIYHHGSYPAWFDTIVNQFSNIDEADWVLVNTFYELEKEVVDWMSKIWKLGTIGPTIPSMYLDKRLKHNKDYGLQLLHPNTSACMRWLNTKPNGSVVYVSFGSLAEVGVEQMAEIAWGLIGTNAYFLWVVREPEEPKLPDNFKHMTREKGLIVRWCPQLEVLKHGSIGCFVSHCGYNSVLEALGLGVPIVAMPQWADQATNAKHVEDVWGVGVRALVDEKGIVRRETIKQCINEVIMGGERGNEIKKNSIKWKNLAIKAADHGGSSDKNIDEFVAKITS >KJB55746 pep chromosome:Graimondii2_0_v6:9:6743323:6747164:1 gene:B456_009G092200 transcript:KJB55746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAGEFYHMENGGDQSKKAAMGKESWNNGIRTAQTMSNSLLRKKSDPLLVSKLRFQMLRQFLANLQEVILGTKLAVLFPAIPLAIAADFYKFGRHWIFALSLLGLTPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIALFALYRSKIHVLKYSLLGSILSNLLLVLGSSLLCGGLANLKKEQRYDRKQADVNSSLLLLGLLCHMLPLMSRYAAAPGVLIADSTLQLSRASSILMLVAYIGYIIFQLKTHRQIFDSLQEDEEEEEEEEKAVIGFWSAFSWLVGMTLIIALLSEYVVGTIEAASESWGISVSFISIILIPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQISMFAVPLCVVVGWMMRVRMDLDFSLLETASLALTILVVAFTLQDGTSHYMKGIVLCLCYTAIAACFFVLKIPAPLDQTNVKLGLKPSTGISA >KJB57412 pep chromosome:Graimondii2_0_v6:9:12520807:12522206:-1 gene:B456_009G163300 transcript:KJB57412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISQCLALIFVLGIWASQASSRSVPEVSMSDRFEQWVSSYSRLYQDAAEKEKRFQIFKENMEYIESHNTDTNKKYKLGVNEFTDLTNEEFKAMRNGYKMRSSNTVAASKTTSFRYENVSAVPSIMDWRKKGAVTGIKDQGQCGCCWAFSAVAAMEGINKLKTGTLIPLSEQELVDCDVNGEDQGCNGGLMDDAFEFIILNKGLTTETNYPYEGVDGSCNKKKSANHAVKITGYEDVPSNSESALLKAVANQPVSVAIDAGGSDFQHYKSGVFTGECTTFLDHGVTAVGYGEAEDGTKYWLVKNSWGTSWGEDGYIRMQRDIDAAEGLCGIAMEASYPTA >KJB60708 pep chromosome:Graimondii2_0_v6:9:31047875:31049757:-1 gene:B456_009G321200 transcript:KJB60708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLPKQSQGVVIDIDGEEEEQANQTDNREQEGRCSSSCSSTDEESGTTEIIKERESSMSHELDLESGVTETKLHLAKMETDCRICHLSLDPNNQESGVPIELGCSCKDDLAAAHKHCAEAWFKIKGNRTCEICGSIARNVAATNETDTVELWNEANDSTATAAPVAIHAAETRNFWQGHRFLNFLLACMVFAFVISWLFHFNVPS >KJB53892 pep chromosome:Graimondii2_0_v6:9:814649:821442:-1 gene:B456_009G010200 transcript:KJB53892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGMVAGSHRTNQLVRIRHDSDSGPKPLKNLNGQTCQICGDNVGVGAAGDVFVACNECAFPVCRPCYEYERKDGTQCCPQCKTRYKRQKGSPRVEGDDDEEDVDDLENEFDYAQGLSKARRQWQGEDVDLSSSSRHESQQPIPLLTNGHTVSGEIATPDNRSVRTTSGPLGPSEKNGSSSPYVDPRQPVPVRIVDPTKDLNSYGLGNVDWKERVESWKLKQEKNVMHMNNRYPEGKGDIEGTGSNGEELQMADDARQPLSRVVPISSSHLTPYRVVIILRLIILGFFLQYRATHPVKDAYPLWLTSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDRDGEPSQLSPVDVFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVACYVSDDGSAMLTFEALSETAEFARKWVPFCKKHSIEPRAPEFYFAQKIDYLKDKIKPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLIYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIIVKSCCGSRKKGKSGNKKYIDKKRAAKRTESTIPIFNMEDIEEGVEGYEEERSLLMSQKRLEKRFGQSPVFIAATFMEQGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYKGRLRLLERLAYINTIVYPLTSIPLLAYCMLPAFCLLTGKFIIPEISNFASMWFILLFVSIFATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDDDGDFAELYVFKWTSLLIPPTTVLIINLVGIVAGVSYAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSEATKAAANGQCGINC >KJB53894 pep chromosome:Graimondii2_0_v6:9:815723:821192:-1 gene:B456_009G010200 transcript:KJB53894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGMVAGSHRTNQLVRIRHDSDSGPKPLKNLNGQTCQICGDNVGVGAAGDVFVACNECAFPVCRPCYEYERKDGTQCCPQCKTRYKRQKGSPRVEGDDDEEDVDDLENEFDYAQGLSKARRQWQGEDVDLSSSSRHESQQPIPLLTNGHTVSGEIATPDNRSVRTTSGPLGPSEKNGSSSPYVDPRQPVPVRIVDPTKDLNSYGLGNVDWKERVESWKLKQEKNVMHMNNRYPEGKGDIEGTGSNGEELQMADDARQPLSRVVPISSSHLTPYRVVIILRLIILGFFLQYRATHPVKDAYPLWLTSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDRDGEPSQLSPVDVFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVACYVSDDGSAMLTFEALSETAEFARKWVPFCKKHSIEPRAPEFYFAQKIDYLKDKIKPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLIYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIIVKSCCGSRKKGKSGNKKYIDKKRAAKRTESTIPIFNMEDIEEGVEGYEEERSLLMSQKRLEKRFGQSPVFIAATFMEQGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYKGRLRLLERLAYINTIVYPLTSIPLLAYCMLPAFCLLTGKFIIPEVSDY >KJB53893 pep chromosome:Graimondii2_0_v6:9:814666:821351:-1 gene:B456_009G010200 transcript:KJB53893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFEALSETAEFARKWVPFCKKHSIEPRAPEFYFAQKIDYLKDKIKPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLIYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIIVKSCCGSRKKGKSGNKKYIDKKRAAKRTESTIPIFNMEDIEEGVEGYEEERSLLMSQKRLEKRFGQSPVFIAATFMEQGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYKGRLRLLERLAYINTIVYPLTSIPLLAYCMLPAFCLLTGKFIIPEISNFASMWFILLFVSIFATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDDDGDFAELYVFKWTSLLIPPTTVLIINLVGIVAGVSYAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSEATKAAANGQCGINC >KJB56467 pep chromosome:Graimondii2_0_v6:9:8935710:8940319:-1 gene:B456_009G120700 transcript:KJB56467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQQADPENVKEQPLPTKSMTLVSPNSSQDAAPVAHPRDGAGQSGSFASAGDRNVYSPTIYAPQPQAFYYRGYDNSAGEWDEYPPYVNAEGLELGSLGVFNDNPSLVFHPGYGYNPQMPYGPYSPVSTPLPSVGGDAQLYSPHQFPFSGPPYYQQLVPPSMPYISSPSSVSQPELTTLVNVDQQGDNMLFGPRPSYPTPLGSFGRGSLPGNPGTLGFNDLPQGFDGFRSGGLWSDWSRPSDRQRSLTPISPAVSPQPIGPIGPIGQNVPMVPQQQRSFYPVGSGMNSYSRGYLQSGLNQGAGFGNASVPSLGANSRGWLSFDGKRRRGRDSDISLCGCNGALDILSEQNRGPRASKPKNQITADNNSSIDDNKNNKSSAKIHDDSYNTPYFPTDYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKRLDAAYREAMENQDNCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQLRHIILENNDNKPVTNSRDTQEVKLEQGLEMLSIFKSYETDMSILDDFEFYEDRQKAMQERKARQQASLMSVGVVGESEHRNTVTLSNDFIKQMSKSFAQVVCLDDGNKEGTAIERTSSASDGSKGARVKLEDVITAAASSAQAS >KJB56466 pep chromosome:Graimondii2_0_v6:9:8935710:8940319:-1 gene:B456_009G120700 transcript:KJB56466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQQADPENVKEQPLPTKSMTLVSPNSSQDAAPVAHPRDGAGQSGSFASAGDRNVYSPTIYAPQPQAFYYRGYDNSAGEWDEYPPYVNAEGLELGSLGVFNDNPSLVFHPGYGYNPQMPYGPYSPVSTPLPSVGGDAQLYSPHQFPFSGPPYYQQLVPPSMPYISSPSSVSQPELTTLVNVDQQGDNMLFGPRPSYPTPLGSFGRGSLPGNPGTLGFNDLPQGFDGFRSGGLWSDWSRPSDRQRSLTPISPAVSPQPIGPIGPIGQNVPMVPQQQRSFYPVGSGMNSYSRGYLQSGLNQGAGFGNASVPSLGANSRGWLSFDGKRRRGRDSDISLCGCNGALDILSEQNRGPRASKPKNQITADNNSSIDDNKNNKSSAKIHDDSYNTPYFPTDYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKRLDAAYREAMENQDNCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQLRHIILENNDNKPVTNSRDTQEVKLEQGLEMLSIFKSYETDMSILDDFEFYEDRQKAMQERKARQQASLMSVGVVGESEHRNTVTLSNDFIKQMSKSFAQVVCLDDGNKEGTAIERTSSASDGSKGARVKLEDVITAAASSAQAS >KJB56468 pep chromosome:Graimondii2_0_v6:9:8935247:8942392:-1 gene:B456_009G120700 transcript:KJB56468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPDRNPEEQQADPENVKEQPLPTKSMTLVSPNSSQDAAPVAHPRDGAGQSGSFASAGDRNVYSPTIYAPQPQAFYYRGYDNSAGEWDEYPPYVNAEGLELGSLGVFNDNPSLVFHPGYGYNPQMPYGPYSPVSTPLPSVGGDAQLYSPHQFPFSGPPYYQQLVPPSMPYISSPSSVSQPELTTLVNVDQQGDNMLFGPRPSYPTPLGSFGRGSLPGNPGTLGFNDLPQGFDGFRSGGLWSDWSRPSDRQRSLTPISPAVSPQPIGPIGPIGQNVPMVPQQQRSFYPVGSGMNSYSRGYLQSGLNQGAGFGNASVPSLGANSRGWLSFDGKRRRGRDSDISLCGCNGALDILSEQNRGPRASKPKNQITADNNSSIDDNKNNKSSAKIHDDSYNTPYFPTDYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKRLDAAYREAMENQDNCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQLRHIILENNDNKPVTNSRDTQEVKLEQGLEMLSIFKSYETDMSILDDFEFYEDRQKAMQERKARQQASLMSVGVVGESEHRNTVTLSNDFIKQMSKSFAQVVCLDDGNKEGTAIERTSSASDGSKGARVKLEDVITAAASSAQAS >KJB61110 pep chromosome:Graimondii2_0_v6:9:39657271:39661333:-1 gene:B456_009G341300 transcript:KJB61110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLDPHSFTDSHHTLTTDISLFLFLDFPSATIYGVAFFILKSPHVVWVFLKERRGIEKLECWRLRWSKNLLVKLFNDKQRGSTTLSHKRNFGKGKEGPIFEWGASFI >KJB62180 pep chromosome:Graimondii2_0_v6:9:59195265:59198522:1 gene:B456_009G405200 transcript:KJB62180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYVGEEQHVVEGSRVWTFGFRPNMLFVFGDSYADTGNNRKALASSWKLPYGITFPGKPAGRFSDGRVLTDFIAGYLGIKTPVPYRYRKELGGRLKYGLNFAYGGTGVFDTPAPEPNMTTQIDFLQQLLNDSVYSKRALKTSVALVSLAGNDYSNYIATNGSTAGFPAFIGRVVNQLKVNLKRIHNLGVRKIAASALQPLGCLPQSTAQFSFEQCNESENALVGLHNQLLAQAVNDLNKETNSSSFFVLDMYNAFWNVFNQKQAHQVSPTFVNPFEPCCVGVSAAFSCGSVDENGVKQYTLCSNPKSKFFWDTVHPTEQGWRAVYSTPALQSSLKQFW >KJB62181 pep chromosome:Graimondii2_0_v6:9:59195265:59198522:1 gene:B456_009G405200 transcript:KJB62181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPTPLFSLLCFFLFSLFLGEEQHVVEGSRVWTFGFRPNMLFVFGDSYADTGNNRKALASSWKLPYGITFPGKPAGRFSDGRVLTDFIAAGYLGIKTPVPYRYRKELGGRLKYGLNFAYGGTGVFDTPAPEPNMTTQIDFLQQLLNDSVYSKRALKTSVALVSLAGNDYSNYIATNGSTAGFPAFIGRVVNQLKVNLKRIHNLGVRKIAASALQPLGCLPQSTAQFSFEQCNESENALVGLHNQLLAQAVNDLNKETNSSSFFVLDMYNAFWNVFNQKQAHQVSPTFVNPFEPCCVGVSAAFSCGSVDENGVKQYTLCSNPKSKFFWDTVHPTEQGWRAVYSTPALQSSLKQFW >KJB62182 pep chromosome:Graimondii2_0_v6:9:59195265:59198522:1 gene:B456_009G405200 transcript:KJB62182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPTPLFSLLCFFLFSLFLGEEQHVVEGSRVWTFGFRPNMLFVFGDSYADTGNNRKALASSWKLPYGITFPGKPAGRFSDGRVLTDFIAGYLGIKTPVPYRYRKELGGRLKYGLNFAYGGTGVFDTPAPEPNMTTQIDFLQQLLNDSVYSKRALKTSVALVSLAGNDYSNYIATNGSTAGFPAFIGRVVNQLKVNLKRIHNLGVRKIAASALQPLGCLPQSTAQFSFEQCNESENALVGLHNQLLAQAVNDLNKETNSSSFFVLDMYNAFWNVFNQKQAHQGDSHNPILSCFTCTTPLNMKYLGFFAVSPTFVNPFEPCCVGVSAAFSCGSVDENGVKQYTLCSNPKSKFFWDTVHPTEQGWRAVYSTPALQSSLKQFW >KJB62179 pep chromosome:Graimondii2_0_v6:9:59195186:59198522:1 gene:B456_009G405200 transcript:KJB62179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPTPLFSLLCFFLFSLFLGEEQHVVEGSRVWTFGFRPNMLFVFGDSYADTGNNRKALASSWKLPYGITFPGKPAGRFSDGRVLTDFIAGYLGIKTPVPYRYRKELGGRLKYGLNFAYGGTGVFDTPAPEPNMTTQIDFLQQLLNDSVYSKRALKTSVALVSLAGNDYSNYIATNGSTAGFPAFIGRVVNQLKVNLKRIHNLGVRKIAASALQPLGCLPQSTAQFSFEQCNESENALVGLHNQLLAQAVNDLNKETNSSSFFVLDMYNAFWNVFNQKQAHQVSPTFVNPFEPCCVGVSAAFSCGSVDENGVKQYTLCSNPKSKFFWDTVHPTEQGWRAVYSTPALQSSLKQFW >KJB58747 pep chromosome:Graimondii2_0_v6:9:17504674:17506281:-1 gene:B456_009G224400 transcript:KJB58747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNLVESVGVRVPPSAPASFFSSKGTCKRGGSVRSGFCKRDFSQNNANFGRSAVPLRFMYFRNDSWVNFSADVVETLRAGFLERKPIIETSIDGSKYIFDFKRMLQIEYSTGNCRSISWIDESGKCFFPSDFFSEEEFIESECSEWDDYTSCNYKNKNCNCNPKIEIEVKIDRPSSKRKREEEPEVSSSYKAAGNDAVKCQRLEEGGDAKWPNTIRLNETDMTSMLVKDNFLKGIAKVDTGALVTSIFQCKRETHLDKARHEVFQKQNEFTKAARGTSNMVYAWFGASAKRVESVLAHGFGSPTKLSAADAYGIGIYLSPVGLPHLSAKLADVDGNDLKHLILCRVILGNVEKVEAGSEQHRPSSVYFDTGSDDPKNPKWYVVWSNIANMNILPESVVSFRPGNMQGKLFFSPWYPFYCFLVYFSS >KJB58748 pep chromosome:Graimondii2_0_v6:9:17504074:17506381:-1 gene:B456_009G224400 transcript:KJB58748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNLVESVGVRVPPSAPASFFSSKGTCKRGGSVRSGFCKRDFSQNNANFGRSAVPLRFMYFRNDSWVNFSADVVETLRAGFLERKPIIETSIDGSKYIFDFKRMLQIEYSTGNCRSISWIDESGKCFFPSDFFSEEEFIESECSEWDDYTSCNYKNKNCNCNPKIEIEVKIDRPSSKRKREEEPEVSSSYKAAGNDAVKCQRLEEGGDAKWPNTIRLNETDMTSMLVKDNFLKGIAKVDTGALVTSIFQCKRETHLDKARHEVFQKQNEFTKAARGTSNMVYAWFGASAKRVESVLAHGFGSPTKLSAADAYGIGIYLSPVGLPHLSAKLADVDGNDLKHLILCRVILGNVEKVEAGSEQHRPSSVYFDTGSDDPKNPKWYVVWSNIANMNILPESVVSFRPGNMQGQAPLRAVAGVKYSLEKLFSKIKSSLPPGKVQEILMIYSTYRVSRLPVN >KJB58746 pep chromosome:Graimondii2_0_v6:9:17503384:17506448:-1 gene:B456_009G224400 transcript:KJB58746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNLVESVGVRVPPSAPASFFSSKGTCKRGGSVRSGFCKRDFSQNNANFGRSAVPLRFMYFRNDSWVNFSADVVETLRAGFLERKPIIETSIDGSKYIFDFKRMLQIEYSTGNCRSISWIDESGKCFFPSDFFSEEEFIESECSEWDDYTSCNYKNKNCNCNPKIEIEVKIDRPSSKRKREEEPEVSSSYKAAGNDAVKCQRLEEGGDAKWPNTIRLNETDMTSMLVKDNFLKGIAKVDTGALVTSIFQCKRETHLDKARHEVFQKQNEFTKAARGTSNMVYAWFGASAKRVESVLAHGFGSPTKLSAADAYGIGIYLSPVGLPHLSAKLADVDGNDLKHLILCRVILGNVEKVEAGSEQHRPSSVYFDTGSDDPKNPKWYVVWSNIANMNILPESVVSFRPGNMQGQAPLRAVAGVKYSLEKLFSKIKSSLPPGKVQEILMIYSTYRAGMMAKDAFIKKLRQVAGDEVLRSAIQDINASG >KJB58580 pep chromosome:Graimondii2_0_v6:9:16824710:16825934:-1 gene:B456_009G216400 transcript:KJB58580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPESPTAKDSAAPTTEPHHTQTQVDDTAAKLSNLTFKIWPPTQRTRDAVLNRLVETLSSESVLSKRYGTIPKEEASAAAKLIEEEAFSVAGASFSTDEDGIEILQVYSKEISKRMLDTVKARAAAATDSTPSGSAEVDSNNVGTAVGEEDSSSSVKAED >KJB57669 pep chromosome:Graimondii2_0_v6:9:13475471:13477765:1 gene:B456_009G174600 transcript:KJB57669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVEPLVVGRVIGDVLDMFTPASEFIVRYGTKQVTNGCDIKPSAAADKPHVQILGHPFSSNLYTLVMVDPDAPSPSEPRLREWLHWIVVDVPEGQDATKGRELVAYMGPQPPTGIHRYILALFKQEGAMEGRIQVADARANFSTRRFAAQSRLGLPVAAVYFNSQKEPAAKKR >KJB57671 pep chromosome:Graimondii2_0_v6:9:13475619:13477765:1 gene:B456_009G174600 transcript:KJB57671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVEPLVVGRVIGDVLDMFTPASEFIVRYGTKQVTNGCDIKPSAAADKPHVQILGHPFSSNLYTLVMVDPDAPSPSEPRLREWLHWIVVDVPEGQDATKGRSNGRKDSSGGCACQLQYSPIRCSKQAGASGCCSVFQFSKGASS >KJB57670 pep chromosome:Graimondii2_0_v6:9:13475457:13477765:1 gene:B456_009G174600 transcript:KJB57670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVEPLVVGRVIGDVLDMFTPASEFIVRYGTKQVTNGCDIKPSAAADKPHVQILGHPFSSNLYTLVMVDPDAPSPSEPRLREWLHWIVVDVPEGQDATKGRELVAYMGPQPPTGIHRYILALFKQEGAMEGRIQVADARANFSTRRFAAQSRLGLPVAAVYFNSQKEPAAKKR >KJB57672 pep chromosome:Graimondii2_0_v6:9:13475619:13477765:1 gene:B456_009G174600 transcript:KJB57672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVEPLVVGRVIGDVLDMFTPASEFIVRYGTKQVTNGCDIKPSAAADKPHVQILGHPFSSNLYTLVFDSFQVMVDPDAPSPSEPRLREWLHWIVVDVPEGQDATKGRELVAYMGPQPPTGIHRYILALFKQEGAMEGRIQVADARANFSTRRFAAQSRLGLPVAAVYFNSQKEPAAKKR >KJB59094 pep chromosome:Graimondii2_0_v6:9:19041097:19044986:1 gene:B456_009G239100 transcript:KJB59094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRYMLRINQNPMRHLSTRKMKLLNLSLLIHLCSLLLVSTQPTNQPPFSCDSTDPLTKSYKFCKTTLPINRRVEDLVSRLTLDEKISQLVNTAAAIPRLGIPGYEWWSEALHGVAFVANISQGIRFNGTIRSATSFPQVILTAASFDPYLWYRIGQAIGIEARGVYNAGQARGMTFWTPNINIFRDPRWGRGQETPGEDPLVTGKYAVSFVRGIQGDSFEGGKLGESLQVSACCKHFTAYDLDNWKGINRFVFDANVTLQDLADTYQPPFQSCIEKGKASGVMCAYNRINGVPNCADYNLLSKTARGQWGFNGYITSDCDAVSIIYDEQGYVKEPEDAVADVLTAGMDLDCGEYLKNYTGSAIEKKKVAVSDIDRALHNLFSIRMRLGLFNGNPAKQPFGNIGSDQVCSQEHLNLALEAARNGIVLLKNDNRLLPLAKTEITSLAVIGPNANSSETLVGNYAGPPCNPVTPLQGLQSYVKNINYHPGCSTVNCSSDLADEAMKIVKGTDQVVLVMGLDQTQEREAHDRVDLVLPGHQQKLVTRIASAANKPVILVLLCGGPVDITFAKNDQNIGSIIWAGYPGEAGGRALAEIIFGDHNPGGRLPITWYPQNLTKIPMTDMRMRPEPSSGYPGRTYRFYQGLKVFEFGYGLSYTNYSYEFLPLTKDKVYLNNQSSDKIALGYKSVSEMGTELCEKSKLPVTVRVQNNGEMDGKHAVLLFVRQAKTGNERPIKQLVGFNNVDLKAGEKAEIKLELSPCEHLSSAEGDGQMVVDEGSYFLSIGDKESEITVVFSEDTSDAATSKLMILPRILIFFYIYSFIHSFIPMHIVLK >KJB54710 pep chromosome:Graimondii2_0_v6:9:3310776:3314034:-1 gene:B456_009G045900 transcript:KJB54710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFDSNSNSATTIHHSKPADTFQLMLSESIHRFFTEYRSGSTDFSHFTSIFSRLLQNLPDPPLEFVWFYSAVTFHSSNKFNSPNPVSSSKDLFQLLASCSTSCNAGKRISVLAPVIYDLYPLVFDRKELKREVESLLDGIVSYISICCGMEDDGNDDLSSRFGDLLRVWMVDRVGMEGEKRDDLKVFFPLVSEESRKVIRGGCKVRYLAGVVMCQAFLLRLCLKFGYGIPKLELENDLHDCAVQMITGFRSFHFLDIFLRMLLEPVLPVTSPLGHGNEVILRETLYDAVIKMDHAFLGPEGGILLPGRQVKDLALTWSFVADNAIRSVRENGNQTKAISYINAFSESWLLSQLIKWVTSQNGMVDKATSLNVSTPVALISELLAISMLLSFVLTCRDLSTCFNGWSS >KJB54708 pep chromosome:Graimondii2_0_v6:9:3309675:3314217:-1 gene:B456_009G045900 transcript:KJB54708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFDSNSNSATTIHHSKPADTFQLMLSESIHRFFTEYRSGSTDFSHFTSIFSRLLQNLPDPPLEFVWFYSAVTFHSSNKFNSPNPVSSSKDLFQLLASCSTSCNAGKRISVLAPVIYDLYPLVFDRKELKREVESLLDGIVSYISICCGMEDDGNDDLSSRFGDLLRVWMVDRVGMEGEKRDDLKVFFPLVSEESRKVIRGGCKVRYLAGVVMCQAFLLRLCLKFGYGIPKLELENDLHDCAVQMITGFRSFHFLDIFLRMLLEPVLPVTSPLGHGNEVILRETLYDAVIKMDHAFLGPEGGILLPGRQVKDLALTWSFVADNAIRSVRENGNQTKAISYINAFSESWLLSQLIKWVTSQNGMVDKATSLNVSTPVALIIKNVNMLCDDMKIFCSYHCPKWSICNH >KJB54709 pep chromosome:Graimondii2_0_v6:9:3309656:3314336:-1 gene:B456_009G045900 transcript:KJB54709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFDSNSNSATTIHHSKPADTFQLMLSESIHRFFTEYRSGSTDFSHFTSIFSRLLQNLPDPPLEFVWFYSAVTFHSSNKFNSPNPVSSSKDLFQLLASCSTSCNAGKRISVLAPVIYDLYPLVFDRKELKREVESLLDGIVSYISICCGMEDDGNDDLSSRFGDLLRVWMVDRVGMEGEKRDDLKVFFPLVSEESRKVIRGGCKVRYLAGVVMCQAFLLRLCLKFGYGIPKLELENDLHDCAVQMITGFRSFHFLDIFLRMLLEPVLPVTSPLGHGNEVILRETLYDAVIKMDHAFLGPEGGILLPGRQVKDLALTWSFVADNAIRSVRENGNQTKAISYINAFSESWLLSQLIKWVTSQNGMVDKATSLNVSTPVALIKWLLIVEDQGVRIFECDISKVYAKAVLCKSRVEYEIPVDKISSKYSAENLACMVHERKEDKTADNDLEMIDSMATIPLSAPCSMKSIAAADGVRKRKEGSNIKEEIPVKFIKYHLCENLVTDKLLSLANDDGLSCGNDVNSPILDEYMREMEQ >KJB61142 pep chromosome:Graimondii2_0_v6:9:40121087:40124225:-1 gene:B456_009G342900 transcript:KJB61142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVSFAKLNAPSSSWIVGQQSLPQRSGSSARLATRRVSLPIRAGAYTDELIKTAKTIASPGRGILAIDESNATCGKRLSSIGLDNTEPNRQAYRQLLLTTPGLGEYISGAILFEETLYQSTTDGKKFVDVLHDQKIVPGIKVDKGLVPLPGSNNESWCQGLDGLSSRSAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIERTLEVAEKVWAEVFYYLAENNVIFEGILLKPSMVTPGAEHKERANPETIAKYTLTMLKRRVPPAVPGIMVPKNPFSHLASICLMFSDLVFYFFLLFLKKEPIWNSVTFPVDVDSEVDIGMHK >KJB61141 pep chromosome:Graimondii2_0_v6:9:40121023:40124312:-1 gene:B456_009G342900 transcript:KJB61141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVSFAKLNAPSSSWIVGQQSLPQRSGSSARLATRRVSLPIRAGAYTDELIKTAKTIASPGRGILAIDESNATCGKRLSSIGLDNTEPNRQAYRQLLLTTPGLGEYISGAILFEETLYQSTTDGKKFVDVLHDQKIVPGIKVDKGLVPLPGSNNESWCQGLDGLSSRSAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIERTLEVAEKVWAEVFYYLAENNVIFEGILLKPSMVTPGAEHKERANPETIAKYTLTMLKRRVPPAVPGIMFLSGGQSEMEATLNLHAMNQSPNPWHVSFSYARALQNTVLKTWQGRPENVEAAQKALLVRAKANSLAQLGKYSAEGESEEAKKGMFVKGYTY >KJB54886 pep chromosome:Graimondii2_0_v6:9:3844829:3855526:1 gene:B456_009G053100 transcript:KJB54886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGDGGNEREVLEDSINHSSSFSRHRYRRSMSSGEEDKGLLAFSGWVYHVGTNSIGHEYSHQRFLYVKGKYVEMYRRDPQENPGIRPIRKGIIGPTLMVEETGSRKVGNEELYTIQFYNRLDETKKGEIACTSAEESKKWIEALDYAKQQAEYELSRGGGARNKLSLEADIDLEGHRPRVRRYASGLKKLIRIGGGPELLSRSSSSLGTGSSDGDFEGEFGDAVEAHEWKCVRTINGVRILEDVADGKGGKASLVKAVAPVDASADTVLEVILNLDRHKRYEWDMLTGDLELVDSYDGNYDVVYGTYDPKYLGRWQSKRDFVFSRQWFQGQDGAYTILQFPAAHKKRPTRNGYQRTSIKPSTWEIRSLTNEKCLVTHMLEMNAAGWGRWKKSSSCSKFEKTIPFALLSQVAGLKEYIGANPSLKCEPSSLALHSKHSEGDPPDEFYDAVAGDSSSTSEDEESDDESEKEEKPIKQKPAPAAISSTALKQASDAAENASKELDLSVPPIHVDPSQFNSVLHKGKDDADTNCWTSPGGAGFMIRGQTYLKNSAKIMGGKPLLKLIAVDWFKVDKATDKIALHPKSLAQSDAGKNLPFILVINLEIPAKPNYSLVLYYAAERPVRKDSLLEKFADGTDQFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFRQDNFLEIDVDIGSSSVARSVIGLVLGYVTSLVVDLAILIEANDAAELPEYILGSVRLNRVRLESAVPLDG >KJB54887 pep chromosome:Graimondii2_0_v6:9:3844964:3855413:1 gene:B456_009G053100 transcript:KJB54887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGDGGNEREVLEDSINHSSSFSRHRYRRSMSSGEEDKGLLAFSGWVYHVGTNSIGHEYSHQRFLYVKGKYVEMYRRDPQENPGIRPIRKGIIGPTLMVEETGSRKVGNEELYTIQFYNRLDETKKGEIACTSAEESKKWIEALDYAKQQAEYELSRGGGARNKLSLEADIDLEGHRPRVRRYASGLKKLIRIGGGPELLSRSSSSLGTGSSDGDFEGEFGDAVEAHEWKCVRTINGVRILEDVADGKGGKASLVKAVAPVDASADTVLEVILNLDRHKRYEWDMLTGDLELVDSYDGNYDVVYGTYDPKYLGRWQSKRDFVFSRQWFQGQDGAYTILQFPAAHKKRPTRNGYQRTSIKPSTWEIRSLTNEKCLVTHMLEMNAAGWGRWKKSSSCSKFEKTIPFALLSQVAGLKEYIGANPSLKCEPSSLALHSKHSEGDPPDEFYDAVAGDSSSTSEDEESDDESEKEEKPIKQKPAPAAISSTALKQASDAAENARKDDADTNCWTSPGGAGFMIRGQTYLKNSAKIMGGKPLLKLIAVDWFKVDKATDKIALHPKSLAQSDAGKNLPFILVINLEIPAKPNYSLVLYYAAERPVRKDSLLEKFADGTDQFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFRQDNFLEIDVDIGSSSVARSVIGLVLGYVTSLVVDLAILIEANDAAELPEYILGSVRLNRVRLESAVPLDG >KJB59979 pep chromosome:Graimondii2_0_v6:9:24110955:24111563:-1 gene:B456_009G283600 transcript:KJB59979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRYVPSLNSEITVDTFEQSLVFDRDRFLIELNHRDITDCIVPHSRVSREFIEFAVVPPILRFTRDANSNPMNLGLKVINIEVVVDIVVDVNIIEDDDIDYDYELIDDIGYDDELINELLMNAVVNFTPASRSSIEGLERVKWDSMTKREDECAICLEEFVEGEEVAWMPCGHGYHDGCIVKWLETNHICPLCRYEMPTLIHF >KJB60447 pep chromosome:Graimondii2_0_v6:9:27782679:27785412:1 gene:B456_009G306100 transcript:KJB60447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDLLLVALIPVIKVLFITGVGLFLALDRVNLLGPDARNHLNKIVFYVFGPALVATNLAQTTTYESLVTLWFMPVNILLTFMIGSALAWLLIKITRTPKHLQGMVIGCCSAGNLGNLPLIIVPSVCEESNNPFGESSTCFSNAQAYASLSMATGAIFIWSYVYGIMRSYANNYKEAGLTININSSQKNSNSESDLETCREPLLPSKDWVAASDEYSVQQQPHGNFETQEKSSALRKRFQCITMTMKKINLKEVFAPSAIAGMVGFFIGTVSPIRQTLIGADAPLRVIENSINLLGDPTVACMTLLVGANLLKGLTRSDIRPSIIIGIIAVRNVFMPLLGIGVVKAAQHLGLVGTDALFQFVLMLQYALPPAMAVGTMTQLFQMGQSESSIIMLWTYVVAAFSLTLWSTVFMWLLF >KJB60448 pep chromosome:Graimondii2_0_v6:9:27781671:27785467:1 gene:B456_009G306100 transcript:KJB60448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDLLLVALIPVIKVLFITGVGLFLALDRVNLLGPDARNHLNKIVFYVFGPALVATNLAQTTTYESLVTLWFMPVNILLTFMIGSALAWLLIKITRTPKHLQGMVIGCCSAGNLGNLPLIIVPSVCEESNNPFGESSTCFSNAQAYASLSMATGAIFIWSYVYGIMRSYANNYKEAGLTININSSQKNSNSESDLETCREPLLPSKDWVAASDEYSVQQQPHGNFETQEKSSALRKRFQCITMTMKKINLKEVFAPSAIAGMVGFFIGTVSPIRQTLIGADAPLRVIENSINLLGDPTVACMTLLVGANLLKGLTRSDIRPSIIIGIIAVRNVFMPLLGIGVVKAAQHLGLVGTDALFQFVLMLQYALPPAMAVGTMTQLFQMGQSESSIIMLWTYVVAAFSLTLWSTVFMWLLF >KJB60449 pep chromosome:Graimondii2_0_v6:9:27782738:27785411:1 gene:B456_009G306100 transcript:KJB60449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNILLTFMIGSALAWLLIKITRTPKHLQGMVIGCCSAGNLGNLPLIIVPSVCEESNNPFGESSTCFSNAQAYASLSMATGAIFIWSYVYGIMRSYANNYKEAGLTININSSQKNSNSESDLETCREPLLPSKDWVAASDEYSVQQQPHGNFETQEKSSALRKRFQCITMTMKKINLKEVFAPSAIAGMVGFFIGTVSPIRQTLIGADAPLRVIENSINLLGDPTVACMTLLVGANLLKGLTRSDIRPSIIIGIIAVRNVFMPLLGIGVVKAAQHLGLVGTDALFQFVLMLQYALPPAMAVGTMTQLFQMGQSESSIIMLWTYVVAAFSLTLWSTVFMWLLF >KJB60446 pep chromosome:Graimondii2_0_v6:9:27782596:27785467:1 gene:B456_009G306100 transcript:KJB60446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDLLLVALIPVIKVLFITGVGLFLALDRVNLLGPDARNHLNKIVFYVFGPALVATNLAQTTTYESLVTLWFMPVNILLTFMIGSALAWLLIKITRTPKHLQGMVIGCCSAGNLGNLPLIIVPSVCEESNNPFGESSTCFSNAQAYASLSMATGAIFIWSYVYGIMRSYANNYKEAGLTININSSQKNSNSESDLETCREPLLPSKDWVAASDEYSVQQQPHGNFETQEKSSALRKRFQCITMTMKKINLKEVFAPSAIAGMVGFFIGTVSPIRQTLIGADAPLRVIENSINLLGDPTVACMTLLVGANLLKGLTRSDIRPSIIIGIIAVRNVFMPLLGIGVVKAAQHLGLVGTDALFQFVLMLQYALPPAMAVGTMTQLFQMGQSESSIIMLWTYVVAAFSLTLWSTVFMWLLF >KJB61794 pep chromosome:Graimondii2_0_v6:9:51785004:51787595:1 gene:B456_009G381300 transcript:KJB61794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQDDIAEALNLKEDWPREGDKLRRAAILSEMLKKAGKHVLILDDVWDKVSLEEVGIPEPSGSNGCKLVLTTRSEHVCKYMGCKVIKVTPLSEEEALILFLNKVGPNIVQSPTIMPTLKLVVKECAGLPLTIVVVAGTLKGEDNPLIWKNALGELKERIGKVEGVEAEVIERLKFSFDHLKDEKVKYCFLHCALYPEDFEIENDELIECWIDEGFIDDMGTRQEMKDKGHVILKKLGDNCLLENITNHIFGQPRIKMHDAVRDMALSITSMDPRYIVQAGLQLKELPKRGQWSPDIEKVSLMHNSITEFPADVLPTKCQLLTTLLLQNNPIKKISISFFTNMPCLSVLNLSFTKIESLPNSISELKNLTTLLLCGCNELRDLPCLSMLQELKKLDLYRTKIEEVPEGMDMLIKLRYLDLSVRTLKEIPVGLLPKLVHLQHLSFAVNNEKISLKAEEMEPLKKLEWFTGRFEDMNELNKFISSMQQSKKNLIKYHLHVGLYFMPTARDKTVTIEGVQNWEGELIMHPIEIQGLNIVKCDYLRNLVDGNSSFKNAMDLRIYACKGIECVVPLSSFASSSAHPFQSLEVLDLRDLPKLSALIMKDTGIGAATTSTLAPSTTFSHLEQIYVRRCSSMKTLLPHWLLPNLQNLEEIWVSECDEIVEILGAATSEVEEKGSDALIKFHLPKLRELSLSNLPNLKSICSKSGVMVCDSLQLIRITRCDKLKRIPPFVPLVGNGQPFAYAPPSLTIRSSTEWWESLEWDDHPNYKNVLQPLWKDKRYIL >KJB60489 pep chromosome:Graimondii2_0_v6:9:28319819:28323452:1 gene:B456_009G308300 transcript:KJB60489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFYKNATKFIFLKKIHADFFPNFPPKTQKRKTPNFPPNFFLVLSSKSTNFEDQMATISAFSTPLHLISKTHFKSPKNLFFYPNSIHFQTKVSTFSSNNLTLSFKNSKTLYGTWKLKSAEEEEIAVVEQEREETTVAEQESVSVPVSPSDTLRMYFQADGMLNEAEILKVTKALDVSVDILYCREKYSNGMVISSALIIWKGLICIIGSESPMVVVLSGSMEPDFKRETLIFTMTECCTHLVLVGCKKNTSWAELLERIP >KJB60490 pep chromosome:Graimondii2_0_v6:9:28319819:28323452:1 gene:B456_009G308300 transcript:KJB60490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFYKNATKFIFLKKIHADFFPNFPPKTQKRKTPNFPPNFFLVLSSKSTNFEDQMATISAFSTPLHLISKTHFKSPKNLFFYPNSIHFQTKVSTFSSNNLTLSFKNSKTLYGTWKLKSAEEEEIAVVEQEREETTVAEQESVSVPVSPSDTLRMYFQADGMLNEAEILKVTKALDVSVDILYCREKYSNGMVISSALIIWKGLICIIGSESPMVVVLSGSMEPDFKRVHEQRDIKEADILTKGDANLYDDRMLYTSSTRWLQKKYIMG >KJB56455 pep chromosome:Graimondii2_0_v6:9:8897129:8905137:-1 gene:B456_009G120300 transcript:KJB56455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALAGDDLSARSMSSGRGGWGSARFRDIWQTPPEVFERSQRHDTEEDLIWAAIERLPMYDRLRKSMIRKVGDNGKVVLHEVDVTKLGQQNKKQLIDSILKVVEQDNENFLRKLRDRTDRVGIEIPKIEVRFEHLSVEGDVYVGSRALPTLLNVTLNIIESILGLVRLVPSRKRKIEILKDVSGIVKPSRMTLVLGPPGAGKTTFLQALAGRLDQDLRSSGRITYCGYEMSEFIPQRTCAYIGHHDVHHGEMTVRETLDFSGRCLGVGTRYEILKELSNREKEAGIKPDPEIDAFMKATAMAGQRTSLVTDYVLKILGLEMCSDTLVGDDMRRGISGGEKKRLTTGEMLVGPAKAFFMDEISTGLDSSTTYQICKFMRQMVHIMDVTMVISLLQPAPETYDQFDHLILLSEGQIVYQGPTQGVLEFFEYMGFKCPERKGVADFLQEVTSKKDQQQYWFRKDQPYSYVTVSEFVQGFNSFHIGQQLESDLRVPYDRSVARHPAVVTEKYGISNWELFRACFAREWLLMKRNSFVYIFKTVQITIMSLIAMTVFFIKEMHAGNLEDGSKFFGALFYSLINVMFNGMAELAMTIFRLPVFYKQRDFLFYPPWAFSLSIWIIKIPLSLLESGIWICLTYYTIGFAPAASRFFRQFLAFFGIHQMALSLFRFIAAVGRTQVIANTLGTFTLLMVFVLGGFIVARDDIEPWMIWGYYLSPMMYGQNAIVMNEFLDKRWNRQNNDTRINATTVGQVLLKSRGFHTEDCWFWISVAALFGFSVLFNILFIVALTYLNPLRDSKAIVVDENENTNKKESSSGKNIPGTDLPVRNSLDATNITGRPTGRGMVLPFKPLSLAFNHVSYSVDMPSEMKSQGVEEDHLKLLRNVSGAFRPGILTALVGVTGAGKTTLMDVLAGRKTGGYIEGSISVSGYPKNQATFTRVSGYCEQNDIHSPHVTVYESLLYSAWLRLSSEVDTKTRKMFIEEVMELIELKPLRGAIVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKRGGQIIYAGPLGRNSHNLVQYFEAIPGVPKIKEGYNPATWMLEITAPAVENQLNADFAEIYAESSLYQRNQEIIEELSRPVPGSEDLHFPTKYSLPFLSQCKVCFLKQHWSYKRNPRYNAIRFFITIVVGILFGLIFWNKGQQIAKQQDVLNFFGAMYSAVFFLGAVNASTVQGVVAVERTVFYRERAAGMYSEFPYALAQVAIEIIYTAIQTAIYVALLYLMIGGTSCCNCHVILPKFMEHLLGFSHPETTNPGMVEVVLLGNSSGLDTLWPYYVSIG >KJB56456 pep chromosome:Graimondii2_0_v6:9:8897129:8905236:-1 gene:B456_009G120300 transcript:KJB56456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALAGDDLSARSMSSGRGGWGSARFRDIWQTPPEVFERSQRHDTEEDLIWAAIERLPMYDRLRKSMIRKVGDNGKVVLHEVDVTKLGQQNKKQLIDSILKVVEQDNENFLRKLRDRTDRVGIEIPKIEVRFEHLSVEGDVYVGSRALPTLLNVTLNIIESILGLVRLVPSRKRKIEILKDVSGIVKPSRMTLVLGPPGAGKTTFLQALAGRLDQDLRSSGRITYCGYEMSEFIPQRTCAYIGHHDVHHGEMTVRETLDFSGRCLGVGTRYEILKELSNREKEAGIKPDPEIDAFMKATAMAGQRTSLVTDYVLKILGLEMCSDTLVGDDMRRGISGGEKKRLTTGEMLVGPAKAFFMDEISTGLDSSTTYQICKFMRQMVHIMDVTMVISLLQPAPETYDQFDHLILLSEGQIVYQGPTQGVLEFFEYMGFKCPERKGVADFLQEVTSKKDQQQYWFRKDQPYSYVTVSEFVQGFNSFHIGQQLESDLRVPYDRSVARHPAVVTEKYGISNWELFRACFAREWLLMKRNSFVYIFKTVQITIMSLIAMTVFFIKEMHAGNLEDGSKFFGALFYSLINVMFNGMAELAMTIFRLPVFYKQRDFLFYPPWAFSLSIWIIKIPLSLLESGIWICLTYYTIGFAPAASRFFRQFLAFFGIHQMALSLFRFIAAVGRTQVIANTLGTFTLLMVFVLGGFIVARDDIEPWMIWGYYLSPMMYGQNAIVMNEFLDKRWNRQNNDTRINATTVGQVLLKSRGFHTEDCWFWISVAALFGFSVLFNILFIVALTYLNPLRDSKAIVVDENENTNKKESSSGKNIPGTDLPVRNSLDATNITGRPTGRGMVLPFKPLSLAFNHVSYSVDMPSEMKSQGVEEDHLKLLRNVSGAFRPGILTALVGVTGAGKTTLMDVLAGRKTGGYIEGSISVSGYPKNQATFTRVSGYCEQNDIHSPHVTVYESLLYSAWLRLSSEVDTKTRKMFIEEVMELIELKPLRGAIVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKRGGQIIYAGPLGRNSHNLVQYFEAIPGVPKIKEGYNPATWMLEITAPAVENQLNADFAEIYAESSLYQRNQEIIEELSRPVPGSEDLHFPTKYSLPFLSQCKVCFLKQHWSYKRNPRYNAIRFFITIVVGILFGLIFWNKGQQIAKQQDVLNFFGAMYSAVFFLGAVNASTVQGVVAVERTVFYRERAAGMYSEFPYALAQVAIEIIYTAIQTAIYVALLYLMIGYEWKASNFLWFYYYITASYVYFTLYGMMVIALTPGAQVAAIVMSFFLSLWNIFSGFLIPRPLIPVWWRWYYWATPVAWTLYGLITSQLGDRNSDLEVPGFPDIPVKRYIKEMFGFEYEFLPVVAVVHIGWCLLFFFVFAYGIKFLNFQRR >KJB56452 pep chromosome:Graimondii2_0_v6:9:8897129:8905137:-1 gene:B456_009G120300 transcript:KJB56452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFIPQRTCAYIGHHDVHHGEMTVRETLDFSGRCLGVGTRYEILKELSNREKEAGIKPDPEIDAFMKATAMAGQRTSLVTDYVLKILGLEMCSDTLVGDDMRRGISGGEKKRLTTGEMLVGPAKAFFMDEISTGLDSSTTYQICKFMRQMVHIMDVTMVISLLQPAPETYDQFDHLILLSEGQIVYQGPTQGVLEFFEYMGFKCPERKGVADFLQEVTSKKDQQQYWFRKDQPYSYVTVSEFVQGFNSFHIGQQLESDLRVPYDRSVARHPAVVTEKYGISNWELFRACFAREWLLMKRNSFVYIFKTVQITIMSLIAMTVFFIKEMHAGNLEDGSKFFGALFYSLINVMFNGMAELAMTIFRLPVFYKQRDFLFYPPWAFSLSIWIIKIPLSLLESGIWICLTYYTIGFAPAASRFFRQFLAFFGIHQMALSLFRFIAAVGRTQVIANTLGTFTLLMVFVLGGFIVARDDIEPWMIWGYYLSPMMYGQNAIVMNEFLDKRWNRQNNDTRINATTVGQVLLKSRGFHTEDCWFWISVAALFGFSVLFNILFIVALTYLNPLRDSKAIVVDENENTNKKESSSGKNIPGTDLPVRNSLDATNITGRPTGRGMVLPFKPLSLAFNHVSYSVDMPSEMKSQGVEEDHLKLLRNVSGAFRPGILTALVGVTGAGKTTLMDVLAGRKTGGYIEGSISVSGYPKNQATFTRVSGYCEQNDIHSPHVTVYESLLYSAWLRLSSEVDTKTRKMFIEEVMELIELKPLRGAIVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKRGGQIIYAGPLGRNSHNLVQYFEAIPGVPKIKEGYNPATWMLEITAPAVENQLNADFAEIYAESSLYQRNQEIIEELSRPVPGSEDLHFPTKYSLPFLSQCKVCFLKQHWSYKRNPRYNAIRFFITIVVGILFGLIFWNKGQQIAKQQDVLNFFGAMYSAVFFLGAVNASTVQGVVAVERTVFYRERAAGMYSEFPYALAQVAIEIIYTAIQTAIYVALLYLMIGYEWKASNFLWFYYYITASYVYFTLYGMMVIALTPGAQVAAIVMSFFLSLWNIFSGFLIPRPLIPVWWRWYYWATPVAWTLYGLITSQLGDRNSDLEVPGFPDIPVKRYIKEMFGFEYEFLPVVAVVHIGWCLLFFFVFAYGIKFLNFQRR >KJB56454 pep chromosome:Graimondii2_0_v6:9:8897129:8905137:-1 gene:B456_009G120300 transcript:KJB56454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRETLDFSGRCLGVGTRYEILKELSNREKEAGIKPDPEIDAFMKATAMAGQRTSLVTDYVLKILGLEMCSDTLVGDDMRRGISGGEKKRLTTGEMLVGPAKAFFMDEISTGLDSSTTYQICKFMRQMVHIMDVTMVISLLQPAPETYDQFDHLILLSEGQIVYQGPTQGVLEFFEYMGFKCPERKGVADFLQEVTSKKDQQQYWFRKDQPYSYVTVSEFVQGFNSFHIGQQLESDLRVPYDRSVARHPAVVTEKYGISNWELFRACFAREWLLMKRNSFVYIFKTVQITIMSLIAMTVFFIKEMHAGNLEDGSKFFGALFYSLINVMFNGMAELAMTIFRLPVFYKQRDFLFYPPWAFSLSIWIIKIPLSLLESGIWICLTYYTIGFAPAASRFFRQFLAFFGIHQMALSLFRFIAAVGRTQVIANTLGTFTLLMVFVLGGFIVARDDIEPWMIWGYYLSPMMYGQNAIVMNEFLDKRWNRQNNDTRINATTVGQVLLKSRGFHTEDCWFWISVAALFGFSVLFNILFIVALTYLNPLRDSKAIVVDENENTNKKESSSGKNIPGTDLPVRNSLDATNITGRPTGRGMVLPFKPLSLAFNHVSYSVDMPSEMKSQGVEEDHLKLLRNVSGAFRPGILTALVGVTGAGKTTLMDVLAGRKTGGYIEGSISVSGYPKNQATFTRVSGYCEQNDIHSPHVTVYESLLYSAWLRLSSEVDTKTRKMFIEEVMELIELKPLRGAIVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKRGGQIIYAGPLGRNSHNLVQYFEAIPGVPKIKEGYNPATWMLEITAPAVENQLNADFAEIYAESSLYQRNQEIIEELSRPVPGSEDLHFPTKYSLPFLSQCKVCFLKQHWSYKRNPRYNAIRFFITIVVGILFGLIFWNKGQQIAKQQDVLNFFGAMYSAVFFLGAVNASTVQGVVAVERTVFYRERAAGMYSEFPYALAQVKMINYVHWSNLMTEFKLKYLIRPSLILDLESLSPPYFVVQVAIEIIYTAIQTAIYVALLYLMIGYEWKASNFLWFYYYITASYVYFTLYGMMVIALTPGAQVAAIVMSFFLSLWNIFSGFLIPRPLIPVWWRWYYWATPVAWTLYGLITSQLGDRNSDLEVPGFPDIPVKRYIKEMFGFEYEFLPVVAVVHIGWCLLFFFVFAYGIKFLNFQRR >KJB56453 pep chromosome:Graimondii2_0_v6:9:8898220:8904948:-1 gene:B456_009G120300 transcript:KJB56453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALAGDDLSARSMSSGRGGWGSARFRDIWQTPPEVFERSQRHDTEEDLIWAAIERLPMYDRLRKSMIRKVGDNGKVVLHEVDVTKLGQQNKKQLIDSILKVVEQDNENFLRKLRDRTDRVGIEIPKIEVRFEHLSVEGDVYVGSRALPTLLNVTLNIIESILGLVRLVPSRKRKIEILKDVSGIVKPSRMTLVLGPPGAGKTTFLQALAGRLDQDLRSSGRITYCGYEMSEFIPQRTCAYIGHHDVHHGEMTVRETLDFSGRCLGVGTRYEILKELSNREKEAGIKPDPEIDAFMKATAMAGQRTSLVTDYVLKILGLEMCSDTLVGDDMRRGISGGEKKRLTTGEMLVGPAKAFFMDEISTGLDSSTTYQICKFMRQMVHIMDVTMVISLLQPAPETYDQFDHLILLSEGQIVYQGPTQGVLEFFEYMGFKCPERKGVADFLQEVTSKKDQQQYWFRKDQPYSYVTVSEFVQGFNSFHIGQQLESDLRVPYDRSVARHPAVVTEKYGISNWELFRACFAREWLLMKRNSFVYIFKTVQITIMSLIAMTVFFIKEMHAGNLEDGSKFFGALFYSLINVMFNGMAELAMTIFRLPVFYKQRDFLFYPPWAFSLSIWIIKIPLSLLESGIWICLTYYTIGFAPAASRFFRQFLAFFGIHQMALSLFRFIAAVGRTQVIANTLGTFTLLMVFVLGGFIVARDDIEPWMIWGYYLSPMMYGQNAIVMNEFLDKRWNRQNNDTRINATTVGQVLLKSRGFHTEDCWFWISVAALFGFSVLFNILFIVALTYLNPLRDSKAIVVDENENTNKKESSSGKNIPGTDLPVRNSLDATNITGRPTGRGMVLPFKPLSLAFNHVSYSVDMPSEMKSQGVEEDHLKLLRNVSGAFRPGILTALVGVTGAGKTTLMDVLAGRKTGGYIEGSISVSGYPKNQATFTRVSGYCEQNDIHSPHVTVYESLLYSAWLRLSSEVDTKTRKMFIEEVMELIELKPLRGAIVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKRGGQIIYAGPLGRNSHNLVQYFEAIPGVPKIKEGYNPATWMLEITAPAVENQLNADFAEIYAESSLYQRNQEIIEELSRPVPGSEDLHFPTKYSLPFLSQCKVCFLKQHWSYKRNPRYNAIRFFITIVVGILFGLIFWNKGQQIFIY >KJB56451 pep chromosome:Graimondii2_0_v6:9:8898313:8904948:-1 gene:B456_009G120300 transcript:KJB56451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALAGDDLSARSMSSGRGGWGSARFRDIWQTPPEVFERSQRHDTEEDLIWAAIERLPMYDRLRKSMIRKVGDNGKVVLHEVDVTKLGQQNKKQLIDSILKVVEQDNENFLRKLRDRTDRVGIEIPKIEVRFEHLSVEGDVYVGSRALPTLLNVTLNIIESILGLVRLVPSRKRKIEILKDVSGIVKPSRMTLVLGPPGAGKTTFLQALAGRLDQDLRSSGRITYCGYEMSEFIPQRTCAYIGHHDVHHGEMTVRETLDFSGRCLGVGTRYEILKELSNREKEAGIKPDPEIDAFMKATAMAGQRTSLVTDYVLKILGLEMCSDTLVGDDMRRGISGGEKKRLTTGEMLVGPAKAFFMDEISTGLDSSTTYQICKFMRQMVHIMDVTMVISLLQPAPETYDQFDHLILLSEGQIVYQGPTQGVLEFFEYMGFKCPERKGVADFLQEVTSKKDQQQYWFRKDQPYSYVTVSEFVQGFNSFHIGQQLESDLRVPYDRSVARHPAVVTEKYGISNWELFRACFAREWLLMKRNSFVYIFKTVQITIMSLIAMTVFFIKEMHAGNLEDGSKFFGALFYSLINVMFNGMAELAMTIFRLPVFYKQRDFLFYPPWAFSLSIWIIKIPLSLLESGIWICLTYYTIGFAPAASRFFRQFLAFFGIHQMALSLFRFIAAVGRTQVIANTLGTFTLLMVFVLGGFIVARDDIEPWMIWGYYLSPMMYGQNAIVMNEFLDKRWNRQNNDTRINATTVGQVLLKSRGFHTEDCWFWISVAALFGFSVLFNILFIVALTYLNPLRDSKAIVVDENENTNKKESSSGKNIPGTDLPVRNSLDATNITGRPTGRGMVLPFKPLSLAFNHVSYSVDMPSEMKSQGVEEDHLKLLRNVSGAFRPGILTALVGVTGAGKTTLMDVLAGRKTGGYIEGSISVSGYPKNQATFTRVSGYCEQNDIHSPHVTVYESLLYSAWLRLSSEVDTKTRKMFIEEVMELIELKPLRGAIVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKRGGQIIYAGPLGRNSHNLVQYFEAIPGVPKIKEGYNPATWMLEITAPAVENQLNADFAEIYAESSLYQRNQEIIEELSRPVPGSEDLHFPTKYSLPFLSQCKVCFLKQHWSYKRNPRYNAIRFFITIVVGILFGLIFWNKGQQM >KJB57677 pep chromosome:Graimondii2_0_v6:9:13513807:13515893:1 gene:B456_009G174800 transcript:KJB57677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFDVEDPLTSLDGHQSDTISALFSSESDHMPSYNYFQCLKTSDFYVSFRQEAISLILQAQYSSNLDPYTQYLAVNYMDRFISWQEIPQGNPWIVRLLVIACISLAAKMKEIHFSFSDFQTNNIKVRQRDEGFMFDPPAIQRMELLVLDALNWRMRSITPFSFITFFVSLFQLKDPPLTQALKDRATNIIFQARNEINLLEFKPSIIGASALLLACHELFPLQFPSFETSVLSCEYVNEEKVMECFNEMQEMVNNEMSESIVDMVSSSSSMRTPVSVLDCHCSKSENEGTNSTMAATVTPENREIKRRKLKGFCNQSSTMKISQFQPCE >KJB57676 pep chromosome:Graimondii2_0_v6:9:13513807:13515893:1 gene:B456_009G174800 transcript:KJB57676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFDVEDPLTSLDGHQSDTISALFSSESDHMPSYNYFQCLKTSDFYVSFRQEAISLILQAQYSSNLDPYTQYLAVNYMDRFISWQEIPQGNPWIVRLLVIACISLAAKMKEIHFSFSDFQRDEGFMFDPPAIQRMELLVLDALNWRMRSITPFSFITFFVSLFQLKDPPLTQALKDRATNIIFQARNEINLLEFKPSIIGASALLLACHELFPLQFPSFETSVLSCEYVNEVSKFSSINCI >KJB57679 pep chromosome:Graimondii2_0_v6:9:13513807:13515893:1 gene:B456_009G174800 transcript:KJB57679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFISWQEIPQGNPWIVRLLVIACISLAAKMKEIHFSFSDFQRDEGFMFDPPAIQRMELLVLDALNWRMRSITPFSFITFFVSLFQLKDPPLTQALKDRATNIIFQARNEINLLEFKPSIIGASALLLACHELFPLQFPSFETSVLSCEYVNEEKVMECFNEMQEMVNNEMSESIVDMVSSSSSMRTPVSVLDCHCSKSENEGTNSTMAATVTPENREIKRRKLKGFCNQSSTMKISQFQPCE >KJB57674 pep chromosome:Graimondii2_0_v6:9:13513745:13515893:1 gene:B456_009G174800 transcript:KJB57674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFDVEDPLTSLDGHQSDTISALFSSESDHMPSYNYFQCLKTSDFYVSFRQEAISLILQAQYSSNLDPYTQYLAVNYMDRFISWQEIPQGNPWIVRLLVIACISLAAKMKEIHFSFSDFQRDEGFMFDPPAIQRMELLVLDALNWRMRSITPFSFITFFVSLFQLKDPPLTQALKDRATNIIFQARNEINLLEFKPSIIGASALLLACHELFPLQFPSFETSVLSCEYVNEEKVMECFNEMQEMVNNEMSESIVDMVSSSSSMRTPVSVLDCHCSKSENEGTNSTMAATVTPENREIKRRKLKGFCNQSSTMKISQFQPCE >KJB57675 pep chromosome:Graimondii2_0_v6:9:13513807:13515893:1 gene:B456_009G174800 transcript:KJB57675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDPPAIQRMELLVLDALNWRMRSITPFSFITFFVSLFQLKDPPLTQALKDRATNIIFQARNEINLLEFKPSIIGASALLLACHELFPLQFPSFETSVLSCEYVNEEKVMECFNEMQEMVNNEMSESIVDMVSSSSSMRTPVSVLDCHCSKSENEGTNSTMAATVTPENREIKRRKLKGFCNQSSTMKISQFQPCE >KJB57678 pep chromosome:Graimondii2_0_v6:9:13513807:13515893:1 gene:B456_009G174800 transcript:KJB57678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDPPAIQRMELLVLDALNWRMRSITPFSFITFFVSLFQLKDPPLTQALKDRATNIIFQARNEINLLEFKPSIIGASALLLACHELFPLQFPSFETSVLSCEYVNEEKVMECFNEMQEMVNNEMSESIVDMVSSSSSMRTPVSVLDCHCSKSENEGTNSTMAATVTPENREIKRRKLKGFCNQSSTMKISQFQPCE >KJB60265 pep chromosome:Graimondii2_0_v6:9:25898936:25902032:1 gene:B456_009G296600 transcript:KJB60265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNPFFDDLQSKPEVIDPPQNEDIMDCEAVNDPTQAAIKPNVTVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCIGIYPYYSKLKHESQCSYRPYSCPYAGSECTVIGDIPYLVAHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGISPVYIAFLRFMGDDIEAKNYSYSLEVGGNGRKMIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KJB58429 pep chromosome:Graimondii2_0_v6:9:16228959:16233107:1 gene:B456_009G209400 transcript:KJB58429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTAIWLLLLLPCSFPNFLISSCMGNQIDYLNRLVASRRSSNPPRPESWAQLDDQGNSHSSPVFVGSQKGLMQADKIDKLPGQPEGVDFDQYAGYVTVDPVADRALFYFFVESPVNSPNKPLVLWLNGGPGCSSLGYGAMQELGPFRVNSDGKTLYRNEYAWNNVANVIFLESPAGVGFSYSKNSSDYTQVGDKRTAEDSYVFLLNWLERFPQYKTRDLFITGESYAGHYVPQLASYILSRNKNTNQTVVNLKGIAIGNAWIDDNICTKGLFDYLWTHALNSDETNEGVNKYCDFASQDLVTNKAVAKNATDQCDQYQSRALTEMGDIDLYGIYSPLCNSSAIKPGSDGNVLNFDPCSDVHVKSYLNLAKVQAALHAKATKWSACSGVGWTDSPTSTLPEIRDLAKVIRVWIYSGDTDGRVPVTSSRYAIKTLQLPVETAWQPWYSDSEVGGYVVGYKGVVFTTVRGAGHTVPSYQPARALTMIASFLQGKLPPGPPPS >KJB58426 pep chromosome:Graimondii2_0_v6:9:16228853:16233107:1 gene:B456_009G209400 transcript:KJB58426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTAIWLLLLLPCSFPNFLISSCMGNQIDYLNRLVASRRSSNPPRPESWAQLDDQGNSHSSPVFVGSQKGLMQADKIDKLPGQPEGVDFDQYAGYVTVDPVADRALFYFFVESPVNSPNKPLVLWLNGGPGCSSLGYGAMQELGPFRVNSDGKTLYRNEYAWNNVANVIFLESPAGVGFSYSKNSSDYTQVGDKRTAEDSYVFLLNWLERFPQYKTRDLFITGESYAGHYVPQLASYILSRNKNTNQTVVNLKGIAIGNAWIDDNICTKGLFDYLWTHALNSDETNEGVNKYCDFASQDLVTNKAVAKNATDQCDQYQSRALTEMGDIDLYGIYSPLCNSSAIKPGSDGNVLNFDPCSDVHVKSYLNLAKVQAALHAKATKWSACSGVGWTDSPTSTLPEIRDLAKVIRVWIYSGDTDGRVPVTSSRYAIKTLQLPVETAWQPWYSDSEVGGYVVGYKGVVFTTVRGAGHTVPSYQPARALTMIASFLQGKLPPGPPPS >KJB58430 pep chromosome:Graimondii2_0_v6:9:16228959:16233107:1 gene:B456_009G209400 transcript:KJB58430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTAIWLLLLLPCSFPNFLISSCMGNQIDYLNRLVASRRSSNPPRPESWAQLDDQGNSHSSPVFVGSQKGLMQADKIDKLPGQPEGVDFDQYAGYVTVDPVADRALFYFFVESPVNSPNKPLVLWLNGGPGCSSLGYGAMQELGPFRVNSDGKTLYRNEYAWNNVANVIFLESPAGVGFSYSKNSSDYTQVGDKRTAEDSYVFLLNWLERFPQYKTRDLFITGESYAGHYVPQLASYILSRNKNTNQTVVNLKGIAIGNAWIDDNICTKGLFDYLWTHALNSDETNEGVNKYCDFASQDLVTNKAVAKNATDQCDQYQSRALTEMGDIDLYGIYSPLCNSSAIKPGSDGNVSITMLHNKVFYQDNEEVLNFDPCSDVHVKSYLNLAKVQAALHAKATKWSACSGVGWTDSPTSTLPEIRDLAKVIRVWIYSGDTDGRVPVTSSRYAIKTLQLPVETAWQPWYSDSEVGGYVVGYKGVVFTTVRGAGHTVPSYQPARALTMIASFLQGKLPPGPPPS >KJB58431 pep chromosome:Graimondii2_0_v6:9:16230126:16233107:1 gene:B456_009G209400 transcript:KJB58431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELGPFRVNSDGKTLYRNEYAWNNVANVIFLESPAGVGFSYSKNSSDYTQVGDKRTAEDSYVFLLNWLERFPQYKTRDLFITGESYAGHYVPQLASYILSRNKNTNQTVVNLKGIAIGNAWIDDNICTKGLFDYLWTHALNSDETNEGVNKYCDFASQDLVTNKAVAKNATDQCDQYQSRALTEMGDIDLYGIYSPLCNSSAIKPGSDGNVLNFDPCSDVHVKSYLNLAKVQAALHAKATKWSACSGVGWTDSPTSTLPEIRDLAKVIRVWIYSGDTDGRVPVTSSRYAIKTLQLPVETAWQPWYSDSEVGGYVVGYKGVVFTTVRGAGHTVPSYQPARALTMIASFLQGKLPPGPPPS >KJB58428 pep chromosome:Graimondii2_0_v6:9:16228959:16233107:1 gene:B456_009G209400 transcript:KJB58428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTAIWLLLLLPCSFPNFLISSCMGNQIDYLNRLVASRRSSNPPRPESWAQLDDQGNSHSSPVFVGSQKGLMQADKIDKLPGQPEGVDFDQYAGYVTVDPVADRALFYFFVESPVNSPNKPLVLWLNGGPGCSSLGYGAMQELGPFRVNSDGKTLYRNEYAWNNAGVGFSYSKNSSDYTQVGDKRTAEDSYVFLLNWLERFPQYKTRDLFITGESYAGHYVPQLASYILSRNKNTNQTVVNLKGIAIGNAWIDDNICTKGLFDYLWTHALNSDETNEGVNKYCDFASQDLVTNKAVAKNATDQCDQYQSRALTEMGDIDLYGIYSPLCNSSAIKPGSDGNVLNFDPCSDVHVKSYLNLAKVQAALHAKATKWSACSGVGWTDSPTSTLPEIRDLAKVIRVWIYSGDTDGRVPVTSSRYAIKTLQLPVETAWQPWYSDSEVGGYVVGYKGVVFTTVRGAGHTVPSYQPARALTMIASFLQGKLPPGPPPS >KJB58427 pep chromosome:Graimondii2_0_v6:9:16228959:16232026:1 gene:B456_009G209400 transcript:KJB58427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTAIWLLLLLPCSFPNFLISSCMGNQIDYLNRLVASRRSSNPPRPESWAQLDDQGNSHSSPVFVGSQKGLMQADKIDKLPGQPEGVDFDQYAGYVTVDPVADRALFYFFVESPVNSPNKPLVLWLNGGPGCSSLGYGAMQELGPFRVNSDGKTLYRNEYAWNNVANVIFLESPAGVGFSYSKNSSDYTQVGDKRTAEDSYVFLLNWLERFPQYKTRDLFITGESYAGHYVPQLASYILSRNKNTNQTVVNLKGIAIGNAWIDDNICTKGLFDYLWTHALNSDETNEGVNKYCDFASQDLVTNKAVAKNATDQCDQYQSRALTEMGDIDLYGIYSPLCNSSAIKPGSDGNVLNFDPCSDVHVKSYLNLAKVQAALHAKATKWSACSGVGWTDSPTSTLPEIRDLAKVIRVWIYSGDTDGRVPVTSSRYAIKTLQLPVETAWQPWYSDSEVIWIFPAI >KJB62874 pep chromosome:Graimondii2_0_v6:9:69265129:69268743:-1 gene:B456_009G441100 transcript:KJB62874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTAIFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHRLHPYFRFLVDHQELLSSNSIDEESKADGSLDQASSGRGGGALSLLGTVYGSGEDEDGETENATEAKRMESGVAINKNSTDGPEQKESSLSVSRKDDTVTKHLAPLKEKASLIKRNQSMTSVKPGTLTGLKKESDASAAEKSRASSLPPISKVEIPVVEPPSDLKRVVDKIVEFILRNGRQFEAVLVEQDVKHGRFPFLLPSNLYHPYYLEALQKAGKSKLPGKGLISEKHDSFGRGVEKKAASSRESDSASLGSDIPYNSDRKGKFKMVISKSKKDGQDPPSSKATQPQIGVSVDAAAAAAILQAATRGIKKPNLEILSKTSLNGSSQIPTSDDGHVPSSGQVANAIAKTAAIAAASEADSSEACLTKEEKLKAERLKRAKMFAALIKSGSAPLKTESLRGLSAEPPELGVCGSGAEGGSLSVKEKEGSSGAMDIDTCDKNEKMNSGIHHNERRSKRKYRSRSKRHEAVSGQEEEEQEEKEDKVRDGHSGRKRRSHHQSRDRRKHRKRHSSSKHRDSRHRHKHDSSTDDEQSHKSDHSDSDGLHSRHIRKRDCPSDFEHQRSRCHGSSEDEHHPSQHRHKHDSSSENEHRRSRHRHKHHRSSDDEYNHRRKRSHAGKEVELEEGEIYAKSDQSKLSEGNVASREASADISNLDAAEGRASSVPSTTTTVSNDLRAKIRAMLMATL >KJB62873 pep chromosome:Graimondii2_0_v6:9:69265129:69269905:-1 gene:B456_009G441100 transcript:KJB62873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTAIFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHRLHPYFRFLVDHQELLSSNSIDEESKADGSLDQASSGRGGGALSLLGTVYGSGEDEDGETENATEAKRMESGVAINKNSTDGPEQKESSLSVSRKDDTVTKHLAPLKEKASLIKRNQSMTSVKPGTLTGLKKESDASAAEKSRASSLPPISKVEIPVVEPPSDLKRVVDKIVEFILRNGRQFEAVLVEQDVKHGRFPFLLPSNLYHPYYLEALQKAGKSKLPGKGLISEKHDSFGRGVEKKAASSRESDSASLGSDIPYNSDRKGKFKMVISKSKKDGQDPPSSKATQPQIGVSVDAAAAAAILQAATRGIKKPNLEILSKTSLNGSSQIPTSDDGHVPSSGQVANAIAKTAAIAAASEADSSEACLTKEEKLKAERLKRAKMFAALIKSGSAPLKTESLRGLSAEPPELGVCGSGAEGGSLSVKEKEGSSGAMDIDTCDKNEKMNSGIHHNERRSKRKYRSRSKRHEAVSGQEEEEQEEKEDKVRDGHSGRKRRSHHQSRDRRKHRKRHSSSKHRDSRHRHKHDSSTDDEQSHKSDHSDSDGLHSRHIRKRDCPSDFEHQRSRCHGSSEDEHHPSQHRHKHDSSSENEHRRSRHRHKHHRSSDDEYNHRRKRSHAGKEVELEEGEIYAKSDQSKLSEGNVASREASADISNLDAAEGRASSVPSTTTTVSNDLRAKIRAMLMATL >KJB62869 pep chromosome:Graimondii2_0_v6:9:69265119:69269481:-1 gene:B456_009G441100 transcript:KJB62869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPTEKVHQIMARTAIFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHRLHPYFRFLVDHQELLSSNSIDEESKADGSLDQASSGRGGGALSLLGTVYGSGEDEDGETENATEAKRMESGVAINKNSTDGPEQKESSLSVSRKDDTVTKHLAPLKEKASLIKRNQSMTSVKPGTLTGLKKESDASAAEKSRASSLPPISKVEIPVVEPPSDLKRVVDKIVEFILRNGRQFEAVLVEQDVKHGRFPFLLPSNLYHPYYLEALQKAGKSKLPGKGLISEKHDSFGRGVEKKAASSRESDSASLGSDIPYNSDRKGKFKMVISKSKKDGQDPPSSKATQPQIGVSVDAAAAAAILQAATRGIKKPNLEILSKTSLNGSSQIPTSDDGHVPSSGQVANAIAKTAAIAAASEADSSEACLTKEEKLKAERLKRAKMFAALIKSGSAPLKTESLRGLSAEPPELGVCGSGAEGGSLSVKEKEGSSGAMDIDTCDKNEKMNSGIHHNERRSKRKYRSRSKRHEAVSGQEEEEQEEKEDKVRDGHSGRKRRSHHQSRDRRKHRKRHSSSKHRDSRHRHKHDSSTDDEQSHKSDHSDSDGLHSRHIRKRDCPSDFEHQRSRCHGSSEDEHHPSQHRHKHDSSSENEHRRSRHRHKHHRSSDDEYNHRRKRSHAGKEVELEEGEIYAKSDQSKLSEGNVASREASADISNLDAAEGRASSVPSTTTTVSNDLRAKIRAMLMATL >KJB62870 pep chromosome:Graimondii2_0_v6:9:69265119:69269905:-1 gene:B456_009G441100 transcript:KJB62870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPTEKVHQIMARTAIFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHRLHPYFRFLVDHQELLSSNSIDEESKADGSLDQASSGRGGGALSLLGTVYGSGEDEDGETENATEAKRMESGVAINKNSTDGPEQKESSLSVSRKDDTVTKHLAPLKEKASLIKRNQSMTSVKPGTLTGLKKESDASAAEKSRASSLPPISKVEIPVVEPPSDLKRVVDKIVEFILRNGRQFEAVLVEQDVKHGRFPFLLPSNLYHPYYLEALQKAGKSKLPGKGLISEKHDSFGRGVEKKAASSRESDSASLGSDIPYNSDRKGKFKMVISKSKKDGQDPPSSKATQPQIGVSVDAAAAAAILQAATRGIKKPNLEILSKTSLNGSSQIPTSDDGHVPSSGQVANAIAKTAAIAAASEADSSEACLTKEEKLKAERLKRAKMFAALIKSGSAPLKTESLRGLSAEPPELGVCGSGAEGGSLSVKEKEGSSGAMDIDTCDKNEKMNSGIHHNERRSKRKYRSRSKRHEAVSGQEEEEQEEKEDKVRDGHSGRKRRSHHQSRDRRKHRKRHSSSKHRDSRHRHKHDSSTDDEQSHKSDHSDSDGLHSRHIRKRDCPSDFEHQRSRCHGSSEDEHHPSQHRHKHDSSSENEHRRSRHRHKHHRSSDDEYNHRRKRSHAGKEVELEEGEIYAKSDQSKLSEGNVASREASADISNLDAAEGRASSVPSTTTTVSNDLRAKIRAMLMATL >KJB62868 pep chromosome:Graimondii2_0_v6:9:69265119:69269927:-1 gene:B456_009G441100 transcript:KJB62868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPTEKVHQIMARTAIFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHRLHPYFRFLVDHQELLSSNSIDEESKADGSLDQASSGRGGGALSLLGTVYGSGEDEDGETENATEAKRMESGVAINKNSTDGPEQKESSLSVSRKDDTVTKHLAPLKEKASLIKRNQSMTSVKPGTLTGLKKESDASAAEKSRASSLPPISKVEIPVVEPPSDLKRVVDKIVEFILRNGRQFEAVLVEQDVKHGRFPFLLPSNLYHPYYLEALQKAGKSKLPGKGLISEKHDSFGRGVEKKAASSRESDSASLGSDIPYNSDRKGKFKMVISKSKKDGQDPPSSKATQPQIGVSVDAAAAAAILQAATRGIKKPNLEILSKTSLNGSSQIPTSDDGHVPSSGQVANAIAKTAAIAAASEADSSEACLTKEEKLKAERLKRAKMFAALIKSGSAPLKTESLRGLSAEPPELGVCGSGAEGGSLSVKEKEGSSGAMDIDTCDKNEKMNSGIHHNERRSKRKYRSRSKRHEAVSGQEEEEQEEKEDKVRDGHSGRKRRSHHQSRDRRKHRKRHSSSKHRDSRHRHKHDSSTDDEQSHKSDHSDSDGLHSRHIRKRDCPSDFEHQRSRCHGSSEDEHHPSQHRHKHDSSSENEHRRSRHRHKHHRSSDDEYNHRRKRSHAGKEVELEEGEIYAKSDQSKLSEGNVASREASADISNLDAAEGRASSVPSTTTTVSNDLRAKIRAMLMATL >KJB62871 pep chromosome:Graimondii2_0_v6:9:69265035:69268590:-1 gene:B456_009G441100 transcript:KJB62871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPTEKVHQIMARTAIFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHRLHPYFRFLVDHQELLSSNSIDEESKADGSLDQASSGRGGGALSLLGTVYGSGEDEDGETENATEAKRMESGVAINKNSTDGPEQKESSLSVSRKDDTVTKHLAPLKEKASLIKRNQSMTSVKPGTLTGLKKESDASAAEKSRASSLPPISKVEIPVVEPPSDLKRVVDKIVEFILRNGRQFEAVLVEQDVKHGRFPFLLPSNLYHPYYLEALQKAGKSKLPGKGLISEKHDSFGRGVEKKAASSRESDSASLGSDIPYNSDRKGKFKMVISKSKKDGQDPPSSKATQPQIGVSVDAAAAAAILQAATRGIKKPNLEILSKTSLNGSSQIPTSDDGHVPSSGQVANAIAKTAAIAAASEADSSEACLTKEEKLKAERLKRAKMFAALIKSGSAPLKTESLRGLSAEPPELGVCGSGAEGGSLSVKEKEGSSGAMDIDTCDKNEKMNSGIHHNERRSKRKYRSRSKRHEAVSGQEEEEQEEKEDKVRDGHSGRKRRSHHQSRDRRKHRKRHSSSKHRDSRHRHKHDSSTDDEQSHKSDHSDSDGLHSRHIRKRDCPSDFEHQRSRCHGSSEDEHHPSQHRHKHDSSSENEHRRSRHRHKHHRSSDDEYNHRRKRSHAGKEVELEEGEIYAKSDQSKLSEGNVASREASADISNLDAAEGRASSVPSTTTTVSNDLRAKIRAMLMATL >KJB62875 pep chromosome:Graimondii2_0_v6:9:69265285:69267779:-1 gene:B456_009G441100 transcript:KJB62875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTAIFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHRLHPYFRFLVDHQELLSSNSIDEESKADGSLDQASSGRGGGALSLLGTVYGSGEDEDGETENATEAKRMESGVAINKNSTDGPEQKESSLSVSRKDDTVTKHLAPLKEKASLIKRNQSMTSVKPGTLTGLKKESDASAAEKSRASSLPPISKVEIPVVEPPSDLKRVVDKIVEFILRNGRQFEAVLVEQDVKHGRFPFLLPSNLYHPYYLEALQKAGKLCSDLILSKLPGKGLISEKHDSFGRGVEKKAASSRESDSASLGSDIPYNSDRKGKFKMVISKSKKDGQDPPSSKATQPQIGVSVDAAAAAAILQAATRGIKKPNLEILSKTSLNGSSQIPTSDDGHVPSSGQVANAIAKTAAIAAASEADSSEACLTKEEKLKAERLKRAKMFAALIKSGSAPLKTESLRGLSAEPPELGVCGSGAEGGSLSVKEKEGSSGAMDIDTCDKNEKMNSGIHHNERRSKRKYRSRSKRHEAVSGQEEEEQEEKEDKVRDGHSGRKRRSHHQSRDRRKHRKRHSSSKHRDSRHRHKHDSSTDDEQSHKSDHSDSDGLHSRHIRKRDCPSDFEHQRSRCHGSSEDEHHPSQHRHKHDSSSENEHRRSRHRHKHHRSSDDEYNHRRKRSHAGKEVELEEGEIYAKSDQSKLSEGNVASREASADISNLDAAEGRASSVPSTTTTVSNDLRAKIRAMLMATL >KJB62872 pep chromosome:Graimondii2_0_v6:9:69265285:69267779:-1 gene:B456_009G441100 transcript:KJB62872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTAIFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHRLHPYFRFLVDHQELLSSNSIDEESKADGSLDQASSGRGGGALSLLGTVYGSGEDEDGETENATEAKRMESGVAINKNSTDGPEQKESSLSVSRKDDTVTKHLAPLKEKASLIKRNQSMTSVKPGTLTGLKKESDASAAEKSRASSLPPISKVEIPVVEPPSDLKRVVDKIVEFILRNGRQFEAVLVEQDVKHGRFPFLLPSNLYHPYYLEALQKAGKSKLPGKGLISEKHDSFGRGVEKKAASSRESDSASLGSDIPYNSDRKGKFKMVISKSKKDGQDPPSSKATQPQIGVSVDAAAAAAILQAATRGIKKPNLEILSKTSLNGSSQIPTSDDGHVPSSGQVANAIAKTAAIAAASEADSSEACLTKEEKLKAERLKRAKMFAALIKSGSAPLKTESLRGLSAEPPELGVCGSGAEGGSLSVKEKEGSSGAMDIDTCDKNEKMNSGIHHNERRSKRKYRSRSKRHEAVSGQEEEEQEEKEDKVRDGHSGRKRRSHHQSRDRRKHRKRHSSSKHRDSRHRHKHDSSTDDEQSHKSDHSDSDGLHSRHIRKRDCPSDFEHQRSRCHGSSEDEHHPSQHRHKHDSSSENEHRRSRHRHKHHRSSDDEYNHRRKRSHAGKEVELEEGEIYAKSDQSKLSEGNVASREASADISNLDAAEGRASSVPSTTTTVSNDLRAKIRAMLMATL >KJB54664 pep chromosome:Graimondii2_0_v6:9:3595036:3595628:1 gene:B456_009G049600 transcript:KJB54664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVHNSQIRNEHYGSSICCSNKFQLVFHFSVQLMNVVYINSICYHLFLRSVKVNCNIDKLGVYAIIFHTIFSYQAMDSSWHITYTITDKQLQQF >KJB61614 pep chromosome:Graimondii2_0_v6:9:49757177:49761875:-1 gene:B456_009G370000 transcript:KJB61614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLPPITNAPKLEILFLWGNKLSRNIPNSISNASMLKKLVVSHNLFSGPIPKTLGNLRHLERFRIVNNNLITGSATDHEWSFLSSLSNCRHLRKIAVSGNPLSGVLPTHIGNLSKSLQYFYAHNCELQGIIPMEIGNLTNLLLLQLSYNKLSGLIPASIGGMRNLQESLCGLERLYNLNLGLNKLHGPIPSCLGNITSLGYLYLNSNKLSSAIPSNLSNLKDILEIDLSSNHLYSHAIDVGHLRTLLKLNLSRNLLTGDILSTFGVLQTLVSLDLSNNILHGHIPESFDGLISLEFLDLCNNNLSGVIPKSLEKLLYLKYFNVSFNRLEGEIPSKGCFSNFSSTSFMKNYALCGPPRLLVPPCKNDIHKNSQMIILHAFRYGLPTIGTVVVLIVLTIMYRRCQRRSTTLPIKDDLLSLKTPRRISHAELSRATNGFEESNMLGSGSFGCVYKGRLSDGMEVAIKVFNLQTDGAFRSFDIECDAMRNIVHRNIVKVITCCSSVDFKALVLDYMSNGNLEKWLHFENCFLDIIQRVDIMIDVAVAIEHLHNGHPTPIIHCDIKPSNILLDEDMVAHVGDFGVAKLLGEGEVMKQTMTLATIGYMAPEFGSTGIVSIKCDVYSYGIILIETFTKKKPTDNLFAEEVTIRHWMECSLPKGAIEIADADLLREEDEYFVVKANCISAIMELALKCSAELPEERKDMKDVVVELKKIKQRLLNNFKHV >KJB53402 pep chromosome:Graimondii2_0_v6:9:2242422:2243005:1 gene:B456_009G029500 transcript:KJB53402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIAKQKHQKPSRLKLLRGRKKRFPKIQNLAATIFSMNSSNEETNTHYWDSKPGNRSLM >KJB55864 pep chromosome:Graimondii2_0_v6:9:7129738:7135515:-1 gene:B456_009G098400 transcript:KJB55864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein-related protein 3C [Source:Projected from Arabidopsis thaliana (AT5G59420) UniProtKB/Swiss-Prot;Acc:Q93Y40] MGSPNKDQNKGFFSAMTSGLSLFGNAMHRSVNGLLGYEGVEVIHPEGGKEDAEEEAHRGRWKQEERESYWKMMHKYIGSDVTSMVTLPVLIFEPMTMLQKMAELMEYSYLLDRADECEDPYLRLVYASSWAISVYYAYQRTWKPFNPIIGETYELVNHEGITFISEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSLDVYPVGRTRVTLKRDGVVLDLVPPPTKVNNLIFGRTWVDSPGEMIMTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNAAEEPKILMTGKWNESMSYQPCDMEGEPLQGTELKEVWHVADTPKNDKFQFTYFAHKINSFDTAPKKLLASDSRLRPDRFALEKGDLSKAGSEKSRLEERQRAEKRTREAKGHQFTPRWFDLTDEISATPWGDLEIYRFNGKYTEHRATVDSSDGIDEVDLASIEFNPWQYGNLSTE >KJB54535 pep chromosome:Graimondii2_0_v6:9:6942798:6944509:-1 gene:B456_009G095400 transcript:KJB54535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRQVGSSSSFTADLFGSKDSSSSSSKGIFSSIFPPPSSVGGKNSSGSKVLESWPKQPLEGSAWRQAPLPNKEERVEPCHLSSSLYYGGQDLYSHPSTCQTSTTSYPVFKKDGGEDDTNGNNSMDASRGNWWQGTDFLFESFKLVINETLGLELFLYLKHLTWISTGSLYY >KJB54531 pep chromosome:Graimondii2_0_v6:9:6942543:6944679:-1 gene:B456_009G095400 transcript:KJB54531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRQVGSSSSFTADLFGSKDSSSSSSKGIFSSIFPPPSSVGGKNSSGSKVLESWPKQPLEGSAWRQAPLPNKEERVEPCHLSSSLYYGGQDLYSHPSTCQTSTTSYPFKKDGGEDDTNGNNSMDASRGNWWQGSLYY >KJB54534 pep chromosome:Graimondii2_0_v6:9:6942646:6944509:-1 gene:B456_009G095400 transcript:KJB54534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRQVGSSSSFTADLFGSKDSSSSSSKGIFSSIFPPPSSVGGKNSSGSKVLESWPKQPLEGSAWRQAPLPNKEERVEPCHLSSSLYYGGQDLYSHPSTCQTSTTSYPVFKKDGGEDDTNGNNSMDASRGNWWQGSLYY >KJB54532 pep chromosome:Graimondii2_0_v6:9:6942795:6945328:-1 gene:B456_009G095400 transcript:KJB54532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRQVGSSSSFTADLFGSKDSSSSSSKGIFSSIFPPPSSVGGKNSSGSKVLESWPKQPLEGSAWRQAPLPNKEERVEPCHLSSSLYYGGQDLYSHPSTCQTSTTSYPVFKKDGGEDDTNGNNSMDASRGNWWQGSLYY >KJB54530 pep chromosome:Graimondii2_0_v6:9:6943162:6944322:-1 gene:B456_009G095400 transcript:KJB54530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRQVGSSSSFTADLFGSKDSSSSSSKGIFSSIFPPPSSVGGKNSSGSKVLESWPKQPLEGSAWRQAPLPNKEERVEPCHLSSSLYYGGQDLYSHPSTCQTSTTSYPVFKKDGGEDDTNGNNSMDASRGNWWQGSLYY >KJB54533 pep chromosome:Graimondii2_0_v6:9:6942795:6944509:-1 gene:B456_009G095400 transcript:KJB54533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRQVGSSSSFTADLFGSKDSSSSSSKGIFSSIFPPPSSVGGKNSSGSKVLESWPKQPLEGSAWRQGMQAPLPNKEERVEPCHLSSSLYYGGQDLYSHPSTCQTSTTSYPVFKKDGGEDDTNGNNSMDASRGNWWQGSLYY >KJB58667 pep chromosome:Graimondii2_0_v6:9:17122998:17124331:1 gene:B456_009G220800 transcript:KJB58667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSASQCGSGCESGWTLYLDQSSYSQKRCQKFSRSFRVEDEEQDLSMVSDASSGPRHYCQDYEECLDENGCFCSTPAPPEPAKKSSKNKKKIKEHGSNQQHSYLDDTASSPVISFSKAKLQERSFNRFVGLFTRLLGYTYKGEISIPQKNWFLEIWENKFKRFRWFPGRKLGMTKRVNCNSFCLYYCMQLLWREKTGRNLLKKKEKEKKH >KJB58666 pep chromosome:Graimondii2_0_v6:9:17122998:17124331:1 gene:B456_009G220800 transcript:KJB58666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSASQCGSGCESGWTLYLDQSSYSQKRCQKFSRSFRVEDEEQDLSMVSDASSGPRHYCQDYEECLDENGCFCSTPAPPEPAKKSSKNKKKIKEHGSNQQHSYLDDTASSPVISFSKKNCRKEASIDLLDFSQGFSGTHIKLCHHFFRGNQHSTKKLVS >KJB58664 pep chromosome:Graimondii2_0_v6:9:17122757:17124386:1 gene:B456_009G220800 transcript:KJB58664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSASQCGSGCESGWTLYLDQSSYSQKRCQKFSRSFRVEDEEQDLSMVSDASSGPRHYCQDYEECLDENGCFCSTPAPPEPAKKSSKNKKKIKEHGSNQQHSYLDDTASSPVISFSKKNCRKEASIDLLDFSQGFSGTHIKGKSAFHKKIGFLKSGKTSSKDSGGFQEGNWE >KJB58665 pep chromosome:Graimondii2_0_v6:9:17123092:17123463:1 gene:B456_009G220800 transcript:KJB58665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSASQCGSGCESGWTLYLDQSSYSQKRCQKFSRSFRVEDEEQDLSMVSDASSGPRHYCQDYEECLDENGCFCSTPAPPEPAKKSSKNKKKIKEHGSNQQHSYLDDTASSPVISFSKASSTS >KJB53946 pep chromosome:Graimondii2_0_v6:9:965033:981257:-1 gene:B456_009G011800 transcript:KJB53946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLNSPFLGTPPGSCLNGRRSNGDCIVFDRGKLFRRAIRKRVSAEKQNDWITRAIRFSHFCGKNIELFRKTIRLRNGFVANGFKEPFAGSKALVSSLSPSWKEGLLLVRCSVLAAVMSGVCLLVWYGQKKAKSFVEAKLLPSVCSVLSEYIQREIDVGKVRGVSPLSITLEACSIGPHSEEFSCGEVPSMKIRVRPFASLRRGKIVIDAVLSHPSVLIAQKKDFTWLGIPISEDSLKKHLSTEEGIDYRTKSRRIAREEAAARWDRERDYDARKAAEMGYIVSERSSDQSKDDTVKEIGPSAKITSLKSFSCMDEKMHWRDHHCVDTSVDYDTNHAELEKSFGVKIPGLGLILWPKVIKGPKRSQLKKKFNRSDTSSAGVAAKRRILERSASAALAYFLGLSLKDSGDYSEASGSYDLSILNTLLVKNGDDSGAGTSVDISTAQGSFLSYNLYGEQCEKTENRCTNNNVTFGNLNFLRDPFLMTVERLSEFTKVCENFPYDGDTAGDAKTMGSKVGGGDLFYNVVNRNMDENASESERSHASPSTSIKSDPTPLPYHSVTFWPLGLNFRLPLFPDNMRKQVFNFVYGSFQKLKFVVAPKIEDIIAELVDGVDVMQTEGIGRTLPVTVDSVHFNGGTLMLLAYGDREPREMENVNGYVKFQNHYGYVHVQLSGNCKTWRSDLASEDGGWLSTDVFVNILDQKWHANLNISNLFVPLFERILEIPITWLKGRATGEVHLCMSGGDTFPSLHGQLDVTGLAFQIYDAPSCFSDISASLCFRGQRIFLHNTSGCFGSVPLEASGDFGIHPDEGEFHLMCQVPCVEVNALMKTFKMKPLLFPLAGSVTAVFNCQGPLTAPIFVGSGMVSRKISYSVFDVPSSSASEAMLKNKEAGAVAAFDRIPLSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEEDDTAVDVNFSGNLSVDKIMLRYMPGHVHLMPLKLGDLSGETKLSGSLLKPRFDIKWTAPKAEGSLSDARGDIMISPDCITVNSSSVAFDLFTKVQTSYPEEYWLNRKKFSEKISVPFIVEGVELDLRMRGFEFFNLVSSYTFDTPRPTHLKATGKIKFHGKVVKPSISSEQDFCPDGQPEKMMDNRSKQSLVGDLSVSGLRLNQLMLAPQLVGQLSISRDSIKLDATGRPDESLAVEVVQPLQSGSEENLQNGKLFSFSLQKGQLKANICLRPLHSATLKIRHLPLDELELASLRGTIQRAEIQLNFLKRRGHGILSVLRPKFSGLLGEALDVAARWSGDVITLEKTVLEQISSRYELQGEYVLPGSRDRNLSEKGRGGLLERAMTGHLGSVISSMGRWRMRLEVPQAEVAEMLPLARLLSRSTDPAVRFRSKDFFVQSLQSAGLYAESLQDLLEVIHGHYTASDDVVLEDLSLPGLAELKGRWHGSLDASGGGNGDTMAEFDFHGEDWEWGSYNTQHVVAVGAYSNDDGFRLEKIFIQKDDATIHADGTLLGPKTNLHFAVLNFPVSLVPTLVQIIESSATEAIHSLRQLLARIKGILYMEGDLRGSLAKPECDVQIRLLDGTVGGTDLGRAEVVASLTSNSRFLFNAKFEPIIQNGHVHIQGSVPVTFVQNSMSEEEKTETEQSRTPLVPGWLKERDKESTDKASEKKTFRERTEEGWDAQLAESLKGLDWNILDAGEVRIDADIKDGGMMLLTALSPYANWVNGNADITLQVRGTVEQPVVDGSASFHRASIYSPVLRKPLTNIGGTVNVESNKLCIAMLESRVSRRGKLFVKGNLPLRTSEASLGDKVDLKCEFLEVRAKNILSGQVDTQLQITGSILQPNISGNIKLSHGEAYLPHDKGSGPAPFNRLTSNQSRLPGAGINQAVASRYVSRFFGSEPAFSRTKLPLLSAKSADVEKEMEQVNIKPSLDVRLSDLKLVLGPELRIVYPLILNFAVSGELELNGQAHPKWIKPKGILTFENGDVNLVATQ >KJB53948 pep chromosome:Graimondii2_0_v6:9:964707:981257:-1 gene:B456_009G011800 transcript:KJB53948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLNSPFLGTPPGSCLNGRRSNGDCIVFDRGKLFRRAIRKRVSAEKQNDWITRAIRFSHFCGKNIELFRKTIRLRNGFVANGFKEPFAGSKALVSSLSPSWKEGLLLVRCSVLAAVMSGVCLLVWYGQKKAKSFVEAKLLPSVCSVLSEYIQREIDVGKVRGVSPLSITLEACSIGPHSEEFSCGEVPSMKIRVRPFASLRRGKIVIDAVLSHPSVLIAQKKDFTWLGIPISEDSLKKHLSTEEGIDYRTKSRRIAREEAAARWDRERDYDARKAAEMGYIVSERSSDQSKDDTVKEIGPSAKITSLKSFSCMDEKMHWRDHHCVDTSVDYDTNHAELEKSFGVKIPGLGLILWPKVIKGPKRSQLKKKFNRSDTSSAGVAAKRRILERSASAALAYFLGLSLKDSGDYSEASGSYDLSILNTLLVKNGDDSGAGTSVDISTAQGSFLSYNLYGEQCEKTENRCTNNNVTFGNLNFLRDPFLMTVERLSEFTKVCENFPYDGDTAGDAKTMGSKVGGGDLFYNVVNRNMDENASESERSHASPSTSIKSDPTPLPYHSVTFWPLGLNFRLPLFPDNMRKQVFNFVYGSFQKLKFVVAPKIEDIIAELVDGVDVMQTEGIGRTLPVTVDSVHFNGGTLMLLAYGDREPREMENVNGYVKFQNHYGYVHVQLSGNCKTWRSDLASEDGGWLSTDVFVNILDQKWHANLNISNLFVPLFERILEIPITWLKGRATGEVHLCMSGGDTFPSLHGQLDVTGLAFQIYDAPSCFSDISASLCFRGQRIFLHNTSGCFGSVPLEASGDFGIHPDEGEFHLMCQVPCVEVNALMKTFKMKPLLFPLAGSVTAVFNCQGPLTAPIFVGSGMVSRKISYSVFDVPSSSASEAMLKNKEAGAVAAFDRIPLSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEEDDTAVDVNFSGNLSVDKIMLRYMPGHVHLMPLKLGDLSGETKLSGSLLKPRFDIKWTAPKAEGSLSDARGDIMISPDCITVNSSSVAFDLFTKVQTSYPEEYWLNRKKFSEKISVPFIVEGVELDLRMRGFEFFNLVSSYTFDTPRPTHLKATGKIKFHGKVVKPSISSEQDFCPDGQPEKMMDNRSKQSLVGDLSVSGLRLNQLMLAPQLVGQLSISRDSIKLDATGRPDESLAVEVVQPLQSGSEENLQNGKLFSFSLQKGQLKANICLRPLHSATLKIRHLPLDELELASLRGTIQRAEIQLNFLKRRGHGILSVLRPKFSGLLGEALDVAARWSGDVITLEKTVLEQISSRYELQGEYVLPGSRDRNLSEKGRGGLLERAMTGHLGSVISSMGRWRMRLEVPQAEVAEMLPLARLLSRSTDPAVRFRSKDFFVQSLQSAGLYAESLQDLLEVIHGHYTASDDVVLEDLSLPGLAELKGRWHGSLDASGGGNGDTMAEFDFHGEDWEWGSYNTQHVVAVGAYSNDDGFRLEKIFIQKDDATIHADGTLLGPKTNLHFAVLNFPVSLVPTLVQIIESSATEAIHSLRQLLARIKGILYMEGDLRGSLAKPECDVQIRLLDGTVGGTDLGRAEVVASLTSNSRFLFNAKFEPIIQNGHVHIQGSVPVTFVQNSMSEEEKTETEQSRTPLVPGWLKERDKESTDKASEKKTFRERTEEGWDAQLAESLKGLDWNILDAGEVRIDADIKDGGMMLLTALSPYANWVNGNADITLQVRGTVEQPVVDGSASFHRASIYSPVLRKPLTNIGGTVNVESNKLCIAMLESRVSRRGKLFVKGNLPLRTSEASLGDKVDLKCEFLEVRAKNILSGQVDTQLQITGSILQPNISGNIKLSHGEAYLPHDKGSGPAPFNRLTSNQSRLPGAGINQAVASRYVSRFFGSEPAFSRTKLPLLSAKSADVEKEMEQVNIKPSLDVRLSDLKLVLGPELRIVYPLILNFAVSGELELNGQAHPKWIKPKGILTFENGDVNLVATQCSRECIRLAWICQMEASVCPTNP >KJB53947 pep chromosome:Graimondii2_0_v6:9:963786:981709:-1 gene:B456_009G011800 transcript:KJB53947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLNSPFLGTPPGSCLNGRRSNGDCIVFDRGKLFRRAIRKRVSAEKQNDWITRAIRFSHFCGKNIELFRKTIRLRNGFVANGFKEPFAGSKALVSSLSPSWKEGLLLVRCSVLAAVMSGVCLLVWYGQKKAKSFVEAKLLPSVCSVLSEYIQREIDVGKVRGVSPLSITLEACSIGPHSEEFSCGEVPSMKIRVRPFASLRRGKIVIDAVLSHPSVLIAQKKDFTWLGIPISEDSLKKHLSTEEGIDYRTKSRRIAREEAAARWDRERDYDARKAAEMGYIVSERSSDQSKDDTVKEIGPSAKITSLKSFSCMDEKMHWRDHHCVDTSVDYDTNHAELEKSFGVKIPGLGLILWPKVIKGPKRSQLKKKFNRSDTSSAGVAAKRRILERSASAALAYFLGLSLKDSGDYSEASGSYDLSILNTLLVKNGDDSGAGTSVDISTAQGSFLSYNLYGEQCEKTENRCTNNNVTFGNLNFLRDPFLMTVERLSEFTKVCENFPYDGDTAGDAKTMGSKVGGGDLFYNVVNRNMDENASESERSHASPSTSIKSDPTPLPYHSVTFWPLGLNFRLPLFPDNMRKQVFNFVYGSFQKLKFVVAPKIEDIIAELVDGVDVMQTEGIGRTLPVTVDSVHFNGGTLMLLAYGDREPREMENVNGYVKFQNHYGYVHVQLSGNCKTWRSDLASEDGGWLSTDVFVNILDQKWHANLNISNLFVPLFERILEIPITWLKGRATGEVHLCMSGGDTFPSLHGQLDVTGLAFQIYDAPSCFSDISASLCFRGQRIFLHNTSGCFGSVPLEASGDFGIHPDEGEFHLMCQVPCVEVNALMKTFKMKPLLFPLAGSVTAVFNCQGPLTAPIFVGSGMVSRKISYSVFDVPSSSASEAMLKNKEAGAVAAFDRIPLSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEEDDTAVDVNFSGNLSVDKIMLRYMPGHVHLMPLKLGDLSGETKLSGSLLKPRFDIKWTAPKAEGSLSDARGDIMISPDCITVNSSSVAFDLFTKVQTSYPEEYWLNRKKFSEKISVPFIVEGVELDLRMRGFEFFNLVSSYTFDTPRPTHLKATGKIKFHGKVVKPSISSEQDFCPDGQPEKMMDNRSKQSLVGDLSVSGLRLNQLMLAPQLVGQLSISRDSIKLDATGRPDESLAVEVVQPLQSGSEENLQNGKLFSFSLQKGQLKANICLRPLHSATLKIRHLPLDELELASLRGTIQRAEIQLNFLKRRGHGILSVLRPKFSGLLGEALDVAARWSGDVITLEKTVLEQISSRYELQGEYVLPGSRDRNLSEKGRGGLLERAMTGHLGSVISSMGRWRMRLEVPQAEVAEMLPLARLLSRSTDPAVRFRSKDFFVQSLQSAGLYAESLQDLLEVIHGHYTASDDVVLEDLSLPGLAELKGRWHGSLDASGGGNGDTMAEFDFHGEDWEWGSYNTQHVVAVGAYSNDDGFRLEKIFIQKDDATIHADGTLLGPKTNLHFAVLNFPVSLVPTLVQIIESSATEAIHSLRQLLARIKGILYMEGDLRGSLAKPECDVQIRLLDGTVGGTDLGRAEVVASLTSNSRFLFNAKFEPIIQNGHVHIQGSVPVTFVQNSMSEEEKTETEQSRTPLVPGWLKERDKESTDKASEKKTFRERTEEGWDAQLAESLKGLDWNILDAGEVRIDADIKDGGMMLLTALSPYANWVNGNADITLQVRGTVEQPVVDGSASFHRASIYSPVLRKPLTNIGGTVNVESNKLCIAMLESRVSRRGKLFVKGNLPLRTSEASLGDKVDLKCEFLEVRAKNILSGQVDTQLQITGSILQPNISGNIKLSHGEAYLPHDKGSGPAPFNRLTSNQSRLPGAGINQAVASRYVSRFFGSEPAFSRTKLPLLSAKSADVEKEMEQVNIKPSLDVRLSDLKLVLGPELRIVYPLILNFAVSGELELNGQAHPKWIKPKGILTFENGDVNLVATQVRLKREHLNLAKFEPEYGLDPMLDLALVGSEWQFRIQSRASNWQDKLVVTSTRSVEQDVLSTTEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTADPLKSLASNISFGTEVEVQLGKRLQASIVRQLKDSEMAMQWTLIYQLTSRLRVLLQSAPSKRLLFEYSATSQD >KJB53945 pep chromosome:Graimondii2_0_v6:9:963786:981709:-1 gene:B456_009G011800 transcript:KJB53945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLNSPFLGTPPGSCLNGRRSNGDCIVFDRGKLFRRAIRKRVSAEKQNDWITRAIRFSHFCGKNIELFRKTIRLRNGFVANGFKEPFAGSKALVSSLSPSWKEGLLLVRCSVLAAVMSGVCLLVWYGQKKAKSFVEAKLLPSVCSVLSEYIQREIDVGKVRGVSPLSITLEACSIGPHSEEFSCGEVPSMKIRVRPFASLRRGKIVIDAVLSHPSVLIAQKKDFTWLGIPISEDSLKKHLSTEEGIDYRTKSRRIAREEAAARWDRERDYDARKAAEMGYIVSERSSDQSKDDTVKEIGPSAKITSLKSFSCMDEKMHWRDHHCVDTSVDYDTNHAELEKSFGVKIPGLGLILWPKVIKGPKRSQLKKKFNRSDTSSAGVAAKRRILERSASAALAYFLGLSLKDSGDYSEASGSYDLSILNTLLVKNGDDSGAGTSVDISTAQGSFLSYNLYGEQCEKTENRCTNNNVTFGNLNFLRDPFLMTVERLSEFTKVCENFPYDGDTAGDAKTMGSKVGGGDLFYNVVNRNMDENASESERSHASPSTSIKSDPTPLPYHSVTFWPLGLNFRLPLFPDNMRKQVFNFVYGSFQKLKFVVAPKIEDIIAELVDGVDVMQTEGIGRTLPVTVDSVHFNGGTLMLLAYGDREPREMENVNGYVKFQNHYGYVHVQLSGNCKTWRSDLASEDGGWLSTDVFVNILDQKWHANLNISNLFVPLFERILEIPITWLKGRATGEVHLCMSGGDTFPSLHGQLDVTGLAFQIYDAPSCFSDISASLCFRGQRIFLHNTSGCFGSVPLEASGDFGIHPDEGEFHLMCQVPCVEVNALMKTFKMKPLLFPLAGSVTAVFNCQGPLTAPIFVGSGMVSRKISYSVFDVPSSSASEAMLKNKEAGAVAAFDRIPLSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEEDDTAVDVNFSGNLSVDKIMLRYMPGHVHLMPLKLGDLSGETKLSGSLLKPRFDIKWTAPKAEGSLSDARGDIMISPDCITVNSSSVAFDLFTKVQTSYPEEYWLNRKKFSEKISVPFIVEGVELDLRMRGFEFFNLVSSYTFDTPRPTHLKATGKIKFHGKVVKPSISSEQDFCPDGQPEKMMDNRSKQSLVGDLSVSGLRLNQLMLAPQLVGQLSISRDSIKLDATGRPDESLAVEVVQPLQSGSEENLQNGKLFSFSLQKGQLKANICLRPLHSATLKIRHLPLDELELASLRGTIQRAEIQLNFLKRRGHGILSVLRPKFSGLLGEALDVAARWSGDVITLEKTVLEQISSRYELQGEYVLPGSRDRNLSEKGRGGLLERAMTGHLGSVISSMGRWRMRLEVPQAEVAEMLPLARLLSRSTDPAVRFRSKDFFVQSLQSAGLYAESLQDLLEVIHGHYTASDDVVLEDLSLPGLAELKGRWHGSLDASGGGNGDTMAEFDFHGEDWEWGSYNTQHVVAVGAYSNDDGFRLEKIFIQKDDATIHADGTLLGPKTNLHFAVLNFPVSLVPTLVQIIESSATEAIHSLRQLLARIKGILYMEGDLRGSLAKPECDVQIRLLDGTVGGTDLGRAEVVASLTSNSRFLFNAKFEPIIQNGHVHIQGSVPVTFVQNSMSEEEKTETEQSRTPLVPGWLKERDKESTDKASEKKTFRERTEEGWDAQLAESLKGLDWNILDAGEVRIDADIKDGGMMLLTALSPYANWVNGNADITLQVRGTVEQPVVDGSASFHRASIYSPVLRKPLTNIGGTVNVESNKLCIAMLESRVSRRGKLFVKGNLPLRTSEASLGDKVDLKCEFLEVRAKNILSGQVDTQLQITGSILQPNISGNIKLSHGEAYLPHDKGSGPAPFNRLTSNQSRLPGAGINQAVASRYVSRFFGSEPAFSRTKLPLLSAKSADVEKEMEQVNIKPSLDVRLSDLKLVLGPELRIVYPLILNFAVSGELELNGQAHPKWIKPKGILTFENGDVNLVATQASIVRQLKDSEMAMQWTLIYQLTSRLRVLLQSAPSKRLLFEYSATSQD >KJB53942 pep chromosome:Graimondii2_0_v6:9:964526:981257:-1 gene:B456_009G011800 transcript:KJB53942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLNSPFLGTPPGSCLNGRRSNGDCIVFDRGKLFRRAIRKRVSAEKQNDWITRAIRFSHFCGKNIELFRKTIRLRNGFVANGFKEPFAGSKALVSSLSPSWKEGLLLVRCSVLAAVMSGVCLLVWYGQKKAKSFVEAKLLPSVCSVLSEYIQREIDVGKVRGVSPLSITLEACSIGPHSEEFSCGEVPSMKIRVRPFASLRRGKIVIDAVLSHPSVLIAQKKDFTWLGIPISEDSLKKHLSTEEGIDYRTKSRRIAREEAAARWDRERDYDARKAAEMGYIVSERSSDQSKDDTVKEIGPSAKITSLKSFSCMDEKMHWRDHHCVDTSVDYDTNHAELEKSFGVKIPGLGLILWPKVIKGPKRSQLKKKFNRSDTSSAGVAAKRRILERSASAALAYFLGLSLKDSGDYSEASGSYDLSILNTLLVKNGDDSGAGTSVDISTAQGSFLSYNLYGEQCEKTENRCTNNNVTFGNLNFLRDPFLMTVERLSEFTKVCENFPYDGDTAGDAKTMGSKVGGGDLFYNVVNRNMDENASESERSHASPSTSIKSDPTPLPYHSVTFWPLGLNFRLPLFPDNMRKQVFNFVYGSFQKLKFVVAPKIEDIIAELVDGVDVMQTEGIGRTLPVTVDSVHFNGGTLMLLAYGDREPREMENVNGYVKFQNHYGYVHVQLSGNCKTWRSDLASEDGGWLSTDVFVNILDQKWHANLNISNLFVPLFERILEIPITWLKGRATGEVHLCMSGGDTFPSLHGQLDVTGLAFQIYDAPSCFSDISASLCFRGQRIFLHNTSGCFGSVPLEASGDFGIHPDEGEFHLMCQVPCVEVNALMKTFKMKPLLFPLAGSVTAVFNCQGPLTAPIFVGSGMVSRKISYSVFDVPSSSASEAMLKNKEAGAVAAFDRIPLSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEEDDTAVDVNFSGNLSVDKIMLRYMPGHVHLMPLKLGDLSGETKLSGSLLKPRFDIKWTAPKAEGSLSDARGDIMISPDCITVNSSSVAFDLFTKVQTSYPEEYWLNRKKFSEKISVPFIVEGVELDLRMRGFEFFNLVSSYTFDTPRPTHLKATGKIKFHGKVVKPSISSEQDFCPDGQPEKMMDNRSKQSLVGDLSVSGLRLNQLMLAPQLVGQLSISRDSIKLDATGRPDESLAVEVVQPLQSGSEENLQNGKLFSFSLQKGQLKANICLRPLHSATLKIRHLPLDELELASLRGTIQRAEIQLNFLKRRGHGILSVLRPKFSGLLGEALDVAARWSGDVITLEKTVLEQISSRYELQGEYVLPGSRDRNLSEKGRGGLLERAMTGHLGSVISSMGRWRMRLEVPQAEVAEMLPLARLLSRSTDPAVRFRSKDFFVQSLQSAGLYAESLQDLLEVIHGHYTASDDVVLEDLSLPGLAELKGRWHGSLDASGGGNGDTMAEFDFHGEDWEWGSYNTQHVVAVGAYSNDDGFRLEKIFIQKDDATIHADGTLLGPKTNLHFAVLNFPVSLVPTLVQIIESSATEAIHSLRQLLARIKGILYMEGDLRGSLAKPECDVQIRLLDGTVGGTDLGRAEVVASLTSNSRFLFNAKFEPIIQNGHVHIQGSVPVTFVQNSMSEEEKTETEQSRTPLVPGWLKERDKESTDKASEKKTFRERTEEGWDAQLAESLKGLDWNILDAGEVRIDADIKDGGMMLLTALSPYANWVNGNADITLQVRGTVEQPVVDGSASFHRASIYSPVLRKPLTNIGGTVNVESNKLCIAMLESRVSRRGKLFVKGNLPLRTSEASLGDKVDLKCEFLEVRAKNILSGQVDTQLQITGSILQPNISGNIKLSHGEAYLPHDKGSGPAPFNRLTSNQSRLPGAGINQAVASRYVSRFFGSEPAFSRTKLPLLSAKSADVEKEMEQVNIKPSLDVRLSDLKLVLGPELRIVYPLILNFAVSGELELNGQAHPKWIKPKGILTFENGDVNLVATQVRLKREHLNLAKFEPEYGLDPMLDLALVGSEWQFRIQSRASNWQDKLVVTSTRSVEQDVLSTTEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTADPLKSLASNISFGTEVEVQLGKRLQVNNIPSSLIIFSPQVNITEGALPSFK >KJB53944 pep chromosome:Graimondii2_0_v6:9:963786:981257:-1 gene:B456_009G011800 transcript:KJB53944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLNSPFLGTPPGSCLNGRRSNGDCIVFDRGKLFRRAIRKRVSAEKQNDWITRAIRFSHFCGKNIELFRKTIRLRNGFVANGFKEPFAGSKALVSSLSPSWKEGLLLVRCSVLAAVMSGVCLLVWYGQKKAKSFVEAKLLPSVCSVLSEYIQREIDVGKVRGVSPLSITLEACSIGPHSEEFSCGEVPSMKIRVRPFASLRRGKIVIDAVLSHPSVLIAQKKDFTWLGIPISEDSLKKHLSTEEGIDYRTKSRRIAREEAAARWDRERDYDARKAAEMGYIVSERSSDQSKDDTVKEIGPSAKITSLKSFSCMDEKMHWRDHHCVDTSVDYDTNHAELEKSFGVKIPGLGLILWPKVIKGPKRSQLKKKFNRSDTSSAGVAAKRRILERSASAALAYFLGLSLKDSGDYSEASGSYDLSILNTLLVKNGDDSGAGTSVDISTAQGSFLSYNLYGEQCEKTENRCTNNNVTFGNLNFLRDPFLMTVERLSEFTKVCENFPYDGDTAGDAKTMGSKVGGGDLFYNVVNRNMDENASESERSHASPSTSIKSDPTPLPYHSVTFWPLGLNFRLPLFPDNMRKQVFNFVYGSFQKLKFVVAPKIEDIIAELVDGVDVMQTEGIGRTLPVTVDSVHFNGGTLMLLAYGDREPREMENVNGYVKFQNHYGYVHVQLSGNCKTWRSDLASEDGGWLSTDVFVNILDQKWHANLNISNLFVPLFERILEIPITWLKGRATGEVHLCMSGGDTFPSLHGQLDVTGLAFQIYDAPSCFSDISASLCFRGQRIFLHNTSGCFGSVPLEASGDFGIHPDEGEFHLMCQVPCVEVNALMKTFKMKPLLFPLAGSVTAVFNCQGPLTAPIFVGSGMVSRKISYSVFDVPSSSASEAMLKNKEAGAVAAFDRIPLSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEEDDTAVDVNFSGNLSVDKIMLRYMPGHVHLMPLKLGDLSGETKLSGSLLKPRFDIKWTAPKAEGSLSDARGDIMISPDCITVNSSSVAFDLFTKVQTSYPEEYWLNRKKFSEKISVPFIVEGVELDLRMRGFEFFNLVSSYTFDTPRPTHLKATGKIKFHGKVVKPSISSEQDFCPDGQPEKMMDNRSKQSLVGDLSVSGLRLNQLMLAPQLVGQLSISRDSIKLDATGRPDESLAVEVVQPLQSGSEENLQNGKLFSFSLQKGQLKANICLRPLHSATLKIRHLPLDELELASLRGTIQRAEIQLNFLKRRGHGILSVLRPKFSGLLGEALDVAARWSGDVITLEKTVLEQISSRYELQGEYVLPGSRDRNLSEKGRGGLLERAMTGHLGSVISSMGRWRMRLEVPQAEVAEMLPLARLLSRSTDPAVRFRSKDFFVQSLQSAGLYAESLQDLLEVIHGHYTASDDVVLEDLSLPGLAELKGRWHGSLDASGGGNGDTMAEFDFHGEDWEWGSYNTQHVVAVGAYSNDDGFRLEKIFIQKDDATIHADGTLLGPKTNLHFAVLNFPVSLVPTLVQIIESSATEAIHSLRQLLARIKGILYMEGDLRGSLAKPECDVQIRLLDGTVGGTDLGRAEVVASLTSNSRFLFNAKFEPIIQNGHVHIQGSVPVTFVQNSMSEEEKTETEQSRTPLVPGWLKERDKESTDKASEKKTFRERTEEGWDAQLAESLKGLDWNILDAGEVRIDADIKDGGMMLLTALSPYANWVNGNADITLQVRGTVEQPVVDGSASFHRASIYSPVLRKPLTNIGGTVNVESNKLCIAMLESRVSRRGKLFVKGNLPLRTSEASLGDKVDLKCEFLEVRAKNILSGQVDTQLQITGSILQPNISGNIKLSHGEAYLPHDKGSGPAPFNRLTSNQSRLPGAGINQAVASRYVSRFFGSEPAFSRTKLPLLSAKSADVEKEMEQVNIKPSLDVRLSDLKLVLGPELRIVYPLILNFAVSGELELNGQAHPKWIKPKGILTFENGDVNLVATQAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTADPLKSLASNISFGTEVEVQLGKRLQASIVRQLKDSEMAMQWTLIYQLTSRLRVLLQSAPSKRLLFEYSATSQD >KJB53943 pep chromosome:Graimondii2_0_v6:9:964526:981257:-1 gene:B456_009G011800 transcript:KJB53943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLNSPFLGTPPGSCLNGRRSNGDCIVFDRGKLFRRAIRKRVSAEKQNDWITRAIRFSHFCGKNIELFRKTIRLRNGFVANGFKEPFAGSKALVSSLSPSWKEGLLLVRCSVLAAVMSGVCLLVWYGQKKAKSFVEAKLLPSVCSVLSEYIQREIDVGKVRGVSPLSITLEACSIGPHSEEFSCGEVPSMKIRVRPFASLRRGKIVIDAVLSHPSVLIAQKKDFTWLGIPISEDSLKKHLSTEEGIDYRTKSRRIAREEAAARWDRERDYDARKAAEMGYIVSERSSDQSKDDTVKEIGPSAKITSLKSFSCMDEKMHWRDHHCVDTSVDYDTNHAELEKSFGVKIPGLGLILWPKVIKGPKRSQLKKKFNRSDTSSAGVAAKRRILERSASAALAYFLGLSLKDSGDYSEASGSYDLSILNTLLVKNGDDSGAGTSVDISTAQGSFLSYNLYGEQCEKTENRCTNNNVTFGNLNFLRDPFLMTVERLSEFTKVCENFPYDGDTAGDAKTMGSKVGGGDLFYNVVNRNMDENASESERSHASPSTSIKSDPTPLPYHSVTFWPLGLNFRLPLFPDNMRKQVFNFVYGSFQKLKFVVAPKIEDIIAELVDGVDVMQTEGIGRTLPVTVDSVHFNGGTLMLLAYGDREPREMENVNGYVKFQNHYGYVHVQLSGNCKTWRSDLASEDGGWLSTDVFVNILDQKWHANLNISNLFVPLFERILEIPITWLKGRATGEVHLCMSGGDTFPSLHGQLDVTGLAFQIYDAPSCFSDISASLCFRGQRIFLHNTSGCFGSVPLEASGDFGIHPDEGEFHLMCQVPCVEVNALMKTFKMKPLLFPLAGSVTAVFNCQGPLTAPIFVGSGMVSRKISYSVFDVPSSSASEAMLKNKEAGAVAAFDRIPLSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEEDDTAVDVNFSGNLSVDKIMLRYMPGHVHLMPLKLGDLSGETKLSGSLLKPRFDIKWTAPKAEGSLSDARGDIMISPDCITVNSSSVAFDLFTKVQTSYPEEYWLNRKKFSEKISVPFIVEGVELDLRMRGFEFFNLVSSYTFDTPRPTHLKATGKIKFHGKVVKPSISSEQDFCPDGQPEKMMDNRSKQSLVGDLSVSGLRLNQLMLAPQLVGQLSISRDSIKLDATGRPDESLAVEVVQPLQSGSEENLQNGKLFSFSLQKGQLKANICLRPLHSATLKIRHLPLDELELASLRGTIQRAEIQLNFLKRRGHGILSVLRPKFSGLLGEALDVAARWSGDVITLEKTVLEQISSRYELQGEYVLPGSRDRNLSEKGRGGLLERAMTGHLGSVISSMGRWRMRLEVPQAEVAEMLPLARLLSRSTDPAVRFRSKDFFVQSLQSAGLYAESLQDLLEVIHGHYTASDDVVLEDLSLPGLAELKGRWHGSLDASGGGNGDTMAEFDFHGEDWEWGSYNTQHVVAVGAYSNDDGFRLEKIFIQKDDATIHADGTLLGPKTNLHFAVLNFPVSLVPTLVQIIESSATEAIHSLRQLLARIKGILYMEGDLRGSLAKPECDVQIRLLDGTVGGTDLGRAEVVASLTSNSRFLFNAKFEPIIQNGHVHIQGSVPVTFVQNSMSEEEKTETEQSRTPLVPGWLKERDKESTDKASEKKTFRERTEEGWDAQLAESLKGLDWNILDAGEVRIDADIKDGGMMLLTALSPYANWVNGNADITLQVRGTVEQPVVDGSASFHRASIYSPVLRKPLTNIGGTVNVESNKLCIAMLESRVSRRGKLFVKGNLPLRTSEASLGDKVDLKCEFLEVRAKNILSGQVDTQLQITGSILQPNISGNIKLSHGEAYLPHDKGSGPAPFNRLTSNQSRLPGAGINQAVASRYVSRFFGSEPAFSRTKLPLLSAKSADVEKEMEQVNIKPSLDVRLSDLKLVLGPELRIVYPLILNFAVSGELELNGQAHPKWIKPKGILTFENGDVNLVATQAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTADPLKSLASNISFGTEVEVQLGKRLQVNNIPSSLIIFSPQVNITEGALPSFK >KJB54233 pep chromosome:Graimondii2_0_v6:9:2011400:2017367:-1 gene:B456_009G026500 transcript:KJB54233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTATTSTQILHHTTSFTFEILSQSDLRRQIFSTLRCKLLPSDQITLKPLKLAAETLENAISTSNTAIQSSSLRLAEKLLVSYPETTFSSFLLSLIYALSNQPINSSISLLQVFYLDPSVARSELAPTLFEDLFLVHFLPVLQRFNEQRSTILSSLSQNANHDTDDYSICDVSVVVPCSKLLSKMSGDQALELKELERNYEEVLDENCRVFVKYFKEVLANNDENRSINPPDLVFKQSEKSEEVDYREEDDDNVKAKELGLKNGRYNPIWAEGERSVEFSRSSSKSKSPPFYPQRVSVNVLKRQNSRTLITSPTSISDSEMESLSEDNSPNSCSSESDADIEENDKESALLEHGNSPTRKQKQPVFADSSRSPHYLMADNGNPPGSGKHTPPKDFVCPITSHLFDDPVTLETGQTYERRAIREWLDRGNSTCPITRQSLHSTQLPKTNYVLKRLIASWQEKNPGATPPHQSQNLQVVETDHHEPMVKPVVPPSTSPNSVISQANMDRTINELRQAITSLCMSEILKESERAVLQIERFWQDMSIEPDILTMLSKPAVINGFVEILFNSVDLQVLKATFFLLCELGLRDETVIHTLTRVDSDVQRIVGLFKQGLEEAIVLIYLLRPSINGLVEMDVVESLLSVIKGRADDLLKMCLKPKTASILLLRQILQSNEENVVASIISTIVSSKVIERIVGSLEAEWAVERIAAVGILRRCIQQDGKCRNTVADNAQLAPVLENFMATSDEERFEIVYFLSELVKLNRRTFNEQILNIIRDEGSFSTMHALLVYLQTTLQDQSPIVAGLLLQLDLLVEPRKMSIYREEAIDTLISCLRNSEFPASQIAAAETIVSLQGRFTTSGKPLARPFLLKRAGLEKNYRKLMRMEQLHNNPGDFEDISEEEKAADAWERKTAFVLVSHEFGLVFEALAEGLKSKSAKLCSTCFVAATWLVYMLGVIPDTGIRGAARVCLLKHFISTFKSSKDIEDRTLSLLALKSFIHDPEGLRDMSSYMKHILKGLRELRKSSSLALEIMKLLSDGQDSSAELWNHKELAQVDSAENGEVLSIVSFKDKFFSGHSDGTIKVWAGRGSILHLVQEIREHTKAITSLYVLQSGERLYSGSLDKTTRVWSIGNEQIHCVQVHDMKDQIHNLVVANSISCFIPQGAGVKVHAWNGQSKVLNQNKYIKCLALDHGRLYCGCHDNSIQELDLASGTLSTIQSGSRKLLSKAHPIHALQVHNGLVYSASTALDGVAVKIWSTTNYNMVGSLPTTSEVRSMVISSELIYLGCKGGIVEVWDLKKQTRIEILQTGTNGKVLCMSLDPNEEVLVTGTSEGRIQAWGWS >KJB54232 pep chromosome:Graimondii2_0_v6:9:2011287:2017678:-1 gene:B456_009G026500 transcript:KJB54232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISRKMTKKVHCWNMEIVRHGNKSSLSLLIPVGQTYERRAIREWLDRGNSTCPITRQSLHSTQLPKTNYVLKRLIASWQEKNPGATPPHQSQNLQVVETDHHEPMVKPVVPPSTSPNSVISQANMDRTINELRQAITSLCMSEILKESERAVLQIERFWQDMSIEPDILTMLSKPAVINGFVEILFNSVDLQVLKATFFLLCELGLRDETVIHTLTRVDSDVQRIVGLFKQGLEEAIVLIYLLRPSINGLVEMDVVESLLSVIKGRADDLLKMCLKPKTASILLLRQILQSNEENVVASIISTIVSSKVIERIVGSLEAEWAVERIAAVGILRRCIQQDGKCRNTVADNAQLAPVLENFMATSDEERFEIVYFLSELVKLNRRTFNEQILNIIRDEGSFSTMHALLVYLQTTLQDQSPIVAGLLLQLDLLVEPRKMSIYREEAIDTLISCLRNSEFPASQIAAAETIVSLQGRFTTSGKPLARPFLLKRAGLEKNYRKLMRMEQLHNNPGDFEDISEEEKAADAWERKTAFVLVSHEFGLVFEALAEGLKSKSAKLCSTCFVAATWLVYMLGVIPDTGIRGAARVCLLKHFISTFKSSKDIEDRTLSLLALKSFIHDPEGLRDMSSYMKHILKGLRELRKSSSLALEIMKLLSDGQDSSAELWNHKELAQVDSAENGEVLSIVSFKDKFFSGHSDGTIKVWAGRGSILHLVQEIREHTKAITSLYVLQSGERLYSGSLDKTTRVWSIGNEQIHCVQVHDMKDQIHNLVVANSISCFIPQGAGVKVHAWNGQSKVLNQNKYIKCLALDHGRLYCGCHDNSIQELDLASGTLSTIQSGSRKLLSKAHPIHALQVHNGLVYSASTALDGVAVKIWSTTNYNMVGSLPTTSEVRSMVISSELIYLGCKGGIVEVWDLKKQTRIEILQTGTNGKVLCMSLDPNEEVLVTGTSEGRIQAWGWS >KJB58977 pep chromosome:Graimondii2_0_v6:9:18446066:18451785:-1 gene:B456_009G2338001 transcript:KJB58977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSSFISKSNGTKFPSFASANATLSSFRASSHFQMGLKWSRIAFSSSSGQRLSSKARSRVKHRTANVYGRQARERVLEEEAPEMAEATPSFKIFPGQAFPLGASEVDNGINFAIFSQHATAVTLCLSLPQRGELDMLAGGMIEFSLDPNLNKTGDIWHICIEDLPRSNVLYGYRIDGPKDWDKGHRFDSNNVLIDPYAKLVEGRRNFGDAKHKLSKFLGTYDFDSLPFDWGEDYKLPNIPERELVVYEMNVRAFTADESSCLDQDIRGTYAGLIEKIPHLLELGINAVELLPVFEFDEFEFQRRPNPRDHMINTWGYSTINFFAPMSRYGSGGGGAVSASREFKEMVKALHGAGIEVILDVVYNHTNEADDKHPYTTSFRGIDNKVYYMVDLNNKGQLLNFSGCGNTFNCNHPVVMELIIDSLRHWVVEYHIDGFRFDLASVLCRGTDGSPLGAPPLIR >KJB58976 pep chromosome:Graimondii2_0_v6:9:18446066:18452290:-1 gene:B456_009G2338001 transcript:KJB58976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSSFISKSNGTKFPSFASANATLSSFRASSHFQMGLKWSRIAFSSSSGQRLSSKARSRVKHRTANVYGRQARERVLEEEAPEMAEATPSFKIFPGQAFPLGASEVDNGINFAIFSQHATAVTLCLSLPQRGELDMLAGGMIEFSLDPNLNKTGDIWHICIEDLPRSNVLYGYRIDGPKDWDKGHRFDSNNVLIDPYAKLVEGRRNFGDAKHKLSKFLGTYDFDSLPFDWGEDYKLPNIPERELVVYEMNVRAFTADESSCLDQDIRGTYAGLIEKIPHLLELGINAVELLPVFEFDEFEFQRRPNPRDHMINTWGYSTINFFAPMSRYGSGGGGAVSASREFKEMVKALHGAGIEVILDVVYNHTNEADDKHPYTTSFRGIDNKVYYMVDLNNKGQLLNFSGCGNTFNCNHPVVMELIIDSLRHWVVEYHIDGFRFDLASVLCRGTDGSPLGAPPLIR >KJB58978 pep chromosome:Graimondii2_0_v6:9:18446066:18451898:-1 gene:B456_009G2338001 transcript:KJB58978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSSFISKSNGTKFPSFASANATLSSFRASSHFQMGLKWSRIAFSSSSGQRLSSKARSRVKHRTANVYGRQARERVLEEEAPEMAEATPSFKIFPGQAFPLGASEVDNGINFAIFSQHATAVTLCLSLPQRGELDMLAGGMIEFSLDPNLNKTGDIWHICIEDLPRSNVLYGYRIDGPKDWDKGHRFDSNNVLIDPYAKLVEGRRNFGDAKHKLSKFLGTYDFDSLPFDWGEDYKLPNIPERELVVYEMNVRAFTADESSCLDQDIRGTYAGLIEKIPHLLELGINAVELLPVFEFDEFEFQRRPNPRDHMINTWGYSTINFFAPMSRYGSGGGGAVSASREFKEMVKALHGAGIEVILDVVYNHTNEADDKHPYTTSFRGIDNKVYYMVDLNNKGQLLNFSGCGNTFNCNHPVVMELIIDSLRHWVVEYHIDGFRFDLASVLCRGTDGSPLGAPPLIR >KJB61818 pep chromosome:Graimondii2_0_v6:9:52712560:52718082:1 gene:B456_009G389800 transcript:KJB61818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MSVCSSSSSSSSSSSTGKAWIVHSILAGTAIAVAIGARAYLGRARKFRTRVVGIIPARYASSRFEGKPLVHILGKPMIQRTWERSKLATTLDHLVVATDDEKIAACCRGFGADVIMTSESCQNGTERCNEALEKLEKKYDIVVNIQGDEPLIEPEIIDGIVKALQAAPDAVFSTAVTSLKPEDAFDPNRVKCVVDNRGYAIYFSRGLIPFNKSAKVNPQFPYMLHLGIQSYDSKFLQIYPKLQPTPLQLEEDLEQLKVLENGYKMKVIKVEHEAHGVDTPEDVEKIESLMRERNLS >KJB53887 pep chromosome:Graimondii2_0_v6:9:803430:805684:1 gene:B456_009G009900 transcript:KJB53887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKGGSKGKEVSGVSRPKVDQLSHGVAEMNMDSSQDDGEWEVIQRKPKNTGGSSGAKPWGSQNSNPKPWNGGMRGNAGPGRGTGGNAWANHGADSRIASGRGNSRPQIVNKSLDNHQVPSNPVIRPPLEHGWNWQSRTGSNPSKGFQDGYEKDNVNAEAEKDNDVDDIEDDSDDVAADDSDNELLTDEFDSDSSQKSHETRKKNRWFKKFFDSLDKLRVEEINDPARQWHCPACQGGPGAIDWYRGLQPLGTHAKTKGSKRVKLHRELAELLDEELRRRGTSVIPAGEAFGKWRGLKDDEKDHEIVWPPMVIIMNTRLQQDDNDKWIGIGNQELLDYFSSYAAVKARHSYGPQGHRGMSLLIFESTARGYLEAERLHKHFAEQGTDREAWEHRQVLFYPGGTRQLYGYMANKEDLDIFNQHSQGSLLLKTMVICMQG >KJB53889 pep chromosome:Graimondii2_0_v6:9:803430:806612:1 gene:B456_009G009900 transcript:KJB53889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKGGSKGKEVSGVSRPKVDQLSHGVAEMNMDSSQDDGEWEVIQRKPKNTGGSSGAKPWGSQNSNPKPWNGGMRGNAGPGRGTGGNAWANHGADSRIASGRGNSRPQIVNKSLDNHQVPSNPVIRPPLEHGWNWQSRTGSNPSKGFQDGYEKDNVNAEAEKDNDVDDIEDDSDDVAADDSDNELLTDEFDSDSSQKSHETRKKNRWFKKFFDSLDKLRVEEINDPARQWHCPACQGGPGAIDWYRGLQPLGTHAKTKGSKRVKLHRELAELLDEELRRRGTSVIPAGEAFGKWRGLKDDEKDHEIVWPPMVIIMNTRLQQDDNDKWIGIGNQELLDYFSSYAAVKARHSYGPQGHRGMSLLIFESTARGYLEAERLHKHFAEQGTDREAWEHRQVLFYPGGTRQLYGYMANKEDLDIFNQHSQGKSKLKFEMRSYQEMVVNQIRQMSEANQELIFYKNKFAIQQRLKTALEESFGVVSEKLRKTMEENRIVRQRTKMQHEQNKEEMDFQEQFFKEQIKFIHEARDEEEEKFEKLQQQQREKFVKQSTPNTSNTEEYRRRADEIAKFIKLQDEEMEAFVSERDKLITVHKEKMAAMRQRHWQEEVELEKEFDTELSRLMEKYTPTMPGMDKYIY >KJB53888 pep chromosome:Graimondii2_0_v6:9:803430:806578:1 gene:B456_009G009900 transcript:KJB53888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKGGSKGKEVSGVSRPKVDQLSHGVAEMNMDSSQDDGEWEVIQRKPKNTGGSSGAKPWGSQNSNPKPWNGGMRGNAGPGRGTGGNAWANHGADSRIASGRGNSRPQIVNKSLDNHQVPSNPVIRPPLEHGWNWQSRTGSNPSKGFQDGYEKDNVNAEAEKDNDVDDIEDDSDDVAADDSDNELLTDEFDSDSSQKSHETRKKNRWFKKFFDSLDKLRVEEINDPARQWHCPACQGGPGAIDWYRGLQPLGTHAKTKGSKRVKLHRELAELLDEELRRRGTSVIPAGEAFGKWRGLKDDEKDHEIVWPPMVIIMNTRLQQDDNDKWIGIGNQELLDYFSSYAAVKARHSYGPQGHRGMSLLIFESTARGYLEAERLHKHFAEQGTDREAWEHRQVLFYPGGTRQLYGYMANKEDLDIFNQHSQGKSKLKFEMRSYQEMVVNQIRQMSEFDSIAYKT >KJB60135 pep chromosome:Graimondii2_0_v6:9:25133131:25134467:1 gene:B456_009G291300 transcript:KJB60135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNSKPQSPAQSSANIKLILISAFFLLFLLLVFSTTSSTRQQQEPSPSSSISESHIANSTTACPSLPLTPSCTKAPPSLANALIHYATTNITPQQTFKEISVSARVLEKKAPCNFLVFGLGHDSLMWAALNHGGRTVFLEEDKAWIEQVKQKLAGLESYHVEYDTKVHQADALLETGMKEKCKVVSDPRSSDCELALKGFPSEIYEIEWDLIMVDAPTGFHDDAPGRMNAIYTAGLIARNRAEGETDVFVHDVNRVVEDKFSKAFLCEGYLREQQGLLRHFTIPSHRARSGRPFCP >KJB54575 pep chromosome:Graimondii2_0_v6:9:2895570:2896675:-1 gene:B456_009G039400 transcript:KJB54575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFVEDPGLIVTHLLYKTAVVLAVLRWVWSWALRFKDTSLFSFIFSSDSQNNTSSSLVSSQMIRDSLVLTTFEDAKERVPWVSDTCAVCLNQLKEGDEVRELRNCCHVFHKDCIDRWVDYDEDQDHDHHDYDHDQDDSNNHKTCPLCRAPLLTSSQCSVWPKNEPSWAVERLLYLFGDDLLP >KJB59093 pep chromosome:Graimondii2_0_v6:9:19026674:19032969:-1 gene:B456_009G238900 transcript:KJB59093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGDRGFKAMFFLIKILFNLFLSCSIMERFEDDDLQYVVDDYYDVNDFNNDGAVVEPEPQRDAAGLESFDSDFEDDIESSKPKTDTSAEEARNGKDIQGIPWERLNFTRERYRQTRVREYKNYENLYGSREEMEKECLQVEKGKAFYDFQFNTRLVKSTIVHFQLRNLLWATSKHDVYLMENYSVMHWSSLLHKGEEVLNVAKPIVPNLKHPGLLSQQLSRVQISTMVVKENLMVAGGFQGELICKYLNQSGAAFCTKLTTDDNAITNAVDVFNNPSGALRVMAANNDAKIRIFDAETFASINRYSFNWSVNNTSVSPDGKLLAVLGDSVECLIVDAQSGKVTNSLKGHLDYSFASAWHPDGHILATGNQDTSCRLWDIRNLSKSLAILKGRMGAIRGVKFTSDGRFLAIAEPADFVHIFDTKLGYVNCQEIDIFGEIAGVSFSPDTETLFVGVADRTYGSLLAYNRRRYNQYLDSML >KJB59057 pep chromosome:Graimondii2_0_v6:9:18695302:18700118:-1 gene:B456_009G236700 transcript:KJB59057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSACSIVWFRRDLRVEDNPALAAGVRAGAVVAVFVWAPEEEGHYYPGRVSRWWLKHSLAHLDSSLRSLGTCLITKRSSDSVSSLLEVVKSTGATQLFFNHLYDPISLVRDHRAKEVLTAHGVAVRSFNADLLYEPWDVNDAQGRPFTTFSSFWERCLSMPYDPEAPLLPPKRIISGDVTTCHSDELVFEDESEKGSNALLARAWSPGWSNADKALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREFSRYLSFNHPYSHERPLLGHLKFFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLLDGREFDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTDWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKDRLQEALSVMWQQEAASRAAIENGTEEGLGDSSESVPFAFPQDIQMEENVEPARNNAPATTRRYEDQMVPSMTSSLVRGIHEEASSDLRTSTEDSRAEVPRNVNIHQEPQRDSLNQGIQLTARNNNTFRQFNLPMGLGNAEDSTADSSTSSSRRERDGGVVPVWSPPASSYSEQFIGDENGIGTSSSYLPRHPQSHQIVNWRRLSQTGENY >KJB59059 pep chromosome:Graimondii2_0_v6:9:18696628:18699893:-1 gene:B456_009G236700 transcript:KJB59059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSACSIVWFRRDLRVEDNPALAAGVRAGAVVAVFVWAPEEEGHYYPGRVSRWWLKHSLAHLDSSLRSLGTCLITKRSSDSVSSLLEVVKSTGATQLFFNHLYDPISLVRDHRAKEVLTAHGVAVRSFNADLLYEPWDVNDAQGRPFTTFSSFWERCLSMPYDPEAPLLPPKRIISGDVTTCHSDELVFEDESEKGSNALLARAWSPGWSNADKALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREFSRYLSFNHPYSHERPLLGHLKFFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLLDGREFDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTDWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKDRLQEALSVMWQQEAASRAAIENGTEEGLGDSSESVPFAFPQDIQMEENVEPARNNAPATTRRYEDQMVPSMTSSLVRGIHEEASSDLRTSTEDSRAEVPRNVNIHQEPQRDSLNQGIQLTARNNNTFRQFNLPMGLGNAEDSTADSSTSSSRRERDGGVVPVWSPPASSYSEQFIGDENGIGTSSSYLPRHPQSHQIVNWRRLSQTG >KJB59060 pep chromosome:Graimondii2_0_v6:9:18695916:18699940:-1 gene:B456_009G236700 transcript:KJB59060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYDPEAPLLPPKRIISGDVTTCHSDELVFEDESEKGSNALLARAWSPGWSNADKALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREFSRYLSFNHPYSHERPLLGHLKFFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLLDGREFDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTDWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKDRLQEALSVMWQQEAASRAAIENGTEEGLGDSSESVPFAFPQDIQMEENVEPARNNAPATTRRYEDQMVPSMTSSLVRGIHEEASSDLRTSTEDSRAEVPRNVNIHQEPQRDSLNQGIQLTARNNNTFRQFNLPMGLGNAEDSTADSSTSSSRRERDGGVVPVWSPPASSYSEQFIGDENGIGTSSSYLPRHPQSHQIVNWRRLSQTG >KJB59058 pep chromosome:Graimondii2_0_v6:9:18695909:18700256:-1 gene:B456_009G236700 transcript:KJB59058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSACSIVWFRRDLRVEDNPALAAGVRAGAVVAVFVWAPEEEGHYYPGRVSRWWLKHSLAHLDSSLRSLGTCLITKRSSDSVSSLLEVVKSTGATQLFFNHLYDPISLVRDHRAKEVLTAHGVAVRSFNADLLYEPWDVNDAQGRPFTTFSSFWERCLSMPYDPEAPLLPPKRIISGDVTTCHSDELVFEDESEKGSNALLARAWSPGWSNADKALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREFSRYLSFNHPYSHERPLLGHLKFFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLLDGREFDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTDWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKDRLQEALSVMWQQEAASRAAIENGTEEGLGDSSESVPFAFPQDIQMEENVEPARNNAPATTRRYEDQMVPSMTSSLVRGIHEEASSDLRTSTEDSRAEVPRNVNIHQEPQRDSLNQGIQLTARNNNTFRQFNLPMGLGNAEDSTADSSTSSSRRERDGGVVPVWSPPASSYSEQFIGDENGIGTSSSYLPRHPQSHQIVNWRRLSQTG >KJB55821 pep chromosome:Graimondii2_0_v6:9:7012545:7012922:-1 gene:B456_009G096600 transcript:KJB55821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGNSNIFDNVFMGMGNSKEGKQPMSRTRLQMHAPASLEIDHVKPDFGFSAGTCKAAATPIPLLTPLALSPHPFPQSDDMKAAAELVPTAFAWKEPVGGGAPYVDASTLFALFQTRCVLVNDAQ >KJB59318 pep chromosome:Graimondii2_0_v6:9:20159455:20160482:1 gene:B456_009G249200 transcript:KJB59318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYHTFQTRNTKLQKLQHLKKLMVGRNMSGTALSKKDLQRIFEKLDKNEDGLVSLEELNCLLDGIGVQFSLQELEPLVGKPCLNMHEFLSFCDSISNHSVNGDIIEEEEEEDDDDDDEDDLAKAFEVFDLNGDGFISCEELESVLGRLGLWDANSGKDCRSMICFYDTNFDGMVDFQEFKTMMLRNISS >KJB58102 pep chromosome:Graimondii2_0_v6:9:14973646:14974622:1 gene:B456_009G194800 transcript:KJB58102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFKSLLTSLLLSLFLLRFAESSDPLVIENMVEENSFNDKKIDCGRACAERCKLSSRPNLCKRACGTCCSRCNCVPPGTYNNYDVCPCYRDMTTHGGRHKCP >KJB53847 pep chromosome:Graimondii2_0_v6:9:654374:657902:1 gene:B456_009G007500 transcript:KJB53847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITVREASFWPLTFNLSSFSSPKPSPILKNSVCRASLSVHPKPTSNSQSWDLSLLSNSQKGSVFDPLGINPDESSGLNGVWDSFLSLLTPTFESTSGTRKEKSSSARGVAAAIEDSSIEFGDFFKGPLPGKFLKLLAFLALSRLGVYIPLGGVNREAFVGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQVYPKLQDLQKREGEAGRKKILQYTRYASVGFAIVQAIGQVLYLRPYVNDFSTQWVLSSVTLLTLGAVLTTYIGERISDLKLGNGTSLLIFTNILSYLPASFGRTVAQAYQDGNYIGLVAIIISFFLLVLGIVYVQEAERKIPINYASRYTSRSGGLQKSAYLPFKFWSDADYIFYIIPSSSRYFSTIYWHICSKEGCSCFESRRFFLPSYQYLVDSLF >KJB53848 pep chromosome:Graimondii2_0_v6:9:654374:657902:1 gene:B456_009G007500 transcript:KJB53848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITVREASFWPLTFNLSSFSSPKPSPILKNSVCRASLSVHPKPTSNSQSWDLSLLSNSQKGSVFDPLGINPDESSGLNGVWDSFLSLLTPTFESTSGTRKEKSSSARGVAAAIEDSSIEFGDFFKGPLPGKFLKLLAFLALSRLGVYIPLGGVNREAFVGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQVYPKLQDLQKREGEAGRKKILQYTRYASVGFAIVQAIGQVLYLRPYVNDFSTQWVLSSVTLLTLGAVLTTYIGERISDLKLGNGTSLLIFTNILSYLPASFGRTVAQAYQDGNYIGLVAIIISFFLLVLGIVYDFRKQRGRFQSTMPQDTPAEAEDFKNLLTYPLR >KJB53846 pep chromosome:Graimondii2_0_v6:9:654357:657985:1 gene:B456_009G007500 transcript:KJB53846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITVREASFWPLTFNLSSFSSPKPSPILKNSVCRASLSVHPKPTSNSQSWDLSLLSNSQKGSVFDPLGINPDESSGLNGVWDSFLSLLTPTFESTSGTRKEKSSSARGVAAAIEDSSIEFGDFFKGPLPGKFLKLLAFLALSRLGVYIPLGGVNREAFVGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQVYPKLQDLQKREGEAGRKKILQYTRYASVGFAIVQAIGQVLYLRPYVNDFSTQWVLSSVTLLTLGAVLTTYIGERISDLKLGNGTSLLIFTNILSYLPASFGRTVAQAYQDGNYIGLVAIIISFFLLVLGIVYVQEAERKIPINYASRYTSRSGGLQKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGISVLKKAAVALNPGGSFYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTAAFLKTVLSRISVLGSVFLAILAAGPAVIEQTTHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDFDKYSP >KJB59203 pep chromosome:Graimondii2_0_v6:9:19631346:19632598:-1 gene:B456_009G244500 transcript:KJB59203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTDLQKIQVQPQPSKQMKASTSPTPPPPSSSACKKKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCLKGSSANLNFPITSSHYIPDPDTLLSPKSIQRVAAAAANSFVVGHGVSSAATPPISPPPPSTSSSSPASSPSMSSSPSDHQVVDVDDDAYVSLIQSLAANDEPISLMEPWYSSFDSCLQYSPKHIDQMFNVSSLNPPSMIHDFYDEGDDIRLWSFC >KJB60329 pep chromosome:Graimondii2_0_v6:9:26634007:26634639:-1 gene:B456_009G300300 transcript:KJB60329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQKNAQQTTNGKLWNSSSEALTLTDKKVWQGSHYAEFPEIIEDGDVSEFTHESVTDDADSHGSVAGLVYRRRDGTKWVVAWSNPLDENSKVYTDIQRQPIHWGQIKTNLEKRGKPKFKVTKFGYIASVEIDPGSRSPTMKASFELEA >KJB55072 pep chromosome:Graimondii2_0_v6:9:4413432:4421776:1 gene:B456_009G061900 transcript:KJB55072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASEKELELQLMEAGNRLAEPPSSVAELIPLLDQVESCLSRVEQSPSQSMQNALSPSLKALVAEHLFRHPDDDVKVAVAACISEITRITAPDAPYDDDQMREVFQLIVSSFENLSDKSSRSFIKRTSILETVAKVRSCVVMLDLECDALIIEMFQNFLKAIRDYHAEAVFTSMVTIMTLVLEESEEIPTELLSPILSSVKKDNEEVLPVARKLAEKVLENCASKLQPYLTQAVENLGISFDDYSSIVASICGATAGAVERHDAAVGKLVDDESIPADAHLEKAAQVCLWIQGDKKIPKEVVPIEQVALVNEKSPVPVACNGIMQTADSISVKKQEDDHIADKSENETSTAAEPDLLEAEKVVDPDVKLEQSTQEKERKSDSKLIEPSDSSHVDEKEVETIPDRKHDSKDDAGSPCRDVSVDGDVSSGNRRETDFQHSSPKVIEDKLTDVASQTPSGTVVDDGHSKRVSRPKKKDSVSKETTSSVDDVSKKAYEGASDSEAKSNRRPGKKGATVFSNKDNAPVSLDKPKKESDTASDSEAKSLKKSSKKVDSSGNNLDKSSVKQLEDKKRRTQGKVAPEKDGTKNSTKNDDEEVIGSPKSVKPNKQDSHVEGTPKTSSKRKHMPSKEKASDSMEYGENLVGLKVKVWWPKDREFYEGFIHSFDSTKKKHKVHYNDGDEEILNLKREKWAVIEDESGSDEEGAANPPSPDGSSDIGRLQKKKAKTADPSSKKAKMDASPKRGGGTSSGKSKGSATKSGRKTKEDAKVDGKSKDGSKSVGKSGNDSVAKSKDPSTPKTGSKPVDNASKADKKSKNEESGETPKSTKSKDDGSATPKASSKLKQDVPKTGNSKQETPKISSQSKGKPLKSGGKSNSNGTGKSKSGSSKVKESESVKESSTDSGKVVGSVKRKAPSLLKAQGSDSKSGKKRQR >KJB55071 pep chromosome:Graimondii2_0_v6:9:4413338:4421927:1 gene:B456_009G061900 transcript:KJB55071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASEKELELQLMEAGNRLAEPPSSVAELIPLLDQVESCLSRVEQSPSQSMQNALSPSLKALVAEHLFRHPDDDVKVAVAACISEITRITAPDAPYDDDQMREVFQLIVSSFENLSDKSSRSFIKRTSILETVAKVRSCVVMLDLECDALIIEMFQNFLKAIRDYHAEAVFTSMVTIMTLVLEESEEIPTELLSPILSSVKKDNEEVLPVARKLAEKVLENCASKLQPYLTQAVENLGISFDDYSSIVASICGATAGAVERHDAAVGKLVDDESIPADAHLEKAAQGDKKIPKEVVPIEQVALVNEKSPVPVACNGIMQTADSISVKKQEDDHIADKSENETSTAAEPDLLEAEKVVDPDVKLEQSTQEKERKSDSKLIEPSDSSHVDEKEVETIPDRKHDSKDDAGSPCRDVSVDGDVSSGNRRETDFQHSSPKVIEDKLTDVASQTPSGTVVDDGHSKRVSRPKKKDSVSKETTSSVDDVSKKAYEGASDSEAKSNRRPGKKGATVFSNKDNAPVSLDKPKKESDTASDSEAKSLKKSSKKVDSSGNNLDKSSVKQLEDKKRRTQGKVAPEKDGTKNSTKNDDEEVIGSPKSVKPNKQDSHVEGTPKTSSKRKHMPSKEKASDSMEYGENLVGLKVKVWWPKDREFYEGFIHSFDSTKKKHKVHYNDGDEEILNLKREKWAVIEDESGSDEEGAANPPSPDGSSDIGRLQKKKAKTADPSSKKAKMDASPKRGGGTSSGKSKGSATKSGRKTKEDAKVDGKSKDGSKSVGKSGNDSVAKSKDPSTPKTGSKPVDNASKADKKSKNEESGETPKSTKSKDDGSATPKASSKLKQDVPKTGNSKQETPKISSQSKGKPLKSGGKSNSNGTGKSKSGSSKVKESESVKESSTDSGKVVGSVKRKAPSLLKAQGSDSKSGKKRQR >KJB53891 pep chromosome:Graimondii2_0_v6:9:812133:813985:-1 gene:B456_009G010100 transcript:KJB53891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTTEGVDSSASNNKKNRIQVSNTQKPLFFYVNLAKRYMQQYNEVELSALGMAIATVVTIAEILKNNGLAVEKKIMTSTIDMREESGGRPVQKAKIEILLGKSEKFDELMAAAAEEALVDE >KJB57120 pep chromosome:Graimondii2_0_v6:9:11323563:11324636:-1 gene:B456_009G149100 transcript:KJB57120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHTSYNRATKKRARTDGASSSAVYPSSVSDCFRGHDDLNKFKTSFATRNVHISRPIDLPFLRDELDFRHLSTLNEWGWLYCLQLTGPCHDNLVRVFYFNAEFKYGDDGKTVTAITSYVMGEKVTITPAILSQYLRIPLDGDPTFLGSFPSTLILKDDHASDLELHDRILHLILTWTINPTNKHAILRKTDYWFIHCFQTKHHLNLPVLMFLNMIEVVRWPLSSNKTLKYGTFLSFIFRQLKLKVSVDPGRAIDSFINISSVHSCGYYKEDGKWVHKDKLQAAAAQQLQGEVQPEEEVLALPPPPSPRTSAILDAIHGLSQHVDARFDALMPRIFYLEDQMAQLVSRFPPPPSSDD >KJB57119 pep chromosome:Graimondii2_0_v6:9:11323284:11327064:-1 gene:B456_009G149100 transcript:KJB57119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHTSYNRATKKRARTDGASSSAVYPSSVSDCFRGHDDLNKFKTSFATRNVHISRPIDLPFLRDELDFRHLSTLNEWGWLYCLQLTGPCHDNLVRVFYFNAEFKYGDDGKTVTAITSYVMGEKVTITPAILSQYLRIPLDGDPTFLGSFPSTLILKDDHASDLELHDRILHLILTWTINPTNKHAILRKTDYWFIHCFQTKHHLNLPVLMFLNMIEVVRWPLSSNKTLKYGTFLSFIFRQLKLKVSVDPGRAIDSFINISSVHSCGYYKEDGKWVHKDKLQAAAAQQLQGEVQPEEEVLALPPPPSPRTSAILDAIHGLSQHVDARFDALMPRIFYLEDQMAQLVSRFPPPPSSDD >KJB55868 pep chromosome:Graimondii2_0_v6:9:7521736:7521957:-1 gene:B456_009G104000 transcript:KJB55868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTVTSPTKKQQQSLPPRRGQVKMRIIKGFFKSVTSMASVAKKMPSKMKESGPGFSSTSTTPAPTPTAYNSD >KJB61153 pep chromosome:Graimondii2_0_v6:9:40605163:40609306:1 gene:B456_009G343600 transcript:KJB61153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVLVLGIALLLLLGGVTSAPTTSSPAKIVSGVFSNAVSASMKWLWSLKATTKTAITGRPMMKFEGGYTVETVFDGSKLGVEPHTVEILPSGELLILDSANSNLYRMSSSLSLYGKLREARMNHPKGLAVDDRGNIYIADTMNMAIRKISDAGITTIAGGKLTRGGGHVDGPSEDAKFSNDFDVVYVGSSCSLLVIDRGNRAIREIQLHFDDCAYQYGSGFPLGIAVLVAAGFFGYMLALLQRRVGTIVSTQKDSVKVDATVSSPYQKPLKSVRPPLIPTEDEQEKQEEGFFGSLGKLFVNGGVSALEIFRSVLPGFRKEPPNYQYQSQHQQQLKQTMPWAAQESFVIPDEDDPPSIDTRNPTPRKTYPFMSKDADKLHQLRQSRAFYNGWDVDMQQQKQHHHHHRHQTSTPHTVYEQSHEKTNEIIFGAVQDQEGKREAVVIRPIDYGDKTYYHQNFRFRSNLGYSGGY >KJB61154 pep chromosome:Graimondii2_0_v6:9:40605163:40609432:1 gene:B456_009G343600 transcript:KJB61154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVLVLGIALLLLLGGVTSAPTTSSPAKIVSGVFSNAVSASMKWLWSLKATTKTAITGRPMMKFEGGYTVETVFDGSKLGVEPHTVEILPSGELLILDSANSNLYRMSSSLSLYGKLREARMNHPKGLAVDDRGNIYIADTMNMAIRKISDAGITTIAGGKLTRGGGHVDGPSEDAKFSNDFDVVYVGSSCSLLVIDRGNRAIREIQLHFDDCAYQYGSGFPLGIAVLVAAGFFGYMLALLQRRVGTIVSTQKDQDSVKVDATVSSPYQKPLKSVRPPLIPTEDEQEKQEEGFFGSLGKLFVNGGVSALEIFRSVLPGFRKEPPNYQYQSQHQQQLKQTMPWAAQESFVIPDEDDPPSIDTRNPTPRKTYPFMSKDADKLHQLRQSRAFYNGWDVDMQQQKQHHHHHRHQTSTPHTVYEQSHEKTNEIIFGAVQDQEGKREAVVIRPIDYGDKTYYHQNFRFRSNLGYSGGY >KJB61152 pep chromosome:Graimondii2_0_v6:9:40605163:40609306:1 gene:B456_009G343600 transcript:KJB61152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVLVLGIALLLLLGGVTSAPTTSSPAKIVSGVFSNAVSASMKWLWSLKATTKTAITGRPMMKFEGGYTVETVFDGSKLGVEPHTVEILPSGELLILDSANSNLYRMSSSLSLYGKLREARMNHPKGLAVDDRGNIYIADTMNMAIRKISDAGITTIAGGKLTRGGGHVDGPSEDAKFSNDFDVVYVGSSCSLLVIDRGNRAIREIQLHFDDCAYQYGSGIAVLVAAGFFGYMLALLQRRVGTIVSTQKDQDSVKVDATVSSPYQKPLKSVRPPLIPTEDEQEKQEEGFFGSLGKLFVNGGVSALEIFRSVLPGFRKEPPNYQYQSQHQQQLKQTMPWAAQESFVIPDEDDPPSIDTRNPTPRKTYPFMSKDADKLHQLRQSRAFYNGWDVDMQQQKQHHHHHRHQTSTPHTVYEQSHEKTNEIIFGAVQDQEGKREAVVIRPIDYGDKTYYHQNFRFRSNLGYSGGY >KJB54926 pep chromosome:Graimondii2_0_v6:9:3942602:3945822:-1 gene:B456_009G054400 transcript:KJB54926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCLSIFFLFIVTFCVTLTAAAAGHCSLQTFFPRPAYQSLIKHAKTPKPKLPFKTRYFPQTLDHFSFHPKSSKIFYQKYLINTQYWHKGAPIFVYTGNEGDIEWFAANTGFMLDIAPKFRALLLFIEHRFYGESMPFGKDSYQSAKTMGYLNSQQALADFAVLIRSLKQNLSSEASPVVVFGGSYGGMLAAWFRLKYPHIAIGALASSAPILQFDKIIPWSSFYDAVSLDFKDVSQNCYEVIKGSWAELVAISNQKHALAELSKAFRTCKSLHSTASVLEWLWTAFVYTAMVNYPTEANFLKPLPAYPVQQMCKIIDKSPSGATRLTRAFAAASLYYNYSQTENCFEVEHEVDPHGLHGWHWQTCTEMVMPMTCSNESMFPPSGFDYKKFAEQCRMKYGVLPRPHWITTEFGGERIEKVLKRFGSNIIFSNGMQDPWSRGGSSPY >KJB54925 pep chromosome:Graimondii2_0_v6:9:3942488:3945957:-1 gene:B456_009G054400 transcript:KJB54925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCLSIFFLFIVTFCVTLTAAAAGHCSLQTFFPRPAYQSLIKHAKTPKPKLPFKTRYFPQTLDHFSFHPKSSKIFYQKYLINTQYWHKGAPIFVYTGNEGDIEWFAANTGFMLDIAPKFRALLLFIEHRFYGESMPFGKDSYQSAKTMGYLNSQQALADFAVLIRSLKQNLSSEASPVVVFGGSYGGMLAAWFRLKYPHIAIGALASSAPILQFDKIIPWSSFYDAVSLDFKDVSQNCYEVIKGSWAELVAISNQKHALAELSKAFRTCKSLHSTASVLEWLWTAFVYTAMVNYPTEANFLKPLPAYPVQQMCKIIDKSPSGATRLTRAFAAASLYYNYSQTENCFEVEHEVDPHGLHGWHWQTCTEMVMPMTCSNESMFPPSGFDYKKFAEQCRMKYGVLPRPHWITTEFGGERIEKVLKRFGSNIIFSNGMQDPWSRGGVLRNISATIIALVTEKGAHHIDFRATTKDDPDWLIQQRRQEVENIQRWLDEYYVDLRHA >KJB57698 pep chromosome:Graimondii2_0_v6:9:13630136:13633101:-1 gene:B456_009G176200 transcript:KJB57698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTMNSLYKPIYLITIFELISFIACQSPTYNYHFCLGPGNDTATASYKSDLTLLLDYMSSNASDKSFYNNSLNGIYSLFLCRGDVSSDVCRVCVSNASQTLTQTCPSDKRAIIWYDQCLFRYSNINFLGQLELYPRLFMWNALNNTSPEEGDIGTRGLLYSLVSQAPYKNMFQTNEMVVGNGPGCRYGLVQCSIDLDVDGCSTCLRELLNQTEDCCIGRSGWRILTPSCCIRYEMYRFYEQTSNLPGSAPENEEGTSHEILKRSSQGSKPAHLTEESIHLHLSDEDHSGDLHHFNLATIQTATNNFSRENKLGEGGFGPVYKGKMPTGKEIAVKRLSINSKQGLEEFKNEVKLIFKLQHKNLVRLLGYCLEEDEKLLVYEYMANTSLDAFLFGFITYQIQGFLIAKNVTLVLFLKADSEKCKVLDWEKRSNIITGTARGLQYLHEDSRLTIIHRDLKANNVLLDDDMNPKISDFGTARTFGGNQMEANTERVVGTYGYMAPEYALEGLFSNKSDVYSFGVLMLEILSGKRNRGFYHQDCGQSLLTYAGLLWNEGKGQELIDPNIAGNCAIQEVLRWIHIALLCVQDDPALRPTMSSAILMLGSKSVNLPQPSTSPYSAARFLHMINLHPLNLPTSEI >KJB61112 pep chromosome:Graimondii2_0_v6:9:39756098:39759433:1 gene:B456_009G341400 transcript:KJB61112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWFGIQMPYVVAYCIMFLQLAMLVFGNHGSLNLLGMLKLEDMFFKKTVKYVGEPMTHLESIASSALKKSLSSVQINGGETLNVQHNKSFASVQINGGETVNVGVEVANSEVEYIESENLSDLEDVDTCLKKLLPGLDFKDWILVVETLNNVRRLSVFHKERMHGMLYASL >KJB61111 pep chromosome:Graimondii2_0_v6:9:39756098:39758234:1 gene:B456_009G341400 transcript:KJB61111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWFGIQMPYVVAYCIMFLQLAMLVFGNHGSLNLLGMLKLEDMFFKKTVKYVGEPMTHLESIASSALKKSLSSVQINGGETLNVQHNKSFASVQINGGETVNVGVEVANSEVEYIESENLSDLEDVDTCLKKLLPGLDFKDWILVVETLNNVRRLSVFHKERMHGMLYASL >KJB60218 pep chromosome:Graimondii2_0_v6:9:25651596:25655687:-1 gene:B456_009G294500 transcript:KJB60218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTSVSSFTASLVVPSKSSSFSNRKRRFIQCSLGAVVEPKALAEPLLLNAVRGQDVERPPVWLMRQAGRYMKSYQIICEKYPSFRERSENVDLVVEISLQPWNVFKPDGVILFSDILTPLSGMNIPFDIIKGKGPVIFDPIATAADVDQVREFSPEGSVPYVGEALTILKKEVDNKAAVLGFVGAPFTLASYVVEGGSSKNFTKIKKLAFSQPKVLHSLLQKFATSMTKYIRYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDAVKQTHPDLPLILYASGSGGLLERLALTGVDVVSLDWTVDMAEGRRRLGHDIAVQGNVDPGVLFGSKDFITNRIHDTVTKAGKGKHILNLGHGIKVGTPEENVAHFFEVAKAIRY >KJB60220 pep chromosome:Graimondii2_0_v6:9:25651596:25655769:-1 gene:B456_009G294500 transcript:KJB60220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTSVSSFTASLVVPSKSSSFSNRKRRFIQCSLGGAVVEPKALAEPLLLNAVRGQDVERPPVWLMRQAGRYMKSYQIICEKYPSFRERSENVDLVVEISLQPWNVFKPDGVILFSDILTPLSGMNIPFDIIKGKGPVIFDPIATAADVDQVREFSPEGSVPYVGEALTILKKEVDNKAAVLGFVGAPFTLASYVVEGGSSKNFTKIKKLAFSQPKVLHSLLQKFATSMTKYIRYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDAVKQTHPDLPLILYASGSGGLLERLALTGVDVVSLDWTVDMAEGRRRLGHDIAVQGNVDPGVLFGSKDFITNRIHDTVTKAGKGKHILNLGHGIKVGTPEENVAHFFEVAKAIRY >KJB60219 pep chromosome:Graimondii2_0_v6:9:25651985:25654384:-1 gene:B456_009G294500 transcript:KJB60219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQAGRYMKSYQIICEKYPSFRERSENVDLVVEISLQPWNVFKPDGVILFSDILTPLSGMNIPFDIIKGKGPVIFDPIATAADVDQVREFSPEGSVPYVGEALTILKKEVDNKAAVLGFVGAPFTLASYVVEGGSSKNFTKIKKLAFSQPKVLHSLLQKFATSMTKYIRYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDAVKQTHPDLPLILYASGSGGLLERLALTGVDVVSLDWTVDMAEGRRRLGHDIAVQGNVDPGVLFGSKDFITNRIHDTVTKAGKGKHILNLGHGIKVGTPEENVAHFFEVAKAIRY >KJB55350 pep chromosome:Graimondii2_0_v6:9:5196672:5200190:-1 gene:B456_009G072900 transcript:KJB55350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDLGKEIMDMRECYRKGNTKHVTWRRAQLKGLLSFLEEKEVEIFRALNLDLGKNYVEAFRDEVGLIKKSLNLALKDLKTWMASKEAKLPSIALLSYAELVPEPLGLILVISSWNFPMGLSLEPLIGAIAAGNAVVIKPSELAAASSSLLANTLPNYLDTQAIKVIEGGPAVGQQLLHHKWDKIFFTGSTKVGRIIMSEAAKNLTPVTLELGGKCPAILDSLSWSWDKEVAINRIIGAKYGSCAGQACISIDYLLVEKPFSSTVVELMKAMIKKMYGDNPRESQSISRIINKHHFLRLKDLLTDQKVKDCIVYGGSMDEGSL >KJB55351 pep chromosome:Graimondii2_0_v6:9:5195490:5200220:-1 gene:B456_009G072900 transcript:KJB55351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDLGKEIMDMRECYRKGNTKHVTWRRAQLKGLLSFLEEKEVEIFRALNLDLGKNYVEAFRDEVGLIKKSLNLALKDLKTWMASKEAKLPSIALLSYAELVPEPLGLILVISSWNFPMGLSLEPLIGAIAAGNAVVIKPSELAAASSSLLANTLPNYLDTQAIKVIEGGPAVGQQLLHHKWDKIFFTGSTKVGRIIMSEAAKNLTPVTLELGGKCPAILDSLSWSWDKEVAINRIIGAKYGSCAGQACISIDYLLVEKPFSSTVELMKAMIKKMYGDNPRESQSISRIINKHHFLRLKDLLTDQKVKDCIVYGGSMDEGSLFIEPTILVDPPLESAMMTEEIFGPLLPIITLDKIEDSIDFINSRPKPLALYAFTKNEVLKERLVSETSSGSVVFNDAIIQFAADTLPFGGIGESGIGKYHGKFSFDTFTHYKAVTRRSLLTDFWYRFPPWNNYKLELLENTYNYDYFGLLLVILGLKRSRKTFHVN >KJB55349 pep chromosome:Graimondii2_0_v6:9:5195395:5200302:-1 gene:B456_009G072900 transcript:KJB55349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDLGKEIMDMRECYRKGNTKHVTWRRAQLKGLLSFLEEKEVEIFRALNLDLGKNYVEAFRDEVGLIKKSLNLALKDLKTWMASKEAKLPSIALLSYAELVPEPLGLILVISSWNFPMGLSLEPLIGAIAAGNAVVIKPSELAAASSSLLANTLPNYLDTQAIKVIEGGPAVGQQLLHHKWDKIFFTGSTKVGRIIMSEAAKNLTPVTLELGGKCPAILDSLSWSWDKEVAINRIIGAKYGSCAGQACISIDYLLVEKPFSSTVVELMKAMIKKMYGDNPRESQSISRIINKHHFLRLKDLLTDQKVKDCIVYGGSMDEGSLFIEPTILVDPPLESAMMTEEIFGPLLPIITLDKIEDSIDFINSRPKPLALYAFTKNEVLKERLVSETSSGSVVFNDAIIQFAADTLPFGGIGESGIGKYHGKFSFDTFTHYKAVTRRSLLTDFWYRFPPWNNYKLELLENTYNYDYFGLLLVILGLKRSRKTFHVN >KJB55353 pep chromosome:Graimondii2_0_v6:9:5195490:5200220:-1 gene:B456_009G072900 transcript:KJB55353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDLGKEIMDMRECYRKGNTKHVTWRRAQLKGLLSFLEEKEVEIFRALNLDLGKNYVEAFRDEVGLIKKSLNLALKDLKTWMASKEAKLPSIALLSYAELVPEPLGLILVISSWNFPMGLSLEPLIGAIAAGNAVVIKPSELAAASSSLLANTLPNYLDTQAIKVIEGGPAVGQQLLHHKWDKIFFTGSTKVGRIIMSEAAKNLTPVTLELGGKCPAILDSLSWSWDKEVAINRIIGAKYGSCAGQACISIDYLLVEKPFSSTVVELMKAMIKKMYGDNPRESQSISRIINKHHFLRLKDLLTDQKVKDCIVYGGSMDEGSL >KJB55352 pep chromosome:Graimondii2_0_v6:9:5196164:5200190:-1 gene:B456_009G072900 transcript:KJB55352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDLGKEIMDMRECYRKGNTKHVTWRRAQLKGLLSFLEEKEVEIFRALNLDLGKNYVEAFRDEVGLIKKSLNLALKDLKTWMASKEAKLPSIALLSYAELVPEPLGLILVISSWNFPMGLSLEPLIGAIAAGNAVVIKPSELAAASSSLLANTLPNYLDTQAIKVIEGGPAVGQQLLHHKWDKIFFTGSTKVGRIIMSEAAKNLTPVTLELGGKCPAILDSLSWSWDKEVAINRIIGAKYGSCAGQACISIDYLLVEKPFSSTVVELMKAMIKKMYGDNPRESQSISRIINKHHFLRLKDLLTDQKVKDCIVYGGSMDEGSLFIEPTILVDPPLESAMMTEEIFGPLLPIITLDKIEDSIDFINSRPKPLALYAFTKNEVLKERLVSETSSGSVVFNDAIIQVFSSHLQ >KJB53368 pep chromosome:Graimondii2_0_v6:9:23727560:23730415:-1 gene:B456_009G2806001 transcript:KJB53368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAYPSYRSPFGDTTLTKVFVGGLAWETPTDDMRRYFEQFGEILEAVIITDKITGKSKGYGFVTFRDPESARKACVDPNPIINGRRANCNIASLGRPTPSPPRGSIQGSNPYQVVGQQGAPSYSGVAAPLPPPLPPAPVFYAPYGYTTYGPEYGYHQGVYNPQIQQPQYYHQLYGSLSSSSSMGSPYYYGYCLQPPRGTFSVQRFHGPSYLYYPTPMEGSFSTYPPPPPFPSSS >KJB53366 pep chromosome:Graimondii2_0_v6:9:23727560:23730574:-1 gene:B456_009G2806001 transcript:KJB53366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAYPSYRSPFGDTTLTKVFVGGLAWETPTDDMRRYFEQFGEILEAVIITDKITGKSKGYGFVTFRDPESARKACVDPNPIINGRRANCNIASLGRPTPSPPRGSIQGSNPYQVVGQQGAPSYSGVAAPLPPPLPPAPVFYAPYGYTTYGPEYGYHQGVYNPQIQQPQYYHQLYGSLSSSSSMGSPYYYGYCLQPPRGTFSVQRFHGPSYLYYPTPMEGSFSTYPPPPPFPSSSDSQTQQHTTTETEGGATTSESPKP >KJB53365 pep chromosome:Graimondii2_0_v6:9:23728781:23730415:-1 gene:B456_009G2806001 transcript:KJB53365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAYPSYRSPFGDTTLTKVFVGGLAWETPTDDMRRYFEQFGEILEAVIITDKITGKSKGYGFVTFRDPESARKACVDPNPIINGRRANCNIASLGRPTPSPPRGSIQGSNPYQVVGQQGAPSYSGVAAPLPPPLPPAPVFYAPYGYVPHLLFSFKVVHAFNTFLFFSFAYRYEY >KJB53367 pep chromosome:Graimondii2_0_v6:9:23729423:23730130:-1 gene:B456_009G2806001 transcript:KJB53367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAYPSYRSPFGDTTLTKVFVGGLAWETPTDDMRRYFEQFGEILEAVIITDKITGKSKGYGFVTFRDPESARKACVDPNPIINGRRANCNIASLGRPTPSPPRGSIQGSNPYQVVGQQGAPSYSGVAAPLPPPLPPAPVFYAPYGYVPHLLFSFKVVHAFNTFLFFSFAYRYEY >KJB61523 pep chromosome:Graimondii2_0_v6:9:48527667:48529986:-1 gene:B456_009G363800 transcript:KJB61523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLIHVFLFLIFGTLASLAMSRTIHETFIVDKHEQWMVDYNRKYESKLEKEKRLNIFKENLEYIESFNNGGNRSFKLSLNEFADMTQDKFIAAHTGYKMQGNPTLSESTSFMYQNVSDVPTSLDWRAQGAVTPVKFQGQCGCCWAFSAVAAIEGIVQIKTGSLISLSEQQLLDCSTDGGNRGCDGGQMVNAFEYVIRNQGLTTEESYPYQETQETCDTEKQINKVATINEYQMVPENDEEALLMVVASQPVSVAIEGHGQDFRFYSGGVFTGDCGNALSHAVTVVGYGTSEEGLNYWLVKNSWGETLGENGYIRIQRDANTPGGLCGIAMKASYPVM >KJB62788 pep chromosome:Graimondii2_0_v6:9:68627692:68632586:-1 gene:B456_009G436400 transcript:KJB62788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLEACYTSKIENILLLSLCTNIVEDFTNQRKALKLRKQRVKTRVDEAKRKIEVIYEDVEDWLRSAEKELEETQNLEDEIDSIKCFKWCPKWGWRYCLSKKLAEKTPIISKLLEASNFPQVGYRGSLQGIEFITSTDFMDSESSKSALNQIMEAINAKGVKMIGLQGMPGVGKTTLAQEVGKQAREQKLFDKVVMFTMSQNPNINKIQDKVADIFGLNFETSSREGKAEVLFRSMQGVNKILVIVDDLWEELKLERIGIPFGDEHKGCKILLTTRDQQVCTKMNCTKEIQLGILSEDEAWVLFRGKAGLDDDSSTLNDVAKEVAAQCKGLPLAIVVVAKALKGESLNGWTTLKEWPDMDGCYSAIALWNCSINIKKLPDKVEFSKLKTLILEGDWDCDWNWDLRQKRKRKRGDLLVVSSIFFEEMKALQVLYLESVSFLPIGFHSLPNLRTAQTLKTVTIANFSSSLTAFNNLFCNVKKLKLRNVSGQKNIVPSVGKTGVNELTSLKLASCKDMEFLIDITSDQGPTVVFSNLVKLNIHNMVSLKGLCYGLSRSHRAIASLTRLRVVTIGSCHQLKTIFSPCLAQSMLCIEKLFIFQCDGVEQVIGFAQEDEIPENDCGLCYWPKLRILHIQSCKSLKYVCASTSTPGLQSLEFLHISHCPQFMQIFNMEQNENGQDIVLPGFRSQNLFEVWIIWNDFAQVVTLENLTTLKLRDCKKLRCIFSPTTAHSLSHLVNLFIEGNCGNLKSLFPFGYVPVLPKLECLKLKMNSKLEEVFELEDEVEVTAEKEMNFDKLEWLSLEELPGLIHFCPKGYHFVLSPLRVLTVRDCPKLTTGFFIDSQEFVHCKTKVSILQPFNPTLF >KJB58063 pep chromosome:Graimondii2_0_v6:9:14820970:14824227:-1 gene:B456_009G192700 transcript:KJB58063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRLWSVSSTSLLSPLLVILALLMCETKALVKLPPNETVPAVIAFGDSIVDTGNNNNLMTLIKCNFRPYGQDFNGGIPTGRFSDGKTPSDLLAQELGIKDRLPAYLDPDLKPQDLPTGVSFASGGSGYDPMTPELASVISMADQLNLFKEYIAKLKQLVGEEKKKFIIANSLYLVVAGSDDIANTYFVLRARKMLYDVPAYTDLMLNSATEFLKELYGLGARRIAVFSAPPIGCVPSQRTLAGGTERHCANEYNVAARLFNKKLSAALNTLRTSMPGGKFVYVDIYKPLLDLIDNPQKHGFKFADVGCCGTGNIEVAVLCNKWVPSTCTDASNYIFWDSYHPTEKAYRALIIPLLPKYVNKFL >KJB58064 pep chromosome:Graimondii2_0_v6:9:14821432:14824163:-1 gene:B456_009G192700 transcript:KJB58064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRLWSVSSTSLLSPLLVILALLMCETKALVKLPPNETVPAVIAFGDSIVDTGNNNNLMTLIKCNFRPYGQDFNGGIPTGRFSDGKTPSDLLAQELGIKDRLPAYLDPDLKPQDLPTGVSFASGGSGYDPMTPELASVISMADQLNLFKEYIAKLKQLVGEEKKKFIIANSLYLVVAGSDDIANTYFVLRARKMLYDVPAYTDLMLNSATEFLKELYGLGARRIAVFSAPPIGCVPSQRTLAGGTERHCANEYNVAARLFNKKLSAALNTLRTSMPGGKFVYVDIYKPLLDLIDNPQKHGN >KJB57846 pep chromosome:Graimondii2_0_v6:9:14104964:14111436:-1 gene:B456_009G183200 transcript:KJB57846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFPSPAVDQFSNGYDHRLSSKRKFDDYALAFDGDQDFEAPLVPVRMRKDDHHHPIAAVSKAPPFAFPPSHIDCRPSSSDASSSSASCSSTRLQFFVRMFSGGKTMVVTANSEDTVKSLHERIHVMTGIPVFEQRLIYHGKQLQWEQSLADCHIENDAGLQLVGRMRSTDHPQTWQVMDDLVSLISSLCRGETVHSPTQSIKGCLDKFFTIAHKDNGKVASADLQIFMVSSAPAALVMLYISPIDGNKQCANSSIRDFLTSCRMDLPKELHSYCAPIALEFCKLLRKVVNEDSLYAWCRSTLSVLLESVSSSMGLMRTKVKGFTVQEIFPFFNELVSHLSEELDSSLYSTTSEGPSSSHFREFVAFLNPLRSAIIEQVESRIPISIDYHPLYRDEIRNLHLIFDKLLHKMKNCLIRVEEILVARGSNGDEFVHSGWSQYLSILKELNGISKLYQGAEEKFWMVLRNMKASLCALIIRFSRRDDDNQWLLEHKDVTDFESRRHLVMMMFPEVKDDYEELHEMLIDRSQLLAESFEYIARVDPESLHAGLFMEFKNEEATGPGVLREWFLLVCQAIFNPENALFLPCAYDRRRFFPNPASRMDPLHLEYFSFAGRVIALALMHKVQVGIVFDRVFFLQLAGMDISLEDIREADPCLYSSCKKILEMDAEFIDSDALGLTFVREVEELGSRTVVELCAGGKSIVVNSRNRQEYVNLLIRDRFSTSTSEQVDYFSQGFGHILSNSRLQKIFFQSLELEDLDRMLYGSESPICVDDWKAHTEYNGYKESDPQITWFWEIVREMSADQRKQLLFFWTSVKYLPVEGFRCLASRLYIYKTSEPCDYLPSSHTCFYRICFPPYPSMGEMRKRLNVITQEHIRCSFGTW >KJB57847 pep chromosome:Graimondii2_0_v6:9:14104964:14111558:-1 gene:B456_009G183200 transcript:KJB57847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSNSSAVCGPLTTRKPGKSWTTWSPLFPPFAEEKRSIPQPKASKVAWTNSSQLLTRTTARLLLLTCRYLWYLRLLPLWMDLPKELHSYCAPIALEFCKLLRKVVNEDSLYAWCRSTLSVLLESVSSSMGLMRTKVKGFTVQEIFPFFNELVSHLSEELDSSLYSTTSEGPSSSHFREFVAFLNPLRSAIIEQVESRIPISIDYHPLYRDEIRNLHLIFDKLLHKMKNCLIRVEEILVARGSNGDEFVHSGWSQYLSILKELNGISKLYQGAEEKFWMVLRNMKASLCALIIRFSRRDDDNQWLLEHKDVTDFESRRHLVMMMFPEVKDDYEELHEMLIDRSQLLAESFEYIARVDPESLHAGLFMEFKNEEATGPGVLREWFLLVCQAIFNPENALFLPCAYDRRRFFPNPASRMDPLHLEYFSFAGRVIALALMHKVQVGIVFDRVFFLQLAGMDISLEDIREADPCLYSSCKKILEMDAEFIDSDALGLTFVREVEELGSRTVVELCAGGKSIVVNSRNRQEYVNLLIRDRFSTSTSEQVDYFSQGFGHILSNSRLQKIFFQSLELEDLDRMLYGSESPICVDDWKAHTEYNGYKESDPQITWFWEIVREMSADQRKQLLFFWTSVKYLPVEGFRCLASRLYIYKTSEPCDYLPSSHTCFYRICFPPYPSMGEMRKRLNVITQEHIRCSFGTW >KJB56602 pep chromosome:Graimondii2_0_v6:9:9570981:9573821:1 gene:B456_009G127000 transcript:KJB56602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVSLNTDPVVDDDADADEFEIEGDCGMAKCISQSGVIQGENPLPPVVGMEFDSYEDVYYFYNCYAKEQGFGVRVSNTWYRKTKERYRGKLSCSSAGFKKKSEANRPRPETRTGCPAMIKFRLMENKRWRIIEVELDHNHLISAVSGKFYKSHKQLGIGTKRALHLDSAEEVQKVRLFRTVVIDVEGNGSVEVSSGEFRSSHNQTSQLRLKDGDAQAIHNYFSHLQLIDPNFFYVVDLNEKGCLRNLFWSNTRSRVAYGYFGDVVAVDTICLTDKYQVPLVSFIGVNHHGQSVLLGCGLLAGDTIEACTWLFRAWLTCMLGRPPQVIITDQCRTLQAAVADVFPRAFHCLCLPRIMQKVPEKLGGLYEYESIRMALNNAVYYSLRPEEFEATWEDMIHHYRLRNHVWLQMLYEDRRQWVPVYLKEIFLAGMLLTRPNEVVESFFDGYLDKHTSLKEFLDKYDQALQANHQLEVLADMESRNSGFMLQSRCYFELQLAKLYTNNILRKFEREVEGMYSCFCTRQINGEGEVITYMVREQIEVDGNRRECRDFEVLYNATEMEVLCVCGLFNFKGYLCRHALSVLHQNGIEEIPPQYVVSRWRKDIKRSYVLNHSNGGIDINNPVHRYDHLYKCIMQVVEEGRKSEVRYKDTVQALDQILRKLNLVEGRL >KJB56603 pep chromosome:Graimondii2_0_v6:9:9571271:9573821:1 gene:B456_009G127000 transcript:KJB56603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVSLNTDPVVDDDADADEFEIEGDCGMAKCISQSGVIQGENPLPPVVGMEFDSYEDVYYFYNCYAKEQGFGVRVSNTWYRKTKERYRGKLSCSSAGFKKKSEANRPRPETRTGCPAMIKFRLMENKRWRIIEVELDHNHLISAVSGKFYKSHKQLGIGTKRALHLDSAEEVQKVRLFRTVVIDVEGNGSVEVSSGEFRSSHNQTSQLRLKDGDAQAIHNYFSHLQLIDPNFFYVVDLNEKGCLRNLFWSNTRSRVAYGYFGDVVAVDTICLTDKYQVPLVSFIGVNHHGQSVLLGCGLLAGDTIEACTWLFRAWLTCMLGRPPQVIITDQCRTLQAAVADVFPRAFHCLCLPRIMQKVPEKLGGLYEYESIRMALNNAVYYSLRPEEFEATWEDMIHHYRLRNHVWLQMLYEDRRQWVPVYLKEIFLAGMLLTRPNEVVESFFDGYLDKHTSLKEFLDKYDQALQANHQLEVLADMESRNSGFMLQSRCYFELQLAKLYTNNILRKFEREVEGMYSCFCTRQINGEGEVITYMVREQIEVDGNRRECRDFEVLYNATEMEVLCVCGLFNFKGYLCRHALSVLHQNGIEEIPPQYVVSRWRKDIKRSYVLNHSNGGIDINNPVHRYDHLYKCIMQVVEEGRKSEVRYKDTVQALDQILRKLNLVEGRL >KJB56601 pep chromosome:Graimondii2_0_v6:9:9570605:9573821:1 gene:B456_009G127000 transcript:KJB56601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDGVSLNTDPVVDDDADADEFEIEGDCGMAKCISQSGVIQGENPLPPVVGMEFDSYEDVYYFYNCYAKEQGFGVRVSNTWYRKTKERYRGKLSCSSAGFKKKSEANRPRPETRTGCPAMIKFRLMENKRWRIIEVELDHNHLISAVSGKFYKSHKQLGIGTKRALHLDSAEEVQKVRLFRTVVIDVEGNGSVEVSSGEFRSSHNQTSQLRLKDGDAQAIHNYFSHLQLIDPNFFYVVDLNEKGCLRNLFWSNTRSRVAYGYFGDVVAVDTICLTDKYQVPLVSFIGVNHHGQSVLLGCGLLAGDTIEACTWLFRAWLTCMLGRPPQVIITDQCRTLQAAVADVFPRAFHCLCLPRIMQKVPEKLGGLYEYESIRMALNNAVYYSLRPEEFEATWEDMIHHYRLRNHVWLQMLYEDRRQWVPVYLKEIFLAGMLLTRPNEVVESFFDGYLDKHTSLKEFLDKYDQALQANHQLEVLADMESRNSGFMLQSRCYFELQLAKLYTNNILRKFEREVEGMYSCFCTRQINGEGEVITYMVREQIEVDGNRRECRDFEVLYNATEMEVLCVCGLFNFKGYLCRHALSVLHQNGIEEIPPQYVVSRWRKDIKRSYVLNHSNGGIDINNPVHRYDHLYKCIMQVVEEGRKSEVRYKDTVQALDQILRKLNLVEGRL >KJB60129 pep chromosome:Graimondii2_0_v6:9:25049334:25051892:-1 gene:B456_009G290800 transcript:KJB60129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKANILLIFLCSLVLLLLGGVRVEGNPNYRDALQKSFLFFQGQRSGKLPANQKVSWRSNSGLSDGSLDHVDLTGGYYDAGDNVKFNFPMAFTTTMLSWGTLEYGKRMGPQLQEARAAIRWATDYLLKCANSKPGKLYVGVGDPNVDHKCWERPEDMDTVRTVYSVSSSNPGSDVAGETAAALAAASLVFRRVDPKYSRLLLQTARKVMAFAIQYRGAYSDSLGSAVCPFYCSYSGYKDELLWGAAWLFRATNDAYYYNFLKTLGADDQPDIFSWDNKYAGAHVLLSRMALLGKDKNFEQFKQEAESFMCRILPNSPYSTTQYTQGGLMYKLPESNLQYVTSISFLLTTYGKYMKAKKQTFNCGSLFVTPNSLIGLAKRQVDYILGENPIKMSYMVGFGRNFPKRIHHRGSSLPSLAKHRQSIGCDGGFQPYFYSSNPNPNVLVGAIVGGPNQNDGFPDDRSDYSHSEPSTYITAALVGPLAYFSH >KJB62593 pep chromosome:Graimondii2_0_v6:9:66651199:66653034:1 gene:B456_009G424900 transcript:KJB62593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLYNKHAVLDCEGWSWGCHAPEFAPLMAGALVIFCCAWWLWGMKFTKINPPLPPGPLGLPILGNLPFIKPELHRYFSDLSRIYGPVFKLRMGSVLAIVINSPSLANEVLKVQDAIFANRDVPAAAVVGTFGGLNILWRPNGSRCNQLRKLVICEIMSKQSLDACYVLRQREVRRMVKEIHGKVGSSVNIYKQLSATALRVMMSTLWGDDPSKDLIEFRKRLDEFIITSATPNVSDLFPILAPADLQGIESKAKEQLSWFYGVFESMIKNRRNIRDDGKEKENISKDFIQQLLELHWRGDEKNSLSINEVKALLLDLMVAGTDTIPTTVEWAMTELLRHRDKMTKLVKELDMVVGNQNTVEDCHIPQLVYLDAVIKETLRLHPVAPLLIPHVPSETTVIGGFTVPKGCTVFINVWVIQRDPELWDDPFRFQPERFLETDINYRGNNFGFFPFGSGRRMCVGVSLAEKMVALLLGSLMHSFEWGLSEGTKPSLEDKFGIFLKKTESLVGIPVARLPNLEQYQ >KJB57250 pep chromosome:Graimondii2_0_v6:9:12013126:12013851:-1 gene:B456_009G156700 transcript:KJB57250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDQQFYSPKAVPRLADHVDDNYIHMPVPSAFGTVFPPCAKPLPPLHGIEFQPSEVCPKNFVIFNQNDHRNQIMFNPAVANKFNGHGLNVFATYLDGKYDIKDVNDAEKDTSSSLKEDSDDIDALLSSEEEEQEDYDEEEVSTARTSGNYESDTDSHSAYGSKPRKNSSCSSTLKSSGSGCGTDPKKRLKMKKMVKVLRGIVPGADQMGTVAVLDEAVRYLKSLKVEVKKLGVENFKNGD >KJB54771 pep chromosome:Graimondii2_0_v6:9:3510040:3514306:-1 gene:B456_009G048500 transcript:KJB54771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYGMQNQIACIRRSLFDQGYLDEQFIQLEELQDDANPNFVQEIVTLFYTDSTRLIQNIELTLNSRPINFSKLDDYMHQFKGSSSSIGAKKVTSECAVFRQYCAAGNAEACIRNFQHIKQEHAILRKKLEFYFQMMGQAAVAQTR >KJB60585 pep chromosome:Graimondii2_0_v6:9:29450101:29450400:-1 gene:B456_009G313900 transcript:KJB60585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSTTEKDLMIVNMGPHHPSMHGVLRLIVTLDGEDVVDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYIATMFTEAITVNGPEQLGNIQVPKRGG >KJB60147 pep chromosome:Graimondii2_0_v6:9:25184296:25190538:-1 gene:B456_009G291700 transcript:KJB60147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSNAIANGVAGAGAGIIAQILTYPLQTVNTRQQTERIAKSKPKPPTAAAGTLLQILHVLQTEGWGGLYSGLKPSLFGTAASQGIYYYFYQLFKNKAEAIAVVRKHKGRGDGTLGIFSWLVVAALAGSLNVLLTNPIWVLVTRMQTHTQAERKIMESKKEALLKEASENGLIGSTLQEKLDELESTKPHPYGTIHAAREVYTEAGIRGFWKGIIPTLIMVCNPSIQFMIYETSLKRLKEKRSANKHGLKNVSALEVFLLGALAKLGATVTTYPLLVVKSRLQAKQEIGGNISLRYSGTVDAIIKMMKYEGLPGFYKGMSTKIVQSVFAASVLFMFKEEIVKAYIFLVHRIGKAKVPLN >KJB60144 pep chromosome:Graimondii2_0_v6:9:25184282:25190538:-1 gene:B456_009G291700 transcript:KJB60144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSNAIANGVAGAGAGIIAQILTYPLQTVNTRQQTERIAKSKPKPPTAAAGTLLQILHVLQTEGWGGLYSGLKPSLFGTAASQGIYYYFYQLFKNKAEAIAVVRKHKGRGDGTLGIFSWLVVAALAGSLNVLLTNPIWVLVTRMQTHTQAERKIMESKKEALLKEASENGLIGSTLQEKLDELESTKPHPYGTIHAAREVYTEAGIRGFWKGIIPTLIMVCNPSIQFMIYETSLKRLKEKRSANKHGLKNVSALEVFLLGALAKLGATVTTYPLLVVKSRLQAKQEIGGNISLRYSGTVDAIIKMMKYEGLPGFYKGMSTKIVQSVFAASVLFMFKEEIVKAYIFLVHRIGKAKVPLN >KJB60145 pep chromosome:Graimondii2_0_v6:9:25184397:25190537:-1 gene:B456_009G291700 transcript:KJB60145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSNAIANGVAGAGAGIIAQILTYPLQTVNTRQQTERIAKSKPKPPTAAAGTLLQILHVLQTEGWGGLYSGLKPSLFGTAASQGIYYYFYQLFKNKAEAIAVVRKHKGRGDGTLGIFSWLVVAALAGSLNVLLTNPIWVLVTRMQTHTQAERKIMESKKEALLKEASENGLIGSTLQEKLDELESTKPHPYGTIHAAREVYTEAGIRGFWKGIIPTLIMVCNPSIQFMIYETSLKRLKEKRSANKHGLKNVSALEVFLLGALAKLGATVTTYPLLVVKSRLQAKQEIGGNISLRYSGTVDAIIKMMKYEGLPGFYKGMSTKIVQSVFAASVLFMFKEEIVKAYIFLVHRIGKAKVPLN >KJB60146 pep chromosome:Graimondii2_0_v6:9:25184310:25190538:-1 gene:B456_009G291700 transcript:KJB60146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSNAIANGVAGAGAGIIAQILTYPLQTVNTRQQTERIAKSKPKPPTAAAGTLLQILHVLQTEGWGGLYSGLKPSLFGTAASQGIYYYFYQLFKNKAEAIAVVRKHKGRGDGTLGIFSWLVVAALAGSLNVLLTNPIWVLVTRMQTHTQAERKIMESKKEALLKEASENGLIGSTLQEKLDELESTKPHPYGTIHAAREVYTEAGIRGFWKGIIPTLIMVCNPSIQFMIYETSLKRLKEKRSANKHGLKNVSALEVFLLGALAKLGATVTTYPLLVVKSRLQAKQEIGGNISLRYSGTVDAIIKMMKYEGLPGFYKGMSTKIVQSVFAASVLFMFKEEIVKAYIFLVHRIGKAKVPLN >KJB54928 pep chromosome:Graimondii2_0_v6:9:3971717:3979836:-1 gene:B456_009G054800 transcript:KJB54928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDDDEFGDLYSDVLHPFSSTTTMSSAAPQPHHPFPPPPHLHRPIDLNRGSAPAPMFLDSKHEPADGQDVNFDIEEGGSNGIEAARYDGPIFPGLTEPVPHEEFGWNANESGNGIQGGEAEADEGDGCDSDSEDDLQIVLNDNHHGLMDMERGGMIGEDDDDDEDEDPLVIVADADANQGTEEHEWGEEGCQAADGEKKEGGEAAKVSSAGGGGGSVVAPKIGYSNYGYHPFHSQFKYVRPGAAPMPGTIASGPGSAPAQVRPIMSGTAGRGRGDWRPHGMKTGTPTQKGFHPSFGMPGGINNMAGRGGLEFTLPSHKTIFNVDIDSFEDKPWKHPGVDLSDFFNFGLNEEGWKDYYKQLEQHRLETTMQSKIRVYESGRTEQGYDPDLPPELAAATGQEVRAGAANLGKLDGGQNDVTKGTARIRQPLPTGRAIQVEGGCGERLPSIDTRPPRLRDSDAIIEIVCQDTLDNDSSTQNGVEDQTENELSREYLIGDLASEAEVAHEDNECFDGFPDSYNSRKRKLIGKRAINSAQSNVPEDHKILPFPAEALHPDGPGSRGQSPMHPSGNFEVPPEERQRRGRVGEISPHETLIQGKQDKFSVGHEEESVKSMDGKSPFLVRDAREISVEHMDGVDDELEPTDGSPVEKELLNGTYKDGSSLNPLNNGKNRFQVEQRKLHNADDGEDTRAARNDLYSRKNRSEDFKRHDDEMGSRNRTKVRGNERTERDGYPPSRKQFVKGSYKVHRDKDVSTRLRERDDNLKSRYDAADDYHNKRRKDEDYLRRVNADKEEILQGQRESSSRCKKQERDEILDQRKRDEQQRIRDNFDEHHSIRQKGDVWLNRERVEKRMEREEWHRLKQSHDKSLSKREKEVRGTVRSGRGLEDKAWVGHTREKDEHRVSEKEYQLKEAVRNSEQVKRRDRNDDEGYSHHRGREDSYSQGHQFSNDERKSRQERSSTRSDHAVNGSDSQRGHEKKRKENIRKNRESEGGGPITLGSAKRSNEDLSGLNNETGLKSDEKSENPVYNSSRKHREDASSDDEQQESKRGSFKLERWTSHEERDYNINSKSSTSSRLKEIENIYNVGSSKSYKIPDESSKSIEPAENHHSVSDDNGV >KJB54930 pep chromosome:Graimondii2_0_v6:9:3972957:3979836:-1 gene:B456_009G054800 transcript:KJB54930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDDDEFGDLYSDVLHPFSSTTTMSSAAPQPHHPFPPPPHLHRPIDLNRGSAPAPMFLDSKHEPADGQDVNFDIEEGGSNGIEAARYDGPIFPGLTEPVPHEEFGWNANESGNGIQGGEAEADEGDGCDSDSEDDLQIVLNDNHHGLMDMERGGMIGEDDDDDEDEDPLVIVADADANQGTEEHEWGEEGCQAADGEKKEGGEAAKVSSAGGGGGSVVAPKIGYSNYGYHPFHSQFKYVRPGAAPMPGTIASGPGSAPAQVRPIMSGTAGRGRGDWRPHGMKTGTPTQKGFHPSFGMPGGINNMAGRGGLEFTLPSHKTIFNVDIDSFEDKPWKHPGVDLSDFFNFGLNEEGWKDYYKQLEQHRLETTMQSKIRVYESGRTEQGYDPDLPPELAAATGQEVRAGAANLGKLDGGQNDVTKGTARIRQPLPTGRAIQVEGGCGERLPSIDTRPPRLRDSDAIIEIVCQDTLDNDSSTQNGVEDQTENELSREYLIGDLASEAEVAHEDNECFDGFPDSYNSRKRKLIGKRAINSAQSNVPEDHKILPFPAEALHPDGPGSRGQSPMHPSGNFEVPPEERQRRGRVGEISPHETLIQGKQDKFSVGHEEESVKSMDGKSPFLVRDAREISVEHMDGVDDELEPTDGSPVEKELLNGTYKDGSSLNPLNNGKNRFQVEQRKLHNADDGEDTRAARNDLYSRKNRSEDFKRHDDEMGSRNRTKVRGNERTERDGYPPSRKQFVKGSYKVHRDKDVSTRLRERDDNLKSRYDAADDYHNKRRKDEDYLRRVNADKEEILQGQRESSSRCKKQERDEILDQRKRDEQQRIRDNFDEHHSIRQKGDVWLNRERVEKRMEREEWHRLKQSHDKSLSKREKEVRGTVRSGRGLEDKAWVGHTREKDEHRVSEKEYQLKEAVRNSEQVKRRDRNDDEGYSHHRGREDSYSQGHQFSNDERKSRQERSSTRSDHAVNGSDSQRGHEKKRKENIRKNRESEGGGPITLGSAKRSNEDLSGLNNETVCFIDSRT >KJB54929 pep chromosome:Graimondii2_0_v6:9:3972889:3979883:-1 gene:B456_009G054800 transcript:KJB54929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDDDEFGDLYSDVLHPFSSTTTMSSAAPQPHHPFPPPPHLHRPIDLNRGSAPAPMFLDSKHEPADGQDVNFDIEEGGSNGIEAARYDGPIFPGLTEPVPHEEFGWNANESGNGIQGGEAEADEGDGCDSDSEDDLQIVLNDNHHGLMDMERGGMIGEDDDDDEDEDPLVIVADADANQGTEEHEWGEEGCQAADGEKKEGGEAAKVSSAGGGGGSVVAPKIGYSNYGYHPFHSQFKYVRPGAAPMPGTIASGPGSAPAQVRPIMSGTAGRGRGDWRPHGMKTGTPTQKGFHPSFGMPGGINNMAGRGGLEFTLPSHKTIFNVDIDSFEDKPWKHPGVDLSDFFNFGLNEEGWKDYYKQLEQHRLETTMQSKIRVYESGRTEQGYDPDLPPELAAATGQEVRAGAANLGKLDGGQNDVTKGTARIRQPLPTGRAIQVEGGCGERLPSIDTRPPRLRDSDAIIEIVCQDTLDNDSSTQNGVEDQTENELSREYLIGDLASEAEVAHEDNECFDGFPDSYNSRKRKLIGKRAINSAQSNVPEDHKILPFPAEALHPDGPGSRGQSPMHPSGNFEVPPEERQRRGRVGEISPHETLIQGKQDKFSVGHEEESVKSMDGKSPFLVRDAREISVEHMDGVDDELEPTDGSPVEKELLNGTYKDGSSLNPLNNGKNRFQVEQRKLHNADDGEDTRAARSSEHSKTRSGSSRDYQKRQESAEEEVVQGGHSSRVGNVRKNLDEHDHSSQRKYCDVRREIERNRMTGKPGEDSYPLRDFDASLSHDFPIKTEGFDRRRECDNTDGTCQWGEDDLYSRKNRSEDFKRHDDEMGSRNRTKVRGNERTERDGYPPSRKQFVKGSYKVHRDKDVSTRLRERDDNLKSRYDAADDYHNKRRKDEDYLRRVNADKEEILQGQRESSSRCKKQERDEILDQRKRDEQQRIRDNFDEHHSIRQKGDVWLNRERVEKRMEREEWHRLKQSHDKSLSKREKEVRGTVRSGRGLEDKAWVGHTREKDEHRVSEKEYQLKEAVRNSEQVKRRDRNDDEGYSHHRGREDSYSQGHQFSNDERKSRQERSSTRSDHAVNGSDSQRGHEKKRKENIRKNRESEGGGPITLGSAKRSNEDLSGLNNETVCFIDSRT >KJB55436 pep chromosome:Graimondii2_0_v6:9:5485328:5489437:-1 gene:B456_009G076100 transcript:KJB55436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKLNLGFNLFFIFQLKFVVFAAVGGGGGYSRSDFPPGFVFGASTSAYQYEGAADKDGRTPSIWDTFVHAEGNFNEGNGDIACDGYHKYKEDVGLMVDTGLEAYRFSISWSRLIPNGRGPVNVKGLQYYNNLINELIKNGIQPHATLHHYDLPQTLEDEYGGWLNREIVKDFTAYADICFREFGDRVLYWTTVNEANVFVLGGYDLGFEPPQRCSAPSPFNCSKGNSSTEPYLAAHNILLAHAAVAKLYKKKHQEKQHGLVGFNLFNYWFVPLTNTTEDIIAVQRANDFYFGWFMHPLVYGDYPRSMKENAGSRLPAFTSSESKQVKGSFDFIGLNFYNTMSVKDQPSSLEMEHRDPAADMAIELIPFPHNASKFEFSVTPWALKGLLEYVKEAYGNPPIYIHENGQRTRQNSSLEDWPRVKSLNAYIGSVLDAIRNGSNTRGYFTWSFMDLFELLDGYESSYGLYYVDLEDPGLRRVPKLSAKWYSEFLKGKYVDFSEVIQLQDASFSDAQLTH >KJB55437 pep chromosome:Graimondii2_0_v6:9:5485468:5489325:-1 gene:B456_009G076100 transcript:KJB55437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKLNLGFNLFFIFQLKFVVFAAVGGGGGYSRSDFPPGFVFGASTSAYQYEGAADKDGRTPSIWDTFVHAEGNFNEGNGDIACDGYHKYKEDVGLMVDTGLEAYRFSISWSRLIPNGRGPVNVKGLQYYNNLINELIKNGIQPHATLHHYDLPQTLEDEYGGWLNREIVKDFTAYADICFREFGDRVLYWTTVNEANVFVLGGYDLGFEPPQRCSAPSPFNCSKGNSSTEPYLAAHNILLAHAAVAKLYKKKHQKKLMQEKQHGLVGFNLFNYWFVPLTNTTEDIIAVQRANDFYFGWFMHPLVYGDYPRSMKENAGSRLPAFTSSESKQVKGSFDFIGLNFYNTMSVKDQPSSLEMEHRDPAADMAIELIPFPHNASKFEFSVTPWALKGLLEYVKEAYGNPPIYIHENGQRTRQNSSLEDWPRVKSLNAYIGSVLDAIRNGSNTRGYFTWSFMDLFELLDGYESSYGLYYVDLEDPGLRRVPKLSAKWYSEFLKGKYVDFSEVIQLQDASFSDAQLTH >KJB58829 pep chromosome:Graimondii2_0_v6:9:17872629:17882284:1 gene:B456_009G228100 transcript:KJB58829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGSVQVPRVLHFQLIDGMQLQINVSGCSNKRNARVEFQLKNCTRTWILHWGFLYLGNRNWYIPSGEDSSGAKTYKQGALQTPFVKNGDMYVVTIELRDPKIHAIEFLLKDGSQDRWLKLNHGNFRVEVPEYDASNPLPSIPKELIDRKAYLIWESRGRPQSSPEQQKQDYADALTELQNQLRKGISLNELQSSYMNARTKIKAQDDVQPSRPVTPSSYLRRHDVEKWLQRQSKGPNETKAGQSSLALMDLVEKSAGGNNAVSKQNYIVGIYEIVVLSKVLSGDYHIFVALNVRGTAILHWGVSKSSAGEWLAPPSDMLPEKSKMVVGACQTYFTEKTVGGRPFQLVDVNLQKRNFVGIQFVIWCGGSWIKNNGGNFFVALQRVLPIRKVNGYSNGIVKWLLDEISQREKEAERSLMHRFNIATELTERCKAEGELGLVGILVWMRLMRCRHLTWNKNYNVKPREISEAQDRFTNLLQRIYLNQPNDREIVRLIVSFVGRGGQGDVGQRIRDEILMVQRNNDCKGGMMEEWHQKLHNNSSPDDVVICEALLNYLRAGFKLDVYWKTLHAHGLTKEKLASYDRPIVSEPCFRMEAKEGLIRDLTMYLKTLKAVHSGVELESAIDSCLAPSLNNQGFATADRVNVYGALSLKLQDCLNFVKTHIGDECIGPLMEKLLESRIEIRPLLLTPHRLAKELLFLDLALASAVRTTMERGLKDLNFANPPEIMFFISLVLESLCLSTVKNEDLIYCTKDWYRASKSHKSGDAQWALQTKAILDRLQIILSDRAVDLQIKIQPSAEYLGKLLGIGKTTIDTFSEELIRAGSAAVLSMLITRFDPVLRKVANLGCWQVISPVEVSGFVYSVNELITVQNKVYRKPTIIIASRVTGEEEIPDGVVAVLTSDTPDVLSHVSIRARNSKICFATCFDQNTFRNLKSKEGRAVSIQLKSSNLIVSDIGGSILPLSSLVPSISRRVTLKRKIFRGRYALSLEEFTTETVGAKSCNIKFLRGRVPSWIRIPMSVAIPFGAFETVLSLDVNKDISTKIMFLRKLVNGGDVSKLQEIKGAILQMSVPVSLTTELTSKMKSARMPWPDKGGDDQWNRAWQAIKKVWASKWNERAYISCKKAKLNHEDLRMAVLIQEVICGDYAFVIHTKNPLSGDTSEIYAEIVKGLGETLVGAYPGRAMSFIAKKNNLKSPIVTCYASKKIGLYCKPTIIFRSDSNGEDLGGYAGAGLYDSVLMDEEESMVLDYSNDPMMVNKAFQTSILSKVAEAGKIIETLYGCPQDIEGVVKDGMIYVVQARPQV >KJB59133 pep chromosome:Graimondii2_0_v6:9:19179044:19183071:1 gene:B456_009G240100 transcript:KJB59133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTAAEAQQLDLEDSSRTERVQWLINSPEPPSLWQELVGTVKGSLLTPGKKNSSSNAKGKHAMSFLRGLFPILSWGRNYKASFFKHDLMAGLTLASLSIPQSIGYANLAKLDPQYGLYTSVVPPLIYAVMGSSREIAIGPVAVVSMLLSSMIPGLVDPATDPIGYTSLVFTVTFFAGTFQAIFGLFRLGFLVDFLSHAAVVGFMAGAAIVIGLQQLKGLFGLSHFTTKTDVVSVLTSVSKSVKHEWYPLNFVLGLSFLVFLLVARFIGKRNKKLFWFPAIAPLLSVILSTLIVYLTRADNHGVKIVKHLKGGLNPSSVHRLQFNGTHVAEAAKIGLISAIVALTEAIAVGRSFASINGYHLDGNKEMLAMGFMNLAGSLTSCYVATGSFSRTAVNFSAGCKTVVSNIVMAITVILALELFTRLLYYTPVAILASIIMSALPGLIDINEAYRIWKVDKLDFLACLGAFLGVLFKSVEIGLLVAVAISFAKVLLNSIRPAVEQLGRLPRTDIFCEVDQYPMAVKTPGLFTLRINSSLLCFANANFLRERILKLLTQDENGTEETAKDRVQILILDMTNVMNIDTSGILALEELHTELVSLGMKVSFL >KJB59132 pep chromosome:Graimondii2_0_v6:9:19178993:19183185:1 gene:B456_009G240100 transcript:KJB59132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTAAEAQQLDLEDSSRTERVQWLINSPEPPSLWQELVGTVKGSLLTPGKKNSSSNAKGKHAMSFLRGLFPILSWGRNYKASFFKHDLMAGLTLASLSIPQSIGYANLAKLDPQYGLYTSVVPPLIYAVMGSSREIAIGPVAVVSMLLSSMIPGLVDPATDPIGYTSLVFTVTFFAGTFQAIFGLFRLGFLVDFLSHAAVVGFMAGAAIVIGLQQLKGLFGLSHFTTKTDVVSVLTSVSKSVKHEWYPLNFVLGLSFLVFLLVARFIGKRNKKLFWFPAIAPLLSVILSTLIVYLTRADNHGVKIVKHLKGGLNPSSVHRLQFNGTHVAEAAKIGLISAIVALTEAIAVGRSFASINGYHLDGNKEMLAMGFMNLAGSLTSCYVATGSFSRTAVNFSAGCKTVVSNIVMAITVILALELFTRLLYYTPVAILASIIMSALPGLIDINEAYRIWKVDKLDFLACLGAFLGVLFKSVEIGLLVAVAISFAKVLLNSIRPAVEQLGRLPRTDIFCEVDQYPMAVKTPGLFTLRINSSLLCFANANFLRERILKLLTQDENGTEETAKDRVQILILDMTNVMNIDTSGILALEELHTELVSLGMKLVMVNLRWQVIHKLKLSKLVEKIGADGIFLTVAEAVDACLASKLAINSL >KJB62602 pep chromosome:Graimondii2_0_v6:9:66761160:66763529:1 gene:B456_009G425500 transcript:KJB62602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSELETAFHSLSKDDKFQASSEELAGILKDYVGRESPLILQSGCRSISSVPMALLAKRLGKNRTIAETGAGQHGIAIATVCARFGLQCVIYMGAQDIVVHSGTATLKDATSEAIQDWVTNVETTHYILGSIAGPHPYLMMVRESYAVVGKETRKQALEKWGGKPDVLVARVGGGSNAMGLFHEFVNDKDIRLIGVEAAGFGSDSGKHAANFVVAVLSTSVTDEEALEAFKRLSRLEGIIPTLETSYALAYLEKLCPTLPNSTKVVVNCSGRGDKDVQTANKHLQV >KJB59938 pep chromosome:Graimondii2_0_v6:9:24414986:24419836:-1 gene:B456_009G286800 transcript:KJB59938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRRRTLLKVIVLGDSAVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIEDRLLTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDSLDNWHDEFLKQANPTDPRTFPFMLLGNKIDIDGGNSRVVSEKKAKDWCASKGNIPYFETSAKEDINVDAAFLSIAKSALAKEREQDIYFQGIPEAVTETEQRSGCAC >KJB59937 pep chromosome:Graimondii2_0_v6:9:24417160:24419677:-1 gene:B456_009G286800 transcript:KJB59937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRRRTLLKVIVLGDSAVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIEDRLLTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDSLDNWHDEFLKQERNTEFLIAYPIFRWKIASFQWSQSNRSQDVSLYVAWKQNRY >KJB59939 pep chromosome:Graimondii2_0_v6:9:24414986:24420005:-1 gene:B456_009G286800 transcript:KJB59939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRRRTLLKVIVLGDSAVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIEDRLLTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDSLDNWHDEFLKQANPTDPRTFPFMLLGNKIDIDGGNSRVVSEKKAKDWCASKGNIPYFETSAKEDINVDAAFLSIAKSALAKEREQDIYFQGIPEAVTETEQRSGCAC >KJB56248 pep chromosome:Graimondii2_0_v6:9:8188743:8193954:-1 gene:B456_009G112500 transcript:KJB56248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYIPFSLSKIDRKSSKNLLDMDGQWGFKRLKFRMMFMLVLSLFDQNMSVSSSLNGEGLALLRLRERVVSDPFGALSNWKENDGEIDPCSWFGVKCSHGKVVILNLKDLCLVGSLGPEVGKLVYLKSIILRNNSFSGSIPKEVGELKELEVLDLGCNNFSGPFPRDFCNNLSLGTLLLDNNEFLGSLAAEVKMLSKFEVDENQLINNAAARPSCESRDFPHRNIAQPRHVALWRRLQQLLDPSKAHKANKRGSQPSVPPSLAHNHVSLPSTSPSPLPLSPFLSPLGAPFLPPSASPSSDFITPPSPSPSPSVSPAVAPAPALPLPAEPPVFISEPPQSHSAPANSPASTPSQIEDERSDSEHHMVIMLIASIGGSLFVLVLFLGIFLFRSSKVVTVKPWATGLSGQLRKAFVTGVPKLKRSELEAACEDFSNIIGTFSDGTVYKGTLSSGVEVAVTSTAVASREDWSKNLETDFRNKIDALSKVNHKNFVNLIGYCEENVPFTRMMVFEYAPSGTLFEHLHIQEAEHLDWGMRLRIAMGIAYCLEHMHQLTPPIAHRNLQSGSVYLTEDYAAKISDFSFLSNATAAKAGLTTMELLESQSADAESNIYSFGVILFEMITGRIPYSVDNGCVADWASDYLKRDKPLKEMVDLTLKYFQEDEVEKLFEVIKTCVNPDPKERPTMREIAANLKEITAVGPEGATPKLSPLWWAELEILSTEAS >KJB56250 pep chromosome:Graimondii2_0_v6:9:8189304:8193111:-1 gene:B456_009G112500 transcript:KJB56250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQWGFKRLKFRMMFMLVLSLFDQNMSVSSSLNGEGLALLRLRERVVSDPFGALSNWKENDGEIDPCSWFGVKCSHGKVVILNLKDLCLVGSLGPEVGKLVYLKSIILRNNSFSGSIPKEVGELKELEVLDLGCNNFSGPFPRDFCNNLSLGTLLLDNNEFLGSLAAEVKMLSKFEVDENQLINNAAARPSCESRDFPHRNIAQPRHVALWRRLQQLLDPSKAHKANKRGSQPSVPPSLAHNHVSLPSTSPSPLPLSPFLSPLGAPFLPPSASPSSDFITPPSPSPSPSVSPAVAPAPALPLPAEPPVFISEPPQSHSAPANSPASTPSQIEDERSDSEHHMVIMLIASIGGSLFVLVLFLGIFLFRSSKVVTVKPWATGLSGQLRKAFVTGIFPF >KJB56249 pep chromosome:Graimondii2_0_v6:9:8189062:8193111:-1 gene:B456_009G112500 transcript:KJB56249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQWGFKRLKFRMMFMLVLSLFDQNMSVSSSLNGEGLALLRLRERVVSDPFGALSNWKENDGEIDPCSWFGVKCSHGKVVILNLKDLCLVGSLGPEVGKLVYLKSIILRNNSFSGSIPKEVGELKELEVLDLGCNNFSGPFPRDFCNNLSLGTLLLDNNEFLGSLAAEVKMLSKFEVDENQLINNAAARPSCESRDFPHRNIAQPRHVALWRRLQQLLDPSKAHKANKRGSQPSVPPSLAHNHVSLPSTSPSPLPLSPFLSPLGAPFLPPSASPSSDFITPPSPSPSPSVSPAVAPAPALPLPAEPPVFISEPPQSHSAPANSPASTPSQIEDERSDSEHHMVIMLIASIGGSLFVLVLFLGIFLFRSSKVVTVKPWATGLSGQLRKAFVTGVPKLKRSELEAACEDFSNIIGTFSDGTVYKGTLSSGVEVAVTSTAVASREDWSKNLETDFRNKTLCLK >KJB56251 pep chromosome:Graimondii2_0_v6:9:8189304:8193111:-1 gene:B456_009G112500 transcript:KJB56251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQWGFKRLKFRMMFMLVLSLFDQNMSVSSSLNGEGLALLRLRERVVSDPFGALSNWKENDGEIDPCSWFGVKCSHGKVVILNLKDLCLVGSLGPEVGKLVYLKSIILRNNSFSGSIPKEVGELKELEVLDLGCNNFSGPFPRDFCNNLSLGTLLLDNNEFLGSLAAEVKMLSKFEVDENQLINNAAARPSCESRDFPHRNIAQPRHVALWRRLQQLLDPSKAHKANKRGSQPSVPPSLAHNHVSLPSTSPSPLPLSPFLSPLGAPFLPPSASPSSDFITPPSPSPSPSVSPAVAPAPALPLPAEPPVFISEPPQSHSAPANSPASTPSQIEDERSDSEHHMVIMLIASIGGSLFVLVLFLGIFLFRSSKVVTVKPWATGLSGQLRKAFVTGVPKLKRSELEAACEDFSNIIGTFSDGTVYKGTLSSGVEVAVTSTAVASREDWSKNLETDFRNKVLQYC >KJB59363 pep chromosome:Graimondii2_0_v6:9:20476227:20477254:-1 gene:B456_009G251500 transcript:KJB59363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGQEEMQFLGLFGIFKESYKIISSWRKLFTNITLALILPLSFIYLVHVQVSSLFSSKLQLDRIPSDTAEYENSSNLISHEALYFLLFNFAYFTMFYFFSLLSTAAVVYTIACIYTAREITFKTIIRVVPKVWKRLIVTFLSIFVAIFIYLVVAAPVLIIWAAISVGQMDAMADAVLAVLVVLFPAGLFYLATIWHLATTLGLAIAVFFILQPAMGLNHMAFKRLVVEGTDMGMANRVVYAIICFLLLSTGILFQLVIQTVVYFVCKSYNHENIDKSALSDHLEVYMLGDYASLKAKDVQLFRV >KJB62515 pep chromosome:Graimondii2_0_v6:9:65592264:65594126:-1 gene:B456_009G420500 transcript:KJB62515 gene_biotype:protein_coding transcript_biotype:protein_coding description:APX2 [Source:Projected from Arabidopsis thaliana (AT3G09640) UniProtKB/TrEMBL;Acc:A0A178VH43] MAKKCYPTVSEEYKKAVQKCKRKLRGLIAEKHCAPIILRLAWHSAGTFDVKNRTGGPFGTIRHRDELAHEANSGLDIAVRLLEPVKEQFPILSYADFYQLAGIVAVEVTGGPEIPFHPGRPDKNEPPPEGRLPQATNGSDHLREVFGHMGLGDKDIVALSGGHTLGRCHKERSGFEGPWTSNPLIFDNSYFKELVSGEKEGLIQLPTDKALLEDPVFRPLVHKYAADEDAFFADYAESHLKLSELGFADAE >KJB54588 pep chromosome:Graimondii2_0_v6:9:2968256:2973270:1 gene:B456_009G040200 transcript:KJB54588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITVLENMGLLCSKNRRFTEADAEENAQAAEIDRRIEQERKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEDELKSYISVIHANIYQTIKILYDGSKEFAQNDADSSKYVLSNEIKVIGEKLSEIGSRLDYPRLNRELAQEIETLWKDSAIQETYAHGNELQVPDCTHYFMENLQRLSDANYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDEQKNRMVETKELFDWVLKQPCFEKTSFMLFLNKFDIFENKVLKVPLNVCEWFKDYQPVSTGKQEIEHAYE >KJB54586 pep chromosome:Graimondii2_0_v6:9:2968256:2973270:1 gene:B456_009G040200 transcript:KJB54586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITVLENMGLLCSKNRRFTEADAEENAQAAEIDRRIEQERKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEDELKSYISVIHANIYQTIKILYDGSKEFAQNDADSSKYVLSNEIKVIGEKLSEIGSRLDYPRLNRELAQEIETLWKDSAIQETYAHGNELQVPDCTHYFMENLQRLSDANYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDEQKNRMVETKELFDWVLKQPCFEKTSFMLFLNKFDIFENKVLKVKASIMGIKLQYLCVCMSVIFDIQHSIGSAKCMRVVQRLPASFNWKARDRACIRVCKEEIRGAVFSKHSP >KJB54589 pep chromosome:Graimondii2_0_v6:9:2969714:2972348:1 gene:B456_009G040200 transcript:KJB54589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITVLENMGLLCSKNRRFTEADAEENAQAAEIDRRIEQERKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEDELKSYISVIHANIYQTIKILYDGSKEFAQNDADSSKYVLSNEIKVIGEKLSEIGSRLDYPRLNRELAQEIETLWKDSAIQETYAHGNELQVPDCTHYFMENLQRLSDANYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDEQKNRMVETKELFDWVLKQPCFEVFLMLYYAFCVSTR >KJB54590 pep chromosome:Graimondii2_0_v6:9:2968408:2973270:1 gene:B456_009G040200 transcript:KJB54590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITVLENMGLLCSKNRRFTEADAEENAQAAEIDRRIEQERKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEDELKSYISVIHANIYQTIKILYDGSKEFAQNDADSSKYVLSNEIKVIGEKLSEIGSRLDYPRLNRELAQEIETLWKDSAIQETYAHGNELQVPDCTHYFMENLQRLSDANYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDEQKNRMVETKELFDWVLKQPCFEVFLMLYYAFCVSTR >KJB54585 pep chromosome:Graimondii2_0_v6:9:2968035:2973304:1 gene:B456_009G040200 transcript:KJB54585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITVLENMGLLCSKNRRFTEADAEENAQAAEIDRRIEQERKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEDELKSYISVIHANIYQTIKILYDGSKEFAQNDADSSKYVLSNEIKVIGEKLSEIGSRLDYPRLNRELAQEIETLWKDSAIQETYAHGNELQVPDCTHYFMENLQRLSDANYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDEQKNRMVETKELFDWVLKQPCFEKTSFMLFLNKFDIFENKVLKVPLNVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEELYFQSTAPDRVDRVFKIYRTTALDQKLVKKTFKLVDESLRRRNLFEAGLL >KJB54587 pep chromosome:Graimondii2_0_v6:9:2969714:2972679:1 gene:B456_009G040200 transcript:KJB54587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITVLENMGLLCSKNRRFTEADAEENAQAAEIDRRIEQERKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEDELKSYISVIHANIYQTIKILYDGSKEFAQNDADSSKYVLSNEIKVIGEKLSEIGSRLDYPRLNRELAQEIETLWKDSAIQETYAHGNELQVPDCTHYFMENLQRLSDANYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDEQKNRMVETKELFDWVLKQPCFEKTSFMLFLNKFDIFENKVLKVKASIMGIKLQYLCVCMSVIFDIQHSIGSAKCMRVVQRLPASFNWKARDRACIRVSNSSPLFAKKEFFNL >KJB54591 pep chromosome:Graimondii2_0_v6:9:2968256:2973270:1 gene:B456_009G040200 transcript:KJB54591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITVLENMGLLCSKNRRFTEADAEENAQAAEIDRRIEQERKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEDELKSYISVIHANIYQTIKILYDGSKEFAQNDADSSKYVLSNEIKVIGEKLSEIGSRLDYPRLNRELAQEIETLWKDSAIQETYAHGNELQVPDCTHYFMENLQRLSDANYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDEQKNRMVETKELFDWVLKQPCFEKTSFMLFLNKFDIFENKVLKVPLNVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEELYFQSTAPDRVDRVFKIYRTTALDQKLVKKTFKLVDESLRRRNLFEAGLL >KJB55667 pep chromosome:Graimondii2_0_v6:9:6392546:6393176:1 gene:B456_009G087600 transcript:KJB55667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPPFRPREKLIEKQKQFQSIHKPTYLKGRYDKITSIAIPAALAATSLYFIAEGIYNMSHGIGKKE >KJB55668 pep chromosome:Graimondii2_0_v6:9:6392553:6393127:1 gene:B456_009G087600 transcript:KJB55668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPPFRPREKLIEKQKQFQSIHKPTYLKGRYDKITSIAIPAALAATSLYFIAEGIYNMSHGIGKKE >KJB56976 pep chromosome:Graimondii2_0_v6:9:10930945:10938648:1 gene:B456_009G144100 transcript:KJB56976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLIGMNFYMIGRDKNRTLWMVLKIDRLDPSELTVIEDSTAYSEIECFDLLRRIHEGNRSSGGLKFVTACYGIVGFIKFLGSYSMMLITKRKKIGAICGHTVYAISKSEMIPISKSPNQSNMAYSKNEKRSFVNSSSKCNMSLVLRRRPEIFTGSIHFPFVFRWICVMLWLFFFFSFNHVFLDNFEFWTSVLEVKSSMVWRYKKLLLTVDLTKDFFFSYTYNVMHSLQRNLCRNETGEVHYETMFVWNEFLTRGIRNTLKNTLWTVALVYGFFKQVKLSVSGRDFCLTLISRRSRHYAGTRYMKRGVNEKGRVANDVETEQIVFEDVHEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPNYEATRLHFEDLVRRYGNPIIILNLIKRFEKKPRESILRAEFANAIRFINKSLSKENRLRFLHWDLNRHSRKATNVLTLLGRVADYALNLTGIFYCQVTSNRRQDGLLTLSCLVKSDECPAKIPSEKSDDFEKWETDVANDNESISENAKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHAMGFTESQTIDQNSPLAEDLMSVYEAMGDTLALQYGGSAAHNKIFCQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQSAINLFLGHFQPQQGKPALWELDSVQHYSIGRNGPNRYNENARPSFKRSLSDGNIVCDMDSPLAPSNIGHRQPLCENRGTFNGLSESTPEIPTSEISYSRMSCRQLFGDMEDQFLEIDGICYDENGDECNCTNFDLDWPSSSGNSCDDDIFDRSTTGLSSENIGAELKIDMTTSPSESGSSIKGGDRTASELTCGRILDEFSESFVNWVTHGDMLIPSKFISKVVP >KJB56972 pep chromosome:Graimondii2_0_v6:9:10931610:10938142:1 gene:B456_009G144100 transcript:KJB56972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLITKRKKIGAICGHTVYAISKSEMIPISKSPNQSNMAYSKNEKRYKKLLLTVDLTKDFFFSYTYNVMHSLQRNLCRNETGEVHYETMFVWNEFLTRGIRNTLKNTLWTVALVYGFFKQVKLSVSGRDFCLTLISRRSRHYAGTRYMKRGVNEKGRVANDVETEQIVFEDVHEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPNYEATRLHFEDLVRRYGNPIIILNLIKRFEKKPRESILRAEFANAIRFINKSLSKENRLRFLHWDLNRHSRKATNVLTLLGRVADYALNLTGIFYCQVTSNRRQDGLLTLSCLVKSDECPAKIPSEKSDDFEKWETDVANDNESISENAKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHAMGFTESQTIDQNSPLAEDLMSVYEAMGDTLALQYGGSAAHNKIFCQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQSAINLFLGHFQPQQGKPALWELDSVQHYSIGRNGPNRYNENARPSFKRSLSDGNIVCDMDSPLAPSNIGHRQPLCENRGTFNGLSESTPEIPTSEISYSRMSCRQLFGDMEDQFLEIDGICYDENGDECNCTNFDLDWPSSSGNSCDDDIFDRSTTGLSSENIGAELKIDMTTSPSESGSSIKGGDRTASELTCGRILDEFSESFVNWVTHGDMLIPSKFISKVVP >KJB56977 pep chromosome:Graimondii2_0_v6:9:10930945:10938729:1 gene:B456_009G144100 transcript:KJB56977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLIGMNFYMIGRDKNRTLWMVLKIDRLDPSELTVIEDSTAYSEIECFDLLRRIHEGNRSSGGLKFVTACYGIVGFIKFLGSYSMMLITKRKKIGAICGHTVYAISKSEMIPISKSPNQSNMAYSKNEKRYKKLLLTVDLTKDFFFSYTYNVMHSLQRNLCRNETGEVHYETMFVWNEFLTRGIRNTLKNTLWTVALVYGFFKQVKLSVSGRDFCLTLISRRSRHYAGTRYMKRGVNEKGRVANDVETEQIVFEDVHEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPNYEATRLHFEDLVRRYGNPIIILNLIKRFEKKPRESILRAEFANAIRFINKSLSKENRLRFLHWDLNRHSRKATNVLTLLGRVADYALNLTGIFYCQVTSNRRQDGLLTLSCLVKSDECPAKIPSEKSDDFEKWETDVANDNESISENAKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHAMGFTESQTIDQNSPLAEDLMSVYEAMGDTLALQYGGSAAHNKIFCQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQSAINLFLGHFQPQQGKPALWELDSVQHYSIGRNGPNRYNENARPSFKRSLSDGNIVCDMDSPLAPSNIGHRQPLCENRGTFNGLSESTPEIPTSEISYSRMSCRQLFGDMEDQFLEIDGICYDENGDECNCTNFDLDWPSSSGNSCDDDIFDRSTTGLSSENIGAELKIDMTTSPSESGSSIKGGDRTASELTCGRILDEFSESFVNWVTHGDMLIPSKFISKVVP >KJB56967 pep chromosome:Graimondii2_0_v6:9:10929781:10938648:1 gene:B456_009G144100 transcript:KJB56967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESGSDAGPDSSPCYLQKFRLYETRSNFYMIGRDKNRTLWMVLKIDRLDPSELTVIEDSTAYSEIECFDLLRRIHEGNRSSGGLKFVTACYGIVGFIKFLGSYSMMLITKRKKIGAICGHTVYAISKSEMIPISKSPNQSNMAYSKNEKRYKKLLLTVDLTKDFFFSYTYNVMHSLQRNLCRNETGEVHYETMFVWNEFLTRGIRNTLKNTLWTVALVYGFFKQVKLSVSGRDFCLTLISRRSRHYAGTRYMKRGVNEKGRVANDVETEQIVFEDVHEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPNYEATRLHFEDLVRRYGNPIIILNLIKRFEKKPRESILRAEFANAIRFINKSLSKENRLRFLHWDLNRHSRKKSDECPAKIPSEKSDDFEKWETDVANDNESISENAKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHAMGFTESQTIDQNSPLAEDLMSVYEAMGDTLALQYGGSAAHNKIFCQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQSAINLFLGHFQPQQGKPALWELDSVQHYSIGRNGPNRYNENARPSFKRSLSDGNIVCDMDSPLAPSNIGHRQPLCENRGTFNGLSESTPEIPTSEISYSRMSCRQLFGDMEDQFLEIDGICYDENGDECNCTNFDLDWPSSSGNSCDDDIFDRSTTGLSSENIGAELKIDMTTSPSESGSSIKGGDRTASELTCGRILDEFSESFVNWVTHGDMLIPSKFISKVVP >KJB56971 pep chromosome:Graimondii2_0_v6:9:10929830:10937701:1 gene:B456_009G144100 transcript:KJB56971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESGSDAGPDSSPCYLQKFRLYETRSNFYMIGRDKNRTLWMVLKIDRLDPSELTVIEDSTAYSEIECFDLLRRIHEGNRSSGGLKFVTACYGIVGFIKFLGSYSMMLITKRKKIGAICGHTVYAISKSEMIPISKSPNQSNMAYSKNEKRYKKLLLTVDLTKDFFFSYTYNVMHSLQRNLCRNETGEVHYETMFVWNEFLTRGIRNTLKNTLWTVALVYGFFKQVKLSVSGRDFCLTLISRRSRHYAGTRYMKRGVNEKGRVANDVETEQIVFEDVHEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPNYEATRLHFEDLVRRYGNPIIILNLIKRFEKKPRESILRAEFANAIRFINKSLSKENRLRFLHWDLNRHSRKATNVLTLLGRVADYALNLTGIFYCQVTSNRRQDGLLTLSCLVKSDECPAKIPSEKSDDFEKWETDVANDNESISENAKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHAMGFTESQTIDQNSPLAEDLMSVYEAMGDTLALQYGGSAAHNKIFCQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQSAINLFLGHFQPQQGKPALWELDSVQHYSIGRNGPNRYNENARPSFKRSLSDGNIVCDMDSPLAPSNIGHRQPLCENRGTFNGLSESTPEIPTSEISYSRMSCRQLFGDMEDQFLEIDGICYDENGDECNCTNFDLDWPSSSGNSCDDDIFDRSTTGLSSENIGAELKIDMTTSPSESGSSIKVSIVVF >KJB56974 pep chromosome:Graimondii2_0_v6:9:10929830:10938205:1 gene:B456_009G144100 transcript:KJB56974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESGSDAGPDSSPCYLQKFRLYETRSNFYMIGRDKNRTLWMVLKIDRLDPSELTVIEDSTAYSEIECFDLLRRIHEGNRSSGGLKFVTACYGIVGFIKFLGSYSMMLITKRKKIGAICGHTVYAISKSEMIPISKSPNQSNMAYSKNEKRYKKLLLTVDLTKDFFFSYTYNVMHSLQRNLCRNETGEVHYETMFVWNEFLTRGIRNTLKNTLWTVALVYGFFKQVKLSVSGRDFCLTLISRRSRHYAGTRYMKRGVNEKGRVANDVETEQIVFEDVHEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPNYEATRLHFEDLVRRYGNPIIILNLIKRFEKKPRESILRAEFANAIRFINKSLSKENRLRFLHWDLNRHSRKATNVLTLLGRVADYALNLTGIFYCQVTSNRRQDGLLTLSCLVKSDECPAKIPSEKSDDFEKWETDVANDNESISENAKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHAMGFTESQTIDQNSPLAEDLMSVYEAMGDTLALQYGGSAAHNKIFCQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQSAINLFLGHFQPQQGKPALWELDSVQHYSIGRNGPNRYNENARPSFKRSLSDGNIVCDMDSPLAPSNIGHRQPLCENRGTFNGLSESTPEIPTSEISYSRCSFSEIWRTNFLKLMVFVMMKMVMNVIAPILIWTGLRHQEIHVMMIYLTDLQQAYPQKILALN >KJB56975 pep chromosome:Graimondii2_0_v6:9:10929830:10938205:1 gene:B456_009G144100 transcript:KJB56975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESGSDAGPDSSPCYLQKFRLYETRSNFYMIGRDKNRTLWMVLKIDRLDPSELTVIEDSTAYSEIECFDLLRRIHEGNRSSGGLKFVTACYGIVGFIKFLGSYSMMLITKRKKIGAICGHTVYAISKSEMIPISKSPNQSNMAYSKNEKRYKKLLLTVDLTKDFFFSYTYNVMHSLQRNLCRNETGEVHYETMFVWNEFLTRGIRNTLKNTLWTVALVYGFFKQVKLSVSGRDFCLTLISRRSRHYAGTRYMKRGVNEKGRVANDVETEQIVFEDVHEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPNYEATRLHFEDLVRRYGNPIIILNLIKRFEKKPRESILRAEFANAIRFINKSLSKENRLRFLHWDLNRHSRKATNVLTLLGRVADYALNLTGIFYCQVTSNRRQDGLLTLSCLVKSDECPAKIPSEKSDDFEKWETDVANDNESISENAKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHAMGFTESQTIDQNSPLAEDLMSVYEAMGDTLALQYGGSAAHNKIFCQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQSAINLFLGHFQPQQGKPALWELDSVQHYSIGRNGPNRYNENARPSFKRSLSDGNIVCDMDSPLAPSNIGHRQPLCENRGTFNGLSESTPEIPTSEISYSRQLFGDMEDQFLEIDGICYDENGDECNCTNFDLDWPSSSGNSCDDDIFDRSTTGLSSENIGAELKIDMTTSPSESGSSIKGGDRTASELTCGRILDEFSESFVNWVTHGDMLIPSKFISKVVP >KJB56968 pep chromosome:Graimondii2_0_v6:9:10929781:10938648:1 gene:B456_009G144100 transcript:KJB56968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESGSDAGPDSSPCYLQKFRLYETRSNFYMIGRDKNRTLWMVLKIDRLDPSELTVIEDSTAYSEIECFDLLRRIHEGNRSSGGLKFVTACYGIVGFIKFLGSYSMMLITKRKKIGAICGHTVYAISKSEMIPISKSPNQSNMAYSKNEKRSFVNSSSKCNMSLVLRRRPEIFTGSIHFPFVFRWICVMLWLFFFFSFNHVFLDNFEFWTSVLEVKSSMVWRYKKLLLTVDLTKDFFFSYTYNVMHSLQRNLCRNETGEVHYETMFVWNEFLTRGIRNTLKNTLWTVALVYGFFKQVKLSVSGRDFCLTLISRRSRHYAGTRYMKRGVNEKGRVANDVETEQIVFEDVHEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPNYEATRLHFEDLVRRYGNPIIILNLIKRFEKKPRESILRAEFANAIRFINKSLSKENRLRFLHWDLNRHSRKATNVLTLLGRVADYALNLTGIFYCQVTSNRRQDGLLTLSCLVKSDECPAKIPSEKSDDFEKWETDVANDNESISENAKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHAMGFTESQTIDQNSPLAEDLMSVYEAMGDTLALQYGGSAAHNKIFCQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQSAINLFLGHFQPQQGKPALWELDSVQHYSIGRNGPNRYNENARPSFKRSLSDGNIVCDMDSPLAPSNIGHRQPLCENRGTFNGLSESTPEIPTSEISYSRMSCRQLFGDMEDQFLEIDGICYDENGDECNCTNFDLDWPSSSGNSCDDDIFDRSTTGLSSENIGAELKIDMTTSPSESGSSIKGGDRTASELTCGRILDEFSESFVNWVTHGDMLIPSKFISKVVP >KJB56969 pep chromosome:Graimondii2_0_v6:9:10929781:10938648:1 gene:B456_009G144100 transcript:KJB56969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESGSDAGPDSSPCYLQKFRLYETRSNFYMIGRDKNRTLWMVLKIDRLDPSELTVIEDSTAYSEIECFDLLRRIHEGNRSSGGLKFVTACYGIVGFIKFLGSYSMMLITKRKKIGAICGHTVYAISKSEMIPISKSPNQSNMAYSKNEKRYKKLLLTVDLTKDFFFSYTYNVMHSLQRNLCRNETGEVHYETMFVWNEFLTRGIRNTLKNTLWTVALVYGFFKQVKLSVSGRDFCLTLISRRSRHYAGTRYMKRGVNEKGRVANDVETEQIVFEDVHEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPNYEATRLHFEDLVRRYGNPIIILNLIKRFEKKPRESILRAEFANAIRFINKSLSKENRLRFLHWDLNRHSRKATNVLTLLGRVADYALNLTGIFYCQVTSNRRQDGLLTLSCLVKSDECPAKIPSEKSDDFEKWETDVANDNESISENAKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHAMGFTESQTIDQNSPLAEDLMSVYEAMGDTLALQYGGSAAHNKIFCQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQSAINLFLGHFQPQQGKPALWELDSVQHYSIGRNGPNRYNENARPSFKRSLSDGNIVCDMDSPLAPSNIGHRQPLCENRGTFNGLSESTPEIPTSEISYSRMSCRQLFGDMEDQFLEIDGICYDENGDECNCTNFDLDWPSSSGNSCDDDIFDRSTTGLSSENIGAELKIDMTTSPSESGSSIKGGDRTASELTCGRILDEFSESFVNWVTHGDMLIPSKFISKVVP >KJB56970 pep chromosome:Graimondii2_0_v6:9:10929830:10935174:1 gene:B456_009G144100 transcript:KJB56970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESGSDAGPDSSPCYLQKFRLYETRSNFYMIGRDKNRTLWMVLKIDRLDPSELTVIEDSTAYSEIECFDLLRRIHEGNRSSGGLKFVTACYGIVGFIKFLGSYSMMLITKRKKIGAICGHTVYAISKSEMIPISKSPNQSNMAYSKNEKRYKKLLLTVDLTKDFFFSYTYNVMHSLQRNLCRNETGEVHYETMFVWNEFLTRGIRNTLKNTLWTVALVYGFFKQVKLSVSGRDFCLTLISRRSRHYAGTRYMKRGVNEKGRVANDVETEQIVFEDVHEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPNYEATRLHFEDLVRRYGNPIIILNLIKRFEKKPRESILRAEFANAIRFINKSLSKENRLRFLHWDLNRHSRKATNVLTLLGRVADYALNLTGIFYCQVTSNRRQDGLLTLSCLVKSDECPAKIPSEKSDDFEKWETDVANDNESISENAKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHAMGFTESQTIDQNSPLAEDLMSVYEAMGDTLALQYGGSAAHNKVFLTDLRSFCNHPR >KJB56973 pep chromosome:Graimondii2_0_v6:9:10929830:10938205:1 gene:B456_009G144100 transcript:KJB56973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLITKRKKIGAICGHTVYAISKSEMIPISKSPNQSNMAYSKNEKRYKKLLLTVDLTKDFFFSYTYNVMHSLQRNLCRNETGEVHYETMFVWNEFLTRGIRNTLKNTLWTVALVYGFFKQVKLSVSGRDFCLTLISRRSRHYAGTRYMKRGVNEKGRVANDVETEQIVFEDVHEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPNYEATRLHFEDLVRRYGNPIIILNLIKRFEKKPRESILRAEFANAIRFINKSLSKENRLRFLHWDLNRHSRKATNVLTLLGRVADYALNLTGIFYCQVTSNRRQDGLLTLSCLVKSDECPAKIPSEKSDDFEKWETDVANDNESISENAKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHAMGFTESQTIDQNSPLAEDLMSVYEAMGDTLALQYGGSAAHNKIFCQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQSAINLFLGHFQPQQGKPALWELDSVQHYSIGRNGPNRYNENARPSFKRSLSDGNIVCDMDSPLAPSNIGHRQPLCENRGTFNGLSESTPEIPTSEISYSRMSCRQLFGDMEDQFLEIDGICYDENGDECNCTNFDLDWPSSSGNSCDDDIFDRSTTGLSSENIGAELKIDMTTSPSESGSSIKGGDRTASELTCGRILDEFSESFVNWVTHGDMLIPSKFISKVVP >KJB56865 pep chromosome:Graimondii2_0_v6:9:10526927:10536734:-1 gene:B456_009G139700 transcript:KJB56865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGSSKLGRGGGGGRGGGGPRNRSFPPPPPQRPSSATQSGRLSLGSAPRNRPGIGGGSGPAPSVEESFSLVSGNNPLAFAMIIRLAPDLVEEIRRLEAQGETARIKFDSIPTHPTGNVIDVGGKEFRFTWSPEFGDLCDIYEERQMGEDGNGLLVESGCAWRKLNVQRVLDESTTNHVKMRSEEFERKLKSRKAIILDHGNPSMKNQIKQMVAAEASPWKSHFKKMELALKKRNDTPQAAAGGPPKSGYRPGLVSAATAKGRRSSSPLPSPLERSDAAVSPSGLGNTTKTHAGSEDVMPSLVKSKESISSSDKEIPSRASSAGREMQERRGNFGPKPTDLQSLLISLLKENPKGMSLKALEKAVGDTIPNSARKIEPILKKIATFHAPGRYFLKPGVELESLKKSSSESGSSPEGNRHEAPAPEENQDQTLAPVSFLAEKTDEVEEQTHLDSKLTVASDPMEQIDIQQLSPDLGGERKASDNSEGQANSASDSGSDSDSDSDSSDSGSDSGSHSRSRSRSASPAASGSGSSSDSETDASSNSKEGSDEDVDIMTSDDDKETKQDMLTSEPGLLTSPIPWQAEHDMSLHNGMDGNQDDDGSYAVDIEGPGSDAVDIEKDLPEDEQEIGMAANSNKEGEKHEEGTKPSSSDLDEFQERQNFIGNLFDDTENIVKNSVRNEQSNYPEKSGKAKSKRGSDLTHIDEKSERSKRLKSESMSQPPVSGSRDAELFASSRSVDESYQSSNKGDREHADSQKGYILVFPQKSSTDFHQSGRRSSDQGARGKAVNTAERPLKHTESSGHGSKFAEKNVHEGYIIQKENPTRDAQNEDGVMKEKKLSTNTKNIGGKNAVPSDFHHRKHGETFGKPKDSGQNSGTYINSSPKDNSKVNEDRYPANGKSNVLQRELSHLELGEIREPLIDETPVKKQFEKKGSFKQSGSRLSRGKPAGKTNWDSGKPPSPNLSGLKRTPEHHVEDSSRSHHKVVQSQQQQLSRFDRPEVGSQFNRLADNSKTKQTEIGAKLGVVLEDFGESHKKAPTSAPQQQESKRGSVSQFIKESKIPTSNKMADMTDSRKDAVLKEGNVNGRKKRGSSSDEDCLPYFKYEKDEPERRGPIKDSSQYEEYVNEFREKYESYEDLDKTLQTYRNEFEKLGKDLEYSKDRDKEKYYKTLDQLKESYHQCGMRHKRLKKIFIVLHQELKNLKQRLIEFAESHSTD >KJB56866 pep chromosome:Graimondii2_0_v6:9:10527031:10530725:-1 gene:B456_009G139700 transcript:KJB56866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIDIQQLSPDLGGERKASDNSEGQANSASDSGSDSDSDSDSSDSGSDSGSHSRSRSRSASPAASGSGSSSDSETDASSNSKEGSDEDVDIMTSDDDKETKQDMLTSEPGLLTSPIPWQAEHDMSLHNGMDGNQDDDGSYAVDIEGPGSDAVDIEKDLPEDEQEIGMAANSNKEGEKHEEGTKPSSSDLDEFQERQNFIGNLFDDTENIVKNSVRNEQSNYPEKSGKAKSKRGSDLTHIDEKSERSKRLKSESMSQPPVSGSRDAELFASSRSVDESYQSSNKGDREHADSQKGYILVFPQKSSTDFHQSGRRSSDQGARGKAVNTAERPLKHTESSGHGSKFAEKNVHEGYIIQKENPTRDAQNEDGVMKEKKLSTNTKNIGGKNAVPSDFHHRKHGETFGKPKDSGQNSGTYINSSPKDNSKVNEDRYPANGKSNVLQRELSHLELGEIREPLIDETPVKKQFEKKGSFKQSGSRLSRGKPAGKTNWDSGKPPSPNLSGLKRTPEHHVEDSSRSHHKVVQSQQQQLSRFDRPEVGSQFNRLADNSKTKQTEIGAKLGVVLEDFGESHKKAPTSAPQQQESKRGSVSQFIKESKIPTSNKMADMTDSRKDAVLKEGNVNGRKKRGSSSDEDCLPYFKYEKDEPERRGPIKDSSQYEEYVNEFREKYESYEDLDKTLQTYRNEFEKLGKDLEYSKDRDKEKYYKTLDQLKESYHQCGMRHKRLKKIFIVLHQELKNLKQRLIEFAESHSTD >KJB62791 pep chromosome:Graimondii2_0_v6:9:68677737:68686697:-1 gene:B456_009G436600 transcript:KJB62791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTLKEWPHMDESYTAIALWNCSSIINIFPDKVEFSKLKTLFLEGERKRNRDDFLVVLGTFFEEMKALQVLLLKRVSFSLKGFPSLPNLKTLRCYDCMLKNFSSSLTNMRSLEILALIATEIDEISEELVKLSALKYLRLSGVGVEREMNIPPNLVSRLTSLQELHVIPKNNLNLLELKSLSRLTALSLRLSANQIPLEDFMFPKLQRYDIVGEYYSSDQEAFRRLAIQDFSSSLSAFNNLFCNVEALSLRNVGGQKNIVPSMDEMGVNELTSLQLKSCNDMEFLIDTKKDQGSTVAFSNLVELIIESMASLKGLCYGVSPTRFLQNLKEVIIKDCEELQVIFQIDKLSEKMKCQTPLLSNLTILRLHSLPKLKRIWEVKPSHRAIASLQSLKVVSIESCDNLKTIFSPCLALSMLHLQQLYISYCDRLEQVIGFGQEDEIIENDSSLCCWPKLRILRIEFCRNLKYVCANTWTQGLQSLESVYIRHCSQLIQVFNMEQNKHGQDIVLPELGSQNHCWTKLKTLRIEDCQLLKYLFANTLSQGFPLLESIYLKNCPQLLQVFSSTEERDVIDDHILLNVPFLKNLEVSNCPQISCFILQAQLIEDLVLSNVGNSRQLCNTDVPVLNEGCIVVGNQEEVFQVQGGYSFSTIKLLELRNLFEVRVIWNDFAQIVTLENLTTLTLSGCNKLRYIFSPMMARSLSHLVDLFIEWCEEIERLILAKDQVSSSSSNGDTSLQPMSFPNLKRIIVINCKNLNSLFPFGFVPVLLKLETLIVTRNSKLEQVFELEEKVEVVAEEEMKFDKLEWLSLEKLPGLIHFCPKGYHFVFPAMIELEVRDCPKLATGFFIDSQEFVHCKTKATQLVEQVAVEEPTTVRNAIFNENIDWSRGGGESQLPHIT >KJB62792 pep chromosome:Graimondii2_0_v6:9:68677737:68686697:-1 gene:B456_009G436600 transcript:KJB62792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTLKEWPHMDESYTAIALWNCSSIINIFPDKVEFSKLKTLFLEGERKRNRDDFLVVLGTFFEEMKALQVLLLKRVSFSLKGFPSLPNLKTLRCYDCMLKNFSSSLTNMRSLEILALIATEIDEISEELVKLSALKYLRLSGVGVEREMNIPPNLVSRLTSLQELHVIPKNNLNLLELKSLSRLTALSLRLSANQIPLEDFMFPKLQRYDIVGEYYSSDQEAFRRLAIQDFSSSLSAFNNLFCNVEALSLRNVGGQKNIVPSMDEMGVNELTSLQLKSCNDMEFLIDTKKDQGSTVAFSNLVELIIESMASLKGLCYGVSPTRFLQNLKEVIIKDCEELQVIFQIDKLSEKMKCQTPLLSNLTILRLHSLPKLKRIWEVKPSHRAIASLQSLKVVSIESCDNLKTIFSPCLALSMLHLQQLYISYCDRLEQVIGFGQEDEIIENDCLLYCWPKLRVLRIKDCESLKYISTNTLIQSLESVFIVKCHQLIQIYNMEQNIVLPERGSQEYLTNLTSLELRSLPKLKYMWNGPLVHLQYLVIWNCNSLEHLSNIKDQSSLFLPKLKDVRISGCENLKYLCSSQGLPCLEAIDIKDCPRLIQIFNMEKNKDGFGLQNDSSLCCWPKLRILRIEFCRNLKYVCANTWTQGLQSLESVYIRHCSQLIQVFNMEQNKHGQDIVLPELGSQNHCWTKLKTLRIEDCQLLKYLFANTLSQGFPLLESIYLKNCPQLLQVFSSTEERDVIDDHILLNVPFLKNLEVSNCPQISCFILQAQLIEDLVLSNVGNSRQLCNTDVPVLNEGCIVVGNQEEVFQVQGGYSFSTIKLLELRNLFEVRVIWNDFAQIVTLENLTTLTLSGCNKLRYIFSPMMARSLSHLVDLFIEWCEEIERLILAKDQVSSSSSNGDTSLQPMSFPNLKRIIVINCKNLNSLFPFGFVPVLLKLETLIVTRNSKLEQVFELEEKVEVVAEEEMKFDKLEWLSLEKLPGLIHFCPKGYHFVFPAMIELEVRDCPKLATGFFIDSQEFVHCKTKATQLVEQVAVEEPTTVRNAIFNENIDWSRGGGESQLPHIT >KJB62790 pep chromosome:Graimondii2_0_v6:9:68676799:68689563:-1 gene:B456_009G436600 transcript:KJB62790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTLKEWPHMDESYTAIALWNCSSIINIFPDKVEFSKLKTLFLEGERKRNRDDFLVVLGTFFEEMKALQVLLLKRVSFSLKGFPSLPNLKTLRCYDCMLKNFSSSLTNMRSLEILALIATEIDEISEELVKLSALKYLRLSGVGVEREMNIPPNLVSRLTSLQELHVIPKNNLNLLELKSLSRLTALSLRLSANQIPLEDFMFPKLQRYDIVGEYYSSDQEAFRRLAIQDFSSSLSAFNNLFCNVEALSLRNVGGQKNIVPSMDEMGVNELTSLQLKSCNDMEFLIDTKKDQGSTVAFSNLVELIIESMASLKGLCYGVSPTRFLQNLKEVIIKDCEELQVIFQIDKLSEKMKCQTPLLSNLTILRLHSLPKLKRIWEVKPSHRAIASLQSLKVVSIESCDNLKTIFSPCLALSMLHLQQLYISYCDRLEQVIGFGQEDEIIENDSSLCCWPKLRILRIEFCRNLKYVCANTWTQGLQSLESVYIRHCSQLIQVFNMEQNKHGQDIVLPELGSQGFPLLESIYLKNCPQLLQVFSSTEERDVIDDHILLNVPFLKNLEVSNCPQISCFILQAQLIEDLVLSNVGNSRQLCNTDVPVLNEGCIVVGNQEEVFQVQGGYSFSTIKLLELRNLFEVRVIWNDFAQIVTLENLTTLTLSGCNKLRYIFSPMMARSLSHLVDLFIEWCEEIERLILAKDQVSSSSSNGDTSLQPMSFPNLKRIIVINCKNLNSLFPFGFVPVLLKLETLIVTRNSKLEQVFELEEKVEVVAEEEMKFDKLEWLSLEKLPGLIHFCPKGYHFVFPAMIELEVRDCPKLATGFFIDSQEFVHCKTKATQLVEQVAVEEPTTVRNAIFNENIDWSRGGGESQLPHIT >KJB62793 pep chromosome:Graimondii2_0_v6:9:68677737:68688175:-1 gene:B456_009G436600 transcript:KJB62793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYAAPAAVEIVADQAKEYASPYLRYFFRYGEIVEDFKNQREALELKKERVKIRVDEAERQNELIHKDVDNWLTSAEKELKETQNLKDEIDRVKCFKWCPKWGWRYSLSKKLAEKIPIISKLLETSNFAQVGYRRPLQGIEFIRSTDFMHSKSSKSAFNQIMEAINAKGVNMIGLQGMPGVGKTTLAKEVGKHAREQKFFDKVVMFTMSQNADINKIQDKVADIFGLKFETSSQEGKAEELFRSMQRVKKILVIVDDLWEEFKLEDIGIPFGDDHKGCKILLTTRQQQVCSKMKCQKEIQLGILSKDEAWVLFRDKAGLEDDCSTLNDVAKEVAAECKGLPLAIVVVAKALKGESLNGWRDANQRFKDSTHLYDEEVLGGVLEPLKLSYDYLKKGINQMTGINDIQICFLLCSLFPEDEEIDTEILIMCGIGVGFTKKLQKSGLLLETDGADTIRIHDVIRDFAHWLTSTGENRFMVKDTLKEWPHMDESYTAIALWNCSSIINIFPDKVEFSKLKTLFLEGERKRNRDDFLVVLGTFFEEMKALQVLLLKRVSFSLKGFPSLPNLKTLRCYDCMLKNFSSSLTNMRSLEILALIATEIDEISEELVKLSALKYLRLSGVGVEREMNIPPNLVSRLTSLQELHVIPKNNLNLLELKSLSRLTALSLRLSANQIPLEDFMFPKLQRYDIVGEYYSSDQEAFRRLAIQDFSSSLSAFNNLFCNVEALSLRNVGGQKNIVPSMDEMGVNELTSLQLKSCNDMEFLIDTKKDQGSTVAFSNLVELIIESMASLKGLCYGVSPTRFLQNLKEVIIKDCEELQVIFQIDKLSEKMKCQTPLLSNLTILRLHSLPKLKRIWEVKPSHRAIASLQSLKVVSIESCDNLKTIFSPCLALSMLHLQQLYISYCDRLEQVIGFGQEDEIIENDCLLYCWPKLRVLRIKDCESLKYISTNTLIQSLESVFIVKCHQLIQIYNMEQNIVLPERGSQNDSSLCCWPKLRILRIEFCRNLKYVCANTWTQGLQSLESVYIRHCSQLIQVFNMEQNKHGQDIVLPELGSQNHCWTKLKTLRIEDCQLLKYLFANTLSQGFPLLESIYLKNCPQLLQVFSSTEERDVIDDHILLNVPFLKNLEVSNCPQISCFILQAQLIEDLVLSNVGNSRQLCNTDVPVLNEGCIVVGNQEEVFQVQGGYSFSTIKLLELRNLFEVRVIWNDFAQIVTLENLTTLTLSGCNKLRYIFSPMMARSLSHLVDLFIEWCEEIERLILAKDQVSSSSSNGDTSLQPMSFPNLKRIIVINCKNLNSLFPFGFVPVLLKLETLIVTRNSKLEQVFELEEKVEVVAEEEMKFDKLEWLSLEKLPGLIHFCPKGYHFVFPAMIELEVRDCPKLATGFFIDSQEFVHCKTKATQLVEQVAVEEPTTVRNAIFNENIDWSRGGGESQLPHIT >KJB62908 pep chromosome:Graimondii2_0_v6:9:69446353:69452470:-1 gene:B456_009G443300 transcript:KJB62908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHLEKQNRDVLQKSFEEMISTLPKENCWGFSEDQYQYQGFWFTPRFLQGALSAQQQFQAQPTDIILCSSPRTGTAWLKSLTFATITRTSYNDSTTPLLSKMPHDVVPFMEFDHAQFSTNRHLGIPLLATHLPYSFLPRSIIDSGCKLIYICRDPKDTFVSLYHFIAGHCKSQNTQPIQLDEAFELFYEGVSMFGPYWDHVLGYWKASLERPDKLMFLKYEDLVEDTVLYLKKTAEFMGYPFSSEEQQQGVPENIVKMCSFDNLSGLEVIKTGRHRETENNIFFRKGKVGDWKNYLTTEMAQRLDQRTLQKLSGSGLSL >KJB62910 pep chromosome:Graimondii2_0_v6:9:69451279:69452435:-1 gene:B456_009G443300 transcript:KJB62910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHLEKQNRDVLQKSFEEMISTLPKENCWGFSEDQYQYQGFWFTPRFLQGALSAQQQFQAQPTDIILCSSPRTGHCKSQNTQPIQLDEAFELFYEGVSMFGPYWDHVLGYWKASLERPDKLMFLKYEDLVEDTVLYLKKTAEFMGYPFSSEEQQQGVPENIVKMCSFDNLSGLEVIKTGRHRETENNIFFRKGKVGDWKNYLTTEMAQRLDQRTLQKLSGSGLSL >KJB62909 pep chromosome:Graimondii2_0_v6:9:69450797:69452470:-1 gene:B456_009G443300 transcript:KJB62909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHLEKQNRDVLQKSFEEMISTLPKENCWGFSEDQYQYQGFWFTPRFLQGALSAQQQFQAQPTDIILCSSPRTGTAWLKSLTFATITRTSYNDSTTPLLSKMPHDVVPFMEFDHAQFSTNRHLGIPLLATHLPYSFLPRSIIDSGCKLIYICRDPKDTFVSLYHFIAGHCKSQNTQPIQLDEAFELFYEGVSMFGPYWDHVLGYWKASLERPDKLMFLKYEDLVEDTVLYLKKTAEFMGYPFSSEEQQQGVPENIVKMCSFDNLSGLEVIKTGRHRETENNIFFRKGKVGDWKNYLTTEMAQRLDQRTLQKLSGSEIEILHEEIRDSCHIATKGRSPDITRGTFQANRVISE >KJB62907 pep chromosome:Graimondii2_0_v6:9:69451387:69452349:-1 gene:B456_009G443300 transcript:KJB62907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHLEKQNRDVLQKSFEEMISTLPKENCWGFSEDQYQYQGFWFTPRFLQGALSAQQQFQAQPTDIILCSSPRTGTAWLKSLTFATITRTSYNDSTTPLLSKMPHDVVPFMEFDHAQFSTNRHLGIPLLATHLPYSFLPRSIIDSGCKLIYICRDPKDTFVSLYHFIAGHCKSQNTQPIQLDEAFELFYEGVSMFGPYWDHVLGYWKASLERPDKLMFLKYEDLVEDTVLYLKKTAEFMGYPFSSEEQQQGVPENIVKMCSFDNLSGLEVIKTGRHRETENNIFFRKGKVGDWKNYLTTEMAQRLDQRTLQKLSGSGLSL >KJB55443 pep chromosome:Graimondii2_0_v6:9:5512218:5514301:-1 gene:B456_009G076500 transcript:KJB55443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCYSDSERNSKATYINHNFSYSIALKSPTSIQSTKTHYRNSRNMGQSSGYNYSTSVLQLITIVSCLVVLSCSGSVSAYKNYTVGGSLGWFDALEKPDVNYQKWADSKNFSLGDFLIFNTDNNHSVIQTYNFTTYKFCDYDDALQNDTIEWSATDPSSTAPHPISVAVPLLKEGMNYFFSSDYDGEQCNNGQHFKINVTHGQGLPKSLDPSDDAPAPNSPDYRGDDSAPETIVPANFNHPIEEESDKDEASGSGSVYFNTKFYGLLIFLQIVCIFFKI >KJB60656 pep chromosome:Graimondii2_0_v6:9:30097735:30100225:-1 gene:B456_009G317800 transcript:KJB60656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFSLWNSNKIDPNDYISHLPDNILHHIISFLPFESAVRTSFLSTHWKHLWKEALLELVHDVITMEAATKVIQSFVDDFDTHYRPRNKWGFRFEFSHGRGKFAASISSKGALQLDFSAGKQELPRPFDLFLKPNLESANHLSPPYMWFDWGWHEENHPLQTQQPSLNTMKIKSLYLISVNQLSNMAVSSLVPNLPFLQSLTIAKCNGLQSLQIKEAKGLHKLVVLDCPRLQSLSFEGCCLECFRYRGHLVSFRFQLYCKCNSSGGFYIRDYGLHQEDAMLDLRQGPLTEWTWDFKPSFSPYYYGLLNFERNDCECANKYKCFDSILRTINGFRSLTICRWFFETSMCKKLPFSSRDPLFCLRKLKELWWIDCSMERESINALLCFLKLCPNLERLYITIDPKCYDMPSTAKFSTLVIVPGKLNDLKTVKLEGFADEEKEIFMARRLLPLFGDNNPIIISKSKGKYLKHLVKVAKLEKKGKYPYKFKAVENVDENFSDHVHMNLY >KJB60655 pep chromosome:Graimondii2_0_v6:9:30097543:30100225:-1 gene:B456_009G317800 transcript:KJB60655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLILNKELKNIGKEKQKMGKKRRSKKINQEKQRHDPDDNNNPTTTSSSRYLDISSLNDDVLHHIIPSLIHIWKHDEGKSYNHVNIPKSNKIDPNDYISHLPDNILHHIISFLPFESAVRTSFLSTHWKHLWKEALLELVHDVITMEAATKVIQSFVDDFDTHYRPRNKWGFRFEFSHGRGKFAASISSKGALQLDFSAGKQELPRPFDLFLKPNLESANHLSPPYMWFDWGWHEENHPLQTQQPSLNTMKIKSLYLISVNQLSNMAVSSLVPNLPFLQSLTIAKCNGLQSLQIKEAKGLHKLVVLDCPRLQSLSFEGCCLECFRYRGHLVSFRFQLYCKCNSSGGFYIRDYGLHQEDAMLDLRQGPLTEWTWDFKPSFSPYYYGLLNFERNDCECANKYKCFDSILRTINGFRSLTICRWFFETSMCKKLPFSSRDPLFCLRKLKELWWIDCSMERESINALLCFLKLCPNLERLYITIDPKCYDMPSTAKFSTLVIVPGKLNDLKTVKLEGFADEEKEIFMARRLLPLFGDNNPIIISKSKGKYLKHLVKVAKLEKKGKYPYKFKAVENVDENFSDHVHMNLY >KJB61755 pep chromosome:Graimondii2_0_v6:9:51268813:51275095:1 gene:B456_009G378100 transcript:KJB61755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFIIASVISSASKTIPSLQCASTNVLYETTSGSMPRFIIASTISSASETIPSLQCASTNAVYVTTLGSMPCFLIMSTVSTALEIVPSLQSASINIL >KJB61754 pep chromosome:Graimondii2_0_v6:9:51261408:51275095:1 gene:B456_009G378100 transcript:KJB61754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFIIASVISSASKTIPSLQCASTNVLYETTSGSMPRFIIASTISSASETIPSLQCASTNAVYVTTLGSMPCFLIMSTVSTALEIVPSLQSASINIL >KJB53772 pep chromosome:Graimondii2_0_v6:9:402496:405446:1 gene:B456_009G004300 transcript:KJB53772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSTFLPNKPVYPTPTKRLPQSNKPVKFSSVTLPHPPQPQSPSHSPPSLPLDSLLQHLLHLSSPPNTTHNPKSINPHKPYNSHSPSLHISSESSLKLHHQVHHPKKSAPVSVLQLDNSKEEGQSGDGSLEFLTRKGMLMLNSIKEQPLNGLPDFFDSVKFELLQIDMFSLLKALDLSGDWERALVLFQWVVSDLGSDNAKLDNQVVELMVKILGRESQYAIALKLFALIHIEEYSLDVRAYTTILHAYSRSGKYQKAISMFEKMKEIGLSPTLVTYNVMLDVYGKMGRSWNKILGLLDEMRSKGLEFDEFTCSTVISACGREGLLNEAKEFFSGLKSQGYVPGTVTYNALLQVFGKAGVYSEALSILKEMEDNNCPADSVTYNELVAAYVRAGFYDEGAAVIETMTKKGVRPNAITYTTVINAYGKAGKEDKALRLFHRMKQSGCVPNVCTYNAVLGMLGKKSRSEEMIMILCDMKESGCSPNRITWNTMLAMCGNKGMHKYINLVFREMKNCGFEPDRDTFNTLISAYGRCGADIDATKMYKEMIRVGFTPCVTTYNALLNALARRGDWKAAESVIQDMKNKGFRPSETSYSLMLQCYAKGGNVKGIETIEKEISDGHIYPSWMLLRTLVLANCRCRAVKGMERAFQELQKNGYKLDLVLFNSMLSIFSKNNMYERAHEMLHLIRESGLTPDLVTYNSLMDMYARAGECWKAEEILKGLQVSGGKPDIVSYNTVIKGFCRKGLMQEAIRILSEMTTKGIRPCIFTYNTFVAGYAAQGMFTEIDDVISHMIQHNCKPNELTYKIVVDGYCKARRYKDAIDFVSKIKEIDDSFDDQSIERLAFRVRENLDS >KJB54550 pep chromosome:Graimondii2_0_v6:9:2949097:2952622:1 gene:B456_009G039800 transcript:KJB54550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKKNMGGVNKVEVINSKGCSKLFVGFSSSVPSFRSFQSFEPMSPASTSLGSEPVRSTGPFSGLVICVTGLSKEARKQVMEATERLGGQYSTSLHPQCTHLVVQSVTGRKFDHAVKHGSRNGLFLVKLGWFVDSVKRNEEHAACVDELNRLAGSTASESSCLPAGFHEAKKLDMIGKPNVRYSGRVLNRSMDSVLSGHTIYIDSDISVELRNKVLEAASEEGAMAVDGWFAGCSASHVVCEGNSVHRYIGHSNNIVTENNVASSTISTRSVRGNASHEERQQIVHLAKTGVRNHRSLRMQTCQNPFRPISPTILLETICWSISEPTSTASIFTDTVSGEDASEHQSVFFDANGDGKDSETSFTNITRSLTESEKNELIFKNHFLTILFPADRFSEMGPSSRTYFSNNGFTRLQILDFIYAFYQENMSVHEIEAAIHTDSRHADRLRSAYCSKETIEHGYTVFKRIDFLGSRKSFEMLKRVSGDNDSNVYELLIRA >KJB54547 pep chromosome:Graimondii2_0_v6:9:2949097:2953204:1 gene:B456_009G039800 transcript:KJB54547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKKNMGGVNKVEVINSKGCSKLFVGFSSSVPSFRSFQSFEPMSPASTSLGSEPVRSTGPFSGLVICVTGLSKEARKQVMEATERLGGQYSTSLHPQCTHLVVQSVTGRKFDHAVKHGSRNGLFLVKLGWFVDSVKRNVRLSESLYTVKGAEEHAACVDELNRLAGSTASESSCLPAGFHEAKKLDMIGKPNVRYSGRVLNRSMDSVLSGHTIYIDSDISVELRNKVLEAASEEGAMAVDGWFAGCSASHVVCEGNSVHRYIGHSNNIVTENNVASSTISTRSVRGNASHEERQQIVHLAKTGVRNHRSLRMQTCQNPFRPISPTILLETICWSISEPTSTASIFTDTVSGEDASEHQSVFFDANGDGKDSETSFTNITRSLTES >KJB54548 pep chromosome:Graimondii2_0_v6:9:2949530:2952257:1 gene:B456_009G039800 transcript:KJB54548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYWLVQRLANLHLNEILPRILCFVFMLDDVLVAEARKQVMEATERLGGQYSTSLHPQCTHLVVQSVTGRKFDHAVKHGSRNGLFLVKLGWFVDSVKRNVRLSESLYTVKGAEEHAACVDELNRLAGSTASESSCLPAGFHEAKKLDMIGKPNVRYSGRVLNRSMDSVLSGHTIYIDSDISVELRNKVLEAASEEGAMAVDGWFAGCSASHVVCEGNSVHRYIGHSNNIVTENNVASSTISTRSVRGNASHEERQQIVHLAKTGVRNHRSLRMQTCQNPFRPISPTILLETICWSISEPTSTASIFTDTVSGEDASEHQSVFFDANGDGKDSETSFTNITRSLTESEKNELIFKNHFLTILFPADRFSEMGPSSRTYFSNNGFTRLQILDFIYAFYQENMSVHEIEAAIHTDSRHADRLRSAYCSKETIEHGYTVFKRIDFLGSRKSFEMLKRVSGDNDSNVYELLIRA >KJB54546 pep chromosome:Graimondii2_0_v6:9:2950426:2952257:1 gene:B456_009G039800 transcript:KJB54546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGKPNVRYSGRVLNRSMDSVLSGHTIYIDSDISVELRNKVLEAASEEGAMAVDGWFAGCSASHVVCEGNSVHRYIGHSNNIVTPLWVLKTAEDRNLQRLVHMSADLARQIGILLEKSQNGIVGEENNVASSTISTRSVRGNASHEERQQIVHLAKTGVRNHRSLRMQTCQNPFRPISPTILLETICWSISEPTSTASIFTDTVSGEDASEHQSVFFDANGDGKDSETSFTNITRSLTESEKNELIFKNHFLTILFPADRFSEMGPSSRTYFSNNGFTRLQILDFIYAFYQENMSVHEIEAAIHTDSRHADRLRSAYCSKETIEHGYTVFKRIDFLGSRKSFEMLKRVSGDNDSNVYELLIRA >KJB54552 pep chromosome:Graimondii2_0_v6:9:2949097:2953204:1 gene:B456_009G039800 transcript:KJB54552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKKNMGGVNKVEVINSKGCSKLFVGFSSSVPSFRSFQSFEPMSPASTSLGSEPVRSTGPFSGLVICVTGLSKEARKQVMEATERLGGQYSTSLHPQCTHLVVQSVTGRKFDHAVKHGSRNGLFLVKLGWFVDSVKRNVRLSESLYTVKGAEEHAACVDELNRLAGSTASESSCLPAGFHEAKKLDMIGKPNVRYSGRVLNRSMDSVLSGHTIYIDSDISVELRNKVLEAASEEGAMAVDGWFAGCSASHVVCEGNSVHRYIGHSNNIVTENNVASSTISTRSVRGNASHEERQQIVHLAKTGVRNHRSLRMQTCQNPFRPISPTILLETICWSISEPTSTASIFTDTVSGEDASEHQSVFFDANGDGKDSETSFTNITRSLTESEKNELIFKNHFLTILFPADRFSEMGPSSRTYFSNNGFTRLQILDFIYAFYQENMSVHEIEAAIHTDSRHADRLRSAYCSKETIEHGYTVFKRIDFLGSRKSFEMLKRVSGDNDSNSISGSAQWPNFRRITIKKKARTIRN >KJB54553 pep chromosome:Graimondii2_0_v6:9:2948863:2953146:1 gene:B456_009G039800 transcript:KJB54553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKKNMGGVNKVEVINSKGCSKLFVGFSSSVPSFRSFQSFEPMSPASTSLGSEPVRSTGPFSGLVICVTGLSKEARKQVMEATERLGGQYSTSLHPQCTHLVVQSVTGRKFDHAVKHGSRNGLFLVKLGWFVDSVKRNVRLSESLYTVKGAEEHAACVDELNRLAGSTASESSCLPAGFHEAKKLDMIGKPNVRYSGRVLNRSMDSVLSGHTIYIDSDISVELRNKVLEAASEEGAMAVDGWFAGCSASHVVCEGNSVHRYIGHSNNIVTPLWVLKTAEDRNLQRLVHMSADLARQIGILLEKSQNGIVGEENNVASSTISTRSVRGNASHEERQQIVHLAKTGVRNHRSLRMQTCQNPFRPISPTILLETICWSISEPTSTASIFTDTVSGEDASEHQSVFFDANGDGKDSETSFTNITRSLTESEKNELIFKNHFLTILFPADRFSEMGPSSRTYFSNNGFTRLQILDFIYAFYQENMSVHEIEAAIHTDSRHADRLRSAYCSKETIEHGYTVFKRIDFLGSRKSFEMLKRVSGDNDSNSISGSAQWPNFRRITIKKKARTIRN >KJB54549 pep chromosome:Graimondii2_0_v6:9:2949097:2952622:1 gene:B456_009G039800 transcript:KJB54549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKKNMGGVNKVEVINSKGCSKLFVGFSSSVPSFRSFQSFEPMSPASTSLGSEPVRSTGPFSGLVICVTGLSKEARKQVMEATERLGGQYSTSLHPQCTHLVVQSVTGRKFDHAVKHGSRNGLFLVKLGWFVDSVKRNVRLSESLYTVKGAEEHAACVDELNRLAGSTASESSCLPAGFHEAKKLDMIGKPNVRYSGRVLNRSMDSVLSGHTIYIDSDISVELRNKVLEAASEEGAMAVDGWFAGCSASHVVCEGNSVHRYIGHSNNIVTPLWVLKTAEDRNLQRLVHMSADLARQIGILLEKSQNGIVGEENNVASSTISTRSVRGNASHEERQQIVHLAKTGVRNHRSLRMQTCQNPFRPISPTILLETICWSISEPTSTASIFTDTVSGEDASEHQSVFFDANGDGKDSETSFTNITRSLTESEKNELIFKNHFLTILFPADRFSEMGPSSRTYFSNNGFTRLQILDFIYAFYQENMSVHEIEAAIHTDSRHADRLRSAYCSKETIEHGYTVFKRIDFLGSRKSFEMLKRVSGDNDSNVYELLIRA >KJB54551 pep chromosome:Graimondii2_0_v6:9:2949097:2952622:1 gene:B456_009G039800 transcript:KJB54551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKKNMGGVNKVEVINSKGCSKLFVGFSSSVPSFRSFQSFEPMSPASTSLGSEPVRSTGPFSGLVICVTGLSKEARKQVMEATERLGGQYSTSLHPQCTHLVVQSVTGRKFDHAVKHGSRNGLFLVKLGWFVDSVKRNVRLSESLYTVKGAEEHAACVDELNRLAGSTASESSCLPAGFHEAKKLDMIGKPNVRYSGRVLNRSMDSVLSGHTIYIDSDISVELRNKVLEAASEEGAMAVDGWFAGCSASHVVCEGNSVHRYIGHSNNIVTENNVASSTISTRSVRGNASHEERQQIVHLAKTGVRNHRSLRMQTCQNPFRPISPTILLETICWSISEPTSTASIFTDTVSGEDASEHQSVFFDANGDGKDSETSFTNITRSLTER >KJB60479 pep chromosome:Graimondii2_0_v6:9:28114909:28117838:-1 gene:B456_009G307400 transcript:KJB60479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLSFTHPILSRHVYSAVFPPPRFLFLSPLIPTTSRFPILYRAPQRATALSATAVTASIFRDTAASASVFAGAYALVFTFDILTQKELIQQNLSRKLVHILSGLLFAISWPIFSNADEARYFASLVPLFNCLRLVIHGLSLTDDQSLIKSVTREGNPKELLRGPLYYVAMLMLCALVFWRESPVGVICLAMMCGGDERTVVDRCCRYNWKKIWVVQDSL >KJB60478 pep chromosome:Graimondii2_0_v6:9:28114837:28117856:-1 gene:B456_009G307400 transcript:KJB60478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLSFTHPILSRHVYSAVFPPPRFLFLSPLIPTTSRFPILYRAPQRATALSATAVTASIFRDTAASASVFAGAYALVFTFDILTQKELIQQNLSRKLVHILSGLLFAISWPIFSNADEARYFASLVPLFNCLRLVIHGLSLTDDQSLIKSVTREGNPKELLRGPLYYVAMLMLCALVFWRESPVGVICLAMMCGGDGVADIIGRKYGSSKIPYNQSKSWVGSISMFVSGFIISIGMLYYYSALGYLQLDWGYTLHRVAFISLVATVVESLPITMLIDDNISVPLASMLAAYLTFGH >KJB60993 pep chromosome:Graimondii2_0_v6:9:46837284:46837649:1 gene:B456_009G357500 transcript:KJB60993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKENDQIINENNCETKMGLPCALEAFTSIFETGSISNKCCGELVGLGKFCHSALVKRTLENPLFKDLSPARIIAKSIQTWNNCLALIDSPSPSA >KJB56937 pep chromosome:Graimondii2_0_v6:9:10809064:10813119:1 gene:B456_009G142500 transcript:KJB56937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGRIRARLRWSHLYTFSCYKPSATEEGPHSIEGHGHSRVVHCNQPLMHKKKPFKYRSNYISTTKYNFITFLPKALYEQFHRVANLYFLGAAILSLTPLSPFSAVSMIAPLAFVVGLSMAKEALEDWRRFMQDMKVNSRKVKVHKGEGVFGNKSWQKLQVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEITLPLDEDEAFRRFTGTIKCEDPNPSLYSFIGNFDYDRQLFALDPSQILLRDSKLRNTAFVYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDYVIYVLFSLLLVISLISSIGFAVRTKYYMPDWWYLQPKNTDDYYDPEKPGVSGVSHLVTALILYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGTPANARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVRSSEVELAAAQQMANDLEDRDAERSTCSRQKGKQQEIELETIVSSKDEKDHKSPIKGFSFEDGRIMKGNWLKEPNADVIMLFFQILAVCHTAIPELNEETGIYTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVRERYSASGQAIDREFKILNMLEFTSKRKRMTVIVRDEDGQILLFCKGADSIIFDRLAKNGRIYEEDTSKHLNEYGEAGLRTLALAYRKLDESEYSAWNSEFQKAKTSIGTDREVMLEKVADMMERDLILIGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICITTISSDTKTLIKENILMQITNASQMIKLEKDPHAAFALIIDGKTLAYALEDDMKQQFLGLAVLCASVICCRVSPKQKALVRFLPFLFS >KJB56936 pep chromosome:Graimondii2_0_v6:9:10809064:10812576:1 gene:B456_009G142500 transcript:KJB56936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGRIRARLRWSHLYTFSCYKPSATEEGPHSIEGHGHSRVVHCNQPLMHKKKPFKYRSNYISTTKYNFITFLPKALYEQFHRVANLYFLGAAILSLTPLSPFSAVSMIAPLAFVVGLSMAKEALEDWRRFMQDMKVNSRKVKVHKGEGVFGNKSWQKLQVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEITLPLDEDEAFRRFTGTIKCEDPNPSLYSFIGNFDYDRQLFALDPSQILLRDSKLRNTAFVYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDYVIYVLFSLLLVISLISSIGFAVRTKYYMPDWWYLQPKNTDDYYDPEKPGVSGVSHLVTALILYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGTPANARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVRSSEVELAAAQQMANDLEDRDAERSTCSRQKGKQQEIELETIVSSKDEKDHKSPIKGFSFEDGRIMKGNWLKEPNADVIMLFFQILAVCHTAIPELNEETGIYTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVRERYSASGQAIDREFKILNMLEFTSKRKRMTVIVRDEDGQILLFCKGADSIIFDRLAKNGRIYEEDTSKHLNEYGEAGLRTLALAYRKLDESEYSAWNSEFQKAKTSIGTDREVMLEKVADMMERDLILIGATAVEDKLQKGVCVILALFL >KJB56938 pep chromosome:Graimondii2_0_v6:9:10809064:10815155:1 gene:B456_009G142500 transcript:KJB56938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGRIRARLRWSHLYTFSCYKPSATEEGPHSIEGHGHSRVVHCNQPLMHKKKPFKYRSNYISTTKYNFITFLPKALYEQFHRVANLYFLGAAILSLTPLSPFSAVSMIAPLAFVVGLSMAKEALEDWRRFMQDMKVNSRKVKVHKGEGVFGNKSWQKLQVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEITLPLDEDEAFRRFTGTIKCEDPNPSLYSFIGNFDYDRQLFALDPSQILLRDSKLRNTAFVYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDYVIYVLFSLLLVISLISSIGFAVRTKYYMPDWWYLQPKNTDDYYDPEKPGVSGVSHLVTALILYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGTPANARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVRSSEVELAAAQQMANDLEDRDAERSTCSRQKGKQQEIELETIVSSKDEKDHKSPIKGFSFEDGRIMKGNWLKEPNADVIMLFFQILAVCHTAIPELNEETGIYTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVRERYSASGQAIDREFKILNMLEFTSKRKRMTVIVRDEDGQILLFCKGADSIIFDRLAKNGRIYEEDTSKHLNEYGEAGLRTLALAYRKLDESEYSAWNSEFQKAKTSIGTDREVMLEKVADMMERDLILIGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICITTISSDTKTLIKENILMQITNASQMIKLEKDPHAAFALIIDGKTLAYALEDDMKQQFLGLAVLCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSVAQFRFLERLLVVHGHWCYKRIAQMVILVDCLH >KJB56935 pep chromosome:Graimondii2_0_v6:9:10808936:10815182:1 gene:B456_009G142500 transcript:KJB56935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGRIRARLRWSHLYTFSCYKPSATEEGPHSIEGHGHSRVVHCNQPLMHKKKPFKYRSNYISTTKYNFITFLPKALYEQFHRVANLYFLGAAILSLTPLSPFSAVSMIAPLAFVVGLSMAKEALEDWRRFMQDMKVNSRKVKVHKGEGVFGNKSWQKLQVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEITLPLDEDEAFRRFTGTIKCEDPNPSLYSFIGNFDYDRQLFALDPSQILLRDSKLRNTAFVYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDYVIYVLFSLLLVISLISSIGFAVRTKYYMPDWWYLQPKNTDDYYDPEKPGVSGVSHLVTALILYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGTPANARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVRSSEVELAAAQQMANDLEDRDAERSTCSRQKGKQQEIELETIVSSKDEKDHKSPIKGFSFEDGRIMKGNWLKEPNADVIMLFFQILAVCHTAIPELNEETGIYTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVRERYSASGQAIDREFKILNMLEFTSKRKRMTVIVRDEDGQILLFCKGADSIIFDRLAKNGRIYEEDTSKHLNEYGEAGLRTLALAYRKLDESEYSAWNSEFQKAKTSIGTDREVMLEKVADMMERDLILIGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICITTISSDTKTLIKENILMQITNASQMIKLEKDPHAAFALIIDGKTLAYALEDDMKQQFLGLAVLCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSVAQFRFLERLLVVHGHWCYKRIAQMVCYSFYKNIAFGLTLFYFEAFTGFSGQSVYDDWYMLLFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRNLFFDWYRILGWMGNGLYSSLIIFFLNIIIFYDQAFCAGGQTADMAALGTTMFTCIIWALNCQIALTMSHFTWIQHLLIWGSISAWYLFLLVYGMISPTISGNAYQILVEALAPAPIYWSATLLVTVACNLPYMAHISFQRCFHPLDHHIIQEIKFYKKDVEDQGMWSRERSKARQETKIGFTARVDAKIRQLKGKLQRKQPSMEIQSPHRQS >KJB61317 pep chromosome:Graimondii2_0_v6:9:44807588:44810350:1 gene:B456_009G351100 transcript:KJB61317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AHIPPPPGYFVQLENPDGLFLKKRTRMRRWLCCSCQVEENYQSRENEHLKCNSDGHQKNSKVVSPIKPEERKSSSLIEVPALSLEELKEKTDNFGSNALIGEGSYGRVYYANLNDGKTVAVKKLDVSTEPEPNVEFLTQVSMVSRLKHENFIELQGYCIDGNIRLLAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVRIATDAARGLEYLHEMVQPSVIHRDVRSSNVLIFEEFKAKIADFNISNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKECVDPNLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMGIVVKALQPLLKAQTPAASPTSGT >KJB53953 pep chromosome:Graimondii2_0_v6:9:1033474:1033893:-1 gene:B456_009G012500 transcript:KJB53953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNENESIYPSLKQKLKSSLQWLRHHNHHDHNQHISSVPITPHSTPISSPKTFKHKCRNLITRIGHDFPNYRRNHNPNYNFIHRRRRSIDFQYNPSSYALNFDNGGEDSQINEFPNRNFTSRLPRSPSAATLREIMAYT >KJB55882 pep chromosome:Graimondii2_0_v6:9:7753174:7755628:1 gene:B456_009G106800 transcript:KJB55882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGHRLNGLLTFSVTILAIMCAIASLSDNLNAPSPTAEIKIMNINWFQKQPQGNDEVSLTMNISADLQSLFTWNTKQLFIFVAAEYETPKNSLNQVSLWDAIIPAKEHAKFWIHTSNKYRFVDQGNNLRGKKFNLTLHWHVMPKTGKMFADKIVMAGYSFPEEYR >KJB55879 pep chromosome:Graimondii2_0_v6:9:7753864:7754810:1 gene:B456_009G106800 transcript:KJB55879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGHRLNGLLTFSVTILAIMCAIASLSDNLNAPSPTAEIKIMNINWFQKQPQGNDEVSLTMNISADLQSLFTWNTKQLFIFVAAEYETPKNSLNQVRLNTACCLIASSFLRLSRKYLKILGMFLIWYFVGFTLGCYNTCQRACEVLDPYLKQVSFC >KJB55881 pep chromosome:Graimondii2_0_v6:9:7753307:7755265:1 gene:B456_009G106800 transcript:KJB55881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNINWFQKQPQGNDEVSLTMNISADLQSLFTWNTKQLFIFVAAEYETPKNSLNQVSLWDAIIPAKEHAKFWIHTSNKYRFVDQGNNLRGKKFNLTLHWHVMPKTGKMFADKIVMAGYSFPEEYR >KJB55880 pep chromosome:Graimondii2_0_v6:9:7753174:7755265:1 gene:B456_009G106800 transcript:KJB55880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGHRLNGLLTFSVTILAIMCAIASLSDNLNAPSPTAEIKIMNINWFQKQPQGNDEVSLTMNISADLQSLFTWNTKQLFIFVAAEYETPKNSLNQVSLWDAIIPAKEHAKFWIHTSNKYRFVDQGNNLRGKKFNLTLHWHVMPKTGKMFADKIVMAGYSFPEEYR >KJB55878 pep chromosome:Graimondii2_0_v6:9:7753174:7755265:1 gene:B456_009G106800 transcript:KJB55878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGHRLNGLLTFSVTILAIMCAIASLSDNLNAPSPTAEIKIMNINWFQKQPQGNDEVSLTMNISADLQSLFTWNTKQLFIFVAAEYETPKNSLNQVSLWDAIIPAKEHAKFWIHTSNKYRFVDQVCA >KJB55367 pep chromosome:Graimondii2_0_v6:9:5170842:5173833:1 gene:B456_009G072600 transcript:KJB55367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWELSIHNIYNILFRIWRLFIGEMMADRHQHPSVMLKIAGQLHLGSSLSQDVRYRYGGFQRPAVHQKRFASASCNATLQHPMTQLACHPVSYNLSLFGSSSSPVCAQAPSEKGISGFVIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIRAGRLSEPYTGIGNCFKRTIADEGMMSLWRGNTANVIRYFPTQALNFAFKDYFKKLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKTGGERQFNGLVDVYTKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVILVGKLQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFSQILKKEGFKSLFKGAGANILRAVAGAGVLAGYDKLQMIVFGKKYGSGGA >KJB55368 pep chromosome:Graimondii2_0_v6:9:5170842:5173833:1 gene:B456_009G072600 transcript:KJB55368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADRHQHPSVMLKIAGQLHLGSSLSQDVRYRYGGFQRPAVHQKRFASASCNATLQHPMTQLACHPVSYNLSLFGSSSSPVCAQAPSEKGISGFVIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIRAGRLSEPYTGIGNCFKRTIADEGMMSLWRGNTANVIRYFPTQALNFAFKDYFKKLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKTGGERQFNGLVDVYTKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVILVGKLQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFSQILKKEGFKSLFKGAGANILRAVAGAGVLAGYDKLQMIVFGKKYGSGGA >KJB54517 pep chromosome:Graimondii2_0_v6:9:2742670:2745884:1 gene:B456_009G037200 transcript:KJB54517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGDEVVEIESLERSLLPESVTGEQESEAVDEPVLYAASFGEMEEEFVKYQTAQWGLYSLVLVLAWGIGLFMLLYIPVRRYILRKDIRSRKLYLTPNSIVYKVTRPVPIPCFGVLKKEKHVLLPSVADVVIEQGYLQSFFGVYSLRIENVGLRRPPSDDLQIQGMVNPSAFRKAVLTRLSNMRTEVFSRQVSAIEDAPNSKILSPSAWHSPRKHDVVPPSGDLALLQKLEEVGSSVKRVQSLIEEQHGQASETAA >KJB54516 pep chromosome:Graimondii2_0_v6:9:2742676:2745884:1 gene:B456_009G037200 transcript:KJB54516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGDEVVEIESLERSLLPESVTGEQESEAVDEPVLYAASFGEMEEEFVKYQTAQWGLYSLVLVLAWGIGLFMLLYIPVRRYILRKDIRSRKLYLTPNSIVYKVTRPVPIPCFGVLKKEKHVLLPSVADVVIEQGYLQSFFGVYSLRIENVGLRRPPSDDLQIQGMVNPSAFRKAVLTRLSNMRTEVFSRQVSAIEDAPNSKILSPSAWHSPRKHDVVPPSGDLALLQKLEEVGSSVKRVQSLIEEQHGQASETAA >KJB54515 pep chromosome:Graimondii2_0_v6:9:2742678:2745884:1 gene:B456_009G037200 transcript:KJB54515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGDEVVEIESLERSLLPESVTGEQESEAVDEPVLYAASFGEMEEEFVKYQTAQWGLYSLVLVLAWGIGLFMLLYIPVRRYILRKDIRSRKLYLTPNSIVYKVTRPVPIPCFGVLKKEKHVLLPSVADVVIEQGYLQSFFGVYSLRIENVGLRRPPSDDLQIQGMVNPSAFRKAVLTRLSNMRTEVFSRQVSAIEDAPNSKILSPSAWHSPRKHDVVPPSGDLALLQKLEEVGSSVKRVQSLIEEQHGQASETAA >KJB54514 pep chromosome:Graimondii2_0_v6:9:2742678:2745884:1 gene:B456_009G037200 transcript:KJB54514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGDEVVEIESLERSLLPESVTGEQESEAVDEPVLYAASFGEMEEEFVKYQTAQWGLYSLVLVLAWGIGLFMLLYIPVRRYILRKDIRSRKLYLTPNSIVYKVTRPVPIPCFGVLKKEKHVLLPSVADVVIEQGYLQSFFGVYSLRIENVGLRRPPSDDLQIQGMVNPSAFRKAVLTRLSNMRTEVFSRQVSAIEDAPNSKILSPSAWHSPRKHDVVPPSGDLALLQKLEEVGSSVKRVQSLIEEQHGQASETAA >KJB54518 pep chromosome:Graimondii2_0_v6:9:2742678:2745884:1 gene:B456_009G037200 transcript:KJB54518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEFVKYQTAQWGLYSLVLVLAWGIGLFMLLYIPVRRYILRKDIRSRKLYLTPNSIVYKVTRPVPIPCFGVLKKEKHVLLPSVADVVIEQGYLQSFFGVYSLRIENVGLRRPPSDDLQIQGMVNPSAFRKAVLTRLSNMRTEVFSRQVSAIEDAPNSKILSPSAWHSPRKHDVVPPSGDLALLQKLEEVGSSVKRVQSLIEEQHGQASETAA >KJB59103 pep chromosome:Graimondii2_0_v6:9:19084847:19087652:-1 gene:B456_009G239400 transcript:KJB59103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAMLHQTVGTLQLHGSSGIHFQGGNNSVRLVPKGFKVEVGFCKRGICSSGEKKFSVIQASASQTSVFDPVLSPSNNGTHESLKKSNEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDMIFTSALIRAQMTAMLAMTQHRRKKVPIIMHNENEQARVWSQIHSEDTIKQSIPVIAAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDEIEPQLLSGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPIAPTEAGVYAYTRKLAQYRQKLDEMLH >KJB59101 pep chromosome:Graimondii2_0_v6:9:19084459:19087969:-1 gene:B456_009G239400 transcript:KJB59101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAMLHQTVGTLQLHGSSGIHFQGGNNSVRLVPKGFKVEVGFCKRGICSSGEKKFSVIQASASQTSVFDPVLSPSNNGTHESLKKSNEAALILIHTIKQSIPVIAAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDEIEPQLLSGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPIAPTEAGVYAYTRKLAQYRQKLDEMLH >KJB59100 pep chromosome:Graimondii2_0_v6:9:19084459:19087969:-1 gene:B456_009G239400 transcript:KJB59100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAMLHQTVGTLQLHGSSGIHFQGGNNSVRLVPKGFKVEVGFCKRGICSSGEKKFSVIQASASQTSVFDPVLSPSNNGTHESLKKSNEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDMIFTSALIRAQMTAMLAMTQHRRKKVPIIMHNENEQARVWSQIHSEDTIKQSIPVIAAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDEIEPQLLSGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPIAPTEAGVYAYTRKLAQYRQKLDEMLH >KJB59102 pep chromosome:Graimondii2_0_v6:9:19084459:19088624:-1 gene:B456_009G239400 transcript:KJB59102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAMLHQTVGTLQLHGSSGIHFQGGNNSVRLVPKGFKVEVGFCKRGICSSGEKKFSVIQASASQTSVFDPVLSPSNNGTHESLKKSNEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDMIFTSALIRAQMTAMLAMTQHRRKKVPIIMHNENEQARVWSQIHSEDTIKQSIPVIAAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDEIEPQLLSGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPIAPTEAGVYAYTRKLAQYRQKLDEMLH >KJB54527 pep chromosome:Graimondii2_0_v6:9:2778553:2779154:-1 gene:B456_009G037800 transcript:KJB54527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSDKLTKLKSVLKKLHSFNNKQIRPTSSSVAASASDIDEDSSSANLHPVYVGKSRRRYLISSDIIKSPLFRELAEWSPGENDAVINVSCEVVLFEHLLWMLENADPQAESLEELVEFYAC >KJB58093 pep chromosome:Graimondii2_0_v6:9:14945175:14947572:1 gene:B456_009G194300 transcript:KJB58093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIRLLTSSELCLCTCFLLVLIYRGWSQLFYDWTVSYSQLAPLGVDKQVIVINGMFPGPVLYASTNDVVNVNIHNNLTEPFLMTWNGVQMRKNSWQDGVQETNCPILPGQNWTYSFQLKDQIGSFFYFPSLLLHKAAGGYGAIHLNNLPIIPIPFPQPYKDYAVLIGDWFNADYRDLRASLENGVFLPHPDGILINGLGPNQARFEFEPSATYMLRISNVGLKTSLNFRIEDHPMLLVETEGSYLIQQYYDSLDIHVGQSYSVLVTAKNQANGKSYYMVASSRFTILEHSGIGVIHYPDAAGDPVGPLPLGPGLSNYNFSIEQARSMRWDLIASAARPNPQGSYHYGTIPITRTLILENNVMVIGSKRRFTINGISFMHSDTPLKLLDYFQLNEESKYAAAAALPSSATSVVDAFYRDFFHIVFQNPLLEVQSWHIDGYNFFVVGMGWGLWDESKKAGYNIVDAVSRSTVQVYPLSWTAILMELDNLGTWNLRSQNAENWYLGQELYIRVKGVWNWLDEQSDISARDEAPIPDNVIKCGRAADL >KJB61458 pep chromosome:Graimondii2_0_v6:9:47235935:47237846:1 gene:B456_009G359100 transcript:KJB61458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQISLFQLLISFLLFLFMVITTVRRSKAKNFTQKLIPGPWKLPLIGNLHQLAASGLPHRTLRDLATKHGDFMHLQLGQVSTVVVSSPEMAKEIMKTHDIVFANRPFLVVAKMTTYECTDIAFAPYGTYWRNLRKICTSELLSAARVASFRSIREEEVLNLVETIKSNEGLAVNLTEKVFSTSYAITARAAFGKKCKDQEAFILAAAEESKVNSGFFVSEFFPSLKFLDVVSGLKHRVEKIHGETDRIVGNIVNDHKQSRAKGRSEDEDQEDLVDVLLRLQEDGEFPLTDNNIKAILFDVFSGGSETSATAVEWAMSEMIKNPRVMTKAQAEVRQVFEGKGNVDETGVHQLKYLKCVIKETLRLHPVIPLLIPRESSKNCVVNGFEIPAKTRVIVNAWAIGRDPNHWVEPEKFEPERFVNSSVDFIGTNFEFIPFGAGRRICPGILFALPNVELPLAQLLFHFDWKLPGGMKQEDMDMTEKFGVSMKRENDLVLVPSPYHASITIA >KJB61502 pep chromosome:Graimondii2_0_v6:9:47903926:47907506:1 gene:B456_009G362500 transcript:KJB61502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYTPKNILITGAAGFIASHVANRLVRNYPDYKIVVLDKLDYCSNLKNLIPSQSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKVLPIHGDGTNVRSYLYCEDVAEAFEVILHKGEVGHVYNVGTKKERRVIDVAKDICKLFSMDPETSIKFVENRPFNDQRYFLDDQKLKNLGWSEQTVWEDGLKKTIEWYTQNPDWWGDVSGALLPHPRMLMMPGGRQFDSEEGKDTSYISSPNQTQMVVPTSKSSVSSQKPALKFLIYGRTGWIGGLLGQLCDKQGIPFEYGKGRLEDRSSLTADIRNIKPTHVFNAAGLTGRPNVDWCESHKTETIRTNVAGTLTLADVCREHGLLMLNFATGCIFEYDAGHPQGSGIGFKEEDIPNFTGSFYSKTKAMVEELLKEYNNVCTLRVRMPISSDLNNPRNFITKISRYSKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYKKYIDPKFQWANFTLEEQAKVIVAPRSNNEMDASKLKKEFPELLPIKESLIKYVFEPNKRT >KJB61503 pep chromosome:Graimondii2_0_v6:9:47904073:47907506:1 gene:B456_009G362500 transcript:KJB61503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYTPKNILITGAAGFIASHVANRLVRNYPDYKIVVLDKLDYCSNLKNLIPSQSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKVLPIHGDGTNVRSYLYCEDVAEAFEVILHKGEVGHVYNVGTKKERRVIDVAKDICKLFSMDPETSIKFVENRPFNDQRYFLDDQKLKNLGWSEQTVWEDGLKKTIEWYTQNPDWWGDVSGALLPHPRMLMMPGGRQFDSEEGKDTSYISSPNQTQMVVPTSKSSVSSQKPALKFLIYGRTGWIGGLLGQLCDKQGIPFEYGKGRLEDRSSLTADIRNIKPTHVFNAAGLTGRPNVDWCESHKTETIRTNVAGTLTLADVCREHGLLMLNFATGCIFEYDAGHPQGSGIGFKEEDIPNFTGSFYSKTKAMVTTLISLIRSNLSVS >KJB55633 pep chromosome:Graimondii2_0_v6:9:6268476:6274678:1 gene:B456_009G086200 transcript:KJB55633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA9 [Source:Projected from Arabidopsis thaliana (AT1G08320) UniProtKB/Swiss-Prot;Acc:Q93XM6] MENHRVGETGLTDSGPSSNYHHHAVLHGMNAPISSNFIHQNGSAFGFGELEEAIVPHGVELTNDEAKAPALFTRSRPSSATLAMLPSNWSLRFQQTPRESWNSRGESTDSVSSSAVNTLPSNQLQPESPISQKESSSADHHQPFNHKPLQQQQLEMASDISSLNQSAAQEKLQEQESHNNQQSATLPRNFGRGSSSSEKQPDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLAQLEQDLQRTRSQGFFVGGCAAAFGNISSGAAMFDMEYSRWLEDDHRHLSELRTRLHAHLSDTDLQTIVDGYISHYDKIFRLKEAAAKSDVLHLITGMWMTPVERCFVWIGDFRPSELIKMLVSQLDPLTEHQVMGICNLQHSSQQAEEALTLGLEQLYHSLMDSIASGPVIHEMQQMAVALSKLANLEGFLHQADNLRQQILHQLPRILTVRQTARCFLVIGGYNQRLRALSSLWASHGPPEVLISDDQSCQTTDLQMVMVQQSQNHFSNF >KJB55636 pep chromosome:Graimondii2_0_v6:9:6269492:6274430:1 gene:B456_009G086200 transcript:KJB55636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA9 [Source:Projected from Arabidopsis thaliana (AT1G08320) UniProtKB/Swiss-Prot;Acc:Q93XM6] MASDISSLNQSAAQEKGRGSSSSEKQPDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLAQLEQDLQRTRSQGFFVGGCAAAFGNISSGAAMFDMEYSRWLEDDHRHLSELRTRLHAHLSDTDLQTIVDGYISHYDKIFRLKEAAAKSDVLHLITGMWMTPVERCFVWIGDFRPSELIKMLVSQLDPLTEHQVMGICNLQHSSQQAEEALTLGLEQLYHSLMDSIASGPVIHEMQQMAVALSKLANLEGFLHQADNLRQQILHQLPRILTVRQTARCFLVIGGYNQRLRALSSLWASHGPPEVLISDDQSCQTTDLQMVMVQQSQNHFSNF >KJB55634 pep chromosome:Graimondii2_0_v6:9:6268476:6274678:1 gene:B456_009G086200 transcript:KJB55634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA9 [Source:Projected from Arabidopsis thaliana (AT1G08320) UniProtKB/Swiss-Prot;Acc:Q93XM6] MENHRVGETGLTDSGPSSNYHHHAVLHGMNAPISSNFIHQNGSAFGFGELEEAIVPHGVELTNDEAKAPALFTRSRPSSATLAMLPSNWSLRFQQTPRESWNSRGESTDSVSSSAVNTLPSNQLQPESPISQKESSSADHHQPFNHKPLQQQQLEMASDISSLNQSAAQEKGRGSSSSEKQPDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLAQLEQDLQRTRSQGFFVGGCAAAFGNISSGAAMFDMEYSRWLEDDHRHLSELRTRLHAHLSDTDLQTIVDGYISHYDKIFRLKEAAAKSDVLHLITGMWMTPVERCFVWIGDFRPSELIKMLVSQLDPLTEHQVMGICNLQHSSQQAEEALTLGLEQLYHSLMDSIASGPVIHEMQQMAVALSKLANLEGFLHQADNLRQQILHQLPRILTVRQTARCFLVIGGYNQRLRALSSLWASHGPPEVLISDDQSCQTTDLQMVMVQQSQNHFSNF >KJB55635 pep chromosome:Graimondii2_0_v6:9:6268933:6274430:1 gene:B456_009G086200 transcript:KJB55635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA9 [Source:Projected from Arabidopsis thaliana (AT1G08320) UniProtKB/Swiss-Prot;Acc:Q93XM6] MENHRVGETGLTDSGPSSNYHHHAVLHGMNAPISSNFIHQNGSAFGFGELEEAIVPHGVELTNDEAKAPALFTRSRPSSATLAMLPSNWSLRFQQTPRVESWNSRGESTDSVSSSAVNTLPSNQLQPESPISQKESSSADHHQPFNHKPLQQQQLEMASDISSLNQSAAQEKGRGSSSSEKQPDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLAQLEQDLQRTRSQGFFVGGCAAAFGNISSGAAMFDMEYSRWLEDDHRHLSELRTRLHAHLSDTDLQTIVDGYISHYDKIFRLKEAAAKSDVLHLITGMWMTPVERCFVWIGDFRPSELIKMLVSQLDPLTEHQVMGICNLQHSSQQAEEALTLGLEQLYHSLMDSIASGPVIHEMQQMAVALSKLANLEGFLHQADNLRQQILHQLPRILTVRQTARCFLVIGGYNQRLRALSSLWASHGPPEVLISDDQSCQTTDLQMVMVQQSQNHFSNF >KJB54134 pep chromosome:Graimondii2_0_v6:9:1691732:1695162:-1 gene:B456_009G022300 transcript:KJB54134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNFSFVFLVSVLILCLGVTSEPVQDKQALLAFLSKTKHSNRIQWNSSTSACNWVGVQCDANRSFVYTLRLPAVGLVGSIPPNTIGRLNQLRVLSLRANGLFGEIPADFSNLTLLRSLYLQDNAFTGPFPPSLTGLTRLSRLDLSSNNFTGPIPFGVNNLTQLTGLFLQNNRFSGSLPSINSDGLNEFDVANNSLNGSIPDTLSKYDASSFAGNLGLCGGPLPPCNPFFPSPAPSPSEPISPSTSGKKSRNLSTGAIIGIAVGSAFAALLLLLFLILCLRKRQRQPSKQQKPVAAGARAVPPAEAGTSSSKDDITGASTEGERNKLVFFEGGVYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVSKKEFEMHMETLGKIRHENVVPLRAFYYSKDEKLLVSDFMRDGSLSALLHGSRGSGRTPLGWDNRMRIALSTARGLAHLHVSGKVVHGNIKASNVLLRSDQDACISDFGLNPLFGNSTPPSRVAGYRAPEVLETRKVTFKSDVYSFGVLLLELLTGKAPNQASLGEEGIDLPRWVQSVVREEWTAEVFDVELMRYHSIEEEMVQLLQIAMTCVSTVPDQRPSMQEVVRMIEEMNRVETDDGLRQSSDDPSKGSDGQTPPTESRTTPRSTTP >KJB58370 pep chromosome:Graimondii2_0_v6:9:16069452:16072302:1 gene:B456_009G207300 transcript:KJB58370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFVGYTFQELLKALIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDYILQGLEANANLVLSISLAALGSLIWLRKNKPKTLIPIIYACAGIVATMPSITSYLRRGLGWHFPKVVGFELFTSLVMAFISWQLFSACQRPYV >KJB58371 pep chromosome:Graimondii2_0_v6:9:16069452:16072302:1 gene:B456_009G207300 transcript:KJB58371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFVGYTFQELLKALIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDYILQGLEANANLVLSISLAALGSLIWLRKNKPKTLIPIIYACAGIVATMPSITSYLRRGLGWHFPKVVGFELFTSLVMAFISWQLFSACQRPYV >KJB58369 pep chromosome:Graimondii2_0_v6:9:16069369:16072302:1 gene:B456_009G207300 transcript:KJB58369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSVKAALVYLGTALVKLVCLATFLQVSENDGFDPYQELLKALIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDYILQGLEANANLVLSISLAALGSLIWLRKNKPKTLIPIIYACAGIVATMPSITSYLRRGLGWHFPKVVGFELFTSLVMAFISWQLFSACQRPYV >KJB59079 pep chromosome:Graimondii2_0_v6:9:18844807:18849451:-1 gene:B456_009G238000 transcript:KJB59079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETGEKKEKKKMMNQVSPSPSSSFSNGFSSHEESSSFEDQQQQQLPEFHDYRLWLDSTSLPDFHFRNTNVNEERSVEDGLDLCDNLYQMHIRNEEIDSDGSAEMRRSESDPNGFGLGLGDVYSYNAGKYTSYEGFSKGFQSSPLQVSMYFDGNDVMRSTLLGLQGGNEKGDSLGPQNIAYNHSNDLASKWSWHNNQRNYHLEQRMEQCRSFDNRGIPLQNTYYPFVFSKQSGMDGNGGRGVIDSLSDPRFMHTKIPLVEDRSVIIQGRDLKYGIQNLGHDSFKRHKKKSFKNLLEKSSKLDKNHGENVRLMPTPYSLAEFRGCINYMAKDQNHCRFLQRIFDEGSCLDVQIIFNEIIDNIVELMMDPFGNYLVQKLLDVCTEEQRLQIVHMVTKESGQLVRISLNTYGTRVVQKLIETLKSREEVSLIKSALKPGILDLIKDLNGNHVLQRFLQCLGNEDNKIIFDAAAQFCVDIATHRHGCCVLQRCIAHSNGQHRYNLITQISRNGLLLAQDPFGNYVVQYIIELKVRSAVDNLLSQFKGHYVHLSMQKFSSHVVEKCLKYFAESRSQIIHELISVVHFEQLLQDPFANYVIQSALAVTKGSLHASLVGAVRPHMILRTSPYCKRIFSRNLLKK >KJB59078 pep chromosome:Graimondii2_0_v6:9:18844807:18849302:-1 gene:B456_009G238000 transcript:KJB59078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETGEKKEKKKMMNQVSPSPSSSFSNGFSSHEESSSFEDQQQQQLPEFHDYRLWLDSTSLPDFHFRNTNVNEERSVEDGLDLCDNLYQMHIRNEEIDSDGSAEMRRSESDPNGFGLGLGDVYSYNAGKYTSYEGFSKGFQSSPLQVSMYFDGNDVMRSTLLGLQGGNEKGDSLGPQNIAYNHSNDLASKWSWHNNQRNYHLEQRMEQCRSFDNRGIPLQNTYYPFVFSKQSGMDGNGGRGVIDSLSDPRFMHTKIPLVEDRSVIIQGRDLKYGIQNLGHDSFKRHKKKSFKNLLEKSSKLDKNHGENVRLMPTPYSLAEFRGCINYMAKDQNHCRFLQRIFDEGSCLDVQIIFNEIIDNIVELMMDPFGNYLVQKLLDVCTEEQRLQIVHMVTKESGQLVRISLNTYGTRVVQKLIETLKSREEVSLIKSALKPGILDLIKDLNGNHVLQRFLQCLGNEDNKIIFDAAAQFCVDIATHRHGCCVLQRCIAHSNGQHRYNLITQISRNGLLLAQDPFGSKGTMFTSQCRNLAVMLSKNASSILQKAGRKSSMS >KJB55099 pep chromosome:Graimondii2_0_v6:9:4526412:4527437:1 gene:B456_009G063200 transcript:KJB55099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFSRRLTSSFLFFLFLSFTEAKEILVGGKTDAWKIPSSESDSLNRWAEKSRFRIGDSLAWKYDGGKDSVLQVTKEAYASCNTSNSIAEYKDGNTKVKLDRSGPFYFISGAKGHCEQGQKLHVVVLSQKHRYTGISPAPSPAEIEGPAIAPTSSAAGLKAGFLVTLGVVVLGLF >KJB60699 pep chromosome:Graimondii2_0_v6:9:30838506:30839072:1 gene:B456_009G320300 transcript:KJB60699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQVICSTVFPLLCILLLFLSVEAQTCSPSGKIKGKTPPPGQCNQENDSDCCKDGKWYTTYKCSPPVSSQTKATLTLNSFEPDGDGGAPSECDNQYHSDDDPVVALSTGWYNNGKRCLNYINIHGNGRSVRAKVVDECDSTMGCDSDHDYQPPCPNNIVDASKAVWKALGVPESDWGGMDIYWSDTD >KJB62800 pep chromosome:Graimondii2_0_v6:9:68727912:68730791:-1 gene:B456_009G4369001 transcript:KJB62800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYVAPAVVKIVADQAKEYASPYFGYFFSYEKIVEDFTNQREALKSRKQRVDTQVDEAKRQTEIIYDDVDNWLTSAEEELKETQNLKDEIDRVKCFKWCPKWGWRYSLSKKLAEKIPMISKLLETSNFEQVGYRRPLQGMEFITSTGFMEAESSKSAFNQIVEAINAKGVNMIGLHGMPGVGKTTLAKEVGKHAREQKLFDKVVMFTMSQNPNIRTIQDKIAEMFGLNFHTNTEEGRAEELFRSMQGVNKILVIVDDLWEEFKMESIGIPFGDEHEGCKILLTTRRQQVCTKMNCKEIQLGILSEDEAWVLFRHKAGLEDDCSTLNDVAKEVAAQCKGLPLAIVVVAKALKGESLNGWRDAIQIFKDSTHLYDEEVLGGVLEPLKLSYDYLKKGINQMTGNHIQMCFLLCSLFPEDEEILIEILIMCGIGVGLFPNVYSIEDKRKKIVEALKKLKKS >KJB62558 pep chromosome:Graimondii2_0_v6:9:65976407:65979180:-1 gene:B456_009G422600 transcript:KJB62558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQLTSKKDATPLHSAVRAGDFELVLEIINGLEDGELKDLLSKRNQSGETALYVAAECGHADLVKEIMKHCDIVLAGLKARNGYDAFHIAAKQGDLEVLKILMEANLELSMTFDSYNTTALQTAASQGHTEVVKFLLEKGSNVAAIARNNGKTALHSAARNGHLEIVKSLLSKEPGIATRIDKKGQTALHMAVKGHNVEVVDVLLKSDPSLINMVDAKGNTALHIGSQKGRLQIVEKLLNDSGLNKLVINKSQETALDAAEKNKLSDIACILKEHGVQSAKSIKPHPANSARELKQTVSDIKNGVHYQLEHTRRTGKRVQGIAKRLNKMHVESLNNAINSSTVVAILIASVAFAAIFNVPGQYVDSPSDISPGVSPGQARIAAKLPFMIFVVFDSIALFISLAVVVVQTSVVVIQRKAKKQMMAVINKLMWLACVLISVAFLALSYVVVGKDERWLANLITGIGTVIMVSTLGTLCYWVIVNRIEASKIRSIRRSSMTSGSRSLPTPYTSDTEILNNEHKKLYAV >KJB62557 pep chromosome:Graimondii2_0_v6:9:65976407:65979600:-1 gene:B456_009G422600 transcript:KJB62557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQLTSKKDATPLHSAVRAGDFELVLEIINGLEDGELKDLLSKRNQSGETALYVAAECGHADLVKEIMKHCDIVLAGLKARNGYDAFHIAAKQGDLEVLKILMEANLELSMTFDSYNTTALQTAASQGHTEVVKFLLEKGSNVAAIARNNGKTALHSAARNGHLEIVKSLLSKEPGIATRIDKKGQTALHMAVKGHNVEVVDVLLKSDPSLINMVDAKGNTALHIGSQKGRLQIVEKLLNDSGLNKLVINKSQETALDAAEKNKLSDIACILKEHGVQSAKSIKPHPANSARELKQTVSDIKNGVHYQLEHTRRTGKRVQGIAKRLNKMHVESLNNAINSSTVVAILIASVAFAAIFNVPGQYVDSPSDISPGVSPGQARIAAKLPFMIFVVFDSIALFISLAVVVVQTSVVVIQRKAKKQMMAVINKLMWLACVLISVAFLALSYVVVGKDERWLANLITGIGTVIMVSTLGTLCYWVIVNRIEASKIRSIRRSSMTSGSRSLPTPYTSDTEILNNEHKKLYAV >KJB62559 pep chromosome:Graimondii2_0_v6:9:65976323:65979677:-1 gene:B456_009G422600 transcript:KJB62559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQLTSKKDATPLHSAVRAGDFELVLEIINGLEDGELKDLLSKRNQSGETALYVAAECGHADLVKEIMKHCDIVLAGLKARNGYDAFHIAAKQGDLEVLKILMEANLELSMTFDSYNTTALQTAASQGHTEVVKFLLEKGSNVAAIARNNGKTALHSAARNGHLEIVKSLLSKEPGIATRIDKKGQTALHMAVKGHNVEVVDVLLKSDPSLINMVDAKGNTALHIGSQKGRLQIVEKLLNDSGLNKLVINKSQETALDAAEKNKLSDIACILKEHGVQSAKSIKPHPANSARELKQTVSDIKNGVHYQLEHTRRTGKRVQGIAKRLNKMHVESLNNAINSSTVVAILIASVAFAAIFNVPGQYVDSPSDISPGVSPGQARIAAKLPFMIFVVFDSIALFISLAVVVVQTSVVVIQRKAKKQMMAVINKLMWLACVLISVAFLALSYVVVGKDERWLANLITGIGTVIMVSTLGTLCYWVIVNRIEASKIRSIRRSSMTSGSRSLPTPYTSDTEILNNEHKKLYAV >KJB58695 pep chromosome:Graimondii2_0_v6:9:17235745:17236734:1 gene:B456_009G221800 transcript:KJB58695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSQEADQFQLPVNETGFTREELEELLSFLESNEPVSPNSGSEGSTRAVYSPDERKKRRMKSNRESARRSRWRKRMHLENITDEVNRLSVENQQLKNRLSAVINQYHIVWRENEQLRSESEALWAKLLDLYWTLATMQSR >KJB53644 pep chromosome:Graimondii2_0_v6:9:8214:11454:-1 gene:B456_009G000100 transcript:KJB53644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVHFSRERAGKVVVLIFFWTLSLISLSCAARLSVSRQKLQVQNHLNRLNKPAVKTIQSPDGDIIDCVHLARQPAFDHPFLKDHKIQMRPSYHPEGLFDESKVSDTEKPKKGSNPITQLWHMNGKCPEGTIPIRRTKEEDVLRASSVKSYGRKKHRATPQPRSADPDLINESGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSAYRNSQYDISILDPKEGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGRHTSTQMGSGRFPEEGFGKSSYFRNIQVVDDSNNLKAPKGLGTFTEQSNCYDVQTGSNGDWGHYFYYGGPGKNPNCP >KJB53643 pep chromosome:Graimondii2_0_v6:9:8203:11638:-1 gene:B456_009G000100 transcript:KJB53643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVHFSRERAGKVVVLIFFWTLSLISLSCAARLSVSRQKLQVQNHLNRLNKPAVKTIQSPDGDIIDCVHLARQPAFDHPFLKDHKIQMRPSYHPEGLFDESKVSDTEKPKKGSNPITQLWHMNGKCPEGTIPIRRTKEEDVLRASSVKSYGRKKHRATPQPRSADPDLINESGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSAYRNSQYDISILVWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGRHTSTQMGSGRFPEEGFGKSSYFRNIQVVDDSNNLKAPKGLGTFTEQSNCYDVQTGSNGDWGHYFYYGGPGKNPNCP >KJB53645 pep chromosome:Graimondii2_0_v6:9:8579:11260:-1 gene:B456_009G000100 transcript:KJB53645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVHFSRERAGKVVVLIFFWTLSLISLSCAARLSVSRQKLQVQNHLNRLNKPAVKTIQSPDGDIIDCVHLARQPAFDHPFLKDHKIQMRPSYHPEGLFDESKVSDTEKPKKGSNPITQLWHMNGKCPEGTIPIRRTKEEDVLRASSVKSYGRKKHRATPQPRSADPDLINESGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVYACQCKQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSAYRNSQYDISILVWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGRHTSTQMGSGRFPEEGFGKSSYFRNIQVVDDSNNLKAPKGLGTFTEQSNCYDVQTGSNGDWGHYFYYGGPGKNPNCP >KJB55399 pep chromosome:Graimondii2_0_v6:9:5331308:5335100:-1 gene:B456_009G074300 transcript:KJB55399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLYAKLYDKYDKLKKRKLSEMDDINRDQEEKFVNYVRAAEELIQHLKSENDKLYAEVNELKSEVASKIMDKQCADYQKLLIEENQKYKALSLEVSRLQNLHHEGQNKDGKLDIIPTVSARIAQVSSEKVSGRSIGMMTKDLSEKALSREDLTHFQLPECCKGSPDASATVTARATCLFQALTECLLDMKISTNNQTGGLCISALHQPSGYSFSLTWINKAGGEEAELVYRVLSLGTFERVAPEWMRDVIKFSTGMCPLFFQRVAHVIKLHC >KJB55400 pep chromosome:Graimondii2_0_v6:9:5331563:5334710:-1 gene:B456_009G074300 transcript:KJB55400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLYAKLYDKYDKLKKRKLSEMDDINRDQEEKFVNYVRAAEELIQHLKSENDKLYAEVNELKSEVASKMSSMDKQCADYQKLLIEENQKYKALSLEVSRLQNLHHEGQNKDGKLDIIPTVSARIAQVSSEKVSGRSIGMMTKDLSEKALSREDLTHFQLPECCKGSPDASATVTARATCLFQALTECLLDMKISTNNQTGGLCISALHQPSGYSFSLTWINKAGGEEAELVYRVLSLGTFERVAPEWMRDVIKFSTGMCPLFFQRVAHVIKLHC >KJB55401 pep chromosome:Graimondii2_0_v6:9:5331330:5335100:-1 gene:B456_009G074300 transcript:KJB55401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLYAKLYDKYDKLKKRKLSEMDDINRDQEEKFVNYVRAAEELIQHLKSENDKLYAEVNELKSEVASKMSSMDKQCADYQKLLIEENQKYKALSLEVSRLQNLHHEGQNKDGKLDIIPTVSARIAQVSSEKVSGRSIGMMTSKCSRESAAETNDNIITSVSTNCNVAMTNALAEDLSEKALSREDLTHFQLPECCKGSPDASATVTARATCLFQALTECLLDMKISTNNQTGGLCISALHQPSGYSFSLTWINKAGGEEAELVYRVLSLGTFERVAPEWMRDVIKFSTGMCPLFFQRVAHVIKLHC >KJB56304 pep chromosome:Graimondii2_0_v6:9:9832640:9834313:-1 gene:B456_009G130700 transcript:KJB56304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRAVIYLLPLTFIFFTIADGKRILPLLQPQPLESFKVNATQNVGSCTYTVSIRTSCSSTTYTRDQISLAFGDAYGNQVYAPRLDDPYSRTFESCSTDTFQIKGPCTYQICYLYLYRSGYDGWKPESVTVYGYYTKAATFNYNVFIPNGVWYGFDFCYRRGSASA >KJB56305 pep chromosome:Graimondii2_0_v6:9:9832627:9834468:-1 gene:B456_009G130700 transcript:KJB56305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRAVIYLLPLTFIFFTIADGKRILPLLQPQPLESFKVNATQNVGSCTYTVSIRTSCSSTTYTRDQISLAFGDAYGNQVYAPRLDDPYSRTFESCSTDTFQIKGPCTYQICYLYLYRSGYDGWKPESVTVYGYYTKAATFNYNVFIPNGVWYGFDFCYRRGSASA >KJB54165 pep chromosome:Graimondii2_0_v6:9:1848879:1853274:-1 gene:B456_009G023900 transcript:KJB54165 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF guanine-nucleotide exchange factor GNL2 [Source:Projected from Arabidopsis thaliana (AT5G19610) UniProtKB/Swiss-Prot;Acc:F4K2K3] MLKSKRKELGLSCMLNTEVGAVLAVIRRPIDPTSQFISPQEDYFDSSLQQSLKSLRGFIFNPHQEWRTIDPCIYLSPFLDVVQSDGIPAAATGVALSAILKILKLGIFDEKTPGAKDAINIVVTGITSCRLEQTDPVSEDAVMMKILQVLTATMRHNASVLLTDQAVCTIVNTCFQVVQQSVTRGDLLQRTARHTMHELIQIIFSRLSDVDVNEGESSESETDDIDENSGYGIRCAVDIFQFLCSLLNVVEVVDNTEGPICHTADEDVQLFALVLINCAIELSGDEIGKHPKLLRMVQDDLFHHLIHYGTCSTPLVLSMICSTVLNIYHFLRRFIRLQLEAFFSFVLLRIAAPGVSLQLQEVALEAIINFFRQPTFVIEAYVNYDCDPVCRNIFEDVGKLLCKQAFPGNGPTSTLQVQAFEGLIIMIHSISTNIDKEEDSTPSDPYPIEIKEYRPFWVEKPKDDLETWVEYIRVRKAQKRKILIGASHFSRDEKKGLEYLKHCQLVSDPPNPKSFAFFFRYTPGLDKNMIGDYLGDPDELHIQVLKEFTATFEFHGMILDSALRTYLETFRLPGESQKIQRILEAFSERFFDQQSSEIFVTKDSVFILCYSLIMLNTDQHNPQVKKKMTEDEFIRNNRAINGGQDLPREYLSELFHSISNNAITLFGQSGPVEMNPSRWIELMNRAKLMQPYILCDFDRRLGRDMFACVAGPTISALSAFFEHADEDEMLHECIEGLISLARIAQYGLADTLDELVASFCKFTTLLNPYASAEETLFAFSNDMKPRMATLALFTIANNFGRSLRGGWRNIIDCLLKLKRLRLLPQSVIEFDVASSSDAPEGSKSESGVIFPNHDSKFSKNTAGVVSRFSHFLTLDSMEESISLGMSEFEQNLKIIRQCQIGSIFGNSFQLPVEALMNLGRSLIFAAAGKGQKFSTPIEEEETVGFCWDLIIAISLANLHRFPVFWPSYSDYLLAVAQFPLFSPLPFAEKGIIGLFKVCLKLLASYQADKTPEELIFKSINLMWKLDKEILDTCCEYITQSVSKILTEYPANLQTQLGWKSVLHLLSITGRHPETYDQAVEALIMLMSDAFHISKINYAYCIDCAFGFVALKNSPLEKNLKILDLMSDSVKLLIQWYTTASDQTNNYSFSSNTSASSSDDNPKGIESVNFIMSLFVKLGEALRKTSLARREEIRNHAILALRRGFKLAEDLQLSSSRCISCFNLVIFAMVDDQHEKMIEYSRRENAERETRSMEGTLKLSMELLTDVYLQYLNQIMENPGFRTFWLGVLRRMDTCMKADLGEYGETKLHEVVPELLRKMITKMQETGILVRKEEDDLWDITYIQIQWIAPSLKEELFPDEI >KJB57987 pep chromosome:Graimondii2_0_v6:9:14509445:14512188:-1 gene:B456_009G188700 transcript:KJB57987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHITHGSDSVLHPCPKASMANSLLSSNFFGTQLPLSPPTPKTTRKLQVTRSILNNKPNSNHTFRALRSHATLAALFFSSVTPQALAVDNSPPTPPPVIEAQPTNPSPSNQSHFSQDLLLIAPKPQSQSSDLPEGSQWRYIEFLNAVKKGKVERVRFSKDGSVLQLSAVDGRRASVIVPNDPDLIDILAMNGVDISVSEGESGNGLFNFIGNLLFPFLAFAGLFFLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTPLARSVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDGITDALERIIAGPEKKNVVVSVRRRNWLPIMVFDFLYALKFTEAGHALVGALIPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALSGRIAEEVIFGEENVTTGASNDFMQVSRVARHMVERFGFSKKIGRVAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRDLVETAYSRAKQINHNPH >KJB57986 pep chromosome:Graimondii2_0_v6:9:14508457:14512500:-1 gene:B456_009G188700 transcript:KJB57986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHITHGSDSVLHPCPKASMANSLLSSNFFGTQLPLSPPTPKTTRKLQVTRSILNNKPNSNHTFRALRSHATLAALFFSSVTPQALAVDNSPPTPPPVIEAQPTNPSPSNQSHFSQDLLLIAPKPQSQSSDLPEGSQWRYIEFLNAVKKGKVERVRFSKDGSVLQLSAVDGRRASVIVPNDPDLIDILAMNGVDISVSEGESGNGLFNFIGNLLFPFLAFAGLFFLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTPLARSVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDGITDALERIIAGPEKKNVVVSVRRRNWLPIMRLAML >KJB57365 pep chromosome:Graimondii2_0_v6:9:12214903:12222497:-1 gene:B456_009G159500 transcript:KJB57365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLATRPSTMKILFGFMILTVLMLICMEPNQVEAQVEPPYPPGYEVRALREIATELGKKDWNYNENPCNNKSSWFTPPPPPNVPEAINNSTVTCNCSFPNGECHIDGIYLLGQDLDGVLPRSLVKLSYIKVLVLYLNYLNGEIPREWAALKLETLSVAMNRLSGPIPSYLGNITSLKYLSLENNLFSGTIPPEFGKLVNLENLTLSANYLVGKFPSSLANLSNIKELRISSNNFSGKMPDIFQSWKLLEKLEIQASGFEGPIPSSLALLHNLVELRISDLPGEGSKFPNLKNMKNMYRLILRSCNISGPIPDYIWELSQLQILDLSFNNLEGNISETEGLTSTQYMYLTHNSLSGHIPNWLSIRDSRYQIDLSYNNFTESSDSSSCRENLNLFKSFSGGKTLGLDNCLKNFPCSKDWYSVNINCAGGATTINGIDYEGDEDLGGPAKYFPLRETWETSSTGLFWDTDVTSGDFIAQNVSILRIKNSALYTRARLSPLSLTYYFRCLANGNYTVTLHFAEIVIRDNRSYQSLGRRIFDVYVQEKLELKDFNIQNETKGVDKAVVRKIKTVVSDKTLTIRFHWAGKGTTATPRRGTYGPLISAISVDSDHKPRVLNSWEKNLKFVVAAVVSVLCLILVILGILWRKGYFQPKPSREQVLRGLDLQTGFFTFRQMQAATNNFDAANKIGEGGFGAVYKGELLDGTIIAIKKLSSKSRQGDREFLNELGMISGLQHPNVVRLYGCCVEGTQLLLVYEYMENNSLAHALFGPKESQLKLNWPTRQKICLGIAKGLTFLHEESSLKVVHRDIKTTNVLLDSDLNAKISDFGLAKFDEEENTHISTRIAGTIGYMAPEYALWGYLTYKADVYSFGIVALEIVAGKNNTKYRPEEDYVCLQDWALVLQQKGNLMELVDPRLGTEFNEEEAIRMTKVALLCTNSSPALRPTMSEVVNMLEGRTLVPELILDPSIFSDESRFGAVKDQFNRMQSRSSKTTTITQSSDSTSTVWIGSSSTLVEHQ >KJB57366 pep chromosome:Graimondii2_0_v6:9:12214660:12222639:-1 gene:B456_009G159500 transcript:KJB57366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLATISRVGLLHRRRQMCLRPLTTVLSPAIAPSPMVNVILMASTFWGRILMVFYLAHLSSYHILKVLYLNYLNGEIPREWAALKLETLSVAMNRLSGPIPSYLGNITSLKYLSLENNLFSGTIPPEFGKLVNLENLTLSANYLVGKFPSSLANLSNIKELRISSNNFSGKMPDIFQSWKLLEKLEIQASGFEGPIPSSLALLHNLVELRISDLPGEGSKFPNLKNMKNMYRLILRSCNISGPIPDYIWELSQLQILDLSFNNLEGNISETEGLTSTQYMYLTHNSLSGHIPNWLSIRDSRYQIDLSYNNFTESSDSSSCRENLNLFKSFSGGKTLGLDNCLKNFPCSKDWYSVNINCAGGATTINGIDYEGDEDLGGPAKYFPLRETWETSSTGLFWDTDVTSGDFIAQNVSILRIKNSALYTRARLSPLSLTYYFRCLANGNYTVTLHFAEIVIRDNRSYQSLGRRIFDVYVQEKLELKDFNIQNETKGVDKAVVRKIKTVVSDKTLTIRFHWAGKGTTATPRRGTYGPLISAISVDSDHKPRVLNSWEKNLKFVVAAVVSVLCLILVILGILWRKGYFQPKPSREQVLRGLDLQTGFFTFRQMQAATNNFDAANKIGEGGFGAVYKGELLDGTIIAIKKLSSKSRQGDREFLNELGMISGLQHPNVVRLYGCCVEGTQLLLVYEYMENNSLAHALFGPKESQLKLNWPTRQKICLGIAKGLTFLHEESSLKVVHRDIKTTNVLLDSDLNAKISDFGLAKFDEEENTHISTRIAGTIGYMAPEYALWGYLTYKADVYSFGIVALEIVAGKNNTKYRPEEDYVCLQDWALVLQQKGNLMELVDPRLGTEFNEEEAIRMTKVALLCTNSSPALRPTMSEVVNMLEGRTLVPELILDPSIFSDESRFGAVKDQFNRMQSRSSKTTTITQSSDSTSTVWIGSSSTLVEHQ >KJB58555 pep chromosome:Graimondii2_0_v6:9:16687524:16690481:-1 gene:B456_009G214700 transcript:KJB58555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNERLRLLLLLQRLFSILLLVLCGEAAKLLTSPEATALLGFQSKADLRNHLGFSRNASIPFCDWQGVTCYQQKVVRLILEDLSLGGVFAPNTLSQLGQLRVLSLQNNSLTGPIPDLSALVNLKTLFLDHNFFTGSFPVSTLSFHRLRTLDLSYNNLTGNIPNSLAYLDRLYYLRLDRNWFNGTIPPFNQSSLKTFNISGNNLTGAIPVTPTLQRFDFSSFLWNPGLCGEIIHKECHPRPHFFGPTAAVVAPPPTVVLGQSAEEHGVELAQPQPISKQHKRTAIIIGFSTGVFVLIGSLLCFVLAVRKQTDKKQSAAAAESDDGAAAAQAAAVVQMEQETELEEKVKRVQGMQVAKSGNLIFCAGEAQLYSLDQLMRASAELLGRGTVGTTYKAVLDNRTVVTVKRLDAGKLAATTKEMFEQHMESVGGLRHPNLVPLRAYFQAKEERLLIYDFQSNGSLFSLIHGPHTESYRQSPKSRVSQYRLATI >KJB58553 pep chromosome:Graimondii2_0_v6:9:16687520:16690774:-1 gene:B456_009G214700 transcript:KJB58553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNERLRLLLLLQRLFSILLLVLCGEAAKLLTSPEATALLGFQSKADLRNHLGFSRNASIPFCDWQGVTCYQQKVVRLILEDLSLGGVFAPNTLSQLGQLRVLSLQNNSLTGPIPDLSALVNLKTLFLDHNFFTGSFPVSTLSFHRLRTLDLSYNNLTGNIPNSLAYLDRLYYLRLDRNWFNGTIPPFNQSSLKTFNISGNNLTGAIPVTPTLQRFDFSSFLWNPGLCGEIIHKECHPRPHFFGPTAAVVAPPPTVVLGQSAEEHGVELAQPQPISKQHKRTAIIIGFSTGVFVLIGSLLCFVLAVRKQTDKKQSAAAAESDDGAAAAQAAAVVQMEQETELEEKVKRVQGMQVAKSGNLIFCAGEAQLYSLDQLMRASAELLGRGTVGTTYKAVLDNRTVVTVKRLDAGKLAATTKEMFEQHMESVGGLRHPNLVPLRAYFQAKEERLLIYDFQSNGSLFSLIHGSKSTRAKPLHWTSCLKIAEDVAQGLSYIHQAWRLVHGNLKSTNVLLGPDFEACLADYCLAALVTSIHEEDPDGIARKPPEIRNSNHQATSKSDVFTYGVLLLELLSGKPPSQHPLLAPDEMMHWLRSCREDDGGDDERLGMLLEVAISCSLSSPEQRPTMWQILKMLQEIKEAVLMENGELDPLSRTC >KJB58554 pep chromosome:Graimondii2_0_v6:9:16687524:16690481:-1 gene:B456_009G214700 transcript:KJB58554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNERLRLLLLLQRLFSILLLVLCGEAAKLLTSPEATALLGFQSKADLRNHLGFSRNASIPFCDWQGVTCYQQKVVRLILEDLSLGGVFAPNTLSQLGQLRVLSLQNNSLTGPIPDLSALVNLKTLFLDHNFFTGSFPVSTLSFHRLRTLDLSYNNLTGNIPNSLAYLDRLYYLRLDRNWFNGTIPPFNQSSLKTFNISGNNLTGAIPVTPTLQRFDFSSFLWNPGLCGEIIHKECHPRPHFFGPTAAVVAPPPTVVLGQSAEEHGVELAQPQPISKQHKRTAIIIGFSTGVFVLIGSLLCFVLAVRKQTDKKQSAAAAESDDGAAAAQAAAVVQMEQETELEEKVKRVQGMQVAKSGNLIFCAGEAQLYSLDQLMRASAELLGRGTVGTTYKAVLDNRTVVTVKRLDAGKLAATTKEMFEQHMESVGGLRHPNLVPLRAYFQAKEERLLIYDFQSNGSLFSLIHGNNFMLSFYSIIERFALFRR >KJB61611 pep chromosome:Graimondii2_0_v6:9:49717958:49724600:-1 gene:B456_009G369800 transcript:KJB61611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARNLNSDQFALLKFKDRIADPQNVLANNWTASTSVCRWIGVSCGIIHKRVIALNLSSMNLRVTIPPYLGNLSFLLSLDLNHNSFYGLLPKELGQLHRLKILQLSFNSLNGEIPSWLGNLHKVQRLQMTNNTFTGTIPQTLVNMSNLEIFNLIFNQLSGQVPSSIFNISSLKIITLSGNSLSSSLPNDMCQHLPKLKGLYLSWNEFSGNIPSSIDKCNNLKNLSLSYNQFVGIIPRSIGNLSRLEELYLGFNNLEGQIPKEIGNLLSLEMLSIQAIKGLTGPIPSPIFNISSLKAIGLSENNLSDSLPNDVCQHLPKLEGLDLISNELSGNIPSSIGKCNNLRILSLSINKFTGIIPRSIGNLTQLEELYLALNNLEGNLPSMTNALKLEYLILRGNKLSGNIPNSISNVSMLKFLDLVDNLFSGPIPKTLGNLRHLERLQIMNNNLITGSADHEWTFLSSLTNCRHLRKIVVSGNPLSGVLPTYIGNLSTSLQRFYADHCELEGNIPMQIGNLSNMLVLELGYNKLSGFIPTSIGGLRNLQGLGLFSNKLEGPISESLCDLERRYNLFLGLNKLYGSIPSCFGNITSLRYLYLESNKLSSAIPSTLWNLNDILEVDLSSNYLDNSHALDVGDLRSLLKLNLSRNILTGDILSTFGGLKTLVSLDLSNNILHGVEIGQPCFCLKCMQLNDMLQHSLEKLLYLKYFNVSFNRLEGEIPIEGCFSNFSSTSFMKNYALCGPPRLLVPPCKNDIHKNSKMTLLHAFRYGLPTIGIVIVLIVLTIIYRRCQSRGTTLPIRDDLLSLKTLRRISYYELSQATNGFEECNMLGFGSFGYVYKGRLSDGMEVAIKVFNLQIEGAFQSFDIECDAMRNIVHRNIVKVINCCSSVDFKALVFDYMSNGNLEKWLHSNNCFLDIIQRVNIMIDVAAAVEHLHNEHPTPIIHCDLKPSNILLDEDMVAHVGDFGIAKLLGEGDVMKQTMTLATIGYMAPEFGSTGIVSIKCDVYSYGIILIETFTKKKPTDNLFAEEVTIRHWMECSLPKGAIEIADADLLREEDEYFVVKANCISAIMELALNCSAELPEERKDMKDVVVELKKIKQRLLNNIKHV >KJB61610 pep chromosome:Graimondii2_0_v6:9:49717659:49724600:-1 gene:B456_009G369800 transcript:KJB61610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARNLNSDQFALLKFKDRIADPQNVLANNWTASTSVCRWIGVSCGIIHKRVIALNLSSMNLRVTIPPYLGNLSFLLSLDLNHNSFYGLLPKELGQLHRLKILQLSFNSLNGEIPSWLGNLHKVQRLQMTNNTFTGTIPQTLVNMSNLEIFNLIFNQLSGQVPSSIFNISSLKIITLSGNSLSSSLPNDMCQHLPKLKGLYLSWNEFSGNIPSSIDKCNNLKNLSLSYNQFVGIIPRSIGNLSRLEELYLGFNNLEGQIPKEIGNLLSLEMLSIQAIKGLTGNLPSMTNALKLEYLILRGNKLSGNIPNSISNVSMLKFLDLVDNLFSGPIPKTLGNLRHLERLQIMNNNLITGSADHEWTFLSSLTNCRHLRKIVVSGNPLSGVLPTYIGNLSTSLQRFYADHCELEGNIPMQIGNLSNMLVLELGYNKLSGFIPTSIGGLRNLQGLGLFSNKLEGPISESLCDLERRYNLFLGLNKLYGSIPSCFGNITSLRYLYLESNKLSSAIPSTLWNLNDILEVDLSSNYLDNSHALDVGDLRSLLKLNLSRNILTGDILSTFGGLKTLVSLDLSNNILHGVEIGQPCFCLKCMQLNDMLQHSLEKLLYLKYFNVSFNRLEGEIPIEGCFSNFSSTSFMKNYALCGPPRLLVPPCKNDIHKNSKMTLLHAFRYGLPTIGIVIVLIVLTIIYRRCQSRGTTLPIRDDLLSLKTLRRISYYELSQATNGFEECNMLGFGSFGYVYKGRLSDGMEVAIKVFNLQIEGAFQSFDIECDAMRNIVHRNIVKVINCCSSVDFKALVFDYMSNGNLEKWLHSNNCFLDIIQRVNIMIDVAAAVEHLHNEHPTPIIHCDLKPSNILLDEDMVAHVGDFGIAKLLGEGDVMKQTMTLATIGYMAPEFGSTGIVSIKCDVYSYGIILIETFTKKKPTDNLFAEEVTIRHWMECSLPKGAIEIADADLLREEDEYFVVKANCISAIMELALNCSAELPEERKDMKDVVVELKKIKQRLLNNIKHV >KJB62732 pep chromosome:Graimondii2_0_v6:9:67838035:67841288:-1 gene:B456_009G432400 transcript:KJB62732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGKTTIMKHIHNDLLKEHSFERVIWVTISKDFNVMKLQDDIASALKGDLGNEKDKVRRAAILLELLKKVGKHVLILDDVWDKVSLEEVGIPEPSSSNGCKLVLTTRSEQVCKYMGCKVTQVKPLSEEEALILFLNKVGPTIVQSPTLMPTLRLAVKECAGLPLTVVVLAGTMKGEDDPCIWKNALKELKERVGMVEGIEAEVIERLKFSFDHLKDKKLKHCFLYCALYPEDFPIWEDELIECWIDEGFIDEMNTRQEMKDKGHAILKKLEDNCLLENGTHQYQPCKKMHDAVRDMALSITSINPRYMIQAGLQLEKLPREEEWTRDIEKVSLKINSISEIPIDISPPKCQLLTTLLLQWNPIKKIPNSFFVNMPCLSVLDLSRTNIESLPDSISELKNLTALLLEGCQELRALPCLSKLQRLKKLDLNFTSIEEVPEGMDMLINLRYLNLFVYTLKVIPTRVLPKLSHLQHLKVYMHGETKGLKADEVVPLQKLECFYGRFENMYELNKFVSSMQQCKKNLIKYQLYVGLFSLVAEEERVVSINDLEIVGGELMFPVDMQELDISYCHYLRNVSHISSLKNVVDLRVCKIGHCAGIECVVSFSFSSSTHQFQSLQCLCLIDLPKLSEVIKVEGYGSAKTSILASSATFSNLKNIHILDCPSIMTLLPHWLLPNLQNLEEITVTDCEKLVEIFAAEDEEKGSDALIKFDLPKLRFLILKSVPELKSICSKSGVMVCDSLQLIDVDNCDKLKRIPPFVSFVGNEQPYAHAPPSLKIVSSRQWWDSLEWDDPNFKNVLQPLWQNRWFLLKTAFDECRELIKMNSGQVG >KJB62733 pep chromosome:Graimondii2_0_v6:9:67838330:67841288:-1 gene:B456_009G432400 transcript:KJB62733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGKTTIMKHIHNDLLKEHSFERVIWVTISKDFNVMKLQDDIASALKGDLGNEKDKVRRAAILLELLKKVGKHVLILDDVWDKVSLEEVGIPEPSSSNGCKLVLTTRSEQVCKYMGCKVTQVKPLSEEEALILFLNKVGPTIVQSPTLMPTLRLAVKECAGLPLTVVVLAGTMKGEDDPCIWKNALKELKERVGMVEGIEAEVIERLKFSFDHLKDKKLKHCFLYCALYPEDFPIWEDELIECWIDEGFIDEMNTRQEMKDKGHAILKKLEDNCLLENGTHQYQPCKKMHDAVRDMALSITSINPRYMIQAGLQLEKLPREEEWTRDIEKVSLKINSISEIPIDISPPKCQLLTTLLLQWNPIKKIPNSFFVNMPCLSVLDLSRTNIESLPDSISELKNLTALLLEGCQELRALPCLSKLQRLKKLDLNFTSIEEVPEGMDMLINLRYLNLFVYTLKVIPTRVLPKLSHLQHLKVYMHGETKGLKADEQCKKNLIKYQLYVGLFSLVAEEERVVSINDLEIVGGELMFPVDMQELDISYCHYLRNVSHISSLKNVVDLRVCKIGHCAGIECVVSFSFSSSTHQFQSLQCLCLIDLPKLSEVIKVEGYGSAKTSILASSATFSNLKNIHILDCPSIMTLLPHWLLPNLQNLEEITVTDCEKLVEIFAAEDEEKGSDALIKFDLPKLRFLILKSVPELKSICSKSGVMVCDSLQLIDVDNCDKLKRIPPFVSFVGNEQPYAHAPPSLKIVSSRQWWDSLEWDDPNFKNVLQPLWQNRWFLLKTAFDECRELIKMK >KJB63082 pep chromosome:Graimondii2_0_v6:9:70291123:70295931:1 gene:B456_009G452000 transcript:KJB63082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTLNTLFFLISITFLFFHHHCYSIDEQGQALLTWKNSLNATEPALKSWDSSDTTPCNWFGVHCNSNGDVVEISLKSMELQGSLPSNFQSLKSLKTLILSSNNLSGVIPKEFGDYNELNFIDLSGNFLTGEIPMEICKLKKLKTLAMYSNYLGGKIPSMMGNLSGLVYLTLYDNQLNGEIPKSIGELKMLQVFRVGGNKDLNGELPLEIGNCTSLLMLGLAETSITGNLPSSIGMLKRVQTVAIYTSLLSGSIPEEISNCSELQNLYLYQNSISGSIPRGIGQLNKLQSLLLWQNSLVGTIPDELGTCSELTVVDLSENLLTGSIPRSIGKLLKLQELQLSVNQLSGTIPSEILNCSELINLEIDNNAFSGEVPVDIGKLNSLTIFFAWQNKLTGNVPDSLSQCKDLQAIDLSYNSLSGSIPKDIFGLKNLTKLLLLANDLSGFIPPEIGNCTNLYRLRLNGNRLAGTIPSEIGNLKGLNFVDLSENRFVGGIPLSISGCRNLEFLDLHSNGLAGSLPDTLPTSLQYVDISDNSLTGQLSHSIGSLSELTKLNLGKNRLSGQIPTEISSCSKLQLVNLGDNGFFGEIPKELGQIPALEISLNLSWNRFSGKIPSEFSGLTKLAILDLSHNKFSGKLDVLTSLQNLVSLNVSFNDFSGELPNSSFFRKLPLSDLESNKGLYISNGVVSSGLEHSRHVGPAVKLVMSILISASVILVLLAIYALIRARFLNNGLMEDYTWEVTLYQKLDFSIDDIVHNLTSSNVIGTGSSGVVYRVTIPNCETLAVKKMWSSEEYGAFTSEIKTLGSIRHRNIVRLLGWGSNTNLKLLFYNYLPNGSLSSLIHSGGKGGADWEARYDIVLGIAHALAYLHHDCVPSILHGDVKAMNVLLGPCYEPYLADFGLARVLNNNGDNNDKVSKSSPRPHLAGSYGYMAPEHATMRRITEKSDVYSFGVVLLEVLTGRHPLDPTLPGGAHLVQWVRDHLASKGDPSEILEPKLKGRADPAMHEMLQTLAVSFLCVSTQPEDRPIMKDVVAMLKEIRHLETLRFETDISKGALTQSPPSKIVVADGSSNCSFTFSNDSIQF >KJB63083 pep chromosome:Graimondii2_0_v6:9:70290989:70295931:1 gene:B456_009G452000 transcript:KJB63083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTLNTLFFLISITFLFFHHHCYSIDEQGQALLTWKNSLNATEPALKSWDSSDTTPCNWFGVHCNSNGDVVEISLKSMELQGSLPSNFQSLKSLKTLILSSNNLSGVIPKEFGDYNELNFIDLSGNFLTGEIPMEICKLKKLKTLAMYSNYLGGKIPSMMGNLSGLVYLTLYDNQLNGEIPKSIGELKMLQVFRVGGNKDLNGELPLEIGNCTSLLMLGLAETSITGNLPSSIGMLKRVQTVAIYTSLLSGSIPEEISNCSELQNLYLYQNSISGSIPRGIGQLNKLQSLLLWQNSLVGTIPDELGTCSELTVVDLSENLLTGSIPRSIGKLLKLQELQLSVNQLSGTIPSEILNCSELINLEIDNNAFSGEVPVDIGKLNSLTIFFAWQNKLTGNVPDSLSQCKDLQAIDLSYNSLSGSIPKDIFGLKNLTKLLLLANDLSGFIPPEIGNCTNLYRLRLNGNRLAGTIPSEIGNLKGLNFVDLSENRFVGGIPLSISGCRNLEFLDLHSNGLAGSLPDTLPTSLQYVDISDNSLTGQLSHSIGSLSELTKLNLGKNRLSGQIPTEISSCSKLQLVNLGDNGFFGEIPKELGQIPALEISLNLSWNRFSGKIPSEFSGLTKLAILDLSHNKFSGKLDVLTSLQNLVSLNVSFNDFSGELPNSSFFRKLPLSDLESNKGLYISNGVVSSGLEHSRHVGPAVKLVMSILISASVILVLLAIYALIRARFLNNGLMEDYTWEVTLYQKLDFSIDDIVHNLTSSNVIGTGSSGVVYRVTIPNCETLAVKKMWSSEEYGAFTSEIKTLGSIRHRNIVRLLGWGSNTNLKLLFYNYLPNGSLSSLIHSGGKGGADWEARYDIVLGIAHALAYLHHDCVPSILHGDVKAMNVLLGPCYEPYLADFGLARVLNNNGDNNDKVSKSSPRPHLAGSYGYMAPEHATMRRITEKSDVYSFGVVLLEVLTGRHPLDPTLPGGAHLVQWVRDHLASKGDPSEILEPKLKGRADPAMHEMLQTLAVSFLCVSTQPEDRPIMKDVVAMLKEIRHLETLRFETDISKGALTQSPPSKIVVADGSSNCSFTFSNDSIQF >KJB56845 pep chromosome:Graimondii2_0_v6:9:13911614:13912289:-1 gene:B456_009G180700 transcript:KJB56845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLNLFFMLSIVMALVAITLSAITSEKSDHPLGNSQMAASFRGAGGFPTQGFRPFLTCDKQPEICSMKGLFCCNRRCVDLKTEQFNCGRCGKTCNYSSICCEGKCVSPLFDENHCGGCNNSCGKGSSCVYGMCNYA >KJB58308 pep chromosome:Graimondii2_0_v6:9:15803826:15806148:1 gene:B456_009G204000 transcript:KJB58308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLTLLFISFLCICVLKFVYSIVWIPWRIQNHFQKQGVRGPGYRPIFGNSAEIQRLYKEVKLKPAPVDHHDVLHRAAPFYHRWSGMYGTPFLYWFGSKPRLGISDPDMIKEVTMNTSGSFDKLGFNPMSRMLFGQGLVGLKGEKWALHRRIANQAFNMERVKGWVPEIVAATTKMLEEWEEERGGRDEFELEVNKELHNLSADVISRTAFGSSFEEGKRIFMLQEQQMELFSKAIRSIYIPGFRFLPTKNNRARWRLENETRESVRALIRSNSKRGENSSSLLSLLMSSYRNQDDKEERLEEEEIINECKTFYFAGKETAANALSWALLLLALNPEWQDKARDEVVGICGTDMLPAAEHLSDLKIVSMIVNETLRLYSPAVMLMREAVKDFKLGKLDVPAGTQLYLALSAVHRDTDIWGEDANKFKPSRFKEPRKHLASFIPFGLGPRICVGQNLAMAEMKIVLAMIIRQYSLAVSSTYVHAPLLFITLQPQYGIQIRLTRIMK >KJB62259 pep chromosome:Graimondii2_0_v6:9:61167793:61171293:-1 gene:B456_009G408800 transcript:KJB62259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKESTISGSLGNSDTDSPPAAVSSLVMNMSLDGATAIVPVAGAGGDSLGKKKRGRPRKYDADGKLRIPFPYPSSTPAFTLSPSDFSSSKRGKGMPSLGDLFVSTACGDFTPHVVTVNTGEDVAGKILSFSQNGPRGICILSANGAVSNVTIRQPGSSGGILTYEGRFEILSLTGSFTVSDTGGGKSRTGGLSVSLAGPDGRVIGGGLAGILVAASPIQIVVGSFMPNGYKVQKRKHYRQETAAASTVVTAAPPSATAATKPDGENCMTSAYPFPGERQSLGEADNNNTIIDKHLANPVAASIVAGWNGSNPSPIHRPSPDINVSVSSE >KJB62260 pep chromosome:Graimondii2_0_v6:9:61167793:61171312:-1 gene:B456_009G408800 transcript:KJB62260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKESTISGSLGNSDTDSPPAAVSSLVMNMSLDGATAIVPVAGAGGDSLGKKKRGRPRKYDADGKLRIPFPYPSSTPAFTLSPSDFSSSKRGDLFVSTACGDFTPHVVTVNTGEDVAGKILSFSQNGPRGICILSANGAVSNVTIRQPGSSGGILTYEGRFEILSLTGSFTVSDTGGGKSRTGGLSVSLAGPDGRVIGGGLAGILVAASPIQIVVGSFMPNGYKVQKRKHYRQETAAASTVVTAAPPSATAATKPDGENCMTSAYPFPGERQSLGEADNNNTIIDKHLANPVAASIVAGWNGSNPSPIHRPSPDINVSVSSE >KJB59274 pep chromosome:Graimondii2_0_v6:9:19899782:19903701:-1 gene:B456_009G246900 transcript:KJB59274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVVASHLSLVLKAHPTISSPSQFLLQQHSKPMASSLNSAASLRLFASSSSSSSAAARLSLRSTSLSFSSSLKSLAFSTLSRHKRGSAVSVSSTGGFSSVASPKCAASDPDQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPRRGGANGSLRFEVELKHAANAGLVNALNLLQHIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSRPNECPEEGRLPAAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGAPGGQSWTVQWLKFDNSYFKDIKAKRDEDLLVLPTDAVLFEDPSFKVYAEKYAEDQETFFKDYAEAHAKLSNLGAKFNPPEGIVLDDGPR >KJB59271 pep chromosome:Graimondii2_0_v6:9:19899133:19903777:-1 gene:B456_009G246900 transcript:KJB59271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVVASHLSLVLKAHPTISSPSQFLLQQHSKPMASSLNSAASLRLFASSSSSSSAAARLSLRSTSLSFSSSLKSLAFSTLSRHKRGSAVSVSSTGGFSSVASPKCAASDPDQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPRRGGANGSLRFEVELKHAANAGLVNALNLLQHIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSRPNECPEEGRLPAAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGAPGGQSWTVQWLKFDNSYFKDIKAKRDEDLLVLPTDAVLFEDPSFKVYAEKYAEDQETFFKDYAEAHAKLSNLGAKFNPPEGIVLDDGPR >KJB59272 pep chromosome:Graimondii2_0_v6:9:19899045:19903821:-1 gene:B456_009G246900 transcript:KJB59272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVVASHLSLVLKAHPTISSPSQFLLQQHSKPMASSLNSAASLRLFASSSSSSSAAARLSLRSTSLSFSSSLKSLAFSTLSRHKRGSAVSVSSTGGFSSVASPKCAASDPDQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPRRGGANGSLRFEVELKHAANAGLVNALNLLQHIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSRPNECPEEGRLPAAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGAPGGQSWTVQWLKFDNSYFKDIKAKRDEDLLVLPTDAVLFEDPSFKVYAEKYAEDQETFFKDYAEAHAKLSNLGAKFNPPED >KJB59273 pep chromosome:Graimondii2_0_v6:9:19899124:19903777:-1 gene:B456_009G246900 transcript:KJB59273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVVASHLSLVLKAHPTISSPSQFLLQQHSKPMASSLNSAASLRLFASSSSSSSAAARLSLRSTSLSFSSSLKSLAFSTLSRHKRGSAVSVSSTGGFSSVASPKCAASDPDQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPRRGGANGSLRFEVELKHAANAGLVNALNLLQHIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSRPNECPEEGRLPAAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGAPGGQSWTVQWLKFDNSYFKV >KJB59276 pep chromosome:Graimondii2_0_v6:9:19900318:19903777:-1 gene:B456_009G246900 transcript:KJB59276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVVASHLSLVLKAHPTISSPSQFLLQQHSKPMASSLNSAASLRLFASSSSSSSAAARLSLRSTSLSFSSSLKSLAFSTLSRHKRGSAVSVSSTGGFSSVASPKCAASDPDQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPRRGGANGSLRFEVELKHAANAGLVNALNLLQHIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSRPNECPEEGRLPAAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGAPGGQSWTVQWLKFDNSYFKDIKAKRDEDLLVLPTDAVLFEDPSFKVYAEKYAEDQETFFKDYAEAHAKLSNLGAKFNPPEVGL >KJB59277 pep chromosome:Graimondii2_0_v6:9:19901200:19903777:-1 gene:B456_009G246900 transcript:KJB59277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVVASHLSLVLKAHPTISSPSQFLLQQHSKPMASSLNSAASLRLFASSSSSSSAAARLSLRSTSLSFSSSLKSLAFSTLSRHKRGSAVSVSSTGGFSSVASPKCAASDPDQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPRRGGANGSLRFEVELKHAANAGLVNALNLLQHIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSRPNECPEEGRLPAAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPDRSGWGKPETKYTVFKQPLDTR >KJB59275 pep chromosome:Graimondii2_0_v6:9:19899782:19903701:-1 gene:B456_009G246900 transcript:KJB59275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVVASHLSLVLKAHPTISSPSQFLLQQHSKPMASSLNSAASLRLFASSSSSSSAAARLSLRSTSLSFSSSLKSLAFSTLSRHKRGSAVSVSSTGGFSSVASPKCAASDPDQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPRRGGANGSLRFEVELKHAANAGLVNALNLLQHIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSRPNECPEEGRLPAAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGAPGGQSWTVQWLKFDNSYFKDIKAKRDEDLLVLPTDAVLFEDPSFKVYAEKYAEDQETFFKDYAEAHAKLSNLGAKFNPPEGIVLDDGPR >KJB59278 pep chromosome:Graimondii2_0_v6:9:19902059:19903777:-1 gene:B456_009G246900 transcript:KJB59278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVVASHLSLVLKAHPTISSPSQFLLQQHSKPMASSLNSAASLRLFASSSSSSSAAARLSLRSTSLSFSSSLKSLAFSTLSRHKRGSAVSVSSTGGFSSVASPKCAASDPDQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPRRGGANGSLRFEVELKHAANAGLVNALNLLQHIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSRPNECPEEGRLPGK >KJB62556 pep chromosome:Graimondii2_0_v6:9:65972339:65974148:1 gene:B456_009G422500 transcript:KJB62556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQATFNHDADEEYIDMEVSSPSRFLCYSINSPPQSREFEFQMCSVDCNGDITSTTSPADELFYKGKLLPLHLPPRLQMVQKLLQSSNSSSSESKTEAPFEENGQLHFIGSTIASLNGSSLLESCRVSSELNPDDYFFEWSTEMNGFTGNNSNKSWSKKLKQMKQSSITQKLKASREYLKSLFSKSACSDESCAKADVSKTKECANKYMKMGKKNPFGKVDKGRYKIFMKTIDRELGEDVRDIHRRSFSGVIQKHSAIKTSSSSSTSSSGSSSSSTSFSLSSSGFCDLQLLKRSNSANSEIENSIEGAIAHCKQSQKLGVCSLSASKISVCGDQERPELCSI >KJB57493 pep chromosome:Graimondii2_0_v6:9:12848067:12848693:1 gene:B456_009G166900 transcript:KJB57493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESTWEQKLQALTHILTSPTTSPPLHSQLFISTQIPCFLNWDFPPILCNKPNSHTFPSLHLKWGISLFLKRVSRLGVPETSWRSKCPYYQPPPLILAKGVDEAQWVDAQKREYVRKRLRRKRLESHVHPLIPILIPDLLLFSLLWFNPFRLNDS >KJB56917 pep chromosome:Graimondii2_0_v6:9:10712564:10715244:1 gene:B456_009G141600 transcript:KJB56917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYLGGSWTMIPSIPTHSNVSTPTNQDHLYLSPPPPSQQQQFHPQQFQQQQQQRMLQQQQQQQQQQQQQVQQNQQQQSLASHFHLLHLVENLADAIDNGSRDQHSDALVNELNNHFEKCQQLLNSIAASINSKSMTVEGQKKKLEESEQLLNQRRDLIAKYRSSVEDLLKTEP >KJB56918 pep chromosome:Graimondii2_0_v6:9:10712575:10715226:1 gene:B456_009G141600 transcript:KJB56918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYLGGSWTMIPSIPTHSNVSTPTNQDHLYLSPPPPSQQQQFHPQQFQQQQQQRMLQQQQQQQQQQQQQVQQNQQQQSLASHFHLLHLVENLADAIDNGSRDQHSDALVNELNNHFEKCQQLLNSIAASINSKSMTVEGQKKKLEESEQLLNQRSQV >KJB54437 pep chromosome:Graimondii2_0_v6:9:2546465:2548851:1 gene:B456_009G034000 transcript:KJB54437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSFNPKVRKTKSLSFNTVYKVFPLRNSKEQKLQHRPFSMEVTGDPPSLWSQPTISAHRRRPPSPIFSLPVLIILLPILALLLLFLVVPPFLSVTAQILRPLGVRKSWDSLNVFLVLFAIICGVLARRNDDDDGHNGNGIRNDSISGDNSKPSGHHVSQQWFGHPERKIYDDGAPINVRPSPATSVRRLKRSSSSYPDLRQDSLWENNEDRFRFFDDFEINKYRSSTGYGDHQVHVLRRSWRSEFEESEAKVIPVDTFVLRSSTSSTPSKTPPPSTPPPPPPLPPAAPRHKPRRTYQAAGQKDKVMNRNDRVDQFDEIKSPSGTTPPPPPPPPRRPPSPLVQVGNRSEQKYSKLQRRKSNATKEIKMVFASLRKRKKKHKSTDHDHQQDCPLHSPPQPPSYYSTSTRPTSPPPPPPPPPPPSSVFQKYNLFRKGSKSKKIHSVPAPPPPPPPAAFSFSKRSSKQNIQIPPPPPPAPPPPVTSYTKILSKQKSQIPPPAPPTTPPESSRKADNGRPPLPTKANTSYYDENVNSGGQSPLIPMPPPPPPPPFKMPEFKFVFRGDFVKIRSSPSSRCSSPDLEEIDVSSSKGDTETASMMEGDDGAGIAGVPVLCPSPDVNAKAETFIARLRDGWKLEKINSLREKQRT >KJB59862 pep chromosome:Graimondii2_0_v6:9:23254809:23255819:1 gene:B456_009G277200 transcript:KJB59862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGCLQLRQIMLLSFNYKNDGSKRKKESRRERKRNKRPSRNTRKRKQILKSDRKNRQIPRPDRGNGKHVAEGNPRDEKTAAAKDFDNEKKGKELKKRWKSKNKKRNEMKEKARAANEGEELTGGTSKAPLPTGVEHSKGEEKLIGDELQAPVLTSVEEENIEARRELGLSSIPRTHDRTEEVGRKFQAMSMNGEIRRADHRRYGGQNVHLNYRGGKSERNRRYNGVKFDRRGEGNQRNEGMAWVRKGEVKDQNVSAIQSSSCSSKD >KJB59861 pep chromosome:Graimondii2_0_v6:9:23254802:23255819:1 gene:B456_009G277200 transcript:KJB59861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSQKINGKKRLPSTPSNYVTLLQLQERWIKEKEGKQKGKEEKQETQQKHEEEETNFEERVNDEVSGRESRKNRQIPRPDRGNGKHVAEGNPRDEKTAAAKDFDNEKKGKELKKRWKSKNKKRNEMKEKARAANEGEELTGGTSKAPLPTGVEHSKGEEKLIGDELQAPVLTSVEEENIEARRELGLSSIPRTHDRTEEVGRKFQAMSMNGEIRRADHRRYGGQNVHLNYRGGKSERNRRYNGVKFDRRGEGNQRNEGMAWVRKGEVKDQNVSAIQSSSCSSKD >KJB60136 pep chromosome:Graimondii2_0_v6:9:25141486:25143381:-1 gene:B456_009G291400 transcript:KJB60136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEAKDPLKGVDWKAIGSELQKDPTASNKPVIKKRLPKKIRQIPDCYFLPRMPTPSTIAFYGAYVAGGIGAGMLLEVWINNKIKGVRSRMRLASLTRNTAQQQRIGIAFQRQKQRVRLLSLQKSLGLMLLLFVVLSYWGHFCSAPSMLVARGILLSGKQNSKDS >KJB58014 pep chromosome:Graimondii2_0_v6:9:14640426:14643578:1 gene:B456_009G190400 transcript:KJB58014 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 9 [Source:Projected from Arabidopsis thaliana (AT1G76110) UniProtKB/Swiss-Prot;Acc:Q9SGS2] MDSGSKTTPSSVKAKGRNGVVEKKEYPDSLTSHEEVVKDPIVFWDTLRRFHFIMGTKFMIPVIGGKELDLHVLYVEATKRGGYEKVVSEKKWREVGSVFKFSPTTTSASFVLRKHYFSLLYHYEQVHFFKMKGPLNTPTVASPVNDPSCRPELALVEYSPQPIRESPDPLIEGTSCFSVTGTIEGKFDCGYLISVRLGSEVLSGVLYHPQQPVSEYSNAIVPYKQVRSARHSRRRRSRRAGDPSYPKPNRSGYNFFFAEKHYKLKSLYPNREREFTKMIGESWNSLSPEERMVYQNIGLKDKERYRRELKEYKERLKLRQEGGEVDKPHY >KJB62769 pep chromosome:Graimondii2_0_v6:9:68447200:68449679:1 gene:B456_009G435300 transcript:KJB62769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLLPRPLSNPRKHSFSYFFSHSVSKTTYDPPFSPISKPPKPKPKPPDPTPNRNPTPIKSSLPFDFRHSYSETDPTLEPIGFREPKRFSPFGPGRLDREWTGTSAPVRDEVDSCLVEEERIRVLGDPLAEEEIEELVEKYRHSDCSRQINLGKNGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEEKSGGKIIYRHINILILYRGRNYDPQNRPVIPLMLWKPYAPIYPKLVKNIADGLTFKETKEMRNRGLHSPALMKLTRNGVYVNVVARVREAFETEEVIRLDCTHVGTSDCKRIGVKLRDLAPCVPILFKDEQIILWKGKRDQERNSDIPDANEKSSGT >KJB59668 pep chromosome:Graimondii2_0_v6:9:22132597:22133490:1 gene:B456_009G266700 transcript:KJB59668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF022 [Source:Projected from Arabidopsis thaliana (AT1G33760) UniProtKB/Swiss-Prot;Acc:Q9LQ28] MEHEAGVSPSSYRGVRQRKWGKWVSEIREPGKKTRIWLGSYETAEMAAAAYDVAALHFRGRAARLNFPELVDSLPRPASSRAEDVQMAAQEAALRLSRRAKISSEVGGELAGTGKSLGPVRVGLSQSQIQAINEFPLDSPKMWMELAGAVLLAEPMMCAVDDAEFMSDYEEILVEPIWD >KJB60502 pep chromosome:Graimondii2_0_v6:9:28409648:28415525:1 gene:B456_009G308900 transcript:KJB60502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCVMGAEEIKKDMDITSWPSDLAYEQWVALPVSGARPSARYKHAAAVADEKLYITGGSRNGRYLSDIQAFDLRSLTWSSLKLEIDPSADKSEDSGLQEVLPGISDHSMIKWENKLLLLGGHSKKSSDAMIVHFINLETHVCGVMETSGKIPVARGGHSVTLVGSKLIVFGGEDRSRKLLNDVHVLDLQTMTWSVVEATQTPPAPRFDHSAAVHSERYLLIFGGCSHSIFFNDLHVLDLHTMEWSQPQVQGDLVSPRAGHAGISIDEMWYIVGGGDNNNGCPETLALNMSKLVWSTLTTLKERHPLASEGLSICSAIIDGGKYLVAFGGYNGKYNNEVFVMKLKPRDLSQPKIFQSPAAAAAAASVTAAYALAKSEKLDFPQIIDLNFNGVENNVPKKDINLEINAIKEEKVVLESSIDEARAENSRLREKIDELKSNHTELSKELQSVQSQLISERSRCFKLEAQIAELQKMLESLESIENEVQILRRQKSALEQEMEVSSTQQQGSGGVWRWIAGGT >KJB60498 pep chromosome:Graimondii2_0_v6:9:28409402:28415567:1 gene:B456_009G308900 transcript:KJB60498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCVMGAEEIKKDMDITSWPSDLAYEQWVALPVSGARPSARYKHAAAVADEKLYITGGSRNGRYLSDIQAFDLRSLTWSSLKLEIDPSADKSEDSGLQEVLPGISDHSMIKWENKLLLLGGHSKKSSDAMIVHFINLETHVCGVMETSGKIPVARGGHSVTLVGSKLIVFGGEDRSRKLLNDVHVLDLQTMTWSVVEATQTPPAPRFDHSAAVHSERYLLIFGGCSHSIFFNDLHVLDLHTMEWSQPQVQGDLVSPRAGHAGISIDEMWYIVGGGDNNNGCPETLALNMSKLVWSTLTTLKERHPLASEGLSICSAIIDGGKYLVAFGGYNGKYNNEVFVMKLKPRDLSQPKIFQSPAAAAAAASVTAAYALAKSEKLDFPQIIDLNFNGVENNVPKKDINLEINAIKEEKVVLESSIDEARAENSRLREKIDELKSNHTELSKELQSVQSQLISERSRCFKLEAQIAELQKMLESLESIENEVQILRRQKSALEQEMEVSSTQQQGSGGVWRWIAGGT >KJB60501 pep chromosome:Graimondii2_0_v6:9:28409358:28415525:1 gene:B456_009G308900 transcript:KJB60501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCVMGAEEIKKDMDITSWPSDLAYEQWVALPVSGARPSARYKHAAAVADEKLYITGGSRNGRYLSDIQAFDLRSLTWSSLKLEIDPSADKSEDSGLQEVLPGISDHSMIKWENKLLLLGGHSKKSSDAMIVHFINLETHVCGVMETSGKIPVARGGHSVTLVGSKLIVFGGEDRSRKLLNDVHVLDLQTMTWSVVEATQTPPAPRFDHSAAVHSERYLLIFGGCSHSIFFNDLHVLDLHTMEWSQPQVQGDLVSPRAGHAGISIDEMWYIVGGGDNNNGCPETLALNMSKLVWSTLTTLKERHPLASEGLSICSAIIDGGKYLVAFGGYNGKYNNEVFVMKLKPRDLSQPKIFQSPAAAAAAASVTAAYALAKSEKLDFPQIIDLNFNGVENNVPKKDINLEINAIKEEKVVLESSIDEARAENSRLREKIDELKSNHTELSKELQSVQSQLISERSRCFKLEAQIAELQKMLESLESIENEVQILRRQKSALEQEMEVSSTQQQGSGGVWRWIAGGT >KJB60499 pep chromosome:Graimondii2_0_v6:9:28409390:28415728:1 gene:B456_009G308900 transcript:KJB60499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCVMGAEEIKKDMDITSWPSDLAYEQWVALPVSGARPSARYKHAAAVADEKLYITGGSRNGRYLSDIQAFDLRSLTWSSLKLEIDPSADKSEDSGLQEVLPGISDHSMIKWENKLLLLGGHSKKSSDAMIVHFINLETHVCGVMETSGKIPVARGGHSVTLVGSKLIVFGGEDRSRKLLNDVHVLDLQTMTWSVVEATQTPPAPRFDHSAAVHSERYLLIFGGCSHSIFFNDLHVLDLHTMEWSQPQVQGDLVSPRAGHAGISIDEMWYIVGGGDNNNGCPETLALNMSKLVWSTLTTLKERHPLASEGLSICSAIIDGGKYLVAFGGYNGKYNNEVFVMKLKPRDLSQPKIFQSPAAAAAAASVTAAYALAKSEKLDFPQIIDLNFNGVENNVPKKDINLEINAIKEEKVVLESSIDEARAENSRLREKIDELKSNHTELSKELQSVQSQLISERSRCFKLEAQIAELQKMLESLESIENEVQILRRQKSALEQEMEVSSTQQQGSGGVWRWIAGGT >KJB60500 pep chromosome:Graimondii2_0_v6:9:28409713:28415703:1 gene:B456_009G308900 transcript:KJB60500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCVMGAEEIKKDMDITSWPSDLAYEQWVALPVSGARPSARYKHAAAVADEKLYITGGSRNGRYLSDIQAFDLRSLTWSSLKLEIDPSADKSEDSGLQEVLPGISDHSMIKWENKLLLLGGHSKKSSDAMIVHFINLETHVCGVMETSGKIPVARGGHSVTLVGSKLIVFGGEDRSRKLLNDVHVLDLQTMTWSVVEATQTPPAPRFDHSAAVHSERYLLIFGGCSHSIFFNDLHVLDLHTMEWSQPQVQGDLVSPRAGHAGISIDEMWYIVGGGDNNNGCPETLALNMSKLVWSTLTTLKERHPLASEGLSICSAIIDGGKYLVAFGGYNGKYNNEVFVMKLKPRDLSQPKIFQSPAAAAAAASVTAAYALAKSEKLDFPQIIDLNFNGVENNVPKKDINLEINAIKEEKVVLESSIDEARAENSRLREKIDELKSNHTELSKELQSVQSQLISERSRCFKLEAQIAELQKMLESLESIENEVQILRRQKSALEQEMEVSSTQQQGSGGVWRWIAGGT >KJB59541 pep chromosome:Graimondii2_0_v6:9:21440781:21447387:1 gene:B456_009G260200 transcript:KJB59541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQGRGGPGLATNFDPQKQLGGQAVHGESFHLQPIGLHSAITAAALMAEALGGVQQNQNALLSQPVPTSDPLTLHLARMSRNQLNEIMSELKKMATQNKELARELLLSKPQLLKAIFQAQIMLGMVTPQVLQMPNIRQPLGQPAQIPLQDGQHSLQPTAQTLSPMVQAGLIPKVQSQIASVPLNSLARNQVSATLQSTLQPRTQLPQHSSNYVLPPAAAHSGVPKIPSLNTSVRPQIQVANSSSLNQQLQPSLLHSGQLATANLSHSSRMVSPNVVMQSAPLPHPSLSDTSFQPGPSITPGIAEKSNIVQNHSEAISQPPKMVKLDGGKSSSSSVGLNVTNPQLAPDVESVLLQQVLNLTPEQLSSLPPEQRQQVIQLQQALRQEHMQQPS >KJB59539 pep chromosome:Graimondii2_0_v6:9:21440781:21446330:1 gene:B456_009G260200 transcript:KJB59539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQGRGGPGLATNFDPQKQLGGQAVHGESFHLQPIGLHSAITAAALMAEALGGVQQNQNALLSQPVPTSDPLTLHLARMSRNQLNEIMSELKKMATQNKELARELLLSKPQLLKAIFQAQIMLGMVTPQVLQMPNIRQPLGQPAQIPLQDGQHSLQPTAQTLSPMVQAGLIPKVQSQIASVPLNSLARNQVSATLQSTLQPRTQLPQHSSNYVLPPAAAHSGVPKIPSLNTSVRPQIQVANSSSLNQQLQPSLLHSGQLATANLSHSSRMVSPNVVMQSAPLPHPSLSDTSFQPGPSITPGIAEKSNIVQNHSEAISQPPKMVKLDGGKSSSSSVGLNVTNVSGSKTLQTFGVDSAPVNQVPRAQEVQYAEKQISQVLYTW >KJB59545 pep chromosome:Graimondii2_0_v6:9:21443884:21447387:1 gene:B456_009G260200 transcript:KJB59545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNIRQPLGQPAQIPLQDGQHSLQPTAQTLSPMVQAGLIPKVQSQIASVPLNSLARNQVSATLQSTLQPRTQLPQHSSNYVLPPAAAHSGVPKIPSLNTSVRPQIQVANSSSLNQQLQPSLLHSGQLATANLSHSSRMVSPNVVMQSAPLPHPSLSDTSFQPGPSITPGIAEKSNIVQNHSEAISQPPKMVKLDGGKSSSSSVGLNVTNVSGSKTLQTFGVDSAPVNQVPRAQEVQYAEKQISQPQLAPDVESVLLQQVLNLTPEQLSSLPPEQRQQVIQLQQALRQEHMQQPS >KJB59543 pep chromosome:Graimondii2_0_v6:9:21442085:21447387:1 gene:B456_009G260200 transcript:KJB59543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALGGVQQNQNALLSQPVPTSDPLTLHLARMSRNQLNEIMSELKKMATQNKELARELLLSKPQLLKAIFQAQIMLGMVTPQVLQMPNIRQPLGQPAQIPLQDGQHSLQPTAQTLSPMVQAGLIPKVQSQIASVPLNSLARNQVSATLQSTLQPRTQLPQHSSNYVLPPAAAHSGVPKIPSLNTSVRPQIQVANSSSLNQQLQPSLLHSGQLATANLSHSSRMVSPNVVMQSAPLPHPSLSDTSFQPGPSITPGIAEKSNIVQNHSEAISQPPKMVKLDGGKSSSSSVGLNVTNVSGSKTLQTFGVDSAPVNQVPRAQEVQYAEKQISQPQLAPDVESVLLQQVLNLTPEQLSSLPPEQRQQVIQLQQALRQEHMQQPS >KJB59540 pep chromosome:Graimondii2_0_v6:9:21440781:21447387:1 gene:B456_009G260200 transcript:KJB59540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQGRGGPGLATNFDPQKQLGGQAVHGESFHLQPIGLHSAITAAALMAEALGGVQQNQNALLSQPVPTSDPLTLHLARMSRNQLNEIMSELKKMATQNKELARELLLSKPQLLKAIFQAQIMLGMVTPQVLQMPNIRQPLGQPAQIPLQDGQHSLQPTAQTLSPMVQPRTQLPQHSSNYVLPPAAAHSGVPKIPSLNTSVRPQIQVANSSSLNQQLQPSLLHSGQLATANLSHSSRMVSPNVVMQSAPLPHPSLSDTSFQPGPSITPGIAEKSNIVQNHSEAISQPPKMVKLDGGKSSSSSVGLNVTNVSGSKTLQTFGVDSAPVNQVPRAQEVQYAEKQISQPQLAPDVESVLLQQVLNLTPEQLSSLPPEQRQQVIQLQQALRQEHMQQPS >KJB59542 pep chromosome:Graimondii2_0_v6:9:21440781:21447449:1 gene:B456_009G260200 transcript:KJB59542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQGRGGPGLATNFDPQKQLGGQAVHGESFHLQPIGLHSAITAAALMAEALGGVQQNQNALLSQPVPTSDPLTLHLARMSRNQLNEIMSELKKMATQNKELARELLLSKPQLLKAIFQAQIMLGMVTPQVLQMPNIRQPLGQPAQIPLQDGQHSLQPTAQTLSPMVQAGLIPKVQSQIASVPLNSLARNQVSATLQSTLQPRTQLPQHSSNYVLPPAAAHSGVPKIPSLNTSVRPQIQVANSSSLNQQLQPSLLHSGQLATANLSHSSRMVSPNVVMQSAPLPHPSLSDTSFQPGPSITPGIAEKSNIVQNHSEAISQPPKMVKLDGGKSSSSSVGLNVTNVSGSKTLQTFGVDSAPVNQVPRAQEVQYAEKQISQPQLAPDVESVLLQQVLNLTPEQLSSLPPEQRQQVIQLQQALRQEHMQQPS >KJB59544 pep chromosome:Graimondii2_0_v6:9:21442085:21447387:1 gene:B456_009G260200 transcript:KJB59544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALGGVQQNQNALLSQPVPTSDPLTLHLARMSRNQLNEIMSELKKMATQNKELARELLLSKPQLLKAIFQAQIMLGMVTPQVLQMPNIRQPLGQPAQIPLQDGQHSLQPTAQTLSPMVQAGLIPKVQSQIASVPLNSLARNQVSATLQSTLQPRTQLPQHSSNYVLPPAAAHSGVPKIPSLNTSVRPQIQVANSSSLNQQLQPSLLHSGQLATANLSHSSRMVSPNVVMQSAPLPHPSLSDTSFQPGPSITPGIAEKSNIVQNHSEAISQPPKMVKLDGGKSSSSSVGLNVTNVSGSKTLQTFGVDSAPVNQVPRAQEVQYAEKQISQPQLAPDVESVLLQQVLNLTPEQLSSLPPEQRQQVIQLQQALRQEHMQQPS >KJB61454 pep chromosome:Graimondii2_0_v6:9:47108263:47108595:1 gene:B456_009G3588002 transcript:KJB61454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQISLFQLLISFLLFLFMVITSVRRSKAKNLTQKLIPGPWKLPLIGNLHQLAASGLPHRTLRDLATKHGDFMHLQLGQVSTVVVSSPEMAKEIMKTHDIVFANRPFLVV >KJB61329 pep chromosome:Graimondii2_0_v6:9:47515978:47516765:-1 gene:B456_009G360600 transcript:KJB61329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEILEPFQSAWSASPPFENYATKIYRSLVEWGLHRLTSLQELTIRGEGCSNVVSFPEEGIGMMLPPSLTSIRLSNFKNLEFIFSEGFQGLSTLRNLFIYSCPKLTYIPEKDMVLSLGYLYILFCPLLKEECSSDKGREWSKISHIPRAQIDFKSVIPRESD >KJB53439 pep chromosome:Graimondii2_0_v6:9:31172543:31173134:-1 gene:B456_009G321800 transcript:KJB53439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIGTQQKCKACEKTVYPVELLSADGVPYHKSCFKCSHCKGTLKPVLHISSWVLTPQWRVCFIASLVLSNSSRRGVT >KJB61669 pep chromosome:Graimondii2_0_v6:9:50766064:50777646:-1 gene:B456_009G374200 transcript:KJB61669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLEGEIQLNKRWCLNWCPNWIWRYQLSKKAMKKTQDMSELLEEFGRLGPVGYRDPTALPTIDFLCSKEFVVSESSKVAFNQIIEALKDENINMIGLWGIGGVGKTTLAREVGSQATKLNLFDKVVITTVSQKPNFERIQDQIAQYIGFEMKNDQGRRSEQELWLRLKKEQRILIILDDIWEPINLKENIGIPIGDDHKGFKVLLTTRRKRVCQIMECRPVVQLDCLDDDEAGTLFEKKAGLDDFSDDSIKILANQIVKKCGGLPIAIVPLASALKGKTNCHEWQVAYQRLEGRRLTEIEDVDERNAFVILKASFDYLKDLMTKTCFLLCSLFPEDHEIYVEDLVRYAWGLKLCKCINPIKDVRSEVLASIETLKNSGLLLDCGKRNVKMHDVVRQFALWIASSRKEISFGTIETLPMDESFKDYTAISFEANQTDELPKGMLCALNRLLISLSTFQFHMNLQTLCLIDCELSDISMLGKLKTLHILSLSRSDITELPTEAGDLENLRLLDLSYCYELRRITPNLIRRLSNLEELYLHGCSSLKWATENSTKRESYSSLSELNLLPKLVVISLDISSEHLPDGFVFRTLPTFDFCIGIERERWYQKRDLETCPISRSLRIYKSVDACKQLLEDVEFLQLNKVEGHPNLIPSLNLGFRKLTSLNLRQCHFMQCLIDASKQQVPITALSNLRKLSLSHMFHLEEMCNAPQPQGFLRKLEEVIVSDCGEMQVLFPIAELRSIEQEGPSRYLSLQSLKIVKIEGCNNLKYIFPICFGMEEIIQDSQASTISFQCLREVQVRECNKLKFLFPMCVANSLGQLQTLRIERCSQLQEIIQGPEVLISMSQGLARLNKVVLINLPQLKGRGRNDIVLTSPSLHLLEVRDCPQLTPFIVPTNIQLFEYPRYNLSSLSVLGLHKLTELRVIWTGPIQVEHFQNLTQLLVYDCRRLRYIFSPTIARNLPQLGILQISDCEELEQIIKKDQTSSQHHLQPICFPKLNWIKICNCENLKCIFPITLAHGGLPNLWDLGLAGVPKLEQVFEGDETNLNKEEEKVIRLPLLYSLTLDYLPNLVSFSHVGYHFVFPFLTDLQVEGCPNITTRFSVDSNRSVHAKTQASQSVDEIIVEESATAQETAWPIGSDICWTEEEDE >KJB58516 pep chromosome:Graimondii2_0_v6:9:16532791:16534724:-1 gene:B456_009G213100 transcript:KJB58516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMLDNCEGILLSLDSHKSVPAPFLTKTYQLVDDPATDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKKGEKHLLCEIHRRKTAQPQVGINHHHHHHHPHSPLVNAPSFFPFPSRVSISPADSDEQANWCDSPPLSSPRGGTGVSVAGGGGGGGYNSSVTALSEDNERLRKSNNLLMSELAHMKKLYNDIIYFVQNHVKPVTPSNSYSPSLLLYGPPSSAAAAPVVNTTTTNSSLLQKPSNQLLGHYPNSQKPRVQVLNSPTATSQSSLTILEEPCSNSCKTKLFGVPLQSKKRLHPEYGATNMETNKARLVLEKDDLRLNLMPPTC >KJB57173 pep chromosome:Graimondii2_0_v6:9:11544973:11548709:-1 gene:B456_009G151900 transcript:KJB57173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRQHGIKPRLSPNPLFILNQSYLHFSTNPIDAQSPFPSSETQSFVKTICSQVYESYQQQAFSRFSPPRLNLNINPYSLTHEQAISIVAFLANESGSMVALSFFYWALDIYIFKRFMRLYIVTATSLIKNGNFDRANEVMQCLVRNFSEIGRLKEAVGMVFEMQNHGLKLNAETFNCILGVGLEMGLLDYLEKVFDEMSERGVCGDFCSYKLMVVGYCRMGRVSEVDKWLKEMLERGFIVDNATCTLVISLFCDKGFANRALWYFDKMVKMGFKPNLINYSCLINGLCEKGSIKQAFGKLEEMVREGWKPNVYVHTALIDGLCKKGWTEKAFRLFLKLVRSDKYKPNVHTYTSMICGYCSEEKLNRSEMLLSRMKEQGLVPNTNTYTTLINGHCKMGSFDRAYELMRVMDKEGFTPNIYTYNAIICGLCKRGRVQEAYELLRNGLLHGLQADRVTYTILITEQCKQANTELAMAFFGKMIKVGLLPDMHSYNTLIAAFCKKKEMKESENLFEEALRLGLVPTKETYTSMISGYCRAGNLSLGLKFFNKMSDHSCVPDSITYGTLISGLCRESRLEEACQLYETMMDKGLSPCEVTRLTLAYEYCKKDDSAIAMVMLERLEKKLWMRTVNTLIRKLCSEKKVGIAALFFHRLLDKDRNVDRVTLAAFVTACYETDKFALVSDLNERISKGIG >KJB54561 pep chromosome:Graimondii2_0_v6:9:2853806:2855684:-1 gene:B456_009G038900 transcript:KJB54561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMKSFQSYCKADELEEQAYRRRTRKRLIIIGLSTIVLIAIIIGAVFGTLFPVKSSKSNENPPTYTAESIKAICDVTRYPDSCGSSIAAIRSSSNDTDPNPGPSKVFTLSMQVAVGELIRLSSLPQKIISSNVNIDPMVREELEICENLFEDAVEYINDSISAVQGGQGDEMVLSTAKINDIKTWLSSAITNQETCLDGLMEAANHAAIPQEMENATRNSTEFSSNSLAIATHIMTILQSFQVPIHRKLLKFDRHHHGLEFDPRFPLWVRRGDRRLLQEENPIPNITVAKDGSGDFRTISEAVELIPKKKKTRFVIYVKEGVYLENVSIDKDYWNVMIYGDGMYKTIVSGSLNKVDGTPTFSSGTLLAAGRRFIARDMGFINTAGPEKEQAVAMRSSSDQSVFYRCYFDAYQDTLYVHSNRQFYRDCHVTGTVDFIFGNAAVVLQNCSIQPRQPGPDQFNTITAQSKTDPNQNTGISIQRCQITPFDNLTATTYLGRPWKDFATTMFMQSHIGDVVDPAGWTQWTQGVDPPNTIFYAEYENLGPGSGINERRNWPGVKPNVTTEEARRFTVESLIQGNQWLPKDSMVYESSLE >KJB55915 pep chromosome:Graimondii2_0_v6:9:7289602:7290988:-1 gene:B456_009G101100 transcript:KJB55915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWFDPWPVFFKREFNRTWPFLVGFAVTGTIITKFSLGLTEEDAKNSPFAQKHKR >KJB55916 pep chromosome:Graimondii2_0_v6:9:7290145:7290878:-1 gene:B456_009G101100 transcript:KJB55916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWFDPWPVFFKREFNRTWPFLVGFAVTGTIITKFSLGLTEEDAKNSPFAQKHKR >KJB63176 pep chromosome:Graimondii2_0_v6:9:51833050:51839370:1 gene:B456_009G381900 transcript:KJB63176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTNISETIPFLPEIDDNKDEDGRWWKNVLDLEEAKKQVLFSLPMIVTNVVYYSITLVSVMFAGHLGELQLAGATLASSWATVTGFAFMTGLSGALETLCGQGFGAKIYRILGIYLQSSCIISCSFAILISILWFFTEPILIFLQQDAEISKTAALYIKYLIPGLFAYGLVQNILRFLQSQSILMPLVWFSVLPLALHLGIVYALVNWTDLGFKGAPLAASISLWISLVFLSSYVVLAQRFEETWPGLSSESFRLVFANLKLAIPSAAMVCLEYWAFELLVLLAGLMPNSEVTTSLIAMCVNTESIAYMITYGLSAAASTRVSNELGAENPRKAKTAMAVSLKLSILLALTVVVALAFGHNIWAAFFTNTASIINQFASITPFLLISITIDSFQGILSGVARGSGWQVLAVWANLGTFYLIGMPVAGLLAFKFKLYAKGCNLRLNRRKRKQLGYIEERNKGGPKQNFSKIN >KJB63177 pep chromosome:Graimondii2_0_v6:9:51833222:51837459:1 gene:B456_009G381900 transcript:KJB63177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTNISETIPFLPEIDDNKDEDGRWWKNVLDLEEAKKQVLFSLPMIVTNVVYYSITLVSVMFAGHLGELQLAGATLASSWATVTGFAFMTGLSGALETLCGQGFGAKIYRILGIYLQSSCIISCSFAILISILWFFTEPILIFLQQDAEISKTAALYIKYLIPGLFAYGLVQNILRFLQSQSILMPLVWFSVLPLALHLGIVYALVNWTDLGFKGAPLAASISLWISLVFLSSYVVLAQRFEETWPGLSSESFRLVFANLKLAIPSAAMVCVNTESIAYMITYGLSAAASTRVSNELGAENPRKAKTAMAVSLKLSILLALTVVVALAFGHNIWAAFFTNTASIINQFASITPFLLISITIDSFQGILSGVARGSGWQVLAVWANLGTFYLIGMPVAGLLAFKFKLYAKGLWVGLICGLSCQATALLLITLCRKWAKIELS >KJB63175 pep chromosome:Graimondii2_0_v6:9:51833050:51837524:1 gene:B456_009G381900 transcript:KJB63175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTNISETIPFLPEIDDNKDEDGRWWKNVLDLEEAKKQVLFSLPMIVTNVVYYSITLVSVMFAGHLGELQLAGATLASSWATVTGFAFMTGLSGALETLCGQGFGAKIYRILGIYLQSSCIISCSFAILISILWFFTEPILIFLQQDAEISKTAALYIKYLIPGLFAYGLVQNILRFLQSQSILMPLVWFSVLPLALHLGIVYALVNWTDLGFKGAPLAASISLWISLVFLSSYVVLAQRFEETWPGLSSESFRLVFANLKLAIPSAAMVCLEYWAFELLVLLAGLMPNSEVTTSLIAMCVNTESIAYMITYGLSAAASTRVSNELGAENPRKAKTAMAVSLKLSILLALTVVVALAFGHNIWAAFFTNTASIINQFASITPFLLISITIDSFQGILSGVARGSGWQVLAVWANLGTFYLIGMPVAGLLAFKFKLYAKGLWVGLICGLSCQATALLLITLCRKWAKIELS >KJB63178 pep chromosome:Graimondii2_0_v6:9:51833222:51837459:1 gene:B456_009G381900 transcript:KJB63178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTNISETIPFLPEIDDNKDEDGRWWKNVLDLEEAKKQVLFSLPMIVTNVVYYSITLVSVMFAGHLGELQLAGATLASSWATVTGFAFMTGLSGALETLCGQGFGAKIYRILGIYLQSSCIISCSFAILISILWFFTEPILIFLQQDAEISKTAALYIKYLIPGLFAYGLVQNILRFLQSQSILMPLVWFSVLPLALHLGIVYALVNWTDLGFKGAPLAASISLWISLVFLSSYVVLAQRFEETWPGLSSESFRLVFANLKLAIPSAAMVCTRVSNELGAENPRKAKTAMAVSLKLSILLALTVVVALAFGHNIWAAFFTNTASIINQFASITPFLLISITIDSFQGILSGVARGSGWQVLAVWANLGTFYLIGMPVAGLLAFKFKLYAKGLWVGLICGLSCQATALLLITLCRKWAKIELS >KJB57816 pep chromosome:Graimondii2_0_v6:9:14012408:14015393:1 gene:B456_009G182000 transcript:KJB57816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVQSHQLSNGLIVSGRPEQLKERQPSMPLRAVPYTGGNVKKSGELGKMFDIPVLDHSPSSAPPSNPNSNPNSKQQPQLLQPSRFSSSSQPNSGSMRSGSNSGPIRKSSGTIPLQPTGLITSGPLSSGPSRSEQLGQAGQSGAVSGKAGYGPAVTSLGEGVGFGFRLSKAVVWMVMVVVAMGLLVGAFLMVAIKKVVVLGAVGALVAPMGLGLVWNCIWGRKGLFGFVKRYPDAELRGAVDGQYIKVTGVVTCGSIPLESSYQREPRCVYVSTELYEYKGWGGKSANSKQRCFSWGCKHSEKFVADFYISDFQSGLRALVKAGYGSKVAPMIKPATVLDVTKENRDSFPSLLRWLAERNLSNDDCIMRLKEGYAIFFWTIMNLSSIIMSNFSVQC >KJB57815 pep chromosome:Graimondii2_0_v6:9:14012005:14015458:1 gene:B456_009G182000 transcript:KJB57815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVQSHQLSNGLIVSGRPEQLKERQPSMPLRAVPYTGGNVKKSGELGKMFDIPVLDHSPSSAPPSNPNSNPNSKQQPQLLQPSRFSSSSQPNSGSMRSGSNSGPIRKSSGTIPLQPTGLITSGPLSSGPSRSEQLGQAGQSGAVSGKAGYGPAVTSLGEGVGFGFRLSKAVVWMVMVVVAMGLLVGAFLMVAIKKVVVLGAVGALVAPMGLGLVWNCIWGRKGLFGFVKRYPDAELRGAVDGQYIKVTGVVTCGSIPLESSYQREPRCVYVSTELYEYKGWGGKSANSKQRCFSWGCKHSEKFVADFYISDFQSGLRALVKAGYGSKVAPMIKPATVLDVTKENRDSFPSLLRWLAERNLSNDDCIMRLKEGCIKEGSTVSVMGVVRRHDNVLMIVPPSEPISTGCQWSRCLLPTYVEGLILTCDDTQNDDVVPV >KJB63100 pep chromosome:Graimondii2_0_v6:9:70430613:70434269:-1 gene:B456_009G453300 transcript:KJB63100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITVMTADEQILNLDVDPHESVENLKALLEVETNLPIQQQQLLYHGREIQNFEKLSALGVKDHDLIMMVPSSGSRESTNDLSFNLDGSAVNPGVFQQHIRRDSNVIGQIFQADPELAQAILGDDLNKLQDILRARHQQRSELRRKELEELALLEADPFDVEAQKKIEAAIQQKGIDENWAAALEHNPEGFARVVMLYVDMEVNGVPLQAFVDSGAQSTIISKSCAERCGLLRLLDQRYKGIAHGVGQSEILGRIHVAPIKIGNIFFPCSFVVLDSPNMEFLFGLDMLRKHQCVIDLKENVLRVGGGEVSVPFLQEKDIPYNFLDEERHSKHASSSGPAITTGTTEKNANVQSGGQPSGGAHGDITKRPEFEAKVARLVELGFAREIVVEALKFCDGNAEQAAGILFGG >KJB62683 pep chromosome:Graimondii2_0_v6:9:67534280:67535856:1 gene:B456_009G430000 transcript:KJB62683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCELCGRLARMHCESDQANLCWDCDFKVHGANFLVAKHNRTLLCHVCQSPTPWLASGRNLGSAVSVCDSCVVNGNNKCESSERESGEEEEGDYDNDAMEETEEEEEAEIEDAENQVVPWSGESSPVSMSKPISSLKSLSTNEGDGGGGGNGNGDGDGGSGLKRMRESLSSWSDDETGCSSSQVSSRGSSNGEASSSMESSRLLKQPKIAEINQSARNQDHGETKSRSTAIISYLKRLQKHTITNDDDASATITGICRLSRDQSR >KJB54734 pep chromosome:Graimondii2_0_v6:9:3400314:3407084:1 gene:B456_009G047000 transcript:KJB54734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKDSLRSNNNGNSANYNRERTGGDAGLLRSSSDPAKQTASSSDFVLQWGNRKRLRCMKIQVKDDQSGPVNRTTVRVDRRVVRADKDSSNQPSNNNNHGTGNFNLRQRPASPQAPPPQRVLRNCENSSAMRGQSNGGVRGFTSPDRGVHEKRGGNNNNYNHHSNNHDNHHHNNKSAASSETAQDSKKGGSSSGSGEIAPAPMVWPPKFVIALTNKEKEEDFMTFKGSKLPQRPKKRSKFIQRTLNLVSPGTWLCDLTLERYEVREKKITKKRPRGLKAMGNMESDSE >KJB54735 pep chromosome:Graimondii2_0_v6:9:3400314:3407084:1 gene:B456_009G047000 transcript:KJB54735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKDSLRSNNNGNSANYNRERTGGDAGLLRSSSDPAKQTASSSDFVLQWGNRKRLRCMKIQVKDDQSGPVNRTTVRVDRRVVRADKDSSNQPSNNNNHGTGNFNLRQRPASPQAPPPQRVLRNCENSSAMRGQSNGGVRGFTSPDRGVHEKRGGNNNNYNHHSNNHDNHHHNNKSAASSETAQDSKKGGSSSGSGEIAPAPMVWPPKFVIALTNKEKEEDFMTFKGSKLPQRPKKRSKFIQRTLNLVSPGTWLCDLTLERYEVREKKITKKRPRGLKAMGNMESDSE >KJB54737 pep chromosome:Graimondii2_0_v6:9:3402146:3407084:1 gene:B456_009G047000 transcript:KJB54737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVQKMMEKDSLRSNNNGNSANYNRERTGGDAGLLRSSSDPAKQTASSSDFVLQWGNRKRLRCMKIQVKDDQSGPVNRTTVRVDRRVVRADKDSSNQPSNNNNHGTGNFNLRQRPASPQAPPPQRVLRNCENSSAMRGQSNGGVRGFTSPDRGVHEKRGGNNNNYNHHSNNHDNHHHNNKSAASSETAQDSKKGGSSSGSGEIAPAPMVWPPKFVIALTNKEKEEDFMTFKGSKLPQRPKKRSKFIQRTLNLVSPGTWLCDLTLERYEVREKKITKKRPRGLKAMGNMESDSE >KJB54733 pep chromosome:Graimondii2_0_v6:9:3400295:3407184:1 gene:B456_009G047000 transcript:KJB54733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKDSLRSNNNGNSANYNRERTGGDAGLLRSSSDPAKQTASSSDFVLQWGNRKRLRCMKIQVKDDQSGPVNRTTVRVDRRVVRADKDSSNQPSNNNNHGTGNFNLRQRPASPQAPPPQRVLRNCENSSAMRGQSNGGVRGFTSPDRGVHEKRGGNNNNYNHHSNNHDNHHHNNKSAASSETAQDSKKGGSSSGSGEIAPAPMVWPPKFVIALTNKEKEEDFMTFKGSKLPQRPKKRSKFIQRTLNLVSPGTWLCDLTLERYEVREKKITKKRPRGLKAMGNMESDSE >KJB54736 pep chromosome:Graimondii2_0_v6:9:3401752:3407155:1 gene:B456_009G047000 transcript:KJB54736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKDSLRSNNNGNSANYNRERTGGDAGLLRSSSDPAKQTASSSDFVLQWGNRKRLRCMKIQVKDDQSGPVNRTTVRVDRRVVRADKDSSNQPSNNNNHGTGNFNLRQRPASPQAPPPQRVLRNCENSSAMRGQSNGGVRGFTSPDRGVHEKRGGNNNNYNHHSNNHDNHHHNNKSAASSETAQDSKKGGSSSGSGEIAPAPMVWPPKFVIALTNKEKEEDFMTFKGSKLPQRPKKRSKFIQRTLNLVSPGTWLCDLTLERYEVREKKITKKRPRGLKAMGNMESDSE >KJB56358 pep chromosome:Graimondii2_0_v6:9:8838213:8842144:-1 gene:B456_009G119500 transcript:KJB56358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVPKELDELEKPFSLHSPKPFEIRLQVPDLRVPIRNFLRTREVGEFLSGALAGAMTKAVLAPLETIRTRLVVGVGSKNITGSFIEIIEQQGWQGLWAGNGINMLRIIPTQAIELGTFECVKRTMTSAQEKWKQIEGPKLQIGHVNVNFSLSWVSPVAVAGAAAGIVSTLACHPLEVLKDRLTVSPDIYPSLSIAISKIYKDGGVGAFYAGLSPTLVGMLPYSTCYYFMYEKLKKSYCQSKKKKSLNRPEMLVVGALAGFTASTISFPLEVARKRLMVGALQGKCPPNMVAALAEVIRDEGLTGLYRGWGASCLKVMPASGITWTFYEAWKDILLVEKRIL >KJB56361 pep chromosome:Graimondii2_0_v6:9:8838114:8841888:-1 gene:B456_009G119500 transcript:KJB56361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTDFESQKNRYRVFGNMALVVPKELDELEKPFSLHSPKPFEIRLQVPDLRVPIRNFLRTREVGEFLSGALAGAMTKAVLAPLETIRTRLVVGVGSKNITGSFIEIIEQQGWQGLWAGNGINMLRIIPTQAIELGTFECVKRTMTSAQEKWKQIEGPKLQIGHVNVNFSLSWVSPVAVAGAAAGIVSTLACHPLEVLKDRLTVSPDIYPSLSIAISKIYKDGGVGAFYAGLSPTLVGMLPYSTCYYFMYEKLKKSYCQSKKKKSLNRPEMLVVGALAGFTASTISFPLEVARKRLMVGALQGKCPPNMVAALAEVIRDEGLTGLYRGWGASCLKVMPASGITWTFYEAWKDILLVEKRIL >KJB56360 pep chromosome:Graimondii2_0_v6:9:8838224:8842124:-1 gene:B456_009G119500 transcript:KJB56360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQNFLRTREVGEFLSGALAGAMTKAVLAPLETIRTRLVVGVGSKNITGSFIEIIEQQGWQGLWAGNGINMLRIIPTQAIELGTFECVKRTMTSAQEKWKQIEGPKLQIGHVNVNFSLSWVSPVAVAGAAAGIVSTLACHPLEVLKDRLTVSPDIYPSLSIAISKIYKDGGVGAFYAGLSPTLVGMLPYSTCYYFMYEKLKKSYCQSKKKKSLNRPEMLVVGALAGFTASTISFPLEVARKRLMVGALQGKCPPNMVAALAEVIRDEGLTGLYRGWGASCLKVMPASGITWTFYEAWKDILLVEKRIL >KJB56357 pep chromosome:Graimondii2_0_v6:9:8838224:8842124:-1 gene:B456_009G119500 transcript:KJB56357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTDFESQKNRYRVFGNMALVVPKELDELEKPFSLHSPKPFEIRLQVPDLRVPIRNFLRTREVGEFLSGALAGAMTKAVLAPLETIRTRLVVGVGSKNITGSFIEIIEQQGWQGLWAGNGINMLRIIPTQAIELGTFECVKRTMTSAQEKWKQIEGPKLQIGHVNVNFSLSWVSPVAVAGAAAGIVSTLACHPLEVLKDRLTVSPDIYPSLSIAISKIYKDGGVGAFYAGLSPTLVGMLPYSTCYYFMYEKLKKSYCQSKKKKSLNRPEMLVVGALAGFTASTISFPLEVARKRLMVGALQGKCPPNMVAALAEVIRDEGLTGLYRGWGASCLKVMPASGITWTFYEAWKDILLVEKRIL >KJB56359 pep chromosome:Graimondii2_0_v6:9:8838213:8842154:-1 gene:B456_009G119500 transcript:KJB56359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKSPSLPQKNRYRVFGNMALVVPKELDELEKPFSLHSPKPFEIRLQVPDLRVPIRNFLRTREVGEFLSGALAGAMTKAVLAPLETIRTRLVVGVGSKNITGSFIEIIEQQGWQGLWAGNGINMLRIIPTQAIELGTFECVKRTMTSAQEKWKQIEGPKLQIGHVNVNFSLSWVSPVAVAGAAAGIVSTLACHPLEVLKDRLTVSPDIYPSLSIAISKIYKDGGVGAFYAGLSPTLVGMLPYSTCYYFMYEKLKKSYCQSKKKKSLNRPEMLVVGALAGFTASTISFPLEVARKRLMVGALQGKCPPNMVAALAEVIRDEGLTGLYRGWGASCLKVMPASGITWTFYEAWKDILLVEKRIL >KJB62331 pep chromosome:Graimondii2_0_v6:9:62568233:62569136:-1 gene:B456_009G412300 transcript:KJB62331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKAQLIFAVSLAAIFFSGTKAATFTLSNNCPSTVWPGILTSSGPPLSTTGFELPSKPSSVLSVPATWSGRIWARTQCTNVNGKFQCQTGDCASGQVPCNGAGGIPPVTLSEFTLAPNNGKDFFDISLVDGFNLPVSIAPQSADGSGNCIPVSCTDNVNAVCPNELQVKGSDGGVIACNSACLAFNQSQYCCTGSFGTPQTCPPTNYSNFLKSQCPQAYSYAYDDKSALVSCTGGANYLITFCP >KJB58770 pep chromosome:Graimondii2_0_v6:9:17641055:17645421:-1 gene:B456_009G225700 transcript:KJB58770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILSKLVSKQVWRMPKLWVGFLKSVAQTQPHSFPVLLQLPPPQLESALNKYGSLRSSLAAYASQPTRKGSLPRSTLAVLHLANESHMQQPHQGVTIQEVVCFFPPSSFKGCTIAPFTFENQLTASFSGTCESTPVRLVVKGVSYLGSSRVAGFVVPHAIDTPTPK >KJB58772 pep chromosome:Graimondii2_0_v6:9:17643388:17644927:-1 gene:B456_009G225700 transcript:KJB58772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILSKLVSKQVWRMPKLWVGFLKSVAQTQPHSFPVLLQLPPPQLESALNKYGSLRSSLAAYASQPTRKGSLPRSTLAVLHLANESHMQQPHV >KJB58771 pep chromosome:Graimondii2_0_v6:9:17641055:17645091:-1 gene:B456_009G225700 transcript:KJB58771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILSKLVSKQVWRMPKLWVGFLKSVAQTQPHSFPVLLQLPPPQLESALNKYGSLRSSLAAYASQPTRKGSLPRSTLAVLHLANESHMQQPHQGVTIQEVVCFFPPSSFKGCTIAPFTFENQLTASFSGTCESTPVRLVVKGVSYLGSSRVAGFVVPHAIDTPTPK >KJB60269 pep chromosome:Graimondii2_0_v6:9:25923651:25927610:1 gene:B456_009G296900 transcript:KJB60269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSSIWKLEMSTQYEKSDPTPPSGSGSCLYDLLCSDTPRWERPSDSDTTSWLLRKETWRSFGPQARLERLLEDTGNRVCADCGSPDPKWVSLSIGVFICIKCSGVHRSLGSHISKVLSVKLDEWTEDQVDGLVNLGGNIVVNNKYEAFLPNNLKKPRPDSSIEERSDFIKRKYEMQQFLEGEHTSCRLQPHHRTPSSTLPLPPGYPLLNEKKHSEKQSRHRIGHRFRNSWGRKDSDHLKISKKSNSLAGMVEFVGLIKVNVVKGTNLAVRDMLSSDPYVILALGHQVCLFFSLIFSFYFNLL >KJB60268 pep chromosome:Graimondii2_0_v6:9:25923043:25927771:1 gene:B456_009G296900 transcript:KJB60268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSSIWKLEMSTQYEKSDPTPPSGSGSCLYDLLCSDTPRWERPSDSDTTSWLLRKETWRSFGPQARLERLLEDTGNRVCADCGSPDPKWVSLSIGVFICIKCSGVHRSLGSHISKVLSVKLDEWTEDQVDGLVNLGGNIVVNNKYEAFLPNNLKKPRPDSSIEERSDFIKRKYEMQQFLEGEHTSCRLQPHHRTPSSTLPLPPGYPLLNEKKHSEKQSRHRIGHRFRNSWGRKDSDHLKISKKSNSLAGMVEFVGLIKVNVVKGTNLAVRDMLSSDPYVILALGHQSVRTRVIKNNLNPVWNESLMLSIPENIPPLRVIVYDKDTFTTDDFMGDAEIDIQPLVAAAKAYEKSEIHESMQLGKCLANRDNNFLKDGIINLADGKVKQEISFRLQNVERGILEIELECVPLTQ >KJB56591 pep chromosome:Graimondii2_0_v6:9:9538685:9547445:1 gene:B456_009G126400 transcript:KJB56591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHRLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDLSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLRNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGGLPKAGGFPPLGAHGPFQPTPAPVPAPLAGWMSNPSTVAHPAVSGGAIGLGPASIPAALKHPRTPPTNPSVDYPSGDSDHVSKRTRPMGISDEVNLPVNVLPVTFPGHGHSQTFNAPDDLPKAVARTLNQGSSPMSMDFHPVQQTLLLVGTNVGDIALWEIGSRERLVLKNFKVWDLSACSMPLQAALVKDPAVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGDEVRQHLEIDAHVGGVNDLAFSHPNKQLCVVTCGDDKTIKVWEATNGTKQYTFEGHEASVYSVCPHYKENIQFIFSTAIDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGESFIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNVQPLTSVDADGGLPASPRIRFNKDGSLLAVSANDNGIKILANLDGMRLLRTLENLSYDASRTSEAPKPTINPISAAAAAAVATSAGLADRSASVVAIAGMNGDARSLGDVKPRITEESSDKSKIWKLTEISEPSQCRSLRLPENLRVTKISRLIFTNSGNAILALASNAIHLLWKWQRSERNSNGKATASVPPQLWQPSSGILMTNDVADTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPPATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLSVLVSSGADSQLCVWNTDGWEKQRSRFLQVPSGRTPTALSDTRVQFHQDQMHFLVVHETQLAIYETTKLERVKQWVPLESSAPITHATFSCDSQLVYSSFLDATVCVFTAANLRLRCRINPSAYLPASVSSNVHPLVIAAHPSEPNEFALGLSDGGVHVFEPLESENKWGVPPPVENGSSSNMAATPSVGAPGSEQAQR >KJB54278 pep chromosome:Graimondii2_0_v6:9:2260726:2262007:1 gene:B456_009G029800 transcript:KJB54278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQLKTAHQLVLKMRKLARQFRNSEDDKFSLPTVDDARPMDINEQEELVRSLEKMQAHQSLQWKSVFAALLFCYSAFLLYSIYQQTLFPWELRYHAYFMEDVDSWIIITADWLAVLACSMAIMGLFNNSKDHIKWIWYSCSIGLVLAIFWVYYMLSLSFDSSIWMF >KJB54280 pep chromosome:Graimondii2_0_v6:9:2260685:2262571:1 gene:B456_009G029800 transcript:KJB54280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQLKTAHQLVLKMRKLARQFRNSEDDKFSLPTVDDARPMDINEQEELVRSLEKMQAHQSLQWKRYHAYFMEDVDSWIIITADWLAVLACSMAIMGLFNNSKDHIKWIWYSCSIGLVLAIFWVYYMLRMPKFRWDVIWLPLGPLCGAGVCLYVDHLLSESSEEVRKLRSYMYAFKAG >KJB54279 pep chromosome:Graimondii2_0_v6:9:2260726:2261867:1 gene:B456_009G029800 transcript:KJB54279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQLKTAHQLVLKMRKLARQFRNSEDDKFSLPTVDDARPMDINEQEELVRSLEKMQAHQSLQWKSVFAALLFCYSAFLLYSIYQQTLFPWELRYHAYFMEDVDSWIIITADWLAVLACSMAIMGLFNNSKDHIKWIWYSCSIGLVLAIFWVYYMLRYIRVSNFNSQI >KJB54281 pep chromosome:Graimondii2_0_v6:9:2260685:2262571:1 gene:B456_009G029800 transcript:KJB54281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQLKTAHQLVLKMRKLARQFRNSEDDKFSLPTVDDARPMDINEQEELVRSLEKMQAHQSLQWKSVFAALLFCYSAFLLYSIYQQTLFPWELRYHAYFMEDVDSWIIITADWLAVLACSMAIMGLFNNSKDHIKWIWYSCSIGLVLAIFWVYYMLRMPKFRWDVIWLPLGPLWYSLFL >KJB54277 pep chromosome:Graimondii2_0_v6:9:2260567:2262604:1 gene:B456_009G029800 transcript:KJB54277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQLKTAHQLVLKMRKLARQFRNSEDDKFSLPTVDDARPMDINEQEELVRSLEKMQAHQSLQWKSVFAALLFCYSAFLLYSIYQQTLFPWELRYHAYFMEDVDSWIIITADWLAVLACSMAIMGLFNNSKDHIKWIWYSCSIGLVLAIFWVYYMLRMPKFRWDVIWLPLGPLCGAGVCLYVDHLLSESSEEVRKLRSYMYAFKAG >KJB62076 pep chromosome:Graimondii2_0_v6:9:57140821:57143472:1 gene:B456_009G399800 transcript:KJB62076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFPSEDTSNPDMIATSGDYLRLWEIHDDHIELKSLLNGNKSSEFNSAITSFDWADFDTRRVATSSVDTTCTIWDIEREAVDTQLVAHDKEVFDISWGGFNVFASVSGDGSVRVFDLRDKERSTIIYENPIPETPLLRVEWNKADPRFMATVGMDSNKIVIVDIRFPTTPLMELCRHKGSVNAISWAPLMGKQLCSAGDDSRALIWEVVGSNYRAENGVAVMEPEMWYGSAAEINHARWSPIELDWIAIAFLNKLQLLKV >KJB62075 pep chromosome:Graimondii2_0_v6:9:57140682:57143521:1 gene:B456_009G399800 transcript:KJB62075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPTERKTGVYTYIGQWPIYSVAWSVRNDKRSRLAIGSFLEDYSNKVELVQFNLHTSDFTTDNRLVFDHPYAPTNLMFFPSEDTSNPDMIATSGDYLRLWEIHDDHIELKSLLNGNKSSEFNSAITSFDWADFDTRRVATSSVDTTCTIWDIEREAVDTQLVAHDKEVFDISWGGFNVFASVSGDGSVRVFDLRDKERSTIIYENPIPETPLLRVEWNKADPRFMATVGMDSNKIVIVDIRFPTTPLMELCRHKGSVNAISWAPLMGKQLCSAGDDSRALIWEVVGSNYRAENGVAVMEPEMWYGSAAEINHARWSPIELDWIAIAFLNKLQLLKV >KJB59667 pep chromosome:Graimondii2_0_v6:9:22112056:22113198:1 gene:B456_009G266600 transcript:KJB59667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSSGDTRAGLSSSYRGVRKRKWGKWVSEIREPGKKTRIWLGSFETPEMAAAAYDVAALHFRGRDARLNFPEMVNCLPRPASSNADDIRMAAQEAALIVRSNATQPDVGSSSAGVVPVTVRLTQSQIQAINESPLDSPKMWMQMSEALMMDDMMLPNENEENDQWDDMQSDSLWDP >KJB55614 pep chromosome:Graimondii2_0_v6:9:6186522:6195523:1 gene:B456_009G085000 transcript:KJB55614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRATVSRFPIDPDSQEASGVPWGLTVTPFAAKDENDQAPAYGLDGHLLPRCESCYAYFNTYCELDQWAWNCSLCGTLNGLSAQAISRYSHPQSCAEMMSSFIDLELPSSEEFLELTKSALQAALEGLYDVQGPIPVVKNVFVHQDTEGILPIELEDVMPLLQFLAPDRITSALETLRPITSWERTPGAGQVLDGVLMGGRGFGAAMEALCNYLGSEYGNTFALARVFACLSGPPDYGPGQLDTRRYGEQYASKGEDADCALLPEQTPFYKDLAAVAVQAGVCVDIFAVTNEYTDLASLKFLSIESGGSLFLYANTDDSTLPQDIRPYAFNCVLRLRTSTEFKPGHSVNPEYENVQHIICCDSFATYAYDFDFANNVGFSRHSSELPIVQIAFQYTVVVPRGTLEGKHSLKRQLRIRTLQYGTAQNLNDLYDSVDPEPVLSLLVHTVILASLEQGVREGRMLPHDWLVILTAKYNEAFKHIQYKNGSSSITALMDVTFSQCPQLQPLARLVFALLRNPLLRFHEEGVHPDYRIYLQCLFSALEPSSLHRAIYPMLTSYSTPDKPAYPRHSLSRAALISSGSPIFFLDAFATLIVFYSSTADPSLPFPPPHDYRCITPKLVFIWGGQDDATVFENYLIEEQDVDGSGLTSVMGFVSFLEDIPQSVLEYMK >KJB55613 pep chromosome:Graimondii2_0_v6:9:6186522:6195523:1 gene:B456_009G085000 transcript:KJB55613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRATVSRFPIDPDSQEASGVPWGLTVTPFAAKDENDQAPAYGLDGHLLPRCESCYAYFNTYCELDQWAWNCSLCGTLNGLSAQAISRYSHPQSCAEMMSSFIDLELPSSEEFLELTKSALQAALEGLYDVQGPIPVVKNVFVHQDTEGILPIELEDVMPLLQFLAPDRITSALETLRPITSWERTPGAGQVLDGVLMGGRGFGAAMEALCNYLGSEYGNTFALARVFACLSGPPDYGPGQLDTRRYGEQYASKGEDADCALLPEQTPFYKDLAAVAVQAGVCVDIFAVTNEYTDLASLKFLSIESGGSLFLYANTDDSTLPQDIRPYAFNCVLRLRTSTEFKPGHSVNPEYENVQHIICCDSFATYAYDFDFANNVGFSRHSSELPIVQIAFQYTVVVPRGTLEGKHSLKRQLRIRTLQYGTAQNLNDLYDSVDPEPVLSLLVHTVILASLEQGVREGRMLPHDWLVILTAKYNEAFKHIQYKNGSSSITALMDVTFSQCPQLQPLARLVFALLRNPLLRFHEEGVHPDYRIYLQCLFSALEPSSLHRAIYPMLTSYSTPDKPAYPRHSLSRAALISSGSPIFFLDAFATLIVFYSSTADPSLPFPPPHDYRCITPKLVFIWGGQDDATVFENYLIEEQDVDGSGLTSVMGFVSFLEDIPQSVLEYMK >KJB54624 pep chromosome:Graimondii2_0_v6:9:3081715:3082881:1 gene:B456_009G042000 transcript:KJB54624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRNFGFDSPLFTILEDMLDIPEEQEKSRNNPSRAYVRDAKAMAATPADVIEYPTSYVFIVDMPGINHGEIKVQVENENVLVVSGERKREKEKDEKEGVKYVRMERRVGKFMRKFALPENANMDKISAVCQDGVLRVTVEKLPPPEPKKPKTIEVKVA >KJB56063 pep chromosome:Graimondii2_0_v6:9:7612108:7618341:-1 gene:B456_009G104900 transcript:KJB56063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVINMPVDSFDRRRGGDRKDNNNNNKQPPSSDDPNSSAPSPPPPRRRDRDSRERRDRDYYDRNRSPPPPPPRERDYKRRSSISPPPPPLSYRDRRHSPPPRRSPPHKRSRREDGGYEGRRGSPRGRFGPGDRRFGHDYGGGYDREMMGRPGYPEERPHGWYSGRSSGGYQDCDSGLGGYGDASNSRSTQREGLMSYKQFIQELEDDILPAEAERRYQEYKSEYISTQKQAFFDAHKDEEWLRDKYHPTNLVTVIERRNELVCRVAKDFLLDLQSGTLDLNPGVNPLSSSKSGQTSDPNSEDETDIGDKRRRHGKEPAKETDILSAAPKAHPISYDPRRIHIDIEQAQGLVRKLDSEKGIEENILRGFDNDKVNRDKSRGSLTGPVVIIRGSNSVKGLEGVELLDTLITYLWRVHGLDYYGMIETSEAKGLRHVRPEGKSSDVTNSGSEWEKKLDSRWQERLRGQDPLELMTAKDKIEAAAVEALNPFVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVMELTSKVREELYYQNYMNDPDAPGGTPVMQQSLPKDKPPRRRMLENRLKDERGFRRGRDNRANGSDRYDRSENPQSSEFPSNKDGPDDGNRDDPMFDAFGGQGMHVAAPFSSDIAPPPVLMPVPGAGPLGPFVPAPPELAMQVFREQGGPPYEGNTRGGRPGPNLSGPAPFLLPPGFQQDPRRLRSYQDLDAPEDEVTVIDYRSL >KJB56064 pep chromosome:Graimondii2_0_v6:9:7612101:7618392:-1 gene:B456_009G104900 transcript:KJB56064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVINMPVDSFDRRRGGDRKDNNNNNKQPPSSDDPNSSAPSPPPPRRRDRDSRERRDRDYYDRNRSPPPPPPRERDYKRRSSISPPPPPLSYRDRRHSPPPRRSPPHKRSRREDGGYEGRRGSPRGRFGPGDRRFGHDYGGGYDREMMGRPGYPEERPHGWYSGRSSGGYQDCDSGLGGYGDASNSRSTQREGLMSYKQFIQELEDDILPAEAERRYQEYKSEYISTQKQAFFDAHKDEEWLRDKYHPTNLVTVIERRNELVCRVAKDFLLDLQSGTLDLNPGVNPLSSSKSGQTSDPNSEDETDIGDKRRRHGKEPAKETDILSAAPKAHPISYDPRRIHIDIEQAQGLVRKLDSEKGIEENILRGFDNDKVNRDKSRGSLTGPVVIIRGSNSVKGLEGVELLDTLITYLWRVHGLDYYGMIETSEAKGLRHVRPEGKSSDVTNSGSEWEKKLDSRWQERLRGQDPLELMTAKDKIEAAAVEALNPFVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVMELTSKVREELYYQNYMNDPDAPGGTPVMQQSLPKDKPPRRRMLENRLKDERGFRRGRDNRANGSDRYDRSENPQSSEFPSNKDGPDDGNRDDPMFDAFGGQGMHVAAPFSSDIAPPPVLMPVPGAGPLGPFVPAPPELAMQVFREQGGPPYEGNTRGGRPGPNLSGPAPFLLPPGFQQDPRRLRSYQDLDAPEDEVTVIDYRSL >KJB56065 pep chromosome:Graimondii2_0_v6:9:7612108:7618390:-1 gene:B456_009G104900 transcript:KJB56065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVINMPVDSFDRRRGGDRKDNNNNNKQPPSSDDPNSSAPSPPPPRRRDRDSRERRDRDYYDRNRSPPPPPPRERDYKRRSSISPPPPPLSYRDRRHSPPPRRSPPHKRSRREDGGYEGRRGSPRGRFGPGDRRFGHDYGGGYDREMMGRPGYPEERPHGWYSGRSSGGYQDCDSGLGGYGDASNSRSTQREGLMSYKQFIQELEDDILPAEAERRYQEYKSEYISTQKQAFFDAHKDEEWLRDKYHPTNLVTVIERRNELVCRVAKDFLLDLQSGTLDLNPGVNPLSSSKSGQTSDPNSEDETDIGDKRRRHGKEPAKETDILSAAPKAHPISYDPRRIHIDIEQAQGLVRKLDSEKGIEENILRGFDNDKVNRDKSRGSLTGPVVIIRGSNSVKGLEGVELLDTLITYLWRVHGLDYYGMIETSEAKGLRHVRPEGKSSDVTNSGSEWEKKLDSRWQERLRGQDPLELMTAKDKIEAAAVEALNPFVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVMELTSKVREELYYQNYMNDPDAPGGTPVMQQSLPKDKPPRRRMLENRLKDERGFRRGRDNRANGSDRYDRSENPQSSEFPSNKDGPDDGNRDDPMFDAFGGQGMHVAAPFSSDIAPPPVLMPVPGAGST >KJB61061 pep chromosome:Graimondii2_0_v6:9:37226993:37228453:-1 gene:B456_009G338200 transcript:KJB61061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMLDRVRKIYEVFPKVPLPSNMLDDKDVGEFTEALGAAKTRLEGCSSFLRAAIKWSAEFGASRNGDPELHAMLAEYIYSESTELNMAKVSYHFVR >KJB56683 pep chromosome:Graimondii2_0_v6:9:9907271:9911443:1 gene:B456_009G132100 transcript:KJB56683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFTTHTPCQATPLHPNIKILTQNANTKTVHKATSSLHHYSSISSLFSQTKSTLFSNSGSGSSWLRHNPLHDDASSGSGSRQGPIYSVFRTKPAEVASVEDLFEFICSGPLVDKMGLTQEKVAESIDKWLFYGSKLCRLFQLDELYLTTPQKARFYHYYIPVFVWCEDQISQHASKFKDGEEIPPLVIGFSAPQGCGKTTLVFALDYLFRITGRNSATLSIDDFYLTAGGQAELREQNPGNTLLELRGNAGSHDLPFSVETLTALSKLTKEGMKMKLPRYDKSAYSGRGDRADSSTWPEVEGPLTVVLYEGWMLGFKPLANEVVKAVDPQLEIVNKNLEAYYDAWDKFIKAWIVIKIQDPSCVYQWRLQAEIAMRQAGKPGMSDEEVKDFVSRYLPAYKAYLPTLYSEGPNGSHPNHLLLIEIDEARNPIFGI >KJB56684 pep chromosome:Graimondii2_0_v6:9:9907333:9911391:1 gene:B456_009G132100 transcript:KJB56684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFTTHTPCQATPLHPNIKILTQNANTKTVHKATSSLHHYSSISSLFSQTKSTLFSNSGSGSSWLRHNPLHDDASSGSGSRQGPIYSVFRTKPAEVASVEDLFEFICSGPLVDKMGLTQEKVAESIDKWLFYGSKLCRLFQLDELYLTTPQKARFYHYYIPVFVWCEDQISQHASKFKDGEEIPPLVIGFSAPQGCGKTTLVFALDYLFRITGRNSATLSIDDFYLTAGGQAELREQNPGNTLLELRGNAGSHDLPFSVETLTALSKLTKEGMKMKLPRYDKSAYSGRGDRADSSTWPEVEGPLTVVLYEGWMLGFKPLANEVVKAVDPQVKCAFGLAATDLVFIYVILTPN >KJB56685 pep chromosome:Graimondii2_0_v6:9:9907643:9911443:1 gene:B456_009G132100 transcript:KJB56685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTQEKVAESIDKWLFYGSKLCRLFQLDELYLTTPQKARFYHYYIPVFVWCEDQISQHASKFKDGEEIPPLVIGFSAPQGCGKTTLVFALDYLFRITGRNSATLSIDDFYLTAGGQAELREQNPGNTLLELRGNAGSHDLPFSVETLTALSKLTKEGMKMKLPRYDKSAYSGRGDRADSSTWPEVEGPLTVVLYEGWMLGFKPLANEVVKAVDPQLEIVNKNLEAYYDAWDKFIKAWIVIKIQDPSCVYQWRLQAEIAMRQAGKPGMSDEEVKDFVSRYLPAYKAYLPTLYSEGPNGSHPNHLLLIEIDEARNPIFGI >KJB57996 pep chromosome:Graimondii2_0_v6:9:14556030:14558258:-1 gene:B456_009G189400 transcript:KJB57996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLALFLAPIRILLCKFSKFNDFLISKHQESTRPKGRERRKETMITRSNLAEQLREYQIRSKHDWASVSFFSSTSNLTSSRVDVVVFVIWELVILAFLVFSAVSLYFRHMQLAFILVCITMLLLLCMKITKQVRLARKKKRRMLLPLSM >KJB58279 pep chromosome:Graimondii2_0_v6:9:15689484:15693588:1 gene:B456_009G202400 transcript:KJB58279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFCETPENGKVADPDLRNIRYLPFQPCTGLSLLWFDVRVFYVRVSNFQVDDSTPEFLTLNHIPLDPDTLLEVNGIRSSIYSDGVSILLRRDRVDKRSEEVTFVSTDNVRLTGSVKFEVFDKKDLILSGILEMSSSNGFIGESKNNVKQWSMNCESNITSGSSFLKGKLISSPKLSPPTIEVYVAGCFSGKPIILTKTLQLNYRKKHNRKGTLDAIPEYESTECQKDMSPELDMQFSEYRNYKPPNEEDYSNIFWRRTEYMDGEDGELSWFNAGVRVGVGIGLGVCLGVGIGVGLLVRTYQATTRSFKRRLI >KJB58278 pep chromosome:Graimondii2_0_v6:9:15689484:15693588:1 gene:B456_009G202400 transcript:KJB58278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFCETPENGKVADPDLRNIRYLPFQPCTGLSLLWFDVRVFYVRVSNFQVDDSTPEFLTLNHIPLDPDTLLEVNGIRSSIYSDGVSILLRRDRVDKRSEEVTFVSTDNVRLTGSVKFEVFDKKDLILSGILEMSSSNGFIGESKNNVKQWSMNCESNITSGSSFLKGKLISSPKLSPPTIEVYVAGCFSGKPIILTKTLQLNYRKKHNRKGTLDAIPEYESTECQKDMSPELDMQFSEYRNYKPPNEEDYSNIFWRRTEYMDGEDGELSWFNAGVRVGVGIGLGVCLGVGIGVGLLVRTYQATTRSFKRRLI >KJB58280 pep chromosome:Graimondii2_0_v6:9:15689484:15693621:1 gene:B456_009G202400 transcript:KJB58280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFCETPENGKVADPDLRNIRYLPFQPCTGLSLLWFDVRVFYVRVSNFQVDDSTPEFLTLNHIPLDPDTLLEVNGIRSSIYSDGVSILLRRDRVDKRSEEVTFVSTDNVRLTGSVKFEVFDKKDLILSGILEMSSSNGFIGESKNNVKQWSMNCESNITSGSSFLKGKLISSPKLSPPTIEVYVAGCFSGKPIILTKTLQLNYRKKHNRKGTLDAIPEYESTECQKDMSPELDMQFSEYRNYKPPNEEDYSNIFWRRTEYMDGEDGELSWFNAGVRVGVGIGLGVCLGVGIGVGLLVRTYQATTRSFKRRLI >KJB58281 pep chromosome:Graimondii2_0_v6:9:15689474:15693622:1 gene:B456_009G202400 transcript:KJB58281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFCETPENGKVADPDLRNIRYLPFQPCTGLSLLWFDVRVFYVRVSNFQVDDSTPEFLTLNHIPLDPDTLLEVNGIRSSIYSDGVSILLRRDRVDKRSEEVTFVSTDNVRLTGSVKFEVFDKKDLILSGILEMSSSNGFIGESKNNVKQWSMNCESNITSGSSFLKGKLISSPKLSPPTIEVYVAGCFSGKPIILTKTLQLNYRKKHNRKGTLDAIPEYESTECQKDMSPELDMQFSEYRNYKPPNEEDYSNIFWRRTEYMDGEDGELSWFNAGVRVGVGIGLGVCLGVGIGVGLLVRTYQATTRSFKRRLI >KJB58277 pep chromosome:Graimondii2_0_v6:9:15689484:15693588:1 gene:B456_009G202400 transcript:KJB58277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFCETPENGKVADPDLRNIRYLPFQPCTGLSLLWFDVRVFYVRVSNFQVDDSTPEFLTLNHIPLDPDTLLEVNGIRSSIYSDGVSILLRRDRVDKRSEEVTFVSTDNVRLTGSVKFEVFDKKDLILSGILEMSSSNGFIGESKNNVKQWSMNCESNITSGSSFLKGKLISSPKLSPPTIEVYVAGCFSGKPIILTKTLQLNYRKKHNRKGTLDAIPEYESTECQKDMSPELDMQFSEYRNYKPPNEEDYSNIFWRRTEYMDGEDGELSWFNAGVRVGVGIGLGVCLGVGIGVGLLVRTYQATTRSFKRRLI >KJB58276 pep chromosome:Graimondii2_0_v6:9:15689484:15693588:1 gene:B456_009G202400 transcript:KJB58276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFCETPENGKVADPDLRNIRYLPFQPCTGLSLLWFDVRVFYVRVSNFQVDDSTPEFLTLNHIPLDPDTLLEVNGIRSSIYSDGVSILLRRDRVDKRSEEVTFVSTDNVRLTGSVKFEVFDKKDLILSGILEMSSSNGFIGESKNNVKQWSMNCESNITSGSSFLKGKLISSPKLSPPTIEVYVAGCFSGKPIILTKTLQLNYRKKHNRKGTLDAIPEYESTECQKDMSPELDMQFSEYRNYKPPNEEDYSNIFWRRTEYMDGEDGELSWFNAGVRVGVGIGLGVCLGVGIGVGLLVRTYQATTRSFKRRLI >KJB57481 pep chromosome:Graimondii2_0_v6:9:12757649:12763429:1 gene:B456_009G166100 transcript:KJB57481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLIDLNTTEDEETPSSGSLSPSSSSASVLSASGSASSSPVCLELWHACAGPLISLPKRGSVVVYFPQGHLQQVSDFSGVAPAHDLPPHVFCRVVDVKLHAEGATDEVYAQVSLVPENEKLKEGNIEVDGEEDAEADIKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGENGELRLGIRRAAHIKNGTSFHSLCTQQLNRSNFADVVHAISMKSVFSIYYNPRYTGVVTGISEMDPVRWSGSKWRCLLVRWDDIDANRHNRVSPWEIEPSSSISSSNSLLSPGSKRNRVGLPSGKPEFMVPEGIGASDFGESLRFQKVLQGQEILGFNTHHDGANSQIMHRSEISRRFPCSNGSGIAAIRNIGRDTLVNPDISYKGVGFEESFRFQKVLQGQETSVSPPCRRGPTADDTRESDSPGAPDVGQLSGTRSGWSSLMQSYNTHSHIGPSAQVSSPSSVLKFQHASNPFANVNPIHNLNSQEKERRVHKSSSFHAPETYGDRIPSSTGGHGSRRRHLGSLDSFGPSADTVQLGDSQPLSAQPTFRTSQELASSCKSSCRLFGFSLTEGGHDAAKEDNMVQATSSLGAGAFLPRIGEQFNTQPPAVTNTVGSSYTKVPPIRSCVYTGFWSLMNMML >KJB57484 pep chromosome:Graimondii2_0_v6:9:12757649:12763429:1 gene:B456_009G166100 transcript:KJB57484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLIDLNTTEDEETPSSGSLSPSSSSASVLSASGSASSSPVCLELWHACAGPLISLPKRGSVVVYFPQGHLQQVSDFSGVAPAHDLPPHVFCRVVDVKLHAEGATDEVYAQVSLVPENEKLKEGNIEVDGEEDAEADIKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGENGELRLGIRRAAHIKNGTSFHSLCTQQLNRSNFADVVHAISMKSVFSIYYNPRASSSEFIIPVHKFWKSLDHSFSVGMRFKMRFESEDAAEGRYTGVVTGISEMDPVRWSGSKWRCLLVRWDDIDANRHNRVSPWEIEPSSSISSSNSLLSPGSKRNRVGLPSGKPEFMVPEGIGASDFGESLRFQKVLQGQEILGFNTHHDGANSQIMHRSEISRRFPCSNGSGIAAIRNIGRDTLVNPDISYKGVGFEESFRFQKVLQGQETSVSPPCRRGPTADDTRESDSPGAPDVGQLSGTRSGWSSLMQSYNTHSHIGPSAQVSSPSSVLKFQHASNPFANVNPIHNLNSQEKERRVHKSSSFHAPETYGDRIPSSTGGHGSRRRHLGSLDSFGPSADTVQLGDSQPLSAQPTFRTSQELASSCKSSCRLFGFSLTEGGHDAAKEDNMVQATSSLGAGAFLPRIGEQFNTQPPAVTNTVGSSYTKVSNLYAVRDIVYDIAL >KJB57482 pep chromosome:Graimondii2_0_v6:9:12757519:12763434:1 gene:B456_009G166100 transcript:KJB57482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLIDLNTTEDEETPSSGSLSPSSSSASVLSASGSASSSPVCLELWHACAGPLISLPKRGSVVVYFPQGHLQQVSDFSGVAPAHDLPPHVFCRVVDVKLHAEGATDEVYAQVSLVPENEKLKEGNIEVDGEEDAEADIKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGENGELRLGIRRAAHIKNGTSFHSLCTQQLNRSNFADVVHAISMKSVFSIYYNPRYTGVVTGISEMDPVRWSGSKWRCLLVRWDDIDANRHNRVSPWEIEPSSSISSSNSLLSPGSKRNRVGLPSGKPEFMVPEGIGASDFGESLRFQKVLQGQEILGFNTHHDGANSQIMHRSEISRRFPCSNGSGIAAIRNIGRDTLVNPDISYKGVGFEESFRFQKVLQGQETSVSPPCRRGPTADDTRESDSPGAPDVGQLSGTRSGWSSLMQSYNTHSHIGPSAQVSSPSSVLKFQHASNPFANVNPIHNLNSQEKERRVHKSSSFHAPETYGDRIPSSTGGHGSRRRHLGSLDSFGPSADTVQLGDSQPLSAQPTFRTSQELASSCKSSCRLFGFSLTEGGHDAAKEDNMVQATSSLGAGAFLPRIGEQFNTQPPAVTNTVGSSYTKGILQHCLKNYDEDATNDDRARRCMHAYSLL >KJB57479 pep chromosome:Graimondii2_0_v6:9:12757484:12763434:1 gene:B456_009G166100 transcript:KJB57479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLIDLNTTEDEETPSSGSLSPSSSSASVLSASGSASSSPVCLELWHACAGPLISLPKRGSVVVYFPQGHLQQVSDFSGVAPAHDLPPHVFCRVVDVKLHAEGATDEVYAQVSLVPENEKLKEGNIEVDGEEDAEADIKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGENGELRLGIRRAAHIKNGTSFHSLCTQQLNRSNFADVVHAISMKSVFSIYYNPRASSSEFIIPVHKFWKSLDHSFSVGMRFKMRFESEDAAEGRYTGVVTGISEMDPVRWSGSKWRCLLVRWDDIDANRHNRVSPWEIEPSSSISSSNSLLSPGSKRNRVGLPSGKPEFMVPEGIGASDFGESLRFQKVLQGQEILGFNTHHDGANSQIMHRSEISRRFPCSNGSGIAAIRNIGRDTLVNPDISYKGVGFEESFRFQKVLQGQETSVSPPCRRGPTADDTRESDSPGAPDVGQLSGTRSGWSSLMQSYNTHSHIGPSAQVSSPSSVLKFQHASNPFANVNPIHNLNSQEKERRVHKSSSFHAPETYGDRIPSSTGGHGSRRRHLGSLDSFGPSADTVQLGDSQPLSAQPTFRTSQELASSCKSSCRLFGFSLTEGGHDAAKEDNMVQATSSLGAGAFLPRIGEQFNTQPPAVTNTVGSSYTKGILQHCLKNYDEDATNDDRARRCMHAYSLL >KJB57480 pep chromosome:Graimondii2_0_v6:9:12757519:12763434:1 gene:B456_009G166100 transcript:KJB57480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLIDLNTTEDEETPSSGSLSPSSSSASVLSASGSASSSPVCLELWHACAGPLISLPKRGSVVVYFPQGHLQQVSDFSGVAPAHDLPPHVFCRVVDVKLHAEGATDEVYAQVSLVPENEKLKEGNIEVDGEEDAEADIKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGENGELRLGIRRAAHIKNGTSFHSLCTQQLNRSNFADVVHAISMKSVFSIYYNPRASSSEFIIPVHKFWKSLDHSFSVGMRFKMRFESEDAAEGRYTGVVTGISEMDPVRWSGSKWRCLLVRWDDIDANRHNRVSPWEIEPSSSISSSNSLLSPGSKRNRVGLPSGKPEFMVPEGIGASDFGESLRFQKVLQGQEILGFNTHHDGANSQIMHRSEISRRFPCSNGSGIAAIRNIGRDTLVNPDISYKGVGFEESFRFQKVLQGQETSVSPPCRRGPTADDTRESDSPGAPDVGQLSGTRSGWSSLMQSYNTHSHIGPSAQVSSPSSVLKFQHASNPFANVNPIHNLNSQEKERRVHKSSSFHAPETYGDRIPSSTGGHGSRRRHLGSLDSFGPSADTVQLGDSQPLSAQPTFRTSQELASSCKSSCRLFGFSLTEGGHDAAKEDNMVQATSSLGAGAFLPRIGEQFNTQPPAVTNTVGSSYTKVPPIRSCVYTGFWSLMNMML >KJB57483 pep chromosome:Graimondii2_0_v6:9:12758010:12762738:1 gene:B456_009G166100 transcript:KJB57483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLIDLNTTEDEETPSSGSLSPSSSSASVLSASGSASSSPVCLELWHACAGPLISLPKRGSVVVYFPQGHLQQVSDFSGVAPAHDLPPHVFCRVVDVKLHAEGATDEVYAQVSLVPENEKLKEGNIEVDGEEDAEADIKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGENGELRLGIRRAAHIKNGTSFHSLCTQQLNRSNFADVVHAISMKSVFSIYYNPRYTGVVTGISEMDPVRWSGSKWRCLLVRWDDIDANRHNRVSPWEIEPSSSISSSNSLLSPGSKRNRVGLPSGKPEFMVPEGIGASDFGESLRFQKVLQGQEILGFNTHHDGANSQIMHRSEISRRFPCSNGSGIAAIRNIGRDTLVNPDISYKGVGFEESFRFQKVLQGQETSVSPPCRRGPTADDTRESDSPGAPDVGQLSGTRSGWSSLMQSYNTHSHIGPSAQVSSPSSVLKFQHASNPFANVNPIHNLNSQEKERRVHKSSSFHAPETYGDRIPSSTGGHGSRRRHLGSLDSFGPSADTVQLGDSQPLSAQPTFRTSQELASSCKSSCRLFGFSLTEGGHDAAKEDNMVQATSSLGAGAFLPRIGEQFNTQPPAVTNTVGSSYTKVSNLYAVRDIVYDIAL >KJB59107 pep chromosome:Graimondii2_0_v6:9:19717575:19721862:-1 gene:B456_009G245300 transcript:KJB59107 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MPVMCSSLLQKLEEFLITDEQLSKEEPEGKIKPSLFSGSLSMALCYIQRVFRSGALHPHPRILCLQGSLDGPEQYVAIMNAIFSAQRSSVPIDSCYIGSQNSAFLQQASYITGGVHHKPQNLDGLFQYLMTIFATDLHSRSFIHLPKPVGVDFRASCFCHKNTIDMGYICSVCLSIFCKHHKKCSTCGSVFGQAQSEAASASDKKRKTPET >KJB59110 pep chromosome:Graimondii2_0_v6:9:19717575:19721862:-1 gene:B456_009G245300 transcript:KJB59110 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MASAPSKLYADDVSLVVVLLDTNPFFWSSSSLSFSQFLSHVLAFLNAILTLNQLNQVVVIATGYNSCDYVFDSSSDLNRSFENGRMPVMCSSLLQKLEEFLITDEQLSKEEPEGKIKPSLFSGSLSMALCYIQRVFRSGALHPHPRGSLDGPEQYVAIMNAIFSAQRSSVPIDSCYIGSQNSAFLQQASYITGGVHHKPQNLDGLFQYLMTIFATDLHSRSFIHLPKPVGVDFRASCFCHKNTIDMGYICSVCLSIFCKHHKKCSTCGSVFGQAQSEAASASDKKRKTPET >KJB59106 pep chromosome:Graimondii2_0_v6:9:19718333:19721716:-1 gene:B456_009G245300 transcript:KJB59106 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MASAPSKLYADDVSLVVVLLDTNPFFWSSSSLSFSQFLSHVLAFLNAILTLNQLNQVVVIATGYNSCDYVFDSSSDLNRSFENGRMPVMCSSLLQKLEEFLITDEQLSKEEPEGKIKPSLFSGSLSMALCYIQRVFRSGALHPHPRILCLQGSLDGPEQYVAIMNAIFSAQRSSVPIDSCYIGSQNSAFLQQASYITGGVHHKPQNLDGLFQYLMTIFATDLHSRSFIHLPKPVGVDFRASCMFFKLA >KJB59109 pep chromosome:Graimondii2_0_v6:9:19717764:19721244:-1 gene:B456_009G245300 transcript:KJB59109 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MPVMCSSLLQKLEEFLITDEQLSKEEPEGKIKPSLFSGSLSMALCYIQRVFRSGALHPHPRILCLQGSLDGPEQYVAIMNAIFSAQRSSVPIDSCYIGSQNSAFLQQASYITGGVHHKPQNLDGLFQYLMTIFATDLHSRSFIHLPKPVGVDFRASCFCHKNTIDMGYICSVCLSIFCKHHKKCSTCGSVFGQAQSEAASASDKKRKTPET >KJB59108 pep chromosome:Graimondii2_0_v6:9:19717575:19721862:-1 gene:B456_009G245300 transcript:KJB59108 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MPVMCSSLLQKLEEFLITDEQLSKEEPEGKIKPSLFSGSLSMALCYIQRVFRSGALHPHPRILCLQGSLDGPEQYVAIMNAIFSAQRSSVPIDSCYIGSQNSAFLQQASYITGGVHHKPQNLDGLFQYLMTIFATDLHSRSFIHLPKPVGVDFRASCFCHKNTIDMGYICSVCLSIFCKHHKKCSTCGSVFGQAQSEAASASDKKRKTPET >KJB59111 pep chromosome:Graimondii2_0_v6:9:19717575:19721864:-1 gene:B456_009G245300 transcript:KJB59111 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MPVMCSSLLQKLEEFLITDEQLSKEEPEGKIKPSLFSGSLSMALCYIQRVFRSGALHPHPRILCLQGSLDGPEQYVAIMNAIFSAQRSSVPIDSCYIGSQNSAFLQQASYITGGVHHKPQNLDGLFQYLMTIFATDLHSRSFIHLPKPVGVDFRASCFCHKNTIDMGYICSVCLSIFCKHHKKCSTCGSVFGQAQSEAASASDKKRKTPET >KJB59112 pep chromosome:Graimondii2_0_v6:9:19717764:19721244:-1 gene:B456_009G245300 transcript:KJB59112 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MPVMCSSLLQKLEEFLITDEQLSKEEPEGKIKPSLFSGSLSMALCYIQRVFRSGALHPHPRILCLQGSLDGPEQYVAIMNAIFSAQRSSVPIDSCYIGSQNSAFLQQASYITGGVHHKPQNLDGLFQYLMTIFATDLHSRSFIHLPKPVGVDFRASCFCHKNTIDMGYICSVCLSIFCKHHKKCSTCGSVFGQAQSEAASASDKKRKTPET >KJB59104 pep chromosome:Graimondii2_0_v6:9:19717248:19721862:-1 gene:B456_009G245300 transcript:KJB59104 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MASAPSKLYADDVSLVVVLLDTNPFFWSSSSLSFSQFLSHVLAFLNAILTLNQLNQVVVIATGYNSCDYVFDSSSDLNRSFENGRMPVMCSSLLQKLEEFLITDEQLSKEEPEGKIKPSLFSGSLSMALCYIQRVFRSGALHPHPRILCLQGSLDGPEQYVAIMNAIFSAQRSSVPIDSCYIGSQNSAFLQQASYITGGVHHKPQNLDGLFQYLMTIFATDLHSRSFIHLPKPVGVDFRASCFCHKNTIDMGYICSVCLSIFCKHHKKCSTCGSVFGQAQSEAASASDKKRKTPET >KJB59105 pep chromosome:Graimondii2_0_v6:9:19717565:19721947:-1 gene:B456_009G245300 transcript:KJB59105 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MASAPSKLYADDVSLVVVLLDTNPFFWSSSSLSFSQFLSHVLAFLNAILTLNQLNQVVVIATGYNSCDYVFDSSSDLNRSFENGRMPVMCSSLLQKLEEFLITDEQLSKEEPEGKIKPSLFSGSLSMALCYIQRVFRSGALHPHPRILCLQGSLDGPEQYVAIMNAIFSAQRSSVPIDSCYIGSQNSAFLQQASYITGGVHHKPQNLDGLFQYLMTIFATDLHSRSFIHLPKPVGVDFRASCFCHKNTIDMGYICSVCLSIFCKHHKKCSTCGSVFGQAQSEAASASDKKRKTPET >KJB58445 pep chromosome:Graimondii2_0_v6:9:16301135:16303245:-1 gene:B456_009G210500 transcript:KJB58445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHQLPTYGEIITILSIDGGGIRGIIPGTILAFLEAQLQKLDGEEARLADYFDIIAGTSTGGLVTAMITAPNEKNRPLFAAKDIKDFYLGHCPKIFPQDTSPFAAAASLMKTMAGPKYDGKYLHKLLREKLGDARLSQTLTNVVIPTFDIKQLQPKIFSTYELKINPWKDALLSDICIGTSAAPTYLPAHYFKTEDSNGVAKEFHLIDGAVAANDPALVAVSEMTKEIVRRAPKYFPIKPTDYSKFVVISLGTGSAKSEGKFDSENSAKWGILGWLSSENSSPLVDVFTQASSDMAEYHLAAVFQAFQSENSYLRIQDDTLEGPLASVDISTKENLENLVKVGEDLLKKPVSRVNLETGKFEPCNQGTNEEALIRMAKVLSKEKRLRDMKAPRGTTATKLRNASNC >KJB55453 pep chromosome:Graimondii2_0_v6:9:5571376:5585099:1 gene:B456_009G077200 transcript:KJB55453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLAAFERPRGGASNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALTQAPSAALVMGHNGIFRSDTTDTIEGSFHQWRDKRTAKSLVVGRPILLALEDIDGSPSFLEKALRFLEKFGTKVEGILRQSADVEEVDRRVQEYEQGKTEFGSDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARISAMCSAIFETFPEPNRRLLQRILKMMHTISSHSNENRMTPSAVAACMAPLLLRPLLAGECELEDDFDATGDNSAQLLAAANAANNAQAIIATLLEEYENIFDDENLQRCSISADSRVENSGSEDSSDDENPDMKDNGYHDAENEASPDTDEDPSRVLSGKLSESSGYAGSDLYDCKAFGDDGSDVVSPRNNNTQAESSSLSADPLQMRDPDPQLEEHGRQDKGNENPINEIDVPSVLPTGESYRSMGEILSSMHPGHPVSVPGLESSTEKPVGKARGSSINSKRSTFWGRNNARKTPSMESVDSSGEEELAIQRLEITKNDLQHRIAKEARGNAILQASLERRKQALHERRLALEQDVCRLQEQLQAERDLRAALEVGLSMSAGQFPSSRGIDSKTRAELEEIALAEADVARLKQKVAELHQQLNQQRQHHYGSISDACDHYRHVQNHNSQQRFLQQDFDTTLAFVNHERKQRTEESLLGPDWRNVKGQELAVGNSSKQPTRKQFMESTSLSDSKSAELSVNMSMDEVTSASIPSTSRAAEVIDYTRHPSAASSALVELTTRLDFFKERRSQLIEQLHNLDLNYGTSSQEFLYKPSSSPPWN >KJB55455 pep chromosome:Graimondii2_0_v6:9:5571528:5585099:1 gene:B456_009G077200 transcript:KJB55455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLAAFERPRGGASNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALTQAPSAALVMGHNGIFRSDTTDTIEGSFHQWRDKRTAKSLVVGRPILLALEDIDGSPSFLEKALRFLEKFGTKVEGILRQSADVEEVDRRVQEYEQGKTEFGSDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARISAMCSAIFETFPEPNRRLLQRILKMMHTISSHSNENRMTPSAVAACMAPLLLRPLLAGECELEDDFDATGDNSAQLLAAANAANNAQAIIATLLEEYENIFDDENLQRCSISADSRVENSGSEDSSDDENPDMKDNGYHDAENEASPDTDEDPSRVLSGKLSESSGYAGSDLYDCKAFGDDGSDVVSPRNNNTQAESSSLSADPLQMRDPDPQLEEHGRQDKGNENPINEIDVPSVLPTGESYRSMGEILSSMHPGHPVSVPGLESSTEKPVGKARGSSINSKRSTFWGRNNARKTPSMESVDSSGEEELAIQRLEITKNDLQHRIAKEARGNAILQASLERRKQALHERRLALEQDVCRLQEQLQAERDLRAALEVGLSMSAGQFPSSRGIDSKTRAELEEIALAEADVARLKQKVAELHQQLNQQRQHHYGSISDACDHYRHVQNHNSQQRFLQQDFDTTLAFVNHERKQRTELKLNNFITSSFPCA >KJB55454 pep chromosome:Graimondii2_0_v6:9:5571528:5579555:1 gene:B456_009G077200 transcript:KJB55454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLAAFERPRGGASNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALTQAPSAALVMGHNGIFRSDTTDTIEGSFHQWRDKRTAKSLVVGRPILLALEDIDGSPSFLEKALRFLEKFGTKVEGILRQSADVEEVDRRVQEYEQGKTEFGSDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARISAMCSAIFETFPEPNRRLLQRILKMMHTISSHSNENRMTPSAVAACMAPLLLRPLLAGECELEDDFDATGDNSAQLLAAANAANNAQAIIATLLEEYENIFDDENLQRCSISADSRVENSGSEDSSDDENPDMKDNGYHDAENEASPDTDEDPSRVLSGKLSESSGYAGSDLYDCKAFGDDGSDVVSPRNNNTQAESSSLSADPLQMRDPDPQLEEHGRQDKGNENPINEIDVPSVLPTGESYRSMGEILSSMHPGHPVSVPGLESSTEKPVGKARGSSINSKRSTFWGRNNVSYHFMMHYVIARISQLHALDMSRLSKHFLN >KJB59777 pep chromosome:Graimondii2_0_v6:9:22689166:22691562:-1 gene:B456_009G271300 transcript:KJB59777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGGNPFEKSFIQPSMEKFVENIQQDDGKIESSTTLVGNGCVDIRGNIADKQTTGGWKASPFIIVNEVAERLAFFAVAVNMVAYLVFEMHQSLPSAATHVNDWIGAAYCLTLLGAFLADAYLGRFFTIIIFSCIYAVGMILLTLSASIDSLRPPQCTARPCIKATGNQSGFLFVALALIALGTGGIKPCVSSFGADQFDGADEKEAQKKYSFFNWFFFAINMGAILGITLLVYVEEKTGYGWGFGVPTVAIVCSILILAAGIPFYRYQKPMGSPFTRFLQVLVASIRNHFHGAQLKREAVLYEVDSMESDIFGARKLTRTNQYRFLDKAAMVIDPEANTKNRWRLCTVTQVEEFKSFVRILPVWASTIALSISFAQLSTFFISQATIMDRKLGPHFNIPAGSVPVFSAINALLLVPIYEIWMVPILRKHTGHRRGLTSLQRMGVGLFISIFAMASAALVEKKRRDHPDLSSLTVFWLLPQFFLIGTAEVFTYVGQLEFFYEEATDGTRSISSAMFLSEIGIGSWLSTAIVKIIERATGGEQTGWLRNDLNKSRLDNFYWVLAIINAANFLKYLWVARRYKGKNGMGQSTARVENSVELDAADEVKKDKGEFQSMVL >KJB59778 pep chromosome:Graimondii2_0_v6:9:22689253:22690876:-1 gene:B456_009G271300 transcript:KJB59778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKGPMFFFFLSQGMILLTLSASIDSLRPPQCTARPCIKATGNQSGFLFVALALIALGTGGIKPCVSSFGADQFDGADEKEAQKKYSFFNWFFFAINMGAILGITLLVYVEEKTGYGWGFGVPTVAIVCSILILAAGIPFYRYQKPMGSPFTRFLQVLVASIRNHFHGAQLKREAVLYEVDSMESDIFGARKLTRTNQYRFLDKAAMVIDPEANTKNRWRLCTVTQVEEFKSFVRILPVWASTIALSISFAQLSTFFISQATIMDRKLGPHFNIPAGSVPVFSAINALLLVPIYEIWMVPILRKHTGHRRGLTSLQRMGVGLFISIFAMASAALVEKKRRDHPDLSSLTVFWLLPQFFLIGTAEVFTYVGQLEFFYEEATDGTRSISSAMFLSEIGIGSWLSTAIVKIIERATGGEQTGWLRNDLNKSRLDNFYWVLAIINAANFLKYLWVARRYKGKNGMGQSTARVENSVELDAADEVKKDKGEFQSMVL >KJB56153 pep chromosome:Graimondii2_0_v6:9:7843408:7848658:-1 gene:B456_009G108200 transcript:KJB56153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIHRSGVFKKTNDKARLVIATILGIVIGFFIGVSFPSVSVYKIHLPSNLRSSFDASRANDQDFIVRSPERTADNLPKIYVPTNPRGAELLPPGIVVPESDLYLRRLWGEPSEDLKKKPKYLVTFTVGFDQRSNIDKCVKKFSEDFQILLFHYDGRTTEWDQFEWSKNAIHVSVKKQTKWWYAKRFLHPDVVSAYEYIFIWDEDLGVEHFNAERYIELVKKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKFTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWYMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGSQGKSEDGKPPWEGVRTRCKKEWSMFQNRLANADKAYLAQLGKE >KJB56155 pep chromosome:Graimondii2_0_v6:9:7845391:7847467:-1 gene:B456_009G108200 transcript:KJB56155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIHRSGVFKKTNDKARLVIATILGIVIGFFIGVSFPSVSVYKIHLPSNLRSSFDASRANDQDFIVRSPERTADNLPKIYVPTNPRGAELLPPGIVVPESDLYLRRLWGEPSEDLKKKPKYLVTFTVGFDQRSNIDKCVKKFSEDFQILLFHYDGRTTEWDQFEWSKNAIHVSVKKQTKWWYAKRFLHPDVVSAYEYIFIWDEDLGVEHFNAERYIELVKKHGLEISQPGLEPNNGLTWQMTKRRGDREVHK >KJB56156 pep chromosome:Graimondii2_0_v6:9:7844972:7848658:-1 gene:B456_009G108200 transcript:KJB56156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIHRSGVFKKTNDKARLVIATILGIVIGFFIGVSFPSVSVYKIHLPSNLRSSFDASRANDQDFIVRSPERTADNLPKIYVPTNPRGAELLPPGIVVPESDLYLRRLWGEPSEDLKKKPKYLVTFTVGFDQRSNIDKCVKKFSEDFQILLFHYDGRTTEWDQFEWSKNAIHVSVKKQTKWWYAKRFLHPDVVSAYEYIFIWDEDLGVEHFNAERYIELVKKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKFTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWYMIQVKCG >KJB56152 pep chromosome:Graimondii2_0_v6:9:7843638:7847467:-1 gene:B456_009G108200 transcript:KJB56152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIHRSGVFKKTNDKARLVIATILGIVIGFFIGVSFPSVSVYKIHLPSNLRSSFDASRANDQDFIVRSPERTADNLPKIYVPTNPRGAELLPPGIVVPESDLYLRRLWGEPSEDLKKKPKYLVTFTVGFDQRSNIDKCVKKFSEDFQILLFHYDGRTTEWDQFEWSKNAIHVSVKKQTKWWYAKRFLHPDVVSAYEYIFIWDEDLGVEHFNAERYIELVKKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKFTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWYMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGSQGKSEDGKPPWEGVRTRCKKEWSMFQNRLANADKAYLAQLGKE >KJB56154 pep chromosome:Graimondii2_0_v6:9:7843408:7848393:-1 gene:B456_009G108200 transcript:KJB56154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIHRSGVFKKTNDKARLVIATILGIVIGFFIGVSFPSVSVYKIHLPSNLRSSFDASRANDQDFIVRSPERTADNLPKIYVPTNPRGAELLPPGIVVPESDLYLRRLWGEPSEDLKKKPKYLVTFTVGFDQRSNIDKCVKKFSEDFQILLFHYDGRTTEWDQFEWSKNAIHVSVKKQTKWWYAKRFLHPDVVSAYEYIFIWDEDLGVEHFNAERYIELVKKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKFTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWYMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGSQGKSEDGKPPWEGVRTRCKKEWSMFQNRLANADKAYLAQLGKE >KJB56151 pep chromosome:Graimondii2_0_v6:9:7843385:7848769:-1 gene:B456_009G108200 transcript:KJB56151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIHRSGVFKKTNDKARLVIATILGIVIGFFIGVSFPSVSVYKIHLPSNLRSSFDASRANDQDFIVRSPERTADNLPKIYVPTNPRGAELLPPGIVVPESDLYLRRLWGEPSEDLKKKPKYLVTFTVGFDQRSNIDKCVKKFSEDFQILLFHYDGRTTEWDQFEWSKNAIHVSVKKQTKWWYAKRFLHPDVVSAYEYIFIWDEDLGVEHFNAERYIELVKKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKFTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWYMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGSQGKSEDGKPPWEGVRTRCKKEWSMFQNRLANADKAYLAQLGKE >KJB53949 pep chromosome:Graimondii2_0_v6:9:997608:1000945:-1 gene:B456_009G011900 transcript:KJB53949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGSRRDEGSMVINNTNVFAALETLRKKKKSDKDRGSSKKSSSKSQQSQPQKEPEPQVFWAPAPLNVKSWADVDDDDDYYATTAPPQSVWGSSEPSHEDKTVNEEDSESEEDILDEGDDDIEEDHDHEPEVPVHPEPLPKRVPEVPLPPKEPERQLSKKERKKKELAELEALLADFGVTQKESNGQDESHVAQEKKDGEGEKKENPPGESKSAKKKKKKDKSKEGKESQDQLTSTDATNGPDEAAGTEQNEEDASAVDVKERLKKMASMKKKKSSKDMDAAAKAAAQEAAARSARLAAAKKKEKNHYNQQPVR >KJB53950 pep chromosome:Graimondii2_0_v6:9:997608:1000945:-1 gene:B456_009G011900 transcript:KJB53950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGSRRDEGSMVINNTNVFAALETLRKKKKSDKDRGSSKKSSSKSQQSQPQKEPEPQVFWAPAPLNVKSWADVDDDDDYYATTAPPQSVWGSSEPSHEDKTVNEEDSESEEDILDEGDDDIEEDHDHEPEVPVHPEPLPKRVPEVPLPPKEPERQLSKKERKKKELAELEALLADFGVTQKESNGQDESRDVAQEKKDGEGEKKENPPGESKSAKKKKKKDKSKEGCISC >KJB53951 pep chromosome:Graimondii2_0_v6:9:997608:1001044:-1 gene:B456_009G011900 transcript:KJB53951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGSRRDEGSMVINNTNVFAALETLRKKKKSDKDRGSSKKSSSKSQQSQPQKEPEPQVFWAPAPLNVKSWADVDDDDDYYATTAPPQSVWGSSEPSHEDKTVNEEDSESEEDILDEGDDDIEEDHDHEPEVPVHPEPLPKRVPEVPLPPKEPERQLSKKERKKKELAELEALLADFGVTQKESNGQDESRDVAQEKKDGEGEKKENPPGESKSAKKKKKKDKSKEGKESQDQLTSTDATNGPDEAAGTEQNEEDASAVDVKERLKKMASMKKKKSSKDMDAAAKAAAQEAAARSARLAAAKKKEKNHYNQQPVR >KJB62094 pep chromosome:Graimondii2_0_v6:9:58299488:58301793:-1 gene:B456_009G402100 transcript:KJB62094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVIGGESLDVLQHWVVELFSDVRQGSQGKPEFKVEGPVWRAGKLYRLEAVKDVHILELRWALPCLLQAYLQKPEDYLAHLLGHDNITVAR >KJB62091 pep chromosome:Graimondii2_0_v6:9:58298669:58302030:-1 gene:B456_009G402100 transcript:KJB62091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVDAIEKGIDLCKQIPELYNDYYHGGLMKLVVIGGESLDVLQHWVVELFSDVRQGSQGKPEFKVEGPVWRAGKLYRLEAVKDVHILELRWALPCLLQAYLQKPEDYLAHLLGHE >KJB62095 pep chromosome:Graimondii2_0_v6:9:58298861:58301954:-1 gene:B456_009G402100 transcript:KJB62095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKKSLVDAIEKGIDLCKQIPELYNDYYHGGLMKLVVIGGESLDVLQHWVVELFSDVRQGSQGKPEFKVEGPVWRAGKLYRLEAVKDVHILELRWALPCLLQAYLQKPEDYLAHLLGHE >KJB62093 pep chromosome:Graimondii2_0_v6:9:58298669:58302030:-1 gene:B456_009G402100 transcript:KJB62093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVIGGESLDVLQHWVVELFSDVRQGSQGKPEFKVEGPVWRAGKLYRLEAVKDVHILELRWALPCLLQAYLQKPEDYLAHLLGHE >KJB62096 pep chromosome:Graimondii2_0_v6:9:58299266:58301954:-1 gene:B456_009G402100 transcript:KJB62096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKKSLVDAIEKGIDLCKQIPELYNDYYHGGLMKLVVIGGESLDVLQHWVVELFSDVRQGSQGKPEFKVEGPVWRAGKLYRLEAVKDVHILELRWALPCLLQAYLQKPEDYLAHLLGHDNITVAR >KJB62092 pep chromosome:Graimondii2_0_v6:9:58299488:58302006:-1 gene:B456_009G402100 transcript:KJB62092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVDAIEKGIDLCKQIPELYNDYYHGGLMKLVVIGGESLDVLQHWVVELFSDVRQGSQGKPEFKVEGPVWRAGKLYRLEAVKDVHILELRWALPCLLQAYLQKPEDYLAHLLGHDNITVAR >KJB58651 pep chromosome:Graimondii2_0_v6:9:17085208:17088653:1 gene:B456_009G220100 transcript:KJB58651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCACSGEQFKFEDAPQSPESLATRDFSASGLSSRTGDWESKLEDVQVDEVESTLKEALSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIKGLTPRMTTAIVERTRLRKSRSKADIIPPTVMSMHSVSLLLEAIFLKAKSLEELGHIKEAAKECNLILDVVEAALPNGMHEGIAEDCKLQEMFHRALELLPNLWIKIGYLKEAITAYRRALVKLWNLDSQRLASVQKNLAAILLYGGVETSLPPPLRVWGLTTPNCIEEAILLLLALMQKVAFGEIKWDAEIMDHLTFALSVSGHFELLAAHVEQVSPGIYERAERWYFLALCYAAAGQNEVALNLLKKVSSQSEAKREPDILALQLGAYISSQDPKHAHDGITFARYVIDLEDEMNGHFKAQAHKFLGICYGNAARISISDSERALFQKESLTSLNYAAFNMKEDPEVMFNLSLENAVQRNLDLAFDNAMKYSSLVAENSGRGWKLLALILSADRRFKDAETILEFALDEASGSDQLELLRLKAVLQIAQERPKQAIETYSILLSLIQAQREAQSNNSGHAKSSHTENIAERNMEMAAWQDLATVYTKFGSWSDAEICLNKAKSIDFYSPKSWHTTGLLFEAQSFYKEALVSFSVSLSTEPDYVPSIVSTAAVLIKLDGQSLPVARSFLMNALQLDPTNHDAWMNLGLIAKMEGSLQLAADYFQAAYELKLSAPVEAFT >KJB59151 pep chromosome:Graimondii2_0_v6:9:19336449:19340702:-1 gene:B456_009G241600 transcript:KJB59151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSQAQSGLPLGFAKNSIADDSISLQIDTSFRCSSNPVRSVPLQFFEEKDDGAPRLENGKHEDHNYEDEEIRILGRSMCVKRQRDPKPVSNPCKLLCSEPSFDQRRAAVRSWGNQPLEEADPELNEIMNKEKQRQFLGIELIASENFVCRAAMEALGSHLTNKYSEGMPGARYYTGNHFIDQIETLCHERALTAFNLDSEKWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDPPSGGHMSHGWYMPGGKKVSAASIFFESFPYKLNPQTGYIDYDKLEEKALDYRPKILICGGSSYPREWDYARFRQIADRCGAVLMCDMAQISGLVAAKECSSPFDYCDVVTSTTHKSLRGPRGGIIFYRRGAKSRKQGMCLGNSGDCSGQYDFEEKINFAVFPSLQGGPHNNHIAALAITLKQVATPEYKAYMQQVKKNAQALASALLRRKCKLVTGGTDNHLLLWDLTTLGLTGKCYEKVCEMCHITLNKTAIFGENGAISPGGVRIEQLRLQVLYREITENCRKSFSRVFRITKISLIFGIELKHLLLSLQCRDSMIEQL >KJB59150 pep chromosome:Graimondii2_0_v6:9:19336995:19340469:-1 gene:B456_009G241600 transcript:KJB59150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSQAQSGLPLGFAKNSIADDSISLQIDTSFRCSSNPVRSVPLQFFEEKDDGAPRLENGKHEDHNYEDEEIRILGRSMCVKRQRDPKPVSNPCKLLCSEPSFDQRRAAVRSWGNQPLEEADPELNEIMNKEKQRQFLGIELIASENFVCRAAMEALGSHLTNKYSEGMPGARYYTGNHFIDQIETLCHERALTAFNLDSEKWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDPPSGGHMSHGWYMPGGKKVSAASIFFESFPYKLNPQTGYIDYDKLEEKALDYRPKILICGGSSYPREWDYARFRQIADRCGAVLMCDMAQISGLVAAKECSSPFDYCDVVTSTTHKSLRGPRGGIIFYRRGAKSRKQGMCLGNSGDCSGQYDFEEKINFAVFPSLQGGPHNNHIAALAITLKQVATPEYKAYMQQVKKNAQALASALLRRKCKLVTGGTDNHLLLWDLTTLGLTGKCYEKVCEMCHITLNKTAIFGENGAISPGGVRIGTPAMTSRGCLESDFETMADFLYRAAQITSAVQRDHGKLQKEFLKGLQNNKDIIDLRNRVEAFAAQFAMPGFDD >KJB57881 pep chromosome:Graimondii2_0_v6:9:14192616:14193804:-1 gene:B456_009G184600 transcript:KJB57881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHESEESSFRIPSNTRPDIPREGQHGDHHGVVCCNPEKLRDKLWCKGGGSIKSIEIKLPPAKRASPPREPTGKQESPPPTAGLLAGYCCTECYHGQRGGPCYFGVPPPPPCFWTYGRPVYDRWRRRLQILSGSIRLSKKNNEINLEIKHINLT >KJB58821 pep chromosome:Graimondii2_0_v6:9:17863001:17867654:1 gene:B456_009G227800 transcript:KJB58821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIKVLMDNGIIQVTISSPDGIVTGIQYNGIDNLLEVEDEEVHRGYWDLVWSKTGSTGTTGTFDVFKGTSFKVVVETEDQVEVSFTRTWDISLEGDVVPLNLDKRFIMLRNSPGFYSYAVFEHLEEWPPFNLPQVRIVFKLRKDKFHYMAVADNRQRFMPLPEDRLLDRGQPLATPEAVLLVNPVEPEFKGEVDDKYQYSSENKDLKVHGWISLNPPVGFWQITPSSEFRSGGPIKQNLTSHVGPYCLAMFLSAHYAGEDLVLKLNPGEPWKKVFGPVFLYLNSVSSEDNAFSLWEDAKDQMLRETQNWPYSFPASEDFPKPDQRGKVCGRLEVQDRYVSYEPIPANGAYIGMAPLGDVGSWQRECKGYQFWTRADEDGNFTIDKIRTGEYNICAWVPGFIGDYKYDVVVNITEGCDISLGDLIYEPLRNGPTLWEIGIPDRTAAEFYIPDPDPKYINKLYVNHPDRYRQYGLWERYADLYPDGDLVFTVGISDYKNDWFFAQVNRKREDGTYQGTTWQIKFLLRDVDHTGTYTLRLALATAHLAELQVRVNDPKSDPPLFTTGEIGHDNTIARHGIHGLYRLYNIDVAGVELVEGENIIFLTQAMNTDPLQGIMYDYIRLECPPTTSS >KJB58820 pep chromosome:Graimondii2_0_v6:9:17862678:17867654:1 gene:B456_009G227800 transcript:KJB58820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGVQLYIQDHYVLMDNGIIQVTISSPDGIVTGIQYNGIDNLLEVEDEEVHRGYWDLVWSKTGSTGTTGTFDVFKGTSFKVVVETEDQVEVSFTRTWDISLEGDVVPLNLDKRFIMLRNSPGFYSYAVFEHLEEWPPFNLPQVRIVFKLRKDKFHYMAVADNRQRFMPLPEDRLLDRGQPLATPEAVLLVNPVEPEFKGEVDDKYQYSSENKDLKVHGWISLNPPVGFWQITPSSEFRSGGPIKQNLTSHVGPYCLAMFLSAHYAGEDLVLKLNPGEPWKKVFGPVFLYLNSVSSEDNAFSLWEDAKDQMLRETQNWPYSFPASEDFPKPDQRGKVCGRLEVQDRYVSYEPIPANGAYIGMAPLGDVGSWQRECKGYQFWTRADEDGNFTIDKIRTGEYNICAWVPGFIGDYKYDVVVNITEGCDISLGDLIYEPLRNGPTLWEIGIPDRTAAEFYIPDPDPKYINKLYVNHPDRYRQYGLWERYADLYPDGDLVFTVGISDYKNDWFFAQVNRKREDGTYQGTTWQIKFLLRDVDHTGTYTLRLALATAHLAELQVRVNDPKSDPPLFTTGEIGHDNTIARHGIHGLYRLYNIDVAGVELVEGENIIFLTQAMNTDPLQGIMYDYIRLECPPTTSS >KJB60710 pep chromosome:Graimondii2_0_v6:9:31066562:31069169:-1 gene:B456_009G321400 transcript:KJB60710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLALSAGIGGLLFGYDTGVISGALLYIREDFEQVDRKTWLQELILSMAVAGAIGGAAIGGWISDRFGRKRSILLADVLFAVGALVMAFAPAPVMIILGRILVGLGVGMTSMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTKTSWTWRWMLGIAAVPALFQLILMLSLPESPRWLYRQVTTLLLYAILKLMLYALLNKEEEARSILEKIFPANEVDDEMNALKLSVEAEKADEHAIGDNLIQKLKGALSNVVVRRGLYAGITVQVAHQFAGFASNETALALSLITSGLNAVGSIVSMVFFDRYGRRRLMLVSMVGIILCLVSLSIIFLEAASHAPKVNQLDTNSIPNTTCCSYISTPKPSSGNCMSCLKAGCGFCSNTANEYSPGTCLELTTKQRDWCRGTWFKDGCPSEFGFLAVVFLGFYILSYSPGMGTVPWIVNSEIYPLRYRGIGGDLAAVSNWISNLMVSLTFLSLTKALGSAGTFFLFGGVCVIGFSFIYWLVPETKGLPIEEVEKMLESGYKPKLLRAKSKDKTHLFCPK >KJB62900 pep chromosome:Graimondii2_0_v6:9:69410750:69414104:1 gene:B456_009G442700 transcript:KJB62900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQAFYLLVSIIVLLSAIVVSGSGSVGINYGRVANNLPSPEKVVELLKSQGINKVKLYDTGATVLTALADSGITVVVALPNELLSSTAADQSFADNWVEANITKFYPKTKIEAIAVGNEVFVDPANTTKYLVPAMKNIHASLVKSKLDSAIKISSPIAFSALKTSYPSSAGSFKPELIEPVIKPMLDFLKQTGSYLMVNAYPFFAYTANSDQISLDYALFKENPGVVDSGNGLKYSSLFEAQIDAVFAAMSAIQYDDVKMIVTETGWPSMGDQDEKGASESNAASYNGNLVRKVLTGNGTPLRPQDPLNVYLFALFNENQKPGPTSERNYGLFYPNEQKVYTIPLTKEEVKTGESTPVNTNTSPAPVAGEVSKAKVGQTWCVANEKADEKKLQAALDYACGEGEADCSPIQPGATCYNPNTLEAHASYAFNSYYQKNTRATGTCEFDGAAYVVTQRPTYGNCEFPTGH >KJB59147 pep chromosome:Graimondii2_0_v6:9:19323847:19327898:-1 gene:B456_009G241400 transcript:KJB59147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEGYGELMVKFNHGLLMRAWWGTVLTLLASSTFQALAFSPQNLVELLMVNPEGEKQESDLGGHRCEAVVDAEEDGDEDEDEDIDNDGPGEDDEEGDPSDGEDHGNNSNDQNGNSNKEIGGGEADGAGEEENGEEEEGENGDDEPEDEDEEDGDGDDNEGNDDEEEKETVEDEEPENEDEDEDEEESIQPPKKRKK >KJB59148 pep chromosome:Graimondii2_0_v6:9:19323847:19327977:-1 gene:B456_009G241400 transcript:KJB59148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEGYGELMVKFNHGLLMRAWWGTVLTLLASSTFQALAFSPQCQNLVELLMVNPEGEKQESDLGGHRCEAVVDAEEDGDEDEDEDIDNDGPGEDDEEGDPSDGEDHGNNSNDQNGNSNKEIGGGEADGAGEEENGEEEEGENGDDEPEDEDEEDGDGDDNEGNDDEEEKETVEDEEPENEDEDEDEEESIQPPKKRKK >KJB59600 pep chromosome:Graimondii2_0_v6:9:21752758:21764103:1 gene:B456_009G263100 transcript:KJB59600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSRRSTVDNAPCGGFPHVNGHIGQRTGLVFQTRELPAKINAKSNPPPAEDNADMVSGEPFSFPEISTAPYGTSADDIYDGIPRLSRVLSDKSRSAKSKQVTAAKVSEVGSLLGRAGTAGLGKAVEVLDTLGSSMTNLNLSSGFTSGVTTKGNKIAILAFEVANTIVKGANLMQSLSNENIRHLKEVVLPSEGVQNLISRDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELTPEKQLKDEAEAIMQQLMSYVQYTAELYHELHALDRFEQDYRRKLQEEDNSTAVQRGDSLAILKAELKSQKKHVRSLKKKSLWSRILEEVMEKLVDVVHFLHLEIHEAFGSAGGDKPVKASVSSHKKLGSAGLALHYANIITQIDTLVSRSSSVPPNTRDSLYQGLPPTVKSALRSRLQSFQIKEELTVPQIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEVNRKPAGQTDLLRIETLHHADKEKTEVYILDLVVWLHHLVTQVRACNGGIRSPVKSPIRSPNQKMVQLTQKPTSPMLTVEDQEMLRDVSKRKNTPGISKSQEFDTAKTRLSKHHRLSKSSNHSPTSETKKDPFPIRRLSSVPFIDFDIDRIKALDVIDRVDTLRSS >KJB59601 pep chromosome:Graimondii2_0_v6:9:21755212:21764103:1 gene:B456_009G263100 transcript:KJB59601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLNLSSGFTSGVTTKGNKIAILAFEVANTIVKGANLMQSLSNENIRHLKEVVLPSEGVQNLISRDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELTPEKQLKDEAEAIMQQLMSYVQYTAELYHELHALDRFEQDYRRKLQEEDNSTAVQRGDSLAILKAELKSQKKHVRSLKKKSLWSRILEEVMEKLVDVVHFLHLEIHEAFGSAGGDKPVKASVSSHKKLGSAGLALHYANIITQIDTLVSRSSSVPPNTRDSLYQGLPPTVKSALRSRLQSFQIKEELTVPQIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEVNRKPAGQTDLLRIETLHHADKEKTEVYILDLVVWLHHLVTQVRACNGGIRSPVKSPIRSPNQKMVQLTQKPTSPMLTVEDQEMLRDVSKRKNTPGISKSQEFDTAKTRLSKHHRLSKSSNHSPTSETKKDPFPIRRLSSVPFIDFDIDRIKALDVIDRVDTLRSS >KJB53580 pep chromosome:Graimondii2_0_v6:9:63213498:63215643:-1 gene:B456_009G413700 transcript:KJB53580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKGGASSRKDAPWRASAAKSIPRIHHSPLLRLPITPLSNYALAVMNHSDPVGTGLATDAIVEAARPGCIVPGHITPSRLLGLKVWPIEVNMKFLEPVGKELKFRKRFGVLR >KJB53578 pep chromosome:Graimondii2_0_v6:9:63212670:63215643:-1 gene:B456_009G413700 transcript:KJB53578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKGGASSRKDAPWRASAAKSIPRIHHSPLLRLPITPLSNYALAVMNHSDPVGTGLATDAIVEAARPGCIVPGHITPSRLLGLKITSYC >KJB53579 pep chromosome:Graimondii2_0_v6:9:63213337:63215643:-1 gene:B456_009G413700 transcript:KJB53579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKGGASSRKDAPWRASAAKSIPRIHHSPLLRLPITPLSNYALAVMNHSDPVGTGLATDAIVEAARPGCIVPGHITPSRLLGLKFMDDAVNLMNKSFIDR >KJB55084 pep chromosome:Graimondii2_0_v6:9:4482857:4491619:-1 gene:B456_009G062500 transcript:KJB55084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRKLFFRKPPDGLLEISERVYVFDCCFSTDIREEKEYRVYIENIVCKLRDHFTDASFMVFNFREGEYQSQIASILSEYDMTVMDYPRQYEGCPLLTTELIHHFLKSSENWFSLGQQNIILMHSERGGLPVLAFMLTALLLYRKQYSGEQKTLEMIYKQAPRELLHLTSPLNPLPSQLRYLQYVSRRNVGSEWPPSDKALTLDCIILRCIPNMDGEGGCRLIFRIYGQDPFMAADRTPKVLFSTPKNSKFVQYYKQADCELVKINIRCHVVGDVVLECISLDSNLERELMVFRIMFNTAFIRSNILMLNRDEIDILWNTKDQFPKDFSAEVIFSDIDVAPSAISIDFPDIEEKNGLSIDDFAKVQEIFSRVDYTDVANMLQENLKTGCPKSKEIASVLHDDKVEEKSNSEAPEHVTTSPTSDALEKQSICSFQSSDANSTRTKFEPEEIQVAPQMATQHSPSAVPSSDSSSTEGKTESQELQVALQEAELTNPSRRNVEIQEIQVSSQQPAQSTSTFTPVLDVNSIRKKTEPQELQVAVQRPAQPKIISQRGPQSSISSPVSYCNSLPGSPAPISRYRSPPSALGITALLHDHDASESEDHSHPVASSLSSSSVSSHLSNTPKHLQLTRAIASPGQSSFVPPPPPPLPSFSGASPAYQPPPSLEVSPPSVKSSFQSPPHPPPPLHSFSRESPSPLVKNSLQSLPPSSSASLAPKVENLFRSPPPPPPLPPSSATSPSSSVKNSFSAPPPPPPPPLPPFSAISPSFTVKNSSSAPPPPPPLPNVASSTTCPNSCSPQIRTSGPPPPPAPPPQSGSISDPTSKSSVPRPSPPPPPSLAAKGPSPNNSAHVPPVPPPPAPCAKGLSKAGDTSPQLHSVSNGNIPSIPGQPSAAPLNLKGRGISRIGPKSLAQPRKNNLKPYHWLKLTRAMQGSLWAEAQKPEEASKAPEIDMSELESLFSAAAPNSDNASRDGKSNRGASGRKPEKVQLIELRRAYNCEIMLTKVKIPLPDLTSSVLTLDDTTLDSDQLENLIKFCPTKEETELLKGYNGDKEKLGKCEQFFLELIKVPRVESKLRVFLFKIQFSSQVSDLRNGLNIINSTAEEVRNSVKLKRIMQTILYLGNALNKGTARGSAVGFRLDSLLKLTETRARNNKMTLMHYLCKVLNEKSPELLEFPKDLVTLEASTKIQLKCLAEEMQAISKGLEKVVQELTASENDGPVSETFCWTLKEFLGFAESEVRSLALLYSSVGRNADALALYFGEDPARCPFEQVVSTLLNFVRMFVRAHEENCKQLELEKKKAQKESEHLK >KJB55081 pep chromosome:Graimondii2_0_v6:9:4482760:4491864:-1 gene:B456_009G062500 transcript:KJB55081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRKLFFRKPPDGLLEISERVYVFDCCFSTDIREEKEYRVYIENIVCKLRDHFTDASFMVFNFREGEYQSQIASILSEYDMTVMDYPRQYEGCPLLTTELIHHFLKSSENWFSLGQQNIILMHSERGGLPVLAFMLTALLLYRKQYSGEQKTLEMIYKQAPRELLHLTSPLNPLPSQLRYLQYVSRRNVGSEWPPSDKDPFMAADRTPKVLFSTPKNSKFVQYYKQADCELVKINIRCHVVGDVVLECISLDSNLERELMVFRIMFNTAFIRSNILMLNRDEIDILWNTKDQFPKDFSAEVIFSDIDVAPSAISIDFPDIEEKNGLSIDDFAKVQEIFSRVDYTDVANMLQENLKTGCPKSKEIASVLHDDKVEEKSNSEAPEHVTTSPTSDALEKQSICSFQSSDANSTRTKFEPEEIQVAPQMATQHSPSAVPSSDSSSTEGKTESQELQVALQEAELTNPSRRNVEIQEIQVSSQQPAQSTSTFTPVLDVNSIRKKTEPQELQVAVQRPAQPKIISQRGPQSSISSPVSYCNSLPGSPAPISRYRSPPSALGITALLHDHDASESEDHSHPVASSLSSSSVSSHLSNTPKHLQLTRAIASPGQSSFVPPPPPPLPSFSGASPAYQPPPSLEVSPPSVKSSFQSPPHPPPPLHSFSRESPSPLVKNSLQSLPPSSSASLAPKVENLFRSPPPPPPLPPSSATSPSSSVKNSFSAPPPPPPPPLPPFSAISPSFTVKNSSSAPPPPPPLPNVASSTTCPNSCSPQIRTSGPPPPPAPPPQSGSISDPTSKSSVPRPSPPPPPSLAAKGPSPNNSAHVPPVPPPPAPCAKGLSKAGDTSPQLHSVSNGNIPSIPGQPSAAPLNLKGRGISRIGPKSLAQPRKNNLKPYHWLKLTRAMQGSLWAEAQKPEEASKAPEIDMSELESLFSAAAPNSDNASRDGKSNRGASGRKPEKVQLIELRRAYNCEIMLTKVKIPLPDLTSSVLTLDDTTLDSDQLENLIKFCPTKEETELLKGYNGDKEKLGKCEQFFLELIKVPRVESKLRVFLFKIQFSSQVSDLRNGLNIINSTAEEVRNSVKLKRIMQTILYLGNALNKGTARGSAVGFRLDSLLKLTETRARNNKMTLMHYLCKVLNEKSPELLEFPKDLVTLEASTKIQLKCLAEEMQAISKGLEKVVQELTASENDGPVSETFCWTLKEFLGFAESEVRSLALLYSSVGRNADALALYFGEDPARCPFEQVVSTLLNFVRMFVRAHEENCKQLELEKKKAQKEADNEKLKVSTPTKKSEHLK >KJB55085 pep chromosome:Graimondii2_0_v6:9:4483538:4491864:-1 gene:B456_009G062500 transcript:KJB55085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRKLFFRKPPDGLLEISERVYVFDCCFSTDIREEKEYRVYIENIVCKLRDHFTDASFMVFNFREGEYQSQIASILSEYDMTVMDYPRQYEGCPLLTTELIHHFLKSSENWFSLGQQNIILMHSERGGLPVLAFMLTALLLYRKQYSGEQKTLEMIYKQAPRELLHLTSPLNPLPSQLRYLQYVSRRNVGSEWPPSDKDPFMAADRTPKVLFSTPKNSKFVQYYKQADCELVKINIRCHVVGDVVLECISLDSNLERELMVFRIMFNTAFIRSNILMLNRDEIDILWNTKDQFPKDFSAEVIFSDIDVAPSAISIDFPDIEEKNGLSIDDFAKVQEIFSRVDYTDVANMLQENLKTGCPKSKEIASVLHDDKVEEKSNSEAPEHVTTSPTSDALEKQSICSFQSSDANSTRTKFEPEEIQVAPQMATQHSPSAVPSSDSSSTEGKTESQELQVALQEAELTNPSRRNVEIQEIQVSSQQPAQSTSTFTPVLDVNSIRKKTEPQELQVAVQRPAQPKIISQRGPQSSISSPVSYCNSLPGSPAPISRYRSPPSALGITALLHDHDASESEDHSHPVASSLSSSSVSSHLSNTPKHLQLTRAIASPGQSSFVPPPPPPLPSFSGASPAYQPPPSLEVSPPSVKSSFQSPPHPPPPLHSFSRESPSPLVKNSLQSLPPSSSASLAPKVENLFRSPPPPPPLPPSSATSPSSSVKNSFSAPPPPPPPPLPPFSAISPSFTVKNSSSAPPPPPPLPNVASSTTCPNSCSPQIRTSGPPPPPAPPPQSGSISDPTSKSSVPRPSPPPPPSLAAKGPSPNNSAHVPPVPPPPAPCAKGLSKAGDTSPQLHSVSNGNIPSIPGQPSAAPLNLKGRGISRIGPKSLAQPRKNNLKPYHWLKLTRAMQGSLWAEAQKPEEASKAPEIDMSELESLFSAAAPNSDNASRDGKSNRGASGRKPEKVQLIELRRAYNCEIMLTKVKIPLPDLTSSVLTLDDTTLDSDQLENLIKFCPTKEETELLKGYNGDKEKLGKCEQFFLELIKVPRVESKLRVFLFKIQFSSQVSDLRNGLNIINSTAEEVRNSVKLKRIMQTILYLGNALNKGTARGSAVGFRLDSLLKLTETRARNNKMTLMHYLCKVLNEKSPELLEFPKDLVTLEASTKIQLKCLAEEMQAISKGLEKVVQELTASENDGPVSETFCWTLKEFLGFAESEVRSLALLYSSVGRNADALALYFGEDPARCPFEQVLFDLVKLG >KJB55082 pep chromosome:Graimondii2_0_v6:9:4483323:4491310:-1 gene:B456_009G062500 transcript:KJB55082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRKLFFRKPPDGLLEISERVYVFDCCFSTDIREEKEYRVYIENIVCKLRDHFTDASFMVFNFREGEYQSQIASILSEYDMTVMDYPRQYEGCPLLTTELIHHFLKSSENWFSLGQQNIILMHSERGGLPVLAFMLTALLLYRKQYSGEQKTLEMIYKQAPRELLHLTSPLNPLPSQLRYLQYVSRRNVGSEWPPSDKALTLDCIILRCIPNMDGEGGCRLIFRIYGQDPFMAADRTPKVLFSTPKNSKFVQYYKQADCELVKINIRCHVVGDVVLECISLDSNLERELMVFRIMFNTAFIRSNILMLNRDEIDILWNTKDQFPKDFSAEVIFSDIDVAPSAISIDFPDIEEKNGLSIDDFAKVQEIFSRVDYTDVANMLQENLKTGCPKSKEIASVLHDDKVEEKSNSEAPEHVTTSPTSDALEKQSICSFQSSDANSTRTKFEPEEIQVAPQMATQHSPSAVPSSDSSSTEGKTESQELQVALQEAELTNPSRRNVEIQEIQVSSQQPAQSTSTFTPVLDVNSIRKKTEPQELQVAVQRPAQPKIISQRGPQSSISSPVSYCNSLPGSPAPISRYRSPPSALGITALLHDHDASESEDHSHPVASSLSSSSVSSHLSNTPKHLQLTRAIASPGQSSFVPPPPPPLPSFSGASPAYQPPPSLEVSPPSVKSSFQSPPHPPPPLHSFSRESPSPLVKNSLQSLPPSSSASLAPKVENLFRSPPPPPPLPPSSATSPSSSVKNSFSAPPPPPPPPLPPFSAISPSFTVKNSSSAPPPPPPLPNVASSTTCPNSCSPQIRTSGPPPPPAPPPQSGSISDPTSKSSVPRPSPPPPPSLAAKGPSPNNSAHVPPVPPPPAPCAKGLSKAGDTSPQLHSVSNGNIPSIPGQPSAAPLNLKGRGISRIGPKSLAQPRKNNLKPYHWLKLTRAMQGSLWAEAQKPEEASKAPEIDMSELESLFSAAAPNSDNASRDGKSNRGASGRKPEKVQLIELRRAYNCEIMLTKVKIPLPDLTSSVLTLDDTTLDSDQLENLIKFCPTKEETELLKGYNGDKEKLGKCEQFFLELIKVPRVESKLRVFLFKIQFSSQVSDLRNGLNIINSTAEEVRNSVKLKRIMQTILYLGNALNKGTARGSAVGFRLDSLLKLTETRARNNKMTLMHYLCKVLNEKSPELLEFPKDLVTLEASTKIQLKCLAEEMQAISKGLEKVVQELTASENDGPVSETFCWTLKEFLGFAESEVRSLALLYSSVGRNADALALYFGEDPARCPFEQVVSTLLNFVRMFVRAHEENCKQLELEKKKAQKEADNEKLKVSTPTKKSEHLK >KJB55083 pep chromosome:Graimondii2_0_v6:9:4482857:4491310:-1 gene:B456_009G062500 transcript:KJB55083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRKLFFRKPPDGLLEISERVYVFDCCFSTDIREEKEYRVYIENIVCKLRDHFTDASFMVFNFREGEYQSQIASILSEYDMTVMDYPRQYEGCPLLTTELIHHFLKSSENWFSLGQQNIILMHSERGGLPVLAFMLTALLLYRKQYSGEQKTLEMIYKQAPRELLHLTSPLNPLPSQLRYLQYVSRRNVGSEWPPSDKALTLDCIILRCIPNMDGEGGCRLIFRIYGQDPFMAADRTPKVLFSTPKNSKFVQYYKQADCELVKINIRCHVVGDVVLECISLDSNLERELMVFRIMFNTAFIRSNILMLNRDEIDILWNTKDQFPKDFSAEVIFSDIDVAPSAISIDFPDIEEKNGLSIDDFAKVQEIFSRVDYTDVANMLQENLKTGCPKSKEIASVLHDDKVEEKSNSEAPEHVTTSPTSDALEKQSICSFQSSDANSTRTKFEPEEIQVAPQMATQHSPSAVPSSDSSSTEGKTESQELQVALQEAELTNPSRRNVEIQEIQVSSQQPAQSTSTFTPVLDVNSIRKKTEPQELQVAVQRPAQPKIISQRGPQSSISSPVSYCNSLPGSPAPISRYRSPPSALGITALLHDHDASESEDHSHPVASSLSSSSVSSHLSNTPKHLQLTRAIASPGQSSFVPPPPPPLPSFSGASPAYQPPPSLEVSPPSVKSSFQSPPHPPPPLHSFSRESPSPLVKNSLQSLPPSSSASLAPKVENLFRSPPPPPPLPPSSATSPSSSVKNSFSAPPPPPPPPLPPFSAISPSFTVKNSSSAPPPPPPLPNVASSTTCPNSCSPQIRTSGPPPPPAPPPQSGSISDPTSKSSVPRPSPPPPPSLAAKGPSPNNSAHVPPVPPPPAPCAKGLSKAGDTSPQLHSVSNGNIPSIPGQPSAAPLNLKGRGISRIGPKSLAQPRKNNLKPYHWLKLTRAMQGSLWAEAQKPEEASKAPEIDMSELESLFSAAAPNSDNASRDGKSNRGASGRKPEKVQLIELRRAYNCEIMLTKVKIPLPDLTSSVLTLDDTTLDSDQLENLIKFCPTKEETELLKGYNGDKEKLGKCEQFFLELIKVPRVESKLRVFLFKIQFSSQVSDLRNGLNIINSTAEEVRNSVKLKRIMQTILYLGNALNKGTARGSAVGFRLDSLLKLTETRARNNKMTLMHYLCKVLNEKSPELLEFPKDLVTLEASTKIQLKCLAEEMQAISKGLEKVVQELTASENDGPVSETFCWTLKEFLGFAESEVRSLALLYSSVV >KJB58841 pep chromosome:Graimondii2_0_v6:9:17947641:17966804:1 gene:B456_009G228700 transcript:KJB58841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPVKFLFGFFMISITLWMVFIFASRLLAWILSRIVGASVGFRVGGWKCLKDVVVKFNKGAVESILVGEIKLSLRQSLVKLGAGIISKDPKLQVLICDLEVVLRPSSKSSQKSRPRKPRTPGRGKWMVVANIARYFSVSVTDLVMKTPRATAEVKELKVDISKDGGSKHNLFVKLHILPISVYAIQMLSGFMEKPSSSFSCEEFSLSCEFGHDREAGVVVRNVDINCGEIVVNLNEELLSKNKEPPDVFTQTDKVTGPTADYVTTKKPQKKQSAILALAKYTSMFPEKICFNLPKLDVKFMHLQHDIVVENNIMGIQLKCIKSRSTEDVGESERLDVQLDFSEIHLLREAGSSVLQIMKVDVVSFVYIPIQASPVQSWQPISPIRAEVDVKLGGTQFNIIMSRLKPWLRLQSSKKKGMVLEEETSTLEKPQSTGSKAIMWTCTVSAPEMTIVLYSISGVPLYHGCSQSSHLFANNISSIGTTVHMELGEVNLHTADEYQECLNESLFTVESNSGSLLHIAKVSLDWGKKDMESSEEDGPRCKLALSADVTGMGIYLTFKRVESLIVTALSFEALLKNLSAGKKATQSRTGRSSKPSGKGTRLLNFNLERCSVSFYGDTYLENSVVADPKRVNYGSQGGRVVISVSADGTPRNANIMSTVSDQSRKLGYSVLLDIFHFSLCVNKEKQSMQVELERARSIYQERLGEDKPETKVSLFDMQNAKFVRRSGGLKEIAVCSLFSASDISVRWEPDVHLSLFELVLQLKALVHSQKLEGLGNEHMDNISGIKDAEQKKEVTVIEAGNLDKTKKKESIFAVDVEMLSISAEVGDGVDALVQVQSIFSENARIGVLLEGLMLSFNGARILRSSRMQISRIPSTSISSDAKVSTAVLWDWVVQALDVHICMPFRLELRAIDDAVEEMLRALKLITQAKTELIFPMRKESSKLKKSSSTKFGRVKFCIRKLTADIEEEPIQGWLDEHYHLMKNEACELAVRLKFLDDYVLANQCPKTAESNDSASERRIHHNGAEIDVQDPSAIQKMQEEIYKQSFRSYYLACRRLKQSEGSGACREGFQAGFKPSTARTSLLAVSATELDVTLTRIDGGDDGMIDILKQLDPVCRESNIPFSRLYGSNILLNAGSLVVQLRDYTFPLFSAISGRCEGRVVLAQQATCFQPQISHDVFIGRWRKVRMLRSASGTTPPMKTYSDLPIHFKKAEVSFGVGYEPVFADISYAFTVALRRANLSKRSPGLSQVLKKERSLPWWDEMRNYIHGNITLFFSESKWNILATTDPYEKLDKLQIVSGSMEIQQSDGRVYVSAKDFKFFLSSLESLVNSRSLKLPTISSGAFLEAPVFSLEVTMDWECESGNPMNHYLFAVPIEGKPREKVFDPFRSTSLSLRWNFSLKSLVAPLDKQSPSASASDCTILDGAVNGVQFKAGNVSIASPTFNVGAHDLAWIIKFWNMNYIPPHKLRSFSRWPRFGVPRVPRSGNLSLDRVMTEFMLRLDATPTCIKHMTLDDDDPAKGLTFNMAKLKYEICYSRGKQKYTFECKRDPLDLVYQGLDLHVPKVYLNKEDCTSVTKVVKMMRKTSQSASMERVPSEKSKYVNACTEKHRDEGFLLSSDYFTIRRQAPKADPARLLAWQEAGRKNLEMTYVRSEFENGSESDEHARSDPSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSFVGGISKAFEPQKPSPSRQYAQRKLVEEKQKLGEPEMPQEDASKSPSTNQGVPSQHIETSGSHSSLSHAVGLECSSTAAVALAKCEGNDSEEEGIMRFMVNVIEPQFNLHSEEANGRFLLAAVCGRVLARSFHSVLHVGSELIEQALGTGNVHIPEGEHDMTLKKMEFSVMLEDVQAHVAPTDVDPGAGLQWLPKIRKSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKSLKELTFNSDNITASMTSRQFQVMLDVLTNLLFARLPKPRKSSLSCPGEDDEDVGEEADEVVPDGVEEVELAKITLEQKEREQKLLLNDIKKLSLHCDTSGDHLEKEGDCWMVNGERSILVQGLKRELINAKKSRKAASLSLRVALQKAAQLRLMEKENNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYGFERDYEDVGVAQFTTKYFVVRNCLRNDKSDMLLSAWNPPPEWGKKVMLHVDAKQGAPKDGNSSLDHFQVEIYPLKIHLTETMYRMMWGYFFPEEEQDSQRRQEVWKGSTTSVARRLKKGALNHDASASGIHSTKESEVPSKPSVSCTSITNQSAPAGSAQASKIQDPKSHVSGSGPELRRTSSFDRTWEETVAESVANELVLQAHSSSVSSTKSGPLVSTEQQDESSKNKMKDTKSVKSGRSSQEEKKVGKSNEEKKSRPRKMMEFHNIKISQVELLVTYEGSRFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAHTQQPSGTGVPDSDLNLSDNDQVEKPGPYPIAFLKRPSDGAGDGFVTSIRGLFNTQRRKAKQFVLRTMRGEAENDFHGEWSESEAEISPFARQLTITKAKRLIRRHTKKFRSRGQKGMFRFIFSTERITSIITDGVGDHFI >KJB58835 pep chromosome:Graimondii2_0_v6:9:17947585:17967019:1 gene:B456_009G228700 transcript:KJB58835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPVKFLFGFFMISITLWMVFIFASRLLAWILSRIVGASVGFRVGGWKCLKDVVVKFNKGAVESILVGEIKLSLRQSLVKLGAGIISKDPKLQVLICDLEVVLRPSSKSSQKSRPRKPRTPGRGKWMVVANIARYFSVSVTDLVMKTPRATAEVKELKVDISKDGGSKHNLFVKLHILPISVYAIQMLSGFMEKPSSSFSCEEFSLSCEFGHDREAGVVVRNVDINCGEIVVNLNEELLSKNKEPPDVFTQTDKVTGPTADYVTTKKPQKKQSAILALAKYTSMFPEKICFNLPKLDVKFMHLQHDIVVENNIMGIQLKCIKSRSTEDVGESERLDVQLDFSEIHLLREAGSSVLQIMKVDVVSFVYIPIQASPVQSWQPISPIRAEVDVKLGGTQFNIIMSRLKPWLRLQSSKKKGMVLEEETSTLEKPQSTGSKAIMWTCTVSAPEMTIVLYSISGVPLYHGCSQSSHLFANNISSIGTTVHMELGEVNLHTADEYQECLNESLFTVESNSGSLLHIAKVSLDWGKKDMESSEEDGPRCKLALSADVTGMGIYLTFKRVESLIVTALSFEALLKNLSAGKKATQSRTGRSSKPSGKGTRLLNFNLERCSVSFYGDTYLENSVVADPKRVNYGSQGGRVVISVSADGTPRNANIMSTVSDQSRKLGYSVLLDIFHFSLCVNKEKQSMQVELERARSIYQERLGEDKPETKVSLFDMQNAKFVRRSGGLKEIAVCSLFSASDISVRWEPDVHLSLFELVLQLKALVHSQKLEGLGNEHMDNISGIKDAEQKKEVTVIEAGNLDKTKKKESIFAVDVEMLSISAEVGDGVDALVQVQSIFSENARIGVLLEGLMLSFNGARILRSSRMQISRIPSTSISSDAKVSTAVLWDWVVQALDVHICMPFRLELRAIDDAVEEMLRALKLITQAKTELIFPMRKESSKLKKSSSTKFGRVKFCIRKLTADIEEEPIQGWLDEHYHLMKNEACELAVRLKFLDDYVLANQCPKTAESNDSASERRIHHNGAEIDVQDPSAIQKMQEEIYKQSFRSYYLACRRLKQSEGSGACREGFQAGFKPSTARTSLLAVSATELDVTLTRIDGGDDGMIDILKQLDPVCRESNIPFSRLYGSNILLNAGSLVVQLRDYTFPLFSAISGRCEGRVVLAQQATCFQPQISHDVFIGRWRKVRMLRSASGTTPPMKTYSDLPIHFKKAEVSFGVGYEPVFADISYAFTVALRRANLSKRSPGLSQVLKKERSLPWWDEMRNYIHGNITLFFSESKWNILATTDPYEKLDKLQIVSGSMEIQQSDGRVYVSAKDFKFFLSSLESLVNSRSLKLPTISSGAFLEAPVFSLEVTMDWECESGNPMNHYLFAVPIEGKPREKVFDPFRSTSLSLRWNFSLKSLVAPLDKQSPSASASDCTILDGAVNGVQFKAGNVSIASPTFNVGAHDLAWIIKFWNMNYIPPHKLRSFSRWPRFGVPRVPRSGNLSLDRVMTEFMLRLDATPTCIKHMTLDDDDPAKGLTFNMAKLKYEICYSRGKQKYTFECKRDPLDLVYQGLDLHVPKVYLNKEDCTSVTKVVKMMRKTSQSASMERVPSEKSKYVNACTEKHRDEGFLLSSDYFTIRRQAPKADPARLLAWQEAGRKNLEMTYVRSEFENGSESDEHARSDPSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSFVGGISKAFEPQKPSPSRQYAQRKLVEEKQKLGEPEMPQEDASKSPSTNQGVPSQHIETSGSHSSLSHAVGLECSSTAAVALAKCEGNDSEEEGIMRFMVNVIEPQFNLHSEEANGRFLLAAVCGRVLARSFHSVLHVGSELIEQALGTGNVHIPEGEHDMTLKKMEFSVMLEDVQAHVAPTDVDPGAGLQWLPKIRKSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKSLKELTFNSDNITASMTSRQFQVMLDVLTNLLFARLPKPRKSSLSCPGEDDEDVGEEADEVVPDGVEEVELAKITLEQKEREQKLLLNDIKKLSLHCDTSGDHLEKEGDCWMVNGERSILVQGLKRELINAKKSRKAASLSLRVALQKAAQLRLMEKENNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYGFERDYEDVGVAQFTTKYFVVRNCLRNDKSDMLLSAWNPPPEWGKKVMLHVDAKQGAPKDGNSSLDHFQVEIYPLKIHLTETMYRMMWGYFFPEEEQDSQRRQEVWKGSTTSVARRLKKGALNHDASASGIHSTKESEVPSKPSVSCTSITNQSAPAGSAQASKIQDPKSHVSGSGPELRRTSSFDRTWEETVAESVANELVLQAHSSSVSSTKSGPLVSTEQQDESSKNKMKDTKSVKSGRSSQEEKKVGKSNEEKKSRPRKMMEFHNIKISQVELLVTYEGSRFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAHTQQPSGTGVPDSDLNLSDNDQVEKPGPYPIAFLKRPSDGAGDGFVTSIRGLFNTQRRKAKQFVLRTMRGEAENDFHGEWSESEAEISPFARQLTITKAKRLIRRHTKKFRSRGQKGSGSSSQQRESLPSSPMGLEITSFETDSSSGSSPYEDFYE >KJB58845 pep chromosome:Graimondii2_0_v6:9:17951802:17966322:1 gene:B456_009G228700 transcript:KJB58845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQHDIVVENNIMGIQLKCIKSRSTEDVGESERLDVQLDFSEIHLLREAGSSVLQIMKVDVVSFVYIPIQASPVQSWQPISPIRAEVDVKLGGTQFNIIMSRLKPWLRLQSSKKKGMVLEEETSTLEKPQSTGSKAIMWTCTVSAPEMTIVLYSISGVPLYHGCSQSSHLFANNISSIGTTVHMELGEVNLHTADEYQECLNESLFTVESNSGSLLHIAKVSLDWGKKDMESSEEDGPRCKLALSADVTGMGIYLTFKRVESLIVTALSFEALLKNLSAGKKATQSRTGRSSKPSGKGTRLLNFNLERCSVSFYGDTYLENSVVADPKRVNYGSQGGRVVISVSADGTPRNANIMSTVSDQSRKLGYSVLLDIFHFSLCVNKEKQSMQVELERARSIYQERLGEDKPETKVSLFDMQNAKFVRRSGGLKEIAVCSLFSASDISVRWEPDVHLSLFELVLQLKALVHSQKLEGLGNEHMDNISGIKDAEQKKEVTVIEAGNLDKTKKKESIFAVDVEMLSISAEVGDGVDALVQVQSIFSENARIGVLLEGLMLSFNGARILRSSRMQISRIPSTSISSDAKVSTAVLWDWVVQALDVHICMPFRLELRAIDDAVEEMLRALKLITQAKTELIFPMRKESSKLKKSSSTKFGRVKFCIRKLTADIEEEPIQGWLDEHYHLMKNEACELAVRLKFLDDYVLANQCPKTAESNDSASERRIHHNGAEIDVQDPSAIQKMQEEIYKQSFRSYYLACRRLKQSEGSGACREGFQAGFKPSTARTSLLAVSATELDVTLTRIDGGDDGMIDILKQLDPVCRESNIPFSRLYGSNILLNAGSLVVQLRDYTFPLFSAISGRCEGRVVLAQQATCFQPQISHDVFIGRWRKVRMLRSASGTTPPMKTYSDLPIHFKKAEVSFGVGYEPVFADISYAFTVALRRANLSKRSPGLSQVLKKERSLPWWDEMRNYIHGNITLFFSESKWNILATTDPYEKLDKLQIVSGSMEIQQSDGRVYVSAKDFKFFLSSLESLVNSRSLKLPTISSGAFLEAPVFSLEVTMDWECESGNPMNHYLFAVPIEGKPREKVFDPFRSTSLSLRWNFSLKSLVAPLDKQSPSASASDCTILDGAVNGVQFKAGNVSIASPTFNVGAHDLAWIIKFWNMNYIPPHKLRSFSRWPRFGVPRVPRSGNLSLDRVMTEFMLRLDATPTCIKHMTLDDDDPAKGLTFNMAKLKYEICYSRGKQKYTFECKRDPLDLVYQGLDLHVPKVYLNKEDCTSVTKVVKMMRKTSQSASMERVPSEKSKYVNACTEKHRDEGFLLSSDYFTIRRQAPKADPARLLAWQEAGRKNLEMTYVRSEFENGSESDEHARSDPSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSFVGGISKAFEPQKPSPSRQYAQRKLVEEKQKLGEPEMPQEDASKSPSTNQGVPSQHIETSGSHSSLSHAVGLECSSTAAVALAKCEGNDSEEEGIMRFMVNVIEPQFNLHSEEANGRFLLAAVCGRVLARSFHSVLHVGSELIEQALGTGNVHIPEGEHDMTLKKMEFSVMLEDVQAHVAPTDVDPGAGLQWLPKIRKSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKSLKELTFNSDNITASMTSRQFQVMLDVLTNLLFARLPKPRKSSLSCPGEDDEDVGEEADEVVPDGVEEVELAKITLEQKEREQKLLLNDIKKLSLHCDTSGDHLEKEGDCWMVNGERSILVQGLKRELINAKKSRKAASLSLRVALQKAAQLRLMEKENNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYGFERDYEDVGVAQFTTKYFVVRNCLRNDKSDMLLSAWNPPPEWGKKVMLHVDAKQGAPKDGNSSLDHFQVEIYPLKIHLTETMYRMMWGYFFPEEEQDSQRRQEVWKGSTTSVARRLKKGALNHDASASGIHSTKESEVPSKPSVSCTSITNQSAPAGSAQASKIQDPKSHVSGSGPELRRTSSFDRTWEETVAESVANELVLQAHSSSVSSTKSGPLVSTEQQDESSKNKMKDTKSVKSGRSSQEEKKVGKSNEEKKSRPRKMMEFHNIKISQVELLVTYEGSRFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAHTQQPSGTGVPDSDLNLSDNDQVEKPGPYPIAFLKRPSDGAGDGFVTSIRGLFNTQRRKAKQFVLRTMRGEAENDFHGEWSESEAEISPFARQLTITKAKRLIRRHTKKFRSRGQKGSGSSSQQRESLPSSPMGLEITSFETDSSSGSSPYEDFYE >KJB58839 pep chromosome:Graimondii2_0_v6:9:17948450:17966322:1 gene:B456_009G228700 transcript:KJB58839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSLCRFASRLLAWILSRIVGASVGFRVGGWKCLKDVVVKFNKGAVESILVGEIKLSLRQSLVKLGAGIISKDPKLQVLICDLEVVLRPSSKSSQKSRPRKPRTPGRGKWMVVANIARYFSVSVTDLVMKTPRATAEVKELKVDISKDGGSKHNLFVKLHILPISVYAIQMLSGFMEKPSSSFSCEEFSLSCEFGHDREAGVVVRNVDINCGEIVVNLNEELLSKNKEPPDVFTQTDKVTGPTADYVTTKKPQKKQSAILALAKYTSMFPEKICFNLPKLDVKFMHLQHDIVVENNIMGIQLKCIKSRSTEDVGESERLDVQLDFSEIHLLREAGSSVLQIMKVDVVSFVYIPIQASPVQSWQPISPIRAEVDVKLGGTQFNIIMSRLKPWLRLQSSKKKGMVLEEETSTLEKPQSTGSKAIMWTCTVSAPEMTIVLYSISGVPLYHGCSQSSHLFANNISSIGTTVHMELGEVNLHTADEYQECLNESLFTVESNSGSLLHIAKVSLDWGKKDMESSEEDGPRCKLALSADVTGMGIYLTFKRVESLIVTALSFEALLKNLSAGKKATQSRTGRSSKPSGKGTRLLNFNLERCSVSFYGDTYLENSVVADPKRVNYGSQGGRVVISVSADGTPRNANIMSTVSDQSRKLGYSVLLDIFHFSLCVNKEKQSMQVELERARSIYQERLGEDKPETKVSLFDMQNAKFVRRSGGLKEIAVCSLFSASDISVRWEPDVHLSLFELVLQLKALVHSQKLEGLGNEHMDNISGIKDAEQKKEVTVIEAGNLDKTKKKESIFAVDVEMLSISAEVGDGVDALVQVQSIFSENARIGVLLEGLMLSFNGARILRSSRMQISRIPSTSISSDAKVSTAVLWDWVVQALDVHICMPFRLELRAIDDAVEEMLRALKLITQAKTELIFPMRKESSKLKKSSSTKFGRVKFCIRKLTADIEEEPIQGWLDEHYHLMKNEACELAVRLKFLDDYVLANQCPKTAESNDSASERRIHHNGAEIDVQDPSAIQKMQEEIYKQSFRSYYLACRRLKQSEGSGACREGFQAGFKPSTARTSLLAVSATELDVTLTRIDGGDDGMIDILKQLDPVCRESNIPFSRLYGSNILLNAGSLVVQLRDYTFPLFSAISGRCEGRVVLAQQATCFQPQISHDVFIGRWRKVRMLRSASGTTPPMKTYSDLPIHFKKAEVSFGVGYEPVFADISYAFTVALRRANLSKRSPGLSQVLKKERSLPWWDEMRNYIHGNITLFFSESKWNILATTDPYEKLDKLQIVSGSMEIQQSDGRVYVSAKDFKFFLSSLESLVNSRSLKLPTISSGAFLEAPVFSLEVTMDWECESGNPMNHYLFAVPIEGKPREKVFDPFRSTSLSLRWNFSLKSLVAPLDKQSPSASASDCTILDGAVNGVQFKAGNVSIASPTFNVGAHDLAWIIKFWNMNYIPPHKLRSFSRWPRFGVPRVPRSGNLSLDRVMTEFMLRLDATPTCIKHMTLDDDDPAKGLTFNMAKLKYEICYSRGKQKYTFECKRDPLDLVYQGLDLHVPKVYLNKEDCTSVTKVVKMMRKTSQSASMERVPSEKSKYVNACTEKHRDEGFLLSSDYFTIRRQAPKADPARLLAWQEAGRKNLEMTYVRSEFENGSESDEHARSDPSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSFVGGISKAFEPQKPSPSRQYAQRKLVEEKQKLGEPEMPQEDASKSPSTNQGVPSQHIETSGSHSSLSHAVGLECSSTAAVALAKCEGNDSEEEGIMRFMVNVIEPQFNLHSEEANGRFLLAAVCGRVLARSFHSVLHVGSELIEQALGTGNVHIPEGEHDMTLKKMEFSVMLEDVQAHVAPTDVDPGAGLQWLPKIRKSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKSLKELTFNSDNITASMTSRQFQVMLDVLTNLLFARLPKPRKSSLSCPGEDDEDVGEEADEVVPDGVEEVELAKITLEQKEREQKLLLNDIKKLSLHCDTSGDHLEKEGDCWMVNGERSILVQGLKRELINAKKSRKAASLSLRVALQKAAQLRLMEKENNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYGFERDYEDVGVAQFTTKYFVVRNCLRNDKSDMLLSAWNPPPEWGKKVMLHVDAKQGAPKDGNSSLDHFQVEIYPLKIHLTETMYRMMWGYFFPEEEQDSQRRQEVWKGSTTSVARRLKKGALNHDASASGIHSTKESEVPSKPSVSCTSITNQSAPAGSAQASKIQDPKSHVSGSGPELRRTSSFDRTWEETVAESVANELVLQAHSSSVSSTKSGPLVSTEQQDESSKNKMKDTKSVKSGRSSQEEKKVGKSNEEKKSRPRKMMEFHNIKISQVELLVTYEGSRFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAHTQQPSGTGVPDSDLNLSDNDQVEKPGPYPIAFLKRPSDGAGDGFVTSIRGLFNTQRRKAKQFVLRTMRGEAENDFHGEWSESEAEISPFARQLTITKAKRLIRRHTKKFRSRGQKGSGSSSQQRESLPSSPMGLEITSFETDSSSGSSPYEDFYE >KJB58840 pep chromosome:Graimondii2_0_v6:9:17947641:17966322:1 gene:B456_009G228700 transcript:KJB58840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPVKFLFGFFMISITLWMVFIFASRLLAWILSRIVGASVGFRVGGWKCLKDVVVKFNKGAVESILVGEIKLSLRQSLVKLGAGIISKDPKLQVLICDLEVVLRPSSKSSQKSRPRKPRTPGRGKWMVVANIARYFSVSVTDLVMKTPRATAEVKELKVDISKDGGSKHNLFVKLHILPISVYAIQMLSGFMEKPSSSFSCEEFSLSCEFGHDREAGVVVRNVDINCGEIVVNLNEELLSKNKEPPDVFTQTDKVTGPTADYVTTKKPQKKQSAILALAKYTSMFPEKICFNLPKLDVKFMHLQHDIVVENNIMGIQLKCIKSRSTEDVGESERLDVQLDFSEIHLLREAGSSVLQIMKVDVVSFVYIPIQASPVQSWQPISPIRAEVDVKLGGTQFNIIMSRLKPWLRLQSSKKKGMVLEEETSTLEKPQSTGSKAIMWTCTVSAPEMTIVLYSISGVPLYHGCSQSSHLFANNISSIGTTVHMELGEVNLHTADEYQECLNESLFTVESNSGSLLHIAKVSLDWGKKDMESSEEDGPRCKLALSADVTGMGIYLTFKRVESLIVTALSFEALLKNLSAGKKATQSRTGRSSKPSGKGTRLLNFNLERCSVSFYGDTYLENSVVADPKRVNYGSQGGRVVISVSADGTPRNANIMSTVSDQSRKLGYSVLLDIFHFSLCVNKEKQSMQVELERARSIYQERLGEDKPETKVSLFDMQNAKFVRRSGGLKEIAVCSLFSASDISVRWEPDVHLSLFELVLQLKALVHSQKLEGLGNEHMDNISGIKDAEQKKEVTVIEAGNLDKTKKKESIFAVDVEMLSISAEVGDGVDALVQVQSIFSENARIGVLLEGLMLSFNGARILRSSRMQISRIPSTSISSDAKVSTAVLWDWVVQALDVHICMPFRLELRAIDDAVEEMLRALKLITQAKTELIFPMRKESSKLKKSSSTKFGRVKFCIRKLTADIEEEPIQGWLDEHYHLMKNEACELAVRLKFLDDYVLANQCPKTAESNDSASERRIHHNGAEIDVQDPSAIQKMQEEIYKQSFRSYYLACRRLKQSEGSGACREGFQAGFKPSTARTSLLAVSATELDVTLTRIDGGDDGMIDILKQLDPVCRESNIPFSRLYGSNILLNAGSLVVQLRDYTFPLFSAISGRCEGRVVLAQQVLKKERSLPWWDEMRNYIHGNITLFFSESKWNILATTDPYEKLDKLQIVSGSMEIQQSDGRVYVSAKDFKFFLSSLESLVNSRSLKLPTISSGAFLEAPVFSLEVTMDWECESGNPMNHYLFAVPIEGKPREKVFDPFRSTSLSLRWNFSLKSLVAPLDKQSPSASASDCTILDGAVNGVQFKAGNVSIASPTFNVGAHDLAWIIKFWNMNYIPPHKLRSFSRWPRFGVPRVPRSGNLSLDRVMTEFMLRLDATPTCIKHMTLDDDDPAKGLTFNMAKLKYEICYSRGKQKYTFECKRDPLDLVYQGLDLHVPKVYLNKEDCTSVTKVVKMMRKTSQSASMERVPSEKSKYVNACTEKHRDEGFLLSSDYFTIRRQAPKADPARLLAWQEAGRKNLEMTYVRSEFENGSESDEHARSDPSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSFVGGISKAFEPQKPSPSRQYAQRKLVEEKQKLGEPEMPQEDASKSPSTNQGVPSQHIETSGSHSSLSHAVGLECSSTAAVALAKCEGNDSEEEGIMRFMVNVIEPQFNLHSEEANGRFLLAAVCGRVLARSFHSVLHVGSELIEQALGTGNVHIPEGEHDMTLKKMEFSVMLEDVQAHVAPTDVDPGAGLQWLPKIRKSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKSLKELTFNSDNITASMTSRQFQVMLDVLTNLLFARLPKPRKSSLSCPGEDDEDVGEEADEVVPDGVEEVELAKITLEQKEREQKLLLNDIKKLSLHCDTSGDHLEKEGDCWMVNGERSILVQGLKRELINAKKSRKAASLSLRVALQKAAQLRLMEKENNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYGFERDYEDVGVAQFTTKYFVVRNCLRNDKSDMLLSAWNPPPEWGKKVMLHVDAKQGAPKDGNSSLDHFQVEIYPLKIHLTETMYRMMWGYFFPEEEQDSQRRQEVWKGSTTSVARRLKKGALNHDASASGIHSTKESEVPSKPSVSCTSITNQSAPAGSAQASKIQDPKSHVSGSGPELRRTSSFDRTWEETVAESVANELVLQAHSSSVSSTKSGPLVSTEQQDESSKNKMKDTKSVKSGRSSQEEKKVGKSNEEKKSRPRKMMEFHNIKISQVELLVTYEGSRFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAHTQQPSGTGVPDSDLNLSDNDQVEKPGPYPIAFLKRPSDGAGDGFVTSIRGLFNTQRRKAKQFVLRTMRGEAENDFHGEWSESEAEISPFARQLTITKAKRLIRRHTKKFRSRGQKGSGSSSQQRESLPSSPMGLEITSFETDSSSGSSPYEDFYE >KJB58842 pep chromosome:Graimondii2_0_v6:9:17947641:17967024:1 gene:B456_009G228700 transcript:KJB58842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPVKFLFGFFMISITLWMVFIFASRLLAWILSRIVGASVGFRVGGWKCLKDVVVKFNKGAVESILVGEIKLSLRQSLVKLGAGIISKDPKLQVLICDLEVVLRPSSKSSQKSRPRKPRTPGRGKWMVVANIARYFSVSVTDLVMKTPRATAEVKELKVDISKDGGSKHNLFVKLHILPISVYAIQMLSGFMEKPSSSFSCEEFSLSCEFGHDREAGVVVRNVDINCGEIVVNLNEELLSKNKEPPDVFTQTDKVTGPTADYVTTKKPQKKQSAILALAKYTSMFPEKICFNLPKLDVKFMHLQHDIVVENNIMGIQLKCIKSRSTEDVGESERLDVQLDFSEIHLLREAGSSVLQIMKVDVVSFVYIPIQASPVQSWQPISPIRAEVDVKLGGTQFNIIMSRLKPWLRLQSSKKKGMVLEEETSTLEKPQSTGSKAIMWTCTVSAPEMTIVLYSISGVPLYHGCSQSSHLFANNISSIGTTVHMELGEVNLHTADEYQECLNESLFTVESNSGSLLHIAKVSLDWGKKDMESSEEDGPRCKLALSADVTGMGIYLTFKRVESLIVTALSFEALLKNLSAGKKATQSRTGRSSKPSGKGTRLLNFNLERCSVSFYGDTYLENSVVADPKRVNYGSQGGRVVISVSADGTPRNANIMSTVSDQSRKLGYSVLLDIFHFSLCVNKEKQSMQVELERARSIYQERLGEDKPETKVSLFDMQNAKFVRRSGGLKEIAVCSLFSASDISVRWEPDVHLSLFELVLQLKALVHSQKLEGLGNEHMDNISGIKDAEQKKEVTVIEAGNLDKTKKKESIFAVDVEMLSISAEVGDGVDALVQVQSIFSENARIGVLLEGLMLSFNGARILRSSRMQISRIPSTSISSDAKVSTAVLWDWVVQALDVHICMPFRLELRAIDDAVEEMLRALKLITQAKTELIFPMRKESSKLKKSSSTKFGRVKFCIRKLTADIEEEPIQGWLDEHYHLMKNEACELAVRLKFLDDYVLANQCPKTAESNDSASERRIHHNGAEIDVQDPSAIQKMQEEIYKQSFRSYYLACRRLKQSEGSGACREGFQAGFKPSTARTSLLAVSATELDVTLTRIDGGDDGMIDILKQLDPVCRESNIPFSRLYGSNILLNAGSLVVQLRDYTFPLFSAISGRCEGRVVLAQQATCFQPQISHDVFIGRWRKVRMLRSASGTTPPMKTYSDLPIHFKKAEVSFGVGYEPVFADISYAFTVALRRANLSKRSPGLSQVLKKERSLPWWDEMRNYIHGNITLFFSESKWNILATTDPYEKLDKLQIVSGSMEIQQSDGRVYVSAKDFKFFLSSLESLVNSRSLKLPTISSGAFLEAPVFSLEVTMDWECESGNPMNHYLFAVPIEGKPREKVFDPFRSTSLSLRWNFSLKSLVAPLDKQSPSASASDCTILDGAVNGVQFKAGNVSIASPTFNVGAHDLAWIIKFWNMNYIPPHKLRSFSRWPRFGVPRVPRSGNLSLDRVMTEFMLRLDATPTCIKHMTLDDDDPAKGLTFNMAKLKYEICYSRGKQKYTFECKRDPLDLVYQGLDLHVPKVYLNKEDCTSVTKVVKMMRKTSQSASMERVPSEKSKYVNACTEKHRDEGFLLSSDYFTIRRQAPKADPARLLAWQEAGRKNLEMTYVRSEFENGSESDEHARSDPSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSFVGGISKAFEPQKPSPSRQYAQRKLVEEKQKLGEPEMPQEDASKSPSTNQGVPSQHIETSGSHSSLSHAVGLECSSTAAVALAKCEGNDSEEEGIMRFMVNVIEPQFNLHSEEANGRFLLAAVCGRVLARSFHSVLHVGSELIEQALGTGNVHIPEGEHDMTLKKMEFSVMLEDVQAHVAPTDVDPGAGLQWLPKIRKSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKSLKELTFNSDNITASMTSRQFQVMLDVLTNLLFARLPKPRKSSLSCPGEDDEDVGEEADEVVPDGVEEVELAKITLEQKEREQKLLLNDIKKLSLHCDTSGDHLEKEGDCWMVNGERSILVQGLKRELINAKKSRKAASLSLRVALQKAAQLRLMEKENNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYGFERDYEDVGVAQFTTKYFVVRNCLRNDKSDMLLSAWNPPPEWGKKVMLHVDAKQGAPKDGNSSLDHFQVEIYPLKIHLTETMYRMMWGYFFPEEEQDSQRRQEVWKGSTTSVARRLKKGALNHDASASGIHSTKESEVPSKPSVSCTSITNQSAPAGSAQASKIQDPKSHVSGSGPELRRTSSFDRTWEETVAESVANELVLQAHSSSVSSTKSGPLVSTEQQDESSKNKMKDTKSVKSGRSSQEEKKVGKSNEEKKSRPRKMMEFHNIKISQVELLVTYEGSRFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAHTQQPSGTGVPDSDLNLSDNDQVEKPGPYPIAFLKRPSDGAGDGFVTSIRGLFNTQRRKAKQFVLRTMRGEAENDFHGEWSESEAEISPFARQLTITKAKRLIRRHTKKFRSRGQKGSSSQQRESLPSSPMGLEITSFETDSSSGSSPYEDFYE >KJB58836 pep chromosome:Graimondii2_0_v6:9:17947641:17961615:1 gene:B456_009G228700 transcript:KJB58836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPVKFLFGFFMISITLWMVFIFASRLLAWILSRIVGASVGFRVGGWKCLKDVVVKFNKGAVESILVGEIKLSLRQSLVKLGAGIISKDPKLQVLICDLEVVLRPSSKSSQKSRPRKPRTPGRGKWMVVANIARYFSVSVTDLVMKTPRATAEVKELKVDISKDGGSKHNLFVKLHILPISVYAIQMLSGFMEKPSSSFSCEEFSLSCEFGHDREAGVVVRNVDINCGEIVVNLNEELLSKNKEPPDVFTQTDKVTGPTADYVTTKKPQKKQSAILALAKYTSMFPEKICFNLPKLDVKFMHLQHDIVVENNIMGIQLKCIKSRSTEDVGESERLDVQLDFSEIHLLREAGSSVLQIMKVDVVSFVYIPIQASPVQSWQPISPIRAEVDVKLGGTQFNIIMSRLKPWLRLQSSKKKGMVLEEETSTLEKPQSTGSKAIMWTCTVSAPEMTIVLYSISGVPLYHGCSQSSHLFANNISSIGTTVHMELGEVNLHTADEYQECLNESLFTVESNSGSLLHIAKVSLDWGKKDMESSEEDGPRCKLALSADVTGMGIYLTFKRVESLIVTALSFEALLKNLSAGKKATQSRTGRSSKPSGKGTRLLNFNLERCSVSFYGDTYLENSVVADPKRVNYGSQGGRVVISVSADGTPRNANIMSTVSDQSRKLGYSVLLDIFHFSLCVNKEKQSMQVELERARSIYQERLGEDKPETKVSLFDMQNAKFVRRSGGLKEIAVCSLFSASDISVRWEPDVHLSLFELVLQLKALVHSQKLEGLGNEHMDNISGIKDAEQKKEVTVIEAGNLDKTKKKESIFAVDVEMLSISAEVGDGVDALVQVQSIFSENARIGVLLEGLMLSFNGARILRSSRMQISRIPSTSISSDAKVSTAVLWDWVVQALDVHICMPFRLELRAIDDAVEEMLRALKLITQAKTELIFPMRKESSKLKKSSSTKFGRVKFCIRKLTADIEEEPIQGWLDEHYHLMKNEACELAVRLKFLDDYVLANQCPKTAESNDSASERRIHHNGAEIDVQDPSAIQKMQEEIYKQSFRSYYLACRRLKQSEGSGACREGFQAGFKPSTARTSLLAVSATELDVTLTRIDGGDDGMIDILKQLDPVCRESNIPFSRLYGSNILLNAGSLVVQLRDYTFPLFSAISGRCEGRVVLAQQATCFQPQISHDVFIGRWRKVRMLRSASGTTPPMKTYSDLPIHFKKAEVSFGVGYEPVFADISYAFTVALRRANLSKRSPGLSQVLKKERSLPWWDEMRNYIHGNITLFFSESKWNILATTDPYEKLDKLQIVSGSMEIQQSDGRVYVSAKDFKFFLSSLESLVNSRSLKLPTISSGAFLEAPVFSLEVTMDWECESGNPMNHYLFAVPIEGKPREKVFDPFRSTSLSLRWNFSLKSLVAPLDKQSPSASASDCTILDGAVNGVQFKAGNVSIASPTFNVGAHDLAWIIKFWNMNYIPPHKLRSFSRWPRFGVPRVPRSGNLSLDRVMTEFMLRLDATPTCIKHMTLDDDDPAKGLTFNMAKLKYEICYSRGKQKYTFECKRDPLDLVYQGLDLHVPKVYLNKEDCTSVTKVVKMMRKTSQSASMERVPSEKSKYVNACTEKHRDEGFLLSSDYFTIRRQAPKADPARLLAWQEAGRKNLEMTYVRSEFENGSESDEHARSDPSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSFVGGISKAFEPQKPSPSRQYAQRKLVEEKQKLGEPEMPQEDASKSPSTNQGVPSQHIETSGSHSSLSHAVGLECSSTAAVALAKCEGNDSEEEGIMRFMVNVIEPQFNLHSEEANGRFLLAAVCGRVLARSFHSVLHVGSELIEQALGTGNVHIPEGEHDMTLKKMEFSVMLEDVQAHVAPTDVDPGAGLQWLPKIRKSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKSLKELTFNSDNITASMTSRQFQVMLDVLTNLLFARLPKPRKSSLSCPGEDDEDVGEEADEVVPDGVEEVELAKITLEQKEREQKLLLNDIKKLSLHCDTSGDHLEKEGDCWMVNGERSILVRKRTLSTISKYQVSMVVELLSC >KJB58843 pep chromosome:Graimondii2_0_v6:9:17949428:17966322:1 gene:B456_009G228700 transcript:KJB58843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVANIARYFSVSVTDLVMKTPRATAEVKELKVDISKDGGSKHNLFVKLHILPISVYAIQMLSGFMEKPSSSFSCEEFSLSCEFGHDREAGVVVRNVDINCGEIVVNLNEELLSKNKEPPDVFTQTDKVTGPTADYVTTKKPQKKQSAILALAKYTSMFPEKICFNLPKLDVKFMHLQHDIVVENNIMGIQLKCIKSRSTEDVGESERLDVQLDFSEIHLLREAGSSVLQIMKVDVVSFVYIPIQASPVQSWQPISPIRAEVDVKLGGTQFNIIMSRLKPWLRLQSSKKKGMVLEEETSTLEKPQSTGSKAIMWTCTVSAPEMTIVLYSISGVPLYHGCSQSSHLFANNISSIGTTVHMELGEVNLHTADEYQECLNESLFTVESNSGSLLHIAKVSLDWGKKDMESSEEDGPRCKLALSADVTGMGIYLTFKRVESLIVTALSFEALLKNLSAGKKATQSRTGRSSKPSGKGTRLLNFNLERCSVSFYGDTYLENSVVADPKRVNYGSQGGRVVISVSADGTPRNANIMSTVSDQSRKLGYSVLLDIFHFSLCVNKEKQSMQVELERARSIYQERLGEDKPETKVSLFDMQNAKFVRRSGGLKEIAVCSLFSASDISVRWEPDVHLSLFELVLQLKALVHSQKLEGLGNEHMDNISGIKDAEQKKEVTVIEAGNLDKTKKKESIFAVDVEMLSISAEVGDGVDALVQVQSIFSENARIGVLLEGLMLSFNGARILRSSRMQISRIPSTSISSDAKVSTAVLWDWVVQALDVHICMPFRLELRAIDDAVEEMLRALKLITQAKTELIFPMRKESSKLKKSSSTKFGRVKFCIRKLTADIEEEPIQGWLDEHYHLMKNEACELAVRLKFLDDYVLANQCPKTAESNDSASERRIHHNGAEIDVQDPSAIQKMQEEIYKQSFRSYYLACRRLKQSEGSGACREGFQAGFKPSTARTSLLAVSATELDVTLTRIDGGDDGMIDILKQLDPVCRESNIPFSRLYGSNILLNAGSLVVQLRDYTFPLFSAISGRCEGRVVLAQQATCFQPQISHDVFIGRWRKVRMLRSASGTTPPMKTYSDLPIHFKKAEVSFGVGYEPVFADISYAFTVALRRANLSKRSPGLSQVLKKERSLPWWDEMRNYIHGNITLFFSESKWNILATTDPYEKLDKLQIVSGSMEIQQSDGRVYVSAKDFKFFLSSLESLVNSRSLKLPTISSGAFLEAPVFSLEVTMDWECESGNPMNHYLFAVPIEGKPREKVFDPFRSTSLSLRWNFSLKSLVAPLDKQSPSASASDCTILDGAVNGVQFKAGNVSIASPTFNVGAHDLAWIIKFWNMNYIPPHKLRSFSRWPRFGVPRVPRSGNLSLDRVMTEFMLRLDATPTCIKHMTLDDDDPAKGLTFNMAKLKYEICYSRGKQKYTFECKRDPLDLVYQGLDLHVPKVYLNKEDCTSVTKVVKMMRKTSQSASMERVPSEKSKYVNACTEKHRDEGFLLSSDYFTIRRQAPKADPARLLAWQEAGRKNLEMTYVRSEFENGSESDEHARSDPSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSFVGGISKAFEPQKPSPSRQYAQRKLVEEKQKLGEPEMPQEDASKSPSTNQGVPSQHIETSGSHSSLSHAVGLECSSTAAVALAKCEGNDSEEEGIMRFMVNVIEPQFNLHSEEANGRFLLAAVCGRVLARSFHSVLHVGSELIEQALGTGNVHIPEGEHDMTLKKMEFSVMLEDVQAHVAPTDVDPGAGLQWLPKIRKSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKSLKELTFNSDNITASMTSRQFQVMLDVLTNLLFARLPKPRKSSLSCPGEDDEDVGEEADEVVPDGVEEVELAKITLEQKEREQKLLLNDIKKLSLHCDTSGDHLEKEGDCWMVNGERSILVQGLKRELINAKKSRKAASLSLRVALQKAAQLRLMEKENNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYGFERDYEDVGVAQFTTKYFVVRNCLRNDKSDMLLSAWNPPPEWGKKVMLHVDAKQGAPKDGNSSLDHFQVEIYPLKIHLTETMYRMMWGYFFPEEEQDSQRRQEVWKGSTTSVARRLKKGALNHDASASGIHSTKESEVPSKPSVSCTSITNQSAPAGSAQASKIQDPKSHVSGSGPELRRTSSFDRTWEETVAESVANELVLQAHSSSVSSTKSGPLVSTEQQDESSKNKMKDTKSVKSGRSSQEEKKVGKSNEEKKSRPRKMMEFHNIKISQVELLVTYEGSRFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAHTQQPSGTGVPDSDLNLSDNDQVEKPGPYPIAFLKRPSDGAGDGFVTSIRGLFNTQRRKAKQFVLRTMRGEAENDFHGEWSESEAEISPFARQLTITKAKRLIRRHTKKFRSRGQKGSGSSSQQRESLPSSPMGLEITSFETDSSSGSSPYEDFYE >KJB58837 pep chromosome:Graimondii2_0_v6:9:17947641:17962387:1 gene:B456_009G228700 transcript:KJB58837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPVKFLFGFFMISITLWMVFIFASRLLAWILSRIVGASVGFRVGGWKCLKDVVVKFNKGAVESILVGEIKLSLRQSLVKLGAGIISKDPKLQVLICDLEVVLRPSSKSSQKSRPRKPRTPGRGKWMVVANIARYFSVSVTDLVMKTPRATAEVKELKVDISKDGGSKHNLFVKLHILPISVYAIQMLSGFMEKPSSSFSCEEFSLSCEFGHDREAGVVVRNVDINCGEIVVNLNEELLSKNKEPPDVFTQTDKVTGPTADYVTTKKPQKKQSAILALAKYTSMFPEKICFNLPKLDVKFMHLQHDIVVENNIMGIQLKCIKSRSTEDVGESERLDVQLDFSEIHLLREAGSSVLQIMKVDVVSFVYIPIQASPVQSWQPISPIRAEVDVKLGGTQFNIIMSRLKPWLRLQSSKKKGMVLEEETSTLEKPQSTGSKAIMWTCTVSAPEMTIVLYSISGVPLYHGCSQSSHLFANNISSIGTTVHMELGEVNLHTADEYQECLNESLFTVESNSGSLLHIAKVSLDWGKKDMESSEEDGPRCKLALSADVTGMGIYLTFKRVESLIVTALSFEALLKNLSAGKKATQSRTGRSSKPSGKGTRLLNFNLERCSVSFYGDTYLENSVVADPKRVNYGSQGGRVVISVSADGTPRNANIMSTVSDQSRKLGYSVLLDIFHFSLCVNKEKQSMQVELERARSIYQERLGEDKPETKVSLFDMQNAKFVRRSGGLKEIAVCSLFSASDISVRWEPDVHLSLFELVLQLKALVHSQKLEGLGNEHMDNISGIKDAEQKKEVTVIEAGNLDKTKKKESIFAVDVEMLSISAEVGDGVDALVQVQSIFSENARIGVLLEGLMLSFNGARILRSSRMQISRIPSTSISSDAKVSTAVLWDWVVQALDVHICMPFRLELRAIDDAVEEMLRALKLITQAKTELIFPMRKESSKLKKSSSTKFGRVKFCIRKLTADIEEEPIQGWLDEHYHLMKNEACELAVRLKFLDDYVLANQCPKTAESNDSASERRIHHNGAEIDVQDPSAIQKMQEEIYKQSFRSYYLACRRLKQSEGSGACREGFQAGFKPSTARTSLLAVSATELDVTLTRIDGGDDGMIDILKQLDPVCRESNIPFSRLYGSNILLNAGSLVVQLRDYTFPLFSAISGRCEGRVVLAQQATCFQPQISHDVFIGRWRKVRMLRSASGTTPPMKTYSDLPIHFKKAEVSFGVGYEPVFADISYAFTVALRRANLSKRSPGLSQVLKKERSLPWWDEMRNYIHGNITLFFSESKWNILATTDPYEKLDKLQIVSGSMEIQQSDGRVYVSAKDFKFFLSSLESLVNSRSLKLPTISSGAFLEAPVFSLEVTMDWECESGNPMNHYLFAVPIEGKPREKVFDPFRSTSLSLRWNFSLKSLVAPLDKQSPSASASDCTILDGAVNGVQFKAGNVSIASPTFNVGAHDLAWIIKFWNMNYIPPHKLRSFSRWPRFGVPRVPRSGNLSLDRVMTEFMLRLDATPTCIKHMTLDDDDPAKGLTFNMAKLKYEICYSRGKQKYTFECKRDPLDLVYQGLDLHVPKVYLNKEDCTSVTKVVKMMRKTSQSASMERVPSEKSKYVNACTEKHRDEGFLLSSDYFTIRRQAPKADPARLLAWQEAGRKNLEMTYVRSEFENGSESDEHARSDPSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSFVGGISKAFEPQKPSPSRQYAQRKLVEEKQKLGEPEMPQEDASKSPSTNQGVPSQHIETSGSHSSLSHAVGLECSSTAAVALAKCEGNDSEEEGIMRFMVNVIEPQFNLHSEEANGRFLLAAVCGRVLARSFHSVLHVGSELIEQALGTGNVHIPEGEHDMTLKKMEFSVMLEDVQAHVAPTDVDPGAGLQWLPKIRKSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKSLKELTFNSDNITASMTSRQFQVMLDVLTNLLFARLPKPRKSSLSCPGEDDEDVGEEADEVVPDGVEEVELAKITLEQKEREQKLLLNDIKKLSLHCDTSGDHLEKEGDCWMVNGERSILVQGLKRELINAKKSRKAASLSLRVALQKAAQLRLMEKENNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMVSS >KJB58844 pep chromosome:Graimondii2_0_v6:9:17951802:17966322:1 gene:B456_009G228700 transcript:KJB58844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQHDIVVENNIMGIQLKCIKSRSTEDVGESERLDVQLDFSEIHIMKVDVVSFVYIPIQASPVQSWQPISPIRAEVDVKLGGTQFNIIMSRLKPWLRLQSSKKKGMVLEEETSTLEKPQSTGSKAIMWTCTVSAPEMTIVLYSISGVPLYHGCSQSSHLFANNISSIGTTVHMELGEVNLHTADEYQECLNESLFTVESNSGSLLHIAKVSLDWGKKDMESSEEDGPRCKLALSADVTGMGIYLTFKRVESLIVTALSFEALLKNLSAGKKATQSRTGRSSKPSGKGTRLLNFNLERCSVSFYGDTYLENSVVADPKRVNYGSQGGRVVISVSADGTPRNANIMSTVSDQSRKLGYSVLLDIFHFSLCVNKEKQSMQVELERARSIYQERLGEDKPETKVSLFDMQNAKFVRRSGGLKEIAVCSLFSASDISVRWEPDVHLSLFELVLQLKALVHSQKLEGLGNEHMDNISGIKDAEQKKEVTVIEAGNLDKTKKKESIFAVDVEMLSISAEVGDGVDALVQVQSIFSENARIGVLLEGLMLSFNGARILRSSRMQISRIPSTSISSDAKVSTAVLWDWVVQALDVHICMPFRLELRAIDDAVEEMLRALKLITQAKTELIFPMRKESSKLKKSSSTKFGRVKFCIRKLTADIEEEPIQGWLDEHYHLMKNEACELAVRLKFLDDYVLANQCPKTAESNDSASERRIHHNGAEIDVQDPSAIQKMQEEIYKQSFRSYYLACRRLKQSEGSGACREGFQAGFKPSTARTSLLAVSATELDVTLTRIDGGDDGMIDILKQLDPVCRESNIPFSRLYGSNILLNAGSLVVQLRDYTFPLFSAISGRCEGRVVLAQQATCFQPQISHDVFIGRWRKVRMLRSASGTTPPMKTYSDLPIHFKKAEVSFGVGYEPVFADISYAFTVALRRANLSKRSPGLSQVLKKERSLPWWDEMRNYIHGNITLFFSESKWNILATTDPYEKLDKLQIVSGSMEIQQSDGRVYVSAKDFKFFLSSLESLVNSRSLKLPTISSGAFLEAPVFSLEVTMDWECESGNPMNHYLFAVPIEGKPREKVFDPFRSTSLSLRWNFSLKSLVAPLDKQSPSASASDCTILDGAVNGVQFKAGNVSIASPTFNVGAHDLAWIIKFWNMNYIPPHKLRSFSRWPRFGVPRVPRSGNLSLDRVMTEFMLRLDATPTCIKHMTLDDDDPAKGLTFNMAKLKYEICYSRGKQKYTFECKRDPLDLVYQGLDLHVPKVYLNKEDCTSVTKVVKMMRKTSQSASMERVPSEKSKYVNACTEKHRDEGFLLSSDYFTIRRQAPKADPARLLAWQEAGRKNLEMTYVRSEFENGSESDEHARSDPSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSFVGGISKAFEPQKPSPSRQYAQRKLVEEKQKLGEPEMPQEDASKSPSTNQGVPSQHIETSGSHSSLSHAVGLECSSTAAVALAKCEGNDSEEEGIMRFMVNVIEPQFNLHSEEANGRFLLAAVCGRVLARSFHSVLHVGSELIEQALGTGNVHIPEGEHDMTLKKMEFSVMLEDVQAHVAPTDVDPGAGLQWLPKIRKSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKSLKELTFNSDNITASMTSRQFQVMLDVLTNLLFARLPKPRKSSLSCPGEDDEDVGEEADEVVPDGVEEVELAKITLEQKEREQKLLLNDIKKLSLHCDTSGDHLEKEGDCWMVNGERSILVQGLKRELINAKKSRKAASLSLRVALQKAAQLRLMEKENNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYGFERDYEDVGVAQFTTKYFVVRNCLRNDKSDMLLSAWNPPPEWGKKVMLHVDAKQGAPKDGNSSLDHFQVEIYPLKIHLTETMYRMMWGYFFPEEEQDSQRRQEVWKGSTTSVARRLKKGALNHDASASGIHSTKESEVPSKPSVSCTSITNQSAPAGSAQASKIQDPKSHVSGSGPELRRTSSFDRTWEETVAESVANELVLQAHSSSVSSTKSGPLVSTEQQDESSKNKMKDTKSVKSGRSSQEEKKVGKSNEEKKSRPRKMMEFHNIKISQVELLVTYEGSRFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAHTQQPSGTGVPDSDLNLSDNDQVEKPGPYPIAFLKRPSDGAGDGFVTSIRGLFNTQRRKAKQFVLRTMRGEAENDFHGEWSESEAEISPFARQLTITKAKRLIRRHTKKFRSRGQKGSGSSSQQRESLPSSPMGLEITSFETDSSSGSSPYEDFYE >KJB58838 pep chromosome:Graimondii2_0_v6:9:17947641:17963796:1 gene:B456_009G228700 transcript:KJB58838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPVKFLFGFFMISITLWMVFIFASRLLAWILSRIVGASVGFRVGGWKCLKDVVVKFNKGAVESILVGEIKLSLRQSLVKLGAGIISKDPKLQVLICDLEVVLRPSSKSSQKSRPRKPRTPGRGKWMVVANIARYFSVSVTDLVMKTPRATAEVKELKVDISKDGGSKHNLFVKLHILPISVYAIQMLSGFMEKPSSSFSCEEFSLSCEFGHDREAGVVVRNVDINCGEIVVNLNEELLSKNKEPPDVFTQTDKVTGPTADYVTTKKPQKKQSAILALAKYTSMFPEKICFNLPKLDVKFMHLQHDIVVENNIMGIQLKCIKSRSTEDVGESERLDVQLDFSEIHLLREAGSSVLQIMKVDVVSFVYIPIQASPVQSWQPISPIRAEVDVKLGGTQFNIIMSRLKPWLRLQSSKKKGMVLEEETSTLEKPQSTGSKAIMWTCTVSAPEMTIVLYSISGVPLYHGCSQSSHLFANNISSIGTTVHMELGEVNLHTADEYQECLNESLFTVESNSGSLLHIAKVSLDWGKKDMESSEEDGPRCKLALSADVTGMGIYLTFKRVESLIVTALSFEALLKNLSAGKKATQSRTGRSSKPSGKGTRLLNFNLERCSVSFYGDTYLENSVVADPKRVNYGSQGGRVVISVSADGTPRNANIMSTVSDQSRKLGYSVLLDIFHFSLCVNKEKQSMQVELERARSIYQERLGEDKPETKVSLFDMQNAKFVRRSGGLKEIAVCSLFSASDISVRWEPDVHLSLFELVLQLKALVHSQKLEGLGNEHMDNISGIKDAEQKKEVTVIEAGNLDKTKKKESIFAVDVEMLSISAEVGDGVDALVQVQSIFSENARIGVLLEGLMLSFNGARILRSSRMQISRIPSTSISSDAKVSTAVLWDWVVQALDVHICMPFRLELRAIDDAVEEMLRALKLITQAKTELIFPMRKESSKLKKSSSTKFGRVKFCIRKLTADIEEEPIQGWLDEHYHLMKNEACELAVRLKFLDDYVLANQCPKTAESNDSASERRIHHNGAEIDVQDPSAIQKMQEEIYKQSFRSYYLACRRLKQSEGSGACREGFQAGFKPSTARTSLLAVSATELDVTLTRIDGGDDGMIDILKQLDPVCRESNIPFSRLYGSNILLNAGSLVVQLRDYTFPLFSAISGRCEGRVVLAQQATCFQPQISHDVFIGRWRKVRMLRSASGTTPPMKTYSDLPIHFKKAEVSFGVGYEPVFADISYAFTVALRRANLSKRSPGLSQVLKKERSLPWWDEMRNYIHGNITLFFSESKWNILATTDPYEKLDKLQIVSGSMEIQQSDGRVYVSAKDFKFFLSSLESLVNSRSLKLPTISSGAFLEAPVFSLEVTMDWECESGNPMNHYLFAVPIEGKPREKVFDPFRSTSLSLRWNFSLKSLVAPLDKQSPSASASDCTILDGAVNGVQFKAGNVSIASPTFNVGAHDLAWIIKFWNMNYIPPHKLRSFSRWPRFGVPRVPRSGNLSLDRVMTEFMLRLDATPTCIKHMTLDDDDPAKGLTFNMAKLKYEICYSRGKQKYTFECKRDPLDLVYQGLDLHVPKVYLNKEDCTSVTKVVKMMRKTSQSASMERVPSEKSKYVNACTEKHRDEGFLLSSDYFTIRRQAPKADPARLLAWQEAGRKNLEMTYVRSEFENGSESDEHARSDPSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSFVGGISKAFEPQKPSPSRQYAQRKLVEEKQKLGEPEMPQEDASKSPSTNQGVPSQHIETSGSHSSLSHAVGLECSSTAAVALAKCEGNDSEEEGIMRFMVNVIEPQFNLHSEEANGRFLLAAVCGRVLARSFHSVLHVGSELIEQALGTGNVHIPEGEHDMTLKKMEFSVMLEDVQAHVAPTDVDPGAGLQWLPKIRKSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKSLKELTFNSDNITASMTSRQFQVMLDVLTNLLFARLPKPRKSSLSCPGEDDEDVGEEADEVVPDGVEEVELAKITLEQKEREQKLLLNDIKKLSLHCDTSGDHLEKEGDCWMVNGERSILVQGLKRELINAKKSRKAASLSLRVALQKAAQLRLMEKENNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYGFERDYEDVGVAQFTTKYFVVRNCLRNDKSDMLLSAWNPPPEWGKYKLFP >KJB61964 pep chromosome:Graimondii2_0_v6:9:54457503:54459026:1 gene:B456_009G394600 transcript:KJB61964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFKGRLLKKLKFIPTIKSLRKSFAFHPYHKHPFSNHNRYSQPVYKDQDCKINIHIPDPVIHSKDQKGKGTALDQFDVGHQDNNKPAPKIEFKETATKEGHSQLPIASNAFDKPETVNIHSPLKDFEEKCPPGGEDSVVLYTTSLRGIRKTFEDCNAIRYLLSSFRILVHERDVSMDMEFREELWRLFGKKVIPPKLFIKGRYIGGADEVIGLHEEGKVKKLFEGIPSNIPCSECANMRFLVCPNCDGSRKVFAETDDDDEMCLKCPDCNENGLVKCAACC >KJB59157 pep chromosome:Graimondii2_0_v6:9:22857923:22861649:-1 gene:B456_009G273200 transcript:KJB59157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKTCPFKIILGSSSIARRNILAEMGYEFSLMSADIDEKGIRKEKPEELVMALAEAKADSIVSKLQTMNNQDKDERPTILIAADTVVVYEGVVREKPANEKEAREFIKGYSGGHAATVGSVIVTNLKTGFRKGEWDRVEIYFHKIPDEIIEKLIEEGAVLHVAGGLIIEHPLIMPYVKEVVGTTDSVMGLPKALTEKLIKEAL >KJB59159 pep chromosome:Graimondii2_0_v6:9:22858211:22860952:-1 gene:B456_009G273200 transcript:KJB59159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYICIYVYIDLNVNYTSQADSIVSKLQTMNNQDKDERPTILIAADTVVVYEGVVREKPANEKEAREFIKGYSGGHAATVGSVIVTNLKTGFRKGEWDRVEIYFHKIPDEIIEKLIEEGAVLHVAGGLIIEHPLIMPYVKEVVGTTDSVMGLPKALTEKLIKEAL >KJB59158 pep chromosome:Graimondii2_0_v6:9:22857923:22861462:-1 gene:B456_009G273200 transcript:KJB59158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYEFSLMSADIDEKGIRKEKPEELVMALAEAKADSIVSKLQTMNNQDKDERPTILIAADTVVVYEGVVREKPANEKEAREFIKGYSGGHAATVGSVIVTNLKTGFRKGEWDRVEIYFHKIPDEIIEKLIEEGAVLHVAGGLIIEHPLIMPYVKEVVGTTDSVMGLPKALTEKLIKEAL >KJB59156 pep chromosome:Graimondii2_0_v6:9:22857915:22861705:-1 gene:B456_009G273200 transcript:KJB59156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKTCPFKIILGSSSIARRNILAEMGYEFSLMSADIDEKGIRKEKPEELVMALAEAKVVVYEGVVREKPANEKEAREFIKGYSGGHAATVGSVIVTNLKTGFRKGEWDRVEIYFHKIPDEIIEKLIEEGAVLHVAGGLIIEHPLIMPYVKEVVGTTDSVMGLPKALTEKLIKEAL >KJB62919 pep chromosome:Graimondii2_0_v6:9:69506010:69511228:1 gene:B456_009G443700 transcript:KJB62919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAENSLLGETTCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAANSRAQLLQVLSDAKLELSTLVSALGDKSSVGIPEKGSETIKEQLAAIAPALEQLWKQKEERVKEFSDVRSQIQKICGEIAGNASEQTGALAVDDSDLSLKKLDEYQVKLKELQKEKSDRLSKVLEYVSTVHDLCAVLGMDFFSTITEVHPSLGDSTGVQSKSISNDTLSRLAKTVSALNEDKKQRLHKLQELATQLIDLWNLMDTPEEGRRLFNHVTCNTSALVDKVTGPGALALDLIEQAEVEVDRLDKLKSSRMKEIALKRQVELEKIFASVHIEIDPEAAREKIMALIDSGNVEPTELLADMDNQIMKAKEEALSRKEILDRVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPGSEKGR >KJB62920 pep chromosome:Graimondii2_0_v6:9:69505871:69511299:1 gene:B456_009G443700 transcript:KJB62920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAENSLLGETTCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAANSRAQLLQVLSDAKLELSTLVSALGDKSSVGIPEKGSETIKEQLAAIAPALEQLWKQKEERVKEFSDVRSQIQKICGEIAGNASEQTGALAVDDSDLSLKKLDEYQVKLKELQKEKSDRLSKVLEYVSTVHDLCAVLGMDFFSTITEVHPSLGDSTGVQSKSISNDTLSRLAKTVSALNEDKKQRLHKLQELATQLIDLWNLMDTPEEGRRLFNHVTCNTSALVDKVTGPGALALDLIEQAEVEVDRLDKLKSSRMKEIALKRQVELEKIFASVHIEIDPEAAREKIMALIDSGNVEPTELLADMDNQIMKAKEEALSRKEILDRVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGISFMYDGVPLLAMLDEYAMLRQEREEEKRRLRGQKKVGEQQNMEQETAFGSRLSPARSSGPKKVVGPRANGGANGTPSRRLSLNANQNSSRSAGKDGKRDSMKLAAPANIVTVSKDDAASHVSRTDSVPASP >KJB62917 pep chromosome:Graimondii2_0_v6:9:69505871:69511246:1 gene:B456_009G443700 transcript:KJB62917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAENSLLGETTCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAANSRAQLLQVLSDAKLELSTLVSALGDKSSVGIPEKGSETIKEQLAAIAPALEQLWKQKEERVKEFSDVRSQIQKICGEIAGNASEQTGALAVDDSDLSLKKLDEYQVKLKELQKEKSDRLSKVLEYVSTVHDLCAVLGMDFFSTITEVHPSLGDSTGVQSKSISNDTLSRLAKTVSALNEDKKQRLHKLQELATQLIDLWNLMDTPEEGRRLFNHVTCNTSALVDKVTGPGALALDLIEQAEVEVDRLDKLKSSRMKEIALKRQVELEKIFASVHIEIDPEAAREKIMALIDSGNVEPTELLADMDNQIMKAKEEALSRKEILDRVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGISFMYDGVPLLAMLDEYAMLRQEREEEKRRLRGQKKVGEQQNMEQETAFGSRLSPARSSGPKKVVGPRANGGANGTPSRRLSLNANQNSSRSAGKDGKRDSMKLAAPANIVTVSKDDAASHVSRTDSVPASP >KJB62915 pep chromosome:Graimondii2_0_v6:9:69506012:69511264:1 gene:B456_009G443700 transcript:KJB62915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAENSLLGETTCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAANSRAQLLQVLSDAKLELSTLVSALGDKSSVGIPEKGSETIKEQLAAIAPALEQLWKQKEERVKEFSDVRSQIQKICGEIAGNASEQTGALAVDDSDLSLKKLDEYQVKLKELQKEKSDRLSKVLEYVSTVHDLCAVLGMDFFSTITEVHPSLGDSTGVQSKSISNDTLSRLAKTVSALNEDKKQRLHKLQELATQLIDLWNLMDTPEEGRRLFNHVTCNTSALVDKVTGPGALALDLIEQAEVEVDRLDKLKSSRMKEIALKRQVELEKIFASVHIEIDPEAAREKIMALIDSGNVEPTELLADMDNQIMKAKEEALSRKEILDRVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGISFMYDGVPLLAMLDEYAMLRQEREEEKRRLRGQKKVGEQQNMEQETAFGSRLSPARSSGPKKVVGPRANGGANGTPSRRLSLNANQNSSRSAGKDGKRDSMKLAAPANIVTVSKDDAASHVSRTDSVPASP >KJB62916 pep chromosome:Graimondii2_0_v6:9:69505871:69511264:1 gene:B456_009G443700 transcript:KJB62916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAENSLLGETTCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAANSRAQLLQVLSDAKLELSTLVSALGDKSSVGIPEKGSETIKEQLAAIAPALEQLWKQKEERVKEFSDVRSQIQKICGEIAGNASEQTGALAVDDSDLSLKKLDEYQVKLKELQKEKSDRLSKVLEYVSTVHDLCAVLGMDFFSTITEVHPSLGDSTGVQSKSISNDTLSRLAKTVSALNEDKKQRLHKLQELATQLIDLWNLMDTPEEGRRLFNHVTCNTSALVDKVTGPGALALDLIEQAEVEVDRLDKLKSSRMKEIALKRQVELEKIFASVHIEIDPEAAREKIMALIDSGNVEPTELLADMDNQIMKAKEEALSRKEILDRVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGISFMYDGVPLLAMLDEYAMLRQEREEEKRRLRGQKKVGEQQNMEQETAFGSRLSPARSSGPKKVVGPRANGGANGTPSRRLSLNANQNSSRSAGKDGKRDSMKLAAPANIVTVSKDDAASHVSRTDSVPASP >KJB62918 pep chromosome:Graimondii2_0_v6:9:69506010:69509775:1 gene:B456_009G443700 transcript:KJB62918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAENSLLGETTCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAANSRAQLLQVLSDAKLELSTLVSALGDKSSVGIPEKGSETIKEQLAAIAPALEQLWKQKEERVKEFSDVRSQIQKICGEIAGNASEQTGALAVDDSDLSLKKLDEYQVKLKELQKEKSDRLSKVLEYVSTVHDLCAVLGMDFFSTITEVHPSLGDSTGVQSKSISNDTLSRLAKTVSALNEDKKQRLHKLQELATQLIDLWNLMDTPEEGRRLFNHVTCNTSALVDKVTGPGALALDLIEQAEVEVDRLDKLKSSRMKEIALKRQVELEKIFASVHIEIDPEAAREKIMALIDSGNVEPTELLADMDNQIMKAKEEALSRKEILDRVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPGRTI >KJB57301 pep chromosome:Graimondii2_0_v6:9:12340497:12342145:-1 gene:B456_009G160800 transcript:KJB57301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDPSFNKASNPYVTEQELEDNDNVSSETGAESPPSTTFNSIKLSPKKGRRSIQKRVVSVPIKDVEGSRFKGESAPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSHVDPKMLVITYSCEHNHPWPASRHNTAAAKQAAAAAKAAAAAEASTATVTAVQNEPSTSQADTEQESGTEERFADLTEDSILTTGDEFAWFGEMETTSSTVLESPLFTERDDCDADVAMVFPMKEEDESLFADLGELPECSFVFRHQRNVGPQVGIC >KJB57302 pep chromosome:Graimondii2_0_v6:9:12340579:12342133:-1 gene:B456_009G160800 transcript:KJB57302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDPSFNKASNPYVTEQELEDNDNVSSETGAESPPSTTFNSIKLSPKKGRSIQKRVVSVPIKDVEGSRFKGESAPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSHVDPKMLVITYSCEHNHPWPASRHNTAAAKQAAAAAKAAAAAEASTATVTAVQNEPSTSQADTEQESGTEERFADLTEDSILTTGDEFAWFGEMETTSSTVLESPLFTERDDCDADVAMVFPMKEEDESLFADLGELPECSFVFRHQRNVGPQVGIC >KJB54385 pep chromosome:Graimondii2_0_v6:9:2395992:2398277:1 gene:B456_009G031900 transcript:KJB54385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVFLLDGAARSGFLQFLMQSTGCTYICLWSYSSSLHQPNCLIGSDGWYNEQNSTQPGALVLFLEYRRLTFPLENNPGLVPGFAFRNYRPYIELGELELQSRASHQTQRQFYRTAVFMGCKSGEIELGSPNAVNLNMEMEMRTFFPDDFSRQSLVAVSEPTDPNNPSSSSSSLRSLSTDSPDSYLIFTVPNASRPQVPTGSETTPFLLQAKPASSSMYDPHQQAMQQALSEMRSNINLPSLESENAAMTRAILAVLTSPSSSSSSTSQYHSQQSHNLPPSYQPNSKATAFKRYGSPLGAPTTTAARASLRAQSMLKRAILFYRRFKLVRSEQQPRSCAPTVHQLHHMLSERKRREKLNESFDALRSLLPPGTKKDRVSVLASTREYLTSLKSQIVELNRQNQLLEAAQASATSSDEANGSSNERLNIRIIPVPESTSEQRIIELRVSVGGEVSIVDILIQLLQFLKLDRNISLMSTEANTRTAELGSINHVNLRFRIEGDDWDESTFQEAVRRILADLAQ >KJB62615 pep chromosome:Graimondii2_0_v6:9:66812220:66815022:-1 gene:B456_009G4260001 transcript:KJB62615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRGVIFILFIFLLLGVDSNAFPMNDMISKLPGQPDVNFKQFAGYIEVDENVDGRSLFYYFVEAEKDPLTQPLTIWLTGGPGCSSVGDAFGSVGPFIVTKDAHGLQTNSFSWNKGHFAPNLANALLDDNKQFEKSKFNLKGLV >KJB60931 pep chromosome:Graimondii2_0_v6:9:34362171:34362709:1 gene:B456_009G331500 transcript:KJB60931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPSVCFQRILPLQISFLTCNFQILATRSRESEHSLVFPNSHQISWVQDKTYTLPTVSQFHSSVMICTIVFEESKPRKFSFLSLSPSLAKVCASVFACHPICLKRHETKYFCSLFSSIM >KJB54074 pep chromosome:Graimondii2_0_v6:9:1523932:1525343:1 gene:B456_009G019800 transcript:KJB54074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKQFRILGKGAAIVFGGFMALNLAATVAIGAFRSVAERNRRKFALPCGVCKGKGFYLCKLCNGNATIKWSPLYDPIHINPCVCPTCDGNRVQRCLNCLGKGYS >KJB54076 pep chromosome:Graimondii2_0_v6:9:1523949:1525718:1 gene:B456_009G019800 transcript:KJB54076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKQFRILGKGAAIVFGGFMALNLAATVAIGAFRSVAERNRRKFALPCGVCKGKGFYLCKLCNGNATIKWSPLYDPIHINPCVCPTCDGNRVQRCLNCLGKGYS >KJB54072 pep chromosome:Graimondii2_0_v6:9:1523932:1525343:1 gene:B456_009G019800 transcript:KJB54072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKQFRILGKGAAIVFGGFMALNLAATVAIGAFRSVAERNRRKFALPCGVCKGKGFYLCKLCNGNATIKWSPLYDPIHINPCVCPTCDGNRVQRCLNCLGKGYS >KJB54073 pep chromosome:Graimondii2_0_v6:9:1523921:1525624:1 gene:B456_009G019800 transcript:KJB54073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKQFRILGKGAAIVFGGFMALNLAATVAIGAFRSVAERNRRKFALPCGVCKGKGFYLCKLCNGNATIKWSPLYDPIHINPCVCPTCDGNRVQRCLNCLGKGYS >KJB54075 pep chromosome:Graimondii2_0_v6:9:1523901:1525624:1 gene:B456_009G019800 transcript:KJB54075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKQFRILGKGAAIVFGGFMALNLAATVAIGAFRSVAERNRRKFALPCGVCKGKGFYLCKLCNGNATIKWSPLYDPIHINPCVCPTCDGNRVQRCLNCLGKGYS >KJB54077 pep chromosome:Graimondii2_0_v6:9:1523921:1525624:1 gene:B456_009G019800 transcript:KJB54077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKQFRILGKGAAIVFGGFMALNLAATVAIGAFRSVAERNRRKFALPCGVCKGKGFYLCKLCNGNATIKWSPLYDPIHINPCVCPTCDGNRVQRCLNCLGKGYS >KJB61533 pep chromosome:Graimondii2_0_v6:9:48734732:48734868:1 gene:B456_009G3649001 transcript:KJB61533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGTAGIVSIKSDVYSYGIVLIETFTKKKPTDNVFVEEETIRHWME >KJB57508 pep chromosome:Graimondii2_0_v6:9:12876148:12877697:-1 gene:B456_009G167500 transcript:KJB57508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDDVVAGPPPERGLGRLRKITTQPLSIKDEGERKKYQRSLSLPNSPVSGPTPVTPTTPTARKENVWRSVFHPGSNLATKSIGSDVFDKPQPNSPTVYDCNQTEAQRQSMKENLAICLVAAWK >KJB61769 pep chromosome:Graimondii2_0_v6:9:51469320:51470657:-1 gene:B456_009G379500 transcript:KJB61769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLSVLNLSFTMIKSLPNSISELKNLTTLLLRGCLGLRDLPCLSMLQELKKLDLCWTKIEEVPEGMDMLIKLRYIDLQVPTLKEIPAGLLPKLVHLQHLNFGYADISLKAGEVVPLEKLEYFNGIFKDINELNKFISSMQQSKKNLIKYHLQLGTADLYVGRDKRLTIGEVHNWEGELIMLPVDIQELHISDFHYLRCLGDSISWLTNAVDLTTCTIQFCERIECVVSLTSFTSSSTHPFQSLEVLFLGDLPKLSALIIKDEGFGSTTSTLAPSAAFSNLKEIILTACSSMKTLLPHWLLPNLQNMEKITVSHCDKLVEILGAQTSKVGEKGSDALMKFHLPKLRELNLWELPELKRICSKSGVMVCDSLQLIDVMHNCYKLKRIPPFVTLVGNGQPFAYAPPSLSIRSTTEWWEWLEWDDHPNFKNVLQPLWEDNWYEPFIVI >KJB60680 pep chromosome:Graimondii2_0_v6:9:30520110:30521871:1 gene:B456_009G319300 transcript:KJB60680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSLPSYLDPPNWQQRPPFLQQPGVITSENHHNSQLPPPAPPSAAGGAGGIRPGSMVERAKLAKIPHPASALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGRKRSKSPSVTSERQTGSSSSATTINSNGCTEMLSHVNPAAAPQLPPLAPLHHLGDYNSGDIEVTFGNETRIMSSNGVGLMLPDWRSAQQVQQFPFLTSLDHPQTGLYSFVNETMEPTGYAGQQQLLGSKPLESTITESSAVKMEETPSPWLNQSRNFLGNSGNDDQYWFGGGGNPWTDLSGFIKEK >KJB60681 pep chromosome:Graimondii2_0_v6:9:30520110:30521871:1 gene:B456_009G319300 transcript:KJB60681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSLPSYLDPPNWQQRPPFLQQPGVITSENHHNSQLPPPAPPSAAGGAGGIRPGSMVERAKLAKIPHPASALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGRKRSKSPSVTSERQTGSSSSATTINSNGCTEMLSHVNPAAAPQLPPLAPLHHLGDYNSGDIEVTFGNETRIMSSNGVGLMLPDWRSAQQVQQFPFLTSLDHPQTGLYSFVNETMEPTGYAGQQQLLGSKPLESTITESSAVKMEETPSPWLNQSRNFLGNSGNDDQYWFGGGGNPWTDLSGFTSSSITHFF >KJB61512 pep chromosome:Graimondii2_0_v6:9:48544467:48544901:-1 gene:B456_009G364000 transcript:KJB61512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIHVFLFLILGTLVSLAMCRTILESTIVDKHEQWMVDFSRKYERQLEKEKRLDIFKDNLEYIESFNNGGNRSFKLGLNEFADMTQDEFIATHTGYKMQNNPTWLESTSFMYENYLNAPKSFNWRDQNAVTPIKNQGKYGKSQ >KJB56987 pep chromosome:Graimondii2_0_v6:9:11007400:11010148:1 gene:B456_009G145200 transcript:KJB56987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIIHFSCFLTRPCSLPSPLFRRTQFPGNFGQSFEDVNGAVEIHHLLRERGKQSLLRCQMPEGKRVLERISSHYDELNALEGGFWLRVPVTAFLAANILIFTAPMKALAETCEADNSFFNMPLLLFVALIGATVGGLLARQRKGELQRVNEQLRQINAALRRQAKIESYAPSLSYAPIGGRISEKEVIVDPRKEELISRLKSGKNFLRNQELEKAFLEFKTALELAKSLKDPIEEKKAARGLGASLQRQGKYQEAIQYHSMVLAISDREGEDSGNTEAYGAIADCYTELGDLEKAGIFYDKYIARLETD >KJB56986 pep chromosome:Graimondii2_0_v6:9:11007314:11010159:1 gene:B456_009G145200 transcript:KJB56986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIIHFSCFLTRPCSLPSPLFRRTQFPVGNFGQSFEDVNGAVEIHHLLRERGKQSLLRCQMPEGKRVLERISSHYDELNALEGGFWLRVPVTAFLAANILIFTAPMKALAETCEADNSFFNMPLLLFVALIGATVGGLLARQRKGELQRVNEQLRQINAALRRQAKIESYAPSLSYAPIGGRISEKEVIVDPRKEELISRLKSGKNFLRNQELEKAFLEFKTALELAKSLKDPIEEKKAARGLGASLQRQGKYQEAIQYHSMVLAISDREGEDSGNTEAYGAIADCYTELGDLEKAGIFYDKYIARLETD >KJB56988 pep chromosome:Graimondii2_0_v6:9:11007426:11010131:1 gene:B456_009G145200 transcript:KJB56988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIIHFSCFLTRPCSLPSPLFRRTQFPGNFGQSFEDVNGAVEIHHLLRERGKQSLLRCQMPEGKRVLERISSHYDELNALELMMLGQGGFWLRVPVTAFLAANILIFTAPMKALAETCEADNSFFNMPLLLFVALIGATVGGLLARQRKGELQRVNEQLRQINAALRRQAKIESYAPSLSYAPIGGRISEKEVIVDPRKEELISRLKSGKNFLRNQELEKAFLEFKTALELAKSLKDPIEEKKAARGLGASLQRQGKYQEAIQYHSMVLAISDREGEDSGNTEAYGAIADCYTELGDLEKAGIFYDKYIARLETD >KJB56990 pep chromosome:Graimondii2_0_v6:9:11008110:11010131:1 gene:B456_009G145200 transcript:KJB56990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGQGGFWLRVPVTAFLAANILIFTAPMKALAETCEADNSFFNMPLLLFVALIGATVGGLLARQRKGELQRVNEQLRQINAALRRQAKIESYAPSLSYAPIGGRISEKEVIVDPRKEELISRLKSGKNFLRNQELEKAFLEFKTALELAKSLKDPIEEKKAARGLGASLQRQGKYQEAIQYHSMVLAISDREGEDSGNTEAYGAIADCYTELGDLEKAGIFYDKYIARLETD >KJB56989 pep chromosome:Graimondii2_0_v6:9:11007426:11010131:1 gene:B456_009G145200 transcript:KJB56989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGFWLRVPVTAFLAANILIFTAPMKALAETCEADNSFFNMPLLLFVALIGATVGGLLARQRKGELQRVNEQLRQINAALRRQAKIESYAPSLSYAPIGGRISEKEVIVDPRKEELISRLKSGKNFLRNQELEKAFLEFKTALELAKSLKDPIEEKKAARGLGASLQRQGKYQEAIQYHSMVLAISDREGEDSGNTEAYGAIADCYTELGDLEKAGIFYDKYIARLETD >KJB54214 pep chromosome:Graimondii2_0_v6:9:1978647:1980911:-1 gene:B456_009G025800 transcript:KJB54214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHITEMFSSPKKEDDDSGQGFRFDKSLQELRDLRSQLHYAADYCETTFLNSEEKKTVVENTKEYLCKAVVTFVDHLGNVSANLNHSISQTNSFSEAELRINCLHQRLLSCQQFAHNLALTRVKWNPILSRHNRRYLSAPTLAAVEKSNENSRATISAIPGKLVNKHELDTEGVPLFFFTCTDKPSLSKSPSLKSNCDESNSDSTLVPVRDGFSMLSKGSNPTFHFQEIKNMDAKACIENHCRVLISYLSFDGQEDQLLDKKPERENLCLSFRTACF >KJB54213 pep chromosome:Graimondii2_0_v6:9:1978695:1980907:-1 gene:B456_009G025800 transcript:KJB54213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHITEMFSSPKKEDDDSGQGFRFDKSLQELRDLRSQLHYAADYCETTFLNSEEKKTVVENTKEYLCKAVVTFVDHLGNVSANLNHSISQTNSFSEAELRINCLHQRLLSCQQFAHNLALTRVKWNPILSRHNRRYLSAPTLAAVEKSNENSRATISAIPGKLVNKHELDTEGVPLFFFTLPVRDGFSMLSKGSNPTFHFQQGNKKHGRKSLYRKSLPSADILSFIRRARRSAA >KJB54212 pep chromosome:Graimondii2_0_v6:9:1979305:1980667:-1 gene:B456_009G025800 transcript:KJB54212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHITEMFSSPKKEDDDSGQGFRFDKSLQELRDLRSQLHYAADYCETTFLNSEEKKTVVENTKEYLCKAVVTFVDHLGNVSANLNHSISQTNSFSEAELRINCLHQRLLSCQQFAHNLALTRVKWNPILSRHNRRYLSAPTLAAVEKSNENSRATISAIPGKLVNKHELDTEGVPLFFFTCTDKPSLSKSPSLKSNCDESNSDSTLGKKIKTKNKKQKQKKGHIFVNQKCLYIFFL >KJB54211 pep chromosome:Graimondii2_0_v6:9:1979000:1980667:-1 gene:B456_009G025800 transcript:KJB54211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHITEMFSSPKKEDDDSGQGFRFDKSLQELRDLRSQLHYAADYCETTFLNSEEKKTVVENTKEYLCKAVVTFVDHLGNVSANLNHSISQTNSFSEAELRINCLHQRLLSCQQFAHNLALTRVKWNPILSRHNRRYLSAPTLAAVEKSNENSRATISAIPGKLVNKHELDTEGVPLFFFTCTDKPSLSKSPSLKSNCDESNSDSTLVPVRDGFSMLSKGSNPTFHFQQGNKKHGRKSLYRKSLPSADILSFIRRARRSAA >KJB55860 pep chromosome:Graimondii2_0_v6:9:7209204:7213164:-1 gene:B456_009G099800 transcript:KJB55860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCGASQENVLFSAVQGGDLETVEALLRRESNLLHHTTVYDRHSALHIAAAYGQIEILAMLLEKSVNPDVVNRQKQTPLMLAAMHGNISCVKKLIEAGANILMFDSIHGRTCLHYAAYYGHSDCLQAILSAAQSSPVAVSWGYARFVNIRDAKGATSLHLAARQRRPDCVHILLDNGALVCASTGGYGCPGSTPLHLAARGGSLDCIRKLLAWGADRLQRDASGRIPYVVALKHKHGACAALLNPSSAEPIVWPAPLKFISELNEEAKTLLEQALMDANREREKNILKGTAYSIPSPSQSDSGLDDNISEASDTELCCICFEQICTIEVQDCGHQMCAQCTLALCCHNKPNPTTASLTPPACPFCRSPIVRLVVAKIKNHDDVDHDIGDVSSSKLRKTRKSRNFSEGSSSFKSLSAVGSFSKISGRGSGRIAAENEWIDKP >KJB55862 pep chromosome:Graimondii2_0_v6:9:7209935:7213203:-1 gene:B456_009G099800 transcript:KJB55862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCGASQENVLFSAVQGGDLETVEALLRRESNLLHHTTVYDRHSALHIAAAYGQIETPLMLAAMHGNISCVKKLIEAGANILMFDSIHGRTCLHYAAYYGHSDCLQAILSAAQSSPVAVSWGYARFVNIRDAKGATSLHLAARQRRPDCVHILLDNGALVCASTGGYGCPGSTPLHLAARGGSLDCIRKLLAWGADRLQRDASGRIPYVVALKHKHGACAALLNPSSAEPIVWPAPLKFISELNEEAKTLLEQALMDANREREKNILKGTAYSIPSPSQSDSGLDDNISEASDTELCCICFEQICTIEVQDCGHQMCAQCTLALCCHNKPNPTTASLTPPACPFCRSPIVRLVVAKIKNHDDVDHDIGDVSSSKLRKTRKSRNFSEGSSSFKSLSAVGSFSKISGRGSGRIAAENEWIDKP >KJB55859 pep chromosome:Graimondii2_0_v6:9:7209929:7213232:-1 gene:B456_009G099800 transcript:KJB55859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCGASQENVLFSAVQGGDLETVEALLRRESNLLHHTTVYDRHSALHIAAAYGQIEILAMLLEKSVNPDVVNRQKQTPLMLAAMHGNISCVKKLIEAGANILMFDSIHGRTCLHYAAYYGHSDCLQAILSAAQSSPVAVSWGYARFVNIRDAKGATSLHLAARQRRPDCVHILLDNGALVCASTGGYGCPGSTPLHLAARGGSLDCIRKLLAWGADRLQRDASGRIPYVVALKHKHGACAALLNPSSAEPIVWPAPLKFISELNEEAKTLLEQALMDANREREKNILKGTAYSIPSPSQSDSGLDDNISEASDTELCCICFEQICTIEVQDCGHQMCAQCTLALCCHNKPNPTTASLTPPACPFCRSPIVRLVVAKIKNHDDVDHDIGDVSSSKLRKTRKSRNFSEGSSSFKSLSAVGSFSKISGRGSGRIAAENEWIDKP >KJB55863 pep chromosome:Graimondii2_0_v6:9:7209937:7213164:-1 gene:B456_009G099800 transcript:KJB55863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCGASQENVLFSAVQGGDLETVEALLRRESNLLHHTTVYDRHSALHIAAAYGQIEILAMLLEKSVNPDVVNRQKQTPLMLAAMHGNISCVKKLIEAGANILMFDSIHGRTCLHYAAYYGHSDCLQAILSAAQSSPVAVSWGYARFVNIRDAKGATSLHLAARQRRPDCVHILLDNGALVCASTGGYGCPGSTPLHLAARGGSLDCIRKLLAWGADRLQRDASGRIPYVVALKHKHGACAALLNPSSAEPIVWPAPLKFISELNEEAKTLLEQALMDANREREKNILKGTAYSIPSPSQSDSGLDDNISEVNYL >KJB55861 pep chromosome:Graimondii2_0_v6:9:7210280:7212777:-1 gene:B456_009G099800 transcript:KJB55861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRWVILAMLLEKSVNPDVVNRQKQTPLMLAAMHGNISCVKKLIEAGANILMFDSIHGRTCLHYAAYYGHSDCLQAILSAAQSSPVAVSWGYARFVNIRDAKGATSLHLAARQRRPDCVHILLDNGALVCASTGGYGCPGSTPLHLAARGGSLDCIRKLLAWGADRLQRDASGRIPYVVALKHKHGACAALLNPSSAEPIVWPAPLKFISELNEEAKTLLEQALMDANREREKNILKGTAYSIPSPSQSDSGLDDNISEASDTELCCICFEQICTIEVQDCGHQMCAQCTLALCCHNKPNPTTASLTPPACPFCRSPIVRLVVAKIKNHDDVDHDIGDVSSSKLRKTRKSRNFSEGSSSFKSLSAVGSFSKISGRGSGRIAAENEWIDKP >KJB59473 pep chromosome:Graimondii2_0_v6:9:21248059:21256080:-1 gene:B456_009G258000 transcript:KJB59473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g20090 [Source:Projected from Arabidopsis thaliana (AT4G20090) UniProtKB/Swiss-Prot;Acc:O49436] MARLSVINTICRGLSSFPGKVSRGQGPSQYPPLSDQLFNSTPQSGSFRLGDSTFYSLIRHYAHTADFASLDNVLCRMKLQNRVFIEKCFLVIFKAYGRAHLPDKAVDLFHRMSLDFHCIPTVKSFNSVLNVIIREGFYHRALDFYNRSVNAKNTNISPNVLTFNLLLKALCKLGWVDRAVEVFREMPLRKCPPDVYTYCTLMDGLCKEDRIDEAVSLLDEMQTEGCFPSPVIFNVLINGLCKKGDLVRAAKLVDNMFLKGCCPNQVTYNTLIHGLCMKGKLDKAVSLLDRMVSSNCIPNDVTYGTIINGLVKLGRAEDAFKLMTTVQERGYGVNEYVYSALISGLFKGGKSEDARKLWTQMMEKGCKPNTVVYSAFIDGLCREGKPNEAEEVLSEMMDKGCTPNAYTYSSLMKGFFKAGNSYKAVQLWKDMAEHECIHNKVYYSILIHGLCEVGNLSKAMMVWREMLEKGCKPDAVAYSSVIQGLCNAGSIEEALKLFHEMLCQETESQPDAVTYNILFNALCNQNSISHAIDLLNGMLDRACDPDIATCNIFLRTLREKLDPPQDGREFLDELVIRLLNRQRVFGASRIVQVMLQNFLPPKASTWERVVQELCKPQKVQAAIAKCWRNLDS >KJB61925 pep chromosome:Graimondii2_0_v6:9:53590815:53592095:1 gene:B456_009G391900 transcript:KJB61925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGHGVRSRTRDLFARPFRKKGYIPLSTYLTTYKIGGYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVGNRIIRKRIHVRVEHVQPSRCVEEFKLRKVKNDQLKAEAKAKGEVISTKRQPKGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >KJB55107 pep chromosome:Graimondii2_0_v6:9:4536811:4540697:-1 gene:B456_009G063600 transcript:KJB55107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLSTPKTEKFSEDGENDRVRYGLSSMQGWRAAMEDAHAAYPDLDESTSFFGVYDGHGGKVVAKFCAKYLHQQVLKHEPYATGDIGASVHRAFFRMDEMMRGQRGWRELAILGDKIDKFTGMIEGLIWSPKGGEGIDQDDDWAIEEGPHSDFSGPTSGSTACVAILRNNQLVVANAGDSRCVISRKGQAYNLSRDHKPDLEAEKERILKAGGFIHAGRVNGSLNLSRAIGDMEFKQNKLLPAEKQTVSANPDINTVELCDDDDFLVLACDGIWRPSFLLFVREYLTDA >KJB55105 pep chromosome:Graimondii2_0_v6:9:4537052:4540689:-1 gene:B456_009G063600 transcript:KJB55105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLSTPKTEKFSEDGENDRVRYGLSSMQGWRAAMEDAHAAYPDLDESTSFFGVYDGHGGKVVAKFCAKYLHQQVLKHEPYATGDIGASVHRAFFRMDEMMRGQRGWRELAILGDKIDKFTGMIEGLIWSPKGGEGIDQDDDWAIEEGPHSDFSGPTSGSTACVAILRNNQLVVANAGDSRCVISRKGQAYNLSRDHKPDLEAEKERILKAGGFIHAGRVNGSLNLSRAIGDMEFKQNKLLPAEKQTVSANPDINTVELCDDDDFLVLACDGIWDCMSSQMLVDFIHEQLRSETKLSVICERVLDRCLAPSTATGEGCDNMTMILVQFKKSIKSASPEELQSSHSKSVDTESTPEVGQEKAVAAVGGATKSSI >KJB55106 pep chromosome:Graimondii2_0_v6:9:4536688:4541964:-1 gene:B456_009G063600 transcript:KJB55106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLSTPKTEKFSEDGENDRVRYGLSSMQGWRAAMEDAHAAYPDLDESTSFFGVYDGHGGKVVAKFCAKYLHQQVLKHEPYATGDIGASVHRAFFRMDEMMRGQRGWRELAILGDKIDKFTGMIEGLIWSPKGGEGIDQDDDWAIEEGPHSDFSGPTSGSTACVAILRNNQLVVANAGDSRCVISRKGQAYNLSRDHKPDLEAEKERILKAGGFIHAGRVNGSLNLSRAIGDMEFKQNKLLPAEKQTVSANPDINTVELCDDDDFLVLACDGIWDCMSSQMLVDFIHEQLRSETKLSVICERVLDRCLAPSTATGEGCDNMTMILVQFKKSIKSASPEELQSSHSKSVDTESTPEVGQEKAVAAVGGATKSSI >KJB55108 pep chromosome:Graimondii2_0_v6:9:4537052:4540689:-1 gene:B456_009G063600 transcript:KJB55108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLSTPKTEKFSEDGENDRVRYGLSSMQGWRAAMEDAHAAYPDLDESTSFFGVYDGHGGKVVAKFCAKYLHQQVLKHEPYATGDIGASVHRAFFRMDEMMRGQRGWRELAILGDKIDKFTGMIEGLIWSPKGGEGIDQDDDWAIEEGPHSDFSGPTSGSTACVAILRNNQLVVANAGDSRCVISRKGQAYNLSRDHKPDLEAEKERILKAGGFIHAGRVNGSLNLSRAIGDMEFKQNKLLPAEKQTVSANPDINTVELCDDDDFLVLACDGIWDCMSSQMLVDFIHEQLRSSELLHAMQETKLSVICERVLDRCLAPSTATGEGCDNMTMILVQFKKSIKSASPEELQSSHSKSVDTESTPEVGQEKAVAAVGGATKSSI >KJB55109 pep chromosome:Graimondii2_0_v6:9:4537384:4540697:-1 gene:B456_009G063600 transcript:KJB55109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLSTPKTEKFSEDGENDRVRYGLSSMQGWRAAMEDAHAAYPDLDESTSFFGVYDGHGGKVVAKFCAKYLHQQVLKHEPYATGDIGASVHRAFFRMDEMMRGQRGWRELAILGDKIDKFTGMIEGLIWSPKGGEGIDQDDDWAIEEGPHSDFSGPTSGSTACVAILRNNQLVVANAGDSRCVISRKGQAYNLSRDHKPDLEAEKERILKAGGFIHAGRVNGSLNLSRAIGDMEFKQNKLLPAEKQTVSANPDINTVELCDDDDFLVLACDGIWYIYLYLMPFSFRL >KJB59847 pep chromosome:Graimondii2_0_v6:9:23121917:23124202:-1 gene:B456_009G275900 transcript:KJB59847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHHINLLFICLYFSLSFIFTKQVQADNYIIHMDHSAMPKAFAGRQSWYLATLSSLSANLRANTNSTIPTAELLYSYNHALKNSRGYVSSIRDKTVKLDTTYSFKFLRLSSDAGLWPASGFGKDVIIGLIGTGVWPESESFNDDGMNDVPLRWKGKCESGTQFNSSLCNKKLIGARSFNKGLIANNPSITISMNSPRDTAGHGTHTSSTAAGTYVGDASYFGYAQGTARGMAPGARVAMYKALWDEGSYTTDVIAAIDQAINDGVDVLSLSFGLDELELYEDPIAIATFAAIEKNIFVSTSAGNEGPDLETLHNGTPWVLTVAAGTMDRFFGASLSLGTDGYVRGAALYPGNFSSIQYPIVFMDKCDETKELKKLVGMIIVCQDPGKEDSLNDQFSSIQVAGNVAGVFITNSTLLDIFTQSPFPAIFLEQKDGDIVVDYIKTNKNPKASIEFKTTFLGSKPSPTVTSYTSRGPSYSAPLVLKPDIMAPGDSILAAWPLNIGVARLNDDMLFSSFNLLSGTSMACPHVAGIAALLKGAHPDWSPTAIRSALMTTSDPIDNAGNPIEDIGTNLQPATPLAMGAGHINPNKALDPGIVYDATVQDYIDFLCGLNFTPQQIKTITGSSNNCSNPSLDLNYPSFIAYFNDRNVKPDSNTVKEFQRTVTNVGEGSFIYKASLTAMKGVKVSVEPDTLVFKEINEKKSFKLSIEGPRQLDKTVSFGYLIWEDSQRKHVVRSPIVATSYKIDK >KJB57736 pep chromosome:Graimondii2_0_v6:9:13780298:13787262:-1 gene:B456_009G178500 transcript:KJB57736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDKRKASTLASLSSNEADKSPKGSLDTPIIPLINALNNHLSYFTTSSCSGRISILSQPKPNPSSNNPTKKKARGGTWLFITHDTADSDSVISILFSDSTKLIQDSELVFRFEPLIIAVECRDLSSAQSLVSLAIACGFRESGITSVSKRLIVGIRCSIRLEVPLGDTQKIMVSEDYLKFLVGVANEKMEANWKRTEGFLRAFRKSQDGTLENATGSKCSVSGGDCNEGQDGLERSFVDAQNIVPSEKASFSRESLVETEGIPGCSLSISQMVIAGEPIERLFLWGHSTCTLESTNKAEVLIFGGFGGSGRHARRHDSFLLDPLHGTLREINVAGRPSPRLGHTASLIGDCMYVIGGRADPTNILSEVWILNTLKNEWKLLDCIGSAFPPRHRHAAAAVGSKIYVFGGLNNDAISSSLHVLDTNTLQWEELIAHGEQPCARHSHSMVAYGSKLFMFGGYYGEALGDLYSFDTLTCSWKVEKVGGRSPYARFSHSMFVYKYFIGIIGGCPVTQHCQELTLLDMRSLVWKHVTLSSMDKALFVRSTANVVRDDLIMVGGGAACYAFGTKFSEPMKINLFPLLSLDDHDSSRKLGENEVIKEEEGMMENGNVLLLAPHVGTGSPQHPEMQSLNVENQTGQIDASSWVVKLEKKHAKLGKDILKKFGWLDLERKVYAQEDGLFISFPVTEKFCAIFSEDRFEVLSDHHPSKPFRAESLLLNDMSSSVALDILKEYGATKLPDELVQKRKACKSPLKIMTEAVASLIRQKGLSDKLLEQLPNRWERIGDIVVLPISSFRDPIWNSIGEELWPIIAESLNTCRLARQGRVAANGTRDSTLEILVGDNGWVDHRENGILYSFDATKCMFSWGNLSEKIRMASSDCTDAVIVDLFAGIGYFLLPFLVRAKAKLVYACEWNPHAIEALKRNLEANSVSDRCIVLEGDNQITAPKGVADRVCLGLLPSSEGSWLTAVRALRSNGGILHVHGNVKDTEVELWANHVSKSISEIARSEGHRWEVTIDHVEKVKWYAPHIRHLVADVKCRRI >KJB57738 pep chromosome:Graimondii2_0_v6:9:13780852:13787235:-1 gene:B456_009G178500 transcript:KJB57738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAYGSKLFMFGGYYGEALGDLYSFDTLTCSWKVEKVGGRSPYARFSHSMFVYKYFIGIIGGCPVTQHCQELTLLDMRSLVWKHVTLSSMDKALFVRSTANVVRDDLIMVGGGAACYAFGTKFSEPMKINLFPLLSLDDHDSSRKLGENEVIKEEEGMMENGNVLLLAPHVGTGSPQHPEMQSLNVENQTGQIDASSWVVKLEKKHAKLGKDILKKFGWLDLERKVYAQEDGLFISFPVTEKFCAIFSEDRFEVLSDHHPSKPFRAESLLLNDMSSSVALDILKEYGATKLPDELVQKRKACKSPLKIMTEAVASLIRQKGLSDKLLEQLPNRWERIGDIVVLPISSFRDPIWNSIGEELWPIIAESLNTCRLARQGRVAANGTRDSTLEILVGDNGWVDHRENGILYSFDATKCMFSWGNLSEKIRMASSDCTDAVIVDLFAGIGYFLLPFLVRAKAKLVYACEWNPHAIEALKRNLEANSVSDRCIVLEGDNQITAPKGVADRVCLGLLPSSEGSWLTAVRALRSNGGILHVHGNVKDTEVELWANHVSKSISEIARSEGHRWEVTIDHVEKVKWYAPHIRHLVADVKCRRI >KJB57737 pep chromosome:Graimondii2_0_v6:9:13780852:13787235:-1 gene:B456_009G178500 transcript:KJB57737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLNIVPSEKASFSRESLVETEGIPGCSLSISQMVIAGEPIERLFLWGHSTCTLESTNKAEVLIFGGFGGSGRHARRHDSFLLDPLHGTLREINVAGRPSPRLGHTASLIGDCMYVIGGRADPTNILSEVWILNTLKNEWKLLDCIGSAFPPRHRHAAAAVGSKIYVFGGLNNDAISSSLHVLDTNTLQWEELIAHGEQPCARHSHSMVAYGSKLFMFGGYYGEALGDLYSFDTLTCSWKVEKVGGRSPYARFSHSMFVYKYFIGIIGGCPVTQHCQELTLLDMRSLVWKHVTLSSMDKALFVRSTANVVRDDLIMVGGGAACYAFGTKFSEPMKINLFPLLSLDDHDSSRKLGENEVIKEEEGMMENGNVLLLAPHVGTGSPQHPEMQSLNVENQTGQIDASSWVVKLEKKHAKLGKDILKKFGWLDLERKVYAQEDGLFISFPVTEKFCAIFSEDRFEVLSDHHPSKPFRAESLLLNDMSSSVALDILKEYGATKLPDELVQKRKACKSPLKIMTEAVASLIRQKGLSDKLLEQLPNRWERIGDIVVLPISSFRDPIWNSIGEELWPIIAESLNTCRLARQGRVAANGTRDSTLEILVGDNGWVDHRENGILYSFDATKCMFSWGNLSEKIRMASSDCTDAVIVDLFAGIGYFLLPFLVRAKAKLVYACEWNPHAIEALKRNLEANSVSDRCIVLEGDNQITAPKGVADRVCLGLLPSSEGSWLTAVRALRSNGGILHVHGNVKDTEVELWANHVSKSISEIARSEGHRWEVTIDHVEKVKWYAPHIRHLVADVKCRRI >KJB61972 pep chromosome:Graimondii2_0_v6:9:54603953:54604466:1 gene:B456_009G3952001 transcript:KJB61972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSTVDIVEAQSKYGFWGWNLWRQPIGFIVFLISSLAECERLPFDLPEAEEELAAWYQTEYSGIKFGLFYVASYLNLLLSSLSVTVFYLGGWNISIPLRMDQLLNLGWKFVLPISLGNLLLTTSF >KJB57213 pep chromosome:Graimondii2_0_v6:9:11754631:11761100:1 gene:B456_009G153900 transcript:KJB57213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDEIQTQQGSKFSRVGNGRSESSRMGQKGSDNYYPDDEEGREVMKRASANGGGGDALADTAAANRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAIEWLIKAAADAIAELPSLNTSFPDTPRQLSDDGTEQGFDSAEVELDGDPNNYQENQSQQHLSLSKSACSSTSEISKNSGLSLSRSENRVKARERARGRVAKEKGKEQKTDIAHQQNVNPISHNSSFTELLTCGIGSVSNNHTSPSPTASARQNPRQWPVTQMDYFTMGLLGPSSSRNQSSGFPGQMQQPQPILIPPFTVSGENNQKLQHFSFVPNTDHMIPVATAQPVLGSDYNLNFAISSGIAGFNRGTLQSNSPPFLPHHLQRFSSIEGSPPVENHHHH >KJB57215 pep chromosome:Graimondii2_0_v6:9:11755059:11761045:1 gene:B456_009G153900 transcript:KJB57215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDEIQTQQGSKFSRVGNGRSESSRMGQKGSDNYYPDDEEGREVMKRASANGGGGDALADTAAANRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAIEWLIKAAADAIAELPSLNTSFPDTPRQWPVTQMDYFTMGLLGPSSSRNQSSGFPGQMQQPQPILIPPFTVSGENNQKLQHFSFVPNTDHMIPVATAQPVLGSDYNLNFAISSGIAGFNRGTLQSNSPPFLPHHLQRFSSIEGSPPVENHHHH >KJB57214 pep chromosome:Graimondii2_0_v6:9:11754631:11761071:1 gene:B456_009G153900 transcript:KJB57214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDEIQTQQGSKFSRVGNGRSESSRMGQKGSDNYYPDDEEGREVMKRASANGGGGDALADTAAANRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAIEWLIKAAADAIAELPSLNTSFPDTPRQLSDDGTEQGFDSAEVELDGDPNNYQENQSQQHLSLSKSACSSTSEISKNSGLSLSRSENRVKARERARGRVAKEKGKEQKTDIAHQQNVNPISHNSSFTELLTCGIGSVSNNHTSPSPTASARQNPRQWPVTQMDYFTMGLLGPSSSRNQSSGFPGQMQQPQPILIPPFTVSGENNQKLQHFSFVPNTDHMIPVATAQPVLGSDYNLNFAISSGIAGFNRGTLQSNSPPFLPHHLQRFSSIEGSPPVENHHHH >KJB57212 pep chromosome:Graimondii2_0_v6:9:11754631:11761100:1 gene:B456_009G153900 transcript:KJB57212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDEIQTQQGSKFSRVGNGRSESSRMGQKGSDNYYPDDEEGREVMKRASANGGGGDALADTAAANRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAIEWLIKAAADAIAELPSLNTSFPDTPRQLSDDGTEQGFDSAEVELDGDPNNYQENQSQQHLSLSKSACSSTSEISKNSGLSLSRSENRVKARERARGRVAKEKGKEQKTDIAHQQNVNPISHNSSFTELLTCGIGSVSNNHTSPSPTASARQNPRQWPVTQMDYFTMGLLGPSSSRNQSSGFPGQMQQPQPILIPPFTVSGENNQKLQHFSFVPNTDHMIPVATAQPVLGSDYNLNFAISSGIAGFNRGTLQSNSPPFLPHHLQRFSSIEGSPPVENHHHH >KJB61759 pep chromosome:Graimondii2_0_v6:9:51722250:51723441:-1 gene:B456_009G3808002 transcript:KJB61759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKENSKRQKEEHYIARKSKDKKESVADSMAKPTQFAARPNLSPYASPVIPMDYDDGIPYRGFGQWG >KJB58151 pep chromosome:Graimondii2_0_v6:9:15108108:15113242:-1 gene:B456_009G196200 transcript:KJB58151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTSCFKIITCGGDFAENNDVIHVPEPEKSNDKKGWSFRKRSERHRVLSNTVIQEATSGHKESPESSGFNFQQPDASIIPEETSTIEYSEEKPQALTPKEHIEEESKCVASKGYTEEKSQLLIPEDSKVPEPVATATNEAEDDANLGESVVVIIQTAIRGFLAHKELGKLKNLVKLQAAVRGHLVRRHALGTLRCIQAIVKMQVLVRARLSQEGPYDEKKQDGKHCGENQSLERSAIKQNATYTSIENLLSNRFARKLMDSTQKTKPIRIKCDPSKPNSAWSWLERWMSVSLSKRPSTTELSIEQPEREKSDNCDFQVTTTVPESFPESNEPKLYVRETLVSSESEENLIAHDAANSKFEACQTTSSTVMDDLEQPQIDNSTSDIKEGEENLITYYATDFKFEACQPTSSLMDHLEKPQIDNSTSDIKEGEENLITYDAADFKFEACQPTSSSLMDDLEQPPIDNSASDLKQTSQETNSQDQMMQREAHSETEVSCLSSKPEIESEQPKRTTKRFASEQLETEAKKFVFKSRKGSNPAFIAAQTKFEELSSTAYSSRSVDSSHQDVGVESNLDTVSSGADTSRSKEPSITENAVLNNRRGQYGDSECGTAVSITSTLDSPDKSEVGTIEYEHGAKVSELENCSSNSINDLDVKENHASAITMPDSSLSIAEQPKKLDDAKGESVSLIVADSPQIGQEPLEGTSDLQRELDSETGNQAYGLSPEASPGSQMIVPASQGTPSSEVSVKAKKKRTDKSSQKRKSLSADKSSPSTPAHDSAARTSTEQLPKDQKNGKIRNSLGSKRPENIDDEPRDSDNSTSLPHFMQATESARAKVNAKNSPRSSPDVQDRDIYIKKRHPLPAANGRQGSPRITRSVAKAQQGAKENGSTNPIHEKWQR >KJB58152 pep chromosome:Graimondii2_0_v6:9:15108108:15113298:-1 gene:B456_009G196200 transcript:KJB58152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTSCFKIITCGGDFAENNDVIHVPEPEIKKSNDKKGWSFRKRSERHRVLSNTVIQEATSGHKESPESSGFNFQQPDASIIPEETSTIEYSEEKPQALTPKEHIEEESKCVASKGYTEEKSQLLIPEDSKVPEPVATATNEAEDDANLGESVVVIIQTAIRGFLAHKELGKLKNLVKLQAAVRGHLVRRHALGTLRCIQAIVKMQVLVRARLSQEGPYDEKKQDGKHCGENQSLERSAIKQNATYTSIENLLSNRFARKLMDSTQKTKPIRIKCDPSKPNSAWSWLERWMSVSLSKRPSTTELSIEQPEREKSDNCDFQVTTTVPESFPESNEPKLYVRETLVSSESEENLIAHDAANSKFEACQTTSSTVMDDLEQPQIDNSTSDIKEGEENLITYYATDFKFEACQPTSSLMDHLEKPQIDNSTSDIKEGEENLITYDAADFKFEACQPTSSSLMDDLEQPPIDNSASDLKQTSQETNSQDQMMQREAHSETEVSCLSSKPEIESEQPKRTTKRFASEQLETEAKKFVFKSRKGSNPAFIAAQTKFEELSSTAYSSRSVDSSHQDVGVESNLDTVSSGADTSRSKEPSITENAVLNNRRGQYGDSECGTAVSITSTLDSPDKSEVGTIEYEHGAKVSELENCSSNSINDLDVKENHASAITMPDSSLSIAEQPKKLDDAKGESVSLIVADSPQIGQEPLEGTSDLQRELDSETGNQAYGLSPEASPGSQMIVPASQGTPSSEVSVKAKKKRTDKSSQKRKSLSADKSSPSTPAHDSAARTSTEQLPKDQKNGKIRNSLGSKRPENIDDEPRDSDNSTSLPHFMQATESARAKVNAKNSPRSSPDVQDRDIYIKKRHPLPAANGRQGSPRITRSVAKAQQGAKENGSTNPIHEKWQR >KJB58150 pep chromosome:Graimondii2_0_v6:9:15108108:15112872:-1 gene:B456_009G196200 transcript:KJB58150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTSCFKIITCGGDFAENNDVIHVPEPEIKKSNDKKGWSFRKRSERHRVLSNTVIQEATSGHKESPESSGFNFQQPDASIIPEETSTIEYSEEKPQALTPKEHIEEESKCVASKGYTEEKSQLLIPEDSKVPEPVATATNEAEDDANLGESVVVIIQTAIRGFLAHKELGKLKNLVKLQAAVRGHLVRRHALGTLRCIQAIVKMQVLVRARLSQEGPYDEKKQDGKHCGENQSLQERSAIKQNATYTSIENLLSNRFARKLMDSTQKTKPIRIKCDPSKPNSAWSWLERWMSVSLSKRPSTTELSIEQPEREKSDNCDFQVTTTVPESFPESNEPKLYVRETLVSSESEENLIAHDAANSKFEACQTTSSTVMDDLEQPQIDNSTSDIKEGEENLITYYATDFKFEACQPTSSLMDHLEKPQIDNSTSDIKEGEENLITYDAADFKFEACQPTSSSLMDDLEQPPIDNSASDLKQTSQETNSQDQMMQREAHSETEVSCLSSKPEIESEQPKRTTKRFASEQLETEAKKFVFKSRKGSNPAFIAAQTKFEELSSTAYSSRSVDSSHQDVGVESNLDTVSSGADTSRSKEPSITENAVLNNRRGQYGDSECGTAVSITSTLDSPDKSEVGTIEYEHGAKVSELENCSSNSINDLDVKENHASAITMPDSSLSIAEQPKKLDDAKGESVSLIVADSPQIGQEPLEGTSDLQRELDSETGNQAYGLSPEASPGSQMIVPASQGTPSSEVSVKAKKKRTDKSSQKRKSLSADKSSPSTPAHDSAARTSTEQLPKDQKNGKIRNSLGSKRPENIDDEPRDSDNSTSLPHFMQATESARAKVNAKNSPRSSPDVQDRDIYIKKRHPLPAANGRQGSPRITRSVAKAQQGAKENGSTNPIHEKWQR >KJB55447 pep chromosome:Graimondii2_0_v6:9:5532498:5534861:-1 gene:B456_009G076800 transcript:KJB55447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVKPCNYSNLTKILLSLCSKSKSFLQTKQTHAFAILHGLLPTDISISASLILRYSAFSSPSTCHLLFQQALPYSRTPFLWNTFIRALSIVRVKHDGFQFHVYNTMLRTGIKPDVHTFPFLLKACADVFCFKKGVEIHGSVIKTGFGADVSVGNTLLLFYGNCGGLRETRKVFDEMRERDVVSWNTVLGVFSVNGFYLEALNFFSLMNFSSGMKPNMVTFVTLLPVCGRIGDKRLVAQIHGSVVKVGFNFEVSIGNALVDAYGKCWNSDDSKRVFDEMVDKNGVSWNAIITSLAYMGLNRDALDMFRLMMDVGLKPDSFTISSMIALLVELEFFNLAKEIHGFSLRFGIEHDVFISNTLIDMYAKSGHPSAASNVFHHMNVRRNVVSWNAMVANFAQNRLELAAIELLREMQAHGEVPDSITLTNVLPACGQVGFLRNGKEIHGRTIRLGSNHDLFVSNALTDMYAKCGYLNLAQNVFNNSVKDEISYNILIVGYSQTSEWTKSVGLFSEMGLIGLKHDVVSFMGVVSACANQAAFKQGKEIHGLAVRKHFHTHLFVANSLLDFYTTCGEIDTARKLFDQIQHKDVASWNTMILGYGMLGELNLAISFFEALKEAGIEYDSVSYIAILSACSHGGLLDEGRKYFEAMKAQKFKPTEMHYACMVDLLGRAGLLEEAEQLIKSLPITPDANIWGALLGACRIFGNVGLGCWAAENLFKLKPQHAGYYAVLSNMFAEAGKWDEANRVKEMMKLRGARKKPGCSWVHIQDQVHAFVVGERMERLNPTLWLA >KJB62722 pep chromosome:Graimondii2_0_v6:9:67870836:67880343:1 gene:B456_009G432500 transcript:KJB62722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPTISPQSLLSLTKPRKPISRHLSASSLTTSKLPEGLAFSGTKQKNKRANSVVVVKSLAEELDVIPVQSEDVTDMQEGVAVSQVPRESPGGELVTQVGGFSNEGMLSFEGVSSSGSPSGNGFGDGQGSQEELDRLIDRTINATIVLAAGTYAITKLLTIDQNYWQGWTIYEIVRYAPQHNWSAYEEALRTNPVLAKMVISGIVYSIGDWIAQCFEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTAWAAVWNSIYFVVLGFLRLESPISIFNEWKATFLPMLTAGWKLWPFAHLITYGFIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEAAPAEASSILPPVGPPELE >KJB62725 pep chromosome:Graimondii2_0_v6:9:67870836:67874169:1 gene:B456_009G432500 transcript:KJB62725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPTISPQSLLSLTKPRKPISRHLSASSLTTSKLPEGLAFSGTKQKNKRANSVVVVKSLAEELDVIPVQSEDVTDMQEGVAVSQVPRESPGGELVTQVGGFSNEGMLSFEGVSSSGSPSGNGFGDGQGSQEELDRLIDRTINATIVLAAGTYAITKLLTIDQNYWQGWTIYEIVRYAPQHNWSAYEEALRTNPVLAKMVISGIVYSIGDWIAQCFEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTAWAAVWNSIYFVVLGFLRLESPISIFNEWKATFLPMLTAGWKLWPFAHLITYGFIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEAAPAEASSILPPVGPPE >KJB62723 pep chromosome:Graimondii2_0_v6:9:67870836:67874169:1 gene:B456_009G432500 transcript:KJB62723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPTISPQSLLSLTKPRKPISRHLSASSLTTSKLPEGLAFSGTKQKNKRANSVVVVKSLAEELDVIPVQSEDVTDMQEGVAVSQVPRESPGGELVTQVGGFSNEGMLSFEGVSSSGSPSGNGFGDGQGSQEELDRLIDRTINATIVLAAGTYAITKLLTIDQNYWQGWTIYEIVRYAPQHNWSAYEEALRTNPVLAKMVISGIVYSIGDWIAQCFEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTAWAAVWNSIYFVVLGFLRLESPISIFNEWKATFLPMLTTWQWN >KJB62726 pep chromosome:Graimondii2_0_v6:9:67870836:67874169:1 gene:B456_009G432500 transcript:KJB62726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPTISPQSLLSLTKPRKPISRHLSASSLTTSKLPEGLAFSGTKQKNKRANSVVVVKSLAEELDVIPVQSEDVTDMQEGVAVSQVPRESPGGELVTQVGGFSNEGMLSFEGVSSSGSPSGNGFGDGQGSQEELDRLIDRTINATIVLAAGTYAITKLLTIDQNYWQGWTIYEIVRYAPQHNWSAYEEALRTNPVLAKMVISGIVYSIGDWIAQCFEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTAWAAVWNSIYFVVLGFLRLESPISIFNEWKATFLPMLTAGWKLWPFAHLITYGFIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEAAPAEASSILPPVGPPEVR >KJB62718 pep chromosome:Graimondii2_0_v6:9:67870767:67874212:1 gene:B456_009G432500 transcript:KJB62718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPTISPQSLLSLTKPRKPISRHLSASSLTTSKLPEGLAFSGTKQKNKRANSVVVVKSLAEELDVIPVQSEDVTDMQEGVAVSQVPRESPGGELVTQVGGFSNEGMLSFEGVSSSGSPSGNGFGDGQGSQEELDRLIDRTINATIVLAAGTYAITKLLTIDQNYWQGWTIYEIVRYAPQHNWSAYEEALRTNPVLAKMVISGIVYSIGDWIAQCFEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTAWAAVWNSIYFVVLGFLRLESPISIFNEWKATFLPMLTAGWKLWPFAHLITYGFIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEAAPAEASSILPPVGPPEVSITRNLMVDW >KJB62717 pep chromosome:Graimondii2_0_v6:9:67870836:67874169:1 gene:B456_009G432500 transcript:KJB62717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPTISPQSLLSLTKPRKPISRHLSASSLTTSKLPEGLAFSGTKQKNKRANSVVVVKSLAEELDVIPVQSEDVTDMQEGVAVSQVPRESPGGELVTQVGGFSNEGMLSFEGVSSSGSPSGNGFGDGQGSQEELDRLIDRTINATIVLAAGTYAITKLLTIDQNYWQGWTIYEIVRYAPQHNWSAYEEALRTNPVLAKMVISGIVYSIGDWIAQCFEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTAWAAVWNSIYFVVLGFLRLESPISIFNEWKATFLPMLTAGWKLWPFAHLITYGFIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEAAPAEASSILPPVGPPELE >KJB62714 pep chromosome:Graimondii2_0_v6:9:67870767:67874212:1 gene:B456_009G432500 transcript:KJB62714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPTISPQSLLSLTKPRKPISRHLSASSLTTSKLPEGLAFSGTKQKNKRANSVVVVKSLAEELDVIPVQSEDVTDMQEGVAVSQVPRESPGGELVTQVGGFSNEGMLSFEGVSSSGSPSGNGFGDGQGSQEELDRLIDRTINATIVLAAGTYAITKLLTIDQNYWQGWTIYEIVRYAPQHNWSAYEEALRTNPVLAKMVISGIVYSIGDWIAQCFEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTAWAAVWNSIYFVVLGFLRLESPISIFNEWKATFLPMLTAGWKLWPFAHLITYGFIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEAAPAEASSILPPVGPPEAGHRKISQKQGEITCVCYVNAVFCLYVQKLYFWRHCDH >KJB62721 pep chromosome:Graimondii2_0_v6:9:67870836:67873194:1 gene:B456_009G432500 transcript:KJB62721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPTISPQSLLSLTKPRKPISRHLSASSLTTSKLPEGLAFSGTKQKNKRANSVVVVKSLAEELDVIPVQSEDVTDMQEGVAVSQVPRESPGGELVTQVGGFSNEGMLSFEGVSSSGSPSGNGFGDGQGSQEELDRLIDRTINATIVLAAGTYAITKLLTIDQNYWQGWTIYEIVRYAPQHNWSAYEEALRTNPVLAKMVISGIVYSIGDWIAQCFEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTAWAAVWNSIYFVVLGFLRLESPISIFNEWKATFLPMLTAGWKLWPFAHLITYGFIPVEQRLLWVDCVELIWVTILST >KJB62727 pep chromosome:Graimondii2_0_v6:9:67870836:67874169:1 gene:B456_009G432500 transcript:KJB62727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPTISPQSLLSLTKPRKPISRHLSASSLTTSKLPEGLAFSGTKQKNKRANSVVVVKSLAEELDVIPVQSEDVTDMQEGVAVSQVPRESPGGELVTQGWTIYEIVRYAPQHNWSAYEEALRTNPVLAKMVISGIVYSIGDWIAQCFEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTAWAAVWNSIYFVVLGFLRLESPISIFNEWKATFLPMLTAGWKLWPFAHLITYGFIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEAAPAEASSILPPVGPPELE >KJB62720 pep chromosome:Graimondii2_0_v6:9:67870836:67872597:1 gene:B456_009G432500 transcript:KJB62720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPTISPQSLLSLTKPRKPISRHLSASSLTTSKLPEGLAFSGTKQKNKRANSVVVVKSLAEELDVIPVQSEDVTDMQEGVAVSQVPRESPGGELVTQVGGFSNEGMLSFEGVSSSGSPSGNGFGDGQGSQEELDRLIDRTINATIVLAAGTYAITKLLTIDQNYWQGWTIYEIVRYAPQHNWSAYEEALRTNPVLAKMVISGIVYSIGDWIAQCFEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTAWAAVWNSIYFVVLGFLRLESPISIFNEWKATFLPMLTVREP >KJB62715 pep chromosome:Graimondii2_0_v6:9:67870767:67874198:1 gene:B456_009G432500 transcript:KJB62715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPTISPQSLLSLTKPRKPISRHLSASSLTTSKLPEGLAFSGTKQKNKRANSVVVVKSLAEELDVIPVQSEDVTDMQEGVAVSQVPRESPGGELVTQVGGFSNEGMLSFEGVSSSGSPSGNGFGDGQGSQEELDRLIDRTINATIVLAAGTYAITKLLTIDQNYWQGWTIYEIVRYAPQHNWSAYEEALRTNPVLAKMVISGIVYSIGDWIAQCFEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTAWAAVWNSIYFVVLGFLRLESPISIFNEWKATFLPMLTAGWKLWPFAHLITYGFIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEAAPAEASSILPPVGPPELE >KJB62728 pep chromosome:Graimondii2_0_v6:9:67870836:67874169:1 gene:B456_009G432500 transcript:KJB62728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPTISPQSLLSLTKPRKPISRHLSASSLTTSKLPEGLAFSGTKQKNKRANSVVVVKSLAEELDVIPVQSEDVTDMQEGVAVSQVPRESPGGELVTQVGGFSNEGMLSFEGVSSSGSPSGNGFGDGQGSQEELDRLIDRTINATIVLAAGTYAITKLLTIDQNYWQGWTIYEIVRYAPQHNWSAYEEALRTNPVLAKMVISGIVYSIGDWIAQCFEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTAWAAVWNSIYFVVLGFLRLESPISIFNEWKATFLPMLTAGWKLWPFAHLITYGFIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEAAPAEASSILPPVGPPELE >KJB62716 pep chromosome:Graimondii2_0_v6:9:67870767:67874169:1 gene:B456_009G432500 transcript:KJB62716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPTISPQSLLSLTKPRKPISRHLSASSLTTSKLPEGLAFSGTKQKNKRANSVVVVKSLAEELDVIPVQSEDVTDMQEGVAVSQVPRESPGGELVTQVGGFSNEGMLSFEGVSSSGSPSGNGFGDGQGSQEELDRLIDRTINATIVLAAGTYAITKLLTIDQNYWQGWTIYEIVRYAPQHNWSAYEEALRTNPVLAKMVISGIVYSIGDWIAQCFEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTAWAAVWNSIYFVVLGFLRLESPISIFNEWKATFLPMLTAGWKLWPFAHLITYGFIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEAAPAEASSILPPVGPPELE >KJB62724 pep chromosome:Graimondii2_0_v6:9:67870937:67872729:1 gene:B456_009G432500 transcript:KJB62724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPTISPQSLLSLTKPRKPISRHLSASSLTTSKLPEGLAFSGTKQKNKRANSVVVVKSLAEELDVIPVQSEDVTDMQEGVAVSQVPRESPGGELVTQVGGFSNEGMLSFEGVSSSGSPSGNGFGDGQGSQEELDRLIDRTINATIVLAAGTYAITKLLTIDQNYWQGWTIYEIVRYAPQHNWSAYEEALRTNPVLAKMVISGIVYSIGDWIAQCFEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTAWAAVWNSIYFVVLGFLRLESPISIFNEWKATFLPMLTCSCAWLLCRPDGNFGHLLILSLMVLFR >KJB62719 pep chromosome:Graimondii2_0_v6:9:67870937:67873271:1 gene:B456_009G432500 transcript:KJB62719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPTISPQSLLSLTKPRKPISRHLSASSLTTSKLPEGLAFSGTKQKNKRANSVVVVKSLAEELDVIPVQSEDVTDMQEGVAVSQVPRESPGGELVTQVGGFSNEGMLSFEGVSSSGSPSGNGFGDGQGSQEELDRLIDRTINATIVLAAGTYAITKLLTIDQNYWQGWTIYEIVRYAPQHNWSAYEEALRTNPVLAKMVISGIVYSIGDWIAQCFEGKPLFEFDRMRMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTAWAAVWNSIYFVVLGFLRLESPISIFNEWKATFLPMLTAGWKLWPFAHLITYGFIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEAAPAEASSILPPVGPPE >KJB53767 pep chromosome:Graimondii2_0_v6:9:367808:370488:1 gene:B456_009G003900 transcript:KJB53767 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25910) UniProtKB/Swiss-Prot;Acc:Q84RQ7] MSTIATHTPAVIKATQLAAFNPFLSSSKPPLPPCLSLFENPISGSRGLSSKDNAFLRGQFNNSRFMNFYASRAPRKQRGLVVSPSCVLPLTEENVEKVLDEVRPGLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEIMEVEQIMDTETGLELNKENIEKVLDEIRPYLVGTGGGELELVEIDDYVVKVRLSGPAAGVMTVRVALTQKLREKIPAIAAVQLLD >KJB53768 pep chromosome:Graimondii2_0_v6:9:367808:370488:1 gene:B456_009G003900 transcript:KJB53768 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25910) UniProtKB/Swiss-Prot;Acc:Q84RQ7] MSTIATHTPAVIKATQLAAFNPFLSSSKPPLPPCLSLFENPISGSRGLSSKDNAFLRGQFNNSRFMNFYASRAPRKQRGLVVSPSCVLPLTEENVEKVLDEVRPGLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEIMEVEQIMDTETGLELNKENIEKVLDEIRPYLVGTGGGELELVEIDDYVVKVRLSGPAAGVMTVRVALTQKLREKIPAIAAVQLLD >KJB53974 pep chromosome:Graimondii2_0_v6:9:1185260:1186749:1 gene:B456_009G014700 transcript:KJB53974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKIASPEKVVSITEKVWQRVYGGINNNGYYYHHHRKHRRRCSYESEDEYEVEDGTIQLMQMGAERSKNVLILMSDTGGGHRASAEAIREAFRIEFGDEYRIYVKDVWKEYTGWPLNDMERSYKFMVKHVQLWKVAFHSTSPRWIHSSYLAAIASYYAKEVEAGLMEYKPDIIISVHPLMQHIPLSVLKWKSLQKKVIFVTVITDLNTCHPTWFHPRVNRCYCPSKEVAKRALYDGLEESQIQVFGLPIRPSFARAVLSKDDLRKELELDPDLPAVLLMGGGEGMGPVKKTAMVLGESLYDNDQGKPIGQLIIICGRNKALASTLESLEWKIPVKVISLNLPHVCNKQAINEKQSIKQCFPSTAGQRVRNPNGEMDGSL >KJB53977 pep chromosome:Graimondii2_0_v6:9:1184978:1187613:1 gene:B456_009G014700 transcript:KJB53977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKIASPEKVVSITEKVWQRVYGGINNNGYYYHHHRKHRRRCSYESEDEYEVEDGTIQLMQMGAERSKNVLILMSDTGGGHRASAEAIREAFRIEFGDEYRIYVKDVWKEYTGWPLNDMERSYKFMVKHVQLWKVAFHSTSPRWIHSSYLAAIASYYAKEVEAGLMEYKPDIIISVHPLMQHIPLSVLKWKSLQKKVIFVTVITDLNTCHPTWFHPRVNRCYCPSKEVAKRALYDGLEESQIQVFGLPIRPSFARAVLSKDDLRKELELDPDLPAVLLMGGGEGMGPVKKTAMVLGESLYDNDQGKPIGQLIIICGRNKALASTLESLEWKIPVKVRGFETQMEKWMGACDCIITKEKGNVPYVVDNGAGVFTRSPKETARIVSEWFSTKTDELKRMSENALKLAQPEAVFDIVKDIHELACQRGPLANIPYDSTSSLTNVI >KJB53975 pep chromosome:Graimondii2_0_v6:9:1184978:1187613:1 gene:B456_009G014700 transcript:KJB53975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKIASPEKVVSITEKVWQRVYGGINNNGYYYHHHRKHRRRCSYESEDEYEVEDGTIQLMQMGAERSKNVLILMSDTGGGHRASAEAIREAFRIEFGDEYRIYVKDVWKEYTGWPLNDMERSYKFMVKHVQLWKVAFHSTSPRWIHSSYLAAIASYYAKEVEAGLMEYKPDIIISVHPLMQHIPLSVLKWKSLQKKVIFVTVITDLNTCHPTWFHPRVNRCYCPSKEVAKRALYDGLEESQIQVFGLPIRPSFARAVLSKDDLRKELELDPDLPAVLLMGGGEGMGPVKKTAMVLGESLYDNDQGKPIGQLIIICGRNKALASTLESLEWKIPVKVISLNLPHVCNKQAINEKQSIKQCFPSTAGQRVRNPNGEMDGSL >KJB53972 pep chromosome:Graimondii2_0_v6:9:1184896:1187641:1 gene:B456_009G014700 transcript:KJB53972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKIASPEKVVSITEKVWQRVYGGINNNGYYYHHHRKHRRRCSYESEDEYEVEDGTIQLMQMGAERSKNVLILMSDTGGGHRASAEAIREAFRIEFGDEYRIYVKDVWKEYTGWPLNDMERSYKFMVKHVQLWKVAFHSTSPRWIHSSYLAAIASYYAKEVEAGLMEYKPDIIISVHPLMQHIPLSVLKWKSLQKKVIFVTVITDLNTCHPTWFHPRVNRCYCPSKEVAKRALYDGLEESQIQVFGLPIRPSFARAVLSKDDLRKELELDPDLPAVLLMGGGEGMGPVKKTAMVLGESLYDNDQGKPIGQLIIICGRNKALASTLESLEWKIPVKVRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIVLNDYIPGQEKGNVPYVVDNGAGVFTRSPKETARIVSEWFSTKTDELKRMSENALKLAQPEAVFDIVKDIHELACQRGPLANIPYDSTSSLTNVI >KJB53973 pep chromosome:Graimondii2_0_v6:9:1184978:1187613:1 gene:B456_009G014700 transcript:KJB53973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKIASPEKVVSITEKVWQRVYGGINNNGYYYHHHRKHRRRCSYESEDEYEVEDGTIQLMQMGAERSKNVLILMSDTGGGHRASAEAIREAFRIEFGDEYRIYVKDVWKEYTGWPLNDMERSYKFMVKHVQLWKVAFHSTSPRWIHSSYLAAIASYYAKEVEAGLMEYKPDIIISVHPLMQHIPLSVLKWKSLQKKVIFVTVITDLNTCHPTWFHPRVNRCYCPSKEVAKRALYDGLEESQIQVFGLPIRPSFARAVLSKDDLRKELELDPDLPAVLLMGGGEGMGPVKKTAMVLGESLYDNDQGKPIGQLIIICGRNKALASTLESLEWKIPVKVRGFETQMEKWMGACDCIITKRP >KJB53976 pep chromosome:Graimondii2_0_v6:9:1184978:1187613:1 gene:B456_009G014700 transcript:KJB53976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKIASPEKVVSITEKVWQRVYGGINNNGYYYHHHRKHRRRCSYESEDEYEVEDGTIQLMQMGAERSKNVLILMSDTGGGHRASAEAIREAFRIEFGDEYRIYVKDVWKEYTGWPLNDMERSYKFMVKHVQLWKVAFHSTSPRWIHSSYLAAIASYYAKEVEAGLMEYKPDIIISVHPLMQHIPLSVLKWKSLQKKVIFVTVITDLNTCHPTWFHPRVNRCYCPSKEVAKRALYDGLEESQIQVFGLPIRPSFARAVLSKDDLRKELELDPDLPAVLLMGGGEGMGPVKKTAMVLGESLYDNDQGKPIGQLIIICGRNKALASTLESLEWKIPVKVRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIVLNDYIPGQV >KJB59288 pep chromosome:Graimondii2_0_v6:9:19934321:19947373:-1 gene:B456_009G247500 transcript:KJB59288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSNRSSISPFRSRKSPVPSPSTKTTGRPATPSSTTSSRPPSRLSSSPATSSSPNPGPSPSTVVVERLESSKSKENVTVTVRFRPLSPREINKGDEIAWYAEGDFTVRNEFNPSIAYGFDRVFGPATTTRHVYDAAAQHVISGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFATIQETPEREFLLRVSYLEIYNEVINDLLDPIGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIATGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGEKNGDEDVTLSQLNLIDLAGSESSKAETTGLRRKEGSYINKSLLTLGTVISKLTDGKATHIPYRDSKLTRLLQSSLSGRGRISLICTVTPASSNGEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISSLKHELEQLRQGMTERPYTAATTQDDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMSSSIPERSRHRRRHSFGEDELAYLPDRKREYMIDDDAGSCASELSVEGRDDVANLDELVKDYKRNRRRGMLGWFKLRKFPLQKPENQAGMSPSADNGSSASGSPASCSKSLQDKVTLNDMKDGRRKSVSRRGDDPAIVDSFPERTQAGDLFSATVGGRLPPSGTTITDQMDLLQEQMKMLAGEVALSISSLKRLSEKAASSPENSQLREQMQKLKGEINEKRHQIRVLEQRMIESVGKAPHTSNNAEMSQALLKLATNLNEKTFELEIKSADNRVLQEQLQVKIAENSEMQETILLLRQQLKNKSSRNPQESADNVAEETCSKEFFEKMKNGIGSCEETYGDDNTPTSVMSLNRVFSQDDSKECDKCTSLNSQVLIQAAEIESLKQEKVKLAEEKDGLEVHSNKLAEEASYAKELAAAAAVELRNLAEEVTRLSYENAKLTGELAAAKEARCRSNCCQRTMHNFRQNNMSGAWPEAHHRKQEDELLIEELQKELSMRNQREAALEAALSERELMEGDLRRRINEAKRHEEHLENELANMWMLVAKMRKLGVNVEDISSSIAQTGERNGPLPSNGHSFKLLKPEEACENLHGMKTYEELRACYQEERRRCEELERLVSRMKGEDISGLDVTSLEELKNFHVEAITKICHAKCANFML >KJB59289 pep chromosome:Graimondii2_0_v6:9:19934321:19947461:-1 gene:B456_009G247500 transcript:KJB59289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSNRSSISPFRSRKSPVPSPSTKTTGRPATPSSTTSSRPPSRLSSSPATSSSPNPGPSPSTVVVERLESSKSKENVTVTVRFRPLSPREINKGDEIAWYAEGDFTVRNEFNPSIAYGFDRVFGPATTTRHVYDAAAQHVISGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFATIQETPEREFLLRVSYLEIYNEVINDLLDPIGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIATGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGEKNGDEDVTLSQLNLIDLAGSESSKAETTGLRRKEGSYINKSLLTLGTVISKLTDGKATHIPYRDSKLTRLLQSSLSGRGRISLICTVTPASSNGEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISSLKHELEQLRQGMTERPYTAATTQDDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMSSSIPERSRHRRRHSFGEDELAYLPDRKREYMIDDDAGSCASELSVEGRDDVANLDELVKDYKRNRRRGMLGWFKLRKPENQAGMSPSADNGSSASGSPASCSKSLQDKVTLNDMKDGRRKSVSRRGDDPAIVDSFPERTQAGDLFSATVGGRLPPSGTTITDQMDLLQEQMKMLAGEVALSISSLKRLSEKAASSPENSQLREQMQKLKGEINEKRHQIRVLEQRMIESVGKAPHTSNNAEMSQALLKLATNLNEKTFELEIKSADNRVLQEQLQVKIAENSEMQETILLLRQQLKNKSSRNPQESADNVAEETCSKEFFEKMKNGIGSCEETYGDDNTPTSVMSLNRVFSQDDSKECDKCTSLNSQVLIQAAEIESLKQEKVKLAEEKDGLEVHSNKLAEEASYAKELAAAAAVELRNLAEEVTRLSYENAKLTGELAAAKEARCRSNCCQRTMHNFRQNNMSGAWPEAHHRKQEDELLIEELQKELSMRNQREAALEAALSERELMEGDLRRRINEAKRHEEHLENELANMWMLVAKMRKLGVNVEDISSSIAQTGERNGPLPSNGHSFKLLKPEEACENLHGMKTYEELRACYQEERRRCEELERLVSRMKGEDISGLDVTSLEELKNFHVEAITKICHAKCANFML >KJB61599 pep chromosome:Graimondii2_0_v6:9:49647740:49650567:1 gene:B456_009G369000 transcript:KJB61599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSLSRYLLSKPKSLTSFLFPSCPPFSSFSPAAAASPVKTLITSSPSPSLFFLRRLRAPLCYSLLLRDSLFPTVKSFSTRAARSSLNDPSPNYSNRPPKETILLDGCDFEHWLVVVEPPKEDATRDEIIDSYIKTLAQVVGSEDEARMKIYSVSTRHYYAFGALVSEELSYKIKELPGVRWVLPDSYLDVKNKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNRRNDRPRNYDRSRNYERRRENMQPPPNQGMQNAAPNTARSPPPNNMGGMPYNNRGGMPANNMGGGMPPPNNMGGMPPPNNMGGMPPPNSMGGMPPRNNMGGGMPPPNNMGGMPPPNNMGGGMPPPNNMGGMPPGPPNQGWSGNMGGNAQNFQNPYQGNTQNVQYPNNHPPNMGGPGGNYQS >KJB61600 pep chromosome:Graimondii2_0_v6:9:49647791:49650567:1 gene:B456_009G369000 transcript:KJB61600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSLSRYLLSKPKSLTSFLFPSCPPFSSFSPAAAASPVKTLITSSPSPSLFFLRRLRAPLCYSLLLRDSLFPTVKSFSTRAARSSLNDPSPNYSNRPPKETILLDGCDFEHWLVVVEPPKEDATRDEIIDSYIKTLAQVVGSEDEARMKIYSVSTRHYYAFGALVSEELSYKIKDSYLDVKNKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNRRNDRPRNYDRSRNYERRRENMQPPPNQGMQNAAPNTARSPPPNNMGGMPYNNRGGMPANNMGGGMPPPNNMGGMPPPNNMGGMPPPNSMGGMPPRNNMGGGMPPPNNMGGMPPPNNMGGGMPPPNNMGGMPPGPPNQGWSGNMGGNAQNFQNPYQGNTQNVQYPNNHPPNMGGPGGNYQS >KJB61249 pep chromosome:Graimondii2_0_v6:9:43199760:43202655:1 gene:B456_009G348000 transcript:KJB61249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLVLALLCFHGVVVTCLNNEGYALLSFKNSIYEDPEGSLSNWNSSHDNPCSWNGIACKEQRVVSISIPNNKLRGFLPSALGSLFDLRHLNLRNNKLFGAFPVELLEVEGLQSLVLYGNSMSEALPNQIGKLKYLQTLDLSENFFNGSLPSSIVQCKRLRTLDLSQNYFTGSLPNGFGTGLVSLENLDLSFNKFNGSIPWDFGNLSSLQGTVDLSHNLFTGLIPGSLGDLPAKVYIDLTYNNLSGPIPQNGALKNRGPTAFVGNPGLCGPPLKNPCSLDTTGATSPSSHPLLQDNNSTGNSADNERGLSKGAVIAIIVSDIIGVCILGMLLSYCCSIVCVCDNDKDDHGDGFEKGRNGKGCSCFRKVELETLSENLEQYDLVLLDTQLAFDLDELLKASAFVLGKSGTGIMYKVVLHDGLILAVRRLGEGGSQRFKEFRTEVEAIGKLRHPNIVTLRAYYWSVDEKLLVYDYVPNGSLAIALHGKAGMVSFTPLSWPVRLKIIKGIAKGLVYLHEFSPKKYVHGDLKPSNILLDQNMESRISDFGLGQLADIAGNSPIMQSDRMPSDEPQERLQKSASSEATAIYSSTNLRSFYQAPEALKVVKQSQKWDVYSYGVILLEMLTGKYPVVCVGNSEMDLVHWIQLCIEEKNNFWMF >KJB62099 pep chromosome:Graimondii2_0_v6:9:57855511:57857431:1 gene:B456_009G401000 transcript:KJB62099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKQLQIKLNANRMVVGTLRGFDQFMNLVVDNTVEVIRGNSVVTVEALEPVGRMQ >KJB62100 pep chromosome:Graimondii2_0_v6:9:57855511:57857431:1 gene:B456_009G401000 transcript:KJB62100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKQLQIKLNANRMVVGTLRGFDQFMNLVVDNTVEVNGNEKTDIGMVVIRGNSVVTVEALEPVGRMQ >KJB59690 pep chromosome:Graimondii2_0_v6:9:22272194:22273418:1 gene:B456_009G267600 transcript:KJB59690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHRQQLRRTDDMKNFFHENGPSTSKVLTVATLLPVGGTLLLLAGLSLIGSLIGLAIAAPLFLIFSPVLVPAALLIAGSIAGFLTSGAFGITGLSSLSWIANYIRGSRISMSQGLDPVKWPLPDTAVSMEQKIQKRSQGGGRISEGGREQEGGKSTTQEGGKSTTQEGGKSTTQEGGKSKTQEGGKSRTQEGGNKTVG >KJB54231 pep chromosome:Graimondii2_0_v6:9:2009149:2010948:-1 gene:B456_009G026400 transcript:KJB54231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIALAVQKLKGFAEGLVTGRESSSRRNPIEILKRLQRESFSDLMKLRDRQDKVERLFSFYKSSKGNPFQESSTVLRGEVDFLGAVLLMSRVDEEHWGGIGRAGIRTGVDSRFRFETAVGDKGSVGIEFVANQKRVASNDGDVLETPLSLSKLFYKANAANGFSAIAIPIGAQVKDLDVASDYSLQEEKGLTDVSLGPPMLHQQTGGAIGVTVRMSNVIASLAQSIAGIRNGHCLSTFGQVVCLLPLGLKLSLLGLHRGPKLAGHNIHLGAFSIPVGFSRPSGDAGSRVEASAPPLTPNIPEFGSIALKLDSEFDEYKRLSGWIEMKQANPEHLEWAVNFSDSSEDGYGWGTSLGGILEGPGNWGHFQVESYVKFNPSKRFSLKPGVAYVVDGNTQTLALVLRSNWSI >KJB60684 pep chromosome:Graimondii2_0_v6:9:30554487:30556937:-1 gene:B456_009G319400 transcript:KJB60684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLTTLEFLASLLLIFLLGFVSVTVLEAYRRRHTNAHVEAPAMFEDPESLKQVPCPDIVDPAEKYLSLIIPAYNEEYRLPGTLNETMNYLQQRAANDKSFSYEVVIVDDGSKDGTKRVAFDFVKKYGVDNVRVILLGKNHGKGEAIRKGMLHSRGELLLMLDADGATKVTDLEKLENQIHAIVRKDYHPGDSAAGEATFRISDIPIVAFGSRAHLEEKALATRKWYRNFLMKGFHLVVLLAAGPGIRDTQCGFKMFTRSAARKLFTNIRLKR >KJB60683 pep chromosome:Graimondii2_0_v6:9:30553459:30556978:-1 gene:B456_009G319400 transcript:KJB60683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLTTLEFLASLLLIFLLGFVSVTVLEAYRRRHTNAHVEAPAMFEDPESLKQVPCPDIVDPAEKYLSLIIPAYNEEYRLPGTLNETMNYLQQRAANDKSFSYEVVIVDDGSKDGTKRVAFDFVKKYGVDNVRVILLGKNHGKGEAIRKGMLHSRGELLLMLDADGATKVTDLEKLENQIHAIVRKDYHPGDSAAGEATFRISDIPIVAFGSRAHLEEKALATVKFTYDACYLIIL >KJB60685 pep chromosome:Graimondii2_0_v6:9:30553459:30556978:-1 gene:B456_009G319400 transcript:KJB60685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLTTLEFLASLLLIFLLGFVSVTVLEAYRRRHTNAHVEAPAMFEDPESLKQVPCPDIVDPAEKYLSLIIPAYNEEYRLPGTLNETMNYLQQRAANDKSFSYEVVIVDDGSKDGTKRVAFDFVKKYGVDNVRVILLGKNHGKGEAIRKGMLHSRGELLLMLDADGATKVTDLEKLENQIHAIVRKDYHPGDSAAGEATFRISDIPIVAFGSRAHLEEKALATGFHLVVLLAAGPGIRDTQCGFKMFTRSAARKLFTNIRLKRWCFDVEIVFLCKWFGIPMLEISVNWSEIPGSKVNPLSIPNMLWELALMSVGYRTRIWKINS >KJB60682 pep chromosome:Graimondii2_0_v6:9:30553374:30557158:-1 gene:B456_009G319400 transcript:KJB60682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLTTLEFLASLLLIFLLGFVSVTVLEAYRRRHTNAHVEAPAMFEDPESLKQVPCPDIVDPAEKYLSLIIPAYNEEYRLPGTLNETMNYLQQRAANDKSFSYEVVIVDDGSKDGTKRVAFDFVKKYGVDNVRVILLGKNHGKGEAIRKGMLHSRGELLLMLDADGATKVTDLEKLENQIHAIVRKDYHPGDSAAGEATFRISDIPIVAFGSRAHLEEKALATRKWYRNFLMKGFHLVVLLAAGPGIRDTQCGFKMFTRSAARKLFTNIRLKRWCFDVEIVFLCKWFGIPMLEISVNWSEIPGSKVNPLSIPNMLWELALMSVGYRTRIWKINS >KJB62903 pep chromosome:Graimondii2_0_v6:9:69428743:69429724:-1 gene:B456_009G443000 transcript:KJB62903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHLEKQNGDVLQKSFKEMISTLPKENRWGFLEDYYQYQGFWISPSFLQGALSAQQQFQAQPTDVILCSSLRTGTAWLKSLTFATITRTLYNDSPTPLLSKLPHDVVPFMEFKLAQFSTNRHLGIPLLATHLPYSFLPRSIIDSGCKIIYICRDPKDTFVSLYHFIARHCKSQNAQPIQLDEAFELFYEGVSPYGPYWDHVLGYWKASLEHPDKLMFLKYEELVEDTVLYLKKTAEFMGVPENIVQLCSFDNLSGLEVNKTGKHCRGQGNWEMENNIFFRKGKVGDWKNYLTTEMAQRLDQRTLQKLSGSGLSL >KJB60103 pep chromosome:Graimondii2_0_v6:9:24923054:24923890:-1 gene:B456_009G289800 transcript:KJB60103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKTTLLLAVLCLFLVCEIGMLMVEAQVQRPECEGKCASRCSKSWKPKMCLKTCNACCNTCDGCVPPGPTANKEVCPCYAKYKNGKCP >KJB55561 pep chromosome:Graimondii2_0_v6:9:5981743:5988941:1 gene:B456_009G082300 transcript:KJB55561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAASHAAAATATSNARLPGRYGFVDERARQTMAEAVPDGSVVLGNPVAPPVNGDMYADISTENVMLQGSRRMNKGVDYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKHMSTLIKMPDSTGSNSVAPAGVRLHHRAVVIAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVCRQFFLDCNEIADLCESAERIFTVEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >KJB55558 pep chromosome:Graimondii2_0_v6:9:5978151:5988941:1 gene:B456_009G082300 transcript:KJB55558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMVSETDHDQNHHGAMQSPAPMEREQQQQPQQQNQQVENQASTPGPGGSPPSSAQQQAAAAAPAAQGQPQAPVAGPRCAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPSYIGPRLILFGGATALEGNSASAGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAASHAAAATATSNARLPGRYGFVDERARQTMAEAVPDGSVVLGNPVAPPVNGDMYADISTENVMLQGSRRMNKGVDYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKHMSTLIKMPDSTGSNSVAPAGVRLHHRAVVIAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVCRQFFLDCNEIADLCESAERIFTVEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >KJB55557 pep chromosome:Graimondii2_0_v6:9:5978036:5988961:1 gene:B456_009G082300 transcript:KJB55557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMVSETDHDQNHHGAMQSPAPMEREQQQQPQQQNQQVENQASTPGPGGSPPSSAQQQAAAAAPAAQGQPQAPVAGPRCAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPSYIGPRLILFGGATALEGNSASAGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAASHAAAATATSNARLPGRYGFVDERARQTMAEAVPDGSVVLGNPVAPPVNGDMYADISTENVMLQGSRRMNKGVDYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKHMSTLIKMPDSTGSNSVAPAGVRLHHRAVVIAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVCRQFFLDCNEIADLCESAERIFTVEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >KJB55560 pep chromosome:Graimondii2_0_v6:9:5977990:5988941:1 gene:B456_009G082300 transcript:KJB55560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMVSETDHDQNHHGAMQSPAPMEREQQQQPQQQNQQVENQASTPGPGGSPPSSAQQQAAAAAPAAQGQPQAPVAGPRCAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPSYIGPRLILFGGATALEGNSASAGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAASHAAAATATSNARLPGRYGFVDERARQTMAEAVPDGSVVLGNPVAPPVNGDMYADISTENVMLQGSRRMNKGVDYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKHMSTLIKMPDSTGSNSVAPAGVRLHHRAVVIAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVCRQFFLDCNEIADLCESAERIFTVEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >KJB55562 pep chromosome:Graimondii2_0_v6:9:5982343:5988961:1 gene:B456_009G082300 transcript:KJB55562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVPDGSVVLGNPVAPPVNGDMYADISTENVMLQGSRRMNKGVDYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKHMSTLIKMPDSTGSNSVAPAGVRLHHRAVVIAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVCRQFFLDCNEIADLCESAERIFTVEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >KJB55556 pep chromosome:Graimondii2_0_v6:9:5978493:5988830:1 gene:B456_009G082300 transcript:KJB55556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMVSETDHDQNHHGAMQSPAPMEREQQQQPQQQNQQVENQASTPGPGGSPPSSAQQQAAAAAPAAQGQPQAPVAGPRCAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPSYIGPRLILFGGATALEGNSASAGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAASHAAAATATSNARLPGRYGFVDERARQTMAEAVPDGSVVLGNPVAPPVNGDMYADISTENVMLQGSRRMNKGVDYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKHMSTLIKMPDSTGSNSVAPAGVRLHHRAVVIAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVCRQFFLDCNEIADLCESAERIFTVEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >KJB55559 pep chromosome:Graimondii2_0_v6:9:5978151:5988941:1 gene:B456_009G082300 transcript:KJB55559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMVSETDHDQNHHGAMQSPAPMEREQQQQPQQQNQQVENQASTPGPGGSPPSSAQQQAAAAAPAAQGQPQAPVAGPRCAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPSYIGPRLILFGGATALEGNSASAGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAASHAAAATATSNARLPGRYGFVDERARQTMAEAVPDGSVVLGNPVAPPVNGDMYADISTENVMLQGSRRMNKGVDYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKHMSTLIKMPDSTGSNSVAPAGVRLHHRAVVIAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVCRQFFLDCNEIADLCESAERIFTVEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >KJB54306 pep chromosome:Graimondii2_0_v6:9:2219540:2221683:-1 gene:B456_009G029000 transcript:KJB54306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSGEKVKAMWDKILTEIFCDICIEEILKCNRPGPHFTKDGWLKIMTNLEKETGKAFSQRQLKNKWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDALDD >KJB61476 pep chromosome:Graimondii2_0_v6:9:47561953:47570685:1 gene:B456_009G361000 transcript:KJB61476 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MATREEETCKKEEEMGIAANDEEDDEHDSKERVLQRYFLQEWKLVKSLLDDIVSNGRVSDPSSVHKIRSIMDKYQEQGQLLEPYLESMISPLMFIIRSKTIELGIDSDEILQIIKPISIIIYSLVTVSGYKAVIKFFPHQVSDLELAVSLLEKCHNTSSVTSVRQESTGEMEAKCVMLLWLSILVLVPFDISSVDTSIVNSSGSIGVDEVAPLVLRIIGFSKDYLSNAGPMRTMAGLVLSKLLTRPDMPKALSSFIEWTQEVLSSTADDVVSHFQLIGAVEGLAAIFKAGSRKVLLDVVPTIWNDVSVLIKSGSASRSPLLRKYLVKLTQRIGLTCLPHRLPTWRYVGRASSLGENISLSASNKNNQLNHGVILENSESEENSNCPEDEDMDVPEIVEEVIEVLLSGLKDTDTVVRWSAAKGIGRVTSRLTSGLSEEVLSSVLELFAPGEGDGSWHGGCLALAELARRGLLLPSSLPRVVPVVIKALHYDVRRGPHSVGSHVRDAAAYVCWAFGRAYLHTDMRNVLEQLSPHLLSVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFSLSSRTNSYIHVATCIAQYEGYLHPFVDELLHSKISHWVWSLRELATEALAALVRYDAAYFADFVLEKLIPFTLSSDLCTRHGATLAAGELVLALHQCGYDLPNDKQKQVSGIVPAIEKARLYRGKGGEIMRAAVSRFIECISFSRVPLPEKVKRSLIDSLNENLRHPNSQIQTAAVKALKHFVQAYLVATDNKGAVSNNITLKYLQLLNDSNVAVRRGSAMALGVLPYEMLANQWKDVILKLCSSCAIEDNPEDRDAEARVNSVKGLVSVCETLAQERESSDIHSVEDNMSLFHLMKNEVMVSLFKALEDYSVDNRGDVGSWVREAAMEGLERCTYILCKRDSMSSTGKADIFESMSKQPNNNVVEENQMCLFFDVNLATNLVGGISKQAVEKMDKLREVAAKVLQRILYHKEIFVPFIPYREKIEEIVPNETDLKWGVPTFSYPRFVQLLQFSCYSRPVLSGLVISIGGLQDSLRKASLSAFLEYLNVEQDVNEELKLCKLSMDILWILQQYKRCDRVIIPTLKTIEILFSKRIFLDMEAHTLAFCSGVLDSLAVELKASKDFSKLYAGIAILGYICSVSDPINSRAFSHLLTFLTHRYPKIRKATAEQVYLVLLQNGSLVSEEKTEKALEIVSETCWDGDMETAKVKKLEVFEIVGLDVGVGQSKTAANVTSNKGGRKFTTLDENESYSSLVESSGF >KJB61475 pep chromosome:Graimondii2_0_v6:9:47561953:47570685:1 gene:B456_009G361000 transcript:KJB61475 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MATREEETCKKEEEMGIAANDEEDDEHDSKERVLQRYFLQEWKLVKSLLDDIVSNGRVSDPSSVHKIRSIMDKYQEQGQLLEPYLESMISPLMFIIRSKTIELGIDSDEILQIIKPISIIIYSLVTVSGYKAVIKFFPHQVSDLELAVSLLEKCHNTSSVTSVRQESTGEMEAKCVMLLWLSILVLVPFDISSVDTSIVNSSGSIGVDEVAPLVLRIIGFSKDYLSNAGPMRTMAGLVLSKLLTRPDMPKALSSFIEWTQEVLSSTADDVVSHFQLIGAVEGLAAIFKAGSRKVLLDVVPTIWNDVSVLIKSGSASRSPLLRKYLVKLTQRIGLTCLPHRLPTWRYVGRASSLGENISLSASNKNNQLNHGVILENSESEENSNCPEDEDMDVPEIVEEVIEVLLSGLKDTDTVVRWSAAKGIGRVTSRLTSGLSEEVLSSVLELFAPGEGDGSWHGGCLALAELARRGLLLPSSLPRVVPVVIKALHYDVRRGPHSVGSHVRDAAAYVCWAFGRAYLHTDMRNVLEQLSPHLLSVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFSLSSRTNSYIHVATCIAQYEGYLHPFVDELLHSKISHWDRSLRELATEALAALVRYDAAYFADFVLEKLIPFTLSSDLCTRHGATLAAGELVLALHQCGYDLPNDKQKQVSGIVPAIEKARLYRGKGGEIMRAAVSRFIECISFSRVPLPEKVKRSLIDSLNENLRHPNSQIQTAAVKALKHFVQAYLVATDNKGAVSNNITLKYLQLLNDSNVAVRRGSAMALGVLPYEMLANQWKDVILKLCSSCAIEDNPEDRDAEARVNSVKGLVSVCETLAQERESSDIHSVEDNMSLFHLMKNEVMVSLFKALEDYSVDNRGDVGSWVREAAMEGLERCTYILCKRDSMSSTGKADIFESMSKQPNNNVVEENQMCLFFDVNLATNLVGGISKQAVEKMDKLREVAAKVLQRILYHKEIFVPFIPYREKIEEIVPNETDLKWGVPTFSYPRFVQLLQFSCYSRPVLSGLVISIGGLQDSLRKASLSAFLEYLNVEQDVNEELKLCKLSMDILWILQQYKRCDRVIIPTLKTIEILFSKRIFLDMEAHTLAFCSGVLDSLAVELKASKDFSKLYAGIAILGYICSVSDPINSRAFSHLLTFLTHRYPKNGSLVSEEKTEKALEIVSETCWDGDMETAKVKKLEVFEIVGLDVGVGQSKTAANVTSNKGGRKFTTLDENESYSSLVESSGF >KJB61473 pep chromosome:Graimondii2_0_v6:9:47561876:47570697:1 gene:B456_009G361000 transcript:KJB61473 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MATREEETCKKEEEMGIAANDEEDDEHDSKERVLQRYFLQEWKLVKSLLDDIVSNGRVSDPSSVHKIRSIMDKYQEQGQLLEPYLESMISPLMFIIRSKTIELGIDSDEILQIIKPISIIIYSLVTVSGYKAVIKFFPHQVSDLELAVSLLEKCHNTSSVTSVRQESTGEMEAKCVMLLWLSILVLVPFDISSVDTSIVNSSGSIGVDEVAPLVLRIIGFSKDYLSNAGPMRTMAGLVLSKLLTRPDMPKALSSFIEWTQEVLSSTADDVVSHFQLIGAVEGLAAIFKAGSRKVLLDVVPTIWNDVSVLIKSGSASRSPLLRKYLVKLTQRIGLTCLPHRLPTWRYVGRASSLGENISLSASNKNNQLNHGVILENSESEENSNCPEDEDMDVPEIVEEVIEVLLSGLKDTDTVVRWSAAKGIGRVTSRLTSGLSEEVLSSVLELFAPGEGDGSWHGGCLALAELARRGLLLPSSLPRVVPVVIKALHYDVRRGPHSVGSHVRDAAAYVCWAFGRAYLHTDMRNVLEQLSPHLLSVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFSLSSRTNSYIHVATCIAQYEGYLHPFVDELLHSKISHWDRSLRELATEALAALVRYDAAYFADFVLEKLIPFTLSSDLCTRHGATLAAGELVLALHQCGYDLPNDKQKQVSGIVPAIEKARLYRGKGGEIMRAAVSRFIECISFSRVPLPEKVKRSLIDSLNENLRHPNSQIQTAAVKALKHFVQAYLVATDNKGAVSNNITLKYLQLLNDSNVAVRRGSAMALGVLPYEMLANQWKDVILKLCSSCAIEDNPEDRDAEARVNSVKGLVSVCETLAQERESSDIHSVEDNMSLFHLMKNEVMVSLFKALEDYSVDNRGDVGSWVREAAMEGLERCTYILCKRDSMSSTGKADIFESMSKQPNNNVVEENQMCLFFDVNLATNLVGGISKQAVEKMDKLREVAAKVLQRILYHKEIFVPFIPYREKIEEIVPNETDLKWGVPTFSYPRFVQLLQFSCYSRPVLSGLVISIGGLQDSLRKASLSAFLEYLNVEQDVNEELKLCKLSMDILWILQQYKRCDRVIIPTLKTIEILFSKRIFLDMEAHTLAFCSGVLDSLAVELKASKDFSKLYAGIAILGYICSVSDPINSRAFSHLLTFLTHRYPKIRKATAEQVYLVLLQNGSLVSEEKTEKALEIVSETCWDGDMETAKVKKLEVFEIVGLDVGVGQSKTAANVTSNKGGRKFTTLDENESYSSLVESSGF >KJB61477 pep chromosome:Graimondii2_0_v6:9:47561953:47570685:1 gene:B456_009G361000 transcript:KJB61477 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MATREEETCKKEEEMGIAANDEEDDEHDSKERVLQRYFLQEWKLVKSLLDDIVSNGRVSDPSSVHKIRSIMDKYQEQGQLLEPYLESMISPLMFIIRSKTIELGIDSDEILQIIKPISIIIYSLVTVSGYKAVIKFFPHQVSDLELAVSLLEKCHNTSSVTSVRQESTGEMEAKCVMLLWLSILVLVPFDISSVDTSIVNSSGSIGVDEVAPLVLRIIGFSKDYLSNAGPMRTMAGLVLSKLLTRPDMPKALSSFIEWTQEVLSSTADDVVSHFQLIGAVEGLAAIFKAGSRKVLLDVVPTIWNDVSVLIKSGSASRSPLLRKYLVKLTQRIGLTCLPHRLPTWRYVGRASSLGENISLSASNKNNQLNHGVILENSESEENSNCPEDEDMDVPEIVEEVIEVLLSGLKDTDTVVRWSAAKGIGRVTSRLTSGLSEEVLSSVLELFAPGEGDGSWHGGCLALAELARRGLLLPSSLPRVVPVVIKALHYDVRRGPHSVGSHVRDAAAYVCWAFGRAYLHTDMRNVLEQLSPHLLSVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFSLSSRTNSYIHVATCIAQYEGYLHPFVDELLHSKISHWDRSLRELATEALAALVRYDAAYFADFVLEKLIPFTLSSDLCTRHGATLAAGELVLALHQCGYDLPNDKQKQVSGIVPAIEKARLYRGKGGEIMRAAVSRFIECISFSRVPLPEKVKRSLIDSLNENLRHPNSQIQTAAVKALKHFVQAYLVATDNKGAVSNNITLKYLQLLNDSNVAVRRGSAMALGVLPYEMLANQWKDVILKLCSSCAIEDNPEDRDAEARVNSVKGLVSVCETLAQERESSDIHSVEDNMSLFHLMKNEVMVSLFKALEDYSVDNRGDVGSWVREAAMEGLERCTYILCKRDSMSSTGKADIFESMSKQPNNNVVEENQMCLFFDVNLATNLVGGISKQAVEKMDKLREVAAKVLQRILYHKEIFVPFIPYREKIEEIVPNETDLKWGVPTFSYPRFVQLLQFSCYSRPVLSGLVISIGGLQDSLRKASLSAFLEYLNVEQDVNEELKLCKLSMDILWILQQYKRCDRVIIPTLKTIEILFSKRIFLDMEAHTLAFCSGVLDSLAVELKASKDFSKLYAGIAILGYICSVSDPINSRAFSHLLTFLTHRFAKPLQNKFTSSCCRTEAWCRRKKPKRRLKLFQKLAGMVTWKQQRLRSWKCLKLWDWMWELDSQKLQQM >KJB61478 pep chromosome:Graimondii2_0_v6:9:47562090:47569889:1 gene:B456_009G361000 transcript:KJB61478 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MATREEETCKKEEEMGIAANDEEDDEHDSKERVLQRYFLQEWKLVKSLLDDIVSNGRVSDPSSVHKIRSIMDKYQEQGQLLEPYLESMISPLMFIIRSKTIELGIDSDEILQIIKPISIIIYSLVTVSGYKAVIKFFPHQVSDLELAVSLLEKCHNTSSVTSVRQESTGEMEAKCVMLLWLSILVLVPFDISSVDTSIVNSSGSIGVDEVAPLVLRIIGFSKDYLSNAGPMRTMAGLVLSKLLTRPDMPKALSSFIEWTQEVLSSTADDVVSHFQLIGAVEGLAAIFKAGSRKVLLDVVPTIWNDVSVLIKSGSASRSPLLRKYLVKLTQRIGLTCLPHRLPTWRYVGRASSLGENISLSASNKNNQLNHGVILENSESEENSNCPEDEDMDVPEIVEEVIEVLLSGLKDTDTVVRWSAAKGIGRVTSRLTSGLSEEVLSSVLELFAPGEGDGSWHGGCLALAELARRGLLLPSSLPRVVPVVIKALHYDVRRGPHSVGSHVRDAAAYVCWAFGRAYLHTDMRNVLEQLSPHLLSVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFSLSSRTNSYIHVATCIAQYEGYLHPFVDELLHSKISHWDRSLRELATEALAALVRYDAAYFADFVLEKLIPFTLSSDLCTRHGATLAAGELVLALHQCGYDLPNDKQKQVSGIVPAIEKARLYRGKGGEIMRAAVSRFIECISFSRVPLPEKVKRSLIDSLNENLRHPNSQIQTAAVKALKHFVQAYLVATDNKGAVSNNITLKYLQLLNDSNVAVRRGSAMALGVLPYEMLANQWKDVILKLCSSCAIEDNPEDRDAEARVNSVKGLVSVCETLAQERESSDIHSVEDNMSLFHLMKNEVMVSLFKALEDYSVDNRGDVGSWVREAAMEGLERCTYILCKRDSMSSTGKADIFESMSKQPNNNVVEENQMCLFFDVNLATNLVGGISKQAVEKMDKLREVAAKVLQRILYHKEIFVPFIPYREKIEEIVPNETDLKWGVPTFSYPRFVQLLQFSCYSRPVLSGLVISIGGLQDSLRKASLSAFLEYLNVEQDVNEELKLCKLSMDILWILQQYKRCDRVIIPTLKCRQLRFFSAKGYSWIWRRILWLSVPVFWIPWRLN >KJB61474 pep chromosome:Graimondii2_0_v6:9:47562090:47570038:1 gene:B456_009G361000 transcript:KJB61474 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MATREEETCKKEEEMGIAANDEEDDEHDSKERVLQRYFLQEWKLVKSLLDDIVSNGRVSDPSSVHKIRSIMDKYQEQGQLLEPYLESMISPLMFIIRSKTIELGIDSDEILQIIKPISIIIYSLVTVSGYKAVIKFFPHQVSDLELAVSLLEKCHNTSSVTSVRQESTGEMEAKCVMLLWLSILVLVPFDISSVDTSIVNSSGSIGVDEVAPLVLRIIGFSKDYLSNAGPMRTMAGLVLSKLLTRPDMPKALSSFIEWTQEVLSSTADDVVSHFQLIGAVEGLAAIFKAGSRKVLLDVVPTIWNDVSVLIKSGSASRSPLLRKYLVKLTQRIGLTCLPHRLPTWRYVGRASSLGENISLSASNKNNQLNHGVILENSESEENSNCPEDEDMDVPEIVEEVIEVLLSGLKDTDTVVRWSAAKGIGRVTSRLTSGLSEEVLSSVLELFAPGEGDGSWHGGCLALAELARRGLLLPSSLPRVVPVVIKALHYDVRRGPHSVGSHVRDAAAYVCWAFGRAYLHTDMRNVLEQLSPHLLSVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFSLSSRTNSYIHVATCIAQYEGYLHPFVDELLHSKISHWDRSLRELATEALAALVRYDAAYFADFVLEKLIPFTLSSDLCTRHGATLAAGELVLALHQCGYDLPNDKQKQVSGIVPAIEKARLYRGKGGEIMRAAVSRFIECISFSRVPLPEKVKRSLIDSLNENLRHPNSQIQTAAVKALKHFVQAYLVATDNKGAVSNNITLKYLQLLNDSNVAVRRGSAMALGVLPYEMLANQWKDVILKLCSSCAIEDNPEDRDAEARVNSVKGLVSVCETLAQERESSDIHSVEDNMSLFHLMKNEVMVSLFKALEDYSVDNRGDVGSWVREAAMEGLERCTYILCKRDSMSSTGKADIFESMSKQPNNNVVEENQMCLFFDVNLATNLVGGISKQAVEKMDKLREVAAKVLQRILYHKEIFVPFIPYREKIEEIVPNETDLKWGVPTFSYPRFVQLLQFSCYSRPVLSGLVISIGGLQDSLRKASLSAFLEYLNVEQDVNEELKLCKLSMDILWILQQYKRCDRVIIPTLKTIEILFSKRIFLDMEAHTLAFCSGVLDSLAVELKASKDFSKLYAGIAILGYICSVSDPINSRAFSHLLTFLTHRYPKVCFVD >KJB58657 pep chromosome:Graimondii2_0_v6:9:17090540:17093774:1 gene:B456_009G220300 transcript:KJB58657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLETLPPTETLEIENGLSLVPRVKLNLTIHPSLPSVSKPIDEWQLKRALIDFLKTSLSVSVTVPEEDLQIKRLKDLKKRKRDEPVAHGALFIRDIRFLSSKKKIEEVDNEEEDVKELEKKFLEWRSYVAEKMDGIELNLEGVKYNLSVEIPASDDFERMRKDWEESYAFRNRGYSRGGRQEPDTIVLRGVPSRWFAEPRVSSKPSMLVTHTIFSTFGKIRNLNVSEDEDLAKGMDEYELDIGIVSGLHCKIIVQFEKYRDFYNALKVLCGRSLQKQGSRLSADYEVTWDKDGFFRNTRSQNQDKSSKMQEPAAVRYRSEAPRREPHVSEFTSNDTRRKRFKVRIQLNDDDELGFLLFFQLFSLINIG >KJB58660 pep chromosome:Graimondii2_0_v6:9:17090540:17093931:1 gene:B456_009G220300 transcript:KJB58660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLETLPPTETLEIENGLSLVPRVKLNLTIHPSLPSVSKPIDEWQLKRALIDFLKTSLSVSVTVPEEDLQIKRLKDLKKRKRDEPVAHGALFIRDIRFLSSKKKIEEVDNEEEDVKELEKKFLEWRSYVAEKMDGIELNLEGVKYNLSVEIPASDDFERMRKDWEESYAFRNRGYSRGGRQEPDTIVLRGVPSRWFAEPRVSSKPSMLVTHTIFSTFGKIRNLNVSEDEDLAKGMDEYELDIGIVSGLHCKIIVQFEKYRDFYNALKVLCGRSLQKQGSRLSADYEVTWDKDGFFRNTRSQNQDKSSKMQEPAAVRYRSEAPRREPHVSEFTSNDTRRKRFKE >KJB58659 pep chromosome:Graimondii2_0_v6:9:17090646:17091387:1 gene:B456_009G220300 transcript:KJB58659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLETLPPTETLEIENGLSLVPRVKLNLTIHPSLPSVSKPIDEWQLKRALIDFLKTSLSVSVTVPEEDLQIKRLKDLKKRKRDEPVAHGALFIRDIRFLSSKKKIEEVDNEEEDVKELEKKFLEWRSYVAEKMDGIELNLEGVKYNLSVEIPASDDFERMRKDWEESYAFRNRGYSRGGRQEPDTIVLRGVPSRWFAEPRVSSKPSMLVTHTIFSTFGKIR >KJB58658 pep chromosome:Graimondii2_0_v6:9:17090540:17093899:1 gene:B456_009G220300 transcript:KJB58658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLETLPPTETLEIENGLSLVPRVKLNLTIHPSLPSVSKPIDEWQLKRALIDFLKTSLSVSVTVPEEDLQIKRLKDLKKRKRDEPVAHGALFIRDIRFLSSKKKIEEVDNEEEDVKELEKKFLEWRSYVAEKMDGIELNLEGVKYNLSVEIPASDDFERMRKDWEESYAFRNRGYSRGGRQEPDTIVLRGVPSRWFAEPRVSSKPSMLVTHTIFSTFGKIRNLNVSEDEDLAKGMDEYELDIGIVSGLHCKIIVQFEKYRDFYNALKVLCGRSLQKDLD >KJB58656 pep chromosome:Graimondii2_0_v6:9:17090540:17092469:1 gene:B456_009G220300 transcript:KJB58656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLETLPPTETLEIENGLSLVPRVKLNLTIHPSLPSVSKPIDEWQLKRALIDFLKTSLSVSVTVPEEDLQIKRLKDLKKRKRDEPVAHGALFIRDIRFLSSKKKIEEVDNEEEDVKELEKKFLEWRSYVAEKMDGIELNLEGVKYNLSVEIPASDDFERMRKDWEESYAFRNRGYSRGGRQEPDTIVLRGVPSRWFAEPRVSSKPSMLVTHTIFSTFGKIR >KJB57661 pep chromosome:Graimondii2_0_v6:9:13415265:13417452:1 gene:B456_009G174000 transcript:KJB57661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEQHQNSTESFMAMKAGLGQAIEVISSLISLSHSIKVFSVKWQLIRKKLEELNSGLMAAENCDSSQNTQVFSGLIPSVLVTANECHNLARSCADLSYSGKLLMQSDLDVMIARFDSHVKNLSGIYSAGILSHGFAIVVSRPGLGAGKDDMRFYIRDLLTRMKIGDIEMKRQALVNLYQVVDEDERYAKLVVEVGGIVNVLVGFLDSPEMEIQEEASKIVSLLSGFDLYKGVLVGAGIIGPLVRVLENGSELGKEGAARCLQRLTVNSDNAWSVSAHGGVTALLKICSSGEFGGELIGLACALLRNLVGVEEIKRFIVEEGAISTFIKLARSRDEIVQINSMEFLQNMASGDDSVRQMVVREGGVRALVRVLDPKSSTSSKTREVALRAIENLCFSSQSCINMLMNFGFINQLFFLLRNGEASVQELALKVTFRLCSASEEAKKAMGDAGFMPELLKLLDAKSYEVREMATEALSSLVSVPKNRKRFVQDDRNVGFLLQLLDQEDGISGNKKLLLSILMSLTNCNSGRRKIASSGYLKNIEKLAEAEVYDAKKLVRKLSTNRFRSILSGFWHS >KJB57828 pep chromosome:Graimondii2_0_v6:9:14045914:14048427:1 gene:B456_009G182500 transcript:KJB57828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSQLNNFPCKTFLITPQNSNFTSKLPVLPLNSTKPTQNSIKKSQFLTQKSVQPRPVFQVRASDADDEWGPDKEEPVVIESPGVAVAEEKKPDDAGEIESLKKALVDSFYGTDRGLKASSETRAEIVELITQLEAKNPTPAPTEALPLLNGKWILKYTSFPGLFPLLSRGQFPLVKVEEISQTIDAESLTVQNSVQFAGPLASSSISTSAKFEVRSPKRVQIKFQEGIIGTPQLTDSIVLPENVEFLGQKIDLTPIKGLLTSVQDTASSVAKTISSQPPLKIPLSNSNAESWLLTTYLDDELRISRGDAGSVFVLVKEGSALLN >KJB62097 pep chromosome:Graimondii2_0_v6:9:57744810:57755752:-1 gene:B456_009G400800 transcript:KJB62097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFNSPIYKYPTCSPKLSKGRGSSKNIPSPPLLLLYHYSTTAAIITTYMSTQSRAVGGTEHNWCRAVVGGTGIAVLAIISSKNPDVSHLKNALHKLQISHPILRSRLHYSPTANSYSFVTSPSPFIQIKYFNHSTTCQILENNQNISPLHLILEHELNKNAWVSSSCTTKHDVFFASVYALPGATRWVLVLRLHAAACDRTTAVSLLRELLTLMAIEEEETGFQQGQKEITMNKGEISLAMEDILPKGIVKKTLWARGVDMLSYSVNSLRFTNLRFKDAKSPRSTQVVRLLINPDDTQKILTGCKARGIKLCGALGAAGLIAAHSSKSRSDHQKKKYGVVTLTDCRSILEPPLSNHHFGFYHSAILNTHAIKGGEKLWELAEKVYTVFTHYKSCNKHLSDMADLNFLMCRAMENPGLTPSASLRTCLISVFEDTVIDESSNQQNQVGVEDYMGCASAHGIAPSIAIFDTIRDGRLDCICVYPSPLHSREQMQELVDNMKCILVDAGKNVADETES >KJB55311 pep chromosome:Graimondii2_0_v6:9:4988901:4994061:-1 gene:B456_009G070600 transcript:KJB55311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGLSDSSGTDDDLPPSHQNRFQRGGCTAAGNGRSAVIGSAPLPRMHGDMETQIHLIEQEAYCSILRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDMIRRIREWRTASGLQPGMLSTSQPMLDPVPSPSVSGSRKKMKTSHSVASLSMGAPSPALHPSMQPSSSASRRGPMPGAKSKKSKSHPSRGLPGKPQAPNRTSTGAFAANVPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNSVEGRHALVYDINTADETWEWVNLKEISSEDIRWEGDDPGISRRGGRPGPGRGIKKSMACGGGVAGAGRGRGSLKGQAKKDFPLMQNGVGKKVLADIEILHTDTLIKEVEKVFGASHPDSIEIEKAKKVLTEHEQALVDAIARLEGASDGESDGEHPFSQGQ >KJB55313 pep chromosome:Graimondii2_0_v6:9:4989288:4992460:-1 gene:B456_009G070600 transcript:KJB55313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGLSDSSGTDDDLPPSHQNRFQRGGCTAAGNGRSAVIGSAPLPRMHGDMETQIHLIEQEAYCSILRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDMIRRIREWRTASGLQPGMLSTSQPMLDPVPSPSVSGSRKKMKTSHSVASLSMGAPSPALHPSMQPSSSASRRGPMPGAKSKKSKSHPSRGLPGKPQAPNRTSTGAFAANVPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNSVEGRHALVYDINTADETWEWVNLKEISSEDIRWEGDDPGISRRGGRPGPGRGIKKSMACGGGVAGAGRGRGSLKGQAKKDFPLMQNGVGKKVLADIEILHTDTLIKEVEKVFGASHPDSIEIEKAKKVLTEHEQALVDAIARLEGASDGESADGEHPFSQGQ >KJB55319 pep chromosome:Graimondii2_0_v6:9:4989750:4992460:-1 gene:B456_009G070600 transcript:KJB55319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGLSDSSGTDDDLPPSHQNRFQRGGCTAAGNGRSAVIGSAPLPRMHGDMETQIHLIEQEAYCSILRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDMIRRIREWRTASGLQPGMLSTSQPMLDPVPSPSVSGSRKKMKTSHSVASLSMGAPSPALHPSMQPSSSASRRGPMPGAKSKKSKSLMQHPSRGLPGKPQAPNRTSTGAFAANVPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNSVEGRHALVYDINTADETWEWVNLKEISSEDIRWEGDDPGISRRGGRPGPGRGIKKSMACGGGVAGAGRGRGSLKGQAKKDFPLMQNGVGKKVLADIEILHTDTLIKEVEKVFGASHPDSIEIEKAKKVLTEHEQALVDAIARLEGASDGESGNN >KJB55316 pep chromosome:Graimondii2_0_v6:9:4988901:4994061:-1 gene:B456_009G070600 transcript:KJB55316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGLSDSSGTDDDLPPSHQNRFQRGGCTAAGNGRSAVIGSAPLPRMHGDMETQIHLIEQEAYCSILRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDMIRRIREWRTASGLQPGMLSTSQPMLDPVPSPSVSGSRKKMKTSHSVASLSMGAPSPALHPSMQPSSSASRRGPMPGAKSKKSKSLMQHPSRGLPGKPQAPNRTSTGAFAANVPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNSVEGRHALVYDINTADETWEWVNLKEISSEDIRWEGDDPGISRRGGRPGPGRGIKKSMACGGGVAGAGRGRGSLKGQAKKDFPLMQNGVGKKVLADIEILHTDTLIKEVEKVFGASHPDSIEIEKAKKVLTEHEQALVDAIARLEGASDGESDGEHPFSQGQ >KJB55320 pep chromosome:Graimondii2_0_v6:9:4989750:4992460:-1 gene:B456_009G070600 transcript:KJB55320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGLSDSSGTDDDLPPSHQNRFQRGGCTAAGNGRSAVIGSAPLPRMHGDMETQIHLIEQEAYCSILRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDMIRRIREWRTASGLQPGMLSTSQPMLDPVPSPSVSGSRKKMKTSHSVASLSMGAPSPALHPSMQPSSSASRRGPMPGAKSKKSKSHPSRGLPGKPQAPNRTSTGAFAANVPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNSVEGRHALVYDINTADETWEWVNLKEISSEDIRWEGDDPGISRRGGRPGPGRGIKKSMACGGGVAGAGRGRGSLKGQAKKDFPLMQNGVGKKVLADIEILHTDTLIKEVEKVFGASHPDSIEIEKAKKVLTEHEQALVDAIARLEGASDGESGNN >KJB55318 pep chromosome:Graimondii2_0_v6:9:4988901:4994061:-1 gene:B456_009G070600 transcript:KJB55318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGLSDSSGTDDDLPPSHQNRFQRGGCTAAGNGRSAVIGSAPLPRMHGDMETQIHLIEQEAYCSILRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDMIRRIREWRTASGLQPGMLSTSQPMLDPVPSPSVSGSRKKMKTSHSVASLSMGAPSPALHPSMQPSSSASRRGPMPGAKSKKSKSLMQHPSRGLPGKPQAPNRTSTGAFAANVPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNSVEGRHALVYDINTADETWEWVNLKEISSEDIRWEGDDPGISRRGGRPGPGRGIKKSMACGGGVAGAGRGRGSLKGQAKKDFPLMQNGVGKKVLADIEILHTDTLIKEVEKVFGASHPDSIEIEKAKKVLTEHEQALVDAIARLEGASDGESDGEHPFSQGQ >KJB55314 pep chromosome:Graimondii2_0_v6:9:4989288:4992460:-1 gene:B456_009G070600 transcript:KJB55314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGLSDSSGTDDDLPPSHQNRFQRGGCTAAGNGRSAVIGSAPLPRMHGDMETQIHLIEQEAYCSILRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDMIRRIREWRTASGLQPGMLSTSQPMLDPVPSPSVSGSRKKMKTSHSVASLSMGAPSPALHPSMQPSSSASRRGPMPGAKSKKSKSLMQHPSRGLPGKPQAPNRTSTGAFAANVPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNSVEGRHALVYDINTADETWEWVNLKEISSEDIRWEGDDPGISRRGGRPGPGRGIKKSMACGGGVAGAGRGRGSLKGQAKKDFPLMQNGVGKKVLADIEILHTDTLIKEVEKVFGASHPDSIEIEKAKKVLTEHEQALVDAIARLEGASDGESADGEHPFSQGQ >KJB55312 pep chromosome:Graimondii2_0_v6:9:4988901:4994061:-1 gene:B456_009G070600 transcript:KJB55312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGLSDSSGTDDDLPPSHQNRFQRGGCTAAGNGRSAVIGSAPLPRMHGDMETQIHLIEQEAYCSILRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDMIRRIREWRTASGLQPGMLSTSQPMLDPVPSPSVSGSRKKMKTSHSVASLSMGAPSPALHPSMQPSSSASRRGPMPGAKSKKSKSHPSRGLPGKPQAPNRTSTGAFAANVPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNSVEGRHALVYDINTADETWEWVNLKEISSEDIRWEGDDPGISRRGGRPGPGRGIKKSMACGGGVAGAGRGRGSLKGQAKKDFPLMQNGVGKKVLADIEILHTDTLIKEVEKVFGASHPDSIEIEKAKKVLTEHEQALVDAIARLEGASDGESDGEHPFSQGQ >KJB55317 pep chromosome:Graimondii2_0_v6:9:4988930:4993930:-1 gene:B456_009G070600 transcript:KJB55317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGLSDSSGTDDDLPPSHQNRFQRGGCTAAGNGRSAVIGSAPLPRMHGDMETQIHLIEQEAYCSILRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDMIRRIREWRTASGLQPGMLSTSQPMLDPVPSPSVSGSRKKMKTSHSVASLSMGAPSPALHPSMQPSSSASRRGPMPGAKSKKSKSHPSRGLPGKPQAPNRTSTGAFAANVPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNSVEGRHALVYDINTADETWEWVNLKEISSEDIRWEGDDPGISRRGGRPGPGRGIKKSMACGGGVAGAGRGRGSLKGQAKKDFPLMQNGVGKKVLADIEILHTDTLIKEVEKVFGASHPDSIEIEKAKKVLTEHEQALVDAIARLEGASDGESADGEHPFSQGQ >KJB55315 pep chromosome:Graimondii2_0_v6:9:4989288:4992460:-1 gene:B456_009G070600 transcript:KJB55315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGLSDSSGTDDDLPPSHQNRFQRGGCTAAGNGRSAVIGSAPLPRMHGDMETQIHLIEQEAYCSILRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDMIRRIREWRTASGLQPGMLSTSQPMLDPVPSPSVSGSRKKMKTSHSVASLSMGAPSPALHPSMQPSSSASRRGPMPGAKSKKSKSLMQHPSRGLPGKPQAPNRTSTGAFAANVPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNSVEGRHALVYDINTADETWEWVNLKEISSEDIRWEGDDPGISRRGGRPGPGRGIKKSMACGGGVAGAGRGRGSLKGQAKKDFPLMQNGVGKKVLADIEILHTDTLIKEVEKVFGASHPDSIEIEKAKKVLTEHEQALVDAIARLEGASDGESADGEHPFSQGQ >KJB60215 pep chromosome:Graimondii2_0_v6:9:25626234:25629852:-1 gene:B456_009G294300 transcript:KJB60215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan phosphatase LSF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10940) UniProtKB/Swiss-Prot;Acc:Q9SRK5] MSTISSTACFSSVFQNPSQTELFPANFKCRFNSMLPKKQSKMGAPRIFCNLSETETGVDDNPRNSKVSVRSKNRMEEYNTAMKKMMRNPYEYHHDLGMNYTLITDNLIVGSQPQKPEDIDHLKQEEKVAYILNLQQDNDIEYWGIDLQPIIKRCRQLGIHHMRTPARDFDPDSLRNILPKAVSSLEWAIAEGKGRVYVHCTAGLGRAPAVAIAYMFWFCGMNHSKH >KJB60213 pep chromosome:Graimondii2_0_v6:9:25626223:25629968:-1 gene:B456_009G294300 transcript:KJB60213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan phosphatase LSF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10940) UniProtKB/Swiss-Prot;Acc:Q9SRK5] MSTISSTACFSSVFQNPSQTELFPANFKCRFNSMLPKKQSKMGAPRIFCNLSETETGVDDNPRNSKVSVRSKNRMEEYNTAMKKMMRNPYEYHHDLGMNYTLITDNLIVGSQPQKPEDIDHLKQEEKVAYILNLQQDNDIEYWGIDLQPIIKRCRQLGIHHMRTPARDFDPDSLRNILPKAVSSLEWAIAEGKGRVYVHCTAGLGRAPAVAIAYMFWFCGMNVNTAFEALTSKRPCGPNKRAIRGATYDLAKNDPWKEPFENLPGHAFEGVADWERKLIQDRVRSLRGT >KJB60216 pep chromosome:Graimondii2_0_v6:9:25627144:25629830:-1 gene:B456_009G294300 transcript:KJB60216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan phosphatase LSF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10940) UniProtKB/Swiss-Prot;Acc:Q9SRK5] MSTISSTACFSSVFQNPSQTELFPANFKCRFNSMLPKKQSKMGAPRIFCNLSETETGVDDNPRNSKVSVRSKNRMEEYNTAMKKMMRNPYEYHHDLGMNYTLITDNLIVGSQPQKPEDIDHLKQEEKVAYILNLQQDNDIEYWGIDLQPIIKRCRQLGIHHMRTPARDFDPDSLRNILPKAVSSLEWAIAEGKGRVYVHCTAGLGRAPAVAIAYMFWFCGMNVSILHCIIHFL >KJB60214 pep chromosome:Graimondii2_0_v6:9:25627530:25629830:-1 gene:B456_009G294300 transcript:KJB60214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan phosphatase LSF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10940) UniProtKB/Swiss-Prot;Acc:Q9SRK5] MSTISSTACFSSVFQNPSQTELFPANFKCRFNSMLPKKQSKMGAPRIFCNLSETETGVDDNPRNSKVSVRSKNRMEEYNTAMKKMMRNPYEYHHDLGMNYTLITDNLIVGSQPQKPEDIDHLKQEEKVAYILNLQQDNDIEYWGIDLQPIIKRCRQLGIHHMRTPVRKIFSHFIIIYSFRKK >KJB60158 pep chromosome:Graimondii2_0_v6:9:25232153:25234266:1 gene:B456_009G292000 transcript:KJB60158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLCKKLGDPASASVGNESESSSSPIEVIRNYPIPQLNSPTAVRVKVKATSLNYANYLQILGKYQEKPPLPFIPGSDYAGTVDAVGPAVTNFKVGDHVCSFAALGSFASFIVQDQSLLFGLPKGCDLVAAAALPVAFGTSHVALVHRASLSSSQVLLVLGAAGGVGFSAVQIGKVCGAVVIAAARGAEKVQFLKSLGADHVVDLTSQNLTASVKGFLKSRKLKGVDVLYDPVGGKLTKESMKLLNWGAQILVIGFASGEIPVIPANITLVKNWTVHGLYWGSYSIHRPAVLEDSIQELLSWMEKGLITIHISHTYSISEVWSYY >KJB60157 pep chromosome:Graimondii2_0_v6:9:25232133:25234992:1 gene:B456_009G292000 transcript:KJB60157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLCKKLGDPASASVGNESESSSSPIEVIRNYPIPQLNSPTAVRVKVKATSLNYANYLQILGKYQEKPPLPFIPGSDYAGTVDAVGPAVTNFKVGDHVCSFAALGSFASFIVQDQSLLFGLPKGCDLVAAAALPVAFGTSHVALVHRASLSSSQVLLVLGAAGGVGFSAVQIGKVCGAVVIAAARGAEKVQFLKSLGADHVVDLTSQNLTASVKGFLKSRKLKGVDVLYDPVGGKLTKESMKLLNWGAQILVIGFASGEIPVIPANITLVKNWTVHGLYWGSYSIHRPAVLEDSIQELLSWMEKGLITIHISHTYSISEANLAFSALRDRKAIGKVMIVFDDMGRSKL >KJB60160 pep chromosome:Graimondii2_0_v6:9:25232153:25234989:1 gene:B456_009G292000 transcript:KJB60160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPITFRFWASTKRSLPSLSFLAPIMLALLTPSAPPSPISRFGLPKGCDLVAAAALPVAFGTSHVALVHRASLSSSQVLLVLGAAGGVGFSAVQIGKVCGAVVIAAARGAEKVQFLKSLGADHVVDLTSQNLTASVKGFLKSRKLKGVDVLYDPVGGKLTKESMKLLNWGAQILVIGFASGEIPVIPANITLVKNWTVHGLYWGSYSIHRPAVLEDSIQELLSWMEKGLITIHISHTYSISEANLAFSALRDRKAIGKVMIVFDDMGRSKL >KJB60159 pep chromosome:Graimondii2_0_v6:9:25232153:25234989:1 gene:B456_009G292000 transcript:KJB60159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLCKKLGDPASASVGNESESSSSPIEVIRNYPIPQLNSPTAVRVKVKATSLNYANYLQILGKYQEKPPLPFIPGSDYAGTVDAVGPAVTNFKVGDHVCSFAALGSFASFIVQDQSLLFGLPKGCDLVAAAALPVAFGTSHVALVHRASLSSSQVLLVLGAAGGVGFSAVQIGKVCGAVVIAAARGAEKVQFLKSLGADHVVDLTSQNLTASVKGFLKSRKLKGVDVLYDPVGGKLTKESMKLLNWGAQILVIGFASGEIPVIPANITLVKNWTVHGLYWGSYSIHRPAVLEDSIQELLSWMEKGLITIHISHTYSISEVWSYY >KJB60892 pep chromosome:Graimondii2_0_v6:9:33839374:33842090:1 gene:B456_009G330000 transcript:KJB60892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTYSTETPATPAAAPSDPHAPLLSPRHSDAASTAQLAMPGRRRGGPVLAREMAAREQEEQRVDCGYSKSVVALDMMWNAAFVALSVVMLPSTMDEKPNTPIRLWVCGYALLCMLHVVFVLWEYRWSNTRTTSAVDEERGDAAAEHVNDSEDEEDGIEMSLFGSNQSSVAKRCESLNTMVSFLWCIVGFYWVVSGGDILLQNAPRLYWLVVVFLAFDVFFAVICVVLACLIGIALCCCLPCIVAILYAVAKQEGAAEADLSVLMKYRFQIINNGEMPCVGAGKMVPVEMSGGYLANEHLLLPEDAECCICLSSYEDGVDLHSLPCNHHFHSTCILRWLKTNATCPLCKYNILKGNEHL >KJB62404 pep chromosome:Graimondii2_0_v6:9:63886790:63889639:1 gene:B456_009G415200 transcript:KJB62404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYLICFSAPLASTPVRERDFSSSKELITEFCRECRIVRKGWNGDLKNAMKDGKPIIIEVNEFKFIEKFKIFNTNNL >KJB61692 pep chromosome:Graimondii2_0_v6:9:50970454:50979320:1 gene:B456_009G374900 transcript:KJB61692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVDMEKDISQLHPADPLPFARSYQLEALEKAIKQNTITYLETGSGKTMIAIMLLRSYAHLIRKPSPFFAVFLVPKVVLVKQQADAVEMHTDLNVGKYWGDMQVDFWDGEKWKQELDKYEVLVMTPQILLDGLRHSFFKINMIKVLIIDECHHARGNHPYASIMREFYHRHLEAGASNLPRIFGMTASPINSKGANSADSYWQKIHELETIMNSKVYTCESESVLAQFVPFSTPKFKFYQHMEIPNVLYAHLVEELTVLKVKHECSLDNLDLEASAAESTRKKLSKIHSALIHCLHELGVWLALKAAECFSCYESEHLMWGNLDVFGEKIIRSYSVDAFHAIETCMPSGLDWTIANDVKGSVAAGFLTTKVLCLIESLFEYRVLKDIRCIIFVERVITAVVLQSLFSELLPRYSNWKTNYIAGNNSGLQNQTRKKQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLIIRFDPSPTICSFIQSRGRARMQNSDYLLMLKSGDFSTHSRLKNYLTSGDVMRKESLRHASNPCSPLSKGLDDEEFYQVASTGACMTLSSSVGLMYFYCSRLPADGYFKPIPRCVIDKQMGLCTLLLPKSCPIQTVCVQGNIKNLKKIACFEACKKLHQIGALTDNLVPDIVFEENDVEEFEKEPYNDDQPIFFPPELVNKGSLDSMTKYYCYLMELKQNFDYEVPVHNIMLLVRNQFDMDEKSVNIELEVDRGTLTVNMKYIGLIRLNSDQVILCRRFQLAVFQVLMDRKAEKFAEVLCDHTFGNNSEIDYLLLPSNYVGQSPLIDWLSVTSVTFSYEKAWKNHVNCNAGMIQTKSGLVCTCMVQNSLVSTPHNGHAYIISGLLTNINANSLLRLSDGRLMTYKEYYEQRHGINFCYGQVSFLAGRHIFPVQNHIQRFRKQKEKESSNALVELPPELCCVVMSPISVSTFYSFTFLPSIMHRLESLLLATSLKKMHLDHCVQNIAIPTMKVLEAITTKKCLENFHLESLETLGDSFLKYAVCQQLFKKYQNHHEGLLSIRKDKIISNTALSMLGCDKKLPGFIRDEPFDPKDWMIPGYNCGNYSLNEETLCNAKKIYVRGRRKVKCKKVADVVEALIGAYLSTGGEAAGLLFLDWIGISIDFTNIPYERHFKVRAEKFVNVQHFESLLHYSFQDPSLLVEALTHGSYMLAEIPGCYQRLEFLGDSVLDYLITLHLYNKYPGITPGLLTDLRSASVNNNCYALSAVKAGFHKHILQSSQKLYKDIKETVESFQELSLEYTFGWESEKSFPKVLGDVMESLAGAIFVDSGYKKEIVFQSIRPLLEPMITPETMTVHPVKELYELCQKEHYELRKPIVSHEDGISSITIEVEANGKVFKHTSTACDKKMAKKLASKEVLKSLKGANFS >KJB61695 pep chromosome:Graimondii2_0_v6:9:50970390:50979491:1 gene:B456_009G374900 transcript:KJB61695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVDMEKDISQLHPADPLPFARSYQLEALEKAIKQNTITYLETGSGKTMIAIMLLRSYAHLIRKPSPFFAVFLVPKVVLVKQQADAVEMHTDLNVGKYWGDMQVDFWDGEKWKQELDKYEVLVMTPQILLDGLRHSFFKINMIKVLIIDECHHARGNHPYASIMREFYHRHLEAGASNLPRIFGMTASPINSKGANSADSYWQKIHELETIMNSKVYTCESESVLAQFVPFSTPKFKFYQHMEIPNVLYAHLVEELTVLKVKHECSLDNLDLEASAAESTRKKLSKIHSALIHCLHELGVWLALKAAECFSCYESEHLMWGNLDVFGEKIIRSYSVDAFHAIETCMPSGLDWTIANDVKGSVAAGFLTTKVLCLIESLFEYRVLKDIRCIIFVERVITAVVLQSLFSELLPRYSNWKTNYIAGNNSGLQNQTRKKQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLIIRFDPSPTICSFIQSRGRARMQNSDYLLMLKSGDFSTHSRLKNYLTSGDVMRKESLRHASNPCSPLSKGLDDEEFYQVASTGACMTLSSSVGLMYFYCSRLPADGYFKPIPRCVIDKQMGLCTLLLPKSCPIQTVCVQGNIKNLKKIACFEACKKLHQIGALTDNLVPDIVFEENDVEEFEKEPYNDDQPIFFPPELVNKGSLDSMTKYYCYLMELKQNFDYEVPVHNIMLLVRNQFDMDEKSVNIELEVDRGTLTVNMKYIGLIRLNSDQVILCRRFQLAVFQVLMDRKAEKFAEVLCDHTFGNNSEIDYLLLPSNYVGQSPLIDWLSVTSVTFSYEKAWKNHVNCNAGMIQTKSGLVCTCMVQNSLVSTPHNGHAYIISGLLTNINANSLLRLSDGRLMTYKEYYEQRHGINFCYGQVSFLAGRHIFPVQNHIQRFRKQKEKESSNALVELPPELCCVVMSPISVSTFYSFTFLPSIMHRLESLLLATSLKKMHLDHCVQNIAIPTMKVLEAITTKKCLENFHLESLETLGDSFLKYAVCQQLFKKYQNHHEGLLSIRKDKIISNTALSMLGCDKKLPGFIRDEPFDPKDWMIPGYNCGNYSLNEETLCNAKKIYVRGRRKVKCKKVADVVEALIGAYLSTGGEAAGLLFLDWIGISIDFTNIPYERHFKVRAEKFVNVQHFESLLHYSFQDPSLLVEALTHGSYMLAEIPGCYQRLEFLGDSVLDYLITLHLYNKYPGITPGLLTDLRSASVNNNCYALSAVKAGFHKHILQSSQKLYKDIKETVESFQELSLEYTFGWESEKSFPKVLGDVMESLAGAIFVDSGYKKEIVFQSIRPLLEPMITPETMTVHPVKELYELCQKEHYELRKPIVSHEDGISSITIEVEANGKVFKHTSTACDKKMAKKLASKEVLKSLKGANFS >KJB61693 pep chromosome:Graimondii2_0_v6:9:50970618:50979320:1 gene:B456_009G374900 transcript:KJB61693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTDLNVGKYWGDMQVDFWDGEKWKQELDKYEVLVMTPQILLDGLRHSFFKINMIKVLIIDECHHARGNHPYASIMREFYHRHLEAGASNLPRIFGMTASPINSKGANSADSYWQKIHELETIMNSKVYTCESESVLAQFVPFSTPKFKFYQHMEIPNVLYAHLVEELTVLKVKHECSLDNLDLEASAAESTRKKLSKIHSALIHCLHELGVWLALKAAECFSCYESEHLMWGNLDVFGEKIIRSYSVDAFHAIETCMPSGLDWTIANDVKGSVAAGFLTTKVLCLIESLFEYRVLKDIRCIIFVERVITAVVLQSLFSELLPRYSNWKTNYIAGNNSGLQNQTRKKQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLIIRFDPSPTICSFIQSRGRARMQNSDYLLMLKSGDFSTHSRLKNYLTSGDVMRKESLRHASNPCSPLSKGLDDEEFYQVASTGACMTLSSSVGLMYFYCSRLPADGYFKPIPRCVIDKQMGLCTLLLPKSCPIQTVCVQGNIKNLKKIACFEACKKLHQIGALTDNLVPDIVFEENDVEEFEKEPYNDDQPIFFPPELVNKGSLDSMTKYYCYLMELKQNFDYEVPVHNIMLLVRNQFDMDEKSVNIELEVDRGTLTVNMKYIGLIRLNSDQVILCRRFQLAVFQVLMDRKAEKFAEVLCDHTFGNNSEIDYLLLPSNYVGQSPLIDWLSVTSVTFSYEKAWKNHVNCNAGMIQTKSGLVCTCMVQNSLVSTPHNGHAYIISGLLTNINANSLLRLSDGRLMTYKEYYEQRHGINFCYGQVSFLAGRHIFPVQNHIQRFRKQKEKESSNALVELPPELCCVVMSPISVSTFYSFTFLPSIMHRLESLLLATSLKKMHLDHCVQNIAIPTMKVLEAITTKKCLENFHLESLETLGDSFLKYAVCQQLFKKYQNHHEGLLSIRKDKIISNTALSMLGCDKKLPGFIRDEPFDPKDWMIPGYNCGNYSLNEETLCNAKKIYVRGRRKVKCKKVADVVEALIGAYLSTGGEAAGLLFLDWIGISIDFTNIPYERHFKVRAEKFVNVQHFESLLHYSFQDPSLLVEALTHGSYMLAEIPGCYQRLEFLGDSVLDYLITLHLYNKYPGITPGLLTDLRSASVNNNCYALSAVKAGFHKHILQSSQKLYKDIKETVESFQELSLEYTFGWESEKSFPKVLGDVMESLAGAIFVDSGYKKEIVFQSIRPLLEPMITPETMTVHPVKELYELCQKEHYELRKPIVSHEDGISSITIEVEANGKVFKHTSTACDKKMAKKLASKEVLKSLKGANFS >KJB61694 pep chromosome:Graimondii2_0_v6:9:50970454:50979320:1 gene:B456_009G374900 transcript:KJB61694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVDMEKDISQLHPADPLPFARSYQLEALEKAIKQNTITYLETGSGKTMIAIMLLRSYAHLIRKPSPFFAVFLVPKVVLVKQQADAVEMHTDLNVGKYWGDMQVDFWDGEKWKQELDKYEVLVMTPQILLDGLRHSFFKINMIKVLIIDECHHARGNHPYASIMREFYHRHLEAGASNLPRIFGMTASPINSKGANSADSYWQKIHELETIMNSKVYTCESESVLAQFVPFSTPKFKFYQHMEIPNVLYAHLVEELTVLKVKHECSLDNLDLEASAAESTRKKLSKIHSALIHCLHELGVWLALKAAECFSCYESEHLMWGNLDVFGEKIIRSYSVDAFHAIETCMPSGLDWTIANDVKGSVAAGFLTTKVLCLIESLFEYRVLKDIRCIIFVERVITAVVLQSLFSELLPRYSNWKTNYIAGNNSGLQNQTRKKQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLIIRFDPSPTICSFIQSRGRARMQNSDYLLMLKSGDFSTHSRLKNYLTSGDVMRKESLRHASNPCSPLSKGLDDEEFYQVASTGACMTLSSSVGLMYFYCSRLPADGYFKPIPRCVIDKQMGLCTLLLPKSCPIQTVCVQGNIKNLKKIACFEACKKLHQIGALTDNLVPDIVFEENDVEEFEKEPYNDDQPIFFPPELVNKGSLDSMTKYYCYLMELKQNFDYEVPVHNIMLLVRNQFDMDEKSVNIELEVDRGTLTVNMKYIGLIRLNSDQVILCRRFQLAVFQVLMDRKAEKFAEVLCDHTFGNNSEIDYLLLPSNYVGQSPLIDWLSVTSVTFSYEKAWKNHVNCNAGMIQTKSGLVCTCMVQNSLVSTPHNGHAYIISGLLTNINANSLLRLSDGRLMTYKEYYEQRHGINFCYGQVSFLAGRHIFPVQNHIQRFRKQKEKESSNALVELPPELCCVVMSPISVSTFYSFTFLPSIMHRLESLLLATSLKKMHLDHCVQNIAIPTMKVLEAITTKKCLENFHLESLETLGDSFLKYAVCQQLFKKYQNHHEGLLSIRKDKIISNTALSMLGCDKKLPGFIRDEPFDPKDWMIPGYNCGNYSLNEETLCNAKKIYVRGRRKVKCKKVADVVEALIGAYLSTGGEAAGLLFLDWIGISIDFTNIPYERHFKVRAEKFVNVQHFESLLHYSFQDPSLLVEALTHGSYMLAEIPGCYQRLEFLGDSVLDYLITLHLYNKYPGITPGLLTDLRSASVNNNCYALSAVKAGFHKHILQSSQKLYKDIKETVESFQELSLEYTFGWESEKSFPKVLGDVMESLAGAIFVDSGYKKEIVFQSIRPLLEPMITPETMTVHPVKELYELCQKEHYELRKPIVSHEDGISSITIEVEANGKVFKHTSTACDKKMAKKLASKEVLKSLKGANFS >KJB61696 pep chromosome:Graimondii2_0_v6:9:50972985:50979320:1 gene:B456_009G374900 transcript:KJB61696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGNLDVFGEKIIRSYSVDAFHAIETCMPSGLDWTIANDVKGSVAAGFLTTKVLCLIESLFEYRVLKDIRCIIFVERVITAVVLQSLFSELLPRYSNWKTNYIAGNNSGLQNQTRKKQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLIIRFDPSPTICSFIQSRGRARMQNSDYLLMLKSGDFSTHSRLKNYLTSGDVMRKESLRHASNPCSPLSKGLDDEEFYQVASTGACMTLSSSVGLMYFYCSRLPADGYFKPIPRCVIDKQMGLCTLLLPKSCPIQTVCVQGNIKNLKKIACFEACKKLHQIGALTDNLVPDIVFEENDVEEFEKEPYNDDQPIFFPPELVNKGSLDSMTKYYCYLMELKQNFDYEVPVHNIMLLVRNQFDMDEKSVNIELEVDRGTLTVNMKYIGLIRLNSDQVILCRRFQLAVFQVLMDRKAEKFAEVLCDHTFGNNSEIDYLLLPSNYVGQSPLIDWLSVTSVTFSYEKAWKNHVNCNAGMIQTKSGLVCTCMVQNSLVSTPHNGHAYIISGLLTNINANSLLRLSDGRLMTYKEYYEQRHGINFCYGQVSFLAGRHIFPVQNHIQRFRKQKEKESSNALVELPPELCCVVMSPISVSTFYSFTFLPSIMHRLESLLLATSLKKMHLDHCVQNIAIPTMKVLEAITTKKCLENFHLESLETLGDSFLKYAVCQQLFKKYQNHHEGLLSIRKDKIISNTALSMLGCDKKLPGFIRDEPFDPKDWMIPGYNCGNYSLNEETLCNAKKIYVRGRRKVKCKKVADVVEALIGAYLSTGGEAAGLLFLDWIGISIDFTNIPYERHFKVRAEKFVNVQHFESLLHYSFQDPSLLVEALTHGSYMLAEIPGCYQRLEFLGDSVLDYLITLHLYNKYPGITPGLLTDLRSASVNNNCYALSAVKAGFHKHILQSSQKLYKDIKETVESFQELSLEYTFGWESEKSFPKVLGDVMESLAGAIFVDSGYKKEIVFQSIRPLLEPMITPETMTVHPVKELYELCQKEHYELRKPIVSHEDGISSITIEVEANGKVFKHTSTACDKKMAKKLASKEVLKSLKGANFS >KJB62679 pep chromosome:Graimondii2_0_v6:9:67443399:67444961:1 gene:B456_009G429700 transcript:KJB62679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGCYGQPNNRLLSGWVLAAKDDSSDDSLLKQPIDYTLVWSSESLKIKQDGNGYIWLPIAPQGYKAVGHVITNTKDKPSPQKIRCVRSDFTDETENDTWIWGPGKEVDAKGINFFSSRPINRGTQHMGVCVGTFVAQNPPLPCLKNVKANLSYMPNLRQIDTLFQAYSPWIYFHPNEAYLPSSVSWFFVNGALLYKKGEESKPVPIQVTGSNLPQGGANDGNYWLDLPIDEATKERVKKGDLQNSQVYLHVKPMLGATYSDIAIWVFYPFNGAAKAKVEFINISLGRIGEHVGDWEHVTLRVSNFNGELHSIYFSEHSGGSWVNASDLEFQGGNKPCTYSSLHGHAMYSKPGLVLQGSGEIGIRNDTAKSKIVMDTGLQFSLVAAEYLGSTTIVEPPWLNYFREWGPKISYNLADEIKKVEKVLPGKLKTAFEKFINGLPDEVLGQEGPTGPKVKRNWNGDEV >KJB54700 pep chromosome:Graimondii2_0_v6:9:3276154:3278466:-1 gene:B456_009G045400 transcript:KJB54700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPSRALILYGDGLARFIHPSHAHLHSLASTANCGFFSLPNAPPSESEDDRTVREFAVLVDAFETLNKNGQFSSESFGEKLGFNVLNLNGLFGNSNTPPVSSIDNLASKLLSLLGFQEGKVTESNQFDLVILHIGYGENLNANSGNDVEFLNALLGAIMSIAKPGTEIGSRLLLSLVMSYGSVSKADEPGLSILSTKYEKNPNLSALFPNQSYTMRGERQRNDVRQYGPMLFAQYQYAVTRKDMVETFSFEEFKECSGNLTIPADRLLHEIAFKLWKAPKYGA >KJB54701 pep chromosome:Graimondii2_0_v6:9:3276154:3278466:-1 gene:B456_009G045400 transcript:KJB54701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPSRALILYGDGLARFIHPSHAHLHSLASTANCGFFSLPNAPPSESEDDRTVREFAVLVDAFETLNKNGQFSSEVKSQKSSLIPTMSERFMGMKAAILSNNSGLKSFGEKLGFNLLSLLGFQEGKVTESNQFDLVILHIGYGENLNANSGNDVEFLNALLGAIMSIAKPGTEIGSRLLLSLVMSYGSVSKADEPGLSILSTKYEKNPNLSALFPNQSYTMRGERQRNDVRQYGPMLFAQYQYAVTRKDMVETFSFEEFKECSGNLTIPADRLLHEIAFKLWKAPKYGA >KJB54703 pep chromosome:Graimondii2_0_v6:9:3276486:3277452:-1 gene:B456_009G045400 transcript:KJB54703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNMRLLSQFRFMGMKAAILSNNSGLKSFGEKLGFNVLNLNGLFGNSNTPPVSSIDNLASKLLSLLGFQEGKVTESNQFDLVILHIGYGENLNANSGNDVEFLNALLGAIMSIAKPGTEIGSRLLLSLVMSYGSVSKADEPGLSILSTKYEKNPNLSALFPNQSYTMRGERQRNDVRQYGPMLFAQYQYAVTRKDMVETFSFEEFKECSGNLTIPADRLLHEIAFKLWKAPKYGA >KJB54704 pep chromosome:Graimondii2_0_v6:9:3276486:3278374:-1 gene:B456_009G045400 transcript:KJB54704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPSRALILYGDGLARFIHPSHAHLHSLASTANCGFFSLPNAPPSESEDDRTVREFAVLVDAFETLNKNGQFSSEFRFMGMKAAILSNNSGLKSFGEKLGFNVLNLNGLFGNSNTPPVSSIDNLASKLLSLLGFQEGKVTESNQFDLVILHIGYGENLNANSGNDVEFLNALLGAIMSIAKPGTEIGSRLLLSLVMSYGSVSKADEPGLSILSTKYEKNPNLSALFPNQSYTMRGERQRNDVRQYGPMLFAQYQYAVTRKDMVETFSFEEFKECSGNLTIPADRLLHEIAFKLWKAPKYGA >KJB54705 pep chromosome:Graimondii2_0_v6:9:3276916:3278374:-1 gene:B456_009G045400 transcript:KJB54705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPSRALILYGDGLARFIHPSHAHLHSLASTANCGFFSLPNAPPSESEDDRTVREFAVLVDAFETLNKNGQFSSEVKSQKSSLIPTMSERFMGMKAAILSNNSGLKSFGEKLGFNVLNLNGLFGNSNTPPVSSIDNLASKLLSLLGFQEGKVTESNQFDLVILHIGYGENLNANSGNDVEFLNALLGAIMSIAKPGTEIGSRLLLSLVMSYGSVSKADEPGLSILSTKYEKNPNLSALFPNQSYTMRGERQRNDVR >KJB54699 pep chromosome:Graimondii2_0_v6:9:3276098:3278502:-1 gene:B456_009G045400 transcript:KJB54699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPSRALILYGDGLARFIHPSHAHLHSLASTANCGFFSLPNAPPSESEDDRTVREFAVLVDAFETLNKNGQFSSEVKSQKSSLIPTMSERFMGMKAAILSNNSGLKSFGEKLGFNVLNLNGLFGNSNTPPVSSIDNLASKLLSLLGFQEGKVTESNQFDLVILHIGYGENLNANSGNDVEFLNALLGAIMSIAKPGTEIGSRLLLSLVMSYGSVSKADEPGLSILSTKYEKNPNLSALFPNQSYTMRGERQRNDVRQYGPMLFAQYQYAVTRKDMVETFSFEEFKECSGNLTIPADRLLHEIAFKLWKAPKYGA >KJB54702 pep chromosome:Graimondii2_0_v6:9:3276154:3278466:-1 gene:B456_009G045400 transcript:KJB54702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSSMFFGFMTFSQNGQFSSEVKSQKSSLIPTMSERFMGMKAAILSNNSGLKSFGEKLGFNVLNLNGLFGNSNTPPVSSIDNLASKLLSLLGFQEGKVTESNQFDLVILHIGYGENLNANSGNDVEFLNALLGAIMSIAKPGTEIGSRLLLSLVMSYGSVSKADEPGLSILSTKYEKNPNLSALFPNQSYTMRGERQRNDVRQYGPMLFAQYQYAVTRKDMVETFSFEEFKECSGNLTIPADRLLHEIAFKLWKAPKYGA >KJB56515 pep chromosome:Graimondii2_0_v6:9:9207217:9211930:-1 gene:B456_009G123400 transcript:KJB56515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73060) UniProtKB/Swiss-Prot;Acc:Q8H0W0] MTRGSSWLSYNLILDGFHGVSLLVFEAFSKTSSAAPKAIAMSFMAVSFSSLLSIPPPPHSLIPLRLASCHRFPTFTPGPRIQIQCATKDNKEATPPAAASMGSFDPKKGVALYKPKSYDVLVTDAAKSLAYAIQDGKTRLEIDFPPLPSNISSYKIDGISIGSLDDVPSDAVTSFFKSIKNTLDFDFVDENEGRWEPKEPPTLYIFINCSTRELSVIEKYVEKYAPSTPTLLFNLELDTLRADLGLLGFPTKDLHYRFLSQFVPVFYIRIREYSKTVAVAPFVVNYSGALFRQYPGPWQVMLKQADGSYACVAESSTRFTLGETKEELLRVLGLQEEQGSQLEFLRRGYKTSTWWEEEVELEVSSAWRS >KJB56516 pep chromosome:Graimondii2_0_v6:9:9207528:9211891:-1 gene:B456_009G123400 transcript:KJB56516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73060) UniProtKB/Swiss-Prot;Acc:Q8H0W0] MTRGSSWLSYNLILDGFHGVSLLVFEAFSKTSSAAPKAIAMSFMAVSFSSLLSIPPPPHSLIPLRLASCHRFPTFTPGPRIQIQCATKDNKEATPPAAASMGSFDPKKGVALYKPKSYDVLVTDAAKSLAYAIQDGKTRLEIDFPPLPSNISSYKGSSDEFIDANIQLALAVVRKLQQKMETRACIPEKRRASELFKAALDSIDGISIGSLDDVPSDAVTSFFKSIKNTLDFDFVDENEGRWEPKEPPTLYIFINCSTRELSVIEKYVEKYAPSTPTLLFNLELDTLRADLGLLGFPTKDLHYRFLSQFVPVFYIRIREYSKTVAVAPFVVNYSGALFRQYPGPWQVMLKQADGSYACVAESSTRFTLGETKEELLRVLGLQEEQGSQLEFLRRGYKTSTWWEEEVELEVSSAWRS >KJB56514 pep chromosome:Graimondii2_0_v6:9:9207206:9211930:-1 gene:B456_009G123400 transcript:KJB56514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73060) UniProtKB/Swiss-Prot;Acc:Q8H0W0] MTRGSSWLSYNLILDGFHGVSLLVFEAFSKTSSAAPKAIAMSFMAVSFSSLLSIPPPPHSLIPLRLASCHRFPTFTPGPRIQIQCATKDNKEATPPAAASMGSFDPKKGVALYKPKSYDVLVTDAAKSLAYAIQDGKTRLEIDFPPLPSNISSYKGSSDEFIDANIQLALAVVRKLQQKMETRACIVFPDKPEKRRASELFKAALDSIDGISIGSLDDVPSDAVTSFFKSIKNTLDFDFVDENEGRWEPKEPPTLYIFINCSTRELSVIEKYVEKYAPSTPTLLFNLELDTLRADLGLLGFPTKDLHYRFLSQFVPVFYIRIREYSKTVAVAPFVVNYSGALFRQYPGPWQVMLKQADGSYACVAESSTRFTLGETKEELLRVLGLQEEQGSQLEFLRRGYKTSTWWEEEVELEVSSAWRS >KJB58055 pep chromosome:Graimondii2_0_v6:9:14942331:14944163:-1 gene:B456_009G194200 transcript:KJB58055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase, leaf isozyme 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20020) UniProtKB/Swiss-Prot;Acc:Q8W493] MAMTVNAAVSLPSSKSSTLSFKNSVTVPERINFNKSVLYPKNVSLGGNVVSIRAQVTTEAPAKAPKISKKDDEGIVVNKFKPKEPYIGKCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSALGDFGNSQTVSLCVKRLVYTNEQGEIVKGVCSNFLCDLKPGAEVKITGPVGKEMLLPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYPEASKLS >KJB58057 pep chromosome:Graimondii2_0_v6:9:14941676:14944382:-1 gene:B456_009G194200 transcript:KJB58057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase, leaf isozyme 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20020) UniProtKB/Swiss-Prot;Acc:Q8W493] MAMTVNAAVSLPSSKSSTLSFKNSVTVPERINFNKSVLYPKNVSLGGNVVSIRAQVTTEAPAKAPKISKKDDEGIVVNKFKPKEPYIGKCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSALGDFGNSQTVSLCVKRLVYTNEQGEIVKGVCSNFLCDLKPGAEVKITGPVGKEMLLPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYPEEFEKMKEKAPNNLRVDYAISREQTNEQGEKMYIQTRMAQYAEELWELLKKDNTYVYMCGLKGMEKGIDDIMTSLAAKDGNLYNGVQHLQKYHLIL >KJB58054 pep chromosome:Graimondii2_0_v6:9:14941647:14944382:-1 gene:B456_009G194200 transcript:KJB58054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase, leaf isozyme 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20020) UniProtKB/Swiss-Prot;Acc:Q8W493] MAMTVNAAVSLPSSKSSTLSFKNSVTVPERINFNKSVLYPKNVSLGGNVVSIRAQVTTEAPAKAPKISKKDDEGIVVNKFKPKEPYIGKCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSALGDFGNSQTVSLCVKRLVYTNEQGEIVKGVCSNFLCDLKPGAEVKITGPVGKEMLLPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYPEEFEKMKEKAPNNLRVDYAISREQTNEQGEKMYIQTRMAQYAEELWELLKKDNTYVYMCGLKGMEKGIDDIMTSLAAKDGIDWIEYKRQMKKGEQWNVEVY >KJB58056 pep chromosome:Graimondii2_0_v6:9:14941588:14944472:-1 gene:B456_009G194200 transcript:KJB58056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase, leaf isozyme 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20020) UniProtKB/Swiss-Prot;Acc:Q8W493] MAMTVNAAVSLPSSKSSTLSFKNSVTVPERINFNKSVLYPKNVSLGGNVVSIRAQVTTEAPAKAPKISKKDDEGIVVNKFKPKEPYIGKCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSALGDFGNSQTVSLCVKRLVYTNEQGEIVKGVCSNFLCDLKPGAEVKITGPVGKEMLLPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYPEEFEKMKEKAPNNLRVDYAISREQTNEQGEKMYIQTRMAQYAEELWELLKKDNTYVYMCGLKGMEKGIDDIMTSLAAKDGIDWIEYKRQMKKGEQWNVEVY >KJB58058 pep chromosome:Graimondii2_0_v6:9:14941593:14944382:-1 gene:B456_009G194200 transcript:KJB58058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase, leaf isozyme 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20020) UniProtKB/Swiss-Prot;Acc:Q8W493] MAMTVNAAVSLPSSKSSTLSFKNSVTVPERINFNKSVLYPKNVSLGGNVVSIRAQVTTEAPAKAPKISKKDDEGIVVNKFKPKEPYIGKCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSALGDFGNSQTVSLCVKRLVYTNEQGEIVKGVCSNFLCDLKPGAEVKITGPVGKEMLLPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYPEEFEKMKEKAPNNLRVDYAISREQTNEQGEKMYIQTRMAQYAEELWELLKKDNTYVYMCGLKGMEKGIDDIMTSLAAKDGIDWIEYKRQMKKGEQWNVEVY >KJB53654 pep chromosome:Graimondii2_0_v6:9:120104:127533:-1 gene:B456_009G000500 transcript:KJB53654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVGSNSHGNLDEQISQLMQCKPLSEQEVRALCDKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >KJB53653 pep chromosome:Graimondii2_0_v6:9:119545:128013:-1 gene:B456_009G000500 transcript:KJB53653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVGSNSHGNLDEQISQLMQCKPLSEQEVRALCDKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >KJB53655 pep chromosome:Graimondii2_0_v6:9:119548:127731:-1 gene:B456_009G000500 transcript:KJB53655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVGSNSHGNLDEQISQLMQCKPLSEQEVRALCDKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGHI >KJB53656 pep chromosome:Graimondii2_0_v6:9:119548:127731:-1 gene:B456_009G000500 transcript:KJB53656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVGSNSHGNLDEQISQLMQCKPLSEQEVRALCDKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGHI >KJB54126 pep chromosome:Graimondii2_0_v6:9:1651050:1660756:-1 gene:B456_009G021900 transcript:KJB54126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHMQMRDLKENYVVSKETLKGSMNQKKSSPQMMIKSGDRFEDGKKVLGRRNGRRRGRGYKVDRLQDGKLEPNMGSTPCKSLMFHTRPGYGQLGTKCIVKANHFLAEIPGSDLSHYSVEINPEVASRKLDKAIMTELVKLYRNSDLGTKLPVYDGRKNLYTAGSLPFTSKVFNVILADEDEETGNVRKRDFEVTIKFVAVASMAQLRNLLSGKQVDTPQGALNIIDTVLRELAAQRYLSVGRFLYSPTIRKPQALGGGVESWRGFYQSIRPTQMGLSLNIDMSTIAFIEPLPVVDFVAQILGKDVYSRPMSDADRVKVKKALRGVKVEVTHRGNVRRKYRVSGLTTQPTRELIFPLDEHMNMKSVVEYFQEMYGYTIRYAHLPCLQVGNEKKVNYLPMEACKIVEGQRYTKGLNEKQITSLLKVSCQRPHEQELDILQTVQQNDYDHDPYAKEFGINISSKLASIEARVLPAPWLKYSDTGKEKEYLPQVGQWNMMNKKVINGSTVRYWACINFSRSVQESTAHGFCQELVQMCQISGMEFDRDPVIPIYSARPDQVKKALKYVYHAAANKLEGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISRQYLANLSLKINVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDSSPSIAAVVASQDWPEVTKYAGLVCAQPHRQELIQDLYKTWQDPQRGTVAGGMIRELLLAFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPTYQPPVTFIVVQKRHHTRLFANNHNDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYIEPEGSETAKSRCTRTTNGSSVRPLPALKDKVKNVMFYC >KJB54125 pep chromosome:Graimondii2_0_v6:9:1651050:1657104:-1 gene:B456_009G021900 transcript:KJB54125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHMQMRDLKENYVVSKETLKGSMNQKKSSPQMMIKSGDRFEDGKKVLGRRNGRRRGRGYKVDRLQDGKLEPNMGSTPCKSLMFHTRPGYGQLGTKCIVKANHFLAEIPGSDLSHYSVEINPEVASRKLDKAIMTELVKLYRNSDLGTKLPVYDGRKNLYTAGSLPFTSKVFNVILADEDEETGNVRKRDFEVTIKFVAVASMAQLRNLLSGKQVDTPQGALNIIDTVLRELAAQRYLSVGRFLYSPTIRKPQALGGGVESWRGFYQSIRPTQMGLSLNIDMSTIAFIEPLPVVDFVAQILGKDVYSRPMSDADRVKVKKALRGVKVEVTHRGNVRRKYRVSGLTTQPTRELIFPLDEHMNMKSVVEYFQEMYGYTIRYAHLPCLQVGNEKKVNYLPMEACKIVEGQRYTKGLNEKQITSLLKVSCQRPHEQELDILQTVQQNDYDHDPYAKEFGINISSKLASIEARVLPAPWLKYSDTGKEKEYLPQVGQWNMMNKKVINGSTVRYWACINFSRSVQESTAHGFCQELVQMCQISGMEFDRDPVIPIYSARPDQVKKALKYVYHAAANKLEGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISRQYLANLSLKINVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDSSPSIAAVVASQDWPEVTKYAGLVCAQPHRQELIQDLYKTWQDPQRGTVAGGMIRELLLAFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPTYQPPVTFIVVQKRHHTRLFANNHNDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYIEPEGSETAKSRCTRTTNGSSVRPLPALKDKVKNVMFYC >KJB60732 pep chromosome:Graimondii2_0_v6:9:31654652:31657311:-1 gene:B456_009G322800 transcript:KJB60732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKAVPTFSSLNPQLENQGALFFPFSSSINHQLSSLRNGPGSLVVCAAKGANHKPLSGVVFQPFVEVKKELDLVPSVPQLSLARQKFTDDCEASINEQINVEYNVSYVYHAMFAYFDRDNVALKGLAKFFKESSLEEREHAEKFMEYQNKRGGKVKLQSIVMPLSEFDHAEKGDALYAMELALSLEKLTSEKLFNLRNVAVRNHDVQLTDFIEGEFLAEQVEAIKKISEYVAQLRRVGKGHGVWHFDQMLLHEGEEAIA >KJB60733 pep chromosome:Graimondii2_0_v6:9:31654740:31656991:-1 gene:B456_009G322800 transcript:KJB60733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKAVPTFSSLNPQLENQGALFFPFSSSINHQLSSLRNGPGSLVVCAAKGANHKPLSGVVFQPFVEVKKELDLVPSVPQLSLARQKFTDDCEASINEQINVEYNVSYVYHAMFAYFDRDNVALKGLAKFFKESSLEEREHAEKFMEYQNKRGGKVKLQSIVMPLSEFDHAEKGDALYAMELALSLEKLTSEKLFNLRNVAVRNHDVQLTDFIEGEFLAEQV >KJB62348 pep chromosome:Graimondii2_0_v6:9:63136331:63137896:-1 gene:B456_009G413200 transcript:KJB62348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSPLGMMNSKNWCKASPKRKAGQDPIFTSIKALTFSTLYRNQFPWDENPLLTFGPHQLVRFFEYDLYLNNPFPDLRNVCAYQPRLFSTHAPYATLPTSIKDSGCKIVYICRNPMDMFISLWLFSAKLRDKNRESLSLDEAFDKFYHGISAHGPFFDHVLGYWKASQENPNKILFLNFEDLKEDMDSHLKILAMFLGVPFTDDEEKQGVVEKIAKICSFENLKELEVNMKGVHTSGVPHTHFFRKGEVGDWSNYLTPFMIECLEKLIQEKLNGSGLTFKLLSKTANNVASPRS >KJB62349 pep chromosome:Graimondii2_0_v6:9:63136703:63137722:-1 gene:B456_009G413200 transcript:KJB62349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKSHDVESSWDDEFKKLVQSFPKEKGWAGSNLYFYQGFWCPSLVLKAFISFQKHFQAFNSDIIVATFPKCGTTWLKALTFSTLYRNQFPWDENPLLTFGPHQLVRFFEYDLYLNNPFPDLRNVCAYQPRLFSTHAPYATLPTSIKDSGCKIVYICRNPMDMFISLWLFSAKLRDKNRESLSLDEAFDKFYHGISAHGPFFDHVLGYWKASQENPNKILFLNFEDLKEDMDSHLKILAMFLGVPFTDDEEKQGVVEKIAKICSFENLKELEVNMKGVHTSGVPHTHFFRKGEVGDWSNYLTPFMIECLEKLIQEKLNGSGLTFKLLSKTANNVASPRS >KJB55654 pep chromosome:Graimondii2_0_v6:9:6366594:6371190:1 gene:B456_009G087400 transcript:KJB55654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGTSTSEIVESIDELDAELKRGEPAKNRYMPKSGNKYSIEDDINRLFEAIEVRTASRVSGFSKEIGRDALRKSAMKRPVRVSSSHASGIGISEPVSLKQALRGLSISQASEMAAMKKRLSKPSGSSGVSEAGTIKRLYRAVVVEENGSRVPLNEGKGKLVEISLVPEKITSNTSEMMPESLQVSNKEVFNLNSSISDNATTERVRTTRLPSPDQIVPLVMESESEVSEAELKKVNSIDSPAVNHAVKEALEIGSSSIQTCVETPMPNKEPKGKLLAESSHSVSGAAGKVKSVCQNPRLIKPVLRNKSFTRKKAKQESTTVVSNSNSCNGCLGNDLGPSTSYSDSLLQKPASGSGTKENMEVSRVSSSTSCSNEVNSSMVGTSGKSILSSNSSNKSKGLLAKADDKSRSREKGEFSQSSKSSIGEYSSSTATSEESNISGSSRIGSRPHMSKDLRWEAIRSIRKQHGSLSLRHFKLLKKIGGGDIGTVYLAELTGTNGLFALKVMNNDFLLSRKKMLRAQTEKEIMQILDHPFLPTLYAYFTTEKLSCLVMEYCPAGDLHILLQKQPGRSFSEQAVRFYGAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCDANPVLLKSASPVAEPAEKMSSPCSQSSCIEPLCLNPSFQVPCFTPRLLSLASRSRKIKSDLATQISPMPQLVVEPTSARSNSFVGTHEYLAPEIIKGEGHGNAVDWWTFGIFLFELLYGKTPFKGSGNDETLSNVVSHSLRFPSSPIVSFHARDLIRGLLVKEPENRLGSVKGATEIKQHPFFEGLNWALIRCAIPPEMPRFCDSSICLPTAALQKKDSSRGDELPGTGDDVEFDMF >KJB55653 pep chromosome:Graimondii2_0_v6:9:6366594:6371190:1 gene:B456_009G087400 transcript:KJB55653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGTSTSEIVESIDELDAELKRGEPAKNRYMPKSGNKYSIEDDINRLFEAIEVRTASRVSGFSKEIGRDALRKSAMKRPVRVSSSHASGIGISEPVSLKQALRGLSISQASEMAAMKKRLSKPSGSSGVSEAGTIKRLYRAVVVEENGSRVPLNEGKGKLVEISLVPEKITSNTSEMMPESLQVSNKEVFNLNSSISDNATTERVRTTRLPSPDQIVPLVMESESEVSEAELKKVNSIDSPAVNHAVKEALEIGSSSIQTCVETPMPNKEPKGKLLAESSHSVSGAAGKVKSVCQNPRLIKPVLRNKSFTRKKAKQESTTVVSNSNSCNGCLGNDLGPSTSYSDSLLQKPASGSGTKENMEVSRVSSSTSCSNEVNSSMVGTSGKSILSSNSSNKSKGLLAKADDKSRSREKGEFSQSSKSSIGEYSSSTATSEESNISGSSRIGSRPHMSKDLRWEAIRSIRKQHGSLSLRHFKLLKKIGGGDIGTVYLAELTGTNGLFALKVMNNDFLLSRKKMLRAQTEKEIMQILDHPFLPTLYAYFTTEKLSCLVMEYCPAGDLHILLQKQPGRSFSEQAVRFYGAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCDANPVLLKSASPVAEPAEKMSSPCSQSSCIEPLCLNPSFQVPCFTPRLLSLASRSRKIKSDLATQISPMPQLVVEPTSARSNSFVGTHEYLAPEIIKGEGHGNAVDWWTFGIFLFELLYGKTPFKGSGNDETLSNVVSHSLRFPSSPIVSFHARDLIRGLLVKEPENRLGSVKGATEIKQHPFFEGLNWALIRCAIPPEMPRFCDSSICLPTAALQKKDSSRGDELPGTGDDVEFDMF >KJB55655 pep chromosome:Graimondii2_0_v6:9:6367892:6373255:1 gene:B456_009G087400 transcript:KJB55655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGTSTSEIVESIDELDAELKRGEPAKNRYMPKSGNKYSIEDDINRLFEAIEVRTASRVSGFSKEIGRDALRKSAMKRPVRVSSSHASGIGISEPVSLKQALRGLSISQASEMAAMKKRLSKPSGSSGVSEAGTIKRLYRAVVVEENGSRVPLNEGKGKLVEISLVPEKITSNTSEMMPESLQVSNKEVFNLNSSISDNATTERVRTTRLPSPDQIVPLVMESESEVSEAELKKVNSIDSPAVNHAVKEALEIGSSSIQTCVETPMPNKEPKGKLLAESSHSVSGAAGKVKSVCQNPRLIKPVLRNKSFTRKKAKQESTTVVSNSNSCNGCLGNDLGPSTSYSDSLLQKPASGSGTKENMEVSRVSSSTSCSNEVNSSMVGTSGKSILSSNSSNKSKGLLAKADDKSRSREKGEFSQSSKSSIGEYSSSTATSEESNISGSSRIGSRPHMSKDLRWEAIRSIRKQHGSLSLRHFKLLKKIGGGDIGTVYLAELTGTNGLFALKVMNNDFLLSRKKMLRAQTEKEIMQILDHPFLPTLYAYFTTEKLSCLVMEYCPAGDLHILLQKQPGRSFSEQAVRFYGAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCDANPVLLKSASPVAEPAEKMSSPCSQSSCIEPLCLNPSFQVPCFTPRLLSLASRSRKIKSDLATQISPMPQLVVEPTSARSNSFVGTHEYLAPEIIKGEGHGNAVDWWTFGIFLFELLYGKTPFKGSGNDETLSNVVSHSLRFPSSPIVSFHARDLIRGLLVKEPENRLGSVKGATEIKQHPFFEGLNWALIRCAIPPEMPRFCDSSICLPTAALQKKDSSRGDELPGTGDDVEFDMLLFFASKISTFAGVMRPYLNHATTRPSSSATPPYLPFYHHSRFLSPKTSFIPLFHSTKYPTIQNPKSPSYPLQDPTNFPAFASLVKASMASSASGRAATETKPFSVLFVCLGNICRSPAAEGVFRDIVKKKGLDSKFNIDSAGTINYHEGNLADPRMRAASKRRDIEITSISRPIRPSDFRDFDLIIAMDKKNREDILEAFNRWKSRDKLPADAYKKVRLMCSYCKKHDETEVPDPYYGGAQGFEKVLDLLEDACESLLDNILAENSNIHGS >KJB55652 pep chromosome:Graimondii2_0_v6:9:6366594:6371190:1 gene:B456_009G087400 transcript:KJB55652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGTSTSEIVESIDELDAELKRGEPAKNRYMPKSGNKYSIEDDINRLFEAIEVRTASRVSGFSKEIGRDALRKSAMKRPVRVSSSHASGIGISEPVSLKQALRGLSISQASEMAAMKKRLSKPSGSSGVSEAGTIKRLYRAVVVEENGSRVPLNEGKGKLVEISLVPEKITSNTSEMMPESLQVSNKEVFNLNSSISDNATTERVRTTRLPSPDQIVPLVMESESEVSEAELKKVNSIDSPAVNHAVKEALEIGSSSIQTCVETPMPNKEPKGKLLAESSHSVSGAAGKVKSVCQNPRLIKPVLRNKSFTRKKAKQESTTVVSNSNSCNGCLGNDLGPSTSYSDSLLQKPASGSGTKENMEVSRVSSSTSCSNEVNSSMVGTSGKSILSSNSSNKSKGLLAKADDKSRSREKGEFSQSSKSSIGEYSSSTATSEESNISGSSRIGSRPHMSKDLRWEAIRSIRKQHGSLSLRHFKLLKKIGGGDIGTVYLAELTGTNGLFALKVMNNDFLLSRKKMLRAQTEKEIMQILDHPFLPTLYAYFTTEKLSCLVMEYCPAGDLHILLQKQPGRSFSEQAVRFYGAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCDANPVLLKSASPVAEPAEKMSSPCSQSSCIEPLCLNPSFQVPCFTPRLLSLASRSRKIKSDLATQISPMPQLVVEPTSARSNSFVGTHEYLAPEIIKGEGHGNAVDWWTFGIFLFELLYGKTPFKGSGNDETLSNVVSHSLRFPSSPIVSFHARDLIRGLLVKEPENRLGSVKGATEIKQHPFFEGLNWALIRCAIPPEMPRFCDSSICLPTAALQKKDSSRGDELPGTGDDVEFDMF >KJB57625 pep chromosome:Graimondii2_0_v6:9:13310807:13312433:1 gene:B456_009G172500 transcript:KJB57625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVVITSPGDPEVLQLQEVEEPEIKDDEVLIKTEAAALNHGDIYQRQGFYPPPEGASTFPGLECSGIIEAVGENVSRWKVGDKVCALLSGGGYAEKVAVPAGQVLPVPSGVSLSDAASLPEVACTVWSTVFTMSRLCPGETLLIHGGSSGIGTFAIQIAKFKGAKVFVTAGDERKLAFCNDLGADLCINYKTEDFVVRVKEETEGKGVDVILDCVGAAYLQRNLDCLNVDGRLFIIGSISGFVAELNIAAMFAKRLSIQAAALRTRSVEEKASIVKEVEKNVWPAIMSGKVKPVVPQRFPLGEAAEAHRLMESGNHIGKILLIA >KJB59502 pep chromosome:Graimondii2_0_v6:9:21386368:21388893:1 gene:B456_009G259400 transcript:KJB59502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQAAATTFNGNLKATLAGLRRINLEGLRWRVFDAKGQVLGRLASQISTVIQGKDKPTYAPNRDDGDMCIVINAKDVCVTGRKLTDKVYYWHTGYVGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFADSEHPFGDRPLEPYMMPPRKVREMRPRVRRALIRAQKKAEEQEQGGSQRKGRKREAKTEVAA >KJB59499 pep chromosome:Graimondii2_0_v6:9:21386311:21388960:1 gene:B456_009G259400 transcript:KJB59499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQAAATTFNGNLKKALAGLRRINLEGLRWRVFDAKGQVLGRLASQISTVIQGKDKPTYAPNRDDGDMCIVINAKDVCVTGRKLTDKVYYWHTGYVGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFADSEHPFGDRPLEPYMMPPRKVREMRPRVRRALIRAQKKAEEQEQGGSQRKGRKREAKTEVAA >KJB59501 pep chromosome:Graimondii2_0_v6:9:21386368:21388893:1 gene:B456_009G259400 transcript:KJB59501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQAAATTFNGNLKKALAGLRRINLEGLRWRVFDAKGQDKPTYAPNRDDGDMCIVINAKDVCVTGRKLTDKVYYWHTGYVGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFADSEHPFGDRPLEPYMMPPRKVREMRPRVRRALIRAQKKAEEQEQGGSQRKGRKREAKTEVAA >KJB59503 pep chromosome:Graimondii2_0_v6:9:21387354:21388893:1 gene:B456_009G259400 transcript:KJB59503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIVINAKDVCVTGRKLTDKVYYWHTGYVGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFADSEHPFGDRPLEPYMMPPRKVREMRPRVRRALIRAQKKAEEQEQGGSQRKGRKREAKTEVAA >KJB59500 pep chromosome:Graimondii2_0_v6:9:21386368:21388893:1 gene:B456_009G259400 transcript:KJB59500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQAAATTFNGNLKKALAGLRRINLEGLRWRVFDAKGQVLGRLASQISTVIQGKDKPTYAPNRDDGDMCIVINAKDVCVTGRKLTDKVYYWHTGYVGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDRSKIEDIC >KJB62255 pep chromosome:Graimondii2_0_v6:9:60994704:61000167:1 gene:B456_009G408400 transcript:KJB62255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRTSTVGFPRLSKICLALTEAMVTAMDNKLTKIPDVGIFKKLLVFDVSFNEITSLQGLSKTSSTIKELYSKNEVTNMEEIDHLHELQILELGSNRLRVMEKLQNFTKLQELWLGRNRIKVINLCGLSCIKKISLQSNRLTSMIGLEECNALEEIYLSHNGIAKMEGLSKLVNLRVLDVSSNKLTSVDDIQNLTRLEDLWHNDNRIESLEDIAEGVSGSREKLTTIYLENNPCRSLLTTMLP >KJB55674 pep chromosome:Graimondii2_0_v6:9:6452084:6459806:-1 gene:B456_009G088400 transcript:KJB55674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYRVYERKHKRKEQCGSVPRTPEKPTPGIPEIKEVYARRSRMKLEEKVSESCSSEKKIDCDKTFDSFFNLVPLAEKDAVFDSCMALSDKEKEQSSNRTDSISLGPVGKIDLKMSLDSLGMGDLLSAGESKEQNFCPGRNFDGIEDLCLESTVCTVTSKQKEMEQSSNRTECISLGFVGKLDLKMSLDSLGTADLLSAGEGKEQNFCPGRNFDGIEDLCLESTVCTVTSKQKEDGFNGIEGTFSGHGGSIDLDKSTYTLENSGGFNHQTDELDSTSLASAGAIDGSNILEKDWDSVLSDNHFMELPCLLEPKVSQPYALSQDNGFMRETVTFDQILVNDCLDLKQSRQKPKRKIHRPKVAFDGKPNTLKLATPNQVREKKPRLATPKLVKEKKPKLVAAKRAKRKKSENVRKQASPGLQEAIIENKNLSDIKSNMIIEINETQAAVARALDFQSESLDAERVDYARALDFPLESLDAKPVEFAFHSFPKKRRSERRWTLNKSKMIIEINETKAAFTRTLCFQSEPVESASHSVTNKKRRSRRRRILSFFSLPVMSVTKSVKNSKKKLFTAKWLPRRKRTPRKRPRKVTEVEGKSNAALYIDNLVVGNLTVTDKDLSAVMEIEGLESDTPVAGTGDLYVTDKMDMDLSAMREIKVLETYAPITGTDNLCVTDKAVGEIEEWETDALITGTDNLCVTDKMDISAMREIEVLETDTPITGTDNLCVTGKMDLSAMREIKVLETDILITGKRKRKASTPGAIVKQRGQSGLLSKKYINFIIQKLQSFHISDNTLVPYQGPFQPLKKIRPLVVLDPETVRVWNLLMGIDVERIKNEASEEKEKWWQKEREVFAGRVASFIARMQQIQGDRGFRKWKGSVLDSAIGVLLTQNVTDHCSSNAFMCLAAKFPPKQAASESDPCLSQESVGSNTAEYDAEGNQYFVIEPEPERNKEFKEPTDGLIGEFQETSINTGCEGCLRVISNTNLPTIPEADLNGGLVGELEETSMNAGQKGCLQVVSDTNLPENSIANLNGSCGSIVQRQYAKMQKRVLSKIPKLKEREYLSMGFGKRKSTSEKKESASGESASKEEEVDWESIRLKYSTGERSGDQMDTVDWEAVRIADVKDLADCIKERGQQNRISETIQNLLNRVVSLHNCLDLEWLRDTPPDLAKRYLLEVNGLGLKSVECIRLLSLEQVAFPVDVNVARIAVRLGWVPLQPLPEQLQLHLLEQYPIMDNIQIYLWPRLCNLPQRILYKLHFHMITFGKVTCTKSKPNCNACPMRDNCKHFQSEYASSKKALPSDKMKSSTASNSSPRALKEAIHILDSKSQTKIHESIIEEPFEPPQFLNSESQTKIYEPPQYLLNSESQTKIYEAIIEEHFKSPSKYKVPESLELEYQEPEIEPIIEEPEYQQADIEPIIEEPLELSSKYKVPESQEPEIEYDSDGIPIFRISIMKNNFMFRDGEVSNSLVTLDPNATSAPKLKQNRRLRTEHLVYELPRNHVLLEGLEQTESDNDLQYHLAIWRSGEIAESSEPPKKRCNSTGPDLCNEETCFSCNNIREINANIVRGTLLIPYRVANKGSFPLNGTYFQVNEVFADHETSYRPIKVSRELIYNLRTRTAYFGTSISAILRGESMYNIQKCFWTGIICNRGFERSQGVPRPLASRFHCAPKS >KJB55676 pep chromosome:Graimondii2_0_v6:9:6452676:6459806:-1 gene:B456_009G088400 transcript:KJB55676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYRVYERKHKRKEQCGSVPRTPEKPTPGIPEIKEVYARRSRMKLEEKVSESCSSEKKIDCDKTFDSFFNLVPLAEKDAVFDSCMALSDKEKEQSSNRTDSISLGPVGKIDLKMSLDSLGMGDLLSAGESKEQNFCPGRNFDGIEDLCLESTVCTVTSKQKEMEQSSNRTECISLGFVGKLDLKMSLDSLGTADLLSAGEGKEQNFCPGRNFDGIEDLCLESTVCTVTSKQKEDGFNGIEGTFSGHGGSIDLDKSTYTLENSGGFNHQTDELDSTSLASAGAIDGSNILEKDWDSVLSDNHFMELPCLLEPKVSQPYALSQDNGFMRETVTFDQILVNDCLDLKQSRQKPKRKIHRPKVAFDGKPNTLKLATPNQVREKKPRLATPKLVKEKKPKLVAAKRAKRKKSENVRKQASPGLQEAIIENKNLSDIKSNMIIEINETQAAVARALDFQSESLDAERVDYARALDFPLESLDAKPVEFAFHSFPKKRRSERRWTLNKSKMIIEINETKAAFTRTLCFQSEPVESASHSVTNKKRRSRRRRILSFFSLPVMSVTKSVKNSKKKLFTAKWLPRRKRTPRKRPRKVTEVEGKSNAALYIDNLVVGNLTVTDKDLSAVMEIEGLESDTPVAGTGDLYVTDKMDMDLSAMREIKVLETYAPITGTDNLCVTDKAVGEIEEWETDALITGTDNLCVTDKMDISAMREIEVLETDTPITGTDNLCVTGKMDLSAMREIKVLETDILITGKRKRKASTPGAIVKQRDPETVRVWNLLMGIDVERIKNEASEEKEKWWQKEREVFAGRVASFIARMQQIQGDRGFRKWKGSVLDSAIGVLLTQNVTDHCSSNAFMCLAAKFPPKQAASESDPCLSQESVGSNTAEYDAEGNQYFVIEPEPERNKEFKEPTDGLIGEFQETSINTGCEGCLRVISNTNLPTIPEADLNGGLVGELEETSMNAGQKGCLQVVSDTNLPENSIANLNGSCGSIVQRQYAKMQKRVLSKIPKLKEREYLSMGFGKRKSTSEKKESASGESASKEEEVDWESIRLKYSTGERSGDQMDTVDWEAVRIADVKDLADCIKERGQQNRISETIQNLLNRVVSLHNCLDLEWLRDTPPDLAKRYLLEVNGLGLKSVECIRLLSLEQVAFPVDVNVARIAVRLGWVPLQPLPEQLQLHLLEQYPIMDNIQIYLWPRLCNLPQRILYKLHFHMITFGKVTCTKSKPNCNACPMRDNCKHFQSEYASSKKALPSDKMKSSTASNSSPRALKEAIHILDSKSQTKIHESIIEEPFEPPQFLNSESQTKIYEPPQYLLNSESQTKIYEAIIEEHFKSPSKYKVPESLELEYQEPEIEPIIEEPEYQQADIEPIIEEPLELSSKYKVPESQEPEIEYDSDGIPIFRISIMKNNFMFRDGEVSNSLVTLDPNATSAPKLKQNRRLRTEHLVYELPRNHVLLEGLEQTESDNDLQYHLAIWRSGEIAESSEPPKKRCNSTGPDLCNEETCFSCNNIREINANIVRGTLLVFADHETSYRPIKVSRELIYNLRTRTAYFGTSISAILRGESMYNIQKCFWTGIICNRGFERSQGVPRPLASRFHCAPSKIEKVKKESKPKTKLLSYKK >KJB55673 pep chromosome:Graimondii2_0_v6:9:6451946:6459806:-1 gene:B456_009G088400 transcript:KJB55673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYRVYERKHKRKEQCGSVPRTPEKPTPGIPEIKEVYARRSRMKLEEKVSESCSSEKKIDCDKTFDSFFNLVPLAEKDAVFDSCMALSDKEKEQSSNRTDSISLGPVGKIDLKMSLDSLGMGDLLSAGESKEQNFCPGRNFDGIEDLCLESTVCTVTSKQKEMEQSSNRTECISLGFVGKLDLKMSLDSLGTADLLSAGEGKEQNFCPGRNFDGIEDLCLESTVCTVTSKQKEDGFNGIEGTFSGHGGSIDLDKSTYTLENSGGFNHQTDELDSTSLASAGAIDGSNILEKDWDSVLSDNHFMELPCLLEPKVSQPYALSQDNGFMRETVTFDQILVNDCLDLKQSRQKPKRKIHRPKVAFDGKPNTLKLATPNQVREKKPRLATPKLVKEKKPKLVAAKRAKRKKSENVRKQASPGLQEAIIENKNLSDIKSNMIIEINETQAAVARALDFQSESLDAERVDYARALDFPLESLDAKPVEFAFHSFPKKRRSERRWTLNKSKMIIEINETKAAFTRTLCFQSEPVESASHSVTNKKRRSRRRRILSFFSLPVMSVTKSVKNSKKKLFTAKWLPRRKRTPRKRPRKVTEVEGKSNAALYIDNLVVGNLTVTDKDLSAVMEIEGLESDTPVAGTGDLYVTDKMDMDLSAMREIKVLETYAPITGTDNLCVTDKAVGEIEEWETDALITGTDNLCVTDKMDISAMREIEVLETDTPITGTDNLCVTGKMDLSAMREIKVLETDILITGKRKRKASTPGAIVKQRDPETVRVWNLLMGIDVERIKNEASEEKEKWWQKEREVFAGRVASFIARMQQIQGDRGFRKWKGSVLDSAIGVLLTQNVTDHCSSNAFMCLAAKFPPKQAASESDPCLSQESVGSNTAEYDAEGNQYFVIEPEPERNKEFKEPTDGLIGEFQETSINTGCEGCLRVISNTNLPTIPEADLNGGLVGELEETSMNAGQKGCLQVVSDTNLPENSIANLNGSCGSIVQRQYAKMQKRVLSKIPKLKEREYLSMGFGKRKSTSEKKESASGESASKEEEVDWESIRLKYSTGERSGDQMDTVDWEAVRIADVKDLADCIKERGQQNRISETIQNLLNRVVSLHNCLDLEWLRDTPPDLAKRYLLEVNGLGLKSVECIRLLSLEQVAFPVDVNVARIAVRLGWVPLQPLPEQLQLHLLEQYPIMDNIQIYLWPRLCNLPQRILYKLHFHMITFGKVTCTKSKPNCNACPMRDNCKHFQSEYASSKKALPSDKMKSSTASNSSPRALKEAIHILDSKSQTKIHESIIEEPFEPPQFLNSESQTKIYEPPQYLLNSESQTKIYEAIIEEHFKSPSKYKVPESLELEYQEPEIEPIIEEPEYQQADIEPIIEEPLELSSKYKVPESQEPEIEYDSDGIPIFRISIMKNNFMFRDGEVSNSLVTLDPNATSAPKLKQNRRLRTEHLVYELPRNHVLLEGLEQTESDNDLQYHLAIWRSGEIAESSEPPKKRCNSTGPDLCNEETCFSCNNIREINANIVRGTLLIPYRVANKGSFPLNGTYFQVNEVFADHETSYRPIKVSRELIYNLRTRTAYFGTSISAILRGESMYNIQKCFWTGIICNRGFERSQGVPRPLASRFHCAPKS >KJB55675 pep chromosome:Graimondii2_0_v6:9:6452552:6459806:-1 gene:B456_009G088400 transcript:KJB55675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYRVYERKHKRKEQCGSVPRTPEKPTPGIPEIKEVYARRSRMKLEEKVSESCSSEKKIDCDKTFDSFFNLVPLAEKDAVFDSCMALSDKEKEQSSNRTDSISLGPVGKIDLKMSLDSLGMGDLLSAGESKEQNFCPGRNFDGIEDLCLESTVCTVTSKQKEMEQSSNRTECISLGFVGKLDLKMSLDSLGTADLLSAGEGKEQNFCPGRNFDGIEDLCLESTVCTVTSKQKEDGFNGIEGTFSGHGGSIDLDKSTYTLENSGGFNHQTDELDSTSLASAGAIDGSNILEKDWDSVLSDNHFMELPCLLEPKVSQPYALSQDNGFMRETVTFDQILVNDCLDLKQSRQKPKRKIHRPKVAFDGKPNTLKLATPNQVREKKPRLATPKLVKEKKPKLVAAKRAKRKKSENVRKQASPGLQEAIIENKNLSDIKSNMIIEINETQAAVARALDFQSESLDAERVDYARALDFPLESLDAKPVEFAFHSFPKKRRSERRWTLNKSKMIIEINETKAAFTRTLCFQSEPVESASHSVTNKKRRSRRRRILSFFSLPVMSVTKSVKNSKKKLFTAKWLPRRKRTPRKRPRKVTEVEGKSNAALYIDNLVVGNLTVTDKDLSAVMEIEGLESDTPVAGTGDLYVTDKMDMDLSAMREIKVLETYAPITGTDNLCVTDKAVGEIEEWETDALITGTDNLCVTDKMDISAMREIEVLETDTPITGTDNLCVTGKMDLSAMREIKVLETDILITGKRKRKASTPGAIVKQRGQSGLLSKKYINFIIQKLQSFHISDNTLVPYQGPFQPLKKIRPLVVLDPETVRVWNLLMGIDVERIKNEASEEKEKWWQKEREVFAGRVASFIARMQQIQGDRGFRKWKGSVLDSAIGVLLTQNVTDHCSSNAFMCLAAKFPPKQAASESDPCLSQESVGSNTAEYDAEGNQYFVIEPEPERNKEFKEPTDGLIGEFQETSINTGCEGCLRVISNTNLPTIPEADLNGGLVGELEETSMNAGQKGCLQVVSDTNLPENSIANLNGSCGSIVQRQYAKMQKRVLSKIPKLKEREYLSMGFGKRKSTSEKKESASGESASKEEEVDWESIRLKYSTGERSGDQMDTVDWEAVRIADVKDLADCIKERGQQNRISETIQNLLNRVVSLHNCLDLEWLRDTPPDLAKRYLLEVNGLGLKSVECIRLLSLEQVAFPVDVNVARIAVRLGWVPLQPLPEQLQLHLLEQYPIMDNIQIYLWPRLCNLPQRILYKLHFHMITFGKVTCTKSKPNCNACPMRDNCKHFQSEYASSKKALPSDKMKSSTASNSSPRALKEAIHILDSKSQTKIHESIIEEPFEPPQFLNSESQTKIYEPPQYLLNSESQTKIYEAIIEEHFKSPSKYKVPESLELEYQEPEIEPIIEEPEYQQADIEPIIEEPLELSSKYKVPESQEPEIEYDSDGIPIFRISIMKNNFMFRDGEVSNSLVTLDPNATSAPKLKQNRRLRTEHLVYELPRNHVLLEGLEQTESDNDLQYHLAIWRSGEIAESSEPPKKRCNSTGPDLCNEETCFSCNNIREINANIVRGTLLIPYRVANKGSFPLNGTYFQVNEVFADHETSYRPIKVSRELIYNLRTRTAYFGTSISAILRGESMYNIQKCFWTGIICNRGFERSQGVPRPLASRFHCAPSKIEKVKKESKPKTKLLSYKK >KJB55677 pep chromosome:Graimondii2_0_v6:9:6453954:6459806:-1 gene:B456_009G088400 transcript:KJB55677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYRVYERKHKRKEQCGSVPRTPEKPTPGIPEIKEVYARRSRMKLEEKVSESCSSEKKIDCDKTFDSFFNLVPLAEKDAVFDSCMALSDKEKEQSSNRTDSISLGPVGKIDLKMSLDSLGMGDLLSAGESKEQNFCPGRNFDGIEDLCLESTVCTVTSKQKEMEQSSNRTECISLGFVGKLDLKMSLDSLGTADLLSAGEGKEQNFCPGRNFDGIEDLCLESTVCTVTSKQKEDGFNGIEGTFSGHGGSIDLDKSTYTLENSGGFNHQTDELDSTSLASAGAIDGSNILEKDWDSVLSDNHFMELPCLLEPKVSQPYALSQDNGFMRETVTFDQILVNDCLDLKQSRQKPKRKIHRPKVAFDGKPNTLKLATPNQVREKKPRLATPKLVKEKKPKLVAAKRAKRKKSENVRKQASPGLQEAIIENKNLSDIKSNMIIEINETQAAVARALDFQSESLDAERVDYARALDFPLESLDAKPVEFAFHSFPKKRRSERRWTLNKSKMIIEINETKAAFTRTLCFQSEPVESASHSVTNKKRRSRRRRILSFFSLPVMSVTKSVKNSKKKLFTAKWLPRRKRTPRKRPRKVTEVEGKSNAALYIDNLVVGNLTVTDKDLSAVMEIEGLESDTPVAGTGDLYVTDKMDMDLSAMREIKVLETYAPITGTDNLCVTDKAVGEIEEWETDALITGTDNLCVTDKMDISAMREIEVLETDTPITGTDNLCVTGKMDLSAMREIKVLETDILITGKRKRKASTPGAIVKQRDPETVRVWNLLMGIDVERIKNEASEEKEKWWQKEREVFAGRVASFIARMQQIQGDRGFRKWKGSVLDSAIGVLLTQNVTDHCSSNAFMCLAAKFPPKQAASESDPCLSQESVGSNTAEYDAEGNQYFVIEPEPERNKEFKEPTDGLIGEFQETSINTGCEGCLRVISNTNLPTIPEADLNGGLVGELEETSMNAGQKGCLQVVSDTNLPENSIANLNGSCGSIVQRQYAKMQKRVLSKIPKLKEREYLSMGFGKRKSTSEKKESASGESASKEEEVDWESIRLKYSTGERSGDQMDTVDWEAVRIADVKDLADCIKERGQQNRISETIQNLLNRVVSLHNCLDLEWLRDTPPDLAKRYLLEVNGLGLKSVECIRLLSLEQVAFPVDVNVARIAVRLGWVPLQPLPEQLQLHLLEQYPIMDNIQIYLWPRLCNLPQRILYKLHFHMITFGKVTCTKSKPNCNACPMRDNCKHFQSEYASSKKALPSDKMKSSTASNSSPRALKEAIHILDSKSQTKIHESIIEEPFEPPQFLNSESQTKIYEPPQYLLNSESQTKIYEAIIEEHFKSPSKYKVPESLELEYQEPEIEPIIEEPEYQQADIEPIIEEPLELSSKYKVPESQEPEIEYDSDGIPIFRISIMKNNFMFRDGEVSNSLVTLDPNATSAPKLKQNRRLRTEHLVYELPRNHVLLEGLEQTESDNDLQYHLAIWRSGII >KJB53641 pep chromosome:Graimondii2_0_v6:9:134933:136023:1 gene:B456_009G000700 transcript:KJB53641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DINEASRLFVLPNQQSSEPSERLVGLSDDVGAGFISGHSQAASTSELKVDRSVELPTQDEIGNMGVSKVIRADQKRFFFDLGSNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEIVGHFVEITKDRIEGMSGANVRTVDPPQR >KJB55869 pep chromosome:Graimondii2_0_v6:9:7166646:7169119:1 gene:B456_009G098900 transcript:KJB55869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFHFTNGERRDGGDDGGGGGAVSRVSSKVTWTRSFSVASSSVGTSRSEFDSESTRDLASDFSAVGGFYEFLTQRRANDLRVFSFAELKSATRGFSRALLIGEGGFGCVFRGVVKVPDEANDGRDSKLDVAIKQLNRHGFQGQKEWINEVRFLGVVKHPNLVKLVGYCAEDDERGIQRLLVYELMRNKSLEDHLLARMHAPLPLPWLIRLKIAQDAARGLAYLHEEMDFQLIFRDFKTSNILLDEDFNAKLSDFGLARQGPLEGFGHVSTSVVGTVGYAAPEYVQTGRLTAKSDVWSFGVVLYELITGRRALERNLPRSEQKLLEWVKPYISDSKKFHLIIDPRLEGQYCIKSAQKLASLANKCLMKNPKSRPKMSEVVEMLGNIISGTSTKDEGISQSMSESDDAKEETRVEVGAESTKQGHSYLKKVLDIREIMSLRNRSIGKLDWRNWTPGLVRTW >KJB59038 pep chromosome:Graimondii2_0_v6:9:18673189:18675188:1 gene:B456_009G236300 transcript:KJB59038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEREQQVYLARLAEQAERYDEMVEAMKSVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKDYRQRVEDELSKICNDILSVIDKHLIPSSSTGESTVFYYKMKGDYFRYLAEFKAGDDRKEAADQSLKAYEAATSTASSDLPPTHPIRLGLALNFSVFYYEILNSPERLEIVGIFLPPVYTQSCNLLLNFLSIGENDPKANFSFHFVVVSEILSLVTIVVVYLF >KJB59035 pep chromosome:Graimondii2_0_v6:9:18673107:18676076:1 gene:B456_009G236300 transcript:KJB59035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEREQQVYLARLAEQAERYDEMVEAMKSVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKDYRQRVEDELSKICNDILSVIDKHLIPSSSTGESTVFYYKMKGDYFRYLAEFKAGDDRKEAADQSLKAYEAATSTASSDLPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGGEQSKADEPQAES >KJB59040 pep chromosome:Graimondii2_0_v6:9:18673189:18675865:1 gene:B456_009G236300 transcript:KJB59040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEREQQVYLARLAEQAERYDEMVEAMKSVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKDYRQRVEDELSKICNDILSVIDKHLIPSSSTGESTVFYYKMKGDYFRYLAEFKAGDDRKEAADQSLKAYEAATSTASSDLPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGELIG >KJB59036 pep chromosome:Graimondii2_0_v6:9:18673189:18675865:1 gene:B456_009G236300 transcript:KJB59036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEREQQVYLARLAEQAERYDEMVEAMKSVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKDYRQRVEDELSKICNDILSVIDKHLIPSSSTGESTVFYYKMKGDYFRYLAEFKAGDDRKEAADQSLKAYEAATSTASSDLPPTHPIRLGLALNFSVFYYEILNSPERLEIVGIFLPPVYTQSCNLLLNFLSIGENDPKANFSFHFVVVSEILSLVTIVVVYLF >KJB59039 pep chromosome:Graimondii2_0_v6:9:18673189:18675865:1 gene:B456_009G236300 transcript:KJB59039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEREQQVYLARLAEQAERYDEMVEAMKSVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKDYRQRVEDELSKICNDILSVIDKHLIPSSSTGESTVFYYKMKGDYFRYLAEFKAGDDRKEAADQSLKAYEAATSTASSDLPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGGEQSKADEPQAEVRKF >KJB59037 pep chromosome:Graimondii2_0_v6:9:18673189:18675865:1 gene:B456_009G236300 transcript:KJB59037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKDYRQRVEDELSKICNDILSVIDKHLIPSSSTGESTVFYYKMKGDYFRYLAEFKAGDDRKEAADQSLKAYEAATSTASSDLPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGGEQSKADEPQAES >KJB59725 pep chromosome:Graimondii2_0_v6:9:22428920:22433897:-1 gene:B456_009G269100 transcript:KJB59725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKNFIDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLDDLEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDATR >KJB59722 pep chromosome:Graimondii2_0_v6:9:22428920:22433527:-1 gene:B456_009G269100 transcript:KJB59722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKNFIDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLDDLEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDATR >KJB59721 pep chromosome:Graimondii2_0_v6:9:22428920:22433600:-1 gene:B456_009G269100 transcript:KJB59721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKNFIDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLDDLEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDATR >KJB59723 pep chromosome:Graimondii2_0_v6:9:22429915:22433337:-1 gene:B456_009G269100 transcript:KJB59723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKNFIDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLDDLEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQV >KJB59724 pep chromosome:Graimondii2_0_v6:9:22428920:22433600:-1 gene:B456_009G269100 transcript:KJB59724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKNFIDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLDDLEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLVSLYPPFFLYLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDATR >KJB62713 pep chromosome:Graimondii2_0_v6:9:67832510:67834467:1 gene:B456_009G432300 transcript:KJB62713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSNSSRDWTQIYAIYGMDQWQTLVFLLCHAVFFSSLSIIFLLYFGSIFHFFQTLFPSPGAARFAAGFSGAVTSISAVCLFFAAANFLYSAGPLHYEMAQRMVGSVYDWSSVKLALDIGCGRGILLNSVATQLKKTGSSGRVVGLDRSKRTTLSTLRTANVEGVGEYVTCKEGDVRSLPFEDNYFDVVVSAVFVHTVGKEYGHRTVEAAAERMRVVGEMVRVLKPGGVGVVWDLLHVPEYVRRLQELKMEDIRVSERVTAFMVSSHMVSFRKPSQHVVGPGEVRLDWRC >KJB60328 pep chromosome:Graimondii2_0_v6:9:26622735:26623371:-1 gene:B456_009G300200 transcript:KJB60328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQKNAQQTTNGKLWNSSSEAITLTDKKVWQGSHYADFPEIIEDGDAREFTHESVTDDADSHGSVAGLVYRRRDGTKWVVAWSNPLDENSKVYTDIQRQPIHWGQIKTDLEKRGKPKFKVTKFGYVASIEIDAGSRSPTMKASFELEA >KJB61821 pep chromosome:Graimondii2_0_v6:9:52034041:52038037:-1 gene:B456_009G383400 transcript:KJB61821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRIEYLLKKIEEQTSNAGEEQEKILENILKRNAETDYLNKFLHGQTDKQLFKKYVPVVTYEDIKSYIDRIIDGEPPNILTTETITEFILSSGTSGGEPKYVLSTADCSQKRTSIPMLMEAVMHKHIEGLDKGKGMYLLFSTPDFDTPSGLKARMFSSSYLSSPTFKTTLFKIVTTPIEIILSLNKPQSMYCQLLIGLIRRHEVLRIGTIFASTFPNCIKFLQDHWKDICSDIRTGHLSDWITEPDCRTPMSSFLLEPNSELADLLEPIFKNESWEGIITKLWPKAKYIDAIVTGSMSQYIGLIDYYSGRLPIISTFYNSSEACFGINMEPLNKPWDVSYTFLPNMAYFEFIPVDKESPQKAQKLHFNGVSNEESIEKLENGSAQIVDLVDVKMGQFYEVVVTTLAGLYRYRVGDILKVTGFHNKSPKFQFVERQNVALSIDRDKTSEGDLSKAIKAAEIEFEPHGFLLTAYSSFADTWSIPGRYILFWELKLRDSNTDRLKLDSNTMEQCCRRVEESLDFTYRLYRKMNLIGPLEIRVVKFGAFDELMNFFVSRGAAPLQYKTPCCLKIKEAIEILDSRVVGKFFSNGNLA >KJB55424 pep chromosome:Graimondii2_0_v6:9:5545212:5554530:1 gene:B456_009G077000 transcript:KJB55424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVSREFGNTMQKEAASAVSADVIFASSRFPNYKIGANNQVVDAKEDPKVLTMKEVVARETALLLEQQKRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAIQLTQREGELLQEKTEVKKLANFLKQASEDAKKLVDEERAHCRAEIESARAAVQRVEEALQEQEQISRASGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRIQLAEKSKHSLLLQKELARSKRMEKNISHIYELDGAETLGSYLRIKPCSDIAPELAECSIQWYRISSETSKKELISGASKSVYAPEPFDVGRILQVEIIYDGQLIVLTTAGAIDPAAGLGNYVEALVRKHDVEFNVVVSQMNGADHPSESIHVLHVGKMRMKLCKGKTTIVKEYYSSSMQLCGVRGGGNAAAQALFWQAKKGFSVVLAFESERERNAAIMLARRFAFDCNIMLAGPDGGFSIGTK >KJB55425 pep chromosome:Graimondii2_0_v6:9:5545894:5552108:1 gene:B456_009G077000 transcript:KJB55425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVSREFGNTMQKEAASAVSADVIFASSRFPNYKIGANNQVVDAKEDPKVLTMKEVVARETALLLEQQKRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAIQLTQREGELLQEKTEVKKLANFLKQASEDAKKLVDEERAHCRAEIESARAAVQRVEEALQEQEQISRASGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRIQLAEKSKHSLLLQKELARSKRMEKNISHIYELDGAETLGSYLRIKPCSDIAPELAECSIQWYRISSETSKKELISGASKSVYAPEPFDVGRILQVEIIYDGQLIVLTTAGAIDPAAGLGNYVEALVRKHDVEFNVCYPT >KJB62405 pep chromosome:Graimondii2_0_v6:9:63894616:63894950:1 gene:B456_009G415300 transcript:KJB62405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIPNSKEVNGIKVLQLETAAGASIRFFDHAIGINVPRSQFLIRHKFPF >KJB62389 pep chromosome:Graimondii2_0_v6:9:63505239:63506703:-1 gene:B456_009G414700 transcript:KJB62389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGNGRRSAKTIRQRLEDPLDLDNPIVNDKCMKAVSICVRMVSWKYKLLGATAERINLQNEEDFELLKGEAEKEIIDDILSITFFERPLKVIGLENDYCSVNFQDDDEYLHAVSGGPWTIFGQYLTVRPWTPSFTTDQDFPTSLLVWIRLPGLWEGMYTRSLLKFIARAIGRLARLAVYIDLGKLLVPKLQIDKRVQCVEYETIPLVCFRCGRFEHSSDACLHRTEKKETIEGVTVENLDNDTTAQGGAADWSSNKDAMTHSSDKGDQFGPWMLVERKLHWTPLTAMKPIVNSIDEVEEVKSAALNGSTGIKGSDKGILGKALPVRGKLLSNPQIKTKVFKKGSEKNKCKVVVLMNGSKTNSNVLKPVNNQRSSFRPIDNPFNDGSKMGLKLLNNGSDPIRFRAVGHGLDKGEICY >KJB61753 pep chromosome:Graimondii2_0_v6:9:51261400:51262251:-1 gene:B456_009G378000 transcript:KJB61753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINILCKEGLPDEAYRLFGSMGDNDCLPDNCCYNVMIRGFLRNSYTSKATQLLMEMVGKGFSADIITDTLFMDLIIYSNKSILL >KJB58345 pep chromosome:Graimondii2_0_v6:9:15915464:15919338:-1 gene:B456_009G205600 transcript:KJB58345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFVGNCGNFIDYEQITFCIMNWIKKVFKGCAHKSSEGHYHGHYAEDRQFYAPSGSGDAWREHENEEIDRAIALSLLEESQKGRRVKDDKSQLEDDEQLARAIQESLNFEPPPQYENVNTYQPMPVHFPTGYSRICAGCNTEIGCGRFLNCLSAFWHPECFRCHACNLPISDYEFSMSGNYRFHKSCYKEQYHPKCDVCNHFISTNPAGLIEYRAHPFWMQKYCPSHEHDGTPRCCSCERMEPHDTGYVALNDGRKLCLECLDSAVMDTKQCQPLYLDIQEFYESLDMKVEQQVPLLLVERQALNDAREGEKNGHYHMPETRGLCLSEEQTVSTIRRRPRLGTGNRSMDMITEPYKLTRRCDVTAILILYGLPRLLTGSILAHEMMHAWMRLQGFRTLSQDVEEGICQVLAHMWLLTQFDSASDSNGAWTSSSSSSSRLKKGKRSQLERKLGEFFKHQIESDASPVYGDGFRAGHQAVYKYGLRNTLEHIRMTGRFPH >KJB58344 pep chromosome:Graimondii2_0_v6:9:15915151:15920378:-1 gene:B456_009G205600 transcript:KJB58344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFVGNCGNFIDYEQITFCIMNWIKKVFKGCAHKSSEGHYHGHYAEDRQFYAPSGSGDAWREHENEEIDRAIALSLLEESQKGRRVKDDKSQLEDDEQLARAIQESLNFEPPPQYENVNTYQPMPVHFPTGYRICAGCNTEIGCGRFLNCLSAFWHPECFRCHACNLPISDYEFSMSGNYRFHKSCYKEQYHPKCDVCNHFISTNPAGLIEYRAHPFWMQKYCPSHEHDGTPRCCSCERMEPHDTGYVALNDGRKLCLECLDSAVMDTKQCQPLYLDIQEFYESLDMKVEQQVPLLLVERQALNDAREGEKNGHYHMPETRGLCLSEEQTVSTIRRRPRLGTGNRSMDMITEPYKLTRRCDVTAILILYGLPRLLTGSILAHEMMHAWMRLQGFRTLSQDVEEGICQVLAHMWLLTQFDSASDSNGAWTSSSSSSSRLKKGKRSQLERKLGEFFKHQIESDASPVYGDGFRAGHQAVYKYGLRNTLEHIRMTGRFPH >KJB58342 pep chromosome:Graimondii2_0_v6:9:15915464:15918915:-1 gene:B456_009G205600 transcript:KJB58342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWIKKVFKGCAHKSSEGHYHGHYAEDRQFYAPSGSGDAWREHENEEIDRAIALSLLEESQKGRRVKDDKSQLEDDEQLARAIQESLNFEPPPQYENVNTYQPMPVHFPTGYSRICAGCNTEIGCGRFLNCLSAFWHPECFRCHACNLPISDYEFSMSGNYRFHKSCYKEQYHPKCDVCNHFISTNPAGLIEYRAHPFWMQKYCPSHEHDGTPRCCSCERMEPHDTGYVALNDGRKLCLECLDSAVMDTKQCQPLYLDIQEFYESLDMKVEQQVPLLLVERQALNDAREGEKNGHYHMPETRGLCLSEEQTVSTIRRRPRLGTGNRSMDMITEPYKLTRRCDVTAILILYGLPRLLTGSILAHEMMHAWMRLQGFRTLSQDVEEGICQVLAHMWLLTQFDSASDSNGAWTSSSSSSSRLKKGKRSQLERKLGEFFKHQIESDASPVYGDGFRAGHQAVYKYGLRNTLEHIRMTGRFPH >KJB58340 pep chromosome:Graimondii2_0_v6:9:15915151:15920378:-1 gene:B456_009G205600 transcript:KJB58340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWIKKVFKGCAHKSSEGHYHGHYAEDRQFYAPSGSGDAWREHENEEIDRAIALSLLEESQKGRRVKDDKSQLEDDEQLARAIQESLNFEPPPQYENVNTYQPMPVHFPTGYRICAGCNTEIGCGRFLNCLSAFWHPECFRCHACNLPISDYEFSMSGNYRFHKSCYKEQYHPKCDVCNHFISTNPAGLIEYRAHPFWMQKYCPSHEHDGTPRCCSCERMEPHDTGYVALNDGRKLCLECLDSAVMDTKQCQPLYLDIQEFYESLDMKVEQQVPLLLVERQALNDAREGEKNGHYHMPETRGLCLSEEQTVSTIRRRPRLGTGNRSMDMITEPYKLTRRCDVTAILILYGLPRLLTGSILAHEMMHAWMRLQGFRTLSQDVEEGICQVLAHMWLLTQFDSASDSNGAWTSSSSSSSRLKKGKRSQLERKLGEFFKHQIESDASPVYGDGFRAGHQAVYKYGLRNTLEHIRMTGRFPH >KJB58346 pep chromosome:Graimondii2_0_v6:9:15915464:15919338:-1 gene:B456_009G205600 transcript:KJB58346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFVGNCGNFIDYEQITFCIMNWIKKVFKGCAHKSSEGHYHGHYAEDRQFYAPSGSGDAWREHENEEIDRAIALSLLEESQKGRRVKDDKSQLEDDEQLARAIQESLNFEPPPQYENVNTYQPMPVHFPTGYSRICAGCNTEIGCGRFLNCLSAFWHPECFRCHACNLPISDYEFSMSGNYRFHKSCYKEQYHPKCDVCNHFISTNPAGLIEYRAHPFWMQKYCPSHEHDGTPRCCSCERMEPHDTGYVALNDGRKLCLECLDSAVMDTKQCQPLYLDIQEFYESLDMKVEQQVPLLLVERQALNDAREGEKNGHYHMPETRGLCLSEEQTVSTIRRRPRLGTGNRSMDMITEPYKLTRRCDVTAILILYGLPRLLTGSILAHEMMHAWMRLQGFRTLSQDVEEGICQVLAHMWLLTQFDSASDSNGAWTSSSSSSSRLKKGKRSQLERKLGEFFKHQIESDASPVYGDGFRAGHQAVYKYGLRNTLEHIRMTGRFPH >KJB58343 pep chromosome:Graimondii2_0_v6:9:15915151:15920378:-1 gene:B456_009G205600 transcript:KJB58343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFVGNCGNFIDYEQITFCIMNWIKKVFKGCAHKSSEGHYHGHYAEDRQFYAPSGSGDAWREHENEEIDRAIALSLLEESQKGRRVKDDKSQLEDDEQLARAIQESLNFEPPPQYENVNTYQPMPVHFPTGYRICAGCNTEIGCGRFLNCLSAFWHPECFRCHACNLPISDYEFSMSGNYRFHKSCYKEQYHPKCDVCNHFISTNPAGLIEYRAHPFWMQKYCPSHEHDGTPRCCSCERMEPHDTGYVALNDGRKLCLECLDSAVMDTKQCQPLYLDIQEFYESLDMKVEQQVPLLLVERQALNDAREGEKNGHYHMPETRGLCLSEEQTVSTIRRRPRLGTGNRSMDMITEPYKLTRRCDVTAILILYGLPRLLTGSILAHEMMHAWMRLQGFRTLSQDVEEGICQVLAHMWLLTQFDSASDSNGAWTSSSSSSSRLKKGKRSQLERKLGEFFKHQIESDASPVYGDGFRAGHQAVYKYGLRNTLEHIRMTGRFPH >KJB58341 pep chromosome:Graimondii2_0_v6:9:15915151:15920378:-1 gene:B456_009G205600 transcript:KJB58341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWIKKVFKGCAHKSSEGHYHGHYAEDRQFYAPSGSGDAWREHENEEIDRAIALSLLEESQKGRRVKDDKSQLEDDEQLARAIQESLNFEPPPQYENVNTYQPMPVHFPTGYSRICAGCNTEIGCGRFLNCLSAFWHPECFRCHACNLPISDYEFSMSGNYRFHKSCYKEQYHPKCDVCNHFISTNPAGLIEYRAHPFWMQKYCPSHEHDGTPRCCSCERMEPHDTGYVALNDGRKLCLECLDSAVMDTKQCQPLYLDIQEFYESLDMKVEQQVPLLLVERQALNDAREGEKNGHYHMPETRGLCLSEEQTVSTIRRRPRLGTGNRSMDMITEPYKLTRRCDVTAILILYGLPRLLTGSILAHEMMHAWMRLQGFRTLSQDVEEGICQVLAHMWLLTQFDSASDSNGAWTSSSSSSSRLKKGKRSQLERKLGEFFKHQIESDASPVYGDGFRAGHQAVYKYGLRNTLEHIRMTGRFPH >KJB59426 pep chromosome:Graimondii2_0_v6:9:20901986:20903601:1 gene:B456_009G254400 transcript:KJB59426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSILIGLFSFSIHLWLLHSTSFHSLSLSLSLSLSLSHHTCYLHWQYVGYISHTHIYIHTYIDFFGGQVVCKKLLMKVITVKST >KJB56899 pep chromosome:Graimondii2_0_v6:9:10661394:10665878:-1 gene:B456_009G140900 transcript:KJB56899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKRKTLFFAFFLLLSSAHSFYLPGVAPRDFQRGDPLYVKVNKLSSTKTQLPYDYYFLNYCKPPKIVNNAENLGEVLRGDRIENSVYTFEMREDQPCKVVCRIKLDAESTKNFKEKIDDEYRVNMILDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGNYAGTKEEKYFINNHLSFRVMFHRDTETDAARIVGFEVTPNSINHEYKEWDEKNPQVTTCNKDTKNLVPGSTVPQEVDLGKEVVFTYDVTFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDISNYNQLETQDEAQEETGWKLVHGDVFRAPSNYGLLCVYVGTGVQIFAMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGIFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGVLFVIFFVLNALIWGEQSSGAVPFGTMIALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQIPEQAWYMKPLFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIITCAEITIVLCYFQLCSEDYYWWWRSYLTAGSSAFYLFLYSIFYFFTKLEITKLVSGILYFGYMVIVSYAFFVLTGTIGFYACFWFVRKIYSSVKID >KJB62330 pep chromosome:Graimondii2_0_v6:9:62538657:62539667:-1 gene:B456_009G412200 transcript:KJB62330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWIFGLSLLTLISESHMATLYTRNNCPFTVWPGTLTGAGIPQLSNTGFELAPQAWNAITVIAPWSGRLWARTQCSTSSGLFTCATANCGSGQVACNGVGAVPPATLVEFTLAPNGGQDFYDISLVDGFNLPISVTPQSGSGPNCTITSCSANVNAICPPELIVKASCGNAIACKSACLAFNQPKYCCSGEYNSPQKCEPTNYSMVFKNQCPQAYSYAYDDKTSIFTCSGAPNYLITFCP >KJB56300 pep chromosome:Graimondii2_0_v6:9:8463372:8467313:1 gene:B456_009G115300 transcript:KJB56300 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MLVEQSVINGSMNYSFAPEGAVIICFTSGTTGRPKGVVISHTALIVQSLAKVAIVGYSEDEVYLHTAPLCHIGGLSSAMAMLMVGACHAFIPKFEATLALEAIEQHHVTSLITVPAMMADLISSVRLKRIQKGCDSVKKILNGGGGLSDNLIKDATRLFPRAKLLSAYGMTETCSSLTFMTLFEPMLEASGTSLQMLAITSSSPVKARGVCVGKPAPHVEIKICVDGSYNVGRILTRGPHVMLRYWDQIPEKASNSVEETWLDTGDIGFIDDHGNLWLVGRTNGRIKSGGENIYPEEVEAVLIQHPGVLSSVVVGIPDPRLTELVVSCIRLRENWQWSDDSFKYSVQSNELFLSSTILRLHCREKNLTGFKIPKIFILWKTQFPLTTTGKIRRDQVRREVMSQQLSFPNKL >KJB56301 pep chromosome:Graimondii2_0_v6:9:8461498:8467551:1 gene:B456_009G115300 transcript:KJB56301 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MGILSEAHICQCFSRLATQKRNSLVTINGARQKTGQQLVDSVLSLARGLLQLGLRNGDVVAISAFNSDWYLEWILAVAFIGGIVAPLNYRWVYLHTAPLCHIGGLSSAMAMLMVGACHAFIPKFEATLALEAIEQHHVTSLITVPAMMADLISSVRLKRIQKGCDSVKKILNGGGGLSDNLIKDATRLFPRAKLLSAYGMTETCSSLTFMTLFEPMLEASGTSLQMLAITSSSPVKARGVCVGKPAPHVEIKICVDGSYNVGRILTRGPHVMLRYWDQIPEKASNSVEETWLDTGDIGFIDDHGNLWLVGRTNGRIKSGGENIYPEEVEAVLIQHPGVLSSVVVGIPDPRLTELVVSCIRLRENWQWSDDSFKYSVQSNELFLSSTILRLHCREKNLTGFKIPKIFILWKTQFPLTTTGKIRRDQVRREVMSQQLSFPNKL >KJB56299 pep chromosome:Graimondii2_0_v6:9:8461418:8467684:1 gene:B456_009G115300 transcript:KJB56299 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MGILSEAHICQCFSRLATQKRNSLVTINGARQKTGQQLVDSVLSLARGLLQLGLRNGDVVAISAFNSDWYLEWILAVAFIGGIVAPLNYRWSFEEARMAMVTIRPKMLVTDESCYYWHSAAQGDAIPSLRWHVSLSSPSLDIINKYNMLTAEMLVEQSVINGSMNYSFAPEGAVIICFTSGTTGRPKGVVISHTALIVQSLAKVAIVGYSEDEVYLHTAPLCHIGGLSSAMAMLMVGACHAFIPKFEATLALEAIEQHHVTSLITVPAMMADLISSVRLKRIQKGCDSVKKILNGGGGLSDNLIKDATRLFPRAKLLSAYGMTETCSSLTFMTLFEPMLEASGTSLQMLAITSSSPVKARGVCVGKPAPHVEIKICVDGSYNVGRILTRGPHVMLRYWDQIPEKASNSVEETWLDTGDIGFIDDHGNLWLVGRTNGRIKSGGENIYPEEVEAVLIQHPGVLSSVVVGIPDPRLTELVVSCIRLRENWQWSDDSFKYSVQSNELFLSSTILRLHCREKNLTGFKIPKIFILWKTQFPLTTTGKIRRDQVRREVMSQQLSFPNKL >KJB56302 pep chromosome:Graimondii2_0_v6:9:8461545:8467551:1 gene:B456_009G115300 transcript:KJB56302 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MGILSEAHICQCFSRLATQKRNSLVTINGARQKTGQQLVDSVLSLARGLLQLGLRNGDVVAISAFNSDWYLEWILAVAFIGGIVAPLNYRWSFEEARMAMVTIRPKMLVTDESCYYWHSAAQGDAIPSLRWHVSLSSPSLDIINKYNMLTAEMLVEQSVINGSMNYSFAPEGAVIICFTSGTTGRPKGVVISHTALIVQSLAKVAIVGYSEDEVYLHTAPLCHIGGLSSAMAMLMVGACHAFIPKFEATLALEAIEQHHVTSLITVPAMMADLISSVRLKRIQKGCDSVKKILNGGGGLSDNLIKDATRLFPRAKLLSAYGMTETCSSLTFMTLFEPMLEASGTSLQMLAITSSSPVKARGVCVGKPAPHVEIKICVDGSYNVGRILTRGPHVMLRYWDQIPEKASNSVEETWLDTGDIGFIDDHGNLWLVGRTNGRIKSGGENIYPEEVSINMDSYRLLASHLFISLIV >KJB62023 pep chromosome:Graimondii2_0_v6:9:54848928:54850841:-1 gene:B456_009G396400 transcript:KJB62023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAIVAPATASLQDPTKSHPDVKLFNRWSFEEVQVSDISLSDYIGVQPSKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHTMEIIYLLTDQNPVKVIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KJB60165 pep chromosome:Graimondii2_0_v6:9:25265137:25267309:1 gene:B456_009G292300 transcript:KJB60165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKYNRADYLKWKSENRIMPDGVNAKLLGCHGPLTNRQPGRAFLSGSD >KJB60166 pep chromosome:Graimondii2_0_v6:9:25265244:25267309:1 gene:B456_009G292300 transcript:KJB60166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWYAFLFIKTLCS >KJB53906 pep chromosome:Graimondii2_0_v6:9:862730:863296:1 gene:B456_009G010700 transcript:KJB53906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLIVLSAATACFGNDASQFPTNNLFAAAGDGIWDNGASCGRQYLVRCISAATPGTCNPSQTIQIRIVDRAETSVSRPSANGATIILSNTAFGAIANPSAASVNIEFQQV >KJB53905 pep chromosome:Graimondii2_0_v6:9:862537:863071:1 gene:B456_009G010700 transcript:KJB53905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNQQRPWRLSLFIVFFLVATFFNPSLADVGTAAHYSPPYLPTACFGNDASQFPTNNLFAAAGDGIWDNGASCGRQYLVRCISAATPGTCNPSQTIQIRIVDRAETSVSRPSANGATIILSNTAFGAIANPSAASVNIEFQQ >KJB55784 pep chromosome:Graimondii2_0_v6:9:6872674:6876420:1 gene:B456_009G094300 transcript:KJB55784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT5G58960) TAIR;Acc:AT5G58960] MLPSSLLCSMRPNFSRKKKGNRDSLETEAKGLDFWYAVPFNKDPFQNQSSSRFSLRSDNSHLSRNGAAVKAKKKGDMATKVSNFSDLIQRVAASCLLHPLAAGRQESGEADTLTDDVIEEDPDEEEYYEYNNSSEDEEKENGVKGAEKSRRIATVWNNNGEKTKEMVTLMEEVFEAVAEMKKAYVRLQEAHCPWDPERMRAADVAVVGELRRLGVLRERFRRGTRGGGGRGKGHVAMLKEVVAPYEAAVEDLKREVKVKEVEIENLKEKLNTVTCLSNGGKKGRSFSKRKVSCSQVLGAAPVPTPELFEATMCQVKEASKSFTSLLLSLMREARWDIAAAVRSIEAATAAHDTTAYTTTITPSVIANHHAKYALESYVSRKIFQGFDHETFYMDGSLSSLLNPDQYHRECFTQYRDMKAMDPVELLGILPTCNFGKFCSKKYLAIVHPKMEESLFGDLEQRNQVMAGNHPRSQFYGEFLGLAKAIWLLHLLAFSLDPSPSQFEASRGAEFHPHYMESVGKISGGRVPTGQIVGFPVSPGFKLGNGSVVKARVYLVART >KJB55786 pep chromosome:Graimondii2_0_v6:9:6872677:6876420:1 gene:B456_009G094300 transcript:KJB55786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT5G58960) TAIR;Acc:AT5G58960] MATKVSNFSDLIQRVAASCLLHPLAAGRQESGEADTLTDDVIEEDPDEEEYYEYNNSSEDEEKENGVKGAEKSRRIATVWNNNGEKTKEMVTLMEEVFEAVAEMKKAYVRLQEAHCPWDPERMRAADVAVVGELRRLGVLRERFRRGTRGGGGRGKGHVAMLKEVVAPYEAAVEDLKREVKVKEVEIENLKEKLNTVTCLSNGGKKGRSFSKRKVSCSQVLGAAPVPTPELFEATMCQVKEASKSFTSLLLSLMREARWDIAAAVRSIEAATAAHDTTAYTTTITPSVIANHHAKYALESYVSRKIFQGFDHETFYMDGSLSSLLNPDQYHRECFTQYRDMKAMDPVELLGILPTCNFGKFCSKKYLAIVHPKMEESLFGDLEQRNQVMAGNHPRSQFYGEFLGLAKAIWLLHLLAFSLDPSPSQFEASRGAEFHPHYMESVGKISGGRVPTGQIVGFPVSPGFKLGNGSVVKARVYLVART >KJB55785 pep chromosome:Graimondii2_0_v6:9:6873419:6876420:1 gene:B456_009G094300 transcript:KJB55785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT5G58960) TAIR;Acc:AT5G58960] MATKVSNFSDLIQRVAASCLLHPLAAGRQESGEADTLTDDVIEEDPDEEEYYEYNNSSEDEEKENGVKGAEKSRRIATVWNNNGEKTKEMVTLMEEVFEAVAEMKKAYVRLQEAHCPWDPERMRAADVAVVGELRRLGVLRERFRRGTRGGGGRGKGHVAMLKEVVAPYEAAVEDLKREVKVKEVEIENLKEKLNTVTCLSNGGKKGRSFSKRKVSCSQVLGAAPVPTPELFEATMCQVKEASKSFTSLLLSLMREARWDIAAAVRSIEAATAAHDTTAYTTTITPSVIANHHAKYALESYVSRKIFQGFDHETFYMDGSLSSLLNPDQYHRECFTQYRDMKAMDPVELLGILPTCNFGKFCSKKYLAIVHPKMEESLFGDLEQRNQVMAGNHPRSQFYGEFLGLAKAIWLLHLLAFSLDPSPSQFEASRGAEFHPHYMESVGKISGGRVPTGQIVGFPVSPGFKLGNGSVVKARVYLVART >KJB59711 pep chromosome:Graimondii2_0_v6:9:22330524:22335553:1 gene:B456_009G268100 transcript:KJB59711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHSAVALFYAAASLFAIAGAEDPYRFYSWNVTYGDIYPLGVRQTGLLINGQFPGPDIHSVTNDNLIINVYNNLNESFLLSWNGVQQRRNSYEDGVFGTTCPIPPGKNFTYMLQVKDQIGTFYYYPSLGFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYKSNHTVLQAHLDGGKKLPFPDGVLINGRGPGGASFNVEQGKTYRLRISNVGLQNSLNFRIQNHRLTLVEVEGTHTLQTTYSSIDLHLGQSCSVLFTADQPAQDYYIVASTRFTNPVLTTTATLRYSNSAGPVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTRTIRLANSAGQVNGKQRYAVNSVSFVLPDTPLKLADYFKIGGVFRPGSISDNPYGGGIYLDTSVLNADYRAFVEIVFENTENIIQSWHLNGYSFFVVGMDGGQWTAASRNGYNLRDAVARCTTQVYPKSWTAIYVALDNVGIWNLRSEYWARQYLGQQLYLRVYTDSTSLRDEYPIPKNALLCGRAAGRSTRPL >KJB59710 pep chromosome:Graimondii2_0_v6:9:22330524:22335542:1 gene:B456_009G268100 transcript:KJB59710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHSAVALFYAAASLFAIAGAEDPYRFYSWNVTYGDIYPLGVRQTGLLINGQFPGPDIHSVTNDNLIINVYNNLNESFLLSWNGVQQRRNSYEDGVFGTTCPIPPGKNFTYMLQVKDQIGTFYYYPSLGFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYKSNHTVLQAHLDGGKKLPFPDGVLINGRGPGGASFNVEQGKTYRLRISNVGLQNSLNFRIQNHRLTLVEVEGTHTLQTTYSSIDLHLGQSCSVLFTADQPAQDYYIVASTRFTNPVLTTTATLRYSNSAGPVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTRTIRLANSAGQVNGKQRYAVNSVSFVLPDTPLKLADYFKIGGVFRPGSISDNPYGGGIYLDTSVLNADYRAFVEIVFENTENIIQSWHLNGYSFFVVGMDGGQWTAASRNGYNLRDAVARCTTQVPKLIIFIQFNLAILVKTQC >KJB57451 pep chromosome:Graimondii2_0_v6:9:12651542:12655559:1 gene:B456_009G164900 transcript:KJB57451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYQHGRYVLLFFFVFISLLLVLSNGEEITNANKLIKIGAIIDIHSSTGREEKTALDIAVQSFDSNDSNNHKLSLHIQDSGRNPLLAATNKKLIIGLKKWEETVMVGNIGTRAQVPVISFAAPAITPPLATARWPFLVRMANEDSKQMKCIAAIIGLFNWKRVIVIYEDDAFGSESGKMALLPEALQDVGSEVEFQLVLPPFSSVTNLNVAVHEELKKLQKKQSRVFVVLHSSFSMTIHLFEEAKKSGLVGHDSAWIVTETISSYLDSFNSSVISSIEGTLGIKTYYSEDTSLYKKFYTNFRTTFRNEYHEEDNSQPGINALRAYDSIGIITQAVEKLKSDGKSPKKLLKKILSSNFTGASGEVCFEEGQLSYDPILRIVNVNETGYVGEISQDLGGRVYWPGDSKLAPKGWAMPTDEKPLIIGVPARTSFEKFVKVVDDKLPGVKNYDGFCIELFYEVLKVLGYHLPYRFDSHNGTYDELVNKVNNKTYDAAVGDITILADRADHVEFTQPYAWSGLTMIVPAKSEDSAWIFVKLFTIEMWLATATILIYTMFIVWIGTALWFAFSSIFFAHREKVYSNLTRMVVVVWLFVVLVLNSSYTASLTSMLTVKRLGPNVTDIELLKRANLKIGCDGDSFVRTYLENVLNFKSYNIENVSSEYKYEGEFKSHRIAATFLELPYGKSSSIAKDFSRAILQFSENGFLLSLEKEWFSPSLECSADVTDSSTTDSLSIRTTSTLCFLFFSMNLLKKYYRHRVDNVDESQSVWIKVIRVAKYFYLGDVVLVQREASAAPDPEPDINEWRSPSTLDFGHTNMENLDGTSSPAETEIEMLLQTQN >KJB55472 pep chromosome:Graimondii2_0_v6:9:5620081:5622436:1 gene:B456_009G078000 transcript:KJB55472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLCSRSDPAANPDEKGEPGPENELNTSTSMNEDSPNSPPKASPTQSISSKPSNKPNPIGPVLGRPMEDIKTTYNIGKELGRGQFGVTHLCTNKSTGEQFACKTIAKRKLANKEDIEDVRREVQIMHHLTGQSNIVELKGAFEDKHSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTVVQIVHTCHSMGVIHRDLKPENFLLLNKDEDSPLKATDFGLSVFYKPGEEFKEIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYIFLSGVPPFWAESENGIFNSILRGHIDFSSDPWPSISPQAKELVKKMLNSDPKQRLTAVQVLSHPWIKEDGEAPDTPLDNAVICRLKQFKAMNNFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDNSGTITLEELKQGLAKQGTKLTEYEVQQLMEAADADGNGTIDYDEFITATVHMNRMDREDHLYHAFQHFDKDNSGYITTEELEQALREHGMHDANIKEIVSEVDSDNDGRINYDEFVAMMRKGNPEAHTKKRRELSVNIET >KJB59805 pep chromosome:Graimondii2_0_v6:9:22903086:22905096:1 gene:B456_009G273500 transcript:KJB59805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCVILFPLAITFYVTWGFIHLVDGFFSPVYDHLGINIFGLGFATSITFIFLVGIFMSSWVGASVLTLGELFIKKMPLVSYIYSASKQISAAISPDQNSNAFKEVAIIRHPGKGQYMFGFITSTVVLQKGIGEEELCCVYVPTNHLYLGDVLLISSTDILRPNISVREGIEIVISGGMSVPKLFTMIDPSGISATRTVNFEASV >KJB59803 pep chromosome:Graimondii2_0_v6:9:22902753:22905096:1 gene:B456_009G273500 transcript:KJB59803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRERDRERDKDRELLIPVATISDDEDSKSSSSPPTPTISSTSHGREAFLKVIRSWAWKKFMTGCVILFPLAITFYVTWGFIHLVDGFFSPVYDHLGINIFGLGFATSITFIFLVGIFMSSWVGASVLTLGELFIKKMPLVSYIYSASKQISAAISPDQNSNAFKEVAIIRHPGKGQYMFGFITSTVVLQKGIGEEELCCVYVPTNHLYLGDVLLISSTDILRPNISVREGIEIVISGGMSVPKLFTMIDPSGISATRTVNFEASV >KJB59804 pep chromosome:Graimondii2_0_v6:9:22903086:22905096:1 gene:B456_009G273500 transcript:KJB59804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCVILFPLAITFYVTWGFIHLVDGFFSPVYDHLGINIFGLGFATSITFIFLVGIFMSSWVGASVLTLGELFIKKMPLVSYIYSASKQISAAISPDQNSNAFKEVAIIRHPGKGQYMFGFITSTVVLQKGIGEEELCCVYVPTNHLYLGDVLLISSTDILRPNISVREGIGMKPKSKLKLKLELEMKIESSCINNFKCFCCRNCYLWGHVCA >KJB56503 pep chromosome:Graimondii2_0_v6:9:9105890:9109845:-1 gene:B456_009G122600 transcript:KJB56503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNVKESTMVRPAGETPRRSLWNANVDLVVPRFHTPSVYFYRPNGAANFFDPQVMKEALSKALVPFYPMAGRLKRDEDGRIEIDCNGEGVLFVEAETNSVIDDFGDFAPTLELRQLIPTVDYSGGISTYPLLVLQVTYFKCGGASLGVGMQHHAADGYSGLHFINTWSDMARGLDLTIPPFIDRTLLRARDPPQPVFHHVEYQPPPAMKIPPQSTGPESTAISIFKLTRDQLNALKAKCKEDGNDVNYSSYEMLSGHVWRSVCKARGLEDDQGTKLYIATDGRARLRPPLPPGYFGNVIFTATPIAVAGDLLSKPTWYAASRIHDALVRMDDEYLRSALDYLELQPDLSALVRGAHTFRCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIPYEGLSFVLPSPNNDGSLSVAISLQTEHMNVFEKLFYDI >KJB56501 pep chromosome:Graimondii2_0_v6:9:9105890:9110435:-1 gene:B456_009G122600 transcript:KJB56501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNVKESTMVRPAGETPRRSLWNANVDLVVPRFHTPSVYFYRPNGAANFFDPQVMKEALSKALVPFYPMAGRLKRDEDGRIEIDCNGEGVLFVEAETNSVIDDFGDFAPTLELRQLIPTVDYSGGISTYPLLVLQVTYFKCGGASLGVGMQHHAADGYSGLHFINTWSDMARGLDLTIPPFIDRTLLRARDPPQPVFHHVEYQPPPAMKIPPQSTGPESTAISIFKLTRDQLNALKAKCKEDGNDVNYSSYEMLSGHVWRSVCKARGLEDDQGTKLYIATDGRARLRPPLPPGYFGNVIFTATPIAVAGDLLSKPTWYAASRIHDALVRMDDEYLRSALDYLELQPDLSALVRGAHTFRCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIPYEGLSFVLPSPNNDGSLSVAISLQTEHMNVFEKLFYDI >KJB56502 pep chromosome:Graimondii2_0_v6:9:9105857:9110519:-1 gene:B456_009G122600 transcript:KJB56502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLFALPFSTLILFQVLLQSSPQCSPPFSPTPLPFFCSSSSFAFTSDLQAKSKPILFPPVDPTSTTTMIVNVKESTMVRPAGETPRRSLWNANVDLVVPRFHTPSVYFYRPNGAANFFDPQVMKEALSKALVPFYPMAGRLKRDEDGRIEIDCNGEGVLFVEAETNSVIDDFGDFAPTLELRQLIPTVDYSGGISTYPLLVLQVTYFKCGGASLGVGMQHHAADGYSGLHFINTWSDMARGLDLTIPPFIDRTLLRARDPPQPVFHHVEYQPPPAMKIPPQSTGPESTAISIFKLTRDQLNALKAKCKEDGNDVNYSSYEMLSGHVWRSVCKARGLEDDQGTKLYIATDGRARLRPPLPPGYFGNVIFTATPIAVAGDLLSKPTWYAASRIHDALVRMDDEYLRSALDYLELQPDLSALVRGAHTFRCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIPYEGLSFVLPSPNNDGSLSVAISLQTEHMNVFEKLFYDI >KJB56504 pep chromosome:Graimondii2_0_v6:9:9105857:9110509:-1 gene:B456_009G122600 transcript:KJB56504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNVKESTMVRPAGETPRRSLWNANVDLVVPRFHTPSVYFYRPNGAANFFDPQVMKEALSKALVPFYPMAGRLKRDEDGRIEIDCNGEGVLFVEAETNSVIDDFGDFAPTLELRQLIPTVDYSGGISTYPLLVLQVTYFKCGGASLGVGMQHHAADGYSGLHFINTWSDMARGLDLTIPPFIDRTLLRARDPPQPVFHHVEYQPPPAMKIPPQSTGPESTAISIFKLTRDQLNALKAKCKEDGNDVNYSSYEMLSGHVWRSVCKARGLEDDQGTKLYIATDGRARLRPPLPPGYFGNVIFTATPIAVAGDLLSKPTWYAASRIHDALVRMDDEYLRSALDYLELQPDLSALVRGAHTFRCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIPYEGLSFVLPSPNNDGSLSVAISLQTEHMNVFEKLFYDI >KJB56505 pep chromosome:Graimondii2_0_v6:9:9105890:9110435:-1 gene:B456_009G122600 transcript:KJB56505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVNVKESTMVRPAGETPRRSLWNANVDLVVPRFHTPSVYFYRPNGAANFFDPQVMKEALSKALVPFYPMAGRLKRDEDGRIEIDCNGEGVLFVEAETNSVIDDFGDFAPTLELRQLIPTVDYSGGISTYPLLVLQVTYFKCGGASLGVGMQHHAADGYSGLHFINTWSDMARGLDLTIPPFIDRTLLRARDPPQPVFHHVEYQPPPAMKIPPQSTGPESTAISIFKLTRDQLNALKAKCKEDDDQGTKLYIATDGRARLRPPLPPGYFGNVIFTATPIAVAGDLLSKPTWYAASRIHDALVRMDDEYLRSALDYLELQPDLSALVRGAHTFRCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIPYEGLSFVLPSPNNDGSLSVAISLQTEHMNVFEKLFYDI >KJB57254 pep chromosome:Graimondii2_0_v6:9:11920471:11925376:1 gene:B456_009G155400 transcript:KJB57254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKRLKPFYQRSAKESLAKKTMAESSAINRCLFLFMLIFPHFSTLALAEDGLVANGDFEARPSNGFPSEAIADGPTEIPSWRTKGRVELVSSGEKVSGGMLLIVPGGSKAVRLGNDAEISQEVTVEKGSTYAVTFSAARTCAQLESLNVSVPPASQSVDLQTLYNVQGWDPYSISFEAEVDKVPLIFRNTGMEDDPECGPIIDDIAIKKLVTPDQPKDNAVVNSGFEFGPWMFQNVSLGVLLPTNLDEETSPLPGWMVESTRAVRYIDSNHYAVPEGKRAVELVSGKEGIISQMVETKPDKLYSLTFSLGHAGDKCKEPLAVMAFAGDQAQNFHYTPDSNSTFQVASVNFTAKAERTRIAFYSVYYNTRTDDMSSLCGPVVDDVRVWYSWASRNEVQVLLGIGLSFWAYLFVLV >KJB57253 pep chromosome:Graimondii2_0_v6:9:11920482:11925348:1 gene:B456_009G155400 transcript:KJB57253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSAINRCLFLFMLIFPHFSTLALAEDGLVANGDFEARPSNGFPSEAIADGPTEIPSWRTKGRVELVSSGEKVSGGMLLIVPGGSKAVRLGNDAEISQEVTVEKGSTYAVTFSAARTCAQLESLNVSVPPASQSVDLQTLYNVQGWDPYSISFEAEVDKVPLIFRNTGMEDDPECGPIIDDIAIKKLVTPDQPKDNAVVNSGFEFGPWMFQNVSLGVLLPTNLDEETSPLPGWMVESTRAVRYIDSNHYAVPEGKRAVELVSGKEGIISQMVETKPDKLYSLTFSLGHAGDKCKEPLAVMAFAGDQAQNFHYTPDSNSTFQVASVNFTAKAERTRIAFYSVYYNTRTDDMSSLCGPVVDDVRVWYSWASRNEVQVLLGIGLSFWAYLFVLV >KJB57277 pep chromosome:Graimondii2_0_v6:9:11981369:11985431:-1 gene:B456_009G156300 transcript:KJB57277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPFFDAVTEAAKSIDPKYLKEGEIVHVGFEGPFVSRRVTPRELLSEFIGSMVCVVGIVTKCSLVRPKVVKSVHFCPATENFTVREYRDITSNNGLPTGSVYPTRDENGNLLVTEYGLCQYKDHQTLSIQEVPENAAPGQLPRTVDALVEDDLVDSCKPGDRVAIVGIYKALPGKSKGSVNGVFRTVLIANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIYGHSWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLGDRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDADIDRHISEHVLRMHRFRSAIDGGEAALDESSRYGREDEADTDSSVFVKYNRMLHGRKTERGRKRDTLTIKFLKKYIHYAKHRIQPELTDEASEQIATAYADLRNASSNAKTGGTLPITARTLETIIRLSTAHAKLKLSRKITKVDVEAGLKVLNFAIYHKELTEMEDREQEREREQQRKKRADRRGQTADAMEVDDPPLAQQATATGSVERIEAFKTIFGQHMRANHKETVSIAEVEDIVNVGADIHYSRVEIMSILEKLQDENILMIAGETVHMIV >KJB57275 pep chromosome:Graimondii2_0_v6:9:11980922:11985909:-1 gene:B456_009G156300 transcript:KJB57275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAQDFADRKKDISQFLSQDIYQDEIKAMINHKRRRLIVNISDLHSFNNLATRILKNPSEYMQPFFDAVTEAAKSIDPKYLKEGEIVHVGFEGPFVSRRVTPRELLSEFIGSMVCVVGIVTKCSLVRPKVVKSVHFCPATENFTVREYRDITSNNGLPTGSVYPTRDENGNLLVTEYGLCQYKDHQTLSIQEVPENAAPGQLPRTVDALVEDDLVDSCKPGDRVAIVGIYKALPGKSKGSVNGVFRTVLIANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIYGHSWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLGDRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDADIDRHISEHVLRMHRFRSAIDGGEAALDESSRYGREDEADTDSSVFVKYNRMLHGRKTERGRKRDTLTIKFLKKYIHYAKHRIQPELTDEASEQIATAYADLRNASSNAKTGGTLPITARTLETIIRLSTAHAKLKLSRKITKVDVEAGLKVLNFAIYHKELTEMEDREQEREREQQRKKRADRRGQTADAMEVDDPPLAQQATATGSVERIEAFKTIFGQHMRANHKETVSIAEVEDIVNVGADIHYSRVEIMSILEKLQDENILMIAGETVHMIV >KJB57273 pep chromosome:Graimondii2_0_v6:9:11981022:11985891:-1 gene:B456_009G156300 transcript:KJB57273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAQDFADRKKDISQFLSQDIYQDEIKAMINHKRRRLIVNISDLHSFNNLATRILKNPSEYMQPFFDAVTEAAKSIDPKYLKEGEIVHVGFEGPFVSRRVTPRELLSEFIGSMVCVVGIVTKCSLVRPKVVKSVHFCPATENFTVREYRDITSNNGLPTGSVYPTRDENGNLLVTEYGLCQYKDHQTLSIQEVPENAAPGQLPRTVDALVEDDLVDSCKPGDRVAIVGIYKALPGKSKGSVNGVFRTVLIANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIYGHSWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLGDRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDADIDRHISEHVLRMHRFRSAIDGGEAALDESSRYGREDEADTDSSVFVKYNRMLHGRKTERGRKRDTLTIKFLKKYIHYAKHRIQPELTDEASEQIATAYADLRNASSNAKTGGTLPITARTLETIIRLSTAHAKLKLSRKITKVDVEAGLKVLNFAIYHKELTEMEDREQEREREQQRKKRADRRGQTADAMEVDDPPLAQQATATGSVERIEAFKTIFGQHMRANHKETVSIAEVEDIVNVGADIHYSRVEIMSILEKLQDENILMIAGETVHMIV >KJB57276 pep chromosome:Graimondii2_0_v6:9:11981369:11985431:-1 gene:B456_009G156300 transcript:KJB57276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPFFDAVTEAAKSIDPKYLKEGEIVHVGFEGPFVSRRVTPRELLSEFIGSMVCVVGIVTKCSLVRPKVVKSVHFCPATENFTVREYRDITSNNGLPTGSVYPTRDENGNLLVTEYGLCQYKDHQTLSIQEVPENAAPGQLPRTVDALVEDDLVDSCKPGDRVAIVGIYKALPGKSKGSVNGVFRTVLIANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIYGHSWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLGDRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDADIDRHISEHVLRMHRFRSAIDGGEAALDESSRYGREDEADTDSSVFVKYNRMLHGRKTERGRKRDTLTIKFLKKYIHYAKHRIQPELTDEASEQIATAYADLRNASSNAKTGGTLPITARTLETIIRLSTAHAKLKLSRKITKVDVEAGLKVLNFAIYHKELTEMEDREQEREREQQRKKRADRRGQTADAMEVDDPPLAQQATATGSVERIEAFKTIFGQHMRANHKETVSIAEVEDIVNVGADIHYSRVEIMSILEKLQDENILMIAGETVHMIV >KJB57274 pep chromosome:Graimondii2_0_v6:9:11981022:11985891:-1 gene:B456_009G156300 transcript:KJB57274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAQDFADRKKDISQFLSQDIYQDEIKAMINHKRRRLIVNISDLHSFNNLATRILKNPSEYMQPFFDAVTEAAKSIDPKYLKEGEIVHVGFEGPFVSRRVTPRELLSEFIGSMVCVVGIVTKCSLVRPKVVKSVHFCPATENFTVREYRDITSNNGLPTGSVYPTRDENGNLLVTEYGLCQYKDHQTLSIQEVPENAAPGQLPRTVDALVEDDLVDSCKPGDRVAIVGIYKALPGKSKGSVNGVFRTVLIANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIYGHSWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLGDRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDADIDRHISEHVLRMHRFRSAIDGGEAALDESSRYGREDEADTDSSVFVKYNRMLHGRKTERGRKRDTLTIKFLKKYIHYAKHRIQPELTDEASEQIATAYADLRNASSNAKTGGTLPITARTLETIIRLSTAHAKLKLSRKITKVDVEAGLKVLNFAIYHKELTEMEDREQEREREQQRKKRADRRGQTADAMEVDDPPLAQQATATGSVERIEAFKTIFGQHMRANHKETVSIAEVEDIVNVGADIHYSRVEIMSILEKLQDENILMIAGETVHMIV >KJB55836 pep chromosome:Graimondii2_0_v6:9:7156492:7158481:1 gene:B456_009G098800 transcript:KJB55836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAGAVCIIVGLISSMWMAEARIPGVYSGGAWQNAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCASDPKWCHSGSPSIFITATNFCPPNYALPNDNGGWCNPPRSHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKRGGIRFTINGFRYFNLVLISNVAGAGDLVKVSVKGSRTGWMSMSRNWGQNWQSNAVLVGQSLSFRVTGSDRRTSTSWNIVPAHWQFGQTFTGKNFRV >KJB58225 pep chromosome:Graimondii2_0_v6:9:15481976:15483022:-1 gene:B456_009G199900 transcript:KJB58225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVERDVVIILYIKVRLLGSIEKKKLLHIPKSYTTLFIVSALLKNTMSKKTILSVELLCPKCRQKVMHVISELVGITSITLDPSKNTVMVTGEADPFKIIKKVRKFRKHASIVSIGAAKEEKKDEKKDEKKDCRKDVVIYSPKTCRRCEVWYVVHDDLYHHCSIL >KJB62939 pep chromosome:Graimondii2_0_v6:9:69537484:69540288:1 gene:B456_009G444200 transcript:KJB62939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYLFLCLFLFFPHLYASFSSSGSHSCSSLIQFKDSFSINQKRMLIYCCSWDGVTCDHLNAHVIALDLSCCWLYGNFPSNTTLFLLPHLQKLNLAYNDFNLSKIPSEFSRFTSLFYLNLSYTEFAGEVPSQVSHLSLSLAGCGLQGKFPKNIFDLPSLNLLNLLDNQLSGQIPRSLGNHLQLTHLDLSWNQLSGQIPLSILNLTQLEYLRIAGNTLEGSIPDEVTAFPNLIYLGLSDNLFNGTLPSWLYTAPSLKRIILSQNQFSGHIKEFQSKSLEMILLKNNKLQGPLPSSIFQLLNLTYLSLPNNLSGVRVIEFSMFSNLPNLKYLDLSYNSLSLTSNSTSRLKSLERLDLSCNKIEGKIPQWMQEVGNVSLTYLNVSHNSLTEVEYFPWKNIEFLDLSSNLIRGNLPIPASTINVFLISNNSFTGEVSSLICNASSLQILDLSHNNLSGTIPQCFGNLSDSLEFLNLKKNKFYGTIPTTFAKGCQLTTFNLNGNLLEGPLTPSILNCNDLEVLDLGNNKINDTFPHWLGSLPQLQVLVLKSNHMHGSLRINSSKSIPFFSKIQIFDLSSNYFSGPLPVRYINSFKAIINLEKIGSTVSYMGVNDPRSGFYTYSIGIVMKGQYMELVKIFTMWMIIDLSNNQFEGGIPKVIGKLTLLKGLNLSHNNLNGGIPTSIGNLTSLEWLDLSSNRLSGTIPNRLADLPFLSSFNVSENKLHGQIPQGKQFNTFGNDSYQGNKGLCGFPVSKGCNIIEPAPPNVLEKDGSKSNITFGWKVVLIGYGCGVVFGMSVGYVVFKTGKPKWLVNLVEIQHEKKRRRKSKDGSHSNGRRRI >KJB55904 pep chromosome:Graimondii2_0_v6:9:7264636:7265670:-1 gene:B456_009G100500 transcript:KJB55904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVNVSDKLLLHSCKSPPQHTRECSTCHHLHKTREQLLICLLLAWLLLAVSQLQGSQINVQATESVPTVQVQI >KJB55038 pep chromosome:Graimondii2_0_v6:9:4266278:4270723:1 gene:B456_009G059400 transcript:KJB55038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFFGVFLGVSIGIGLIIAFARYGKIRSTRRTDLARTIAAFARLTVQDSRKILPRQFYPPWVVFSQRQKLSWLNVQLEKIWPSVDEAASELIRASVEPILEQYTPAILSSMKFDKLTLGTVAPQFTGISIVESESGADGITMEMDMQWDGNPNIVLNINTKLGVSLPVQVKDIGFTGVFRLIFKPLVAQFPCFGAVAYSLREKRYLDFTLKVIGGDLSSIPGVSDAIEETIRNAVEDSITWPVRNIVPILPGDYSGLELKPVGTLDVKLVQAKELTNKDIIGKSDPFAVLFIRPLPDKTKRSKTIVQYLPSANDDNQLNPIWNENFEFIVEDVSTQHLTIKVFDDEGVQCAELIGCAQLALKELEPGKVKDVWLKLVKDLLVQRDNKYRGQVQLELLYNPYGTDSTFKNPFDADFSLTSLEKALKSPNSEKEALAPEKAGQKKREVIVRGVLSVLVISGENLPPVDFNGKADPFVVLTMKKSETKSKTRVANETLNPVWNQTFDFVVEDALHEMLIIEVWDHDTFRKEKIGRIVMTLTRVLLEGEFQDNFTIDGAKSGNLHLHLKWSPQLVFRDRDTQ >KJB55398 pep chromosome:Graimondii2_0_v6:9:5322843:5330208:1 gene:B456_009G074200 transcript:KJB55398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSSSFGFGGDFSSGFSNSTLNNADFSFNAPSLQRPSWGLPKPRLVKNKKQLNSHNSKSSSNLENQAGRGFRRFRQPSPSDESDLGGNLEGGVVEDTRNLRNGKSCGLIDHSLFSMPSNDTRKLSIENGSKGDRSNRNDDNVGSCVGRGVETEKLHNGLWSKSNIKGSEDVDDGAKQDFVFKAGKGSDSLARSSSDALHDGIKNLNIKGFGGSNTKQRDGFISQSCEISGHRGGKTEKALSAEMEGKLNLGSVMGDSTAQTGRGFSFGKDGQTAKMGDKLHKFGKSIHHDFTFQAATTKVHTDQPKTDIRLGGAAASTTLFSSSSSALPFQSGTNTFGKISDKPGKKDEFCFTAKQDAIETPFVEFRTPNSQKNISVGLNKKLEFGAKREAGTSTKVKKRKGKLKQPKPVQLWYGPDLISSKTGFQYSREPSAISEESFNVDYKDQSSDSQLAVSRNAIDGDLVAATQYMNIKEGKVKDDELNEEGSGNVFDTGVASDAPQEDSVYGSETENSTIAAENIDHNSDIALSSAENETSSRTSIERQDSDGLMFFSSPSKSEHISGFDFTFAASPSAQSQQSSPSRHHKKKNSSKTSSLHSSPRHDLKTDVSTKQSKVGENSVVDKGPDVKGKPNSIGSRTLAQESCEKWRLRGNQAYANGDPSKAEEYYMQGISCIPAGEKSRGCLRALMLCYSNLAATRMSLGRMRDALGDCMMSISIDPHFLRVQLRAANCHLALGEVEDAMRYFRKCLQSGTDVCVDRKVAVEASDGLQKAQKLSECIHQSAVLLQSKTSDDAETALEVIAEALQMSSYSEELLEMKAEALLIMGKYEDAIQLCELTFDSAEKNSPSLDINGQPANLDSPGISKDSTFRIWRCHLIFKSYFHLGKLEEAIAFLEKQEELHSTGNRDGSDSLESSIPLAATVHELLSHKAAGNEAFQSGRHLEAVEHYTAALSFSVESRPFAAICFCNRAAANKALGQITDAIADCSLAIALDGNYLKAISRRATLYEKIRNYGKATSDLERLLSLLLKQMEVKTNQTGISERSMNLANDLRQAQSWLSEIEEEGKKEVPLDFYLILGVEPTVSTAEIKKAYRKAALRHHPDKAVQFLVRTENGDDKLWKEIREEAYKDADKLFKIIGEAYAVLSDPTKRSRYDFEEETRNVQKKHTGRTSRVAAADAQSYSFDKSSGMRSWREARRAFGLSSFKGSKATRSNRFY >KJB54197 pep chromosome:Graimondii2_0_v6:9:1948698:1952564:1 gene:B456_009G025100 transcript:KJB54197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPPGATPAPTSPPPATNTTSPPPPAAATPPPTTTPSPPPVTPSAPPPSTSSPPPSSSASPPPPATESPPPSSSNPSPPPPSSSTPSPPPPPRSPGTASPPPPPRNSGTPSPPPPPSDSSNETPTGLIVGVAIGGVAILLVLGLLFLCCKKKRRRRDEESYYMPPPPPGPKDDPHGGQQYRWQQNPPPRVEQFGAVAPKPTPPPVTAWRPPSPGQSSTPPPPFISSSGGSGSNYSGSENPLPPPSPGISLGFSKSTFSYEELARATDGFSEVNLLGQGGFGYVHKGVLPNGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGTIRMLVYEFVPNNTLEFHLHGKGRLTMDWPTRMKIALGSAKGLAYLHEDCHPKIIHRDIKAANILLDFKFEAKVADFGLAKIASDVNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGHRPVGSSFMDDSLVDWARPLLTKALDGNFDGLVDPKLQKEYNHNEMTRMVACAAACVRHSARRRPRMSQIVRALEGDASLSDLNEGMKPGQSGVYSSYGSSDYDTSQYNEDMKKFRKMALGTQEFGASSEYSEPTSEFGLYPSGSSNEGQTTREMEMGKMKRNSYGFSGNSTS >KJB54196 pep chromosome:Graimondii2_0_v6:9:1948926:1952544:1 gene:B456_009G025100 transcript:KJB54196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPPGATPAPTSPPPATNTTSPPPPAAATPPPTTTPSPPPVTPSAPPPSTSSPPPSSSASPPPPATESPPPSSSNPSPPPPSSSTPSPPPPPRSPGTASPPPPPRNSGTPSPPPPPSDSSNETPTGLIVGVAIGGVAILLVLGLLFLCCKKKRRRRDEESYYMPPPPPGPKDDPHGGQQYRWQQNPPPRVEQFGAVAPKPTPPPVTAWRPPSPGQSSTPPPPFISSSGGSGSNYSGSENPLPPPSPGISLGFSKSTFSYEELARATDGFSEVNLLGQGGFGYVHKGVLPNGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGTIRMLVYEFVPNNTLEFHLHGKGRLTMDWPTRMKIALGSAKGLAYLHEDCHPKIIHRDIKAANILLDFKFEAKVADFGLAKIASDVNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGHRPVGSSFMDDSLVDWARPLLTKALDGNFDGLVDPKLQKEYNHNEMTRMVACAAACVRHSARRRPRMSQIVRALEGDASLSDLNEGMKPGQSGVYSSYGSSDYDTSQYNEDMKKFRKMALGTQEFGASSEYSEPTSEFGLYPSGSSNEGQTTREMEMGKMKRNSYGFSGNSTS >KJB58189 pep chromosome:Graimondii2_0_v6:9:15352416:15357082:1 gene:B456_009G198300 transcript:KJB58189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLLLTFAICRLIVTVGLTLDPTELLLLGVDAQLSVDPTDVKAASLDFGLLTGAQPPLAVMHPASSQDVAQLVKAAYGSNFGFTVSARGHGHSINGQAQTANGVVVQMSGSIGGSGVASGRKPPHPRVWPQERFVDVWGGELWIDVLRSTLQHGLAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQISNVHELDVVTGKGELLTCSEEQNSEMFHAVLGGLGQFGIITRARISLEPAPQRVRWIRVLYSNFSTFTRDQEYLISLHEQPASQKFDYVEGFVIVDEGLINNWRSSFFSPHNPVKISSLEPNGGVLYCLEIAKNYHESTASTIDQEVESLLKKLNFIPASVFTTDMPYVDFLDRVHKAELKLRSKGLWEVPHPWLNLFVPKSKIADFDKGVFKGILGNKTSGPILIYPMNKNK >KJB58187 pep chromosome:Graimondii2_0_v6:9:15352123:15357299:1 gene:B456_009G198300 transcript:KJB58187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLLLTFAICRLIVTVGLTLDPTELLLLGVDAQLSVDPTDVKAASLDFGLLTGAQPPLAVMHPASSQDVAQLVKAAYGSNFGFTVSARGHGHSINGQAQTANGVVVQMSGSIGGSGVASGRKPPHPRVWPQERFVDVWGGELWIDVLRSTLQHGLAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQISNVHELDVVTGKGELLTCSEEQNSEMFHAVLGGLGQFGIITRARISLEPAPQRVRWIRVLYSNFSTFTRDQEYLISLHEQPASQKFDYVEGFVIVDEGLINNWRSSFFSPHNPVKISSLEPNGGVLYCLEIAKNYHESTASTIDQEVESLLKKLNFIPASVFTTDMPYVDFLDRVHKAELKLRSKGLWEVPHPWLNLFVPKSKIADFDKGVFKGILGNKTSGPILIYPMNKNKWDHRSSAVTPDEDVFYLVALLRSALDNGEETHSLEYLTNQNRQILRFCDEAGITVKQYLPHYKTHQEWVDHFGNKWDRFYRLKMEFDPRHILASGQQIFTPTNMASWQ >KJB58190 pep chromosome:Graimondii2_0_v6:9:15352574:15355113:1 gene:B456_009G198300 transcript:KJB58190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLLLTFAICRLIVTVGLTLDPTELLLLGVDAQLSVDPTDVKAASLDFGLLTGAQPPLAVMHPASSQDVAQLVKAAYGSNFGFTVSARGHGHSINGQAQTANGVVVQMSGSIGGSGVASGRKPPHPRVWPQERFVDVWGGELWIDVLRSTLQHGLAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQISNVHELDVVTGKGELLTCSEEQNSEMFHAVLGGLGQFGIITRARISLEPAPQRVRWIRVLYSNFSTFTRDQEYLISLHEQPASQKFDYVEGFVIVDEGLINNWRSSFFSPHNPVKISSLEPNGGVLYCLEIAKNYHESTASTIDQEVESLLKKLNFIPASVFTTDMPYVDFLDRVHKAELKLRSKGLWEVPHPWLNLFVPKSKIADFDKGVFKGILGNKTSGPILIYPMNKNK >KJB58188 pep chromosome:Graimondii2_0_v6:9:15352416:15357082:1 gene:B456_009G198300 transcript:KJB58188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLLLTFAICRLIVTVGLTLDPTELLLLGVDAQLSVDPTDVKAASLDFGLLTGAQPPLAVMHPASSQDVAQLVKAAYGSNFGFTVSARGHGHSINGQAQTANGVVVQMSGSIGGSGVASGRKPPHPRVWPQERFVDVWGGELWIDVLRSTLQHGLAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQISNVHELDVVTGKGELLTCSEEQNSEMFHAVLGGLGQFGIITRARISLEPAPQRVRWIRVLYSNFSTFTRDQEYLISLHEQPASQKFDYVEGFVIVDEGLINNWRSSFFSPHNPVKISSLEPNGGVLYCLEIAKNYHESTASTIDQEVESLLKKLNFIPASVFTTDMPYVDFLDRVHKAELKLRSKGLWEVPHPWLNLFVPKSKIADFDKGVFKGILGNKTSGPILIYPMNKNK >KJB61516 pep chromosome:Graimondii2_0_v6:9:48179055:48181762:-1 gene:B456_009G363300 transcript:KJB61516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTETKNVTITRRSANYHPAIWDYDYVQSLRNDFVQDESYKERSRKLKEEVRMMLGNVVDPLEKLELIDTLQRLGLSYHFEAEINNTLKNISSDRISTAAWKKENLYATALEFRLLRQHGYKVDQDVFTYFMDDVGNIKSSLNQDFKGLLNLYEASYHLLEGETMLENARELAAKLLKKYLKENNDHEYLSMLVDHALELPLHWRMPRLEARWFIDEYEKSKDKNPIILELAILDYNIVQSIHQEDLRYASTWWKELGLGKRFTFARDRLMENFLWTVGMEIAPEDGKSRIFLTMVYALITVIDDLELLTDVVERWDINAIQRLPDYMKIYYHALYNSINEMAFDTLKEQGINVIPFLKKLWTDLCKALLLEAKWYYIGYTPTLQEYIDNAWISIGGSLVLSHSYLVNDHITEEGLHSIQENYSDIVYRSSLIIRLANDLGTSSYELKRGDIPKSIQCYMHESGASEEEAREHIKKLIDSTWKKINEDQMAKLPFPRKFIEIIKNIARVSLLMYQNGDGHGIGNEETKDRVLSLFVHPIFLPK >KJB54209 pep chromosome:Graimondii2_0_v6:9:1973366:1977462:-1 gene:B456_009G025700 transcript:KJB54209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDKSTIVMGSRDLELLIPVADSVHDDSSKHSPSFAPSHHSGRETFYKVFQSWASKKFMTGCAILFPIAITFYVTWWFIHFVDGFFSPIFLQLGINVFGLGFVTSITFIFVIGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQSSQAFKEVAIIKHPRIGEYAFGFITSSVTLQVRK >KJB54210 pep chromosome:Graimondii2_0_v6:9:1973350:1977558:-1 gene:B456_009G025700 transcript:KJB54210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDKSTIVMGSRDLELLIPVADSVHDDSSKHSPSFAPSHHSGRETFYKVFQSWASKKFMTGCAILFPIAITFYVTWWFIHFVDGFFSPIFLQLGINVFGLGFVTSITFIFVIGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQSSQAFKEVAIIKHPRIGEYAFGFITSSVTLQVRK >KJB54208 pep chromosome:Graimondii2_0_v6:9:1973336:1977558:-1 gene:B456_009G025700 transcript:KJB54208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDKSTIVMGSRDLELLIPVADSVHDDSSKHSPSFAPSHHSGRETFYKVFQSWASKKFMTGCAILFPIAITFYVTWWFIHFVDGFFSPIFLQLGINVFGLGFVTSITFIFVIGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQSSQAFKEVAIIKHPRIGEYAFGFITSSVTLQKFSGEEELCCVYVPTNHLYIGDIFLINTQDVIRPNLSVREGIEIVVSGGMSMPQMLTTIDTQLPPLERSRSDIT >KJB60509 pep chromosome:Graimondii2_0_v6:9:28444715:28447171:1 gene:B456_009G309200 transcript:KJB60509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEINWDTLLLEVDLPDFGDILDNEPEPVTEPPPQPCSTQNSDEEPAVSSWIGEIEKVLMEDDNFDHRVETQPVSDDDFLADLLVDSPPSSGGDVAGVDGADLHKQSQTHTDVDKDDPIAKKQRRQLRNRDAAVRSRERKKVYVKDLEMKSRYLEGECRRLSRVLQCFIAENQALRLTLHKGCAFDASSTKQESAVLLLGMKWFYMSSVLAFAVFGLILYGQESRLLIFTNGPCYSYQA >KJB60508 pep chromosome:Graimondii2_0_v6:9:28444707:28447253:1 gene:B456_009G309200 transcript:KJB60508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEINWDTLLLEVDLPDFGDILDNEPEPVTEPPPQPCSTQNSDEEPAVSSWIGEIEKVLMEDDNFDHRVETQPVSDDDFLADLLVDSPPSSGGDVAGVDGADLHKQSQTHTDVDKDDPIAKKQRRQLRNRDAAVRSRERKKVYVKDLEMKSRYLEGECRRLSRVLQCFIAENQALRLTLHKGCAFDASSTKQESAVLLLESLLLGSLLWFLGIMCLFTLPILPKSVLEAVPMANEETQGPERVAPRGAGSNRVGPSFVKSRRCKASRGKMKEISCFMGILVPF >KJB57456 pep chromosome:Graimondii2_0_v6:9:12681344:12684173:-1 gene:B456_009G165300 transcript:KJB57456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCHKFSFPLLTLLSLYMFFVAHAEGSLKPRPFNNKITAAFVFGDSTVDPGNNNYVKTLFRGNFPPYGKDFRDHVPTGRFTNGKLSTDLIVSYIGIKEYLPPYLDPNLSIEELMTGVSFASAGSGFDPLTPQISSVISLPKQLEYFKEYKNRLQSAIGNRRTEAIIKESVFLISCGTNDFVVNYFTLPIRRKSYTVSAYQQFVLQSFKQVLQDLWDEGARRIAVTGLPPMGCLPAVITLYSENAILERGCIEKLSQVGMEYNQMLQNELNSMQGRLAHLDSKITYVDIFTPLVDIIQGLGKHDFDEVSHGCCGSGYLEAGFLCNPGSFVCNDASKYVFFDSIHPTEKTYINLFMASRPVIDSLIQD >KJB55513 pep chromosome:Graimondii2_0_v6:9:5774578:5782450:1 gene:B456_009G080100 transcript:KJB55513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVDEALQIVLSVAQKLPPVTVPLHQALGKVLAQDIVAPDPLPPYPASIKDGYAVIASDGPGEYPVIVESRAGNDGLGVTVTPGTVAYVTTGGPIPDGADAVVQVEDTEQVKAPSETKHVKILVQTSKGVDIRQVGCDIQKDAVVLKSGERLGASEVGLLATVGVTMVKVQPTPTIAVLSTGDELVEPTIGSLSRGKIRDSNRAMLLAAAAQEQCTVHDLGLVGDDKEELERVLDGAFSSGINILLTSGGVSMGDKDFVKPLLEKKGKVHFNKVCMKPGKPLTFAEICLNRAENASVNKVLAFGLPGNPVSCLVCFQLFVVPTVRLLAGWENPHLLRVQARLQQPLKTDPVRPEFYRATIRWEANDGSGSAGFVAESTGHQMSSRLLSMKSANALLELPASGRVIPAGSSVSAIVISDLSGTPLSKAASSYDSSSSSTPHKSVSNEIMGDGSQDVQFKVAVLTVSDTVASGAGPDRSGPRAVSVVNSSSEKLGGAKVVATGVVSDDVGKIKEVLQRWSDIDKLDLILTLGGTGFTPRDVTPEATKEVIEKETPGLLYVMMQESLKVTPFAMLSRSAAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQVKGDKREKHPRHIPHEHATPVDTWERSHKLASAGSVEPSCPCSH >KJB60087 pep chromosome:Graimondii2_0_v6:9:24863485:24863904:1 gene:B456_009G289300 transcript:KJB60087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKKVEVDKRSRAPKGHFVVYVGTEMTRFVIPTSFLNNPIFQQLLDKAAEEYGFNNQNRILLPCDEFTFQSLTKYLAKQCP >KJB55242 pep chromosome:Graimondii2_0_v6:9:4886637:4887422:-1 gene:B456_009G068900 transcript:KJB55242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVWVFNNGVYRLENSLRRRVLVHLPSGEVVSSYSSLEHILRGLGWERYYGGDPDLYQFHKHSSIDLISLPKDFSKFCSVHMYDIVVKNPNVFHVRDM >KJB61746 pep chromosome:Graimondii2_0_v6:9:51182179:51184264:-1 gene:B456_009G377400 transcript:KJB61746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIDFGFSVLGKMLKLGVEPSAVTFSTLINGLCNQSKISEAVCMFDEMTERGYQPNLIAYNIVLKGLCKTGNTGRAVMFLRLMESRGYEPDIVAYNTILDCLCKNGLLKEALDLFSEVKVKGIRPDISTYTCLIHGMCNSGQQGEATRLLNEMVDNNISLNVVMYNTLVDVLCKEGTISKAVETVDMMRKQGIEPDVVTYSTLVDALCKEGMISKAVETVDMMRKQGIEPNVVTYSTLVDAHCKQGMVSEANDIVDTMIKRGIEPNVVTYNALVNGHCLQNKMDKARRVFNLMIEKGCAPNIVTYNTMINGYCKGKRLDEAMELFHEISRKGPIPDTVTYNTLMQSMFQLGKVSTACELFRKMLASGQVPDIATCLILLDCLCKTGHIEEALKLFQAMRNSGLEVDIVPYTILIDGLCKAGHIEFAKELFHQLSDNGLKPDVYTYCIMINGLCKEGLPDEAYRLFGSMGDNDCLPNSCCYNVMIRGFLRNSYTSKATQLVTEMVGKGFSADIFTATLFMDLIIYSNKSILL >KJB61745 pep chromosome:Graimondii2_0_v6:9:51182179:51184264:-1 gene:B456_009G377400 transcript:KJB61745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPVRGKGKRDHRFDIVDHALILFNNLIEKYPKPSIVEFNKLLEAIVKTEHYAIVVSKYRQIELLGISHNVYSINILINCFCQLGRIDFGFSVLGKMLKLGVEPSAVTFSTLINGLCNQSKISEAVCMFDEMTERGYQPNLIAYNIVLKGLCKTGNTGRAVMFLRLMESRGYEPDIVAYNTILDCLCKNGLLKEALDLFSEVKVKGIRPDISTYTCLIHGMCNSGQQGEATRLLNEMVDNNISLNVVMYNTLVDVLCKEGTISKAVETVDMMRKQGIEPDVVTYSTLVDALCKEGMISKAVETVDMMRKQGIEPNVVTYSTLVDAHCKQGMVSEANDIVDTMIKRGIEPNVVTYNALVNGHCLQNKMDKARRVFNLMIEKGCAPNIVTYNTMINGYCKGKRLDEAMELFHEISRKGPIPDTVTYNTLMQSMFQLGKVSTACELFRKMLASGQVPDIATCLILLDCLCKTGHIEEALKLFQAMRNSGLEVDIVPYTILIDGLCKAGHIEFAKELFHQLSDNGLKPDVYTYCIMINGLCKEGLPDEAYRLFGSMGDNDCLPNSCCYNVMIRGFLRNSYTSKATQLVTEMVGKGFSADIFTATLFMDLIIYSNKSILL >KJB54712 pep chromosome:Graimondii2_0_v6:9:3340679:3346306:1 gene:B456_009G046000 transcript:KJB54712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGGAKAAVASVGAPQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDGTGDHLATGDRGGRVVLFERTDTRDYAGHWRDLEKMDYPMNRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSANGALFLLSTNDKTIKFWKVQEKKVKKVCDMNMDSSKAMGNGPIVDSSISTSSKQFIENGGYIRNDFSFPTGGFPSLRLPVVTSLETNLMARCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPKHCNMLAYSSSKGSIRLIDMRQSALCDTHSKLFEEQDDPGTRSFFTEIIASISDIKFANDGRHILSRDYMTLKLWDINMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYRYGLYILMALFILLN >KJB54714 pep chromosome:Graimondii2_0_v6:9:3341058:3344831:1 gene:B456_009G046000 transcript:KJB54714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGGAKAAVASVGAPQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDGTGDHLATGDRGGRVVLFERTDTRDYAGHWRDLEKMDYPMNRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSANGALFLLSTNDKTIKFWKVQEKKVKKVCDMNMDSSKAMGNGPIVDSSISTSSKQFIENGGYIRNDFSFPTGGFPSLRLPVVTSLETNLMARCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPKHCNMLAYSSSKGSIRLIDMRQSALCDTHSKLFEEQDDPGTRSFFTEIIASISDIKFANDGRHILSRDYMTLKLWDINMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYRYGLYILMALFILLN >KJB54711 pep chromosome:Graimondii2_0_v6:9:3340531:3346306:1 gene:B456_009G046000 transcript:KJB54711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGGAKAAVASVGAPQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDGTGDHLATGDRGGRVVLFERTDTRDYAGHWRDLEKMDYPMNRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSANGALFLLSTNDKTIKFWKVQEKKVKKVCDMNMDSSKAMGNGPIVDSSISTSSKQFIENGGYIRNDFSFPTGGFPSLRLPVVTSLETNLMARCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPKHCNMLAYSSSKGSIRLIDMRQSALCDTHSKLFEEQDDPGTRSFFTEIIASISDIKFANDGRHILSRDYMTLKLWDINMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCSEGSAEATTLEASKNPTRGQGQTSSRPCRSLGSLSGVVRRVKGADNLGVDANGNTSDFTTKLLHLAWHPTENSIACAASNSLYMYYA >KJB54713 pep chromosome:Graimondii2_0_v6:9:3340679:3346306:1 gene:B456_009G046000 transcript:KJB54713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGGAKAAVASVGAPQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDGTGDHLATGDRGGRVVLFERTDTRDYAGHWRDLEKMDYPMNRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSANGALFLLSTNDKTIKFWKVQEKKVKKVCDMNMDSSKAMGNGPIVDSSISTSSKQFIENGGYIRNDFSFPTGGFPSLRLPVTSLETNLMARCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPKHCNMLAYSSSKGSIRLIDMRQSALCDTHSKLFEEQDDPGTRSFFTEIIASISDIKFANDGRHILSRDYMTLKLWDINMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCSEGSAEATTLEASKNPTRGQGQTSSRPCRSLGSLSGVVRRVKGADNLGVDANGNTSDFTTKLLHLAWHPTENSIACAASNSLYMYYA >KJB55721 pep chromosome:Graimondii2_0_v6:9:6649041:6652317:-1 gene:B456_009G091200 transcript:KJB55721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGESRKEDEVPQKFSVLLELSASNDLIGFKISVEEGGHDMDEAGLWYGRRLGSKKMGFEERTPLLIASLFGSQDVVNYIVERGRVDVNRACGSDGATALHCAAAGGSFYSPEIVKILLDASADIDSLDANGNRPGDLIAPACNSAFGLRKKRLESLLKGSDCVGEIEGLPAQLGNEMGGLEEQEGSMPRVSKDGTEKKEYPVDLTLPDIKNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDNCEYAHGIFECWLHPAQYRTRLCKDETNCTRRVCFFAHKPEELRPLYASTGSAVPSPRSYSAAGSSLDMGSMSPLALGSPSVMIPPTSTPPLTPTGASSPMGGSMWPSQSNIIPPTLQLPGSRLKTTLSARDMDLDMELLGLECRRRRQQQQQLIDEISGLSSPTSWNNSTAFSAAGDRTGELNRFGGVKPTNLDDIFGSLDPSIMPQIQGLSLDAAASQLQSPTGVHTRQNINQQLRASYPTNLPSSPVRASSSFGIDPSGPSAAAVLSSRSSAFAKRSQSFIERTAVNRHSGLSSPASSASAMPSNLSDWGSPDGKLDWGIQGEELNKLRKSASFGFRSSGSNLGNAPRSISSTTDEPDVSWVQSLVVDPPPAGQLSFEEEQCHRNPGGAEMLPAWVEQLYMDQKQMVA >KJB55720 pep chromosome:Graimondii2_0_v6:9:6649512:6651623:-1 gene:B456_009G091200 transcript:KJB55720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGPKRKPTQTGLTMEGESRKEDEVPQKFSVLLELSASNDLIGFKISVEEGGHDMDEAGLWYGRRLGSKKMGFEERTPLLIASLFGSQDVVNYIVERGRVDVNRACGSDGATALHCAAAGGSFYSPEIVKILLDASADIDSLDANGNRPGDLIAPACNSAFGLRKKRLESLLKGSDCVGEIEGLPAQLGNEMGGLEEQEGSMPRVSKDGTEKKEYPVDLTLPDIKNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDNCEYAHGIFECWLHPAQYRTRLCKDETNCTRRVCFFAHKPEELRPLYASTGSAVPSPRSYSAAGSSLDMGSMSPLALGSPSVMIPPTSTPPLTPTGASSPMGGSMWPSQSNIIPPTLQLPGSRLKTTLSARDMDLDMELLGLECRRRRQQQQQLIDEISGLSSPTSWNNSTAFSAAGDRTGELNRFGGVKPTNLDDIFGSLDPSIMPQIQGLSLDAAASQLQSPTGVHTRQNINQQLRASYPTNLPSSPVRASSSFGIDPSGPSAAAVLSSRSSAFAKRSQSFIERTAVNRHSGLSSPASSASAMPSNLSDWGSPDGKLDWGIQGEELNKLRKSASFGFRSSGSNLGNAPRSISSTTDEPDVSWVQSLVVDPPPAGQLSFEEEQCHRNPGGAEMLPAWVEQLYMDQKQMVA >KJB59895 pep chromosome:Graimondii2_0_v6:9:23645793:23647797:1 gene:B456_009G279700 transcript:KJB59895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSSCNAESAIAVCDPYNCGFHRRKKPHKTKNNGAIREFAYADLVSATSGFSSGKFLGKGSHGSVYRAVLDNGKLVAAVKKTKMNCNIPADNEIEILSRVYHPRLVNLIGYSSDTLCENQFIVVEYMPNGSLYDLLHSASYKSPGWHMRVRFALQVAKAVRALHSSNPPVIHRDIKSSNVLIDQNWNARLGDFGLALRGHVEDVRFNCTPPAGTLGYLDPAYLEPADVSTKSDVFSYGILLLEIISGRRAIDMNHSPSSVVDWAVPLIGAGDFAAICDVRVGSPKDKEVVRSLTALAARCVRSDAGERPGIVEVVECLTAVRKSFHARPVWSNGWRCVKGVDKSLVRNSRKVSSVTSVVDHDVEATSDGMARTKLITEASAASVVVDTGPDEIELDDDHMALVRGNRGVETKTPLMKLRKSRSMGFLQSPRPMNQNSKNYVSGIVKRRNLSEFDMSKLGIGFDDEKSERKTSEKALVLSQINV >KJB59896 pep chromosome:Graimondii2_0_v6:9:23645793:23647797:1 gene:B456_009G279700 transcript:KJB59896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSSCNAESAIAVCDPYNCGFHRRKKPHKTKNNGAIREFAYADLVSATSGFSSGKFLGKGSHGSVYRAVLDNGKLVAAVKKTKMNCNIPADNEIEILSRVYHPRLVNLIGYSSDTLCWHMRVRFALQVAKAVRALHSSNPPVIHRDIKSSNVLIDQNWNARLGDFGLALRGHVEDVRFNCTPPAGTLGYLDPAYLEPADVSTKSDVFSYGILLLEIISGRRAIDMNHSPSSVVDWAVPLIGAGDFAAICDVRVGSPKDKEVVRSLTALAARCVRSDAGERPGIVEVVECLTAVRKSFHARPVWSNGWRCVKGVDKSLVRNSRKVSSVTSVVDHDVEATSDGMARTKLITEASAASVVVDTGPDEIELDDDHMALVRGNRGVETKTPLMKLRKSRSMGFLQSPRPMNQNSKNYVSGIVKRRNLSEFDMSKLGIGFDDEKSERKTSEKALVLSQINV >KJB56963 pep chromosome:Graimondii2_0_v6:9:10893100:10898488:1 gene:B456_009G143900 transcript:KJB56963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIACSKQLHNNNGSIGRHQDEDTLETPRTRQTIKALTSQIKDIALKASGAYKSCKPCSGSSNHDRKNYADSDAASDSARFQIPYRRRGSSNSTPGSWGKEKESRLKGLSSGEGTPVSVSGRTGSAVFMEEDEPKEWVAQVEPGVLITFVSLPEGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNRQAVPLPTPPGSEDKGSITESAKDSPVTPPLNKERPHNFIRPTGMGYSSSDSLDHHPMQSHQYYDSAALVSTPKLSSINGAKTETSSIDGSVRTSSSREADQSGELSISNASDMETEWVEQDEPGVYITIRALPGGTRELRRVRFSREKFGEMHARMWWEENRARIQEQYL >KJB56964 pep chromosome:Graimondii2_0_v6:9:10893240:10898240:1 gene:B456_009G143900 transcript:KJB56964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIACSKQLHNNNGSIGRHQDEDTLETPRTRQTIKALTSQIKDIALKASGAYKSCKPCSGSSNHDRKNYADSDAASDSARFQIPYRRRGSSNSTPGSWGKEKESRLKGLSSGEGTPVSVSGRTGSAVFMEEDEPKEWVAQVEPGVLITFVSLPEGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNRQAVPLPTPPGSEDKGSITESAKDSPVTPPLNKERPHNFIRPTGMGYSSSDSLDHHPMQSHQYYDSAALVSTPKLSSINGAKTETSSIDGSVRTSSSREADQSGELSISNASDMETEWVEQDEPGVYITIRALPGGTRELRRVRFRYAVCFSSVLDIKLANNSVNVNSSIISEQ >KJB56965 pep chromosome:Graimondii2_0_v6:9:10893240:10898487:1 gene:B456_009G143900 transcript:KJB56965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIACSKQLHNNNGSIGRHQDEDTLETPRTRQTIKALTSQIKDIALKASGAYKSCKPCSGSSNHDRKNYADSDAASDSARFQIPYRRRGSSNSTPGSWGKEKESRLKGLSSGEGTPVSVSGRTGSAVFMEEDEPKEWVAQVEPGVLITFVSLPEGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNRQAVPLPTPPGSEDKGSITESAKDSPVTPPLNKERPHNFIRPTGMGYSSSDSLDHHPMQSHQYYDSAALVSTPKLSSINGAKTETSSIDGSVRTSSSREADQSGELSISNASDMETEWVEQDEPGVYITIRALPGGTRELRRVRFSREKFGEMHARMWWEENRARIQEQYL >KJB60674 pep chromosome:Graimondii2_0_v6:9:30336290:30339470:1 gene:B456_009G318900 transcript:KJB60674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMCNLEKRGKLFILTFTGEDEHRMNPARIDAIRSALNQIRSDSTSLSGSVLITTAHGKFFSNGYDLAWAGSSPDKIRLMSSKLRELVADLISFPLPTVAAITGHACAAGLIFAFCHDYIVMRKDRGFLYMSETDIGLKIPAWFIAVISCKIGDAKVRRDVVLKAKKLTAEQALESGIIDAAFDTAAETAEGAVELGEKLVKNGWNGQVYGENRTQLYREILDKLGVDETTDDVNNVAIATSKM >KJB55646 pep chromosome:Graimondii2_0_v6:9:6316774:6318560:-1 gene:B456_009G086900 transcript:KJB55646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLYSWFSSMFTAFCFMLKLACKCEICHAYLTSSWTKEFDNLCDWFTHLLEKSATGTIHIHVLGNTITANPENVEHILKTRFENYPKGKPFAAILGDLLGKGIFNVDGDSWKFQRKMASLELGSISIRRHGFDIVSSEIQSRLLPLLSSVSGKQQVLDLQDVFRRFSFDNICKFSFGLDPGCLELSLPVSEFAEAFDLASRLSAQRGRASSPLIWKLKRLLNLGTEKQLKQAIKLVDELAQKMINQRREKGFSDRNDLLSRFMGTINDDKYLRDIVVSFLLAGRDTVASGLTSFFWLLSQHPEVESAIREELERVVRSSDGRQQIASYDQMRDMHYLHAALCESLRLFPPIQFDSKFALEDDILPDCTFVRKGTRVTYHPYAMGRMERVWGPDCLEYKPGRWLKDGRYVPENPFKYPVFQAGQRVCLGKEMALVEMKCVVLAIISRFNIRVPNPKQTPRFAPGLTANVRGGLPVLVQEKAIVS >KJB55155 pep chromosome:Graimondii2_0_v6:9:4708773:4711473:1 gene:B456_009G066100 transcript:KJB55155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLIYSRLSLSRLSAMGGPKNGRFLSTESNKIDEPFKVEEAETVNVPPPPSEKLLVLGGNGFVGSHICREALNRGLAVASLSRSGGSSLQDSWAKNVTWHKGNLLSSDSWKEALDGVTSVISCIGGFGSNSYMYKINGTANINAIRAAGDKGVKRFVYISAADFGLANYLLKGYYEGKRAAETELLTKFPYGGGLCDSLAVICAIFCT >KJB55156 pep chromosome:Graimondii2_0_v6:9:4708773:4712258:1 gene:B456_009G066100 transcript:KJB55156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLIYSRLSLSRLSAMGGPKNGRFLSTESNKIDEPFKVEEAETVNVPPPPSEKLLVLGGNGFVGSHICREALNRGLAVASLSRSGGSSLQDSWAKNVTWHKGNLLSSDSWKEALDGVTSVISCIGGFGSNSYMYKINGTANINAIRAAGDKGVKRFVYISAADFGLANYLLKGYYEGKSC >KJB55154 pep chromosome:Graimondii2_0_v6:9:4708741:4712258:1 gene:B456_009G066100 transcript:KJB55154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLIYSRLSLSRLSAMGGPKNGRFLSTESNKIDEPFKVEEAETVNVPPPPSEKLLVLGGNGFVGSHICREALNRGLAVASLSRSGGSSLQDSWAKNVTWHKGNLLSSDSWKEALDGVTSVISCIGGFGSNSYMYKINGTANINAIRAAGDKGVKRFVYISAADFGLANYLLKGYYEGKRAAETELLTKFPYGGVILRPGFIHGTRTVGSMKLPLGVIGSPLEMVLQHAKPLNQLPLVGPLFTPPVNVTAVAKVAVRAATDPVFPPGIVDVYGIQRFSQQMSR >KJB54450 pep chromosome:Graimondii2_0_v6:9:2588638:2593869:1 gene:B456_009G034500 transcript:KJB54450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIARSKQAGDDALAQPEEIDSSNNPNTKHNQAMKSLTSQLKDMALKASGAYKHCNPCTAQTRLKNPGGSDADSDRYKWSYRRTGSSNSATQRTWGKEMEARLKGISSSSGEATPNSMSGRRVESIVFVEENEPKEWVAQVEPGVLITFVSLPHGGNDLKRIRFSREMFNKWQAQRWWSENYDRIKELYNVQRLNRNAFALPTPPISEDESSKIESAEASPVTPPLTRERLPRNLYRPTGMSVGYSSSDSLDQHPMQARNYCDSGVTSTPKLSSISGAKTEISSMDASMRSSSSREADRSGELSISNASDLETEWVEQDEPGVYITIKALPGGKRELKRVRFSRERFGEMHARLWWEENRARIHEQYL >KJB54451 pep chromosome:Graimondii2_0_v6:9:2588638:2593869:1 gene:B456_009G034500 transcript:KJB54451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIARSKQAGDDALAQPEEIDSSNNPNTKHNQAMKSLTSQLKDMALKASGAYKHCNPCTAQTRLKNPGGSDADSDRYKWSYRRTGSSNSATQRTWGKEMEARLKGISSSSGEATPNSMSGRRVESIVFVEENEPKEWVAQVEPGVLITFVSLPHGGNDLKRIRFSREMFNKWQAQRWWSENYDRIKELYNVQRLNRNAFALPTPPISEDESSKIESAEASPVTPPLTRERLPRNLYRPTGMSVGYSSSDSLDQHPMQARNYCDSGVTSTPKLSSISGAKTEISSMDASMRSSSSREADRSGELSISNASDLETEWVEQDEPGVYITIKALPGGKRELKRVRFSRERFGEMHARLWWEENRARIHEQYL >KJB54447 pep chromosome:Graimondii2_0_v6:9:2589179:2593869:1 gene:B456_009G034500 transcript:KJB54447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIARSKQAGDDALAQPEEIDSSNNPNTKHNQAMKSLTSQLKDMALKASGAYKHCNPCTAQTRLKNPGGSDADSDRYKWSYRRTGSSNSATQRTWGKEMEARLKGISSSSGEATPNSMSGRRVESIVFVEENEPKEWVAQVEPGVLITFVSLPHGGNDLKRIRFSREMFNKWQAQRWWSENYDRIKELYNVQRLNRNAFALPTPPISEDESSKIESAEASPVTPPLTRERLPRNLYRPTGMSVGYSSSDSLDQHPMQARNYCDSGVTSTPKLSSISGAKTEISSMDASMRSSSSREADRSGELSISNASDLETEWVEQDEPGVYITIKALPGGKRELKRVRFSRERFGEMHARLWWEENRARIHEQYL >KJB54448 pep chromosome:Graimondii2_0_v6:9:2588623:2593869:1 gene:B456_009G034500 transcript:KJB54448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIARSKQAGDDALAQPEEIDSSNNPNTKHNQAMKSLTSQLKDMALKASGAYKHCNPCTAQTRLKNPGGSDADSDRYKWSYRRTGSSNSATQRTWGKEMEARLKGISSSSGEATPNSMSGRRVESIVFVEENEPKEWVAQVEPGVLITFVSLPHGGNDLKRIRFSREMFNKWQAQRWWSENYDRIKELYNVQRLNRNAFALPTPPISEDESSKIESAEASPVTPPLTRERLPRNLYRPTGMSVGYSSSDSLDQHPMQARNYCDSGVTSTPKLSSISGAKTEISSMDASMRSSSSREADRSGELSISNASDLETEWVEQDEPGVYITIKALPGGKRELKRVRFSRERFGEMHARLWWEENRARIHEQYL >KJB54445 pep chromosome:Graimondii2_0_v6:9:2588592:2592881:1 gene:B456_009G034500 transcript:KJB54445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIARSKQAGDDALAQPEEIDSSNNPNTKHNQAMKSLTSQLKDMALKASGAYKHCNPCTAQTRLKNPGGSDADSDRYKWSYRRTGSSNSATQRTWGKEMEARLKGISSSSGEATPNSMSGRRVESIVFVEENEPKEWVAQVEPGVLITFVSLPHGGNDLKRIRFSREMFNKWQAQRWWSENYDRIKELYNVQRLNRNAFALPTPPISEDESSKIESAEASPVTPPLTRERLPRNLYRPTGMSVGYSSSDSLDQHPMQARNYCDSGVTSTPKLSSISGAKTEISSMDASMRSSSSREADRSGELSISNASDLETEWVEQDEPGVYITIKALPGGKRELKRVRFRYGFMTVFFNFTKIDEQMCFHESISLNQIWISSYALIASLHHSFDVSHVMISFYLMAFLEF >KJB54452 pep chromosome:Graimondii2_0_v6:9:2588931:2593869:1 gene:B456_009G034500 transcript:KJB54452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIARSKQAGDDALAQPEEIDSSNNPNTKHNQAMKSLTSQLKDMALKASGAYKHCNPCTAQTRLKNPGGSDADSDRYKWSYRRTGSSNSATQRTWGKEMEARLKGISSSSGEATPNSMSGRRVESIVFVEENEPKEWVAQVEPGVLITFVSLPHGGNDLKRIRFSREMFNKWQAQRWWSENYDRIKELYNVQRLNRNAFALPTPPISEDESSKIESAEASPVTPPLTRERLPRNLYRPTGMSVGYSSSDSLDQHPMQARNYCDSGVTSTPKLSSISGAKTEISSMDASMRSSSSREADRSGELSISNASDLETEWVEQDEPGVYITIKALPGGKRELKRVRFSRERFGEMHARLWWEENRARIHEQYL >KJB54446 pep chromosome:Graimondii2_0_v6:9:2588592:2593869:1 gene:B456_009G034500 transcript:KJB54446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIARSKQAGDDALAQPEEIDSSNNPNTKHNQAMKSLTSQLKDMALKASGAYKHCNPCTAQTRLKNPGGSDADSDRYKWSYRRTGSSNSATQRTWGKEMEARLKGISSSSGEATPNSMSGRRVESIVFVEENEPKEWVAQVEPGVLITFVSLPHGGNDLKRIRFSREMFNKWQAQRWWSENYDRIKELYNVQRLNRNAFALPTPPISEDESSKIESAEASPVTPPLTRERLPRNLYRPTGMSVGYSSSDSLDQHPMQARNYCDSGVTSTPKLSSISGAKTEISSMDASMRSSSSREADRSGELSISNASDLETEWVEQDEPGVYITIKALPGGKRELKRVRFSRERFGEMHARLWWEENRARIHEQYL >KJB54449 pep chromosome:Graimondii2_0_v6:9:2588627:2593869:1 gene:B456_009G034500 transcript:KJB54449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIARSKQAGDDALAQPEEIDSSNNPNTKHNQAMKSLTSQLKDMALKASGAYKHCNPCTAQTRLKNPGGSDADSDRYKWSYRRTGSSNSATQRTWGKEMEARLKGISSSSGEATPNSMSGRRVESIVFVEENEPKEWVAQVEPGVLITFVSLPHGGNDLKRIRFSREMFNKWQAQRWWSENYDRIKELYNVQRLNRNAFALPTPPISEDESSKIESAEASPVTPPLTRERLPRNLYRPTGMSVGYSSSDSLDQHPMQARNYCDSGVTSTPKLSSISGAKTEISSMDASMRSSSSREADRSGELSISNASDLETEWVEQDEPGVYITIKALPGGKRELKRVRFSRERFGEMHARLWWEENRARIHEQYL >KJB54572 pep chromosome:Graimondii2_0_v6:9:3004223:3006213:-1 gene:B456_009G040700 transcript:KJB54572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYLGRIVHNNDAESKLDDDVSSISKSLDLQGSIENGDVSSLASKDFGGLYSVKPLFLIKPSGAEDISRVVKLASRTSNLTVAARGNGHSINGQAMAEGGLVIDMCSTEKNHFEFLPINGSHYIDVSGGALWEDVLTRCVSRYGYAPRSWTDYLSLTVGGTLSNAGVSGQAFRYGPQTSNVTELEVVTGKGEITVCSETLNSELFFGVLGGLGQFGIITRARIKLQQAPDMVRWIRVVYSEFEEFTRDAEFLVTQKEGESFDYVEGFVFCNNDDPFNGWPSVPLDPGHEFNPTHISQTAGSVLYCLEVAFHYRNSDHPTVDTAVNGLLGRLRFVEGLKSQVDVSYTKFLLRVNRAEEQVKANGTWDGPHPWLNLFVSKSDVVNFDRTVFKTMLKDGVGGPMLIYPLLRSK >KJB54573 pep chromosome:Graimondii2_0_v6:9:3002855:3006351:-1 gene:B456_009G040700 transcript:KJB54573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYLGRIVHNNDAESKLDDDVSSISKSLDLQGSIENGDVSSLASKDFGGLYSVKPLFLIKPSGAEDISRVVKLASRTSNLTVAARGNGHSINGQAMAEGGLVIDMCSTEKNHFEFLPINGSHYIDVSGGALWEDVLTRCVSRYGYAPRSWTDYLSLTVGGTLSNAGVSGQAFRYGPQTSNVTELEVVTGKGEITVCSETLNSELFFGVLGGLGQFGIITRARIKLQQAPDMVRWIRVVYSEFEEFTRDAEFLVTQKEGESFDYVEGFVFCNNDDPFNGWPSVPLDPGHEFNPTHISQTAGSVLYCLEVAFHYRNSDHPTVDTAVNGLLGRLRFVEGLKSQVDVSYTKFLLRVNRAEEQVKANGTWDGPHPWLNLFVSKSDVVNFDRTVFKTMLKDGVGGPMLIYPLLRSKWDDRTSVVLPEGEIFYIVALLRFVPNGPSVEKSVAQNREIVNWCIKVGLDFKLYLPHYQSKEDWERHFGNRWSRFVERKASFDPMAILAPGQNIFRRDLPNIIIGREF >KJB54571 pep chromosome:Graimondii2_0_v6:9:3002855:3006292:-1 gene:B456_009G040700 transcript:KJB54571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYLGRIVHNNDAESKLDDDVSSISKSLDLQGSIENGDVSSLASKDFGGLYSVKPLFLIKPSGAEDISRVVKLASRTSNLTVAARGNGHSINGQAMAEGGLVIDMCSTEKNHFEFLPINGSHYIDVSGGALWEDVLTRCVSRYGYAPRSWTDYLSLTVGGTLSNAGVSGQAFRYGPQTSNVTELEVVTGKGEITVCSETLNSELFFGVLGGLGQFGIITRARIKLQQAPDMVRWIRVVYSEFEEFTRDAEFLVTQKEGESFDYVEGFVFCNNDDPFNGWPSVPLDPGHEFNPTHISQTAGSVLYCLEVAFHYRNSDHPTVDTVTIFFFLVYSLISCIMD >KJB54574 pep chromosome:Graimondii2_0_v6:9:3002855:3006292:-1 gene:B456_009G040700 transcript:KJB54574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYLGRIVHNNDAESKLDDDVSSISKSLDLQGSIENGDVSSLASKDFGGLYSVKPLFLIKPSGAEDISRVVKLASRTSNLTVAARGNGHSINGQAMAEGGLVIDMCSTEKNHFEFLPINGSHYIDVSGGALWEDVLTRCVSRYGYAPRSWTDYLSLTVGGTLSNAGVSGQAFRYGPQTSNVTELEVVTGKGEITVCSETLNSELFFGVLGGLGQFGIITRARIKLQQAPDMVRWIRVVYSEFEEFTRDAEFLVTQKEGESFDYVEGFVFCNNDDPFNGWPSVPLDPGHEFNPTHISQTAGSVLYCLEVAFHYRNSDHPTVDTAVNGLLGRLRFVEGLKSQVDVSYTKFLLRVNRAEEQVKANGTWDGPHPWLNLFVSKSDVVNFDRTVFKTMLKDGVGGPMLIYPLLRSKEKRSA >KJB60675 pep chromosome:Graimondii2_0_v6:9:30355255:30358010:-1 gene:B456_009G319000 transcript:KJB60675 gene_biotype:protein_coding transcript_biotype:protein_coding description:FYD [Source:Projected from Arabidopsis thaliana (AT3G12570) UniProtKB/TrEMBL;Acc:Q9LHA9] MNRSMLLSRPPDINLPLSSEPSPPPLAWNDSCEILDVSLAPQIYEAVVSVPKVAKKCIKRLDSVWGAWFFFTFYFKPVLSGKSKSKVIRDSNGLSGYDKSDLELDAFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVGKGFVRSHRMQRKHYRGLSNPQCLHGIEVVPSPNLLNLDDEEKRRWRELTGRDINFSIPPEASDFAAWRNLTSTEFELERPPATLKSSLNAHTRKLLNGTGLNLSTQPIEHSNGNGMDLSPVCNKRKKDYFRHGNDEDPCLPNNLHSNGVLDMKIHRFEPTWMNEFSGVMKNVYGPVTAAKTIYEDDESFLIIVSLPFSDLPRVKVAWRNTPSHGIVKISCVSTACMPFIKRQDRTFKLTDPAPEHCPPGEFIREIPLPNRIPENAKLEAYHDETGTMLEIIVPKHRVGPEEHEVRVCFRPSPWSERPFMDLEESIL >KJB60676 pep chromosome:Graimondii2_0_v6:9:30355480:30356997:-1 gene:B456_009G319000 transcript:KJB60676 gene_biotype:protein_coding transcript_biotype:protein_coding description:FYD [Source:Projected from Arabidopsis thaliana (AT3G12570) UniProtKB/TrEMBL;Acc:Q9LHA9] MGEAILTTLSMETDHPSTLLSMDSGSFLPDELEREMNRSMLLSRPPDINLPLSSEPSPPPLAWNDSCEILDVSLAPQIYEAVVSVPKVAKKCIKRLDSVWGAWFFFTFYFKPVLSGKSKSKVIRDSNGLSGYDKSDLELDAFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVGKGFVRSHRMQRKHYRGLSNPQCLHGIEVVPSPNLLNLDDEEKRRWRELTGRDINFSIPPEASDFAAWRNLTSTEFELERPPATLKSSLNAHTRKLLNGTGLNLSTQPIEHSNGNGMDLSPVCNKRKKDYFRHGNDEDPCLPNNLHSNGVLDMKIHRFEPTWMNEFSGVMKNVYGPVTAAKTIYEDDESFLIIVSLPFSDLPRVKVAWRNTPSHGIVKISCVSTACMPFIKRQDRTFKLTDPAPEHCPPGEFIREIPLPNRIPENAKLEAYHDETGTMLEIIVPKHRVGPEEHEVRVCFRPSPWSERPFMDLEESIL >KJB58185 pep chromosome:Graimondii2_0_v6:9:15324897:15331329:1 gene:B456_009G198200 transcript:KJB58185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g19720 [Source:Projected from Arabidopsis thaliana (AT1G19720) UniProtKB/Swiss-Prot;Acc:Q9FXH1] MENLMITCISKPPVIIPTKHDNLSEFSQPQTKLSFTYTKNNKNPKITDNHVKYLARSGRLAEAVAALDSIALSGSQVRPNTFISLLQACIDFGSLDLGRKLHARIHLVKESDPFVETKLVSMYAKCGSFADARKVFDEMIQKNLYTWSAMIGAYSRVSRWKEVVELFFLMMEDGVLPDEFLFPRILQACANCGDVRTGRLLHSLVIRLGMVCYTRVSNSVLAVYAKCGKLRSARRFFDYMNERDRVTWNSMLLAYCQKGENDEAYKLFNGMWGEGIEPCIVSWNILINSYNQLGRCDVALGLMKEMESSRVSPDVFTWTSMISGLAQNGRRWQALFLFKEMLLAGIKPNGVTITSAVSACASLKVLKLGLEIHSIALRMGITDNVLVGNSLIDMYAKCGELEAARQVFDMIEEKDVYTWNSMIAGYCQAGYCGKAYELFIKMQESDVKPNVITWNTMISGYIQNGDEDRAMDLFQRIEQDGKIRRNTASWNALIAGYVQLGAIDKAFGVFRQMQSCSISPNSVTILSILPGCANLIATKKVKEIHGCILRRDLEFVISISNSLIDTYAKSGNILYSRNIFDGMSTRDIISWNSIIGGYVLHGCFDAALDLFDQMRKLGIKPNRGTFLSIILARGIAKMVDEGKQIFSSISDNYEIIPAIEHYSAMIDLYGRSGRLGEAMEFIEDMPIEPDSSVWTSLLTASRIHKDIALAVLAGERLLDLEPGNIVVNQLMYQIYSLCGKLDDSSKVRKLEKESTLRRSLGHSWIEVRNTVHAFVTGDQSKPSSNLLHSWVQNITREVNIDDHHGGFFIEEEKKEEIGGIHSEKLAIAFALISSPSSPQSIRIVKNIRMCRNCHLTAKGEERIFKFREFMIYDSPPCPCTVSRNFASQYPDRQKGWNISWQKIRALFIKTLLLSTEDDKKPVSVGPWGGQGGTSWDDGVYCTIRQLVIAHGSGIDSVQIEYDTKGNSLWSRKHGGNGGSKTDKVKLDFPDEFLTSIHGYYGSLNQRGPIIVRSLTFHSNRKAYGPFGIEQGTSFSMNKGKIVGFRGRSGWYLDAIGVYSKPVLKLNPSKPIVHAQSVAATGPEKSGYSVIQGSVGESYDIVLAVRQRDGFVNPQPRELIRQNSSSSSSDDSSDVETKSKVPFRTPMKVPPRLPEGVLTYGPWGGQGGTKFDDGTYTGIRQIVLSRNVGIVSMKVCYDREGQAVWGSKHGGTGGFKTERVNNVRLSIRNFDTHHRNVCAFNVYGA >KJB58186 pep chromosome:Graimondii2_0_v6:9:15324897:15332528:1 gene:B456_009G198200 transcript:KJB58186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g19720 [Source:Projected from Arabidopsis thaliana (AT1G19720) UniProtKB/Swiss-Prot;Acc:Q9FXH1] MENLMITCISKPPVIIPTKHDNLSEFSQPQTKLSFTYTKNNKNPKITDNHVKYLARSGRLAEAVAALDSIALSGSQVRPNTFISLLQACIDFGSLDLGRKLHARIHLVKESDPFVETKLVSMYAKCGSFADARKVFDEMIQKNLYTWSAMIGAYSRVSRWKEVVELFFLMMEDGVLPDEFLFPRILQACANCGDVRTGRLLHSLVIRLGMVCYTRVSNSVLAVYAKCGKLRSARRFFDYMNERDRVTWNSMLLAYCQKGENDEAYKLFNGMWGEGIEPCIVSWNILINSYNQLGRCDVALGLMKEMESSRVSPDVFTWTSMISGLAQNGRRWQALFLFKEMLLAGIKPNGVTITSAVSACASLKVLKLGLEIHSIALRMGITDNVLVGNSLIDMYAKCGELEAARQVFDMIEEKDVYTWNSMIAGYCQAGYCGKAYELFIKMQESDVKPNVITWNTMISGYIQNGDEDRAMDLFQRIEQDGKIRRNTASWNALIAGYVQLGAIDKAFGVFRQMQSCSISPNSVTILSILPGCANLIATKKVKEIHGCILRRDLEFVISISNSLIDTYAKSGNILYSRNIFDGMSTRDIISWNSIIGGYVLHGCFDAALDLFDQMRKLGIKPNRGTFLSIILARGIAKMVDEGKQIFSSISDNYEIIPAIEHYSAMIDLYGRSGRLGEAMEFIEDMPIEPDSSVWTSLLTASRIHKDIALAVLAGERLLDLEPGNIVVNQLMYQIYSLCGKLDDSSKVRKLEKESTLRRSLGHSWIEVRNTVHAFVTGDQSKPSSNLLHSWVQNITREVNIDDHHGGFFIEEEKKEEIGGIHSEKLAIAFALISSPSSPQSIRIVKNIRMCRNCHLTAKGEERIFKFREFMIYDSPPCPCTVSRNFASQYPDRQKGWNISWQKIRALFIKTLLLSTEDDKKPVSVGPWGGQGGTSWDDGVYCTIRQLVIAHGSGIDSVQIEYDTKGNSLWSRKHGGNGGSKTDKVKLDFPDEFLTSIHGYYGSLNQRGPIIVRSLTFHSNRKAYGPFGIEQGTSFSMNKGKIVGFRGRSGWYLDAIGVYSKPVLKLNPSKPIVHAQSVAATGPEKSGYSVIQGSVGESYDIVLAVRQRDGFVNPQPRELIRQNSSSSSSDDSSDVETKSKVPFRTPMKVPPRLPEGVLTYGPWGGQGGTKFDDGTYTGIRQIVLSRNVGIVSMKVCYDREGQAVWGSKHGGTGGFKTERIMFDYPSEILTHITGTFAPLMYMGPNVIRSLTFYTNKGKHGPYGDEQGPSFTNKMNEGKIVGFLGREGLFLDAVGVHVMEGKVPPPKPSYSQAIIQSERPIAEIDNSPWSNKLVLARRGPVEEVACGVVKEPSPCGPGPWGGDGGRAWDDGVYSGIKQIFITKSEAICSIQIEYDRNGQSVWSPRHGGHGGTTTHRVKLDYPHEVLICISGYYGSINEEEKSKVIRSLTFYTSRGKYGPFGEEVGTYFTSTTTQGKVVGFHGRCSSYLDAIGVHMQHWLGNQKASKMSLFKIFS >KJB58184 pep chromosome:Graimondii2_0_v6:9:15324742:15328612:1 gene:B456_009G198200 transcript:KJB58184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g19720 [Source:Projected from Arabidopsis thaliana (AT1G19720) UniProtKB/Swiss-Prot;Acc:Q9FXH1] MENLMITCISKPPVIIPTKHDNLSEFSQPQTKLSFTYTKNNKNPKITDNHVKYLARSGRLAEAVAALDSIALSGSQVRPNTFISLLQACIDFGSLDLGRKLHARIHLVKESDPFVETKLVSMYAKCGSFADARKVFDEMIQKNLYTWSAMIGAYSRVSRWKEVVELFFLMMEDGVLPDEFLFPRILQACANCGDVRTGRLLHSLVIRLGMVCYTRVSNSVLAVYAKCGKLRSARRFFDYMNERDRVTWNSMLLAYCQKGENDEAYKLFNGMWGEGIEPCIVSWNILINSYNQLGRCDVALGLMKEMESSRVSPDVFTWTSMISGLAQNGRRWQALFLFKEMLLAGIKPNGVTITSAVSACASLKVLKLGLEIHSIALRMGITDNVLVGNSLIDMYAKCGELEAARQVFDMIEEKDVYTWNSMIAGYCQAGYCGKAYELFIKMQESDVKPNVITWNTMISGYIQNGDEDRAMDLFQRIEQDGKIRRNTASWNALIAGYVQLGAIDKAFGVFRQMQSCSISPNSVTILSILPGCANLIATKKVKEIHGCILRRDLEFVISISNSLIDTYAKSGNILYSRNIFDGMSTRDIISWNSIIGGYVLHGCFDAALDLFDQMRKLGIKPNRGTFLSIILARGIAKMVDEGKQIFSSISDNYEIIPAIEHYSAMIDLYGRSGRLGEAMEFIEDMPIEPDSSVWTSLLTASRIHKDIALAVLAGERLLDLEPGNIVVNQLMYQIYSLCGKLDDSSKVRKLEKESTLRRSLGHSWIEVRNTVHAFVTGDQSKPSSNLLHSWVQNITREVNIDDHHGGFFIEEEKKEEIGGIHSEKLAIAFALISSPSSPQSIRIVKNIRMCRNCHLTAKYVSLRFGCEIYLSDTKFFHHFKNGRCSCGDYW >KJB59785 pep chromosome:Graimondii2_0_v6:9:22709237:22710752:-1 gene:B456_009G271800 transcript:KJB59785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFSTKAMPSYEAILSATASLTASVILLRTIANDFIPESIKDRLFLHLHKISSSLSSQLTVVIEESDGLTANQVFHAANVYLGEKLSSSTPRIKVNKPQKEKRLQVTTDKNQEMVDVFKGVKLKWVLLSTSRNHVLKKNNKNGVLKEEIRYFELSFHKKNREMVLGSYLPYLLQKAKEIKEKNKTLKLHTVDYNGTDYWGSISLDHPASFDTMAMDPTVKTALIEDLDRFSRRREFYRRVGKAWKRGYLLYGPPGTGKSSLVAAMANYLKFDVYDLDLKEIQCNSDLRRLLIGTGNGSIIVIEDIDTSLDSAEDDKVTLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDPVLLRPGRMDMHLHMSYCTFSGFKTLASNYLRIQDHQLFGEIKGWLDKVQATPAEVAGELMKCEDPNVALRGLIKWFHNRANTEVQDGSLNI >KJB62546 pep chromosome:Graimondii2_0_v6:9:65959481:65962352:1 gene:B456_009G422200 transcript:KJB62546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTTVILLFFFLSILSSATAEIKTLTIKSDSRPMILFEKFGFTHTGHVTISVSSVSVSSSSNAPNPSPSLLGFFLLSEESLLQVLLEIQQNSHFCVLNSRYIQHLFTFSELSPPPLSRLNRSYPVSSPNEYSLFFSNCAPETRVSMDVITEIYNLDRDGSKDYLSAGQKQLPSLYTVFSVLYLFFLAYWVYVCYTNRRCVHRIHLLMSGLLLFKALNLICAAEDKHYVKVTGTPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQEREKKVLMIVIPLQVLANIASVVIGETGPFIKDWATWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALRTIAAYKYQWVSNAAEETASLAFYLIIFYMFRPVEKNEYFILEEEDEEAAELALRDEDFEL >KJB60939 pep chromosome:Graimondii2_0_v6:9:34543808:34545848:-1 gene:B456_009G332400 transcript:KJB60939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAVAKAFVEHYYTTFDANRAGLANLYQDGSMLTFEGQKIQGSQSIVAKLTSLPFQQCQHSITTVDCQPSGAGGMLVFVSGTLQLAGEQHALKFSQMFHLMPTPQGSFYVLNDIFRLNYA >KJB61882 pep chromosome:Graimondii2_0_v6:9:52587670:52588781:-1 gene:B456_009G388200 transcript:KJB61882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKCGNCDCSDKSQCVKKGNSLVIETEESTVLVEPLAENDGKCKCGTSCSCTNCTCGSH >KJB61881 pep chromosome:Graimondii2_0_v6:9:52587626:52588856:-1 gene:B456_009G388200 transcript:KJB61881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKCGNCDCSDKSQCVKKGNSLVIETEESYISTVLVEPLAENDGKCKCGTSCSCTNCTCGSH >KJB60516 pep chromosome:Graimondii2_0_v6:9:29128011:29131696:-1 gene:B456_009G312100 transcript:KJB60516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEESIEQAAASRRERLKALKAAQELLNAPDEDSSQAAENQTDDTNEENNPSMKFRNYVPHDKQLQEGKVAPPVLPKFEDPVAAAPPASEEKEDPFVNIAPKKPNWDLRRDVQKKLDKLERRTQKAIFKLMGKQIKLFLLHILADTYVGHIGNRATRTRKTVG >KJB60515 pep chromosome:Graimondii2_0_v6:9:29127927:29131744:-1 gene:B456_009G312100 transcript:KJB60515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEESIEQAAASRRERLKALKAAQELLNAPDEDSSQAAENQTDDTNEENNPSMKFRNYVPHDKQLQEGKVAPPVLPKFEDPVAAAPPASEEKEDPFVNIAPKKPNWDLRRDVQKKLDKLERRTQKAIFKLMEQQEQEKQLDEGGNAIEE >KJB60517 pep chromosome:Graimondii2_0_v6:9:29128011:29131696:-1 gene:B456_009G312100 transcript:KJB60517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEESIEQAAASRRERLKALKAAQELLNAPDEDSSQAAENQTDDTNEENNPSMKFRNYVPHDKQLQEGKVAPPVLPKFEDPVAAAPPASEEKEDPFVNIAPKKPNWDLRRDVQKKLDKLERRTQKAIFKLMGMGYDFPSSSKTLEKIEHICVGCLRVSTTYT >KJB59793 pep chromosome:Graimondii2_0_v6:9:22783911:22785081:1 gene:B456_009G272600 transcript:KJB59793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYIRRGKWRLLLIPFNWPLRKEYYRSHLQLQIVKICSFGKCKVFCCALWNSRNQLVHERKIVSGRDLVHIIKAYLAEIETVGMEKRTLKTVEVQRHWEARTQDTIHFDAAFDTNRYRSASGVIVRDWRGKLRALKTTLHSNISSPFLAEAYACLQAVKLGLAMGLRSVPIMGDSKTVIKKCQAKGMDKSIVGAVIRDIQKHSSRFQEIIFQFIQKLENYQAHKLAKETLEKGEERNLIGTERICNEEPLQEEWARNPD >KJB58089 pep chromosome:Graimondii2_0_v6:9:14896221:14903727:-1 gene:B456_009G193900 transcript:KJB58089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTGWRLLTCRFNASGDLRPFSREICEDGSRDGLELWFADSFCRCWEGEGGWRLGEAWEANTDTWWSQSLVSIYSPFEELLPGKNTNSLAPNPNSMAPSFMFEAPSDEEPELSESKDEDNEEAEEEEEGDEAEDKPSKPKPKSQSPWDFASYSESVAEEHARRGTTSVDFKISKILQQSSAPAQQEETSDSESDKQVDYRSEDEDEENSNARDNKSFFAPAEGASFHANSFMELNLSRPLLRACETLGYTKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPTLERLLFRPKRVSAIRVLILTPARELAVQVHSMIEKLAQYTDIRCCLIVGGLSLKAQETALRLMPDIVVATPGRMIDHLRNTMSVDLDDLAVLILDEADRLLELGFSAEIHELVRLCPKRRQTMLFSATMTEEVDELVKLSLTRPLRLSADSSTKRPSTLTEEVVRIRRMREVNQEAVLLSLCTKTFTSRVIIFSGTKQAAHRLKILFQLAGLQAAELHGDLTQVQRLDALERFRKQEVDFLIATDVAARGLDIIGVQTVINYACPRDITSYVHRVGRTARAGREGYAVTFVTDNDRSLLKAIAKRVGSKLKSRIVAEQSITKWSQKIEEMEEKVTEVIEEERAERALRKAEMEATKAENMIAHKDEIYARPKRTWFMTEKEKKLVAKEAKASVEKEKGSADAIISAQQAEDLKMKEKRKREREKNLPRKKRRKLEAAREMLEDQSEINEPDGSGKNKKEKEGISLVDLAYRRAKAVKAVKKAVDSGKIVKKSNKCSKQYKQRTQSRTEEMRELFENDMSERRQKSSSNAGRKKPKSSFKSKSRYET >KJB58088 pep chromosome:Graimondii2_0_v6:9:14895610:14901947:-1 gene:B456_009G193900 transcript:KJB58088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFMFEAPSDEEPELSESKDEDNEEAEEEEEGDEAEDKPSKPKPKSQSPWDFASYSESVAEEHARRGTTSVDFKISKILQQSSAPAQQEETSDSESDKQVDYRSEDEDEENSNARDNKSFFAPAEGASFHANSFMELNLSRPLLRACETLGYTKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPTLERLLFRPKRVSAIRVLILTPARELAVQVHSMIEKLAQYTDIRCCLIVGGLSLKAQETALRLMPDIVVATPGRMIDHLRNTMSVDLDDLAVLILDEADRLLELGFSAEIHELVRLCPKRRQTMLFSATMTEEVDELVKLSLTRPLRLSADSSTKRPSTLTEEVVRIRRMREVNQEAVLLSLCTKTFTSRVIIFSGTKQAAHRLKILFQLAGLQAAELHGDLTQVQRLDALERFRKQEVDFLIATDVAARGLDIIGVQTVINYACPRDITSYVHRVGRTARAGREGYAVTFVTDNDRSLLKAIAKRVGSKLKSRIVAEQSITKWSQKIEEMEEKVTEVIEEERAERALRKAEMEATKAENMIAHKDEIYARPKRTWFMTEKEKKLVAKEAKASVEKEKGSADAIISAQQAEDLKMKEKRKREREKNLPRKKRRKLEAAREMLEDQSEINEPDGSGKNKKEKEGISLVDLAYRRAKAVKAVKKAVDSGKIVKKSNKCSKQYKQRTQSRTEEMRELFENDMSERRQKSSSNAGRKKPKSSFKSKSRYKRK >KJB54777 pep chromosome:Graimondii2_0_v6:9:3534290:3537526:1 gene:B456_009G048700 transcript:KJB54777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRPRQRERLVFSGFTKAEIEKMEKLLVESTEPLQSKEFCQKFARSFSASSARAGKPIVKWTEVQSWFAARQKESTSKAPSFTDTPKDQSPIPETCPLHNGHQGSQNLKGMGGKIPDLSELKFEAKSSKDGAWYDVDMFLTHRFLSSGEAEVRVRFVGFGAEDDEWVNVKRAVRERSIPFEHSECNKVTIGDLVLCLQERRDQSIYYDAHVVKIERKTHDIRGCRCLFLIRYNHDNSEERVRLRRLCYIPGQQSR >KJB55703 pep chromosome:Graimondii2_0_v6:9:6534395:6535231:1 gene:B456_009G089600 transcript:KJB55703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGNEVGRRISLGRCCRFLFAIQDYAYELFQDRRWFHLVMVPPPTQKNFGSKSKGFSECFQHGGRWRINMEVISFHCKSWFLPQGNFNPLAILFLFVSSA >KJB59092 pep chromosome:Graimondii2_0_v6:9:18998933:19001127:-1 gene:B456_009G238800 transcript:KJB59092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMDFISSGVDQSDLYGGELMEVLEPFMKSVSSSSPSPSPSPSPSSLPSTSYLSFSSSETQPNFYPDSCCYPYPTQMDSLSCPQQPQTGSTIGLNSLTQAQIHQIQLQFHLHNNQPSYLYQSSQPNTISANSNPMVSFLSPKPVPMKHVGAPSKPTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLRHHGSHVGDYKPLPSSVDAKLQAICESLEQNPKQGSKKKSSKVTADTKSRSNNKSDMAEPKPEENTAKVENSSSLSTVQSESEGSAVSSPLSDLTFSDFDEQPWPEVVSSSETFMLSKYPSEIDWDSI >KJB54939 pep chromosome:Graimondii2_0_v6:9:4028418:4029980:-1 gene:B456_009G055400 transcript:KJB54939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTSLTRPELFGHGKRSIGGRNCHQKFRSENPALLHGKYELGRMLGHGTFAKVYHARNLQTGKSVAMKVVGKEKVIRVGMMEQIKREISVMKMVKHPNIVELHEVMASKSKIYFAMELVRGGELFSKIAKGRLKEDLARVYFQQLVSVIDFCHSRGVYHRDLKPENLLLDEDGCLKVTDFGLSAFSEHLKQDGLLHTTCGTPAYVAPEVIGKKGYDGAKADLWSCGVILYVLLAGFLPFQDDNMVAMYRKIYRGDFKCPPWFSPEARRLITKLLDPNPNTRITTSKVMDSSWFKKSIPKTIRTKGELEFEAFNGEKSSKPETLNAFHIISLSEGFDLSPLFEEKKREEKEELRFATTRPASSVISRLEEVAKSMKFSVKKSESSVRLQGQECGRKGKLAIAADIFALTPSFLVVEVKKDHGDTLEYNQFCSKELRPALKDIVWTSPSDNSTVA >KJB61879 pep chromosome:Graimondii2_0_v6:9:52581987:52582903:1 gene:B456_009G388000 transcript:KJB61879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPGFFSICMLQSIVAITCGALMMFYTKEVTVLGHGREIASKLQGSTPHDQLLIQTSESFSGLLLFTIGFVLFMVAFVKDGEFQSFFAKGCVLVHVSMAIWRVCFEGKLLGFGHEWLRQALGDIALALSWVFLLVCSWREKYD >KJB61878 pep chromosome:Graimondii2_0_v6:9:52581949:52583063:1 gene:B456_009G388000 transcript:KJB61878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPGFFSICMLQSIVAITCGALMMFYTKEVTVLGHGREIASKLQGSTPHDQLLIQTSESFSGLLLFTIGFVLFMVAFVKDGEFQSFFAKGCVLVHVSMAIWRVCFEGKLLGFGHEWLRQALGDIALALSWVFLLVCSWREKYD >KJB57151 pep chromosome:Graimondii2_0_v6:9:11422759:11425476:1 gene:B456_009G150500 transcript:KJB57151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSKSKLSRLIGCQLTSLTYICLALVTMVLFLRAVLLHSFSGYGVIEWNNLDLILSHSLLLNSHDEGIRRDKYLEVPQIVWGLNNQKIAFARACLTARTLNRTLLMPSLSASLFYKEIDRLQPISFDKVFQFDKFNSLCKGFVQLGRYSDLKNQTGIYDLQKGSGRKWTVERDMEHLKQSSRGHVDEHEVIRIVGKNPFLWHDHWPVEDYARVFECLVLVDEISKEADKVVSKIRQIGKKHRSKTEMIQSDFDANTESSSTATAPYIAVHMRVEIDWMIHCKKLEQRSGVNQICSSKQEIIERVGNIVSSESPTVVYLAVADSLLNDSSILSGWKKGLLPFEKKRLGVDGIYKKHPYLIQSAIDYEVCSRADIFVGNSFSTFSSLVVLERTQKMKRMGITRSCDIDVRWPSYAYNILGESNGPQKWMTNMSHSTLQAISYGSNAITC >KJB61353 pep chromosome:Graimondii2_0_v6:9:45414292:45416661:1 gene:B456_009G352800 transcript:KJB61353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PARTING DANCERS [Source:Projected from Arabidopsis thaliana (AT1G12790) UniProtKB/Swiss-Prot;Acc:F4IDW9] MANFKSGYADPVLENPCSKVTKSSVSAGVCMMNTTWRDQQHPSFISFISSFLAANSFRLNFVPISPDFIFNCGGLSVAFIFVTKWDCGNVGTIFSRAKKLKAQFAHLYVTLNLPTRDQNDSFLCSYFKYEMQLGRPTFVPVQDIEMGFEKIVKIAHSCGVSKQQEVKSKLKAERNQSVQELENFIRVVTSIPGIDNHDANALNQAIGSIEGIAKASKEYILETTDLSADKAETIVRFFRDSKFYLCPKII >KJB61350 pep chromosome:Graimondii2_0_v6:9:45414292:45416196:1 gene:B456_009G352800 transcript:KJB61350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PARTING DANCERS [Source:Projected from Arabidopsis thaliana (AT1G12790) UniProtKB/Swiss-Prot;Acc:F4IDW9] MANFKSGYADPVLENPCSKVTKSSVSAGVCMMNTTWRDQQHPSFISFISSFLAANSFRLNFVPISPDFIFNCGGLSVAFIFVTKWDCGNVGTIFSRAKKLKAQFAHLYVTLNLPTRDQNDSFLCSYFKYEMQLGRPTFVPVQDIEMGFEKIVKIAHSCGVSKQQEVKSKLKAEVRWKIITCLHSSY >KJB61352 pep chromosome:Graimondii2_0_v6:9:45414292:45416661:1 gene:B456_009G352800 transcript:KJB61352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PARTING DANCERS [Source:Projected from Arabidopsis thaliana (AT1G12790) UniProtKB/Swiss-Prot;Acc:F4IDW9] MANFKSGYADPVLENPCSKVTKSSVSAGVCMMNTTWRDQQHPSFISFISSFLAANSFRLNFVPISPDFIFNCGGLSVAFIFVTKWDCGNVGTIFSRAKKLKAQFAHLYVTLNLPTRDQNDSFLCSYFKYEMQLGRPTFVPVQDIEMGFEKIVKIAHSCGEEPISAGVGKFH >KJB61351 pep chromosome:Graimondii2_0_v6:9:45414292:45416661:1 gene:B456_009G352800 transcript:KJB61351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PARTING DANCERS [Source:Projected from Arabidopsis thaliana (AT1G12790) UniProtKB/Swiss-Prot;Acc:F4IDW9] MANFKSGYADPVLENPCSKVTKSSVSAGVCMMNTTWRDQQHPSFISFISSFLAANSFRLNFVPISPDFIFNCGGLSVAFIFVTKWDCGNVGTIFSRAKKLKAQFAHLYVTLNLPTRDQNDSFLCSYFKYEMQLGRPTFVPVQDIEMGFEKIVKIAHSCGAKSSNWFN >KJB57754 pep chromosome:Graimondii2_0_v6:9:13920806:13922128:1 gene:B456_009G181000 transcript:KJB57754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFCCLVILSLIFALPSISFATMVGKNQPWKVDTILEHQMEATSIESTESGVTTGLRPWKGQSNWRHQHHLKNVHGILNIFGWGLLLPIGAIVARNFSKFPLKCDDWYQLHTLCQTSGYIVGAVGWGTGIWLGNSSRQYTLKAHRILGIIVFTLATLQMLAMWLQAKKEDECGKWWEICYNVLGYVVIVLSIANIFEGIGNIRSHAAEYWRWVYMAMLIVLALIAVALEIYRWIKSKNQQMPFDDNDIDASQQI >KJB56521 pep chromosome:Graimondii2_0_v6:9:9315672:9319364:-1 gene:B456_009G124300 transcript:KJB56521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIESSSTNAPTVCVTGAGGFIASWIVKLLLEKGYTVKGTVRNPDDPKNCHLRELEGAKERLSLHKADLLDYQSLKEAISGCDGVFHTASPVTDDPEQMVEPAVIGTKNVIMAAAEAKVRRVVFTSSIGAVYMDPNRSPDVVVDESCWSDLEFCKNTKYLTGSAKTYANSVQAYVHVRDVALAHLLVYENPSASGRYLCAESVLHRGEVVEILAKFFPEYPIPTKCSDEKNPRAKPYKFTNQKLRDLGLEFTPVKQCLYETVKSLQEKGHLPIPAQHQEDSGLRIQS >KJB56522 pep chromosome:Graimondii2_0_v6:9:9315897:9318328:-1 gene:B456_009G124300 transcript:KJB56522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPAVIGTKNVIMAAAEAKVRRVVFTSSIGAVYMDPNRSPDVVVDESCWSDLEFCKNTKNWYCYGKAVAEQAAWETAKEKGVDLVAITPVLVLGPLLQPTVNASIVHILKYLTGSAKTYANSVQAYVHVRDVALAHLLVYENPSASGRYLCAESVLHRGEVVEILAKFFPEYPIPTKCSDEKNPRAKPYKFTNQKLRDLGLEFTPVKQCLYETVKSLQEKGHLPIPAQHQEDSGLRIQS >KJB56520 pep chromosome:Graimondii2_0_v6:9:9315672:9319364:-1 gene:B456_009G124300 transcript:KJB56520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIESSSTNAPTVCVTGAGGFIASWIVKLLLEKGYTVKGTVRNPDDPKNCHLRELEGAKERLSLHKADLLDYQSLKEAISGCDGVFHTASPVTDDPPAVIGTKNVIMAAAEAKVRRVVFTSSIGAVYMDPNRSPDVVVDESCWSDLEFCKNTKNWYCYGKAVAEQAAWETAKEKGVDLVAITPVLVLGPLLQPTVNASIVHILKYLTGSAKTYANSVQAYVHVRDVALAHLLVYENPSASGRYLCAESVLHRGEVVEILAKFFPEYPIPTKCSDEKNPRAKPYKFTNQKLRDLGLEFTPVKQCLYETVKSLQEKGHLPIPAQHQEDSGLRIQS >KJB56519 pep chromosome:Graimondii2_0_v6:9:9315618:9319477:-1 gene:B456_009G124300 transcript:KJB56519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIESSSTNAPTVCVTGAGGFIASWIVKLLLEKGYTVKGTVRNPDDPKNCHLRELEGAKERLSLHKADLLDYQSLKEAISGCDGVFHTASPVTDDPEQMVEPAVIGTKNVIMAAAEAKVRRVVFTSSIGAVYMDPNRSPDVVVDESCWSDLEFCKNTKNWYCYGKAVAEQAAWETAKEKGVDLVAITPVLVLGPLLQPTVNASIVHILKYLTGSAKTYANSVQAYVHVRDVALAHLLVYENPSASGRYLCAESVLHRGEVVEILAKFFPEYPIPTKCSDEKNPRAKPYKFTNQKLRDLGLEFTPVKQCLYETVKSLQEKGHLPIPAQHQEDSGLRIQS >KJB58101 pep chromosome:Graimondii2_0_v6:9:14971617:14972729:1 gene:B456_009G194700 transcript:KJB58101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVSKALIASLLISLLLFQLVEADHQLVIDARKGTSPPKKIDCGGACAARCRLSSRPHLCKRACGTCCARCNCVPPGTSGNQEMCPCYASLTTHGGKRKCP >KJB54045 pep chromosome:Graimondii2_0_v6:9:1434883:1435649:-1 gene:B456_009G018200 transcript:KJB54045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source: Projected from Oryza sativa (Os11g0155900)] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB58264 pep chromosome:Graimondii2_0_v6:9:15762941:15764252:-1 gene:B456_009G203300 transcript:KJB58264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMKLCPNLDNQDGLETVLEVPIPEEMYTKMGSNAILRWQNLCNFMKAQSAFCNSSYLQASSDNEFLTLLKIVGTPLVPFRVDLENYMHSNPIQDSSIEASTAKYIIQQFVAATGGHLALRSIRNMYAVGQVQLQGSETLQGNSSVQTRVNCEFGGFVLLQKNPDLWYLELVVSGFKVSAGCDGKVAWNQSSSQPGHAHRGPPRPLRRFSQGLDPRCTASLFINAVCIGEQVIDNEDCFILMLETDSKALKAQSSSQAEIIHHTVRGYFSQRTGLLTKFEDKKLVRMKTTVGNDVFWETSVESLIQDYKYVDGINIAHGGNTITTLYRYGKSHKHKRKIEETWTIDEVDFNICGLCTETFLPPADLKREQE >KJB62808 pep chromosome:Graimondii2_0_v6:9:68796507:68799537:-1 gene:B456_009G437400 transcript:KJB62808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTYFLSSYWLKQQFIIVVLLFAVISSISSPVNGCFTSIFSFGDSMSDTGNSIEISVLETGKLPHGAFPPNGCTFFHRPTGRFCDGRLVIDFLAEALGLPFLPPYYRYKNGTSENFENGVNFAVGGAGALNSSFPGIYNPKTHVSLVDEVNSFKQFINLRTDFKQLLRNSLIVMGEIGGNDYGHAFKQGKSIEDVRNFVPPVVDSITSSINELIELGAVTFLVPGNFPIGCSPTYLTLFQGSDKDQYDPLTGCLTWLNQFSQYHNQLLRKELEKIRNLHPDTNIVYADYYSTTLRIYHSPNKFGFKETLKACCGTGGLYNYNLSRACGYPPLRQCCNTLLRI >KJB58258 pep chromosome:Graimondii2_0_v6:9:15601915:15603834:-1 gene:B456_009G201400 transcript:KJB58258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYENYDPIFPDQPVVDQYLPIWASLSAFRSKPAFIWSDDGTTNVSNGSTLTYAQLNDSVQSISIQLLHSLQRGDTVIILCTPGLELVEIIFGCQRAGLLSVPIFPPDPSFSKQNHHHLLRALSQTKPKAAIAHHDYITKVQQYLSLRSKDKKLAQMLQNLKWVPTEDVKHKNADSRLDSMSYDGCKPDETYLIQYTSGATGIPKPVLVTAGSAAHNVRTARKAYDLHPNNVIVSWLPQYHDCGLMFLLLTIVSGATCVLTSPAAFVNRPRLWIELITQFKATCTPVPSFTLPLVVKRGGVETGSSPINLWSLRNLIIINEPIYKASVEEFLHVFKPFGLNPSSISPSYGLAENCTFVSTAWRNDDNSGNSCFPVFPSHNKLLPIARLASEEEEEDMDIIVVNEHTHEPVDDGIEGEIWVSSPSNASGYLGHPFLTQDIFKGRLSNKVSRCFVRTGDKGIVKGEERFLFVTGRCAEVIKLPNGQDIHPHYIETAAYNSCPQFIRGGCLAAFDVSRMIVLVAEMQRSEKDNKILRNICERIRENVFENEKVDVGMVVLVKSGSVPKTTSGKIQRWAAKQYFLGGKMNALMELKFDNNGGFLRSSNGRGSYGEGEEGRAVIAEEKEEVVYSLSSAPWLARL >KJB60327 pep chromosome:Graimondii2_0_v6:9:26596807:26597527:-1 gene:B456_009G300100 transcript:KJB60327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQKNAQQCTNGKLWNSSSEALTLTDKKVWQGSHYADFPEIIEDGDAREFIHESVTDDADIPGSVAGLVYRRRDGTKWVVAWSNPLDENSKVYTDIQRQPIHWGQIKTNLEKRGKPKFKVTKFGYIASIEIDPGSRSPQMKASFELEA >KJB54103 pep chromosome:Graimondii2_0_v6:9:1562169:1565412:-1 gene:B456_009G020500 transcript:KJB54103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVLQWLKLVAVSFMVLVLVLKVIVLLWWRPKRIEDHFSRQGIRGPPYHFFIGNVKELVGMMLKASSQPMPFSHNILPRVLSFYHHWKKIYGATFLVWFGPTVRLTVADPDLIREIFTSKSEFYEKNEAHPLIRQLEGDGLLSLKGEKWAHHRKIITPTFHMENLKLLVPLVAQRVTHMLDKWSAMSTNTGEIEIEVCEWFQTLTEDVITRTAFGTSYEDGKAIFRLQAQQMVLAAEAFQKVFIPGYRFLPTKRNIRFWKLDRDIKKSLMKLIDGRKNKLGNTVQEKGPKDLLGLMMQASNSSPNVTVHDIIEECKSFFFAGKQTTSNLLTWTTVLLAMHPHWQVLAREEVLKVCGSRDIPSKDDVVKLKTVKYP >KJB54102 pep chromosome:Graimondii2_0_v6:9:1562161:1565532:-1 gene:B456_009G020500 transcript:KJB54102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVLQWLKLVAVSFMVLVLVLKVIVLLWWRPKRIEDHFSRQGIRGPPYHFFIGNVKELVGMMLKASSQPMPFSHNILPRVLSFYHHWKKIYGATFLVWFGPTVRLTVADPDLIREIFTSKSEFYEKNEAHPLIRQLEGDGLLSLKGEKWAHHRKIITPTFHMENLKLLVPLVAQRVTHMLDKWSAMSTNTGEIEIEVCEWFQTLTEDVITRTAFGTSYEDGKAIFRLQAQQMVLAAEAFQKVFIPGYRFLPTKRNIRFWKLDRDIKKSLMKLIDGRKNKLGNTVQEKGPKDLLGLMMQASNSSPNVTVHDIIEECKSFFFAGKQTTSNLLTWTTVLLAMHPHWQVLAREEVLKVCGSRDIPSKDDVVKLKTLTMILNESLRLYPPTIATIRRAKIDAELGGYRILRDTELLIPILAVHHDQAIWGNDANEFNPARFSEGVARAAKHPVGFIPFGLGVRTCIGQNLAILQAKLTLSIILQRFSFRLAPTYQHAPTVLMLLYPQYGAPIIFQPLPESTVPRDQGS >KJB61898 pep chromosome:Graimondii2_0_v6:9:52706298:52706774:-1 gene:B456_009G389600 transcript:KJB61898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLSFAIQYSLDYRLILSSLTALGIALLLLCCLIAACILISLFLTVFVYLRLLTSESRIRNYLRLPVTIQRLPEIVDDRAVLSVQVLEKLLPSLKIYEDNKHQLKYSDCPICLDDYVVGESFTVFPVCKHTFHSSCIQHWLQNNVTCPVCRQCIYDL >KJB62192 pep chromosome:Graimondii2_0_v6:9:59693917:59695421:1 gene:B456_009G405900 transcript:KJB62192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSLISSPSLLPTKPLTIHRQNQYHNTTTFRNRISFKVLAAKLPAGVEVPKVEPKFKAPFLGFTRTAEIWNSRACMIGIIGVFIVELIINKGILQTIGVDVGKGLDIPL >KJB61239 pep chromosome:Graimondii2_0_v6:9:42889212:42890385:1 gene:B456_009G347400 transcript:KJB61239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTPHHRNNQNKTKLIVIMGATGTGKSRLSVDLSTHFPHSQIINSDKMQLFNGLDITTNKIPLPERKGVQHFLLGEFDSIDADVAPSQFRSAAGSTIADIVSRGNLPLLVGGSNTFIHALLVETFDPQVDVFAESSSVSRALRYDCCFLWVDVAWSVLSEYLCIRVDEMLDSGMLEELAQFYDPTKAGAMVGLRKAIGVPEFDAYFRKYPPWESPENGVVPNKDCDPSRREAYEEALQEIKDNTCRLAKRQIGKILRLREGGWDFTRFDATATFQALMKKKQSSAVAAPELEWREIWEREVVEPSVKIVKRFLEE >KJB55418 pep chromosome:Graimondii2_0_v6:9:5421653:5422294:-1 gene:B456_009G075400 transcript:KJB55418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSVAPCFQPNQSSRSSSSSSSVKLIFWEGNTRTLTGKHIAGEVMFEFPDMMVCHADSFFIGHPIPALSMDDRLMPGQTYFVLPLDRFACKVLSTSSLAALNSKSSPKPTPINFGACPFEHVKGANGRVLIKVVPEFITSLIVKSKEEDSEIGSSGNSFLCSTPELKKHYQNLVGSKQQDWSPNLETISEYKIRFSPCRFIGLEWKQRENQF >KJB55629 pep chromosome:Graimondii2_0_v6:9:6254750:6256011:-1 gene:B456_009G085900 transcript:KJB55629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BIC2 [Source:Projected from Arabidopsis thaliana (AT3G44450) UniProtKB/Swiss-Prot;Acc:Q9M280] MSSISPSSRNHNPSKPVSVRRVSNNMPGLGHEEEDVMLLHDKSYSCSNGGVLQGSALVPRGHCSDGNDKDSGRERLKRHREEVAGRVLVPDKWGKEELLKDWMDYSSFDSLLAPTGLASARKALMTEGRRSSSQRLMRIQSMC >KJB57171 pep chromosome:Graimondii2_0_v6:9:11541899:11542493:1 gene:B456_009G151700 transcript:KJB57171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAGRDRTGCENLQRALSECHQRFGPGATRDAACRHLNRALAECLVSFVCPEESEAVRTLCGSGGTRLKRSQCQQAQLSLSVCISSHQPD >KJB55473 pep chromosome:Graimondii2_0_v6:9:5623134:5624012:-1 gene:B456_009G078100 transcript:KJB55473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPADSCSLPFLGGKYLKTQHAETPHDSRNSFFCRYCGKGFMSNKAMAGHLRIHSHHHPTSKTELQQQQQEDDDDDDDDDFFSCLVCTESFSSMKLLCQHTNIHRHMDSSQESNISSSGADTIVKQGNGPHTIDLLKDFNFKWSRTGKRGSNRITSQDAQPLKLRVRPPHMETRKNKKMKTEDTSMEFELGGTKSESGVDPCHARRSRKNKAVKSEHQCEICGKTFETGQALGGHKTYHRVKKNKVELLQQGKTKQEPCMMTPMLLPHLSQQPDPSHPKTMLDFDLNIPYQQ >KJB57627 pep chromosome:Graimondii2_0_v6:9:13315922:13319016:1 gene:B456_009G172700 transcript:KJB57627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKANVSQELNAKHIKILDGLLKLPENRECADCKSKGPRWASVNLGIFICIQCSGIHRSLGVHISKVRSATLDTWLPEQVPFIEFMGNEKSNNYWEAELPPNYNRAGIEDFICAKYKEKRWIPREGKARSPTSVSEEKESLHKLGAKDGGYKYMNTANHGFEEKKTSCPPFTSNSTPTPKSCLQVHLNVPQKDVNTTASVAPAKVDYATELFNLLFMEDSRENHSDISAHENSWTVFSSAEAKPLRDGSDSSNLSQTKVQPKNESDDLFRDSTSVKQTFSETAEDDAKPMKLFEKPNTVMTSPFSLHQQ >KJB57626 pep chromosome:Graimondii2_0_v6:9:13315922:13320056:1 gene:B456_009G172700 transcript:KJB57626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKANVSQELNAKHIKILDGLLKLPENRECADCKSKGPRWASVNLGIFICIQCSGIHRSLGVHISKVRSATLDTWLPEQVPFIEFMGNEKSNNYWEAELPPNYNRAGIEDFICAKYKEKRWIPREGKARSPTSVSEEKESLHKLGAKDGGYKYMNTANHGFEEKKTSCPPFTSNSTPTPKSCLQVHLNVPQKDVNTTASVAPAKVDYATELFNLLFMEDSRENHSDISAHENSWTVFSSAEAKPLRDGSDSSNLSQTKVQPKNESDDLFRDSTSVKQTFSETAEDDAKPMKLFEKPNGGSQAFPVNSHQFNSNGLHFPADLYTPGQVVPPTIGMKSIGGLPISASPICLTTPIQWGKDYDFSSLTQGIFTKR >KJB56262 pep chromosome:Graimondii2_0_v6:9:8257120:8266452:-1 gene:B456_009G113500 transcript:KJB56262 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MASKLGLAGGIPERRVRPIWDAIDSRQFKNALKLTTTLLSKHPHSPYALALKALILERMGKPDEALSVCLNAKELLYKNESLLMDDLTLSTLQIVFQRLDHLELATSCYEHACGKFPNNLELMMGLFNCYVREYSFVKQQQTAIKMYKLVGEERFLLWAVCSIQLQVLCGNGGEKLLLLAEGLLKKHVASHGLHEPEALIVYFSILEQQAKYGDALDILGGKLGSLLMIEVDKLRIQGKLLARAGDYAAAANVYQKILELCGDDWEAFLHYLGCLFEDESSWASETINTPINPPKYVECKLTHLTDEVFDSCVENASAFVQKLQAEASNDSLRNPYLSHLEIERRKCLFRKNNDDNLVEALLQYYSRFGHLACFTSDVEAFLQVLPPEKKMEFLDKLMKNSNSLSVVPTRALGQSITLLKTQELIGSMFNLPVAELEGSAVQMAELYCKNLPLSKDLDPQESMHGEELLSIVCNVLVQLFWRTRDLGYFIEAIMVLEFGLTIRRYTFQYKILLLHLYSYFGALPLAYERYKSLDVKNILMETASHHILPQMLASPLWADLSNLLKDYLKFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKERLQHSNQYLVARVEAPILQLKQSADNIEQEESILESLKCGADFIELSNEIGSKSLTFNEDFQSRPWWTPTTEKNYLLGPFEGISYCPKENLTKEREENVRGFIERKSLLPRMIYLSIQSASVLYKDNSEINGSLADPKISTELKALLERYAKMMGFSLNDAIDVVVGVSRGLKPYEVFGSDVIDWLNFAVFFNAWSLNSHDSGQQGGERTPGSWHVVNSLLENYILGKLRSIGPLIQSPQGDLPILVKLVTEPLAWHGLVIQACVRTFLPSGKKKKKSGSTDQSISLLSNAVRDAIQGLCSVLEEVAKWSQDQIKIPEDNKIDLLVSSLKRNGGAGKVFNILETLASSPNETELGDRISQALRSWSPVDVGRKIVTGQCRVLSEFRRICESKIKSLKTLKQQIAQV >KJB56265 pep chromosome:Graimondii2_0_v6:9:8257180:8266452:-1 gene:B456_009G113500 transcript:KJB56265 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MASKLGLAGGIPERRVRPIWDAIDSRQFKNALKLTTTLLSKHPHSPYALALKALILERMGKPDEALSVCLNAKELLYKNESLLMDDLTLSTLQIVFQRLDHLELATSCYEHACGKFPNNLELMMGLFNCYVREYSFVKQQQTAIKMYKLVGEERFLLWAVCSIQLQVLCGNGGEKLLLLAEGLLKKHVASHGLHEPEALIVYFSILEQQAKYGDALDILGGKLGSLLMIEVDKLRIQGKLLARAGDYAAAANVYQKILELCGDDWEAFLHYLGCLFEDESSWASETINTPINPPKYVECKLTHLTDEVFDSCVENASAFVQKLQAEASNDSLRNPYLSHLEIERRKCLFRKNNDDNLVEALLQYYSRFGHLACFTSDVEAFLQVLPPEKKMEFLDKLMKNSNSLSVVPTRALGQSITLLKTQELIGSMFNLPVAELEGSAVQMAELYCKNLPLSKDLDPQESMHGEELLSIVCNVLVQLFWRTRDLGYFIEAIMVLEFGLTIRRYTFQYKILLLHLYSYFGALPLAYERYKSLDVKNILMETASHHILPQMLASPLWADLSNLLKDYLKFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKERLQHSNQYLVARVEAPILQLKQSADNIEQEEVVILESLKCGADFIELSNEIGSKSLTFNEDFQSRPWWTPTTEKNYLLGPFEGISYCPKENLTKEREENVRGFIERKSLLPRMIYLSIQSASVLYKDNSEINGSLADPKISTELKALLERYAKMMGFSLNDAIDVVVGVSRGLKPYEVFGSDVIDWLNFAVFFNAWSLNSHDSGQQGGERTPGSWHVVNSLLENYILGKLRSIGPLIQSPQGDLPILVKLVTEPLAWHGLVIQACVRTFLPSGKKKKKSGSTDQSISLLSNAVRDAIQGLCSVLEEVAKWSQDQIKIPEDNKIDLLVSSLKRNGGAGKVFNILETLASSPNETELGDRISQALRSWSPVDVGRKIVTGQCRVLSEFRRICESKIKSLKTLKQQIAQV >KJB56263 pep chromosome:Graimondii2_0_v6:9:8257180:8266452:-1 gene:B456_009G113500 transcript:KJB56263 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MASKLGLAGGIPERRVRPIWDAIDSRQFKNALKLTTTLLSKHPHSPYALALKALILERMGKPDEALSVCLNAKELLYKNESLLMDDLTLSTLQIVFQRLDHLELATSCYEHACGKFPNNLELMMGLFNCYVREYSFVKQQQTAIKMYKLVGEERFLLWAVCSIQLQVLCGNGGEKLLLLAEGLLKKHVASHGLHEPEALIVYFSILEQQAKYGDALDILGGKLGSLLMIEVDKLRIQGKLLARAGDYAAAANVYQKILELCGDDWEAFLHYLGCLFEDESSWASETINTPINPPKYVECKLTHLTDEVFDSCVENASAFVQKLQAEASNDSLRNPYLSHLEIERRKCLFRKNNDDNLVEALLQYYSRFGHLACFTSDVEAFLQVLPPEKKMEFLDKLMKNSNSLSVVPTRALGQSITLLKTQELIGSMFNLPVAELEGSAVQMAELYCKNLPLSKDLDPQESMHGEELLSIVCNVLVQLFWRTRDLGYFIEAIMVLEFGLTIRRYTFQYKILLLHLYSYFGALPLAYERYKSLDVKNILMETASHHILPQMLASPLWADLSNLLKDYLKFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKERLQHSNQYLVARVEAPILQLKQSADNIEQEESILESLKCGADFIELSNEIGSKSLTFNEDFQSRPWWTPTTEKNYLLGPFEGISYCPKENLTKEREENVRGFIERKSLLPRMIYLSIQSASVLYKDNSEINGSLADPKISTELKALLERYAKMMGFSLNDAIDVVVGVSRGLKPYEVFGSDVIDWLNFAVFFNAWSLNSHDSGQQGGERTPGSWHVVNSLLENYILGKLRSIGPLIQSPQGDLPILVKLVTEPLAWHGLVIQACVRTFLPSGKKKKKSGSTDQSISLLSNAVRDAIQGLCSVLEEVAKWSQDQIKIPEDNKIDLLVSSLKRNGGAGKVFNILETLASSPNETELGDRISQALRSWSPVDVGRKIVTGQCRVLSEFRRICESKIKSLKTLKQQIAQV >KJB56261 pep chromosome:Graimondii2_0_v6:9:8257120:8266386:-1 gene:B456_009G113500 transcript:KJB56261 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MASKLGLAGGIPERRVRPIWDAIDSRQFKNALKLTTTLLSKHPHSPYALALKALILERMGKPDEALSVCLNAKELLYKNESLLMDDLTLSTLQIVFQRLDHLELATSCYEHACGKFPNNLELMMGLFNCYVREYSFVKQQQTAIKMYKLVGEERFLLWAVCSIQLQVLCGNGGEKLLLLAEGLLKKHVASHGLHEPEALIVYFSILEQQAKYGDALDILGGKLGSLLMIEVDKLRIQGKLLARAGDYAAAANVYQKILELCGDDWEAFLHYLGCLFEDESSWASETINTPINPPKYVECKLTHLTDEVFDSCVENASAFVQKLQAEASNDSLRNPYLSHLEIERRKCLFRKNNDDNLVEALLQYYSRFGHLACFTSDVEAFLQVLPPEKKMEFLDKLMKNSNSLSVVPTRALGQSITLLKTQELIGSMFNLPVAELEGSAVQMAELYCKNLPLSKDLDPQESMHGEELLSIVCNVLVQLFWRTRDLGYFIEAIMVLEFGLTIRRYTFQYKILLLHLYSYFGALPLAYERYKSLDVKNILMETASHHILPQMLASPLWADLSNLLKDYLKFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKERLQHSNQYLVARVEAPILQLKQSADNIEQEESILESLKCGADFIELSNEIGSKSLTFNEDFQSRPWWTPTTEKNYLLGPFEGISYCPKENLTKEREENVRGFIERKSLLPRMIYLSIQSASVLYKDNSEINGSLADPKISTELKALLERYAKMMGFSLNDAIDVVVGVSRGLKPYELEFS >KJB56264 pep chromosome:Graimondii2_0_v6:9:8257442:8265645:-1 gene:B456_009G113500 transcript:KJB56264 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MMGLFNCYVREYSFVKQQQTAIKMYKLVGEERFLLWAVCSIQLQVLCGNGGEKLLLLAEGLLKKHVASHGLHEPEALIVYFSILEQQAKYGDALDILGGKLGSLLMIEVDKLRIQGKLLARAGDYAAAANVYQKILELCGDDWEAFLHYLGCLFEDESSWASETINTPINPPKYVECKLTHLTDEVFDSCVENASAFVQKLQAEASNDSLRNPYLSHLEIERRKCLFRKNNDDNLVEALLQYYSRFGHLACFTSDVEAFLQVLPPEKKMEFLDKLMKNSNSLSVVPTRALGQSITLLKTQELIGSMFNLPVAELEGSAVQMAELYCKNLPLSKDLDPQESMHGEELLSIVCNVLVQLFWRTRDLGYFIEAIMVLEFGLTIRRYTFQYKILLLHLYSYFGALPLAYERYKSLDVKNILMETASHHILPQMLASPLWADLSNLLKDYLKFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKERLQHSNQYLVARVEAPILQLKQSADNIEQEESILESLKCGADFIELSNEIGSKSLTFNEDFQSRPWWTPTTEKNYLLGPFEGISYCPKENLTKEREENVRGFIERKSLLPRMIYLSIQSASVLYKDNSEINGSLADPKISTELKALLERYAKMMGFSLNDAIDVVVGVSRGLKPYEVFGSDVIDWLNFAVFFNAWSLNSHDSGQQGGERTPGSWHVVNSLLENYILGKLRSIGPLIQSPQGDLPILVKLVTEPLAWHGLVIQACVRTFLPSGKKKKKSGSTDQSISLLSNAVRDAIQGLCSVLEEVAKWSQDQIKIPEDNKIDLLVSSLKRNGGAGKVFNILETLASSPNETELGDRISQALRSWSPVDVGRKIVTGQCRVLSEFRRICESKIKSLKTLKQQIAQV >KJB57051 pep chromosome:Graimondii2_0_v6:9:11330164:11335158:1 gene:B456_009G149200 transcript:KJB57051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRLPYSFPSTLPSFFPKILLPVPSFSISCSSKSTPNQLKNNDDNSNTDNPKKPKRLSEQSSWEAKDSEGRDYLYRLGKEADNMNIAVGARAGVIDDLFTGNFLGKDSDIVFDYRQKATRSFEYLQGDYYIAPVFLDKVACHIVKNYLAHLLNVKIPLILGVWGGKGQGKTFQTELIFQAMGIEPVIMSAGELESDRAGEPGKLIRERYRTASQVVQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSIGQDWRESDITNRVPIIVTGNDFSTIYAPLIRDGRMEKFYWQPTKEDIVNIVHRMYEKDDISKDEVVTIVETFPNQALDFYGALRSRTYDRSISKWIEDIGGIENLGNKLLGRKKNEELPVYTPPQD >KJB57050 pep chromosome:Graimondii2_0_v6:9:11330164:11334935:1 gene:B456_009G149200 transcript:KJB57050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRLPYSFPSTLPSFFPKILLPVPSFSISCSSKSTPNQLKNNDDNSNTDNPKKPKRLSEQSSWEAKDSEGRDYLYRLGKEADNMNIAVGARAGVIDDLFTGNFLGKDSDIVFDYRQKATRSFEYLQGDYYIAPVFLVKFPACHIVKNYLAHLLNVKIPLILGVWGGKGQGKTFQTELIFQAMGIEPVIMSAGELESDRAGEPGKLIRERYRTASQVVQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSIGQDWRESDITNRVPIIVTGNDFSTIYAPLIRDGRMEKFYWQPTKEDIVNIVHRMYEKDDISKDEVVTIVETFPNQALDFYGALRSRTYDRSISKWIEDIGGIENLGNKLLGRKKNEELPVYTPPQVVW >KJB57049 pep chromosome:Graimondii2_0_v6:9:11330164:11334935:1 gene:B456_009G149200 transcript:KJB57049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRLPYSFPSTLPSFFPKILLPVPSFSISCSSKSTPNQLKNNDDNSNTDNPKKPKRLSEQSSWEAKDSEGRDYLYRLGKEADNMNIAVGARAGVIDDLFTGNFLGKDSDIVFDYRQKATRSFEYLQGDYYIAPVFLDKVACHIVKNYLAHLLNVKIPLILGVWGGKGQGKTFQTELIFQAMGIEPVIMSAGELESDRAGEPGKLIRERYRTASQVVQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSIGQDWRESDITNRVPIIVTGNDFSTIYAPLIRDGRMEKFYWQPTKEDIVNIVHRMYEKDDISKDEVVTIVETFPNQALDFYGALRSRTYDRSISKWIEDIGGIENLGNKLLGRKKNEELPVYTPPQVVW >KJB57052 pep chromosome:Graimondii2_0_v6:9:11332757:11334906:1 gene:B456_009G149200 transcript:KJB57052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIISRAFSINIYRYSVCCMFHIIVNDFLQLFLIACLFEFSELMQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSIGQDWRESDITNRVPIIVTGNDFSTIYAPLIRDGRMEKFYWQPTKEDIVNIVHRMYEKDDISKDEVVTIVETFPNQALDFYGALRSRTYDRSISKWIEDIGGIENLGNKLLGRKKNEELPVYTPPQQTVEALLESGYSLLREQQLIMDTKLSKEYMKNIDD >KJB57047 pep chromosome:Graimondii2_0_v6:9:11329963:11334935:1 gene:B456_009G149200 transcript:KJB57047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRLPYSFPSTLPSFFPKILLPVPSFSISCSSKSTPNQLKNNDDNSNTDNPKKPKRLSEQSSWEAKDSEGRDYLYRLGKEADNMNIAVGARAGVIDDLFTGNFLGKDSDIVFDYRQKATRSFEYLQGDYYIAPVFLDKVACHIVKNYLAHLLNVKIPLILGVWGGKGQGKTFQTELIFQAMGIEPVIMSAGELESDRAGEPGKLIRERYRTASQVVQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSIGQDWRESDITNRVPIIVTGNDFSTIYAPLIRDGRMEKFYWQPTKEDIVNIVHRMYEKDDISKDEVVTIVETFPNQALDFYGALRSRTYDRSISKWIEDIGGIENLGNKLLGRKKNEELPVYTPPQQTVEALLESGYSLLREQQLIMDTKLSKEYMKNIDD >KJB57048 pep chromosome:Graimondii2_0_v6:9:11330164:11333576:1 gene:B456_009G149200 transcript:KJB57048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRLPYSFPSTLPSFFPKILLPVPSFSISCSSKSTPNQLKNNDDNSNTDNPKKPKRLSEQSSWEAKDSEGRDYLYRLGKEADNMNIAVGARAGVIDDLFTGNFLGKDSDIVFDYRQKATRSFEYLQGDYYIAPVFLDKVACHIVKNYLAHLLNVKIPLILGVWGGKGQGKTFQTELIFQAMGIEPVIMSAGELESDRAGEPGKLIRERYRTASQVVQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSIGQDWRESDITNRVPIIVTGNDFSTIYAPLIRDGRMEKFYWYMILKLHSFLLPLWCFVDTIQSNGLVVVQRKKSSYSIII >KJB61786 pep chromosome:Graimondii2_0_v6:9:51664352:51665831:-1 gene:B456_009G380600 transcript:KJB61786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVVGIANCLGTPVCKYLQYHRKMNDYVRNFKRMRDELNCKMEDIELQVKAELLRPLRKIPKKVVENWLKDVKEMIREAQVVENKVRNGRYLCRACNGKLVDEKTREMKRFLDKAPNASKGLATDGPSAGLPLPTSELVGEEAVRNEIWACLMQEEVSKIGVWGMGGVGKTTIMKHIHNDLLKQQRFERVIWVTISKEFNVMKVQDDIAGALKLKEDWPREGDELRRAAILSEMLKNAGKHVLILDDVWDKVSLGEVGIPE >KJB61784 pep chromosome:Graimondii2_0_v6:9:51664352:51665146:-1 gene:B456_009G380600 transcript:KJB61784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVVGIANCLGTPVCKYLQYHRKMNDYVRNFKRMRDELNCKMEDIELQVKAELLRPLRKIPKKVVENWLKDVKEMIREAQVVENKVRNGRYLCRACNGKLVDEKTREMKRFLDKAPNASKGLATDGPSAGLPLPTSELVGEEAVRNEIWACLMQEEVSKIGVWGMGGVGKTTIMKHIHNDLLKQQRFERVIWVTISKEFNVMKVQDDIAGALKLKEDWPREGDELRRAAILSEMLKNAGKHVLILDDVWDKVSLGEVGIPE >KJB61785 pep chromosome:Graimondii2_0_v6:9:51664352:51665722:-1 gene:B456_009G380600 transcript:KJB61785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVVGIANCLGTPVCKYLQYHRKMNDYVRNFKRMRDELNCKMEDIELQVKAELLRPLRKIPKKVVENWLKDVKEMIREAQVVENKVRNGRYLCRACNGKLVDEKTREMKRFLDKAPNASKGLATDGPSAGLPLPTSELVGEEAVRNEIWACLMQEEVSKIGVWGMGGVGKTTIMKHIHNDLLKQQRFERVIWVTISKEFNVMKVQDDIAGALKLKEDWPREGDELRRAAILSEMLKNAGKHVLILDDVWDKVSLGEVGIPE >KJB54982 pep chromosome:Graimondii2_0_v6:9:4104292:4107892:1 gene:B456_009G056800 transcript:KJB54982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFINKLASSSFRVFFFSLLLVSSFHVFISVSGTFRDEASTRQKEPNALLKWKASLDNQSQSSLSSWDGNGHCNWTGIICDKSRRVHQLKLSRFGLKGKLHGFSFSSFPKLNVIDLSSNYLRGTIPSGVGNLSRLTYLDLSSNNLSGYIPFEIGKLRSISELYLESNILTGSIPPSIGNLTDLSFLYLHKNKLSGSIPQQIGMLKSLYKLALSDNNLVGSLPPSIGNLSNLSGLRLYNNKILGSIPKEIGMLGSLEGINLSNNSLSGEIPASIGNLTKVNSLYLSANNFHGSIPQEIGKMRSLIDLELAVANLSGLIPASIGNLKNLSYLYLHFNSLSGFIPSSIGNLTNLIDLFLHCNKLQGSIPWELGKLESLHQLILFNNSLSGFIPEEMNNLTSLVTFEVSENYLFGHLPQQVCLGGVLESFTAHDNYFTGPIPKSLKNCTSLHRVRLEHNQLIGNVSEDFGIYPNLDYLDLSGNKLIGELSSKWGQCHNLTNLRISNNNLSGELPSELGKATQLRVCDLSLNHLTGGIPKALGELKLLFNLMLNDNHLSGSIPPEMGMLSSLVHLNLAANNLNGSIPIWLRQCENLLELNLSVNRFGGGIPSEVGSLSFLQILDLSQNFLIGKIPKAVGNLKSLEKLNLSHNKLFGFFPSTFDDMLSLTSVDVSDNRLEGHLPDNKAFREASFEAFRNNKGLCGNITGLEVCSSKLSSNVDRKKNSNIVIATLIPILFTLLLVFVVFWILSSSKRRERNTENTPRVVASDSLFEICYDGKMMYKIIVEATEEFDSKYCIGVGGHGSIYKAQLSDGQIVAVKKLHQLPEGGVGDQKAFNREIWALTEIRHRNILKLHGFCSHPQHLILVYEFLEGGSLEKILRINEQAMEFDWIKRVNVVKGMANALAYMHHDCSPPIVHRDISSKNILLDSDYEAHVADFGTARLLKPDSSNWTSFKGTFGYTAPELAYTMQVNEKCDVFSFGVVTLETLMGRHPGDIISFLSSSVSSLTPSCSSSAPFNQLLLKALLDQRLPSPREQIAAEVVFVVKLASLCLHATPQSRPSMQQVSQELSTRNPPSVKQFHTITISQLFDSSCYTS >KJB60307 pep chromosome:Graimondii2_0_v6:9:26357935:26358546:1 gene:B456_009G299500 transcript:KJB60307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLRGIGVLESKILMLLNCHPRIFLYNPVQLKEIVEEKFLAAVHALTSMSKSTLEKKFDVYRRWGWSDQEIHEAFQRYPSCITASEDKIMAIMDFLVNKMGYNSTLIANNQVALFAQELLSQGLVNNLKLSVLFDTSEKVFIRMFVDHFINKAADLSKLYKEKLKISEKKLEGS >KJB54164 pep chromosome:Graimondii2_0_v6:9:1830221:1833812:-1 gene:B456_009G023800 transcript:KJB54164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSRQAVNFAAPRGFATAFKADCKETFFPDDPFHEMKHEKPLNKLKKTIQYFIPLFEWLPNYNLRLFRYDLLAGITITSLAIPQGISYAKLASIPPIYGLYSSFIPPFVYSIFGSSKHLAVGTVAACSLLLSETVGAKASPQDDPTLYLHLIFTATFFTGIFQTALGFLRLGILVDFLSHSTITGFMGGTAIIICLQQLKGMFGLIHFTTHTDVVSVVGAVFRHRNEWRWESAVVGIIFLAFLQFTRYLRQKRPKLFWVSAIAPMVVVVVGCLFAFFAHAEKHGIQIVGELKKGLNPPSIQYLNFDPQYLPVTVRAGLITGLIAMAEGIAIGRSFAIMKSEQTDGNKEMIAFGFMNIIGSFTSCYLTTGPFSKTAVNFNAGCRTAMSNVVMGFCMMLTLLFLAPLFRYTPLVALSAIIMSAMLGLINYDEMIHLFKVDKFDFVICMAAFLGVSFISMDVGLMLSVGLALLRALLYVARPAACKLGKIPNSSLYRDTEQYPGSTPIQGVLVLQLGSPIYFANSTYIRERVLRYIKEEQGVSDSKTDIIEHLLLDLSGVSSIDMTGIETFLELRRILDSKGIKLSIVNPRIEVLEKMTLAKFTDAIGKESFYLSIEDAVQNCRFSLHSSKTTMEEA >KJB54274 pep chromosome:Graimondii2_0_v6:9:2100271:2103537:1 gene:B456_009G027700 transcript:KJB54274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPTAGFLKIHFNALVLILLFSHASSQPHAAQEQAILINLKQYWQNPSSISHWTLSANSTNHCSWPEITCINNSVTALGLPNKNINGTIPSFICGLQNLASLDLNYNYIIGEFPKTLYNCSKLEYLDLSQNYFVGPIPHDINTLGRLQYLNLMVNNFSGEVPAAIGSLQELSTLLLVKNQFSGPLLLEISNLSKLEILGLADNSEFMPSKFPSSFTNLTKLKTLWMSGTNLTGEIPEIIGDMKALEALDLSKNALTGEIPKALFLLKNLKVVYLSNNKLFGEIPQVIQASNLTGIDLSQNSLTGRIPSDVGKLEKLSSLVLFDNQLSGEIPEGLGQISTLTDVRLFSNRLSGTLPQDLGRYSMLERFEVCSNRLTGMLPKHLCDGGKLLGVVAFDNNLTGELPTSLGNCDSLVMVDVRQNGLTGSIPSGLWTSLNLSKLMISDNMFTGELPRKVSDNLSRLEMSNNRFFGEIPVDVKLWRNLSVFNASNNFFSGTIPGELTALSSVQVLLLDRNQLHGSLPSDIISWNSLATLNLSQNQLSGQIPEAIGFLPSLNLLDLSKNQISGQIPPQLGQLKSSFINLSSNHLVGNIPKEFENGAYSNSFLNNPGLCAGTTNVNLRICGSQKNPNLSYPRILIWISSTAVLVLCLSVSFFLSEVCWQRNRVLDPEWELTAFQMLTFTIKSILSGLEGQKNKIGDGGSGEVYRVDITGNGDFVAVKKIRNKIKLERELEKEFQAEVMTLSRIKHLNIVKLMGCMSSKDSKLLVYEYMENGSLDLWLNKHRTSGIILDWPKRFRIAIGAAQGLCYMHHDCSPPIIHRDVKSSNILLDSEFNAKVADFGLAKMLIKGKPTTMSAVAGSIGYIAPEYAHTRRINEKVDVFSFGVILLELTTGRKPDQGDENRSLAEWAQRYYEQGNSIFDALAEGIKKPCHLNQMCNVFKLGLCCTRTLPPLRPSMRDVLQILVQSAHPLDYQSKSAEKEFDKAVSLQKLKDGPTFGPNNVYSV >KJB57337 pep chromosome:Graimondii2_0_v6:9:12151059:12153140:-1 gene:B456_009G158500 transcript:KJB57337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRRYLRQKKGIMEQPHQLEHLWTFWFDNPSAKSKHATWGSSMRAIYTFSTVEQFWSLYNNVHHPSKLAVGADFHCFKYKIEPKWEDPVCANGGKWSVTFHRGKSDRCWLYTLLALIGEQFRYGDEICGAVVNVRGRQEKIALWTKNATNETAQISIGKQWKELLGHKETIGFIFHEDAKRERGAKNRYTV >KJB57697 pep chromosome:Graimondii2_0_v6:9:13625573:13627719:-1 gene:B456_009G176100 transcript:KJB57697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQDLLRWVSLIFLISQGQSHSTQKHPILDDNLTIESFINKTLQWRKNTLHLLKLQGNRLELSTSTVVAAVLSFVAASISSAGGIGGGGLFIPILTLVGCLDLKTASSFSAFMVTGGSVANVMFNLGAQSAMFGGKSLIDYDIALLSEPFMLLGVSVGIVCNLVLPEWLITIMFAVFLVWSTIKTCGNGVRLWKKESGRHLGTLDGYGELENGESGEVKSLKEPLKLMVLIMVWFSFFAIYLLRGNRHGQGVIPLKPCGIGYWSLSLLQVPLAIAFTAWILRRKESIAGQGPNQQGVVNKLIFPLMALMAGALGGVFGIGGGMLISPLLLQVGVAPEVTAATCSFMVFFSSTMSAFQYLLLGMEHTGTALIFSVICFIASLLGLVVVQKAIERFGRASPIVFSVGTVMALSAILMTTFGALDVWDD >KJB62098 pep chromosome:Graimondii2_0_v6:9:57808384:57808761:-1 gene:B456_009G400900 transcript:KJB62098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKKMGSLKKLAKKVKGMGGGGGEQPHYECLLRENEQMSPSASTPSGFFAVYVGEAEERFVVPTSFLSHPLFKMLLEKSYNEYGFEQRNKLIVPCTVSTFQDVVNAVECCNGKFDFGKLVEELL >KJB61074 pep chromosome:Graimondii2_0_v6:9:37436656:37440358:-1 gene:B456_009G338700 transcript:KJB61074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNQADSTADDLEPLFDYRRVQPLNIVCLDDDCSDTSPVPSPKRRKFANPDVAEVDLDKDVEVIKVVNVEEEDWLAPPPVVSTKAYSKIGEDSTIKELRRRKQELLSFAQSAKIMLQEVEESAKQEPSGSSKPSLDAVAEQPKNPAPERAKIVISIQNKDEIKQFRIYMDDKFEKLFSLYANRAKLDLQSLVFSFDGDKINLAATPASLGMEDDDIIEVHEKKS >KJB61075 pep chromosome:Graimondii2_0_v6:9:37435895:37440487:-1 gene:B456_009G338700 transcript:KJB61075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNQADSTADDLEPLFDYRRVQPLNIVCLDDDCSDTSPVPSPKRRKFANPDVAEVDLDKDVEVIKVVNVEEEDWLAPPPVVSTKAYSKIGEDSTIKELRRRKQELLSFAQSAKIMLQEVEESAKQEPSGSSKPSLDAVAEQPKNPAPERAKIVISIQNKDEIKQFRIYMDDKFEKLFSLYANRAKLDLQSLVFSFDGDKINLAATPASLGMEDDDIIEVHEKKS >KJB61073 pep chromosome:Graimondii2_0_v6:9:37436584:37440442:-1 gene:B456_009G338700 transcript:KJB61073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNQADSTADDLEPLFDYRRVQPLNIVCLDDDCSDTSPVPSPKRRKFANPDVAEVDLDKDVEVIKVVNVEEEDWLAPPPVVSTKAYSKIGEDSTIKELRRRKQELLSFAQSAKIMLQEVEESAKQEPSGSSKPSLDAVAEQPKNPAPERAKIVISIQNKDEIKQFRIYMDDKFEKLFSLYANRAKLDLQSLVFSFDGDKINLAATPASLGMEDDDIIEVHEKKS >KJB60923 pep chromosome:Graimondii2_0_v6:9:34257738:34258313:1 gene:B456_009G331100 transcript:KJB60923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVSIVYKTQNPKDFISDHAIVNFLVDGFTGQLKGWWNHALPKTQQEEILKAIKKDDQGKIILDEKGREIQYTVATLIFSFSKHFIEDPSHPKDRNSRLLSNLKCKKIKDFKWYKNVFMTRVVHRSDNQQPFWKENFLAGLPSLLREKVRNQIKENYKGIIPYEKLTYGELISFTQKERLKICQDLKSQK >KJB61624 pep chromosome:Graimondii2_0_v6:9:49959311:49964474:-1 gene:B456_009G370900 transcript:KJB61624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARNLNSDQFALLEFKDRIAGPQNVLANSWTASTSVCNWIGVSCGILHKRVIALNLASMNLSGTISPHLGNLSFLLSLDLSSNNFYGHLPKELGQLHRLRIIRLSYNRLNGEIPSWLGNLHRVRRLQMENNNISGTIPQTLVNINSLSGSLPNDMCRHLPKLEVLHLSLNRLSGSIPSSLGKCNNLKYFSLYFNQFTGIIPKSIGNLTRLEELDLGLNNLEGSLPNALCHLCPKLEGLYLDANELSGNIPSSIGECYKLQMLSLLEIKFSGLIPKSIFNSTMLEGIYLYDNNLEGTLPPMIEAPKLNVLSLHRNKLRGNIPNSILNASMLKLLDLGDNFFSGPIPETFGNLRHLEWFRIANNYLTMGSTINHEWTFLSSLTNCKNLTRVDISENPLNGTLPTNIGNLSASLIYFYAIDCELKGNIPIEIGCFPNFPSTSFMKNYALCGPPRLLVPPCKNDIRKNSKMGVLHDLSGFEEHNMLGSGSFGYVYKGRLSDGMEVATKVFNLQIEGAFRSFDIECEAMRNIVHRNLVKVITCCSNVDFKALVLDFMSNGNLEKWLHSNSCFLDIIQRINIMVDVAAALEYLHTGHPTPIIHCDLKPSNILLDEDMVAHVGDFGIAKLLGEGDVVKQTMTLATISYMAPEFGSTGIVSIKCDIYSYGIVLIETFTRKKPTDEIFGDEMTIRHWVKRLLSEGMIDIVDADLLRREDENFVIKANCISSIMELALDCSAELPEERKDMKDVVVELKKIKQRFLNIIER >KJB62671 pep chromosome:Graimondii2_0_v6:9:67404328:67408521:-1 gene:B456_009G4293001 transcript:KJB62671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLWWSTLFFFFIFKASTLKIITPGDFIKDGGETLESENGTFEMGFFSPGNSNNRYVGIWYKFSNTTVVWVANREAPVSDNNGVLSFDNNGILTLFNETNGVVWYTNPNTSRTPHEPVLQLFDSGNLVVKEKNEDDSKNFFWESFDFPSDNLLPGMKIGINLITGFEYYISSWKSSDDPSQGQYSLRIDPHGQPQVVLKKGLETVYRAGSWDGHYLSARKPDDNPIPLYSYNFVINENEIYFKSELKNSSFISRYTMDPSGLMQRFIWNQMKNEWQVYSTAQADGCSTYGLCGSYASCKSGRFPLCSCLEGFKPKSSMNTSDGCSRTTELGCSGDGFLKQRRLALPDTSKSWANGSMNLKECEEFCVKNCACTAYANLDVTKGSGCLVWLDELIDITEFSQDVQPLYIRMPISELDKIQRKMENKKAVIIAISIIVAMGSMVTLFLLYKLKKNLSSKGKTKEKMEMQIFDFATIANATNNFSSNNKLGQGGFGNVYKGVLKEGKEIAVKRLSKDSGQGFDEFKNEVTLIVKLQHRNLVKLFGCCIQGDERLLIYEYLPNKSLDNFIF >KJB62335 pep chromosome:Graimondii2_0_v6:9:62704987:62710937:-1 gene:B456_009G412600 transcript:KJB62335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSYLRYEPAAFFGVIASVESNITYDSSGKHLLAPALEKLGIWHVRQGVCTKTLSPSLSSRAPSLAVTCVASAPSSLVASGYADGSIRIWDCDKGSCETTLNGHKGAVTALRYNKVGSLLASGSKDNDVILWDVVGETGLFRLRGHRDQITDLVFLDSGKKLVSSSKDKFLRVWDLETQHCMQIISGHHSEIWSIDVDPDERYLVTGSADLELRFYNVKHELMNGESMANETGPETGNDGESATQNKWEVLKPFGEIQRQSKDRVATVRFSKSGNLLACQVAGKTVEIFRVLDEVESKRKAKRRLNRKKEKKSAKMGVEATDNVEANHGTEEAGNVLVVTVPDVFKLLQTIRASKKICSISFCPVTPKSSLASLALSLNNNLLEFYSIESGSSTKTLAIELQGHRSDVRSVTLSSDNTLLMSTSHNAVKIWNPSTGSCLRTIDSGYGLCGLIVPHKKYAMVGTRDGKIEIIDIGSGTLSEVVEAHGGSIRSIATIPNGNGFVTGSADHDVKFWGYQIKQRPSQDSKCLTISNVRTMKMNDDVLVVAVSPDAKYVAVALLDCTVKILFMDSLKFFLSLYGHKLPVLCMDISSDGDLIVTGSADKNLRIWGLDFGDCHKSIFAHADSVMAVQFVRNTHYMFSVGKDRLVKYWDADKFELLLTLEGHHADVWCLAVSNRGDFVVTGSHDRSIRRWDRTEEPFFIEEEKEKRLEEIFEADIDNTFEDKHIPKEELPEEGAVALAGKRTLETLTATDSIIDALDVAEVELKRIAEHEDEKARGKVAEFQPNMIMLGLSPSDYVLRALSNISTNDLEQTLLALPFSDALKLLSYTKDWTSNPDKVELACRIVTLLLQIHHSQLISTPSARPVLAALKEIIYAGVKECKDTLGFNLAAMDHLKQLMASRSDALFRDAKSKLLEIRSQQSKRLEARTETKTENKKKKKQKK >KJB62338 pep chromosome:Graimondii2_0_v6:9:62706882:62710864:-1 gene:B456_009G412600 transcript:KJB62338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSYLRYEPAAFFGVIASVESNITYDSSGKHLLAPALEKLGIWHVRQGVCTKTLSPSLSSRAPSLAVTCVASAPSSLVASGYADGSIRIWDCDKGSCETTLNGHKGAVTALRYNKVGSLLASGSKDNDVILWDVVGETGLFRLRGHRDQITDLVFLDSGKKLVSSSKDKFLRVWDLETQHCMQIISGHHSEIWSIDVDPDERYLVTGSADLELRFYNVKHELMNGESMANETGPETGNDGESATQNKWEVLKPFGEIQRQSKDRVATVRFSKSGNLLACQVAGKTVEIFRVLDEVESKRKAKRRLNRKKEKKSAKMGVEATDNVEANHGTEEAGNVLVVTVPDVFKLLQTIRASKKICSISFCPVTPKSSLASLALSLNNNLLEFYSIESGSSTKTLAIELQGHRSDVRSVTLSSDNTLLMSTSHNAVKIWNPSTGSCLRTIDSGYGLCGLIVPHKKYAMVGTRDGKIEIIDIGSGTLSEVVEAHGGSIRSIATIPNGNGFVTGSADHDVKFWGYQIKQRPSQDSKCLTISNVRTMKMNDDVLVVAVSPDAKYVAVALLDCTVKILFMDSLKFFLSLYGHKLPVLCMDISSDGDLIVTGSADKNLRIWGLDFGDCHKSIFAHADSVMAVQFVRNTHYMFSVGKDRLVKYWDADKFELLLTLEGHHADVWCLAVSNRGDFVVTGSHDRSIRRWDRTEEPFFIEEEKEKRLEEIFEADIDNTFEDKHIPKEELPEEGAVALAGKRTLETLTATDSIIDALDVAEVELKRIAEHEVFFTYLVHLF >KJB62336 pep chromosome:Graimondii2_0_v6:9:62706166:62710864:-1 gene:B456_009G412600 transcript:KJB62336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSYLRYEPAAFFGVIASVESNITYDSSGKHLLAPALEKLGIWHVRQGVCTKTLSPSLSSRAPSLAVTCVASAPSSLVASGYADGSIRIWDCDKGSCETTLNGHKGAVTALRYNKVGSLLASGSKDNDVILWDVVGETGLFRLRGHRDQITDLVFLDSGKKLVSSSKDKFLRVWDLETQHCMQIISGHHSEIWSIDVDPDERYLVTGSADLELRFYNVKHELMNGESMANETGPETGNDGESATQNKWEVLKPFGEIQRQSKDRVATVRFSKSGNLLACQVAGKTVEIFRVLDEVESKRKAKRRLNRKKEKKSAKMGVEATDNVEANHGTEEAGNVLVVTVPDVFKLLQTIRASKKICSISFCPVTPKSSLASLALSLNNNLLEFYSIESGSSTKTLAIELQGHRSDVRSVTLSSDNTLLMSTSHNAVKIWNPSTGSCLRTIDSGYGLCGLIVPHKKYAMVGTRDGKIEIIDIGSGTLSEVVEAHGGSIRSIATIPNGNGFVTGSADHDVKFWGYQIKQRPSQDSKCLTISNVRTMKMNDDVLVVAVSPDAKYVAVALLDCTVKILFMDSLKFFLSLYGHKLPVLCMDISSDGDLIVTGSADKNLRIWGLDFGDCHKSIFAHADSVMAVQFVRNTHYMFSVGKDRLVKYWDADKFELLLTLEGHHADVWCLAVSNRGDFVVTGSHDRSIRRWDRTEEPFFIEEEKEKRLEEIFEADIDNTFEDKHIPKEELPEEGAVALAGKRTLETLTATDSIIDALDVAEVELKRIAEHEDEKARGKVAEFQPNMIMLGLSPSDYVLRALSNISTNDLEQTLLALPFSDALKLLSYTKDWTSNPDKVGHLAL >KJB62339 pep chromosome:Graimondii2_0_v6:9:62707220:62710864:-1 gene:B456_009G412600 transcript:KJB62339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSYLRYEPAAFFGVIASVESNITYDSSGKHLLAPALEKLGIWHVRQGVCTKTLSPSLSSRAPSLAVTCVASAPSSLVASGYADGSIRIWDCDKGSCETTLNGHKGAVTALRYNKVGSLLASGSKDNDVILWDVVGETGLFRLRGHRDQITDLVFLDSGKKLVSSSKDKFLRVWDLETQHCMQIISGHHSEIWSIDVDPDERYLVTGSADLELRFYNVKHELMNGESMANETGPETGNDGESATQNKWEVLKPFGEIQRQSKDRVATVRFSKSGNLLACQVAGKTVEIFRVLDEVESKRKAKRRLNRKKEKKSAKMGVEATDNVEANHGTEEAGNVLVVTVPDVFKLLQTIRASKKICSISFCPVTPKSSLASLALSLNNNLLEFYSIESGSSTKTLAIELQGHRSDVRSVTLSSDNTLLMSTSHNAVKIWNPSTGSCLRTIDSGYGLCGLIVPHKKYAMVGTRDGKIEIIDIGSGTLSEVVEAHGGSIRSIATIPNGNGFVTGSADHDVKFWGYQIKQRPSQDSKCLTISNVRTMKMNDDVLVVAVSPDAKYVAVALLDCTVKILFMDSLKFFLSLYGHKLPVLCMDISSDGDLIVTGSADKNLRIWGLDFGDCHKSIFAHADSVMAVQFVRNTHYMFSVGKDRLVKYWDADKFELLLTLEGHHADVWCLAVSNRGDFVVTGSHDRSIRRWDRTEEPFFIEVLSNVFAVYRK >KJB62337 pep chromosome:Graimondii2_0_v6:9:62706423:62710864:-1 gene:B456_009G412600 transcript:KJB62337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSYLRYEPAAFFGVIASVESNITYDSSGKHLLAPALEKLGIWHVRQGVCTKTLSPSLSSRAPSLAVTCVASAPSSLVASGYADGSIRIWDCDKGSCETTLNGHKGAVTALRYNKVGSLLASGSKDNDVILWDVVGETGLFRLRGHRDQITDLVFLDSGKKLVSSSKDKFLRVWDLETQHCMQIISGHHSEIWSIDVDPDERYLVTGSADLELRFYNVKHELMNGESMANETGPETGNDGESATQNKWEVLKPFGEIQRQSKDRVATVRFSKSGNLLACQVAGKTVEIFRVLDEVESKRKAKRRLNRKKEKKSAKMGVEATDNVEANHGTEEAGNVLVVTVPDVFKLLQTIRASKKICSISFCPVTPKSSLASLALSLNNNLLEFYSIESGSSTKTLAIELQGHRSDVRSVTLSSDNTLLMSTSHNAVKIWNPSTGSCLRTIDSGYGLCGLIVPHKKYAMVGTRDGKIEIIDIGSGTLSEVVEAHGGSIRSIATIPNGNGFVTGSADHDVKFWGYQIKQRPSQDSKCLTISNVRTMKMNDDVLVVAVSPDAKYVAVALLDCTVKILFMDSLKFFLSLYGHKLPVLCMDISSDGDLIVTGSADKNLRIWGLDFGDCHKSIFAHADSVMAVQFVRNTHYMFSVGKDRLVKYWDADKFELLLTLEGHHADVWCLAVSNRGDFVVTGSHDRSIRRWDRTEEPFFIEEEKEKRLEEIFEADIDNTFEDKHIPKEELPEEGAVALAGKRTLETLTATDSIIDALDVAEVELKRIAEHEDEKARGKVAEFQPNMIMLGLSPSDYVLRALSNISTNDLEQTLLDQIPRIC >KJB55164 pep chromosome:Graimondii2_0_v6:9:4732497:4734460:-1 gene:B456_009G0664001 transcript:KJB55164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFLGRPYLDSYSPTADEQYAVNVVELPGGIKKTLFLLEIHEDGVSKLLSSKESLAPCDIAVFVYDSSDESSWKRATELLMDVAGDGEDTGYEVPCFIVAAKDDLDSFPMAIQNSTRVSQDMGIEAPIPISSKLSDFNNIFRRIVNAAEHPHLSIPETEAGRSRKQYHRLINRSLIVVS >KJB57100 pep chromosome:Graimondii2_0_v6:9:11252424:11254364:-1 gene:B456_009G148100 transcript:KJB57100 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL60 [Source:Projected from Arabidopsis thaliana (AT1G53820) UniProtKB/Swiss-Prot;Acc:P0C035] MDKPGFNESAAVAITGKIMIIAIIILFVVVVFVLFLHLYAKWFWWRIEEPTPPSASRRRRRFVFAPGQDTAHPLRATKGLDPAILASLPVLMFRQEDFKDGLECAVCLCEVVEGEKARLLPKCNHGFHVECIDMWFKSHATCPLCRNSVVNAEAENSSSVMSRDEAVNAHHLQSPSHDGLGSGYSTDSPSFPTNVLFWGDHQGQVSSGGANVEEGSSASASASASGAFASSSVASGSGRQEGMLVIDIPTNVNENFPEEESKSPMPTRLRSLKRLLSREKRTIPSSSGSSSIDV >KJB56348 pep chromosome:Graimondii2_0_v6:9:8532137:8533782:1 gene:B456_009G116200 transcript:KJB56348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYIGHYPMLAYFAVAENESIGRERYNFMQVNNILSFCLVF >KJB56347 pep chromosome:Graimondii2_0_v6:9:8532009:8533791:1 gene:B456_009G116200 transcript:KJB56347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYIGHYPMLAYFAVAENESIGRERYNFMQKMLLPCGLPPEREDD >KJB61121 pep chromosome:Graimondii2_0_v6:9:39943427:39952496:-1 gene:B456_009G341900 transcript:KJB61121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHLISFCTTLAPPPSLVPATVTIFYTLSQVTTETMTVIIPSLPHAHLSAPPLPMSILRRLPFHSLTPCHYRPRRRFATLAAVRQDTTVWTPTPISSIETAAESLFHISIDVSSSPDLAASHTLPGQYLQLRLPDVEKPSFLAIASPPSLAASSGAFEFLVKSVAGSTAELLCGLKKGDVVELSQAMGNGFNIDKIDPPEDYPTVLIFATGSGISPIRSLIESGFGADKRSDVRLYYGARNLRRMAYQV >KJB61120 pep chromosome:Graimondii2_0_v6:9:39943381:39952527:-1 gene:B456_009G341900 transcript:KJB61120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFMKRHLISFCTTLAPPPSLVPATVTIFYTLSQVTTETMTVIIPSLPHAHLSAPPLPMSILRRLPFHSLTPCHYRPRRRFATLAAVRQDTTVWTPTPISSIETAAESLFHISIDVSSSPDLAASHTLPGQYLQLRLPDVEKPSFLAIASPPSLAASSGAFEFLVKSVAGSTAELLCGLKKGDVVELSQAMGNGFNIDKIDPPEDYPTVLIFATGSGISPIRSLIESGFGADKRSDVRLYYGARNLRRMAYQDRFKEWESSGVKVVPVLSQPDDSWTGESGYVQAAFSRAKQIHSPKGTGAVLCGQRQMTEEVTSILVADGVSSEKILKNF >KJB60019 pep chromosome:Graimondii2_0_v6:9:24329151:24333376:1 gene:B456_009G286100 transcript:KJB60019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPANKAERKAVVDASAWMFNVVTSVGIIIVNKALMATYGFSFATTLTGLHFATTTLMTAVLRWLGYIQSSHLPMAELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVMDKIRYSRDTKLSIAVVLLGVGVCTVTDVSVNTKGFIAAFIAVWSTSLQQYYVHHLQRRYNLSSFNLLGHTAPAQAGTLLLLGPFLDYWLTSQRVDAYNYNIVSIMFILLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGKEGLNLHVVLGMIIAVAGMIWYGNASSKPGGKERRSLSLPTIRQQKPSNLSDSNEHDSKV >KJB60022 pep chromosome:Graimondii2_0_v6:9:24329083:24333376:1 gene:B456_009G286100 transcript:KJB60022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPANKAERKAVVDASAWMFNVVTSVGIIIVNKALMATYGFSFATTLTGLHFATTTLMTAVLRWLGYIQSSHLPMAELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVMDKIRYSRDTKLSIAVVLLGVGVCTVTDVSVNTKGFIAAFIAVWSTSLQQYYVHHLQRRYNLSSFNLLGHTAPAQAGTLLLLGPFLDYWLTSQRVDAYNYNIVSIMFILLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGKEGLNLHVVLGMIIAVAGMIWYGNASSKPGGKERRSLSLPTIRQQKPSNLSDSNEHDSKV >KJB60021 pep chromosome:Graimondii2_0_v6:9:24329392:24333241:1 gene:B456_009G286100 transcript:KJB60021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPANKAERKAVVDASAWMFNVVTSVGIIIVNKALMATYGFSFATTLTGLHFATTTLMTAVLRWLGYIQSSHLPMAELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVMDKIRYSRDTKLSIAVVLLGVGVCTVTDVSVNTKGFIAAFIAVWSTSLQQYYVHHLQRRYNLSSFNLLGHTAPAQAGTLLLLGPFLDYWLTSQRVDAYNYNIVSIMFILLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGKEGLNLHVVLGMIIAVAGMIWYGNASSKPGGKERRSLSLPTIRQQKPSNLSDSNEHDSKV >KJB60020 pep chromosome:Graimondii2_0_v6:9:24330059:24333376:1 gene:B456_009G286100 transcript:KJB60020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPANKAERKAVVDASAWMFNVVTSVGIIIVNKALMATYGFSFATTLTGLHFATTTLMTAVLRWLGYIQSSHLPMAELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVMDKIRYSRDTKLSIAVVLLGVGVCTVTDVSVNTKGFIAAFIAVWSTSLQQYYVHHLQRRYNLSSFNLLGHTAPAQAGTLLLLGPFLDYWLTSQRVDAYNYNIVSIMFILLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGKEGLNLHVVLGMIIAVAGMIWYGNASSKPGGKERRSLSLPTIRQQKPSNLSDSNEHDSKV >KJB61752 pep chromosome:Graimondii2_0_v6:9:51233233:51234873:-1 gene:B456_009G377900 transcript:KJB61752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPVRGKGKTDHRFDNVDHSLSLFNKMIEKYPKPSIVEFNKLLGAIVKMKHYAIVVSKYRQIELLGVSHGVYSMNILTNCFCQLGRIDFGFSVLGKMLNLGVEPDVVTFSTLINGLCNQSMISEAVCIAVRFLRLMESRGYEPDIVAYNTILDCLCKNGLLKEALDFFSEVKVKGIRPDISTYNCLIHGMCNLGQQEEATRLLSEMVDNNISLDIVTYNTLVDALCKEGTISKAVETVDTMIKRGIEPNVVTYGALVNGHCLQKETDKARRVFNLMIEKGCAPCIVTYNTMINGYCKCKRLDEAMELFHEISRKGPIPDTVTYSTLMQSMFQLGKVSTACELFRKMLASGQVPNIVTCLILLDGLCKTGHIKEALKLFQAMQNSGLELDIVPYTILIDGFCNAGHIEVAKELFHQLSNNGLKPNVYTYCVMISRLCKEGLPDEAYRLFGSMGDNDCLPNSCCYNVMIRGFLLNSYTSKATQLLTEMVGKGFSADIFTATLFMELIIYSKKSILL >KJB61751 pep chromosome:Graimondii2_0_v6:9:51232918:51235155:-1 gene:B456_009G377900 transcript:KJB61751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVLCKTGNTGRAVRFLRLMESRGYEPDIVAYNTILDCLCKNGLLKEALDFFSEVKVKGIRPDISTYNCLIHGMCNLGQQEEATRLLSEMVDNNISLDIVTYNTLVDALCKEGTISKAVETVDTMIKRGIEPNVVTYGALVNGHCLQKETDKARRVFNLMIEKGCAPCIVTYNTMINGYCKCKRLDEAMELFHEISRKGPIPDTVTYSTLMQSMFQLGKVSTACELFRKMLASGQVPNIVTCLILLDGLCKTGHIKEALKLFQAMQNSGLELDIVPYTILIDGFCNAGHIEVAKELFHQLSNNGLKPNVYTYCVMISRLCKEGLPDEAYRLFGSMGDNDCLPNSCCYNVMIRGFLLNSYTSKATQLLTEMVGKGFSADIFTATLFMELIIYSKKSILL >KJB57303 pep chromosome:Graimondii2_0_v6:9:12083389:12083860:1 gene:B456_009G157500 transcript:KJB57303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLSLIDSHSLPSFNSGRGKTRQVAKQAYEYLSDVAFSFPQSTFPSPPSQSLLFCLPNYHFRDLQTIPQKPSQKSFFLPSKRFLPSFSSKPIPKNHSFSFKTNEFSSLPSLSVSSPSSRIFYFLQIQ >KJB57827 pep chromosome:Graimondii2_0_v6:9:14041519:14042055:-1 gene:B456_009G182400 transcript:KJB57827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVDRERRRWRSFKERLGFKVMGCCGTAWGFGSTEMSVTDDGIVGAEGRVDPGHNPDPGCINPAPVSSGMNLAEALAAERHFRAVPAREGGDVGIRAPGTPIRVSLMRLLEEEEEEGKGGAQIGNDSTCCVCMGRKKGAAFIPCGHTFCRMCSRELWLNRGRCPLCNRSILEILDIF >KJB57826 pep chromosome:Graimondii2_0_v6:9:14041235:14042569:-1 gene:B456_009G182400 transcript:KJB57826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVDRERRRWRSFKERLGFKVMGCCGTAWGFGSTEMSVTDDGIVGAEGRVDPGHNPDPGCINPAPVSSGMNLAEALAAERHFRAVPAREGGDVGIRAPGTPIRVSLMRLLEEEEEEGKGGAQIGNDSTCCVCMGRKKGAAFIPCGHTFCRMCSRELWLNRGRCPLCNRSILEILDIF >KJB57825 pep chromosome:Graimondii2_0_v6:9:14041235:14042504:-1 gene:B456_009G182400 transcript:KJB57825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVDRERRRWRSFKERLGFKVMGCCGTAWGFGSTEMSVTDDGIVGAEGRVDPGHNPDPGCINPAPVSSGMNLAEALAAERHFRAVPAREGGDVGIRAPGTPIRVSLMRLLEEEEEEGKGGAQIGNDSTCCVCMGRKKGAAFIPCGHTFCRMCSRELWLNRGRCPLCNRSILEILDIF >KJB58928 pep chromosome:Graimondii2_0_v6:9:18204059:18206740:1 gene:B456_009G231000 transcript:KJB58928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMVPDNLSRDQYVYLAKLAEQAERYEEMVQFMQKLVLGSTPASELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEEHVVLVKEYRSKVESELSDVCASILTLLDSNLIPSAAASESKVFYLKMKGDYHRYLAEFKVGDERKAAAEDTMLSYKAAQDIALTDLAPTHPIRLGLALNYSVFYYEILNQSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQDQLDEP >KJB58926 pep chromosome:Graimondii2_0_v6:9:18204059:18206298:1 gene:B456_009G231000 transcript:KJB58926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMVPDNLSRDQYVYLAKLAEQAERYEEMVQFMQKLVLGSTPASELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEEHVVLVKEYRSKVESELSDVCASILTLLDSNLIPSAAASESKVFYLKMKGDYHRYLAEFKVGDERKAAAEDTMLSYKAAQDIALTDLAPTHPIRLGLALNYSVFYYEILNQSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQVIVLSLSHRHTYTHLKTMFIGILTH >KJB58927 pep chromosome:Graimondii2_0_v6:9:18204059:18206704:1 gene:B456_009G231000 transcript:KJB58927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMVPDNLSRDQYVYLAKLAEQAERYEEMVQFMQKLVLGSTPASELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEEHVVLVKEYRSKVESELSDVCASILTLLDSNLIPSAAASESKVFYLKMKGDYHRYLAEFKVGDERKAAAEDTMLSYKAAQDIALTDLAPTHPIRLGLALNYSVFYYEILNQSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQVIVLSLSHRHTTS >KJB59661 pep chromosome:Graimondii2_0_v6:9:22067922:22071326:-1 gene:B456_009G266100 transcript:KJB59661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSGVLSFIQTYSRQSCSKTRDCFGVIMGCICSKGAKDESINDNEKDKDVNNRSNKESVQLVAPTPTKKEDLLVARGGNDGSIRRPSKVRLSVDIANSPARADAELVAAGWPSWLASVAGEAVRGWVPRRIESFEKLEKIGQGTYSSVYRARDLETGKIVAMKKVRFVNVEPESVRFMAREITILRKLDHPNVMKLECIVTSRISCSLYLVFEYMDHDLAGLAGNPGIKFKEQQIKCYMQQLFLGLEHCHSRGVLHRDIKGSNLLIDNNGVLKIADFGLATVFKADQKQPLTSRVVTLWYRAPELLLGATHYGVAIDLWSAGCILAELFAGKPIMPGRTEVEQMHKIFKLCGSPSEEYWQKTKLPHATSFKPQQPYKRLIADTFRNLPQSALSLIDKLLAIEPEVRGSATSALRSEFFTTEPFPCTPSELPKYPPSKEQDAKQRNEEARRKRAEAMKGRGAESVSRGSRDLKEARSPEFIAKGQSKPGATHKYDHPSASVLDPCKVNLQNGISSSSSMIHPSAVDSWNKTGGTRNISELRKQNTMKPQVANTCYTKYDRASNKDQASVYTAKKNRIHCSGPLMPPGGNIEDILKEHERQIQQAVRKARIEK >KJB58878 pep chromosome:Graimondii2_0_v6:9:18045924:18054430:-1 gene:B456_009G229600 transcript:KJB58878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIPCLDVDAVDGDCSCDVTTPVASLGSDEKDCILKEGNPKLNVSPESKSTHSEQQADLVKDSHEPRCTFTDMEPRDSCPEVPSPGKRQLNCALSNSPLINKPVDMASDANESMAERSPTSPSSDVAEDDVSLNGDMSDHCFGDIPMDNLERTVVICPDYVLYQNDYHTGVSVIFSPSGVKIEGSTVSEHQRTFSFESTIDDIISINCRWFQRVGYMTLKMKVLSKVAIEAENACDTSAYEELKFTVIDPRWSEKHAAIMSLNFQYQALWNIMPDPLVEMDGDDSLVQRSYFPNFDEPFEEVIYPKGDIDAVSISKRDVDLLLPETFVNDTIIDFYIKYLKNQIQPEERLRFHFFNSFFFRKLADLDKDPSSISDGRAAFLRVRKWTRKLDMFGKDYIFIPVNFSLHWSLIVICHPGEVAGFKDEDLDKSSKVPCILHMDSIKGNHAGLKNLVQSYLWEEWKERHKETSEDLSSKFLNLRFVSLELPQQENSFDCGLFLLHYLELFLAEAPPNFNPFKITKFSNFLNLDWFLPTEASLKRTLIQKLIFELLEIRSQEMSSSDCSEEPHSSRFPEEIGNGGVEFVSKSVSPEVACHGNLNPQASQGIEMTLLASSSMRNVETVNDSGLVLREFFEPGVTAGSLLGQFQSFDQQPSYYNMNGAVSPREQEDVQTGQQFVYLASGETSFPQFTGITSQACEVPYSSSGFVMGSSWNPGISLIGGNKVDTSHETSCTSDDDDDIGIIENNPIEDSVVLIEKRDTDQEQSESVENVDCLKKGFMPASIEVLETSITDVPGASEDTDKIHDKTGDADLPSKAHSSVVLHQNPGAAVNELDVDPEMVENMESQDNKTVSSDQTLGTVLNQLDRDSNLIENEASCDEVQTIIDDLPSKDNSMLLSDQNPSMVDATIESRF >KJB58877 pep chromosome:Graimondii2_0_v6:9:18046221:18054167:-1 gene:B456_009G229600 transcript:KJB58877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIPCLDVDAVDGDCSCDVTTPVASLGSDEKDCILKEGNPKLNVSPESKSTHSEQQADLVKDSHEPRCTFTDMEPRDSCPEVPSPGKRQLNCALSNSPLINKPVDMASDANESMAERSPTSPSSDVAEDDVSLNGDMSDHCFGDIPMDNLERTVVICPDYVLYQNDYHTGVSVIFSPSGVKIEGSTVSEHQRTFSFESTIDDIISINCRWFQRVGYMTLKMKVLSKVAIEAENACDTSAYEELKFTVIDPRWSEKHAAIMSLNFQYQALWNIMPDPLVEMDGDDSLVQRSYFPNFDEPFEEVIYPKGDIDAVSISKRDVDLLLPETFVNDTIIDFYIKYLKNQIQPEERLRFHFFNSFFFRKLADLDKDPSSISDGRAAFLRVRKWTRKLDMFGKDYIFIPVNFSLHWSLIVICHPGEVAGFKDEDLDKSSKVPCILHMDSIKGNHAGLKNLVQSYLWEEWKERHKETSEDLSSKFLNLRFVSLELPQQENSFDCGLFLLHYLELFLAEAPPNFNPFKITKFSNFLNLDWFLPTEASLKRTLIQKLIFELLEIRSQEMSSSDCSEEPHSSRFPEEIGNGGVEFVSKSVSPEVACHGNLNPQASQGIEMTLLASSSMRNVETVNDSGLVLREFFEPGVTAGSLLGQFQSFDQQPSYYNMNGAVSPREQEDVQTGQQFVYLASGETSFPQFTGITSQACEVPYSSSGFVMGSSWNPGISLIGGNKVDTSHETSCTSDDDDDIGIIENNPIEDSVVLIEKRDTDQEQSESVENVDCLKKGFMPASIEVLETSITDVPGASEDTDKIHDKTGDADLPSKAHSSVVLHQNPGAAVNELDVDPEMVENMESQDNKTVSSDQTLGTVLNQLDRDSNLIENEASCDEVQTIIDDLPSKDNSMLLSDQNPSMVAEALNQDSEVAENKEAIVNDSLAELSEQPAAKRMQQLNQDSEVAENKEAIVNDSLAELSEQPAAKRMQQLNQDSEVAENKEAIIVNDSLAELSEQPAAKRMRLSPSLEEEVDS >KJB58880 pep chromosome:Graimondii2_0_v6:9:18045904:18055388:-1 gene:B456_009G229600 transcript:KJB58880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIPCLDVDAVDGDCSCDVTTPVASLGSDEKDCILKEGNPKLNVSPESKSTHSEQQADLVKDSHEPRCTFTDMEPRDSCPEVPSPGKRQLNCALSNSPLINKPVDMASDANESMAERSPTSPSSDVAEDDVSLNGDMSDHCFGDIPMDNLERTVVICPDYVLYQNDYHTGVSVIFSPSGVKIEGSTVSEHQRTFSFESTIDDIISINCRWFQRVGYMTLKMKVLSKVAIEAENACDTSAYEELKFTVIDPRWSEKHAAIMSLNFQYQALWNIMPDPLVEMDGDDSLVQRSYFPNFDEPFEEVIYPKGDIDAVSISKRDVDLLLPETFVNDTIIDFYIKYLKNQIQPEERLRFHFFNSFFFRKLADLDKDPSSISDGRAAFLRVRKWTRKLDMFGKDYIFIPVNFSLHWSLIVICHPGEVAGFKDEDLDKSSKVPCILHMDSIKGNHAGLKNLVQSYLWEEWKERHKETSEDLSSKFLNLRFVSLELPQQENSFDCGLFLLHYLELFLAEAPPNFNPFKITKFSNFLNLDWFLPTEASLKRTLIQKLIFELLEIRSQEMSSSDCSEEPHSSRFPEEIGNGGVEFVSKSVSPEVACHGNLNPQASQGIEMTLLASSSMRNVETVNDSGLVLREFFEPGVTAGSLLGQFQSFDQQPSYYNMNGAVSPREQEDVQTGQQFVYLASGETSFPQFTGITSQACEVPYSSSGFVMGSSWNPGISLIGGNKVDTSHETSCTSDDDDDIGIIENNPIEDSVVLIEKRDTDQEQSESVENVDCLKKGFMPASIEVLETSITDVPGASEDTDKIHDKTGDADLPSKAHSSVVLHQNPGAAVNELDVDPEMVENMESQDNKTVSSDQTLGTVLNQLDRDSNLIENEASCDEVQTIIDDLPSKDNSMLLSDQNPSMVAEALNQDSEVAENKEAIVNDSLAELSEQPAAKRMQQLNQDSEVAENKEAIVNDSLAELSEQPAAKRMQQLNQDSEVAENKEAIIVNDSLAELSEQPAAKRMRLSPSLEEEVDS >KJB58879 pep chromosome:Graimondii2_0_v6:9:18045924:18054430:-1 gene:B456_009G229600 transcript:KJB58879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIPCLDVDAVDGDCSCDVTTPVASLGSDEKDCILKEGNPKLNVSPESKSTHSEQQADLVKDSHEPRCTFTDMEPRDSCPEVPSPGKRQLNCALSNSPLINKPVDMASDANESMAERSPTSPSSDVAEDDVSLNGDMSDHCFGDIPMDNLERTVVICPDYVLYQNDYHTGVSVIFSPSGVKIEGSTVSEHQRTFSFESTIDDIISINCRWFQRVGYMTLKMKVLSKVAIEAENACDTSAYEELKFTVIDPRWSEKHAAIMSLNFQYQALWNIMPDPLVEMDGDDSLVQRSYFPNFDEPFEEVIYPKGDIDAVSISKRDVDLLLPETFVNDTIIDFYIKYLKNQIQPEERLRFHFFNSFFFRKLADLDKDPSSISDGRAAFLRVRKWTRKLDMFGKDYIFIPVNFSLHWSLIVICHPGEVAGFKDEDLDKSSKVPCILHMDSIKGNHAGLKNLVQSYLWEEWKERHKETSEDLSSKFLNLRFVSLELPQQENSFDCGLFLLHYLELFLAEAPPNFNPFKITKFSNFLNLDWFLPTEASLKRTLIQKLIFELLEIRSQEMSSSDCSEEPHSSRFPEEIGNGGVEFVSKSVSPEVACHGNLNPQASQGIEMTLLASSSMRNVETVNDSGLVLREFFEPGVTAGSLLGQFQSFDQQPSYYNMNGAVSPREQEDVQTGQQFVYLASGETSFPQFTGITSQACEVPYSSSGFVMGSSWNPGISLIGGNKVDTSHETSCTSDDDDDIGIIENNPIEDSVVLIEKRDTDQEQSESVENVDCLKKGFMPASIEVLETSITDVPGASEDTDKIHDKTGDADLPSKAHSSVVLHQNPGAAVNELDVDPEMVENMESQDNKTVSSDQTLGTVLNQLDRDSNLIENEASCDEVQTIIDDLPSKDNSMLLSDQNPSMRMQQLNQDSEVAENKEAIVNDSLAELSEQPAAKRMQQLNQDSEVAENKEAIIVNDSLAELSEQPAAKRMRLSPSLEEEVDS >KJB54082 pep chromosome:Graimondii2_0_v6:9:1527795:1530922:1 gene:B456_009G019900 transcript:KJB54082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVRRDRSLSSDRCRRSPFSCHQSSPKFPSETEENLKEWEDARCPVCMEHPHNAILLVCSSHEKGCRPYMCDTSYRHSNCFDQFRKSFSDTSATTPQNPQLGAQLVTTNLSPVVNATSESTVSELREERTEEGPSNPSIVSCENQVLPKLVCPLCRGEVKDWLVVEPARHFMNAKSRSCSSETCNFTGSYKDLRKHARLEHPSARPSEADPERQRNWRRLERQRDLGDLLSTLQSSFGEERADDSILPIDDGSWLTVFFLIRVFQPGSSPRSSSWSGTSRARAQLSIRRRSTRLWGESYDGEPGSTRDEDNESSDSGSFPWRRRVRRRTSPDNQP >KJB54083 pep chromosome:Graimondii2_0_v6:9:1527696:1530922:1 gene:B456_009G019900 transcript:KJB54083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVRRDRSLSSDRCRRSPFSCHQSSPKFPSETEENLKEWEDARCPVCMEHPHNAILLVCSSHEKGCRPYMCDTSYRHSNCFDQFRKSFSDTSATTPQNPQLGAQLVTTNLSPVVNATSESTVSELREERTEEGPSNPSIVSCENQVLPKLVCPLCRGEVKDWLVVEPARHFMNAKSRSCSSETCNFTGSYKDLRKHARLEHPSARPSEADPERQRNWRRLERQRDLGDLLSTLQSSFGEERADDSILPIDDGSWLTVFFLIRVFQPGSSPRSSSWSGTSRARAQLSIRRRSTRLWGESYDGEPGSTRDEDNESSDSGSFPWRRRVRRRTSPDNQP >KJB54078 pep chromosome:Graimondii2_0_v6:9:1527676:1531109:1 gene:B456_009G019900 transcript:KJB54078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVRRDRSLSSDRCRRSPFSCHQSSPKFPSETEENLKEWEDARCPVCMEHPHNAILLVCSSHEKGCRPYMCDTSYRHSNCFDQFRKSFSDTSATTPQNPQLGAQLVTTNLSPVVNATSESTVSELREERTEEGPSNPSIVSCENQVLPKLVCPLCRGEVKDWLVVEPARHFMNAKSRSCSSETCNFTGSYKDLRKHARLEHPSARPSEADPERQRNWRRLERQRDLGDLLSTLQSSFGEERADDSILPIDDGSWLTVFFLIRVFQPGSSPRSSSWSGTSRARAQLSIRRRSTRLWGESYDGEPGSTRDEDNESSDSGSFPWRRRVRRRTSPDNQP >KJB54081 pep chromosome:Graimondii2_0_v6:9:1529229:1530922:1 gene:B456_009G019900 transcript:KJB54081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVRRDRSLSSDRCRRSPFSCHQSSPKFPSETEENLKEWEDARCPVCMEHPHNAILLVCSSHEKGCRPYMCDTSYRHSNCFDQFRKSFSDTSATTPQNPQLGAQLVTTNLSPVVNATSESTVSELREERTEEGPSNPSIVSCENQVLPKLVCPLCRGEVKDWLVVEPARHFMNAKSRSCSSETCNFTGSYKDLRKHARLEHPSARPSEADPERQRNWRRLERQRDLGDLLSTLQSSFGEERADDSILPIDDGSWLTVFFLIRVFQPGSSPRSSSWSGTSRARAQLSIRRRSTRLWGESYDGEPGSTRDEDNESSDSGSFPWRRRVRRRTSPDNQP >KJB54079 pep chromosome:Graimondii2_0_v6:9:1527947:1530922:1 gene:B456_009G019900 transcript:KJB54079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVRRDRSLSSDRCRRSPFSCHQSSPKFPSETEENLKEWEDARCPVCMEHPHNAILLVCSSHEKGCRPYMCDTSYRHSNCFDQFRKSFSDTSATTPQNPQLGAQLVTTNLSPVVNATSESTVSELREERTEEGPSNPSIVSCENQVLPKLVCPLCRGEVKDWLVVEPARHFMNAKSRSCSSETCNFTGSYKDLRKHARLEHPSARPSEADPERQRNWRRLERQRDLGDLLSTLQSSFGEERADDSILPIDDGSWLTVFFLIRVFQPGSSPRSSSWSGTSRARAQLSIRRRSTRLWGESYDGEPGSTRDEDNESSDSGSFPWRRRVRRRTSPDNQP >KJB54084 pep chromosome:Graimondii2_0_v6:9:1527747:1530922:1 gene:B456_009G019900 transcript:KJB54084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVRRDRSLSSDRCRRSPFSCHQSSPKFPSETEENLKEWEDARCPVCMEHPHNAILLVCSSHEKGCRPYMCDTSYRHSNCFDQFRKSFSDTSATTPQNPQLGAQLVTTNLSPVVNATSESTVSELREERTEEGPSNPSIVSCENQVLPKLVCPLCRGEVKDWLVVEPARHFMNAKSRSCSSETCNFTGSYKDLRKHARLEHPSARPSEADPERQRNWRRLERQRDLGDLLSTLQSSFGEERADDSILPIDDGSWLTVFFLIRVFQPGSSPRSSSWSGTSRARAQLSIRRRSTRLWGESYDGEPGSTRDEDNESSDSGSFPWRRRVRRRTSPDNQP >KJB54080 pep chromosome:Graimondii2_0_v6:9:1527676:1531079:1 gene:B456_009G019900 transcript:KJB54080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVRRDRSLSSDRCRRSPFSCHQSSPKFPSETEENLKEWEDARCPVCMEHPHNAILLVCSSHEKGCRPYMCDTSYRHSNCFDQFRKSFSDTSATTPQNPQLGAQLVTTNLSPVVNATSESTVSELREERTEEGPSNPSIVSCENQVLPKLVCPLCRGEVKDWLVVEPARHFMNAKSRSCSSETCNFTGSYKDLRKHARLEHPSARPSEADPERQRNWRRLERQRDLGDLLSTLQSSFGEERADDSILPIDDGSWLTVFFLIRVFQPGSSPRSSSWSGTSRARAQLSIRRRSTRLWGESYDGEPGSTRDEDNESSDSGSFPWRRRVRRRTSPDNQP >KJB57091 pep chromosome:Graimondii2_0_v6:9:11215063:11220858:-1 gene:B456_009G147700 transcript:KJB57091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFVIGSARNLIESSRQKYQFERSILSSPYRFLSSSPAADSPSSSSSSSSTMAHPVSLNTINPKVIECEYAVRGEIVTLAQKLQEEIQTKPDAHPFEEILYCNIGNPQSLGQQPITFFREVLALCDHPAILDKSETQGLFSADSIERAWQILDQIPGRATGAYSHSQGIKGLRDTIAAGIEARDGFPADPNDIFLTDGASPAVHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGTLVPYYLDEATGWGLEVSELKKQLETSRSKGITVRALVVINPGNPTGQVLAEENQKAIVEFCKQEGLVLLADEVYQENVYVPEKKFHSFKKVSRSMGYGEKDIALVSFQSVSKGYYGECGKRGGYMEVTGFGADVREQIYKVASVNLCSNISGQILASLVMSPPKVGDESYESYSAERDGILSSLARRAKTLEDAFSKLEGVTCNKAEGAMYLFPRIDLPEKAIKAAEAAKKAPDAYYCQRLLAATGIVFVPGSGFGQVPGTWHFRCTILPQEEKIPAIVTRLTDFHKSFMDEFRG >KJB57089 pep chromosome:Graimondii2_0_v6:9:11215063:11220782:-1 gene:B456_009G147700 transcript:KJB57089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFVIGSARNLIESSRQKYQFERSILSSPYRFLSSSPAADSPSSSSSSSSTMAHPVSLNTINPKVIECEYAVRGEIVTLAQILYCNIGNPQSLGQQPITFFREVLALCDHPAILDKSETQGLFSADSIERAWQILDQIPGRATGAYSHSQGIKGLRDTIAAGIEARDGFPADPNDIFLTDGASPAVHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGTLVPYYLDEATGWGLEVSELKKQLETSRSKGITVRALVVINPGNPTGQVLAEENQKAIVEFCKQEGLVLLADEVYQENVYVPEKKFHSFKKVSRSMGYGEKDIALVSFQSVSKGYYGECGKRGGYMEVTGFGADVREQIYKVASVNLCSNISGQILASLVMSPPKVGDESYESYSAERDGILSSLARRAKTLEDAFSKLEGVTCNKAEGAMYLFPRIDLPEKAIKAAEAAKKAPDAYYCQRLLAATGIVFVPGSGFGQVPGTWHFRCTILPQEEKIPAIVTRLTDFHKSFMDEFRG >KJB57088 pep chromosome:Graimondii2_0_v6:9:11215519:11220646:-1 gene:B456_009G147700 transcript:KJB57088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFVIGSARNLIESSRQKYQFERSILSSPYRFLSSSPAADSPSSSSSSSSTMAHPVSLNTINPKVIECEYAVRGEIVTLAQKLQEEIQTKPDAHPFEEILYCNIGNPQSLGQQPITFFREVLALCDHPAILDKSETQGLFSADSIERAWQILDQIPGRATGAYSHSQGIKGLRDTIAAGIEARDGFPADPNDIFLTDGASPAVHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGTLVPYYLDEATGWGLEVSELKKQLETSRSKGITVRALVVINPGNPTGQVLAEENQKAIVEFCKQEGLVLLADEVYQENVYVPEKKFHSFKKVSRSMGYGEKDIALVSFQSVSKGYYGECGKRGGYMEVTGFGADVREQIYKVASVNLCSNISGQILASLVMSPPKVGDESYESYSAERDGILSSLARRAKTLEDAFSKLEGVTCNKAEGAMYLFPRIDLPEKAIKAAEAAKKAPDAYYCQRLLAATGIVFVPGSGFGQVSSWHLAF >KJB57090 pep chromosome:Graimondii2_0_v6:9:11215063:11220782:-1 gene:B456_009G147700 transcript:KJB57090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFVIGSARNLIESSRQKYQFERSILSSPYRFLSSSPAADSPSSSSSSSSTMAHPVSLNTINPKVIECEYAVRGEIVTLAQKLQEEIQTKPDAHPFEEILYCNIGNPQSLGQQPITFFREVLALCDHPAILDKSETQGLFSADSIERAWQILDQIPGRATGAYSHSQGIKGLRDTIAAGIEARDGFPADPNDIFLTDGASPAVHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGTLVPYYLDEATGWGLEVSELKKQLETSRSKGITVRALVVINPGNPTGQVLAEENQKAIVEFCKQEGLVLLADEENVYVPEKKFHSFKKVSRSMGYGEKDIALVSFQSVSKGYYGECGKRGGYMEVTGFGADVREQIYKVASVNLCSNISGQILASLVMSPPKVGDESYESYSAERDGILSSLARRAKTLEDAFSKLEGVTCNKAEGAMYLFPRIDLPEKAIKAAEAAKKAPDAYYCQRLLAATGIVFVPGSGFGQVPGTWHFRCTILPQEEKIPAIVTRLTDFHKSFMDEFRG >KJB62645 pep chromosome:Graimondii2_0_v6:9:66918024:66922814:-1 gene:B456_009G427400 transcript:KJB62645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTPNNGRLRLAFSLVNGSQDLGPDSTPVSHAGSECGAIEFTREDVEALVSEKMKSKNKFNYKERCENMMEYIKRLRLCIRWFQELEGEYAFEQEKLRSALELTERRCYEMEMALKNKDEEMNLIILELRNSLASLQEQLVKEESEKKAAMDSLVKEKEARDSIERSKASLSEELDKVRAELDSANQRIESINDMYKLLQEYNSSLQIYNSKLQTDVTAAHETIKRGEKERLAAVENLHNLRGEHKSLQDQLTSSIASQDGVMKQKDALLNEVACLRMELHQIKDDRDQYQQQAQSLAVEASKYKELATNSSGLEEKCLSQGNQIQILHDQLAVAERKLQMSDTFALEKRKEFEGQKEIIEELHKCLEDAEFKLIEGEKLRKKLHNTILELKGNIRVFCRVRPQLADDSSSNHGKVFSYPTVMEYLDRSIDMTHNGQKHSFIFDKVFMPDVSQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGQPDEKGLIPRSLEQIFQTIQALQHQGWRYEMQVSMLEIYNETIRDLLSTNRDSSRFENGVTGKQYMIKHDANGNTQVSDLTIVDVQSSREVSFLLDRAAQSRSVGRTQMNEQSSRSHFVFTMRITGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLADVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEPSSAGESLCSLRFAARVNACEIGTPRRQLNIRTSNSRLSYG >KJB62643 pep chromosome:Graimondii2_0_v6:9:66918024:66922523:-1 gene:B456_009G427400 transcript:KJB62643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEYIKRLRLCIRWFQELEGEYAFEQEKLRSALELTERRCYEMEMALKNKDEEMNLIILELRNSLASLQEQLVKEESEKKAAMDSLVKEKEARDSIERSKASLSEELDKVRAELDSANQRIESINDMYKLLQEYNSSLQIYNSKLQTDVTAAHETIKRGEKERLAAVENLHNLRGEHKSLQDQLTSSIASQDGVMKQKDALLNEVACLRMELHQIKDDRDQYQQQAQSLAVEASKYKELATNSSGLEEKCLSQGNQIQILHDQLAVAERKLQMSDTFALEKRKEFEGQKEIIEELHKCLEDAEFKLIEGEKLRKKLHNTILELKGNIRVFCRVRPQLADDSSSNHGKVFSYPTVMEYLDRSIDMTHNGQKHSFIFDKVFMPDVSQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGQPDEKGLIPRSLEQIFQTIQALQHQGWRYEMQVSMLEIYNETIRDLLSTNRDSSRFENGVTGKQYMIKHDANGNTQVSDLTIVDVQSSREVSFLLDRAAQSRSVGRTQMNEQSSRSHFVFTMRITGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLADVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEPSSAGESLCSLRFAARVNACEIGTPRRQLNIRTSNSRLSYG >KJB62646 pep chromosome:Graimondii2_0_v6:9:66917800:66922918:-1 gene:B456_009G427400 transcript:KJB62646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWMALKNKDEEMNLIILELRNSLASLQEQLVKEESEKKAAMDSLVKEKEARDSIERSKASLSEELDKVRAELDSANQRIESINDMYKLLQEYNSSLQIYNSKLQTDVTAAHETIKRGEKERLAAVENLHNLRGEHKSLQDQLTSSIASQDGVMKQKDALLNEVACLRMELHQIKDDRDQYQQQAQSLAVEASKYKELATNSSGLEEKCLSQGNQIQILHDQLAVAERKLQMSDTFALEKRKEFEGQKEIIEELHKCLEDAEFKLIEGEKLRKKLHNTILELKGNIRVFCRVRPQLADDSSSNHGKVFSYPTVMEYLDRSIDMTHNGQKHSFIFDKVFMPDVSQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGQPDEKGLIPRSLEQIFQTIQALQHQGWRYEMQVSMLEIYNETIRDLLSTNRDSSRFENGVTGKQYMIKHDANGNTQVSDLTIVDVQSSREVSFLLDRAAQSRSVGRTQMNEQSSRSHFVFTMRITGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLADVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEPSSAGESLCSLRFAARVNACEIGTPRRQLNIRTSNSRLSYG >KJB62642 pep chromosome:Graimondii2_0_v6:9:66917795:66923584:-1 gene:B456_009G427400 transcript:KJB62642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKNQNKPPFHHNTTSPSTTSTTTPSTSKNKCIAAEVSEEKGQKLGFQKMIGTPNNGRLRLAFSLVNGSQDLGPDSTPVSHAGSECGAIEFTREDVEALVSEKMKSKNKFNYKERCENMMEYIKRLRLCIRWFQELEGEYAFEQEKLRSALELTERRCYEMEMALKNKDEEMNLIILELRNSLASLQEQLVKEESEKKAAMDSLVKEKEARDSIERSKASLSEELDKVRAELDSANQRIESINDMYKLLQEYNSSLQIYNSKLQTDVTAAHETIKRGEKERLAAVENLHNLRGEHKSLQDQLTSSIASQDGVMKQKDALLNEVACLRMELHQIKDDRDQYQQQAQSLAVEASKYKELATNSSGLEEKCLSQGNQIQILHDQLAVAERKLQMSDTFALEKRKEFEGQKEIIEELHKCLEDAEFKLIEGEKLRKKLHNTILELKGNIRVFCRVRPQLADDSSSNHGKVFSYPTVMEYLDRSIDMTHNGQKHSFIFDKVFMPDVSQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGQPDEKGLIPRSLEQIFQTIQALQHQGWRYEMQVSMLEIYNETIRDLLSTNRDSSRFENGVTGKQYMIKHDANGNTQVSDLTIVDVQSSREVSFLLDRAAQSRSVGRTQMNEQSSRSHFVFTMRITGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLADVIFALAKKEDHVPFRNSKLTYLLQVGIQRL >KJB62641 pep chromosome:Graimondii2_0_v6:9:66917795:66923584:-1 gene:B456_009G427400 transcript:KJB62641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWMALKNKDEEMNLIILELRNSLASLQEQLVKEESEKKAAMDSLVKEKEARDSIERSKASLSEELDKVRAELDSANQRIESINDMYKLLQEYNSSLQIYNSKLQTDVTAAHETIKRGEKERLAAVENLHNLRGEHKSLQDQLTSSIASQDGVMKQKDALLNEVACLRMELHQIKDDRDQYQQQAQSLAVEASKYKELATNSSGLEEKCLSQGNQIQILHDQLAVAERKLQMSDTFALEKRKEFEGQKEIIEELHKCLEDAEFKLIEGEKLRKKLHNTILELKGNIRVFCRVRPQLADDSSSNHGKVFSYPTVMEYLDRSIDMTHNGQKHSFIFDKVFMPDVSQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGQPDEKGLIPRSLEQIFQTIQALQHQGWRYEMQVSMLEIYNETIRDLLSTNRDSSRFENGVTGKQYMIKHDANGNTQVSDLTIVDVQSSREVSFLLDRAAQSRSVGRTQMNEQSSRSHFVFTMRITGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLADVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEPSSAGESLCSLRFAARVNACEIGTPRRQLNIRTSNSRLSYG >KJB62647 pep chromosome:Graimondii2_0_v6:9:66917795:66923748:-1 gene:B456_009G427400 transcript:KJB62647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTPNNGRLRLAFSLVNGSQDLGPDSTPVSHAGSECGAIEFTREDVEALVSEKMKSKNKFNYKERCENMMEYIKRLRLCIRWFQELEGEYAFEQEKLRSALELTERRCYEMEMALKNKDEEMNLIILELRNSLASLQEQLVKEESEKKAAMDSLVKEKEARDSIERSKASLSEELDKVRAELDSANQRIESINDMYKLLQEYNSSLQIYNSKLQTDVTAAHETIKRGEKERLAAVENLHNLRGEHKSLQDQLTSSIASQDGVMKQKDALLNEVACLRMELHQIKDDRDQYQQQAQSLAVEASKYKELATNSSGLEEKCLSQGNQIQILHDQLAVAERKLQMSDTFALEKRKEFEGQKEIIEELHKCLEDAEFKLIEGEKLRKKLHNTILELKGNIRVFCRVRPQLADDSSSNHGKVFSYPTVMEYLDRSIDMTHNGQKHSFIFDKVFMPDVSQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGQPDEKGLIPRSLEQIFQTIQALQHQGWRYEMQVSMLEIYNETIRDLLSTNRDSSRFENGVTGKQYMIKHDANGNTQVSDLTIVDVQSSREVSFLLDRAAQSRSVGRTQMNEQSSRSHFVFTMRITGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLADVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEPSSAGESLCSLRFAARVNACEIGTPRRQLNIRTSNSRLSYG >KJB62644 pep chromosome:Graimondii2_0_v6:9:66917795:66923584:-1 gene:B456_009G427400 transcript:KJB62644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKNQNKPPFHHNTTSPSTTSTTTPSTSKNKCIAAEVSEEKGQKLGFQKMIGTPNNGRLRLAFSLVNGSQDLGPDSTPVSHAGSECGAIEFTREDVEALVSEKMKSKNKFNYKRCENMMEYIKRLRLCIRWFQELEGEYAFEQEKLRSALELTERRCYEMEMALKNKDEEMNLIILELRNSLASLQEQLVKEESEKKAAMDSLVKEKEARDSIERSKASLSEELDKVRAELDSANQRIESINDMYKLLQEYNSSLQIYNSKLQTDVTAAHETIKRGEKERLAAVENLHNLRGEHKSLQDQLTSSIASQDGVMKQKDALLNEVACLRMELHQIKDDRDQYQQQAQSLAVEASKYKELATNSSGLEEKCLSQGNQIQILHDQLAVAERKLQMSDTFALEKRKEFEGQKEIIEELHKCLEDAEFKLIEGEKLRKKLHNTILELKGNIRVFCRVRPQLADDSSSNHGKVFSYPTVMEYLDRSIDMTHNGQKHSFIFDKVFMPDVSQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGQPDEKGLIPRSLEQIFQTIQALQHQGWRYEMQVSMLEIYNETIRDLLSTNRDSSRFENGVTGKQYMIKHDANGNTQVSDLTIVDVQSSREVSFLLDRAAQSRSVGRTQMNEQSSRSHFVFTMRITGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLADVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEPSSAGESLCSLRFAARVNACEIGTPRRQLNIRTSNSRLSYG >KJB62640 pep chromosome:Graimondii2_0_v6:9:66917734:66923881:-1 gene:B456_009G427400 transcript:KJB62640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKNQNKPPFHHNTTSPSTTSTTTPSTSKNKCIAAEVSEEKGQKLGFQKMIGTPNNGRLRLAFSLVNGSQDLGPDSTPVSHAGSECGAIEFTREDVEALVSEKMKSKNKFNYKERCENMMEYIKRLRLCIRWFQELEGEYAFEQEKLRSALELTERRCYEMEMALKNKDEEMNLIILELRNSLASLQEQLVKEESEKKAAMDSLVKEKEARDSIERSKASLSEELDKVRAELDSANQRIESINDMYKLLQEYNSSLQIYNSKLQTDVTAAHETIKRGEKERLAAVENLHNLRGEHKSLQDQLTSSIASQDGVMKQKDALLNEVACLRMELHQIKDDRDQYQQQAQSLAVEASKYKELATNSSGLEEKCLSQGNQIQILHDQLAVAERKLQMSDTFALEKRKEFEGQKEIIEELHKCLEDAEFKLIEGEKLRKKLHNTILELKGNIRVFCRVRPQLADDSSSNHGKVFSYPTVMEYLDRSIDMTHNGQKHSFIFDKVFMPDVSQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGQPDEKGLIPRSLEQIFQTIQALQHQGWRYEMQVSMLEIYNETIRDLLSTNRDSSRFENGVTGKQYMIKHDANGNTQVSDLTIVDVQSSREVSFLLDRAAQSRSVGRTQMNEQSSRSHFVFTMRITGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLADVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEPSSAGESLCSLRFAARVNACEIGTPRRQLNIRTSNSRLSYG >KJB55439 pep chromosome:Graimondii2_0_v6:9:5496726:5501709:1 gene:B456_009G076300 transcript:KJB55439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYASKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRILSVHRHSGMAVAGLAADGRQIVARAKSEATSYQSVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMVEPSGISYRYFGAAVGKGKQAAKTEIEKLKLSEMTCREGVIEVAKIIYKVHDEAKDKAFELEMSWVCDESKQQHQKVPDDLLEEAKAAARVALEEMDAD >KJB55440 pep chromosome:Graimondii2_0_v6:9:5496903:5501705:1 gene:B456_009G076300 transcript:KJB55440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYASKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRILSVHRHSGMAVAGLAADGRQIVARAKSEATSYQRSVFIFLPYINFLNSALIMLLCFHLNVIVFCWWWTWWFSVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMVEPSGISYRYFGAAVGKGKQAAKTEIEKLKLSEMTCREGVIEVAKIIYKVHDEAKDKAFELEMSWVCDESKQQHQKVPDDLLEEAKAAARVALEEMDAD >KJB55441 pep chromosome:Graimondii2_0_v6:9:5496903:5501705:1 gene:B456_009G076300 transcript:KJB55441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYASKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRILSVHRHSGMAVAGLAADGRQIVARAKSEATSYQSVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMVEPSGISYILWCCSWEGKTSCQNGN >KJB57717 pep chromosome:Graimondii2_0_v6:9:13701603:13705422:1 gene:B456_009G177100 transcript:KJB57717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRMTKMPLNLTSMELPALVSDWWDEINESTKWQDGIFYTLCAAYALVSSVALIQLVRIELRVPEYGWTTQKVFHLMNFIVNGVRAIVFGFHRQVFVLYPKVLTYMLLDLPGLLFFSTYTLLVLFWAEIYHQQARSLPTDKLRIFYVSINAVIYFIQVCVWVYLWIDDNSVVDFIGKIFIAVVSFIAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCFVVVLSAFDADASLDVLDHPVLNLIYYTLVEILPSALVLYILRKLPPKRVSAQYHPIR >KJB57716 pep chromosome:Graimondii2_0_v6:9:13701332:13705507:1 gene:B456_009G177100 transcript:KJB57716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRMTKMPLNLTSMELPALVSDWWDEINESTKWQDGIFYTLCAAYALVSSVALIQLVRIELRVPEYGWTTQKVFHLMNFIVNGVRAIVFGFHRQVFVLYPKVLTYMLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRIFYVSINAVIYFIQVCVWVYLWIDDNSVVDFIGKIFIAVVSFIAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCFVVVLSAFDADASLDVLDHPVLNLIYYTLVEILPSALVLYILRKLPPKRVSAQYHPIR >KJB57718 pep chromosome:Graimondii2_0_v6:9:13701603:13705422:1 gene:B456_009G177100 transcript:KJB57718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRMTKMPLNLTSMELPALVSDWWDEINESTKWQDGIFYTLCAAYALVSSVALIQLVRIELRVPEYGWTTQKVFHLMNFIVNGVRAIVFGFHRQVFVLYPKVLTYMLLDLPGLLFFSTYTLLVLFWAEIYHQVCVWVYLWIDDNSVVDFIGKIFIAVVSFIAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCFVVVLSAFDADASLDVLDHPVLNLIYYTLVEILPSALVLYILRKLPPKRVSAQYHPIR >KJB54565 pep chromosome:Graimondii2_0_v6:9:2871872:2876080:1 gene:B456_009G039200 transcript:KJB54565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLKAEALEEDHRIHPQNLDSNCSDESGDCNGGNSGGFVLPIKWASSINRYLQWKSGKVWSRSFLAPDDSSSELEEDAKPQEMSGQEKSKQMCHYRHQLEEEVKKLQQQLQEETDLHLALASAVEHSGSHSPNSPSKLPYKALELLDSIAVLEISVSKLEQEILSLQYQLSQERNERRLAEYHLKHSPCPTTLLFNCSLAHLTEPITTPCNEEEAEENVDDVCLSEAAIDNIYIVENLWHHPNQLSEEMVLRMRDIFLFLADSSKLYSSDHLVSPASPHCPLANFLASFLDSPIVTSLVKSPSVGGASDPYGVSGQVDWKCNIGTYSTAVEVSCLSVGKKELEYATMALKRFRLLVEQLAEVDPSQMSCNEKLAFWINLYHALIMHACLAYGVPRNINKLFSLMQKASYTVGGLSVSAAVIECTILKMNPATYRPQIAAAVALQKFNSSNEQKKYTVDHAEPLLYFALSCGLHSSPAVRIFSPQNVNELLKRSMKDYIQATVGISNKGKVLVPKLLHCFAKGVIEDSLLPDWICRFLTPQQASMVKDCLSRNKWKLLGARSFCVLPFDSRFRFLFLLDDDGNSLSSTS >KJB54567 pep chromosome:Graimondii2_0_v6:9:2872178:2876022:1 gene:B456_009G039200 transcript:KJB54567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLKAEALEEDHRIHPQNLDSNCSDESGDCNGGNSGGFVLPIKWASSINRYLQWKSGKVWSRSFLAPDDSSSELEDAKPQEMSGQEKSKQMCHYRHQLEEEVKKLQQQLQEETDLHLALASAVEHSGSHSPNSPSKLPYKALELLDSIAVLEISVSKLEQEILSLQYQLSQERNERRLAEYHLKHSPCPTTLLFNCSLAHLTEPITTPCNEEEAEENVDDVCLSEAAIDNIYIVENLWHHPNQLSEEMVLRMRDIFLFLADSSKLYSSDHLVSPASPHCPLANFLASFLDSPIVTSLVKSPSVGGASDPYGVSGQVDWKCNIGTYSTAVEVSCLSVGKKELEYATMALKRFRLLVEQLAEVDPSQMSCNEKLAFWINLYHALIMHACLAYGVPRNINKLFSLMQKASYTVGGLSVSAAVIECTILKMNPATYRPQIAAAVALQKFNSSNEQKKYTVDHAEPLLYFALSCGLHSSPAVRIFSPQNVNELLKRSMKDYIQATVGISNKGKVLVPKLLHCFAKGVIEDSLLPDWICRFLTPQQASMVKDCLSRNKWKLLGARSFCVLPFDSRFRFLFLLDDDGNSLSSTS >KJB54568 pep chromosome:Graimondii2_0_v6:9:2872473:2875815:1 gene:B456_009G039200 transcript:KJB54568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYGGCLEWFCRASSINRYLQWKSGKVWSRSFLAPDDSSSELEEDAKPQEMSGQEKSKQMCHYRHQLEEEVKKLQQQLQEETDLHLALASAVEHSGSHSPNSPSKLPYKALELLDSIAVLEISVSKLEQEILSLQYQLSQERNERRLAEYHLKHSPCPTTLLFNCSLAHLTEPITTPCNEEEAEENVDDVCLSEAAIDNIYIVENLWHHPNQLSEEMVLRMRDIFLFLADSSKLYSSDHLVSPASPHCPLANFLASFLDSPIVTSLVKSPSVGGASDPYGVSGQVDWKCNIGTYSTAVEVSCLSVGKKELEYATMALKRFRLLVEQLAEVDPSQMSCNEKLAFWINLYHALIMHACLAYGVPRNINKLFSLMQKASYTVGGLSVSAAVIECTILKMNPATYRPQIAAAVALQKFNSSNEQKKYTVDHAEPLLYFALSCGLHSSPAVRIFSPQNVNELLKRSMKDYIQATVGISNKGKVLVPKLLHCFAKGVIEDSLLPDWICRFLTPQQASMVKDCLSRNKWKLLGARSFCVLPFDSRFRFLFLLDDDGNSLSSTS >KJB54566 pep chromosome:Graimondii2_0_v6:9:2872178:2876022:1 gene:B456_009G039200 transcript:KJB54566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLKAEALEEDHRIHPQNLDSNCSDESGDCNGGNSGGFVLPIKWASSINRYLQWKSGKVWSRSFLAPDDSSSELEEDAKPQEMSGQEKSKQMCHYRHQLEEEVKKLQQQLQEETDLHLALASAVEHSGSHSPNSPSKLPYKALELLDSIAVLEISVSKLEQEILSLQYQLSQERNERRLAEYHLKHSPCPTTLLFNCSLAHLTEPITTPCNEEEAEENVDDVCLSEAAIDNIYIVENLWHHPNQLSEEMVLRMRDIFLFLADSSKLYSSDHLVSPASPHCPLANFLASFLDSPIVTSLVKSPSVGGASDPYGVSGQVDWKCNIGTYSTAVEVSCLSVGKKELEYATMALKRFRLLVEQLAEVDPSQMSCNEKLAFWINLYHALIMHACLAYGVPRNINKLFSLMQKV >KJB54866 pep chromosome:Graimondii2_0_v6:9:3767437:3768973:1 gene:B456_009G052300 transcript:KJB54866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYIGVLGNHCVFQKRIENLHYRRILSENSYPRCQDCSKSHVHVARDCAFTMHLIKWEPPPTNWVKVNVDVGFSEAKQHATLGFLIRNDGLKIRSGIRTHNLVRSVVLAKAMIGFMKIILESDSKTIIKNLQATKEDYSEIRPITWDVKALTMKFSSCHFEFVAGESNAVAHAMAVEGMRRSEDSFWVEDALLKAMEMADLDRLFIWPP >KJB59065 pep chromosome:Graimondii2_0_v6:9:18752033:18754435:-1 gene:B456_009G237000 transcript:KJB59065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSGDGAINGGCCCSCSPGENGGYDGATNFGISASHDETCMHCPRSEPSSCSSSELGFDPVLLTDSERLRRIFVASAKGFSIGAGLQGGLAIFSILARLRRKKPKKVEAFSDKEAISMAIKETLRYGIFLGTFAGTFVYVDETVAACGGNHRTAKWRALVAGLVAGPSMLLTGSNTRHTSLAIYILMQAAVLASRCGIKSKRFGKFCKPLTWKHGDIFLMCLSTSEILSSYILKQDCLPPSYKSFLNQHGGKDIVILQGVKELASDLPFTTLEAIEKIYKATGVDVKLDPNMKIPCSDHISNNTNKDGCIFFHSGSGPGQICISIIVFTIIKL >KJB59064 pep chromosome:Graimondii2_0_v6:9:18750042:18754435:-1 gene:B456_009G237000 transcript:KJB59064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSGDGAINGGCCCSCSPGENGGYDGATNFGISASHDETCMHCPRSEPSSCSSSELGFDPVLLTDSERLRRIFVASAKGFSIGAGLQGGLAIFSILARLRRKKPKKVEAFSDKEAISMAIKETLRYGIFLGTFAGTFVYVDETVAACGGNHRTAKWRALVAGLVAGPSMLLTGSNTRHTSLAIYILMQAAVLASRCGIKSKRFGKFCKPLTWKHGDIFLMCLSTSEILSSYILKQDCLPPSYKSFLNQHGGKDIVILQGVKELASDLPFTTLEAIEKIYKATGVDVKLDPNMKIPCSMVHGNKSCRAHAITFLIEAYKRALPVYLPVYLIPALIVHHQGLFKRPCTILGKGIVDIARSSLFLATYCTSAWMWTCMLFGFLRRCSIPLIAIGSFPAGLSVAIEKKSRRMEISLYCLARAIESFFTWVADIGYLPRSKNLKRPDVMIFSLSTAIIMHCYAQERELFNSKYLNVLDWIFGVPPPPCENPSLQKQKSSLR >KJB59063 pep chromosome:Graimondii2_0_v6:9:18750695:18754371:-1 gene:B456_009G237000 transcript:KJB59063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSGDGAINGGCCCSCSPGENGGYDGATNFGISASHDETCMHCPRSEPSSCSSSELGFDPVLLTDSERLRRIFVASAKGFSIGAGLQGGLAIFSILARLRRKKPKKVEAFSDKEAISMAIKETLRYGIFLGTFAGTFVYVDETVAACGGNHRTAKWRALVAGLVAGPSMLLTGSNTRHTSLAIYILMQAAVLASRCGIKSKRFGKFCKPLTWKHGDIFLMCLSTSEILSSYILKQDCLPPSYKSFLNQHGGKDIVILQGVKELASDLPFTTLEAIEKIYKATGVDVKLDPNMKIPCSMVHGNKSCRAHAITFLIEAYKRALPVYLPVYLIPALIVHHQGLFKRPCTILGKGIVDIARSSLFLATYCTSAWMWTCMLFGFLRRCSIPLIAIGSVKDSFNLSYLEYPCSTYIQTSMTRQIHSKA >KJB57856 pep chromosome:Graimondii2_0_v6:9:14125344:14128156:1 gene:B456_009G183500 transcript:KJB57856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVGDSSSSRSPLGRNICTQGSASTSTAKNDRHTTGRSMRTIRSNFYQNDDSSCSFTSSVPQRSGCVSENLTESVIDMRLGQLASKSNAKSVKSDSETEGFLDISQAFSDFSACSSDISGELQRLATLPSLENALVNESGNGAVCEPEPCQGFLQRENFSTEIIESISPEDLQPTVKICIDGLQSPSIAVKRSAAAKLRLLAKNRVDNRELIGESGAIPALTSLLRNTDPWTQEHAVTALLNLSLFEANKDRIINAGAIKSLVYVLKTGTETSKQNAACALLSLALIEENKASIGACGAIPPLVSLLINGSNRGKKDALTTLYKLCSARQNKERAVSAGAVRPLVGMVGEQGTGMEEKAMVVLSSLAGIEEGREAIVEEGGIAALVEAIEDGSTKGKEFAVLTLLQVCADSIRNRGLLVREGGIPPLVALSQTGSAKAKHKAETLLRYLRESRQEPLCFSP >KJB57857 pep chromosome:Graimondii2_0_v6:9:14125327:14128157:1 gene:B456_009G183500 transcript:KJB57857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVGDSSSSRSPLGRNICTQGSASTSTAKNDRHTTGRSMRTIRSNFYQNDDSSCSFTSSVPQRSGCVSENLTESVIDMRLGQLASKSNAKSVKSDSETEGFLDISQAFSDFSACSSDISGELQRLATLPSLENALVNESGNGAVCEPEPCQGFLQRENFSTEIIESISPEDLQPTVKICIDGLQSPSIAVKRSAAAKLRLLAKNRVDNRELIGESGAIPALTSLLRNTDPWTQEHAVTALLNLSLFEANKDRIINAGAIKSLVYVLKTGTETSKQNAACALLSLALIEENKASIGACGAIPPLVSLLINGSNRGKKDALTTLYKLCSARQNKERAVSAGAVRPLVGMVGEQGTGMEEKAMVVLSSLAGIEEGREAIVEEGGIAALVEAIEDGSTKGKEFAVLTLLQVCADSIRNRGLLVREGGIPPLVALSQTGSAKAKHKAETLLRYLRESRQEPLCFSP >KJB57646 pep chromosome:Graimondii2_0_v6:9:13361682:13366033:1 gene:B456_009G173400 transcript:KJB57646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMKYLAREKRGLDSSSGDEGPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGSAKLSAKSSPKWMEGPDGRNLQLHFRSRLSLPLFTGGKVEGEQGTAIHIVLVNANTGHVVTCGPESVAKLDVFVLEGDFNNEDDDNWTEEEFDSHIVKEREGKRPLLTGDLQVMLKDGAGTLGELTFTDNSSWIRSRKFRLGLKVAPGCCDGIRIREAKTEAFTVKDHRGELYKKHYPPALHDEVWRLEKIGKDGSFHKRLNKAGIFTVEDFLRLVIRDSQRLRNILGSGMSNKMWDVLVEHAKTCVLSGKLYVYYPDDVRSVGIVFNSIHELSGLIANGQFYAADSLADNQKVYVDALVKKAYENWMHVIEYDSKSLLGSKEDDSAGPSQANVPMDPQGYPISINQQHTLPTLSVPVPSEQPPIDSSLNVGGYDDSMAARMSMQSQNVHLHAQNQFSGSSFTLQNPLVSGSHQVQVPGHDNGPALGPCQSSMPGFHEGGTSHIPTYKGIDDFFSEEEIKMRSNEMLDNEDMQHLLRIFNMGSHCHATPPPPPFNAIEDTHPYPSTYMPTPSLAYGFDNDASRSSGKAVVGWLKLKAALRWGIFIRKKAAERRAHLIELDDS >KJB60134 pep chromosome:Graimondii2_0_v6:9:25108978:25114563:-1 gene:B456_009G291200 transcript:KJB60134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNVISKASKCPMFPMYCVSVFQKGHWKSVCVTGAGGYLGSWVVKHLLSNNYTVHGTVRQPGDAKYAHLNQLERAPHNLQLFKANVLDYGSLCSAIAGCTGVFHVASPVPSTIEEVIEPAVKGTLNVLKACVESNVKRVVVVSSGSAVSLNPRWPVEGYDTLENKLRKIVDVRDVAQALLLVYEKPAAEGRYICTAHTIKARDLVDKLRSMFPQYNYPKSFTEVGEEDMISSEKLQRLGWSYRPLEETLVDSIESYKKAGILD >KJB61086 pep chromosome:Graimondii2_0_v6:9:38916579:38924625:1 gene:B456_009G339700 transcript:KJB61086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDREKDVTLHRRSRRFYPLISLLALLFSSKFLDSKKAISLEVLLLTLPAPLSHIFLLLSVVCLDKREKPGGKLLSAEEASKVAMAVPMQWAFSSLALLFLFLVGGSIATNTTSRLEDIDPLNEHAVADPEAVAMEVETIIDLNIRNLTERRKLGFFSCGTGNPIDDCWRCDPNWHKRRKRLAECGIGFGRNAVGGRDGRFYIVTDHTDDDPVNPKPGTLRHAVIQDRPVWIVFKRDMVIKLKQELIMNSFKTIDGRGANVHIANGGCITIQFVTNVIIHGLHIHDCKPTGNAMVRNSPSHFGWRTMADGDAISIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITISNNHFTHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGKGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSGNPTINSQGNRYAAPMNPFAKEVTKRVETAESKWKNWNWRSEGDLLVNGAYFTPSGAGASASYARASSLGAKSSSMVRAMTLNAGSLPCRRGRQC >KJB61344 pep chromosome:Graimondii2_0_v6:9:45344167:45345021:1 gene:B456_009G352400 transcript:KJB61344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSASASSLAIEFQEGDMDKYLQQLQSYTFIQEQGFHPLMRNCKGIWENATKREWKKFCSLAEKSLIILVVQDFYLALKQREAARQFYEMHSFVKVKRVPVTEMSIFQIYDAPHYYRYYLYKIDLKEFKNIDTEEILRFLTEGKEMWTYRMETVILETFNQQLMTPKAKMWMKFICSRIWPITKMSKISPIQAIITYGILQNKQICIGTWIYKNMVDCTRNLGKGIFFPHLITKLCKRAGVPIERMYKTMNPLRKLLNDDLFKQFVLIQTKQKKEKKRISRR >KJB55435 pep chromosome:Graimondii2_0_v6:9:5481883:5484583:-1 gene:B456_009G076000 transcript:KJB55435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFSNLHTESGLKALNDFLSGKSYISGNKLTKDDIKVYAAVLKNPGDSFPNVSQWYNSVSSQLAASFPGKAVGVGFGGKAAPAESAKAEAADDDDDLDLFGDETEEDKKAAEEREAAKKSAKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVEMPGLLWGASKLVAVGYGIKKLQIMLTIVDDLVSVDTLIEECLTTEPRNEYIQSCDIVAFNKI >KJB59263 pep chromosome:Graimondii2_0_v6:9:19855131:19855859:1 gene:B456_009G246500 transcript:KJB59263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASICRIKLREVTNNLEVQQRYSHIPYDFFNIVVGIRFVSSLNRETMLGSFNESVTYCRDTILSDKDGPNILLSLLERTGAPLGYIRTFIIPGILSYARQLHSNPLNFARKLISFEVEVIIKVSVDNFDDEADEPNGNDDGDGDDEDTLNFKPATCLSVQALRRYKWGDDEEQDCLPLKKRRRLREGLNSANKECAICLDEFSEGDEVASMPCTHVFHDGCIIKWLKTNHLCPLCRFQMPT >KJB58079 pep chromosome:Graimondii2_0_v6:9:14849168:14851303:-1 gene:B456_009G193300 transcript:KJB58079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RacB MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELKHYAPGVPIVLVGTKLDLRDDQQFLTDHPNAVPISTAQNVKAVFDAAIKVVLQPPNKNKKKKSGGCSIL >KJB58078 pep chromosome:Graimondii2_0_v6:9:14849140:14851391:-1 gene:B456_009G193300 transcript:KJB58078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RacB MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELKHYAPGVPIVLVGTKLDLRDDQQFLTDHPNAVPISTAQGEELKKQIAAPAYIECSSKTQQNVKAVFDAAIKVVLQPPNKNKKKKSGGCSIL >KJB63170 pep chromosome:Graimondii2_0_v6:9:70680895:70683292:-1 gene:B456_009G456100 transcript:KJB63170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSEKFPLGIGASPFLCTRFILQTNDNTKKMDCIFSSITTYPFITLRGKLQQSICVTNLAHPNTRICYSKQPKNRRYPRRTKLPPDFGVNLFLEKPRTETDMSTDTEQIKSIYGHNSDSPEEEDDKEDHVNIDLAWESDEVEAISSLFQGRIPQKPGKVGRERPLPLPLPYKLRPLGFPMPKKHVKKSSAGVNSSRASVSQQLYKNPSFLIGLSKEIKDLASDDDVSAVLNKWAPFLRKGSLSITIRELGLMDLPQRALQTFCWAQKMPHLKRELKLPVNLERFTSSTNRSLIEAMLKGFVKGGSLNLAWKLLSVVKQSKRMLDPGIYAKLILELGKNPDKYILVEELLGDLGERDDLNLSQQDCTAIMKVCIRHRKFEIVESLFYWFKQSGRDPSVVMYTTLLHSRYSENKYMEALALVWEMEARECLLDLPAYRVVIKLFIGLKDLARAVRYFSKLKEAGFSPTYDMYRDLINMYMVAGRVGKCKEVCKEASMAGFSLDKRTLLNLSKLEKDILG >KJB55831 pep chromosome:Graimondii2_0_v6:9:7041707:7044543:1 gene:B456_009G097000 transcript:KJB55831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISKICLYKPFSLSFAGSVKDQTLLQRCNFPATCILREQVPLKNECRVFARMPERTGTGVSTYLRETGTGENGDYARNDLDISHLISVLKFCGGEGCLEFGRTYHGLIAKTGLDGDEFVNTSLIDMYAKCGDIDSAVMVFNQMPRLDVASCNCLISGYANCGLFEEAFRLFMNYESWGNKPNSYTYSSMLAICGTLSAIEEGKQLHAQVVKMQYLSETAVSNALLTMYCKCEAMADAESLFERLPQRNIVSWTAIIHGLYQHEGFEKAMRLCCLMRESGIEPNDYTFTIALASCGNMKNIDNCRLLHGLVIKKGMALGEFVGTALIDMYSELRETDDAEKQFKEMGNLTSKVSRNALIKGLVQNEKANEALDAFSEMVRKDAACDEFTFSPILKACASLPSFMSCQQIHAQVVKANFDKNTHVGSSLIEAYTRCGSVEDAEKVFSRISAPDVVSWNSVIKAYSQNGNPRRAISLFRRMIIKGFRPTGSTFLAVLSACSHSGKIQDGQEIFQSMINEFGVSPEEAHYSCMVDLLGRSGQIEKALDFINNTPIKPTASIWRPLLAACRCHNNLRIAEFAAKHILAMDPNDATVYVTLSNMYIEAGLLENAENQRKLMKLKEITKEPGCSWIEVNNKIHKFFSRDRTHPESEKIYDKLKQIMMLIKGTAYSTNADSLQVKEDFCLYHSEKLAVCFGLISLAAGKPVRIFKNLRVCTDCHMFMKFASMITDRVIILSDNYRFHHFNKGGCSCGDYW >KJB55404 pep chromosome:Graimondii2_0_v6:9:5336494:5341287:1 gene:B456_009G074400 transcript:KJB55404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHLHKFPLLSRSGVMERLIAEASEEGEEKCSICLPDIPGGAKTFELVAKFCYGVKLELTASNVVYLRSAAEHLEMTEEYGEGNLITKTETFLNQVVLRNWKDSLRALQTCDDIISYDDGLNVTKRCIESLAMKASTDPNLSGWPIMEYGGPMQSPGGSVLWNGISTGARVKNTSSDWWYEDASTLSFPRYKRLIAVMESRGIRQEIIAGSLTFYAKKYLPGLNRCQGATDTNSSTCVAPVALEAPPSEEDQKLLLEEIDRLLPIQKGLVPTNFLFGLLQTALILQVSPSYISNFEKRIGMQLDQATLEDLLIPNFSCSMETLYNVDCVQQILEHFLAMDQITGGASPSLVDDGQITASPSLTPVTLVAKLIDGYLAEVASDVNLKLPKFQALAASVPDYARHLDDGLYRAVDIYLKSHPWLSESEKEQLCQLMDCQKLSLEACTHAAQNERLPLRIVVQILFFEQLQLRTSITGCLLVSDNLDGSRQSGLAGPTERGWASTARENQVLKVGMDNMRTRVSELEKECSNMKQEIERLGRVKSFTWGNVSKRFGFKLKSRMCSAQEGSISNQNTESRKNEKLKDRQGQHKKNSTPKFR >KJB55403 pep chromosome:Graimondii2_0_v6:9:5336494:5341287:1 gene:B456_009G074400 transcript:KJB55403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMKLGSKTDAFKRQGQAWFCTTGLPSDIVVEVGEMSFHLHKFPLLSRSGVMERLIAEASEEGEEKCSICLPDIPGGAKTFELVAKFCYGVKLELTASNVVYLRSAAEHLEMTEEYGEGNLITKTETFLNQVVLRNWKDSLRALQTCDDIISYDDGLNVTKRCIESLAMKASTDPNLSGWPIMEYGGPMQSPGGSVLWNGISTGARVKNTSSDWWYEDASTLSFPRYKRLIAVMESRGIRQEIIAGSLTFYAKKYLPGLNRCQGATDTNSSTCVAPVALEAPPSEEDQKLLLEEIDRLLPIQKGLVPTNFLFGLLQTALILQVSPSYISNFEKRIGMQLDQATLEDLLIPNFSCSMETLYNVDCVQQILEHFLAMDQITGGASPSLVDDGQITASPSLTPVTLVAKLIDGYLAEVASDVNLKLPKFQALAASVPDYARHLDDGLYRAVDIYLKSHPWLSESEKEQLCQLMDCQKLSLEACTHAAQNERLPLRIVVQILFFEQLQLRTSITGCLLVSDNLDGSRQSGLAGPTERGWASTARENQVLKVGMDNMRTRVSELEKECSNMKQEIERLGRVKSFTWGNVSKRFGFKLKSRMCSAQEGSISNQNTESRKNEKLKDRQGQHKKNSTPKFR >KJB55402 pep chromosome:Graimondii2_0_v6:9:5336492:5341303:1 gene:B456_009G074400 transcript:KJB55402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMKLGSKTDAFKRQGQAWFCTTGLPSDIVVEVGEMSFHLHKFPLLSRSGVMERLIAEASEEGEEKCSICLPDIPGGAKTFELVAKFCYGVKLELTASNVVYLRSAAEHLEMTEEYGEGNLITKTETFLNQVVLRNWKDSLRALQTCDDIISYDDGLNVTKRCIESLAMKASTDPNLSGWPIMEYGGPMQSPGGSVLWNGISTGARVKNTSSDWWYEDASTLSFPRYKRLIAVMESRGIRQEIIAGSLTFYAKKYLPGLNRCQGATDTNSSTCVAPVALEAPPSEEDQKLLLEEIDRLLPIQKGLVPTNFLFGLLQTALILQQILEHFLAMDQITGGASPSLVDDGQITASPSLTPVTLVAKLIDGYLAEVASDVNLKLPKFQALAASVPDYARHLDDGLYRAVDIYLKSHPWLSESEKEQLCQLMDCQKLSLEACTHAAQNERLPLRIVVQILFFEQLQLRTSITGCLLVSDNLDGSRQSGLAGPTERGWASTARENQVLKVGMDNMRTRVSELEKECSNMKQEIERLGRVKSFTWGNVSKRFGFKLKSRMCSAQEGSISNQNTESRKNEKLKDRQGQHKKNSTPKFR >KJB57510 pep chromosome:Graimondii2_0_v6:9:12879707:12883839:-1 gene:B456_009G167600 transcript:KJB57510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSTPAAVPRADKDDERRTASTTRPEFNSSDMEIDDDDEEERGEELQVSSKRNDGSNIMESKGHVGHSGSDPLSAPGRSEMRIPSKTLYVGESSASFNLCSLPDEPWATQREDLCKNYDGSKYPISGKRPANEKAIQEYGVNGQDARVIFERKAHEDHHEQHTSSTVNEIMYEATNLISVDGKEIAINDVISILGRYHSGVGVSSHLGFEQKSKQMGMQTEDNKRSMKSRSSAPQTSTGSLYPGAEFNSENKRPALICDFFARGWCVKGSSCKFIHIKDSGSNPSQQSEEDAAEADEKIAVQLDEGIQNTAEKSRPPSPGSSDRLPSSARNRTTLSPLIQSKDKSIGTSLGSQQFQASTDDSEPSKDVRQTSIGKSLPADNYVKPGLLSDRGSSTFGNGFLPKHIPYLSGSDTYLGNTYKENQKYRVSTWLASLPFSSSSSACSVDAQKMLKNDREHHTSRPSSLLRGFSSLSSFEPENFPATDIAKDPLHFAEYRIKISSEDWEPSVPFRPSFFITFGPSSPRREYDPLRDSIDLSNVGERSLKFSFSSQGPSLLDVAYPPTYDDSTSAGPLVPACNADKITASCHGRYVENLVNNNCYTSGKDSTTDANDWTSAADMQNGTLVKEEISSVASHVKDISKTSKADTDRDARHRRDGFRCKKDLKVDRVREKNEIDIEHKADGEALKESKAMRHFHAALVDLIKELLKPTWREGHLSKDAHNRIVKKAVNKVIGTVQPHQIPLTFESVKQYLSASQSKIARLVQGYIEKYGKS >KJB57511 pep chromosome:Graimondii2_0_v6:9:12879036:12883839:-1 gene:B456_009G167600 transcript:KJB57511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSTPAAVPRADKDDERRTASTTRPEFNSSDMEIDDDDEEERGEELQVSSKRNDGSNIMESKGHVGHSGSDPLSAPGRSEMRIPSKTLYVGESSASFNLCSLPDEPWATQREDLCKNYDGSKYPISGKRPANEKAIQEYGVNGQDARVIFERKAHEDHHEQHTSSTVNEIMYEATNLISVDGKEIAINDVISILGRYHSGVGVSSHLGFEQKSKQMGMQTEDNKRSMKSRSSAPQTSTGSLYPGAEFNSENKRPALICDFFARGWCVKGSSCKFIHIKDSGSNPSQQSEEDAAEADEKIAVQLDEGIQNTAEKSRPPSPGSSDRLPSSARNRTTLSPLIQSKDKSIGTSLGSQQFQASTDDSEPSKDVRQTSIGKSLPADNYVKPGLLSDRGSSTFGNGFLPKHIPYLSGSDTYLGNTYKENQKYRVSTWLASLPFSSSSSACSVDAQKMLKNDREHHTSRPSSLLRGFSSLSSFEPENFPATDIAKDPLHFAEYRIKISSEDWEPSVPFRPSFFITFGPSSPRREYDPLRDSIDLSNVGERSLKFSFSSQGPSLLDVAYPPTYDDSTSAGPLVPACNADKITASCHGRYVENLVNNNCYTSGKDSTTDANDWTSAADMQNGTLVKEEISSVASHVKDISKTSKADTDRDARHRRDGFRCKKDLKVDRVREKNEIDIEHKADGEALKESKAMRHFHAALVDLIKELLKPTWREGHLSKDAHNRIVKKAVNKVIGTVQPHQIPLTFESVKQYLSASQSKIARLVQGYIEKYGKS >KJB57509 pep chromosome:Graimondii2_0_v6:9:12878947:12883839:-1 gene:B456_009G167600 transcript:KJB57509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSTPAAVPRADKDDERRTASTTRPEFNSSDMEIDDDDEEERGEELQVSSKRNDGSNIMESKGHVGHSGSDPLSAPGRSEMRIPSKTLYVGESSASFNLCSLPDEPWATQREDLCKNYDGSKYPISGKRPANEKAIQEYGVNGQDARVIFERKAHEDHHEQHTSSTVNEIMYEATNLISVDGKEIAINDVISILGRYHSGVGVSSHLGFEQKSKQMGMQTEDNKRSMKSRSSAPQTSTGSLYPGAEFNSENKRPALICDFFARGWCVKGSSCKFIHIKDSGSNPSQQSEEDAAEADEKIAVQLDEGIQNTAEKSRPPSPGSSDRLPSSARNRTTLSPLIQSKDKSIGTSLGSQQFQASTDDSEPSKDVRQTSIGKSLPADNYVKPGLLSDRGSSTFGNGFLPKHIPYLSGSDTYLGNTYKENQKYRVSTWLASLPFSSSSSACSVDAQKMLKNDREHHTSRPSSLLRGFSSLSSFEPENFPATDIAKDPLHFAEYRIKISSEDWEPSVPFRPSFFITFGPSSPRREYDPLRDSIDLSNVGERSLKFSFSSQGPSLLDVAYPPTYDDSTSAGPLVPACNADKITASCHGRYVENLVNNNCYTSGKDSTTDANDWTSAADMQNGTLVKEEISSVASHVKDISKTSKADTDRDARHRRDGFRCKKDLKVDRVREKNEIDIEHKADGEALKESKAMRHFHAALVDLIKELLKPTWREGHLSKDAHNRIVKKAVNKVIGTVQPHQIPLTFESVKQYLSASQSKIARLVQDWQF >KJB56900 pep chromosome:Graimondii2_0_v6:9:10668854:10670299:-1 gene:B456_009G141000 transcript:KJB56900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGCYIKQIANEADDAPSDVKVKVFHFTKEVIAKLKEKSNVDVGINDEISSLQALLCHLWRSIVFNKKIDPDQETSYCLLIDARKQIRGLSEAYFGNALQIETVTMKVKELLIFLNRVSSCGPNDSHRNNRPPLDAFDAMVTGFELRPVTLDNGLGNAAWQMNKLVASCHEEKLRNFFECWKRCPKLTRLSNLVPNIAVVTSGSPRFDIYGGNTELGRPVAIRSGPGNKFDGRATVQSGKEDGSIDIEVCLPVETFEAMEKDKEFMDTVSIKS >KJB59095 pep chromosome:Graimondii2_0_v6:9:19071289:19079599:1 gene:B456_009G239200 transcript:KJB59095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRLLFDLNEPPAEDDEESDRGICIQPQKALPSANPHATDLFVTSSGSQRIANNHAFSHASTGSGFQPFIRPKVSTCPEVGVEPKRTGDQNSNLASSSSRSNISGEIKSQVAASFVSGSANAQAMEREEGEWSDAEGTADAYGNFCMHEEVKASQEQGVQELESNALGVTVESVSAAENSHSPLRLEPHLNENKGNSVQISEGDSKGNISIDGQEEPVLVPKQREVKGIEASHALKCANNPVKRKIDQQKEAMLGKKRNRKTMFLNLEDVKQAGPIKTSTPRRQNFPTPVITRTVKEVRTNPQSGERAGEKQGQPINEDQKQVDLPCNDGINPAVELFDHKSECDGDTSSGLLARPRRLNSDTELSEAHLPPIPRQSSWKQPDLRQLKNMQFSNRKPAPINQSSMDTKMVNKKHLPSKKTTATSTSYQDTSVERLIREVTSEKFWHHPEDTDLQCVPGRFESVEDYVRVFEPLLFEECRAQLYSTWEELTESASRDTQIMVRIKNIERRERGWYDVIVLPANECKWVFKEGDVAVLSAPRPGSVRNKRNSSTIEEDEDTEVNGRVVGTVRRHIPLDTRDPIGAIVHFYVGDSYDSSSKVDDDHILRKLQPRSLWYLTVLGSLATTQREYVALHAFCRLNSQMQTAILKPSPDHFPKYEQQSPAMPECFTPNFVDYLHRTFNGPQLAAIQWAATHTAAGTSSGVTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPESYKQANESNPDSVAMGSIDEVLQNMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKIYRPDVARVGVDSQTRAAQAVSVERRTEQLLLKNRDEIFGHIQTLKAREAMLSQQIATLQRELTAAAVTVRSQGSVGVDPEILLARDQNRDVLLQNLAAVVESRDKVLVEMSRLLILEAKFRVGSNFNLEEARANLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLIDSESVANLPDEVYYKDPLLKPYLFYDITHGRESHRGGSVSYQNVHEAVFCLRLYEYLQKTLKSLGVPKITVGIITPYKLQLKCLQREFESVLRTEEGKRDIYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALWVMGNASALVQSDDWAALIADAKARNCYMDMDSLPKDFTKDLLPKEFSGPRGLGYSPSQGKASNMRGLRSAGPRHRSLDMHMESRSGTPSEDEDKSGTTVISRNGNYRPFKSPLDTFLDDFHPSGDKSREAWQYGILKKQNSAGTMGKRDS >KJB59097 pep chromosome:Graimondii2_0_v6:9:19071637:19079571:1 gene:B456_009G239200 transcript:KJB59097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRLLFDLNEPPAEDDEESDRGICIQPQKALPSANPHATDLFVTSSGSQRIANNHAFSHASTGSGFQPFIRPKVSTCPEVGVEPKRTGDQNSNLASSSSRSNISGEIKSQVAASFVSGSANAQAMEREEGEWSDAEGTADAYGNFCMHEEVKASQEQGVQELESNALGVTVESVSAAENSHSPLRLEPHLNENKGNSVQISEGDSKGNISIDGQEEPVLVPKQREVKGIEASHALKCANNPVKRKIDQQKEAMLGKKRNRKTMFLNLEDVKQAGPIKTSTPRRQNFPTPVITRTVKEVRTNPQSGERAGEKQGQPINEDQKQVDLPCNDGINPAVELFDHKSECDGDTSSGLLARPRRLNSDTELSEAHLPPIPRQSSWKQPDLRQLKNMQFSNRKPAPINQSSMDTKMVNKKHLPSKKTTATSTSYQDTSVERLIREVTSEKFWHHPEDTDLQCVPGRFESVEDYVRVFEPLLFEECRAQLYSTWEELTESASRDTQIMVRIKNIERRERGWYDVIVLPANECKWVFKEGDVAVLSAPRPGSVRNKRNSSTIEEDEDTEVNGRVVGTVRRHIPLDTRDPIGAIVHFYVGDSYDSSSKVDDDHILRKLQPRSLWYLTVLGSLATTQREYVALHAFCRLNSQMQTAILKPSPDHFPKYEQQSPAMPECFTPNFVDYLHRTFNGPQLAAIQWAATHTAAGTSSGVTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPESYKQANESNPDSVAMGSIDEVLQNMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKIYRPDVARVGVDSQTRAAQAVSVERRTEQLLLKNRDEIFGHIQTLKAREAMLSQQIATLQRELTAAAVTVRSQGSVGVDPEILLARDQNRDVLLQNLAAVVESRDKVLVEMSRLLILEAKFRVGSNFNLEEARANLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLIDSESVANLPDEVYYKDPLLKPYLFYDITHGRESHRGGSVSYQNVHEAVFCLRLYEYLQKTLKSLGVPKITVGIITPYKLQLKCLQREFESVLRTEEGKRDIYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALWVMGNASALVQSDDWAALIADAKARNCYMDMDSLPKDFTKDLLPKEFSGPRGLGYSPSQGKASNMRGLRSAGPRHRSLDMHMESRSGTPSEDEDKSGTTVISRNGNYRPFKSPLDTFLDDFHPSGDKSREAWQYGILKKQNSAGTMGKRDS >KJB59096 pep chromosome:Graimondii2_0_v6:9:19071637:19079489:1 gene:B456_009G239200 transcript:KJB59096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRLLFDLNEPPAEDDEESDRGICIQPQKALPSANPHATDLFVTSSGSQRIANNHAFSHASTGSGFQPFIRPKVSTCPEVGVEPKRTGDQNSNLASSSSRSNISGEIKSQVAASFVSGSANAQAMEREEGEWSDAEGTADAYGNFCMHEEVKASQEQGVQELESNALGVTVESVSAAENSHSPLRLEPHLNENKGNSVQISEGDSKGNISIDGQEEPVLVPKQREVKGIEASHALKCANNPVKRKIDQQKEAMLGKKRNRKTMFLNLEDVKQAGPIKTSTPRRQNFPTPVITRTVKEVRTNPQSGERAGEKQGQPINEDQKQVDLPCNDGINPAVELFDHKSECDGDTSSGLLARPRRLNSDTELSEAHLPPIPRQSSWKQPDLRQLKNMQFSNRKPAPINQSSMDTKMVNKKHLPSKKTTATSTSYQDTSVERLIREVTSEKFWHHPEDTDLQCVPGRFESVEDYVRVFEPLLFEECRAQLYSTWEELTESASRDTQIMVRIKNIERRERGWYDVIVLPANECKWVFKEGDVAVLSAPRPGSAVRNKRNSSTIEEDEDTEVNGRVVGTVRRHIPLDTRDPIGAIVHFYVGDSYDSSSKVDDDHILRKLQPRSLWYLTVLGSLATTQREYVALHAFCRLNSQMQTAILKPSPDHFPKYEQQSPAMPECFTPNFVDYLHRTFNGPQLAAIQWAATHTAAGTSSGVTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPESYKQANESNPDSVAMGSIDEVLQNMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKIYRPDVARVGVDSQTRAAQAVSVERRTEQLLLKNRDEIFGHIQTLKAREAMLSQQIATLQRELTAAAVTVRSQGSVGVDPEILLARDQNRDVLLQNLAAVVESRDKVLVEMSRLLILEAKFRVGSNFNLEEARANLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLIDSESVANLPDEVYYKDPLLKPYLFYDITHGRESHRGGSVSYQNVHEAVFCLRLYEYLQKTLKSLGVPKITVGIITPYKLQLKCLQREFESVLRTEEGKRDIYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALWVMGNASALVQSDDWAALIADAKARNCYMDMDSLPKDFTKDLLPKEFSGPRGLGYSPSQGKASNMRGLRSAGPRHRSLDMHMESRSGTPSEDEDKSGTTVISRNGNYRPFKSPLDTFLDDFHPSGDKSREAWQYGILKKQNSAGTMGKRDS >KJB58773 pep chromosome:Graimondii2_0_v6:9:17649348:17654419:-1 gene:B456_009G225800 transcript:KJB58773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASLIVSPLLQGIYGKLASYVNGVETPKVQKKNIKKLQDKLSIIQAVIQDAEERQLNDNRVKIWLSKLRDAAYDADDLLDEITTQRLLRRSLKERVPSECSPWNWKDPVKDKLRRIQSSISKDTQRNVRITTFAIQSIFSSFKMRGKLTDIIERLDDIASEMSTFSFKDVVAYKSSDTREKRETGPDVDESEVHGRADDVKKIVDLLLSSSADTWVIPIVGIGGIGKTTLAQLVYNDPRLDGHFDKKIWVSLYDSFSTKRLLTEILECLTEHRCESSHMGVLQSQLRDSLYGKRYLLVMDDVWGDDQEEWDKVRSLLRCGAEGSKIIVTTRTERVASIMSNAPSHLLEGLAKDACWTLFKQQAFADGEENEFPNLLPISLRIIDKCQGVPLAAKVLGGLLRSKREEDEWLRVQESDLWSLDTGENRILSVLRLSFNHLPSNLKRCFAYCAIYPRNYHLNKEKLIQQWIAGGFVQSAGDNPDMLEQMGNECFNDLLEMSFFQLTSSSDAGEFKIPSLIYDLAKLIAGKEFLTIENSDQAQVVTGHNLAETRYALVENNYGSSLLPKALYKAHKLCSLNFLASGDISMEAQRNLVQCFRHLKMLNLSGSGIKKLHRSIGDLIYLRYLDLSNTPLQTLPETIGHLCNLRTLDLSGCTDLLELPGEIVKLVNLMHLNVKDCTRLASLPACWWSMVRLRTLPIVISSKNLRLLRHLKNLQGELKIKYLENCTDPSLRMPYGAIEFEQNCRDPSFRMPRGAKEFEHCLVLNHLQLRTLDLFWGDGGEGKLNQNTSRQTRRSELLSQQLINWLKPSPNIRRLSIKGYLGCEFPFWMESDAIHNLTVLELINCKTVETLPMLGQLPFLKCLNIQGMDNVVKIDYEFYTGAMCPFPSLNELTLQDFPELRTWEGMGSTEAFPCLKKLSIMNCPLLKTMPSFGFPCLKRLSIMKCPLLKTMPSFPTLQHLMLKDCDPLLLRSAADLRTLLTLVIDSFCELDFIPKVLLENCLLLVSLTVISCPMLPRLPANLGRVTALKSLKIGWCAMLDSLPHGLANLISLENLEIIECPSLVTLPEQSLERLSSLRSLSFENCNGFTSLPRGMQHATALERLTVMYCSNLASLPDGLQNLLVLKSLTILSCPELASLPDGVQHMKMLQNLEIRICPKIMALPKVKDLISLRSLAISDCQNIKSLPEGIEQLSGLQHLSIQDCPELEKRCKKGEGEDWLKISHIPYVYIGASVLQNRRDTAASSSSS >KJB62706 pep chromosome:Graimondii2_0_v6:9:67710487:67714171:1 gene:B456_009G431700 transcript:KJB62706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCSSLRSIVNKNVIMWKPPPPGWMKFSVAGVIMEDEAGSGGVLRDNKGVAYAMFSRPIEATGSGKAELRAIKIVVEMFMSMGWHEKVHLVIESSSSVILEWLLDRSYRPWMLRNLFIGIDYDINLLLHAQFAIIQ >KJB61221 pep chromosome:Graimondii2_0_v6:9:42503698:42505877:1 gene:B456_009G347100 transcript:KJB61221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g27050 [Source:Projected from Arabidopsis thaliana (AT1G27050) UniProtKB/Swiss-Prot;Acc:P0CJ66] MNKTRELLTPPSLALNYRLNLFPFFFLSENMSRKREKPYFSRHAPASISKRRRPLPPHPPPPPSSENEAKPKPPPAVIVMGLPPNCSVLDLKSRFEIYGSISRIRINCDAVGYIVYRTKESAESAIAASLDSSFGITVDSKRVQVLWATDPLAQWRDGIGVNANNDKGATCSSKLLRPEVPLSRHGRSNKLASAIVNPRTTDDGSSMLELPFKGREIVAYDDIL >KJB56946 pep chromosome:Graimondii2_0_v6:9:10850889:10852831:-1 gene:B456_009G143200 transcript:KJB56946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHRGSDPETEPNTRLYNPYQDLNLQVPITNLYKLPTSPEFLFPEESLHQRRSWGENLTFYTGSAYLGGSVSGAAVGLFSALKNFEQDDTLKLKINRILNSSGHTGRTWGNRIGVVGLIYAGLESGVVAVTDRDDVWSSVAAGLATGAVCRAARGVRSAAVAGALGGLAAGAVVAGKQVLKRYVPI >KJB62551 pep chromosome:Graimondii2_0_v6:9:66066249:66070469:1 gene:B456_009G422900 transcript:KJB62551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLHKQSSMNHRRDEETPSTQTPPYSPKSLKHPKSIPRSINYLFKEQRLLFILIGILIGSTFFILQPSLSRLGPTDAHSPIRRSFSKDNTVSSGVSVFSDNHDSSASFSSGTNRAVYGKVGRVPVGIGRRRMRVVVTGGAGFVGSHLVDKLIARGDEVIVLDNFFTGRKDNLVHLFGNPMFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGKRSCYDEGKRTAETLAMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKLPMTVYGDGKQTRSFQYVSDLVDGLVALMDGEHIGPFNLGNPGEFTMLELSEVVKETIDPSATVEFRPNTADDPHMRKPDISKAKELLNWEPKISLREGLPLMVGDFRQRILNEDEGKGA >KJB62548 pep chromosome:Graimondii2_0_v6:9:66066249:66067772:1 gene:B456_009G422900 transcript:KJB62548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLHKQSSMNHRRDEETPSTQTPPYSPKSLKHPKSIPRSINYLFKEQRLLFILIGILIGSTFFILQPSLSRLGPTDAHSPIRRSFSKDNTVSSGVSVFSDNHDSSASFSSGTNRAVYGKVGRVPVGIGRRRMRVVVTGGAGFVGSHLVDKLIARGDEVIVLDNFFTGRKDNLVHLFGNPMFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGKVASATTIICFGCLFSYSCRLLLSFGLIWLGERSCYDEGKRTAETLAMDYHRGAGVEVWFS >KJB62550 pep chromosome:Graimondii2_0_v6:9:66066249:66070469:1 gene:B456_009G422900 transcript:KJB62550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLHKQSSMNHRRDEETPSTQTPPYSPKSLKHPKSIPRSINYLFKEQRLLFILIGILIGSTFFILQPSLSRLGPTDAHSPIRRSFSKDNTVSSGVSVFSDNHDSSASFSSGTNRAVYGKVGRVPVGIGRRRMRVVVTGGAGFVGSHLVDKLIARGDEVIVLDNFFTGRKDNLVHLFGNPMFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGERSCYDEGKRTAETLAMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKLPMTVYGDGKQTRSFQYVSDLVDGLVALMDGEHIGPFNLGNPGEFTMLELSEVVKETIDPSATVEFRPNTADDPHMRKPDISKAKELLNWEPKISLREGLPLMVGDFRQRILNEDEGKGA >KJB62549 pep chromosome:Graimondii2_0_v6:9:66066249:66070469:1 gene:B456_009G422900 transcript:KJB62549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLHKQSSMNHRRDEETPSTQTPPYSPKSLKHPKSIPRSINYLFKEQRLLFILIGILIGSTFFILQPSLSRLGPTDAHSPIRRSFSKDNTVSSGVSVFSDNHDSSASFSSGTNRAVYGKVGRVPVGIGRRRMRVVVTGGAGFVGSHLVDKLIARGDEVIVLDNFFTGRKDNLVHLFGNPMFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGERSCYDEGKRTAETLAMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKLPMTVYGDGKQTRSFQYVSDLVDGLVALMDGEHIGPFNLGNPGEFTMLELSEVVKETIDPSATVEFRPNTADDPHMRKPDISKAKELLNWEPKISLREGLPLMVGDFRQRILNEDEGKGA >KJB62552 pep chromosome:Graimondii2_0_v6:9:66066249:66070469:1 gene:B456_009G422900 transcript:KJB62552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLHKQSSMNHRRDEETPSTQTPPYSPKSLKHPKSIPRSINYLFKEQRLLFILIGILIGSTFFILQPSLSRLGPTDAHSPIRRSFSKDNTVSSGVSVFSDNHDSSASFSSGTNRAVYGKVGRVPVGIGRRRMRVVVTGGAGFVGSHLVDKLIARGDEVIVLDNFFTGRKDNLVHLFGNPMFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGKVASATTIICFGCLFSYSCRLLLSFGLIWLGERSCYDEGKRTAETLAMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKLPMTVYGDGKQTRSFQYVSDLVDGLVALMDGEHIGPFNLGNPGEFTMLELSEVVKETIDPSATVEFRPNTADDPHMRKPDISKAKELLNWEPKISLREGLPLMVGDFRQRILNEDEGKGA >KJB56904 pep chromosome:Graimondii2_0_v6:9:10823475:10825038:-1 gene:B456_009G142800 transcript:KJB56904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAPEGSQFDARQYDQKMTELLQTDGDDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYAMVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPAKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTNDDERMLYDIQKFYNVIIEELPSNVADLL >KJB56905 pep chromosome:Graimondii2_0_v6:9:10823101:10826630:-1 gene:B456_009G142800 transcript:KJB56905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAPEGSQFDARQYDQKMTELLQTDGDDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYAMVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPAKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRERCCH >KJB56903 pep chromosome:Graimondii2_0_v6:9:10823077:10826736:-1 gene:B456_009G142800 transcript:KJB56903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAPEGSQFDARQYDQKMTELLQTDGDDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYAMVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPAKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTNDDERMLYDIQKFYNVIIEELPSNVADLL >KJB62633 pep chromosome:Graimondii2_0_v6:9:66887464:66889642:-1 gene:B456_009G427000 transcript:KJB62633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB62631 pep chromosome:Graimondii2_0_v6:9:66887502:66888875:-1 gene:B456_009G427000 transcript:KJB62631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB62632 pep chromosome:Graimondii2_0_v6:9:66887234:66889720:-1 gene:B456_009G427000 transcript:KJB62632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB56940 pep chromosome:Graimondii2_0_v6:9:10821303:10822817:-1 gene:B456_009G142700 transcript:KJB56940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFRSKSYRDGEMQMDSYYYQAKEGGPRNMQDLRCYSANYANSVQPPNQLGKEIKMKKSKSTFGSSSKSWSFNDPELQRKKRVAGYKVYAVEGKMKGSLRKSFRWIKDYVLYGWR >KJB60587 pep chromosome:Graimondii2_0_v6:9:29571984:29578104:-1 gene:B456_009G314800 transcript:KJB60587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPESNQLPEVDSLPDGFVESPSEILAPKTPISKEEKPLQPDCREGDLVSSEFGANKGQKQRTFPVPLSEADGFEGSADYVEGIGRGSETNLTALKESSSSESIDLPKNKKTETTETKRKTAKRTSKSEKEFLEFSLKYQQVIAERDTAIAVRDKLESLCRELQRQNKMLMDECKRVSTEGQNLRLDLSARFQDAIKDVSNKLEEQKDDCLSQLKENEMLRDKLKELADQYALSEQQYAQKLKQKTLELQLSDLKIKQHEEKLTQEQAQMKVYAEQVSQLLVTEKNLRMQLTADGEKFQQFQDALSKSNEVFETFKQEIEKMAKSIKELRKENVFLKSKCDKSDFTLIELVEERERMKKQLEKTKNQKEKLESLCRSLQAERKQSSTGSSGSNSLADQM >KJB60586 pep chromosome:Graimondii2_0_v6:9:29571966:29578163:-1 gene:B456_009G314800 transcript:KJB60586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPESNQLPEVDSLPDGFVESPSEILAPKTPISKEEKPLQPDCREGDLVSSEFGANKGQKQRTFPVPLSEADGFEGSADYVEGKLVCPELSNSLPEAAECSEVKEVKGKCESTETCIGRGSETNLTALKESSSSESIDLPKNKKTETTETKRKTAKRTSKSEKEFLEFSLKYQQVIAERDTAIAVRDKLESLCRELQRQNKMLMDECKRVSTEGQNLRLDLSARFQDAIKDVSNKLEEQKDDCLSQLKENEMLRDKLKELADQYALSEQQYAQKLKQKTLELQLSDLKIKQHEEKLTQEQAQMKVYAEQVSQLLVTEKNLRMQLTADGEKFQQFQDALSKSNEVFETFKQEIEKMAKSIKELRKENVFLKSKCDKSDFTLIELVEERERMKKQLEKTKNQKEKLESLCRSLQAERKQSSTGSSGSNSLADQM >KJB60999 pep chromosome:Graimondii2_0_v6:9:35415646:35416832:1 gene:B456_009G334900 transcript:KJB60999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEFYITKRVLAESKKGPFEVTIHVDSCWRCDLDWEKNRKKLADCAPGFARGTTGGKDGDFYVVTDPINNTVDPKPRTLRHPVTQNGPLWIIFKGSMTIKLEQELNVTSDETIDARGGNVKICTGAGITIQFPKNVIIHGHQVHLIIPAKGGKIKDGENHHGLRGDSDGDGVSLFGATNVWLDHLSLHHCTDGLIDVKMQVTVALNHFGKGLVERMPRCRFGFIHVVNNDYNHWFLYAIGGTSNPTIISQGNSWNWVSQGDHFENGAFFTPSSNPSASKQFGTNKMIPFKPGQMVFELRKYAGPLSCTISRPC >KJB59730 pep chromosome:Graimondii2_0_v6:9:22405686:22406636:-1 gene:B456_009G268700 transcript:KJB59730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSRPHYKSLIISNNEACHCSFLAIDNPASTLIFNRRRPFYHGSWLGMLQILSTITIFQPKTPNEGNNFLQTLHLSFMYKFISREPNRPDSNSNYTFLVLFKILQQPTQPIIKIYH >KJB59237 pep chromosome:Graimondii2_0_v6:9:19805243:19808133:1 gene:B456_009G246000 transcript:KJB59237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIAAAVALSLPISLCNSCKVSKKFQGVKGGFGVFAVLGEANSLGPSDKKSLWGTLFDVEDPRSKAPQCKGRFLDVYQALELARYDIQYCDWRARQDLRTIVLLHEKVVEVLNPLAREYKSIGTMKKELAELQGELAQAHKQVHISEARVSAALDKLAYMEELVNGKLLEDRTKMESSIAAPSMSTQSLDTMKRPRKSLDVSGPVQPYHPRLKNFWYPVAFSTDLKDETMIPIDCFEEPWVLFRGKDGNPGCIQNTCAHRACPLHLGSVNEGRIQCPYHGWEYTTDGKCEKMPSTRLLNVKIKSLPCLEQEGMIWIWPGDDPPTTTLPSLQPPSGFVIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWTVPSLVKFLTPASGLQGYWDPYPIDMEFQPPCMVLSTIGISKPGKLEGQSTKECATHLHQLHVCLPSSRNKTRLLYRMSLDFAPLLKHIPFMHYLWRHFAEQVKIYPTFSFFG >KJB59236 pep chromosome:Graimondii2_0_v6:9:19805166:19809140:1 gene:B456_009G246000 transcript:KJB59236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIAAAVALSLPISLCNSCKVSKKFQGVKGGFGVFAVLGEANSLGPSDKKSLWGTLFDVEDPRSKAPQCKGRFLDVYQALELARYDIQYCDWRARQDLRTIVLLHEKVVEVLNPLAREYKSIGTMKKELAELQGELAQAHKQVHISEARVSAALDKLAYMEELVNGKLLEDRTKMESSIAAPSMSTQSLDTMKRPRKSLDVSGPVQPYHPRLKNFWYPVAFSTDLKDETMIPIDCFEEPWVLFRGKDGNPGCIQNTCAHRACPLHLGSVNEGRIQCPYHGWEYTTDGKCEKMPSTRLLNVKIKSLPCLEQEGMIWIWPGDDPPTTTLPSLQPPSGFVIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWTVPSLVKFLTPASGLQGYWDPYPIDMEFQPPCMVLSTIGISKPGKLEGQSTKECATHLHQLHVCLPSSRNKTRLLYRMSLDFAPLLKHIPFMHYLWRHFAEQVLNEDLRLVIGQQERMINGANVWNWPVAYDKLGVRYRLWRDAVERGSKPLPFSKPM >KJB56018 pep chromosome:Graimondii2_0_v6:9:7457590:7462493:-1 gene:B456_009G103400 transcript:KJB56018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIEKNNFKVSRFDSEFSYGSRETTVSSDEDELQRQSSAVDCDGDDDDDDEFDDADSGAGSDDFDLLELGETGAEFCQVGNLTCSVPLELYDLPGLEDILSLDVWNECLSDEERFSLTKFLPDMDQDTFMRTLNDLLKGDNFHFGSPIKKLFNMLKGGLCEPRVALYREGLNFFQKRQHYHHLRKHQNNMVANLCQIRDAWLKCRGYGIEERLRVLNIMRSQKSLVYEKLEDEDSESSKREDLDDGLWSKKVKDRKASQKKAHYSGYGVEPNLEFISRGQLMALEPAKYGKQNPKGTLPRQKYESGAVLRSRDWIRLDDDAEDPMFGAGIQRDRNVVRDSIMGKSGSLRARKKYERLEEFAGDSSAALPLSSKHDLQAHGRNRNMNKLSEAKMYTSKPPNRRSDDLPKKVKYTENHLQFAVGKQIKSSKGRTPPFPLKGSRVDLSECAEMFCQNKNHGEDISVDSSVRSDDWNVRSKKWKTGRDSPDVSFKSYKVSSPLMNDRFLQSDSRIKPSQEKIRGNYVQKRGPVSKGNRAFIRNEETESDSSEQFDNDEDSNPLMRSKLAYPTGIIKDSQLSSLKSGVDSKRTKSLKKNSMEDGWTVDGITRFSKKSFREDVHVPGVENYYFKGKQKGKMSKSPLLNSTSRVMDEVDRKQVYKLGKSAQLRGEPGNRLHKSSSRVYPTDKRQKGELAYDHSTSQTNYLRDYLVDEEDALPVTLLLADENNPGRNRKKGQSIEAYDRCEKSEASLRGCNKGTKKRKGKEYVAHVDRRGKDGNLQSNLEQQIDDSLFLKKKGKRKLEADIGTSDMEASEPHGAELGTIDVEIETKPQKKPFTLITPTVHAGFSFSIIHLLSAVRLAMITPLPEDSLEVSKPREEQNGKQEGGANGVLSCENAVSNDLDHPVQASALSLTVHEIVSRVAANPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVAYEKSTKGWSWVGPVMHSSNDHETIEEVTSPEAWGLPPKMLVKLVDSFANWLKNGQETLQLLGSLPAPPLELMQANLDEKERFRDLRAQKSLSTISPSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCIVRDAAARLPGSIGTRADVCTLIRDSQYIVEEVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDTAEQPDQGAVTVAFHGTGDQSGFDLVSDLNVEPPCCDKKMETDSHNRQNVEDNADTSHGSEQGNTQQHGHPMQESKLLCQENSTNEDFDQHFSFAGQSPPRG >KJB56022 pep chromosome:Graimondii2_0_v6:9:7458149:7462624:-1 gene:B456_009G103400 transcript:KJB56022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIEKNNFKVSRFDSEFSYGSRETTVSSDEDELQRQSSAVDCDGDDDDDDEFDDADSGAGSDDFDLLELGETGAEFCQVGNLTCSVPLELYDLPGLEDILSLDVWNECLSDEERFSLTKFLPDMDQDTFMRTLNDLLKGDNFHFGSPIKKLFNMLKGGLCEPRVALYREGLNFFQKRQHYHHLRKHQNNMVANLCQIRDAWLKCRGYGIEERLRVLNIMRSQKSLVYEKLEDEDSESSKREDLDDGLWSKKVKDRKASQKKAHYSGYGVEPNLEFISRGQLMALEPAKYGKQNPKGTLPRQKYESGAVLRSRDWIRLDDDAEDPMFGAGIQRDRNVVRDSIMGKSGSLRARKKYERLEEFAGDSSAALPLSSKHDLQAHGRNRNMNKLSEAKMYTSKPPNRRSDDLPKKVKYTENHLQFAVGKQIKSSKGRTPPFPLKGSRVDLSECAEMFCQNKNHGEDISVDSSVRSDDWNVRSKKWKTGRDSPDVSFKSYKVSSPLMNDRFLQSDSRIKPSQEKIRGNYVQKRGPVSKGNRAFIRNEETESDSSEQFDNDEDSNPLMRSKLAYPTGIIKDSQLSSLKSGVDSKRTKSLKKNSMEDGWTVDGITRFSKKSFREDVHVPGVENYYFKGKQKGKMSKSPLLNSTSRVMDEVDRKQVYKLGKSAQLRGEPGNRLHKSSSRVYPTDKRQKGELAYDHSTSQTNYLRDYLVDEEDALPVTLLLADENNPGRNRKKGQSIEAYDRCEKSEASLRGCNKGTKKRKGKEYVAHVDRRGKDGNLQSNLEQQIDDSLFLKKKGKRKLEADIGTSDMEASEPHGAELGTIDVEIETKPQKKPFTLITPTVHAGFSFSIIHLLSAVRLAMITPLPEDSLEVSKPREEQNGKQEGGANGVLSCENAVSNDLDHPVQASALSLTVHEIVSRVAANPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVAYEKSTKGWSWVGPVMHSSNDHETIEEVTSPEAWGLPPKMLVKLVDSFANWLKNGQETLQLLGSLPAPPLELMQANLDEKERFRDLRAQKSLSTISPSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCIVRDAAARLPGSIGTRADVCTLIRDSQYIVEEVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDTAEQPDQGAVTVAFHGTGDQSGFDLVSDLNVEPPCCDKKMETDSHNRQNVEDNADTSHGSEQGNTQQHGHPMQESKLLCQENSTNEDFDQHFR >KJB56020 pep chromosome:Graimondii2_0_v6:9:7457534:7462962:-1 gene:B456_009G103400 transcript:KJB56020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIEKNNFKVSRFDSEFSYGSRETTVSSDEDELQRQSSAVDCDGDDDDDDEFDDADSGAGSDDFDLLELGETGAEFCQVGNLTCSVPLELYDLPGLEDILSLDVWNECLSDEERFSLTKFLPDMDQDTFMRTLNDLLKGDNFHFGSPIKKLFNMLKGGLCEPRVALYREGLNFFQKRQHYHHLRKHQNNMVANLCQIRDAWLKCRGYGIEERLRVLNIMRSQKSLVYEKLEDEDSESSKREDLDDGLWSKKVKDRKASQKKAHYSGYGVEPNLEFISRGQLMALEPAKYGKQNPKGTLPRQKYESGAVLRSRDWIRLDDDAEDPMFGAGIQRDRNVVRDSIMGKSGSLRARKKYERLEEFAGDSSAALPLSSKHDLQAHGRNRNMNKLSEAKMYTSKPPNRRSDDLPKKVKYTENHLQFAVGKQIKSSKGRTPPFPLKGSRVDLSECAEMFCQNKNHGEDISVDSSVRSDDWNVRSKKWKTGRDSPDVSFKSYKVSSPLMNDRFLQSDSRIKPSQEKIRGNYVQKRGPVSKGNRAFIRNEETESDSSEQFDNDEDSNPLMRSKLAYPTGIIKDSQLSSLKSGVDSKRTKSLKKNSMEDGWTVDGITRFSKKSFREDVHVPGVENYYFKGKQKGKMSKSPLLNSTSRVMDEVDRKQVYKLGKSAQLRGEPGNRLHKSSSRVYPTDKRQKGELAYDHSTSQTNYLRDYLVDEEDALPVTLLLADENNPGRNRKKGQSIEAYDRCEKSEASLRGCNKGTKKRKGKEYVAHVDRRGKDGNLQSNLEQQIDDSLFLKKKGKRKLEADIGTSDMEASEPHGAELGTIDVEIETKPQKKPFTLITPTVHAGFSFSIIHLLSAVRLAMITPLPEDSLEVSKPREEQNGKQEGGANGVLSCENAVSNDLDHPVQASALSLTVHEIVSRVAANPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVAYEKSTKGWSWVGPVMHSSNDHETIEEVTSPEAWGLPPKMLVKLVDSFANWLKNGQETLQLLGSLPAPPLELMQANLDEKERFRDLRAQKSLSTISPSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCIVRDAAARLPGSIGTRADVCTLIRDSQYIVEEVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDTAEQPDQGAVTVAFHGTGDQSGFDLVSDLNVEPPCCDKKMETDSHNRQNVEDNADTSHGSEQGNTQQHGHPMQESKLLCQENSTNEDFDQHFSFAGQSPPRG >KJB56019 pep chromosome:Graimondii2_0_v6:9:7457934:7462299:-1 gene:B456_009G103400 transcript:KJB56019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIEKNNFKVSRFDSEFSYGSRETTVSSDEDELQRQSSAVDCDGDDDDDDEFDDADSGAGSDDFDLLELGETGAEFCQVGNLTCSVPLELYDLPGLEDILSLDVWNECLSDEERFSLTKFLPDMDQDTFMRTLNDLLKGDNFHFGSPIKKLFNMLKGGLCEPRVALYREGLNFFQKRQHYHHLRKHQNNMVANLCQIRDAWLKCRGYGIEERLRVLNIMRSQKSLVYEKLEDEDSESSKREDLDDGLWSKKVKDRKASQKKAHYSGYGVEPNLEFISRGQLMALEPAKYGKQNPKGMLKTGTLPRQKYESGAVLRSRDWIRLDDDAEDPMFGAGIQRDRNVVRDSIMGKSGSLRARKKYERLEEFAGDSSAALPLSSKHDLQAHGRNRNMNKLSEAKMYTSKPPNRRSDDLPKKVKYTENHLQFAVGKQIKSSKGRTPPFPLKGSRVDLSECAEMFCQNKNHGEDISVDSSVRSDDWNVRSKKWKTGRDSPDVSFKSYKVSSPLMNDRFLQSDSRIKPSQEKIRGNYVQKRGPVSKGNRAFIRNEETESDSSEQFDNDEDSNPLMRSKLAYPTGIIKDSQLSSLKSGVDSKRTKSLKKNSMEDGWTVDGITRFSKKSFREDVHVPGVENYYFKGKQKGKMSKSPLLNSTSRVMDEVDRKQVYKLGKSAQLRGEPGNRLHKSSSRVYPTDKRQKGELAYDHSTSQTNYLRDYLVDEEDALPVTLLLADENNPGRNRKKGQSIEAYDRCEKSEASLRGCNKGTKKRKGKEYVAHVDRRGKDGNLQSNLEQQIDDSLFLKKKGKRKLEADIGTSDMEASEPHGAELGTIDVEIETKPQKKPFTLITPTVHAGFSFSIIHLLSAVRLAMITPLPEDSLEVSKPREEQNGKQEGGANGVLSCENAVSNDLDHPVQASALSLTVHEIVSRVAANPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVAYEKSTKGWSWVGPVMHSSNDHETIEEVTSPEAWGLPPKMLVKLVDSFANWLKNGQETLQLLGSLPAPPLELMQANLDEKERFRDLRAQKSLSTISPSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCIVRDAAARLPGSIGTRADVCTLIRDSQYIVEEVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDTAEQPDQGAVTVAFHGTGDQSGFDLVSDLNVEPPCCDKKMETDSHNRQNVEDNADTSHGSEQGNTQQHGHPMQESKLLCQENSTNEDFDQHFSFAGQSPPRG >KJB56021 pep chromosome:Graimondii2_0_v6:9:7457590:7462624:-1 gene:B456_009G103400 transcript:KJB56021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIEKNNFKVSRFDSEFSYGSRETTVSSDEDELQRQSSAVDCDGDDDDDDEFDDADSGAGSDDFDLLELGETGAEFCQVGNLTCSVPLELYDLPGLEDILSLDVWNECLSDEERFSLTKFLPDMDQDTFMRTLNDLLKGDNFHFGSPIKKLFNMLKGGLCEPRVALYREGLNFFQKRQHYHHLRKHQNNMVANLCQIRDAWLKCRGYGIEERLRVLNIMRSQKSLVYEKLEDEDSESSKREDLDDGLWSKKVKDRKASQKKAHYSGYGVEPNLEFISRGQLMALEPAKYGKQNPKGMLKTGGSNFPFTKDYGTCFCPSLNMNSEPYGFPGTLPRQKYESGAVLRSRDWIRLDDDAEDPMFGAGIQRDRNVVRDSIMGKSGSLRARKKYERLEEFAGDSSAALPLSSKHDLQAHGRNRNMNKLSEAKMYTSKPPNRRSDDLPKKVKYTENHLQFAVGKQIKSSKGRTPPFPLKGSRVDLSECAEMFCQNKNHGEDISVDSSVRSDDWNVRSKKWKTGRDSPDVSFKSYKVSSPLMNDRFLQSDSRIKPSQEKIRGNYVQKRGPVSKGNRAFIRNEETESDSSEQFDNDEDSNPLMRSKLAYPTGIIKDSQLSSLKSGVDSKRTKSLKKNSMEDGWTVDGITRFSKKSFREDVHVPGVENYYFKGKQKGKMSKSPLLNSTSRVMDEVDRKQVYKLGKSAQLRGEPGNRLHKSSSRVYPTDKRQKGELAYDHSTSQTNYLRDYLVDEEDALPVTLLLADENNPGRNRKKGQSIEAYDRCEKSEASLRGCNKGTKKRKGKEYVAHVDRRGKDGNLQSNLEQQIDDSLFLKKKGKRKLEADIGTSDMEASEPHGAELGTIDVEIETKPQKKPFTLITPTVHAGFSFSIIHLLSAVRLAMITPLPEDSLEVSKPREEQNGKQEGGANGVLSCENAVSNDLDHPVQASALSLTVHEIVSRVAANPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVAYEKSTKGWSWVGPVMHSSNDHETIEEVTSPEAWGLPPKMLVKLVDSFANWLKNGQETLQLLGSLPAPPLELMQANLDEKERFRDLRAQKSLSTISPSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCIVRDAAARLPGSIGTRADVCTLIRDSQYIVEEVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDTAEQPDQGAVTVAFHGTGDQSGFDLVSDLNVEPPCCDKKMETDSHNRQNVEDNADTSHGSEQGNTQQHGHPMQESKLLCQENSTNEDFDQHFSFAGQSPPRG >KJB55026 pep chromosome:Graimondii2_0_v6:9:4205305:4206851:-1 gene:B456_009G058600 transcript:KJB55026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:Projected from Arabidopsis thaliana (AT4G32280) UniProtKB/TrEMBL;Acc:Q2VWA0] MELQLGLALPTPNMVKGFDLNNHGLDQLKEMGGLEAWNKAAGCFADKDCVKSKRSFEQAFGNFTEECRTKPLLLWSGQPNEEEDHKDQKKATFSTTDENDAEEDDQVVGWPPIKTWRNKIFQQQQQPRVGRAENNRRVAENENGRSIYVKVKMEGVAITRKIDIKLYQSYQSLTNSLITMFAKDKKCDEDDYILTYQDKEGDWLIAGDIPWQ >KJB55025 pep chromosome:Graimondii2_0_v6:9:4205131:4206876:-1 gene:B456_009G058600 transcript:KJB55025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:Projected from Arabidopsis thaliana (AT4G32280) UniProtKB/TrEMBL;Acc:Q2VWA0] MELQLGLALPTPNMVKGFDLNNHGLDQLKEMGGLEAWNKAAGCFADKDCVKSKRSFEQAFGNFTEECRTKPLLLWSGQPNEEEDHKDQKKATFSTTDENDAEEDDQVVGWPPIKTWRNKIFQQQQQPRVGRAENNRRVAENENGRSIYVKVKMEGVAITRKIDIKLYQSYQSLTNSLITMFAKDKKCDEDDYILTYQDKEGDWLIAGDIPWQNFVRSVQRMEIVRNWG >KJB59936 pep chromosome:Graimondii2_0_v6:9:23855305:23861784:1 gene:B456_009G281900 transcript:KJB59936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIMNKIRNLDAYPKINEDFYSRTLSGGVITVVSSVVMFLLFFSELRLYLHAATETKLVVDTSRGETLRINFDVTFPALACSIVSVDAMDISGEQHLDVKHDIIKKRLDAHGNVIEARPDGIGAPKIEKPLQRHGGRLEHNETYCGSCYGAEAADDDCCNSCEDVREAYRKKGWALSNPDLIDQCKREGFLQKIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQQSNVHVHDLLAFQKESFNLSHKINRLAFGDYFPGVVNPLDSVHWTQEQPSGMYQYFLKVVPTVYTDVSGHTIQSNQFSVTEHFKGAEVGRLQSLPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGILDSFIYHGQKAIKKKMEIGKFS >KJB59933 pep chromosome:Graimondii2_0_v6:9:23855305:23861062:1 gene:B456_009G281900 transcript:KJB59933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIMNKIRNLDAYPKINEDFYSRTLSGGVITVVSSVVMFLLFFSELRLYLHAATETKLVVDTSRGETLRINFDVTFPALACSIVSVDAMDISGEQHLDVKHDIIKKRLDAHGNVIEARPDGIGAPKIEKPLQRHGGRLEHNETYCGSCYGAEAADDDCCNSCEDVREAYRKKGWALSNPDLIDQCKREGFLQKIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQQSNVHVHDLLAFQKESFNLSHKINRLAFGDYFPGVVNPLDSVHWTQEQPSGMYQYFLKVVPTVYTDVSGHTIQSNQFSVTEHFKGAEVGRLQSLPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGNFSPSILFISQINSSVLPLNKLVHISSTSYEARTQDLPDPTSKI >KJB59934 pep chromosome:Graimondii2_0_v6:9:23855305:23861748:1 gene:B456_009G281900 transcript:KJB59934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIMNKIRNLDAYPKINEDFYSRTLSGGVITVVSSVVMFLLFFSELRLYLHAATETKLVVDTSRGETLRINFDVTFPALACSIVSVDAMDISGEQHLDVKHDIIKKRLDAHGNVIEARPDGIGAPKIEKPLQRHGGRLEHNETYCGSCYGAEAADDDCCNSCEDVREAYRKKGWALSNPDLIDQCKREGFLQKIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQQSNVHVHDLLAFQKESFNLSHKINRLAFGDYFPGVVNPLDSVHWTQEQPSGMYQYFLKVVPTVYTDVSGHTIQSNQFSVTEHFKGAEVGRLQSLPGVFFFYDLSPIKSSMSRSCTF >KJB59935 pep chromosome:Graimondii2_0_v6:9:23855305:23861748:1 gene:B456_009G281900 transcript:KJB59935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAHGNVIEARPDGIGAPKIEKPLQRHGGRLEHNETYCGSCYGAEAADDDCCNSCEDVREAYRKKGWALSNPDLIDQCKREGFLQKIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQQSNVHVHDLLAFQKESFNLSHKINRLAFGDYFPGVVNPLDSVHWTQEQPSGMYQYFLKVVPTVYTDVSGHTIQSNQFSVTEHFKGAEVGRLQSLPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGILDSFIYHGQKAIKKKMEIGKFS >KJB53844 pep chromosome:Graimondii2_0_v6:9:715194:716856:1 gene:B456_009G008600 transcript:KJB53844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPNTLYCRWPFPYLFLCHSNSTNEASLNPYPLTKFLTWVQITIQTLFGSSSHLLFSTYYQFPKHISICSLSLGIFGSSLSQSPAMGETDSFFSNKSAKIFVAGHRGLVGSAIVRKLQSLGFTNLLLRTHADLDLTRQSDVESFFADEKPHYVVLAAAKVGGIHTNVIDSSYRHGVNKILFLGSSCIYPKFAPQPIPENALLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGFDAISAMPTNLYGPNDNFHPENSHVLPALMRRFHKAKVEGAKEVVVWGTGSPLREFLHVDDLADAVVFLLGNYSGLEHVNVGSGKEVTIKELAELVKEVVGFEGELVWDTSKPDGTPRKLMDSSKLASLGWKAKISLKDGLIDTYKWYLENVKQ >KJB53845 pep chromosome:Graimondii2_0_v6:9:715194:716856:1 gene:B456_009G008600 transcript:KJB53845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPNTLYCRWPFPYLFLCHSNSTNEASLNPYPLTKFLTWVQITIQTLFGSSSHLLFSTYYQFPKHISICSLSLGIFGSSLSQSPAMGETDSFFSNKSAKIFVAGHRGLVGSAIVRKLQSLGFTNLLLRTHADLDLTRQSDVESFFADEKPHYVVLAAAKVGGIHANNTYPADFIAINLQIQTNVIDSSYRHGVNKILFLGSSCIYPKFAPQPIPENALLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGFDAISAMPTNLYGPNDNFHPENSHVLPALMRRFHKAKVEGAKEVVVWGTGSPLREFLHVDDLADAVVFLLGNYSGLEHVNVGSGKEVTIKELAELVKEVVGFEGELVWDTSKPDGTPRKLMDSSKLASLGWKAKISLKDGLIDTYKWYLENVKQ >KJB59465 pep chromosome:Graimondii2_0_v6:9:21214052:21214645:-1 gene:B456_009G257500 transcript:KJB59465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNQLCLVLVIFISMFSLSSLPTSAIILKANVSLPVLSSQLVENLCNGKAVENRKFCLKALSTPKIIAVMDTTQLGTLIMKLGAANAKATLNVYNEIIKKPGSPQALKALNCCVEAYKYAILSFEMVSSELVEDPQTANYDVAVIGPEIGNCEKELINAKVQAPRLLVGNRFMKYYVSMGYEITSTLELENPNEY >KJB61015 pep chromosome:Graimondii2_0_v6:9:36005905:36008474:-1 gene:B456_009G335700 transcript:KJB61015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWMMNEGGGHCPKKSDDIYGDVCGQGLSRLSMSRIRCILRGIDLRTCILLFVLVPTCIFGIYIHGQKISYFLRPLWESPPKPFHDIPHYYHENVSMEKLCKLHGWGIREFPRRVYDAVLFSNEVDMLTIRWQELYPYITQFVLLESNSTFSGIPKPMVFASHRDQFKFVEPRLTYGTIGGRFKKGENPFIEEAMQRVALDQLLKIAGITDDDLLIMSDVDEIPSAHTINLLRWCDDIPQVLHLRLKNYLYSFEFLVDNKSWRASIHRYQTGKTRYAHYRQADEILADAGWHCSFCFRHINEFLFKMKAYSHNDRVRFSHYLNPKRVQRVICKGADLFDMIPEEYTFKEIIRKMGPIPHSYSAVHLPSYLLENADKYKFLLPGNCLRESG >KJB61014 pep chromosome:Graimondii2_0_v6:9:36005961:36008463:-1 gene:B456_009G335700 transcript:KJB61014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWMMNEGGGHCPKKSDDIYGDVCGQGLSRLSMSRIRCILRGIDLRTCILLFVLVPTCIFGIYIHGQKISYFLRPLWESPPKPFHDIPHYYHENVSMEKLCKLHGWGIREFPRRVYDAVLFSNEVDMLTIRWQELYPYITQFVLLESNSTFSGIPKPMVFASHRDQFKFVEPRLTYGTIGGRFKKGENPFIEEAMQRVALDQLLKIAGITDDDLLIMSDVDEIPSAHTINLLRWCDDIPQVLHLRLKNYLYSFEFLVDNKSWRASIHRYQTGKTRYAHYRQADEILADAGWHCSFCFRHINEFLFKMKAYSHNDRVRFSHYLNPKRVQRVICKGADLFDMIPEEYTFKEIIRKMGPIPHSYSAVHLPSYLLENADKYKFLLPGNCLRESG >KJB54069 pep chromosome:Graimondii2_0_v6:9:1504541:1505556:-1 gene:B456_009G019400 transcript:KJB54069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVAQSATLASLDQKLALAKRCSHEGVVAGAKAAIVATIATAIPTLASVRMLPWAKANLNHTAQALIISTAAGAAYFIVADKTVLATARKNSFKHAPNNMEACI >KJB59787 pep chromosome:Graimondii2_0_v6:9:22734018:22734717:1 gene:B456_009G272000 transcript:KJB59787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLGPLPNYTFIFLSFLLLVYSGTQMVEAQVCSRPSQTTGVITCPSNLLCDRRCRDIEGAMYGACQPRLLGSTCLCYVRC >KJB54246 pep chromosome:Graimondii2_0_v6:9:2051782:2053614:1 gene:B456_009G026800 transcript:KJB54246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSYFGEPNVGNERGASSSSSSSSSSRKGKKGSNSEKPKQPQRGLGVAQLEKIRLQGPVGCTYHHHPSLHGRPFPSNFNQEDMRVQTLYSSMASSPSSSSSTTSASYGFHPTMMKGLGEYDKRPNTRYGDFQSCTTTSWNPDNGSTQHFAQPNLTRQLLNLHHVEDSPPKKSKKQGSNSLGSSSQNCESTQELDLELRLSL >KJB54247 pep chromosome:Graimondii2_0_v6:9:2051782:2053614:1 gene:B456_009G026800 transcript:KJB54247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSYFGEPNVGNERGASSSSSSSSSSRKGKKGSNSEKPKQPQRGLGVAQLEKIRLQGPVGCTYHHHPSLHGRPFPSNFNQEDMRVQTLYSSMASSPSSSSSTTSASYGFHPTMMKGLGEYDKRPNTRYGDFQSCTTTSWNPDNGSTQHFAQPNLTRQLLNLHHVEDSPPKKSKKQGSNSLGSSSQNCESTQELDLELRLSL >KJB54248 pep chromosome:Graimondii2_0_v6:9:2051782:2053614:1 gene:B456_009G026800 transcript:KJB54248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSYFGEPNVGNERGASSSSSSSSSSRKGKKGSNSEKPKQPQRGLGVAQLEKIRLQGPVGCTYHHHPSLHGRPFPSNFNQEDMRVQTLYSSMASSPSSSSSTTSASYGFHPTMMKGLGEYDKRPNTRYGDFQSCTTTSWNPDNGSTQHFAQPNLTRQLLNLHHVEVDNSHPYHDSPPKKSKKQGSNSLGSSSQNCESTQELDLELRLSL >KJB60212 pep chromosome:Graimondii2_0_v6:9:25622627:25625843:1 gene:B456_009G294200 transcript:KJB60212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTVRLSRQLSHYETSHLNPALFNSNIKAFIQHGQFTKALQLFSVSPLSVTNFTFPSLLKASTFLSNLNYGKTLHSTIIQVGLHSDPYITTSLINMYTKCGSFSSAVNVFEEMVTREGFVKDVTLWNSLLDGYLKFGQINEGLAHFNKMQALGVLPDAYSLSILLGALGFKEGKQIHGYIVRHIFKSDPFLETALIGMYSSCSQIMEAWFVFDHLEDKSNVVVWNVMIGGFLENGGWEWSLKLYSLMKAENVKFVSESFSSSLSACVYGDVVDFGRQVHCDLIKMGFENNPFVYTSLLSMYGKCQFVEDAENVFRQVLDKGIELWNAMISTFVCNKYFFASFEVYNKMRYNAITPDSFTISNVLSCSSMIGIYNVGRSVHAELVKRPIENSTAVQSALLTMYCKCGSVGDANFILSTMREKDVVAWGSMISGFCQNRKFREALDYFGKMKVDGGVRPDSDIMSSVINACTGLENVDSGCVIHGYVIRSGLHQDVYVATSLVDMYSKCGFLGMAERLFSDMHDKNLVAWNSMISSYCRNGLPDQSIKLFSRIIQHGFYPDSISITSVLTAVSSTAALLNGKIIHGYVIRLEIESDIHLENALMDMYFKCGFLKYSEYIFQNMSQKDLVTWNCMISGYGSHGNCIEALSLFNEMKNSGIKPDDVTFLSLISSCNHAGLVDEGHKIFQSMRAEYGVEPKMEHYVNIVDLFGRAGRLDDAYNFVKSMTIEPDRSVWLSLLCASRTHLNVELCELAAHYLLKLEPDRGSNYVQLLHMYGDAELWEKAANIRATMKEKGLEKTPGCSWIELRDKVDVFFSGVSSSMRTVEIYEILHSIGKHMEKEGGDSEIMETI >KJB62314 pep chromosome:Graimondii2_0_v6:9:62068009:62072031:-1 gene:B456_009G411000 transcript:KJB62314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFWGSQEQQAQPHPQEDSSHSWYPPPGVSSTNSSRPSTPGSSASNSFNLQRPSERPHSPSQVSPSEAAGIIALLKDKSVDELRKLLSDKDAYNQFLLSVDQVKIQNNIRDELRKETLQLARNNLDKEPQIMELRNQCRIIWTTELAAALEKLNDLERQKEEILKFYSPASFINRLQDAMNETDKESEMVNRQLLEKEIDLGTFVQKYKKLRTSYHRRALIHLAAKTSI >KJB55989 pep chromosome:Graimondii2_0_v6:9:7412065:7415028:-1 gene:B456_009G102800 transcript:KJB55989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYLLLLIIAASAFSTLNADVSIDCGSSESYSDDYSIGWVGDDLYIQHGESVELQSSKPIYQPMATLRVFTDRKKNCYNIDSEKGSKVLVRAYFFYGNYDGKSSPPKFDLHFDGNHWITVETSADQLVSYEAIYVTKTDTISICVAQTFPNMVPFISAIEVRDLDSKMYGYSDSNRALMLRRRVAYGTEVIVRSTDDFYDRIWVPAVKGDDFTVLTSDETFIEVSLDDSPPRALFQNAFATDNTSTSIQLGTNLPTAKVPVYMNIYYSEASVLDSTQKRSLQLLVDGKINSKPFIPVYGTAGEITLYNLTANSDTTFSLAASPDSTLPPLINALEVFTVSDELTDGTNSDDVEGLASLQDEFDVLKDWGGDPCLPSPYSWDWINCTSGSTPRVTALYLGSFGLSGFLPDFSSMTSLEIIDLHNNSLIGSIPEFLGTLPNLKQLNLAGNDLSGTIPSSISKNKKLKLTVTDNPNLCVSGKSCKTASVDTSGSGGSSSSGGRKKSKLPVILGTTIPIFVLVWVIGGVCAVLHHKRKSEAIAAGNVGQAGGANKPSGNPQAAQMQMAANNMAQNVVNDFIMNIHEQQSAPEPVYNNDQQNHLEEGN >KJB58149 pep chromosome:Graimondii2_0_v6:9:15102172:15108107:1 gene:B456_009G196100 transcript:KJB58149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLFLIIFQAEVFTSEHVNVESLLCVILVRVDCKASLKGTSLLEDTPVRVMGSFVEEKMKTGVFGNGWAEATLLEEMKLLKEMQHQSGSRKAINSELWHACAGPLVSLPQVGSLVYYFPQGHSEQVSVSTKRMMTSQVPNYPNLQSQLMCQVHNVTLHADTETDEVYAQMSLQPVNSEKDVFNIPDFGVNPNKHPNEIFCKTLTASDTSTHGGFSVPRRAAEKLFPQLDYTMQPPSQELVMRDIHDNTWTFRHIYRGQPKRHLLTTGWSSFVGSKRLRAGDSILFIRDEKSQLLVGIRHANRHQATLPSSVLSADSMHIGVLAAAAQAAANRTPFTIFYNPRSCPSEFVIPMAKYCKSVYATQVSAGMRFGMMFETEESGKRRYMGTIVGVSDLDPLRWPGSKWRNLQVEWDEPGCHDKQNRVSPWEVETPESLFIFPSINSGLKRPYPWILGAESEWGNLINRPLLQFPENGSGNLPYPISNSYSKQLMKMMLKPHLVNHPGTFSSTSQQISAAKGSPLKEMKNVQYTSNQEPQLMQSENLLIEKPNPSQLALDQPDPINSNLLKINANGNPHPANEFEGQTQARCNNKKLKSEPEHSTDQLSQLNSTLECTEDKLVANTISPTICNKLSFPNNNQTPSQLQNNPCPIQSQFEPSLLQAHQMQVSQADISSLSSYLPFFNTDEWATILPSCQSIAGVYGSPGPIPLVGLQDSSAAFPEATNPTLTTRSEVTWDSQLYNCRSSSQANELCSFAQQDPCSFNSGSIRDLSDDSNSKSGIYSCFSNNGSTVIDPSVSNVILEELCSLKDAKFQKPSDSLVGNFSCSQDVQSQITSASLADSRVFSRQDIPDSSGVTSSSNVDFDENGLLQKTSWQQITPRLRTYTKVQKAGSVGRSIDVTSYKNYDKLLSAIECMFGLKGLLKDPRGSGWKLVYVDHENDVLLVGDDPWEQFVGCVRCIRILSPTEVQQMSEEGMMLLNRVGSTVQGPISEVCNAPPKNEP >KJB60266 pep chromosome:Graimondii2_0_v6:9:25902604:25904755:1 gene:B456_009G296700 transcript:KJB60266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKTISSRRLFQLLDLFLGLFLLIKFANGSNLSAREDRILCKDKERQAQPELKQSLVDDHGPFSSWGIRDCCHDEPLRGTINPSLLQLQHLNFLYLSDNDFGGSQFPDFNGSLSRLRYLNIANAGLSWRILNQPGNLSRLEFLDLGGNNYSVISFDWLYHLSFLKYLDLSSNHVGENSWLKLVNKLPLHLENLQLRSCGLHGIILSQPLNGSFASLIRVINVSRNRLTSSSALKWLTNISSNLAELNLEYNNLIQASLNGSLSKGFSLASSKLVVLVLDGNQLTGPLPNFCNNAFMSLEELDISHNRFNGTVTESLGCLSRLKHLLASRNSIEGIISKTLFENLTELLSLDLSLNYLTLNFKSDWVAPFRLRHLSLSFCKTPYLFGYGTSPYLYFLNLSNNQMSGILPDLLSPNTLLITEMDLSSNMFDGLLPLLPYINVLNLAKNRFTGSLDPFCKITSKYLTFLEVSGKLLSGELSEWEILTFLGSLFSLKALNLHNNNFSGEIPLSLKDCSNLIFLDLSENLPSLVFLSLQANDFNGRIPANFCWLAH >KJB55145 pep chromosome:Graimondii2_0_v6:9:4648953:4653387:1 gene:B456_009G065500 transcript:KJB55145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNSVLQSSSQVHSEDRPASGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGVRAAEYVKQNLFSNLIKHPKFISDTKSAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGNRLLVANVGDSRAVICRGGNAIAVSRDHKPDQSDERQRIENAGGFVMWAGTWRVGGVLAVSRAFGDRHLKQYVVADPEIQVCLEDLVEICRVPEM >KJB55147 pep chromosome:Graimondii2_0_v6:9:4651281:4653387:1 gene:B456_009G065500 transcript:KJB55147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSGHGGVRAAEYVKQNLFSNLIKHPKFISDTKSAIGYLLITVSHGCDFPSGLAITCLLNCVQPPADAYNHTDSEFLKSENNQNRDAGSTASTAILVGNRLLVANVGDSRAVICRGGNAIAVSRDHKPDQSDERQRIENAGGFVMWAGTWRVGGVLAVSRAFGDRHLKQYVVADPEIQEEKIDNSLEFLILASDGLWDVVSNEEAVAMIKPIQDPEQAAKQLMQGAYQRGSADNITCVVVRFLVNQGGSSRNASATA >KJB55144 pep chromosome:Graimondii2_0_v6:9:4648741:4653416:1 gene:B456_009G065500 transcript:KJB55144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNSVLQSSSQVHSEDRPASGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGVRAAEYVKQNLFSNLIKHPKFISDTKSAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGNRLLVANVGDSRAVICRGGNAIAVSRDHKPDQSDERQRIENAGGFVMWAGTWRVGGVLAVSRAFGDRHLKQYVVADPEIQEEKIDNSLEFLILASDGLWDVVSNEEAVAMIKPIQDPEQAAKQLMQGAYQRGSADNITCVVVRFLVNQGGSSRNASATA >KJB55143 pep chromosome:Graimondii2_0_v6:9:4648688:4653388:1 gene:B456_009G065500 transcript:KJB55143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNSVLQSSSQVHSEDRPASGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGVRAAEYVKQNLFSNLIKHPKFISDTKSAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGNRLLVANVGDSRAVICRGGNAIAVSRDHKPDQSDERQRIENAGGFVMWAGTWRVGGVLAVSRAFGDRHLKQYVVADPEIQEEKIDNSLEFLILASDGLWDVVSNEEAVAMIKPIQDPEQAAKQLMQGAYQRGSADNITCVVVRFLVNQGGSSRNASATA >KJB55146 pep chromosome:Graimondii2_0_v6:9:4651024:4653388:1 gene:B456_009G065500 transcript:KJB55146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSRGHGGVRAAEYVKQNLFSNLIKHPKFISDTKSAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGNRLLVANVGDSRAVICRGGNAIAVSRDHKPDQSDERQRIENAGGFVMWAGTWRVGGVLAVSRAFGDRHLKQYVVADPEIQEEKIDNSLEFLILASDGLWDVVSNEEAVAMIKPIQDPEQAAKQLMQGAYQRGSADNITCVVVRFLVNQGGSSRNASATA >KJB57459 pep chromosome:Graimondii2_0_v6:9:12729031:12732234:1 gene:B456_009G165700 transcript:KJB57459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNRHWPSMFKSKPCNTHHQWQHDINPSLMSSACHKAPYTSGCEERSPEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKHKLRNLQNSKQQLQQNQQTPPITNMTTISAPSSSSSSSEKSSPKGANKSTFSLSSTNVVDVSSSPTASVNQTYFHQPQNEFLNEPFFFPMQQPAGGSGFTQAFGFSELTNVIQVPEQTVGPCTSLLLSEILTHGASRKGEEKMDMQLQLSYSTMTTAPSTNPIAPHTDSTSATVTVPSNTHHIHGVGEPAAVGHGDLGRSTVFINDVAFEVTVGPFNVREAFGDDAILINSAGQPVLTNEWGLTLQSLQHGGFYYLVRSLSPFSI >KJB53514 pep chromosome:Graimondii2_0_v6:9:68463790:68464140:-1 gene:B456_009G435500 transcript:KJB53514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLFQKRNSVLQMHERKIYDEECLKIDNSEKYTLTKQLFESISKSLNEAETKEEIDGVDTKYTLNKAVIWVQHNLHHLRFMLFGFIRL >KJB55719 pep chromosome:Graimondii2_0_v6:9:6646405:6648032:1 gene:B456_009G091100 transcript:KJB55719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLSSSPSVSSSTSSAVSFDPKICSPKSATAGCLSGILRRILCSRTLPTHPSDHITESNSVASCNKPQQFSDASKVTPGIVARLMGLDSLPETTLLNTQFPPNSIARSRSMNSADYKQDTVSIHGKHKRVNSTLSFRDMPTYFELENEEFFVLSFEKGSERKELRSKQRKCKGGGGELKQRKEAKENMVEKVAGKKNKDKDEQASKRVLNVLDEEKLNRRIVEKPNQEIAKCREVNDLCLEKPSVAKKGLESSKCLEKKGTVPDGAKPRKKKKKLQHPGAQNVEPECSSEDSSPVSVLVFDQFIIDHDVPTSEEDSKAAEGSNPRRKLSPDLENYGCKTPCNDGNLTEDDPRENSTQVKNLESRKKDCHGEKNLEGWDSICRVIEAEVGKASWLCSNNEQLEDITTDFGSKILDHLLDELVIQLHGIIP >KJB59432 pep chromosome:Graimondii2_0_v6:9:20918638:20920250:-1 gene:B456_009G254700 transcript:KJB59432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFSMLIFLISLLFPFFLASQSATIVVDGVSEWNNPVVHVGDSIIFKHKYHYNLYIFQNKNAFNICNFTQATLLTKSNSTSYTWHPSRPGFFYFAFNNGSLKTCQSSQKLSVKASPALPPRNATTTPSPDLPPAAAPAPTSGGPIVSSSPVYPWPFRPRQAAVSPAPSATSPVTVPSLVPGKGGGIPLINSNPAVPLPTGEVDSATIRPLPTSDHGGQAAEGFMAAPAPMNVFCVAFLLVL >KJB61076 pep chromosome:Graimondii2_0_v6:9:37449642:37454701:-1 gene:B456_009G338800 transcript:KJB61076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G15080) UniProtKB/Swiss-Prot;Acc:Q9XI60] CRSIPINSSSNLTRFSFQKRKILFPHAINIQRDSNFKASRKQRNNNNKREYNALFSSLLTEKLRSFLRSDRYSQTKFKFSDVRMPEIQLGAHTVRSHGVKVARTHMHDWIILLLLIVIEVILNVIEPFHRFVGVDMMTDLKYPMKDNTVPFWAVPMLAIMLPLFVILVYYFIRKDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKRVFDPVTKDVMCTGIKSVIKEGHKSFPSGHTSWSFAGLGFLALYLSGKIRVFDRQGHVAKLCIVFLPLLIAALVGISRVDDYWHHWQDVFAGGLLGLTVSSFCYLQFFPAPYEVDGWGPHAYFQMLAESRNVNPSNGSNVQQAELESGYVDSQHCRELSRVNTHDSSPILEGTNGRMGY >KJB60205 pep chromosome:Graimondii2_0_v6:9:25512790:25516948:1 gene:B456_009G293800 transcript:KJB60205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHADSSMLSNGLLVPVKRSIDDLEERHEISPKRTKMTDLNSVNFSEENNAQNSKSVKRRESRHQLQLSGEEVEVSQVTEVPLNFNFDGSLIERTNGEKLLAVVHPVSLSLDLNTEICTAINESSDINPECEENFDQLCSQESRCVSSNGIGLGLNVEDVSSSINHELIHQKHVKSLKTRDVSDCGSSLGLVGEKDSLRVWKEMKQNGFLSSSHGGLSMQSGLVSTSHGGRSVPKHCGRKSKNHTLKKKMELAKKEQVDRFTKIAGPSGLLNGLNPGIVNHVRNRKQVHSLIDALVKSEKLENLHPGSKQASHVQSGSKDDDGNKDQGSMDGEPPNVTSISNKARGYPVPMHKSISSIIEKISRDGDLSMLGPIGEDDTLTLKLSSSTKAFESAACSLSNEESANITSATSLSVKAATVASQWLELLQQDIKGRLSALQRSKKKVRAVVTTELPFLISKEFSSNQGGDPNVKRNAADGFSHDATAEMHRARWSAMFDRMDKALSEEEKQLEVWLNQINGMQLLCHQGLQHMHWNVLYNLPQQTVSGNNIRSGIGDSFDRELAVMAAAASIYSTCDFMLSKENVLQT >KJB60206 pep chromosome:Graimondii2_0_v6:9:25512790:25516948:1 gene:B456_009G293800 transcript:KJB60206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHADSSMLSNGLLVPVKRSIDDLEERHEISPKRTKMTDLNSVNFSEVVHPVSLSLDLNTEICTAINESSDINPECEENFDQLCSQESRCVSSNGIGLGLNVEDVSSSINHELIHQKHVKSLKTRDVSDCGSSLGLVGEKDSLRVWKEMKQNGFLSSSHGGLSMQSGLVSTSHGGRSVPKHCGRKSKNHTLKKKMELAKKEQVDRFTKIAGPSGLLNGLNPGIVNHVRNRKQVHSLIDALVKSEKLENLHPGSKQASHVQSGSKDDDGNKDQGSMDGEPPNVTSISNKARGYPVPMHKSISSIIEKISRDGDLSMLGPIGEDDTLTLKLSSSTKAFESAACSLSNEESANITSATSLSVKAATVASQWLELLQQDIKGRLSALQRSKKKVRAVVTTELPFLISKEFSSNQGGDPNVKRNAADGFSHDATAEMHRARWSAMFDRMDKALSEEEKQLEVWLNQINGMQLLCHQGLQHMHWNVLYNLPQQTVSGNNIRSGIGDSFDRELAVMAAAASIYSTCDFMLSKENVLQT >KJB62771 pep chromosome:Graimondii2_0_v6:9:68470227:68473254:1 gene:B456_009G435600 transcript:KJB62771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLSLAGFISPVIGNLTFLREVDFSHNKLQGSIPREVGHLKRLVYLSLKFNHLNGEIPEELSNCSNLQEIAFTANNITGEIPVSLGDMKNLINLHLAYNLLIGGIPASLGNISTLKVLSLEQNKLKGTIPSSLGKLSNLEHMYIGTNKLSRSVPPVHNFSSLLVLDAAVNQLSGNFPPEIGCTFPNLEAIFIGLNQLTGEIPRSISNISSLELFDIALNGFTGSVPKNMGNLRNLLVLTISGNYLGSGKPGDLSFLSSLSNCSRLQSLGINYNHLYGVIPDSIANFSIWLEELFMGDNQIIGRIPQGIGNLINLDLMEMKGTFIAGEIPISIGNLQNLEGLYLGFNHLSGKIPSSIGNLSRLSDLNLSNNKFAGAIPLSLKQCTNLQKLDLSTNNLNGSIPYQLFGAFERLIYLNLSYNSFTGSLPSDMRNMKNLVEFYVHNNNFHGEIPMTLGESLELTTLFMRKNSFHVPSLNLLPPLRSLENLDLSNNNLSGTIPPELQKLPFLVRLNLSFNQLEGEVPKKGVFKNATGFSFFGNKNLCGGIPKIQLPKCFSEKPKEKGKARRGTFMPSSLFDNSCLRLSYKELLECTHGFASSNLIGTGSFGSVYKGVLYQHEKPLAVKVLNLRNHGAARSFIAECKALRKIRHRNLLKIITSCSSIDYQGNEFKALVFEFMPNGSLESRLHEQNDQSRYLNFAQSRFWIGKALVYRHKQYWKRSNKFLSDQGNNWLQYGMSLSVSPEGDIYSYGIMLLEMITGRRPTCDLFHDGLSLHNFCKMALQEGLKEIFDVHLVEEIGLILGTINPKWSVQVLEFVGH >KJB61027 pep chromosome:Graimondii2_0_v6:9:36266342:36268745:-1 gene:B456_009G336100 transcript:KJB61027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHRSLCLVALMFLVIITSSITSVEGRKHHHHKHKKDKKKNNSDNCTVPGSSPASPPTIPGHASYPTGSKTFDVLSFGAKGNGVSDDSKAFLTAWKAACKISGATVEIPSGFKFLIKPITLQGPCMPNLVLQINGALLAPPKVGSWPKSSLFQWINFKWIHNFTIQGSGVVDGQGSQWWTPSNQIYLIQALRFYSSFNVTVRDIKIINSPQCHLKFDSSGGIQVDNITISSPETSPNTDGIHLQNTRDVEIQHSNIGCGDDCVSIQTGCSNVHIHHINCGPGHGISLGGLGKDKSVACVSNIVVEKVSVFNTLAGVRIKTWQGGMGSVKNVSFSNIQVSDVKVPIIIDQYYCDKDICKNQTMAVAISGVKYDQIVGTYTSRPVHLACSNGIPCTDVDLTDIQLKPSFKYRGLDEAMCWNSYGKSQGPLYPSSIDSCVRRDGGSVKRIARSREHVCF >KJB62431 pep chromosome:Graimondii2_0_v6:9:64404731:64412683:-1 gene:B456_009G416700 transcript:KJB62431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGGNANHQRGALHQMFPVLLPVLLISIGYVDPGKWVATVEGGARFGFDLVVPMLLFNCAAILCQYLSARIGVVTGRGLAQICSEEYDKSTRLFLGVQAELSVVALDLTMVLGVAHGINLLFGVDLSTGVFLAALDAVLFPVFASTLDHCRASFLCIYAAGFILLSYVFGVLLSQPEISISMLGMPTKLSGESAFALMSLLGASIMPHNFYLHSSIVQEHLGPPNTSKSALCHNHLFAILGVFGGIYLVNYVLMNSAANVFYNAGLVLVTFHDAMEQVFRNGILPLVFLLVMFLSNQLTASTWNLGGQVVLHNFLGLDIPGWLHRATIKIVAIVPALYCVWTSGPEGVYQMFILAQVMVALLLPSSVIPLFRVASSRSIMGVYKVSPILEFLSLVTFMGILGLKIIFVVEMIFGSSDWAGNLRLNAGISMSVPFVVLLATAFASFSLMIWLAATPLKSASSESKAHAWKWDMNRTVSETALEREGNELSETRYCGEEPAHILERSLAPENSIESHSDLSFPNYSLDLPETIMESEQEIRLTTVNANSSSGEYPSPPFCGTEEPASIPELASAVVDEVTDDVPGTKTLKIESMNSLEKTVSFEGDLHIEKDDDGDSWEPEEPSKPPGSISSLAPDGPPSFRSLSGKSDDGGNGTGSLSRLAGLGRAARRQLAAILDEFWGQLYDFHGQPTQEAKVKKLDVLLGVDSKPLKVDTTGKEYGGYFPSVGGRGSDALNGSSLYDSPKHLKMQNSIDLSRGYPRGSSLWSNQTQQLDAYAQNSSCNVISSERRYFSLRAAPSAEAWDYQPATVHGYQIASYLNRIAKDRSSNCLNDQIELPASDSPAMGPTNYRGSLASALRQKSQNGVTPAQPPGFENVAVARSSALQSERSYHDKNLSGINDNSGISVNTKKYHSLPDISGFSVPHRVPEKSGQWDSSIGYGLSIGRTNYGTPMYSNAGSRVGVPFSFDELSHLKGYRDALPLQLGSGSGTGSLWSRQPFEQFGVADKSHTAGNEAVGSGLNSVTRDTASGVDLESKLLQSFRHCIVRLLKLDGSDWLFRQNDGADEELIDRVAARERFLYDAEAREMSQVVHIREPQYLSSERRYGSTQKSDEANFANFSISSVPHCGEGCIWKADLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGVIDLAFSKPRTPMSPCFCLQIPQEYQKRLSPPVSNGMLPPAAKPGKGKCTNAVTLLDMIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSSKASNVPTSCTMLAAYSS >KJB62430 pep chromosome:Graimondii2_0_v6:9:64404731:64412740:-1 gene:B456_009G416700 transcript:KJB62430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGGNANHQRGALHQMFPVLLPVLLISIGYVDPGKWVATVEGGARFGFDLVVPMLLFNCAAILCQYLSARIGVVTGRGLAQICSEEYDKSTRLFLGVQAELSVVALDLTMVLGVAHGINLLFGVDLSTGVFLAALDAVLFPVFASTLDHCRASFLCIYAAGFILLSYVFGVLLSQPEISISMLGMPTKLSGESAFALMSLLGASIMPHNFYLHSSIVQEHLGPPNTSKSALCHNHLFAILGVFGGIYLVNYVLMNSAANVFYNAGLVLVTFHDAMEQVFRNGILPLVFLLVMFLSNQLTASTWNLGGQVVLHNFLGLDIPGWLHRATIKIVAIVPALYCVWTSGPEGVYQMFILAQVMVALLLPSSVIPLFRVASSRSIMGVYKVSPILEFLSLVTFMGILGLKIIFVVEMIFGSSDWAGNLRLNAGISMSVPFVVLLATAFASFSLMIWLAATPLKSASSESKAHAWKWDMNRTVSETALEREGNELSETRYCGEEPAHILERSLAPENSIESHSDLSFPNYSLDLPETIMESEQEIRLTTVNANSSSGEYPSPPFCGTEEPASIPELASAVVDEVTDDVPGTKTLKIESMNSLEKTVSFEGDLHIEKDDDGDSWEPEEPSKPPGSISSLAPDGPPSFRSLSGKSDDGGNGTGSLSRLAGLGRAARRQLAAILDEFWGQLYDFHGQPTQEAKVKKLDVLLGVDSKPLKVDTTGKEYGGYFPSVGGRGSDALNGSSLYDSPKHLKMQNSIDLSRGYPRGSSLWSNQTQQLDAYAQNSSCNVISSERRYFSLRAAPSAEAWDYQPATVHGYQIASYLNRIAKDRSSNCLNDQIELPASDSPAMGPTNYRGSLASALRQKSQNGVTPAQPPGFENVAVARSSALQSERSYHDKNLSGINDNSGISVNTKKYHSLPDISGFSVPHRVPEKSGQWDSSIGYGLSIGRTNYGTPMYSNAGSRVGVPFSFDELSHLKGYRDALPLQLGSGSGTGSLWSRQPFEQFGVADKSHTAGNEAVGSGLNSVTRDTASGVDLESKLLQSFRHCIVRLLKLDGSDWLFRQNDGADEELIDRVAARERFLYDAEAREMSQVVHIREPQYLSSERRYGSTQKSDEANFANFSISSVPHCGEGCIWKADLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGVIDLAFSKPRTPMSPCFCLQIPQEYQKRLSPPVSNGMLPPAAKPGKGKCTNAVTLLDMIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSSKASNVPTSCTMLAAYSS >KJB62432 pep chromosome:Graimondii2_0_v6:9:64404731:64411733:-1 gene:B456_009G416700 transcript:KJB62432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGGNANHQRGALHQMFPVLLPVLLISIGYVDPGKWVATVEGGARFGFDLVVPMLLFNCAAILCQYLSARIGVVTGRGLAQICSEEYDKSTRLFLGVQAELSVVALDLTMVLGVAHGINLLFGVDLSTGVFLAALDAVLFPVFASTLDHCRASFLCIYAAGFILLSYVFGVLLSQPEISISMLGMPTKLSGESAFALMSLLGASIMPHNFYLHSSIVQEHLGPPNTSKSALCHNHLFAILGVFGGIYLVNYVLMNSAANVFYNAGLVLVTFHDAMEQVFRNGILPLVFLLVMFLSNQLTASTWNLGGQVVLHNFLGLDIPGWLHRATIKIVAIVPALYCVWTSGPEGVYQMFILAQVMVALLLPSSVIPLFRVASSRSIMGVYKVSPILEFLSLVTFMGILGLKIIFVVEMIFGSSDWAGNLRLNAGISMSVPFVVLLATAFASFSLMIWLAATPLKSASSESKAHAWKWDMNRTVSETALEREGNELSETRYCGEEPAHILERSLAPENSIESHSDLSFPNYSLDLPETIMESEQEIRLTTVNANSSSGEYPSPPFCGTEEPASIPELASAVVDEVTDDVPGTKTLKIESMNSLEKTVSFEGDLHIEKDDDGDSWEPEEPSKPPGSISSLAPDGPPSFRSLSGKSDDGGNGTGSLSRLAGLGRAARRQLAAILDEFWGQLYDFHGQPTQEAKVKKLDVLLGVDSKPLKVDTTGKEYGGYFPSVGGRGSDALNGSSLYDSPKHLKMQNSIDLSRGYPRGSSLWSNQTQQLDAYAQNSSCNVISSERRYFSLRAAPSAEAWDYQPATVHGYQIASYLNRIAKDRSSNCLNDQIELPASDSPAMGPTNYRGSLASALRQKSQNGVTPAQPPGFENVAVARSSALQSERSYHDKNLSGINDNSGISVNTKKYHSLPDISGFSVPHRVPEKSGQWDSSIGYGLSIGRTNYGTPMYSNAGSRVGVPFSFDELSHLKGYRDALPLQLGSGSGTGSLWSRQPFEQFGVADKSHTAGNEAVGSGLNSVTRDTASGVDLESKLLQSFRHCIVRLLKLDGSDWLFRQNDGADEELIDRVAARERFLYDAEAREMSQVVHIREPQYLSSERRYGSTQKSDEANFANFSISSVPHCGEGCIWKADLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGVIDLAFSKPRTPMSPCFCLQIPQEYQKRLSPPVSNGMLPPAAKPGKGKCTNAVTLLDMIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSSKASNVPTSCTMLAAYSS >KJB62876 pep chromosome:Graimondii2_0_v6:9:69851576:69857323:-1 gene:B456_009G447400 transcript:KJB62876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTWMLSWILIICLAQVAVQSQYYSETLPYHPRPVKVTNLHFFMHEHTGVTAVVLTQANITSNNSSVTFATLVAVNDPLRTGPEPDSEVIGNVQGISLLAGSNASSTQYIEFGFNTGKFNGSSLSVFSRGEPGLAVVGGRGRFMMAKGTALFNPILINATNVIMEFNFTVVHY >KJB60256 pep chromosome:Graimondii2_0_v6:9:25876986:25879336:-1 gene:B456_009G296400 transcript:KJB60256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDLDDFRSVLETAGVDVWTFIDTAILAASLDYGEELKQRRDGIVERLYATSMVNGCKTCDFGERSNGYSVNKEGNLHESKGGEGRKGSPFKPQSDNEDDDLDPCGGVFDVEQKRVLEIKDLLEVPDQSEDSLVDLLQSLADMDITFQALKETDIGRHVNKFRKHSSNDVRRLVKQLVRKWKDIVDKWVMVNQPGEHESAALMDRDSPQQKLPQNGGQQVPDDVYSPNPHNGSLGLNKNNSEPERKLKSIPHRKDPPIRHTHSTPPQNVQDFVETERRKGKQI >KJB60257 pep chromosome:Graimondii2_0_v6:9:25876349:25879798:-1 gene:B456_009G296400 transcript:KJB60257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDLDDFRSVLETAGVDVWTFIDTAILAASLDYGEELKQRRDGIVERLYATSMVNGCKTCDFGERSNGYSVNKEGNLHESKGGEGRKGSPFKPQSDNEDDDLDPCGGVFDVEQKRVLEIKDLLEVPDQSEDSLVDLLQSLADMDITFQALKETDIGRHVNKFRKHSSNDVRRLVKQLVRKWKDIVDKWVMVNQPGEHESAALMDRDSPQQKLPQNGGQQVPDDVYSPNPHNGSLGLNKNNSEPERKLKSIPHRKDPPIRHTHSTPPQNVQRQREEKESKFDSDRLASARKRLQESYKEAENAKRQRTVQVMDINELPKPKNGFFAKNKGSGSQGRQW >KJB58654 pep chromosome:Graimondii2_0_v6:9:17088733:17090374:-1 gene:B456_009G220200 transcript:KJB58654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFFSCFDGGNKEQRKEQDRLASAEARAKAAEAAQKRQEQFEQSAAGRAARAQVQAAAKQSANSNKGEPVLKWQLG >KJB58655 pep chromosome:Graimondii2_0_v6:9:17089615:17090345:-1 gene:B456_009G220200 transcript:KJB58655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFFSCFDGGNKEQRKEQDRLASAEARAKAAEAAQKRQEQFEQSAAGRAARAQVQAAAKQSANSNKGEPVLKVFIT >KJB58652 pep chromosome:Graimondii2_0_v6:9:17088733:17090387:-1 gene:B456_009G220200 transcript:KJB58652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFFSCFDGGNKEQRKEQDRLASAEARAKAAEAAQKRQEQFEQSAAGRAARAQVQAAAKQSANSNKGEPVLKWQLG >KJB58653 pep chromosome:Graimondii2_0_v6:9:17088659:17090458:-1 gene:B456_009G220200 transcript:KJB58653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFFSCFDGGNKEQRKEQDRLASAEARAKAAEAAQKRQEQFEQSAAGRAARAQVQAAAKQSANSNKGEPVLKK >KJB54554 pep chromosome:Graimondii2_0_v6:9:2818151:2820902:1 gene:B456_009G038300 transcript:KJB54554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLEGGVSFSYLSKLEKGNKNAFQFDDDYRDAVWTVPQDKSIVPLGSKSMKNDYLYQFRAESDDFIDGEYDSGDDVSKSMQNGLYPEVNLKNVLSGLVAIVTGRNKGSLGASVDQQYQSSNVSFLGSEKNGDTYLHSSVYIPSAPPLLESSGIDYNAYKEVLEAEPPEWIPDSSTSVCMQCSAPFTALTRGRHHCRFCGGIFCRACSKGRCLLPVKFRERNPQRVCDSCYDRLDPLQGVLINTISNAVQVAKHDVMDWTCTRGWLNLPFGLSMEHEIYKASNTLRSYCQVARLNPERSIPWAVLRGAKGLAILTVVKAGVLVAYKLGTGLVIARRSDGTWSAPSALCSVGLGWGAQIGGELMDFIIVLHDSKAVKTFCSRMHFSLGAGCSAAAGPVGRVLEADLRAGDGGSGMCYSYSCSKGAFVGVSLEGNIVATRMDTNLRFYGDPYLTTADILLGTVDRPKAAEPLYAALHNLYSSLRF >KJB57312 pep chromosome:Graimondii2_0_v6:9:12098357:12100350:1 gene:B456_009G157700 transcript:KJB57312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGLVQFLTILLLHLLLCSGSSVSKKPPLQEINQRKQNQDSRMLLDPSISTTVLDNVPIVNPTTPGTTPNPTSPSTEPITNPVTPVTTPTAPVTTPTTPTPTPTTSSGGSWCVANQGASPTALQVALDYACGYGGADCSAIQPGASCYEPNTVHDHASYAFNDYYQKHPEPTSCVFGGTAQLTNTDPSNGNCHYAASSPTSVTPPASITPPATLPPPAPTTMTMTPPFPMSPPFTGAGGDSVYGSAEPTGLPSSASCVSFSYLLIFATMSLVAANKL >KJB58330 pep chromosome:Graimondii2_0_v6:9:15859672:15862894:1 gene:B456_009G204900 transcript:KJB58330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAMETSVNGDGFSQLQSYGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDIEFENVQWNGSDMASDDTQKSHRSRHRAHKSSGSCHKTMSRSLSCDSQLKGTVSASRGSMKVDLSKLEIAALWRYWRHFNLVDTIPNPSKEQLVDVVQRHFMSQQMDELQVIVGFVHAAKRLKTVCK >KJB58331 pep chromosome:Graimondii2_0_v6:9:15859675:15864593:1 gene:B456_009G204900 transcript:KJB58331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAMETSVNGDGFSQLQSYGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDIEFENVQWNGSDMASDDTQKSHRSRHRAHKSSGSCHKTMSRSLSCDSQLKGTVSASRGSMKVDLSKLEIAALWRYWRHFNLVDTIPNPSKEQLVDVVQRHFMSQQMDELQVIVGFVHAAKRLKTVCK >KJB57663 pep chromosome:Graimondii2_0_v6:9:13425468:13428587:1 gene:B456_009G174100 transcript:KJB57663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGVIGVGGGGDGRKPYPGNLTPYVTITCIVAAMGGLIFGYDIGISGGVTTMTPFLQKFFRKVWEKKEADKSTNQYCQYDSQTLTMFTSSLYLAALLSSLVASTVTRRLGRKLSMLFGGLLFFAGALINGFAKAVWMLIVGRMLLGFGVGFANQSVPLYLSEMAPYKYRGALNIGFQLSITVGILIANVLNYFFAKIKGGWGWRLSLGGAMVPALIITVGSLVLPDTPNSMIERGQTEEARAKLKKIRGVDDVDEEFKDLVAASDASKLVHHPWTNLLQRKYRPHLTMAILIPFFQQLTGINVIMFYAPVLFNTIGFGDDASLMSAVITGIVNVGATLVSIYGVDKWGRRFLFLEGGVQMLICQVLGFFFT >KJB57662 pep chromosome:Graimondii2_0_v6:9:13425374:13428652:1 gene:B456_009G174100 transcript:KJB57662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGVIGVGGGGDGRKPYPGNLTPYVTITCIVAAMGGLIFGYDIGISGGVTTMTPFLQKFFRKVWEKKEADKSTNQYCQYDSQTLTMFTSSLYLAALLSSLVASTVTRRLGRKLSMLFGGLLFFAGALINGFAKAVWMLIVGRMLLGFGVGFANQSVPLYLSEMAPYKYRGALNIGFQLSITVGILIANVLNYFFAKIKGGWGWRLSLGGAMVPALIITVGSLVLPDTPNSMIERGQTEEARAKLKKIRGVDDVDEEFKDLVAASDASKLVHHPWTNLLQRKYRPHLTMAILIPFFQQLTGINVIMFYAPVLFNTIGFGDDASLMSAVITGIVNVGATLVSIYGVDKWGRRFLFLEGGVQMLICQAVVAACIGAKFGVSGNPGDLPKWYAITVVLFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSVNVSVNMIFTFAVAQVFLSMLCHLKFGLFLFFAFFVVVMSIFVYYFLPETKGIPIEEMNQVWRSHWYWSRFVEEADYPNGGMEMSKGNHGPKNV >KJB55747 pep chromosome:Graimondii2_0_v6:9:6750091:6752516:1 gene:B456_009G092300 transcript:KJB55747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSFKDSLKALEADIQHANTLAFDYPREKDGARLQMRLSYSPAAQFFLFLVQWTDCQLAGALGLLRILIYMTYADGKTTMSVYERKASIREFYAVIFPSLLQLQKGITSLEDRKQKEVCTMRYRKKDESERGKLSEIDLEREEECGICLEMSSMVVLPNCTHSLCLKCYRDWHGRSQSCPFCRDSLKRVNSCDLWIYTEKSEIIDLSLILRENSNRLFMYIDKLPLIVPDPVFVPYDVHVR >KJB55749 pep chromosome:Graimondii2_0_v6:9:6751456:6752352:1 gene:B456_009G092300 transcript:KJB55749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKCHELLQTYADGKTTMSVYERKASIREFYAVIFPSLLQLQKGITSLEDRKQKEVCTMRYRKKDESERGKLSEIDLEREEECGICLEMSSMVVLPNCTHSLCLKCYRDWHGRSQSCPFCRDSLKRVNSCDLWIYTEKSEIIDLSLILRENSNRLFMYIDKLPLIVPDPVFVPYDVHVR >KJB55748 pep chromosome:Graimondii2_0_v6:9:6750138:6752502:1 gene:B456_009G092300 transcript:KJB55748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSFKDSLKALEADIQHANTLAFDYPREKDGARLQMRLSYSPAAQFFLFLVQWTDCQLAGALGLLRILIYMTYADGKTTMSVYERKASIREFYAVIFPSLLQLQKGITSLEDRKQKEVCTMRYRKKDESERGKLSEIDLEREEECGICLEMSSMVVLPNCTHSLCLKCYRDW >KJB55750 pep chromosome:Graimondii2_0_v6:9:6750138:6752502:1 gene:B456_009G092300 transcript:KJB55750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSYSPAAQFFLFLVQWTDCQLAGALGLLRILIYMTYADGKTTMSVYERKASIREFYAVIFPSLLQLQKGITSLEDRKQKEVCTMRYRKKDESERGKLSEIDLEREEECGICLEMSSMVVLPNCTHSLCLKCYRDWHGRSQSCPFCRDSLKRVNSCDLWIYTEKSEIIDLSLILRENSNRLFMYIDKLPLIVPDPVFVPYDVHVR >KJB58607 pep chromosome:Graimondii2_0_v6:9:16923609:16925903:-1 gene:B456_009G217800 transcript:KJB58607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTYRTWIHASKDVCSSWNFEELRRDLRTTLGTTKWQLEEFERAVQSSYNANSSEDVRDRHRDFIVAIEDQVSKIEKSLQESALLEGKTSMPWVRLDKGECDDLALFLSGPTVSGEKELPPKSHSRTNEIPRGINIESVPHCSKNSGQSVEWSSSEAKGEKSHGHRRTASASANIGTWKIAIGGDVLEQNSSNGQPSIPPRKVPSFSGFLNSMESVAKVKWSKNGFRKWKAVDCDSESNTELLRSPQLATGLNACYERSKSCLDCDNYDKQLYGWYGAIQRLLQRSQYQMRYGHPIRLAVWVVLFLCLIVLIGFYAI >KJB58609 pep chromosome:Graimondii2_0_v6:9:16923179:16926590:-1 gene:B456_009G217800 transcript:KJB58609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFDRWEKDPFFSVAEEVQESADRMESTYRTWIHASKDVCSSWNFEELRRDLRTTLGTTKWQLEEFERAVQSSYNANSSEDVRDRHRDFIVAIEDQVSKIEKSLQESALLEGKTSMPWVRLDKGECDDLALFLSGPTVSGEKELPPKSHSRTNEIPRGINIESVPHCSKNSGQSVEWSSSEAKGEKSHGHRRTASASANIGTWKIAIGGDVLEQNSSNGQPSIPPRKVPSFSGFLNSMESVAKVKWSKNGFRKWKAVDCDSESNTELLRSPQLATGLNACYERSKSCLDCDNYDKQLYGWYGAIQRLLQRSQYQMRYGHPIRLAVWVVLFLCLIVLIGFYAI >KJB58606 pep chromosome:Graimondii2_0_v6:9:16923179:16925744:-1 gene:B456_009G217800 transcript:KJB58606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWVRLDKGECDDLALFLSGPTVSGEKELPPKSHSRTNEIPRGINIESVPHCSKNSGQSVEWSSSEAKGEKSHGHRRTASASANIGTWKIAIGGDVLEQNSSNGQPSIPPRKVPSFSGFLNSMESVAKVKWSKNGFRKWKAVDCDSESNTELLRSPQLATGLNACYERSKSCLDCDNYDKQLYGWYGAIQRLLQRSQYQMRYGHPIRLAVWVVLFLCLIVLIGFYAI >KJB58608 pep chromosome:Graimondii2_0_v6:9:16923179:16926545:-1 gene:B456_009G217800 transcript:KJB58608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFDRWEKDPFFSVAEEVQESADRMESTYRTWIHASKDVCSSWNFEELRRDLRTTLGTTKWQLEEFERAVQSSYNANSSEDVRDRHRDFIVAIEDQVSKIEKSLQESALLEGKTSMPWVRLDKGECDDLALFLSGPTVSGEKELPPKSHSRTNEIPRGINIESVPHCSKNSGQSVEWSSSEAKGEKSHGHRRTASASANIGTWKIAIGGDVLEQNSSNGQPSIPPRKEVEGSRL >KJB53588 pep chromosome:Graimondii2_0_v6:9:6585972:6588516:-1 gene:B456_009G090300 transcript:KJB53588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCRHLTPRPSLSNAATVPKKQFSVLAVSKNAVAVLKGDSKVEGVVTLTQEDDGPTTVNVRVTGLTPGLHGFHLHEYSDTTNGCMSTGAHFNPNRMTHGAPESEVRHAGDLGNIVANADGVAEATIVDKQIPLSGPNAVIGRAFVVHELEDDLGKGGHELSLTTGNAGGRLACGMCTSTLIYSSISICFQRKQEVHIFSRLISDLFLASMF >KJB53587 pep chromosome:Graimondii2_0_v6:9:6587076:6588323:-1 gene:B456_009G090300 transcript:KJB53587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCRHLTPRPSLSNAATVPKKQFSVLAVSKNAVAVLKGDSKVEGVVTLTQEDDGPTTVNVRVTGLTPGLHGFHLHEYSDTTNGCMSTGAHFNPNRMTHGAPESEVRHAGDLGNIVANADGVAEATIVDKQVL >KJB53590 pep chromosome:Graimondii2_0_v6:9:6586810:6588476:-1 gene:B456_009G090300 transcript:KJB53590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCRHLTPRPSLSNAATVPKKQFSVLAVSKNAVAVLKGDSKVEGVVTLTQEDDGPTTVNVRVTGLTPGLHGFHLHEYSDTTNGCMSTGAHFNPNRMTHGAPESEVRHAGDLGNIVANADGVAEATIVDKQIPLSGPNAVIGRAFVVHELEDDLGKGNYLFGLQFLPLRCAETSPIS >KJB53589 pep chromosome:Graimondii2_0_v6:9:6586493:6588476:-1 gene:B456_009G090300 transcript:KJB53589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCRHLTPRPSLSNAATVPKKQFSVLAVSKNAVAVLKGDSKVEGVVTLTQEDDGAHFNPNRMTHGAPESEVRHAGDLGNIVANADGVAEATIVDKQIPLSGPNAVIGRAFVVHELEDDLGKGGHELSLTTGNAGGRLACGMCTSTLIYSSISICFQRKQEVHIFSRLISDLFLASMF >KJB53586 pep chromosome:Graimondii2_0_v6:9:6585677:6588476:-1 gene:B456_009G090300 transcript:KJB53586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCRHLTPRPSLSNAATVPKKQFSVLAVSKNAVAVLKGDSKVEGVVTLTQEDDGPTTVNVRVTGLTPGLHGFHLHEYSDTTNGCMSTGAHFNPNRMTHGAPESEVRHAGDLGNIVANADGVAEATIVDKQIPLSGPNAVIGRAFVVHELEDDLGKGGHELSLTTGNAGGRLACGVVGLTPI >KJB56778 pep chromosome:Graimondii2_0_v6:9:10195456:10199997:-1 gene:B456_009G135700 transcript:KJB56778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTKMEDSSNQREMSHEEMPETHSKGGLITMPFIIANESFEKVASYGLVPNMILYLIKDYHMGVAKGTNILFFWQAATNFTPILGAFVADSYLGRFLTIGLGSICSLLGMILLWLTAMVPQSKPPPCDLMTQTCSSPTSAQMTLLFFSFVLISLGAGGVRPCSLAFGADQLDRRDNPKNVRVLESFFGWYYASAAISVLIALTGIVYIQDQFGYRVGFGVPAILMLLSALVFFLASPFYLKQQASKSLLTGFVQVIIVAYKNRNLTFPLPNSTASYHHKRDSNVVAPTDKLRFLNKACIIKNPEQDIALDGSAANPWSLCTVEQVEELKALIKVLPLWSTGIIMSINLSQNSFPVLQASSMDRHLTKKFQIPAGSYGMFNIISLALWVIFYDRAILPMASKIKGKPVRIGVKLRMGIGLFLTCIAMVVSAIVENARRKEAIRSGFQNNPTAVVKMSAMWLVPQFCLNGFAEAFTAIGQTEFFYSELPKSMSSIAAALFGLGLAVANVLASVVVSIIDDITSKGGKESWVSSNINKGRIDNYYWVLAILSFINLFYYFLCAWAYGPTGRQATKVKSIAR >KJB61917 pep chromosome:Graimondii2_0_v6:9:53042829:53043412:-1 gene:B456_009G391100 transcript:KJB61917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DESYNERASKFQEEVRMMLGNMVDSLEKLELVDTLQRLGLSHHFEAEINKTLKNISTDRIGTAAWKKDNLYATALEFRLLRQHGYKVDQDVFTCFMDDVGNIKSSLNQDFKGLLNLYEASYLLLEGETVLENARELAAKLLKQYLKENNDDQYLRMLVDHAFVKL >KJB55966 pep chromosome:Graimondii2_0_v6:9:7552243:7555982:1 gene:B456_009G104200 transcript:KJB55966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Related to AP2.7 [Source:Projected from Arabidopsis thaliana (AT2G28550) UniProtKB/TrEMBL;Acc:F4IIR3] MLDLNLNVASTESTQDSACATLVTNKLNEYCGNQMDESETSNSSIVNDDDESCSTRANGDAFTLSFDILKVGSGETEYGNDGAPVVVTKELFPVKRVGEDFRSPEGQSSGSSNYNKWIDLSFERKEVADPRVMQQQQPSQTTVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHSAARAYDRAAIKFRGVDADINFSLSDYEDDMKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSSYEGDIILDTSNEGNTHNLDLNLGISPPVGNGSVENEEHPHFHSGTSDINGGKSLRAENPAAATVGPAYKGLAGTSDQPILWNSVYPRCFPSEERAMVRRVDIGPPQGPPNWTCQMRSQVRATATPLFSTAASSGFSYLASTPAAAKPINQMTQNLCFTSSTATATNTAEINFQMQPPP >KJB55965 pep chromosome:Graimondii2_0_v6:9:7552243:7555982:1 gene:B456_009G104200 transcript:KJB55965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Related to AP2.7 [Source:Projected from Arabidopsis thaliana (AT2G28550) UniProtKB/TrEMBL;Acc:F4IIR3] MLDLNLNVASTESTQDSACATLVTNKLNEYCGNQMDESETSNSSIVNDDDESCSTRANGDAFTLSFDILKVGSGETEYGNDGAPVVVTKELFPVKRVGEDFRSPEGQSSGSSNYNKWIDLSFERKEVADPRVMQQQQPSQTTVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHSAARAYDRAAIKFRGVDADINFSLSDYEDDMKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSSYEGDIILDTSNEGNTHNLDLNLGISPPVGNGSVENEEHPHFHSGTSDINGGKSLRAENPAAATVGPAYKGLAGTSDQPILWNSVYPRCFPSEERAMVRRVDIGPPQGPPNWTCQMRSQVRATATPLFSTAASSGFSYLASTPAAAKPINQMTQNLCFTSSTATATNTAEINFQMQPPP >KJB55967 pep chromosome:Graimondii2_0_v6:9:7552767:7555982:1 gene:B456_009G104200 transcript:KJB55967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Related to AP2.7 [Source:Projected from Arabidopsis thaliana (AT2G28550) UniProtKB/TrEMBL;Acc:F4IIR3] MLDLNLNVASTESTQDSACATLVTNKLNEYCGNQMDESETSNSSIVNDDDESCSTRANGDAFTLSFDILKVGSGETEYGNDGAPVVVTKELFPVKRVGEDFRSPEGQSSGSSNYNKWIDLSFERKEVADPRVMQQQQPSQTTVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHSAARAYDRAAIKFRGVDADINFSLSDYEDDMKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSSYEGDIILDTSNEGNTHNLDLNLGISPPVGNGSVENEEHPHFHSGTSDINGGKSLRAENPAAATVGPAYKGLAGTSDQPILWNSERAMVRRVDIGPPQGPPNWTCQMRSQVRATATPLFSTAASSGFSYLASTPAAAKPINQMTQNLCFTSSTATATNTAEINFQMQPPP >KJB62661 pep chromosome:Graimondii2_0_v6:9:67170073:67173152:1 gene:B456_009G428400 transcript:KJB62661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVSQMPSSSPLSSNKDEMRPKADFQPSIWGDLFLNCPDKNIDAETEKRHQQLKEEVRKMIVAPMANSTQKLAFIDSVQRLGVSYHFTKEIEDELENIYHNNNDAENDLYTTSLRFRLLREHGFNVSCDVFNKFKDEQGNFKSSVTSDVRGLLELYQASYLRVHGEDILDEAISFTSNHLSLAVASLDHPLSEEVSHALKQSIRRGLPRVEARHYLSVYQDIESHNKVLLEFAKIDFNMVQLLHRKELSEISRWWKDLDFQRKLPYARDRVVEGYFWISGVYFEPQYSLGRKMLTKVIAMASIVDDTYDSYATYEELIPYTNAIERWDIKCIDELPEYMKPSYKALLDVYEEMEQLVAEHGRQYRVEYAKNAMIRLAQSYLVEARWTLQNYKPSFEEFKANALPTCGYAMLAITSFVGMGDIVTPETFKWAANDPKIIQASTIICRFMDDVAEHKFKHRREDDCSAIECYMEEYGVTAQEAYDVFNKHVESAWKDVNQEFLKPTEMPTEVLNRSLNLARVMDVLYREGDGYTYVGKAAKGGITSLLIEPIAL >KJB62845 pep chromosome:Graimondii2_0_v6:9:69050173:69050707:-1 gene:B456_009G4398002 transcript:KJB62845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLKIIMVIAQLAMEMGFPYLDHQGFSLIIFSCVSVRIDTLMQYKVPLSLPSQIAISPHHDHVSFILKYSTWYTFCNLVILLSASDTYSKDQYMQVTLAFNHFGKELIQKMPRCPWGYFHVVNNDYTHWKLYAIGGSMHPTIISQGNMFIAPDNPLVKDVNENITN >KJB57278 pep chromosome:Graimondii2_0_v6:9:11986826:11991077:-1 gene:B456_009G156400 transcript:KJB57278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQASSLRASPLKPLQKPKLNIHFPNASRLVPRPTKKFSSITASSPTVSAPKREKDPKKRVVITGMGLVSVFGNDVDAYYDKLLAGESGIGLIDRFDASKFPTRFAGQIRGFSSQGYIDGKNDRRLDDCLRYCIVAGKKALEDADLGGDKLSKIDKERAGVLVGTGMGGLTVFSDGVQNLIEKGYRKITPFFIPYAITNMSSALLAIDLGLMGPNYSISTACATSNYCFYAAANHIRRGEAEMMIAGGTEAAIIPIGLGGFVACRALSQRNDDPQTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRGAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIERSLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKNTSGIKINATKSMIGHCLGAAGGLEAIATVKAITTGWLHPSINQFNPEPSVEFDTVANKKQQHEVNVAISNSFGFGGHNSVVAFSAFKP >KJB57279 pep chromosome:Graimondii2_0_v6:9:11986867:11991077:-1 gene:B456_009G156400 transcript:KJB57279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQASSLRASPLKPLQKPKLNIHFPNASRLVPRPTKKFSSITASSPTVSAPKREKDPKKRVVITGMGLVSVFGNDVDAYYDKLLAGESGIGLIDRFDASKFPTRFAGQIRGFSSQGYIDGKNDRRLDDCLRYCIVAGKKALEDADLGGDKLSKIDKERAGVLVGTGMGGLTVFSDGVQNLIEKGYRKITPFFIPYAITNMSSALLAIDLGLMGPNYSISTACATSNYCFYAAANHIRRGEAEMMIAGGTEAAIIPIGLGGFVACRALSQRNDDPQTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRGAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIERSLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKNTSGIKINATKSMIGHCLGAAGGLEAIATVKAITTGWLHPSINQFNPEPSVEFDTVANKKQQHEVNVGKTLYPFLGSTPSLSIYLHFDGYGSSRTCVFCNSNRLVLVDSAISNSFGFGGHNSVVAFSAFKP >KJB54020 pep chromosome:Graimondii2_0_v6:9:1340951:1341843:1 gene:B456_009G017000 transcript:KJB54020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASFKHNPLELKVTVLSVMFIFYLTFFPSKSVSVTAGNDNNLKQEKTVLGSRPPKCVNKCFSCRPCMATLVASPNHRNTRSSSYQGDESYYLLAWKCKCGNKFFQP >KJB62110 pep chromosome:Graimondii2_0_v6:9:57890589:57895341:1 gene:B456_009G401300 transcript:KJB62110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTREENEEQVDLEGDNEQEETIEEEVEYEEIEEEEEVEVEEEVEEEVEDEDENAELVDVQKGSDGDEELNDSETEEEKKKKHAELLALPPHGSEVYIGGIPHDTSKEDLKRFCESIGEVIEVRIMKAKDLGEGNGYAFVTFRSKELASKAIERLNYSEFKGKKIKCSTSQAKNKLFIGNVPRNWGEEVMRRVVTDVGPGVGCIELLKDPMNPSRNRGFVFIEYYNHACAEYSRQKMLKPTFKLDDNAPTVSWADPRNAESSATTQVKSLYVKNLPRDITQDRLKELFEHHGKITKVVVPPAKAGKEDSRYGFVHFAERSSVMKALKNTEKYEIDGQVLECSLAKPQADQKSSGGSGSQKSTLDSSFPPLGFGLLGGAYGGLGTGFGPAGFGQPLIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGMQQPETPPPRPRSSRGGASSSSSSGGRRSSSDSNRGRNRYNPY >KJB62112 pep chromosome:Graimondii2_0_v6:9:57890685:57895213:1 gene:B456_009G401300 transcript:KJB62112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKDLGEGNGYAFVTFRSKELASKAIERLNYSEFKGKKIKCSTSQAKNKLFIGNVPRNWGEEVMRRVVTDVGPGVGCIELLKDPMNPSRNRGFVFIEYYNHACAEYSRQKMLKPTFKLDDNAPTVSWADPRNAESSATTQVKSLYVKNLPRDITQDRLKELFEHHGKITKVVVPPAKAGKEDSRYGFVHFAERSSVMKALKNTEKYEIDGQVLECSLAKPQADQKSSGGSGSQKSTLDSSFPPLGFGLLGGAYGGLGTGFGPAGFGQPLIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGMQQPETPPPRPRSSRGGASSSSSSGGRRSSSDSNRGRNRYNPY >KJB62113 pep chromosome:Graimondii2_0_v6:9:57891383:57895213:1 gene:B456_009G401300 transcript:KJB62113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTREENEEQVDLEGDNEQEETIEEEVEYEEIEEEEEVEVEEEVEEEVEDEDENAELVDVQKGSDGDEELNDSETEEEKKKKHAELLALPPHGSEVYIGGIPHDTSKEDLKRFCESIGEVIEVRIMKAKDLGEGNGYAFVTFRSKELASKAIERLNYSEFKGKKIKCSTSQAKNKLFIGNVPRNWGEEVMRRVVTDVGPGVGCIELLKDPMNPSRNRGFVFIEYYNHACAEYSRQKMLKPTFKLDDNAPTVSWADPRNAESSATTQVKSLYVKNLPRDITQDRLKELFEHHGKITKVVVPPAKAGKEDSRSSVGVFSCKTTSRPEVFWRIWLPEVNLRFKLPSIRIWFTWGSIWWSWNRIWSCRIWTAVNLWARSNSCWNGNDANAFA >KJB62111 pep chromosome:Graimondii2_0_v6:9:57890540:57895341:1 gene:B456_009G401300 transcript:KJB62111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTREENEEQVDLEGDNEQEETIEEEVEYEEIEEEEEVEVEEEVEEEVEDEDENAELVDVQKGSDGDEELNDSETEEEKKKKHAELLALPPHGSEVYIGGIPHDTSKEDLKRFCESIGEVIEVRIMKAKDLGEGNGYAFVTFRSKELASKAIERLNYSEFKGKKIKCSTSQAKNKLFIGNVPRNWGEEVMRRVVTDVGPGVGCIELLKDPMNPSRNRGFVFIEYYNHACAEYSRQKMLKPTFKLDDNAPTVSWADPRNAESSATTQVKSLYVKNLPRDITQDRLKELFEHHGKITKVVVPPAKAGKEDSRYGFVHFAERSSVMKALKNTEKYEIDGQVLECSLAKPQADQKSSGGSGSQKSTLDSSFPPLGFGLLGGAYGGLGTGFGPAGFGQPLIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGMQQPETPPPRPRSSRGGASSSSSSGGRRSSSDSNRGRNRYNPY >KJB56379 pep chromosome:Graimondii2_0_v6:9:8622497:8624240:1 gene:B456_009G117200 transcript:KJB56379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLPILVSIILFSISLVTSKSYPNSVVDNFLQCLPKHYRNPSKPIAKAIYTPTHPSFQSVYELHTYNLRVLTQANATHKPMAIVAALHESHVQAAVICAKESGLQVRIRSGGHDYEGLSFSSSVPFVIIDLSNLRSIKIDMKTETAWVQAGATTGELYYRIAEKSKVHAFPAGVCTTLGIGGHFTGGGYGNMLRKFGLSIDNVVDAQLINAKGRILNRKSMGEDVFWAIRGGGGTSFGIILSWKIKLVRVPPKVTVFQVAKTLEQGATVLVHKWLQVSHKLDKDIFIRIMPVTVAGTGNGNSTVRVSFIGHYLGRTNRLLPLVNASFPELGLQRKDCTEMSWIESTLYWAGFPNGTSTDVLLNRVPNKVFFKTKSDYLKNVMPKAGLETLWKVMMEIGNMWMQMSPYGGRMAEISESETAFAHRAGTLYLVQYTAHWSEGSSEATKKYVELMRKLYAEMAPYVSTKPREVFLNYRDLDIGSNNTDFEAAKVYGAKYFKGNFQRLAEVKAKIDPHNFFKNEQSIPPFPSF >KJB60688 pep chromosome:Graimondii2_0_v6:9:30626253:30630453:-1 gene:B456_009G319700 transcript:KJB60688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFISKIQRQTDYNRFPSSTPIVIDNGASYFRIGWAGETEPRVIFRNIVQRPRHKSTGETVTVVGDHDTALLKHFDCTRSGPRSAFDSNVVFQFEIMEYILDFAFDRLGANGSRIDHPILITECVCNPVQSRSKMAELLFETYGVPSVAFGVDAAFSYKYNQQRGICQKDGLAICPGFTTTHVIPFVDGEPVNRGCCRTNIGGYHVTDNLKQLLSLKYPHHMARFTWEKIEDLKMEHCYIAPDYALEARLFQKGSKEAEDKTSCWQLPWVPPPIEEPPSEEEIARKAAIKERQGQRLREMAEAKRSSRINELENQLHGLEFLLQQLAQVEEEEISSFLSKTGYVSKQEIESTLTKVSQSLRKAKGESKVEQAENEEKADTSTSDKYPLINVPDNMLTPEQLKEKKRQIFLKTTTEGRQRAKQKRFEEELEREKKTREDEERRLENPELYLEQMRTKYKELYEKVEQRKRLKINGGHANGNSSGGVGRGERLNAAQRERMRLLTTAAFDRGKGEDNFGAKDEDWQLYKLMSKDNDDDDDGADEDEAELARVCSRLQAIDPTFVPKPEPTPSQAATTEVPRVRPLTKEDFQVVLGVERFRCPEILFHPNLVGIDQAGLDEMTGASIRRLASKNEALEDRLTSSIFMTGGCSLFPGINERLEAGIRMLRPCGSPIKVARALDPVLDAWRGASAYAANLQFQQQTFSRVDYYEKGEDWLRRYQLRYTL >KJB58932 pep chromosome:Graimondii2_0_v6:9:18215964:18218521:1 gene:B456_009G231300 transcript:KJB58932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDCMVSSLLCAEDDTSIFGDNDCYFGGSGEVGEFGSTWDHSFYRNSNQDRVFNGVGEDGLPLQSEECVVLMVEKEHQHLPNAGYLKRLQGGDLDPAARNEAVGFIRKVHAHFNFGPLCEYLSINYLDRFLSAYELPKGKAWMMQLLAVACLSLAAKMEETEVPLVFDLQVCESKFVFEARTLQRMELLVLSTLSWRMQAITPFSFIDYFLYKLNDDKTPLRSSVLGSIQLISSTIKGIDFLEFKPSEIAAAVAIYVAVETTTVDSEKAMSVLTQHVKKVNEPWWSINDRFNFVYLLIWFEFAGKSDEVCGSDK >KJB58931 pep chromosome:Graimondii2_0_v6:9:18215811:18218751:1 gene:B456_009G231300 transcript:KJB58931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDCMVSSLLCAEDDTSIFGDNDCYFGGSGEVGEFGSTWDHSFYRNSNQDRVFNGVGEDGLPLQSEECVVLMVEKEHQHLPNAGYLKRLQGGDLDPAARNEAVGFIRKVHAHFNFGPLCEYLSINYLDRFLSAYELPKGKAWMMQLLAVACLSLAAKMEETEVPLVFDLQVCESKFVFEARTLQRMELLVLSTLSWRMQAITPFSFIDYFLYKLNDDKTPLRSSVLGSIQLISSTIKGIDFLEFKPSEIAAAVAIYVAVETTTVDSEKAMSVLTQHVKKERVMKCVEVINDMSLVGGSIKVGSNATVPSVPQSPIGVLDAACFSYKSDDTRVGSFANSSSQTHTSPSRSTKRRKLNRPCEVEL >KJB59368 pep chromosome:Graimondii2_0_v6:9:20548283:20550088:1 gene:B456_009G252000 transcript:KJB59368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METENNLEGCGVEKLATILAIGTTNPPNCFYQVDYPDFYFRVTKSEHMTQLKDKFQRICEKSTIKKRYMQLSEAMLKENPCLTIYKAPSFDVRQDILVKEVPKLGMEASLKAIKEWGQPISKITHLIFCTSSGIDMPSADHKLANLIGLKPSVQRFMIYKSTVSLKHIIPSLRAQSREPHPYSGITKPG >KJB61432 pep chromosome:Graimondii2_0_v6:9:47317210:47322784:1 gene:B456_009G359400 transcript:KJB61432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGRRRQVVDEKYTRPQGLYVHKDVDVKKLRKLILESKLAPCYPGNEECCYDLEECPICFLYYPSLNRSRCCMKSICTECFLQMKNPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGIEQIEEQRVIEAQIRMRQQELQDDEERMQKRQEFSSSSTAVSPGEVQYGSAAAQSSVEEELVSSQDSQAAMMVQQPSHPRTNRDDEFDVDLEEIMVMEAIWQSIQENSRHRKSNNGDAASSVHVSVDRYVSPAMATVAGSSSSSSSSSPSGGFACAVAALAERQQISGESSLDYNGNIPPFNMLPGSSRFYNRLDRVSENRPAESPVDMPTGGLMTPSRDEGEWGVDFGSEVAEAGTSYASPDVTEDIGGISTIPQQDEIRGSFLNVPRPIVPESYEEQMMLAMAVSLSEAKAMTSNPGVPWQ >KJB61431 pep chromosome:Graimondii2_0_v6:9:47317210:47323898:1 gene:B456_009G359400 transcript:KJB61431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGRRRQVVDEKYTRPQGLYVHKDVDVKKLRKLILESKLAPCYPGNEECCYDLEECPICFLYYPSLNRSRCCMKSICTECFLQMKNPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGIEQIEEQRVIEAQIRMRQQELQDDEERMQKRQEFSSSSTAVSPGEVQYGSAAAQSSVEEELVSSQDSQAAMMVQQPSHPRTNRDDEFDVDLEEIMVMEAIWQSIQENSRHRKSNNGDAASSVHVSVDRYVSPAMATVAGSSSSSSSSSPSGGFACAVAALAERQQISGESSLDYNGNIPPFNMLPGSSRFYNRLDRVSENRPAESPVDMPTGGLMTPSRDEGEWGVDFGSEVAEAGTSYASPDVTEDIGGISTIPQQDEIRGSFLNVPRPIVPESYEEQMMLAMAVSLSEAKAMTSNPGVPWQ >KJB61434 pep chromosome:Graimondii2_0_v6:9:47317685:47322707:1 gene:B456_009G359400 transcript:KJB61434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGRRRQVVDEKYTRPQGLYVHKDVDVKKLRKLILESKLAPCYPGNEECCYDLEECPICFLYYPSLNRSRCCMKSICTECFLQMKNPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGIEQIEEQRVIEAQIRMRQQELQDDEERMQKRQEFSSSSTAVSPGEVQYGSAAAQSSVEEELVSSQDSQAAMMVQQPSHPRTNRDDEFDVDLEEIMVMEAIWQSIQENSRHRKSNNGDAASSVHVSVDRYVSPAMATVAGSSSSSSSSSPSGGFACAVAALAERQQISGESSLDYNGNIPPFNMLPGSSRFYNRLDRVSENRPAESPVDMPTGGLMTPSRDEGEWGVDFGSEVAEAGTSYASPDVTEDIGGISTIPQQDEIRGSFLNVPRPIVPESYEEQMMLAMAVSLSEAKAMTSNPGVPWQ >KJB61433 pep chromosome:Graimondii2_0_v6:9:47317685:47322707:1 gene:B456_009G359400 transcript:KJB61433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGRRRQVVDEKYTRPQGLYVHKDVDVKKLRKLILESKLAPCYPGNEECCYDLEECPICFLYYPSLNRSRCCMKSICTECFLQMKNPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGIEQIEEQRVIEAQIRMRQQELQDDEERMQKRQEFSSSSTAVSPGEVQYAQSSVEEELVSSQDSQAAMMVQQPSHPRTNRDDEFDVDLEEIMVMEAIWQSIQENSRHRKSNNGDAASSVHVSVDRYVSPAMATVAGSSSSSSSSSPSGGFACAVAALAERQQISGESSLDYNGNIPPFNMLPGSSRFYNRLDRVSENRPAESPVDMPTGGLMTPSRDEGEWGVDFGSEVAEAGTSYASPDVTEDIGGISTIPQQDEIRGSFLNVPRPIVPESYEEQMMLAMAVSLSEAKAMTSNPGVPWQ >KJB54382 pep chromosome:Graimondii2_0_v6:9:2387399:2388798:-1 gene:B456_009G031700 transcript:KJB54382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAKAITSPVPDAWYPTLAVFMLAIGLVLTASFFIYEATSSKRNRSLAKELTTGGVASVFLVYLFLSIVVGFSH >KJB54380 pep chromosome:Graimondii2_0_v6:9:2386857:2389159:-1 gene:B456_009G031700 transcript:KJB54380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAKAITSPVPDAWYPTLAVFMLAIGLVLTASFFIYEATSSKRNRSLAKELTTGGVASVFLGFGSLFLLLSAGVYV >KJB54381 pep chromosome:Graimondii2_0_v6:9:2386949:2388962:-1 gene:B456_009G031700 transcript:KJB54381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAKAITSPVPDAWYPTLAVFMLAIGLVLTASFFIYEATSSKRNRSLAKELTTGGGFGSLFLLLSAGVYV >KJB53773 pep chromosome:Graimondii2_0_v6:9:408109:412720:1 gene:B456_009G004400 transcript:KJB53773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDSNSDLSGDEDEGFLLNDGAPVPFPIPTSLQTAPCGFVVTDALESDHPIIYVNTVFEMVTGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDSTVVSEIRRCLDEGIEFQGELLNFRKDGSPLMNRLRLTPIYGDDETITHVIGIQFFTEANIDLGPVSVSSIKESLKSSDRSRTGFSAFCPVVVGDRNVSRGLCGILQLSDEVLSLKILSRLTPRDIASVGSVCRRLYELTKNEDLWRMVCQNAWGCETTRVLETVPGAKRLGWVRLARELTTLEAAAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWQHVQVSSPPPGRWGHTLSCVNGSHLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPVWREIPVAWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVTGSGMPGAGNPGGIAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLTELHELSLASSVI >KJB56630 pep chromosome:Graimondii2_0_v6:9:9643598:9645237:1 gene:B456_009G128200 transcript:KJB56630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRKDEQEATAAKTTLRLLEKTVKDMLVDQGRLVEVPYTATLGDTMNALVANKVVALPVAAPPGQWIGAGGSMILESDKQTGAVRKHYIGMVTMLDIVAYIADDDGSNDQTTDVANLEKKMMVPVSSIIGRSFEGLSLWTLNPNTNILDCMELFSKGIHRALVPMDSQMENIQGVELVESASSYKMLTQMDLLKFLKDHASELGEILSSSIKEIGCLNQNVYAITDRTKVIDAIKCLRTALLNAVPIVESSNDFEEDHRQLVDGEGRKPIGTFSATDLRGCHLSALQTWLPLRALEFTELVSRSPLFASKEGVSAPKEMVSCQPQAALAEVIEKIVSKHVHRVWVVDEQGLLAGLVSLTDIVGALRVSLL >KJB53435 pep chromosome:Graimondii2_0_v6:9:18945758:18946006:-1 gene:B456_009G238500 transcript:KJB53435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISPSLSPRQCPDHYALRADRNLPKQEFRYLRIVIVTVAVHRGFGRRLSCHQPPYMVLRLYGDLCFW >KJB57636 pep chromosome:Graimondii2_0_v6:9:13330591:13335419:-1 gene:B456_009G172900 transcript:KJB57636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPKELYPSQDDLLYEEELLRNPFSLKLWWRYLIARSDAPFKKRFIIYERALKALPGSYKLWHAYLRERLEIVRNLPVTHPQYETLNNTFERALVTMHKMPRIWIMYLSTLTEQKLITKTRRTFDRALCALPVTQHDRIWEPYLVFVSQRGIPIETSLRVYRRYLKYDPSHIEDFIEFLVNSNLWQEAAERLASVLNDGQFYSIKGKTKHRLWLELCDLLTTHATEVSGLNVDAIIRGGIRKFTDEVGRLWTSLADYYIRRNLFEKARDIFEEGMTTVVTVRDFSVIFDAYSQFEESMVALKMENMDLSDEEEEEEDEDEEDIRLDIALCKSKSKFEKKIFKGFWLHDDKDVDLRLARLEHLMNRRPELANSVLLRQNPHNVEQWHRRVKLFEGNPTKQILTYTEAVRTIDPMKAVGKPHTLWVAFAKLYETYKDLANARVIFDKAVQVNYKTVDHLASIWAEWAEMELRHKNFKGALELMRRATAEPSVEVKRRVAADGNEPVQMKLHKSLRLWTFYVDLEESLGTLESTRAVYERILDLRIATPQIIINYAFLLEENKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGKSKLERARELFEHAVETAPADAVKPLYLQYAKLEEDYGLAKRAMKVYDQATKAVPNHEKLDMYEIYIARAAEIFGVPKTREIYEQAIQSGLPDKDVKTMCLKYAELEKSLGEIDRARAIYVFASQFADPRSDADFWDKWREFEVQHGNEDTFREMLRIKRSVSASYSQTHFILPEYLMQKDQNIDEAKDKMKQAGISEDEMAALERQLLPAADNAIAKDNSRKVGFVSAGVESQADGGLKTTANLEDIDLPDESDSDEEKVEIAQKDVPSAVFGGIRKREDGDDIQDGDDALAAKEKGGKSLLGALERIKRQKQA >KJB62801 pep chromosome:Graimondii2_0_v6:9:68721989:68727789:-1 gene:B456_009G4369002 transcript:KJB62801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIRIHDVIRDFAHWLTSTGENRFMVKDTLKEWPHMDESYTAIALWNCSSIIKNFPDKVEFSKLKTLFLVGERKRKRDDFLVVFGTFFEEMKALQVLLLQRVSFSLKGLPSLPNLKTLWCYNCMLKNFSSSLTNMRSLEILSLTGTEIDNVVEIAEELPNMVSRHIIPKYFYYSPTDEGINFPPGLVSRLTSLEELHVVPKINLNLLELKSLSRLTALSLRLSTNQIPLEDFVLPKLQRYSIVVGENYRFNREAFRRLDIQDFSSSLSAFNNLFCNVEELNLRNVGGQKNIVPSIDEMGVNELTSLQLKSCNDMEFLIDTKNDQGSTVAFSNLVELNIESMVSLKGLCYGVSPTRFLQNLKQLIIKDCEELEVIFQIDKLSEKMKRQTPLLSNLTILSLHSLPKLKRIWEVKPSHRAIASLQSLKVVSIESCDNLKTIFSPCLALSMLHLQELDIRYIDRLEQVIGFGEEDEIIENDSPLCCWPKLRILRIEVCPNLKYVCANTWTQGLQSLESVYIRGCSQLIQVFNMEQNKHGQDIVLPELGSQDLVLSNVGNSRQLCNIYVPVLNEGYIVVGNHEEVFQVQGGYSFSSIRSFLLANLFEVRVIWNDFAQVVTLENLTTLTLKDCKKLRYIFSPMMARILSHLKDLFIEECEEIERLILAKDQVSSSSSNCDTSLQPMSFPNLTRITVTNCKNLNSLFPFGFVPVLPKLETLIVIRNSKLEQVFELEDEVEVVPAKEMKFDKLNFLLLEELPGLIHFCPKGYHSVFPAMIRLQVRDCPKLTTGFFIDSQEFVHFKTKVYILQPFNPTLFYVIIIITTQGITCKIFN >KJB62780 pep chromosome:Graimondii2_0_v6:9:68561403:68563683:1 gene:B456_009G4361002 transcript:KJB62780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLLSRPLSNPPKHSFSYFFSHSVSKTTYDPLFSPISKPPKPKPKPPDPTPNRNPTPIKSSLPFDFRHSYSETDPTLEPIGLDREWTGTSAPVQDELDSCLGEEERIRVLGDPLTEEEIEELVEKYRHSDCARQINLGKNGVTHNMLDDIHNHWKRAEAVRIKCLG >KJB62781 pep chromosome:Graimondii2_0_v6:9:68561403:68563683:1 gene:B456_009G4361002 transcript:KJB62781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWIVVWAKRRGYGFLVTRLQRRKSRNWLKSIGIVIALGKSIWNGVTHNMLDDIHNHWKRAEAVRIKCLG >KJB57188 pep chromosome:Graimondii2_0_v6:9:11612547:11617064:1 gene:B456_009G152600 transcript:KJB57188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MSSLSVNFLCKDRFLTAGKPGYNHLQISSIGYFPAGILQARVGLNKVSKRANLFPCIKCEKKEEPIEHVSVERPPYYSYIDSTSGQLEPASGARASIPEEEYWPEGTASRVRAARAPEPTGTSAGSPSYGKSPGSRRKKHKTSAAAQSSQVSTEPNEPVVPEIVEDIVDDPKDSSSEYVIYQTETKEDEETEYELDKKFGRPHPFIDPKVKKPLEEPLTSEELWWNWRKPEKEQWSRWQRRRPDVETVFLKAMAETGQVKLYGEHPTLTETSLYRARRHLFKEERLKAEQERLERIGPVAYYSEWVKAWKKDTSREAIQKHFEETGEDENAQLIEMFSYQTDREYRIMMGTDARIRRDPLAMRMKEDQIKQIWGGDPVYPTVNYIQDPDEVIDYRGPDFHEPTPNMLAYLKEHGKIISREELEKILAKEKTEELEMTDLDDAMARAVDIGENEDEDDDDSEVDGEGEEEKINRNWSVLKSTPQLRKSKVRAHVILFLLSGWEVVSYDFYFFYLGCDYLARASHGLR >KJB57189 pep chromosome:Graimondii2_0_v6:9:11612547:11617688:1 gene:B456_009G152600 transcript:KJB57189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MSSLSVNFLCKDRFLTAGKPGYNHLQISSIGYFPAGILQARVGLNKVSKRANLFPCIKCEKKEEPIEHVSVERPPYYSYIDSTSGQLEPASGARASIPEEEYWPEGTASRVRAARAPEPTGTSAGSPSYGKSPGSRRKKHKTSAAAQSSQVSTEPNEPVVPEIVEDIVDDPKDSSSEYVIYQTETKEDEETEYELDKKFGRPHPFIDPKVKKPLEEPLTSEELWWNWRKPEKEQWSRWQRRRPDVETVFLKAMAETGQVKLYGEHPTLTETSLYRARRHLFKEERLKAEQERLERIGPVAYYSEWVKAWKKDTSREAIQKHFEETGEDENAQLIEMFSYQTDREYRIMMGTDARIRRDPLAMRMKEDQIKQIWGGDPVYPTVNYIQDPDEVIDYRGPDFHEPTPNMLAYLKEHGKIISREELEKILAKEKTEELEMTDLDDAMARAVDIGENEDEDDDDSEVDGEGEEEKINRNWSVLKSTPQLRKSKVRAHVILFLLSGWEVVSYDFYFFYLGCDYLARASHGLR >KJB57187 pep chromosome:Graimondii2_0_v6:9:11612384:11617727:1 gene:B456_009G152600 transcript:KJB57187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MSSLSVNFLCKDRFLTAGKPGYNHLQISSIGYFPAGILQARVGLNKVSKRANLFPCIKCEKKEEPIEHVSVERPPYYSYIDSTSGQLEPASGARASIPEEEYWPEGTASRVRAARAPEPTGTSAGSPSYGKSPGSRRKKHKTSAAAQSSQVSTEPNEPVVPEIVEDIVDDPKDSSSEYVIYQTETKEDEETEYELDKKFGRPHPFIDPKVKKPLEEPLTSEELWWNWRKPEKEQWSRWQRRRPDVETVFLKAMAETGQVKLYGEHPTLTETSLYRARRHLFKEERLKAEQERLERIGPVAYYSEWVKAWKKDTSREAIQKHFEETGEDENAQLIEMFSYQTDREYRIMMGTDARIRRDPLAMRMKEDQIKQIWGGDPVYPTVNYIQDPDEVIDYRGPDFHEPTPNMLAYLKEHGKIISREELEKILAKEKTEELEMTDLDDAMARAVDIGENEDEDDDDSEVDGEGEEEKINRNWSVLKSTPQLRKSKGKPKKEDPMSLEEAVNDSENLTDFLMDFEEEE >KJB55772 pep chromosome:Graimondii2_0_v6:9:6822876:6824916:1 gene:B456_009G093500 transcript:KJB55772 gene_biotype:protein_coding transcript_biotype:protein_coding description:STP2 [Source:Projected from Arabidopsis thaliana (AT1G07340) UniProtKB/TrEMBL;Acc:A0A178W5X1] MAGGVIATGSGSEQDFPAKLTLQVFICTAIAAFGGLMFGYDIGISGGVTGMDDFLLKFFPNVYVKKNHAHENNYCKFDDEYLQLFTSSLYLAAIVASGGASLMCKKYGRKPTMQAASIFFFIGAILNVSAMNLPMLILGRLFLGAGVGCGNQAVPLFITEISPPKFRGGLNICFQLLITVGILVANCVNYFTSNIKNYGWRISLGGAAVPAVILLVGSFAIVETPTSLIERGKKEKGLKTLKRIRGVDDVQKEFEEMVRATEVANQIKHPFRELMKKPSIPPMICGTIIHVFQQFTGINVVMFYAPVLFQTMGFGSSASLLSAVITGTVNSLSTVIAIFTVDKAGRKKLLVFGALICMVAQCTIGVILKKYLTETSKVPNSIAKVVVLLICVYVNGFAWSWGPLGWLISSEVFPLETRTSGYFFAVATNMLCTFIIAQAFLSMLCHMRAYIYFFFAAWLIVMSIFVMAMLPETKGVPLDEMVERVWKKHWFWKSFFKSSDIERPKAMVQLEHQEKPNH >KJB61358 pep chromosome:Graimondii2_0_v6:9:45645432:45647213:1 gene:B456_009G353100 transcript:KJB61358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVGETLNFQGEMEEETYFPDTDLDLSFTSTTTDRTFPSSSARSSLARSSLTLSFNESRLSCSTITANNITPLHHRKWDPRWSAIKAATNLSSDGNLHLRHLKLIRHLGTGNLGRVFLCHLRDCDNATFALKVIDRETLSSKKLSHVQMEAEILSMLDHPFLPTLYSRIEASHYTCLLIDFCPNGDLHSLLRKQPGNRFPLAAVRFFAAEVLVALEYLHALGIIYRDLKPENILLREDGHIMLSDFDLCFKADVVPTCKFLRRKSRNSKRNCFNGGCFAPVAGSVEEVLPEFVAEPVTAFSRSCVGTHEYLAPELVSGSGHGNGVDWWAFGVFVYELLYGTTPFKGGSKENTLRNIASSRKMRFPDAAEVEEAGIAEAKDLIEKLLVKDPRRRLGCTKGAQDIKQHPFFDGIKWPLIRHYKPPEVVGGVARKRGHVGHVKRRRWFWKGLDCLMIRNRNKGSLSYGLLRSNINANNYYDYVHNNKPRKNA >KJB57719 pep chromosome:Graimondii2_0_v6:9:13714270:13715066:1 gene:B456_009G177300 transcript:KJB57719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKDANNNIIRSFPKYMLAEILKHAASNSITDFLNAKLTCKAFHGASNYHQIFENVSMDKLSVVPWRKIVSSLDLANSSKISSKFIASFRLKTEEFLSSMWVYLSLTGPKQIGCNCCHHDIRLTCSSSSEGQSWEASNNVGRRCDSCFWDHEATLFVVCLGNI >KJB60227 pep chromosome:Graimondii2_0_v6:9:25775712:25776605:1 gene:B456_009G295500 transcript:KJB60227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQKIPDRTTEDKSKDELPACCPPVTKAKSGGRKRSCLEGCLFALCCCWLWEACCDL >KJB59875 pep chromosome:Graimondii2_0_v6:9:23441485:23443419:1 gene:B456_009G278400 transcript:KJB59875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWDPNTKSTLTQIPLLTTKAGPRDGAAWTQRLKEEYKALIAYTQMNKSNDNDWFRISAANPEGTRWTGKCWYVHNLLKYEFDLQFDIPVTYPATAPELELPELDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSGMIKHKDDAATSNES >KJB59876 pep chromosome:Graimondii2_0_v6:9:23441553:23442297:1 gene:B456_009G278400 transcript:KJB59876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWDPNTKSTLTQIPLLTTKAGPRDGAAWTQRLKEEYKALIAYTQMNKSNDNDWFRISAANPEGTRWTGKCWYVHNLLKYEFDLQFDIPVTYPATAPELELPELDGKTQKMYRGGKICLTVHFKPLWAKNWYAEFKVSFLFS >KJB61001 pep chromosome:Graimondii2_0_v6:9:35438782:35439820:1 gene:B456_009G335100 transcript:KJB61001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEFYVVTDPIDNAADPKSGTLHHVLTKTRPLWITFKGSKTIELEHEHIVTSDKTIDAGGANVEICNGAGITVQFRKTIICHGLQIHHIMLAKGGKIKDGENHLGLQSANNSDRVSILGTTNIWLDYLSLHHCAYGFIDVIQGSTVVIISNCHFGYHDNVMLFGASDSYNANEKMQVTLALNHFGKGLVDRMPSNDYNDRFLYAIGGTNHPTLIIQHNRGLLKLAQWKNWNWVSQGDDFKNSAFFTPSSNPSASKQFGADKMMPFKPGQMVPELTKYVGPVSYTIGHPC >KJB62149 pep chromosome:Graimondii2_0_v6:9:58939659:58946822:-1 gene:B456_009G404300 transcript:KJB62149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEMLDAAIEDAIERGSCYREYLKLKARYEELQRTQRNLLGEDLGPLNSKELEQLEHQLESSLKHVRSTKTQYVLDQLSELQNKEQMLIETNRALLIKLEEISARNQFRVSWKGGEQSVAFTN >KJB53902 pep chromosome:Graimondii2_0_v6:9:848861:851950:-1 gene:B456_009G010500 transcript:KJB53902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYWKWLKMVVVALIMLGEGWSNEGCLEEERLALFQLKPFFPSIDSRIDGSMYGPIIEEKETSSDCCEWENIECSSITGRVTHLFLNLAYTPPTSYDLVYSYDSYDRRTGNNEYWYLNTSLFLPFEELQSLFLSGNSIVGFVDNQGFEKLSSKLNKLEILDLSDNYFNDSILTSLSKLSSLKSLNLAGNNFIGSNPIDGLKRLSKLKNLEILDLSHHNYIANISSQLNDFSSLKSLRLQDCGLVGSIDMLEFNSFINLKELYLGSNQIESLGFSSYDKEQLRLNKLEVLGISENLLNSSVFSSLSPLSNLKSLYLISNNLEGPIHMKDLNAFSYLEKLILLNNKVTEFVPSQGILNNLID >KJB62614 pep chromosome:Graimondii2_0_v6:9:66804349:66805047:-1 gene:B456_009G425900 transcript:KJB62614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPYEYDIFHQQTFEGNIISQSHDIAFINIELTLDFVLLSVHHDCLADIYTITNRASLRETFCFELDIMENQHLFHQVLFPTFRRLRINTASLAYHNFVHEIFVRGMRRIGTIPEVLPLRSLIHASIVEHDSVHNDGVLMGRALAESALEFESSNYGMVPAKESLVKEMVKMVKVEAGDEEDCIICLEELEVGFYASQMPCSHTFHVDCILKWLKQSHYCPICRFEMPTN >KJB57963 pep chromosome:Graimondii2_0_v6:9:14404847:14408778:-1 gene:B456_009G187600 transcript:KJB57963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKHRPSSAFNSPFWTTNSGAPIWNNNSSLTVGPRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISQLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDLGVPQDYRHMEGSGVNTYTLINKAGKAHYVKFHWKPTCGVKCLLEEEAIKVGGSNHSHATQDLYDSIAAGNYPEWKLFIQTIDPDHEQRFDFDPLDVTKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFCPAIVVPGIYYSDDKLLQTRVFSYSDTQRHRLGPNYLQLPANAPKCAHHNNHHEGFMNFMHRDEEINYFPSRYDPSRHAERFPIPPALCTGKREKCIIEKENNFKQPGERYRSWAPDRQERFINRWVDAMSDPRVTHEIRSIWITYWSQADKSLGQKLASRLNVRPSI >KJB61777 pep chromosome:Graimondii2_0_v6:9:51597306:51600263:-1 gene:B456_009G380000 transcript:KJB61777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVLGIANCLGTPACKYLQYHRKLNDYVRNFKRMRDELNCKMEDIELQLKAELLRPLGKIPKKGVENWLKAVKEMIREAQVVENKVGNGRYLCRACNGKLVDEKTREMKEFLDNAPNASEGLAMDGPSAGLPLPTSELVGEEAVRKEIWACLMLEEVSKIGVWGMGGVGKTTIMKHIHNDLLKEQRFERVIWVTISKEFNVMKVQDDIAAALKLKEDWPREGDKLRRAAILSEMLKNAGKHVLILDDVWDKVSLEEVGIPEPCGSNGCKLVLTTRSEHVCKYMGCKVIKVKPLSEEEALILFLNKAGPNIVQSPTIMPTLKLVVKECAGLPLTIVVVAGTLKGEEDPLIWKNALRELKERIEKEEEVEAKVIERLKFSFNHLKDEKVKYCFLHCALYPEDYEIGKDELIECWIEEGFIDDMGTRQEMKEKGHVVLKKLAENCLLENITDGCGQPCIKMHDAVRDMALSITRMNPRYMIQAGLQLEELPEKEQWSRDIEKVSLMNNSISEISIDVLPTKCQLLTTLLLQENPIKKISSSFFINMPYLSVLNLSSTKIKSLPNSISELKNLTTLLLCGCSELRDLPCLSMLQELKKLDLSGTEIEEVPEGIDMLLKLRYLDLSVRTLKEIPAGLLPKLVHLQHLGFNRQISLKAEQMEPLKKLECFTGRFEDIKELNKFISSMQQSKKNLIKYSLQVGSYRVGPAFIPRRRDKTVTIGGVQNWEGELIMHPIKIKELYILKCDYLRNLVDDNSSFKNAIDLRVCRIYDCEGIECVVSLSSFASSSAHPFQSLEVLDLQFLPKLSALIMKDSGIGSATTSTVAPSATFSHLKRIEVYRCSSMKTLLPHWLLPNLQNLEDISVGACSQLVEILVAATSEVEEKGNDALIKFHLPKLRELRLNNLPNLKSICSKSGVMVCDSLQLIQVVEYCDKLKRIPPFVPLVGNGQPFAYAPPFLTIWSDIEWWESLEWDDHPNFKNVLRFSP >KJB60389 pep chromosome:Graimondii2_0_v6:9:27135053:27139684:-1 gene:B456_009G302700 transcript:KJB60389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKESSGPFFFASLLPTFCHDSTATLRDLTVALGQPLLNYHDLGELCFKIKGGAACLGVCRMAHACGQLHQAVQNRATKESLITALNAAKQEFSIMQEKLETLVQLETKIVSNETDCP >KJB60387 pep chromosome:Graimondii2_0_v6:9:27135053:27139289:-1 gene:B456_009G302700 transcript:KJB60387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSREAMNKQLLDFIHSMEQEGLVDYRFAKVHMLKESSGPFFFASLLPTFCHDSTATLRDLTVALGQPLLNYHDLGELCFKIKGGAACLGVCRMAHACGQLHQAVQNRATKESLITALNAAKQEFSIMQEKLETLVQLETKIVSNETDCP >KJB60388 pep chromosome:Graimondii2_0_v6:9:27135053:27139446:-1 gene:B456_009G302700 transcript:KJB60388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWTTQIESTEQLCLYLGLVDYRFAKVHMLKESSGPFFFASLLPTFCHDSTATLRDLTVALGQPLLNYHDLGELCFKIKGGAACLGVCRMAHACGQLHQAVQNRATKESLITALNAAKQEFSIMQEKLETLVQLETKIVSNETDCP >KJB54528 pep chromosome:Graimondii2_0_v6:9:2783787:2792855:1 gene:B456_009G037900 transcript:KJB54528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSSSYSILRLTPNRCDNLFFCSPQNPFRIREFRVYRKRRRLRLFRSNPTLQSQFNFSFDNNVFQNLPSLDLLTPVLGLTSGIALYLSSRLNLASGGENNVCDIGEWILFTSPTPFNRFVILRCPSISFEGSQLMEDVNERLVKEDRHFVRLNSGRMIQASRNRGEEPNELEYQRLCINTEDGGVISIDWPANLDLSEEHGLDTTVLVVPGTAEGSMDEKVKAFVKEAVFCGFFPVVMNPRGCASSPLTTPRLFTAADSDDISTAIQFINKARPWNTLMGVGWGYGANMLTKYLAEAGEKTPLTAATCIDNPFDLEEATRLTPYHIALNEKLTSGLVDILRSNKELFLGRAKGFDVEKALSAKSVRDFDKAISMVSYGFEAIEDFYSKCSSRSLVGKVKIPVLYIQNDGGSVPLFSTPRGLIAENPFTSLLLCSCSSSRATVSWCHHLTIEWLTAVELGLLKGRHPLLKDVDISINPSKGLTFAEGRLTGKGGNTKKLLDLSRLNSVNGYSVGPRRGMLEDGDTAPSIHLQSRQDSLKDMELQEKGLHRVHNDMLAQSKLLEAELAKEEAELEDGEGGQVLQTAQVAMNMLDVTMPGTLKEAEKQKVLAAVNQGETLMKALQDAVPEDVREKLTAAVSVIMHAQGTNLKQGIERIPKMQSGFKSKVHESVSDAHSTDEIKRTEGLADGTDNNQVGSERATAGQGSESRTLDNMQSSNDVGQSQSISGDQGDISSSVRKDASETGKIHESDDLNNEKASLHADSTKPGSVINVNLTTQDEKEGSTDEIVKSKADPDGGVDRVEMKYNNSPRQKEEKVVDSLTDQNNAAPSGSSEAQPEEGERNDHQKKDLQHPPDQNKSTITDSNAPTFNVSQALDALTGMDDSTQVAVNSVFGVLENMITQFEEEKEENGSHDGRELRTDDTNSVPETQDTFGKKEGSENDNKLRETKGSKDNQSMISDRFHDPRIHNDHGNSSDLGDDSTSEWLEEESPQNPVSSEGNGSDDSQEQIVGNSLDLPINNDHIVGRKMVADYSYRPINSTPSYINASQYEDFLHSEYFQRYLLSKQTTKPLDVDTTTALLFDYFPEEGQWMLLEQPGENGDSAGDVTTHSREPETPAAEVSKMKNYIEPSYVILDTERQHDPFGEFETIDNTNGYSRKDRKGLEELMQLVKITILDSLRVEVDRRLSASDMEEMESQLAIDIETVATAVSLSIGDYKELNDFEGKEYVIDNSSEKVGTVNGENVVRAISSAVQSTSYLTRVLPVGVIIGSSLAGLRKYFDLSTVHDEYISEVKPADETQVSREKNHGKASIIDIDQSPVYETSQNGTSHSPSSKEVVETGLKTLNKDDVMVGAVTAALGASALLAPLPDKDPLEENETAESSSKIFKEKDHQHKEPGIPEGAVADKHQINMVTSLAEKALSVAGPVVPMKEDGELDQERLVAMLADLGQRGGVLRLVGKIALLWGGIRGAMSLTDRLITFLHIAERPLYQRILGFVGMVLVLWSPVIVPLLPALVQSWTKKTPAKFAELVSILGFYVALMILVMLWGKRIRGYQNPHEQYGLELTSSTIKGLLMGLIGGVILVVSIQSVNSLLGCVSWSWPSNLLPSSLDLVARLKVYGKLLVFAVRGIATATGIVLVEELVFRSWLPDEIAADFGYHWGIIISGLAFSLFQRSLMAIPGLWLLSLALSGIRQRNEGSLSVPIGLRTGIMASSFVLQTSGFPIYKANHPLWVTEACPFQPFSGVVGVAFALLLATIVYPRQPLEHKNLKEEL >KJB54529 pep chromosome:Graimondii2_0_v6:9:2784003:2792475:1 gene:B456_009G037900 transcript:KJB54529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGWGYGANMLTKYLAEAGEKTPLTAATCIDNPFDLEEATRLTPYHIALNEKLTSGLVDILRSNKELFLGRAKGFDVEKALSAKSVRDFDKAISMVSYGFEAIEDFYSKCSSRSLVGKVKIPVLYIQNDGGSVPLFSTPRGLIAENPFTSLLLCSCSSSRATVSWCHHLTIEWLTAVELGLLKGRHPLLKDVDISINPSKGLTFAEGRLTGKGGNTKKLLDLSRLNSVNGYSVGPRRGMLEDGDTAPSIHLQSRQDSLKDMELQEKGLHRVHNDMLAQSKLLEAELAKEEAELEDGEGGQVLQTAQVAMNMLDVTMPGTLKEAEKQKVLAAVNQGETLMKALQDAVPEDVREKLTAAVSVIMHAQGTNLKQGIERIPKMQSGFKSKVHESVSDAHSTDEIKRTEGLADGTDNNQVGSERATAGQGSESRTLDNMQSSNDVGQSQSISGDQGDISSSVRKDASETGKIHESDDLNNEKASLHADSTKPGSVINVNLTTQDEKEGSTDEIVKSKADPDGGVDRVEMKYNNSPRQKEEKVVDSLTDQNNAAPSGSSEAQPEEGERNDHQKKDLQHPPDQNKSTITDSNAPTFNVSQALDALTGMDDSTQVAVNSVFGVLENMITQFEEEKEENGSHDGRELRTDDTNSVPETQDTFGKKEGSENDNKLRETKGSKDNQSMISDRFHDPRIHNDHGNSSDLGDDSTSEWLEEESPQNPVSSEGNGSDDSQEQIVGNSLDLPINNDHIVGRKMVADYSYRPINSTPSYINASQYEDFLHSEYFQRYLLSKQTTKPLDVDTTTALLFDYFPEEGQWMLLEQPGENGDSAGDVTTHSREPETPAAEVSKMKNYIEPSYVILDTERQHDPFGEFETIDNTNGYSRKDRKGLEELMQLVKITILDSLRVEVDRRLSASDMEEMESQLAIDIETVATAVSLSIGDYKELNDFEGKEYVIDNSSEKVGTVNGENVVRAISSAVQSTSYLTRVLPVGVIIGSSLAGLRKYFDLSTVHDEYISEVKPADETQVSREKNHGKASIIDIDQSPVYETSQNGTSHSPSSKEVVETGLKTLNKDDVMVGAVTAALGASALLAPLPDKDPLEENETAESSSKIFKEKDHQHKEPGIPEGAVADKHQINMVTSLAEKALSVAGPVVPMKEDGELDQERLVAMLADLGQRGGVLRLVGKIALLWGGIRGAMSLTDRLITFLHIAERPLYQRILGFVGMVLVLWSPVIVPLLPALVQSWTKKTPAKFAELVSILGFYVALMILVMLWGKRIRGYQNPHEQYGLELTSSTIKGLLMGLIGGVILVVSIQSVNSLLGCVSWSWPSNLLPSSLDLVARLKVYGKLLVFAVRGIATATGIVLVEELVFRSWLPDEIAADFGYHWGIIISGLAFSLFQRSLMAIPGLWLLSLALSGIRQRNEGSLSVPIGLRTGIMASSFVLQTSGFPIYKANHPLWVTEACPFQPFSGVVGVAFALLLATIVYPRQPLEHKNLKEEL >KJB62749 pep chromosome:Graimondii2_0_v6:9:68171903:68173839:1 gene:B456_009G433900 transcript:KJB62749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAAALVPSTFSVPKEGKSGASFKDSSLFGVSLSDHIKADFTSSALRCKREFNHRIGAVRAQTTATATPAITRSTVDGKKTLRKGSVIITGASSGLGLATAKALAETGKWHVIMACRDFLKAERAAKSAGMSKENYTIMHLDLASLDSVRQFVDSYKRSGRPLDVLVCNAAVYQPTAKEPTFTAEGFELSVGTNHLGHFLLSRLLLDDLKQSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSAMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGFVSEEEAGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDQDKARKIWELSEKLVGLA >KJB62750 pep chromosome:Graimondii2_0_v6:9:68171950:68173765:1 gene:B456_009G433900 transcript:KJB62750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRDFLKAERAAKSAGMSKENYTIMHLDLASLDSVRQFVDSYKRSGRPLDVLVCNAAVYQPTAKEPTFTAEGFELSVGTNHLGHFLLSRLLLDDLKQSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSAMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGFVSEEEAGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDQDKARKIWELSEKLVGLA >KJB62751 pep chromosome:Graimondii2_0_v6:9:68171950:68173765:1 gene:B456_009G433900 transcript:KJB62751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAAALVPSTFSVPKEGKSGASFKDSSLFGVSLSDHIKADFTSSALRCKREFNHRIGAVRAQTTATATPAITRSTVDGKKTLRKGSVIITGASSGLGLATAKALAETGKWHVIMACRDFLKAERAAKSAGMSKENYTIMHLDLASLDSVRQFVDSYKRSGRPLDVLVCNAAVYQPTAKEPTFTAEGFELSVGTNHLGHFLLSRLLLDDLKQSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSAMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGFVSEEEAGKRLAQV >KJB56183 pep chromosome:Graimondii2_0_v6:9:8240892:8243120:1 gene:B456_009G113100 transcript:KJB56183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNQPEKLQAMESPRSICHVVAVPYPGRGHVNPMMNLCKLLCSKTPNIVISFVVTEEWLGFISSDDKPVNVRFRTIPNVIPSELDRANNFPAFIAAVLTKMEAPFEDLLDRLELPVAAIVADTYVAWTVRVGQRRNIPVASLWTMSASVFSIFHHFNLLVQNHHFPADLSEQGNDRVDYIPGLTPICLADLPTILYGSDRQVLHIALDCVSSVPKAQYLLFTTVYELEFQVIDVLKANLPFPVYAIGPSIPYLDLKQPCSTTTLNGPDYLQWLDLQPRGSVLYVSLGSFLSVSAAQMDEIVAGVQDSGVRYLWVSRGDSSRFKDCCGSQGVVVPWCDQLRVLCHSSVGGFWTHCGFNSTLEAVYAGVPMLTFPIFMDQNPNSKQIVEDWKVGWRVKKNKVGEGEHLVSREEIAELVRRFLDFESMEQMEMRQRAWKVGETCQTAIAKGGSTDINLDAFIKDISERHHH >KJB56182 pep chromosome:Graimondii2_0_v6:9:8240892:8243120:1 gene:B456_009G113100 transcript:KJB56182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNQPEKLQAMESPRSICHVVAVPYPGRGHVNPMMNLCKLLCSKTPNIVISFVVTEEWLGFISSDDKPVNVRFRTIPNVIPSELDRANNFPAFIAAVLTKMEAPFEDLLDRLELPVAAIVADTYVAWTVRVGQRRNIPVASLWTMSASVFSIFHHFNLLVQNHHFPADLSAEQGNDRVDYIPGLTPICLADLPTILYGSDRQVLHIALDCVSSVPKAQYLLFTTVYELEFQVIDVLKANLPFPVYAIGPSIPYLDLKQPCSTTTLNGPDYLQWLDLQPRGSVLYVSLGSFLSVSAAQMDEIVAGVQDSGVRYLWVSRGDSSRFKDCCGSQGVVVPWCDQLRVLCHSSVGGFWTHCGFNSTLEAVYAGVPMLTFPIFMDQNPNSKQIVEDWKVGWRVKKNKVGEGEHLVSREEIAELVRRFLDFESMEQMEMRQRAWKVGETCQTAIAKGGSTDINLDAFIKDISERHHH >KJB56148 pep chromosome:Graimondii2_0_v6:9:7818955:7823046:-1 gene:B456_009G107800 transcript:KJB56148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEAEKSLDPQLWHACAGSMVQIPPVNSKVFYFPQGHAEHSLSPVDFSSSPPIPALVLCRVASVKFLADAETDEVYAKIMLVPLPNTEPDLENVAVLGGGSDNVEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQTVIARDVHGEIWKFRHIYRGTPRRHLLTTGWSSFVNHKKLVAGDSIVFLRAENGELCVGIRRAKRGNDTGAESGLGNGNYVSPYGGFSGFLKEDESKITRKGNPRGKGKVRAEAVVEAVGLAANGQPFEIVYYPRASTPEFCVKASVVRAAMRVPWCSLMRFKMAFETEDCSRISWFMGTVSSVHIADPLRWPNSPWRLLQVTWDEPDLLQNVERVSPWLVELVPNMLPVHLSPFSTVTPRKKLRLPEHLDFPLVEQFPMPSFSGHPLRSSNPLCCLSDNAPAGIQGARHAQVRLSSSDPHLNKLKSGLFPSGFQLFDPQARVPNGISMTKHTDSNDDNLSCLLTVGNSSPKKKSENGKRHQFLLFGQPILTEQQLSRSCSTGVKTALENEDKRKDYSNGSESALENQLSPEKSFTTRLLWQQDYQAPEPGSATGHCKVFLESEDVGRTLDLTVLGSYEDLYMRLANMFGRERSEMLGHVLYRDATGAVKQTGDEPFSTFMKTVKRLNIRMDSRNDTDGRSWLTGIRTAKNRLEGPNKRGPLSIFA >KJB56149 pep chromosome:Graimondii2_0_v6:9:7818958:7822769:-1 gene:B456_009G107800 transcript:KJB56149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEAEKSLDPQLWHACAGSMVQIPPVNSKVFYFPQGHAEHSLSPVDFSSSPPIPALVLCRVASVKFLADAETDEVYAKIMLVPLPNTEPDLENVAVLGGGSDNVEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQTVIARDVHGEIWKFRHIYRGTPRRHLLTTGWSSFVNHKKLVAGDSIVFLRAENGELCVGIRRAKRGNDTGAESGLGNGNYVSPYGGFSGFLKEDESKITRKGNPRGKGKVRAEAVVEAVGLAANGQPFEIVYYPRASTPEFCVKASVVRAAMRVPWCSLMRFKMAFETEDCSRISWFMGTVSSVHIADPLRWPNSPWRLLQVTWDEPDLLQNVERVSPWLVELVPNMLPVHLSPFSTVTPRKKLRLPEHLDFPLVEQFPMPSFSGHPLRSSNPLCCLSDNAPAGIQGARHAQVRLSSSDPHLNKLKSGLFPSGFQLFDPQARVPNGISMTKHTDSNDDNLSCLLTVGNSSPKKKSENGKRHQFLLFGQPILTEQQLSRSCSTGVKTALENEDKRKDYSNGSESALENQLSPEKSFTTRLLWQQDYQAPEPGSATGHCKVFLESEDVGRTLDLTVLGSYEDLYMRLANMFGRERSEMLGHVLYRDATGAVKQTGDEPFSTFMKTVKRLNIRMDSRNDTDGRDSNCQKQTRRAK >KJB56150 pep chromosome:Graimondii2_0_v6:9:7819425:7822336:-1 gene:B456_009G107800 transcript:KJB56150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEAEKSLDPQLWHACAGSMVQIPPVNSKVFYFPQGHAEHSLSPVDFSSSPPIPALVLCRVASVKFLADAETDEVYAKIMLVPLPNTEPDLENVAVLGGGSDNVEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQTVIARDVHGEIWKFRHIYRGTPRRHLLTTGWSSFVNHKKLVAGDSIVFLRAENGELCVGIRRAKRGNDTGAESGLGNGNYVSPYGGFSGFLKEDESKITRKGNPRGKGKVRAEAVVEAVGLAANGQPFEIVYYPRASTPEFCVKASVVRAAMRVPWCSLMRFKMAFETEDCSRISWFMGTVSSVHIADPLRWPNSPWRLLQVTWDEPDLLQNVERVSPWLVELVPNMLPVHLSPFSTVTPRKKLRLPEHLDFPLVEQFPMPSFSGHPLRSSNPLCCLSDNAPAGIQGARHAQVRLSSSDPHLNKLKSGLFPSGFQLFDPQARVPNGISMTKHTDSNDDNLSCLLTVGNSSPKKKSENGKRHQFLLFGQPILTEQQLSRSCSTGVKTALENEDKRKDYSNGSESALENQLSPEKSFTTRLLWQQDYQAPEPGSATGHCKVFLESEDVGRTLDLTVLGSYEDLYMRLANMFGRERSEMLGHVLYRDATGAVKQTGDEPFSTFMKTVKRLNIRMDSRNDTDGR >KJB54557 pep chromosome:Graimondii2_0_v6:9:2827125:2834706:-1 gene:B456_009G038600 transcript:KJB54557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFNAQGSSRNHCSLLAVLCSSKVSDNKLRQPVSDHKPRYPFPDIVSSGRLEVQLLINPSIDEFRRVFESTEPNIVYFQGEQNADEDIGSLVLGDVDLSTPEAICGLFGSTLPSTVYLETPNGDRLAEALHSKGVPYVIYWKNSFSRYAACHFRQALLSVIQSSCSHIWDAFQFAHASFRLYCLWSNDIASSDNQKQSVKPGPCLLGEPPKIDVSQSEVDMQEEEGSLENLSAIKIYDEHVTMRFLVCGSPGLLDAFLLGSLEDGLNALLSIEIRGSKLHNRASAPPPPLQAGTFSRGVMTMRCDFSTCSSAHISFLVSGSAQTCFNDQLLENHIKNELIENSQLVHAQSSSDESKVPSSEPRRSASIACGASVFEVCMKVPTWASQVLRQLAPDVSYRSLVMLGIASVQGLSVASFEKDDAERLLFFSVRQGKDPLWDGSVIARSPNWLVPPAPCRKRSQPTKGTKPLNCTIMEGLNGNVRLKPNVAAMRPIPHTHRHKMLPFSGFSEAERYDGDQGKVNLPIVPVKPPAPVTHRKALSNSHQAQQIISLNPLPLKKHGCDRAPIQVCSEEEFLRDVMQFLIVRGHTRLVPQGGLAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTLTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGVCDEWAHFGCDRRQGLGAFKDYAKTDGLEYVCPHCSISNFKKKPHKTVNGY >KJB58059 pep chromosome:Graimondii2_0_v6:9:14792209:14793841:-1 gene:B456_009G192300 transcript:KJB58059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQNMSRGTNMIQTKLKSGRSKTERGGGSYLEEKANVNEEYKEVFRTKSYLETWTKVHGQLENTSFDRLSPSSSIPNHRINLSEYLLQPKQETLDKIESLNFHHLILDYFEAGLEGSNLCESLLRSIHQARVYYRKIRRVIKISKRIDQDFSDDKCSVIFKELAGFALLKNPFSIISPVQLRDFHESNLDLFHKLTSRREKLKRQAKFKRISKQIGSICLVISHTAFIIALLVLAFHGMIGIIAAPGLAACFFGMRLKKKKKKSRSQSNYQQGLERLCAQLDISAKGVYILINDFDTISRLVWRLHDEIEHRKAIADMCIRNGKIEVVKEVVRELCMHDSSFLEQLKELEEHTKLCFHTINRSRKLVIQEIVDAQPLY >KJB55948 pep chromosome:Graimondii2_0_v6:9:7341197:7344539:1 gene:B456_009G102000 transcript:KJB55948 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 4 [Source:Projected from Arabidopsis thaliana (AT1G07745) UniProtKB/Swiss-Prot;Acc:Q9LQQ2] MARLANLETEYPVLDSTVRNFCASHGIFSVEDFLIHDLYMLAAFAEQNDSSERLKEGIAQVLSIIDDMHQPWLNGMELLEDAKRNKHVFPTGIQGIDLLLGDGIHVGQLTELVGPSSSGKTQVCLRTASNVARNHMVLYLDTGNSFSPQRIAYFLGKTISPSSAQAKNQFLQKVMSNISCHSVFDIFAMFDVLHQLESYLRCQDGRGGCQMRLLIVDSISSLISPVLGSSSTLGRALMTSAGYLLKKLAHQHNLAVLIINHTVGGEGGSSKPALGDSWKCIPHSRLFLSCDRGSNTYNVSILRHPSMASGKAARFVI >KJB55952 pep chromosome:Graimondii2_0_v6:9:7341320:7344446:1 gene:B456_009G102000 transcript:KJB55952 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 4 [Source:Projected from Arabidopsis thaliana (AT1G07745) UniProtKB/Swiss-Prot;Acc:Q9LQQ2] MARLANLETEYPVLDSTVRNFCASHGIFSVEDFLIHDLYMLAAFAEQNDSSERLKEGIAQVLSIIDDMHQPWLNGMELLEDAKRNKHVFPTGIQGIDLLLGDGIHVGQLTELVGPSSSGKTQVCLRTASNVARNHMVLYLDTGNSFSPQRIAYFLGKTISPSSAQAKNQFLQKVMSNISCHSVFDIFAMFDVLHQLESYLRCQDGRGGCQMRLLIVDSISSLISPVLGSSSTLGVINSKLMDTGRALMTSAGYLLKKLAHQHNLAVLIINHTVGGEGGSSKPALGDSWKCIPHSRLFLSCDRGSNTYNVSILRHPSMASGKAARFVI >KJB55949 pep chromosome:Graimondii2_0_v6:9:7341293:7344446:1 gene:B456_009G102000 transcript:KJB55949 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 4 [Source:Projected from Arabidopsis thaliana (AT1G07745) UniProtKB/Swiss-Prot;Acc:Q9LQQ2] MARLANLETEYPVLDSTVRNFCASHGIFSVEDFLIHDLYMLAAFAEQNDSSERLKEGIAQVLSIIDDMHQPWLNGMELLEDAKRNKHVFPTGIQGIDLLLGDGIHVGQLTELVGPSSSGKTQVCLRTASNVARNHMVLYLDTGNSFSPQRIAYFLGKTISPSSAQAKNQFLQKVMSNISCHSVFDIFAMFDVLHQLESYLRCQDGRGGCQMRLLIVDSISSLISPVLGSSSTLGRALMTSAGYLLKKLAHQHNLAVLVSFARVSSVLVWLN >KJB55951 pep chromosome:Graimondii2_0_v6:9:7341320:7342943:1 gene:B456_009G102000 transcript:KJB55951 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 4 [Source:Projected from Arabidopsis thaliana (AT1G07745) UniProtKB/Swiss-Prot;Acc:Q9LQQ2] MARLANLETEYPVLDSTVRNFCASHGIFSVEDFLIHDLYMLAAFAEQNDSSERLKEGIAQVLSIIDDMHQPWLNGMELLEDAKRNKHVFPTGIQGIDLLLGDGIHVGQLTELVGPSSSGKTQVCLRTASNVARNHMVLYLDTGNSFSPQRIAYFLGKTISPSSAQAKNQFLQKVMSNISCHSVFDIFAMFDVLHQLESYLRCQVSSKRSTHTIML >KJB55950 pep chromosome:Graimondii2_0_v6:9:7341320:7344446:1 gene:B456_009G102000 transcript:KJB55950 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 4 [Source:Projected from Arabidopsis thaliana (AT1G07745) UniProtKB/Swiss-Prot;Acc:Q9LQQ2] MARLANLETEYPVLDSTVRNFCASHGIFSVEDFLIHDLYMLAAFAEQNDSSERLKEGIAQVLSIIDDMHQPWLNGMELLEDAKRNKHVFPTGIQGIDLLLGDGIHVGQLTELVGPSSSGKTQVCLRTASNVARNHMVLYLDTGNSFSPQRIAYFLGKTISPSSAQAKNQFLQKVMSNISCHSVFDIFAMFDVLHQLESYLRCQVSSKRSTHTIML >KJB56883 pep chromosome:Graimondii2_0_v6:9:10611307:10617745:1 gene:B456_009G140500 transcript:KJB56883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEQKGKQEKTSLGMTEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVPSHGSGRKWGFPRFSGDCSSSSRKSQSGSSSEQNSGISDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVAVEAKRAQAGWVVLDKQLKHEEKRCMEELQCNIVIMKGSQAKVLRLNLVRSPEKEAEASCQLNPDMQETSVKHSDNKNGSSDSSRGIEAVVTPTSSPELGTPFSATEAGTSSVSSSDPGTSPFCTSERNADLKKGESIVIKENQDLDESSSEAESENLSLSSVSLRYQPWITEYLTSQHQSSQRLEEPSTQAYVRAQGSTSKALLEKFSKLDREAGIGMSSFKSDIGFSGNVREAVALSRNSPLGPPPLCSICQHKAPIFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASCQGDHEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDAHLYGHHQEPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDAGVETRVIGAFGYLAPEYAQSGQVTEKADIYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDELVDPRLEDCYSEHEVYCMLHAASLCIRQDPHSRPRMSQVLRILEGDMLMDSNYSSPRYDIGNRSGRVCADQKYYSGSLENEALEEFNGKLYLDGLRSGTRRTSCADHL >KJB56884 pep chromosome:Graimondii2_0_v6:9:10612779:10617745:1 gene:B456_009G140500 transcript:KJB56884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAHVPESNMQMTEEQKGKQEKTSLGMTEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVPSHGSGRKWGFPRFSGDCSSSSRKSQSGSSSEQNSGISDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVAVEAKRAQAGWVVLDKQLKHEEKRCMEELQCNIVIMKGSQAKVLRLNLVRSPEKEAEASCQLNPDMQETSVKHSDNKNGSSDSSRGIEAVVTPTSSPELGTPFSATEAGTSSVSSSDPGTSPFCTSERNADLKKGESIVIKENQDLDESSSEAESENLSLSSVSLRYQPWITEYLTSQHQSSQRLEEPSTQAYVRAQGSTSKALLEKFSKLDREAGIGMSSFKSDIGFSGNVREAVALSRNSPLGPPPLCSICQHKAPIFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASCQGDHEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDAHLYGHHQEPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDAGVETRVIGAFGYLAPEYAQSGQVTEKADIYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDELVDPRLEDCYSEHEVYCMLHAASLCIRQDPHSRPRMSQVLRILEGDMLMDSNYSSPRYDIGNRSGRVCADQKYYSGSLENEALEEFNGKLYLDGLRSGTRRTSCADHL >KJB61897 pep chromosome:Graimondii2_0_v6:9:52681935:52683479:1 gene:B456_009G389500 transcript:KJB61897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRGKGEKDDRFDNVNDALILFNKMIDKYPKPSIVEFNKLLGAIVRMKHYAIVVSMYRRIDLLGVSRDVYSLNILINCFCQLGRIDFEFSVLGKVLKLGVEPDVVTFSTLINGICNQSKIFEAVSMFDEMTERGYQPNLIVCSTILKGLCKSDNTDRSVRFLRLMEGRGFQPNIVAYSTVIDCLCKNGLASRRRATRLLNEMVDNNISLNNVTYTILIDALCKEGMILKAVETVGIMRKQGIEPNVVTYNTLVDAHCKEGMVSAAEDIVDAMIKQDIEPDVVTYNALINDHCLQNEMDKARRVFNLMIEKGCAPNIVTYSSLMQSMFQLGRVSTACELFRKMLASGQVPDIVTCLILLNGLCKTGHIKEALKLFQAMQNSGLELDIVPYTILIDGLYKAGHIELAKELFQQLSNNGLKPNVYTYCIIINGLCKEGLPEEAYKMFGSMRDNGCLPNSCYYN >KJB62945 pep chromosome:Graimondii2_0_v6:9:70704453:70705631:1 gene:B456_009G456400 transcript:KJB62945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWLFLLHASGKKETLALQDSPAMASLLQSISITLILIPQRHAIPFIVLHVFSRIACIWRTLGGPYLDAHVRACVRDEFRHSSYFGKMKSWVKI >KJB57583 pep chromosome:Graimondii2_0_v6:9:13259326:13262625:1 gene:B456_009G171600 transcript:KJB57583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTERWGTWEELLLGGAVLRHGTRDWNLVASELRTRTVAPFGFTPEICKAKYEDLQQRYSGCKAWFEELRKQRMAELRQALEKTEDSIGSLESKVEGLKAEKRDDSQVIYGSSQTESAIPCLKSEGIEFSSKDKCKDGLSAGSFTQEAETTWPPHCLIPVAVPAEVMDVKQGASLTSEREQISSIDKLFGTFCGGRFLSIRKRRGKRKRKDCSKNAKEGSVGESEFLDPADVANASWCKETSASSEDQNRGSSSEVIDDIMGIFSSIAKNDCMSVFRRRLDSQERGRYKKMIRQHRDFDTIRSRIASKSIMSVKELFRDMLLVANNALVFYSKNTREYKSALLLRHIVTATLQQHLKEYRSKVPLHKPLAKPRSIHPSHHERLGNTTNNETPVVVNSRGCKNTSNAESPPSMESSTFSAQPRNAVCGYAIQKSESPTKGRKRARAC >KJB57345 pep chromosome:Graimondii2_0_v6:9:12189064:12190195:1 gene:B456_009G159100 transcript:KJB57345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPPRATVIFFILLAVTIPEAVDSLSYSQYKTLVSLSQSLLTRVSNLRSARGDADGSNRARLIAEKLERWQGVGFWGAAWSVGWDYVWNYAWGSDLDYAEIFGVASDLNQLGRVLGELTRSNSDMERASWVAGNYRNALAISNRIFNRLLKVFKKSGALREITETMQREVLEGGLLRDCMELGSNDLKGLIQIFKDLASQYSTSNHSQEL >KJB56205 pep chromosome:Graimondii2_0_v6:9:8182027:8183896:-1 gene:B456_009G112300 transcript:KJB56205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKINPLNLDEDEEADDGISKTINSTASPSNSSEKKASASSGVRPYVRSKTPRLRWTPELHLCFVRAVERLGGQERATPKLVLQLMNIEGLSIAHVKSHLQMYRSKKIDDKGQVVNNRHLLGSVNYCSQNLRYQSMLQDQRVISDISWSAFAGNRINCRRRAGFCRSDMTKEVGSKARNEVFYMNNNPIFSQQPVREIEGFQDIIDDCAEVQPILILPSFPNKWPGRGAERQVRVAKRKAVDDEDLDLSLSLSTKMGQEVRRKTCNEEEAANSNLSLSLSSPSKTEMYSLNVNMVSKRSNLKEGHDSTRYLKLASTLDLTI >KJB56605 pep chromosome:Graimondii2_0_v6:9:9575962:9578198:-1 gene:B456_009G127200 transcript:KJB56605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIAQKPPHWILEETFISLIQSCKTLKHLLQIQTQIFTRGFDQNRYITPRFTTACVQLKQMGIAQKLFDRNPDPNTALWNSMIKGYAENGFYKKVILSFSQMKNISFSAPNCYTFPIVLKSCLKCNALREGEEVHCVVIKSGFKGNPFVETSLMEMYSGCRLIGAAYRIFNEMVDRNIVAWTSMINGFIFCHDLVKARCLFDLAPQRDIVLWNTMVSGYIGVGEMVEARKLFDQMPKKDVMSWNTILQGYAINGDVEACERLFEEIPEKNAFSWNGLIGGYVRNGFFLEVLDAFKMMLIDGNVLPNDVTLVTVLTACARLGALDLGKWVHVYAENNGYKGNVYVGNALIDLYAKCGMIKDAVDVFKSMSKKDLISWNTIIGGLAMHGRGADALDLFCQMKNSGIIPDGITFIGVLCACTHMGLVEDGLSYFQSMVEDYSIEPQIEQYGCMVDLLARAGLLLQALDFVKKMPMEADAVIWAALLGASRVYRNVEVAELALEQLDKLEPKNPANFVTLANIYGDLGRWKDVARLKVAMRDTGYKKVPGCSSIEVNDCVVEFYSLDESHPETKEIYGALRGLTKLCRSCGYIPHVQEFGYGD >KJB53872 pep chromosome:Graimondii2_0_v6:9:747257:751486:-1 gene:B456_009G009200 transcript:KJB53872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKENINHFKKRTPLITASNSIPRSSVSKKLLHLLRRFNYTSDSIQEYVVVLVSNGKSQSEANSELEPFLGDTTTEFVSWLWDVLSEGSNDCNASKSSSDLENTTRPSSSDVDDASADKQSQKSGPGSVPHCRFPVFSTTLDEETNEYASTFYGKSNENLRAFENNQDRSLNGCSFKTKPSAEVVLSYEQNVQCKSPLFKRPQVTNVGGRRLFSRAADAIFHQNGTNRSTHGNVWDRLGKLDENDTSVNVQVNENIKRPMSEQKSLGFGQTTLIPTVQDGKVNQNPSRYYNVNTYRTNGGRKRQLNDFIPISPTTSDTQDHEEEMSRTFTRHPEKHTLMLKKSDALYESKSCNKSLKSGLDASLRYRPEKTSQEKLGVEARESTQTLISVGAFPAETGGVRPVKAQLVDMKLRLQKLEREICMLKSMPRNKDRYHALSSSSGSVDPLKEGVESRTVFVTNVATQDALRSYFSKCGSINRVIKLTDTSTIAQKWSAYITFANKESVDKALALNGTNFFSRIIWVRKAGKVTVKPTHSQYGRQRNAIFKQKHSHDPNVPQQMNYVYDAHDKSHKSTETVEHIDAEL >KJB62990 pep chromosome:Graimondii2_0_v6:9:69804546:69805317:1 gene:B456_009G446900 transcript:KJB62990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTLMMSWILIICLSQVAVRSQYYSDTLPYHPRPPKVTNLHFFMHELTGVTAVVLTQANITSNNSSVPFATLVAVNDPLRTGPEPDSEVIGNVQGISLLAGSNASSTQYIEFGFNTGKFNGSSLSVFSRGEPGLAVVGGRGRFMMATGTALFNPILINATNVIMEFNVTVVHY >KJB54009 pep chromosome:Graimondii2_0_v6:9:1287348:1288621:1 gene:B456_009G016200 transcript:KJB54009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLGLALPPPPLIPIETFDLNSYGNYETREALGSNALSWPLLKLGPADNDINNIHHHNSSSSSSRGGGAGCKNRSFDEAPFFDEKRNVPKTLHLLLWTNQPNDEDDDPSNVLHENSSSAIFKNGGEGLVGWPPVKTWRKKVHHQIPNGGAENNRLLAVENGIGGRASKSTYVKVKMEGVPIARKIDLSVHHSFEGLTNTLMRMFGISDGNRKIFKLTYQDREGDWLLAEDVPWRYLFFFFLFLTLCLFR >KJB54011 pep chromosome:Graimondii2_0_v6:9:1287348:1288621:1 gene:B456_009G016200 transcript:KJB54011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLGLALPPPPLIPIETFDLNSYGNYETREALGSNALSWPLLKLGPADNDINNIHHHNSSSSSSRGGGAGCKNRSFDEAPFFDEKRNVPKTLHLLLWTNQPNDEDDDPSNVLHENSSSAIFKSFTFSLSLFLCSDFCHSWGICVYI >KJB54008 pep chromosome:Graimondii2_0_v6:9:1287296:1288916:1 gene:B456_009G016200 transcript:KJB54008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLGLALPPPPLIPIETFDLNSYGNYETREALGSNALSWPLLKLGPADNDINNIHHHNSSSSSSRGGGAGCKNRSFDEAPFFDEKRNVPKTLHLLLWTNQPNDEDDDPSNVLHENSSSAIFKNGGEGLVGWPPVKTWRKKVHHQIPNGGAENNRLLAVENGIGGRASKSTYVKVKMEGVPIARKIDLSVHHSFEGLTNTLMRMFGISDGNRKIFKLTYQDREGDWLLAEDVPWRTFIRSLKCLKLIRSRG >KJB54010 pep chromosome:Graimondii2_0_v6:9:1287444:1288174:1 gene:B456_009G016200 transcript:KJB54010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLGLALPPPPLIPIETFDLNSYGNYETREALGSNALSWPLLKLGPADNDINNIHHHNSSSSSSRGGGAGCKNRSFDEAPFFDEKRNVPKTLHLLLWTNQPNDEDDDPSNVLHENSSSAIFKNGGEGLVGWPPVKTWRKKVHHQIPNGGAENNRLLAVENGIGGRASKSTYVKVKMEGVPIARKIDLSVHHSFEGLTNTLMRMFGICK >KJB57649 pep chromosome:Graimondii2_0_v6:9:13381845:13384709:1 gene:B456_009G173600 transcript:KJB57649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPKSLVSSEKSTGSSSSVLGTIQGLPYRTNQITDSFNSVLAMIQGLHNHHHDHHRSNRTNPSSPQFPSKQPKYWPVFGPPDEDLISSLPDSLLQEILCFLPIEDAIKTSFLSRRWRSLWTQMPTLSFTRECFASKHAKRAAFVNETLARFIGPKIKNFLINFKFDEFMDASLDEWVLFATSHHVEKLSLLLDGGFLYAPFAECKPYSLPQFLLDTEAIEYVLSGSPNLQKLKLHNCGGVNRISSMSLEVLVVDAIYEPHEKNELVTQISCPNLQSLSLSGYMYRRTFRLMHASSLSKANLSFVMTIDKKDKYDCKKHRSILRDLLEKLCHVEELTVGTWCLQVLSIWEIKGISSPLSKRHCLVLETEICEWDIPGIVNLLHSSPYLKKLVINLNYCDNSKFEFDQTFFDSYEFDGVEFLASANWIFKCFLQSLEDIELTGFQSSSWGSEFLVRFMRFLLNNTKVLKKVTIYEQGGTLLESWQFSGPNMNPELESAKRNQILLILSSGFLLNANIPDLNSFKDFLL >KJB57648 pep chromosome:Graimondii2_0_v6:9:13381816:13384997:1 gene:B456_009G173600 transcript:KJB57648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPKSLVSSEKSTGSSSSVLGTIQGLPYRTNQITDSFNSVLAMIQGLHNHHHDHHRSNRTNPSSPQFPSKQPKYWPVFGPPDEDLISSLPDSLLQEILCFLPIEDAIKTSFLSRRWRSLWTQMPTLSFTRECFASKHAKRAAFVNETLARFIGPKIKNFLINFKFDEFMDASLDEWVLFATSHHVEKLSLLLDGGFLYAPFAECKPYSLPQFLYVNFSLNVLILRQCVVSPTSQVSWPSLKVLSINYSRLDTEAIEYVLSGSPNLQKLKLHNCGGVNRISSMSLEVLVVDAIYEPHEKNELVTQISCPNLQSLSLSGYMYRRTFRLMHASSLSKANLSFVMTIDKKDKYDCKKHRSILRDLLEKLCHVEELTVGTWCLQVLSIWEIKGISSPLSKRHCLVLETEICEWDIPGIVNLLHSSPYLKKLVINLNYCDNSKFEFDQTFFDSYEFDGVEFLASANWIFKCFLQSLEDIELTGFQSSSWGSEFLVRFMRFLLNNTKVLKKVTIYEQGGTLLESWQFSGPNMNPELESAKRNQILLILSSGFLLNANIPDLNSFKDFLL >KJB57650 pep chromosome:Graimondii2_0_v6:9:13381904:13383391:1 gene:B456_009G173600 transcript:KJB57650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPKSLVSSEKSTGSSSSVLGTIQGLPYRTNQITDSFNSVLAMIQGLHNHHHDHHRSNRTNPSSPQFPSKQPKYWPVFGPPDEDLISSLPDSLLQEILCFLPIEDAIKTSFLSRRWRSLWTQMPTLSFTRECFASKHAKRAAFVNETLARFIGPKIKNFLINFKFDEFMDASLDEWVLFATSHHVEKLSLLLDGGFLYAPFAECKPYSLPQFLYVNFSLNVLILRQCVVSPTSQVSWPSLKVLSINYSRLDTEAIEYVLSGSPNLQKLKLHNCGGVNRISSMSLEVLVVDAIYEPHEKNELVTQISCPNLQSLSLSGYMYRRTFRLMHASSLSKANLSFVMTIDKKDKYDCKKHRSILRDLLEKLCHVEELTVGTWCLQAGFINMGNKGHIFSIVETPLFGTGN >KJB59842 pep chromosome:Graimondii2_0_v6:9:23057784:23058870:-1 gene:B456_009G275300 transcript:KJB59842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTNLIVPAAILAVLLFANGIKFSEEVRVLKADHKTHHHSSLNVNVKGDVLPDGSATVNNVQKAAYRTDAFRSTTPGHSPGAGH >KJB60314 pep chromosome:Graimondii2_0_v6:9:26448577:26449511:-1 gene:B456_009G299800 transcript:KJB60314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKESSLGTPSAAASNADDLGLTQFQGFWIPSRSVPTSSIIAFQKNFQALDDDIIVVSKPKSGTTWLKALVFSIVNRHHYTFSNTPLNSTNPHLLVPYLDIHLYKKNPNPDLSTISSQADSIKRSNCRIVYIIRNPFDIIVSLWHFLRFMDDQSVEDHFEMFCRGEEGYGPFWDHALGYWNMSLEKPSNVLFLRYEELKEDPVAQTKRLAAFLGFPFSIEEEKTGMVNQIVDFCSFNNLKDLEVNKTGKNPGSIIPNNKLFFRSGKVGDYVNHLSPTAVERLSNILEEKLSGSGLTFK >KJB59180 pep chromosome:Graimondii2_0_v6:9:19461085:19466069:-1 gene:B456_009G243000 transcript:KJB59180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQSSRFRLLFPPIQKRIPSSLSFSVASSAMDSASPSMLFLCGKSSTESEIAKSLKINDTLNLPNGGKVSALLQSEINTFRFGEDAFNVDLFLNSLTTKRFGRFLIWSPLLPSTHDIVSHNFCELPVGTVCVADTQFKGRGRSKNAWESPRGCLMFSFTLQMEDGKTVPLVQYVVSLAVTEAIKDVCDRNGFPFIDVRIKWPNDLYLNGLKVGGILCTSTYKSKKFNVSSGIGFNVGNEKPTTCLNTVLKELSTTPNEFRREDITAAFFNKFEMLYDIFINQGFQTLEELYYRTWLHSGQRVIVQEKNEDRVVENVVTIQGLTSSGYLLAIGDDNQMCELHPDGNSFDFFKGLVRRKLE >KJB59181 pep chromosome:Graimondii2_0_v6:9:19461051:19466284:-1 gene:B456_009G243000 transcript:KJB59181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQSSRFRLLFPPIQKRIPSSLSFSVASSAMDSASPSMLFLCGKSSTESEIAKSLKINDTLNLPNGGKVSALLQSEINTFRFGEDAFNVDLFLNSLTTKRFGRFLIWSPLLPSTHDIVSHNFCELPVGTVCVADTQFKGRGRSKNAWESPRGCLMFSFTLQMEDGKTVPLVQYVVSLAVTEAIKDVCDRNGFPFIDVRIKWPNDLYLNGLKVGGILCTSTYKSKKFNVSSGIGFNVGNEKPTTCLNTVLKELSTTPNEFRREDITAAFFNKFEMLYDIFINQGFQTLEELYYRTWLHSGQRVIVQEKNEDRVVENVVTIQGLTSSGYLLAIGDDNQMCELHPDGNSFDFFKGLVRRKLE >KJB59182 pep chromosome:Graimondii2_0_v6:9:19462265:19466069:-1 gene:B456_009G243000 transcript:KJB59182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQSSRFRLLFPPIQKRIPSSLSFSVASSAMDSASPSMLFLCGKSSTESEIAKSLKINDTLNLPNGGKVSALLQSEINTFRFGEDAFNVDLFLNSLTTKRFGRFLIWSPLLPSTHDIVSHNFCELPVGTVCVADTQFKGRGRSKNAWESPRGCLMFSFTLQMEDGKTVPLVQYVVSLAVTEAIKDVCDRNGFPFIDVRIKWPNDLYLNGLKVGGILCTSTYKSKKFNVSSGIGFNVGNEKPTTCLNTVLKELSTTPNEFRREDITAAFFNKFEMLYDIFINQGFQTLEELYYRTWLHSGQRVIVQEKNEDRVVENVVTIQGLTSSGYLLAIGDDNQMCELHPDGNRYLFLSISTIVLAKVAL >KJB61215 pep chromosome:Graimondii2_0_v6:9:41936306:41940910:-1 gene:B456_009G346300 transcript:KJB61215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLCRRTPTMIYVRESHVEKMGKTQDVSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIYERLGTGNDDLNKVTRVHLEQFGSAGLRTLCLAYRDLAPDLYESWNEKFITAKSSLRDREKRLDEVAELIEKELILIGATAIEDKLQEGVPNCIQTLSRAGIKIWVLTGDKIETAINIAYACNLLNNEMKQFIISSETDAIREVEERGDQTEIARFMKEEVKKQLKQFLDEAPQYFCSPSGPKLALVIDGKCLMYALEPSLRIMLLTLSLNCSSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHIGIGISGLEGMQAVMASDFAIAQFRFLEDLLLVHGRWSYIRLCKVVMYFFYKNLAFTLTQFWFTFGTGFSGQRFYDDWFQSLYNVIFTALPVVIVGLFDQDISSSLSKKYPELYKEGVRNMFFNRRIVAIWACFAVYQSLVFFYFVSLSSSTSRDSDGKMFGLWDVSTMAFTCVVVTVNLRLLMMCNSITRWHYISVGGSIIVWFVFIFVYSGIMTRFDRNDNMFWVIYVLMSTSYFYVTLLLVPVAALLGDFLYLGVQRWFFPYDYQIVQESHKDDADSINTPDSEETKEHLTPDEARSNAISQLPKEVSKHSGFAFDSPGYESFFATQFGKYVPPKAWDVARRASMKSKSKPK >KJB61216 pep chromosome:Graimondii2_0_v6:9:41936306:41942068:-1 gene:B456_009G346300 transcript:KJB61216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYVRESHVEKMGKTQDVSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIYERLGTGNDDLNKVTRVHLEQFGSAGLRTLCLAYRDLAPDLYESWNEKFITAKSSLRDREKRLDEVAELIEKELILIGATAIEDKLQEGVPNCIQTLSRAGIKIWVLTGDKIETAINIAYACNLLNNEMKQFIISSETDAIREVEERGDQTEIARFMKEEVKKQLKQFLDEAPQYFCSPSGPKLALVIDGKCLMYALEPSLRIMLLTLSLNCSSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHIGIGISGLEGMQAVMASDFAIAQFRFLEDLLLVHGRWSYIRLCKVVMYFFYKNLAFTLTQFWFTFGTGFSGQRFYDDWFQSLYNVIFTALPVVIVGLFDQDISSSLSKKYPELYKEGVRNMFFNRRIVAIWACFAVYQSLVFFYFVSLSSSTSRDSDGKMFGLWDVSTMAFTCVVVTVNLRLLMMCNSITRWHYISVGGSIIVWFVFIFVYSGIMTRFDRNDNMFWVIYVLMSTSYFYVTLLLVPVAALLGDFLYLGVQRWFFPYDYQIVQESHKDDADSINTPDSEETKEHLTPDEARSNAISQLPKEVSKHSGFAFDSPGYESFFATQFGKYVPPKAWDVARRASMKSKSKPK >KJB61214 pep chromosome:Graimondii2_0_v6:9:41936297:41951353:-1 gene:B456_009G346300 transcript:KJB61214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWGTPSRTVTLGRVQPQSPALRTIYCNHREANFAHRYKGNSISTTKYNVFTFLPKGLYEQFRRVANLYFLMVSILSATPYSPVHPITNMVPLSLVLLFSLIKEAFEDWQRFQNDMTINNTLVDVLQARGWESLQWKKLQVGDIIRVKQDGFFPADLLLLASTNVDGICYIETANLDGETNLKIRKALERTWDYVTPEKACEFKGEVQCEQPNNSLYTFTGNLVVDNQTMPLSPNQILLRGCSLKNTDYIVGTVIFTGHETKVMMNSMNVPSKRSTLERKLDKLILALFSTLFSMCLLGAIGSGIFIDRKYYYLGLSQSVEDQFNPSKRFLVIILTMLTLLTLYSTIIPISLYVSIETIKFIQSSQFINKDLNMYHAESNTPASARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEMYGTGMTEIEMGVAERKGIKVQEAKTSTNSLREKGFNFDDVRLMQGAWRHEPNHDACKEYFRCLAICHTVLPEGEETPEKIRYQAASPDEAALVLAAKNFGFFFYRRTPTMIYVRESHVEKMGKTQDVSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIYERLGTGNDDLNKVTRVHLEQFGSAGLRTLCLAYRDLAPDLYESWNEKFITAKSSLRDREKRLDEVAELIEKELILIGATAIEDKLQEGVPNCIQTLSRAGIKIWVLTGDKIETAINIAYACNLLNNEMKQFIISSETDAIREVEERGDQTEIARFMKEEVKKQLKQFLDEAPQYFCSPSGPKLALVIDGKCLMYALEPSLRIMLLTLSLNCSSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHIGIGISGLEGMQAVMASDFAIAQFRFLEDLLLVHGRWSYIRLCKVVMYFFYKNLAFTLTQFWFTFGTGFSGQRFYDDWFQSLYNVIFTALPVVIVGLFDQDISSSLSKKYPELYKEGVRNMFFNRRIVAIWACFAVYQSLVFFYFVSLSSSTSRDSDGKMFGLWDVSTMAFTCVVVTVNLRLLMMCNSITRWHYISVGGSIIVWFVFIFVYSGIMTRFDRNDNMFWVIYVLMSTSYFYVTLLLVPVAALLGDFLYLGVQRWFFPYDYQIVQESHKDDADSINTPDSEETKEHLTPDEARSNAISQLPKEVSKHSGFAFDSPGYESFFATQFGKYVPPKAWDVARRASMKSKSKPK >KJB61247 pep chromosome:Graimondii2_0_v6:9:43121892:43129672:-1 gene:B456_009G347800 transcript:KJB61247 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g68370 [Source:Projected from Arabidopsis thaliana (AT1G68370) UniProtKB/TrEMBL;Acc:Q1H5A8] MRSKKMEGTSAPSLRRDPYEVLCVSRDSSDQEIKTAYRKLALKYHPDKNANNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEAVEDSMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVDKQCAHFFGVTINDEQAECGIVVRVTSTAQSKFKLLYFEHDINGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDSTVNALAIAKDPESAFFKRLEGLQPCEVSELKAGTHIFAVYGLLCLFCMR >KJB61244 pep chromosome:Graimondii2_0_v6:9:43121635:43129763:-1 gene:B456_009G347800 transcript:KJB61244 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g68370 [Source:Projected from Arabidopsis thaliana (AT1G68370) UniProtKB/TrEMBL;Acc:Q1H5A8] MRSKKMEGTSAPSLRRDPYEVLCVSRDSSDQEIKTAYRKLALKYHPDKNANNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEAVEDSMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVDKQCAHFFGVTINDEQAECGIVVRVTSTAQSKFKLLYFEHDINGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDSTVNALAIAKDPESAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTATYTIEALCAKSYEDTTEKLKDIESQILRKRNELRQFETEYRKALARFQEVTNRYTQEKQSVDELLKQRDSIHATFTVTRPPSGISNLSNGSSSKVPVETESPTEDGNSDGKDKSGKKKWFNLNLMGSDKKLG >KJB61245 pep chromosome:Graimondii2_0_v6:9:43122998:43129257:-1 gene:B456_009G347800 transcript:KJB61245 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g68370 [Source:Projected from Arabidopsis thaliana (AT1G68370) UniProtKB/TrEMBL;Acc:Q1H5A8] MRSKKMEGTSAPSLRRDPYEVLCVSRDSSDQEIKTAYRKLALKYHPDKNANNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEAVEDSMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVDKQCAHFFGVTINDEQAECGIVVRVTSTAQSKFKLLYFEHDINGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDSTVNALAIAKDPESAFFKRLEGLQPCEVSELKAGTHIFAVYGLLCLFCMLVLLKYVS >KJB61246 pep chromosome:Graimondii2_0_v6:9:43121892:43129672:-1 gene:B456_009G347800 transcript:KJB61246 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g68370 [Source:Projected from Arabidopsis thaliana (AT1G68370) UniProtKB/TrEMBL;Acc:Q1H5A8] MRSKKMEGTSAPSLRRDPYEVLCVSRDSSDQEIKTAYRKLALKYHPDKNANNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEAVEDSMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVDKQCAHFFGVTINDEQAECGIVVRVTSTAQSKFKLLYFEHDINGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDSTVNALAIAKDPESAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTATYTIEALCAKSYEDTTEKLKDIESQILRKRNELRQFETEYRKVLIEP >KJB55906 pep chromosome:Graimondii2_0_v6:9:7278058:7279372:-1 gene:B456_009G100800 transcript:KJB55906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVKPVLGYAIFYVKDVAKSVDFYAKAFGYNVRRLDESHRWGELESGQTTIAFTPKHQHETDELTGEVQVPKSDVHRPPMELCFIYSDVDAAFKRAVENGAVAVREPEDKKDWGQRVGYVRDIDGMLVRMGSYVKSPKED >KJB54675 pep chromosome:Graimondii2_0_v6:9:3218480:3220610:1 gene:B456_009G044400 transcript:KJB54675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVEERRIVIRNDHGENLVGILRETGSKDLVIICHGFQSKKERIPMVTLATTLGREGISAFRFDFAGNGESEGSFMYGNYRREAEDLRAVIRHFRKNQRLITAIVGHSKGGNVVLLYASKYNDVPTVINISGRFHLEKGMEGRLGKDFLQRIKQNGFIDVTNRKGQFEYRVTQESLMDRLTTDTRAACLSIDQNCRLLTIHGSRDKIVPAKDALEFARFIRNHKLHIIEGADHEYTAHQDELATVVLDFVKAVREDRNTAELLQSCERAVNFIKARI >KJB54678 pep chromosome:Graimondii2_0_v6:9:3218480:3220610:1 gene:B456_009G044400 transcript:KJB54678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGNYRREAEDLRAVIRHFRKNQRLITAIVGHSKGGNVVLLYASKYNDVPTVINISGRFHLEKGMEGRLGKDFLQRIKQNGFIDVTNRKGQFEYRVTQESLMDRLTTDTRAACLSIDQNCRLLTIHGSRDKIVPAKDALEFARFIRNHKLHIIEGADHEYTAHQDELATVVLDFVKAVREDRNTAELLQSCERAVNFIKARI >KJB54676 pep chromosome:Graimondii2_0_v6:9:3218480:3220610:1 gene:B456_009G044400 transcript:KJB54676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVEERRIVIRNDHGENLVGILRETGSKDLVIICHGFQSKKERIPMVTLATTLGREGISAFRFDFAGNGLFVLLASRESEGSFMYGNYRREAEDLRAVIRHFRKNQRLITAIVGHSKGGNVVLLYASKYNDVPTVINISGRFHLEKGMEGRLGKDFLQRIKQNGFIDVTNRKGQFEYRVTQESLMDRLTTDTRAACLSIDQNCRLLTIHGSRDKIVPAKDALEFARFIRNHKLHIIEGADHEYTAHQDELATVVLDFVKAVREDRNTAELLQSCERAVNFIKARI >KJB54679 pep chromosome:Graimondii2_0_v6:9:3219575:3220610:1 gene:B456_009G044400 transcript:KJB54679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRVPAGGNVVLLYASKYNDVPTVINISGRFHLEKGMEGRLGKDFLQRIKQNGFIDVTNRKGQFEYRVTQESLMDRLTTDTRAACLSIDQNCRLLTIHGSRDKIVPAKDALEFARFIRNHKLHIIEGADHEYTAHQDELATVVLDFVKAVREDRNTAELLQSCERAVNFIKARI >KJB54674 pep chromosome:Graimondii2_0_v6:9:3218968:3220490:1 gene:B456_009G044400 transcript:KJB54674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLATTLGREGISAFRFDFAGNGLFVLLASRESEGSFMYGNYRREAEDLRAVIRHFRKNQRLITAIVGHSKGGNVVLLYASKYNDVPTVINISGRFHLEKGMEGRLGKDFLQRIKQNGFIDVTNRKGQFEYRVTQESLMDRLTTDTRAACLSIDQNCRLLTIHGSRDKIVPAKDALEFARFIRNHKLHIIEGADHEYTAHQDELATVVLDFVKAVREDRNTAELLQSCERAVNFIKARI >KJB54677 pep chromosome:Graimondii2_0_v6:9:3218480:3220620:1 gene:B456_009G044400 transcript:KJB54677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVEERRIVIRNDHGENLVGILRETGSKDLVIICHGFQSKKERIPMVTLATTLGREGISAFRFDFAGNGESEGSFMYGNYRREAEDLRAVIRHFRKNQRLITAIVGHSKGGNVVLLYASKYNDVPTVINISGRFHLEKGMEGRLGKDFLQRIKQNGFIDVTNRKGQFEYRVTQESLMDRLTTDTRAACLSIDQNCRDKIVPAKDALEFARFIRNHKLHIIEGADHEYTAHQDELATVVLDFVKAVREDRNTAELLQSCERAVNFIKARI >KJB61962 pep chromosome:Graimondii2_0_v6:9:54358674:54360834:-1 gene:B456_009G394200 transcript:KJB61962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPTLSMAAGVAAVSSSLYNNTKPSSLFSSSIHFLPSRLSSKQLKPSNLKTQSLNSLPLSPNLSLPRLPCFSAAFDSFQVNEDDQSSGEEYSETETQDLEEQRQQEEAEEDKVSESGGEEGKLYVGNLSYSMTSSELTEIFSEAGSVAKVEIVYDRVTDRSRGFGFVTMGSVDEAKEAIRLFDGSQVGGRTVKVNFPEVPRGGEREVMGPRIRRSYTSFIDSPYKIYAGNLGWRVTSEGLRDAFASQPGLLSAKVIYEKDTGRSRGFGFISFESAETVESALTAMNGVEVEGRPLRLNMAADRAPRTHLPAENSLESSELVSGVTV >KJB61961 pep chromosome:Graimondii2_0_v6:9:54358674:54360763:-1 gene:B456_009G394200 transcript:KJB61961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPTLSMAAGVAAVSSSLYNNTKPSSLFSSSIHFLPSRLSSKQLKPSNLKTQSLNSLPLSPNLSLPRLPCFSAAFDSFQVNEDDQSSGEEYSETETQDLEEQRQQEEAEEDKVSESGGEEGKLYVGNLSYSMTSSELTEIFSEAGSVAKVEIVYDRVTDRSRGFGFVTMGSVDEAKEAIRLFDGSQVGGRTVKVNFPEVPRGGEREVMGPRIRRSYTSFIDSPYKIYAGNLGWRVTSEGLRDAFASQPGLLSAKVIYEKDTGRSRGFGFISFESAETVESALTAMNGVVRVCY >KJB59662 pep chromosome:Graimondii2_0_v6:9:22075724:22076167:-1 gene:B456_009G266200 transcript:KJB59662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADGSSADQRKYKGVRRRRWGKWVTEIRVPGTEGRLWLGSYSTPEAAAVAHDIAFYCLRRPSSLTALNFPSMLPPHVNSNMSPKSVQKAASDAGMAVDAQMILVDSPMNDEIKANTNDGSSCGGGSWERSEGRERETLSISIEDYL >KJB61665 pep chromosome:Graimondii2_0_v6:9:50672831:50674170:-1 gene:B456_009G3738002 transcript:KJB61665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLYFSKMIEKKQISNVTVPERRGGTSISNFEELFEYPRYNLSSLEKLTLSKLTELRVIWSGPIQVEHFQNLTRLQVRNCRRLRYIFSPTIARNLPQLSWLHIADCEELEQIIEKDQTPSQHHLQPICFPNFWWITIINCENLKCLFPIPLVHGGLPKLSGLCLRQVSKLEQVFEGDETNLNEEEEKVIRLPLLRFLKLVELPNLVSFSPVGYQFVFPFLTYLQVKGCPNMTTRFSVGSEKSVHAKMQQANQMMKL >KJB61664 pep chromosome:Graimondii2_0_v6:9:50672999:50674170:-1 gene:B456_009G3738002 transcript:KJB61664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLYFSKMIEKKQISNVTVPERRGGTSISNFEELFEYPRYNLSSLEKLTLSKLTELRVIWSGPIQVEHFQNLTRLQVRNCRRLRYIFSPTIARNLPQLSWLHIADCEELEQIIEKDQTPSQHHLQPICFPNFWWITIINCENLKCLFPIPLVHGGLPKLSGLCLRQVSKLEQVFEGDETNLNEEEEKVIRLPLLRFLKLVELPNLVSFSPVGYQFVFPFLTYLQVKGCPNMTTRFSVGSEKSVHAKMQQANQMMKL >KJB57992 pep chromosome:Graimondii2_0_v6:9:14550332:14551674:-1 gene:B456_009G189100 transcript:KJB57992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGHGGSTTYKGVTLHHPKRWHVATGKGLCAVMWFWVLYRAKQDGPVVLGWRHPWEGHDDHSHGHGDKH >KJB57993 pep chromosome:Graimondii2_0_v6:9:14549766:14551674:-1 gene:B456_009G189100 transcript:KJB57993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGHGGSTTYKGVTLHHPKRWHVATGKGLCAVMWFWVLYRAKQDGPVVLGWRHPWEGHDDHSHGHGDKH >KJB60284 pep chromosome:Graimondii2_0_v6:9:26110542:26112506:-1 gene:B456_009G298100 transcript:KJB60284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASFSALFIDQDGVSSSSPYLGDLPESCVASIIQHLDPPEICKLAKLNRAFSAASWADFVWESKLPPNYHILVHKILGFMPQNLGKRDIYTRLCRPNTFDGGTKKVWLHKSTGGVCMSISSKGLQITGIDDRRYWNHIPTNESRFHSIAYLRQIWWFEVNGEVEFPFPVGTYSVFFRLQLGRAWGFGRGICDPEQVHGWDIKPVRFQLWTSGDKHSTWECSLSEAGKWFHYHVGDFNVENPSSSTKIKLSMSQIDCTHTKGGLCLDSVVICPSAFRERLEHKGFLKCTQPCCHMLHSI >KJB60754 pep chromosome:Graimondii2_0_v6:9:32098223:32103336:1 gene:B456_009G324200 transcript:KJB60754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQKKRIFQIEAFKNRVVVDPKYAEKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVTTMTAHLKEISKSIEAAQGDLFLEELNRKWNDHNKALQMIRDILMYMDRTYIPNTHKTPVHELGLSLWRDNIIHSGKIQSRLLSTLLELVHRERTGEVIDRGLMRNIVKMLMDLGSSVYQEDFEKPFLEVSAEFYRRESQKFIECYDCGDYLKKAEIRLNEEIERVTHYLDAKSEGKITNVVEKEMIANHMMRLVHMENSGLVNMLLNDKHGDLGRMYNLFRRVPNGLAMIRDVMTSHLRETGKQLVTDAERLKDPVEYVQRLLDEKEKYDGIISLAFSNDKTFQNALNSSFEYFINLNSRSPEFISLFVDDKLRKGLKGVSEEDVEIILDKVMMIFCYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTACGYQYTSKLEGMLTDMKTSQDTMQGFCSSHPELTDGPTLVVQVLTTGSWPTQPSITCHLPFEMSALCEKFRSYYLGIHTGRRLSWQTNMGTADIKAIFGKGQKHELNVSTYQMCILMLFNNADRLSYKEIEQVTAIPASDLKRCLQSMACVKGKNVLRKEPMSKDIGEDDSFFVNEKFASKFYKVKVGTVVAQKESEPEKQETRQRVEEDRKPQIEAAVVRIMKSRKVLDHNNIIAEVTKQLQSRFLANPTEIKKRIESLIEREFLERDNSDRKMYRYLA >KJB55769 pep chromosome:Graimondii2_0_v6:9:6806491:6811907:-1 gene:B456_009G093300 transcript:KJB55769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIEFLRPTTIAIQSKCSRNSYCNLLYIHGLNLSSNRFKVSLQNRNRFISDSITFPLRNVLVLRNHDRFNLYGGGRLRFKESKILANCTDNNDSNDSSSDKNESEGGQGVKQKQNTTNSGSSTNQRREKSGKSELWWSKGKKWQWQPIIQAQEIGVLLLQLGIVMFVMRLLRPGIPLPGSEPRTPTTFISVPYSEFLNKINSNQVQKVEVDGVHVMFKLKNEGNVQECETSGVSNSVFQDSDSLLRSVAPTKRIVYTTIRPSDIKAPYEKMLENDVEFGSPDKRSGGFFNSALIALFYVAVLAGLLHRFPVSFSQNTAGQIRNRKSGVSSISKVPEQGEIVTFADVAGVDEAKEELEEIVEFLRNPDRYIQLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKVAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRIGRESILKVHVSKKDIPLGEDVDLCHIASMTTGFTGADLANLVNEAALLAGRNNKIIVERIDFIQAVERSIAGIEKKTAKLKGSEKAVVARHEAGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTNEDRYLLFIDELRGRLVTLLGGRAAEEFVYCGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPLSLATVSGGGMDESGGSAPWGRDQGHLVDLVQREVRALLQSALEVALSVVRANPTVLEGLGAHLEGNLLHPVLFSFLCGICSLFCCGHCIFFIS >KJB55768 pep chromosome:Graimondii2_0_v6:9:6805558:6812011:-1 gene:B456_009G093300 transcript:KJB55768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIEFLRPTTIAIQSKCSRNSYCNLLYIHGLNLSSNRFKVSLQNRNRFISDSITFPLRNVLVLRNHDRFNLYGGGRLRFKESKILANCTDNNDSNDSSSDKNESEGGQGVKQKQNTTNSGSSTNQRREKSGKSELWWSKGKKWQWQPIIQAQEIGVLLLQLGIVMFVMRLLRPGIPLPGSEPRTPTTFISVPYSEFLNKINSNQVQKVEVDGVHVMFKLKNEGNVQECETSGVSNSVFQDSDSLLRSVAPTKRIVYTTIRPSDIKAPYEKMLENDVEFGSPDKRSGGFFNSALIALFYVAVLAGLLHRFPVSFSQNTAGQIRNRKSGVSSISKVPEQGEIVTFADVAGVDEAKEELEEIVEFLRNPDRYIQLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKVAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRIGRESILKVHVSKKDIPLGEDVDLCHIASMTTGFTGADLANLVNEAALLAGRNNKIIVERIDFIQAVERSIAGIEKKTAKLKGSEKAVVARHEAGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTNEDRYLLFIDELRGRLVTLLGGRAAEEFVYCGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPLSLATVSGGGMDESGGSAPWGRDQGHLVDLVQREVRALLQSALEVALSVVRANPTVLEGLGAHLEENEKVEGEELQEWLKLVVAPEELTVFIAGKQKSLLPVQAGSG >KJB55766 pep chromosome:Graimondii2_0_v6:9:6805558:6811975:-1 gene:B456_009G093300 transcript:KJB55766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIEFLRPTTIAIQSKCSRNSYCNLLYIHGLNLSSNRFKVSLQNRNRFISDSITFPLRNVLVLRNHDRFNLYGGGRLRFKESKILANCTDNNDSNDSSSDKNESEGGQGVKQKQNTTNSGSSTNQRREKSGKSELWWSKGKKWQWQPIIQAQEIGVLLLQLGIVMFVMRLLRPGIPLPGSEPRTPTTFISVPYSEFLNKINSNQVQKVEVDGVHVMFKLKNEGNVQECETSGVSNSVFQDSDSLLRSVAPTKRIVYTTIRPSDIKAPYEKMLENDVEFGSPDKRSGGFFNSALIALFYVAVLAGLLHRFPVSFSQNTAGQIRNRKSGVSSISKVPEQGEIVTFADVAGVDEAKEELEEIVEFLRNPDRYIQLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKVAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRIGRESILKVHVSKKDIPLGEDVDLCHIASMTTGFTGADLANLVNEAALLAGRNNKIIVERIDFIQAVERSIAGIEKKTAKLKGSEKAVVARHEAGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTNEDRYLLFIDELRGRLVTLLGGRAAEEFVYCGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPLSLATVSGGGMDESGGSAPWGRDQGHLVDLVQREVRALLQSALEVALSVVRANPTVLEGLGAHLEENEKVEGEELQEWLKLVVAPEELTVFIAGKQKSLLPVQAGSG >KJB55765 pep chromosome:Graimondii2_0_v6:9:6805513:6812000:-1 gene:B456_009G093300 transcript:KJB55765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVMRLLRPGIPLPGSEPRTPTTFISVPYSEFLNKINSNQVQKVEVDGVHVMFKLKNEGNVQECETSGVSNSVFQDSDSLLRSVAPTKRIVYTTIRPSDIKAPYEKMLENDVEFGSPDKRSGGFFNSALIALFYVAVLAGLLHRFPVSFSQNTAGQIRNRKSGVSSISKVPEQGEIVTFADVAGVDEAKEELEEIVEFLRNPDRYIQLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKVAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRIGRESILKVHVSKKDIPLGEDVDLCHIASMTTGFTGADLANLVNEAALLAGRNNKIIVERIDFIQAVERSIAGIEKKTAKLKGSEKAVVARHEAGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTNEDRYLLFIDELRGRLVTLLGGRAAEEFVYCGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPLSLATVSGGGMDESGGSAPWGRDQGHLVDLVQREVRALLQSALEVALSVVRANPTVLEGLGAHLEENEKVEGEELQEWLKLVVAPEELTVFIAGKQKSLLPVQAGSG >KJB55767 pep chromosome:Graimondii2_0_v6:9:6805689:6811907:-1 gene:B456_009G093300 transcript:KJB55767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIEFLRPTTIAIQSKCSRNSYCNLLYIHGLNLSSNRFKVSLQNRNRFISDSITFPLRNVLVLRNHDRFNLYGGGRLRFKESKILANCTDNNDSNDSSSDKNESEGGQGVKQKQNTTNSGSSTNQRREKSGKSELWWSKGKKWQWQPIIQAQEIGVLLLQLGIVMFVMRLLRPGIPLPGSEPRTPTTFISVPYSEFLNKINSNQVQKVEVDGVHVMFKLKNEGNVQECETSGVSNSVFQDSDSLLRSVAPTKRIVYTTIRPSDIKAPYEKMLENDVEFGSPDKRSGGFFNSALIALFYVAVLAGLLHRFPVSFSQNTAGQIRNRKSGVSSISKVPEQGEIVTFADVAGVDEAKEELEEIVEFLRNPDRYIQLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKVAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRIGRESILKVHVSKKDIPLGEDVDLCHIASMTTGFTGADLANLVNEAALLAGRNNKIIVERIDFIQAVERSIAGIEKKTAKLKGSEKAVVARHEAGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTNEDRYLLFIDELRGRLVTLLGGRAAEEFVYCGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPLSLATVSGGGMDESGGSAPWGRDQGHLVDLVQREVRALLQSALEVALSVVRANPTVLEGLGAHLEENEKVEGEELQEWLKLVVAPEELTVFIAGKQKSLLPVQAGSG >KJB55729 pep chromosome:Graimondii2_0_v6:9:6705408:6706716:1 gene:B456_009G091800 transcript:KJB55729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILGTQLFVHRLSFFTNHQELKTLFAPFGVVKEARLIRDPKTQRPKGFGFVTFETEAEAQKALKAMNGRIVRGRLIFVEYANDKSQKTDADS >KJB55730 pep chromosome:Graimondii2_0_v6:9:6705408:6706467:1 gene:B456_009G091800 transcript:KJB55730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILGTQLFVHRLSFFTNHQELKTLFAPFGVVKEARLIRDPKTQRPKGFGFVTFETEAEAQKALKAMNGRIVRGRLIFVEYANDKSQKTDADS >KJB55728 pep chromosome:Graimondii2_0_v6:9:6705408:6706095:1 gene:B456_009G091800 transcript:KJB55728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILGTQLFVHRLSFFTNHQELKTLFAPFGVVKEARLIRDPKTQRPKGFGFVTFETEAEAQKALKAMNGRVIFKCHQLLFICFFFFLHLTLSENPSNWSLN >KJB55727 pep chromosome:Graimondii2_0_v6:9:6705370:6707719:1 gene:B456_009G091800 transcript:KJB55727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILGTQLFVHRLSFFTNHQELKTLFAPFGVVKEARLIRDPKTQRPKGFGFVTFETEAEAQKALKAMNGRIVRGRLIFVEYANDKSQKTDADS >KJB55485 pep chromosome:Graimondii2_0_v6:9:5649832:5650962:-1 gene:B456_009G078500 transcript:KJB55485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTCSLLDLDCRVGSAPLRTDEVDGDGCHLGLKRLRLRRLGHYQNRDPSKASPIAGAKRRIEWILGKSKMESKQSFKESFFHQIDCRGLHFSRIGNFSPKATAMAWRRLIICCAMEKMGERWVGMLGRQCYAVLCLSVKIVSVTIAHFVYPQPEDARECTKRCLRPLHGRPFS >KJB62987 pep chromosome:Graimondii2_0_v6:9:69775349:69780574:1 gene:B456_009G446700 transcript:KJB62987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKKGTDSAGGESSQSHDTGVGSGRGSQGPPQQLGRGEGGGGYSGGGRGWVPQSQQPGRGGYGAGGPPEYQSRGRGGPSHRRGYRGGRGRGGYGRGRAGHGSRGVPFPSGPSRPHVPERHQATEPFETAVTPQPDPSEAGSSSRPPEHVPLTEQLQHLSIKQEASQAIQPVPPPNKPLRFPRRPGLGCEGTKFVVKANHFFAEFPNKDLYQYDVIITPEVTLRRVNRDVMQQLVSLYKCSDLGERDPAYDGRKSLYTAGSLPFESREFKVTISDDDGRESEFKVMIRLAGRTELHRLRQFLQGDQVDVPKDALQALDIVLRELPATNTSYCPVGRSFYFRDELRKDLDQGLQAWRGFYQSTRPTQRGLSLNIDVSSTAFIQPLPVIEFVTNLLNRNVSSGPLYDADRVKIKKALLGVKVQVTHRGNMRRKYRISGLTSQATGQLTFPVDERGAEKSVVDYFSETYGITIQRTQWPCLQVGSQQRPIYLPMEVCKIVDGQRYSKRLNEKQITGLLEFTCQRPKNREDDILKTVKKNAYADSKCQTEFGIKITEKLALVNARILPAPLLKYHDTGKQKNCLPQVGQWNMKDKKMVDWGTVNNWICISFCSKVGPKLAHNFWTKLADTCLIYGMAFNSVPVIPPLNASPDQVEEVLKTHYCKAMNKLQRQNKELDLLIAILPDNNGSLYGDLKRICETDIGIVSQCCLSKYVLDIKGPYLTNVALKINVKVGGSNTALAAAIPSVSDRRTIIFGADVTHPSPGEDSSPSIAAVVASQDWPEITKYAALVCSQAHRKEVIQDLYKTWQDPVRGIVSGGMIKEHLISFQRANGGHKPERIIFYRDGVSEGQFSHVLSEELDDIRKACASLEPNYKPTITFIVVQKRHHTRLFAKNHNDRKFADLKGNILPGTVVDSDICHPTEFDFYLCSHAGIMVNSFFTLLLLIGD >KJB62988 pep chromosome:Graimondii2_0_v6:9:69775349:69782033:1 gene:B456_009G446700 transcript:KJB62988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKKGTDSAGGESSQSHDTGVGSGRGSQGPPQQLGRGEGGGGYSGGGRGWVPQSQQPGRGGYGAGGPPEYQSRGRGGPSHRRGYRGGRGRGGYGRGRAGHGSRGVPFPSGPSRPHVPERHQATEPFETAVTPQPDPSEAGSSSRPPEHVPLTEQLQHLSIKQEASQAIQPVPPPNKPLRFPRRPGLGCEGTKFVVKANHFFAEFPNKDLYQYDVIITPEVTLRRVNRDVMQQLVSLYKCSDLGERDPAYDGRKSLYTAGSLPFESREFKVTISDDDGRESEFKVMIRLAGRTELHRLRQFLQGDQVDVPKDALQALDIVLRELPATNTSYCPVGRSFYFRDELRKDLDQGLQAWRGFYQSTRPTQRGLSLNIDVSSTAFIQPLPVIEFVTNLLNRNVSSGPLYDADRVKIKKALLGVKVQVTHRGNMRRKYRISGLTSQATGQLTFPVDERGAEKSVVDYFSETYGITIQRTQWPCLQVGSQQRPIYLPMEVCKIVDGQRYSKRLNEKQITGLLEFTCQRPKNREDDILKTVKKNAYADSKCQTEFGIKITEKLALVNARILPAPLLKYHDTGKQKNCLPQVGQWNMKDKKMVDWGTVNNWICISFCSKVGPKLAHNFWTKLADTCLIYGMAFNSVPVIPPLNASPDQVEEVLKTHYCKAMNKLQRQNKELDLLIAILPDNNGSLYGDLKRICETDIGIVSQCCLSKYVLDIKGPYLTNVALKINVKVGGSNTALAAAIPSVSDRRTIIFGADVTHPSPGEDSSPSIAAVVASQDWPEITKYAALVCSQAHRKEVIQDLYKTWQDPVRGIVSGGMIKEHLISFQRANGGHKPERIIFYRDGVSEGQFSHVLSEELDDIRKACASLEPNYKPTITFIVVQKRHHTRLFAKNHNDRKFADLKGNILPGTVVDSDICHPTEFDFYLCSHAGIMGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMERETSESGSRLGSSRSTRGPDGSVAVRPLPALRENVKRVMFYC >KJB54653 pep chromosome:Graimondii2_0_v6:9:3159431:3161743:1 gene:B456_009G043200 transcript:KJB54653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQFAEEKQSVNNLPQTKLEEFEDVKEEAVMTTLRSALDFYSTIQADDGHWLGDYGGLVFLLPGLVITLYVTRTLNTVLSKEHQYEICRYLYNYQNRDGGWGLHIKGPSTMFGTVLNYVSLRLLGEGAEGGEGAIEKAREWILEHGSFQKFVSK >KJB58777 pep chromosome:Graimondii2_0_v6:9:17692538:17696953:-1 gene:B456_009G226000 transcript:KJB58777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEGGQNNVTLLGSSGSIDSVCQNNAELKERNYMGLSDCSSIDCSVVTLGSDDSNVSLNLKATELRLGLPGSQSPERNSELCLLSSAQLDEKPLFPLHPSSDGHCFPSQKTVVSGNKRGFSDAMDGFSEGNFLSNSKVDVMLTPRPSSNLGLKSVSMLENLGVQPTKAKEVTNQKVVKDSPHAANETRPNHNASVNNNSGAPASKAQVVGWPPIRSFRKNSLATSSKNTDEVDGKAGPDALFVKVSMDGAPYLRKVDLKNYSKYQDLSSALEKMFSCFTIGQCGSHGPLGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDTCKRLRIMKSSDAIGLAPRAVEKCRNRN >KJB58783 pep chromosome:Graimondii2_0_v6:9:17694160:17696870:-1 gene:B456_009G226000 transcript:KJB58783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEGGQNNVTLLGSSGSIDSVCQNNAELKERNYMGLSDCSSIDCSVVTLGSDDSNVSLNLKATELRLGLPGSQSPERNSELCLLSSAQLDEKPLFPLHPSSDGHCFPSQKTVVSGNKRGFSDAMDGFSEGNFLSNSKVDVMLTPRPSSNLGLKSVSMLENLGVQPTKAKEVTNQKVVKDSPHAANETRPNHNASVNNNSGAPASKAQVVGWPPIRSFRKNSLATSSKNTDEVDGKAGPDALFVKVSMDGAPYLRKVDLKNYSKYQDLSSALEKMFSCFTIGELFQYKDFRMQALHVCNSSYCDLFSWFKLLLFYHDFRSMWISWTPG >KJB58779 pep chromosome:Graimondii2_0_v6:9:17692538:17696211:-1 gene:B456_009G226000 transcript:KJB58779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEGGQNNVTLLGSSGSIDSVCQNNAELKERNYMGLSDCSSIDCSVVTLGSDDSNVSLNLKATELRLGLPGSQSPERNSELCLLSSAQLDEKPLFPLHPSSDGHCFPSQKTVVSGNKRGFSDAMDGFSEGNFLSNSKVDVMLTPRPSSNLGLKSVSMLENLGVQPTKAKEVTNQKVVKDSPHAANETRPNHNASVNNNSGAPASKAQVVGWPPIRSFRKNSLATSSKNTDEVDGKAGPDALFVKVSMDGAPYLRKVDLKNYSKYQDLSSALEKMFSCFTIGQCGSHGPLGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDTCKRLRIMKSSDAIGLAPRAVEKCRNRN >KJB58780 pep chromosome:Graimondii2_0_v6:9:17692539:17696870:-1 gene:B456_009G226000 transcript:KJB58780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEGGQNNVTLLGSSGSIDSVCQNNAELKERNYMGLSDCSSIDCSVVTLGSDDSNVSLNLKATELRLGLPGSQSPERNSELCLLSSAQLDEKPLFPLHPSSDGHCFPSQKTVVSGNKRGFSDAMDGFSEGNFLSNSKVDVMLTPRPSSNLGLKSVSMLENLGVQPTKAKEVTNQKVVKDSPHAANETRPNHNASVNNNSGAPASKAQVVGWPPIRSFRKNSLATSSKNTDEVDGKAGPDALFVKVSMDGAPYLRKVDLKNYSKYQDLSSALEKMFSCFTIGQCGSHGPLGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDTCKRLRIMKSSDAIGLAPRAVEKCRNRN >KJB58778 pep chromosome:Graimondii2_0_v6:9:17692538:17696644:-1 gene:B456_009G226000 transcript:KJB58778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEGGQNNVTLLGSSGSIDSVCQNNAELKERNYMGLSDCSSIDCSVVTLGSDDSNVSLNLKATELRLGLPGSQSPERNSELCLLSSAQLDEKPLFPLHPSSDGHCFPSQKTVVSGNKRGFSDAMDGFSEGNFLSNSKVDVMLTPRPSSNLGLKSVSMLENLGVQPTKAKEVTNQKVVKDSPHAANETRPNHNASVNNNSGAPASKAQVVGWPPIRSFRKNSLATSSKNTDEVDGKAGPDALFVKVSMDGAPYLRKVDLKNYSKYQDLSSALEKMFSCFTIGQCGSHGPLGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDTCKRLRIMKSSDAIGLAPRAVEKCRNRN >KJB58782 pep chromosome:Graimondii2_0_v6:9:17694549:17695711:-1 gene:B456_009G226000 transcript:KJB58782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEGGQNNVTLLGSSGSIDSVCQNNAELKERNYMGLSDCSSIDCSVVTLGSDDSNVSLNLKATELRLGLPGSQSPERNSELCLLSSAQLDEKPLFPLHPSSDGHCFPSQKTVVSGNKRGFSDAMDGFSEGNFLSNSKVDVMLTPRPSSNLGLKSVSMLENLGVQPTKAKEVTNQKVVKDSPHAANETRPNHNASVNNNSGAPASKAQVVGWPPIRSFRKNSLATSSKNTDEVDGKAGPDALFVKVSMDGAPYLRKVDLKNYSKYQDLSSALEKMFSCFTIGELFQYKDFRMQALHVCNSSYCDLFSWFKLLLFYHDFRSMWISWTPG >KJB58781 pep chromosome:Graimondii2_0_v6:9:17692539:17696870:-1 gene:B456_009G226000 transcript:KJB58781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEGGQNNVTLLGSSGSIDSVCQNNAELKERNYMGLSDCSSIDCSVVTLGSDDSNVSLNLKATELRLGLPGSQSPERNSELCLLSSAQLDEKPLFPLHPSSDGHCFPSQKTVVSGNKRGFSDAMDGFSEVGNFLSNSKVDVMLTPRPSSNLGLKSVSMLENLGVQPTKAKEVTNQKVVKDSPHAANETRPNHNASVNNNSGAPASKAQVVGWPPIRSFRKNSLATSSKNTDEVDGKAGPDALFVKVSMDGAPYLRKVDLKNYSKYQDLSSALEKMFSCFTIGQCGSHGPLGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDTCKRLRIMKSSDAIGLAPRAVEKCRNRN >KJB54648 pep chromosome:Graimondii2_0_v6:9:3130026:3133276:1 gene:B456_009G042800 transcript:KJB54648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPFSSDDNIPTNTTAVAIDKDKNSPHAVRWAIDHLVVSNPYIILIHVRHRNLGESESDYDINQLFIPFRGYCARKAIQLKEVVLDDADICKALMDYVNKNLINNLVIGGATRNGLSRKCKNDISASLIKSAPDFCSVYVISKGKILTMRTAQRPISNTATPPKAPSGMPPPIPSDQFEDDGSRAYYARGGSRNAGADRMSVDNTPKAPMRDRDRQRSSPGNLSFDIDSAMRAAQPSSSQDSLSSDSSFPNKRSHGSIDFSDQNLDFSVISGPSTGQLPQSSRDIEAEMRRLKLELKQTMDMYSTACKEALTAKQKANELHQWKMEEARKFEEARLAGEAALAMAEKEKAKCKAAIEAAEAAKRLAEMEAHRRRQAELKAKKEADEKNRALNALAHNDVRYRKYTIEEIEEATENFEAHNKIGEGGYGPVYKGKLDHTPVAIKVLRPDAAQGKKQFQQEVEVLSCMRHPNMVLLLGACPEYGCLVYEYMFNGSLEDRLFRRGNTPPLSWRRRFTIAAEIATALLFLHQAKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVADSVTQYHMTSAAGTFCYIDPEYQQTGMLTTKSDIYSLGIMLLQIITAKPPMGIAHHVGRAIEKGTFADMLDPAVPNWPVEEALSFAQLALKCVELRKRDRPDLGTIIVPELNRLRDLGSSSDSHSHGCQGNSGGYSSDGNTGANGRSSRSMLSADSQESLTKTANSDP >KJB59332 pep chromosome:Graimondii2_0_v6:9:20206379:20207317:1 gene:B456_009G249700 transcript:KJB59332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEVGGGFQVKKWGVIPPNRRSVKRMMFHKFLISVSSLFHACCHPAVHPKNCCSKHIRIFATKIPSPH >KJB55092 pep chromosome:Graimondii2_0_v6:9:4498809:4500214:1 gene:B456_009G062700 transcript:KJB55092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAESDVSDRLTLESVRDSLIRQEDSIVFSLIERAKFPLNAPTYDPSYFSMPGSYGSLVELVVKQTEAVQAKAGRYENPEEHPFFPDDLPPSQVPPHKYPRVLNPAAVFVNVNKKIWDVYFNKLLPLFVAPGDDGNYASTAARDLECLQVLSRRIHYGKLVAEVKFRDEWKHYEPAIRARDKKTLMDLLTAPAVEKAVKKRVAKKASIFGQEVKVGDDSDENKGKYKVDPAVVSRFYGDWIIPLTKDVEVQYLLHRLD >KJB62858 pep chromosome:Graimondii2_0_v6:9:69215404:69218477:-1 gene:B456_009G440700 transcript:KJB62858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDMDETEALPQVYMACIHQGHRIGISYYDSSIRQLNVLEAWDDGSSDFPLIELVKYQAKPVIIYTSTKTEETFLSALQRSDGMTETPTVKLVKSSIFTYEQAWHRLIYLRVTGMDDGLNIKERICYLSSMMDMGSDIQVRVSGGLLAILENERIVDTLEQMESRTASITIDSVTEISLDKFLKLDAAAHEALQIFQVDKHPSHMGIGRAKEG >KJB54494 pep chromosome:Graimondii2_0_v6:9:2669250:2671639:1 gene:B456_009G036100 transcript:KJB54494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMKITWRSIFPSCSKGVVKPETKPKKEVTKQSSFNRLAMLELSYPSTMLTEDLSTSLAGSNLHVFTLGELKVITQSFSSSNFLGEGGFGPVHKGFIDDKLRPGLKAQPVAVKLLDLEGLQGHREWLTEVIFLAELRHPHLVKLIGYCCEEEHRLLVYEYMPRGSLENQLFRRYSVSLPWATRMKIALGAAKGLAYLHEAEKPVIYRDFKASNILLDSDYNAKLSDFGLAKDGPEGDDTHVSTRVMGTQGYAAPEYIMTGHLTAMSDVYSFGVVLLELLTGRRSVDKNRCPREQNLVEWARPMLNDARKLGRIMDPRLEGQYSETGARKAAALAYQCLSHRPKQRPKMSDVVKTLEPLQDYEDVLVGPFVYTVPTQSDKPKQDEDTVTKECEPKKEKCHHHENHKHHHRHHRHQTRSSRMSAIHSERDALKRNHRNGLNSPLHYEVREAA >KJB54671 pep chromosome:Graimondii2_0_v6:9:3199857:3201165:1 gene:B456_009G044100 transcript:KJB54671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLRSWSSDVVQLDLSPLPPPQPPPSSNNTPMSTTAISGAGFSIDEEEPTEARIRRLISEHPVIIFSRSSCCMCHVMKKLLATIGVHPTVIELDDHEIASLPPPPAHDSLSSRSPAPAVFIGGTCVGGLESLVALHLSGHLVRKLVEVGALWV >KJB62737 pep chromosome:Graimondii2_0_v6:9:67931290:67932035:-1 gene:B456_009G432800 transcript:KJB62737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FQKIQGQRITILGDLVLKDKIFVYDLVNQRIGWTNYDCSMSVNVSTNINTGRTEFVNAGQMSNDGSSRDQIRGMLALLLPIIMLTGLLFL >KJB55126 pep chromosome:Graimondii2_0_v6:9:4573217:4576328:-1 gene:B456_009G064200 transcript:KJB55126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEERVLENQLELQLKEQRESLSALGDALASDPFNPQILAVHEELVEVIKETEEGLLNLKRARLLREADSALNVSNQAAVEEVKAEALDPDDVEVEPLKEDRSYQVGSKCRFRYSDGRWYDGQVVTLNGSGSAKISFLTPTSENMLICKFFLQQRCRFGANCRLSHGVDVPLSSLKKYAPTMWEPSMVGSSIWAVPDGKVGIWREAELESWNDELRTGKVVFRDDGSSAELGVEALTLSEYAQISDDEESELSSEESDSSDYEEESPKGLGFLESTALQKGIQTETTIFAKWENHTRGVASKMMANMGYREGMGLGASGQGMLNPISVKVLPPKLSLDHALESHENDEDKEKKGKKRSRGGKRKREKKFAEASRAAKEEEESRPDVFSLINNQLAMHSEAVNGGSTKKQQKKGSGEEKKVDRKALVAYDDEVKELKLRVVKLEEMVSRNRNEKAVYEAAMRKLIETRKALAEAEAVHASASNAVVSKEKEKKWLKF >KJB57575 pep chromosome:Graimondii2_0_v6:9:13221402:13230043:-1 gene:B456_009G171000 transcript:KJB57575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGQMSVLQISSCSTESSFAEIDHNLVLDHGDNLNFDLVDNRNGTESKNIAEEFDNKNALHDLSVENMNFVFDNCGAILTNTLETPEAPENEVAMPMEFSSVDANLTSHNVTSNESSFCHGSDIVSDVSDPCSVLPNCMNGDVGYFAHSSMHCWYNSSNFMFKESKEGEVIEFPTESACSSSRMIFHDQGRTNNGSVPQLSLTDFSDVKQQHFDVKGNGHILSACGKLSYTANDRLFDDNGSVQPFNRIAFCAKNEYDKFVTPGNIFCNSTEGFDRAGWSKSISRVDSLEESKQFLSDVPPISKKVLMVNGKDAHHSHQDINLTVSSQTSLGGVHLEQYLPSSHPITSSMVHFGCFEHEKRSTLIPSRSIGLSKVSPESIHSTSSDCRSHVDNDPDICILEDISQPARSHQSLVLVKKTPSLPNVAFSNPHQNSGMGGIRLKGNDERLIFRVALQGLSQPKSEASPPDGVLTVPLLRHQRIALSWMTQKEKAGSHCWGGILADDQGLGKTVSTIALILNERPPSSRASSQDMTKVGMEMLNLDDDDDDDKDDVGDEEGRKQESDSSQVMSNGASNKSSSPSGQAKGRPAAGTLIVCPTSVLRQWAEELHNKVTSKANLSVLVYHGSNRTKDPFELAKYDVVITTYSIVSMEVPKQPPACGDDDEKGKWESENASSNDFPESRKRKCPSSSNKKGVKHKKGADELLLDSVSRPLAKVGWFRIVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAVYKSFCSAIKIPISKNPAKGYPKLQAILQTIMLRRTKATLLDGKPIINLPPKVIELKKVEFTEEERDFYSRLESDSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVRGFDSNSSQRLSIETAKKLPQERLTFLLSCLSSLSLCGICNDPPEDAVAAVCGHVFCNQCISEHLTGDDYQCPTANCKVRLGASSVFSNATLNSSLTELPSRETSLNSSGSKVAEVIGPYSEDSLYGSSKIKAALDVLKSLAKPQDDRLKISGCPEGSSGLQSGDSPNGYHEEKIPVTGESLNGSSKVLGEKAIVFSQWTRMLDLFEACLKSSSIQYRRLDGTMSVASRDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQHKKREMVASAFGEDETGVRQSRLTVEDLEYLFMA >KJB57574 pep chromosome:Graimondii2_0_v6:9:13220742:13230108:-1 gene:B456_009G171000 transcript:KJB57574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTGEDNYNGSSGFLSTGYPSADFEFDGLSIDLDDFNRILEETPGSLQSNPEDPSFRNMSQGESAPETNQFQSELLSSEFAFGDLLRYPSEASVARAGSLGGLFDYHRELEPPAHDSLVQTSSASFQDFFSTGKGTSCMERGQMSVLQISSCSTESSFAEIDHNLVLDHGDNLNFDLVDNRNGTESKNIAEEFDNKNALHDLSVENMNFVFDNCGAILTNTLETPEAPENEVAMPMEFSSVDANLTSHNVTSNESSFCHGSDIVSDVSDPCSVLPNCMNGDVGYFAHSSMHCWYNSSNFMFKESKEGEVIEFPTESACSSSRMIFHDQGRTNNGSVPQLSLTDFSDVKQQHFDVKGNGHILSACGKLSYTANDRLFDDNGSVQPFNRIAFCAKNEYDKFVTPGNIFCNSTEGFDRAGWSKSISRVDSLEESKQFLSDVPPISKKVLMVNGKDAHHSHQDINLTVSSQTSLGGVHLEQYLPSSHPITSSMVHFGCFEHEKRSTLIPSRSIGLSKVSPESIHSTSSDCRSHVDNDPDICILEDISQPARSHQSLVLVKKTPSLPNVAFSNPHQNSGMGGIRLKGNDERLIFRVALQGLSQPKSEASPPDGVLTVPLLRHQRIALSWMTQKEKAGSHCWGGILADDQGLGKTVSTIALILNERPPSSRASSQDMTKVGMEMLNLDDDDDDDKDDVGDEEGRKQESDSSQVMSNGASNKSSSPSGQAKGRPAAGTLIVCPTSVLRQWAEELHNKVTSKANLSVLVYHGSNRTKDPFELAKYDVVITTYSIVSMEVPKQPPACGDDDEKGKWESENASSNDFPESRKRKCPSSSNKKGVKHKKGADELLLDSVSRPLAKVGWFRIVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAVYKSFCSAIKIPISKNPAKGYPKLQAILQTIMLRRTKATLLDGKPIINLPPKVIELKKVEFTEEERDFYSRLESDSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVRGFDSNSSQRLSIETAKKLPQERLTFLLSCLSSLSLCGICNDPPEDAVAAVCGHVFCNQCISEHLTGDDYQCPTANCKVRLGASSVFSNATLNSSLTELPSRETSLNSSGSKVAEVIGPYSEDSLYGSSKIKAALDVLKSLAKPQDDRLKISGCPEGSSGLQSGDSPNGYHEEKIPVTGESLNGSSKVLGEKAIVFSQWTRMLDLFEACLKSSSIQYRRLDGTMSVASRDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQHKKREMVASAFGEDETGVRQSRLTVEDLEYLFMA >KJB57576 pep chromosome:Graimondii2_0_v6:9:13221402:13230043:-1 gene:B456_009G171000 transcript:KJB57576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTGEDNYNGSSGFLSTGYPSADFEFDGLSIDLDDFNRILEETPGSLQSNPEDPSFRNMSQGESAPETNQFQSELLSSEFAFGDLLRYPSEASVARAGSLGGLFDYHRELEPPAHDSLVQTSSASFQDFFSTGKGTSCMERGQMSVLQISSCSTESSFAEIDHNLVLDHGDNLNFDLVDNRNGTESKNIAEEFDNKNALHDLSVENMNFVFDNCGAILTNTLETPEAPENEVAMPMEFSSVDANLTSHNVTSNESSFCHGSDIVSDVSDPCSVLPNCMNGDVGYFAHSSMHCWYNSSNFMFKESKEGEVIEFPTESACSSSRMIFHDQGRTNNGSVPQLSLTDFSDVKQQHFDVKGNGHILSACGKLSYTANDRLFDDNGSVQPFNRIAFCAKNEYDKFVTPGNIFCNSTEGFDRAGWSKSISRVDSLEESKQFLSDVPPISKKVLMVNGKDAHHSHQDINLTVSSQTSLGGVHLEQYLPSSHPITSSMVHFGCFEHEKRSTLIPSRSIGLSKVSPESIHSTSSDCRSHVDNDPDICILEDISQPARSHQSLVLVKKTPSLPNVAFSNPHQNSGMGGIRLKGNDERLIFRVALQGLSQPKSEASPPDGVLTVPLLRHQRIALSWMTQKEKAGSHCWGGILADDQGLGKTVSTIALILNERPPSSRASSQDMTKVGMEMLNLDDDDDDDKDDVGDEEGRKQESDSSQVMSNGASNKSSSPSGQAKGRPAAGTLIVCPTSVLRQWAEELHNKVTSKANLSVLVYHGSNRTKDPFELAKYDVVITTYSIVSMEVPKQPPACGDDDEKGKWESENASSNDFPESRKRKCPSSSNKKGVKHKKGADELLLDSVSRPLAKVGWFRIVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAVYKSFCSAIKIPISKNPAKGYPKLQAILQTIMLRRTKATLLDGKPIINLPPKVIELKKVEFTEEERDFYSRLESDSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVRGFDSNSSQRLSIETAKKLPQERLTFLLSCLSSLSLCGICNDPPEDAVAAVCGHVFCNQCISEHLTGDDYQCPTANCKVRLGASSVFSNATLNSSLTELPSRETSLNSSGSKVAEVIGPYSEDSLYGSSKIKAALDVLKSLAKPQDDRLKISGCPEGSSGLQSGDSPNGYHEEKIPVTGESLNGSSKVLGEKAIVFSQWTRMLDLFEACLKSSSIQYRRLDGTMSVASRDKAVKDFNTLPEVLMASYFVLCFFFFYHEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQHKKREMVASAFGEDETGVRQSRLTVEDLEYLFMA >KJB61916 pep chromosome:Graimondii2_0_v6:9:53036669:53038044:-1 gene:B456_009G391000 transcript:KJB61916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLEARWFIDVYEKNKDKNPIILELAILDYNIVQSTHLEDFRYASTWWKELGLGESLGFARDRIMSNFLWSVGMVITPQDTKSRRIQTKVNALVTCLDDVYDVYGTLDELELLTDVIERFAHMILSTLDNSYQSLWTDLCKAYLLEAKWYYSGYTPTLKEYLDNAWISITGHVMLAHTYLATHHITEEGLRNFQEYYPDIIYHANILVRLANDLGTSSYELKRGDVPKSIQCYMYESGASEEEAREHIWKLIDAEWKKINEDQMAKSPFSRKFIEMAISHARVSLMIYQKDDGFGIEDNEIMDKVLSLFVHPIILPK >KJB54969 pep chromosome:Graimondii2_0_v6:9:4086495:4089957:1 gene:B456_009G056300 transcript:KJB54969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGVVTAQISNLHGATSWKKAIHSPSLGNFNLNTNLACKQLLSSTFRRKTGHNYKSLRVYGLFGGKKENGDEGDGAPSKAGIFGNMQNLYETVKKAQMVVQVEAVKVQKELAAAEFDGYCEGELIKATLSGNQQPIRIEITEAAMELGAEVSMQLLNYF >KJB54970 pep chromosome:Graimondii2_0_v6:9:4086594:4089957:1 gene:B456_009G056300 transcript:KJB54970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGVVTAQISNLHGATSWKKAIHSPSLGNFNLNTNLACKQLLSSTFRRKTGHNYKSLRVYGLFGGKKENGDEGDGAPSKAGIFGNMQNLYETVKKAQMVVQVEAVKVQKELAAAEFDGYCEGELIKVGNIIWKPAAYTH >KJB54968 pep chromosome:Graimondii2_0_v6:9:4086240:4089957:1 gene:B456_009G056300 transcript:KJB54968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGVVTAQISNLHGATSWKKAIHSPSLGNFNLNTNLACKQLLSSTFRRKTGHNYKSLRVYGLFGGKKENGDEGDGAPSKAGIFGNMQNLYETVKKAQMVVQVEAVKVQKELAAAEFDGYCEGELIKATLSGNQQPIRIEITEAAMELGAEKLSFLVNEAYKDAHQKSVQAMKERMSDLAQSLGMPQGLGEGLKQQ >KJB56029 pep chromosome:Graimondii2_0_v6:9:7508675:7511720:-1 gene:B456_009G103800 transcript:KJB56029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 13 [Source:Projected from Arabidopsis thaliana (AT1G07880) UniProtKB/Swiss-Prot;Acc:Q9LQQ9] MENEGTAIDLRGTPTYDGRYVRYNILGNIFEVSSKYVPPIQPVGRGAYGIVCCATNSETKEEVAIKKIANAFDNRIDAKRTLREIKLLCHMDHDNIIKIKDIIIPPEKEKFNDVYIAYELMDTDLHQIIRSSQALTDDHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILMEIIRREPLFSGKDYVQQLGLITQLLGSPEDSDLGFLRSDNARKYVKQLPHFPKQPFAGKFPDVSPLAIDLAEKMLVFDPSKRITVEEALNHPYLSSLHEINEEPTCPSPFVFDFEQMTLNEEDIKELIWRESLNFNQDKMPE >KJB56030 pep chromosome:Graimondii2_0_v6:9:7508780:7511240:-1 gene:B456_009G103800 transcript:KJB56030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 13 [Source:Projected from Arabidopsis thaliana (AT1G07880) UniProtKB/Swiss-Prot;Acc:Q9LQQ9] MDHDNIIKIKDIIIPPEKEKFNDVYIAYELMDTDLHQIIRSSQALTDDHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILMEIIRREPLFSGKDYVQQLGLITQLLGSPEDSDLGFLRSDNARKYVKQLPHFPKQPFAGKFPDVSPLAIDLAEKMLVFDPSKRITVEEALNHPYLSSLHEINEEPTCPSPFVFDFEQMTLNEEDIKELIWRESLNFNQDKMPE >KJB56031 pep chromosome:Graimondii2_0_v6:9:7509311:7510562:-1 gene:B456_009G103800 transcript:KJB56031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 13 [Source:Projected from Arabidopsis thaliana (AT1G07880) UniProtKB/Swiss-Prot;Acc:Q9LQQ9] MDTDLHQIIRSSQALTDDHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILMEIIRREPLFSGKDYVQQLGLITQLLGSPEDSDLGFLRSDNARKYVKQLPHFPKQPFAGKFPDVSPLAIDLAEKMLVFDPSKRITVEEALNHPYLSSLHEINEEPTCPSPFVFDFEQMTLNEEDIKELIWRESLNFNQDKMPE >KJB58448 pep chromosome:Graimondii2_0_v6:9:16305858:16308672:1 gene:B456_009G210600 transcript:KJB58448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLDRLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQVSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >KJB58450 pep chromosome:Graimondii2_0_v6:9:16305858:16308672:1 gene:B456_009G210600 transcript:KJB58450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >KJB58449 pep chromosome:Graimondii2_0_v6:9:16305858:16308673:1 gene:B456_009G210600 transcript:KJB58449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLDRLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >KJB58447 pep chromosome:Graimondii2_0_v6:9:16305858:16308672:1 gene:B456_009G210600 transcript:KJB58447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLDRLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDTHSKTNEQTVQVSEGFLQTLSP >KJB58446 pep chromosome:Graimondii2_0_v6:9:16306292:16308672:1 gene:B456_009G210600 transcript:KJB58446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >KJB59798 pep chromosome:Graimondii2_0_v6:9:22824350:22826996:1 gene:B456_009G272900 transcript:KJB59798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSRFLIPLDSLSLGLFFLLIVRRSCTFSISPLPIAFSDEFVSFLWKFLIFSNISSSHFKFFEDFPSKASLSNLDPITAEEHKSINSIKPKSRKMPLKTFIREFGNISNKSPGRKDNHRRGRSHIAPEAASSSSSSSSSSSSSTLSLAGSSTPIIEQGQWANLPPELLLDIIQRVETKEICWPGRRDVVACASVCKSWREITKEIVKTPEQCGLFTFPISLKQPGPRDAPIQCFIRRERETSTYRLHLGLSPALSGDLSKQLLVAKRVRRATCTDFLIYLKGDDFSKSSNDYIGKLRSNFLGSKFNIFESQPQYDSTAQSSPRSRWKTYLKSVPPKVPTISLNTASISYELNVLRTKGPRRLKCIMYSIPVSSLEEGGTARTPTAFANCPDEDPSPPVDSKGKKPRMGFNSTVCGKPPKSVQSTALALKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAAEPGHNIAVAEQDKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFGTKPVCE >KJB59796 pep chromosome:Graimondii2_0_v6:9:22824912:22826631:1 gene:B456_009G272900 transcript:KJB59796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKTFIREFGNISNKSPGRKDNHRRGRSHIAPEAASSSSSSSSSSSSSTLSLAGSSTPIIEQGQWANLPPELLLDIIQRVETKEICWPGRRDVVACASVCKSWREITKEIVKTPEQCGLFTFPISLKQPGPRDAPIQCFIRRERETSTYRLHLGLSPALSGDLSKQLLVAKRVRRATCTDFLIYLKGDDFSKSSNDYIGKLRSNFLGSKFNIFESQPQYDSTAQSSPRSRWKTYLKSVPPKVPTISLNTASISYELNVLRTKGPRRLKCIMYSIPVSSLEEGGTARTPTAFANCPDEDPSPPVDSKGKKPRMGFNSTVCGKPPKSVQSTALALKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAAEPGHNIAVAEQDKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFGTKPVCE >KJB59797 pep chromosome:Graimondii2_0_v6:9:22824350:22826996:1 gene:B456_009G272900 transcript:KJB59797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSRFLIPLDSLSLGLFFLLIVRRSCTFSISPLPIAFSDEFVSFLWKFLIFSNISSSHFKFFEDFPSKASLSNLDPITAEEHKSINPGRKDNHRRGRSHIAPEAASSSSSSSSSSSSSTLSLAGSSTPIIEQGQWANLPPELLLDIIQRVETKEICWPGRRDVVACASVCKSWREITKEIVKTPEQCGLFTFPISLKQPGPRDAPIQCFIRRERETSTYRLHLGLSPALSGDLSKQLLVAKRVRRATCTDFLIYLKGDDFSKSSNDYIGKLRSNFLGSKFNIFESQPQYDSTAQSSPRSRWKTYLKSVPPKVPTISLNTASISYELNVLRTKGPRRLKCIMYSIPVSSLEEGGTARTPTAFANCPDEDPSPPVDSKGKKPRMGFNSTVCGKPPKSVQSTALALKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAAEPGHNIAVAEQDKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFGTKPVCE >KJB61525 pep chromosome:Graimondii2_0_v6:9:48608390:48609385:-1 gene:B456_009G364100 transcript:KJB61525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNSTMSQSTSFMYESFSDVPTSIDWRKKGAVTPIKSQGNCGITTEEGYPYQPVQETCDTEKQINRVDVIINGYQMVPTNDEQALLKVVANQLVSVAIEGYGQDFRYYSSGVYTGDCGNALSHALTIVGYGTSDEGLDYWLVKNSWEETWGENGYMRIQRNVNTQGRLCGIAMKASYISSIIS >KJB63146 pep chromosome:Graimondii2_0_v6:9:70609286:70613281:1 gene:B456_009G455000 transcript:KJB63146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGMGEEEEASVSGNIKLSEENHPSGGLTIKTTGKDASTLVARDLHSPSLKSSMESSPYNSPSLVSPPSSAFVSALQSPYISPRATILKSQDQENSNPCLVSHPSPPVSSYRGGSQSDDIPSSSYTPPSDQYEYSDDPTDPKLKFVTCVPVPDPGPRISFSFPVPRISFAKAPVSPASNAKLRSCDVFIGFHGQNPNLARFCKWVKSELELQGIACFVADRAKYSDSQSHEIADRIICSVTYGVVVVTSCSFLNHLSLEEIRFFTQKKNLIPVLFDTGPAEIMGLLNCNSIDKECKEAIEGVIKCHEFKLEASQGNWRSCVAKAAAILRAKLGRKSVAEQDFVAELPFPRNRFFVGRDKEIVEIESALFGVAEQDYYCCSMPIIKGEASGQSEGLADEESDNIVSTRGRYINLELGKSKEPSSEPVMGRSSTKRSKFNKSKSDNYKSLGSSVICINGVAGIGKTELALEFAYRYAQRYKMVLWVGGEARYFRQNILTLSVNLGLDVSAQDEKERGRIRSFEEQEFEAFKRVKRELFRDMPYLLIIDNLETEREWWEGKDLHDLIPRNTGGTHVIITTRLPKVMTFDMMQLPPLPLSDAMILVRGRKKKDYSTEELEYLRKFDEKSGRLSFGLWIIGSLLSELPISPSALFEAVNQVSTSLEDASTSPTDEQFFKHNPFLMKILCFCFAVLHQVNGRRNNILASRMLLVGAWFAPSSIPANLLATAAKYMPVAGNRFRRWTKCLSLALGCCGGCGFTTQSDEDCANLLVKLGLARRANGQNGCWIQFHPITQAFAKRKECLSTAKAAVLGIRKTGNPLINSDHLWATAFLVFGFKSEPPIVQLKAIDMVMYIKKTALPLAIRAFTTFSRCNSALELLKVCTNVLEEVEKSFVSQIQDWCQGSLCWRNKLQGKQRVDEYVWQDVTLLKATLLETRAKLLLRGGHFDGGEELCRTCISIRTVMLGHNHAQTLAAQETLANLVRMRSKI >KJB59290 pep chromosome:Graimondii2_0_v6:9:21154294:21154885:-1 gene:B456_009G256600 transcript:KJB59290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNQLCLFLVIFLSIFLLSSLPASVIIPKANVSLPVPSSQLVCLKALSTPKIIVAMDTTQLGTLIMKLGATNAKATLNVYNEIIKKPELVEDPQTKNFDVAVIGPEIANCEKELINTKVQAPPLLAGNRFMKYYVSMGYEVTSTLELENPNEY >KJB62492 pep chromosome:Graimondii2_0_v6:9:65322104:65324249:-1 gene:B456_009G419400 transcript:KJB62492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNNLEESSPLLSKQVSVEEDEKKNLNVNNSTDVAASPAARTGAGFGWTADGLPLVHGSVVGEPMGRSQWDSSLLACLGRNDEFCSSDVEVCLLGSVAPCVLYGSNVERLGSAPGTFANHCLPYSGLYLIGNSFFGWNCLAPWFSYPTRTAIRRKFNLEGTCEALNRSGGCCGSCLEDEVQREQCESACDFATHVFCHACALCHEGRELRRRLPHPGFNAQPVLVMIPPGEQTMGRGD >KJB57995 pep chromosome:Graimondii2_0_v6:9:14554333:14555171:1 gene:B456_009G189300 transcript:KJB57995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMPVNRTQKPSQDPIKKDTQAQTLRMRGFPSWSQEMVAFTLYALELEFEPLYFRWPSPFLASDDALPPE >KJB56750 pep chromosome:Graimondii2_0_v6:9:10131951:10132366:-1 gene:B456_009G134600 transcript:KJB56750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKALDFQFQQQERRKSREKEEEKGIASFSGSENIDKDKKDNDFGSLVDELLLLTEAHEMVIHDVSNLCDIAEAVCDAQE >KJB57398 pep chromosome:Graimondii2_0_v6:9:12426466:12431618:1 gene:B456_009G161800 transcript:KJB57398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMHGEEKRVNREVCSHKCTNFDLNEEASCQDNDYVGKEGEVSVEEEEIEKRSTEEGSSSNNNGGEGGGINDRRRTVRQYVRSKLPRLRWTPDLHLSFVHAVERLGGQDRATPKLVLQLMNVKGLSIAHIKSHLQMYRSKKLDESGQVLRKSNRSIKGRDEIRRMSLQRAAAVSSSPHRRLRMENGGIVLAAESLENNFTLTRFRSPLYQRPLEFKPGFPRHHLEPNSLISKAGVEKNGFPKAGGLLSNEGQSNQIHGMEIRIGGMRRGWVLEEKRWQMICNRWEIEREMSKHTFIGGHYSTSSTQGSNTSTVGQFVSDNLSKFTSEFDAPFLVELNQDKVMDREWLPDLQLRLSQRIGIEDKKNTHCKGTHDINTRLSLS >KJB57399 pep chromosome:Graimondii2_0_v6:9:12427155:12431618:1 gene:B456_009G161800 transcript:KJB57399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMHGEEKRVNREVCSHKCTNFDLNEEASCQDNDYVGKEGEVSVEEEEIEKRSTEEGSSSNNNGGEGGGINDRRRTVRQYVRSKLPRLRWTPDLHLSFVHAVERLGGQDRATPKLVLQLMNVKGLSIAHIKSHLQMYRSKKLDESGQVLRKSNRSIKGRDEIRRMSLQRAAAVSSSPHRRLRMENGGIVLAAESLENNFTLTRFRSPLYQRPLEFKPGFPRHHLEPNSLISKAGVEKNGFPKAGGLLSNEGQSNQIHGMEIRIGGMRRGWVLEEKRWQMICNRWEIEREMSKHTFIGGHYSTSSTQGSNTSTVGQFVSDNLSKFTSEFDAPFLVELNQDKVMDREWLPDLQLRLSQRIGIEDKKNTHCKGTHDINTRLSLS >KJB57400 pep chromosome:Graimondii2_0_v6:9:12428867:12431367:1 gene:B456_009G161800 transcript:KJB57400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMHGEEKRVNREVCSHKCTNFDLNEEASCQDNDYVGKEGEVSVEEEEIEKRSTEEGSSSNNNGGEGGGINDRRRTVRQYVRSKLPRLRWTPDLHLSFVHAVERLGGQDRATPKLVLQLMNVKGLSIAHIKSHLQMYRSKKLDESGQVLRKSNRSIKGRDEIRRMSLQRAAAVSSSPHRRLRMENGGIVLAAESLENNFTLTRFRSPLYQRPLEFKPGFPRHHLEPNSLISKAGVEKNGFPKAGGLLSNEGQSNQIHGMEIRIGGMRRGWVLEEKRWQMICNRWEIEREMSKHTFIGGHYSTSSTQGSNTIPVL >KJB56222 pep chromosome:Graimondii2_0_v6:9:8094615:8096288:-1 gene:B456_009G110800 transcript:KJB56222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSTEEDSKLREYIEKYGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGEFTDEEDRIICSLFASIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMAMSMTSQPHRKPPPFPSSHHTPSVSFSSLSSLYKDCTTYQDPTRSFTAFEPMSSVQSDLLSNNNTTLATNSSLIHTPEALVNYMQYYPVKENFLMFGSESSCTSSDGSSGHISFGREMKQENMSYFQGFCATNGYEDNHNFMLNPGTNNGGKNVNQWAEKPREYNLENDLEDFKRLISSSSNNCCNNNFIDENKTQEKIMYFYY >KJB58072 pep chromosome:Graimondii2_0_v6:9:14835642:14838156:-1 gene:B456_009G193000 transcript:KJB58072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVNGLATVGRALTCGGAVEKRLAQAALMSTCSSSSLNSHIFSQKLADRLGLSRPSLVRRVAGTMLFSVAASSLAQDALAKEKPRSEKFLPKEVVLYQYEACPFCNKVKAFLDYYNIPYKIVEVNPISKKEIKWSDYKKVPILKVDGEQMVDSSDIIDKLFHRINPDSSIPDGEEKKWREWVDNHLVHVLSPNIYRSTSEALESFDYITTHGNFSFTERLVAKYAGAAAMYFVSKKLKKKHNITDERAALYEAAETWVDALDGRHYLGVF >KJB58068 pep chromosome:Graimondii2_0_v6:9:14835025:14838359:-1 gene:B456_009G193000 transcript:KJB58068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVNGLATVGRALTCGGAVEKRLAQAALMSTCSSSSLNSHIFSQKLADRLGLSRPSLVRRVAGTMLFSVAASSLAQDALAKEKPRSEKFLPKEVVLYQYEACPFCNKVKAFLDYYNIPYKIVEVNPISKKEIKWSDYKKVPILKVDGEQMVDSSDIIDKLFHRINPDSSIPDGEEKKWREWVDNHLVHVLSPNIYRSTSEALESFDYITTHGNFSFTERLVAKYAGAAAMYFVSKKLKKKHNITDERAALYEAAETWVDALDGRHYLGGSKPNLADLAVFGVLRPIRYLTSGKDMVEHTRIGEWYGRMENAVGESARIKE >KJB58069 pep chromosome:Graimondii2_0_v6:9:14835386:14838156:-1 gene:B456_009G193000 transcript:KJB58069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVNGLATVGRALTCGGAVEKRLAQAALMSTCSSSSLNSHIFSQKLADRLGLSRPSLVRRVAGTMLFSVAASSLAQDALAKEKPRSEKFLPKEVVLYQYEACPFCNKVKAFLDYYNIPYKIVEVNPISKKEIKWSDYKKVPILKVDGEQMVDSSDIIDKLFHRINPDSSIPDGEEKKWREWVDNHLVHVLSPNIYRSTSEALESFDYITTHGNFSFTERLVAKYAGAAAMYFVSKKLKKKHNITDERAALYEAAETWVDALDGRHYLAGGSKPNLADLAVFGVLRPIRYLTSGKDMVEHTRIGEWYGRMENAVGESARIKE >KJB58071 pep chromosome:Graimondii2_0_v6:9:14835056:14838211:-1 gene:B456_009G193000 transcript:KJB58071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVNGLATVGRALTCGGAVEKRLAQAALMSTCSSSSLNSHIFSQKLADRLGLSRPSLVRRVAGTMLFSVAASSLAQDALAKEKPRSEKFLPKEVVLYQYEACPFCNKVKAFLDYYNIPYKIVEVNPISKKEIKWSDYKKVPILKVDGEQMVDSSDIIDKLFHRINPDSSIPDGEEKKWRGWIITWCMFYHQIYIEVLLRHLNHLIISPLMAISVSQRGW >KJB58070 pep chromosome:Graimondii2_0_v6:9:14835056:14838211:-1 gene:B456_009G193000 transcript:KJB58070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVNGLATVGRALTCGGAVEKRLAQAALMSTCSSSSLNSHIFSQKLADRLGLSRPSLVRRVAGTMLFSVAASSLAQDALAKEKPRSEKFLPKEVVLYQYEACPFCNKVKAFLDYYNIPYKIVEVNPISKKEIKWSDYKKVPILKVDGEQMVDSSDIIDKLFHRINPDSSIPDGEEKKWREWVDNHLVHVLSPNIYRSTSEALESFDYITTHGNFSFTERLVAKYAGAAAMYFVSKKLKKKHNITDERAALYEAAETWVDALDGRHYLGLSDI >KJB62975 pep chromosome:Graimondii2_0_v6:9:69738184:69743295:1 gene:B456_009G446300 transcript:KJB62975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPGRPNFPMRPSATPFASAPPTVRPFSSSGPVVGSESSNVRPAPPGAPPTMTPFSSGGPRPPARFSDPPVPSPPLTSVPPSGGSYQRFVTPPFPLAAQAPPARAPLVGQPPFQPPGSQVSVPPPSFRPQTQVPPVPMGSPPQNVNFPPSSANVPQPPSDSSFSGPRPNFQMASPLPDHSATRSSFQPPFPGYPGKQPAVSQAPSPFPAQQGSFMPPPAPPSPFASQQGSYAPPPPVAANLGYQSRDQMQHPGSAPPTGSIQSLTEDFSSLSISSMPGSIEPGLDYRTLPRPLDGDLEPNSFLEMYPMNCDPRYLRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPEGEEVPVINFASTGIIRCRRCRTYVNPYVTFTDAGRKWRCNICSLLNDVPGEYFANLDATGRRIDLDQRPELLKGSVEFVAPTEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGFPRTQIGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDVFVPLPDDLLVNLSESRNVVETFLDSLPSMFQDNVNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGYGRLKLRGDDIRVYGTDKEHTLRLPEDPFYKQMAADLTKYQIGVNIYAFSDKYTDIASLGTLAKYTGGQVYYYPSFQSNFHGEKLRRELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLSTPTVYFQVALLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLFCRLAIEKTLTSKLEDARNSLQQRIVKALREYRNLYVVQHRLGTRMIYPESLKFLCLYGLALSKSVPLKGGYADAQLDERCAAGFTMMALPVKKLLKLLYPSLIRIDEYLLKPSAQADDFKNIMKRLPLLAESLDSRGLYLYDDGLRFVIWFGRMLSPDIARNLLGPEFAAELSRV >KJB62979 pep chromosome:Graimondii2_0_v6:9:69737157:69743539:1 gene:B456_009G446300 transcript:KJB62979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPGRPNFPMRPSATPFASAPPTVRPFSSSGPVVGSESSNVRPAPPGAPPTMTPFSSGGPRPPARFSDPPVPSPPLTSVPPSGGSYQRFVTPPFPLAAQAPPARAPLVGQPPFQPPGSQVSVPPPSFRPQTQVPPVPMGSPPQNVNFPPSSANVPQPPSDSSFSGPRPNFQMASPLPDHSATRSSFQPPFPGYPGKQPAVSQAPSPFPAQQGSFMPPPAPPSPFASQQGSYAPPPPVAANLGYQSRDQMQHPGSAPPTGSIQSLTEDFSSLSISSMPGSIEPGLDYRTLPRPLDGDLEPNSFLEMYPMNCDPRYLRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPEGEEVPVINFASTGIIRCRRCRTYVNPYVTFTDAGRKWRCNICSLLNDVPGEYFANLDATGRRIDLDQRPELLKGSVEFVAPTEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGFPRTQIGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDVFVPLPDDLLVNLSESRNVVETFLDSLPSMFQDNVNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGYGRLKLRGDDIRVYGTDKEHTLRLPEDPFYKQMAADLTKYQIGVNIYAFSDKYTDIASLGTLAKYTGGQVYYYPSFQSNFHGEKLRRELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLSTPTVYFQVALLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLFCRLAIEKTLTSKLEDARNSLQQRIVKALREYRNLYVVQHRLGTRMIYPESLKFLCLYGLALSKSVPLKGGYADAQLDERCAAGFTMMALPVKKLLKLLYPSLIRIDEYLLKPSAQADDFKNIMKRLPLLAESLDSRGLYLYDDGLRFVIWFGRMLSPDIARNLLGPEFAAELSRANVSI >KJB62976 pep chromosome:Graimondii2_0_v6:9:69737157:69744373:1 gene:B456_009G446300 transcript:KJB62976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPGRPNFPMRPSATPFASAPPTVRPFSSSGPVVGSESSNVRPAPPGAPPTMTPFSSGGPRPPARFSDPPVPSPPLTSVPPSGGSYQRFVTPPFPLAAQAPPARAPLVGQPPFQPPGSQVSVPPPSFRPQTQVPPVPMGSPPQNVNFPPSSANVPQPPSDSSFSGPRPNFQMASPLPDHSATRSSFQPPFPGYPGKQPAVSQAPSPFPAQQGSFMPPPAPPSPFASQQGSYAPPPPVAANLGYQSRDQMQHPGSAPPTGSIQSLTEDFSSLSISSMPGSIEPGLDYRTLPRPLDGDLEPNSFLEMYPMNCDPRYLRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPEGEEVPVINFASTGIIRCRRCRTYVNPYVTFTDAGRKWRCNICSLLNDVPGEYFANLDATGRRIDLDQRPELLKGSVEFVAPTEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGFPRTQIGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDVFVPLPDDLLVNLSESRNVVETFLDSLPSMFQDNVNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGYGRLKLRGDDIRVYGTDKEHTLRLPEDPFYKQMAADLTKYQIGVNIYAFSDKYTDIASLGTLAKYTGGQVYYYPSFQSNFHGEKLRRELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLSTPTVYFQVALLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLFCRLAIEKTLTSKLEDARNSLQQRIVKALREYRNLYVVQHRLGTRMIYPESLKFLCLYGLALSKSVPLKGGYADAQLDERCAAGFTMMALPVKKLLKLLYPSLIRIDEYLLKPSAQADDFKNIMKRLPLLAESLDSRGLYLYDDGLRFVIWFGRMLSPDIARNLLGPEFAAELSRVALTENDNEMSRRLMKMLKRLRESDPSYYQLPYLVRQGEQPREGFLLLVNLLEDQMGGTVGYVDWIMQIHRQVQQNA >KJB62978 pep chromosome:Graimondii2_0_v6:9:69737157:69744373:1 gene:B456_009G446300 transcript:KJB62978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPGRPNFPMRPSATPFASAPPTVRPFSSSGPVVGSESSNVRPAPPGAPPTMTPFSSGGPRPPARFSDPPVPSPPLTSVPPSGGSYQRFVTPPFPLAAQAPPARAPLVGQPPFQPPGSQVSVPPPSFRPQTQVPPVPMGSPPQNVNFPPSSANVPQPPSDSSFSGPRPNFQMASPLPDHSATRSSFQPPFPGYPGKQPAVSQAPSPFPAQQGSFMPPPAPPSPFASQQGSYAPPPPVAANLGYQSRDQMQHPGSAPPTGSIQSLTEDFSSLSISSMPGSIEPGLDYRTLPRPLDGDLEPNSFLEMYPMNCDPRYLRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPEGEEVPVINFASTGIIRCRRCRTYVNPYVTFTDAGRKWRCNICSLLNDVPGEYFANLDATGRRIDLDQRPELLKGSVEFVAPTEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGFPRTQIGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDVFVPLPDDLLVNLSESRNVVETFLDSLPSMFQDNVNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGYGRLKLRGDDIRVYGTDKEHTLRLPEDPFYKQMAADLTKYQIGVNIYAFSDKYTDIASLGTLAKYTGGQVYYYPSFQSNFHGEKLRRELARDLTRETAWEAVMRIRCGKDLLALPAVDCDKAYAMQLSLEETLLSTPTVYFQVALLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLFCRLAIEKTLTSKLEDARNSLQQRIVKALREYRNLYVVQHRLGTRMIYPESLKFLCLYGLALSKSVPLKGGYADAQLDERCAAGFTMMALPVKKLLKLLYPSLIRIDEYLLKPSAQADDFKNIMKRLPLLAESLDSRGLYLYDDGLRFVIWFGRMLSPDIARNLLGPEFAAELSRVALTENDNEMSRRLMKMLKRLRESDPSYYQLPYLVRQGEQPREGFLLLVNLLEDQMGGTVGYVDWIMQIHRQVQQNA >KJB62974 pep chromosome:Graimondii2_0_v6:9:69737071:69744456:1 gene:B456_009G446300 transcript:KJB62974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPGRPNFPMRPSATPFASAPPTVRPFSSSGPVVGSESSNVRPAPPGAPPTMTPFSSGGPRPPARFSDPPVPSPPLTSVPPSGGSYQRFVTPPFPLAAQAPPARAPLVGQPPFQPPGSQVSVPPPSFRPQTQVPPVPMGSPPQNVNFPPSSANVPQPPSDSSFSGPRPNFQMASPLPDHSATRSSFQPPFPGYPGKQPAVSQAPSPFPAQQGSFMPPPAPPSPFASQQGSYAPPPPVAANLGYQSRDQMQHPGSAPPTGSIQSLTEDFSSLSISSMPGSIEPGLDYRTLPRPLDGDLEPNSFLEMYPMNCDPRYLRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPEGEEVPVINFASTGIIRCRRCRTYVNPYVTFTDAGRKWRCNICSLLNDVPGEYFANLDATGRRIDLDQRPELLKGSVEFVAPTEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGFPRTQIGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDVFVPLPDDLLVNLSESRNVVETFLDSLPSMFQDNVNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGYGRLKLRGDDIRVYGTDKEHTLRLPEDPFYKQMAADLTKYQIGVNIYAFSDKYTDIASLGTLAKYTGGQVYYYPSFQSNFHGEKLRRELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLSTPTVYFQVALLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLFCRLAIEKTLTSKLEDARNSLQQRIVKALREYRNLYVVQHRLGTRMIYPESLKFLCLYGLALSKSVPLKGGYADAQLDERCAAGFTMMALPVKKLLKLLYPSLIRIDEYLLKPSAQADDFKNIMKRLPLLAESLDSRGLYLYDDGLRFVIWFGRMLSPDIARNLLGPEFAAELSRVALTENDNEMSRRLMKMLKRLRESDPSYYQLPYLVRQGEQPREGFLLLVNLLEDQMGGTVGYVDWIMQIHRQVQQNA >KJB62980 pep chromosome:Graimondii2_0_v6:9:69737157:69744373:1 gene:B456_009G446300 transcript:KJB62980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPGRPNFPMRPSATPFASAPPTVRPFSSSGPVVGSESSNVRPAPPGAPPTMTPFSSGGPRPPARFSDPPVPSPPLTSVPPSGGSYQRFVTPPFPLAAQAPPARAPLVGQPPFQPPGSQVSVPPPSFRPQTQVPPVPMGSPPQNVNFPPSSANVPQPPSDSSFSGPRPNFQMASPLPDHSATRSSFQPPFPGYPGKQPAVSQAPSPFPAQQGSFMPPPAPPSPFASQQGSYAPPPPVAANLGYQSRDQMQHPGSAPPTGSIQSLTEDFSSLSISSMPGSIEPGLDYRTLPRPLDGDLEPNSFLEMYPMNCDPRYLRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPEGEEVPVINFASTGIIRCRRCRTYVNPYVTFTDAGRKWRCNICSLLNDVPGEYFANLDATGRRIDLDQRPELLKGSVEFVAPTEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGFPRTQIGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDVFVPLPDDLLVNLSESRNVVETFLDSLPSMFQDNVNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGYGRLKLRGDDIRVYGTDKEHTLRLPEDPFYKQMAADLTKYQIGVNIYAFSDKYTDIASLGTLAKYTGGQVYYYPSFQSNFHGEKLRRELARDLTRETAWEAVMRIRWIRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLSTPTVYFQVALLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLFCRLAIEKTLTSKLEDARNSLQQRIVKALREYRNLYVVQHRLGTRMIYPESLKFLCLYGLALSKSVPLKGGYADAQLDERCAAGFTMMALPVKKLLKLLYPSLIRIDEYLLKPSAQADDFKNIMKRLPLLAESLDSRGLYLYDDGLRFVIWFGRMLSPDIARNLLGPEFAAELSRVALTENDNEMSRRLMKMLKRLRESDPSYYQLPYLVRQGEQPREGFLLLVNLLEDQMGGTVGYVDWIMQIHRQVQQNA >KJB62977 pep chromosome:Graimondii2_0_v6:9:69737157:69744373:1 gene:B456_009G446300 transcript:KJB62977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPGRPNFPMRPSATPFASAPPTVRPFSSSGPVVGSESSNVRPAPPGAPPTMTPFSSGGPRPPARFSDPPVPSPPLTSVPPSGGSYQRFVTPPFPLAAQAPPARAPLVGQPPFQPPGSQVSVPPPSFRPQTQVPPVPMGSPPQNVNFPPSSANVPQPPSDSSFSGPRPNFQMASPLPDHSATRSSFQPPFPGYPGKQPAVSQAPSPFPAQQGSFMPPPAPPSPFASQQGSYAPPPPVAANLGYQSRDQMQHPGSAPPTGSIQSLTEDFSSLSISSMPGSIEPGLDYRTLPRPLDGDLEPNSFLEMYPMNCDPRYLRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPEGVKVPVINFASTGIIRCRRCRTYVNPYVTFTDAGRKWRCNICSLLNDVPGEYFANLDATGRRIDLDQRPELLKGSVEFVAPTEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGFPRTQIGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDVFVPLPDDLLVNLSESRNVVETFLDSLPSMFQDNVNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGYGRLKLRGDDIRVYGTDKEHTLRLPEDPFYKQMAADLTKYQIGVNIYAFSDKYTDIASLGTLAKYTGGQVYYYPSFQSNFHGEKLRRELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLSTPTVYFQVALLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLFCRLAIEKTLTSKLEDARNSLQQRIVKALREYRNLYVVQHRLGTRMIYPESLKFLCLYGLALSKSVPLKGGYADAQLDERCAAGFTMMALPVKKLLKLLYPSLIRIDEYLLKPSAQADDFKNIMKRLPLLAESLDSRGLYLYDDGLRFVIWFGRMLSPDIARNLLGPEFAAELSRVALTENDNEMSRRLMKMLKRLRESDPSYYQLPYLVRQGEQPREGFLLLVNLLEDQMGGTVGYVDWIMQIHRQVQQNA >KJB57623 pep chromosome:Graimondii2_0_v6:9:13308458:13310644:1 gene:B456_009G172400 transcript:KJB57623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIVITTGGGPEVLQLQQVEDPELKDDEVLIKVEATALNRADTLQRKGSYPPPKGASPYPGLECSGTIQAVGKNVLGWRVGDQVCALLSGGGYAEKVAVPAGQVLPIPLGVSLKEAAGLPEVACTVWSTVFMMSRLSARETFLVHGGSSGIGTFAIQIAKSKGATVFATAGNEEKLAFCKSLGADLCINYKTEDFVACVKEETGGKGVDVILDCIGAAYLQRNLDSLNFDGRLCIIGLQGGAVTEIKLNTLFPKRLTVQGRAALRPRNPENKAMVVNEVEKNVWPEIVAGKVKPIIYKSFPLSEAGEAHKLMESNEHIGKILLVP >KJB57622 pep chromosome:Graimondii2_0_v6:9:13308458:13310738:1 gene:B456_009G172400 transcript:KJB57622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIVITTGGGPEVLQLQQVEDPELKDDEVLIKVEATALNRADTLQRKGSYPPPKGASPYPGLECSGTIQAVGKNVLGWRVGDQVCALLSGGGYAEKVAVPAGQVLPIPLGVSLKEAAGLPEVACTVWSTVFMMSRLSARETFLVHGGSSGIGTFAIQIAKSKGATVFATAGNEEKLAFCKSLGADLCINYKTEDFVACVKEETGGKGVDVILDCIGAAYLQRNLDSLNFDGRLCIIGLQGGAVTEIKLNTLFPKRLTVQGAALRPRNPENKAMVVNEVEKNVWPEIVAGKVKPIIYKSFPLSEAGEAHKLMESNEHIGKILLVP >KJB57621 pep chromosome:Graimondii2_0_v6:9:13308290:13310644:1 gene:B456_009G172400 transcript:KJB57621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIVITTGGGPEVLQLQQVEDPELKDDEVLIKVEATALNRADTLQRKGSYPPPKGASPYPGLECSGTIQAVGKNVLGWRVGDQVCALLSGGGYAEKVAVPAGQVLPIPLGVSLKEAAGLPEVACTVWSTVFMMSRLSARETFLVHGGSSGIGTFAIQIAKSKGATVFATAGNEEKLAFCKSLGADLCINYKTEDFVACVKEETGGKGVDVILDCIGAAYLQRNLDSLNFDGRLCIIGLQGGAVTEIKLNTLFPKRLTVQGAALRPRNPENKAMVVNEVEKNVWPEIVAGKVKPIIYKSFPLSEAGEAHKLMESNEHIGKILLVP >KJB57624 pep chromosome:Graimondii2_0_v6:9:13308290:13310644:1 gene:B456_009G172400 transcript:KJB57624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIVITTGGGPEVLQLQQVEDPELKDDEVLIKVEATALNRADTLQRKGSYPPPKGASPYPGLECSGTIQAVGKNVLGWRVGDQVCALLSGGGYAEKVAVPAGQVLPIPLGVSLKEAAGLPEVACTVWSTVFMMSRLSARETFLVHGGSSGIGTFAIQIAKSKGATVFATAGNEEKLAFCKSLGADLCINYKTEDFVACVKEETGGKGVDVILDCIGAAYLQRNLDSLNFDGRLCIIGLQGGAVTEIKLNTLFPKRLTVQGAALRPRNPENKAMVVNEVEKNVWPEIVAGKVKPIIYKSFPLSEAGEAHKLMESNEHIGKILLVP >KJB56401 pep chromosome:Graimondii2_0_v6:9:8726921:8730175:1 gene:B456_009G118100 transcript:KJB56401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSHSDTIPLHPSSQSDIDEIENLINASVQSGPATVLPARPPSPPRASIPVSSSPFIQSKIPPPPPQSSSSSNHKVPSVPAAPPPPPPVGNSSSIVATGFGPPPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDIGALICLLKDNVIIKMIVVSVTLAWSSWAAYPFMSSAVNPRRKALALYPVFLMYVSVGFLIIAIN >KJB56402 pep chromosome:Graimondii2_0_v6:9:8727053:8729992:1 gene:B456_009G118100 transcript:KJB56402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSHSDTIPLHPSSQSDIDEIENLINASVQSGPATVLPARPPSPPRASIPVSSSPFIQSKIPPPPPQSSSSSNHKVPSVPAAPPPPPPVGNSSSIVATGFGPPPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAIILTLNVLLLVTAFLL >KJB60281 pep chromosome:Graimondii2_0_v6:9:26055519:26058120:1 gene:B456_009G297800 transcript:KJB60281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHIYFSLVFFLFSVAQGLNPKCETQDHGSNLQVFHIYSPCSPFKPSKPLSWEEDVLQTQAKDQARLQYLSSLVAKKSVVPIASGRQIVQSPTYIVRANIGTPPQTLLMAMDTSNDAAWIPCTGCLGCSSTVFDNAKSTTFQSLGCQAPQCKQVQNPSCDGSGNTCIFNMTYGGSTIAANLSQDTFTLANDSVSSYTFGCLQKTTGNSVPPQGLLGLGRGPLSLLSQTQDLYQATFSYCLPNFRSANFSGSLRLGPVGQPVRIKYTPLLKNPRRPSLYFVNLIGIRVGNKVVDIPPSAIAFNPTTGAGTIIDSGTVFTRLVEPAYVAVRDEFRRRVKVANVTSLGGFDTCYTVPIVAPTITFMFTSMNVTLPQDNLLIHSTAGSITCLAMASAPDNVNSVLNVIANMQQQNHRILFDVPNSRLGVARELCT >KJB61581 pep chromosome:Graimondii2_0_v6:9:49329628:49332296:1 gene:B456_009G367900 transcript:KJB61581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAAGRKPWEAFRPDGVIIFSDILTPLPAFGVPFDIEEVRGPVIQSPIRSEDDLKALHPIDLEKLHFVGESLKILRQEVGGHAAVLGFVGAPWTIATYIVEGGTTRTYTTIKSMCHTAPNLLRTLLSHLTKAISEYIIYQVESGAHCIQIFDSWGGQLPPDMWEQWSKPYITEIVSVVRNKCPKTPLVLYINGNGGLLERMKGTGVDVIGLDWTVDMADARKRLGSDISVQGNVDPAYLFSPLSAVTKEIQRVVKCAGPRGHILNLGHGVLVGTPEEAVAHFFDVSKSLNYDGSSQNHSMEESKLLV >KJB61580 pep chromosome:Graimondii2_0_v6:9:49329435:49332639:1 gene:B456_009G367900 transcript:KJB61580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSSLTSACSSLGVKSSSLIVQLGFQTTENNKFPGAFVSSPKRTKINKFSIACSSTDPLLVKAARGDPVSRPPAWMMRQAGRYMAVYRKLAEKHPSFRERSETTDLIVEISLQPWEAFRPDGVIIFSDILTPLPAFGVPFDIEEVRGPVIQSPIRSEDDLKALHPIDLEKLHFVGESLKILRQEVGGHAAVLGFVGAPWTIATYIVEGGTTRTYTTIKSMCHTAPNLLRTLLSHLTKAISEYIIYQVESGAHCIQIFDSWGGQLPPDMWEQWSKPYITEIVSVVRNKCPKTPLVLYINGNGGLLERMKGTGVDVIGLDWTVDMADARKRLGSDISVQGNVDPAYLFSPLSAVTKEIQRVVKCAGPRGHILNLGHGVLVGTPEEAVAHFFDVSKSLNYDGSSQNHSMEESKLLV >KJB59914 pep chromosome:Graimondii2_0_v6:9:23756703:23761190:1 gene:B456_009G280800 transcript:KJB59914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITIIYGEFLFFHELSRDWIDRKVVYSTLLLYRRQLVLRYLSISSSVLTNKKVIKMSRPLHRGAPAIRVTSSSNDLLDSQVKEQTEKEEFDRNQSSHRSRHRLTMLLLKSSLVVIVILALTGSFWWTLSISTSSRGHIFHSSRRLQEQLVSDLWDIGELSFGTSRMKEIEFCPGELENYIPCFNVSENLDSGFSDGNEYDRVCRQGSRQNCLVLPPMNYKIPLRWPTGRDIIWVANVKITGQEVFTSGSLTKRMMMLEEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGIRTILDIGCGYGSFGAHLFSEQILTICIANYESSGSQVQLTLERGLPAMIGSFSSKQLPYPSLSFDLLHCARCGIDWDKKDGTFLIEVDRVLRPGGYFVWTSPLINVQSSLRNKEKQKRWNFVRDFAENLCWELMSQQDETVVWKKTSKKTCYNSRKSGSGPSICSKVQDVEFPYYRPLQNCIGGMHSRRWVPIEERATWPSRSNLNRSELALYGLHLDELNEDTANYRIIVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNARYGGLNAALLEARKSVWVMNVVPTTGPKYLPLILDRGYFGVLHDWCEAFPTYPRTYDMVHAEGLLSLESSQHWRCTMVDIFTEIDRMLRPEGWIIIRDTALLIDSARVLTRRLKWEARVIEIESNNDERLLICQKPFFKRQPS >KJB59917 pep chromosome:Graimondii2_0_v6:9:23756880:23760293:1 gene:B456_009G280800 transcript:KJB59917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLHRGAPAIRVTSSSNDLLDSQVKEQTEKEEFDRNQSSHRFPFWSLFSDNSPLTKYDATDNGFASDPFTVGTPRSRHRLTMLLLKSSLVVIVILALTGSFWWTLSISTSSRGHIFHSSRRLQEQLVSDLWDIGELSFGTSRMKEIEFCPGELENYIPCFNVSENLDSGFSDGNEYDRVCRQGSRQNCLVLPPMNYKIPLRWPTGRDIIWVANVKITGQEVFTSGSLTKRMMMLEEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGIRTILDIGCGYGSFGAHLFSEQILTICIANYESSGSQVQLTLERGLPAMIGSFSSKQLPYPSLSFDLLHCARCGIDWDKKDGTFLIEVDRVLRPGGYFVWTSPLINVQSSLRNKEKQKRWNFVRDFAENLCWELMSQQDETVVWKKTSKKTCYNSRKSGSGPSICSKVQDVEFPYYRPLQNCIGGMHSRRWVPIEERATWPSRSNLNRSELALYGLHLDELNEDTANYRIIVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNARYGGLNAALLEARKSVWVMNVVPTTGPKYLPLILDRGYFGVLHDW >KJB59919 pep chromosome:Graimondii2_0_v6:9:23757067:23761190:1 gene:B456_009G280800 transcript:KJB59919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKMSRPLHRGAPAIRVTSSSNDLLDSQVKEQTEKEEFDRNQSSHRSRHRLTMLLLKSSLVVIVILALTGSFWWTLSISTSSRGHIFHSSRRLQEQLVSDLWDIGELSFGTSRMKEIEFCPGELENYIPCFNVSENLDSGFSDGNEYDRVCRQGSRQNCLVLPPMNYKIPLRWPTGRDIIWVANVKITGQEVFTSGSLTKRMMMLEEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGIRTILDIGCGYGSFGAHLFSEQILTICIANYESSGSQVQLTLERGLPAMIGSFSSKQLPYPSLSFDLLHCARCGIDWDKKDGTFLIEVDRVLRPGGYFVWTSPLINVQSSLRNKEKQKRWNFVRDFAENLCWELMSQQDETVVWKKTSKKTCYNSRKSGSGPSICSKVQDVEFPYYRPLQNCIGGMHSRRWVPIEERATWPSRSNLNRSELALYGLHLDELNEDTANYRIIVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNARYGGLNAALLEARKSVWVMNVVPTTGPKYLPLILDRGYFGVLHDWCEAFPTYPRTYDMVHAEGLLSLESSQHWRCTMVDIFTEIDRMLRPEGWIIIRDTALLIDSARVLTRRLKWEARVIEIESNNDERLLICQKPFFKRQPS >KJB59916 pep chromosome:Graimondii2_0_v6:9:23756880:23759362:1 gene:B456_009G280800 transcript:KJB59916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLHRGAPAIRVTSSSNDLLDSQVKEQTEKEEFDRNQSSHRFPFWSLFSDNSPLTKYDATDNGFASDPFTVGTPRSRHRLTMLLLKSSLVVIVILALTGSFWWTLSISTSSRGHIFHSSRRLQEQLVSDLWDIGELSFGTSRMKEIEFCPGELENYIPCFNVSENLDSGFSDGNEYDRVCRQGSRQNCLVLPPMNYKIPLRWPTGRDIIWVANVKITGQEVFTSGSLTKRMMMLEEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGIRTILDIGCGYGSFGAHLFSEQILTICIANYESSGSQVQLTLERGLPAMIGSFSSKQLPYPSLSFDLLHCARCGIDWDKKDGTFLIEVDRVLRPGGYFVWTSPLINVQSSLRNKEKQKRWNFVRDFAENLCWELMSQQDETVVWKKTSKKTCYNSR >KJB59918 pep chromosome:Graimondii2_0_v6:9:23756880:23761113:1 gene:B456_009G280800 transcript:KJB59918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLHRGAPAIRVTSSSNDLLDSQVKEQTEKEEFDRNQSSHRFPFWSLFSDNSPLTKYDATDNGFASDPFTVGTPRSRHRLTMLLLKSSLVVIVILALTGSFWWTLSISTSSRGHIFHSSRRLQEQLVSDLWDIGELSFGTSRMKEIEFCPGELENYIPCFNVSENLDSGFSDGNEYDRVCRQGSRQNCLVLPPMNYKIPLRWPTGRDIIWVANVKITGQEVFTSGSLTKRMMMLEEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGIRTILDIGCGYGSFGAHLFSEQILTICIANYESSGSQVQLTLERGLPAMIGSFSSKQLPYPSLSFDLLHCARCGIDWDKKDGTFLIEVDRVLRPGGYFVWTSPLINVQSSLRNKEKQKRWNFVRDFAENLCWELMSQQDETVVWKKTSKKTCYNSRKSGSGPSICSKVQDVEFPYYRPLQNCIGGMHSRRWVPIEERATWPSRSNLNRSELALYGLHLDELNEDTANYRIIVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNARYGGLNAALLEARKSVWVMNVVPTTGPKYLPLILDRGYFGVLHDWCEAFPTYPRTYDMVHAEGLLSLESSQHWRCTMVDIFTEIDRMLRPEGWIIIRDTALLIDSARVLTRRLKWEARVIEIESNNDERLLICQKPFFKRQPS >KJB59915 pep chromosome:Graimondii2_0_v6:9:23756789:23761224:1 gene:B456_009G280800 transcript:KJB59915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITIIYGEFLFFHELSRDWIDRKVVYSTLLLYRRQLVLRYLSISSSVLTNKKVIKMSRPLHRGAPAIRVTSSSNDLLDSQVKEQTEKEEFDRNQSSHSRHRLTMLLLKSSLVVIVILALTGSFWWTLSISTSSRGHIFHSSRRLQEQLVSDLWDIGELSFGTSRMKEIEFCPGELENYIPCFNVSENLDSGFSDGNEYDRVCRQGSRQNCLVLPPMNYKIPLRWPTGRDIIWVANVKITGQEVFTSGSLTKRMMMLEEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGIRTILDIGCGYGSFGAHLFSEQILTICIANYESSGSQVQLTLERGLPAMIGSFSSKQLPYPSLSFDLLHCARCGIDWDKKDGTFLIEVDRVLRPGGYFVWTSPLINVQSSLRNKEKQKRWNFVRDFAENLCWELMSQQDETVVWKKTSKKTCYNSRKSGSGPSICSKVQDVEFPYYRPLQNCIGGMHSRRWVPIEERATWPSRSNLNRSELALYGLHLDELNEDTANYRIIVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNARYGGLNAALLEARKSVWVMNVVPTTGPKYLPLILDRGYFGVLHDWCEAFPTYPRTYDMVHAEGLLSLESSQHWRCTMVDIFTEIDRMLRPEGWIIIRDTALLIDSARVLTRRLKWEARVIEIESNNDERLLICQKPFFKRQPS >KJB56599 pep chromosome:Graimondii2_0_v6:9:9554298:9556126:-1 gene:B456_009G126800 transcript:KJB56599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydrogenase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15710) UniProtKB/Swiss-Prot;Acc:Q9LMR3] MLIFSPTTIRSVNPQPLPYLSFSHSISLPLPLTSLPTPSKSPHFPSFHINCIDAAQPFDYESHLKNRYIQSTSLKIAIIGFGNFGQFLSKTFLRHHTLLAHSRTNYADLANHLGVSFYADPHDLFEQHPDVVLLSTSILSTETLLQKLPFQRLRRNTLFVDVLSVKEFPRNLFLKYLPPDFDILCTHPMFGPESGKTSWAGLPFVYDKVRIGDEESRIKRCDKFLDIFEKEGCRMVEMSCMEHDKYAAGSQFVTHTMGRVLEKFGLESSPINTKGTLWSSWKGWIWHSSRLRRTCLGGSIRFIGSNCLGIIMERWRRRGVWLSSCLAMEV >KJB56598 pep chromosome:Graimondii2_0_v6:9:9552982:9556046:-1 gene:B456_009G126800 transcript:KJB56598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydrogenase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15710) UniProtKB/Swiss-Prot;Acc:Q9LMR3] MLIFSPTTIRSVNPQPLPYLSFSHSISLPLPLTSLPTPSKSPHFPSFHINCIDAAQPFDYESHLKNRYIQSTSLKIAIIGFGNFGQFLSKTFLRHHTLLAHSRTNYADLANHLGVSFYADPHDLFEQHPDVVLLSTSILSTETLLQKLPFQRLRRNTLFVDVLSVKEFPRNLFLKYLPPDFDILCTHPMFGPESGKTSWAGLPFVYDKVRIGDEESRIKRCDKFLDIFEKEGCRMVEMSCMEHDKYAAGSQFVTHTMGRVLEKFGLESSPINTKGYETLLNLVENTKGDSFDLYYGLFMYNQNALEQLERLDMAFESIKKDLFGRLHQVYRKQLFGDNNGEVEKKRSLAQQLLGNGSLTESPLDNVRQDGS >KJB53965 pep chromosome:Graimondii2_0_v6:9:1119805:1122693:1 gene:B456_009G013800 transcript:KJB53965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLKAGTRPPWVGLGAAVWVQIASGNAYNFPLYSHSLKSVLGFNQHQLTMLGVANDIGENVGLLPGIACNKFPPWVILLIGSFACILGYGVLWLAVSKTVLYLPYWLLWLALCVATNSSAWLSTAVLVTNMRNFPVSRGTVAGILKGYAGLSAAVFTEIYSTILRKSSANLLMFLSFGVPILCFVMMYFVRACTPAFGEDSAEHGHFLFIQVASVVLGLYVLTTTILDPIFHFSAEVSYAILVGMVVLLMAPLAIPVKMTFCPLRINQPEIRNQQVASSDETLQGEGNADKTEPLLKSSESSTYLGSFREGDEASEVAMLLAVGEGAVKKKRRPKRGEDFKFTEAVIKADFWLLFLVYFTGVGSGVTVLNNLAQIGIAQGIHSTTILLSLFSFCNFIGRLGGGVVSEHFVR >KJB53964 pep chromosome:Graimondii2_0_v6:9:1119742:1122702:1 gene:B456_009G013800 transcript:KJB53964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCHPIFRQNFRPSLLFKKKKLFFSFLFFSLHFPGPLKIHVSLSPQDNETHKNLLGVQIHHKTSMANLKAGTRPPWVGLGAAVWVQIASGNAYNFPLYSHSLKSVLGFNQHQLTMLGVANDIGENVGLLPGIACNKFPPWVILLIGSFACILGYGVLWLAVSKTVLYLPYWLLWLALCVATNSSAWLSTAVLVTNMRNFPVSRGTVAGILKGYAGLSAAVFTEIYSTILRKSSANLLMFLSFGVPILCFVMMYFVRACTPAFGEDSAEHGHFLFIQVASVVLGLYVLTTTILDPIFHFSAEVSYAILVGMVVLLMAPLAIPVKMTFCPLRINQPEIRNQQVASSDETLQGEGNADKTEPLLKSSESSTYLGSFREGDEASEVAMLLAVGEGAVKKKRRPKRGEDFKFTEAVIKADFWLLFLVYFTGVGSGVTVLNNLAQIGIAQGIHSTTILLSLFSFCNFIGRLGGGVVSEHFVRSKTVPRTLWMTCTQIIMVITYLLFASAISGTLYAATALLGICYGVQFSIMIPTVSELFGLKHFGIFYNFMSLGNPLGAFLFSGLLAGYIYDNEAAKQHGLGLITSSISCIGPNCFRLTFLILAGICGVGSVASIILTKRIWPVYQMLYGGGSFRLPQTSTY >KJB58194 pep chromosome:Graimondii2_0_v6:9:15374715:15379044:1 gene:B456_009G198600 transcript:KJB58194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLCFCSLISPCPSPLIYDSNFPWNLNLNRSVSPLTFSTHGNDRSFVARVAQRGYEVGNISLQSPTIEAEEENEVVDELVNGSVVAESVEEDTPRSVRVRKKRDDGDGSDDESFEDRFKLRNGREVFEEKAYLVGVERKGETSDSFAIEESLKELAQLADTAGLMVVGSTYQKLASPNPRTYIGSGKVAEIKSAIRAFEVETVIFDDELSPGQLRNLEKAFGGDVRVCDRTALILDIFNQRAATHEAALQVALAQMEYQLPRLTKMWTHLERQAGGKVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRNRRFSVPVPVVSLVGYTNAGKSTLLNQLTGANVLSEDRLFATLDPTTRRVQMKNGSEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLLVHVVDISHPLAEQQIDAVEKVLAELDVSEIPKLMVWNKVDKVTDPEKIKLEAERREDIVCISALTGEGLLEFCTAVQEKLKDSMVPVEAFVPFDKGELLSTIHQVGMVEKTEYTENGTFVKAFVPLRFARLLTPMRQLCKS >KJB62500 pep chromosome:Graimondii2_0_v6:9:65473871:65476456:1 gene:B456_009G420100 transcript:KJB62500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALSEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELEKEPKFLKNGDAGMIKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKSAAKKK >KJB62499 pep chromosome:Graimondii2_0_v6:9:65474539:65476445:1 gene:B456_009G420100 transcript:KJB62499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALSEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELEKEPKFLKNGDAGMIKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKSAAKKK >KJB60082 pep chromosome:Graimondii2_0_v6:9:24787370:24788923:1 gene:B456_009G288900 transcript:KJB60082 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB3 [Source:Projected from Arabidopsis thaliana (AT1G22640) UniProtKB/TrEMBL;Acc:A0A178WFN1] MGRSPCCEKAHTNKGAWTKEEDQRLIDYIRLHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFSEAEDELIIKLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLISRGIDPQTHGPLNQPTNTNKSTELDFRNVPKASKSNFAPNPSRDFNFNEFQVKAKAESIEEGTSSSSGMTTDEEQQQQQEQDKYAGNSQELDLELSIGISSSGKNNNSTGVSTANSAESKRLLDKSNFQFLGQAMAAKAVCLCCQLGFGTSEICRNCQSTNGFNTYC >KJB54133 pep chromosome:Graimondii2_0_v6:9:1683800:1687596:1 gene:B456_009G022200 transcript:KJB54133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPFTTPKFSHFKLSKTIKSFNSNEPIHLTQLNGTTRNHHTLYKSYFHTISSLCKDGEIQQAVDLLTEMDSKNLSVGPEIYGEILQGCVYERDLFTGQQIHAQVLKHGAFFARNEYIETKLVIFYAKCGAFDVANNLFSRLRVKNVFSWAAIIGLKCRIGLNEEALMGFSEMQENGFLPDNFVVPNALKACGALLWLGYGKGVHGHVVKVGFDGCVFVASSLIDMYGKCGALEDARKVFDAMIERNVIAWNSMIVGYMQNGMNEQAIGVFHEMRMEGVEPSQVSISSFLSASANLGAIGEGKQGHAIAVLHGFELDSILGSSVLNFYSKVGLIDDANLVFDKMLEKDVVTWNLIISSYLQSGLIDKALDMCHLMRSENLRFDCVTLSSILTAAANSSNIKLGKEGHCYCIRNSLQSDVVVASSIVDMYAKCGRIDYAGHVFSSTNNKDIILWNTLLAAYANVGHSGEALKLFYQMQLESVPPNVASWNSVILGFIRNSQLNEAKEFFSQMQLLGVHPNLITWTTLITGLSHNGFHDEALQVFQEMQESGIKPNTVSISSALSACTNVTSLQHGRAIHGYAIRHDLGSQISVSTALVDMYAKCGCLSRAKRVFDHISSNELPVYNAMISAYALHGQAGEALAVYKNLKEAGIEPDGITFTSVLSACSHTGLVNEGLEIFIEMASKHHLSPSMEHYGCVVSLLSRSGRLDEAFRLILTMPFEPDAHIIGQLIAKCREHNEIELVEHLSKYLLELEPDNSGNYVAISNAYATAGRWDDVSEIRDLMKEKGLKKSPGCSWIQIGEKLHSFIAGDGSHPNTEDIQATLALLGIDMKSSA >KJB62141 pep chromosome:Graimondii2_0_v6:9:58434766:58436131:-1 gene:B456_009G402700 transcript:KJB62141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSAELESILPYLPLVIGSSRRLLWPSKVVEALEAMSRGPDHSRVNCGEVLYIAISDMRASLSLADPLSLSAPLGYALFFDELMSEADSRKWFAEDIPKLANLLLRLPSLLEVHYQNSRAYGYGLRILGPQQPGMVLLSQELIGALLACSLFCLFPISNRGLKHLPTINFDQLFAYFSSLLLSSLYDSYSESQENKRKLLSLEYHPWQSFLSSPYADFWTKSTIPLCRFQVHSSGLIEDHAIEALEVDFANKYLGGGALHRGCVQV >KJB62140 pep chromosome:Graimondii2_0_v6:9:58428582:58436272:-1 gene:B456_009G402700 transcript:KJB62140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSAELESILPYLPLVIGSSRRLLWPSKVVEALEAMSRGPDHSRVNCGEVLYIAISDMRASLSLADPLSLSAPLGYALFFDELMSEADSRKWFAEDIPKLANLLLRLPSLLEVHYQNSRAYGYGLRILGPQQPGMVLLSQELIGALLACSLFCLFPISNRGLKHLPTINFDQLFASLYDSYSESQENKVRCIICYFQRICYRICLI >KJB62912 pep chromosome:Graimondii2_0_v6:9:69495272:69498719:1 gene:B456_009G443500 transcript:KJB62912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTTREPLMDRSTRFQRTISHAQDELHSFRTYLRWMCVDQSNIWTASLSWFLFIVLGLVVPCLSHFFLACSSCDAKHARPYDWVVQLSLSSVSTMSFVCLTSFVKKYGLKRFLFFDKLCNESEIVRKGYTAKLNRSLKIVSSFVIPCFLAETAYKVWWYASGASQIPFLGIVWLSDSVACFMELCSWLYRTTVFFLVCVLFHLVCNLQVLRIQDFAQVFQVDSDVGSVLSEHLRIRRHLRIISHRYRSFILWCLILVTGSQFTSLLVTLKATSELNIYKAGELLMCSLTLVTGLCILLRSATKITHKAQSVTCLASKWHVCATLDSFDVNDGETPRTPAIHVRQSFPNVGTDGESESEDVGEEEDDLDNNKLIPAYAYSTISYQKRHALVTYFENNRAGITIYGFMLDRSTLHTIFGIELSLVLWLLSKTIGIS >KJB55760 pep chromosome:Graimondii2_0_v6:9:6774493:6776694:-1 gene:B456_009G092600 transcript:KJB55760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSTPLPSLPRYSTSLTVNNDHDHPVFLHIKQCTNSSQLGQIHAHMLRTGLFFDPYAASKLFAASALLPFSSLDYARKVFDQIPKPNLHSWNTLIRVCASSSEPLQGILIFLRMVHESPYYPNKFTFPFVIKAAAEIFSLCVGQALHGLAIKASLGADVFISNSLIHLYLSCGDLDSAYRVFTMIGEKDVVSWNSMITGLAQRGCAEKAFQLFQEMEEEGVKPNDVTMVGVLSACAKKLDLELGRWVCSYIERKGIRVDLTLSNAMLDMYTKCGSLEDAKRLFDMMEEKDIVTWTTMLDGYAKLGEYETAKQVLDTMPRQDIAAWNALISGYEQNGKSKEALSLYHELQQSQIAKPDGITLVSALSACAQLGAMGIGECIHAYVKEQGIKLNCHLTTSLIDMYSKCGDIKKALDVFYSVDRRDVFVWSAMIAGLAMHGQGRDAIDLFSRMQETMIKPNSVTFTNVLCACSHAGLVKEGKTFFNQMEPVYGIRPGIQHYSCMVDILGRAGLLEEAVEFIEKMPILPSDSVWGALLGACQIHGNVELAELACRHLLELDPENNGAYVLLSNVYAKTGKWDGVSRLRKHMRVTGLKKEQGCSRIEVNGVIHEFLAGDNCHPLSKEIYSKLDEIVVRLKSAGYVPNKSHLLQLIEEDEMQEHALNLHSEKLAIAFGLQYVEVSQPIRIIKNLRVCGDCHSVAKLVSRLYNREIILRDRYRFHHFSGGNCSCKDYW >KJB56614 pep chromosome:Graimondii2_0_v6:9:9618231:9629371:1 gene:B456_009G127700 transcript:KJB56614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNNNNNNAQIAPPGTGGSTIPPPPAAQPSYTVLAPHMTPQEAEAKLEEKARKWMQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGSMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVYAWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLCVYHTPMVMYIKTEDPDLPAFYYDPLIHPITATNKERREKKVYDDEDEDDFVLPEGVEPLLSDTQLYTDTTAAGISLLFAPRPFNMRSGRVRRAEDIPLVSDWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWVEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSEGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDRVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDMFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYLYGISPPDNPQVKEIRCIAMPPQWGTHQQVHLPSALPEHDFLNDLEPLGWLHTQPNELPQLSPQDVTSHARILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRINKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMIPDNGPWNYNFMGVKHTVSMKYGVKLGTPREYYNEDHRPTHFLEFSNLEEGETAEGDREDTFT >KJB56615 pep chromosome:Graimondii2_0_v6:9:9618305:9629331:1 gene:B456_009G127700 transcript:KJB56615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNNNNNNAQIAPPGTGGSTIPPPPAAQPSYTVLAPHMTPQEAEAKLEEKARKWMQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGSMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVYAWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLCVYHTPMVMYIKTEDPDLPAFYYDPLIHPITATNKERREKKVYDDEDEDDFVLPEGVEPLLSDTQLYTDTTAAGISLLFAPRPFNMRSGRVRRAEDIPLVSDWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWVEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSEGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDRVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDMFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYFGVLISKFIFHQLFLNMIS >KJB61539 pep chromosome:Graimondii2_0_v6:9:49036731:49037316:1 gene:B456_009G366300 transcript:KJB61539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETCDTQINEVATISGYQMVPKNDEEALLKAVANQPVSVALEGYGRDFQLYDGGVFTGDCGNSLTHAVTIVGYGTSKKGLNYWLIKNSWGQRWGENGHMKIQRNVERQGGLCGIAMKASYPTA >KJB60580 pep chromosome:Graimondii2_0_v6:9:29298716:29298989:1 gene:B456_009G3135002 transcript:KJB60580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPIRAREALGFILFSLLFSICRSSSLNHLLISNAERR >KJB54883 pep chromosome:Graimondii2_0_v6:9:3798322:3801745:1 gene:B456_009G052800 transcript:KJB54883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEVEEMEKARIRKRPRLGWDVAPSRPEAQRALVTSRRSSPPKRDDDHEGHYVFNLGENLTPRYKILSKMGEGTFGRVLECWDRQNREYVAIKVVRSIRKYRDAAMIEVDVLEHLAKNDKGTSHFVQIRNWFDYRNHICIVFERLGPSLFDFLKRNKYCPFPVDLVREFGRQLLESVAYMHDLRLIHTDLKPENILLVSSEYVKLPGCKRSSSDETHFRCLPKSSAIKLIDFGSTAFDNQNHSSIVSTRHYRAPEIILGLGWSYPCDLWSVGCILIELCTGEALFQTHENLEHLAMMERVLGPLPEHMIRRANRGAEKYFRRGSRLNWPEGAVSRESIRAVRKLDRLKNMVSQYVESSRYSLADLLEGLLKYDPSERLTARQALNHPFFRYPN >KJB54882 pep chromosome:Graimondii2_0_v6:9:3798488:3800982:1 gene:B456_009G052800 transcript:KJB54882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEVEEMEKARIRKRPRLGWDVAPSRPEAQRALVTSRRSSPPKRDDDHEGHYVFNLGENLTPRYKILSKMGEGTFGRVLECWDRQNREYVAIKVVRSIRKYRDAAMIEVDVLEHLAKNDKGTSHFVQIRNWFDYRNHICIVFERLGPSLFDFLKRNKYCPFPVDLVREFGRQLLESVAYMHDLRLIHTDLKPENILLVSSEYVKLPGCKRSSSDETHFRCLPKSSAIKLIDFGSTAFDNQNHSSIVSTRHYRAPEIILGLGWSYPCDLWSVGCILIELCTGEALFQTHENLEHLAMMERVLGPLPEHMIRRAK >KJB59113 pep chromosome:Graimondii2_0_v6:9:19090412:19090717:-1 gene:B456_009G239500 transcript:KJB59113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKKVNKAPKIQRLATPLTLQKKLTRIAEKKKRITKAKAEAEYQKLLAMRLKEQRERGCESLAKRRSKLSAASKPSVVA >KJB57991 pep chromosome:Graimondii2_0_v6:9:14542782:14543294:-1 gene:B456_009G189000 transcript:KJB57991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLIDLRYTFPPLLNLIWFFLFVASQNEPSFDLLAGALIGQQVSTMMLGFLALFVAIILMVIFLFFSVEFPLTPHPISLKARGISIALFISLLASIFFPPSSFWVTYLFIILTVPWHNRLFHQFIRFIRYFSLTIQSIPTIVIYTTQNNVHENPAQAPPPPPQVLELEV >KJB53374 pep chromosome:Graimondii2_0_v6:9:36388946:36389326:-1 gene:B456_009G3363001 transcript:KJB53374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPMEFVRDTDDKGSAMEVDGVDTPEIFGEGAIASDNKLAYADFFNNFEDDFDDSNID >KJB53372 pep chromosome:Graimondii2_0_v6:9:36388967:36389149:-1 gene:B456_009G3363001 transcript:KJB53372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPMEFVRDTDDKGSAMEVDGVDTPEIFGEGAIASDNKLAYADFFNNFEDDFDDSNID >KJB53373 pep chromosome:Graimondii2_0_v6:9:36388629:36389326:-1 gene:B456_009G3363001 transcript:KJB53373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPMEFVRDTDDKGSAMEVDGVDTPEIFGEGAIASDNKLAYADFFNNFEDDFDDSNID >KJB53370 pep chromosome:Graimondii2_0_v6:9:36388967:36389149:-1 gene:B456_009G3363001 transcript:KJB53370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPMEFVRDTDDKGSAMEVDGVDTPEIFGEGAIASDNKLAYADFFNNFEDDFDDSNID >KJB53371 pep chromosome:Graimondii2_0_v6:9:36388967:36389149:-1 gene:B456_009G3363001 transcript:KJB53371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPMEFVRDTDDKGSAMEVDGVDTPEIFGEGAIASDNKLAYADFFNNFEDDFDDSNID >KJB53536 pep chromosome:Graimondii2_0_v6:9:3090356:3095847:1 gene:B456_009G042300 transcript:KJB53536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASKMGFPPDENLIKESEEKLGKVLDIYEERLSKNKYLAGDFFSLVDLSHLPFTQYLVGQMGKEYMTTSRKHVSAWWDDISSRPSWQKVLQLYAPPF >KJB53537 pep chromosome:Graimondii2_0_v6:9:3090356:3095847:1 gene:B456_009G042300 transcript:KJB53537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASKMGFPPDENLIKESEEKLGKVLDIYEERLSKNKYLAGDFFSLVDLSHLPFTQYLVGQMGKEYMTTSRKHVSAWWDDISSRPSWQKVLQLYAPPF >KJB53538 pep chromosome:Graimondii2_0_v6:9:3093357:3095847:1 gene:B456_009G042300 transcript:KJB53538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASKMGFPPDENLIKESEEKLGKVLDIYEERLSKNKYLAGDFFSLVDLSHLPFTQYLVGQMGKEYMTTSRKHVSAWWDDISSRPSWQKVLQLYAPPF >KJB53539 pep chromosome:Graimondii2_0_v6:9:3093357:3095847:1 gene:B456_009G042300 transcript:KJB53539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASKMGFPPDENLIKESEEKLGKVLDIYEERLSKNKYLAGDFFSLVDLSHLPFTQYLVGQMGKEYMTTSRKHVSAWWDDISSRPSWQKVLQLYAPPF >KJB61847 pep chromosome:Graimondii2_0_v6:9:52374578:52376582:-1 gene:B456_009G3861001 transcript:KJB61847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEVVDSDLAQLSIDKVTEVDKSFLHENGKLDKDPVYNNPIENGASDPDFPKDAVVEWPAPKQIHSFHFISRFKLTDELKAKRAERSDMLSQVRALNVDFEQFKTILDEKKMEIEPLQQALGKLRKIKMLIIDLVYVHLRKSSILFLQYRIQHEGISLSEEKRILKEIKHLEGTREKVIANAAMRSKIQDSLGQKEDIQDQVKLMGVDLNGVRKEQHAVWSKKKQIKDKLDETETKIVSLQNELKAVTLKRDKAFENIQELRKQSDQGNSHFYQSRTIVHNAKLLAAQKDIKALEELSIVEVCNKAFRDDYEKRTLPSLDSRLLSRDGRIRNPDEKPL >KJB62119 pep chromosome:Graimondii2_0_v6:9:58137617:58139455:-1 gene:B456_009G401600 transcript:KJB62119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLFIIFALSLLLGIASAIDVFRGMEFGYSGHNGPDKWGKLNPTFSPCSTGKRQSPINIQRNLTVHNKLLKPLTRNYESANATLVNRGYSVGVRFEESPGDLWINDKNYTLQQFHWHLPAEHHIEGQRFAAELHMVHRAADNSAVVVSMLYHESKADPLFSMIMEGLKHLGKENTEVPLGTLNINELNRRPRKYYTYVMSISKEQITTLDSPLNSHCKKNARPCQPLNGREVDMYDELN >KJB62118 pep chromosome:Graimondii2_0_v6:9:58137738:58139274:-1 gene:B456_009G401600 transcript:KJB62118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLFIIFALSLLLGIASAIDVFRGMEFGYSGHNGPDKWGKLNPTFSPCSTGKRQSPINIQRNLTVHNKLLKPLTRNYESANATLVNRGYSVGVRFEESPGDLWINDKNYTLQQFHWHLPAEHHIEGQRFAAELHMVHRAADNSAVVVSMLYHESKADPLFSMIMEGLKHLGKENTEVPLGTLNINELNRRPRKYYTYVGSLTTPPCTENVIWIILGKVMSISKEQITTLDSPLNSHCKKNARPCQPLNGREVDMYDELN >KJB59766 pep chromosome:Graimondii2_0_v6:9:22574039:22575114:1 gene:B456_009G270400 transcript:KJB59766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKSNKLPQTAVIKQILKRCSSLGKKQSYDEQGLPLDVPKGHFVVYVGENRTRHIVPISFLTRPEFQSLLHQAEEEFGFNHDNGLTIPCQEHVFQSLTSMLR >KJB61927 pep chromosome:Graimondii2_0_v6:9:53682864:53684955:1 gene:B456_009G392100 transcript:KJB61927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSLVRPFMGVRGFSSTSKKIVASVLFERLPVVIPKLDPVVYAFKSFRKGKGDYQIDNVPAPRITEADKTIDRKSLQRALDRRLYLLPYGNSNAAPSGKPVWHFPEKVYDSEETLRKCAESALAFVLGDLSHTYFVGNAPMGHMVIRQMENVPEPFKRFFFKSQVIDTNKFDIQKCEDFVWVTKGELLEYFPEQAEFFKKLIIS >KJB57199 pep chromosome:Graimondii2_0_v6:9:11698041:11698468:1 gene:B456_009G153200 transcript:KJB57199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDIRYMQTWGEVAPALLISRQRSSSSPRLETIVEEERGGVRLPKRVIVVLPILLSLSLYALLYRYIA >KJB56627 pep chromosome:Graimondii2_0_v6:9:9636434:9639774:1 gene:B456_009G128000 transcript:KJB56627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITCSENPLSSEKNKCNQMDEEKGERVSGPIFKDIRRYFCDHCGICRSKKSLITTHILTHHPEKIDNGGKEEEEVSTSNECQECGATFRKPAHLKQHLQSHSLERPFVCLVDDCHASYRRKDHLNRHLLQHQGKLFSCPIETCNSKFAFQGNMKRHVKEFHDEDSSSTDAASQKQYICQEVGCGKVFKFSSKLRKHEDSHVKLDSVEAFCSEPSCLKYFSNEQCLKAHVQSCHQYINCQICGAKQLKKNIKRHLRSHESGVASERIKCDFGGCLHTFSTKSNLRQHVKAVHEELKPFACSFSGCGMRFSYKHVRDNHEKSALHVYVPGDFIESDEQFQSRPRGGRKRTCPTVEMLIRKRVAPPQMDTMMDPGPIQGCS >KJB56626 pep chromosome:Graimondii2_0_v6:9:9638058:9639448:1 gene:B456_009G128000 transcript:KJB56626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYQVGRPPEKLHQVSLGHTVWYLKRPFVCLVDDCHASYRRKDHLNRHLLQHQGKLFSCPIETCNSKFAFQGNMKRHVKEFHDEDSSSTDAASQKQYICQEVGCGKVFKFSSKLRKHEDSHVKLDSVEAFCSEPSCLKYFSNEQCLKAHVQSCHQYINCQICGAKQLKKNIKRHLRSHESGVASERIKCDFGGCLHTFSTKSNLRQHVKAVHEELKPFACSFSGCGMRFSYKHVRDNHEKSALHVYVPGDFIESDEQFQSRPRGGRKRTCPTVEMLIRKRVAPPQMDTMMDPGPIQGCS >KJB56625 pep chromosome:Graimondii2_0_v6:9:9636431:9639774:1 gene:B456_009G128000 transcript:KJB56625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEKGERVSGPIFKDIRRYFCDHCGICRSKKSLITTHILTHHPEKIDNGGKEEEEVSTSNECQECGATFRKPAHLKQHLQSHSLERPFVCLVDDCHASYRRKDHLNRHLLQHQGKLFSCPIETCNSKFAFQGNMKRHVKEFHDEDSSSTDAASQKQYICQEVGCGKVFKFSSKLRKHEDSHVKLDSVEAFCSEPSCLKYFSNEQCLKAHVQSCHQYINCQICGAKQLKKNIKRHLRSHESGVASERIKCDFGGCLHTFSTKSNLRQHVKAVHEELKPFACSFSGCGMRFSYKHVRDNHEKSALHVYVPGDFIESDEQFQSRPRGGRKRTCPTVEMLIRKRVAPPQMDTMMDPGPIQGCS >KJB59267 pep chromosome:Graimondii2_0_v6:9:19880646:19886120:-1 gene:B456_009G246800 transcript:KJB59267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGITGSSFNLGFCSSHCSYCSSSSVLATVFVANRIIMGCGPSKADDLPLVTLCKERKELIKAATIHRSALAAAHVTYFHSLRDVGEAIRRFVDEELVVGSSSSADSPVLTLPSDEFKSSKKKKKKDDDVVSSPSTSLSHSIEEHGKNAAKHKEESDGEGSHLDLSSASPSISGSGSGSPSGHVEMHRGHTHSPDQEGPGPAPYGYNYGYGAGGYGGYGYGYPYPPPQENWGTNGNSSSYMYYMKKSATPSQSFVYQEPEGHYGYSSYPNGGYFGYPIGSQEYGYGQRNSPPGPPQPPPAPPSPPRNSTWDFLNVFDTFDNSGYPSYYPVSRYGFGSTTSSPDSKEVREREGIPDLEDETEPEMLRAAHKEKRKMVKEEMDHNYNDSNHKIGNFGEGTSKSVQVQKVNGTAEGSTSTSKAVSSSKSESLEPGDHINISTSSGSDTIVTKSSEEDFPKSKRVSFEVEEAPNLDVDSSKPSSLTTLSVHGTRDLREVVKEIKDEFETASSYGKEVAVLLEVGKLPYQQRKETGFRVIFSRILYLVAPNMLSSPPPPGPSIRITSRTMKMAKEYCQVVEQDEKHRNLSSTLEELYEWEKKLYKEVKDEERLRVIYEKKCKRLIMLDNQGAESSKIDATRASIRKLLTKINVCIKAVEAISTRIHKLRDEELQPQLTDLVYGLIRMWKSMLSCHQKQFQAIMETKVRSLRANTGFERDSGLKATIELEMELLDWCTRFNNWINTQKAYVGSLYEWLMRCIQREQEMTADGVAPFSPGRVGAPPIFVICNDWYQAMDRISERGVANAMQNFASSLHQLWERQDEEQRRRTRAQYLSKDFEKRLRELRLQRQRMEQEQDSLDKTAVSKVPSESGVSPLDDLKVDLDSMRKKLEEERTRHKDAIKLVHDAASSSLQAGLVPIFEALGNFSSEVLKAHEQVRLENTAVS >KJB59270 pep chromosome:Graimondii2_0_v6:9:19880724:19886127:-1 gene:B456_009G246800 transcript:KJB59270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKCTVVIPIAQTKKGQGQLLTVTTMAMVLVGMVVMAMDILTLPLKKTGELMEPEGHYGYSSYPNGGYFGYPIGSQEYGYGQRNSPPGPPQPPPAPPSPPRNSTWDFLNVFDTFDNSGYPSYYPVSRYGFGSTTSSPDSKEVREREGIPDLEDETEPEMLRAAHKEKRKMVKEEMDHNYNDSNHKIGNFGEGTSKSVQVQKVNGTAEGSTSTSKAVSSSKSESLEPGDHINISTSSGSDTIVTKSSEEDFPKSKRVSFEVEEAPNLDVDSSKPSSLTTLSVHGTRDLREVVKEIKDEFETASSYGKEVAVLLEVGKLPYQQRKETGFRVIFSRILYLVAPNMLSSPPPPGPSIRITSRTMKMAKEYCQVVEQDEKHRNLSSTLEELYEWEKKLYKEVKDEERLRVIYEKKCKRLIMLDNQGAESSKIDATRASIRKLLTKINVCIKAVEAISTRIHKLRDEELQPQLTDLVYGLIRMWKSMLSCHQKQFQAIMETKVRSLRANTGFERDSGLKATIELEMELLDWCTRFNNWINTQKAYVGSLYEWLMRCIQREQEMTADGVAPFSPGRVGAPPIFVICNDWYQAMDRISERGVANAMQNFASSLHQLWERQDEEQRRRTRAQYLSKDFEKRLRELRLQRQRMEQEQDSLDKTAVSKVPSESGVSPLDDLKVDLDSMRKKLEEERTRHKDAIKLVHDAASSSLQAGLVPIFEALGNFSSEVLKAHEQVRLENTAVS >KJB59268 pep chromosome:Graimondii2_0_v6:9:19880646:19886127:-1 gene:B456_009G246800 transcript:KJB59268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGPSKADDLPLVTLCKERKELIKAATIHRSALAAAHVTYFHSLRDVGEAIRRFVDEELVVGSSSSADSPVLTLPSDEFKSSKKKKKKDDDVVSSPSTSLSHSIEEHGKNAAKHKEESDGEGSHLDLSSASPSISGSGSGSPSGHVEMHRGHTHSPDQEGPGPAPYGYNYGYGAGGYGGYGYGYPYPPPQENWGTNGNSSSYMYYMKKSATPSQSFVYQEPEGHYGYSSYPNGGYFGYPIGSQEYGYGQRNSPPGPPQPPPAPPSPPRNSTWDFLNVFDTFDNSGYPSYYPVSRYGFGSTTSSPDSKEVREREGIPDLEDETEPEMLRAAHKEKRKMVKEEMDHNYNDSNHKIGNFGEGTSKSVQVQKVNGTAEGSTSTSKAVSSSKSESLEPGDHINISTSSGSDTIVTKSSEEDFPKSKRVSFEVEEAPNLDVDSSKPSSLTTLSVHGTRDLREVVKEIKDEFETASSYGKEVAVLLEVGKLPYQQRKETGFRVIFSRILYLVAPNMLSSPPPPGPSIRITSRTMKMAKEYCQVVEQDEKHRNLSSTLEELYEWEKKLYKEVKDEERLRVIYEKKCKRLIMLDNQGAESSKIDATRASIRKLLTKINVCIKAVEAISTRIHKLRDEELQPQLTDLVYGLIRMWKSMLSCHQKQFQAIMETKVRSLRANTGFERDSGLKATIELEMELLDWCTRFNNWINTQKAYVGSLYEWLMRCIQREQEMTADGVAPFSPGRVGAPPIFVICNDWYQAMDRISERGVANAMQNFASSLHQLWERQDEEQRRRTRAQYLSKDFEKRLRELRLQRQRMEQEQDSLDKTAVSKVPSESGVSPLDDLKVDLDSMRKKLEEERTRHKDAIKLVHDAASSSLQAGLVPIFEALGNFSSEVLKAHEQVRLENTAVS >KJB59269 pep chromosome:Graimondii2_0_v6:9:19881271:19885061:-1 gene:B456_009G246800 transcript:KJB59269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKCTVVIPIAQTKKGQGQLLTVTTMAMVLVGMVVMAMDILTLPLKKTGELMEPEGHYGYSSYPNGGYFGYPIGSQEYGYGQRNSPPGPPQPPPAPPSPPRNSTWDFLNVFDTFDNSGYPSYYPVSRYGFGSTTSSPDSKEVREREGIPDLEDETEPEMLRAAHKEKRKMVKEEMDHNYNDSNHKIGNFGEGTSKSVQVQKVNGTAEGSTSTSKAVSSSKSESLEPGDHINISTSSGSDTIVTKSSEEDFPKSKRVSFEVEEAPNLDVDSSKPSSLTTLSVHGTRDLREVVKEIKDEFETASSYGKEVAVLLEVGKLPYQQRKETGFRVIFSRILYLVAPNMLSSPPPPGPSIRITSRTMKMAKEYCQVVEQDEKHRNLSSTLEELYEWEKKLYKEVKDEERLRVIYEKKCKRLIMLDNQGAESSKIDATRASIRKLLTKINVCIKAVEAISTRIHKLRDEELQPQLTDLVYGLIRMWKSMLSCHQKQFQAIMETKVRSLRANTGFERDSGLKATIELEMELLDWCTRFNNWINTQKAYVGSLYEWLMRCIQREQEMTADGVAPFSPGRVGAPPIFVICNDWYQAMDRISERGVANAMQNFASSLHQLWERQDEEQRRRTRAQYLSKDFEKRLRELRLQRQRMEQEQDSLDKTAVSKVPSESGVSPLDDLKVDLDSMRKKLEEERTRHKDAIKLVHDAASSSLQAGLVPIFEALGNFSSEVLKAHEQVRLENTAVS >KJB61326 pep chromosome:Graimondii2_0_v6:9:44992033:44997679:-1 gene:B456_009G351600 transcript:KJB61326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDVTKLLLKGLSNRRTGATSINAESSRSHSVFTCVVESRCKSVADGVSSFKTSRINFVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSKLTFLLQESLGGNAKLAMVCAISPAQSCKSETFSTLRFAQRAKAIKNKAVVNEVMQDDVKFLREVIQQLKDELHRMKANGNNQADPNAGYSTGWKARRSLNLLKFSLNHPRVLPHVDEDGDEEMEIDEEAVENLFAQIGLRSSDICNHSNEVTKLEIIESDSGNPTSEIGCASDPGPNASECGKARNVEDTDAHIEEEIYEEPKTSEIMAVDRVEPATRSPNIIPAHESIQENPDCLTIETTDGNSPGILKSPTSSVSPKVNQRTKSLRTSSVVTALQKGLKNDGPEAITPTEHLAASLHRGLEIIGRSLALRRSYHFSLKPADSQPTIAAFKVDVGIQTFPQDYEIQGEERLVFLCTNCKERTNLEGKENNESSNLQLVPVDESESSEKTKKQVPKAVEKVLAGSIRREMALEEFCAKQASEIMQLNRLVQQYKHERECNAIIGQTREDKILRLESLMDGVLPTEEFLGAELASLAHEHKLLKEKYYNHPEVLRTKIELKRVQDELEQFRSFHDLGEREVLLEEIQDLRNQLRYYIDSSSTLARRQNSLLQLTYSCDPNVQPPLSAIPGTSEVSAEAKFEQERIRWTEAESKWISLAEELRTELDAARLLAERRKQELDMEKKCAEELKEAMQMAMEGHARMLEQYADLEEKHIQLLARHRRIREGIDDVKKAAARAGVRGAESKFINALAAEISALKEQTEKERLYLRDENKVLQAQLRETAEAVQAAGELLVRLKEAEEAVAAAQKRAMEAEQETDKAHKKIDKLKRKHEYEISTLKELLAESRLPKEAKRPSYDKIDIAKYDAGDQRWREEFEPFYNGEDGELSSKLAENSSWFFGYDRCNI >KJB61327 pep chromosome:Graimondii2_0_v6:9:44992037:44998249:-1 gene:B456_009G351600 transcript:KJB61327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFMLPRNTNLRDPMENSSSPNPTPPKSKRKHKKSSKENTPPPDPNSQLSPATATSMAKSKCPLPPRPPSSNPLKRKLFTETLSENSVSGASDSGVKVIIRMRPPIKEEEEGETIVQKITGDSLSISGQTFTFDSLDIFQLVGAPVVENCLAGFNSSVFAYGQTGSGKTYTIWGPANALLEENLSSDQQGLTSRVFQRLFARINEEQINHADKQLKYQCRCSFLEIYNEQITDLLDPNQGNLQIREDVKSGVYVENLTEEYVNSMRDVTKLLLKGLSNRRTGATSINAESSRSHSVFTCVVESRCKSVADGVSSFKTSRINFVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSKLTFLLQESLGGNAKLAMVCAISPAQSCKSETFSTLRFAQRAKAIKNKAVVNEVMQDDVKFLREVIQQLKDELHRMKANGNNQADPNAGYSTGWKARRSLNLLKFSLNHPRVLPHVDEDGDEEMEIDEEAVENLFAQIGLRSSDICNHSNEVTKLEIIESDSGNPTSEIGCASDPGPNASECGKARNVEDTDAHIEEEIYEEPKTSEIMAVDRVEPATRSPNIIPAHESIQENPDCLTIETTDGNSPGILKSPTSSVSPKVNQRTKSLRTSSVVTALQKGLKNDGPEAITPTEHLAASLHRGLEIIGRSLALRRSYHFSLKPADSQPTIAAFKVDVGIQTFPQDYEIQGEERLVFLCTNCKERTNLEGKENNESSNLQLVPVDESESSEKTKKQVPKAVEKVLAGSIRREMALEEFCAKQASEIMQLNRLVQQYKHERECNAIIGQTREDKILRLESLMDGVLPTEEFLGAELASLAHEHKLLKEKYYNHPEVLRTKIELKRVQDELEQFRSFHDLGEREVLLEEIQDLRNQLRYYIDSSSTLARRQNSLLQLTYSCDPNVQPPLSAIPGTSEVSAEAKFEQERIRWTEAESKWISLAEELRTELDAARLLAERRKQELDMEKKCAEELKEAMQMAMEGHARMLEQYADLEEKHIQLLARHRRIREGIDDVKKAAARAGVRGAESKFINALAAEISALKEQTEKERLYLRDENKVLQAQLRETAEAVQAAGELLVRLKEAEEAVAAAQKRAMEAEQETDKAHKKIDKLKRKHEYEISTLKELLAESRLPKEAKRPSYDKIDIAKYDAGDQRWREEFEPFYNGEDGELSSKLAENSSWFFGYDRCNI >KJB61340 pep chromosome:Graimondii2_0_v6:9:45104985:45109895:1 gene:B456_009G352000 transcript:KJB61340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAIDSAWLSSLSEPFCKSLNVGEAHPADILPVLEAPVPFASDNSNAPQPPPLPSKNCTRTKFSKRPLEYIVVPKETKDAWDNLFKEGYGADVCILTNEKSCVLAHSNVLSIASPVLANVLRLSKVKNGMRYIKIPGVPHGAVCMFIRFLYSSCYEEEELQKFVLHLLVLSHFYSIPSLKRVCICSLEQGWLTRENVIDVLQLARNCDAPRLAFICVRMVVKNFQSISLTEGWRAMRRANPALEQELVESVVEADTRKQERQRKMEEKKVYLQLHEAMEALLHICKDGCRTIGPRGKVLKGSQGACNFPACKGLETLVRHFSNCKTRVPGGCVHCKRMWQLLELHSRMCNEPDSCKVPLCRHFKEKMQQQSKKDETKWKVLVSKVIATKNAVGSFSSRSKDSQIICSSAYCFYFKLRLPKTE >KJB61337 pep chromosome:Graimondii2_0_v6:9:45104985:45109895:1 gene:B456_009G352000 transcript:KJB61337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAIDSAWLSSLSEPFCKSLNVGEAHPADILPVLEAPVPFASDNSNAPQPPPLPSKNCTRTKFSKRPLEYIVVPKETKDAWDNLFKEGYGADVCILTNEKSCVLAHSNVLSIASPVLANVLRLSKVKNGMRYIKIPGVPHGAVCMFIRFLYSSCYEEEELQKFVLHLLVLSHFYSIPSLKRVCICSLEQGWLTRENVIDVLQLARNCDAPRLAFICVRMVVKNFQSISLTEGWRAMRRANPALEQELVESVVEADTRKQERQRKMEEKKVYLQLHEAMEALLHICKDGCRTIGPRGKVLKGSQGACNFPACKGLETLVRHFSNCKTRVPGGCVHCKRMWQLLELHSRMCNEPDSCKVPLCRHFKEKMQQQSKKDETKWKVLVSKVIATKNAVGSFSSRSKGLF >KJB61341 pep chromosome:Graimondii2_0_v6:9:45106057:45109920:1 gene:B456_009G352000 transcript:KJB61341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWTFDCSILISSFYLQFYRRYSGKFYLMASPAIDSAWLSSLSEPFCKSLNVGEAHPADILPVLEAPVPFASDNSNAPQPPPLPSKNCTRTKFSKRPLEYIVVPKETKDAWDNLFKEGYGADVCILTNEKSCVLAHSNVLSIASPVLANVLRLSKVKNGMRYIKIPGVPHGAVCMFIRFLYSSCYEEEELQKFVLHLLVLSHFYSIPSLKRVCICSLEQGWLTRENVIDVLQLARNCDAPRLAFICVRMVVKNFQSISLTEGWRAMRRANPALEQELVESVVEADTRKQERQRKMEEKKVYLQLHEAMEALLHICKDGCRTIGPRGKVLKGSQGACNFPACKGLETLVRHFSNCKTRVPGGCVHCKRMWQLLELHSRMCNEPDSCKVPLCRHFKEKMQQQSKKDETKWKVLVSKVIATKNAVGSFSSRSKDSQIICSSAYCFYFKLRLPKTE >KJB61338 pep chromosome:Graimondii2_0_v6:9:45104948:45109895:1 gene:B456_009G352000 transcript:KJB61338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRYSGKFYLMASPAIDSAWLSSLSEPFCKSLNVGEAHPADILPVLEAPVPFASDNSNAPQPPPLPSKNCTRTKFSKRPLEYIVVPKETKDAWDNLFKEGYGADVCILTNEKSCVLAHSNVLSIASPVLANVLRLSKVKNGMRYIKIPGVPHGAVCMFIRFLYSSCYEEEELQKFVLHLLVLSHFYSIPSLKRVCICSLEQGWLTRENVIDVLQLARNCDAPRLAFICVRMVVKNFQSISLTEGWRAMRRANPALEQELVESVVEADTRKQERQRKMEEKKVYLQLHEAMEALLHICKDGCRTIGPRGKVLKGSQGACNFPACKGLETLVRHFSNCKTRVPGGCVHCKRMWQLLELHSRMCNEPDSCKVPLCRHFKEKMQQQSKKDETKWKVLVSKVIATKNAVGSFSSRSKGLF >KJB61339 pep chromosome:Graimondii2_0_v6:9:45106212:45109364:1 gene:B456_009G352000 transcript:KJB61339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAIDSAWLSSLSEPFCKSLNVGEAHPADILPVLEAPVPFASDNSNAPQPPPLPSKNCTRTKFSKRPLEYIVVPKETKDAWDNLFKEGYGADVCILTNEKSCVLAHSNVLSIASPVLANVLRLSKVKNGMRYIKIPGVPHGAVCMFIRFLYSSCYEEEELQKFVLHLLVLSHFYSIPSLKRVCICSLEQGWLTRENVIDVLQLARNCDAPRLAFICVRMVVKNFQSISLTEGWRAMRRANPALEQELVESVVEADTRKQERQRKMEEKKVYLQLHEAMEALLHICKDGCRTIGPRGKVLKGSQGACNFPACKGLETLVRHFSNCKTRVPGGCVHCKRMWQLLELHSRMCNEPDSCKVPLCRHFKEKMQQQSKKDETKWKVLVSKVIATKNAVGSFSSRSKGLF >KJB62150 pep chromosome:Graimondii2_0_v6:9:58984340:58986629:-1 gene:B456_009G404400 transcript:KJB62150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQCHSKWISIGKFSRGHTRKEKKRLAMENSSSSSELQKLIEAIKISEVINRTDHAPCNWRDLGWEWNFRKKRIQDSGTREKLVNLRAIHVSVCSPIIMNHQTWKLLLGGQFEIFRRRGDNICSRTYCCFQIIWKERCSGYLNCIEVE >KJB62849 pep chromosome:Graimondii2_0_v6:9:69132085:69133510:-1 gene:B456_009G440100 transcript:KJB62849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAFSHINGNPMFYFPSRLNPNRPFPLLLSYNKPLKCNQSYLASINEDIEAHLKQAIPIREPLSVLEPMHHLTFAAPRTTASALCVAACELVNGHRDRALPVASAIHLVYAASFTHECAPRSETSRPTSKIQHVYGPGIELQIGDAMIPFGMELLAKSDNPARNDSDRILRVMVEITRAIGSEGMIYGQYDEVESYQSDNNKSSHIEEIERVSEKYEGTLYACAGACGAIIGGGSEDEIEKLRKYGLHIGKIEGIMNRIGSNSDKDLMEKLVEELRNLANNELRGFNEAKVKAIFNTQSSSFDLCKQ >KJB56665 pep chromosome:Graimondii2_0_v6:9:9835246:9836421:-1 gene:B456_009G130800 transcript:KJB56665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HQIIFYLVIFIAPSFHPKNSEIEMKHGKKHRAEVAKSLPEWRDEFMSYKALKREVKLINPIRFNSNGKKRSRSWPTEEMGFALLLARELDKINTFYIDKEEDYIIGFRELEIRAENVNGNEEMLELQKEILGFHSEMVMLLHYSVINFAGLMKIVKKHKKRTGAYTSVYSFYMPRVLQQPFFSTDLLYNLIRGCEEILDRLSPPSHP >KJB56679 pep chromosome:Graimondii2_0_v6:9:9896782:9899615:-1 gene:B456_009G132000 transcript:KJB56679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAPYYFNAYMSFQMTGVTKILVGPGYPKTLYPDADWLERTLLETKPVPKLVTVVNPGNPSGTYIPEPLLKRISDICKNAGCWLVVDNTYEYFMYDGLKHSCVEGDHIVNIFSFSKAYGMMGWRVGYIAYPTEVEGLATQLLKVQDNIPICASLISQQLALHSLELGPEWVREQVKDLVKNREIVIEALSPLGEGAVLGGEGAIYLWATLPEKCMDDVKVVHWLAHRHGVVVIPGSACGSPGHLRISFGGLMENDCRAAAQRLKTGLEELVKHGLVQ >KJB56678 pep chromosome:Graimondii2_0_v6:9:9896782:9902514:-1 gene:B456_009G132000 transcript:KJB56678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGMLARRIVETEMPIMVQIQQLIRGAKNAVSLAQGVVYWKPPKQALDKVKALVEEPSVSCYGADEGLPELREALIRKLRQENNLQRSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTKILVGPGYPKTLYPDADWLERTLLETKPVPKLVTVVNPGNPSGTYIPEPLLKRISDICKNAGCWLVVDNTYEYFMYDGLKHSCVEGDHIVNIFSFSKAYGMMGWRVGYIAYPTEVEGLATQLLKVQDNIPICASLISQQLALHSLELGPEWVREQVKDLVKNREIVIEALSPLGEGAVLGGEGAIYLWATLPEKCMDDVKVVHWLAHRHGVVVIPGSACGSPGHLRISFGGLMENDCRAAAQRLKTGLEELVKHGLVQ >KJB56681 pep chromosome:Graimondii2_0_v6:9:9896782:9902514:-1 gene:B456_009G132000 transcript:KJB56681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGMLARRIVETEMPIMVQIQQLIRGAKNAVSLAQGVVYWKPPKQALDKVKALVEEPSVSCYGADEGLPELREALIRKLRQENNLQRSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTKILVGPGYPKTLYPDADWLERTLLETKPVPKLVTVVNPGNPSGTYIPEPLLKRISDICKNAGCWLVVDNTYEYFMYDGLKHSCVEGDHIVNIFSFSKAYGMMGWRVGYVTLHSLELGPEWVREQVKDLVKNREIVIEALSPLGEGAVLGGEGAIYLWATLPEKCMDDVKVVHWLAHRHGVVVIPGSACGSPGHLRISFGGLMENDCRAAAQRLKTGLEELVKHGLVQ >KJB56680 pep chromosome:Graimondii2_0_v6:9:9897417:9902050:-1 gene:B456_009G132000 transcript:KJB56680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGMLARRIVETEMPIMVQIQQLIRGAKNAVSLAQGVVYWKPPKQALDKVKALVEEPSVSCYGADEGLPELREALIRKLRQENNLQRSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTKILVGPGYPKTLYPDADWLERTLLETKPVPKLVTVVNPGNPSGTYIPEPLLKRISDICKNAGCWLVVDNTYEYFMYDGLKHSCVEGDHIVNIFSFSKAYGMMGWRVGYVSDFVWFVKFVLSCICYILHSLPSNICIHPSKRV >KJB56682 pep chromosome:Graimondii2_0_v6:9:9896591:9902516:-1 gene:B456_009G132000 transcript:KJB56682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGMLARRIVETEMPIMVQIQQLIRGAKNAVSLAQGVVYWKPPKQALDKVKALVEEPSVSCYGADEGLPELREALIRKLRQENNLQRSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTKILVGPGYPKTLYPDADWLERTLLETKPVPKLVTVVNPGNPSGTYIPEPLLKRISDICKNAGCWLVVDNTYEYFMYDGLKHSCVEGDHIVNIFSFSKAYGMMGWRVGYIAYPTEVEGLATQLLKVQDNIPICASLISQQLALHSLELGPEWVREQVKDLVKNREIVIEALSPLGEGAVLGGEGAIYLWATLPEKCMDDVKVVHWLAHRHGVVVIPGSACGSPGHLRISFGGLMENDCRAAAQRLKTGLEELVKHGLVQ >KJB59776 pep chromosome:Graimondii2_0_v6:9:22686876:22689153:1 gene:B456_009G271200 transcript:KJB59776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDHNNGGFLDMGKADRSVWLMKCPVVVAKSWKSQLASSSSSSSSSSSSDSQPLAKVVFSLDPLKPDDPSLHQFTMEVAGLETERIPKSYSLNMFKDFVPMCVFSESSQGQSSVAMEGKVEHKFDMKPHEENIEEYGRLCRERTNKSMIKSRQIQVIDNDSGVHMRPMPGMVGLISSNPKDKKKTIPVKQSDVKRTRRDRGELEDIMFKLFERQPNWTLKQLVQETDQPAQFLKEILNELCVYNKRGANQGTYELKPEYKKSAEDTAAE >KJB59774 pep chromosome:Graimondii2_0_v6:9:22686876:22689153:1 gene:B456_009G271200 transcript:KJB59774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDHNNGGFLDMGKADRSVWLMKCPVVVAKSWKSQLASSSSSSSSSSSSDSQPLAKVVFSLDPLKPDDPSLHQFTMEVAGLETERIPKSYSLNMFKDFVPMCVFSESSQGSVAMEGKVEHKFDMKPHEENIEEYGRLCRERTNKSMIKSRQIQVIDNDSGVHMRPMPGMVGLISSNPKDKKKTIPVKQSDVKRTRRDRGELEDIMFKLFERQPNWTLKQLVQETDQPAVSLLHFFLILLIVSYSLYGDLSVLFSVICYSTGFIEMANCF >KJB59773 pep chromosome:Graimondii2_0_v6:9:22686717:22689153:1 gene:B456_009G271200 transcript:KJB59773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDHNNGGFLDMGKADRSVWLMKCPVVVAKSWKSQLASSSSSSSSSSSSDSQPLAKVVFSLDPLKPDDPSLHQFTMEVAGLETERIPKSYSLNMFKDFVPMCVFSESSQGSVAMEGKVEHKFDMKPHEENIEEYGRLCRERTNKSMIKSRQIQVIDNDSGVHMRPMPGMVGLISSNPKDKKKTIPVKQSDVKRTRRDRGELEDIMFKLFERQPNWTLKQLVQETDQPAQFLKEILNELCVYNKRGANQGTYELKPEYKKSAEDTAAE >KJB59775 pep chromosome:Graimondii2_0_v6:9:22686876:22689153:1 gene:B456_009G271200 transcript:KJB59775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDHNNGGFLDMGKADRSVWLMKCPVVVAKSWKSQLASSSSSSSSSSSSDSQPLAKVVFSLDPLKPDDPSLHQFTMEVAGLETERIPKSYSLNMFKDFVPMCVFSESSQGSVAMEGKVEHKFDMKPHEENIEEYGRLCRERTNKSMIKSRQIQVIDNDSGVHMRPMPGMVGLISSNPKQDKKKTIPVKQSDVKRTRRDRGELEDIMFKLFERQPNWTLKQLVQETDQPAQFLKEILNELCVYNKRGANQGTYELKPEYKKSAEDTAAE >KJB57268 pep chromosome:Graimondii2_0_v6:9:11972492:11974789:1 gene:B456_009G155900 transcript:KJB57268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFRFHQYQVVGRALPTDSDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATIPTKLCKRDNTKQFHNSKIKFPLMVKKVRPPTRKLKTTYKASRPNLFM >KJB62495 pep chromosome:Graimondii2_0_v6:9:65374582:65380017:-1 gene:B456_009G419700 transcript:KJB62495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVREITAAEFLQGTTRQTLFLQRNSLIKPSNLLWGKLCNPSRMGYLSNTKGASLRCSSRSKPKAMASGNVPTSLVDEQPGLIEKPAQEVVHFYRIPLIQEGANDELLKSVQTKVSNHIVGLKTEQCFNIGLASKISSEKFSTLKWILGETYEPENLATESFLVKKRQEGLNTVIVEVGPRLSFTTAWSSNAVSICQSCGLTEVTRMERSRRYLLYSKEALQENQINEFAAMVHDRMTECVYTQRLTSFETSVAPEGVRFVPVIERGRKALEEINQEMGLAFDEQDLQYYTRLFVEDIKRNPTNVELFDIAQSNSEHSRHWFFTGKIVIDGQPMDRTLMQIVKSTLKANPNNSVIGFKDNSSAIKGFLAYRLRPVKPGTACLLNETTREIDVLFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYTTGNLNIEGSYAPWEDSSFTYPSNLASPLEILIEASNGASDYGNKFGEPLIQGFTRTFGTRLPSGERREWLKPIMFSGGIGQIDHTHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACIEMGEDNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRKLLESICARERLSMAVIGTINGEGRVVLVDSVAIEKSRASGLPPPPPAVDLELEKVLGDMPQKSFEFKRVSYAREPLDVAPAITVMDSLKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQSYVDLTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLEGEGAAMYDAAIALSEAMIELGIAIDGGKDSLSMAAHAGGEVVKAPGNLVISAYVTCPDITKTVTPDLKQGDDGILLHIDLAKGKRRLGGSALAQVFDQIGNDCPDIDDVSYLKRVFEGVQDVLGDGLISAGHDISDGGLLVCALEMAFAGNCGIALDLASLGNSVFQSLFAEELGLILEVSKNNLDSVMEKLSSVDVSAEIIGRVTTSPVIELKVDGITHLNEKTSLLRDMWEDTSFQLEKLQRLASCVELEKEGLKFRHEPSWPLSFTPSVTDEKFLTTTLKPKVAIIREEGSNGDREMSAAFYAAGFEPWDVAMSDLLNGVISLNEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLLNQFQEFYKRPDTFSLGVCNGCQLMALLGWVPGPQVGGVFGAGGDPSQPRFVHNESGRFECRFTSVTIKDSPAMMFKGMEGSTLGVWAAHGEGRAYFPDDGVLDRVLHSDLAPLRYCDDDGNPTEAYPFNLNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKDWNVDKKGPSPWLRMFQNAREWCS >KJB54358 pep chromosome:Graimondii2_0_v6:9:2348985:2350176:-1 gene:B456_009G031200 transcript:KJB54358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIRLSASSFHCSSFLTSSYASTTLNSPQAAFSLSPKFNFDRKICNLSSSFAGVSPLPLTSTKHLLSFKVHAAMTETSKPKWWEKNAGPNMIDIHSTQEFTTALNEAGDRLVIVEFYGTWCASCRALFPKLCKTAQEHPEILFLKVDFDENKAMCKSLNVKVLPYFHFYRGAHGQLESFSCSLVKFKKLKDAIELHRQP >KJB54357 pep chromosome:Graimondii2_0_v6:9:2348589:2350376:-1 gene:B456_009G031200 transcript:KJB54357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIRLSASSFHCSSFLTSSYASTTLNSPQAAFSLSPKFNFDRKICNLSSSFAGVSPLPLTSTKHLLSFKVHAAMTETSKPKWWEKNAGPNMIDIHSTQEFTTALNEAGDRLVIVEFYGTWCASCRALFPKLCKTAQEHPEILFLKVDFDENKAMCKSLNVKVLPYFHFYRGAHGQLESFSCSLVKTTVRQR >KJB55355 pep chromosome:Graimondii2_0_v6:9:5138994:5141056:-1 gene:B456_009G072200 transcript:KJB55355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDNPPSSPSFPPELDLDHLKAIKILGKGALGSVFLVHHTSTDPIAPSPFALKVVQRSKPDYDRRARWEIEVLSRLSPPNPTPHHPFLPRLLGLLQTPDLIAWAVPYCPGSDLHALRYRQHDHVFSAPVIQFYLAEIICALDHLHSLGIVYRDLKPENILIQHSGHVTLTDFDLSRNLKKKQLSETVADLKMDPNSSPAIPVRRNFIRWVPVVADNKYRKAYKKPKPARVSPVSRRKPSFSNGERSNSFVGTEEYVSPEVVRGDGHEFAVDWWAFGILTYEMLYGTTPFKGKSRKETFRNVLYKEPEFIGQRSDLTDLIQRLLHKDPRKRLGYHRGACEIKEHAFFKGVRWDLLTEVLRPPFIPSIEEGDLTERGQIDIKKYYQSLKTPLSMPPSPLPSPSSEHTRNVSFSEF >KJB57932 pep chromosome:Graimondii2_0_v6:9:14417512:14419347:-1 gene:B456_009G187800 transcript:KJB57932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGLGIPVKLLHEGSGHVVTVELKSGELYRGSMIECEDNWNCQLDNITFTAKDGKVSQHEHVFIRDLWSYQTC >KJB57934 pep chromosome:Graimondii2_0_v6:9:14417533:14419347:-1 gene:B456_009G187800 transcript:KJB57934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGLGIPVKLLHEGSGHVVTVELKSGELYRGSMIECEDNWNCQLDNITFTAKDGKVSQHEHVFIRDLWSYQTC >KJB57930 pep chromosome:Graimondii2_0_v6:9:14417533:14419319:-1 gene:B456_009G187800 transcript:KJB57930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGLGIPVKLLHEGSGHVVTVELKSGELYRGSMIECEDNWNCQLDNITFTAKDGKVSQHEHVFIRGNKVRFMVIPDMLKNAPMFKRLDAKIKGRSSSLGSWQR >KJB57933 pep chromosome:Graimondii2_0_v6:9:14418061:14419217:-1 gene:B456_009G187800 transcript:KJB57933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGLGIPVKLLHEGSGHVVTVELKSGELYRGSMIECEDNWNCQLDNITFTAKDGKVSQHEHVFIRGNKVRFMVIPDMLKNAPMFKRLDAKIKGRSSSLGSWQR >KJB57931 pep chromosome:Graimondii2_0_v6:9:14417507:14419366:-1 gene:B456_009G187800 transcript:KJB57931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGLGIPVKLLHEGSGHVVTVELKSGELYRGSMIECEDNWNCQLDNITFTAKDGKVSQHEHVFIRGNKVRFMVIPDMLKNAPMFKRLDAKIKELLSWELAEVEQLP >KJB59848 pep chromosome:Graimondii2_0_v6:9:23125893:23129345:-1 gene:B456_009G276000 transcript:KJB59848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYSFLNDQLSKRTSIFSLQLWVVLGICVGAAIVIVLFLISLWITCKRQNKATCSSNNVVKPILNSSIPIASKEFQEIRVEPSHHSNPVLLPESDPVLILHPEEGSGAVSRCNGIHIEIGKDHKVASPERGSGSSHGSGEARCSDQGMTVRAVPEVSHLGWGHWYTLRELEESTNDFAADNVIGEGGYGIVYRGVLEDNSKVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPCSPLTWEIRMNIVLGTAKGLAYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSGRSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILLMEIISGRTPVDYSRPQGEVNLVEWIKSMVSNRNAEGVLDPRLPEKPSSRALKRALLVALRCVDPNAQKRPKMGHVIHMLEADEFPFRDVS >KJB59849 pep chromosome:Graimondii2_0_v6:9:23125893:23129527:-1 gene:B456_009G276000 transcript:KJB59849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYSFLNDQLSKRTSIFSLQLWVVLGICVGAAIVIVLFLISLWITCKRQNKATCSSNNVVKPILNSSIPIASKEFQEIRVEPSHHSNPVLLPESDPVLILHPEEGSGAVSRCNGIHIEIGKDHKVASPERGSGSSHGSGEARCSDQGMTVRAVPEVSHLGWGHWYTLRELEESTNDFAADNVIGEGGYGIVYRGVLEDNSKVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPCSPLTWEIRMNIVLGTAKGLAYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSGRSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILLMEIISGRTPVDYSRPQGEVNLVEWIKSMVSNRNAEGVLDPRLPEKPSSRALKRALLVALRCVDPNAQKRPKMGHVIHMLEADEFPFRDERKDGRENMRSLRDDVKNRLTDKRVNESGDSSGYESGAQTNRSLWRKQES >KJB59850 pep chromosome:Graimondii2_0_v6:9:23125893:23129497:-1 gene:B456_009G276000 transcript:KJB59850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYSFLNDQLSKRTSIFSLQLWVVLGICVGAAIVIVLFLISLWITCKRQNKATCSSNNVVKPILNSSIPIASKEFQEIRVEPSHHSNPVLLPESDPVLILHPEEGSGAVSRCNGIHIEIGKDHKVASPERGSGSSHGSGEARCSDQGMTVRAVPEVSHLGWGHWYTLRELEESTNDFAADNVIGEGGYGIVYRGVLEDNSKVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPCSPLTWEIRMNIVLGTAKGLAYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSGRSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILLMEIISGRTPVDYSRPQGEVNLVEWIKSMVSNRNAEGVLDPRLPEKPSSRALKRALLVALRCVDPNAQKRPKMGHVIHMLEADEFPFRDERKDGRENMRSLRDDVKNRLTDKRVNESGDSSGYESGAQTNRSLWRKQES >KJB56480 pep chromosome:Graimondii2_0_v6:9:8978576:8980757:-1 gene:B456_009G121100 transcript:KJB56480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKADFTVTVSKDETVAAVLPLQQHWLPLSNLDLLLPPVNVGVSFCYKKPESSIVSFTSMASVLKEAMAQALVYYYAFAGEVVANNVGEPELLCNNRGVDFVEAYADVELRDLDLHNPDESIEGKLVPQKKHGVLSVQATELRCGGIVVACTFDHRIADAYSANMFLVSWAEMARFKSISLVPSFQRSLMNPRRPGCIAPSLDHMYVPISSLPPPKQQRQSTDHLISRIYYITSEQLNEFQSLACTNGYKRTKLESFSAFLWKLIAVLAAKDGFNCTKMGIVVDGRVRLGEGDEEKASSMSCYFGNVLSIPFAGQRVSELIEKPLWWVANQVHDFLEQAVTREHFLGLIDWVEAHRPEPALSKIYSKGGEEGPAFVVSSGQRFPVSKVDFGWGRPVFGSYHFPWGGDSGYVMPMASPGREGDWVVYMHLLKRQLELIEAEASDVLRPLTSDYLELD >KJB60722 pep chromosome:Graimondii2_0_v6:9:31332115:31335671:1 gene:B456_009G322200 transcript:KJB60722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVAKKNKVMIMDHEAGNLTEEVVEDPMCIPKRISETWKPRLVEDLPDAFCGGWVGYFSYDTVRYVEKKKLPFTKAPRDDRNLPDIHLGLYNDVIVFDHVEKKAYIIHWVRLDKHSSVEKAYNEGVDHLEKLVARVQDVELPKLSPGSVALQTHHFGPSLKNSNMEKEEFKKAVLKAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRVVNPSPYMAYLQARGSILVASSPEILTRVKKNKIVNRPLAGTTRRGKTQAEDELAEKLLLSNEKECAEHIMLVDLGRNDVGKVSKYGSVKVEKLMNIERYSHVMHISSTVTGELQDHLTSWDVLRAALPVGTVSGAPKVKAMELIDELEVSRRGPYSGGFGGISFTGDMDIALALRTMVFPTGSRYDTMYSYKGSSRRQEWVAYLQAGAGVVADSDPDAEHLECQNKAAGLARSIDLAEAAFVHK >KJB60723 pep chromosome:Graimondii2_0_v6:9:31330993:31335935:1 gene:B456_009G322200 transcript:KJB60723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASMSVTSTNSTLPMPMQSSLGFSHRFLPSSHRFSQLPITRFSPAPTSLKCRGSLSSFPLVNDEKKFVEAAKKANLVPLYRCIFSDQLTPVLAYRCLVKEDDREAPSFLFESVEPGSRVSSVGRYSVVGAQPTMEIVAKKNKVMIMDHEAGNLTEEVVEDPMCIPKRISETWKPRLVEDLPDAFCGGWVGYFSYDTVRYVEKKKLPFTKAPRDDRNLPDIHLGLYNDVIVFDHVEKKAYIIHWVRLDKHSSVEKAYNEGVDHLEKLVARVQDVELPKLSPGSVALQTHHFGPSLKNSNMEKEEFKKAVLKAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRVVNPSPYMAYLQARGSILVASSPEILTRVKKNKIVNRPLAGTTRRGKTQAEDELAEKLLLSNEKECAEHIMLVDLGRNDVGKVTGELQDHLTSWDVLRAALPVGTVSGAPKVKAMELIDELEVSRRGPYSGGFGGISFTGDMDIALALRTMVFPTGSRYDTMYSYKGSSRRQEWVAYLQAGAGVVADSDPDAEHLECQNKAAGLARSIDLAEAAFVHK >KJB60721 pep chromosome:Graimondii2_0_v6:9:31330993:31335935:1 gene:B456_009G322200 transcript:KJB60721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASMSVTSTNSTLPMPMQSSLGFSHRFLPSSHRFSQLPITRFSPAPTSLKCRGSLSSFPLVNDEKKFVEAAKKANLVPLYRCIFSDQLTPVLAYRCLVKEDDREAPSFLFESVEPGSRVSSVGRYSVVGAQPTMEIVAKKNKVMIMDHEAGNLTEEVVEDPMCIPKRISETWKPRLVEDLPDAFCGGWVGYFSYDTVRYVEKKKLPFTKAPRDDRNLPDIHLGLYNDVIVFDHVEKKAYIIHWVRLDKHSSVEKAYNEGVDHLEKLVARVQDVELPKLSPGSVALQTHHFGPSLKNSNMEKEEFKKAVLKAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRVVNPSPYMAYLQARGSILVASSPEILTRVKKNKIVNRPLAGTTRRGKTQAEDELAEKLLLSNEKECAEHIMLVDLGRNDVGKVFPSAATVFFCSIFVFGSA >KJB60720 pep chromosome:Graimondii2_0_v6:9:31330836:31335935:1 gene:B456_009G322200 transcript:KJB60720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASMSVTSTNSTLPMPMQSSLGFSHRFLPSSHRFSQLPITRFSPAPTSLKCRGSLSSFPLVNDEKKFVEAAKKANLVPLYRCIFSDQLTPVLAYRCLVKEDDREAPSFLFESVEPGSRVSSVGRYSVVGAQPTMEIVAKKNKVMIMDHEAGNLTEEVVEDPMCIPKRISETWKPRLVEDLPDAFCGGWVGYFSYDTVRYVEKKKLPFTKAPRDDRNLPDIHLGLYNDVIVFDHVEKKAYIIHWVRLDKHSSVEKAYNEGVDHLEKLVARVQDVELPKLSPGSVALQTHHFGPSLKNSNMEKEEFKKAVLKAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRVVNPSPYMAYLQARGSILVASSPEILTRVKKNKIVNRPLAGTTRRGKTQAEDELAEKLLLSNEKECAEHIMLVDLGRNDVGKVSKYGSVKVEKLMNIERYSHVMHISSTVTGELQDHLTSWDVLRAALPVGTVSGAPKVKAMELIDELEVSRRGPYSGGFGGISFTGDMDIALALRTMVFPTGSRYDTMYSYKGSSRRQEWVAYLQAGAGVVADSDPDAEHLECQNKAAGLARSIDLAEAAFVHK >KJB62441 pep chromosome:Graimondii2_0_v6:9:64545088:64546902:-1 gene:B456_009G417000 transcript:KJB62441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFKTGYPSVTTLNPFSTPPPTDHHHHRSPLRSPPVHHTPTSPSSFTTVAAKLRTQRRFCIQKIRSLGSKNCTQV >KJB62440 pep chromosome:Graimondii2_0_v6:9:64546291:64546902:-1 gene:B456_009G417000 transcript:KJB62440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFKTGYPSVTTLNPFSTPPPTDHHHHRSPLRSPPVHHTPTSPSSFTTVAAKLRTQRRFCIQKIRSLGSKNCTQV >KJB60649 pep chromosome:Graimondii2_0_v6:9:29911806:29914239:-1 gene:B456_009G317100 transcript:KJB60649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPNTKDKLMVAAERGEIGMLYQLIEEDGNVLHRMDKMDVVNTPLHMASSKGYIDFAMEMMYLKPSLAKKLNKEGLSPVHVALKCGHTQLAVSLLRLDKSLLGVKGKMGYTPLHYLVMYEKDNDNLNRFFDDYHPCIINDLTSQGETALHVAARYNNQALTCLLKWLRTTAKFSMLQKHKLLDVGNRDKETVLHVLAQYQPQPQIVKMLSSDLRINTEAKNSKRQTALQILESSDKKGNDDIKKCVSILRHTKFWDPVAILRRTPFYIMAVITQWGYEIKTMSPDNGNAMLVVTVLILTTSYQASLSPPGGVLPADVPGKDNSNKFSNLQIYIGSINGTTSSNHSYHVVENIDFKPNTSTVGSSVLKNGPFLWFFVPNILAFSTSFLLTCLVIPTLVSGFFSFVLTLSLSTLLFCLLDSALVIISPDNQTSQILFTCVYTIVYITYLAIAFVVVPKMRKYVIS >KJB59085 pep chromosome:Graimondii2_0_v6:9:18872683:18876329:-1 gene:B456_009G238200 transcript:KJB59085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAETLTLVLVNLAGIMERADESLLPGVYKEVGAALHADPTGLGSLTLFRSIVQSACYPIAAYLAVRHNRAHVIALGAFLWAAATFLVAFSSSFFQVAISRALNGIGLAIVGPAIQSLVADSTDDSNRGMAFGWLQLTSNLGSVIGGFIAVLIAPIAFIGIPGWRIAFHLVGLISIIVGTLVYLFANDPHFSDSGAKSSNQMSNRTLWSEVKGLVLEAKSVVKIPSFQIIVAQGATGSIPWSALSFAPMWLELIGFSHKKTAFLIAMFVIATSVGGLFGGRMGDFLSTRLPNSGRIILAQISSASAIPLAAILLLVLPDDPSTGVMHGLVLIIVGFCISWNAPAANNPIFAEIVPEKSRTSVYALDRSFESILSSFAPPAVGILAQHVYGYKPIQKGASESEEIATDRENALSLAKALYTAIGIPMALCCFIYSFLYFTYPKDRERAQMEAFIESEMRELLSDTMPEGGDHSQAQPFELEEPYIKDRTIIEVDYEDEVDLDPDENDEKPILHASRHSL >KJB59086 pep chromosome:Graimondii2_0_v6:9:18873114:18875327:-1 gene:B456_009G238200 transcript:KJB59086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGWLQLTSNLGSVIGGFIAVLIAPIAFIGIPGWRIAFHLVGLISIIVGTLVYLFANDPHFSDSGAKSSNQMSNRTLWSEVKGLVLEAKSVVKIPSFQIIVAQGATGSIPWSALSFAPMWLELIGFSHKKTAFLIAMFVIATSVGGLFGGRMGDFLSTRLPNSGRIILAQISSASAIPLAAILLLVLPDDPSTGVMHGLVLIIVGFCISWNAPAANNPIFAEIVPEKSRTSVYALDRSFESILSSFAPPAVGILAQHVYGYKPIQKGASESEEIATDRENALSLAKALYTAIGIPMALCCFIYSFLYFTYPKDRERAQMEAFIESEMRELLSDTMPEGGDHSQAQPFELEEPYIKDRTIIEVDYEDEVDLDPDENDEKPILHASRHSL >KJB59084 pep chromosome:Graimondii2_0_v6:9:18872683:18876098:-1 gene:B456_009G238200 transcript:KJB59084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAETLTLVLVNLAGIMERADESLLPGVYKEVGAALHADPTGLGSLTLFRSIVQSACYPIAAYLAVRHNRAHVIALGAFLWAAATFLVAFSSSFFQVAISRALNGIGLAIVGPAIQSLVADSTDDSNRGMAFGWLQLTSNLGSVIGGFIAVLIAPIAFIGIPGWRIAFHLVGLISIIVGTLVYLFANDPHFSDSGAKSSNQMSNRTLWSEVKGLVLEAKSVVKIPSFQIIVAQGATGSIPWSALSFAPMWLELIGFSHKKTAFLIAMFVIATSVGGLFGGRMGDFLSTRLPNSGRIILAQISSASAIPLAAILLLVLPDDPSTGVMHGLVLIIVGFCISWNAPAANNPIFAEIVPEKSRTSVYALDRSFESILSSFAPPAVGILAQHVYGYKPIQKGASESEEIATDRENALSLAKALYTAIGIPMALCCFIYSFLYFTYPKDRERAQMEAFIESEMRELLSDTMPEGGDHSQAQPFELEEPYIKDRTIIEVDYEDEVDLDPDENDEKPILHASRHSL >KJB57552 pep chromosome:Graimondii2_0_v6:9:13091599:13097915:-1 gene:B456_009G169700 transcript:KJB57552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 11 [Source:Projected from Arabidopsis thaliana (AT4G04970) UniProtKB/Swiss-Prot;Acc:Q9S9U0] MTSLRQRPVPTRGRGANPRSQPPPPMQQVYNIIPVHDLIADHPSLRHPEVRAVASALLRPVLNLPKPPFVTLAPNMDLMDWLGVSFGFQNDNVRNQRENLVLHLANCQMRLQPPPANPDEVHRGVLQSFRKKLLHNYTSWCSFLRVKPHVHLPSRRGNSYDPTRELLYVSLYLLIWGEAANLRFCPELLSYIYHHMAMELTKFLDQHIDEFTGRPFVPSISGDCAFLKSIVMPFYRTIKTEVENSRNGTAPHSAWRNYDDINEYFWSRRCFKTLKWPINYECNFFDTAPKTERVGKTGFVEQRSFWNVFRSFDRLWILLILFLQASIIVAWTGKKFPWDALKERDVQVDLLTVFITWAGLRFWQSVLDAGTQYSLVSKERVWLGIRMVLKSMAALTWIVVFAVFYQRIWSQKNADRGWYPEADQRIVTFLEAVFVYLIPEMLSILFFVIPWVRNWIEGLDWMVVSWLTWWFHTRTFVGRGLREGLVDNIRYTLFWIVVLLWKFSFSYFLQIKPLVGPTKVLLNLPNVRYNWHQFFGNSNRFAVMLLWTPVVLIYFVDLQIWYLVFSSFVGATNGLFSHLGEIRNMEQLRLRFQFFASAMQFNLMPEDQLLSPKATLVKKFRDAIHRLKLRYGLGQPYKKIESSQVEATRFALIWNEIIITLREEDLISDREVELMELPPNCWNIRVIRWPCFLLCNEFLLALSQAKELADAPDLWLWVKICKNEYGRCAVIEAYDSIKHVLLTLIRYGTEEYNIVLTLFEKIDLCVQNGQLTASYKMAVLQKIHGKLVSLIDLLVKQKNDLSQTVNLLQALYELCVREFPKKQRPIYELMEEGLAPKNVAADEGLLFENAINIPDAEDADFYKQLRRLQTILTSKDSMHNVPLNLEARRRIAFFSNSLFMNMPHAPNVEKMMAFSVLTPYYDEDVLYKKGMLQDENEDGISTLFYLQKIYEDEWRNFIERMRREGMDDDEDDIWREKLRDLRLWASYRGQTLSRTVRGMMYYYRALKMLSFLDSASEMDIRMGTQEIATHHSLNNRNRDAIKLPTAKKFNRAVSGVSLLFKGHEYGFALMKFTYVVTCQLYGRQKAKGESHAEEILFLMKNNEALRVAYVDEVELGRNEVEYYSVLVKYDQQLQREVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYFEEALKMRNLLEEFKTNYGIRKPTILGVRENVFTGSVSSLARFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQILSRDVYRLGHRLDFFRMFSFFFSTVGHYFNTMVVVLTVYTFLWGRLYLALSGVEGGAKNQSISSEAVGTILNQQFVIQLGLFTALPMVVENSLEHGFLPAIWDFLKMQLQLASFFFTFSMGTRTHFFGRTILHGGAKYRATGRGFVVEHKRFAENYRLYARSHFVKAIELGVILAVYASYSPLAKDSFVYIALTISSWFLVVSWIMSPFVFNPSGFDWLKTVYDFDDFINWIWSSGGAASEANKSWEIWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGIVYQLGIAGKRTSVAVYLVSWTFMIVAVGIYVVIAYAQDKYAAKKHIYYRVVQLVVIVLTVLVIVLLLEFTPFKFTDLVTSLMAFIPTGWGLISIAQVLRPFLQSTMVWDTVVSLARLYDLLFGLIVIAPVALVSWLPGFQAMQTRILFNEAFSRGLQISRIISGKKSTA >KJB57551 pep chromosome:Graimondii2_0_v6:9:13091508:13097915:-1 gene:B456_009G169700 transcript:KJB57551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 11 [Source:Projected from Arabidopsis thaliana (AT4G04970) UniProtKB/Swiss-Prot;Acc:Q9S9U0] MTSLRQRPVPTRGRGANPRSQPPPPMQQVYNIIPVHDLIADHPSLRHPEVRAVASALLRPVLNLPKPPFVTLAPNMDLMDWLGVSFGFQNDNVRNQRENLVLHLANCQMRLQPPPANPDEVHRGVLQSFRKKLLHNYTSWCSFLRVKPHVHLPSRRGNSYDPTRELLYVSLYLLIWGEAANLRFCPELLSYIYHHMAMELTKFLDQHIDEFTGRPFVPSISGDCAFLKSIVMPFYRTIKTEVENSRNGTAPHSAWRNYDDINEYFWSRRCFKTLKWPINYECNFFDTAPKTERVGKTGFVEQRSFWNVFRSFDRLWILLILFLQASIIVAWTGKKFPWDALKERDVQVDLLTVFITWAGLRFWQSVLDAGTQYSLVSKERVWLGIRMVLKSMAALTWIVVFAVFYQRIWSQKNADRGWYPEADQRIVTFLEAVFVYLIPEMLSILFFVIPWVRNWIEGLDWMVVSWLTWWFHTRTFVGRGLREGLVDNIRYTLFWIVVLLWKFSFSYFLQIKPLVGPTKVLLNLPNVRYNWHQFFGNSNRFAVMLLWTPVVLIYFVDLQIWYLVFSSFVGATNGLFSHLGEIRNMEQLRLRFQFFASAMQFNLMPEDQLLSPKATLVKKFRDAIHRLKLRYGLGQPYKKIESSQVEATRFALIWNEIIITLREEDLISDREVELMELPPNCWNIRVIRWPCFLLCNEFLLALSQAKELADAPDLWLWVKICKNEYGRCAVIEAYDSIKHVLLTLIRYGTEEYNIVLTLFEKIDLCVQNGQLTASYKMAVLQKIHGKLVSLIDLLVKQKNDLSQTVNLLQALYELCVREFPKKQRPIYELMEEGLAPKNVAADEGLLFENAINIPDAEDADFYKQLRRLQTILTSKDSMHNVPLNLEARRRIAFFSNSLFMNMPHAPNVEKMMAFSVLTPYYDEDVLYKKGMLQDENEDGISTLFYLQKIYEDEWRNFIERMRREGMDDDEDDIWREKLRDLRLWASYRGQTLSRTVRGMMYYYRALKMLSFLDSASEMDIRMGTQEIATHHSLNNRNRDAIKLPTAKKFNRAVSGVSLLFKGHEYGFALMKFTYVVTCQLYGRQKAKGESHAEEILFLMKNNEALRVAYVDEVELGRNEVEYYSVLVKYDQQLQREVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYFEEALKMRNLLEEFKTNYGIRKPTILGVRENVFTGSVSSLARFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQILSRDVYRLGHRLDFFRMFSFFFSTVGHYFNTMVVVLTVYTFLWGRLYLALSGVEGGAKNQSISSEAVGTILNQQFVIQLGLFTALPMVVENSLEHGFLPAIWDFLKMQLQLASFFFTFSMGTRTHFFGRTILHGGAKYRATGRGFVVEHKRFAENYRLYARSHFVKAIELGVILAVYASYSPLAKDSFVYIALTISSWFLVVSWIMSPFVFNPSGFDWLKTVYDFDDFINWIWSSGGAASEANKSWEIWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGIVYQLGIAGKRTSVAVYLVSWTFMIVAVGIYVVIAYAQDKYAAKKHIYYRVVQLVVIVLTVLVIVLLLEFTPFKFTDLVTSLMAFIPTGWGLISIAQVLRPFLQSTMVWDTVVSLARLYDLLFGLIVIAPVALVSWLPGFQAMQTRILFNEAFSRGLQISRIISGKKSTA >KJB57553 pep chromosome:Graimondii2_0_v6:9:13091542:13098145:-1 gene:B456_009G169700 transcript:KJB57553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 11 [Source:Projected from Arabidopsis thaliana (AT4G04970) UniProtKB/Swiss-Prot;Acc:Q9S9U0] MTSLRQRPVPTRGRGANPRSQPPPPMQQVYNIIPVHDLIADHPSLRHPEVRAVASALLRPVLNLPKPPFVTLAPNMDLMDWLGVSFGFQNDNVRNQRENLVLHLANCQMRLQPPPANPDEVHRGVLQSFRKKLLHNYTSWCSFLRVKPHVHLPSRRGNSYDPTRELLYVSLYLLIWGEAANLRFCPELLSYIYHHMAMELTKFLDQHIDEFTGRPFVPSISGDCAFLKSIVMPFYRTIKTEVENSRNGTAPHSAWRNYDDINEYFWSRRCFKTLKWPINYECNFFDTAPKTERVGKTGFVEQRSFWNVFRSFDRLWILLILFLQASIIVAWTGKKFPWDALKERDVQVDLLTVFITWAGLRFWQSVLDAGTQYSLVSKERVWLGIRMVLKSMAALTWIVVFAVFYQRIWSQKNADRGWYPEADQRIVTFLEAVFVYLIPEMLSILFFVIPWVRNWIEGLDWMVVSWLTWWFHTRTFVGRGLREGLVDNIRYTLFWIVVLLWKFSFSYFLQIKPLVGPTKVLLNLPNVRYNWHQFFGNSNRFAVMLLWTPVVLIYFVDLQIWYLVFSSFVGATNGLFSHLGEIRNMEQLRLRFQFFASAMQFNLMPEDQLLSPKATLVKKFRDAIHRLKLRYGLGQPYKKIESSQVEATRFALIWNEIIITLREEDLISDREVELMELPPNCWNIRVIRWPCFLLCNEFLLALSQAKELADAPDLWLWVKICKNEYGRCAVIEAYDSIKHVLLTLIRYGTEEYNIVLTLFEKIDLCVQNGQLTASYKMAVLQKIHGKLVSLIDLLVKQKNDLSQTVNLLQALYELCVREFPKKQRPIYELMEEGLAPKNVAADEGLLFENAINIPDAEDADFYKQLRRLQTILTSKDSMHNVPLNLEARRRIAFFSNSLFMNMPHAPNVEKMMAFSVLTPYYDEDVLYKKGMLQDENEDGISTLFYLQKIYEDEWRNFIERMRREGMDDDEDDIWREKLRDLRLWASYRGQTLSRTVRGMMYYYRALKMLSFLDSASEMDIRMGTQEIATHHSLNNRNRDAIKLPTAKKFNRAVSGVSLLFKGHEYGFALMKFTYVVTCQLYGRQKAKGESHAEEILFLMKNNEALRVAYVDEVELGRNEVEYYSVLVKYDQQLQREVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYFEEALKMRNLLEEFKTNYGIRKPTILGVRENVFTGSVSSLARFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQILSRDVYRLGHRLDFFRMFSFFFSTVGHYFNTMVVVLTVYTFLWGRLYLALSGVEGGAKNQSISSEAVGTILNQQFVIQLGLFTALPMVVENSLEHGFLPAIWDFLKMQLQLASFFFTFSMGTRTHFFGRTILHGGAKYRATGRGFVVEHKRFAENYRLYARSHFVKAIELGVILAVYASYSPLAKDSFVYIALTISSWFLVVSWIMSPFVFNPSGFDWLKTVYDFDDFINWIWSSGGAASEANKSWEIWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGIVYQLGIAGKRTSVAVYLVSWTFMIVAVGIYVVIAYAQDKYAAKKHIYYRVVQLVVIVLTVLVIVLLLEFTPFKFTDLVTSLMAFIPTGWGLISIAQVLRPFLQSTMVWDTVVSLARLYDLLFGLIVIAPVALVSWLPGFQAMQTRILFNEAFSRGLQISRIISGKKSTA >KJB58282 pep chromosome:Graimondii2_0_v6:9:15768459:15769763:1 gene:B456_009G203400 transcript:KJB58282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRFKLKFSRVIPSFQFCRSKKPSHLSETPGPVTHRLSPVNPKALDIFYPNLPAPPPSTPDYSFFKCHLSPKIATVGCGCRARSSHTPYLPSVDVSFESPDYSSKKVTTRLHAVVNAHNHKLQRKTCKASVSDESKNEVDKKDKKMEKERATASVSSRDSGCFSSEGTENEETKTLISASLSFSDDSFLELDESLAGESHNETKKSEKKINNGKKVKRLRSFGSKKYRGPSKPNCSKTKTKTKTGALSSESTETDPARRMMVPHRTAEEKVRESVAVVQKSEDPYEDFKRSMLEMILEKQMFEANDLEQLLQCFLSLNSRQYHGIIVEAFTEIWETLFGGHPKNLL >KJB60496 pep chromosome:Graimondii2_0_v6:9:28390219:28390848:-1 gene:B456_009G308700 transcript:KJB60496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKIIGAAFLVLLLVDFAFAARSFRSIGKGGGGGGGGGGGSGGGGSGANGLGSGSGYGLGYGSGRGSGYGSGGYGRGGGGGGGEGGGGGGGGGSSSGQGYGSGYGSGYGSGYGNGVGGGGGGGRGGGGGGGGGSGGGHGSGYGYGSGSGYGSGGGRGRGGGGGGGGGGGGGGGGGGDNGYGHGSGYGSGSGYGSGYGSGGGDDDYSP >KJB53481 pep chromosome:Graimondii2_0_v6:9:20798699:20799973:-1 gene:B456_009G253600 transcript:KJB53481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRVGYLITFPFQYDCYLF >KJB53480 pep chromosome:Graimondii2_0_v6:9:20798054:20800078:-1 gene:B456_009G253600 transcript:KJB53480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRTTKRTVPFLFVRGDGVILVSPPLRTA >KJB61524 pep chromosome:Graimondii2_0_v6:9:48531754:48532692:-1 gene:B456_009G363900 transcript:KJB61524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSGVSLCKRRNRCCWAFSAVAAVERLIKIKTGKLIPLSEQQLLDCSRNGGNQGCKGGWMMNAFDYISQNQGITTEKRYPYQQMQKNCDTQINKVATISGYRMVPENDEEALLKAVPNQPVSVALEGHGRDFQFYNGGVFTGDCGNSLTHAVTIVGYGTSKKGLNYLLIKNSWGQSWGENGYMKIQRNVERQGGLCGIAMKASYPTA >KJB61945 pep chromosome:Graimondii2_0_v6:9:53971104:53972717:-1 gene:B456_009G392900 transcript:KJB61945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQITSKQMVKPSSSKLHLLKPFQLSLIDQVSTTNYVPFIFFYLKPCDSHIDGSQFSNQPKQSLSKALTQFYPLSGRTRNNLFISHYDEGVPFVEARVKGRLSDFIEATGEVLELEALNQLLPCRPFCFFQDYSALPQLGIQVNIFDCGGIALALCCLHKIIDATTISCFLKTWAAFSLGSNGEIPDPDLLEAGSRFFPPMESMPTSINFKRLPFNEGRRKSRSFVFDANAIATLMFKAKSKSLEQPSRVASLGAFLWKHAIQASRSVSGSRKPAILCQTVNIRRKMKPQLPDYSIGNLEAVKSVNKYSQDLLQGFRTIKEQQTEIAEMVSEGNAEFYTLVSWLNTLDGKQDFGWGKSSLFSIPGVDSHNPGFSDRFILKQARQHNSIEAWVTLPDKVMAVLENDPDFLAFASPNPSLGKFKAKKQRFNGETLSGKI >KJB61944 pep chromosome:Graimondii2_0_v6:9:53971767:53972717:-1 gene:B456_009G392900 transcript:KJB61944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQITSKQMVKPSSSKLHLLKPFQLSLIDQVSTTNYVPFIFFYLKPCDSHIDGSQFSNQPKQSLSKALTQFYPLSGRTRNNLFISHYDEGVPFVEARVKGRLSDFIEATGEVLELEALNQLLPCRPFCFFQDYSALPQLGIQVNIFDCGGIALALCCLHKIIDATTISCFLKTWAAFSLGSNGEIPDPDLLEAGSRFFPPMESMPTSINFKRLPFNEGRRKSRSFVFDANAIATLMFKAKSKSLEQPSRVASLGAFLWKHAIQASRSVSGSRKPAILCQTVNIRRKMKPQLPDYSIGNLYLLPTTTYNSVGLSSE >KJB61789 pep chromosome:Graimondii2_0_v6:9:51745401:51750420:-1 gene:B456_009G381000 transcript:KJB61789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLRLTHYQLTMSWAILSTQIPYFRPLVSMLGYLETKGLVCSGCVGFCKFLKLVLVYWIDCLVRGFQSCSRLGSASLLVIIWSCFLSLTSMSCLLYVLLSKYLGYTPGLFIVGLLGILVLWMYTNFWIIGTLFIVGAGS >KJB61790 pep chromosome:Graimondii2_0_v6:9:51746297:51750420:-1 gene:B456_009G381000 transcript:KJB61790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLRLTHYQLTMSWAILSTQIPYFRPLVSMLGYLETKGLVCSGCVGFCKFLKLVLVYWIDCLVRGFQSCSRLGSASLLVIIWSCFLSLTSMSCLLYVLLSKYLGYTPGLFIVGLLGILVLWMYTNFWIIGTLFIVGGYLFSLSHARLIVLIATAYSVKVRVGWIGVFLSINLTIQFFEKNLTMPHEYP >KJB56640 pep chromosome:Graimondii2_0_v6:9:9702964:9710048:-1 gene:B456_009G129000 transcript:KJB56640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEGGDIIKASNSLRGSLRAGSLRAGSSSIWRNSAVDVFSRSSRDEDDEEALKWAALEKLPTVARLRKGILTGSQGGANEVDVDNLGWQEKRTLLERLVKVAEEDNERFLLKLKNRIDRVGIDLPTIEVRYENLNIEAEAFVGTSALPSVLNFITSIFENFLIDMGVLSSRKKKLTILKDVSGIVKPGRMTLLLGPPSSGKTTLLLALAGKLDPALQFSGSVTYNGHTMKEFVPQRTAAYISQYDLHIGEMTVRETLAFSARCQGVGTRYDMLSELSRREKQANIKPDPDIDVFMKAVATEGQEANVITDYIMKILGLDMCADTLVGNEMLRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNCLRQTVHILNGTALISLLQPAPETYNLFDDIILLSDSVIVYQGPREHVVSFFESMGFKCPERKAVADFLQEVTSRKDQMQYWARKDQPYRFITANEFAEEFQSFHVGMKLGEELGTPFDKTKSHPAALATKKYGLGKWELLKACFARELLLMKRNSFVYIFKIIQLTIVSCITMTLFLRTEMDRDSVQGGSNYMGALFFGMIFLMFCGMPELSMTITLLPVFYKQRDLLFFPPWVYALPSWILKIPMTLIEVSVWVFITYYTVGFDPNIQRFVRQFLLLVLISQTAASLFRFIASATRNIIVANTFGTFSLLVLFALSGFVLAREDIRGWWIWGYWISPLMYGQNALMVNEFLGHQWSPVPPGSNESIGLQVLKSRSFFHESYWYWLGVGALVIFVVLYNVFFTLALTYLKPFEKNRAVISEKPQSNDQANGVGGSIQLADHENSSSHVNRSELQDDIQRNTSSSKSFSLSDATLRINGKKKKGMVLPFEPHSLTFEDIYYSVDMPQEMKEQSITEDDRLVLLKGISGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITVSGFPKKQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLANDIDAETRKMFIEEVMELVELDSLRHAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGHHSKYLIKYFEGIQGVSKIKDGYNPATWMLEVTASAQELSLGVDFADIYKNSHLYRRNKALIEDLSKPAPGAKELYFATQYSQPFLSQCAACLWKQHWSYWRNPPYTAVRFLFTTAIALMFGTLFWDLGSKTKKLQDLSNVMGSMYAAVLFIGIQNSSSVQPVVSVERTVFYRERAAGMYSAMPYAIGQVLIEIPYIFVQASGYGIIVYSMVGFEWTAAKFFWYIFFMLFTLLYFTFYGMMAVAVTPNSHIAAIVSSAFYGLWNLFSGFIIPRSSMPVWWRWYYWICPVSWTLYGLLVSQFGDINELLEDGNNETVKQYLRNYYGFRHDYLGLVAAVIISFAVLFGTIFAVAIKMFNFQRR >KJB56642 pep chromosome:Graimondii2_0_v6:9:9703243:9710253:-1 gene:B456_009G129000 transcript:KJB56642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEGGDIIKASNSLRGSLRAGSLRAGSSSIWRNSAVDVFSRSSRDEDDEEALKWAALEKLPTVARLRKGILTGSQGGANEVDVDNLGWQEKRTLLERLVKVAEEDNERFLLKLKNRIDRVGIDLPTIEVRYENLNIEAEAFVGTSALPSVLNFITSIFENFLIDMGVLSSRKKKLTILKDVSGIVKPGRMTLLLGPPSSGKTTLLLALAGKLDPALQFSGSVTYNGHTMKEFVPQRTAAYISQYDLHIGEMTVRETLAFSARCQGVGTRYDMLSELSRREKQANIKPDPDIDVFMKAVATEGQEANVITDYIMKILGLDMCADTLVGNEMLRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNCLRQTVHILNGTALISLLQPAPETYNLFDDIILLSDSVIVYQGPREHVVSFFESMGFKCPERKAVADFLQEVTSRKDQMQYWARKDQPYRFITANEFAEEFQSFHVGMKLGEELGTPFDKTKSHPAALATKKYGLGKWELLKACFARELLLMKRNSFVYIFKIIQLTIVSCITMTLFLRTEMDRDSVQGGSNYMGALFFGMIFLMFCGMPELSMTITLLPVFYKQRDLLFFPPWVYALPSWILKIPMTLIEVSVWVFITYYTVGFDPNIQRFVRQFLLLVLISQTAASLFRFIASATRNIIVANTFGTFSLLVLFALSGFVLAREDIRGWWIWGYWISPLMYGQNALMVNEFLGHQWSPVPPGSNESIGLQVLKSRSFFHESYWYWLGVGALVIFVVLYNVFFTLALTYLKPFEKNRAVISEKPQSNDQANGVGGSIQLADHENSSSHVNRSELQDDIQRNTSSSKSFSLSDATLRINGKKKKGMVLPFEPHSLTFEDIYYSVDMPQEMKEQSITEDDRLVLLKGISGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITVSGFPKKQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLANDIDAETRKMFIEEVMELVELDSLRHAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGHHSKYLIKYFEGIQGVSKIKDGYNPATWMLEVTASAQELSLGVDFADIYKNSHLYRRNKALIEDLSKPAPGAKELYFATQYSQPFLSQCAACLWKQHWSYWRNPPYTAVRFLFTTAIALMFGTLFWDLGSKTKKLQDLSNVMGSMYAAVLFIGIQNSSSVQPVVSVERTVFYRERAAGMYSAMPYAIGQVLIEIPYIFVQASGYGIIVYSMVGFEWTAAKFFWYIFFMLFTLLYFTFYGMMAVAVTPNSHIAAIVSSAFYGLWNLFSGFIIPRSVCATYI >KJB56641 pep chromosome:Graimondii2_0_v6:9:9702635:9710377:-1 gene:B456_009G129000 transcript:KJB56641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEGGDIIKASNSLRGSLRAGSLRAGSSSIWRNSAVDVFSRSSRDEDDEEALKWAALEKLPTVARLRKGILTGSQGGANEVDVDNLGWQEKRTLLERLVKVAEEDNERFLLKLKNRIDRVGIDLPTIEVRYENLNIEAEAFVGTSALPSVLNFITSIFENFLIDMGVLSSRKKKLTILKDVSGIVKPGRMTLLLGPPSSGKTTLLLALAGKLDPALQFSGSVTYNGHTMKEFVPQRTAAYISQYDLHIGEMTVRETLAFSARCQGVGTRYDMLSELSRREKQANIKPDPDIDVFMKAVATEGQEANVITDYIMKILGLDMCADTLVGNEMLRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNCLRQTVHILNGTALISLLQPAPETYNLFDDIILLSDSVIVYQGPREHVVSFFESMGFKCPERKAVADFLQEVTSRKDQMQYWARKDQPYRFITANEFAEEFQSFHVGMKLGEELGTPFDKTKSHPAALATKKYGLGKWELLKACFARELLLMKRNSFVYIFKIIQLTIVSCITMTLFLRTEMDRDSVQGGSNYMGALFFGMIFLMFCGMPELSMTITLLPVFYKQRDLLFFPPWVYALPSWILKIPMTLIEVSVWVFITYYTVGFDPNIQRFVRQFLLLVLISQTAASLFRFIASATRNIIVANTFGTFSLLVLFALSGFVLAREDIRGWWIWGYWISPLMYGQNALMVNEFLGHQWSPVPPGSNESIGLQVLKSRSFFHESYWYWLGVGALVIFVVLYNVFFTLALTYLKPFEKNRAVISEKPQSNDQANGVGGSIQLADHENSSSHVNRSELQDDIQRNTSSSKSFSLSDATLRINGKKKKGMVLPFEPHSLTFEDIYYSVDMPQEMKEQSITEDDRLVLLKGISGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITVSGFPKKQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLANDIDAETRKMFIEEVMELVELDSLRHAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGHHSKYLIKYFEGIQGVSKIKDGYNPATWMLEVTASAQELSLGVDFADIYKNSHLYRRNKALIEDLSKPAPGAKELYFATQYSQPFLSQCAACLWKQHWSYWRNPPYTAVRFLFTTAIALMFGTLFWDLGSKTKKLQDLSNVMGSMYAAVLFIGIQNSSSVQPVVSVERTVFYRERAAGMYSAMPYAIGQVLIEIPYIFVQASGYGIIVYSMVGFEWTAAKFF >KJB58556 pep chromosome:Graimondii2_0_v6:9:16695025:16697303:-1 gene:B456_009G214800 transcript:KJB58556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELMMGYDGGNSFVRRIEETALNEATTAGIQGVEELIRLMSKSQQLYNQDASSKTSSPSGSEPATELQAITDKTVKSFKKVISLLGQPRTGHARFRRAPLSHLDQEVKHQQQDARQPRQKIPESGDSSLQVAKNQVSAFKPFCSSLGHRLPPLPHNHHQSKSSSLLVPKTGLLERNETASAINFSSSPPLSDGNSFMSSLTGDNDSMHPSLSSGFQFTSPSHIPCSGKPHFSSSLKRKCNSKDDAALKCGSSSSRCHCSKKRKSRVKRVIRVPAISTKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERAMDDPMMLIVTYEGDHNHSHISDSPAPLVLESS >KJB61521 pep chromosome:Graimondii2_0_v6:9:48427225:48438555:1 gene:B456_009G363600 transcript:KJB61521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVFSLFKMVSVLFLGFLALNCFTEFGSNAQVLPDSEVETLQTVFSKMQHPNASRISPTFCSETSWNYTISDLVESSIACDCSDANNTICHVTQILIKGHNLTGILPSELGNLTRLQVVDLTRNYLNGSILSSLSDLPLTNLSLLGNRLSGPIPPEIGDISTLLNLVLEDNLLGGSLPSNLGNLGRLDRFLLSGNNFTGRIPESFGNLKNLTDFRIDGNSLSGRMPDFIGNWTKLSRLDMQGTSMEGPIPSTISELKNLTELRISDLNGTSSAFPNLEGMKDMKELVLRNCLITGSIPANIGEMASLKTLDLSFNRLTGQIPGTVEDLANLNFLFLTNNSLSGEVPPWILNSDNNIDLSYNNFTSSAQTSCQQANVNLVSGSSSAANSDSAPWCLRKDLPCPRNPDHHSLFINCGGEATTSVDGDDYEEDLSNSGPSTFFSSANKWAYSSTGVYLGNEGAPYTARTSSAVKGSEFYKTARIAPQSLKYYGLCLRQGNYKVQLHFAEIMFSDNQTFDSLGRRLFDVSIQGQVVLEDFNIVEEAGGVGKGITREFNVDVNGSTLEIHLFWRGKGTAAIPNRGVYGPLISAITVTPNFKVDTGNGLSAGAIAGIVIGSCVIIVLLLIILRLTGYLGGKDNENSELQGLELQTGYFSLRQIKAATNNFDSTNKIGEGGFGPVYKGVLSDGMVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLLIYEYLENNSLARALFGRDEHRLTLDWSTRKKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVLLEIVSGKSNTNYRPKEEFVYLLDWAYVQQEQGNLLELVDPSLGSKYSNEEALRMLNIALLCTNPSPTLRPSMSSVVSMMEGKIPVQAPLIKRKDADRDARFRAFEMLSHDSQMNVSIHSHDSQGPRSTSIDGSWIDSSISLPDETQPHSSSRMLLEKPLENN >KJB55551 pep chromosome:Graimondii2_0_v6:9:5933282:5940551:-1 gene:B456_009G081900 transcript:KJB55551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGVHVENLKEIEVTSARDVIQQLIQGAANRKVATTNMNRASSRSHSVFTCIIESKWESQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVNISNGKSLHVPYRDSKLTFLLQDSLGGNAKTTIIANISPANCCSLETLSTLKFAQRAKFIKNNAVVNEDASGDVVAMRLQIQQLKKEVSRLRAFVNGKSENLDNDNLASSIPASPGPFKWECPPGSFSPLTSDKRMSQKKDYEVALVGAFKREREKEAALQALTAENQAAMQLAKQREDEIQSLKMRLRFREAGIKRLEAVASGKISAEAHLLKEKEEYLKEIEVLRAQVDRNQEVTRFALENLRLKEEIRRLKSLCDEGQVEMMNEQIKALHNKLLEALDWKLMHESDSLMNEKTKSKGSGVNDDLNELISSQEQDSAWGSNLKEENEFLRMQAIHNKAEMDVLQKKLEFCLDEKGELERYVSELLNKLEEERSSRLEKEAVQQTVVRSSPADVPTIKLNDQLELKTMVDAIAAASQREAEAHERAFKLSQENEELRSKLKSYVEDNKQLLELYEQNAAERNYKGSNEGEINENDTTDHTDAALHENCEEKQVELKKVVDNLEQQLTEMHEENEKLMGLYERAMQERDEFKRMFSSSGTPNRMEPREFECPEKLVEVDGGEDSLDKPHVQFDSKDLEGGTAPLCSLMQDAGESLELNMLGAIEVIPSVKDVHSDLQSEAGNYMEIDQDATAAKLSEDLNSARAILKQALEKLSYSAKTVNEFCSLEKSFCEIDNLSREIEVTESGIEEKQRHLESVAIISSETKERKALTDSKLSALKYSLSNFSSSVAYFEQREARARMRLNASLSYLDNKKDELANLKKSKAEIDVLLSKIRESESATRSNIVLLKSKLEEESNRQENDKILFAIDNLDKVDPSQRNLCLGGKATELLKTEEEKSKLQNEIKSSRESLAAIKMRFQDLNKKLMKVEKDMEGVSMEIQKGSKSVEELKFAMESAIQEKNTLLEIAENGKAEIDNLILEYQQSIFYVDLTEAEMKAIDEELKLESRRLEQLQIMRATSGKKVEQWLSHSGLLSEKLGAELQSVWATFEEAKSLLESEH >KJB55552 pep chromosome:Graimondii2_0_v6:9:5933282:5942475:-1 gene:B456_009G081900 transcript:KJB55552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDIEGGTRRHSVNCGMTPRVFEYLFTRIQKEKDTRKDEKLRFTCKCSFLEIYNEQILDLLDPSSTNLQIREDMKKGVHVENLKEIEVTSARDVIQQLIQGAANRKVATTNMNRASSRSHSVFTCIIESKWESQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVNISNGKSLHVPYRDSKLTFLLQDSLGGNAKTTIIANISPANCCSLETLSTLKFAQRAKFIKNNAVVNEDASGDVVAMRLQIQQLKKEVSRLRAFVNGKSENLDNDNLASSIPASPGPFKWECPPGSFSPLTSDKRMSQKKDYEVALVGAFKREREKEAALQALTAENQAAMQLAKQREDEIQSLKMRLRFREAGIKRLEAVASGKISAEAHLLKEKEEYLKEIEVLRAQVDRNQEVTRFALENLRLKEEIRRLKSLCDEGQVEMMNEQIKALHNKLLEALDWKLMHESDSLMNEKTKSKGSGVNDDLNELISSQEQDSAWGSNLKEENEFLRMQAIHNKAEMDVLQKKLEFCLDEKGELERYVSELLNKLEEERSSRLEKEAVQQTVVRSSPADVPTIKLNDQLELKTMVDAIAAASQREAEAHERAFKLSQENEELRSKLKSYVEDNKQLLELYEQNAAERNYKGSNEGEINENDTTDHTDAALHENCEEKQVELKKVVDNLEQQLTEMHEENEKLMGLYERAMQERDEFKRMFSSSGTPNRMEPREFECPEKLVEVDGGEDSLDKPHVQFDSKDLEGGTAPLCSLMQDAGESLELNMLGAIEVIPSVKDVHSDLQSEAGNYMEIDQDATAAKLSEDLNSARAILKQALEKLSYSAKTVNEFCSLEKSFCEIDNLSREIEVTESGIEEKQRHLESVAIISSETKERKALTDSKLSALKYSLSNFSSSVAYFEQREARARMRLNASLSYLDNKKDELANLKKSKAEIDVLLSKIRESESATRSNIVLLKSKLEEESNRQENDKILFAIDNLDKVDPSQRNLCLGGKATELLKTEEEKSKLQNEIKSSRESLAAIKMRFQDLNKKLMKVEKDMEGVSMEIQKGSKSVEELKFAMESAIQEKNTLLEIAENGKAEIDNLILEYQQSIFYVDLTEAEMKAIDEELKLESRRLEQLQIMRATSGKKVEQWLSHSGLLSEKLGAELQSVWATFEEAKSLLESEH >KJB55549 pep chromosome:Graimondii2_0_v6:9:5933183:5942635:-1 gene:B456_009G081900 transcript:KJB55549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFISDTASAIKSRFGFHNRTSSSESASLLSVRSPPDLLVKSAVRDNSSNFTAATSAIRSIREWEDGLTGSTEPAPQSQSFEFREDPSFWKDHNVQVIIRIRPLSSSEISLQGNSKCVRQESCQTITWTGHPESRFTFDLVADEHVSQEGLFKVAGLPMVENCMGGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRVFEYLFTRIQKEKDTRKDEKLRFTCKCSFLEIYNEQILDLLDPSSTNLQIREDMKKGVHVENLKEIEVTSARDVIQQLIQGAANRKVATTNMNRASSRSHSVFTCIIESKWESQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVNISNGKSLHVPYRDSKLTFLLQDSLGGNAKTTIIANISPANCCSLETLSTLKFAQRAKFIKNNAVVNEDASGDVVAMRLQIQQLKKEVSRLRAFVNGKSENLDNDNLASSIPASPGPFKWECPPGSFSPLTSDKRMSQKKDYEVALVGAFKREREKEAALQALTAENQAAMQLAKQREDEIQSLKMRLRFREAGIKRLEAVASGKISAEAHLLKEKEEYLKEIEVLRAQVDRNQEVTRFALENLRLKEEIRRLKSLCDEGQVEMMNEQIKALHNKLLEALDWKLMHESDSLMNEKTKSKGSGVNDDLNELISSQEQDSAWGSNLKEENEFLRMQAIHNKAEMDVLQKKLEFCLDEKGELERYVSELLNKLEEERSSRLEKEAVQQTVVRSSPADVPTIKLNDQLELKTMVDAIAAASQREAEAHERAFKLSQENEELRSKLKSYVEDNKQLLELYEQNAAERNYKGSNEGEINENDTTDHTDAALHENCEEKQVELKKVVDNLEQQLTEMHEENEKLMGLYERAMQERDEFKRMFSSSGTPNRMEPREFECPEKLVEVDGGEDSLDKPHVQFDSKDLEGGTAPLCSLMQDAGESLELNMLGAIEVIPSVKDVHSDLQSEAGNYMEIDQDATAAKLSEDLNSARAILKQALEKLSYSAKTVNEFCSLEKSFCEIDNLSREIEVTESGIEEKQRHLESVAIISSETKERKALTDSKLSALKYSLSNFSSSVAYFEQREARARMRLNASLSYLDNKKDELANLKKSKAEIDVLLSKIRESESATRSNIVLLKSKLEEESNRQENDKILFAIDNLDKVDPSQRNLCLGGKATELLKTEEEKSKLQNEIKSSRESLAAIKMRFQDLNKKLMKVEKDMEGVSMEIQKGSKSVEELKFAMESAIQEKNTLLEIAENGKAEIDNLILEYQQSIFYVDLTEAEMKAIDEELKLESRRLEQLQIMRATSGKKVEQWLSHSGLLSEKLGAELQSVWATFEEAKSLLESEH >KJB55550 pep chromosome:Graimondii2_0_v6:9:5933282:5938188:-1 gene:B456_009G081900 transcript:KJB55550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFIYFICMVLIFFQVSSYPYYPKFCFFQKEVSRLRAFVNGKSENLDNDNLASSIPASPGPFKWECPPGSFSPLTSDKRMSQKKDYEVALVGAFKREREKEAALQALTAENQAAMQLAKQREDEIQSLKMRLRFREAGIKRLEAVASGKISAEAHLLKEKEEYLKEIEVLRAQVDRNQEVTRFALENLRLKEEIRRLKSLCDEGQVEMMNEQIKALHNKLLEALDWKLMHESDSLMNEKTKSKGSGVNDDLNELISSQEQDSAWGSNLKEENEFLRMQAIHNKAEMDVLQKKLEFCLDEKGELERYVSELLNKLEEERSSRLEKEAVQQTVVRSSPADVPTIKLNDQLELKTMVDAIAAASQREAEAHERAFKLSQENEELRSKLKSYVEDNKQLLELYEQNAAERNYKGSNEGEINENDTTDHTDAALHENCEEKQVELKKVVDNLEQQLTEMHEENEKLMGLYERAMQERDEFKRMFSSSGTPNRMEPREFECPEKLVEVDGGEDSLDKPHVQFDSKDLEGGTAPLCSLMQDAGESLELNMLGAIEVIPSVKDVHSDLQSEAGNYMEIDQDATAAKLSEDLNSARAILKQALEKLSYSAKTVNEFCSLEKSFCEIDNLSREIEVTESGIEEKQRHLESVAIISSETKERKALTDSKLSALKYSLSNFSSSVAYFEQREARARMRLNASLSYLDNKKDELANLKKSKAEIDVLLSKIRESESATRSNIVLLKSKLEEESNRQENDKILFAIDNLDKVDPSQRNLCLGGKATELLKTEEEKSKLQNEIKSSRESLAAIKMRFQDLNKKLMKVEKDMEGVSMEIQKGSKSVEELKFAMESAIQEKNTLLEIAENGKAEIDNLILEYQQSIFYVDLTEAEMKAIDEELKLESRRLEQLQIMRATSGKKVEQWLSHSGLLSEKLGAELQSVWATFEEAKSLLESEH >KJB53297 pep chromosome:Graimondii2_0_v6:9:8366507:8378572:-1 gene:B456_009G114200 transcript:KJB53297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVTMVWIDYGKGIEPVGSVAGAAAMGDIGRSRRVRDERCATMANEEEYEVEDFREGNKSSRGSRFNLIANELGLVAARARINLSRQSVLNGIKDLSDGPIHPDNRWYRAWTKFILIWALYSSFFTPFEFGFFRGLPENLFVLDIAGQIAFLLDIILHFFLAYRDPQTYRMVYKRTSIAIRYLKSSFLIDLLGCMPWDIIYKASGRKEEVRYLLWIRLYRVHKVTDFFRKMEKDIRINYLFTRIIKLIFVELYCTHTAACIFYYLATTLPREKEGYTWIGSLKLGDYSYSSFREIDLWKRYTTSMYFAIVTMATVGYGDIHAVNMREMIFIMIYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMADVIKYMNRNKLERDLRNQIKGHLRLQYESTYTEAAVLQDIPISIRAKISQSLYLPYIENASLFKECSSEFINQIVIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEGIAIGEDGSEETVSLLEPNSSFGEISILCNIPQPYTVRVCELCRLLRLDKQSFSNILEIYFYDGRKVLNNLLEGKESNLRVKQLESDISFHIGRQEAELALRVNCAAYNGDFYQLKSLIRAGADPNKTDYDGRSPLHLAASKGYEDITSFLIRHPVDINLKDKFGNTPLLEAIKNGHDNLAALLIKEGASLNIDDAGSYLCTAVAKGDSDFLRRLLSNGVDPNSRDYDHRTPLHVAASEGLYIMAKLLIEAGASVFSKDRWGNTPLDEARMCGNKNLIKLLEDAKSTQLSELAHCSKEFTDKIHPKKCTVFPFHPWDAKDQRRHGIVLWIPHTMEALVTTAAEQLDLAGASCMLTENGGKILDVDMINDGEKLYLIGGTH >KJB53300 pep chromosome:Graimondii2_0_v6:9:8368777:8379029:-1 gene:B456_009G114200 transcript:KJB53300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIGRSRRVRDERCATMANEEEYEVEDFREGNKSSRGSRFNLIANELGLVAARARINLSRQSVLNGIKDLSDGPIHPDNRWYRAWTKFILIWALYSSFFTPFEFGFFRGLPENLFVLDIAGQIAFLLDIILHFFLAYRDPQTYRMVYKRTSIAIRYLKSSFLIDLLGCMPWDIIYKASGRKEEVRYLLWIRLYRVHKVTDFFRKMEKDIRINYLFTRIIKLIFVELYCTHTAACIFYYLATTLPREKEGYTWIGSLKLGDYSYSSFREIDLWKRYTTSMYFAIVTMATVGYGDIHAVNMREMIFIMIYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMADVIKYMNRNKLERDLRNQIKGHLRLQYESTYTEAAVLQDIPISIRAKISQSLYLPYIENASLFKECSSEFINQIVIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEGIAIGEDGSEETVSLLEPNSSFGEISILCNIPQPYTVRVCELCRLLRLDKQSFSNILEIYFYDGRKVLNNLLEGKESNLRVKQLESDISFHIGRQEAELALRVNCAAYNGDFYQLKSLIRAGADPNKTDYDGRSPLA >KJB53298 pep chromosome:Graimondii2_0_v6:9:8366067:8379029:-1 gene:B456_009G114200 transcript:KJB53298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIGRSRRVRDERCATMANEEEYEVEDFREGNKSSRGSRFNLIANELGLVAARARINLSRQSVLNGIKDLSDGPIHPDNRWYRAWTKFILIWALYSSFFTPFEFGFFRGLPENLFVLDIAGQIAFLLDIILHFFLAYRDPQTYRMVYKRTSIAIRYLKSSFLIDLLGCMPWDIIYKASGRKEEVRYLLWIRLYRVHKVTDFFRKMEKDIRINYLFTRIIKLIFVELYCTHTAACIFYYLATTLPREKEGYTWIGSLKLGDYSYSSFREIDLWKRYTTSMYFAIVTMATVGYGDIHAVNMREMIFIMIYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMADVIKYMNRNKLERDLRNQIKGHLRLQYESTYTEAAVLQDIPISIRAKISQSLYLPYIENASLFKECSSEFINQIVIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEGIAIGEDGSEETVSLLEPNSSFGEISILCNIPQPYTVRVCELCRLLRLDKQSFSNILEIYFYDGRKVLNNLLEGKESNLRVKQLESDISFHIGRQEAELALRVNCAAYNGDFYQLKSLIRAGADPNKTDYDGRSPLHLAASKGYEDITSFLIRHPVDINLKDKFGNTPLLEAIKNGHDNLAALLIKEGASLNIDDAGSYLCTAVAKGDSDFLRRLLSNGVDPNSRDYDHRTPLHVAASEGLYIMAKLLIEAGASVFSKDRWGNTPLDEARMCGNKNLIKLLEDAKSTQLSELAHCSKEFTDKIHPKKCTVFPFHPWDAKDQRRHGIVLWIPHTMEALVTTAAEQLDLAGASCMLTENGGKILDVDMINDGEKLYLIGGTH >KJB53299 pep chromosome:Graimondii2_0_v6:9:8368854:8378572:-1 gene:B456_009G114200 transcript:KJB53299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVTMVWIDYGKGIEPVGSVAGAAAMGDIGRSRRVRDERCATMANEEEYEVEDFREGNKSSRGSRFNLIANELGLVAARARINLSRQSVLNGIKDLSDGPIHPDNRWYRAWTKFILIWALYSSFFTPFEFGFFRGLPENLFVLDIAGQIAFLLDIILHFFLAYRDPQTYRMVYKRTSIAIRYLKSSFLIDLLGCMPWDIIYKASGRKEEVRYLLWIRLYRVHKVTDFFRKMEKDIRINYLFTRIIKLIFVELYCTHTAACIFYYLATTLPREKEGYTWIGSLKLGDYSYSSFREIDLWKRYTTSMYFAIVTMATVGYGDIHAVNMREMIFIMIYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMADVIKYMNRNKLERDLRNQIKGHLRLQYESTYTEAAVLQDIPISIRAKISQSLYLPYIENASLFKECSSEFINQIVIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEGIAIGEDGSEETVSLLEPNSSFGEISILCNIPQPYTVRVCELCRLLRLDKQSFSNILEIYFYDGRKVLNNLLEGKESNLRVKQLESDISFHIGRQEAELALRVNCAAYNGDFYQLKSLIRAGADPNKTDYDGRSPLA >KJB62288 pep chromosome:Graimondii2_0_v6:9:61558498:61561141:-1 gene:B456_009G410000 transcript:KJB62288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKGFVLYLLTGFSAAILSLLFINKTTMDHNSTLFNTPHLTTVEVWPELEFNWRLVLATVIGFVGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAAISKCMIMGASAASVWYNVRVQHPTKEVPIIDYELALLFQPMLMLGITVGVALSVVFPYWLITVLIIILFIGTSSRSFYKGIEMWKEETILKKELTRQQGTVNSRGELLIDAEYEPLVPREEKSTLEILCLNLRWKRLLVLTTVWFLFTLIQVIKNDLVPCTTLYWVLFCLQFPIAVLVFGYEAMKLWRENRKRMMTGNTECICEASIQWSTLNITFCALCGVLGGTVGGLLGSGGGFILGPLLLEIGVIPQVTFIIFLVKLKKNQHHHCKVIFLLTRSPLYQSISNLYIDE >KJB62289 pep chromosome:Graimondii2_0_v6:9:61558485:61561312:-1 gene:B456_009G410000 transcript:KJB62289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLGITVGVALSVVFPYWLITVLIIILFIGTSSRSFYKGIEMWKEETILKKELTRQQGTVNSRGELLIDAEYEPLVPREEKSTLEILCLNLRWKRLLVLTTVWFLFTLIQVIKNDLVPCTTLYWVLFCLQFPIAVLVFGYEAMKLWRENRKRMMTGNTECICEASIQWSTLNITFCALCGVLGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPIPYALYLMGVSILAGFWGQYLVRKIILILRRASIIVFILSGVIFASALTMGVIGIETTIGMINNHEFMGFLDFCSSQ >KJB62287 pep chromosome:Graimondii2_0_v6:9:61559341:61561141:-1 gene:B456_009G410000 transcript:KJB62287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKGFVLYLLTGFSAAILSLLFINKTTMDHNSTLFNTPHLTTVEVWPELEFNWRLVLATVIGFVGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAAISKCMIMGASAASVWYNVRVQHPTKEVPIIDYELALLFQPMLMLGITVGVALSVVFPYWLITVLIIILFIGTSSRSFYKGIEMWKEETILKKELTRQQGTVNSRGELLIDAEYEPLVPREEKSTLEILCLNLRWKRLLVLTTVWFLFTLIQVIKNDLVPCTTLYWVLFCLQFPIAVLVFGYEAMKLWRENRKRMMTGNTECICEASIQWSTLNITFCALCGVLGGTVGGLLGSGGGFILGPLLLEIGVIPQITTLPVNIQSLHR >KJB62286 pep chromosome:Graimondii2_0_v6:9:61558411:61561315:-1 gene:B456_009G410000 transcript:KJB62286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKGFVLYLLTGFSAAILSLLFINKTTMDHNSTLFNTPHLTTVEVWPELEFNWRLVLATVIGFVGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAAISKCMIMGASAASVWYNVRVQHPTKEVPIIDYELALLFQPMLMLGITVGVALSVVFPYWLITVLIIILFIGTSSRSFYKGIEMWKEETILKKELTRQQGTVNSRGELLIDAEYEPLVPREEKSTLEILCLNLRWKRLLVLTTVWFLFTLIQVIKNDLVPCTTLYWVLFCLQFPIAVLVFGYEAMKLWRENRKRMMTGNTECICEASIQWSTLNITFCALCGVLGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPIPYALYLMGVSILAGFWGQYLVRKIILILRRASIIVFILSGVIFASALTMGVIGIETTIGMINNHEFMGFLDFCSSQ >KJB60232 pep chromosome:Graimondii2_0_v6:9:25787128:25789411:1 gene:B456_009G295600 transcript:KJB60232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYDCMLLLKPHVKKEGLIDLVARVGRHVYSRNGVLTELKSFGTVQLGYGIRKLSGRYYQGQLMQMTMMATPNINKELQYLNKEDRLLRWLLVKHRDSKYGLEFLNEDDGELELSKLSRGNIYEEDIDDDDDDDDDDEYDENPGNGGKL >KJB60230 pep chromosome:Graimondii2_0_v6:9:25786992:25789360:1 gene:B456_009G295600 transcript:KJB60230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYDCMLLLKPHVKKEGLIDLVARVGRHVYSRNGVLTELKSFGTVQLGYGIRKLSGRYYQGQLMQMTMMATPNINKELQYLNKEDRLLRWLLVKHRDSKYGLEFLNEDDGELELSKLSRGNIYEEDIDDDDDDDDDDEYDENPGNGGKL >KJB60229 pep chromosome:Graimondii2_0_v6:9:25786950:25789424:1 gene:B456_009G295600 transcript:KJB60229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYDCMLLLKPHVKKEGLIDLVARVGRHVYSRNGVLTELKSFGTVQLGYGIRKLSGRYYQGQLMQMTMMATPNINKELQYLNKEDRLLRWLLVKHRDSKYGLEFLNEDDGELELSKLSRGNIYEEDIDDDDDDDDDDEYDENPGNGGKL >KJB60231 pep chromosome:Graimondii2_0_v6:9:25787950:25789411:1 gene:B456_009G295600 transcript:KJB60231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYDCMLLLKPHVKKEGLIDLVARVGRHVYSRNGVLTELKSFGTVQLGYGIRKLSGRYYQGQLMQMTMMATPNINKELQYLNKEDRLLRWLLVKHRDSKYGLEFLNEDDGELELSKLSRGNIYEEDIDDDDDDDDDDEYDENPGNGGKL >KJB60228 pep chromosome:Graimondii2_0_v6:9:25786992:25789411:1 gene:B456_009G295600 transcript:KJB60228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYDCMLLLKPHVKKEGLIDLVARVGRHVYSRNGVLTELKSFGTVQLGYGIRKLSGRYYQGQLMQMTMMATPNINKELQYLNKEDRLLRWLLVKHRDSKYGLEFLNEDDGELELSKLSRGNIYEEDIDDDDDDDDDDEYDENPGNGGKL >KJB62701 pep chromosome:Graimondii2_0_v6:9:67678347:67681195:1 gene:B456_009G431300 transcript:KJB62701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRLSNMFTEEELKDIEGLKRGSDFIEVKCGCTSRKYGDTIGKLRVFTNGQFLISCECTPSCEEEKLTPYDFEKHSGKEGTRKWKNHIWVVMKNKKVPLWRTVLLKYYKHASNGANELTSTLAKRLFHRDEFVRCSRCKKERRFRLRTDEDCRRYHDAAKARKWKCANWPYDKITCKVDEERASRKSCRGCPRSPSCKGCTTCVCFGCFKCRFLDCKCRTCVDFVQNAEP >KJB62700 pep chromosome:Graimondii2_0_v6:9:67674315:67681195:1 gene:B456_009G431300 transcript:KJB62700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRLSNMFTEEELKDIEGLKRGSDFIEVKCGCTSRKYGDTIGKLRVFTNGQFLISCECTPSCEEEKLTPYDFEKHSGKEGTRKWKNHIWVVMKNKKVPLWRTVLLKYYKHASNGANELTSTLAKRLFHRDEFVRCSRCKKERRFRLRTDEDCRRYHDAAKARKWKCANWPYDKITCKVDEERASRKSCRGCPRSPSCKGCTTCVCFGCFKCRFLDCKCRTCVDFVQNAEP >KJB62699 pep chromosome:Graimondii2_0_v6:9:67676885:67681195:1 gene:B456_009G431300 transcript:KJB62699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRLSNMFTEEELKDIEGLKRGSDFIEVKCGCTSRKYGDTIGKLRVFTNGQFLISCECTPSCEEEKLTPYDFEKHSGKEGTRKWKNHIWVVMKNKKVPLWRTVLLKYYKHASNGANELTSTLAKRLFHRDEFVRCSRCKKERRFRLRTDEDCRRYHDAAKARKWKCANWPYDKITCKVDEERASRKSCRGCPRSPSCKGCTTCVCFGCFKCRFLDCKCRTCVDFVQNAEP >KJB62702 pep chromosome:Graimondii2_0_v6:9:67676969:67681195:1 gene:B456_009G431300 transcript:KJB62702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRLSNMFTEEELKDIEGLKRGSDFIEVKCGCTSRKYGDTIGKLRVFTNGQFLISCECTPSCEEEKLTPYDFEKHSGKEGTRKWKNHIWVVMKNKKVPLWRTVLLKYYKHASNGANELTSTLAKRLFHRDEFVRCSRCKKERRFRLRTDEDCRRYHDAAKARKWKCANWPYDKITCKVDEERASRKSCRGCPRSPSCKGCTTCVCFGCFKCRFLDCKCRTCVDFVQNAEP >KJB55168 pep chromosome:Graimondii2_0_v6:9:4768505:4770622:1 gene:B456_009G066900 transcript:KJB55168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDLMRYPKVDDQMTIQEVAAQGLKSMEHLIRLLSHQSIHVECTDVADLTVSKFKKVIKLLNRTGHARFRRGPIHSSSSPSTSSASLPIPDSHKDLTLYPIPIATRVTRNPPTVSDPISFVQSQAPCLTLDFTKPNCFSATTRSTELEFTKDSFSISSNSSFMSSAITGDGSVSNGKKASSLFFTLEPAASAEKPPLSSAPYKKRCHEHNHSDNISGSGNGKCHCSKRRKNRVKKVIRVPAISSKIADIPADEYSWRKYGQKPIKGSPYPRGYYKCSAFRGCPARKHVERDSDDPSMLIVTYEWEHRHSEPAMEKNMVPAEGLVFESTR >KJB55169 pep chromosome:Graimondii2_0_v6:9:4768559:4770622:1 gene:B456_009G066900 transcript:KJB55169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDLMRYPKVDDQMTIQEVAAQGLKSMEHLIRLLSHQSIHVECTDVADLTVSKFKKVIKLLNRTGHARFRRGPIHSSSSPSTSSASLPIPDSHKDLTLYPIPIATRVTRNPPTVSDPISFVQSQAPCLTLDFTKPNCFSATTRSTELEFTKDSFSISSNSSFMSSAITGDGSVSNGKKASSLFFTLEPAASAEKPPLSSAPYKKRCHEHNHSDNISGSGNGKCHCSKRRSG >KJB59756 pep chromosome:Graimondii2_0_v6:9:22537842:22539825:-1 gene:B456_009G270000 transcript:KJB59756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKTRSEGSSERQKWDKIFEGLVKMLKTQQQQLETLSKERKILEDRIKMQYERWVSDVRLYEDHISQMKSGLESEEIARVLEATKADMMVGLKHREAYLCKMKLEEAEDELTDFRIWFDILGKNSKDISQRDSIETKKGTSARKRSGSKSVTLEALEDDIRRLQLQYKNLVSEKSCQVTALVAENKFAWNQFNALESRYTDNLNTKQSELDKANKRIEALMSDMEELRSSIAEKDEIIERLKAELSRKKADASRFQQVSKTSGDVESLRKSRSASCTPVIKRCAAGGRTYVMGGKNSGRDPCNITVKKENSAPHVPDIQKENEKGSRSSKRKKEDAKPISETPKLFTSTFKVPRLKTSSPKTR >KJB54680 pep chromosome:Graimondii2_0_v6:9:3220139:3223609:-1 gene:B456_009G044500 transcript:KJB54680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLMSTSCSIKISSSYRPCGQPLSQDRTVLPNSFTIKTSKSPFKRLVSKGHRAGRSCVVLATAASVSKEVSANPRSGSDRSPNDSSKPQRVMVIGGDGYCGWATALHLSNKGYEVAIVDSLVRRLFDHQLGLGSLTPISSIHNRLRCWKAITGKTIELYIGDICDFEFLSETFNSFEPDAVVHFGEQRSAPYSMIDRSRAVFTQKNNVIGTLNVLFAIKEFREECHLVKLGTMGEYGTPNIDIEEGYITISHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVKTDETSMHEQLYNRLDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAALVTKAGQKLGLDVQTISVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDSLLDSLLNFAIEFKDRIDTKQIMPSVSWKKIGVKPQTVPAN >KJB54681 pep chromosome:Graimondii2_0_v6:9:3221611:3223609:-1 gene:B456_009G044500 transcript:KJB54681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLMSTSCSIKISSSYRPCGQPLSQDRTVLPNSFTIKTSKSPFKRLVSKGHRAGRSCVVLATAASVSKEVSANPRSGSDRSPNDSSKPQRVMVIGGDGYCGWATALHLSNKGYEVAIVDSLVRRLFDHQLGLGSLTPISSIHNRLRCWKAITGKTIELYIGDICDFEFLSETFNSFEPDAVVHFGEQRSAPYSMIDRSRAVFTQKNNVIGTLNVLFAIKEFREECHLVKLGTMGEYGTPNIDIEEGYITISHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVKTDETSMHEQLYNRLDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQVSVLFQISTKASMPSTFCLLFHFDK >KJB59080 pep chromosome:Graimondii2_0_v6:9:18865603:18868411:-1 gene:B456_009G238100 transcript:KJB59080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTPVRKPHTSTADLLTWSETPNTDSPASAPRSTRPYQPSDGIRKVVFGGQVTDEEFESLNKRKPCSGYKMKEMTGSGIFAANGENDELEPGSANSIPNGKTGLRMYQQALAGISHISFAEEESISPKKPTTLTEVAKQRELSGTLESEEAKLTKQLSDAKCKELSGHDIFAPPPEILPRTTTVRALALKDNFDMREPDMHNVLQRNRSAWQNCER >KJB59082 pep chromosome:Graimondii2_0_v6:9:18865618:18868336:-1 gene:B456_009G238100 transcript:KJB59082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMTGSGIFAANGENDELEPGSANSIPNGKTGLRMYQQALAGISHISFAEEESISPKKPTTLTEVAKQRELSGTLESEEAKLTKQLSDAKCKELSGHDIFAPPPEILPRTTTVRALALKDNFDMREPDMHNPAGGNMSSEEDVVKTAKKIYDKKFAELSGNDIFKGDIPPGSAEKPLSVAKLREMSGSNIFADGKVESRDYFGGVRKPPGGESSIALV >KJB59083 pep chromosome:Graimondii2_0_v6:9:18866489:18868025:-1 gene:B456_009G238100 transcript:KJB59083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTPVRKPHTSTADLLTWSETPNTDSPASAPRSTRPYQPSDGIRKVVFGGQVTDEEFESLNKRKPCSGYKMKEMTGSGIFAANGENDELEPGSANSIPNGKTGLRMYQQALAGISHISFAEEESISPKKPTTLTEVAKQRELSGTLESEEAKLTKQLSDAKCKELSGHDIFAPPPEILPRTTTVRALALKDNFDMREPDMHNVSTCILTPNLVHILNA >KJB59081 pep chromosome:Graimondii2_0_v6:9:18865618:18868336:-1 gene:B456_009G238100 transcript:KJB59081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTPVRKPHTSTADLLTWSETPNTDSPASAPRSTRPYQPSDGIRKVVFGGQVTDEEFESLNKRKPCSGYKMKEMTGSGIFAANGENDELEPGSANSIPNGKTGLRMYQQALAGISHISFAEEESISPKKPTTLTEVAKQRELSGTLESEEAKLTKQLSDAKCKELSGHDIFAPPPEILPRTTTVRALALKDNFDMREPDMHNPAGGNMSSEEDVVKTAKKIYDKKFAELSGNDIFKGDIPPGSAEKPLSVAKLREMSGSNIFADGKVESRDYFGGVRKPPGGESSIALV >KJB62138 pep chromosome:Graimondii2_0_v6:9:58407318:58411090:-1 gene:B456_009G402500 transcript:KJB62138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSLHGTSSIRELSSISHGRNFKSSFYDSSKHPFARAIPSLSFKSCHFCVRCAVSFRPCIDIHKGKVKQIVGSTLRDSKEDGSTLVTNFESDKSAAEFANLYKKDGLKGGHVIMLGADPLSQAAAIEALRAYPGGLQVGGGINLDNCLSYIDEGANHVIVTSYVFNNGQMDLERLKGLVNVIGKQRLVLDLSCRKKEDKYAIVTDRWQKFSDVYLDEEVLNFLARFADEFLVHGVDVEGKKLGIDKDLVALLGKHSPIPVTYAGGVTVMDDLETIKAAGKGRVDITVGSALDIFGGNLAYSDVVAWHENNRRGIN >KJB60149 pep chromosome:Graimondii2_0_v6:9:25204409:25208297:-1 gene:B456_009G291800 transcript:KJB60149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRRIAVSPRPCSGRRILASKKRGRPDAFVNSVKKLQRREICSKPHRAFSVTDAQERFRNIRLQEEYDTHDPKGHCSMVLPFLRKRSKIIEIVAAQDIVFALAQSGVCAAFSRETNRRICFLNVTADEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFTKVGGHVPLKILSIEDGTVLKSFSHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSKNEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNGSINISNILTGKCLAKIRASNGFPVEKQCSCCDVECGCRSKVQSSSSRIRSTVAEALEDITALFYDEERNEIYTGNRYGLVHVWSN >KJB60151 pep chromosome:Graimondii2_0_v6:9:25204409:25208326:-1 gene:B456_009G291800 transcript:KJB60151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRRIAVSPRPCSGRRILASKKRGRPDAFVNSVKKLQRREICSKPHRAFSVTDAQERFRNIRLQEEYDTHDPKGHCSMVLPFLRKRSKIIEIVAAQDIVFALAQSGVCAAFSRETNRRICFLNVTADEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSPGIMLLIFTKVGGHVPLKILSIEDGTVLKSFSHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSKNEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNGSINISNILTGKCLAKIRASNGFPVEKQCSCCDVECGCRSKVQSSSSRIRSTVAEALEDITALFYDEERNEIYTGNRYGLVHVWSN >KJB60148 pep chromosome:Graimondii2_0_v6:9:25204393:25208401:-1 gene:B456_009G291800 transcript:KJB60148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRRIAVSPRPCSGRRILASKKRGRPDAFVNSVKKLQRREICSKPHRAFSVTDAQERFRNIRLQEEYDTHDPKGHCSMVLPFLRKRSKIIEIVAAQDIVFALAQSGVCAAFSRETNRRICFLNVTADEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFTKVGGHVPLKILSIEDGTVLKSFSHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSKNEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNGSINISNILTGKCLAKIRASNGFPVEKQCSCCDVECGCRSKVQSSSSRIRSTVAEALEDITALFYDEERNEIYTGNRYGLVHVWSN >KJB60150 pep chromosome:Graimondii2_0_v6:9:25204409:25208326:-1 gene:B456_009G291800 transcript:KJB60150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIQSRYIRRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFTKVGGHVPLKILSIEDGTVLKSFSHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSKNEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNGSINISNILTGKCLAKIRASNGFPVEKQCSCCDVECGCRSKVQSSSSRIRSTVAEALEDITALFYDEERNEIYTGNRYGLVHVWSN >KJB60940 pep chromosome:Graimondii2_0_v6:9:34585293:34590220:1 gene:B456_009G332500 transcript:KJB60940 gene_biotype:protein_coding transcript_biotype:protein_coding description:snRNA activating complex family protein [Source:Projected from Arabidopsis thaliana (AT1G28560) TAIR;Acc:AT1G28560] MEANRTNTVEEEANCSIPKGGPIYISNLISPLTSVPEFQNSVLRQLQELEAELDSPQLAESEDICVDDLKILKEEELVEMALKEAFKEDEHVENASEGLENGSNSGYHTRSRKEHAVETASTSIEPSNGCPSTDLDGAATDRKNGSKKQKRRRMNDRHVEDAYIKKVDELAKIKQKQDEDKATAKLHALNAISKISEGSIPSSDKIERMKSLRFTSSSGKAKSADVKEHIPVLYPETILCVEVYHNIRKWSKVQEFLVLGRQTLTEIKDKICCLTDQVMQKAGRYDPSGYFLIEDIFFNDLRDPCAVDYSEPIFDWLRNSPDDAMKKWESIITGELQQKQRSVLGSVTPSRLPHFKAADMHKTRFCDFRFQLGARYLYCHQGDCKHTIVIRDMRSIHPDDVQNRAAYPIMIFQLKPRVQKCSVCKITRASKVTLDDKWARENPCYFCDYCYSLLHSKDESPLYGEFSVFDYLHE >KJB60941 pep chromosome:Graimondii2_0_v6:9:34585360:34590168:1 gene:B456_009G332500 transcript:KJB60941 gene_biotype:protein_coding transcript_biotype:protein_coding description:snRNA activating complex family protein [Source:Projected from Arabidopsis thaliana (AT1G28560) TAIR;Acc:AT1G28560] MEANRTNTVEEEANCSIPKGGPIYISNLISPLTSVPEFQNSVLRQLQELEAELDSPQLAESEDICVDDLKILKEEELVEMALKEAFKEDEHVENASEGLENGSNSGYHTRSRKEHAVETASTSIEPSNGCPSTDLDGAATDRKNGSKKQKRRRMNDRHVEDAYIKKVDELAKIKQKQDEDKATAKLHALNAISKISEGSIPSSDKIERMKSLRFTSSSGKAKSADVKEHIPVLYPETILCVEVYHNIRKWSKVQEFLVLGRQTLTEIKDKICCLTDQVMQKAGRYDPSGYFLIEVRNPIFSPLHLCAETFFVNLYCSDTQSLFIHVRHISGHAYVGMTVHIHEKIWNKLKIPPSSAPKFGSHTQAQVI >KJB61065 pep chromosome:Graimondii2_0_v6:9:37352194:37354374:-1 gene:B456_009G338500 transcript:KJB61065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDGSTLYGDVLSKQPKDKENEGDYSPSAVAATSLFDLCHPRHVMQQHQDMINRHNLCLTRLHEASKEVEALRQENTALRSVNRDLNKQLSTLIQASMQNHFATSDYNATPYELLNEFQGLCLGGDGGGVGEEEVSDECPTSMMEGAGDVERVMLPKSISVRSNGYLKMMSSQNSQNAGVSRRRKYRGPTRSGNASQLSGAVYVQGGKKEEEPLELEVYNQGMFKTELCNKWQETAACPYGDHCQFAHGIEELRPVIRHPRYKTEVCRVILAGDVCPYGHRCHFRHALTEQEKFMGSLKPPTR >KJB61066 pep chromosome:Graimondii2_0_v6:9:37352419:37354129:-1 gene:B456_009G338500 transcript:KJB61066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDGSTLYGDVLSKQPKDKENEGDYSPSAVAATSLFDLCHPRHVMQQHQDMINRHNLCLTRLHEASKEVEALRQENTALRSVNRDLNKQLSTLIQASMQNHFATSDYNATPYELLNEFQGLCLGGDGGGVGEEEVSDECPTSMMEGAGDVERVMLPKSISVRSNGYLKMMSSQNSQNAGVSRRRKYRGPTRSGNASQLSGAKVYVQGGKKEEEPLELEVYNQGMFKTELCNKWQETAACPYGDHCQFAHGIEELRPVIRHPRYKTEVCRVILAGDVCPYGHRCHFRHALTEQEKFMGSLKPPTR >KJB62498 pep chromosome:Graimondii2_0_v6:9:65462425:65465031:1 gene:B456_009G420000 transcript:KJB62498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALSEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELEKEPKFLKNGDAGMIKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKSAAKKK >KJB62497 pep chromosome:Graimondii2_0_v6:9:65462452:65465031:1 gene:B456_009G420000 transcript:KJB62497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALSEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELEKEPKFLKNGDAGMIKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKSAAKKK >KJB63038 pep chromosome:Graimondii2_0_v6:9:70166327:70169243:1 gene:B456_009G450900 transcript:KJB63038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISSVGGMGLLDSLLGADGRKSFKRKDSDAGEAGKALEELRGSLYNELRTSEGAKRQQQRFCGPVVAMTFNFFVAVGIILTNKLVMGRVGFNFPIFLTLLHYAVSWLLLAIFKTLSWLPVSPPAKTTPSSSIFLLGAIMAFASGLANTSLKYNSVGFYQMAKIAVTPTIVLAEFVLFRKTISFKKVLALGAVSAGVAVATVTDLQFNAFGACIALAWIVPSAINKILWSSLQQQANWTALALMWKTTPITIFFLLALMPWLDPPGVLLFKWDINNSCAILSSALLGFLLQWSGALALGATSATSHVVLGQFKTCVILVGGYILLDSDPGLVSLSGAVFALAGMSVYTSLNLKESKDGSNKQIPVQTPVSKTKTSENGSEDSTVIKTTNDV >KJB58076 pep chromosome:Graimondii2_0_v6:9:14841947:14848662:1 gene:B456_009G193200 transcript:KJB58076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIGAEDEEKWLAEGIAGIQHNAFYLHRALDSNNLRDALKYSAQMLSELRTSKLSPQKYYELYMRAFDELRILEMFFKDESKHGVSVVDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKELLQDLVEMCHGVQHPIRGLFLRSYLAQISRDKLLDIGSDYEGDADTVMDAVEFILENFTEMNKLWVRMQLEGPGRVREKREKERSALQELVGKNLHVLSQIEGVDLEIYKETVLPRVLEQVVNCKDDLSQYYLMDCIIQVFPDEYHLQTLEMLLAACPQVQPTVDIKTVLSRLMDRLSKYAASSADVLTEFLQVEAFTKLSNAIEKVIEVQVDMPAVGAITLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSSIPKLEDSRATKQVVALLSAPLEKYNDTVTALKISNYPRVMDHLDNGTNKVMAMVIIESIMKNNTCISTADKVEVLFELIKGLIKDLDGATDELDEEDFKDEQNSVAKLIHMLYNNEPEEMLKIICIVWKHTMAGGPKRLPFTVPSLVFSALRLVRQLQGQEGDIVGEEVPATPKKIFQLLSQMIEALSAVPSPELALRLYLQCAEAANGCDLEYVAYEFFTQVFVLYEEEIANSKAQVTAIHLIIGALQRMNVFSVENRDTLTHKTTGYSARLLKKPDQCRAVYACSHLFWVDGQDGIRDGERFDLKTYPVLA >KJB58077 pep chromosome:Graimondii2_0_v6:9:14841947:14848662:1 gene:B456_009G193200 transcript:KJB58077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIGAEDEEKWLAEGIAGIQHNAFYLHRALDSNNLRDALKYSAQMLSELRTSKLSPQKYYELYMRAFDELRILEMFFKDESKHGVSVVDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKELLQDLVEMCHGVQHPIRGLFLRSYLAQISRDKLLDIGSDYEGDADTVMDAVEFILENFTEMNKLWVRMQLEGPGRVREKREKERSALQELVGKNLHVLSQIEGVDLEIYKETVLPRVLEQVVNCKDDLSQYYLMDCIIQVFPDEYHLQTLEMLLAACPQVQPTVDIKTVLSRLMDRLSKYAASSADVLTEFLQVEAFTKLSNAIEKVIEVQVDMPAVGAITLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSSIPKLEDSRATKQVVALLSAPLEKYNDTVTALKISNYPRVMDHLDNGTNKVMAMVIIESIMKNNTCISTADKVEVLFELIKGLIKDLDGATDELDEEDFKDEQNSVAKLIHMLYNNEPEEMLKIICIVWKHTMAGGPKRLPFTVPSLVFSALRLVRQLQGQEGDIVGEEVPATPKKIFQLLSQMIEALSAVPSPELALRLYLQCAEAANGCDLEYVAYEFFTQVFVLYEEEIANSKAQVTAIHLIIGALQRMNVFSVENRDTLTHKTTGYSARLLKKPDQCRAVYACSHLFWVDGQDGIRDGERFDLKTYPVLA >KJB58075 pep chromosome:Graimondii2_0_v6:9:14842043:14847604:1 gene:B456_009G193200 transcript:KJB58075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIGAEDEEKWLAEGIAGIQHNAFYLHRALDSNNLRDALKYSAQMLSELRTSKLSPQKYYELYMRAFDELRILEMFFKDESKHGVSVVDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKELLQDLVEMCHGVQHPIRGLFLRSYLAQISRDKLLDIGSDYEGDADTVMDAVEFILENFTEMNKLWVRMQLEGPGRVREKREKERSALQELVGKNLHVLSQIEGVDLEIYKETVLPRVLEQVVNCKDDLSQYYLMDCIIQVFPDEYHLQTLEMLLAACPQVQPTVDIKTVLSRLMDRLSKYAASSADVLTEFLQVEAFTKLSNAIEKVIEVQVDMPAVGAITLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSSIPKLEDSRATKQVVALLSAPLEKYNDTVTALKISNYPRVMDHLDNGTNKVMAMVIIESIMKNNTCISTADKVEVLFELIKGLIKDLDGATDELDEEDFKDEQNSVAKLIHMLYNNEPEEMLKIICIVWKHTMAGGPKRLPFTVPSLVFSALRLVRQLQGQEGDIVGEEVPATPKKIFQLLSQMIEALSAVPSPELALRLYLQCAEAANGCDLEYVAYEFFTQVFVLYEEEIAVISESSANVSMKEP >KJB58074 pep chromosome:Graimondii2_0_v6:9:14841865:14848723:1 gene:B456_009G193200 transcript:KJB58074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIGAEDEEKWLAEGIAGIQHNAFYLHRALDSNNLRDALKYSAQMLSELRTSKLSPQKYYELYMRAFDELRILEMFFKDESKHGVSVVDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKELLQDLVEMCHGVQHPIRGLFLRSYLAQISRDKLLDIGSDYEGDADTVMDAVEFILENFTEMNKLWVRMQLEGPGRVREKREKERSALQELVGKNLHVLSQIEGVDLEIYKETVLPRVLEQVVNCKDDLSQYYLMDCIIQVFPDEYHLQTLEMLLAACPQVQPTVDIKTVLSRLMDRLSKYAASSADVLTEFLQVEAFTKLSNAIEKVIEVQVDMPAVGAITLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSSIPKLEDSRATKQVVALLSAPLEKYNDTVTALKISNYPRVMDHLDNGTNKVMAMVIIESIMKNNTCISTADKVEVLFELIKGLIKDLDGATDELDEEDFKDEQNSVAKLIHMLYNNEPEEMLKIICIVWKHTMAGGPKRLPFTVPSLVFSALRLVRQLQGQEGDIVGEEVPATPKKIFQLLSQMIEALSAVPSPELALRLYLQCAEAANGCDLEYVAYEFFTQVFVLYEEEIANSKAQVTAIHLIIGALQRMNVFSVENRDTLTHKTTGYSARLLKKPDQCRAVYACSHLFWVDGQDGIRDGERVLLCLKRALRIANAAQQMASIARDSSGPVTLFVEILNKYLYYFEKGNKQITAAAIQHLIELINTEMQGDSATSDAFLASTLRYIQFQKQRGGVMGAKFESIKL >KJB59336 pep chromosome:Graimondii2_0_v6:9:20298616:20300837:1 gene:B456_009G250100 transcript:KJB59336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNNLIIACNGSLLPIFNATLSPSCPTSTYTVFLMDSTHLLSSPLEIQDQTLVDHHNSSIGSSFLQNQTNVPKEFLWPKVDLVNAHQELLEPLVDLERFFRGDELAIQQSAKVIRAACLTHGCFQVINHGVDSHLINAAYYHLNRFFHLPLSHKLRARRATTAGLNTLNYSGAHSDRFSSNLPWKETLTFRVHENPKESSVVDLFKSSLGDDFEEMGITYQKYCEGMKSLALAVMEILAISLGVDRLHYKNYFQDGGSIMRCNYYPPCPEPGLTFGTGPHCDATSLTILHQDEVGGLEIFANNKWQIVRPRQDALVINIGETFTALTNGRYKSCLHRAVVNSERARKSLVYFVCPREDKVVRPPEDLVQGDQLPRAYPDFTWSDFLHFTQNYYRADAHTLHSFIKWLSSSNPIHHNR >KJB55651 pep chromosome:Graimondii2_0_v6:9:6359398:6361152:1 gene:B456_009G087300 transcript:KJB55651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVAAYLLAVLGGNLSPSADDLKDILGSVGAEADDDRIELLLSEVKGKDITELIATGREKLASVPSGGGAVAAAPTAGGGGASAAPAAEAKKEEKVEEKEESDDDMGFSLFD >KJB61090 pep chromosome:Graimondii2_0_v6:9:39128410:39129150:1 gene:B456_009G340100 transcript:KJB61090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSPINWLLSLMLLFVASEAGILVHKVDLLIYNDLQNGTFLTIHCKSKQDDLGVHLLAYRDYFEVKFRPNMFGTTLFYCSMQWDATRHWFDIYTSERDTCTYCSWNVRPDGPCLMESDKSKNSTTCYHWN >KJB59461 pep chromosome:Graimondii2_0_v6:9:21086276:21086869:-1 gene:B456_009G255600 transcript:KJB59461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQLCFALVIFLSIFSLSSLPTSAIFPKVNVSLPGHLSKLVENLCNGNIVENRKFCLKALSAPKAIATRDSTQLGTLIMKLGAANVKATLNVYDEITKKPVEAYKYAILSFEMVSSELVEDPQSANYDVAVIGPEIANCEKELIDAKVKNPRLLARNQFMKYYIEMGYEITSTLELENPNEY >KJB60217 pep chromosome:Graimondii2_0_v6:9:25647455:25650252:-1 gene:B456_009G294400 transcript:KJB60217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKGKVLMHKYEFGRLLGQGNFAKVYYARNIKTSQSVAVKVIDKGKVLKVGMMDQTKREISVMSLVKHPNILELYEVMASKNKIYFVMEYAKGGELFKKVSKGKLREDMARKYFQQLISAVDFCHSRGVYHRDLKPENLLLDENGILKVSDFGLSALAESKHQDGLLHTSCGTPAYVAPEVINRKGYDGAKADIWSCGVILYVMLAGYLPFHDSNLIAIYRKISKAEYKFPNWFSPEVTKLLSRILNPNPKARISIAKIMTNPWFRKGFNSKPIQSKVEKVLVPADIDAAFSSETKSNAFEAKKDMAKVTNLNAFDIISLSSGFDLSGLFVENDEKKEVQFTSTHTFSAITSKLEDIAQNLKLKVKKHGGLMKMEGSNGGRKGALAIDAEIFEFTPSFHLVELRKSCGDTLEFRNMFQQDVRPALKDIVWAWQGEPLRSS >KJB58368 pep chromosome:Graimondii2_0_v6:9:16058203:16061355:-1 gene:B456_009G207200 transcript:KJB58368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSSNTIMRTAPFPDLSLQISPPSVSDCKAKEMAYDVLSGKSIYSDRSSTTDSGSSGSDLSHENGYINPGLGEPTLSLGFEMADLGPPHLQQPRNPHHLHQQHHYQPQIYGRDFKRSARTMNGGVKRSIRAPRMRWTTTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDKGSVPHLFVGVSGQGQTDMSLNQRVGIFDLDGRLSSPKADRNASYSLKLSTPSPQTIPQRTQSDSWPSSMETNNFRVSNRGNGLTFKPNDTKVDGDKAVLHMSDRMKERLDSSSMSPSDMFLNLEITLGRPSWQMDYAESSNELTLLKC >KJB58367 pep chromosome:Graimondii2_0_v6:9:16058152:16061421:-1 gene:B456_009G207200 transcript:KJB58367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSSNTIMRTAPFPDLSLQISPPSVSDCKAKEMAYDVLSGKSIYSDRSSTTDSGSSGSDLSHENGYINPGLGEPTLSLGFEMADLGPPHLQQPRNPHHLHQQHHYQPQIYGRDFKRSARTMNGGVKRSIRAPRMRWTTTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDKGSGQGQTDMSLNQRVGIFDLDGRLSSPKADRNASYSLKLSTPSPQTIPQRTQSDSWPSSMETNNFRVSNRGNGLTFKPNDTKVDGDKAVLHMSDRMKERLDSSSMSPSDMFLNLEITLGRPSWQMDYAESSNELTLLKC >KJB58889 pep chromosome:Graimondii2_0_v6:9:18114975:18122224:1 gene:B456_009G230300 transcript:KJB58889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEYLESKNQNPNNMFSKLHHHQHHPHQHQHQHQPQHQTHLFSHHFQLSRDSQTPDSDDTTHTPPKDLTTNHSPSLPSGGGNGGASRGGANSGDGASIEIVRRPRGRPPGSKNKPKPPVIITHEPDPAMSPYILEIPGGNDIVEAISRFSRRKNIGICVLTGSGTVSNVTLRQPSSATPGATITFHGRFDILSLSATFLSQTTSCHVPNTFSISLAGPQGQIVGGFVAGSLVAAGNVFIVAATFNNPSYHRLPVEEEGRNAVSSGGAGGQSPPLSGGGGDSSHGGGADSCGVSMYNSHMGGSDVIWAPTARPPPPPY >KJB56669 pep chromosome:Graimondii2_0_v6:9:9851821:9853468:1 gene:B456_009G131200 transcript:KJB56669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTTTLCASSPWRHHYCFIYSNSKSFHSDSLQQLIFPRKFDPHRPSFLVRLRSNSKMRSPRCLSAGPGPPDADPPPPPGFVD >KJB56670 pep chromosome:Graimondii2_0_v6:9:9851821:9853468:1 gene:B456_009G131200 transcript:KJB56670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTTTLCASSPWRHHYCFIYSNSKSFHSDSLQQLIFPRKFDPHRPSFLVRLRSNSKMRSPRCLSAGPGPPDADPPPPPEFAGKLSKFQDQVRIFFAVLFWVSLFFWSSAWDGGNSGRPDKGSRFRR >KJB56671 pep chromosome:Graimondii2_0_v6:9:9851950:9852303:1 gene:B456_009G131200 transcript:KJB56671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTTTLCASSPWRHHYCFIYSNSKSFHSDSLQQLIFPRKFDPHRPSFLVRLRSNSKMRSPRCLSAGPGPPDADPPPPPGFVD >KJB57183 pep chromosome:Graimondii2_0_v6:9:11569914:11573553:-1 gene:B456_009G152500 transcript:KJB57183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSFLTSLGTSFVIFIVLMVLFAWLSTRQGNAVVYYPNRIVKGMEPWEGGSRTRNPFAWIKEALSSSEQDVINMSGIDTAVYFVFLSTVLGILVLSGIVLLPVLLPIAATDDGVKKNEHTSNNTSNGTFSDLDKLSMANIEVKSPRLWAFLVATYWVSVVTYFLTFKAYKHVSALRATALMSNEVKPEQFAVLVRDLPKATSGQTRKQQVDTYFKSIYPETFYRSMVVTNNKEVDKIWGELEGFKKKLARAESIYALSQGNGQGTRPTNRTGFLGLCGKKVDSIEYYNEKIKELTQKLEAEQKVTLREKQQGSALVFFTSRVTAALAAQSLHAQMVDNWTVTEAPEPRQLIWSNLTIKFYDRIIRQYVVYIVVFLTIVFYMIPIAFISAVTTLKNLRKLLPFLKPIVDIAALKTVLEAYLPQLALIIFLALLPKFLLFLSKTEGIPSGSHVIRAASGKYFYFIVFNVFIGVTIGGTLFASIKTIEEKPNSVFDMLAKSLPGNATFFLTFVALK >KJB57185 pep chromosome:Graimondii2_0_v6:9:11569914:11573756:-1 gene:B456_009G152500 transcript:KJB57185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSFLTSLGTSFVIFIVLMVLFAWLSTRQGNAVVYYPNRIVKGMEPWEGGSRTRNPFAWIKEALSSSEQDVINMSGIDTAVYFVFLSTVLGILVLSGIVLLPVLLPIAATDDGVKKNEHTSNNTSNGTFSDLDKLSMANIEVKSPRLWAFLVATYWVSVVTYFLTFKAYKHVSALRATALMSNEVKPEQFAVLVRDLPKATSGQTRKQQVDTYFKSIYPETFYRSMVVTNNKEVDKIWGELEGFKKKLARAESIYALSQGNGQGTRPTNRTGFLGLCGKKVDSIEYYNEKIKELTQKLEAEQKVTLREKQQGSALVFFTSRVTAALAAQSLHAQMVDNWTVTEAPEPRQLIWSNLTIKFYDRIIRQYVVYIVVFLTIVFYMIPIAFISAVTTLKNLRKLLPFLKPIVDIAALKTVLEAYLPQLALIIFLALLPKFLLFLSKTEGIPSGSHVIRAASGKYFYFIVFNVFIGVTIGGTLFASIKTIEEKPNSVFDMLAKSLPGNATFFLTFVALKFFVGYGLELSRIVPLIIYHLKRKYLCKNEAELREAWFPGDINYATRVPSDMLIVTIVLCYSVIAPVIIPFGVLYFALGWLVLRNQALKVYVPAYESYGRMWPHMQIRIISALFLYQVTMLGYFGVMRFYYTPILVPLPILSLVFVFVCRKKFYGAFCHTVLEVASQELKETPHMEQIFKSFIPPSLISEKQEDEHFEDALSQVSRSESSV >KJB57182 pep chromosome:Graimondii2_0_v6:9:11570568:11573397:-1 gene:B456_009G152500 transcript:KJB57182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSFLTSLGTSFVIFIVLMVLFAWLSTRQGNAVVYYPNRIVKGMEPWEGGSRTRNPFAWIKEALSSSEQDVINMSGIDTAVYFVFLSTVLGILVLSGIVLLPVLLPIAATDDGVKKNEHTSNNTSNGTFSDLDKLSMANIEVKSPRLWAFLVATYWVSVVTYFLTFKAYKHVSALRATALMSNEVKPEQFAVLVRDLPKATSGQTRKQQVDTYFKSIYPETFYRSMVVTNNKEVDKIWGELEGFKKKLARAESIYALSQGNGQGTRPTNRTGFLGLCGKKVDSIEYYNEKIKELTQKLEAEQKVTLREKQQGSALVFFTSRVTAALAAQSLHAQMVDNWTVTEAPEPRQLIWSNLTIKFYDRIIRQYVVYIVVFLTIVFYMIPIAFISAVTTLKNLRKLLPFLKPIVDIAALKTVLEAYLPQLALIIFLALLPKFLLFLSKTEGIPSGSHVIRAASGKYFYFIVFNVFIGVTIGGTLFASIKTIEEKPNSVFDMLAKSLPGNATFFLTFVALKFFVGYGLELSRIVPLIIYHLKRKYLCKNEAELREAWFPGDINYATRVPSDMLIVTIVLCYSVIAPVIIPFGVLYFALGWLVLRNQVFFPVNFSAY >KJB57184 pep chromosome:Graimondii2_0_v6:9:11569914:11573553:-1 gene:B456_009G152500 transcript:KJB57184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSFLTSLGTSFVIFIVLMVLFAWLSTRQGNAVVYYPNRIVKGMEPWEGGSRTRNPFAWIKEALSSSEQDVINMSGIDTAVYFVFLSTVLGILVLSGIVLLPVLLPIAATDDGVKKNEHTSNNTSNGTFSDLDKLSMANIEVKSPRLWAFLVATYWVSVVTYFLTFKAYKHVSALRATALMSNEVKPEQFAVLVRDLPKATSGQTRKQQVDTYFKSIYPETFYRSMVVTNNKEVDKIWGELEGFKKKLARAESIYALSQGNGQGTRPTNRTGFLGLCGKKVDSIEYYNEKIKELTQKLEAEQKVTLREKQQGSALVFFTSRVTAALAAQSLHAQMVDNWTVTEAPEPRQLIWSNLTIKFYDRIIRQYVVYIVVFLTIVFYMIPIAFISAVTTLKNLRKLLPFLKPIVDIAALKTVLEAYLPQLALIIFLALLPKFLLFLSKTEGIPSGSHVIRAASGKYFYFIVFNVFIGVTIGGTLFASIKTIEEKPNSVFDMLAKSLPGNATFFLTFVALKFFVGYGLELSRIVPLIIYHLKRKYLCKNEAELREAWFPGDINYATRVPSDMLIVTIVLCYSVIAPVIIPFGVLYFALGWLVLRNQFRFIYWLYTLRITGTQSLCSGI >KJB53696 pep chromosome:Graimondii2_0_v6:9:202232:203100:-1 gene:B456_009G001700 transcript:KJB53696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKGSSYRYQLQRMCDEEERELGRQEAPGTCPHCGGKVQAVDVERRWRCCCFFPICFSIKRKYCCTLCSRRLVLYF >KJB58577 pep chromosome:Graimondii2_0_v6:9:16800384:16800911:-1 gene:B456_009G216100 transcript:KJB58577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSLAENLPSKTLDWKKRFQIAVGTAKGLVYIHEECLEWILHCDTKPQNIVIDSNYQPKVSDFGLSSLLNTGDVKSSKISRLQLRGTRGYVAPEWVLNMPITSKVDVHSYGIVLLELVTGRSPSIGVHGIDGKQLMQGALSKWVKQQRDVTETWIGMIVDPTLDGKYDEVDHG >KJB56698 pep chromosome:Graimondii2_0_v6:9:9971674:9977902:-1 gene:B456_009G132700 transcript:KJB56698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGEEEVEYESDPEEVKRSLGMRRREAASDDEEGEREEVNNTEGRMDRKAGIRSDESDGQGGAADYDDDEEEESDLEEDEVVEEEVYDEEEEEIDEEEMEEARKGELQGNVEKTVEDVKDALVVDESRNVDDGVDINNNHVGEENEEKKENEPFAVPTAGAFYMHDDRFRDNVGGRHRRTHGGRKLWESKDDRKWGHDKFEEMTLQEKHYEDGRSSRGRYRARSKNTDPDSGYPRGSRTKALGKSNNQTHASKAVRGRGPRRYEPSMRKSSQATPTLNKSSGKPLERTSQTNSSRAPQTNADTASASARKHIVESSLSSASPPFYPSGSSNKDITLAQKKDVQAGGLSRKLHLSVTDENFSVSQSNSLQGKNVLDSLSMAKLYIDDSSTSTSVKPLSNLQMLPSGPSSGNTGQPSQSRVQGRGVDIPGPKAYHPAPHQNQVNRVSPPTQVNSVQGNPVHGRAISSVQAAAQQLGQHPGIGSQASSPPKTAMSVSSYESGEVESSETSKSKGALVSKGKSSAQGAGRGSFLYGGAQIMGATGSIAVNHGDQNFPAFLPVMQFGGQHPGSLGVPAVGMAFPGYVAQPQLGRGNSEMTWLPVLTGAAGALGATYCPPYIAVDGSYHARPSGQISSTGASSKENTSNKPNNEWKPSQRTELSDEFGQRQNNPNKQPRRYSEMSFSK >KJB56699 pep chromosome:Graimondii2_0_v6:9:9974538:9977794:-1 gene:B456_009G132700 transcript:KJB56699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGEEEVEYESDPEEVKRSLGMRRREAASDDEEGEREEVNNTEGRMDRKAGIRSDESDGQGGAADYDDDEEEESDLEEDEVVEEEVYDEEEEEIDEEEMEEARKGELQGNVEKTVEDVKDALVVDESRNVDDGVDINNNHVGEENEEKKENEPFAVPTAGAFYMHDDRFRDNVGGRHRRTHGGRKLWESKDDRKWGHDKFEEMTLQEKHYEDGRSSRGRYRARSKNTDPDSGYPRGSRTKALGKSNNQTHASKAVRGRGPRRYEPSMRKSSQATPTLNKSSGKPLERTSQTNSSRAPQTNADTASASARKHIVESSLSSASPPFYPSGSSNKDITLAQKKDVQAGGLSRKLHLSVTDENFSVSQSNSLQGKNVLDSLSMAKLYIDDSSTSTSVKPLSNLQMLPSGPSSGNTGQPSQSRVQGRGVDIPGPKAYHPAPHQNQVNRVSPPTQVNSVQGNPVHGRAISSVQAAAQQLGQHPGIGSQASSPPKTAMSVSSYESGEVESSETSKSKGALVSKGKSSAQGAGRGSFLYGGAQIMGATGSIAVNHGDQNFPAFLPGKAFYVFSSLIVYLDSSMYQKK >KJB59055 pep chromosome:Graimondii2_0_v6:9:18694015:18695872:1 gene:B456_009G236600 transcript:KJB59055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTPSITEDFSSKHSPMKHSVEAASPKLPPPTKRRTMEEAKVKHLPEFCVNNEILPSTMQKAVAELLGTYFLIFIGCGSALINDFEPLTIVGIAIVWGMVLMAAIYAVGHISGAHFNPAVTLALAAGQKFSWKLVPMYMVSQLLGSTLASLTLRALFHYRGIEVTVTQYKDSTSDLEAFAWEFIATFILMFNVCAIATDHRACKAVAGAAIGATVLFNVIVAGPITGASMNPARSLGPAVVSGVYENLWVYIVAPVLGAMAATFVYSVLRAPEPEKPESIKSKHNELYSEV >KJB59056 pep chromosome:Graimondii2_0_v6:9:18694381:18695275:1 gene:B456_009G236600 transcript:KJB59056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTPSITEDFSSKHSPMKHSVEAASPKLPPPTKRRTMEEAKVKHLPEFCVNNEILPSTMQKAVAELLGTYFLIFIGCGSALINDFEPLTIVGIAIVWGMVLMAAIYAVGHISGAHFNPAVTLALAAGQKFSWKLVPMYMVSQLLGSTLASLTLRALFHYRGIEVTVTQYKDSTSDLEAFAWEFIATFILMFNVCAIATDHRAVCRYLVLCSFQFLRNKLCFMYKC >KJB62989 pep chromosome:Graimondii2_0_v6:9:69793449:69794234:1 gene:B456_009G446800 transcript:KJB62989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLSVLSWILIICVCQVAVRSQYYSDTVPYQPRPVVVTNLHFYMHEFTGTTAVVLTQANITSNNTSVTFATLVAVNDPLRTGPEPDSELIGNVQGISLLAGSNASSTQYIDFGFNTGKFNGSSLSVFSRGEAGLAVVGGRGQFAMATGTALFNPILINATNVIIEFNVTVIHY >KJB62341 pep chromosome:Graimondii2_0_v6:9:62830048:62837315:1 gene:B456_009G412700 transcript:KJB62341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPVVTLDNVEGARSCAERTRSLDAITDKDNGSILTNGEAVHSSETAAFRVGELLLPNGDLYSGSLQGNVPEGRGKYVWKVGCVYEGEWRRGMRQGTGKIQWPSGTFYDGEFSGGYMHGTGTYIDSNKLTYKGRWKLNLKHGLGYQVYPNGDVFEGAWIQGTPEGPGKYTWVNGNVYLGNMKGGKMSGKGTLTWTNGDSFEGSWLNGMMHGLGVYNWRDGGCYVGTWTRGLKDGKGSFYPKGSRLPALQEVYLNALRKRGLLPGLRKQNHSHIHHASSVDMGSVKVGGTRVSHRNSGEGNLLNLEQSRNRNVSLERRWSLEVSIEKVIGYDSSLELTESFIEGEEKGSETNAPVLEREYMQGVLISEFVLNNSFSASSRRAKRRQKMFAKEVKRPGEIIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGRRASFWMNFPKEGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNDVLRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADNIEIDENTTLKDLDLNYCFYLEPSWREALLRQIETDSKFLESQHIMDYSLLLGVHYRAPQHLRSHMSYNSVDGLGSVAEEEEDGISNYPQGLVLVPRGTDDSVVVGPHIRGRRLRASSAGDEEVDLLLPGTARLQIQLGVNMPARAELIPGKEENMFHEAYDVVLYLGMIDILQEYNMSKKIEHAYKSLQFDSVSISAVDPTFYSQRFLEFIQKVFPQNSIKG >KJB62342 pep chromosome:Graimondii2_0_v6:9:62830773:62837058:1 gene:B456_009G412700 transcript:KJB62342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPVVTLDNVEGARSCAERTRSLDAITDKDNGSILTNGEAVHSSETAAFRVGELLLPNGDLYSGSLQGNVPEGRGKYVWKVGCVYEGEWRRGMRQGTGKIQWPSGTFYDGEFSGGYMHGTGTYIDSNKLTYKGRWKLNLKHGLGYQVYPNGDVFEGAWIQGTPEGPGKYTWVNGNVYLGNMKGGKMSGKGTLTWTNGDSFEGSWLNGMMHGLGVYNWRDGGCYVGTWTRGLKDGKGSFYPKGSRLPALQEVYLNALRKRGLLPGLRKQNHSHIHHASSVDMGSVKVGGTRVSHRNSGEGNLLNLEQSRNRNVSLERRWSLEVSIEKVIGYDSSLELTESFIEGEEKGSETNAPVLEREYMQGVLISEFVLNNSFSASSRRAKRRQKMFAKEVKRPGEIIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGRRASFWMNFPKEGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNDVLRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADNIEIDENTTLKDLDLNYCFYLEPSWREALLRY >KJB62340 pep chromosome:Graimondii2_0_v6:9:62829709:62837239:1 gene:B456_009G412700 transcript:KJB62340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPVVTLDNVEGARSCAERTRSLDAITDKDNGSILTNGEAVHSSETAAFRVGELLLPNGDLYSGSLQGNVPEGRGKYVWKVGCVYEGEWRRGMRQGTGKIQWPSGTFYDGEFSGGYMHGTGTYIDSNKLTYKGRWKLNLKHGLGYQVYPNGDVFEGAWIQGTPEGPGKYTWVNGNVYLGNMKGGKMSGKGTLTWTNGDSFEGSWLNGMMHGLGVYNWRDGGCYVGTWTRGLKDGKGSFYPKGSRLPALQEVYLNALRKRGLLPGLRKQNHSHIHHASSVDMGSVKVGGTRVSHRNSGEGNLLNLEQSRNRNVSLERRWSLEVSIEKVIGYDSSLELTESFIEGEEKGSETNAPVLEREYMQGVLISEFVLNNSFSASSRRAKRRQKMFAKEVKRPGEIIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGRRASFWMNFPKEGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNDVLRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADNIEIDENTTLKDLDLNYCFYLEPSWREALLRQIETDSKFLESQHIMDYSLLLGVHYRAPQHLRSHMSYNSVDGLGSVAEEEEDGISNYPQGLVLVPRGTDDSVVVGPHIRGRRLRASSAGDEEVDLLLPGTARLQIQLGVNMPARAELIPGKEENMFHEAYDVVLYLGMIDILQEYNMSKKIEHAYKSLQFDSVSISAVDPTFYSQRFLEFIQKVFPQNSIKG >KJB59768 pep chromosome:Graimondii2_0_v6:9:22613583:22618437:-1 gene:B456_009G270600 transcript:KJB59768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNEAEKKVEDDEEEKKKGGELLFCGSTRWEAVGRRKGVLEGNLVSPTRLRPLVGVDIRFVASGCVSCHCVALDVEGRCYTWGRNEKGQLGHGDTIQRDRPTIVSELLKYKIIKAGAGRSHTVVVTEDGNSLAFGWNKHGQLGSGSTRNEIESSPARCLVSQVTNTACGAEFTVWLSSTEGASILTAGLPQYGQLGHGTDNEYNAKDSSVRLVYESQPRPRAIATLSGETIIKVACGTNHTVAVDTNGFVYTWGFGGYGRLGHREQKDEWVPRRVEVFQKHNVLPPNAVVSAGSVNSACTAGGGQLYMWGKLKNTGDDWMYPKPLMDLSGWNLRCMDSGNMFHFVGADSSCISWGHAQYGELGYGPTGQKSSAIPKKVDILEGMHVISVACGMGHSMVIVDRTNVGDRLDQLEIYDGKGSDEGTAVSDTKTSVLKQNNKNGASKTPTSSKKKKKAKDESETEEEENSDVESDSSGGHINGEVSDRGRGKGAKKSASVGKGKGRGQGNVRANKSSQSSQGKTSKRGRLRKV >KJB59666 pep chromosome:Graimondii2_0_v6:9:22090075:22092488:1 gene:B456_009G266500 transcript:KJB59666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIQFQSHSLPFSLQPSSPNPLFYKTKQFCRIQGSARSPPKPPKKPTKFPRKRRRNPPSLPFFQKAPSSPSLPLHSKNPQAIYKDIQAFARQNKLKEALAILDYVDQQGIPVNPTTFSSLLASCVRLKSLTHGRQIHAHIRTNGLENNEFLRAKLAHMYTSCGSIEDAQRVFDECTSNNAYSWNALLRGSVVSGRKRYLDVLSTFSEMRSLAVNLNEYTFSTVLKSFAGASAFRQGLKAHALLIKYGFINSSMLRTGLIDLYFKCGKIKLAHRVFEEIPERDIILWGAVIAGFAHNRMQREALNYARWMISEGIYPNSVILTTILPVIGEVWARKVGQEVHAYVVKTKSYSKQLSIQSGLIDMYSKCGDMESGRQVFYCSGERNAISWTALMSGYISNGRLEQALRSVVWMQQEGFKPDVVTVATVLPVCAQLRALNHGMEIHAYAVKNCFFPNVSIVTSLMIMYSKCGVLDYSLKLFNGLEARNVISWTAMIESYAESGCLPEAIGVFRSMQLSKHRPDSVVMARMLNICGELKAIKLGKEIHGQVLKKDFESIPSVSAEMVKMYGACGLMSNAKLVFDAVRVKGSMTWTAIIEAHGYNDLCEGAISLFHQMISDGFTPNHFTFKVVLSICRKAGFVDEACQIFSVMTRKYKVKVSEEHYCIMIELLNMSGRFEEAERFIQMKSLTS >KJB57873 pep chromosome:Graimondii2_0_v6:9:14229583:14232904:1 gene:B456_009G184900 transcript:KJB57873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKSAFLNVYSQLKSELLQDPSFEFTDDSRQWVERMLDYNVPGGKLNRGLSVIDSYRLLKDGKKLTQDEIFLTSALGWCIEWLQAYFLVLDDIMDSSHTRRGQPCWFRLPKVGMIAVNDGVILRNHITRILKNHFRGKPYYVDLLDLFNEVEFQTASGQMIDLITTLEGEKDLSKYSLQQHRRIVQYKTAYYSFYLPVACALVMAGENLDNHIDVKNILVDMGIYFQVQDDYLDCFGNPETIGKIGTDIEDFKCSWLVVKALEICNEEQKKVLYENYGKPDPANVAKVKALYNELNLKGVFEDYESKSYERLVTSIEAHPSKPVQAVLKSFLGKIYKRQK >KJB57874 pep chromosome:Graimondii2_0_v6:9:14229583:14232904:1 gene:B456_009G184900 transcript:KJB57874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSHTRRGQPCWFRLPKVGMIAVNDGVILRNHITRILKNHFRGKPYYVDLLDLFNEVEFQTASGQMIDLITTLEGEKDLSKYSLQQHRRIVQYKTAYYSFYLPVACALVMAGENLDNHIDVKNILVDMGIYFQVQDDYLDCFGNPETIGKIGTDIEDFKCSWLVVKALEICNEEQKKVLYENYGKPDPANVAKVKALYNELNLKGVFEDYESKSYERLVTSIEAHPSKPVQAVLKSFLGKIYKRQK >KJB54717 pep chromosome:Graimondii2_0_v6:9:3355936:3357743:-1 gene:B456_009G046300 transcript:KJB54717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVSLSGRSKLWVLIKRIYNPSVISSSYSNTQYHSRLIGGLIQKRNLNFQANSTMGICTSSEKFVQECRCRHAATEKLGVLLVNIGGPENLNDVQPFLCNLFADPDVIRLPKLFKFLQRPLAKLISILLAPKTKRWYAAIGGGSPLRRITDEQADALRTALEAKNLHANVYVGMRCWYPFMEEAIQQIKWDRITKLVLLPLFPQFSISTTGSSIRVLQRIFMDDAYLLRLPVSIIRFWYRRQGYIRSIADSIVTQLSKFEKPEEVLIFFSAHGVPVSYDENDGDPYKDQIEECIYLIMREHRVGPVQWLKPYTNEVLVELGRKGVKSLLAVPIRELGRVPALGCTSSFITDLADAVVEALPSAKSLSILRETAKESDCR >KJB61312 pep chromosome:Graimondii2_0_v6:9:44637117:44641470:1 gene:B456_009G350900 transcript:KJB61312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSESFSSLTFKGSIPEAILEAKIQKKLFVVYISGEDSESKNMEDSTWTDLKLKESLSKYCVLLHICGGSTDAANFSAIYPQKSVPCITAIGYNGVQVWQSEGSISAEVLASSLEKAWLSLHIQETTAAVLSAALASKKYESSSSGSSTVSQSEQGSSSSASVPSTTMANVVQTLESNPSVMSVVMEENRDCEQTVKEKNPELVENGSSESFSTDNLANIVDEQCDITNEEMRTVVSSVTSSLAVHASSHPEDDCLIPVKGTDHQPSCPAGSMPVSAAEAEKDMQHVKDKVDGALENTTTANIPTDVHLNIRLPDSSSLQEKFPVTYTLSMIKDYVDRNQSNGMGSYDLAIPYPRRLFGDQGMTVHFTSLKSMWLI >KJB61310 pep chromosome:Graimondii2_0_v6:9:44637117:44640689:1 gene:B456_009G350900 transcript:KJB61310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSESFSSLTFKGSIPEAILEAKIQKKLFVVYISGEDSESKNMEDSTWTDLKLKESLSKYCVLLHICGGSTDAANFSAIYPQKSVPCITAIGYNGVQVWQSEGSISAEVLASSLEKAWLSLHIQETTAAVLSAALASKKYESSSSGSSTVSQSEQGSSSSASVPSTTMANVVQTLESNPSVMSVVMEENRDCEQTVKEKNPELVENGSSESFSTDNLANIVDEQCDITNEEMRTVVSSVTSSLAVHASSHPEDDCLIPVKGTDHQPSCPAGSMPVSAAEAEKDMQHVKDKVDGALENTTTANIPTDVHLNIRLPDSSSLQEKFPVTYTLSMIKDYVDRNQSNGMGSYDLAIPYPRRLFGDQDLIKSLLDLGLFNRQALIVVPRRRTAGFQGQRPSDDNRNLTPIEDSAGSSGGYFSYIKSFLSYVNPFAYLGGGASSSTTGQESQSSIWEYSESLCC >KJB61308 pep chromosome:Graimondii2_0_v6:9:44636718:44641570:1 gene:B456_009G350900 transcript:KJB61308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSESFSSLTFKGSIPEAILEAKIQKKLFVVYISGEDSESKNMEDSTWTDLKLKESLSKYCVLLHICGGSTDAANFSAIYPQKSVPCITAIGYNGVQVWQSEGSISAEVLASSLEKAWLSLHIQETTAAVLSAALASKKYESSSSGSSTVSQSEQGSSSSASVPSTTMANVVQTLESNPSVMSVVMEENRDCEQTVKEKNPELVENGSSESFSTDNLANIVDEQCDITNEEMRTVVSSVTSSLAVHASSHPEDDCLIPVKGTDHQPSCPAGSMPVSAAEAEKDMQHVKDKVDGALENTTTANIPTDVHLNIRLPDSSSLQEKFPVTYTLSMIKDYVDRNQSNGMGSYDLAIPYPRRLFGDQDLIKSLLDLGLFNRQALIVVPRRRTAGFQGQRPSDDNRNLTPIEDSAGSSGGYFSYIKSFLSYVNPFAYLGGGASSSTTGQESQSSIWEYSPNPTVQNNLGGRNRSTSTASDGGRNRRPVTTQHGSNIHTLKRDEDDDSFTDRNPFWNGNSTQYGGSSDSK >KJB61311 pep chromosome:Graimondii2_0_v6:9:44637117:44641470:1 gene:B456_009G350900 transcript:KJB61311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSESFSSLTFKGSIPEAILEAKIQKKLFVVYISGEDSESKNMEDSTWTDLKLKESLSKYCVLLHICGGSTDAANFSAIYPQKSVPCITAIGYNGVQVWQSEGSISAEVLASSLEKAWLSLHIQETTAAVLSAALASKKYESSSSGSSTVSQSEQGSSSSASVPSTTMANVVQTLESNPSVMSVVMEENRDCEQTVKEKNPELVENGSSESFSTDNLANIVDEQCDITNEEMRTVVSSVTSSLAVHASSHPEDDCLIPVKGTDHQPSCPAGSMPVSAAEAEKDMQHVKDKVDGALENTTTANIPTDVHLNIRLPDSSSLQEKFPVTYTLSMIKDYVDRNQSNGMGSYDLAIPYPRRLFGDQDLIKSLLDLGLFNRQALIVVPRRRTAGFQGQRPSDDNRNLTPIEDSAGSSGGYFSYIKSFLSYVNPFAYLGGGASSSTTGQESQSSIWEYSESLCC >KJB61307 pep chromosome:Graimondii2_0_v6:9:44637117:44639514:1 gene:B456_009G350900 transcript:KJB61307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSESFSSLTFKGSIPEAILEAKIQKKLFVVYISGEDSESKNMEDSTWTDLKLKESLSKYCVLLHICGGSTDAANFSAIYPQKSVPCITAIGYNGVQVWQSEGSISAEVLASSLEKAWLSLHIQETTAAVLSAALASKKYESSSSGSSTVSQSEQGSSSSASVPSTTMANVVQTLESNPSVMSVVMEENRDCEQTVKEKNPELVENGSSESFSTDNLANIVDEQCDITNEEMRTVVSSVTSSLAVHASSHPEDDCLIPVKGTDHQPSCPAGSMPVSAAEAEKDMQHVKDKVDGALENTTTANIPTDVHLNIRLPDSSSLQEKFPVTYTLSMIKDYVDRNQSNGMGSYDLAIPYPRRLFGDQDIALL >KJB61313 pep chromosome:Graimondii2_0_v6:9:44636718:44641570:1 gene:B456_009G350900 transcript:KJB61313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVVQTLESNPSVMSVVMEENRDCEQTVKEKNPELVENGSSESFSTDNLANIVDEQCDITNEEMRTVVSSVTSSLAVHASSHPEDDCLIPVKGTDHQPSCPAGSMPVSAAEAEKDMQHVKDKVDGALENTTTANIPTDVHLNIRLPDSSSLQEKFPVTYTLSMIKDYVDRNQSNGMGSYDLAIPYPRRLFGDQDLIKSLLDLGLFNRQALIVVPRRRTAGFQGQRPSDDNRNLTPIEDSAGSSGGYFSYIKSFLSYVNPFAYLGGGASSSTTGQESQSSIWEYSPNPTVQNNLGGRNRSTSTASDGGRNRRPVTTQHGSNIHTLKRDEDDDSFTDRNPFWNGNSTQYGGSSDSK >KJB61309 pep chromosome:Graimondii2_0_v6:9:44637117:44639830:1 gene:B456_009G350900 transcript:KJB61309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSESFSSLTFKGSIPEAILEAKIQKKLFVVYISGEDSESKNMEDSTWTDLKLKESLSKYCVLLHICGGSTDAANFSAIYPQKSVPCITAIGYNGVQVWQSEGSISAEVLASSLEKAWLSLHIQETTAAVLSAALASKKYESSSSGSSTVSQSEQGSSSSASVPSTTMANVVQTLESNPSVMSVVMEENRDCEQTVKEKNPELVENGSSESFSTDNLANIVDEQCDITNEEMRTVVSSVTSSLAVHASSHPEDDCLIPVKGTDHQPSCPAGSMPVSAAEAEKDMQHVKDKVDGALENTTTANIPTDVHLNIRLPDSSSLQEKFPVTYTLSMIKDYVDRNQSNGMGSYDLAIPYPRRLFGDQGMTVHFTSLKSMWLI >KJB54258 pep chromosome:Graimondii2_0_v6:9:2076018:2082335:1 gene:B456_009G027300 transcript:KJB54258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILTERIQNSLRHFMFKNAIFLCERLCAEFPSEVNLQLLAACYLQNNQAYSAYHILKGTQTAQSRYLFAISCFHMDLLSEAETALCHSNEPGGEIPNGAAGHYLLGLIYRYTDRKKSAIHHFRLALSIDPLLWAAYEELSILGAAEAATAVFGEAAALCIQKQYVHHGAASPNLHVSSEDYNLVLSRNFASEDVYSRQFKHTQGNNYRDIPGNYHAAAVSSGAVAQPQNGGPSNTSFYNTPSPMASQLSAVAPPPLCRNVQPNGSNLNTGNTDGSPRSVVNTTIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAGDSGANTNANTTAVAGNGTNSSSKYLGSSKLSSVALRTVTLRKGQSRANDNIEEGIRNEAFDDARSNMASTTSSSFPSGDVRSLDQDGATVLVGGVVISGSKVISGTSEVLGLLRTLGEGYRLSCLYRCQDALDTYLRLPHRHYNTSWVLSQIGKAHFELVDYLEADRAFCLARRVSPYSLEGMDVYSTVLYHLKEDMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNSKFAYAHTLCGHEYVALEDFENGIKCYQNALRIDSRHYNAWYGLGMIYLRQEKFEFSEHHFGMAFQINPRSSVIMSYLGTALHALKKSEDAIKIMDRAILADRKNPLPMYQKANILMSLERFDDALEVLEELKEYAPRESSVYALMGKIYKRRNLHEKAMLHFGIALDLKPSATDVATIKAAIEKLHVPDELDDNL >KJB62811 pep chromosome:Graimondii2_0_v6:9:68810677:68815318:-1 gene:B456_009G437700 transcript:KJB62811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPKSRAVRKQQKNNELQEIEILNEWIESQKPESGSNPLSRDPLKSKSPIGRIVDPESGAVSFSRYAGARKFYELPLSKRTKNGLEGGGFKKMTDIQVASLPHALCGRDILGAAKTGSGKTLAFVIPVLEKLHRERWGPEDGVGSIIISPTRELAGQLFDVLKTVGKHHNFSAGLLIGGRKEVDSEKERVNELNILVCTPGRLLQHMDETPNFDCSQLQVLVLDEADRILDIGFKKTLNAIVSQLPKRRQTLLFSATQTKSVQDLARLSLKDPEYISVHEKAVTATPSRLQQTAMIVPLEQKLDMLWSFIKAHLRSKILVFLSSCKEVKFVFEAFKKLRPGIPLKCLHGRMNQEKRLGIYSQFCESQSVLFSTDVASRGLDFNKAVDWVVQVDCPEDVASYIHRVGRTARYLSGGRSVLFLTPSEMKMLEKLQAAKVPIQFIKANTKRLQPVSGLLSALLVKYPDMQQLAQRAFITYLRSIYIQKDKEVFDVTKLPIDEYSASLGLPMTPKVKFLNQKEKRETESEKSSLIEPKIYDEENESVIPKEELLVEDVKENRGGKDFLLKDDAPDVEGNTSEIGDIVSATRVLKKKKLKINVHRPVGTRVVFDEEGNTQAPLAMLADKTSGDILLDQDKKNDFYKKMREELKQVDKEDKLLERQRLREKRIKKKMKLKKGQREEEDGEEEDDLSGSEGEPDANRKRKRSKIYFHSDSDDGEKEEDKAGSASINAESISLAEQEELALKLLNSMHS >KJB55844 pep chromosome:Graimondii2_0_v6:9:7074407:7075173:-1 gene:B456_009G097700 transcript:KJB55844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent protein kinase inhibitor SMR5 [Source:Projected from Arabidopsis thaliana (AT1G07500) UniProtKB/Swiss-Prot;Acc:Q9LNX4] MEDEMVSYEDVVTSMIQEGWSTPTRGECRIPAVQACPPPPPKKKPFTLGKKRPEPPKNGYFQPPDLEMIFSMGTRRQAWA >KJB61648 pep chromosome:Graimondii2_0_v6:9:50440569:50443380:-1 gene:B456_009G372700 transcript:KJB61648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQIEGEEAKNNKVYTRKNHNKPKNSTFVPQQTLATTTTTDDNNSQQLPLQPFDAVASDDSSIHNRLQQGVRNVTDGVSTSGYVKYDNLVKISLNVLSKNEVRGLKRKLASELKQVRDLVKKFEGKESRLSGGYANSRVSGNENVDRGGGCLVRVNSDVGSVGLPSSRSFHGLPMAMAEHDLCNDDDVGGSEFVEIRKRNPKANPYYKNPEFALGMEKLKPRESNKKMKPTVGKSNGDQMGGGIASEKFSNRLLKRCSNLLGKLMKHKFGWVFNKPVDVKGLGLHDYCSIVKHPMDLGTVKTRLNKNWYKSPRKFAEDVRLTFNNAMLYNPKGQDVHFMAEKLLEIFEENWAAIESEYNLNRRFERTHDYSLPTPPSRKILALAPASAPIQAPPTSSLSLEARTLEGPGSMAMPDDPKSRDVDLTPTGRIAAPKEPKAKDPDKRDMTYDEKQRLSVNLQNLPSDKLDSIVQIIKKRNPALFQQEDEIEVDIDSFDPETLWELDRFMTNYKKSLSKHKRKAEVAHQANAENDDIQETNPEPPTKEVPKVAETVERIVPTSPSVHGERLQNNQSGSSSSSSSSSGSGSSSRDSDSDNLSG >KJB61649 pep chromosome:Graimondii2_0_v6:9:50440016:50443611:-1 gene:B456_009G372700 transcript:KJB61649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQIEGEEAKNNKVYTRKNHNKPKNSTFVPQQTLATTTTTDDNNSQQLPLQPFDAVASDDSSIHNRLQQGVRNVTDGVSTSGYVKYDNLVKISLNVLSKNEVRGLKRKLASELKQVRDLVKKFEGKESRLSGGYANSRVSGNENVDRGGGCLVRVNSDVGSVGLPSSRSFHGLPMAMAEHDLCNDDDVGGSEFVEIRKRNPKANPYYKNPEFALGMEKLKPRESNKKMKPTVGKSNGDQMGGGIASEKFSNRLLKRCSNLLGKLMKHKFGWVFNKPVDVKGLGLHDYCSIVKHPMDLGTVKTRLNKNWYKSPRKFAEDVRLTFNNAMLYNPKGQDVHFMAEKLLEIFEENWAAIESEYNLNRRFERTHDYSLPTPPSRKILALAPASAPIQAPPTSSLSLEARTLEGPGSMAMPDDPKSRDVDLTPTGRIAAPKEPKAKDPDKRDMTYDEKQRLSVNLQNLPSDKLDSIVQIIKKRNPALFQQEDEIEVDIDSFDPETLWELDRFMTNYKKSLSKHKRKAEVAHQANAENDDIQETNPEPPTKEVPKVAETVERIVPTSPSVHGERLQNNQSGSSSSSSSSSGSGSSSRDSDSDNLSG >KJB57076 pep chromosome:Graimondii2_0_v6:9:11161900:11163563:-1 gene:B456_009G147000 transcript:KJB57076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRLFLCFFLFTASSVNIVCSRSLQKDHIALFVFGDSVYDPGNNNYIDTIARANYYPYGETFFKYPTGRFSDGRLIPDFIAEYANLPLIPPYLQPGNHEFTTGVNFASAGAGALSETNQGFVIDLKTQLSYFKKVAKQLRQELGDAEAMAFLSKAVYLINIGSNDYVLPFTSNSTVFQYFSKQQYVGMVIGNLTETIKEIHMEGGRKFGFRNFGSMGCIPLLKALVPGNTAGSCFEQVNELAKLHNAALTEALEEMEIKLVQFKYSMHHLNIYLSELTKNPGRFGFKEVNKACCGSGPYRGISSCGGRRGVTEYELCSDPTQYFYFDSSHVSEKANKQIAQLMWSGPPNITGPYNLKAFFQL >KJB57077 pep chromosome:Graimondii2_0_v6:9:11162370:11163545:-1 gene:B456_009G147000 transcript:KJB57077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRLFLCFFLFTASSVNIVCSRSLQKDHIALFVFGDSVYDPGNNNYIDTIARANYYPYGETFFKYPTGRFSDGRLIPDFIAEYANLPLIPPYLQPGNHEFTTGVNFASAGAGALSETNQGFVIDLKTQLSYFKKVAKQLRQELGDAEAMAFLSKAVYLINIGSNDYVLPFTSNSTVFQYFSKQQYVGMVIGNLTETIKEIHMEGGRKFGFRNFGSMGCIPLLKALVPGNTAGSCFEQVNELAKLHNAALTEALEEMEIKLVQFKYSMHHLNIYLSELTKNPGRFGMHPAI >KJB55002 pep chromosome:Graimondii2_0_v6:9:4161873:4164656:1 gene:B456_009G057900 transcript:KJB55002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRCCLLPQTERCFGKKPFCSFVFFSGAYLRALTHWLMDTFKAKCKALFSSRGCFGCFIAVDDPSKPLKIRGRKAKRSSCSEDFWNSSGCEMEHSGVQSQGSISSTNASNLDPSGSTSHPSEFVNHGLLLWSQTRQQWLGNNKSEKRVQPRQPTISWNTIYESLHGNYKSFPHPIPLPEMVDFLVDVWEQEGLYG >KJB60839 pep chromosome:Graimondii2_0_v6:9:32969148:32970028:-1 gene:B456_009G328000 transcript:KJB60839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFLLHPKRKCEKKKKKQKRTLPTMGSQNAGLQWSCNDGFSTTAYMGQREYNFSFKEYNIKPNLLENMTSHIIIYYYDRLA >KJB55566 pep chromosome:Graimondii2_0_v6:9:6018351:6021203:-1 gene:B456_009G082700 transcript:KJB55566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYIHGSSEFQAAPAASDGMQTLYLMNPNYVPYSDTHQPATATNMFFLNPAGNSLNPISLPHAPPSNYNHFLGLPLPSPTPTIGPSNSDEPNRPPPLVSGVQHNLWGSSIDKQNSSPGSSSHPQLVSAVATAGNSGGPLDVISQLGLRRSGVSPRQGLSLSLSSQQVPYRSSNVETVIQGQPEVPVPPTTSLAADDMRISGSSPSTVSVVSNGISGVQSVVLGSKYLRAVQELLDEVVNVGKGIKTDPSGGTKEKTKAAKKEPVAAVNGDGSSAGENGVEPGPELTTAQRQELQMKKAKLVNMLDEVEQRYRQYHHQMQTVVASFEQAAGLGAAKSYTALALQTISKQFRCLKDAISCQTKATNKSLGEEDCLGVKMEGSRLRYVDNQIRQQRALQQLGMIQHHNAWRPQRGLPERAVCVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEIKEQERNTNGSEEINANKNEQQMETGSSSGGQTMDQVKAQSKPRAISQNNNNSITSHADQFSNSTISTSPMGGSLVQQTSFNLIGSSDLDRGPKKPRNELQNSAFGILPMEMEMKQGETREINMRFGDERLFKDHSYSYLSGTVYSSMREVGRFNHGQQLPPGFHGNSGVSLTLGLPDCENLSLSGNHQNFVPNQTINDVEGATQSDFCAINTQPQPSHSTTYDTMEMQSRKRFAAPLLPDFVA >KJB55565 pep chromosome:Graimondii2_0_v6:9:6017983:6022050:-1 gene:B456_009G082700 transcript:KJB55565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYIHGSSEFQAAPAASDGMQTLYLMNPNYVPYSDTHQPATATNMFFLNPAGNSLNPISLPHAPPSNYNHFLGLPLPSPTPTIGPSNSDEPNRPPPLVSGVQHNLWGSSIDKQNSSPGSSSHPQLVSAVATAGNSGGPLDVISQLGLRRSGVSPRQGLSLSLSSQQVPYRSSNVETVIQGQPEVPVPPTTSLAADDMRISGSSPSTVSVVSNGISGVQSVVLGSKYLRAVQELLDEVVNVGKGIKTDPSGGTKEKTKAAKKEPVAAVNGDGSSAGENGVEPGPELTTAQRQELQMKKAKLVNMLDEVEQRYRQYHHQMQTVVASFEQAAGLGAAKSYTALALQTISKQFRCLKDAISCQTKATNKSLGEEDCLGVKMEGSRLRYVDNQIRQQRALQQLGMIQHHNAWRPQRGLPERAVCVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEIKEQERNTNGSEEINANKNEQQMETGSSSGGQTMDQVKAQSKPRAISQNNNNSITSHADQFSNSTISTSPMGGSLVQQTSFNLIGSSDLDRGPKKPRNELQNSAFGILPMEMEMKQGETREINMRFGDERLFKDHSYSYLSGTVYSSMREVGRFNHGQQLPPGFHGNSGVSLTLGLPDCENLSLSGNHQNFVPNQTINDVEGATQSDFCAINTQPQPSHSTTYDTMEMQSRKRFAAPLLPDFVA >KJB58403 pep chromosome:Graimondii2_0_v6:9:16192354:16198222:1 gene:B456_009G208800 transcript:KJB58403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISRKTSPHFSSSLPSFHSDLHRNVGNAGNSCINQAQQQRRLTRQRKLRHLTDDELGLRFGDIHRSFSSPCSPDTPARMDSKSPERLEHWSSYAEPKPLPLPEGFFNRKSKTSGSSPGPSKLASPDERLASVVGRKNADHVAKSAAKSSVNVHKEFSQDEFVESFTNGTKPTVTTRSGATSYFSSPASPQRSNTQDHFNSYDVADSTKSLLSRRRGFPGEKIFGGVNYDLRLKVSARSAPTTALSSPSVSPQRSRAIDLHESSGLIDLDVPYSGRPAAYNVSPVKNVHSPENSPLHIPGPNKTSSYHGEWLENNSNQVNAHPLPLPPGALPSSSQSPVPLPSPVSNHVIEKPMATSIISQWKKGKLLGRGTYGTVYGATNRETGALCAMKEVEIIPDDPKSVECIKQLEQEIRVLRRLKHQNIVQYYGSEIVDDRLYIYLEYVHPGSINKYVREHCGAITESIVRNFTRHILSGLAYLHSFKTIHRDIKGANLLVDANGIVKLADFGMAKHLTGLSYELSLKGSPYWMAPEVIKAVMKKDSDPNLALAVDIWSLGCTVIEMFNGRPPWGELQGPQALFKVLNKTPPVPEALSPEGKDFLRCCFQRNPAERPSAATLLEHPFVRNSADQNGQALMQAFSRMDLT >KJB58405 pep chromosome:Graimondii2_0_v6:9:16192354:16198222:1 gene:B456_009G208800 transcript:KJB58405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISRKTSPHFSSSLPSFHSDLHRNVGNAGNSCINQAQQQRRLTRQRKLRHLTDDELGLRFGDIHRSFSSPCSPDTPARMDSKSPERLEHWSSYAEPKPLPLPEGFFNRKSKTSGSSPGPSKLASPDERLASVVGRKNADHVAKSAAKSSVNVHKEFSQDEFVESFTNGTKPTVTTRSGATSYFSSPASPQRSNTQDHFNSYDVADSTKSLLSRRRGFPGEKIFGGVNYDLRLKVSARSAPTTALSSPSVSPQRSRAIDLHESSGLIDLDVPYSGRPAAYNVSPVKNVHSPENSPLHIPGPNKTSSYHGEWLENNSNQVNAHPLPLPPGALPSSSQSPVPLPSPVSNHVIEKPMATSIISQWKKGKLLGRGTYGTVYGATNRETGALCAMKEVEIIPDDPKSVECIKQLEQEIRVLRRLKHQNIVQYYGSEIVDDRLYIYLEYVHPGSINKYVREHCGAITESIVRNFTRHILSGLAYLHSFKTIHRDIKGANLLVDANGIVKLADFGMAKHVIKAVMKKDSDPNLALAVDIWSLGCTVIEMFNGRPPWGELQGPQALFKVLNKTPPVPEALSPEGKDFLRCCFQRNPAERPSAATLLEHPFVRNSADQNGQALMQAFSRMDLT >KJB58407 pep chromosome:Graimondii2_0_v6:9:16193301:16198180:1 gene:B456_009G208800 transcript:KJB58407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVLLINLVNEILRKNADHVAKSAAKSSVNVHKEFSQDEFVESFTNGTKPTVTTRSGATSYFSSPASPQRSNTQDHFNSYDVADSTKSLLSRRRGFPGEKIFGGVNYDLRLKVSARSAPTTALSSPSVSPQRSRAIDLHESSGLIDLDVPYSGRPAAYNVSPVKNVHSPENSPLHIPGPNKTSSYHGEWLENNSNQVNAHPLPLPPGALPSSSQSPVPLPSPVSNHVIEKPMATSIISQWKKGKLLGRGTYGTVYGATNRETGALCAMKEVEIIPDDPKSVECIKQLEQEIRVLRRLKHQNIVQYYGSEIVDDRLYIYLEYVHPGSINKYVREHCGAITESIVRNFTRHILSGLAYLHSFKTIHRDIKGANLLVDANGIVKLADFGMAKHLTGLSYELSLKGSPYWMAPEVIKAVMKKDSDPNLALAVDIWSLGCTVIEMFNGRPPWGELQGPQALFKVLNKTPPVPEALSPEGKDFLRCCFQRNPAERPSAATLLEHPFVRNSADQNGQALMQAFSRMDLTDKSHSMRIEMMSTSLGTRMTNGKLPSNSETRRLGYPKTNNCAAASHHPLDPALEVSTYTSGTRVIHGSHSFSPSHVSSNMPLGAVNNHPFAVGRMQGKEVPHI >KJB58406 pep chromosome:Graimondii2_0_v6:9:16192479:16198222:1 gene:B456_009G208800 transcript:KJB58406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISRKTSPHFSSSLPSFHSDLHRNVGNAGNSCINQAQQQRRLTRQRKLRHLTDDELGLRFGDIHRSFSSPCSPDTPARMDSKSPERLEHWSSYAEPKPLPLPEGFFNRKSKTSGSSPGPSKLASPDERLASVVGRKNADHVAKSAAKSSVNVHKEFSQDEFVESFTNGTKPTVTTRSGATSYFSSPASPQRSNTQDHFNSYDVADSTKSLLSRRRGFPGEKIFGGVNYDLRLKVSARSAPTTALSSPSVSPQRSRAIDLHESSGLIDLDVPYSGRPAAYNVSPVKNVHSPENSPLHIPGPNKTSSYHGEWLENNSNQVNAHPLPLPPGALPSSSQSPVPLPSPVSNHVIEKPMATSIISQWKKGKLLGRGTYGTVYGATNRETGALCAMKEVEIIPDDPKSVECIKQLEQEIRVLRRLKHQNIVQYYGSEIVDDRLYIYLEYVHPGSINKYVREHCGAITESIVRNFTRHILSGLAYLHSFKTIHRDIKGANLLVDANGIVKLADFGMAKHVIKAVMKKDSDPNLALAVDIWSLGCTVIEMFNGRPPWGELQGPQALFKVLNKTPPVPEALSPEGKDFLRCCFQRNPAERPSAATLLEHPFVRNSADQNGQALMQAFSRMDLTDKSHSMRIEMMSTSLGTRMTNGKLPSNSETRRLGYPKTNNCAAASHHPLDPALEVSTYTSGTRVIHGSHSFSPSHVSSNMPLGAVNNHPFAVGRMQGKEVPHI >KJB58404 pep chromosome:Graimondii2_0_v6:9:16192354:16198222:1 gene:B456_009G208800 transcript:KJB58404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISRKTSPHFSSSLPSFHSDLHRNVGNAGNSCINQAQQQRRLTRQRKLRHLTDDELGLRFGDIHRSFSSPCSPDTPARMDSKSPERLEHWSSYAEPKPLPLPEGFFNRKSKTSGSSPGPSKLASPDERLASVVGRKNADHVAKSAAKSSVNVHKEFSQDEFVESFTNGTKPTVTTRSGATSYFSSPASPQRSNTQDHFNSYDVADSTKSLLSRRRGFPGEKIFGGVNYDLRLKVSARSAPTTALSSPSVSPQRSRAIDLHESSGLIDLDVPYSGRPAAYNVSPVKNVHSPENSPLHIPGPNKTSSYHGEWLENNSNQVNAHPLPLPPGALPSSSQSPVPLPSPVSNHVIEKPMATSIISQWKKGKLLGRGTYGTVYGATNRETGALCAMKEVEIIPDDPKSVECIKQLEQEIRVLRRLKHQNIVQYYGSEIVDDRLYIYLEYVHPGSINKYVREHCGAITESIVRNFTRHILSGLAYLHSFKTIHRDIKGANLLVDANGIVKLADFGMAKHLTGLSYELSLKGSPYWMAPEVIKAVMKKDSDPNLALAVDIWSLGCTVIEMFNGRPPWGELQGPQALFKVLNKTPPVPEALSPEGKDFLRCCFQRNPAERPSAATLLEHPFVRNSADQNGQALMQAFSRMDLTDKSHSMRIEMMSTSLGTRMTNGKLPSNSETRRLGYPKTNNCAAASHHPLDPALEVSTYTSGTRVIHGSHSFSPSHVSSNMPLGAVNNHPFAVGRMQGKEVPHI >KJB58992 pep chromosome:Graimondii2_0_v6:9:18487446:18491472:-1 gene:B456_009G234400 transcript:KJB58992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAQTEKPLSLSEQYALQEKEEKSDVTTKPAEAKEVENPVNAATGSGDVVTEKLEETSADPVEGSTEAPPPAAEESTEANPATGNSGEDAAEENSGDSEETPEIKLETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEGAPECDKFAKYYRALCPGEWIDGMSKGRMVLFQVLSRWDGTPIVAEKSLF >KJB58993 pep chromosome:Graimondii2_0_v6:9:18488188:18491276:-1 gene:B456_009G234400 transcript:KJB58993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAQTEKPLSLSEQYALQEKEEKSDVTTKPAEAKEVENPVNAATGSGDVVTEKLEETSADPVEGSTEAPPPAAEESTEANPATGNSGEDAAEENSGDSEETPEIKLETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEGAPECDKFAKYYRALCPGEWIDRWNEQRENGTFPGPL >KJB61905 pep chromosome:Graimondii2_0_v6:9:52841687:52855341:1 gene:B456_009G390000 transcript:KJB61905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYVAPAAVEIVADQAKEYASPYLRYFFRYGEIVEDFKNQREALELKKERVDTRVDEAESQNELIHKDVDNWLTSAEKELKETQNLKDEIDRVKCFKWCPKWGWRYSLSKKLAEKIPIISELLETSNFAQVGYRRPLQGIEFITSTDFMDSKSSKSAFNQIMEAINAKGVNMIGLHGMPGVGKTTLAKEVGKHAREQKLFDKVVMFTMSQNPNIRTIQDKIAEMFGLNFHTNTAEGRAEELFRSMQGMNKILVIVDDLWEEFKMESIGIPFGDEHEGCKILLTTRRQQVWTKMNCKEIQLGILSEDEAWVLFRHKAGLEDDCSTLNDVAKEVAAQCKGLPLAIVIVAKALKGESLNGWRDANQRFKDSTHLYDEEVLGGVLEPLKLSYDYLKKGINQMTGNHIQMCFLLCSLFPEDEEILIEILIMKKIVEALKKLQKSGLLLETDGADTIRIHDVIRDFAHWLTSMGENRFMVKDTLKEWPHMDGSYTAIALWNCSSIIKNFPDKVEFSKLKTLVLVGERKRNRDDFLVVFGTFFEEMKALQVLLLQRVSFSLKGFPSLPNLKTLWCYNCMLKNFSSSLTNMRSLKILSLPGTEIDEISEELLHVVPKINLNLLELKSLSRLTALSLRLSTNQIPLEDFVLPKLQRYAIVVGENYRFNREAFRTLDIQDFSSSLSAFNNLFCNVEELNLRNVGGQENIVPSIDEMGVNELTSLQLESCNDMEFLIDTKKDQGSTVAFSNLVELNIKSMVSLKGLRYGVSPTWFLQNLKQVSIKDCEELQVIFQIDKLSERMKCQTPLLSNLTKLSLHSLPKLKRIWEVKPSHRAIASLQSLKVVTIEYCDNLKTIFSPCLALKDHNGCLLYCWPKLRVLRIQDCGSLKYISTNTLIQSLATVYIGNCHQLIQIYNMEQNIVLPELGSQESLTNLTFLELRSLPELKCMWNGPNHCHYLRSVKITVCDKLTYLFSEITARTLVHLQYLEIRNCNSLEHLIGEAENMDEIDVSNIKDQSSLFLPKLEVVKIRGCENLKYLCSSQGLPYLESIDIEDCIWTQIHFYNHCWTKLETLRINTLSHGFPLLESLYLKNCPQLLQVFSSTEGRDVIGDHILLNVPFLKNLKVSNCPQISCFIVQAQLIEELVLINVGNSRQLCNTDVPVLNEGCIVVGNHEEVFQVQGGYSFSSIKSLQLWNLFEVRVIWNDFAQVVTLENLTTLNLFNCKKLRYIFSPMMARSLSHLVDLFIVRCEEIERLILAKDQVASSSSNGDTSLQPMSFPNLTRIIVTDCKNLNSLFPFGFVFVLPKLETLKVIRNSKLEQVFELEEKVEVVAEEEMKFDKLERLSLEELPGLIHFCPKGYHSVFPEMMKLKVRDCPKLTTGFFIDSQEFVHCKTKVPRLVEQDAVEESTSVRNAISNENIDCRRGGGGSQLPHIT >KJB59334 pep chromosome:Graimondii2_0_v6:9:20214177:20214806:1 gene:B456_009G249900 transcript:KJB59334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRLKQGGEGGRAEADPARREGDKKGAYRFQEKKGSVFPVKKKLVMTRMAECIANSLSSKMGGSSNTTVTNTTPPKSSKSNKISTVNISPDP >KJB62951 pep chromosome:Graimondii2_0_v6:9:69599084:69600644:-1 gene:B456_009G444900 transcript:KJB62951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAVVVKKVVPEKMRLHPAVSRVLTLGFVAVTVNWLFLPQLARNGVEENIIEEYSKLMDLFKGNPQT >KJB60294 pep chromosome:Graimondii2_0_v6:9:26214105:26232403:-1 gene:B456_009G298800 transcript:KJB60294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MPTPSAPVFAPSPVVSTVSRSESFDSTQVQELTVDDIEDFEDDDDLEEVNSLKISRRNPNDVGDLMLKLPSFATGITDDDLRETAYEILLACAGASGGLIVPSKEKKKDKRSKLMKKLGRSKNENIVAQSQNASGLVGLLETMRVQMEISEAMDIRTRQGLLNALSGKVGKRMDTLLIPLELLCCISRTEFSDKKAYIRWQKRQLNMLAEGLVNHPAVGFGESGRKTSEFRILLAKIEESEAFPPSTGEVQRTESLKSLRDIAIPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLVSVFDVLDEGKLTEEVEEILELLKSTWRVLGITETIHYTCYAWILFRQYVITSEQGILRHAIDQLKKIPLKEQRGPQERLHLKSLHVRVDGEEGSRDVSFLQSFLSPIQKWADKQLGDYHLIFAEGSMVMEDIVTVAMIVRRLLLEESDIPVQSSTVSDRDQIELYISSSVKNSFARILQAVDKSDTMGEHPLALLAEEVKKLLKKDSTMFMPILCRRHPHATIVSASLLHKFYGNKLKPFVDSAEHLTEDVVSVFPAADNLEQYILDLIKSACEGENVEIHFRKLNPYQIESVSGTVVMRWINSQLGRIVGWVERTLQQERWDPISPQQRHGSSIVEVYRIVEETVDQFFGIKVPMRLTELNALFRGIDNAFQVYANHIVDNLASKDDLIPPLPVLTRYRREAGIKAFVKKELFDSRLPDQIRSSNINVLTTPTLCVQLNTLYYAINQLNKLEDSIWEHWTRKMPIEKIYIRKSMDDKSKSSTQKGTFDGSRKDINAAIDRIREFTGTKIIFWDLREPFIENLYKPSVSQSRLEAVIEPLDVELNQLCDIIVEPLRDRVVTSLLQASLEGLLRVLLDGGPSRVFYPTDAKLLEEDLEILKEFFISGGDGLPRGVVENQVARVRLVVKLHGLETRELVEDLRSSSGKLGADNQTLLRILCHRADSEASQFVKKQYKIPKSSA >KJB60290 pep chromosome:Graimondii2_0_v6:9:26214105:26232403:-1 gene:B456_009G298800 transcript:KJB60290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MEEESAVELLQRYRRDRRILLDFILSGSLIKKVVMPPGAVTLDDVDLDQVSIDYVLSCIKKGGMLDLSEAIRDYHDHTGLPQMNSGDSAGEFFLVTNPEFSGSPPRRAPPPIPDSISMPTPSAPVFAPSPVVSTVSRSESFDSTQVQELTVDDIEDFEDDDDLEEVNSLKISRRNPNDVGDLMLKLPSFATGITDDDLRETAYEILLACAGASGGLIVPSKEKKKDKRSKLMKKLGRSKNENIVAQSQNASGLVGLLETMRVQMEISEAMDIRTRQGLLNALSGKVGKRMDTLLIPLELLCCISRTEFSDKKAYIRWQKRQLNMLAEGLVNHPAVGFGESGRKTSEFRILLAKIEESEAFPPSTGEVQRTESLKSLRDIAIPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLVSVFDVLDEGKLTEEVEEILELLKSTWRVLGITETIHYTCYAWILFRQYVITSEQGILRHAIDQLKKIPLKEQRGPQERLHLKSLHVRVDGEEGSRDVSFLQSFLSPIQKWADKQLGDYHLIFAEGSMVMEDIVTVAMIVRRLLLEESDIPVQSSTVSDRDQIELYISSSVKNSFARILQAVDKSDTMGEHPLALLAEEVKKLLKKDSTMFMPILCRRHPHATIVSASLLHKFYGNKLKPFVDSAEHLTEDVVSVFPAADNLEQYILDLIKSACEGENVEIHFRKLNPYQIESVSGTVVMRWINSQLGRIVGWVERTLQQERWDPISPQQRHGSSIVEVYRIVEETVDQFFGIKVPMRLTELNALFRGIDNAFQVYANHIVDNLASKDDLIPPLPVLTRYRREAGIKAFVKKELFDSRLPDQIRSSNINVLTTPTLCVQLNTLYYAINQLNKLEDSIWEHWTRKMPIEKIYIRKSMDDKSKSSTQKGTFDGSRKDINAAIDRIREFTGTKIIFWDLREPFIENLYKPSVSQSRLEAVIEPLDVELNQLCDIIVEPLRDRVVTSLLQASLEGLLRVLLDGGPSRVFYPTDAKLLEEDLEILKEFFISGGDGLPRGVVENQVARVRLVVKLHGLETRELVEDLRSSSGKLGADNQTLLRILCHRADSEASQFVKKQYKIPKSSA >KJB60291 pep chromosome:Graimondii2_0_v6:9:26214105:26232403:-1 gene:B456_009G298800 transcript:KJB60291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MEEESAVELLQRYRRDRRILLDFILSGSLIKKVVMPPGAVTLDDVDLDQVSIDYVLSCIKKGGMLDLSEAIRDYHDHTGLPQMNSGDSAGEFFLVTNPEFSGSPPRRAPPPIPDSISMPTPSAPVFAPSPVVSTVSRSESFDSTQVQELTVDDIEDFEDDDDLEEVNSLKISRRNPNDVGDLMLKLPSFATGITDDDLRETAYEILLACAGASGGLIVPSKEKKKDKRSKLMKKLGRSKNENIVAQSQNASGLVGLLETMRVQMEISEAMDIRTRQGLLNALSGKVGKRMDTLLIPLELLCCISRTEFSDKKAYIRWQKRQLNMLAEGLVNHPAVGFGESGRKTSEFRILLAKIEESEAFPPSTGEVQRTESLKSLRDIAIPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLVSVFDVLDEGKLTEEVEEILELLKSTWRVLGITETIHYTCYAWILFRQYVITSEQGILRHAIDQLKKIPLKEQRGPQERLHLKSLHVRVDGEEGSRDVSFLQSFLSPIQKWADKQLGDYHLIFAEGSMVMEDIVTVAMIVRRLLLEESDIPVQSSTVSDRDQIELYISSSVKNSFARILQAVDKSDTMGEHPLALLAEEVKKLLKKDSTMFMPILCRRHPHATIVSASLLHKFYGNKLKPFVDSAEHLTEDVVSVFPAADNLEQYILDLIKSACEGENVEIHFRKLNPYQIESVSGTVVMRWINSQLGRIVGWVERTLQQERWDPISPQQRHGSSIVEVYRIVEETVDQFFGIKVPMRLTELNALFRGIDNAFQVYANHIVDNLASKDDLIPPLPVLTRYRREAGIKAFVKKELFDSRLPDQIRSSNINVLTTPTLCVQLNTLYYAINQLNKLEDSIWEHWTRKMPIEKIYIRKSMDDKSKSSTQKGTFDGSRKDINAAIDRIREFTGTKIIFWDLREPFIENLYKPSVSQSRLEAVIEPLDVELNQLCDIIVEPLRDREGLLRVLLDGGPSRVFYPTDAKLLEEDLEILKEFFISGGDGLPRGVVENQVARVRLVVKLHGLETRELVEDLRSSSGKLGADNQTLLRILCHRADSEASQFVKKQYKIPKSSA >KJB60292 pep chromosome:Graimondii2_0_v6:9:26215728:26232118:-1 gene:B456_009G298800 transcript:KJB60292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MEEESAVELLQRYRRDRRILLDFILSGSLIKKVVMPPGAVTLDDVDLDQVSIDYVLSCIKKGGMLDLSEAIRDYHDHTGLPQMNSGDSAGEFFLVTNPEFSGSPPRRAPPPIPDSISMPTPSAPVFAPSPVVSTVSRSESFDSTQVQELTVDDIEDFEDDDDLEEVNSLKISRRNPNDVGDLMLKLPSFATGITDDDLRETAYEILLACAGASGGLIVPSKEKKKDKRSKLMKKLGRSKNENIVAQSQNASGLVGLLETMRVQMEISEAMDIRTRQGLLNALSGKVGKRMDTLLIPLELLCCISRTEFSDKKAYIRWQKRQLNMLAEGLVNHPAVGFGESGRKTSEFRILLAKIEESEAFPPSTGEVQRTESLKSLRDIAIPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLVSVFDVLDEGKLTEEVEEILELLKSTWRVLGITETIHYTCYAWILFRQYVITSEQGILRHAIDQLKKIPLKEQRGPQERLHLKSLHVRVDGEEGSRDVSFLQSFLSPIQKWADKQLGDYHLIFAEGSMVMEDIVTVAMIVRRLLLEESDIPVQSSTVSDRDQIELYISSSVKNSFARILQAVDKSDTMGEHPLALLAEEVKKLLKKDSTMFMPILCRRHPHATIVSASLLHKFYGNKLKPFVDSAEHLTEDVVSVFPAADNLEQYILDLIKSACEGENVEIHFRKLNPYQIESVSGTVVMRWINSQLGRIVGWVERTLQQERWDPISPQQRHGSSIVEVYRIVEETVDQFFGIKVPMRLTELNALFRGIDNAFQVYANHIVDNLASKDDLIPPLPVLTRYRREAGIKAFVKKELFDSRLPDQIRSSNINVLTTPTLCVQLNTLYYAINQLNKLEDSIWEHWTRKMPIEKIYIRKSMDDKSKSSTQKGTFDGSRKDINAAIDRIREFTGTKIIFWDLREPFIENLYKPSVSQSRLEAVIEPLDVELNQLCDIIVEPLRDRVVTSLLQASLVFPETCFFPKNLNCSLNFYLSKWFLF >KJB60293 pep chromosome:Graimondii2_0_v6:9:26214098:26232485:-1 gene:B456_009G298800 transcript:KJB60293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MEEESAVELLQRYRRDRRILLDFILSGSLIKKVVMPPGAVTLDDVDLDQVSIDYVLSCIKKGGMLDLSEAIRDYHDHTGLPQMNSGDSAGEFFLVTNPEFSGSPPRRAPPPIPDSISMPTPSAPVFAPSPVVSTVSRSESFDSTQVQELTVDDIEDFEDDDDLEEVNSLKISRRNPNDVGDLMLKLPSFATGITDDDLRETAYEILLACAGASGGLIVPSKEKKKDKRSKLMKKLGRSKNENIVAQSQNASGLVGLLETMRVQMEISEAMDIRTRQGLLNALSGKVGKRMDTLLIPLELLCCISRTEFSDKKAYIRWQKRQLNMLAEGLVNHPAVGFGESGRKTSEFRILLAKIEESEAFPPSTGEVQRTESLKSLRDIAIPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLVSVFDVLDEGKLTEEVEEILELLKSTWRVLGITETIHYTCYAWILFRQYVITSEQGILRHAIDQLKKIPLKEQRGPQERLHLKSLHVRVDGEEGSRDVSFLQSFLSPIQKWADKQLGDYHLIFAEGSMVMEDIVTVAMIVRRLLLEESDIPVQSSTVSDRDQIELYISSSVKNSFARILQAVDKSDTMGEHPLALLAEEVKKLLKKDSTMFMPILCRRHPHATIVSASLLHKFYGNKLKPFVDSAEHLTEDVVSVFPAADNLEQYILDLIKSACEGENVEIHFRKLNPYQIESVSGTVVMRWINSQLGRIVGWVERTLQQERWDPISPQQRHGSSIVEVYRIVEETVDQFFGIKVPMRLTELNALFRGIDNAFQVYANHIVDNLASKDDLIPPLPVLTRYRREAGIKAFVKKELFDSRLPDQIRSSNINVLTTPTLCVQLNTLYYAINQLNKLEDSIWEHWTRKMPIEKIYIRKSMDDKSKSSTQKGTFDGSRKDINAAIDRIREFTGTKIIFWDLREPFIENLYKPSVSQSRLEAVIEPLDVELNQLCDIIVEPLRDRVVTSLLQASLEGLLRVLLDGGPSRVFYPTDAKLLEEDLEILKEFFISGGDGLPRGVVENQVARVRLVVKLHGLETRELVEDLRSSSGKLGADNQTLLRILCHRADSEASQFVKKQYKIPKSSA >KJB60295 pep chromosome:Graimondii2_0_v6:9:26214667:26232118:-1 gene:B456_009G298800 transcript:KJB60295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MEEESAVELLQRYRRDRRILLDFILSGSLIKKVVMPPGAVTLDDVDLDQVSIDYVLSCIKKGGMLDLSEAIRDYHDHTGLPQMNSGDSAGEFFLVTNPEFSGSPPRRAPPPIPDSISMPTPSAPVFAPSPVVSTVSRSESFDSTQVQELTVDDIEDFEDDDDLEEVNSLKISRRNPNDVGDLMLKLPSFATGITDDDLRETAYEILLACAGASGGLIVPSKEKKKDKRSKLMKKLGRSKNENIVAQSQNASGLVGLLETMRVQMEISEAMDIRTRQGLLNALSGKVGKRMDTLLIPLELLCCISRTEFSDKKAYIRWQKRQLNMLAEGLVNHPAVGFGESGRKTSEFRILLAKIEESEAFPPSTGEVQRTESLKSLRDIAIPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLVSVFDVLDEGKLTEEVEEILELLKSTWRVLGITETIHYTCYAWILFRQYVITSEQGILRHAIDQLKKIPLKEQRGPQERLHLKSLHVRVDGEEGSRDVSFLQSFLSPIQKWADKQLGDYHLIFAEGSMVMEDIVTVAMIVRRLLLEESDIPVQSSTVSDRDQIELYISSSVKNSFARILQAVDKSDTMGEHPLALLAEEVKKLLKKDSTMFMPILCRRHPHATIVSASLLHKFYGNKLKPFVDSAEHLTEDVVSVFPAADNLEQYILDLIKSACEGENVEIHFRKLNPYQIESVSGTVVMRWINSQLGRIVGWVERTLQQERWDPISPQQRHGSSIVEVYRIVEETVDQFFGIKVPMRLTELNALFRGIDNAFQVYANHIVDNLASKDDLIPPLPVLTRYRREAGIKAFVKKELFDSRLPDQIRSSNINVLTTPTLCVQLNTLYYAINQLNKLEDSIWEHWTRKMPIEKIYIRKSMDDKSKSSTQKGTFDGSRKDINAAIDRIREFTGTKIIFWDLREPFIENLYKPSVSQSRLEAVIEPLDVELNQLCDIIVEPLRDRVVTSLLQASLEGLLRVLLDGGPSRVFYPTDAKLLEEDLEILKEFFISGGDGLPRGVVENQVARVRLVVKLHGLEVRYAAFDYYCLKSPFSKWWEVHLLLAILISDSRIGGGLEIFKWQTRC >KJB53914 pep chromosome:Graimondii2_0_v6:9:903887:907281:-1 gene:B456_009G011200 transcript:KJB53914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIFY 8 [Source:Projected from Arabidopsis thaliana (AT4G32570) UniProtKB/Swiss-Prot;Acc:Q84MB2] MAAVLKMAEQSKTTNTNNANTTNTPTHLQHQQVKPAAMFHDFLGMKPSNSSVVLAPKPTDARFSEASPSPSASVAASSGGGGAGRGPISSTSDLGSVERQAGNHLEGIPYYGPRSEISGPDINNSLAGSKRSFSDSAFMGHESLESLHLMKMLRNGAGGERPRRSNEDEVFLGMKSMRPSSTSLILQPPAGSRLEGNASKWERSVPSAVQYASRGGHFVPFVHQVSSNRFKDTTVGPSVISQAAADEGSRTGIKGPGILSSINASGVPTEKGSSAIVPSGGRPKTGAHNSDPEPLVPPSRQGLTSASRQMTIFYGGQAHVFDDVHPNKADVIMALAGSNGGSWSTTYSPKSAVRTGENYAPGGEPEAVGSIAFSRELRGKMAVTGNASQATGSGERIPIQTGVPQGTIVMARDGRNLEAGTEDKREV >KJB53912 pep chromosome:Graimondii2_0_v6:9:903341:907617:-1 gene:B456_009G011200 transcript:KJB53912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIFY 8 [Source:Projected from Arabidopsis thaliana (AT4G32570) UniProtKB/Swiss-Prot;Acc:Q84MB2] MAAVLKMAEQSKTTNTNNANTTNTPTHLQHQQVKPAAMFHDFLGMKPSNSSVVLAPKPTDARFSEASPSPSASVAASSGGGGAGRGPISSTSDLGSVERQAGNHLEGIPYYGPRSEISGPDINNSLAGSKRSFSDSAFMGHESLESLHLMKMLRNGAGGERPRRSNEDEVFLGMKSMRPSSTSLILQPPAGSRLEVSSNRFKDTTVGPSVISQAAADEGSRTGIKGPGILSSINASGVPTEKGSSAIVPSGGRPKTGAHNSDPEPLVPPSRQGLTSASRQMTIFYGGQAHVFDDVHPNKADVIMALAGSNGGSWSTTYSPKSAVRTGENYAPGGEPEAVGSIAFSRELRGKMAVTGNASQATGSGERIPIQTGVPQGTIVMARDGRNLEAGTEDKREV >KJB53915 pep chromosome:Graimondii2_0_v6:9:903380:907503:-1 gene:B456_009G011200 transcript:KJB53915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIFY 8 [Source:Projected from Arabidopsis thaliana (AT4G32570) UniProtKB/Swiss-Prot;Acc:Q84MB2] MAAVLKMAEQSKTTNTNNANTTNTPTHLQHQQVKPAAMFHDFLGMKPSNSSVVLAPKPTDARFSEASPSPSASVAASSGGGGAGRGPISSTSDLGSERQAGNHLEGIPYYGPRSEISGPDINNSLAGSKRSFSDSAFMGHESLESLHLMKMLRNGAGGERPRRSNEDEVFLGMKSMRPSSTSLILQPPAGSRLEVSSNRFKDTTVGPSVISQAAADEGSRTGIKGPGILSSINASGVPTEKGSSAIVPSGGRPKTGAHNSDPEPLVPPSRQGLTSASRQMTIFYGGQAHVFDDVHPNKADVIMALAGSNGGSWSTTYSPKSAVRTGENYAPGGEPEAVGSIAFSRELRGKMAVTGNASQATGSGERIPIQTGVPQGTIVMARDGRNLEAGTEDKREV >KJB53913 pep chromosome:Graimondii2_0_v6:9:903341:907617:-1 gene:B456_009G011200 transcript:KJB53913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIFY 8 [Source:Projected from Arabidopsis thaliana (AT4G32570) UniProtKB/Swiss-Prot;Acc:Q84MB2] MAAVLKMAEQSKTTNTNNANTTNTPTHLQHQQVKPAAMFHDFLGMKPSNSSVVLAPKPTDARFSEASPSPSASVAASSGGGGAGRGPISSTSDLGSERQAGNHLEGIPYYGPRSEISGPDINNSLAGSKRSFSDSAFMGHESLESLHLMKMLRNGAGGERPRRSNEDEVFLGMKSMRPSSTSLILQPPAGSRLEGNASKWERSVPSAVQYASRGGHFVPFVHQVSSNRFKDTTVGPSVISQAAADEGSRTGIKGPGILSSINASGVPTEKGSSAIVPSGGRPKTGAHNSDPEPLVPPSRQGLTSASRQMTIFYGGQAHVFDDVHPNKADVIMALAGSNGGSWSTTYSPKSAVRTGENYAPGGEPEAVGSIAFSRELRGKMAVTGNASQATGSGERIPIQTGVPQGTIVMARDGRNLEAGTEDKREV >KJB54296 pep chromosome:Graimondii2_0_v6:9:2318340:2320699:1 gene:B456_009G030800 transcript:KJB54296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFFDGIIESMPLFAKELVAGGVAGGLAKTAVAPLERVKILFQTRKAEFRSIGFFGSFEKIAKTEGIKGFYRGNGASIARIVPYAALHYMAYEQYRRWIINRFPDIGRGPALDFVAGSFAGGTAVLFTYPLDLVRTKLAYQVVSPPEINVVGVVNREQVYRGILDCFSKTYKVSGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRQVPHEQRKNIMVNLVCGSVAGLLGQTFTYPLDVVRRQMQVFYLDSNPSKEK >KJB54298 pep chromosome:Graimondii2_0_v6:9:2318894:2320907:1 gene:B456_009G030800 transcript:KJB54298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEQYRRWIINRFPDIGRGPALDFVAGSFAGGTAVLFTYPLDLVRTKLAYQVVSPPEINVVGVVNREQVYRGILDCFSKTYKVSGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRQVPHEQRKNIMVNLVCGSVAGLLGQTFTYPLDVVRRQMQVQRVLASNSPELKGTMETLIMIAKSQGWKQLFSGLSINYLKVVPSVAIGFTVYDIMKSSLRVPSHDEAVIEVVTNKRNTRTSTLRS >KJB54295 pep chromosome:Graimondii2_0_v6:9:2318104:2320907:1 gene:B456_009G030800 transcript:KJB54295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFFDGIIESMPLFAKELVAGGVAGGLAKTAVAPLERVKILFQTRKAEFRSIGFFGSFEKIAKTEGIKGFYRGNGASIARIVPYAALHYMAYEQYRRWIINRFPDIGRGPALDFVAGSFAGGTAVLFTYPLDLVRTKLAYQVVSPPEINVVGVVNREQVYRGILDCFSKTYKVSGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRQVPHEQRKNIMVNLVCGSVAGLLGQTFTYPLDVVRRQMQVQRVLASNSPELKGTMETLIMIAKSQGWKQLFSGLSINYLKVVPSVAIGFTVYDIMKSSLRVPSHDEAVIEVVTNKRNTRTSTLRS >KJB54297 pep chromosome:Graimondii2_0_v6:9:2318340:2320699:1 gene:B456_009G030800 transcript:KJB54297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFFDGIIESMPLFAKELVAGGVAGGLAKTAVAPLERVKILFQTRKAEFRSIGFFGSFEKIAKTEGIKGFYRGNGASIARIVPYAALHYMAYEQYRRWIINRFPDIGRGPALDFVAGSFAGGTAVLFTYPLDLVRTKLAYQVVSPPEINVVGVVNREQVYRGILDCFSKTYKVSGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRQVPHEQRKNIMVNLVCGSVAGLLGQTFTYPLDVVRRQMQVFYLDSNPSKEK >KJB53453 pep chromosome:Graimondii2_0_v6:9:3812735:3829360:-1 gene:B456_009G053000 transcript:KJB53453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKETELLSRLAANHLNLAQFEPLRATLLALRTKNPELALAILQTIVANSGRFENIAWSPSCPSPALLTYLSTLELLQFNNPTSIWSFDPDTLRLRAEFLLLVQVLIDKVSASLRRDVDLDKIEKEKESESEGFEEEKPELLDRSDDLQEGNGELGDCVRVLDRFLELGMRRLRPDVVMEGGDADADGEEEHKAVLEKVLIEEEEMVCLRNVIMDYADLFDALCENIQRQLKGLDGDDSGMAIMIRREEKVTVDSFDEEHKGVLSLIQMSVQLAHLDAMKEYLKDGDIEGVVSRIRFLHLGYGVEDDVYRTLLRDLLKRVLSEKERFGVSRHSTEEKLLQIYGEALSSNCRHLVQMIQVIHDELLVEEIEMYRSLDNNQIPPPLEHFHKYLVELKTNADLNNKHWPLSMAASSCMRDLFHFARISGLHVLECVMNTVLSAIKRENIEEATDVLVLFPRLRPLIATMGWDLLSGKTILRRNLMQFLWVSKSHVFQLEESPLYGNRSDEVSCVEHLCDQLCYHLDIASFVACVNSGQPWSSKFSLLLSGHENIVSGSEDAKLDTFVENFVLERLSVQSPLRVLFDAVPSIKFQDAIELISMQPIASTLEGWKRGQDIELMHMRYALESTVLALGAMGSSMTGEKETHQVALCHLQDLKNHLAAIKNIPRRILMVNVIISLLHMDDISLNLTHCASPGSLFKQSVECGSEHIDLTIYEGGNKMAISFTGLLLDIVRHSLPSSTAVEEHASADGLSMSGRQALEWRISMGKRFIEDWEWRLSILQRLLPLSERPWSWKEALTILRAAPSKLLSLCMQRAKYDIGEEAVHRFSLSAEDRATLELAEWVDNAFGNVHVENAVSRAADGTSPVQDLDFSLLRSQLGPLATIFLCIDVAATSARSASMSLLLLDQAQVMLSEIYPGGSPKVGSTYWDQIHEVGAISVLRRVLKRLHELLERDSPPVLQAILTGEISISAAKDSHRLGQKERALALLHQMIEDAHMGKRQFLSGKLHNLARAITDEEMEVNFAKEEGPGSDRKVQSILDKDGVLGLGLKAVNQTSVTSTTGDNSVQSVGYDMMDAGKRLFGPLSAKPPTYLSQFILHIAAIGDIVDGTDTTHDFNFFSLVYEWPKDLLTRLVFDRGSTDAAGKVAEIMSADFVHEVISACVPPVYPPRSGNGWACIPVIPTCPRSCSENKVLSPSAKDAKPSCYSRSSATPGIPLYPLQLDIVKHLVKISPVRVVLACVFGSSMLHSGSDSSISSSLDDDLLQAPDADRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTAMQRDDDGKVKPETRTVIKRLRESDSDTESEVDETVNNSNVTTSLDLNVKDNTSPDPWHDSLKPETAEVDSTVFLSFGLENEDPYEKAVERLIDEGKLMDALALSDRFLRNGASDRLLQLLIERGEGSHSASGQPQGYGGHGIWSNSWQYCLRLKDKQLAAGLALKYMHRWELDAALDVLTMCSCHLPQSDPVRNELLQRRQALQRYSHILSVDHHHGSWQEVEAECKEDPEGLALRLAEKGAVSAALEVAESAGLSAELRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLGDSDDALPVAMGAMQLLPNLRSKQLLVHFFLKRRDGSLSDVEVSRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSASQILKEFPSLRDNSVIISYAAKAVAVSISSPIREPRISVSGTRPKPKTKSGVPARSSFTSSLSNLQKEARRAFSWAPRNTGDKTAPKDVYRKRKNSGLSPSERVTWEAMAGIQEDRVSAYADGQERFPSVSIAEEWMLTGDAGKDEAVRASHRYESSPDIILFKALLSLCSDEFVSAKSALDLCVNQMKNVLGSKQLPENASMETIGRAYHATETFVQGLIYAKSLLRKLTGGNDLSSNSERSKDADDASSDAGSSSVGSQTDELSEVLSQADVWLGRAELLQSLLGSGIAASLDDIADKDSSGRLRDRLIVDEQYSMAVYTCKKCKIDVFPVWNAWGHALIRMEHYAQARVKFKQALQLYKGDPAPVILEIINTIEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNVLYMPSTFPRSERSRRSLESSNSNSPYGPDSEDGPRSNLDSARYTECVSYLQEYARQDLLGFMFKHGHFNDACLLFFPPNGVPTPAQPSTMGVVTSSSSPQRSDPLTTDYGTIDDLCDLCVGYGAMSVLEEVISQRISVAKQQNALINQYTAAALGRICTYCETHKHFNYLYKFQVIKKDHVAAGLCCIQLFMNSLSQEEAIRHLEHAKVTVHYKLLFFHYFTEMPIICKRIHEKPTIL >KJB53451 pep chromosome:Graimondii2_0_v6:9:3808368:3829519:-1 gene:B456_009G053000 transcript:KJB53451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSLDNNQIPPPLEHFHKYLVELKTNADLNNKHWPLSMAASSCMRDLFHFARISGLHVLECVMNTVLSAIKRENIEEATDVLVLFPRLRPLIATMGWDLLSGKTILRRNLMQFLWVSKSHVFQLEESPLYGNRSDEVSCVEHLCDQLCYHLDIASFVACVNSGQPWSSKFSLLLSGHENIVSGSEDAKLDTFVENFVLERLSVQSPLRVLFDAVPSIKFQDAIELISMQPIASTLEGWKRGQDIELMHMRYALESTVLALGAMGSSMTGEKETHQVALCHLQDLKNHLAAIKNIPRRILMVNVIISLLHMDDISLNLTHCASPGSLFKQSVECGSEHIDLTIYEGGNKMAISFTGLLLDIVRHSLPSSTAVEEHASADGLSMSGRQALEWRISMGKRFIEDWEWRLSILQRLLPLSERPWSWKEALTILRAAPSKLLSLCMQRAKYDIGEEAVHRFSLSAEDRATLELAEWVDNAFGNVHVENAVSRAADGTSPVQDLDFSLLRSQLGPLATIFLCIDVAATSARSASMSLLLLDQAQVMLSEIYPGGSPKVGSTYWDQIHEVGAISVLRRVLKRLHELLERDSPPVLQAILTGEISISAAKDSHRLGQKERALALLHQMIEDAHMGKRQFLSGKLHNLARAITDEEMEVNFAKEEGPGSDRKVQSILDKDGVLGLGLKAVNQTSVTSTTGDNSVQSVGYDMMDAGKRLFGPLSAKPPTYLSQFILHIAAIGDIVDGTDTTHDFNFFSLVYEWPKDLLTRLVFDRGSTDAAGKVAEIMSADFVHEVISACVPPVYPPRSGNGWACIPVIPTCPRSCSENKVLSPSAKDAKPSCYSRSSATPGIPLYPLQLDIVKHLVKISPVRVVLACVFGSSMLHSGSDSSISSSLDDDLLQAPDADRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTAMQRDDDGKVKPETRTVIKRLRESDSDTESEVDETVNNSNVTTSLDLNVKDNTSPDPWHDSLKPETAEVDSTVFLSFGLENEDPYEKAVERLIDEGKLMDALALSDRFLRNGASDRLLQLLIERGEGSHSASGQPQGYGGHGIWSNSWQYCLRLKDKQLAAGLALKYMHRWELDAALDVLTMCSCHLPQSDPVRNELLQRRQALQRYSHILSVDHHHGSWQEVEAECKEDPEGLALRLAEKGAVSAALEVAESAGLSAELRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLGDSDDALPVAMGAMQLLPNLRSKQLLVHFFLKRRDGSLSDVEVSRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSASQILKEFPSLRDNSVIISYAAKAVAVSISSPIREPRISVSGTRPKPKTKSGVPARSSFTSSLSNLQKEARRAFSWAPRNTGDKTAPKDVYRKRKNSGLSPSERVTWEAMAGIQEDRVSAYADGQERFPSVSIAEEWMLTGDAGKDEAVRASHRYESSPDIILFKALLSLCSDEFVSAKSALDLCVNQMKNVLGSKQLPENASMETIGRAYHATETFVQGLIYAKSLLRKLTGGNDLSSNSERSKDADDASSDAGSSSVGSQTDELSEVLSQADVWLGRAELLQSLLGSGIAASLDDIADKDSSGRLRDRLIVDEQYSMAVYTCKKCKIDVFPVWNAWGHALIRMEHYAQARVKFKQALQLYKGDPAPVILEIINTIEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNVLYMPSTFPRSERSRRSLESSNSNSPYGPDSEDGPRSNLDSARYTECVSYLQEYARQDLLGFMFKHGHFNDACLLFFPPNGVPTPAQPSTMGVVTSSSSPQRSDPLTTDYGTIDDLCDLCVGYGAMSVLEEVISQRISVAKQQNALINQYTAAALGRICTYCETHKHFNYLYKFQVIKKDHVAAGLCCIQLFMNSLSQEEAIRHLEHAKMHFDEGLSARYKGGESTKLITKGVRGRSASEKLTEEGLVKFSARVAIQVEVVKTFNDADGPQWRHSLFGNPNDQETFRRRCEIAETLVERNFDLAFQVIYEFNLPAVDIYAAVAASLAERKKGSLLTEFFRNIKGTIDDDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLSQYM >KJB53452 pep chromosome:Graimondii2_0_v6:9:3808368:3829727:-1 gene:B456_009G053000 transcript:KJB53452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKETELLSRLAANHLNLAQFEPLRATLLALRTKNPELALAILQTIVANSGRFENIAWSPSCPSPALLTYLSTLELLQFNNPTSIWSFDPDTLRLRAEFLLLVQVLIDKVSASLRRDVDLDKIEKEKESESEGFEEEKPELLDRSDDLQEGNGELGDCVRVLDRFLELGMRRLRPDVVMEGGDADADGEEEHKAVLEKVLIEEEEMVCLRNVIMDYADLFDALCENIQRQLKGLDGDDSGMAIMIRREEKVTVDSFDEEHKGVLSLIQMSVQLAHLDAMKEYLKDGDIEGVVSRIRFLHLGYGVEDDVYRTLLRDLLKRVLSEKERFGVSRHSTEEKLLQIYGEALSSNCRHLVQMIQVIHDELLVEEIEMYRSLDNNQIPPPLEHFHKYLVELKTNADLNNKHWPLSMAASSCMRDLFHFARISGLHVLECVMNTVLSAIKRENIEEATDVLVLFPRLRPLIATMGWDLLSGKTILRRNLMQFLWVSKSHVFQLEESPLYGNRSDEVSCVEHLCDQLCYHLDIASFVACVNSGQPWSSKFSLLLSGHENIVSGSEDAKLDTFVENFVLERLSVQSPLRVLFDAVPSIKFQDAIELISMQPIASTLEGWKRGQDIELMHMRYALESTVLALGAMGSSMTGEKETHQVALCHLQDLKNHLAAIKNIPRRILMVNVIISLLHMDDISLNLTHCASPGSLFKQSVECGSEHIDLTIYEGGNKMAISFTGLLLDIVRHSLPSSTAVEEHASADGLSMSGRQALEWRISMGKRFIEDWEWRLSILQRLLPLSERPWSWKEALTILRAAPSKLLSLCMQRAKYDIGEEAVHRFSLSAEDRATLELAEWVDNAFGNVHVENAVSRAADGTSPVQDLDFSLLRSQLGPLATIFLCIDVAATSARSASMSLLLLDQAQVMLSEIYPGGSPKVGSTYWDQIHEVGAISVLRRVLKRLHELLERDSPPVLQAILTGEISISAAKDSHRLGQKERALALLHQMIEDAHMGKRQFLSGKLHNLARAITDEEMEVNFAKEEGPGSDRKVQSILDKDGVLGLGLKAVNQTSVTSTTGDNSVQSVGYDMMDAGKRLFGPLSAKPPTYLSQFILHIAAIGDIVDGTDTTHDFNFFSLVYEWPKDLLTRLVFDRGSTDAAGKVAEIMSADFVHEVISACVPPVYPPRSGNGWACIPVIPTCPRSCSENKVLSPSAKDAKPSCYSRSSATPGIPLYPLQLDIVKHLVKISPVRVVLACVFGSSMLHSGSDSSISSSLDDDLLQAPDADRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTAMQRDDDGKVKPETRTVIKRLRESDSDTESEVDETVNNSNVTTSLDLNVKDNTSPDPWHDSLKPETAEVDSTVFLSFGLENEDPYEKAVERLIDEGKLMDALALSDRFLRNGASDRLLQLLIERGEGSHSASGQPQGYGGHGIWSNSWQYCLRLKDKQLAAGLALKYMHRWELDAALDVLTMCSCHLPQSDPVRNELLQRRQALQRYSHILSVDHHHGSWQEVEAECKEDPEGLALRLAEKGAVSAALEVAESAGLSAELRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLGDSDDALPVAMGAMQLLPNLRSKQLLVHFFLKRRDGSLSDVEVSRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSASQILKEFPSLRDNSVIISYAAKAVAVSISSPIREPRISVSGTRPKPKTKSGVPARSSFTSSLSNLQKEARRAFSWAPRNTGDKTAPKDVYRKRKNSGLSPSERVTWEAMAGIQEDRVSAYADGQERFPSVSIAEEWMLTGDAGKDEAVRASHRYESSPDIILFKALLSLCSDEFVSAKSALDLCVNQMKNVLGSKQLPENASMETIGRAYHATETFVQGLIYAKSLLRKLTGGNDLSSNSERSKDADDASSDAGSSSVGSQTDELSEVLSQADVWLGRAELLQSLLGSGIAASLDDIADKDSSGRLRDRLIVDEQYSMAVYTCKKCKIDVFPVWNAWGHALIRMEHYAQARVKFKQALQLYKGDPAPVILEIINTIEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNVLYMPSTFPRSERSRRSLESSNSNSPYGPDSEDGPRSNLDSARYTECVSYLQEYARQDLLGFMFKHGHFNDACLLFFPPNGVPTPAQPSTMGVVTSSSSPQRSDPLTTDYGTIDDLCDLCVGYGAMSVLEEVISQRISVAKQQNALINQYTAAALGRICTYCETHKHFNYLYKFQVIKKDHVAAGLCCIQLFMNSLSQEEAIRHLEHAKMHFDEGLSARYKGGESTKLITKGVRGRSASEKLTEEGLVKFSARVAIQVEVVKTFNDADGPQWRHSLFGNPNDQETFRRRCEIAETLVERNFDLAFQVIYEFNLPAVDIYAAVAASLAERKKGSLLTEFFRNIKGTIDDDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLSQYM >KJB53700 pep chromosome:Graimondii2_0_v6:9:216872:228017:-1 gene:B456_009G002000 transcript:KJB53700 gene_biotype:protein_coding transcript_biotype:protein_coding description:GalAK [Source:Projected from Arabidopsis thaliana (AT3G10700) UniProtKB/TrEMBL;Acc:A0A178V5L4] MGAMSWPTQDELHEIRKIVSEMAGKGTADVRVVVSPYRICPLGAHVDHQGGIVSAMTINKGILLGFVPSGSTEVALRSGQFKGEVRFRVNENHKPRQTISKGEEINADKSSPSPDEFEWGIYARGALYALQSRGNHLAQGIIGYICGSEGLDSSGLSSSAAVGIAYLLALETANGLTVSPRENIEYDRVIENEYLGLRNGILDQSAILLSSQGCLTYMNCKTTEHKLIRPPKFLEDQEAEPQKGYKILLAFSGLRQALTNNPGYNSRVAECQEAAKVLLNASGNKEVEPFLCNVKPEVYEAHKFKLEPNLAKRAEHYFSENMRVRKGCEPLFQLYEVLLRAPGVFGARFSGAGFRGCCVALVDAARATEAAKFVRVEYPKLQPVFASQLSHGTAVLICEAGDCARIF >KJB53699 pep chromosome:Graimondii2_0_v6:9:216802:228132:-1 gene:B456_009G002000 transcript:KJB53699 gene_biotype:protein_coding transcript_biotype:protein_coding description:GalAK [Source:Projected from Arabidopsis thaliana (AT3G10700) UniProtKB/TrEMBL;Acc:A0A178V5L4] MGAMSWPTQDELHEIRKIVSEMAGKGTADVRVVVSPYRICPLGAHVDHQGGIVSAMTINKGILLGFVPSGSTEVALRSGQFKGEVRFRVNENHKPRQTISKGEEINADKSSPSPDEFEWGIYARGALYALQSRGNHLAQGIIGYICGSEGLDSSGLSSSAAVGIAYLLALETANGLTVSPRENIEYDRVIENEYLGLRNGILDQSAILLSSQGCLTYMNCKTTEHKLIRPPKFLEDQEAEPQKGYKILLAFSGLRQALTNNPGYNSRVAECQEAAKVLLNASGNKEVEPFLCNVKPEVYEAHKFKLEPNLAKRAEHYFSENMRVRKGLEAWASGDLRAFGELMTASGLSSIKNYECGCEPLFQLYEVLLRAPGVFGARFSGAGFRGCCVALVDAARATEAAKFVRVEYPKLQPVFASQLSHGTAVLICEAGDCARIF >KJB53701 pep chromosome:Graimondii2_0_v6:9:217790:228017:-1 gene:B456_009G002000 transcript:KJB53701 gene_biotype:protein_coding transcript_biotype:protein_coding description:GalAK [Source:Projected from Arabidopsis thaliana (AT3G10700) UniProtKB/TrEMBL;Acc:A0A178V5L4] MGAMSWPTQDELHEIRKIVSEMAGKGTADVRVVVSPYRICPLGAHVDHQGGIVSAMTINKGILLGFVPSGSTEVALRSGQFKGEVRFRVNENHKPRQTISKGEEINADKSSPSPDEFEWGIYARGALYALQSRGNHLAQGIIGYICGSEGLDSSGLSSSAAVGIAYLLALETANGLTVSPRENIEYDRVIENEYLGLRNGILDQSAILLSSQGCLTYMNCKTTEHKLIRPPKFLEDQEAEPQKGYKILLAFSGLRQALTNNPGYNSRVAECQEAAKVLLNASGNKEVEPFLCNVKPEVYEAHKFKLEPNLAKRAEHYFSENMRVRKGLEAWASGDLRAFGELMTASGLSSIKNYECGTSYTFCFLVALLCL >KJB58197 pep chromosome:Graimondii2_0_v6:9:15383091:15385088:1 gene:B456_009G198800 transcript:KJB58197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQPSAWITTQQKKRWPLMILLLLSLSTLMAFLIRSTFDSCSNGSINNVGNFIREGQEEEKGGTHHEVNHNDEAPTPNPLDFMKSKLVLLVSHELSLSGGPLLLMELAFLLREVGADVVWVTNQKQTESDDIIYSLEHKMLNRGVQVFSAKGQEAIDTALKADLVVLNTAVAGKWLDAVLKEHVADVLPKVLWWIHEMRGHYFKLEYVKHLPLVGGAMIDSHTTANYWKNRTRERLGIKMPETYVVHLGNSKELMEVAENRVAKRVLCEHVRESLGVKNEDLVFALINSVSRGKGQDLFLRSFHESLKLIQERKLKVPPIHAVIVGSDMNAQTKFETELRNFVSQNNMQGRVHFVNKTLTVAPYLASIDVLVQNSQVFSE >KJB58198 pep chromosome:Graimondii2_0_v6:9:15382995:15386097:1 gene:B456_009G198800 transcript:KJB58198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQPSAWITTQQKKRWPLMILLLLSLSTLMAFLIRSTFDSCSNGSINNVGNFIREGQEEEKGGTHHEVNHNDEAPTPNPLDFMKSKLVLLVSHELSLSGGPLLLMELAFLLREVGADVVWVTNQKQTESDDIIYSLEHKMLNRGVQVFSAKGQEAIDTALKADLVVLNTAVAGKWLDAVLKEHVADVLPKVLWWIHEMRGHYFKLEYVKHLPLVGGAMIDSHTTANYWKNRTRERLGIKMPETYVVHLGNSKELMEVAENRVAKRVLCEHVRESLGVKNEDLVFALINSVSRGKGQDLFLRSFHESLKLIQERKLKVPPIHAVIVGSDMNAQTKFETELRNFVSQNNMQGPGRVFRKDNNRSYGLSASCPGHSCRWHHGDSGKRDNRLVASCWQRRRDAPLK >KJB58196 pep chromosome:Graimondii2_0_v6:9:15382897:15386099:1 gene:B456_009G198800 transcript:KJB58196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQPSAWITTQQKKRWPLMILLLLSLSTLMAFLIRSTFDSCSNGSINNVGNFIREGQEEEKGGTHHEVNHNDEAPTPNPLDFMKSKLVLLVSHELSLSGGPLLLMELAFLLREVGADVVWVTNQKQTESDDIIYSLEHKMLNRGVQVFSAKGQEAIDTALKADLVVLNTAVAGKWLDAVLKEHVADVLPKVLWWIHEMRGHYFKLEYVKHLPLVGGAMIDSHTTANYWKNRTRERLGIKMPETYVVHLGNSKELMEVAENRVAKRVLCEHVRESLGVKNEDLVFALINSVSRGKGQDLFLRSFHESLKLIQERKLKVPPIHAVIVGSDMNAQTKFETELRNFVSQNNMQGRVHFVNKTLTVAPYLASIDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTMEIVVNGTTGLLHPAGKEGVMPLSNNIVKLATNVEKRLTMGKRGYERVKERFLEHHMSERIAKVLKEILLHKAKRHSES >KJB57713 pep chromosome:Graimondii2_0_v6:9:13682628:13686039:-1 gene:B456_009G176900 transcript:KJB57713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASAGLVSIFSNGYRSLSAPVSSWRTLASSSLYKHYKRNSKSAVFSCLSSSKIELSCLSSKVDVAQTTTTSVNGYHKYDRLLPCPSENGPPRVEHLVVSEGGPVLEYICKSLDLPPLFVADLIHFGAVYYALVCPQPPPSATPEQIRIFKEVTAPSVLSKRTSIKGKTVREAQKTFRITHVEQFVEAGTYLRVHVHPKRFPRCYEIDWKSRIIAVTDSYVVLDKPAGTSVGGTSDNIEESCATFASRALGFSTPLKTTHQIDNCTEGCVVLARTKEYCSIFHGKIREKKVKKLYLALTAAPVPIGIITHYMRPINVAPRLVSEDFIKGWYLCKLEVMECKEVPWPDPVIQQKYCIEDSEWPSKDRAYECKINLLTGRTHQVRAQLAACGAPIVGDSMYMPAAIAEMANPGLNPFGKYKKYTTESDKEMTVTKWFARFGKEPKVAIGLQACEISWDDGEHFYEARSPWWRSGMA >KJB57712 pep chromosome:Graimondii2_0_v6:9:13682024:13686039:-1 gene:B456_009G176900 transcript:KJB57712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASAGLVSIFSNGYRSLSAPVSSWRTLASSSLYKHYKRNSKSAVFSCLSSSKIELSCLSSKVDVAQTTTTSVNGYHKYDRLLPCPSENGPPRVEHLVVSEGGPVLEYICKSLDLPPLFVADLIHFGAVYYALVCPQPPPSATPEQIRIFKEVTAPSVLSKRTSIKGKTVREAQKTFRITHVEQFVEAGTYLRVHVHPKRFPRCYEIDWKSRIIAVTDSYVVLDKPAGTSVGGTSDNIEESCATFASRALGFSTPLKTTHQIDNCTEGCVVLARTKEYCSIFHGKIREKKVKKLYLALTAAPVPIGIITHYMRPINVAPRLVSEDFIKGWYLCKLEVMECKEVPWPDPVIQQKYCIEDSEWPSKDRAYECKINLLTGRTHQVRAQLAACGAPIVGDSMYMPAAIAEMANPGLNPFGKYKKYTTESDKEMTVTKWFARFGKEPKVAIGLQACEISWDDGEHFYEARSPWWRSGMA >KJB54439 pep chromosome:Graimondii2_0_v6:9:2557228:2559387:-1 gene:B456_009G034200 transcript:KJB54439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSCSLFHSSLASKSNFPLLHHRNHPKFNRPAPIVISCSSSSTFDPSSTTSSSNNNTPSPQKNRRLADENIRDEARRHRSTAKNTLSAKYVPFNAGPDCTESYSLDEIVYRSRSGGLLDVQHDMEALKKFDGAYWRELFDSRVGKTTWPYGSGVWSKKEWVLPEIDPDDIVSAFEGNSNLFWAERFGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKLKRPVVGVGCASTGDTSAALSAYCAAAGIPSIVFLPANKISIAQLVQPIANGAFVLSIDTDFDGCMKLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFKMCQELGLVDRIPRLVCAQAANANPLYLYFKSEWNEFKAVKANTTFASAIQIGDPVSIDRAVYALKNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALMKLRKSGVIGAGDRTVVVSTAHGLKFTQSKVDYHSKEIPDMACQFANPPMQVRADFGSVMDVLMKYLGDKSPKH >KJB55554 pep chromosome:Graimondii2_0_v6:9:5961338:5968758:1 gene:B456_009G082100 transcript:KJB55554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKWIKALVGLKKSEKSQSSEKVENRATGSKFLHRRKHSVEFDTNELQEELDENAASLAENATTRATIDAAGSPSDAFEVHDALLNEHAREEWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALENQTAQQKLQQQLANEAHVKEIEEGWCDSIGSVEEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQSVPAGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVKIREDSPAEGKNGASSQIKPAMRKPAASSLHANLSNKKTGPSHFDGSDSPPGKSACVLEAVNAPSSKPKSKPNHEDSVEEAGSRPVISSRSHSNPKERLTQSDKQAKRRLSMPNTGGGIVSQTTKLSKTAAKPNPGPHKPIRDRSKLNGRDSNPTKAVAQAVDL >KJB55555 pep chromosome:Graimondii2_0_v6:9:5961441:5968758:1 gene:B456_009G082100 transcript:KJB55555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKWIKALVGLKKSEKSQSSEKVENRATGSKFLHRRKHSVEFDTNELQEELDENAASLAENATTRATIDAAGSPSDAFEVHDALLNEHAREEWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALENQTAQQKLQQQLANEAHVKEIEEGWCDSIGSVEEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQSVPAGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVKIREDSPAEGKNGASSQIKPAMRKPAASSLHANLSNKKTGPSHFDGSDSPPGKSACVLEAVNAPSSKPKSKPNHEDSVEEAGSRPVISSRSHSNPKERLTQSDKQAKRRLSMPNTGGIVSQTTKLSKTAAKPNPGPHKPIRDRSKLNGRDSNPTKAVAQAVDL >KJB63027 pep chromosome:Graimondii2_0_v6:9:70129492:70132960:-1 gene:B456_009G450400 transcript:KJB63027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCVAGVKHLFASVLQCCDIDLYKQSRGLGDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >KJB63025 pep chromosome:Graimondii2_0_v6:9:70129487:70132942:-1 gene:B456_009G450400 transcript:KJB63025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCVAGVKHLFASVLQCCDIDLYKQSRGLGDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >KJB63024 pep chromosome:Graimondii2_0_v6:9:70129486:70132973:-1 gene:B456_009G450400 transcript:KJB63024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCVAGVKHLFASVLQCCDIDLYKQSRGLGDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >KJB63026 pep chromosome:Graimondii2_0_v6:9:70129850:70131910:-1 gene:B456_009G450400 transcript:KJB63026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCVAGVKHLFASVLQCCDIDLYKQSRGLGDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >KJB56188 pep chromosome:Graimondii2_0_v6:9:7984586:7985354:1 gene:B456_009G109200 transcript:KJB56188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMKLMALALVILMMGNCCLATNRKVIKVEGDGLRHEQISVHGRQLLDDSGSDRASYPSSSINNHHFRPRQDFPGGGTGDGSGSNN >KJB56189 pep chromosome:Graimondii2_0_v6:9:7984544:7985354:1 gene:B456_009G109200 transcript:KJB56189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMKLMALALVILMMGNCCLATNRKVIKVEGDGLRHEQISVHGRQLLDDSGSDRASYPSSSINNHHFRPRQDFPGGGTGDGSGSNN >KJB56190 pep chromosome:Graimondii2_0_v6:9:7984534:7985354:1 gene:B456_009G109200 transcript:KJB56190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMKLMALALVILMMGNCCLATNRKVIKVEGDGLRHEQISVHGRQLLDDSGSDRASYPSSSINNHHFRPRQDFPGGGTGDGSGSNN >KJB58314 pep chromosome:Graimondii2_0_v6:9:15811391:15815811:1 gene:B456_009G204200 transcript:KJB58314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFSQRESVPSGYSPQATFTASCSSPEMPRRSSVSDIDFHDVFGGAPRRPLIQETKYGFGETENNTDHSSPAFKRSDEPVVASSSRNPWSCFSKKPVFDEEGMARIRRSKSDFFHDIFQGNHSSSSSRKYEMNDPFAPSSRLIKPDRSLSPKPEPFGSSFPAQSRLLSLPSKVNKGMDLPNLTTSKSKDGASNGLSYLRESTNPTKHVETETKCNPNGDSSSLEISKNGSRFHFSIYKWANIGGVPVAIPLRGSDKLKGKDKLQRCSSANGWITSESKAREPKDKLHNCKSFRVEHGKSENVGLLIDSRNEDGGPGQVIEESNITKSESEIINKLKSTDRNVSKGKVSAVEKATHKPQPKPLNLIFNDDYDDEQGNDEITRNCGSKDISKMSAKKQDVKKRDTSKNVEASTTRIKHSPRNSWDDTKARVRGKVKEFIKIFNQDASSKPRTDTALPENHGSSRKERGTVQPEIETSISMNKRIEKIHLNNVLKKKSYSDIPAANHMCNGASEKNINSSVNDTVSDGFKTIVEDPAESFDNYILVEELRPEEKILPQFGIDPEEIMAIDDKIQQWSDGKQGNIRSLLSTLQYVLWPDSGWKSVPLVDIIEGPSVKRSYQKALLCLHPDKLQQKSAASDKKYIAQRVFDILQDAWTHFNSLGSV >KJB58313 pep chromosome:Graimondii2_0_v6:9:15811349:15815863:1 gene:B456_009G204200 transcript:KJB58313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFSQRESVPSGYSPQATFTASCSSPEMPRRSSVSDIDFHDVFGGAPRRPLIQETKYGFGETENNTDHSSPAFKRSDEPVVASSSRNPWSCFSKKPVFDEEGMARIRRSKSDFFHDIFQGNHSSSSSRKYEMNDPFAPSSRLIKPDRSLSPKPEPFGSSFPAQSRLLSLPSKVNKGMDLPNLTTSKSKDGASNGLSYLRESTNPTKHVETETKCNPNGDSSSLEISKNGSRFHFSIYKWANIGGVPVAIPLRGSDKLKGKDKLQRCSSANGWITSESKAREPKDKLHNCKSFRVEHGKSENVGLLIDSRNEDGGPGQVIEESNITKSESEIINKLKSTDRNVSKGKVSAVEKATHKPQPKPLNLIFNDDYDDEQGNDEITRNCGSKDISKMSAKKQDVKKRDTSKNVEASTTRIKHSPRNSWDDTKARVRGKVKEFIKIFNQDASSKPRTDTALPENHGSSRKERDIPAANHMCNGASEKNINSSVNDTVSDGFKTIVEDPAESFDNYILVEELRPEEKILPQFGIDPEEIMAIDDKIQQWSDGKQGNIRSLLSTLQYVLWPDSGWKSVPLVDIIEGPSVKRSYQKALLCLHPDKLQQKSAASDKKYIAQRVFDILQDAWTHFNSLGSV >KJB58316 pep chromosome:Graimondii2_0_v6:9:15812565:15815811:1 gene:B456_009G204200 transcript:KJB58316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPNLTTSKSKDGASNGLSYLRESTNPTKHVETETKCNPNGDSSSLEISKNGSRFHFSIYKWANIGGVPVAIPLRGSDKLKGKDKLQRCSSANGWITSESKAREPKDKLHNCKSFRVEHGKSENVGLLIDSRNEDGGPGQVIEESNITKSESEIINKLKSTDRNVSKGKVSAVEKATHKPQPKPLNLIFNDDYDDEQGNDEITRNCGSKDISKMSAKKQDVKKRDTSKNVEASTTRIKHSPRNSWDDTKARVRGKVKEFIKIFNQDASSKPRTDTALPENHGSSRKERGTVQPEIETSISMNKRIEKIHLNNVLKKKSYSDIPAANHMCNGASEKNINSSVNDTVSDGFKTIVEDPAESFDNYILVEELRPEEKILPQFGIDPEEIMAIDDKIQQWSDGKQGNIRSLLSTLQYVLWPDSGWKSVPLVDIIEGPSVKRSYQKALLCLHPDKLQQKSAASDKKYIAQRVFDILQDAWTHFNSLGSV >KJB58315 pep chromosome:Graimondii2_0_v6:9:15811431:15815811:1 gene:B456_009G204200 transcript:KJB58315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFSQRESVPSGYSPQATFTASCSSPEMPRRSSVSDIDFHDVFGGAPRRPLIQETKYGFGETENNTDHSSPAFKRSDEPVVASSSRNPWSCFSKKPVFDEEGMARIRRSKSDFFHDIFQGNHSSSSSRKYEMNDPFAPSSRLIKPDRSLSPKPEPFGSSFPAQSRLLSLPSKVNKGMDLPNLTTSKSKDGASNGLSYLRESTNPTKHVETETKCNPNGDSSSLEISKNGSRFHFSIYKWANIGGVPVAIPLRGSDKLKGKDKLQRCSSANGWITSESKAREPKDKLHNCKSFRVEHGKSENVGLLIDSRNEDGGPGQVIEESNITKSESEIINKLKSTDRNVSKGKVSAVEKATHKPQPKPLNLIFNDDYDDEQGNDEITRNCGSKDISKMSAKKQDVKKRDTSKNVEASTTRIKHSPRNSWDDTKARVRGKVKEFIKIFNQDASSKPRTDTALPENHGSSRKERDIPAANHMCNGASEKNINSSVNDTVSDGFKTIVEDPAESFDNYILVEELRPEEKILPQFGIDPEEIMVIEIALNSFITYAQLHIHPCCFRSFSWYSLNAGHR >KJB57632 pep chromosome:Graimondii2_0_v6:9:13518313:13521100:-1 gene:B456_009G174900 transcript:KJB57632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEKQDKLAVNGGKREEEETLLEGMAVLDFDMLCSTVALQTQGKWRKLESAEDPLEQGNADFGGVLRMWEGEVVLDFLEDRRLALESACCPCYRFGKNMRRAGLGICLLQGNDSLLDDCVYHLICPCCTLSQESRTLEMNNVQDGTWHGRGDICIGSYAEGTKPLFGLHPPPTMSIKTPEPCSMQNGLNGDDNGQT >KJB57635 pep chromosome:Graimondii2_0_v6:9:13518313:13521100:-1 gene:B456_009G174900 transcript:KJB57635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEKQDKLAVNGGKREEEETLLEGMAVLDFDMLCSTVALQTQGKWRKLESAEDPLEQGNADFGGVLRMWEGEVVLDFLEDRRLALESACCPCYRFGKNMRRAGLGICLLQESRTLEMNNVQDGTWHGRGDICIGSYAEGTKPLFGLHPPPTMSIKTPEPCSMQNGLNGDDNGQT >KJB57631 pep chromosome:Graimondii2_0_v6:9:13519762:13520980:-1 gene:B456_009G174900 transcript:KJB57631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEKQDKLAVNGGKREEEETLLEGMAVLDFDMLCSTVALQTQGKWRKLESAEDPLEQGNADFGGVLRMWEGEVVLDFLEDRRLALESACCPCYRFGKNMRRAGLGICLLQGTVYFILAVTAILNFVAFFVTKRNCFLYLGVTFILSIGAYLGFFRRQIKGKFNIRVRTFLYVDMLDSFPTSFSA >KJB57633 pep chromosome:Graimondii2_0_v6:9:13519127:13520980:-1 gene:B456_009G174900 transcript:KJB57633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEKQDKLAVNGGKREEEETLLEGMAVLDFDMLCSTVALQTQGKWRKLESAEDPLEQGNADFGGVLRMWEGEVVLDFLEDRRLALESACCPCYRFGKNMRRAGLGICLLQGTVYFILAVTAILNFVAFFVTKRNCFLYLGVTFILSIGAYLGFFRRQIKGKFNIRGNDSLLDDCVYHLICPCCTLSQVLILFELSVFY >KJB57630 pep chromosome:Graimondii2_0_v6:9:13518294:13521197:-1 gene:B456_009G174900 transcript:KJB57630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEKQDKLAVNGGKREEEETLLEGMAVLDFDMLCSTVALQTQGKWRKLESAEDPLEQGNADFGGVLRMWEGEVVLDFLEDRRLALESACCPCYRFGKNMRRAGLGICLLQGTVYFILAVTAILNFVAFFVTKRNCFLYLGVTFILSIGAYLGFFRRQIKGKFNIRGNDSLLDDCVYHLICPCCTLSQESRTLEMNNVQDGTWHGRGDICIGSYAEGTKPLFGLHPPPTMSIKTPEPCSMQNGLNGDDNGQT >KJB57634 pep chromosome:Graimondii2_0_v6:9:13519762:13520980:-1 gene:B456_009G174900 transcript:KJB57634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEKQDKLAVNGGKREEEETLLEGMAVLDFDMLCSTVALQTQGKWRKLESAEDPLEQGNADFGGVLRMWEGEVVLDFLEDRRLALESACCPCYRFGKNMRRAGLGICLLQGTVYFILAVTAILNFVAFFVTKRNCFLYLGVTFILSIGAYLGFFRRQIKGKFNIRVRTFLYVDMLDSFPTSFSA >KJB60636 pep chromosome:Graimondii2_0_v6:9:29822725:29824405:1 gene:B456_009G316100 transcript:KJB60636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWNLSNVRHWEWIKCGESLLRGHVIQTVKKCETILQPGFNCTVRIERNRANTRHRRKKPHISTQNTVVYNCHFCLHRNLKRGTPKGHMKEMYPPKSKTSSISKVVKSRILKPIVSSDKEKSKDNEIIVTSSPAMAAAENPSTDGSVTPVRGRTLLDLKKRNRKKSGSKRPAEPENNPMTPDAEKSVGASSKRRKSWMSLREIVESNEDNRF >KJB60635 pep chromosome:Graimondii2_0_v6:9:29820370:29824405:1 gene:B456_009G316100 transcript:KJB60635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGRGGGRDGGGVKSAANTPSKFQKTVSLREEASGKKQTRGGGSTNVKAVLKHEHLQNLAVWASGGSSIPSLASFFGHRLAADGEASAIPQDPSFFPCQRCETILQPGFNCTVRIERNRANTRHRRKKPHISTQNTVVYNCHFCLHRNLKRGTPKGHMKEMYPPKSKTSSISKVVKSRILKPIVSSDKEKSKDNEIIVTSSPAMAAAENPSTDGSVTPVRGRTLLDLKKRNRKKSGSKRPAEPENNPMTPDAEKSVGASSKRRKSWMSLREIVESNEDNRF >KJB60634 pep chromosome:Graimondii2_0_v6:9:29821331:29824405:1 gene:B456_009G316100 transcript:KJB60634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGRGGGRDGGGVKSAANTPSKFQKTVSLREEASGKKQTRGGGSTNVKAVLKHEHLQNLAVWASGGSSIPSLASFFGHRLAADGEASAIPQDPSFFPCQRCETILQPGFNCTVRIERNRANTRHRRKKPHISTQNTVVYNCHFCLHRNLKRGTPKGHMKEMYPPKSKTSSISKVVKSRILKPIVSSDKEKSKDNEIIVTSSPAMAAAENPSTDGSVTPVRGRTLLDLKKRNRKKSGSKRPAEPENNPMTPDAEKSVGASSKRRKSWMSLREIVESNEDNRF >KJB58552 pep chromosome:Graimondii2_0_v6:9:16677590:16686655:1 gene:B456_009G214600 transcript:KJB58552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAASEDCCVKVAVHVRPLIGDEKLQGCKDCVTVTPGKPQVQIGTHSFTFDHVYGSTGSPSSSMFEECIVPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNALFGKIESLKHQIEFQLHVSFIEILKEEVRDLLDPTSLNKSDAASPNTGKVHVPGKPPIQIRESSNGVITLAGSTEVSVSTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKLNPVSGDGSPNDIMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEHLQAELCARGGSDEVQVLKERIAWLEAANEDLCQELQEFRSRCTIVDQRETDAQDGSPCSVKSDGLKRNLHSIESSDYQMGETIADSREVDEAAAKEWEHTLLQNTMDKELLELNRRLEEKESEMKLFGGDTIALKHHFGKKIQELEDEKRAVQQERDRLLAEIENLSAGSDGQKQKVQDIHAQKLKSLEAQILDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDNSAIANGNGTNGQTNEKALQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDEFASKGLSPPKGKNGFARASSMSPNARVARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDTRCQLWEKDMEIKEMKEQLKELVGLLRQSELRRKEVENELREQADAITLATAATGNSPNSLKHVADDMNGSLSPMSVPAQKQLKYSPGIVNGPARESAAFIGQTRKMLPLGQLPMKKLVAIGQAGNGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETMIRARPRSQALTHRV >KJB58551 pep chromosome:Graimondii2_0_v6:9:16678739:16685660:1 gene:B456_009G214600 transcript:KJB58551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHVGCTLQVQIGTHSFTFDHVYGSTGSPSSSMFEECIVPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNALFGKIESLKHQIEFQLHVSFIEILKEEVRDLLDPTSLNKSDAASPNTGKVHVPGKPPIQIRESSNGVITLAGSTEVSVSTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKLNPVSGDGSPNDIMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEHLQAELCARGGSDEVQVLKERIAWLEAANEDLCQELQEFRSRCTIVDQRETDAQDGSPCSVKSDGLKRNLHSIESSDYQMGETIADSREVDEAAAKEWEHTLLQNTMDKELLELNRRLEEKESEMKLFGGDTIALKHHFGKKIQELEDEKRAVQQERDRLLAEIENLSAGSDGQKQKVQDIHAQKLKSLEAQILDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDNSAIANGNGTNGQTNEKALQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDEFASKGLSPPKGKNGFARASSMSPNARVARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDTRCQLWEKDMEIKEMKEQLKELVGLLRQSELRRKEVENELREQADAITLATAATGNSPNSLKHVADDMNGSLSPMSVPAQKQLKYSPGIVNGPARESAAFIGQTRKMLPLGQLPMKKLVAIGQAGNGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETMIRARPRSQALTHRV >KJB58546 pep chromosome:Graimondii2_0_v6:9:16677996:16686612:1 gene:B456_009G214600 transcript:KJB58546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAASEDCCVKVAVHVRPLIGDEKLQGCKDCVTVTPGKPQVQIGTHSFTFDHVYGSTGSPSSSMFEECIVPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNALFGKIESLKHQIEFQLHVSFIEILKEEVRDLLDPTSLNKSDAASPNTGKVHVPGKPPIQIRESSNGVITLAGSTEVSVSTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKLNPVSGDGSPNDIMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEHLQAELCARGGSDEVQVLKERIAWLEAANEDLCQELQEFRSRCTIVDQRETDAQDGSPCSVKSDGLKRNLHSIESSDYQMGETIADSREVDEAAAKEWEHTLLQNTMDKELLELNRRLEEKESEMKLFGGDTIALKHHFGKKIQELEDEKRAVQQERDRLLAEIENLSAGSDGQKQKVQDIHAQKLKSLEAQILDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDNSAIANGNGTNGQTNEKALQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDEFASKGLSPPKGKNGFARASSMSPNARVARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDTRCQLWEKDMEIKEMKEQLKELVGLLRQSELRRKEVENELREQADAITLATAATGNSPNSLKHVADDMNGSLSPMSVPAQKQLKYSPGIVNGPARESAAFIGQTRKMLPLGQLPMKKLVAIGQAGNGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETMIRARPRSQALTHRV >KJB58549 pep chromosome:Graimondii2_0_v6:9:16677834:16686624:1 gene:B456_009G214600 transcript:KJB58549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAASEDCCVKVAVHVRPLIGDEKLQGCKDCVTVTPGKPQVQIGTHSFTFDHVYGSTGSPSSSMFEECIVPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNALFGKIESLKHQIEFQLHVSFIEILKEEVRDLLDPTSLNKSDAASPNTGKVHVPGKPPIQIRESSNGVITLAGSTEVSVSTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKLNPVSGDGSPNDIMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEHLQAELCARGGSDEVQVLKERIAWLEAANEDLCQELQEFRSRCTIVDQRETDAQDGSPCSVKSDGLKRNLHSIESSDYQMGETIAADSREVDEAAAKEWEHTLLQNTMDKELLELNRRLEEKESEMKLFGGDTIALKHHFGKKIQELEDEKRAVQQERDRLLAEIENLSAGSDGQKQKVQDIHAQKLKSLEAQILDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDNSAIANGNGTNGQTNEKALQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDEFASKGLSPPKGKNGFARASSMSPNARVARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDTRCQLWEKDMEIKEMKEQLKELVGLLRQSELRRKEVENELREQADAITLATAATGNSPNSLKHVADDMNGSLSPMSVPAQKQLKYSPGIVNGPARESAAFIGQTRKMLPLGQLPMKKLVAIGQAGNGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETMIRARPRSQALTHRV >KJB58548 pep chromosome:Graimondii2_0_v6:9:16677996:16685187:1 gene:B456_009G214600 transcript:KJB58548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAASEDCCVKVAVHVRPLIGDEKLQGCKDCVTVTPGKPQVQIGTHSFTFDHVYGSTGSPSSSMFEECIVPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNALFGKIESLKHQIEFQLHVSFIEILKEEVRDLLDPTSLNKSDAASPNTGKVHVPGKPPIQIRESSNGVITLAGSTEVSVSTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKLNPVSGDGSPNDIMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEHLQAELCARGGSDEVQVLKERIAWLEAANEDLCQELQEFRSRCTIVDQRETDAQDGSPCSVKSDGLKRNLHSIESSDYQMGETIADSREVDEAAAKEWEHTLLQNTMDKELLELNRRLEEKESEMKLFGGDTIALKHHFGKKIQELEDEKRAVQQERDRLLAEIENLSAGSDGQKQKVQDIHAQKLKSLEAQILDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDNSAIANGNGTNGQTNEKALQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDEFASKGLSPPKGKNGFARASSMSPNARVARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDTRCQLWEKDMEIKEMKEQLKELVGLLRQSELRRKEVENELREQADAITLATAATVSFI >KJB58550 pep chromosome:Graimondii2_0_v6:9:16677996:16686612:1 gene:B456_009G214600 transcript:KJB58550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAASEDCCVKVAVHVRPLIGDEKLQGCKDCVTVTPGKPQVQIGTHSFTFDHVYGSTGSPSSSMFEECIVPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNALFGKIESLKHQIEFQLHVSFIEILKEEVRDLLDPTSLNKSDAASPNTGKVHVPGKPPIQIRESSNGVITLAGSTEVSVSTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKLNPVSGDGSPNDIMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEHLQAELCARGGSDEVQVLKERIAWLEAANEDLCQELQEFRSRCTIVDQRETDAQDGSPCSVKSDGLKRNLHSIESSDYQMGETIADSREVDEAAAKEWEHTLLQNTMDKELLELNRRLEEKESEMKLFGGDTIALKHHFGKKIQELEDEKRAVQQERDRLLAEIENLSAGSDGQKQKVQDIHAQKLKSLEAQILDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDNSAIANGNGTNGQTNEKALQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDEFASKGLSPPKGKNGFARASSMSPNARVARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDTRCQLWEKDMEIKEMKEQLKELVGLLRQSELRRKEVENELREQADAITLATAATQGNSPNSLKHVADDMNGSLSPMSVPAQKQLKYSPGIVNGPARESAAFIGQTRKMLPLGQLPMKKLVAIGQAGNGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETMIRARPRSQALTHRV >KJB58547 pep chromosome:Graimondii2_0_v6:9:16677996:16686612:1 gene:B456_009G214600 transcript:KJB58547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAASEDCCVKVAVHVRPLIGDEKLQGCKDCVTVTPGKPQVQIGTHSFTFDHVYGSTGSPSSSMFEECIVPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNALFGKIESLKHQIEFQLHVSFIEILKEEVRDLLDPTSLNKSDAASPNTGKVHVPGKPPIQIRESSNGVITLAGSTEVSVSTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKLNPVSGDGSPNDIMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEHLQAELCARGGSDEVQVLKERIAWLEAANEDLCQELQEFRSRCTIVDQRETDAQDGSPCSVKSDGLKRNLHSIESSDYQMGETIAADSREVDEAAAKEWEHTLLQNTMDKELLELNRRLEEKESEMKLFGGDTIALKHHFGKKIQELEDEKRAVQQERDRLLAEIENLSAGSDGQKQKVQDIHAQKLKSLEAQILDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDNSAIANGNGTNGQTNEKALQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDEFASKGLSPPKGKNGFARASSMSPNARVARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDTRCQLWEKDMEIKEMKEQLKELVGLLRQSELRRKEVENELREQADAITLATAATGNSPNSLKHVADDMNGSLSPMSVPAQKQLKYSPGIVNGPARESAAFIGQTRKMLPLGQLPMKKLVAIGQAGNGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETMIRARPRSQALTHRV >KJB58987 pep chromosome:Graimondii2_0_v6:9:18481356:18483905:-1 gene:B456_009G234100 transcript:KJB58987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MALQCRLSGATFQSLKLATIPISSSSSRFIFRTLPKTLNLALFSRHSAPKAPPFSVLIRNLSAPAVAAAPAAETSDIKVVKPQWNAAIDFKWIRDNKEAVAVNIKNRNSNANLELVLQLYDKMLNLQKEVERLRGERNNVANKMKGKLEPSERQKLIEEGKNLKEALTTLEEDLVKLRDELQIEAQCIPNLTHPDVPIGWEDSSKLRNMVGSPRKFDFPVKDHLQIGKELDLFDFDAAAEVSGSKFYYLKNEAVMLEMALVNWTLTEVMKRGFTPLTTPEIVRSSVVEKCGFQPRGENTQVYSIEDSDQCLIGTAEIPVGGIHMDSIVAESALPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFILCRQEESDMYHEELIKIEEDLFSSLGLHFKYGLSLTFTNFSGFVLLFLTVFAFSAEF >KJB58988 pep chromosome:Graimondii2_0_v6:9:18480150:18484130:-1 gene:B456_009G234100 transcript:KJB58988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MALQCRLSGATFQSLKLATIPISSSSSRFIFRTLPKTLNLALFSRHSAPKAPPFSVLIRNLSAPAVAAAPAAETSDIKVVKPQWNAAIDFKWIRDNKEAVAVNIKNRNSNANLELVLQLYDKMLNLQKEVERLRGERNNVANKMKGKLEPSERQKLIEEGKNLKEALTTLEEDLVKLRDELQIEAQCIPNLTHPDVPIGWEDSSKLRNMVGSPRKFDFPVKDHLQIGKELDLFDFDAAAEVSGSKFYYLKNEAVMLEMALVNWTLTEVMKRGFTPLTTPEIVRSSVVEKCGFQPRGENTQVYSIEDSDQCLIGTAEIPVGGIHMDSIVAESALPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFILCRQEESDMYHEELIKIEEDLFSSLGLHFKILDMATGDLGAPAYRKFDVEAWMPGLERYGEISSASNCTDYQSRRLGIRYRPSESPSTTSKKGKTNLAATKFVHTLNATACAIPRMLVCLLENFQQEDGSVIIPHPLRPFMGGVEAIYPKSR >KJB58989 pep chromosome:Graimondii2_0_v6:9:18480150:18484130:-1 gene:B456_009G234100 transcript:KJB58989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MKGKLEPSERQKLIEEGKNLKEALTTLEEDLVKLRDELQIEAQCIPNLTHPDVPIGWEDSSKLRNMVGSPRKFDFPVKDHLQIGKELDLFDFDAAAEVSGSKFYYLKNEAVMLEMALVNWTLTEVMKRGFTPLTTPEIVRSSVVEKCGFQPRGENTQVYSIEDSDQCLIGTAEIPVGGIHMDSIVAESALPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFILCRQEESDMYHEELIKIEEDLFSSLGLHFKILDMATGDLGAPAYRKFDVEAWMPGLERYGEISSASNCTDYQSRRLGIRYRPSESPSTTSKKGKTNLAATKFVHTLNATACAIPRMLVCLLENFQQEDGSVIIPHPLRPFMGGVEAIYPKSR >KJB58986 pep chromosome:Graimondii2_0_v6:9:18480150:18482180:-1 gene:B456_009G234100 transcript:KJB58986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MDSIVAESALPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFILCRQEESDMYHEELIKIEEDLFSSLGLHFKILDMATGDLGAPAYRKFDVEAWMPGLERYGEISSASNCTDYQSRRLGIRYRPSESPSTTSKKGKTNLAATKFVHTLNATACAIPRMLVCLLENFQQEDGSVIIPHPLRPFMGGVEAIYPKSR >KJB56479 pep chromosome:Graimondii2_0_v6:9:9182854:9184033:1 gene:B456_009G123000 transcript:KJB56479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSTSGLLLPVCLLFLLIFGTVTATDHIVGANKGWNPGINYTLWANNQTFYVGDLISFRYQKTQYNVFEVNQTGYDSCTTEGAVGNWSSGKDFIPLNESKRYYFICGNGQCFNGMKVSVVVHPLPSPTASPAASHSSNSSNAAGLVILPRGITGFRTLVVALASIWFGSGWV >KJB63089 pep chromosome:Graimondii2_0_v6:9:70322180:70329192:-1 gene:B456_009G452300 transcript:KJB63089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLINSNSIFTSPSKNLKGLKALLSNNVEPSNTDDVFNENELAQRKAEEAASRRYQAAEWLRQMDQGASETLPKEPSEEEFCLALRNGLILCNVLNKVNPGAVLKIVENPVIPDQSTEGAAQSAIQYFENMRNFLVAVKDMQLLTFEASDVEKGGSMTKVVDCILCLKGYYEWKQAGGIGVWRYGGTVKITAFPKGSLPSLIGSESADDSLDGSDSSQYEQLLEFLHLSNEVTIEESKTANALAFLFDRFGLWLLQAYLRDSNGIEEFPLNAMLVDTLISKIVKDFSTLLVYQGTQLGLFLKKLLKADMNSLSKSNFIEAISLYLGQKTSLASNDVSKFCICGGKRDIVRRSISHSAINADLLDLQQREIQDIKLDFQQTKLEVKQIHSNWEEELKRLVHHIKGLEVASSSYHKVLEENRMLYNQVQDLKGTIRVYCRVRPFFQGQTNGQSTVDYIGENGNIMIVNPLKQGKDARKVFSFNKVFGPTVSQEQIYVDTQPLVRSVLDGFNVCIFAYGQTGSGKTYTMSGPDLNTKQTWGVNYRALSDLFQISKERSDFVKYEVGVQMIEIYNEQVRDLLVMDGSNRRLDIRNNSQLNGLNVPDASWVPVASTQDVLELMRTGQKNRAVGATALNERSSRSHSVLTIHVYGKELVSGSILKGCLHLVDLAGSERVDKSEAVGDRLKEAQHINKSLSALGDVISALAQKSAHIPYRNSKLTQVLQDSLGGHAKTLMFVHISPEVNSIGETVSTLKFAERVASIELGAAKSNKETGEIRELKEEISNLKLALEKKEAEVEQLKGGTARSATESQRARAVSPFHFPRRKPESSPRRGDDNRITEARSCSSGKQRRSRFPSVFADKETFPKMPILAEERLLSVVNARSPSPPVRRSLSTDRGALIRSRIKADTVENQPVSKVPFPARVPVNKSLASTTVIPSVDSNSSRVHVSSQEISKQDSISDKLSTTKLHPEHEEEQFRQALNVRQGGIRKSKAESKAKMKHQLPTRLHKTDAAVTLLSEIDAGEKIEEPRKSDFSETENENTLIGSLTSSALKTKRFRQNITRNSQNVEPRGSVQAVEPFLGEKNRQVKDGSKTLMPEFRRSRSSPRGKFLVLP >KJB63088 pep chromosome:Graimondii2_0_v6:9:70322180:70328055:-1 gene:B456_009G452300 transcript:KJB63088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGASETLPKEPSEEEFCLALRNGLILCNVLNKVNPGAVLKIVENPVIPDQSTEGAAQSAIQYFENMRNFLVAVKDMQLLTFEASDVEKGGSMTKVVDCILCLKGYYEWKQAGGIGVWRYGGTVKITAFPKGSLPSLIGSESADDSLDGSDSSQYEQLLEFLHLSNEVTIEESKTANALAFLFDRFGLWLLQAYLRDSNGIEEFPLNAMLVDTLISKIVKDFSTLLVYQGTQLGLFLKKLLKADMNSLSKSNFIEAISLYLGQKTSLASNDVSKFCICGGKRDIVRRSISHSAINADLLDLQQREIQDIKLDFQQTKLEVKQIHSNWEEELKRLVHHIKGLEVASSSYHKVLEENRMLYNQVQDLKGTIRVYCRVRPFFQGQTNGQSTVDYIGENGNIMIVNPLKQGKDARKVFSFNKVFGPTVSQEQIYVDTQPLVRSVLDGFNVCIFAYGQTGSGKTYTMSGPDLNTKQTWGVNYRALSDLFQISKERSDFVKYEVGVQMIEIYNEQVRDLLVMDGSNRRLDIRNNSQLNGLNVPDASWVPVASTQDVLELMRTGQKNRAVGATALNERSSRSHSVLTIHVYGKELVSGSILKGCLHLVDLAGSERVDKSEAVGDRLKEAQHINKSLSALGDVISALAQKSAHIPYRNSKLTQVLQDSLGGHAKTLMFVHISPEVNSIGETVSTLKFAERVASIELGAAKSNKETGEIRELKEEISNLKLALEKKEAEVEQLKGGTARSATESQRARAVSPFHFPRRKPESSPRRGDDNRITEARSCSSGKQRRSRFPSVFADKETFPKMPILAEERLLSVVNARSPSPPVRRSLSTDRGALIRSRIKADTVENQPVSKVPFPARVPVNKSLASTTVIPSVDSNSSRVHVSSQEISKQDSISDKLSTTKLHPEHEEEQFRQALNVRQGGIRKSKAESKAKMKHQLPTRLHKTDAAVTLLSEIDAGEKIEEPRKSDFSETENENTLIGSLTSSALKTKRFRQNITRNSQNVEPRGSVQAVEPFLGEKNRQVKDGSKTLMPEFRRSRSSPRGKFLVLP >KJB57030 pep chromosome:Graimondii2_0_v6:9:11053844:11057227:-1 gene:B456_009G145600 transcript:KJB57030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLRNTLFLLYLLFFCFVFFSEASVHEYNGQKFVSKGNAFVVHGGSEGIYSSFADTLNVTATAGDSFVRFEKITFRRPKESSNFSSHSIQAIVFEVEDREAIGGSAYGGQRAVCCTADLAKLGVCSEGEIIHRPSTKNPGWPKVFGVSFSEDDEATTLPLKSIQITKTGMYNLYFIHCDLNLKDLTVEGKTVWKNPTGYLPGRMAPLMNFYGFMSLAFVILGIFWFLQYARFWREVLPLQNCITLVITLGMLEMALWYFDYAEFNGSGTRPVGITMWAVTFDTIKRTIARIIILMVSMGYGVVRPTLGGLTSKVTMLGATFFLASEILELVENVGAVSDLSGKARLFLVLPVAILDAFFILWIFTSLSSTLNKLQTRRMVAKLDIYRKFTNALAVAVIVSVGWICYEIYFKANDVYNEQWQNAWIIPAFWQILSFSLLCVICVLWAPSQNSTRYAYSGEANEDFDKDDTNLTLIKPSPTPSKDFRTAPETRTVQGSNGASSNGDLEEDKTE >KJB61744 pep chromosome:Graimondii2_0_v6:9:51169378:51171359:-1 gene:B456_009G377300 transcript:KJB61744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTQITLPSPNPFPKHHFATQNLHFFCYCRCHFCFQDMGLSKKPMSMPVRGKGKRDHRSDNVDHALSLFNKMIEKYPKPSIVEFNKLLGAIVKTKHYAIVVSKYRQIELLGVSHNVYSMNILINCICQLGRIDFGFSVLGKMLKLGVEPSAVTFSTLINGLCNQSKISEAVCMFDEMTEKGYQAHLIVYNTVLKGLSKTGNTDRAVRFLRLMESRGFEPNIVAYSIVIDCLCKNGLLQEALNLLSEMKVKGIRPNIITYNCLIHGMCNLGQQEEATRLLSEMVDNNISLDIVTYNTLVDALCKEGTISKAVETIDMMRKQGIEPNVVTYSTLVDAHCKEGMVSEAEDIVDAMIKRGIEPNVVTYSALVNGHCLQNETDKARRYSYNTVINGYCKGKRLDEAMELFHEISRKGPIPDTVTYNTLMQSMFQLGKVSTACELFRKMLASGQAPDIATCLILLDGLCKTGHIEEALKLFQALQTSGLKLDIVPYTILIDGFCKAGHIEVAKELFHQLSDNGLKPDVYTYCIMINGLCKEGLPDEAYRLFGSMGDNDCLPNSCCYNVMIRGFLRNSYTSKATQLLTEMVGKGFSADIFTATLFMDLIIYSNKSILL >KJB54119 pep chromosome:Graimondii2_0_v6:9:1633219:1634250:1 gene:B456_009G021600 transcript:KJB54119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIRFDSTKQYYATSSLVAGYALCSSLLAVINKFAITKFNYPGLLTALQYLTSALGVWVLGKFGFLHHDAFTWDTAKKFLPAAIVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVALADTTFRRQPCPSKLTFISLLIILGGAVGYVATDSAFTLTAYSWAFAYLVTITTEMVYIKHMVMNLGLNTWGFVLYNNFLSLMMAPIFWVLTGEYKEVFAALGANGGDWFEPVAFTAVSLSCLFGLLISFFGFAARKAISATAFTVTGVVNKFLTVVINVLIWDKHATPFGLVCLLFTLAGGVLYQQSVTGPPRESSASKQTGDVDENDADENQDKSVSGKHASV >KJB54120 pep chromosome:Graimondii2_0_v6:9:1632848:1634666:1 gene:B456_009G021600 transcript:KJB54120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVLFHNHSFSISKGFLQDLPLPSIRFDSTKQYYATSSLVAGYALCSSLLAVINKFAITKFNYPGLLTALQYLTSALGVWVLGKFGFLHHDAFTWDTAKKFLPAAIVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVALADTTFRRQPCPSKLTFISLLIILGGAVGYVATDSAFTLTAYSWAFAYLVTITTEMVYIKHMVMNLGLNTWGFVLYNNFLSLMMAPIFWVLTGEYKEVFAALGANGGDWFEPVAFTAVSLSCLFGLLISFFGFAARKAISATAFTVTGVVNKFLTVVINVLIWDKHATPFGLVCLLFTLAGGVLYQQSVTGPPRESSASKQTGDVDENDADENQDKSVSGKHASV >KJB55657 pep chromosome:Graimondii2_0_v6:9:6412370:6412968:1 gene:B456_009G087800 transcript:KJB55657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPWCNDGKSPQNPCNSNTVFENSPDLLLGWFKNLNAQHKQELIIELSTKVQGLSMEKQLPTERAEVDDDLKARHFQQLLQRLSSKKNNVGSKIHKAYLGVQRRYIIEHEDQHLLKPDKLSNLKSIDSRAGSPVVRKEGAISEVGSSLNPELFKIKKFSTTK >KJB55837 pep chromosome:Graimondii2_0_v6:9:7048048:7054776:-1 gene:B456_009G097200 transcript:KJB55837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELGRRPMLGSGEGSFGDDLEAGMELLLREQRSKQDADDLERELNLYRSGSAPPTVEGSLSAVGGLFGGGATIAAGTAAGTGATAFSAFAGAKNGNGFASEEELRSDPAYHSYYYSNVNLNPRLPPPLLSKEDWKFAQRLKGGSSVIGGIGDRRKVNRADNGSGRSLFSMPPGFDSRKLENEIEAEKVHNSANWGGDGLIGLSGIGLGSKQKSLAENFQADLGHSSPVTRIPSRPASRNAFDENFENFVSAESDLAHLRHELASVDALRSSVSVQGSSAVHITGPPSSYTYAAAVGTSLSRSTTPDPQLVARAPSPCLTPIGGGRVGNSDKRNINSPSTFGGVSSGVSESADLVVALSGMTLSSNGAPDEDNRLPSQTEQDAENSQNYLFSLQDGQNYIKQQAYLKKSESGHLDMPSAKKNRRAEFQKPAASSNNSYLKGSPTSTLNGGGSLPAQYQHGDGAKSSIPNYGISGFSLNPGLASMMASQLGTGNLPAASAIPVPGMDSRVFGGGLGSGQNISSAVSESPNLGRVGSQIAGNALQAPFVDPMYLQYLRTSDYPAAQLPAFNDPCMNRNFLGDSYMNLLELQKAYFGSMLSPQKSQYGVPLGTKSGGSNIHGFYGNSTFGAGMSYPGSPLANTFIPNSPVGLGSPIRHTDLNMRFPSGTRNLTGGVTGPWHLDAGYNLDESFASSLLEEFKSNKTKCFELSEISGHVVEFSADQYGSRFIQQKLETATPEEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPAQRRELACKLLGHVLSLSLQMYGCRVIQKAIEVVDLDQKINMVHELDGSVMRCVRDQNGNHVIQKCIECVPEENIQFIVSTFFDQVVTLSTHPYGCRVIQRILEHCKDPKTQSKVMDEILGSVSLLAQDQYGNYVVQHVLGHGKPHERSIIIKELAGKIVQMSQQKFASNVVEKCLTFGGPAERQLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSVHPS >KJB55838 pep chromosome:Graimondii2_0_v6:9:7048181:7054151:-1 gene:B456_009G097200 transcript:KJB55838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELGRRPMLGSGEGSFGDDLEAGMELLLREQRSKQDADDLERELNLYRSGSAPPTVEGSLSAVGGLFGGGATIAAGTAAGTGATAFSAFAGAKNGNGFASEEELRSDPAYHSYYYSNVNLNPRLPPPLLSKEDWKFAQRLKGGSSVIGGIGDRRKVNRADNGSGRSLFSMPPGFDSRKLENEIEAEKVHNSANWGGDGLIGLSGIGLGSKQKSLAENFQADLGHSSPVTRIPSRPASRNAFDENFENFVSAESDLAHLRHELASVDALRSSVSVQGSSAVHITGPPSSYTYAAAVGTSLSRSTTPDPQLVARAPSPCLTPIGGGRVGNSDKRNINSPSTFGGVSSGVSESADLVVALSGMTLSSNGAPDEDNRLPSQTEQDAENSQNYLFSLQDGQNYIKQQAYLKKSESGHLDMPSAKKNRRAEFQKPAASSNNSYLKGSPTSTLNGGGSLPAQYQHGDGAKSSIPNYGISGFSLNPGLASMMASQLGTGNLPAASAIPVPGMDSRVFGGGLGSGQNISSAVSESPNLGRVGSQIAGNALQAPFVDPMYLQYLRTSDYPAAQLPAFNDPCMNRNFLGDSYMNLLELQKAYFGSMLSPQKSQYGVPLGTKSGGSNIHGFYGNSTFGAGMSYPGSPLANTFIPNSPVGLGSPIRHTDLNMRFPSGTRNLTGGVTGPWHLDAGYNLDESFASSLLEEFKSNKTKCFELSEISGHVVEFSADQYGSRFIQQKLETATPEEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPAQRRELACKLLGHVLSLSLQMYGCRVIQKAIEVVDLDQKINMVHELDGSVMRCVRDQNGNHVIQKCIECVPEENIQFIVSTFFDQVVTLSTHPYGCRVIQRILEHCKDPKTQSKVMDEILGSVSLLAQDQYGNYVVQHVLGHGKPHERSIIIKELAGKIVQMSQQKFASNVVEKCLTFGGPAERQLLVNEMLGSTDENEPLQVCLFCPYIF >KJB56914 pep chromosome:Graimondii2_0_v6:9:12346056:12347211:-1 gene:B456_009G160900 transcript:KJB56914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKLQRRTASRRKLQFLPTLSNTKSVKRSSIVLNVLLQCHKLKVKLEEIHREYQNLMTIRNQYFTLLKHIQVSKEVKVEKVGEEFVVKVSCNKGRDKLISILEAFEELGLNVVRARVNCSHFFAMEAIAVAQDQKTTDINDVTQAILMAIEKQGDEHIKDFRQVSKC >KJB56915 pep chromosome:Graimondii2_0_v6:9:12346113:12347007:-1 gene:B456_009G160900 transcript:KJB56915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKLQRRTASRRKLQFLPTLSNTKSVKRSSIVLNVLLQCHKLKVKLEEIHREYQNLMTIRNQYFTLLKHIQVSKQEVKVEKVGEEFVVKVSCNKGRDKLISILEAFEELGLNVVRARVNCSHFFAMEAIAVAQDQKTTDINDVTQAILMAIEKQGDEHIKDFRQVSKC >KJB61125 pep chromosome:Graimondii2_0_v6:9:39963094:39966400:-1 gene:B456_009G342200 transcript:KJB61125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELESFKMEYANARLECNAADERANILASKVIGLEEKASEHLWLNLMVHQSHLRHLKLKGVAILGFPTLIRVVVHILFICLVFLFQLISMLVLIQMQQ >KJB61127 pep chromosome:Graimondii2_0_v6:9:39963211:39965790:-1 gene:B456_009G342200 transcript:KJB61127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNLEKERRGLILAGFFPLIWINTQAELESFKMEYANARLECNAADERANILASKVIGLEEKASEHLWLNLMVHQSHLRHLKLKGVAILGFPTLIRVVVHILFICLVFLFQLISMLVLIQMQQ >KJB61128 pep chromosome:Graimondii2_0_v6:9:39963098:39966400:-1 gene:B456_009G342200 transcript:KJB61128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQEQFFKERIKFIHEARDEKEESFEKLQQQEREKVKQSNPNPSNAEEYRRSNLEKERRGLILAGFFPLIWINTQAELESFKMEYANARLECNAADERANILASKVIGLEEKASEHLWLNLMVHQSHLRHLKLKGVAILGFPTLIRVVVHILFICLVFLFQLISMLVLIQMQQ >KJB61126 pep chromosome:Graimondii2_0_v6:9:39963211:39965790:-1 gene:B456_009G342200 transcript:KJB61126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNLEKERRGLILAGFFPLIWINTQAELESFKMEYANARLECNAADERANILASKVIGLEEKASEHLWLNLMVHQSHLRHLKLKGVAILGFPTLIRVVVHILFICLVFLFQLISMLVLIQMQQ >KJB53739 pep chromosome:Graimondii2_0_v6:9:283782:289692:-1 gene:B456_009G003000 transcript:KJB53739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGLLLLISFFKYVYRPLQWVAVGAVVIGICPILLKGYAAITNFRLDINILMLIAVIGSIAMKDYTEAATIVFLFTIAEWLESRASHKATAVMSSLMSMSPQKAVIAESGEEVDVDEVKLNTVLAVKAGEVIPIDGIVVDGNCEVDEKTLTGESLPVSKQKDSTVWAGTINLNGYISVKTTAVAEDCVVAKMAKLVEEAQNSKSTTQRFIDKCAQFYTPAIIVVSVAIAVIPAAFRVHNLRHWFHLALVVLVSACPCALILSTPVASFCTLTKAATSGLLVKGGDYLEILSNIKITAFDKTGTLTRGEFVVTNFRSLCQDISFNSLLYWVSSIESKSSHPMAAALIEYGRSHSIEPKPETVEDYQNFPGEGIYGRIDGRDIYIGSRKVSVRAHGTAPNVEGNMMEGKTIGYVFCGATPAGIFSLSDACRTGAAEAVNELKSMGIKTAMLTGDNQAAAIHVQEQLGNSLDVIHADLLPQDKARIVEEFKKEGPTAMLGDGINDAPALATADIGISMGISGSALATETGHVILMSNDIRKIPKAIRLARKAHRKVIQNVILSISTKVAILALAFAGHPLVWAAVLADVGTCLLVIFNSMLLLHGTHKHAGKCSKSSAASHKDKQGCNTSHCHSSHNHEHSSIDKKVQKACEPQKCSSRSCASRCQSNPSNSDASSNSCGSNKCTESTGTREMKHCDQGSCNIVNHKIEAHNLPSKCCSSHGKLGEKHCHHSSNQQGTKADQCHSTCGGNHTDRQTLGTFVEHSCLESPKPEAHPYSNKCFTDYWESPHTAIDIPMNTSHETAQACMSVEKREMGGCCKSYMKECCGKHGHFRSGLTEIVTE >KJB53738 pep chromosome:Graimondii2_0_v6:9:283868:289692:-1 gene:B456_009G003000 transcript:KJB53738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLVEEAQNSKSTTQRFIDKCAQFYTPAIIVVSVAIAVIPAAFRVHNLRHWFHLALVVLVSACPCALILSTPVASFCTLTKAATSGLLVKGGDYLEILSNIKITAFDKTGTLTRGEFVVTNFRSLCQDISFNSLLYWVSSIESKSSHPMAAALIEYGRSHSIEPKPETVEDYQNFPGEGIYGRIDGRDIYIGSRKVSVRAHGTAPNVEGNMMEGKTIGYVFCGATPAGIFSLSDACRTGAAEAVNELKSMGIKTAMLTGDNQAAAIHVQEQLGNSLDVIHADLLPQDKARIVEEFKKEGPTAMLGDGINDAPALATADIGISMGISGSALATETGHVILMSNDIRKIPKAIRLARKAHRKVIQNVILSISTKVAILALAFAGHPLVWAAVLADVGTCLLVIFNSMLLLHGTHKHAGKCSKSSAASHKDKQGCNTSHCHSSHNHEHSSIDKKVQKACEPQKCSSRSCASRCQSNPSNSDASSNSCGSNKCTESTGTREMKHCDQGSCNIVNHKIEAHNLPSKCCSSHGKLGEKHCHHSSNQQGTKADQCHSTCGGNHTDRQTLGTFVEHSCLESPKPEAHPYSNKCFTDYWESPHTAIDIPMNTSHETAQACMSVEKREMGGCCKSYMKECCGKHGHFRSGLTEIVTE >KJB53740 pep chromosome:Graimondii2_0_v6:9:283868:290428:-1 gene:B456_009G003000 transcript:KJB53740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKKKLQRSYFDVLGLCCSSEVPLIENILKPLEGVKQVSVIVPTRTVIVVHDNLLVSQLQIVKALNQARLEANVRAHGEIKYQKKWPSPFAMACGLLLLISFFKYVYRPLQWVAVGAVVIGICPILLKGYAAITNFRLDINILMLIAVIGSIAMKDYTEAATIVFLFTIAEWLESRASHKATAVMSSLMSMSPQKAVIAESGEEVDVDEVKLNTVLAVKAGEVIPIDGIVVDGNCEVDEKTLTGESLPVSKQKDSTVWAGTINLNGYISVKTTAVAEDCVVAKMAKLVEEAQNSKSTTQRFIDKCAQFYTPAIIVVSVAIAVIPAAFRVHNLRHWFHLALVVLVSACPCALILSTPVASFCTLTKAATSGLLVKGGDYLEILSNIKITAFDKTGTLTRGEFVVTNFRSLCQDISFNSLLYWVSSIESKSSHPMAAALIEYGRSHSIEPKPETVEDYQNFPGEGIYGRIDGRDIYIGSRKVSVRAHGTAPNVEGNMMEGKTIGYVFCGATPAGIFSLSDACRTGAAEAVNELKSMGIKTAMLTGDNQAAAIHVQEQLGNSLDVIHADLLPQDKARIVEEFKKEGPTAMLGDGINDAPALATADIGISMGISGSALATETGHVILMSNDIRKIPKAIRLARKAHRKVIQNVILSISTKVAILALAFAGHPLVWAAVLADVGTCLLVIFNSMLLLHGTHKHAGKCSKSSAASHKDKQGCNTSHCHSSHNHEHSSIDKKVQKACEPQKCSSRSCASRCQSNPSNSDASSNSCGSNKCTESTGTREMKHCDQGSCNIVNHKIEAHNLPSKCCSSHGKLGEKHCHHSSNQQGTKADQCHSTCGGNHTDRQTLGTFVEHSCLESPKPEAHPYSNKCFTDYWESPHTAIDIPMNTSHETAQACMSVEKREMGGCCKSYMKECCGKHGHFRSGLTEIVTE >KJB55001 pep chromosome:Graimondii2_0_v6:9:4158581:4161686:1 gene:B456_009G057800 transcript:KJB55001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D alpha 3 [Source:Projected from Arabidopsis thaliana (AT5G25370) UniProtKB/Swiss-Prot;Acc:P58766] MTQEEQKHLLHGTLSATIYGIEELPFGCRENFCLQGTMTASKFQKKCLATFKRTALGPQLYATVDLDKARVARTGVVRHKPSSPQWNETFRIYCAHLISHVIFTVKDNSPIGAVLIGRAYLPVKDIIVANGDTVIRYLKIQDEERKALPGQPQIHVALQFRSVSQEESWGVGIKTPGFEGVPYTFFRQRQGCKVTLYQDANISDGFKPDIPGMTYDPRRCWEDIYDAINKAKHFIYITGWSVYTEITLIRDPKKEKPGSDETLGNLLLKKAKEGVKVLLLVWDDRTSIELLKQEGLMSTHDEETSAYFRFRGVHCVLCPRNPDNKRSFVEGIKIATMFTHHQKTLIVDSENPNPGEKRTVVSFIGGIDLCDGRYDTQDHPLFDTLKDIHHDDFHQPNFNYSSIEKGGPREPWHDIHCKLEGPVAWDVLYNFEQRWLKQAVCRKHHLFSTKKIEEMTVRPPKNLPLDHSETWCVQLFRSIDNGAVVGFPENVKIAHQYGLLSGKNTIIERSIQDAYINAIRRAKNFIYIENQYFLGSSFGWNSEDVKDEDIAALNLIPKELSLKIVSKIKAGERFSVYIVIPMWPEGIPESGPVQAILDWQRRTIQMMYRDVAQALHNEGNPRDYLTFFCLGNREKENKSGEYLPNEKPDPNSDYGRAQQSRRFMIYVHSKMMIVDDEYIIIGSANINERSMAGSRDSEIAMGAFQPYHLATKQPVRGQIYGLRMALWKEHLGQRHDSFATPETEKCIQEVNSIAERNWVLYSSETLEEDLPGHLLRYPINVGEDGSVSSLPGAENFPDTNAPVLGSKSNILPPIVTT >KJB60882 pep chromosome:Graimondii2_0_v6:9:33765034:33766797:-1 gene:B456_009G329600 transcript:KJB60882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAITHLSPLTHTVSSISSGFTFSLARSFSHDSLCLNKLLAYPKDIASISLLFDQFDQPSPQQWDIIIRDISSSSQPEKSLLFYIVMRRNGVVPTKHTFPSLLKSFSKSKRNPLQLLPHIVKYGMDSDSFVRNSLISVLFSSLDVQLARQVFDESTQTDVVSWTALINGYLKIGCFIEGLKCFKEMRLKCVKTCGMTVVSILGAAGKMGNVWFGRSIHGLYVETGRVKWDVFIGSAIVDMYSKCGVYGEARKYFDQMPSKNVVSWTALIAGHLRCNRYNEAIIFFQDMLMEKVRPSDFTITSVLAACAEIGDLIHGRCVHGHISRYKLEMNSEVGTALINMYAKCGCLNEAFMVFKKLCGKDVYAWTAMINGFAMHGDAIGSLNLFNEMLSSGIHPNEVTFLGVLNACSHGGLVDEGRKVFTMMKQRYDLEPGLDHYSCMVDMLGRAGYLEEAKKMIGDMPIEPSARVWAALFGACMIHKAYDLGEYIGKHLINLQPKHSGRYALLANLYSRCQKSDSAAEIRKLMKEKGVKKIPGCSWIEINGAIHEFTAFGSSHYESYSLNEMIDCFIAQMRLGCSFFAFDVDME >KJB59840 pep chromosome:Graimondii2_0_v6:9:23053487:23057392:-1 gene:B456_009G275200 transcript:KJB59840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNSGSDSQPSQEQNQRQQQPPRSQPQWVAMQYPAAAMVMQHQMMQPQHFVASPLPPQHYMPYHHLHLNGHAQQGSGGGSGENKTVWVGDLYHWMDENYLHSCFASTGEIASIKVIRNKQTGLSEGYGFVEFFSHATAEKVLQSYSSILMPNTDQPFRLNWATLSTGEKRSENGPDLSIFVGDLGADVTDSLLHETFASKYPSVKAARVVIVANTGRSKGYGFVRFGDETERSQAMNEMNGVYCSSRPMRIGAATPRKSSGYQQQYSLQGGYASNGNSTQSDGDSSNTTIFVGGLDPNVTEEDLRQSFSQYGEIVSVKIPVGKGCGFVQYANRKNAEEALQKLNGMVIGKQTVRLSWGRNPANKQFRGDYGNQWGGAYYGGQVYDGYRYAVPPPHDPGMYAAAYGTYPIYGSHQQQVS >KJB59841 pep chromosome:Graimondii2_0_v6:9:23053726:23057392:-1 gene:B456_009G275200 transcript:KJB59841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNSGSDSQPSQEQNQRQQQPPRSQPQWVAMQYPAAAMVMQHQMMQPQHFVASPLPPQHYMPYHHLHLNGHAQQGSGGGSGENKTVWVGDLYHWMDENYLHSCFASTGEIASIKVIRNKQTGLSEGYGFVEFFSHATAEKVLQSYSSILMPNTDQPFRLNWATLSTGEKRSENGPDLSIFVGDLGADVTDSLLHETFASKYPSVKAARVVIVANTGRSKGYGFVRFGDETERSQAMNEMNGVYCSSRPMRIGAATPRKSSGYQQQYSLQGGYASNGNSTQSDGDSSNTTIFVGGLDPNVTEEDLRQSFSQYGEIVSVKIPVGKGCGFVQYANRKNAEEALQKLNGMVIGKQTVRLSWGRNPANKQFRGDYGNQWGGAYYGGQVYDGYRYAVPPPHDPGMYAAAYGTYPIYGSHQQQVS >KJB60838 pep chromosome:Graimondii2_0_v6:9:32951269:32953119:-1 gene:B456_009G327900 transcript:KJB60838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTISNQTPKSNPATANEPAKVAAPEETKDDEIAVTWREEEPAHYILQIQSLPFLLENLSEPHVAFEAFEACGYKWSLILYRVSENGDVYRESENGDVYLSPYLRIMDIQHLGHNGKIDALINFFVYHQEMNRYITIQDGKVKRFSANKQESGFSRLMLPPKFIDRLNLKENTCKFGVEVFVVNPEKEKGECCCSILDLHLEENPVTWLIGNFSNLSGLKCFEFMMANSKWELQLYLRGVPEVKSKYLSIYLNLKHSKEVESGNKLHVQLKLRIKSNSPSNPRGGESKYDPTKPRTGNAWFSSSQRCWGFPYFMKLADLKQTPGLIDNDHLTVEAEFSSIFVIQDLAPEPHAANSD >KJB54685 pep chromosome:Graimondii2_0_v6:9:3237514:3238811:1 gene:B456_009G044900 transcript:KJB54685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLGGGKEDLNSPEIGLPGNVAGNLNVLLTDSDDGSEFSYEGSVEEEKLEEIMQELYKEITSTSPPPSVTFPSLSSSSSSLAFSPVSDVKISESCGASMSDSSSTVMAGIAFVGPTGKFPDVKEGSPEKELWIEGMERCGEEEGEVGDDPWLARVLGWGPLELEEWT >KJB54611 pep chromosome:Graimondii2_0_v6:9:3033963:3036062:-1 gene:B456_009G041300 transcript:KJB54611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGNGGGTQVVITVAGEGPKGSSPKEAEALNPKQNSQGAPNKASTESGAVTASKPPKAPVAGNEPLLRRRSFVKPKSRFGEQSYTPESDEMEETNLANQEQVGCNSPINKASPNNKSARSIRTDSAVSKTLSPASKGSPGENENEEIIKKVKQHKEQHKGVKAKVVIEWVVFLFLIGCLIASLTVGKEKNILLWGLEIWKWCVLVVVIFCGMLVTRWFMHLVVFLIEINFLLRKKVLYFVHGLKKIVQVFIWLSIVLLTWVLLFLDVERSKTASKILDYVTWTLVSILIGAFLWLLKTLMLKILASNFHMNKFFDRIQESVFHHYILRTLSGPPFMEIDGIQKPPAHLIVSNAKKGKEPKTKRLIDMGKVHRLKREKVSAWHMKVLVDAITNSGLSTVSETLEESAYDEGGKEAEQEITNEEEAQYVAHQIFAHVAQHDSNQNRK >KJB54610 pep chromosome:Graimondii2_0_v6:9:3032298:3036051:-1 gene:B456_009G041300 transcript:KJB54610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGNGGGTQVVITVAGEGPKGSSPKEAEALNPKQNSQGAPNKASTESGAVTASKPPKAPVAGNEPLLRRRSFVKPKSRFGEQSYTPESDEMEETNLANQEQVGCNSPINKASPNNKSARSIRTDSAVSKTLSPASKGSPGENENEEIIKKVKQHKEQHKGVKAKVVIEWVVFLFLIGCLIASLTVGKEKNILLWGLEIWKWCVLVVVIFCGMLVTRWFMHLVVFLIEINFLLRKKVLYFVHGLKKIVQVFIWLSIVLLTWVLLFLDVERSKTASKILDYVTWTLVSILIGAFLWLLKTLMLKILASNFHMNKFFDRIQESVFHHYILRTLSGPPFMEIDGIQKPPAHLIVSNAKKGKEPKTKRLIDMGKVHRLKREKVSAWHMKVLVDAITNSGLSTVSETLEESAYDEGGKEAEQEITNEEEAQYVAHQIFAHVAQHDSNQNRNCIDEDDLLRFMIKEEVDLVFPLFEGSSTGKIDRKSFTNWVVKVYTDRKTLVHALSDTKTAVKQLNTLVTVVLIIVTVIIWLLLLEIATTKLLLLLSSQLVVAAFMFGNTCKTIFEAIVFVFVTHPFDVGDRCVVDGVQLLVEEMNILTTVFLKLDNEKVYYPNSVLATKPISNYYRSPDMGDTIEFSIDFLTPAKTIGRLKEEIKKHLEANTLWRPNHLVVVKEIENVNKLKMALFCNHTMNFQDFREKNRRRTELVLELKRIFEELGIRYNLLPQHVNLNQVNQDRPDATYATTWS >KJB54609 pep chromosome:Graimondii2_0_v6:9:3031521:3036062:-1 gene:B456_009G041300 transcript:KJB54609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGNGGGTQVVITVAGEGPKGSSPKEAEALNPKQNSQGAPNKASTESGAVTASKPPKAPVAGNEPLLRRRSFVKPKSRFGEQSYTPESDEMEETNLANQEQVGCNSPINKASPNNKSARSIRTDSAVSKTLSPASKGSPGENENEEIIKKVKQHKEQHKGVKAKVVIEWVVFLFLIGCLIASLTVGKEKNILLWGLEIWKWCVLVVVIFCGMLVTRWFMHLVVFLIEINFLLRKKVLYFVHGLKKIVQVFIWLSIVLLTWVLLFLDVERSKTASKILDYVTWTLVSILIGAFLWLLKTLMLKILASNFHMNKFFDRIQESVFHHYILRTLSGPPFMEIDGIQKPPAHLIVSNAKKGKEPKTKRLIDMGKVHRLKREKVSAWHMKVLVDAITNSGLSTVSETLEESAYDEGGKEAEQEITNEEEAQYVAHQIFAHVAQHDSNQNRNCIDEDDLLRFMIKEEVDLVFPLFEGSSTGKIDRKSFTNWVVKVYTDRKTLVHALSDTKTAVKQLNTLVTVVLIIVTVIIWLLLLEIATTKLLLLLSSQLVVAAFMFGNTCKTIFEAIVFVFVTHPFDVGDRCVVDGVQLLVEEMNILTTVFLKLDNEKVYYPNSVLATKPISNYYRSPDMGDTIEFSIDFLTPAKTIGRLKEEIKKHLEANTLWRPNHLVVVKEIENVNKLKMALFCNHTMNFQDFREKNRRRTELVLELKRIFEELGIRYNLLPQHVNLNQVNQDRPDATYATTWS >KJB54608 pep chromosome:Graimondii2_0_v6:9:3031521:3036051:-1 gene:B456_009G041300 transcript:KJB54608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGNGGGTQVVITVAGEGPKGSSPKEAEALNPKQNSQGAPNKASTESGAVTASKPPKAPVAGNEPLLRRRSFVKPKSRFGEQSYTPESDEMEETNLANQEQVGCNSPINKASPNNKSARSIRTDSAVSKTLSPASKGSPGENENEEIIKKVKQHKEQHKGVKAKVVIEWVVFLFLIGCLIASLTVGKEKNILLWGLEIWKWCVLVVVIFCGMLVTRWFMHLVVFLIEINFLLRKKVLYFVHGLKKIVQVFIWLSIVLLTWVLLFLDVERSKTASKILDYVTWTLVSILIGAFLWLLKTLMLKILASNFHMNKFFDRIQESVFHHYILRTLSGPPFMEIDGIQKPPAHLIVSNAKKGKEPKTKRLIDMGKVHRLKREKVSAWHMKVLVDAITNSGLSTVSETLEESAYDEGGKEAEQEITNEEEAQYVAHQIFAHVAQHDSNQNRNCIDEDDLLRFMIKEEVDLVFPLFEGSSTGKIDRKSFTNWVVKVYTDRKTLVHALSDTKTAVKQLNTLVTVVLIIVTVIIWLLLLEIATTKLLLLLSSQLVVAAFMFGNTCKTIFEAIVFVFVTHPFDVGDRCVVDGVQLLVEEMNILTTVFLKLDNEKVYYPNSVLATKPISNYYRSPDMGDTIEFSIDFLTPAKTIGRLKEEIKKHLEANTLWRPNHLVVVKEIENVNKLKMALFCNHTMNFQDFREKNRRRTELVLELKRIFEELGIRYNLLPQHVNLNQVNQDRPDATYATTWS >KJB58696 pep chromosome:Graimondii2_0_v6:9:17248105:17249901:1 gene:B456_009G221900 transcript:KJB58696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRTRSSKPLRQLGDLLQEQQEPFILEVYLSERGCCHGNSGKFLDKCGSQNKSKKKRIPHFPKVLKALLCNKLFKIKGVRTKNFDDEETEDADRFSSASSATVYNSCSDSDVELDEPPMFADTSMSDLKLYHETEKKILIETHKDKQAAADTEFEWRCMEEQVSTSTSSCPLDNSRQQVSGKRLFWSKLIKEDSVLSAWLLNLLLQHRPEKSSCVGFKQLKVPHWSSSSRPSSMSKRVLQQTKQLLFDCVRELVENNHDKEQKGKSFKESGEEIRKVRCENMKGWGKRCGDGSNIKQLLELDIINSTQEWKNGFESQKRDVGIVIGNAIVEEITSEIVMDIMNVL >KJB56830 pep chromosome:Graimondii2_0_v6:9:10387914:10391631:1 gene:B456_009G137500 transcript:KJB56830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGCSKNGETCPQLLDLIPQEREWQYPKRKEERSHVASEEKKLELRLGPPGDEEDNWSIKATGKNDNRDRDEPLISLGYQSLKKKSNGKQAHKLPSPEDQQQHHPIGSVLSPSWTKNHHPNHQQLTNPSFLQFPSTPQQCLPVIAKEQSQPCCTKVVDIQNAEKKPFSPTANTAVPPNTSQKRTAPGPVVGWPPIRSFRKNIANSSSSKLASESSPSVLPHKVGNEKKAAEPSAKFVKINMDGVPIGRKVDLKAYDSYEKLSTAVDELFRGLLAAQRDSSAGGIVKKQEEEKSITGLLDGSGEYTLVYEDNEGDRMLVGDVPWHMFVSTVERLRVLKSSELSALSLGSSKRGKYRLDSVSTKV >KJB56833 pep chromosome:Graimondii2_0_v6:9:10387914:10391631:1 gene:B456_009G137500 transcript:KJB56833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGCSKNGETCPQLLDLIPQEREWQYPKRKEERSHVASEEKKLELRLGPPGDEEDNWSIKATGKNDNRDRDEPLISLGYQSLKKKSNGKQAHKLPSPEDQQQHHPIGSVLSPSWTKNHHPNHQQLTNPSFLQFPSTPQQCLPVIAKEQSQPCCTKVVDIQNAEKKPFSPTANTAVPPNTSQKRTAPGPVVGWPPIRSFRKNIANSSSSKLASESSPSVLPHKVGNEKKAAEPSAKFVKINMDGVPIGRKVDLKAYDSYEKLSTAVDELFRGLLAAQRDSSAGGIVKKQEEEKSITGLLDGSGEYTLVYEDNEGDRMLVGDVPWHMFVSTVERLRVLKSSELSALSLGSSKRGKYRLDSVSTKV >KJB56831 pep chromosome:Graimondii2_0_v6:9:10388897:10391067:1 gene:B456_009G137500 transcript:KJB56831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGCSKNGETCPQLLDLIPQEREWQYPKRKEERSHVASEEKKLELRLGPPGDEEDNWSIKATGKNDNRDRDEPLISLGYQSLKKKSNGKQAHKLPSPEDQQQHHPIGSVLSPSWTKNHHPNHQQLTNPSFLQFPSTPQQCLPVIAKEQSQPCCTKVVDIQNAEKKPFSPTANTAVPPNTSQKRTAPGPVVGWPPIRSFRKNIANSSSSKLASESSPSVLPHKVGNEKKAAEPSAKFVKINMDGVPIGRKVDLKAYDSYEKLSTAVDELFRGLLAAQRDSSAGGIVKKQEEEKSITGLLDGSGEYTLVYEDNEGDRMLVGDVPWHMFVSTVERLRVLKSSELSALSRECRLIMK >KJB56832 pep chromosome:Graimondii2_0_v6:9:10387914:10391631:1 gene:B456_009G137500 transcript:KJB56832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGCSKNGETCPQLLDLIPQEREWQYPKRKEERSHVASEEKKLELRLGPPGDEEDNWSIKATGKNDNRDRDEPLISLGYQSLKKKSNGKQAHKLPSPEDQQQHHPIGSVLSPSWTKNHHPNHQQLTNPSFLQFPSTPQQCLPVIAKEQSQPCCTKVVDIQNAEKKPFSPTANTAVPPNTSQKRTAPGPVVGWPPIRSFRKNIANSSSSKLASESSPSVLPHKVGNEKKAAEPSAKFVKINMDGVPIGRKVDLKAYDSYEKLSTAVDELFRGLLAAQRDSSAGGIVKKQEEEKSITGLLDGSGEYTLVYEDNEGDRMLVGDVPWHMFVSTVERLRVLKSSELSALSLGSSKRGKYRLDSVSTKV >KJB53766 pep chromosome:Graimondii2_0_v6:9:362493:363869:-1 gene:B456_009G003800 transcript:KJB53766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIRETMHIHEDTFKHQVRELHRLYSVQKRLMDELKWEIQQKRFWASPFSSCDIINQHHLTTQATRCEDNFNFQDDRSSRERIGCCSGDTMKIASLTSTVDVTQGSDDDCEVELTLSIGTSSRKKMENNSKSNSQIRKLDSPSSFKSDSDKKRPYWQFQGFKHRQNLNNH >KJB53765 pep chromosome:Graimondii2_0_v6:9:362718:363540:-1 gene:B456_009G003800 transcript:KJB53765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFAISYSTAYVHRFEFNPISGTGTKLECAINTLPSSRNANSCCASTLHFVDDYFRTRELKKDFETPESQNMESIRETMHIHEDTFKHQVRELHRLYSVQKRLMDELKWEIQQKRFWASPFSSCDIINQHHLTTQATRCEDNFNFQDDRSSRERIGCCSGDTMKIASLTSTVDVTQGSDDDCEVELTLSIGTSSRKKMENNSKSNSQIRKLDSPSSFKSDSDKKRPYWQFQGFKHRQNLNNH >KJB57093 pep chromosome:Graimondii2_0_v6:9:11229560:11233401:-1 gene:B456_009G147900 transcript:KJB57093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIKETLSNISDSAKHISFTKKHKKIFLALFASLVIVAAIIGIVAGVSSRNNSDESDTSHHAIVKSACSGTFYPDLCFSAVTTVPAGTAKKVRSQKDVIELSLNITTTAVEHNYFKIKKLLARKDLTTREKTALHDCLETIDETLDELHEAVEDLHEYPNKKSLTQHADDLKTLMSAAMTNQETCLDGFSHEGADKKIREVLIDGEKYVEKMCSNALAMIKNMTDTDIANEMMLKSSNRKLKEDESGIAWPEWLSAGDRRLLQSSSVTPNVVVAADGSGNFKTLSEAVAKAPEKSSKRYIIRIKAGVYRENVEVPKKKSNIMFIGDGRTKTIITGSRNVVDGSTTFHSATVAVVGEKFLARDITFQNTAGPSKHQAVALRVGSDLSAFYNCDMLAYQDTLYVHSNRQFYVNCLVAGTVDFIFGNAAAVFQNCDIHARKPNSGQKNMVTAQGRTDPNQNTGIVIQKCRIGATSDLQPVRKNFPTYLGRPWKEYSRTVVMQSTISDVIQPAGWHEWSGSFALKTLFYAEYQNTGAGASTSARVKWGGYKVITSASEAQAFTPGRFIAGGSWLSSTGFPFALGL >KJB55070 pep chromosome:Graimondii2_0_v6:9:4405005:4405346:-1 gene:B456_009G061800 transcript:KJB55070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLNPIFCGIEMAMRIKSGSSVISNDSGSFGVKDDGVEIMWQRDKAEVTEIAGFTADSNNVSESDYNDEYTKEKSTFHGKRIQRRGNEKRFGFSMFEL >KJB53478 pep chromosome:Graimondii2_0_v6:9:19029601:19030212:1 gene:B456_009G239000 transcript:KJB53478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSLHTSAKKEKHKTNNEKLHHQCIYIYTAPINTSQQLRLKRQWARINTQLIHSKETELSTRKTFLYLITG >KJB56560 pep chromosome:Graimondii2_0_v6:9:9411086:9412046:1 gene:B456_009G125100 transcript:KJB56560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPQQRYRGVRQRHWGSWVSEIRHPILKTRIWLGTFETAEDAARAYDEAARLMCGAKTRTNFPYNPNDSQSASSKLLSATLAAKLHKCHMAALQLAKKNAAKDPSATQCGHPLAAPSYTVTGSNIGEMDCRQHIPGKKWDGYEESQVGGEQQFVPLEDDHIEQMIEELLDYGSIELSSN >KJB61226 pep chromosome:Graimondii2_0_v6:9:42237893:42243822:1 gene:B456_009G346600 transcript:KJB61226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRPEGSAVPKLRSSMKNKKKKKQNRKRRKSFEKRVSNGLTDPFSDEFCSWDAPPDHHSSFSNPTFQGSEELWFDPVAVFESDYDEDFESVQEDVLSLNGLEGVSVSSISSLTDANCRDHSSLVYHTQKPGDVNSRTKSDGPSNEAKEPVFLDDIVSSVDEGPGKEDGLLDDCGILPSNCLPCLASTVPSIEKRSLSSSPPSARKKPALKISFKWKEENANAILLSSKMLLQRPKAGSQVPFCPIEKKMFECWSHIDPGTFKVRGENYFRDKKKDYAPNHAAYYPFGVDVFLSPQKIDHIARFVELPVISHSEKLPSILVVNVQIPLYPAALFQSETDGEGMSFVLYFKLSDSYSKELPLHFQENFRRLIDDEVEKVKGFPMDTIAPFRERLKILGRIANVEDLHMGAAERKLMQAYNEKPFLSRPQHEFYLGNRPEELPEQILCCLRLSGIDYMNYHQLGLIQEPSYVSAEKWCNAYSYDLIKR >KJB61227 pep chromosome:Graimondii2_0_v6:9:42237893:42244258:1 gene:B456_009G346600 transcript:KJB61227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRPEGSAVPKLRSSMKNKKKKKQNRKRRKSFEKRVSNGLTDPFSDEFCSWDAPPDHHSSFSNPTFQGSEELWFDPVAVFESDYDEDFESVQEDVLSLNGLEGVSVSSISSLTDANCRDHSSLVYHTQKPGDVNSRTKSDGPSNEAKEPVFLDDIVSSVDEGPGKEDGLLDDCGILPSNCLPCLASTVPSIEKRSLSSSPPSARKKPALKISFKWKEENANAILLSSKMLLQRPKAGSQVPFCPIEKKMFECWSHIDPGTFKVRGENYFRDKKKDYAPNHAAYYPFGVDVFLSPQKIDHIARFVELPVISHSEKLPSILVVNVQIPLYPAALFQSETDGEGMSFVLYFKLSDSYSKELPLHFQENFRRLIDDEVEKVKGFPMDTIAPFRERLKILGRIANVEDLHMGAAERKLMQAYNEKPFLSRPQHEFYLGNRPEELPEQILCCLRLSGIDYMNYHQLGLIQEPSYNF >KJB61224 pep chromosome:Graimondii2_0_v6:9:42237740:42244597:1 gene:B456_009G346600 transcript:KJB61224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRPEGSAVPKLRSSMKNKKKKKQNRKRRKSFEKRVSNGLTDPFSDEFCSWDAPPDHHSSFSNPTFQGSEELWFDPVAVFESDYDEDFESVQEDVLSLNGLEGVSVSSISSLTDANCRDHSSLVYHTQKPGDVNSRTKSDGPSNEAKEPVFLDDIVSSVDEGPGKEDGLLDDCGILPSNCLPCLASTVPSIEKRSLSSSPPSARKKPALKISFKWKEENANAILLSSKMLLQRPKAGSQVPFCPIEKKMFECWSHIDPGTFKVRGENYFSRDKKKDYAPNHAAYYPFGVDVFLSPQKIDHIARFVELPVISHSEKLPSILVVNVQIPLYPAALFQSETDGEGMSFVLYFKLSDSYSKELPLHFQENFRRLIDDEVEKVKGFPMDTIAPFRERLKILGRIANVEDLHMGAAERKLMQAYNEKPFLSRPQHEFYLARMLGENYLEIDIDMHRFSYISRKGFDAFLDRLKLCILDVGLTIQESSDISFKTSISPSPFL >KJB61229 pep chromosome:Graimondii2_0_v6:9:42237893:42244258:1 gene:B456_009G346600 transcript:KJB61229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRPEGSAVPKLRSSMKNKKKKKQNRKRRKSFEKRVSNGLTDPFSDEFCSWDAPPDHHSSFSNPTFQGSEELWFDPVAVFESDYDEDFESVQEDVLSLNGLEGVSVSSISSLTDANCRDHSSLVYHTQKPGDVNSRTKSDGPSNEAKEPVFLDDIVSSVDEGPGKEDGLLDDCGILPSNCLPCLASTVPSIEKRSLSSSPPSARKKPALKISFKWKEENANAILLSSKMLLQRPKAGSQVPFCPIEKKMFECWSHIDPGTFKVRGENYFSRDKKKDYAPNHAAYYPFGVDVFLSPQKIDHIARFVELPVISHSEKLPSILVVNVQIPLYPAALFQSETDGEGMSFVLYFKLSDSYSKELPLHFQENFRRLIDDEVEKVKGFPMDTIAPFRERLKILGRIANVEDLHMGAAERKLMQAYNEKPFLSRPQHEFYLAQNYLEIDIDMHRFSYISRKGFDAFLDRLKLCILDVGLTIQERE >KJB61223 pep chromosome:Graimondii2_0_v6:9:42237724:42244409:1 gene:B456_009G346600 transcript:KJB61223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRPEGSAVPKLRSSMKNKKKKKQNRKRRKSFEKRVSNGLTDPFSDEFCSWDAPPDHHSSFSNPTFQGSEELWFDPVAVFESDYDEDFESVQEDVLSLNGLEGVSVSSISSLTDANCRDHSSLVYHTQKPGDVNSRTKSDGPSNEAKEPVFLDDIVSSVDEGPGKEDGLLDDCGILPSNCLPCLASTVPSIEKRSLSSSPPSARKKPALKISFKWKEENANAILLSSKMLLQRPKAGSQVPFCPIEKKMFECWSHIDPGTFKVRGENYFRDKKKDYAPNHAAYYPFGVDVFLSPQKIDHIARFVELPVISHSEKLPSILVVNVQIPLYPAALFQSETDGEGMSFVLYFKLSDSYSKELPLHFQENFRRLIDDEVEKVKGFPMDTIAPFRERLKILGRIANVEDLHMGAAERKLMQAYNEKPFLSRPQHEFYLARMLGENYLEIDIDMHRFSYISRKGFDAFLDRLKLCILDVGLTIQESSDISFKTSISPSPFL >KJB61225 pep chromosome:Graimondii2_0_v6:9:42237893:42242839:1 gene:B456_009G346600 transcript:KJB61225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRPEGSAVPKLRSSMKNKKKKKQNRKRRKSFEKRVSNGLTDPFSDEFCSWDAPPDHHSSFSNPTFQGSEELWFDPVAVFESDYDEDFESVQEDVLSLNGLEGVSVSSISSLTDANCRDHSSLVYHTQKPGDVNSRTKSDGPSNEAKEPVFLDDIVSSVDEGPGKEDGLLDDCGILPSNCLPCLASTVPSIEKRSLSSSPPSARKKPALKISFKWKEENANAILLSSKMLLQRPKAGSQVPFCPIEKKMFECWSHIDPGTFKVRGENYFRDKKKDYAPNHAAYYPFGVDVFLSPQKIDHIARFVELPVISHSEKLPSILVVNVQIPLYPAALFQSETDGEGMSFVLYFKLSDSYSKELPLHFQENFRRLIDDEVEKVKGFPMDTIAPFRERLKILGRIANVEDLHMGAAERKLMQAYNEKPFLSRPQHEFYLARMLVCLRDGNRYYRFQYLHISNPFSFFLFIYLFRYYNYYM >KJB61228 pep chromosome:Graimondii2_0_v6:9:42237893:42244258:1 gene:B456_009G346600 transcript:KJB61228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRPEGSAVPKLRSSMKNKKKKKQNRKRRKSFEKRVSNGLTDPFSDEFCSWDAPPDHHSSFSNPTFQGSEELWFDPVAVFESDYDEDFESVQEDVLSLNGLEGVSVSSISSLTDANCRDHSSLVYHTQKPGDVNSRTKSDGPSNEAKEPVFLDDIVSSVDEGPGKEDGLLDDCGILPSNCLPCLASTVPSIEKRSLSSSPPSARKKPALKISFKWKEENANAILLSSKMLLQRPKAGSQVPFCPIEKKMFECWSHIDPGTFKVRGENYFRDKKKDYAPNHAAYYPFGVDVFLSPQKIDHIARFVELPVISHSEKLPSILVVNVQIPLYPAALFQSETDGEGMSFVLYFKLSDSYSKELPLHFQENFRRLIDDEVEKVKGFPMDTIAPFRERLKILGRIANVEDLHMGAAERKLMQAYNEKPFLSRPQHEFYLARMLGENYLEIDIDMHRFSYISRKGFDAFLDRLKLCILDVGLTIQERE >KJB61016 pep chromosome:Graimondii2_0_v6:9:36030757:36034000:-1 gene:B456_009G335800 transcript:KJB61016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKTWPDRSDGVRFTAFPLSKPNDNEENIILSRRSKIGSKPSLSPTDGPDFSALPFDILTKIAASFNFPNLLAASLVCRSWRDALRPLREAMMLLRYGKRFKHGRGGVRRNLDKALDSFLKGAARGSTLAMVDAGLVYWERGLKEEAIALYQKAAALGDPAGQCNLGISYLHAQPQNHKEAVKWLHQASIGGYTRAQYQLALCLHQGLGVHRNLYEAAKWYLKAAEGGYVRAMYNASLCYSSGVGLSQSRRQARKWMRRAADRGHSKAQFEHGLALFSEGEMMKAVVYLELATRSGETAATHVKNVILQQLSATSRERALLLADNWRALPSSR >KJB61017 pep chromosome:Graimondii2_0_v6:9:36031064:36033895:-1 gene:B456_009G335800 transcript:KJB61017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKTWPDRSDGVRFTAFPLSKPNDNEENIILSRRSKIGSKPSLSPTDGPDFSALPFDILTKIAASFNFPNLLAASLVCRSWRDALRPLREAMMLLRYGKRFKHGRGGVRRNLDKALDSFLKGAARGSTLAMVDAGLVYWERGLKEEAIALYQKAAALGDPAGQCNLGISYLHAQPQNHKEAVKWLHQASIGGYTRAQYQLALCLHQGLGVHRNLYEAAKWYLKAAEGGYVRAMYNASLCYSSGVGLSQSRRQARKWMRRAADRGHSKAQFEHGLALFSEGEMMKAVVYLELATRSGETAATHVKNVILQQLSATSRERALLLADNWRALPSSR >KJB54431 pep chromosome:Graimondii2_0_v6:9:2511386:2524113:1 gene:B456_009G033700 transcript:KJB54431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSKFDLSSGSPDRPLYTSGQRGAYLAAQLDRSGSFRETMENQILPSLSGMSRSGSVVAQEDVSNFFQCLRFNPKVVASDHKSSRQGDFKRHINVALGISADESPTVLSKGKLLPSPIPEEIKRLKAGLRDSSVKARERMKTFNEALSVFNKFFPSIPSKKRSRSDSFSGDRCNALLSSGRSILGPTIGKMGMHNLSVAGDFEFEQQKSEERPKNVVPSKRTRTSLVDVRMDMRNNALVKQPGNADRERELLRVSNSGAVQGEDRTVSGGIDGWEKAKMKKKRSGIKIDVSPSMVSAKPVEGYRESKQGIQQRAVSDARSRLNNESHGFRSGIANGSVGVGKSEGISPPTGLGPRSSNPRSDPDNSSPLSDRRDRPVASDKERVNIRAVNKMNVCDEFSSGSPTSSSKMNASVRGPRSGSGVGPKLSPIVHRVASNDWELSHCTNKPPTAGGANNRKRTTSARSSSLPVTHWASQRPLKSSRSARRTNIVPIVSSNDETPSLDAMSDMAGDETGSGFARCLSSNSPRQVKLKDQKAGRNVQKVSPLVLPSRKNKLMTGEDKGDAVRRQGRTGRGITSSRSLMPMTVEKFGNVGTAKQLRSARLGLDKAESKAGRPPTRKLTDRKAYARQRHVAISAAADFLVGLEDGHEELVAAVNNLINSAHAFPNSFWRQMEPFFGFISDADIAYLKQQGNFELAKLGSTPVPSITNGCHTISSGCGLLEQERDGRIAAVIPDGEVLSPQLVLDTGDKNVISLCQRFIAALIPEEVSDCGNEDLPCDSYGTGFEMDGELGSNGLSHIVNFQSTGHTSFNGYRITGKPEHDDSEIGMLRNAEIHSNFSPSLNGTFGNQSMPGSVCSEFQYESMNINEKLLLEAQSVGIFLEPLPDVAQMEDDEICADISKLEEKLNEQVSKKKGLLEKLLETATETRRTQEKGFEQLALDKLVMMAYGKYMSCWGRNAGGKSSSNKTIKLAALAFVKRTLDRYHKFEDTGKSCFDEPMLRDMFLSGFFLNDGQSGKPCSNSSIRSRSSGQNGDSYAVNSPDLLPPTNRLSGQTAVKDDSWSNRVKKRELLLEDVVGGTSLSSNAKGKRSERDREGKGHGREVLSRNGTNKIGRPVSNVKGGRKSKAKPKQKTTQLSVSVNGLLEKMPEQPKTSTSVSKLTEITANNNAGEKDEFSLDALDDLQLPGQDLGSWLNIDDDGLQDHDFMGLEIPMDDLSDLNMMV >KJB54430 pep chromosome:Graimondii2_0_v6:9:2511123:2524127:1 gene:B456_009G033700 transcript:KJB54430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSKFDLSSGSPDRPLYTSGQRGAYLAAQLDRSGSFRETMENQILPSLSGMSRSGSVVAQEDVSNFFQCLRFNPKVVASDHKSSRQGDFKRHINVALGISADESPTVLSKGKLLPSPIPEEIKRLKAGLRDSSVKARERMKTFNEALSVFNKFFPSIPSKKRSRSDSFSGDRCNALLSSGRSILGPTIGKMGMHNLSVAGDFEFEQQKSEERPKNVVPSKRTRTSLVDVRMDMRNNALVKQPGNADRERELLRVSNSGAVQGEDRTVSGGIDGWEKAKMKKKRSGIKIDVSPSMVSAKPVEGYRESKQGIQQRAVSDARSRLNNESHGFRSGIANGSVGVGKSEGISPPTGLGPRSSNPRSDPDNSSPLSDRRDRPVASDKERVNIRAVNKMNVCDEFSSGSPTSSSKMNASVRGPRSGSGVGPKLSPIVHRVASNDWELSHCTNKPPTAGGANNRKRTTSARSSSLPVTHWASQRPLKSSRSARRTNIVPIVSSNDETPSLDAMSDMAGDETGSGFARCLSSNSPRQVKLKGDALSSATLSESEESGAAEIKSKEKVKFSDEIDQKAGRNVQKVSPLVLPSRKNKLMTGEDKGDAVRRQGRTGRGITSSRSLMPMTVEKFGNVGTAKQLRSARLGLDKAESKAGRPPTRKLTDRKAYARQRHVAISAAADFLVGLEDGHEELVAAVNNLINSAHAFPNSFWRQMEPFFGFISDADIAYLKQQGNFELAKLGSTPVPSITNGCHTISSGCGLLEQERDGRIAAVIPDGEVLSPQLVLDTGDKNVISLCQRFIAALIPEEVSDCGNEDLPCDSYGTGFEMDGELGSNGLSHIVNFQSTGHTSFNGYRITGKPEHDDSEIGMLRNAEIHSNFSPSLNGTFGNQSMPGSVCSEFQYESMNINEKLLLEAQSVGIFLEPLPDVAQMEDDEICADISKLEEKLNEQVSKKKGLLEKLLETATETRRTQEKGFEQLALDKLVMMAYGKYMSCWGRNAGGKSSSNKTIKLAALAFVKRTLDRYHKFEDTGKSCFDEPMLRDMFLSGFFLNDGQSGKPCSNSSIRSRSSGQNGDSYAVNSPDLLPPTNRLSGQTAVKDDSWSNRVKKRELLLEDVVGGTSLSSNAKGKRSERDREGKGHGREVLSRNGTNKIGRPVSNVKGGRKSKAKPKQKTTQLSVSVNGLLEKMPEQPKTSTSVSKLTEITANNNAGEKDEFSLDALDDLQLPGQDLGSWLNIDDDGLQDHDFMGLEIPMDDLSDLNMMV >KJB54433 pep chromosome:Graimondii2_0_v6:9:2514592:2519026:1 gene:B456_009G033700 transcript:KJB54433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSKFDLSSGSPDRPLYTSGQRGAYLAAQLDRSGSFRETMENQILPSLSGMSRSGSVVAQEDVSNFFQCLRFNPKVVASDHKSSRQGDFKRHINVALGISADESPTVLSKGKLLPSPIPEEIKRLKAGLRDSSVKARERMKTFNEALSVFNKFFPSIPSKKRSRSDSFSGDRCNALLSSGRSILGPTIGKMGMHNLSVAGDFEFEQQKSEERPKNVVPSKRTRTSLVDVRMDMRNNALVKQPGNADRERELLRVSNSGAVQGEDRTVSGGIDGWEKAKMKKKRSGIKIDVSPSMVSAKPVEGYRESKQGIQQRAVSDARSRLNNESHGFRSGIANGSVGVGKSEGISPPTGLGPRSSNPRSDPDNSSPLSDRRDRPVASDKERVNIRAVNKMNVCDEFSSGSPTSSSKMNASVRGPRSGSGVGPKLSPIVHRVASNDWELSHCTNKPPTAGGANNRKRTTSARSSSLPVTHWASQRPLKSSRSARRTNIVPIVSSNDETPSLDAMSDMAGDETGSGFARCLSSNSPRQVKLKGDALSSATLSESEESGAAEIKSKEKVKFSDEIDQKAGRNVQKVSPLVLPSRKNKLMTGEDKGDAVRRQGRTGRGITSSRSLMPMTVEKFGNVGTAKQLRSARLGLDKAESKAGRPPTRKLTDRKAYARQRHVAISAAADFLGSYASN >KJB54432 pep chromosome:Graimondii2_0_v6:9:2514533:2520925:1 gene:B456_009G033700 transcript:KJB54432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSKFDLSSGSPDRPLYTSGQRGAYLAAQLDRSGSFRETMENQILPSLSGMSRSGSVVAQEDVSNFFQCLRFNPKVVASDHKSSRQGDFKRHINVALGISADESPTVLSKGKLLPSPIPEEIKRLKAGLRDSSVKARERMKTFNEALSVFNKFFPSIPSKKRSRSDSFSGDRCNALLSSGRSILGPTIGKMGMHNLSVAGDFEFEQQKSEERPKNVVPSKRTRTSLVDVRMDMRNNALVKQPGNADRERELLRVSNSGAVQGEDRTVSGGIDGWEKAKMKKKRSGIKIDVSPSMVSAKPVEGYRESKQGIQQRAVSDARSRLNNESHGFRSGIANGSVGVGKSEGISPPTGLGPRSSNPRSDPDNSSPLSDRRDRPVASDKERVNIRAVNKMNVCDEFSSGSPTSSSKMNASVRGPRSGSGVGPKLSPIVHRVASNDWELSHCTNKPPTAGGANNRKRTTSARSSSLPVTHWASQRPLKSSRSARRTNIVPIVSSNDETPSLDAMSDMAGDETGSGFARCLSSNSPRQVKLKGDALSSATLSESEESGAAEIKSKEKVKFSDEIDQKAGRNVQKVSPLVLPSRKNKLMTGEDKGDAVRRQGRTGRGITSSRSLMPMTVEKFGNVGTAKQLRSARLGLDKAESKAGRPPTRKLTDRKAYARQRHVAISAAADFLVGLEDGHEELVAAVNNLINSAHAFPNSFWRQMEPFFGFISDADIAYLKQQGNFELAKLGSTPVPSITNGCHTISSGCGLLEQERDGRIAAVIPDGEVLSPQLVLDTGDKNVISLCQRFIAALIPEEVSDCGNEDLPCDSYGTGFEMDGELGSNGLSHIVNFQSTGHTSFNGYRITGKPEHDDSEIGMLRNAEIHSNFSPSLNGTFGNQSMPGSVCSEFQYESMNINEKLLLEAQSVGIFLEPLVGLLLLEYLL >KJB54633 pep chromosome:Graimondii2_0_v6:9:3097707:3100381:1 gene:B456_009G042400 transcript:KJB54633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIQVPYDVTVRFMLTSLERNLLPDAIIRRLTRLLLASRLRSGYKPSTELQLSNLLQFAHSLKEMPIAIKTDKPKTQHYELPTSFFKLVLGKNFKYSCCYFSDESKTLEDAEEAMLELYCERSQLKDGQTVLDVGCGWGSLSLYIARKYPNCRVTGICNSTTQKAFIEEQYRDRQLQNVEIIVADISTFEMEASYDRIYSIEMFEHMKNYHDLLKKISKWMKEDSLLFVHYFCHKAFAYHFEDINEDDWITRYFFTGGTMPSANLLLYFQDDVSVVNHWLVNGKHYAKTSEEWLKRMDRSLASIKPIMESTYGKDQAVKWTVYWRTFFIAVAELFGYNNGEEWMVALFLFNKK >KJB54632 pep chromosome:Graimondii2_0_v6:9:3097707:3100025:1 gene:B456_009G042400 transcript:KJB54632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIQVPYDVTVRFMLTSLERNLLPDAIIRRLTRLLLASRLRSGYKPSTELQLSNLLQFAHSLKEMPIAIKTDKPKTQHYELPTSFFKLVLGKNFKYSCCYFSDESKTLEDAEEAMLELYCERSQLKDGQTVLDVGCGWGSLSLYIARKYPNCRVTGICNSTTQKAFIEEQYRDRQLQNVEIIVADISTFEMEASYDRIYSIEMFEHMKNYHDLLKKISKWMKEDSLLFVHYFCHKAFAYHFEVCKII >KJB54635 pep chromosome:Graimondii2_0_v6:9:3097707:3102000:1 gene:B456_009G042400 transcript:KJB54635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIQVPYDVTVRFMLTSLERNLLPDAIIRRLTRLLLASRLRSGYKPSTELQLSNLLQFAHSLKEMPIAIKTDKPKTQHYELPTSFFKLVLGKNFKYSCCYFSDESKTLEDAEEAMLELYCERSQLKDGQTVLDVGCGWGSLSLYIARKYPNCRVTGICNSTTQKAFIEEQYRDRQLQNVEIIVADISTFEMEASYDRIYSIEMFEHMKNYHDLLKKISKWMKEDSLLFVHYFCHKAFAYHFEDINEDDWITRYFFTGGTMPSANLLLYFQDDVSVVNHWLVNGKHYAKTSEEWLKRMDRSLASIKPIMESTYGKDQAVKWTVYWRTFFIAVAELFGYNNGEEWMVALFLFNKK >KJB54631 pep chromosome:Graimondii2_0_v6:9:3097707:3099442:1 gene:B456_009G042400 transcript:KJB54631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIQVPYDVTVRFMLTSLERNLLPDAIIRRLTRLLLASRLRSGYKPSTELQLSNLLQFAHSLKEMPIAIKTDKPKTQHYELPTSFFKLVLGKNFKYSCCYFSDESKTLEDAEEAMLELYCERSQLKDGQTVLDVGCGWGSLSLYIARKYPNCRVTGICNSTTQKAFIEEQYRDRQLQNVEIIVADISTFEMEASYDRIYSIEMFEVHCFRLFVQSVLLHSFNVGDLKSYNFCFLTAYEELSRSSQEDIKVDERG >KJB54634 pep chromosome:Graimondii2_0_v6:9:3097707:3102000:1 gene:B456_009G042400 transcript:KJB54634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIQVPYDVTVRFMLTSLERNLLPDAIIRRLTRLLLASRLRSGYKPSTELQLSNLLQFAHSLKEMPIAIKTDKPKTQHYELPTSFFKLVLGKNFKYSCCYFSDESKTLEDAEEAMLELYCERSQLKDGQTVLDVGCGWGSLSLYIARKYPNCRVTGICNSTTQKAFIEEQYRDRQLQNVEIIVADISTFEMEASYDRIYSIEMFEHMKNYHDLLKKISKWMKEDSLLFVHYFCHKAFAYHFEEVQCLQLICFYISRMMFLLSTIGL >KJB56380 pep chromosome:Graimondii2_0_v6:9:8628265:8633063:-1 gene:B456_009G117300 transcript:KJB56380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSPAQDDALKKLEYLSLVSKVSSELESHVGFSDKVLAEFITDMGRHSETVDEFDVKLKENGAELPDYFVRTLLTIIHAILPPKPKAEKESKKAIAGDGKKSKFKALAIADDKDRAKELEKEIEMETRDLKKVEGRDRDRGRDRYRRDSDRVRDIDRDKDRSRHRDRYTEDADDDRMDYGSRGRNRDRTRHNRDGDEDNRDYRNRGRIRDRDNVEGDEGGDKRSNGRYKDNEPELYKVYQGRVSRVMDSGCFVQLNDLRGKEGLVHVSQMASRRIANAKDVVKRDQDVYVKVISVSGQKLSLSMRDVDQNTGKDLLPLKKTSDDYSLRINPSGGKEGPVMRTGLSGIRIVEDEDTAPSRRPLKRMSSPERWEAKQLIASGVLRLDEYPMYDEDGDGMLYEEEGAEEELEIEMNEDEPAFLQGQTRYSVDLSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELVQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTKGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILIDEDLSQYSVIMLDEAHERTIHTDVLFGLLKQLLRRRADLRLIVTSATLDAEKFSGYFFDCSIFSIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIFYVVDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTSIPEIQRINLGMTTLTMKAMGINDLLSFDFMDPPAPQALLSAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFMKIRKAITAGFFFHAARKDPQEGYRTVVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKQADPSKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >KJB60637 pep chromosome:Graimondii2_0_v6:9:29828587:29829233:1 gene:B456_009G316200 transcript:KJB60637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESVTNSGDFYHKEMSDSPQTSPSRNNAYPPSGKPVGGSHAGAGQDSPQSANTRHASPPSVMVLAENDKPDKQNSHANSHLEHQQRVPSDPPKDSSSTLSYPSENHLSQKNHKVTEPKAAYEKRTFHPRQDPPLPETGLIKPDGYPPPGQPTSPSKLEPQPKKGKTWLEKICCCCCCCC >KJB56585 pep chromosome:Graimondii2_0_v6:9:10108392:10109979:1 gene:B456_009G134200 transcript:KJB56585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPTGIVRNLRRSLSSSEPVRVFPASAPMGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAFPAARKRTYNWSVKAIRRKTTGTGRMRYLRHVPRRFKTGFREGTEAAPRKKVAAASA >KJB56587 pep chromosome:Graimondii2_0_v6:9:10108494:10109962:1 gene:B456_009G134200 transcript:KJB56587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVRNLRRSLSSSEPVRVFPASAPMGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAFPAARKRTYNWSVKAIRRKTTGTGRMRYLRHVPRRFKTGFREGNELLILFADGFIILSFFSFCFVQSISLDFVSIFNSGVGSWSRY >KJB56586 pep chromosome:Graimondii2_0_v6:9:10108483:10109962:1 gene:B456_009G134200 transcript:KJB56586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FQLVLLETSAALFLLQSQLGFFLRQLQCGNVQGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAFPAARKRTYNWSVKAIRRKTTGTGRMRYLRHVPRRFKTGFREGTEAAPRKKVAAASA >KJB56584 pep chromosome:Graimondii2_0_v6:9:10108482:10109962:1 gene:B456_009G134200 transcript:KJB56584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAFPAARKRTYNWSVKAIRRKTTGTGRMRYLRHVPRRFKTGFREGTEAAPRKKVAAASA >KJB57501 pep chromosome:Graimondii2_0_v6:9:13312942:13315145:1 gene:B456_009G172600 transcript:KJB57501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSHLNRGQMTLMGSAFCVVLSLHFTLQLVSQHLFYWKNPKEQKAILIIILMAPIYAAVSFVGLSDIRGSKAFFMFLESVKECYEAFVIAKFMALMYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPRTVRLNHHTLKLLKYWTWQFVVIRPVCSVLMITLQLLQFYPSWLSWTFTIILNVSVSLALYSLVAFYHVFAKELEPHKPLAKFLCIKGIVFFCFWQGVVLDILVAVGIIRANHFWLDVEHLEEALQNVLVCLEMVVFAVLQQYAYHVYPYSGETEAKLKLGKKLE >KJB57502 pep chromosome:Graimondii2_0_v6:9:13312925:13315145:1 gene:B456_009G172600 transcript:KJB57502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSHLNRGQMTLMGSAFCVVLSLHFTLQLVSQHLFYWKNPKEQKAILIIILMAPIYAAVSFVGLSDIRGSKAFFMFLESVKECYEAFVIAKFMALMYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPRTVRLNHHTLKLLKYWTWQFVVIRPVCSVLMITLQLLQFYPSWLSWTFTIILNVSVSLALYSLVAFYHVFAKELEPHKPLAKFLCIKGIVFFCFWQGVVLDILVAVGIIRANHFWLDVEHLEEALQNVLVCLEMVVFAVLQQYAYHVYPYSGETEAKLKLGKKLE >KJB57500 pep chromosome:Graimondii2_0_v6:9:13312700:13315145:1 gene:B456_009G172600 transcript:KJB57500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSHLNRGQMTLMGSAFCVVLSLHFTLQLVSQHLFYWKNPKEQKAILIIILMAPIYAAVSFVGLSDIRGSKAFFMFLESVKECYEAFVIAKFMALMYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPRTVRLNHHTLKLLKYWTWQFVVIRPVCSVLMITLQLLQFYPSWLSWTFTIILNVSVSLALYSLVAFYHVFAKELEPHKPLAKFLCIKGIVFFCFWQGVVLDILVAVGIIRANHFWLDVEHLEEALQNVLVCLEMVVFAVLQQYAYHVYPYSGETEAKLKLGKKLE >KJB55830 pep chromosome:Graimondii2_0_v6:9:7275902:7278144:1 gene:B456_009G100700 transcript:KJB55830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRIIILSSGKYNSFIFNTNTPNFSEGGFFNAIMSFPPDYPNSPPTMKFTTDVWHPNVYTDGTVCISILHPPGDDPNGYELASERWMPIHTVESIVLSIISMLSSPNDESPANVEAAKEWRESRDEFRKKVSRCVRRSQEML >KJB55826 pep chromosome:Graimondii2_0_v6:9:7275769:7278144:1 gene:B456_009G100700 transcript:KJB55826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQASLLLQKQLKDLCKNPVDGFSAGLVDDSNVFEWSVTIVGPPDTFYEGGFFNAIMSFPPDYPNSPPTMKFTTDVWHPNVYTDGTVCISILHPPGDDPNGYELASERWMPIHTKEWRESRDEFRKKVSRCVRRSQEML >KJB55829 pep chromosome:Graimondii2_0_v6:9:7275902:7278144:1 gene:B456_009G100700 transcript:KJB55829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRIIILSSGKYNSFIFNTNTPNFSEGGFFNAIMSFPPDYPNSPPTMKFTTDVWHPNVYTDGTVCISILHPPGDDPNGYELASERWMPIHTEWRESRDEFRKKVSRCVRRSQEML >KJB55828 pep chromosome:Graimondii2_0_v6:9:7276617:7276982:1 gene:B456_009G100700 transcript:KJB55828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRIIILSSGKYNSFIFNTNTPNFSEGGFFNAIMSFPPDYPNSPPTMKFTTDVWHPNVYTDGTVCISILHPPGDDPNGYELASERWMPIHTVCL >KJB55827 pep chromosome:Graimondii2_0_v6:9:7275769:7278144:1 gene:B456_009G100700 transcript:KJB55827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQASLLLQKQLKDLCKNPVDGFSAGLVDDSNVFEWSVTIVGPPDTFYEGGFFNAIMSFPPDYPNSPPTMKFTTDVWHPNVYTDGTVCISILHPPGDDPNGYELASERWMPIHTVESIVLSIISMLSSPNDESPANVEAAKEWRESRDEFRKKVSRCVRRSQEML >KJB62084 pep chromosome:Graimondii2_0_v6:9:57397573:57399757:1 gene:B456_009G400200 transcript:KJB62084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLIRNKQGVPSTLLTRFLNSPSSLLTLPYYSTSKRSLRTLAYEEIQANPNKPYTHTAFILHGLLGSARNWRSFSRTLVSSLSDSPSEWRMVLVDLRNHGNSAEMEGLDPPHDLVNAAKDLGNLINSKGWESPDVVIGHSMGGKVGLQFAKSCGNRDYGENVKLPKQLWVLDSVPGEVTSDNSDGEVEKVLQTLQSLPSTVPSRKWLVNHMLDLGFSKSLSEWIGTNLKKAGGEETWAFNLEGAVQMFHSYR >KJB62085 pep chromosome:Graimondii2_0_v6:9:57397573:57399757:1 gene:B456_009G400200 transcript:KJB62085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLIRNKQGVPSTLLTRFLNSPSSLLTLPYYSTSKRSLRTLAYEEIQANPNKPYTHTAFILHGLLGSARNWRSFSRTLVSSLSDSPSEWRMVLVDLRNHGNSAEMEGLDPPHDLVNAAKDLGNLINSKGWESPDVVIGHSMGGKVGLQFAKSCGNRDYGENVKLPKQLWVLDSVPGEVTSDNSDGEVEKVLQTLQSLPSTVPSRK >KJB62083 pep chromosome:Graimondii2_0_v6:9:57397573:57399757:1 gene:B456_009G400200 transcript:KJB62083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLIRNKQGVPSTLLTRFLNSPSSLLTLPYYSTSKRSLRTLAYEEIQANPNKPYTHTAFILHGLLGSARNWRSFSRTLVSSLSDSPSEWRMVLVDLRNHGNSAEMEGLDPPHDLVNAAKDLGNLINSKGWESPDVVIGHSMGGKVGLQFAKSCGNRDYGENVKLPKQNSFGYSILSLEK >KJB62082 pep chromosome:Graimondii2_0_v6:9:57397502:57399797:1 gene:B456_009G400200 transcript:KJB62082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLIRNKQGVPSTLLTRFLNSPSSLLTLPYYSTSKRSLRTLAYEEIQANPNKPYTHTAFILHGLLGSARNWRSFSRTLVSSLSDSPSEWRMVLVDLRNHGNSAEMEGLDPPHDLVNAAKDLGNLINSKGWESPDVVIGHSMGGKVGLQFAKSCGNRDYGENVKLPKQLWVLDSVPGEVTSDNSDGEVEKVLQTLQSLPSTVPSRKWLVNHMLDLGFSKSLSEWIGTNLKKAGGEETWAFNLEGAVQMFHSYRELSYWSLLEHPPKGLEISIVRAEKSDRWDADVITRLESLASPEGDGSAGKISVHVLPSSGHWVHVDNPKGLLEIMAPKLKSLMP >KJB62519 pep chromosome:Graimondii2_0_v6:9:65694853:65701482:1 gene:B456_009G420800 transcript:KJB62519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFVVILGSSSISSPPTPTNLHHHHRHRSSSKKTNSSFRKLSPSRKQCHPVSPSISTVHSHQHPYPLLSSTARWNHASRRDSPLKYYADLASKLAEDGRLEDFSMTVEMVVASGVNASRFASMLSVELVSKGIASSVQEGKVKSVVQVLRKVEKLGVAPLKLVNEFGLDSLKREFQRILGSGEVEEAVDLLEALRGFQFTIKELVNPSYIVKICVDKRNPNLAIRYSCLLPHAHMLFCSIICEFGKKRDLASALTAYEASKKNLSGPNMYLYRAIIDTCGLCGDYMKSRNIYKDLVNQRVTPNIYVFNSLMNVNAHDLGYTLDLYKDMQNLGITADMASYNILLKACCLAHRVDLAQDIYNEVKDLESTGVLKLDVFTYCTIIKIFADARLWQMTLKIKEDMLSTGVTPNTVTWSSLINASAHAGLVVQAFQLFEEMILSGCEPNSQCCNVLLHACVEASQYDRAFRLFRSWTGSQEGNIDSMLSKKQVNSVSSVSTTSNYITTLHNPSSVKKFSFKPTTATYNILMKACGTDYYHAKALMNEMKSLGLSPNHVSWTILIDICGGSGNVEGAIQILKTMHVTGIKPDVVAYTTAIKVCVESKRLKLAFSLFEEMKRYSVQPNLVTYNTLLRARSKYGSLHEVQQCLAVYQEMRKAGYKSNDIYLKELIEEWCEGVINENNQKQEGLSSCKRTDLERPHSLLLEKIAVHLQMSTAESPAVDLRGLTKVEARIVVLAVLRMIKENYVQGHSVRDDMLIILGVSEKREEAANVISGVKDAAMKLLQDELGLEVLLVEPQVKKDGWVDLQTPGANTVLLEKAGKNSLSSKPLSSTRRPVILQRLKVLRKSLNHWLQKRTDVIRR >KJB62520 pep chromosome:Graimondii2_0_v6:9:65694860:65701440:1 gene:B456_009G420800 transcript:KJB62520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFVVILGSSSISSPPTPTNLHHHHRHRSSSKKTNSSFRKLSPSRKQCHPVSPSISTVHSHQHPYPLLSSTARWNHASRRDSPLKYYADLASKLAEDGRLEDFSMTVEMVVASGVNASRFASMLSVELVSKGIASSVQEGKVKSVVQVLRKVEKLGVAPLKLVNEFGLDSLKREFQRILGSGEVEEAVDLLEALRGFQFTIKELVNPSYIVKICVDKRNPNLAIRYSCLLPHAHMLFCSIICEFGKKRDLASALTAYEASKKNLSGPNMYLYRAIIDTCGLCGDYMKSRNIYKQDLVNQRVTPNIYVFNSLMNVNAHDLGYTLDLYKDMQNLGITADMASYNILLKACCLAHRVDLAQDIYNEVKDLESTGVLKLDVFTYCTIIKIFADARLWQMTLKIKEDMLSTGVTPNTVTWSSLINASAHAGLVVQAFQLFEEMILSGCEPNSQCCNVLLHACVEASQYDRAFRLFRSWTGSQEGNIDSMLSKKQVNSVSSVSTTSNYITTLHNPSSVKKFSFKPTTATYNILMKACGTDYYHAKALMNEMKSLGLSPNHVSWTILIDICGGSGNVEGAIQILKTMHVTGIKPDVVAYTTAIKVCVESKRLKLAFSLFEEMKRYSVQPNLVTYNTLLRARSKYGSLHEVQQCLAVYQEMRKAGYKSNDIYLKELIEEWCEGVINENNQKQEGLSSCKRTDLERPHSLLLEKIAVHLQMSTAESPAVDLRGLTKVEARIVVLAVLRMIKENYVQGHSVRDDMLIILGVSEKREEAANVISGVKDAAMKLLQDELGLEVLLVEPQVKKDGWVDLQTPGANTVLLEKAGKNSLSSKPLSSTRRPVILQRLKVLRKSLNHWLQKRTDVIRR >KJB57539 pep chromosome:Graimondii2_0_v6:9:13020360:13023420:1 gene:B456_009G169100 transcript:KJB57539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDLTSKNVTPRDILSTLKEQDENNVSTLKTGKSFALNNISNELENLFFIHPRSLDIWRAFPHVLIVDIMYKTNKYDLPFVQIVGVTSINKTFSISFAFIINKKEDNYNWALTCLKLTLEECMYPHVIVTDRELALMNACQQVFPDATRLLCRWHITENIKKHYRQSIKSQHEWDSFCVLKYLDQVWLSKYKEMFVSVWIDRHLNFGERTTNRVESQHAKLKKYLCAKNSSLDKFVGCIDQIVKYQLTSIYESFEKSRIILKHRHSLPCFRLLQGFVALEALDILEEELQRSSRHQLDSSNCGCKLRHSCGLPCACMLSVYLNSGKCIQLDSIDVFWRKLDLSLMVFFTEHHTYFSQLSMVFFTEIPYLRFTLFHGSTMDLSVNSSLVTE >KJB56921 pep chromosome:Graimondii2_0_v6:9:10715885:10719356:-1 gene:B456_009G141700 transcript:KJB56921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13860) UniProtKB/Swiss-Prot;Acc:Q93ZM7] MDLRKGINMAVDTVISDLKSRAVMISTPEEITQVATISANGEREIGELIARAMEKVGKEGVITVTDGNTLDNELEVVEGMKLGRGYISPYFVTDQKTQKCELENPLILIHDKKISDINSLVRILELAINKNRPLLLVAEDLESDALQLLILNKHHAGLKVCAIKAPGFGDNRRANLDDLAILTGGEVISEERGLTLDKVKVEMLGTAKRVTVSLDDTIILHGGGDKKLIEERCEQLRTAMDKSSAMFDREKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAVEEGILPGGGVALLYSTKALQNLQTENEDQKRGVQIIQDALKAPTLTIASNAGYDGSLVLGKLLEQDDDNLGFDASKGAYIDMVKAGIIDPLKVIRTALVDAASVSLLLTTTEASVLENPDEKKPPSRMPDIDNDY >KJB56919 pep chromosome:Graimondii2_0_v6:9:10715575:10720964:-1 gene:B456_009G141700 transcript:KJB56919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13860) UniProtKB/Swiss-Prot;Acc:Q93ZM7] MYRIASKLASSISSSTSRKLVCSRIICNRNYVAKDISFGVGARAAMMLGVSEVADAVKVTMGPKGRNVIIESSRGYPKVTKDGVTVAKSIKFKDKAKNVGADLVKQVANATNKVAGDGTTCATVLTQAILLEGCKSLAAGVNVMDLRKGINMAVDTVISDLKSRAVMISTPEEITQVATISANGEREIGELIARAMEKVGKEGVITVTDGNTLDNELEVVEGMKLGRGYISPYFVTDQKTQKCELENPLILIHDKKISDINSLVRILELAINKNRPLLLVAEDLESDALQLLILNKHHAGLKVCAIKAPGFGDNRRANLDDLAILTGGEVISEERGLTLDKVKVEMLGTAKRVTVSLDDTIILHGGGDKKLIEERCEQLRTAMDKSSAMFDREKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAVEEGILPGGGVALLYSTKALQNLQTENEDQKRGVQIIQDALKAPTLTIASNAGYDGSLVLGKLLEQDDDNLGFDASKGAYIDMVKAGIIDPLKVIRTALVDAASVSLLLTTTEASVLENPDEKKPPSRMPDIDNDY >KJB56920 pep chromosome:Graimondii2_0_v6:9:10715589:10720888:-1 gene:B456_009G141700 transcript:KJB56920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13860) UniProtKB/Swiss-Prot;Acc:Q93ZM7] MMLGVSEVADAVKVTMGPKGRNVIIESSRGYPKVTKDGVTVAKSIKFKDKAKNVGADLVKQVANATNKVAGDGTTCATVLTQAILLEGCKSLAAGVNVMDLRKGINMAVDTVISDLKSRAVMISTPEEITQVATISANGEREIGELIARAMEKVGKEGVITVTDGNTLDNELEVVEGMKLGRGYISPYFVTDQKTQKCELENPLILIHDKKISDINSLVRILELAINKNRPLLLVAEDLESDALQLLILNKHHAGLKVCAIKAPGFGDNRRANLDDLAILTGGEVISEERGLTLDKVKVEMLGTAKRVTVSLDDTIILHGGGDKKLIEERCEQLRTAMDKSSAMFDREKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAVEEGILPGGGVALLYSTKALQNLQTENEDQKRGVQIIQDALKAPTLTIASNAGYDGSLVLGKLLEQDDDNLGFDASKGAYIDMVKAGIIDPLKVIRTALVDAASVSLLLTTTEASVLENPDEKKPPSRMPDIDNDY >KJB55175 pep chromosome:Graimondii2_0_v6:9:4806735:4807616:1 gene:B456_009G067300 transcript:KJB55175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKFSRGLLGGFLLLSSLVSLSLSYSADDVSSRRLLKATSNRGIQQYLIPHNKLRAERGLPPLKWSKKLANYASWWAHKRQGDCRLIHSNSNYGENLFWGSGSDWKPRDAVTAWAAEKRNYNRKTNSCRKNKECLHYTQIIWRNSLKVGCAKVVCRTGDTLVSCNYDPHGNVMGQKPF >KJB57813 pep chromosome:Graimondii2_0_v6:9:13994593:13998039:1 gene:B456_009G181800 transcript:KJB57813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCRTKGSAWRTKDMHSILLTNPIALLAVILGSTIIFILFLQPRHLSSSPKSDSMAARSFVLWLHGLGDSGPANEPIKTLFRSSEFRNTKWSFPSAPENPVTCNYGMRMPSWFDIQEIPVTADSPKAESDVLKAVQNVHAMIDKEVAAGTDPNNVFVCGFSQGGALTLASVLLYPKKLGGGAVFSGWVPFNSSMIEKFPEDAKKTPILWSHGMADRTVLFEAGQAGPPFLQQAGVTCEFKVCLSWSWPFNKQRGAAVP >KJB57812 pep chromosome:Graimondii2_0_v6:9:13994530:13998080:1 gene:B456_009G181800 transcript:KJB57812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCRTKGSAWRTKDMHSILLTNPIALLAVILGSTIIFILFLQPRHLSSSPKSDSMAARSFVLWLHGLGDSGPANEPIKTLFRSSEFRNTKWSFPSAPENPVTCNYGMRMPSWFDIQEIPVTADSPKAESDVLKAVQNVHAMIDKEVAAGTDPNNVFVCGFSQGGALTLASVLLYPKKLGGGAVFSGWVPFNSSMIEKFPEDAKKTPILWSHGMADRTVLFEAGQAGPPFLQQAGVTCEFKAYPGLGHSISNEELQFLESWIKTRLQSSS >KJB60044 pep chromosome:Graimondii2_0_v6:9:24656215:24659153:1 gene:B456_009G288100 transcript:KJB60044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETPAQNPPMAAAPLPPTSATVTDSESPAVLEKQDPSPPSHVPAVSVSVTESASTTIAENEEQAPPPEPAALEPDSSDKGSGKEELPPTPPPQAVESESEPPVVTEAPKEENPPAPAAETVVISESESQQPPAPLQQEVVTESESLAAMMEKEETGAPAEPTAAGTTTTTTTSAQEEVAAAVEEKKVPQNLGSFKEESNKEADLSDSERKALEELKQLVREAIDSNLFNSESKSEENPEKEKKQESKEVSIWGIPLLKDERSDVILLKFLRARDFKAKDAFVMIKNTIRWRKEFGIDELLDEDLGDDMEKVVFMHGQDKEGHPVCYNVYGEFQNKELYQKAFSDEEKRMKFLRWRIQLLEKSIRKLDFSPGGVSTIFQVSDLKNSPGPGKKELRLATKQALQVLQDNYPEFVAKQVFINVPWWYLAFYTMISPFMTQRTKSKFVIALPSKSAETLFKYIPPEQVPIQYGGLSVDYCDCNPEFSDADPATEMTVKPGTKQTVEITIFERCILVWEIRVVGWEVSYGAEFVPDAKDSYAVIIQKPTKMTAKDEPVVSQSFKVGELGKVLLTVDNPTSKKKKLLYRFKVKPFCD >KJB60040 pep chromosome:Graimondii2_0_v6:9:24656251:24659153:1 gene:B456_009G288100 transcript:KJB60040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETPAQNPPMAAAPLPPTSATVTDSESPAVLEKQDPSPPSHVPAVSVSVTESASTTIAENEEQAPPPEPAALEPDSSDKGSGKEELPPTPPPQAVESESEPPVVTEAPKEENPPAPAAETVVISESESQQPPAPLQQEVVTESESLAAMMEKEETGAPAEPTAAGTTTTTTTSAQEEVAAAVEEKKVPQNLGSFKEESNKEADLSDSERKALEELKQLVREAIDSNLFNSESKSEENPEKEKKQESKEVSIWGIPLLKDERSDVILLKFLRARDFKAKDAFVMIKNTIRWRKEFGIDELLDEDLGDDMEKVVFMHGQDKEGHPVCYNVYGEFQNKELYQKAFSDEEKRMKFLRWRIQLLEKSIRKLDFSPGGVSTIFQVSDLKNSPGPGKKELRLATKQALQVLQDNYPEFVAKQVFINVPWWYLAFYTMISPFMTQRTKSKFVIALPSKSAETLFKYIPPEQVPIQYGGLSVDYCDCNPEFSDADPATEMTVKPGTKQTVEITIFERCILVWEIRVVGWEVSYGAEFVPDAKDSYAVIIQKPTKMTAKDEPVVSQSFKVGELGKVLLTVDNPTSKKKKLLYRFKVKPFCD >KJB60041 pep chromosome:Graimondii2_0_v6:9:24656390:24657880:1 gene:B456_009G288100 transcript:KJB60041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETPAQNPPMAAAPLPPTSATVTDSESPAVLEKQDPSPPSHVPAVSVSVTESASTTIAENEEQAPPPEPAALEPDSSDKGSGKEELPPTPPPQAVESESEPPVVTEAPKEENPPAPAAETVVISESESQQPPAPLQQEVVTESESLAAMMEKEETGAPAEPTAAGTTTTTTTSAQEEVAAAVEEKKVPQNLGSFKEESNKEADLSDSERKALEELKQLVREAIDSNLFNSESKSEENPEKEKKQESKEVSIWGIPLLKDERSDVILLKFLRARDFKAKDAFVMIKNTIRWRKEFGIDELLDEDLGDDMEKVVFMHGQDKEGHPVCYNVYGEFQNKELYQKAFSDEEKRMKFLRWRIQLLEKSIRKLDFSPGGVSTIFQVSDLKNSPGPGKKELRLATKQALQVLQDNYPEFVAKQVFINVPWWYLAFYTMISPFMTQRTKSKFVIALPSKSAETLFK >KJB60043 pep chromosome:Graimondii2_0_v6:9:24656251:24659153:1 gene:B456_009G288100 transcript:KJB60043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETPAQNPPMAAAPLPPTSATVTDSESPAVLEKQDPSPPSHVPAVSVSVTESASTTIAENEEQAPPPEPAALEPDSSDKGSGKEELPPTPPPQAVESESEPPVVTEAPKEENPPAPAAETVVISESESQQPPAPLQQEVVTESESLAAMMEKEETGAPAEPTAAGTTTTTTTSAQEEVAAAVEEKKVPQNLGSFKEESNKEADLSDSERKALEELKQLVREAIDSNLFNSESKSEENPEKEKKQESKEVSIWGIPLLKDERSDVILLKFLRARDFKAKDAFVMIKNTIRWRKEFGIDELLDEDLGDDMEKVVFMHGQDKEGHPVCYNVYGEFQNKELYQKAFSDEEKRMKFLRWRIQLLEKSIRKLDFSPGGVSTIFQVFINVPWWYLAFYTMISPFMTQRTKSKFVIALPSKSAETLFKYIPPEQVPIQYGGLSVDYCDCNPEFSDADPATEMTVKPGTKQTVEITIFERCILVWEIRVVGWEVSYGAEFVPDAKDSYAVIIQKPTKMTAKDEPVVSQSFKVGELGKVLLTVDNPTSKKKKLLYRFKVKPFCD >KJB60045 pep chromosome:Graimondii2_0_v6:9:24656390:24657667:1 gene:B456_009G288100 transcript:KJB60045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETPAQNPPMAAAPLPPTSATVTDSESPAVLEKQDPSPPSHVPAVSVSVTESASTTIAENEEQAPPPEPAALEPDSSDKGSGKEELPPTPPPQAVESESEPPVVTEAPKEENPPAPAAETVVISESESQQPPAPLQQEVVTESESLAAMMEKEETGAPAEPTAAGTTTTTTTSAQEEVAAAVEEKKVPQNLGSFKEESNKEADLSDSERKALEELKQLVREAIDSNLFNSESKSEENPEKEKKQESKEVSIWGIPLLKDERSDVILLKFLRARDFKAKDAFVMIKNTIRWRKEFGIDELLDEDLGDDMEKVVFMHGQDKEGHPVCYNVYGEFQNKELYQKAFSDEEKRMKFLRWRIQLLEKSIRKLDFSPGGVSTIFQVSDLKNSPGPGKKELRLATKQALQVLQDNYPEFVAKQVCSMSIHI >KJB60042 pep chromosome:Graimondii2_0_v6:9:24656251:24658246:1 gene:B456_009G288100 transcript:KJB60042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETPAQNPPMAAAPLPPTSATVTDSESPAVLEKQDPSPPSHVPAVSVSVTESASTTIAENEEQAPPPEPAALEPDSSDKGSGKEELPPTPPPQAVESESEPPVVTEAPKEENPPAPAAETVVISESESQQPPAPLQQEVVTESESLAAMMEKEETGAPAEPTAAGTTTTTTTSAQEEVAAAVEEKKVPQNLGSFKEESNKEADLSDSERKALEELKQLVREAIDSNLFNSESKSEENPEKEKKQESKEVSIWGIPLLKDERSDVILLKFLRARDFKAKDAFVMIKNTIRWRKEFGIDELLDEDLGDDMEKVVFMHGQDKEGHPVCYNVYGEFQNKELYQKAFSDEEKRMKFLRWRIQLLEKSIRKLDFSPGGVSTIFQVSDLKNSPGPGKKELRLATKQALQVLQDNYPEFVAKQVFINVPWWYLAFYTMISPFMTQRTKSKFVIALPSKSAETLFK >KJB58233 pep chromosome:Graimondii2_0_v6:9:15522392:15526691:1 gene:B456_009G200400 transcript:KJB58233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESICISLKATATASPVNISQGRSNGGTVFWGETIRGGRDFGTQLWKSLRAENGVKKAKPGVAYSVITPEINKETMKFETPKFEAPQADPKNVASIILGGGAGTRLFPLTSQRAKPAVPIGGCYRLIDIPMSNCINSGIKKIFILTQFNSFSLNRHLARTYNFGNGVNFGDGFVEVLAATQTPGEAGKKWFQGTADAVRQFVWVFEDAKAKDVEHVLILSGDHLYRADYMDFVQKHIDSNADITVSCLPMDDSRASDYGLMKIDGTGRIVQFAEKPKGPNLKAMQVDTSILGLSAQDAARYPYIASMGVYVFKTSVLLKLLTRSYPSCNDFGSEIIPSAVKEHNVQAYLFNDYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFYTSPRFLPPTKVDECKIVDSIISHGCFLRECSVQHSIVGVRSRLESSVDLQDTMMMGADYYQTESEIASLLAEGKVPIGVGQNTKIKNCIIDKNAKIGKDVIISNTDAVEEAERPEDGFYIRSGITVIMKNATIRDGTVI >KJB58234 pep chromosome:Graimondii2_0_v6:9:15522848:15526691:1 gene:B456_009G200400 transcript:KJB58234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESICISLKATATASPVNISQGRSNGGTVFWGETIRGGRDFGTQLWKSLRAENGVKKAKPGVAYSVITPEINKETMKFETPKFEAPQADPKNVASIILGGGAGTRLFPLTSQRAKPAVPIGGCYRLIDIPMSNCINSGIKKIFILTQFNSFSLNRHLARTYNFGNGVNFGDGFVEVLAATQTPGEAGKKWFQGTADAVRQFVWVFEDAKAKDVEHVLILSGDHLYRADYMDFVQKHIDSNADITVSCLPMDDSRASDYGLMKIDGTGRIVQFAEKPKGPNLKAMQVDTSILGLSAQDAARYPYIASMGVYVFKTSVLLKLLTRSYPSCNDFGSEIIPSAVKEHNVQAYLFNDYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFYTSPRFLPPTKVDECKIVDSIISHGCFLRECSVQHSIVGVRSRLESSVDLQDTMMMGADYYQTESEIASLLAEGKVPIGVGQNTKIKNCIIDKNAKIGKDVIISNTDAVEEAERPEDGFYIRSGITVIMKNATIRDGTVI >KJB58232 pep chromosome:Graimondii2_0_v6:9:15522838:15526691:1 gene:B456_009G200400 transcript:KJB58232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESICISLKATATASPVNISQGRSNGGTVFWGETIRGGRDFGTQLWKSLRAENGVKKAKPGVAYSVITPEINKETMKFETPKFEAPQADPKNVASIILGGGAGTRLFPLTSQRAKPAVPIGGCYRLIDIPMSNCINSGIKKIFILTQFNSFSLNRHLARTYNFGNGVNFGDGFVEVLAATQTPGEAGKKWFQGTADAVRQFVWVFEDAKAKDVEHVLILSGDHLYRADYMDFVQKHIDSNADITVSCLPMDDSRASDYGLMKIDGTGRIVQFAEKPKGPNLKAMQVDTSILGLSAQDAARYPYIASMGVYVFKTSVLLKLLTRSYPSCNDFGSEIIPSAVKEHNVQAYLFNDYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFYTSPRFLPPTKVDECKIVDSIISHGCFLRECSVQHSIVGVRSRLESSVDLQDTMMMGADYYQTESEIASLLAEGKVPIGVGQNTKIKNCIIDKNAKIGKDVIISNTDAVEEAERPEDGFYIRSGITVIMKNATIRDGTVI >KJB59641 pep chromosome:Graimondii2_0_v6:9:21935130:21937827:-1 gene:B456_009G265000 transcript:KJB59641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPPPNDCCSICHGYFNVPCQANCSHWFCGNCIMLVWQHGSPFQTCKCPLCRRQITLLVPGEASLRERHNPAVAEILEKVEKYNRYFGGQSNGLIQRLQDLPFLLRRLLREITDPQRTLPLVIRARIYLAMFLSAIYVFSPIDIIPEGMSLELS >KJB59643 pep chromosome:Graimondii2_0_v6:9:21934629:21937940:-1 gene:B456_009G265000 transcript:KJB59643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPPPNDCCSICHGYFNVPCQANCSHWFCGNCIMLVWQHGSPFQTCKCPLCRRQITLLVPGEASLRERHNPAVAEILEKVEKYNRYFGGQSNGLIQRLQDLPFLLRRLLREITDPQRTLPLVIRARIYLAMFLSAIYVFSPIDIIPEAILGVVGLLDDLLIALVGFLHVATIYRSVLYSRHGGS >KJB59642 pep chromosome:Graimondii2_0_v6:9:21934629:21937887:-1 gene:B456_009G265000 transcript:KJB59642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLTFLAKPIVLIGNCIMLVWQHGSPFQTCKCPLCRRQITLLVPGEASLRERHNPAVAEILEKVEKYNRYFGGQSNGLIQRLQDLPFLLRRLLREITDPQRTLPLVIRARIYLAMFLSAIYVFSPIDIIPEAILGVVGLLDDLLIALVGFLHVATIYRSVLYSRHGGS >KJB59640 pep chromosome:Graimondii2_0_v6:9:21934629:21937573:-1 gene:B456_009G265000 transcript:KJB59640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVWQHGSPFQTCKCPLCRRQITLLVPGEASLRERHNPAVAEILEKVEKYNRYFGGQSNGLIQRLQDLPFLLRRLLREITDPQRTLPLVIRARIYLAMFLSAIYVFSPIDIIPEAILGVVGLLDDLLIALVGFLHVATIYRSVLYSRHGGS >KJB54829 pep chromosome:Graimondii2_0_v6:9:3673024:3676041:1 gene:B456_009G050900 transcript:KJB54829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLSEDTAKAVLRQVEFYFSDSNIPRDNFLKKKINENDDGMVSLALICSFSKMRSHLNLRDVKAEEVPEATLDAVAETLRTSSSLRVSEDGKKVGRSTALLEPEELLEQLDSRTIAASPFEFNVKMEDVEAFFGQYAKVNSVRLPRHVANKKYFCGTALIEFSAEEDAQKVLEQSLIYAGAELELKPKKDFDAIREEEAEEYEDNHPVTGSNGDNRSNAEDKYPKGLVVAFALKNISGGNSAEKNGSDEPAKDGATEKNEEKTTENDEDNKDKVDDKQPVSGDETENKSPVQKDEGTEHKNTASVFKDNMNVVLREDLKEAFQKFGTVKYIDFKAGEEKGYIRFDEPEAAQKARAAAVLANEGGLVVKNFIATLEPVTGDAEREYWSLLRGNQEKHRGNKRFQGRGGKHFRGGKRGRGRENYSPKKARRS >KJB54832 pep chromosome:Graimondii2_0_v6:9:3673072:3675970:1 gene:B456_009G050900 transcript:KJB54832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLSEDTAKAVLRQVEFYFSDSNIPRDNFLKKKINENDDGMVSLALICSFSKMRSHLNLRDVKAEEVPEATLDAVAETLRTSSSLRVSEDGKKVGRSTALLEPEELLEQLDSRTIAASPFEFNVKMEDVEAFFGQYAKVNSVRLPRHVANKKYFCGTALIEFSAEEDAQKVLEQSLIYAGAELELKPKKDFDAIREEEAEEYEDNHPVTGSNGDNRSNAEDKYPKGLVVAFALKNISGGNSAEKNGSDEPAKDGATEKNEEKTTENDEDNKDKVDDKQPVSGDETENKSPVQKDEGTEHKNTASVFKDNMNVVLREDLKEAFQKFGTVKYIDFKAGEEKGYIRFDEPEAAQKARAAAVLANEGGLVVKNFIATLEPVTGDAEREYWSLLRGNQEKHRGNKRFQGRSVPINVFYSFCYLVWLSKSLFNVVLSSV >KJB54831 pep chromosome:Graimondii2_0_v6:9:3673072:3675970:1 gene:B456_009G050900 transcript:KJB54831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLSEDTAKAVLRQVEFYFSDSNIPRDNFLKKKINENDDGMVSLALICSFSKMRSHLNLRDVKAEEVPEATLDAVAETLRTSSSLRVSEDGKKVGRSTALLEPEELLEQLDSRTIAASPFEFNVKMEDVEAFFGQYAKVNSVRLPRHVANKKYFCGTALIEFSAEEDAQKVLEQSLIYAGAELELKPKKDFDAIREEEAEEYEDNHPVTGSNGDNRSNAEDKYPKGLVVAFALKNISGGNSAEKNGSDEPAKDGATEKNEEKTTENDEDNKDKVDDKQPVSGDETENKSPVQKDEGTEHKNTASVFKDNMNVVLREDLKEAFQKFGTVKYIDFKAGEEKGYIRFDEPEAAQKARAAAVLANEGGLVVKNFIATLEPVTGDAEREYWSLLRGNQEKHRGNKRFQGRGGKRGRGRENYSPKKARRS >KJB54830 pep chromosome:Graimondii2_0_v6:9:3673561:3675698:1 gene:B456_009G050900 transcript:KJB54830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHLNLRDVKAEEVPEATLDAVAETLRTSSSLRVSEDGKKVGRSTALLEPEELLEQLDSRTIAASPFEFNVKMEDVEAFFGQYAKVNSVRLPRHVANKKYFCGTALIEFSAEEDAQKVLEQSLIYAGAELELKPKKDFDAIREEEAEEYEDNHPVTGSNGDNRSNAEDKYPKGLVVAFALKNISGGNSAEKNGSDEPAKDGATEKNEEKTTENDEDNKDKVDDKQPVSGDETENKSPVQKDEGTEHKNTASVFKDNMNVVLREDLKEAFQKFGTVKYIDFKAGEEKGYIRFDEPEAAQKARAAAVLANEGGLVVKNFIATLEPVTGDAEREYWSLLRGNQEKHRGNKRFQGRGGKHFRGGKRGRGRENYSPKKARRS >KJB57726 pep chromosome:Graimondii2_0_v6:9:13733152:13735924:1 gene:B456_009G177900 transcript:KJB57726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLANGISSFSPQPTSDSTKFLKGFFPKLDSVKPITPKLQSSRLLKVRADVGFDPQTLTSDPSSSSGKSYDDKIQEILRNRDYDKKFGFTMDIDSFAIPKGLSTETIRLISSLKEEPDWMVELRLDAYEKFLKMKEPKWSDNRYPPIDFQDICYYSAPKKKPALNSLDEADPELLKYFDRLGVPLNERNRLANVAVDAVLDSVSIATTHRKTLEKAGVIFCSISEAIREYPDLVRKYLGRVVPSEDNYYTALNSAVFSDGSFCYIPKDTKCPMPISTYFRINALETGQFERTLIVADEGSFVEYLEGCTAPSYDRNQLHAAVVELYCAKGAEIKYSTVQNWYAGDEEGKGGIYNFVTKRGLCAGDRSKISWTQVETGSAITWKYPSVVLEGDDTVGEFYSVALTNNYQQADTGTKMIHKGKNTRSRIISKGISVGHSRNCYRGLVQVQSKAENARNSSQCDSMLIGDNAAANTYPYIQVKNPSARVEHEASTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCRDVFNELPDEFGAEVNQLMSLKLEGSVG >KJB62775 pep chromosome:Graimondii2_0_v6:9:68533214:68542098:-1 gene:B456_009G435900 transcript:KJB62775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYVAPAVVKIVADQAKEYASPYFGYFFSYEKIVEDFTNQREALKSRKQRVDTQVDEAKRQTEIIYDDVDNWLTSAEEELKETQNLKDEIDRVKCFKWCPKWGWRYSLSKKLAEKIPMISKLLETSNFEQVGYRRPLQGMEFITSTGFMEAESSKSAFNQIVEAINAKGVNMIGLHGMPGVGKTTLAKEVGKHAREQKFFDKVVMFTMSQNPDINKIQEGKAEELFRSMKRVNKILVIVHDLWEEFKMESIGIPFGDEHEGCKILLITRRPQVCTKMNCKEIQLGILSEDEAWVLFRDKARLEDDCSTLNDVAKEVAAQCKGLPLAIVVVANALKGESLKGWRDANQRFKDSTHLYDEEVLGGVLEPLKLSYDYLKKGINQMTGNHIQMCFLLCSLFPEDEEILIEILIMCGIGVGLFPNFSSIEDKRKKIVEALKKVQKSGLLLETDGADTIRIHDVIRDFAHWLTSTGENRFMVKDTLEKWPHMDESYTAIALWNCSSIITKFPDKVEFSKLKILFLEGGRKRNRAFLVVFGTFFEEMKALQVLLLQCVSFPLKGFPSLPNLKTLWCHNCMLKNFSSSLTNMRSLEILALIGTEIDEISEELVKLSALQYIRLNLLRRIPKYFNYSPTHDEGIIFPPGLDLGLSGVEFEEEMNIPPNLVSRLTSLQELHVIHKNNLNLLELKSLPCLTALSLRLSTNQIPLEDFVLPKLQRYAIAVGEDYRINREAFRRLDIQDFSSSLSAFNNLFCNVEELNLRNVGGQKNIVPSIDEMGVNELTSLQLKSCNDMEFLIDTKKDQGSTVAFSNLVELNIESMASLKGLCYGLSPTRFLQNLKEVIINGCEELQVIFQIDKPLMLSNLTELSLHSLPKLKRIWEVKPSHRAIASLQSLKVVRIEYCDNLKTIFSPCLALSMWHLQELYIRYCDRVEQVIGFGEEDEIIENHCWTKLKTLWVVDCQLLKYVFANTLSHRFPLLESLYLKNCPQLLQVFSSREGRDVIGDHVLLNVPFLKNLEVSNCPQISCFIVQAQLIEKLVLRNVGNSRQLCNTDVPILNEGCIVVGNHKEVFQVQGRYSFSSIEKLELMNLLEVRVIWNDFAQVVTLENLTTLTLRDCKKLGYIFSPMMARSLSHLVDHFILGCEEIKRLILAKDQVSSSSSNGDTSLQPMSFPNLTRIVVTDCKNLSSLFPFGFVPVLPKLERLIVERNSKLEQVFKLEEKVEVVAEEEMKFDKLKRLSLEELPGLIHFCPKGYHSVFPAMIELKVRDCPKLTTGFFIDSQEFVHCKTKVPQLVEQDAVEESTTVRNAIFNENIDWSRGGGESQLPHIT >KJB62776 pep chromosome:Graimondii2_0_v6:9:68533214:68542362:-1 gene:B456_009G435900 transcript:KJB62776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYVAPAVVKIVADQAKEYASPYFGYFFSYEKIVEDFTNQREALKSRKQRVDTQVDEAKRQTEIIYDDVDNWLTSAEEELKETQNLKDEIDRVKCFKWCPKWGWRYSLSKKLAEKIPMISKLLETSNFEQVGYRRPLQGMEFITSTGFMEAESSKSAFNQIVEAINAKGVNMIGLHGMPGVGKTTLAKEVGKHAREQKFFDKVVMFTMSQNPDINKIQEGKAEELFRSMKRVNKILVIVHDLWEEFKMESIGIPFGDEHEGCKILLITRRPQVCTKMNCKEIQLGILSEDEAWVLFRDKARLEDDCSTLNDVAKEVAAQCKGLPLAIVVVANALKGESLKGWRDANQRFKDSTHLYDEEVLGGVLEPLKLSYDYLKKGINQMTGNHIQMCFLLCSLFPEDEEILIEILIMCGIGVGLFPNFSSIEDKRKKIVEALKKVQKSGLLLETDGADTIRIHDVIRDFAHWLTSTGENRFMVKDTLEKWPHMDESYTAIALWNCSSIITKFPDKVEFSKLKILFLEGGRKRNRAFLVVFGTFFEEMKALQVLLLQCVSFPLKGFPSLPNLKTLWCHNCMLKNFSSSLTNMRSLEILALIGTEIDEISEELVKLSALQYIRLNLLRRIPKYFNYSPTHDEGIIFPPGLDLGLSGVEFEEEMNIPPNLVSRLTSLQELHVIHKNNLNLLELKSLPCLTALSLRLSTNQIPLEDFVLPKLQRYAIAVGEDYRINREAFRRLDIQDFSSSLSAFNNLFCNVEELNLRNVGGQKNIVPSIDEMGVNELTSLQLKSCNDMEFLIDTKKDQGSTVAFSNLVELNIESMASLKGLCYGLSPTRFLQNLKEVIINGCEELQVIFQIDKPLMLSNLTELSLHSLPKLKRIWEVKPSHRAIASLQSLKVVRIEYCDNLKTIFSPCLALSMWHLQELYIRYCDRVEQVIGFGEEDEIIENHCWTKLKTLWVVDCQLLKYVFANTLSHRFPLLESLYLKNCPQLLQVFSSREGRDVIGDHVLLNVPFLKNLEVSNCPQISCFIVQAQLIEKLVLRNVGNSRQLCNTDVPILNEGCIVVGNHKEVFQVQGRYSFSSIEKLELMNLLEVRVIWNDFAQVVTLENLTTLTLRDCKKLGYIFSPMMARSLSHLVDHFILGCEEIKRLILAKDQVSSSSSNGDTSLQPMSFPNLTRIVVTDCKNLSSLFPFGFVPVLPKLERLIVERNSKLEQVFKLEEKVEVVAEEEMKFDKLKRLSLEELPGLIHFCPKGYHSVFPAMIELKVRDCPKLTTGFFIDSQEFVHCKTKLVEQDAVEESTTVRNAIFNENIDWSRGGGESQLPHIT >KJB55326 pep chromosome:Graimondii2_0_v6:9:5034618:5036463:1 gene:B456_009G071100 transcript:KJB55326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTKFFRQTFSLDYIALLLQKCIKAKALKPGKQLHAWLLLTGTDMEVLSLNSKIVGMYAGCGDLKSSGSMFGKIKTPNVFALNWMVLASAFNGYFKEAIGYFSLMSESINLCNKFTFSVVLKACVGLMSLNKGKEVHAVVNKLCLETDVNVGNGLIDMYCKCGNVSYARKMFDRMAERDVASWTSMISGYCNVGKNEEALGLFERMKLEDLQPNEFTWNVMITAFARRGDIRAAFALFGRMTKEGLLPDLVTWNTIISGFAQSHCPFEAFNLFRHMLVSGIKPNHVTVTGFLPACGLTGSIEKASWNALIGCYGKHGMVESAITMFERMQEEGVQVNDATLTCVLSACSHGGYVEEGLRIFGSMKQSYGIEGAKEHYACVVDMLCRSGKIVEAYELLKEMPFGVTNSIVGAFFNGCKVHGRKDLAKLMGEEILKMELRRPGGFVTLSNIYAADEEWGAVENVRKVMKEKRIHKKPGFSCEPSQFGGFLGE >KJB54193 pep chromosome:Graimondii2_0_v6:9:1940588:1944285:1 gene:B456_009G025000 transcript:KJB54193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll b reductase, Leaf senescenc [Source: Projected from Oryza sativa (Os01g0227100)] MAAVTKLHLCTFQKDQHFCFKEQKRSWFKVKRGCFVGLPVLTRNRRGAYVQKCRSFRGGDGGEVEEKDMESERKLGIWTEKGDGFWKCLKSAVFGVSKFGSQSQDEYEKAVAKVEEVFSSIAMQIGRYIVTMMSTGVILLTGFQLSGGDSQMNTLIWYSWVGGVIIGTMIGANMVLDEHCRAGPRNVVITGSTRGLGKALAREYLLSGDRVVVASRSPESVDMTVKELEENLKEGMTAGGLSSKNLERAKVVGIACDVCEANDVEKLANFAVSELGSIDIWINNAGTNKGFRPLLQFSDEDIKQIVSTNLVGSILCTREAMRIMKNQPKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLHASLLKECKRSKVGIHTASPGMVLTDLLLSGSTLKNKQMFNLICELPETVARSLVPRMRVVKGAGKAINYLTPPRILLALITAWLRQGRWFDEQGRALYAAEADRIRNWAENRTRFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSTSSTLPGT >KJB54194 pep chromosome:Graimondii2_0_v6:9:1940667:1944232:1 gene:B456_009G025000 transcript:KJB54194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll b reductase, Leaf senescenc [Source: Projected from Oryza sativa (Os01g0227100)] MAAVTKLHLCTFQKDQHFCFKEQKRSWFKVKRGCFVGLPVLTRNRRGAYVQKCRSFRGGDGGEVEEKDMESERKLGIWTEKGDGFWKCLKSAVFGVSKFGSQSQDEYEKAVAKVEEVFSSIAMQIGRYIVTMMSTGVILLTGFQLSGGDSQMNTLIWYSWVGGVIIGTMIGANMVLDEHCRAGPRNVVITGSTRGLGKALAREYLLSGDRVVVASRSPESVDMTVKELEENLKEGMTAGGLSSKNLERAKVVGIACDVCEANDVEKLANFAVSELGSIDIWINNAGTNKGFRPLLQFSDEDIKQIVSTNLVGSILCTREAMRIMKNQPKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLHASLLKECKRSKVGIHTASPGMVLTDLLLSGSTLKNKQMFNLICELPETVARSLVPRMRVVKGAGKAINYLTPPRILLALITAWLRQGRWFDEQVIFDSLGKTFVTKLRLL >KJB54195 pep chromosome:Graimondii2_0_v6:9:1941815:1944232:1 gene:B456_009G025000 transcript:KJB54195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll b reductase, Leaf senescenc [Source: Projected from Oryza sativa (Os01g0227100)] MTVKELEENLKEGMTAGGLSSKNLERAKVVGIACDVCEANDVEKLANFAVSELGSIDIWINNAGTNKGFRPLLQFSDEDIKQIVSTNLVGSILCTREAMRIMKNQPKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLHASLLKECKRSKVGIHTASPGMVLTDLLLSGSTLKNKQMFNLICELPETVARSLVPRMRVVKGAGKAINYLTPPRILLALITAWLRQGRWFDEQGRALYAAEADRIRNWAENRTRFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSTSSTLPGT >KJB55034 pep chromosome:Graimondii2_0_v6:9:4248730:4256439:1 gene:B456_009G059100 transcript:KJB55034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSSSEAVSSSSSSSTPSGPDQSQPATSASVPENHHNHSNSKPLASISAADDLAGAGSRDGSGGAQETVTFDRRGEYSAVCRWTINNFSRIKARALWSKYFEVGGYDCRLLVYPKGDSQALPGYISIYLQIMDPRGTSSSKWDCFASYRLTIVNLIDDSKSIHRDSWHRFSSKKKSHGWCDFTPSSTVFDPKSGYLFNNDAVLITADILILNESVSFTRDNNDVQSSLSSMISSSVVAIPVSDVLSGKFTWKVHNFSLFKEMIKTQKIMSPVFPAGECNLRISVYQSSVNGQEYLSMCLESKDTDKTVASDRSCWCLFRMSTLNQKPGSNHMHRDSYGRFAADNKSGDNTSLGWNDYMKMSDFVGQEAGFLVDDTAVFSTSFHVIKEFSSFSKNAGLIAGRTGSGARKSDTYMGKFTWKIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTSSDWSCFVSHRLSVVNQKVEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSIMQDFSDQDSELANAVPQIERVGKRSAFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSVGSDLDKNFWVKYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGYLVRDTVVFVCEILDCCPWFEFSDLEVLASEDDQDALTTDPDELIDSEDSEGISGDEEDIFRNLLSQAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPAKLSGSGNGKKVSKTDESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSGVAHSDSSDANSKPSSDGGEATSPLGCEQENGAVGSAQFPTNERLASCVDDGSTASAVQSSAMNGIDISGKAIHGLPISPPETSAGGSLENSSLCTKTKWPEQSEELLGLIINSLRALDGAVPQGCPEPRRRPQSAQKITLVLDKAPKHLQPDLVALVPKLVEHSEHPLAAYALLERLQKTEAEPALRIPVFGALSQLECDSEVWERVLFQSFELLADSNDEPLVATIDFIFKAAFQCQHLPEAVRSVRVRLQSLGAEVSPCVFDFLSKLVNSWGDLAEAILRDINCDGDLVENCSAVASGLFLCGENGSTSERPHAVDEQAFCATHHFSDIYILIEMLSIPCLAVEASQTFERAVSAGAIVAQSVALVLKMRLAQRLSLNSRYVAESFQHADAVIEGEASERLRAQRDDFTSVLSLAETLALSRDLRVRSFVKMLYTILFKWYVDESYRMRMLKRLVDRATSTTESSNEVDLDLDILVILVSEEQEFVRPVLSMMREVAELANVDRAALWHQLCASEDAIICIREERKVEMSNMVKEKAALSQKLSEFEAANHRLKSEMRAEMDRFAREKKELSEQIQEVESQLEWLRLERDDEIAKLANEKKALQDRLHDAEAQLSQLKSRKRDELKRVVKEKNALAERLESAEAERKRFDEELKRYATENVTREEIRQSLEDKVRRLTQTVGQTEGEKREKEEQVSRCEAYIDGMESKLQACQQYIHTLEASLQEEMSRHAPLYGAGLEALSMKELETLSRIHEEGLRQIHALQQRKGSPAGGPLAIPHNHGLYPTTQPPPMAVGLPPSFIPNGVGIHGNGHVNGAVGPWFNHA >KJB57204 pep chromosome:Graimondii2_0_v6:9:11684882:11687642:1 gene:B456_009G152900 transcript:KJB57204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESWRMCMGMSTTHHLPRRKSMENSIFSLNHVTMVVPDHNLHVDDFSDVFGGPPRSVLCRKLSGDFTRSASFYEEVFRPPEFISSRSMKDGRSLPAFKIPSREEGFYSDIFGPVDDHWRSRERSRSNSKAKSNSSSVLSSEELSPLRPVIGDDVGLSSIASKLRCRPINVPSRWNSTRTAADEEAAKQQQGMPAFPRSRTFYNENLYMENEYNNNVVDNLMRSSSYNYGFSRRATSPEIISLEPHSFRSVKISADDFEFNSPSSPASSLCHEPVSVPSDSMHQKEDDEDEDEDEEEVMSSYVIEINSDVRESNGEAVSIDEAIAWAKERYNSQSSEKTQSTETEARSNSHESFDLQMDGHGTMQSPMEDEEKKLKVKEELDRTEEHIKMGILDDDVKLWSSGKENNIQLLLSTLHHILWPNSGWNMIPLTNLKESSQVKKAYQKARLCLHPDKLQQRGASLSQKYVAEKVFSILQDAWAAFISQDVFFN >KJB57202 pep chromosome:Graimondii2_0_v6:9:11684814:11687674:1 gene:B456_009G152900 transcript:KJB57202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESWRMCMGMSTTHHLPRRKSMENSIFSLNHVTMVVPDHNLHVDDFSDVFGGPPRSVLCRKLSGDFTRSASFYEEVFRPPEFISSRSMKDGRSLPAFKIPSREEGFYSDIFGPVDDHWRSRERSRSNSKAKSNSSSVLSSEELSPLRPVIGDDVGLSSIASKLRPINVPSRWNSTRTAADEEAAKQQQGMPAFPRSRTFYNENLYMENEYNNNVVDNLMRSSSYNYGFSRRATSPEIISLEPHSFRSVKISADDFEFNSPSSPASSLCHEPVSVPSDSMHQKEDDEDEDEDEEEVMSSYVIEINSDVRESNGEAVSIDEAIAWAKERYNSQSSEKTQSTETEARSNSHESFDLQMDGHGTMQSPMEDEEKKLKVKEELDRTEEHIKMGILDDDVKLWSSGKENNIQLLLSTLHHILWPNSGWNMIPLTNLKESSQVKKAYQKARLCLHPDKLQQRGASLSQKYVAEKVFSILQDAWAAFISQDVFFN >KJB57203 pep chromosome:Graimondii2_0_v6:9:11684882:11687642:1 gene:B456_009G152900 transcript:KJB57203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESWRMCMGMSTTHHLPRRKSMENSIFSLNHVTMVVPDHNLHVDDFSDVFGGPPRSVLCRKLSGDFTRSASFYEEVFRPPEFISSRSMKDGRSLPAFKIPSREEGFYSDIFGPVDDHWRSRERSRSNSKAKSNSSSVLSSEELSPLRPVIGDDVGLSSIASKLRPINVPSRWNSTRTAADEEAAKQQQGMPAFPRSRTFYNENLYMENEYNNNVVDNLMRSSSYNYGFSRRATSPEIISLEPHSFRSVKISADDFEFNSPSSPASSLCHEPVSVPSDSMHQKEDDEDEDEDEEEVMSSYVIEINSDVRESNGEAVSIDEAIAWAKERYNSQSSEKTQSTETEARSNSHESFDLQMDGHGTMQSPMEDEEKKLKVKEELDRTEEHIKMGILDDDVKLWSSGKENNIQLLLSTLHHILWPNSGWNMIPLTNLKESSQVKKAYQKARLCLHPDKLQQRGASLSQKYVAEKVFSILQVLI >KJB54649 pep chromosome:Graimondii2_0_v6:9:3134190:3134998:1 gene:B456_009G042900 transcript:KJB54649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGRVFQGSKWLKIGIMGMIMLARVTSEHEMGTPSDGSLCISECTTCPVICSPPPPSQLKSFSPPSPSVHDSPPEPDYIPPQLPDSQCSPPPLESVSLPSPSPPPPPPRPPSPPPPPAPSSYSSKASPPPPRFEYFYNAPNGQGPPAIVGPIQYPYPYYYVSKASPLSFQASLSLVMLFCFTVLLLRC >KJB58127 pep chromosome:Graimondii2_0_v6:9:15034121:15037070:1 gene:B456_009G195700 transcript:KJB58127 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP sulfurylase 2 [Source:Projected from Arabidopsis thaliana (AT1G19920) UniProtKB/Swiss-Prot;Acc:Q43870] MSLTIKSHFAYPTYLSFTTKPSRFTGYNTKIRPNSIYHFNPLKLTYYQPKKMQAPVQYSPAPVIKSSLIEPDGGALVDLVVPEGERGAKTIEAESLPKVRLTKIDVEWVHVISEGWANPLKGFMREDEYLQSLHFNSLRLKDGSIVNMSLPIVLAIDDETKERIGSSSHVGLAGPDGDLIAILRSIEIYKHNKEERIARTWGTTAPGLPYVEEVIIPAGNCLIGGDLEVLKPVKYNDGLDHYRLSPKQLRKEFDRRNADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPETTIVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDMVAKKMAFFDPSRAKDFLFISGTKVTLDFSRIWWCFEASFFCNNLKYCAALHVKLKMLVIESSIVKGTRGTLAPRTLKVPAIKALA >KJB58130 pep chromosome:Graimondii2_0_v6:9:15034121:15038518:1 gene:B456_009G195700 transcript:KJB58130 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP sulfurylase 2 [Source:Projected from Arabidopsis thaliana (AT1G19920) UniProtKB/Swiss-Prot;Acc:Q43870] MSLTIKSHFAYPTYLSFTTKPSRFTGYNTKIRPNSIYHFNPLKLTYYQPKKMQAPVQYSPAPVIKSSLIEPDGGALVDLVVPEGERGAKTIEAESLPKVRLTKIDVEWVHVISEGWANPLKGFMREDEYLQSLHFNSLRLKDGSIVNMSLPIVLAIDDETKERIGSSSHVGLAGPDGDLIAILRSIEIYKHNKEERIARTWGTTAPGLPYVEEVIIPAGNCLIGGDLEVLKPVKYNDGLDHYRLSPKQLRKEFDRRNADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPETTIVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVGDICAGLTLIFYTICIYHSRLY >KJB58131 pep chromosome:Graimondii2_0_v6:9:15034392:15035735:1 gene:B456_009G195700 transcript:KJB58131 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP sulfurylase 2 [Source:Projected from Arabidopsis thaliana (AT1G19920) UniProtKB/Swiss-Prot;Acc:Q43870] MSLTIKSHFAYPTYLSFTTKPSRFTGYNTKIRPNSIYHFNPLKLTYYQPKKMQAPVQYSPAPVIKSSLIEPDGGALVDLVVPEGERGAKTIEAESLPKVRLTKIDVEWVHVISEGWANPLKGFMREDEYLQSLHFNSLRLKDGSIVNMSLPIVLAIDDETKERIGSSSHVGLAGPDGDLIAILRSIEIYKHNKEERIARTWGTTAPGLPYVEEVIIPAGNCLIGGDLEVLKPVKYNDGLDHYRLSPKQLRKEFDRRNADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLDVRMEQHSKVFPIIPSV >KJB58128 pep chromosome:Graimondii2_0_v6:9:15034121:15038518:1 gene:B456_009G195700 transcript:KJB58128 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP sulfurylase 2 [Source:Projected from Arabidopsis thaliana (AT1G19920) UniProtKB/Swiss-Prot;Acc:Q43870] MSLTIKSHFAYPTYLSFTTKPSRFTGYNTKIRPNSIYHFNPLKLTYYQPKKMQAPVQYSPAPVIKSSLIEPDGGALVDLVVPEGERGAKTIEAESLPKVRLTKIDVEWVHVISEGWANPLKGFMREDEYLQSLHFNSLRLKDGSIVNMSLPIVLAIDDETKERIGSSSHVGLAGPDGDLIAILRSIEIYKHNKEERIARTWGTTAPGLPYVEEVIIPAGNCLIGGDLEVLKPVKYNDGLDHYRLSPKQLRKEFDRRNADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLDVRMEQHSKWIAFK >KJB58126 pep chromosome:Graimondii2_0_v6:9:15034066:15038518:1 gene:B456_009G195700 transcript:KJB58126 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP sulfurylase 2 [Source:Projected from Arabidopsis thaliana (AT1G19920) UniProtKB/Swiss-Prot;Acc:Q43870] MSLTIKSHFAYPTYLSFTTKPSRFTGYNTKIRPNSIYHFNPLKLTYYQPKKMQAPVQYSPAPVIKSSLIEPDGGALVDLVVPEGERGAKTIEAESLPKVRLTKIDVEWVHVISEGWANPLKGFMREDEYLQSLHFNSLRLKDGSIVNMSLPIVLAIDDETKERIGSSSHVGLAGPDGDLIAILRSIEIYKHNKEERIARTWGTTAPGLPYVEEVIIPAGNCLIGGDLEVLKPVKYNDGLDHYRLSPKQLRKEFDRRNADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPETTIVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDMVAKKMAFFDPSRAKDFLFISGTKMRTYARTGENPPDGFMCPGGWEVLVKYYESLQAEEATQQPAAVSS >KJB58129 pep chromosome:Graimondii2_0_v6:9:15034121:15038518:1 gene:B456_009G195700 transcript:KJB58129 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP sulfurylase 2 [Source:Projected from Arabidopsis thaliana (AT1G19920) UniProtKB/Swiss-Prot;Acc:Q43870] MSLTIKSHFAYPTYLSFTTKPSRFTGYNTKIRPNSIYHFNPLKLTYYQPKKMQAPVQYSPAPVIKSSLIEPDGGALVDLVVPEGERGAKTIEAESLPKVRLTKIDVEWVHVISEGWANPLKGFMREDEYLQSLHFNSLRLKDGSIVNMSLPIVLAIDDETKERIGSSSHVGLAGPDGDLIAILRSIEIYKHNKEERIARTWGTTAPGLPYVEEVIIPAGNCLIGGDLEVLKPVKYNDGLDHYRLSPKQLRKEFDRRNADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPETTIVAIFPSPMHYAGPTEVAAYDMVAKKMAFFDPSRAKDFLFISGTKMRTYARTGENPPDGFMCPGGWEVLVKYYESLQAEEATQQPAAVSS >KJB58964 pep chromosome:Graimondii2_0_v6:9:18378952:18380839:1 gene:B456_009G232800 transcript:KJB58964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVKELVESVPLTSLPSNYIFGNTESNRHCLLMEPEETVPIIDFSLLTSHDPHQRSKTITQLGNACLNWGFFMVINHGVAETLRDEMVRETESFFDLRGEEKKQYAGKKLFDPIRCGTSFNANVDKTLLWRDYLKIHVHPHFNAPAKPSGFSKVLQEYCEKTGEMANELLRGISESLGLEERYINEKLGVESSESHQLFVANMYPPCPQPELAMGLPPHSDHGLLTILMQNGIQGLQVMHKGKWVLINPLPNSFLVNTGDHMEILTNGKYKSVLHRAVVNNKATRISIGTAHGPPLDTLVSPAKELVADDAGQAYLGITYRNYLELQQSKSLSGKSCLDRLRFSM >KJB58965 pep chromosome:Graimondii2_0_v6:9:18379016:18380122:1 gene:B456_009G232800 transcript:KJB58965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVKELVESVPLTSLPSNYIFGNTESNRHCLLMEPEETVPIIDFSLLTSHDPHQRSKTITQLGNACLNWGFFMVINHGVAETLRDEMVRETESFFDLRGEEKKQYAGKKLFDPIRCGTSFNANVDKTLLWRDYLKIHVHPHFNAPAKPSGFSKVLQEYCEKTGEMANELLRGISESLGLEERYINEKLGVESSESHQLFVANMYPPCPQPELAMGLPPHSDHGLLTILMQNGIQGLQVMHKGKWVLINPLPNSFLVNTGDHMEVLMGFLSCMIFDS >KJB61841 pep chromosome:Graimondii2_0_v6:9:52817184:52817872:1 gene:B456_009G389900 transcript:KJB61841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFYKNVLCFILVQAIAITSLITLSSSEPNKGGHDNSRWIHPWFKTWHIYAVNGISKDQTLLVHCKSKDDDLGIHNLTVGSEFTWKFRPRFFGGTLFWCYMAYDNLHASFKAFWDNQVLYNLCDWGTCFWIAKDDGIYIRNIPKNRDDYYCNWEQGRL >KJB63093 pep chromosome:Graimondii2_0_v6:9:70388938:70389837:1 gene:B456_009G452800 transcript:KJB63093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILLELPDDIKVEILAKIPTKFLYKIFRCVYKSWYRLISSSEFMNKTAIHHNPGIFIQSVRIFRSTTNTSFLQMDGLNFNLTNLGSSMGIIRSSCNSLVLVYEPISLGFDPLTKVYKVVHINNDGYGIEVFTIGSYKTWRKVPLPWPFFWRDPVSIKGQVFHWFVDSEKYIFSMDISNEKVSKTKLPYIGKTIMKEHYDLVAMDEKLAFVYKGSESKIDVWVLNDFGRQVWSMEHSIVANWEAEK >KJB56060 pep chromosome:Graimondii2_0_v6:9:7649827:7653207:-1 gene:B456_009G105500 transcript:KJB56060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIWLWAFSVALTLVPSVFGNSEGDALYTLRKSLTDPDNILQSWDPNLVNPCTWFHITCNQNNRVTRVDLGNSNLSGHLVPELGKLEHLQYLYLYKNNIQGTIPTELGNLKSLISLDLYNNNISGTIPPSLGKLKSLVFLRLNDNKLTGPIPRELAGISSLKVVDVSNNDLCGTIPTSGPFEHIPLNNFEKNPRLEGPELLGLASYDTNCS >KJB56059 pep chromosome:Graimondii2_0_v6:9:7649793:7653245:-1 gene:B456_009G105500 transcript:KJB56059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIWLWAFSVALTLVPSVFGNSEGDALYTLRKSLTDPDNILQSWDPNLVNPCTWFHITCNQNNRVTRVDLGNSNLSGHLVPELGKLEHLQYLELYKNNIQGTIPTELGNLKSLISLDLYNNNISGTIPPSLGKLKSLVFLRLNDNKLTGPIPRELAGISSLKVVDVSNNDLCGTIPTSGPFEHIPLNNFEKNPRLEGPELLGLASYDTNCS >KJB55507 pep chromosome:Graimondii2_0_v6:9:5740641:5742205:-1 gene:B456_009G079500 transcript:KJB55507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSAADDKILSYNDVVLRRSDLDILSGPYYLNDRIIEFYFSLLSSSHPSEDILLVPPSIAFWITNCPDVDGLKDFLEPLKLPDKNLVIFPVNNNDDVSLAEGGSHWSLLAYYRSANIFVHHDSNQQMNKRHAMKLFKSVVGYNGGPSSTSNDKYQECIDTPQQVNGYDCGLHVTATARTICSWYESSENKDGTDLWFSALKEQVTPSVVGEMRKEILGLIKDLMAKQ >KJB55506 pep chromosome:Graimondii2_0_v6:9:5740556:5742875:-1 gene:B456_009G079500 transcript:KJB55506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSAADDKILSYNDVVLRRSDLDILSGPYYLNDRIIEFYFSLLSSSHPSEDILLVPPSIAFWITNCPDVDGLKDFLEPLKLPDKNLVIFPVNNNDDVSLAEGGSHWSLLAYYRSANIFVHHDSNQQMNKRHAMKLFKSVVGYNGGPSSTSNDKYQECIDTPQQVNGYDCGLHVTATARTICSWYESSENKDGTDLWFSALKEQVTPSVVGEMRKEILGLIKDLMAKQ >KJB58236 pep chromosome:Graimondii2_0_v6:9:15526692:15529133:-1 gene:B456_009G200500 transcript:KJB58236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHWGLILLLFLLFQQGNFLLRVKADDEFIKTKGLQLMLHGSPFYANGFNAYWLMYMAADSSQRSKVSSAFQQAKEHGLTIARTWAFSDGGDRPLQYSPGSYNEQMFQGLDFVVSEAKRYGIKLVLSFANNYDQFGGKKQYVNWARNEGQSIGSDDDFFTNSVVKEYYKNHIKAVLTRRNTLTGVAYKDEPTIMAWELMNEPRCLSDPSGKTMQAWITEMASHVKSIDGNHLLEAGLEGFYGPSSSQKQQYNPNFQVGTDFIANNQIPGIDFATVHSYPDQWLQSSSDESQIAFLNNWLYNHIQDAQNILQKPLLFAEFGKSLKIAGPNQRDELYNTVYTAIYSSARGGGAAIGGLFWQLLAEGMDSYGDGYEVIMSQGTSIVDLITRESQKLNRIRKMYVRLRDIEKWNKAREIRRAQWWSGNGVSNTGN >KJB58235 pep chromosome:Graimondii2_0_v6:9:15526692:15529302:-1 gene:B456_009G200500 transcript:KJB58235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHWGLILLLFLLFQQGNFLLRVKADDEFIKTKGLQLMLHGSPFYANGFNAYWLMYMAADSSQRSKVSSAFQQAKEHGLTIARTWAFSDGGDRPLQYSPGSYNEQMFQGLDFVVSEAKRYGIKLVLSFANNYDQFGGKKQYVNWARNEGQSIGSDDDFFTNSVVKEYYKNHIKAVLTRRNTLTGVAYKDEPTIMAWELMNEPRCLSDPSGKTMQAWITEMASHVKSIDGNHLLEAGLEGFYGPSSSQKQQYNPNFQVGTDFIANNQIPGIDFATVHSYPDQWLQSSSDESQIAFLNNWLYNHIQDAQNILQKPLLFAEFGKSLKIAGPNQRDELYNTVYTAIYSSARGGGAAIGGLFWQLLAEGMDSYGDGYEVIMSQGTSIVDLITRESQKLNRIRKMYVRLRDIEKWNKAREIRRAQWWSGNGVSNTGN >KJB62328 pep chromosome:Graimondii2_0_v6:9:62530399:62531440:1 gene:B456_009G412000 transcript:KJB62328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKAIFSLVLILVISGNFVQSDLTFNFDNECSFSVWLSASPSIGDGDPERGPGTLEIFSMPDPWTGSLWLRTKCSYDASQVNFTCETGDCGSGSVDCQSPPPKPPVTLLNFDINQNVVSYEVSLNHGFNVPVRIQPIGGTLAGGSGVCPVVDCIKDMGDVCPPSLVAINKNRAYVGCNSPCDALKDPKYCCTGSFTGQACQPNDFSKRFKELCQLAHTYPGDNDPPIYKCRGASAYNVTFCPL >KJB62688 pep chromosome:Graimondii2_0_v6:9:67549938:67550526:1 gene:B456_009G430300 transcript:KJB62688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSTRAWIAAASSGAIEALKDQGICTWIYAQRSIQQHAKINIRSSSSSSTSQAKKICAPSSDNLFNKLGDDDKLKKYEESLRTVMLVIPLLI >KJB55857 pep chromosome:Graimondii2_0_v6:9:7118550:7124751:-1 gene:B456_009G098300 transcript:KJB55857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQKRLDYGFNGYQVPTIPRATRSARKRVPFRKRVEGNRISAFDLLATVAGTLLLDKESSPASNKAWNAEDQSAVEKNNVKEERQDGDQSLKLETCNVSELVPQTNDPNYSSRESPSLQNGTQFGVTSAITTSERLDSQKLINGKIKNEMGGLACKVETGPFVSRTPGDCVEPVSENKVLTDEELDRTNKASSGEVADKCPLEDPLVLDGKPPAVVSSDSSIKAPSFGEHDPFISFPIQQYDVNVVSRDDDEKSSGCTHPSPIREPFRSTPRIGDRRIRKILASRYWKVALRSKNATYSNYDENLKSGYCNRSTYKRLRSGRNFPFKKRKFLHYTSESNSDGGTIGEGISDLPEKNINGKASILYSKMHGVTGESSSLADQRKSFNSRDPHVKLRIKSFRVPELFIEIPESATVGSLKRTVKEAVTAILGGGLCVGVLLQGKKVRDDNKTLLQTGISRDNQMDSLGFSLEPNPSHTSPSLCPGGSPLTLPHDIPLPLARYPANPGLVNQVTCHPSTEPCIPNACDFIESNNDCTASPTDMFDKSTTESKALVAVPAMSVETLAAVPVHKKSKQSEVAQRRIRRPFSISEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLLHTARISPQQRRGEPVPQELLDRVLNAHTYWSQQQAKQQQQQLPESCLPHYNSTMIGSGRCDDDENILG >KJB55858 pep chromosome:Graimondii2_0_v6:9:7118616:7124683:-1 gene:B456_009G098300 transcript:KJB55858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQKRLDYGFNGYQVPTIPRATRSARKRVPFRKRVEGNRISAFDLLATVAGTLLLDKESSPASNKAWNAEDQSAVEKNNVKEERQDGDQSLKLETCNVSELVPQTNDPNYSSRESPSLQNGTQFGVTSAITTSERLDSQKLINGKIKNEMGGLACKVETGPFVSRTPGDCVEPVSENKVLTDEELDRTNKASSGEVADKCPLEDPLVLDGKPPAVVSSDSSIKAPSFGEHDPFISFPIQQYDVNVVSRDDDEKSSGCTHPSPIREPFRSTPRIGDRRIRKILASRYWKVALRSKNATYSNYDENLKSGYCNRSTYKRLRSGRNFPFKKRKFLHYTSESNSDGGTIGEGISDLPEKNINGKASILYSKMHGVTGESSSLADQRKSFNSRDPHVKLRIKSFRVPELFIEIPESATVGSLKRTVKEAVTAILGGGLCVGVLLQGKKVRDDNKTLLQTGISRDNQMDSLGFSLEPNPSHTSPSLCPGGSPLTLPHDIPLPLARYPANPGLVNQVTCHPSTEPCIPNACDFIESNNDCTASPTDMFDKSTTESKALVAVPAMSVETLAAVPVHKKSKQSEVAQRRIRRPFSISEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKVSQNSTFFS >KJB55855 pep chromosome:Graimondii2_0_v6:9:7118525:7124928:-1 gene:B456_009G098300 transcript:KJB55855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLDYGFNGYQVPTIPRATRSARKRVPFRKRVEGNRISAFDLLATVAGTLLLDKESSPASNKAWNAEDQSAVEKNNVKEERQDGDQSLKLETCNVSELVPQTNDPNYSSRESPSLQNGTQFGVTSAITTSERLDSQKLINGKIKNEMGGLACKVETGPFVSRTPGDCVEPVSENKVLTDEELDRTNKASSGEVADKCPLEDPLVLDGKPPAVVSSDSSIKAPSFGEHDPFISFPIQQYDVNVVSRDDDEKSSGCTHPSPIREPFRSTPRIGDRRIRKILASRYWKVALRSKNATYSNYDENLKSGYCNRSTYKRLRSGRNFPFKKRKFLHYTSESNSDGGTIGEGISDLPEKNINGKASILYSKMHGVTGESSSLADQRKSFNSRDPHVKLRIKSFRVPELFIEIPESATVGSLKRTVKEAVTAILGGGLCVGVLLQGKKVRDDNKTLLQTGISRDNQMDSLGFSLEPNPSHTSPSLCPGGSPLTLPHDIPLPLARYPANPGLVNQVTCHPSTEPCIPNACDFIESNNDCTASPTDMFDKSTTESKALVAVPAMSVETLAAVPVHKKSKQSEVAQRRIRRPFSISEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLLHTARISPQQRRGEPVPQELLDRVLNAHTYWSQQQAKQQQQQLPESCLPHYNSTMIGSGRCDDDENILG >KJB55856 pep chromosome:Graimondii2_0_v6:9:7118550:7124527:-1 gene:B456_009G098300 transcript:KJB55856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQKRLDYGFNGYQVPTIPRATRSARKRVPFRKRVEGNRISAFDLLATVAGTLLLDKESSPASNKAWNAEDQSAVEKNNVKEERQDGDQSLKLETCNVSELVPQTNDPNYSSRESPSLQNGTQFGVTSAITTSERLDSQKLINGKIKNEMGGLACKVETGPFVSRTPGDCVEPVSENKVLTDEELDRTNKASSGEVADKCPLEDPLVLDGKPPAVVSSDSSIKAPSFGEHDPFISFPIQQYDVNVVSRDDDEKSSGCTHPSPIREPFRSTPRIGDRRIRKILASRYWKVALRSKNATYSNYDENLKSGYCNRSTYKRLRSGRNFPFKKRKFLHYTSESNSDGGTIGEGISDLPEKNINGKASILYSKMHGVTGESSSLADQRKSFNSRDPHVKLRIKSFRVPELFIEIPESATVGSLKRTVKEAVTAILGGGLCVGVLLQGKKVRDDNKTLLQTGISRDNQMDSLGFSLEPNPSHTSPSLCPGGSPLTLPHDIPLPLARYPANPGLVNQVTCHPSTEPCIPNACDFIESNNDCTASPTDMFDKSTTESKALVAVPAMSVETLAAVPVHKKSKQSEVAQRRIRRPFSISEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLLHTARISPQQRRGEPVPQELLDRVLNAHTYWSQQQAKQQQQQLPESCLPHYNSTMIGSGRCDDDENILG >KJB55854 pep chromosome:Graimondii2_0_v6:9:7118525:7124751:-1 gene:B456_009G098300 transcript:KJB55854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQKRLDYGFNGYQVPTIPRATRSARKRVPFRKRVEGNRISAFDLLATVAGTLLLDKESSPASNKAWNAEDQSAVEKNNVKEERQDGDQSLKLETCNVSELVPQTNDPNYSSRESPSLQNGTQFGVTSAITTSERLDSQKLINGKIKNEMGGLACKVETGPFVSRTPGDCVEPVSENKVLTDEELDRTNKASSGEVADKCPLEDPLVLDGKPPAVVSSDSSIKAPSFGEHDPFISFPIQQYDVNVVSRDDDEKSSGCTHPSPIREPFRSTPRIGDRRIRKILASRYWKVALRSKNATYSNYDENLKSGYCNRSTYKRLRSGRNFPFKKRKFLHYTSESNSDGGTIGEGISDLPEKNINGKASILYSKMHGVTGESSSLADQRKSFNSRDPHVKLRIKSFRVPELFIEIPESATVGSLKRTVKEAVTAILGGGLCVGVLLQGKKVRDDNKTLLQTGISRDNQMDSLGFSLEPNPSHTSPSLCPGGSPLTLPHDIPLPLARYPANPGLVNQVTCHPSTEPCIPNACDFIESNNDCTASPTDMFDKSTTESKALVAVPAMSVETLAAVPVHKKSKQSEVAQRRIRRPFSISEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLLHTARISPQQRRGEPVPQELLDRVLNAHTYWSQQQAKQQQQQLPESCLPHYNSTMIGSGRCDDDENILG >KJB60867 pep chromosome:Graimondii2_0_v6:9:33222626:33225269:1 gene:B456_009G328700 transcript:KJB60867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHGREMIQLGLPIADAPLDGETAARTSKDRRIEAIPSLLQDTIERLVDLQVITAKPDSCIIDVYNEGDHSPPRMWPPWFGNPTFVLFLTECDITFGRVIGVDHPGDFKGSLKLSLSPGSLLVMEGKSADFAKHALPSVRKHRMLVTFTKYQPKQSVADNQRPPSPSVSQSPQWGPPSSRSPNRFRHSAGTKHFAAIPTTGVLPAAAIRQQIPPANVVQPLFVPAPAIPFPAPVPIPPGSTGWSAAAGQRHFPPHLPIPGTGVFLPPPGSNSSSQQLSTIATELNIPMETISPLENSNGSGKLTHHTTSSRGKCDGPSPKQGCNGSVDETGNGRAMMKEEQQCA >KJB60865 pep chromosome:Graimondii2_0_v6:9:33219486:33225023:1 gene:B456_009G328700 transcript:KJB60865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSGNAVLSDKMQFPAPSAAVAGAGGGDAGAVGGAGGGGGGGGGGAEFNQHHHRNWFPDERDGFIYWLRGEFAAANAMIDSLCHHLREVGEVGEYEAVIACIQQRRCHWNPVLHMQQYFSIAEVSYALQQVAWRCRQRHCDHGKVGGKDFKRSGFGFKGHRVEVAKEIQNSVVDTNGNSTVTAVSERNERGSEKYEELKLGGELGKVEDKGSVVTEEHDSHPAQNQNENQTLALLPKTFVGNEMFDGNMVNVVDGLKLYEKLFDEKEVSDLVSLINELRAAGKRGHFQVQTYVASKKPMKGHGREMIQLGLPIADAPLDGETAARTSKVDRRIEAIPSLLQDTIERLVDLQVITAKPDSCIIDVYNEGDHSPPRMWPPWFGNPTFVLFLTECDITFGRVIGVDHPGDFKGSLKLSLSPGSLLVMEGKSADFAKHALPSVRKHRMLVTFTKYQPKQSVADNQRPPSPSVSQSPQWGPPSSRSPNRFRHSAGTKHFAAIPTTGVLPAAAIRQQIPPANVVQPLFVPAPAIPFPAPVPIPPGSTGWSAAAGQRHFPPHLPIPGTGVFLPPPGSNSSSQQLSTIATELNIPMETISPLENSNGSGKLTHHTTSSRGKCDGPSPKQGCNGSVDETGNGRAMMKEEQQCA >KJB60862 pep chromosome:Graimondii2_0_v6:9:33219417:33225269:1 gene:B456_009G328700 transcript:KJB60862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSGNAVLSDKMQFPAPSAAVAGAGGGDAGAVGGAGGGGGGGGGGAEFNQHHHRNWFPDERDGFIYWLRGEFAAANAMIDSLCHHLREVGEVGEYEAVIACIQQRRCHWNPVLHMQQYFSIAEVSYALQQVAWRCRQRHCDHGKVGGKDFKRSGFGFKGHRVEVAKEIQNSVVDTNGNSTVTAVSERNERGSEKYEELKLGGELGKVEDKGSVVTEEHDSHPAQNQNENQTLALLPKTFVGNEMFDGNMVNVVDGLKLYEKLFDEKEVSDLVSLINELRAAGKRGHFQVQTYVASKKPMKGHGREMIQLGLPIADAPLDGETAARTSKDRRIEAIPSLLQDTIERLVDLQVITAKPDSCIIDVYNEGDHSPPRMWPPWFGNPTFVLFLTECDITFGRVIGVDHPGDFKGSLKLSLSPGSLLVMEGKSADFAKHALPSVRKHRMLVTFTKYQPKQSVADNQRPPSPSVSQSPQWGPPSSRSPNRFRHSAGTKHFAAIPTTGVLPAAAIRQQIPPANVVQPLFVPAPAIPFPAPVPIPPGSTGWSAAAGQRHFPPHLPIPGTGVFLPPPGSNSSSQQLSTIATELNIPMETISPLENSNGSGKLTHHTTSSRGKCDGPSPKQGCNGSVDETGNGRAMMKEEQQCA >KJB60864 pep chromosome:Graimondii2_0_v6:9:33219486:33225023:1 gene:B456_009G328700 transcript:KJB60864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSGNAVLSDKMQFPAPSAAVAGAGGGDAGAVGGAGGGGGGGGGGAEFNQHHHRNWFPDERDGFIYWLRGEFAAANAMIDSLCHHLREVGEVGEYEAVIACIQQRRCHWNPVLHMQQYFSIAEVSYALQQVAWRCRQRHCDHGKVGGKDFKRSGFGFKGHRVEVAKEIQNSVVDTNGNSTVTAVSERNERGSEKYEELKLGGELGKVEDKGSVVTEEKSSLSCLARNSWNRLEHDSHPAQNQNENQTLALLPKTFVGNEMFDGNMVNVVDGLKLYEKLFDEKEVSDLVSLINELRAAGKRGHFQVQTYVASKKPMKGHGREMIQLGLPIADAPLDGETAARTSKDRRIEAIPSLLQDTIERLVDLQVITAKPDSCIIDVYNEGDHSPPRMWPPWFGNPTFVLFLTECDITFGRVIGVDHPGDFKGSLKLSLSPGSLLVMEGKSADFAKHALPSVRKHRMLVTFTKYQPKQSVADNQRPPSPSVSQSPQWGPPSSRSPNRFRHSAGTKHFAAIPTTGVLPAAAIRQQIPPANVVQPLFVPAPAIPFPAPVPIPPGSTGWSAAAGQRHFPPHLPIPGTGVFLPPPGSNSSSQQLSTIATELNIPMETISPLENSNGSGKLTHHTTSSRGKCDGPSPKQGCNGSVDETGNGRAMMKEEQQCA >KJB60863 pep chromosome:Graimondii2_0_v6:9:33219486:33225023:1 gene:B456_009G328700 transcript:KJB60863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFYQIKCRGGGGGGGGGAEFNQHHHRNWFPDERDGFIYWLRGEFAAANAMIDSLCHHLREVGEVGEYEAVIACIQQRRCHWNPVLHMQQYFSIAEVSYALQQVAWRCRQRHCDHGKVGGKDFKRSGFGFKGHRVEVAKEIQNSVVDTNGNSTVTAVSERNERGSEKYEELKLGGELGKVEDKGSVVTEEHDSHPAQNQNENQTLALLPKTFVGNEMFDGNMVNVVDGLKLYEKLFDEKEVSDLVSLINELRAAGKRGHFQVQTYVASKKPMKGHGREMIQLGLPIADAPLDGETAARTSKDRRIEAIPSLLQDTIERLVDLQVITAKPDSCIIDVYNEGDHSPPRMWPPWFGNPTFVLFLTECDITFGRVIGVDHPGDFKGSLKLSLSPGSLLVMEGKSADFAKHALPSVRKHRMLVTFTKYQPKQSVADNQRPPSPSVSQSPQWGPPSSRSPNRFRHSAGTKHFAAIPTTGVLPAAAIRQQIPPANVVQPLFVPAPAIPFPAPVPIPPGSTGWSAAAGQRHFPPHLPIPGTGVFLPPPGSNSSSQQLSTIATELNIPMETISPLENSNGSGKLTHHTTSSRGKCDGPSPKQGCNGSVDETGNGRAMMKEEQQCA >KJB60866 pep chromosome:Graimondii2_0_v6:9:33219486:33225023:1 gene:B456_009G328700 transcript:KJB60866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSGNAVLSDKMQFPAPSAAVAGAGGGDAGAVGGAGGGGGGGGGGAEFNQHHHRNWFPDERDGFIYWLRGEFAAANAMIDSLCHHLREVGEVGEYEAVIACIQQRRCHWNPVLHMQQYFSIAEVSYALQQVAWRCRQRHCDHGKVGGKDFKRSGFGFKGHRVEVAKEIQNSVVDTNGNSTVTAVSERNERGSEKYEELKLGGELGKVEDKGSVVTEEHDSHPAQNQNENQTLALLPKTFVGNEMFDGNMVNVVDGLKLYEKLFDEKEVSDLVSLINELRAAGKRGHFQAVQTYVASKKPMKGHGREMIQLGLPIADAPLDGETAARTSKDRRIEAIPSLLQDTIERLVDLQVITAKPDSCIIDVYNEGDHSPPRMWPPWFGNPTFVLFLTECDITFGRVIGVDHPGDFKGSLKLSLSPGSLLVMEGKSADFAKHALPSVRKHRMLVTFTKYQPKQSVADNQRPPSPSVSQSPQWGPPSSRSPNRFRHSAGTKHFAAIPTTGVLPAAAIRQQIPPANVVQPLFVPAPAIPFPAPVPIPPGSTGWSAAAGQRHFPPHLPIPGTGVFLPPPGSNSSSQQLSTIATELNIPMETISPLENSNGSGKLTHHTTSSRGKCDGPSPKQGCNGSVDETGNGRAMMKEEQQCA >KJB58317 pep chromosome:Graimondii2_0_v6:9:15818239:15821438:1 gene:B456_009G204300 transcript:KJB58317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFESNKTWKSMSVVPRLLKNKSASRCFLPKVKPASSDPGYTPVYLNVYDLTPMNGYFYWAGLGIYHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTSLDPLQVREFMECNSARFKGDTYHLIVKNCNHFCKDICYRLTGKHIPNWVNRLARIGSMCNCILPEALKTSVVKHDPNYQPYDSEKRRLRCAFSCLSSVSIRQKSSVLLQSPLRGCLPLWEPKKSNKLLLKER >KJB58321 pep chromosome:Graimondii2_0_v6:9:15818352:15821438:1 gene:B456_009G204300 transcript:KJB58321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFESNKTWKSMSVVPRLLKNKSASRCFLPKVKPASSDPGYTPVYLNVYDLTPMNGYFYWAGLGIYHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTSLDPLQVREFMECNSARFKGDTYHLIVKNCNHFCKDICYRLTGKHIPNWVNRLARIGSMCNCILPEALKTSVVKHDPNYQPYDSEKRRLRCAFSCLSSVSIRQKSSVLLQSPLRGCLPLWEPKKSNKLLLKER >KJB58319 pep chromosome:Graimondii2_0_v6:9:15819923:15820315:1 gene:B456_009G204300 transcript:KJB58319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPTLLPVCCSVFESFKFSFFITLSICCTVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTSLDPLQVREFMECNSARFKGDTYHLIVKNCNHFCKDICYRLTGKHIPNWVNRLARIGKSL >KJB58322 pep chromosome:Graimondii2_0_v6:9:15819165:15821438:1 gene:B456_009G204300 transcript:KJB58322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFESNKTWKSMSVVPRLLKNKSASRCFLPKVKPASSDPGYTPVYLNVYDLTPMNGYFYWAGLGIYHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTSLDPLQVREFMECNSARFKGDTYHLIVKNCNHFCKDICYRLTGKHIPNWVNRLARIGSMCNCILPEALKTSVVKHDPNYQPYDSEKRRLRCAFSCLSSVSIRQKSSVLLQSPLRGCLPLWEPKKSNKLLLKER >KJB58318 pep chromosome:Graimondii2_0_v6:9:15818352:15820377:1 gene:B456_009G204300 transcript:KJB58318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFESNKTWKSMSVVPRLLKNKSASRCFLPKVKPASSDPGYTPVYLNVYDLTPMNGYFYWAGLGIYHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTSLDPLQVREFMECNSARFKGDTYHLIVKNCNHFCKDICYRLTGKHIPNWVNRLARIGKSL >KJB58320 pep chromosome:Graimondii2_0_v6:9:15819923:15820676:1 gene:B456_009G204300 transcript:KJB58320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPTLLPVCCSVFESFKFSFFITLSICCTVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTSLDPLQVREFMECNSARFKGDTYHLIVKNCNHFCKDICYRLTGKHIPNWVNRLARIGSMCNCILPEALKTSVVKHDPNYQPYDSEKRRLRCAFSCLSSVSIRQKSSVLLQSPLRGCLPLWEPKKSNKLLLKER >KJB57449 pep chromosome:Graimondii2_0_v6:9:12619531:12623296:-1 gene:B456_009G164700 transcript:KJB57449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNLFKSIINIVKDDNSKKVKGSSASEKSSGFKWKKHQQKASTRPGLISGNSNTLGMPIEDLAATRIQTAFRAYRARKQLRRLKGIVRLQAQTLNSSIKKQATTTLNYLHSWSIIQAQIRARRLCMVTEGHLRQKKIANQIKLEAKLHDIEVEWSCGPETMEEILTKIHHREEAAIKRERTMAYAFSHQWRAPNSDNNGIGNYELAKANWGWSWVERWIAVRPWESRLPAQSITPKKPLNRQINKASKNSSSPKPKPKPHFSNEKETMKMKPRRLSYPGAEKPAARQQSTKAEEENNKKGERVV >KJB57447 pep chromosome:Graimondii2_0_v6:9:12619531:12621757:-1 gene:B456_009G164700 transcript:KJB57447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPLMQARKQLRRLKGIVRLQAQTLNSSIKKQATTTLNYLHSWSIIQAQIRARRLCMVTEGHLRQKKIANQIKLEAKLHDIEVEWSCGPETMEEILTKIHHREEAAIKRERTMAYAFSHQWRAPNSDNNGIGNYELAKANWGWSWVERWIAVRPWESRLPAQSITPKKPLNRQINKASKNSSSPKPKPKPHFSNEKETMKMKPRRLSYPGAEKPAARQQSTKAEEENNKKGERVV >KJB57446 pep chromosome:Graimondii2_0_v6:9:12619531:12622704:-1 gene:B456_009G164700 transcript:KJB57446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNLFKSIINIVKDDNSKKVKGSSASEKSSGFKWKKHQQKASTRPGLISGNSNTLGMPIEDLAATRIQTAFRAYRARKQLRRLKGIVRLQAQTLNSSIKKQATTTLNYLHSWSIIQAQIRARRLCMVTEGHLRQKKIANQIKLEAKLHDIEVEWSCGPETMEEILTKIHHREEAAIKRERTMAYAFSHQWRAPNSDNNGIGNYELAKANWGWSWVERWIAVRPWESRLPAQSITPKKPLNRQINKASKNSSSPKPKPKPHFSNEKETMKMKPRRLSYPGAEKPAARQQSTKAEEENNKKGERVV >KJB57448 pep chromosome:Graimondii2_0_v6:9:12619468:12623594:-1 gene:B456_009G164700 transcript:KJB57448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNLFKSIINIVKDDNSKKVKGSSASEKSSGFKWKKHQQKASTRPGLISGNSNTLGMPIEDLAATRIQTAFRAYRARKQLRRLKGIVRLQAQTLNSSIKKQATTTLNYLHSWSIIQAQIRARRLCMVTEGHLRQKKIANQIKLEAKLHDIEVEWSCGPETMEEILTKIHHREEAAIKRERTMAYAFSHQWRAPNSDNNGIGNYELAKANWGWSWVERWIAVRPWESRLPAQSITPKKPLNRQINKASKNSSSPKPKPKPHFSNEKETMKMKPRRLSYPGAEKPAARQQSTKAEEENNKKGERVV >KJB61176 pep chromosome:Graimondii2_0_v6:9:40952381:40953742:1 gene:B456_009G344400 transcript:KJB61176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAIDAAALVIQHPSGRLERLYWPIPVSEVMKMNPGHYVSLIIPLPVSQEEEANHHQDRDTVRFTRVKLLRPTDTLTLGHAYRLITSQEVMKFMRAKKYAKTKRESMKKLQHHGQDNLTSGGKSDAHITNQVWLSLIFQICSSQLSNL >KJB61177 pep chromosome:Graimondii2_0_v6:9:40952381:40953742:1 gene:B456_009G344400 transcript:KJB61177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAIDAAALVIQHPSGRLERLYWPIPVSEVMKMNPGHYVSLIIPLPVSQEEEANHHQDRDTVRFTRVKLLRPTDTLTLGHAYRLITSQEVMKFMRAKKYAKTKRESMKKLQHHGQDNLTSGGKSDAHITNQVWLSLIFQICSSQLSNL >KJB61175 pep chromosome:Graimondii2_0_v6:9:40952031:40953742:1 gene:B456_009G344400 transcript:KJB61175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAIDAAALVIQHPSGRLERLYWPIPVSEVMKMNPGHYVSLIIPLPVSQEEEANHHQDRDTVRFTRVKLLRPTDTLTLGHAYRLITSQEVMKFMRAKKYAKTKRESMKKLQHHGQDNLTSGGKSDAHITNQELKNERQISRTSSVNAASMRSKSWRPSLQSISEAVG >KJB59828 pep chromosome:Graimondii2_0_v6:9:22971773:22973135:-1 gene:B456_009G274200 transcript:KJB59828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMAISGLYLLFLNLFSFGTILSSATLFTLQNHCSFMVWPGSLSGNGAAIGEGGFVLAPGSSAQYQAPPGWSGRFWGRTGCTFDNSGSGKCVTGDCGGVLKCTGGGAPPVSLVEFTIAGGGSGDKDFYDVSLVDGYNVALGVRATGGSGDCQYAGCVSDLNSNCPPELQVIDSGSVVACKSACAAFNSPEFCCTGDHATPQTCSPTQFSVMFKNACPTAYSYAYDDASSTRTCSGSDYLITFCPTVS >KJB59417 pep chromosome:Graimondii2_0_v6:9:20829049:20829525:-1 gene:B456_009G253800 transcript:KJB59417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILSLLSTANFWYRVHIVILYDPDCLLSVHIMHTTLVAGWADSMALYELVVFDPSALILDPMWRQGMFVIPFMTRLGITNSWGGWSITGGTITNLGIWSYESVAGAHIIYWNLEIFCDERTRKPSLDLPKIFGIHLFLSGVACFGL >KJB56634 pep chromosome:Graimondii2_0_v6:9:9672777:9679906:-1 gene:B456_009G128700 transcript:KJB56634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNDLYQASNSLRANGSSRWRDTGYNVFSRSFRQEDDDDDEEALKWAAIERLPTFARLKKALLTISPGETSEVDVPKLGHEERKKLMDRLIKHTEKDNESFLLKVRQRVDRVGIEIPKLEVRYEHLKVEAEAYIGSRALPSFFNFFINKLESILHCLHLLSTRKKQLSILRDVSGTIRPCRMTLLLGPPSSGKTTLLLALAGKLNRDLKFSGRVTYNGHEMKDFVPQRIAAYIGQNDLHLPEWTVRETIAFSARCQGVGPSYEMLAELARREKAANIKPDPDIDAFMKAASIGGQETSVITDYIIKILGLENCADTLVGNEMIRGISGGQRKRVTTGEMLAGPAKLLLMDEISTGLDSSTTYQIVKSLRQKVHILNGTAFISLLQPAPETFELFDDIVLLSDGQIVYQGPRENVLEFFESMGFKCPARKGVADFLQEVTSRKDQRQYWNQTDKAYSFVTVEEFAEAFQSFHVGKRLQDDLATPFDKNRSDPTLLTTKNYGVNKMELIKACFSKELLLMKRNSFIYIFKLIQLVVMAVIGSTVFLRTEMHKKTPTDGIVQMGAVFFSVFMFMFSGLLDLAMTGLRLPVFFKQRDNLFYPAWVYALPTWILNIPISFIEVALWVVVTYYAMGLDPDIFRFLKQFLLLVLTNQMSSALFRLVAALGREMTVTNTLASFCLLVLFANCGYVLSQDEVRKWWIWAYWISPMMYSQNAIVINEFLGESWNHVLPFTTEKLGVLVLKSRGFFSEAYWYWIGVGALVGFILVLNILNTLALTYLNPLDEFQRVKSEDQNNEDDDRNGVDAQLQHKGSNTDLLRTEADPDTIQYREKGMILPFLQHCISFEKIIYAIDMPQEMKAQGVTEDRLVLLRGITGAFRPGVLTALMGVSGSGKTTLMDVLAGRKTGGYIEGSIKISGFPKKQETFARICGYCEQTDIHSPHLTVYESLLYSACLRLDPEVNSETRKMFVKEVMELVELTPLRQALVGLPGVSGLSLEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPGIDIFEAFDELLLLKQGGEEIYVGPLGHNSCDMIKYFEGIEGISRIKDGNNPATWMLEVSTPEQEMALGVDFADLYERSELHKRNKALTEQLNVPSPGSKQLHFPTRYSRSFFSQFLVCLWKQRWSYWRNTSYTAVRFFFTTVIALMFGTMFWNVGSRRTRYQDLFNSMGSMYAAVLFLGVQNAASIQPIVHIERTVFYREKAAGMYSPMAYAFAQVVVELPYILVQAATYGIIVYSMMAFEWSAAKFLWYIFFMYFTLLYFTFFGMMTMAITPNFHVAAIISTAFYGLWNLFTGFIVPRTRIPIWWRWNYWICPMAWTLYGLIVSQYGDVKDVLDAGETTEEFLKKYFGFRHDFVGVVAAVIVGWALLFAFLFAVSIKLFNFQRR >KJB56633 pep chromosome:Graimondii2_0_v6:9:9672777:9678573:-1 gene:B456_009G128700 transcript:KJB56633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAELARREKAANIKPDPDIDAFMKAASIGGQETSVITDYIIKILGLENCADTLVGNEMIRGISGGQRKRVTTGEMLAGPAKLLLMDEISTGLDSSTTYQIVKSLRQKVHILNGTAFISLLQPAPETFELFDDIVLLSDGQIVYQGPRENVLEFFESMGFKCPARKGVADFLQEVTSRKDQRQYWNQTDKAYSFVTVEEFAEAFQSFHVGKRLQDDLATPFDKNRSDPTLLTTKNYGVNKMELIKACFSKELLLMKRNSFIYIFKLIQLVVMAVIGSTVFLRTEMHKKTPTDGIVQMGAVFFSVFMFMFSGLLDLAMTGLRLPVFFKQRDNLFYPAWVYALPTWILNIPISFIEVALWVVVTYYAMGLDPDIFRFLKQFLLLVLTNQMSSALFRLVAALGREMTVTNTLASFCLLVLFANCGYVLSQDEVRKWWIWAYWISPMMYSQNAIVINEFLGESWNHVLPFTTEKLGVLVLKSRGFFSEAYWYWIGVGALVGFILVLNILNTLALTYLNPLDEFQRVKSEDQNNEDDDRNGVDAQLQHKGSNTDLLRTEADPDTIQYREKGMILPFLQHCISFEKIIYAIDMPQEMKAQGVTEDRLVLLRGITGAFRPGVLTALMGVSGSGKTTLMDVLAGRKTGGYIEGSIKISGFPKKQETFARICGYCEQTDIHSPHLTVYESLLYSACLRLDPEVNSETRKMFVKEVMELVELTPLRQALVGLPGVSGLSLEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPGIDIFEAFDELLLLKQGGEEIYVGPLGHNSCDMIKYFEGIEGISRIKDGNNPATWMLEVSTPEQEMALGVDFADLYERSELHKRNKALTEQLNVPSPGSKQLHFPTRYSRSFFSQFLVCLWKQRWSYWRNTSYTAVRFFFTTVIALMFGTMFWNVGSRRTRYQDLFNSMGSMYAAVLFLGVQNAASIQPIVHIERTVFYREKAAGMYSPMAYAFAQVVVELPYILVQAATYGIIVYSMMAFEWSAAKFLWYIFFMYFTLLYFTFFGMMTMAITPNFHVAAIISTAFYGLWNLFTGFIVPRTRIPIWWRWNYWICPMAWTLYGLIVSQYGDVKDVLDAGETTEEFLKKYFGFRHDFVGVVAAVIVGWALLFAFLFAVSIKLFNFQRR >KJB57141 pep chromosome:Graimondii2_0_v6:9:11399935:11403733:-1 gene:B456_009G150200 transcript:KJB57141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPKQTTMAIKSYKNQAQMLVKNYLLADPFAPYTSILGGILACKVVYDLTDLISSFYIKTYPSLTKIQRVDWNNRGISTTHAIFVSALSLYFVFWSDLFSDPHLTGLMVFRSSQLSTFGLGVSLGYFFSDLAMTLWQYPALGGIEYVIHHLLSGTAVAYSMFTGEAQLYTYMVLISEVTTPEIHLRWYLDTAGMKRSTAYLINGVVIFIGWLVLDRRLREFFCLATCSTMCTYIMIR >KJB57143 pep chromosome:Graimondii2_0_v6:9:11399935:11404167:-1 gene:B456_009G150200 transcript:KJB57143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPKQTTMAIKSYKNQAQMLVKNYLLADPFAPYTSILGGILACKVVYDLTDLISSFYIKTYPSLTKIQRVDWNNRGISTTHAIFVSALSLYFVFWSDLFSDPHLTGLMVFRSSQLSTFGLGVSLGYFFSDLAMTLWQYPALGGIEYVIHHLLSGTAVAYSMFTGEAQLYTYMVLISEVTTPEIHLRWYLDTAGMKRSTAYLINGVVIFIGWLIARVLLFGYMFYHVYLHYDQVIKMHAFGFVLVFGVPSALGILNLMWFGKIIKGLAKTLAKRRSWTKELDSEN >KJB57142 pep chromosome:Graimondii2_0_v6:9:11400558:11403002:-1 gene:B456_009G150200 transcript:KJB57142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPKQTTMAIKSYKNQAQMLVKNYLLADPFAPYTSILGGILACKVVSLGYFFSDLAMTLWQYPALGGIEYVIHHLLSGTAVAYSMFTGEAQLYTYMVLISEVTTPEIHLRWYLDTAGMKRSTAYLINGVVIFIGWLVLDRRLREFFCLATCSTMCTYIMIR >KJB57145 pep chromosome:Graimondii2_0_v6:9:11399935:11403846:-1 gene:B456_009G150200 transcript:KJB57145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPKQTTMAIKSYKNQAQMLVKNYLLADPFAPYTSILGGILACKVVYDLTDLISSFYIKTYPSLTKIQRVDWNNRGISTTHAIFVSALSLYFVFWSDLFSDPHLTGLMVFRSSQLSTFGLGVSLGYFFSDLAMTLWQYPALGGIEYVIHHLLSGTAVAYSMFTGEAQLYTYMVLISEVTTPEIHLRWYLDTAGMKRSTAYLINGVVIFIGWLIARVLLFGYMFYHVYLHYDQVIKMHAFGFVLVFGVPSALGILNLMWFGKIIKGLAKTLAKRRSWTKELDSEN >KJB57144 pep chromosome:Graimondii2_0_v6:9:11399935:11403846:-1 gene:B456_009G150200 transcript:KJB57144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPKQTTMAIKSYKNQAQMLVKNYLLADPFAPYTSILGGILACKVVSLGYFFSDLAMTLWQYPALGGIEYVIHHLLSGTAVAYSMFTGEAQLYTYMVLISEVTTPEIHLRWYLDTAGMKRSTAYLINGVVIFIGWLIARVLLFGYMFYHVYLHYDQVIKMHAFGFVLVFGVPSALGILNLMWFGKIIKGLAKTLAKRRSWTKELDSEN >KJB61638 pep chromosome:Graimondii2_0_v6:9:50331067:50331228:-1 gene:B456_009G3719002 transcript:KJB61638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEYFVVKANCISAIMELALNCSAELPEERKDMKDVVVELKKIKQRLLNNIKHV >KJB62474 pep chromosome:Graimondii2_0_v6:9:65093142:65094191:-1 gene:B456_009G418500 transcript:KJB62474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVPPTGASSQASRGSKRKWVPEDDAALVSYMVDLHNVGTFNADTGFKAGYLNELEKMLEKALPRAMLKARPNIESRIRCLKREWSVVYDMLNGQNNSGFGWDEHRQLVVAEDAVWESYVKSHKEASQFRHHSFPYYNQLTAIYTRDRATGKDAQTAADVLEEIHAEDERTTDMNEERNTFYDYEADVSLDDMDVSGTDPRGDRDQGGSSSSNKRKKKSDARDDVYSSFEEAATLLGEKIQAVGDKISRSIASEVVVQQKSEEKMEEKASNLYSALWSIEGLTDDQRYDALSKIPDHPNQMIVFFSLPSVARLEWVRRFLSHH >KJB55056 pep chromosome:Graimondii2_0_v6:9:4344247:4345707:1 gene:B456_009G060600 transcript:KJB55056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRTRTNFPYDPNAPQSSSSKLLSATLTAKLHKCYLASLQMTKQQSVQEPKSEPPTPHIITNNGIADRGSETGVRLLEKRPLPVQETEANWVVKKAQVERTQPFKSLEEDHIEQMIEELLDYGSIEICNV >KJB61513 pep chromosome:Graimondii2_0_v6:9:48041637:48048200:-1 gene:B456_009G363000 transcript:KJB61513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEMAFCICSSFPVCNFPIHSKWKSFSYNGSSFRRLIVFSTSQSDTTNKTSIEDVTITRRSANYHPAIWDYDYVQSLRNDFVQDESYKERSRKLKEEVRMMLGNVVDPLEKLELIDTLQRLGLSYHFEAEINNTLKNISSDRISTAAWKKENLYATALEFRLLRQHGYKVDQDVFTYFMDDVGNIKSSLNQDFKGLLNLYEASYHLLEGETMLENARELAAKLLKKYLKENNDHEYLSMLVDHALELPLHWRMPRLEARWFIDEYEKSKDKNPIILELAILDYNIVQSIHQEDLRYASTWWKELGLGKRFSFARDRLMENFLWTVGMEIAPEDGKSRIFLTMVYALITVIDDVYDVYGTLDELELFTDVVERWDINAIQRLPDYMKIYYHALYNSVNEMAFDTLKEQGINVIPFLKKLWTDLCKAYLLEAKWYYSGYTPTLQEYIDNAWISIGGSLVLAHSYLVNDHITEEGLHSIQENYSDIVYRSSVIVRLANDLVTSSYELKRGDIPKSIQCYMHESGASEEEAREHIRSLIDSTWKKINEDQMAKLPFSHFKS >KJB60821 pep chromosome:Graimondii2_0_v6:9:32818405:32821418:-1 gene:B456_009G327300 transcript:KJB60821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGKQSQEEEEYEEEEFGSKKQGPSSNQIMSGNAINNSNKAGGKNSDKANAIRSKHSVTEQRRRSKINERFQVLRDIIPNTDQKRDTASFLLEVIEYVQYLQEKVKKYEGSYQEWNSEPAKLMPWRNSHWRVQSFVGQQQAIKNGSDPGSAFAGKFNENNININPTMIASAQNPVESDPVRDTMSKAMDQQPELGSRGMPLPIQGDNMLVHPLQRPVSEAQPTECFIGNDTVNQQEDLTIEGGTINISSVYSEELLNSLTQALQSAGLDLSQANISVQIDLGKHANRGLTSGTSAKDPQSAPFQAMTHHRDVSNGEESDHAQKRMKK >KJB60822 pep chromosome:Graimondii2_0_v6:9:32818405:32821418:-1 gene:B456_009G327300 transcript:KJB60822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGKQSQEEEEYEEEEFGSKKQGPSSNQIMSGNAINNSNKGGKNSDKANAIRSKHSVTEQRRRSKINERFQVLRDIIPNTDQKRDTASFLLEVIEYVQYLQEKVKKYEGSYQEWNSEPAKLMPWRNSHWRVQSFVGQQQAIKNGSDPGSAFAGKFNENNININPTMIASAQNPVESDPVRDTMSKAMDQQPELGSRGMPLPIQGDNMLVHPLQRPVSEAQPTECFIGNDTVNQQEDLTIEGGTINISSVYSEELLNSLTQALQSAGLDLSQANISVQIDLGKHANRGLTSGTSAKSAPFQAMTHHRDVSNGEESDHAQKRMKK >KJB60819 pep chromosome:Graimondii2_0_v6:9:32819161:32821181:-1 gene:B456_009G327300 transcript:KJB60819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGKQSQEEEEYEEEEFGSKKQGPSSNQIMSGNAINNSNKGGKNSDKANAIRSKHSVTEQRRRSKINERFQVLRDIIPNTDQKRDTASFLLEVIEYVQYLQEKVKKYEGSYQEWNSEPAKLMPWRNSHWRVQSFVGQQQAIKNGSDPGSAFAGKFNENNININPTMIASAQNPVESDPVRDTMSKAMDQQPELGSRGMPLPIQGDNMLVHPLQRPVSEAQPTECFIGNDTVNQQEDLTIEGGTINISSVYSEEYGISYPLANFYITAHVDEYIMNCSDSLHQQ >KJB60817 pep chromosome:Graimondii2_0_v6:9:32818405:32821418:-1 gene:B456_009G327300 transcript:KJB60817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGKQSQEEEEYEEEEFGSKKQGPSSNQIMSGNAINNSNKGGKNSDKANAIRSKHSVTEQRRRSKINERFQVLRDIIPNTDQKRDTASFLLEVEYVQYLQEKVKKYEGSYQEWNSEPAKLMPWRNSHWRVQSFVGQQQAIKNGSDPGSAFAGKFNENNININPTMIASAQNPVESDPVRDTMSKAMDQQPELGSRGMPLPIQGDNMLVHPLQRPVSEAQPTECFIGNDTVNQQEDLTIEGGTINISSVYSEELLNSLTQALQSAGLDLSQANISVQIDLGKHANRGLTSGTSAKDPQSAPFQAMTHHRDVSNGEESDHAQKRMKK >KJB60816 pep chromosome:Graimondii2_0_v6:9:32818373:32821484:-1 gene:B456_009G327300 transcript:KJB60816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGKQSQEEEEYEEEEFGSKKQGPSSNQIMSGNAINNSNKGGKNSDKANAIRSKHSVTEQRRRSKINERFQVLRDIIPNTDQKRDTASFLLEVIEYVQYLQEKVKKYEGSYQEWNSEPAKLMPWRNSHWRVQSFVGQQQAIKNGSDPGSAFAGKFNENNININPTMIASAQNPVESDPVRDTMSKAMDQQPELGSRGMPLPIQGDNMLVHPLQRPVSEAQPTECFIGNDTVNQQEDLTIEGGTINISSVYSEELLNSLTQALQSAGLDLSQANISVQIDLGKHANRGLTSGTSAKDPQSAPFQAMTHHRDVSNGEESDHAQKRMKK >KJB60820 pep chromosome:Graimondii2_0_v6:9:32818405:32821418:-1 gene:B456_009G327300 transcript:KJB60820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGKQSQEEEEYEEEEFGSKKQGPSSNQIMSGNAINNSNKGGKNSDKANAIRSKHSVTEQRRRSKINERFQVLRDIIPNTDQKRDTASFLLEVIEYVQYLQEKVKKYEGSYQEWNSEPAKLMPWRNSHWRVQSFVGQQQAIKNGSDPGSAFAGKFNENNININPTMIASAQNPVESDPVRDTMSKAMDQQPELGSRGMPLPIQVNQQEDLTIEGGTINISSVYSEELLNSLTQALQSAGLDLSQANISVQIDLGKHANRGLTSGTSAKDPQSAPFQAMTHHRDVSNGEESDHAQKRMKK >KJB60818 pep chromosome:Graimondii2_0_v6:9:32818855:32821181:-1 gene:B456_009G327300 transcript:KJB60818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGKQSQEEEEYEEEEFGSKKQGPSSNQIMSGNAINNSNKGGKNSDKANAIRSKHSVTEQRRRSKINERFQVLRDIIPNTDQKRDTASFLLEVIEYVQYLQEKVKKYEGSYQEWNSEPAKLMPWRNSHWRVQSFVGQQQAIKNGSDPGSAFAGKFNENNININPTMIASAQNPVESDPVRDTMSKAMDQQPELGSRGMPLPIQGDNMLVHPLQRPVSEAQPTECFIGNDTVNQQEDLTIEGGTINISSVYSEELLNSLTQALQSAGLDLSQANISVQIDLGKHANRGLTSGTSAKV >KJB55432 pep chromosome:Graimondii2_0_v6:9:5460664:5465234:-1 gene:B456_009G075700 transcript:KJB55432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPASKSKSKDKKSSKDSQKASARPSGPANAASGAPASAYNPLLGTFHTIETAPSSSASPLQSNGRFRNIEDTDEHLGGSLGAGIEFDSISNNGSWSGESEEHKEKTSNLPVRPEIIPGADNDKREKIRQKNERKHQRQKERRAQELHERCNGYLMSRKLEALAQQLVAMGFSHDRATMALIFNEGKVEESVAWLFEGSEEEAVKHKESTIGGGNLKIDISEELARIADMEIRYKCTKQEVERAVVAAEGDLEKAAESLRSSKQDPPAPAKPEETCDPAGTNKVSVAGSQNISGRPQPKPNLSPAIQQRRDEKDFNYVKSTVPVGVSSEIVSKSFQPLKRIQPRLEWAKPQQSAVPAEKRWPSSGSNPSVSYSLASPLQASPPLAKTESRNVAVGSDYKKLQPSIREPVMMMQRPQSVNTKQVPATCISSSPPGTTPFLYPSSSVEITKSNGFMPHIASARGLSSNSLSSNPMHPQLYYPQQQQFSSSSSPGDSPGTSRGNGLWSRTGGSPTLAAASSLGLFTGSGSTNSSGASSPVDWSSGSSMAQLDYTNIDWSLDRTSPRPGGIWLGPTSPMKSSHLYYRNTNGLSVKPGMRLTPNVSAVAIAGLQDGGVAAAEASSTSVSHEWTSPFEGKDLFSLPRQYVSSPTL >KJB57226 pep chromosome:Graimondii2_0_v6:9:11795808:11797204:-1 gene:B456_009G154300 transcript:KJB57226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNCNLELRLLPSSYPSDSHDMMEERIESPETQQQQLTIFYNGRVCVSDVTELQAKAILILANRERVERMKSPTGWEPVSPTLKSQVNSPNTSLSMKRSLQRFLQKRKTRIQATSPYH >KJB63137 pep chromosome:Graimondii2_0_v6:9:70580383:70584512:1 gene:B456_009G454700 transcript:KJB63137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYQDDDAEYMADEYGMEDIDDMDEEFRARDMSGSESDVDEYDFSNNKISDTSAAEARRGKDIQGIPWDRLSITREKYRQTRLEQYKNYENIPHSGEVSGKDCKITKKGASYYDFRLNSRSVKSTILHFQLRNLIWATSKHDVYLMSRFSVMHWSSLTHKKREILNVSGHVAPSEKHPGSLMEGFTQTQVSTLAVKDNLLVAGGFQGELICKHLDRRGISFCSRTTYDDNAITNAVEIYVTPSGAVHFTASNNDCGVRDFDMEKFQLSKHFHFLWPVNHTSLSPDGKLLIIVGDNPDIMLVDSDTGKTVMPLHGHLDFSFASAWHPDGVTFATGNQDKTCRIWDVRNLSRSIAVLKGNLGAIRSIRYTSDGKYMAMAEPADFVHVYDVKSGYENEQEIDFFGEISGLSFSPDTESLFIGVWDRTYGSLLEYGRRRNYFYLHSLM >KJB58524 pep chromosome:Graimondii2_0_v6:9:16579961:16582213:1 gene:B456_009G213600 transcript:KJB58524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACNYYISILTFLLALLFGINNVLSKPEEYKTYIVYLDHSQKPSSFLTHETWHQTILKSLSKPVHGKEMLLYSYNHAMNGFSARLTPAQVSKLKKSPAHIAIHEEKIGKLFTTHSPQFMGLRHSSGLWNASSYGEGVIIGMIDSGVWPETESFNEKGMPPIPTRWKGKCQNSTTNPFPCNRKLIGAQVFIKGIQAADESDSPDDSPRDFLGHGTHTSSTAAGNHVPGASQFGYANGVARGIAPGAHVAMYKVSSGGFIAESDVLAAMDQAIADGVDIMSLSLGFDHVPYFQDVIATASLSAIEKGIFVVCSAGNDGAPNTTFNSAPWITTVGAGTLDRSFIATVTLGNDLTLEGQSKFPDRVLVVDTLLYYGKVFGKVVMCDNNGSSTIFEQVRELARVEALAGILIADETLYNIDELEIPSLILPSSSGALIRKYAIEAADDASVKIMRFVLTSFGTKPAPQVAFFSSRGPDPVNPNILKPDIIAPGVEVLAAIPPIVSVEQFGNYDLGSDYAFLSGTSMSAPHVAGVAALLKAVHPEWSPAAIRSALMTTAYTVDNNGTTLTNQFTSLSGTPLDYGAGHINPNKAMDPGLIYDIDWQGYVDFLCGLGYNDTEMKAILRQSKWSCSQEGTDINYPSFVAMFSADSPNVKNFTRVLTNVGDDQSVYQLITETSQGMTIKVEPTTLTFTSKYQKQKFAASVQMNGKAPPVAYGYVKWIDQNNHIVASPVVVLNS >KJB53406 pep chromosome:Graimondii2_0_v6:9:4731754:4732078:-1 gene:B456_009G0664002 transcript:KJB53406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVGFAAYHVYAARKNASS >KJB59061 pep chromosome:Graimondii2_0_v6:9:18715743:18717391:1 gene:B456_009G236800 transcript:KJB59061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVTPLGHEPDVFYNNLLGVSGISEIETFDCAQFPTRIAGEIKSFLTDGWVAPKLSKRMDKFMLYSLTAGKKALQDGGINEDVMEELDKTKCGVLIGSAMGGMKVFNDTIEALRISYRKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSI >KJB56169 pep chromosome:Graimondii2_0_v6:9:7849697:7854465:-1 gene:B456_009G108300 transcript:KJB56169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFARNPNNRSGDYLEGMLSDYVGGKAKVKATKTGCTWLVTALTCLQLAFAVYATILLYYMSPSVELRTKPEFTWATRIARNMKQFIIPPHVHGRYQEAASLITAEIFSPITPSQVCEHERIDFVQKKSSDVQMIKLKRELYDEILDFQSKTIGTETLSELMAMKSQWDMRGPNRPKVTVLLNHFKRKTLCSQLDSLLQQSLPFHQVWVLSFGSPNEHSLKRIVESYNDSRISFISSSYDFKYYGRFQMALQTEADLVYIVDDDMIPGKKMLQILSHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVQKIVQVDFLSSSWFLSAELIKALFIETPSTFMTGEDLHLSYQLQKYRNAGSFVLPVDLTDQETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWRALSTGYVTQWAAMYPQKIDALFYAHSVDEVKALAPLLEKFRSSVGKKAYIVVSGGNFCPCEDAAAALNWPKSVCKERRFKIFDLQVGALSGASNSEVPVLQAVYSSLKGLIKIHNPSVIITVTDIDPNVKKALKMASETNVNGTALVLLPRSSVSKVLWMADLRSTALQNWNRMRISVNIITQSRAPSLTRLLKSLSDAYYTGDEIPVSFNMDSKVDEATIKLVDSFEWLHGPKTLRRRIIQGGLIRAVSESWYPTSDDDFGLLLEDDIEVSPYYYLWIKYALLAYHYDPQVSLPELSSISLYTPRLVEVVKERPRWNPTEFFNRIHPNTPYLHQLPCSWGAVFFPKHWREFYVYMNMRFTEDAKANPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVRHDKADFEVPLLIEDFRTLLPNGKLPPASKLPSLNLFNQPVSLKGLKAAGAKLGQDVLPCNNATEIVTVDHITGLPQQCSKFI >KJB56170 pep chromosome:Graimondii2_0_v6:9:7849697:7853780:-1 gene:B456_009G108300 transcript:KJB56170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFARNPNNRSGDYLEGMLSDYVGGKAKVKATKTGCTWLVTALTCLQLAFAVYATILLYYMSPSVELRTKPEFTWATRIARNMKQFIIPPHVHGRYQEAASLITAEIFSPITPSQVCEHERIDFVQKKSSDVQMIKLKRELYDEILDFQSKTIGTETLSELMAMKSQWDMRGPNRPKVTVLLNHFKRKTLCSQLDSLLQQSLPFHQVWVLSFGSPNEHSLKRIVESYNDSRISFISSSYDFKYYGRFQMALQTEADLVYIVDDDMIPGKKMLQILSHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVQKIVQVDFLSSSWFLSAELIKALFIETPSTFMTGEDLHLSYQLQKYRNAGSFVLPVDLTDQETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWRALSTGYVTQWAAMYPQKIDALFYAHSVDEVKALAPLLEKFRSSVGKKAYIVVSGGNFCPCEDAAAALNWPKSVCKERRFKIFDLQVGALSGASNSEVPVLQAVYSSLKGLIKIHNPSVIITVTDIDPNVKKALKMASETNVNGTALVLLPRSSVSKVLWMADLRSTALQNWNRMRISVNIITQSRAPSLTRLLKSLSDAYYTGDEIPVSFNMDSKVDEATIKLVDSFEWLHGPKTLRRRIIQGGLIRAVSESWYPTSDDDFGLLLEDDIEVSPYYYLWIKYALLAYHYDPQVSLPELSSISLYTPRLVEVVKERPRWNPTEFFNRIHPNTPYLHQLPCSWGAVFFPKHWREFYVYMNMRFTEDAKANPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVRHDKADFEVPLLIEDFRTLLPNGKLPPASKLPSLNLFNQPVSLKGLKAAGAKLGQDVLPCNNATEIVTVDHITGLPQQCSKFI >KJB54848 pep chromosome:Graimondii2_0_v6:9:3708471:3712641:-1 gene:B456_009G051700 transcript:KJB54848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFGVKPSVLRSIMLNFFNFGVAFMLVSAERSLRNGESVKESETNNNYFLKAINFLWQSDESGYHHVWPEMEFNWQIVLGSIIGFFGAAFGSVGGVGGGGIFVPMLSLIIGFDAKSSTAISKCMIMGAAASTVYYNLKLRHPTLDMPIIDYDLALLIQPMLMMGISIGVTFNVLFADWMVTVLLIILFLGTSTKAFFKGVETWKKETILKKEAARRLEPTETGSGDVEYKPLPSGPSSDPPKDNSDKEVSILENVCWKELGLLCFVWIAFLVLQITKNNTTTCSALYWVLNLLQIPVSLGVSLYEAVSLYKGYRVIASKGEDGTSFRVAQLVTYCAFGVLAGVVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYGKLVSQQCDNFIIEIKGNIETCKGCCVYMNISLFHIFQGVTLRIPYFILQLSTSLG >KJB54847 pep chromosome:Graimondii2_0_v6:9:3709041:3712296:-1 gene:B456_009G051700 transcript:KJB54847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFGVKPSVLRSIMLNFFNFGVAFMLVSAERSLRNGESVKESETNNNYFLKAINFLWQSDESGYHHVWPEMEFNWQIVLGSIIGFFGAAFGSVGGVGGGGIFVPMLSLIIGFDAKSSTAISKCMIMGAAASTVYYNLKLRHPTLDMPIIDYDLALLIQPMLMMGISIGVTFNVLFADWMVTVLLIILFLGTSTKAFFKGVETWKKETILKKEAARRLEPTETGSGDVEYKPLPSGPSSDPPKDNSDKEVSILENVCWKELGLLCFVWIAFLVLQITKNNTTTCSALYWVLNLLQIPVSLGVSLYEAVSLYKGYRVIASKGEDGTSFRVAQLVTYCAFGVLAGVVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYGKLVSQQCDNFIIEIKGNIETCKGCCVYMNISLFHIFQGVTLRIPYFILQLSTSLG >KJB54850 pep chromosome:Graimondii2_0_v6:9:3708910:3712296:-1 gene:B456_009G051700 transcript:KJB54850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFGVKPSVLRSIMLNFFNFGVAFMLVSAERSLRNGESVKESETNNNYFLKAINFLWQSDESGYHHVWPEMEFNWQIVLGSIIGFFGAAFGSVGGVGGGGIFVPMLSLIIGFDAKSSTAISKCMIMGAAASTVYYNLKLRHPTLDMPIIDYDLALLIQPMLMMGISIGVTFNVLFADWMVTVLLIILFLGTSTKAFFKGVETWKKETILKKEAARRLEPTETGSGDVEYKPLPSGPSSDPPKDNSDKEVSILENVCWKELGLLCFVWIAFLVLQITKNNTTTCSALYWVLNLLQIPVSLGVSLYEAVSLYKGYRVIASKGEDGTSFRVAQLVTYCAFGVLAGVVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYALYFTGVATVAAFIGQHVVRKLIILFGRASLIIFILASTIFVSAISLGEL >KJB54849 pep chromosome:Graimondii2_0_v6:9:3708471:3712641:-1 gene:B456_009G051700 transcript:KJB54849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFGVKPSVLRSIMLNFFNFGVAFMLVSAERSLRNGESVKESETNNNYFLKAINFLWQSDESGYHHVWPEMEFNWQIVLGSIIGFFGAAFGSVGGVGGGGIFVPMLSLIIGFDAKSSTAISKCMIMGAAASTVYYNLKLRHPTLDMPIIDYDLALLIQPMLMMGISIGVTFNVLFADWMVTVLLIILFLGTSTKAFFKGVETWKKETILKKAARRLEPTETGSGDVEYKPLPSGPSSDPPKDNSDKEVSILENVCWKELGLLCFVWIAFLVLQITKNNTTTCSALYWVLNLLQIPVSLGVSLYEAVSLYKGYRVIASKGEDGTSFRVAQLVTYCAFGVLAGVVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYALYFTGVATVAAFIGQHVVRKLIILFGRASLIIFILASTIFVSAISLGGVGISNMIGKIERHEYMGFENLCKYES >KJB54846 pep chromosome:Graimondii2_0_v6:9:3708426:3712682:-1 gene:B456_009G051700 transcript:KJB54846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFGVKPSVLRSIMLNFFNFGVAFMLVSAERSLRNGESVKESETNNNYFLKAINFLWQSDESGYHHVWPEMEFNWQIVLGSIIGFFGAAFGSVGGVGGGGIFVPMLSLIIGFDAKSSTAISKCMIMGAAASTVYYNLKLRHPTLDMPIIDYDLALLIQPMLMMGISIGVTFNVLFADWMVTVLLIILFLGTSTKAFFKGVETWKKETILKKEAARRLEPTETGSGDVEYKPLPSGPSSDPPKDNSDKEVSILENVCWKELGLLCFVWIAFLVLQITKNNTTTCSALYWVLNLLQIPVSLGVSLYEAVSLYKGYRVIASKGEDGTSFRVAQLVTYCAFGVLAGVVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYALYFTGVATVAAFIGQHVVRKLIILFGRASLIIFILASTIFVSAISLGGVGISNMIGKIERHEYMGFENLCKYES >KJB61778 pep chromosome:Graimondii2_0_v6:9:51604116:51607061:-1 gene:B456_009G380100 transcript:KJB61778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVVGIASCLGTPVCKYLQYHRKLNDYVRNFKRIRDELNCRMEDIELQLKAELLRPLGKIPKKGVENWLKDVKEIIREAQVVENKVSNGRYLCRACNGKLVDEKSREMQEYLDKAPKASEGLAMDGPSAGLPLETSELVGQEAVRKEIWACLMQEEVSKIGVWGMGGVGKSTIMKHIHNDLLKEQRFERVVWVTISKEFNIVKLQNDIASALNGKIPEEANKVRRAAILSEMLKRAGKHVLILDDVWSEVSLEEIGIPKPSSSNGYKLVLTTRVEQVCKSMGCKVIKVKPLSEEEALILFLSEVGPNIVQNQTLMPTLKLVVNECAGLPLTIVVIAGTLRGEDDPLIWKNTLRELKERIGKVKEGEDKVIESLKVSFNHLKDEKMKHCFLHCALYPEDFQIWKDGLIECWIEEGFIDDMSTRQEMKDKGHVILKKLEDNCLLENVSSERVKMHDAVRDMALSITRMNPRYMIQAGSQLEELPEKEQWCPDIEKVSLMYNSISEISIDMLPTKCQLLTTLLLQNNLIKKIPYSFFTNMPCLSVLNLSFTRIESLPNSISELKNLTTLLLVGCNELRDLPCLSMLQELKKLDLNQTEIEEVPEGMDMLIKLRYLDLQVLTLKETPSGLLPKLIHLQHLGFHENNEKTSLKAEEMEPLKNEFNKFISSMQQSKKNLIKYSLQVGSSFMRATRDKTVTIEGVQNWEGDLIMHPIEIQELIILKCDYLRSLVDDNSSFKNAIDLRVCLIWWCEGIECLVSLFSFASSSAHPFQSLEVLYLQFLPKLSALIMKDEEIGSATASTLAPSATFSHLKEIKIDSCSSMKTLLPHWLLPNLQNLEEIHVEACRQLVEILGATTSEVEEKGSDALIKFHLPKLRELSFWELPNLKSICSKSGVMVCDSLQLIQVDGDCDKLKRIPLFVPLVGNGQPFAYAPSSLTIRSSTEWWESLEWDDHPNFKNVLRFKPLESF >KJB53755 pep chromosome:Graimondii2_0_v6:9:332026:341982:-1 gene:B456_009G003500 transcript:KJB53755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAKILTYLIILYNVNVGTEKQTEDAETEDDLETSGTDEDDDLTVCGPSTVATSSFTKRVEYKLSKVEADDLSKKKWKYTWEVPAADISNCKWVGTGECFLKDDDLSSNYGLKQKLYKHWLDVYKTSGGNDFHSSKQRWFFSLCNSYRDILHGEKKPFYHKGLQEDSNIMDAYLMHSLNHIFRTRDLVRKNNAKIDKHQMSGKEEILPGDNFLDQGFTRPKILILLPLRSIALRVIERLIQLTPANSKVNVEHIDRFYKDFGSEEVSSDKEEEQSKNAKTKKPSKPSDHQSLFKGDTRDDFMIGIKFTRKTIKLYGDFYSSDIIVASPLELMTKFGKAEKNKELDTDYLSSIEVVIIDHADVISLQNWSFLTSVFERLNHIPSKQHGTNVMRIRPWYLDGFARFYRQTIILGYYLNPDMNALFNHHCVNYQGKVKSVCEHRGVLPKVLSQVRQIYERFDASSIADVDDARLEYFEKKVFPKIKDSDQGGIMLFASSYFEFVRLRNFLKSQNASFCLLGDYTNQKDISRARVWFFEGKRKIMLYTERIHFYRRYKIRGIRNLIIYSLPERKEFYYEIVNMLEGSDDLACTVLFSQYDKLQLERIVGTAPAKRMIKSEKGVFVFC >KJB60210 pep chromosome:Graimondii2_0_v6:9:25577255:25578360:1 gene:B456_009G294100 transcript:KJB60210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFLNDMEKEKPIRFTSRQLKIATDNFSTLLGSGGFGAVYKGVFNDETMVAAKVLHGTSDKRIEQQFMAEVGTIGRVHHFNLVRLYGFCLDKNLRALVYEYLQMVRLTEKILGFEKLHEIAVGTAKGIAYLHEECQQRIIHYDIKPENILLDSKFCPKVADFGLAKLCNRENTHMSMTGGRGTPGYAAPELWMPYPITHECDVYSFGMLLFEIIGWRRNLNVQLPDSQEWFPRWVWKNIESNGDIGELMEACEIDEGNRETVERMVKTALWRVQYRAERRPLMSMVVKMLDGAAEIPQPSNPFEHLLDHNSTIVAAGISSSTWKDDSEPSSSVVADQSKLVVETPTTNMENCEIEVVCTST >KJB62374 pep chromosome:Graimondii2_0_v6:9:63333280:63339277:1 gene:B456_009G414300 transcript:KJB62374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKAITESDDKRLKTKYNNAIYVIKRALALYSIEEVAFSFNGGKDSTVLLHLLRAGYFLHRVEQSCSNGVQIDFPIRTIYFESTSVFPEINTFTYDTSKTYGLQMDIIRTDFKSGLEALLKSKPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIYDTVPNALLSISSTSSKEKFKPAYLLPDGRLERAGRVKKNSPLDGTRSPAVNNGLDSVNSHKNRMFTASVIGVGDEILFGTVEDQLGPLLCKKLHSIGWMTSRSTVVRNDIDSVAEEVDRCKSVSDLVFLYGGVGPLHSDVTSAGVAKAFGVRLAPDEEFEEFLRHLIGDHCTGDRNEMAQLPEGITELLHHEKLPVPLIKCCNVIVLSATNATELEKQWDCLIELTESDGFLVTIESYSSKRLTTNLTDVETAQPLSKLCLEFPDLYIGCFRRSRQGPLVISFEGKDPSRVQAGVEALCKKFNAGAFSEVN >KJB62375 pep chromosome:Graimondii2_0_v6:9:63333336:63338548:1 gene:B456_009G414300 transcript:KJB62375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKAITESDDKRLKTKYNNAIYVIKRALALYSIEEVAFSFNGGKDSTVLLHLLRAGYFLHRVEQSCSNGVQIDFPIRTIYFESTSVFPEINTFTYDTSKTYGLQMDIIRTDFKSGLEALLKSKPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIYDTVPNALLSISSTSSKEKFKPAYLLPDGRLERAGRVKKNSPLDGTRSPAVNNGLDSVNSHKNRMFTASVIGVGDEILFGTVEDQLGPLLCKKLHSIGWMTSRSTVVRNDIDSVAEEVDRCKSVSDLVFLYGGVGPLHSDVTSAGVAKAFGVRLAPDEEFEEFLRHLIGDHCTGDRNEMAQLPEGITELLHHEKLPVPLIKCCNVIVLSATNATELEKQWDCLIELTESDGFLVTIESYSSKRLTTNLTDVHITISCCCQ >KJB62378 pep chromosome:Graimondii2_0_v6:9:63333336:63339242:1 gene:B456_009G414300 transcript:KJB62378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKAITESDDKRLKTKYNNAIYVIKRALALYSIEEVAFSFNGGKDSTVLLHLLRAGYFLHRVEQSCSNGVQIDFPIRTIYFESTSVFPEINTFTYDTSKTYGLQMDIIRTDFKSGLEALLKSKPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIYDTVPNALLSISSTSSKEKFKPAYLLPDGRLERAGRVKKNSPLDGTRSPAVNNGLDSVNSHKNRMFTASVIGVGDEILFGTVEDQLGPLLCKKLHSIGWMTSRSTVVRNDIDSVAEEVDRCKSVSDLVFLYGGVGPLHSDVTSAGVAKAFGAPDEEFEEFLRHLIGDHCTGDRNEMAQLPEGITELLHHEKLPVPLIKCCNVIVLSATNATELEKQWDCLIELTESDGFLVTIESYSSKRLTTNLTDVETAQPLSKLCLEFPDLYIGCFRRSRQGPLVISFEGKDPSRVQAGVEALCKKFNAGAFSEVN >KJB62376 pep chromosome:Graimondii2_0_v6:9:63333911:63338138:1 gene:B456_009G414300 transcript:KJB62376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKAITESDDKRLKTKYNNAIYVIKRALALYSIEEVAFSFNGGKDSTVLLHLLRAGYFLHRVEQSCSNGVQIDFPIRTIYFESTSVFPEINTFTYDTSKTYGLQMDIIRTDFKSGLEALLKSKPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIYDTVPNALLSISSTSSKEKFKPAYLLPDGRLERAGRVKKNSPLDGTRSPAVNNGLDSVNSHKNRMFTASVIGVGDEILFGTVEDQLGPLLCKKLHSIGWMTSRSTVVRNDIDSVAEEVDRCKSVSDLVFLYGGVGPLHSDVTSAGVAKAFGVRLAPDEEFEEFLRHLIGDHCTGDRNEMAQLPEGITELLHHEKLPVPLVGVYFKTLLRKMNLNH >KJB62379 pep chromosome:Graimondii2_0_v6:9:63333336:63339242:1 gene:B456_009G414300 transcript:KJB62379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKAITESDDKRLKTKYNNAIYVIKRALALYSIEEVAFSFNGGKDSTVLLHLLRAGYFLHRVEQSCSNGVQIDFPIRTIYFESTSVFPEINTFTYDTSKTYGLQMDIIRTDFKSGLEALLKSKPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIYDTVPNALLSISSTSSKEKFKPAYLLPDGRLERAGRVKKNSPLDGTRSPAVNNGLDSVNSHKNRMFTASVIGVGDEILFGTVEDQLGPLLCKKLHSIGWMTSRSTVVRNDIDSVAEEVDRCKSVSDLVFLYGGVGPLHSDVTSAGVAKAFGVRLAPDEEFEEFLRHLIGDHCTGDRNEMAQLPEGITELLHHEKLPVPLQWDCLIELTESDGFLVTIESYSSKRLTTNLTDVETAQPLSKLCLEFPDLYIGCFRRSRQGPLVISFEGKDPSRVQAGVEALCKKFNAGAFSEVN >KJB62377 pep chromosome:Graimondii2_0_v6:9:63333911:63338138:1 gene:B456_009G414300 transcript:KJB62377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKAITESDDKRLKTKYNNAIYVIKRALALYSIEEVAFSFNGGKDSTVLLHLLRAGYFLHRVEQSCSNGVQIDFPIRTIYFESTSVFPEINTFTYDTSKTYGLQMDIIRTDFKSGLEALLKSKPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIYDTVPNALLSISSTSSKEKFKPAYLLPDGRLERAGRVKKNSPLDGTRSPAVNNGLDSVNSHKNRMFTASVIGVGDEILFGTVEDQLGPLLCKKLHSIGWMTSRSTVVRNDIDSVAEEVDRCKSVSDLVFLYGGVGPLHSDVTSAGVAKAFGVRLAPDEEFEEFLRHLIGDHCTGDRNEMAQLPEGITELLHHEKLPVPLVGVYFKTLLRKMNLNH >KJB62898 pep chromosome:Graimondii2_0_v6:9:69395501:69401651:-1 gene:B456_009G442500 transcript:KJB62898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVTNQEEDKKPADQTAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGTMA >KJB62895 pep chromosome:Graimondii2_0_v6:9:69400048:69401730:-1 gene:B456_009G442500 transcript:KJB62895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVTNQEEDKKPADQTAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGTMA >KJB62897 pep chromosome:Graimondii2_0_v6:9:69395812:69401730:-1 gene:B456_009G442500 transcript:KJB62897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVTNQEEDKKPADQTAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVEFNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGTMA >KJB62896 pep chromosome:Graimondii2_0_v6:9:69395754:69401730:-1 gene:B456_009G442500 transcript:KJB62896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVTNQEEDKKPADQTAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGTMA >KJB57452 pep chromosome:Graimondii2_0_v6:9:12660334:12661959:-1 gene:B456_009G165000 transcript:KJB57452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITVTAKVSSSLRTIILKETPSSSSSWLSIRCFSAFSSQQRVKNPEKEAIPGDLLKWGSLGFCRTSRFASGFKPLEPKPLDSIMDLNRAKNRSPEDLASIWDDEQRGSDCRYFVIPLWRGSGYTTMFAQVQLPYLLFTGLEDYKARGTQASPYFTASFYTEFAESKDLVLIRGDIVFTSKLTDEEAKWLLEITQSFYLNDVRYKLVECFNKEASDFDFKKDFLQALDMPIL >KJB61520 pep chromosome:Graimondii2_0_v6:9:48328711:48330382:1 gene:B456_009G363500 transcript:KJB61520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTILETSIVEKHEQWMVDYGREYESELEKEKRLNIFKENLEYIESFNNGGNKSFKLGLNEYADMTQDEFLASHTGYKMQYYPTLSKSTSYKYENFSDFPNSMMAAGLDINFDWRDHGAVTPVKQQGKCNCCWAFSAVAAIEGIIQIKTGKLISLSEQQLLDCSTNGGNKGCDGGLMTNAYDYITQNQGITTEKYYPYLEIQEACDSVKQSIKAATLNGYETLPVNDENAMFKAVANQPVSVGIDGSGQAFRFFQGGGVFNGDCGSDLKHAVTIVGYGTSEEGVDYWIVKNSWGGNSGENGFMKIQRGVNKCGIAMRASYPVA >KJB62970 pep chromosome:Graimondii2_0_v6:9:69704895:69707523:-1 gene:B456_009G446100 transcript:KJB62970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMIAQGDLKELLCACAKAIENKDMHLTDCLMTQLRQMVSVSGEPKQRLGAYMLEGLVARLASSGSSIYKSLRCKEPASSELLSYMHILYEICPYFKFGYMSANGAIAEAMKDESRVHIIDFQIAQGSQWLTLIPALAARPGGPPSIRITGIDDSTSAYARGGGLEIVRQRLSKVAELCKVPFEFHAAAISATDVQFENLRIQPGEAVAVNFAMMLHHMPDESVGAQNHRDRLLRLAKSLSPKVVTLVEQEANTNTAPFVPRFLETMNHFLPIFESIDVSLPRDHKERINVEQHCLAREIVNIIACEGPERVERHELLGKWRSRFIMAGFKPFPLSSFVNSTIKSLLQSYCDKYTLDDKDGVLLLGWMNRAIVASCAWRC >KJB62969 pep chromosome:Graimondii2_0_v6:9:69704895:69707762:-1 gene:B456_009G446100 transcript:KJB62969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMIAQGDLKELLCACAKAIENKDMHLTDCLMTQLRQMVSVSGEPKQRLGAYMLEGLVARLASSGSSIYKSLRCKEPASSELLSYMHILYEICPYFKFGYMSANGAIAEAMKDESRVHIIDFQIAQGSQWLTLIPALAARPGGPPSIRITGIDDSTSAYARGGGLEIVRQRLSKVAELCKVPFEFHAAAISATDVQFENLRIQPGEAVAVNFAMMLHHMPDESVGAQNHRDRLLRLAKSLSPKVVTLVEQEANTNTAPFVPRFLETMNHFLPIFESIDVSLPRDHKERINVEQHCLAREIVNIIACEGPERVERHELLGKWRSRFIMAGFKPFPLSSFVNSTIKSLLQSYCDKYTLDDKDGVLLLGWMNRAIVASCAWRC >KJB62971 pep chromosome:Graimondii2_0_v6:9:69704962:69707703:-1 gene:B456_009G446100 transcript:KJB62971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSQNHKVTVQEMESNCWPPKLEQYCTLDSSSGVQNSSSTASFSPNGSPVSQPNNSCPMDLRRSPDNTCSPVSNSCVTDNEHDLSHMIRQLETAMLGTDSDNIDVHAIMASGRSTEVSIESERWKYMMEMIAQGDLKELLCACAKAIENKDMHLTDCLMTQLRQMVSVSGEPKQRLGAYMLEGLVARLASSGSSIYKSLRCKEPASSELLSYMHILYEICPYFKFGYMSANGAIAEAMKDESRVHIIDFQIAQGSQWLTLIPALAARPGGPPSIRITGIDDSTSAYARGGGLEIVRQRLSKVAELCKVPFEFHAAAISATDVQFENLRIQPGEAVAVNFAMMLHHMPDESVGAQNHRDRLLRLAKSLSPKVVTLVEQEANTNTAPFVPRFLETMNHFLPIFESIDVSLPRDHKERINVEQHCLAREIVNIIACEGPERVERHELLGKWRSRFIMAGFKPFPLSSFVNSTIKSLLQSYCDKYTLDDKDGVLLLGWMNRAIVASCAWRC >KJB60313 pep chromosome:Graimondii2_0_v6:9:26373647:26374597:1 gene:B456_009G299700 transcript:KJB60313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKESSLGTPSAAASNADDLGLTQFQGFWIPSRSVPTSSIIAFQKNFQALDDDIIVVSKRKSGTTWLKALVFSIVNRHHYTFSNTPLNSTNPHLLVPYLDIHLYKKNPNPDLSTISSPRLFSTHLPYPMLADSIKRSNCRIVYRGPGEEGYGPFWDHALGYWNMSLEKPSNVLFLRYEELKEDPVAQTKRLAAFLGFPFSIEEEKTGMVNQIVDFCSFNNLKDLEVNKTGKNPGSIIPNNKLFFRSGKVGDYVNHLSPTAVERLSNILEEKLSGSGLTFK >KJB58909 pep chromosome:Graimondii2_0_v6:9:18430198:18431969:-1 gene:B456_009G233700 transcript:KJB58909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAISRRAITGIFAPARQGINLLSHDLSQVQQMRGIRVKVRNGNLEQALAVMQRVMQSSGIERLIKQEQTHHIKNSEKRILARKILERKIKSQDHARKLQTILIKKVRGL >KJB53674 pep chromosome:Graimondii2_0_v6:9:142468:143610:1 gene:B456_009G000900 transcript:KJB53674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKHGSWRLSLMLLLVMLGLAKADINQDKTECSNELVGLAPCLPYVGGDAKTPTIDCCSGLKQVLDKSRKCLCVLLKDRDDPSLGLNINATLAATLPTTCRAPVNMTDCISLLHLTPDSQEAKLFQGYQKLTHTGNSNSTTTAAAAADKSDGGKEKRWLGVEIAFGVSLWIFTINRNLGV >KJB61488 pep chromosome:Graimondii2_0_v6:9:47602366:47607999:1 gene:B456_009G361300 transcript:KJB61488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDQLKKDLKDDFFTEYGDANQYKIIEVIGRGSYGVVCAALDTHTGKKVAIKKIRDVFEYTSDALRILREVKLLRLLRHPDIVEIKHIMLPPSKREFKDIFVVFELMESDLHEVIKANDDLTREHHQFFLYQMLRAMKYMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGFFFSKYTPAIDIWSIGCIFAEVLIGKPLFPGKSVVHQLDLITDLLGTPSPETISGVRNDKARKHLTEMRIKQPVPFSQKFPNADPLAVRLLQRLLAFDPKDRPTAEEALADPYFKGLAKIEREPSCQPISRLEFEFERRRLVKEDVRELIYREILEYHPQLLKDYINGNEGTNFLYPSAIGQFRKQFAHLEENSGRSVPVFPPERKHKSLPRNTVHSNTIPLNRQSTSVLCENQNVTEEASKKVTDAISGNRKLARPPPKVPAAKPRRVVESVIPHENPKNTKDDYDAKVFHQTTALPPQPLSSTNTINQEKSRIQSDMNQQAKLQPQFSMAASQAPAMAVGMKSNPYQQPQAKAEQLNDRLGIDAKILQAQTQFGAVGAAAVAVVAHRTVGTVQYGLS >KJB61489 pep chromosome:Graimondii2_0_v6:9:47604196:47607999:1 gene:B456_009G361300 transcript:KJB61489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPSKREFKDIFVVFELMESDLHEVIKANDDLTREHHQFFLYQMLRAMKYMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGFFFSKYTPAIDIWSIGCIFAEVLIGKPLFPGKSVVHQLDLITDLLGTPSPETISGVRNDKARKHLTEMRIKQPVPFSQKFPNADPLAVRLLQRLLAFDPKDRPTAEEALADPYFKGLAKIEREPSCQPISRLEFEFERRRLVKEDVRELIYREILEYHPQLLKDYINGNEGTNFLYPSAIGQFRKQFAHLEENSGRSVPVFPPERKHKSLPRNTVHSNTIPLNRQSTSVLCENQNVTEEASKKVTDAISGNRKLARPPPKVPAAKPRRVVESVIPHENPKNTKDDYDAKVFHQTTALPPQPLSSTNTINQEKSRIQSDMNQQAKLQPQFSMAASQAPAMAVGMKSNPYQQPQAKAEQLNDRLGIDAKILQAQTQFGAVGAAAVAVVAHRTVGTVQYGLS >KJB57056 pep chromosome:Graimondii2_0_v6:9:11144042:11145937:1 gene:B456_009G146600 transcript:KJB57056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDICSSFGNGGQVDGKVLQTFQKSFVQVQDILDQNRLLINEINQNHESKIPDNLSRNVGLIKELNNNIRRVADLYADLSSSFTRSMEASSEGDSAGMYEPDGKASQKRIRSG >KJB57057 pep chromosome:Graimondii2_0_v6:9:11143950:11145997:1 gene:B456_009G146600 transcript:KJB57057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDICSSFGNGGQVDGKVLQTFQKSFVQVQDILDQNRLLINEINQNHESKIPDNLSRNVGLIKELNNNIRRVADLYADLSSSFTRSMEASSEGDSAGMYEPDGKASQKRIRSG >KJB57055 pep chromosome:Graimondii2_0_v6:9:11143950:11145997:1 gene:B456_009G146600 transcript:KJB57055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDICSSFGNGGQVDGKVLQTFQKSFVQVQDILDQNRLLINEINQNHESKIPDNLSRNVGLIKELNNNIRRVADLYADLSSSFTRSMEASSEGDSAGMYEPDGKASQKRIRSG >KJB61924 pep chromosome:Graimondii2_0_v6:9:53545033:53545531:1 gene:B456_009G391800 transcript:KJB61924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKAQGMYAYSSHTEISLLMVLNFAFTEGKYNGSTLSVLARNEAFSTMREMPIVGGTGVFRFARGYAQARTRSMSQVEAIVEYNVFVFHYR >KJB56363 pep chromosome:Graimondii2_0_v6:9:8560237:8565002:1 gene:B456_009G116600 transcript:KJB56363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSPDHLFNLRNNFYLGSYQAAINNSDLPNLSPDDAVERDCLVYRSYIALGSYQLVINEIDSSAATPLQAVKLLALYLSNPHDKESTISSLKEWLADPAIGNNHILRLIAGIIFTHEEDYNEALKHTNAGGTMELHALNVQIFIKMHRSDYAERQLRVMQQIDEDHTLTQLANAWLNLAVGGSKIQEAYLIFQDFSEKYPMTGLILNGKAVCCMHMGNFDEAETLLLEALNKDAKDPETLANLVVCSLHLGKSSSRYLSQLKLTHPEHILVKRASSAEDSFERAVQSVA >KJB56364 pep chromosome:Graimondii2_0_v6:9:8560325:8564957:1 gene:B456_009G116600 transcript:KJB56364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSPDHLFNLRNNFYLGSYQAAINNSDLPNLSPDDAVERDCLVYRSYIALGSYQLVINEIDSSAATPLQAVKLLALYLSNPHDKESTISSLKEWLADPAIGNNHILRLIAGIIFTHEEDYNEALKHTNAGGTMELHALNVQIFIKMHRSDYAERQLRVMQQIDEDHTLTQLANAWLNLAVGGSKIQEAYLIFQDFSEKYPMTGLILNGKAVCCMHMGNFDEAETLLLEALNKARCKGS >KJB61576 pep chromosome:Graimondii2_0_v6:9:49240580:49252448:1 gene:B456_009G367500 transcript:KJB61576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLILCFLLGFFNSSLLSLSLSLPPTHLCRPEQSSALLQFKSNFSLSISNCSQVHIDSVHKMDSWDEGTDCCKWEGAVCDNKKGNVIGLDLSCSGLIGSLQSNSSLFSLQNLRWLNLAGIGFGNSEIPSEFGKLRSLTYLNLSATGLTGLVPPEISLLSEIVSLDLGVNFLFFRNHDFNMLVHNLTKLENMILDVIDLSLVVPYSFLNLTVSLKHLSLSDCNLQGNFPTQVFHLPYLQNIKLRNNANLSGYLPETNWISPLRLLDVLGTRISKGLPVSIGNLKHLKKLNLDSCVFMGSIPSALGNLTKIAFLDISGNMFQGQIPDVFGNLNDLRSMDFSSNNFSGVFPPSAFNLTSLTFMDFSSNFLQGTLPNNLSGLSYLRELYLSANLLSGRVPGWLFSLPSLEHLDLHSNKLNGPIDPIQEPNLVQQVYLYKNEIQGTIPSSFFELINLASLDLSSNNLSENIKSCMLVKLRNLGFLDLSFNNLLSLTRCSNDVNSALPMINQFHFSSCNMQHLSNNQIHGSITKWEAEGWEGLLTLNLSMNFLTTVEQIPGKHLFILDLRSNSLRGLLPTPPQALCYFLISNNELNGEIPSKICNLRFLYVLDLSKNKLGGTIPDCFGTFSDQLSMVVLRTLNLNGNQLEGSVPQSLKNCYRLEVLDLGNNNINDTFPNWLGTLPHLQVLVLRSNRFHGDIQNFSGTFSFSSLRMIDLSRNEFTGHIPPELFENLKSMKDIQVDKNSVTVTMKGSDFELARILTIFTSIDFSSNHFKGPIPKAIGELNSLIVLNFSHNSLTGNIPPSLRNLAALESLDLSPNKLQGRIPMQLTNLTFLGALNLSHNNLEGPIPLANHFDTFSNDSFAGNSGLCGFPLSKTCGNDQEPKSPPSTVGDESEIALIWKIAAMGYGSGVVLGLSVGYIVFTTGRP >KJB61797 pep chromosome:Graimondii2_0_v6:9:52310345:52311847:-1 gene:B456_009G385300 transcript:KJB61797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPTLLKNSINASSSERGLTFITLQPIYLQTCSERVVNTNLQPLPPLALGIPTSSREILSHTSSRIRTCFPAFFSISDKIAARLTLSSSLSKYSFAFNALAILSCTFITLNSFDMVTQITLSNLCSFKRSLWMCFMIVVLPTPPIPQTPILLTSSCIKHAQISFLTASSPTSSDVSSGNPPLGPSMARPLEALGAL >KJB60283 pep chromosome:Graimondii2_0_v6:9:26104294:26105853:1 gene:B456_009G298000 transcript:KJB60283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKRRTARNLVSKLSSVSEQTRTDALCELRLISKHDPDSRPLIADAGAVPYLSETLYGSSPTIQENAAATLLNLSISSRASLMSTRGFLDALSHALSNSPSPTVVQSCAATLHSLLIAEDTYRPIIGSKRDILYTLLSIITDNNAPPRSIKDALKALFGVALYPLNRASLVGLGAVPALVSLIVRDARTGIVEDATAVLAQIAGCEESEEAMRKAGGVRVLGDLLDEGTGASERIRENAVAALLNLARCGGEKGRKEVKEMGAKVMEGITDLTKNGSAKGKTKAAELLKIVVDGYGNQSEVRDFRFNTSSDFMNHSI >KJB56980 pep chromosome:Graimondii2_0_v6:9:10947943:10951161:1 gene:B456_009G144400 transcript:KJB56980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFRGIWQASLNATKKALTWNVDDWIPPAEKYIFSFSSKEELKKWHLYSDAEFGGLSSASLEIKDDANKSSVFSGNLSRDVTEGTKWNITRSGFCGMRSKKFDGFIDLEAYDTIALRIKGDGRCYISTIYTENWVNSPGQMEDNSWQSFVFVPKDNWYIAKIPLDHYLPTWRGNVIEAKLEMNPSRILGMSLSVNADGGVPGANSGPGDFKLEIDWIKALRTQ >KJB56978 pep chromosome:Graimondii2_0_v6:9:10947941:10951161:1 gene:B456_009G144400 transcript:KJB56978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFRGIWQASLNATKKALTWNVDDWIPPAEKYIFSFSSKEELKKWHLYSDAEFGGLSSASLEIKDDANKSSGVFSGNLSRDVTEGTKWNITRSGFCGMRSKKFDGFIDLEAYDTIALRIKGDGRCYISTIYTENWVNSPGQMEDNSWQSFVFVPKDNWYIAKIPLDHYLPTWRGNVIEAKLEMNPSRILGMSLSVNADGGVPGANSGPGDFKLEIDWIKALRTQ >KJB56979 pep chromosome:Graimondii2_0_v6:9:10947879:10951307:1 gene:B456_009G144400 transcript:KJB56979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFRGIWQASLNATKKALTWNVDDWIPPAEKYIFSFSSKEELKKWHLYSDAEFGGLSSASLEIKDDANKSSGVFSGNLSRDVTEGTKWNITRSGFCGMRSKKFDGFIDLEAYDTIALRIKGDGRCYISTIYTENWVNSPGQMEDNSWQSFVFVPKDNWYIAKIPLDHYLPTWRGNVIEAKLEMNPSRILGMSLSVNADGGVPGANSGPGDFKLEIDWIKALRTQ >KJB57174 pep chromosome:Graimondii2_0_v6:9:11550411:11551932:1 gene:B456_009G152100 transcript:KJB57174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLSCYLLLLLSFFCFRLDLSWSLETRFNRAPNNQGSSDLANLVYHMGPVLSSPIKLYIIWYGNWNSTHQATIRDFLNSFSSPALSPSVADWWKTVRLYTDQTGSNITDSLSLSGEFFDSRLSNGVRLNRLSMQHIIKNAVTSKPSPLPLDPRNGLYLVLTSSDVQVDEFCRAVCGFHYFSFPSIVGATVPYAWIGYSGTQCPGVCAYPFARPLGAPPPSAMGGNDIMRPPNGDAGVDGMVSVIAHELAESSSNPLVNAWYAGDNPIAPGEIADMCLGLYGSGGGGGYVGKVSTDAGGNGYNVNGVKGRRFLVQWVWDPVKKRCFGPNAMD >KJB55576 pep chromosome:Graimondii2_0_v6:9:6057832:6058488:-1 gene:B456_009G083000 transcript:KJB55576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPKKKAFLIACILLLFSLAIFTAPASARPLVALKKDSSPKPRPGPSPKCSIVNGKRICV >KJB59612 pep chromosome:Graimondii2_0_v6:9:21817458:21824303:1 gene:B456_009G263800 transcript:KJB59612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMETDLNMPPLVTNFPEKVLPIGAVQKATGDIIWEDGAIASNLSRKCEALAVSGLVEYGDEIDVIAPTDILKQIFKIPYSKARLSIAVHRVGQTLILNTGPDVEEGEKLVRRHGNQAKCQDQSLFLNFAMHSVRMEACDCPPSHQAPLERQSDSSALPGGETPNFVEENDNISRKDGIKHHSEYSQVKQDGFFWGSKKGHRNKSRDPIKKAAHVGEKPRCSVQESEKHRRVGNNGFLRVLYWQFHNFRMLLGSDLLLFSNEKYAAVSLHLWDVTRQVTPLTWLEAWLDNVMASVPELAICYHQNGVVQGYELLKTDDIFLLKGIAEDGTPAFHPHVVQQNGLSVLRFLQENCKQDPGAYWLYKSAGEDVIQLFDLSVVSKNRSSGDCDDSSSSLPSLVHRGRSDSLFSLGTLLYRIAHRLSLSMATNNRAKCANFFKKCLEFLDEPDHLVVRAFAHEQFARLILNYDEELDLKSEYLPIECEVTAPDAGEESVDHDFSLIANYKLKEDETDFQDLASDVSAMMTLEANISAPNKLIASNTEFGSEEITLPSVHGDENYMVLNMASTSDDVVRPITDPISSKLAAVHHVSQAIKSLRWMRQLQTSQPELDNRDIGINGQLPSSMNFSVCACGDADCIEVCDIREWLPTSKLDHKLWKLVLLLGESYLALGQAYKEDGQLHHALKIVELACSVYGSMPRQLEDTRFISSIVKCSPASTKFSDRDEKKSSFISDIKEVKSNSADNCYVLEEFSSTYLFWANAWTLVGDVYVEFHVIKGKEISAQSQNKPSIRELKMSSEVVKELQRLTKKLGQYNQKCSSCSLVNCSCQSDRASSGNSASSSCGDTHAVSYSRKHGKRLRGKNVPNSLSRDNDDNNGRQKVKNRQVPDSGLFQHIGDGDNKVRASNSCTDEPGVNAVETTNSEKVEASFGINDKKPEGTIENEIASKEAHKLKDGGIFKYLRNRNTVVVDAEHNLSSALSCYEEAIKALGELPSGLADLQSLFKKKGWVCNELGRNRLALKELNKAELAFADAIDAFKEASDYTNVVYIYCNLGHGRRALAEEMVAKMEGLKMHEVFHIAYKQALETAKLEYSEALRYYGAAKSEMNAIAEEAFSDSESNLKNEVYTQFAHTYLRLGMLLAREDITAEVYENGALEELSMPCLGRARKDLRKYEVTANEAIREALSMYELLGGLRKQEAAYAYFQLACYQRDCCLKFLAPDHKKSGLLKGESGIHQRVKQYASLAERNWQKAIDFYGPQTHPTMYFTILIERSALSLSLSRSLHLKLMLDALSRLLEARYVSEAFADIFSTEYPELHAKYWSQLQTVLKKMLAVNLSVNSNKSSTETVSATTSNRSGDAGKLRELYKMSLKSTDIRQLHAMFSVWSSLSLIKNKNMNPVDVSS >KJB59611 pep chromosome:Graimondii2_0_v6:9:21817429:21824364:1 gene:B456_009G263800 transcript:KJB59611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSGGGAAPSSSIESSGELQCVGKMEIVKPKPVGFLCGSIPVPTDNSFHAFNSALVPSSRPTLSAPRYRMLPMETDLNMPPLVTNFPEKVLPIGAVQKATGDIIWEDGAIASNLSRKCEALAVSGLVEYGDEIDVIAPTDILKQIFKIPYSKARLSIAVHRVGQTLILNTGPDVEEGEKLVRRHGNQAKCQDQSLFLNFAMHSVRMEACDCPPSHQAPLERQSDSSALPGGETPNFVEENDNISRKDGIKHHSEYSQVKQDGFFWGSKKGHRNKSRDPIKKAAHVGEKPRCSVQESEKHRRVGNNGFLRVLYWQFHNFRMLLGSDLLLFSNEKYAAVSLHLWDVTRQVTPLTWLEAWLDNVMASVPELAICYHQNGVVQGYELLKTDDIFLLKGIAEDGTPAFHPHVVQQNGLSVLRFLQENCKQDPGAYWLYKSAGEDVIQLFDLSVVSKNRSSGDCDDSSSSLPSLVHRGRSDSLFSLGTLLYRIAHRLSLSMATNNRAKCANFFKKCLEFLDEPDHLVVRAFAHEQFARLILNYDEELDLKSEYLPIECEVTAPDAGEESVDHDFSLIANYKLKEDETDFQDLASDVSAMMTLEANISAPNKLIASNTEFGSEEITLPSVHGDENYMVLNMASTSDDVVRPITDPISSKLAAVHHVSQAIKSLRWMRQLQTSQPELDNRDIGINGQLPSSMNFSVCACGDADCIEVCDIREWLPTSKLDHKLWKLVLLLGESYLALGQAYKEDGQLHHALKIVELACSVYGSMPRQLEDTRFISSIVKCSPASTKFSDRDEKKSSFISDIKEVKSNSADNCYVLEEFSSTYLFWANAWTLVGDVYVEFHVIKGKEISAQSQNKPSIRELKMSSEVVKELQRLTKKLGQYNQKCSSCSLVNCSCQSDRASSGNSASSSCGDTHAVSYSRKHGKRLRGKNVPNSLSRDNDDNNGRQKVKNRQVPDSGLFQHIGDGDNKVRASNSCTDEPGVNAVETTNSEKVEASFGINDKKPEGTIENEIASKEAHKLKDGGIFKYLRNRNTVVVDAEHNLSSALSCYEEAIKALGELPSGLADLQSLFKKKGWVCNELGRNRLALKELNKAELAFADAIDAFKEASDYTNVVYIYCNLGHGRRALAEEMVAKMEGLKMHEVFHIAYKQALETAKLEYSEALRYYGAAKSEMNAIAEEAFSDSESNLKNEVYTQFAHTYLRLGMLLAREDITAEVYENGALEELSMPCLGRARKDLRKYEVTANEAIREALSMYELLGGLRKQEAAYAYFQLACYQRDCCLKFLAPDHKKSGLLKGESGIHQRVKQYASLAERNWQKAIDFYGPQTHPTMYFTILIERSALSLSLSRSLHLKLMLDALSRLLEARYVSEAFADIFSTEYPELHAKYWSQLQTVLKKMLAVNLSVNSNKSSTETVSATTSNRSGDAGKLRELYKMSLKSTDIRQLHAMFSVWSSLSLIKNKNMNPVDVSS >KJB55041 pep chromosome:Graimondii2_0_v6:9:4273240:4275351:-1 gene:B456_009G059600 transcript:KJB55041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVTQMESLTRNDGFYFSDSLLGFALQTMVVESAIIATKSVAWLLMMMGSLPDGIDSHIKEPEAYTGFPLAQLHAVRKPSPENKDACDTEDDEDEDEKDEAGDDQDEDADEEDASGEDGGDPEDEPEANGDGASGDEDDDDEDDDDDDDDDGEEEEEEEEEEDEDEEEELQPPAKKRK >KJB55040 pep chromosome:Graimondii2_0_v6:9:4273240:4275075:-1 gene:B456_009G059600 transcript:KJB55040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPDGIDSHIKEPEAYTGFPLAQLHAVRKPSPENKDACDTEDDEDEDEKDEAGDDQDEDADEEDASGEDGGDPEDEPEANGDGASGDEDDDDEDDDDDDDDDGEEEEEEEEEEDEDEEEELQPPAKKRK >KJB59068 pep chromosome:Graimondii2_0_v6:9:18767948:18774174:1 gene:B456_009G237200 transcript:KJB59068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLGVPSIKNLDHFKSLSRSGSGAAKTFSFSSRPSSDSVSLGSFASLKLTAEKLVKEQASVKTDLELANCKLKKSMEHIRVLEEKLQNAFNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLHHLASQVQNAEKDTEFFEVKVSESSKAIDSLNEYMEGLSLKLSSAEETIRNRGKEIEELKFEKEENDRLYKDEQCKIANLIEEKDALIKKFEATIAANKLAAESLNSKMGEVQLELRSKDDEIKCLLTTQENLEKENSNLLLGNNEYAKKLSISLQEIKHLEGFVSVLAAQLVELDKQNLTFTDKFDELNSLYDTCFKLVQQEKDLAAEHAQKKYEQLHDKFLCITSERDALELVNQKLNSKIIELQKAQESVMAQLSEEFHLAERRIQKLESEAENLVSKKIETENLVSKLEEKIDTLSESSRSSENKMQDLLLKISALEMENKDNTEKMQAEIRGKKEEIDILEKEREKHEAQVNSLEKHVGQLQVMVEEKQQLILQYKEREKKLEVQISENQAILATAESKLVEARKQYDVMLESKQIELSRHLKEISQRNDQAINDIRRKYDMEKQEIVKLEKEKVDKVVGEMEQKCDQKVAECREELRQQLLCIQEENATLVTCLQQENDRKEQILKADHHEELKRAQLQAEDELRKKTTSLRNEHEVQMKALRCQFEDECKKLQEELNLQKSKEDRQRALLQLQWKVMSDKPKEEQEVNSRRDYSISSVKKKDSGFEKRTQHALVRPEEEEKESPFPGVTQTPVSKLLKKVENTNTGSVRSIPKHHKKVTHHEYEVETSNGRTITKRRKTRSTVLFEDPRKHKKVRTPKANTPASFVKGTKGCQPNVSNIGDLFSEGSLNPYADDPYAFD >KJB58924 pep chromosome:Graimondii2_0_v6:9:18194016:18199660:-1 gene:B456_009G230800 transcript:KJB58924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSGLCSSSPSLKPKHDRVVIPLFHKYSLAFPTRSNSCSKLSVAGEVSADLSKTNSVGVLKKAKQGGLEKDPKALWRRYVDWLYQHKELGLYLDVSRIGFSDEFVAEMEPRFQAAFKAMEDLEKGAIANPDEGRMVGHYWLRNAKLAPKPILQVQIEKTLDAVCKFADDVISGKIKPPSYPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPGGIDHQVAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLDFSKQGVAITQENSLLDNTARIEGWVARFPMFDWVGGRTSEMSAVGLLPAALQGIDIREMLVGASMMDNATRSRVLKDNPAALLALCWYWACDGVGSKDMVILPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGISVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESVTVTVQEVTPRSVGALVALYERAVGLYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCKEPVEPLTLEEVAERCHAPEDIEMIYKIIEHMAANDRALIAEGDCGSPNSLKVFLGECNLDELYA >KJB54683 pep chromosome:Graimondii2_0_v6:9:3227790:3229386:-1 gene:B456_009G044700 transcript:KJB54683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKVFPSAKPAATAVPPAVNGGAPVNMNGTTANGGTTKSHLYNPTSRPPYRPQPYPRRHRPRRNYCCCCCFWTILIILILALLVAIAGSVFYVLYRPNRPSFTLASLRIHRLNLTTTGDSSSSHLSTLFNLTISSKNPNSHLSFSYDPFVVSCVSSNSDVFIGNGTLPAFVSNSKNETTFKGVVVTTSIDLDAETVNNLRPDLKKKNGILLKVQMDTKVTVKMGGLKSKKVGIRVSCDGVKGVLPKGKSPSLANVSGAKCKVDLRIKIWRWTF >KJB59279 pep chromosome:Graimondii2_0_v6:9:21147729:21148322:-1 gene:B456_009G256500 transcript:KJB59279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNQLCLFLVIFLSIFLLSSLPTSVIIPKANVSLPVPSSQLVENFCIGKAVENRRFCLKALSTPKIIVAMDTTQLGTLIMKLGATNAKATLNVYNEIIKKPELVEDPQTKNFDVAVIGPEIANCEKELINTKVQAPPLLAGNRFMKYYVSMGYEVTSTLELENPNEY >KJB56211 pep chromosome:Graimondii2_0_v6:9:8061247:8063488:-1 gene:B456_009G110400 transcript:KJB56211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGDCCFTLGDSWPKVQSALDHRAFSLITAKPKEHRRKLATPSLALPETAASVAIAATVVGAAATFLVRRTKASDATEVPLRTCEDCEGSGICSECRGEGFVLKKMSEESAERARLTAKNMATRYTAGLPKKWSYCTKCSSTRSCTTCGGSGKLS >KJB56213 pep chromosome:Graimondii2_0_v6:9:8061247:8064317:-1 gene:B456_009G110400 transcript:KJB56213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLQTLTFTSYCRTLGDSWPKVQSALDHRAFSLITAKPKEHRRKLATPSLALPETAASVAIAATVVGAAATFLVRRTKASDATEVPLRTCEDCEGSGICSECRGEGFVLKKMSEESAERARLTAKNMATRYTAGLPKKWSYCTKCSSTRSCTTCGGSGKLS >KJB56212 pep chromosome:Graimondii2_0_v6:9:8061247:8064077:-1 gene:B456_009G110400 transcript:KJB56212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLQTLTFTSYCRTLGDSWPKVQSALDHRAFSLITAKPKEHRRKLATPSLALPETAASVAIAATVVGAAATFLVRRTKASDATEVPLRTCEDCEGSGICSECRGEGFVLKKMSEESAERARLTAKNMATRYTAGIGAGSFQTSQEMELLHKMLFYSILYYLWREWKVKLV >KJB62655 pep chromosome:Graimondii2_0_v6:9:67015078:67018049:1 gene:B456_009G428000 transcript:KJB62655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVSQMPSSSPLSSNKDEMRPKADYPPSIWGDFFHNCPDKNIDAETEKRHQQLKEEVRKMIVAPMANSTQKLTFIDSVQRLGVSYHFTKEIEDELENIYHNNNDAENDLYTTSLRFRILREHGFNVSCNAFNKFKDEQGNFKSSVTNDVRGLLELYEASYLRVHGEDILDEAISFSANHLILAVASLDYPLSEQVSHALKQSIRRGLPRVEARHYLSVYQDIESHNKALLEFAKIDFNMLQLLHREELSEICRWRNDLDFQTKLPYTKDRVVECYFWILGVYFEPQYSLGRKMMTKVIIMTSVIDDTYDSYATYDELIPYTSAIERWEIKCIDQLPEYMKLSYKALLDIYEEMKQLVAEHGRQYRVEYAKNALIRLAQSYFVEAKWALQNYKPSFEEFKINALSSTGYAMLAITSFVGMGDIVTPETFKWAASEPKIIQASAIICRFMDDIAEHKFKHRREDDWSVIDYYMKEYNITAQETYDVFNKYIESAWKDMNQELLKPTEMPTEVMNRSLNLSRVMDVLYKEGDGYTYVGKAIKDVITSLLIEPVTL >KJB61656 pep chromosome:Graimondii2_0_v6:9:50637321:50639165:-1 gene:B456_009G373400 transcript:KJB61656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGFCEAALSNTVGTLVVDCVVKPVGRQLDYVRRFHDNVEKLREKKRELADARDRLLHMIEDAKIRLLLIENDVQNLQSRADETLSDMGTLEEEIQLNKRCLNWCPNWSWRYQLSKKAMKKIQDISELLDKFGQLGPVGYPAPTAVPTIDFLCSKELLFSKSSETAFYEIIEALKDENINMIGLWGMGGVGKTTLAREVGSQAQKLNLFDKVVITTVSQKPNLERIQDQIAQYIGFGMKNEQGRRSEQELWVRLKNEPRILIILDDIWESINLKEKIGIPIGDDHKGCKVLLTTRRQQVCRAMDCQNVVQLDCLDDDEAWTLFEKKAGLDDFSDDSIKILANQIVKKCRGLPIAIVPLGSALKGKTHHEWQAAYQRLKDRRLTKIEDVNDENAYVCLEASFGYLKNMETKTCFLLCSLFPEDDEIYVDNLVGYAWGLELYKGMDSIKVVRSEVLASIEILKNSGLLLDCGERHVKMHDVVRQFALWIASSRKEISFGTVETLPMDESFKHYTAISFETDQTDELPKGVGFPYLKLLLLGGNRFMETSSKFFEGMKALQVCAFKGQLMISLAAFKFNMNLRTLCLIGCRLSDISMLGKLKSLHILSLSGSDIVG >KJB58392 pep chromosome:Graimondii2_0_v6:9:16154467:16155709:-1 gene:B456_009G208300 transcript:KJB58392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWPSKKQNVGDKGYTFCLCHGMAGEYGIYLKCHKPVALFGGFISDTYLSRFKTCVLFGSIELLGYAALTAQVHFHRLRPPSCQGLAISQTTQCQAADSGQAAILFTGLYHVAFGASGVKAALLSLGADQFDERDPKRSSFFNWFLLSFAVGAIIGVTFIVWISTN >KJB58393 pep chromosome:Graimondii2_0_v6:9:16154306:16155889:-1 gene:B456_009G208300 transcript:KJB58393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWPSKKQNVGDKGYTFCLCHGMAGEYGIYLKCHKPALFGGFISDTYLSRFKTCVLFGSIELLGYAALTAQVHFHRLRPPSCQGLAISQTTQCQAADSGQAAILFTGLYHVAFGASGVKAALLSLGADQFDERDPKRSSFFNWFLLSFAVGAIIGVTFIVWISTN >KJB55534 pep chromosome:Graimondii2_0_v6:9:5866293:5867120:1 gene:B456_009G081200 transcript:KJB55534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPPLTAVSTLSIREKGAVQSSEMLKDLLRKNQQNSESEIDTGVDCRFSFRNCSLKIGQPKIILEGDDLGVIKIKETQEPWDWD >KJB57715 pep chromosome:Graimondii2_0_v6:9:13686601:13693510:1 gene:B456_009G177000 transcript:KJB57715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGLGWKRPSETFRLSLNYGYEESTEKPHRKPSSPVMTSSSSSSALLASSDLQDLGSRIDLDWVSGDDDDQVALRLQSQLMVALPAPQDAVAIELRETAENVVRVEMKVEKKREPLRAVTMFKAGGSGQQSDGVGVLARLLRSDLVLSGDGSPTGYDDHWRSVTLLSLCGCGLTTLPIELTRLPVLEKIYLDNNKLSQLPNELGELKTLKVLRVDNNMLVSVPVELKQCIGLVEISFEHNKLVRPLLDFRDMAELQILRLFGNPLEFLPEILPLRKLRHLSLANIRIVADENLKSVTVQIEMENISYFGASRHKLSAFFSLIFRFSSCHHPLLASALAKIIMQNQGNRVVVGKDENALQQLISMISSDNRHVVKQACSALSTLAGDVSVAIQLMKCDIMQRIKNVMKSPAPEKLISVLQVVVTLAFGSDTVAQKMLNKDVLRSLKLLCAHKNPEVQRLALVAVGNLAFCLENRHILVTSESLRELLMRLTFTPEPLVNKAAARALAILGENESLRRAIRGRQIPKQGLRILSMDGGGMKGLATVQILKEIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLEQCEEIYKKLGKLVFAEPMPNNESATWREKFDQLYKSSSQSFRVVVHGSKHSADQFEKLLREMCADEDGDLLIESAVKNIPKVFIVSTLVSVAPAQPFLFRNYQYPVGTPEVPLAISESSGITILGSPTTGSQIGYKQSAFMGSCKHHVWQAIRASSAAPYYLDDFSDDVYRWQDGAIVANNPTVFSLREAQLLWPDTKIDCIVSIGCCSLPIKARKGGWRYLDTGQVLIESACSVDRAEEALSILLPMLPEIQYFRFNPVDERCDMELDETDPTVWLKLEAAVDDYIQNNSDSLKNACERLLLPFIHDEKWADSLKSPHFMKAKATNSVLGESSPSLGWRRNILLVEALNSPDSGRIVHHARALESFCSRNGIRLSLLHDISGISKAVLEKRFPTSFTSHLITESYPSSPLVFSPDVGSQRLCRIDIVPPLSLDGSGKTVTSPPELSPEPRQLSLPVQSLHEKLQNLPQVGIIHMALQNDSIGSILSWQKDVFVVAEPGELADKFLQSVKDTMSSVMQNQNRKGALPFANIADLVHCRPYFQVGNIVHRYVGHQTRVMENDKEIRAYMFCRNIPSLHIIPEDVRSTVGAWRDRIIIFTGTHGPTANLIEAFLDSGAKAVICPIAEPQDVSVTTVTGSGKYNTPENGGFKIGAEDIEGEEAERISPASDREDNDSEKNGNHQTSGFRDEEEEELSEFVCQFYDLVLREGASVDAALKNALASHRKLRYSCHLPRENELVR >KJB57714 pep chromosome:Graimondii2_0_v6:9:13686601:13693422:1 gene:B456_009G177000 transcript:KJB57714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGLGWKRPSETFRLSLNYGYEESTEKPHRKPSSPVMTSSSSSSALLASSDLQDLGSRIDLDWVSGDDDDQVALRLQSQLMVALPAPQDAVAIELRETAENVVRVEMKVEKKREPLRAVTMFKAGGSGQQSDGVGVLARLLRSDLVLSGDGSPTGYDDHWRSVTLLSLCGCGLTTLPIELTRLPVLEKIYLDNNKLSQLPNELGELKTLKVLRVDNNMLVSVPVELKQCIGLVEISFEHNKLVRPLLDFRDMAELQILRLFGNPLEFLPEILPLRKLRHLSLANIRIVADENLKSVTVQIEMENISYFGASRHKLSAFFSLIFRFSSCHHPLLASALAKIIMQNQGNRVVVGKDENALQQLISMISSDNRHVVKQACSALSTLAGDVSVAIQLMKCDIMQRIKNVMKSPAPEKLISVLQVVVTLAFGSDTVAQKMLNKDVLRSLKLLCAHKNPEVQRLALVAVGNLAFCLENRHILVTSESLRELLMRLTFTPEPLVNKAAARALAILGENESLRRAIRGRQIPKQGLRILSMDGGGMKGLATVQILKEIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLEQCEEIYKKLGKLVFAEPMPNNESATWREKFDQLYKSSSQSFRVVVHGSKHSADQFEKLLREMCADEDGDLLIESAVKNIPKVFIVSTLVSVAPAQPFLFRNYQVEYPVGTPEVPLAISESSGITILGSPTTGSQIGYKQSAFMGSCKHHVWQAIRASSAAPYYLDDFSDDVYRWQDGAIVANNPTVFSLREAQLLWPDTKIDCIVSIGCCSLPIKARKGGWRYLDTGQVLIESACSVDRAEEALSILLPMLPEIQYFRFNPVDERCDMELDETDPTVWLKLEAAVDDYIQNNSDSLKNACERLLLPFIHDEKWADSLKSPHFMKAKATNSVLGESSPSLGWRRNILLVEALNSPDSGRIVHHARALESFCSRNGIRLSLLHDISGISKAVLEKRFPTSFTSHLITESYPSSPLVFSPDVGSQRLCRIDIVPPLSLDGSGKTVTSPPELSPEPRQLSLPVQSLHEKLQNLPQVGIIHMALQNDSIGSILSWQKDVFVVAEPGELADKFLQSVKDTMSSVMQNQNRKGALPFANIADLVHCRPYFQVGNIVHRYVGHQTRVMENDKEIRAYMFCRNIPSLHIIPEDVRSTVGAWRDRIIIFTGTHGPTANLIEAFLDSGAKAVICPIAEPQDVSVTTVTGSGKYNTPENGGFKIGAEDIEGEEAERISPASDREDNDSEKNGNHQTSGFRDEEEEELSEFVCQFYDLVLREGASVDAALKNALASHRKLRYSCHLPRENELVR >KJB58763 pep chromosome:Graimondii2_0_v6:9:17571668:17575143:-1 gene:B456_009G225100 transcript:KJB58763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKFIHLLLLLAVLSFVFYDSSQAIRDDQFRFFNLMKASLSGKALSAWDVSGMKSYCDFRGVSCNNRGYVESINISDWSLSGNFPADVCSHLPELRVLDISRNNLRGNFLNSIVNCSLLEEFSMSSVYLRTTLPDFSKMTSLRVVDLSYNLFTGDFPMSITNLTDLEVIYFNENDGLNLWQLPGNISRLTKLRVMVFTSCMLYGSIPASIGNMTSLVDLELSGNFLSGQIPKELGLLKNLQQLELYYNQHLSGSIPEELGNLTELVDLDMSVNRLSGSIPESLCRLPKLQVLQLYNNSLTGEIPGAIAESTTLTMLSLYENFLSGQVPQNLGKSSAMVLLDLSENNLSGPLPAEVCRGGRLLYLLVLDNKFSGKLPDSYANCKSLIRFRVSKNYLEGAIPEDLLGLPHAAIIDLADNSFTAPFPSSIGNAKNLSELFMQNNKLSGFLPPEISGAINLMKIDLSNNLLSGPIPTEIGDLKKLNLLMLQGNKLSSSIPSSLSLLKSISVVDLSNNHLTGNIPESLGELSYNTINFSNNELYGPIPLSLIKDGLVESFSGNPGLCAPVEVQSFPKCSHKHNQKKRNSMWAIMISVIVFTIGAILFLRRRYSKQRGVVEHDETLSSSFFSYDVKSFHRICFDRHEILEAMIDKNIVGHGGSGTVYRIRLRGGEVVAVKKLWSRTAKDSTPEDQLIFDKGLKTEVETLGSIRHKNIVKLYSYITNFDCKMLVYEYMPNGNLWDALHKGWIHLDWPIRHQIALGVAQGLAYLHHDLLTPIIHRDIKSTNILLDANYEPKVADFGIAKVLQARGGKDSIITVIAGTYGYLAPEYAYSSKATTKSDVYSFGVVLMELITGKKPVEAVFGENKNIVYWVSTKLDTKEGVMEVLDKRVSGSFKDEMIQVLRIAMRCTYRNPSQRPPMNEVVQLLIEADPCRFDSCKLSINKTKEASNVTKVESQPEV >KJB63126 pep chromosome:Graimondii2_0_v6:9:70551323:70552599:1 gene:B456_009G454200 transcript:KJB63126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMANTHIVEIPVDEEHQHKLSSALTTITAIQNHPLMEISQSPGHLLLLKLWQREEDLFNRKIAVKASRLDSIKTQIFQLSCFFLVFHGLFFNVLFTSSVGVRQHHTCKKWWIPSIVSLSTSLVFVFLVQVKLCRYWKVGKQLQRERNDNRALTRCIQELRMKGESFDLSKEPHIGKRMKSSSVEIKWKPLTWCSQYLVTIVIVCISALVFPFCKFMLCGF >KJB63127 pep chromosome:Graimondii2_0_v6:9:70551336:70552458:1 gene:B456_009G454200 transcript:KJB63127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMANTHIVEIPVDEEHQHKLSSALTTITAIQNHPLMEISQSPGHLLLLKLWQREEDLFNRKIAVKASRLDSIKTQIFQLSCFFLVFHGLFFNVLFTSSVGVRQHHTCKKWWIPSIVSLSTSLVFVFLVQVKLCRYWKVGKQLQRERNDNRALTRCIQELRMKGESFDLSKEPHIGKRMKSSSVEIKWKPLTWCSQYLVTIVIVCISALVFPFCKFMLCGF >KJB55122 pep chromosome:Graimondii2_0_v6:9:4562036:4564472:1 gene:B456_009G064000 transcript:KJB55122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 55, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24820) UniProtKB/Swiss-Prot;Acc:Q9SK50] MALSLSPCLPHTTYMSKPLSTSKLTTTIVSFNNPLLGFKPVNLRNNQVRCHAVTDLKSASSLDQSKGEGDDRSEEESKGDRIVADYNWTEEWYPLYLTKDVPDDAPLGLTVFDQQLVLYKDGNGVLHCYQDRCPHRLAKLSEGQLIDGRLECLYHGWQFEGNGKCVKIPQIPADAKIPRSVCVKTYDVKESQGVVWVWMSQTTPPNPEKLPWFENFARPGFQDTSTIHELPYDHSILLENLMDPAHIPISHDRTDWTAKREDAQALLFEVTERTHRGFAGWWGKEKDGSMPNFLRFDAPCVLQNNRELVDKDGEKHYFTGLFLCRPTGQGKSMLIVRFGGTKRSPLAKLFPKWYFHQNASKVFEQDMGFLSSQNEVLMKEKVPTKELYINLKSSDTWVAEYRKWMDKVGHGMPYYFGHSTLSPPKLPAVVEHAPAGLIAGVSASSPAKGGIGTMHAPNLANRYFRHVIHCKGCSSVVKAFTAWKNGLSAAALVLTALAILASSRQWKTFLLLSATLCLGGAYACSTAVAMNTTNFIRVHRRL >KJB59189 pep chromosome:Graimondii2_0_v6:9:19493299:19494677:-1 gene:B456_009G243400 transcript:KJB59189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLLPLLFILLMLSSGAKVYECARTFTIINNCKDTIWPGIIPGESFNGGGLELKSHQSIVFDAPVGWSGRIWGRTGCKFDDNGNGPCQTGDCGQTLKCGVAGKTPVSLAEFTLATLDFYDVSLVDGFNLPLSVTPINGKGNCSTAGCNSDVRHNCPSELAVKAKGKVIACRSACDVFDTDEYCCRGTYGNPSTCRPTYYSNIFKSACPTSYSYAYDDPTSIFTCSGADYVITFCSTRNQPVCTYHDHKLVCKNKANGLNPSVGRWWATLLAPLLMANLRFLL >KJB54019 pep chromosome:Graimondii2_0_v6:9:1330985:1332416:-1 gene:B456_009G016900 transcript:KJB54019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSSSIPIRDSWVSHSKNFSPAPELLHQSSLTRTVSCSCSISAGSIDDSSWRMMRTVSGTDLRDLVVPQMKTAKNNNVLLDGICVEEEEVEECGIGGGGGQIVGGGRGGGGSDSEDNEWGFCDSKKGNDSTDLYYQKMIEANPGNTLLLSNYAKFLKEVRGDFVKAEEYCGRAILINPNDGNILSMYAELIWQTHKDDRRAEAYFDQAIKSAPDDCFVLASYARFLWDAEEEEEEEEVRENTSERLEQSFFHGAPPSPSPLAAAPLYLAVSDFC >KJB61069 pep chromosome:Graimondii2_0_v6:9:37357014:37366019:-1 gene:B456_009G338600 transcript:KJB61069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCEFCTTSRPVVYCKSDAAHLCLSCDAKVHSANALSNRHLRTLLCDLCRHRPSHVCCFNHRMFLCRGCDQSLHDVSSHHLHQRRAVSSYLGCPSAKDFAALWGFELNELDNNATQDQALSNSCISMNPKGVEPGNLRQSSLGTGVSSSKSGMTSLAAAGHNSGSNCQRTKVISRVQQMKNTSLILQQIIDLKKFQLTEGDCHLPLNCSLEQADTSSSICNSSKNDDSNLVQDTDTNIHQSDNPLEELNTDMENLLSSSTSGMPFYGESIWQCKSPIRNSQLWSQNMQDLGVCEDTFCQDDFNMPDIDLSFRNFEDLFGVDQHPTRGPLASKDVPSSSMEKEAFNNSNNVNAISMEGIITSACTSQPSYSAMPSVSRFSAETSAPDCLDNGVSAIIHGEASCISPDLDSFHSEARENAMVRYKEKKKARLVMCCSGMKDKLIVHHGKQEPT >KJB61071 pep chromosome:Graimondii2_0_v6:9:37357014:37366019:-1 gene:B456_009G338600 transcript:KJB61071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCEFCTTSRPVVYCKSDAAHLCLSCDAKVHSANALSNRHLRTLLCDLCRHRPSHVCCFNHRMFLCRGCDQSLHDVSSHHLHQRRAVSSYLGCPSAKDFAALWGFELNELDNNATQDQALSNSCISMNPKGVEPGNLRQSSLGTGVSSSKSGMTSLAAAGHNSGSNCQRTKVISRVQQMKNTSLILQQIIDLKKFQLTEGDCHLPLNCSLEQADTSSSICNSSKNDDSNLVQDTDTNIHQSDNPLEELNTDMENLLSSSTSGMPFYGESIWQCKSPIRNSQLWSQNMQDLGVCEDTFCQDDFNMPDIDLSFRNFEDLFGVDQHPTRGPLASKDVPSSSMEKEAFNNSNNVNAISMEGIITSACTSQPSYSAMPSVSRFSAETSAPDCLDNGVSAIIHGEASCISPDLDSFHSEARENAMVRYKEKKKARLVMCCSGMKDKLIVHHGKQEPT >KJB61070 pep chromosome:Graimondii2_0_v6:9:37356736:37366911:-1 gene:B456_009G338600 transcript:KJB61070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCEFCTTSRPVVYCKSDAAHLCLSCDAKVHSANALSNRHLRTLLCDLCRHRPSHVCCFNHRMFLCRGCDQSLHDVSSHHLHQRRAVSSYLGCPSAKDFAALWGFELNELDNNATQDQALSNSCISMNPKGVEPGNLRQSSLGTGVSSSKSGMTSLAAAGHNSGSNCQRTKVISRVQQMKNTSLILQQIIDLKKFQLTEGDCHLPLNCSLEQADTSSSICNSSKNDDSNLVQDTDTNIHQSDNPLEELNTDMENLLSSSTSGMPFYGESIWQCKSPIRNSQLWSQNMQDLGVCEDTFCQDDFNMPDIDLSFRNFEDLFGVDQHPTRGPLASKDVPSSSMEKEAFNNSNNVNAISMEGIITSACTSQPSYSAMPSVSRFSAETSAPDCLDNGVSAIIHGEASCISPDLDSFHSEARENAMVRYKEKKKARLHERQINSASRKARTDVEKRVKGRIVKREDYDSDNPNVTKSC >KJB61072 pep chromosome:Graimondii2_0_v6:9:37356716:37366213:-1 gene:B456_009G338600 transcript:KJB61072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCEFCTTSRPVVYCKSDAAHLCLSCDAKVHSANALSNRHLRTLLCDLCRHRPSHVCCFNHRMFLCRGCDQSLHDVSSHHLHQRRAVSSYLGCPSAKDFAALWGFELNELDNNATQDQALSNSCISMNPKGVEPGNLRQSSLGTGVSSSKSGMTSLAAAGHNSGSNCQRTKVISRVQQMKNTSLILQQIIDLKKFQLTEGDCHLPLNCSLEQADTSSSICNSSKNDDSNLVQDTDTNIHQSDNPLEELNTDMENLLSSSTSGMPFYGESIWQCKSPIRNSQLWSQNMQDLGVCEDTFCQDDFNMPDIDLSFRNFEDLFGVDQHPTRGPLASKDVPSSSMEKEAFNNSNNVNAISMEGIITSACTSQPSYSAMPSVSRFSAETSAPDCLDNGVSAIIHGEASCISPDLDSFHSEARENAMVRYKEKKKARLHERQINSASRKARTDVEKRVKGRIVKREDYDSDNPNVTKSC >KJB61368 pep chromosome:Graimondii2_0_v6:9:45789895:45791541:-1 gene:B456_009G353500 transcript:KJB61368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGKPDEPIQLPETYSTHPFPIYIPEDEEQLGNDVMQSSTNERQRQSLEIDIKALEIDIKEMMIKSRRPPFPCCIYRVSPVLRDVNKKAYTPRTVSIGPLHHNNKNLKGMQAVKFQYLEQFLKRATKTAMLNELPHWGDPMGFVTSLDTDLQIGKHFSCLERFLGLLKSTEGDIWNSYAEDDLNHITLEELLKIILVDSAFIIELFLRFHFNPSRLTPFEIASIRMDLLLIENQVPFFVLENLYKEAFGSYPNIYPTILELSCEFFEPYNDQKMQIQTMKHFTDLLRTFHLPITTDGEHGSDGGTPENESKNRESDDSNEHLKSATQLHAAGVQFNVSSSKCTLDISFIKPKLEIPCLHIYDDTEVIFRNVMVLEIYQYPNKTLICDYVLLMDYLINTSEDAELLVEKKIITSRLGSNQQVASLFNRLGRNIVKGINDKKLKGLVQALNAYYDTPWHTTKATFWLKYFSTPWKAASTTAASLLLLLTLIQTVLTGIAL >KJB54321 pep chromosome:Graimondii2_0_v6:9:2226642:2230570:1 gene:B456_009G029100 transcript:KJB54321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MDSVIAPLCLFIPLNCNNNKKKSYYCYYNSNHILNISFKNKIRCSVPSSAGIFNLKKFTAVSFRYDHRHRRGSSSCLRRAVMDSSNSASSPDMQKPKIVTGPGGYVLEDVPHLSDYIPDLPTYPNPLQDNPAYSVVKQYFVHVDDTVPQKIVVHKDSPRGVHFRRAGPRQRVYFDSDEVHACIVTCGGLCPGLNTVIREIVCGLYHMYGVKKVLGIDGGYKGFYAKNTVHLDPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQRGAAVIFEEVRRRGLKVSVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEADSIENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLFEYIEKRLKENGHMVIVIAEGAGQELLSESIQSMTLKDASGNKLLQDVGLWISQRIKDYFSKQRKMPINLKYIDPTYMIRAIPSNASDNVYCTLLAQSVVHGAMAGYTGFTSGLVNGRQTYIPFYRIIEKRNGVVITDRMWARLLSSTNQPSFLCLKDSIEDTGDEEQSNQLLENGHCGEEGSLISKR >KJB62820 pep chromosome:Graimondii2_0_v6:9:68881173:68884357:-1 gene:B456_009G438400 transcript:KJB62820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRERLRYLEAMAIYCEAMAMVEEYQQAVSVANLGGIRDIQGFYPQLGLKNSPQVYETLEHRLVVAEAAQRLRLPLISKDGEIHEEEIEKWSIMSRSSLDSTSTSVTISSSSNSLNYANSTATGGAAANNTGDSGEPGVGGVPNRFLGITPAYLWQTQLQRVPLSMDMADYQLTLSREIDARLKSKCDKLADAFVDDIDSSSGSQSSSSRLPERVKLIIEEIEREEAALREDLYSADRKFAEYYNVLEQILGVLIKLVKDLKLQHQHQYDELQKTWLCKRCETMSAKLRVLEHVLLLETYTQESIPALHKIRKYLVEATEEASAAYNKAVTRLREYQGVDPHFDTIARQYHDVVKKLENMQWTIHQVEMDLKRLPDHASS >KJB62817 pep chromosome:Graimondii2_0_v6:9:68880977:68884400:-1 gene:B456_009G438400 transcript:KJB62817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGGQNLPADVIQLIDQLERHCLSPDGSLISKSAYYDLQLAREEMSRERLRYLEAMAIYCEAMAMVEEYQQAVSVANLGGIRDIQGFYPQLGLKNSPQVYETLEHRLVVAEAAQRLRLPLISKDGEIHEEEIEKWSIMSRSSLDSTSTSVTISSSSNSLNYANSTATGGAAANNTGDSGEPGVGGVPNRFLGITPAYLWQTQLQRVPLSMDMADYQLTLSREIDARLKSKCDKLADAFVDDIDSSSGSQSSSSRLPERVKLIIEEIEREEAALREDLYSADRKFAEYYNVLEQILGVLIKLVKDLKLQHQHQYDELQKTWLCKRCETMSAKLRKYLVEATEEASAAYNKAVTRLREYQGVDPHFDTIARQYHDVVKKLENMQWTIHQVEMDLKRLPDHASS >KJB62818 pep chromosome:Graimondii2_0_v6:9:68881173:68884357:-1 gene:B456_009G438400 transcript:KJB62818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGGQNLPADVIQLIDQLERHCLSPDGSLISKSAYYDLQLAREEMSRERLRYLEAMAIYCEAMAMVEEYQQAVSVANLGGIRDIQGFYPQLGLKNSPQVYETLEHRLVVAEAAQRLRLPLISKDGEIHEEEIEKWSIMSRSSLDSTSTSVTISSSSNSLNYANSTATGGAAANNTGDSGEPGVGGVPNRFLGITPAYLWQTQLQRVPLSMDMADYQLTLSREIDARLKSKCDKLADAFVDDIDSSSGSQSSSSRLPERVKLIIEEIEREEAALREDLYSADRKFAEYYNVLEQILGVLIKLVKDLKLQHQHQYDELQKTWLCKRCETMSAKLRVLEHVLLLETYTQESIPALHKIRKYLVEATEEASAAYNKAVTRLREYQGVDPHFDTIARQYHDVVKKLENMQWTIHQVEMDLKRLPDHASS >KJB62819 pep chromosome:Graimondii2_0_v6:9:68881535:68884260:-1 gene:B456_009G438400 transcript:KJB62819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGGQNLPADVIQLIDQLERHCLSPDGSLISKSAYYDLQLAREEMSRERLRYLEAMAIYCEAMAMVEEYQQAVSVANLGGIRDIQGFYPQLGLKNSPQVYETLEHRLVVAEAAQRLRLPLISKDGEIHEEEIEKWSIMSRSSLDSTSTSVTISSSSNSLNYANSTATGGAAANNTGDSGEPGVGGVPNRFLGITPAYLWQTQLQRVPLSMDMADYQLTLSREIDARLKSKCDKLADAFVDDIDSSSGSQSSSSRLPERVKLIIEEIEREEAALREDLYSADRKFAEYYNVLEQILGVLIKLVKDLKLQHQHQYDELQKTWLCKRCETMSAKLRVLEHVLLLETYTQESIPALHKIRKYLVEATEEASAAYNKAVCKIFFTWFGSLMFD >KJB61459 pep chromosome:Graimondii2_0_v6:9:47289236:47289934:1 gene:B456_009G359200 transcript:KJB61459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIANNIKTTIPQTKSAKEYLMFVEECFCSMDKPLVGTLMAQLMTMKYDGSRGMQEHIIEVAKDLKQQPTSLRRRKNLPVNVSNGDKKEQMADKCHFCKKGHYQKDFQKHKAWFEKKGEFYVFVCFELNLIEVHNNTQWLDSIFTTHISNMIHGFL >KJB57497 pep chromosome:Graimondii2_0_v6:9:12856858:12859173:1 gene:B456_009G167100 transcript:KJB57497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVNNSSSYWLFSVDHECELFFFVNLCFDFLVTKNRFLGFSSFMFLVSCSYIWWLGIVTERFWPLVMLNVTAVANHETSNGWPLGLQIMNIRLGLQQRLQAAAPAVEPYFLHIPSSSFSSFSSSNLDTESSASFFQDNSVSLGKLIGYGPGERESLYLQNSIHTDQSCRLPVRGACNCKDKGRSADASQSQGICIPLLLGALLKITGNKIKSKRLE >KJB55134 pep chromosome:Graimondii2_0_v6:9:4607678:4611879:1 gene:B456_009G064800 transcript:KJB55134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTIISPTFLSACLLLLCLVCCLKEGYGLERRATAESHHLEHSHTIQVTSLLPSSICSPATKELNKKSSLRVVHKHGPCSQLHRQDKANIPTDAEILRQDEARVKSIHLKLARSSGSSNVDQIDATNLPAKDGSVVGSGNYVVTVGLGTPKKDLTLIFDTGSDITWTQCQPCAGSCYQQLDPVFAPSQSSTYSNISCNSTTCNSLASATGIKPRCSSACVYGIQYGDMSFSIGFFAKETLTLTSSDVFKDFLFGCGQNNQGLFRGAAGLIGLGRDKLSLPSQTALKYKGVFSYCLPSSASSTGFLSFGDTGASKSVKFITLSTVSQRSAFYGIDITGISVGGQKLSIAASVFTAGSAIIDSGTVITRLPPTAYAALSSEFKKQMSQYPMAPASKLLDTCYDFTKYSSVNVPKISFFFSGGVEVPIAAEGIFFVRSISQVCLAFARNNNDTDIGIFGNTQQKTLQVVYDVAGGRLGFATGGCT >KJB61727 pep chromosome:Graimondii2_0_v6:9:51123244:51124984:-1 gene:B456_009G376400 transcript:KJB61727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPVRGKGKRDHHFDNVDHALSLFNKMIEKYPKPSIVEFNKLLGAIVKMKHYAIVVSKYRKIELLGVSHNVYSMNILINCFCHLGRIDFGFSVLGKMLKLGVEPDVVIFSTLINGLCNQSKISEAVLYGLCKTGNTDRAVRFLRLMEGRGYEPDIVAYSTVIDCLCKNCLKQGIEPNVVTYNTLVDAHCKEGMVSEAEDIVDAMIKRGIEPDVVTYSALVNGHCLQNKMDKARRVFNLMIEKGCAPDIVTYSTMINGYCKGKRLDEAMELFHEISRNGPIPDTVTYNTLMQSMFQLGKVSTACELFRKMLASGQVPDIVTCLILLDGLCKTGHIEEALKLFQAMQNSGLELDIVPYTILIDGFCKAGHIEVAKELFHQLSNNGLKPNVVTYCVMINRLCKEGLPDEAYRWFGSMGDNNCLPNSCCYNVMIRGFLRNSYTSKATQLLMEMVGKGFSADIITATLFMDLIIHSNKSILL >KJB61909 pep chromosome:Graimondii2_0_v6:9:52959768:52962707:1 gene:B456_009G390400 transcript:KJB61909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLEEREDEKKWATSWGGYREELKKGSRIAAPMVAVTVLQYLVQVVSVIMVGHLGQLSLSSVAIATSLTNITGFSLLSGMAGGLETLCGQAYGSQQYKKLGIYTYSAIISLILVCPPICMLWIFMDKLLPLVGQDTLISYKARQYSLWLIPGLFASTILKPLTRFLQMQSLILPMLLTSIFILCFHVPLCWILVFKLDLGDLGAAIAFSLSTWLNVILLGIYVRYSSTCEKTRSPLSKDAFLGVPQFFRLGVPSAIMVCLKWWSMELLTLLSGLLPNPKLETSVLSICLTISTLHFTVPYGFGAATSTRVSNELGAGNPESARVAVKVGMSMAITEAVMVSGALFFSRHIVGYGYSNEKAVVNHVATMAPLLCISLVTDSIQIVLSGVAKGCGWQYIGAYVNLGAFYLIGLPVGIILGFVGHLNGRGLWLGIVVGSIVQTILLSLFAIFTNWEKQVAKAKERISMGN >KJB62315 pep chromosome:Graimondii2_0_v6:9:62133720:62135420:1 gene:B456_009G411100 transcript:KJB62315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMLVPPWLESLLSTAFFTVCRSHGDAGRSECNMYCLDCKGDSFCFYCRSSKHKDHQVIQIRRSSYHDVVRVTEIQKVLDISGVQTYVINSARVLFLNERPQPKSGKLGVSHLCDICGRTLLDPFRFCSLGCKLVGIKRNTGNASFNIEEEGIIISKEEEEEEMREGSQRDINPSTPPPPPSNARRRKGIPHRAPFGS >KJB60373 pep chromosome:Graimondii2_0_v6:9:27088278:27093109:1 gene:B456_009G302200 transcript:KJB60373 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g07350/T13E11.12 [Source:Projected from Arabidopsis thaliana (AT2G07340) UniProtKB/TrEMBL;Acc:Q94AF7] MADEVNKTAFLEIQGRMIELTGKLKQVQNQMRTKEGEKKRAFLTLEELNQLPDDTNTYKSIGRTFVLEPKSVLVNEQEQKLKDSESAIGSLQSSKEYMEKQLAEVENNLRELLQQDPGLARQIMSMSV >KJB60371 pep chromosome:Graimondii2_0_v6:9:27088376:27093096:1 gene:B456_009G302200 transcript:KJB60371 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g07350/T13E11.12 [Source:Projected from Arabidopsis thaliana (AT2G07340) UniProtKB/TrEMBL;Acc:Q94AF7] MADEVNKTAFLEIQGRMIELTGKLKQVQNQMRTKEGEKKRAFLTLEELNQLPDDTNTYKSIGTFVLEPKSVLVNEQEQKLKDSESAIGSLQSSKEYMEKQLAEVENNLRELLQQDPGLARQIMSMSV >KJB60372 pep chromosome:Graimondii2_0_v6:9:27088382:27093096:1 gene:B456_009G302200 transcript:KJB60372 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g07350/T13E11.12 [Source:Projected from Arabidopsis thaliana (AT2G07340) UniProtKB/TrEMBL;Acc:Q94AF7] MADEVNKTAFLEIQGRMIELTGKLKQVQNQMRTKEGEKKRAFLTLEELNQLPDDTNTYKSIGTLQKFVLEPKSVLVNEQEQKLKDSESAIGSLQSSKEYMEKQLAEVENNLRELLQQDPGLARQIMSMSV >KJB60370 pep chromosome:Graimondii2_0_v6:9:27088393:27093096:1 gene:B456_009G302200 transcript:KJB60370 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g07350/T13E11.12 [Source:Projected from Arabidopsis thaliana (AT2G07340) UniProtKB/TrEMBL;Acc:Q94AF7] MADEVNKTAFLEIQGRMIELTGKLKQVQNQMRTKEGEKKRAFLTLEELNQLPDDTNTYKSIGRTFVLEPKSVLVNEQEQKLKDSESAIGSLQSSKEYMEKQLAEVENNLRELLQQDPGLARQIMSMSV >KJB60736 pep chromosome:Graimondii2_0_v6:9:31709480:31710112:-1 gene:B456_009G323000 transcript:KJB60736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLKLHPPLAATIGTCNQKQNQPITTSTALTRFFPLPCGISLPDTVATYHVHTLGPNQCGSAVVQAIEAPIETVWSVVRRFDNPQAYKQFLKSCHIIVGDGNVGTLREVHVVSGLPAASSVEKLEILDDERHVLSFSVVGGDHRLTNYRSVTTLHHSPKGNGTLVVESFVVDIPVGNSREDTCIFVDTIVRCNLQSLARMAENMARTE >KJB54779 pep chromosome:Graimondii2_0_v6:9:3540767:3545071:-1 gene:B456_009G048800 transcript:KJB54779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYDSGGLNGNKGPGAEDEGSAAPLPEKVQVGGSPMYRVERKLGKGGFGQVYVGRRIGAGPGALEVALKFEHRSSKGCNYGPPYEWQVYGALGGSHGVPRVHYKGRQGDYYVMVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTLDEKKLFLVDLGLATKWRDSSSGQHVEYDQHPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPAPFRQFVEHVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLMYQVGQKRGRLTMEEDEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLPQHIEKGNEDGLFISCVASCSNLWALIMDAGTGFTAQVYELSAYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAPFSDQVVELDFLYPSEGIHRRWDNGYRITSTAATWDQAAFVLSVPRRKPTDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >KJB54780 pep chromosome:Graimondii2_0_v6:9:3540767:3545071:-1 gene:B456_009G048800 transcript:KJB54780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYDSGGLNGNKGPGAEDEGSAAPLPEKVQVGGSPMYRVERKLGKGGFGQVYVGRRIGAGPGALEVALKFEHRSSKGCNYGPPYEWQVYGALGGSHGVPRVHYKGRQGDYYVMVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTLDEKKLFLVDLGLATKWRDSSSGQHVEYDQHPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPAPFRQFVEHVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLMYQVGQKRGRLTMEEDEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLPQHIEKGNEDGLFISCVASCSNLWALIMDAGTGFTAQVYELSAYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAPFSDQVVELDFLYPSEGIHRRWDNGYRITSTAATWDQAAFVLSVPRRKPTDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >KJB54781 pep chromosome:Graimondii2_0_v6:9:3539023:3545780:-1 gene:B456_009G048800 transcript:KJB54781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYDSGGLNGNKGPGAEDEGSAAPLPEKVQVGGSPMYRVERKLGKGGFGQVYVGRRIGAGPGALEVALKFEHRSSKGCNYGPPYEWQVYGALGGSHGVPRVHYKGRQGDYYVMVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTLDEKKLFLVDLGLATKWRDSSSGQHVEYDQHPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPAPFRQFVEHVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLMYQVGQKRGRLTMEEDEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLPQHIEKGNEDGLFISCVASCSNLWALIMDAGTGFTAQVYELSAYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAPFSDQVVELDFLYPSEGIHRRWDNGYRITSTAATWDQAAFVLSVPRRKPTDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >KJB54778 pep chromosome:Graimondii2_0_v6:9:3539023:3545698:-1 gene:B456_009G048800 transcript:KJB54778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYDSGGLNGNKGPGAEDEGSAAPLPEKVQVGGSPMYRVERKLGKGGFGQVYVGRRIGAGPGALEVALKFEHRSSKGCNYGPPYEWQVYGALGGSHGVPRVHYKGRQGDYYVMVMDILGPSLWDVWNNNSHTYVHGDVKPENFLLGPPGTLDEKKLFLVDLGLATKWRDSSSGQHVEYDQHPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPAPFRQFVEHVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLMYQVGQKRGRLTMEEDEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLPQHIEKGNEDGLFISCVASCSNLWALIMDAGTGFTAQVYELSAYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAPFSDQVVELDFLYPSEGIHRRWDNGYRITSTAATWDQAAFVLSVPRRKPTDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >KJB59583 pep chromosome:Graimondii2_0_v6:9:21630840:21633723:-1 gene:B456_009G261900 transcript:KJB59583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAQEILELFCELIAVRLPIIETQRECPLDLKEAISSVCFAAPRCADLPELLQVQMLFASKYGKEFVSAASELRPDCGVNRQLIELLSIRVPSPEVKLKILKEIAEEHELEWDPAATESEFFKPHEDLLNGPTNLETGSKLPPPVEKHDEAFTSAANRAQTEQPDSDSDFDPLDFPQVPNVSLKPSTNAASAPVTNPPSSAAPEPEIDHSSRHSGASRHVLQMSPLEPDMTQEHSLTKESTMPNDPAGAKENKQFLPFISPPPVSASPISERPSDPTPAILKTNSEANIDLEDVLAAAQSAAETAERAAAAARAAASLAQVRIAELTQKRNGQVNEGSSENNFHTNIPHQPASMENPSFDHLHSFTYPIDASYSSDSNTVRQDQENKGFEAADVPSVSKSKEGLDSPVSRDDALEQEQHQQLPQRLPSMNDDFLYPNLFGSGDSFKRT >KJB59584 pep chromosome:Graimondii2_0_v6:9:21630840:21634401:-1 gene:B456_009G261900 transcript:KJB59584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRNRREIQIKQMRRDIAKLLETGQEATARIRVEHIIREENMMAAQEILELFCELIAVRLPIIETQRECPLDLKEAISSVCFAAPRCADLPELLQVQMLFASKYGKEFVSAASELRPDCGVNRQLIELLSIRVPSPEVKLKILKEIAEEHELEWDPAATESEFFKPHEDLLNGPTNLETGSKLPPPVEKHDEAFTSAANRAQTEQPDSDSDFDPLDFPQVPNVSLKPSTNAASAPVTNPPSSAAPEPEIDHSSRHSGASRHVLQMSPLEPDMTQEHSLTKESTMPNDPAGAKENKQFLPFISPPPVSASPISERPSDPTPAILKTNSEANIDLEDVLAAAQSAAETAERAAAAARAAASLAQVRIAELTQKRNGQVNEGSSENNFHTNIPHQPASMENPSFDHLHSFTYPIDASYSSDSNTVRQDQENKGFEAADVPSVSKSKEGLDSPVSRDDALEQEQHQQLPQRLPSMNDDFLYPNLFGSGDSFKRT >KJB59582 pep chromosome:Graimondii2_0_v6:9:21630839:21634466:-1 gene:B456_009G261900 transcript:KJB59582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFFNKGFKAAKCKTLLKLTIPRIKLLRNRREIQIKQMRRDIAKLLETGQEATARIRVEHIIREENMMAAQEILELFCELIAVRLPIIETQRECPLDLKEAISSVCFAAPRCADLPELLQVQMLFASKYGKEFVSAASELRPDCGVNRQLIELLSIRVPSPEVKLKILKEIAEEHELEWDPAATESEFFKPHEDLLNGPTNLETGSKLPPPVEKHDEAFTSAANRAQTEQPDSDSDFDPLDFPQVPNVSLKPSTNAASAPVTNPPSSAAPEPEIDHSSRHSGASRHVLQMSPLEPDMTQEHSLTKESTMPNDPAGAKENKQFLPFISPPPVSASPISERPSDPTPAILKTNSEANIDLEDVLAAAQSAAETAERAAAAARAAASLAQVRIAELTQKRNGQVNEGSSENNFHTNIPHQPASMENPSFDHLHSFTYPIDASYSSDSNTVRQDQENKGFEAADVPSVSKSKEGLDSPVSRDDALEQEQHQQLPQRLPSMNDDFLYPNLFGSGDSFKRT >KJB60513 pep chromosome:Graimondii2_0_v6:9:28498090:28501879:1 gene:B456_009G309400 transcript:KJB60513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSNLNWIPLWSALLTVFVTRVNGIDIYLDWNVSITDGLIPLLVDQQVVIINGVFPGPLINATTDDVVHVNVFNYMDEPLLFTWNGIQQRRNSWQDGVSGTNCPIKPLKNWTYVFQMKDQIGTFIYFPSINFLKASGGYGPIRVNNRDNVPVPFPQPEAEFDLLIGDWYSGDYKHFRKMLETEESAYDVTPDAILMNGMGPYIDSDSLWYETFTVDKGKTYRFRISNIGGTLSFNFRIQSHTMLLVEAEGSYTNQITLNSLDVHVGQSYSVLVTADQNDADFYIIASPKLLYATGVAGVGVLHYSNSTTLAGGAHPSGPDAFDLGFSMNQAKSIRWNLTTGAARPNPQGSFNVSNIALSQTFILQSSRAEVNGQPRLVVNNVSYFTPDTSLKLADHLTNGTGVYELDEFPVQSVNVDTSYGTSVVSGNYRGWIELVFQNNMDDMDSWHLDGYSFFVVGFGNGHWTPDVRNTYNTIDPVARSTTQVYPHGWTAVYAFLDNPGMWNLRSQTLKNWYLGLQLYIRVYDPDPNPAKERPPPENLLFCGLFESQSLAPARHRHLNH >KJB61558 pep chromosome:Graimondii2_0_v6:9:49021459:49022679:1 gene:B456_009G366100 transcript:KJB61558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRNLNSDQFALLEFKDRIASPQNVLANNWTASTSVCNWIGVSCGIFHKRVIALNLRSMNLRGTIPPHLGNLSFLLSLDLSSNHFYDHLPKELGQLHRLRILQLSYNCLNGEIPSWLGNLQRVRRLEMKNNNFTGTIPETLVNMYNLEILNLGFNQLSGQVPSSIFKISYLKIIDLSSNSLSGSLPNDMCQHLPKLEGLHLSWNELSGNIPFGMGKCNNLKILLLSYNQFMGIIPRTIGNLTRLQKLYLVFNNLKGQIPKEIGNLLGLEPLYIKANKGLIGQIPTSIFNISSLKTIVLSKNSLSGSLPNDLPKLEVFYLEDIEFSLFL >KJB54425 pep chromosome:Graimondii2_0_v6:9:2486217:2489505:1 gene:B456_009G033400 transcript:KJB54425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTKKLHIAMFPWLAYGHTMPFLEVSKFLAQKGHRISYISTPKNISRLPKLPPLLSSNITFVEFSLPQVDGLPPGVESTAEVPIENVPYLKNAYDKLQGPLTEFLKNSNVNWLIHDFEPYWLPGVAAPLGINLVLFCLFNATALAFMGPPSALLGEFRKRPEEFTVVPEWIDYPCNVALKHHEIVNHIKCMDDVSDFQRMGQLIQGSQFVTTRACFEFEPDEIKLLIKLYQKPVVPVGLLPPSLPSNEDKRDDKWEATKSWLDSKGEKSVFYIALGSEVSLSEESMRQLAFGIEKSNLPFIWAVRKRPMGEGLIDNIIPPGFEERVSNRGLVLRDWAPQLRILAHSSVGGFLTHCGWSSIIEALKFGRALIVFSGASADQGLNARLLHGRKVGIEIERNEMDGSFTSDLVAKTIRQVLVEPEGEAIRANAWAMKEIFDNEELSNNYLDGFTRFIEDISFVEFSLPQIQGLPAEAESISELPIDQIPSLKKAYDKLQDPLTEFLKNSNVNWIIHDFAPYWLPRVATLLGINLVFFSTFNATSFVSMGPPSALLGDLQQRPEDFKAVPENLCNIAMKLHETVNYQQCMDDVSDFQRVGLLIEGCQVVTMRTCFEFEPDEVKLLIKVFQKPVVPVGLLPPSLQCHKTRIKEMINGCFLSHCGWSSIIGALKFGRALIVFSGASADQGLNARLLHGRKVGLEIERNETNCSFTSDLVAETIRQVMMEPKGEAIRANAWELREIFDNEELSNNCLDGFTRFIEEFAPSGCHSQV >KJB55017 pep chromosome:Graimondii2_0_v6:9:4179861:4183508:-1 gene:B456_009G058300 transcript:KJB55017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGDFIRFLGFFALSSLFLSEICTASIRSTGSIDPGFQGTQMNWIDNNGLFLVSNNSAFGFGFTTTSDVTLFLLVVVHIDTTKVIWTANRGSPVSNSDPFVFDKNGNVLLQKGGNVVWTTNTSGKGVSAMVLQDSGNLVLQGDDGKVMWQSFAHPTDTLISDQEFRQGMKLISDPSSTNVSYILEIKSGDMILSAGYSTPQPYWSMGKDTRKNINKNGGEVTLATIDTNSWSLFDESKVLLWQFKISDPNDANATWIAVLGNDGVISFFNLHAGRAASSIKIPDDPCGTPEVCQPYFVCSAPSDRTKCQCPSALGSGSCKTGIISPCGERKESVSLVDAGTGLNYFALDYVSPSSKTNMDGCKASCMSNCSCMVAFYDNSSRNCFLFDQVGSFQNSNQPSNLVAFVKMSSNANGVGNGGGNKSFPYVAIIVVSTVLVILGLLFVSYRYYKKKKKVPGSPDDSSEEDIFLESLTGMPTRFTYNDLRVATNSFSVKLGHGGFGSVYRGILADGTQIAVKKLESIGQGKKEFRAEVGIIGSIHHVHLVRLKGFCAEGSYRLLAYEYMANGSLDKWIFKRNREEEPLLDWRTRFNIAVGTAKGLAYLHEDCDAKIVHCDIKPENVLLDDHFLAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMLLLEIIGGRKNFDPGESSEKSYFPSYAFKMLDEGKLRDILDSRLNLEGEDERVYTAIKVALWCIQEDMHLRPSMTKVVQMLEGLSPVTKPPMSSPLGSRLYSSFFKSMTTSGEGTSSASASASASASGPSDCNSDAYLSAVRLSGPR >KJB55014 pep chromosome:Graimondii2_0_v6:9:4179852:4183508:-1 gene:B456_009G058300 transcript:KJB55014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQDSGNLVLQGDDGKVMWQSFAHPTDTLISDQEFRQGMKLISDPSSTNVSYILEIKSGDMILSAGYSTPQPYWSMGKDTRKNINKNGGEVTLATIDTNSWSLFDESKVLLWQFKISDPNDANATWIAVLGNDGVISFFNLHAGRAASSIKIPDDPCGTPEVCQPYFVCSAPSDRTKCQCPSALGSGSCKTGIISPCGERKESVSLVDAGTGLNYFALDYVSPSSKTNMDGCKASCMSNCSCMVAFYDNSSRNCFLFDQVGSFQNSNQPSNLVAFVKMSSNANGVGNGGGNKSFPYVAIIVVSTVLVILGLLFVSYRYYKKKKKVPGSPDDSSEEDIFLESLTGMPTRFTYNDLRVATNSFSVKLGHGGFGSVYRGILADGTQIAVKKLESIGQGKKEFRAEVGIIGSIHHVHLVRLKGFCAEGSYRLLAYEYMANGSLDKWIFKRNREEEPLLDWRTRFNIAVGTAKGLAYLHEDCDAKIVHCDIKPENVLLDDHFLAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMLLLEIIGGRKNFDPGESSEKSYFPSYAFKMLDEGKLRDILDSRLNLEGEDERVYTAIKVALWCIQEDMHLRPSMTKVVQMLEGLSPVTKPPMSSPLGSRLYSSFFKSMTTSGEGTSSASASASASASGPSDCNSDAYLSAVRLSGPR >KJB55013 pep chromosome:Graimondii2_0_v6:9:4179852:4183414:-1 gene:B456_009G058300 transcript:KJB55013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGDFIRFLGFFALSSLFLSEICTASIRSTGSIDPGFQGTQMNWIDNNGLFLVSNNSAFGFGFTTTSDVTLFLLVVVHIDTTKVIWTANRGSPVSNSDPFVFDKNGNVLLQKGGNVVWTTNTSGKGVSAMVLQDSGNLVLQGDDGKVMWQSFAHPTDTLISDQEFRQGMKLISDPSSTNVSYILEIKSGDMILSAGYSTPQPYWSMGKDTRKNINKNGGEVTLATIDTNSWSLFDESKVLLWQFKISDPNDANATWIAVLGNDGVISFFNLHAGRAASSIKIPDDPCGTPEVCQPYFVCSAPSDRTKCQCPSALGSGSCKTGIISPCGERKESVSLVDAGTGLNYFALDYVSPSSKTNMDGCKASCMSNCSCMVAFYDNSSRNCFLFDQVGSFQNSNQPSNLVAFVKMSSNANGVGNGGGNKSFPYVAIIVVSTVLVILGLLFVSYRYYKKKKKVPGSPDDSSEEDIFLESLTGMPTRFTYNDLRVATNSFSVKLGHGGFGSVYRGILADGTQIAVKKLESIGQGKKEFRAEVGIIGSIHHVHLVRLKGFCAEGSYRLLAYEYMANGSLDKWIFKRNREEEPLLDWRTRFNIAVGTAKGLAYLHEDCDAKIVHCDIKPENVLLDDHFLAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMLLLEIIGGRKNFDPGESSEKSYFPSYAFKMLDEGKLRDILDSRLNLEGEDERVYTAIKVALWCIQEDMHLRPSMTKVVQMLEGLSPVTKPPMSSPLGSRLYSSFFKSMTTSGEGTSSASASASASASGPSDCNSDAYLSAVRLSGPR >KJB55016 pep chromosome:Graimondii2_0_v6:9:4179861:4183393:-1 gene:B456_009G058300 transcript:KJB55016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWIDNNGLFLVSNNSAFGFGFTTTSDVTLFLLVVVHIDTTKVIWTANRGSPVSNSDPFVFDKNGNVLLQKGGNVVWTTNTSGKGVSAMVLQDSGNLVLQGDDGKVMWQSFAHPTDTLISDQEFRQGMKLISDPSSTNVSYILEIKSGDMILSAGYSTPQPYWSMGKDTRKNINKNGGEVTLATIDTNSWSLFDESKVLLWQFKISDPNDANATWIAVLGNDGVISFFNLHAGRAASSIKIPDDPCGTPEVCQPYFVCSAPSDRTKCQCPSALGSGSCKTGIISPCGERKESVSLVDAGTGLNYFALDYVSPSSKTNMDGCKASCMSNCSCMVAFYDNSSRNCFLFDQVGSFQNSNQPSNLVAFVKMSSNANGVGNGGGNKSFPYVAIIVVSTVLVILGLLFVSYRYYKKKKKVPGSPDDSSEEDIFLESLTGMPTRFTYNDLRVATNSFSVKLGHGGFGSVYRGILADGTQIAVKKLESIGQGKKEFRAEVGIIGSIHHVHLVRLKGFCAEGSYRLLAYEYMANGSLDKWIFKRNREEEPLLDWRTRFNIAVGTAKGLAYLHEDCDAKIVHCDIKPENVLLDDHFLAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMLLLEIIGGRKNFDPGESSEKSYFPSYAFKMLDEGKLRDILDSRLNLEGEDERVYTAIKVALWCIQEDMHLRPSMTKVVQMLEGLSPVTKPPMSSPLGSRLYSSFFKSMTTSGEGTSSASASASASASGPSDCNSDAYLSAVRLSGPR >KJB55015 pep chromosome:Graimondii2_0_v6:9:4179861:4183385:-1 gene:B456_009G058300 transcript:KJB55015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGDFIRFLGFFALSSLFLSEICTASIRSTGSIDPGFQGTQMNWIDNNGLFLVSNNSAFGFGFTTTSDVTLFLLVVVHIDTTKVIWTANRGSPVSNSDPFVFDKNGNVLLQKGGNVVWTTNTSGKGVSAMVLQDSGNLVLQGDDGKVMWQSFAHPTDTLISDQEFRQGMKLISDPSSTNVSYILEIKSGDMILSAGYSTPQPYWSMGKDTRKNINKNGGEVTLATIDTNSWSLFDESKVLLWQFKISDPNDANATWIAVLGNDGVISFFNLHAGRAASSIKIPDDPCGTPEVCQPYFVCSAPSDRTKCQCPSALGSGSCKTGIISPCGERKESVSLVDAGTGLNYFALDYVSPSSKTNMDGCKASCMSNCSCMVAFYDNSSRNCFLFDQVGSFQNSNQPSNLVAFVKMSSNANGVGNGGGNKSFPYVAIIVVSTVLVILGLLFVSYRYYKKKKKVPGSPDDSSEEDIFLESLTGMPTRFTYNDLRVATNSFSVKLGHGGFGSVYRGILADGTQIAVKKLESIGQGKKEFRAEVGIIGSIHHVHLVRLKGFCAEGSYRLLAYEYMANGSLDKWIFKRNREEEPLLDWRTRFNIAVGTAKGLAYLHEDCDAKIVHCDIKPENVLLDDHFLAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMLLLEIIGGRKNFDPGESSEKSYFPSYAFKMLDEGKLRDILDSRLNLEGEDERVYTAIKVALWCIQEDMHLRPSMTKVVQMLEGLSPVTKPPMSSPLGSRLYSSFFKSMTTSGEGTSSASASASASASGPSDCNSDAYLSAVRLSGPR >KJB57998 pep chromosome:Graimondii2_0_v6:9:14571513:14578679:1 gene:B456_009G189700 transcript:KJB57998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQHSNEGLITGNVSGESSNALIELRVKTLDSQICSLHVEKNTSVSLFKERIANAIGVPVGQQRLIFRGKVLKDDHLLSGYHILLFMLLENFIVANVENGDTLHLVERQPTQSQPLSETSSGDTNGNNSNEGNDASAGIPHNRVGQILHSVVLGTFNVGDQGEGIIPDLTRVIGAVLNSFGVGSQPSATSTTRQSSTSAPQGISTDGVRGGGPGGENQAGIQTQSPQSFPAATQFIPVPLTAAPIPVPSLNSPIPDSLNTLSEFMNHMEAHSPNGYQPHTSVTNRREQPRVALPSDARGFPTPEALSIVMRHVEQLLSSHAIAALSHIAGRLEQERNSTDPALRGQIQTESAQVGLTMQHLGALLLELGRTILMLRMGHSPAESSVNAGPAVYISPSGPNPIMVQPFPLQTSSLLSSSNSPPNSLNISPAGIGNTPRNINIHIHAGTAPAPMVSAVGNRTGNGGGVQGEHGNNAGPDPMRVLPVRNVIAAAHPAHSTGAMSGVAQSAPTDSSLSSALAEVTSRIRDLVSNMQGQNQGASGDDAGTGQPDNMAASGAGDSSVALLADLHATEEQSQPQLAEHSNNTTESGKSSKDVSTGTVGCPPSSSGEFLVKSEDPSASVQKSTEENAKPVPLGLGLGGLGRKRRVNRAKSSLSTRDTGTTSSSLDESLSARTTGQKILQSLASQSSSMNRVEDDSLSSNPGIQSNRSSGVQSSDDQLDVANAVSQVLQSPAINGLLAGVSEQTGVGSPDVFRNMLRQLTQSPQIMNTVNQIAQQVDSQDIGNMFSGLGGGQGGGVDLSSMVQQMMPIASQALGQGASAPPPFHAVEPKQQVHHDGRRSNAADKPCDSDFQDNIQQTAQRIEQLNSPFDVFHAVAEIAFQVYGIDNGRNTEEFLSELCGDESLAEEYIEMLRQDIRQRFQDKCEEDQC >KJB62038 pep chromosome:Graimondii2_0_v6:9:56100514:56105218:-1 gene:B456_009G397800 transcript:KJB62038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSATSSAAALISSKPRAFSSNSKPFSQSLSLPNPFTGLPAPLLSRPASFSLSRASPSRKSFVVKATSELPLVGNPAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKTIAKAYGVLIPDQGIALRGLFIIDKEGIIQHSTINNLAIGRSVDETMRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKDYFAAI >KJB62039 pep chromosome:Graimondii2_0_v6:9:56100649:56105218:-1 gene:B456_009G397800 transcript:KJB62039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSATSSAAALISSKPRAFSSNSKPFSQSLSLPNPFTGLPAPLLSRPASFSLSRASPSRKSFVVKATVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKTIAKAYGVLIPDQGIALRGLFIIDKEGIIQHSTINNLAIGRSVDETMRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKDYFAAI >KJB61278 pep chromosome:Graimondii2_0_v6:9:44076908:44080660:-1 gene:B456_009G349400 transcript:KJB61278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFYFKIIEEIDDPVLRGGKGKAKAKAKAKAKKNTEKKSKKGEKMVVTTTATANDVAYGDGSETRTCVVLGGRGFLGRSLVTRLLRLGGWIVRVADSSSHSLQVDPSSASDSILFAALSSGQASFCHVDVRDTSQIIKVTEGADVVFYMERTDIDTHDFYNCYMIIVQGAKNVINSCQECKVRRLIYNSSADVVFDGSQDILIGDESFSCPGKFLDVLIDLKFQAEGLIRLANNIDGLVTCVLRPSNAFGPGDTWFVPLLVNLAKSGLGKFITGSGENMSDFTYAENVAHAHICAAETLDSRIVSVAGKAFFITNLEPMMFWEFVSLILEGLGYQRPFIKIPTWIVAYVLSLLQRIHEESHFRIDKYSPHYIVQLASCTTTFDCSEAQKHLGYSPIIYLEVSFCRLPCT >KJB59192 pep chromosome:Graimondii2_0_v6:9:19524259:19526517:-1 gene:B456_009G243700 transcript:KJB59192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVEGGVLKSKRSIWRLRTITDFFWAIINFIGVFFSTMFSMEKSDAYRKGFGSSKKWDDGPGGPGSGPYGGGGGPRRPPRGMDNVRGIDHSSLPACGSCCGG >KJB59193 pep chromosome:Graimondii2_0_v6:9:19524301:19526468:-1 gene:B456_009G243700 transcript:KJB59193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NETFKIRRLEHRNRLLYFPLFGGEDPGVFLMAYVEGGVLKSKRSIWRLRTITDFFWAIINFIGVFFSTMFSMEKSDAYRKGFGSSKKWDDGPGGPGSGPYGGGGGPRRPPRGMDNVRGIDHSTFPSCLWLLLRWLNAASIHLVHVHCYIAKVLLL >KJB60841 pep chromosome:Graimondii2_0_v6:9:32975292:32977080:1 gene:B456_009G328100 transcript:KJB60841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVICGGVWAAFPIIFLVSYTSGIVHSVITTILALTTISTFGLSAFQCAGAPPMILWGSYTVVGKGELENYTFCHYCSKPKSPRAHHCRSCGMCILDMDHHCPFIGNCVGAANHRHFIAFLISAIFSTIYVTVMCAYTSFLIWPPLRYKPPEHSTIFSTGLMMLASREGFVALLSSAMELPQLCYIYEGRTYLSHLSSREGDHVKEKDCQNLLRFFGCRYSKLRFLPTLRNSRKRHKT >KJB60840 pep chromosome:Graimondii2_0_v6:9:32975147:32977015:1 gene:B456_009G328100 transcript:KJB60840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESGKQHLVTAVTEEKGTTCWGCGLGLLLPTLGPAFKCGWCGAITNHNLNKTQNQCLLWRRLRDRCFVSFLFSFMLFVICGGVWAAFPIIFLVSYTSGIVHSVITTILALTTISTFGLSAFQCAGAPPMILWGSYTVVGKGELENYTFCHYCSKPKSPRAHHCRSCGMCILDMDHHCPFIGNCVGAANHRHFIAFLISAIFSTIYVTVMCAYTSFLIWPPLRYKPPEHSTIFSTGLMMLASREGFVALLSSAMELPGEHTSAI >KJB61613 pep chromosome:Graimondii2_0_v6:9:49751692:49753349:1 gene:B456_009G369900 transcript:KJB61613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCSSNGSASFLGSNIPNELQLPHQTDSSDGVDEWLRIQNCGLVQDDVYFSAGDDGPTDQSLLDQHHQFNQEETPEASYQTVSEGGARSKLTPAQRKENKRRSDYKYRQKRKVKEDEQNGEIKRLKVENGELKAQLGLPLSNAQFQAAAQQRQDGYITQSTGKQLHPQTVTVHGTNSEAVGKGTVPVNVVDGNDGIENNQTGSEVETCSNNEAAITDILMKLDADDESRVKFSDFTGLHGERISVGKYSFPPALHPIVNNIIEVYGDIVYIMFCASVEEMSNLRLEHVTEDLILKWRDAIKDALRINFKVDFAMEHLKKIACAYIGLMERQKLDSAGLRISKLEAALSTAKEEHAKICEQSKVFIDAAEEFNDKPVSSGMFKRPGQINIELELTNEPDSSTLIL >KJB61612 pep chromosome:Graimondii2_0_v6:9:49749570:49754142:1 gene:B456_009G369900 transcript:KJB61612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIWLNSRIVTLFWPFNSRFYIWVNMEGCSSNGSASFLGSNIPNELQLPHQTDSSDGVDEWLRIQNCGLVQDDVYFSAGDDGPTDQSLLDQHHQFNQEETPEASYQTVSEGGARSKLTPAQRKENKRRSDYKYRQKRKVKEDEQNGEIKRLKVENGELKAQLGLPLSNAQFQAAAQQRQDGYITQSTGKQLHPQTVTVHGTNSEAVGKMNEIQNLQGTVPVNVVDGNDGIENNQTGSEVETCSNNEAAITDILMKLDADDESRVKFSDFTGLHGERISVGKYSFPPALHPIVNNIIEVYGDV >KJB60052 pep chromosome:Graimondii2_0_v6:9:24588950:24589230:1 gene:B456_009G2876002 transcript:KJB60052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQGLLPLLKSVMVPIHIKELKGCSVAIDTYSWLHKGALSCNTQLCKGLPTSSSSLTFQLSFLFITYKCTATDYQFIGCSLGILKFWMKWVN >KJB53721 pep chromosome:Graimondii2_0_v6:9:253367:255382:1 gene:B456_009G002400 transcript:KJB53721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCLGRTYALDLEIIKSPPTSTSSSSSTLSDAINSPLAISTRKPRSPRKRPNQTYSEAAALLSTAYPYIFPAKTFAKPPKFTLPPPPSSFFPDSSSQLLLFPFRHLHHSGFLLHHQSPIREKPSSLIDSKADTTSWASQTSSGEVNSHGGGDSMETDYFNTEFQDDFDAESIILDEETEQGIDSIMGNLSVNQDTWDDESNGRCRFTHLGSWYTNPIPMAMGLRKGMGALRHVDQGNCWNFSTVDVLQISPKTNPTITTTSRADKKKRKNKAEKPIAAAETPNPKPNNTGLKLKLKLNYENVVNAWSDGASPFSEKPPADDDVYARLAQVELLSEGEAEAEAEGGGRKASVLRYKQKRHTRLLSTKIRYQVRKVNADRRPRIKGRFVRRPSSSTVSEER >KJB53722 pep chromosome:Graimondii2_0_v6:9:253396:255382:1 gene:B456_009G002400 transcript:KJB53722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCLGRTYALDLEIIKSPPTSTSSSSSTLSDAINSPLAISTRKPRSPRKRPNQTYSEAAALLSTAYPYIFPAKTFAKPPKFTLPPPPSSFFPDSSSQLLLFPFRHLHHSGFLLHHQSPIREKPSSLIDSKADTTSWASQTSSGEVNSHGGGDSMETDYFNTEFQDDFDAESIILDEETEQGIDSIMGNLSVNQDTWDDESNGRCRFTHLGSWYTNPIPMAMGLRKGMGALRHVDQGNCWNFSTVDVLQISPKTNPTITTTSRADKKKRKNKAEKPIAAAETPNPKPNNTGLKLKLKLNYENVVNAWSDGASPFSEKPPADDDVYASAGGIIE >KJB53720 pep chromosome:Graimondii2_0_v6:9:252490:255446:1 gene:B456_009G002400 transcript:KJB53720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCLGRTYALDLEIIKSPPTSTSSSSSTLSDAINSPLAISTRKPRSPRKRPNQTYSEAAALLSTAYPYIFPAKTFAKPPKFTLPPPPSSFFPDSSSQLLLFPFRHLHHSGFLLHHQSPIREKPSSLIDSKADTTSWASQTSSGEVNSHGGGDSMETDYFNTEFQDDFDAESIILDEETEQGIDSIMGNLSVNQDTWDDESNGRCRFTHLGSWYTNPIPMAMGLRKGMGALRHVDQGNCWNFSTVDVLQISPKTNPTITTTSRADKKKRKNKAEKPIAAAETPNPKPNNTGLKLKLKLNYENVVNAWSDGASPFSEKPPADDDVYARLAQVELLSEGEAEAEAEGGGRKASVLRYKQKRHTRLLSTKIRYQVRKVNADRRPRIKGRFVRRPSSSTVSEER >KJB53928 pep chromosome:Graimondii2_0_v6:9:1001774:1005566:-1 gene:B456_009G012000 transcript:KJB53928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKVAQSSFRDRTQEFQSVADRFRKSISSGQGQNGPSSSTSRAEEKRSMVAHQSEFNRKASKIGFGIHQTSQKLSKLAKLAKRTSVFDDPTKEIQDLTAVIKQDITTLNSAVVDLQLFCNSRNEGGISSDTSSHSTTVVDDLKNRLMSATKEFKDVLTMRTENLKVHENRRQLFSSNASKDSPNPFVRQRPLAIKSAAGASNNLPQWANGNKSSSQLFPRKQMDGESQPLLQQQQQQMVPQQDSYMQSRAEALQNVESTIHELGTIFNQLATLVSQQGEIAIRIDENMDDTLANVEGAQGQLLKYLNGISSNRWLMMKIFFVLIFFLMVFLFFVA >KJB62798 pep chromosome:Graimondii2_0_v6:9:68702638:68710879:-1 gene:B456_009G436700 transcript:KJB62798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYVASNAVESVKNQAKEYASPYLRYFFCYGEIVEDFKNQRKELKLRKQRVKTRVDEAKRQIKVIYEDVEDWLRRAEKELEETQNFEEEIDGVKRFKWCPQWSWRYRLSKKLAEKTLIISKLLETLGYCGSLQGIEFITSTDFMDSESSKSALNQIMEAINSVNMIGLHGMPGVGKTTLAKEVGKHAREKKLFDKVVMFTMSQNPNIKKIQEKVADIFGLHFETSSQEGKAEELFRSMQGVKKILVIVDDLWEEFKLESIGIPFGDEHKGCKILLTTRHQQVCTKMNYKAGLEDDCSTLTHVAKEVAGECKGLPLAIVTVAKALKGESLDGWRAVNQRFKDSRHLDNEEVLGGALKPLKLSYDYLKEGNSQMTGNDIQIDVLIMCGIGVGLFPNAFSIEDKRNEIGMALKKLQKSGLLLETDVAETIRMHDGVRDFAHWLTSTGENRFMVKDKLKEWPHMVGCYTAIALWNCSSNIKNFPDKVEFSKLKTLFLKGGAGDDLLVVPNTFFEEMKALRVLLLENVFPLKGFHSLPNLKTLCCIRCNLENFSSSLTNMRSLEILALFETKFDEISEELVKLPALKLLFVAVEEEEEINFPPNLLSRLSTNHFSQEYFVLPKLQRYAIVVNDYIRYLESLSFRALTIKNFSSSLSAFNHLFCNVEKLKLGNVSGQKNIVPSIGKMGVNELTSLELESCKDMEFLTDITRDQGPTVAFSNLMPLLSNLTILELYSLPKLESIWKLEPSHHATASLARLKVVKIGDCDKLKTIFSPCLALSMLHLEELDVTWCHGLEQVIGFVQEEEIIENDSPLCCWPKLRILRIEFCPNLKYVCANTWTQGLQSLESVYIRDCSQLIQVFNMEQNKHGHDIVLPELGSQGFPLLESVYLENCPQLLQVFSSTKERDVIGDHILLNVPFLQDLVVSNCPQISCFIVQAQLIEIFRLFAFYIWILAL >KJB62797 pep chromosome:Graimondii2_0_v6:9:68701407:68710879:-1 gene:B456_009G436700 transcript:KJB62797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYVASNAVESVKNQAKEYASPYLRYFFCYGEIVEDFKNQRKELKLRKQRVKTRVDEAKRQIKVIYEDVEDWLRRAEKELEETQNFEEEIDGVKRFKWCPQWSWRYRLSKKLAEKTLIISKLLETLGYCGSLQGIEFITSTDFMDSESSKSALNQIMEAINSVNMIGLHGMPGVGKTTLAKEVGKHAREKKLFDKVVMFTMSQNPNIKKIQEKVADIFGLHFETSSQEGKAEELFRSMQGVKKILVIVDDLWEEFKLESIGIPFGDEHKGCKILLTTRHQQVCTKMNYKAGLEDDCSTLTHVAKEVAGECKGLPLAIVTVAKALKGESLDGWRAVNQRFKDSRHLDNEEVLGGALKPLKLSYDYLKEGNSQMTGNDIQIDVLIMCGIGVGLFPNAFSIEDKRNEIGMALKKLQKSGLLLETDVAETIRMHDGVRDFAHWLTSTGENRFMVKDKLKEWPHMVGCYTAIALWNCSSNIKNFPDKVEFSKLKTLFLKGGAGDDLLVVPNTFFEEMKALRVLLLENVFPLKGFHSLPNLKTLCCIRCNLENFSSSLTNMRSLEILALFETKFDEISEELVKLPALKLLFVAVEEEEEINFPPNLLSRLSTNHFSQEYFVLPKLQRYAIVVNDYIRYLESLSFRALTIKNFSSSLSAFNHLFCNVEKLKLGNVSGQKNIVPSIGKMGVNELTSLELESCKDMEFLTDITRDQGPTVAFSNLMPLLSNLTILELYSLPKLESIWKLEPSHHATASLARLKVVKIGDCDKLKTIFSPCLALSMLHLEELDVTWCHGLEQVIGFVQEEEIIENDSPLCCWPKLRILRIEFCPNLKYVCANTWTQGLQSLESVYIRDCSQLIQVFNMEQNKHGHDIVLPELGSQNHCWTKLKTLRIVDCQRLKYVFANTLSQGFPLLESVYLENCPQLLQVFSSTKERDVIGDHILLNVPFLQDLVVSNCPQISCFIVQAQLIEIFRFIEIREVELVLSNVGNSHQLCNTDVPVLNEGCIVVGNHEEHKKLKLRNLLELRVIWNDFAQVVTLENLTTLTLSDCKKLRYIFSPMMARSLSHLVDLFIVGCEEIERLILAKDQVSSSSSNGDTSLQPMSFPNLTRIVVTNCKKLNSLFPFGFVPVLPKLKILIVKRNSKLEQEMKFDKLKRLSLEELPGLIHFCPKGYHSVFPAMIELKVRDCPKFTTGFFIDSQEFVHCKTKAPQLVKQDAVEESTTIRNVVFNENINWSQ >KJB59975 pep chromosome:Graimondii2_0_v6:9:24091107:24093595:-1 gene:B456_009G283300 transcript:KJB59975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSMFIGNLHHSLSSASQRHVTYSCGSCGYELNLSSSSRNTTTIGSKYGKSIKRGIISFFSIDESRFTQVDEFRWGPYFSKHSWGLFRRRTKLLCRKCGNHIGNAYDDRTSDHPLVLDGSDSLSSNEASTRRKFDVRIRALQPSFAEELGTPHSM >KJB62597 pep chromosome:Graimondii2_0_v6:9:66659598:66660728:-1 gene:B456_009G425100 transcript:KJB62597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSKSSGKNVAVDMAAHHPIEIGTKGTVGSLVMQEIKYFNRLELELSCRESSKKPHGRPIVGPVVAAEKKKKRGASKLVASICSVVEVSENRPVGVSGSGYRNLKSDSKKLEI >KJB57290 pep chromosome:Graimondii2_0_v6:9:12039852:12045087:-1 gene:B456_009G157200 transcript:KJB57290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSGPITPGQVSFLLGVIPVFIAWIYSEFLEYKKSASLSKAHSDSNLVVLGKETIKEDDRAVLLEGGLTRSASTKLHASSIKTNLIRFLTMEDSFLLEHRTTLRAMAEFGAILVYFYICDRTDLLGESTKKYNRDLFLFLYALLIIVSAMTSLTKHNDKSAFSGKTMQYLSRHQTEEWKGWMQVLFLMYHYFAAAEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTVMVYGALGIFNKYNEIPSVMAVKILACFLVVIFIWEIPGVFEIFWSPLAILLGYTDPAKPDLHRLHEWHFRSGLDRYVWIIGMMYAYYHPNVEKWMEKLEECETKRKFSIKASVVAIALFVGYMWYECIYKLDKVTYNKYHPYTSWIPITVYICLRNCTQQLRSYSLTLFAWLGKITLETYISQFHIWLRSDMPNGQPKWLLSFIPEYPLLNFMLTTAIYVLISHRLFDLTNKLKSVFIPTKDNRRLLYNFIAGVVVSVCLYCTSLILLQIPHSTD >KJB57292 pep chromosome:Graimondii2_0_v6:9:12040470:12045294:-1 gene:B456_009G157200 transcript:KJB57292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSGPITPGQVSFLLGVIPVFIAWIYSEFLEYKKSASLSKAHSDSNLVVLGKETIKEDDRAVLLEGGLTRSASTKLHASSIKTNLIRFLTMEDSFLLEHRTTLRAMAEFGAILVYFYICDRTDLLGESTKKYNRDLFLFLYALLIIVSAMTSLTKHNDKSAFSGKTMQYLSRHQTEEWKGWMQVLFLMYHYFAAAEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTVMVYGALGIFNKYNEIPSVMAVKILACFLVVIFIWEIPGVFEIFWSPLAILLGYTDPAKPDLHRLHEWHFRSGLDRYVWIIGMMYAYYHPNVEKWMEKLEECETKRKFSIKASVVAIALFVGYMWYECIYKLDKVTYNKYHPYTSWIPITCVLMPSFF >KJB57291 pep chromosome:Graimondii2_0_v6:9:12039189:12045639:-1 gene:B456_009G157200 transcript:KJB57291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSGPITPGQVSFLLGVIPVFIAWIYSEFLEYKKSASLSKAHSDSNLVVLGKETIKEDDRAVLLEGGLTRSASTKLHASSIKTNLIRFLTMEDSFLLEHRTTLRAMAEFGAILVYFYICDRTDLLGESTKKYNRDLFLFLYALLIIVSAMTSLTKHNDKSAFSGKTMQYLSRHQTEEWKGWMQVLFLMYHYFAAAEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTVMVYGALGIFNKYNEIPSVMAVKILACFLVVIFIWEIPGVFEIFWSPLAILLGYTDPAKPDLHRLHEWHFRSGLDRYVWIIGMMYAYYHPNVEKWMEKLEECETKRKFSIKASVVAIALFVGYMWYECIYKLDKVTYNKYHPYTSWIPITVYICLRNCTQQLRSYSLTLFAWLGKITLETYISQFHIWLRSDMPNGQPKWLLSFIPEYPLLNFMLTTAIYVLISHRLFDLTNKLKSVFIPTKDNRRLLYNFIAGVVVSVCLYCTSLILLQIPHSTD >KJB62696 pep chromosome:Graimondii2_0_v6:9:67611195:67622775:-1 gene:B456_009G430900 transcript:KJB62696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSVSPMAPAKSSLEEMLESLRRQGEVDKPKDLPPALPVRPTSKARLPSARRSLPTNFKIDANGVDGAVLSEVKGKEEVKRNEKELGVKIVSFGSKKMRKDVKVDSPYNLDAVEEKKGEYLEGKIEVKGGKGNANIGYFINKKLHVWCRLANGMWGSGTIQSTSGEESIVSLSNGNVIKVSTSQLLPANPGILEGVNDLIQLSYLNEPSVLHNLKRRYSHDMIYSKAGPVLIAVNPFKDVQIYGKDFVTSYREKATDSPHVFAIADNAYNEMMNDGLNQSIIISGETGAGKTETAKFAMQYLASLGGGYGGKECKILQTHCILEAFGNAKTSRNDSSSRFGKLIDIHFTALGKISGANIQTFLLEKSRVVQLAAGERSYHIFYQLCAGAPPALRERLNLKMANEYNYLVQSDCLVINGVDDCQKFQKLKEAFDIVQICKEEQEQVFAMLAAVLWLGNISFQVIDKENHVEALADEALTSVATLIGCAPHELMQAISTHRIQVGKDIIAKKLTLQQAIDTRDALAKFIYASLFDWLVEQINNSLEAGKKHIGRSISIIDIYGFESLKKNSFEQFCINYANERLQQHFNRHLFKLEQEEYDLEGIDWTKVVFEDNQECLDLFEKKPLGILSLLDEESNAPNSTDFTLANKLKQHLNSNSYFKGDRGRAFGVLHFAGEVLYDTNGFLDKNRNPLSSEVVQLLSSCDGQLPQLFAKKMFNQPLQPVTSLDTPMQSVALQFKGQLFKLMHQLESTRSHFICCIKPNSKQLPGTYEEYLVLQQLRCYGIFEVIRISRSGYPTRMTHQAFTERYGFLLSDPIVSQDPLSISVALLKQFNVLPQMYQIGYTKLFLRTGQISALEDRRKQVLRGVIEVQKYFRGHRARCLFHELNKGAKSIQSFVCGENIRRKYAAESIRCSAFASQLLDEQLTAVVYLQSVIRGWLARRHFNNMHNLKQSNRGSVKSRRKMGRKIFEAKDMLHEQQIQVLPSVMAELQRRVLKAETNLGQKEHENATLREQLQKSEARWLDYEAKMKSMEEMWQKQMVSLQTSLTAARKSLAADNGAGQLGRADVLSPRCYDSEDNVSMGSRTTGGNTPVLFSGAMPDVVGGRENGSLNALGNLLKEFEQRKQNFDADAKSLMDLRVPQQASNMNPDDELRTLRLRFETWKKDYKLRLKEAKARLHKRGHPESDKARRKWWGKLGSRA >KJB62697 pep chromosome:Graimondii2_0_v6:9:67611545:67622775:-1 gene:B456_009G430900 transcript:KJB62697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSVSPMAPAKSSLEEMLESLRRQGEVDKPKDLPPALPVRPTSKARLPSARRSLPTNFKIDANGVDGAVLSEVKGKEEVKRNEKELGVKIVSFGSKKMRKDVKVDSPYNLDAVEEKKGEYLEGKIEVKGGKGNANIGYFINKKLHVWCRLANGMWGSGTIQSTSGEESIVSLSNGNVIKVSTSQLLPANPGILEGVNDLIQLSYLNEPSVLHNLKRRYSHDMIYSKAGPVLIAVNPFKDVQIYGKDFVTSYREKATDSPHVFAIADNAYNEMMNDGLNQSIIISGETGAGKTETAKFAMQYLASLGGGYGGKECKILQTHCILEAFGNAKTSRNDSSSRFGKLIDIHFTALGKISGANIQTFLLEKSRVVQLAAGERSYHIFYQLCAGAPPALRERLNLKMANEYNYLVQSDCLVINGVDDCQKFQKLKEAFDIVQICKEEQEQVFAMLAAVLWLGNISFQVIDKENHVEALADEALTSVATLIGCAPHELMQAISTHRIQVGKDIIAKKLTLQQAIDTRDALAKFIYASLFDWLVEQINNSLEAGKKHIGRSISIIDIYGFESLKKNSFEQFCINYANERLQQHFNRHLFKLEQEEYDLEGIDWTKVVFEDNQECLDLFEKKPLGILSLLDEESNAPNSTDFTLANKLKQHLNSNSYFKGDRGRAFGVLHFAGEVLYDTNGFLDKNRNPLSSEVVQLLSSCDGQLPQLFAKKMFNQPLQPVTSLDTPMQSVALQFKGQLFKLMHQLESTRSHFICCIKPNSKQLPGTYEEYLVLQQLRCYGIFEVIRISRSGYPTRMTHQAFTERYGFLLSDPIVSQDPLSISVALLKQFNVLPQMYQIGYTKLFLRTGQISALEDRRKQVLRGVIEVQKYFRGHRARCLFHELNKGAKSIQSFVCGENIRRKYAAESIRCSAFASQLLDEQLTAVVYLQSVIRGWLARRHFNNMHNLKQSNRGSVKSRRKMGRKIFEAKDMLHEQQIQVLPSVMAELQRRVLKAETNLGQKEHENATLREQLQKSEARWLDYEAKMKSMEEMWQKQMVSLQTSLTAARKSLAADNGAGQLGRADVLSPRCYDSEDNVSMGSRTTGGNTPVLFSGAMPDVVGGRENGSLNALGNLLKEFEQRKQNFDADAKSLMDLRVPQQASNMNPDDELRTLRLRFETWKKDYKLRLKEAKARLHKRGHPESDKARRKWWGKLGSRA >KJB58681 pep chromosome:Graimondii2_0_v6:9:17216581:17218312:1 gene:B456_009G221300 transcript:KJB58681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLGLKLALLRIAMMLCVCKATSSLRPLILVPGSGGNQLEARLTTGYKPSGLFCGRFYPIFKDKEGWFRLWFDPSVLLAPFTKCFNQRMMLYYDPDLDDYRNAPGVETRVPEFGSTNSLLYLNPNLKRLTAYMAPLVKLLEEIGYVSGETMFGAPYDFRYGLAAEGHPSHVGSKFLQELKDLIEKASASNGGKPVILLSHSLGGLYVLQLLNRNPPSWRRRFIKHFIALSAPWGGTVQEMLTFASGSSFGVPLVDPFLVRGEQRSSVSNLWLMPSPKLFGPGKALVITPNKAYSAHDIPEFLNDIGYPEGVIPYISRILPMTESLAAPNVGLTCIFGTGVKTPETLLYAKAGFDKQPEAVYGDGDGTVNLESLSALESLWAFEKNQSLKVIRMSGISHTSILEKSAALEAIIAEISSINSKAQAEVSFE >KJB60016 pep chromosome:Graimondii2_0_v6:9:24319473:24321522:1 gene:B456_009G285900 transcript:KJB60016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYMYVMVLLWVGAVKAFPKDDPSKPCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPMVIVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKRYESEEGKKSIEAQLEKIKKYATVVRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFAYKFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYRVGKVGDETHSAITDYDRTEKDITPIGGFPHYGVVKSDYLMIKGGCVGPKKRVVTLRQSLINQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >KJB60014 pep chromosome:Graimondii2_0_v6:9:24318870:24321522:1 gene:B456_009G285900 transcript:KJB60014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPSKPCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPMVIVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKRYESEEGKKSIEAQLEKIKKYATVVRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFAYKFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYRVGKVGDETHSAITDYDRTEKDITPIGGFPHYGVVKSDYLMIKGGCVGPKKRVVTLRQSLINQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >KJB60017 pep chromosome:Graimondii2_0_v6:9:24319884:24321522:1 gene:B456_009G285900 transcript:KJB60017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKRYESEEGKKSIEAQLEKIKKYATVVRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFAYKFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYRVGKVGDETHSAITDYDRTEKDITPIGGFPHYGVVKSDYLMIKGGCVGPKKRVVTLRQSLINQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >KJB60015 pep chromosome:Graimondii2_0_v6:9:24318952:24321522:1 gene:B456_009G285900 transcript:KJB60015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPSKPCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPMVIVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKRYESEEGKKSIEAQLEKIKKYATVVRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDFAYKFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYRVGKVGDETHSAITDYDRLVEYT >KJB56321 pep chromosome:Graimondii2_0_v6:9:8478982:8481483:1 gene:B456_009G115600 transcript:KJB56321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICDEHGIDNTGKYNGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGLFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEYEEGEEEEEEAA >KJB56320 pep chromosome:Graimondii2_0_v6:9:8478797:8481494:1 gene:B456_009G115600 transcript:KJB56320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICDEHGIDNTGKYNGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGLFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRNLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEYEEGEEEEEEAA >KJB57534 pep chromosome:Graimondii2_0_v6:9:12968612:12970476:1 gene:B456_009G168600 transcript:KJB57534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSAAAAVPALSSLSHRAPIPSISFLFPDSTRPFSLSRSFRSFSLTPQAKASDIEISFSDDLNPEDEAVAFDPPTPPEGFIPPPAFDDGPEETEDEVAAAYEELYGPAYSGVSVLGNDVYVMDSKVKKTSAFGKVTKEKVRDGFEERVVQVRRVTKVVKGGKQLHFRAIVVVGDKQGQVGVGVGKAKEVIAAVQKSAVNARRNLITIPMTKYLTFPHRSEGDYGAAKVMLRPAAPGTGVIAGGAVRIVLEMAGVENALGKQLGSKNALNNARATVVAVQKMKQFREIAQERGIPMEELWK >KJB57533 pep chromosome:Graimondii2_0_v6:9:12968612:12970796:1 gene:B456_009G168600 transcript:KJB57533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSAAAAVPALSSLSHRAPIPSISFLFPDSTRPFSLSRSFRSFSLTPQAKASDIEISFSDDLNPEDEAVAFDPPTPPEGFIPPPAFDDGPEETEDEVAAAYEELYGPAYSGVSVLGNDVYVMDSKVKKTSAFGKVTKEKVRDGFEERVVQVRRVTKVVKGGKQLHFRAIVVVGDKQGQVGVGVGKAKEVIAAVQKSAVNARRNLITIPMTKYLTFPHRSEGDYGAAKVMLRPAAPGTGVIAGGAVRIVLEMAGVENALGKQLGSKNALNNARATVVAVQKMKQFREIAQERGIPMEELWK >KJB57532 pep chromosome:Graimondii2_0_v6:9:12968612:12969837:1 gene:B456_009G168600 transcript:KJB57532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSAAAAVPALSSLSHRAPIPSISFLFPDSTRPFSLSRSFRSFSLTPQAKASDIEISFSDDLNPEDEAVAFDPPTPPEGFIPPPAFDDGPEETEDEVAAAYEELYGPAYSGVSVLGNDVYVMDSKVKKTSAFGKVTKEKVRDGFEERVVQVRRVTKVVKGGKQLHFRAIVVVGDKQGQVGVGVGKAKEVIAAVQKSAVNARRNLITIPMTKYLTFPHRSLSRTCI >KJB59649 pep chromosome:Graimondii2_0_v6:9:22015315:22017374:-1 gene:B456_009G265600 transcript:KJB59649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMAKPWMYCLLVCLGMALNNVASGQGENPFEPDGGRRREMVPALFIFGDSLIDNGNNNDLPSFAKANYFPYGIDFNGGPTGRFSNGYTMVDEIAELLGLPLIPAYSEASGDQVLHGVNYASAAAGILDITGRNFVGRIPFDQQIQNFQTTLDQLADNLGAIEAAEALGKCIFFVGMGSNDYLNNYLMPNFPTRNQYDGQQYADLLVQKYNQQLRTLYNLGARKFVLAGLGRMGCIPSILAQSTGGSCSEEVNQLVLPFNANVKTMMNSLNANLPGARFIYVDIAHLFEDIVANARTYGFSVVNRGCCGIGRNRGQITCLPFQTPCENRDEYVFWDAFHPTEKVNIIMARKAFNGDPSIVYPINIQQLANL >KJB60731 pep chromosome:Graimondii2_0_v6:9:31652550:31654402:1 gene:B456_009G322700 transcript:KJB60731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVQSNNVSTVNEALNEIHVEEEDYDRLRESIDLHHNFDQIGLAQKIEKHEMLEMRRVAAYIYKKEGKWKHSIVLSKKDKIYKDAMETVSQSGDRELLRSCLFTSLNRERRNALHRASLFVMT >KJB60006 pep chromosome:Graimondii2_0_v6:9:24242557:24246867:-1 gene:B456_009G285000 transcript:KJB60006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIPPRGVHHRRAHSDTTFRFDDLLLFDPSDLDLSSLDLPASSSNPTPPPVVPVPIYSSDDSSSNGHHPRSSHSNPRQISSHLRSLSVDSDFFDGLGLTGPAISGGAGDEKFGGKGAAGEKRVHHRNSNSMDGTASFEVESLMAVDGVKKAIAPDRLAELALIDPKRAKRILANRQSAARSKERKIRYTSELERKVQTLQTEATNLSAQVTVLQRDTTGLTTENKELKLRLQAMEQQAQLRDALNEALREEVQRLKIQAGQMSAMNGNHFNSGLPQFFSHQPSPHHFGGQQTPQQQQHQQMPESSTNNGHPQPQFMDFNQGA >KJB60007 pep chromosome:Graimondii2_0_v6:9:24243698:24246864:-1 gene:B456_009G285000 transcript:KJB60007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIPPRGVHHRRAHSDTTFRFDDLLLFDPSDLDLSSLDLPASSSNPTPPPVVPVPIYSSDDSSSNGHHPRSSHSNPRQISSHLRSLSVDSDFFDGLGLTGPAISGGAGDEKFGGKGAAGEKRVHHRNSNSMDGTASFEVESLMAVDGVKKAIAPDRLAELALIDPKRAKRILANRQSAARSKERKIRYTSELERKVQTLQTEATNLSAQVTVLQRDTTGLTTENKELKLRLQAMEQQAQLRDGNMRTFSLCLHYILQLYRWWPGAL >KJB59223 pep chromosome:Graimondii2_0_v6:9:19756071:19757836:1 gene:B456_009G245700 transcript:KJB59223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGYREDNSCCYFHPKQVVIGVCPLCLNERLLVLASKQGQRSSSSSSTRAGRSRFLQGVSQKKPHIYLPNIFAFGSLLNRPQPHLNHLKPQDFDDHDASTSQEDSFISIKFEENGVGSWEKGKVSKVSLEHCSMSWKASLSNKSVIEHPKPGGSLRWRKRMGHLFQLVRWKRSNKAEGVKVMKKSWITRTLTKKTKE >KJB59224 pep chromosome:Graimondii2_0_v6:9:19756092:19757796:1 gene:B456_009G245700 transcript:KJB59224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGYREDNSCCYFHPKQVVIGVCPLCLNERLLVLASKQGQRSSSSSSTRAGRSRFLQGVSQKKPHIYLPNIFAFGSLLNRPQPHLNHLKPQDFDDHDASTSQEGISISTGSCKNWVFFLGTHLSQSSSKRMGLVHGKKAKFQRYHLSIAACRGRPA >KJB62695 pep chromosome:Graimondii2_0_v6:9:67608909:67611178:1 gene:B456_009G430800 transcript:KJB62695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLSSGTVLRPTPFLGQTKNSNPLRDVVSLGTPKYAMGNELWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWLRVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLPGVGEGNDLYPGGQYFDPLGLAEDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGS >KJB57220 pep chromosome:Graimondii2_0_v6:9:11777947:11778795:-1 gene:B456_009G154100 transcript:KJB57220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPLKSHKSRAYRKAKGKGMVAPFAFLFSIVFYFSIFYVFSFSPSALFSDNKFWFVISNTLILIIAADYGAFSSSKDQKRDFYDEYYALRSQAARTTTTTVAPPFVSQYPEIVKKNIPVGEEITSNEKNKADDHVIEINNEIIPEKILEIVKIEPSTDNFQAKTTQQDETPIKAADNGTCCDHQVMINNKSKKKKIEPKTIRRSKSEKVKHVNKALDDKKGALHRSKTEKQHEHEEPSAKDDEFSTMSNEELNRRVEEFIEKFNRQIRLQGARNPQLLELE >KJB60726 pep chromosome:Graimondii2_0_v6:9:31364314:31365342:-1 gene:B456_009G322400 transcript:KJB60726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAQGALPKESKTATIYESVQGGENKSKTELRSKEDEGGIQVDRLEDKVKDPTGEGGPIFGSPSPNNDDNQDLGVTGTA >KJB54888 pep chromosome:Graimondii2_0_v6:9:3859923:3863289:1 gene:B456_009G053200 transcript:KJB54888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFQWPHLNLLFSSMLIVVVLAADPYSDALLSFKSEIIDPFHNLDDWLEPNSGKPSGKVHACSWSGVKCDNNSTIVIGLNLSTKKLAGKLPGKQFSVFTELVELNISQNSFSGEIPVEIFNLTNLRSLDISRNNFSGHFQGGVTGLQNLVVLDAFSNSFSGPLPVELSKLEFLKVLNLAGSYFSGPIPSAYGFFKRLEFLHLAGNFITGNIPPELGNLQTVTHMEIGYNSYEGNIPWQLGNMSELQYLDIAGSNLSGSIPKQLSNLTKLQSLFLFRNQLTGLIPWEFSRILALTNLDLSDNFISGPIPESFAELKNLRLLSLMYNEMNGTVPDGIAELPSLDTLFIWNNFFTGSLPRNLGKNSKLRWLDVSINSFIGSIPPHICAGGELFKLIMFSNKFTGNLSPLSNCSSMVRIRLEDNSFSGEIPLTLSHLPDVSYIDLSRNKFTGGIPSDISQASKLQYFNVSNNPELGGLIPEETWSLPLLQNFSASSCNISGNLPPFKSCRSMLALELQKNNMSGVVPKSVSHCRALEIINLASNQLNGHIPDELASLPALSVVDLSHNNFSGSIPAEFGKSTNLLLLNVSYNDISGAIPSEKVLQSMGRSAYVGNRELCGAPLRSCSASMSIFGSKGTGKLRLVLLLSAGVTILIAALLFWLVYLRKGNKGQWKMESFIGLPQFTANDVLRSFSSADSMEELPPLSAAVCKAVLPTGITVLVKKIEWEPKRMKVAIEFITQMGNARHRNLIRLLGFCYNNHMAYMLYDYLPNGNLADKVTMPRDWSTKCRIITGIARGLCFLHHDCNPAISHGDLNSSTIVFDDNFEPRLADFGFKYLIEFIKGRSSEAIKDELYMDIYRFGEIILEILTNGRLTNGGEIVQSKPQDVVLREMYSENEATDDSANSLQDEIKQVVDVALLCTRSRPADRPSMKDALKFLSG >KJB53541 pep chromosome:Graimondii2_0_v6:9:2603505:2603849:-1 gene:B456_009G034800 transcript:KJB53541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTYSGLDNGTQLDGKVVQIFQKSFVQVQNILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSSTFSKSMDTSSEEDLSVAMRSDAKAGHKRNRPV >KJB53540 pep chromosome:Graimondii2_0_v6:9:2603169:2605203:-1 gene:B456_009G034800 transcript:KJB53540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTYSGLDNGTQLDGKVVQIFQKSFVQVQNILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSSTFSKSMDTSSEEDLSVAMRSDAKAGHKRNRPV >KJB61574 pep chromosome:Graimondii2_0_v6:9:49156324:49157129:1 gene:B456_009G367000 transcript:KJB61574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPFNFTMLPIHISNPPKPKPFTICATPGRPGTSTGRGGKINSIVGNSEKSKVGVKEVNHPNTTILNDVNEENEHKSRANVNGDNDDGAVKGTELPTD >KJB62146 pep chromosome:Graimondii2_0_v6:9:58514112:58516803:1 gene:B456_009G402800 transcript:KJB62146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISTCLTLSPKFRLLTSKPQVSLNFPGIQPLTSSSTFSRKSGNFLRPRVSFPVIKASLDVGRNAIRPGGAVETDKLPSDVRKRAMEAVDAFGGRVTVGDVSSKAGLNLNQAQKALQALASDTNGFLEVSDEGDVLYVFPKDYRSKLAAKSFRIKFEPWVDKAKAALEYLIRVSFGTALIASIVLVYTTIIALITSRSDEDNRGRRGGRSYDTGFTFYLSPTDLFWYWDPYYYRRRRLRTDDDERMNFIESVFSFVFGDGDPNQGIEEERWKLIGEYITSNGGVVTAEELAPYLDLPTTKGALV >KJB62147 pep chromosome:Graimondii2_0_v6:9:58514112:58520830:1 gene:B456_009G402800 transcript:KJB62147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISTCLTLSPKFRLLTSKPQVSLNFPGIQPLTSSSTFSRKSGNFLRPRVSFPVIKASLDVGRNAIRPGGAVETDKLPSDVRKRAMEAVDAFGGRVTVGDVSSKAGLNLNQAQKALQALASDTNGFLEVSDEGDVLYVFPKDYRSKLAAKSFRIKFEPWVDKAKAALEYLIRVSFGTALIASIVLVYTTIIALITSRSDEDNRGRRGGRSYDTGFTFYLSPTDLFWYWDPYYYRRRRLRTDDDERMNFIESVFSFVFGDGDPNQGIEEERWKLIGEYITSNGGVVTAEELAPYLDLPTTKGALSDESYVLPVLLRFDGQPEIDEEGNILYRFQSLQRTASSQRSGRKEYVGRRWSDWVGGIEKFFKEKKWQFSKTSSSERAMVIGLGAINLFGVIILGTMLKSMLVLSLQFLWFGGSSFVKGMLI >KJB62145 pep chromosome:Graimondii2_0_v6:9:58513990:58520856:1 gene:B456_009G402800 transcript:KJB62145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISTCLTLSPKFRLLTSKPQVSLNFPGIQPLTSSSTFSRKSGNFLRPRVSFPVIKASLDVGRNAIRPGGAVETDKLPSDVRKRAMEAVDAFGGRVTVGDVSSKAGLNLNQAQKALQALASDTNGFLEVSDEGDVLYVFPKDYRSKLAAKSFRIKFEPWVDKAKAALEYLIRVSFGTALIASIVLVYTTIIALITSRSDEDNRGRRGGRSYDTGFTFYLSPTDLFWYWDPYYYRRRRLRTDDDERMNFIESVFSFVFGDGDPNQGIEEERWKLIGEYITSNGGVVTAEELAPYLDLPTTKGALSDESYVLPVLLRFDGQPEIDEEGNILYRFQSLQRTASSQRSGRKEYVGRRWSDWVGGIEKFFKEKKWQFSKTSSSERAMVIGLGAINLFGVIILGTMLKDAAIRPSGFINFVMDIFPLLQIYAGSFFAIPLVRWFFIRKRNADIEKRNQIRKLFAQALELPDLSLRRKLLSARDMAQKTVIGPDRIVYSTDRDLVEQDYEAREWDRRFREIEKSD >KJB62148 pep chromosome:Graimondii2_0_v6:9:58514112:58520830:1 gene:B456_009G402800 transcript:KJB62148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISTCLTLSPKFRLLTSKPQVSLNFPGIQPLTSSSTFSRKSGNFLRPRVSFPVIKASLDVGRNAIRPGGAVETDKLPSDVRKRAMEAVDAFGGRVTVGDVSSKAGLNLNQAQKALQALASDTNGFLEVSDEGDVLYVFPKDYRSKLAAKSFRIKFEPWVDKAKAALEYLIRVSFGTALIASIVLVYTTIIALITSRSDEDNRGRRGGRSYDTGFTFYLSPTDLFWYWDPYYYRRRRLRTDDDERMNFIESVFSFVFGDGDPNQGIEEERWKLIGEYITSNGGVVTAEELAPYLDLPTTKGALSDESYVLPVLLRFDGQPEIDEEGNILYRFQSLQRTASSQRSGRKEYVGRRWSDWVGGIEKFFKEKKWQFSKTSSSERAMVIGLGAINLFGVIILGTMLKDAAIRPSGFINFVMDIFPLLQIYAGSFFAIPLVRWFFIRKRNADIEKRNQIRKLFAQALELPDLSLRRKLLSARDMAQKTVIGPDRIVYSTDRDLVEQDYEAREWDRRFREIEKSD >KJB58645 pep chromosome:Graimondii2_0_v6:9:17042499:17044698:1 gene:B456_009G219400 transcript:KJB58645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICKMEGDASSCSLLCLENETFLKEKEESTDENYIAEYDEECVQMLFDREMSFGFKKSEPLVLCNYLKYARSEAITWILKTRAAFGFRCQTAYLSMIYFDRFLSIKSIASEKSWAIQLLSIACLSLAAKMEEINVPPLSLFQTEEFNFESKTIQRMELLVLNTLEWRLSSATPFAFLNFFIKKMCKISPPDHFISITVQLIFLTMKEINLMERRPSVVAVAAILRTLNKKLTRKAMECAMNSLSCEFLEIEDVFRCYNLMQKLDTLDELNIPKSENATHSSAIDAVDDCSSSGTVCAKRKRLAFNKNVSNSDQVTNEKRLSAGKMP >KJB56745 pep chromosome:Graimondii2_0_v6:9:10105192:10105851:-1 gene:B456_009G134100 transcript:KJB56745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVMESTQKTKNMFHKSVDNLKSFFFVGYQKLSKPTLPDPFSCTGCSRRKGQKDRSLADFCNGWEVGVEESRMGKKDAVMSMSLKEQMREEEEECNGSLMNFSDTSVVKNRKENGGKEEKKKVRSSKLSKGEEQYYYKRNGEGSYALAQKMKELEMMDVGDMEHVLDVEEALHYYSRLKSPVYLSIVDKFFMDMYSEFSLPQASASISRSKRRFGSIRL >KJB57709 pep chromosome:Graimondii2_0_v6:9:13669290:13673406:1 gene:B456_009G176500 transcript:KJB57709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHCRSHRFMKPFPSLTPRQRTNRPSSSSNWRTQIKQTQLVSQVSSILLQRHNWPPLLQTLNLSSKLTPSLFLQILRKTQHQPHLSLSFFNWVQTHLGFKPDLLSHCHIIRISLGSDVSPSLDPLLHSLIQSHPPPLVADSMVQACKGTNFDSTALSSLIKCYSKKGLFMEGFEVFRKIRSYGFTPSISAYNELLDALQRGNEVKLAWCFLGAMIRDVDPDSFSWSLVAQILCKNGNFDKVVKFLEKGIYNTKIYDLLVDFYSKNGDFEAAFHRLNEMNNRKLDASFCTYSSVLDGACKYNDSEVMERIMRIMIEKQFLPRCQFFGNDSIIQKLCDLRKTHAAEMMFKKACGKNIRLQDDTYGSLLKAMSQVGRIDEAINMYRTMLKRGIKVKDSCYCAFANVLCKEDQSGDGYGLLVNIMKQGHHPCPSQLSKYIASLCRRKKWKKAEELLNLMLKKGLLPDSVPCCLLMEYYCFNKEMDKVVALHYEMVKVEGSLDVTTYNTILEGLWREKKAEEAVGVFDYMTGLNLVNSASFTIMICELCHMKEMRKAMKIHDEMLKMGFKPDKGTYKRLISGFK >KJB58715 pep chromosome:Graimondii2_0_v6:9:17333830:17335627:1 gene:B456_009G222700 transcript:KJB58715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSKASCNSHGQDSSYFLGWQLYENNPFHEVENPTGIIQMGLAENQLSFDLVESWLRKNPEVMELKKGGESVFRELALFQDYHGLPTFKNELVEYMAKMRGNKVKFDPNNLVLAAGATSANETLIFCLADPGEAILLPTPYYPGFDRDLKWRTGVEIVPVHCWSSNGFRITMFALEEAYERAQKLNLKVKGVLITNPSNPLGTTMTKEELDHLITFAMAKNIHVISDEVFSGTVFDSPGFISILEAAMDRNLENTDVWSRIHIVYSLSKDLGLPGFRVGMIYSNNKTVVGASTKMSSFGLVSSQTQYLLTNVLASKRFTSKYMKENQKRLKKRKEMLVSGLKKSGIECLKSNAGLFCWVDMRHLLSSNTLEAETKLWNQMVCDVGLNISPGSSFHCSEPGWFRVCFANMSRGTLQVAMRRIKDFVERSNFMGRIKMNYQQNMSSLSRKLLSNWVRKLSSPDPNEHER >KJB58479 pep chromosome:Graimondii2_0_v6:9:16453654:16456726:-1 gene:B456_009G212100 transcript:KJB58479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFLSTSKAVGSVISRRNVINQFVRHAKNGGRTGNFDQIRWVTSISGIQMPPVHPLLGSRKVDSVVPLNYFFNHYTLQRRQFLGCGDGEEGGVLSKVYEERIVMGYSPEQLFDVVAAVDLYHGFVPWCQRSDVIKHYPDGSFDAELEIGFKFLVESYVSHVELSRPKFVKSTASQSSLFDHLINIWEFNPGPVPGSCSLYFLVDFKFQSPLYRQVASMFFKEVVSRMISSFSERCRLIYGPGVPVLENSYGERT >KJB58481 pep chromosome:Graimondii2_0_v6:9:16453727:16458128:-1 gene:B456_009G212100 transcript:KJB58481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFLSTSKAVGSVISRRNVINQFVRHAKNGGRTGNFDQIRWVTSISGIQMPPVHPLLGSRKVDSVVPLNYFFNHYTLQRRQFLGCGDGEEGGVLSKVYEERIVMGYSPEQLFDVVAAVDLYHGFVPWCQRSDVIKHYPDGSFDAELEIGFKFLVESYVSHVELSRPKFVKSTASQSSLFDHLINIWEFNPGPVPGSCSLYFLVDFKFQSPLYRQVASMFFKEVVSRMISSFSERCRLIYGPGVPVLENSYGERT >KJB58480 pep chromosome:Graimondii2_0_v6:9:16453695:16456726:-1 gene:B456_009G212100 transcript:KJB58480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFLSTSKAVGSVISRRNVINQFVRHAKNGGRTGNFDQIRWVTSISGIQMPPVHPLLGSRKVDSVVPLNYFFNHYTLQRRQFLGCGDGEEGGVLSKVYEERIVMGYSPEQLFDVVAAVDLYHGFVPWCQRSDVIKHYPDGSFDAELEIGFKFLVESYVSHVELSRPKFVKVASMFFKEVVSRMISSFSERCRLIYGPGVPVLENSYGERT >KJB58482 pep chromosome:Graimondii2_0_v6:9:16454447:16456726:-1 gene:B456_009G212100 transcript:KJB58482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFLSTSKAVGSVISRRNVINQFVRHAKNGGRTGNFDQIRWVTSISGIQMPPVHPLLGSRKVDSVVPLNYFFNHYTLQRRQFLGCGDGEEGGVLSKVYEERIVMGYSPEQLFDVVAAVDLYHGFVPWCQRSDVIKHYPDGSFDAELEIGFKFLVESYVSHVELSRPKFVKSTASQSSLFDHLINIWEFNPGPVPGSCSLYFLVDFKFQSPLYRQVMS >KJB55225 pep chromosome:Graimondii2_0_v6:9:4870666:4872116:-1 gene:B456_009G068600 transcript:KJB55225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRLRLRNSAKYIKTGRKKRLIYIGIPISRKRKVEMGEAAVAAHNVFVYGSLLSDDVVRVLLNRVPPSSAVLLNHFHRFSIKGRVYPAILPVQNRHVSGRVLMGISDPELHILDEFEDVEYQRTRVEVSLLESSDKLQAHAYVWRNTSDPNLYGDWDFEEWKQVHKESFIKMTMGFMEELELPESKPIGATYESFYQQDDAEK >KJB55224 pep chromosome:Graimondii2_0_v6:9:4870838:4872014:-1 gene:B456_009G068600 transcript:KJB55224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAVAAHNVFVYGSLLSDDVVRVLLNRVPPSSAVLLNHFHRFSIKGRVYPAILPVQNRHVSGRVLMGISDPELHILDEFEDVEYQRTRVEESSDKLQAHAYVWRNTSDPNLYGDWDFEEWKQVHKESFIKMTMGFMEELELPESKPIGATYESFYQQDDAEK >KJB55226 pep chromosome:Graimondii2_0_v6:9:4870644:4872188:-1 gene:B456_009G068600 transcript:KJB55226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRLRLRNSAKYIKTGRKKRLIYIGIPISRKRKVEMGEAAVAAHNVFVYGSLLSDDVVRVLLNRVPPSSAVLLNHFHRFSIKGRVYPAILPVQNRHVSGRVLMGISDPELHILDEFEDVEYQRTRVEEWKQVHKESFIKMTMGFMEELELPESKPIGATYESFYQQDDAEK >KJB60334 pep chromosome:Graimondii2_0_v6:9:26861951:26862707:1 gene:B456_009G300800 transcript:KJB60334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTQCTLWNGTESSANLEFSIYEGAKEDITMTTLSSFEQSINSMAGGLVYNVGTKIKWIVAWTNDGKVCTTIKKCDESVTWSKIITQLQPHDSTHTYQGYTSKVNAEMNTNGSLTLEAKLLV >KJB56565 pep chromosome:Graimondii2_0_v6:9:9427592:9430953:-1 gene:B456_009G125400 transcript:KJB56565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLRLLFPMWACSFSLFSLLIHARPQSTVPFTSASVLPSEQEPISQFSSQMEAVSPGVAVVRVVHHQDLNKRILIALIVASTLLAGILLFLSCFWICRQKILNNSNGKSKQKNLEPTKAISLSPIVDRFNSLWMAGKKGSVAVIEYRLLEAATNGFGESNVLGEGGRGRVYKARFDDKFLAAVKKLDNGGVDAEREFENEVDWLVKIRHQNIVSLLGYCIHDETKLLVYEMMQNGSLESQLHGPSQGSALTWHLRMKIAIDVARALEYLHENCNPPVVHRDIKSSNILLDSNFNAKLSDFGLAVTTGSQNKNVKLSGTLGYVAPEYLLEGKLTDKSDVYAFGVVLLELLIGKKPLEKMSPTQHQSLVTWLTDRLKLPKLVDPVIKDTMDLKHLYQVAAVAVLCIQPEPSYRPLITDVLHSIIPLVPIELGGSLRLT >KJB56566 pep chromosome:Graimondii2_0_v6:9:9427592:9430953:-1 gene:B456_009G125400 transcript:KJB56566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLRLLFPMWACSFSLFSLLIHARPQSTVPFTSASVLPSEQEPISQFSSQMEAVSPAGVAVVRVVHHQDLNKRILIALIVASTLLAGILLFLSCFWICRQKILNNSNGKSKQKNLEPTKAISLSPIVDRFNSLWMAGKKGSVAVIEYRLLEAATNGFGESNVLGEGGRGRVYKARFDDKFLAAVKKLDNGGVDAEREFENEVDWLVKIRHQNIVSLLGYCIHDETKLLVYEMMQNGSLESQLHGPSQGSALTWHLRMKIAIDVARALEYLHENCNPPVVHRDIKSSNILLDSNFNAKLSDFGLAVTTGSQNKNVKLSGTLGYVAPEYLLEGKLTDKSDVYAFGVVLLELLIGKKPLEKMSPTQHQSLVTWVMPQLTDRLKLPKLVDPVIKDTMDLKHLYQVAAVAVLCIQPEPSYRPLITDVLHSIIPLVPIELGGSLRLT >KJB56563 pep chromosome:Graimondii2_0_v6:9:9428395:9430767:-1 gene:B456_009G125400 transcript:KJB56563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLRLLFPMWACSFSLFSLLIHARPQSTVPFTSASVLPSEQEPISQFSSQMEAVSPGVAVVRVVHHQDLNKRILIALIVASTLLAGILLFLSCFWICRQKILNNSNGKSKQKNLEPTKAISLSPIVDRFNSLWMAGKKGSVAVIEYRLLEAATNGFGESNVLGEGGRGRVYKARFDDKFLAAVKKLDNGGVDAEREFENEVDWLVKIRHQNIVSLLGYCIHDETKLLVYEMMQNGSLESQLHGPSQGSALTWHLRMKIAIDVARALEYLHENCNPPVVHRDIKSSNILLDSNFNAKLSDFGLAVTTGSQNKNVKLSGTLGYVAPEYLLEGKLTDKSDVYAFGVVLLELLIGKKPLEKMSPTQHQSLVTWVISLDHTF >KJB56567 pep chromosome:Graimondii2_0_v6:9:9427592:9431026:-1 gene:B456_009G125400 transcript:KJB56567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLRLLFPMWACSFSLFSLLIHARPQSTVPFTSASVLPSEQEPISQFSSQMEAVSPGVAVVRVVHHQDLNKRILIALIVASTLLAGILLFLSCFWICRQKILNNSNGKSKQKNLEPTKAISLSPIVDRFNSLWMAGKKGSVAVIEYRLLEAATNGFGESNVLGEGGRGRVYKARFDDKFLAAVKKLDNGGVDAEREFENEVDWLVKIRHQNIVSLLGYCIHDETKLLVYEMMQNGSLESQLHGPSQGSALTWHLRMKIAIDVARALEYLHENCNPPVVHRDIKSSNILLDSNFNAKLSDFGLAVTTGSQNKNVKLSGTLGYVAPEYLLEGKLTDKSDVYAFGVVLLELLIGKKPLEKMSPTQHQSLVTWVMPQLTDRLKLPKLVDPVIKDTMDLKHLYQVAAVAVLCIQPEPSYRPLITDVLHSIIPLVPIELGGSLRLT >KJB56568 pep chromosome:Graimondii2_0_v6:9:9429150:9430953:-1 gene:B456_009G125400 transcript:KJB56568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLRLLFPMWACSFSLFSLLIHARPQSTVPFTSASVLPSEQEPISQFSSQMEAVSPGVAVVRVVHHQDLNKRILIALIVASTLLAGILLFLSCFWICRQKILNNSNGKSKQKNLEPTKAISLSPIVDRFNSLWMAGKKGSVAVIEYRLLEAATNGFGESNVLGEGGRGRVYKARFDDKFLAAVKKLDNGGVDAEREFENEVDWLVKIRHQNIVSLLGYCIHDETKLLVYEMMQNGSLESQLHGPSQGSALTWHLRMKIAIDVAR >KJB56564 pep chromosome:Graimondii2_0_v6:9:9428203:9430767:-1 gene:B456_009G125400 transcript:KJB56564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLRLLFPMWACSFSLFSLLIHARPQSTVPFTSASVLPSEQEPISQFSSQMEAVSPGVAVVRVVHHQDLNKRILIALIVASTLLAGILLFLSCFWICRQKILNNSNGKSKQKNLEPTKAISLSPIVDRFNSLWMAGKKGSVAVIEYRLLEAATNGFGESNVLGEGGRGRVYKARFDDKFLAAVKKLDNGGVDAEREFENEVDWLVKIRHQNIVSLLGYCIHDETKLLVYEMMQNGSLESQLHGPSQGSALTWHLRMKIAIDVARALEYLHENCNPPVVHRDIKSSNILLDSNFNAKLSDFGLAVTTGSQNKNVKLSGTLGYVAPEYLLEGKLTDKSDVYAFGVVLLELLIGKKPLEKMSPTQHQSLVTWVMPQLTDRLKLPKLVDPVIKDTMDLKHLYQVHGKLFCSHRHMPMFSL >KJB57489 pep chromosome:Graimondii2_0_v6:9:12805515:12807708:-1 gene:B456_009G166600 transcript:KJB57489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVAFKWSKKITSSQVVHLIKAERNVDKALAIFDLATAEYANGFRHDHSTFGVIINRLVSANKFGPAEDLLDRMKEEKCDVREDIFLSICRGYGRVHRPLDAMRVFGKMKDFQFEPSKRSYITMFDILVDENQLKLAFRFYRNMREVGFQTSVVTLNILIKALCKNSGTLDSAIHIFREMPNRGCPPDSYTYGTLINGLCKFGKLTDAKVLFEEMKMRDCSPSVITYSSLIHGLCQSNNINEALDLLEEMKNNGMEPNVFTYSSLMDGLCKDSRSSKAMELLETMVSKRCKPNMITYSSLIHGLCKEGRLQQAVEILDRMKLQGLQPDAGLYGKIISGFCDVNKFEEGAHFLDEMVLDRILPNRLTWSLHVRIHNMVVQGLCTKSDLSRAFKLYLSMRTRAISVEIATFEILMKSFFKDGDLHKAARIVDEMLIDGCTPKEGEWSMLLGAFWNQTMVQEAVELFQAEVMSELAVADITIQDEAF >KJB57490 pep chromosome:Graimondii2_0_v6:9:12805668:12807128:-1 gene:B456_009G166600 transcript:KJB57490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVAFKWSKKITSSQVVHLIKAERNVDKALAIFDLATAEYANGFRHDHSTFGVIINRLVSANKFGPAEDLLDRMKEEKCDVREDIFLSICRGYGRVHRPLDAMRVFGKMKDFQFEPSKRSYITMFDILVDENQLKLAFRFYRNMREVGFQTSVVTLNILIKALCKNSGTLDSAIHIFREMPNRGCPPDSYTYGTLINGLCKFGKLTDAKVLFEEMKMRDCSPSVITYSSLIHGLCQSNNINEALDLLEEMKNNGMEPNVFTYSSLMDGLCKDSRSSKAMELLETMVSKRCKPNMITYSSLIHGLCKEGRLQQAVEILDRMKLQGLQPDAGLYGKIISGFCDVNKFEEGAHFLDEMVLDRILPNRLTWSLHVRIHNMVVQGLCTKSDLSRAFKLYLSMRTRAISVEIATFEILMKSFFKDGDLHKAARIVDEMLIDGCTPKEGEWSMLLGAFWNQTMVQEAVELFQAEVMSELAVADITIQDEAF >KJB58366 pep chromosome:Graimondii2_0_v6:9:16045967:16048061:-1 gene:B456_009G207100 transcript:KJB58366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFFQLTAVAIFLSIVFYKVLLGREKSNAGSSKRMAPEPEGAWPILGHLHLLGGDQLLYRTLGAMADKHGPAFTIRLGARRAFVVSSWEVVKECFTINDKALASRPTTVAAKHMGYNYAVFGFAPYTPFWREMRKIATLELLSNRRLEMLKHVRISEVEMGIRELYNLCHHNGSIPILVELKQWFEDLTLNVVVRMVAGKRYFGANAVCDDGEARRCQKAISQFFHLIGIFVVSDALPFLWWLDMQGHEKAMKKTAKELDDLLEGWLKEHRQRRISGGIKAEGDQDFIDVMLFLQEEGRLSNFQYDADTSIKSTCLALILGGSDTTAGTLTWAISLLLNNREMLRKAQDELDLHVGKERPVDESDIKNLVYLQAIIKETLRLYPAGPLLGPREAMNDCTVAGYHVPAGTRLVVNVWKIQRDPRVWPNPSAFSPERFLKSHADIDVRGQQFELIPFGSGRRSCPGASFALQVLHLTLARFLHAFELSTPLDQPVDMTESPGLTIPKATPLDVLLKPRLPAKVYAS >KJB61101 pep chromosome:Graimondii2_0_v6:9:39480220:39481370:1 gene:B456_009G340800 transcript:KJB61101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCITCKIIVIKLFQEGIRHRDIRPENVICVRSGESRPYFVLIGWGHAILEDRHRHAMSLHFSSTYTLQEGKLCSASDAESLVYMLYFACGGAFPDLDSVEGELQWRETSWSRRLIQQKLGDVSTVLKAFTDYVDSLCGTPYPMDYDIWLRRFKRSISEEDHGKEIDASV >KJB54521 pep chromosome:Graimondii2_0_v6:9:2746733:2747302:-1 gene:B456_009G037300 transcript:KJB54521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIPFFVLNILLFLSSSAATPESGADHDLVRSSCVHASYPSLCFRTLSSYSGPANTPRELAQAAVKVSLSRARKVSNYLSTSVTGYNKRERVALSDCVEQIDESMEELSKTLGELKHLRGETFEFQMSNAQTWVSAALTNEDTCLDGFEGVDGKVKSNVKRKITNVAKVTSNALYMINRLNESRGRHR >KJB54118 pep chromosome:Graimondii2_0_v6:9:1629412:1631277:-1 gene:B456_009G021500 transcript:KJB54118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQSQLRLFHFISRHYILSKPRLFSFHSPLTKQSCISLLKSCKSMNHLKQIQAQTFLLGLHQDSHTLNKLIAFCTDPTLGTFRYAEKIFNLVQYPSLFIYNVMIKTFVKKGSHKNAILVFGKLREQGLWPDGFTYPFVFKAIGSLGAVFEGQKIHGLVAKSGLEFDAYVVNSLMDMYVQVGRIRCSKKLFDEMPQRDVVAWNVLISGLVKCGKFEDAVNLFGLMRKEGLVKPNEATIVSTLSACTALGRLDLGKEIDHYAREELELTTIMGNALLDMYCKCGCLDIARKLFDDMPSKNVNCWTSMVSGYVNVGQLAEARRLFERSPVRDVVLWTAMINGYVQFNCFDEAVGLFQEMQAQRVKPDKFVLVSLLTGCAKLGALEQGKWIHGYLNENSIVLDTVVGTALIEMYAKCGCIEEALEIFYGLRKRDTASWTSIICGMAVNGETSKALELFSEMEQTNEKPDDITFIGVLSACSHGGLVEEGRKVFDSISKVYHMEPKLEHYACLIDLLCRAGLLVEVEKLIDDIPGKDNETIVPLYGSLLSACRTYGNVEMGERMAQRLVEIQSSDSSVHTLLSNIYASADRWEDVKKVREKMKDLGVKKVPGCSSIKVNGAITQS >KJB57227 pep chromosome:Graimondii2_0_v6:9:11821499:11823982:-1 gene:B456_009G154400 transcript:KJB57227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFYLLCSILSKFFTSLTLSLLLPFRFLLTRHRLPLSTSQFEPVSLYEGTVWHDRRRPVRHSFRYSVRYALFDLDHAPNTPPDHLSADEARRITKTDGPVFLLTIPPSVGYEQNPLSLYYCYDSEGTDKLLKKCIAEVTNTPWGERVSFVFDPNSDLVAKPLHVSPFMDMLGSWSLRANAPGQNLLVTISVQHPELGDYFLATLKAKRVSLESVHDHALYFWLMPHKVALWIYWHLDSVLFGYAPEVEWPLVFQFPLGTYILSSHSTCWRL >KJB57228 pep chromosome:Graimondii2_0_v6:9:11820474:11824053:-1 gene:B456_009G154400 transcript:KJB57228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFYLLCSILSKFFTSLTLSLLLPFRFLLTRHRLPLSTSQFEPVSLYEGTVWHDRRRPVRHSFRYSVRYALFDLDHAPNTPPDHLSADEARRITKTDGPVFLLTIPPSVGYEQNPLSLYYCYDSEGTDKLLKKCIAEVTNTPWGERVSFVFDPNSDLVAKPLHVSPFMDMLGSWSLRANAPGQNLLVTISVQHPELGDYFLATLKAKRVSLESVHDHALYFWLMPHKVALWIYWHALKLWWKNVSFIQHPRYTNPSYREEALNRDRKLQCCPGLRQNKDEHMQVGGSDSATEAERYHGERFFVWKDAKWPWC >KJB57229 pep chromosome:Graimondii2_0_v6:9:11820474:11824090:-1 gene:B456_009G154400 transcript:KJB57229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFYLLCSILSKFFTSLTLSLLLPFRFLLTRHRLPLSTSQFEPVSLYEGTVWHDRRRPVRHSFRYSVRYALFDLDHAPNTPPDHLSADEARRITKTDGPVFLLTIPPSVGYEQNPLSLYYCYDSEGTDKLLKKCIAEVTNTPWGERVSFVFDPNSDLVAKPLHVSPFMDMLGSWSLRANAPGQNLLVTISVQHPELGDYFLATLKAKRVSLESVHDHALYFWLMPHKVALWIYWHALKLWWKNVSFIQHPRYTNPSYREEALNRDRKLQCCPGLRQNKDEHMQVGGSDSATEAERYHGERFFVWKDAKWPWC >KJB62692 pep chromosome:Graimondii2_0_v6:9:67567995:67569239:1 gene:B456_009G430500 transcript:KJB62692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKAVIGDTDMLQTMQQDALHLAAKALDFFDVTEATDIARFVKKEFDKTYGEGWQCIVGTDFGSFVTHCSGCFIYFCIGSLAFLLFKGSAVPQSHPNQFNALETVKA >KJB53719 pep chromosome:Graimondii2_0_v6:9:245745:250654:1 gene:B456_009G002300 transcript:KJB53719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIANATENGSAKTEPPTTTAQNPSEAASLNPAIEKWPGWPGHCVFRLIVPVLKVGSIIGRKGELIKKMCEETRARIRVLDGALGTADRIVLVSGKEEPEALLSPAMDAALRVFKRISGLPDNERDPKAARAAFCSIRLLVAYTQAINLIGKQGSVIKSLQESTGASVRVLPAADELPSYAAAYERIVELQGEALKVLKALEEVVGHLRKFLVDHSVLPLFEKTDLQAETRAEITASQSGIGIDLPVTARGDSLFLEHETQLESRIPSSGISFYTQDPTLSAIYSSSGLVRSVVPIVTQIAQTMQIPFSYAEDIIGIGGANIAHIRRTSGAVITVQESRGMSDEITVEIKGTSTQVQLAQQLIQEFMSNHKDPVTSGNYRDSSYRSSYSQMGSTSSLSTQPYGGYGGSSSNVGGYPTFRL >KJB53717 pep chromosome:Graimondii2_0_v6:9:245623:250726:1 gene:B456_009G002300 transcript:KJB53717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIANATENGSAKTEPPTTTAQNPSEAASLNPAIEKWPGWPGHCVFRLIVPVLKVGSIIGRKGELIKKMCEETRARIRVLDGALGTADRIVLVSGKEEPEALLSPAMDAALRVFKRISGLPDNERDPKAARAAFCSIRLLVAYTQAINLIGKQGSVIKSLQESTGASVRVLPADELPSYAAAYERIVELQGEALKVLKALEEVVGHLRKFLVDHSVLPLFEKTDLQAETRAEITASQSGIGIDLPVTARGDSLFLEHETQLESRIPSSGISFYTQDPTLSAIYSSSGLVRSVVPIVTQIAQTMQIPFSYAEDIIGIGGANIAHIRRTSGAVITVQESRGMSDEITVEIKGTSTQVQLAQQLIQEFMSNHKDPVTSGNYRDSSYRSSYSQMGSTSSLSTQPYGGYGGSSSNVGGYPTFRL >KJB53718 pep chromosome:Graimondii2_0_v6:9:245745:250654:1 gene:B456_009G002300 transcript:KJB53718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIANATENGSAKTEPPTTTAQNPSEAASLNPAIEKWPGWPGHCVFRLIVPVLKVGSIIGRKGELIKKMCEETRARIRVLDGALGTADRIVLVSGKEEPEALLSPAMDAALRVFKRISGLPDNERDPKAARAAFCSIRLLVAYTQAINLIGKQGSVIKSLQESTGASVRVLPADELPSYAAAYERIVELQGEALKVLKALEEVVGHLRKFLVDHSVLPLFEKTDLQAETRAEITASQSGIGIDLPVTARGDSLFLEHETQLESRIPSSGISFYTQDPTLSAIYSSSGLVRSVVPIVTQAELILHIFAALVELS >KJB55586 pep chromosome:Graimondii2_0_v6:9:6099886:6101229:-1 gene:B456_009G083600 transcript:KJB55586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIEAHSRGNDHDGCITGIGTRLKDVRNSLATSKELLKVLNHVCGLEERNSSSMSLISALRVELDRARVEVDQLIRERRSNFDETEYLMRCFAEEKAAWKRKERERIRDAIACVAEELEVEKKLRRQTERLNKKLGKELADTNASLSKATKELKSEKRAKEILEQVCDELAKGMGEDRATAEELKRETAKVREEVEKEREMLHFADVLREERVQMKLSEAKHHFEEKNAVVEKLRNELETYLGTKIDGENGNGSPNLQRIKELEAYLKNINFGNCQAAKKDVDKGEVMVNGEECEADDSADSDLHSIELNMDNNDKSYNWSYASGNRVEGESKRIPTIRKENKGTKSRSEKLSWGSICLERGSSDSADWDYEDEIKRYKSVKSLRDHILSSNKIAPIGGFSSPTRQWSQSMHFQEPCSNGLPVLKVDDLKQPKVVSTRGEGRTSIS >KJB55584 pep chromosome:Graimondii2_0_v6:9:6099696:6102793:-1 gene:B456_009G083600 transcript:KJB55584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQPHQNVEGKGKRVCKIRKRGCSSSSSSSLIQKYRFKRAILVQKRAGSTTPVPTWITATTKSPSLAIPSVEFPSKGSPKNVSKTKEGSVSARKLAATLWESNKIPSPQAMEDLEKKDRRRKPPIVAKMPHSLPPSLSDPSYTPISEKMDRPRIKAHKRRSSVVSPKLQLTDLDSVGNASLMEIEAHSRGNDHDGCITGIGTRLKDVRNSLATSKELLKVLNHVCGLEERNSSSMSLISALRVELDRARVEVDQLIRERRSNFDETEYLMRCFAEEKAAWKRKERERIRDAIACVAEELEVEKKLRRQTERLNKKLGKELADTNASLSKATKELKSEKRAKEILEQVCDELAKGMGEDRATAEELKRETAKVREEVEKEREMLHFADVLREERVQMKLSEAKHHFEEKNAVVEKLRNELETYLGTKIDGENGNGSPNLQRIKELEAYLKNINFGNCQAAKKDVDKGEVMVNGEECEADDSADSDLHSIELNMDNNDKSYNWSYASGNRVEGESKRIPTIRKENKGTKSRSEKLSWGSICLERGSSDSADWDYEDEIKRYKSVKSLRDHILSSNKIAPIGGFSSPTRQWSQSMHFQEPCSNGLPVLKVDDLKQPKVVSTRGEGRTSIS >KJB55587 pep chromosome:Graimondii2_0_v6:9:6099720:6102724:-1 gene:B456_009G083600 transcript:KJB55587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQPHQNVEGKGKRVCKIRKRGCSSSSSSSLIQKYRFKRAILVQKRAGSTTPVPTWITATTKSPSLAIPSVEFPSKGSPKNVSKTKEGSVSARKLAATLWESNKIPSPQAMEDLEKKDRRRKPPIVAKMPHSLPPSLSDPSYTPISEIEAHSREEKAAWKRKERERIRDAIACVAEELEVEKKLRRQTERLNKKLGKELADTNASLSKATKELKSEKRAKEILEQVCDELAKGMGEDRATAEELKRETAKVREEVEKEREMLHFADVLREERVQMKLSEAKHHFEEKNAVVEKLRNELETYLGTKIDGENGNGSPNLQRIKELEAYLKNINFGNCQAAKKDVDKGEVMVNGEECEADDSADSDLHSIELNMDNNDKSYNWSYASGNRVEGESKRIPTIRKENKGTKSRSEKLSWGSICLERGSSDSADWDYEDEIKRYKSVKSLRDHILSSNKIAPIGGFSSPTRQWSQSMHFQEPCSNGLPVLKVDDLKQPKVVSTRGEGRTSIS >KJB55585 pep chromosome:Graimondii2_0_v6:9:6099709:6102793:-1 gene:B456_009G083600 transcript:KJB55585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQPHQNVEGKGKRVCKIRKRGCSSSSSSSLIQKYRFKRAILVQKRAGSTTPVPTWITATTKSPSLAIPSVEFPSKGSPKNVSKTKEGSVSARKLAATLWESNKIPSPQAMEDLEKKDRRRKPPIVAKMPHSLPPSLSDPSYTPISEIEAHSRGNDHDGCITGIGTRLKDVRNSLATSKELLKVLNHVCGLEERNSSSMSLISALRVELDRARVEVDQLIRERRSNFDETEYLMRCFAEEKAAWKRKERERIRDAIACVAEELEVEKKLRRQTERLNKKLGKELADTNASLSKATKELKSEKRAKEILEQVCDELAKGMGEDRATAEELKRETAKVREEVEKEREMLHFADVLREERVQMKLSEAKHHFEEKNAVVEKLRNELETYLGTKIDGENGNGSPNLQRIKELEAYLKNINFGNCQAAKKDVDKGEVMVNGEECEADDSADSDLHSIELNMDNNDKSYNWSYASGNRVEGESKRIPTIRKENKGTKSRSEKLSWGSICLERGSSDSADWDYEDEIKRYKSVKSLRDHILSSNKIAPIGGFSSPTRQWSQSMHFQEPCSNGLPVLKVDDLKQPKVVSTRGEGRTSIS >KJB59354 pep chromosome:Graimondii2_0_v6:9:20440298:20444936:1 gene:B456_009G251000 transcript:KJB59354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWLRISSRTVANHIKKRMNKEFMGGAVHVNQSSGLWWGLNRGGPVSDRNRRHMDPLAWNRATLLCVQRFKTTLAEKQHDSFLSSDSDDDNQSVDFPGGKVSYTSEMSFISESSQTRVPCYRVLEDDGDLISDCDFQQVSKEDAVKMYSNMVTLQIMDNIFYEAQRQGRISFYLTSAGEEAISIASAAALSEQDIILPQYREPGVLLWRGFTLQEFADQCFGNKADYGKGRQMPIHYGSKKHNFFTISSPIATQLPQAAGIAYSLKMDNKKACVVSYIGDGGTSEGDFHAGLNFAAVMEAPVIFFCRNNGWAISTNVSEQFRSDGIVVKGRAYGIRSIRVDGNDALAVYSAVSAAREMAINEQRPILIEALTYRVGHHSTSDDSTKYRQLDEIVYWKKARNPVNRFRNWVQNIGWWSEQQETQLRNSVRKQLLEAIQVAEKTEKPPLSELFTDVYDHPPSNLEEQEKHLRETVTRHPKDYPSDISV >KJB59355 pep chromosome:Graimondii2_0_v6:9:20442771:20444855:1 gene:B456_009G251000 transcript:KJB59355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAWQSVDFPGGKVSYTSEMSFISESSQTRVPCYRVLEDDGDLISDCDFQQVSKEDAVKMYSNMVTLQIMDNIFYEAQRQGRISFYLTSAGEEAISIASAAALSEQDIILPQYREPGVLLWRGFTLQEFADQCFGNKADYGKGRQMPIHYGSKKHNFFTISSPIATQLPQAAGIAYSLKMDNKKACVVSYIGDGGTSEGDFHAGLNFAAVMEAPVIFFCRNNGWAISTNVSEQFRSDGIVVKGRAYGIRSIRVDGNDALAVYSAVSAAREMAINEQRPILIEALTYRVGHHSTSDDSTKYRQLDEIVYWKKARNPVNRFRNWVQNIGWWSEQQETQLRNSVRKQLLEAIQVAEKTEKPPLSELFTDVYDHPPSNLEEQEKHLRETVTRHPKDYPSDISV >KJB58950 pep chromosome:Graimondii2_0_v6:9:18279033:18282013:-1 gene:B456_009G231900 transcript:KJB58950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAPTDHEKVSETKQPTSSTESTQPATLSSDYAPYPKLDPKDVAPPPENWTTVSMASQSDPSPDPVPISSSAATTMPAESNPYVSPAPVQSSSVKNKMESVKDVLGKWGKKAADDTKKAKEIAENMWQHLKTGPSFADAAVGRIAQTTKVIADGGYEKIFRTTFETVPEEQLLKTYACYLSTSAGPVIGVLYMSTHKLGFCSDSPLAYQVGDQTQYSYYKVVIPLHQLRAVNPSASKANPAEKYIQIISVDNHEFWFMGFVHYDSAVKNLQGALQSRGS >KJB58949 pep chromosome:Graimondii2_0_v6:9:18279033:18282137:-1 gene:B456_009G231900 transcript:KJB58949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAPTDHEKVSETKQPTSSTESTQPATLSSDYAPYPKLDPKDVAPPPENWTTVSMASQSDPSPDPVPISSSAATTMPAESNPYVSPAPVQSSSVKNKMESVKDVLGKWGKKAADDTKKAKEIAENMWQHLKTGPSFADAAVGRIAQTTKVIADGGYEKIFRTTFETVPEEQLLKTYACYLSTSAGPVIGVLYMSTHKLGFCSDSPLAYQVGDQTQYSYYKVVIPLHQLRAVNPSASKANPAEKYIQIISVDNHEFWFMGFVHYDSAVKNLQGALQSRGS >KJB55824 pep chromosome:Graimondii2_0_v6:9:7036740:7041250:1 gene:B456_009G096900 transcript:KJB55824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHNEAQYCNERLPFKSLATLTHSPKTCFQKQRNWFKLTVSPLFTPQTHKKNLENEMHSFAAQSHLLPSSIRRQWPASSSRASPRPTKIKCLLPSRNPEPQKPTSLFRPLALASASAATLFIRITPISTLLSVGGGEYNFGGTGGGGGGGGGSGGGDGSSGNFWEKFFAPSPAIADDNNQSQEWDSHGLPANIVVQLNKLSGFKKYKLSDISFFDRRRWTTVGTEDSFFEMVSLRPGGIYTKTQLQKELETLATCGMFEKVDMEGKTNPDGTLGLTISFTESTWQSADRFRCINVGLMAQSKPIEMDPDMTDKEKLEYYKSQEKDYKRRIERARPCLLPVQVHREVLQMLRDQGKVSARLLQKIRDRVQKWYHDEGYACAQVVNFGNLNTKEVVCEVVEGDITQLVIQFQDKLGNVVEGNTQLPVVRRELPRQLRQGNVFNIEAGKQALRNVNSLALFSNIEVNPRPDEKNEGGIIVEIKLKELDQKSAEVSTEWSIVPGRGGRPTLASLQPGGTVSFEHRNLKGLNRSILGSLTTSNFFNPQDDLAFKLEYVHPYLDGVYNPRNRTLRASCFNSRKLSPVFTGGPGVDEVPPIWVDRAGVKANITENFTRQSKFTYGLVMEEITMRDESSHIASNGQRVLPSGGISADGPPTTLSGTGVDRMAFLQANITRDNTKFINGAIVGERNVFQVDQGLGIGSKFPFFNRHQLTLTRFLQLKQVEEGADKPPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGELGAARNILELGAEIRIPVRNTHVYAFAEHGNDLGSSKDVKGNPTEVYRRMGHGSSYGVGVKLGQVRAEYAVDHNTGTGAVFFRFGERY >KJB55825 pep chromosome:Graimondii2_0_v6:9:7036914:7041213:1 gene:B456_009G096900 transcript:KJB55825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFAAQSHLLPSSIRRQWPASSSRASPRPTKIKCLLPSRNPEPQKPTSLFRPLALASASAATLFIRITPISTLLSVGGGEYNFGGTGGGGGGGGGSGGGDGSSGNFWEKFFAPSPAIADDNNQSQEWDSHGLPANIVVQLNKLSGFKKYKLSDISFFDRRRWTTVGTEDSFFEMVSLRPGGIYTKTQLQKELETLATCGMFEKVDMEGKTNPDGTLGLTISFTESTWQSADRFRCINVGLMAQSKPIEMDPDMTDKEKLEYYKSQEKDYKRRIERARPCLLPVQVHREVLQMLRDQGKVSARLLQKIRDRVQKWYHDEGYACAQVVNFGNLNTKEVVCEVVEGDITQLVIQFQDKLGNVVEGNTQLPVVRRELPRQLRQGNVFNIEAGKQALRNVNSLALFSNIEVNPRPDEKNEGGIIVEIKLKELDQKSAEVSTEWSIVPGRGGRPTLASLQPGGTVSFEHRNLKGLNRSILGSLTTSNFFNPQDDLAFKLEYVHPYLDGVYNPRNRTLRASCFNSRKLSPVFTGGPGVDEVPPIWVDRAGVKANITENFTRQSKFTYGLVMEEITMRDESSHIASNGQRVLPSGGISADGPPTTLSGTGVDRMAFLQANITRDNTKFINGAIVGERNVFQVDQGLGIGSKFPFFNRHQLTLTRFLQLKQVEEGADKPPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGELGAARNILESMGMI >KJB57909 pep chromosome:Graimondii2_0_v6:9:14273435:14276741:-1 gene:B456_009G185600 transcript:KJB57909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASATVGVGTCLSTSKPLSIGLTPVPICLLRRRRRCLMIGAETEVELAASEAQPASRRLILLRHAKSSWQHPSLRDHDRPLSKTGRVDAVTVSRKLQRMGWIPQLILCSDALRTRETLNIMQEEARGFLEAEVHFISSYYSIAAMDGQTAEHLQRTICHYSRDELFTIMCMGHNRGWEEAASMFTGASVELKTCNAALLEAPGKSWEEVVVPLFINYSQHLL >KJB57912 pep chromosome:Graimondii2_0_v6:9:14274521:14276562:-1 gene:B456_009G185600 transcript:KJB57912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASATVGVGTCLSTSKPLSIGLTPVPICLLRRRRRCLMIGAETEVELAASEAQPASRRLILLRHAKSSWQHPSLRDHDRPLSKTGRVDAVTVSRKLQRMGWIPQLILCSDALRTRETLNIMQEEARGFLEAEVHFISSYYSIAAMDGQTAEHLQRTICHYSRDELFTIMCMGHNRGWEEAASMFTGASVELKTCNAALLEAPGKSWEEKISIYRKRWFNHNVSFGKLQR >KJB57910 pep chromosome:Graimondii2_0_v6:9:14273411:14276719:-1 gene:B456_009G185600 transcript:KJB57910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASATVGVGTCLSTSKPLSIGLTPVPICLLRRRRRCLMIGAETEVELAASEAQPASRRLILLRHAKSSWQHPSLRDHDRPLSKTGRVDAVTVSRKLQRMGWIPQLILCSDALRTRETLNIMQEEARGFLEAEVHFISSYYSIAAMDGQTAEHLQRTICHYSRDELFTIMCMGHNRGWEEAASMFTGASVELKTCNAALLEAPGKSWEEAFAVAGYGSSC >KJB57911 pep chromosome:Graimondii2_0_v6:9:14273435:14276741:-1 gene:B456_009G185600 transcript:KJB57911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASATVGVGTCLSTSKPLSIGLTPVPICLLRRRRRCLMIGAETEVELAASEAQPASRRLILLRHAKSSWQHPSLRDHDRPLSKTGRVDAVTVSRKLQRMGWIPQLILCSDALRTRETLNIMQEEARGFLEAEVHFISSYYSIAAMDGQTAEHLQRTICHYSRDELFTIMCMGHNRGWEEAASMFTGASVELKTCNAALLEAPGKSWEEAFAVAGYGGWKLQGIVTPSSNL >KJB57913 pep chromosome:Graimondii2_0_v6:9:14275731:14276562:-1 gene:B456_009G185600 transcript:KJB57913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASATVGVGTCLSTSKPLSIGLTPVPICLLRRRRRCLMIGAETEVELAASEAQPASRRLILLRHAKSSWQHPSLRDHDRPLSKTGRVDAVTVSRKLQRMGWIPQLILCSDALRTRETLNIMQEEARGFLEAEVHFISSYYSIAAMDGQTAEHLQRTICHYSRDELFTIMSVSLLPAALISPWLSLFCFNVSF >KJB57908 pep chromosome:Graimondii2_0_v6:9:14273754:14276569:-1 gene:B456_009G185600 transcript:KJB57908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASATVGVGTCLSTSKPLSIGLTPVPICLLRRRRRCLMIGAETEVELAASEAQPASRRLILLRHAKSSWQHPSLRDHDRPLSKTGRVDAVTVSRKLQRMGWIPQLILCSDALRTRETLNIMQEEARGFLEAEVHFISSYYSIAAMDGQTAEHLQRTICHYSRDELFTIMCMGHNRGWEEAASMFTGASVELKTCNAALLEAPGKSWEEAFAVAGYGGWKLQGIVTPSSNL >KJB57967 pep chromosome:Graimondii2_0_v6:9:14436113:14439991:1 gene:B456_009G188100 transcript:KJB57967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYHLFFIIYLSGFAAFPIFSFVYANANTTQHSWTPINHHLYHSGEGLIEKIKSLVHRHPDKLTVETIQAGTKDYKADITVVTYSQNWEQSDDKSKLRILLSFGQHARELISSELALRILSALGEEQFPPEMDPASLHDTLENVVIKIVPIENLNGRKIVDAGELCERRNGRGVDLNRNWAVDWGKKEKDYDPAEEYPGTAPFSEPETQIMRELAVSFNPHIWVNVHSGTDGLFMPYDHKKSTPDGLPSQRMRELLDELNVLYCSKRCLVGSGGTAVGYLAHGTATDYMYDVVRVPMAFTFEIYGDDKASGADCFKMFNPIDQTTFKRVMDQWCASFFTLFKLGPVCLM >KJB59366 pep chromosome:Graimondii2_0_v6:9:20526045:20528963:1 gene:B456_009G251800 transcript:KJB59366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVTSTEPAITACRNTKLYSVQGSGDSSGLSTQMFGSDKHKPRCITDSYSSESYEKLFVGSPSEELMHPSSSDVSESSIRQQDVSSYQPRDYLEVQSADTLDDDTDKMKLMLQELERDLLGDNDVDVGDMFGTGLNMEIDGEWSGPVRTEPIHEPPKESSSSESNLSSISSNKEASHFSSRTPKQMLIECAAVLAEGNIEGASAIINELRQLVSIHGDPPQRIAAYMVEGLAARVAASGKYLYKALRCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEAFKAEKRVHIIDFDINQGSQYITLIQSIAKLPGKPPHLRLTGVDDPDSVQRLNGGLQIIGLRLEKLAEVLGVSFEFQAVASRTSLVTPSMLDCRPGEALVVNFAFQLHHMPDESVSTINQRDQLLRMVKSLNPKLVTVVEQDVNTNTSPFFPRFIEAYSYYSTVFESLDVTLPRESQDRMNVERQCLARDIVNIVACEGEERIERYEVAGKWRARMTMAGFTSCPMSPNVIDMIRKLIREYCDRYKLKEDLGALHFGWEDKSLIVASAWR >KJB62042 pep chromosome:Graimondii2_0_v6:9:56311615:56313324:1 gene:B456_009G398100 transcript:KJB62042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDKKKLILNTVSVSIGCSSCKRSKLASFFNPKPKSKSKSKPKPKSNFQSLYTSSSSSSSKKAATHYYFSETATSFSPSTDTPRCWDMDTDDTDHDTKGSMSTVRGFGRVGGESLAVEKDSDDPYLDFRHSMLQMILEKEIYSKDDLRELLNCFLQLNSPYYHGIIIRAFTEIWNGVFNVKPGGGGAGASPELHFGFRPRDF >KJB57423 pep chromosome:Graimondii2_0_v6:9:12497416:12497971:-1 gene:B456_009G162900 transcript:KJB57423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHMDCEGCAVKIKKALGKLKGVDSVDVDMRMQKVTVMGWAASDQKKMLERVRKSGKRAELWPYPYKPDNQFYYEYYEREPTITYHATGHSSSYNYYKHGNDGHDHGYYQPPPYSTLVDEQANSIFSDENPHACSIM >KJB57422 pep chromosome:Graimondii2_0_v6:9:12497403:12498265:-1 gene:B456_009G162900 transcript:KJB57422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILEMRVHMDCEGCAVKIKKALGKLKGVDSVDVDMRMQKVTVMGWAASDQKKMLERVRKSGKRAELWPYPYKPDNQFYYEYYEREPTITYHATGHSSSYNYYKHGNDGHDHGYYQPPPYSTLVDEQANSIFSDENPHACSIM >KJB55823 pep chromosome:Graimondii2_0_v6:9:7032689:7034732:-1 gene:B456_009G096800 transcript:KJB55823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATAVLRSIPILMTFLMLLEQFALSHSQVENQFIFNGFNGANRHHNGIAEIQPNGLLQLTNTSKRQIGRAFFPFPLKFNNSSSNISDSLSFSANFVFAIVPEETDPSGGHGIAFTIAPTMELIGAISNQYLGLFNSSSNGLSSNHVFAIELDTVQSPEFGAINGDHVGIDVNNLRSLESAPTAYFVEDEGQNRSLELLNGNPMQLWIDSRKWTMVSSQYILGWSFSKIGKAQSLDYSKLPSLPRRKSKANGDLQIIIPVIVVIALLVTIFGGAFAYVVRRKTYVEIREDWEKEYSPQRFSFKDLYKATEGFKEKELLGRRGFGKVYRGVLPSSSEQVAVKRISHNSEEGMKEFVSEIVSMGRLRHRNLVQLLGYCRRKGELLLVYVYMPNGSLDKFLFNNSKPNLSWDQRFQILKGVASALLYLHEEWEQVVLHRDVKASNILLDANFNGRLGDFGLARLCDHGYIAPELTRTGKATTSTDVFAFGIFILELASGRKPLEPQLEPEETFLTDRVLELWKAGAILYAADPRLEGEYVADEMELVLKLGLLCTNPIAANGPSTRQMVQYLDGIVTLPGIPLDGHIASTDCVVSLATSYEENSVSANSCSSPCLS >KJB59331 pep chromosome:Graimondii2_0_v6:9:20203299:20205940:-1 gene:B456_009G249600 transcript:KJB59331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTWNKNKSNNKKRSREAIASYPNLPFDLQDPSHDDMQLQNQEHAHSQHQDKPSADALDSDFKQLAQFFQAQGNKLAEDGKFREALGKWETALNLMPENAVLLEQKAQVLLELGDAWSALKAATRATELESSWAEAWITLGRSQLNFGEPDSAIESFGRALAIKPDSVEAQDDRKTASHLIKKRKQLHSSGLSTDKNRYMVGDSTESS >KJB55803 pep chromosome:Graimondii2_0_v6:9:6948833:6951947:-1 gene:B456_009G095600 transcript:KJB55803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRFQLFLNISFFLILAIGQSSIAAANDDRKASVVFVTNNGSVEESMIRSYRRSLNGFAAKLTEEEVEELANMKGVVSIIPNRIYHPRTTRSWDFMGFSNTVRRNPTVESNVIIGVIDSGIWPELPSFSDKGFGPPPKKWKGVCRGGKNFTCNNKVIGARFYKSVPSGDESARDNSGHGTHTASTAAGNVVKDVSFFGLAQGTARGGVPSARIAAYKVCHKKGCESVDILAAFDDAIADGVDILSVSLGSAESTEFFDDVIAIGSFHAMEKGILTLNSAGNNGVAGPLGVESVAPWMVAVAASTTDRRLSTKVVLGNGKTLNGFSVNPDTFNGKKLPLLYGREVSSICSEDDARLCSESCVDSKLVKGKIVLCDNSGSDSVVYSAGAAGSIVLDDLPVSFITPLPSPGLNARDYDLLLSYKNSTKNPVAEILRSESIKESYSPVVAQFSSLGPNFIAPDILKPDITAPGAEILAAYSPISSPSGSPVDKRRVKYSILSGTSMSCPHVAGIAAYVKTFHPDWSPSAIKSALMTTAWAMDRTKNPDGEISYGSGHVNPVRAIVPGLVYETSKEDYIKLMCSLGYTQDNIKQISGEISACPVKSKNVPARDMNYPSLAASVPTVKSFTVSFRRTVKNVGLPNSIYRVQISPNPKLKVEVVPKVLTFKALKETKTFTVTVSGGGLSAKSMESTTLVWSDGTHIVRSPIVVHTYPSIKSS >KJB53828 pep chromosome:Graimondii2_0_v6:9:712137:714356:1 gene:B456_009G008500 transcript:KJB53828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMDMESPLPPSPIGFEGFEKRLEITFFDPPVFNDPNGYGLRALSRAQIDSILEPACCTIVSQLSNSNFDSYVLSESSLFIYPNKIILKTCGTTKLLLSIPPILQLSNSISLTVSRVNYSRGSFIFPDHQPSPHRNFSEEVAMLNGYFTDFITEAYIIGNPKLQNRSWHIYSAVPKSSPPFVEDQRAEITLEMCMTGLNREKAGVFYKKSGDENHSAREMTKLSGIADIIPSHVICDFEFDPCGYSMNGIDGLAYSTVHVTPEDGFSYASYEFMGLDLETIKHEPLVKRVLTCFGPKEFSVAITCNGGVPVWFMEVADVEGYTSQYTVKQELPRGGCVVYRTYSSVGERCMVRIPTKLAMQQRCWEAAAEEEEEQEVAGGGAVVCQCISSA >KJB53827 pep chromosome:Graimondii2_0_v6:9:712043:714377:1 gene:B456_009G008500 transcript:KJB53827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMDMESPLPPSPIGFEGFEKRLEITFFDPPVFNDPNGYGLRALSRAQIDSILEPACCTIVSQLSNSNFDSYVLSESSLFIYPNKIILKTCGTTKLLLSIPPILQLSNSISLTVSRVNYSRGSFIFPDHQPSPHRNFSEEVAMLNGYFTDFITEAYIIGNPKLQNRSWHIYSAVPKSSPPFVEDQRAEITLEMCMTGLNREKAGVFYKKSGDENHSAREMTKLSGIADIIPSHVICDFEFDPCGYSMNGIDGLAYSTVHVTPEDGFSYASYEFMGLDLETIKHEPLVKRVLTCFGPKEFSVAITCNGGVPVWFMEVADVEGYTSQYTVKQELPRGGCVVYRTYSSVGERCMVRIPTKLAMQQRCWEAAAEEEEEQEVAGGGAVVCQCISSA >KJB60799 pep chromosome:Graimondii2_0_v6:9:32609495:32611006:-1 gene:B456_009G326300 transcript:KJB60799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTQEKKFVCKFCNKRYPCGKSLGGHIRTHMNNDNRGESEAAAPSSPAELISINKLLSNGRIVKRVAEVESTEVDGQSAAYGLRENPKKTKRFSDSGSASLLKEMICKECGKCFHSLKALCGHMACHSEKERVFCEKQKLIMDSQSDTETSSTPSKRRRSKRIRYKANGVYSNNSVSMANGSSSVSEIEQEQEEVAMCLMMLSRDSAGCYKKGLNSIADSSDNNSVILEAKSSSIDVRITINNGEFLKMKKQRDNKLQPAESGPSSESSGSLYFRDGPKKVEPDTYASGFEDFDSKYGKGLNKFKSLNTEFPKDNNQATNRALNKYDLRRSNPKNDYYNHEVLCNNAPKASKYECLTCNKAFDSHRALGGHRANHTKVNDYNEDSLANDGFIVPTTDNKVTKSSHGKTLNTHRGSSSGNAEKRLGSKKNKGHQCPFCFRVFKSGQALGGHKRSHFVGGSEDRTLVIKQNSPEMPTATIIDLNLPAPVEDDGMGNVGFMPWEI >KJB54805 pep chromosome:Graimondii2_0_v6:9:3599712:3604979:-1 gene:B456_009G049700 transcript:KJB54805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLFRDLSLGHSKRESTPPPLQTKPRMPPSKLTSTDLQSPLGQLASQLTDSDLRLTAYDVFLAVCRTSSSKPLSSSASASNSDSLGYNSPSQNNNHSPNSPALQRSLTSAAASKMKKALGLKSPGSKKSPGSGPGSGEGKSKRPTTVGELMRIQMRIPETVDSRVRRALLRIGGGLVGRRIESVVLPLELLQQLKQSDFTDQQEYDAWQKRNLKVLEAGLLLHPRVSLDKSNNASQRLRQIIHAALDRPIETGKNNESMQVLRSAVMSLASRSDGSFSDSCHWADGIPLNLRLYEMLLETCFDINDETSIVEEVDELMEHIKKTWVVLGINQMLHNLCFTWVLFHRFVATGQVEMDLLYAADGQLAEVAKDAKATKDPDYSKILSSTLTSVLGWAEKRLLAYHDTFDSGNIYTMQGIVSLGVSAAKILVEDVSTEYRRKRKGEVDVARNRIDTYIRSSLRTAFAQRMEKADSSRRASKNQPNPLPVLAILAKDVGELAVHETKVFSPILKGWHPLAAVLRAADKLEKDLVQIAVEDAVDSEDGGKAIIREMPPYEAETAIANLVKGWIKTRLDRLKEWVDRNLQQEVWNPQANQEGYAPSAVEILRIIDETLDAFFQLPIPTHPALLPDLMAGLDKCLQYYVMKAKSGCGTRNTYIPTMPALTRCEIGSKFQGVWKKKEKSQNSQKRNSQVATMNGDNSFGIPQLCVRINTFHRIRSEMDVLEKRIITHLRNCESAHVEDFSNGLSKKFELTPSACVEGVQLLSEAVAYKIVFHDLSHVLWDGLYVGEPSSSRIDPLLQELERNLLIISETVHERVRTRIITDTMKASCDGFLLVLLAGGPSRAFSRQDSQIIEDDFKSLKDLFWANGDGLPADLIDKFSYTVRGVLPLFRTDSESLIERFRRVTLETYGSSARSRLPLPPTSGQWNPTEPNTLLRVLCYRNDETASKFLKKTYNLPKKL >KJB54806 pep chromosome:Graimondii2_0_v6:9:3599712:3605050:-1 gene:B456_009G049700 transcript:KJB54806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLFRDLSLGHSKRESTPPPLQTKPRMPPSKLTSTDLQSPLGQLASQLTDSDLRLTAYDVFLAVCRTSSSKPLSSSASASNSDSLGYNSPSQNNNHSPNSPALQRSLTSAAASKMKKALGLKSPGSKKSPGSGPGSGEGKSKRPTTVGELMRIQMRIPETVDSRVRRALLRIGGGLVGRRIESVVLPLELLQQLKQSDFTDQQEYDAWQKRNLKVLEAGLLLHPRVSLDKSNNASQRLRQIIHAALDRPIETGKNNESMQVLRSAVMSLASRSDGSFSDSCHWADGIPLNLRLYEMLLETCFDINDETSIVEEVDELMEHIKKTWVVLGINQMLHNLCFTWVLFHRFVATGQVEMDLLYAADGQLAEVAKDAKATKDPDYSKILSSTLTSVLGWAEKRLLAYHDTFDSGNIYTMQGIVSLGVSAAKILVEDVSTEYRRKRKGEVDVARNRIDTYIRSSLRTAFAQRMEKADSSRRASKNQPNPLPVLAILAKDVGELAVHETKVFSPILKGWHPLAAGVAVATLHSCYANEIKQFISGIMELTPDAVQVLRAADKLEKDLVQIAVEDAVDSEDGGKAIIREMPPYEAETAIANLVKGWIKTRLDRLKEWVDRNLQQEVWNPQANQEGYAPSAVEILRIIDETLDAFFQLPIPTHPALLPDLMAGLDKCLQYYVMKAKSGCGTRNTYIPTMPALTRCEIGSKFQGVWKKKEKSQNSQKRNSQVATMNGDNSFGIPQLCVRINTFHRIRSEMDVLEKRIITHLRNCESAHVEDFSNGLSKKFELTPSACVEGVQLLSEAVAYKIVFHDLSHVLWDGLYVGEPSSSRIDPLLQELERNLLIISETVHERVRTRIITDTMKASCDGFLLVLLAGGPSRAFSRQDSQIIEDDFKSLKDLFWANGDGLPADLIDKFSYTVRGVLPLFRTDSESLIERFRRVTLETYGSSARSRLPLPPTSGQWNPTEPNTLLRVLCYRNDETASKFLKKTYNLPKKL >KJB54803 pep chromosome:Graimondii2_0_v6:9:3599712:3604979:-1 gene:B456_009G049700 transcript:KJB54803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKADSSRRASKNQPNPLPVLAILAKDVGELAVHETKVFSPILKGWHPLAAGVAVATLHSCYANEIKQFISGIMELTPDAVQVLRAADKLEKDLVQIAVEDAVDSEDGGKAIIREMPPYEAETAIANLVKGWIKTRLDRLKEWVDRNLQQEVWNPQANQEGYAPSAVEILRIIDETLDAFFQLPIPTHPALLPDLMAGLDKCLQYYVMKAKSGCGTRNTYIPTMPALTRCEIGSKFQGVWKKKEKSQNSQKRNSQVATMNGDNSFGIPQLCVRINTFHRIRSEMDVLEKRIITHLRNCESAHVEDFSNGLSKKFELTPSACVEGVQLLSEAVAYKIVFHDLSHVLWDGLYVGEPSSSRIDPLLQELERNLLIISETVHERVRTRIITDTMKASCDGFLLVLLAGGPSRAFSRQDSQIIEDDFKSLKDLFWANGDGLPADLIDKFSYTVRGVLPLFRTDSESLIERFRRVTLETYGSSARSRLPLPPTSGQWNPTEPNTLLRVLCYRNDETASKFLKKTYNLPKKL >KJB54804 pep chromosome:Graimondii2_0_v6:9:3600296:3603656:-1 gene:B456_009G049700 transcript:KJB54804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLRSAVMSLASRSDGSFSDSCHWADGIPLNLRLYEMLLETCFDINDETSIVEEVDELMEHIKKTWVVLGINQMLHNLCFTWVLFHRFVATGQVEMDLLYAADGQLAEVAKDAKATKDPDYSKILSSTLTSVLGWAEKRLLAYHDTFDSGNIYTMQGIVSLGVSAAKILVEDVSTEYRRKRKGEVDVARNRIDTYIRSSLRTAFAQRMEKADSSRRASKNQPNPLPVLAILAKDVGELAVHETKVFSPILKGWHPLAAGVAVATLHSCYANEIKQFISGIMELTPDAVQVLRAADKLEKDLVQIAVEDAVDSEDGGKAIIREMPPYEAETAIANLVKGWIKTRLDRLKEWVDRNLQQEVWNPQANQEGYAPSAVEILRIIDETLDAFFQLPIPTHPALLPDLMAGLDKCLQYYVMKAKSGCGTRNTYIPTMPALTRCEIGSKFQGVWKKKEKSQNSQKRNSQVATMNGDNSFGIPQLCVRINTFHRIRSEMDVLEKRIITHLRNCESAHVEDFSNGLSKKFELTPSACVEGVQLLSEAVAYKIVFHDLSHVLWDGLYVGEPSSSRIDPLLQELERNLLIISETVHERVRTRIITDTMKASCDGFLLVLLAGGPSRAFSRQDSQIIEDDFKSLKDLFWANGDGLPADLIDKFSYTVRGVLPLFRTDSESLIERFRRVTLETYGSSARSRLPLPPTSGQWNPTEPNTLLRVLCYRNDETASKFLKKTYNLPKKL >KJB61258 pep chromosome:Graimondii2_0_v6:9:43494706:43495500:1 gene:B456_009G348500 transcript:KJB61258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFGGGWIMEEISEHESNPMTEDLSKNDDHSGHGFESWAIGKIMATETPNREAMYRVFKSLWYTKEEVEFAALKEGVVIVKFGCQEDRSRILNLTPWLFDRCLFSMLPFEKGKDFDSYEFWWSPFWLRIYNIPLELMDRQTALDVGNAVGKLVAIDWKDRNGGWTEFIRIKVKINVLKPLRRVVKLLDREGSEVTEVIKYERLPDFCYACGLVGHLSKTCNINKESWALTESSLQYGGWMRAPTVNLTQNRGIRRNGVEIMKQNA >KJB54948 pep chromosome:Graimondii2_0_v6:9:4071832:4079428:1 gene:B456_009G055900 transcript:KJB54948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTVKGSTMIRPAQDTPKERQWISNLDMVMATYHVPLLFFYKPNGSSDFFKPQVLKEALSKTLVPFYPMAGRLGLDENGRLEILCNAEGVLWIEAETTSAMDDLEGFTPSSELRKLVPTVDYSGDISSYPLVMAQVTTFKCGGVCLGIATHHTLTDGTTALHFINSWSELARALPQISMPPLIDRTLLRARAPPIPRFHHLEYDPPPSLNTCMSLGPNNHKPSTVSVFKITQNQLNTLKAKSSEHGNKTNYSTYTILAAYIWRCATKARGLSYDQPTKLLMPTNGRPRLHPPLPSSYLGNVIFMASSIALSGNLQSEPFVNTLGRVHGALARMDNEYLRSAIDYLETLPDIKAARGKPETYQCPSLFINKWSRLPLHDADFGWGRPLYAGPPNVVSEGQIFLVPSPTDDGSLSLVACLQTPHMKPFEEQLYQGLTSSENKKARY >KJB54947 pep chromosome:Graimondii2_0_v6:9:4071832:4074270:1 gene:B456_009G055900 transcript:KJB54947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTVKGSTMIRPAQDTPKERQWISNLDMEALSKTLVPFYPMAGRLGLDENGRLEILCNAEGVLWIEAETTSAMDDLEGFTPSSELRKLVPTVDYSGDISSYPLVMAQVTTFKCGGVCLGIATHHTLTDGTTALHFINSWSELARALPQISMPPLIDRTLLRARAPPIPRFHHLEYDPPPSLNTCMSLGPNNHKPSTVSVFKITQNQLNTLKAKSSEHGNKTNYSTYTILAAYIWRCATKARGLSYDQPTKLYMPTNGRPRLHPPLPSRYVGNAMFTASLIALSENLQSEPFVNTLERVHGTLRRMDNEYLRSALDYLETLPDITAARRAPDTFQCPNLNIINWIRLSIHDADFGWGRPIYMGPANIVHEGKIYLLPSPTDDGSLSVVACLQTAHMKLFEKHLYEGLMSFDKIKARY >KJB54946 pep chromosome:Graimondii2_0_v6:9:4071832:4074270:1 gene:B456_009G055900 transcript:KJB54946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTVKGSTMIRPAQDTPKERQWISNLDMVMATYHVPLLFFYKPNGSSDFFKPQVLKEALSKTLVPFYPMAGRLGLDENGRLEILCNAEGVLWIEAETTSAMDDLEGFTPSSELRKLVPTVDYSGDISSYPLVMAQVTTFKCGGVCLGIATHHTLTDGTTALHFINSWSELARALPQISMPPLIDRTLLRARAPPIPRFHHLEYDPPPSLNTCMSLGPNNHKPSTVSVFKITQNQLNTLKAKSSEHGNKTNYSTYTILAAYIWRCATKARGLSYDQPTKLYMPTNGRPRLHPPLPSRYVGNAMFTASLIALSENLQSEPFVNTLERVHGTLRRMDNEYLRSALDYLETLPDITAARRAPDTFQCPNLNIINWIRLSIHDADFGWGRPIYMGPANIVHEGKIYLLPSPTDDGSLSVVACLQTAHMKLFEKHLYEGLMSFDKIKARY >KJB58571 pep chromosome:Graimondii2_0_v6:9:16753578:16755629:1 gene:B456_009G215600 transcript:KJB58571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSSFVFDALYCLEENWEEEPREGYFVEVEEEGSCYNHGINQSNSFPTLLDQDLSWEGDELSSLLSKEEQNLLYDSLQSNGNLAGARREAVEWMLKVNAHYSFSALTAVLAVNYLDRFLLTFPFQSEKPWMSQLAAIACLSLAAKVEETQVPLLLDLQVEESRYVFEPKTIQRMEILVLSTLQWKMNPVTPLSFLDYIARRLGLKDHLCWEFLRRCDRTLISVISDSRFMCYLPSVMATATMLHVVDCVEPNLRMNAVS >KJB58568 pep chromosome:Graimondii2_0_v6:9:16753420:16755774:1 gene:B456_009G215600 transcript:KJB58568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSSFVFDALYCLEENWEEEPREGYFVEVEEEGSCYNHGINQSNSFPTLLDQDLSWEGDELSSLLSKEEQNLLYDSLQSNGNLAGARREAVEWMLKVNAHYSFSALTAVLAVNYLDRFLLTFPFQSEKPWMSQLAAIACLSLAAKVEETQVPLLLDLQVEESRYVFEPKTIQRMEILVLSTLQWKMNPVTPLSFLDYIARRLGLKDHLCWEFLRRCDRTLISVISDSRFMCYLPSVMATATMLHVVDCVEPNLRVEYENQLLGILGIDKEKVDECCKLITDLVTTIVQGNLSKKRRFSSSIPRSPNGVMDVWFSSDSSNDSWAVASSVSSSPEPQSKKSRTQQQQLLEKLSHGPLDFLSIPR >KJB58570 pep chromosome:Graimondii2_0_v6:9:16753578:16755629:1 gene:B456_009G215600 transcript:KJB58570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSSFVFDALYCLEENWEEEPREGYFVEVEEEGSCYNHGINQSNSFPTLLDQDLSWEGDELSSLLSKEEQNLLYDSLQSNGNLAGARREAVEWMLKVNAHYSFSALTAVLAVNYLDRFLLTFPFQSEKPWMSQLAAIACLSLAAKVEETQVPLLLDLQVEESRYVFEPKTIQRMEILVLSTLQWKMNPVTPLSFLDYIARRLGLKDHLCWEFLRRCDRTLISVISDSRFMCYLPSVMATATMLHVVDCVEPNLRVEYENQLLGILGIDKVDECCKLITDLVTTIVQGNLSKKRRFSSSIPRSPNGVMDVWFSSDSSNDSWAVASSVSSSPEPQSKKSRTQQQQLLEKLSHGPLDFLSIPR >KJB58569 pep chromosome:Graimondii2_0_v6:9:16753512:16755675:1 gene:B456_009G215600 transcript:KJB58569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSSFVFDALYCLEENWEEEPREGYFVEVEEEGSCYNHGINQSNSFPTLLDQDLSWEGDELSSLLSKEEQNLLYDSLQSNGNLAGARREAVEWMLKVNAHYSFSALTAVLAVNYLDRFLLTFPFQSEKPWMSQLAAIACLSLAAKVEETQVPLLLDLQVEESRYVFEPKTIQRMEILVLSTLQWKMNPVTPLSFLDYIARRLGLKDHLCWEFLRRCDRTLISVISDSRFMCYLPSVMATATMLHVVDCVEPNLRVEYENQLLGILGIDKEKVDECCKLITDLVTTIVQGNLSKKRRFSSSIPRSPNGVMDVWFSSDSSNDSWAVASSVSSSPEPQSKKSRTQQQQLLEKLSHGPLDFLSIPR >KJB54978 pep chromosome:Graimondii2_0_v6:9:4097918:4103911:1 gene:B456_009G056700 transcript:KJB54978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDCGYKAQYMSGQREKFVRLDELDSRLSSSSETGLKKCGFNIDGLGRSGHANNTTSRSFKRGIRKGSEGLKSIGRSLGFGVSRVVFPEDLKVSEKKIFDPQDKFLLLCNKLFFISCILAVSVDPLFFYLPVINDLQKCLTIDRKLAVTATTLRTIIDAFYLIRMALQFRTAYIAPSSRVFGRGELVIDPAQIAKRYLQRYFIIDFLAVAPLPQIVGAFWYLVAVERNDTCWQNACKDIGSDCNKDFLYCGNQQMKGYNIWKGMRDSVLNEKCPADENDDNPPFDFGIFTQALSSGIVSSTKFFSKYLYCLWWGLQNLSTLGQGLQTSTYPGEVIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRIKRRDSEQWMHHRMLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICERLKPCLFTERTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGANLPTSTRTVKALTEVEAFALIAEELKFVASQFRRLHSRQVQHTFRFHSQQWRTWAACFIQAAWRRYSKRKIMELRRKEEEEAEGSDGNRSNSGGGSYSLGATFLASKFAANALRGIHRNRNAKSAKELVKLQKPPEPDFAAEDADRYVTANF >KJB54979 pep chromosome:Graimondii2_0_v6:9:4098828:4103911:1 gene:B456_009G056700 transcript:KJB54979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDCGYKAQYMSGQREKFVRLDELDSRLSSSSETGLKKCGFNIDGLGRSGHANNTTSRSFKRGIRKGSEGLKSIGRSLGFGVSRVVFPEDLKVSEKKIFDPQDKFLLLCNKLFFISCILAVSVDPLFFYLPVINDLQKCLTIDRKLAVTATTLRTIIDAFYLIRMALQFRTAYIAPSSRVFGRGELVIDPAQIAKRYLQRYFIIDFLAVAPLPQIVVWRFLQSSNGSDVLATKQALFFVVLLQYIPRFLRVLPLTSEMKRTAGVFAETAWAGAAYYLLLYMLCSHIVGAFWYLVAVERNDTCWQNACKDIGSDCNKDFLYCGNQQMKGYNIWKGMRDSVLNEKCPADENDDNPPFDFGIFTQALSSGIVSSTKFFSKYLYCLWWGLQNLSTLGQGLQTSTYPGEVIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRIKRRDSEQWMHHRMLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICERLKPCLFTERTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGANLPTSTRTVKALTEVEAFALIAEELKFVASQFRRLHSRQVQHTFRFHSQQWRTWAACFIQAAWRRYSKRKIMELRRKEEEEAEGSDGNRSNSGGGSYSLGATFLASKFAANALRGIHRNRNAKSAKELVKLQKPPEPDFAAEDADRYVTANF >KJB54977 pep chromosome:Graimondii2_0_v6:9:4097678:4103911:1 gene:B456_009G056700 transcript:KJB54977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDCGYKAQYMSGQREKFVRLDELDSRLSSSSETGLKKCGFNIDGLGRSGHANNTTSRSFKRGIRKGSEGLKSIGRSLGFGVSRVVFPEDLKVSEKKIFDPQDKFLLLCNKLFFISCILAVSVDPLFFYLPVINDLQKCLTIDRKLAVTATTLRTIIDAFYLIRMALQFRTAYIAPSSRVFGRGELVIDPAQIAKRYLQRYFIIDFLAVAPLPQIVVWRFLQSSNGSDVLATKQALFFVVLLQYIPRFLRVLPLTSEMKRTAGVFAETAWAGAAYYLLLYMLCSHIVGAFWYLVAVERNDTCWQNACKDIGSDCNKDFLYCGNQQMKGYNIWKGMRDSVLNEKCPADENDDNPPFDFGIFTQALSSGIVSSTKFFSKYLYCLWWGLQNLSTLGQGLQTSTYPGEVIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRIKRRDSEQWMHHRMLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICERLKPCLFTERTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGANLPTSTRTVKALTEVEAFALIAEELKFVASQFRRLHSRQVQHTFRFHSQQWRTWAACFIQAAWRRYSKRKIMELRRKEEEEAEGSDGNRSNSGGGSYSLGATFLASKFAANALRGIHRNRNAKSAKELVKLQKPPEPDFAAEDADRYVTANF >KJB54980 pep chromosome:Graimondii2_0_v6:9:4099159:4103911:1 gene:B456_009G056700 transcript:KJB54980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFRLDELDSRLSSSSETGLKKCGFNIDGLGRSGHANNTTSRSFKRGIRKGSEGLKSIGRSLGFGVSRVVFPEDLKVSEKKIFDPQDKFLLLCNKLFFISCILAVSVDPLFFYLPVINDLQKCLTIDRKLAVTATTLRTIIDAFYLIRMALQFRTAYIAPSSRVFGRGELVIDPAQIAKRYLQRYFIIDFLAVAPLPQIVVWRFLQSSNGSDVLATKQALFFVVLLQYIPRFLRVLPLTSEMKRTAGVFAETAWAGAAYYLLLYMLCSHIVGAFWYLVAVERNDTCWQNACKDIGSDCNKDFLYCGNQQMKGYNIWKGMRDSVLNEKCPADENDDNPPFDFGIFTQALSSGIVSSTKFFSKYLYCLWWGLQNLSTLGQGLQTSTYPGEVIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRIKRRDSEQWMHHRMLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICERLKPCLFTERTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGANLPTSTRTVKALTEVEAFALIAEELKFVASQFRRLHSRQVQHTFRFHSQQWRTWAACFIQAAWRRYSKRKIMELRRKEEEEAEGSDGNRSNSGGGSYSLGATFLASKFAANALRGIHRNRNAKSAKELVKLQKPPEPDFAAEDADRYVTANF >KJB63204 pep chromosome:Graimondii2_0_v6:9:67434894:67436728:1 gene:B456_009G4296002 transcript:KJB63204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLTSSSSSDVSKKKKALPIETAFRLPSPLPTWPPGEGFASGSIDLGGIHVCQCGISSSSTKVWATHEGGPGNLGASFFEPSSIPDGYYMLGCYGQPNNRLLSGWVLAAKDDSSDDSLLKQPIDYTLVWSSESLKIKQDGNGYIWLPIAPQGYKAVGHVITNTKDKPSPQKIRCVRSDFTDETENDTWIWGPGKEVDAKGINFFSSRPINRGTQHMGVCVGTFVAQNPPLPCLKNVKANLSYMPNLRQIDTLFQAYSPWIYFHPNEAYLPSSVSWFFVNGALLYKKGEESKPVPIQ >KJB54190 pep chromosome:Graimondii2_0_v6:9:1902036:1903519:1 gene:B456_009G024700 transcript:KJB54190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNSTNIVASFVVKTYQMVNDPMTNTVITWGKANNSFIVIDHLVFTQRILPVYFKHSNFSSFVRQLNTYGFKKVDPDKWEFANEWFLRGQMHLLKNIVRRKHNKNLNRQVKAEGLNEEEEEEEEDIVMEIAKLKEEQKSLEEEIKGMNKRLEATERRPQQMMAFLYKVVEDPDLLPRMLLEKEHKKQLNPNKKRRLMTVMPSSAQSSSSSLAVSSNSVKSEEEDECHAGTISSLETGYGIGNNNFRYSSYQSSPSPEDSKELLGHNNNQFVGQFMNYGCATVTRQLPSVIVAPSVIVNGLTVSSSVTSSKAGYADRNEQVGYFGELVAEEMEARPPLPYPFSLLEGGF >KJB53573 pep chromosome:Graimondii2_0_v6:9:17458953:17459403:-1 gene:B456_009G224200 transcript:KJB53573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLGVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFSISLITKLLGRIYYFDALSKKPELCLLVLQLLLMVLAWFLHAAVIAVHLIT >KJB63001 pep chromosome:Graimondii2_0_v6:9:70067779:70068264:1 gene:B456_009G449500 transcript:KJB63001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTEKQKQKQSLSQFHRKLTRLLLSVSIFSLFFSHSLFHSLNSTFHDTLAFKFLSHNIEKNFIFLLCNGLLVFLAKFSGLISSSSKHNNDHQSFKSYEYVTQTESTSLDPKTPLLEKEENAGLDEEELKRASVDEPFVEEMNKRFDEFIRKVKEELSTEA >KJB61758 pep chromosome:Graimondii2_0_v6:9:51270024:51274483:-1 gene:B456_009G378300 transcript:KJB61758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGLCKTGNTGRAVRFLRLMESRGYEPNIVAYNTILDCLCKNGLLKEALDLFSEVKVKGIRPDIFTYTCLIHGMCFGPAGGGNKAFE >KJB58538 pep chromosome:Graimondii2_0_v6:9:16609093:16611163:-1 gene:B456_009G214000 transcript:KJB58538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNQNSNLPLVDIGGGSSRASSPYHFPPGCRFYPSEEELLNHYLTGKNSSVAADRSDAYGSDFIRELHLYDYKPSDLPEGACFVHGYKGRRRHWFCYTKSKGGRGRRRAKGGFWRKIGKVKDVFGGGNVKLGTRTKFVFYEMNSVKAALRTPWIMYEYALLHRHKASFVLCRVFIKSRARNSVSENVLSCAEETVSAIRHIGIQHDGFLRPDTLEAEINGDDFTKELDDPITTGPVSVASFEFPSGIPPDLPDDMVGPRLTTSELLSIIEADFIELDDLS >KJB58540 pep chromosome:Graimondii2_0_v6:9:16609250:16611672:-1 gene:B456_009G214000 transcript:KJB58540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNQNSNLPLVDIGGGSSRASSPYHFPPGCRFYPSEEELLNHYLTGKNSSVAADRSDAYGSDFIRELHLYDYKPSDLPEGACFVHGYKGRRRHWFCYTKSKGGRGRRRAKGGFWRKIGKVKDVFGGGNVKLGTRTKFVFYEMNSVKAALRTPWIMYEYALLHRHKASFVLCRVFIKSRARNSVSENVLSCAEETVSAIRHIGIQHDGFLRPDTLEAEINGDDFTKELDDPITTGPVSVASFEFPSGIPPDLPDDMVT >KJB58539 pep chromosome:Graimondii2_0_v6:9:16608225:16611672:-1 gene:B456_009G214000 transcript:KJB58539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNQNSNLPLVDIGGGSSRASSPYHFPPGCRFYPSEEELLNHYLTGKNSSVAADRSDAYGSDFIRELHLYDYKPSDLPEGACFVHGYKGRRRHWFCYTKSKGGRGRRRAKGGFWRKIGKVKDVFGGGNVKLGTRTKFVFYEMNSVKAALRTPWIMYEYALLHRHKASFVLCRVFIKSRARNSVSENVLSCAEETVSAIRHIGIQHDGFLRPDTLEAEINGDDFTKELDDPITTGPVSVASFEFPSGIPPDLPDDMVGPRLTTSELLSIIEADFIELDDLS >KJB58726 pep chromosome:Graimondii2_0_v6:9:17395869:17396583:1 gene:B456_009G223300 transcript:KJB58726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKREAINLVSSVAMFAPFFLFYLKLSEEDWTCLKLNSNLAGKGKQILPIFICPSLNSVTVLFSLLLLHALFSIVCAITCLPLPCKITTTLITITFLTSNPVPFFGF >KJB54602 pep chromosome:Graimondii2_0_v6:9:3023640:3025598:1 gene:B456_009G041000 transcript:KJB54602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQQQLEPWTDLSGKVVMVTGASSGLGRDFCLDLSKGGCRIVAAARRVDRLKSLCDEINHLTFPFSGPQPSGPRAFAVELDVCADGSTIQSSVKAAWDAFGRIDALINNAGVRGNVKDPLELTEEEWNQNIRTNLTGSWLVSKYVCMLMRDAKQGGSVINISSIAGLNRGQLPGGLAYASSKAGLNTMTKVIILLKTFVDVLKLYEFLTFSTFYAILSLENLSICEHQV >KJB54601 pep chromosome:Graimondii2_0_v6:9:3023622:3027563:1 gene:B456_009G041000 transcript:KJB54601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQQQLEPWTDLSGKVVMVTGASSGLGRDFCLDLSKGGCRIVAAARRVDRLKSLCDEINHLTFPFSGPQPSGPRAFAVELDVCADGSTIQSSVKAAWDAFGRIDALINNAGVRGNVKDPLELTEEEWNQNIRTNLTGSWLVSKYVCMLMRDAKQGGSVINISSIAGLNRGQLPGGLAYASSKAGLNTMTKTMAMELGMHKIRVNSISPGLFKSEITEGLLKKAWLQEVATKINPLRTFGTADPALTSLVRYLIHDSSEYVTGNIFIVDAGSTLPGVPIFSSL >KJB62152 pep chromosome:Graimondii2_0_v6:9:58595136:58596329:-1 gene:B456_009G403000 transcript:KJB62152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLHPQDMKNTTPHQPPEQPNETQQPDHDGTSSGMETCHVKCRGSSPAPEVGADHEVKEEEAMRADESEEDNGREKLKRHRIEVAGNVWIPDIWGQEELLKDWIDCSAFNDSLVPHGIMSARAALVEEGRRANSGGFRIENRIEDAVCEVSQNHGYHQEHIVGEKLSPTLQHG >KJB53342 pep chromosome:Graimondii2_0_v6:9:48896063:48897492:1 gene:B456_009G3654002 transcript:KJB53342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAGEEKKKFSIWDLPDVPMGQLPPHLELQRSRVSCNKDAPIHTESIQYSGAYASMGIDNGSRLDRFSNNFRVEVVRLNEDDMEFDMIVIDAAIANSFRRILIAELPTMAIEKVLIANKTSIIQDEVLAHRLGLVPIRVDPRLFDYLSKNDQPNEKNTIVFKLHVQCKRGSPRIT >KJB53345 pep chromosome:Graimondii2_0_v6:9:48896063:48898193:1 gene:B456_009G3654002 transcript:KJB53345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAGEEKKKFSIWDLPDVPMGQLPPHLELQRSRVSCNKDAPIHTESIQYSGAYASMGIDNGSRLDRFSNNFRVEVVRLNEDDMEFDMIVIDAAIANSFRRILIAEKMISQMKRTPLFSNSMFSVKEVVHVLQG >KJB53340 pep chromosome:Graimondii2_0_v6:9:48896063:48897492:1 gene:B456_009G3654002 transcript:KJB53340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAGEEKKKFSIWDLPDVPMGQLPPHLELQRSRVSCNKDAPIHTESIQYSGAYASMGIDNGSRLDRFSNNFRVEVVRLNEDDMEFDMIVIDAAIANSFRRILIAELPTMAIEKVLIANKTSIIQDEVLAHRK >KJB53344 pep chromosome:Graimondii2_0_v6:9:48896063:48898193:1 gene:B456_009G3654002 transcript:KJB53344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAGEEKKKFSIWDLPDVPMGQLPPHLELQRSRVSCNKDAPIHTESIQYSGAYASMGIDNGSRLDRFSNNFRVEVVRLNEDDMEFDMIVIDAAIANSFRRILIAELPTMAIEKVLIANKTSIIQDEVLAHRLGLVPIRVDPRLFDYLSKNDQPNEKNTIVFKLHVQCKRGSPRITGVI >KJB53341 pep chromosome:Graimondii2_0_v6:9:48896063:48897492:1 gene:B456_009G3654002 transcript:KJB53341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLPPHLELQRSRVSCNKDAPIHTESIQYSGAYASMGIDNGSRLDRFSNNFRVEVVRLNEDDMEFDMIVIDAAIANSFRRILIAELPTMAIEKVLIANKTSIIQDEVLAHRLGLVPIRVDPRLFDYLSKNDQPNEKNTIVFKLHVQCKRGSPRIT >KJB53343 pep chromosome:Graimondii2_0_v6:9:48896063:48897492:1 gene:B456_009G3654002 transcript:KJB53343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAGEEKKKFSIWDLPDVPMGQLPPHLELQRSRVSCNKDAPIHTESIQYSGAYASMGIDNGSRLDRFSNNFRVEVVRLNEDDMEFDMIVIDAAIANSFRRILIAEKMISQMKRTPLFSNSMFSVKEVVHVLQ >KJB58683 pep chromosome:Graimondii2_0_v6:9:17830878:17831069:-1 gene:B456_009G227500 transcript:KJB58683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVMIFSSTGKLYDFASTRFF >KJB58682 pep chromosome:Graimondii2_0_v6:9:17830375:17831334:-1 gene:B456_009G227500 transcript:KJB58682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVMIFSSTGKLYDFASTRFF >KJB61213 pep chromosome:Graimondii2_0_v6:9:41785255:41787490:1 gene:B456_009G345800 transcript:KJB61213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQRLKRKRIYRPYSRAQFLSRRSLILCLSFFVFLMFLSSERFPIRTVSFHPVVSVPSLSILSKNSLQDSFHAKLRFPLSVEDRVLFPDHLLLLVSDKIDEAEKLDCVYHKVLNHKDVVRQRVLSVDEFDGFRSVVRCPLPPWNYSAAAGLMWRGHGVDYSLSLRSNRTVHSWDRLVYEAAFDGKTAVVFAKGLNLRPHKESDPNRFMCQFGLKNSDEEDEGFVVMTEAIVAAQEVVRCSLPSSIRNNRDLAQGIRVTVVLASRNDVEHVQMPSAVRFRNSRSYDHRRNRMRQKENIAVPSVAKLYNSKSYQTKRNDGKFELCACTMLWNQAPALREWIMYHTWLGVERWFIYDNNSDDGIQDVIEELDFQDYNVSRHTWPWIKTQEAGFSHCALRARNECKWVGFFDVDEFYYFPRHHRRGLPGQNLLRSLVANYSSSRTIAEIRTACHSFGPSGLSSPPLQGVTVGYTCRLQSPERHKSIVRPDLLNETLLNVVHHFQLKKGFRYLNVPESSIIINHYKYQVWETFRAKFFRRVATYVVDWQENQNQGSKDRAPGLGTEAIEPPNWRKQFCEVWDTGLRDFVLANLADPATGSLPWEKSLL >KJB61498 pep chromosome:Graimondii2_0_v6:9:47708253:47709937:1 gene:B456_009G362000 transcript:KJB61498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKIEFDIISVIEFNRNGDHLAIGDRGGRVVLFERTDTQDHVGHRRDLEKMDYPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSSNGALFLLSTNDKTIKLWKVQEKKVKKVCNMNVYSTKAMGNGPIVGSSISTSSKQYIANGGCTSNDFSFPTGGFPSLHLPVVVVVNPECLFVKILWNQLLFFCHFSMV >KJB61248 pep chromosome:Graimondii2_0_v6:9:43145721:43147174:1 gene:B456_009G347900 transcript:KJB61248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQFHITITSLFLFSMASFVVILGSFTLKLLVSEGSSSCYPRLNRFSSPLTTSPYSYILCNFAFLSSPPHPPFNSSSEPKTPPVPNDNDTRPHSVTDDRELMRRAASILETSCNPTPKVAFMFLSRGSLPLAPLWEKFFMGHEGLYSIYIHTSPEFIDEPPTTSVFYKRKIPSKPVHWGTASMVDAERRLLANALLDCANQRFVLLSEACIPLFNFTTVYNYLIKSRQSFIGSFDDPRVTGRGRYNKRMWPTVSLANWRKGSQWFEVNRNLAVEILSDEKYYPIFRNHCIPPCYVDEHYVPTLVNIMSPEVNSNRSITWVDWSKGGPHPKQYVRKDVSMALLNQVRKGFNCTYNGHTTSMCFLFARKFHPSTLEPLLRLAPALLDSMN >KJB58352 pep chromosome:Graimondii2_0_v6:9:16106183:16107272:1 gene:B456_009G207700 transcript:KJB58352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRIFDLSLLMPSLTTIVQLDKLTILELFSNEFEGPILKDIGQISKLEQLLLHINNFTGYLPPSLMSCTNLVTLNLRVNHLEGDLSAFNFSTLQRLNTLDLGNNNFTGTLPLSLYSCKSLTAVRLASNQLEGHISLAILVLRSLSFLSISTNKLTNITGAIRILKEVKNLTTLILTKNFMNEAIPNDENIIGEGFQNLQILALGGCNFTGQVPKWLAKLKNLEVLDLSQNRISGLIPSWLGSLPNIFYIDLSANLISGEFPKELTSLWALATQESNNQVDRSYLELLVFVMPNNATSQQRYNQLSSLPPAIYLRNNNLGGNIPEAPIAISSCVRSQPE >KJB59670 pep chromosome:Graimondii2_0_v6:9:22154382:22167822:-1 gene:B456_009G266900 transcript:KJB59670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSNLGSVPEEEQHREESNKPVVSAFASLPDRRPTKSSEKYSPLDWSGFFDREEDVCIPDSNDVFHLYMAGTEGPVVFCLHGGGYSGLSFALSASKIKEKARVVAMDLRGHGKSSTENDLDLSIETMCNDVFAVLKALYGDSPPAIVLVGHSMGGSVAVHIAAKKTLRSLAGLVVVDVVEGTAMASLVHMQKILSGRMQHFSSIEKAIEWSVRGGSLRNIDSARVSIPTTLKYDDSKNCYVYRARLEETEQYWRGWYEGLSEKFLSSPVPKLLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPDEFATLIINFISRNRIGPHGVEIPGLARPKPAQAQGQSPAQTKS >KJB59672 pep chromosome:Graimondii2_0_v6:9:22155130:22167770:-1 gene:B456_009G266900 transcript:KJB59672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSNLGSVPEEEQHREESNKPVVSAFASLPDRRPTKSSEKYSPLDWSGFFDREEDVCIPDSNDVFHLYMAGTEGPVVFCLHGGGYSGLSFALSASKIKEKARVVAMDLRGHGKSSTENDLDLSIETMCNDVFAVLKALYGDSPPAIVLVGHSMGGSVAVHIAAKKTLRSLAGLVVVDVVEGTAMASLVHMQKILSGRMQHFSSIEKAIEWSVRGGSLRNIDSARVSIPTTLKYDDSKNCYVYRARLEETEQYWRGWYEGLSEKFLSSPVPKLLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQVHINYISSDVLFGIFIYL >KJB59671 pep chromosome:Graimondii2_0_v6:9:22154451:22167770:-1 gene:B456_009G266900 transcript:KJB59671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSNLGSVPEEEQHREESNKPVVSAFASLPDRRPTKSSEKYSPLDWSGFFDREEDVCIPDSNDEQKDQLFFVYMGAATLGIKIKEKARVVAMDLRGHGKSSTENDLDLSIETMCNDVFAVLKALYGDSPPAIVLVGHSMGGSVAVHIAAKKTLRSLAGLVVVDVVEGTAMASLVHMQKILSGRMQHFSSIEKAIEWSVRGGSLRNIDSARVSIPTTLKYDDSKNCYVYRARLEETEQYWRGWYEGLSEKFLSSPVPKLLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPDEFATLIINFISRNRIGPHGVEIPGLARPKPAQAQGQSPAQTKS >KJB63109 pep chromosome:Graimondii2_0_v6:9:70503249:70507206:1 gene:B456_009G453800 transcript:KJB63109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKVQVGVQSHLEQMGPLDDRTMEDLDDECLFPSEMDAQKTQSVDPNQHISVSGSLPFNGNKMTRNGVYKSIKTVVFSNKLNLLMPFGPLAILVHKMTDSNGWVFFLSLLGITPLAERLGYATEQLAFFTGPTVGGLLNATFGNATELIISIYALKSGMKRVVQLSLLGSILSNMLLVLGCAFFCGGLAYHGKEQVFSKATAVVNSGLLLMAVMGLLFPAVLHYTHTERHAGKSELALSRFSSCVMLLAYAAYLVFQLKSQTDHYVPLGEEVNQNGENLDNNDDDEDEAPEISKWESIIWLLIMTVWISILSDYLVDTIEGASDAWDVPIAFISVILLPIIGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVIGWMMGQEMDLNFQLFETATLFITVIVVAFFLQEGTSNYFKGLMLILCYLIVAASFFVHEDPPTDDQSKQPGT >KJB63108 pep chromosome:Graimondii2_0_v6:9:70502993:70507135:1 gene:B456_009G453800 transcript:KJB63108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKVQVGVQSHLEMGPLDDRTMEDLDDECLFPSEMDAQKTQSVDPNQHISVSGSLPFNGNKMTRNGVYKSIKTVVFSNKLNLLMPFGPLAILVHKMTDSNGWVFFLSLLGITPLAERLGYATEQLAFFTGPTVGGLLNATFGNATELIISIYALKSGMKRVVQLSLLGSILSNMLLVLGCAFFCGGLAYHGKEQVFSKATAVVNSGLLLMAVMGLLFPAVLHYTHTERHAGKSELALSRFSSCVMLLAYAAYLVFQLKSQTDHYVPLGEEVNQNGENLDNNDDDEDEAPEISKWESIIWLLIMTVWISILSDYLVDTIEGASDAWDVPIAFISVILLPIIGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVIGWMMGQEMDLNFQLFETATLFITVIVVAFFLQEGTSNYFKGLMLILCYLIVAASFFVHEDPPTDGQFIRSFLRMSHSVQFSRKWLVM >KJB63107 pep chromosome:Graimondii2_0_v6:9:70502852:70507206:1 gene:B456_009G453800 transcript:KJB63107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKVQVGVQSHLEMGPLDDRTMEDLDDECLFPSEMDAQKTQSVDPNQHISVSGSLPFNGNKMTRNGVYKSIKTVVFSNKLNLLMPFGPLAILVHKMTDSNGWVFFLSLLGITPLAERLGYATEQLAFFTGPTVGGLLNATFGNATELIISIYALKSGMKRVVQLSLLGSILSNMLLVLGCAFFCGGLAYHGKEQVFSKATAVVNSGLLLMAVMGLLFPAVLHYTHTERHAGKSELALSRFSSCVMLLAYAAYLVFQLKSQTDHYVPLGEEVNQNGENLDNNDDDEDEAPEISKWESIIWLLIMTVWISILSDYLVDTIEGASDAWDVPIAFISVILLPIIGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVIGWMMGQEMDLNFQLFETATLFITVIVVAFFLQEGTSNYFKGLMLILCYLIVAASFFVHEDPPTDDQSKQPGT >KJB57008 pep chromosome:Graimondii2_0_v6:9:11018795:11020295:-1 gene:B456_009G145400 transcript:KJB57008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKNFLPNMDNSYESLKPNLGASTSKSNAKPCGFFPEIRSFGASSSKEDTNMMTDFRKPAKVEPKNSQMTIFFGGQVAVFNDFPADKFKEIMDLLASHGCSTASGVVVDTVMEKVKSKTVQIEPSNHEIPDLNVSTATGNSPPPPHDSSVEWHQYGGSGPSGN >KJB57006 pep chromosome:Graimondii2_0_v6:9:11017453:11020374:-1 gene:B456_009G145400 transcript:KJB57006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKNFLPNMDNSYESLKPNLGASTSKSNAKPCGFFPEIRSFGASSSKEDTNMMTDFRKPAKVEPKNSQMTIFFGGQVAVFNDFPADKFKEIMDLLASHGCSTASGVVVDTVMEKVKSKTVQIEPSNHEIPDLNVSTATGNSPPPPHDSSVEWHQYGGSGPSDLRIARRNSLHKFFEKRKERATARAPYQVNNARGSTLPPKPDENKSSHEEGQSSKEASRDLDLKL >KJB57007 pep chromosome:Graimondii2_0_v6:9:11017683:11020295:-1 gene:B456_009G145400 transcript:KJB57007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKNFLPNMDNSYESLKPNLGASTSKSNAKPCGFFPEIRSFGASSSKEDTNMMTDFRKPAKVEPKNSQMTIFFGGQVAVFNDFPADKFKEIMDLLASHGCSTASGVVVDTVMEKVKSKTVQIEPSNHEIPDLNVSTATGNSPPPPHDSSVEWHQYGGSGPSDLRIARRNSLHKFFEKRKERATARAPYQVNNARGSTLPPKPDENKSSHEEGQSSKEASRDLDLKL >KJB60652 pep chromosome:Graimondii2_0_v6:9:29997908:29999899:1 gene:B456_009G317400 transcript:KJB60652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERLKRAAETGNVDALYSFIHHDANVFKRIDKMEFVDTPLHVAAVAGNTGFAMEIMNLKPSFARKLNPDGFSPIHLALLNQQTQMVIDFLSVDKDLIRVKGKGGFTVLHHVALDENYLHLLCRFLDVCPDCIFDLTIERQTALHIAAEKNKFEAFKAMLEWIQSAFEDNKSKRSKILNFQDKDGNTVLHLAASINHPQMIKLLIECKEVDRNKTNESGFTAMDVLQRQTVGDNTESVNILNSNPLKFQKLSKFKILKDAVEEMTPETMGVLLIVFSLVLAMTYQGVLSPPGGVSQGTTHREGKSVMSTFTFLLFYISNGGAFLISWVITLLLLGTVAKSIMSFLLPIYMMMCLCLCSAMLTLSPSIILYFVTYFTPIIIYFLFWLIWSGYHPCFRTY >KJB55448 pep chromosome:Graimondii2_0_v6:9:5535559:5540641:-1 gene:B456_009G076900 transcript:KJB55448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRKSYQTLSSNAKDKGNYFQWSSAMDCCLAKLLAEQIRKGNKTDNGLNPVAYMGALAVINKKFGLDLTKEHLMNRLKTWEKQFGILKELLAQSGFKWDEKQRMVVADACVWKDYTMAHPDARQFQERPIDNYEELCIIIGNDQAIVGCLENVEETYMQSAASGDGLDAASSSDIHGDDNHVRNLRWTDAMDYYLGKSLVEKVKEGHKMGNALPWEAYDTVLSTLNEKIGFVLTKDHIRNRLKTWKKQYGTLRDLLSHPGFKWDRTWKMIIADDSVWTNYVKAHPEARTFRGRVIENYDNLCTIFANDNDVAEAVDISPVQNSMKVKDQVKNMRWTYEMDQCLSKVLAEQAKLGNKSKSDNKLRPAAYAVAVSALNKRFQLDLTKDHIRNRLKTWKKQYEILKGLLHHGDFEWDKTQTMVIANDSAWNQYIKRNPDARSFRGRIIRNLKELCAIFGSENLPESSLNSSNEDVNLVANNEAADTEELFYNQSDAAKEKGRYILWTDEMDRCLMEQLVEQQVALKAAVSVINKKFSLDLTTENIRNRLRTWKKQYRLVKELLSQHGFKWDERQKMVIANDSEWRLCIKRNPEMSRIRGRAIDNFNELHVIVGNEQADRHLSKAGDRVVNNIQNGKEAVEVPVQVMVDEDMCDNNTDDDIQVSSQRTISRSSPSRSEEALKRRRSSDIMLDVMGAMAENIGRIADALTESKGVSLDELFQMVQSIPEFDDDLIVDACEYLSFDEKRAGCL >KJB57790 pep chromosome:Graimondii2_0_v6:9:13944015:13947989:1 gene:B456_009G181200 transcript:KJB57790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSNSNNSHNLALESKQDRPNTVRIPDRVDLRGSPRSRQGSPSHSPRLGPSRFLNGEKSGASFVGAELLGKLWADRKKQPKNAKRKGGKVWYQKERVKGLVVLTALVGLFFLVDWIMLLRLQDHRVGSDDRSSRNASSVSAQVKVTKPSKGKKHYGGIYGRLLALAAHALAEGQNKREPKDLWQEPVVPASAWRPCADQRDWEPNEGKNGYIMVTANGGINQQRVAVCNAVVLARLLNATLVVPKFMYSSVWKDVSQFGDIYQEEHFIKYLAPDIRIVKELPEELKSLDLEAIGSVVTDVDVRKESKPSFYLKNILPILLQKRVVHFVGFGNRLAFDPIPFKFQRLRCRCNFHALKFVPKIQETAALLLERLHKHSAQPGLLDHYLVGPHAVAAMIARSDRAKASKYLALHLRFEIDMVAHSLCEFGGGEQEGQELEAYRQIHFPALTELKKTEKLPSPAMLRSEGLCPLTPEEAVLMLAALGFNRKTQIYVAGAQIYGGTSRLAALTSLYPNLVTKENLLSSAELEPFKNFSSQVTMRHIHFLFDEKCRFCDCCIDELLVFTKI >KJB57789 pep chromosome:Graimondii2_0_v6:9:13943889:13948042:1 gene:B456_009G181200 transcript:KJB57789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSNSNNSHNLALESKQDRPNTVRIPDRVDLRGSPRSRQGSPSHSPRLGPSRFLNGEKSGASFVGAELLGKLWADRKKQPKNAKRKGGKVWYQKERVKGLVVLTALVGLFFLVDWIMLLRLQDHRVGSDDRSSRNASSVSAQVKVTKPSKGKKHYGGIYGRLLALAAHALAEGQNKREPKDLWQEPVVPASAWRPCADQRDWEPNEGKNGYIMVTANGGINQQRVAVCNAVVLARLLNATLVVPKFMYSSVWKDVSQFGDIYQEEHFIKYLAPDIRIVKELPEELKSLDLEAIGSVVTDVDVRKESKPSFYLKNILPILLQKRVVHFVGFGNRLAFDPIPFKFQRLRCRCNFHALKFVPKIQETAALLLERLHKHSAQPGLLDHYLVGPHAVAAMIARSDRAKASKYLALHLRFEIDMVAHSLCEFGGGEQEGQELEAYRQIHFPALTELKKTENFRLPSPAMLRSEGLCPLTPEEAVLMLAALGFNRKTQIYVAGAQIYGGTSRLAALTSLYPNLVTKENLLSSAELEPFKNFSSQLAALDFIACTAADAFAMTDSGSQLSSLVSGYRIYYGGGRMPTIRPNKRRLADIFVKNNTIEWKVFEQRVRKAVRQTKHVQSRPKARSVYRYPRCKECMCRTD >KJB57788 pep chromosome:Graimondii2_0_v6:9:13943888:13948121:1 gene:B456_009G181200 transcript:KJB57788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSNSNNSHNLALESKQDRPNTVRIPDRVDLRGSPRSRQGSPSHSPRLGPSRFLNGEKSGASFVGAELLGKLWADRKKQPKNAKRKGGKVWYQKERVKGLVVLTALVGLFFLVDWIMLLRLQDHRVGSDDRSSRNASSVSAQVKVTKPSKGKKHYGGIYGRLLALAAHALAEGQNKREPKDLWQEPVVPASAWRPCADQRDWEPNEGKNGYIMVTANGGINQQRVAVCNAVVLARLLNATLVVPKFMYSSVWKDVSQFGDIYQEEHFIKYLAPDIRIVKELPEELKSLDLEAIGSVVTDVDVRKESKPSFYLKNILPILLQKRVVHFVGFGNRLAFDPIPFKFQRLRCRCNFHALKFVPKIQETAALLLERLHKHSAQPGLLDHYLVGPHAVAAMIARSDRAKASKYLALHLRFEIDMVAHSLCEFGGGEQEGQELEAYRQIHFPALTELKKTEKLPSPAMLRSEGLCPLTPEEAVLMLAALGFNRKTQIYVAGAQIYGGTSRLAALTSLYPNLVTKENLLSSAELEPFKNFSSQLAALDFIACTAADAFAMTDSGSQLSSLVSGYRIYYGGGRMPTIRPNKRRLADIFVKNNTIEWKVFEQRVRKAVRQTKHVQSRPKARSVYRYPRCKECMCRTD >KJB54745 pep chromosome:Graimondii2_0_v6:9:3419477:3422362:1 gene:B456_009G047400 transcript:KJB54745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNHPPSHRTHVPLPSDSGGNPFDDEAPPVPIHIVTNASQLPAEFLNPSPEKQLVVGFDCEGVDLCRNGTLCIMQLAFQDAIYLVDAIEGGEVLINACKPALESSYIKKVIHDCKRDSEALYFQFGIKLNNVMDTQIAYSLLEEQEGRTRLPDDNISFVGLLADPRYCGISYQEKEEVRLLLRQDPKFWKYRPLSELMVRAAADDVRFLLYIYHMMMKKLNERSLWHLAVRGALYCRCFCINDNNYADWPSIPPIPGSYISKHYYDCLFLNRVVEKYSN >KJB54746 pep chromosome:Graimondii2_0_v6:9:3419477:3422912:1 gene:B456_009G047400 transcript:KJB54746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNHPPSHRTHVPLPSDSGGNPFDDEAPPVPIHIVTNASQLPAEFLNPSPEKQLVVGFDCEGVDLCRNGTLCIMQLAFQDAIYLVDAIEGGEVLINACKPALESSYIKKVIHDCKRDSEALYFQFGIKLNNVMDTQIAYSLLEEQEGRTRLPDDNISFVGLLADPRYCGISYQEKEEVRLLLRQDPKFWKYRPLSELMVRAAADDVRFLLYIYHMMMKKLNERSLWHLAVRGALYCRCFCINDNNYADWPSIPPIPDNLMVEGDAPEEEILSILDVPQGKMGRVIGRKGVSILSIKESCKYCGNSFWGCQGPTGQGIHLRAREAGEESGSHAEGKNDGLIFDVL >KJB54744 pep chromosome:Graimondii2_0_v6:9:3419313:3422922:1 gene:B456_009G047400 transcript:KJB54744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNHPPSHRTHVPLPSDSGGNPFDDEAPPVPIHIVTNASQLPAEFLNPSPEKQLVVGFDCEGVDLCRNGTLCIMQLAFQDAIYLVDAIEGGEVLINACKPALESSYIKKVIHDCKRDSEALYFQFGIKLNNVMDTQIAYSLLEEQEGRTRLPDDNISFVGLLADPRYCGISYQEKEEVRLLLRQDPKFWKYRPLSELMVRAAADDVRFLLYIYHMMMKKLNERSLWHLAVRGALYCRCFCINDNNYADWPSIPPIPDNLMVEGDAPEEEILSILDVPQGKMGRVIGRKGVSILSIKESCNAEIHFGGAKGPPDKVFILGPVRQVRKAAAMLRGRMMD >KJB54747 pep chromosome:Graimondii2_0_v6:9:3419477:3422913:1 gene:B456_009G047400 transcript:KJB54747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNHPPSHRTHVPLPSDSECVAGGNPFDDEAPPVPIHIVTNASQLPAEFLNPSPEKQLVVGFDCEGVDLCRNGTLCIMQLAFQDAIYLVDAIEGGEVLINACKPALESSYIKKVIHDCKRDSEALYFQFGIKLNNVMDTQIAYSLLEEQEGRTRLPDDNISFVGLLADPRYCGISYQEKEEVRLLLRQDPKFWKYRPLSELMVRAAADDVRFLLYIYHMMMKKLNERSLWHLAVRGALYCRCFCINDNNYADWPSIPPIPDNLMVEGDAPEEEILSILDVPQGKMGRVIGRKGVSILSIKESCNAEIHFGGAKGPPDKVFILGPVRQVRKAAAMLRGRMMD >KJB59386 pep chromosome:Graimondii2_0_v6:9:20673024:20674057:1 gene:B456_009G252900 transcript:KJB59386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRKLVALFLWWFLFIFLVGEAAHASPSRHYSHRLKMNPNSSPRNPPRSFFGALPRSIPIPPSAPSKNHNDIGLQSSATFP >KJB58211 pep chromosome:Graimondii2_0_v6:9:15452699:15456419:1 gene:B456_009G199500 transcript:KJB58211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-T1-3 [Source:Projected from Arabidopsis thaliana (AT1G27630) UniProtKB/Swiss-Prot;Acc:Q8LBC0] MLSCQATLLVLKKLKEKDLETRVNSAQSILPGNPIQRQRKIRVLLLTETVPKFFIYFSFQFSSVTAKLPHMDSTCAASMCLPEQPHSSMRKWYFSREEIENHSPSRKDGIDLGREEQLRKSYCSFLQELGMKLKVPQVAIACAMMLCHRFYMRQSHAKNDWQTIATVSTFLACKIEETPRLLRDVIVVGYEIIYKRDPSAPGRIRQREVYDKQKELILTGERLLLATIAFDLDIELPYKPLVAAVKRLEIFPNLLKVAWNFVNDWLRTTLCLQYKPHYIAAGSMCLAAKFQKVKLPMEKGKVWWLEFDISPKQLQEVTQQMVRLLEQDKRRALSSRHERASQSASLAGNAMTQSCTLSASVGTQSGAGAESSKVSKSMDNCASQDCVKEVFPHRTSDDGASGVVEDGSGTGQPRTEESDQNQSLKIVSSVACDNKQASAKESLPSQTSDSGASTVVDNAEGDCELRTEESHHLNPSIKIVSICNTFSKSDADRIREIFKRRRCDRAANLKRTKVRDDEVDSEAWIERELEMGIELESATSRKRGRVH >KJB54755 pep chromosome:Graimondii2_0_v6:9:3425254:3430309:-1 gene:B456_009G047500 transcript:KJB54755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGTALAEIAQATKKFSEFQMVMNVLWTRLGETGKDWRYVYKALTVIEYLISHGSERAVDDIIEHTFQISSLTSFEYVEPSGKDMGINVRKKAETIVGLLSNKEKIQEARNKAAANRDKYVGLSSTGITYKSSASSYSSGGFNGGGDKYGGLSSRRESDSYRDSYKESDPYGEEKFDTDTYVKSHRGTACEIQGNSSKESRHHGSKDSKKKFSSELNDSNKYSQSTSTPSNNFDDDFDDFDPRGTSSSKPAAGSSNQVDPFVPNLIDDLFDGPAPVPMEKSAVSTDSTDVDLFADATFVSAPTKVTHESSPQAQQQVDLFASQPAITPAASPTVDIFAAGDPVVQPHIKGQVDLFASQPAITPAVSPTVDLFSTTDPVVQPAMAANVGPVNANIVDPFAAVPLNNFDGSDIFGSFTTHSDSALEEPKQNPMNDGNLNNMSTKSSQDSKAPQKKDAFQVKSGIWADSLSRGIIDLNISAPKKVSLADVGIVCGLSDVDEREKGPPTSFYMGRAMGTGSGLGKTGFASTPSTDEDGLFSSLGNHQQYQFGSFKK >KJB54749 pep chromosome:Graimondii2_0_v6:9:3425254:3430192:-1 gene:B456_009G047500 transcript:KJB54749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGTALAEIAQATKKFSEFQMVMNVLWTRLGETGKDWRYVYKALTVIEYLISHGSERAVDDIIEHTFQISSLTSFEYVEPSGKDMGINVRKKAETIVGLLSNKEKIQEARNKAAANRDKYVGLSSTGITYKSSASSYSSGGFNGGGDKYGGLSSRRESDSYRDSYKESDPYGEEKFDTDTYVKSHRGTACEIQGNSSKESRHHGSKDSKKKFSSELNDSNKYSQSTSTPSNNFDDDFDDFDPRGTSSSKPAAGSSNQVDPFVPNLIDDLFDGPAPVPMEKSAVSTDSTDVDLFADATFVSAPTKVTHESSPQQQVDLFASQPAITPAASPTVDIFAAGDPVVQPHIKGQVDLFASQPAITPAVSPTVDLFSTTDPVVQPAMAANVGPVNANIVDPFAAVPLNNFDGSDIFGSFTTHSDSALEEPKQNPMNDGNLNNMSTKSSQDSKAPQKKDAFQVKSGIWADSLSRGIIDLNISAPKKVSLADVGIVCGLSDVDEREKGPPTSFYMGRAMGTGSGLGKTGFASTPSTDEDGLFSSLGNHQQYQFGSFKK >KJB54754 pep chromosome:Graimondii2_0_v6:9:3425728:3429976:-1 gene:B456_009G047500 transcript:KJB54754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGTALAEIAQATKKFSEFQMVMNVLWTRLGETGKDWRYVYKALTVIEYLISHGSERAVDDIIEHTFQISSLTSFEYVEPSGKDMGINVRKKAETIVGLLSNKEKIQEARNKAAANRDKYVGLSSTGITYKSSASSYSSGGFNGGGDKYGGLSSRRESDSYRDSYKESDPYGEEKFDTDTYVKSHRGTACEIQGNSSKESRHHGSKDSKKKFSSELNDSNKYSQSTSTPSNNFDDDFDDFDPRGTSSSKPAAGSSNQVDPFVPNLIDDLFDGPAPVPMEKSAVSTDSTDVDLFADATFVSAPTKVTHESSPQAQQQVDLFASQPAITPAASPTVDIFAAGDPVVQPHIKGQVDLFASQPAITPAVSPTVDLFSTTDPVVQPAMAANVGPVNANIVDPFAAVPLNNFDGSDIFGSFTTHSDSALEEPKQNPMNDGNLNNMSTKSSQDSKAPQKKDAFQVKSGIWADSLSRGIIDLNISAPKKVSLADVGIVCGLSDVDEREKGPPTSFYMGRAMGTGSGLGKTGFASTPSTDEDGLFSSLGNHQQYQFGSFKK >KJB54750 pep chromosome:Graimondii2_0_v6:9:3425254:3430192:-1 gene:B456_009G047500 transcript:KJB54750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGTALAEIAQATKKFSEFQMVMNVLWTRLGETGKDWRYVYKALTVIEYLISHGSERAVDDIIEHTFQISSLTSFEYVEPSGKDMGINVRKKAETIVGLLSNKEKIQEARNKAAANRDKYVGLSSTGITYKSSASSYSSGGFNGGGDKYGGLSSRRESDSYRDSYKESDPYGEEKFDTDTYVKSHRGTACEIQGNSSKESRHHGSKDSKKKFSSELNDSNKYSQSTSTPSNNFDDDFDDFDPRGTSSSKPAAGSSNQVDPFVPNLIDDLFDGPAPVPMEKSAVSTDSTDVDLFADATFVSAPTKVTHESSPQAQQQVDLFASQPAITPAASPTVDIFAAGDPVVQPHIKGQVDLFASQPAITPAVSPTVDLFSTTDPVVQPAMAANVGPVNANIVDPFAAVPLNNFDGSDIFEPKQNPMNDGNLNNMSTKSSQDSKAPQKKDAFQVKSGIWADSLSRGIIDLNISAPKKVSLADVGIVCGLSDVDEREKGPPTSFYMGRAMGTGSGLGKTGFASTPSTDEDGLFSSLGNHQQYQFGSFKK >KJB54751 pep chromosome:Graimondii2_0_v6:9:3425728:3429976:-1 gene:B456_009G047500 transcript:KJB54751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGTALAEIAQATKKFSEFQMVMNVLWTRLGETGKDWRYVYKALTVIEYLISHGSERAVDDIIEHTFQISSLTSFEYVEPSGKDMGINVRKKAETIVGLLSNKEKIQEARNKAAANRDKYVGLSSTGITYKSSASSYSSGGFNGGGDKYGGLSSRRESDSYRDSYKESDPYGEEKFDTDTYVKSHRGTACEIQGNSSKESRHHGSKDSKKKFSSELNDSNKYSQSTSTPSNNFDDDFDDFDPRGTSSSSKKNIHFLKPAAGSSNQVDPFVPNLIDDLFDGPAPVPMEKSAVSTDSTDVDLFADATFVSAPTKVTHESSPQAQQQVDLFASQPAITPAASPTVDIFAAGDPVVQPHIKGQVDLFASQPAITPAVSPTVDLFSTTDPVVQPAMAANVGPVNANIVDPFAAVPLNNFDGSDIFGSFTTHSDSALEEPKQNPMNDGNLNNMSTKSSQDSKAPQKKDAFQVKSGIWADSLSRGIIDLNISAPKKVSLADVGIVCGLSDVDEREKGPPTSFYMGRAMGTGSGLGKTGFASTPSTDEDGLFSSLGNHQQYQFGSFKK >KJB54752 pep chromosome:Graimondii2_0_v6:9:3425903:3429976:-1 gene:B456_009G047500 transcript:KJB54752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGTALAEIAQATKKFSEFQMVMNVLWTRLGETGKDWRYVYKALTVIEYLISHGSERAVDDIIEHTFQISSLTSFEYVEPSGKDMGINVRKKAETIVGLLSNKEKIQEARNKAAANRDKYVGLSSTGITYKSSASSYSSGGFNGGGDKYGGLSSRRESDSYRDSYKESDPYGEEKFDTDTYVKSHRGTACEIQGNSSKESRHHGSKDSKKKFSSELNDSNKYSQSTSTPSNNFDDDFDDFDPRGTSSSKPAAGSSNQVDPFVPNLIDDLFDGPAPVPMEKSAVSTDSTDVDLFADATFVSAPTKVTHESSPQAQQQVDLFASQPAITPAASPTVDIFAAGDPVVQPHIKGQVDLFASQPAITPAVSPTVDLFSTTDPVVQPAMAANVGPVNANIVDPFAAVPLNNFDGSDIFGSFTTHSDSALEEPKQNPMNDGNLNNMSTKSSQDSKAPQKKDAFQVKSGIWADSLSRGIIDLNISARKLSHSSLYFSLIKCSSILNFLLLLLCHLKPCSQEGFTGRCWNSLRIK >KJB54748 pep chromosome:Graimondii2_0_v6:9:3425728:3429976:-1 gene:B456_009G047500 transcript:KJB54748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGTALAEIAQATKKFSEFQMVMNVLWTRLGETGKDWRYVYKALTVIEYLISHGSERAVDDIIEHTFQISSLTSFEYVEPSGKDMGINVRKKAETIVGLLSNKEKIQEARNKAAANRDKYVGLSSTGITYKSSASSYSSGGFNGGGDKYGGLSSRRESDSYRDSYKESDPYGEEKFDTDTYVKSHRGTACEIQGNSSKESRHHGSKDSKKKFSSELNDSNKYSQSTSTPSNNFDDDFDDFDPRGTSSSKPAAGSSNQVDPFVPNLIDDLFDGPAPVPMEKSAVSTDSTDVDLFADATFVSAPTKVTHESSPQAQQQVDLFASQPAITPAASPTVDIFAAGDPVVQPHIKGQVDLFASQPAITPAVSPTVDLFSTTDPVVQPAMAANVGPVNANIVDPFAAVPLNNFDGSDIFGSFTTHSDSALEEPKQNPMNDGNLNNMSTKSSQDSKAPQKKDAFQVKSGIWADSLSRGIIDLNISAPKKVSLADVGIVCGLSDVDEREKGPPTSFYMGRAMGTGSGLGKTGFASTPSTDEDGLFSSLGNHQQYQFGSFKK >KJB54753 pep chromosome:Graimondii2_0_v6:9:3425254:3430192:-1 gene:B456_009G047500 transcript:KJB54753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGTALAEIAQATKKFSEFQMVMNVLWTRLGETGKDWRYVYKALTVIEYLISHGSERAVDDIIEHTFQISSLTSFEYVEPSGKDMGINVRKKAETIVGLLSNKEKIQEARNKAAANRDKYVGLSSTGITYKSSASSYSSGGFNGGGDKYGGLSSRRESDSYRDSYKESDPYGEEKFDTDTYVKSHRGTACEIQGNSSKESRHHGSKDSKKKFSSELNDSNKYSQSTSTPSNNFDDDFDDFDPRGTSSSKPAAGSSNQVDPFVPNLIDDLFDGPAPVPMEKSAVSTDSTDVDLFADATFVSAPTKVTHESSPQAQGQVDLFASQPAITPAVSPTVDLFSTTDPVVQPAMAANVGPVNANIVDPFAAVPLNNFDGSDIFGSFTTHSDSALEEPKQNPMNDGNLNNMSTKSSQDSKAPQKKDAFQVKSGIWADSLSRGIIDLNISAPKKVSLADVGIVCGLSDVDEREKGPPTSFYMGRAMGTGSGLGKTGFASTPSTDEDGLFSSLGNHQQYQFGSFKK >KJB57568 pep chromosome:Graimondii2_0_v6:9:13148338:13149070:1 gene:B456_009G170500 transcript:KJB57568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRFFSSARHLHRNILKPRTPIRATAKPPCDIFINHRGTDTKKTIAGLLDDHFFRMGLRPFLDSKNMKPGDRLFEKIDPAIRGCKIGVAIFSPNYCDSYFCLHELALLIESKKRVIPIFCDVKPSQLQVVDYGTSSAEQLERFSWALEEAKYTVGLTFDTLTGDWSHFLNTATDAVIKNLVELEAENSSKKSTYIVQARRERDEEFSIH >KJB61053 pep chromosome:Graimondii2_0_v6:9:37076401:37079221:1 gene:B456_009G337700 transcript:KJB61053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQPSWVNHGNPSGSQSSNVEMTGTRVLIPVFSGLIELFTSEHMPKDQNLIELVTSQCNAVLKQEITTGENYAKANLDKCYNLPFSISLSTFVPRIELIPPISDSNSHHSLDGSHSGSSPSIEHPPFASDSAYISQDEQFKQLIGTYYGTKRLRCSKNVPEQQARFAPDGNKSIKDKTRTTKQPAKEKFHSKNLVMERNRRKKINDQLFKLRALVPKISKMDRTAILTDAIEYIGDLLEEKKKLENELMKIDEENCEKSNLELKSTLLDKSPKDNMSAVKPNQVSSSLAKMAKMEVHVEVNQLTKREFLIKLYYEHKRGSFAKLMEGIDSLGLQVIDANVTTFNGKVLSMFKVEANRDFQSRKLRDFLTNLTK >KJB61052 pep chromosome:Graimondii2_0_v6:9:37074491:37078779:1 gene:B456_009G337700 transcript:KJB61052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVTKTMRGFDRAVEWLRPFVDSKGWDFCVVWKLGDDPSRFIEWKDCCCSACFNVNVEKDESQKPFCRDGHFQHSIRSKACEALSHFPFAISLYAGIHGEVAMSNQPSWVNHGNPSGSQSSNVMPKDQNLIELVTSQCNAVLKQEITTGENYAKANLDKCYNLPFSISLSTFVPRIELIPPISDSNSHHSLDGSHSGSSPSIEHPPFASDSAYISQDEQFKQLIGTYYGTKRLRCSKNVPEQQARFAPDGNKSIKDKTRTTKQPAKEKFHSKNLVMERNRRKKINDQLFKLRALVPKISKMDRTAILTDAIEYIGDLLEEKKKLENELMKIDEENCEKSNLELKSTLLDKSPKDNMSAVKPNQVSSSLAKMAKMEVHVEVNQLTKREFLIKLYYEHKRGSFAKLMEGIDSLGLQVIDANVTTFNGKVLSMFKVEANRDFQSRKLRDFLTNLTK >KJB61055 pep chromosome:Graimondii2_0_v6:9:37077832:37079221:1 gene:B456_009G337700 transcript:KJB61055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTAILTDAIEYIGDLLEEKKKLENELMKIDEENCEKSNLELKSTLLDKSPKDNMSAVKPNQVSSSLAKMAKMEVHVEVNQLTKREFLIKLYYEHKRGSFAKLMEGIDSLGLQVIDANVTTFNGKVLSMFKVEANRDFQSRKLRDFLTNLTK >KJB61054 pep chromosome:Graimondii2_0_v6:9:37076849:37079221:1 gene:B456_009G337700 transcript:KJB61054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLQEMTGTRVLIPVFSGLIELFTSEHMPKDQNLIELVTSQCNAVLKQEITTGENYAKANLDKCYNLPFSISLSTFVPRIELIPPISDSNSHHSLDGSHSGSSPSIEHPPFASDSAYISQDEQFKQLIGTYYGTKRLRCSKNVPEQQARFAPDGNKSIKDKTRTTKQPAKEKFHSKNLVMERNRRKKINDQLFKLRALVPKISKMDRTAILTDAIEYIGDLLEEKKKLENELMKIDEENCEKSNLELKSTLLDKSPKDNMSAVKPNQVSSSLAKMAKMEVHVEVNQLTKREFLIKLYYEHKRGSFAKLMEGIDSLGLQVIDANVTTFNGKVLSMFKVEANRDFQSRKLRDFLTNLTK >KJB56067 pep chromosome:Graimondii2_0_v6:9:7620433:7621621:1 gene:B456_009G105000 transcript:KJB56067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKKTKKTHESINNRLTLVMKSGKYTLGYRTVLKSLRSSKGKLIIIANNCPPLRKSEIEYYAMLCKVGVHHYNGSMFLNLLFSCYML >KJB56066 pep chromosome:Graimondii2_0_v6:9:7620401:7622324:1 gene:B456_009G105000 transcript:KJB56066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKKTKKTHESINNRLTLVMKSGKYTLGYRTVLKSLRSSKGKLIIIANNCPPLRKSEIEYYAMLCKVGVHHYNGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKSMPGDH >KJB59726 pep chromosome:Graimondii2_0_v6:9:22370733:22374872:-1 gene:B456_009G268500 transcript:KJB59726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DTPNAVVCLHGDLELKIIEARCLPNMDLLSERLRRCFTPFDPFSRRKKNHRHRKIITSDPYVTVCLAGATVARTRVISNSQHPVWNERFKIPLAHPTSQFEFYVKDNDVFGADLIGVAIVPAVEVLRGEIISGWFPIISSYGKPPKPDCAVHLEMKFIKCEEMSFFKYGMATNSNEFGIRNCYFPARHGGSVTLYQDAHVMQSTLPPIMLENGTVFKNEPCWEDICHAILEAHHMVYIVGWSVFHEVRLVREPTRPLPKGGSLSLGDLLKYKSEEGVRVLLLVWDDKTSHSKFFINTAGVMQTHDEETRKFFKHSSVTCVLSPRYASSKLSIFKQQVVGTLFTHHQKCVIVDSLAYGNNRKITAFLGGLDLCDGRYDTPEHRLFRDLNTVYREDFHNPTFSAAIKCPREPWHDLHCKVEGPAAYDILKNFEQRWRKATKWAQLGRRLKRGCKNEDVLIKLDRISWILSPSDTISPDDPALWVRSEVDPENWNVQVFRSIDSGSVKGFPKDVYQANSENLVCAKNLVIDKSIQTAYIQAIRAAQHFIYIENQYFIGSSYAWPSYKAGADNLIPMELALKIASKIRAKERFAVYIIIPMWPEGVPSSTSVQEILFWQGQTIKMMYGIIAKELKAMRIENSHPQDYLNFYCLGNREEIPSDYSWSKSCLLSPTGDAVSTSLRFQRFMVYVHAKAMIVDDEYLILGSANINQRSMAGSRDTEIAIGACQPHYTWSQKKRQPRGQVYGYRMSLWAEHMHMVNDLFNKPENSDCVRMVNNIAEENWRRYSKNEFTILQGHLLKYPISVNGSGIVGPLSGHETFPDVGGKVLGCRSTLPDALTT >KJB59727 pep chromosome:Graimondii2_0_v6:9:22372014:22374872:-1 gene:B456_009G268500 transcript:KJB59727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DTPNAVVCLHGDLELKIIEARCLPNMDLLSERLRRCFTPFDPFSRRKKNHRHRKIITSDPYVTVCLAGATVARTRVISNSQHPVWNERFKIPLAHPTSQFEFYVKDNDVFGADLIGVAIVPAVEVLRGEIISGWFPIISSYGKPPKPDCAVHLEMKFIKCEEMSFFKYGMATNSNEFGIRNCYFPARHGGSVTLYQDAHVMQSTLPPIMLENGTVFKNEPCWEDICHAILEAHHMVYIVGWSVFHEVRLVREPTRPLPKGGSLSLGDLLKYKSEEGVRVLLLVWDDKTSHSKFFINTAGVMQTHDEETRKFFKHSSVTCVLSPRYASSKLSIFKQQVVGTLFTHHQKCVIVDSLAYGNNRKITAFLGGLDLCDGRYDTPEHRLFRDLNTVYREDFHNPTFSAAIKCPREPWHDLHCKVEGPAAYDILKNFEQRWRKATKWAQLGRRLKRGCKNEDVLIKLDRISWILSPSDTISPDDPALWVRSEVDPENWNVQVFRSIDSGSVKGFPKDVYQANSENLVCAKNLVIDKSIQTAYIQAIRAAQHFIYIENQYFIGSSYAWPSYKAGADNLIPMELALKIASKIRAKERFAVYIIIPMWPEGVPSSTSVQEILFWQVLFLSFFKE >KJB61757 pep chromosome:Graimondii2_0_v6:9:51269229:51273862:-1 gene:B456_009G3782002 transcript:KJB61757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IEPNVVTYSALINGHCLQNEMDKARRVFNLMIEKGFAPNIVTYNTMINGYCKGKRLDKAMELFHEISLKGPIPDTVTYSTLLQSMFQLGRVSAACELFRKMLASGQVPDIATCLILLDGLCKTGHIKEALKLFQAMQNTGLELDIVPYTILIDGFCKAGHIEVAKELFHQLSNNGLKPNVVTYCVMINRLCKEGLPDEAYRLFGSMGDNDCLPNSCCYNVMIRGFLRNSYTSKATQLLTEMVAGHIGFAKELFHQLSDNGLKLNVVTYRIMINGLCKEGLPDEAYRLFGSMGDNDCCLLAAVII >KJB60187 pep chromosome:Graimondii2_0_v6:9:25409713:25412708:1 gene:B456_009G293000 transcript:KJB60187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLNPKTLSPSPFNLRSFLIPKKPSISSPSLCVNSTTCCQPNQITERGILFDTGDTFFRHESATGRDLGVLSAALYKQSKGQLRVLDAMCGCGIRSLRYLVESKADFVLANDANESHRRVILDNLAQVERLEGEKKRWVVTHCEANRILTDCYLQRDYFDYIDLDSFGSDSSFFLRAAFSSLKLDGLVYVTSTDGYSSGGHRPFHSLSAFGAYVRPMPYANELGLRILIGGAVREASVLGYRVTPLFSYYSYHGPVFRVLLRMNRGKLPEKRDYGFICYCNRCGNSQAVSWDELGQIRCPCNNSTKDAASLVVSGPLWTGPLHSGAYIMEMLNLAEQWGWVGKDAGTGLEKLLKRMLEESDPRLPFGYIKLDEVASRAQTNTPSISTIMSSLHKEGYAASRSHIAHNAIKTDCPMAGCIRIAKKIHGC >KJB60188 pep chromosome:Graimondii2_0_v6:9:25409827:25412631:1 gene:B456_009G293000 transcript:KJB60188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLNPKTLSPSPFNLRSFLIPKKPSISSPSLCVNSTTCCQPNQITERGILFDTGDTFFRHESATGRDLGVLSAALYKQSKGQLRVLDAMCGCGIRSLRYLVESKADFVLANDANESHRRVILDNLAQVERLEGEKKRWVVTHCEANRILTDCYLQRDYFDYIDLDSFGSDSSFFLRAAFSSLKLDGLVYVTSTDGYSSGGHRPFHSLSAFGAYVRPMPYANELGLRILIGGAVREASVLGYRVTPLFSYYSYHGPVFRVLLRMNRGKLPEKRDYGFICYCNRCGNSQAVSWDELGQIRCPCNNSTKDAASLVVSGPLWTGPLHSGAYIMEMLNLAEQWGWVGKDAGTGLEKLLKRMLEESDPRLPFGYIKLDEVPPMVFPPKAFALILELPDVR >KJB61643 pep chromosome:Graimondii2_0_v6:9:50413192:50415231:-1 gene:B456_009G372400 transcript:KJB61643 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBL31 [Source:Projected from Arabidopsis thaliana (AT1G73140) UniProtKB/TrEMBL;Acc:A0A178WIY7] MKGQSAERIQSLFPVLLASLLVLGTAQLVFDSLKSGKSYVFQYYGKPEKLRKSVFVLPEDRMDESCNLFEGKWVWDNVSYPLYEENSCPYLVKQTTCLKNGRPDSFYQNWRWQPQACNLPRFDPLKLLDILRDKRLMFIGDSVQRAQFESMVCLVQSVVPKGKKSFKRDPPRKIFKAKEYNATIEYYWAPFIVESISDHATNHTVLKRLVNLDSISKHGKSWEGVDVLVFESYVWWMYRPQINATYGSMEDVQEYNVTTAYRIAMETWGNWLESTINPHLQKVFFMSMSPTHLWSWEWKPGSRGNCFNESYPIQGSYWGTGSNLAIMEILHEVLQDLKINVTFLNITQLSEYRKDAHTTVFGERRGKLLTKEQKADPLNFADCIHWCLPGVPDTWNEILYAYLLQSYQNF >KJB62924 pep chromosome:Graimondii2_0_v6:9:69512719:69516618:1 gene:B456_009G443800 transcript:KJB62924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDNEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMEKGLRIPQNERTKTTNSLLVSLMKQLEKDKKTLKLGPEDHLHLEGFALNVFGKADKQDRAGRADLNTAKTFYAASIFFEIINQFGELQPDLEQKQKYAVWKAADIRKALKEGRKPIPGPPNGDEDLSIPSATSGVAYDLGQHEPAVTSPRQMSNTSPQFHDEVNNQRYIPPQSQFHDKFDGQHSSNISPSPPSFPSDGYPTHDLSSSHQHEPQRDFHQPFYQPYRQDLPPHMPPRLTIHHPIKVPRLLTILHSQPRLTIHHPIKVPRLLTILPSQLLRQQAIHQQPLNTLQVAETGKSLNLHHLLLRNTNTTAITNRHRRKSLRHTRLLGLRLGP >KJB62922 pep chromosome:Graimondii2_0_v6:9:69512712:69516639:1 gene:B456_009G443800 transcript:KJB62922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDNEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMEKGLRIPQNERTKTTNSLLVSLMKQLEKDKKTLKLGPEDHLHLEGFALNVFGKADKQDRAGRADLNTAKTFYAASIFFEIINQFGELQPDLEQKQKYAVWKAADIRKALKEGRKPIPGPPNGDEDLSIPSATSGVAYDLGQHEPAVTSPRQMSNTSPQFHDEVNNQRYIPPQSQFHDKFDGQHSSNISPSPPSFPSDGYPTHDLSSSHQHEPQRDFHQPFYQPYRQDLPPHMPVNYPPHESSASYSFANFQSYPSFTESSLPAAPSHYPSSYQGSETPYNPPQSAPSHYPSSYQGSETPYNPPQSAPPTTSYPSTTAQYSSSSRNGKIAEPSPPTSEKYQYDSNYQPPPEKIAEAHKAARFAVGALAFDEVSTAVEHLKKSLELLTNPSASH >KJB62926 pep chromosome:Graimondii2_0_v6:9:69513236:69516620:1 gene:B456_009G443800 transcript:KJB62926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMLPDKKTLKLGPEDHLHLEGFALNVFGKADKQDRAGRADLNTAKTFYAASIFFEIINQFGELQPDLEQKQKYAVWKAADIRKALKEGRKPIPGPPNGDEDLSIPSATSGVAYDLGQHEPAVTSPRQMSNTSPQFHDEVNNQRYIPPQSQFHDKFDGQHSSNISPSPPSFPSDGYPTHDLSSSHQHEPQRDFHQPFYQPYRQDLPPHMPVNYPPHESSASYSFANFQSYPSFTESSLPAAPSHYPSSYQGSETPYNPPQSAPSHYPSSYQGSETPYNPPQSAPPTTSYPSTTAQYSSSSRNGKIAEPSPPTSEKYQYDSNYQPPPEKIAEAHKAARFAVGALAFDEVSTAVEHLKKSLELLTNPSASH >KJB62925 pep chromosome:Graimondii2_0_v6:9:69512719:69516618:1 gene:B456_009G443800 transcript:KJB62925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDNEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMEKGLRIPQNERTKTTNSLLVSLMKQLEKDKKTLKLGPEDHLHLEGFALNVFGKADKQDRAGRADLNTAKTFYAASIFFEIINQFGELQPDLEQKQKYAVWKAADIRKALKEGRKPIPGPPNGDEDLSIPSATSGVAYDLGQHEPAVTSPRQMSNTSPQFHDEVNNQRYIPPQSQFHDKFDGQHSSNISPSPPSFPSDGYPTHDLSSSHQHEPQRDFHQPFYQPYRQDLPPHMPVNYPPHESSASYSFANFQSYPSFTESSLPAAPSHYPSSYQGSETPYNPPQSAPPTTSYPSTTAQYSSSSRNGKIAEPSPPTSEKYQYDSNYQPPPEKIAEAHKAARFAVGALAFDEVSTAVEHLKKSLELLTNPSASH >KJB62923 pep chromosome:Graimondii2_0_v6:9:69512616:69516618:1 gene:B456_009G443800 transcript:KJB62923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDNEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMEKGLRIPQNERTKTTNSLLVSLMKQLEKDKKTLKLGPEDHLHLEGFALNVFGKADKQDRAGRADLNTAKTFYAASIFFEIINQFGELQPDLEQKQKYAVWKAADIRKALKEGRKPIPGPPNGDEDLSIPSATSGVAYDLGQHEPAVTSPRQMSNTSPQFHDEVNNQRYIPPQSQFHDKFDGQHSSNISPSPPSFPSDGYPTHDLSSSHQHEPQRDFHQPFYQPYRQDLPPHMPVNYPPHESSASYSFANFQSYPSFTESSLPAAPSHYPSSYQGSETPYNPPQSAPSHYPSSYQGSETPYNPPQSAPPTTSYPSTTAQYSSSSRNGKIAEPSPPTSEKYQYDSNYQPPPEKIAEAHKAARFAVGALAFDEVSTAVEHLKKSLELLTNPSASH >KJB58713 pep chromosome:Graimondii2_0_v6:9:17315705:17318059:1 gene:B456_009G222600 transcript:KJB58713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPVNPSRRYGDAGGGAALFSLSKSRSPPLLSIVLIVLGALLIVAYFHSGSGSGGIRSLVSRVEGDFSCTFEVLRALPILKKAYGGGIHKVLHIGPDSCSVVSNLLKEEETEAWGVEPYDIEDADANCKRLVRNGIVRVADIKFPLPYRPKSFPLVIVSDALDYLSPRYLNKTLPDFARVSADGVVVFTGFPGQRKAKAADVSKYGRAVSGCHCLYLYLFTVG >KJB58714 pep chromosome:Graimondii2_0_v6:9:17315705:17318059:1 gene:B456_009G222600 transcript:KJB58714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPVNPSRRYGDAGGGAALFSLSKSRSPPLLSIVLIVLGALLIVAYFHSGSGSGGIRSLVSRVEGDFSCTFEVLRALPILKKAYGGGIHKVLHIGPDSCSVVSNLLKEEETEAWGVEPYDIEDADANCKRLVRNGIVRVADIKFPLPYRPKSFPLVIVSDALDYLSPRYLNKTLPDFARVSADGVVVFTGFPGQRKAKAADVSKYGRAVSGCHCLYLYLFTVG >KJB58712 pep chromosome:Graimondii2_0_v6:9:17315659:17318341:1 gene:B456_009G222600 transcript:KJB58712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPVNPSRRYGDAGGGAALFSLSKSRSPPLLSIVLIVLGALLIVAYFHSGSGSGGIRSLVSRVEGDFSCTFEVLRALPILKKAYGGGIHKVLHIGPDSCSVVSNLLKEEETEAWGVEPYDIEDADANCKRLVRNGIVRVADIKFPLPYRPKSFPLVIVSDALDYLSPRYLNKTLPDFARVSADGVVVFTGFPGQRKAKAADVSKYGRAAKLRSSTWWARYFIQTSLEENEVAAKKFSRAAEKSSYNPSCQIFHLRSYR >KJB59415 pep chromosome:Graimondii2_0_v6:9:20790343:20796294:1 gene:B456_009G253500 transcript:KJB59415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQQEEEDLRMALRMSMQNSPPEPKRSKPRDTAILPAATTPEESRQLQRELMAAAAEKRVLAAAKAAPPSSSQSKNERSGDFEMKEAEKKAKEVNLGNELSDKEAHQLFAMVFGTGVSKDILAQWSNQGIRFSPDPETSMGLVQHEGGPCGVLATIQAFVLKHLLFFPDELGKVAANMPQNLNSRRSKSQYVASNNFSAFTEDAKARALVKSMSEMLFLCGNNKRAVIATLSVISHGIEGSEGSSKDVIIAQALEGLSIESASDLQKVLRVDRYTSPTSAFKRLEAMIPIFQSRMGALLFLISALLSRGLDCVQADRDDPSQPLVTAPFGHASQEIVNLLLCGQAVPNVFDGRMDLGGGMFLKGISTSVEVGFLTLLESLNFCKVGQNLKCPKWPIWVVGSESHYTVLFALDTAVQDENELEERESQIRKAFDAQDQSGGGGFISVEAFHQVLRETSIRLPSEKLDSLCNSGFIVWSEFWQVILDLDKNLGGLKDSTGQMGRKIFDLYHFNGIAKSDLNGSQATTEGETPVQRPRLTKLRVSVPPRWTPEEFMADAAVAPGNAGSESSQKDAEVAKPEQPPQHAPLADCIRTRWPRAVCNWIGDPPSIV >KJB59414 pep chromosome:Graimondii2_0_v6:9:20790343:20796294:1 gene:B456_009G253500 transcript:KJB59414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQNLNSRRSKSQYVASNNFSAFTEDAKARALVKSMSEMLFLCGNNKRAVIATLSVISHGIEGSEGSSKDVIIAQALEGLSIESASDLQKVLRVDRYTSPTSAFKRLEAMIPIFQSRMGALLFLISALLSRGLDCVQADRDDPSQPLVTAPFGHASQEIVNLLLCGQAVPNVFDGRMDLGGGMFLKGISTSVEVGFLTLLESLNFCKVGQNLKCPKWPIWVVGSESHYTVLFALDTAVQDENELEERESQIRKAFDAQDQSGGGGFISVEAFHQVLRETSIRLPSEKLDSLCNSGFIVWSEFWQVILDLDKNLGGLKDSTGQMGRKIFDLYHFNGIAKSDLNGSQATTEGETPVQRPRLTKLRVSVPPRWTPEEFMADAAVAPGNAGSESSQKDAEVAKPEQPPQHAPLADCIRTRWPRAVCNWIGDPPSIV >KJB59413 pep chromosome:Graimondii2_0_v6:9:20790126:20796279:1 gene:B456_009G253500 transcript:KJB59413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQQEEEDLRMALRMSMQNSPPEPKRSKPRDTAILPAATTPEESRQLQRELMAAAAEKRVLAAAKAAPPSSSQSKNERSGDFEMKEAEKKAKEVNLGNELSDKEAHQLFAMVFGTGVSKDILAQWSNQGIRFSPDPETSMGLVQHEGGPCGVLATIQAFVLKHLLFFPDELGKVAANMPQNLNSRRSKSQYVASNNFSAFTEDAKARALVKSMSEMLFLCGNNKRAVIATLSVISHGIEGSEGSSKDVIIAQALEGLSIESASDLQKVLRVDRYTSPTSAFKRLEAMIPIFQSRMGALLFLISALLSRGLDCVQADRDDPSQPLVTAPFGHASQEIVNLLLCGQAVPNVFDGRMDLGGGMFLKGISTSVEVGFLTLLESLNFCKLFKMRMNWKKENHRSGKLLMPKIRVEVVGLLAWKLSIKC >KJB61438 pep chromosome:Graimondii2_0_v6:9:47056331:47058667:1 gene:B456_009G358400 transcript:KJB61438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQISLFQVLITFFLVLFMAAITSLRKSKARNLTQGLIPGPRKLPLIGNLHQLAGPGLPHHTLRDLATKYGAIMHLQLGQISTVVVSSAEMAKEIMKTHDIVFANRPVLASAKIITYGCTDIAFSPYGNYWRNLRKICTSELLNATRVASFQSIREEEVLNLVETIKPNEGSAVNLSHKVFSLSYGITARAAFGKKCKDQEAFISVVTEETKVNSGFFVSEFFPSLKFLDTVLGLKHKVEKIHGEADMILGNIVNDHKESRSKGRSKDENKENLVDILLRIQEDGEFPLTDNNVKAVILDIFSAGSETSAGAVEWALSEMIKNPRVMTKAQAEVRQVFQGKGNVDETGIHQLKYLKCVIKETLRLHPVIPLLIPRESMKNCVVNGFEIPAKTRVIVNAWAIGRDPNHWVEPEKFEPERFVNSSVDFIGTNFEFIPFGAGRRVCPGILFALPTVELPLAQLLFHFDWKLPRGMKQEDIDMTEVFGVSVRRKNDLVLVPSLYRASTTVA >KJB60555 pep chromosome:Graimondii2_0_v6:9:29134347:29137639:-1 gene:B456_009G312200 transcript:KJB60555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFHFNSKVKNDEPRTTKSISIQSSTSPVSMSTDNDVRRSGSESNSQNVSDFSSGSSTTKNSFAVMSQRRSNLREFTFLELKTATKNFSRSLMIGEGGFGAVYRGLIRSSEDSHKKIDIAVKQLSRSGFQGHKEWVTEVNVLGVVEHQNLVKLVGYCAEDDERGIQRLLIYEYMPNRSVQDHLSARFQTTLSWATRLKIARDAARGLAFLHEEMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSDGLSHVSTAVVGTIGYAAPEYIQTGRLTIKSDVWSYGVFLYELITGRRPLDRNRPKGEEKLLEWVRPHISNIKKFRLIIDPRLDGKYSLKSAHKLAAVANKCLTRQAKLRPKMSEVLEMVDGIVESAEMVMPQSDLKCSNLNTNFKLSKTESLRRRLLDLIPGGKGCLMWPKIVTTC >KJB60556 pep chromosome:Graimondii2_0_v6:9:29134488:29136912:-1 gene:B456_009G312200 transcript:KJB60556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFHFNSKVKNDEPRTTKSISIQSSTSPVSMSTDNDVRRSGSESNSQNVSDFSSGSSTTKNSFAVMSQRRSNLREFTFLELKTATKNFSRSLMIGEGGFGAVYRGLIRSSEDSHKKIDIAVKQLSRSGFQGHKEWVTEVNVLGVVEHQNLVKLVGYCAEDDERGIQRLLIYEYMPNRSVQDHLSARFQTTLSWATRLKIARDAARGLAFLHEEMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSDGLSHVSTAVGTIGYAAPEYIQTGRLTIKSDVWSYGVFLYELITGRRPLDRNRPKGEEKLLEWVRPHISNIKKFRLIIDPRLDGKYSLKSAHKLAAVANKCLTRQAKLRPKMSEVLEMVDGIVESAEMVMPQSDLKCSNLNTNFKLSKTESLRRRLLDLIPGGKGCLMWPKIVTTC >KJB59320 pep chromosome:Graimondii2_0_v6:9:20185550:20192573:1 gene:B456_009G249400 transcript:KJB59320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEEGTRNGEGAEIVEEIKCFKSEPVNNEFGPLFVNDSGDGSSGASESLRTYKRRRQLRSTSNIEVQDGGRASTDQVTLPFTDHCASLNDFNYRLQRKWRNVVLENMHQFLNGDEGGIRRCIQDALLFHQENDCNVTVKDSDTCHEDKQNCSPQAGQIPNGTQHTAEKLKGVISNGSYKELYPQTTTERCQRVFFDVIISEKFTSLCKLLLENFQGIKLDNLFHLSLINSRMKEGEYERSPMLFASDIQEVWRKLQVLGSEMISLAKSLSNITSASCSEQVGCSGGSAEKEEHEFCTRESEILAKREQIEACGVFKVFTCRFCGEKADGKDCLVCDSCEEMYHVACIEPALKVIPPKSWYCASCTGNGMGSPHENCVICDRLNAPRTLNSNVADENYNEHFETSTELEENSNCSVGNWLQLSPGSKTRLVCKICGGNFVKGEKLRSCEHPYCPNKYYHVTCLTMKQLKTYCSRWYCPSCLCRACLADKDDDKIVLCDGCDAAYHIYCMKPPQTSIPSGKWFCRKCDAGIQRIQRAKRAYESKLKMKGVGGKMAYGNLESSLNQREKEESDKSRGGMDMLLSAASSLHFVEKLNANRKS >KJB59322 pep chromosome:Graimondii2_0_v6:9:20187533:20192573:1 gene:B456_009G249400 transcript:KJB59322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQFLNGDEGGIRRCIQDALLFHQENDCNVTVKDSDTCHEDKQNCSPQAGQIPNGTQHTAEKLKGVISNGSYKELYPQTTTERCQRVFFDVIISEKFTSLCKLLLENFQGIKLDNLFHLSLINSRMKEGEYERSPMLFASDIQEVWRKLQVLGSEMISLAKSLSNITSASCSEQVGCSGGSAEKEEHEFCTRESEILAKREQIEACGVFKVFTCRFCGEKADGKDCLVCDSCEEMYHVACIEPALKVIPPKSWYCASCTGNGMGSPHENCVICDRLNAPRTLNSNVADENYNEHFETSTELEENSNCSVGNWLQLSPGSKTRLVCKICGGNFVKGEKLRSCEHPYCPNKYYHVTCLTMKQLKTYCSRWYCPSCLCRACLADKDDDKIVLCDGCDAAYHIYCMKPPQTSIPSGKWFCRKCDAGIQRIQRAKRAYESKLKMKGVGGKMAYGNLESSLNQREKEESDKSRGGMDMLLSAASSLHFVEKLNANRKS >KJB59321 pep chromosome:Graimondii2_0_v6:9:20185550:20200722:1 gene:B456_009G249400 transcript:KJB59321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEEGTRNGEGAEIVEEIKCFKSEPVNNEFGPLFVNDSGDGSSGASESLRTYKRRRQLRSTSNIEVQDGGRASTDQVTLPFTDHCASLNDFNYRLQRKWRNVVLENMHQFLNGDEGGIRRCIQDALLFHQENDCNVTVKDSDTCHEDKQNCSPQAGQIPNGTQHTAEKLKGVISNGSYKELYPQTTTERCQRVFFDVIISEKFTSLCKLLLENFQGIKLDNLFHLSLINSRMKEGEYERSPMLFASDIQEVWRKLQVLGSEMISLAKSLSNITSASCSEQVGCSGGSAEKEEHEFCTRESEILAKREQIEACGVFKVFTCRFCGEKADGKDCLVCDSCEEMYHVACIEPALKVIPPKSWYCASCTGNGMGSPHENCVICDRLNAPRTLNSNVADENYNEHFETSTELEENSNCSVGNWLQLSPGSKTRLVCKICGGNFVKGEKLRSCEHPYCPNKYYHVTCLTMKQLKTYCSRWYCPSCLCRACLADKDDDKIVLCDGCDAAYHIYCMKPPQTSIPSGKWFCRKCDAGIQRIQRAKRAYESKLKMKGVGGKMAYGNLESSLNQREKEESDKSRGGMDMLLSAASSLHFVEKLNANRKS >KJB62270 pep chromosome:Graimondii2_0_v6:9:61471262:61474729:-1 gene:B456_009G409400 transcript:KJB62270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLFSPCLILLFSLWVTLISPSHSFNCSSLKLFVSKMQYSNCTELPIFNSTPHFTFNATNYSLSIAFSAPLPNPNGWTAWGINPTATRMIGSQAFIAFKNKGSLVVKTYNISSYSSIIEVMMHGKMVIYGSLEVPTSVEKVNQVWQVGPGVTNDHPMKHELTKGNLGSSGGLKLIDKVSSTSASSISPAPADNDIGRG >KJB62803 pep chromosome:Graimondii2_0_v6:9:68746929:68752302:1 gene:B456_009G437000 transcript:KJB62803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVVSVSSNTVGNLATEYASPYLSYFFRFGKIVEEFKNQRKALELKKDRVKNDVDAAIRQTEAIEKDVEDWLTRAENELGVTQILEDEIGHINCSKWCPNWGWRYCLSKKLAKKTLLISELLETCNFSPIGRRAPLQGIEFITSKDFRDSESSKSAFIGIMEAINAKGVNMIGLYGMPGVGKTTLAKEVGKHALEQKLFDKVVMFTMSQNPNINKIQDKVADIFRLKFETSSQEGKAEELFRSMQGVNKILVIFDDVWEEFEPETIGIPFGVAHEGCKILLTTRHQQVCTIMNCQKKIQLGILSEVEAWTLFKDNAGVDDGPSSLNGVAKEVARECKGLPLALVTVAKALKGESLDGWRAANQRLKDSRHLDNEEVFGGVYSPLKLSYDYLKKNNSQTTENDIQLCFLLCSLFPEDDEILIEILIMCGIGVGLFSHICLIEDKRREIGVALTKLQKSGLLLETDNAETVRMHDVVRDFAHWLKSMGENRFMVKDGLKEWPHIFENFGCYTAIALWNCSSNIDNLPDKVKLSNLKILVLSGKEMLRVSSTFFEEMKSLHVLILIDVYFSLEGLQSLTNLRTLCCIDCKPENLSSLTHMRNLEVLALFGTNSDEILEDLVELSTLKSLYLSHDEEQQINFPPNLLSRLTSLQELHVTSENNINLLELNSLSRLTALSLTVSAEQGFQENFMFPKLQRYNIVVNGYFGYLKGLTFRTLKINNLSSLLSAFKDLFCNVEKLSLENIDGEKNIIPNLCKKRVNELTSLWLKSCKDMEFLIDITGKQGSSVALSNLVEVDIRNMNCLKELCHGPPPTSFLQNLEEVTIENCKCLQVVFQMNKICEKVESQAPLLSRLTILKLYSLPELESIWNLESSHHEIASLRSLKVVRIGDCSKLKTIFSPCLALSMLHLQELYIDCCDGLEQIIGFAQEDENHYSLCWPKWKTLWIENCRSLKYVFPDTLSEALPQLECVYLKNCPHLVLIYNQTEGKDVTGNHILLNVPFLQNLSVINCPHLTCFVVQAQLEKLYLSNVTCRQLCNIDVLTLNQDYIIVGDHEEVFQVQGGHLFSSLQELHLEYLSKVQIIWKDVAQVVTLQNLTTLEIIDCKKLRYIFSPTTVCSLSQLVSLQIKGCEELERIILPKDQVSSSSHGDIGLQPISFPNLATISVTNCENLKTLFPLGFVSSAHQLKYLVVKQNPKLEQVFEVEDGREVTTKKEIKFDKLERLALEELACLVELCPKGYHFVFPALTFLIVRECPNMTTGFFIDSNHFVHSKKTEATEGPATAQSTVHNYMFWGSFMRSTLPHYMEG >KJB62802 pep chromosome:Graimondii2_0_v6:9:68746929:68752302:1 gene:B456_009G437000 transcript:KJB62802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVVSVSSNTVGNLATEYASPYLSYFFRFGKIVEEFKNQRKALELKKDRVKNDVDAAIRQTEAIEKDVEDWLTRAENELGVTQILEDEIGHINCSKWCPNWGWRYCLSKKLAKKTLLISELLETCNFSPIGRRAPLQGIEFITSKDFRDSESSKSAFIGIMEAINAKGVNMIGLYGMPGVGKTTLAKEVGKHALEQKLFDKVVMFTMSQNPNINKIQDKVADIFRLKFETSSQEGKAEELFRSMQGVNKILVIFDDVWEEFEPETIGIPFGVAHEGCKILLTTRHQQVCTIMNCQKKIQLGILSEVEAWTLFKDNAGVDDGPSSLNGVAKEVARECKGLPLALVTVAKALKGESLDGWRAANQRLKDSRHLDNEEVFGGVYSPLKLSYDYLKKNNSQTTENDIQLCFLLCSLFPEDDEILIEILIMCGIGVGLFSHICLIEDKRREIGVALTKLQKSGLLLETDNAETVRMHDVVRDFAHWLKSMGENRFMVKDGLKEWPHIFENFGCYTAIALWNCSSNIDNLPDKVKLSNLKILVLSGKEMLRVSSTFFEEMKSLHVLILIDVYFSLEGLQSLTNLRTLCCIDCKPENLSSLTHMRNLEVLALFGTNSDEILEDLVELSTLKSLYLSHDEEQQINFPPNLLSRLTSLQELHVTSENNINLLELNSLSRLTALSLTVSAEQGFQENFMFPKLQRYNIVVNGYFGYLKGLTFRTLKINNLSSLLSAFKDLFCNVEKLSLENIDGEKNIIPNLCKKRVNELTSLWLKSCKDMEFLIDITGKQGSSVALSNLVEVDIRNMNCLKELCHGPPPTSFLQNLEEVTIENCKCLQVVFQMNKICEKVESQAPLLSRLTILKLYSLPELESIWNLESSHHEIASLRSLKVVRIGDCSKLKTIFSPCLALSMLHLQELYIDCCDGLEQIIGFAQEDENHYSLCWPKWKTLWIENCRSLKYVFPDTLSEALPQLECVYLKNCPHLVLIYNQTEGKDVTGNHILLNVPFLQNLSVINCPHLTCFVVQAQLEKLYLSNVTCRQLCNIDVLTLNQDYIIVGDHEEVFQVQGGHLFSSLQELHLEYLSKVQIIWKDVAQVVTLQNLTTLEIIDCKKLRYIFSPTTVCSLSQLVSLQIKGCEELERIILPKDQVSSSSHVLLTNLNISWLNKTRNWNKYLK >KJB62384 pep chromosome:Graimondii2_0_v6:9:63368373:63369545:-1 gene:B456_009G414500 transcript:KJB62384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFLFTSESVNEGHPDKICDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAKVDYEKIVRDTCRGIGFISADVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPEFMPLTHVLATKLGARLTEVRKNKTCQWLRPDGKTQVTVEYLNEGGAMVPIRVHTILISTQHDETVTNEKIHADLKEHVIKPVIPAKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILQLIKESFDFRPGMISINLDLKRGGKFRYQKTAAYGHFGRDDKDFTWEIVKPLKPKA >KJB62386 pep chromosome:Graimondii2_0_v6:9:63368128:63370608:-1 gene:B456_009G414500 transcript:KJB62386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFLFTSESVNEGHPDKICDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAKVDYEKIVRDTCRGIGFISADVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPEFMPLTHVLATKLGARLTEVRKNKTCQWLRPDGKTQVTVEYLNEGGAMVPIRVHTILISTQHDETVTNEKIHADLKEHVIKPVIPAKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILQLIKESFDFRPGMISINLDLKRGGKFRYQKTAAYGHFGRDDKDFTWEIVKPLKPKA >KJB62385 pep chromosome:Graimondii2_0_v6:9:63368128:63370489:-1 gene:B456_009G414500 transcript:KJB62385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFLFTSESVNEGHPDKICDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAKVDYEKIVRDTCRGIGFISADVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPEFMPLTHVLATKLGARLTEVRKNKTCQWLRPDGKTQVTVEYLNEGGAMVPIRVHTILISTQHDETVTNEKIHADLKEHVIKPVIPAKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILQLIKESFDFRPGMISINLDLKRGGKFRYQKTAAYGHFGRDDKDFTWEIVKPLKPKA >KJB62387 pep chromosome:Graimondii2_0_v6:9:63368183:63370423:-1 gene:B456_009G414500 transcript:KJB62387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVFGEITTKAKVDYEKIVRDTCRGIGFISADVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPEFMPLTHVLATKLGARLTEVRKNKTCQWLRPDGKTQVTVEYLNEGGAMVPIRVHTILISTQHDETVTNEKIHADLKEHVIKPVIPAKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILQLIKESFDFRPGMISINLDLKRGGKFRYQKTAAYGHFGRDDKDFTWEIVKPLKPKA >KJB56793 pep chromosome:Graimondii2_0_v6:9:10247438:10249522:1 gene:B456_009G136100 transcript:KJB56793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNFKKHFLFYCAMKLLESLEKIKFKKIVLLHGEGFGAWCWYKTIAQLEEVGLQPTALDLTGSGIDLTDTNTVTTLAEYSKPLIKYLENLPVDEKVILVGHSSGGACLSYALEHFPEKISKAIFLCATMVSNGRRPFDVFAEELGSAERFMKESQFLIYGNGKDKPPTGFMFEKQLMKGLYFNQSPTKDVALAMVSMRSTPLGPIMEELSLTPEKYGTGRRFYIQTLEDRALSPDVQEKLVRENPPEGVFKIKGSDHCPFFSKPQSLHKILIEIVQIL >KJB56792 pep chromosome:Graimondii2_0_v6:9:10246630:10249522:1 gene:B456_009G136100 transcript:KJB56792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLICRTKKDAKDNGSKSKRMGKSQRKMLAEEEFLHKQALSMALHQHQLSQRFDGSMSRRIGSTSSRRHADPLANEKKLLESLEKIKFKKIVLLHGEGFGAWCWYKTIAQLEEVGLQPTALDLTGSGIDLTDTNTVTTLAEYSKPLIKYLENLPVDEKVILVGHSSGGACLSYALEHFPEKISKAIFLCATMVSNGRRPFDVFAEELGSAERFMKESQFLIYGNGKDKPPTGFMFEKQLMKGLYFNQSPTKVLSPAYRKDMFQHGIFVPSRMLLWPWCP >KJB56790 pep chromosome:Graimondii2_0_v6:9:10246630:10248365:1 gene:B456_009G136100 transcript:KJB56790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLICRTKKDAKDNGSKSKRMGKSQRKMLAEEEFLHKQALSMALHQHQLSQRFDGSMSRRIGSTSSRRHADPLANEKKLLESLEKIKFKKIVLLHGEGFGAWCWYKTIAQLEEVGLQPTALDLTGSGIDLTDTNTVTTLAEYSKPLIKYLENLPVDEKVILVGHSSGGACLSYALEHFPEKISKAIFLCATMVSNGRRPFDVFAEEVFVSCCL >KJB56789 pep chromosome:Graimondii2_0_v6:9:10246523:10249559:1 gene:B456_009G136100 transcript:KJB56789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLICRTKKDAKDNGSKSKRMGKSQRKMLAEEEFLHKQALSMALHQHQLSQRFDGSMSRRIGSTSSRRHADPLANEKKLLESLEKIKFKKIVLLHGEGFGAWCWYKTIAQLEEVGLQPTALDLTGSGIDLTDTNTVTTLAEYSKPLIKYLENLPVDEKVILVGHSSGGACLSYALEHFPEKISKAIFLCATMVSNGRRPFDVFAEELGSAERFMKESQFLIYGNGKDKPPTGFMFEKQLMKGLYFNQSPTKDVALAMVSMRSTPLGPIMEELSLTPEKYGTGRRFYIQTLEDRALSPDVQEKLVRENPPEGVFKIKGSDHCPFFSKPQSLHKILIEIVQIL >KJB56791 pep chromosome:Graimondii2_0_v6:9:10246630:10249522:1 gene:B456_009G136100 transcript:KJB56791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLICRTKKDAKDNGSKSKRMGKSQRKMLAEEEFLHKQALSMALHQHQLSQRFDGSMSRRIGSTSSRRHADPLANEKKLLESLEKIKFKKIVLLHGEGFGAWCWYKTIAQLEEVGLQPTALDLTGSGIDLTDTNTVTTLAEYSKPLIKYLENLPVDEKVIAAEVLAFHMHWSISRKRSPKQFSFVLQWCPTVGDLLMCLPKRMLLWPWCP >KJB59767 pep chromosome:Graimondii2_0_v6:9:22595674:22596117:-1 gene:B456_009G270500 transcript:KJB59767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAELGKCSKIRYIVRLRQMLLRWRNKARMSASRIPSDVPAGHVAVCVGRSCRRFVVRVTYLNHPVFRKFLTEAEEEYGFSKQGPLTIPCDESVFEEVIRFISRSESGHSDRFLNIEDFKDKCHSGIRSKLDTWIESRPLLHGKTIC >KJB63103 pep chromosome:Graimondii2_0_v6:9:70462656:70467088:1 gene:B456_009G453500 transcript:KJB63103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSKFPICFHLSKPFLSPSKPSKFSFFHNTSISFQNIPTKSPPPLQSSSSSSTQLQPIEELPPKLQEIIKLFQSVEEPKAKYEQLMFYGKNLNPLDTQFKTKENKVEGCVSQVWVRAYLDKDKNVVYQADSDSVLTKGLAALLVNGLSGRPVQEVLRVSPDFAVLLGLQQSLTPSRNNGFLNMLKLMQRKALELLIEAEKGSESSGNGQIVNDNSENTSLDSKVDENSGAVASSQNGSEENSSGLGSRGMRIKEKLERELSPIELEVEDVSYQHAGHAGVRGSDGETHFNLRIVSKEFEGKSLVKRHRLVYSLLDEELQSGLHALSIVAKTPSEVEAK >KJB63101 pep chromosome:Graimondii2_0_v6:9:70462614:70467121:1 gene:B456_009G453500 transcript:KJB63101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSKFPICFHLSKPFLSPSKPSKFSFFHNTSISFQNIPTKSPPPLQSSSSSSTQLQPIEELPPKLQEIIKLFQSVEEPKAKYEQLMFYGKNLNPLDTQFKTKENKVEGCVSQVWVRAYLDKDKNVVYQADSDSVLTKGLAALLVNGLSGRPVQEVLRVSPDFAVLLGLQQSLTPSRNNGFLNMLKLMQRKALELLIEAEKGSESSGNGQIVNDNSENTSLDSKVDENSGAVASSQNGSEENSSGLGSRGMRIKEKLERELSPIELEVEDVSYQHAGHAGVRGSDGETHFNLRIVSKEFEGKSLVKRHRLVYSLLDEELQSGLHALSIVAKTPSEVEAK >KJB63104 pep chromosome:Graimondii2_0_v6:9:70462614:70468368:1 gene:B456_009G453500 transcript:KJB63104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSKFPICFHLSKPFLSPSKPSKFSFFHNTSISFQNIPTKSPPPLQSSSSSSTQLQPIEELPPKLQEIIKLFQSVEEPKAKYEQLMFYGKNLNPLDTQFKTKENKVEGCVSQVWVRAYLDKDKNVVYQADSDSVLTKGLAALLVNGLSGRPVQEVLRVSPDFAVLLGLQQSLTPSRNNGFLNMLKLMQRKALELLIEAEKGSESSGNGQIVNDNSENTSLDSKVDENSGAVASSQNGSEENSSGLGSRGMRIKEKLERELSPIELEVEDVSYQHAGHAGVRGSDGETHFNLRIVSKEFEGKSLVKRHRLVYSLLDEELQSGLHALSIVAKTPSEVEAK >KJB63102 pep chromosome:Graimondii2_0_v6:9:70462656:70465987:1 gene:B456_009G453500 transcript:KJB63102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSKFPICFHLSKPFLSPSKPSKFSFFHNTSISFQNIPTKSPPPLQSSSSSSTQLQPIEELPPKLQEIIKLFQSVEEPKAKYEQLMFYGKNLNPLDTQFKTKENKVEGCVSQVWVRAYLDKDKNVVYQADSDSVLTKGLAALLVNGLSGRPVQEVLRVSPDFAVLLGLQQSLTPSRNNGFLNMLKLMQRKALELLIEAEKGSESSGNGQIVNDNSENTSLDSKVDENSGAVASSQNGSEENSSGLGSRGMRIKEKLERELSPIELEVEDVSYQHAGHAGVRGSDGETHFNLRIVSKEFEGKSLVKRHRLVYSLLDEELQSGLHALSIVAKTPSEVEAK >KJB58026 pep chromosome:Graimondii2_0_v6:9:14787323:14788845:1 gene:B456_009G192100 transcript:KJB58026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIIVALAILLGFCYLASTVHAFTASGWTKAHATFYGGGDASGTMGGACGYGNLYSTGYGTRTAALSTALFNNGASCGQCYKIMCDYQTDPRWCIKGTSVTITATNFCPPNFALPNNAGGWCNPPLQHFDMAQPAWEKIGIYRGGIVPVLFQRVPCKKHGGVRFTINGRDYFELVMISNVGGAGSIQSVSIKGSKTGWMAMSRNWGANWQSNAYLNGQSLSFRVTTTDGVTRLFPDIVPANWGFGQTFSSKLQF >KJB57689 pep chromosome:Graimondii2_0_v6:9:13578716:13581322:-1 gene:B456_009G175500 transcript:KJB57689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIVGTKKACVVGGSGFVASLLVKLLLEKGYAVNTTVRDPDNQKKISHLVTLQELGDLKIFQADLTDEGSFDAPIAGCDLVFHVATPVNFASEDPENDMIKPATQGVVNVLKACAKAKTVKRVVLTSSAAAVSINTLNGTDLVMTEKDWTDIEFLSSAKPPTWGYPASKTLAEKAAWKFAEENNIDLITVIPSLMTGPSLTPIVPSSIGLATSLISGNEFLINALKGMQMLSGSISITHVEDVCRAHVFLAEKESASGRYICSAVNTSVPELAKFLNERYPDFKVPTDFGDFPSKPKLIISSEKLISERFSFKYGIEEIYDQTVEYLKSKGLLK >KJB57687 pep chromosome:Graimondii2_0_v6:9:13578716:13581364:-1 gene:B456_009G175500 transcript:KJB57687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIVGTKKACVVGGSGFVASLLVKLLLEKGYAVNTTVRDPDNQKKISHLVTLQELGDLKIFQADLTDEGSFDAPIAGCDLVFHVATPVNFASEDPENDMIKPATQGVVNVLKACAKAKTVKRVVLTSSAAAVSINTLNGTDLVMTEKDWTDIEFLSSAKPPTWGYPASKTLAEKAAWKFAEENNIDLITVIPSLMTGPSLTPIVPSSIGLATSLISGNEFLINALKGMQMLSGSISITHVEDVCRAHVFLAEKESASGRYICSAVNTSVPELAKFLNERYPDFKVPTDFGDFPSKPKLIISSEKLISERFSFKYGIEEIYDQTVEYLKSKGLLK >KJB57691 pep chromosome:Graimondii2_0_v6:9:13578343:13581364:-1 gene:B456_009G175500 transcript:KJB57691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIVGTKKACVVGGSGFVASLLVKLLLEKGYAVNTTVRDPDNQKKISHLVTLQELGDLKIFQADLTDEGSFDAPIAGCDLVFHVATPVNFASEDPENDMIKPATQGVVNVLKACAKAKTVKRVVLTSSAAAVSINTLNGTDLVMTEKDWTDIEFLSSAKPPTWGYPASKTLAEKAAWKFAEENNIDLITVIPSLMTGPSLTPIVPSSIGLATSLISGNEFLINALKGMQMLSGSISITHVEDVCRAHVFLAEKESASGRYICSAVNTSVPELAKFLNERYPDFKVPTDFGDFPSKPKLIISSEKLISERFSFKYGIEEIYDQTVEYLKSKGLLK >KJB57688 pep chromosome:Graimondii2_0_v6:9:13578716:13580729:-1 gene:B456_009G175500 transcript:KJB57688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQKKISHLVTLQELGDLKIFQADLTDEGSFDAPIAGCDLVFHVATPVNFASEDPENDMIKPATQGVVNVLKACAKAKTVKRVVLTSSAAAVSINTLNGTDLVMTEKDWTDIEFLSSAKPPTWGYPASKTLAEKAAWKFAEENNIDLITVIPSLMTGPSLTPIVPSSIGLATSLISGNEFLINALKGMQMLSGSISITHVEDVCRAHVFLAEKESASGRYICSAVNTSVPELAKFLNERYPDFKVPTDFGDFPSKPKLIISSEKLISERFSFKYGIEEIYDQTVEYLKSKGLLK >KJB57690 pep chromosome:Graimondii2_0_v6:9:13579546:13581154:-1 gene:B456_009G175500 transcript:KJB57690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIVGTKKACVVGGSGFVASLLVKLLLEKGYAVNTTVRDPDNQKKISHLVTLQELGDLKIFQADLTDEGSFDAPIAGCDLVFHVATPVNFASEDPENDMIKPATQGVVNVLKACAKAKTVKRVVLTSSAAAVSINTLNGTDLVMTEKDWTDIEFLSSAKPPTWGYPASKTLAEKAAWKFAEENNIDLITVIPSLMTGPSLTPIVPSSIGLATSLISGNEFLINALKGMQMLSGSISITHVEDVCRAHVFLAEKESASGRYICSAVNTSVPELAKFLNERYPDFKVPTE >KJB56399 pep chromosome:Graimondii2_0_v6:9:8718590:8725435:-1 gene:B456_009G118000 transcript:KJB56399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKTGRSTRPTTAAKENGTKIEEGLNIFKSDRFDADAFVQSKCSLNDKEIRQLCSYLLDLKRASAEEMRKSVYANYSAFIRTSKEISDLEGELSSIRNLLSTQATLIHGLAEGVHIDSLSPKVSEGPNANSLLNIEDREPSDLEKWSAEFPDHLDVLLAEKRVDEALAALDEGEQAVAEAKETNSLSPAALTYLQTAIVERKQKLSDQLAGAACQPSTRGAELRAAILALKKLGDGPRAHSLLLNAHFQRYQYNMLSLRPSSTSYGGAYTAALSQLVFSAIAQAASASLRIFGKEPAYTSELVVWATKQTEAFALLVKRHALASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALNANLKRIEESTAALAAADDWVLTYPPGSTRQSGWPSSASLGNTTAFQHKLTSSAHRFSSMVQDFFEDVGPLLSMQLGGQTLEGLFQVFDSYVNMLIRALPGSMDEEADFGGTGNKIVRMAETEGQQIALLANASLLADELLPRAAMKLSPSQASYKDDNRRRTSDRQNRHPEQREWKRRLVGSVERLKNTFCQQHALDLIFTEEGDSHLTAEMYINMDGTAEEVEWFPSPIFQELFAKLNSIASLAADMFVGRERFATLLLMRLTETVIIWLSEDQSFWDDIEEGPRPLGPLGLQQLYLDIKFVICFASQGRYLSRNLHRVVNDIIAKAMAAFAATGMDPYGVLPDDEWFNEICQDAIERLSGKSKADRDLNSPTASVSAQSISSVRSHGSY >KJB56400 pep chromosome:Graimondii2_0_v6:9:8719441:8725397:-1 gene:B456_009G118000 transcript:KJB56400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKTGRSTRPTTAAKENGTKIEEGLNIFKSDRFDADAFVQSKCSLNDKEIRQLCSYLLDLKRASAEEMRKSVYANYSAFIRTSKEISDLEGELSSIRNLLSTQATLIHGLAEGVHIDSLSPKVSEGPNANSLLNIEDREPSDLEKWSAEFPDHLDVLLAEKRVDEALAALDEGEQAVAEAKETNSLSPAALTYLQTAIVERKQKLSDQLAGAACQPSTRGAELRAAILALKKLGDGPRAHSLLLNAHFQRYQYNMLSLRPSSTSYGGAYTAALSQLVFSAIAQAASASLRIFGKEPAYTSELVVWATKQTEAFALLVKRHALASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALNANLKRIEESTAALAAADDWVLTYPPGSTRQSGWPSSASLGNTTAFQHKLTSSAHRFSSMVQDFFEDVGPLLSMQLGGQTLEGLFQVFDSYVNMLIRALPGSMDEEADFGGTGNKIVRMAETEGQQIALLANASLLADELLPRAAMKLSPSQASYKDDNRRRTSDRQNRHPEQREWKRRLVGSVERLKNTFCQQHALDLIFTEEGDSHLTAEMYINMDGTAEEVEWFPSPIFQELFAKLNSIASLAADMFVGRERFATLLLMRLTETVIIWLSEDQSFWDDIEEGPRPLGPLGLQQLYLDIKFVICFASQGRYLSRNLHRVVNDIIAKAMAAFAATGMDPYGYAMIVLFLYIIIIVIVKSCFLILMSPQLCLYILNGCVKWIQPGHNS >KJB56374 pep chromosome:Graimondii2_0_v6:9:8608245:8619881:1 gene:B456_009G117100 transcript:KJB56374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKHFLPSPLLPQTLSPKHFSTPSKRLLPSLSSKPIPNNHSFWLRTNGFYSLPSPSDSSPSPAYSQDLEDQPSDTRSLQILNHKLKQLGIDITKSVAGRENRLMCPSCKGGESGELSLSLFISLDGYSASWLCFRAKCGWKGYTKAAADGKPSIENLGLVNKVKVKRQITVESLELEPLCNQLTAYFAERMISSKTLKRNAIMQKRSGDEIAIAFTYWRKGELVNCKYRDIAKRFWQEKDTEKILYGLDDIADASDIIIVEGEMDKLAMEEAGFRNCVSVPDGAPPSVSEKEVPAEEQDTKYQYLWNCKEYFKKAARIILATDGDLPGQALAEELARRLGRERCWRVKWPKKNDVGHFKDANEVLMYLGPSVLKDIIDNAELYPISGLFNFSSFFDEIDQYYHRTLGYEFGVSTGWRALDNLYNVVPGELTIVTGVPNSGKSEWIDALLCNLNEGVGWKFALCSMENKVRDHARKLLEKSIKKPFFGVGYGSNVERMSVEELEKGKKWLNDTFQLIRCENDSLPSIQWVLELARAAVLRHGVQGLVIDPYNELDHQRPVSQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHHWIGAPPNLYDISGSAHFINKCDNGIVIHRNRDPEAGPVDLVQVCVRKVRNKVVGNIGDAFLSYDRYMT >KJB56375 pep chromosome:Graimondii2_0_v6:9:8608245:8620784:1 gene:B456_009G117100 transcript:KJB56375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKHFLPSPLLPQTLSPKHFSTPSKRLLPSLSSKPIPNNHSFWLRTNGFYSLPSPSDSSPSPAYSQDLEDQPSDTRSLQILNHKLKQLGIDITKSVAGRENRLMCPSCKGGESGELSLSLFISLDGYSASWLCFRAKCGWKGYTKAAADGKPSIENLGLVNKVKVKRQITVESLELEPLCNQLTAYFAERMISSKTLKRNAIMQKRSGDEIAIAFTYWRKGELVNCKYRDIAKRFWQEKDTEKILYGLDDIADASDIIIVEGEMDKLAMEEAGFRNCVSVPDGAPPSVSEKEVPAEEQDTKYQYLWNCKEYFKKAARIILATDGDLPGQALAEELARRLGRERCWRVKWPKKNDVGHFKDANEVLMYLGPSVLKDIIDNAELYPISGLFNFSSFFDEIDQYYHRTLGYEFGVSTGWRALDNLYNVVPGELTIVTGVPNSGKSEWIDALLCNLNEGVGWKFALCSMENKVRDHARKLLEKSIKKPFFGVGYGSNVERMSVEELEKGKKWLNDTFQLIRCENDSLPSIQWVLELARAAVLRHGVQGLVIDPYNELDHQRPVSQTETEYVSQMLTKIKRFAQHHSCHVCCTTGLGLLLISMT >KJB56372 pep chromosome:Graimondii2_0_v6:9:8607971:8620820:1 gene:B456_009G117100 transcript:KJB56372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLPSHNQRFHLLIRNLSSFASNTTIFMTSKHFLPSPLLPQTLSPKHFSTPSKRLLPSLSSKPIPNNHSFWLRTNGFYSLPSPSDSSPSPAYSQDLEDQPSDTRSLQILNHKLKQLGIDITKSVAGRENRLMCPSLTAYFAERMISSKTLKRNAIMQKRSGDEIAIAFTYWRKGELVNCKYRDIAKRFWQEKDTEKILYGLDDIADASDIIIVEGEMDKLAMEEAGFRNCVSVPDGAPPSVSEKEVPAEEQDTKYQYLWNCKEYFKKAARIILATDGDLPGQALAEELARRLGRERCWRVKWPKKNDVGHFKDANEVLMYLGPSVLKDIIDNAELYPISGLFNFSSFFDEIDQYYHRTLGYEFGVSTGWRALDNLYNVVPGELTIVTGVPNSGKSEWIDALLCNLNEGVGWKFALCSMENKVRDHARKLLEKSIKKPFFGVGYGSNVERMSVEELEKGKKWLNDTFQLIRCENDSLPSIQWVLELARAAVLRHGVQGLVIDPYNELDHQRPVSQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHHWIGAPPNLYDISGSAHFINKCDNGIVIHRNRDPEAGPVDLVQVCVRKVRNKVVGNIGDAFLSYDRVTGVYKDIDESQKK >KJB56373 pep chromosome:Graimondii2_0_v6:9:8607971:8620820:1 gene:B456_009G117100 transcript:KJB56373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLPSHNQRFHLLIRNLSSFASNTTIFMTSKHFLPSPLLPQTLSPKHFSTPSKRLLPSLSSKPIPNNHSFWLRTNGFYSLPSPSDSSPSPAYSQDLEDQPSDTRSLQILNHKLKQLGIDITKSVAGRENRLMCPSCKGGESGELSLSLFISLDGYSASWLCFRAKCGWKGYTKAAADGKPSIENLGLVNKVKVKRQITVESLELEPLCNQLTAYFAERMISSKTLKRNAIMQKRSGDEIAIAFTYWRKGELVNCKYRDIAKRFWQEKDTEKILYGLDDIADASDIIIVEGEMDKLAMEEAGFRNCVSVPDGAPPSVSEKEVPAEEQDTKYQYLWNCKEYFKKAARIILATDGDLPGQALAEELARRLGRERCWRVKWPKKNDVGHFKDANEVLMYLGPSVLKDIIDNAELYPISGLFNFSSFFDEIDQYYHRTLGYEFGVSTGWRALDNLYNVVPGELTIVTGVPNSGKSEWIDALLCNLNEGVGWKFALCSMENKVRDHARKLLEKSIKKPFFGVGYGSNVERMSVEELEKGKKWLNDTFQLIRCENDSLPSIQWVLELARAAVLRHGVQGLVIDPYNELDHQRPVSQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHHWIGAPPNLYDISGSAHFINKCDNGIVIHRNRDPEAGPVDLVQVCVRKVRNKVVGNIGDAFLSYDRVTGVYKDIDESQKK >KJB57266 pep chromosome:Graimondii2_0_v6:9:11945400:11946085:-1 gene:B456_009G155700 transcript:KJB57266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYDPWPCCYVLSPFELDQKSENAKLCNPLALMDVKAIGLTQGDATMESSPVSQTTDLRPTFSDNVPNLGNGGNVPAMNVNNKLPTL >KJB55501 pep chromosome:Graimondii2_0_v6:9:5712621:5714554:-1 gene:B456_009G079200 transcript:KJB55501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASNHLIGLLNFLTFLLSAVILGGGIWLSSRANNTDCLKFLQWPLIVIGAAIMVVSLAGFAGACYRNTFLMWLYLFAMFFIIAALVGFIIFAYAVTDKGPGRPVMNKGYLEYYLRDYSGWLKDRVVDDDYWANIRSCLRDSKVCSKMGRSFNGVPESFEMFSMRKLSPIQSGCCKPPTDCGFVYFNETLWNIEGGTVGLGTDTDCPRWSNDQQQLCYQCDSCKAGVLDSLKKSWRKVSVINIVVLILLVIFYVIGCAAFRNNKRIDNDEPYGEARMTKAQPSRIQL >KJB59216 pep chromosome:Graimondii2_0_v6:9:19725427:19728517:-1 gene:B456_009G245400 transcript:KJB59216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKVLKLKPLIVVDPEDRWKRIFPMSFVFCVNIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFDWRIWASLIPIVGGILLTSITELSFNMFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATLILGVPAMLLEGNGIMEWFETHPSPWPALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLISWMIFKNPISGLNAVGCGITLVGCTFYGYVRHLLSQQPPGTPRTPRTPRNRMEQLLPLVNNNDKLDDKI >KJB59218 pep chromosome:Graimondii2_0_v6:9:19725427:19729393:-1 gene:B456_009G245400 transcript:KJB59218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSVVDPEDRWKRIFPMSFVFCVNIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFDWRIWASLIPIVGGILLTSITELSFNMFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATLILGVPAMLLEGNGIMEWFETHPSPWPALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLISWMIFKNPISGLNAVGCGITLVGCTFYGYVRHLLSQQPPGTPRTPRTPRNRMEQLLPLVNNNDKLDDKI >KJB59217 pep chromosome:Graimondii2_0_v6:9:19725427:19728318:-1 gene:B456_009G245400 transcript:KJB59217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKVLKLKPLIVVDPEDRWKRIFPMSFVFCVNIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFDWRIWASLIPIVGGILLTSITELSFNMFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATLILGVPAMLLEGNGIMEWFETHPSPWPALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLISWMIFKNPISGLNAVGCGITLVGCTFYGYVRHLLSQQPPGTPRTPRTPRNRMEQLLPLVNNNDKLDDKI >KJB59215 pep chromosome:Graimondii2_0_v6:9:19725315:19729488:-1 gene:B456_009G245400 transcript:KJB59215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRLCQWSVCRSLLAIVQWWGFNVTVIIINKWIFQKLDFKFPLSVSCVHFITSSFGAYMVIKVLKLKPLIVVDPEDRWKRIFPMSFVFCVNIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFDWRIWASLIPIVGGILLTSITELSFNMFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATLILGVPAMLLEGNGIMEWFETHPSPWPALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLISWMIFKNPISGLNAVGCGITLVGCTFYGYVRHLLSQQPPGTPRTPRTPRNRMEQLLPLVNNNDKLDDKI >KJB61063 pep chromosome:Graimondii2_0_v6:9:37349352:37351571:1 gene:B456_009G338400 transcript:KJB61063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRCRLSNQQHHPQLPVRKAATAETLREKKKVREGGKRGSLRKSSAVVDSARKYNLLVFFIFIFLADSTHAYDFLYFLFLLTLSLYLFFARSDCFEGGKGETVSGNFRNGGVGKILNFLCILIMKNLSNSSHSTDFQHFLSIFLPFFNPFCFIISCSLQTFEEKKL >KJB61064 pep chromosome:Graimondii2_0_v6:9:37349352:37350973:1 gene:B456_009G338400 transcript:KJB61064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRCRLSNQQHHPQLPVRKAATAETLREKKKVREGGKRGSLRKSSAVVDSARKYNLLVFFIFIFLADSTHAYDFLYFLFLLTLSLYLFFARSDCFEGGKGETVSGNFRNGGVGKILNFLCILIMKNLSNSSHSTDFQHFLSIFLPFFNPFCFIISCSL >KJB55176 pep chromosome:Graimondii2_0_v6:9:4807617:4808328:-1 gene:B456_009G067400 transcript:KJB55176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNIKKISSRKQTMQRMCWVFVWEKDVRIRYRQPQPGACPYCGGMLQAMNIKTHWKLCFLPVFFWKKRKIHCSTCAK >KJB59779 pep chromosome:Graimondii2_0_v6:9:22692251:22693697:-1 gene:B456_009G271400 transcript:KJB59779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGSGEKKLVKKRAQASSRKGCMRGKGGPENALCTYKGVRQRTWGKWVAEIREPNRGSRLWLGTFDTALEAAMTYDSAARKLYGSDAKLNLPHLCVNSRFPPPSSNTQVAPIGNQPLNATTSSPNSPIIIRVDEVTPVSNSESDMSQGNMVANNAKFGQNQEENGGFWENMTMNLPVLDDSIWAEAVMSLDFPVMDDPSIFGTSLVDTTGWDALQTPWCM >KJB59731 pep chromosome:Graimondii2_0_v6:9:22418036:22420114:1 gene:B456_009G268800 transcript:KJB59731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLRQTLTKTYTTAVIRRFSTMAAVASPSEYEDPTGITMKGVKISGRPLYLDMQATTPVDPRVLDSMLPFYLSRYGNPHSRTHLYGWESETAVETARAQVAALIGASPKEIVFTSGATESNNISIKGVMHFYKDKKRHVITTQTEHKCVLDSCRHLQQEGFEVTYLPVGSDGLIDLDRLRKEIRPDTGLISVMAVNNEIGVVQPVEEIGQICKEFNVPFHTDAAQALGKIKVDVEKWNVSLMSLSGHKIYGPKGVGALYMRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGMGAACELAMKEMEYDEKRIKGLQERLLNGIREKIDGVLVNGSMDRRYVGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTEEEIDRAVELTVKQVEKLREMSPLYEMVKEGIDIKQIQWAQH >KJB55011 pep chromosome:Graimondii2_0_v6:9:4170065:4173419:1 gene:B456_009G058000 transcript:KJB55011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLFSFSGTDDFCPGGSIYTNPKESSLFLSLGRHVDVYFPSRKRSRISAPFVFSGERFEQKKPSIEVLPDECLFEIFRRLPGGQERSSCACVSKRWLTIVSNIRSNEISDNKTTQALDLNYESTDKKGGDVSEVEDEDVAGGYLSRSLEGKKATDVRLAAIAVGTAGRGGLGKLFIRGSNSSRGVTAVGLRAISRGCPSLRVLSLWNLATVGDGGLCEIAEGCHQLQKLDLCHCPAITNESLLSLAKGCPDLTDLTIEGCANIGNEGIQAIARCCPNLKSVSIKDCPLLGDQGIASLLTSASYSLSKLKLQALNITDVSLAVIGHYGKAVTDLSLTSLPNVTEKGFWVMGNGHGLQKLKSFTVKACRGVTDLGLEAIGKGCPNLKQFCLRKCAFLSDNGLVSFAKAAGSLESLELEECHRVTQFGFFGSLINCGAKFKAISLMNCLGIKDLNVGLPPLPPCESLRSLSIRNCPGFGDASLAALGKLCPQLQNVELSGLHGITDVGFLPLLESCEAGLVKVNLSGCPNLGDKVVCKMADLHGWTLEMLNLDGCKVSDAGLVAIAENCRVLSDLDVSKCTITDSGIAALACSNLINLQILSVSGCNLVSDKSLPSLGKLGQTLLGLNLQQCKAISSGAVDLLVEQLWRCDILF >KJB57682 pep chromosome:Graimondii2_0_v6:9:13545139:13548260:-1 gene:B456_009G175300 transcript:KJB57682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFHAGICGETWWDSSKTLFTGCSSPCSTGLAADMGSFGWSADMVDIKARSSCEESKDSLAFPLGAQQGDSDSCGSSILNDSTLQMMGFGLSSSTTSGWNQSLLRSNGRSESYNSVLQEGINSRLSCRKETGMDSSQIQKEWSPRSYTSPGEDSSITTFKPLNQDFSLEQQRLNSLSSSGNSRPTCQFPIGSVSYGYPSTLLQTLFDPDSQPQSQQLSLFNNNRSIDYMSATATYGANNATESSPSWPRPAPFVRPSLPKQQPSNLHFTNNAPLWNASATGVNDVKAGFSPSQLQPEFLLQTFEEKPNCPSLTKKTNTEEVRNSGSPVKKGLSSEPPLLKRPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVNVLITPYMKQAVPSTQQQSTESLKEPEGPKQDLRSLGLCLVPISSTFPVANETTVDFWTPTFGGTFR >KJB57683 pep chromosome:Graimondii2_0_v6:9:13545566:13547526:-1 gene:B456_009G175300 transcript:KJB57683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSQIQKEWSPRSYTSPGEDSSITTFKPLNQDFSLEQQRLNSLSSSGNSRPTCQFPIGSVSYGYPSTLLQTLFDPDSQPQSQQLSLFNNNRSIDYMSATATYGANNATESSPSWPRPAPFVRPSLPKQQPSNLHFTNNAPLWNASATGVNDVKAGFSPSQLQPEFLLQTFEEKPNCPSLTKKTNTEEVRNSGSPVKKGLSSEPPLLKRPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVNVLITPYMKQAVPSTQQQSTESLKEPEGPKQDLRSLGLCLVPISSTFPVANETTVDFWTPTFGGTFR >KJB58832 pep chromosome:Graimondii2_0_v6:9:17936363:17940793:1 gene:B456_009G228500 transcript:KJB58832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] MVEKEKIELWSNKNFRSSQKHGPLFPPVAKRDISLPPAFSSFSSQPFFLTMSFPLTSSFRSLPSHFVASFLFNSNSAKLQSPILMSLPQNPSSFPRHISRSFTRAPPNSSAVFRPNLRVRASSKTPNQATDAKLIAISSAVTITFAVANRVLYKLALVPMKEYPFFLAQFTTFGLPKSRFAAIGMLEALGVASGMASAAMLPGPAIPILNQTFLVWQLLFSAVLLGRRYSFNQIAGCLLVAVGVIVAVTSGSETSQVLSGIKLMWPGVMIASAAFQAGASIIKEFVFIDAAKRLNGKSLDIFVVNSFGSGFQALFVLLLLPLLSNLKGIPFLELPSYLKGGAACFLNLGGETSGCEGAPLLPLLYIVTNMAFNISVLNLLKVSSAIVASLTVTLSVPLSIYILSLPLPYLPNGASLSPFFLFGSLILMSGLVLYNIAQPSKAGSK >KJB58833 pep chromosome:Graimondii2_0_v6:9:17936504:17939084:1 gene:B456_009G228500 transcript:KJB58833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] MVEKEKIELWSNKNFRSSQKHGPLFPPVAKRDISLPPAFSSFSSQPFFLTMSFPLTSSFRSLPSHFVASFLFNSNSAKLQSPILMSLPQNPSSFPRHISRSFTRAPPNSSAVFRPNLRVRASSKTPNQATDAKLIAISSAVTITFAVANRVLYKLALVPMKEYPFFLAQFTTFGYVAIYFSILFLRYRAGIVANEMLSLPKSRFAAIGMLEALGVASGMASAAMLPGPAIPILNQTFLVWQLLFSAVLLGRRYSFNQIAGCLLVAVGVIVAVTSGSETSQVLSGIKLMWPGVMIASAAFQAGASIIKEFVFIDAAKRLNGKSLDIFVVNSFGSGFQVLSLCFFCCLCCQI >KJB58831 pep chromosome:Graimondii2_0_v6:9:17936322:17940794:1 gene:B456_009G228500 transcript:KJB58831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] MVEKEKIELWSNKNFRSSQKHGPLFPPVAKRDISLPPAFSSFSSQPFFLTMSFPLTSSFRSLPSHFVASFLFNSNSAKLQSPILMSLPQNPSSFPRHISRSFTRAPPNSSAVFRPNLRVRASSKTPNQATDAKLIAISSAVTITFAVANRVLYKLALVPMKEYPFFLAQFTTFGYVAIYFSILFLRYRAGIVANEMLSLPKSRFAAIGMLEALGVASGMASAAMLPGPAIPILNQTFLVWQLLFSAVLLGRRYSFNQIAGCLLVAVGVIVAVTSGSETSQVLSGIKLMWPGVMIASAAFQAGASIIKEFVFIDAAKRLNGKSLDIFVVNSFGSGFQALFVLLLLPLLSNLKGIPFLELPSYLKGGAACFLNLGGETSGCEGAPLLPLLYIVTNMAFNISVLNLLKVSSAIVASLTVTLSVPLSIYILSLPLPYLPNGASLSPFFLFGSLILMSGLVLYNIAQPSKAGSK >KJB55342 pep chromosome:Graimondii2_0_v6:9:5103674:5107097:-1 gene:B456_009G071900 transcript:KJB55342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLQHHHHHHHLLDYHQSQHNQKQMNSGLTRYQSAPSSYFSNILDRDFCQEILNRPSSPETERIIAKFLSSSGDAAGGGDANTETIPPQNLCTATQSSPVRETSVKIEQSAQIMTPTNNQTGLMQQPNYSSASQNFYQSQPPQYLLNQQPSASAMDYTTPNPTGMKMGGGNNSNLIRHSSSPAGLFSKINIENIAGYGVMRGMGDYGSVNSSNRETTFRSASRPPTPSGLMTPIAEMGNKSMGPFSSENAGFGENRPNNYSSGLPVTSWDDSMMISDNMSGIKRLREDDRSLSGLDGAETKNADGGNHRPPPLLAHHLSLPKSSDMSAIEKFLQYQDSVPCKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDKQTNTADMLDLAVDYIKDLQKQVKSLSDSRAKCSCAAQQQQQQQ >KJB55344 pep chromosome:Graimondii2_0_v6:9:5104951:5106452:-1 gene:B456_009G071900 transcript:KJB55344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLQHHHHHHHLLDYHQSQHNQKQMNSGLTRYQSAPSSYFSNILDRDFCQEILNRPSSPETERIIAKFLSSSGDAAGGGDANTETIPPQNLCTATQSSPVRETSVKIEQSAQIMTPTNNQTGLMQQPNYSSASQNFYQSQPPQYLLNQQPSASAMDYTTPNPTGMKMGGGNNSNLIRHSSSPAGLFSKINIENIAGYGVMRGMGDYGSVNSSNRETTFRSASRPPTPSGLMTPIAEMGNKSMGPFSSENAGFGENRPNNYSSGLPVTSWDDSMMISDNMSGIKRLREDDRSLSGLDGAETKVPHL >KJB55343 pep chromosome:Graimondii2_0_v6:9:5103624:5107207:-1 gene:B456_009G071900 transcript:KJB55343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLQHHHHHHHLLDYHQSQHNQKQMNSGLTRYQSAPSSYFSNILDRDFCQEILNRPSSPETERIIAKFLSSSGDAAGGGDANTETIPPQNLCTATQSSPVRETSVKIEQSAQIMTPTNNQTGLMQQPNYSSASQNFYQSQPPQYLLNQQPSASAMDYTTPNPTGMKMGGGNNSNLIRHSSSPAGLFSKINIENIAGYGVMRGMGDYGSVNSSNRETTFRSASRPPTPSGLMTPIAEMGNKSMGPFSSENAGFGENRPNNYSSGLPVTSWDDSMMISDNMSGIKRLREDDRSLSGLDGAETKNADGGNHRPPPLLAHHLSLPKSSDMSAIEKFLQYQDSVPCKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDKQTNTADMLDLAVDYIKDLQKQVKSLSDSRAKCSCAAQQQQQQQ >KJB53995 pep chromosome:Graimondii2_0_v6:9:1223564:1224808:-1 gene:B456_009G015400 transcript:KJB53995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGILYGMVARGQVVLAEFSATQTNASTVARQILEKMSQGKNDSNSSFSHDRYIFHVKRTDGLTVLCMADDASGRRIPFAFLEEIHQKFVKTYGRAIQSASGYAMNEEFSRVMSQQMEHFSTDPNADRLNRLKGEMSQVRSVMIDNIEKVLERGDRLELLVEKASTMQGNSLRFKKQSRRYKNAMWWSNCKITVTLILLLSLIIAYILFAFVF >KJB55683 pep chromosome:Graimondii2_0_v6:9:6474946:6478754:-1 gene:B456_009G088800 transcript:KJB55683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKNGDRSEVSDYSSEDEGTEDYRRGGYHAVRIGDTFKNGCYVVQTKLGWGHFSTVWLAWDTQRSRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDPDDKKCVVKLLDHFKHSGPNGQHMCMVFEYLGDNLLTLIKYSDYRGIPLHMVKEISHHILVGLDYLHRELSIIHTDLKPENVLLLSMVDPSRDPTKSGASLVLPTRKDKVVAESVASKEIRSSNGDLTRNQKKKIRKRAKKAAQGCVGREASEENEIDSKTGGTEDTNADAKSNEVCGDEQANSSEVKDDAKRDGINNGNQGKERHRRGSRATRKKLLAEVDLRCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGENYDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRHGDLRHIRRLRFWPLSKVLTEKYDFSEQDANDMADFLIPILDFLPEKRPTAAQCLTHQWLGAGPRVLEPSTTALEQRTGDGTSEKERKDKDDREAMEAGMGNIAIDGGASKPSKETPP >KJB55685 pep chromosome:Graimondii2_0_v6:9:6476075:6478754:-1 gene:B456_009G088800 transcript:KJB55685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKNGDRSEVSDYSSEDEGTEDYRRGGYHAVRIGDTFKNGCYVVQTKLGWGHFSTVWLAWDTQRSRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDPDDKKCVVKLLDHFKHSGPNGQHMCMVFEYLGDNLLTLIKYSDYRGIPLHMVKEISHHILVGLDYLHRELSIIHTDLKPENVLLLSMVDPSRDPTKSGASLVLPTRKDKVVAESVASKEIRSSNGDLTRNQKKKIRKRAKKAAQGCVGREASEENEIDSKTGGTEDTNADAKSNEVCGDEQANSSEVKDDAKRDGINNGNQGKERHRRGSRATRKKLLAEVDLRCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGENYDRDEW >KJB55684 pep chromosome:Graimondii2_0_v6:9:6475060:6478664:-1 gene:B456_009G088800 transcript:KJB55684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIKILKQIAEGDPDDKKCVVKLLDHFKHSGPNGQHMCMVFEYLGDNLLTLIKYSDYRGIPLHMVKEISHHILVGLDYLHRELSIIHTDLKPENVLLLSMVDPSRDPTKSGASLVLPTRKDKVVAESVASKEIRSSNGDLTRNQKKKIRKRAKKAAQGCVGREASEENEIDSKTGGTEDTNADAKSNEVCGDEQANSSEVKDDAKRDGINNGNQGKERHRRGSRATRKKLLAEVDLRCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGENYDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRHGDLRHIRRLRFWPLSKVLTEKYDFSEQDANDMADFLIPILDFLPEKRPTAAQCLTHQWLGAGPRVLEPSTTALEQRTGDGTSEKERKDKDDREAMEAGMGNIAIDGGASKPSKETPP >KJB56243 pep chromosome:Graimondii2_0_v6:9:8165728:8167022:1 gene:B456_009G111900 transcript:KJB56243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSSSKRVVSNEVKGDIEWELRPGGMLVQKRNMADAASAPMIKIKVSHGSYHRDIAVPAQSTFGDLKRVLAQETGLEPKEQRLLFQGKEKDDEECLHMVGVKDLSKVVLLEDPASKERKLVEMNSNQSVLKACEEVAKVRSEVDKLSEKVIAVEANVRAGTKVAENEFLVLTELLMVQLLQLDTIEADGEAKVQRRVEVSDYLVMLAFLLDFCKYITVCWT >KJB56242 pep chromosome:Graimondii2_0_v6:9:8165698:8168315:1 gene:B456_009G111900 transcript:KJB56242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSSSKRVVSNEVKGDIEWELRPGGMLVQKRNMADAASAPMIKIKVSHGSYHRDIAVPAQSTFGDLKRVLAQETGLEPKEQRLLFQGKEKDDEECLHMVGVKDLSKVVLLEDPASKERKLVEMNSNQSVLKACEEVAKVRSEVDKLSEKVIAVEANVRAGTKVAENEFLVLTELLMVQLLQLDTIEADGEAKVQRRVEVRRVQGLVDTLDHLKARNSNPFSSSCNAVPTSTKWEAFELSSTRITQDWEVFD >KJB56240 pep chromosome:Graimondii2_0_v6:9:8165577:8168350:1 gene:B456_009G111900 transcript:KJB56240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSSSKRVVSNEVKGDIEWELRPGGMLVQKRNMADAASAPMIKIKVSHGSYHRDIAVPAQSTFGDLKRVLAQETGLEPKEQRLLFQGKEKDDEECLHMVGVKDLSKVVLLEDPASKERKLVEMNSNQSVLKACEEVAKVRSEVDKLSEKVIAVEANVRAGTKVAENEFLVLTELLMVQLLQLDTIEADGEAKVQRRVEVRRVQGLVDTLDHLKARNSNPFSSSCNAVPTSTKWEAFELSSTRITQDWEVFD >KJB56241 pep chromosome:Graimondii2_0_v6:9:8165698:8168315:1 gene:B456_009G111900 transcript:KJB56241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSSSKRVVSNEVKGDIEWELRPGGMLVQKRNMADAASAPMIKIKVSHGSYHRDIAVPAQSTFGDLKRVLAQETGLEPKEQRLLFQGKEKDDEECLHMVGVKDLSKVVLLEDPASKERKLVEMNSNQSVLKACEEVAKVRSEVDKLSEKVIAVEANVRAGTKVAENEFLVLTELLMVQLLQLDTIEADGEAKVQRRVEVSDYLVMLAFLLDFCKYITVCWT >KJB56462 pep chromosome:Graimondii2_0_v6:9:8923205:8927263:-1 gene:B456_009G120500 transcript:KJB56462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFVVGYLLLSFGTLISLVHSWTDSRDVNALNVMFKSLKAPSQLSGWRVSGGDPCSDSWQGVKCSGSRVTEISLSNYGLNGELGYQLSNLTSLTSLDVSKNNLNDQIPYQLPPNAVRINLSHNQFTGNVPYSISQMSNIESINLSNNKLDGTLSDMFAKVKKLKSFDLSNNELTDKLPNSFANLTNLNTLRLQNNQLTGTLNVLADLPIEDLNVENNKFSGWIPNELKGIRSLKTGGNSWSTGKAPPPPPGVRHRHPNAKEDQDNKDDGEKSKLVRNVAIVAGSCLGAMLVFAALMAVFARRKAAHPSSQFLDEERNTGTKDNTPFQSRELAGAQYVSNVKESKGLKTIQSGVTLDQASLQISGPMGLNRSVSGRGSSVSEQTSRLKGRSSTSAPVIAYSLADLQNATGDFASRNLQGEGNIGRVYRAKCEDGKVLAVKKIDPILIQGEKPEGFAEIVANISKLHHPNIAEFVGYCSERGNYLLVYDHYRNGSLHEFLHVSDDFSKPLTWNTRVSIALGAARAVEYLHDVCSPPIVHKNIKSSNILLDLELTPHLSDYGMAHFHQRTSQNLGMGYNAPECSRPSAYTLKSDVYSFGVVMLELLTGRMPLDNKRPQTEQCLVKWASPRLQDNDALAAMVDPALRGLYPPKSLSPFADIIAHCVKSDPKLRPAMSEVVQSLIQLVQQSNMNLGDDLSTSRRTEDSDYAVYV >KJB59751 pep chromosome:Graimondii2_0_v6:9:22515779:22517443:-1 gene:B456_009G269600 transcript:KJB59751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELKHYAPGVPIVLVGTKLDLRDDEQFFIDHPGSTPISAAQGEELRKQIESPSYVECSSKTQQNVKAVFDSAIKVVLQPPKKIKKKKSYVGCSIL >KJB59750 pep chromosome:Graimondii2_0_v6:9:22515505:22517730:-1 gene:B456_009G269600 transcript:KJB59750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELKHYAPGVPIVLVGTKLDLRDDEQFFIDHPGSTPISAAQETDRIPFLRRM >KJB59752 pep chromosome:Graimondii2_0_v6:9:22515505:22517833:-1 gene:B456_009G269600 transcript:KJB59752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELKHYAPGVPIVLVGTKLDLRDDEQFFIDHPGSTPISAAQGEELRKQIESPSYVECSSKTQQNVKAVFDSAIKVVLQPPKKIKKKKSYVGCSIL >KJB54496 pep chromosome:Graimondii2_0_v6:9:2691763:2692663:-1 gene:B456_009G036300 transcript:KJB54496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGSDTQNPTSKLSTPLETHCVKCDSCGFTEECTVAYIMRVRERYQGRWICGLCIEAVKDEVLRSVTLISTEEALDRHISFCNKFRAWSPSDETEHPIFAMGRVLRRSLDSPRPLRTKSSSVLPGLEGVKRPSLLRSDSCFSALSI >KJB60046 pep chromosome:Graimondii2_0_v6:9:24497270:24500268:-1 gene:B456_009G287300 transcript:KJB60046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAAPLSGPLVIAEALGGGKSSKKPVKAKDHSKKDRYLFKRRDEAASPTMPSTFREGSPTFVAGDYVLQKRAPVSQIPVKQEQTVVMSKDVSSSGDLSGNAVPSANQTSAPAAAIDGKPSLNKSDGVSATFQSEGDVIFDPKSEGGNLSRSYEVVQKPDMDSTAKLEGGQGLDQVRDGLTSEHPYPVDIKRPGGVSAEGGVKKVKKRSSADIGVENSALVEKKKKKKKKETGSETNSDKPKKPSFLGKDGAKSAHIGLGPREESQVNQQKKDVDPTHSSFNSVGASTTIGVGNSGFELAQLLSDLHALALDPFHGVERNSPTIVRQCFLRYRSLVYQKSLVVLPTSEMDSTELRAGKPPLVGGSDNTKENVRDSTPSKPVRPLARPDDPTKAGLKRLPSDRLEEIAAKRLKKLSQLKSLTAEKKGNLRASEAPKVEVKEQPTTGPPARPTKKPDSLRKVESLPRAVEPTMLVMKFPPQVSLPSVAELKARFGRFGSLDQSAIRVFWKSSTCRVVFRHKIDAQAAYRYANGTNSLFGNVNVRYHLRSVEAPTAEALDSDKARGDETGSETIRVKDPVVERPAAPVVAHQPLPQPTVQLKSCLKKPTSEEAGQASGGNGGRGTARVKFMLGGEETSRGDQLMVGNRNFNNNPSFGDTAAPSVAMEFNTKNIQKVIPQSSSSFPVNPPIPQFGKAPTEVAPRNVHNLNTQTTTPPASSTTSMDISQQMLSLLTKCNDVVTNVTSMLGYVPYHPL >KJB60047 pep chromosome:Graimondii2_0_v6:9:24496821:24501890:-1 gene:B456_009G287300 transcript:KJB60047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVVNDDAEFDKRSDTIEEKARVSTDEAIGSRNEDNRLSLGVSDEEGRVSPMEHDLKDFRVSENNRSEEVRESNANSVDRRIGDESRVFDVNDRVEQNDMINDDENDRIENSEKLEKDTGSDYKSLLSEFDDYVANDRIGGGTSRALSYGFEVGDMVWGKVKSHPWWPGHIFNEAFASSSVRRTRREGHVLVAFFGDSSYGWFDPAELVPFDRHFMEKSQQTNSRTFVKAVEEAMDEASRRRGLGLACKCRNPYNFRPTNVQGYFVVDVPDYEPNGVYSVNQIRNARNSFKPSETLSFMKQLASDTGAFDQQSIEFLKNKATVCSFRKAVFEEYDETYAQAFGVRPSRPSNSAVDAPTRPSKEAPRAPLSGPLVIAEALGGGKSSKKPVKAKDHSKKDRYLFKRRDEAASPTMPSTFREGSPTFVAGDYVLQKRAPVSQIPVKQEQTVVMSKDVSSSGDLSGNAVPSANQTSAPAAAIDGKPSLNKSDGVSATFQSEGDVIFDPKSEGGNLSRSYEVVQKPDMDSTAKLEGGQGLDQVRDGLTSEHPYPVDIKRPGGVSAEGGVKKVKKRSSADIGVENSALVEKKKKKKKKETGSETNSDKPKKPSFLGKDGAKSAHIGLGPREESQVNQQKKDVDPTHSSFNSVGASTTIGVGNSGFELAQLLSDLHALALDPFHGVERNSPTIVRQCFLRYRSLVYQKSLVVLPTSEMDSTELRAGKPPLVGGSDNTKENVRDSTPSKPVRPLARPDDPTKAGLKRLPSDRLEEIAAKRLKKLSQLKSLTAEKKGNLRASEAPKVEVKEQPTTGPPARPTKKPDSLRKVESLPRAVEPTMLVMKFPPQVSLPSVAELKARFGRFGSLDQSAIRVFWKSSTCRVVFRHKIDAQAAYRYANGTNSLFGNVNVRYHLRSVEAPTAEALDSDKARGDETGSETIRVKDPVVERPAAPVVAHQPLPQPTVQLKSCLKKPTSEEAGQASGGNGGRGTARVKFMLGGEETSRGDQLMVGNRNFNNNPSFGDTAAPSVAMEFNTKNIQKLVVQQAWIFHSRC >KJB60048 pep chromosome:Graimondii2_0_v6:9:24497270:24501548:-1 gene:B456_009G287300 transcript:KJB60048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVVNDDAEFDKRSDTIEEKARVSTDEAIGSRNEDNRLSLGVSDEEGRVSPMEHDLKDFRVSENNRSEEVRESNANSVDRRIGDESRVFDVNDRVEQNDMINDDENDRIENSEKLEKDTGSDYKSLLSEFDDYVANDRIGGGTSRALSYGFEVGDMVWGKVKSHPWWPGHIFNEAFASSSVRRTRREGHVLVAFFGDSSYGWFDPAELVPFDRHFMEKSQQTNSRTFVKAVEEAMDEASRRRGLGLACKCRNPYNFRPTNVQGYFVVDVPDYEPNGVYSVNQIRNARNSFKPSETLSFMKQLASDTGAFDQQSIEFLKNKATVCSFRKAVFEEYDETYAQAFGVRPSRPSNSAVDAPTRPSKEAPRAPLSGPLVIAEALGGGKSSKKPVKAKDHSKKDRYLFKRRDEAASPTMPSTFREGSPTFVAGDYVLQKRAPVSQIPVKQEQTVVMSKDVSSSGDLSGNAVPSANQTSAPAAAIDGKPSLNKSDGVSATFQSEGDVIFDPKSEGGNLSRSYEVVQKPDMDSTAKLEGGQGLDQVRDGLTSEHPYPVDIKRPGGVSAEGGVKKVKKRSSADIGVENSALVEKKKKKKKKETGSETNSDKPKKPSFLGKDGAKSAHIGLGPREESQVNQQKKDVDPTHSSFNSVGASTTIGVGNSGFELAQLLSDLHALALDPFHGVERNSPTIVRQCFLRYRSLVYQKSLVVLPTSEMDSTELRAGKPPLVGGSDNTKENVRDSTPSKPVRPLARPDDPTKAGLKRLPSDRLEEIAAKRLKKLSQLKSLTAEKKGNLRASEAPKVEVKEQPTTGPPARPTKKPDSLRKVESLPRAVEPTMLVMKFPPQVSLPSVAELKARFGRFGSLDQSAIRVFWKSSTCRVVFRHKIDAQAAYRYANGTNSLFGNVNVRYHLRSVEAPTAEALDSDKARGDETGSETIRVKDPVVERPAAPVVAHQPLPQPTVQLKSCLKKPTSEEAGQASGGNGGRGTARVKFMLGGEETSRGDQLMVGNRNFNNNPSFGDTAAPSVAMEFNTKNIQKVIPQSSSSFPVNPPIPQFGKAPTEVAPRNVHNLNTQTTTPPASSTTSMDISQQMLSLLTKCNDVVTNVTSMLGYVPYHPL >KJB55446 pep chromosome:Graimondii2_0_v6:9:5528192:5531922:1 gene:B456_009G076700 transcript:KJB55446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPKKHFVPFLLLLAFCVLLLLYSPRPNSISTQFPLNPHSIASSAATSTSASSTFSLTIKVLTFNRLNSLTRCLTSLSKAHYHPDHPVHLHIFVDHFPNQTQSDIDLKLQESLGILRFVDGFQWKWGQKVVHYRTTNVGLQAQWLEAWWPTSDDEFAFVVEDDLELSPLFFKYLRALILNYYYNASNFSPFVYGASLQRPRFVPGKHGNKMLLEKTSGLFLYQLVGTWGQLLFPKPWKEFRLWYDDHRAKGIKPFLDGMISTGWYKKMGERIWSPWFIKFIHSRGYFNIYTKFPDEKSLSVSHRDAGVNYGKTAGPDSQLLDENSLDSDFPEMKSLSTMKKYDFCFREVVSGRIVWSLNDLGSILPSVQKKEAVLLVSLFGVSETVTRNLLCHFERLNIWNYIFIGPAAEFLFHLAQGGHPVIDADGFLEDIKSFKSLRIKESNARLIKEILLKAYVVKKGLELGYNTWVVDGNMVFIDNEFFLDPMDNFYAGESLDLFYVKNSPSAHKIWTHDFLHDVAAMVDKIALPSDTLNFASVMAKLVGQKGIRFKRIDEKSFGMKIGNQNLNQALETNKKVVYWFRELDMNSIQKHLQEMSLWVIDNDSSCRAVVCHNS >KJB55445 pep chromosome:Graimondii2_0_v6:9:5528192:5531922:1 gene:B456_009G076700 transcript:KJB55445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPKKHFVPFLLLLAFCVLLLLYSPRPNSISTQFPLNPHSIASSAATSTSASSTFSLTIKVLTFNRLNSLTRCLTSLSKAHYHPDHPVHLHIFVDHFPNQTQSDIDLKLQESLGILRFVDGFQWKWGQKVVHYRTTNVGLQAQWLEAWWPTSDDEFAFVVEDDLELSPLFFKYLRALILNYYYNASNFSPFVYGASLQRPRFVPGKHGNKMLLEKTSGLFLYQLVGTWGQLLFPKPWKEFRLWYDDHRAKGIKPFLDGMISTGWYKKMGERIWSPWFIKFIHSRGYFNIYTKFPDEKSLSVSHRDAGVNYGKTAGPDSQLLDENSLDSDFPEMKSLSTMKKYDFCFREVVSGRIVWSLNDLGSILPSVQKKEAVLLVSLFGVSETVTRNLLCHFERLNIWNYIFIGPAAEFLFHLAQGGHPVIDADGFLEDIKSFKSLRIKESNARLIKEILLKAYVVKKGESLDLFYVKNSPSAHKIWTHDFLHDVAAMVDKIALPSDTLNFASVMAKLVGQKGIRFKRIDEKSFGMKIGNQNLNQALETNKKVVYWFRELDMNSIQKHLQEMSLWVIDNDSSCRAVVCHNS >KJB61799 pep chromosome:Graimondii2_0_v6:9:51813196:51814560:-1 gene:B456_009G381700 transcript:KJB61799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFFVKKGSETPSGLTVRTLSSCYFMSDNFKKSVPKICTSPIETILCLDHKQSMYCQLLTGLLQRDHVVSISSIFGSVLARSIKFLQDHWNELCSNIRTGCLSDWITDPGCRNAVSSILTRPNPELADTIEHIYGNESWEGIIKKLWPKAKYINSVITGTMSQYISLLDFYGGGIPLVSPSCYGSSESTFGINLNPLSNPYDISYTFLPNMAYFDQWSSEMTNANGNVEPVDFANVKLGQFYEVVVTTFTDLYRYRVGDVLNLSNDEILLSIDMDKTSEADLLKAIGNAKRHLEPLGFVLMTFSSYAESSSIPGRYVLFWELKFKERNRCLKLDAKIMEQCCSIVEESLDFRYKSLREANKIAALELRVVKHGTFDAFMDFYVSKRAELRKL >KJB60654 pep chromosome:Graimondii2_0_v6:9:30052853:30054366:-1 gene:B456_009G317600 transcript:KJB60654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCGKLREAAKAGNIDSLYAVIRKDPYILDRIDQDPFIDTTVQVAVTSGQDDFAVEVMNLKPSLARKLNTDGYSPIHLAIQDERMVLCLLEIDKDLVRVKGREGYTPLHYAAEQGNLRLLAQFLVDSPEYSLHIAAKNNKLEAVQVLAQLLRRTYFYCSSMRKKLLNWKDKDGNTALHVAAYNQPQMIKFLLDCEVKVNEINSSGKTPLDVIQELQTPDEASKRDSMKILRNAEALNASLTPRPRHLTPIIENKYYRPRKSIGSSAVLVILVLILTSTYQATLSPPGEPNSSDKKSNHMNSKGGKSTLNYSRDFCLFYVPNTIAFIVTFILRLGLLAVVASGITWLLLPPLLLLYFCLLSSTFDISPENAPVFIAFAPLLSLPIMFRVIGHAKCLGKYTGEKL >KJB58156 pep chromosome:Graimondii2_0_v6:9:15173051:15176903:1 gene:B456_009G196800 transcript:KJB58156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGSRSEKVKRIFQQFDTNRDGGLNRDEMAALVVAVNPRVKFSDEQINAILDEVFRTYGEFIDGEKGLTYDGLLRTYDDGAGDVDRDFDALGLELNFDENKGASIVSEASSSSIADERVIESQKNQRTAAWAVSPHHGIVFDDTWKLVDDLEILLKRLKAKQAKDAKFRNDNFDAYSDAGWSRELGASAELSEKRVYWEESGPDYALFVKELGALRSRADGARSREEAFDGHMAIGRVLYEHQLFKEALICFKRSCELQPMDVRPHFRAGNCLYVLGKSKEAKEEFLLALESAEAGGNQWGYLLPQIYVNLGIALEGEGMVLSACEYYREAAILCPTHYRALKLLGSALFGVGEYRAAVKALEEAIFMKPDYADAHCDLASALHGMGEDERAIEVFQKAIDLKPGHVDALYNLGGLYMDLGRFQRASEMYTRVLAVWPNHWRAQLNKAVSLLGAGETEEAKKALKEALKMTNRVELHDAIYHLKQLQKKKVKTNGGTNGEAAFVIMDPSKFKTLGEKTTLRQDLGNALQIRAFQRLTRLNRCEVDLLKKEMNETDVPVSYSGSGGPQKSIRKPNLEEVLRKLLNFLKPETFQGAVKAINEKILSVLDETGSGRVDLGMFYAVLAPLCSGPPDKRKRIAFDALLWRPVNEGGSHIRKVDAVQYIKLLRAIFIPSHGASEMLEVHGETDTSMVSFNEFLIMFNDPDWGFGILSTLLKLETGDRNRHERHVCSVCRYPIIGSRFKEVKSHFSLCNQCYSEGKVPPNFKQDEYKFKEYGKEAEAMKDKCLCFNLQSRKDP >KJB59911 pep chromosome:Graimondii2_0_v6:9:23711848:23713362:-1 gene:B456_009G280500 transcript:KJB59911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKTPSTREEHVYMAKLAEQAERYDEMVEFMEKVSACAENEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEEYVAKIRDYRAKIEYELSSISDGILKLLDKRLIPAASAAESKVFYLKMKGDYHRYLAEFKAGAERKEAAESTLSAYKSAQDIATAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDLQDDGADEIKEAAKQEEEEKQPKQ >KJB55724 pep chromosome:Graimondii2_0_v6:9:6683595:6684096:-1 gene:B456_009G091500 transcript:KJB55724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIFWLALKLGFELDIFVTASLVHVYTRFRALSYALKLFDDMPVRDMVSWNAMIFGSYQNGNATEALDVLNKMRLEGIMLDLITISKHSSYLCTIRLYFYWNIDCCICY >KJB59564 pep chromosome:Graimondii2_0_v6:9:21527768:21530605:-1 gene:B456_009G261000 transcript:KJB59564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQQGLVTWFAGVLFLRTLLLSCADVHHYEFFVLESNFTKLCNTTTLLVVNDSYPGPQIRVHRCDTVFVNVHNQGNYGFTIHWHGVKQPRNPWSDGPEFITQCPIQPGTNFTYEIVLSDEIGTLWWHAHSDWTRGSVHGAFIILPAENETYPFPTPDADQTIILESWYDGDYKQIIDDALAAGVSPRQPNAYAINGHVGDTYGCPNDTIFRMLVDSEKIYLLRIINAAMNEHFFFTIANHTLTVVAQDASYVRRFTRDYILISPGQTMDVLVSANRNVGQYYMAIRPFSDSSAAPVDNITTGIFQYTNSEGGLNASLITLPAMTDTDAMINFLDQIRNTNVSQNQGINVPADKDIKTRAFIAIAVNNLPCNTCVLGTRLVASLNNVSFVSPRIDILQAYYNRNMSGVFTEDFPLNPPVFYNFTGDLTNFNTPVAEGTRVIVVNYGEGVEMVLQATQMGAGGSHPIHLHGFSFYWVGTGFGNFNNETDPSTYNLVDPPLINTVHVPGRRWVAIRFFATNPGVWFMHCHLDRHSSWGMDTVLIVRNGRTEETSIRPPPSTMPRCPGT >KJB60645 pep chromosome:Graimondii2_0_v6:9:29899560:29902495:-1 gene:B456_009G316900 transcript:KJB60645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSKNVESKPTPSVIARLMGLDELRPQELVKKPKQQRVLSENYRRKVASIGVWEKKTGDEHRSFQFSIEEQEFIRESGPSLNESFAGAGFMTVGIKLQPSKEVHSGLPGLRFAGSRKDCFENHFQKPNYPTTKHVYNQEGSTSNLQAENVRLLESAYAFGCEKNDIYRKVRGTTHQEDPKLLQKLDNRRIKDSRMKYGHDSTYMVPRFRRESNNERRPSFRKIVIPNPTKVEKAKNWLASPSFSEASYSSNWKDKGFVSHGKGTLHAQVKEMKNVCNGVKHTGHRSILSCETEKEIFRNTRHNMSNISLEPLRLGFNGVHSFKEEPEFMMVFSPNNSELNNWNKPSCYYLDGSYMTLEARKQISERWRMTKDFRENGLTGRCRSRTRDEMLTLLDHGNCANFQSPLGISSRGGQKIGGVGDLIRSISPAYCTYVESSKIMTDRKAFHGDSYITMGQRKQDASGNYGLEQRNSVSIYRKSRPNLYLESENSHLLQDVYVINNMFHNNLEQQDLSNENSVVSKSLNHNIIHFNSENKITTIDQWNIIKDENMPAEDCLLHKSSMCTAASLSIASDMSVTVETDVGESIRNHKQHQFESTGCTMLEKDYDSSLCIHITSSQQEDVAMKISNECSKDTEFLVNSETTHHPSLVSVLEAPFQEEILSNTKCFGSVMASLHDSFMILFSSRRTAATRFLKPEPLKGYSEGSGMVVSTDDDNDTGIESLKDCEVIDDDSARLFRVEENGDFSYLVDLLTEEGFRGPSIFDKLERKYGEQISWNRSARRLLFDRINSGLIELFRPCFGEPMRAKPVARRLSHRQNLKEIEEQLYKLLVSHEKEAIKNSSEKLFGKD >KJB57414 pep chromosome:Graimondii2_0_v6:9:12489059:12491431:-1 gene:B456_009G162600 transcript:KJB57414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKLNDLVEICKKEEGFSGVYYTAILLAAVGRKRYLVRYENRFNEDGSRFLTEAVDSDEIRPSPPQPSGYNFAMAERVEAFVDFAWRVGTVIRKVDPNYYVKLDCNGKEHHCPFFKVRRHLEWQNGTWLSPATGSESSQENRSARGDQPADPGN >KJB56422 pep chromosome:Graimondii2_0_v6:9:8825445:8830709:-1 gene:B456_009G119300 transcript:KJB56422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVYGARLTTFEDSEKESEFGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDTLWEFQPKRIGEGDLLTGGDLYATVFENSLMQHHVALPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKQFTMLQTWPVRTPRPVATKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALETFYEKFDPDFISIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTP >KJB56420 pep chromosome:Graimondii2_0_v6:9:8824196:8831003:-1 gene:B456_009G119300 transcript:KJB56420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVYGARLTTFEDSEKESEFGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDTLWEFQPKRIGEGDLLTGGDLYATVFENSLMQHHVALPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKQFTMLQTWPVRTPRPVATKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALETFYEKFDPDFISIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIVHFNALANQAVEKAAGMDGQKITYSLIKHRLGDLFYRLVSQKFEDPAEGEEALVAKFKKLNEDLTAGFRALEDETR >KJB56421 pep chromosome:Graimondii2_0_v6:9:8824198:8830939:-1 gene:B456_009G119300 transcript:KJB56421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVYGARLTTFEDSEKESEFGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDTLWEFQPKRIGEGDLLTGGDLYATVFENSLMQHHVALPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKQFTMLQTWPVRTPRPVATKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIVHFNALANQAVEKAAGMDGQKITYSLIKHRLGDLFYRLVSQKFEDPAEGEEALVAKFKKLNEDLTAGFRALEDETR >KJB58153 pep chromosome:Graimondii2_0_v6:9:15149185:15153256:1 gene:B456_009G196600 transcript:KJB58153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42480) UniProtKB/Swiss-Prot;Acc:Q9FIG9] MESLRHISIGLCTPTLTPLFHPPKPSRLHHRSTTVVCSASKWAERLLADFQFLPAPDNSVSSSSSSTATLSPPYPPLLAPSPPERHVSIPLDFYKVLGAETHFLGDGIRRAYEARVSKPPQYGFSQDTIISRRQILLAACETLSNPGSRRNYNQGLVDDERDTIITQVPWDKVPGALCVLQEAGETEVVLQIGESLLRERLPKAFKQDVVLAMALAYVDLSRDAMALDPPDFIGGCEVLERALKLLQEEGASSLAPDLQSQIDETLEEITPRCVLELLALPLDDAYRTKRAEGLYGVRNILWAVGGGGAAAIAGGFTREDFMNQAFLCMTAAEQVDLFAATPSNIPAESFEVYGVALALVAQAFLNKKPHLIRDADNLFQQLQQTKVTTLENSVSLYAPVGNREIDFALERGLCSLLVGELDECRSWLGLDSDSSPYRNTSIVEFVLENSKDDDDRDLPGLCKLLEAWLMEVVFPRFRDTKDIQFKLGDYYDDPTVLRYLERLEGAGGSPLAAAAAIVRIGAEATAVLDHVKASAIQALQKVFPLRRSEETARHQLDGEMNNFLPVESEETLGKPDQEDSAILAEVPGISSLEGMYEEETISDKIKDASVKIMSAGVVIGVMTLVGLKFLSGKFSSSVTGKGISPAMATDVINVGSVDEKSLQELPRMDARIAEGIVRKWQNIKSEAFGPDHRLDKLPEVLDGQMLKTWTDRAAEIAQLGWVYEYSLLNMAIDSVTLSLDGQRAVVEATLEESTCLTDVHHSENNASNVNSYTTRYEMSCSNSGWKITEGSVYKS >KJB58154 pep chromosome:Graimondii2_0_v6:9:15149189:15153138:1 gene:B456_009G196600 transcript:KJB58154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42480) UniProtKB/Swiss-Prot;Acc:Q9FIG9] MESLRHISIGLCTPTLTPLFHPPKPSRLHHRSTTVVCSASKWAERLLADFQFLPAPDNSVSSSSSSTATLSPPYPPLLAPSPPERHVSIPLDFYKVLGAETHFLGDGIRRAYEARVSKPPQYGFSQDTIISRRQILLAACETLSNPGSRRNYNQGLVDDERDTIITQVPWDKVPGALCVLQEAGETEVVLQIGESLLRERLPKAFKQDVVLAMALAYVDLSRDAMALDPPDFIGGCEVLERALKLLQEEGASSLAPDLQSQIDETLEEITPRCVLELLALPLDDAYRTKRAEGLYGVRNILWAVGGGGAAAIAGGFTREDFMNQAFLCMTAAEQVDLFAATPSNIPAESFEVYGVALALVAQAFLNKKPHLIRDADNLFQQLQQTKVTTLENSVSLYAPVGNREIDFALERGLCSLLVGELDECRSWLGLDSDSSPYRNTSIVEFVLENSKDDDDRDLPGLCKLLEAWLMEVVFPRFRDTKDIQFKLGDYYDDPTVLRYLERLEGAGGSPLAAAAAIVRIGAEATAVLDHVKASAIQALQKVFPLRRSEETARHQLDGEMNNFLPVESEETLGKPDQEDSAILAEVPGISSLEGMYEEETISDKIKDASVKIMSAGVVIGVMTLVGLKFLSGKFSSSVTGKGISPAMATDVINDQ >KJB62032 pep chromosome:Graimondii2_0_v6:9:55454851:55457190:1 gene:B456_009G397200 transcript:KJB62032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGSTSQEKARFFAGACGRFKALPGQLMARIISIAKSIKKLGQDDPRRLIHSFKVGLALSLVSLLYYARPLYDGFGVAGMWAVLTVVVVFEFTVGGTLSKCLNRGFATFLAGGLGIGADYFASLFGKQGEPIVLGILVFVLAAAATFSRFFPRIKARYDYGVLIFILTFSLVAVSGYRVDELAVLAHQRLSTIIMGGATCMLISIFICPVWAGEDLHKAVASNLEKLATYLAGFGDVYFQSCKDEGSGVGSKDGNGKSFLHQGYKSILNSKNSEESLANFARWEPGHGRFPLRYPWKHYLKIGALARQCAYQIEAINGCINSDIQASEEFKSKIEGPCSRMSSECGKALKALATSMKTMTDPSSANPHVENSKAAMKDLKFALKASSMENADFLAIVPVATVGSVLVEITKCIQKISEAVHELSQRAHFSKTVEPTVSPEKPQQQQQLLHRGIVRPVPDTSDDGNSDDVVITIEEITTDSPEKGKPDQHRVLKPGQV >KJB55035 pep chromosome:Graimondii2_0_v6:9:4260535:4260963:-1 gene:B456_009G0592001 transcript:KJB55035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYYRYSVSAFILLYLCVFTIPVVFFNYDHFNPFMNFSNPTLESPGPKFELQRLIISTSDQIRAIGTSGSTYDHQHLNRNTTLI >KJB57785 pep chromosome:Graimondii2_0_v6:9:13908991:13909389:-1 gene:B456_009G180600 transcript:KJB57785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLNLFFMLAMVMDLAAITLSASTSENSDHRLADSQMATSFGGAGGFRPFFTCDKRPEMCGTKGQFCCNRRCVDLKTDQFNCGRCGKTCSYSKICCEGKCVSPLSNEKHCGGCNNNCGKGSSCLYGMCSYA >KJB56497 pep chromosome:Graimondii2_0_v6:9:9071510:9077866:1 gene:B456_009G122100 transcript:KJB56497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGYIMESFQLLFIIRRRQKKENKSKERVWKIENPVYLIIYDQCNFLTDLFMIISGFLGVISMLILSLHKNLHLGFALLSPVAGSAGIAIADVAIDACVTQHAISHTYLAGDMQSLCGMSSSIGALLGLSLSGFFVHLVGAKGVFGLLAIPASLVVLVGILLRESQVRNFAYRRVKEKIVDASKVMWTTLKCRDVWRPCLYMYLSLALGLHIHEGMFYWYTDAKEGPSFSQEVVGSIFSVGAVGSLFGVLLYQNFLKNHPFRDILFWAQLLHGVSGLLDLVLVLRINLKLGMPDYFFVVVDEAVSRMIGRIKWMPFLVLSSKLFPSGIEGTFFALIMSIDQIGLLSSAWSGGLVLHMLNVTRTQFDNLWIAIVIRSPLRLIPIGFLFLMPRSDPNLSILPSEMLRTKRGNDVLEPENIEMATLVNSI >KJB56496 pep chromosome:Graimondii2_0_v6:9:9071048:9077866:1 gene:B456_009G122100 transcript:KJB56496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILSLHKNLHLGFALLSPVAGSAGIAIADVAIDACVTQHAISHTYLAGDMQSLCGMSSSIGALLGLSLSGFFVHLVGAKGVFGLLAIPASLVVLVGILLRESQVRNFAYRRVKEKIVDASKVMWTTLKCRDVWRPCLYMYLSLALGLHIHEGMFYWYTDAKEGPSFSQEVVGSIFSVGAVGSLFGVLLYQNFLKNHPFRDILFWAQLLHGVSGLLDLVLVLRINLKLGMPDYFFVVVDEAVSRMIGRIKWMPFLVLSSKLFPSGIEGTFFALIMSIDQIGLLSSAWSGGLVLHMLNVTRTQFDNLWIAIVIRSPLRLIPIGFLFLMPRSDPNLSILPSEMLRTKRGNDVLEPENIEMATLVNSI >KJB62734 pep chromosome:Graimondii2_0_v6:9:67891445:67894468:1 gene:B456_009G432600 transcript:KJB62734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTESLILQLHEISAVKFGNFKLKSGIFSPIYIDLRLIVSYPSLLHQISHSLLSSLPPQTHFNLICGVPYTALPIATSISLDTSIPMLMRRKEVKDYGTAKSIEGVYEKGQACLVVEDLVTSGASVLETAAPLRSLGINVTDAVVVIDREQGGRETLEENGIKLHALFTLTEMVKVLRSKGKLEEEMESLVMKFLEENKKVPVPKVEKVRIKCLGYQERAKISKNPTGKKLFEIMVKKESNLCLAADVATAAELLDIAEKVGPEICLLKTHVDIFPDFTPEFGSKLRAIAEKHNFMIFEDRKFADIGNTVTMQYEGGIFRILEWADIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLATGDYTAAAVKIAEQHSDFVIGFISVNPASWPGAPVNPAFIQATPGVQMVKGGDALGQQYNTPYSVIFDRGSDIIIVGRGIIKAANPAEAAREYRLQGWEAYMAKCT >KJB62735 pep chromosome:Graimondii2_0_v6:9:67891472:67894449:1 gene:B456_009G432600 transcript:KJB62735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTESLILQLHEISAVKFGNFKLKSGIFSPIYIDLRLIVSYPSLLHQISHSLLSSLPPQTHFNLICGVPYTALPIATSISLDTSIPMLMRRKEVKDYGTAKSIEGVYEKGQACLVVEDLVTSGASVLETAAPLRSLGINVTDAVVVIDREQGGRETLEENGIKLHALFTLTEMVKVLRSKGKLEEEMESLVMKFLEENKKVPVPKVEKVRIKCLGYQERAKISKNPTGKKLFEIMVKKESNLCLAADVATAAELLDIAEKVGPEICLLKTHVDIFPDFTPEFGSKLRAIAEKHNFMIFEDRKFADIGNTVTMQYEGGIFRILEWADIVNAHIISGPGIVDGLKLKVWSASW >KJB60745 pep chromosome:Graimondii2_0_v6:9:31885052:31886897:-1 gene:B456_009G323500 transcript:KJB60745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPSNGFGVILLVAMCSFATMDCAAAAWINHGGDLTNRRYAAGEILLNPLTVRNLALRWSFFAGKDISATPAVANGVVYFPSWNGYLYAVNAFNGALIWRQNLSELTGQSGTGVVVNVTVSRSTPTVDGDLLIVGIYGPAIVIAVARSNGRLVWSTTLDPRPRVLITGSGTVYMGAYYVGVSSLEEGLPPEQCCTFRGSVVKLALRTGAMLWKTYMLPDNGGRRLVYVATGNLYTAPAEVLKCQEEQNNQTAKPSHPDQCLGPDTNYNSIVALDIDSGRIRWSRQLGGYDIFYFACLVPNNTDCPPGPNLDADFGEAPMLLTIRSNGTSRDVAAAVQKSGFAWALDRDNGDIVWLNLAGPGGKEGGGLWGAAADGRRVYTNIANSDRKSFALTPSNQTTTAGAWVALDANTGEIIWSTANPSNDTAQAPVMVANNVLFTGSVASNGPIYAIDTNTGNILWTYNTGATVYGGISASYGCIYLGNGYTVGLAKFHPTWTPGTSLYAFCIV >KJB53842 pep chromosome:Graimondii2_0_v6:9:1234359:1237686:-1 gene:B456_009G015600 transcript:KJB53842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIWLSMKFSYIYEASPSTNLAFFMQSLYAHTISHMVNVDSLTCRLGGLYCLYCLYETQPFKPPFKIYLSLREMEKLKTLVAEAKEMGIKVVPALVKRMMETNMFLFGFVDLNEGSVSETINSLTKLQDARIQVAYEKLFTDTAIEQYISMDLGREVDLNMLKKMSTEYEVAKRKAIEEAGKVVDVQNIKYISENEEPLSEIVEKIDASWKNQREAFYQRTGLTPQNPAEPQRLQLQLKEGDAENNDADEFYQLLYQHD >KJB53840 pep chromosome:Graimondii2_0_v6:9:1234359:1236997:-1 gene:B456_009G015600 transcript:KJB53840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCLFKQDIDDLIHEFVESESSTLNDMKRIWLSMKFSYIYEASPSTNLAFFMQSLYAHTISHMVNVDSLTCRLGGLYCLYCLYETQPFKPPFKIYLSLREMEKLKTLVAEAKEMGIKVVPALVKRMMETNMFLFGFVDLNEGSVSETINSLTKLQDARIQVAYEKLFTDTAIEQYISMDLGREVDLNMLKKMSTEYEVAKRKAIEEAGKVVDVQNIKYISENEEPLSEIVEKIDASWKNQREAFYQRTGLTPQNPAEPQRLQLQLKEGDAENNDADEFYQLLYQHD >KJB53841 pep chromosome:Graimondii2_0_v6:9:1234513:1236323:-1 gene:B456_009G015600 transcript:KJB53841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCLFKQDIDDLIHEFVESESSTLNDMKRIWLSMKFSYIYEASPSTNLAFFMQSLYAHTISHMVNVDSLTCRLGGLYCLYCLYETQPFKPPFKIYLSLREMEKLKTLVAEAKEMGIKVVPALVKRMMETNMFLFGFVDLNEGSVSETINSLTKLQDARIQVAYEKLFTDTAIEQYISMDLGREVDLNMLKKMSTEYEVAKRKAIEEAGKVVDVQNIKYISENEEPLSEIVEKIDASWKNQREAFYQRTGLTPQNPAEPQRLQLQLKEGDAENNDADEFYQLLYQHD >KJB53839 pep chromosome:Graimondii2_0_v6:9:1234253:1237748:-1 gene:B456_009G015600 transcript:KJB53839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCLFKQDIDDLIHEFVESESSTLNDMKRIWLSMKFSYIYEASPSTNLAFFMQSLYAHTISHMVNVDSLTCRLGGLYCLYCLYETQPFKPPFKIYLSLREMEKLKTLVAEAKEMGIKVVPALVKRMMETNMFLFGFVDLNEGSVSETINSLTKLQDARIQVAYEKLFTDTAIEQYISMDLGG >KJB58819 pep chromosome:Graimondii2_0_v6:9:17855324:17861555:1 gene:B456_009G227700 transcript:KJB58819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTLRRIIDCFSDCSGCKTCKGNARQDMLNMNQDTNCTPMLTQGVRLHIQDRYVVMDNGIVQVSLSKPGGIVTGIRYWDLHWNEIGGKGIFDVIQGTSFRVIVENEEQVEISFTRTWNHSLEGKYIPLNIDKRFIMLRGSSGFYSYAIYEHFREWPGFELGETRITFKLRKDKFQYMAVADNRQRYMPFPDDRSNGRGIPLAYPEAVLLVNPLDQRLTGEVDDKYQYSCENKDLRVHGWICFDPPVGFWQITPSDEFRSGGPLKQNLSSHVGPTTLAMFLSSHYAGKYMVPQFEAGEPWKKVFGPIFMYFNSAAYGNDPLLLWEDAKIKMMVEVQSWPYSFPASEDFPKSEQRGNANGRILIQDRYISNDCVIASGAYVGLAPPGDAGSWQMESKNYQFWTQANENGFFSIRNIRPGDYNLYAWVPGFIGDYRHEAVITIISGCNIEMGDVIYEPPRDGPTLWEIGIPDRSAAEFYVPDPDPKYINRLFVNHTDRFRQYGLWERYTELYPEGDLVYKIGVSDYRKDWFFAQVVRKIGDNAYQGTTWKIKFELDNVDWNGIYKLRVALASATLAELQVRVNDPNSNRPLFTTGLIGRDNAIARHGIHGIYKLYNVDIPGTRFVKGENTIFLKQPRCNSPFQGFMYDYVRLEGPPTPC >KJB58818 pep chromosome:Graimondii2_0_v6:9:17855218:17861574:1 gene:B456_009G227700 transcript:KJB58818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTLRRIIDCFSDCSGCKTCKGNARQDMLNMNQDTNCTPMLTQGVRLHIQDRYVVMDNGIVQVSLSKPGGIVTGIRYNGIDNLLEVRNKETNRGYWDLHWNEIGGKGIFDVIQGTSFRVIVENEEQVEISFTRTWNHSLEGKYIPLNIDKRFIMLRGSSGFYSYAIYEHFREWPGFELGETRITFKLRKDKFQYMAVADNRQRYMPFPDDRSNGRGIPLAYPEAVLLVNPLDQRLTGEVDDKYQYSCENKDLRVHGWICFDPPVGFWQITPSDEFRSGGPLKQNLSSHVGPTTLAMFLSSHYAGKYMVPQFEAGEPWKKVFGPIFMYFNSAAYGNDPLLLWEDAKIKMMVEVQSWPYSFPASEDFPKSEQRGNANGRILIQDRYISNDCVIASGAYVGLAPPGDAGSWQMESKNYQFWTQANENGFFSIRNIRPGDYNLYAWVPGFIGDYRHEAVITIISGCNIEMGDVIYEPPRDGPTLWEIGIPDRSAAEFYVPDPDPKYINRLFVNHTDRFRQYGLWERYTELYPEGDLVYKIGVSDYRKDWFFAQVVRKIGDNAYQGTTWKIKFELDNVDWNGIYKLRVALASATLAELQVRVNDPNSNRPLFTTGLIGRDNAIARHGIHGIYKLYNVDIPGTRFVKGENTIFLKQPRCNSPFQGFMYDYVRLEGPPTPC >KJB57844 pep chromosome:Graimondii2_0_v6:9:14079583:14082520:-1 gene:B456_009G183100 transcript:KJB57844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAVGENPSPKTMGFDKEGSATDSEASETKTPSKIPPNEDAVDGHHPPGINRKASESSLCPTEDEDDDDEEEREIELGPKCTLKEQLEKDKDDESLRRWKEQLLGTVDFESVGEKLEPEVKILSLAIKSHGRPDMVLPIPENGKPKGLWFTLKEGSKYSLQFTFQVSNNIVSGLKYTNMVWKTGVKVDSTKEMIGTFSPQAEPYTHEICEETTPSGMFARGSYSARSKFVDDDNKCYLEINYTFDIRKEWQS >KJB57845 pep chromosome:Graimondii2_0_v6:9:14079671:14082285:-1 gene:B456_009G183100 transcript:KJB57845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAVGENPSPKTMGFDKEGSATDSEASETKTPSKIPPNEDAVDGHHPPGINRKASESSLCPTEDEDDDDEEEREIELGPKCTLKEQLEKDKDDESLRRWKEQLLGTVDFESVGEKLEPEVKILSLAIKSHGRPDMVLPIPENGKPKGLWFTLKEGSKYSLQFTFQVSNNIVSGLKYTNMVWKTGVKGNSSFSFSVVHMEELYPLMLTIGFDILHHELLYQLIARKR >KJB53568 pep chromosome:Graimondii2_0_v6:9:16968771:16969711:1 gene:B456_009G218400 transcript:KJB53568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFVDKMSNGMRRVWTGLATRLGVRKSGTGLLKLQKEVSSCEYGDVHVMWELLRRSEIEMGESPKRCKKRRLRKCFQWRRSPFHRRCFS >KJB53567 pep chromosome:Graimondii2_0_v6:9:16968631:16969712:1 gene:B456_009G218400 transcript:KJB53567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFVDKMSNGMRRVWTGLATRLGVRKSGLLKLQKEVSSCEYGDVHVMWELLRRSEIEMGESPKRCKKRRLRKCFQWRRSPFHRRCFS >KJB57039 pep chromosome:Graimondii2_0_v6:9:11106313:11108354:-1 gene:B456_009G146200 transcript:KJB57039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKRYLSWKNILPNCIKPQVPSSGQKVHPSKRSPSQRLSLSDISHFGSPLSLNDLSNSLISLHVFTLKELELVTHKFSKSNFLGEGGFGPVYKGFIDDNLRPGLKAQSVAVKVLDLDGTQGHKEWLAEVILLGQLKHPHLVNLIGYCYEDEHRLLVYEYMERGSLENQLFKRYGPPLPWLTRLKIAVGTAKGLAFLHEEEKPVIYRDFKTSNILLDSAYKAKVSDFGLATDGPAEEETHVTTCVMGTEGYAAPEYVSTGHLTTMSDVFSFGVVLLELLTGRRSVDKTRPSREKNLVEWARPLLKDPHKLHGIMDPRIEGQYSTEGARKAAALAYQCLSSHPKSRPTMRNVVKGLQPLLHLTDIPIGPFVYIAPTQDNKEPTVQKIEEIYEKQFDEVKIEKKEDKSRIPRRKGRKHRRRVKPSRSRAMYSDTDLYRSLGSSLYSTKH >KJB62372 pep chromosome:Graimondii2_0_v6:9:63314016:63316042:-1 gene:B456_009G414100 transcript:KJB62372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLSLLLILLFPSTNADWPPSPGYWPSSKFRPMSFHKGFKNLWGPSHQSVNHNALTIWLDKTSGSGFKSVRPFRSGYFSASIKVQPGYTAGVITAFYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYVRGSGDGKIIGREMKFHLWFDPTQDFHHYAILWTPKEIIFLVDDVPIRRYPRKSAATFPLRPMWVYGSIWDASSWATEDGKYKADYKYQPFVAKYTNFKASGCSAYAPAWCHPVSASLFRSGGLTRQQRRAMRWVQRYHMVYNYCRDPKRNHALTPECRS >KJB60659 pep chromosome:Graimondii2_0_v6:9:30119116:30119831:-1 gene:B456_009G318000 transcript:KJB60659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPPWLVSLWFLKLCPHLERFYVTIDPKCYNMPSTGKFSTLFIVPDKLCDLKAIKLEGFADEEKEIFMARRLIPLFGDNNPVIISKSGGKCLKHLMKVAKLEKKGKYHYKFKVVDNVLENFSKHVHSSFQPLI >KJB54884 pep chromosome:Graimondii2_0_v6:9:3802309:3806809:-1 gene:B456_009G052900 transcript:KJB54884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDRRKPSLGPMPSVEGKETRDDSVLILDDSSFNSEVAKLRNRWELASVLNFLIVFEPLIGTDLKLTAEDIELGLVKPNTSIAALHVKLLKGTPPMSKLLNVSDGWVTVLCKKLAVWWPWVAEGEIPLTARNGEEISKYKELDPTSRLLLLKALCEIRADQDDAVSYINDAMKSNKEISCFRKEKIGVNGNVSYWYDGNTVFGYRLYKEINRTESKSKAKGKASSTLPTVCSHWETLAVDFKEFREVVDKLLASRTAAEVAIGKTINNDVIPVVEKFQKKKERALKRKERQEMLLNDLRNSSGTEITRSCRNRRPVSYTFDEYDRAIDEAIELTKRRKTAEEQSHGQKLPRQSFALNGGSDVEGSVSQGSSDGKANSSGSDTEDDKLHEAGDDGNEKDDDDDYSSGTDGDDSNGSDSGNSADENENLNHEDHKRDVLMGSCQSKRLAGGAIHPGIRTGNLGTKNRLRQRPMVNSALEIIVSDSEDDIPSDHTA >KJB54885 pep chromosome:Graimondii2_0_v6:9:3802430:3806648:-1 gene:B456_009G052900 transcript:KJB54885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDRRKPSLGPMPSVEGKETRDDSVLILDDSSFNSEVAKLRNRWELASVLNFLIVFEPLIGTDLKLTAEDIELGLVKPNTSIAALHVKLLKGTPPMSKLLNVSDGWVTVLCKKLAVWWPWVAEGEIPLTARNGEEISKYKELDPTSRLLLLKALCEIRADQDDAVSYINDAMKSNKEISCFRKEKIGVNGNVSYWYDGNTVFGYRLYKEINRTESKSKAKGKASSTLPTVCSHWETLAVDFKEFREVVDKLLASRTAAEVAIGKTINNDVIPVVEKFQKKKERALKRKERQEMLLNDLRNSSGTEITRSCRNRRPVSYTFDEYDRAIDEAIELTKRRKTAEEQSHGQKLPRQSFALNGGSDVEGSVSQGSSDGKANSSGSDTEDDKLHEAGDDDENENLNHEDHKRDVLMGSCQSKRLAGGAIHPGIRTGNLGTKNRLRQRPMVNSALEIIVSDSEDDIPSDHTA >KJB58134 pep chromosome:Graimondii2_0_v6:9:15130304:15132250:-1 gene:B456_009G196400 transcript:KJB58134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPTFIFLILLLHLLFASQPCHLILTSAADGRWQLLQTSIGISAMHMQLLRNDRVVIFDRTDFGKSNLSLPNGKCRNDLTEGALKVDCSAHSVEYDVSSDKFRALMVQTNVWCSSGAITPDGKLVQTGGFNDGERRVRVFSPCSSRDCDWQEIPNGLAARRWYATNHILPDGRLIIVGGRRQFNYEFSPKNIAANTFNLPFLLETNDKGEQNNLYPFVFLNVDGNLFIFANNRAILLDYVKNKVVKTYPTIPGGDPRSYPSTGSAVLLPLKNLKMADLQAEVLVCGGAPKGSFAQALRGNFIRALKTCARITITDPNPKWVMETMPLARVMGDMILLPNGKVLLINGAGSGSAGWELGQNPVLSPVLYRPDKKIGSRFKTQNPTTIPRMYHSSATLLRDGRVLVGGSNPHAFYNFTSVLFPTELSLEAFSPAYLDSKFNDLRPKIITPKSMSGIRYNKRTNIQVVITGKVAENLVSATMLAPAFNTHSFSMNQRLLVLGNDKVTTCGNSAYNIEVTTPSTHNLAPPGFYLLFVVHQNIPSQGIWVKLR >KJB61365 pep chromosome:Graimondii2_0_v6:9:46530993:46531421:-1 gene:B456_009G355700 transcript:KJB61365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPQPNGKPQQPISRRIVRITVTDADATDSSSDEEAAPPKKIQKRPKKKLVNEITIGVSTKENDVIAGSSHSKVKRYRGVRQRPWGRWAAEIRDGRRMRLWLGTFDTAEEAAVAYDQAAVKLRGPNAPTNFKLLDCNAVQS >KJB61364 pep chromosome:Graimondii2_0_v6:9:46530993:46531421:-1 gene:B456_009G355700 transcript:KJB61364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPQPNGKPQQPISRRIVRITVTDADATDSSSDEEAAPPKKIQKRPKKKLVNEITIGVSTKENDVIAGSSHSKVKRYRGVRQRPWGRWAAEIRDGRRMRLWLGTFDTAEEAAVAYDQAAVKLRGPNAPTNFKLLDCNAVQS >KJB61366 pep chromosome:Graimondii2_0_v6:9:46528935:46531548:-1 gene:B456_009G355700 transcript:KJB61366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPQPNGKPQQPISRRIVRITVTDADATDSSSDEEAAPPKKIQKRPKKKLVNEITIGVSTKENDVIAGSSHSKVKRYRGVRQRPWGRWAAEIRDGRRMRLWLGTFDTAEEAAVAYDQAAVKLRGPNAPTNFKLLDCNAVQS >KJB61124 pep chromosome:Graimondii2_0_v6:9:39954336:39957013:-1 gene:B456_009G342100 transcript:KJB61124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCKKFIQLVEEKKKRALEKKEAPLKWEQKLEAAAKARADAEAKEKSSKKAKHKRCVSDTDSESDSTDGEIKRRTHKKHRKNAHCDSGDHERKKDKKSKKKSKRHSPGSSDDISNYYRSSSEEERRKKRSCRRWKHHDSKSDSESLVSSDDDDNEIVKRNHRLHRRSVSGTSDTSSDENDCRIRKRSHTRHHKHRQRPGSSGSESSSDEAVHAPRRQSHSNHNKHHQRSNSVVSGSLDSDDERPYQRGRSLGNSSDDNLESRNNRSGQHHHHYHHHYHHHHHRHLHRHQPHSEAKNHLSGNSVEPNGKHREDHL >KJB61123 pep chromosome:Graimondii2_0_v6:9:39954254:39957013:-1 gene:B456_009G342100 transcript:KJB61123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCKKFIQLVEEKKKRALEKKEAPLKWEQKLEAAAKARADAEAKEKSSKKAKHKRCVSDTDSESDSTDGEIKRRTHKKHRKNAHCDSGDHERKKDKKSKKKSKRHSPGSSDDISNYYRSSSEEERRKKRSCRRWKHHDSKSDSESLVSSDDDDNEIVKRNHRLHRRSVSGTSDTSSDENDCRIRKRSHTRHHKHRQRPGSSGSESSSDEAVHAPRRQSHSNHNKHHQRSNSVVSGSLDSDDERPYQRGRSLGNSSDDNLESRNNRSGQHHHHYHHHYHHHHHRHLHRHQPHSEAKNHLSGNSVEPNGKHREDHL >KJB56508 pep chromosome:Graimondii2_0_v6:9:9121020:9128722:1 gene:B456_009G122700 transcript:KJB56508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTEKLSEDGENDKLQFGLSSMQGWRASMEDAHAAYLDLDDSTSFFGVYDGHGGKAVAKFCAKHLHQQVLKHEAYSAGDIGTSVQKAFLRMDEMMCGQRGWRELAVLGDKMDKVSGFVEGFVWSPKGDEANDHFDDWPPEEGSHSDFHGPTSGCTACVAIIRNKQLVVANAGDSRCVISRKGQAYNLSKDHKPDLELEKDRILKAGGFIQVGRVNGSLNLARAIGDAEFKQNKTLPAERQIVTANPDINTGLYVKSTTSGLCTRAVEF >KJB56507 pep chromosome:Graimondii2_0_v6:9:9120947:9128730:1 gene:B456_009G122700 transcript:KJB56507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTEKLSEDGENDKLQFGLSSMQGWRASMEDAHAAYLDLDDSTSFFGVYDGHGGKAVAKFCAKHLHQQVLKHEAYSAGDIGTSVQKAFLRMDEMMCGQRGWRELAVLGDKMDKVSGFVEGFVWSPKGDEANDHFDDWPPEEGSHSDFHGPTSGCTACVAIIRNKQLVVANAGDSRCVISRKGQAYNLSKDHKPDLELEKDRILKAGGFIQVGRVNGSLNLARAIGDAEFKQNKTLPAERQIVTANPDINTVEICDDDEFLVLACDGIWDCMSSQQLVDYVREQLNSETKLSAICERVFDRCLAPTAGGEGCDNMTMILVQFKKPVGSGTSLSSTQH >KJB56506 pep chromosome:Graimondii2_0_v6:9:9120413:9128669:1 gene:B456_009G122700 transcript:KJB56506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTEKLSEDGENDKLQFGLSSMQGWRASMEDAHAAYLDLDDSTSFFGVYDGHGGKAVAKFCAKHLHQQVLKHEAYSAGDIGTSVQKAFLRMDEMMCGQRGWRELAVLGDKMDKVSGFVEGFVWSPKGDEANDHFDDWPPEEGSHSDFHGPTSGCTACVAIIRNKQLVVANAGDSRCVISRKGQAYNLSKDHKPDLELEKDRILKAGGFIQVGRVNGSLNLARAIGDAEFKQNKTLPAERQIVTANPDINTVEICDDDEFLVLACDGIWDCMSSQQLVDYVREQLNSETKLSAICERVFDRCLAPTAGGEGCDNMTMILVQFKKPVGSGTSLSSTQH >KJB57111 pep chromosome:Graimondii2_0_v6:9:11279175:11282995:-1 gene:B456_009G148400 transcript:KJB57111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEPEDEIKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >KJB57109 pep chromosome:Graimondii2_0_v6:9:11279175:11281476:-1 gene:B456_009G148400 transcript:KJB57109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDLIFLCPIFIWCLMQFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >KJB57110 pep chromosome:Graimondii2_0_v6:9:11279175:11282924:-1 gene:B456_009G148400 transcript:KJB57110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEPEDEIKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >KJB58999 pep chromosome:Graimondii2_0_v6:9:18540008:18546123:-1 gene:B456_009G234900 transcript:KJB58999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILHQSHTFPIRDRRADSLGDFKYIPDEIICTILDCLTPLDLARLACVSSFMYIFCNEEPLWMSVCLKKVSGHIQYKGSWQKTTLHLENLPNEYIEFCRKPLQFDGFSSPFLYRRLYRCHTTLDGFSFDDGNVERKNDISAEQFHREYDGIKPVLLNGLADTWPARKSWTIDWLVPKYGDTTFQISSPLKVSMTFKDYVSYMKQQHDEDPLYIFDDKFGESAPGLLKDYTVPQIFQEDFFDVLDKNRPSFRWLVIGPARSGASWHVDPGLTSAWNTLLCGRKRWALYPPGTVPLGVTVHVDDEDGDISVDSPTSLQWWLDFYPLLADENKPIECTQLPGETIFVPSGWWHCVLNLETAIAVTQNFVNSRNFEFVCLDMAPGYCHRGVCRAGLLALDEDSLEIIEKNMPCEKENFRCLDLTKKETKARILRPQQNENGKENANGSYNVWEHDFSFDINYLAMFRNRELDHFTSPWCPDNSLGQRGLREWLSRLWVGNPGKREMIWKGACLALNADKWLDCLGKICFFHSLPFPSENAKLPVGMGSNPVYVMDEYVVKIFAEGGPQSSIFDLGTELQFYSTLSEVNSPLKNHIPNVLASGILHLENGSYNIDSWDGKKVPDVIRKCSLIPEKGTGDVFPFGVQSKILFTYRKDVSPENGPDDSDESTIVLLPYLITKRCKGEIFTELRNVLPWEDVLNLASFLGEQLRSLHMLPYPSFSNSDLSDFEQNREFSSANDMDMEFVSNESDIPVEWKIFVRTLTEKKKNVSGRLSKGSGSIPKTLIEKAEAYLPDDFLKLLSIYEENGMKKVGKPWSWIHRDIMDDNIYMECKSCSNGIPAPTSNGGVAKSWRPTYILDFSNLAVGDSINDLIPMHLDVFRGNSDLLKQFLKSYKLSLMRKTPKQGSEDDKYGRLSYHAMCFCILHDENVLGGLFKTWKELRAAESWEEVELQVWGELNNYEGFP >KJB62034 pep chromosome:Graimondii2_0_v6:9:55679558:55680955:1 gene:B456_009G397400 transcript:KJB62034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKDCGNHGKGRRQRIRRLFGCLLVFLLIVLITILIIWAILRPAKPRFILQDTTVYAFNASTPNFLTSNFQVTVSTRNPNDRIGIYYDRLVIYATYRNQQTTLRTALPPTYQGHNEINVWSPFIYGNMVPIAPDFSVALKSEQAAGTIFMVIKIDGRVRWKVGTFVSGRYHLNVRCPAYITFGSKNNGFSVGENAVKYQLVTRCSVSV >KJB55354 pep chromosome:Graimondii2_0_v6:9:5125745:5127233:1 gene:B456_009G072100 transcript:KJB55354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVFTIFSVLVFTVISPANGCPPSDRAALLAFKAALHEPYLGIFNSWTGTDCCHNWYGVSCDPQSHRVADINLRGESEDPIFERAHRTGFMTGFISPEICKLTRLSSLTIADWKGITGEIPKCITSLPFLRILDLIGNKLSGEIPADIGRLKRLTVLNVADNQLSGRIPSSFTSLSNLMHLDLRNNKISGPIPGKAGDLSMLSRALLSGNMISGPIPVSICRIYRLADLDLSMNKMSGVIPPCLGKMAVLATLNLDCNMLMGTIPTTLLTSAIGNLNLSRNALEGNIPDVFGLTSYFTVIDLSHNKLRGPIPRSLSAASYIGHLDLSYNHLCGRIPAGAPFDHLEASSFMYNDCLCGETDLF >KJB56394 pep chromosome:Graimondii2_0_v6:9:8732556:8748765:1 gene:B456_009G118300 transcript:KJB56394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFSSGDGRLQDLEAGPSKDNNDLNTNLDPDADTSDPFDIDQTKNATPQTLKRWRQAALVLNASRRFRYTLDLRKQEEKEQRKRMIRAHAQVIRAALLFKLAGEKGIVSGTPVTLPGAAGDFAVGLERLALMTRDHKLSALQQYGGVKGLSDLLKTNLETGIYGDEVDLLNRKTAFGSNTYPRKKGRSFWRFLWEAWQDLTLIILIVAASVSLGLGIKTEGLKEGWYDGGSIFLAVLLVIVVTATSDYRQSLQFQNLNEEKRNIQLEVVRGGRIVKVSIYDVVVGDVVPLKIGDQVPADGVLVNGHSLAIDESSMTGESKIVYKDKNEPFLMSGCKVADGVGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVSVLVVLLARYFTGHTEDPDGTKQFIKGRTNFDDAFNDVVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAFVGKKKINPPSDSSQLPASVVSLLNEGVAQNSTGNVFVPKDGGNIEISGSPTEKAILSWAVKLGMKFDIIRSDSKILHVFPFNSEKKRGGVALQGADSEVRIHWKGAAEIVLTSCSGYIDSNGCLQSINEDKEFFKAAIDEMAVNSLRCVALAYRLCEKEKVPTDEEGFNGWILPEDNLVLLAIVGIKDPCRPGVKDAVKICMDAGVKVRMVTGDNIQTAKAIALECGILSSAEDATEPTIIEGRVFRELSDKEREQIAKKITVMGRSSPSDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVSGCMLSYRYYYFLCSCILLLNLRKGLYQIFIN >KJB56395 pep chromosome:Graimondii2_0_v6:9:8732019:8749269:1 gene:B456_009G118300 transcript:KJB56395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFSSGDGRLQDLEAGPSKDNNDLNTNLDPDADTSDPFDIDQTKNATPQTLKRWRQAALVLNASRRFRYTLDLRKQEEKEQRKRMIRAHAQVIRAALLFKLAGEKGIVSGTPVTLPGAAGDFAVGLERLALMTRDHKLSALQQYGGVKGLSDLLKTNLETGIYGDEVDLLNRKTAFGSNTYPRKKGRSFWRFLWEAWQDLTLIILIVAASVSLGLGIKTEGLKEGWYDGGSIFLAVLLVIVVTATSDYRQSLQFQNLNEEKRNIQLEVVRGGRIVKVSIYDVVVGDVVPLKIGDQVPADGVLVNGHSLAIDESSMTGESKIVYKDKNEPFLMSGCKVADGVGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVSVLVVLLARYFTGHTEDPDGTKQFIKGRTNFDDAFNDVVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAFVGKKKINPPSDSSQLPASVVSLLNEGVAQNSTGNVFVPKDGGNIEISGSPTEKAILSWAVKLGMKFDIIRSDSKILHVFPFNSEKKRGGVALQGADSEVRIHWKGAAEIVLTSCSGYIDSNGCLQSINEDKEFFKAAIDEMAVNSLRCVALAYRLCEKEKVPTDEEGFNGWILPEDNLVLLAIVGIKDPCRPGVKDAVKICMDAGVKVRMVTGDNIQTAKAIALECGILSSAEDATEPTIIEGRVFRELSDKEREQIAKKITVMGRSSPSDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLNSVQVQLFFTHSMSLHLF >KJB56391 pep chromosome:Graimondii2_0_v6:9:8731912:8753050:1 gene:B456_009G118300 transcript:KJB56391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFSSGDGRLQDLEAGPSKDNNDLNTNLDPDADTSDPFDIDQTKNATPQTLKRWRQAALVLNASRRFRYTLDLRKQEEKEQRKRMIRAHAQVIRAALLFKLAGEKGIVSGTPVTLPGAAGDFAVGLERLALMTRDHKLSALQQYGGVKGLSDLLKTNLETGIYGDEVDLLNRKTAFGSNTYPRKKGRSFWRFLWEAWQDLTLIILIVAASVSLGLGIKTEGLKEGWYDGGSIFLAVLLVIVVTATSDYRQSLQFQNLNEEKRNIQLEVVRGGRIVKVSIYDVVVGDVVPLKIGDQVPADGVLVNGHSLAIDESSMTGESKIVYKDKNEPFLMSGCKVADGVGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVSVLVVLLARYFTGHTEDPDGTKQFIKGRTNFDDAFNDVVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAFVGKKKINPPSDSSQLPASVVSLLNEGVAQNSTGNVFVPKDGGNIEISGSPTEKAILSWAVKLGMKFDIIRSDSKILHVFPFNSEKKRGGVALQGADSEVRIHWKGAAEIVLTSCSGYIDSNGCLQSINEDKEFFKAAIDEMAVNSLRCVALAYRLCEKEKVPTDEEGFNGWILPEDNLVLLAIVGIKDPCRPGVKDAVKICMDAGVKVRMVTGDNIQTAKAIALECGILSSAEDATEPTIIEGRVFRELSDKEREQIAKKITVMGRSSPSDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLNSVQLLWVNLIMDTLGALALATEPPTDNLMHRSPVGRREPLITNIMWRNLLLQASYQVTVLLVLNFMGLTILHLKDDHDREHAYDVKNTLIFNAFVMCQIFNEFNARKPEEINCFKGVTKNYLFMGIIGFTFVLQIIIIEFLGKFTKTVRLNWKLWLVSLGIGIISWPLAIVGKLIPVPKTPVSGYFTKAFRRCRTDRNA >KJB56393 pep chromosome:Graimondii2_0_v6:9:8732556:8743428:1 gene:B456_009G118300 transcript:KJB56393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFSSGDGRLQDLEAGPSKDNNDLNTNLDPDADTSDPFDIDQTKNATPQTLKRWRQAALVLNASRRFRYTLDLRKQEEKEQRKRMIRAHAQVIRAALLFKLAGEKGIVSGTPVTLPGAAGDFAVGLERLALMTRDHKLSALQQYGGVKGLSDLLKTNLETGIYGDEVDLLNRKTAFGSNTYPRKKGRSFWRFLWEAWQDLTLIILIVAASVSLGLGIKTEGLKEGWYDGGSIFLAVLLVIVVTATSDYRQSLQFQNLNEEKRNIQLEVVRGGRIVKVSIYDVVVGDVVPLKIGDQVPADGVLVNGHSLAIDESSMTGESKIVYKDKNEPFLMSGCKVADGVGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVSVLVVLLARYFTGHTEDPDGTKQFIKGRTNFDDAFNDVVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAFVGKKKINPPSDSSQLPASVVSLLNEGVAQNSTGNVFVPKDGGNIEISGSPTEKAILSWAVKLGMKFDIIRSDSKILHVFPFNSEKKRGGVALQGVVASVSFFDAF >KJB56396 pep chromosome:Graimondii2_0_v6:9:8732019:8749994:1 gene:B456_009G118300 transcript:KJB56396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFSSGDGRLQDLEAGPSKDNNDLNTNLDPDADTSDPFDIDQTKNATPQTLKRWRQAALVLNASRRFRYTLDLRKQEEKEQRKRMIRAHAQVIRAALLFKLAGEKGIVSGTPVTLPGAAGDFAVGLERLALMTRDHKLSALQQYGGVKGLSDLLKTNLETGIYGDEVDLLNRKTAFGSNTYPRKKGRSFWRFLWEAWQDLTLIILIVAASVSLGLGIKTEGLKEGWYDGGSIFLAVLLVIVVTATSDYRQSLQFQNLNEEKRNIQLEVVRGGRIVKVSIYDVVVGDVVPLKIGDQVPADGVLVNGHSLAIDESSMTGESKIVYKDKNEPFLMSGCKVADGVGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVSVLVVLLARYFTGHTEDPDGTKQFIKGRTNFDDAFNDVVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAFVGKKKINPPSDSSQLPASVVSLLNEGVAQNSTGNVFVPKDGGNIEISGSPTEKAILSWAVKLGMKFDIIRSDSKILHVFPFNSEKKRGGVALQGADSEVRIHWKGAAEIVLTSCSGYIDSNGCLQSINEDKEFFKAAIDEMAVNSLRCVALAYRLCEKEKVPTDEEGFNGWILPEDNLVLLAIVGIKDPCRPGVKDAVKICMDAGVKVRMVTGDNIQTAKAIALECGILSSAEDATEPTIIEGRVFRELSDKEREQIAKKITVMGRSSPSDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLNSVQLLWVNLIMDTLGALALATEPPTDNLMHRSPVGRRFELPCSCQFPLCTLVFRTLIL >KJB56392 pep chromosome:Graimondii2_0_v6:9:8732019:8744677:1 gene:B456_009G118300 transcript:KJB56392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFSSGDGRLQDLEAGPSKDNNDLNTNLDPDADTSDPFDIDQTKNATPQTLKRWRQAALVLNASRRFRYTLDLRKQEEKEQRKRMIRAHAQVIRAALLFKLAGEKGIVSGTPVTLPGAAGDFAVGLERLALMTRDHKLSALQQYGGVKGLSDLLKTNLETGIYGDEVDLLNRKTAFGSNTYPRKKGRSFWRFLWEAWQDLTLIILIVAASVSLGLGIKTEGLKEGWYDGGSIFLAVLLVIVVTATSDYRQSLQFQNLNEEKRNIQLEVVRGGRIVKVSIYDVVVGDVVPLKIGDQVPADGVLVNGHSLAIDESSMTGESKIVYKDKNEPFLMSGCKVADGVGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVSVLVVLLARYFTGHTEDPDGTKQFIKGRTNFDDAFNDVVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAFVGKKKINPPSDSSQLPASVVSLLNEGVAQNSTGNVFVPKDGGNIEISGSPTEKAILSWAVKLGMKFDIIRSDSKILHVFPFNSEKKRGGVALQGACPLLYLAGRLGKELAKPWLLYYLHFVS >KJB59874 pep chromosome:Graimondii2_0_v6:9:23413841:23416430:-1 gene:B456_009G278300 transcript:KJB59874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 7 [Source:Projected from Arabidopsis thaliana (AT1G09970) UniProtKB/Swiss-Prot;Acc:F4I2N7] FEGITCNAEESVKEIELSNQNLTGALPLNLICQLQSLDKLSLRYNLLENFTGEELSNCVKLQYLDLGNNVFEGPFPDQILELKKLNFLDLGYCGIKGRIPRAIGNLTVLTDLQLQNNGLSGKIPVEIGKLHKLRRLQLYDNRLQGKLPVGLRNLTKLEYLDVSRNQLEGDISLLRYLTNMVSLQLTENLFTGIVPAGIWGLPKMVTIDITSNQFEGPITSDIKNAKEIRRLSTTWNKLSGELPEEISEATSLEIIELSSNQFSGKIPGGIGELKRLSSLELQYNRLSGSIPESLSSCRSITVINMAHNHLAGKIPRSSPLLDILDLSQNELSGNIPKSLSSHSWSVLYLSNNRLSGPTPLSLVTAASERNFEGNPGLCRKKDHGPCLKEKSWEVKSFHRLTFSENEILDSLQQQNLIGRGGSGNVYKATLSNGLDLAVKHIWLKDSHASRRSQNSKPIQSKFDSKAKEFDTEVRTLSSIRHVNVVKLYCSMTSQDSSLFVYEYLPNGSLWDRLHMCRETELDWDTRYHIAVGAAKGLEYLHQGCERSVIHRDIKSSNILLDEFLKPRIADFGLAKMVQANGGKDSTHVIVGTHGYIAPKYCYTTK >KJB62774 pep chromosome:Graimondii2_0_v6:9:68522106:68525026:-1 gene:B456_009G435800 transcript:KJB62774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALQVLYLESVSFSPIGFHSLPNLKTLCCVDCELENFSSSLKNMRSLEILALIGTKIDEISEELVKLSTLKYLRLSGVEVEEEMNIPPNLVSRLTSLQELHVVSKSNINLLELNSLSRLTALSVILSTNQISQEYFVFPKLQRYAIVVDDCYTYLKAQTLKTVTIANFSSSLTAFNSLFCNVKKLKLRNVSGQKNIVPSIGKTGVNELTSLKLASCKDMEFLIDITSDQGPTVVFSNLVKLNVHNMVSWKGLCYGLSRSHRAIASLTRLRVVRIGSCHQLKTIFSPCLAQSMLYIEKLFIFQCDGVEQEIGFAQEDEIPENYYWPKLKTLRIMDCPIFSCFIVQARLLERLVISNVGTIWKTDVPVLNEDCIVVGNHEEVFQVQGGYSFSRIKELDLKNLFEVRIIWNDFAQVVTLENLTTLKLRDCKKLRCIFSPATAHSLSHLVTLFIEGCEEIERLILAKDQVSSSSSSNGDTGLPPISFPNLTDITVRNCGNLEI >KJB62327 pep chromosome:Graimondii2_0_v6:9:62476408:62478077:-1 gene:B456_009G411900 transcript:KJB62327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLSGQPHFVLFPFMAQGHLIPMIDIGLLLAQCNVIVTIVTTPHNAERVQYTIARAIESGCPIRLVQLQFPGKEVGLQDGVENVDMLYSTNDIIKLFTAANKMEEAVHKIFEKMTPKPNCIISDMCLHYTHKIATKFQVPRISFHGFCCFSLLCDHNIKSSNILETITSDSEYFRVPGLTEKVEFTKAQLPLIRDESWKAIMEPLTEADKASYGVIINTFEELESAYVREYKKIRKSWCIGPVSLSHKNELDTDKRGNKTSINKQECLKWLDSQEPNSVIYACLGSISTIKFPELKELGLGLEASNKPFIWVLRGKNATSNQVEKWIKEDGFEERTKGRGLIIVGWAPQVLILSHSAIGGFLTHCGWNSTIEGISAGVPLITLPLLGEQFCNQKLVVQILKIGVNLGIEKPTMFGDEESGFILKREEVKNAIYQLIDEGNEGIERRKRAKVFGEKAKKAIEVGGSSYLNITLLIEDIIQQSSNMCLDLTSVSHDGETGMEEI >KJB57642 pep chromosome:Graimondii2_0_v6:9:13352955:13355316:-1 gene:B456_009G173200 transcript:KJB57642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRSDSENLSPFISDLVLVNGKVVPLTFTRDGKLLWNHNDRRCLNVEKEALGFTFEGSRIRVNVVVEKRDRILCFANMGGIGRQSFVFEPLSEESLTLWSQKLRDYIDSLGRPKRLLIFVNPFGGKKCATKIFVEDVRPCLEDADIQFTVIETKHQLHAKEVVKTLDLSKYDGIVCVSGDGILVEVINGLLEREDWSDAIKMPIGMVPAGTGNGMVKSLLDSAGEICSPSNAILAVIRGHTRSLDVATISQGKTRFFSVLMLAWGLIADIDIESEKYRWMGSARIDFYALQRITYLRHYNGRVSFVPAPGFEEYGEQTSYSGEPSCETSTSQQESLNIQQPGYLGSDVKLENMHWRTISGPFVSIWLHNVPWGSEDVLAAPNAMVYFTLTCEFNYLFYYP >KJB57641 pep chromosome:Graimondii2_0_v6:9:13351790:13355631:-1 gene:B456_009G173200 transcript:KJB57641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRSDSENLSPFISDLVLVNGKVVPLTFTRDGKLLWNHNDRRCLNVEKEALGFTFEGSRIRVNVVVEKRDRILCFANMGGIGRQSFVFEPLSEESLTLWSQKLRDYIDSLGRPKRLLIFVNPFGGKKCATKIFVEDVRPCLEDADIQFTVIETKHQLHAKEVVKTLDLSKYDGIVCVSGDGILVEVINGLLEREDWSDAIKMPIGMVPAGTGNGMVKSLLDSAGEICSPSNAILAVIRGHTRSLDVATISQGKTRFFSVLMLAWGLIADIDIESEKYRWMGSARIDFYALQRITYLRHYNGRVSFVPAPGFEEYGEQTSYSGEPSCETSTSQQESLNIQQPGYLGSDVKLENMHWRTISGPFVSIWLHNVPWGSEDVLAAPNAMFSDGYLDLIIVKDIPKLSLLSLLSKMNDGSHVHCPHVMYLKVKAFVLEPGARIDDPMKEGIIDSDGELLARGNVTYKGNVKCYMAYDKLQITVDQGLATLFAPV >KJB57681 pep chromosome:Graimondii2_0_v6:9:13538398:13541807:1 gene:B456_009G175200 transcript:KJB57681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVKWLAKAMLLYQLFHFSFSLSLDAITPQHSLRDGDVVISRGNTFVLGFFSPANSRYRYVGIWHYQVSIQTLVWVANRENPINETSGTLSISSQGNLVLYHKNYTIPLWSTNSTLTHSNNSTARLLDTGNLVLVQNEITYWQSFDYPTNTMLPFMKIGLNLTTGVDRFLTPWKSPNDPGIGNFTYKLDSTGFPQLFLYKGLAKFWRAGSWTGQRWVGIPEMRRSPIFNYSFVYNDEEISASGDIKDASIITRMIANEIGEMQRFLWNHQNQRWVTIWSAPKEECDFYGHCGPNSNCDQTQVDKLECSCLPGFEPKSPETWSLRDWSAGCARRANASICKHGEGFVKVAAVKIPDTAVANADMGLGLKQCQRKCLRNCSCMAYASAFSESNGGTGCLTWHGDLLDINTNRDAGQDLFIRVDAVVLGRQRGPSVSSTTNPTHLEDSLNGTSAALPVINLGTIAVATNNFSPDNRLGQGGFGPVYKIVSGIAGGIVYLHQDSRLRIIHTDLKASNILLDAQMNPKISDFGLAKIFGGDQVEADTKRVVGTYGYMSPEYAMQGHFSIKSDVYSFGVLLLEILTGRRNNGHYPDSPTSNLIGHVWELWKNDKAMEIVDSSLGGGLPSAEVLRCLQIGLVCVQESATDRPKMSAVVAMLGNDASLPSPKQPAFFINRSGQGDETGSSQGTGSINTVTLTMPHAR >KJB57223 pep chromosome:Graimondii2_0_v6:9:11786625:11790102:1 gene:B456_009G154200 transcript:KJB57223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFESLNLGKMDKIIWQTDDSGLASYVAGQIDRSLSWKDVKWLQTITSLPILVKGVLTAEDARLAIQAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLRDEFELTMALSGCRSLKEITRNHIVADWDEPRVVPRL >KJB57225 pep chromosome:Graimondii2_0_v6:9:11786619:11790127:1 gene:B456_009G154200 transcript:KJB57225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFESLNLGKMDKTDDSGLASYVAGQIDRSLSWKDVKWLQTITSLPILVKGVLTAEDARLAIQAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLRDEFELTMALSGCRSLKEITRNHIVADWDEPRVVPRL >KJB57224 pep chromosome:Graimondii2_0_v6:9:11786501:11790172:1 gene:B456_009G154200 transcript:KJB57224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFESLNLGKMDKTDDSGLASYVAGQIDRSLSWKDVKWLQTITSLPILVKGVLTAEDARLAIQAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLRDEFELTMALSGCRSLKEITRNHIVADWDEPRVVPRL >KJB57222 pep chromosome:Graimondii2_0_v6:9:11787179:11790127:1 gene:B456_009G154200 transcript:KJB57222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFESLNLGKMDKTDDSGLASYVAGQIDRSLSWKDVKWLQTITSLPILVKGVLTAEDARLAIQAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLRDEFELTMALSGCRSLKEITRNHIVADWDEPRVVPRL >KJB57221 pep chromosome:Graimondii2_0_v6:9:11787182:11790102:1 gene:B456_009G154200 transcript:KJB57221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFESLNLGKMDKTDDSGLASYVAGQIDRSLSWKDVKWLQTITSLPILVKGVLTAEDARLAIQAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLRDEFELTMALSGCRSLKEITRNHIVADWDEPRVVPRL >KJB53829 pep chromosome:Graimondii2_0_v6:9:577829:581594:1 gene:B456_009G006800 transcript:KJB53829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTLYGYSRNLCCTRILHCKKFSAVGVAAAVQLETPTPVTLSRRRMSNTWVSYLHAVLQLCARNKASIQGKACHARAIRFGLQEDTTTSNILINVYCKSGLLSSARKVFDGMPARSLVSWNTLIGSYAQNGEAHEALSLFKLMQRNGSSFSEFTISSVVCASVAICAVFVCKQLHGFAIKAAVDSNVFVGTALADVYAKSGLVKEASRVFESMQERSVVTWSCMVVGYVQNELFEEALLLFHRAQKMEVEHDHFILSSIICGCAGVAALIEGKQVHAIISKTGFCSNIFVASSLIDLYAKCGSVEEAYTVFTNVEDKNVVSWNAMISGFAKHARALEAMISFEKMQQMGLYPNEVTYISVLSACSHMGLVDEAKSYFDLMITKHNISPNVIHYSCMVDTLGRAGMISEAYDLIQRMPFVATASMWGSLLACCRFHGNLEMAEIAAKHLFEMEPDNAGNYILLSNIYAANKKWEEVVRARKFLKENVVKNEKGKSWIAVKDKVHKFMVGELNHPRIAEIYSKLDSLVEEVKILGYKFETEHELHDVEESRKQELLKHHSEKLALSFGLLSLPASAPIRIMKNLRICGDCHSFMKHASSSTQREIIVRDINRFHHFRKGRCSCCDFW >KJB62325 pep chromosome:Graimondii2_0_v6:9:62393644:62395110:-1 gene:B456_009G411700 transcript:KJB62325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQLHFVLIPLMAQGHMIPMIDLAKLLAERRVMVSLITTPHNASRFDSVIQRATQSGLQIQVVKIPFPCREVGLPVGCENLDTLSSRDLLKRFYNALGMLQEPLERFLEQQKPLPSCIISDKCLSWTSKTAERFNVPRIVFHGMGCFSLLCSHNVKLHKAHLSVASDTEPFVVPGLPQMVEITRAQLPGAFVSLPDLDDVRNKMQEAEMSAFGVVINSFNELEQGCVEEYQKAIKKKVWTIGPVSLCNRINLDKFERGNKASIKEQKCMKWLDSKEPRSVIYACLGSLCRLVPAQLIELGLGLEASQQPFIWVVKTSDERAEELEKWFSEQKYEERIKGRGLLIKGWAPQVLILSHPAVGGFITHCGWNSTIESVCSGVPMITWPQFSEQFFNEKLIVQILKIGVGVGVEVSVRWGEEEKLGVLVKKHQVEKAIDMLMDGGEEGENRRVRARELAEIARKSLENGGSSYCNMSLLVRDILEEITKSP >KJB57505 pep chromosome:Graimondii2_0_v6:9:12868429:12869677:-1 gene:B456_009G167400 transcript:KJB57505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFESSLLFGIYSQTKQSLQGGVQSSRPQPQVIIPSAAFAGAIISFVLCPSELVKCRMQIQGTDSLVPKCSGYSSSLDCTLKTIKSDGVTGAFRGGSTTFLRESIGNAVFFSVYEYVRYYMHLQLKSGSSDHNNLVDMGIGILSGGLGGVAFWSTVLPLDVAKTIIQTAPDKSSPTNPFQVLNSIYRMSGLRGCYTGLGPTILRAFPANAAAIVTWEVAMKLLGIKNN >KJB57503 pep chromosome:Graimondii2_0_v6:9:12868042:12871488:-1 gene:B456_009G167400 transcript:KJB57503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFESSLLFGIYSQTKQSLQGGVQSSRPQPQVIIPSAAFAGAIISFVLCPSELVKDANSRLQVTGAFRGGSTTFLRESIGNAVFFSVYEYVRYYMHLQLKSGSSDHNNLVDMGIGILSGGLGGVAFWSTVLPLDVAKTIIQTAPDKSSPTNPFQVLNSIYRMSGLRGCYTGLGPTILRAFPANAAAIVTWEVAMKLLGIKNN >KJB57507 pep chromosome:Graimondii2_0_v6:9:12868042:12871488:-1 gene:B456_009G167400 transcript:KJB57507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEDSRKPYSYKEYVAGLFAGVATVVVGHPFDTVKVKLQKHNTNVQGITYRNGFHCTARILATEGVRGLYIGATPSFVGMAFESSLLFGIYSQTKQSLQGGVQSSRPQPQVIIPSAAFAGAIISFVLCPSELVKCRMQIQGTDSLVPKCSGYSSSLDCTLKTIKSDGVTGAFRGGSTTFLRESIGNAVFFSVYEYVRYYMHLQLKSGSSDHNNLVDMGIGILSGGLGGVAFWSTVLPLDVAKTIIQTAPDKSSPTNPFQVLNSIYRMSGLRGCYTGLGPTILRAFPANAAAIVTWEVAMKLLGIKNN >KJB57504 pep chromosome:Graimondii2_0_v6:9:12868042:12871523:-1 gene:B456_009G167400 transcript:KJB57504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFESSLLFGIYSQTKQSLQGGVQSSRPQPQVIIPSAAFAGAIISFVLCPSELVKCRMQIQGTDSLVPKCSGYSSSLDCTLKTIKSDGVTGAFRGGSTTFLRESIGNAVFFSVYEYVRYYMHLQLKSGSSDHNNLVDMGIGILSGGLGGVAFWSTVLPLDVAKTIIQTAPDKSSPTNPFQVLNSIYRMSGLRGCYTGLGPTILRAFPANAAAIVTWEVAMKLLGIKNN >KJB57506 pep chromosome:Graimondii2_0_v6:9:12868429:12871344:-1 gene:B456_009G167400 transcript:KJB57506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEDSRKPYSYKEYVAGLFAGVATVVVGHPFDTVKGITYRNGFHCTARILATEGVRGLYIGATPSFVGMAFESSLLFGIYSQTKQSLQGGVQSSRPQPQVIIPSAAFAGAIISFVLCPSELVKCRMQIQGTDSLVPKCSGYSSSLDCTLKTIKSDGVTGAFRGGSTTFLRESIGNAVFFSVYEYVRYYMHLQLKSGSSDHNNLVDMGIGILSGGLGGVAFWSTVLPLDVAKTIIQTAPDKSSPTNPFQVLNSIYRMSGLRGCYTGLGPTILRAFPANAAAIVTWEVAMKLLGIKNN >KJB61823 pep chromosome:Graimondii2_0_v6:9:52065333:52067475:-1 gene:B456_009G383600 transcript:KJB61823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEPDVVIFSTLINGLCNQSKISEAVRMFDEMTEKGYQPNLIVYSTMLKGLCKTGNTGRAVRFLRLMESRGYEPDIVAYNTVIDCLCKNGLLQEVLNLLSEMKVKGIRPNIITYNCLIHGMCNLGQQEEATRLLNEMVGNNISLDIVMYNTLVDALCKEGTISKAVEIVDTMRKQGIEPNVVTYNTLVDAHCKEGMVSEAEDIVDAMIKQGIEPNVVTYNTLVNGHCLRNEMDKARIVFNLMIEKGCAPDIVTYSTMINGYCKDKRLDEAMELFHEISQKGPIPDTVTYCTLMQSLFQLGKVSTACELFRKMLASGQVPDIATCLILLDGLCKTGHIEEALRLFQAMRNSGLELDIVPYNILIDGLCKVGHIEFAKELFHQLSDNSLKPSVYTYCIMINRLCKEGLPDEAYRLFGSMGDNDCLPNSCCYNVMIRGFLRNSYTSKATQLLTEMVGKGFSADIFTATLFMDLIIYSNKSILL >KJB54192 pep chromosome:Graimondii2_0_v6:9:1935015:1936331:-1 gene:B456_009G024900 transcript:KJB54192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYNFSFCIAISPLSSQKKKKKKRRRNLSRLIRMENRFKLRISRMFRSSFASCRTRNMSDVIEKPVFSPEKHNSFHLVEPFSSSPPPKSRPFPSVSKPRDAINAPKESLPRRKSSARYPSYIVSAADFDGRKCPPPASPMMPLNLFSDCKDFGFYEKKKSLPRNKKKKNNKRVHIKSKYMSSTAAFFSSSVAYNSNTYYGGWWFSSEDETDTLFSSRTRSSDSSESLRQHSNCRKRCKVRRRRGRSSDMGMGVLPLEGGTDKVKDSFAVVKSSSDPYNDFRTSMVEMIVERQIFATKDLEQLLQCFLSLNSHHHHSIIVEVFTEIWETLFS >KJB61381 pep chromosome:Graimondii2_0_v6:9:46545586:46545825:1 gene:B456_009G355800 transcript:KJB61381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYAKGYFFTTISALNLKDCEAFLEKSPLESCNVPKDVNKGISGAPFSGYRVLNQKHTKLYSLRPFFFTSEPKSVPNGY >KJB60506 pep chromosome:Graimondii2_0_v6:9:28438076:28442625:-1 gene:B456_009G309100 transcript:KJB60506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MATRLQFENSCEVGVFSKLTNAYCLVAIGGSENFYSAFESELADVIPVIKTSLGGTRIIGRLCAGNKNGLLVPHTTTDQELQHLRNSLPDQVVVQRIEEKLSALGNCIACNDHVALTHTDLDRKFSGKQLPEIFLLGATVHFQTEVAWFILTHPLKTWMSFRRFFRFHWWLAPSTVGVK >KJB60507 pep chromosome:Graimondii2_0_v6:9:28438076:28442631:-1 gene:B456_009G309100 transcript:KJB60507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MATRLQFENSCEVGVFSKLTNAYCLVAIGGSENFYSAFESELADVIPVIKTSLGGTRIIGRLCAGNKNGLLVPHTTTDQELQHLRNSLPDQVVVQRIEEKLSALGNCIACNDHVALTHTDLDRETEEIIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTINRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPTAIVDEMRKSLIDTYV >KJB60504 pep chromosome:Graimondii2_0_v6:9:28438076:28442613:-1 gene:B456_009G309100 transcript:KJB60504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MATRLQFENSCEVGVFSKLTNAYCLVAIGGSENFYSAFESELADVIPVIKTSLGGTRIIGRLCAGNKNGLLVPHTTTDQELQHLRNSLPDQVVVQRIEEKLSALGNCIACNDHVALTHTDLDRFSGKQLPEIFLLGATVHFQTEVAWFILTHPLKTWMSFRRFFRFHWWLAPSTVGVK >KJB60505 pep chromosome:Graimondii2_0_v6:9:28438076:28442613:-1 gene:B456_009G309100 transcript:KJB60505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MLIAWLQLEGLKTSTGNKNGLLVPHTTTDQELQHLRNSLPDQVVVQRIEEKLSALGNCIACNDHVALTHTDLDRETEEIIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTINRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPTAIVDEMRKSLIDTYV >KJB59907 pep chromosome:Graimondii2_0_v6:9:23702170:23705777:1 gene:B456_009G280200 transcript:KJB59907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGICDMVAVARIINATLVVPELDKRSFWRDTSNFSDVFDEDHFINALANDVKVVKKLPKELSSATKIVKHFKSMSSFKYYRDVIGSLWEEYRVIRAAKFDSRLTNNDLPSDIQKLRCRACYEALRFAPQIEAMGKLLVDRMRSYGHFIALHLRFEKDMLAYSGCTHGLSNAEAKELETIRDETANWKVKEIDATEQRYRGQCPLTPKEVGIFLNALGFPSETPIYIAAGEIYGGVTHMADLRSRYPLLMSKENLASVAELEPFTNHSSQMAALDYIVSVESDVFIPSYSGNMARAVEGHRRFLGHRKTISPDRRGLVHLFGQIEKGLLKEGSKLSNRIIEIHKNLQGSPRRRGGSVPGTKSMESTHSEEAFYTNPLPDCLCRRASQNVNTSIRESNRKVDRSMQKEQLKVL >KJB59906 pep chromosome:Graimondii2_0_v6:9:23701480:23705505:1 gene:B456_009G280200 transcript:KJB59906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRRRRRMVAVDKPRKILVCTACVIALVGLLTGYVRVFPSPRASNSSDPHKLPTQHEFNYQKLSAENKWTEEVAPPHLSEQQSSPHLPKAPVSLHKFNGAGGNLDSEKLWKLPEARDFVPCIQPSSNYTTPDKTRGYLLVRTNGGLSQMRTGICDMVAVARIINATLVVPELDKRSFWRDTSNFSDVFDEDHFINALANDVKVVKKLPKELSSATKIVKHFKSMSSFKYYRDVIGSLWEEYRVIRAAKFDSRLTNNDLPSDIQKLRCRACYEALRFAPQIEAMGKVISLTLISIHFLASSACFSLFIVLIKFPILQLLVDRMRSYGHFIALHLRFEKDMLAYSGCTHGLSNAEAKELETIRDETANWKVKEIDATEQRYRGQCPLTPKEVGIFLNALGFPSETPIYIAAGEIYGGVTHMADLRSRYPLLMSKENLASVAELEPFTNHSSQMAALDYIVSVESDVFIPSYSGNMARAVEGHRRFLGHRKTISPDRRGLVHLFGQIEKGLLKEGSKLSNRIIEIHKNLQGSPRRRGGSVPGTKSMESTHSEEAFYTNPLPDCLCRRASQNVNTSIRESNRKVDRSMQKEQLKVL >KJB59905 pep chromosome:Graimondii2_0_v6:9:23701236:23705777:1 gene:B456_009G280200 transcript:KJB59905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRRRRRMVAVDKPRKILVCTACVIALVGLLTGYVRVFPSPRASNSSDPHKLPTQHEFNYQKLSAENKWTEEVAPPHLSEQQSSPHLPKAPVSLHKFNGAGGNLDSEKLWKLPEARDFVPCIQPSSNYTTPDKTRGYLLVRTNGGLSQMRTGICDMVAVARIINATLVVPELDKRSFWRDTSNFSDVFDEDHFINALANDVKVVKKLPKELSSATKIVKHFKSMSSFKYYRDVIGSLWEEYRVIRAAKFDSRLTNNDLPSDIQKLRCRACYEALRFAPQIEAMGKLLVDRMRSYGHFIALHLRFEKDMLAYSGCTHGLSNAEAKELETIRDETANWKVKEIDATEQRYRGQCPLTPKEVGIFLNALGFPSETPIYIAAGEIYGGVTHMADLRSRYPLLMSKENLASVAELEPFTNHSSQMAALDYIVSVESDVFIPSYSGNMARAVEGHRRFLGHRKTISPDRRGLVHLFGQIEKGLLKEGSKLSNRIIEIHKNLQGSPRRRGGSVPGTKSMESTHSEEAFYTNPLPDCLCRRASQNVNTSIRESNRKVDRSMQKEQLKVL >KJB60170 pep chromosome:Graimondii2_0_v6:9:46770710:46771251:1 gene:B456_009G356600 transcript:KJB60170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNVYSALLVLFLTGRAAMATKENNQIIKENKCETKMGLPCVLEAFTSIFETGSISNKCCGELVGLGKVCHSALVKRTLENPLFKDLSPATTIAKSIQTWNNCLALIDSPSPSA >KJB61891 pep chromosome:Graimondii2_0_v6:9:52653849:52654942:-1 gene:B456_009G388900 transcript:KJB61891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKCGNCDCSDKSQCVKKGNSLVIETEKSYISTVVVEAVAENDGKCKCGDSCSCTDCTCGTH >KJB61148 pep chromosome:Graimondii2_0_v6:9:41887437:41892269:-1 gene:B456_009G346100 transcript:KJB61148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQGDLERQIEQLMECKPLSEAEVKALCEQARAVLVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIATQFNHTNGLTLISRAHQLVMEGYNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQVEPDTTRKTPDYFL >KJB61147 pep chromosome:Graimondii2_0_v6:9:41888300:41891922:-1 gene:B456_009G346100 transcript:KJB61147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQGDLERQIEQLMECKPLSEAEVKALCEQARAVLVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIATQFNHTNGLTLISRAHQLVMEGYNWCQVCENPKRISLAWFVTCSLGTKEKDCNCWGFESQAC >KJB61146 pep chromosome:Graimondii2_0_v6:9:41887437:41892230:-1 gene:B456_009G346100 transcript:KJB61146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQGDLERQIEQLMECKPLSEAEVKALCEQARAVLVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEDQCVISCGLILMTAVDGGSLLVELAIHLDKILRLSSTIQMVSL >KJB57175 pep chromosome:Graimondii2_0_v6:9:11553242:11558048:-1 gene:B456_009G152200 transcript:KJB57175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRNLRSRRRPRYGAQVCAVISALLLLFSVSVLYSRLSLSSKSHMYPHHSSEDNNDAVFHSIPLLSDSEDVATTTITSSTDDKIDELDTLEENDITEDDNNNEIEQEEQEITTMNKKDKIFSSGHFYFDHLSGSIRRAFNKRSIQDWDYDGGFLNEGFSGEDVKIKATFGSDDIPLDEEVRSKMTEVESIEDALLVKKVAGRKVNPLREKWGDWFDKKSDFLRRDRMFKSNLEILNPLNNPLLQDPDGVGATGLTRGDKMVQKWILSEFKKVPFTGKKPLGISETGLKVKKGSESKKNENARNVLSERESSSSEDLSSNTNRNESRIRKNEVKNGDLETYKTNTEFSGHIYADGKRWGYYPGLDSRLSFTDFVDAFFKKGKCDMRVFIIWNSPPWMYSVRHQRGLESLLAQHRDACVLVFSETVELDFFKDSFLKDGYKVAVAMPNLDELLKDTPTHVFASVWFKWRKTKFYTIHYSELVRLAALYKYGGIYLDSDIIVLKPLLALNNSVGLEDQGSSLNGALMAFRKESPFIMECLNEFYLTYDDTRLRWNGADLLSRVAKRFSNEKNISIKRPELNVQPSAVFFPISSQHIIRYFVSPTTESEKLQQDALFNRILTESVTFHFWNSLTSALIPEPKSLVARLINHPCIHCSELL >KJB57444 pep chromosome:Graimondii2_0_v6:9:12615554:12616376:-1 gene:B456_009G164500 transcript:KJB57444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWRFLALCLMLILYSVSRSECRLLNANINGENQTTSYRMLPLSTSSGKVYRFSTGIRDDLSENEDLYESKRLSPGGPDPKHH >KJB59618 pep chromosome:Graimondii2_0_v6:9:21847183:21847391:1 gene:B456_009G264200 transcript:KJB59618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAILLPSRQGFTSIEKMIHSDPHESPTLLHCVNQCCIFKRG >KJB63166 pep chromosome:Graimondii2_0_v6:9:70661509:70662805:-1 gene:B456_009G455600 transcript:KJB63166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSSFAHSNRSGGRGQDMKYDRESSGGRGGSKDKIDALGRLLTRILRHMATELNLNMRSDGYVKVEDLLKLNMRTFANIPLRSQTVDDIKEAVRKDNKQRFSLLEENGELLIRANQGHTVMTVESERLLKQILSADEVQFCVHGTYKRNLESILESGLKRMKRLHVHFSSGLPTDGEVISGEIS >KJB59824 pep chromosome:Graimondii2_0_v6:9:22931216:22933366:-1 gene:B456_009G274000 transcript:KJB59824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDGATSMPAAPRRKPSLKERENNRRRERRRRAIAAKIYTGLRALGNYNLPKHCDNNEVLKALCAEAGWVVEEDGTTYRKGCKPPRAPTDILGSSAKITSFSSQNPSPLSSAFPSPIPSCQVSPSSSSFPSPTRLDASNQSSLFPFLRSAIPSSLPPLRISNSAPVTPPLSSPTSRNPKPIPNWEAIAKESMASFNYPLYAVSAPASPTHCHFHAPAAIPECDESDASTIESGQWISFQKFAPSTSQMPTSPTFNLVKPIAPQSLPNDLIKEKGHGSEFQFESGLVKPWEGERIHEVRLDDLELTLGSGKARC >KJB55010 pep chromosome:Graimondii2_0_v6:9:4334673:4338512:-1 gene:B456_009G060500 transcript:KJB55010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVFSLASATPSASLSSHDIEKGKLNLRNTSLCFKKEKNNPFMKTKSFGRISMVVASNVSRFEGITMAPSDPILGVSEAFKADNHELKLNLGVGAYRTEELQPYVLDVVKKADKLLLEKGENREYLPIEGLAAFNKVTADLLFGADNPVIKQQRVATVQGLSGTGSLRLAAALIERYFPRGEVLISSPTWGNHKNIFNDAKVPWSEYRYYDPKTVGLDFEGMIADIKAAPEGSFILLHGCAHNPTGIDPTPEQWEIIADVIQEKNHIPFFDIAYQGFASGSLDADAASVRMFVARGMEVIAAQSYSKNLGLYAERIGAINVVCSSPDAAARCTKKNC >KJB55006 pep chromosome:Graimondii2_0_v6:9:4335049:4338189:-1 gene:B456_009G060500 transcript:KJB55006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVFSLASATPSASLSSHDIEKGKLNLRNTSLCFKKEKNNPFMKTKSFGRISMVVASNVSRFEGITMAPSDPILGVSEAFKADNHELKLNLGVGAYRTEELQPYVLDVVKKADKLLLEKGENREYLPIEGLAAFNKVTADLLFGADNPVIKQQRVATVQGLSGTGSLRLAAALIERYFPRGEVLISSPTWGNHKNIFNDAKVPWSEYRYYDPKTVGLDFEGMIADIKAAPEGSFILLHGCAHNPTGIDPTPEQWEIIADVIQEKNHIPFFDIAYQGFASGSLDADAASVRMFVARGMEVIAAQSYSKNLGLYAERIGAINVVCSSPDAAARVKSQLKRIARPMYSNPPVHGARIVANIVGDAALFKEWNAEMEMMAGRIKNVRHKLFDSLSSKDKSGKDWSFVLKQIGMFSFTGLNKAQCDNMTNKWHVYMTKDGRISLAGLSLAKCEYLADAIIDSYHNGS >KJB55007 pep chromosome:Graimondii2_0_v6:9:4335049:4338189:-1 gene:B456_009G060500 transcript:KJB55007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVFSLASATPSASLSSHDIEKISSQGKLNLRNTSLCFKKEKNNPFMKTKSFGRISMVVASNVSRFEGITMAPSDPILGVSEAFKADNHELKLNLGVGAYRTEELQPYVLDVVKKADKLLLEKGENREYLPIEGLAAFNKVTADLLFGADNPVIKQQRVATVQGLSGTGSLRLAAALIERYFPRGEVLISSPTWGNHKNIFNDAKVPWSEYRYYDPKTVGLDFEGMIADIKAAPEGSFILLHGCAHNPTGIDPTPEQWEIIADVIQEKNHIPFFDIAYQGFASGSLDADAASVRMFVARGMEVIAAQSYSKNLGLYAERIGAINVVCSSPDAAARVKSQLKRIARPMYSNPPVHGARIVANIVGDAALFKEWNAEMEMMAGRIKNVRHKLFDSLSSKDKSGKDWSFVLKQIGMFSFTGLNKAQCDNMTNKWHVYMTKDGRISLAGLSLAKCEYLADAIIDSYHNGS >KJB55009 pep chromosome:Graimondii2_0_v6:9:4334673:4338370:-1 gene:B456_009G060500 transcript:KJB55009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVFSLASATPSASLSSHDIEKVFLIPFFKIWSFFSVFILLTVWLLRNGKISSQGKLNLRNTSLCFKKEKNNPFMKTKSFGRISMVVASNVSRFEGITMAPSDPILGVSEAFKADNHELKLNLGVGAYRTEELQPYVLDVVKKADKLLLEKGENREYLPIEGLAAFNKVTADLLFGADNPVIKQQRVATVQGLSGTGSLRLAAALIERYFPRGEVLISSPTWGNHKNIFNDAKVPWSEYRYYDPKTVGLDFEGMIADIKAAPEGSFILLHGCAHNPTGIDPTPEQWEIIADVIQEKNHIPFFDIAYQGFASGSLDADAASVRMFVARGMEVIAAQSYSKNLGLYAERIGAINVVCSSPDAAARVKSQLKRIARPMYSNPPVHGARIVANIVGDAALFKEWNAEMEMMAGRIKNVRHKLFDSLSSKDKSGKDWSFVLKQIGMFSFTGLNKAQCDNMTNKWHVYMTKDGRISLAGLSLAKCEYLADAIIDSYHNGS >KJB55008 pep chromosome:Graimondii2_0_v6:9:4334646:4338520:-1 gene:B456_009G060500 transcript:KJB55008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSDPILGVSEAFKADNHELKLNLGVGAYRTEELQPYVLDVVKKADKLLLEKGENREYLPIEGLAAFNKVTADLLFGADNPVIKQQRVATVQGLSGTGSLRLAAALIERYFPRGEVLISSPTWGNHKNIFNDAKVPWSEYRYYDPKTVGLDFEGMIADIKAAPEGSFILLHGCAHNPTGIDPTPEQWEIIADVIQEKNHIPFFDIAYQGFASGSLDADAASVRMFVARGMEVIAAQSYSKNLGLYAERIGAINVVCSSPDAAARVKSQLKRIARPMYSNPPVHGARIVANIVGDAALFKEWNAEMEMMAGRIKNVRHKLFDSLSSKDKSGKDWSFVLKQIGMFSFTGLNKAQCDNMTNKWHVYMTKDGRISLAGLSLAKCEYLADAIIDSYHNGS >KJB53432 pep chromosome:Graimondii2_0_v6:9:14758016:14763136:-1 gene:B456_009G191700 transcript:KJB53432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNNGDHSIFKGEFEEDGSMERVILSLEPVYISSSMTCYEYSFEEISPITLLLFPSTNAIFDAFTGIATIE >KJB62967 pep chromosome:Graimondii2_0_v6:9:69692067:69695045:-1 gene:B456_009G446000 transcript:KJB62967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLGKLFIGGISWDTDEERLKEYFGKYGEVVEAMIMRDRVTGRARGFGFVVFADSAVAERVIMDKHMIDGRKVEAKKAVPRDDQIVLNRNVVGVTGSTGIGQTKKIFVGGLASTVTEVDFKNYFDQFGKITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKLVEVKRAVPKELSPGVTNRNVVGYNYGLNRASNFLNSYAQGFNLSPIGGIGVRMDGRFNPLASRQNGFSPFSTTGYGIGMNMEPGMSANYGGNSNFGNSLGYGQIISPYYGGNSNRYNTPIGYGVGSGRNDSVLSPATRNVWGNVGLSNATNASSPASYLGSGNGSFGSLGNSGANWRPSLPARSGGNASGYTGGSADDNYSLGGGGYGRNIGIVAAPPSSFAGSTGNIEGSYGDLYRNASVYGDSTWKFASPDLDGSSSFGYGLGNTSADVTTRPSEDYVGSYNVASRQSNRGIAA >KJB62964 pep chromosome:Graimondii2_0_v6:9:69692067:69694567:-1 gene:B456_009G446000 transcript:KJB62964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLGKLFIGGISWDTDEERLKEYFGKYGEVVEAMIMRDRVTGRARGFGFVVFADSAVAERVIMDKHMIDGRKVEAKKAVPRDDQIVLNRNVVGVTGSTGIGQTKKIFVGGLASTVTEVDFKNYFDQFGKITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKLVEVKRAVPKELSPGVTNRNVVGYNYGLNRASNFLNSYAQGFNLSPIGGIGVRMDGRFNPLASRQNGFSPFSTTGYGIGMNMEPGMSANYGGNSNFGNSLGYGQIISPYYGGNSNRYNTPIGYGVGSGRNDSVLSPATRNVWGNVGLSNATNASSPASYLGSGNGSFGSLGNSGANWRPSLPARSGGNASGYTGGSADDNYSLGGGGYGRNIGIVAAPPSSFAGSTGNIEGSYGDLYRNASVYGDSTWKFASPDLDGSSSFGYGLGNTSADVTTRPSEDYVGSYNVASRQSNRGIAA >KJB62968 pep chromosome:Graimondii2_0_v6:9:69692713:69695045:-1 gene:B456_009G446000 transcript:KJB62968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLGKLFIGGISWDTDEERLKEYFGKYGEVVEAMIMRDRVTGRARGFGFVVFADSAVAERVIMDKHMIDGRKVEAKKAVPRDDQIVLNRNVVGVTGSTGIGQTKKIFVGGLASTVTEVDFKNYFDQFGKITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKLVEVKRAVPKELSPGVTNRNVVGYNYGLNRASNFLNSYAQGFNLSPIGGIGVRMDGRFNPLASRQNGFSPFSTTGYGIGMNMEPGMSANYGGNSNFGNSLGYGQIISPYYGGNSNRYNTPIGYGVGSGRNDSVLSPATRNVWGNVGLSNATNASSPASYLGSGNGSFGSLGNSGANWRPSLPARSGGNASGYTGGSADDNYSLGGGGYGRNIGIVAAPPSSFAGSTGNIEGSYGDLYRNASVYGDSTWKFASPDLDGSSSFGYGLGNTSADVTTRPSEDYVGSYNVASRQSNRGKHACFAYLTIVIGLPLYEHRKFSIINNVVRPNVEA >KJB62965 pep chromosome:Graimondii2_0_v6:9:69691727:69695099:-1 gene:B456_009G446000 transcript:KJB62965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLGKLFIGGISWDTDEERLKEYFGKYGEVVEAMIMRDRVTGRARGFGFVVFADSAVAERVIMDKHMIDGRKVEAKKAVPRDDQIVLNRNVVGVTGSTGIGQTKKIFVGGLASTVTEVDFKNYFDQFGKITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKLVEVKRAVPKELSPGVTNRNVVGYNYGLNRASNFLNSYAQGFNLSPIGGIGVRMDGRFNPLASRQNGFSPFSTTGYGIGMNMEPGMSANYGGNSNFGNSLGYGQIISPYYGGNSNRYNTPIGYGVGSGRNDSVLSPATRNVWGNVGLSNATNASSPASYLGSGNGSFGSLGNSGANWRPSLPARSGGNASGYTGGSADDNYSLGGGGYGRNIGIVAAPPSSFAGSTGNIEGSYGDLYRNASVYGDSTWKFASPDLDGSSSFGYGLGNTSADVTTRPSEDYVGSYNVASRQSNRGIAA >KJB62966 pep chromosome:Graimondii2_0_v6:9:69692637:69694306:-1 gene:B456_009G446000 transcript:KJB62966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLGKLFIGGISWDTDEERLKEYFGKYGEVVEAMIMRDRVTGRARGFGFVVFADSAVAERVIMDKHMIDGRKVEAKKAVPRDDQIVLNRNVVGVTGSTGIGQTKKIFVGGLASTVTEVDFKNYFDQFGKITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKLVEVKRAVPKELSPGVTNRNVVGYNYGLNRASNFLNSYAQGFNLSPIGGIGVRMDGRFNPLASRQNGFSPFSTTGYGIGMNMEPGMSANYGGNSNFGNSLGYGQIISPYYGGNSNRYNTPIGYGVGSGRNDSVLSPATRNVWGNVGLSNATNASSPASYLGSGNGSFGSLGNSGANWRPSLPARSGGNASGYTGGSADDNYSLGGGGYGRNIGIVAAPPSSFAGSTGNIEGSYGDLYRNASVYGDSTWKFASPDLDGSSSFGYGLGNTSADVTTRPSEDYVGSYNVASRQSNRAFINSSISLFHLSGIAA >KJB55139 pep chromosome:Graimondii2_0_v6:9:4642307:4648663:1 gene:B456_009G065400 transcript:KJB55139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICPMRNAFATLNRQRRLSTAVHHDRPIGTMLRRSVSLEVQMEIGIGRTPDTWRPSYLLQRNVFSKGFVSVHGERPSAEYAKLRKESLESEFGHIVGTHSSKRVSVVYRFGPFLALYRAAIISFHVLKLTIWRFFFRDIKERASKFRETLIRLGPFYVKLGQALSTRPDILPPVYCQELAKLQDQIPPFPTHVAIKSIENELGIPVSEIFADISPEPIAAASIGQVYKAHLHSGELVAVKVQRPGISLLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEMVRHMFDEIDYILEARNAERFASLYSDCPIHGQTCNQNSKDGITNKTKNASGIKVPKIYWDLTRKAVLTMEWLDGIKLTDETALKKACLNQRELIDQGVYCSLRQLLDVGFFHADPHPGNLFATSSGFLAYLDFGMMGDIPRHYRVGLIQVLVHFVNRDSLGLANDFLSLGFIPEGVDIQSVADALQTSFGKGTQRSQDFQW >KJB55140 pep chromosome:Graimondii2_0_v6:9:4642307:4648670:1 gene:B456_009G065400 transcript:KJB55140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICPMRNAFATLNRQRRLSTAVHHDRPIGTMLRRSVSLEVQMEIGIGRTPDTWRPSYLLQRNVFSKGFVSVHGERPSAEYAKLRKESLESEFGHIVGTHSSKRVSVVYRFGPFLALYRAAIISFHVLKLTIWRFFFRDIKERASKFRETLIRLGPFYVKLGQALSTRPDILPPVYCQELAKLQDQIPPFPTHVAIKSIENELGIPVSEIFADISPEPIAAASIGQVYKAHLHSGELVAVKVQRPGISLLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEMVRHMFDEIDYILEARNAERFASLYSDCPIHGQTCNQNSKDGITNKTKNASGIKVPKIYWDLTRKAVLTMEWLDGIKLTDETALKKACLNQRELIDQGVYCSLRQLLDVGFFHADPHPGNLFATSSGFLAYLDFGMMGDIPRHYRVGLIQVLVHFVNRDSLGLANDFLSLGFIPEGVDIQSVADALQTSFGKGTQRSQDFQSIMNQLYDVMYDFNFSLPPDYALVVRALGSLEGTAKVLDPDFKVVESAYPFVIGKLLADPNPDMRKILRELLICNNGSIRWNRLERLIAAISEQASESSEEPPKSEEKGSHPMGWKSFDMHAVVAATEDLLLFILSEKGQMVRVFLLRDIIRAADIFLQDEVMGCRLDAESKARKTSESEDDAIMRRVMNGFGSLKEAVKLGPEVWTPMFIRIALNPQTHRFFADVISALMMRLSKKFPDTFWVCMSTLIHRVAKSQPPHTPSHPST >KJB55142 pep chromosome:Graimondii2_0_v6:9:4642366:4648663:1 gene:B456_009G065400 transcript:KJB55142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPLSTVNAVSPPQYTMIDLLEPCYVVLFLWKFRWKLESEGHRILGVHHTCCNEMSFLYRFSKGFVSVHGERPSAEYAKLRKESLESEFGHIVGTHSSKRVSVVYRFGPFLALYRAAIISFHVLKLTIWRFFFRDIKERASKFRETLIRLGPFYVKLGQALSTRPDILPPVYCQELAKLQDQIPPFPTHVAIKSIENELGIPVSEIFADISPEPIAAASIGQVYKAHLHSGELVAVKVQRPGISLLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEMVRHMFDEIDYILEARNAERFASLYSDCPIHGQTCNQNSKDGITNKTKNASGIKVPKIYWDLTRKAVLTMEWLDGIKLTDETALKKACLNQRELIDQGVYCSLRQLLDVGFFHADPHPGNLFATSSGFLAYLDFGMMGDIPRHYRVGLIQVLVHFVNRDSLGLANDFLSLGFIPEGVDIQSVADALQTSFGKGTQRSQDFQVFALVRALGSLEGTAKVLDPDFKVVESAYPFVIGKLLADPNPDMRKILRELLICNNGSIRWNRLERLQQYQNRHLNRLRSLLSLKKKVRIPWDGSHSICMLLLLPPKISCFLFYLRRVKWCGFSSCVI >KJB55141 pep chromosome:Graimondii2_0_v6:9:4642366:4648663:1 gene:B456_009G065400 transcript:KJB55141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPLSTVNAVSPPQYTMIDLLEPCYVVLFLWKFRWKLESEGHRILGVHHTCCNEMSFLYRFSKGFVSVHGERPSAEYAKLRKESLESEFGHIVGTHSSKRVSVVYRFGPFLALYRAAIISFHVLKLTIWRFFFRDIKERASKFRETLIRLGPFYVKLGQALSTRPDILPPVYCQELAKLQDQIPPFPTHVAIKSIENELGIPVSEIFADISPEPIAAASIGQVYKAHLHSGELVAVKVQRPGISLLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEMVRHMFDEIDYILEARNAERFASLYSDCPIHGQTCNQNSKDGITNKTKNASGIKVPKIYWDLTRKAVLTMEWLDGIKLTDETALKKACLNQRELIDQGVYCSLRQLLDVGFFHADPHPGNLFATSSGFLAYLDFGMMGDIPRHYRVGLIQVLVHFVNRDSLGLANDFLSLGFIPEGVDIQSVADALQTSFGKGTQRSQDFQSIMNQLYDVMYDFNFSLPPDYALVVRALGSLEGTAKVLDPDFKVVESAYPFVIGKLLADPNPDMRKILRELLICNNGSIRWNRLERLIAAISEQASESSEEPPKSEEKGSHPMGWKSFDMHAVVAATEDLLLFILSEKGQMVRVFLLRDIIRAADIFLQDEVMGCRLDAESKARKTSESEDDAIMRRVMNGFGSLKEAVKLGPEVWTPMFIRIALNPQTHRFFADVISALMMRLSKKFPDTFWVCMSTLIHRVAKSQPPHTPSHPST >KJB62827 pep chromosome:Graimondii2_0_v6:9:68940082:68943869:1 gene:B456_009G438900 transcript:KJB62827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSSIFHGFLYCATLPSVILGGLTYAFYTSGINDRRPHDRVPLKEMKADWHACLDNNVGFKGFAVPKEEQNKVAKFTFHGLPAELRHGSVVIAAITSCTNTSNPSVMLGAGLVAKKAYELGLEVKPWIKTSLAPGSGVVTKYLLRSGLQKYLNQQGFNLVGYDCTTCIGNSGELDESVASGISENDIIAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGTGKSGKSIYFKDIWPSNDEIAQVVQSSVLPDMLKSTYEAITKGNLMWNQLSVPASTLYSWDPNSTYIHEPPYFKNMTVEPPGPHGVKDAYCLLNFGDSITTDHISPAGSIQKDSPAAKFLLERGVDKKDFNSYGSRRGNDEAMARGTFANIRIVNKLLKGEVGPKTIHVPTGEKLYVYDASMRYEAAGQDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVIRNLNKE >KJB53542 pep chromosome:Graimondii2_0_v6:9:4453694:4457879:1 gene:B456_009G062100 transcript:KJB53542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHQQFVWFFMFLLQNRNLILFWPGWYAADDCEWMGFSHLVLWGCHYHTPNLKCSNLISRLQNNTVAGVSKNVVEYHGRGYTQRI >KJB61776 pep chromosome:Graimondii2_0_v6:9:51729447:51731753:1 gene:B456_009G380900 transcript:KJB61776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLPSSFILCSVVNAGSHLSNFHSFSSSSSSNTIATHIECLSKKLMSMPVRGKGKRDHRFDIVDHALILFNNLIEKYPKPSIVEFNKLLGAIVKTKHYAIVVSKYRQIELLGVSHNVYSMNILINCFCQLGRIDFGFSVLGKMLKLGVEPSAVTFSTLINGLCNQSKISEAFCMFDEMTEKGYQPNLIVYSTVLKGLSKTGNTDRAVRFLRLMEGRGYEPNIVAYSTVIDCLCKNGLLKEALDLFSEVKVKGIRPNIITYNCLIHGMCNLGQQEEATRLLNEMVDNNISINIDTYNILIDTHCKEGMISKAVETIDMMRKQCIEPNVVTYNTLVDAHCKEGMVFEAEDIIDAMIKRGIEPDVVTYNALINGHFLQNEMDKARRVFNLMIEKGCEPDIVTYSTMINGYCKGKTGDIEEALKLFQAMRNSGLELDIVLYTILIDGLCKAGHIEFAKELFHQVSDNGLKPDVYTYCIMINGLCKEGLPDEAYRLFGSMGDNDCLPDSCCYNVMIRGFLCNSYTSKATQLLTEMVGKGFSADIITATLFMDLIIHSNKSILL >KJB61775 pep chromosome:Graimondii2_0_v6:9:51729447:51731753:1 gene:B456_009G380900 transcript:KJB61775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPVRGKGKRDHRFDIVDHALILFNNLIEKYPKPSIVEFNKLLGAIVKTKHYAIVVSKYRQIELLGVSHNVYSMNILINCFCQLGRIDFGFSVLGKMLKLGVEPSAVTFSTLINGLCNQSKISEAFCMFDEMTEKGYQPNLIVYSTVLKGLSKTGNTDRAVRFLRLMEGRGYEPNIVAYSTVIDCLCKNGLLKEALDLFSEVKVKGIRPNIITYNCLIHGMCNLGQQEEATRLLNEMVDNNISINIDTYNILIDTHCKEGMISKAVETIDMMRKQCIEPNVVTYNTLVDAHCKEGMVFEAEDIIDAMIKRGIEPDVVTYNALINGHFLQNEMDKARRVFNLMIEKGCEPDIVTYSTMINGYCKGKTGDIEEALKLFQAMRNSGLELDIVLYTILIDGLCKAGHIEFAKELFHQVSDNGLKPDVYTYCIMINGLCKEGLPDEAYRLFGSMGDNDCLPDSCCYNVMIRGFLCNSYTSKATQLLTEMVGKGFSADIITATLFMDLIIHSNKSILL >KJB57731 pep chromosome:Graimondii2_0_v6:9:13759341:13760171:-1 gene:B456_009G178200 transcript:KJB57731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCIRREKSTWADDETSWSLQSSQMGGDYGDDEINIMEKETRQLFGGKTDAINTREVKITISKKELEQLVHKVEMQGLTLEQLLLARMVKGGGGGDMFEFEQPRSWKPVLQSIPEVN >KJB62189 pep chromosome:Graimondii2_0_v6:9:59515055:59515771:1 gene:B456_009G405600 transcript:KJB62189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVAAYLLAVPGSKTNPSAADIKKILASVGAEADDDKIQLLLYEMKGKDVTELIASGREKLASVPCGGGVGVVAAAPGAGAAAAATPAAAEAKKEEKVEEKAESSDDVVTPTHIVFPYLLQYIRI >KJB57536 pep chromosome:Graimondii2_0_v6:9:13010016:13011910:-1 gene:B456_009G168900 transcript:KJB57536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAVVVLVVKAVLLVQLSLLLLSTFTVSAPIWPQASPPHYHAVSPVVPPSHPPTHHHHHHPHPHPHPHPHPPTKPPTPTPPPVHPPPKAPVQPPTKPPTSAPPKPPVQPPTKPPTKPPTQPPPKPPTQPPTKPPTKPPTQPPTKPPTHPPSHPPAKPPTSSHVAVQGVVYCKSCKYAGVDTLLGAKPIPRATVRLTCKDAKNELTVQFKTDKNGYFFLQAPITIYNFDLHNCSVSLVSSPLKACSKPSNLNGGLKGAPLKPEKPSTSKKLPYVLYSVGPFAFEPTCHKN >KJB57537 pep chromosome:Graimondii2_0_v6:9:13010092:13011714:-1 gene:B456_009G168900 transcript:KJB57537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAVVVLVVKAVLLVQLSLLLLSTFTVSAPIWPQASPPHYHAVSPVVPPSHPPTHHHHHHPHPHPHPHPHPPTKPPTPTPPPVHPPPKAPVQPPTKPPTSAPPKPPVQPPTKPPTKPPTQPPPKPPTQPPTNHQHTHHLILRPSHLHRVMWQCRALFIASHASTPESTPFWELNQFLVSATVRLTCKDAKNELTVQFKTDKNGYFFLQAPITIYNFDLHNCSVSLVSSPLKACSKPSNLNGGLKGAPLKPEKPSTSKKLPYVLYSVGPFAFEPTCHKN >KJB54191 pep chromosome:Graimondii2_0_v6:9:1921893:1924641:1 gene:B456_009G024800 transcript:KJB54191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYKEVEGKRVHDHRFGKNTMSTLARCIWVPCPVIIGAGPSGLAVAACLKERGIPSLILEKANCIASLWKLKTYDRLRLHLPKQFCELPFMPFPANFPTYPTKTQFVAYLELYKNSFGLDPVFNKTVVSAEFDDQCGFWRIKTLGLKQEKTEYVSQWLIVATGENADEVVPRIDGMDDFGGPILHTSSYKSGLLFRGKDVLVVGCGNSGMEVSLDLCNSNARPSLVVRDSVHVLPQEMLGKSTFGLSMWLLKWFPVRLVDRFLLLVSRFMLGDTEKFGLHRPEFGPLELKNRSGKTPVLDVGTLAKIKSGNIKVCLGIKRITHRAVEFVDGKKENFDAIILATGYKSNVPQWLKEADMFSEEDGMPKEAFPRGWKGERGLYAVGFTKRGLLGASFDAKKIAEDIERQWKAEATNFMPYIHPLTSS >KJB53598 pep chromosome:Graimondii2_0_v6:9:9763126:9764699:1 gene:B456_009G129500 transcript:KJB53598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLAFSLSTPIRASAGSLQRPDPSRRKPVSSSSWWAPLFGLSSNPDYLNDGHIGVTSERNPEVSESDSDPGRSRSKSSLRCFTEEKAKQLRRKTMENASFHDMMYHSAIASRLASDTSGWPVCD >KJB54310 pep chromosome:Graimondii2_0_v6:9:2181223:2183158:-1 gene:B456_009G028400 transcript:KJB54310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQAYVDDHLMCDIEGNHLSAAAIIGQDGSVWAQSSNFPQFKPEEITGIMNDFAEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKGPGGVTVKKTNLALIIGIYDEPMTPGQCNMIVERLGDYLIDQGL >KJB61362 pep chromosome:Graimondii2_0_v6:9:45738912:45741260:-1 gene:B456_009G353300 transcript:KJB61362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSFNKIERAHQMYREGRYEEALAYYTEALAVARTKPQKIALHSNRAACYLKLHHFKKAAEECTSVLELDHKHTGALMLRAQTLVTLKEYNSALFDVNQLIELNPSSEVYYNLQARLRTQVALAPIPESEAELEEEEEHGEPYAVEEGEEQDDAKEDIVRPAVREDQNAEPNEDLVKETMIFPNAKGSAEQEVVATPEGPNEKYSKGHDKIPKPKGHSEQKSVAQRTVVAAPIEQGIKDSKGWQAIPKPKGHSALDYARWDKVEDDSSDDDDDDSEEESQPQYRFRVRTVGVQPVK >KJB61361 pep chromosome:Graimondii2_0_v6:9:45738912:45741182:-1 gene:B456_009G353300 transcript:KJB61361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAQTLVTLKEYNSALFDVNQLIELNPSSEVYYNLQARLRTQVALAPIPESEAELEEEEEHGEPYAVEEGEEQDDAKEDIVRPAVREDQNAEPNEDLVKETMIFPNAKGSAEQEVVATPEGPNEKYSKGHDKIPKPKGHSEQKSVAQRTVVAAPIEQGIKDSKGWQAIPKPKGHSALDYARWDKVEDDSSDDDDDDSEEESQPQYRFRVRTVGVQPVK >KJB61363 pep chromosome:Graimondii2_0_v6:9:45739294:45741113:-1 gene:B456_009G353300 transcript:KJB61363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSFNKIERAHQMYREGRYEEALAYYTEALAVARTKPQKIALHSNRAACYLKLHHFKKAAEECTSVLELDHKHTGALMLRAQTLVTLKEYNSALFDVNQLIELNPSSEVYYNLQARLRTQVALAPIPESEAELEEEEEHGEPYAVEEGEEQDDAKEDIVRPAVREDQNAEPNEDLVKETMIFPNAKGSAEQEVVATPEGPNEKYSKGHDKIPKPKGHSEQKSVAQRTVVAAPIEQGIKDSKGWQAIPKPKGHSALDYARWDKVEDDSSDDDDDDSEEESQPQYRFRVRTVGVQPVK >KJB53412 pep chromosome:Graimondii2_0_v6:9:8392631:8393579:1 gene:B456_009G114400 transcript:KJB53412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLEKKRCPCGTHFWLPPPLPLLPKTHHFLLLHLTERNERRRIIEGLVGKMMTVKHQLLRKNDIKNKDQE >KJB56378 pep chromosome:Graimondii2_0_v6:9:8796846:8802603:-1 gene:B456_009G118900 transcript:KJB56378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPTDGSKSRKSGPISGAPSRTGSFGGAASHSGPIMPNAAPRAGYTTSGPVGAGGMSGSVSLKKSNSGPLNRHGDPAKKSSGPQSGGVTPSGRQNSGPIPVLPATGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKVKGSAVVHNQAVNILGQNDDFSFKRNFPKLILYALILLFVMGFIAGGFILGAVHNAILLIVVVVLFGTVAALFAWNSCYGRTAIMGFIAGYPDAELRNAKNGQFVKISGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPKHRRFTWGLRLLDVSVLLTSTSPISSLG >KJB56377 pep chromosome:Graimondii2_0_v6:9:8796765:8802684:-1 gene:B456_009G118900 transcript:KJB56377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPTDGSKSRKSGPISGAPSRTGSFGGAASHSGPIMPNAAPRAGYTTSGPVGAGGMSGSVSLKKSNSGPLNRHGDPAKKSSGPQSGGVTPSGRQNSGPIPVLPATGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKVKGSAVVHNQAVNILGQNDDFSFKRNFPKLILYALILLFVMGFIAGGFILGAVHNAILLIVVVVLFGTVAALFAWNSCYGRTAIMGFIAGYPDAELRNAKNGQFVKISGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPKHRRFTWGLRLLDRRAVDFYISDFQSGLRALVKTGNGAVVTPYVDDSIVIDVNPANETLSPEFMRWLGERNLSSDDRLMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPIATGCQWPKCIFPASLEGIVLRCEDTSNNDAIPV >KJB56376 pep chromosome:Graimondii2_0_v6:9:8796846:8802603:-1 gene:B456_009G118900 transcript:KJB56376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPTDGSKSRKSGPISGAPSRTGSFGGAASHSGPIMPNAAPRAGYTTSGPVGAGGMSGSVSLKKSNSGPLNRHGDPAKKSSGPQSGGVTPSGRQNSGPIPVLPATGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKVKGSAVVHNQAVNILGQNDDFSFKRNFPKLILYALILLFVMGFIAGGFILGAVHNAILLIVVVVLFGTVAALFAWNSCYGRTAIMGFIAGYPDAELRNAKNGQFVKISGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPKHRRFTWGLRLLDRRAVDFYISDFQSGLRALVKTGNGAVVTPYVDDSIVIDVNPANETLSPEFMRWLGERNLSSDDRLMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPIATGCQWPKCIFPASLEGIVLRCEDTSNNDAIPV >KJB54351 pep chromosome:Graimondii2_0_v6:9:6218034:6218500:-1 gene:B456_009G085500 transcript:KJB54351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKMSTLSLTSPCIVKAVIPDRFTSAIVKAPSSLGSVKRISKSLGLKYSSNCRTLTATYKIKLIGPKGEVTEFGAPNDKYILKAAEEAGFDCRSVDLSDGTFLDDKQMEKGYMLPCVSYPTSDCEIQTHKESELYGVK >KJB56296 pep chromosome:Graimondii2_0_v6:9:8765752:8769303:-1 gene:B456_009G118600 transcript:KJB56296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase-binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21200) UniProtKB/Swiss-Prot;Acc:Q9LU39] MKMNLQIQTQSLSTHFPLPPFPPKPKFLQAKPTPSFKLCSSLSTVSVPPTKTSHKPFPAEISRTIMELSSIGTLSTLAQDGWPLGVGVRFAVDAEGTPVLCLPQPSPDNRSTLHVQLDQCGLRTPQCTIQGSLTKPADATILRWFDSVWKKRFGESADVDNLYTVDVQRVLQMEDLNEDGVWVTSSDYKNANPDPLRNSAEEIVNEINTNNREDVHRFCNVYVDLDFQVSEAKMIWVDRLGFDLRIYSPQKGVFDVRIPFPREVTDEKGAKSSFNGMSQLAWEVEKNFHAPDFEKVKQLKKIVYSGGR >KJB56297 pep chromosome:Graimondii2_0_v6:9:8765789:8769259:-1 gene:B456_009G118600 transcript:KJB56297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase-binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21200) UniProtKB/Swiss-Prot;Acc:Q9LU39] MKMNLQIQTQSLSTHFPLPPFPPKPKFLQAKPTPSFKLCSSLSTVSVPPTKTSHKPFPAEISRTIMELSSIGTLSTLAQDGWPLGVGVRFAVDAEGTPVLCLPQPSPDNRSTLHVQWFDSVWKKRFGESADVDNLYTVDVQRVLQMEDLNEDGVWVTSSDYKNANPDPLRNSAEEIVNEINTNNREDVHRFCNVYVDLDFQVSEAKMIWVDRLGFDLRIYSPQKGVFDVRIPFPREVTDEKGAKSSFNGMSQLAWEVEKNFHAPDFEKVKQLKKIVYSGGR >KJB56298 pep chromosome:Graimondii2_0_v6:9:8766882:8769250:-1 gene:B456_009G118600 transcript:KJB56298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase-binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21200) UniProtKB/Swiss-Prot;Acc:Q9LU39] MKMNLQIQTQSLSTHFPLPPFPPKPKFLQAKPTPSFKLCSSLSTVSVPPTKTSHKPFPAEISRTIMELSSIGTLSTLAQDGWPLGVGVRFAVDAEGTPVLCLPQPSPDNRSTLHVQLDQCGLRTPQCTIQGSLTKPADATILRWFDSVWKKRFGESADVDNLYTVDVQRVLQMEDLNEDGVWVTSSDYKNANPDPLRNSAEEIVNEINTNNREDVHRFCNVYVDLDFQNIKLCQIKPGESKWKQSMI >KJB56295 pep chromosome:Graimondii2_0_v6:9:8767060:8769197:-1 gene:B456_009G118600 transcript:KJB56295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase-binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21200) UniProtKB/Swiss-Prot;Acc:Q9LU39] MKMNLQIQTQSLSTHFPLPPFPPKPKFLQAKPTPSFKLCSSLSTVSVPPTKTSHKPFPAEISRTIMELSSIGTLSTLAQDGWPLGVGVRFAVDAEGTPVLCLPQPSPDNRSTLHVQLDQCGLRTPQCTIQGSLTKPADATILRWFDSVWKKRFGESADVDNLYTVDVQRVLQMEDLNEDGVWVTSSDYKNANPDPLRNSAEEIVNEINTNNREDVHRFCNVYVDLDFQVKANGNKA >KJB60394 pep chromosome:Graimondii2_0_v6:9:27255340:27256081:1 gene:B456_009G303400 transcript:KJB60394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHSSFFFLSFVNPPPPNFTSLSTIQSPPKFLLSFFCQILHFIFLLSFFCQILHFIFLRLKTPKILHFIFLRLKTPKICPHFLTIQ >KJB59204 pep chromosome:Graimondii2_0_v6:9:19642196:19644856:1 gene:B456_009G244600 transcript:KJB59204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKGIGTMAARSLSIVHVPAFKPKWVFSPLSFCSSPSKPRKLVLYSKPGCCLCDGLKEKLHAAFSLSGPDPLHDVVLQVRDITSNPEWEKAYQYEIPVLAKVLSDGSEEILPRLSPRLGVELVRKKIAAAFKQ >KJB61837 pep chromosome:Graimondii2_0_v6:9:52299076:52302904:1 gene:B456_009G385200 transcript:KJB61837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVGPVVGIANCLGTPVCKYLQYHRKLNDYVRNFKRIGDELNCKMEDIELQLKAELLRPLGKIPKKGVENWLKAVKEMIREAQVVENKVSNGRYLCRACNGKLVDEKTREMKKFLDNAPNASEGLAMDGPSAGLPLPTSELVGEEAVRNEIWACLMLEEVSKIGVWGMGGVGKTTIMKHIHNDLLKEQRFERVIWVTISKEFNVMKVQDNIASALEAKEYLDKEEDKLRRAAILSEMLKNAGKNVLILDDVWDKVSLEEVGIPEPSGGNGCKLVLTTRSEHVCKYMGCKVIKVRPLSEEETLILFLNKVGPNIVQSPTIMPTLKLVVKECAGLPLTIIVVAGTMKGEYNPRIWKNALKDLKERIGKVEGVEAEVIERLKFSFDHLKDEKVKDCFLYCALYPEDYEIHKVELIECWIVEIFIDEMDTRQEMEDKGLTILKRLEDNCLLENITTQFGLHGIKMHDAVREMALSITRMNPRYMIQAGLQLEELPEKEQWSPDIEKVSLMYNSISEISIDVLPTKCQLLTTLLLQNNPIKKIPYSFFTNMPCLSVLNLSFTKIESLPNSISELKNLTTLLLHSCRELRDLPCLSRLQELKELDLRWTEIEEVPEGIDMLIKLRYLDLEVFTLKEIPAGLLPKLVHLQHLSFAGNNEKISLKAEELEPLKKLELFTGCFEDISEFTKFISSMQQSKKSLIKYHLRVGSYLIPAWRTPEIDKKVAIGGIDNWEGELIMHPIEIQELHITECDYLRSLVDDNSSFKNAIDLRVCRIWGCTGIECVVSLSSCSSSSAHPFQSLEVLDLQFLPMLSAFIMKDEGIGSATTSLAPSATFSHLKEITIYSCSSMKTLLPHWLLANLQNLEEIHVGACSQLVEILGAATSEVEEKGSDTLIKFHLPKLRELRLSKLPNLKSICSKSGVMVCDSLQLIQVVVECDKLKRIPPFVPLVGTGQPFAYAPPSLTIRSSTEWWEWLEWDDHPNFKNVLRFNPFGNF >KJB61838 pep chromosome:Graimondii2_0_v6:9:52298800:52302904:1 gene:B456_009G385200 transcript:KJB61838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVGPVVGIANCLGTPVCKYLQYHRKLNDYVRNFKRIGDELNCKMEDIELQLKAELLRPLGKIPKKGVENWLKAVKEMIREAQVVENKVSNGRYLCRACNGKLVDEKTREMKKFLDNAPNASEGLAMDGPSAGLPLPTSELVGEEAVRNEIWACLMLEEVSKIGVWGMGGVGKTTIMKHIHNDLLKEQRFERVIWVTISKEFNVMKVQDNIASALEAKEYLDKEEDKLRRAAILSEMLKNAGKNVLILDDVWDKVSLEEVGIPEPSGGNGCKLVLTTRSEHVCKYMGCKVIKVRPLSEEETLILFLNKVGPNIVQSPTIMPTLKLVVKECAGLPLTIIVVAGTMKGEYNPRIWKNALKDLKERIGKVEGVEAEVIERLKFSFDHLKDEKVKDCFLYCALYPEDYEIHKVELIECWIVEIFIDEMDTRQEMEDKGLTILKRLEDNCLLENITTQFGLHGIKMHDAVREMALSITRMNPRYMIQAGLQLEELPEKEQWSPDIEKVSLMYNSISEISIDVLPTKCQLLTTLLLQNNPIKKIPYSFFTNMPCLSVLNLSFTKIESLPNSISELKNLTTLLLHSCRELRDLPCLSRLQELKELDLRWTEIEEVPEGIDMLIKLRYLDLEVFTLKEIPAGLLPKLVHLQHLSFAGNNEKISLKAEELEPLKKLELFTGCFEDISEFTKFISSMQQSKKSLIKYHLRVGSYLIPAWRTPEIDKKVAIGGIDNWEGELIMHPIEIQELHITECDYLRSLVDDNSSFKNAIDLRVCRIWGCTGIECVVSLSSCSSSSAHPFQSLEVLDLQFLPMLSAFIMKDEGIGSATTSLAPSATFSHLKEITIYSCSSMKTLLPHWLLANLQNLEEIHVGACSQLVEILGAATSEVEEKGSDTLIKFHLPKLRELRLSKLPNLKSICSKSGVMVCDSLQLIQVVVECDKLKRIPPFVPLVGTGQPFAYAPPSLTIRSSTEWWEWLEWDDHPNFKNVLRFNPFGNF >KJB57116 pep chromosome:Graimondii2_0_v6:9:11313305:11315993:1 gene:B456_009G148800 transcript:KJB57116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF GENE SILENCING 3 [Source:Projected from Arabidopsis thaliana (AT5G23570) UniProtKB/Swiss-Prot;Acc:Q9LDX1] MVIFCEELNRLNSIDPLLGITNMANELAFDLIYGVGDEKDIFELSYATFAEELQLQEILKESMMGSEKELEDAASEANQKLECLVSHGLSNTECAISHVSEIHEPQSFNKQGSARWRPQQRFGYIEQQRINWDDKGRDRFRWRKPTGWHNIRGRRVGDVPQTSSSKEFHAKTSSWGEGSPLVGTGNIEDPKGKGKAIDDGWLLVSKWKSESRGGNSVDKQQVDLGHPNHLKGSKDDDTSAVHEDDCESLDDEDDFDSDDSQKSYESRKKSKWFKEFFERMDALNAEEIDQTLWHCPACQGGPGAIKWYRSISDLIAHSKKIGSRRVKLHQELAMLLEGELCIRGTSVSPPADPIVGTWKGLKEEARDHQVVWPPMVVIMNTMTSNSKDGKFVGMGSHELLEHFSSFPALKAQHSYGPQGHRGLSVLIFESSAVGYLEAERLHQNFLDQGLDKFAWNSCTNEVLPGGERQLYGFMAVKEDLDCFNQHCQGKSKLKYELKSYQEAVLNEIKKMREDSQQLIRLKDKLEEEIKRAKTLERSVDDLSRNLQQRMKDICIFERRVKSLHEDNKEEVESQEIFYKDQIKILEARVKELDHKLKESGASPLHTGEPEPAMSGLLEEEHTPYQYNSNLGIDEDSSLENGKLPSKSNENGD >KJB56829 pep chromosome:Graimondii2_0_v6:9:10374581:10375958:1 gene:B456_009G137400 transcript:KJB56829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNHRNSLMIIILYIYVFILVLSRERRPLDFSKLDGLMHQFKGSSSSIGAKKVKAESTLFREYCKSGNAEGCMRTFQQLKKEYATLRKKLETYFQLARQIGPMESANRPK >KJB56562 pep chromosome:Graimondii2_0_v6:9:9425499:9426491:-1 gene:B456_009G125300 transcript:KJB56562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLIVHPGGHVELHDRPILAAEIIYRNPRCVVAYPHVFQQPCAIVAPETELMLGQKFYVVPISTIRKLQRLSNKYSPSPTPTRSKYQQSEESQDPVNDDSSTRCWFFTNKNNKIPYSSLSHSFEDEDSSADSSAEKGVPKGMEKEDGSCFDDKSCFACLMTGAKSSHDHHKACDGDDSAEKTRSPGNVVSADHDDQTRLHTRKRARGHAKGSPKRRTSFDQWQPSLASITETEE >KJB59361 pep chromosome:Graimondii2_0_v6:9:20465714:20470291:1 gene:B456_009G251400 transcript:KJB59361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKAKVRSEELNSCFEKLLMVAAGNSGVGVRMEGAVITEWKDIPMELLLRIVPLVDDRTAIVACGVCCGWRDAICFGLTQLSLSWCRKNMNNLVLSLAPKFTRLQTLILRQEYPQLEDNAVEAIANFCHDIQELDLSKSFKLSDHSLYALARGCPNITKLNISGCTSFSDGALEYLTNFCQKLKILNLCGCVKAATDRALQAIGRNCKMLQSLNLGWCDNVGDIGVMSLAYGCPDLKCLDLCGCVRITDDSVIALADKCLHLRSLDLYYCRNITDRAMYSLAHSRVNNKPSLWQSMKGRYDEEGLRSLNISQCTALTPSAVQTLCDTFPALHTCSGRHTLVMSGCLSLTSVHCACAVQAHRTLNTIPHTAH >KJB59362 pep chromosome:Graimondii2_0_v6:9:20465727:20470247:1 gene:B456_009G251400 transcript:KJB59362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKAKVRSEELNSCFEKLLMVAAGNSGVGVRMEGAVITEWKDIPMELLLRIVPLVDDRTAIVACGVCCGWRDAICFGLTQLSLSWCRKNMNNLVLSLAPKFTRLQTLILRQEYPQLEDNAVEAIANFCHDIQELDLSKSFKLSDHSLYALARGCPNITKLNISGCTSFSDGALEYLTNFCQKLKILNLCGCVKAATDRALQAIGRNCKMLQSLNLGWCDNVGDIGVMSLAYGCPDLKCLDLCGCVRITDDSVIALADKCLHLRSLDLYYCRNITDRAMYSLAHSRVNNKPSLWQSMKGRYDEEGLRSLNISQCTALTPSAVQTLCDTFPALHTCSGRHTLVMSGCLSLTSVHCACAVQAHRTLNTIPHTAH >KJB54898 pep chromosome:Graimondii2_0_v6:9:3904694:3906798:-1 gene:B456_009G053900 transcript:KJB54898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIIFFFLFILFPSSQFHLCGAQTLVKAGYWYASGEFPIQDINSALFTHLSYAFADVNASNYQLLIPSASQQYLSTFPTIVKRRNPSVKPLLSIWNGISATGKSIAGENVTDSVLSSMVSASSKRKSFIDSSIKTARRYGFQGMDLFWVWPNSTDLSNIGVLLDEWRAAINSEQRQPGESQLILTLAIRYLPTIEMVSYPIDSIRRNVDWAHVVAYDYHLPTRENFTGLHAALYNPSSNVNTDFGIREWLNKGMPPSKLVLGLPYHGYAWKLVSSQDNAIGAPSSGPAVNIDGSMGYKAIKSYIRDYGYGATSVYNATYVVNLLTTPTIWINFDDVETIKAKMSYVKEKKLIGFKAFQLSNDDNWVLSRAAQEGENQANKQQLLLKIILPVSLIVILAVALLFYLRRRKVQSEAEMVLTSIPSPRINAPAAENFGSDASHLQVFKFANIKGATNNFSSANKLGEGGFGPVYKGKLPGGQEIAVKRLSRTSTQGHEEFQNEVTLTARLQHVNLVRLLGYCTEKEEKLLIYEFMPNKSLELYLFGMLLS >KJB54901 pep chromosome:Graimondii2_0_v6:9:3904369:3906798:-1 gene:B456_009G053900 transcript:KJB54901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIIFFFLFILFPSSQFHLCGAQTLVKAGYWYASGEFPIQDINSALFTHLSYAFADVNASNYQLLIPSASQQYLSTFPTIVKRRNPSVKPLLSIWNGISATGKSIAGENVTDSVLSSMVSASSKRKSFIDSSIKTARRYGFQGMDLFWVWPNSTDLSNIGVLLDEWRAAINSEQRQPGESQLILTLAIRYLPTIEMVSYPIDSIRRNVDWAHVVAYDYHLPTRENFTGLHAALYNPSSNVNTDFGIREWLNKGMPPSKLVLGLPYHGYAWKLVSSQDNAIGAPSSGPAVNIDGSMGYKAIKSYIRDYGYGATSVYNATYVVNLLTTPTIWINFDDVETIKAKMSYVKEKKLIGFKAFQLSNDDNWVLSRAAQEGENQANKQQLLLKIILPVSLIVILAVALLFYLRRRKVQSEAEMVLTSIPSPRINAPAAENFGSDASHLQVFKFANIKGATNNFSSANKLGEGGFGPVYKGKLPGGQEIAVKRLSRTSTQGHEEFQNEVTLTARLQHVNLVRLLGYCTEKEEKLLIYEFMPNKSLELYLFDPVRRYVLDWEKRVRIIEGVTQGLLYLQEYSNITVIHRDLKASNILLDCDMNPKISDFGMARLFKKDVNEANTGRIVGT >KJB54897 pep chromosome:Graimondii2_0_v6:9:3903516:3907174:-1 gene:B456_009G053900 transcript:KJB54897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIIFFFLFILFPSSQFHLCGAQTLVKAGYWYASGEFPIQDINSALFTHLSYAFADVNASNYQLLIPSASQQYLSTFPTIVKRRNPSVKPLLSIWNGISATGKSIAGENVTDSVLSSMVSASSKRKSFIDSSIKTARRYGFQGMDLFWVWPNSTDLSNIGVLLDEWRAAINSEQRQPGESQLILTLAIRYLPTIEMVSYPIDSIRRNVDWAHVVAYDYHLPTRENFTGLHAALYNPSSNVNTDFGIREWLNKGMPPSKLVLGLPYHGYAWKLVSSQDNAIGAPSSGPAVNIDGSMGYKAIKSYIRDYGYGATSVYNATYVVNLLTTPTIWINFDDVETIKAKMSYVKEKKLIGFKAFQLSNDDNWVLSRAAQEGENQANKQQLLLKIILPVSLIVILAVALLFYLRRRKVQSEAEMVLTSIPSPRINAPAAENFGSDASHLQVFKFANIKGATNNFSSANKLGEGGFGPVYKGKLPGGQEIAVKRLSRTSTQGHEEFQNEVTLTARLQHVNLVRLLGYCTEKEEKLLIYEFMPNKSLELYLFDPVRRYVLDWEKRVRIIEGVTQGLLYLQEYSNITVIHRDLKASNILLDCDMNPKISDFGMARLFKKDVNEANTGRIVGTYGYVPPEYVRKGIYSMKYDVYSFGVLLLQIISGKRNTCYYGPQENLNLLEYAYELWSDDRGTEFIDTSLDDSSSTCKIMRCMQIALLCVQENPENRPTMLEVFSMLKNASMAATTPRRPAFSVKADKNTGSTSASQQEICSFNDPQISQLEPR >KJB54900 pep chromosome:Graimondii2_0_v6:9:3903541:3906798:-1 gene:B456_009G053900 transcript:KJB54900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIIFFFLFILFPSSQFHLCGAQTLVKAGYWYASGEFPIQDINSALFTHLSYAFADVNASNYQLLIPSASQQYLSTFPTIVKRRNPSVKPLLSIWNGISATGKSIAGENVTDSVLSSMVSASSKRKSFIDSSIKTARRYGFQGMDLFWVWPNSTDLSNIGVLLDEWRAAINSEQRQPDFGIREWLNKGMPPSKLVLGLPYHGYAWKLVSSQDNAIGAPSSGPAVNIDGSMGYKAIKSYIRDYGYGATSVYNATYVVNLLTTPTIWINFDDVETIKAKMSYVKEKKLIGFKAFQLSNDDNWVLSRAAQEGENQANKQQLLLKIILPVSLIVILAVALLFYLRRRKVQSEAEMVLTSIPSPRINAPAAENFGSDASHLQVFKFANIKGATNNFSSANKLGEGGFGPVYKGKLPGGQEIAVKRLSRTSTQGHEEFQNEVTLTARLQHVNLVRLLGYCTEKEEKLLIYEFMPNKSLELYLFDPVRRYVLDWEKRVRIIEGVTQGLLYLQEYSNITVIHRDLKASNILLDCDMNPKISDFGMARLFKKDVNEANTGRIVGTYGYVPPEYVRKGIYSMKYDVYSFGVLLLQIISGKRNTCYYGPQENLNLLEYAYELWSDDRGTEFIDTSLDDSSSTCKIMRCMQIALLCVQENPENRPTMLEVFSMLKNASMAATTPRRPAFSVKADKNTGSTSASQQEICSFNDPQISQLEPR >KJB54899 pep chromosome:Graimondii2_0_v6:9:3903541:3906798:-1 gene:B456_009G053900 transcript:KJB54899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIIFFFLFILFPSSQFHLCGAQTLVKAGYWYASGEFPIQDINSALFTHLSYAFADVNASNYQLLIPSASQQYLSTFPTIVKRRNPSVKPLLSIWNGISATGKSIAGENVTDSVLSSMVSASSKRKSFIDSSIKTARRYGFQGMDLFWVWPNSTDLSNIGVLLDEWRAAINSEQRQPGESQLILTLAIRYLPTIEMVSYPIDSIRRNVDWAHVVAYDYHLPTRENFTGLHAALYNPSSNVNTDFGIREWLNKGMPPSKLVLGLPYHGYAWKLVSSQDNAIGAPSSGPAVNIDGSMGYKAIKSYIRDYGYGATSVYNATYVVNLLTTPTIWINFDDVETIKAKMSYVKEKKLIGFKAFQLSNDDNWVLSRAAQEGENQANKQQLLLKIILPVSLIVILAVALLFYLRRRKVQSEAEMVLTSIPSPRINAPAAENFGSDASHLQVFKFANIKGATNNFSSANKLGEGGFGPVYKGKLPGGQEIAVKRLSRTSTQGHEEFQNEVTLTARLQHVNLVRLLGYCTEKEEKLLIYEFMPNKSLELYLFDPVRRYVLDWEKRVRIIEGVTQGLLYLQEYSNITVIHRDLKASNILLDCDMNPKISDFGMARLFKKDVNEANTVAMFLLNM >KJB53901 pep chromosome:Graimondii2_0_v6:9:838538:843236:-1 gene:B456_009G010400 transcript:KJB53901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYPIGPDCNLRLQSLDLFSSLKTLSLDGVNLIDSHSQINPHELQNLEFMHIEDTSLENNFLHKIGAMPSIKQLSLTGCGLNGTLHTQGFCGLTNLRLLDMSNNNLKGTLPECFYNFTSLENLDLSSNQLSGDVSALKSLTSLVELRLSNNYFKIPSSLEPFFNLSKLKHFNADNNTIYIESEMQPLAPRFQLNLISLSSCGDVGQFPHILFHQHDLRQVYLSNNNFREGFPNWLLNNNANLERLVLANSSLQGHFELPFLPHTDLSYLDVSENSFYTNLPIDIGEKLPSLSFLNMSKNQFHGSIPSSIGDMNFLEALDLSNNQLSGGLPEHLTMGCFSLTSLALSNNKLQGQMFSSNVNLTKLRELHLDGNHFSGKIPDSLSNCSFLSTLDLSNNVLSGEIPMSMENMSSLSTLDLSNNELSGDIPRWMGSMSNLEEIVMANNHLEGPFPKEFCHLNDLKLLDLSVNNISGRLPSCFSPLWISQVHLSRNKLEGALTNVFHNSTSLVTLDLSNNHLTGKIPRWIGNLSRLSFLLLNNNHFEGGIPIQLCKLGQLSLIDLSNNNLSGTIPPCLKITALNEISQEYVRYVTDIAQPPSSFSIDEPIEFRVKSMSYSYKGIVLTYLSGIDLSCNKLTGPIPPAFSNLKQIESLDLSHNNLSGKIPPQLVGLYRLSYFSVAYNNLSGSTPAWTAQFATFDESSYVGNPLLCGDPLKDCSTSGPSASLLPKASTENGLIDMISFYVTFVVSYVMAILSIACVLYINPYWRQAWFYYVGSISNCCYYFLEDHILPKRLHCGNM >KJB58165 pep chromosome:Graimondii2_0_v6:9:15264817:15267912:1 gene:B456_009G197500 transcript:KJB58165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSGGSASANRMLCSVPERLQLHMAMLALQFGYAGFHVVSRAALNMGISKLVFPVYRNIIALLLLLPFAYFLEKKERPPITLNFLLQFFLLALVGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAAILRIEKVRLNRKDGISKVAGTILCVAGASVITLYKGPTIYSPTPPLNRPTPTFVSLGDAEGKNWTLGCLYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGLIQFLVIAAFAERDPQAWMFHSGGELFTILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASIALGEEFYLGGIIGAVLIIVGLYLVLWGKSQERKFAAQEKGAIQSTPEHSNIRTSSQIKASLTKPLLPPSTENV >KJB58166 pep chromosome:Graimondii2_0_v6:9:15264887:15267866:1 gene:B456_009G197500 transcript:KJB58166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSGGSASANRMLCSVPERLQLHMAMLALQFGYAGFHVVSRAALNMGISKLVFPVYRNIIALLLLLPFAYFLEKKERPPITLNFLLQFFLLALVGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAAILRIEKVRLNRKDGISKVAGTILCVAGASVITLYKGPTIYSPTPPLNRPTPTFVSLGDAEGKNWTLGCLYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGLIQFLVIAAFAERDPQAWMFHSGGELFTILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASIALGEEFYLGGVDHSRIVPSAMGKKSREKVCSSRKGCNSVHSGAQQHQNLKPNQGLPHEATSPSFN >KJB56406 pep chromosome:Graimondii2_0_v6:9:9660415:9661179:-1 gene:B456_009G128600 transcript:KJB56406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELPKFLKRMEIKYLLTYPNPNQIILSHFIKQIQTNRIKSFKCHHERVVKSFLNHLEIKSIPINPDQIIKEPIKENPIIVPFH >KJB60578 pep chromosome:Graimondii2_0_v6:9:29294956:29295816:-1 gene:B456_009G313400 transcript:KJB60578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIWKTKEKFEIQLVGKNLFLITFESEDDLESVMEGQPWLFRKNLITFDRIFKPTTRDQITLVSSPFWIKIGPCLPVFDKKDLLHAVGVTFGGVIRSEISGEFCRLRIQLNVQKPIRRGIFVSTGNGNKCWIPFKNANILKQGKEARWKRVEQIEVMRQYEAESKLQKRKW >KJB58193 pep chromosome:Graimondii2_0_v6:9:15364098:15367721:-1 gene:B456_009G198500 transcript:KJB58193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRDSPPNPTSSMLHHFIVSDPINSRNQFGGQPFDAYGSTLRGNHNTFPQSLGLLPTIHSLGERMSRSMDLLPSPIVTDESDISQTRHLMDLLGAANETNHQTQRLSLSLGSHMLDPSLNSGITSPSYLLSRAEDREACNPGVGNLRDDYNFTVNTFASPSTSLHRSLLTTYGAAESFATAIVNSRYLKPTESLLHELVNIGGKNVDENFFGKLYLGDRGGNARLSSELKAEFCSNEMLLPENELRIRLAKLIGLLEEVELRFEKYYQQMEEVVSSFESLAGLGAAKSYTALALQAMSRHFGNLRDAIISHINVIRRKFSHDLPRINRGLSQLSLFDRDSRQNRQSLQQQLGMIPRQRQAWRPIRGLPETSVAILRTWLFEHFLHPYPTDSEKLMLASQTGLTKNQISNWFINARVRLWKPMIEEMYKEEFEDSPQDSDPSFASSSMGREGITDQAED >KJB58192 pep chromosome:Graimondii2_0_v6:9:15364066:15367721:-1 gene:B456_009G198500 transcript:KJB58192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRDSPPNPTSSMLHHFIVSDPINSRNQFGGQPFDAYGSTLRGNHNTFPQSLGLLPTIHSLGERMSRSMDLLPSPIVTDESDISQTRHLMDLLGAANETNHQTQRLSLSLGSHMLDPSLNSGITSPSYLLSRAEDREACNPGVGNLRDDYNFTVNTFASPSTSLHRSLLTTYGAAESFATAIVNSRYLKPTESLLHELVNIGGKNVDENFFGKLYLGDRGGNARLSSELKAEFCSNEMLLPENELRIRLAKLIGLLEEVELRFEKYYQQMEEVVSSFESLAGLGAAKSYTALALQAMSRHFGNLRDAIISHINVIRRKFSHDLPRINRGLSQLSLFDRDSRQNRQSLQQQLGMIPRQRQAWRPIRGLPETSVAILRTWLFEHFLHPYPTDSEKLMLASQTGLTKNQISNWFINARVRLWKPMIEEMYKEEFEDSPQDSDPSFASSSMGREGITDQAED >KJB58191 pep chromosome:Graimondii2_0_v6:9:15364098:15366598:-1 gene:B456_009G198500 transcript:KJB58191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRDSPPNPTSSMLHHFIVSDPINSRNQFGGQPFDAYGSTLRGNHNTFPQSLGLLPTIHSLGERMSRSMDLLPSPIVTDESDISQTRHLMDLLGAANETNHQTQRLSLSLGSHMLDPSLNSGITSPSYLLSRAEDREACNPGVGNLRDDYNFTVNTFASPSTSLHRSLLTTYGAAESFATAIVNSRYLKPTESLLHELVNIGGKNVDENFFGKLYLGDRGGNARLSSELKAEFCSNEMLLPENELRIRLAKLIGLLEEVELRFEKYYQQMEEVVSSFESLAGLGAAKSYTALALQAMSRHFGNLRDAIISHINVIRRKFSHDLPRINRGLSQLSLFDRDSRQNRQSLQQQLGMIPRQRQAWRPIRGLPETSVAILRTWLFEHFLHPYPTDSEKLMLASQTGLTKNQISNWFINARVRLWKPMIEEMYKEEFEDSPQDSDPSFASSSMGREGITDQAED >KJB55411 pep chromosome:Graimondii2_0_v6:9:5375774:5376791:1 gene:B456_009G075000 transcript:KJB55411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHRRQASRVLPPDLSLTWEGDEQPPKSTHSSVQPIATATPYGSKATSTDTSSNPSTTILQHYSSGDHSLQSPQNNPSTTTKPS >KJB58133 pep chromosome:Graimondii2_0_v6:9:15048472:15050245:1 gene:B456_009G195800 transcript:KJB58133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKSNKLPQTAVIKQILKRCSSLGKKQSYDDEEGLPLDVPKGHFVVYVGENRSRYIVPISFLSRPEFQSLLHQAEEEFGFDHERGLTIPCEEVVFQSLTSMLR >KJB58132 pep chromosome:Graimondii2_0_v6:9:15048472:15050245:1 gene:B456_009G195800 transcript:KJB58132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKSNKLPQTAVIKQILKRCSSLGKKQSYDDEEGLPLDVPKGHFVVYVGENRSRYIVPISFLSRPEFQSLLHQAEEEFGFDHERGLTIPCEEVVFQSLTSMLR >KJB60037 pep chromosome:Graimondii2_0_v6:9:24426657:24429949:1 gene:B456_009G287000 transcript:KJB60037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIPIRFGIIGCAEIARKVSRAIQLAPNATVSAVASRSFDKAANFAKANGFPPDTKIYGSYESLLDDPDIDAVYLPLPTSLHLKWAVLTAQKKKHLLTEKPVALSVAEFDQILKACEENGVQIMDGTMWVHNPRTYKMKQFLNDKEQFGQLRTVNSCFTFFADPDFLKNDIRVKPDLDALGALGDAGWYGIRSILWAADYELPKTVTAFRNPVLNEAGVLLECGASLLWADGKIATFHCSFLSNLTMNLTAIGTLGTLHLTDFIIPYQEHQASYTTSAKPGFNELVTGWVQLPSEHTVTVDLPQEACMVREFASLVANIKKNGAQPDKKWPTISRKTQLVLDAVKASMEKGFQPVEIVG >KJB53750 pep chromosome:Graimondii2_0_v6:9:343342:345171:-1 gene:B456_009G003600 transcript:KJB53750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLASKLQPWWLLLVIPFLFVSNTYHCVNAEPQVPCYFIFGDSLSDNGNNNNLATEAKVNYWPYGIDFPKGPTGRFTNGRTMQDIIVQLLGFQEFIPPFATSRGQEILKGVNYASGSAGILNESGQHLGVRISMDMQLSNHQSVISTIVEMLGKCAASKLLGKCMYAVQIGSNDYINNYFKPEMYNSSHLFTPQQYAAYLIEQYSLKIKTLYNNGARMFALFGLGAIGCTPNAMAVHGTNGSACVEKMNTAAQLFNERLIPLVDELNSNSTDAKFTYLNPTGASAANSLGFTVANASCCDIGSGGDLCIPGSEPCGDRSQYVFWDAVHTSDAWNEVIAVEAYDSESNAIAYPFNVKKMAQLPNNNDADGDICEMGENSGVTV >KJB62020 pep chromosome:Graimondii2_0_v6:9:54819098:54819576:1 gene:B456_009G396100 transcript:KJB62020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMSSNILAFEIRLCRSHKKRLPTEDKSGAGIRIHDNNEGEESSAVRFEGEVSLGDLIWLKLLGETWWPAVVCLLLWLFDLATSSLFRPFKD >KJB61234 pep chromosome:Graimondii2_0_v6:9:42428764:42430762:-1 gene:B456_009G347000 transcript:KJB61234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPAIATSPQTLSFYLKKTHLNILPSHLHGPTARSNDLFLRSKFQNRKCFPIPSTRTRASSNGFLNSAHTSKEDEILPSFEEAPVKIIFWVVFWASLSLVWYAASGDAKAATVAADSIRASSFGLKIANALRGLGWPDEAVVFTLATLPILELRGAIPVGYWMQLKPTLLTILSILGNMVPVPFIVLYLKRFTTFLAGKNQFASQLLSSLFERARQKAGPVEEFQWLGLMLFVAVPFPGTGAWTGAIVASILEMPFWSAVSANFVGVVFAGLLVNLLVNLGLKYATVTGIFLFFISTFMWQILRNIKMSSSASN >KJB56853 pep chromosome:Graimondii2_0_v6:9:10525296:10525718:-1 gene:B456_009G139600 transcript:KJB56853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QKGNPCNLRPSFIAPTGSISSSFQRPFHQFHLPSCFSSNQFELAISLVGQSTTYPPHISLPRLPELPLPRTGFLHYQTLPSPVDVLPDSHLSRSKLGVVIKQ >KJB61497 pep chromosome:Graimondii2_0_v6:9:47689384:47694417:-1 gene:B456_009G361900 transcript:KJB61497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNERGRRSVQDLWLRLKKEQRILIILDDIWTNINLKEKIGIPTGEDHKSCKVLLTTRRQQVCLAMDCQKVVQLGCLDGDEAWNLFATKASLNGSADDAIRKVATKIIRKCQGLPIAIVSLGSALKGKSCHEWKAAYRRLKDRRLTEIEDVNEENAYLCLEASFEYLKGMETKTCFLLCSLYPEDHEICVEDLVRYAWGLELYKGINSIEKVRSEVLASTEILKNSCLLLDCRRKGHVKMHDVVREVALWIASSREEFSFASVGTLPMDESFKHFIAISFNTDQMDELPKGLVLPNLKFLLLGGTREGRMETSSEFFEGMKALKACALDYLMISPVAFQFQMNLKTLKLRNCRFSDISVIGKLKTLEIFSLSGSAISELPNEIGDLENLRLLELSKCRELRRIPHRLIQRLSNLEELYLHGSRSIKWATKNATEKECYSGLSELNLLPKLGVLSLDLHPQDLSDGFVFPKLWRFDVFILAWRTSFEPCPISRSLTIFNNASLDACKQLFEDVESLDLERVQGYPNLIPSLELEFSKLTSLVLYSCDGMKSLIDASKQQVPTTTFSNLRSLSLASMLALEELCNGPQPQGFLQKLETLSLRDCNEMIGAIPISQNLEELQVHQCGKMQVLFQTVELRSIEQWPNHHLSLQSLKVVQISECNNLKYLFPMSAANNSVGQLQSLDIHRCSQLEEIIQGTEVLNISPQSLRKIRVSECNKLTSLSSLSHGHRLQELLVEDCPRLTPLIISAKIQKLELRRITSEQLSNLDICNCEEVEQITGKDQTSSHDHRLQPICFPNLTLISIFNCENLKCLFPITVAHGGFPKLLSASNLQTLEISNCSQLEEIIQEPQVSNISLQCLKEIKVENCNNLRYLFPMSIANSLGGLRTLRISGCFGLEEIIKATEASNVCLHSLREVFVRECNKLTSLSSLSHGHILKSLTNLEIYDCLQLEDTFPISMAQGLPLLNEVVLENLPQFKGRDGNEIVLTLSSLQKLKVVNCPELTPFIISTKIQELTLKRMKEMKKMSNMMGRGGSSVSMEYLKISNFRELFDSSYNLSSLKFLNLFELTELRVIWNGPIQAVNFQNLTQLNVRNCRSLRYIFSPTIAQYLPRLSELYISDCEELEQIIDKDQKSSQHHLQPICFPRLAEVIIFYCKNLKYLFPITSAHGGLPKLKTISLGNVPKLEQVFEGDEANVSKDEEKVIHLPQLTDLDLDRLPNLMSFSPVGYHFVFPSLDDLNVQDCPNLTTRFSVDSEQSVHAETQASQSVDETIVEESATAQETTWPAGSNISWSAEH >KJB57878 pep chromosome:Graimondii2_0_v6:9:14172742:14173119:-1 gene:B456_009G184300 transcript:KJB57878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLNHLFVPPPAKKGKLVVHNEGEKEFRDSKVVKEVHYFLPPAGCIMPNHHPISLKIIKKESCKGGRSSIKIMVSRQQLEFLLRHAKMFQSMKVSIPPTSRTPKPGNQKWRPSLSAIPEEPYF >KJB55791 pep chromosome:Graimondii2_0_v6:9:6893379:6895258:1 gene:B456_009G094500 transcript:KJB55791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLDTAYLRGSVAGVFSVLHHATCPENIVFHFVTTHRHGAKLTRAITSTFPYLNFHLYYFNTNLVKGKISSSIRRALDQPLNYARMYLADLLPAGVRRIIYFDSDLIVVDDVINLWSINLRSHVLGAPEYCHANFTNYFTSKFWSNPAFSASFKGRPRNPCYFNTGVMVIDLWKWREGKYTEKLENWMRIQKRYRIYELGSLPPFLLVFAGDVEGMEHRWNQHGLGGDNLEGLCRALHPGPVSLLHWSGKGKPWLRIDSKRPCPLDSLWAPYDLFRHPSLFSNS >KJB55792 pep chromosome:Graimondii2_0_v6:9:6893379:6895258:1 gene:B456_009G094500 transcript:KJB55792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSKPLNLFFILTVMIRLCFADLPSFREAPAFRNGRECPQTTWSSLDKEIHNPSIIHIAMTLDTAYLRGSVAGVFSVLHHATCPENIVFHFVTTHRHGAKLTRAITSTFPYLNFHLYYFNTNLVKGKISSSIRRALDQPLNYARMYLADLLPAGVRRIIYFDSDLIVVDDVINLWSINLRSHVLGAPEYCHANFTNYFTSKFWSNPAFSASFKGRPRNPCYFNTGVMVIDLWKWREGKYTEKLENWMRIQKRYRIYELGSLPPFLLVFAGDVEGMEHRWNQHGLGGDNLEGLCRALHPGPVSLLHWSGKGKPWLRIDSKRPCPLDSLWAPYDLFRHPSLFSNS >KJB62050 pep chromosome:Graimondii2_0_v6:9:56727286:56731584:-1 gene:B456_009G398800 transcript:KJB62050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRQNLGEIDIENLLPGKIRKRGCSSSASSSSSIMQNYRFKRAILVGSKRGGSSTPVPTWKLMMRSPSMASMLRAMESPRYSGSQSGSKLKGQQQQQQPVSARKLAATLWEMNEIPSPRRKEGNEERRRVKEGREKGAAARSVHSGSLPPHLSDPSHSPVSERMDRSGTGCRQRRASSVSHKLRHTDNNVGVLDSVSNASLMEIETRSHAQTPKGSTVGVKTRLKDVSNALTTSKELLKIINRMWGHEDRPSSSMSLISALHAELERARLQVNQLIQEQRSDQHDINYLMKCFAEEKAAWKNKEQKVVEAAIESIAGELEVERKLRRRFESLNKKLGKELAETKTSLLKSVKELESEKRARVVIEQVCDELARDISEDKAEREELKRESAKVLQEVEKEREMLQLADVLREQRVQMKLSEAKYHLEEKNAAVDKLRNQLETFLGTKREKEKGRCSLNEPNSEEIAAYLNRVHFGSHPSEENDEDGEVEDAIECEEDSAESDLLELNMDNNSSNNNKIYKLAYASNAARDSREPQINEDITGRKSASSKLPRRSTSLQRSMSDGVDWGMQKDRHQDSVDNDLEWSRFTELEKQVETKGYGDEMHGYKAVKGLREHLLSGTRAGRVYASPTCSSRDINNVAQERPPLVPGSVPKSRLAECRSEANNARKSRW >KJB62806 pep chromosome:Graimondii2_0_v6:9:68770230:68772884:-1 gene:B456_009G437200 transcript:KJB62806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSCSSLKQHFLVFLIVIISSIINSPVNGCFTSIFSFGDSLTDTGNLLELSISESTKPPHSAFLPYGCTFFHRPTGRFCDGRLVIDFLAEALGFSFLPPFYGSKSGKWEKFRQGANFAVAGATALNSSFLAEQGIHSVSTNISLGVEVNSFKHLLPSLCSSSSNCKKLLRKSLIVMGEVGGNDYNHAFKQGKNIENIRRLVPLVVDIISLSIKELIELGAVTFLVPGNFPIGCSPSLLTSFHGSEKDQYDPLTGCLTWLNQFSQHHNELLRKELENIRNLHPQINIIYVDYYKASTPFYQSPKNYGFKETLKACCGIGGLYNYDPLIYCGYPPLKQCCNDPSSYISWDGIHFTEVVNKWLAHTVFEELMNTIPRLGSLCPLSTVNKLRILYPMFGV >KJB54692 pep chromosome:Graimondii2_0_v6:9:3265920:3273188:1 gene:B456_009G045200 transcript:KJB54692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMKHLLRKLHIGSGLNEQQRLAEAQPVISSIPSPSPSPNSNSTSVTGMETTTSSSSSWGSSGTMGRVGAVEPVGGDRTAGDEVDFSLLEEEFQMQLALAISASDPETVQIDAAKRISLAGTDTNTFVEFLSIRYWNYNVVNYNEKIVDGFYDVYGIASTLGAQGKMPSLVDLRAVSVLDNVDYQVILVNRLLDPELQELEKRVYNIYVQSRSFGRSPVVSGMIQKIAEMVVNRMGGPVADAEEMLRMWTSRIYELQNSLKTIILPLGRLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLVRINNGSEYIIDLMGAPGTLIPAEVPSCHLLNSALGVRGFTDLTEASRGSRLLLDQGIENMAVSATLDTSSKAGALRSVELVSSQTNKDERNFAGRAVSERSEQDLGKLLPSASKSSECVSAIHDKPSAAQKRKVKNVSKYVISAAKDPEFAQKLHAVLLESGASPPPDLFMDINSQDLGEQCMSDQVVKGTNVDVASSCHSNKLSTNELSLVSSGMETSENTNFIRQKQMELNAVKTNVASSSDASKEGLLVGYTTNDWIQIHEPSCSSDEFCQIQPENVLTTDEKLIQRASNLDFCKESALEGIETAGSDWHLVGNDPSEKIYPMPREVSEWEIPWEDLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALVQFKCEVEIMLRLRHPNVVLFMGAVTRSPHFSILTEFLPRGSLYKLLHRPNHQLDEKRRMRMALDVAKGMNYLHTSNPTIVHRDLKTPNLLVDKNWVVKVCDFGLSRSKHHTFLSSKSTAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELVTLRIPWKGLNPMQVVGAVGFQNRRLEIPEDVDPMVAQIIHECWQTWSLHLLNLI >KJB54689 pep chromosome:Graimondii2_0_v6:9:3265769:3273188:1 gene:B456_009G045200 transcript:KJB54689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMKHLLRKLHIGSGLNEQQRLAEAQPVISSIPSPSPSPNSNSTSVTGMETTTSSSSSWGSSGTMGRVGAVEPVGGDRTAGDEVDFSLLEEEFQMQLALAISASDPETVQIDAAKRISLAGTDTNTFVEFLSIRYWNYNVVNYNEKIVDGFYDVYGIASTLGAQGKMPSLVDLRAVSVLDNVDYQVILVNRLLDPELQELEKRVYNIYVQSRSFGRSPVVSGMIQKIAEMVVNRMGGPVADAEEMLRMWTSRIYELQNSLKTIILPLGRLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLVRINNGSEYIIDLMGAPGTLIPAEVPSCHLLNSALGVRGFTDLTEASRGSRLLLDQGIENMAVSATLDTSSKAGALRSVELVSSQTNKDERNFAGRAVSERSEQDLGKLLPSASKSSECVSAIHDKPSAAQKRKVKNVSKYVISAAKDPEFAQKLHAVLLESGASPPPDLFMDINSQDLGEQCMSDQVVKGTNVDVASSCHSNKLSTNELSLVSSGMETSENTNFIRQKQMELNAVKTNVASSSDASKEGLLVGYTTNDWIQIHEPSCSSDEFCQIQPENVLTTDEKLIQRASNLDFCKESALEGIETAGSDWHLVGNDPSEKIYPMPREVSEWEIPWEDLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALVQFKCEVEIMLRLRHPNVVLFMGAVTRSPHFSILTEFLPRGSLYKLLHRPNHQLDEKRRMRMALDVAKGMNYLHTSNPTIVHRDLKTPNLLVDKNWVVKVCDFGLSRSKHHTFLSSKSTAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELVTLRIPWKGLNPMQVVGAVGFQNRRLEIPEDVDPMVAQIIHECWQTEPHLRPSFAELMTRLRRLKCMYIERRKSKNQII >KJB54690 pep chromosome:Graimondii2_0_v6:9:3266142:3273119:1 gene:B456_009G045200 transcript:KJB54690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMKHLLRKLHIGSGLNEQQRLAEAQPVISSIPSPSPSPNSNSTSVTGMETTTSSSSSWGSSGTMGRVGAVEPVGGDRTAGDEVDFSLLEEEFQMQLALAISASDPETVQIDAAKRISLAGTDTNTFVEFLSIRYWNYNVVNYNEKIVDGFYDVYGIASTLGAQGKMPSLVDLRAVSVLDNVDYQVILVNRLLDPELQELEKRVYNIYVQSRSFGRSPVVSGMIQKIAEMVVNRMGGPVADAEEMLRMWTSRIYELQNSLKTIILPLGRLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLVRINNGSEYIIDLMGAPGTLIPAEVPSCHLLNSALGVRGFTDLTEASRGSRLLLDQGIENMAVSATLDTSSKAGALRSVELVSSQTNKDERNFAGRAVSERSEQDLGKLLPSASKSSECVSAIHDKPSAAQKRKVKNVSKYVISAAKDPEFAQKLHAVLLESGASPPPDLFMDINSQDLGEQCMSDQVVKGTNVDVASSCHSNKLSTNELSLVSSGMETSENTNFIRQKQMELNAVKTNVASSSDASKEGLLVGYTTNDWIQIHEPSCSSDEFCQIQPENVLTTDEKLIQRASNLDFCKESALEGIETAGSDWHLVGNDPSEKIYPMPREVSEWEIPWEDLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALVQFKCEVEIMLRLRHPNVVLFMGAVTRSPHFSILTEFLPRGSLYKLLHRPNHQLDEKRRMRMALDVAKGMNYLHTSNPTIVHRDLKTPNLLVDKNWVVKVCDFGLSRSKHHTFLSSKSTAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELVTLRIPWKGLNPMQVVGAVGFQNRRLEIPEDVDPMVAQIIHECWQT >KJB54691 pep chromosome:Graimondii2_0_v6:9:3266202:3272189:1 gene:B456_009G045200 transcript:KJB54691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMKHLLRKLHIGSGLNEQQRLAEAQPVISSIPSPSPSPNSNSTSVTGMETTTSSSSSWGSSGTMGRVGAVEPVGGDRTAGDEVDFSLLEEEFQMQLALAISASDPETVQIDAAKRISLAGTDTNTFVEFLSIRYWNYNVVNYNEKIVDGFYDVYGIASTLGAQGKMPSLVDLRAVSVLDNVDYQVILVNRLLDPELQELEKRVYNIYVQSRSFGRSPVVSGMIQKIAEMVVNRMGGPVADAEEMLRMWTSRIYELQNSLKTIILPLGRLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLVRINNGSEYIIDLMGAPGTLIPAEVPSCHLLNSALGVRGFTDLTEASRGSRLLLDQGIENMAVSATLDTSSKAGALRSVELVSSQTNKDERNFAGRAVSERSEQDLGKLLPSASKSSECVSAIHDKPSAAQKRKVKNVSKYVISAAKDPEFAQKLHAVLLESGASPPPDLFMDINSQDLGEQCMSDQVVKGTNVDVASSCHSNKLSTNELSLVSSGMETSENTNFIRQKQMELNAVKTNVASSSDASKEGLLVGYTTNDWIQIHEPSCSSDEFCQIQPENVLTTDEKLIQRASNLDFCKESALEGIETAGSDWHLVGNDPSEKIYPMPREVSEWEIPWEDLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALVQFKCEVEIMLRLRHPNVVLFMGAVTRSPHFSILTEFLPRGSLYKLLHRPNHQLDEKRRMRMALDVAKGMNYLHTSNPTIVHRDLKTPNLLVDKNWVVKVCDFGLSRSKHHTFLSSKSTAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELVTLRIPWKGLNPMQVVGAVGFQNRRLEIPEDVDPMVAQIIHECWQT >KJB53764 pep chromosome:Graimondii2_0_v6:9:354019:359137:1 gene:B456_009G003700 transcript:KJB53764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKLPVELSKGVNGLEEIILREARGSSAEVYLYGGHVTSWKNEHGEELLFVSSKFSNHGPLQSHGFARNRFWSIGPDPPAFPKNSSSNSHIDLILKLSEEDMKIWPHSYEFRLRVALGLGGDLMLTSRIRNMNTDGKPFTFAFAYHTYFSVSDISSCGGIGTLDYLDNLQNKERFTEQGDAITFDTEVSGLDIYLSAPTKIAILDHEKKRTFAISKDGLPDDVVWNPWDKKAKALADFGDDEYKHMLCVETAAIEKPITLTPGEEWRGSQELCAVPPTYCGGLLDARKVLQCAEKMHY >KJB60484 pep chromosome:Graimondii2_0_v6:9:28291381:28293996:1 gene:B456_009G307900 transcript:KJB60484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCQEGLSKPISRSLGEPLIESSQRDFNKEAHEEPYIGALIRVAVCLQHMKDRNQIRMLQRAINRKLKATSLTDTPIQAQYSVNTLHQSSKSDSDQSDEQQINKIAWKELKRLYYPKITTPDLNIKEKAVFQNKYNANTIFEWNIDGMSEYNILSLLQQMTMVSNVYKTQNQNGLISDHAIANLLVVGLTSQLKGWWDHALTKTQQKEILKAIKKDAQDRIILDEQGREIQDAVATLIFSISKHFIGDPSHLKDRNSELLSNLKCKKLTDFKWYKDVFMTRVMQRFDNQQPFWKEKFLVGLPTLLGEKVRNQIRENYKDEEIEQKLSEILLETTSYENDTSTETDELQIDELHTTSQSPDDENEPSINMLTKDQEFMIEVYLLKLKSSLKDKPEKEKEIISSQSQMYNIQDTIFNKYEKIKPRQITNSELQLEIKQIKLELSQLKIEQQEMKEQMRTLKHETSEKSSSETEPEENTQEYMIVLTEVSIQRYLIKINIIINNEFQLETIALFDTGADQNCIREGIISTKYYNKTLESLKAANCKKLKIT >KJB55607 pep chromosome:Graimondii2_0_v6:9:6166157:6166743:1 gene:B456_009G084700 transcript:KJB55607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQERLEKLARKGPKPEKPDAGSGGSVTDAKPSSSTSTSGAFTEKVSTDRYRNYAVSAGVFTFGCGLGWYLSSKEKNQEVHV >KJB61660 pep chromosome:Graimondii2_0_v6:9:50649534:50650159:-1 gene:B456_009G3736002 transcript:KJB61660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ACKQLLEDVESLELGYVEGHPNLIPSLDLGFRKLTSLDLRGCQSMQCLIDASKQQVPITALSNLRKLSLSRMFHLEEMCNAPQPQGFLQKLEEVIVSGCSEMQVLFPIAELRSIEQEGPSRYLSLQSLKIVKIWRSNNLKYIFPMSVANSLGQLHTLKIESCSQLEDIIQDRQVAYKCLLQSLREVCVSHCNNFSFPYRPMVKDWEI >KJB54758 pep chromosome:Graimondii2_0_v6:9:3452126:3465052:1 gene:B456_009G047700 transcript:KJB54758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLRSRFLHCLTIVFYTTLISHSSSTLESGFSIIDSDSEVLLFHQDYSPPAPPPPPPHPPAVSCTNDLGGIGSLDSTCKIVADLNLTRDVYIAGKGNFYILPGVRFHCPSLGCSVTVNISGNFSLGEGSTIVTGTFQLGAYNASFYNGSAVNTTGWAGDPPPETSGTPQGLAGAGGGHGGRGASCIVEDGKLPEDVWGGDAYSWSSLQNPWSYGSKGGTTSKEVDYGGVGGGRVKIIIKDLLEMNGSLLADGGDGGSKGGGGSGGSIYIKARKMTGSGWISACGGNGFAGGGGGRVSVDIFSRHDEPKIDVYGGISHGCPDNAGAAGTLYDALPRSLTVDNHNLATVTETLLLEFPHRPLWTNVYIRNCARATVPLLWSRVQVHGQISLLCRAVLSFGLAHYGSSEFELLAEELLMSDSVIKVYGALRMTVKIFLMWNSKLQIDGGEDVTVATSWLEASNLVVLKESSVIHSNANLGVHGQGLLNLSGPGDTIQAQRLVLSLFYSINVGPGSILRGPLENASSDAITPKLYCEHQDCPIELLHPPEDCNVNSTLSFTLQICRVEDVTVEGLIKGSVVHFHRARTVSVWSSGVISASGMGCIGGVGRGNFLYNGIGSGGGHGGKGGLACYNDSCVEGGISYGHRELPCELGSGSGIESPDDSTAGGGIIVFGSMEHPLSSLSVEGAVRADGESFEGTVWKQEYSVSNDSSIAPGGGSGGTVLLFLHTLTLGESAILSSVGGYGSSKGGGGGGGGRIHFHWSYIPSGDVYQPIASVKGSIHARGGLGRDKSGVGENGTVTGKACPKGLYGTFCVECPAGTYKNVTGSDRSLCHRCPASELPHRAIYIAVRGGNAETPCPYECISDRYDMPHCYTALEELIYTFGGPWLFSLLLVGLLILLALVLSVARTKFVGVDELPGPSPPQHGHQIDHSFPFLESLNEVMETNRAEESQSHIHRMYFMGPNSFSEPWHLSHTPAEEIKEIVYEGAYGIFVDEINAIAAYQWWEGAIYTILSILAYPVAWSWQQWRRRMKLQQLREFVRSEYDHACLRSCRSRALYEGIKVSATSDLMSAFVDFFLGGDEKRTDLPPRLPQKFPMAIIFGGDGSYMAPFSLQNDNILASLMSQLVPPTTWYRLVAGLNAQLRLVCRGWLTVTFRPVLRWLGTHANPALRNHGVRIELAWFRSAPGGYCQYGLLVYSVEEENGHISLGNTNGRVRTELRSSLNTTYMQNQSDYPREDALLTLGNEGFAKKKSYGELIDANSLRKLEDKRYIFYLLSFLVHNTKPAGHQDLVGLVISMLLLGDFSLVLLTFLQLYSVSLVDVSLVVFIIPLGILLPFPVGINALFSHGPRRSAGLARFYALWNITSLINVVVAFVCGYINHNSRSSSSIRTPSLQPWNINMDESEWWIFPSGLVLCKLFQSQLINWHVANLEIQDRSLYSDDFELFWQS >KJB54757 pep chromosome:Graimondii2_0_v6:9:3452277:3465068:1 gene:B456_009G047700 transcript:KJB54757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLRSRFLHCLTIVFYTTLISHSSSTLESGFSIIDSDSEVLLFHQDYSPPAPPPPPPHPPAVSCTNDLGGIGSLDSTCKIVADLNLTRDVYIAGKGNFYILPGVRFHCPSLGCSVTVNISGNFSLGEGSTIVTGTFQLGAYNASFYNGSAVNTTGWAGDPPPETSGTPQGLAGAGGGHGGRGASCIVEDGKLPEDVWGGDAYSWSSLQNPWSYGSKGGTTSKEVDYGGVGGGRVKIIIKDLLEMNGSLLADGGDGGSKGGGGSGGSIYIKARKMTGSGWISACGGNGFAGGGGGRVSVDIFSRHDEPKIDVYGGISHGCPDNAGAAGTLYDALPRSLTVDNHNLATVTETLLLEFPHRPLWTNVYIRNCARATVPLLWSRVQVHGQISLLCRAVLSFGLAHYGSSEFELLAEELLMSDSVIKVYGALRMTVKIFLMWNSKLQIDGGEDVTVATSWLEASNLVVLKESSVIHSNANLGVHGQGLLNLSGPGDTIQAQRLVLSLFYSINVGPGSILRGPLENASSDAITPKLYCEHQDCPIELLHPPEDCNVNSTLSFTLQICRVEDVTVEGLIKGSVVHFHRARTVSVWSSGVISASGMGCIGGVGRGNFLYNGIGSGGGHGGKGGLACYNDSCVEGGISYGHRELPCELGSGSGIESPDDSTAGGGIIVFGSMEHPLSSLSVEGAVRADGESFEGTVWKQEYSVSNDSSIAPGGGSGGTVLLFLHTLTLGESAILSSVGGYGSSKGGGGGGGGRIHFHWSYIPSGDVYQPIASVKGSIHARGGLGRDKSGVGENGTVTGKACPKGLYGTFCVECPAGTYKNVTGSDRSLCHRCPASELPHRAIYIAVRGGNAETPCPYECISDRYDMPHCYTALEELIYTFGGPWLFSLLLVGLLILLALVLSVARTKFVGVDELPGPSPPQHGHQIDHSFPFLESLNEVMETNRAEESQSHIHRMYFMGPNSFSEPWHLSHTPAEEIKEIVYEGAYGIFVDEINAIAAYQWWEGAIYTILSILAYPVAWSWQQWRRRMKLQQLREFVRSEYDHACLRSCRSRALYEGIKVSATSDLMSAFVDFFLGGDEKRTDLPPRLPQKFPMAIIFGGDGSYMAPFSLQNDNILASLMSQLVPPTTWYRLVAGLNAQLRLVCRGWLTVTFRPVLRWLGTHANPALRNHGVRIELAWFRSAPGGYCQYGLLVYSVEEENGHISLGNTNGRVRTELRSSLNTTYMQNQSDYPREDALLTLGNEGFAKKKSYGELIDANSLRKLEDKRYIFYLLSFLVHNTKPAGHQDLVGLVISMLLLGDFSLVLLTFLQLYSVSLVDVSLVVFIIPLGILLPFPVGINALFSHGPRRSAGLARFYALWNITSLINVVVAFVCGYINHNSRSSSSIRTPSLQPWNINMDESEWWIFPSGLVLCKLFQSQLINWHVANLEIQDRSLYSDDFELFWQS >KJB55129 pep chromosome:Graimondii2_0_v6:9:12451981:12452625:1 gene:B456_009G162100 transcript:KJB55129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSIRCCISCILPCGALDVVRIVHSNGLIEEISGSIMAAEIMKAHPKHVLKLPSSPSHDGMLSKVVVVPPDAKLQCGKIYFLLPLPPTTMKKRGQNANHNGRQHRQSGSTSNTKNNTILVANLSTSDEHCFSEVLSDKLSTERDRRRRGRVGMWRPHLESISETLNNY >KJB57485 pep chromosome:Graimondii2_0_v6:9:12766495:12767690:1 gene:B456_009G166200 transcript:KJB57485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAIPYKSYMAATAGGRSRLHNFLEGAGNGGEHRLVANGCENNVTKLVVENAVIVFGRRGCCMCHVVNRLLLGLGVNPAVCEVDEEKEEAVLHELSVTNGGGVQFPAVFVGGKLFGGLDRVMSTHITGELVPILKEAGALWL >KJB53969 pep chromosome:Graimondii2_0_v6:9:1136609:1142891:-1 gene:B456_009G014100 transcript:KJB53969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIEVVEEVLPLKQSGDVVANGNGYRGGNNGVIGVSHDENLRNDVYTAATYGDLEKLQRLVEYEGCSLTETDGLGYYALQWAALNNRTAAAQYIIEHGGDVHATDHNGQTALHWSAVRGAIQVAELLLQEGAAVDAADINGYQIAHVAAQYGQTAFLYHVVSKWNADPDVPDNDGRSPLHWAAYKGFADCIRLLLFLDAHRGRQDKEGCTPLHWAAIRSNLEACTVLVQAGKKEDLMVTDNGGLTPEQLASDKNHREVAIFLGNARRLYEKGCGRNNSFGQLSKLRLAPILWCSSLLLMVTYIQSVLMAPYLPRLTAGFGLLAWSGVFLASAGLVLFYRCSRKDPGYIRMNVHDLQNMKDDEPLLKIERNNPALLAGNWSQLCVTCKIVRPLRAKHCSICNRCVEQFDHHCPWVSNCIGKVLCCR >KJB53968 pep chromosome:Graimondii2_0_v6:9:1136411:1142891:-1 gene:B456_009G014100 transcript:KJB53968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIEVVEEVLPLKQSGDVVANGNGYRGGNNGVIGVSHDENLRNDVYTAATYGDLEKLQRLVEYEGCSLTETDGLGYYALQWAALNNRTAAAQYIIEHGGDVHATDHNGQTALHWSAVRGAIQVAELLLQEGAAVDAADINGYQIAHVAAQYGQTAFLYHVVSKWNADPDVPDNDGRSPLHWAAYKGFADCIRLLLFLDAHRGRQDKEGCTPLHWAAIRSNLEACTVLVQAGKKEDLMVTDNGGLTPEQLASDKNHREVAIFLGNARRLYEKGCGRNNSFGQLSKLRLAPILWCSSLLLMVTYIQSVLMAPYLPRLTAGFGLLAWSGVFLASAGLVLFYRCSRKDPGYIRMNVHDLQNMKDDEPLLKIERNNPALLAGNWSQLCVTCKIVRPLRAKHCSICNRCVEQFDHHCPWVSNCIGKKNKWDFFLFLVLEVSAMLITGGVTITRILTDPMAPSSFLQWMNHAGIHHISAISFLIMDFLLFFGVASLTAVQASQISQNITTNEMANVMRYSYLRGAGGLFKNPYDHGCCKNCTDFLFKGYNEDVEACEDIEHSKGIGVAQMLRNLKLQNGDSQSRKQKWPSCYKCQF >KJB54719 pep chromosome:Graimondii2_0_v6:9:3481161:3483686:1 gene:B456_009G048000 transcript:KJB54719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAEFYKSLPPITKAYGTICLMTTVASHLGLYRLENIALIHELVFSEFQVWRLLTNFFFLGNFSMNFGIRLLMIARYGVQLEQGPFQRRTADFLWMMIFGAISLLALSIVPILRGAFLGISLVFMLLYIWSREFPNAQVNIYGLVALKAFYLPWAMLALDVIFGSPLVPDLMGIIAGHLYYFLTVLHPLAGGRNIISTPRLVYPFILFYFSQSFSS >KJB54720 pep chromosome:Graimondii2_0_v6:9:3481488:3484253:1 gene:B456_009G048000 transcript:KJB54720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVASHLGLYRLENIALIHELVFSEFQVWRLLTNFFFLGNFSMNFGIRLLMIARYGVQLEQGPFQRRTADFLWMMIFGAISLLALSIVPILRGAFLGISLVFMLLYIWSREFPNAQVNIYGLVALKAFYLPWAMLALDVIFGSPLVPDLMGIIAGHLYYFLTVLHPLAGGRNIISTPRLVHKLVARARLEYPTNARVPQERTTGVAFTGRSYRLNSSTGRGFSLRRFWSS >KJB54718 pep chromosome:Graimondii2_0_v6:9:3481143:3484253:1 gene:B456_009G048000 transcript:KJB54718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAEFYKSLPPITKAYGTICLMTTVASHLGLYRLENIALIHELVFSEFQVWRLLTNFFFLGNFSMNFGIRLLMIARYGVQLEQGPFQRRTADFLWMMIFGAISLLALSIVPILRGAFLGISLVFMLLYIWSREFPNAQVNIYGLVALKAFYLPWAMLALDVIFGSPLVPDLMGIIAGHLYYFLTVLHPLAGGRNIISTPRLVHKLVARARLEYPTNARVPQERTTGVAFTGRSYRLNSSTGRGFSLRRFWSS >KJB56743 pep chromosome:Graimondii2_0_v6:9:10091766:10093694:1 gene:B456_009G133900 transcript:KJB56743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLIVKELIRSKVDETEVEMRNKEGKTNQELQFFSLETIAHATNNFAATNKLGEGGFGPVYKAWEAWNEGRALELIDPSLGESYPKDEVMRCIHVGPLCGQDNPVDRPTILDALSMMYSEGNQLPTPKPPAYYFSRNRDEPEIVECELQIFSPNNLSITEMEAR >KJB60670 pep chromosome:Graimondii2_0_v6:9:30209342:30211552:1 gene:B456_009G318400 transcript:KJB60670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKGKIKKKNRERKQDRNDSHRNTAMEQLNTEPQDHISCFPDDILFRIISFLPFESAVHTTFLSKQWKDLWKKNLILNTTMEDIIVTILNLVYDFSELHPSKNKWGLQFNLDQDRLLFVSITPNRTLHLDFSAAEQEFPASFDWLLPLRLPSAYKPDEMIMKLNPPLSLYAQIKIKTLYLVSVSHLSSKAVTCLVSNLPFLESLIIEKCNGVQSLVVQDAGVLQKLIVLDCPQLRTLSFQGPHLGCFRYRGNLVSFRFKGCCGCSDIDIYSQYTCECGIFLEDVMLDLRQGLLTQWTWDFETNTDHPYFPSQGSYHCGCTTKDKCFKSILRTIDWVTSLTICRWFFEFARTYFLQVKALNLILAN >KJB60669 pep chromosome:Graimondii2_0_v6:9:30209342:30211407:1 gene:B456_009G318400 transcript:KJB60669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKGKIKKKNRERKQDRNDSHRNTAMEQLNTEPQDHISCFPDDILFRIISFLPFESAVHTTFLSKQWKDLWKKNLILNTTMEDIIVTILNLVYDFSELHPSKNKWGLQFNLDQDRLLFVSITPNRTLHLDFSAAEQEFPASFDWLLPLRLPSAYKPDEMIMKLNPPLSLYAQIKIKTLYLVSVSHLSSKAVTCLVSNLPFLESLIIEKCNGVQSLVVQDAGVLQKLIVLDCPQLRTLSFQGPHLGCFRYRGNLVSFRFKGCCGCSDIDIYSQYTCECGIFLEDVMLDLRQGLLTQWTWDFETNTDHPYFPSQGSYHCGCTTKDKCFKSILRTIDWVTSLTICRWFFEKTVCKNLFSSSKGPESYFSQLKELWWIDCSMTRHNIDVLLCFLKLCPNVERLYVTMDPKCYNLTSSIPENFLAIVGALENLNGLKVVKLEGFVGEQMEIFVARRLVPLFGENNPVIISKSYGKCLKHLVKVDKLENKATYSYKFKMVENVDEKILYHIHINL >KJB60668 pep chromosome:Graimondii2_0_v6:9:30209342:30211008:1 gene:B456_009G318400 transcript:KJB60668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKGKIKKKNRERKQDRNDSHRNTAMEQLNTEPQDHISCFPDDILFRIISFLPFESAVHTTFLSKQWKDLWKKNLILNTTMEDIIVTILNLVYDFSELHPSKNKWGLQFNLDQDRLLFVSITPNRTLHLDFSAAEQEFPASFDWLLPLRLPSAYKPDEMIMKLNPPLSLYAQIKIKTLYLVSVSHLSSKAVTCLVSNLPFLESLIIEKCNGVQSLVVQDAGVLQKLIVLDCPQLRTLSFQGPHLGCFRYRGNLVSFRFKGCCGCSDIDIYSQYTCECGIFLEDVMLDLRQGLLTQWTWDFETNTDHPYFPSQGSYHCGCTTKDKCFKSILRTIDWVTSLTICRWFFEKTVCKNLFSSSKGPESYFSQLKELWWIDCSMTRHNIDVLLCFLKLCPNVERLYVTVSLPIPFNYLDLCNTK >KJB61866 pep chromosome:Graimondii2_0_v6:9:52532618:52533961:1 gene:B456_009G3873001 transcript:KJB61866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRDLPSLSMLQELKKLDLSRTKIEEVPEGMDMLIMLRYLDLRVRTLKEIPAGLISKLVHLQHLSFDVDSEKTSLKAEEMEPLKKLEYFTGRFEDISEFNKFISSMQQSKKNLINYHLQVGSDDAGGARDKRDKRVTIAGVQNWEGELIMHPIEIQELYILKCDYLRSLVNDNSSFKNAIDLRDCRIRGCEGIECVVSLSFSASSSAHPFQSLQVLDLQFLPKLSALIMKDEGIGSATTSTLVPSATFSHLKEITIYSCSSMKTLLPHWLLPNLQNLEKIRVEYCSQLVEILGAATSEVEEKGSDALIKFHLPKLSICSKSGVMVCDSLQLIQVVGDCDKLKRIPPFVPLVGNGQPFAYAPPSLTIWSLKKWWKSLEWDDHPNFKNMMWPPLVRKK >KJB53916 pep chromosome:Graimondii2_0_v6:9:1047175:1048144:1 gene:B456_009G012800 transcript:KJB53916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHPPPPADAHHPHQSHHPQSPPHASAPGPPHTNHPHLDHAPPPRPGLHAPPLGPSHPLGSIHEHPHPGYAPPPRPSPQAPPPGPGHPPSPPHAGHPPPRPSLYEPPPRPGHPPGPLHEHPPSPPHVEHPPPRLDPHAPPLGPGHPPSPPHNDHLHPDHAPPPGPDPHAPLPGLGHPPEPHKPRP >KJB60759 pep chromosome:Graimondii2_0_v6:9:32148535:32149339:-1 gene:B456_009G324400 transcript:KJB60759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIDSGELETGKGKNQVDTLQHPNNTRWRSHLASFNSLMRMFDSVYVILQDIINGANGMYDAMTSVEFVFILHFMIDILGTTDDLCQELQYKSQDILNAMHLVSSTKTLLQKFKEHVWDPLFEKVKLFCKDHEIEVPNLSSPYKADQGCSTLDPRDNYKAFQMEDICKLMNDFYPDDLTKQEKLHMKIQLEHFQLDAHQSTELQKASTVVELCQV >KJB54663 pep chromosome:Graimondii2_0_v6:9:3176157:3176717:1 gene:B456_009G043700 transcript:KJB54663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTATMAIITGLCGFRRRRPPDSPPPGLSEKPDIQSELMEKTQEATAIETDAKTGDNNSKQEMVIKELPPPPGMMMKDAHSCNNFTLKSDSTRKLGTTLSVKSISVKIREKKARPKDEDSIWKKTIILGGKCKISDDDNDAVIFNGKHDTVLAYHPRTLSTISISRTGSFKESDKTASRMNSFKH >KJB58995 pep chromosome:Graimondii2_0_v6:9:18508113:18509191:-1 gene:B456_009G234600 transcript:KJB58995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATSSPREENVYMAKLAEQAERYEEMVEFMEKVSASADNEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVATIRDYRAKIESELTSICNGILKLLDTRLVPSASSGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKSAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADDIKEAPKRDEEEQQQKPQQ >KJB58994 pep chromosome:Graimondii2_0_v6:9:18507541:18509865:-1 gene:B456_009G234600 transcript:KJB58994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATSSPREENVYMAKLAEQAERYEEMVEFMEKVSASADNEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVATIRDYRAKIESELTSICNGILKLLDTRLVPSASSGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKSAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADDIKEAPKRDEEEQQQKPQQ >KJB58996 pep chromosome:Graimondii2_0_v6:9:18508113:18509191:-1 gene:B456_009G234600 transcript:KJB58996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATSSPREENVYMAKLAEQAERYEEMVEFMEKVSASADNEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVATIRDYRAKIESELTSICNGILKLLDTRLVPSASSGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKSAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADDIKEAPKRDEEEQQQKPQQ >KJB55459 pep chromosome:Graimondii2_0_v6:9:5589409:5590953:-1 gene:B456_009G077400 transcript:KJB55459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:Projected from Arabidopsis thaliana (AT5G12380) UniProtKB/TrEMBL;Acc:X5JAK8] MTTIISPKQFSPVEDAENIRKACQGWGTDEKAIISILGHRNLFQRKLVRLAFQEIYHQDLLQQLKCELSGNLERAISLWTLDPADRDAVLANETLQKSDPDYRVIIEIACIRSPEDLLAVKRAYKFRYKHSLEEDLASSTTADIRKLLVGVTSAYRYDGDEFDETVAQSEASTLHQEIHGKAFNNEEVVRILSTRSQAQLNATFNIYKDIYGHSITKGFPGGDYFSTLRTVIRCIRDPKKYFAKVLRSAINMEETNEDALSRVIVTRAEKDLKDIKELYLKRNNISVDEAVAREWPGDYKTFILALLGADQN >KJB62613 pep chromosome:Graimondii2_0_v6:9:66785625:66789845:-1 gene:B456_009G425800 transcript:KJB62613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRGVIFILFIFLLLGVDSNAFPMNDLISKLPGQPDVNFRQFAGYIEVDENVDGRSLFYYFVEAEKDPLTQPLTIWLTGGPGCSSVGDAFGSVGPFIVTKDAHGLQTNSFSWNKVSNLLFIDSPIGSGWSYSNSSSDYNNGDDSTIFKSKDLYIAGSSFAGHFAPNLANALLDDNKQFEKSKFNLKGLVLGNPMLRKKLDDIAKIDFFFSREMINSSLYNEIKKECNAIDENNYFSSIKTTWSAKCKNLVFEADLAAFKTDAHNYSPQKLFDVFRPPCAENELNLNLTKHVPIVGTEVDMCVPLRVQFYFNIPEVQKAFYGNRTNLSYRRRGCFTANFKYNEADKDLDMLPALKNLLQQSVPITIFSGDQDGIIPTEGTLQHLEKLAEELNIKLTKEETWSLRTKEGGLKYEFGDLLKFLTVKGGNHHVTSSRPSQAFSIFSTFAINWMH >KJB55012 pep chromosome:Graimondii2_0_v6:9:4174932:4179647:-1 gene:B456_009G058200 transcript:KJB55012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSENILSSVGLKVAHQKGVYPQLRVCGDDSSSDNANGSVEETIETYLQNGMNDNVGTGEAGEDSDDFVESNALIDSEEGEIEDNMKRSKPQKVQGKTKNEKLSSPGSVSSALVKKNKDGKSADVPLIASSAGSVATISGLNQPHKNRPFSERQANASKQSEKSDVALSEGTTEKPNLKPVKKGPLTKSEGDSESSPTATDAKPCRVSTLPKYGFCFKCDERAEKRKEFYSKLEEKIHAREIEKSNLQAKSKETQEAEIKMFRKSLNFKATPMPSFYQEPSPPMVEIKKLPTTRAKSPKLGRRKSTTPLDSDGNSNSGHQLGRPSLDEKAHPGSSAKVISPVRLKKPQRKSLPKLPSQKTSLSSTTNEENASKALDQGSTVSKATTDGKIASVSSKVTEEKATLSNVTNGELSPSQQQETVSRADSGESQADTDRGPMVGEQGYVDLVQ >KJB55514 pep chromosome:Graimondii2_0_v6:9:5782818:5785398:-1 gene:B456_009G080200 transcript:KJB55514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLRSSGLSCGVCANFQIPISRCFMNSITTSSIDVKPKVPLYLRPPSFSASLSELTKWHKWAKNLASSVGSSFINLDNGPDSTLLRRELNWLLQDLIELQHRSVILSRLESGNNENPDVSVALRAPLEEMYCVWRQRIEKRRPFQYVVGCEHWRDLVLSVQEGVLIPRPETEVIIDLVEGVALNNAPELAQGFWADLGTGSGAIAIATARALATHAHGDACGRVIATDLSPVAVAVATSNVQRYGLQDVVEVRKGSWFEPLKDVQGKLAGVLSNPPYIPSGDISGLQAEVGQHEPILALDGGISGTNDLLHLIDGVASMLKPGGFFAFETNGEKQCKFLVEYIENEKPGSFRDMNIVSDFAGIQRFVMGFRR >KJB55515 pep chromosome:Graimondii2_0_v6:9:5781582:5785487:-1 gene:B456_009G080200 transcript:KJB55515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLRSSGLSCGVCANFQIPISRCFMNSITTSSIDVKPKVPLYLRPPSFSASLSELTKWHKWAKNLASSVGSSFINLDNGPDSTLLRRELNWLLQDLIELQHRSVILSRLESGNNENPDVSVALRAPLEEMYCVWRQRIEKRRPFQYVVGCEHWRDLVLSVQEGVLIPRPETEVIIDLVEGVALNNAPELAQGFWADLGTGSGAIAIATARALATHAHGDACGRVIATDLSPVAVAVATSNVQRYGLQDVVEVRKGSWFEPLKDVQGKLAGVLSNPPYIPSGDISGLQAEVGQHEPILALDGGISGTNDLLHLIDGVASMLKPGGFFAFETNGEKQCKFLVEYIENEKPGSFRDMNIVSDFAGIQRFVMGFRR >KJB53851 pep chromosome:Graimondii2_0_v6:9:669744:672446:1 gene:B456_009G007800 transcript:KJB53851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSNSGSYTTGNSTKSEAQVCLKFPPKVSSPGLMALWSAKGQNKGKLRMLMEYSGPRLQIQMVVTFVLTQAIHSVLKHLRLPMFISQILAGMILGPMVFRGKRSLITSSDQSVAVLGTVGAIGYMFFLFLSGVKMDVGMTFRSGKKVICIGVLTVVVPLMACLITAKSLNQESQFFTNKRVFLAVTYSATSFPVIHCLLSELKLLNSELGRLGLSAALIGEIVALFLLTICQWVVDGLENGWKVVVSNFGFFTVYFVIVVFWFRPVMKWMVRKTPEGGQIKNSYLYIVIGAFMVSHKLAELLKVYIVFAPFLVGLAVPDGPPLGSALVEKLEPIVEGLFMPLFVTTCGMRIDFSYLKNYGSFAKDQVISAVVTNFVKFGVSFLLPFLWNIPIRDSLAFAFIMLNKGIVEMASYSFMNDTLVISQDMFAFVTIIVILIASIVPIFVKTLYDPSSKHVRYLKKSIMDCKLNDELRIIGCIHVPANVNSIIDILNASCPTRQSPIALSVLHLIKLSGRATPLFIAHEKQSKSPSNNSYSENVVLAFNRLERDNWGAVSVKSFTAVSPPNLMHEDICNLVMDQFTSFLILPFHRRRHVDGSIESEDQTVRRLNFNILQKPPCSVGILVEGQRHVKCINSRDHMHDQYPLANSSTYTIAMIFMGGKDDWEALALAKRMSRDKSVKLTVIQFKAVDGFQDDDGDRMMDKQLLRNVKENTSTTYIEKEVKDGPETLTYLRSIVDDYKLFIVGRRYKREDPQTVGLHEWSEFQDIGIIGELLSSPDFGGKHSVLIVRHQ >KJB61937 pep chromosome:Graimondii2_0_v6:9:53820127:53826324:-1 gene:B456_009G392500 transcript:KJB61937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVLRVHLPSDIPIVGCELTPYVLLRRPDKTVTTDDVPESAPLDGHFLRYKWCRIQSDKKVAVCSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEEIFGRYNSSGSGVINASLTGSASSTSLTNGSTPLYPAAVTQRSGGETWFEVGRSKTYTPTADDIGHVLKFECVIVDAETKQPVGHPNTILTSRVIPAPSPSPRRLIPVSGADMLGNLQSDGRVSSSGSFTVLSYNILSDSYASSELYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQNDHFEEFFAPELDKHGYQALYKRKTNEVYSGNIHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEVAVQTTQKKAALNRLVKDNVALIVVLEAKFSNQGADNLGKRQLLCVANTHVNVPQELKDVKIWQVHTLLKGLEKIAASADIPMLVCGDFNSIPGSAPHSLLAMGKVDPMHADLLVDPLAILRPHSKLTHQLPLVSAYSSFLRGVGLGLEQQRRRMDPTTNEPLFTNCTRDFIGTLDYILYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCVPRPRR >KJB61938 pep chromosome:Graimondii2_0_v6:9:53820127:53826272:-1 gene:B456_009G392500 transcript:KJB61938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVLRVHLPSDIPIVGCELTPYVLLRRPDKTVTTDDVPESAPLDGHFLRYKWCRIQSDKKVAVCSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEEIFGRYNSSGSGVINASLTGSASSTSLTNGSTPLYPAAVTQRSGGETWFEVGRSKTYTPTADDIGHVLKFECVIVDAETKQPVGHPNTILTSRVIPAPSPSPRRLIPVSGADMLGNLQSDGRVSSSGSFTVLSYNILSDSYASSELYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQNDHFEEFFAPELDKHGYQALYKRKTNEVYSGNIHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEVAVQTTQKKAALNRLVKDNVALIVVLEAKFSNQGADNLGKRQLLCVANTHVNVPQELKDVKIWQVHTLLKGLEKIAASADIPMLVCGDFNSIPGSAPHSLLAMGKVDPMHADLLVDPLAILRPHSKLTHQLPLVSAYSSFLRGVGLGLEQQRRRMDPTTNEPLFTNCTRDFIGTLDYILYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCVPRPRR >KJB61936 pep chromosome:Graimondii2_0_v6:9:53820719:53825854:-1 gene:B456_009G392500 transcript:KJB61936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVLRVHLPSDIPIVGCELTPYVLLRRPDKTVTTDDVPESAPLDGHFLRYKWCRIQSDKKVAVCSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEEIFGRYNSSGSGVINASLTGSASSTSLTNGSTPLYPAAVTQRSGGETWFEVGRSKTYTPTADDIGHVLKFECVIVDAETKQPVGHPNTILTSRVIPAPSPSPRRLIPVSGADMLGNLQSDGRVSSSGSFTVLSYNILSDSYASSELYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQNDHFEEFFAPELDKHGYQALYKRKTNEVYSGNIHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEVAVQTTQKKAALNRLVKDNVALIVVLEAKFSNQGADNLGKRQLLCVANTHVNVPQELKDVKIWQVHTLLKGLEKIAASADIPMLVCGDFNSIPGSAPHSLLAMGKVDPMHADLLVDPLAILRPHSKLTHQLPLVSAYSSFLRGVGLGLEQQRRRMDPTTNEPLFTNCTRDFIGTLDYILYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCVPRPRR >KJB57114 pep chromosome:Graimondii2_0_v6:9:11296280:11297267:1 gene:B456_009G148600 transcript:KJB57114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFQSQQFNLGVKATAAERKGNGFSTKCASLVKQQRARLYIMRRCATMLLCWYIHGDD >KJB55178 pep chromosome:Graimondii2_0_v6:9:4808939:4811659:-1 gene:B456_009G067500 transcript:KJB55178 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein AFR [Source:Projected from Arabidopsis thaliana (AT2G24540) UniProtKB/Swiss-Prot;Acc:Q8LAW2] MAVSKSSSGKQQNDRDQEYHKKSEPLIPGLPDEIAELCLLHLPYPYQSLARSVCCSWNRTITNPGFLLSRRSLSLSLPYLFVFAFHNSTARIQWQAFDPRSGRWFILPPMPCPKSVFPPGFACTSIPRQGQLYVLGGMRSDTQTSINTTVVYTTSTNQWSIASPMVTPRSFFAAGNVNGKIIAVGGSGAEYNDSITSVECYDTRKDTWEHVAKMRVGLARYDSAVVGSKLYVTEGWTWPFLFSPRGSIYDLENDTWQEMKDGMKEGWTGVSVVVGDRLFVISEYGDCPMKVYVPDRDAWQYVGGDKFPREAMQRPFAVSGVEGRIYVVSSGLNVAIGTPIEGQNAEFIAKWEVVAAPKGFHDFSPLSCQVLYA >KJB55177 pep chromosome:Graimondii2_0_v6:9:4808939:4812105:-1 gene:B456_009G067500 transcript:KJB55177 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein AFR [Source:Projected from Arabidopsis thaliana (AT2G24540) UniProtKB/Swiss-Prot;Acc:Q8LAW2] MAVSKSSSGKQQNDRDQEYHKKSEPLIPGLPDEIAELCLLHLPYPYQSLARSVCCSWNRTITNPGFLLSRRSLSLSLPYLFVFAFHNSTARIQWQAFDPRSGRWFILPPMPCPKSVFPPGFACTSIPRQGQLYVLGGMRSDTQTSINTTVVYTTSTNQWSIASPMVTPRSFFAAGNVNGKIIAVGGSGAEYNDSITSVECYDTRKDTWEHVAKMRVGLARYDSAVVGSKLYVTEGWTWPFLFSPRGSIYDLENDTWQEMKDGMKEGWTGVSVVVGDRLFVISEYGDCPMKVYVPDRDAWQYVGGDKFPREAMQRPFAVSGVEGRIYVVSSGLNVAIGTPIEGQNAEFIAKWEVVAAPKGFHDFSPLSCQVLYA >KJB55801 pep chromosome:Graimondii2_0_v6:9:6938829:6941431:-1 gene:B456_009G095300 transcript:KJB55801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVDLHNFELLLPSQFFTPQDNLGSGLSSPIGSDQPSSSSTDSTREEDDYIGELTRQMAQYMLPDDDKLEKSWGLACSPESTLWSQFGSNLDGPIVPSREPSPPMAPWVGNFEKMKITEETARYNQGERFSSTSNSIQVSGGNLNAGIQSKQALIDDQIRAIQLYRLKQEQAMKQMEQKPRVNHYHQSKGRVFGGFNDGQKAVTNSNNPWYNLQQQQQQQQQQQQSNQQAGSHMRAVFLNASGSRNGSCGTGVFLPRGIGGTPTESRKKQGCATVLIPARVVQALKLHFEKTGVPTRSSNSAFPVQHDACVSGRNNSMHSLQKNQSKTVPALNHQEMNLPQEWTY >KJB56984 pep chromosome:Graimondii2_0_v6:9:10959824:10961120:1 gene:B456_009G144700 transcript:KJB56984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDKLWDETLAGPMPETGLGKLRKYGSFSAASSSPATADTSQVMITRSITILKSNSGLKNLSMDPGSAPGSPSGSSNPGTPLSPGTPTGDFRRFTRRKSPAEALQSEEPRSPTVYDWFSLSPFLLLMKLQKIKFVCNCLTNLSLVNWC >KJB56983 pep chromosome:Graimondii2_0_v6:9:10959664:10961122:1 gene:B456_009G144700 transcript:KJB56983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDKLWDETLAGPMPETGLGKLRKYGSFSAASSSPATADTSQVMITRSITILKSNSGLKNLSMDPGSAPGSPSGSSNPGTPLSPGTPTGDFRRFTRRKSPAEALQSEEPRSPTVYDWIVMSALDR >KJB56931 pep chromosome:Graimondii2_0_v6:9:10770848:10772733:-1 gene:B456_009G142200 transcript:KJB56931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGHGHHSCCNKQKVKRGLWSPEEDEKLINYVTTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKFISHEVPALASFADVHNFKPPDEAVDFVSLNVNPSLILSDQRDQLYLSPPLLQSFAQHHADFKSNPSGDNVDLIHHHFPLTPMLPPPLSSASSLDPSWPLPFITSQHDLDHHQDKKHHVPVFSNEVVHDIFNEKLMNSTAAINLYDHPLMAAQTVPKLCEILEGNLYNMPLTSVSLENIDPLGSRLSTCLPISAGSSYAHDMVVATNQMEFIDTIITSMPSASSSSSSSLSALSSGQYITNPNLPSGGWDP >KJB56932 pep chromosome:Graimondii2_0_v6:9:10770738:10772688:-1 gene:B456_009G142200 transcript:KJB56932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGHGHHSCCNKQKVKRGLWSPEEDEKLINYVTTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKFISHEVPALASFADVHNFKPPDEAVDFVSLNVNPSLILSDQRDQLYLSPPLLQSFAQHHADFKSNPSGDNVDLIHHHFPLTPMLPPPLSSASSLDPSWPLPFITSQHDLDHHQDKKHHVPVFSNEVVHDIFNEKLMNSTAAINLYDHPLMAAQTVPKLCEILEGNLYNMPLTSVSLENIDPLGSRLSTCLPISAGSSYAHDMVVATNQMEFIDTIITSMPSASSSSSSSLSALSSGQYITNPNLPSGGWDP >KJB57904 pep chromosome:Graimondii2_0_v6:9:14323225:14325476:-1 gene:B456_009G186200 transcript:KJB57904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSLRYSGDSKALRIHAKEKFPIDSKTHLQVQGELDTRTGVPNNFCAMIRHSYHDLFTSLGVGMRYDKRDKVRYTLRGKKSFLVTNDDSVNFVIKGRYDVDQEFKGRKSEGAAEFIYKIFNFQKDQDVRLKVGYEVFEQVPYLQVRENNWTLNADMNGRWNIRFDL >KJB57906 pep chromosome:Graimondii2_0_v6:9:14323359:14325410:-1 gene:B456_009G186200 transcript:KJB57906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSLRYSGDSKALRIHAKEKFPIDSKTHLQVQGELDTRTGVPNNFCAMIRHSYHDLFTSLGVGMRYDKRDKVRYTLRGKKSFLVTNDDSVNFVIKGRYDVDQEFKGKDQDVRLKVGYEVFEQVPYLQVRENNWTLNADMNGRWNIRFDL >KJB57905 pep chromosome:Graimondii2_0_v6:9:14324247:14325314:-1 gene:B456_009G186200 transcript:KJB57905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSLRYSGDSKALRIHAKEKFPIDSKTHLQVQGELDTRTGVPNNFCAMIRHSYHDLFTSLGVGMRYDKRDKVRYTLRGKKSFLVTNDDSVNFVIKGRYDVDQEFKGEVRRSC >KJB60777 pep chromosome:Graimondii2_0_v6:9:32384110:32389763:-1 gene:B456_009G325300 transcript:KJB60777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFASQMVPEWQDAYMDYEYLKTLLKEIQSFKHRTKPPSTGLKRKLTLYRAFSGLTQKNHRNPTSPSSASPDIESQPILVNSVQRNGSESYETTFLMSSDEGGEYELVYFRRLDDELNKVNKFYKDKVEEVMKEANVLNKQMDALIAFRIKVENPPVNFDRSVEMTRLASDIAVSTASLSVPTTPSAGRSKSKRAAHLEAIEESTHGQTDDDDKDDEKEMETPVQEPKPPKPKIKGVKPAPLEVLDRVKMNNTLETPRSTIKGLLQVPKQAEMSFSRENLRRVEDQLKRAFVEFYQKLRLLKSYSFLNTLAFSKIMKKYDKITSRSASRSYMKMVDNSNLGSSDDVTKLLERVEATFIKHFSNANRTKGMNILRPKAKRDRHRVTFSTGFLAGCMASLLLALIMIIRLRRIMDSRGRTIYMETMFPLYSLFGFIVLHMLMYAIDIFYWRKYRVNYAFIFGFKPGTELGYREVLLLSFGLGTLALAAVLCNLDMEMDPKTKDYKAFTELLPLLLVLVVLIILFLPFKILYRTNRFFFLTCLFHSICAPLYKVTLPDFFLADQLTSQVQAIRSLEFYVCYYGWGDFKHRENKCRDSNVFETFYFIVAVLPYMARLLQCLRRLFEERDPVQGYNGLKYFLTIVAVCLRTAYYVNTGIGWRVIVGIVSAIAAIFCTYWDFVYDWGLLNRQSKNPWLRDKLLVPHKYIYFGAMGLNVVLRFAWLQTVLNFKFDLHRETLATLVASLEIIRRGVWNFFRLENEHLNNVGKYRAFKSVPLPFNYDEDDDKDD >KJB60779 pep chromosome:Graimondii2_0_v6:9:32385225:32389342:-1 gene:B456_009G325300 transcript:KJB60779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFASQMVPEWQDAYMDYEYLKTLLKEIQSFKHRTKPPSTGLKRKLTLYRAFSGLTQKNHRNPTSPSSASPDIESQPILVNSVQRNGSESYETTFLMSSDEGGEYELVYFRRLDDELNKVNKFYKDKVEEVMKEANVLNKQMDALIAFRIKVENPPVNFDRSVEMTRLASDIAVSTASLSVPTTPSAGRSKSKRAAHLEAIEESTHGQTDDDDKDDEKEMETPVQEPKPPKPKIKGVKPAPLEVLDRVKMNNTLETPRSTIKGLLQVPKQAEMSFSRENLRRVEDQLKRAFVEFYQKLRLLKSYSFLNTLAFSKIMKKYDKITSRSASRSYMKMVDNSNLGSSDDVTKLLERVEATFIKHFSNANRTKGMNILRPKAKRDRHRVTFSTGFLAGCMASLLLALIMIIRLRRIMDSRGRTIYMETMFPLYSLFGFIVLHMLMYAIDIFYWRKYRVNYAFIFGFKPGTELGYREVLLLSFGLGTLALAAVLCNLDMEMDPKTKDYKAFTELLPLLLVLVVLIILFLPFKILYRTNRFFFLTCLFHSICAPLYKVTLPDFFLADQLTSQVQAIRSLEFYVCYYGWGDFKHRENKCRDSNVFETFYFIVAVLPYMARLLQCLRRLFEERDPVQGYNGLKYFLTIVAVCLRTAYYVNTGIGWRVIVGIVSAIAAIFCTYWDFVYDWGLLNRQSKNPWLRDKLLVPHKYIYFGAMGLNVVLRFAWLQTVLNFKFDLHRETLATLVASLEIIRRGVWNFFR >KJB60778 pep chromosome:Graimondii2_0_v6:9:32384175:32389342:-1 gene:B456_009G325300 transcript:KJB60778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPVQEPKPPKPKIKGVKPAPLEVLDRVKMNNTLETPRSTIKGLLQVPKQAEMSFSRENLRRVEDQLKRAFVEFYQKLRLLKSYSFLNTLAFSKIMKKYDKITSRSASRSYMKMVDNSNLGSSDDVTKLLERVEATFIKHFSNANRTKGMNILRPKAKRDRHRVTFSTGFLAGCMASLLLALIMIIRLRRIMDSRGRTIYMETMFPLYSLFGFIVLHMLMYAIDIFYWRKYRVNYAFIFGFKPGTELGYREVLLLSFGLGTLALAAVLCNLDMEMDPKTKDYKAFTELLPLLLVLVVLIILFLPFKILYRTNRFFFLTCLFHSICAPLYKVTLPDFFLADQLTSQVQAIRSLEFYVCYYGWGDFKHRENKCRDSNVFETFYFIVAVLPYMARLLQCLRRLFEERDPVQGYNGLKYFLTIVAVCLRTAYYVNTGIGWRVIVGIVSAIAAIFCTYWDFVYDWGLLNRQSKNPWLRDKLLVPHKYIYFGAMGLNVVLRFAWLQTVLNFKFDLHRETLATLVASLEIIRRGVWNFFRLENEHLNNVGKYRAFKSVPLPFNYDEDDDKDD >KJB56523 pep chromosome:Graimondii2_0_v6:9:9238609:9240507:1 gene:B456_009G123600 transcript:KJB56523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRCAACRYLRRRCTQDCILSPYFPSNNPQRFASVHRIYGASNVTKLLQRLPTHLRAEAADSLYFEAQCRLEDPVYGCVGLIFLLQQQIHSAESQLIKTQAEIAVMKSQTQHPRTQPFPAISGSNNLLLQQSDNDANLSFSNQVSFGFI >KJB62885 pep chromosome:Graimondii2_0_v6:9:69340941:69349645:1 gene:B456_009G441800 transcript:KJB62885 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-alpha-glucan-branching enzyme 3, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT3G20440) UniProtKB/Swiss-Prot;Acc:D2WL32] MASLSLQPKFSLHPNNSILHFHPQNKPQIIFFTRKVKIKTKTKCSSIDPQQQQQKQRESFTRKKKSVTETEKGVDPVGFLTKLGITHKAFAQFLRERYKSLKDLKAEIFTRHLNLQEMASGFEILGTHRHKEHRVDYMDWAPGARYCALVGDFNGWSPTTNAAREGLYGHDDYGYWFIILEDKLREGEEPDELYFQQYNYVDDYDKGDSGVTIDEVFQRANDEYWEPGEDRFIKNRFELPAKLYERLFGPNGPQTLEELGEIPDAETRYKAHKELHKDDPPSNLPPFDVIDNGKEYDIFNVVADPAWQEKFRNKKPPLAYWTEIRKGRKAWLKKYSPAIPHGSKYRVYFNTPDGPLERVPAWATFIQPDAEGKQAYAIHWEPPPEHTYKWKHTAIKPPKSLRIYECHVGISGSEPKISSFNDFTERVLPHVKRAGYNAIQLIGIVEHKDYFTVGYRVTNFFAVSSRYGTPEDFKRLVDEAHGLGLLVFLDIVHSYSAADEMVGLSRFDGSNDCYFHTGKRGHHKYWGTRMFKYSDLDVLHFLLSNLNWWISEYKIDGFHFHSLASMLYTHNGFASFTGDLEEYCNQYVDNDAVKYLILANEILHALHPNIITIAEDATFYPGLCEPTSQGGLGFDYHVNLSASEMWLSLLKNTPDHEWSMSKITSTLLGNKNYADKMLVYAENHNQSISGGQSLAEILLSQGNDKAPQSNELLLRGSSLLKMTKLITFTIGGRGYLNFMGNEFGHPKRVEFPMPSNNFSFSLANRCWDLLEKEGVYQDLFRFDKDMMKLDKNERVLSRGLPNIHHVNDTNMVISYLRGPLLFVFNFHPTDSYERYCIGVDEAGEYQVILNTDERRYGGQGIVKEEQYLQRTISKRADGLRNCIEVSLPSRTAQVYKLSRILRI >KJB62886 pep chromosome:Graimondii2_0_v6:9:69341058:69349645:1 gene:B456_009G441800 transcript:KJB62886 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-alpha-glucan-branching enzyme 3, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT3G20440) UniProtKB/Swiss-Prot;Acc:D2WL32] MASLSLQPKFSLHPNNSILHFHPQNKPQIIFFTRKVKIKTKTKCSSIDPQQQQQKQRESFTRKKKSVTETEKGVDPVGFLTKLGITHKAFAQFLRERYKSLKDLKAEIFTRHLNLQEMASGFEILGTHRHKEHRVDYMDWAPGARYCALVGDFNGWSPTTNAAREGLYGHDDYGYWFIILEDKLREGEEPDELYFQQYNYVDDYDKGDSGVTIDEVFQRANDEYWEPGEDRFIKNRFELPAKLYERLFGPNGPQTLEELGEIPDAETRYKAHKELHKDDPPSNLPPFDVIDNGKEYDIFNVVADPAWQEKFRNKKPPLAYWTEIRKGRKAWLKKYSPAIPHGSKYRVYFNTPDGPLERVPAWATFIQPDAEGKQAYAIHWEPPPEHTYKWKHTAIKPPKSLRIYECHVGISGSEPKISSFNDFTERVLPHVKRAGYNAIQLIGIVEHKDYFTVGYRVTNFFAVSSRYGTPEDFKRLVDEAHGLGLLVFLDIVHSYSAADEMVGLSRFDGSNDCYFHTGKRGHHKYWGTRMFKYSDLDVLHFLLSNLNWWISEYKIDGFHFHSLASMLYTHNGFASFTGDLEEYCNQYVDNDAVKYLILANEILHALHPNIITIAEDATFYPGLCEPTSQGGLGFDYHVNLSASEMWLSLLKNTPDHEWSMSKITSTLLGNKNYADKMLVYAENHNQSISGGQSLAEILLSQGNDKAPQSNELLLRGSSLLKMTKLITFTIGGRGYLNFMGNEFGHPKRVEFPMPSNNFSFSLANRCWDLLEKEGVYQDLFRFDKDMMKLDKNERVLSRGLPNIHHVNDTNMVISYLRGPLLFVFNFHPTDSYERYCIGVDEAGEYQVILNTDERRYGGQGIVKEEQYLQRTISKR >KJB53955 pep chromosome:Graimondii2_0_v6:9:1058482:1061806:1 gene:B456_009G013000 transcript:KJB53955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVEDIEQVSQEMEDLGDGSTNKKPWPLLSRGDFLSTQMENWVHKDSPFPLAVVAGSNRLESISEETVATDKKHDLLTNFIPTLRSGEWSDIGGRPYMEDTHVCIADLAKDFGRNLMSEDTVSFYGVFDGHGGKDASQFVRDHLPRVIVEDADFPLDIEKAVTRSFMETDAAFAKSCSLESALASGTTALTAMIFGRSLLVANAGDSRAVLSRCGTAIEMSKDHRPCCMKERRRIESLGGFIDDGYLNGQLGVTRALGNWHLEGMKEMGERIGPLSAEPELKMITLTKEDEFLIIGSDGIWDVFTNQNAVDFTRRRLQEHNDVKLCCKEMVGEAIKRGATDNLTVVLVSFHLEPPLPSIIQRARFRRSISAEGLQSLKCLLEG >KJB60639 pep chromosome:Graimondii2_0_v6:9:29882228:29882896:1 gene:B456_009G316500 transcript:KJB60639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCYNVNIRQENEPNLEEFSDNVFKINTEFRYVSSLNVETTLDIFNQTLVFGRDMFLSEQHHRDIILCMVLDSRASPEFIESAVFAPILLFARDANSNPMNFGLKVINMKVVVEIIVDVSIIEDDDINYDDELIDESLMNTVINFMPASRSSIEGLDRVRWDPMTKREDECAICLEEFVKGKEVASMPCGHGYHDGCIAKWLETSHLCPLCRYQMPTLIHL >KJB55637 pep chromosome:Graimondii2_0_v6:9:6277004:6281497:1 gene:B456_009G086300 transcript:KJB55637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHEKRSHSHAHGTGDEEKIMTRKQKADQLKSNEGKESPKKPKVEADNDQHNGKATTEVAKEFEEFCKSVREHLSVAQMREILEANGQDSSGSDASVVIKCLDMLFYGPLEKCQICNGDLEFDGNRYSCKGTYSEWSSCVYKTRSPARKQEPLKLPDSVLNSPVAELVKKYEDPKLRPHRYVGVTDKPFLGMMISLMGRLSRTHQYWKTKIEKHGGTVSNSVVGVTCLVASPAERERGGSSKLVEAMERGVRVVSEAWLLDSIEKQEAQPLEAYDIVTDLAVDGKGSPWDKQVPEEHAIESLSAELKLYGKRGVYKDTRLQEQGGQIFEEDGILYNCVFSLCDQGGAINEYCIMQLVKVPDSNLHLYYKKGRVGDDPNAEERLEEWKDVDGAVKEFVRLFEEVTGNEFEPWEREKKFQKKPLKFYPIDIDDGVDVRCGGLGLRQLGVAAAHCKLEPMVANFMKVLCGQEIYKYALMEMDLDAPDLPMGMLSNVHLKRCEEVLQEFIEKVKSMKESGPKAEAVWSDFSQRWFTLMHSSRPFIFRDYQELADHAAASFECVRDIVVASHMIGHMGDDTLDDPLSDRYKKIGCSISPLDKDSEDYKMILNYVEKTYEPVKLADIEYGISVENIFNVETKEGPSFDKVKKLPNKRLLWCGTRSSNLLRHLHKGFLPASCSLPVPGYMFGKGVVCSDAAAEAARYGFTAVDRPEGFLVLAVFSQGEEIVELKNPPEDTKTLEEKKVCVKGLGRKKPDESEYIDWKDDIKVPCGSLIPSEHQDSPLEYNEYTVYDPRQVSMRFLVGVKFEEKDTVMDAQD >KJB60106 pep chromosome:Graimondii2_0_v6:9:24948415:24952390:-1 gene:B456_009G290100 transcript:KJB60106 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L21, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G35680) UniProtKB/Swiss-Prot;Acc:P51412] MASSATFSTLVLSSSFATQCNVANRHHQSCFLSSPPKIPIFSIPKPVSLKLTSLLSKTPTFFTIPKSSESDAAVVDVEPDNVEPEPEPEAAPEPEPEPASVVEASKEEPKREEIFAVVMIGGRQYIVFPGRYLYTQRLKGANVNDKIVLNKVLLVGTKTTTYIGKPVVTNAAVHAVVEEQGLNPKVVVFKYKKKKNYRRNIGHRQPNTRIRITGITGYQDYPAVTLES >KJB60107 pep chromosome:Graimondii2_0_v6:9:24949516:24952351:-1 gene:B456_009G290100 transcript:KJB60107 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L21, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G35680) UniProtKB/Swiss-Prot;Acc:P51412] MASSATFSTLVLSSSFATQCNVANRHHQSCFLSSPPKIPIFSIPKPVSLKLTSLLSKTPTFFTIPKSSESDAAVVDVEPDNVEPEPEPEAAPEPEPEPASVVEASKEEPKREEIFAVVMIGGRQYIVFPGRYLYTQRLKGANVNDKIVLNKVLLVGTKTTTYIGKPVVTNAAVHAVVEEQGLNPKVVVFKYKKKKNYRRNIGHRQV >KJB61840 pep chromosome:Graimondii2_0_v6:9:52318547:52320090:1 gene:B456_009G385500 transcript:KJB61840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVQPVLGIANCLGTPACKYLQYLRKLNDYVRNFKRMRDELICKMEDTELQLKEELLRPLGKIPKKRVENWLKAVKEMIKEAQVVENKVSNGRYLCRACNGKLVDEKTREMKEFLDNAPNASEGLAMDGPSAGLLLPTSELVGEEAVRNEIWACLMQEEVSKIGVRGMGIKN >KJB56774 pep chromosome:Graimondii2_0_v6:9:10165952:10176471:1 gene:B456_009G135200 transcript:KJB56774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIELKGKKREKRMAWFSGKVSLGGFPDIAGAVNKFQESVKNIEKNFDNALGFEEKSESNSNEASGLWPSDRKALFDPVMSFMGQKGEENAVESSGKLESSQDPPKAVEKEEAETGSSTYPHEKTSVEDDKAAVKLEKENKHSEAVERADTAISDSGKAESEPEPVSTEPSETTFQNVGSSDSPDTEQQKESFVMVISDDSDSKEAKLDNAEVDQVEDAEPVPAKSSDAVDILESKDEQKTYTEEISDKSSPVKSEESSDRQDYAGACPEESVLSNSHSISVEETNSDQEFPLPNVLPSYEAQGTVSESAFVGNDANTEKGEVNEQANDSETDVKEEMHMSSATIMPASVDSMHELEKVMMEMKMMESALQGAARQAQAKADEIAKLMNENEQLKAVIEDLKRKSNEAEMESLQEEYHQRVSTLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIIKQVMAEGEELSKKQATQESLIRKLRAQIRELEEEKKGLTTKLQVEENKVESIKKDKTATEKLLQETIEKHQVELAAQKDFYTNALNAAREAEALAEARANNEARTELESRLREAEERESMLVQTLEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETTSRRAEAWAAVERSLNSRLQEAESKAAAAEERERSVNERLSQTLSRINVIEAQISCLRAEQTQLSRSLEKERQRAAEQRQEYLAAKEEADTQEVRANQLEEEIRELRRKHKQELQDALVHRELLQQEVEREKAARADLERTVRVQSTELAPIARHNSTLENGSLSRKLSTTSSMESMEESYYLQASLDSSDGFSEKRNIGETALSPLYMKSMTPSAFESALRQKEGELASYMSRLTSLESIRDSLSEELVKMTAQCEKLKAEAATLPGIRTELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQRMNSSAGNTS >KJB56770 pep chromosome:Graimondii2_0_v6:9:10166148:10176471:1 gene:B456_009G135200 transcript:KJB56770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIELKGKKREKRMAWFSGKVSLGGFPDIAGAVNKFQESVKNIEKNFDNALGFEEKSESNSNEASGLWPSDRKALFDPVMSFMGQKGEENAVESSGKLESSQDPPKAVEKEEAETGSSTYPHEKTSVEDDKAAVKLEKENKHSEAVERADTAISDSGKAESEPEPVSTEPSETTFQNVGSSDSPDTEQQKESFVMVISDDSDSKEAKLDNAEVDQVEDAEPVPAKSSDAVDILESKDEQKTYTEEISDKSSPVKSEESSDRQDYAGACPEESVLSNSHSISVEETNSDQEFPLPNVLPSYEAQGTVSESAFVGNDANTEKGEVNEQANDSETDVKEEMHMSSATIMPASVDSMHELEKVMMEMKMMESALQGAARQAQAKADEIAKLMNENEQLKAVIEDLKRKSNEAEMESLQEEYHQRVSTLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIIKQVMAEGEELSKKQATQESLIRKLRAQIRELEEEKKGLTTKLQVEENKVESIKKDKTATEKLLQETIEKHQVELAAQKDFYTNALNAAREAEALAEARANNEARTELESRLREAEERESMLVQTLEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETTSRRAEAWAAVERSLNSRLQEAESKAAAAEERERSVNERLSQTLSRINVIEAQISCLRAEQTQLSRSLEKERQRAAEQRQEYLAAKEEADTQEVRANQLEEEIRELRRKHKQELQDALVHRELLQQEVEREKAARADLERTVRVQSTELAPIARHNSTLENGSLSRKLSTTSSMESMEESYYLQASLDSSDGFSEKRNIGETALSPLYMKSMTPSAFESALRQKEGELASYMSRLTSLESIRDSLSEELVKMTAQCEKLKAEAATLPGIRTELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQRMNSSAGNTS >KJB56773 pep chromosome:Graimondii2_0_v6:9:10166148:10176471:1 gene:B456_009G135200 transcript:KJB56773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIELKGKKREKRMAWFSGKVSLGGFPDIAGAVNKFQESVKNIEKNFDNALGFEEKSESNSNEASGLWPSDRKALFDPVMSFMGQKGEENAVESSGKLESSQDPPKAVEKEEAETGSSTYPHEKTSVEDDKAAVKLEKENKHSEAVERADTAISDSGKAESEPEPVSTEPSETTFQNVGSSDSPDTEQQKESFVMVISDDSDSKEAKLDNAEVDQVEDAEPVPAKSSDAVDILESKDEQKTYTEEISDKSSPVKSEESSDRQDYAGACPEESVLSNSHSISVEETNSDQEFPLPNVLPSYEAQGTVSESAFVGNDANTEKGEVNEQANDSETDVKEEMHMSSATIMPASVDSMHELEKVMMEMKMMESALQGAARQAQAKADEIAKLMNENEQLKAVIEDLKRKSNEAEMESLQEEYHQRVSTLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIIKQVMAEGEELSKKQATQESLIRKLRAQIRELEEEKKGLTTKLQVEENKVESIKKDKTATEKLLQETIEKHQVELAAQKDFYTNALNAAREAEALAEARANNEARTELESRLREAEERESMLVQTLEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETTSRRAEAWAAVERSLNSRLQEAESKAAAAEERERSVNERLSQTLSRINVIEAQISCLRAEQTQLSRSLEKERQRAAEQRQEYLAAKEEADTQEVRANQLEEEIRELRRKHKQELQDALVHRELLQQEVEREKAARADLERTVRVQSTELAPIARHNSTLENGSLSRKLSTTSSMESMEESYYLQASLDSSDGFSEKRNIGETALSPLYMKSMTPSAFESALRQKEGELASYMSRLTSLESIRDSLSEELVKMTAQCEKLKAEAATLPGIRTELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKLPGCG >KJB56772 pep chromosome:Graimondii2_0_v6:9:10166148:10176471:1 gene:B456_009G135200 transcript:KJB56772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIELKGKKREKRMAWFSGKVSLGGFPDIAGAVNKFQESVKNIEKNFDNALGFEEKSESNSNEASGLWPSDRKALFDPVMSFMGQKGEENAVESSGKLESSQDPPKAVEKEEAETGSSTYPHEKTSVEDDKAAVKLEKENKHSEAVERADTAISDSGKAESEPEPVSTEPSETTFQNVGSSDSPDTEQQKESFVMVISDDSDSKEAKLDNAEVDQVEDAEPVPAKSSDAVDILESKDEQKTYTEEISDKSSPVKSEESSDRQDYAGACPEESVLSNSHSISVEETNSDQEFPLPNVLPSYEAQGTVSESAFVGNDANTEKGEVNEQANDSETDVKEEMHMSSATIMPASVDSMHELEKVMMEMKMMESALQGAARQAQAKADEIAKLMNENEQLKAVIEDLKRKSNEAEMESLQEEYHQRVSTLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIIKQVMAEGEELSKKQATQESLIRKLRAQIRELEEEKKGLTTKLQVEENKVESIKKDKTATEKLLQETIEKHQVELAAQKDFYTNALNAAREAEALAEARANNEARTELESRLREAEERESMLVQTLEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETTSRRAEAWAAVERSLNSRLQEAESKAAAAEERERSVNERLSQTLSRINVIEAQISCLRAEQTQLSRSLEKERQRAAEQRQEYLAAKEEADTQEVRANQLEEEIRELRRKHKQELQDALVHRELLQQEVEREKAARADLERTVRVQSTELAPIARHNSTLENGSLSRKLSTTSSMESMEESYYLQASLDSSDGFSEKRNIGETALSPLYMKSMTPSAFESALRQKEGELASYMSRLTSLESIRDSLSEELVKMTAQVGFLICCLVKCERV >KJB56771 pep chromosome:Graimondii2_0_v6:9:10166607:10175337:1 gene:B456_009G135200 transcript:KJB56771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIELKGKKREKRMAWFSGKVSLGGFPDIAGAVNKFQESVKNIEKNFDNALGFEEKSESNSNEASGLWPSDRKALFDPVMSFMGQKGEENAVESSGKLESSQDPPKAVEKEEAETGSSTYPHEKTSVEDDKAAVKLEKENKHSEAVERADTAISDSGKAESEPEPVSTEPSETTFQNVGSSDSPDTEQQKESFVMVISDDSDSKEAKLDNAEVDQVEDAEPVPAKSSDAVDILESKDEQKTYTEEISDKSSPVKSEESSDRQDYAGACPEESVLSNSHSISVEETNSDQEFPLPNVLPSYEAQGTVSESAFVGNDANTEKGEVNEQANDSETDVKEEMHMSSATIMPASVDSMHELEKVMMEMKMMESALQGAARQAQAKADEIAKLMNENEQLKAVIEDLKRKSNEAEMESLQEEYHQRVSTLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIIKQVMAEGEELSKKQATQESLIRKLRAQIRELEEEKKGLTTKLQVEENKVESIKKDKTATEKLLQETIEKHQVELAAQKDFYTNALNAAREAEALAEARANNEARTELESRLREAEERESMLVQTLEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETTSRRAEAWAAVERSLNSRLQEAESKAAAAEERERSVNERLSQTLSRINVIEAQISCLRAEQTQLSRSLEKERQRAAEQRQEYLAAKEEADTQEVRANQLEEEIRELRRKHKQELQDALVHRELLQQEVEREKAARADLERTVRVQSTELAPIARHNSTLENGSLSRKLSTTSSMESMEESYYLQASLDSSDGFSEKRNIGETALSPLYMKSMTPSAFESALRQKEGELASYMSRLTSLESIRDSLSEELVKMTAQVGFLICCLVKCERGFTRRYNILCFHQFAEFNLIFICCSVKS >KJB58967 pep chromosome:Graimondii2_0_v6:9:18394910:18396944:1 gene:B456_009G233000 transcript:KJB58967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVYPPDRFTYGFKDIFPVAGGGGGAWGYDFCFQDEDKVLPVILETNLQQQGSHANWDSSSTSVMQQQHAKELWDPYSSSPETCTVDQSLPGPAAGFQAPIEPPPAYTATNGGGRRKRRRTRSSKNKEELENQRMTHIAVERNRRKQMNEYLAAIRSLMPASYVQRGDQASIIGGAINFVKELEQLLQTMEAHKWTTQHPEHNGDPSPFAEFFAFPQFSTRATSHCNNSPSSMAADQPMAAESVADIEVTMVETHANLKILSKKRPRQLLKLVAGLQSLSLTILHLNVSTVDEMALYSISVKVEEGCHLNTVDEIAAAVNQMLRSIQEEAAFS >KJB55990 pep chromosome:Graimondii2_0_v6:9:7418376:7419454:-1 gene:B456_009G102900 transcript:KJB55990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KJB55865 pep chromosome:Graimondii2_0_v6:9:7137520:7139837:1 gene:B456_009G098500 transcript:KJB55865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAKSVKLNSQQHQQHENGHFSPFKFAKLLDPEASWDKDQLGDVLHWIRQVVALFFGLLWGAIPVVGGIWIFIFLAISTGIIYGYYAMILKIDEEEFGGHAALLQEGLFASMTLFLLAWILVYSLAHF >KJB57620 pep chromosome:Graimondii2_0_v6:9:13304415:13307391:-1 gene:B456_009G172300 transcript:KJB57620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELLNQKSSIQGKFPSGYLNSIFDLSGNWLHDATDTKTLAFDGYFISLYYLHLTAFPLVLNDRVKKSVPPHWDPAALSRFIQTYGTHIIVGMAIGGQDLICVRQNSSSTIPTSELRGYLEDLGDVMFSDGKALH >KJB60589 pep chromosome:Graimondii2_0_v6:9:37011188:37014297:1 gene:B456_009G337500 transcript:KJB60589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPVKLPYCTSSSLERLSPLFPPSDLMWRLLKYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB60588 pep chromosome:Graimondii2_0_v6:9:37011462:37014174:1 gene:B456_009G337500 transcript:KJB60588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPVKLPYCTSSSLERLSPLFPPSDLMWRLLKYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB58100 pep chromosome:Graimondii2_0_v6:9:14962032:14963482:-1 gene:B456_009G194600 transcript:KJB58100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKTRSQVSSERQKWDQIFEGLVGMLKTQQQQLETLIKERKILGDRINMQYERWTSDVRLYEDHISQMRTDLESKEMTRLLEAAKADMMVGLKQREAFLCKLKLEETSDELTDFRIWFDILCKNSNDVSLRDPKGTKKGMLGDEDIGSKSVTLKTLEGNLRRLKLKYENLASEKSCQIAALMAENKFAWNQFNIMETQFTDKLNSKNFELDKANRKIEALISNMEELRSSNAEKDEMIQILKSELSQKEADASRFHEVSKMSRQVEFLRKPRSSSHTPVIKHCTAREGTVLGDENGGGNKCSVNMKKGSSAPHVHDSLKDNGRVIFSLSSLKLDSYVFEMINN >KJB58099 pep chromosome:Graimondii2_0_v6:9:14961442:14963554:-1 gene:B456_009G194600 transcript:KJB58099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKTRSQVSSERQKWDQIFEGLVGMLKTQQQQLETLIKERKILGDRINMQYERWTSDVRLYEDHISQMRTDLESKEMTRLLEAAKADMMVGLKQREAFLCKLKLEETSDELTDFRIWFDILCKNSNDVSLRDPKGTKKGMLGDEDIGSKSVTLKTLEGNLRRLKLKYENLASEKSCQIAALMAENKFAWNQFNIMETQFTDKLNSKNFELDKANRKIEALISNMEELRSSNAEKDEMIQILKSELSQKEADASRFHEVSKMSRQVEFLRKPRSSSHTPVIKHCTAREGTVLGDENGGGNKCSVNMKKGSSAPHVHDSLKDNGRGSRSSKRKKDDEIRISETPNLFTSTFKVPRLRDSSPKSR >KJB62573 pep chromosome:Graimondii2_0_v6:9:66182603:66186933:-1 gene:B456_009G423700 transcript:KJB62573 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3G53570 protein [Source:Projected from Arabidopsis thaliana (AT3G53570) UniProtKB/TrEMBL;Acc:B9DH08] METQRIIEFPHNNMDKRPRKRPRLTWDMPPPLPPPKVLPTIHCSQEFGNGGISNFSYPNNMFHRGIPYNGSPPWRPDDKDGHYVFAVGESLTPQYKILNKMGEGTFGQVLECFDNERQEVVAIKIVRSIHKYREAAMIEIDVLQRLARHDMSGARCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRSFPIDLVRELGRQILESVAFMHDLRLIHTDLKPENILLVSSEYIQVPDYKFLSRSTKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMVLRADRRAEKYFRRGTRLDWPDGATSRESLKAVGKLPRLPNLIMQHVDHSAGDLIDLLQGLLQYDPVDRLKAREALRHPFFTRDHRRFSYPL >KJB62574 pep chromosome:Graimondii2_0_v6:9:66182646:66186888:-1 gene:B456_009G423700 transcript:KJB62574 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3G53570 protein [Source:Projected from Arabidopsis thaliana (AT3G53570) UniProtKB/TrEMBL;Acc:B9DH08] METQRIIEFPHNNMDKRPRKRPRLTWDMPPPLPPPKVLPTIHCSQEFGNGGISNFSYPNNMFHRGIPYNGSPPWRPDDKDGHYVFAVGESLTPQYKILNKMGEGTFGQVLECFDNERQEVVAIKIVRSIHKYREAAMIEIDVLQRLARHDMSGARCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRSFPIDLVRELGRQILESVAFMHDLRLIHTDLKPENILLVSSEYIQFLSRSTKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMVLRADRRAEKYFRRGTRLDWPDGATSRESLKAVGKLPRLPNLIMQHVDHSAGDLIDLLQGLLQYDPVDRLKAREALRHPFFTRDHRRFSYPL >KJB62575 pep chromosome:Graimondii2_0_v6:9:66183152:66185901:-1 gene:B456_009G423700 transcript:KJB62575 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3G53570 protein [Source:Projected from Arabidopsis thaliana (AT3G53570) UniProtKB/TrEMBL;Acc:B9DH08] MNYLGMKLYNQQAKHLDYYCIISSCMSYSNSLNFFFFLFADKILNKMGEGTFGQVLECFDNERQEVVAIKIVRSIHKYREAAMIEIDVLQRLARHDMSGARCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRSFPIDLVRELGRQILESVAFMHDLRLIHTDLKPENILLVSSEYIQVPDYKFLSRSTKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMVLRADRRAEKYFRRGTRLDWPDGATSRESLKAVGKLPRLPNLIMQHVDHSAGDLIDLLQGLLQYDPVDRLKAREALRHPFFTRDHRRFSYPL >KJB62572 pep chromosome:Graimondii2_0_v6:9:66182378:66186889:-1 gene:B456_009G423700 transcript:KJB62572 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3G53570 protein [Source:Projected from Arabidopsis thaliana (AT3G53570) UniProtKB/TrEMBL;Acc:B9DH08] METQRIIEFPHNNMDKRPRKRPRLTWDMPPPLPPPKVLPTIHCSQEFGNGGISNFSYPNNMFHRGIPYNGSPPWRPDDKDGHYVFAVGESLTPQYKILNKMGEGTFGQVLECFDNERQEVVAIKIVRSIHKYREAAMIEIDVLQRLARHDMSGARCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRSFPIDLVRELGRQILESVAFMHDLRLIHTDLKPENILLVSSEYIQVPDYKFLSRSTKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMVLRADRRAEKYFRRGTRLDWPDGATSRESLKAVGKLPRLPNLIMQHVDHSAGDLIDLLQGLLQYDPVDRLKAREALRHPFFTRDHRRFSYPL >KJB58709 pep chromosome:Graimondii2_0_v6:9:17312585:17315152:-1 gene:B456_009G222500 transcript:KJB58709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEDADSDKSKQWSSPNRAYKGKEEDPKLWGLFLFGLIGATATTFAVSQLRRSVDWMLAQLARSQSSQRGGSFRTSFQEEAWRRYNRRLQEEYEEEMERVERIRRMQSVFNRERNKYRRSYESWRENGPGAYHQHYQRDDWYWKAEAQFRDQRTNFRQPQRDSASYPLSHHYSVLGLDRSRTQPYTEDEIKTAFRAKAKQFHPDQNQNNKGEM >KJB58711 pep chromosome:Graimondii2_0_v6:9:17312781:17315623:-1 gene:B456_009G222500 transcript:KJB58711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEDADSDKSKQWSSPNRAYKGKEEDPKLWGLFLFGLIGATATTFAVSQLRRSVDWMLAQLARSQSSQRGGSFRTSFQEEAWRRYNRRLQEEYEEEMERVERIRRMQSVFNRERNKYRRSYESWRENGPGAYHQHYQRDDWYWKAEAQFRDQRTNFRQPQRDSASYPLSHHYSVLGLDRSRTQPYTEDEIKVN >KJB58707 pep chromosome:Graimondii2_0_v6:9:17312004:17315623:-1 gene:B456_009G222500 transcript:KJB58707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEDADSDKSKQWSSPNRAYKGKEEDPKLWGLFLFGLIGATATTFAVSQLRRSVDWMLAQLARSQSSQRGGSFRTSFQEEAWRRYNRRLQEEYEEEMERVERIRRMQSVFNRERNKYRRSYESWRENGPGAYHQHYQRDDWYWKAEAQFRDQRTNFRQPQRDSASYPLSHHYSVLGLDRSRTQPYTEDEIKTAFRAKAKQFHPDQNQNNKEAAEAKFKEVMTSYEAIKQERKNMRA >KJB58710 pep chromosome:Graimondii2_0_v6:9:17312259:17315623:-1 gene:B456_009G222500 transcript:KJB58710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEDADSDKSKQWSSPNRAYKGKEEDPKLWGLFLFGLIGATATTFAVSQLRRSVDWMLAQLARSQSSQRGGSFRTSFQEEAWRRYNRRLQEEYEEEMERVERIRRMQSVFNRERNKYRRSYESWRENGPGAYHQHYQRDDWYWKAEAQFRDQRTNFRQPQRDSASYPLSHHYSVLGLDRSRTQPYTEDEIKTAFRAKAKQFHPDQNQNNKEAKFKEVMTSYEAIKQERKNMRA >KJB58708 pep chromosome:Graimondii2_0_v6:9:17312259:17315623:-1 gene:B456_009G222500 transcript:KJB58708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEDADSDKSKQWSSPNRAYKGKEEDPKLWGLFLFGLIGATATTFAVSQLRRSVDWMLAQEEAWRRYNRRLQEEYEEEMERVERIRRMQSVFNRERNKYRRSYESWRENGPGAYHQHYQRDDWYWKAEAQFRDQRTNFRQPQRDSASYPLSHHYSVLGLDRSRTQPYTEDEIKTAFRAKAKQFHPDQNQNNKEAAEAKFKEVMTSYEAIKQERKNMRA >KJB60511 pep chromosome:Graimondii2_0_v6:9:28447454:28450689:-1 gene:B456_009G309300 transcript:KJB60511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRETSLVADPGPTAVATATAKAAKTGSSATALAPGFRFHPTDEELVSYYLKRKVTNKPARFDAIAEVDIYKHEPWNLSDKSKLKTRDQEWYFFSLLDKKYGNGGRMNRATGQGYWKATGKDREVRHNSQLIGMKKTLVFHSGKAPDGLRTNWVMHEYRLIEEELERIGALQGYVLCRVIHKNNIGPPNGNRYAPFIEAEWDDGSAALVPGLDTEDDIAAGNESIARNDVAATENAATESNGVLRISSEQQDIEHPDEDAPPSDDVPRESSNERTDDCTLLPPFKIERSDDCPPLCMPNREAPLSLFGHKRRRHNDFGPNHANVTENSTRMTQDRWSSTTTTTTTTTPPPSSATTMAVSALLEFSLMESMEPKENHHVPPTPKYNATTNLNSMVPPSCMKLINYLQNEIHKTSIERETLKLEMMSAQTMIDILQSKIDFLSKENEDLKKSN >KJB60510 pep chromosome:Graimondii2_0_v6:9:28447227:28450960:-1 gene:B456_009G309300 transcript:KJB60510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRETSLVADPGPTAVATATAKAAKTGSSATALAPGFRFHPTDEELVSYYLKRKVTNKPARFDAIAEVDIYKHEPWNLSDKSKLKTRDQEWYFFSLLDKKYGNGGRMNRATGQGYWKATGKDREVRHNSQLIGMKKTLVFHSGKAPDGLRTNWVMHEYRLIEEELERIGALQGYVLCRVIHKNNIGPPNGNRYAPFIEAEWDDGSAALVPGLDTEDDIAAGNESIARNDVAATENAATESNGVLRISSEQDIEHPDEDAPPSDDVPRESSNERTDDCTLLPPFKIERSDDCPPLCMPNREAPLSLFGHKRRRHNDFGPNHANVTENSTRMTQDRWSSTTTTTTTTTPPPSSATTMAVSALLEFSLMESMEPKENHHVPPTPKYNATTNLNSMVPPSCMKLINYLQNEIHKTSIERETLKLEMMSAQTMIDILQSKIDFLSKENEDLKKSN >KJB60512 pep chromosome:Graimondii2_0_v6:9:28447267:28449911:-1 gene:B456_009G309300 transcript:KJB60512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKFLVGGCFSDKSKLKTRDQEWYFFSLLDKKYGNGGRMNRATGQGYWKATGKDREVRHNSQLIGMKKTLVFHSGKAPDGLRTNWVMHEYRLIEEELERIGALQGYVLCRVIHKNNIGPPNGNRYAPFIEAEWDDGSAALVPGLDTEDDIAAGNESIARNDVAATENAATESNGVLRISSEQDIEHPDEDAPPSDDVPRESSNERTDDCTLLPPFKIERSDDCPPLCMPNREAPLSLFGHKRRRHNDFGPNHANVTENSTRMTQDRWSSTTTTTTTTTPPPSSATTMAVSALLEFSLMESMEPKENHHVPPTPKYNATTNLNSMVPPSCMKLINYLQNEIHKTSIERETLKLEMMSAQTMIDILQSKIDFLSKENEDLKKSN >KJB58382 pep chromosome:Graimondii2_0_v6:9:16099310:16100968:1 gene:B456_009G207500 transcript:KJB58382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRFSSISTKNLSNALKTLTIDKSVVATSDYNEFHKMAKRFLLRNILGSNAQRRHRHHRETMIENISSQFHVLLKEDPLRPVNFREIFESEINGLAKKQALGEDVQSIYVEGLVIDMMAGIIEVDWRDFFPYLKWIPNKAFEMIMNALIEEQIKRIDSGEEVNCYLSYLLSEAKTLTKEQITMLVWETIAESADTTLVTTEWAMYQLAKDPTRQDRLYDEMRKVCGCNKVKEENLSQLRYLDAVFHETLRKHSPTPLVPLRRVHENTQIGGYYIPAGSEIAVNIYGRNMDKNHWDNPEEWNPERFMDEKYDPLDMYKTMAFGAGKRACAGSLQAIFLICVTIGRLVQEFEWRLNDGEEEKVDTVGLTSQKLHPLFAILKPRE >KJB55972 pep chromosome:Graimondii2_0_v6:9:7401556:7403137:1 gene:B456_009G102600 transcript:KJB55972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPSGSKAIKATFGSLVETNVNYPPVMAVIEAVEALSPKQNLELSFTFSKTTRLDHFQLPIDGYTLPPLISAIEVYTASDPLVGVYTLKVDLNGLEVLISAFQQLQGWSREQCIPNDTVWQWLNCMGDNPPRHPIALICFTWFCRYLSGYDLDDPLPDFSQMDDALEAIDLRNNHLDGPIPDVLGKLLNLTLLIGSSNNQSESKRLALIIVLAKTSTQVQVTAAELGGVVYSAMSTDALIRPSLAIEYNNS >KJB56981 pep chromosome:Graimondii2_0_v6:9:10952494:10955071:1 gene:B456_009G144500 transcript:KJB56981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGEEKNNDFYAVLGLNKECTQTELRTAYKKLALRWHPDRCSASANSKFVEEAKKKFQAIQQAYSVLSDANKRFLYDVGVYDSDDDENGMGDFLNEMTTMMSGENGEESFEELQELFEEMFQADIDSLDCSGQSPISCSASSSFASYGDSSGSNNRSSSEMSSGETMPENIDAQPNHIFLGMEHKEDIRQRKRTRGGTIGGAGGSRRRNGQKQKFSSGHDDSSNYHGISAS >KJB56982 pep chromosome:Graimondii2_0_v6:9:10952583:10955071:1 gene:B456_009G144500 transcript:KJB56982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGEEKNNDFYAVLGLNKECTQTELRTAYKKLALRWHPDRCSASANSKFVEEAKKKFQAIQQAYSVLSDANKRFLYDVGVYDSDDDENGMGDFLNEMTTMMSGENGEESFEELQELFEEMFQADIDSLDCSGQSPISCSASSSFASYGDSSGSNNRSSSEMSSGETMPENIDAQPNHIFLGTDGTQGRHQATEKNQRRNHRRSRR >KJB54483 pep chromosome:Graimondii2_0_v6:9:2651218:2651916:-1 gene:B456_009G035600 transcript:KJB54483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSICFSLRVLFDYRKGISKFYGGKSKSFTSLADAAAVSSVKDFARPEYPYIRKRKNLLARSSSLDQKPNNPLGNIGTKISMASPPSNCLPPLHPQCKKSTPIIIIIINCSTKPSLPVLFLV >KJB54482 pep chromosome:Graimondii2_0_v6:9:2651196:2652413:-1 gene:B456_009G035600 transcript:KJB54482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRKGISKFYGGKSKSFTSLADAAAVSSVKDFARPEYPYIRKRKNLLARSSSLDQKPNNPLGNIGTKISMASPPSNCLPPLHPQCKKSTPIIIIIINCSTKPSLPVLFLV >KJB56807 pep chromosome:Graimondii2_0_v6:9:10250406:10255749:1 gene:B456_009G136200 transcript:KJB56807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRRAVESYWRSRMIDGATSDEDKVTPVYKLEEICELLRSSHVSIVKEVSEFILKRLDHKSPIVKQKALRLIKYAVGKSGVEFRREMQRNSVAVRQLIHYKGQLDPLKGDALNKAVRETAREAISAIFAEDNNTSKPSPADDFNKRIEGFGNTNFEMPSNEKKSFLSEVVGIGSASIKQGISSFTQGPSLRKNDNGSYKGPTLRRSLTTEIDHSDKYNPAELRNDSQEFSNNTSSGTWGLDSRVLKTETTNGESSSNYSATKTREERLLETIVTSGGVRLQPTRDAIQAFLVEAAKLDALALSHALESKLLSPLWQVRMKAVCVLESILRRKEDEHFVIVASYFTENKDVVLRCSESPQASLREKANKVLILLNGEQAGDLASNSEKSSKPMTTPVQMPDLIDTGDPDDYDGQNTSIKDSHNQNTSNLTGTPLIDDLLGDGIDAGLSPSKQKYDDDPFAGVSFHSGEGRENVDDLFSGMTIDDKSVGNGNHVAANKKSELIDIFGTNSEAPFEPENKTNSVNDLMAGLSMNENPPNLKQKGMTSEAPENIFANINTHSSQQASNDALSGIFGSQATGMNATPMFPLGTMPYAVPPGIMFNPAFSSQPMNYGAMGSFFAQQQLLATMSNLQHFGNLNAQNAGINHVSSGSNGGSPMPDIFQSNLPTQTASSMMNNSKKEDTRAFDFILDHLAAARDPKRTV >KJB56804 pep chromosome:Graimondii2_0_v6:9:10250314:10255749:1 gene:B456_009G136200 transcript:KJB56804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRRAVESYWRSRMIDGATSDEDKVTPVYKLEEICELLRSSHVSIVKEVSEFILKRLDHKSPIVKQKALRLIKYAVGKSGVEFRREMQRNSVAVRQLIHYKGQLDPLKGDALNKAVRETAREAISAIFAEDNNTSKPSPADDFNKRIEGFGNTNFEMPSNEKKSFLSEVVGIGSASIKQGISSFTQGPSLRKNDNGSYKGPTLRRSLTTEIDHSDKYNPAELRNDSQEFSNNTSSGTWGLDSRVLKTETTNGESSSNYSATKTREERLLETIVTSGGVRLQPTRDAIQAFLVEAAKLDALALSHALESKLLSPLWQVRMKAVCVLESILRRKEDEHFVIVASYFTENKDVVLRCSESPQASLREKANKVLILLNGEQAGDLASNSEKSSKPMTTPVQMPDLIDTGDPDDYDGQNTSIKDSHNQNTSNLTGTPLIDDLLGDGIDAGLSPSKQKYDDDPFAGVSFHSGEGRENVDDLFSGMTIDDKSVGNGNHVAANKKSELIDIFGTNSEAPFEPENKTNSVNDLMAGLSMNENPPNLKQKGMTSEAPENIFANINTHSSQQASNDALSGIFGSQATGMNATPMFPLGTMPYAVPPGIMFNPAFSSQPMNYGAMGSFFAQQQLLATMSNLQHFGNLNAQNAGINHVSSGSNGGSPMPDIFQSNLPTQTASSMMNNSKKEDTRAFDFILDHLAAARDPKRTV >KJB56805 pep chromosome:Graimondii2_0_v6:9:10250296:10255749:1 gene:B456_009G136200 transcript:KJB56805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRRAVESYWRSRMIDGATSDEDKVTPVYKLEEICELLRSSHVSIVKEVSEFILKRLDHKSPIVKQKALRLIKYAVGKSGVEFRREMQRNSVAVRQLIHYKGQLDPLKGDALNKAVRETAREAISAIFAEDNNTSKPSPADDFNKRIEGFGNTNFEMPSNEKKSFLSEVVGIGSASIKQGISSFTQGPSLRKNDNGSYKGPTLRRSLTTEIDHSDKYNPAELRNDSQEFSNNTSSGTWGLDSRVLKTETTNGESSSNYSATKTREERLLETIVTSGGVRLQPTRDAIQAFLVEAAKLDALALSHALESKLLSPLWQVRMKAVCVLESILRRKEDEHFVIVASYFTENKDVVLRCSESPQASLREKANKVLILLNGEQAGDLASNSEKSSKPMTTPVQMPDLIDTGDPDDYDGQNTSIKDSHNQNTSNLTGTPLIDDLLGDGIDAGLSPSKQKYDDDPFAGVSFHSGEGRENVDDLFSGMTIDDKSVGNGNHVAANKKSELIDIFGTNSEAPFEPENKTNSVNDLMAGLSMNENPPNLKQKGMTSEAPENIFANINTHSSQQASNDALSGIFGSQATGMNATPMFPLGTMPYAVPPGIMFNPAFSSQPMNYGAMGSFFAQQQLLATMSNLQHFGNLNAQNAGINHVSSGSNGGSPMPDIFQSNLPTQTASSMMNNSKKEDTRAFDFILDHLAAARDPKRTV >KJB56806 pep chromosome:Graimondii2_0_v6:9:10250296:10255749:1 gene:B456_009G136200 transcript:KJB56806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRRAVESYWRSRMIDGATSDEDKVTPVYKLEEICELLRSSHVSIVKEVSEFILKRLDHKSPIVKQKALRLIKYAVGKSGVEFRREMQRNSVAVRQLIHYKGQLDPLKGDALNKAVRETAREAISAIFAEDNNTSKPSPADDFNKRIEGFGNTNFEMPSNEKKSFLSEVVGIGSASIKQGISSFTQGPSLRKNDNGSYKGPTLRRSLTTEIDHSDKYNPAELRNDSQEFSNNTSSGTWGLDSRVLKTETTNGESSSNYSATKTREERLLETIVTSGGVRLQPTRDAIQAFLVEAAKLDALALSHALESKLLSPLWQVRMKAVCVLESILRRKEDEHFVIVASYFTENKDVVLRCSESPQASLREKANKVLILLNGEQAGDLASNSEKSSKPMTTPVQMPDLIDTGDPDDYDGQNTSIKDSHNQNTSNLTGTPLIDDLLGDGIDAGLSPSKQKYDDDPFAGVSFHSGEGRENVDDLFSGMTIDDKSVGNGNHVAANKKSELIDIFGTNSEAPFEPENKTNSVNDLMAGLSMNENPPNLKQKGMTSEAPENIFANINTHSSQQASNDALSGIFGSQATGMNATPMFPLGTMPYAVPPGIMFNPAFSSQPMNYGAMGSFFAQQQLLATMSNLQHFGNLNAQNAGINHVSSGSNGGSPMPDIFQSNLPTQTASSMMNNSKKEDTRAFDFILDHLAAARDPKRTV >KJB59298 pep chromosome:Graimondii2_0_v6:9:20038883:20042495:1 gene:B456_009G248300 transcript:KJB59298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQKGNRRSSFSSSTTSSLAKRHASSSENVGRVIASLAKKRVPLTNITNQKQSSSSKSSALASSLVQSSNKIQKARKTQSVTNVGLSGHVLPPINERRSSVLPPKVVPSLPTGNEVVLPPPPVQCSMEFSPSKSDGLSSVSLDETMSTCDSFKSPEVEYIDNHDVSAIGSIERKTFSNLCISDHDVVATGSICNRDAVAEKDADDMVIDVDDNFMDPQLCATFACDIYKHLRASEVKKRPSTNFMETIQNDINSSMRSILIDWLVEVAEEYRLVQDTLYLTVSYIDRYLSGNTMNRQRLQLLGVACMMIASKYEEICAPQVEEFCYITDNTYFKDEVLEMESSVLNYLKFEMTAPTAKCFLRRFVRAAQGINEVPSMQLECMANYIAELSLLEYNMLCYPPSLIAASAIFLAKFILLPSKKPWNSTLQHYTLYKPSDLFECVKDLHRLCCNNNNSTLPAIREKYSQHKYKCVAKKYCPPSIPSDFFHN >KJB57380 pep chromosome:Graimondii2_0_v6:9:12251381:12252328:-1 gene:B456_009G160000 transcript:KJB57380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCNESKPTKDDENVKKIRKPKPWKHSEPITRAQLAKIRDEFWDTAPYNGGRKAEIWDALRAAAEAELILAQAIIDSAGVIVQNDDLTICYDERGAKYELPKYVLSEPINLTQDN >KJB57379 pep chromosome:Graimondii2_0_v6:9:12251210:12252551:-1 gene:B456_009G160000 transcript:KJB57379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCNESKPTKDDENVKKIRKPKPWKHSEPITRAQLAKIRDEFWDTAPYNGGRKEIWDALRAAAEAELILAQAIIDSAGVIVQNDDLTICYDERGAKYELPKYVLSEPINLTQDN >KJB60728 pep chromosome:Graimondii2_0_v6:9:31552468:31553818:-1 gene:B456_009G322500 transcript:KJB60728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDLEARRPLVSRQNLINSKQDATQAVASGIFQINTAVSTFQRLVNALGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHSAGISASKKVADAKLAKDFQAVLKEFQKAQRLAAERETSYAPSVPKAVLPSRYLNVGRFIRK >KJB60727 pep chromosome:Graimondii2_0_v6:9:31552211:31553970:-1 gene:B456_009G322500 transcript:KJB60727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDLEARRPLVSRQNLINSKQDATQAVASGIFQINTAVSTFQRLVNALGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHSAGISASKKVADAKLAKDFQAVLKEFQKAQRLAAERETSYAPSVPKAVLPSSYSANEVDVGSDAGAVQQAFLLESRR >KJB60078 pep chromosome:Graimondii2_0_v6:9:24722858:24732364:-1 gene:B456_009G288500 transcript:KJB60078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQLSAFGYAISDNPEEGDLWLINTCTVKNPSQSAMNTLITKCKSAKKPLVVAGCVPQGSRDLKELEGVSIVGVQQIDRVVEVVEETLKGHEVRLLNRKTLPALDLPKVRKNKFVEILPINVGCLGACTYCKTKHARGHLGSYTVDSLVERVKTVIADGVREIWLSSEDTGAYGRDIGVNLPVLLNAIVAELPPDGSTMLRIGMTNPPFILEHLKEIAAVLCHPCVYSFLHVPVQSGSDAVLTAMNREYTVSEFRTVVDTLTELVPGMQIATDIICGFPGETDEDFSQTVNLIKEYKFSQVHISQFYPRPGTPAARMKKVPSTIVKNRSRELTSVFEAFTPYNGMEGKVERIWITEIATDGIHLVGHTKGYVQVLIVAPETILGTSAIVKITSVGRWSVFGEVLETLPQVNVKMAPSKVSNQEKHSPCSNQYDSCACSQEPEPCSCGPDICGGQIKLDKCTVSKNDSPMENRASKNPIHWFVRKRRNHAQKKVENDIALGLENEQDWAPGSWGFVDRALLVGIFVSFLMIVAVIMHLEFRTLLSK >KJB60079 pep chromosome:Graimondii2_0_v6:9:24723293:24732241:-1 gene:B456_009G288500 transcript:KJB60079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIEDLLVGSGGAPPGFRLPLNAVGVNPRKKNKAKPSKVSQMNDPPKIPGTQTIYVKTFGCSHNQSDSEYMAGQLSAFGYAISDNPEEGDLWLINTCTVKNPSQSAMNTLITKCKSAKKPLVVAGCVPQGSRDLKELEGVSIVGVQQIDRVVEVVEETLKGHEVRLLNRKTLPALDLPKVRKNKFVEILPINVGCLGACTYCKTKHARGHLGSYTVDSLVERVKTVIADGVREIWLSSEDTGAYGRDIGVNLPVLLNAIVAELPPDGSTMLRIGMTNPPFILEHLKEIAAVLCHPCVYSFLHVPVQSGSDAVLTAMNREYTVSEFRTVVDTLTELVPGMQIATDIICGFPGETDEDFSQTVNLIKEYKFSQVHISQFYPRPGTPAARMKKVPSTIVKNRSRELTSVFEAFTPYNGMEGKVERIWITEIATDGIHLVGHTKGYVQVLIVAPETILGTSAIVKITSVGRWSVFGEVLETLPQVNVKMAPSKVSNQEKHSPCSNQYDSCACSQEPEPCSCGPDICGGQIKLDKCTVSKNDSPMENRASKNPIHWFVRKRRNHAQKKVENDIALGLENEQDWAPGSWGFVDRALLVGIFVSFLMIVAVIMHLEFRTLLSK >KJB60080 pep chromosome:Graimondii2_0_v6:9:24722858:24732413:-1 gene:B456_009G288500 transcript:KJB60080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIEDLLVGSGGAPPGFRLPLNAVGVNPRKKNKAKPSKVSQMNDPPKIPGTQTIYVKTFGCSHNQSDSEYMAGQLSAFGYAISDNPEEGDLWLINTCTVKNPSQSAMNTLITKCKSAKKPLVVAGCVPQGSRDLKELEGVSIVGVQQIDRVVEVVEETLKGHEVRLLNRKTLPALDLPKVRKNKFVEILPINVGCLGACTYCKTKHARGHLGSYTVDSLVERVKTVIADGVREIWLSSEDTGAYGRDIGVNLPVLLNAIVAELPPDGSTMLRIGMTNPPFILEHLKEIAAVLCHPCVYSFLHVPVQSGSDAVLTAMNREYTVSEFRTVVDTLTELVPGMQIATDIICGFPGTPAARMKKVPSTIVKNRSRELTSVFEAFTPYNGMEGKVERIWITEIATDGIHLVGHTKGYVQVLIVAPETILGTSAIVKITSVGRWSVFGEVLETLPQVNVKMAPSKVSNQEKHSPCSNQYDSCACSQEPEPCSCGPDICGGQIKLDKCTVSKNDSPMENRASKNPIHWFVRKRRNHAQKKVENDIALGLENEQDWAPGSWGFVDRALLVGIFVSFLMIVAVIMHLEFRTLLSK >KJB60096 pep chromosome:Graimondii2_0_v6:9:24877762:24883683:-1 gene:B456_009G289500 transcript:KJB60096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMNGVVVLLLWFLCLLGVCWMTSASVVLIGNNTTLSFDDVEANFAPAIEGTGKCGILYLANPLDACSDLSNKVEKGSNITSPFALVIRGGCSFDDKVRRVQKAGFEAAIVYDNDDGFLVAMAGNAAGIKIHAVFVSKASGEILKKYHVRRERSRSSRVREFHGMSRRLVKAMPSLIFTAVLDDNSTSRTCAVCLEDYTIGEKLRILPCHHKFHAFCIDSWLTTWRTFCPVCKRDARTTVGDLPASESTPLLSTSPSSVSSVLSCARSRIASSSSIQIDTAAWTSPPASHAHSLASVPSIQPSVRSYRHSPSMSYSRSSVDLRNVSSHLASPASLNYPSISPLNARYMSQYFPSPSNASPSLVRSSSRQLYPLHCSKSAASFSPFASAQSLPEC >KJB60097 pep chromosome:Graimondii2_0_v6:9:24877762:24883729:-1 gene:B456_009G289500 transcript:KJB60097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMNGVVVLLLWFLCLLGVCWMTSASVVLIGNNTTLSFDDVEANFAPAIEGTGKCGILYLANPLDACSDLSNKVEKGSNITSPFALVIRGGCSFDDKVRRVQKAGFEAAIVYDNDDGFLVAMAGNAAGIKIHAVFVSKASGEILKKYTGSPDIELWLSPTFENSAWSIMAISFISLLAMSAVLATCFFVRRYHVRRERSRSSRVREFHGMSRRLVKAMPSLIFTAVLDDNSTSRTCAVCLEDYTIGEKLRILPCHHKFHAFCIDSWLTTWRTFCPVCKRDARTTVGDLPASESTPLLSTSPSSVSSVLSCARSRIASSSSIQIDTAAWTSPPASHAHSLASVPSIQPSVRSYRHSPSMSYSRSSVDLRNVSSHLASPASLNYPSISPLNARYMSQYFPSPSNASPSLVRSSSRQLYPLHCSKSAASFSPFASAQSLPEC >KJB61781 pep chromosome:Graimondii2_0_v6:9:51637604:51639097:-1 gene:B456_009G380400 transcript:KJB61781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVKPVVGIANCLGTPVCKYLQYHRKLNDYVRNFKRMRDELNCKMEEIELQLKAELLRPLGKIPKKGVENWLKAVKQMIREAHVVENKVSNGRYLCRACNGKLVDEKTREMKEFLDKAPNASEGLAMDGPSAGLPLPTSELVGEEAVRNEIWACLMQEEVSKIGVWGMGGVGKTTIMKHIHNDLLKQERFERVIWVTISKEFNVMKVQDNIASALESKEYLDKEEDKLVRAAILSEMLKNAGKHVLILDDVWDEVSLEEVGIPEPSGSNGCKLVLTTRSEHVCKYMGCKVIKVKLLSEEEAFI >KJB61782 pep chromosome:Graimondii2_0_v6:9:51637604:51639144:-1 gene:B456_009G380400 transcript:KJB61782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVKPVVGIANCLGTPVCKYLQYHRKLNDYVRNFKRMRDELNCKMEEIELQLKAELLRPLGKIPKKGVENWLKAVKQMIREAHVVENKVSNGRYLCRACNGKLVDEKTREMKEFLDKAPNASEGLAMDGPSAGLPLPTSELVGEEAVRNEIWACLMQEEVSKIGVWGMGGVGKTTIMKHIHNDLLKQERFERVIWVTISKEFNVMKVQDNIASALESKEYLDKEEDKLVRAAILSEMLKNAGKHVLILDDVWDEVSLEEVGIPEPSGSNGCKLVLTTRSEHVCKYMGCKVIKVKLLSEEEAFI >KJB55259 pep chromosome:Graimondii2_0_v6:9:4931327:4935241:-1 gene:B456_009G069600 transcript:KJB55259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEMNLNAPHSMGTTIIGVTYNGGVVLGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADSQIVSDYVRYFLHQHTIQLGQSATSKVAANLIRLLSYNNKNMLETGLIVGGWDKYEGGKIYAIPLGGTLIEQPFAIGGSGSSYLYGFFDQAWKEGMTKDEAEQLVVKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDKLQLWHEELEPQNSLLDLLNSSSPEPMNI >KJB55260 pep chromosome:Graimondii2_0_v6:9:4931381:4935238:-1 gene:B456_009G069600 transcript:KJB55260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEMNLNAPHSMGTTIIGVTYNGGVVLGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADSQIVSDYVRYFLHQHTIQLGQSATSKVAANLIRLLSYNNKNMLETGLIVGGWDKYEGGKIYAIPLGGTLIEQPFAIGGSGSSYLYGFFDQAWKEGMTKDEAEQLVVKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDKLQLWHEELEPQNSLLDLLNSSSPEPMNI >KJB55029 pep chromosome:Graimondii2_0_v6:9:4222401:4225288:1 gene:B456_009G058800 transcript:KJB55029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAENGLKGDPRLQAISDAIRVVPHFPKPGIMFQDITTLLLDHKAFKDTVDIFVDRYRDMDISVVAGVEARGFMFGPSIALAIGAKFVPLRKPRKLPGEVIAEAYDLEYGSDCLEMHVGAVQPGERVIVIDDLVATGGTLSAAIRLLERVGAQVVECACVIGLREVKGQRRLNGKPLYILVEPREIDGCY >KJB55031 pep chromosome:Graimondii2_0_v6:9:4223411:4225288:1 gene:B456_009G058800 transcript:KJB55031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAGVGYECAWYCYQLLFCNPEVNIANLPAGVEARGFMFGPSIALAIGAKFVPLRKPRKLPGEVIAEAYDLEYGSDCLEMHVGAVQPGERVIVIDDLVATGGTLSAAIRLLERVGAQVVECACVIGLREVKT >KJB55028 pep chromosome:Graimondii2_0_v6:9:4222401:4224620:1 gene:B456_009G058800 transcript:KJB55028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAENGLKGDPRLQAISDAIRVVPHFPKPGIMFQDITTLLLDHKAFKDTVDIFVDRYRDMDISVVAGVEARGFMFGPSIALAIGAKFVPLRKPRKLPGEVIAEAYDLEYGSDCLEMHVGAVQPGERVIVIDDLVATGGTLSAAIRLLERVGAQVVECACVIGLREVKVKSFFPFGKIVMN >KJB55030 pep chromosome:Graimondii2_0_v6:9:4222401:4225679:1 gene:B456_009G058800 transcript:KJB55030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAENGLKGDPRLQAISDAIRVVPHFPKPGIMFQDITTLLLDHKAFKDTVDIFVDRYRDMDISVVAGVEARGFMFGPSIALAIGAKFVPLRKPRKLPGEVIAEAYDLEYGSDCLEMHVGAVQPGERVIVIDDLVATGGTLSAAIRLLERVGAQVVECACVIGLREVKGQRRLNGKPLYILVEPREIDGCY >KJB55444 pep chromosome:Graimondii2_0_v6:9:5525189:5527229:-1 gene:B456_009G076600 transcript:KJB55444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGKKGGFRACCFVFALGALENIGFVANMVSMVIYFHYLLKFDIPTSSNTLTNFLGSVCLLSLLGGFISDTYLNRLYTILIFGSLEVIGLSMVTIQAYSKDLRPDITCEKSCIKGGIALMFYGSLSILAIGTGGVKGALPALGADQFDSKDPKGAKQLGSYFNWYMLSTTFGAMIGVTFVVWVSINKDWYWGFFMGTMAAIVGFIAIALGKPFYHYPPLRSSPLLRIAQIIVVAFKNRRLTLPENPDELFEINDIDKYQYDEKLPHTNQFRLLDKAAIVPLETFPQPWKVCTVTQVEEVKVLTRMLPILASTIIMNTCLAQLQTFSVLQGAFMDQHILGKKFPPASIPIFPLVFMTFLIPIYEFVVVPFARKITGHPSGITQLQRVGVGLILSIISMGVAGIIEVKRRDQSIKDPFNPISLFWLSFQYGIFGLAGMFTIVGLMEFFYKEAPSGMKSLATSFAWLSLSFGYFLSSAFVDIMNAVTKKIAPSKKGWIEGDNLDESNLNLFYWFLAVLSTLNFVVYLLCASWYKYKEDTAESETESRNDQ >KJB60211 pep chromosome:Graimondii2_0_v6:9:46779223:46779611:1 gene:B456_009G356700 transcript:KJB60211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKENDQIIKENNCETKMGLPCVLEAFTSIFETGSISNKCCGELVGLGKVCHSALVKRTLENPLFKDLSPATIIVKSIQTWNNCLALIDSPSPSA >KJB62344 pep chromosome:Graimondii2_0_v6:9:62930325:62931785:1 gene:B456_009G412800 transcript:KJB62344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGQSSGSYDLSFKILLIGDSGVGKSSLLVSFISTSAEDLAPTIGVDFKIKLLTVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLSDVWAKEVELYSTNQDCVKMLVGNKVDRVSLVSFKSFRTKI >KJB62345 pep chromosome:Graimondii2_0_v6:9:62930260:62932933:1 gene:B456_009G412800 transcript:KJB62345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGQSSGSYDLSFKILLIGDSGVGKSSLLVSFISTSAEDLAPTIGVDFKIKLLTVGGKRLKLTIWDTVYDVTRRETFTNLSDVWAKEVELYSTNQDCVKMLVGNKVDRDSERAVTREEGVALAKELGSMFLECSAKTRENVEQCFEELALKIMEIPSLLEEGSSVGKRNMLKQKPEYQASPGGGCCS >KJB62343 pep chromosome:Graimondii2_0_v6:9:62930001:62932978:1 gene:B456_009G412800 transcript:KJB62343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGQSSGSYDLSFKILLIGDSGVGKSSLLVSFISTSAEDLAPTIGVDFKIKLLTVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLSDVWAKEVELYSTNQDCVKMLVGNKVDRDSERAVTREEGVALAKELGSMFLECSAKTRENVEQCFEELALKIMEIPSLLEEGSSVGKRNMLKQKPEYQASPGGGCCS >KJB57201 pep chromosome:Graimondii2_0_v6:9:11637957:11641619:-1 gene:B456_009G152800 transcript:KJB57201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYERMDKPQSGISPSKLRMKLMGPHHHRKKDGSNSNSSRTSPSRIEDAEFVNSLLTSNNEDFDEEVPSLDVAPVKISNEMVSGSTLNDQISGQAKEMVLQESIEMSRAKSQQFPQSDNGNSSAVHPMRTLEDENLDYDSNASSSSFEFHKGERGAVHNCLTRSYSRPVSSKWNDAEKWIMNRQNVQATYAKKNAFHNQVSRYPISHMVRVAPESANYDQRTTVNGVSDTKRVDFYQHAVQMPFEKFSFVPSGAHHFSAESYGGNLLFDQCPQSKDLREVAERDLCCTKSSEEDTTVFPAVRSVCMRDMGTEMTPVASQEPSRTATPVGATTPLRSPTSSIPSTPRGGALSMPLDYNIDDESQHCPESGKKELSEREAKLKTRKEIVALGVQLGKMNIAAWASKDEKEANASSGDTTRMEELERIDYEKRAAAWQEAEKSKHTARYKREEIKIQAWESQQRAKLEAEMRRIEAKVEQMRGQAQAKMVKKIAMSRQRSEEKRAAAEARKNRDAERTSAKAEYIRQTGRMPSSPYMCCGWPS >KJB55888 pep chromosome:Graimondii2_0_v6:9:7529500:7531012:-1 gene:B456_009G104100 transcript:KJB55888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCPEKTKKKKTQFPPRRGRVKAQIFESIAKTVVSAASKTKEEMGKNKSEGYDGKSCSSSSTTSTPPQSGYTSEGNGHISWKTTISVKGQINHHPILWFLSQSS >KJB55889 pep chromosome:Graimondii2_0_v6:9:7530032:7530675:-1 gene:B456_009G104100 transcript:KJB55889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCPEKTKKKKTQFPPRRGRVKAQIFESIAKTVVSAASKTKEEMGKNKSEGYDGKSCSSSSTTSTPPQSGYTSEGNGHISWKTTISVKGQINHHPILWFLSQSS >KJB60024 pep chromosome:Graimondii2_0_v6:9:24336370:24340592:-1 gene:B456_009G286200 transcript:KJB60024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAQELDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KJB60025 pep chromosome:Graimondii2_0_v6:9:24338184:24340633:-1 gene:B456_009G286200 transcript:KJB60025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAQELDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KJB60026 pep chromosome:Graimondii2_0_v6:9:24338295:24340592:-1 gene:B456_009G286200 transcript:KJB60026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAQELDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRLILCFCS >KJB53896 pep chromosome:Graimondii2_0_v6:9:829931:830523:-1 gene:B456_009G010300 transcript:KJB53896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGDWNCRSCQHLNFQRRDSCQRCGEPRPGGGDRGGDYGSFGGRGGSSFGFTGPDVRPGDWYCTVGNCGAHNFASRSSCFKCGAAKDESSGGFESDIPRMRGYGFSTGSSSRSNWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSTHKSSY >KJB53895 pep chromosome:Graimondii2_0_v6:9:829559:831005:-1 gene:B456_009G010300 transcript:KJB53895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGDWNCRSCQHLNFQRRDSCQRCGEPRPGGGDRGGDYGSFGGRGGSSFGFTGPDVRPGDWYCTVGNCGAHNFASRSSCFKCGAAKDESSGGFESDIPRMRGYGFSTGSSSRSNWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSTHKSSY >KJB59196 pep chromosome:Graimondii2_0_v6:9:19558475:19564471:1 gene:B456_009G243900 transcript:KJB59196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive exonuclease DIS3L2 [Source:Projected from Arabidopsis thaliana (AT1G77680) UniProtKB/Swiss-Prot;Acc:Q0WPN0] MRRGSGVVESSVIVERVDDVDKEKKKKRRSNRRSKHNSAFNSVNEARGETSDSLKSDDKTKNFVSSMGYSSSKQGLEMTSNEQTTSNVGFSSMPTMHINERVGSACDDTAVDVGGSIYSNSCPESIAYPGSSKLCTDGFLPFHQFEGSFRKKLFAPYWPMEAVNEALEKGEAFKALFRVNAHNRLEAYCKIDGVPTDVLVNGMYSQNRAVEGDIVVIKVDPLGLWTKMKGSNGSSNNSTVVEDCNLVQEVNGLADNSEKGKGKVDANCEHSDGRSGVLPDKGFYDATQVTRTAAYNYVNGHHQYGSESLHVGLLPGQNQGMNSVDQLASMTIRYPLKRPTAKVVAIVEKSLRRNAIVGFLNVKPWFSYLELNRKDAKKNSVILDHEYVTLTPTDPRFPKMVVFVRDLPVSIKKRLEDGDITIETELLAAQIEDWSAESSFPCARVSHSFGRGSDLEPQINAILYENAIHCADFSPQVLSCLPSTPWEIPSKEIQTRRDLRDVCVFTIDPPTASDLDDALSVEKLSKDTFRVGVHIADVSYFVLPNTALDVEARYRSTSVYLLQRKIQMLPSLLSEELGSLNPGVDRLSFSMFWELNSMGDVLDRWIGRTVIRSCCKLSYRHAQDFIQGIADVENFSTLEGYPELHGQFEWSDVIRSVKYLHEISKTLKEKRFNGGALQLESAKIVYLFDEDGVPYDSNLIERMDSNFLVEEFMLLANTTAAEIISRAFPDSALLRRHPEPNMRKLKEFEAFCRKHGLALDTSSSGQFHQSLEKLREKLKGDSVFFDILISYASKPMQLASYFCSGNLKDNLNDWGHYGLAVPLYTHCTSPLRRYPDIVVHRTLAAVIEAEELCMKHRRVLKCTDGKVSKHCFTGIYFDKEAADSPQGQEALSNAALKHGIPSPDLLGDVAAYCNERKLASRHAEDACEKLYMWVLLKRREILLSDARVLGLGPRFMSVYIQKLAIERRIYYDEVEGLTVEWLESTSTLVLSLAGHKRLFKRGGPGNYMALGNAAWVVNPYDLSAETGIDDCDATRMANGEVALSDSEPNSKPWVDPGAFPLTVRMLSTIPVALYAIGGDDGPLEIGVRLYMSSYLK >KJB59195 pep chromosome:Graimondii2_0_v6:9:19558412:19564455:1 gene:B456_009G243900 transcript:KJB59195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive exonuclease DIS3L2 [Source:Projected from Arabidopsis thaliana (AT1G77680) UniProtKB/Swiss-Prot;Acc:Q0WPN0] MRRGSGVVESSVIVERVDDVDKEKKKKRRSNRRSKHNSAFNSVNEARGETSDSLKSDDKTKNFVSSMGYSSSKQGLEMTSNEQTTSNVGFSSMPTMHINERVGSACDDTAVDVGGSIYSNSCPESIAYPGSSKLCTDGFLPFHQFEGSFRKKLFAPYWPMEAVNEALEKGEAFKALFRVNAHNRLEAYCKIDGVPTDVLVNGMYSQNRAVEGDIVVIKVDPLGLWTKMKGSNGSSNNSTVVEDCNLVQEVNGLADNSEKGKGKVDANCEHSDGRSGVLPDKGFYDATQVTRTAAYNYVNGHHQYGSESLHVGLLPGQNQGMNSVDQLASMTIRYPLKRPTAKVVAIVEKSLRRNAIVGFLNVKPWFSYLELNRKDAKKNSVILDHEYVTLTPTDPRFPKMVVFVRDLPVSIKKRLEDGDITIETELLAAQIEDWSAESSFPCARVSHSFGRGSDLEPQINAILYENAIHCADFSPQVLSCLPSTPWEIPSKEIQTRRDLRDVCVFTIDPPTASDLDDALSVEKLSKDTFRVGVHIADVSYFVLPNTALDVEARYRSTSVYLLQRKIQMLPSLLSEELGSLNPGVDRLSFSMFWELNSMGDVLDRWIGRTVIRSCCKLSYRHAQDFIQDGVPYDSNLIERMDSNFLVEEFMLLANTTAAEIISRAFPDSALLRRHPEPNMRKLKEFEAFCRKHGLALDTSSSGQFHQSLEKLREKLKGDSVFFDILISYASKPMQLASYFCSGNLKDNLNDWGHYGLAVPLYTHCTSPLRRYPDIVVHRTLAAVIEAEELCMKHRRVLKCTDGKVSKHCFTGIYFDKEAADSPQGQEALSNAALKHGIPSPDLLGDVAAYCNERKLASRHAEDACEKLYMWVLLKRREILLSDARVLGLGPRFMSVYIQKLAIERRIYYDEVEGLTVEWLESTSTLVLSLAGHKRLFKRGGPGNYMALGNAAWVVNPYDLSAETGIDDCDATRMANGEVALSDSEPNSKPWVDPGAFPLTVRMLSTIPVALYAIGGDDGPLEIGVRLYMSSYLK >KJB56746 pep chromosome:Graimondii2_0_v6:9:10122080:10125036:1 gene:B456_009G134400 transcript:KJB56746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSKGWRLILAGIILVGFLAVDSVLVTAEPSSNHEQSGYNEKNYVRKAFKLLEQNNKPRHEHEWPDIRFGWKIVVGSILGFLGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMVTGAAAATVCYNIRQRHPSLELPLIDYDLALLFQPMLVLGISIGVGFNVIFPDWMITILLIIVFLVMSSKSFLKGVQTWKKETIKKKEAGRQLELNTNDNAVEVKTQNEEQVTTNGKQTGSNESKKSKVSFIDNICWKELGLLTAVWVVILALQMAKNYSTTCSVEYWVLNLLQIPVAVGVSSYEAICLYKGRRKIASKGDVAATWRVHKLLIYCGFGVLAGVLGGMLGLGGGFILGPLLLEMGIPPQVSSATTTFAMFFSASMSVIEYYLLKRFPVPYAVYLAVVATIAAFAGQHVVGKVIKILGRASIIIFILSGMIFGSAISLGGIGIAKMIKRIERKEYMGLDDICSYQP >KJB62855 pep chromosome:Graimondii2_0_v6:9:69199112:69211878:-1 gene:B456_009G440600 transcript:KJB62855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DKFLKLDAAAHEALQIFQVDKHPSHMGIGRAKEGFSVFGIMNKCVTPMGRRLLRNWFLRPILDLENLNNRLNVVSLYSSIISRNYNLNLLLSKLIAFNRIKISFFLSSEELMVSLCETLKSMKDVPHLLKSVCSLLHVNKIFEVGISENLREHMECLNVDIVAKASSCLTAELAYVYELVIGVIDVNRRKEKGYETLVKEGFCDELDELRQIYEELPEFLEEVSLLELKQLPHLCKEKFSPCIVISMCQRYLMCFFEEQPDEITQSKIQDLEFSFSDADGETKRFFYRTPKTRELDSLLGDIYHKVLDMERAIIRDLVLRVLTFSTQLHKAVNFVSELDWHVLQEMTVDTFIPNDTKILDEGRIHIITGPNYSGKSIYIKQVALIVFLSHIGSFVPADAATVGLTDRIFCAMGGKLMTAQQSTFMIDLHQVGMMLRQATSRSLCLLDEFGKGTLTEDGIGLLGGTIKHFANLDVPPKVLVCTHLTELFNESCLPKSEKINFYTMSVLRPDENSINVEDIIFLYRLVPGHAALSYGLHCALLAGVPDEVINRATLILDAIENNKNVERLCNEKISAKDQQYKTAVEKMLAYDLLKGDLKAFFQDI >KJB62856 pep chromosome:Graimondii2_0_v6:9:69199112:69211878:-1 gene:B456_009G440600 transcript:KJB62856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DKFLKLDAAAHEALQIFQVDKHPSHMGIGRAKEGFSVFGIMNKCVTPMGRRLLRNWFLRPILDLENLNNRLNVVSLYSSIISRNYNLNLLLSKLIAFNRIKISFFLSSEELMVSLCETLKSMKDVPHLLKSVCSLLHVNKIFEVGISENLREHMECLNVDIVAKASSCLTAELAYVYELVIGVIDVNRRKEKGYETLVKEGFCDELDELRQIYEELPEFLEEVSLLELKQLPHLCKEKFSPCIVISMCQRYLMCFFEEQPDEITQSKIQDLEFSFSDADGETKRFFYRTPKTRELDSLLGDIYHKVLDMERAIIRDLVLRVLTFSTQLHKAVNFVSELDWHVLQEMTVDTFIPNDTKILDEGRIHIITGPNYSGKSIYIKQVALIVFLSHIGSFVPADAATVGLTDRQATSRSLCLLDEFGKGTLTEDGIGLLGGTIKHFANLDVPPKVLVCTHLTELFNESCLPKSEKINFYTMSVLRPDENSINVEDIIFLYRLVPGHAALSYGLHCALLAGVPDEVINRATLILDAIENNKNVERLCNEKISAKDQQYKTAVEKMLAYDLLKGDLKAFFQDI >KJB62857 pep chromosome:Graimondii2_0_v6:9:69202230:69211878:-1 gene:B456_009G440600 transcript:KJB62857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DKFLKLDAAAHEALQIFQVDKHPSHMGIGRAKEGFSVFGIMNKCVTPMGRRLLRNWFLRPILDLENLNNRLNVVSLYSSIISRNYNLNLLLSKLIAFNRIKISFFLSSEELMVSLCETLKSMKDVPHLLKSVCSLLHVNKIFEVGISENLREHMECLNVDIVAKASSCLTAELAYVYELVIGVIDVNRRKEKGYETLVKEGFCDELDELRQIYEELPEFLEEVSLLELKQLPHLCKEKFSPCIVISMCQRYLMCFFEEQPDEITQSKIQDLEFSFSDADGETKRFFYRTPKTRELDSLLGDIYHKVLDMERAIIRDLVLRVLTFSTQLHKAVNFVSELDWHVLQEMTVDTFIPNDTKILDEGRIHIITGPNYSGKSIYIKQVALIVFLSHIGSFVPADAATVGLTDRIFCAMGGKLMTAQQSTFMIDLHQVGMMLRQATSRSLCLLDEFGKGTLTEDGIGLLGGTIKHFANLDVPPKVLVCTHLTELFNESCLPKSEKINFYTMSVLRPDENSINVEDIIFLYRLVPGHAALSYGLHCALLAGVPDEVINRATLILDAIENNKNVERLCNEKISAKDQQYKVLLSRLKKKNCS >KJB62854 pep chromosome:Graimondii2_0_v6:9:69202230:69211878:-1 gene:B456_009G440600 transcript:KJB62854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DKFLKLDAAAHEALQIFQVDKHPSHMGIGRAKEGFSVFGIMNKCVTPMGRRLLRNWFLRPILDLENLNNRLNVVSLYSSIISRNYNLNLLLSKLIAFNRIKISFFLSSEELMVSLCETLKSMKDVPHLLKSVCSLLHVNKIFEVGISENLREHMECLNVDIVAKASSCLTAELAYVYELVIGVIDVNRRKEKGYETLVKEGFCDELDELRQIYEELPEFLEEVSLLELKQLPHLCKEKFSPCIVISMCQRYLMCFFEEQPDEITQSKIQDLEFSFSDADGETKRFFYRTPKTRELDSLLGDIYHKVLDMERAIIRDLVLRVLTFSTQLHKAVNFVSELDWHVLQEMTVDTFIPNDTKILDEGRIHIITGPNYSGKSIYIKQVALIVFLSHIGSFVPADAATVGLTDRQATSRSLCLLDEFGKGTLTEDGIGLLGGTIKHFANLDVPPKVLVCTHLTELFNESCLPKSEKINFYTMSVLRPDENSINVEDIIFLYRLVPGHAALSYGLHCALLAGVPDEVINRATLILDAIENNKNVERLCNEKISAKDQQYKVLLSRLKKKNCS >KJB58435 pep chromosome:Graimondii2_0_v6:9:16256822:16257393:-1 gene:B456_009G209800 transcript:KJB58435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNNLILAAALVLWLLFSYGFAISEGIKISKAENLAESGNYVTKVMTSGHKRSFNRDILEDAPADNVNAAYGTNDVYPTPRGHSPGAGHSTGHAGDDNN >KJB59571 pep chromosome:Graimondii2_0_v6:9:21588215:21590641:-1 gene:B456_009G261500 transcript:KJB59571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWFVGVLFLSTLLLCSADVHHYEFFVRESNFTKQCNTTTLLVVNDSYPGPEIRVHKGDTVFVNVYNQGNYGFTIHWHGVKQPRNPWSDGPEFITQCPIQPGTNFTHEIILSDEIGTLWWNAHSDWTRGSVHGAFIILPAENETYPFPTPDADQTIILQSWYNGDYKQIIDDALAAGVGPREADAYAINGHLGDTYGCPNVDSENIYLLRIINAAMNEELFFTITNHTLIVVAQDASYVRMFTTDYIMISPGQTMDVLVIADQNVGQYYMAIRPFSDSSAAPVDNITTGIFQYRNSEGGLNASLISLPAMNDTDATLNFISQIRYTNVSQNPTINVPADTDINRRVYITIASNTLPCNTSECVSDSRFAASLNNVSFVSPRIDILQAYYGNITGVFTQDFPFNPPIFYDFTGNLTDFNTEAGEGTRAIMVNYGDAVEMVLQTTRLGAGGSHPIHLHGFSFYWVGIGTGNFNNETDPSTYNLVDPPFINTVHSPAAGWVALRFFANNPGVWYMHCHFERHSSWGMSTVLIVRNGTTTETSMRPPPSTMPRCPGT >KJB58724 pep chromosome:Graimondii2_0_v6:9:17375658:17376648:1 gene:B456_009G223100 transcript:KJB58724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDDICNTGLCLGLGIGCLVKQENISQSDHHQQKKKKPFLKHDQLFPSLSLGPSHDVHGHGESIDLHQRQASSLSLSAVSSFSNSSVKRERDFGGEEVELERVCSRKQKQGLAEQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKKCCETLKEENKRLHKELQELKSLKLTASYYMHLPAATLTLCPSCERVDNGGEAPSTSSPFTMGKKSHFFSSYTHPSAAC >KJB62744 pep chromosome:Graimondii2_0_v6:9:68095194:68095527:-1 gene:B456_009G4334002 transcript:KJB62744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKQQKQQRLLVIQSLKEIKKEVMKVLDVLGLQPPCSYIEVLLQLKEKVLTRAGLVEDDVIRLINERVEVRRNKDFLKVIR >KJB58209 pep chromosome:Graimondii2_0_v6:9:15437996:15441157:-1 gene:B456_009G199400 transcript:KJB58209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVEPPNGIHYQGKHYYSMWQTTFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKINNAFENRVDALRTLRELKLLRHLRHGNVIALKDVMMPTHRRSFKDVYLVYELMDTDLHQIIKSSQVLTNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSNAKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIINILGSQKEEDLEFIDNPKARKYIKSLPYSLGSPFSHLYPNAHPLAIDLLQKMLVFDPSKRISVTEALQHPYMAPLYDPNSNPSAQVPLDLDIDEDLGEEMIREKMWKEMLHYHPEAATANGGVCL >KJB58210 pep chromosome:Graimondii2_0_v6:9:15438457:15440440:-1 gene:B456_009G199400 transcript:KJB58210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVEPPNGIHYQGKHYYSMWQTTFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKINNAFENRVDALRTLRELKLLRHLRHGNVIALKDVMMPTHRRSFKDVYLVYELMDTDLHQIIKSSQVLTNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSNAKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIINILGSQKEEDLEFIDNPKARKYIKSLPYSLGSPFSHLYPNAHPLAIDLLQKMLVFDPSKRISVTEALQHPYMAPLYDPNSNPSAQVPLDLDIDEDLGEEMIREKMWKEMLHYHPEAATANGGVCL >KJB57207 pep chromosome:Graimondii2_0_v6:9:11701431:11705186:-1 gene:B456_009G153400 transcript:KJB57207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESEVKKIKKGDKMKWRWVIGAFTTILLAIALASRSTPKIPFKSGQFSKSCHCPQDKHRYSGIVEDCCCDYETVDHLNEEVLHPLLQDLVKTPFFRYFKVKLWCNCPFWPDDGMCRLRDCSVCECPENEFPELFKKPYHHGLPSDDLKCQEGKPQAAVDRTLDSKAFRGWTETDNPWTYDDETDNSEMTYVNLQLNPERYTGYTGPSARRIWDAVYSENCPKYPAEELCQEEKILYKLISGLHSSISIHIASDYLLDEATNLWGHNLDLMYNRVLRYPNRVQNLYFTFLFVLRAVTKAADYLEQAEYDTGNPTEDLKTHSLMRQLLYNPKLQAACPLPFDEAKLWKGQRGPELKQKIQAQFKNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVNGEDHSHQTVRSSYFSNSTSENFCLWNF >KJB57205 pep chromosome:Graimondii2_0_v6:9:11700594:11705570:-1 gene:B456_009G153400 transcript:KJB57205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESEVKKIKKGDKMKWRWVIGAFTTILLAIALASRSTPKIPFKSGQFSKSCHCPQDKHRYSGIVEDCCCDYETVDHLNEEVLHPLLQDLVKTPFFRYFKVKLWCNCPFWPDDGMCRLRDCSVCECPENEFPELFKKPYHHGLPSDDLKCQEGKPQAAVDRTLDSKAFRGWTETDNPWTYDDETDNSEMTYVNLQLNPERYTGYTGPSARRIWDAVYSENCPKYPAEELCQEEKILYKLISGLHSSISIHIASDYLLDEATNLWGHNLDLMYNRVLRYPNRVQNLYFTFLFVLRAVTKAADYLEQAEYDTGNPTEDLKTHSLMRQLLYNPKLQAACPLPFDEAKLWKGQRGPELKQKIQAQFKNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVNGEDHSHQTLELQRNEVIALINLLNRLSESIKFVHEMGAAAEKLNEGTVTSTRLNSLVQQAWASIVKT >KJB57208 pep chromosome:Graimondii2_0_v6:9:11700641:11705515:-1 gene:B456_009G153400 transcript:KJB57208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESEVKKIKKGDKMKWRWVIGAFTTILLAIALASRSTPKIPFKSGQFSKSCHCPQDKHRYSGIVEDCCCDYETVDHLNEEVLHPLLQDLVKTPFFRYFKVKLWCNCPFWPDDDDLKCQEGKPQAAVDRTLDSKAFRGWTETDNPWTYDDETDNSEMTYVNLQLNPERYTGYTGPSARRIWDAVYSENCPKYPAEELCQEEKILYKLISGLHSSISIHIASDYLLDEATNLWGHNLDLMYNRVLRYPNRVQNLYFTFLFVLRAVTKAADYLEQAEYDTGNPTEDLKTHSLMRQLLYNPKLQAACPLPFDEAKLWKGQRGPELKQKIQAQFKNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVNGEDHSHQTLELQRNEVIALINLLNRLSESIKFVHEMGAAAEKLNEGTVTSTRLNSLVQQAWASIVKT >KJB57206 pep chromosome:Graimondii2_0_v6:9:11700641:11705515:-1 gene:B456_009G153400 transcript:KJB57206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESEVKKIKKGDKMKWRWVIGAFTTILLAIALASRSTPKIPFKSGQFSKSCHCPQDKHRYSGIVEDCCCDYETVDHLNEEVLHPLLQDLVKTPFFRYFKVKLWCNCPFWPDDGMCRLRDCSVCECPENEFPELFKKPYHHGLPSDDLKCQEGKPQAAVDRTLDSKAFRGWTETDNPWTYDDETDNSEMTYVNLQLNPERYTGYTGPSARRIWDAVYSENCPKYPAEELCQEEKILYKLISGLHSSISIHIASDYLLDEATNLWGHNLDLMYNRVLRYPNRVQNLYFTFLFVLRAVTKAADYLEQAEYDTGNPTEDLKTHSLMRQLLYNPKLQAACPLPFDEAKLWKGQRGPELKQKIQAQFKNIRFL >KJB61798 pep chromosome:Graimondii2_0_v6:9:51805024:51809269:1 gene:B456_009G381600 transcript:KJB61798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTNISGMVPFLPEIDDNNDEDGRWWKHVLGLEEAKKQVLFSLPMIVTNVVYYSITLVSVMFAGHLGELQLAGATLANSWATVTGFAFMTGLSGALETLCGQGFGAKIYRILGIYLQSSCIISCSFAILISILWFFTEPILIFLQQDAEISKTAALYIKYLIPGLFAYGLVQNILRFLQSQSILMPLVWFSVLPLALHLGIVYALVNWTDLGFKGAPLAASISLWISLVFLSSYVVLAQRFEETWPGLSSESFRLVFANLKLAIPSAAMVCLEYWAFELLVLLAGLMPNSEVTTSLIAMCVNTESIAYMITYGLRAAASTRVSNELGAENPRKAKTAMAVSLKLSILLALTVVVALAFGHNIWAAFFTNTASIINQFASITPFLLISITIDSFQGILSGVARGSGWQVLAVWANLGTFYLIGMPVAGLLAFKFKLYAKGLWIGLICGLSCQATALLLITLCRKWAKIELS >KJB61570 pep chromosome:Graimondii2_0_v6:9:49137659:49141892:-1 gene:B456_009G366800 transcript:KJB61570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIETLGILEEIQALVSDKLQVVSYKWLSRNFLVSSNVAKRLLAEFVEKHGSGLEVVYSLSGWLKNSPSNYHIQLVTGPKLSEAKQVYDGNCRVHVYSVQACIPKDLAALWNTEFIQAEELFKQPTSVDNCLRDNRFCGISNSFVKRNVDGTPAKVATAQPNSVGISGLFIHNSAQFSAPLPSQQNKVQQSSSKVAQKPPIVVKGIKSDSISGGVHDLATKPSADKEKNTSFPSNKKKGQNDKGSTGNGGSLANFWDRASAKPKTCSAPADNIDSIQNSNDAQISACEAVEHENSDIDAQEVNFGRASNSEGNRKRRVVLDLSDEDEYEDAVNLASPDPPKRKSFLGSIQNSKTSVPERPDVDKPDKDEVTVKEERTANREPNRSLGEETSLGSKSTNGKNSSSVKLESQLPETDLKKDKVTDASPNSPKRRKVLKTRIDDRGREVTEVVWEGEETEVKKVESDVPKKAGSGATNATTNTVANTNSRPAVAKRPPAVGTTAPSNPGVKTGNKKAGNAKDPKQGNILSFFKKV >KJB61571 pep chromosome:Graimondii2_0_v6:9:49137750:49141881:-1 gene:B456_009G366800 transcript:KJB61571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIETLGILEEIQALVSDKLQVSYKWLSRNFLVSSNVAKRLLAEFVEKHGSGLEVVYSLSGWLKNSPSNYHIQLVTGPKLSEAKQVYDGNCRVHVYSVQACIPKDLAALWNTEFIQAEELFKQPTSVDNCLRDNRFCGISNSFVKRNVDGTPAKVATAQPNSVGISGLFIHNSAQFSAPLPSQQNKVQQSSSKVAQKPPIVVKGIKSDSISGGVHDLATKPSADKEKNTSFPSNKKKGQNDKGSTGNGGSLANFWDRASAKPKTCSAPADNIDSIQNSNDAQISACEAVEHENSDIDAQEVNFGRASNSEGNRKRRVVLDLSDEDEYEDAVNLASPDPPKRKSFLGSIQNSKTSVPERPDVDKPDKDEVTVKEERTANREPNRSLGEETSLGSKSTNGKNSSSVKLESQLPETDLKKDKVTDASPNSPKRRKVLKTRIDDRGREVTEVVWEGEETEVKKVESDVPKKAGSGATNATTNTVANTNSRPAVAKRPPAVGTTAPSNPGVKTGNKKAGNAKDPKQGNILSFFKKV >KJB62664 pep chromosome:Graimondii2_0_v6:9:67280562:67282405:-1 gene:B456_009G428800 transcript:KJB62664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFLKFVSRCVKNPEVSTTEEVVVTAPGREETRSFMAPKMVALKKKKKRVKVGTPFSTTPDWKPSLYVISEDNVLAEKREKITPEASTTPADRAVKRKSGVGSRSKVHVRSYSDDIGRNQEPVVIPTFSPTPFMF >KJB59769 pep chromosome:Graimondii2_0_v6:9:22630043:22631546:1 gene:B456_009G270700 transcript:KJB59769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSLNGAVGLIFVLEHLLSSSVQSFFLSSSVSLSPSVSVTLSSLVSIYNSLFLCICLFSYSYISFFSQLMIGKFF >KJB59909 pep chromosome:Graimondii2_0_v6:9:23705893:23709223:-1 gene:B456_009G280300 transcript:KJB59909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAQTETPPSLSEQYSLKEKAEKSDVATKVADAEEVENSASTSSKEVVTEEVETPAPLVESTEVPPAVAEENTEANPVAENSVEDAQVAAEESNERTKESSGDAEETPEIKVAFSTFIKNLINVAC >KJB59910 pep chromosome:Graimondii2_0_v6:9:23707055:23709223:-1 gene:B456_009G280300 transcript:KJB59910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAQTETPPSLSEQYSLKEKAEKSDVATKVADAEEVENSASTSSKEVVTEEVETPAPLVESTEVPPAVAEENTEANPVAENSVEDAQVAAEESNERTKESSGDAEETPEIKLETAPADFRFPTTNQTRHCFTRYIEYHR >KJB59908 pep chromosome:Graimondii2_0_v6:9:23705822:23709266:-1 gene:B456_009G280300 transcript:KJB59908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAQTETPPSLSEQYSLKEKAEKSDVATKVADAEEVENSASTSSKEVVTEEVETPAPLVESTEVPPAVAEENTEANPVAENSVEDAQVAAEESNERTKESSGDAEETPEIKLETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEDASECDKFAKYYRALCPGEWIDRWNEQRENGTFPGPL >KJB56741 pep chromosome:Graimondii2_0_v6:9:10078447:10082586:-1 gene:B456_009G133700 transcript:KJB56741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDFLLKPNFYTKCKSAIKMIKLRLETIKKKRNAVEKYLKNDVADLLKNGLDENAYGRASGLLMEQKRTACYDFIEQFCECISKNLSVMQKQSECPEECREAVPSLLYAAARFADLPELRDLRTIFTEKYGNSLDSYLNQEFVQKLKAEPPTKDTKLQLMHDIAQEFSFEWDSKALEQKLFKPPPLEQNNADDDRYNLYRSKNNTFEKSNNEDENGLSNLHRYRRQKGNEADLTSRGRMEDTDDKFKQNSSSEDEVTDQDIPKGSSATDESVSEDGIENRKPFYYRFIPPPYVRPALGKDKSITEDPMAPNDNTENENNNKWNEPVAESKPEPRSVWRRPLRPPPGSKGLSGFGNNVAANMSRTSTQMEGSDMRDEEEKRMDELLVHYSKKKSPFEWVSRWKTAILAPPPGKQESEGTSKAPGLRSTKSNPISLPGRVASFSKETTSSTERAGRHTRAASDGFAGHVHPKLPDYDDLATRLAALRRQ >KJB56742 pep chromosome:Graimondii2_0_v6:9:10078734:10080872:-1 gene:B456_009G133700 transcript:KJB56742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASGLLMEQKRTACYDFIEQFCECISKNLSVMQKQSECPEECREAVPSLLYAAARFADLPELRDLRTIFTEKYGNSLDSYLNQEFVQKLKAEPPTKDTKLQLMHDIAQEFSFEWDSKALEQKLFKPPPLEQNNADDDRYNLYRSKNNTFEKSNNEDENGLSNLHRYRRQKGNEADLTSRGRMEDTDDKFKQNSSSEDEVTDQDIPKGSSATDESVSEDGIENRKPFYYRFIPPPYVRPALGKDKSITEDPMAPNDNTENENNNKWNEPVAESKPEPRSVWRRPLRPPPGSKGLSGFGNNVAANMSRTSTQMEGSDMRDEEEKRMDELLVHYSKKKSPFEWVSRWKTAILAPPPGKQESEGTSKAPGLRSTKSNPISLPGRVASFSKETTSSTERAGRHTRAASDGFAGHVHPKLPDYDDLATRLAALRRQ >KJB58384 pep chromosome:Graimondii2_0_v6:9:16109622:16110628:1 gene:B456_009G207800 transcript:KJB58384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADSGDRRQVAVPGTMASHPPPKLTEPLPCPRCDSTNTKFCYYNNYNLSQPRYFCKASKRSRCSSGSSPSVAVSSSSSVTHEAESAPMVVNPTPLMPGLGIKPEMGLADVNLNETVDLPVNGGFTSFLNSQGEGYLTLGGYGFGAGSGFDGVWAYPGNGYLGGFSGRGGGDGSGGAVGGNNTGCNTWQATSDVEGGGGLSDGECFGWPGLAISAPGKGLK >KJB61651 pep chromosome:Graimondii2_0_v6:9:50463497:50463850:-1 gene:B456_009G372900 transcript:KJB61651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFKLFFTLCLHAFFLIPSSGIRLFPSHPFSISDQTSKDQKLELNIEFKKFSVTTRLSPLAVRANEGAESEQFRSNSKHTLMKEAREAIKASIERNAGNPLESKRLSPGGPDPHHH >KJB63128 pep chromosome:Graimondii2_0_v6:9:70553787:70556293:-1 gene:B456_009G454300 transcript:KJB63128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKIAFKNLHSREYQGHKKKVHSVAWNCTGTKLASGSVDQTARVWHIEPHGHGKAKDIELKGHTDSVDQLCWDPKHADLIATASGDKTVRLWDARSGKCSQQAELSGENINITYKPDGTHVAVGNRDDELTILDVRKFKPIHRRKFSYEVNEIAWNMTGDMFFLTTGNGTVEVLAYPLLRPVDTLMAHTAGCYCIAIDPTGRFGLTLS >KJB63131 pep chromosome:Graimondii2_0_v6:9:70552880:70556440:-1 gene:B456_009G454300 transcript:KJB63131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKIAFKNLHSREYQGHKKKVHSVAWNCTGTKLASGSVDQTARVWHIEPHGHGKAKDIELKGHTDSVDQLCWDPKHADLIATASGDKTVRLWDARSGKCSQQAELSGENINITYKPDGTHVAVGNRDDELTILDVRKFKPIHRRKFSYEVNEIAWNMTGDMFFLTTGNGTVEVLAYPLLRPVDTLMAHTAGCYCIAIDPTGRYFAVGSADSLVSLWDISEMLCVRTFTKLEWPVRTISFNYTGDYIASASEDLFIDISNVHTGRTVHQIPCRAAMNSVEWNPKYNLLAYAGDDKNKYSADEGVFRIFGFESS >KJB63129 pep chromosome:Graimondii2_0_v6:9:70552880:70556363:-1 gene:B456_009G454300 transcript:KJB63129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKIAFKNLHSREYQGHKKKVHSVAWNCTGTKLASGSVDQTARVWHIEPHGHGKAKDIELKGHTDSVDQLCWDPKHADLIATASGDKTVRLWDARSGKCSQQAELSGENINITYKPDGTHVAVGNRVNEIAWNMTGDMFFLTTGNGTVEVLAYPLLRPVDTLMAHTAGCYCIAIDPTGRYFAVGSADSLVSLWDISEMLCVRTFTKLEWPVRTISFNYTGDYIASASEDLFIDISNVHTGRTVHQIPCRAAMNSVEWNPKYNLLAYAGDDKNKYSADEGVFRIFGFESS >KJB63130 pep chromosome:Graimondii2_0_v6:9:70552880:70556363:-1 gene:B456_009G454300 transcript:KJB63130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKIAFKNLHSREYQGHKKKVHSVAWNCTGTKLASGSVDQTARVWHIEPHGHAKDIELKGHTDSVDQLCWDPKHADLIATASGDKTVRLWDARSGKCSQQAELSGENINITYKPDGTHVAVGNRDDELTILDVRKFKPIHRRKFSYEVNEIAWNMTGDMFFLTTGNGTVEVLAYPLLRPVDTLMAHTAGCYCIAIDPTGRYFAVGSADSLVSLWDISEMLCVRTFTKLEWPVRTISFNYTGDYIASASEDLFIDISNVHTGRTVHQIPCRAAMNSVEWNPKYNLLAYAGDDKNKYSADEGVFRIFGFESS >KJB62542 pep chromosome:Graimondii2_0_v6:9:65894930:65895766:-1 gene:B456_009G421800 transcript:KJB62542 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SGR9, amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G02750) UniProtKB/Swiss-Prot;Acc:Q8GXF8] MMMEEETTIMAAISTLGPSQLSDLSYSIFSLSFHHRRRLCHLLSSPCLFFLTLHRLHTLSLPQKTLLIARHLLFSLHHLTRHFQPPPLRLPNPSTAINQRDLDAVVLLLFLCEAYHDNPEALERPHDEWRQVLTSICSNTTLKITGITGIFDGAALIPYIEMVTRCKRLVGIMGCGGKEGKEVAASPAAVVALPAVEVRGGGIECVICKEEMREGRDVCKFPCQHLFHWMCILPWVKKRNTCPCCRFQLPSDDIFGEIQRLWGILVKASGKSVDDEWT >KJB60861 pep chromosome:Graimondii2_0_v6:9:46817359:46817874:1 gene:B456_009G357300 transcript:KJB60861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNVYSALLVLFLTCGAAMATKENDHIIKENNCETKMGLPCVLEAFTSIFETGSISNKSCGELVGLGKVCHSALVKRTLENPQFKYLSPATIIAKSIQTWNNCLALIDSSSPSA >KJB56628 pep chromosome:Graimondii2_0_v6:9:9639789:9641968:-1 gene:B456_009G128100 transcript:KJB56628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEQENNGNGSSSLLVELPLREAAEGFELEKAICSHGLFMLAPNHWDPISRSFSRPLRLTSPPLTVTVRISQPPTSSSSTLYLRVYGASSLSPPHRHSLLNQVSRMLRLSESEENKVREFRSIVEALHGEEEATEYLRSFSGRVFRSPTLFEDMVKCILLCNCQFSRTLSMAKALCELQFEIQHQISSSKAAEDDFIPKTPAGKESKRKLRVSKVSMRLESKFTESKVDNSVSDLQLSQEPLDFVGMGSFPSPEELANLDESFLAKRCNLGYRASRILKLAQGVVQGNIQLTQLEEDCKETSFSSYDKLSQRLRQIDGFGPFTCANVLMCMGFYHVIPADSETIRHLKQVHSKSCTVQTVGRDVELIYAKYAPFQFLAYWAEMWHFYGQRFGKLSELPVSDYKLMTASNMKNKKIATRKRSKTSAEE >KJB56629 pep chromosome:Graimondii2_0_v6:9:9640118:9641880:-1 gene:B456_009G128100 transcript:KJB56629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEQENNGNGSSSLLVELPLREAAEGFELEKAICSHGLFMLAPNHWDPISRSFSRPLRLTSPPLTVTVRISQPPTSSSSTLYLRVYGASSLSPPHRHSLLNQVSRMLRLSESEENKVREFRSIVEALHGEEEATEYLRSFSGRVFRSPTLFEDMVKCILLCNCQAPPTFYRFSRTLSMAKALCELQFEIQHQISSSKAAEDDFIPKTPAGKESKRKLRVSKVSMRLESKFTESKVDNSVSDLQLSQEPLDFVGMGSFPSPEELANLDESFLAKRCNLGYRASRILKLAQGVVQGNIQLTQLEEDCKETSFSSYDKLSQRLRQIDGFGPFTCANVLMCMGFYHVIPADSETIRHLKQVHSKSCTVQTVGRDVELIYAKYAPFQFLAYWAEMWHFYGQRFGKLSELPVSDYKLMTASNMKNKKIATRKRSKTSAEE >KJB60653 pep chromosome:Graimondii2_0_v6:9:30043573:30045260:-1 gene:B456_009G317500 transcript:KJB60653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMDPRLRDAAETGKIDGLLVLIREDPYIFEHIDKIPFTDTPLHIAAKEGQIEFAMEMMNLKPSFARKLNPDGLSPMHLAVANGKTELVLRLLQTDKDLVRVKGTEGKTPFHCVVKMGNSQSQLLVEVLEACPECIEDVTVRNETALHLALIKEQMKAFNILIGWLQRLQMSPDTPRSILAFEKKIVNWKDKDNNTVLHIAAKRGQDEALKLLLDSQLWLEVKAKNSEGLTALQIIEKAERPSMDINMSGKDDDDDDPKIKQYLKKKVNFFRRIKVLADRLKNTMSVEVINTMLVVTALVLTATYQSSLSPPGGVWQDSSEPNTTTIPNITVTNHFLPDNNNNSILNFIYGLESKKPGTTVMNPQWFGLFWFLNFATFVLSIFLTAFLLLRFRLFVILLIPLYLLVISYFCSMTVLSPSTPWSIWNLCLLIIFEILPLFTLLVLLYVIRKSVMQMKAEIQYRMQMQIFIHKDTNVGIIRSFARVLAFGVFLLPYILDTIF >KJB60475 pep chromosome:Graimondii2_0_v6:9:28054511:28059797:1 gene:B456_009G307100 transcript:KJB60475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFHFLSSALMFFHCFMVTYTVTTRNLTTDQSVLLEFKHQINDPHGILVDNWTTSHSFCNWTGVTCGAKHKRIKALNLPNMNLIGTIPPELGNLSFLASLNLSGNGFHGDLPGELGQLSRLKLVDLSSNFFTGEIPSSFGRLNQVSDLVLSNNNLTGAIPPEISNLLNMRTLDLASNKLSGSIPSSIYNISSLRMVSLSFNGLSGKMPDDMCHHLPNLEALYLGTNELSGQIPTTINECKNLQVLALDYNQLSGSIPRSIGNLTALTELYLGGNNLEGKLPDIRSDSNLEELHLWGNNLSGNIPESISNVSKLRNIALMANSFSGHIPNSFGNLKFLEELRLWKNKLTIETNSNGEWSFFASLLNCKYLKVLDLSVNPLKGVLPTSISNLSETLQVFSCGDCRIEGTIPTEIGRLNNAMILELTNNELIGSIPTTIGGLTNLQYLGLSGNKLKGSIPHDLCGLKGLFELSLDDNELDGPLPPCLGELTSMRKLHLSFNKLHSSIPFSLWSLKDILEVDLSANYFNGSLPLEIGKLSVLQHLNLSMNSLSNDIPSTIGNLRDLQVLALSSNRFQGLIPTSFGDLVSLESLDLSDNNLSGVIPRSLEGLRHLNNFNVSLNRLEGEIPSGGPFANFTSQSFMKNYALCGPPRLQVSPCKRNTHRNSKKTLLHVLRYVLPIVVSIIIVVTLIVVCTPLKKKRKSTNSTTIEDSFPLKEWKRISYDQLSKATDGFSGGNMLGSGSFGTVYKGILFDETEVAIKVFNLQIEGAFRSFDVECEVMSKIIHRNLVKVITCCSTTDFKALVLELMPNGNLEKWLYSNNHFLDILQRINIMIDVASALEYLHSGYSTPLIHCDLKPSNVLLDKDMIAHVGDFGIAKLLGEGDSMKQTMTLATIGYMAPEYGSAGIISVKSDVYSYGILLMETFTRKKPTDGIFAGEMSMKHWVKTSLGNGIIGAGDSGLLEEDDKYFVVKANCISSIMKLALDCSAELPEDRTDMENVLSMLKNIKRKYLNDLAED >KJB58451 pep chromosome:Graimondii2_0_v6:9:16308899:16310040:-1 gene:B456_009G210700 transcript:KJB58451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITSEVALDLFPFLKVYKDGTLKRLAGVEVVPPGLDPETNVLSKDILILPKTGVSARIYRPNLVTEPQKLPLVVYFHGGAFCAASPASLEYHTSLNKLVAEANIIALSVDYRLVPEHPLPTAYEDSWAALQWVASHKEENGNHEAWIKDYVELDEVFLTGDSSGANIAHHLALRIKESNLSYKLKIAGIGMIHPYFWGTNPIGSEVTDQFRKELVDKWWLYVCPSDKGCDDPLINPFVDGSSDLANLACDRILVIVAEKDILRDRGKLYYDKLVKSGWKGKAEFMETEGEDHVFHIFHPDCAKAKSLIQRLASFFKM >KJB54180 pep chromosome:Graimondii2_0_v6:9:1873162:1873617:-1 gene:B456_009G024200 transcript:KJB54180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLHVVSAIGFLFLALSQLSYGQSMGSSPAPLPSNDGSAIDQGIAYILLVLALAITYLIH >KJB54562 pep chromosome:Graimondii2_0_v6:9:2862086:2864425:-1 gene:B456_009G039000 transcript:KJB54562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQDFDIIQERRRQERQRKLKKRLIIALVAIFVIAGIAAAGALAFISMKNSSKKSEKNKSDDNSKADDSKPKDNPPPSTPSTPPSTPSTPPSPPKPSSPPSPKPPAPKPLAAAAPAPKASFPPAPVPAPLPAPKSAPAPSPSFGEGASEFIKSFCEISTFKDYCANTIGKTLEENPQSATQPKELVKSFITKTTDELDKAFTKASTFELKTDVERKAFDVCKEVMANAKEELQSSVDRVGTIEPGKLPSNGDLNTWLSAVMSYQETCIDSFPDGPLKNDFRTTLNSSQVYTSNSLAMVRQLSSLMTLGKEKPPAKRRLLQTKFPLLAKGGYPSWFNHEERRLLKEADDDKKPIPNVTVAQDGSGNFRTINEALAAVPQKYGGRYVIYVKAGIYDETVIVPKKMVNLTIFGDGSQKSIITGAKNFVDGFPTYQTASFVASGPGFIAKSMGFRNTAGPDKHQAVAARVDGDRAIFLNCRFEGFQDTLYTQTHRQFYRSCVIAGTIDFIFGDATVVFQNCLIYVRKPNDNQKNIVTAQGRKDKLETTGIVIQNSKILPEEPFKPLAKQFKNYLGRPWKVYSRTIIMETLIEDFIDPAGWLEWEGDFALSTLFYGEFNNTGPGARTDGRVKWAGRRDINREEAQKYTVETFLKGTWVKEAGAPVRMGLGS >KJB56533 pep chromosome:Graimondii2_0_v6:9:9301549:9308088:1 gene:B456_009G124200 transcript:KJB56533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein, Metal ion transpor [Source: Projected from Oryza sativa (Os06g0676000)] MMGSNSGQPQFIASTGNRSFSNAPLIQNADTNQIVVPDRKSWKNFFAYMGPGFLVSIAYIDPGNFETDLQAGAQYKYGLLWIILVASCAALVIQSLAANLGVVTGKHLAEHCRTEYPRGPNFVLWVLAEIAIVACDIPEVIGTAFALNMLFNIPVWIGVLLTGLSTLVLLALQQYGVRKLEFLIAFLVLTIAGCFFAEMNYAKPVASEVLDGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVRGIKEACRFYMIESGFALMVAFLINISVISVSGAVCNSSNLNQEDRDSCEDLDLNKASFLLRNVLGSWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRLTPWIRNMLTRCLAIVPSLIVAIIGGSAGAGKLIIIASMILSFELPFALIPLLKFTSSKTKMGAYVNSIAVSAITWIIGSLIMGINIYYLMTNFIHLLLHNHWELVAVVFLGIFGFSGVAIYLAAIAYLVFQPNKEATHLLALTSENRHMVDDAGNTSMYCLPREDIVSMQLPQRRQTEDI >KJB56532 pep chromosome:Graimondii2_0_v6:9:9301177:9308170:1 gene:B456_009G124200 transcript:KJB56532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein, Metal ion transpor [Source: Projected from Oryza sativa (Os06g0676000)] MMGSNSGQPQFIASTGNRSFSNAPLIQNADTNQIVVPDRKSWKNFFAYMGPGFLVSIAYIDPGNFETDLQAGAQYKYGLLWIILVASCAALVIQSLAANLGVVTGKHLAEHCRTEYPRGPNFVLWVLAEIAIVACDIPEVIGTAFALNMLFNIPVWIGVLLTGLSTLVLLALQQYGVRKLEFLIAFLVLTIAGCFFAEMNYAKPVASEVLDGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVRGIKEACRFYMIESGFALMVAFLINISVISVSGAVCNSSNLNQEDRDSCEDLDLNKASFLLRNVLGSWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRLTPWIRNMLTRCLAIVPSLIVAIIGGSAGAGKLIIIASMILSFELPFALIPLLKFTSSKTKMGAYVNSIAVSAITWIIGSLIMGINIYYLMTNFIHLLLHNHWELVAVVFLGIFGFSGVAIYLAAIAYLVFQPNKEATHLLALTSENRHMVDDAGNTSMYCLPREDIVSMQLPQRRQTEDI >KJB57550 pep chromosome:Graimondii2_0_v6:9:13089616:13091580:1 gene:B456_009G169600 transcript:KJB57550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRRCLLSLARHATAIISQKKMPPLSAVEVLTRKPNPAITIPNSELSWFSFWSHSRHFSSSKSEDSETEVEVEMEEASDVEAENDNAASDLGRDYSPEEKETEAVAIGYKVLGPLQRSDRVFKDYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCEVNDKLILNKVLLLGSPTQTIIGRPILPDAAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITDIQGIEKQEIKTDGKPLKAAVKNPEKIAAAV >KJB61619 pep chromosome:Graimondii2_0_v6:9:49845191:49845652:1 gene:B456_009G370500 transcript:KJB61619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIFLILCILLASILAFPTSTMARELAEKEAIPSPTQCALDPTRSCTPKTPPNCPIYSRNCH >KJB54395 pep chromosome:Graimondii2_0_v6:9:2408061:2410242:-1 gene:B456_009G032100 transcript:KJB54395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRIRLSRFGCKNKPFYRVMAANSRSPRDGKHLEVLGYYNPLPGQDGGKRMGLNFERVKYWLSVGAQPSEPVQRILFRAGVLPPPPMVAMGRKGGPRDTRPVDPMTGRVLNEEKPIAGNQEKSGEDEAVDESNYA >KJB54396 pep chromosome:Graimondii2_0_v6:9:2408061:2410211:-1 gene:B456_009G032100 transcript:KJB54396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRIRLSRFGCKNKPFYRVMAANSRSPRDGKHLEVLGYYNPLPGQDGGKRMGLNFERVKYWLSVGAQPSEPVQRILFRAGVLPPPPMVAMGRKGGPRDTRPVDPMTGRVLNEEKPIAGNQEKSGEDEAVDESNYA >KJB56324 pep chromosome:Graimondii2_0_v6:9:9056041:9058959:-1 gene:B456_009G121800 transcript:KJB56324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSTSSLLWIHSPKPISPKLALFTATRFFTPKKSSSIVMAAPPATTATEVVPAIIVGGGRVGKALQDMGKGDDLLVKRGEAVPLDFEGPILVCTRNDDLEAVLEATPKSRWNDLVFFQNGMLEPWLQSKGLNDAEQVLAYFAVAKLGEPPIDGKTDTNPEGLTAAYGKWASAIATRLNAGGLSCKVLDKEAFQKQMLEKLIWICAFMLVGARHPGATVGVAEKEYRSEVSSLIAELASAAAAEKGITFEEAMEDRLCAYSRAVAHFPTAVKEFKWRNGWFYSISEKAIAEGKPDPCPLHTAWLKELNVV >KJB56326 pep chromosome:Graimondii2_0_v6:9:9056059:9058959:-1 gene:B456_009G121800 transcript:KJB56326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSTSSLLWIHSPKPISPKLALFTATRFFTPKKSSSIVMAAPPATTATEVVPAIIVGGGRVGKALQDMGKGDDLLVKRGEAVPLDFEGPILVCTRNDDLEAVLEATPKSRWNEQVLAYFAVAKLGEPPIDGKTDTNPEGLTAAYGKWASAIATRLNAGGLSCKVLDKEAFQKQMLEKLIWICAFMLVGARHPGATVGVAEKEYRSEVSSLIAELASAAAAEKGITFEEAMEDRLCAYSRAVAHFPTAVKEFKWRNGWFYSISEKAIAEGKPDPCPLHTAWLKELNVV >KJB56327 pep chromosome:Graimondii2_0_v6:9:9056060:9058782:-1 gene:B456_009G121800 transcript:KJB56327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSTSSLLWIHSPKPISPKLALFTATRFFTPKKSSSIVMAAPPATTATEVVPAIIVGGGRVGKALQDMGKGDDLLVKRGEAVPLDFEGPILVCTRNDDLEAVLEATPKSRWNADLVFFQNGMLEPWLQSKGLNDAEQVLAYFAVAKLGEPPIDGKTDTNPEGLTAAYGKWASAIATRLNAGGLSCKVLDKEAFQKQMLEKLIWICAFMLVGARHPGATVGVAEKEYRSEVSSLIAELASAAAAEKGITFEEAMEDRLCAYSRAVAHFPTAVKEFKWRNGWFYSISEKAIAEGKPDPCPLHTAWLKELNVV >KJB56325 pep chromosome:Graimondii2_0_v6:9:9056060:9058785:-1 gene:B456_009G121800 transcript:KJB56325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILRLCLRPLLNLVGTNGMLEPWLQSKGLNDAEQVLAYFAVAKLGEPPIDGKTDTNPEGLTAAYGKWASAIATRLNAGGLSCKVLDKEAFQKQMLEKLIWICAFMLVGARHPGATVGVAEKEYRSEVSSLIAELASAAAAEKGITFEEAMEDRLCAYSRAVAHFPTAVKEFKWRNGWFYSISEKAIAEGKPDPCPLHTAWLKELNVV >KJB56328 pep chromosome:Graimondii2_0_v6:9:9056256:9058719:-1 gene:B456_009G121800 transcript:KJB56328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSTSSLLWIHSPKPISPKLALFTATRFFTPKKSSSIVMAAPPATTATEVVPAIIVGGGRVGKALQDMGKGDDLLVKRGEAVPLDFEGPILVCTRNDDLEAVLEATPKSRWNDLVFFQNGMLEPWLQSKGLNDAEQVLAYFAVAKLGEPPIDGKTDTNPEGLTAAYGKWASAIATRLNAGGLSCKVLDKEAFQKQMLEKLIWICAFMLVGARHPGATVGVAEKEYRSEVSSLIAELASAAAAEKGITFEEAMEDRLCAYSRAVAHFPTAVKEVKNCSSSGGMDGSTQSLRRQLLRENQIHVLCIQHGSKS >KJB53770 pep chromosome:Graimondii2_0_v6:9:372215:375324:1 gene:B456_009G004100 transcript:KJB53770 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit B [Source:Projected from Arabidopsis thaliana (AT5G22110) UniProtKB/Swiss-Prot;Acc:Q500V9] MRGQTRKKIQKKLKIRGYSLKTDGLDEILSFVNRFQDAEDEAIDLLLDQLDHQSLKSSIIDKEAVHQVVSLLLDAEAAEEESPSSSHSSIRVVDAFLIPKFRYDPIKKHFFQHAGSLPIHGEASAKAALYRDRLLLLFQRVSRDQHFIKPAFDTDVDTSPSCQLSTIQSLVGQRGRRWVMGVISQLEDGHFYLEDLTAAKNEIIAFFDSQYKITTGFFTENTIIVAEGEMLSEGIFQVITCGFPPLENRDKSLKVLAGHDFFGCGTLTKEETLRLADLEKRAVNDMFVILSDIWLDNEQKVMEKLETVLNGFENVEIVPSLFVFMGDFCSHPCNLSFHSFSSLRSQFGKLGQMIEAHPRLKEQSKFLFIPGPNDTGPSTVLPRCALPKYLTEELQKHVPNAIFSSNPCRVKFYTQEMVFFRQDLLYRMRRSCLIPPSTEETDDPFEHLVATITHQSHLCPLPLFVQPIIWNYDHCLHLYPTPHTIVLGDRSEQKAFKYTGITCFNPGSFSDDSTFVAYRPCTQEVEFSAL >KJB54583 pep chromosome:Graimondii2_0_v6:9:2964092:2964782:1 gene:B456_009G040000 transcript:KJB54583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGLGSNTMSPVMARLLLLSICIGILAKAASAQVNPPNALASKLGGYCGKSTMSCSMKCGYLGCLAGCVTSPSIGCGYKCFFENTSCQFGCTPFDIRGQSARVKT >KJB58306 pep chromosome:Graimondii2_0_v6:9:15793889:15797559:1 gene:B456_009G203800 transcript:KJB58306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELENSSAMTVSTASGEATASSLGNQIQDNQLTGGGPPKKKRNLPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTSKEIRKRVYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGERKWKCERCSKKYAVQSDWKAHMKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEESARTQTLGVANPEGNINVNGNGSGNTTMVGGGAAATSPPPQPLTPSTTSVVSPGLSIQSSEVPENPMGLSPAAATTATANVFASIFAPNSQPSKISAPSSFLQATTPLERTSLSLSSPLYLSNNGSSIFTRPENDHCHYAPSPQPAMSATALLQKAAQMGAAASNPSLLRGLGLAVSSASPAGQDPNVKSESNTATASLGLGFPSNGSSELTNPMIGSSSLFGNKPTTLDLLGLGMADGGASSSGLSALLTSFGGGFNAGAATATSYGAGGSNNSPIETWEGGAERKHNGPANAML >KJB58108 pep chromosome:Graimondii2_0_v6:9:14999402:15001817:1 gene:B456_009G195200 transcript:KJB58108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEAGQPKQERSRTRWTASLDRIFADLVVKQIKLGSRPNNVFDKKTWNIIRDEFNKQTDLNFNNNQLRKHLDVLRIRFYNLKSAYDQNDFASMEDSCCIGFDLWEEIGAQPRPEPIKIKDCPIYEQLCTIFTDSSADGKYAQSSHFEGLEKTVGNDTSVLISCPDDNPPTSRLTQSGSLSEKLTKSIAERKRRRPSEAQSCLDQSRKDEEISETMAGALLDMVAAWRSRSTIATKRSDEKFSITNCIKALDEIEDTEEWLYFASLDLFEDPTLRKTFISLEGGKIRLTWLQEKCEKPAMTCV >KJB58109 pep chromosome:Graimondii2_0_v6:9:15000108:15001817:1 gene:B456_009G195200 transcript:KJB58109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEAGQPKQERSRTRWTASLDRIFADLVVKQIKLGSRPNNVFDKKTWNIIRDEFNKQTDLNFNNNQLRKHLDVLRIRFYNLKSAYDQNDFASMEDSCCIGFDLWEEIGAQPRPEPIKIKDCPIYEQLCTIFTDSSADGKYAQSSHFEGLEKTVGNDTSVLISCPDDNPPTSRLTQSGSLSEKLTKSIAERKRRRPSEAQSCLDQSRKDEEISETMAGALLDMVAAWRSRSTIATKRSDEKFSITNCIKALDEIEDTEEWLYFASLDLFEDPTLRKTFISLEGGKIRLTWLQEKCEKPAMTCV >KJB58521 pep chromosome:Graimondii2_0_v6:9:16574122:16575107:-1 gene:B456_009G213400 transcript:KJB58521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDHTKLLYFWLSFSISFIFIKLAQADNYIVQMDLSAMPKAFSGWQSWYVATLASSSANLEAKTRNSVFDFFTPSPFPAIFLEQKDGDSVVDYIKSNSDPKASIDFKITSLGTKPSPIVAISSSRGPSRSCPSVLKPGIMASGDLVLAAWPPNVGVARLNEDLVFSNFNLISGTSMACPHVSGVTALLKATYPEWSLAAIRSALMSTTNTIDNTGSPIKGMGANLRPARPLAMGAGHINPNKALHPGFMYDVTVEDYLNLLCPLKFTAQLKKLVQHAANS >KJB57454 pep chromosome:Graimondii2_0_v6:9:12677703:12681061:1 gene:B456_009G165200 transcript:KJB57454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNMTRRRVVLVQILALALAAVMPLLSGGVDIQQVRVLAAKFNVTCVVVFGDSSVDPGNNNYIATPFKGNFLPYGKDFFRGHPTGRFSNGRLATDFIAEALGYTSEIRPFLNKRLRPVDILHGVSFASAASGYDELTANLSHVLPVSKQLEYFREYKMRLRQLIGARKAENIIKNAVAVMSMGTNDFLQNYYLEPIRPKQYTLEEYQNYLASCMSDDVKTMHSLGITRLVVVGVPPLGCMPLVKTLMNQETCVEKYNNFSSSFNSKLQFKLEVARTTLGMKIGYVDAYGIFEDAVNNSKKYGFIEASKGCCGTGTIEYGDTCRGMSTCADASKYVFWDAVHPTERMYEIIAGQAIDSLRKQLMT >KJB57455 pep chromosome:Graimondii2_0_v6:9:12677745:12680974:1 gene:B456_009G165200 transcript:KJB57455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNMTRRRVVLVQILALALAAVMPLLSGGVDIQQVRVLAAKFNVTCVVVFGDSSVDPGNNNYIATPFKGNFLPYGKDFFRGHPTGRFSNGRLATDFIAEALGYTSEIRPFLNKRLRPVDILHGVSFASAASGYDELTANLSHVLPVSKQLEYFREYKMRLRQLIGARKAENIIKNAVAVMSMGTNDFLQNYYLEPIRPKQYTLEEYQNYLASCMSDDVKTMHSLGITRLVVVGVPPLGCMPLVKTLMNQETCVEKYNNFSSSFNSKLQFKLEVARTTLGMKIGYVDAYGIFEDAVNNSKKYGFIEASKGCCGTGTIEYGDTCRGMSTCADASKYVFWDAVHPTERMYEIIAGQAIDSLRKQLMT >KJB55331 pep chromosome:Graimondii2_0_v6:9:5989658:5994311:-1 gene:B456_009G082400 transcript:KJB55331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESNSSVDEDLLLKEFFAEVSEVERDNEVVRILSCFKLNPFEFLKLPFDSSLDDVKKQYRKLSLMVHPDKCKHPQAKEAFGALAKAQQQLLDQQERDYILSQVTAAKEELRAKRKKQLKKDTASKLKSLVDEGKSELEYEQSGEFQQELKLKVKELLTEQEWRRRKMAMRISEEEGRLKKDEEEQKEMWKRKREHEEQWEGTREQRVSSWRDFMKSGKKSKKGELRPPKLKTEDPNKSYVQRPVKRG >KJB55333 pep chromosome:Graimondii2_0_v6:9:5989627:5994377:-1 gene:B456_009G082400 transcript:KJB55333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRTNKSARFRAIASSSPLEREGRRRQACENSRRIENQSVENTQRNKKSAMGESNSSVDEDLLLKEFFAEVSEVERDNEVVRILSCFKLNPFEFLKLPFDSSLDDVKKQYRKLSLMVHPDKCKHPQAKEAFGALAKAQQQLLDQQERDYILSQVTAAKELRAKRKKQLKKDTASKLKSLVDEGKSELEYEQSGEFQQELKLKVKELLTEQEWRRRKMAMRISEEEGRLKKDEEEQKEMWKRKREHEEQWEGTREQRVSSWRDFMKSGKKSKKGELRPPKLKTEDPNKSYVQRPVKRG >KJB55335 pep chromosome:Graimondii2_0_v6:9:5989658:5994311:-1 gene:B456_009G082400 transcript:KJB55335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRTNKSARFRAIASSSPLEREGRRRQACENSRRIENQSVENTQRNKKSAMGESNSSVDEDLLLKEFFAEVSEVERDNEVVRILSCFKLNPFEFLKLPFDSSLDDVKKQYRKLSLMVHPDKCKHPQAKEAFGALAKAQQQLLDQQERDYILSQVTAAKEELRAKRKKQLKKDTASKLKSLVDEGKSELEYEQSGEFQQELKLKVKELLTEQEWRRRKMAMRISEEEGRLKKDEEEQKEMWKRKREHEEQWEGTREQRVCFKLEGFYEVREKVEERRTSSPETEDRGSQQIVCSEARKAGLIAAYCYLTSLSLYY >KJB55332 pep chromosome:Graimondii2_0_v6:9:5990093:5994311:-1 gene:B456_009G082400 transcript:KJB55332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRTNKSARFRAIASSSPLEREGRRRQACENSRRIENQSVENTQRNKKSAMGESNSSVDEDLLLKEFFAEVSEVERDNEVVRILSCFKLNPFEFLKLPFDSSLDDVKKQYRKLSLMVHPDKCKHPQAKEAFGALAKAQQQLLDQQERDYILSQVTAAKEELRAKRKKQLKKDTASKLKSLVDEGKSELEYEQSGEFQQELKLKVKELLTEQEWRRRKMAMRISEEEGRLKKDEEEQKEMWKRKREHEEQWEGTREQRVSSWRDFMKSGKKVKVI >KJB55334 pep chromosome:Graimondii2_0_v6:9:5989658:5994311:-1 gene:B456_009G082400 transcript:KJB55334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRTNKSARFRAIASSSPLEREGRRRQACENSRRIENQSVENTQRNKKSAMGESNSSVDEDLLLKEFFAEVSEVERDNEVVRILSCFKLNPFEFLKLPFDSSLDDVKKQYRKLSLMVHPDKCKHPQAKEAFGAALAKAQQQLLDQQERDYILSQVTAAKEELRAKRKKQLKKDTASKLKSLVDEGKSELEYEQSGEFQQELKLKVKELLTEQEWRRRKMAMRISEEEGRLKKDEEEQKEMWKRKREHEEQWEGTREQRVSSWRDFMKSGKKSKKGELRPPKLKTEDPNKSYVQRPVKRG >KJB62883 pep chromosome:Graimondii2_0_v6:9:69307193:69310902:1 gene:B456_009G441400 transcript:KJB62883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVSPVLRVIHGLWGFGAKHVAHVKHLGKRLEELRTAMDDLSDQRDDVKERVEMGVRSQQEMVTNRVEKWLRNVETIEQQVIALIHEGNLQVERKCLGCCPRNLQTAYKIGKKVLKKTAEVKKLLESGDFAAVTVRLPPLPSPPTPPRRPQMVTLFPMENTVGLDSKVGPVWEKIEDGNVGIIGLYGIGGVGKTTLLKKINNEFSIRDHVFDSVIWVTQSEATKVEEFQDIVRRKLEISDDIWQKCSNENDRAREIFRLLSGTRFVLLLDGVQNSFFRSQLISLGIPLPDKGNGSKIIFTTRSEELCGYIGAQERIKVECLPPEQALRLFSMTVGEHNLKSDPEIPKLAEIVAARCSGLPLALLTVGSVMASRKTYHDWICAVEMLQSYPSEFSENCTISVDELIDLWIGEGLLNGTSPREQGEFIICTLKLSCLLEADESMEFVWMHDMIRDMALWLARDEEKNKNKVLVARSGRLTDQEFNKWIDSSWVALWGCSDREIIHYPPTCPNLSTLLIRDTLVKAFPGGFFEFMTGLAALDLSGNQGLVELPPEIGRLRMLQYLNLSLTSITKLPTALSNLRNLRCLLLDYTMHLKEIPLEEVMSCLSLLQVYSKMTGVMEYFDEVKVSVDDELAFLEVLEGFRHMNKICITIFCHPSVEKIFSSYSIRSCIRKLKLIDCTGLTSLCPSYALPNLGKLEIFRCCSLQEIRKPGWGEFHNLRQVHVGVCPLLRNLDCLAYARNLEILTVLDCQSMKQVISEEDEQDTSEMVGCKVFPKLKTLSLTCLPSLEIICRYPKSFSSPIEIEVSRCPCLRQLPFDENSVDFLKKIRGELEWWAALDWDSDFVKEACSFKFKSNSAASGNAKEMTASTSKGKAASSSKS >KJB53423 pep chromosome:Graimondii2_0_v6:9:11545952:11548676:1 gene:B456_009G152000 transcript:KJB53423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIWLVFITPNKLKEQPKSLLSPSFLAKPIDQSSMYIHIRLPSFPNHFFQFSKGLLYTTLLTQPINQTRIVNQIRLKSHLHHLIKVPECSICKTLVTEQTDNQCASSIINNEPSFQHFLQPLINL >KJB60963 pep chromosome:Graimondii2_0_v6:9:34777048:34777487:1 gene:B456_009G333400 transcript:KJB60963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTRGGQRIEIKMIENDDDQLITFLKRQLGIYKKIGELSSLCGKPFTFDHPSIESIANRFLNGNIPVIDDAHALIEAHRMVRINKVIQLYNEVHSQMDASNETQKVLTQQVTSGTNSNRWWETPLDQLNPRELYE >KJB54584 pep chromosome:Graimondii2_0_v6:9:2965742:2966423:1 gene:B456_009G040100 transcript:KJB54584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVGLRSSRSVSVVLARLLLLNICIGVVGIGAVAMTKNALATERQDSCPDKVSGSITCFLNCDIFSCLAGCFTNPSIGCGLTCFARNVGCTTTCSIIEFPDP >KJB54984 pep chromosome:Graimondii2_0_v6:9:4114320:4116697:1 gene:B456_009G057000 transcript:KJB54984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGANLKSETIKLMDKRSAMEAEMNAIIEQLCQPGGPGLSGNLVDSEGFPRADIDIPVVRAQRHRLAELKNDHQEITEKINVNIQVLHSAKLTSTPKDSGVETNQNASVATAGASASLQNLVLRDSSSANDVDMISSMPFAMVDEIADASPAAEDGLQLGDQIVKFGNVKAGDSLLQRLASEAQANQGHPLPVIIMRQGALLNLSLTPRTWQGRGLLGCHFRIM >KJB60958 pep chromosome:Graimondii2_0_v6:9:34642459:34647048:1 gene:B456_009G332900 transcript:KJB60958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISEVEENLFAASDAKLHGDMCKTLSAIYCKVLSIFPSLESARPRSKSGIQALCSLHLALEKSKNVLQHCSTCSKLYLAITGDSVLLKFEKAKCALIDSLKRVLEIVPESIGSQILEIVSELEGTAFSLDPSEKQVGDEIIKLLQHGRKFDDCNDNNELESFHQAAMRLGITSSRAALTERRALKKVIERARAEEDKRKESIVAYLLHLMRKYSKLFRSEVSDDNDSQGSTPCSPTVLGSLEGGGASGNGQAFERQLSKLSSFNFKPNIRRSGHIPLPPEELRCPISLQLMHDPVIIASGQTYERICIEKWFSDGHDTCPKTQQKLPHLSLTPNYCVKGLIASWCEQNGVPIPNGPPESLDFNYWRLALSESETANSRSMDSVGSCNLKGAKVVPLEESANAEEVEGNEAENENESPCPQEEISELNVLESYQDFLSVLNEEENLQKRCKVVKQIRLFLKDDEEARIFMGTNGFVEGLLRFLDSAVREGNAMAQEMGAMALFNLAVNNNRNKESLLASGVIKLLENMISNSDAHEPAAALYLNLSCLEQAKSVIGSSKAVPFLVRLLGSETEQQSKLDALHTLYNLSTVQSNILSLLAAGIVSALQSLLVLGDHTWTEKSIAVLINLASSPAGRDEMVSACGVISGLATVLDAGELIEQEQAVSCLLVLCNGDEKCSQMVLQEGVIPALVSISVNGTTRAREKSQKLLMLFREQRQRDHLPAAEKVKQVEINEDPMPAPASAPESKPFLKSVSRRKIGKAFSFLKKSKSYTVYQC >KJB60959 pep chromosome:Graimondii2_0_v6:9:34642150:34647317:1 gene:B456_009G332900 transcript:KJB60959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISEVEENLFAASDAKLHGDMCKTLSAIYCKVLSIFPSLESARPRSKSGIQALCSLHLALEKSKNVLQHCSTCSKLYLAITGDSVLLKFEKAKCALIDSLKRVLEIVPESIGSQILEIVSELEGTAFSLDPSEKQVGDEIIKLLQHGRKFDDCNDNNELESFHQAAMRLGITSSRAALTERRALKKVIERARAEEDKRKESIVAYLLHLMRKYSKLFRSEVSDDNDSQGSTPCSPTVLGSLEGGGASGNGQAFERQLSKLSSFNFKPNIRRSGHIPLPPEELRCPISLQLMHDPVIIASGQTYERICIEKWFSDGHDTCPKTQQKLPHLSLTPNYCVKGLIASWCEQNGVPIPNGPPESLDFNYWRLALSESETANSRSMDSVGSCNLKGAKVVPLEESANAEEVEGNEAENENESPCPQEEISELNVLESYQDFLSVLNEEENLQKRCKVVKQIRLFLKDDEEARIFMGTNGFVEGLLRFLDSAVREGNAMAQEMGAMALFNLAVNNNRNKESLLASGVIKLLENMISNSDAHEPAAALYLNLSCLEQAKSVIGSSKAVPFLVRLLGSETEQQSKLDALHTLYNLSTVQSNILSLLAAGIVSALQSLLVLGDHTWTEKSIAVLINLASSPAGRDEMVSACGVISGLATVLDAGELIEQEQAVSCLLVLCNGDEKCSQMVLQEGVIPALVSISVNGTTRAREKSQKLLMLFREQRQRDHLPAAEKVKQVEINEDPMPAPASAPESKPFLKSVSRRKIGKPQQVGRLAQSVERKALNLVVVGVGVLFEDLSEKNKNVNLLY >KJB60960 pep chromosome:Graimondii2_0_v6:9:34642150:34647048:1 gene:B456_009G332900 transcript:KJB60960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISEVEENLFAASDAKLHGDMCKTLSAIYCKVLSIFPSLESARPRSKSGIQALCSLHLALEKSKNVLQHCSTCSKLYLAITGDSVLLKFEKAKCALIDSLKRVLEIVPESIGSQILEIVSELEGTAFSLDPSEKQVGDEIIKLLQHGRKFDDCNDNNELESFHQAAMRLGITSSRAALTERRALKKVIERARAEEDKRKESIVAYLLHLMRKYSKLFRSEVSDDNDSQGSTPCSPTVLGSLEGGGASGNGQAFERQLSKLSSFNFKPNIRRSGHIPLPPEELRCPISLQLMHDPVIIASGQTYERICIEKWFSDGHDTCPKTQQKLPHLSLTPNYCVKGLIASWCEQNGVPIPNGPPESLDFNYWRLALSESETANSRSMDSVGSCNLKGAKVVPLEESANAEEVEGNEAENENESPCPQEEISELNVLESYQDFLSVLNEEENLQKRCKVVKQIRLFLKDDEEARIFMGTNGFVEGLLRFLDSAVREGNAMAQEMGAMALFNLAVNNNRNKESLLASGVIKLLENMISNSDAHEPAAALYLNLSCLEQAKSVIGSSKAVPFLVRLLGSETEQQSKLDALHTLYNLSTVQSNILSLLAAGIVSALQSLLVLGDHTWTEKSIAVLINLASSPAGRDEMVSACGVISGLATVLDAGELIEQEQAVSCLLVLCNGDEKCSQMVLQEGVIPALVSISVNGTTRAREKSQKLLMLFREQRQRDHLPAAEKVKQVEINEDPMPAPASAPESKPFLKSVSRRKIGKAFSFLKKSKSYTVYQC >KJB55686 pep chromosome:Graimondii2_0_v6:9:6482063:6485794:-1 gene:B456_009G088900 transcript:KJB55686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVRHSFHHQLLLFSLISLSYVSGTQFSLPRLGALRRTIRNKLDIESISSLPKDFKTFFYSQTLDHFNYKPESFTSFQQRYVINSKYWGGANTSSPILVYFGAEESLDYDLSGIGFLTDNAPRFKALLIYIEHRFYGKSIPCGSREAALRNASIRGYFTSAQAIADYAAIILHIKKTFFAKNSPVIVIGGSYGGMLAAWFRLKYPHVALGALASSAPILYFDELAPHVGYYAIVTKDFKETSESCYETIRKSWDEIDKVASKSNGLSILSMKFKTCEKLKRSFDLKDFLDSIYSEVAQYDHPPSYPLNIICRGIDGAPKGTDILGRIFAGVVAYMGNNSCYDMNEFNRPNDETYIGWRWQTCSEMVMPIGHENNDSMFPPSPFNLTKFIRKCKSLFGVRPRPHWVTTYYGGHDLKLILHRFASNIIFSNGLRDPYSSGGVLENISDSVVAVYTVNGSHCLDILPEKKSDPVWLIKQRKTEVGIIESWISKYYTDLIMFRDRTRVSS >KJB55687 pep chromosome:Graimondii2_0_v6:9:6482001:6485794:-1 gene:B456_009G088900 transcript:KJB55687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVRHSFHHQLLLFSLISLSYVSGTQFSLPRLGALRRTIRNKLDIESISSLPKDFKTFFYSQTLDHFNYKPESFTSFQQRYVINSKYWGGANTSSPILVYFGAEESLDYDLSGIGFLTDNAPRFKALLIYIEHRFYGKSIPCGSREAALRNASIRGYFTSAQAIADYAAIILHIKKTFFAKNSPVIVIGGSYGGMLAAWFRLKYPHVALGALASSAPILYFDELAPHVGYYAIVTKDFKETSESCYETIRKSWDEIDKVASKSNGLSILSMKFKTCEKLKRSFDLKDFLDSIYSEVAQYDHPPSYPLNIICRGIDGAPKGTDILGRIFAGVVAYMGNNSCYDMNEFNRPNDETYIGWRWQTCSEMVMPIGHENNDSMFPPSPFNLTKFIRKCKSLFGVRPRPHWVTTYYGGHDLKLILHRFASNIIFSNGLRDPYSSGGVLENISDSVVAVYTVNGSHCLDILPEKKSDPVWLIKQRKTEVGIIESWISKYYTDLIMFRDRTRVSS >KJB63099 pep chromosome:Graimondii2_0_v6:9:70428809:70430425:1 gene:B456_009G453200 transcript:KJB63099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEAPATMEIETVPSEPKLESNPLPPKPKFEPLKAHEMSDGRVQFRKVSVPPHRYSPLKKYWMDIYTPVYEQMKIDIRMNLKARKVELKTRQDTPDISNLQKCADFVQAFMLGFDVPDAIALLRLDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENATKTRIVIADTKIHMLGSFANIKIARDSLCSLILGSPAGKVYSKLRQVSARLAERF >KJB63098 pep chromosome:Graimondii2_0_v6:9:70428867:70430398:1 gene:B456_009G453200 transcript:KJB63098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIETVPSEPKLESNPLPPKPKFEPLKAHEMSDGRVQFRKVSVPPHRYSPLKKYWMDIYTPVYEQMKIDIRMNLKARKVELKTRQDTPDISNLQKCADFVQAFMLGFDVPDAIALLRLDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENATKTRIVIADTKIHMLGSFANIKIARDSLCSLILGSPAGKVYSKLRQVSARLAERF >KJB63097 pep chromosome:Graimondii2_0_v6:9:70428867:70430398:1 gene:B456_009G453200 transcript:KJB63097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEAPATMEIETVPSEPKLESNPLPPKPKFEPLKAHEMSDGRVQFRKVSVPPHRYSPLKKYWMDIYTPVYEQMKIDIRMNLKARKVELKTRQDTPDISNLQKCADFVQAFMLGFDVPDAIALLRLDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENATKTRIVIADTKIHMLGSFANIKIARDSLCSLILGSPAGKVYSKLRQVSARLAERF >KJB56407 pep chromosome:Graimondii2_0_v6:9:8769803:8772591:-1 gene:B456_009G118700 transcript:KJB56407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAAEEESNSLQYTPTWVVAVVCFFFVLISLVAERALHHLGKFLKHKQQDALFEALQKLKEELMLLGFISLLLTVFQGLVSEMCIPTYYVSTVLLPCKKHSEGKTPEKHFPRDINHRRRLFSTEAASDHCSREGKVPLLSLEALHQLHIFIFVLAVVHVIYCLITMVLGGARIRQWKQWENEIQGHLNDRHVDEHHTSFVQHAQGWRKTAILSWTMSFFKQFHGSVTKSDYTALREGFIREHCPSNPRYNFHDYIMRTLQVDFKKVVGISWYLWLFVVIFLLLNVKGWNTYFWLSFLPVVLLLIVGTKLEHIIIRLAQEMSEMKERGETAQVKPSDEHFWFKNPRIVFFLIHFILFQNAFELAFFFWILCTYGFHSCIMEKLGYIITRLIMGVIVQVLCSYITLPLYVLVTQMGSCFKEGIFKEHIHSTLTTWRSGPRDQGSRRGSSAPNSATKTDRLHKEPYETLQIVEQQPMCTSIQTLQNSG >KJB59483 pep chromosome:Graimondii2_0_v6:9:21324142:21327870:1 gene:B456_009G258600 transcript:KJB59483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTNKAERKAVVDVAAWMFNVVTSVGVIIVNKALMATYGFSYATTLTGLHFATTTLMTAALRWFGFIQSSHLPLSELLRFILFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVMDKIRYSRDTKLSIAVVLLGVGVCTVTDLSVNTQGFIAAFIAVWSTSLQQYYVLHLQRRYNLSSFNLLGYTAPAQAATLLLLGPFLDYWLTNKRVDAYNYNLVSLVHFCSFQFGSAASFAAACSCYFPQGAMNAIPIIACSRK >KJB59485 pep chromosome:Graimondii2_0_v6:9:21325106:21326794:1 gene:B456_009G258600 transcript:KJB59485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTNKAERKAVVDVAAWMFNVVTSVGVIIVNKALMATYGFSYATTLTGLHFATTTLMTAALRWFGFIQSSHLPLSELLRFILFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVMDKIRYSRDTKLSIAVVLLGVGVCTVTDLSVNTQGFIAAFIAVWSTSLQQYYVLHLQRRYNLSSFNLLGYTAPAQAATLLLLGPFLDYWLTNKRVDAYNYNLVSLVSVLCICILCFYEFCA >KJB59487 pep chromosome:Graimondii2_0_v6:9:21324094:21327961:1 gene:B456_009G258600 transcript:KJB59487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTNKAERKAVVDVAAWMFNVVTSVGVIIVNKALMATYGFSYATTLTGLHFATTTLMTAALRWFGFIQSSHLPLSELLRFILFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVMDKIRYSRDTKLSIAVVLLGVGVCTVTDLSVNTQGFIAAFIAVWSTSLQQYYVLHLQRRYNLSSFNLLGYTAPAQAATLLLLGPFLDYWLTNKRVDAYNYNLVSLMFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGKEGLNLHVVLGMIIAVAGMIWYGNASSKPGGKERRSLSVPISRQQKHASFSDSNEHDGSV >KJB59486 pep chromosome:Graimondii2_0_v6:9:21324142:21327953:1 gene:B456_009G258600 transcript:KJB59486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTNKAERKAVVDVAAWMFNVVTSVGVIIVNKALMATYGFSYATTLTGLHFATTTLMTAALRWFGFIQSSHLPLSELLRFILFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVMDKIRYSRDTKLSIAVVLLGVGVCTVTDLSVNTQGFIAAFIAVWSTSLQQYYVLHLQRRYNLSSFNLLGYTAPAQAATLLLLGPFLDYWLTNKRVDAYNYNLVSLMFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGKEGLNLHVVLGMIIAVAGMIWYGNASSKPGGKERRSLSVPISRQQKHASFSDSNEHDGSV >KJB59484 pep chromosome:Graimondii2_0_v6:9:21324094:21327961:1 gene:B456_009G258600 transcript:KJB59484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTNKAERKAVVDVAAWMFNVVTSVGVIIVNKALMATYGFSYATTLTGLHFATTTLMTAALRWFGFIQSSHLPLSELLRFILFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVMDKIRYSRDTKLSIAVVLLGVGVCTVTDLSVNTQGFIAAFIAVWSTSLQQYYVLHLQRRYNLSSFNLLGYTAPAQAATLLLLGPFLDYWLTNKRVDAYNYNLVSLMFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGKEGLNLHVVLGMIIAVAGMIWYGNASSKPGGKERRSLSVPISRQQKHASFSDSNEHDGSV >KJB62703 pep chromosome:Graimondii2_0_v6:9:67694794:67695301:1 gene:B456_009G431400 transcript:KJB62703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIFLILCILLASILAFPTSTMARELAEKEAIPSRTHCPLDPNRSCIPKSTPAPKCPTYSRNCH >KJB62795 pep chromosome:Graimondii2_0_v6:9:68858943:68863197:1 gene:B456_009G438100 transcript:KJB62795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTGKTSLPATFPVRNPTDVDLKLTEKRKTRNTRRKRVSAPLVGRRSRPGTPLLKWKKEEGEKVKVELEEEEEEEDGGRRGGVRGRRKKGASKISARKLAAGLWRLHLPEMVTPCGERMHRLGFKPSNGFMDVPFLYHHEGKVYGSDAKDPSQSRSSVSGTKNGFLHKIEPSVPFSNSAMEGATKWDPVGLKATDDAQKFFRHVKRIEQQVSAVSIVSALEAELEQSRARIEELETERRSSKKKLEQFLRKVSEERAAWRSREHEKIRAVVDDVKAELNREKKNRQRLEIVNSKLVNELAATKLSAKQYIQDYEKERKARELIEEVCDELAKEIGEDKAEVEELRRDSMKLREEVDEERKMLQMAEVWREERVQMKLIDAKVALEDRYSQMNKLVADLETFLRSRAGTLEAKDMKEAESLRQVAASVNVEEIKEFTYEPPKPDDIFAVFEDVALAEANEREIEPCVAHSPASYSSKVHMVSPEINMMRKDIIPRHPNAYVDQSDEIEEDESGWETVSHLEDQGSSYSPEGSAASVNKNCRGSNFSGSGIEWEENSCRDTDTPDTEISEVCSLPARQFKKVSSVAKLWRSFPNNGENYKIISVEGTNGRLSNGRKSNSGIMSPDQGSGKGCVSPPNLVGHWSSPDTGHQHITRGKKGCIEWPRGPPKSSLKAKLLEARMETQKLQLRHILKQKT >KJB62796 pep chromosome:Graimondii2_0_v6:9:68859117:68863197:1 gene:B456_009G438100 transcript:KJB62796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPFLYHHEGKVYGSDAKDPSQSRSSVSGTKNGFLHKIEPSVPFSNSAMEGATKWDPVGLKATDDAQKFFRHVKRIEQQVSAVSIVSALEAELEQSRARIEELETERRSSKKKLEQFLRKVSEERAAWRSREHEKIRAVVDDVKAELNREKKNRQRLEIVNSKLVNELAATKLSAKQYIQDYEKERKARELIEEVCDELAKEIGEDKAEVEELRRDSMKLREEVDEERKMLQMAEVWREERVQMKLIDAKVALEDRYSQMNKLVADLETFLRSRAGTLEAKDMKEAESLRQVAASVNVEEIKEFTYEPPKPDDIFAVFEDVALAEANEREIEPCVAHSPASYSSKVHMVSPEINMMRKDIIPRHPNAYVDQSDEIEEDESGWETVSHLEDQGSSYSPEGSAASVNKNCRGSNFSGSGIEWEENSCRDTDTPDTEISEVCSLPARQFKKVSSVAKLWRSFPNNGENYKIISVEGTNGRLSNGRKSNSGIMSPDQGSGKGCVSPPNLVGHWSSPDTGHQHITRGKKGCIEWPRGPPKSSLKAKLLEARMETQKLQLRHILKQKT >KJB63009 pep chromosome:Graimondii2_0_v6:9:69986285:69989718:-1 gene:B456_009G448900 transcript:KJB63009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYLFLCLFLFFPHLYASFSSSGSHSCSSLIQFKNSFSINQTKAAYLSCDEIAGLKSYPKTNSWKDGTDCCSWDGVTCNHLNAHVIALDLSCSWLYGNFPSNTTLFLLPHLQKLNLAYNHFNLSKIPSEFGRFTSLFYLNLSNTRSLSLAGCDLQGKFPNNIFDLPNLNLLNLGGNQNLNLDPLKFNRSSNIEHLDLSSMSFSTEFIDSVDNLQALKYLDLSRNSFFQGLSVSITNLSSLEQLIISGANVSGVLPDSMGNLVSLKFLDLSDSNLSGTVPRSLGNLLQLTHLDLRWNQLSGQIPRSLGNLLQLTHLDLSENQLSGQIPRSLGNLLQVTHLDLSGNQLSGQIPRSLGNLLQLTHLYLVQNQLSGQIPRSLGNLLQLIHLRLSLNQLSGQIPRSLGNLLQLTYLDLSENQLSRKIPRSLGNLLQLTQLDLSQNQLSGQIPSSILNLTQLEDLRISENSLEGSIPDEVTAFPNLIYFGLSDNLLNGTLPSWLYTAPSLKYIYLFQNQFSGHIKEFQSKSLELIRLENNKLQGPLPSSIFQLLSLTQLSLSSNNLSGVIEFRMFSNLPNLEYLNLSYNSLSLTSNTTSTVNLRYLYLSSCNLSEFPQFLKGLKSLERLDLSCNKIEGKIPQWMQEVGNDSLTYLNVSHNSLTEVEHFPWKNIEFLDLSSNLISGNLPTPASTINVFLISNNSFNGEVSSLICNASSLQILDLSHNYLSGTIPQCFGNLSNSLQFLNLRKNKFYGTIPPTFAEGCQLSNFNLNGNLLEGPLTPSILNCNDLEVLDLGNNKINDTFPHWLGSLPQLQVLVLKSNHMHGSLRVNSSKSSPFFSKIQIFDLSSNYFSGPLPVRYINSFNAIINLKKNASARPYMGVEDHTSGFYTYSIGIVMRGQETELVKIFTMWMIIDLSNNQFEGGIPEVFGKLNLLKGLNLSHNNLNGCIPTSIGNLTSLEWLDLSSNRLSGTIPNRLADLPFLSSFNVSENQLHGQIPQGKQFNTFGNDSYEGNKGLCGFPVSKGCNIIEPAPPNVLEKDGSKSNIAFGWKVVLIGYGCGVVFGMSVGYVVFQTGKPKWLVNLVENQQEKRRRRKSKKGNRSTRQRRI >KJB59771 pep chromosome:Graimondii2_0_v6:9:22655684:22659188:-1 gene:B456_009G271000 transcript:KJB59771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITATIILLSLTFFISNVVVPSSYAQQHSAVTLAEIRALTSFKRSVFQDPLGALDGWDALTPSAPCDWRGIVCYNNRVRELNLPRLQLGGQLSDQLSNLRELRKLNLHSNNFNGDIPNALAQCALLRAVYLHYNSLSGNLPPSIFNLTNLQVLNVAHNYLTGKIASDFHWSLRYLDLSSNDFSGELPSNFSAQSQLQLINFSYNRFSGGIPASIGKLQELQYLWLDSNQLYGTLPSAIANCSSLVHLSAQDNKLKGLVPGSIGAVPNLQVLALSHNRLSGAVPESIFCKSSGNFTPSLRILQFAFNEFTEFVKPQNNQSCVAVLEVLDLHENKIHGVFPSWLTTLTTLRILDISRNFFTGLLPVEIGNLFKLEDLRVANNSLTGSVPTQLLQCGSLKVVDFEGNRFSGQMPIFFSQMKSLKSLSLGRNLFSGSIPYGFGNISGLETLNLSGNNFTGKVPEDIMRLSNLTTLNLSNNKFSGEIPVGLGDLLSLVVLNLSSCGFAGAIPGSIGNMMKLTSLDFSKQQISGELPIELFGLPSLQVVAFQENRLSGDVPEGFTNLVDLQYLNLSSNGFTGQIPSSYGLLQSLVVLSVSFNRVSGMVPLELDNCSDLEVLQLRSNRLSGNIPGDLSRLSHLKELDLGLNHLDGEIPDEISRFSSITTLFLDGNHLSGNIPELLSKLSNLTALNLSSNNLSGSIPSSLSNMISLKHLNLSRNYLEGEIPSALGSHFDDPSVFSMNRELCGKPLKKECANVRNRKRRKLILLIVIAAGGAFFVAVFCCGYIYGLLRWRKRLREWGNGEKKRSPASASSVADRDRGGGENGGPKLVMFNNKITLAETLEATRQFDEENVMSRGRYGLVFKATYQDGTVLSIRRLVDGSIDECTFRKEAELLGKVKHRNITVLRGYYAGPPDLRLLVYDYMPNGNLGTLLQEANHQDGHMLNWPMRHLIALGIGRGLAILHSLSIVHGDIKPQNVLFDADFEAHLSEFGLEKLTIATPAEASSSSTPVGSLGYMAPEASLTGQPSKEADVYSFGIVVLEILTGRKPVMFTQDEDIVKWVKKQLQKGQISELLEPGLVELDPESSEWEEFLLGVKVGLLCTATDPFDRPSMADIVFMLEGCRVGLKIPSSADPTSLPSPI >KJB56933 pep chromosome:Graimondii2_0_v6:9:10784688:10786734:-1 gene:B456_009G142300 transcript:KJB56933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGKWFRSLVTLKPLHEPINHEKMGDNKSKKKWKLWRSSSEGFGSSSSKSFKMRHVVAAPLASDSSFMADDLLAAAMATIVRAQPKDFRAVKREWAAIRIQTAFRGLLARRALRALKAVVRIQAIFRGRLVRKQAAVTLRCMQALVRVQARVRAQCLVSSEEQSLHKLDDPTKEAEKRWCDIPGTLEEVKAKQQMRQQGAKKRERAMAYSVSRSYGNGSPNFRANKQQPLSRRHHQRLHRDSPDWDWLDRWMSTKPWESRTIEEPDSTVSRKSEDNIVSFHSTSSEQRDSLKLKTKNPKTSILTRPANQTSCSSSAPSSESVNDKSSTSTSSASVSPTTLSSNTIVVGTLNDSNHTQKPSYMNPTESIKAKQKTFKFSSSDNMWRHVVDDDDDDDLQYLHKKFTTLSCEDTRSSADSNPSINFSRELYRPRHDCPRINQWCQGRRQ >KJB61853 pep chromosome:Graimondii2_0_v6:9:52610349:52613316:1 gene:B456_009G388400 transcript:KJB61853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTTVPLFSYSLVLSFLPTLIVAQLNPVYFEHDCNQGSGNYTPNSTYEANLNSIISRFATLSYFNYGFFNLSAGESPNKVYSIALCRGDMNQADCNTCLNSTATELKQFCPRNKVAIAWSELCLVRYANRDLYGLLENDPHTCAFNPMNASNPTQFNRALSELLNNLSSEAAASGPLRKYAAGNAPTGILQTVYATVQCTPDMTQENCTACLNYGRRELRLCCLGRMGCRVLRPTCVLRFESNPFYNETAVPLPSPPTTSSPTPSPGNGNNTTRTVIIVIASVVGLVILITISICIFKRPKRNKDIPIKVETKDADNEMSGADSLQFGFDSVLVATDNFSDANKLGQGGFGAVYKGQLPNGEEIAVKRLSKGSGQGDLEFKTEVQLVAKLQHRNLVRLLGFCLQEQERLLIYEFVPNASLDHFIFDRIRRAELDWEIRFKIIHGIVRGLLYLHEDSRLRIIHRDLKASNILLDAEMVPKIADFGMARLFGQDETQGSTSRIVGTHGYMAPEYVFHGQFSVKSDVFSFGVLLLEIISGQRNNSFRYDEQYEYILGFAWRSWREGTALNLVDPTLGDSSRNEIMRCIHIALLCVQEKVAARPTMASVVLMLNSFSTTLAVPAQPAFVMQGNFNFDMSTSSASASNQSNTELPPLPRNEVSISELSPR >KJB57784 pep chromosome:Graimondii2_0_v6:9:13906655:13907125:-1 gene:B456_009G180500 transcript:KJB57784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLKLFFMLAMVMALEAITLSTSISENSDHRRLADSQMPTSFGGAGGFRPFFTCDKRPEICSIKGQFCCNRRCVDLKTDQYNCGRCGRTCNYSKICCEGKCVSPLSNEKHCGGCNNNCGKGSSCLYGMCSYA >KJB61118 pep chromosome:Graimondii2_0_v6:9:39825285:39825917:-1 gene:B456_009G341700 transcript:KJB61118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHEVWVVKRIIWYQILYQGYCTFSSLPSFNSVQVNFIPIPKMASPICFYLLFLSLLSQTQLSFSTTHTPIDHGRPLVTSIADVHDLLPKYGLPKGIVPNNVKSYTLSSTGDFTIELESTCYVQFDDLVYYDKTISGKLSYGAVHDVSGIQAKKLFLWLPVTAIEVNGKSGMVQFFVGAFSKEFPAVQFEDIPVCRRKAVLRADLFASM >KJB61116 pep chromosome:Graimondii2_0_v6:9:39824868:39826071:-1 gene:B456_009G341700 transcript:KJB61116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHEVWVVKRIIWYQILYQGYCTFSSLPSFNSVQVNFIPIPKMASPICFYLLFLSLLSQTQLSFSTTHTPIDHGRPLVTSIADVHDLLPKYGLPKGIVPNNVKSYTLSSTGDFTIELESTCYVQFDDLVYYDKTISGKLSYGAVHDVSGIQAKKLFLWLPVTAIEVNGKSGMVQFFVGAFSKEFPAVQFEDIPVCRRKAVLRADLFASM >KJB61115 pep chromosome:Graimondii2_0_v6:9:39823083:39826071:-1 gene:B456_009G341700 transcript:KJB61115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHEVWVVKRIIWYQILYQGYCTFSSLPSFNSVQVNFIPIPKMASPICFYLLFLSLLSQTQLSFSTTHTPIDHGRPLVTSIADVHDLLPKYGLPKGIVPNNVKSYTLSSTGDFTIELESTCYVQFDDLVYYDKTISGKLSYGAVHDVSGIQAKKLFLWLPVTAIEVNGKSGGRLF >KJB61117 pep chromosome:Graimondii2_0_v6:9:39825285:39825917:-1 gene:B456_009G341700 transcript:KJB61117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHEVWVVKRIIWYQILYQGYCTFSSLPSFNSVQVNFIPIPKMASPICFYLLFLSLLSQTQLSFSTTHTPIDHGRPLVTSIADVHDLLPKYGLPKGIVPNNVKSYTLSSTGDFTIELESTCYVQFDDLVYYDKTISGKLSYGAVHDVSGIQAKKLFLWLPVTAIEVNGKSGMVQFFVGAFSKEFPAVQFEDIPVCRRKAVLRADLFASM >KJB60698 pep chromosome:Graimondii2_0_v6:9:30828932:30829505:1 gene:B456_009G320200 transcript:KJB60698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQVICSTVFPLLCILLLFLSVEAQTCSPSGKIKGKTPPPGQCNQENDSDLHSDDDPVVALSTGWYNNGKRCLNYINIHGNGKSVRAKVVDECDSTMGCDSDHDYQPPCPNNIVDASKAVWKALGVPESDWGGMDIYWSDTD >KJB55681 pep chromosome:Graimondii2_0_v6:9:6460804:6464520:1 gene:B456_009G088500 transcript:KJB55681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRMDLDGRPIKPMTICMIGAGGFIGSHLCEKLMAETPHKVLALDVYSDKIKHLLEPDSLPWAGRIVFHRLNIKHDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDSPLRKDPAYYVLKEDTSPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLDFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFVYIKDAIEAVLLMIENPDRANGHIFNVGNPNNEVTVRQLAEMMTKVYAKVSGEPSLESPTIDVSSKEFYGEGYDDSDKRIPDMTIINRQLGRLEPQDNALGLA >KJB55680 pep chromosome:Graimondii2_0_v6:9:6460747:6464577:1 gene:B456_009G088500 transcript:KJB55680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRMDLDGRPIKPMTICMIGAGGFIGSHLCEKLMAETPHKVLALDVYSDKIKHLLEPDSLPWAGRIVFHRLNIKHDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDSPLRKDPAYYVLKEDTSPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLDFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFVYIKDAIEAVLLMIENPDRANGHIFNVGNPNNEVTVRQLAEMMTKVYAKVSGEPSLESPTIDVSSKEFYGEGYDDSDKRIPDMTIINRQLGWNPKTTLWDLLESTLTYQHRTYAEAIKKSMVKPIAS >KJB60418 pep chromosome:Graimondii2_0_v6:9:27411855:27417352:1 gene:B456_009G304300 transcript:KJB60418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPYPLQSPYTKFPVSDLSFSYELPFPFLCEFSSENEKTMYGNQSDNTCRLQIQLNDLEPEMMASFNRSWAQQTEESYQLQLALALRVSSLAASAADSNFLDFNSDTNTNRVSSSSSSSSSSPQHVSHRFWVNGILSYFDRLPDGFYQVNGMDPYAWTISADQGEIGLMPSIESLRAIDPHADLSITVVLIDRLRDPSLKELQNWVLKISSSWISTKDAINQLACLVCNRMGGAASSEEDVYRQWKECTEVLKNCSGSIVFPIGSLSVGLCVHRVLLFKILADLVNLPCRITKGCKYCRREDASSCLVQLGPDREYLVDLFEEPGALSQPDSSLNGTSSILVSSPLCHPRFKPVEIATNIKTLAKLYFIDDQSHKHACLDASSDNASNQNEQTGPQPRKAFDRNYFNKNKPFSTLSNNKESSLSPLHQSILWNIGCDKDLQMLNSSNLLPKAINSTHFIRSPPLPSSVTSHMHKDVYQAFPYSDPMQCTVNFKQSDDPVMSFDQEDLNIPWSELVLKERIGAGSFGTVHRAEFRGCEVAVKILMEQDFHIERFREFLREVSIMKCLRHPNIVLFMGAVTQPPKLSIVTEYLSRRSLFRLLQMPDAWKVLNEKLRLNMALDVARGMNYLHQLKPPIVHRDLKSPNLLVDSNYTVKVCDFGLSRSKANTFLSSKTAAGTPEWMAPEVLCNENSNEKSDVYSFGVVLWELMTLQQPWKHLNPPQVNLSFI >KJB60417 pep chromosome:Graimondii2_0_v6:9:27411760:27418422:1 gene:B456_009G304300 transcript:KJB60417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPYPLQSPYTKFPVSDLSFSYELPFPFLCEFSSENEKTMYGNQSDNTCRLQIQLNDLEPEMMASFNRSWAQQTEESYQLQLALALRVSSLAASAADSNFLDFNSDTNTNRVSSSSSSSSSSPQHVSHRFWVNGILSYFDRLPDGFYQVNGMDPYAWTISADQGEIGLMPSIESLRAIDPHADLSITVVLIDRLRDPSLKELQNWVLKISSSWISTKDAINQLACLVCNRMGGAASSEEDVYRQWKECTEVLKNCSGSIVFPIGSLSVGLCVHRVLLFKILADLVNLPCRITKGCKYCRREDASSCLVQLGPDREYLVDLFEEPGALSQPDSSLNGTSSILVSSPLCHPRFKPVEIATNIKTLAKLYFIDDQSHKHACLDASSDNASNQNEQTGPQPRKAFDRNYFNKNKPFSTLSNNKESSLSPLHQSILWNIGCDKDLQMLNSSNLLPKAINSTHFIRSPPLPSSVTSHMHKDVYQAFPYSDPMQCTVNFKQSDDPVMSFDQEDLNIPWSELVLKERIGAGSFGTVHRAEFRGCEVAVKILMEQDFHIERFREFLREVSIMKCLRHPNIVLFMGAVTQPPKLSIVTEYLSRRSLFRLLQMPDAWKVLNEKLRLNMALDVARGMNYLHQLKPPIVHRDLKSPNLLVDSNYTVKVCDFGLSRSKANTFLSSKTAAGTPEWMAPEVLCNENSNEKSDVYSFGVVLWELMTLQQPWKHLNPPQVVAAVGFKGERLEIPSHVNRVVASLIEQCWAREASERPPFSHVIQCLQQVIQNTASQELNGLSL >KJB60419 pep chromosome:Graimondii2_0_v6:9:27415083:27418396:1 gene:B456_009G304300 transcript:KJB60419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLRHPNIVLFMGAVTQPPKLSIVTEYLSRRSLFRLLQMPDAWKVLNEKLRLNMALDVARGMNYLHQLKPPIVHRDLKSPNLLVDSNYTVKVCDFGLSRSKANTFLSSKTAAGTPEWMAPEVLCNENSNEKSDVYSFGVVLWELMTLQQPWKHLNPPQVVAAVGFKGERLEIPSHVNRVVASLIEQCWAREASERPPFSHVIQCLQQVIQNTASQELNGLSL >KJB61947 pep chromosome:Graimondii2_0_v6:9:54116790:54117289:1 gene:B456_009G393600 transcript:KJB61947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIWTMRNKLLREENPTTCPLVYWKLPQKHSVKINFDAGFCQQNNKLCSGIILRDDSGIVLWLKAIMHVNIPSPFTAEAMACAEAAEMGLQLGFPRVEIEGESLAVIRKLKAEGIERSVIRVYISNIKTTCEQYKKCVFLH >KJB61657 pep chromosome:Graimondii2_0_v6:9:50646805:50648621:-1 gene:B456_009G3735001 transcript:KJB61657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAQLSNLHSCKYEELEQYQTSSQHHPLPLCFPNLILLDILQCKSLKSLFPIIVAQGSSKQLNAPNLQTLRIERCFRMEEIIQDSQVSTISFQCLREVQVTKCNKLKFLFPMCVANSLGQLQTLMIERCFGMEEIIQDSQVSTISFQCLREVRVTECNKLKFLFPMCVANSLGQLQTLTIESCSQLQEIIQGPDEVLISMSQGLARLKNVELINLPQLKGRERNYIVLTSASLHLLKVRDCPQLTPIIVSTNIQVLYFSKMIEKKQISNVTVPERRGGTSISNFEELFEYPRYNLSSLEKLTLSKLTELRVIWSGPIQVEHFQNLTRLQVRNCRRLRYIFSPTIARNLPQLSWLHIADCEELEQIIEKDQTPSQHHLQPICFPNFWWITIINCENLKCLFPIPLVHGGLPKLSGLCLRQVSKLEQVFEGDETNLNEEEEK >KJB62475 pep chromosome:Graimondii2_0_v6:9:65178315:65181171:-1 gene:B456_009G418600 transcript:KJB62475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDGFSLPVSIGGFVHQEQNNTNPNPKSNPVKKKRNLPGNPDPDAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRNNKEPVKKKVYICPEKTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARFSSVAGAATNLNFRNDVVNLPIGFGGIPQFGSGFTQDVSGMTVSGLPEMVQIASGNLFGSSSLNNFGTDSNPPLLQLPQGLKEEGGSKGKLMESLSPLYSDTQNEQSKPAAPMSATALLQKAAQMGSTRSKPTSFFGNSFGVMSSTSSQRSASLRSTQNRNGQLQQIYPNVKQQESNLMASSSVSVSANAGLGTSNNFDRLILQTGVKPNAPNQLKMHPGSNSIDQSLTRDFLGMGNDQSARPFLPQELAKFASIGSNMGLNQFSSSNH >KJB60332 pep chromosome:Graimondii2_0_v6:9:26787545:26788461:-1 gene:B456_009G300600 transcript:KJB60332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFQMLKRVQKSTTGKLWNSSSQTLTLTDKKVWQGSHYTEFPETIQDGDNGEFTHDADEGEFSGSIVGLVYRRRDGTRWVIAWSNPLLGESKVFTDIQQQPIHWGQIKTNLDKRGKQKFKVSKFGYIASVEIDPFTVKASFELEP >KJB55379 pep chromosome:Graimondii2_0_v6:9:5233312:5235446:-1 gene:B456_009G073300 transcript:KJB55379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGALSMVGSSVMDSQTGPCLCLDALPSTNMNLKTGAELGMQRRHSMKRKHAMARPGCLELSSSFVDSWHDSRLSSKVIPGIMSKNLRKQRKDRKLVVVDNLGGQYEDTFSDVKTQLLNYFTYKAVRTVLNQLYEMNPPQYTWFYQFVAANKPSEGKRFLRILGKERQELAERVMITRLHLYGKWIKKCDHAQIYKEISDENLELMRERLIETVVWPSDDTNTEKIG >KJB60539 pep chromosome:Graimondii2_0_v6:9:28933172:28934224:-1 gene:B456_009G311000 transcript:KJB60539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQARRLLQKDHQKRDSDEDETFYFRYSSAVAPPSSSSYNPNQAISKSTGGGDGSGGLAPSKSTLHVSNLDYSLTNSDLHTFFSTFGKIACVAVLKDCTTRNSKGVAFVQFVFREDSLSAASVMHGKILNGKTLSVSIAVDNGRAPEFIKKRVYEDKSRCYECRVGWHLSYECPKNRLGPRERPVPKKGRRGGGGRKRRRRKMTRKGNYFSDEDE >KJB61060 pep chromosome:Graimondii2_0_v6:9:37199009:37200344:-1 gene:B456_009G338100 transcript:KJB61060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKGSEEVSCVFERGTLCNFWVAPKFCKGLDKVELDEVCSFHGLVFAAPAPFPPFIVAADVAYAFFHYGDNIGSSCGFSFVIKENNLEPELKWIIAWKNGPCNDNKVYTNILPLSDVVDWDQIKCNLESENCGPKSCCDLSGIKAEAFIEPGVKTTMKAKLIKQ >KJB54684 pep chromosome:Graimondii2_0_v6:9:3234065:3236690:1 gene:B456_009G044800 transcript:KJB54684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVSCQSSEQEICRDESAALKLKPIAIASILVAGVAGIAIPLIGKHRMFLRTDGSLFVATKAFAAGVILATGFVHMLADGNEALTDPCLPEHPWSKFPFSGFFAMIASLLTLLVDFVGTQYYERKQGLGRGSTGESGRVESVESDSEFGTVPVLEGRDLHAKVFGAEEGGGMHIVGMHAHAAHHRHSHPHGQDGCDWLLRSRGHEEGHQQGHSYGHGHDFGVEDGDNGRRHVVVSQILELGIVSHSVIIGLSLGVSQSPCTVRPLIAALSFHQFFEGFALGGCISQAQFKTLSAAIMACFFAITTPVGIVIGTAIASSYNPYSPAGLLTEGILDSLSAGILVYMALVDLIAADFLSKTMSCNFRLQLVSYLMLFLGAGLMSSLAIWA >KJB54085 pep chromosome:Graimondii2_0_v6:9:1535514:1537774:1 gene:B456_009G020000 transcript:KJB54085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFGNHLTIDNHSQLVFAIFAHYYLFPFLFSVYFLQKRKNKNKRTILALDLWEGFSNILKQKEKISGIFVHGKEYGFGAHDFPISGVFEVEPKSCPGFIYRCSILLGCINMSFSEFRALIESVASEYHGDTYHLISKNCNHFTEDIVHRLTGKHIPGWVNRLARIGSLCSCLLPESLQVTTVKQLPEYHEMGMLLIFSADFKYLNLPFTLYNLLVLIKFWDFASASFGWFVSRRWNRNSLNCQPRRLNRNRRYRSRKAVAVTKRWEFGYIFY >KJB54086 pep chromosome:Graimondii2_0_v6:9:1535514:1537774:1 gene:B456_009G020000 transcript:KJB54086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFGNHLTIDNHSQLVFAIFAHYYLFPFLFSVYFLQKRKNKNKRTILALDLWEGFSNILKQKEKISGIFVHGKEYGFGAHDFPISGVFEVEPKSCPGFIYRCSILLGCINMSFSEFRALIESVASEYHGDTYHLISKNCNHFTEDIVHRLTGKHIPGWVNRLARIGSLCSCLLPESLQVTTVKQLPEYHEMDGTETLSTASPGGSTEIDDTDPEKQLLSPKDGNSDISFIKEAQMCECKVP >KJB54087 pep chromosome:Graimondii2_0_v6:9:1535514:1537859:1 gene:B456_009G020000 transcript:KJB54087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFGNHLTIDNHSQLVFAIFAHYYLFPFLFSVYFLQKRKNKNKRTILALDLWEGFSNILKQKEKISGIFVHGKEYGFGAHDFPISGVFEVEPKSCPGFIYRCSILLGCINMSFSEFRALIESVASEYHGDTYHLISKNCNHFTEDIVHRLTGKHIPGWVNRLARIGSLCSCLLPESLQVTTVKQLPEYHEMEDGTETLSTASPGGSTEIDDTDPEKQLLSPKDGNSDISFIKEAQMCECKVP >KJB61779 pep chromosome:Graimondii2_0_v6:9:51620251:51639095:-1 gene:B456_009G380200 transcript:KJB61779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVVGIANCLGTPVCKFLQYHRKLNDYVRNFKTIRDELNCKMEDIELQVKAELLRPLRKVPKKGVENWLKDVKEMIREAQVVENKVRNGRYLCRACNGKLVDEKTREMKRFLDKAPNASEGLAMDGPNAGLPLPTSELVGEEAVRNEIWACLMQEEVSKIGVWGMGGVGKTTIMKHIHNDLLKQQRFERVIWVTISKEFNVMKVQDDIAGALKLKEDWPREGDKLRRAAILSEMMKNAGKHVLILDDVWDKVSLEEVGIPELSDSNGCKLVLTTRSEHVCKYMGCTVIKVKPLSAQQALTLFLSKVGPNIVQNQTIMPTLKLVVKECAGLPLTIVVVAGTLKGEEDPLIWKNALGELKERIEKEEEVEAKVIKRLKFSFDHLKDEKVKYCFLHCALYPEDYEIRKDELIQCWIDERFIDDMGTRQEMKEKGHVILKKLEENCLLENITNVHGQPCIKMHDAVREMALSITRMNPRYMIQAGLQLEELPEKEQWSPDIEKVSLMHNSISEISIDVLPTKCQLLTTLLLQENPIKKISISFFTNMPCLSVLNLSSTKIKSLPDSISELKNLTTLLLRDCYELRDLPCLSMLQELKKLDLSRTKIEEVPEGMDMLIKLRYIDLQVFTLKEIPAGLLSKLVHLQHLCFHKNNKRTSLKAEEMEPLKKLECLTGHFEDISEFNKFISSMQQSKKNLIKYSLQVGLSFMLATRDKTVTIGGVQNWEGDLIMHPIEIQEDKTVTIGGVQNWEGELIMHPIEIQELNILKCHYLRSLVDDNSSFKNAIYLRIRDCKGIECVVSLSSFASSSAHPFQSLEVLYLHDLPKLSALIMIDDGIGSATASTLAPSATFSHLKEITIQFCSSMKTLLPHWLLPNLQNLEEISVDHCGELVEILGVETSEVEEKGSDALIKFRLPKLRLLTCWELPNLKSICGKSGVMVCDSLQVIKVYGDCDKLKRIPLFVPLVGNGQPFAYAPPSLTIRSWKEWWESLEWDDDPNFKNVLQPLWKLLKRCGLHWCERSRRE >KJB53454 pep chromosome:Graimondii2_0_v6:9:4092913:4093134:1 gene:B456_009G056500 transcript:KJB53454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMASGGVAIVATLGYFALYSNKKPEASAKDVAKVTAGVAKPGNTRPR >KJB57964 pep chromosome:Graimondii2_0_v6:9:14411304:14417468:1 gene:B456_009G187700 transcript:KJB57964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEKKFLTVAPFECAWIKDLKFREAGRGCVSFDAFAHNDVTVVFRENVGSQHYHYKRDNSPHYTVIIGSHRNSRLKIEVDGKTVVDVVGIGLCCSSAFQSYWISIYDGLISIGKGRYPFQNLVFEWLDTNPNCSVQYVGLSSWDKHVGYRNVNVLPLTQNHLSLWKQVNSEYNGDGDEELGDEQTGYDKWGLENFLESWELSDMVFIVGEEARSVPAHKVILQASGNFGLSSSHEDVIQLQQVAYPTLHALLQYVYAGQTQISEAQLSSLWGLALRFEVMPLVKQCEEAMERFKANKKLSDSGETMELSYASSHIHFGGNFCCGLPINMQRLQQLRSTGEYSDISIYIEGQGLIARAHKVILGLYSVPFTKMFTNGMCESNSPEVCLRDESPAALKAMLEFMYCGDLRIEDNEDFGTLLLQLLLLSDKFGISLLHQECCKMLLECLSEDSVCPILQVVSSIPSCKLIKETCERNFAMHFDYRTTASLDFISLDETTFRNIIQHPDLTVISEERVLDAILMWYMKSEKLCGWEVVNELITNSTLESVFKDRLQLVNDLLASVRFSLLPYPLLKKLENTSLSTQISAFGDLVKEAINYIECGAATHGNDQNERFQHRRSSYKELQYICDGDSNGVLYFSGTSYGEHPWVNPVLSKRITITASSPASRHTDPKVLVSRTYQGTCFAGPRMENGNICAWWMVDIGKDHQLMCNYYTLRQDGSRAYIRNWKFQGCMDGKTWIDLRVHENDQTMCKPGQFASWPVTGPNALLPFRFFRVLLTGPTTDASNPWNLCICFLELYGYFR >KJB57965 pep chromosome:Graimondii2_0_v6:9:14411684:14417468:1 gene:B456_009G187700 transcript:KJB57965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEKKFLTVAPFECAWIKDLKFREAGRGCVSFDAFAHNDVTVVFRENVGSQHYHYKRDNSPHYTVIIGSHRNSRLKIEVDGKTVVDVVGIGLCCSSAFQSYWISIYDGLISIGKGRYPFQNLVFEWLDTNPNCSVQYVGLSSWDKHVGYRNVNVLPLTQNHLSLWKQVNSEYNGDGDEELGDEQTGYDKWGLENFLESWELSDMVFIVGEEARSVPAHKVILQASGNFGLSSSHEDVIQLQQVAYPTLHALLQYVYAGQTQISEAQLSSLWGLALRFEVMPLVKQCEEAMERFKANKKLSDSGETMELSYASSHIHFGGNFCCGLPINMQRLQQLRSTGEYSDISIYIEGQGLIARAHKVILGLYSVPFTKMFTNGMCESNSPEVCLRDESPAALKAMLEFMYCGDLRIEDNEDFGTLLLQLLLLSDKFGISLLHQECCKMLLECLSEDSVCPILQVVSSIPSCKLIKETCERNFAMHFDYRTTASLDFISLDETTFRNIIQHPDLTVISEERVLDAILMWYMKSEKLCGWEVVNELITNSTLESVFKDRLQLVNDLLASVRFSLLPYPLLKKLENTSLSTQISAFGDLVKEAINYIECGAATHGNDQNERFQHRRSSYKELQYICDGDSNGVLYFSGTSYGEHPWVNPVLSKRITITASSPASRHTDPKVLVSRTYQGTCFAGPRMENGNICAWWMVDIGKDHQLMCNYYTLRQDGSRAYIRNWKFQGCMDGKTWIDLRVHENDQTMCKPGQFASWPVTGPNALLPFRFFRVLLTGPTTDASNPWNLCICFLELYGYFR >KJB58500 pep chromosome:Graimondii2_0_v6:9:16463068:16467933:1 gene:B456_009G212300 transcript:KJB58500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKAEEMPRSESVSSPVDMDHISGEGQAISISNGTKQPESHLLVTDSSKSASLQNASGDPVRGQEASRTESAASTSSVKIEQTETDHQGRLMEDIKTGGIHDGSDEHPSQNTGSNPKVGDSRNDHLEPAKTAVGSIHGVSDGQQSQETNSVVSSSLVNNDNGVILPSVSTNQSETSELTLPLSDIGTIAVGSSPDTSIGSPLDSSHVLLDGVISSSPKVNDSKAGDAKNEDNVYEINDLTLPHQKIISSAESPKYIGPSRKKQIDLNRGLIDTAAPFESVKEAVSKFGGIVDWKAHRMQTLERRKLVEQEFVKVQEEMPEYKKRSEDAEEAKMKVLKELDSTKRLIEELKLNLERAQTEENQAKQDSELAKLRVEEMEQGIADEASVAAKTQLEVAKARHAAAVSELKSVKEELETLKKEYASLMNDRDIAIKKAEEAVSASKEVDKTVEELTIELIATKESLESAHAAHLEAEEKRIGAAMARDQDTHHWEKELKQVEEELQRLNQQIHSAKDLKSKLDTASALLLDLKAELASYMESTLKEETDGHHNTESQASETRTHTDAQASVASLKKELEDVKVNIEKATAEVDCLKVAAISLKSELDKEKSDLANTKQREGMASIAVASLEAELEKTRSEIAVVQMKEKEAREKMVELPKQLQQAAQVADEAKSLAEMAREDLRKAKEEAAQAKAGASTMESRLLAAQKEIEAARASEKLALAAIKALQESESAKSIDNVDSPAGVTLSLEEYYDLSKRANEAEEQANMRVAAAISQIEVAKQSESRSLGKLEEVTREMAERKQALKIAMEKAEKAKEGKLGIEQELRRWRAEHEQRRKATELNHGENPPRASSEGKKETKNFEPVPAAPTLADTLASPKAYDHGSTTETESSPEPKVVKKKKKSLFPKILMFLSRKKSSSSKSHVSSQ >KJB58499 pep chromosome:Graimondii2_0_v6:9:16464006:16467847:1 gene:B456_009G212300 transcript:KJB58499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKAEEMPRSESVSSPVDMDHISGEGQAISISNGTKQPESHLLVTDSSKSASLQNASGDPVRGQEASRTESAASTSSVKIEQTETDHQGRLMEDIKTGGIHDGSDEHPSQNTGSNPKVGDSRNDHLEPAKTAVGSIHGVSDGQQSQETNSVVSSSLVNNDNGVILPSVSTNQSETSELTLPLSDIGTIAVGSSPDTSIGSPLDSSHVLLDGVISSSPKVNDSKAGDAKNEDNVYEINDLTLPHQKIISSAESPKYIGPSRKKQIDLNRGLIDTAAPFESVKEAVSKFGGIVDWKAHRMQTLERRKLVEQEFVKVQEEMPEYKKRSEDAEEAKMKVLKELDSTKRLIEELKLNLERAQTEENQAKQDSELAKLRVEEMEQGIADEASVAAKTQLEVAKARHAAAVSELKSVKEELETLKKEYASLMNDRDIAIKKAEEAVSASKEVDKTVEELTIELIATKESLESAHAAHLEAEEKRIGAAMARDQDTHHWEKELKQVEEELQRLNQQIHSAKDLKSKLDTASALLLDLKAELASYMESTLKEETDGHHNTESQASETRTHTDAQASVASLKKELEDVKVNIEKATAEVDCLKVAAISLKSELDKEKSDLANTKQREGMASIAVASLEAELEKTRSEIAVVQMKEKEAREKMVELPKQLQQAAQVADEAKSLAEMAREDLRKAKEEAAQAKAGASTMESRLLAAQKEIEAARASEKLALAAIKALQESESAKSIDNVDSPAGVTLSLEEYYDLSKRANEAEEQANMRVAAAISQIEVAKQSESRSLGKLEEVTREMAERKQALKIAMEKAEKAKEGKLGIEQELRRWRAEHEQRRKATELNHGENPPRASSEGKKETKNFEPVPAAPTLADTLASPKAYDHGSTTETESSPEPKVVKKKKKSLFPKILMFLSRKKSSSSKSHVSSQ >KJB61464 pep chromosome:Graimondii2_0_v6:9:47354609:47357362:1 gene:B456_009G359700 transcript:KJB61464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRGSKVPQRQSPRGSSSDSYPLRHRAITDSSSPKLRECRSRSGTPQSGPLNQKKLGTRIADLETQLGHAQQELKILKHQLDSAEAAKKEAQEQLEKKTKKPKAPQKTHDSKKTHSCSLRDEFPEDNRRETDVFEVPMEKVAMEPKLEVDTTDAIETSIDPTTALEPEKPPSNDLALKDDEINVLKSKLAEKENEVIAYAQENEDLKNQLNEVTTNISTAKAEMSLSLRLVKQELEASKKLAAQLTEKLRSVEGRREALEEEMKKLRVQTEQWRKAADAATAILCGGEETNGRLSNRCSSMDNIHFGGVYTGYVGSLGLDDDDGDGDGFRTEKRKGSGIKMFGDLWKKKGQK >KJB61465 pep chromosome:Graimondii2_0_v6:9:47354685:47357307:1 gene:B456_009G359700 transcript:KJB61465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSRGSKVPQRQSPRGSSSDSYPLRHRAITDSSSPKLRECRSRSGTPQSGPLNQKKLGTRIADLETQLGHAQQELKILKHQLDSAEAAKKEAQEQLEKKTKKPKAPQKTHDSKKTHSCSLRDEFPEDNRRETDVFEVPMEKVAMEPKLEVDTTDAIETSIDPTTALEPEKPPSNDLALKDDEINVLKSKLAEKENEVIAYAQENEDLKNQLNEVTTNISTAKAEMSLSLRLVKQELEASKKLAAQLTEKLRSVEGRREALEEEMKKLRVQTEQWRKAADAATAILCGGEETNGRLSNRCSSMDNIHFGGVYTGYVGSLGLDDDDGDGDGFRTEKRKGSGIKMFGDLWKKKGQK >KJB55577 pep chromosome:Graimondii2_0_v6:9:6063438:6064733:1 gene:B456_009G083100 transcript:KJB55577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKDCGHHDDEEQLAKRITVAIVGVFVVVGIIIFLVWAILHPDKPRFILQDVTIYAFNLTAPNMLTSNMQITLSSRNPNDRIGIYYQKLDIFASYHNQQITLPTLVPRTYQGHLDVTVWSPFLYGNAVPVAPFLEEGLSQDMNTGMVLLNIKVYGQLKWKVGTWISGRYQINANCPAYISFTDRTKAIQVGSAMKYQLVQTCTVDVSLN >KJB61973 pep chromosome:Graimondii2_0_v6:9:54602380:54603223:1 gene:B456_009G3952002 transcript:KJB61973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPILTLILGITIGVLVIVLLEKEISTAIQQRIGPEYAGPLGILQALADGTKLLFKESILPSRGNTHLFSIGPVIAVISILLSFSVIPFSSRLILSYLNIGIFLWIAISSIAPVGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSISLQVSGRKRKRKKSLPQDELISQSILKRAQKINRIEFSLSFVWIPIHVLLNKQGIEQKTSGWLGTPKYIKD >KJB60474 pep chromosome:Graimondii2_0_v6:9:27982889:27988895:1 gene:B456_009G306900 transcript:KJB60474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIYAVTTRNLTTDQSVLLEFKHQINDPHGILVDNWTTSHSFCNWIGVTCGAKHNRIVALNLPNMNLAGTIPPELGNLSFLASLNLSGNGFHGDLPVELGQLSRLKLVDLSSNFFTGEIPSSFGRLNQVSDLVLSNNNLTGAIPPEISNLLNMRTLDLESNKLYGSIPSSIYNISSLRKIYLSLNSLSGKIPDDMCHHLSNLEALYLGTNELSGQIPTTIHECRNLQGLALDYNELSGSIPRSIGNLTALTELYLGGNNLEGEIPWEIGNIHSLEIFSAPNMSLNGEIPRSILNGSSLTAIYLMLNHLSGKLPDIRSDSNLEELHLWGNNLSGNIPESISNVSKLRNIALMANSFSGHIPNSLGNLTFLEELRLWKNNLTIETNSNGEWSFFASLLNCKYLKVLDLSVNPLKGVLPTSISNLSETLQVFSCADCRIEGTIPTEIGRLNNAMILELTNNELIGSIPTTIGGLTNLQYLGLSGNKLKGSIPHDLCGLKGLFELSLDDNELDGPLPPCLGELTSMRKLHLSFNKLHSSIPFSLWSLKDILEVDLSSNYFNGSLPLEIGKLSVLQHLNLSMNSLSNDIPSTIGNLRDLQVLALSSNRFQGLIPTSFGDLVSLESLDLSDNNLSGVIPRSLEGLRHLNNFNVSLNRLEGEIPSGGPFANFTSQSFMKNYALCGSPRLQVSPCKSNTHRNSKKTLLHVLRYVLPIVASIITVVTLIVVCTPLKKKRKSTSSTTIEDSFPLKEWKRISYDQLSKATDGFSGGNILGSGSFGTVYKGILFDETEVAIKVFNLQIEGAFRSFDVECEVMSKIIHRNLVKVITCCSSTTDFKALVLELMPNGSLDKWLYSNNHFLDILQRINIMIDVASALEYLHSGYSTPLIHCDLKPSNVLLDKDMIAHVGDFGIAKLLGEGDSMKQTMTLATIGYMAPEYGSAGIISVKSDVYSYGILLMETFTRKKPTDEIFAGEMSMKHWVKTSLGNGIIGAGDSGLLEEDDKYFVVKANCISSIMKLALDCSAELPEDRTDMENVLSMLKNIKRKLMNDIEED >KJB59364 pep chromosome:Graimondii2_0_v6:9:20478529:20479295:-1 gene:B456_009G251600 transcript:KJB59364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGRGSASQATMSVIALLLCLMVCLETIDAATYTVGGSNGWTFNTATWPKGKRFRAGDVLVFNYDATIHNVVAVNRRGYTSCTTPAGAKVYNSGKDKIKLAKGLNFFMCSTAGHCESGMKIAINAV >KJB62359 pep chromosome:Graimondii2_0_v6:9:63185181:63187508:-1 gene:B456_009G413500 transcript:KJB62359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKQQTNPNFVSACLIFTFLFLSYSAPVSADEEENEREFDYLENSEKGPSHWGDLKMEWSACKNGVLQSPVDLSSQRVKIVNKAGELKKTYKPCDAILRNRGHDISLKWHNCDAGLININGTEYSLQQVHWHSPSEHTINGARYALELHMVHHSTDPNFNHSVAVIGLLYKLGAPDAFLSKLITNVTSMADEMQEREVGVIDPNMIGIDGKKYYRYIGSLTVPPCTEGVIWTMNKIIRTVSIDQVLALRLAVHDYAESNARPVQPLNHRQIELHNPN >KJB62360 pep chromosome:Graimondii2_0_v6:9:63186393:63187806:-1 gene:B456_009G413500 transcript:KJB62360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKQQTNPNFVSACLIFTFLFLSYSAPVSADEEENEREFDYLENSEKGPSHWGDLKMEWSACKNGVLQSPVDLSSQRVKIVNKAGELKKTYKPCDAILRNRGHDISLKWHNCDAGLININGTEYSLQQVHWHSPSEHTINGARYALELHMVHHSTDPNFNHSVAVIGLLYKLGAPDAFLSKLITNVTSMADEMQEREVGVIDPNMIGIDGKKYYRYIGSLTVPPCTEDKNCFNRPSSGTPTSSP >KJB62358 pep chromosome:Graimondii2_0_v6:9:63186236:63187806:-1 gene:B456_009G413500 transcript:KJB62358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSACKNGVLQSPVDLSSQRVKIVNKAGELKKTYKPCDAILRNRGHDISLKWHNCDAGLININGTEYSLQQVHWHSPSEHTINGARYALELHMVHHSTDPNFNHSVAVIGLLYKLGAPDAFLSKLITNVTSMADEMQEREVGVIDPNMIGIDGKKYYRYIGSLTVPPCTEGVIWTMNKIIRTVSIDQVLALRLAVHDYAESNARPVQPLNHRQIELHNPN >KJB62357 pep chromosome:Graimondii2_0_v6:9:63186757:63187508:-1 gene:B456_009G413500 transcript:KJB62357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKQQTNPNFVSACLIFTFLFLSYSAPVSADEEENEREFDYLENSEKGPSHWGDLKMEWSACKNGVLQSPVDLSSQRVKIVNKAGELKKTYKPCDAILRNRGHDISLKWHNCDAGLININGTEYSLQQVHWHSPSEHTINGARYALELHMVHHSTDPNFNHSVAVIGLLYKLGAPDAFLSKVTPLIPKMNPVLP >KJB55067 pep chromosome:Graimondii2_0_v6:9:4391665:4394103:1 gene:B456_009G061400 transcript:KJB55067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCHRNDIGSIALDRPSTATSSTGNNFRLWTAFTGAAFRRKIFDAVSCGASSRHRHQLMQEMLNDTGSQSQPPPPTTTMKSVLKEFNDPKKADKVVKKPNSNGKSEKLADLLNLVETETAAETKRKVEALEELKRVVKELQVEDKERNVQAACRVRSLTKEDSEARMTLAMLGTIPPLVAMLDFQDSDSQIAALYALLNLGIGNDANKAAIVKAGAVHKMLKLLESPNEPNQAVSDAVVANFLGLSALDSNKPIIGSSGAIPFLVKSLKILDTNSRSQARHDALRALYNLSISPSNISFISEADLIPFLLNALGDMEVSERILSILSNVVSTPEGRKGISIAPEAFPILVDVLNWTDSPGCQEKASYILMVMAHKAYGDRQAMIEAGIVSSLLELTLLGSTLAQKRASRILEVLRVDKGKRVSENFGGNMNAAVSAPICGSSSSSSTNLNGKECLVEEAGMMSEEKKAVKQLVQQSLQNNMRRIVNRANLPQDFVPSEHFKSLTASTTSKSLPF >KJB55066 pep chromosome:Graimondii2_0_v6:9:4391569:4394184:1 gene:B456_009G061400 transcript:KJB55066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCHRNDIGSIALDRPSTATSSTGNNFRLWTAFTGAAFRRKIFDAVSCGASSRHRHQLMQEMLNDTGSQSQPPPPTTTMKSVLKEFNDPKKADKVVKKPNSNGKSEKLADLLNLVETETAAETKRKVEALEELKRVVKELQVEDKERNVQAACRVRSLTKEDSEARMTLAMLGTIPPLVAMLDFQDSDSQIAALYALLNLGIGNDANKAAIVKAGAVHKMLKLLESPNEPNQAVSDAVVANFLGLSALDSNKPIIGSSGAIPFLVKSLKILDTNSRSQARHDALRALYNLSISPSNISFISEADLIPFLLNALGDMEVSERILSILSNVVSTPEGRKGISIAPEAFPILVDVLNWTDSPGCQEKASYILMVMAHKAYGDRQAMIEAGIVSSLLELTLLGSTLAQKRASRILEVLRVDKGKRVSENFGGNMNAAVSAPICGSSSSSSTNLNGKECLVEEAGMMSEEKKAVKQLVQQSLQNNMRRIVNRANLPQDFVPSEHFKSLTASTTSKSLPF >KJB62570 pep chromosome:Graimondii2_0_v6:9:66170569:66171117:-1 gene:B456_009G4236001 transcript:KJB62570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVEIPLIKVVLLDCFLNVGDYLGVNCRRLYRLGRMMELKGTRRAIFL >KJB54398 pep chromosome:Graimondii2_0_v6:9:2418236:2420236:1 gene:B456_009G032300 transcript:KJB54398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPDNGGGEGLCLSYSTAFSKKMGDEITQMESNNILVKEEPIAESSGEEDNQEALLIKAVKEEEEDDDDDDETGVVDDMMNGGDCNNVSNNGSSSSSSSVDLPKPMEGLNESGPPPFLKKTYEMVEDPVTDPIVSWSINRNSFIVWDSYKFSEDLLPKYFKHKNFSSFIRQLNTYGFRKIDSDRWEFANEEFQRGKKHLLKNIKRRSRYNRQQQGGVNCANNSTSNIGLEAEVEILKKDRSILQLEVLKLRQQQEESNHQLSAVHERIRFAECRQQQMCNFIAKIAKYPSFIHRLTKKRKQQNIEIDEGEFSFSKKRKFLETQVTKCLPEAMGMTDLSVKCRNQVNEERLKSIQAAEISKLSPDYTEKNNNQTLHDEKSSEPAMSSVYDVMSENLLGESSGVENATNEELSSVNDSKIYLELEDLISWKQCSWGGFTSELVEQTGCV >KJB57265 pep chromosome:Graimondii2_0_v6:9:11940658:11942166:1 gene:B456_009G155600 transcript:KJB57265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNLSFLSPFAPFFVTFLLLFLFVEQISYLRKKRNVPGPNIILPFLGNAISLITKPTSFWEVQADLAASLGFCINYIIGRFIVFIRNTELSHLIFANRRPDAFLLDHKDLRRQIAPNFTHRALSTYPALQQIIILQHLRSWERLSSESPGNPIYFRLLARDMNLETSQIVFVGRYLSHEAREKFRDDYNLFNTGLMKLPFDLPGFAFRNARLAVERLVETLSDCATQSKKRMSEGDEPSCLINFWMQETVREIAESKTAPPRSSDVEIGSYLFDFLFAAQDASTSSLLWVVTLLDSHPDVLQRVREKVSRIWSPESDTLISAEQLREMKYTQAVARKVIRYQPPAALVPHIAMKDFPLTEPYTIPNGTIADRFEPERFSEDRQEEVIFKRNYLALGAGPHQCVGQRYALNHLVLFIAMFITGCDEIMYCPTICPKDGCRISLYQRCPRYPNLTLN >KJB56194 pep chromosome:Graimondii2_0_v6:9:8017347:8022634:1 gene:B456_009G109900 transcript:KJB56194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANALSSASVLCTSKQGSLGRRGNQRQNQRVNYRQGNSRFGVRACAKEIAFDQSSRAAMQAGIDKLADAVGLTLGPRGRNVVLDEYGSPKVVNDGVTIARAIELPNAMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSVKRGIDKTVQGLVEELEKKARPVKGRDDIKAVASVSSGNDDLIGTMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNPEKLVCEFENARVLVTDQKISAIKDIIPLLEKATQLRSPLLIIAEDVSGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAILTGAEFQASDLGLLVENTSGEQLGIARKVIITKDSTQLIAEAASKDEIQTRVAQLKKELAQTDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSSCVPAIKEKLEDADERLGADIVQKALVAPASLIAQNAGMEGEVVVEKVKSSEWEVGYNAMTDKFENLLEAGVIDPAKVTRCALQNASSVAGMVLTTQAIVVEKPKPKASAAAAPSGLAV >KJB58159 pep chromosome:Graimondii2_0_v6:9:15182349:15184039:-1 gene:B456_009G197000 transcript:KJB58159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCNKEEASVFCTADEAALCDACDHRVHHANKLASKHQRFSLLHPSSSKQIPLCDICQEKRAFLFCQQDRAILCRDCDVPIHAANEHTKKHNRFLLTGVKLSPTTAIYTSSSSSSVASLSNLGHSVPEFKSQQSVKNSVSASTPNLNSTSLAKSSSISTTSAAVTNNKSGGDNLLASVEGGSASSISEYLIEMLPGWHVEDFLDSSSPSFGF >KJB58158 pep chromosome:Graimondii2_0_v6:9:15182361:15183775:-1 gene:B456_009G197000 transcript:KJB58158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCNKEEASVFCTADEAALCDACDHRVHHANKLASKHQRFSLLHPSSSKQIPLCDICQEKRAFLFCQQDRAILCRDCDVPIHAANEHTKKHNRFLLTGVKLSPTTAIYTSSSSSSVASLSNLGHSVPEFKSQQSVKNSVSASTPNLNSTSLAKSSSISTTSAAVTNNKSGGDNLLASVEGGSASSISEYLIEMLPGWHVEDFLDSSSPSFGFCKSDDCMLPFLDADLESNMTTSSPESLGLLVPQSPYSLYPPQYSSQMGGQSGLKETNEIIGMKANRRPVDDSFTVPWISPQSTSSKRSKRLW >KJB58160 pep chromosome:Graimondii2_0_v6:9:15182939:15183767:-1 gene:B456_009G197000 transcript:KJB58160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCNKEEASVFCTADEAALCDACDHRVHHANKLASKHQRFSLLHPSSSKQIPLCDICQEKRAFLFCQQDRAILCRDCDVPIHAANEHTKKHNRFLLTGVKLSPTTAIYTSSSSSSVASLSNLGHSVPEFKSQQSVKNSVSASTPNLNSTSLAKSSSISTTSAAVTNNKSGGDNLLASVEGGSASSISEYLIEMLPGWHVEDFLDSSSPSFGFCKVCIDLLFPFPTTKVMTQNNMFPF >KJB56463 pep chromosome:Graimondii2_0_v6:9:8927850:8932009:-1 gene:B456_009G120600 transcript:KJB56463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMASVSSMEFWCILNLRATLITLLLILFFHLSKKLYSKFFPPPSVLHLPSPSSSTSLPESQSRIPEIVSDSDLKFLIDNLDEKLDEDEKWETVIDKKTNSLSYKAKCCRPKNKPLKYLSTTVFEGCSPELLRDFYMDNNYRKQWDKTVIDHVQLQMNTTNGIEIGCTIKKFPLLTPREYVLAWRLWEGKDRTFYCFIKECEHPSAPRRKKYVRVGYFRSGWQIRKVPGRDASEIRMFHQEDAGLNVEMAKLAFAKGIWSYVCKMDNALRKYAVISHPPTSPSVSPSTLIQKVPPELDMINGDALPAVSASMATFEPVNDKPREKRLSRKPSKKFVAKSLLVIGGVICLSRGHSGLGAKVAMAYILTKLRKRGDRSSQSMQT >KJB56464 pep chromosome:Graimondii2_0_v6:9:8928027:8932009:-1 gene:B456_009G120600 transcript:KJB56464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMASVSSMEFWCILNLRATLITLLLILFFHLSKKLYSKFFPPPSVLHLPSPSSSTSLPESQSRIPEIVSDSDLKFLIDNLDEKLDEDEKWETVIDKKTNSLSYKAKCCRPKNKPLKYLSTTVFEGCSPELLRDFYMDNNYRKQWDKTVIDHVQLQMNTTNGIEIGCTIKKFPLLTPREYVLAWRLWEGKDRTFYCFIKECEHPSAPRRKKYVRVGYFRSGWQIRKVPGRDASEIRMFHQEDAGLNVEMAKLAFAKGIWSYVCKMDNALRKYAVISHPPTSPSVSPSTLIQKVPPELDMINGDALPAVSASMATFEPVNDKPREKRLSRKPSKKFVAKSLLVIGGVICLSRGHSGLGAKVAMAYILTKLRKRGDRSSQSMQT >KJB56465 pep chromosome:Graimondii2_0_v6:9:8928024:8932075:-1 gene:B456_009G120600 transcript:KJB56465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMASVSSMEFWCILNLRATLITLLLILFFHLSKKLYSKFFPPPSVLHLPSPSSSTSLPESQSRIPEIVSDSDLKFLIDNLDEKLDEDEKWETVIDKKTNSLSYKAKCCRPKNKPLKYLSTTVFEGCSPELLRDFYMDNNYRKQWDKTVIDHVQLQMNTTNGIEIGCTIKKFPLLTPREYVLAWRLWEGKDRTFYCFIKECEHPSAPRRKKYVRVGYFRSGWQIRKVPGRDASEIRMFHQEDAGLNVEMAKLAFAKGIWSYVCKMDNALRKYAVISHPPTSPSVSPSTLIQKVPPELDMINGDALPAVSASMATFEPVNDKPREKRLSRKPSKKFVAKSLLVIGGVICLSRGHSGLGAKVAMAYILTKLRKRGDRSSQSMQT >KJB61062 pep chromosome:Graimondii2_0_v6:9:37315148:37318653:1 gene:B456_009G338300 transcript:KJB61062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPTNRDEEERRRLMGREEGRTIAIKSVEKGMENVLRIPNRFLSVCSNPRLDLLLQIFKGIEMAESKTLRKPIFTKVDQLRPGTSGHTVTIKVVNTKMVLQKGRPDGPQVRQIRIAESLVGDETGMIIFTARNEQVDFMKEGATLTLRNAKIDMFKGSMRLAVDKWGRVEVAEPDSFKVKEDNNLSLIEYELINIVEE >KJB62346 pep chromosome:Graimondii2_0_v6:9:62971332:62972090:-1 gene:B456_009G412900 transcript:KJB62346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQQEVVLCKDELQIIKGKRTKRPRPVSSLTLAIASTTTTSTGGESRTSNDDSSITTAVEPPESCWEDEENMAYCLMLLAQGQTRKPSEPTGKTAGMNVHQCKTCNRCFPSFQALGGHRASHKKPKLHSEENTKRLKLVKEDDDMNTTLSLQITNKAPALCNGTKSKVHECSICGAEFSSGQALGGHMRRHRTSTNATTTVGTSTSAETEDLSSNKPTTVLQLDLNLPAPEDDRHNESNFPFTQTINLCYC >KJB62488 pep chromosome:Graimondii2_0_v6:9:65275786:65277548:1 gene:B456_009G419000 transcript:KJB62488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPLPFFSMANNLSLCLVGAMDRLWFQQIILVPDPFSPYFPLEPKQPLSESTTTSSSSSSLSLSSVADQEKPALDDPKKEEKQLKKRSRRGNLSRSHSPSQSTHKHQRINHQCKLQKSTSCRSLKDLELEEVKGFMDLGFVFTKEHLNARMISVIPGLLRLGFSRTKRIHNEVNVDADQLSKDDDIEQEEKRGVIRPYLSEAWLIKRPDSPLLNLRVPRVSAAADMKKHLKFWARTVAYVVQQDS >KJB58013 pep chromosome:Graimondii2_0_v6:9:14632133:14633165:-1 gene:B456_009G190300 transcript:KJB58013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQVFAKAMEDSKHAEDKPWLALPRHNREKGCSMRFQSVTSNNVYHYELAACASGKTLCGSSSGFLIMVDETSQISMIDPLTKARRFTLPTILPSLPPKPSTDIEPSERRLTHKYPVHKAVLSSPPDRNPYDYILMVIHGEERELAYYSALTQTWIEIQEAGRYYDDIIFRLGKFYAVDEYGKVYLVVMGDALCVAIRFLMENPNVGYETYKFEDARVGDMGNWAIFLGQNESMALSIRVVKGAKGNCIYFTDDNFDAYKYGVVGGHDIGIYDTGEERSKPLQCCQSDIQSIY >KJB53932 pep chromosome:Graimondii2_0_v6:9:948852:954766:1 gene:B456_009G011500 transcript:KJB53932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNLVSQQLSMPGNQMAQLESISSKMDASMSVGLMGFGTNESLQHQIPSNMPIGQMGSMSNGLGSQFSSMPNQQGGNIESQTYTQLPQQQQGGNIKYQTYTQLPQQQQGGNVEYQTYTQLPQQQQGGNIESQTYTQLQQQQQGGNIESQTYTQPPQQYLMANKQVGKMTPTMLDSPRPHQLSTLNKRKAPMEPISPNSIPQKLSLPNKRVAQTEHRPWLQPMSAPSQSPVQMQSVSNSPGSQLSPASNKRLVPSKSGSSAPRNQPAQTRPSPRVQAESSESVRSKMRESLAGALALVSQQQAENATPEKNSNVEAMGSPVKREEGSHPVDSGSGNSDAVHSISAEPQGTMCSNQGSSADGTNSDTTQTLQYDRKQFQSSNLLPDEEVPFSDNIFARDELLQGNGLSWVLEPEIDMTKKKELEMDGKQIPDNENVEKNELEQLLPSPEELAYQIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVASGEIPPERLCSMSAEELASKELSLWRQAKAEELAQMVILPDVEVDIRRLVRKTHKGEFQVEVEQTDSSSVEVSAGTSVTRRPKTDAKQAPRNSKTVGKEHETKTVGEKNKLEDPNLTITIPSSEGPDPMQGLMGEDELKDADFLPPIVSLDEFMQSLDSEPPFENLPGDAGKATSTSDKDDSEAGYDSKSSGRASQDPPETVPDKLVNTGSSNLKSDSDVKPNDTTMKTETVASVAILKGERVWEGMLQLNVSSMTSVVCLFKSGEKTSTKDWPSLVEIKGRVRLEAFERFLQELPMSRSRAVMVVHVVCKEGATESDHASLVEAADSYILDERVGFAEPGAGVEIYFCPPYTKTLEMVTRILPKDQPQLLNAIDNGLIGVVVWRRAQLISPNSTSHHKHNTKKQQHFTSSSRKPHDKDDAISNVNSNFLSKTHVGPPLHSVPPDDDDDDDVPPGFGPAASRDEDDLPEFNFSGGSNPSGPKYPAGYQSQRVGMAPHLHSQTPSRPVDQMRELIQKYGQPNSNAPVGVPIQQWNDDDDDDDIPEWQPQTSQQQHLQPPPSKVRRFQQPMHAPQQLPHQALPAMHVQGQHGNWWVPPPGSPSPGQPFVNGAQFYGTTVGTGQPAWRKDAPNSRGF >KJB53933 pep chromosome:Graimondii2_0_v6:9:948981:954766:1 gene:B456_009G011500 transcript:KJB53933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNLVSQQLSMPGNQMAQLESISSKMDASMSVGLMGFGTNESLQHQIPSNMPIGQMGSMSNGLGSQFSSMPNQQGGNIESQTYTQLPQQQQGGNVEYQTYTQLPQQQQGGNIESQTYTQLQQQQQGGNIESQTYTQPPQQYLMANKQVGKMTPTMLDSPRPHQLSTLNKRKAPMEPISPNSIPQKLSLPNKRVAQTEHRPWLQPMSAPSQSPVQMQSVSNSPGSQLSPASNKRLVPSKSGSSAPRNQPAQTRPSPRVQAESSESVRSKMRESLAGALALVSQQQAENATPEKNSNVEAMGSPVKREEGSHPVDSGSGNSDAVHSISAEPQGTMCSNQGSSADGTNSDTTQTLQYDRKQFQSSNLLPDEEVPFSDNIFARDELLQGNGLSWVLEPEIDMTKKKELEMDGKQIPDNENVEKNELEQLLPSPEELAYQIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVASGEIPPERLCSMSAEELASKELSLWRQAKAEELAQMVILPDVEVDIRRLVRKTHKGEFQVEVEQTDSSSVEVSAGTSVTRRPKTDAKQAPRNSKTVGKEHETKTVGEKNKLEDPNLTITIPSSEGPDPMQGLMGEDELKDADFLPPIVSLDEFMQSLDSEPPFENLPGDAGKATSTSDKDDSEAGYDSKSSGRASQDPPETVPDKLVNTGSSNLKSDSDVKPNDTTMKTETVASVAILKGERVWEGMLQLNVSSMTSVVCLFKSGEKTSTKDWPSLVEIKGRVRLEAFERFLQELPMSRSRAVMVVHVVCKEGATESDHASLVEAADSYILDERVGFAEPGAGVEIYFCPPYTKTLEMVTRILPKDQPQLLNAIDNGLIGVVVWRRAQLISPNSTSHHKHNTKKQQHFTSSSRKPHDKDDAISNVNSNFLSKTHVGPPLHSVPPDDDDDDDVPPGFGPAASRDEDDLPEFNFSGGSNPSGPKYPAGYQSQRVGMAPHLHSQTPSRPVDQMRELIQKYGQPNSNAPVGVPIQQWNDDDDDDDIPEWQPQTSQQQHLQPPPSKVRRFQQPMHAPQQLPHQALPAMHVQGQHGNWWVPPPGSPSPGQPFVNGAQFYGTTVGTGQPAWRKDAPNSRGF >KJB60795 pep chromosome:Graimondii2_0_v6:9:32536749:32543060:-1 gene:B456_009G326000 transcript:KJB60795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTVATATTMGGVPTDNVDFVVTLDQVPRWSDAESRSSLEYENGDPSFSNSFFPDPLKSPEEESSRNGMVSRFPVDHEINSKIYLWRGQPWNLEVDAVVNSTNENLEEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLRSIAMGCIYTEAKNYPREPAAHVAIRTVRRLLEKQKDEITAVVFCTGTSSDTEIYKRLLPLYFPRDKHEEEVAISKLPADVGDENGETIIDERKIRIKPLPKKANPKPSEAPDELPVSDVGLVRRNSSYLDTYLDPAFMSLIKDPDQRRQEQWEKTSQAQGGWNCAKLLGFGDLGGPPLSAAEEYSLHSRYISKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLELERFVLYVVKEFEPLIQKPYTIVYLHSAASLQIQPDLGWMRRLQQILGRKHQRNIYSSSYLSLESHNFCSANVCGQCGVEESGICRQASAAIQIRSS >KJB60796 pep chromosome:Graimondii2_0_v6:9:32536749:32542997:-1 gene:B456_009G326000 transcript:KJB60796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTVATATTMGGVPTDNVDFVVTLDQVPRWSDAESRSSLEYENGDPSFSNSFFPDPLKSPEEESSRNGMVSRFPVDHEINSKIYLWRGQPWNLEVDAVVNSTNENLEEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLRSIAMGCIYTEAKNYPREPAAHVAIRTVRRLLEKQKDEITAVVFCTGTSSDTEIYKRLLPLYFPRDKHEEEVAISKLPADVGDENGETIIDERKIRIKPLPKKANPKPSEAPDELPVSDVGLVRRNSSYLDTYLDPAFMSLIKDPDQRRQEQWEKTSQAQGGWNCAKLLGFGDLGGPPLSAAEEYSLHSRYISKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLELERFVLYVVKEFEPLIQKPYTIVYLHSAASLQIQPDLGWMRRLQQILGRKHQRNLHAIYILHPTFHLKATIFALQMFADNVVWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYQRP >KJB60797 pep chromosome:Graimondii2_0_v6:9:32537085:32542372:-1 gene:B456_009G326000 transcript:KJB60797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTVATATTMGGVPTDNVDFVVTLDQVPRWSDAESRSSLEYENGDPSFSNSFFPDPLKSPEEESSRNGMVSRFPVDHEINSKIYLWRGQPWNLEVDAVVNSTNENLEEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLRSIAMGCIYTEAKNYPREPAAHVAIRTVRRLLEKQKDEITAVVFCTGTSSDTEIYKRLLPLYFPRDKHEEEVAISKLPADVGDENGETIIDERKIRIKPLPKKANPKPSEAPDELPVSDVGLVRRNSSYLDTYLDPAFMSLIKDPDQRRQEQWEKTSQAQGGWNCAKLLGFGDLGGPPLSAAEEYSLHSRYISKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLELERFVLYVVKEFEPLIQKPYTIVYLHSAASLQIQPDLGWMRRLQQILGRKHQRNLHAIYILHPTFHLKATIFALQMFADNVVWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYQRP >KJB60794 pep chromosome:Graimondii2_0_v6:9:32536749:32542664:-1 gene:B456_009G326000 transcript:KJB60794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTVATATTMGGVPTDNVDFVVTLDQVPRWSDAESRSSLEYENGDPSFSNSFFPDPLKSPEEESSRNGMVSRFPVDHEINSKIYLWRGQPWNLEVDAVVNSTNENLEEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLRSIAMGCIYTEAKNYPREPAAHVAIRTVRRLLEKQKDEITAVVFCTGTSSDTEIYKRLLPLYFPRDKHEEEVAISKLPADVGDENGETIIDERKIRIKPLPKKANPKPSEAPDELPVSDVGLVRRNSSYLDTYLDPAFMSLIKDPDQRRQEQWEKTSQAQGGWNCAKLLGFGDLGGPPLSAAEEYSLHSRYISKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLELERFVLYVVKEFEPLIQKPYTIVYLHSAASLQIQPDLGWMRRLQQILGRKHQRNLHAIYILHPTFHLKATIFALQMFADNVVWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYQRP >KJB60793 pep chromosome:Graimondii2_0_v6:9:32537085:32542372:-1 gene:B456_009G326000 transcript:KJB60793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTVATATTMGGVPTDNVDFVVTLDQVPRWSDAESRSSLEYENGDPSFSNSFFPDPLKSPEEESSRNGMVSRFPVDHEINSKIYLWRGQPWNLEVDAVVNSTNENLEEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLRSIAMGCIYTEAKNYPREPAAHVAIRTVRRLLEKQKDEITAVVFCTGTSSDTEIYKRLLPLYFPRDKHEEEVAISKLPADVGDENGETIIDERKIRIKPLPKKANPKPSEAPDELPVSDVGLVRRNSSYLDTYLDPAFMSLIKDPDQRRQEQWEKTSQAQGGWNCAKLLGFGDLGGPPLSAAEEYSLHSRYISKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLELERFVLYVVKEFEPLIQKPYTIVYLHSAASLQIQPDLGWMRRLQQILGRKHQRNLHAIYILHPTFHLKATIFALQMFADNVVWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYQRP >KJB56531 pep chromosome:Graimondii2_0_v6:9:9289884:9292473:1 gene:B456_009G124100 transcript:KJB56531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQGVNRTISLKKNGSRKIIFGKLEMGRVLGQGNFAKVYYGKNISTQESVAIKVINKDQVKKEGLMEQIKREIAIMRLVRHPNVVELKEVMATKKRIFFIMEYVKGGELFAKVVKGRLKEDSARKYFHQLVSSVDFCHSRGVYHRDLKPENLLLDENDNLKVSDFGLSALPEQLRNDGLLHTQCGTPSYVAPEVLRKNGYDGAKADIWSCGVVLFVLLTGFLPFQAENVMHMYRKIFKAEYEFPPWISNDARRLISKLLVADPDKRITVPGIISNPWFRKGFSKTVSSLVEHENGDMNGIESNRELEMVTTKSSPPPFYNAFELISSMSSGFDLSNLFENERKPASLFTSKGSASATLARLVSTAKKLNFRVLATKEFKVRMQGKVEGRKGKLAVTAEVFELAPEVAVVELSKPAGDTLEYNKFYEEELRPALADIVWSWQGENNCQ >KJB55043 pep chromosome:Graimondii2_0_v6:9:4381900:4383632:-1 gene:B456_009G061200 transcript:KJB55043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLPKGDSVHIREVWNDNLEEEFALIREIVDTYNYVAMDTEFPGVVLRPVGSFKNISDYNYQTLKDNVDMLKLIQLGLTFSDENGNLPTCGTDSSCIWQFNFCEFNISEDIFASDSIELLRQCGIDFKKNNEKGIDVKRFGELLMSSGIVLNDGVHWVTFHSGYDFGYLLKLLTCRSLPDNQAGFFDLINMYFPMLYDIKHMMKFCNSLHGGLNKLAELLEVERVGVCHQAGSDSLLTSCTFRKLRDNFFNGSTEKYAGVLYGLGVESGQTTN >KJB62932 pep chromosome:Graimondii2_0_v6:9:69530930:69534797:1 gene:B456_009G444100 transcript:KJB62932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIPKEAIEVIAQSIGITNLSPDVALSLAPDVEYRVQEIMQEAIKCMRHSRRTVLTADDVDSALNLRNVEPICGFASRDALRFKKAAGHKDLFYIDDKDVEFKEVLESPLPKAPLDTSVTSHWLAIEGIQPAIPENASIEAPSDGKKAEYKEDGLSVDVKLPVKHVLSRELQLYFDKIVDVTMNKSVSILFKQALLSLATDSGLHPLVPYFTYFIADEVARNLNNFPLMFALMRVARSLLQNEHLHIEPYLHQLMPSIITCLVAKRLGNKFTDNHWELRNFAAKLVASICKRFGHVYHNLQPRVTRTLLHAFLDPTKTFPQHYGAIQGLAALGPSVVRLLILPNLEAYLQLLEPEIKRHEAWCVYGALLRAAGLCMYDRLKMFPSLLAPPTRPVWKSNNKVATNKRKASTDTLMQQQPPAKKIATESAIGMMPINSMQTDLQGAVSGFSTTVGGSNIGVSSMSRPLSNDNMLGREVGGRVSKTSTVLAQAWKEDTDPGNLLASLFELFGESMLSFTPKPELSFFL >KJB62933 pep chromosome:Graimondii2_0_v6:9:69531045:69533346:1 gene:B456_009G444100 transcript:KJB62933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIPKEAIEVIAQSIGITNLSPDVALSLAPDVEYRVQEIMQEAIKCMRHSRRTVLTADDVDSALNLRNVEPICGFASRDALRFKKAAGHKDLFYIDDKDVEFKEVLESPLPKAPLDTSVTSHWLAIEGIQPAIPENASIEAPSDGKKAEYKEDGLSVDVKLPVKHVLSRELQLYFDKIVDVTMNKSVSILFKQALLSLATDSGLHPLVPYFTYFIADEVARNLNNFPLMFALMRVARSLLQNEHLHIEPYLHQLMPSIITCLVAKRLGNKFTDNHWELRNFAAKLVASICKRFGHVYHNLQPRVTRTLLHAFLDPTKTFPQHYGAIQGLAALGPSVV >KJB62935 pep chromosome:Graimondii2_0_v6:9:69530972:69534797:1 gene:B456_009G444100 transcript:KJB62935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIPKEAIEVIAQSIGITNLSPDVALSLAPDVEYRVQEIMQEAIKCMRHSRRTVLTADDVDSALNLRNVEPICGFASRDALRFKKAAGHKDLFYIDDKDVEFKEVLESPLPKAPLDTSVTSHWLAIEGIQPAIPENASIEAPSDGKKAEYKEDGLSVDVKLPVKHVLSRELQLYFDKIVDVTMNKSVSILFKQALLSLATDSGLHPLVPYFTYFIADEVARNLNNFPLMFALMRVARSLLQNEHLHIEPYLHQLMPSIITCLVAKRLGNKFTDNHWELRNFAAKLVASICKRFGHVYHNLQPRVTRTLLHAFLDPTKTFPQHYGAIQGLAALGPSVVRLLILPNLEAYLQLLEPEIKRHEAWCVYGALLFASTPNSSRLEKQQQSCDK >KJB62934 pep chromosome:Graimondii2_0_v6:9:69530972:69534797:1 gene:B456_009G444100 transcript:KJB62934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIPKEAIEVIAQSIGITNLSPDVALSLAPDVEYRVQEIMQEAIKCMRHSRRTVLTADDVDSALNLRNVEPICGFASRDALRFKKAAGHKDLFYIDDKDVEFKEVLESPLPKAPLDTSVTSHWLAIEGIQPAIPENASIEAPSDGKKAEYKEDGLSVDVKLPVKHVLSRELQLYFDKIVDVTMNKSVSILFKQALLSLATDSGLHPLVPYFTYFIADEVARNLNNFPLMFALMRVARSLLQNEHLHIEPYLHQLMPSIITCLVAKRLGNKFTDNHWELRNFAAKLVASICKRFGHVYHNLQPRVTRTLLHAFLDPTKTFPQHYGAIQGLAALGPSVLLEPEIKRHEAWCVYGALLRAAGLCMYDRLKMFPSLLAPPTRPVWKSNNKVATNKRKASTDTLMQQQPPAKKIATESAIGMMPINSMQTDLQGAVSGFSTTVGGSNIGVSSMSRPLSNDNMLGREVGGRVSKTSTVLAQAWKEDTDPGNLLASLFELFGESMLSFTPKPELSFFL >KJB62937 pep chromosome:Graimondii2_0_v6:9:69530972:69534797:1 gene:B456_009G444100 transcript:KJB62937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIPKEAIEVIAQSIGITNLSPDVALSLAPDVEYRVQEIMQEAIKCMRHSRRTVLTADDVDSALNLRNVEPICGFASRDALRFKKAAGHKDLFYIDDKDVEFKEVLESPLPKAPLDTSVTSHWLAIEGIQPAIPENASIEAPSDGKKAEYKEDGLSVDVKLPVKHVLSRELQVARNLNNFPLMFALMRVARSLLQNEHLHIEPYLHQLMPSIITCLVAKRLGNKFTDNHWELRNFAAKLVASICKRFGHVYHNLQPRVTRTLLHAFLDPTKTFPQHYGAIQGLAALGPSVVRLLILPNLEAYLQLLEPEIKRHEAWCVYGALLRAAGLCMYDRLKMFPSLLAPPTRPVWKSNNKVATNKRKASTDTLMQQQPPAKKIATESAIGMMPINSMQTDLQGAVSGFSTTVGGSNIGVSSMSRPLSNDNMLGREVGGRVSKTSTVLAQAWKEDTDPGNLLASLFELFGESMLSFTPKPELSFFL >KJB62936 pep chromosome:Graimondii2_0_v6:9:69531045:69533346:1 gene:B456_009G444100 transcript:KJB62936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIPKEAIEVIAQSIGITNLSPDVALSLAPDVEYRVQEIMQEAIKCMRHSRRTVLTADDVDSALNLRNVEPICGFASRDALRFKKAAGHKDLFYIDDKDVEFKEVLESPLPKAPLDTSVTSHWLAIEGIQPAIPENASIEAPSDGKKAEYKEDGLSVDVKLPVKHVLSRELQLYFDKIVDVTMNKSVSILFKQALLSLATDSGLHPLVPYFTYFIADEVARNLNNFPLMFALMRVARSLLQNEHLHIEPYLHQLMPSIITCLVAKRLGNKFTDNHWELRNFAAKLVASICKRFGHVYHNLQPRVTRTLLHAFLDPTKTFPQHYGAIQGLAALGPSVV >KJB62938 pep chromosome:Graimondii2_0_v6:9:69530972:69534797:1 gene:B456_009G444100 transcript:KJB62938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIPKEAIEVIAQSIGITNLSPDVALSLAPDVEYRVQEIMQEAIKCMRHSRRTVLTADDVDSALNLRNVEPICGFASRDALRFKKAAGHKDLFYIDDKDVEFKEVLESPLPKAPLDTSVTSHWLAIEGIQPAIPENASIEAPSDGKKAEYKEDGLSVDVKLPVKHVLSRELQLYFDKIVDVTMNKSVSILFKQALLSLATDSGLHPLVPYFTYFIADEVARNLNNFPLMFALMRVARSLLQNEHLHIEPYLHQLMPSIITCLVAKRLGNKFTDNHWELRNFAAKLVASICKSVFAAS >KJB62133 pep chromosome:Graimondii2_0_v6:9:58378417:58382426:-1 gene:B456_009G402400 transcript:KJB62133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQSVTDNFDLQESICFLSKPSMAAQSLARTLILSSTLTPNINPPSFNCLKSMAPTVSTTTTLAPPQRRTMSAFEARISLVFALASQASSVSQRLLMDLASETAKYVFPKRFESQNLEEALMAVPDLETVRFKVLNRTDKYEIREVEPYFIAETTMPGKTGFDFNGSSESFNVLAEYLFGKNTSKEKMEMTTPVITSRTRSDGERMEMTTPVITKKVENQDKWQMSFVMPSKYGSTLPLPKDPSVRIKEVPRKVVAVVAFSGFVTDEEVKRRELRLRDALKNDREFRVKDVASVEVSQYNPPFMLPFTRRNEIALELEKKEE >KJB62136 pep chromosome:Graimondii2_0_v6:9:58379054:58382426:-1 gene:B456_009G402400 transcript:KJB62136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQSLARTLILSSTLTPNINPPSFNCLKSMAPTVSTTTTLAPPQRRTMSAFEARISLVFALASQASSVSQRLLMDLASETAKYVFPKRFESQNLEEALMAVPDLETVRFKVLNRTDKYEIREVEPYFIAETTMPGKTGFDFNGSSESFNVLAEYLFGKNTSKEKMEMTTPVITSRTRSDGERMEMTTPVITKKVENQDKWQMSFVMPSKYGSTLPLPKDPSVRIKEVPRKVVAVVAFSGFVTDEEVKRRELRLRDALKNDREFRVKDVASVEVSQYNPPFMLPFTRRNEIALELEKKEE >KJB62134 pep chromosome:Graimondii2_0_v6:9:58379279:58382272:-1 gene:B456_009G402400 transcript:KJB62134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQSVTDNFDLQESICFLSKPSMAAQSLARTLILSSTLTPNINPPSFNCLKSMAPTVSTTTTLAPPQRRTMSAFEARISLVFALASQASSVSQRLLMDLASETAKYVFPKRFESQNLEEALMAVPDLETVRFKVLNRTDKYEIREVEPYFIAETTMPGKTGFDFNGSSESFNVLAEYLFGKNTSKEKMEMTTPVITSRTRSDGERMEMTTPVITKKVENQDKWQMSFVMPSKYGSTLPLPKDPSVRIKEVPRKVVAVVAFSGFVTDEEVKRRELRLRDALKNDREFRVKDVASVEVSQFWLQYNPPFMLPFTRRNEIALELEKKEE >KJB62137 pep chromosome:Graimondii2_0_v6:9:58379391:58382409:-1 gene:B456_009G402400 transcript:KJB62137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQSLARTLILSSTLTPNINPPSFNCLKSMAPTVSTTTTLAPPQRRTMSAFEARISLVFALASQASSVSQRLLMDLASETAKYVFPKRFESQNLEEALMAVPDLETVRFKVLNRTDKYEIREVEPYFIAETTMPGKTGFDFNGSSESFNVLAEYLFGKNTSKEKMEMTTPVITSRTRSDGERMEMTTPVITKKVENQDKWQMSFVMPSKYGSTLPLPKDPSVRIKEVPRKVVAVVAFSGFVTDEEVKRRELRLRDALKNDREFRVKDVASVEVSQVRSVVNYL >KJB62132 pep chromosome:Graimondii2_0_v6:9:58378499:58382272:-1 gene:B456_009G402400 transcript:KJB62132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQSLARTLILSSTLTPNINPPSFNCLKSMAPTVSTTTTLAPPQRRTMSAFEARISLVFALASQASSVSQRLLMDLASETAKYVFPKRFESQNLEEALMAVPDLETVRFKVLNRTDKYEIREVEPYFIAETTMPGKTGFDFNGSSESFNVLAEYLFGKNTSKEKMEMTTPVITSRTRSDGERMEMTTPVITKKVENQDKWQMSFVMPSKYGSTLPLPKDPSVRIKEVPRKVVAVVAFSGFVTDEEVKRRELRLRDALKNDREFRVKDVASVEVSQYNPPFMLPFTRRNEIALELEKKEE >KJB62135 pep chromosome:Graimondii2_0_v6:9:58378499:58382378:-1 gene:B456_009G402400 transcript:KJB62135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQSLARTLILSSTLTPNINPPSFNCLKSMAPTVSTTTTLAPPQRRTMSAFEARISLVFALASQASSVSQRRKFLMDLASETAKYVFPKRFESQNLEEALMAVPDLETVRFKVLNRTDKYEIREVEPYFIAETTMPGKTGFDFNGSSESFNVLAEYLFGKNTSKEKMEMTTPVITSRTRSDGERMEMTTPVITKKVENQDKWQMSFVMPSKYGSTLPLPKDPSVRIKEVPRKVVAVVAFSGFVTDEEVKRRELRLRDALKNDREFRVKDVASVEVSQYNPPFMLPFTRRNEIALELEKKEE >KJB59924 pep chromosome:Graimondii2_0_v6:9:23806349:23808539:1 gene:B456_009G281200 transcript:KJB59924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRSIITLSSSAIRCKKSDFMVVSVRQISNGGKRSKKKIYHRDYQLDKVMDLQKKPSLILQLKSIIQSQNHQRLLLRDLEKEVGFVAKWNFMSIIEKYPSIFRVGGGCGKEFPFVTLTGKAEKVAREEGEARNLMEPILVKNLRKLLMLSIDCRVPLEKVEFIGNELGLPHDFKKSLIFKYPEYFSIKVINGRAYLNLENWDSSLAVTAREERFARERMLQSAGGQNKVRITKDGNYLGPFAFKMCYAPGFRPNKSYLEELEKWHKMEFPSPYLNARRFEVADPKTRKRVVAVLHELLSLTMEKRMISAKLDAFHSEYRLPSKLVLCLIKHHGIFYITNKGARSTVFLKEAYDGSNLVDKCPILMFNDKFVALSGRHVTL >KJB62954 pep chromosome:Graimondii2_0_v6:9:69636221:69638912:-1 gene:B456_009G445300 transcript:KJB62954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small and basic intrinsic protein 21 [Source:Projected from Arabidopsis thaliana (AT3G56950) UniProtKB/TrEMBL;Acc:F4J0V0] MGKRGVIIYDFIISLMWVWSNFLIKLLVNQILGIDYQPKAEFVKNSLSVINMFFFAFLGKVTNGGAYNPLTVLASAVSGGFAHFLFTVGARIPAQVIGSITGVKLIIQIFPGIGSGPRLNVDIHQGALTEGFLTFAIVIISLGLTTKIPSSFFMKTWIASISKLTLHILGSNLTGGCMNPASVMGWAYARGDHISKEHILVYWLAPIEATLLAVWVFRLAVKPAIEAKQNVKAKPE >KJB61820 pep chromosome:Graimondii2_0_v6:9:52030940:52031422:-1 gene:B456_009G3833001 transcript:KJB61820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLIGTKDYGNGLNFLEELTENGHRIQEQVLEEILLRNAGTEYLTRFLHGQTDKQLFKNNVPTACNISGTSGGQPKLIPMTAENFEKKTLELTLVDPVVK >KJB58727 pep chromosome:Graimondii2_0_v6:9:17407224:17407848:1 gene:B456_009G223400 transcript:KJB58727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAMAGPVCWVPFQREPRENSAGAVSRCTRHLINSCLCHSLLQLFLFIIYLVGWVGSQSHKMLRPF >KJB55849 pep chromosome:Graimondii2_0_v6:9:7098026:7099714:1 gene:B456_009G098000 transcript:KJB55849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAAQREETVKKEEEVKPEGISTITRKCVVIIEGDPHPGATVGRMSFRSFNPSIDKLNEEASNVSRLDASGGRTLSSENGSASEATHSKVGTDKHEGNGDLKRKQSDIQSTPSSGKASSKKQSKREKLDWNVLRPPKPNR >KJB55848 pep chromosome:Graimondii2_0_v6:9:7097487:7099678:1 gene:B456_009G098000 transcript:KJB55848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRELSSTLRGLKFMQRAAQREETVKKEEEVKPEGISTITRKCVVIIEGDPHPGATVGRMSFRSFNPSIDKLNEEASNVSRLDASGGRTLSSENGSASEATHSKVGTDKHEGNGDLKRKQSDIQSTPSSGKASSKKQSKREKLDWNVLRPPKPNR >KJB59301 pep chromosome:Graimondii2_0_v6:9:20083958:20088737:1 gene:B456_009G248600 transcript:KJB59301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVLPQGGTKCYDDDGRLKRSGTVWTASAHIITAVIGSGVLSLAWATAQLGWVAGPLVMILFSFVTYFTSTLLAACYRSGDPVNGKRNYTYMDAVRSNLGGFKVKICGWVQYLNLFGVAIGYTIASSISMMAIKRSNCFHASGGKNPCHMNSNPYMIAFGIVEIIFSQIPDFDQLWWLSIVAAVMSFTYSTIGLGLGIGKVAETGTIRGSLTGISVGTVTQTQKIWRSFQALGDIAFAYSYSLILIEIQDTLKAPPAESKTMKKATLISVGVTTLFYMLCGCMGYAAFGDLSPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAYQVYCQPLFAFIEKSATERFPDSEFITKDIKIPIPGFRPYNLNLFRLVWRTIFVIITTLISMLLPFFNDIVGLLGALGFWPLTVYFPVEMYISQKKIPKWSTRWVCLQILSIACLFITIAAAAGSIAGVVLDLKSFKPFATVY >KJB61914 pep chromosome:Graimondii2_0_v6:9:52984611:52988362:1 gene:B456_009G390800 transcript:KJB61914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYRNGSLSENSDEETGTPSKPKPKHKSDSESEDTKPRSHSKSDKGKRPSKSRRRHDSSSGISEDDSYSDSESESESQSEYSGTESGYSDSEEERRRRKRKRREREERERKRRKKEKEKKRRRKEKERDEERKRKKRKEKERKAKKKKDKLEKGKKGAVTNSWGKYGIIRETDMWNKRPEFTAWLAEVKQINLESLPNWEEKQLFKDFMEDHNTATFPSKKYYNLDAYYKCQMEKENKKGVKKVLQGERTVFNDEEQRRQEMLMAREKQKEEEVQALKLAMQSGMAQAMKEQTQLREEMAYLYKIGNFEAAAAIQKRLDPDVAM >KJB57430 pep chromosome:Graimondii2_0_v6:9:12553111:12554518:-1 gene:B456_009G163700 transcript:KJB57430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMPVLTSSQWLTTQSIRTQIPSASLNLLTGSRRRISISSNAAINPKACSSLLHCSFLSSSSSSLSLHSSFSGLSLGMDFSSNNGVRNEKRRGLVVRAGKAALCQTKRNRSRKSLARTHGFRRRMRTTSGRAVLKRRRAKGRKVLCTKSNPNSGKR >KJB54141 pep chromosome:Graimondii2_0_v6:9:1762379:1763088:1 gene:B456_009G022900 transcript:KJB54141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTANPVFQRLILFSFLQISFEPNLRSLFSKIQRIPENSIRNRMIVPTQKHGDTTSQDVKPCFLLLCHQQTTIPETRLPRFLRPETRIGFGA >KJB56752 pep chromosome:Graimondii2_0_v6:9:10136958:10140942:1 gene:B456_009G134800 transcript:KJB56752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMKEKFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQSRLEPMTPERKLRWRKEIDWMLSVADHIVELVPSQQKGKDGSNMEIMVTKQRIDLHMNIPALRKLDAMLIDCLDNFKDQNEFYYLSKDASDSEKGKHKRKDDKWWLPTVKVPEDGLSDKSRKNLQSQKESVTQVLKAAMSINAQVLSEMEVPENYIEALPKNGRASLGDLVYRSITVEFFDPDQFLSSLDLSSEHKILDLKNRLEASIVIWKRKMTQKDGKSGWGSGVSFEKRELFEERAETILHIIKHRFPGLPQSSLDISKIQYNRDVGQALLESYSRILESLAFTVLSRIEDVLQADNITQNPNPQPCKRNSLKDDSQPNSVSTSPRYDVETANAKTLSDLLTWTMDQNDYDDRMDSDDGSIDVEPSMQKLNVVTNTSKKSYLESLAGVRSPTERH >KJB56755 pep chromosome:Graimondii2_0_v6:9:10137699:10140942:1 gene:B456_009G134800 transcript:KJB56755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQEEDRSWSNMFGLKDSHDNKGRHAKSLSIDSAVKFDGAALDHNSKPQSDRCPKLNRTFEEITAAFQAREKQLLADMDQMKEKFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQSRLEPMTPERKLRWRKEIDWMLSVADHIVELVPSQQKGKDGSNMEIMVTKQRIDLHMNIPALRKLDAMLIDCLDNFKDQNEFYYLSKDASDSEKGKHKRKDDKWWLPTVKVPEDGLSDKSRKNLQSQKESVTQVLKAAMSINAQVLSEMEVPENYIEALPKNGRASLGDLVYRSITVEFFDPDQFLSSLDLSSEHKILDLKNRLEASIVIWKRKMTQKDGKSGWGSGVSFEKRELFEERAETILHIIKHRFPGLPQSSLDISKIQYNRDVGQALLESYSRILESLAFTVLSRIEDVLQADNITQNPNPQPCKRNSLKDDSQPNSVSTSPRYDVETANAKTLSDLLTWTMDQNDYDDRMDSDDGSIDVEPSMQKLNVVTNTSKKSYLESLAGVRSPTERH >KJB56753 pep chromosome:Graimondii2_0_v6:9:10136958:10140942:1 gene:B456_009G134800 transcript:KJB56753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMKEKFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQSRLEPMTPERKLRWRKEIDWMLSVADHIVELVPSQQKGKDGSNMEIMVTKQRIDLHMNIPALRKLDAMLIDCLDNFKDQNEFYYLSKDASDSEKGKHKRKDDKWWLPTVKVPEDGLSDKSRKNLQSQKESVTQVLKAAMSINAQVLSEMEVPENYIEALPKNGRASLGDLVYRSITVEFFDPDQFLSSLDLSSEHKILDLKNRLEASIVIWKRKMTQKDGKSGWGSGVSFEKRELFEERAETILHIIKHRFPGLPQSSLDISKIQYNRDVGQALLESYSRILESLAFTVLSRIEDVLQADNITQNPNPQPCKRNSLKDDSQPNSVSTSPRYDVETANAKTLSDLLTWTMDQNDYDDRMDSDDGSIDVEPSMQKLNVVTNTSKKSYLESLAGVRSPTERH >KJB56754 pep chromosome:Graimondii2_0_v6:9:10137096:10140839:1 gene:B456_009G134800 transcript:KJB56754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLKDSHDNKGRHAKSLSIDSAVKFDGAALDHNSKPQSDRCPKLNRTFEEITAAFQAREKQLLADMDQMKEKFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQSRLEPMTPERKLRWRKEIDWMLSVADHIVELVPSQQKGKDGSNMEIMVTKQRIDLHMNIPALRKLDAMLIDCLDNFKDQNEFYYLSKDASDSEKGKHKRKDDKWWLPTVKVPEDGLSDKSRKNLQSQKESVTQVLKAAMSINAQVLSEMEVPENYIEALPKNGRASLGDLVYRSITVEFFDPDQFLSSLDLSSEHKILDLKNRLEASIVIWKRKMTQKDGKSGWGSGVSFEKRELFEERAETILHIIKHRFPGLPQSSLDISKIQYNRDVGQALLESYSRILESLAFTVLSRIEDVLQADNITQNPNPQPCKRNSLKDDSQPNSVSTSPRYDVETANAKTLSDLLTWTMDQNDYDDRMDSDDGSIDVEPSMQKLNVVTNTSKKSYLESLAGVRSPTERH >KJB58473 pep chromosome:Graimondii2_0_v6:9:16390977:16393821:-1 gene:B456_009G211600 transcript:KJB58473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLRIKIEAKKHFLSFSVHNEPYVHCVILKQELVPIYSQKHITFHQARLMRLRERNIYIKIQRMSCVTSLGFLSLFLYIFPSLTSPSLLFQGFNWESCNKAGGWYKSLKNSIPDIANAGVTHVWLPPPSQSVGPQGYLPGRLYDLDASKYGSQAELKSLIEAFHQKGIKCLADIVINHRTAERKDGRGIYCIFEGGTPDDRLDWGPSFICGNDKEYSDGTGNPDTGLDYPPAPDIDHLNPRVQKELSDWMNWLKTEIGFDGWRFDFVRGYAPSITKIYMERTSPDFAVGEKWEDLSLGQDSQDAHRGALKDWVEAAGGVVKAFDFTTKGVLNAAVQGELWRLKDSNGEPPGMIGLLPQNAVTFIDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGTPSIFYDHFVDWGLKDEITKLATIRRKNGISEASKVDILASDSDLYVAAIDEKIITKIGPKMDLGNLVPSNYQLATSGKDYAVWAKK >KJB58474 pep chromosome:Graimondii2_0_v6:9:16391046:16393294:-1 gene:B456_009G211600 transcript:KJB58474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRERNIYIKIQRMSCVTSLGFLSLFLYIFPSLTSPSLLFQGFNWESCNKAGGWYKSLKNSIPDIANAGVTHVWLPPPSQSVGPQGYLPGRLYDLDASKYGSQAELKSLIEAFHQKGIKCLADIVINHRTAERKDGRGIYCIFEGGTPDDRLDWGPSFICGNDKEYSDGTGNPDTGLDYPPAPDIDHLNPRVQKELSDWMNWLKTEIGFDGWRFDFVRGYAPSITKIYMERTSPDFAVGEKWEDLSLGQDSQDAHRGALKDWVEAAGGVVKAFDFTTKGVLNAAVQGELWRLKDSNGEPPGMIGLLPQNAVTFIDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGTPSIVISVILSSFFLLVFHYHHSPTQ >KJB61846 pep chromosome:Graimondii2_0_v6:9:52368477:52370188:-1 gene:B456_009G386000 transcript:KJB61846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMETKDEFTTREPEQAQVGSRGPRLVINEMVMRNFKSYASEQRVGPFHKVRAVRCDNGEKTDISRVFLVEQVKGMLDKIQQNLFDVAKQKRDACIEVVKTRWRKM >KJB53998 pep chromosome:Graimondii2_0_v6:9:1239421:1243136:-1 gene:B456_009G015700 transcript:KJB53998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVSGKRSFFEELSAPPPVAKRIRCSSRFASSSSFSPSSPPPLFLTDQLIAIFPEMDKQVLERVLEECGDDLDSAIRRLNELRLGSADRNAAIAADDKTGVELKELQAQGVAANGDVAKEPTAPEAMDGSDWVELFVREMLNASNIDDARARASRALEVLEKSICARAGAKVAQNFHQENKMLKEQLEALIQENTILKRAVAVQHERQKEYENQSQELQHLKQLASQYQEQLRTLEVNNYALTMHLKQAQQSSSIPGRFNPDVF >KJB53999 pep chromosome:Graimondii2_0_v6:9:1240622:1243050:-1 gene:B456_009G015700 transcript:KJB53999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVSGKRSFFEELSAPPPVAKRIRCSSRFASSSSFSPSSPPPLFLTDQLIAIFPEMDKQVLERVLEECGDDLDSAIRRLNELRLGSADRNAAIAADDKTGVELKELQAQGVAANGDVAKEPTAPEAMDGSDWVELFVREMLNASNIDDARARASRALEVLEKSICARAGAKVAQNFHQVVSHDC >KJB53997 pep chromosome:Graimondii2_0_v6:9:1239449:1243300:-1 gene:B456_009G015700 transcript:KJB53997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVSGKRSFFEELSAPPPVAKRIRCSSRFASSSSFSPSSPPPLFLTDQLIAIFPEMDKQVLERVLEECGDDLDSAIRRLNELRLGSADRNAAIAADDKTGVELKELQAQGVAANGDVAKEPTAPEAMDGSDWVELFVREMLNASNIDDARARASRALEVLEKSICARAGAKVAQNFHQENKMLKEQLEALIQENTILKRAVAVQHERQKEYENQSQELQHLKQLASQYQEQLRTLEVNNYALTMHLKQAQQSSSIPGRFNPDVF >KJB58635 pep chromosome:Graimondii2_0_v6:9:23519311:23538658:1 gene:B456_009G279000 transcript:KJB58635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALRILFGLLLITVTSWIVFFYALKLLFWILSKTVGISIEFQIGGWNCLKDIAVKLKKGALESLVVSEIKLTLCQPSVKLGNGIISKNLKLQLVISDPEIVLRPKSKSSSSKSSKKAKSSKPRSSGKGKKLMAVGNIARFLSVSITDLVLKTPKAAIEVKGIKLDICKDGGSKPNLFVILQILPISVQAIQPLSGVMEKPSAPSCCEEFSFSCEFGNDGEAGLVVRNVDINFGEIIVNLNEELLAKNKKPPDVSSQTDKVKESTADSLPAKKPDKKQAAILALSKHASIFPEKICFNLPKLDVKFVHREHDVVVENNIKSIQLKSIKSRSTEEVESTRIDVQLEFSEIHLLRESGSSVLEIMKFGVASFVNIPVQVSPVKSWQWLILYNAVAFQPISPVRAEVDVKFGGIRSTVIMTSLKRFLKLKPPSTGSKKKAMVLQAETSTIPKPQSTEPKAIMWKCTVSVPDITIVLYSISDVPLYQCCLQSTYVLANDISSTGIAVHAELGELNLQVADENHECLKENVFSVESNSGSLLNIAKVSVDWGKKDMESSEDGGPRCKLVLSADVTGIGLYFTSKRVESLIVTAITFQALFKKPSSGKKTTQSRAARSSKPSGKGTRLIKFNLKQCSVSFCGDTYLENTVVADPKRVNYGSQGGRIVISVSADGTPRTATVLSTVSDECKKLKYSLLLDIFHFSLCVNKDKQSTQVELERIRSIYQDHLEEDKPDKKVELFDMKNAKFVQRSVGHKEIAICSLFSATDISITWEPDMCLSFAELGLQLKALVQNEKAKHKGPGNEHADNISSEKDAEQRKEVIGVESGHVDKPKKKESVFALDIEMLSIFAEAGDGVDAFVQVQSIFSENARIGVLLEGLMLSFNGARLLRSGRMQISRIPNVSSSSDPNVPVVTVWDFVIQALDVYICLPFRLELRAIDDVVEEMIRALKIVIAAKTQLILPPKKDNPNPKPKKPSSSKFGCAKFFIRKLTGEIEEEPIQGWLDEHYQLMKKEVIELGARLKLFDDYISANQCPKTTETNDSSSERRVHYNGTEIDMQDPSAIQKMKDEIYRQSFQSYYLACQKVKPAEGSGSYREGLQSGFKPSTARVSLFTISGTDLDVTVTMIDGGNDGMIEIIKQLDPVCRETEIPFSRLYGCNLLLNAGSLGVQIRDYTFPLFSAVSGRCEGRLVMAQQATAFQPQISHDVFIGRWRKVSMLRSATGTTPPMKTYTDLGLRFKSAEVSFGVGYETVLADVSYAFTVALRRANLSKKGPGLPVQPKKEKSLPWWDDMRNYVHGNNTLIISETKWYIQASSDPYEKLDKLQIVSGPLEIQQSDGRIYSCVRDFKVFLSSLESLIDSRSLKIPTIVYGPFLEVPVFSLEVLMDWDCESGYPMNHYLFALPVEGKVREKMLDPFRSTALSLRFDIAFKALVPPSDKQTPSASDSTVVDGTVNEAHCKAENVSIASPTFSFNAHDLAWLAKFGNLMILPPHKIRLFARFPRFGVPRIPRSGNLPLDRVMTETMFRLDSTPTCIKYKTFSDDDPAKGLTFSTTKFKVEVCSSRGKQKFTFDCQRDPLDLVYLGVDLHLLKGFLDKEDCTSVTKVVQTSQSASMEQVPTEKSNSTSGCTEKHPDEGFFLSADYFTIRKQSPKADPESLLAWQEAGKKHHGVTYVRSKSEKARESDEHEQSDPSDDDGYCVLIADNCQRIFLYSLKILMNVENRDAVRSFGAALGKALVPRKSCASRQYRQRKLLEEKQRLAEQKLAEPEMPQEDALKSPSTNNAVPSPSQNTETSGSDSSLPQAAGMENSSTAAVEQAKTEKVDGSEEEGTRHFMVNIIEPQFNLHSEDANGRFLLAAATGRVLARSFHSVLRVGSEVIEKALGTGNVQIPEGGHDMTLKRMEFSVMLEHVQAHVAPTDVDLGAGVQWLPKIRRSSPKVKRTGALLERVFTPCDMYFRFTRHKSGTPELKVKPLKDLSFNSQSITASMTSRQFQVMLDVLTNLLFARAPKPQKSWFSCPGEDDEDEEEAADAVVPDGVEEVELDKITLEQKEWELRLLLSDIKKISIHCDTSGDNPEKEGDWWMVNGGKSILVQGLKKELVNAKKFRKEASAALRVTMQKAAQLRLMEKEKNKSPSCAMRVSVQITKVVWSMLMDGKSFAEVEINDMIYDFDRDYRDVGVALFTTKSIIIRNCLANAKSDTLLSAWNPPPEWGKNVLLRVDAKQGTPKDGNSVLELLQVDIYPLKIHLTEAMYRMVWGYLFPSEDQDSQKRKEVWKVSTVAGARRAKKGSIDASSGSQASKESEASSKSNVSITDQSTDSPRTSKLPDLKPGTGLRRTSSFDRTWEDTVAESIANELVMQAQLLDDQDESSKNKTKDGKSAKSGRPAQEEKKVVGKPIEEKKTTRPPKLIEFRNIKISQVELCLTYEGSRFAVSDVKLLMDTFHRVEFTGSWRRLFSRVKKHVIWGVLKSFTGMQGKKFKDKLHSQQPSITNIPDGELHLSDNDQASQSDQKSTTFLKRSTDGAGDGFVTSVRGLFNNQRRKAKQFVLRTMRGEAEADRPGEWSEGEAEISPFARQLTITKAKKLIRRHTKKFEKGSGSGDQDASPMNTTDPAAYESDSSSGSELIEELSRVQKK >KJB62547 pep chromosome:Graimondii2_0_v6:9:65962579:65963070:-1 gene:B456_009G422300 transcript:KJB62547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSDATDPLVPVRATYVNLYKWPESDAEFLRSRSSGRPSRVVDSFSCRQMYLRSYRFSRKEGVREKTVKCFGRVKEKIGGDGKRKKSLRIRRRRRCLVWRKVKIVLFRFFNRLISCSATVHVDQRNAFF >KJB55074 pep chromosome:Graimondii2_0_v6:9:4430764:4439436:1 gene:B456_009G062000 transcript:KJB55074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASEKELELQLMEAGNRLVEPPSSVAELIPLLDQVESCLSRVEQSPSQSMQNALSPSLKALVAEHLFRHPDDDVKVAVAACISEITRITAPDAPYDDDQMREVFQLIVSSFENLSDKSSRSFIKRTSILETVAKVRSCVVMLDLECDALIVEMFQHFLKAIRDYHAEAVFTSMVTIMTLVLEESEDIPTELLSPILSSVKKDNEEVLPVARKLAEKVLENCASKLQPYLTQAVENLGISFDDYSSIVASICGATAGAVERDDAAVGKLADDEKIPAEAPLENAAQGDKKIPKEAVSIEQVALVNEKTPVPVACNGIMQTADSISVKKQEDDHIADKSENETSTVAEPDLLEAEKVVDPDPKLEQSTQEKERKSDSKLTELSGSSQVDEKEVETIPDRKHDCKDGDGSQCRDVSVDGDVSSENRRETDFQHSSPKAIEDKSTDVASPTPSGTVIVDGHSKRVSRPKKKDSVNKETTCSVDDFSKKSHEGTSDSEAKSNRQSGKKGSTVVSNEDNAPVSVDETKKESDIASDSEAKSLKKSSKKVDSSGNNLDKSSSKQLEDKNRLARGKVAPVKDGTKNSTKNDDEEVIGSPKSVKPNKQDSHKEGTPKTNSKRKHMPSKEKSSDSMEYGENLVGLKVKVWWPKDREFYEGFIHSFDSAKKKHKVHYNDGDEEILNLKREKWAVIEDESGSDEVQQIYQVLMVHLTLAGLKRRRQKQLIPRARKLRWMLHQKGVE >KJB55076 pep chromosome:Graimondii2_0_v6:9:4432815:4439504:1 gene:B456_009G062000 transcript:KJB55076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNALSPSLKALVAEHLFRHPDDDVKVAVAACISEITRITAPDAPYDDDQMREVFQLIVSSFENLSDKSSRSFIKRTSILETVAKVRSCVVMLDLECDALIVEMFQHFLKAIRDYHAEAVFTSMVTIMTLVLEESEDIPTELLSPILSSVKKDNEEVLPVARKLAEKVLENCASKLQPYLTQAVENLGISFDDYSSIVASICGATAGAVERDDAAVGKLADDEKIPAEAPLENAAQGDKKIPKEAVSIEQVALVNEKTPVPVACNGIMQTADSISVKKQEDDHIADKSENETSTVAEPDLLEAEKVVDPDPKLEQSTQEKERKSDSKLTELSGSSQVDEKEVETIPDRKHDCKDGDGSQCRDVSVDGDVSSENRRETDFQHSSPKAIEDKSTDVASPTPSGTVIVDGHSKRVSRPKKKDSVNKETTCSVDDFSKKSHEGTSDSEAKSNRQSGKKGSTVVSNEDNAPVSVDETKKESDIASDSEAKSLKKSSKKVDSSGNNLDKSSSKQLEDKNRLARGKVAPVKDGTKNSTKNDDEEVIGSPKSVKPNKQDSHKEGTPKTNSKRKHMPSKEKSSDSMEYGENLVGLKVKVWWPKDREFYEGFIHSFDSAKKKHKVHYNDGDEEILNLKREKWAVIEDESGSDEEGAANLPSPDGSSDIGGPQKKKAKTADPSSKKAKMDASPKRGGVTSSGKSKGSATKSGRKTKEDAKVDGKSKDGSKSVSKSGNDSVAKSKDPSTPKTGSKPVDNASKADKKSKNEESGETPKSTKSKDDGSATPKASSKLKQDVPKTGNSKQETPKISSQSKGKPLKSGGKSNSNGTGKSKSGSSKVKERESMKESSTDSGKVVGSVKRKAPSLLKAQGSDSSLEKRGKDELKVAPTALSFAKGWFFSNVELG >KJB55075 pep chromosome:Graimondii2_0_v6:9:4430764:4439436:1 gene:B456_009G062000 transcript:KJB55075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLECDALIVEMFQHFLKAIRDYHAEAVFTSMVTIMTLVLEESEDIPTELLSPILSSVKKDNEEVLPVARKLAEKVLENCASKLQPYLTQAVENLGISFDDYSSIVASICGATAGAVERDDAAVGKLADDEKIPAEAPLENAAQGDKKIPKEAVSIEQVALVNEKTPVPVACNGIMQTADSISVKKQEDDHIADKSENETSTVAEPDLLEAEKVVDPDPKLEQSTQEKERKSDSKLTELSGSSQVDEKEVETIPDRKHDCKDGDGSQCRDVSVDGDVSSENRRETDFQHSSPKAIEDKSTDVASPTPSGTVIVDGHSKRVSRPKKKDSVNKETTCSVDDFSKKSHEGTSDSEAKSNRQSGKKGSTVVSNEDNAPVSVDETKKESDIASDSEAKSLKKSSKKVDSSGNNLDKSSSKQLEDKNRLARGKVAPVKDGTKNSTKNDDEEVIGSPKSVKPNKQDSHKEGTPKTNSKRKHMPSKEKSSDSMEYGENLVGLKVKVWWPKDREFYEGFIHSFDSAKKKHKVHYNDGDEEILNLKREKWAVIEDESGSDEEGAANLPSPDGSSDIGGPQKKKAKTADPSSKKAKMDASPKRGGVTSSGKSKGSATKSGRKTKEDAKVDGKSKDGSKSVSKSGNDSVAKSKDPSTPKTGSKPVDNASKADKKSKNEESGETPKSTKSKDDGSATPKASSKLKQDVPKTGNSKQETPKISSQSKGKPLKSGGKSNSNGTGKSKSGSSKVKERESMKESSTDSGKVVGSVKRKAPSLLKAQGSDSSLEKRGKDELKVAPTALSFAKGWFFSNVELG >KJB55073 pep chromosome:Graimondii2_0_v6:9:4430656:4439504:1 gene:B456_009G062000 transcript:KJB55073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASEKELELQLMEAGNRLVEPPSSVAELIPLLDQVESCLSRVEQSPSQSMQNALSPSLKALVAEHLFRHPDDDVKVAVAACISEITRITAPDAPYDDDQMREVFQLIVSSFENLSDKSSRSFIKRTSILETVAKVRSCVVMLDLECDALIVEMFQHFLKAIRDYHAEAVFTSMVTIMTLVLEESEDIPTELLSPILSSVKKDNEEVLPVARKLAEKVLENCASKLQPYLTQAVENLGISFDDYSSIVASICGATAGAVERDDAAVGKLADDEKIPAEAPLENAAQGDKKIPKEAVSIEQVALVNEKTPVPVACNGIMQTADSISVKKQEDDHIADKSENETSTVAEPDLLEAEKVVDPDPKLEQSTQEKERKSDSKLTELSGSSQVDEKEVETIPDRKHDCKDGDGSQCRDVSVDGDVSSENRRETDFQHSSPKAIEDKSTDVASPTPSGTVIVDGHSKRVSRPKKKDSVNKETTCSVDDFSKKSHEGTSDSEAKSNRQSGKKGSTVVSNEDNAPVSVDETKKESDIASDSEAKSLKKSSKKVDSSGNNLDKSSSKQLEDKNRLARGKVAPVKDGTKNSTKNDDEEVIGSPKSVKPNKQDSHKEGTPKTNSKRKHMPSKEKSSDSMEYGENLVGLKVKVWWPKDREFYEGFIHSFDSAKKKHKVHYNDGDEEILNLKREKWAVIEDESGSDEEGAANLPSPDGSSDIGGPQKKKAKTADPSSKKAKMDASPKRGGVTSSGKSKGSATKSGRKTKEDAKVDGKSKDGSKSVSKSGNDSVAKSKDPSTPKTGSKPVDNASKADKKSKNEESGETPKSTKSKDDGSATPKASSKLKQDVPKTGNSKQETPKISSQSKGKPLKSGGKSNSNGTGKSKSGSSKVKERESMKESSTDSGKVVGSVKRKAPSLLKAQGSDSSLEKRGKDELKVAPTALSFAKGWFFSNVELG >KJB55394 pep chromosome:Graimondii2_0_v6:9:5286600:5291786:-1 gene:B456_009G073800 transcript:KJB55394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTLTVRETLTYSAHLRLPTTLSKEEVKDIVEGTIMEMGLQDCGDTVIGNWHRRGISGGEKKRLSIALEILTMPKLLFLDEPTSGLDSASAFFVVQSLRNIARDGRTVVSSIHQPSSEVFALFDDLFLLSGGETVYFGEAKMAVQFFAEAGFPCPSRRNPSDHFLRCINSDFDAITATLKGSQRLRDKPTSSDPFMEMATAQIKSALVEKYRDSKYAKMARARSQEISKIEGLEVETKSGSQATWWKQLTTLTKRSFVNMCRDVGYYWARIVIYILVSICVGTVFYDVGYGNTAILARVACGAFITGFMTFMSIGGFPSFIEELKVFNKERLNGYYGVAAYTLSNFLSAFPFLVTISLITGTITFYLVKFRSGFSHYAFFCLNIFFSIAVIESLMMVVASMVPNYLMGIVTGAGIIGILMMTSGFFRLLPDLPKIFWRYPISYISFSSWALQGAYKNDFIGLEFDPLLPGDRKLTGDEIITKYFGVSVDRSKWWDLTAVVVILICYRLIFFIILKMKEKASPFLQEIYAKKTLEHLDKRPSFRKVPSLASSKRHQPLHSLSSQEGLNSPLH >KJB55392 pep chromosome:Graimondii2_0_v6:9:5286600:5291786:-1 gene:B456_009G073800 transcript:KJB55392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTLTVRETLTYSAHLRLPTTLSKEEVKDIVEGTIMEMGLQDCGDTVIGNWHRRGISGGEKKRLSIALEILTMPKLLFLDEPTSGLDSASAFFVVQSLRNIARDGRTVVSSIHQPSSEVFALFDDLFLLSGGETVYFGEAKMAVQFFAEAGFPCPSRRNPSDHFLRCINSDFDAITATLKGSQRLRDKPTSSDPFMEMATAQIKSALVEKYRDSKYAKMARARSQEISKIEGLEVETKSGSQATWWKQLTTLTKRSFVNMCRDVGYYWARIVIYILVSICVGTVFYDVGYGNTAILARVACGAFITGFMTFMSIGGFPSFIEELKVFNKERLNGYYGVAAYTLSNFLSAFPFLVTISLITGTITFYLVKFRSGFSHYAFFCLNIFFSIAVIESLMMVVASMVPNYLMGIVTGAGIIGILMMTSGFFRLLPDLPKIFWRYPISYISFSSWALQGAYKNDFIGLEFDPLLPGDRKLTGDEIITKYFGVSVDRSKWWDLTAVVVILICYRLIFFIILKMKEKASPFLQEIYAKKTLEHLDKRPSFRKVPSLASSKRHQPLHSLSSQEGLNSPLH >KJB55393 pep chromosome:Graimondii2_0_v6:9:5287257:5291722:-1 gene:B456_009G073800 transcript:KJB55393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEVASCSKKGAGGASHEDELRGVYLVWQDLTVVLPNFGNKPTKRLLQGLSGYAEPGRIMAIMGPSGSGKSTLLDSLAGRLARNVVMTGNILLNGKKKRLEYGAVAYVTQEDVMLGTLTVRETLTYSAHLRLPTTLSKEEVKDIVEGTIMEMGLQDCGDTVIGNWHRRGISGGEKKRLSIALEILTMPKLLFLDEPTSGLDSASAFFVVQSLRNIARDGRTVVSSIHQPSSEVFALFDDLFLLSGGETVYFGEAKMAVQFFAEAGFPCPSRRNPSDHFLRCINSDFDAITATLKGSQRLRDKPTSSDPFMEMATAQIKSALVEKYRDSKYAKMARARSQEISKIEGLEVETKSGSQATWWKQLTTLTKRSFVNMCRDVGYYWARIVIYILVSICVGTVFYDVGYGNTAILARVACGAFITGFMTFMSIGGFPSFIEELKVFNKERLNGYYGVAAYTLSNFLSAFPFLVTISLITGTITFYLVKFRSGFSHYAFFCLNIFFSIAVIESLMMVVASMVPNYLMGIVTGAGIIVRDSNDDIRLLQVAA >KJB55390 pep chromosome:Graimondii2_0_v6:9:5286572:5291995:-1 gene:B456_009G073800 transcript:KJB55390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEVASCSKKGAGGASHEDELRGVYLVWQDLTVVLPNFGNKPTKRLLQGLSGYAEPGRIMAIMGPSGSGKSTLLDSLAGRLARNVVMTGNILLNGKKKRLEYGAVAYVTQEDVMLGTLTVRETLTYSAHLRLPTTLSKEEVKDIVEGTIMEMGLQDCGDTVIGNWHRRGISGGEKKRLSIALEILTMPKLLFLDEPTSGLDSASAFFVVQSLRNIARDGRTVVSSIHQPSSEVFALFDDLFLLSGGETVYFGEAKMAVQFFAEAGFPCPSRRNPSDHFLRCINSDFDAITATLKGSQRLRDKPTSSDPFMEMATAQIKSALVEKYRDSKYAKMARARSQEISKIEGLEVETKSGSQATWWKQLTTLTKRSFVNMCRDVGYYWARIVIYILVSICVGTVFYDVGYGNTAILARVACGAFITGFMTFMSIGGFPSFIEELKVFNKERLNGYYGVAAYTLSNFLSAFPFLVTISLITGTITFYLVKFRSGFSHYAFFCLNIFFSIAVIESLMMVVASMVPNYLMGIVTGAGIIGILMMTSGFFRLLPDLPKIFWRYPISYISFSSWALQGAYKNDFIGLEFDPLLPGDRKLTGDEIITKYFGVSVDRSKWWDLTAVVVILICYRLIFFIILKMKEKASPFLQEIYAKKTLEHLDKRPSFRKVPSLASSKRHQPLHSLSSQEGLNSPLH >KJB55391 pep chromosome:Graimondii2_0_v6:9:5287043:5291722:-1 gene:B456_009G073800 transcript:KJB55391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEVASCSKKGAGGASHEDELRGVYLVWQDLTVVLPNFGNKPTKRLLQGLSGYAEPGRIMAIMGPSGSGKSTLLDSLAGRLARNVVMTGNILLNGKKKRLEYGAVAYVTQEDVMLGTLTVRETLTYSAHLRLPTTLSKEEVKDIVEGTIMEMGLQDCGDTVIGNWHRRGISGGEKKRLSIALEILTMPKLLFLDEPTSGLDSASAFFVVQSLRNIARDGRTVVSSIHQPSSEVFALFDDLFLLSGGETVYFGEAKMAVQFFAEAGFPCPSRRNPSDHFLRCINSDFDAITATLKGSQRLRDKPTSSDPFMEMATAQIKSALVEKYRDSKYAKMARARSQEISKIEGLEVETKSGSQATWWKQLTTLTKRSFVNMCRDVGYYWARIVIYILVSICVGTVFYDVGYGNTAILARVACGAFITGFMTFMSIGGFPSFIEELKVFNKERLNGYYGVAAYTLSNFLSAFPFLVTISLITGTITFYLVKFRSGFSHYAFFCLNIFFSIAVIESLMMVVASMVPNYLMGIVTGAGIIGILMMTSGFFRLLPDLPKIFWRYPISYISFSSWALQVCCNSFLNEASYLTITNFAWNNDVGGVQERFHRPGIRPITTRGSETNRG >KJB60309 pep chromosome:Graimondii2_0_v6:9:26366061:26368919:1 gene:B456_009G299600 transcript:KJB60309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQSKLFNPGVRGGKVFVLPNYNQPMEQQREIMLKRIDYLFDIGEFKGWLTEQGEEIELKKFASFEVFGTFDHSMGTMLAVHFFLWGGAVQVFGTKHHHDKWLRDTETHVVKGCFAMSELGHGSNVRGVEIVTTYDSNTQEFVINTPCESAQKFWIGEAANHATHAIVFSPLNINGSNQGVHAFIAQIRDADGYLCQNVWIADCGRKIGLNGVDNGRIWFGNVYSRGFGM >KJB60310 pep chromosome:Graimondii2_0_v6:9:26366061:26367806:1 gene:B456_009G299600 transcript:KJB60310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQSKLFNPGVRGGKVFVLPNYNQPMEQQREIMLKRIDYLFDIGEFKGWLTEQGEEIELKKFASFEVFGTFDHSMGTMLAVHFFLWGGAVQVFGTKHHHDKWLRDTETHVVKGCFAMSELGHGSNVRGVEIVTTYDSNTQEFVINTPCESAQKFWIGEAANHATHAIVFSPLNINGSNQGVHAFIAQIRDADGYLCQNVWIADCGRKIGLNGVDNGRIWFGNVYSRGFGM >KJB60311 pep chromosome:Graimondii2_0_v6:9:26366061:26367996:1 gene:B456_009G299600 transcript:KJB60311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQSKLFNPGVRGGKVFVLPNYNQPMEQQREIMLKRIDYLFDIGEFKGWLTEQGEEIELKKFASFEVFGTFDHSMGTMLAVHFFLWGGAVQVFGTKHHHDKWLRDTETHVVKGCFAMSELGHGSNVRGVEIVTTYDSNTQEFVINTPCESAQKFWIGEAANHATHAIVFSPLNINGSNQGVHAFIAQIRDADGYLCQNVWIADCGRKIGLNGVDNGRIWFGNVYSRGFGM >KJB60312 pep chromosome:Graimondii2_0_v6:9:26366061:26367863:1 gene:B456_009G299600 transcript:KJB60312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQSKLFNPGVRGGKVFVLPNYNQPMEQQREIMLKRIDYLFDIGEFKGWLTEQGEEIELKKFASFEVFGTFDHSMGTMLAVHFFLWGGAVQVFGTKHHHDKWLRDTETHVVKGCFAMSELGHGSNVRGVEIVTTYDSNTQEFVINTPCESAQKFWIGEAANHATHAIVFSPLNINGSNQGVHAFIAQIRDADGYLCQNVWIADCGRKIGLNGVDNGRIWFGNVYSRGFGM >KJB60308 pep chromosome:Graimondii2_0_v6:9:26366061:26368919:1 gene:B456_009G299600 transcript:KJB60308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQSKLFNPGVRGGKVFVLPNYNQPMEQQREIMLKRIDYLFDIGEFKGWLTEQGEEIELKKFASFEVFGTFDHSMGTMLAVHFFLWGGAVQVFGTKHHHDKWLRDTETHVVKGCFAMSELGHGSNVRGVEIVTTYDSNTQEFVINTPCESAQKFWIGEAANHATHAIVFSPLNINGSNQGVHAFIAQIRDADGYLCQNVWIADCGRKIGLNGVDNGRIWFGNVYSRGFGM >KJB58061 pep chromosome:Graimondii2_0_v6:9:14804474:14806787:1 gene:B456_009G192500 transcript:KJB58061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVWKDISLASLSDHPVDSILSTRTKNPNFPSMILLNFLATPINKEPPTPRTSVVSSNDTSSTEGPAPFVPGTILSLKSGFGSACRCTETGDPMRPNLEVNARAGVPAAPSFSSYSSGFRGFGSPEAFPSFCTKRAPESNENSNDRRHKRMMKNRESAARSRARKQAYTTELELKVAHLQEENAKLRRQQEKILAARDQVPKKNTLSRTSTAPF >KJB62239 pep chromosome:Graimondii2_0_v6:9:60430968:60437386:-1 gene:B456_009G407700 transcript:KJB62239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRVLLSSIITNLTIIAGENVHAAKAIAATVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKCFAARLPEVFCKAYRQVDPPLHQSMRHLFGTWKGVFPLQTLQVIEKELGFTPLVNGSSSGNTTSRTDTLSQRPPQSIHVNPKYLEKQRLQQSSRAKVMVNDMAGTLGNSKESERPDRAHSIAELSYGSVKMNTPGIEVRRTRGNITDQGLDAPWFGATGSVTETIPGQRNGFNVKHGSQNYSASKTVNADPRLHRTHSRNSSGLLSSWKNSEEEEFMWEMHSRLSEHDAANFSNNMRKDCWTPDVSEKMDFESQLHRPQSIHDAGSRFDRETSADALSTEQKDKPSFGHQISTAWTDGLPATSSSRSESYSASLGGLPTGASSSLARIGMRPQTGSSHSGTPGFVFLANVASGSTGTSGKQCLKSVGTALPPEQSPMRQHSPSPSFPACHNHQQLQKLADPDYQQALSLPRADPKPSHFSGKLSVGSHRDSPQTSAPISLLPSRHHHLSQPPLPDSMQAETSGQTQKPLPSQISKVEAASALGSALECSNPLAIETSELSSTSSLLAAVMKSGILSSTSFTGNLSTKISQVVGQISQPPLPNCPPAVLTTSGLIDAAISSDATHDAITATPNSSQKKVEQLPLPPGPPLSSLVSNAPSQTSDAESKDTNPISNLLSSLVAKGLISASKKDAASLPSLQMPNQIEKSPEIERPSESMNKSSDIQSSSDAPRSSTMNEVSYDEPAPKCSVPPHQSTSTEVESLIGLELRPEVIREFHSSVISGLLDDLPHCCSLCGLRLKLQGRLDRHLEWHEMTKTESRGSGRALRGWYTRSDDWLAGKPGQLVFDCTGSLNKLEKKTEKAELMVPADENQCACLLCGELFEDYFSLDRGEWMFKGAAFLTIPSKDGGVGTTDGSAANGPIVHANCMSESSVQDLGLSGGIKVEMEE >KJB62238 pep chromosome:Graimondii2_0_v6:9:60430958:60438184:-1 gene:B456_009G407700 transcript:KJB62238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPRRSFDRSREPGLKKPRLTEDLTSNPNIRPFSQRANPVVTASGLRFRSNDSGTNDLIRGDGGAYEPQPVSHQQQHQQQQELVSQYKTALAELTVNSKPIITNLTIIAGENVHAAKAIAATVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKCFAARLPEVFCKAYRQVDPPLHQSMRHLFGTWKGVFPLQTLQVIEKELGFTPLVNGSSSGNTTSRTDTLSQRPPQSIHVNPKYLEKQRLQQSSRAKVMVNDMAGTLGNSKESERPDRAHSIAELSYGSVKMNTPGIEVRRTRGNITDQGLDAPWFGATGSVTETIPGQRNGFNVKHGSQNYSASKTVNADPRLHRTHSRNSSGLLSSWKNSEEEEFMWEMHSRLSEHDAANFSNNMRKDCWTPDVSEKMDFESQLHRPQSIHDAGSRFDRETSADALSTEQKDKPSFGHQISTAWTDGLPATSSSRSESYSASLGGLPTGASSSLARIGMRPQTGSSHSGTPGFVFLANVASGSTGTSGKQCLKSVGTALPPEQSPMRQHSPSPSFPACHNHQQLQKLADPDYQQALSLPRADPKPSHFSGKLSVGSHRDSPQTSAPISLLPSRHHHLSQPPLPDSMQAETSGQTQKPLPSQISKVEAASALGSALECSNPLAIETSELSSTSSLLAAVMKSGILSSTSFTGNLSTKISQVVGQISQPPLPNCPPAVLTTSGLIDAAISSDATHDAITATPNSSQKKVEQLPLPPGPPLSSLVSNAPSQTSDAESKDTNPISNLLSSLVAKGLISASKKDAASLPSLQMPNQIEKSPEIERPSESMNKSSDIQSSSDAPRSSTMNEVSYDEPAPKCSVPPHQSTSTEVESLIGLELRPEVIREFHSSVISGLLDDLPHCCSLCGLRLKLQGRLDRHLEWHEMTKTESRGSGRALRGWYTRSDDWLAGKPGQLVFDCTGSLNKLEKKTEKAELMVPADENQCACLLCGELFEDYFSLDRGEWMFKGAAFLTIPSKDGGVGTTDGSAANGPIVHANCMSESSVQDLGLSGGIKVEMEE >KJB58452 pep chromosome:Graimondii2_0_v6:9:16312049:16313810:-1 gene:B456_009G210800 transcript:KJB58452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNASEVALDLFPYLKVYKNGTLERIAGVEVVPPGLDPETNVLSKDIVIVPETGVSARIYRPNLISEDRKLPLVVYFHGGAFCVASPAFPPYHTSLNKLVAEANIIALSVDYRLVPEHPLPTAYEDSWVALQWIASHKDEDGNQEAWIKDYVGFEQVFLAGDSAGGNIAHHLALRIKESNLGQKLKILGIGMIHPYFWGTSPIGPELNDRSRKELVDKWWLYVCPTDKGCDDPLINPFVDGESADLAGLACDRILIIVAEKDILKGRGWLYYDKLVKSGWKGKAEIMETEGEDHVFHIFNPDCDNANRLTKRLASFFNQGKLPFQLKCQSEVLG >KJB60546 pep chromosome:Graimondii2_0_v6:9:29032810:29041084:-1 gene:B456_009G311500 transcript:KJB60546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSVSDHSFYIESDEEDEEKVFIPDENGEEVDGNESDDSDSSAENQQQNKPGSYNTSWPQSYRQSIDLYSSVPSPSIGFLGTPTLSRLSSSYLSSSLTRRHTPETLSSATKPLLPTVDDQIEPHRRSSHALLPPIPSRRQSVRLDDKTSSKVSHEISLPRHCTNGQAVLNGINVLCGVGILSTPYAAKEGGWLGLIILFTFALLSFYTGLLLRQCLDSQPGLETYPDIGQAAFGTYGRIALSIILYVELYACCVEYIILEGDNLASLFPNAYVSLGGLELSPQRLFALMATLAVLPTVWLRDLSVLSYISAGGVVASILAVLCLFWVGLIDQVGFHNKGTTLNIATLPVAVGLYGYCYSGHAVFPNIYTSMANPNKFPSVLIACFAICSLLYAGTAVMGYTMFGEATESQFTLNMPKDLIASKIAVWTTVVNPFTKYALTMSPVAMSLEELIPSTHLKSHIYAIIIRTSLVISTLIVGLTIPFFGLVMSLIGSLLTMLVTLILPPACYLSILRGKVTRIQRTLCIMVITVGVVSSAFGTYSALSKIVENLRS >KJB62638 pep chromosome:Graimondii2_0_v6:9:67214075:67217123:1 gene:B456_009G428500 transcript:KJB62638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVSQMPSSSPLSSNKDEIRPKADFQPSIWGDFFLNCPDKNIDAGTEKRHQQLKEEVRKMIVAPMANSTQKLAFIDSVQRLGVSYHFTKEIEDELENIYHNNNDAENDLYTTSLRFRLLREHGYNVSCDVFNKFKDEQGNFKSSVTSDVRGLLELYQASYLRVHGEDILDEAISFTTNHLSLAVASLDHPLSEEVSHALKQSIRRGLPRVEARHYLSVYQDIESHNKALLEFAKIDFNILQFLHRKELSEICRWWKDLDFQRKLPYARDRVVEGYFWISGVYFEPQYSLGRKMLTKVIAMASIVDDTYDSYATYEELIPYTNAIERWDIKCIDELPEYMKPSYKALLDVYEEMEQLVAEHGRQYRVEYAKNAMIRLAQSYLVEARWTLQNYKPSFEEFKANALPTCGYAMLAITSFVGMGDIVTPETFKWAANDPKIIQASTIICRFMDDVAEHKV >KJB62639 pep chromosome:Graimondii2_0_v6:9:67214075:67217123:1 gene:B456_009G428500 transcript:KJB62639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVSQMPSSSPLSSNKDEIRPKADFQPSIWGDFFLNCPDKNIDAGTEKRHQQLKEEVRKMIVAPMANSTQKLAFIDSVQRLGVSYHFTKEIEDELENIYHNNNDAENDLYTTSLRFRLLREHGYNVSCDVFNKFKDEQGNFKSSVTSDVRGLLELYQASYLRVHGEDILDEAISFTTNHLSLAVASLDHPLSEEVSHALKQSIRRGLPRVEARHYLSVYQDIESHNKALLEFAKIDFNILQFLHRKELSEICRWWKDLDFQRKLPYARDRVVEGYFWISGVYFEPQYSLGRKMLTKVIAMASIVDDTYDSYATYEELIPYTNAIESWIQVGYQMHR >KJB62637 pep chromosome:Graimondii2_0_v6:9:67214064:67217123:1 gene:B456_009G428500 transcript:KJB62637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVSQMPSSSPLSSNKDEIRPKADFQPSIWGDFFLNCPDKNIDAGTEKRHQQLKEEVRKMIVAPMANSTQKLAFIDSVQRLGVSYHFTKEIEDELENIYHNNNDAENDLYTTSLRFRLLREHGYNVSCDVFNKFKDEQGNFKSSVTSDVRGLLELYQASYLRVHGEDILDEAISFTTNHLSLAVASLDHPLSEEVSHALKQSIRRGLPRVEARHYLSVYQDIESHNKALLEFAKIDFNILQFLHRKELSEICRWWKDLDFQRKLPYARDRVVEGYFWISGVYFEPQYSLGRKMLTKVIAMASIVDDTYDSYATYEELIPYTNAIERWDIKCIDELPEYMKPSYKALLDVYEEMEQLVAEHGRQYRVEYAKNAMIRLAQSYLVEARWTLQNYKPSFEEFKANALPTCGYAMLAITSFVGMGDIVTPETFKWAANDPKIIQASTIICRFMDDVAEHKFKHRREDDCSAIECYMEEYGVSAQEAYDVFNKHVESAWKDVNQEFLKPTEMPTEVLNRSLNLARVMDVLYREGDGYTYVGKAAKGGITSLLIEPIAL >KJB56577 pep chromosome:Graimondii2_0_v6:9:9486968:9492816:1 gene:B456_009G126100 transcript:KJB56577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNNLKPTSASEKPAMGRGDWRTQLRSDLRERIIVNKMFDTLKRHLRSSGEDKLNELRKTVEAFEEKTYNAASNQFDYVRRISLKLLQKETQSQNIVQNSGQCSNNLHNPGSQSMQSEQVHGEGLSHQNIPNDLKGKVNIYDLPGKDGPDVIFPKQWPPLPALYISEQTPTIRSTSVDCADLTMAQQNNHSNMHQQQQQQQQQLMAQQNNLSNMHQQQLGPQSNISGLQQQQQQLVGTQSGNSSMQTNQQSLHMLSQPKVALQQTQQTAPNLLPTQGQTSQQPQQQQQLMSQMQSQPTQLRQQLGLQQHPNQVQQNMQQRLQASGQTSSSLLQSQNLIDQQQQLYQSQRAVPETSSISLDSTAQTGHSNGGDWQEEVYQKIKAMKETYFPELNMMHEKISATLLQVEHDSLPQQTKSARLEKMKLFKTMLERILSFLTISRAAIVPAFKDKLSSYEDQIGKFIIANRPRKLVSALQQGQLLPTHMHSMQQPQPESNQTQSHDNQMNPLFPSMMRPAQMPQLKKQMPRLQQLQLLQMLQQRGMHRVDELQSPAKIKTNELSHLHQIDIDSGVLQENLPSNQLPGYEQASSEYHAPDTAGIGVPPIMSIPPLLPGFKEVNDTSGNALTTDFGKPDIAEQPHDLKVNMAKPKSLSATVVTMVDEAVTSVGEDLAAMRKQGLHARNFFAQTGMSGAEKMRCYLSALVILALLIFFIFNLNHQY >KJB53858 pep chromosome:Graimondii2_0_v6:9:694137:694887:1 gene:B456_009G008300 transcript:KJB53858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTNPYLSKPLHNFSLPPFSDNLVICKQRSKNRKKIIKDPQETEGSDQPNSDATQTPVSERKQKIVLNLKVRLKNSICEIANHEEPKASDQLNPHKASDVTQRRKTDHHHQPEIIVPTKKNAAALTNKKRRRVSVTLSREEIEEDIAEIAKLAGKKLQRRNPEKRPRINLDHLFPG >KJB62684 pep chromosome:Graimondii2_0_v6:9:67540049:67540560:1 gene:B456_009G430100 transcript:KJB62684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVSLSWSKLWDQIVGSYRVDAKLQILCQKIQEHPELHPKYSWDGRMIRRLGKVVVGHNEQLNFVFQNHLSTRRGSNKTKSDPEGCIDKQGGISR >KJB62736 pep chromosome:Graimondii2_0_v6:9:67907690:67908269:-1 gene:B456_009G432700 transcript:KJB62736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTILEKQTTQGLETPGTAGDLKGENRSRRRSYMASPSSSSSSPRLRPRCTCSNQPGSAPCSKHGYMVPRQNTKRYGGNKEIIRRAITPNRKMTLRWWNFRPTPSRLSNMTMA >KJB57431 pep chromosome:Graimondii2_0_v6:9:12556577:12557882:1 gene:B456_009G163800 transcript:KJB57431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSIAKRWKQLSGQNNWGELLDPLDIDLRRYLTHYGEMAQATYDAFNTEKASKYAGSSLYGKKDFFYNVGLEKGNPYKYQVTKFFYATSQIRVPEAFLVKPMSREAWSKESNWIGYVAVATDEGKDVLGRRDIAIAWRGTVETLEWIDDVEFKLVHEGWYSIYISEDPRSPYNKTSARDQVLNEVMRLVEQFKNEETSITVTGHSLGAALATLNAIDIIANGYNKANNPSGEASPVTAFLFASPRVGDSNFKKKFTGYSDLRALRVRNVIDAVPKYPMVGYTDVGEELDIDTRKSKYLRSSGNWLNWHDLEAYLHGVAGTQGCNGGFKLVISRDIALVNKSVNGLKDEYLVPVSWRIEKNKGMVQQEDGSWKLMDHQSQDEDNT >KJB60424 pep chromosome:Graimondii2_0_v6:9:27501394:27503912:1 gene:B456_009G304600 transcript:KJB60424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGDQYGLPDFQRLLTRRTHFPASLLPQPSESPYLAHHRNMAPSPPPYHEPPYVLSNGDIAMPSGLLRFNTTGATGFTAEASASAAVGGGGWSLGNIDCGNSRWPRQETLTLLEIRSRLDSKFKEANQKGPLWDEVSRIMAEEYGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKNYRFFKQLEAIYGETSNQSSVPETNNINTVPSNLPEKYHESMQEQKMSESLGFSDPEFEASSSEKMNDDECELSGIASMVNQMGVKKGWKTKVKDFVDSQMKRLIDSQDVWMERMLKVIEEKEKERVLREEEWRRQEAARFDKEHELWVKERAWIEARDASLMAALRTLRPLTAKTQNEINANRWTEHEILERRLQENGYSTRQSTWEDIEAKMVNLGYGYEHEANNAECYKKRKEDYLSS >KJB57270 pep chromosome:Graimondii2_0_v6:9:11978174:11978980:-1 gene:B456_009G156100 transcript:KJB57270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIMSESWTTFQRGEDELREIEVLIISAQDLKNVKHLTKMRAYAVVYVEKDFHMAKTQVDEHGGTNPTRNQLVKVKFHAKLAEKDVLAAVNVDIYEHGHVREKPAGSARVLLCDVLKGGDASDPVDNPIQCLTVQVWRSSGRPQGLLCGFRQLGGS >KJB61231 pep chromosome:Graimondii2_0_v6:9:42330596:42335017:-1 gene:B456_009G346800 transcript:KJB61231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAATTTVACDATTTTSSDGPVLSLINKRIRALRKKYNRILQMEESVSQGKPLNKEQEEVLRSKPSVSALIDELEKLRHPLSSAVSEEISLALQRQTISAEETTSEAQQQQQPPSEPDHAVEDLLNLLYFGSLFDVKSQNDFTSMMLTRTHERGCCLTYDYVTDDATDLLSEKDLDSISTLSGLLTSRSADSSLSHKSALHRCLHHAKLWLSNSDQPIDPNADVSYAGLRERLNKIMALDYFTTTPEMKAPVEVVAAAAAGSYTTFQVPVQSVPISVPLQVEDSVGQYQQKEEDAFNYQEPEILETSDNQFSVAEEHQKDEVEMENPAEDIAVQQGGKLSVDTDDQRNVEPKEQQYVPRRPYHNQRGSRGTGGGRRGYSNGRGSRGSGRGGGAYQNGRSQYYDQPGNNYYSRSYHNNRGRGGRGGGHAYNNHGSAVQGGHASADVGVAS >KJB61232 pep chromosome:Graimondii2_0_v6:9:42330626:42334992:-1 gene:B456_009G346800 transcript:KJB61232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAATTTVACDATTTTSSDGPVLSLINKRIRALRKKYNRILQMEESVSQGKPLNKEQEEVLRSKPSVSALIDELEKLRHPLSSAVSEEISLALQRQTISAEETTSEAQQQQQPPSEPDHAVEDLLNLLYFGSLFDVKSQNDFTSMMLTRTHERGCCLTYDYVTDDATDLLSEKDLDSISTLSGLLTSRSADSSLSHKSALHRCLHHAKLWLSNSDQPIDPNADVSYAGLRERLNKIMALDYFTTTPEMKAPVEVVAAAAAGSYTTFQVPVQSVPISVPLQVEDSVGQYQQKEEDAFNYQEPEILETSDNQFSVAEEHQKDEVEMENPAEDIAVQQGGKLSGWKRRWPCLQQSWVGSSGWSRLC >KJB56209 pep chromosome:Graimondii2_0_v6:9:8030603:8035094:1 gene:B456_009G110000 transcript:KJB56209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELAKVLYIVVVDEGEKRDKETSSFRYTRPVLQSTLQLMGCKARHAFKISSRVFELVRSEASYSSLLQQGSETLNSDGLRWNSEKEDAYSNFNDFQRAEAGSRLTSNEDDRNKGIPFELYKRRTSVVVKREAFLDVVCDALAEYKYVGPNQRADLVLACRIREKKESVTVLLCGTSGCGKSTLSALLGSRLGITTVISTDSIRHMMRSFVNEKENPLLWASTYHAGECLDPVAVTEAKAKKRAKKLAGISQSLSKSELADLTSAGKSDARPGESNSTGTELIGPRQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFMGLMKKHPSIIPFMIYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHFVPKINNTNVDKSVAAIHATVFSCLRRREAGETLYDPITNTVAVIDEEYRNQCAANSLSSKGMFQLIHRSGSSRQLMALLNKDGSVAKAWSVESIDSNGRPISGHGTEGLSGMPLYGPLTIGKAEPVNLQFGHYGISAWPSDGGTSHAGSVDESRFDGTDNGSRYQSSCCSSPRMSDGPSKELMEENSVFGSDEEVDDPPEVDSDEDFSDDCDKRDLEEVGSVDEGSTKSDEEYDDLAMQDVWDQAIHTREDQYNNNLNAYHKSGGEQLSEPLCYYHPSVLWRRMRGDCH >KJB56208 pep chromosome:Graimondii2_0_v6:9:8030603:8035094:1 gene:B456_009G110000 transcript:KJB56208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELAKVLYIVVVDEGEKRDKETSSFRYTRPVLQSTLQLMGCKARHAFKISSRVFELVRSEASYSSLLQQGSETLNSDGLRWNSEKEDAYSNFNDFQRAEAGSRLTSNEDDRNKGIPFELYKRRTSVVVKREAFLDVVCDALAEYKYVGPNQRADLVLACRIREKKESVTVLLCGTSGCGKSTLSALLGSRLGITTVISTDSIRHMMRSFVNEKENPLLWASTYHAGECLDPVAVTEAKAKKRAKKLAGISQSLSKSELADLTSAGKSDARPGESNSTGTELIGPRQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHFVPKINNTNVDKSVAAIHATVFSCLRRREAGETLYDPITNTVAVIDEEYRNQCAANSLSSKGMFQLIHRSGSSRQLMALLNKDGSVAKAWSVESIDSNGRPISGHGTEGLSGMPLYGPLTIGKAEPVNLQFGHYGISAWPSDGGTSHAGSVDESRFDGTDNGSRYQSSCCSSPRMSDGPSKELMEENSVFGMMRILVMTVTNETLKR >KJB56206 pep chromosome:Graimondii2_0_v6:9:8030592:8035162:1 gene:B456_009G110000 transcript:KJB56206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELAKVLYIVVVDEGEKRDKETSSFRYTRPVLQSTLQLMGCKARHAFKISSRVFELVRSEASYSSLLQQGSETLNSDGLRWNSEKEDAYSNFNDFQRAEAGSRLTSNEDDRNKGIPFELYKRRTSVVVKREAFLDVVCDALAEYKYVGPNQRADLVLACRIREKKESVTVLLCGTSGCGKSTLSALLGSRLGITTVISTDSIRHMMRSFVNEKENPLLWASTYHAGECLDPVAVTEAKAKKRAKKLAGISQSLSKSELADLTSAGKSDARPGESNSTGTELIGPRQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHFVPKINNTNVDKSVAAIHATVFSCLRRREAGETLYDPITNTVAVIDEEYRNQCAANSLSSKGMFQLIHRSGSSRQLMALLNKDGSVAKAWSVESIDSNGRPISGHGTEGLSGMPLYGPLTIGKAEPVNLQFGHYGISAWPSDGGTSHAGSVDESRFDGTDNGSRYQSSCCSSPRMSDGPSKELMEENSVFGSDEEVDDPPEVDSDEDFSDDCDKRDLEEVGSVDEGSTKSDEEYDDLAMQDVWDQAIHTREDQYNNNLNAYHKSGGEQLSEPLCYYHPSVLWRRMRGDCH >KJB56207 pep chromosome:Graimondii2_0_v6:9:8031051:8034418:1 gene:B456_009G110000 transcript:KJB56207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELAKVLYIVVVDEGEKRDKETSSFRYTRPVLQSTLQLMGCKARHAFKISSRVFELVRSEASYSSLLQQGSETLNSDGLRWNSEKEDAYSNFNDFQRAEAGSRLTSNEDDRNKGIPFELYKRRTSVVVKREAFLDVVCDALAEYKYVGPNQRADLVLACRIREKKESVTVLLCGTSGCGKSTLSALLGSRLGITTVISTDSIRHMMRSFVNEKENPLLWASTYHAGECLDPVAVTEAKAKKRAKKLAGISQSLSKSELADLTSAGKSDARPGESNSTGTELIGPRQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHFVPKINNTNVDKSVAAIHATVFSCLRRREAGETLYDPITNTVAVIDEEYRNQCAANSLSSKGMFQLIHRSGSSRQLMALLNKDGSVAKAWSVESIDSNGRPISGHGTEGLSGMPLYGPLTIGKAEPVNLQFGHYGISAWPSDGGTSHAGSVDESRFDGTDNGSRYQSSCCSSPRMSDGPSKELMEENSVFGSDEEVDDPPEVDSDEDFSDDCDKRDLEEV >KJB58066 pep chromosome:Graimondii2_0_v6:9:14827687:14832693:-1 gene:B456_009G192800 transcript:KJB58066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDYSSYYQYPYLSNPNPNPNPNPNPNPNPVPSEFHQPPYASAPPFSSGYASSDYSVYPPNYPPYSQNLDAAPPTASAYTPPPSATTTPPAPVIAPQSSFNQQPIAPPSATTAPSFPPYDSHVPYQPPASQPPYFQQYDQHQTASSYGPAPPNPNPNSTPNPSYYSNPYGQVGSSVSAVHPAYENAYDNSMKFDHGGGSYFDDKYGGYNLNRSDLGSDLYGKRSDSYSRYGDDGGYGDGVYAYEGGKAEPYGARGTASKSSTWVQFDDYGRSINIPSGKDSSGGSGSAAGKILRAVPKDDTQQDVKSGVQKFRVKLLSESGAHGPMDVLCQIGLDGIRMLEPSSSRILRIYPLENITRCEVLDSSTFAFWSKSSVDIEPRRIRLQSNSYTTNTLLDIVTAATVQIKEMGWKSRPPESAKTAEQPAEKKRGFADWMNIIKPGAEEKDHWVPDEAVSKCTACATDFGAFVRKHHCRNCGDIFCDKCTHGRIALTADENAPQVRVCDRCMAEVTQRLNNAKEISSKPTVLQSHEDLAKKLQRWGEVAEHHQAPCLMALVGG >KJB58065 pep chromosome:Graimondii2_0_v6:9:14827658:14832760:-1 gene:B456_009G192800 transcript:KJB58065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDYSSYYQYPYLSNPNPNPNPNPNPNPNPVPSEFHQPPYASAPPFSSGYASSDYSVYPPNYPPYSQNLDAAPPTASAYTPPPSATTTPPAPVIAPQSSFNQQPIAPPSATTAPSFPPYDSHVPYQPPASQPPYFQQYDQHQTASSYGPAPPNPNPNSTPNPSYYSNPYGQVGSSVSAVHPAYENAYDNSMKFDHGGGSYFDDKYGGYNLNRSDLGSDLYGKRSDSYSRYGDDGGYGDGVYAYEGGKAEPYGARGTASKSSTWVQFDDYGRSINIPSGKDSSGGSGSAAGKILRAVPKDDTQQDVKSGVQKFRVKLLSESGAHGPMDVLCQIGLDGIRMLEPSSSRILRIYPLENITRCEVLDSSTFAFWSKSSVDIEPRRIRLQSNSYTTNTLLDIVTAATVQIKEMGWKSRPPESAKTAEQPAEKKRGFADWMNIIKPGAEEKDHWVPDEAVSKCTACATDFGAFVRKHHCRNCGDIFCDKCTHGRIALTADENAPQVRVCDRCMAEVTQRLNNAKEISSKPTVLQSHEDLAKKLQEEMGRSRRASSGSMSDGSGRRMKEVACPTCTVHLQVQVPSSGSETIECGVCQHPFLVSAH >KJB55822 pep chromosome:Graimondii2_0_v6:9:7015198:7017291:-1 gene:B456_009G096700 transcript:KJB55822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAFTSVPFWLFSCLVAVISMAKAQEAEEFIYNHGFRDAKLQLDGSANIRSNGLLQLTNNLKLLKGHAFYPSPINFNVSSSSRSAGSLSFSTNFVIAIVPGSKESSGHGLAFVISESTDFSHAQAVQYLGLVNESNNGNSSNHFFAVEFDTIFSLDMGDIDDNHVGIDLNGVKSNKSVPAGYFSNEERKNTSLDLKNGHPIQVWIDYNGGEELLNVTLAPDKTPKPDQPLLSTSIDLSGILKDVMCVGFSAATGSIQSTSSSHYILGWSFNRSGEAQGLDISKLPKLPKNGGQRNTVRLISIIAAAALLLIIIGAAYVYRMKKYEEVHEDWEKEYGPQRFSYKTLYKATKGFKDKQLLGSGGFGKVYKGTLPYSNEQIAVKKVSHETNQGMKEFVSEIVSMGRLRHKNLVRLLGYCRRKKELILVYDLMENGSLDKFLFDDDKPTLTWFQRFQIIKGVASALLYLHEEWEQVVLHRDVKASNVLLDSNLNGRLGDFGLARLYDRDGDPQTTRLVGTLGYIDPELTRMGRATKAADVFAFGAFLLEVACGRKPFEPNAPPQDIFLVDLVNRCWKRDAIVDVIDPRLQGNYVVEEIEKVLKLGLLCSNPKPDLRPTIKEAVSYLEGTASLPDIPLDNAQNNPSSILQTLPLSPQSTAINVSLASNVASDCIVSFSSSVGTGLSVNSLSSTDTVLHIGR >KJB61636 pep chromosome:Graimondii2_0_v6:9:50325847:50328077:1 gene:B456_009G371800 transcript:KJB61636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEIQNLQGTAPVNVVDCNDGIAKNQTSSEVETCSNNEAAITEILMKLEADDESRVKFSDFTGLHGERISVGKYSFPPALHPIVNNIIEVYGDGSATSKMNPSIAETVYIMFCASVKEMSNLRLEHVTEDLILKWRDAIKDALRINFKVDFAMEHLKKIACAYIGLMERQKLDGAGLRISKLEAELSTAKEEHAKICEQSKVFIDAAEEFNDKPVSSGFNSDSIGYPQSHIIQLSTLH >KJB62650 pep chromosome:Graimondii2_0_v6:9:66943535:66948148:-1 gene:B456_009G427600 transcript:KJB62650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGPLSACDNAYDTRKYGWQKNSLSAAEEAFLNVLVDPSTGEINENRVGLLWINCRQDLNDLLYAFVDPKLRRLEEILSNVIHTAGYSSAKENIRNLVNIRHPELKHVLSNCIRNNHLIFQASEEDSKPSYTRYVKLLFRRHDVPRRILGSKNTEEAPDSDLGPSPSPSPSSSPSPSPSSSPSSSPSSSPNLAPAPSDSKVPESEAADSKAPDVRPTPSKRPPFSLPPPVPTRSNQHPPPVLSPQNNSHHDEGHLEEKGTSLRTLIIACIVTALVTAMAALLFLSLCSRKQINKKPISGGEIGNASNEHKDSTDDSIALEISSDGKSSLGTVDVAGASATATATAAAAAAAGAGASSGASKASAESSATSGDTNSVVPQPAGKAGTSTAAVPLVNGLSGGVDAVPSEPPNQVGANSPPAPTGSANVPRPPGPLSPPKPPTSPPKPPPPVPPGPKVPKPPGGPQHSNAKSGDGSSAAGNDANTSKAKLKPFFWDKVAAKPNSAMVWDQIKAGSFQFSEELIETLFGASVQKNKKTDKKEPSAQDQGPQYVQLIEPKKAQNLAILLRALNVTTKEVCDALREGNDLPVEFLQTLLKMAPTSDEEHKLKTFSGEFSQLGLSEQFLKQLLDIPFAFKRIEVLIFMCSLKEDVSATKESFETLEVACKDLRGSRLFLKLLEAVLKTGNRMNDGTFHGGAEAFKLDTLLKLSDVKGVDGKTTLLHFVVREIIRTEGLKAARAERESESFNSLKSNDILEDVSHDPEDHYHDLGFKAVSHLSSELENVKKAAAIDAENLTGTVIKLGHSLLKARDFLNSEMKGLEEDSGFQKALKSLVEKAEVEVKSLIEEEKRIMELVKNTGNYFHGNVKKDEGIRLFVVVRDFLVILDKVCKELRDKPKISHKKEGSHASSSSGSSIGSPSPDC >KJB53769 pep chromosome:Graimondii2_0_v6:9:370241:371017:-1 gene:B456_009G004000 transcript:KJB53769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AFTFTRKPKTKPLTFVTSISCRYQSDDLKDSAWRNEKQLAKIAIVTVASGVLTLGSVADASAAKTGGRVSGQSFKSSAPRSAPRTNSNSRTNIYINPTGAPPLVGGYGFDFGGWGWSWSPFSFFVPGPSVAIGVGSGFDTLVIFLFLGAVAAIARRFLGPREDEDDDY >KJB62256 pep chromosome:Graimondii2_0_v6:9:61001352:61004435:-1 gene:B456_009G408500 transcript:KJB62256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGMGPSNSLEKSSRMVSLSSWTMVVKEGIRRDSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTDVITSTEFHPTHCNMLAYSSSKGSIRLINLRQSALCDSHAKLFEELKAPSSRSFFTEIIASISDIYNSL >KJB55591 pep chromosome:Graimondii2_0_v6:9:6132279:6136341:1 gene:B456_009G084000 transcript:KJB55591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGSVDIYAFATKFTKKKPSPMDTLSGFAFITNCNTSSCTRFSLLPPHQRPPLSRRNPTVYVLKTSSSSVSESVEENVLEMFFKDREVNGDFVSKVSDMLWQREVLKVVDTDASEPAGTAEQSQQVIESDDDSGFLKLSRTQEWLLGDNSAPRNKKAIAKVLQDDGERRKKLNLLKYEALKRELTLLSVGIGTACSGYCLIVLSFQAAVSYAIGVLFSCLYLQLLYQHADGLSEEMVPQIFKQKKSKKIGIRSEDLQDFFERTIKGSGIALSSPRLVIPAAVYGLWIVSHKFLANDFFDFQLVPAMLGMFAYKAAALVQVYRDNEDLQFVFPENEEQSNK >KJB55592 pep chromosome:Graimondii2_0_v6:9:6132443:6135570:1 gene:B456_009G084000 transcript:KJB55592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGSVDIYAFATKFTKKKPSPMDTLSGFAFITNCNTSSCTRFSLLPPHQRPPLSRRNPTVYVLKTSSSSVSESVEENVLEMFFKDREVNGDFVSKVSDMLWQREVLKVVDTDASEPAGTAEQSQQVIESDDDSGFLKLSRTQEWLLGDNSAPRNKKAIAKVLQDDGERRKKLNLLKYEALKRELTLLSVGIGTACSGYCLIVLSFQAAVSYAIGVLFSCLYLQLLYQHADGLSEEMVPQIFKQKKSKKIGIRSEDLQDFFERTIKGSGIALSSPRLVIPAAVYGLWIVSHKFLANDFFDFQVAFRCFSFYIIIS >KJB55593 pep chromosome:Graimondii2_0_v6:9:6132443:6136254:1 gene:B456_009G084000 transcript:KJB55593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGSVDIYAFATKFTKKKPSPMDTLSGFAFITNCNTSSCTRFSLLPPHQRPPLSRRNPTVYVLKTSSSSVSESVEENVLEMFFKDREVNGDFVSKVSDMLWQREVLKVVDTDASEPAGTAEQSQQVIESDDDSGFLKLSRTQEWLLGDNSAPRNKKAIAKLKRELTLLSVGIGTACSGYCLIVLSFQAAVSYAIGVLFSCLYLQLLYQHADGLSEEMVPQIFKQKKSKKIGIRSEDLQDFFERTIKGSGIALSSPRLVIPAAVYGLWIVSHKFLANDFFDFQLVPAMLGMFAYKAAALVQVYRDNEDLQFVFPENEEQSNK >KJB62782 pep chromosome:Graimondii2_0_v6:9:68586435:68589886:1 gene:B456_009G436200 transcript:KJB62782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YQLNLHYFFTFCHQNLLVSLRILHFPLEMEIFSLKNQWINLLFIIRFTLLSMSSKPATLALRSNENDMLALLSLKDQLVGDSHGVLTSWNASFHCCQWQGVQCGRRHQRVVSLNMSGLSLAGFISPAIGNLTFLREVDFSYNKLQGTIPREIGHLKRLVYLSLNFNHLNGEILEELSNCSNLQEIAFTDNNITGEIPVSLGDMKNLINLHLAGNLLIGGIPASLGNISTLKVLSLQDNKLKGTIPSSLGKLSNLEYMYIGGNKLSGSVPPVHNFSSLLVLDAAENQLSGNLPPEIGCTCPNLEGIFIALNQLTGEIPRSISNISSLELFDIALNGFTGSVPENMGNLRNLLVLNIPGNYLGSGKPGDLSFLSYLSNCSRLQSLGINYNHLYGVIPDSIANFSICLEELLMGDNQIIGRIPQGIGNLINLDLMEMKGTFIAGEIPISIGNLQNLEGLYLGFNYLSGKIPSSIGNLSRLSNLDLTNNKFAGAIPLSLKQCTNLQKLDLSTNNLNGSIPYQLFGAFERLIYLNLSHNSFTGSLPSDMRNMKNLVEFYVHNNNFQGEIPMTLGESLELTTLFMQKNSFHGTIPQSFASLRSLENLDLSNNNLSGTIPPELQKLHFLVRLNLSFNQLDGEVPKKGVFKNATGFSFFGNKNLCGGIPKLQLPKCFSEKPKEKGKVLSTKTIIAIIISILLGSILVVLLVYHSLRHKARRGTFMPSSLFDNGCLRLSYKQLLECTHGFASANLIGTGSFGSVYKGVLYQHEKPLAVKVLNLRNHGAARSFIAECEALRKIRHRNLLKIITSCSSIDYQGNEFKALVFEFMPNGSLESWLHEQHDQSRYLNFAQRLDIAIDMANAIDYLHHGCETMVVHCDLKPSNVLLDDDMVAHVADFGMAKLLSTVTSNIGSDQTSSSVIKGTIGYVAPEYGMSGSVSPEGDIYSYGIMLLEMITGRRPTCDINYVT >KJB57492 pep chromosome:Graimondii2_0_v6:9:12834689:12843255:1 gene:B456_009G166800 transcript:KJB57492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSFSPLKPNTLFSSFLFPSKNLSSRPIIYTLPHRNTPPLTFSAAKRPPATSATNSSSSVTVETVSPENDANSTVFVIRARNRTGLLQVITRVFNILGLSVEKATVDFEEDYFVMTFFVTDSRGNKIDDQKSLESINKALIDAIDGDDDAALSVAPPDRGVVVRKPRLDFGERKGQAERMLGLMDVFLKNDPISLQKDILHHVEYTVARSRFSFDDFEAYQALAHSVRDRLIERWHDTLIHFKKEDPKRVYFLSLEFLMGRSLSNIVINLGIRDQFADALSQLGFDFEVLAEQEGDACLGNGGLARLSACQMDSLATLDYPAVGYGLRYQYGLFRQVIVDGFQHEQPDYWLNFGNPWEIERVHITYPVKFYGTVTDEFLNGEKCKVWVPGEMVEAVAYDNPIPGYGTRNTINLRLWAAKPSDQNDMESFNTGDYVDAVVNRQRAESISSILYPDDRSYQGKELRLKQQYFFVSASVQDIFRRFKDTHKKFDEFPEKVALQLNDTHPSLAIAEVMRVLLDEEHLSWHRAWDIICKIFSFTTHTVSPEGLEKIPVDLLGNLLPRHLQIIYDINFNFVEELKKMIGLDYDRLTRMSIVEEGAVKNIRMANLSVICSHTVNGVSRLHSELLRTKLFKDFYDLWPHKFQYKTNGVTQRRWIVVSNPSLCALISKWLGTEAWIRDIDLLIGLRDYATNAELHQEWKMVKKVNKIRLAEYIEAMSGLKVSLDAMFDVQTKRIHEYKRQLLNILGIIHRYDCIKNMDKNDRKKVVPRVCIIGGKAAPGYEIAKKIIKLCHVVAERINNDKDIGDLLKLVFIPDYNVSVAEMVIPGADLSQHLSTAGHEASGTGSMKFLMNGCLLLATADGSTIEMVEEIGQDNMFLFGAKAHEVVALRKKGPTLKVPLQFSRVVRMIRDGHFGFEDYFKSLCDKIEGTSDYFLLGADFMSYLEAQAAADKAFVDEERWTKMSILSAAGSGRFSSDRTIQEYAENTWDIQPCRCPF >KJB61971 pep chromosome:Graimondii2_0_v6:9:54584524:54585075:1 gene:B456_009G395100 transcript:KJB61971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVVVKLLGINISYTFLQNKILSLWKLTHSFQLMDVFPSSMMVRIRLPGLLGFLYKKKILEEIGGLIGTVNRLDFQIDKGLRGKFARMIMVNEMMPRVEFESLPPVCFDCGHFGHTKEACPGK >KJB53508 pep chromosome:Graimondii2_0_v6:9:44606339:44609758:1 gene:B456_009G350700 transcript:KJB53508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAQGMDPAVLDNIIRWPTEVRSAKPVKQVQLSESEIKQLCVASKDIFVQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPHANYFLVQ >KJB53509 pep chromosome:Graimondii2_0_v6:9:44606442:44608682:1 gene:B456_009G350700 transcript:KJB53509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAQGMDPAVLDNIIRWPTEVRSAKPVKQVQLSESEIKQLCVASKDIFVQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPHANYFLVQ >KJB53510 pep chromosome:Graimondii2_0_v6:9:44606315:44609667:1 gene:B456_009G350700 transcript:KJB53510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAQGMDPAVLDNIIRWPTEVRSAKPVKQVQLSESEIKQLCVASKDIFVQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPHANYFLVQ >KJB57947 pep chromosome:Graimondii2_0_v6:9:14354401:14365207:1 gene:B456_009G186700 transcript:KJB57947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATLGTGNGAKPSKTPNPYFISPKNPKNVHHIAGIPVEFPYKPYGTQLSFMYRVISTLDRAQKDGHCHALIESPTGTGKSLSLLCSTLAWQKNYKINNIKGILSQSIPDPEAVTDPLGHGGGFIPETQPSTSSIPSSSISESPQNAANSKNKKKMLAPTIYYASRTHSQISQVIREYRKTSYRVPMAILASRKHYCTNPHVSKENIDEECKLLLSQEEGCFEFKNMHKVKCHPSVQKGGCHEAHDIEDIVKIGQVVKGCAYYAARSMADDAQLIFCPYSYIINPVIRGAMDVDIKGAIIVLDEAHNLEDIAREGGSVDLEEDALHKLQMELHQLKMIKADVYQPLSEMIMNLISWIEQTKSKLEATNESKHYFSSWTGDKAVRQLQEANISQQFFPVLLECATKAIRAASDTESDVLHLSGMSVITLEGLFSSLTYFFSRDGSHIFDYQLALQRYFKKDEKNASGSWTCSLGLWCLNPFVVFRDVSDLSLSVILTSGTLSPMNSFSSELGVQFGNCLEAPHVIDIKSQVWSAVISHGPDNYQLDASYKTADQYAFQDSLGKSLEEIFKIVPGGCLVFFPSYKLMKKLCDRWHNTGQWSQLKARKPLFVEPRGGNQEEFETVLKGYYNSVSRVKKPVLRKKRRIKRTDDNVVESAEVTSPRGAAFLAVFRGKVSEGIDFSDDNARVVSLTWCFLATDHLCSFFLRLSAVCLLCRNDKQIELKKKYNNTYRSSKNLLSGNEWYCQQAFRALNQALGRCIRHRYDYGAIILLDWRFQDEKNRAHISKWLRPSIRMYGSFEKSLDELRSFFREVKDLVSKNKQLSSLAKYDTTFPQMKPQSDIAAQTRVQADKDEKTCTECVDLECNSPKDSGCFEASTMTFSNEDQDLLVVKETPVVNAGICVASPGSVAKDANSGSTIIQASTNSPDQFLFHPMSSTSPNEVPSVFESTITPGKDADQNTPLNLSVCSYMQKRRKPISSTFINLVDEENSDISAQIPGSTNFEGLTNGDMLRRIDFSFETSSAENDYPKETNVPRPLATGNTIPVMDKRLQIFCLLCRSPLGRPENNLYLSFSLTVSSKVYLLSLFKERLTSCDSNTPPTVPVIVTDISSVDPRLCNGTLEGDRDQGTWREEDGCVFKKVFCPFCTNPNNCLGVQIKAADEKNVQLLNKIMLYHGSVVIGHSEAAGDQAAKDKVNGSITKKTTILKSIEKFAYSPKQTDLGGWRTTKSKLKLSKK >KJB58962 pep chromosome:Graimondii2_0_v6:9:18328629:18329870:-1 gene:B456_009G232600 transcript:KJB58962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKEAKRDGTTMRKALLVINCIILSIGNCGGPLIMRLYFIHGGNRIWFSSWLETAGCPIILFPIACAYMHRSRTADPTSENKLFYMERPLFLAAIVLGIITGLDNYFYSYGLSRLPISTSSLIIASQLAFTAGFDFLLVKQKFTAYSINAVFLLTIGAGVLALHSSSDRPANEPNREYITGFVMTLAASALYGFILPLVELTYKKAKQEISYAQLVMCLVATAFGTVGMLINNDFKVVGREAREFELGETKYYVVVISSAIIRQCFFLVVFCASSLLSGIVVLFLLPVTELFWQ >KJB58183 pep chromosome:Graimondii2_0_v6:9:15304510:15309069:-1 gene:B456_009G198100 transcript:KJB58183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSVSKLLIFFFTAFLASSKLIQCSITYDKKAILINGQRRILISGSIHYPRSTPEMWEDLIKKAKDGGLDVIDTYVFWNGHEPSPGNYNFEGRYDLVRFIKTVQKLGLYVHLRIGPYICAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTQKIVQMMKNEKLFASQGGPIILSQIENEYGPESRALGAAGHAYINWAAKMAVELNTGVPWVMCKEDDAPDPVINACNGFYCDGFSPNKPYKPTMWTEAWSGWFTEFGGPIHHRPVQDLAFGVARFIQKGGSYVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYDHLKELHRAIKLCEHALVSSDPTVTSLGTYHQAHVFSSRQGGCAAFLSNFHMKSAARVTFNNRHYDLPPWSISILPDCKNVAFNTALVGVKTSRIQMLPANTKMFPWEAYDEDISSLGASSRITAPGLLEQMNVTRDNSDYLWYTTSVDISPSESFLRGGQKPTLNVDSAGHALHVFVNGQFSGSAYGTRENRRFTYTGPVNLHAGTNHIALLSVAVGLPNDGLHFETWKTGIQSVLLHGLNQGKKDLTWQKWSYQEKVA >KJB58182 pep chromosome:Graimondii2_0_v6:9:15304403:15309400:-1 gene:B456_009G198100 transcript:KJB58182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSVSKLLIFFFTAFLASSKLIQCSITYDKKAILINGQRRILISGSIHYPRSTPEMWEDLIKKAKDGGLDVIDTYVFWNGHEPSPGNYNFEGRYDLVRFIKTVQKLGLYVHLRIGPYICAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTQKIVQMMKNEKLFASQGGPIILSQIENEYGPESRALGAAGHAYINWAAKMAVELNTGVPWVMCKEDDAPDPVINACNGFYCDGFSPNKPYKPTMWTEAWSGWFTEFGGPIHHRPVQDLAFGVARFIQKGGSYVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYDHLKELHRAIKLCEHALVSSDPTVTSLGTYHQAHVFSSRQGGCAAFLSNFHMKSAARVTFNNRHYDLPPWSISILPDCKNVAFNTALVGVKTSRIQMLPANTKMFPWEAYDEDISSLGASSRITAPGLLEQMNVTRDNSDYLWYTTSVDISPSESFLRGGQKPTLNVDSAGHALHVFVNGQFSGSAYGTRENRRFTYTGPVNLHAGTNHIALLSVAVGLPNDGLHFETWKTGIQSVLLHGLNQGKKDLTWQKWSYQVGLRGEAMNLVSPHGASSVEWIRGEWIRGSLAARSRQSMTWYKTYFNAPGGNEPLALDMRSMGKGQVWINGQSLGRYWMAYAKGNCGTCSYLGTFRTTKCQSGCDQPTQRWYHVPRSWLKPTKNLLVVFEELGGDVSKISLVRRSVL >KJB58275 pep chromosome:Graimondii2_0_v6:9:15686803:15688983:-1 gene:B456_009G202300 transcript:KJB58275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPEPQGADREMVKVNPTPRKPRVLLAASGSVAAIKFGNLCHCFSEWAEVKAVATKASLHFIDRASLPKDLKLYTDEEEWSSWGKIGDSVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTCVVRAWDYSKPMFVAPAMNTFMWSNPFTEKHLMTIDELGISLIPPVSKRLACGDYGNGAMAEPSLIHSTVRLFLESRPQPSD >KJB58272 pep chromosome:Graimondii2_0_v6:9:15686803:15689183:-1 gene:B456_009G202300 transcript:KJB58272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPEPQGADREMVKVNPTPRKPRVLLAASGSVAAIKFGNLCHCFSEWAEVKAVATKASLHFIDRASLPKDLKLYTDEEEWSSWGKIGDSVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTCVVRAWDYSKPMFVAPAMNTFMWSNPFTEKHLMTIDELGISLIPPVSKRLACGDYGNGAMAEPSLIHSTVRLFLESRPQPSD >KJB58273 pep chromosome:Graimondii2_0_v6:9:15686803:15689077:-1 gene:B456_009G202300 transcript:KJB58273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPEPQGADREMVKVNPTPRKPRVLLAASGSVAAIKFGNLCHCFSEWAEVKAVATKASLHFIDRASLPKDLKLYTDEEEWSSWGKIGDSVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTCVVRAWDYSKPMFVAPAMNTFMWSNPFTEKHLMTIDELGISLIPPVSKRLACGDYGNGAMAEPSLIHSTVRLFLESRPQPSD >KJB58274 pep chromosome:Graimondii2_0_v6:9:15686803:15688735:-1 gene:B456_009G202300 transcript:KJB58274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPEPQGADREMVKVNPTPRKPRVLLAASGSVAAIKFGNLCHCFSEWAEVKAVATKASLHFIDRASLPKDLKLYTDEEEWSSWGKIGDSVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTCVVRAWDYSKPMFVAPAMNTFMWSNPFTEKHLMTIDELGISLIPPVSKRLACGDYGNGAMAEPSLIHSTVRLFLESRPQPSD >KJB60059 pep chromosome:Graimondii2_0_v6:9:24630839:24632851:1 gene:B456_009G287900 transcript:KJB60059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCWRFFNNGTTMEFKRIYRGPALNQLEAGNSHICGLNATNALDCWQWPEFNPTGDQNFSEIAVGEGFVCGLSEDGKISCRGNGAGVTGEEPKGNYQVIAAGFRHACAISRGNDLQCWGNTVVDDTPRGKFNALALGLNRSCALWTNGTVVCWGENNFSLPQELERHSFITIKAKRNVFCGVLTLDFSLFCWGDAMFNSTSMVFSQVLPGPCRFTCPCGSVSGSGSFCSNGGSICQACVSIAPSLPSAPSPQPQNRSTSSDWNGRMIAFLVVGCVGSFSLLLVVGFFVFRYCKGRGCRVHDSGRLEETVSPSPTNRVSNQPQASLAHAVLEKRPSQLTSLANTGGLEEFSLEVLIQATNNFSEDHKIGTGSFGSVYGATMDDGREVAIKRAETMTSTSSYAVGTVRQEDKGNAFVNELQYLSRLHHKNLVRLLGFCETSDERVLVYEYMRNGTLHDHLHKLQTSPFMSWATRLRIALDAARGIEYLHEYAVPPIIHRDIKTSNILLDATWTAKVSDFGLSLIGPEDEKSHLSLQAAGTVGYVDPEYYRFQQLTNKSDVYSFGIVLLELLTGLKAIHHNENGAPRNVVDFAVPYIGRNEIHRVLDSRVPAPTPFEIEGVASVGWVAAACVRAEGRHRPSMLEIVKSLEKALTDCLLPPTFSRSSTESST >KJB56600 pep chromosome:Graimondii2_0_v6:9:9647733:9650125:1 gene:B456_009G128400 transcript:KJB56600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIISALLKPNSLPFSLLQRVTPTAKTRRFSCKVLNSGHPDSELNQIQRKQSPLGSSLVTQLRRSYSNGEVSALANRVSVVAKEPDHDLKTQGRILFSDVVVQKRREVFWRGNWNALDIASAGIVLAMHLLSLCAPFYFNWPAFWLAAGLYLVSGLGITLSFHRNLSHRSFKLPKWLEYLFAYCGVQALQGNPIDWVSTHRYHHQFCDSERDPHSPIEGFWFSHMNWLFDTSTVIEKRGDSTNVGDLEKQPFYRFLQSTYILHPIALGALLYALGGFPFLVWGMGVRTIWVYHITWLVNSASHVWGRQAWNTGDLSKNNWWVALLAFGEGWHNNHHAFEYSARHGLEWWQLDLTWYVIKFLQVVGVATEIKLPSQLHKQKMAFNN >KJB59932 pep chromosome:Graimondii2_0_v6:9:23846494:23847294:-1 gene:B456_009G281800 transcript:KJB59932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSSGGDNDFGSLQQFLNPSLVSHHQHHPHTLLAQGLDQVPFPSSSSMQPRPVGELNGVVKNPKKRTRASRKAPTTVLTTDPTNFRAMVQEYTGIPTPPGSSSFSRKLDIFGSGSSGTRSSTHLEPLRPSAKRVQPAASSLLNNIPLAEASNMLNFQAQILQPPLQPSLNLPGCSASASSTMASLDGVSGNLGGSHGNWRGGVGLNDGNQDHFRPFDGIYGNTSQRLNSFKSNYSSISDFQHEKGLENVSSRAQGTVDSWISPAD >KJB55606 pep chromosome:Graimondii2_0_v6:9:6165077:6165987:1 gene:B456_009G084600 transcript:KJB55606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWICLGLSMESKIRFFNQTARWFPAYLATKLKPGTLPANPIYNNCCLQNNTQQPRLAISHNIPISYSFTASAARYYSQLLWQPGWALDTYLAGS >KJB63180 pep chromosome:Graimondii2_0_v6:9:52088507:52088896:1 gene:B456_009G383900 transcript:KJB63180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSDSIVALTRCINKKFRPWKYWHIFASIDEIKMSIHEELFRKSGRDTNGMADSLARSECFRSQMFFVCCSCNNHNQ >KJB54441 pep chromosome:Graimondii2_0_v6:9:2568333:2582116:1 gene:B456_009G034300 transcript:KJB54441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVNIIVGSHVWVEDPALAWVDGEVFKISGEEVHVHTTNGKTAVANISKVFPKDTEAPPGGVDDMTKLSYLHEPGVLHNLAMRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAVADVAYKAMMNEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAVRTYLLERSRVCQISNPERNYHCFYLLCAAPPEVREKFKLGDPKSFHYLNQSSCYALDGVDDAQEYLATIRAMDIVGISEEEQEAIFCVVAAILHLGNIEFAKGADVDSSVIKDEKSRFHLNMTAELLKCDIKSLENALIKRVMVTPEEIITRTLDPVAAVGSRDALAKTIYSRLFDWLVDKINFSIGQDPNSKQLIGVLDIYGFESFKLNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLFQTFKNNKRFIKPKLSRTSFTISHYAGEVTYLADLFLDKNKDYVVAEHQDLLTASKCSFVASLFPPPAEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNVLKPAIFENASIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGVLAPEILAGNHDDKVACQMILDKMGLKGYQIGKTKIFLRAGQMAELDARRAEVLGNAARTIQRQIRTYIARKEFIELRKAAIMLQSHWRGILACKLYEQLRREAAALKIQKNFRRHIARESYLTVRQSAITLQTGLRAMTARNEFRFRKQTKAAIIIQAAFRCHVAYSYYKSLQKAALTTQCGWRRRVARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEEEKAQEIAKLHDALHAVQIQVEEANARVIKEQEAARKAIEEAPPVIKETPVIVEDTEKVNSLAAEVENLKASLLSETKAAEEARNACTDAETRNAELVKKLEESERKVDQLQESVQRLEEKLANSESEIQVLRQQSLAISPTGKSLTARQRTMILPRTPENGNVLNGETKDTTLALSNVREPESEEKPQKYLNEKQQENQDLLIKCISQNLGFSGSKPIAACVVYKCLLHWRSFEVERTTVFDRIIQTIASAIEVQDNNDVLAYWLSNSSTLLLLLQHTLKASGAASLTPQRRRATSASLFGRMSQGLRASPQSAGLSFLSGRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQVPRTSRASLVKGRSQSNAVAQQALIAHWQSIVKSLDRYLKIMKSNHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCSEATEEYAGSAWDELKHIRQAVGFLVIHQKPKKSLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIAKMRVMMTEDSNNAVSSSFLLDDDSSIPFTVDDISKSLQQVDITDIDPPSLIRENSGFGFLLPRSE >KJB54442 pep chromosome:Graimondii2_0_v6:9:2571123:2582116:1 gene:B456_009G034300 transcript:KJB54442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVGISEEEQEAIFCVVAAILHLGNIEFAKGADVDSSVIKDEKSRFHLNMTAELLKCDIKSLENALIKRVMVTPEEIITRTLDPVAAVGSRDALAKTIYSRLFDWLVDKINFSIGQDPNSKQLIGVLDIYGFESFKLNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLFQTFKNNKRFIKPKLSRTSFTISHYAGEVTYLADLFLDKNKDYVVAEHQDLLTASKCSFVASLFPPPAEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNVLKPAIFENASIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGVLAPEILAGNHDDKVACQMILDKMGLKGYQIGKTKIFLRAGQMAELDARRAEVLGNAARTIQRQIRTYIARKEFIELRKAAIMLQSHWRGILACKLYEQLRREAAALKIQKNFRRHIARESYLTVRQSAITLQTGLRAMTARNEFRFRKQTKAAIIIQAAFRCHVAYSYYKSLQKAALTTQCGWRRRVARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEEEKAQEIAKLHDALHAVQIQVEEANARVIKEQEAARKAIEEAPPVIKETPVIVEDTEKVNSLAAEVENLKASLLSETKAAEEARNACTDAETRNAELVKKLEESERKVDQLQESVQRLEEKLANSESEIQVLRQQSLAISPTGKSLTARQRTMILPRTPENGNVLNGETKVPSDTTLALSNVREPESEEKPQKYLNEKQQENQDLLIKCISQNLGFSGSKPIAACVVYKCLLHWRSFEVERTTVFDRIIQTIASAIEVQDNNDVLAYWLSNSSTLLLLLQHTLKASGAASLTPQRRRATSASLFGRMSQGLRASPQSAGLSFLSGRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQVPRTSRASLVKGRSQSNAVAQQALIAHWQSIVKSLDRYLKIMKSNHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCSEATEEYAGSAWDELKHIRQAVGFLVIHQKPKKSLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIAKMRVMMTEDSNNAVSSSFLLDDDSSIPFTVDDISKSLQQVDITDIDPPSLIRENSGFGFLLPRSE >KJB54440 pep chromosome:Graimondii2_0_v6:9:2568228:2582136:1 gene:B456_009G034300 transcript:KJB54440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVNIIVGSHVWVEDPALAWVDGEVFKISGEEVHVHTTNGKTAVANISKVFPKDTEAPPGGVDDMTKLSYLHEPGVLHNLAMRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAVADVAYKAMMNEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAVRTYLLERSRVCQISNPERNYHCFYLLCAAPPEVREKFKLGDPKSFHYLNQSSCYALDGVDDAQEYLATIRAMDIVGISEEEQEAIFCVVAAILHLGNIEFAKGADVDSSVIKDEKSRFHLNMTAELLKCDIKSLENALIKRVMVTPEEIITRTLDPVAAVGSRDALAKTIYSRLFDWLVDKINFSIGQDPNSKQLIGVLDIYGFESFKLNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLFQTFKNNKRFIKPKLSRTSFTISHYAGEVTYLADLFLDKNKDYVVAEHQDLLTASKCSFVASLFPPPAEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNVLKPAIFENASIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGVLAPEILAGNHDDKVACQMILDKMGLKGYQIGKTKIFLRAGQMAELDARRAEVLGNAARTIQRQIRTYIARKEFIELRKAAIMLQSHWRGILACKLYEQLRREAAALKIQKNFRRHIARESYLTVRQSAITLQTGLRAMTARNEFRFRKQTKAAIIIQAAFRCHVAYSYYKSLQKAALTTQCGWRRRVARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEEEKAQEIAKLHDALHAVQIQVEEANARVIKEQEAARKAIEEAPPVIKETPVIVEDTEKVNSLAAEVENLKASLLSETKAAEEARNACTDAETRNAELVKKLEESERKVDQLQESVQRLEEKLANSESEIQVLRQQSLAISPTGKSLTARQRTMILPRTPENGNVLNGETKVPSDTTLALSNVREPESEEKPQKYLNEKQQENQDLLIKCISQNLGFSGSKPIAACVVYKCLLHWRSFEVERTTVFDRIIQTIASAIEVQDNNDVLAYWLSNSSTLLLLLQHTLKASGAASLTPQRRRATSASLFGRMSQGLRASPQSAGLSFLSGRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQVPRTSRASLVKGRSQSNAVAQQALIAHWQSIVKSLDRYLKIMKSNHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCSEATEEYAGSAWDELKHIRQAVGFLVIHQKPKKSLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIAKMRVMMTEDSNNAVSSSFLLDDDSSIPFTVDDISKSLQQVDITDIDPPSLIRENSGFGFLLPRSE >KJB58870 pep chromosome:Graimondii2_0_v6:9:23541413:23541912:1 gene:B456_009G279100 transcript:KJB58870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIPKMPHTSLLANQPPPPPSGPLFKRTGNLIEVPFHQPRQTLIVTQSLKQITYHTIRIIDNHINVRKRKTTEGNRTASSSPQRKTPSRLVRTHINPKQKPTRRMTSIFLQLTLVSIRKRT >KJB57664 pep chromosome:Graimondii2_0_v6:9:13430236:13430481:-1 gene:B456_009G174200 transcript:KJB57664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLSRVCMAASVAAVEGHRDCSSKWSSSLRPINASKGNHFPTAASSDDRQYIKAKSNVNNRNQSEESLQRVMYLNCWTQS >KJB60597 pep chromosome:Graimondii2_0_v6:9:39969040:39969707:-1 gene:B456_009G342300 transcript:KJB60597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFEKETGKGFPQSQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQAVPEAKKFRTLGSDPEFEGKLDQMFMGIVATGDKAWASSSGTLPSDFFEDVNNKIPEENEEENMRNDVHILNDVHISNDVQIDGNSQKRKNPEMSSHFKTGRKKSSKQIEGAARLSSQIEKLCNAADSMS >KJB60596 pep chromosome:Graimondii2_0_v6:9:39968634:39973717:-1 gene:B456_009G342300 transcript:KJB60596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFEKETGKGFPQSQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQAVPEAKKFRTLGSDPEFEGKLDQMFMGIVATGDKAWASSSGTLPSDFFEDVNNKIPEENEEENMRNDVHILNDVHISNDVQIDGNSQKRKNPEMSSHFKTGRKKSSKQIEGAARLSSQIEKLCNAADSMS >KJB60595 pep chromosome:Graimondii2_0_v6:9:39968634:39969955:-1 gene:B456_009G342300 transcript:KJB60595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFEKETGKGFPQSQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQAVPEAKKFRTLGSDPEFEGKLDQMFMGIVATGDKAWASSSGTLPSDFFEDVNNKIPEENEEENMRNDVHILNDVHISNDVQIDGNSQKRKNPEMSSHFKTGRKKSSKQIEGAARLSSQIEKLCNAADSMS >KJB62252 pep chromosome:Graimondii2_0_v6:9:60729082:60742468:-1 gene:B456_009G408200 transcript:KJB62252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPSRRSNYSLLSQYPDDQYSVYSLSSEATSNKISKVKSERGLFDWDQNQSQNQQQASRIGGVGGTNTYASSVGHQRQSSGSSFGESSLSGDYYVPNLSTTAANEIDSFVYGHDGSFRHGDLRTKIGGSSSGKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPVPDDSAIRSASSSSAETVSHRFWVNGCLSYFDKVPDGFYLIHGVNSYAWTVCTDLHEHGRIPSIESLRSVDPNVDSPLEVILVDRRSDPSLKELQNRVHNISCSCITTKEVVDQLAELVCSRMGGSFTTGEDDLVSFWRQCSDDLKDCLGSVVVPIGSLSVGLCRHRALLFKVLADTIDLPCRIAKGCKYCKRDDASSCLVRFGLDREYLVDLIGNPGYLCEPDSLLNGPSSISISSPLHFPRLKPAVAATDFRSLAKQYFSDHESLNLVFDVAPAGTTTDEENFGFSLYPKKLDKIGTERNKLGQISSNMDGISQLPIPPNIARPASHDRDSQYSQPIVHSKNIIKDSLKRISPIGHRDVPVVVLSEPMGDATKDSRFTEGSQLLPSKPSRELALEVDDLDIPWSDLVLRERIGAGSFGTVHRAEWNGSDVAVKILMEQDLYAERFKEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLFRLLHKPGVREVLDERRRLSMAYDVAKGMNYLHRHNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWGNLNPAQVVAAVGFRGKRLDIPRDLNPQVAAIIEDCWANEPWKRPSFSNIMERLKSLIKPSTPQQGHPDMPLLA >KJB62251 pep chromosome:Graimondii2_0_v6:9:60729995:60742142:-1 gene:B456_009G408200 transcript:KJB62251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPSRRSNYSLLSQYPDDQYSVYSLSSEATSNKISKVKSERGLFDWDQNQSQNQQQASRIGGVGGTNTYASSVGHQRQSSGSSFGESSLSGDYYVPNLSTTAANEIDSFVYGHDGSFRHGDLRTKIGGSSSGKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPVPDDSAIRSASSSSAETVSHRFWVNGCLSYFDKVPDGFYLIHGVNSYAWTVCTDLHEHGRIPSIESLRSVDPNVDSPLEVILVDRRSDPSLKELQNRVHNISCSCITTKEVVDQLAELVCSRMGGSFTTGEDDLVSFWRQCSDDLKDCLGSVVVPIGSLSVGLCRHRALLFKVLADTIDLPCRIAKGCKYCKRDDASSCLVRFGLDREYLVDLIGNPGYLCEPDSLLNGPSSISISSPLHFPRLKPAVAATDFRSLAKQYFSDHESLNLVFDVAPAGTTTDEENFGFSLYPKKLDKIGTERNKLGQISSNMDGISQLPIPPNIARPASHDRDSQYSQPIVHSKNIIKDSLKRISPIGHRDVPVVVLSEPMGDATKDSRFTEGSQLLPSKPSRELALEVDDLDIPWSDLVLRERIGAGSFGTVHRAEWNGSDVAVKILMEQDLYAERFKEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLFRLLHKPGVREVLDERRRLSMAYDVAKGMNYLHRHNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWGNLNPAQVVAAVGFRGKRLDIPRDLNPQVAAIIEDCWANEPWKRPSFSNIMERLKSLIKPSTPQQGHPDMPLLA >KJB62253 pep chromosome:Graimondii2_0_v6:9:60727937:60742525:-1 gene:B456_009G408200 transcript:KJB62253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPSRRSNYSLLSQYPDDQYSVYSLSSEATSNKISKVKSERGLFDWDQNQSQNQQQASRIGGVGGTNTYASSVGHQRQSSGSSFGESSLSGDYYVPNLSTTAANEIDSFVYGHDGSFRHGDLRTKIGGSSSGKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPVPDDSAIRSASSSSAETVSHRFWVNGCLSYFDKVPDGFYLIHGVNSYAWTVCTDLHEHGRIPSIESLRSVDPNVDSPLEVILVDRRSDPSLKELQNRVHNISCSCITTKEVVDQLAELVCSRMGGSFTTGEDDLVSFWRQCSDDLKDCLGSVVVPIGSLSVGLCRHRALLFKVLADTIDLPCRIAKGCKYCKRDDASSCLVRFGLDREYLVDLIGNPGYLCEPDSLLNGPSSISISSPLHFPRLKPAVAATDFRSLAKQYFSDHESLNLVFDVAPAGTTTDEENFGFSLYPKKLDKIGTERNKLGQISSNMDGISQLPIPPNIARPASHDRDSQYSQPIVHSKNIIKDSLKRISPIGHRDVPVVVLSEPMGDATKDSRFTEGSQLLPSKPSRELALEVDDLDIPWSDLVLRERIGAGSFGTVHRAEWNGSDVAVKILMEQDLYAERFKEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLFRLLHKPGVREVLDERRRLSMAYDVAKGMNYLHRHNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWGNLNPAQVVAAVGFRGKRLDIPRDLNPQVAAIIEDCWANEPWKRPSFSNIMERLKSLIKPSTPQQGHPDMPLLA >KJB62254 pep chromosome:Graimondii2_0_v6:9:60733751:60742574:-1 gene:B456_009G408200 transcript:KJB62254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPSRRSNYSLLSQYPDDQYSVYSLSSEATSNKISKVKSERGLFDWDQNQSQNQQQASRIGGVGGTNTYASSVGHQRQSSGSSFGESSLSGDYYVPNLSTTAANEIDSFVYGHDGSFRHGDLRTKIGGSSSGKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPVPDDSAIRSASSSSAETVSHRFWVNGCLSYFDKVPDGFYLIHGVNSYAWTVCTDLHEHGRIPSIESLRSVDPNVDSPLEVILVDRRSDPSLKELQNRVHNISCSCITTKEVVDQLAELVCSRMGGSFTTGEDDLVSFWRQCSDDLKDCLGSVVVPIGSLSVGLCRHRALLFKVLADTIDLPCRIAKGCKYCKRDDASSCLVRFGLDREYLVDLIGNPGYLCEPDSLLNGPSSISISSPLHFPRLKPAVAATDFRSLAKQYFSDHESLNLVFDVAPAGTTTDEENFGFSLYPKKLDKIGTERNKLGQISSNMDGISQLPIPPNIARPASHDRDSQYSQPIVHSKNIIKDSLKRISPIGHRDVPVVVLSEPMGDATKDSRFTEGSQLLPSKPSRELALEVDDLDIPWSDLVLRERIGAGSFGTVHRAEWNGSNHFQHVVFVAVDLWR >KJB54600 pep chromosome:Graimondii2_0_v6:9:2997148:2999065:-1 gene:B456_009G040600 transcript:KJB54600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVLWLLFSPFLFLSVSANTQTKVTDNPADELVAALNANRTAHKSSALTDNPGLACIALQYIKAYQGDCKAVGEAKKPADSEFAETFAPDCGVEASTLSPITGRLLGCQSKYVKPSEAFSMLMKNEKSLEILYNKNHTEVGAGVTGSDGGGPYFWCVLFSNGKHNSSFVAQGGVAKISRPGCFSGANDECSSANDLSRLHQMWLYAITAFVAAGYAFGI >KJB61958 pep chromosome:Graimondii2_0_v6:9:54310637:54312810:1 gene:B456_009G394000 transcript:KJB61958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGGGSQLLDSGDMGHVGGRLWRKGVGVWFLEGAYKYVSELWRKKQSDHPAIVRVNHPTRPDKARRLGYKAKQGYVVYHVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRNKRSVAEERAGRQLGGLRVVNSYWINEDSTYKYFEVILVDVAHNGIRNDLRINWICNPKNRGLHGKGHLHHKNRPSRRATWKRNNTLSLRRYR >KJB62882 pep chromosome:Graimondii2_0_v6:9:69283082:69283507:1 gene:B456_009G441300 transcript:KJB62882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTKIIITVALSFTITMAMADQSPLPSTRVSRFLQANNPRAANHCKYNEGICGATSTCCNNKCVDLVTDSNNCGACHNKCKYTEVCCRGECVNVSFDKRHCGGCNHRCAPNEFCVYGMCNYS >KJB60405 pep chromosome:Graimondii2_0_v6:9:27377123:27382888:1 gene:B456_009G303900 transcript:KJB60405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVGRLISRALSHRQTCRSVAPSELISRRLMFSSSRTPSLLAVGNPSSVLASNSEFLASLPSLKWNHFIGQRRSMFIQTQSTPNPSSLMFYPGKAVMEVGSADFPNARSAMNSPLAKALYGIDGITRVFFGSDFITVTKSDDASWDLLKPEIFAAIMDFYSSGQPLFLDSKTASSMDTAIHEDDSETVAMIKELLETRIRPAVQDDGGDIEYRGFDPDIGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQEFDAEDEDAALAGKLE >KJB60404 pep chromosome:Graimondii2_0_v6:9:27377123:27380691:1 gene:B456_009G303900 transcript:KJB60404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVGRLISRALSHRQTCRSVAPSELISRRLMFSSSRTPSLLAVGNPSSVLASNSEFLASLPSLKWNHFIGQRRSMFIQTQSTPNPSSLMFYPGKAVMEVGSADFPNARSAMNSPLAKALYGIDGITRVFFGSDFITVTKSDDASWDLLKPEIFAAIMDFYSSGQPLFLDSKTASSMDTAIHEVIFLISVFPMF >KJB57918 pep chromosome:Graimondii2_0_v6:9:14420056:14421451:-1 gene:B456_009G187900 transcript:KJB57918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMRYDRKPPLAKSPIRVRPRRVLRSNSTSLQTPPGSLTKSQKPVRAWTGEESVIRPEYRSISCELQALATMVKCEVGNGEKENAGFGETSIGAKSTSLFERGRFYEEYSARRNERLKRRKGETGTESKSGHHHGLGVNIESSKKRESKKLESFRKSVSAAYSVERNESQTPRYLLRSMSKANENKYKKPPLAVNNYNSSMSVTGTASKTTTRRVGRRV >KJB59284 pep chromosome:Graimondii2_0_v6:9:19918558:19918988:-1 gene:B456_009G247100 transcript:KJB59284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTGAPLGYIRTLIVLGILSYTRQLHMEVIIKVTVDNFDDEADDDDEVNEPNDNDDDDDDEQDHLPLKKRRRVHEGLSSTNKECAICLDEFLEGGKVASMPCTHVLHDGCIIKWLKTRHLCPLCRFQMPT >KJB61526 pep chromosome:Graimondii2_0_v6:9:48610272:48612664:-1 gene:B456_009G364200 transcript:KJB61526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIHAFLFLIFGTLASLAMSRTIYEASVVDKHEQWMVDYGRKYESKLEKEKRLNVFKENLEYIESFNNGGNRSFKLSLNEFADMTQDEFIAAHTGYKMQDNPIMPESTSFRYENVSDVPTNFDWRDQGAVTPIKNQGQCGCCWAFSAVAAVEGIIQIKTGKLISLSEQQLLDCSTNGGNQGCSGGWMMNAFEYISQNQGITTEESYPYQQMQETCDTQKNKVATINGYQMVPQNDEEALLKAVTNQPVSVALDGYGQSFQFYKGGVFTGDCSNELTHAVTIVGYGTSEEGLNYWLIKNSWGETWGENGYMRIQRDVNTPGGLCGIAMKASYPIA >KJB55500 pep chromosome:Graimondii2_0_v6:9:5709790:5712389:1 gene:B456_009G079100 transcript:KJB55500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVKEFRIVMPMSLEEYRVAQTFMVLKMQQQSTNEAEGVEVLENKGFEDDVYGKGQYTSKLYRLQSKAPSWLTTLAPKDALIMQEESWNAYPRCKTVIKCPYFAKLSVTIETIHLEDNGKSENVHGLNKEQLAARQVEFVDITMPTTDYWSYAVGNGNFDFSAFKSEKTGRGPLLEGWQENCNPVMTAYKLVTIKAPYWGFGGKLEQALLAGERALFVESHRNCFGWIDEWYGMTVEQLSELEEQGDCLLNQKISKPLLLTDTEDHESKFTDNSKTHLQQGVKT >KJB60523 pep chromosome:Graimondii2_0_v6:9:28735678:28736891:-1 gene:B456_009G310100 transcript:KJB60523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTILPITLYLLAATGGSLFGYDLGVSGGVTSMDDFLKEFLPKIYRRKQANLHETDYCKYDNQLLTLFTSSLFAGLVSTFGASYVTRNKGRRASILVGAVSFFLGGAINAGAVNITMLIVGRILLGAGI >KJB60524 pep chromosome:Graimondii2_0_v6:9:28735678:28740082:-1 gene:B456_009G310100 transcript:KJB60524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLMLGIWSGQEMCTGGSLFGYDLGVSGGVTSMDDFLKEFLPKIYRRKQANLHETDYCKYDNQLLTLFTSSLFAGLVSTFGASYVTRNKGRRASILVGAVSFFLGGAINAGIQHLLMH >KJB55463 pep chromosome:Graimondii2_0_v6:9:5597219:5599353:-1 gene:B456_009G077600 transcript:KJB55463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHAFLFFTAVLTDPAGHRCRVCHFPMNNEAQCLVSAVGSDWCILVVPLLRRGTGLLLSTFPPSFDNGIVISITVLIASGFPNKQQILASKHYVGVVIEGEERVSGQDVRGQNSCTITNVHAFSN >KJB55461 pep chromosome:Graimondii2_0_v6:9:5597178:5599353:-1 gene:B456_009G077600 transcript:KJB55461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHAFLFFTAVLTDPAGHRCRVCHFPMNNEAQCLVSAVGSDWCILVVPLLRRGTGLLLSTFPPSFDNGRRTSERSRCSGPK >KJB55462 pep chromosome:Graimondii2_0_v6:9:5597853:5599011:-1 gene:B456_009G077600 transcript:KJB55462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHAFLFFTAVLTDPAGHRCRVCHFPMNNEAQCLVSAVGSDWCILVVPLLRRGTGLLLSTFPPSFDNGKWVPKQTTNPSL >KJB60033 pep chromosome:Graimondii2_0_v6:9:24378008:24384248:-1 gene:B456_009G286500 transcript:KJB60033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFRPGTVVRKLFNITPVEPDYGADSDDGDEIDSDSETQETLDRGNGTESTSRRDTEEGPHPDSNDDLPSLRRRKSETFRAQYINTKEIRICVGTWNVGGKVPSGDLDIDDWIDMNEPADIYVLGFQEIVPLNAGNIFGAEDSRPVPKWENIICDILNRIRTAFTKIKSYSDPSSPSKFKAFDDVPTIEEDIILESDSDIGEEIHTLNEEPNGVDEANNGAKLDRPVVEHDLLRQYYSPKRLDRLNCLRMEDCGENAKALMSKVRSGFGQGRKLNRMLMKTERIGFKWPEPPLNLLSRRVSGRPKALKRTNIKRLEAIKFVQPDSTCKSINDNIASEIAVLEEVNLVSLISRKRRSSYVKIVSKQKVGIFLTIWVRRSLRRHIYNLKVSTVGVGVLGYIGNKGSVSVSMSIYQTLFCFICTHLTAGEKQGDELKRNADVHDILRRTLFHSYSTLGLPREE >KJB60034 pep chromosome:Graimondii2_0_v6:9:24378075:24384248:-1 gene:B456_009G286500 transcript:KJB60034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFRPGTVVRKLFNITPVEPDYGADSDDGDEIDSDSETQETLDRGNGTESTSRRDTEEGPHPDSNDDLPSLRRRKSETFRAQYINTKEIRICVGTWNVGGKVPSGDLDIDDWIDMNEPADIYVLGFQEIVPLNAGNIFGAEDSRPVPKWENIICDILNRIRTAFTKIKSYSDPSSPSKFKAFDDVPTIEEDIILESDSDIGEEIHTLNEEPNGVDEANNGAKLDRPVVEHDLLRQYYSPKRLDRLNCLRMEDCGENAKALMSKVRSGFGQGRKLNRMLMKTERIGFKWPEPPLNLLSRRVSGRPKALKRTNIKRLEAIKFVQPDSTCKSINDNIASEIAVLEEVNLVSLISRKRRSSYVKIVSKQKVGIFLTIWVRRSLRRHIYNLKVSTVGVGVLGYIGNKGSVSVSMSIYQTLFCFICTHLTAGEKQGDELKRNADVHDILRRTLFHSYSTLGLPRGIHDHERIIWLGDLNYRINLSYDETCDLISNKKWSELIKRDQLVQELQKGGTFEGWSEGVLDFAPTYKYEVNSEKYYGEDPKIGRRTPSWCDRILSYGKGLRQQSYGRTELKISDHRPVTATYMAEVEVFDSRRLQRALTYTDAEIENEGDAAEGTQRLMSKPVR >KJB56281 pep chromosome:Graimondii2_0_v6:9:8399682:8400762:1 gene:B456_009G114500 transcript:KJB56281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKAVKKLKFWSRKKRKRKSLAPQPSHCFCHCSHSTSSHYYQCNYPLQPSAPPLPPWFQAELTQDEFLTSEQAEAEPFPEFSHPTDLVQDIESDPMIPAAAFPSYQQYMVSNPVYGPVVQQTGRRERSGGYFGCIIDIGLRLIRCFCPCVHIREVSQRIMKKPSVSVLS >KJB61286 pep chromosome:Graimondii2_0_v6:9:44441943:44445816:1 gene:B456_009G349800 transcript:KJB61286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPQQDHDLVYNLRLSSVGPGCVTGSDVVHDLSGLDLTMKLHYLKAVYFFSSHAVEGLTIMNMKEAMFYLLNDYYITCGRIKRSESSGRPYIKCNDCGIRFVEGVCDETVDEWLETDDDSKRNLLVYHRAIGPELSFSPLVYLQVTQFKCGGISLGLSWAHILGDAFSVSNFINNWGQHMAMVNVNSSPALAPRSLTNTAKPEAPGEPLSAKQVNHVGDLWVLANNCKMETFSFNLTTQHLSNLQAKIGSVSAFDSICALLWRAIAKVREGFEPQIVTVCRKDPCHDDNNVLGNNQIIRTIKASFLVGESDLNKLATLIANDQGQVCDERNRIEAAVEKDNGSTDYIVYGSNLTFVNLENAGLYELELNGEKPKLAYYSIQGVGDEGAVLILPLPHGSAAENEDLHA >KJB61284 pep chromosome:Graimondii2_0_v6:9:44441639:44445479:1 gene:B456_009G349800 transcript:KJB61284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPQQDHDLVYNLRLSSVGPGCVTGSDVVHDLSGLDLTMKLHYLKAVYFFSSHAVEGLTIMNMKEAMFYLLNDYYITCGRIKRSESSGRPYIKCNDCGIRFVEGVCDETVDEWLETDDDSKRNLLVYHRAIGPELSFSPLVYLQVTQFKCGGISLGLSWAHILGDAFSVSNFINNWGQHMAMVNVNSSPALAPRSLTNTAKPEAPGEPLSAKQVNHVGDLWVLANNCKMETFSFNLTTQHLSNLQAKIGSVSAFDSICALLWRAIAKVREGFEPQIVTVCRKDPCHDDNNVLGNNQIIRTIKASFLVGESDLNKLATLIANDQGQVCDERNRIEAAVEKDNGSTDYIVYGSNLTFVNLENAGLYELELNGEKPKLAYYSIQGVGDEGAVLILPLPHGSAAENEGEPRYLVNLTLPEGQVFKLKAELKRASLL >KJB61285 pep chromosome:Graimondii2_0_v6:9:44441943:44445440:1 gene:B456_009G349800 transcript:KJB61285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPQQDHDLVYNLRLSSVGPGCVTGSDVVHDLSGLDLTMKLHYLKAVYFFSSHAVEGLTIMNMKEAMFYLLNDYYITCGRIKRSESSGRPYIKCNDCGIRFVEGVCDETVDEWLETDDDSKRNLLVYHRAIGPELSFSPLVYLQVTQFKCGGISLGLSWAHILGDAFSVSNFINNWGQHMAMVNVNSSPALAPRSLTNTAKPEAPGEPLSAKQVNHVGDLWVLANNCKMETFSFNLTTQHLSNLQAKIGSVSAFDSICALLWRAIAKVREGFEPQIVTVCRKDPCHDDNNVLGNNQIIRTIKASFLVGESDLNKLATLIANDQGQVCDERNRIEAAVEKDNGSTDYIVYGSNLTFVNLENAGLYELELNGEKPKLAYYSIQGVG >KJB59844 pep chromosome:Graimondii2_0_v6:9:23079098:23079720:-1 gene:B456_009G275600 transcript:KJB59844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFFNIKKAETKTKDIPKEDYDELMKLFKRCDVNNDGKLSWEEVKAGFRRLQSRFPLYRTHRAFQMADENHDGFINVNDELDKLVTYALECYPGKIKLRLL >KJB54650 pep chromosome:Graimondii2_0_v6:9:3135606:3140495:1 gene:B456_009G043000 transcript:KJB54650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMELQNGDIAVSLSASVASAMASLLPLASVSQQPYVSELLSFTLDRLHKDIRKEPELLRVDTERIQRQMLEVAVGNYRAFISAADALVAIKEEVPSINKHLESMITVIPNLTSGCTEFVESAEHILEKRKMNQTLLANHRVFGEYEMRLQFLRCRETTVLNIFSRNMKTALVLDSHRWVPLPAVGFSATSISSILSYGTAPVAVLINSVSVALTELRACALVSLKKVLAQELIKGLHLDAQRKRVAFPHSATCFGRCYPGGAALIMDAKNLYDGFGRLSTIASLKEPSKPVRNVEEKTTSENGDFRQAVMENGVEPTGTIDETRISNADEKEIEQSHFAD >KJB53426 pep chromosome:Graimondii2_0_v6:9:13853525:13853687:1 gene:B456_009G1796001 transcript:KJB53426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHLKVASYLLLPAIQLVVGISHPSWISLPFFIGSCIGIVDWSLTSNFLGLFR >KJB54594 pep chromosome:Graimondii2_0_v6:9:3021881:3022948:1 gene:B456_009G040900 transcript:KJB54594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAPPISTKCSKKEVNRGAWTDEEDQKLAQVVEIYGPKRWQAVAAKAGLNRSGKSCRLRWLNYLRPNIKRGNISDQEEDLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKTKQNEKQTIGSRMQEPVLENCKVSESKRDENSTACFINGDDSLFDSYSEEPLNLEWTSHFFETDELWLNLA >KJB55778 pep chromosome:Graimondii2_0_v6:9:6861653:6862890:-1 gene:B456_009G094000 transcript:KJB55778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLGKEFLQLNKEEAESVSRLNIQPTRVGFQCSFYEDFALRGIRVDTVQPGFVSCTLKVPPRLTDKSGNLAKGAVANLVDEVGAAVVHVEGLPMNVSVDMSIAFLGTAKLNTGLPAV >KJB55780 pep chromosome:Graimondii2_0_v6:9:6861650:6862890:-1 gene:B456_009G094000 transcript:KJB55780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLGKEFLQLNKEEAESVSRLNIQPTRVGFQCSFYEDFALRGIRVDTVQPGFVSCTLKVPPRLTDKSGNLAKGAVANLVDEVGAAVVHVEGLPMNVSVDMSIAFLGTAKLNLLVRFFFFQTGLPAV >KJB55779 pep chromosome:Graimondii2_0_v6:9:6861101:6862890:-1 gene:B456_009G094000 transcript:KJB55779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLGKEFLQLNKEEAESVSRLNIQPTRVGFQCSFYEDFALRGIRVDTVQPGFVSCTLKVPPRLTDKSGNLAKGAVANLVDEVGAAVVHVEGLPMNVSVDMSIAFLGTAKLNDELEITSKVLGQRGSYSGTIVLVRNKATRELIAEGRHSLFGKKSSKL >KJB57668 pep chromosome:Graimondii2_0_v6:9:13447354:13449055:1 gene:B456_009G174500 transcript:KJB57668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKNGLKKGPWTPEEDQKLIDYIQKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRLDLLDLSSILSSSLYNQSQMNISRLLCVNSLVNPELLRLATSFMSSQRENQNHEFIVDHNVEDNPLCSSQVQDQYQQPLMQSNHNHLPTQVQEIPACSIPFSNEAELINMDQFPSNFSHLNDWQSNAMPSDLTEDYVPLPPNYDYYATDHHRHQTVKDPSSSETSNFQSNNSNQSFSFASVLSTPSSSPTQLNSNSTYVNNSGIEEEPDSYCSNILKFEIRDVLDVNDFM >KJB56911 pep chromosome:Graimondii2_0_v6:9:10702107:10710740:1 gene:B456_009G141300 transcript:KJB56911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSKSLAATSKSITAMARKQSSKTMLIFFLLLSLLAFLSFLPVFASLPSLPSYSHSYDLHFHLPRHQRLHRRQKIGVRKFEIAEDKFWKDGKPFQIIGGDLHYFRVLPEYWEDRLLRAKALGLNTIQTYIPWNLHEPEAGKLVFEGIADLVSFLKLCQKLGLLVMLRAGPYICAEWDLGGFPAWLLSIHPDVRLRSSDPAYLQKVEGWWGVLLPKVAPLLYGNGGPIIMVQIENEFGSYGNDKAYLSHLVKLARGHLGEDIILYTTDGGSRETLEKGTIQGDGVFAAVDFTTGDNPWPIFKLQKQFNSPGKSPPLSSEFYTGWLTHWSEKMARTDADFTAAALEKIMLQNGSVVLYMAHGGTNFGFYNGANTGADESDYKPDLTSYDYDAPITESGDVDNAKFKAIRRVVGKFSSVSLPSLPPNNKKTGYGSIQLKRTAFLFDLLDEIDPLHIVEAESPTAMEYLNQMFGFVLYVSEYAAKTGGSKLVIPKVHDRAQVFISCSPEVNGGQVSYVGTIERWSNQAINLPNVKCVSSTSLFILVENMGRVNYGPYIFDRKGILSSVYVDGSVLKRWKMISIPFHNLNEVPKFNPVIQVTSKFHKVSAGKKLEHNSVGVEGPSFYTGHFSIDTKTEVTDTYISLRGWGKGIAFVNEFNIGRYWPTSGPQCNLYIPAPVLRQGENVLVIFELESSNPELMVESVDQPDFTCGPGQASVHQNEDGKASASLLTSNPLGLRTF >KJB56912 pep chromosome:Graimondii2_0_v6:9:10702118:10710740:1 gene:B456_009G141300 transcript:KJB56912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSKSLAATSKSITAMARKQSSKTMLIFFLLLSLLAFLSFLPVFASLPSLPSYSHSYDLHFHLPRHQRLHRRQKIGVRKFEIAEDKFWKDGKPFQIIGGDLHYFRVLPEYWEDRLLRAKALGLNTIQTYIPWNLHEPEAGKLVFEGIADLVSFLKLCQKLGLLVMLRAGPYICAEWDLGGFPAWLLSIHPDVRLRSSDPAYLQKVEGWWGVLLPKVAPLLYGNGGPIIMVQIENEFGSYGNDKAYLSHLVKLARGHLGEDIILYTTDGGSRETLEKGTIQGDGVFAAVDFTTGDNPWPIFKLQKQFNSPGKSPPLSSEFYTGWLTHWSEKMARTDADFTAAALEKIMLQNGSVVLYMAHGGTNFGFYNGANTGADESDYKPDLTSYDYDAPITESGDVDNAKFKAIRRVVGKFSSVSLPSLPPNNKKTGYGSIQLKRTAFLFDLLDEIDPLHIVEAESPTAMEYLNQMFGFVLYVSEYAAKTGGSKLVIPKVHDRAQVFISCSPEVNGGQVSYVGTIERWSNQAINLPNVKCVSSTSLFILVENMGRVNYGPYIFDRKGILSSVYVDGSVLKRWKMISIPFHNLNEVPKFNPVIQVTSKFHKVSAGKKLEHNSVGVEGPSFYTGHFSIDTKTEVTDTYISLRGWGKGIAFVNEFNIGRYWPDLNATFISLLQSFGRGKMFW >KJB56913 pep chromosome:Graimondii2_0_v6:9:10702297:10709995:1 gene:B456_009G141300 transcript:KJB56913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSKSLAATSKSITAMARKQSSKTMLIFFLLLSLLAFLSFLPVFASLPSLPSYSHSYDLHFHLPRHQRLHRRQKIGVRKFEIAEDKFWKDGKPFQIIGGDLHYFRVLPEYWEDRLLRAKALGLNTIQTYIPWNLHEPEAGKLVFEGIADLVSFLKLCQKLGLLVMLRAGPYICAEWDLGGFPAWLLSIHPDVRLRSSDPAYLQKVEGWWGVLLPKVAPLLYGNGGPIIMVQIENEFGSYGNDKAYLSHLVKLARGHLGEDIILYTTDGGSRETLEKGTIQGDGVFAAVDFTTGDNPWPIFKLQKQFNSPGKSPPLSSEFYTGWLTHWSEKMARTDADFTAAALEKIMLQNGSVVLYMAHGGTNFGFYNGANTGADESDYKPDLTSYDYDAPITESGDVDNAKFKAIRRVVGKFSSVSLPSLPPNNKKTGYGSIQLKRTAFLFDLLDEIDPLHIVEAESPTAMEYLNQMFGFVLYVSEYAAKTGGSKLVIPKVHDRAQVFISCSPEVNGGQVSYVGTIERWSNQAINLPNVKCVSSTSLFILVENMGRVNYGPYIFDRKGILSSVYVDGSVLKRWKMISIPFHNLNEVPKFNPVIQVTSKFHKVSAGKKLEHNSGMSQEHKKHAVSNGRTLLPTVQFFVHLTLLLYTSLPSKSNGHKPWCDIIIF >KJB63051 pep chromosome:Graimondii2_0_v6:9:70186072:70188239:1 gene:B456_009G451200 transcript:KJB63051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVIMSSSLHSLPWSAQTLVSNFNSPLELKQAHAHLIKTNTPLSLIPPSRIASVCALSHLDFSYAHRLLAHFHQRQIVVWNSCLKTLAESDSPFDAILLFRRLREFDVVPDSFTCAFVLKACTALLDDKNGKIIHGVVKKFGFQWNMVLQNMILNLYGLCGEMSTARLVFDKMPQRDVVSWNVMITHLVKSGDFEGAYGFFSRMPERNVRSWTMMISACVHCGKPKEGVQLFLEMEKIGVQVNEVTVVAVLSACADLGALELGMRIHEYSKRSGFGGNVRVLNTLIDMYVKCGCLEEARRVFEEMEKRTIVSWSAMIQGLAIHGHAQEALRVFSMMIEMGVMPNGVTFIGLLHACSHMGLVDEGRRFFSCMTRDYGIIPEIEHYGCMVDLYSRAGLLQEAHEFIMNMPKKPNGVVWGALLGGCKVHKNIELAEEATRHLAELDPLNDGYYIVLSNIYAEAERWEDASRVRKLMKNRGVKKKPGCSSIMVDGVIHEFVAGDDSHSQANEISDMWEKLLDYMKLKGYKPDTSVVLLDVEEKEKEKFLYGHSEKLALCFGLINTPPGSVIRIMKNLRVCEDCHAAFKLISAIVNREIVVRDRNRFHCFKDGACSCQDFW >KJB53910 pep chromosome:Graimondii2_0_v6:9:891134:893165:1 gene:B456_009G011000 transcript:KJB53910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYKGEEQHHHHHHRNESNCVLVHGPIIVGAGPSGLATSACLKQQSVPSLILEKSDCIASLWQHRTYDRLKLHLPKQFCELPLLGFPHNFPKYPTKHQFISYMETYASHFSIKPRFNQAVIKAEFDHVLGFWRVKTQDFEYISRWLIVATGENAEPVIPDIPGIDRFKGRVVHTSLYKSGSVFKNQRVLVIGCGNSGMEVCLDLCRFNVIPHMVVRNTVHVLPREMFGFSTFGIAMALVKWFPLKLVDKLLLLLANFTLGNTDQIGLRRPKTGPIELKNVTGKTPVLDVGALSQIKSGKIKVVEGVKEITRNGAKFVDGQEKKIDSIILATGYKSNVPTWLKGCEFFTKDGMPKSPFPNGWKAEKGLYTVGFTRRGLLGTASDAVNIAKDIGEQWRTIKECNDSNFNYLPQRNVVQNKVKK >KJB54543 pep chromosome:Graimondii2_0_v6:9:2810024:2812992:1 gene:B456_009G038100 transcript:KJB54543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRERETPKQQQQVSYTVEQLVAVNPYNPDILPDLENYVNEQVSSQTYSLDANLCLLRLYQFEPERMSTQIVARILVKALMAMPAPDFSLCLFLIPERVQMEEQFKTLIVLSHYLETGRFRQFWDEAAKNRHIVEAVPGFEQAIQTYAIHVLSLTYQKIPRPVLAEAINIEGLSLDKFLEHQAANSGWILEKGHGRGQLIVLPRNEFNHPELKKSAADSVPLEHITRIFPILG >KJB56116 pep chromosome:Graimondii2_0_v6:9:7742027:7745531:-1 gene:B456_009G106600 transcript:KJB56116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFRCFLVLSLVSFLLLSTVLPSISTNDEQDDEDLRFLEETEGKSVDIASLPHLSDSDDDQFPEDDEEDEDSGSEQETDDPLSDPYKAPQIDEKDVVVLTQGNFSDFIKNNKFGMVEFYAPWCGHCQSLAPEYAAAATEMKGEGVVLAKVDATQEDELAEEYDVEGLPTIYFLVDGKHTLYPAARNKDAIVTWIKKQIGPGIYNVTTLDDAERILTSESEVALGYLNSLVGPESDELTATSKLLDDINFYQTMNPDVAKLFHIDPEVKRPALVLLKKDAEKICHFDGLFVKTAISEFVTSNKLPLVTIFSRESAPSIFESSIKMHLLLFATLNISEKYIPVLQEAAAKLFKGKLISIYVQVDNEESGKPVANFFGVSGNGPTIRAYSGDDAKKFAMNGDVTFNNIKAFAEDFLAGRLKPFYKSDPIPETNNEDVKEVVGDNFDEIVLDESKDVLLEIYAPWCGHCRSLEPTYNKLAQHLRGINSLVIAKMDGTTNEHPKAKSAGFPTMLFFPAGKKSSDPMKVNTGRTVVDFYKFLKEHATIPFKLKKAVLVPKDKPNKTSDSKVREKSSSVSSKEEL >KJB60563 pep chromosome:Graimondii2_0_v6:9:29216635:29222275:-1 gene:B456_009G312700 transcript:KJB60563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVGEAFLSASIEVLLDRIVSGDVLRLIQGKKLEAVLLKKLKPTLMSVKAVLDDAENKQITNLNVKSWTDELKDAVYDAEDLLDEISTEALRNKIESEYQTTAMKQVSSFFSSFNPFKDGMQSKLEEILGRLDYLLKQKQILGLKENYKGEKAFQRTPATSLVDESDVCGRDDEKEEIMKLLDPQNLSENQIDVIPIVGMGGLGKTTLAQLIYNDPRVDKWFDRKAWVCVSEEFDAFKVTKTILEEIKCSCDGNQNLNKLQLKLKEQLSGKKYLIILDDVWNKNYFHWKELASPFTSGAKNSKIIVTTRDENVAAIMRNVPTYRLDVLSDDDCWKLFAKHAFDGSSPTKHPDLTAIGEAIVKRCGGLPLAAKALGGLLRCKLDADEWKKILHSNFWDIPNDATNILPALTLSYHYLPSHLKRCFAYCSIFPKDYEFEKEELIQLWMAEGLLELPKDNGDLEERGTEYFKDLRLRSFFQQSKGMKSCFVMHDLISDLAKSVTGEFICRLEGSGGGSCVMTERTRHLSNVQERYDVRQKFQSLAKAKGLRTFLITKSGWYFSIVSDVLMHDLMVKSSLRVLSLAEYTNIKNLPEDIGNLKHLRNLNLSRTKIKRLPNSLCTLYNLQALKLRGCSDLDELPRDMERLINMLYLDIRGTKLARMPEGMGKLKDLRMVTNFVLGYQTGSSINELGKLKHLRGRLSISGLKTVACAMDAKDANLKDKVDLKMLKLRWGKDDDIDGDSRHHREVLKQLQPHTNLEHLVIRSYKDIIFPEWVGHPSFSNMVSLGLHDCKFCISLPPLGQLSSLKSLSISGLSGVLIVGDEFYGTGQASTKPFQSLEMLRFENMAEWEEWYCRSDEAFPLLQELCIRDCPKLTKSLPKHLPCLKKLEIEDCEKLGGLLPTAPSILELELKKCQALQLEPLACGLRELRIRISNMDDSVLEQMLQQCTLLEKLRLDFCSEIRSLTEVRVPIKLKRFSISFCENLDYSDIFLYASLESLEIGSGKCYGLESFPLGSFPMVKCVRISRCEDLKFISAASEGAHHQHLNSLEIYFCQKLISFQIEDGLAVTNLTRLKLVCCGSLKSLPEQMHSVFPSLEYLEIVICPEIERVPKEGLPSKLKEIRIGGSDKLIESLIRKREWSLRTLPSLTNLEIWGSEVEMECFPDEHLLPSSLSSLWIRFLPNLKSLEYKGFQHLTSLCDLCIYSCPKLQSMPPNMLPPSLSRLSICGCPLLEKRCKKEEGKDWANISHIPVIEIDGEVII >KJB56691 pep chromosome:Graimondii2_0_v6:9:9945841:9956343:-1 gene:B456_009G132500 transcript:KJB56691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFIYISVAKAVEILEAYEGTLEDDYPPDNERCEHGEMLLYKISLLEECGFLERALEELHKKEPKIVDKLTSKEQEVSLLVKLGRLEEGANHYRALLAMNPDNYRYYEGLQKCFGLYSENGKYSSDEIDLLDALYKSLAEQFTWSSAVKRIPLDFLQGDKFCAAAANYIKPLLTKGVPSLFSDLSPLYDQPGKADILEQLILELEHSISGDGRYPDRTEKEPPSTLLWILFFLAQHYDRRGQYDIALSKIDEAIQHTPTGIDLYSVKSRILKHAGDLVAAASLADEARCMDLADRYINSESVKRMLQADQVALAEKIVVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVDMLRLQDRLHSHAYFHKAAAGAIRCYLKLYDSPLNSPAEVEDNTSKATQKKKMKKQRKAERAKKEAEEKIEGSSACGTSKSGKRHIKPVDPDPYGENLLKTDDPLSEATKYLKLLQKNSSDSLETHLLSFEVNMRKPKILLAFQAVKQLLRLDADNPDSHCCLIKFFHKVSSMPAPVSGAEKLVWSVLEAERPSISQLQEKTLSEANKIFLGNHEDSLMHRAAVAEMLYTLDPTKKLEAVKIIEDSSNKVVPTNGALGLVMEWKLKDCIAVHKLLDNVLIDTDAALRWKVRCAEYFPYSIYFEGSRSSAVNNSLNNLDTETPLNGGANGPDIIQSGNAFTSNGKLEAFKNLKI >KJB56693 pep chromosome:Graimondii2_0_v6:9:9945841:9959644:-1 gene:B456_009G132500 transcript:KJB56693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPDHGETLSMKGLTLNCMDRKSEAYELVRLGLKNDVKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLTGFVETRQQLLTLKPNHRMNWIGFAVANHLCSNVAKAVEILEAYEGTLEDDYPPDNERCEHGEMLLYKISLLEECGFLERALEELHKKEPKIVDKLTSKEQEVSLLVKLGRLEEGANHYRALLAMNPDNYRYYEGLQKCFGLYSENGKYSSDEIDLLDALYKSLAEQFTWSSAVKRIPLDFLQGDKFCAAAANYIKPLLTKGVPSLFSDLSPLYDQPGKADILEQLILELEHSISGDGRYPDRTEKEPPSTLLWILFFLAQHYDRRGQYDIALSKIDEAIQHTPTGIDLYSVKSRILKHAGDLVAAASLADEARCMDLADRYINSESVKRMLQADQVALAEKIVVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVDMLRLQDRLHSHAYFHKAAAGAIRCYLKLYDSPLNSPAEVEDNTSKATQKKKMKKQRKAERAKKEAEEKIEGSSACGTSKSGKRHIKPVDPDPYGENLLKTDDPLSEATKYLKLLQKNSSDSLETHLLSFEVNMRKPKILLAFQAVKQLLRLDADNPDSHCCLIKFFHKVSSMPAPVSGAEKLVWSVLEAERPSISQLQEKTLSEANKIFLGNHEDSLMHRAAVAEMLYTLDPTKKLEAVKIIEDSSNKVVPTNGALGLVMEWKLKDCIAVHKLLDNVLIDTDAALRWKVRCAEYFPYSIYFEGSRSSAVNNSLNNLDTETPLNGGANGPDIIQSGNAFTSNGKLEAFKNLKI >KJB56692 pep chromosome:Graimondii2_0_v6:9:9945841:9959555:-1 gene:B456_009G132500 transcript:KJB56692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPDHGETLSMKGLTLNCMDRKSEAYELVRLGLKNDVKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLTGFVETRQQLLTLKPNHRMNWIGFAVANHLCSNVAKAVEILEAYEGTLEDDYPPDNERCEHGEMLLYKISLLEECGFLERALEELHKKEPKIVDKLTSKEQEVSLLVKLGRLEEGANHYRALLAMNPDNYRYYEGLQKCFGLYSENGKYSSDEIDLLDALYKSLAEQFTWSSAVKRIPLDFLQGDKFCAAAANYIKPLLTKGVPSLFSDLSPLYDQPGKADILEQLILELEHSISGDGRYPDRTEKEPPSTLLWILFFLAQHYDRRGQYDIALSKIDEAIQHTPTGIDLYSVKSRILKHAGDLVAAASLADEARCMDLADRYINSESVKRMLQADQVALAEKIVVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVDMLRLQDRLHSHAYFHKAAAGAIRCYLKLYDSPLNSPAEVEDNTSKATQKKKMKKQRKAERAKKEAEEKIEGSSACGTSKSGKRHIKPVDPDPYGENLLKTDDPLSEATKYLKLLQKNSSDSLETHLLSFEVNMRKPKILLAFQQLLRLDADNPDSHCCLIKFFHKVSSMPAPVSGAEKLVWSVLEAERPSISQLQEKTLSEANKIFLGNHEDSLMHRAAVAEMLYTLDPTKKLEAVKIIEDSSNKVVPTNGALGLVMEWKLKDCIAVHKLLDNVLIDTDAALRWKVRCAEYFPYSIYFEGSRSSAVNNSLNNLDTETPLNGGANGPDIIQSGNAFTSNGKLEAFKNLKI >KJB54059 pep chromosome:Graimondii2_0_v6:9:1480061:1482093:1 gene:B456_009G019000 transcript:KJB54059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIFLQWLSLVAMVLLQSINGTNSNFPAYSSQLKHLLSMSQIQLNNLAFASDAGKLFGWLSGIAANYLPMWLVLILGSSLGLVGYGVQYLLLIGRVSNLPYGAIFFLAMLAGNSICWINTVCYLVAIRNFPLDGQLVIGLTGSYQGLSAKIYTDIVDVIYPSSDVKRARAYLLLSSILPLIVSVINAPLVRVIKVMKTKRAKDAFIFILVITIATGAFAVMGSIGSTSSLLSPFASAVGMLTLLLAPLLIPLGLGLRHQIKQLAEEKVHIEEINNVSMDKMESGVKLDISEIISESPSESFELSFSSTSEEFNGEIRESNNGDDRISETNEVISVIEEIGVKVMLRRLNFWLYFFVYLFGVTLALVFFNNLGQIAESRGRSASSLVSLSSSFGFFGRLVPSIVEYFVSRRKYMISRPAFVVALMAPTGAAFFLLLANDTSVLWLYISTAIIGVCSGAITTISVSLTTELFGTKNFGVNHNVLVVNIPIGSLLFGYLAAVVYHKEGNAEGKCFGMKCYRKTFSLWGTLCFIGTFLALILYARTRKFYNSKRS >KJB59712 pep chromosome:Graimondii2_0_v6:9:22335965:22340895:1 gene:B456_009G268200 transcript:KJB59712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEKILKDDASEEKGERARMASFVGAIAITDLVKTTLGPKGMDKILQSTGRGHEVTVTNDGATILKSLHIDNPAAKVLIDISKVQDDEVGDGTTSVVVLAGELLREAEKLVAAKIHPMTIISGYRMAAECARNALLQRVMDNKGNAEKFKSDLMKIARTTLSSKILSQDKEHFAQLAVDAVMRLKGSTNLEAIQIIKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVAEIEGAEKEKMREKVKKIIAHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTVVLRGASHHVLDEAERSLHDALCVLSQTVNDTRVLLGGGWPEMVMAKEVDELARKTPGKKSHAIEAFSRALVAIPTIIADNAGLDSADLVAQLRAEHHKEGCNAGIDVISGSVGDMAELGISESFKVKQAVLLSATEAAEMILRVDEIITCAPRKREDRM >KJB59713 pep chromosome:Graimondii2_0_v6:9:22336013:22340870:1 gene:B456_009G268200 transcript:KJB59713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEKILKDDASEEKGERARMASFVGAIAITDLVKTTLGPKGMDKILQSTGRGHEVTVTNDGATILKSLHIDNPAAKVLIDISKVQDDEVGDGTTSVVVLAGELLREAEKLVAAKIHPMTIISGYRMAAECARNALLQRVMDNKGNAEKFKSDLMKIARTTLSSKILSQDKEHFAQLAVDAVMRLKGSTNLEAIQIIKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVAEIEGAEKEKMREKVKKIIAHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTVVLRGARYGVKLFPLVVFLVY >KJB59170 pep chromosome:Graimondii2_0_v6:9:19409607:19410531:1 gene:B456_009G242700 transcript:KJB59170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLGSSLSAETETLSNVLSLVEAFRAFDSDNDGAINAAELGGILSSLGYNASEQDVRAMMREGDTNKDGLLSMEEFLEMNTKDMELGELANFLRTAFQAFEVEGDDALTAADLYEVMGNLGIDQLSLEDCQSVIASMDADGDGAVSLEDFRLIINSLF >KJB62503 pep chromosome:Graimondii2_0_v6:9:65488973:65491746:1 gene:B456_009G420200 transcript:KJB62503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLSRMQCQIHARRTRMMEENQALQRQLLQKHAKEIVNLQMGEDWDDTVRSKEQIEASLLSKHEAAMRRERAMAYSFTHQQTWKNASRSMNPLFMDPSNPSWGWSWMERWMTARPWEVRGGMRDKEHLDDQSSLKSARSNFGGEISKAYARYQLNLDKQSTKANQKPSRTSSLLSPSTPKSASIPARKLKSASPRSGVAGLDDETRSIVSMKSDRNRRHSIAGSSVLDNESLANSPSLPSYMVPTKSARVKTSLQSPLGPEANGTPEKDPIGSAKKRLSYPPSPARLRRHYCLPKVDGSISNTKVAVVNGGDGFFK >KJB62504 pep chromosome:Graimondii2_0_v6:9:65488973:65491746:1 gene:B456_009G420200 transcript:KJB62504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLPEKLRRKWRQSKFKQLSELTLALRALRGLARLKSLMEGPAARRQAAGSLRCMQTLSRMQCQIHARRTRMMEENQALQRQLLQKHAKEIVNLQMGEDWDDTVRSKEQIEASLLSKHEAAMRRERAMAYSFTHQQTWKNASRSMNPLFMDPSNPSWGWSWMERWMTARPWEVRGGMRDKEHLDDQSSLKSARSNFGGEISKAYARYQLNLDKQSTKANQKPSRTSSLLSPSTPKSASIPARKLKSASPRSGVAGLDDETRSIVSMKSDRNRRHSIAGSSVLDNESLANSPSLPSYMVPTKSARVKTSLQSPLGPEANGTPEKDPIGSAKKRLSYPPSPARLRRHYCLPKVDGSISNTKVAVVNGGDGFFK >KJB62502 pep chromosome:Graimondii2_0_v6:9:65488957:65491746:1 gene:B456_009G420200 transcript:KJB62502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPLKMGKKGKWLSSLKKAFFSESKRKKNQKSKEQFLEKKVHFDPTGSDAAKLSPLPQPEEVKLIEPEVEQSKQTYPVAVANSPAVPAQVALQAIRHQLNTDARFAGKTKEEVAAIKIQAAFRAYLAKRALRALRGLARLKSLMEGPAARRQAAGSLRCMQTLSRMQCQIHARRTRMMEENQALQRQLLQKHAKEIVNLQMGEDWDDTVRSKEQIEASLLSKHEAAMRRERAMAYSFTHQQTWKNASRSMNPLFMDPSNPSWGWSWMERWMTARPWEVRGGMRDKEHLDDQSSLKSARSNFGGEISKAYARYQLNLDKQSTKANQKPSRTSSLLSPSTPKSASIPARKLKSASPRSGVAGLDDETRSIVSMKSDRNRRHSIAGSSVLDNESLANSPSLPSYMVPTKSARVKTSLQSPLGPEANGTPEKDPIGSAKKRLSYPPSPARLRRHYCLPKVDGSISNTKVAVVNGGDGFFK >KJB62505 pep chromosome:Graimondii2_0_v6:9:65489013:65491746:1 gene:B456_009G420200 transcript:KJB62505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWLSSLKKAFFSESKRKKNQVRISLVQLKIICSFMVSSVFNILDYYVSQKSKEQFLEKKVHFDPTGSDAAKLSPLPQPEEVKLIEPEVEQSKQTYPVAVANSPAVPAQVALQAIRHQLNTDARFAGKTKEEVAAIKIQAAFRAYLAKRALRALRGLARLKSLMEGPAARRQAAGSLRCMQTLSRMQCQIHARRTRMMEENQALQRQLLQKHAKEIVNLQMGEDWDDTVRSKEQIEASLLSKHEAAMRRERAMAYSFTHQQTWKNASRSMNPLFMDPSNPSWGWSWMERWMTARPWEVRGGMRDKEHLDDQSSLKSARSNFGGEISKAYARYQLNLDKQSTKANQKPSRTSSLLSPSTPKSASIPARKLKSASPRSGVAGLDDETRSIVSMKSDRNRRHSIAGSSVLDNESLANSPSLPSYMVPTKSARVKTSLQSPLGPEANGTPEKDPIGSAKKRLSYPPSPARLRRHYCLPKVDGSISNTKVAVVNGGDGFFK >KJB62501 pep chromosome:Graimondii2_0_v6:9:65488488:65491914:1 gene:B456_009G420200 transcript:KJB62501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWLSSLKKAFFSESKRKKNQKSKEQFLEKKVHFDPTGSDAAKLSPLPQPEEVKLIEPEVEQSKQTYPVAVANSPAVPAQVALQAIRHQLNTDARFAGKTKEEVAAIKIQAAFRAYLAKRALRALRGLARLKSLMEGPAARRQAAGSLRCMQTLSRMQCQIHARRTRMMEENQALQRQLLQKHAKEIVNLQMGEDWDDTVRSKEQIEASLLSKHEAAMRRERAMAYSFTHQQTWKNASRSMNPLFMDPSNPSWGWSWMERWMTARPWEVRGGMRDKEHLDDQSSLKSARSNFGGEISKAYARYQLNLDKQSTKANQKPSRTSSLLSPSTPKSASIPARKLKSASPRSGVAGLDDETRSIVSMKSDRNRRHSIAGSSVLDNESLANSPSLPSYMVPTKSARVKTSLQSPLGPEANGTPEKDPIGSAKKRLSYPPSPARLRRHYCLPKVDGSISNTKVAVVNGGDGFFK >KJB61594 pep chromosome:Graimondii2_0_v6:9:49623923:49629082:1 gene:B456_009G368700 transcript:KJB61594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGEAALSGFLELLWGRLLDSALNFVADHKQVQQQLKQWQSILPDIQAVLNDAEEKQIKNKGVRNWLEDLQDLAYDMDDILDEFAYEELRLALLKTQAQASISKVRKLIPTCCTSSNFSPTSFLFNNSMIPKMKEITARLDSLTTRRNSLGLSEILSQGATSKGKKPRLQPTSVVDEAVEYVGRDDEKRKMLDLLKSNNSNGVFVLSIVGMGGMGKTTLAQLVYNDASTKESFDHRAWVCVSDEFDAVNITKTILQSITSEPCAYNDLNLLQVKLKEKLSGKKFLLVLDDIWNESYEDWTILRSPFGAGTKIIVTTRIQNVSSNVDPVKAFHLDKLSHDDCLSIFTQHALKARNFNGHLQFKEVGENIVRRCNGLPLAAKAIGSLLRTVKDLGEWEKIYESEIWDLPEDQCGILPALRLSYHHLPPQLKRCFAYCSIFPKDYEFQKEEIILLWRAEGFLQLNAKGQIKDPGNQYFQDLASRSFFQISSKDKSRFIMHHLINDLAQSVAGEICCKLEDDKQQMFSNRTRHSSFIVSRYETAKKFEAFDKADSLRTLIALMSPSFPGFFLTNFVLVDLLPRLGYLRLLSLSGYKIIELPDVFENLKHLRYLNFSYTEIKCLPDSLCTLYYLETLILRGCGCLQQLPSKMENLINLHYLDIRGAKSIERMPFGIGKLTNLQRLSNFVIGKGDGHYIGELKNLPNLKGDFCISGLENVNGQHAGEVKLNEKLGIERLVLQWSSNFEKYARNKEAEERVLDSLRPQKKLEQLNIDNYGGAKFSSWIADSSFKNMLSLELCNCTSCKSLPSIGLLPLLKDLSIIGFDEVQKVGAEFFGENQLKPFVSLENLHFQRLPNWKEWDPCEGEEQVSKFPNLRELSIRECPQLLEKLPTRLPSLLKLQIYECPRLTVSISCFPSLCELSVRGCEELLDECSFSAKEVISLKTASLSDISKFNISTKMKMLRFASSEHFHIPGWKELESLSQNGLGLVGHHFITIKDCPQLVSLETDEEGLKLDKIPSVESLTIWNCKRLNRLPKFLDALSFLTVVSIYGCSGLISFAENNFPPALNKLEIWECENLQNLVDEKENNKSMSSNICLLEHLDIRYCKSLICLSSRGDICNRLRHLQVYNCSSLSSLFLNTKLPTMLKQLEIWNCPMLECIAQDFHETSDLECIKISGAQNIKSLPRGLDKLNLLQEIQIVNCSNMVVSFKESELPTTNLRVFSIEGCENFGALPMCINNFISLRELMVWNCSADISFPYEGFPTGLTSLAISNAPKIYSSLVEWGLTRLTSLQQLTIGGEGCSNVVSFPEEGIGMMLPPSLTFICIENFEKLESMYSKGFQHINSLQILSIHNCPKLTSLPEKDMLLSLERLHIYECLLLEKVSTRDKGREWSKIAHIPYVNFKIKKSRLDYQLER >KJB60871 pep chromosome:Graimondii2_0_v6:9:33487483:33488633:1 gene:B456_009G329100 transcript:KJB60871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWKLVSAAIKDNNSLIKAKFSRKDSCRNYDLEAAIIKVTSHDNYSIDRRNAQIVFSWIRASSISLRPFVWALSKRMEKTRSWDVALKGLMLMHGVLRCKVPAVQNMGRLPFDFSDFTDGHSKLSKTWGFNAFIRDYYAFLDKRAALSYDQHNQKAQHRHPLMLQSLLNVQNLQFLLGLLLKVRPLADNMNVGLIIEAMNCFTVEIFDFYTELALEILQKATEHAEEISLFLDFRSEYYGTPTNANELPTVTQILEEDVQQKLERIANGDSDKTCRDIGFVENDKMAKDLTKENLIDAIVGQIDKTKVSGLETIITDKWVVFYENVNVNGIKNINTVAEETAGKDLNLIPIYHYEIPDLITF >KJB53886 pep chromosome:Graimondii2_0_v6:9:796637:802075:1 gene:B456_009G009800 transcript:KJB53886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDQTVLSLRPGGGRGSRFLGGGGSSSSSSSSLSFGSLSSDLPLFLPHGGAPSSFSIKAGDSRFDGRECVRYTRDQLLQLREAVEVSEEILKIKREIEVELFGEDQNWARGESNFPSTGEERSWESLRDRESGNRYDSRQPEANQFNRQDQPNPQFSRAQISSNQGGGPTPALIKAEVPWSVRRGNLSEKERVLKTVKGILNKLTPEKYDLLKGQLIDSGITSADILKGVISLIFEKAVLEPTFCPMYALLCFDLNDKLPSFPSDEPGGKEVTFKRVLLNTCQEAFEGADKLREEVRLMTSPEQEMERRDKERMVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGQDSKACPAEENVEAICQFFNIIGKQLDESPKSRRINDVYFNRLKELTTNPQLAPRLRFMVRDVLDLRANNWVPRREEVKAKTITEIHSEAEKNLGLRPGATASIRNSRVVSVGPMSPGPGGFPINRPGTGGMMPGMPGTRRMPGMDNDNWEVPRSRPMPRGDGLGTQSGGRGASPVVNKSTSMNQRLLPQGSGGLMSGRTSALLQGSSTPPAKPPNSILGAESVAQPSLSPKPAPVEKPLTQAARLNTDDLQRKTRALLEEYFSVRLLDEALQCVEELKSPAYHPEVVKEAISIALEKSPPCVEPVSKLLEYLFIKEVLTARDIGTGCLLYGALLDDIGIDLPKAPNNFGEIIGKLVLAGGLDFKVVKEILKKMEDDMYQKAVFDATMRIISSDPSGKALLDAQAINIDACTSLF >KJB53885 pep chromosome:Graimondii2_0_v6:9:796529:802092:1 gene:B456_009G009800 transcript:KJB53885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDQTVLSLRPGGGRGSRFLGGGGSSSSSSSSLSFGSLSSDLPLFLPHGGAPSSFSIKAGDSRFDGRECVRYTRDQLLQLREAVEVSEEILKIKREIEVELFGEDQNWARGESNSSNQVPTRYTEPDNRDWRNRSAQFPSTGEERSWESLRDRESGNRYDSRQPEANQFNRQDQPNPQFSRAQISSNQGGGPTPALIKAEVPWSVRRGNLSEKERVLKTVKGILNKLTPEKYDLLKGQLIDSGITSADILKGVISLIFEKAVLEPTFCPMYALLCFDLNDKLPSFPSDEPGGKEVTFKRVLLNTCQEAFEGADKLREEVRLMTSPEQEMERRDKERMVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGQDSKACPAEENVEAICQFFNIIGKQLDESPKSRRINDVYFNRLKELTTNPQLAPRLRFMVRDVLDLRANNWVPRREEVKAKTITEIHSEAEKNLGLRPGATASIRNSRVVSVGPMSPGPGGFPINRPGTGGMMPGMPGTRRMPGMDNDNWEVPRSRPMPRGDGLGTQSGGRGASPVVNKSTSMNQRLLPQGSGGLMSGRTSALLQGSSTPPAKPPNSILGAESVAQPSLSPKPAPVEKPLTQAARLNTDDLQRKTRALLEEYFSVRLLDEALQCVEELKSPAYHPEVVKEAISIALEKSPPCVEPVSKLLEYLFIKEVLTARDIGTGCLLYGALLDDIGIDLPKAPNNFGEIIGKLVLAGGLDFKVVKEILKKMEDDMYQKAVFDATMRIISSDPSGKALLDAQAINIDACTSLF >KJB59464 pep chromosome:Graimondii2_0_v6:9:21209268:21209880:-1 gene:B456_009G257400 transcript:KJB59464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNQLCLVLVIFLSMFSLSSLPTSAIIPKANVSLPVPSSQLVENLCNGKAVENRRFCLKALSTPKVIAAMDTTQLGTLIMKLGVANAKATLNVYNEIIKKPGSPQALKALNCCVEAYKYAILSFEMVSSGLVKDPQTANYDVAVIGPEISNCEKELINAKVQAPRLLAGNRFMKYYVSMGYEITSTLELENPNEY >KJB60514 pep chromosome:Graimondii2_0_v6:9:28504130:28507962:1 gene:B456_009G309500 transcript:KJB60514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNYLPRLIFISVFIFKLLITIVNGADIFLEWNVAINTTVHPFSEEQPVITINGMFPGPLINATTNDVIHVNVFNNIDEPLLFTWNGIEQRLNSWQDGVSGTNCPIQPGKNWTYEFQAKDQIGTFIYFPSINFLKAGGGFGPIRVNNRPVIKIPFPKPEAEFDLLIGDWYLKSYEEIRSLISNNSRANDSSPDKILMNGKGSYFDNDSRVFESFTVTKGKTYWFRISNVGTAWSVNFRIQNHRMVLVETEGSYVNQMALDSLDVHVGQSYSVLVTADQEEQDYYIVAAPKQINATGTSTLIGVAVLRYGNSVIPPRGALPPGPDPFDIQFSVNQAKSIRWNLTAGAARPNPQGSFNVSNVTLSQSFILQSSVVEINGEFRYTINNVSYVTPETPLKLADAFANGGNGVYVLDKFPTNSSNVEAVNGVFVASGIHHGWIELVLKSDLDIIHTWHLDGYSFFVVGFGDEQWTPNSRSSYNTYDPVARSTIQVYPGRWTAVYAYLDNPGMWNLRSQHLKNWYLGQELYLRVYDPDPNPDKEKKPPENMLLCGIFNPPSPPSPPPLAPLAPTNIGSSSKQITWFHTILIYIVTLVCIIR >KJB62183 pep chromosome:Graimondii2_0_v6:9:59363884:59366084:1 gene:B456_009G405300 transcript:KJB62183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQPFFQLLHASFCFFLVTFTLLISLFCLLFCVVRPKLWCNCEICAAYLTMSWSKQFDNLCDWYTHLLKYSPGKTIHIHVLGNTITANPANVEYILKTRFDNFPKGKPFSMILGDFLGRGIFNVDGDSWRFQKKMASLELGKLSIRCYAFDVINLEIKDRLIPLLSSIATGKERPVLDLQDVFRRFSFDSICRFSFGVDPRCLQFSLPMSEFTTAFDLASKLSAERAMTASPLVWKMKRMLNLGSEKELKKAIKVVDILAKEVIRQRRKMGFSTSNDLLSRFISTTNDETYLRDIVISFLLAGRDTVASGLTSLFWLLAKHPNVVSTIRQEANRVIGENQELTSLEQMEDLHYLQATVYESMRLYPPIQFDSKFCQADDVLPDGSLLKRGTRVTYHPYAMGRMEEIWGEDCLEFKPERWVKEGGVFAPENPFKYPVFQGGFRVCLGKEMALVELKTVALSLLRTFQIELATAQHRTPRFSPGLTATFSGGLPVMVLERQ >KJB59460 pep chromosome:Graimondii2_0_v6:9:21061684:21062301:-1 gene:B456_009G255500 transcript:KJB59460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLNQLSLVLVFKIPLPVILSKLVENFYNSKSIGNHKFSLKALSTPEVIAANDSTQLETLIMKLGAANAKATLNVYNETIKKRSSPQALKAFNCCIEAQKYAVSSFEMVSLELIKDHQTANYDITVIGLEITNCEKKLVDAKVQAPQLLTENRFRQYYITMGGEITSSLELENQNEY >KJB53505 pep chromosome:Graimondii2_0_v6:9:44032175:44036152:-1 gene:B456_009G349300 transcript:KJB53505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKDNDAYEEELIDYEEEDEKAPDSACTKATDSAKKGYVRIHISGFRDFQLKPELLRSIVDSGFEHPSEVFALAKLMNVSEDESQLSAIARQGSGSACRSLFGGFVKWIMGKLFPKAAVANWIF >KJB53503 pep chromosome:Graimondii2_0_v6:9:44032894:44036139:-1 gene:B456_009G349300 transcript:KJB53503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKDNDAYEEELIDYEEEDEKAPDSACTKATDSAKKGYVRIHISGFRDFQLKPELLRSIVDSGFEHPSEVFALAKLMNVSEDESQLSAIARQGSGSACRSLFGGFVKWIMGKLFPKAAVANWIF >KJB53501 pep chromosome:Graimondii2_0_v6:9:44032200:44036021:-1 gene:B456_009G349300 transcript:KJB53501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKDNDAYEEELIDYEEEDEKAPDSACTKATDSAKKGYVRIHISGFRDFQLKPELLRSIVDSGFEHPSEVFALAKLMNVSEDESQLSAIARQGSGSACRSLFGGFVKWIMGKLFPKAAVANWIF >KJB53504 pep chromosome:Graimondii2_0_v6:9:44033776:44036139:-1 gene:B456_009G349300 transcript:KJB53504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKDNDAYEEELIDYEEEDEKAPDSACTKATDSAKKGYVRIHISGFRDFQLKPELLRSIVDSGFEHPSEVFALAKLMNVSEDESQLSAIARFRQCLSEFVWWIR >KJB53506 pep chromosome:Graimondii2_0_v6:9:44032866:44036139:-1 gene:B456_009G349300 transcript:KJB53506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKDNDAYEEELIDYEEEDEKAPDSACTKATDSAKKGYVRIHISGFRDFQLKPELLRSIVDSGFEHPSEVFALAKLMNVSEDESQLSAIARFRQCLSEFVWWIR >KJB53502 pep chromosome:Graimondii2_0_v6:9:44032213:44036232:-1 gene:B456_009G349300 transcript:KJB53502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKDNDAYEEELIDYEEEDEKAPDSACTKATDSAKKGYVRIHISGFRDFQLKPELLRSIVDSGFEHPSEVFALAKLMNVSEDESQLSAIARQGSGSACRSLFGGFVKWIMGKLFPKAAVANWIF >KJB60610 pep chromosome:Graimondii2_0_v6:9:29619462:29621618:-1 gene:B456_009G315100 transcript:KJB60610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSNNVGQFGDTTLTKVFVGGLAWETPQEVMREHFEKYGEILEAVIISDKITGRSKGYGFVTFKDAEAAKKACEDAAPIINGRRANCNIASLGARRPRSASSAPPPQQGSNVGPRATPVAPANQVQWYYPAGASAPPFHHQAVPFYGYSPTYVATNISYNHKLSYNGGSYMNGHLSQVYPAGQTIVGANTLMPVYPFYHYHQSQTLGFPSSPASAAHTFPSPTAWPISNCS >KJB60611 pep chromosome:Graimondii2_0_v6:9:29619005:29621773:-1 gene:B456_009G315100 transcript:KJB60611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVEGKAAMKMSNNVGQFGDTTLTKVFVGGLAWETPQEVMREHFEKYGEILEAVIISDKITGRSKGYGFVTFKDAEAAKKACEDAAPIINGRRANCNIASLGARRPRSASSAPPPQQGSNVGPRATPVAPANQVQWYYPAGASAPPFHHQAVPFYGYSPTYVATNISYNHKLSYNGGSYMNGHLSQVYPAGQTIVGANTLMPVYPFYHYHQSQTLGFPSSPASAAHTFPSPTAWPISNCS >KJB58333 pep chromosome:Graimondii2_0_v6:9:15889397:15895716:1 gene:B456_009G205100 transcript:KJB58333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLIRFGSTTVVRFGFKLRFAAIIFKILLIFLWFEASTAKFRENHLQWEVPERGSSENIVSHSCIHDQIIEQRTRPGRNIYSVTPQVYEHSDIPDHVHHKGRSLLGVPELLGHTKDAKQPIRIYLNYDAVGHSQDRDCRKVGDIVKLGEPPVSSPGLPSCNPHGGPPIYGDCWYNCTLDDISREDKRHRLREALGQTADWFKRALAVEPVKGNLRLSGYSACGQDGGVQLPREYIEEGVVDADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSKVTEQSMDEKLGRMVTRVVLPRVVMHSRHHYGAFSGNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADHLDWGRNQGTDFITSPCNLWKGAYHCNTTNFSGCTYNREAEGYCPIVTYSGDLPKWARYFPQANKGGQSSLADYCAYFVAYSDGSCTDTNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSITQGNGCYQHRCVNNSLEVAVDGIWKACPEAGGPVQFPGFNGELICPAYNELCSNRPVSVSEQCANSCNLNGDCVNGKCHCFLGFHGHDCSKRSCPNDCNGRGKCLSNGVCECENGRTGVDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSTLLSSLSVCKNVLERELSGQHCAPSEGSILQQLEEVVVMPNYYRLFPGGAKKLFNNLFGSSYCDAAAKQLACWISIQKCDNDGDNRLRVCHSACQSYNLACGASLDCSDQTLFSSEEEGDGQCTGTGELKLSWFNRLRTSLFSSNTSLKRTSIECSQS >KJB58334 pep chromosome:Graimondii2_0_v6:9:15889753:15895472:1 gene:B456_009G205100 transcript:KJB58334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLIRFGSTTVVRFGFKLRFAAIIFKILLIFLWFEASTAKFRENHLQWEVPERGSSENIVSHSCIHDQIIEQRTRPGRNIYSVTPQVYEHSDIPDHVHHKGRSLLGVPELLGHTKDAKQPIRIYLNYDAVGHSQDRDCRKVGDIVKLGEPPVSSPGLPSCNPHGGPPIYGDCWYNCTLDDISREDKRHRLREALGQTADWFKRALAVEPVKGNLRLSGYSACGQDGGVQLPREYIEEGVVDADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSKVTEQSMDEKLGRMVTRVVLPRVVMHSRHHYGAFSGNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADHLDWGRNQGTDFITSPCNLWKGAYHCNTTNFSGCTYNREAEGYCPIVTYSGDLPKWARYFPQANKGGQSSLADYCAYFVAYSDGSCTDTNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSITQGNGCYQHRCVNNSLEVAVDGIWKACPEAGGPVQFPGFNGELICPAYNELCSNRPVSVSEQCANSCNLNGDCVNGKCHCFLGFHGHDCSKRSCPNDCNGRGKCLSNGVCECENGRTGVDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSTLLSSLSVCKNVLERELSGQHCAPSEGSILQQLEEVVVMPNYYRLFPGGAKKLFNNLFGSSYCDAAAKQLACWVKLLIPALLFPSIFLS >KJB58335 pep chromosome:Graimondii2_0_v6:9:15890191:15895716:1 gene:B456_009G205100 transcript:KJB58335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICKKLIFVPILLIFLWFEASTAKFRENHLQWEVPERGSSENIVSHSCIHDQIIEQRTRPGRNIYSVTPQVYEHSDIPDHVHHKGRSLLGVPELLGHTKDAKQPIRIYLNYDAVGHSQDRDCRKVGDIVKLGEPPVSSPGLPSCNPHGGPPIYGDCWYNCTLDDISREDKRHRLREALGQTADWFKRALAVEPVKGNLRLSGYSACGQDGGVQLPREYIEEGVVDADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSKVTEQSMDEKLGRMVTRVVLPRVVMHSRHHYGAFSGNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADHLDWGRNQGTDFITSPCNLWKGAYHCNTTNFSGCTYNREAEGYCPIVTYSGDLPKWARYFPQANKGGQSSLADYCAYFVAYSDGSCTDTNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSITQGNGCYQHRCVNNSLEVAVDGIWKACPEAGGPVQFPGFNGELICPAYNELCSNRPVSVSEQCANSCNLNGDCVNGKCHCFLGFHGHDCSKRSCPNDCNGRGKCLSNGVCECENGRTGVDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSTLLSSLSVCKNVLERELSGQHCAPSEGSILQQLEEVVVMPNYYRLFPGGAKKLFNNLFGSSYCDAAAKQLACWISIQKCDNDGDNRLRVCHSACQSYNLACGASLDCSDQTLFSSEEEGDGQCTGTGELKLSWFNRLRTSLFSSNTSLKRTSIECSQS >KJB61871 pep chromosome:Graimondii2_0_v6:9:52552549:52559033:1 gene:B456_009G387600 transcript:KJB61871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVVGIANCLGNPVCKYLQYHRKLNDYVRNFKRIRDDLNCKMEDIKLQLKAELLSPLGKIPKQGVENWLKAVKEMIREAQVVENKVSNGRYLCRACNGKLVDEKTREMKEFLNNAPNASEGLAMDGPSAGLPLPTSELVGEEAVRNEIWACLMQEEVSKIGVWGMGGVGKTTIIKHIHNDLLKEQRFERVIWVTISKEFNVMKVQDDIADALKLKEGWPRGDKLRRAAILSEMLKNAGKHVLILDDMWDKVSLEEVGIPEPSGSNGCKLVLTTRSEHVCKYMGCKVIKVKPLSEEEALILFLNKVGPNIVQSPTIMPTLKLVVNECAGLPLTIVVVAGTMKGEDNPRIWKNALKELKERIGKVEGVEAEVIERLKFSFDHLKDEKVKYCFLHCALYPEDFGIEKDELIECWIEEGFIDDMTTRQEMKDKGHVILKKLEVNCLLENVSSERVKMHDAVRDMALSITRMNPRYMIQAGLQLEELPEKVQWSPDIEKVSLMYNSISEVSIDVLPTKCQLLTTLLLGNNPIKKISISFFTNMPCLSVLNLSYTKIKSLPNSISELKNLTTLLLCGCFELRDLPCLSMLQELKKLDLSRTKIEEVPEGMDMLIKLRYLGLQVFSLKEIPAGLLPKLVHLQHLSFEVDNEKTSLKAEEMEPLKKLECFTGRFEDINEFNKFISSLQQSKKNLIKYSLHVGLSYKKIFMKYILPISLYRRDKRLTIAGVQNWEGDLIMHPIEIQELNILKCDYLRNLVDDNSSFKNAIDLRVCRILGCEGIECVVSLSSFASSFAHPCQCLEELDLRVLPKLSALIMKDEGIGSATTSTLVPSATFSHLKEITIYSCSSMKTLLPHWLLPNLQNLERILVRSSSQLVEILGAETSEVEENGSDALIKFHLPKLRKLELWVLPNLKSICSKSGVMVCDSLQLINITICDRLKRIPPFVPLVGNGQPFAYAPPSLTITSRKEWWESLEWDDHPNFKNVLQPLWKEDRGFWRYR >KJB61877 pep chromosome:Graimondii2_0_v6:9:52571459:52578077:1 gene:B456_009G387900 transcript:KJB61877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVSLGVRMWSYGTLPDINGVIPGDEKLLQSKSLVNVRKNSTKMFSGFREKERSLPLVWCRCLGCRKFSMLLLIAFALLVFVLGSLAVDKETISLNVDQQIGTLSMVLPYGNGAPSNPGASWIFGKKDKQKDENYLVANILNEDDENRFRIIGSKGASVLPSNHPCAKFTFPPPPPPNLRRIGPRPCTVCYLPVDQAIASMPSSPSASPVLQNLTYVHEENPIKTEPHGGSDFGGYPSIKQRSNSFDVKESMTVHCGFVKRSKPGLQTGFDFDESDVAELQQFHDIIVASAIFGNYDVIQQPRNVSEEAKKNIPFYMFIDEETEAYIKKKSILDSSKRVGLWRIVVVRNVPYSDARRNGKVPKLLLHRIFPNVRYSIWIDGKLQLVVDPYQILEKFLWRQNANFAISRHYRRFDVFVEAEANKAAGKYDNSSIDEQVDFYKQEGLTPYSEAKFPITSDVPEGCVLIKEHIPITNLFTCLWFNEVDRFTSRDQLSFAMVRDKIMAKVDWNINMFLDCERRNFVVQTYHRDLLEQMPPPVANMIRRPPALPSMRRRTPGKRITRRRSSSRRHRKAATGNRDQFLLSTF >KJB58796 pep chromosome:Graimondii2_0_v6:9:17755784:17757185:1 gene:B456_009G226600 transcript:KJB58796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTAAQFPYPWQRCKVIHLVRHGQAMHNVEGDKDRNALLSPHLFDAQLSPLGLQQVCKLRKEVHARGLFKRIELVVTSPLYRTMQTAIAVFGNESSADEGNTDNFSAKPGGPDCPQIMAVELCRDRLGVRPCDMRRKVSECEALFPSIDFSMMDGEDDSMWNPDIREPEEEIAARMVLFMNWLWTRPEQDIVIVSHGIILQQILKVLGNDCHQAVSSALCQRFDNCELRSVVIVDKR >KJB53804 pep chromosome:Graimondii2_0_v6:9:536816:539718:-1 gene:B456_009G006200 transcript:KJB53804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLELACLEYRIPSDNGVVQPKKVAFVGSVINKMEEIFLENLIDPQIDDDHTLKELTVFAFNTQVLSLQYLCAVLHNCNYKVKSASSAVEVIEILRTNKHGIDIVLVDVDTADLNAFKVMETIGLETNLPVIMVTADSNLENITKGLAHGAVDCIIKPFEMEQIKNTIKSHVASNKTRGQNLNPLPGSNARMAVKDACCSSKLKKKRLAWSRELDAKFVKAVQILEKGSENVHPKRILDVMNEPGVTRAHISSHLQKYRLALKKRKADTNKQGLEVEPTNYCLKKREVGNFNADRRRLAVQSFNGVMSPSSSSPDPKKQSQSLVYSCIDDHGPEFQTPDFYYNNHCLETNIQPRNVESEHVSGTTTLSPYFNGVDSKPSILSSAAVYPFPNAVFLEPEANIAFHSHFDAISVPNPLYGFTIDCSTDVQYPSSTVFARNSETHAVQNGTTISTSDTSSYHYVKPENEVSETYNSQIECSLFEEESFDWYSLLDDQYLSSLT >KJB59427 pep chromosome:Graimondii2_0_v6:9:20905784:20910329:1 gene:B456_009G254500 transcript:KJB59427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQIQKFQNEVSFVSITIATLIITFLFLQTPKTCIPPSALQKPHLRFPNSTCDSTPRHHLPLSKKNARLWSSKSWTTRVSSFVQFFTQLYQNGLLKNHSKVLCVSAGAGHEVMALSKMGLKNVIGVELIESLPLVSRANPHNLPFFDGAFDVAFTGHLMAALYPLRNAEEMERTVRNGGVCVVVVDECGEEEVKEIVRLFRRSRLLSSSDVTLNGRRVTRIIMRKKASD >KJB60012 pep chromosome:Graimondii2_0_v6:9:24312001:24312966:-1 gene:B456_009G285700 transcript:KJB60012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSNCYRVLIIVAVVCLNHQLLICGADPTDGFIRVPLTDENFDLQKPYNMPLSQRYNYSDGVRSFWVYNKDKPFKPDSGTRPRTEVRIKGHDYSSGIWQFEGYAFVPTGTSGVTIVQIHGAAEGATTLQLRIYDGNMRYYRYNLVATDLYDKWFRVNVIHDVGKGKITVFIDGEEKFVVNDQGPGDLYFKCGVYAAPAKSSNFMESRWRDIKLFKK >KJB55397 pep chromosome:Graimondii2_0_v6:9:5313432:5314966:-1 gene:B456_009G074100 transcript:KJB55397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLSVNRTLMIATYLFLGFFIANHTITGATKPIGVCNGRIADNLPSEQDVVSFYTSNGIGKMRIYDPNQATLQALRGTNIELILGVPNGDLQSLTTPSTANYWVQNNIVAFSPAVNFRYIAVGNEVEPSDPASQYVLPAMQNIYNALASANLGGEIKVSTSVSASLLAQSYPPSAGSFGATSSTYITPIVSFLATTGAPLLVNVYPYFAYIGDPQNIRLDFALFTAQGTVFQDGALAYQNLFDAIVDAFYSALEAAGGANVEIVVSETGWPSTGEAAATVDNASTYYKNLINHVNDGTPKKPGKAMETYLFAMFDEDQKGPAETERHFGLFSPDKQPKYNNISFS >KJB57401 pep chromosome:Graimondii2_0_v6:9:12431647:12434270:-1 gene:B456_009G161900 transcript:KJB57401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECKLNFNAPLLSVRRLSSTFAFSARDKQKIVENSPSTRAHTLPSEVSWYQVTEAVAVPFVWEQFPGKAKGGIQHEFQPNKEALGTPRLPPGRVLDLIKYPVESEFENQYVLSPQYSMNDNVTKSNCLNEKISGLEKEDDVYLDALDTLSPTDSFSMNCSVSGSVAKPSGTFSTDPQQMSHFLSAAKAMILKTPRSSRKQCTAPEQRREVREVAVGGRKPVNRYELATIPHYNHEPHEETKEDDYNMYKDFRNLSRAAGGLLARLCFMNFMCLLNPVAGLKVRTRSSLASTCEVAKPGKTTFIKSQSQIVDKHDWAVACNNKSDGRVQSSRFPENKSDAGVQSHRFLEIGKKLLSRWDQCSSSNDLQMVTWLPHKRLPGSARIPSYRRERPQSPFSGGGFLGLPKDAEKFKANMLVKYTGSNNYSQELVPYQSSKQGSYSLSPADEKTLCLYVDTVKIGSSISNSSNTKTPVDSTGKHSDTIVMNRMLEEAASVESCLQDIKGPNLLDIKGASENEITGPVNSSRSSFSDKSNLKGQADQGEAKGGSADYTPLPVPLPKAPSESWLSRALPAVASRNSFSKSYNGTGFNYPKKQEPKIPATDTKWETIVKTSYLHHDHFRYSEELVTHLSEQSKT >KJB54311 pep chromosome:Graimondii2_0_v6:9:2185305:2186515:-1 gene:B456_009G028500 transcript:KJB54311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRF1 MSWQTYVDEHLMCDIDGTGHHLSAAAIVGHDGSIWAQSSNFPKCQPKEITDIMKDFDEPGHLAPTGLHLGGAKFMVIQGEPGAVIRGKKGSGGVTIKKTAQALVFGIYEEPVTPGQCNMVVERLGDYLAEQGL >KJB56923 pep chromosome:Graimondii2_0_v6:9:10728481:10729549:-1 gene:B456_009G141800 transcript:KJB56923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVYPKQATNGTAAATNPSFPATKAQQYGASRPVYRPQPERHRYRRSCCSCCLWTTIAILVLILIAAVASAILYVLYRPHRPTFTVSSLKISALNVTSASKLITNINLNVTAKNPNKKVVYSYNPITVALVTDDDIAIGEGSFGSFVHGTKNTALLKAAITSSNQELDDASAAKLKKALKSKKGLPLKIKLDTKVEAKMGALKTPKVGIRVVCEGIKATGPKAKSATTASTSNAKCKVDLRLKIWKWTF >KJB56922 pep chromosome:Graimondii2_0_v6:9:10728478:10729549:-1 gene:B456_009G141800 transcript:KJB56922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVYPKQATNGTAAATNPSFPATKAQQYGASRPVYRPQPERHRYRRSCCSCCLWTTIAILVLILIAAVASAILYVLYRPHRPTFTVSSLKISALNVTSASKLITNINLNVTAKNPNKKVVYSYNPITVALVTDDDIAIGEGSFGSFVHGTKNTALLKAAITSSNQELDDASAAKLKKALKSKKGLPLKIKLDTKVEAKSATTASTSNAKCKVDLRLKIWKWTF >KJB53561 pep chromosome:Graimondii2_0_v6:9:16662900:16664742:-1 gene:B456_009G214500 transcript:KJB53561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGIARSRLAEERKAWRKNHPHGFVAKPMSAPDGSVNLLVWHCVIPGKIGTDWEGGYFPLTINFSEDYPSKPPICKFPNGFFHPNVYPSGNVCLSILSERH >KJB53565 pep chromosome:Graimondii2_0_v6:9:16663070:16664524:-1 gene:B456_009G214500 transcript:KJB53565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPDGSVNLLVWHCVIPGKIGTDWEGGYFPLTINFSEDYPSKPPICKFPNGFFHPNVYPSGNVCLSILSERHVSNFTPNFDLKCMLFC >KJB53563 pep chromosome:Graimondii2_0_v6:9:16662931:16664742:-1 gene:B456_009G214500 transcript:KJB53563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGIARSRLAEERKAWRKNHPHPMSAPDGSVNLLVWHCVIPGKIGTDWEGGYFPLTINFSEDYPSKPPICKFPNGFFHPNVYPSGNVCLSILSERHGWRPSITVTQILVGIQDLLDQPNASDYAQTEGYRVYVSNPDLYRKRVQQQVLQYPPSL >KJB53566 pep chromosome:Graimondii2_0_v6:9:16663564:16664635:-1 gene:B456_009G214500 transcript:KJB53566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGIARSRLAEERKAWRKNHPHGFVAKPMSAPDGSVNLLVWHCVIPGKIGTDWEGGYFPLTINFSEDYPSKPPICKFPNGFFHPNVYPSGNVCLSILSERHVSNFTPNFDLKCMLFC >KJB53562 pep chromosome:Graimondii2_0_v6:9:16662931:16664742:-1 gene:B456_009G214500 transcript:KJB53562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGIARSRLAEERKAWRKNHPHGFVAKPMSAPDGSVNLLVWHCVIPGKIGTDWEGGYFPLTINFSEDYPSKPPICKFPNGFFHPNVYPSGNVCLSILSERHVSNFTPNFDLKCMLFC >KJB53564 pep chromosome:Graimondii2_0_v6:9:16663367:16664742:-1 gene:B456_009G214500 transcript:KJB53564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGIARSRLAEERKAWRKNHPHGFVAKPMSAPDGSVNLLVWHCVIPGKIGTDWEGGYFPLTINFSEDYPSKPPICKFPNGFFHPNVYPSGNVCLSILSERHGWRPSITVTQILVGIQDLLDQPNASDYAQTEGYRVYVSVSSITLLKEKKLQKFTL >KJB53560 pep chromosome:Graimondii2_0_v6:9:16662892:16664836:-1 gene:B456_009G214500 transcript:KJB53560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGIARSRLAEERKAWRKNHPHGFVAKPMSAPDGSVNLLVWHCVIPGKIGTDWEGGYFPLTINFSEDYPSKPPICKFPNGFFHPNVYPSGNVCLSILSERHGWRPSITVTQILVGIQDLLDQPNASDYAQTEGYRVYVSNPDLYRKRVQQQVLQYPPSL >KJB54835 pep chromosome:Graimondii2_0_v6:9:3684185:3686751:-1 gene:B456_009G051100 transcript:KJB54835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLRQFDQAWNVYGVLNYLQAFVEKSNIIQILEQEKEGIEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYQTGLKCLLPIDINQQGVYTSVIGSHITTIYHYGFASLMMRRYVKAIREFNKMLLYIYKTKQYHQKSPQYEQILKKNEQMYALLAICLSLCPQTKLVEETVNSQLREKYGEKMARMQRYDDEAFAIYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEVKQQQLLSGVRTFLKVYSTISLGKLANYMEVDEPTLRTILFSYKHKTHAVDSDGKIISNADVDFYIDDDMIHVVESKPVKRFGDYFLRQIVKLEGVINDMDRIRLE >KJB54834 pep chromosome:Graimondii2_0_v6:9:3683880:3687321:-1 gene:B456_009G051100 transcript:KJB54834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANYDYDEAPATYDDANRQELGYDPNFVPDSVKSFVVHLYRHIREKNVYEIHQMYEISFQTLSDRLFKDTPWPSVDAVAHYVDNDQVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCSLFQVVLHRVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLRQFDQAWNVYGVLNYLQAFVEKSNIIQILEQEKEGIEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYQTGLKCLLPIDINQQGVYTSVIGSHITTIYHYGFASLMMRRYVKAIREFNKMLLYIYKTKQYHQKSPQYEQILKKNEQMYALLAICLSLCPQTKLVEETVNSQLREKYGEKMARMQRYDDEAFAIYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEVKQQQLLSGQSCFRTSTKLMPLILMERLSLMLMWTSTLMMI >KJB54836 pep chromosome:Graimondii2_0_v6:9:3683880:3687342:-1 gene:B456_009G051100 transcript:KJB54836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANYDYDEAPATYDDANRQELGYDPNFVPDSVKSFVVHLYRHIREKNVYEIHQMYEISFQTLSDRLFKDTPWPSVDAVAHYVDNDQVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCSLFQVVLHRVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLRQFDQAWNVYGVLNYLQAFVEKSNIIQILEQEKEGIEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYQTGLKCLLPIDINQQGVYTSVIGSHITTIYHYGFASLMMRRYVKAIREFNKMLLYIYKTKQYHQKSPQYEQILKKNEQMYALLAICLSLCPQTKLVEETVNSQLREKYGEKMARMQRYDDEAFAIYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEVKQQQLLSGVRTFLKVYSTISLGKLANYMEVDEPTLRTILFSYKHKTHAVDSDGKIISNADVDFYIDDDMIHVVESKPVKRFGDYFLRQIVKLEGVINDMDRIRLE >KJB60633 pep chromosome:Graimondii2_0_v6:9:29804233:29812030:-1 gene:B456_009G316000 transcript:KJB60633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSAATANAVNPLVTFDHKRDTYGFAVRPQHVQTYREYANIYKEEEEERSDRWSDFLERQSESPQLPLEGISSEEGKEVSHTEAAEDGKNEVQKGAEGDDLCEKKSGLDSVSENDTEKEKVQSEPEKRVHRIQIWTEIRPSLRAIEDLMSIRVKKKDNLSKDERETGQGKPLAPTEDARVPKGASEEDSEDEFYDAERSDPVQDSPTSDSGSTTTGAAAADAAPIESLFPWKEELEVLVRGGVPMALRGELWQAFVGVRTRRLENYYKGLLANESNSGNNTEQLSFLSECKGSTTESICEPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWTLVGIIDDNFDGYYSEEMIESQVDQLVFEELMRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLYEGNRVMLFRTALALMELYGPALVTSKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEKRLQELREKHRSAVITAVEERSKGLQDWKDCQGLATKLYNFKHDPKSVLTETNKTVQLVDSQKNVDRSCSESGSGNEDKVLISLNGDAELDAVPDLQEQVVWLKVELCRLLEEKRSAVLRSEELETALMEMVKHDNRRQLSARVEQLEQEVAELRKALSEKQEQENAMLQVLMRVEQEQRVTEDARRYAEQDAAAQRHTAQVLQEKYEEAIASLAEMEKRVVMAESMLEATLQYQSGQIKALSSPRSPHPDSPARSNQEPQQEIPARKISLLARPFGLGWRDRNKGQPSPDSLQDGKLPNADQNTEIQQKDTIAKETNGKETNSVEVHGKETNSVEVHGKETNSVEVQDKE >KJB60667 pep chromosome:Graimondii2_0_v6:9:30145906:30147836:-1 gene:B456_009G318300 transcript:KJB60667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGAILDQSKPFLAVLFLQFGISGMSIITKFALNQGMSQHVLVVYRHAIATLVIAPFAIVLERKIRPKMSLSVFVKILLLALLEPTMDQNLLYTGMKYTTATFTSAMTNVLPAFVFLLAWIVKLEKVNIRKLHSQAKILGTIVTFGGAMLMTLINGPMLPLPWTKSNNHHVFSSASAVKQDPIKGALMIIFGCFCWAGFIILQAITLKSYPAELSLTAFICLAGTIGGSIVALAMEAGNAAVWSIHFDVKLLAAVYSVRYSPFI >KJB60666 pep chromosome:Graimondii2_0_v6:9:30145168:30147798:-1 gene:B456_009G318300 transcript:KJB60666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGAILDQSKPFLAVLFLQFGISGMSIITKFALNQGMSQHVLVVYRHAIATLVIAPFAIVLERKIRPKMSLSVFVKILLLALLEPTMDQNLLYTGMKYTTATFTSAMTNVLPAFVFLLAWIVKLEKVNIRKLHSQAKILGTIVTFGGAMLMTLINGPMLPLPWTKSNNHHVFSSASAVKQDPIKGALMIIFGCFCWAGFIILQAITLKSYPAELSLTAFICLAGTIGGSIVALAMEAGNAAVWSIHFDVKLLAAVYSGVICSGITYYVQGVIMRSRGPVFVTAFNPLSMVIVAILSSFILSEILYLGRIIGAIVIVIGLYMVLWGKSKDQCSSNQNIKVEEASNDLKMIVIDDHQTSITSDQDFVVVLDLTCNKVSPTKESV >KJB60665 pep chromosome:Graimondii2_0_v6:9:30145168:30147798:-1 gene:B456_009G318300 transcript:KJB60665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGAILDQSKPFLAVLFLQFGISGMSIITKFALNQGMSQHVLVVYRHAIATLVIAPFAIVLERKIRPKMSLSVFVKILLLALLELEKVNIRKLHSQAKILGTIVTFGGAMLMTLINGPMLPLPWTKSNNHHVFSSASAVKQDPIKGALMIIFGCFCWAGFIILQAITLKSYPAELSLTAFICLAGTIGGSIVALAMEAGNAAVWSIHFDVKLLAAVYSGVICSGITYYVQGVIMRSRGPVFVTAFNPLSMVIVAILSSFILSEILYLGRIIGAIVIVIGLYMVLWGKSKDQCSSNQNIKVEEASNDLKMIVIDDHQTSITSDQDFVVVLDLTCNKVSPTKESV >KJB60664 pep chromosome:Graimondii2_0_v6:9:30144676:30147798:-1 gene:B456_009G318300 transcript:KJB60664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGAILDQSKPFLAVLFLQFGISGMSIITKFALNQGMSQHVLVVYRHAIATLVIAPFAIVLERLEKVNIRKLHSQAKILGTIVTFGGAMLMTLINGPMLPLPWTKSNNHHVFSSASAVKQDPIKGALMIIFGCFCWAGFIILQAITLKSYPAELSLTAFICLAGTIGGSIVALAMEAGNAAVWSIHFDVKLLAAVYSGVICSGITYYVQGVIMRSRGPVFVTAFNPLSMVIVAILSSFILSEILYLGRIIGAIVIVIGLYMVLWGKSKDQCSSNQNIKVEEASNDLKMIVIDDHQTSITSDQDFVVVLDLTCNKVSPTKESV >KJB62569 pep chromosome:Graimondii2_0_v6:9:66133433:66135043:-1 gene:B456_009G423500 transcript:KJB62569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMDDSSASYIHMVHHLIEECLIFNMSKEECMEALAKHASIKPVITSTVWNELEKENKEFFEAYTRNRDQRATDMEKRQRIQFRVNAYMREKGNKD >KJB61057 pep chromosome:Graimondii2_0_v6:9:37079595:37080840:-1 gene:B456_009G337800 transcript:KJB61057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFGYLAPEYATSGKLTDRSDVYSFGVVLLELITGRKPVDPTRPLGDESLVERAQPLLIQALETGNFGELIDPRLEKRYVEIELFRMIEAAAACVRHSAAKRPRMALVVRALDFEGDPDLSDGIKFGQSTAYDSSQYSEEITKFRRMEFGSDNSSDYDMYGDDHISGESSGAQPSSWKSWYSSGESQPQASKPSSSGSYSDGSRNYGSGRFR >KJB61056 pep chromosome:Graimondii2_0_v6:9:37079665:37080722:-1 gene:B456_009G337800 transcript:KJB61056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLELWGHSGRSWFQQLMGSLLGYLAPEYATSGKLTDRSDVYSFGVVLLELITGRKPVDPTRPLGDESLVERAQPLLIQALETGNFGELIDPRLEKRYVEIELFRMIEAAAACVRHSAAKRPRMALVVRALDFEGDPDLSDGIKFGQSTAYDSSQYSEEITKFRRMEFGSDNSSDYDMYGDDHISGESSGAQPSSWKSWYSSGESQPQASKPSSSGSYSDGSRNYGSGRFR >KJB59574 pep chromosome:Graimondii2_0_v6:9:21691972:21700538:-1 gene:B456_009G262600 transcript:KJB59574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQLQQIIHIPPNPPVSPTHQPTEKVYAALIEPKHANTIIRRLNQIAPLQNLQHLKRIQKKQLQGGKPELYVVLCLASENETKSNRMPPDVEDIVNSYHLTPFITEVNKYAALSKEEWEEQCKLWPTSYHPPTYNIPGITGFSEEDSKAVFNFMKSTVELAKSGDHLIVNAAMIVDPLVGQIIASACDEVCSWHTGTSKAKTETCDFKQLEGFTSHVDANITAKDITFLSNGSANNLQQCYKAVSCLNPWWFAQQSFHSSPCYCHPLRHASIVAIEASAARDRHLFPGFGHNEKSYGVDCNSSSSVSLAKRQRVDLENVKNSGEQDANTEGSNSLGRPYLCTGYDIYLIWEPCAMCAMALVHQRIRRIFYALPNPETGALGSVHRLQGEKSLNHHYAVFRVVMPELEFPVER >KJB59575 pep chromosome:Graimondii2_0_v6:9:21693548:21699479:-1 gene:B456_009G262600 transcript:KJB59575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQLQQIIHIPPNPPVSPTHQPTEKVYAALIEPKHANTIIRRLNQIAPLQNLQHLKRIQKKQLQGGKPELYVVLCLASENETKSNRMPPDVEDIVNSYHLTPFITEVNKYAALSKEEWEEQCKLWPTSYHPPTYNIPGITGFSEEDSKAVFNFMKSTVELAKSGDHLIVNAAMIVDPLVGQIIASACDEVCSWHTGTSKAKTETCDFKQLEGFTSHVDANITAKDITFLSNGSANNLQQCYKAVSCLNPWWFAQQSFHSSPCYCHPLRHASIVAIEASAARDRHLFPGFGHNEKSYGVDCNSSSSVSLAKRQRVDLENFTLRLEQKLYQLGFSKQEQFIDH >KJB59573 pep chromosome:Graimondii2_0_v6:9:21691948:21700556:-1 gene:B456_009G262600 transcript:KJB59573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQLQQIIHIPPNPPVSPTHQPTEKVYAALIEPKHANTIIRRLNQIAPLQNLQHLKRIQKKQLQGGKPELYVVLCLASENETKSNRMPPDVEDIVNSYHLTPFITEVNKYAALSKEEWEEQCKLWPTSYHPPTYNIPGITGFSEEDSKAVFNFMKSTVELAKSGDHLIVNAAMIVDPLVGQIIASACDEVCSWHTGTSKAKTETCDFKQLEGFTSHVDANITAKDITFLSNGSANNLQQCYKAVSCLNPWWFAQQSFHSSPCYCHPLRHASIVAIEASAARDRHLFPGFGHNEKSYGVDCNSSSSVSLAKRQRVDLENWGAGC >KJB60027 pep chromosome:Graimondii2_0_v6:9:24346801:24357819:1 gene:B456_009G286300 transcript:KJB60027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MNLKFCILFSFFVRNVIARGGYRSTSLSSAADLGFENESYAGSGRHLIEENQSHHPRTDIARGYMTNSELERAVKEFGRRCSHISRIYSIGESVRGFPLWVIEISDKPGREEPEPAFKFIGNVHGDEPVGRELLLLLANWICDNYKRDPLVDLIVTKVHLHILPSMNPDGFSFRRRGNANNVDLNRDFADQFFPWNNNEDARQPETKAIMSWLREMRFTASASLHGGALVANYPWDASLDKRKNYYACPDDGTFRFLASIYSKSHYNMSLSKEFEGGITNGAAWYPVYGGMQDWNYIYGGCFELTLEISDNKWPNAKELPTIWEYNKMSMLNLVASLVKTGVHGRVFSSDSGRPLPGLITIKGINYTVKTSGTLADYHRLLVPGERYEVMATVPGYKSKATHIWLEKEEATNVDFILDPEVSSDGTLLRSICDCGGKSRLLSIGYFWGTHFEVYLVLIVVLAFLCFLLTRRIKSNLLKQRSPPKRSVLTV >KJB60029 pep chromosome:Graimondii2_0_v6:9:24347087:24357748:1 gene:B456_009G286300 transcript:KJB60029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MNLKFCILFSFFVRNVIARGGYRSTSLSSAAGFENESYAGSGRHLIEENQSHHPRTDIARGYMTNSELERAVKEFGRRCSHISRIYSIGESVRGFPLWVIEISDKPGREEPEPAFKFIGNVHGDEPVGRELLLLLANWICDNYKRDPLVDLIVTKVHLHILPSMNPDGFSFRRRGNANNVDLNRDFADQFFPWNNNEDARQPETKAIMSWLREMRFTASASLHGGALVANYPWDASLDKRKNYYACPDDGTFRFLASIYSKSHYNMSLSKEFEGGITNGAAWYPVYGGMQDWNYIYGGCFELTLEISDNKWPNAKELPTIWEYNKMSMLNLVASLVKTGVHGRVFSSDSGRPLPGLITIKGINYTVKTSGTLADYHRLLVPGERYEVMATVPGYKSKATHIWLEKEEATNVDFILDPEVSSDGTLLRSICDCGGKSRLLSIGYFWGTHFEVYLVLIVVLAFLCFLLTRRIKSNLLKQRSPPKRSVLTV >KJB60028 pep chromosome:Graimondii2_0_v6:9:24347087:24357094:1 gene:B456_009G286300 transcript:KJB60028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MNLKFCILFSFFVRNVIARGGYRSTSLSSAADLGFENESYAGSGRHLIEENQSHHPRTDIARGYMTNSELERAVKEFGRRCSHISRIYSIGESVRGFPLWVIEISDKPGREEPEPAFKFIGNVHGDEPVGRELLLLLANWICDNYKRDPLVDLIVTKVHLHILPSMNPDGFSFRRRGNANNVDLNRDFADQFFPWNNNEDARQPETKAIMSWLREMRFTASASLHGGALVANYPWDASLDKRKNYYACPDDGTFRFLASIYSKSHYNMSLSKEFEGGITNGAAWYPVYGGMQDWNYIYGGCFELTLEISDNKWPNAKELPTIWEYNKMSMLNLVASLVKTGVHGRVFSSDSGRPLPGLITIKGINYTVKTSGTLADYHRLLVPGERYEGMKFF >KJB60773 pep chromosome:Graimondii2_0_v6:9:32241869:32245224:-1 gene:B456_009G325000 transcript:KJB60773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFTSQMVPEWQEAYMDYGFLKTRLKEIHGFKQRARPPATPGGLKRKLTLYRAFSGLVHRHNHPTSPSSPDIEEQPILVNSVDRNGSRSYETTFLMQADDGAEYELVFFRRLDDEFNKVNKFYKSKVEEVTKEAEILNKQMDALIAFRIKVENPQGWSWEDRSGEMTRLASDVAASTAALAASTPAGARASRRVEHMEVIEEGPSRHEESDEDKEEIVQVQKPVNNDLKGRKPAPLQILNRVKINNTLETPRSTIKGFLNVPKQTELKFNRENLKKVEGQLKLAFVAFYQKLRLLKSFSFLNTLAFSKIMKKYDKITSRNATKSYMNMVDNSYLGSSDEVTKLMERVEATFIKHFANSNRSKGMSVLRPKARKQRHRITFFTGFFAGCTFALLIALILIARARDIMGEEGRIQYMETMFPLYSLFGFIVLHMLMYAANVYLWRRYRVNYAFIFGFKQGSELGYREVLLVSFGIAVLALTSVLSNLDMEMDPKTKDYEAFTEIIPLILVVIMFIILFLPFNILYRSSRLFFLTCSFHCICAPLYKVTLPDFFLADQLTSQVQALRSLESYICYYGWGDFRHRRNTCNTSDVFNTFSFIVAVIPYWSRLLQCLRRLFEEKSPEQGHNGLKYFITIVALCLRTAYSLNKGLSWKVLAVIFSVAAAIFSTYWDLVHDWGLLHQKSKNRWLRDKLLIPNKSVYFGAMVSIKS >KJB60774 pep chromosome:Graimondii2_0_v6:9:32241869:32245565:-1 gene:B456_009G325000 transcript:KJB60774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFTSQMVPEWQEAYMDYGFLKTRLKEIHGFKQRARPPATPGGLKRKLTLYRAFSGLVHRHNHPTSPSSPDIEEQPILVNSVDRNGSRSYETTFLMQADDGAEYELVFFRRLDDEFNKVNKFYKSKVEEVTKEAEILNKQMDALIAFRIKVENPQGWSWEDRSGEMTRLASDVAASTAALAASTPAGARASRRVEHMEVIEEGPSRHEESDEDKEEIVQVQKPVNNDLKGRKPAPLQILNRVKINNTLETPRSTIKGFLNVPKQTELKFNRENLKKVEGQLKLAFVAFYQKLRLLKSFSFLNTLAFSKIMKKYDKITSRNATKSYMNMVDNSYLGSSDEVTKLMERVEATFIKHFANSNRSKGMSVLRPKARKQRHRITFFTGFFAGCTFALLIALILIARARDIMGEEGRIQYMETMFPLYSLFGFIVLHMLMYAANVYLWRRYRVNYAFIFGFKQGSELGYREVLLVSFGIAVLALTSVLSNLDMEMDPKTKDYEAFTEIIPLILVVIMFIILFLPFNILYRSSRLFFLTCSFHCICAPLYKVTLPDFFLADQLTSQVQALRSLESYICYYGWGDFRHRRNTCNTSDVFNTFSFIVAVIPYWSRLLQCLRRLFEEKSPEQGHNGLKYFITIVALCLRTAYSLNKGLSWKVLAVIFSVAAAIFSTYWDLVHDWGLLHQKSKNRWLRDKLLIPNKSVYFGAMVLNVVLRFAWLQSVFNFNLFDLHKQTLVTIVASLEIIRRGMWNFFRIENEHLNNVGKYRAFKSVPLPFNYDEDEDKEE >KJB58582 pep chromosome:Graimondii2_0_v6:9:16851171:16851395:-1 gene:B456_009G216600 transcript:KJB58582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRILVLSVFVSVSGYQKEEPIVVGMKGHNPPHDKHKQPVKVKQQHHQRFRHSFDVFYSSKREIPNALAPLHNR >KJB62748 pep chromosome:Graimondii2_0_v6:9:68159114:68161618:1 gene:B456_009G433800 transcript:KJB62748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLLIAVFVLDLIAFGLAVAAEQRRSTAKIVQDNEIQYNYCVYNSDIATGYGVGAFLFLMASQALIMVASRCFCCGKALNPSGSRAWAVIHFIVCWLFFLIAEICLLAGSVRNAYHTKYRTIFSEQPPSCETLRKGVFGAGAAFIFLTAIVNKFYYICYSNARENSFRPYGGGGEAGVGMGAYK >KJB63145 pep chromosome:Graimondii2_0_v6:9:70595372:70596337:1 gene:B456_009G454900 transcript:KJB63145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSALFTPIFSTVQKKNGIVTPSSISFHGLRPLSKGKSSSNAISFSRNSAASARLAIKAELSAQVVISVSTALSLFLGRFVFFNFQRENVAKQVPEQNGLTHFEAGDARAKEYVSLLKSNDPVGFNIVDVLAWGSIGHIVAYYILATTSNGYDPKFFG >KJB61803 pep chromosome:Graimondii2_0_v6:9:51857544:51858101:-1 gene:B456_009G382100 transcript:KJB61803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYRYRVGDILKVTGFHNKSPKFQFVERQNVVLSIDRDKTSEADLSKAIKAAEIELEPHGFLLTAYSSFADTWSIPGRYILFWELKLRDSNTDQLKLDSNTMEQCCGRVEESLDFIYRLFRKMNLIGPLEIRVVKFGAFDELMNFFVSRGAAPLQYKTPCCLKIKEAIEIVDSRVVGKFFSNGNLA >KJB55671 pep chromosome:Graimondii2_0_v6:9:6443829:6444508:1 gene:B456_009G088200 transcript:KJB55671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTISINQTVKAYIIPHFTFMLLRNAGCLPMTTDTRQKISISLTTLATLGVKCPPITPKVLNKLIKYLPLKQ >KJB61684 pep chromosome:Graimondii2_0_v6:9:50947841:50948150:1 gene:B456_009G3748002 transcript:KJB61684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYKEDPPAVRVYTVCDESRYLIVRNVPALGCGDDLLKLFANYGDVE >KJB61687 pep chromosome:Graimondii2_0_v6:9:50947841:50948150:1 gene:B456_009G3748002 transcript:KJB61687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYKEDPPAVRVYTVCDESRYLIVRNVPALGCGDDLLKLFANYGDVE >KJB61685 pep chromosome:Graimondii2_0_v6:9:50947841:50948150:1 gene:B456_009G3748002 transcript:KJB61685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYKEDPPAVRVYTVCDESRYLIVRNVPALGCGDDLLKLFANYGDVE >KJB61683 pep chromosome:Graimondii2_0_v6:9:50946292:50948150:1 gene:B456_009G3748002 transcript:KJB61683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYKEDPPAVRVYTVCDESRYLIVRNVPALGCGDDLLKLFANYGDVE >KJB61686 pep chromosome:Graimondii2_0_v6:9:50946132:50948150:1 gene:B456_009G3748002 transcript:KJB61686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYKEDPPAVRVYTVCDESRYLIVRNVPALGCGDDLLKLFANYGDVE >KJB61471 pep chromosome:Graimondii2_0_v6:9:47387855:47391777:1 gene:B456_009G360200 transcript:KJB61471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNDFISNLHNEVLARIISDLPAIEAIRTTILSKRWKDLWRYASRLDFDPKGVRKLYGDDIDDPREEISRVVKNIENVLLSHKRNLISCRIVHLLSSYRNGDVEKWIKYLTSEKKVQELAFRCDDFQHQFYRRSRSGLGLNLPSGVFSCETLQSLEFTHYSIRSDSPFHHCHNLKTLKLYHCAISTETLEAIVSSCDRLEHLSVCSSPSRLKQVRIFSQTVKTVELESLRSQGIYLSTQSLGVLVLHSMKFQAKNLVIHAPNLRVFTATRKPITKNSDNITKIAEILEYCTHLLESRSEIEEATRDYSSVNNRLPYPETKLWEKRGLCDCITFTLKQVSIKGFKGKDGEMEFPRHLITKGAKLKRIEIWCNHDCSREGGEATLGLLSLPRSSIDVSILLKPPPQFDGSFGRWVSTLN >KJB61472 pep chromosome:Graimondii2_0_v6:9:47387855:47391777:1 gene:B456_009G360200 transcript:KJB61472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNDFISNLHNEVLARIISDLPAIEAIRTTILSKRWKDLWRYASRLDFDPKGVRKLYGDDIDDPREEISRVVKNIENVLLSHKRNLISCRIVHLLSSYRNGDVEKWIKYLTSEKKVQELAFRCDDFQHQFYRRSRSGLGLNLPSGVFSCETLQSLEFTHYSIRSDSPFHHCHNLKTLKLYHCAISTETLEAIVSSCDRLEHLSVCSSPSRLKQVRIFSQTVKTVELESLRSQGIYLSTQSLGVLVLHSMKFQAKNLVIHAPNLRVFTATRKPITKNSDNITKIAEILEYCTHLLTPVNYEANEPMEDLNLFMNLRELTIDLDLNDRREMLILCIVLQRCLSLHQLEINIEESRSEIEEATRDYSSVNNRLPYPETKLWEKRGLCDCITFTLKQVSIKGFKGKDGEMEFPRHLITKGAKLKRIEIWCNHDCSREGGEATLGLLSLPRSSIDVSILLKPPPQFDGSFGRWVSTLN >KJB54052 pep chromosome:Graimondii2_0_v6:9:1445511:1447488:-1 gene:B456_009G018500 transcript:KJB54052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAITQGVNNINFGADSHKKNRIQVSHSKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTIAEILKNSGLAVEKKITTATVDMKEESRGWPVQKAKIEILLGKTENFDELMVAAAEEREAVDGEYQS >KJB61412 pep chromosome:Graimondii2_0_v6:9:46488670:46489839:-1 gene:B456_009G355600 transcript:KJB61412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRSIITLSSSTIRCQKLDSMFVSVRQISSGGKRSKKKIYHRDHQLDKVMDLQKKPSLILQLKCIIQSQNHQRLLLRDLEKEVGFVAKWNFMSIIEKYPSIFGVGGGCGKELPFVTLTGKAEKIAREEGEARNLMEPILVKNLRKLLMLSIDCRVPLEKVELIGNELGLPHDFKKSLIFKYPEYFSIKVINGRAYLNLENWDSLLAVTAREERFARERMLQSAGGQNKVRITKDGNYLGPFAFKMCYAAGFRPNKSYLEELEKGQKMEFPSPYLNARRFEVADPKTRKRVVAVLHELLSLTMEKRMTSAKLDAFHSEYRLPSKLVLCLIKHHGIFYITNKGASSTVFLKEAYDGTNLVDKCPILMFNDKFVALSGRNETSSGNVMKYT >KJB61411 pep chromosome:Graimondii2_0_v6:9:46487364:46490093:-1 gene:B456_009G355600 transcript:KJB61411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRSIITLSSSTIRCQKLDSMFVSVRQISSGGKRSKKKIYHRDHQLDKVMDLQKKPSLILQLKCIIQSQNHQRLLLRDLEKEVGFVAKWNFMSIIEKYPSIFGVGGGCGKELPFVTLTGKAEKIAREEGEARNLMEPILVKNLRKLLMLSIDCRVPLEKVELIGNELGLPHDFKKSLIFKYPEYFSIKVINGRAYLNLENWDSLLAVTAREERFARERMLQSAGGQNKVRITKDGNYLGPFAFKMCYAAGFRPNKSYLEELEKGQKMEFPSPYLNARRFEVADPKTRKRVVAVLHELLSLTMEKRMTSAKLDAFHSEYRLPSN >KJB62347 pep chromosome:Graimondii2_0_v6:9:63103365:63105022:1 gene:B456_009G413000 transcript:KJB62347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRNIAVGRPEEATHPDALKAALAEFISTLIFVFAGSGSGMAFNKLTDNGATTPAGLVAAALAHGFGLFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGILYWIAQLLGSTVACLLLKFATGDLAVPAFGLSSGVGVSNALVFEIVMTFGLVYTVYATAVDPKKGSLGTIAPLAIGFIVGANILAGGAFDGASMNPAVSFGPALVSWTWDNHWIYWVGPLIGGGLAGLIYEFIFISNTHEQLPTTDY >KJB58885 pep chromosome:Graimondii2_0_v6:9:18043173:18045425:-1 gene:B456_009G229500 transcript:KJB58885 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 31 [Source:Projected from Arabidopsis thaliana (AT4G24530) UniProtKB/Swiss-Prot;Acc:Q7Y030] MRQPYNYNHQVHQSQRSAFAALFLVLLPIFFPNLFAPLGRASPSLFSEWNAPKPRHLSLLEVALHWKTPIKQLFDLWSPLPNQGWKPCIDSADTPSLPERSQGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWQDSSSFTDIFDVDHFINVLRDEVSIVKELPTEYSWSSREYYATGIRATRIKTAPVHASADWYLENVLPVMQSYGIAAISPFSHRLAFDKLPVEIQHLRCKVNFEALAFVPRIRLIGETLVNRLRDPSGKLQASGTAVLRERTDDTEKERAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVLNSQFTDHELRNQGRCPLTPEEIGLLLTALGFNNNTHLYLASHKVRFLPSFLVRLICHVL >KJB58881 pep chromosome:Graimondii2_0_v6:9:18042293:18045696:-1 gene:B456_009G229500 transcript:KJB58881 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 31 [Source:Projected from Arabidopsis thaliana (AT4G24530) UniProtKB/Swiss-Prot;Acc:Q7Y030] MRQPYNYNHQVHQSQRSAFAALFLVLLPIFFPNLFAPLGRASPSLFSEWNAPKPRHLSLLEVALHWKTPIKQLFDLWSPLPNQGWKPCIDSADTPSLPERSQGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWQDSSSFTDIFDVDHFINVLRDEVSIVKELPTEYSWSSREYYATGIRATRIKTAPVHASADWYLENVLPVMQSYGIAAISPFSHRLAFDKLPVEIQHLRCKVNFEALAFVPRIRLIGETLVNRLRDPSGKLQASGTAVLRERTDDTEKERAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVLNSQFTDHELRNQGRCPLTPEEIGLLLTALGFNNNTHLYLASHKVYGGEARISTLRTMFPLMEDKKSLASAIELAEVEGKASLMAAVDYYVSLKSDIFISASPGNMHNALLGHRAYLNLKTIRPNMLLLGPLFLNKTIEWSEFQHAVINGHKNRQGQMRLRKEKQSIYTYPAPDCMCRA >KJB58884 pep chromosome:Graimondii2_0_v6:9:18042305:18045634:-1 gene:B456_009G229500 transcript:KJB58884 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 31 [Source:Projected from Arabidopsis thaliana (AT4G24530) UniProtKB/Swiss-Prot;Acc:Q7Y030] MRQPYNYNHQVHQSQRSAFAALFLVLLPIFFPNLFAPLGRASPSLFSEWNAPKPRHLSLLEVALHWKTPIKQLFDLWSPLPNQGWKPCIDSADTPSLPERSQGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWQDSSSFTDIFDVDHFINVLRDEVSIVKELPTEYSWSSREYYATGIRATRIKTAPVHASADWYLENVLPVMQSYGIAAISPFSHRLAFDKLPVEIQHLRCKVNFEALAFVPRIRLIGETLVNRLRDPSGKLQASGTAVLRERTDDTEKERAGKFVVLHLRFDKTLCRTWLLIQLAILVEAKLRNWP >KJB58882 pep chromosome:Graimondii2_0_v6:9:18041956:18045747:-1 gene:B456_009G229500 transcript:KJB58882 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 31 [Source:Projected from Arabidopsis thaliana (AT4G24530) UniProtKB/Swiss-Prot;Acc:Q7Y030] MRQPYNYNHQVHQSQRSAFAALFLVLLPIFFPNLFAPLGRASPSLFSEWNAPKPRHLSLLEVALHWKTPIKQLFDLWSPLPNQGWKPCIDSADTPSLPERSQGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWQDSSSFTDIFDVDHFINVLRDEVSIVKELPTEYSWSSREYYATGIRATRIKTAPVHASADWYLENVLPVMQSYGIAAISPFSHRLAFDKLPVEIQHLRCKVNFEALAFVPRIRLIGETLVNRLRDPSGKLQASGTAVLRERTDDTEKERAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVLNSQFTDHELRNQGRCPLTPEEIGLLLTALGFNNNTHLYLASHKVYGGEARISTLRTMFPLMEDKKSLASAIELAEVEGKASLMAAVDYYVSLKSDIFISASPGNMHNALLGHRAYLNLKTIRPNMLLLGPLFLNKTIEWSEFQHAVINGHKNRQGQMRLRKEKQSIYTYPAPDCMCRA >KJB58883 pep chromosome:Graimondii2_0_v6:9:18042305:18045634:-1 gene:B456_009G229500 transcript:KJB58883 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 31 [Source:Projected from Arabidopsis thaliana (AT4G24530) UniProtKB/Swiss-Prot;Acc:Q7Y030] MRQPYNYNHQVHQSQRSAFAALFLVLLPIFFPNLFAPLGRASPSLFSEWNAPKPRHLSLLEVALHWKTPIKQLFDLWSPLPNQGWKPCIDSADTPSLPERSQGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWQDSSSFTDIFDVDHFINVLRDEVSIVKELPTEYSWSSREYYATGIRATRIKTAPVHASADWYLENVLPVMQSYGIAAISPFSHRLAFDKLPVEIQHLRCKLQASGTAVLRERTDDTEKERAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVLNSQFTDHELRNQGRCPLTPEEIGLLLTALGFNNNTHLYLASHKVYGGEARISTLRTMFPLMEDKKSLASAIELAEVEGKASLMAAVDYYVSLKSDIFISASPGNMHNALLGHRAYLNLKTIRPNMLLLGPLFLNKTIEWSEFQHAVINGHKNRQGQMRLRKEKQSIYTYPAPDCMCRA >KJB60369 pep chromosome:Graimondii2_0_v6:9:27082835:27086479:-1 gene:B456_009G302100 transcript:KJB60369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSREDDGGEPLSRMAEWRDVTPLPQDDGPHPVVPIAYKEEFRETMDYFRAIYKADERSPRALRLTRRAIHLNPGNYTVWHFRRLVLEALNADLDEELDFLQRIANSNSKNYQLWHHRRWVVERLGANAGAKELNLIKKILSLDAKNYHAWSHRQWVLQALGGWEDELDYCQQLLEEDIFNNSAWNQRYFVVTRSPFLGGLKAMRASEVRYTVEAILANPNNECPWRYLRGLYKDDIKALVNDPEISSVCLKVINTKNNYVFALKMLLDLLCHGFQPCHEFRDSVVALRTSQPDPLDPDLSMAICDILEHVDSLRASYWIWRKNKLSVAAV >KJB53937 pep chromosome:Graimondii2_0_v6:9:954787:958120:-1 gene:B456_009G011600 transcript:KJB53937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYNVLKVEKNATDDDLKKSYRKLAMKWHPDKNPNDKKEAETKFKQISEAYEVLSDPQKRAVYDQYGEEGLKDMPPPGSSRPPFGKGTSGPNGFNPRNAEDIFAEFFGSSPFGFGSSGPTRSARFHSDGAKFGGFNSTDNIFRSHNEATAPRKPPPVESKLPCSLEELYTGSTRKMKISRTVVNAAGRQVQESEILTIDVKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDLYKRDGNDLVVNQRVLLEEALGGTTVNLVTLDGHNLSLPVTDIIGPGYELVIAREGMPIAKETGNRGDLRIKFEVKFPTNLTPEQREGLKRALVGVK >KJB53935 pep chromosome:Graimondii2_0_v6:9:954804:957879:-1 gene:B456_009G011600 transcript:KJB53935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYNVLKVEKNATDDDLKKSYRKLAMKWHPDKNPNDKKEAETKFKQISEAYEVLSDPQKRAVYDQYGEEGLKDMPPPGSSRPPFGKGTSGPNGFNPRNAEDIFAEFFGSSPFGFGSSGPTRSARFHSDGAKFGGFNSTDNIFRSHNEATAPRKPPPVESKLPCSLEELYTGSTRKMKISRTVVNAAGRQVQESEILTIDVKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDLYKRDGNDLVVNQRVLLEEALGGTTVNLVTLDGHNLSLPVTDIIGPGYELVIAREGMPIAKETGNRGDLRIKFEVKFPTNLTPEQREGLKRALVGVK >KJB53934 pep chromosome:Graimondii2_0_v6:9:954793:958120:-1 gene:B456_009G011600 transcript:KJB53934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYNVLKVEKNATDDDLKKSYRKLAMKWHPDKNPNDKKEAETKFKQISEAYEVLSDPQKRAVYDQYGEEGLKDMPPPGSSRPPFGKGTSGPNGFNPRNAEDIFAEFFGSSPFGFGSSGPTRSARFHSDGAKFGGFNSTDNIFRSHNEATAPRKPPPVESKLPCSLEELYTGSTRKMKISRTVVNAAGRQVQESEILTIDVKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDLYKRDGNDLVVNQRVLLEEALGGTTVNLVTLDGHNLSLPVTDIIGPGYELVIAREGMPIAKETGNRGDLRIKFEVKFPTNLTPEQREGLKRALVGVK >KJB53936 pep chromosome:Graimondii2_0_v6:9:954804:956939:-1 gene:B456_009G011600 transcript:KJB53936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPGSSRPPFGKGTSGPNGFNPRNAEDIFAEFFGSSPFGFGSSGPTRSARFHSDGAKFGGFNSTDNIFRSHNEATAPRKPPPVESKLPCSLEELYTGSTRKMKISRTVVNAAGRQVQESEILTIDVKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDLYKRDGNDLVVNQRVLLEEALGGTTVNLVTLDGHNLSLPVTDIIGPGYELVIAREGMPIAKETGNRGDLRIKFEVKFPTNLTPEQREGLKRALVGVK >KJB56843 pep chromosome:Graimondii2_0_v6:9:10438384:10440431:1 gene:B456_009G138100 transcript:KJB56843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPAIPLLTDELLALVNNIVQRIFLPSFLFSLFSCASYLLKPLNYMPLLILFPDYGILVYLGQEETSAQGASVGLSSSARHGRDVLLLQEFNDLVEEFDTSRAFRTAGKDGYEQEIKHLRNMVRVLREREQNLEVQLLEYYGLKEQESAVEELKNQLKINNMETKLFTLKIASLQSENQRLEKQVADHEKVVAELQAAKSRIKVLKKKLRHEVEQNREQILSVQKRVARLQEQEVEASADNHDAKSKLGSLKVLEGEAAELRQSNARLQIENSELARKLESTQILANSVLENPEAEALNKIRECLMLENEDLKKRMEQLQEDRCADAEELVYLRWINACLRYELRNFTPIPGKTVAKDLNKSLSHKSEEKAKQLILEYARAEGMDSMDFDCDQWSCSQAFYSTDNGEADNFSFGNSSAKKTPNSRRIKFFKNLRRLILGKDVHSQSQASSTSKTDNPEDFDSSTWSSGRGNDFVNMLQSNSDRFTTPPQSSPSTFLDVDQIKDTEKLRRNSDCGPYGYRKHNIAGREDTLDSPLDRASYSHRKSDVMKFAEALKQSGTGAEKPQRAAGII >KJB56842 pep chromosome:Graimondii2_0_v6:9:10437864:10440431:1 gene:B456_009G138100 transcript:KJB56842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVVMSREKSVLRALLLKLGIVVAISSAGFVYSRLRARMTKPYLPPPSSLPVSGCCSEVDSRGIDQAKDYVPDLRSAAASGPEETSAQGASVGLSSSARHGRDVLLLQEFNDLVEEFDTSRAFRTAGKDGYEQEIKHLRNMVRVLREREQNLEVQLLEYYGLKEQESAVEELKNQLKINNMETKLFTLKIASLQSENQRLEKQVADHEKVVAELQAAKSRIKVLKKKLRHEVEQNREQILSVQKRVARLQEQEVEASADNHDAKSKLGSLKVLEGEAAELRQSNARLQIENSELARKLESTQILANSVLENPEVAEALNKIRECLMLENEDLKKRMEQLQEDRCADAEELVYLRWINACLRYELRNFTPIPGKTVAKDLNKSLSHKSEEKAKQLILEYARAEGMDSMDFDCDQWSCSQAFYSTDNGEADNFSFGNSSAKKTPNSRRIKFFKNLRRLILGKDVHSQSQASSTSKTDNPEDFDSSTWSSGRGNDFVNMLQSNSDRFTTPPQSSPSTFLDVDQIKDTEKLRRNSDCGPYGYRKHNIAGREDTLDSPLDRASYSHRKSDVMKFAEALKQSGTGAEKPQRAAGII >KJB56841 pep chromosome:Graimondii2_0_v6:9:10437791:10440455:1 gene:B456_009G138100 transcript:KJB56841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVVMSREKSVLRALLLKLGIVVAISSAGFVYSRLRARMTKPYLPPPSSLPVSGCCSEVDSRGIDQAKDYVPDLRSAAASGPEETSAQGASVGLSSSARHGRDVLLLQEFNDLVEEFDTSRAFRTAGKDGYEQEIKHLRNMVRVLREREQNLEVQLLEYYGLKEQESAVEELKNQLKINNMETKLFTLKIASLQSENQRLEKQVADHEKVVAELQAAKSRIKVLKKKLRHEVEQNREQILSVQKRVARLQEQEVEASADNHDAKSKLGSLKVLEGEAAELRQSNARLQIENSELARKLESTQILANSVLENPEAEALNKIRECLMLENEDLKKRMEQLQEDRCADAEELVYLRWINACLRYELRNFTPIPGKTVAKDLNKSLSHKSEEKAKQLILEYARAEGMDSMDFDCDQWSCSQAFYSTDNGEADNFSFGNSSAKKTPNSRRIKFFKNLRRLILGKDVHSQSQASSTSKTDNPEDFDSSTWSSGRGNDFVNMLQSNSDRFTTPPQSSPSTFLDVDQIKDTEKLRRNSDCGPYGYRKHNIAGREDTLDSPLDRASYSHRKSDVMKFAEALKQSGTGAEKPQRAAGII >KJB62843 pep chromosome:Graimondii2_0_v6:9:69044326:69047125:-1 gene:B456_009G439700 transcript:KJB62843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTKYLLQSGLQKYLNQQGFNIVGYGCTTCIGNSGELDESVASAISENDIIAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGTGKSGKSIYFKDIWPSNDEIAQGVQSSVLPDMFKSTYEAITKGNLMWNQLSVPASTLYSWDPNSTYIHEPPYFKNMTVEPPGPHGVKDAYCLLNFGDSITTDHISPAGSIQKDSPAAKFLLERGVDKKDFNSYGSRRGNDEVMARGTFANIRIVSKLLKGEVGPKTIHVPTGEKLYVYDAAMRYKAAGQDTIVLAGAEYGSGSSRDWAAKGQMLLGVKAVITKSFERIHRSNLVGMGIIPLCFKPGEDADSLGLTGHERYSIDLPNKISEIRPGQDVTVTTVTGKSFTCTVRFDTEVELAYFDHGGILPFVIRNLNKE >KJB61963 pep chromosome:Graimondii2_0_v6:9:54366934:54369014:-1 gene:B456_009G394400 transcript:KJB61963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INQKNHTRINSQESDCKDSGDEPMLKAVIPRQQSLQSEHGLGQPMVCAKYPYLMDQGYGVFPTFGPQISGRVMLPLNLATEDGPIYAALETKVTKARKPYLHYSRHLHAVRRPRGNGGRFLNTKSSNTGKDGMEMMKANEGQLSRLTGSQISQVLQSDSGTINSYKEPNGGSSTFSGSEVSSSMYSRGDLSKGIGGDSVSHFPCNNQRDQVSVARQIILGSSHLKLFFTTLNAIALEGVVEDAQLNLV >KJB60780 pep chromosome:Graimondii2_0_v6:9:32459161:32463326:1 gene:B456_009G325500 transcript:KJB60780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTEEHHHVNKKCTTKTRTFKGGNNNPHKHHYQHPLLQYSSHFGLFNQNQFQSYSYYPALLPLPPPIPLQLALTPPLSQNQNFQTKTHLQKLPCKVNDFPPLATSSLPDTQVPVLTMTPAPEGLHGRTSFPYKGRKVRSSDTKEALVAARRPDSGGVEGPVITLLANHFVVKFDPSLKIYHYNVEISPSPSKEVARMIKQKLVENNSGLLSGAHPAYDGRKNIYSPVEFQNDKLEFFISLPIPTTKSGLPFGELNCFPQKQNQLKVFRINIRHVSKFDGKDLRSYLSKEGDDWIPLPQDYLHALDVVLREGPMEKCIPVGRSFYSSSMGGTKEIGGGAIGWRGFFQSLRPTQQGLALNVDFSISAFHESIGVILYLQKRLDFLRDLSQRKTRSLSDEERKEVEKALRNIRVFVCHRETVQRYRVHGLTEEATENLYFPDRGGKNLRLVDYFKDHYNYDIQFRNLPCLQISRSKPCYLPMELCMICEGQKFLGKLSDDQTARILKMGCQRPKERKAIIDGIMRGPVGPTSGNQAEEFKLQVSREMTRLNGRILQPPKLKLGDGGHIRDITPSRRDRQWNLLDSHVFQGTRIERWALISFGGTHDQKSNIPKFINQLSQRCEQLGIFLNKSTIVSPQFESTQVLSNVYLLESKLKKIHRAASNNLQLLICVMEKKHKGYADLKRIAETSVGVVSQCCLYPNLGKLSSQFLANLALKINAKVGGCTVALYNSLPSQIPRLLRLDEPVIFMGADVTHPHPLDDFSPSVAAVVGSMNWPAANKYVSRMRSQTHRQEIIQDLAAMVGELLDDFYQEVNKLPKRIIFFRDGVSETQFYKVLKEELQAVKEACARFPGYKPPITFAVVQKRHHTRLFPFEIDPSSMQNQPFDENIPPGTVVDTVITHPKEFDFYLCSHWGVKGTSRPTHYHVLWDENHFTSDELQKLVYNLCYTFVRCTKPVSLVPPAYYAHLAAYRGRLYLERSESIACMRSSPTISRPAPPKATPLPTLSENIKKLMFYC >KJB59199 pep chromosome:Graimondii2_0_v6:9:19600903:19603714:1 gene:B456_009G244300 transcript:KJB59199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQKSQAKLTRTQSSLLRSSPTVRSSIHSMSSITEGDFNKDQEDKDDHQHRESLLNDEKRKKPPTKKSCSMTPRIIPVRFNPVFAMASISFFSFIFFFCFYLRREEIPTSECLLLALIFVAITLFFASKNKGLINQGIVCFKERLQFSKPNSKPVQWFIGETHCNKSNDNNNKENGRLQLVVREGVEFYSNGDFYEGEFHKGKCNGSGVYNYFVNGRYEGDWVEGRYDGYGVESWSRGSRYRGQYRQGVRHGFGIYRFYTGDSYSGEWCNGQSHGVGVQTCADGSCYVGEFKSGVKHGLGYYHFRNGDKYCGEYFGDKMHGFGVYHFANGHCYEGSWHEGQKQGYGMYTFRSGDTKCGEWDSGTLKTSLPPLTDAILRAVQAARKAAGNAIKLRRVDEQVNKAVLAANRAATAARVAAVRAVQNQMDGKFCYTDV >KJB56224 pep chromosome:Graimondii2_0_v6:9:8105596:8108162:-1 gene:B456_009G111000 transcript:KJB56224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASELQKPIKKNRGFYVKMRILHKGRQPEKSLFFRYYQWILWFSFTLYFLTSFFITNKPITLSKPRASGSKLSLPSRVLFESVNKTSQQPYLKNPAMFKDLKIFIYELPSEYNENWLSNKRCSNHLFASEVAIHRALLNADDFRTFDPNEADLFFVPVYVSCNFSTVNGFPTIVHARSLLFSAIQFITKNYPFWNRSQGFDHIFVASHDYGACFHAMEDRAIDNGIPEFLMNSILLQTFGVNYKHPCQDVENVLIPPYISPESIRKTLEKAPLTGNRDIMVFFRGKMEVHPKNVSGKFYSKRVRTEIWRRYKGDRRFYLQRHRFTGYQSEITRSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFSSAVKWSEISLTVAEREVGKLGQILEHVAATNLSTIQKNLWDPAVRRALLFNDHMQRGDATWQILTSLYKKLNRSYRRSRAPSQ >KJB62766 pep chromosome:Graimondii2_0_v6:9:68442603:68444698:-1 gene:B456_009G435100 transcript:KJB62766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFCFTIPYGLILVAGGVIGYWRKGSTASLAGGVGTGFVLILAGYLSFKAFEKKKNSYFALILETVTAAVLTWIMGQRYYQTSKVMPAGIVAGISVLMTGFYLYKIATGGNHFPAKTE >KJB62767 pep chromosome:Graimondii2_0_v6:9:68443114:68444674:-1 gene:B456_009G435100 transcript:KJB62767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFCFTIPYGLILVAGGVIGYWRKGSTASLAGGVGTGFVLILAGYLSFKAFEKKKNSYFALILETVTAAVLTWIMGQRYYQTSKVMPAGIVAGIRYVNLLF >KJB53414 pep chromosome:Graimondii2_0_v6:9:10087203:10088206:-1 gene:B456_009G133800 transcript:KJB53414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVYIYILSSAIESSFPAVNLSDIYLLQSVMTLMVRSAAMLVTTLVSEPTASFTTLLYYSDLLPQNLNLERLVRRDLLDPENYLFHFLINLLRCF >KJB53415 pep chromosome:Graimondii2_0_v6:9:10087203:10088266:-1 gene:B456_009G133800 transcript:KJB53415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMTLMVRSAAMLVTTLVSEPTASFTTLLYYSDLLPQNLNLERLVRRDLLDPENYLFHFLINLLRCFW >KJB53413 pep chromosome:Graimondii2_0_v6:9:10087081:10088365:-1 gene:B456_009G133800 transcript:KJB53413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMTLMVRSAAMLVTTLVSEPTASFTTLLYYSDLLPQNLNLERLVRRDLLDPENYLFHFLINLLRCF >KJB53416 pep chromosome:Graimondii2_0_v6:9:10087203:10088267:-1 gene:B456_009G133800 transcript:KJB53416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMTLMVRSAAMLVTTLVSEPTASFTTLLYYSDLLPQNLNLERLVRRDLLDPENYLFHFLINLLRCFW >KJB57251 pep chromosome:Graimondii2_0_v6:9:12014299:12014862:-1 gene:B456_009G156800 transcript:KJB57251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISVTIKRYRAIKEVGKIKMSVGVIAYYKLVQVCQVNMFSCFKFHVDVPSCYILYIWTCTVHRLLAIFSRLNEIEIVK >KJB53570 pep chromosome:Graimondii2_0_v6:9:16971474:16974334:1 gene:B456_009G218500 transcript:KJB53570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPGIWVFFFVIGTALFVFAFSSSSIPGMDLQHVDGTSMSETSRKLKGSGYSPSNEKRSNVDVDDYDPIDPVPSSKASIKPGPIEHGTPLIPYIPKPSPPDHPKIGGST >KJB53571 pep chromosome:Graimondii2_0_v6:9:16971474:16974334:1 gene:B456_009G218500 transcript:KJB53571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPGIWVFFFVIGTALFVFAFSSSSIPGMDLQHVDGTSMSETSRKLKGSGYSPSNEKRSNVDVDDYDPIDPVPSSKASIKPGPIEHGTPLIPYIPKPSPPDHPKIGGST >KJB53569 pep chromosome:Graimondii2_0_v6:9:16971474:16974334:1 gene:B456_009G218500 transcript:KJB53569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQHVDGTSMSETSRKLKGSGYSPSNEKRSNVDVDDYDPIDPVPSSKASIKPGPIEHGTPLIPYIPKPSPPDHPKIGGST >KJB53572 pep chromosome:Graimondii2_0_v6:9:16973380:16974334:1 gene:B456_009G218500 transcript:KJB53572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMDLQHVDGTSMSETSRKLKGSGYSPSNEKRSNVDVDDYDPIDPVPSSKASIKPGPIEHGTPLIPYIPKPSPPDHPKIGGST >KJB63183 pep chromosome:Graimondii2_0_v6:9:53978139:53979577:-1 gene:B456_009G393000 transcript:KJB63183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVHITSSQMVKPSSYKLHLLKPFKLSLLDQLSPINYVPLILFYAKPHDSLIEGSQVSQQLKQSLEKALDQFYPLAGRTKNNLFISDYDEGVPYVETRVNGRLADFVDQNEVLEAMNQLLPYRPFCYVSNLTAPQLAIQVNVFECGGIAVAVCCSHKIFDASTISVFLNSWASFNRGSNGEIPNPNLLHASSRFFPPVESMPPNTRIEGLLFNQGSLKTRSFVFDANAIAALVSKAKSNSLEHPSRVVSLSAFLWKHAIQAARSASRTLKPAILSQAVNIRRRLKPQLPDYSIGNLFLLPTSTYNSVENDIKLTELAYLVREGEKSVTSDFQDLLQGEEGFKVITKELGEIAETVSKGNAEFYTLSSWLNTLDGKEDFGLGKPTLFSIPGVDSHNHEFSNCFILKQAMQHNAIEAWITLSDKDMGFLEHDQEFLTFASPIRLKFDKFEDLES >KJB61407 pep chromosome:Graimondii2_0_v6:9:46401106:46401738:-1 gene:B456_009G355300 transcript:KJB61407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHSDQQQPPPYPRPSEIYLPLKNPVEMSAIVPYIAPPPSLPPPVGYPMTNGTGNPQQAAPPVHLQSKGDDFWKGW >KJB61515 pep chromosome:Graimondii2_0_v6:9:48162082:48162908:1 gene:B456_009G363200 transcript:KJB61515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIYYHALYNSINEMAFDSLKEQGIDVIPFLKKLWTNLCKSYLLEAIWRYVGYTPTLQEYIDNAWISIVGSVMLAHSYLITDHIREKGLHNIQERYSDIIYRSSIIVRLANDLVTSLKKINENKMAKSPFSPMFIEIVINLARLSLLIYQNGDGLGIEGNKTKDIVLSLFVHPIFVPK >KJB58526 pep chromosome:Graimondii2_0_v6:9:16583211:16588068:-1 gene:B456_009G213700 transcript:KJB58526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYSFLNDQLSKRTSIFGLRMWVVLGICVGAAIVIVLFLISLWFTSKRQNKATGSSHNGSFKPIHNSTIPSVSKEIQEIRVDPSRHSNPDVKLHQASNPDPLPESDHVLALHSEEDSSAISGRNRIHIEIGKDHRIAYPERGAGSGHGSGESRSGDQGTAIMAVPEVSHLGWGHWYTLRELEEATDEFAAENVIGEGGYGIVYRGVLEDNTNVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPCSPLTWEIRMNIVLGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILLMELISGRNPVDYSRPQGEVNLVEWLKAMVTNRNSEGVLDPRLPEKPSSRALKRALLVALRCVDPNAQKRPKMGHVIHMLEADDFPFRDDRRAGRENMRSLRDGLKDRLTDKPVNESGDSSGYESGAHTNRSIWRKQESEEP >KJB58528 pep chromosome:Graimondii2_0_v6:9:16585353:16588068:-1 gene:B456_009G213700 transcript:KJB58528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYSFLNDQLSKRTSIFGLRMWVVLGICVGAAIVIVLFLISLWFTSKRQNKATGSSHNGSFKPIHNSTIPSVSKEIQEIRVDPSRHSNPDVKLHQASNPDPLPESDHVLALHSEEDSSAISGRNRIHIEIGKDHRIAYPERGAGSGHGSGESRSGDQGTAIMAVPEVSHLGWGHWYTLRELEEATDEFAAENVIGEGGYGIVYRGVLEDNTNVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPCSPLTWEIRMNIVLGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILLMELISGRNPVDYSRPQGEVNLVEWLKAMVTNRNSEGVLDPRLPEKPSSRALKRALLVALRCVDPNAQKRPKMGHVIHMLEADDFPFRDVSRHRSLMLVPSDSNIMICIHIFILHLPLLSFNHVYLCACDC >KJB58527 pep chromosome:Graimondii2_0_v6:9:16584837:16588068:-1 gene:B456_009G213700 transcript:KJB58527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYSFLNDQLSKRTSIFGLRMWVVLGICVGAAIVIVLFLISLWFTSKRQNKATGSSHNGSFKPIHNSTIPSVSKEIQEIRVDPSRHSNPDVKLHQASNPDPLPESDHVLALHSEEDSSAISGRNRIHIEIGKDHRIAYPERGAGSGHGSGESRSGDQGTAIMAVPEVSHLGWGHWYTLRELEEATDEFAAENVIGEGGYGIVYRGVLEDNTNVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPCSPLTWEIRMNIVLGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILLMELISGRNPVDYSRPQGEVRRYRFSQLIMCSYDNF >KJB58525 pep chromosome:Graimondii2_0_v6:9:16584693:16588237:-1 gene:B456_009G213700 transcript:KJB58525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYSFLNDQLSKRTSIFGLRMWVVLGICVGAAIVIVLFLISLWFTSKRQNKATGSSHNGSFKPIHNSTIPSVSKEIQEIRVDPSRHSNPDVKLHQASNPDPLPESDHVLALHSEEDSSAISGRNRIHIEIGKDHRIAYPERGAGSGHGSGESRSGDQGTAIMAVPEVSHLGWGHWYTLRELEEATDEFAAENVIGEGGYGIVYRGVLEDNTNVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPCSPLTWEIRMNIVLGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILLMELISGRNPVDYSRPQGEVNLVEWLKAMVTNRNSEGVLDPRLPEKPSSRALKRALLVALRCVDPNAQKRPKMGHVIHMLEADDFPFRDDRRAGRENMRSLRDGLKDRLTDKPVNESGDSSGYESGAHTNRSIWRKQESEEP >KJB62600 pep chromosome:Graimondii2_0_v6:9:66688384:66689150:1 gene:B456_009G425300 transcript:KJB62600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVVKGELKNGSDDCLASLTYSNYQGAPVTIKKDSLPTPFAQNMVVDGLYGGLVYDVVRVKWIILWTTDCKVATKLIPTENHIVWEDIVSILQPYESSDNLPVSCGGAFSAEAHIHANADGSLNLTAQIMWSGCK >KJB60967 pep chromosome:Graimondii2_0_v6:9:34905617:34912312:-1 gene:B456_009G333700 transcript:KJB60967 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha subunit B [Source:Projected from Arabidopsis thaliana (AT1G67630) UniProtKB/TrEMBL;Acc:F4HTP2] MEEEIKAEFLKSGFTLDEEQEILKKCHTFCQDYGLEPSDLVSSWEIYYLNRQLDESVVKDAEMDGFLGHLQSEQLGASAKKDPGLHMYSFKDVDMVLDAEDEDAKDVILGTPIDKSEKLQLDIYDSAHKTNGNIYSSERKSKLVTPFGKRSNKFVVKFNIDNLPTIETANGIEEHYDLEDGIIKRVQPLKSSSLVVHRSGLEPGCRFMYDTIEDRFNAIENRIRKHAAALVASSLYEEPMDPSVASQRSMFAVGMICCDGEGHLNDKSILLQSSVEHSRGQLVRLELNKLSYFSVFPGQVVGIKGHNPSGHCLIASELVDSVPLSIAADADLPPTKKQALDGEIQATHLSSTPTETTMIIAAGPFTTTDNLLFEPLSELLAYATRNSPQLLILLGPFIDSEHPQIKKGTVDLSFDDIFQSEVLRMVQDYLEYMGPNARLVMVPSIRDANHDFVFPQPAFDLDSLDITSLNNPGIFEADQFMIGCCTVDILKHLSGEEMSRHSMDGQPNDRLSRLANHILSQQSFYPLYPPAEGVPMDFSLASEALHISSVPDLLILPSDIKYFVKVLPVGGTTEGEEEQMKRCVCINPGRLAKGEGGGTFVELKYQGSSDKMNASIISI >KJB60968 pep chromosome:Graimondii2_0_v6:9:34906160:34912178:-1 gene:B456_009G333700 transcript:KJB60968 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha subunit B [Source:Projected from Arabidopsis thaliana (AT1G67630) UniProtKB/TrEMBL;Acc:F4HTP2] MEEEIKAEFLKSGFTLDEEQEILKKCHTFCQDYGLEPSDLVSSWEIYYLNRQLDESVVKDAEMDGFLGHLQSEQLGASAKKDPGLHMYSFKDVDMVLDAEDEDAKDVILGTPIDKSEKLQLDIYDSAHKTNGNIYSSERKSKLVTPFGKRSNKFVVKFNIDNLPTIETANGIEEHYDLEDGIIKRVQPLKSSSLVVHRSGLEPGCRFMYDTIEDRFNAIENRIRKHAAALVASSLYEEPMDPSVASQRSMFAVGMICCDGEGHLNDKSILLQSSVEHSRGQLVRLELNKLSYFSVFPGQVVGIKGHNPSGHCLIASELVDSVPLSIAADADLPPTKKQALDGEIQATHLSSTPTETTMIIAAGPFTTTDNLLFEPLSELLAYATRNSPQLLILLGPFIDSEHPQIKKGTVDLSFDDIFQSEVLRMVQDYLEYMGPNARLVMVPSIRDANHDFVFPQPAFDLDSLDVRLQITSLNNPGIFEADQFMIGCCTVDILKHLSGEEMSRHSMDGQPNDRLSRLANHILSQQSFYPLYPPAEGVPMDFSLASEALHISSVPDLLILPSDIKYFVKVLPVGGTTEGEEEQMKRCVCINPGRLAKGEGGGTFVELKYQGSSDKMNASIISI >KJB60969 pep chromosome:Graimondii2_0_v6:9:34908293:34912215:-1 gene:B456_009G333700 transcript:KJB60969 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha subunit B [Source:Projected from Arabidopsis thaliana (AT1G67630) UniProtKB/TrEMBL;Acc:F4HTP2] MEEEIKAEFLKSGFTLDEEQEILKKCHTFCQDYGLEPSDLVSSWEIYYLNRQLDESVVKDAEMDGFLGHLQSEQLGASAKKDPGLHMYSFKDVDMVLDAEDEDAKDVILGTPIDKSEKLQLDIYDSAHKTNGNIYSSERKSKLVTPFGKRSNKFVVKFNIDNLPTIETANGIEEHYDLEDGIIKRVQPLKSSSLVVHRSGLEPGCRFMYDTIEDRFNAIENRIRKHAAALVASSLYEEPMDPSVASQRSMFAVGMICCDGEGHLNDKSILLQSSVEHSRGQLVRLELNKLSYFSVFPGQVVGIKGHNPSGHCLIASELVDSVPLSIAADADLPPTKKQALDGEIQATHLSSTPTETTMIIAAGPFTTTDNLLFEPLSELLAYATRNSPQLLILLGPFIDSEHPQIKKGTVDLSFDDIFQSEVLRMVQDYLEYMGPNARLVMVPSIRDANHDFVFPQPAFDLDSLDVRLQVC >KJB54619 pep chromosome:Graimondii2_0_v6:9:3071869:3075861:1 gene:B456_009G041700 transcript:KJB54619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLGRVAQVSELHGHRHGLGHGCMSLIRMSTRPELILLLKTKTKIPLCKSFISLLFLSLSDRLLPFSLPLCYSISTLQHNFSFKEKTKKGRKVSARIMDGGVPYNPRTVEEIFRDFKGRRAGMIKALTTDVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLSVAFYFGARFGFDKADRKRLFNMINDLPTVFEVVTGAAKKQTKEKSLVSNHSGSKSKSNSKFLSFPLCLEKLQRGSEPLPKYSKAVPSKDEDDDGLEEEEEEHGETLCGACGESDGADEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >KJB54618 pep chromosome:Graimondii2_0_v6:9:3071869:3074967:1 gene:B456_009G041700 transcript:KJB54618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLGRVAQVSELHGHRHGLGHGCMSLIRMSTRPELILLLKTKTKIPLCKSFISLLFLSLSDRLLPFSLPLCYSISTLQHNFSFKEKTKKGRKVSARIMDGGVPYNPRTVEEIFRDFKGRRAGMIKALTTDVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLSVAFYFGARFGFDKADRKRLFNMINDLPTVFEVVTGAAKKQTKEKSLVSNHSGSKSKSNSKVASFFLTTVSLYV >KJB54621 pep chromosome:Graimondii2_0_v6:9:3072943:3075943:1 gene:B456_009G041700 transcript:KJB54621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGVPYNPRTVEEIFRDFKGRRAGMIKALTTDVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLSVAFYFGARFGFDKADRKRLFNMINDLPTVFEVVTGAAKKQTKEKSLVSNHSGSKSKSNSKRGSEPLPKYSKAVPSKDEDDDGLEEEEEEHGETLCGACGESDGADEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >KJB54620 pep chromosome:Graimondii2_0_v6:9:3071869:3075861:1 gene:B456_009G041700 transcript:KJB54620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLGRVAQVSELHGHRHGLGHGCMSLIRMSTRPELILLLKTKTKIPLCKSFISLLFLSLSDRLLPFSLPLCYSISTLQHNFSFKEKTKKGRKVSARIMDGGVPYNPRTVEEIFRDFKGRRAGMIKALTTDVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLSVAFYFGARFGFDKADRKRLFNMINDLPTVFEVVTGAAKKQTKEKSLVSNHSGSKSKSNSKRGSEPLPKYSKAVPSKDEDDDGLEEEEEEHGETLCGACGESDGADEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >KJB54617 pep chromosome:Graimondii2_0_v6:9:3071869:3075861:1 gene:B456_009G041700 transcript:KJB54617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLGRVAQVSELHGHRHGLGHGCMSLIRMSTRPELILLLKTKTKIPLCKSFISLLFLSLSDRLLPFSLPLCYSISTLQHNFSFKEKTKKGRKVSARIMDGGVPYNPRTVEEIFRDFKGRRAGMIKALTTDVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLSVAFYFGARFGFDKADRKRLFNMINDLPTVFEVVTGAAKKQTKEKSLVSNHSGSKSKSNSKAVPSKDEDDDGLEEEEEEHGETLCGACGESDGADEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >KJB63120 pep chromosome:Graimondii2_0_v6:9:70528760:70530201:1 gene:B456_009G454000 transcript:KJB63120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIPCCEKDNVKRGQWTPEEDNKLSSYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGQFSDAEEQTIVKLHSVVGNRWSLIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKPFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRIDFQLQQSNPGQGNNTTVPYIKQDEKDDTVEKIKLNLSRAIQEPDMLPLNKPWESTSTRATSANFEGGCGVFPTSVTGYHHYGPSSFANEGGGSGSPWSQSMCTGSTCTAGEQVRSHEKLKDENGEEFQGGKEIKNATSIFNTDCVLWDIPSDDLINPIYREAFNNKE >KJB58015 pep chromosome:Graimondii2_0_v6:9:14644782:14647885:-1 gene:B456_009G190500 transcript:KJB58015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLRKSLKSYCSSAKQQQNPEQQPLLLHSDDSHTAESFDARKQREEVIVNIDNSSDAAVKENGDNTSRVQQPSGSSRKSKVSFHEVLTEAVRQKSKDVSGQAPQWSSGLGGGQFLRCDSTDYLRQNSWRQLVNKTKSRLLDPLEDRYGRSNSMYSEDEFKENNNDEGDNPDEYKALKFNLLTILQWLSLVLIIAALVCSVSIPGIKSLRLCDLQLWKWEIMVLALICGRLVSGWVIRLVVILIERNFLLRKRVLYFVYGLRKPVQNSLWLGLVLLVWRLVINDKVQEETNSKVLPYVTKILICFLVATLIWLVKTLLVKVLASSFHVKTFFDRIQEALFNQYVLEILYGPPLFDKEEEEDNEPEIEDSQNTSTLPPRTEAAQKTSKVKNSPRISKLISKRKAENIKLDHLQKLNQKNISAWNMRRMINMVSRRNLTTLDEQILNCEGDDESSVQIRSEHQANEAANKIFQNVTKPESQCIYITDLMRFMGRDEAIKALQVFGAGSEDEEINKASLTNWLVNAFRDRKALALSLNDTKTAVDELHNMLNIVVAIIIIIIWLIILGIPVTHFLVFISSQLLLVVFIFGNTCKTVFEAIIFLFIMHPFDVGDRCEVDGVQMVVEEMNILTTVFLRCDGQKLVYPNSVLSTKPIGNFYRSPDMVETIQFCIHVSTPPEKIATMKERIIGYIESREHHWHRNPLLVVTDVEEMNKLKFSVSSKHKMNYQNMAERWIRRGHLLEETIKILKELDIEYRLLPMDVNVRNMPNLVSHRLPSNWSTCLS >KJB60251 pep chromosome:Graimondii2_0_v6:9:27215683:27219837:-1 gene:B456_009G303300 transcript:KJB60251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKGLIYSFVAKGTVVLAEHTSYSGNFSTIAVQCLQKLPSNSSKFTYSCDGHTFNFLIDNGFVFLVVADESVGRSVPFVFLERVLDDFKQRYGASIRNEGPHPLADDDDDDDLFEDRFSIAYNLDREFGPRLKEHMQYCMNHPEEMSKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRRMWLQNLQMKLMVGGAILVLIILLWVFACGGFKC >KJB60248 pep chromosome:Graimondii2_0_v6:9:27215463:27220297:-1 gene:B456_009G303300 transcript:KJB60248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKGLIYSFVAKGTVVLAEHTSYSGNFSTIAVQCLQKLPSNSSKFTYSCDGHTFNFLIDNGFVFLVVADESVGRSVPFVFLERVLDDFKQRYGASIRNEGPHPLADDDDDDDLFEDRFSIAYNLDREFGPRLKEHMQYCMNHPEEMSKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRRMWLQNLQMKLMVGGAILVLIILLWVFACGGFKC >KJB60252 pep chromosome:Graimondii2_0_v6:9:27215463:27220515:-1 gene:B456_009G303300 transcript:KJB60252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKGLIYSFVAKGTVVLAEHTSYSGNFSTIAVQCLQKLPSNSSKFTYSCDGHTFNFLIDNGFVVADESVGRSVPFVFLERVLDDFKQRYGASIRNEGPHPLADDDDDDDLFEDRFSIAYNLDREFGPRLKEHMQYCMNHPEEMSKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRRMWLQNLQMKLMVGGAILVLIILLWVFACGGFKC >KJB60249 pep chromosome:Graimondii2_0_v6:9:27215273:27220515:-1 gene:B456_009G303300 transcript:KJB60249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKGLIYSFVAKGTVVLAEHTSYSGNFSTIAVQCLQKLPSNSSKFTYSCDGHTFNFLIDNGFVFLVVADESVGRSVPFVFLERVLDDFKQRYGASIRNEGPHPLADDDDDDDLFEDRFSIAYNLDREFGPRLKEHMQYCMNHPEEMSKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRRMWLQNLQMKLMVGGAILVLIILLWVFACGGFKC >KJB60250 pep chromosome:Graimondii2_0_v6:9:27215273:27220534:-1 gene:B456_009G303300 transcript:KJB60250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKGLIYSFVAKGTVVLAEHTSYSGNFSTIAVQCLQKLPSNSSKFTYSCDGHTFNFLIDNGFVFLVVADESVGRSVPFVFLERVLDDFKQRYGASIRNEGPHPLADDDDDDDLFEDRFSIAYNLDREFGPRLKEHMQYCMNHPEEMSKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRRMWLQNLQMKLMVGGAILVLIILLWVFACGGFKC >KJB57150 pep chromosome:Graimondii2_0_v6:9:11416513:11417248:1 gene:B456_009G150400 transcript:KJB57150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTFRVFFFALLLLSSLLFMAKARPLDLPKTTTSDLLARLKLDEESPDCWSSLIQLQSCTGELIMFFLNGETEIGKSCCLAIRTISHLCWPTMIDALGFTAEESHVIEGYCDHEDDRSPPSIALTDEIGSSNLFNP >KJB59142 pep chromosome:Graimondii2_0_v6:9:19292410:19294973:-1 gene:B456_009G241000 transcript:KJB59142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGVSRLITLCFVCFQEPPQQILFHIHKYKYEFHVIIVGHCTKVPRNSNLEKLRSGYLFPEISRHESEHLRKNPDASLIRLGIGDTTQPIPDTITLAMAEQVRGLSTIQGYRGYGAEQGNMPLRKTIAGKFYQDMGIKANEIFVSDGAQCDISRLQTLLGSNVTVAVQDPSFPAYIDSSVLVGQAGELEEETGKYGNIIYMNCGPENNFFPDLSTIPRTDIIFLCSPNNPTGHAASWQQLKQLVEFAKANGSIIVHDSAYAAYIRDGSPRSIFAVPGAKEVGLSLQVAIEISSFSKFAGFTGVRLGWTVVPEELLYSNGFPVIKDFNRIVCTCFNGASNIAQAGGLACLSTDGYQDLCKVIDYYMENAKILVDGLTSLGWKVYGGKNAPYIWVHFPGMSSWNVFAEILKKTNIVTIPGRGFGPAGEEYIRVSAFGQRQITLEALRRLNSFL >KJB57696 pep chromosome:Graimondii2_0_v6:9:13623237:13626075:1 gene:B456_009G176000 transcript:KJB57696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRRQPGAEDLVSSDNPNAWIFDDYGLLEDIPVPGGDLPSLDPSAPIWSSPSLTCSTPLSVEFTESFGNSDNLNETGSRKRMRSGSSSASGSKACREKMRRDKLNDRFLELGSILEPGRSSKVDKAVILVDAARMVTQLRDEAQKLRESNESLQEKINELKAEKNELRDEKQRLKTEKDNLERQVKALGSQPGFIPQAPAIPTPFSTSSQVVGGKLVPFVGYPGVSMWQFLPPAAVDTSQDHMLRPPVA >KJB62649 pep chromosome:Graimondii2_0_v6:9:68213598:68214737:-1 gene:B456_009G434200 transcript:KJB62649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQFSLVFFVLFACYVGVNSRELKHITKELEVNAPAYEAWELYRNLGLINIIVPKLPNVQSTQVLKGDGGVGTVAKTTFVPGNSSYTEEFIVIDDKKRVKAAKALEGDCLAFGCSVLIVEFDIKEKSQNSCIIKSIISYAVKKEFEAKDPKPTVAVEAITQASKEYLERNN >KJB55578 pep chromosome:Graimondii2_0_v6:9:6077025:6078443:-1 gene:B456_009G083200 transcript:KJB55578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRVLCFVSLLLCIFPAIAFALHLPLTLTHFDANDPSRDPYQILSYLASSSLARAHHLKNPQITATATSDATTTTPLFSHSYGGYSISLSFGTPPQILPFVMDTGSDFVWFPCTHRYVCKNCSFSPSSDQNVTIPSFIPKLSSSSRLVGCRNPKCSWVHHTNKTQCDECRNSFTPQNCTQACPPYFLLYGPGTTAGVALSETLNLGDRTVSNFLVGCSIFSSRQPAGIAGFGRGLPSLPTQLKLHKFSYCLISRRFDDSPSSSTLILDSTSDMDRKTNGLIYTPFIKNPIVRGKEAFQVYYYVGLRKITVGGRRVKVPRKFLSPGNNGNGGTIVDSGSTFTFMAREIFEPLAVEFVKQVKNYSRARDVEVLTGLRPCFDVKDMGKPVELPELRLHFKGGAEIALPLENYFAVVGEGVACLTVVTDGDVGGGKAVVGHSGPAIVLGSFQMQNYYVEYDLRNQRLGLKPQLCI >KJB53967 pep chromosome:Graimondii2_0_v6:9:1130692:1136363:1 gene:B456_009G014000 transcript:KJB53967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNSIFSSLGVLSNLKYLYLYHNKLKGRIHMKDLNSLRYLKELDLSDNKVTELVPSQAELRLMNLRVVDLSDNLFNNTILSSLAGLSNLKTLSLWNVRGPIDMNDGLRNLEELHMSCYSEWQILANLVDLILEDSSLPLNFIPTLSSLKYLHLSSCYVNDSFFMHERQNLRNLEELLLEYSSLPSNFIQVIGPLTSLKKFYSPLPRNVNQSLSDFCKMTNLRELFISGNNLKGSLPMCFSNLTSLEKLDLSFNDLSGNMSALKSLASLQRLDLSFNDLSGNMSALKSLASLQWLDLSYNKLQIPSSLGYLFNLSKLQYLSASNNIIYVDEMHSVAPRFQLRVISLSCCGNGGSFPQFLYHQHDLVDVTLSHIHFKVNQFPFWLLENNTNLKRLHLVNCSLSGPFQVPFPSHLVLEDLDISNNFFSGNIPTEIGAHLPSLSSLNMSKNDFNGSIPSSFGDMSSLQILDLSNNQLFGGIPEHFAWGCSLLEILALSNNTLQGPIFSTNFSLMSLSQLQLNGNNFSGMIPNALSNCSFLGTLDLSNNYLFGKIPSWIESMYSLSTFDVSRNQLSGRIPQWMGNTSNLGQIDVADNHLQGLIPRAFCNLNRQLQFLDLSMNNFSGILPSCFKPSNIEEVYLSKNMLQGPLPNAFRKSSFLVTLDLSYNHFSGHIPNWISNLSQLSYLLLKRNHFEGEIPIQLCKLPHLSLIDLSMNNLSGGIPSCLKVTALNKVPDHYSWISYNFFGENRSISIEESIEYTIKSRSYTYKKRILQYMSGIDLSCNKLTGEIPHEIKNILMLYTLNLSHNSLIGPIPREFSNLRDIESLDLSYNNLTGNIPPEYALLHFLQYFNVSYNNLSGKTPEKIGQLGTFDESSYVGNPFLCGSLVGKNCSPIAPTKAPSDGAKDHGFIDMDAFYASFFACYIVVLLCIGAVLYINPYWRQAWLYYVQMTINSCYYFVMDNLPRNFGC >KJB56509 pep chromosome:Graimondii2_0_v6:9:9129635:9130356:-1 gene:B456_009G122800 transcript:KJB56509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFTRGSPSINSLFFKPIMRKYCTKGTDAVREPMKVEAEEVKKRSSMEGSCCWVPHDRTGIYYPKGQEKVMADVPAPAAKDTAAVHWFSYD >KJB59985 pep chromosome:Graimondii2_0_v6:9:24137279:24141945:-1 gene:B456_009G283900 transcript:KJB59985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKNCFAIASDRRLGVQLQTIATDFQRISKIHDRVFLGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFANLVSALLYEKRFGPYFCQPVIAGLGDEDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKEDMEPEELFETVSQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >KJB59983 pep chromosome:Graimondii2_0_v6:9:24137279:24141932:-1 gene:B456_009G283900 transcript:KJB59983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFEYNGSALVAMVGKNCFAIASDRRLGVQLQTIATDFQRISKIHDRVFLGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFANLVSALLYEKRFGPYFCQPVIAGLGDEDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKEDMEPEELFETVSQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >KJB59984 pep chromosome:Graimondii2_0_v6:9:24137792:24141743:-1 gene:B456_009G283900 transcript:KJB59984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFEYNGSALVAMVGKNCFAIASDRRLGVQLQTIATDFQRISKIHDRVFLGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFANLVSALLYEKRFGPYFCQPVIAGLGDEDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKEDMEPEELFETVSQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >KJB54809 pep chromosome:Graimondii2_0_v6:9:3616286:3617966:-1 gene:B456_009G050000 transcript:KJB54809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLRASCISFLLCFTTCINLISSHYLPENHVALFIFGDSLFDPGNNNYINTTADFRANFYPYGETFFKCPTGRFSDGRLIPDFIAQFAGLPIIPTYLQPGKRKFTDGVNFASGGAGALVESHQGFVVDLETQIKYFNKVEKSLRQELGDAGAKKLMSKAVYLISIGGNDYFTKNSSVSDEEFVSMVLGNLTVALKEIYKKGGRKFGFPNLLPLGCLPYMKAQSGGSCIDELTAIAKLHSAELLKTLVKLQNQLEGFKYAYYNLYQSFTERLNNPSKYGFKDATTACCGSGPYGGVYSCGGKRGIEVYELCDKPGDYFFFDSYHPSEKAYRQLAELMWAGNTDIVWPYNLKMLFQDNAFYP >KJB54810 pep chromosome:Graimondii2_0_v6:9:3616329:3617898:-1 gene:B456_009G050000 transcript:KJB54810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLRASCISFLLCFTTCINLISSHYLPENHVALFIFGDSLFDPGNNNYINTTADFRANFYPYGETFFKCPTGRFSDGRLIPDFIAQFAGLPIIPTYLQPGKRKFTDGVNFASGGAGALVESHQGFVVDLETQIKYFNKVEKSLRQELGDAGAKKLMSKAVYLISIGGNDYFTKNSSVSDEEFVSMVLGNLTVALKEIYKKGGRKFGFPNLLPLGCLPYMKAQSGGSCIDELTAIAKLHSAELLKTLVKLQNQLEGFKYAYYNLYQSFTERLNNPSKYGNSENGLLLLHERFK >KJB58409 pep chromosome:Graimondii2_0_v6:9:16207001:16208343:-1 gene:B456_009G209000 transcript:KJB58409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVHSSPYLQMDNPAIMSLLRPTPGEKHRKSSSGGLLRMFKLFPMLTSGCKMVALLGRPKKPLLKDSATTGTIFGYRKGRVCLAIQDDPHCVPMFVIELPMLTSLLQKEMASDIVRIALESETKTHKKKLLEEFVWAVYCNGRKVGYSIRRKQLSDDELHVMQLLRGVSMGAGVLPSPNDKETVTSDGELTYMRARFEKVVGSKDSEALYMINPDGAAGPELSIFFVRAR >KJB61622 pep chromosome:Graimondii2_0_v6:9:49885936:49886291:-1 gene:B456_009G3707002 transcript:KJB61622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGSAEIVSIKSDVYSYGIVLIETFTKKKPTDNVFVEEETMRHWMERSLPKGAIEIADVDLLRREDEYIVVKANCISSIMELALNCSAELPEERKDMKDVVVELKKIKQRLLNSIQHF >KJB57367 pep chromosome:Graimondii2_0_v6:9:12226240:12232077:-1 gene:B456_009G159600 transcript:KJB57367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSCNVSGTIPDYIWEFPQLQILDLSFNKLEGNISDSESPTETQYMYLTRNSLAGHIPEWMSIRDSRYQIDLSYNNFSESHQSLSCRENLNLFQSFSGGKNLGPDNCLKNLPCSKDWYSVNINCGGGATTINGVDYEADEDLGGPAKYFPLGETWETSSTGLFWDIDVSSRDFIAQNVSILRIKNSELYTRARLSPLSLTYYFRCLANGNYTVTLHFAEIVIRDNRSYQSLGRRIFDVYVQEKLELEDFNIKNEAKGVDKAVIRKFKTVVRNKTLTIRFHWAGKGTTAIPRRGTYGPLISAISVDSDHKPRVLNAWEKNLKFVVGAVVSVLCLILVILGILWWKGYFRPKPSRERVLRGLDLQTGFFTFIQMKAATNNFDSANKIGEGGFGAVYKGVLLDGTIIAIKKLSSKSRQGDREFLNELGMISGLQHPNVVRLYGCCVEGTQLLLVYEYMENNSLAHALFGPQESQLILDWPTRQKICLDIAKGLAFLHEESSLTIVHRDIKTTNVLLDSNLNAKISDFGLAKFDEGENTHISTRIAGTIGYMAPEYALWGYLTYKADVYSFGIVALEIVAGKNNTKYRPEGDYVCLQDRALVLQQKGNLMELVDPRLGTEFNEEEAIRMAKVALLCTNSSPALRPTMSEVVNMLEGRALVPKLIMDPSIFADESRFGALKDQFNQMQSRKSSEITTITQSSIYSSSTAWSGSSSTSVQHP >KJB57368 pep chromosome:Graimondii2_0_v6:9:12227355:12232073:-1 gene:B456_009G159600 transcript:KJB57368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSCNVSGTIPDYIWEFPQLQILDLSFNKLEGNISDSESPTETQYMYLTRNSLAGHIPEWMSIRDSRYQIDLSYNNFSESHQSLSCRENLNLFQSFSGGKNLGPDNCLKNLPCSKDWYSVNINCGGGATTINGVDYEADEDLGGPAKYFPLGETWETSSTGLFWDIDVSSRDFIAQNVSILRIKNSELYTRARLSPLSLTYYFRCLANGNYTVTLHFAEIVIRDNRSYQSLGRRIFDVYVQEKLELEDFNIKNEAKGVDKAVIRKFKTVVRNKTLTIRFHWAGKGTTAIPRRGTYGPLISAISVDSDHKPRVLNAWEKNLKFVVGAVVSVLCLILVILGILWWKGYFRPKPSRERVLRGLDLQTGFFTFIQMKAATNNFDSANKIGEGGFGAVYKGVLLDGTIIAIKKLSSKSRQGDREFLNELGMISGLQHPNVVRLYGCCVEGTQLLLVYEYMENNSLAHALFGPQESQLILDWPTRQKICLDIAKGLAFLHEESSLTIVHRDIKTTNVLLDSNLNAKISDFGLAKFDEGENTHISTRIAGTM >KJB57666 pep chromosome:Graimondii2_0_v6:9:13439447:13443090:1 gene:B456_009G174400 transcript:KJB57666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPAMDLHLLRSSTGFSAIRFFPRYPSPSPLRSRLFIVRSNLPFPNEKAKYHRELEAAVEAVERACRLCVDVQRSLFSVEGRIIEKKDQTPVTVADFGVQALISLEISKSFPSIPLVAEEDSGFLRSNNLVDPVVSAVSDHMSFNKKSLSHDDVLEAIDRGGKNAFAMGTKPATYWILDPIDGTRGFVKGSQALYVVGLSLVVEGEIVLGVMGCPNWVVDATYKSTTHAQSYRNSSPGLGIIMVAHVGCGTWKKRLKNMLDRSTKISSDWTRCFVDGFCLVSDARFCIPESQTWESLPLSVLYKARIDDDNVGDKEICLLSAFCGSLCKYLMVASGRASVFILRARSEKLIKVWDHAVGMICVHEAGGKVTDWKGRELDLAADKVERRIIYPEGGILVTNGKIHNETLEMISSISSAV >KJB57667 pep chromosome:Graimondii2_0_v6:9:13439534:13442987:1 gene:B456_009G174400 transcript:KJB57667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPAMDLHLLRSSTGFSAIRFFPRYPSPSPLRSRLFIVRSNLPFPNEKAKYHRELEAAVEAVERACRLCVDVQRSLFSVEGRIIEKKDQTPVTVADFGVQALISLEISKSFPSIPLVAEEDSGFLRSNNLVDPVVSAVSDHMSFNKKSLSHDDVLEAIDRGGKNAFAMGTKPATYWILDPIDGTRGFVKGSQALYVVGLSLVVEGEIVLGVMGCPNWVVDATYKSTTHAQSYRNSSPGLGIIMVAHVGCGTWKKRLKNMLDRSTKISSDWTRCFVDGFCLVSDARFCIPESQTWESLPLSVLYKARIDDDNVGDKEICLLSAFCGSLCKYLMVASGRASVFILRVWDHAVGMICVHEAGGKVTDWKGRELDLAADKVERRIIYPEGGILVTNGKIHNETLEMISSISSAV >KJB53850 pep chromosome:Graimondii2_0_v6:9:665452:666372:-1 gene:B456_009G007700 transcript:KJB53850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSPHHNQQRKPTLSSSSVFFKLFSDPIKIFFRNKHVLIPVLLFFTLPLSFLLFSLSLTSRPLKRHIFHLESVAFTSSTRVEADHILKESRYESLSLFRLKLLYFLPSSILSLLSFVATVHVTSHSHRPSFLTTADAFKRCWKRVLVTSACSYALLLLYVQLPQLFYAVFRNHPRINLPILLIGSGFEVYLMGVLGLGLVVSALEEKFGWDAFRVGSELMVGRRVCWWWVTCMLVAISGWIGNRFEKITDGEDSVKWVVMGWETVGLWWFYGLLVIWSLMVTTVFYCDCKRNHAPNGTDLTEITA >KJB62832 pep chromosome:Graimondii2_0_v6:9:68983861:68985231:-1 gene:B456_009G439200 transcript:KJB62832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRSFHIAMYPWFALGHITPYVHMANKLAERGHKISFFLPPKTQHKVEAFNLHPHLITFIPITVPHVEGLPLGAETTNDVPFPLHPLIMTAMDLTKPDIEAYLRQLKPQFVFYDFTCWLPALTRRLGIKSVVYCIISSGTIGYLLSPARKTLEKGMIGSDLLEPPEGFPSSSIKLRAHEAQALAAVTTMDFGSGLSFVERQLMSLSDCDVIGFKTCREIEGPYGEYIGSQFGKPVIFAGPVVPNLPKIALERQWEKLLSKFQPKTMIFCAFGSECVLKEDQFQELVLGLELTGLPFLVALKPPMGAKTIESALPEGFQERLKGRGILHGGWVPQQLILRHRSVGYFVTHCGSGSLAEGMVSDCQLVLLPHVGDQIINARLMARDLKIGVEVEKGDEDGVFTKYDVCKAVKTVMDHDNELGNEVRTNHAKWKEFLLKPGLENSYMDDFVKQLHTLV >KJB56162 pep chromosome:Graimondii2_0_v6:9:8039628:8040824:-1 gene:B456_009G110200 transcript:KJB56162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQLKERQCLVVATGFDIFTVSQTFSNAGWVIENRTALQKVEWMAPISSCSCCCAYAGWMVLLCWLLCGLPFQLSGLFELSSSSVDYFVVDDVIHRTVGPACVCEYQKKNSDI >KJB60113 pep chromosome:Graimondii2_0_v6:9:24986745:24992310:1 gene:B456_009G290300 transcript:KJB60113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFGGLETPSPTPTLDGPCHSKDTSRDLRDHLPSLMASCYPITLKFIDVSYKVKVQGTNTQGRSIKRMLSHGSAPSDQGTMSRAQKRTILNDITGMVSPGEMLAILGPSGSGKSTLLNALAGRLQHGHGHFTGTLLANNKKPTKQMAKRTGFVTQDDVLYPHLTVRETLVFCSLLRLPNTLSTKEKISVAETVLSELGLSKCENTIIGNSFIRGISGGERKRVSIAHEMLINPSLLLLDEPTSGLDSTAAHRLVSILGSLAQKGKTIVTSMHQPSSRVYQMFDSVLVLSEGRSLYFGKGSEAMSYFESIGFSPSFPMNPADFLLDLANGVCKVDGVSERERPNVKQTLIASYNTLLAPKVRAACMEITPVSAKDSYFISTPCSEQGRNSNRVNLSTWFYQFSILLRRSLKERKQESFNTLRVFQVISAAILAGLMWWHSDYRDIQDRLGLLFFISIFWGVLPSFNAVFAFPQERAIFMKERASGMYTLSSYFMARIIGDLPMELILPTVFLVVTYWMAGLKPDLVAFLLTLLVLLGYVLVSQGLGLALGALIMDAKQASTIVTVTMLAFVLTGGYYVHKVPSCMAWIKYASTTYYSYKLFLNVQYGDGKKVSPLLGCSHRGSSTVSCKFIDQDIAGQIRPELSVGILILMFIGYRLMAYLALRRIKG >KJB61114 pep chromosome:Graimondii2_0_v6:9:39771883:39773472:-1 gene:B456_009G341600 transcript:KJB61114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSQFLLFFSFLFCLKNTFPVLGFQSNFALFPVAKDAATLQYVARISHGAPLGSTDLVVDLGGSFLWMDCNSGHVSSSYRLISSCSVNCSRAKFHDLGSTSCLLNTNCYVSPYNGVTGSTSMGELVEDVIAVDSVDPSDVGQSTTVDHFLFACASTFHLQGLASGAKGMMGLGKASISLPSQLSSSIGHPQKFSVCLSSSTGVLLTGSGDTVFGTKIARSLAYTPLITKQNDYFINVQSIKINGRRLAVHEQGKLEAKLSTVVPYTTMVSSVYAIFSKAYVEAATKMNMTRVESVAPFGLCFSSKGPLVPEIDLVLQSEMVKWRIQGRNSMVKVSEESMCLGFLDGGLEQSSPIVLGGLQMEDNLLEFDIGSSMLGFSSSLLLKETTCSSSLQDSTLKQFF >KJB62188 pep chromosome:Graimondii2_0_v6:9:59415680:59418596:-1 gene:B456_009G405500 transcript:KJB62188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIVCGTRTAVSNFDMQSKSLFYDISSRDNLHNNGFGLGSKSSYFFSMDSSKDANKPDSASGNSDGGFEMPVESSGSNHAPGVGCCHSNAAVKVQKVYRSYWTRRRIADSAVVAEEWWRVLDYARLNHSTIYFFNYSKAESVASRWNRVVLNASKVGKGLFKDAKAQKLAFRHWIEAIDPRHRYGHNLHIYYDEWCKSDAGQPFFYWLDIGEGKEIDLQECPRSKLRQQCIKYLGPQEREHYEYIVFEGKIIHKQTGNVLHTIKGSEGQKWIFVVSTSKKLYAGEKKKGMFHHSSFLAGGATFAAGRLVAEQGILKSISAYSGHYRPTDDSLENFLSFLKEKGVNLSEVEIRRASDGSDNYDNDKSGSGGTAVEVSVPIEPEINSEEKNVSPQSSETNQTRASYTYKRTLSGGLQSPTVEVPKKAILQRINSKKAVKSYQLGHRLSLKWSTGAGPRIGCIADYPVELRQEALEFVNLSPRTPHTPSPFMSPHTPSTLSSYRHLGDLPQATAPSTSNDH >KJB55927 pep chromosome:Graimondii2_0_v6:9:7312851:7316539:-1 gene:B456_009G101500 transcript:KJB55927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQNKSFWMAKGPPHVSDGDVAFDNPSRIEPKRSHGWFVDAESQLFPNKKQAVQAANNKSSSGISNSNVSPWENVSSFQSVPSHFIDRLFGSESERSVSFTERNISPIEADNIRRKGIEDHFGEDASFGLSISPSIEDPEACFNYGGIRKVKVNQVKDSDSGMHDPKELSFSRESNSDMSNIEAYNGENENSFISMGHSYDKQCDNVAVMSHTYNRDDMRITTPAYSKDNEIPISMANSYVKEDANILSFDGFHEEHEIIPVGRPLGSFDPSYCQSANPTLEDSSGKQLDTSAASSIPSATQTAKLRSESAARTKPEFKSSKKDAPNSFPSNVRSLISTGMLDGVPVKYVSLSRELCGVIKGSGYLCGCQSCNFSKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESLLFDTIQTVFGAPINQKSFRIWKESFQAATRELQRIYGKEELNL >KJB55926 pep chromosome:Graimondii2_0_v6:9:7314660:7316128:-1 gene:B456_009G101500 transcript:KJB55926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQNKSFWMAKGPPHVSDGDVAFDNPSRIEPKRSHGWFVDAESQLFPNKKQAVQAANNKSSSGISNSNVSPWENVSSFQSVPSHFIDRLFGSESERSVSFTERNISPIEADNIRRKGIEDHFGEDASFGLSISPSIEDPEACFNYGGIRKVKVNQVKDSDSGMHDPKELSFSRESNSDMSNIEAYNGENENSFISMGHSYDKQCDNVAVMSHTYNRDDMRITTPAYSKDNEIPISMANSYVKEDANILSFDGFHEEHEIIPVGRPLGSFDPSYCQSANPTLEDSSGKQLDTSAASSIPSATQTAKLRSESAARTKPEFKSSKKDAPNSFPSNVRSLISTGMLDGVPVKYVSLSREVTG >KJB55928 pep chromosome:Graimondii2_0_v6:9:7312851:7316747:-1 gene:B456_009G101500 transcript:KJB55928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQNKSFWMAKGPPHVSDGDVAFDNPSRIEPKRSHGWFVDAESQLFPNKKQAVQAANNKSSSGISNSNVSPWENVSSFQSVPSHFIDRLFGSESERSVSFTERNISPIEADNIRRKGIEDHFGEDASFGLSISPSIEDPEACFNYGGIRKVKVNQVKDSDSGMHDPKELSFSRESNSDMSNIEAYNGENENSFISMGHSYDKQCDNVAVMSHTYNRDDMRITTPAYSKDNEIPISMANSYVKEDANILSFDGFHEEHEIIPVGRPLGSFDPSYCQSANPTLEDSSGKQLDTSAASSIPSATQTAKLRSESAARTKPEFKSSKKDAPNSFPSNVRSLISTGMLDGVPVKYVSLSREELCGVIKGSGYLCGCQSCNFSKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESLLFDTIQTVFGAPINQKSFRIWKESFQAATRELQRIYGKEELNL >KJB62493 pep chromosome:Graimondii2_0_v6:9:65367785:65369292:-1 gene:B456_009G419500 transcript:KJB62493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSKPMSSPGRAEKYPPPLMRFLRSNVGSRSRGRSRTSPMFVRKKNTAIETQEPSSPKVTCMGQVRVRRSKQTASKSSRPGLPTRRRSRCKWIRNALFCHQLPGKVKAKPGFRCSWKKLGAFFHMGYCRKPQNGEDSSKFGIKTGDSVPEEEEEKSEENEKEAKNFASSSCSSPPKNALLLTRCRSAPYRSSSLACRFWGSPFANQDKNEEETEETKLESRGFKEEEENPSLTKVSLCRNSEQGTQMDSENLGFCKGIEEEKVVLKTEQVGDVRPLILTRCKSEPARTAERLNPEMNFWKKRKLGFT >KJB55783 pep chromosome:Graimondii2_0_v6:9:6976419:6977230:1 gene:B456_009G096100 transcript:KJB55783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRSFCFCIPGSKQEDSDSSSNKAKSHKKSDKSGKKEGNVDDADYQGAITTTTTASSDAGMAAAVAVGATTAHASAVE >KJB62290 pep chromosome:Graimondii2_0_v6:9:61671106:61672965:-1 gene:B456_009G410100 transcript:KJB62290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRQEKEQRAEAAARQAADELRDVNRERDYEERVAYKEEWDQSPPQQQQRPGVIGSMLRAVQDTFGHAKEAVVGNKGHEAEDFSGRGTEKTWEMKDKAGEYKDYATDKTKDAAERAKEATDATKEKASEYTDYAAHKAKETRDSTAQKAKESKDSVTGKASEYGDYVAQKAKESKDAATGKAADYAAYASQKAKETRDSASQKAKEAKNNITGKASEYKDYAAEKAKEAKDMTAEKAKEAAGKAREGTEYAAEKAKEGRDATVEKTKEYTDYTVDKAKEGKDTGVSKLGELKDSAADAARKAMGFLTGKTEETKHTASETADRTKEKLSETTESARQKMEEMKLKSNDGGRGVSERVVVKVEDTRPGAFASTLKASDQMSGQTFNDVGRVNDEGVYDRSDKTNL >KJB60550 pep chromosome:Graimondii2_0_v6:9:29112051:29116384:1 gene:B456_009G311900 transcript:KJB60550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04260) UniProtKB/Swiss-Prot;Acc:Q8VZT6] MTSQSFTLSFSPSLKTLINSNSSGDCLPCFSVSFSKKIPCSSFGFCLKRKILGFDEKINGFGGNFGIFSAWREEGQLEELDDAPLTVELQQICSESQFDRVIAEAQQLEESLIILWMASWCRKCIYLKPKLEKLAADFHPRLRFYCVDVNTVPHNLVARAGVTKMPTIQLWRDGKKQAEVIGGHKAYLVVNEVRQMIENECTA >KJB60552 pep chromosome:Graimondii2_0_v6:9:29112236:29116319:1 gene:B456_009G311900 transcript:KJB60552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04260) UniProtKB/Swiss-Prot;Acc:Q8VZT6] MTSQSFTLSFSPSLKTLINSNSSGDCLPCFSVSFSKKIPCSSFGFCLKRKILGFDEKINGFGGNFGIFSAWREEGQLEELDDAPLTVELQQICSESQFDRVIAEAQQLEESLIILWMASWCRKCIYLKPKLEKLAADFHPRLRFYCVDVNTVPHNLVARAGVTLWRDGKKQAEVIGGHKAYLVVNEVRQMIENECTA >KJB60551 pep chromosome:Graimondii2_0_v6:9:29112289:29115031:1 gene:B456_009G311900 transcript:KJB60551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04260) UniProtKB/Swiss-Prot;Acc:Q8VZT6] MTSQSFTLSFSPSLKTLINSNSSGDCLPCFSVSFSKKIPCSSFGFCLKRKILGFDEKINGFGGNFGIFSAWREEGQLEELDDAPLTVELQQICSESQFDRVIAEAQQLEESLIILWMASWCRKCIYLKPKLEKLAADFHPRLRFYCVDVNTVPHNLVARAGVTVSVT >KJB60860 pep chromosome:Graimondii2_0_v6:9:33058665:33059219:-1 gene:B456_009G328500 transcript:KJB60860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYISAKRKGIHITNLTRTAHFLLEACDLVFDAAIQGLENKTKMGGLKCLLKRDATMLKRQLSRLQTYFGGIKYMTRLSNIVIIVDQQEKYTTLREFITLGIPTICLIDTNSDPNIVDFRFQQMMTLQLQFD >KJB59454 pep chromosome:Graimondii2_0_v6:9:21127792:21128385:-1 gene:B456_009G256200 transcript:KJB59454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLNQLYFVLVNFLSIFSLSSLPITAIIPKANVSLSVPPSQLVENLCNGKAVQNRRFCLKALSTPKVIAAMDKTQLGTLIMKLRAANAKAMLNVYNEIIKKPGSLQALKALNCCVEAYKYAIFSFEMVSTELVEEPQTANYDVAVIGPEVGNCEKELINGKVRAPQLLARNQFMKYYVSMGYEITSTLELENPNYY >KJB61883 pep chromosome:Graimondii2_0_v6:9:52609427:52609910:1 gene:B456_009G388300 transcript:KJB61883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWAMGSSSSTFLLFLYSFLLSLATLTLSQLHDVYFERNCSDTNGNFTPNSAYQTNLNAIISQLPTLAHFNYGFFNLSAGGSPDKVYSSALCRSDLTQDRCYSCLNYTATELERLCPRIKTAIAWSELCLVRLYTLDEW >KJB54627 pep chromosome:Graimondii2_0_v6:9:3085214:3088669:-1 gene:B456_009G042200 transcript:KJB54627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFKAKQPSSGAAEKKKPRMSNAERSAYFSRREAAKVLRSVLQGDAHRRAVASIKSLVYSPSIKNKKATFALVCQTLKHLPIIKDVLEGANILNSRWKRQVELVYIITYDILFGQEISFVGEAEKFLLQRKVSLQSALARLLVRKKMKRIEDLLDHYQTPDVSKPRYVRVNTLKLDVDSALIELRKQYMVEKDDLVPDLLKLPPKCDLHDHPLVMNGSVFMQGKASSMVAAALDPEPGWEVLDACAAPGNKTVHLAALMRGKGKVIACELNKERIKRLADTVRLSGACNIEVFHSDFLSLDPKDPPYSKVRAILLDPSCSGSGTVAERLDHLLPSHAAGQSANVDETERLTKLAAFQKKALTHALCC >KJB54630 pep chromosome:Graimondii2_0_v6:9:3085616:3088650:-1 gene:B456_009G042200 transcript:KJB54630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFKAKQPSSGAAEKKKPRMSNAERSAYFSRREAAKVLRSVLQGDAHRRAVASIKSLVYSPSIKNKKATFALVCQTLKHLPIIKDVLEGANILNSRWKRQVELVYIITYDILFGQEISFVGEAEKFLLQRKVSLQSALARLLVRKKMKRIEDLLDHYQTPDVSKPRYVRVNTLKLDVDSALIELRKQYMVEKDDLVPDLLKLPPKCDLHDHPLVMNGSVFMQGKASSMVAAALDPEPGWEVLDACAAPGNKTVHLAALMRGKGKVIACELNKERIKRLADTVRLSGACNIEVFHSDFLSLDPKDPPYSKVRAILLDPSCSGSGTVAERLDHLLPSHAAGNYVHLCSVVIASFLSFF >KJB54628 pep chromosome:Graimondii2_0_v6:9:3084572:3088669:-1 gene:B456_009G042200 transcript:KJB54628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFKAKQPSSGAAEKKKPRMSNAERSAYFSRREAAKVLRSVLQGDAHRRAVASIKSLVYSPSIKNKKATFALVCQTLKHLPIIKDVLEGANILNSRWKRQVELVYIITYDILFGQEISFVGEAEKFLLQRKVSLQSALARLLVRKKMKRIEDLLDHYQTPDVSKPRYVRVNTLKLDVDSALIELRKQYMVEKDDLVPDLLKLPPKCDLHDHPLVMNGSVFMQGKASSMVAAALDPEPGWEVLDACAAPGNKTVHLAALMRGKGKVIACELNKERIKRLADTVRLSGACNIEVFHSDFLSLDPKDPPYSKVRAILLDPSCSGSGTVAERLDHLLPSHAAGQSANVDETERLTKLAAFQKKALTHALCFPQVERIVYSTCSIHQIENEDVVKSILPLAASHGFRLATPFPQWHRRGLPVLEGCKLMIYPHNIHVCDWLLFGHWYLVCIN >KJB54626 pep chromosome:Graimondii2_0_v6:9:3084487:3088759:-1 gene:B456_009G042200 transcript:KJB54626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFKAKQPSSGAAEKKKPRMSNAERSAYFSRREAAKVLRSVLQGDAHRRAVASIKSLVYSPSIKNKKATFALVCQTLKHLPIIKDVLEGANILNSRWKRQVELVYIITYDILFGQEISFVGEAEKFLLQRKVSLQSALARLLVRKKMKRIEDLLDHYQTPDVSKPRYVRVNTLKLDVDSALIELRKQYMVEKDDLVPDLLKLPPKCDLHDHPLVMNGSVFMQGKASSMVAAALDPEPGWEVLDACAAPGNKTVHLAALMRGKGKVIACELNKERIKRLADTVRLSGACNIEVFHSDFLSLDPKDPPYSKVRAILLDPSCSGSGTVAERLDHLLPSHAAGQSANVDETERLTKLAAFQKKALTHALCFPQVERIVYSTCSIHQIENEDVVKSILPLAASHGFRLATPFPQWHRRGLPVLEGFEHLLRTDPGEDKEGFFIALFIREEGSVIHPSLSPDSSHLSLVERTKKKNLSKKEARIIMPTLFGGMFKMFLYSKPSFRVNLK >KJB54629 pep chromosome:Graimondii2_0_v6:9:3084572:3088669:-1 gene:B456_009G042200 transcript:KJB54629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFKAKQPSSGAAEKKKPRMSNAERSAYFSRREAAKVLRSVLQGDAHRRAVASIKSLVYSPSIKNKKATFALVCQTLKHLPIIKDVLEGANILNSRWKRQVELVYIITYDILFGQEISFVGEAEKFLLQRKVSLQSALARLLVRKKMKRIEDLLDHYQTPDVSKPRYVRVNTLKLDVDSALIELRKQYMVEKDDLVPDLLKLPPKCDLHDHPLVMNGSVFMQVTSSMVAAALDPEPGWEVLDACAAPGNKTVHLAALMRGKGKVIACELNKERIKRLADTVRLSGACNIEVFHSDFLSLDPKDPPYSKVRAILLDPSCSGSGTVAERLDHLLPSHAAGQSANVDETERLTKLAAFQKKALTHALCFPQVERIVYSTCSIHQIENEDVVKSILPLAASHGFRLATPFPQWHRRGLPVLEGFEHLLRTDPGEDKEGFFIALFIREEGSVIHPSLSPDSSHLSLVERTKKKNLSKKEARIIMPTLFGGMFKMFLYSKPSFRVNLK >KJB57413 pep chromosome:Graimondii2_0_v6:9:12487272:12488959:1 gene:B456_009G162500 transcript:KJB57413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMASHEGQGIKLFGATITLHAGRQVKEEHKEDDHSKADKRPDKIIPCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKAKPVPGRGLAGFQEGYLFDGSSGVHVHQFELEGMVLDEWHSAAANGGFRQVFPMKRRRISCSGDHGDSCGLSVDQNQPTSEESMIQFH >KJB57811 pep chromosome:Graimondii2_0_v6:9:13971660:13974117:-1 gene:B456_009G181700 transcript:KJB57811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNPSFPQPDLISADELFVNGVLRPLHLLASKQPEENPLTEQNPPAASEPSVPDPEPEAGPRITSQSLPVLSASKRWRDIFKKEKGKNGSKNQQDKDKEKEKEKKKEKKNQSQSGGSPAELNINIWPFSRSRSAGTSGTRPRMTAGTRKVSSAPCSRSNSAGESKSRKWPSSPSRAGVHLGRSSPVWQVRRGGSAVKSSDVMARTAEKGSGRKEVTETRRGKIGNSGNNNSNKARVLNLNVPMCIGYKHHLNCRIDNNSATVAGIGTDPNGGSGGNGRTNGPNVGTGDRSWIARSSNELVLALVQG >KJB59476 pep chromosome:Graimondii2_0_v6:9:21287063:21288671:-1 gene:B456_009G258300 transcript:KJB59476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMATSISCLKIPNPSSASPPCSSSTSSSTSCRLSVPPKPYIVTIRSSQTEGPLRRPVAPSPPPPLKPVPPSSPSASPPPQPTPPSFSSPPSPPPSAAGVGDQNVITLEFQRQKAKELQEYFKQKKLEESSQGPFFGFLGKNEIANGRWAMFGFAVGMLTEYATGSDFVDQVKIMLSNFGIIDLE >KJB61886 pep chromosome:Graimondii2_0_v6:9:52619507:52630812:1 gene:B456_009G3885002 transcript:KJB61886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSGRQYGYFSGGDKVPNNPPSRSYSAPKSKRLRFKTHHVFTTEADDVFMVEGNLIFRTSSYYERSISSGSSYVRGTLDFDFRGFWSRTTGKLCMVGSSHAYSKEGKLLHLAAVLKINNLQTSSNISTLVTGTMDSLSSANDPNHFEQISLLMFPRVNYAYTMISNQFSEGCPGGIDVQPMSSLRLSQTRTICDMLGGSNAFKLEYTGSCNFSKSCNPFGDGIGSVVSLRMIQCSNDRQSLRLLIEFRNDSYQGYYSSPNLNTSLIGEGSWDAKSNRLCIIACRIYDAWSSLEKSHVGDCTTRLSLRFPAILSIRNTSTIVGEIWSEKPRNEGGFFDRVEFRNTGRYGGRIQLQGLKYVYTEMAEVKKSCPKKNPKTKSSREHYPDGYSGNLGFRMSIIKGSKGRIGWGSSDPLAVGDQQDQRFPSLIPSSSSKPKSSGVESNSSSGLLNISYKMSIMLRSSELDGGLNTVNESSNEYLKTEIRISAEGVYDTATGSLCMVGCRHLRSGDKTFSSHSMDCKILVKINFPPLNSDRRSTIKGSIESTREEIDPLSFKPLQFSGRAYYRSWVTESIWRMDFEMDMLVISNSLAIIFVAFQILHVRKHRGIGPFVSLLMLVILALGHLIPLVLNLEAMFIQDSKRSVLIRGGSRLEMNKVIIRVVTMVAFLLQVRLLMLSWTARCSTEKKKTLWIAEKRGLYVCVPVYVIGAIIAFSIKSRQNVHLTWYYIDEIILGSSRAYAGLVLDAFLFPQIIFNMFQNLREPALSHFFYIGITLVRLVPHGYDLYRANNYADIDDSYIYGDHGADYYSTAWDFIIIVLGIFFAVIIHYQQRLGGRYFLPKRFQESVIDEEFPVDSEEQLPLRYNTYLVFGTASEFDYGVHCNSVVHESKPVDEEFNIMPFPGRQYGYFSGGDKVLNNPPSRSYSAPKSKTLLFETHHVFTTEADDVFMVEGNLIFQTSFYYERSISSGSSHFIIRSSDSSDRGTLDFDFRGFWSRTTGKLCMVGSSYAYSKEGKLLHLAAVLKINNLQSSSNVSTLVTGTMDSLSSANDPNHFEQISLLMFPQVNYAYTMISNQFSKGCPRGTDVQPMSSLRLSQTRTICDMLGGSNAFELEYTGSCNSSKSCNPFGDGIGYLPSVISLSMIQCSNDRQSLRFLIEFRNDSYQGYYSSPNLNTSLIGEGSWDAKSNRLCIIACRIYDASSSLEKSHVGDCTTRLSLRFPAILSIRNPSTIVGEIWSEKPRNDGGFFDMIEFRNTAPYGGIQLQGLKYVYTEMDEVKKSCPKKNSRTNSSMEHYPDAYSGDMSFSMSIINGSKGSIGWGYSNILAVDDQQYQRFPSLLPSSSSKPKSSGVESDSSSGLLNVSYKISIPPLSLELDGGLKPVNQSSYEYLQTEIQISAEGVYDTTTGSLCMVGCRRSRLDSKSFSSLSIDCDILVQVNFLPLNSRKINNIKGSIESTRENTDSLYFKPLQILGTTNSRSWGAESIWRMDFEMIVSVVSNTLAIIFVAFQIFHVRKHRGIGPMVSLLMLVILALGHFIPLVLNLEATFIQDSERSILIRGGTWLEMNEVIIRVVTMVAFLLQ >KJB59506 pep chromosome:Graimondii2_0_v6:9:21346249:21348298:-1 gene:B456_009G258900 transcript:KJB59506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TASTLSIGPSYAVKYYIFLLRARVTEEGEEGIEKGVLATIGFIAGQLMMFISIYYVPLHLALGKPHTITVLALPYLLFRFFWNNHKDFFDYRRPTSNSMRNLSVQFNTYMFRCNNNMLFVTSSFVGWLIGHILLMKWVGLVLVWIQENNLM >KJB61511 pep chromosome:Graimondii2_0_v6:9:48027651:48029940:1 gene:B456_009G362900 transcript:KJB61511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQASIDGIVSRRSANYHPPIWDYDYVQSLRSDFVQDESYKERARKLKEEVRMMLGNVVDPLEKLELIDTLQRLGLSYHFEAEINKSSKNTSTDRISTVAWKKDNLYVTALELRLLRQHGYKVDQDVFTCFMNDVGNIKSSLNQDFKGLLNLYEASYLLLEGEIVLGNARELVVKLLEQFLKENPDHQYLWMLVDHALELPLHWRMPRLEARWFIDVWWKELGLGERFNFARDRLMENFLLSVGMIITPQDGKSRRIQTKINALITVIDDVYDVYGTLDELGLFTDSLPNYMKIYYHALYNSINEMAFDSLKEQGIDVIPFLKKLWTNLCKSYLLEAIWYYIGYTPTLQEYIDNAWISIAGSVILAHSYLITDHITEKGLHNIQEGYYDIIYRSSIIVRLANDLTTSLYELKRCDVPKSIQCYMYESRASEEEARKHIRKLIDTTSKKINENKMAKSRFSSTFIEIVINLARFSLLIYQNGDGLGNKTKDIVLSIFVHPIFLPNF >KJB59639 pep chromosome:Graimondii2_0_v6:9:21928852:21929445:-1 gene:B456_009G264900 transcript:KJB59639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNHYCFFSTIFLFLFLLSFSPTSAILPKVNVRLPVTPSKLVKRVCKGDTIDRNFCLQVLTTPEAVAVKDPTKLGTLIMQLGAANGKATLDAYNEMIKKPGSPQTLKALNSCVEAYKYAIQSFKMVSTELVDDPMTANYDVSILAPEITNCEKALTDAKVEAPRLYDGNRFIKYYIAMGSRITSILEDQSKQNNY >KJB57107 pep chromosome:Graimondii2_0_v6:9:11469497:11471147:-1 gene:B456_009G150800 transcript:KJB57107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAPHMNPFPPQLITNSSGFMKTNQGSGNICSGQVDYCIPLVDSMKQDSQFFPLYQSLVCDPISAKTSINKADSGLTYNMNIQVSATRKRSRDSFINGFDSYTVSQKNKLCGVSSVLDDDVFSQIQQQQQEIERFIAEHTEKVRFELEERRKRQSTMLITAIQEGAMKKLMEKEEEMQRMGKLNWILQERVKSLYVENQLWRDLAQTNEATAKSLRTNLEQVLAHVSDERHVSGGGAAALADDAESSCGSSNEGWRTVVPPQPQGSGGCAPDKAVVVGNHNIRKCRKCGERESSVLLLPCRHLCLCTVCGSTLVGTCPVCDSITNASVHVNMS >KJB57108 pep chromosome:Graimondii2_0_v6:9:11469656:11470751:-1 gene:B456_009G150800 transcript:KJB57108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNQGSGNICSGQVDYCIPLVDSMKQDSQFFPLYQSLVCDPISAKTSINKADSGLTYNMNIQVSATRKRSRDSFINGFDSYTVSQKNKLCGVSSVLDDDVFSQIQQQQQEIERFIAEHTEKVRFELEERRKRQSTMLITAIQEGAMKKLMEKEEEMQRMGKLNWILQERVKSLYVENQLWRDLAQTNEATAKSLRTNLEQVLAHVSDERHVSGGGAAALADDAESSCGSSNEGWRTVVPPQPQGSGGCAPDKAVVVGNHNIRKCRKCGERESSVLLLPCRHLCLCTVCGSTLVGTCPVCDSITNASVHVNMS >KJB57106 pep chromosome:Graimondii2_0_v6:9:11468914:11471226:-1 gene:B456_009G150800 transcript:KJB57106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAPHMNPFPPQLITNSGFMKTNQGSGNICSGQVDYCIPLVDSMKQDSQFFPLYQSLVCDPISAKTSINKADSGLTYNMNIQVSATRKRSRDSFINGFDSYTVSQKNKLCGVSSVLDDDVFSQIQQQQQEIERFIAEHTEKVRFELEERRKRQSTMLITAIQEGAMKKLMEKEEEMQRMGKLNWILQERVKSLYVENQLWRDLAQTNEATAKSLRTNLEQVLAHVSDERHVSGGGAAALADDAESSCGSSNEGWRTVVPPQPQGSGGCAPDKAVVVGNHNIRKCRKCGERESSVLLLPCRHLCLCTVCGSTLVGTCPVCDSITNASVHVNMS >KJB55132 pep chromosome:Graimondii2_0_v6:9:4599586:4601450:1 gene:B456_009G064700 transcript:KJB55132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKISIFLPTSNLCNLLVLVFFCFAACPSLGRLSFNFYATSCPAAELMVSNTVRAASSNDPTIPGKLLRLLFHDCFVEGCDASVLLQGNGTERSDPANTSLGGFSVIDSAKRVLEIFCPGTVSCADIIALAARDAVAIAGGPAFQIPSGRKDGRISNSANVRPNIVDTSFTMDEMIKLFNSKGLSLDDLVTLSGAHTIGLAHCSAFSDRFQQDSKGKLRLVDTSLDITYAKELIRKCPAGGSSTSNTVSNDPETSFAFDNQYYGNLLAHKGLFQSDSILLEDGRTRKQVEEFANNEERFFRSWGESFLKLTTIEVKTDNEGEIRQSCSFTN >KJB55133 pep chromosome:Graimondii2_0_v6:9:4599708:4601443:1 gene:B456_009G064700 transcript:KJB55133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKISIFLPTSNLCNLLVLVFFCFAACPSLGRLSFNFYATSCPAAELMVSNTVRAASSNDPTIPGKLLRLLFHDCFVEGCDASVLLQGNGTERSDPANTSLGGFSVIDSAKRVLEIFCPGTVSCADIIALAARDAVAIQAGGPAFQIPSGRKDGRISNSANVRPNIVDTSFTMDEMIKLFNSKGLSLDDLVTLSGAHTIGLAHCSAFSDRFQQDSKGKLRLVDTSLDITYAKELIRKCPAGGSSTSNTVSNDPETSFAFDNQYYGNLLAHKGLFQSDSILLEDGRTRKQVEEFANNEERFFRSWGESFLKLTTIEVKTDNEGEIRQSCSFTN >KJB61969 pep chromosome:Graimondii2_0_v6:9:54564144:54564762:1 gene:B456_009G394900 transcript:KJB61969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVSSPILVHGFSWLYGSCGGEIELQEIVDDLINTQMYNSLGISIALIFITVGIGFKLSPAPSHQWTPNMLHNWFSSFRDYKCNRSIRQQKDHPKMIISWLLRMNQIR >KJB61051 pep chromosome:Graimondii2_0_v6:9:47431539:47432473:1 gene:B456_009G360400 transcript:KJB61051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLSPFLLILLLSSLFHHSALPISELQDFGTEEAKESSMGTYDYQHVPFVGVEDDAHKLQRKVLHEVHSGPNPISNSVPQQRLKTKLRKILP >KJB59869 pep chromosome:Graimondii2_0_v6:9:23335256:23338672:-1 gene:B456_009G277800 transcript:KJB59869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQKSSSIRNLLQSLRAASFSSMIANDHRFTSHAYSSATTQPSGYCGDDEDADVNWDKLGFGLTQTDYMYVMSCCKDQSFLKGRLCRYANIELSPSAGVLNYGQGVYEGMKANRTQDGRILLFRADQNAGRMRHGAERMCMPSPSIDQFIDAVKETVSANKRWIPPPGKGSLYVRPMLLGTGPILGLAPAPEYTFLVYVSPVGYYFKEGTAPLNLYIEEEYVRASPGGAGGVKSITNYAPVMKAIAKAKDRGFSDVLYLDAINKKYLEEVSSCNIFIVKGNVISTPATNGTILEGVTRKSIIEIAKDHGYQVEERPVAVDELVDADEVFCTGTAVGVALVGSITYRNKRIEFRSEGRLVCQQLYSTLVGLQTGRIEDKKGWTLEIN >KJB59868 pep chromosome:Graimondii2_0_v6:9:23335256:23337232:-1 gene:B456_009G277800 transcript:KJB59868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVMSCCKDQSFLKGRLCRYANIELSPSAGVLNYGQGVYEGMKANRTQDGRILLFRADQNAGRMRHGAERMCMPSPSIDQFIDAVKETVSANKRWIPPPGKGSLYVRPMLLGTGPILGLAPAPEYTFLVYVSPVGYYFKEGTAPLNLYIEEEYVRASPGGAGGVKSITNYAPVMKAIAKAKDRGFSDVLYLDAINKKYLEEVSSCNIFIVKGNVISTPATNGTILEGVTRKSIIEIAKDHGYQVEERPVAVDELVDADEVFCTGTAVGVALVGSITYRNKRIEFRSEGRLVCQQLYSTLVGLQTGRIEDKKGWTLEIN >KJB56481 pep chromosome:Graimondii2_0_v6:9:9000802:9003001:-1 gene:B456_009G121200 transcript:KJB56481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKVDFTVTVSKEEIVAAVLPLQQHWLPLSNLDLLLPPVDVGVFFCYKKPEGSIVSFTSMASVLKKAMAQALVSYYAFAGEVVANTVGEPELLCNNRGVDFVEAYADVELRDLDLHNPDESIEGRLVPEKKHGVLSVQATELRCGGIVVACTFDHRIADAYSANMFLVSWAEMARSKSISLVPSFRRSLVNPRRPGCIDPSLDHMYVPISSLPPPKQHYQPTDHLISRIYYITSEQLDELQSLACTNGYKRTKLESFSAFLWKMIAVLAAQDGFKSTKMGIVVDGRARLGEGDEEKASSMSCYFGNVLSIPFGGQRVSELMEKPLWWVANQVHDFLEQAVTKEHFLGLIDWVEAHRPEPALAKIYSNGSEEGPAFVVSSGQRFPVSKVDFGWGKPLFGSYHFPWGGDSGYVMPMPSPAREGDWVVYMHLFKRQVELIEAEASGVLRPLTSDYLELSNYQL >KJB54808 pep chromosome:Graimondii2_0_v6:9:3612788:3615698:1 gene:B456_009G049900 transcript:KJB54808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLTRAVGAILHRFTHGVPTTSLIVSRNDLLKSSRQLLLSSASSISSSPSVLYQVNTDSNEHQFRSISTFPPLCMGRRSSKIAGRKGAQDAKKAKLYSRIGKEVVSAVKKSGPNPSSNTVLAAVLDKAKELDVPKEILERNIKRASEKGQEAYIEKVYEVYGYGGVSMVVEVLTDKITRSVAAVREVVKDCGGKMADPGSVMFKFRRVRVVNIKVTDADKDQLLNIALDAGAEDVIEPPTYEDDTDEDRSESYYKIVSSAENYATILSKLRDEGINFETDNGSELLPLTTIEVDDEAMDLNKELTSQLLELDDVDAVYTDQK >KJB58328 pep chromosome:Graimondii2_0_v6:9:15841679:15844462:1 gene:B456_009G204700 transcript:KJB58328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAAAPPPDISFKCTNMDELFTCFVKITSGSPLPNNVIDHVNPYGIDPKNLPEGVWFLINSKEEVDTEGGEWKTKEEGREVFSDSEMIGWRTSLEYYEGREPRQRKTEWVMEMFNVTVKRMCDEKEKHETSSLCRVSLVPSHEMVENGTGNHLIPHLDLDAHSSSRNPQHEETEALAVAERNEGENLFGTDSGGYDIGFFAGGNFLELKDLDNPASPSSSSDNSSAISISSGECFDSLAILQELDEQILEQKDSGKKLDVLAGDKPNELDMVPATLAGCLVSVEGSNSSSEDDESFKTGASGDPNNQVAKRAKVEEGPSSSRKPSESHGGRRKGGSLGGMLRKKYFCFMPF >KJB58326 pep chromosome:Graimondii2_0_v6:9:15841669:15844508:1 gene:B456_009G204700 transcript:KJB58326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAAAPPPDISFKCTNMDELFTCFVKITSGSPLPNNVIDHVNPYGIDPKNLPEGVWFLINSKEEVDTEGGEWKTKEEGREVFSDSEMIGWRTSLEYYEGREPRQRKTEWVMEMFNVTVKRMCDEKEKHETSSLCRVSLVPSHEMVENGTGNHLIPHLDLDAHSSSRNPQHEETEALAVAERNEGENLFGTDSGGYDIGFFAGGNFLELKDLDNPASPSSSSDNSSAISISSGECFDSLAILQELDEQILEQKDSGKKLDVLAGDKPNELDMVPATLGCLVSVEGSNSSSEDDESFKTGASGDPNNQVAKRAKVEEGPSSSRKPSESHGGRRKGGSLGGMLRKKYFCFMPF >KJB58327 pep chromosome:Graimondii2_0_v6:9:15842426:15843900:1 gene:B456_009G204700 transcript:KJB58327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAAAPPPDISFKCTNMDELFTCFVKITSGSPLPNNVIDHVNPYGIDPKNLPEGVWFLINSKEEVDTEGGEWKTKEEGREVFSDSEMIGWRTSLEYYEGREPRQRKTEWVMEMFNVTVKRMCDEKEKHETSSLCRVSLVPSHEMVENGTGNHLIPHLDLDAHSSSRNPQHEETEALAVAERNEGENLFGTDSGGYDIGFFAGGNFLELKDLDNPASPSSSSDNSSAISISSGECFDSLAILQELDEQILEQKDSGKKLDVLAGDKPNELDMVPATLGTSFFLCPGTSHCSSLLVALL >KJB58530 pep chromosome:Graimondii2_0_v6:9:16595503:16598567:-1 gene:B456_009G213800 transcript:KJB58530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLYGGAPYSNPETEEISTILNQLLHNSSSSSSSSSSCMQFKGKNIHSFPSPVPGISTPAANSGAGMGIPVMDRYRLGGLAVRIESEPRVNISDPETYFGANVKDSADNALSSACDFSYDSEKVPDASEVPSNQERPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLYPMCLPGVPQPMQMPPTGLGYDEGHGFFSPNIGAGTFSSNEESSMNTPFNLSNPCTISNLPVVAPSVANMSNLEASIGFESPAGAHYGSFTHSTSSKEICKEGRSQIELEMNHGGNSSSSGVS >KJB58529 pep chromosome:Graimondii2_0_v6:9:16594993:16598840:-1 gene:B456_009G213800 transcript:KJB58529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLYGGAPYSNPETEEISTILNQLLHNSSSSSSSSSSCMQFKGKNIHSFPSPVPGISTPAANSGAGMGIPVMDRYRLGGLAVRIESEPRVNISDPETYFGANVKDSADNALSSACDFSYDSEVPDASEVPSNQERPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLYPMCLPGVPQPMQMPPTGLGYDEGHGFFSPNIGAGTFSSNEESSMNTPFNLSNPCTISNLPVVAPSVANMSNLEASIGFESPAGAHYGSFTHSTSSKEICKEGRSQIELEMNHGGNSSSSGVS >KJB63074 pep chromosome:Graimondii2_0_v6:9:70265309:70268005:-1 gene:B456_009G451800 transcript:KJB63074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPAKADAHGKPGADPSKPQSKKWLCCVQAPPAES >KJB63075 pep chromosome:Graimondii2_0_v6:9:70265464:70267947:-1 gene:B456_009G451800 transcript:KJB63075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPAKADAHGKPGADPSKPQSKWLCCVQAPPAES >KJB58752 pep chromosome:Graimondii2_0_v6:9:17620555:17629835:1 gene:B456_009G225500 transcript:KJB58752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPEVNRQQARGRPTASSTRPPVRARIRLRQLLRVTSVACGIQFGWALQLSLLTPYVQELGIPHQWASIIWLCGPLSGLLVQPLVGHMSDRCTSRLGRRRPFIVAGAVSIIVAVLIIGHSADIGWLFGDTEASRPRAIVAFVFGFWILDVANNVTQGPCRALLADLTGKDHRRTRVANAYFSLFMAVGNVLGYATGSYSGWFKVLPFTMTAACGVDCANLKSAFFLDVIFIAVTTYVSVLAAKEVPLGSVEMSTASHEERPEHSGGNAEEAFLWELFGTFRYFSGTIWIILFVTALNWIGWFPFLLFDTDWMGREIYGGQPNEGANYNSGVRMGAVGLMLNSVVLGITSVLMEKLCSKWGAGFIWGVSNIVMALCFLSMLILSYVTDHMDYIGHDLPPAGIVIAALLIFSILGFPLAVTYSVPYALISTRIESLGLGQGLSMGVLNLAIVIPQVVVSMGSGPWDELFGGGNSPAFAVAGVASLTSGLIAILAIPRSSPQKIRALP >KJB58753 pep chromosome:Graimondii2_0_v6:9:17620797:17627415:1 gene:B456_009G225500 transcript:KJB58753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPEVNRQQARGRPTASSTRPPVRARIRLRQLLRVTSVACGIQFGWALQLSLLTPYVQELGIPHQWASIIWLCGPLSGLLVQPLVGHMSDRCTSRLGRRRPFIVAGAVSIIVAVLIIGHSADIGWLFGDTEASRPRAIVAFVFGFWILDVANNVTQGPCRALLADLTGKDHRRTRVANAYFSLFMAVGNVLGYATGSYSGWFKVLPFTMTAACGVDCANLKSAFFLDVIFIAVTTYVSVLAAKEVPLGSVEMSTASHEERPEHSGGNAEEAFLWELFGTFRYFSGTIWIILFVTALNWIGWFPFLLFDTDWMGREIYGGQPNEGANYNSGVRMGAVGLMLNSVVLGITSVLMEKLCSKWGAGFIWGVSNIVMALCFLSMLILSYVTDHMDYIGHDLPPAGIVIAALLIFSILGFPLAVSCLLFPTENHLKF >KJB53971 pep chromosome:Graimondii2_0_v6:9:1145495:1148543:1 gene:B456_009G014200 transcript:KJB53971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDSFSPVEQSIWVCSVMEALLAETLVLAGKSLACLLMLTGSVLNYKNASLNLTAVDERFPFDELLKMERPGPENKDASDTEDDDEDEDEDNADDQDDDGGDEDFSGEEGEEGDPEDDPEANGDGGSDEEDDDDDDDDGDEDDDDDDDGEDEEEEDEEDEDDEVPQPPSKKRK >KJB53970 pep chromosome:Graimondii2_0_v6:9:1145768:1148470:1 gene:B456_009G014200 transcript:KJB53970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDSFSPVEQSIWVCSVMEALLAETLVLAGKSLACLLMLTGSVLNYKNASLNLTAVDERFPFDELLKMERPGPENKDASDTEDDDEDEDEDNADDQDDDGGDEDFSGEEGEEGDPEDDPEANGDGGSDEEDDDDDDDDGDEDDDDDDDGEDEEEEDEEDEDDEVPQPPSKKRK >KJB54767 pep chromosome:Graimondii2_0_v6:9:3491478:3494809:-1 gene:B456_009G048200 transcript:KJB54767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELSLSFLFLLFISTAFKVTSQDINAERDVLLNFKQQLGNPPFLQSWNSSSSPCDWPEINCTANSVTEVHLRDKNITTPIPSTICDLKNLTFLDLAFNYIPGEFPALYNCSKLQTLDLSQNYFVGPIPDEIDRLSALVYLDVGANNFSGNIPPSIGRLPELQTLYMYQTQFNGTFPKEIGNLSNLEVLGLAYNDFTPMKIPQEFGQLTKLSFLWMTFTNLIGEIPESFNNLTNLQHLDLARNNLEGPIPSRLFSLKNLTHVYLFKNKLSGEIPKPVEALNLKEIDLSMNTLTGSIPEDFGKLQYLDFLSLFSNRLTGELPTSIGLLPALRDFRVFHNNLTGIFPPEFGLHSKLEGFEVSENQFSGQLPENLCAGGVLQGVVAHTNQLSGQVPKSLGNCPTLRTFQLQNNNFSGEIPQGIWTTFNLSSLMLSNNSFSGKLPSQLAWNLSRVEISDNKFSGEIPVTVATWTNLVVFQVSNNLFSGKIPKEITYLSDLTTLLLDGNDFSGELPSEIISWRSLTTLDASNNKLSGEIPAAIGSLPNLLNLDLSENQFSGGIPPGIGNMRLTSLNLSSNQLVGRIPSQLDNLAYNNSFLNNAGLCADNSIIKLPDCSSEHRHSKRLSSGYLAIILTISIFVSIAILVLSFFIVRDYRRKKRRQNLATWKLTSFQRLDFSEGNILTNLTDNNLIGSGGSGKVYRIVVNRNNEFVAVKKIWNNSKLLVYEYMENQSLDKWLHGNKRSSMSRMGSVLDWPTRLQIAVGAAQGLCYMHHECPTPIIHRDVKSSNILLDSEFKAKIADFGLAKMLTRHASSHTMSVVAGSFGYLAPEHAYTTKVNAKIDVYSFGVVLLELVTGREANSTDQNMSLVQWAWQHFSEDKPVVEILDPEIRESPYLEEIKMVYKVGIVCTRASPSTRPSMKEVLHVLRSCCPEDGKGAKKKVSDIDVAPLLGTATYLYSYKNSKKVSNEDDSMIYNV >KJB54766 pep chromosome:Graimondii2_0_v6:9:3491430:3495023:-1 gene:B456_009G048200 transcript:KJB54766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELSLSFLFLLFISTAFKVTSQDINAERDVLLNFKQQLGNPPFLQSWNSSSSPCDWPEINCTANSVTEVHLRDKNITTPIPSTICDLKNLTFLDLAFNYIPGEFPALYNCSKLQTLDLSQNYFVGPIPDEIDRLSALVYLDVGANNFSGNIPPSIGRLPELQTLYMYQTQFNGTFPKEIGNLSNLEVLGLAYNDFTPMKIPQEFGQLTKLSFLWMTFTNLIGEIPESFNNLTNLQHLDLARNNLEGPIPSRLFSLKNLTHVYLFKNKLSGEIPKPVEALNLKEIDLSMNTLTGSIPEDFGKLQYLDFLSLFSNRLTGELPTSIGLLPALRDFRVFHNNLTGIFPPEFGLHSKLEGFEVSENQFSGQLPENLCAGGVLQGVVAHTNQLSGQVPKSLGNCPTLRTFQLQNNNFSGEIPQGIWTTFNLSSLMLSNNSFSGKLPSQLAWNLSRVEISDNKFSGEIPVTVATWTNLVVFQVSNNLFSGKIPKEITYLSDLTTLLLDGNDFSGELPSEIISWRSLTTLDASNNKLSGEIPAAIGSLPNLLNLDLSENQFSGGIPPGIGNMRLTSLNLSSNQLVGRIPSQLDNLAYNNSFLNNAGLCADNSIIKLPDCSSEHRHSKRLSSGYLAIILTISIFVSIAILVLSFFIVRDYRRKKRRQNLATWKLTSFQRLDFSEGNILTNLTDNNLIGSGGSGKVYRIVVNRNNEFVAVKKIWNSKKLDYKLEKEFLAEVEILGSIRHSNIVKLLCCISSEDSKLLVYEYMENQSLDKWLHGNKRSSMSRMGSVLDWPTRLQIAVGAAQGLCYMHHECPTPIIHRDVKSSNILLDSEFKAKIADFGLAKMLTRHASSHTMSVVAGSFGYLAPEHAYTTKVNAKIDVYSFGVVLLELVTGREANSTDQNMSLVQWAWQHFSEDKPVVEILDPEIRESPYLEEIKMVYKVGIVCTRASPSTRPSMKEVLHVLRSCCPEDGKGAKKKVSDIDVAPLLGTATYLYSYKNSKKVSNEDDSMIYNV >KJB54845 pep chromosome:Graimondii2_0_v6:9:3703638:3704782:1 gene:B456_009G051600 transcript:KJB54845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATVDMSEWLRRQTRNLLGYACAELIFLASLYGSYIACVLLLASCLILITLLLTFSLSTFSVVFIDIFTIFSLFSQLIGSIKADVDLGFVLLLCMFLQYGIAGVSALKPSFHRLVFLLRLKLQDTMNRIHAWLAVAPPHQKISAVNSSQLFTKN >KJB56389 pep chromosome:Graimondii2_0_v6:9:8687788:8707575:1 gene:B456_009G117700 transcript:KJB56389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOR1 [Source:Projected from Arabidopsis thaliana (AT2G35630) UniProtKB/Swiss-Prot;Acc:Q94FN2] MHKAGCLNLADIVEDVKTASKNKVPLVRSLTLNWVTFCIETSNKAVVLKVHKDYVPICMECLNDGTPDVRDAAFSALTAVAKSVGMRPLERSLEKLDDVRKKKLSEMIAGSGSSVPGATSSAAVKNSGGGLSSTEVSEGSFVKKSAASMLSGKRPAPAAPANKKGTSAKSGNSKKVDGAGRTETAKSSESPEDIEPAEMSLEEIESRIGSLIQADVISQLKSAVWKERLEAISLLKEQVEGLQDLDRSVEILVYLLCAIPGWNEKNVQVQQQVIEVITYLANSAAKFPKKCVVLCLLGISERVADMKTRAHAMKCLTAFSEAVGPGFVFERLYKIMKEHKNPKVLSEGLLWMVSAIEDFGVSHLKLKDLIEFCKDTGLQSSAAATRNATIKVLGALHKFVGPDIKGFLTDVKPALLSALDVEYQKNPFEGTSTAPKKTVRASEPSSLSAGGLDGLPREDISAKITPTLLKSLESPDWKVRLESIEAVNKILEEANKRIQPTGTGELFGALRGRLYDSNKNLVMATLTTIGNVASAMGPGVEKASKGILSDILKCLSDNKKHMRESTLSALDAWNAAVHFDKMVPYITSALTDSKLGAEGRKDLFDWSSRQLSGLSEFPDAVHLLKPAATAMMDKSVDVRKAADGCVTEILRVSGQEAIEKNLRDIQGPALALILERVKPYGSFQELVEPSKGGSIGLASKTNTKVAKATSNGVTKHGNRTVTSRAIPAKGLKPDTMLPVQGISVQPQALLNVKDSNKEERERMVRRSKFEEPRIEQIQDLENDMMKYFREDLHRRLLSTDFKKQVDGLEMLQKALPSIGKEIIEVLDILLRWFVLQLCKSNTTCLLKVLEFLPELFDSLKGEAYSLTEAEAAIFLPCLAEKLGHNIEKVREKMRELTKQIVQVYSATKIYTYILDGLRSKNNRTRIECVDLVGFFIDHHGAEISGQLKSLQIVASLTAERDGEIRKAALNTLATGYKILGDDIWRYVGKLTDAQKSMLDDRFKWKVREMEKRGEGRPGEARAALRRSVRENGPDVAEQSCEVPQTISRKNYVQPDLNMERHLMPRMLAGVSGPSNWNEALDIISFGSPEQSVEGMKVVCHELTQATNDPEGSLMDELMKDADRLVSCLANKVAKTFDFSLTGASSRSCKYVLNTLMQTFQNKRLAHAVKENTLDNLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPATNETFVARNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSLVPIDMKPQPIILAYIDLNLETLAAARMLTSTGPGQTHWGDSGANNPAPATNSADAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSVPMSTPPPASLSSSSPEFGPLSPVQTNSLNDSKLSSTKPEPTSFNLPPSYTEDYRGAGNAINTARVRAPENALADQRNERFISGVTSGTLDAIRERMKSMQLAAAGGNMDDYGTRPLMSVNDNLNLGLSTQTRTLDPHPGMENPAQGGVLPMDEKALSGLQARMERLKSGGALEPL >KJB56386 pep chromosome:Graimondii2_0_v6:9:8683923:8707575:1 gene:B456_009G117700 transcript:KJB56386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOR1 [Source:Projected from Arabidopsis thaliana (AT2G35630) UniProtKB/Swiss-Prot;Acc:Q94FN2] MSEEEKLLKEAKKLPWEDRLFHKNWKVRNEANIDLAALCDSITDPKDSRLRELAPFFKKTVADSNAPVQEKALDALIAFLKAADADAGRYGKEVCDAIVAKCLTGRPKTVEKAQAAFMLWVELEAVEAFLDSMEKAIKNKVAKAVVPAIDVMFQALSEFGAKVVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELVNVTGAAKPSRKIRSEQDREPEPEAVSEAAGPGPAEESVADTPQEIDEYELVDPVDILTPLEKSGFWDGVKATKWSERKEAVAELTKLASTKKIAPGDFTEVCRTLKKLVTDVNIAVAVEAIQAIGNLARGLRTHFSGSSRFLLPVLLEKLKEKKPTLTESLTQTLQGMHKAGCLNLADIVEDVKTASKNKVPLVRSLTLNWVTFCIETSNKAVVLKVHKDYVPICMECLNDGTPDVRDAAFSALTAVAKSVGMRPLERSLEKLDDVRKKKLSEMIAGSGSSVPGATSSAAVKNSGGGLSSTEVSEGSFVKKSAASMLSGKRPAPAAPANKKGTSAKSGNSKKVDGAGRTETAKSSESPEDIEPAEMSLEEIESRIGSLIQADVISQLKSAVWKERLEAISLLKEQVEGLQDLDRSVEILVYLLCAIPGWNEKNVQVQQQVIEVITYLANSAAKFPKKCVVLCLLGISERVADMKTRAHAMKCLTAFSEAVGPGFVFERLYKIMKEHKNPKVLSEGLLWMVSAIEDFGVSHLKLKDLIEFCKDTGLQSSAAATRNATIKVLGALHKFVGPDIKGFLTDVKPALLSALDVEYQKNPFEGTSTAPKKTVRASEPSSLSAGGLDGLPREDISAKITPTLLKSLESPDWKVRLESIEAVNKILEEANKRIQPTGTGELFGALRGRLYDSNKNLVMATLTTIGNVASAMGPGVEKASKGILSDILKCLSDNKKHMRESTLSALDAWNAAVHFDKMVPYITSALTDSKLGAEGRKDLFDWSSRQLSGLSEFPDAVHLLKPAATAMMDKSVDVRKAADGCVTEILRVSGQEAIEKNLRDIQGPALALILERVKPYGSFQELVEPSKGGSIGLASKTNTKVAKATSNGVTKHGNRTVTSRAIPAKGLKPDTMLPVQGISVQPQALLNVKDSNKEERERMVRRSKFEEPRIEQIQDLENDMMKYFREDLHRRLLSTDFKKQVDGLEMLQKALPSIGKEIIEVLDILLRWFVLQLCKSNTTCLLKVLEFLPELFDSLKGEAYSLTEAEAAIFLPCLAEKLGHNIEKVREKMRELTKQIVQVYSATKIYTYILDGLRSKNNRTRIECVDLVGFFIDHHGAEISGQLKSLQIVASLTAERDGEIRKAALNTLATGYKILGDDIWRYVGKLTDAQKSMLDDRFKWKVREMEKRGEGRPGEARAALRRSVRENGPDVAEQSCEVPQTISRKNYVQPDLNMERHLMPRMLAGVSGPSNWNEALDIISFGSPEQSVEGMKVVCHELTQATNDPEGSLMDELMKDADRLVSCLANKVAKTFDFSLTGASSRSCKYVLNTLMQTFQNKRLAHAVKENTLDNLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPATNETFVARNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSLVPIDMKPQPIILAYIDLNLETLAAARMLTSTGPGQTHWGDSGANNPAPATNSADAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSVPMSTPPPASLSSSSPEFGPLSPVQTNSLNDSKLSSTKPEPTSFNLPPSYTEDYRGAGNAINTARVRAPENALADQRNERFISGVTSGTLDAIRERMKSMQLAAAGGNMDDYGTRPLMSVNDNLNLGLSTQTRTLDPHPGMENPAQGGVLPMDEKALSGLQARMERLKSGGALEPL >KJB56388 pep chromosome:Graimondii2_0_v6:9:8683944:8707575:1 gene:B456_009G117700 transcript:KJB56388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOR1 [Source:Projected from Arabidopsis thaliana (AT2G35630) UniProtKB/Swiss-Prot;Acc:Q94FN2] MSEEEKLLKEAKKLPWEDRLFHKNWKVRNEANIDLAALCDSITDPKDSRLRELAPFFKKTVADSNAPVQEKALDALIAFLKAADADAGRYGKEVCDAIVAKCLTGRPKTVEKAQAAFMLWVELEAVEAFLDSMEKAIKNKVAKAVVPAIDVMFQALSEFGAKVVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELVNVTGAAKPSRKIRSEQDREPEPEAVSEAAGPGPAEESVADTPQEIDEYELVDPVDILTPLEKSGFWDGVKATKWSERKEAVAELTKLASTKKIAPGDFTEVCRTLKKLVTDVNIAVAVEAIQAIGNLARGLRTHFSGSSRFLLPVLLEKLKEKKPTLTESLTQTLQGMHKAGCLNLADIVEDVKTASKNKVPLVRSLTLNWVTFCIETSNKAVVLKVHKDYVPICMECLNDGTPDVRDAAFSALTAVAKSVGMRPLERSLEKLDDVRKKKLSEMIAGSGSSVPGATSSAAVKNSGGGLSSTEVSEGSFVKKSAASMLSGKRPAPAAPANKKGTSAKSGNSKKVDGAGRTETAKSSESPEDIEPAEMSLEEIESRIGSLIQADVISQLKSAVWKERLEAISLLKEQVEGLQDLDRSVEILVYLLCAIPGWNEKNVQVQQQVIEVITYLANSAAKFPKKCVVLCLLGISERVADMKTRAHAMKCLTAFSEAVGPGFVFERLYKIMKEHKNPKVLSEGLLWMVSAIEDFGVSHLKLKDLIEFCKDTGLQSSAAATRNATIKVLGALHKFVGPDIKGFLTDVKPALLSALDVEYQKNPFEGTSTAPKKTVRASEPSSLSAGGLDGLPREDISAKITPTLLKSLESPDWKVRLESIEAVNKILEEANKRIQPTGTGELFGALRGRLYDSNKNLVMATLTTIGNVASAMGPGVEKASKGILSDILKCLSDNKKHMRESTLSALDAWNAAVHFDKMVPYITSALTDSKLGAEGRKDLFDWSSRQLSGLSEFPDAVHLLKPAATAMMDKSVDVRKAADGCVTEILRVSGQEAIEKNLRDIQGPALALILERVKPYGSFQELVEPSKGGSIGLASKTNTKVAKATSNGVTKHGNRTVTSRAIPAKGLKPDTMLPVQGISVQPQALLNVKDSNKEERERMVRRSKFEEPRIEQIQDLENDMMKYFREDLHRRLLSTDFKKQVDGLEMLQKALPSIGKEIIEVLDILLRWFVLQLCKSNTTCLLKVLEFLPELFDSLKGEAYSLTEAEAAIFLPCLAEKLGHNIEKVREKMRELTKQIVQVYSATKIYTYILDGLRSKNNRTRIECVDLVGFFIDHHGAEISGQLKSLQIVASLTAERDGEIRKAALNTLATGYKILGDDIWRYVGKLTDAQKSMLDDRFKWKVREMEKRGEGRPGEARAALRRSVRENGPDVAEQSCEVPQTISRKNYVQPDLNMERHLMPRMLAGVSGPSNWNEALDIISFGSPEQSVEGMKVVCHELTQATNDPEGSLMDELMKDADRLVSCLANKVAKTFDFSLTGASSRSCKYVLNTLMQTFQNKRLAHAVKENTLDNLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPATNETFVARNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSLVPIDMKPQPIILAYIDLNLETLAAARMLTSTGPGQTHWGDSGANNPAPATNSADAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSVPMSTPPPASLSSSSPEFGPLSPVQTNSLNDSKLSSTKPEPTSFNLPPSYTEDYRGAGNAINTARVRAPENALADQRNERFIITSGTLDAIRERMKSMQLAAAGGNMDDYGTRPLMSVNDNLNLGLSTQTRTLDPHPGMENPAQGGVLPMDEKALSGLQARMERLKSGGALEPL >KJB56387 pep chromosome:Graimondii2_0_v6:9:8684124:8706831:1 gene:B456_009G117700 transcript:KJB56387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOR1 [Source:Projected from Arabidopsis thaliana (AT2G35630) UniProtKB/Swiss-Prot;Acc:Q94FN2] MSEEEKLLKEAKKLPWEDRLFHKNWKVRNEANIDLAALCDSITDPKDSRLRELAPFFKKTVADSNAPVQEKALDALIAFLKAADADAGRYGKEVCDAIVAKCLTGRPKTVEKAQAAFMLWVELEAVEAFLDSMEKAIKNKVAKAVVPAIDVMFQALSEFGAKVVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELVNVTGAAKPSRKIRSEQDREPEPEAVSEAAGPGPAEESVADTPQEIDEYELVDPVDILTPLEKSGFWDGVKATKWSERKEAVAELTKLASTKKIAPGDFTEVCRTLKKLVTDVNIAVAVEAIQAIGNLARGLRTHFSGSSRFLLPVLLEKLKEKKPTLTESLTQTLQGMHKAGCLNLADIVEDVKTASKNKVPLVRSLTLNWVTFCIETSNKAVVLKVHKDYVPICMECLNDGTPDVRDAAFSALTAVAKSVGMRPLERSLEKLDDVRKKKLSEMIAGSGSSVPGATSSAAVKNSGGGLSSTEVSEGSFVKKSAASMLSGKRPAPAAPANKKGTSAKSGNSKKVDGAGRTETAKSSESPEDIEPAEMSLEEIESRIGSLIQADVISQLKSAVWKERLEAISLLKEQVEGLQDLDRSVEILVYLLCAIPGWNEKNVQVQQQVIEVITYLANSAAKFPKKCVVLCLLGISERVADMKTRAHAMKCLTAFSEAVGPGFVFERLYKIMKEHKNPKVLSEGLLWMVSAIEDFGVSHLKLKDLIEFCKDTGLQSSAAATRNATIKVLGALHKFVGPDIKGFLTDVKPALLSALDVEYQKNPFEGTSTAPKKTVRASEPSSLSAGGLDGLPREDISAKITPTLLKSLESPDWKVRLESIEAVNKILEEANKRIQPTGTGELFGALRGRLYDSNKNLVMATLTTIGNVASAMGPGVEKASKGILSDILKCLSDNKKHMRESTLSALDAWNAAVHFDKMVPYITSALTDSKLGAEGRKDLFDWSSRQLSGLSEFPDAVHLLKPAATAMMDKSVDVRKAADGCVTEILRVSGQEAIEKNLRDIQGPALALILERVKPYGSFQELVEPSKGGSIGLASKTNTKVAKATSNGVTKHGNRTVTSRAIPAKGLKPDTMLPVQGISVQPQALLNVKDSNKEERERMVRRSKFEEPRIEQIQDLENDMMKYFREDLHRRLLSTDFKKQVDGLEMLQKALPSIGKEIIEVLDILLRWFVLQLCKSNTTCLLKVLEFLPELFDSLKGEAYSLTEAEAAIFLPCLAEKLGHNIEKVREKMRELTKQIVQVYSATKIYTYILDGLRSKNNRTRIECVDLVGFFIDHHGAEISGQLKSLQIVASLTAERDGEIRKAALNTLATGYKILGDDIWRYVGKLTDAQKSMLDDRFKWKVREMEKRGEGRPGEARAALRRSVRENGPDVAEQSCEVPQTISRKNYVQPDLNMERHLMPRMLAGVSGPSNWNEALDIISFGSPEQSVEGMKVVCHELTQATNDPEGSLMDELMKDADRLVSCLANKVAKTFDFSLTGASSRSCKYVLNTLMQTFQNKRLAHAVKENTLDNLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPATNETFVARNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSLVPIDMKPQPIILAYIDLNLETLAAARMLTSTGPGQTHWGDSGANNPAPATNSADAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSVPMSTPPPASLSSSSPEFGPLSPVQTNSLNDSKLSSTKPEPTSFNLPPSYTEDYRGAGNAINTARVRAPENALADQRNERFISGGNFLLCFIIGYLLLLLFCSYYIFLRSYHGSILLKVQ >KJB56390 pep chromosome:Graimondii2_0_v6:9:8690510:8707575:1 gene:B456_009G117700 transcript:KJB56390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOR1 [Source:Projected from Arabidopsis thaliana (AT2G35630) UniProtKB/Swiss-Prot;Acc:Q94FN2] MLAVKAIVFLICSFIADVKTASKNKVPLVRSLTLNWVTFCIETSNKAVVLKVHKDYVPICMECLNDGTPDVRDAAFSALTAVAKSVGMRPLERSLEKLDDVRKKKLSEMIAGSGSSVPGATSSAAVKNSGGGLSSTEVSEGSFVKKSAASMLSGKRPAPAAPANKKGTSAKSGNSKKVDGAGRTETAKSSESPEDIEPAEMSLEEIESRIGSLIQADVISQLKSAVWKERLEAISLLKEQVEGLQDLDRSVEILVYLLCAIPGWNEKNVQVQQQVIEVITYLANSAAKFPKKCVVLCLLGISERVADMKTRAHAMKCLTAFSEAVGPGFVFERLYKIMKEHKNPKVLSEGLLWMVSAIEDFGVSHLKLKDLIEFCKDTGLQSSAAATRNATIKVLGALHKFVGPDIKGFLTDVKPALLSALDVEYQKNPFEGTSTAPKKTVRASEPSSLSAGGLDGLPREDISAKITPTLLKSLESPDWKVRLESIEAVNKILEEANKRIQPTGTGELFGALRGRLYDSNKNLVMATLTTIGNVASAMGPGVEKASKGILSDILKCLSDNKKHMRESTLSALDAWNAAVHFDKMVPYITSALTDSKLGAEGRKDLFDWSSRQLSGLSEFPDAVHLLKPAATAMMDKSVDVRKAADGCVTEILRVSGQEAIEKNLRDIQGPALALILERVKPYGSFQELVEPSKGGSIGLASKTNTKVAKATSNGVTKHGNRTVTSRAIPAKGLKPDTMLPVQGISVQPQALLNVKDSNKEERERMVRRSKFEEPRIEQIQDLENDMMKYFREDLHRRLLSTDFKKQVDGLEMLQKALPSIGKEIIEVLDILLRWFVLQLCKSNTTCLLKVLEFLPELFDSLKGEAYSLTEAEAAIFLPCLAEKLGHNIEKVREKMRELTKQIVQVYSATKIYTYILDGLRSKNNRTRIECVDLVGFFIDHHGAEISGQLKSLQIVASLTAERDGEIRKAALNTLATGYKILGDDIWRYVGKLTDAQKSMLDDRFKWKVREMEKRGEGRPGEARAALRRSVRENGPDVAEQSCEVPQTISRKNYVQPDLNMERHLMPRMLAGVSGPSNWNEALDIISFGSPEQSVEGMKVVCHELTQATNDPEGSLMDELMKDADRLVSCLANKVAKTFDFSLTGASSRSCKYVLNTLMQTFQNKRLAHAVKENTLDNLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPATNETFVARNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSLVPIDMKPQPIILAYIDLNLETLAAARMLTSTGPGQTHWGDSGANNPAPATNSADAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSVPMSTPPPASLSSSSPEFGPLSPVQTNSLNDSKLSSTKPEPTSFNLPPSYTEDYRGAGNAINTARVRAPENALADQRNERFISGVTSGTLDAIRERMKSMQLAAAGGNMDDYGTRPLMSVNDNLNLGLSTQTRTLDPHPGMENPAQGGVLPMDEKALSGLQARMERLKSGGALEPL >KJB59266 pep chromosome:Graimondii2_0_v6:9:21134821:21135414:-1 gene:B456_009G256400 transcript:KJB59266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPNQLCLVLVIFLSIFSLSSLPTSAIIPKANVSLPIPSSQLVENLCNGKAVENRRFCLKVLSTPKIIAAMDTTQLGTLIMKLGATNAKATLNVYNERIKKPGSPQALKALNCCVEAYKYAILSFEMVSSELVEDPQTANYDVAIIGPEIANCEKELINAKVQAPRLLAGNRFMKYYISMGYEITSTLELENPKEY >KJB58263 pep chromosome:Graimondii2_0_v6:9:15642708:15648911:-1 gene:B456_009G201800 transcript:KJB58263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSDRTMLGDKALQSLGGEKPHIWSSLEGGPPIDIGKQIFCNRSLNMRNIVAIGFDMDYTLAQYKPETFESLAYEGTVRKLVYDLGYPQELLEWTFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELSKEDKVGTYGNTFIRDSFDEPDYALIDTLFSLAEAYLFAQLVDLRDNNPGKVPEGADYPRMYKDVRAAVDLCHRDGTLKQVVAKDPKRYINEDTSIVPMLKMLRNSGRLTFLVTNSLWDYTNIVMNFLCASHKLEGGITCKFDWLQYFDVVITGSAKPGFFLEDNRANIFEVEPESGMLLNTDNGTPMPQVGSTSPKMLPKGLNKRYRVFQGGSVGHLHKLLSIESSTQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWELRDTRKQLRLLRNERDLVEDRVHHLKWSLKFESLGDDEKQNMISSLGELESRRDQVRLAHQQAQGDYHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKCYRPSEDFMPHEFHILPS >KJB56087 pep chromosome:Graimondii2_0_v6:9:7677144:7683837:-1 gene:B456_009G105800 transcript:KJB56087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAENEAGYDPKEVKSPWKTPMIDAQKVADAPVMGTKSWPDLGGTQQPHDNASLVADGSAPAPSVEQGAAGQQKPNGPRNTNASHKHSSARHQKSGSKRYPNAGPQFPVTLPYYQPPIPPPVFHPMVPPPQHMAVPGYGYQPVPGPFPGIDPQLVKSGSETTKNAFGPPVQGIDASRNMQPPANFSNRRPNMQETGHLNPGWNHQRTFNPREPINMPQGMGPRPFARPPFFGPAPGFMVGPNFPGAIYYMPVAPPGSIRGLHPPRFVPYPMNPGTAMFPPETVTLRANIVKQIEYYFSDANLRNDHYLISLMDDLGWVPIYKIADFKRVKRMSTDIQFILDALLVSSTIEVQGDKIRRRDEWSKWVPASSKTTLSQKALPTQCQLVEDVTDSCGNGNVKEDNSRYTEENVSLEGYPVEVSQKSNRKLAALQVLLNNVDQSLGVDPVSFTNHGTESVGLFDATVENVADLSNDFTQTFMLDEELELEQKTKTHSALNRMDDEDDEMVVDDQDVQRLVIVTQNSGAGDGSKADAKDSKSISSELADVINDGLYFYEQELKTKRVSHRKNISICDNKDGTSRSPRDAPGESIPRTGEIAVGCSSLEESVVLSSRRKQNKGFSKQHAFHKQRFFSSNTKNHGTARNSIAIISESPPTNSVGYFFGSTPPDSYGPRPSLKLSCSPHGTLSSSPPVGSLPKSFPPFQHPSHKLLEENGFKQQKYLKFHKRCLNDRKKLGIGCSEEMNSLYRFWSYFLRDMFVRSMYNEFRKLALEDAAANYNYGLECLFRFYSYGLEKKYRDDLYKDFEQLTLDFYHKGNLYGLEKYWAFHHFRDQGEPLKKHPELDRLLGEEYRGLEDFRAKERNAGTRDDSQ >KJB56086 pep chromosome:Graimondii2_0_v6:9:7677044:7683952:-1 gene:B456_009G105800 transcript:KJB56086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAENEAGYDPKEVKSPWKTPMIDAQKVADAPVMGTKSWPDLGGTQQPHDNASLVADGSAPAPSVEQGAAGQQKPNGPRNTNASHKHSSARHQKSGSKRYPNAGPQFPVTLPYYQPPIPPPVFHPMVPPPQHMAVPGYGYQPVPGPFPGIDPQLVKSGSETTKNAFGPPVQGIDASRNMQPPANFSNRRPNMQETGHLNPGWNHQRTFNPREPINMPQGMGPRPFARPPFFGPAPGFMVGPNFPGAIYYMPVAPPGSIRGLHPPRFVPYPMNPGTAMFPPETVTLRANIVKQIEYYFSDANLRNDHYLISLMDDLGWVPIYKIADFKRVKRMSTDIQFILDALLVSSTIEVQGDKIRRRDEWSKWVPASSKTTLSQKALPTQCQLVEDVTDSCGNGNVKEDNSRYTEENVSLEGYPVEVSQKSNRKLAALQVLLNSGKQAFSGGNVDLNRKKVSDSHTEFSDVDQSLGVDPVSFTNHGTESVGLFDATVENVADLSNDFTQTFMLDEELELEQKTKTHSALNRMDDEDDEMVVDDQDVQRLVIVTQNSGAGDGSKADAKDSKSISSELADVINDGLYFYEQELKTKRVSHRKNISICDNKDGTSRSPRDAPGESIPRTGEIAVGCSSLEESVVLSSRRKQNKGFSKQHAFHKQRFFSSNTKNHGTARNSIAIISESPPTNSVGYFFGSTPPDSYGPRPSLKLSCSPHGTLSSSPPVGSLPKSFPPFQHPSHKLLEENGFKQQKYLKFHKRCLNDRKKLGIGCSEEMNSLYRFWSYFLRDMFVRSMYNEFRKLALEDAAANYNYGLECLFRFYSYGLEKKYRDDLYKDFEQLTLDFYHKGNLYGLEKYWAFHHFRDQGEPLKKHPELDRLLGEEYRGLEDFRAKERNAGTRDDSQ >KJB54807 pep chromosome:Graimondii2_0_v6:9:3610796:3612561:1 gene:B456_009G049800 transcript:KJB54807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALGDDELSLVLKWVYDQNDRKSFSQVCKQWLRVEGLTRLSIRVLEPDLIPVFLPRFPNLLFFEAQVFISNPHLEFVAKTCPKIQVLNLNLKKTLEEFEELDENSGFEDVGNDGISALANGCPNLSKVLLRKRKNVANFAVISLAKLCRNLTTLDLGRCNLVDDRAVEAIGCSNSIRVLNFEACSLITDHGLAVLATGYISKTLKKLVLAECDRITDSGVSMLKHICCLEELNLAECGPKITDSGGLAVSSLSSLKKLNLGWLINLSDVTVIAIANNCVKLVSIDLTGCELVTGVGVRTFGNHGSLECLVLASCYNICMNDLSIVVRCQSLRNIVLDKGLRMWIPMPMQVHISKFCQLHWR >KJB63021 pep chromosome:Graimondii2_0_v6:9:70106118:70112139:1 gene:B456_009G450100 transcript:KJB63021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEETKTKVPDPVANGTSLPEKSSEVVAEKKEEEDNGVKEMEEDKKNDEKVETEKMDEDQPVKDDTESKETEKEEKEEPKTEAMEEETNAKEDVRKVADDENKDEEEEKVDELKEEDEEEQEEKAEEEPKEEEDEEEHEEKDGDDEEKDGDDEEEQEEKAEESEEEKGSKKRGKGKKTEEKVKGKTKKLEEKKEPEQRTPLTDRPVRERKSVERLVASIEKDASREFQIEKGKGTPLKDIPNVAFKLSRRKTDDTFKLLHNVLYGRRGKATQIKSNISRFSGFVWHDNEEKQKGKVKEKLDKYNKEKLLEFCDVLDIPVIKATTRKEDIIIKLMDFLMAPHATTTVLLAEQEKSSKGKKRKRVTKSGTTVKRSTKSRRKSEDTPKSRKKNLPDSEDESEEEEEEEEEKEEEEKEEEQNEEEKNENGVAEKSEDEMPEDSESEEKNETEDESEEDVGKKKKSRKVSSGKKESAGKAKTKKVSIPKKSTPQKRTPKTSASKSSKADDDSDKSPKVSSRKKPEKVTKEKSSTPSTSVSKEKTSKRAGKGKDKAKEQKLKPSDHELRDTICKILKEVDFNTATFTDILKLLAQHFNTDLTPRKSSIKLIIQEELTKLADEADDEDDEGDAEKDETQSAGQEVQA >KJB54022 pep chromosome:Graimondii2_0_v6:9:3953666:3958180:-1 gene:B456_009G054600 transcript:KJB54022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITSLLVLKCNPKGSDPIILANATDVSHFGYFQRSSVREFIVFVARTVAKRTPPGQRQSVQHEEYKVHAYNRNGLVALGFMDDHYPVRSAFSLLNQVLDEYLKNFGESWRTVQADSAQSWPYLEEALDPAEADKLLKIQRELDETKIILHKTIDSVLERGEKLDSLVEKSSDLSAASQMFYKQAKRTNQCCTLL >KJB54021 pep chromosome:Graimondii2_0_v6:9:3953002:3958243:-1 gene:B456_009G054600 transcript:KJB54021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITSLLVLKCNPKGSDPIILANATDVSHFGYFQRSSVREFIVFVARTVAKRTPPGQRQSVQHEEYKVHAYNRNGLVALGFMDDHYPVRSAFSLLNQVLDEYLKNFGESWRTVQADSAQSWPYLEEALVKFQDPAEADKLLKIQRELDETKIILHKTIDSVLERGEKLDSLVEKSSDLSAASQMFYKQAKRTNQCCTLL >KJB55104 pep chromosome:Graimondii2_0_v6:9:4534669:4536563:1 gene:B456_009G063500 transcript:KJB55104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRASRNRQYNNNSVDEEARARLKHQSLLQEFLELQKEFVSKKKKLETVNQMRGSLLNEVRFLRQRYSYLSMVKSREYGLQKDSVQSQNSYLQTKMVKNFGINVSVERRLKSLPDLDPNVVHEEGSGRSQVDVQAVSRKGKKSQNRLMNGKRVGKKKISWQVQVAFKI >KJB55103 pep chromosome:Graimondii2_0_v6:9:4534491:4536695:1 gene:B456_009G063500 transcript:KJB55103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRASRNRQYNNNSVDEEARARLKHQSLLQEFLELQKEFVSKKKKLETVNQMRGSLLNEVRFLRQRYSYLSMVKSREYGLQKDSVQSQNSYLQTKMVKNFGINVSVERRLKSLPDLDPNVVHEEGSGRSQVDVQAVSRKGKKSQNRLMNGKRVGKKKISWQVQVAFKI >KJB55923 pep chromosome:Graimondii2_0_v6:9:7310072:7314019:1 gene:B456_009G101400 transcript:KJB55923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLVDTDLKAEPSTIKINKPDQAAGAGQAAQRPACCGST >KJB55924 pep chromosome:Graimondii2_0_v6:9:7310098:7314019:1 gene:B456_009G101400 transcript:KJB55924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETVSDACWLWLLVELEMLNTENKLSCRVQKLT >KJB55925 pep chromosome:Graimondii2_0_v6:9:7310098:7314019:1 gene:B456_009G101400 transcript:KJB55925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLVDTDLKAEPSTIKINKPDQAAGAGQAAQRPACCGST >KJB54071 pep chromosome:Graimondii2_0_v6:9:1521513:1523051:-1 gene:B456_009G019700 transcript:KJB54071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLENSSSHDVPHPRKPVLNKYAFAAALLASATSILLGYDIGVMSGAIIFIKENIHISTIEVEILVGSLNVCSLFGSLLAGKTSDLIGRRLTIVLAASTFLVGAVLMGFAPSYPFLMTGRVIAGVGVGYSLMIAPVYTTELSPATSRGFLASLPELFITLGILFGYLSNYFLAGLPNRINWRIMVGIAGAPAAAIGLGVLAMPESPRWLVMKGRYGEAKKIIQKISHTMEEAESRFQEIKMAASRLDSENSSQFSGKGFWKELLLTPSPSLRRILIAAVGINFFMQASGNDAVVYYSPQVFKAAGIHNKRHLFGITVIMGLSKTFFVLVSALFLDRFGRRPLLLLGTLGMVFSLVGLGLGSKFLAENNSKPTWAIVLSIIAVCAFLSFFSIGIGPITWVYSSEIFPMKYRAQGTSVAISVNRLVSGGVAMSFLTIANKITFARVFFILAGIMTMATIFFYFLLPETKGKTLEEIEGLFEDRPSDVEN >KJB59923 pep chromosome:Graimondii2_0_v6:9:23799553:23800284:1 gene:B456_009G281100 transcript:KJB59923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNFCVHTQIHMFFLITCFFYLYLPLKRLNIIDDFIKHTSFACDFVTSWYKSYRYFILSLIFSLLTYKNQHN >KJB61087 pep chromosome:Graimondii2_0_v6:9:38976507:38977231:-1 gene:B456_009G339800 transcript:KJB61087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEGKMGRVFAALVLVLVVVQASHARNVPSHVGLDNRQTTMPGGSTEEQTLQADAPKADSPKGTSGIDDKKNFIYGGVGGFAGMGGYGGIAGGIPFLGGLGGIGKFGGIGGAAGIGGYTGIGGLGGLGGVGGLGGGIGGGGSLGGGSGIFPSP >KJB59577 pep chromosome:Graimondii2_0_v6:9:21660522:21667243:1 gene:B456_009G262100 transcript:KJB59577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sucrose-phosphate synthase 4 [Source:Projected from Arabidopsis thaliana (AT4G10120) UniProtKB/Swiss-Prot;Acc:F4JLK2] MAGNEWINSYLEAILDAGSSTKKRDDDVKLTKDAKFQHDNKQHQEQQQQLLKEEKPFSTTRYFVEEVITSFDESDLYRTWVKVIATRNSRERNNRLENMCWRIWHLARKKKQIAWDDARRLAKRRLEREQGRNDAADDLSELSEGEKEKGDPNVSEPIKNLSRINSDTQIWFDDTDKSKHLYIVLISMHGLVRGENMELGRDSDTGGQVKYVVELARALANTKGVDRVDLLTRQITSPEVDSSYGEPIEMLSCPSHATGSCGAYIIRIPCGPRDKYIAKESLWPHIPEFVDGALNHIVSMARALGDQLNGGKPTWPYVIHGHYADAGEVAAHLSGALNVPMVLTGHSLGRNKFEQLLKQGRLSKEAINATYKIMRRIEGEELGVDAAEMVVTSTMQEIEEQWGLYDGFDLKLERKLRVRRQRRVSCLGRYMPRMVVIPPGMDFSYVTTQDSLENDGDLMSLLGSDNKAQNKTHLPQIWSEIMRFFTNPHKPTILALSRPDPKKNVTTLLKAFGECQLLRELANLTLILGNRDDIEDMSNSSSVVLTTVLKLIDRYDLYGQVAYPKHHKQSEVPEIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDILKVLNNGLLVDPHDQNAIADALLKLVADKNLWAECRKNGLRNIHRFSWTEHCRNYLSRVERCRNRHPTSRLEIMTIPEEPMSDSLRDVEDISLRFSIEGDIKLNGEIDAAARQKKIVEAFTRMASLNSNTGIVYSPGRRQMLFVIAADCYDSNGETTETFQAMIMNVMKDAGLCFGLGNIGFVLLTGSSFRETMQALSCCPVNIEDFDALVCNSGSEMYYPWKDMVADTDYEAHVAYRWPGENVRSMAMRLARMEDGAEDDITEYVAACSSRCYSYSIKPGAKTRRIDDLRQRLRMRGFRCNLVYTHAASRLNVVPLFASRMQALRYLSIRWAIDLSKVVLFVGEKGDTDYEDLLGGLHKTIVLKGTVAYGSGKLLRNEDNFKREDAVAQGNPKIKFVETSGGQNIAGALVALGIK >KJB59576 pep chromosome:Graimondii2_0_v6:9:21660522:21667066:1 gene:B456_009G262100 transcript:KJB59576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sucrose-phosphate synthase 4 [Source:Projected from Arabidopsis thaliana (AT4G10120) UniProtKB/Swiss-Prot;Acc:F4JLK2] MAGNEWINSYLEAILDAGSSTKKRDDDVKLTKDAKFQHDNKQHQEQQQQLLKEEKPFSTTRYFVEEVITSFDESDLYRTWVKVIATRNSRERNNRLENMCWRIWHLARKKKQIAWDDARRLAKRRLEREQGRNDAADDLSELSEGEKEKGDPNVSEPIKNLSRINSDTQIWFDDTDKSKHLYIVLISMHGLVRGENMELGRDSDTGGQVKYVVELARALANTKGVDRVDLLTRQITSPEVDSSYGEPIEMLSCPSHATGSCGAYIIRIPCGPRDKYIAKESLWPHIPEFVDGALNHIVSMARALGDQLNGGKPTWPYVIHGHYADAGEVAAHLSGALNVPMVLTGHSLGRNKFEQLLKQGRLSKEAINATYKIMRRIEGEELGVDAAEMVVTSTMQEIEEQWGLYDGFDLKLERKLRVRRQRRVSCLGRYMPRMVVIPPGMDFSYVTTQDSLENDGDLMSLLGSDNKAQNKTHLPQIWSEIMRFFTNPHKPTILALSRPDPKKNVTTLLKAFGECQLLRELANLTLILGNRDDIEDMSNSSSVVLTTVLKLIDRYDLYGQVAYPKHHKQSEVPEIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDILKVLNNGLLVDPHDQNAIADALLKLVADKNLWAECRKNGLRNIHRFSWTEHCRNYLSRVERCRNRHPTSRLEIMTIPEEPMSDSLRDVEDISLRFSIEGDIKLNGEIDAAARQKKIVEAFTRMASLNSNTGIVYSPGRRQMLFVIAADCYDSNGETTETFQAMIMNVMKDAGLCFGLGNIGFVLLTGSSFRETMQALSCCPVNIEDFDALVCNSGSEMYYPWKDMVADTDYEAHVAYRWPGENVRSMAMRLARMEDGAEDDITEYVAACSSRCYSYSIKPGAKTRRIDDLRQRLRMRGFRCNLVYTHAASRLNVVPLFASRMQALRSDGFFCTICT >KJB61723 pep chromosome:Graimondii2_0_v6:9:51308908:51311145:-1 gene:B456_009G378400 transcript:KJB61723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KNPNYAPKHPFATQNLHFFCYCRCHFCFQDMGRIDFGFSVLGKMLKLGVEPTAVTFSTLINGLCNPSKISEAVCMFDEMTEKEYQPNLIVYNTVLKGLCKTGNTDRAVRFLRLMESRGFEPNIVAYSTVIDCLCKNGLLQEALNLLSEMKVKGIRPNIITYTCLIHGMCNSGQQEEATRLLNEMLDNNISLNIVTYNTLVDALCKEGTISKAVEIVDTMRKQGIEPDVVTYNTLVDAHCKEGMVSEAEDIVDEMIKRGIEPDVVTYSALINAHCLQNEMDKARRVFNLMIEKGCAPNIVTYSTMINGYCKGKRLDEAMELFHEISQKGPIPNIYTYNTLLQSMFQLGKVSTACELFRKMLASGQVPDLVTCLILLNGLGKTGHIEEALKLFQAMRNSGLELDIVPYNILIDELCKAGHIEFAKELFHQLSDNGLKPDVVTYCTMINGLCKEGLPDEAYRLFGSMGDNDFLPNSCCYNL >KJB61722 pep chromosome:Graimondii2_0_v6:9:51308872:51311146:-1 gene:B456_009G378400 transcript:KJB61722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHYAIVVSKYRQIELLGVSHNVYSMNILINCFCQLGRIDFGFSVLGKMLKLGVEPTAVTFSTLINGLCNPSKISEAVCMFDEMTEKEYQPNLIVYNTVLKGLCKTGNTDRAVRFLRLMESRGFEPNIVAYSTVIDCLCKNGLLQEALNLLSEMKVKGIRPNIITYTCLIHGMCNSGQQEEATRLLNEMLDNNISLNIVTYNTLVDALCKEGTISKAVEIVDTMRKQGIEPDVVTYNTLVDAHCKEGMVSEAEDIVDEMIKRGIEPDVVTYSALINAHCLQNEMDKARRVFNLMIEKGCAPNIVTYSTMINGYCKGKTGHIEEALKLFQAMRNSGLELDIVPYNILIDELCKAGHIEFAKELFHQLSDNGLKPDVVTYCTMINGLCKEGLPDEAYRLFGSMGDNDFLPNSCCYNL >KJB61800 pep chromosome:Graimondii2_0_v6:9:51842003:51844850:-1 gene:B456_009G382000 transcript:KJB61800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFFVKKGSETPSGLTVRTLTSCYFMSDSFKKSAPKICTSPIETILCLDHKQSMYCQLLTGLLQRDHVVSISSIFGSVLARSIKFLEDHWNELCSNIRTGCLSDWITDPGCRNAVSPILTRPNPELADTIEQICGNESWEGIIKKLWPKAKYINSVITGTMSQYISLLDFYGGGIPLVSPSYGSSESTFGINLNPLSNPYDVAYTFLPNMAYFEFLPVDKDGEEKARESNLDGVSSQWSSEMKNANGNVEPVDSANVKLGQYYEVVVTTFAGLYRYRVGDVLKLTGFHNNSPQFQFVERRNTILSIDMDKTSEEDLLKAIGNAKRHLEPLGFVLMTFSSYAETSSIPGRYVLFWELKFKERNRCLKLDAKIMEQCCSIVEESLDFTYKSLREANKIAALEVRVVKHGTFDALMDFYVSKGASINQYKTPSCIKSEEAVKVLNSGVLEKFFSPKTFFLS >KJB61801 pep chromosome:Graimondii2_0_v6:9:51842149:51844516:-1 gene:B456_009G382000 transcript:KJB61801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLINIKPYIDRIANGETSNILLADPISEFIQSSGTSGGQPKLIPMTAENFEKKTLELTLVDPVVKKYFDGLEKGKTMSLFFVKKGSETPSGLTVRTLTSCYFMSDSFKKSAPKICTSPIETILCLDHKQSMYCQLLTGLLQRDHVVSISSIFGSVLARSIKFLEDHWNELCSNIRTGCLSDWITDPGCRNAVSPILTRPNPELADTIEQICGNESWEGIIKKLWPKAKYINSVITGTMSQYISLLDFYGGGIPLVSPSYGSSESTFGINLNPLSNPYDVAYTFLPNMAYFEFLPVDKDGEEKARESNLDGVSSQWSSEMKNANGNVEPVDSANVKLGQYYEVVVTTFAGLYRYRVGDVLKLTGFHNNSPQFQFVERRNTILSIDMDKTSEEDLLKAIGNAKRHLEPLGFVLMTFSSYAETSSIPGRYVLFWELKFKERNRCLKLDAKIMEQCCSIVEESLDFTYKSLREANKIAALEVRVVKHGTFDALMDFYVSKGASINQYKTPSCIKSEEAVKVLNSGVLEKFFSPKTFFLS >KJB61802 pep chromosome:Graimondii2_0_v6:9:51842003:51843686:-1 gene:B456_009G382000 transcript:KJB61802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFFVKKGSETPSGLTVRTLTSCYFMSDSFKKSAPKICTSPIETILCLDHKQSMYCQLLTGLLQRDHVVSISSIFGSVLARSIKFLEDHWNELCSNIRTGCLSDWITDPGCRNAVSPILTRPNPELADTIEQICGNESWEGIIKKLWPKAKYINSVITGTMSQYISLLDFYGGGIPLVSPSYGSSESTFGINLNPLSNPYDVAYTFLPNMAYFEFLPVDKDGEEKARESNLDGVSSQWSSEMKNANGNVEPVDSANVKLGQYYEVVVTTFAGLYRYRVGDVLKLTGFHNNSPQFQFVERRNTILSIDMDKTSEEDLLKAIGNAKRHLEPLGFVLMTFSSYAETSSIPGRYVLFWELKFKERNRCLKLDAKIMEQCCSIVEESLDFTYKSLREANKIAALEVRVVKHGTFDALMDFYVSKGASINQYKTPSCIKSEEAVKVLNSGVLEKFFSPKTFFLS >KJB61328 pep chromosome:Graimondii2_0_v6:9:45352249:45355215:1 gene:B456_009G352500 transcript:KJB61328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQIFGFQKVVFQYVKCFEILLLKSVAHRVSGAEFLLELRTKAKIFVQKGRSMMGCLDETRTLNYGQVFVQFSGSRSNQRDIVQGKVIVAKNPYLHPGDVRVLRAVNVPDLHHMVDCVVFHRKEPGTPHPNECSGTDLDGDTYFLCWDPELIPYKQIDAMDYSPAPTTKLDHEVTIEEIEEYFTNYINLTKLFSIAVDFPKTGVPAEIPQDLRVKEFPNFMEKPDKPSYQSHNVIGKLFREVKNLAPNECSIKFLTREKMQRFYDPDMEVEGFEDYIDDAFFYKSKYDYKLGNLMDYCRVKTEAEILSGGIMKMSRSFTKKRDAEAISMAVRSLRKEARSWFNEKRSELDEEIDDAYAKASAWYYVTYHPSYWGQYNEGMNRDHFLSFPWCVYDKLVQIKKENA >KJB59758 pep chromosome:Graimondii2_0_v6:9:22543036:22543514:-1 gene:B456_009G270200 transcript:KJB59758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFHRFRKFLMRLLFSSLPCGGPSSTSTMAAKQNNCCDRFDPPKTSCSSYYSSHSHYTEAIADCIEFFNKSSQEGIYDGRKSDVLV >KJB54625 pep chromosome:Graimondii2_0_v6:9:3083591:3084486:1 gene:B456_009G042100 transcript:KJB54625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRIMGFDSPLLNTLQHMMDLSDDTEKIPSAPSKVYMRDAKAMAATPADIKEYPKSYVFIVDMPGLKSGDIKVQVEDDNMLLISGERKREEEKEGAKYVRMERRVGKFMRKFALPENANADAISAICQDGVLTVTVEKLPPPEPKKPKTIEVKIA >KJB61534 pep chromosome:Graimondii2_0_v6:9:48728294:48733934:1 gene:B456_009G3649002 transcript:KJB61534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRDLNSDQFVLLEFKDHIAGPQNVLANNWTASTSVCNWIGVSCGILHKRVIALNLTSMNLRGTIPSHIGNLSFLLSLDLSSNHFYGHLPKELGQLHRLRILQLSYNRLNGEIPSWLGNLQRVRSLKMKNNYFTGTIPETLVNTSSLEILNLAFNQLSGQVPSSIFKISFLKIIDLSSNSLSGSLPNHMCQHLPKLEGLYLSWNELSGNIPFGMGKCNNLKNLSLSRNQFMGIIPRSIGNLTRLQELYLGFNNLEAIKGLTGQIPTSIFNISSLKAINLSNNSLSGSLPNNMCQHLPKLEGLYLSLNELSGNIPFGMGKCNNLKNLSLSCNQLTGIIPRSIGNLTRLWELYLGFNNLKGSASDHEWSFLSSLTNCRNLSTIDVSRNPLSGVLPTSIGNLSKSLQYFYASNCELQGIIPMEIGNLTNLLLLHLRYNKLSGLIPASIGGMRNLQGLRLSSNKLGGTMSESLCGLERLCEMYLGLNKLHGSIPSCLGNITSLRYLYLDSNKLSSAIPSTLWNLKDILEIDLSSNHLYNSHAIDVGNLRSLLKLNLSRNLLTGDILSTFGGLQTLVSLDLSNNILHGHIPESFDGLVSLEFLDLCNNNLSGVIPKSLEKLLYLKYFNVSFNRLEGEIPSKGCFSNFSSTSFMKNYALCGPPRLLVPPCKNDIHKNSQMIILHAFRYGLPTI >KJB61514 pep chromosome:Graimondii2_0_v6:9:48154672:48156013:1 gene:B456_009G363100 transcript:KJB61514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQASIDGIVSRRSANYHPPIWDYDYVQSLRSDFDESYKEKARKLKEEVRMMLGNVVDPLEKLELFDALQRLGLSYHFEAEINKSSKNTSTHDCISTVAWKKDNLYATALEFRLLRQHRYKVDQDVFTCFMNDVGNVKSSLNQDFKGLLNLYEASYLLLEGEIVLENARELVVKLLEQFLKENPDHQYLWMLVDHALELPLHWRRPRLEARWFIDVYEKNKDKNPIIFELAILDYNIVQSMHQEDLRYGSTWWKELGLGERFNFARDRLMENFLLSVGMIITPQDGKSRRIQTKINALITIIDDVYDVYGTLDELELFTD >KJB62740 pep chromosome:Graimondii2_0_v6:9:67972244:67974199:-1 gene:B456_009G433100 transcript:KJB62740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDSSIGSQQPNLPPGFRFHPTDEELVVHYLKKKASSAPLPVAIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGVRPNRAATSGYWKATGTDKPVLTSGGTQKVGVKKALVFYGGKPPKGIKTSWIMHEYRLADNKNNNKPPGYDFSNKKNSLRLDDWVLCRIYKKNNTHRPLDQDKDDSIDDMLGSIQPSISIGNQHNNPKFQFASKGTATSFGTLLENHEHSLFDGMMGNNSDEGINNGSMSQSMVNPLKRNLPSLFWTDETSIEPQANKKFHGDSNDGSMEKMDGNGSVTTLLSQLPESPSLQQQQETMMGSMGDGIYRPPFQLPGLHWYS >KJB59317 pep chromosome:Graimondii2_0_v6:9:20144252:20148246:1 gene:B456_009G249100 transcript:KJB59317 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-beta-hydroxysteroid dehydrogenase-like 5 [Source:Projected from Arabidopsis thaliana (AT4G10020) UniProtKB/Swiss-Prot;Acc:Q9T0G0] MMDLVTSFLNLVVPPATMMMLAFSWPALCFINACEWVYNTLYTIEDMDGKVVIITGASSGIGEQIAYEYAKRRANLVLVARREQRLRAISEKARYLGANSAIVIAADVVKEDDCRRFINETVNFYGRVDHLVNAASLGHTFYFDEVTDSSVFPILLDINFWGNVYPTFVALPYLHQSNGRVIVNASVENWLPLPRMSLYAAAKAALVNFYETLRLEVNNDVGITIATHGWIGGEMTRGKFMLEEGAEMQWKEERELQASGGPVEEFARLIVSGACRGDAYVKYPSWHDTFLLFRVFAPNVLNWSFRLLLSTHGTRRTSMVGVGKPISEGGSGRYLMETTSPRKLPAGPITFSKT >KJB63085 pep chromosome:Graimondii2_0_v6:9:70296914:70299125:-1 gene:B456_009G452100 transcript:KJB63085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFAGNLSFKISSTLQFRVFSFPWMNGNKAIFCLKEKEYSSSVPVRYIPKKSLETEEPETSSHSKGLSKNESRKSAASNVFGRKFTNNEGSVIDKKSQTRSGMFKKIALYDDVQQGDEIMEKPVEAVEEVPQGQNINRKNPLQACKRMSDAEKSAIELLAARAFTAVELRKKLLGKRFDPDIVETLITDLQNRCVDYKERYRIDFFEGVVIGSICNLFSYVNFSSIILLSILSHVKQLIRLFWLIVEG >KJB63084 pep chromosome:Graimondii2_0_v6:9:70294835:70299183:-1 gene:B456_009G452100 transcript:KJB63084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFAGNLSFKISSTLQFRVFSFPWMNGNKAIFCLKEKEYSSSVPVRYIPKKSLETEEPETSSHSKGLSKNESRKSAASNVFGRKFTNNEGSVIDKKSQTRSGMFKKIALYDDVQQGDEIMEKPVEAVEEVPQGQNINRKNPLQACKRMSDAEKSAIELLAARAFTAVELRKKLLGKRFDPDIVETLITDLQNRGLINDSLYAEAFSRSRWSSSTWGPRRIKQALFKKGISESDAENALKLVFEGRDGDSNDDQESQLGFSKLSMDHLLIQASKQWLRGQDVPKETRKSRIVRWLQYRGFNWGVIGSVLKKLESQYPS >KJB63086 pep chromosome:Graimondii2_0_v6:9:70294777:70299183:-1 gene:B456_009G452100 transcript:KJB63086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFAGNLSFKISSTLQFRVFSFPWMNGNKAIFCLKEKEYSSSVPVRYIPKKSLETEEPETSSHSKGLSKNESRKSAASNVFGRKFTNNEGSVIDKKSQTRSGMFKKIALYDDVQQGDEIMEKPVEAVEEVPQGQNINRKNPLQACKRMSDAEKSAIELLAARAFTAVELRKKLLGKRFDPDIVETLITDLQNRGLINDSLYAEAFSRSRWSSSTWGPRRIKQALFKKGISESDAENALKLVFEGRDGDSNDDQESQLGFSKLSMDHLLIQASKQWLRGQDVPKETRKSRIVRWLQYRGFNWGVIGSVLKKLESQYPS >KJB59011 pep chromosome:Graimondii2_0_v6:9:18601400:18603142:1 gene:B456_009G235700 transcript:KJB59011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSHRHHAVCIPFPAQGHINPMLKLAKILHQKGFYITFVNTEFNHKRLLKSRGPDALNGLPSFRFETIPDGLPPTDVDATQDIPSLCDSTSKTCLPHFKQLLRKLNDFASTSKVPHVSCIVSDGVMSFTLDAAEELGIPEVLFWTTSACGFLGYVHYRQLMEKGYTPLKDESYLTNGYLNTVIDWIPTMEGIRLRDLPSFLRTTDPNFVMLDFILSETERARKASAIILNTFDDLEHESLDALNSMLPPVYSVGPLHLVLNHNVDNSELKQIGSNLWKEEPECLQWLDSKEPNSVVYVNFGSITVMTADQLNEFAWGLANSKQPFLWVIRPDLVGDESAVVPAEFVAETKDRGMLATWCPQEQVLNHPSVGGFLTHSGWNSTIESISGGVPMTCWPFFAEQQTNCWYSCTKWGIGEEIDNNVKRDEVESLVRELIKGEKGKDMKKKAVEWERKAKAATVNSDGSSYRNLDKIIQLLCTPRA >KJB55042 pep chromosome:Graimondii2_0_v6:9:4332531:4334598:1 gene:B456_009G060400 transcript:KJB55042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIRKKLLIAAFTILCFSFTAILLSLVCLWKIHRKKKKNNSEKLLTQADDHSLDAEKGVALSPSSFFAKCNSLCTLSLKGFASFIDYKMIEKATKNFDKINILDESGFKLVYKAILDNGTEVAVKKVFCLTKGIQREFENEVKLLSRFHHSNVISSYGYSIENETGFVVYELMHNGSLETQLHGPSRGSQLSWHRRLKIALDIARGLQYLNELCIPPIIHRNLKPSTILLDSNFNAKISDFGMAAVVAGGGGGKEGGLSRSLNFLGKTGPIAPESILNGILTDMNDVYAFGVILLELVLGRKALEMSEVSGQECLVRWARAVLTDRHVMPNVVDPVIRGTMSVKHLNQVGVIAYLCLEDEPKYRPLITDILNSLVPLVPVGLGGTLIV >KJB59755 pep chromosome:Graimondii2_0_v6:9:22533953:22536472:-1 gene:B456_009G269900 transcript:KJB59755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFEDSKSVKKEEPEDDNEDLKSLSSMAENRKKKSASNSNNAAVSNSKSRPKEAKVKKEDPVETDDEDEKPISKRSSATKATKPKKEESDDEDEKPISKRSSATKADKEREMKKKKKKGEEKKAAAGKEVKREKKVYDLPGQKRDPPEERDPLRIFYETMYQQIPHSEMAQFWMMESGLLPLAEAKKVFEKKQKKIKQQKLSSPMKPGSAVKSSTGSVTVKRTPMVSPGSSNKKKTDSKVAPKQTKKRKAEESESSDDDFENTLVSRMKKQKAN >KJB60751 pep chromosome:Graimondii2_0_v6:9:31975536:31976699:-1 gene:B456_009G323900 transcript:KJB60751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTILHENISSVFTSEAMACLQAIRLGINLRLLMVKIEGDTRSVIRKLLTEEENRSEIEAYIEDSKRLCTRICSFFFRFTHKESNRVAHTLATEGLKRGENTYLINGVPPCAAE >KJB57957 pep chromosome:Graimondii2_0_v6:9:14394913:14395731:1 gene:B456_009G187400 transcript:KJB57957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLFSPFIVYQVGLSAENGYATSLARFAAILGPVVWKIATRKIESVLPSGLKFGPGWVVENRTVEQPQCSFSDKQRSPNSLSDNPPGRLLSTATSGSNSIAASRFPLQGREDMEAVRGLTSQNDSTLEPSHQFQQSLVLHSGINGSIGGSGFGYSSQTELVTQCVNSLSEETSVPTPTHVMVLGASDFLPKEAKLADSSTALHSGNAMAVEPEMVSHAVATNVGLQWSSYNEPNFLPFAPDLNVRFLAPGSPSSSDPICSPQRPYLALQLK >KJB61522 pep chromosome:Graimondii2_0_v6:9:48445038:48446326:-1 gene:B456_009G363700 transcript:KJB61522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIHGVLSLIFWTFTLSLVMSQTINESAIVDKHEQWIVDYGRKYESKLEKEKRLNIFKDNLKYIESFNNDKNKSFKLSLNKFADLTHDEFIVAHTGYKMGDNSTMSQSTSFMYESFSDVPTSIDWRAKGAVTPIKSQGTCGCCWAFSAVAAVEGIIQIKTGKLISLSEQQLLDCSTNGGNQGCSGGWMMNAFEYITQNQGITTEESYPYQQMQETCDAQINKVATINGYQMVPTNDEQALLKVVANQPVSVAIEGYGEDFRYYRGGVYTGDCGNALNHAVTIVGYGTSEEGLDYWLVKNSWGETWGENGYMRIQRNVNTQGGLCGIATKASYISSIIS >KJB62561 pep chromosome:Graimondii2_0_v6:9:66028600:66030920:1 gene:B456_009G422800 transcript:KJB62561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGKEEECENDLEKGLLSRPAHLRETILPGSPTSRPTLFLSNSGKALVVSNSSKSLASGSNSEKRLDKKKYVKQVTGRHNDTEIHLAAQRGDVAAIRQILAEIDDRMTGIVSAAEFEAEVEEIRVAIVNEVNELGETALFIAAEKGYIDIVKELLPYTTKEVIKLKNRFELDPLHIAANRGHEAIVQVLLDHDPSLSKTVGQANATPLVSAATKGHTDVVHALLKNDPSLIEIPKANGKNPLHFAVRQGHVDIVKALLDKDPQLATKTDKKGQTALHMAVKGVSYEVVRLLLKTDPSTVTLPDKFGNTALHIATRKKRTEIVNELLQIPDTSVNALTRDQKTALDIAEGLPLSEEIVEIKECLSSFGGVRANELNQPPDELRKTMKEIKKEVHTQLEQTLKTNRNVDGIVLELIKLHQQGINNATNQVTVVAVLFATVAFMTIFTVPGGDNDNGVATVARGTSFKIFCVFNALALFTSLAVVVVQITIVRRELKTEKRFVEVINKLMWLASVCTSISYISSSYIVAGRRNRWAAILVTVIGGVTMGGVLGAMTYLVVKNKRVRKVKKKDKNSTNQTDSLSLSGTDTEVNTIYAL >KJB57694 pep chromosome:Graimondii2_0_v6:9:13606891:13612447:1 gene:B456_009G175800 transcript:KJB57694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNQNRPPRSPSTRKEIGDENPLDKRRRLGAVGRGVGPTATGRTRRAFSAVNNLQDATTAANAENAEECHEFTKEEVEAILNERPKAKKFDLKAKYEHAAEHNKRLKLCVKWFQQCDENHVLDKEKLKNSLESAEKKCIDTELEKKNKEEELNAVISELRDSNASLQEKLSKEVSEKLDAINRHKSEIEARVTAEKSVASLTEDLQKAQQDIAAANERAASLDNTHKRLQEYILSLQQYNSKLITDLETVRESLKRVEKEKLTIVENLSSLRGHCSSLQEQLTLSRASQDDAVNQKETLVNEVKCLRGELQQVRDDREHQVSKVQALSAEIVKFKESTGRSFAELDNLTMKSKSLEETCSSQREQLRILELQLAAANEKLKRADLSASETRVEYLEQKRTIQELQDRLADMEHKLIEGENLRKKLHNTILELKGNIRVFCRVRPLLPDDGAAEGAVVSYPTSTESLGRGIDLIQNGQKYPFTFDKVFNPEASQQDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPEAQEQKGLIPRSLEQIFQSSQLLQAQGWKYKMLASMLEIYNETIRDLLSTNRSIGSDPTRAESAVSGKQYTIKHDANGNTHVSDLTIVDVSTITEISSLLRQAAQSRSVGRTQMNEQSSRSHMVFTLRILGINEGTEQQVQGVLNLIDLAGSERLSKSGATGDRLKETQAINKSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNVSPDPSSVGESLCSLRFAARVNACEIGVPRRQMTLRAADSRLSCG >KJB59873 pep chromosome:Graimondii2_0_v6:9:23397564:23401511:-1 gene:B456_009G278200 transcript:KJB59873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLPLLCNNHLNCHLFSYQMAPKAIFLLLVLLSFMFCSSQVITDDHQFKFFNAMKASLSGKALSDWDMRGNSYCNFTGVTCNDKGYVERINLSDWSLSGYFPADVCSYLPALRVLDISRNNLHGNFLNSVVNCSLLEEFNISSVSLRETLPDFFRMTSLRVLDLSYNYFRGDFPMSITNLTNLEELYFNEVDEMNLWQLPENISRLKKLRVMVLTTCRLYGPIPRSIGNMTSLVDLELSGNFLSGQIPKELGLLKNLEQLELYYNQHLSGTIPEELGNLTKLGDLDMSVNMLTGSIPDSICRLPNLRVLQLYNNSLTGEIPGVIADSTKLTLLSLYGNFLSGELPQNMGKTSPLIVLDLSENNFSGPLPAEICQGGKLLYLLVLANKFYGKLPESYANCKSLIRFRVSNNHLEGSIPEGILGLPHASIIDLGDNDFTGPFPSSIENARNLSELFLQNNKLSGFLPSEISRAINLVKLDLSNNLLSGSIPSEIGNLRNLNLLMLQGNKFGSSIPTSLSLLKSLNVLDLSNNRLTGKIPESLSDLLPNSINFSNNQLSGPIPLSLIKGGLAESFSGNPGLCVPVHVQNFPICPQIYNQKKVNSMWAIIVAAIVITIGTLLFLKRLLSKQRAVIEHDETLSSSFFSYDMKSFHRIYFDQHEILGAMVDKNIVGHGGSGTVYRIELKSGDVVAVKKLWSKTAKDSFSEDQMVFNKGMKTEVETLGSIRHKNIVKLYSYFSSFDCNLLVYEFMPNGNLWDALHKGWLFLDWPTRHQIALGVAQGLAYLHHDLMPPIIHRDIKSTNILLDANYQPKVADFGIAKVLQARGKDSTNTVIAGTYGYLAPEYAYSNKATTKCDVYSYGVVLMELITGKKPVESDFGENKNIVYWVSTKLETKEGVMEALDKSLSTSFKDEMIQVLRTAMRCTCKNPSQRPTMNEVVQLLIDADPCRLGSCKLLSTNKTKDASNVTKIKNQTDV >KJB56612 pep chromosome:Graimondii2_0_v6:9:9599189:9601509:-1 gene:B456_009G127500 transcript:KJB56612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEIGKKHKKKESGRVIDEIIDKFHCMNIVKREANQRDCSNEQHELLTPQKRSKARFRNHDVPGLHREKHGLWRQEQKSRAAKIEKQLKARRELEALIEEQLNRFHAHYNQAMVPSHLEDVSKLLRPQWAAPQELASLFWLGDWRPSAILELLHGLAPLSFLSDSTASAMQPAISQFIHEMRIEEAVIDEEMAEIQATCVLYLPFAPVNSSKSGGSASHGIQSEFLKIARVITKAQKLRFKALELVVKKLLNQTEAAEFLVAFSGIQDAIHQFAEEKRLRKGPVTVSVKSHDVTETSKQPKIHIDDRISHLLKTSDSSEYGQGIQYALSEFEELQGLQKGSVTQSARFQDVVETSNQPKIPLEDRTSSWDNLSKTSDSLEHGQGIQYALCEFEEQEALRKGPVTQSVRSQDVVETSNQPKIPLEDRMGLWDSLCHLLEQDSSGERIIDDINEPAGLPIESWDVVETSKQPMIHSEDRIGLWEMFSKMVGDMKSGQGIPRQL >KJB61510 pep chromosome:Graimondii2_0_v6:9:47980998:47983727:1 gene:B456_009G362800 transcript:KJB61510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMVALRNLASLIAAGNVPVKDEKIAIFSDELNHASIIDGIRLAERQRSVEFFVYKHCDMSHLRELLSNCKMKKKVVVTDSKRWKQLIQSRGRSFIFSTATPVPIATAGHAAVIVAKRETWRRRELWNRVEDFCALTGIAISSPIISLIVGSEKKALKASRNSYVGNLSLFYEIE >KJB61509 pep chromosome:Graimondii2_0_v6:9:47980395:47983727:1 gene:B456_009G362800 transcript:KJB61509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMVALRNLASLIAAGNVPVKDEKIAIFSDELNHASIIDGIRLAERQRSVEFFVYKHCDMSHLRELLSNCKMKKKVVVTDSKRWKQLIQSRGRSFIFSTATPVPIATAGHAAVIVAKRETWRRRELWNRVEDFCALTGIAISSPIISLIVGSEKKALKASRNSYVGNLSLFYEIE >KJB61508 pep chromosome:Graimondii2_0_v6:9:47980395:47983727:1 gene:B456_009G362800 transcript:KJB61508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMVALRNLASLIAAGNVPVKDEKIAIFSDELNHASIIDGIRLAERQRSVEFFVYKHCDMSHLRELLSNCKMKKKVVVTDSKRWKQLIQSRGRSFIFSTATPVPIATAGHAAVIVAKRETWRRRELWNRVEDFCALTGIAISSPIISLIVGSEKKALKASRNSYVGNLSLFYEIE >KJB54106 pep chromosome:Graimondii2_0_v6:9:1589453:1594178:-1 gene:B456_009G020800 transcript:KJB54106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVDIERKQAASAEEICTAKSGAKQGEGLRQYYIQHIHELQLNLRQKTHNLNRLEAQRNELNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKNIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRFDILKIHSRRMNLMRGIDLKKIAEKMNGASGAELKAVCTESGMFALRERRVHVTQEDFEMAVAKVMKKESEKNMSLRKLWK >KJB54107 pep chromosome:Graimondii2_0_v6:9:1589768:1594116:-1 gene:B456_009G020800 transcript:KJB54107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVDIERKQAASAEEICTAKSGAKQGEGLRQYYIQHIHELQLNLRQKTHNLNRLEAQRNELNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKNIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRFDILKIHSRRMNLMRGIDLKKIAEKMNGASGAELKAVCTESGMFALRERRVHVTQEDFEMAVAKVMKKESEKNMSLRKLWK >KJB61439 pep chromosome:Graimondii2_0_v6:9:47072684:47073786:-1 gene:B456_009G358500 transcript:KJB61439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVDVVLDCVGAAYLQRNLVYLNVDGRLFIIGSITEFVAELNIAAMFEKRFSIQGKVTFSKRRNGLLKKAYDGCS >KJB56867 pep chromosome:Graimondii2_0_v6:9:10542307:10543972:-1 gene:B456_009G139800 transcript:KJB56867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPTGFQQMKAPVFPEQEQLKCPRCDSTNTKFCYYNNYNLAQPRHFCKSCRRYWTKGGALRNIPVGGGTRKNSKRSSSSSDSSSQPKRQSNPAPDSIRNQNLPDSSPPPTIPQQVLLSSAVQNSVSNADQTRMYVLSLDHQARKMTDSGGSFSSLLASSGQFGNLLNGLNPNGSGSETAHTDDFGGNLASGRGIGPSSDRDPRLRESNNNNDESYFGVQGGGGGGDTNCWTGCSNGWPDLAIYTPGSSFH >KJB56513 pep chromosome:Graimondii2_0_v6:9:9201693:9206164:1 gene:B456_009G123300 transcript:KJB56513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTGGMKKGGFNVEGYKYSGPGKKKASKKSFNIGMMKGPEGLLTLGRNLRSEVTRVVFPEDLKVSDKMIFDPQDKSILLWNRFFVVSCILSVSVDPLFFYLPIFNGRAHCLGIDVRLGVTTTTIRTIIDAFYLIRMGLQFRTAYIAPSSRVFGRGELVIDPAQIATRYLSRYFIVDFLSVLPLPQMVVWKYLHKSKGSEVWATKQALLVIVFVQYIPRFVRFIPLTSELKKTAGTFADSAWVGAAYYLLWYILASHIAGAFWYLLAVERKDTCWRIACEQSGKCNIDFLYCGNKHMQGFAAWRRVRDKELDGKCGLQDDDSPFNYGIYTRAIESEIVSSNVFFSKFCYCLWWGLQNLSTLGQGLETSTYPGEVLFSIAIAIFGLILFALLIGNMQTYLQSLTVRLEEMRIKRRDSEQWMHHRALPEDLKERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLYTEHTYIVREGDPVNEMLFVIRGRLESVTTDGGRSGFFNRGLLKEGDFCGEELLTWALDPKAGSNLPLSTRTVMALTEVEAFALEAEELKFVSSQFRRLHSRQVQHTFRFYSQQWRTWAACFIQTAWRKYAKRKHAELRRKEEEEELDYYDDDDDDDQQALIGQTGSSTEQHSIRATIFASRFAANLRGHRGRGSTSSKSLLKVSKPTDPDFTDLDNR >KJB56512 pep chromosome:Graimondii2_0_v6:9:9200673:9206164:1 gene:B456_009G123300 transcript:KJB56512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGAYKSQIIGGQKEKFVRLDDLDSPVSMASGTGGMKKGGFNVEGYKYSGPGKKKASKKSFNIGMMKGPEGLLTLGRNLRSEVTRVVFPEDLKVSDKMIFDPQDKSILLWNRFFVVSCILSVSVDPLFFYLPIFNGRAHCLGIDVRLGVTTTTIRTIIDAFYLIRMGLQFRTAYIAPSSRVFGRGELVIDPAQIATRYLSRYFIVDFLSVLPLPQMVVWKYLHKSKGSEVWATKQALLVIVFVQYIPRFVRFIPLTSELKKTAGTFADSAWVGAAYYLLWYILASHIAGAFWYLLAVERKDTCWRIACEQSGKCNIDFLYCGNKHMQGFAAWRRVRDKELDGKCGLQDDDSPFNYGIYTRAIESEIVSSNVFFSKFCYCLWWGLQNLSTLGQGLETSTYPGEVLFSIAIAIFGLILFALLIGNMQTYLQSLTVRLEEMRIKRRDSEQWMHHRALPEDLKERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLYTEHTYIVREGDPVNEMLFVIRGRLESVTTDGGRSGFFNRGLLKEGDFCGEELLTWALDPKAGSNLPLSTRTVMALTEVEAFALEAEELKFVSSQFRRLHSRQVQHTFRFYSQQWRTWAACFIQTAWRKYAKRKHAELRRKEEEEELDYYDDDDDDDQQALIGQTGSSTEQHSIRATIFASRFAANLRGHRGRGSTSSKSLLKVSKPTDPDFTDLDNR >KJB59686 pep chromosome:Graimondii2_0_v6:9:22195709:22197726:-1 gene:B456_009G267300 transcript:KJB59686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSFSLPSKSKPTQKVPIPKPSTAQDDLNPKEFVTEFDPSKTLPDPNSKPSYVIPPKQNEWRPYKKMKNLDLPLQSDASRDLQFELDSSSHNPNPDSAISYGLNLRNNSSTKGEADNKDVTPGSAASVETLLLQSFKEDLKKLPEDRGFEEFEDVPVEGFGKALLAGYGWVEGRGIGKNAKEDVKVKQYERRTDKEGLGFSSKEFKDRGQGLKNVKENIDKKEREEDEDGFFVGKDVRVIEGRGMGSKGTIMEKLGDSWVVLKLKNRDEEVKVRISEIADLGSREEEKCLRRLKELKIRDEKMSKHKDERKYSKRSRNTEKISETQVNVERTRTNGDRGVSWLKSHIRVRIISKSLAGGRLYLKKGQVVDVVGPYMCDIAMDESKELIQGVEQELLETALPRRGGPVLVLYGRHKGVYGNLVERDLDREMGVVRDADSQELLDVKLEQVAEYMGDPSYLGY >KJB59920 pep chromosome:Graimondii2_0_v6:9:23770511:23774194:-1 gene:B456_009G280900 transcript:KJB59920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIVWFRRDLRVEDNPALLAGVRAGPVFAVFIWAPEEEGHYQPGRVSRWWLKHSLALLDSSLRSLGTCLITKRSTDSVSSLLQIVKSTGATQLFFNHLYDPISLVRDHRAKEVLTAHGVAVRSFNADLLYEPWDVNDDNGRPFTTFTAFWEKCLNMPFDPEAPLLPPKRIISGDVLTCTTDVLVFEDELEKGSNALLARAWSPGWSNADKALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRMKQVLWANEGNKAGEESVNLFLKSIGLREYSRYLSFNHPYSHERPLLGHLKFFPWVVNEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTDWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKSRLQEALSEMWQLEAASRAAIENGTEEGLGDSSESVPFAFPQDIQMEENIEPARNNAPATTRRYEDQMVPSITSSLLRGEHEESSSYLRTSAEDSRAEVPGTVNINREQRRDTLNQAIPETARSLQLNFPIGLRNAEDSTAESSSSNRRERDGGVVPVWSPPSSSYPEQFIGEESGIGASSSFLQGHPQSHQIINWRRLSQTG >KJB59921 pep chromosome:Graimondii2_0_v6:9:23770531:23773982:-1 gene:B456_009G280900 transcript:KJB59921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIVWFRRDLRVEDNPALLAGVRAGPVFAVFIWAPEEEGHYQPGRVSRWWLKHSLALLDSSLRSLGTCLITKRSTDSVSSLLQIVKSTGATQLFFNHLYDPISLVRDHRAKEVLTAHGVAVRSFNADLLYEPWDVNDDNGRPFTTFTAFWEKCLNMPFDPEAPLLPPKRIISGDVLTCTTDVLVFEDELEKGSNALLARAWSPGWSNADKALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRMKQVLWANEGNKAGEESVNLFLKSIGLREYSRYLSFNHPYSHERPLLGHLKFFPWVVNEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTDWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKSRLQEALSEMWQLEAASRAAIENGTEEGLGDSSESVPFAFPQDIQMEENIEPARNNAPATTRRYEDQMVPSITSSLLRGEHEESSSYLRTSAEDSRAEVPGTVNINREQRRDTLNQAIPETARSLQLNFPIGLRNAEDSTAESSSSNRRERDGGVVPVWSPPSSSYPEQFIGEESGIGASSSFLQGHPQSHQIINWRRLSQTG >KJB61334 pep chromosome:Graimondii2_0_v6:9:45040319:45043705:-1 gene:B456_009G351800 transcript:KJB61334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFTLYYWVLIFILIPSASFVIVSSSKEQLSSKQCEDLGFTGLALCSDCNTFSEYVKDKELISDCLKCCTEDSDDSTSKITYSGAILEVCMRKLVFYPEIVGFIEEEKDKFPTVKVQYLFNSPPKLIMLDDEGQYKETIRIDNWKREHMLQFLQKKVQPYSASS >KJB60935 pep chromosome:Graimondii2_0_v6:9:34473933:34477726:1 gene:B456_009G332000 transcript:KJB60935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGWMHRKFRQNSSEPLKDFAIGQPSLDDQQFYSKPYYGTTPFRQPQSHLRKSFAGIESAQAEEEDYEEESSSAISELFHGFLAIGTLGSDPNIPDPSTPTFTISVENITEKETDVTENELKLINYELERVLGAEAKEEGCNDSSGRNSHVSTGRSSHVSTGRSSHGSTITLSRKSIEGLDSNGNGNTVCPLQGYLFGSAIELSETATVVKKEHRTSLGELFQRTKITEESFGSKYDKEEKRMEKEGDKSAVHIMKKILKKKMLSASKSSTAATGGNIDSASAETKLHKILHKFHRKVHPESSTATYKHDKPQKNENKKGIGYNGGQENGGPILAEEDIVIFPQRAHSKDMRRYNSQANPSQFTLSCNDSNGNRECWIKTDADYLVLEL >KJB57725 pep chromosome:Graimondii2_0_v6:9:13725780:13727063:-1 gene:B456_009G177800 transcript:KJB57725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNQSQGASISLTADPPVKRRRGRPRKDDSIQGDITPLTPATENLKNKQSVGTSDPTSNEIVGQMVSGVIEGSFDAGYLLNVKVCDTDMHLRGVAFLPGRFTPITAENDVAPHAKMYERNDIPIPLVNAQGHLHTVSPSSGKNEKPVEDKNDAPNLPGQGLQIGLFSGAMAASKSQSASISIPLASNLPINDTGLPLGQKVLQDRILDSGLQTDKAMVQDQSPLGFEALKLMKGPNISVEALNTSEPVPAMFTADVPAAKTVNPNPQVDDQAVCSDLKSQELFHDDVKILDTPKTSEPEAQADGGEPTQINLFKNQASSRQDIDISQDTELELATKIIGGVGTSHMDGLSSNEAATTATTGSCSESMTSQPVTIFGVETIPSEPKPAAEETVPEMVVPEDSSSSLMAADTNCVESNAKDAIPPAQS >KJB57724 pep chromosome:Graimondii2_0_v6:9:13725276:13728732:-1 gene:B456_009G177800 transcript:KJB57724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNQSQGASISLTADPPVKRRRGRPRKDDSIQGDITPLTPATENLKNKQSVGTSDPTSNEIVGRFTPITAENDVAPHAKMYERNDIPIPLVNAQGHLHTVSPSSGKNEKPVEDKNDAPNLPGQGLQIGLFSGAMAASKSQSASISIPLASNLPINDTGLPLGQKVLQDRILDSGLQTDKAMVQDQSPLGFEALKLMKGPNISVEALNTSEPVPAMFTADVPAAKTVNPNPQVDDQAVCSDLKSQELFHDDVKILDTPKTSEPEAQADGGEPTQINLFKNQASSRQDIDISQDTELELATKIIGGVGTSHMDGLSSNEAATTATTGSCSESMTSQPVTIFGVETIPSEPKPAAEETVPEMVVPEDSSSSLMAADTNCVESNAKDAIPPAQS >KJB61954 pep chromosome:Graimondii2_0_v6:9:54261589:54269657:-1 gene:B456_009G393800 transcript:KJB61954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRLFSINNKLTSVLFNRPFPAPTLIFPFSLLQISSFAMSYRPNYQGGRRGGGPNSGRGGGRRGGGGGGGRGGRGGEQRWWDPVWRAERLRQKAAEMEVLDEAEWWYKMNQMKKGQEQEMIIKRNFSRSDQQVLSDMAYELGLYFHAYNKGKALVVSKVPLPNYRADLDEHHGSTQKQIQMSTETERRVGNLLDVSRDTKSGDDSGVASSRGATKPLPDVKRIDSVSTIETDSSKEKFSAELKKKQENLNASNSVKAMLSFREKLPAFKGKAEFLKAVAQNQVLVVSGETGCGKTTQLPQFILEEEISSLRGANCNIICTQPRRISAISVAARISSERGENVGETVGYQIRLESKRSAQTRLLFCTTGVLLRQLVQDPYLNGVSHLLVDEIHERGMNEDFLLIILRDLLPRRPDLRLILMSATINADLFSKYFGNAPTIHIPGLTFPVAELFLEDVLQKTRYNIKSEFDNYQGNSRRRRKELDFKKDNLTALFEDVDIDSEYKNYSASTRHSLEAWSGSQIDLGLVEATIEHICRHEADGAILVFLTGWDDISKVLDKIKVNSFLGDLSKFLVLPLHGSMPTINQREIFDRPPPNKRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKLIHDAMLEYQLPEILRTPLQELCLHIKSLQLGSVGSFLAKALQPPDPLSVENAIELLKTIGALGDAEELTPLGRHLCTLPLDPNIGKMLLMGAIFQCLNPALTIAAALAHRDPFVLPINRKEEADAAKRSFAGVSCSDHIALVKAFEGYKDAKRNGRERAFCWENFLSPVTLQMMEDMRNQFIDLLSDIGFVDKSPGASAYNQYSHDLEMVCAVLCAGLYPNVVQCKKRGKRTAFYTKEVGKVDIHPASVNAGVHLFPLPYMVYSEKVKTTSIFVRDSTNISDYALLLFGGNLIPSKTGEGIEMLGGYLHFSASKSVLDLIRKLRGELDKLLNRKVEEPGFDISVEGKGVVSAVVELLHSQNVRY >KJB61955 pep chromosome:Graimondii2_0_v6:9:54260282:54269764:-1 gene:B456_009G393800 transcript:KJB61955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRPNYQGGRRGGGPNSGRGGGRRGGGGGGGRGGRGGEQRWWDPVWRAERLRQKAAEMEVLDEAEWWYKMNQMKKGQEQEMIIKRNFSRSDQQVLSDMAYELGLYFHAYNKGKALVVSKVPLPNYRADLDEHHGSTQKQIQMSTETERRVGNLLDVSRDTKSGDDSGVASSRGATKPLPDVKRIDSVSTIETDSSKEKFSAELKKKQENLNASNSVKAMLSFREKLPAFKGKAEFLKAVAQNQVLVVSGETGCGKTTQLPQFILEEEISSLRGANCNIICTQPRRISAISVAARISSERGENVGETVGYQIRLESKRSAQTRLLFCTTGVLLRQLVQDPYLNGVSHLLVDEIHERGMNEDFLLIILRDLLPRRPDLRLILMSATINADLFSKYFGNAPTIHIPGLTFPVAELFLEDVLQKTRYNIKSEFDNYQGNSRRRRKELDFKKDNLTALFEDVDIDSEYKNYSASTRHSLEAWSGSQIDLGLVEATIEHICRHEADGAILVFLTGWDDISKVLDKIKVNSFLGDLSKFLVLPLHGSMPTINQREIFDRPPPNKRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKLIHDAMLEYQLPEILRTPLQELCLHIKSLQLGSVGSFLAKALQPPDPLSVENAIELLKTIGALGDAEELTPLGRHLCTLPLDPNIGKMLLMGAIFQCLNPALTIAAALAHRDPFVLPINRKEEADAAKRSFAGVSCSDHIALVKAFEGYKDAKRNGRERAFCWENFLSPVTLQMMEDMRNQFIDLLSDIGFVDKSPGASAYNQYSHDLEMVCAVLCAGLYPNVVQCKKRGKRTAFYTKEVGKVDIHPASVNAGVHLFPLPYMVYSEKVKTTSIFVRDSTNISDYALLLFGGNLIPSKTGEGIEMLGGYLHFSASKSVLDLIRKLRGELDKLLNRKVEEPGFDISVEGKGVVSAVVELLHSQNLAVQIFVWKGT >KJB56653 pep chromosome:Graimondii2_0_v6:9:9795780:9796498:1 gene:B456_009G130000 transcript:KJB56653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQNTSQTFANNAETTSQAQLARDEMLNQGSQASDQTSSYTSQATNLLQQTGEQMKNIAQGAADAVKNTLGMNKPTSTDHPSNPSPPRT >KJB59137 pep chromosome:Graimondii2_0_v6:9:19270673:19273153:1 gene:B456_009G240600 transcript:KJB59137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDLVELKFRLADGTDIGPSKYSPATTVASLKEKILAQWPKDKENCPKTTQDVKLINGGKILENNSTLADCTLLVGELPGGVITMHVVLRLPLSDKNSEKQQDDSPKKSGCSCTIL >KJB59138 pep chromosome:Graimondii2_0_v6:9:19270986:19272856:1 gene:B456_009G240600 transcript:KJB59138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDLVELKFRLADGTDIGPSKYSPATTVASLKEKILAQWPKDKENCPKTTQDVKLINGGKILENNSTLADCTLLVGELPGGVITMHVVLRLPLSDKNKKQQDDSPKKSGCSCTIL >KJB55101 pep chromosome:Graimondii2_0_v6:9:4527909:4529389:-1 gene:B456_009G063300 transcript:KJB55101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGERGSSAIPESVMNSVKTTLVNVENLRTHLLEFLSLSDPDVLAQMPPLQRAQAFFTLAKATTTLFALKLRCSGVHPDEHPIKSELERLSLYQEKLERFIDLSKAPLRPSTTLNSQAATRFIEHSLPDLTPEQRQSMRQISKGEGPTIKYSGSNVKKKRKYQSSEKQSVEDAAKEFLEKAARELFGDKKDGFKGPLQVHDASDDDLPLS >KJB55100 pep chromosome:Graimondii2_0_v6:9:4527609:4529361:-1 gene:B456_009G063300 transcript:KJB55100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGERGSSAIPESVMNSVKTTLVNVENLRTHLLEFLSLSDPDVLAQMPPLQRAQAFFTLAKATTTLFALKLRCSGVHPDEHPIKSELERLSLYQEKLERFIDLSKAPLRPSTTLNSQAATRFIEHSLPDLTPEQRQSMRQISKGEGPTIKYSGSNVKKKRKYQSSEKQSVEDAAKEFLEKAARELFGDKKDGFKGPLQVHDASDDDLPLS >KJB61822 pep chromosome:Graimondii2_0_v6:9:52054044:52056655:-1 gene:B456_009G383500 transcript:KJB61822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLPSSFILRSVVNAGSLLSNFHSFSSSSNTIATHIECLSKKPMSMPVRGKGKRDHRFDNVDHALSLFNKMIGRYPVPSIVEFTKLFAAIVRMKQHAIVVPMCSQMELLGVSHNVYSMSILINCFCQLGRIDFGFSVLGKMKKLGVEPSAVTFSTLINGLCNQDKISEAVCMFDEMTEKGYQPNLIVYSTVLKGLCKTGNTDRAVRFLRLMESRGYEPNIVAYNTVIDCLCKNGLLQEALNLLSEMKVKGIRPDIITYTCLIHGMCNLGQQEEATRLLNEMADNNISLDIVTYTLLIDALCKEGTISKAVQIVDTMRKQGIEPDVVTYNTLVDAHCKEGMVSEAEDFVDSMIKLEIEPDVVTYNALVNGHCLRNKMDKARRVFNLMIENGCAPNIVTYNTMINGYCKGKRLDKAMELFHEISRKGPIPDTVTFSILMQSMFQLGKVSTACELFRKMLASGQVPDIATCLILQDGLCKTGHIEEALKLFQAMRNSGLELDIVPYTILIDGFCKAGHIEVAKELFHQLSNNGLKPDVYTYCVMINRLCKEGLPDEAYRTRIVRSTLLSSRLIQYDADSSSTVALNLHTLKALDAKKIQTVQNEPQFSFKQRATSHISSILPQPRSIP >KJB55694 pep chromosome:Graimondii2_0_v6:9:6514786:6516186:1 gene:B456_009G089200 transcript:KJB55694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYNRKLFLYYDSPYSSSTTSLPPLHFSNTTNATSSPPSSSKKSPHYNPPFDSAMALTCVVLLTVLFFMGFFSIFIRRFSEDPSAHLSRRRRYRGGPLDPFPFPSDLHLVSASRKGLDPTTIRSLPVYSYRGNAKYQIDCPICLSEFEESQCLKTIPHCEHVFHVECIDTWLASHVSCPVCRGTRLLEIMNVGGDGGSSELGDLQETVDQSPAVEISETCVVMEPVSRIRRASSCSSLEQHATLPRTLSF >KJB59843 pep chromosome:Graimondii2_0_v6:9:23072921:23074528:-1 gene:B456_009G275500 transcript:KJB59843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFKKSVSPSLLAEHEQILSLFKGCDVNNDGKLSKDEVKAGFRRLQSRFPGFRTQRAFKVADKNGDGFISEAELDELVDYVLDCYEGSNVYIYK >KJB60677 pep chromosome:Graimondii2_0_v6:9:30373095:30373669:-1 gene:B456_009G319100 transcript:KJB60677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGFNTLLNKVIILWSLRHPIRFIDLENDYHLVWFQDEGYFNKVFVGGTWVIFGQYLTIRHWSLDFSTSNNEMDIQVVWIRLPGLPESYYSNFLLRAIGQKPLVPKVKINDHIQRIEYESLSFVCFKCELYGNNSYLCLGSKNKALERSVNGEELLVEKMGL >KJB58589 pep chromosome:Graimondii2_0_v6:9:16884554:16886457:1 gene:B456_009G217000 transcript:KJB58589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSHTQINSHHQLPNCHSPINDSIRMDHRVKSNLAMEASLFYYVVFFLFLYFLTRRLLQNKGLPPSPALSLPVIGHLHLIKRPLHRTLAKLSKQHSPILFVHFGSRPVLVVSSPSAAEECFTKNDIVFANRPRLLAGKHLGYNYSTLPWAPYGDHWRNLRRVAVLELLSSNRVQKYRGIRMDEIRSLILRLYRRSSKGGEFQVEEMKSMFFELTLNVMMRMIAGKRYYGEGEEELEEERKFKEIVTETFELSGVTSIGDFLPVLKWIGFNQIDKKLIALQRKRDGFMQSLIEERRKLTNDSYSGQSSKTMVDVLLSLQETDPEYYTDDIIRGMMQVLLSAGTDTSAGTLEWALSLLLNNPKTLSKAREEIDMEIGQNRFMEESDLGRLPYLHGIIKETFRMCPVSPVLVPHESSEECTVGGFSIPRGTMLLVNIWAIQNDPGLWEKASEFKPDRFVGPEAVNNGFTLLPFGTGRRRCPGESMAMGLIPLTLGSLIQCFEWERIGEEMVDMSEGSGLTMPKAQPLVVKCRPRPAMINLLSQI >KJB54058 pep chromosome:Graimondii2_0_v6:9:1459474:1460779:-1 gene:B456_009G018900 transcript:KJB54058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPLQLKCLNHISLVCRSVEKSVDFYQNILGFFPVKRPGSFNFSGAWLFNYGIGIHLLQSDNPDSMPKIGGINPKNNHISFQCESMALLEKKLKELNIEYVTSGVEEGGIRVDQLFFHDPNGYMIELCNCDILPVTPLPVDSIQSSCSLTNRNVRRQREQEQQVQLQVQTIEHVLWRN >KJB56461 pep chromosome:Graimondii2_0_v6:9:10028779:10030405:-1 gene:B456_009G133000 transcript:KJB56461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGAMVWDPWLIVAQIVCLQCLYYLTLGVFLSFLVGTHVSHMSLVYFFDFATITSSTVVGWCVIASFLFSSVAGAGYMIYLIERANKCLDFSATLYIIHLFICLVYGGWPSSVTWWVVNGTGVAVMALLGEYLCIKREQREIPTRYRSNI >KJB56460 pep chromosome:Graimondii2_0_v6:9:10027585:10031099:-1 gene:B456_009G133000 transcript:KJB56460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGAMVWDPWLIVAQIVCLQCLYYLTLGVFLSFLVGTHVSHMSLVYFFDFATITSSTVVGWCVIASFLFSSVAGAGYMIYLIERANKCLDFSATLYIIHLFICLVYGGWPSSVTWWVVNGTGVAVMALLGEYLCIKREQREIPTRYRSRA >KJB56459 pep chromosome:Graimondii2_0_v6:9:10027857:10030880:-1 gene:B456_009G133000 transcript:KJB56459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGAMVWDPWLIVAQIVCLQCLYYLTLGVFLSFLVGTHVSHMSLVYFFDFATITSSTVVGWCVIASFLFSSVAGAGYMIYLIERANKCLDFSATLYIIHLFICLVYGGWPSSVTWWVVNGTGVAVMALLGEYLCIKREQREIPTRYRSNI >KJB61880 pep chromosome:Graimondii2_0_v6:9:52584708:52586381:1 gene:B456_009G388100 transcript:KJB61880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELPTQSTSALALPRLQLNRGSTTATSGPESKPKKKICCACPETKKLRDECIVEHGEEACAKWIEAHRICLRAEGFNV >KJB54312 pep chromosome:Graimondii2_0_v6:9:2193298:2196243:1 gene:B456_009G028600 transcript:KJB54312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRLIVESLALKLPQATKPAGSGVYPTTTPCFCKLRLKNFPSQTALLPLSSSSGDSPPESSTSAAGFHLDALTLRRLSGKPVTLRIEVYTGRMGHTCGVSSGKLVGRVQVTLDLGVSQATPSVFQNGWMKLGNEPEKPTAKLHLTVKSEPDPRFVFQFGGEPECSPVVFQIQGNIRQPVFSCKFSADRSRSRSLPPDFSNKNRGWMRTFSGEKERQGRERKGWMIMIHDLSGSPVAAASMITPFVPSPGSDRVSRSNPGAWLILRPNGFSVSSWKPWGRLEAWRERGPIDGLGYKFELVTENGPNNGIPIAEATMSIKKGGQFCIDRRVSRDPSALSLRSPVKGFVMGATVEGEGKVSKPVVQVGMQHVTCMADAALFIALSAAIDLSMDACQLFSRKLRKELCHDHEHDSFS >KJB62973 pep chromosome:Graimondii2_0_v6:9:69725507:69728238:-1 gene:B456_009G446200 transcript:KJB62973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSDYTQILFNKIKKFEPENVLKIIGYILLQDHGEQEIAQLAVCPDKVIREVIYKIKLELQQLALKSGSPPISPSMNSVTTLNSEIPSQFTSFSPPVSSSTLDDQMGSLNLRSDNSFSNDYCYCNFGVRPGLRRHQNNVPDFPVKTCHYFNKGYCKHGSNCRYFHGEVSDNLPQMFVHLDGATGYKDHVMSLEKLEVELVELLRTKRGDPVSIASLPLLYYEKYGKVLQADGYLTESQRHGKAGYSLTKLLARLKTAIRVIDRPHGQHAVILAEDVPKYMENRNDRNDPGPIVNGSRQIYLTFPAESTFSEDDVSNYFSNYGQVEDVRIPCQQKRMFGFVTFVSSDTVKMILAKGNPHYVCGARVLVKPYREKSKLVDKYGVMR >KJB58408 pep chromosome:Graimondii2_0_v6:9:16200751:16202181:-1 gene:B456_009G208900 transcript:KJB58408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVNGCSSSTSSSDASSSDSYLSARGSNRAEKIKGPWSAEEDRILTRLVERYGPRNWSLISRYIKGRSGKSCRLRWCNQLSPNVEHRPFSQAEDDTILAAHARYGNRWATIARLLPGRTDNAVKNHWNSTLKRRAREGQHHHQQQQQQEHQQQILRVTHQPMDGGDHCALGSTEVMIEEEALTALTLAPPGSGVSSRSAVVVAERRREERVPAEFWDVMRDVIAREVREYMSSTLSAETSAFH >KJB58975 pep chromosome:Graimondii2_0_v6:9:18428390:18429470:1 gene:B456_009G233600 transcript:KJB58975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVGMVCLLLVSWMVVPSLAKVYTVGDTPGWTTGVDYSTWTKDKTFKVGDSLVFNYPTSHTVDEVSSSDYSTCTVGNAITTDNSGATTVALKTAGTHYFICGVVGHCANGMKLSVKVESGSSAAPSKSPSSSSSSSPASTDKPSTSTPSTTTTTTKAPDSSSSWSLSPFMAVVTTCLALLVLVIS >KJB61650 pep chromosome:Graimondii2_0_v6:9:50447364:50448830:1 gene:B456_009G372800 transcript:KJB61650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKHQSSRFSSSSSSPSICFSNRFSTILTVTILFTSLYLFISPIKHGSEPLARTIMETGFNGDLRDANFPWNSLFFGNNFEKLKLAVFSKTWPIGAAPGGMERHAATLYQALAAKGHEIHVFTVPSDRKPHIDIHQGNLHVYFAANDHGSVNCSLAFEIFNTINANGQFDYVHTESVSLPHWRAKMVPHVAVTWHGIWYEIMHSKLFEELVFNSQETIPKPVSELHEAMPRLVDEIRFFPSYTQHICISKSAGEVLVNIYQLPQRNVHVILNGVDETKFVHDPESGTRFRKKHGIPINASIVMGVAGRLVRDKGHPFLHEAFSKITKTYTGVYLLVAGSGPWGKRYAELGSNVKVLGALEPSELSEFYNAIDVFVNPTLRPQGLDLTLMEAMHCGKPVLTPNYPSIVGSVVVNQSYGYTFSPNVESLVEALKSVIKDGAKVLKNKGIACRQHALSMFTATKMADAYERFFLCMKNDRFCHYPLPTDC >KJB62587 pep chromosome:Graimondii2_0_v6:9:66374327:66376417:-1 gene:B456_009G424100 transcript:KJB62587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGKFKPYFAAIFLQVGLAGMDILSKAALNQGMSNYVLVVYRHAIATLVMAPFAVILEKKVRPKMTISIFIKIMVLSLLEPVIDQNLYYVGMKYTTATFAAAMYNILPAITFLMAWILRLEKVNLRSIRSHAKVFGTLATVAGAMVMTLMKGPVLELFWTTGRINNHEAAAKNRTDFHDTIKGGLLISVGCFSYACFVILQAVTLKTYPAELSLTVWICLMGTLEATVAALVMETGKASIWAIKWDTKLLTAAYSGIVCSGLAYYIQGVIMKDRGPVFVTAFSPLCMVIVAIMASFILAEQMFLGRVIGAIIIIVGLYLVLWGKSNEYKSQQPLMEQQIEAAKLDIGTNNENGSFDHQAIIIDEKSQERRDSIVV >KJB62586 pep chromosome:Graimondii2_0_v6:9:66374327:66376333:-1 gene:B456_009G424100 transcript:KJB62586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISIFIKIMVLSLLEPVIDQNLYYVGMKYTTATFAAAMYNILPAITFLMAWILRLEKVNLRSIRSHAKVFGTLATVAGAMVMTLMKGPVLELFWTTGRINNHEAAAKNRTDFHDTIKGGLLISVGCFSYACFVILQAVTLKTYPAELSLTVWICLMGTLEATVAALVMETGKASIWAIKWDTKLLTAAYSGIVCSGLAYYIQGVIMKDRGPVFVTAFSPLCMVIVAIMASFILAEQMFLGRVIGAIIIIVGLYLVLWGKSNEYKSQQPLMEQQIEAAKLDIGTNNENGSFDHQAIIIDEKSQERRDSIVV >KJB59941 pep chromosome:Graimondii2_0_v6:9:23864367:23867566:1 gene:B456_009G282000 transcript:KJB59941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSFVSLLSFTLLFIHGGSTQPPFACDSSNPETKNYLFCQTELPITQRARDLVSRLTLDEKISQLVNSAPAIPRLGIPAYEWWSEALHGVSNVGPGVKFDGTIKAATSFPQVILTAASFDPYQWYRIGQAIGREARAMYNAGEANGMTFWAPNINIFRDPRWGRGQETPGEDPFVVGKYAVSYVRGVQGDTFQGGKLHGHLQASACCKHFTAYDLDNWKGTNRFLFDARVTVQDLADTYQPPFEKCVRDGRASGVMCAYNRVNGVPSCADSSLLFKTLRGEWDFKGYVTSDCDAVAIIHNDQGYAKAPEDAVVDVLKAGMDLNCGSYLQNYTKSAVLQKKLPESQVDRALHNLFAVRMRLGLFNGNPVPNPFGNIGMDQICSPEHQILALEAARNGIVLLKNDAKLLPLPKSTMSLAVIGPNANSPQTLIGNYAGPPCKSVTPLQALESYIKNTVYHPGCDTVSCSTGAIDKAVDIAKRADYVVLIMGLDQTEEREALDRVDLFLPGRQQELIVSVAKAAKRPVVLVLLSGGPIDISFAKDDPRIGGIFWAGYPGEGGGNALAEVIFGDHNPGNNLLIPSIANKMLYDQ >KJB59940 pep chromosome:Graimondii2_0_v6:9:23864194:23868470:1 gene:B456_009G282000 transcript:KJB59940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSFVSLLSFTLLFIHGGSTQPPFACDSSNPETKNYLFCQTELPITQRARDLVSRLTLDEKISQLVNSAPAIPRLGIPAYEWWSEALHGVSNVGPGVKFDGTIKAATSFPQVILTAASFDPYQWYRIGQAIGREARAMYNAGEANGMTFWAPNINIFRDPRWGRGQETPGEDPFVVGKYAVSYVRGVQGDTFQGGKLHGHLQASACCKHFTAYDLDNWKGTNRFLFDARVTVQDLADTYQPPFEKCVRDGRASGVMCAYNRVNGVPSCADSSLLFKTLRGEWDFKGYVTSDCDAVAIIHNDQGYAKAPEDAVVDVLKAGMDLNCGSYLQNYTKSAVLQKKLPESQVDRALHNLFAVRMRLGLFNGNPVPNPFGNIGMDQICSPEHQILALEAARNGIVLLKNDAKLLPLPKSTMSLAVIGPNANSPQTLIGNYAGPPCKSVTPLQALESYIKNTVYHPGCDTVSCSTGAIDKAVDIAKRADYVVLIMGLDQTEEREALDRVDLFLPGRQQELIVSVAKAAKRPVVLVLLSGGPIDISFAKDDPRIGGIFWAGYPGEGGGNALAEVIFGDHNPGGRLPVTWYPQDFTKVPMTDMRMRPESSLDYPGRTYRFYKGDTVFEFGYGLSYSKYSYSFTRVSQNNLYLNHSSSLHTKETSESVRYRLVSEVGAEICDERKITVHVGVKNNGELAGKHPVLLYVRHGNHGNGRPKKQLIGFRSVILSGGEMGEIQFEVSPCEHLSRANEYGLMVMEEGRHFLVVGDNKHPITIII >KJB59942 pep chromosome:Graimondii2_0_v6:9:23864194:23868470:1 gene:B456_009G282000 transcript:KJB59942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNAGEANGMTFWAPNINIFRDPRWGRGQETPGEDPFVVGKYAVSYVRGVQGDTFQGGKLHGHLQASACCKHFTAYDLDNWKGTNRFLFDARVTVQDLADTYQPPFEKCVRDGRASGVMCAYNRVNGVPSCADSSLLFKTLRGEWDFKGYVTSDCDAVAIIHNDQGYAKAPEDAVVDVLKAGMDLNCGSYLQNYTKSAVLQKKLPESQVDRALHNLFAVRMRLGLFNGNPVPNPFGNIGMDQICSPEHQILALEAARNGIVLLKNDAKLLPLPKSTMSLAVIGPNANSPQTLIGNYAGPPCKSVTPLQALESYIKNTVYHPGCDTVSCSTGAIDKAVDIAKRADYVVLIMGLDQTEEREALDRVDLFLPGRQQELIVSVAKAAKRPVVLVLLSGGPIDISFAKDDPRIGGIFWAGYPGEGGGNALAEVIFGDHNPGGRLPVTWYPQDFTKVPMTDMRMRPESSLDYPGRTYRFYKGDTVFEFGYGLSYSKYSYSFTRVSQNNLYLNHSSSLHTKETSESVRYRLVSEVGAEICDERKITVHVGVKNNGELAGKHPVLLYVRHGNHGNGRPKKQLIGFRSVILSGGEMGEIQFEVSPCEHLSRANEYGLMVMEEGRHFLVVGDNKHPITIII >KJB57036 pep chromosome:Graimondii2_0_v6:9:11088562:11091891:1 gene:B456_009G145900 transcript:KJB57036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHCLYAPKNPKFRGIFNGLSALILIFLFYTRVDFLRNPHIRQSSRLINHVTQGPGFTDGYDHFSVIRRKISELDANSSTLADKNAQENDLNVSSSNPALCYGLLDHMGFANPCEFLKAHPQCSSDGFFDYIKFFYCGCGEFRIAGYVVLGVWLAALFYLLGNTAADYFCCSLEKLSHLLRLPPTVAGVSLLPLGNGAPDVFASIAAFLGTDTGEVGLNSVLGGAVFVTCVVVGTVSLCVAEKRIQIDTRCFIRDICFFLFTLISLMMMLIIGKVSVAGAIAFVMIYVIYAFSVAANELLRKHARGLKLDVVTPLLPVHGSPFLQGSEEETFAYSSLLEMDTENEPPQLPSSLPQWMWASNVAIYSNNFMKINSADEERPPWGWTEKGMETNGSSFSCSKLISLLELPLTVPRRLTIPVVEQESWSKPYAVASASLAPVLMAFLWNSQGDVGSHTRVVAWCIGVAVGCILGILAHKHTLSDHPPQRFLIPWVLGGFFMSIVWFYIIANELVALLVTFGVIFGINPSILGVTILAWGNSMGDLVSNVALAMNGDDGVQIAFSGCYAGPMFNTLVGLGISMLLGAWSKSPSPYLIPVDSSLFFTIGFLMSGLIWALIVLPRSDMRPSKILGAGLIMLYVIFLSLRLSSAVGFVSVGGLS >KJB53774 pep chromosome:Graimondii2_0_v6:9:415868:417300:1 gene:B456_009G004500 transcript:KJB53774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FMSSFRSIPGTGDRGDDQFPDIKEITIPFNQALTIIIVATIVALLLKVFVIVYVCRLCRERLGRESSDSVCPETQSLTLNMDKFLNEMEKEKPIRFTSQQLRIATDNFTNLLGSGGFGTVYKGIFSNGTMVAVKVLHGDSDKRIEEQFMAEVSTIGRVHHVNLVRLYGFCFDLNLRALVYEYMVNGSLDKFLFGEDKKLGFQQLQAIAIGTAKGIAYLHEECQQRIIHYDIKPGNILLDANFLPKVADFGLAKLCNREKTHVTMTRGRGTPGYAAPELWMPYPITHKCDVYSFGMLLFEIIGKRRNLDTNLPESQEWFPRWVWKNTETENHVELMMVCGIEDMDRDTAERMMKTALWCIQYRPESRPLMSIVVKMLEGGVEIPAPSNPFEASSMEPNSNTSTSTDTSSCSESSSLLASSASIYATPVMKKYEIEMVTIY >KJB55900 pep chromosome:Graimondii2_0_v6:9:7251098:7252958:-1 gene:B456_009G100200 transcript:KJB55900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFSGLGIGLSLVFGCLVLALVAELYYLLWWKKRIANAEVEYDYTNYAKDLVQLLCWKKSASLHATTIPNSSQGSNGVEPDLELGSTKGLMLKGFGEEGVESELMRLHNLAGPPRFLFTIKEETKEDLESEDKGSRRRNLNDVMLPTGGTPFHSPLSSSPLKSPLGSYHHQGFNPLFESSTDAELNKLRSSPPPKFKFLRDAEEKLLRRLMLEAEKRVQENGSSLQDSGVKAVNTTTISTDGIIEGSFLKFMVGKNREPLQYLPHYPSSSSQVLPLSSSPTTFRPPDKKDSMY >KJB55899 pep chromosome:Graimondii2_0_v6:9:7251098:7253096:-1 gene:B456_009G100200 transcript:KJB55899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFSGLGIGLSLVFGCLVLALVAELYYLLWWKKRIANAEVEYDYTNYAKDLVQLLCWKKSASLHATTIPNSSQGSNGVEPDLELGSTKGLMLKGFGEEGVESELMRLHNLAGPPRFLFTIKEETKEDLESEDKGSRRRNLNDVMLPTGGTPFHSPLSSSPLKSPLGSYHHQGFNPLFESSTDAELNKLRSSPPPKFKFLRDAEEKLLRRLMLEAEKRVQENGSSLQDSGVKAVNTTTISTDGIIEGSFLKFMVGKNREPLQYLPHYPSSSSQVLPLSSSPTTFRPPDKKDSMY >KJB60937 pep chromosome:Graimondii2_0_v6:9:34538066:34543025:1 gene:B456_009G332200 transcript:KJB60937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator 4 [Source:Projected from Arabidopsis thaliana (AT1G67310) UniProtKB/Swiss-Prot;Acc:Q9FYG2] MMAQSGYDINNLFREAQSRWLKPAEVLFILQNHEKYQLQQEPPRKPTSGSLFLFNKRVLRFFRKDGHSWRKKKDGRTVGEAHERLKVGNVETLNCYYAHGEQNPSFQRRSYWMLDPAYEHIVLVHYREINEAKPSSASTVHSPLSNSASTPSPISYTSQNPGFNSLSSDVHESYQNLPSPGSAEVSSDIVIKNNGIDNTVEYPSPDDLQVVQALKRLEEQLSLNEDSVKEMSQFYGMDGDTNDSEFQEYGREITKQEQQADLLYEPDNIFQDHLYSQPARVENYSNSSMLLPDGGKNGGHSQVYGNDNSDGIHESQYWKSVFDSCNTQSAVNSKGEPLSSLRMRATEQQEQSHLLNFNGSSIEASPILLHQEVGNVDIPAYSSAIESFDTKFDNYRMFFNQEEIGIPLATDSSLTIAQKQKFTIREISPEWGYSSEPTRVIVVGSFLCDPSESVWACMFGETEVPIEIIQEGVICCKAPPHLPGKVALCITSANRESCSEIREFEYRVSSSSSSCIRYNLSHAEAPKSLEELLLLVRFVKMLLTDSSSQKDSIDSGEKFSEKLKADDESWSHVIEALLIGSGTSSGTIDWLLQELLKDKLQQWLSSRSKESGDQPGITMSKKEQGIIHMAAGLGFEWALNPILNHGVSINFRDINGWTALHWAARFGREKMVAALIASGASAWAVTDPTSQDPSGETPASIADSSGHKGLAGYLSEVALMSHLSSLTLEESELSKGSAAVQAEIAVNSVSQGSLATNEDQLSLKDTLAAVRNAAQAAARIQNAFRAHSFRKRQQKEDAVIAASVDEYGISLGEIQNLSTMSKLAFGNARDYNSAALSIQKKFRGWKGRKDFLALRQKVVKIQVSLLFIRIYRL >KJB61842 pep chromosome:Graimondii2_0_v6:9:52340585:52343483:1 gene:B456_009G385600 transcript:KJB61842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEAQDVENKVRYGRYLCRAWNGKLVDEKTREMKEFLDKAPNASEALAMDGPSGGLPLPTSELVGEEAARKDIWACLMQEEVKKIGVWGMGGVGKTTTMKHIHNDLLKEQRFKRVIWVTISKEFNVMKVQDNIVSALEAKEYLDKEEDKLRRAAILSEMLKKAGKHVLILDDVWDEVSLEEVGIPEPSDSNGCKLVLTTRSEHVCKYMGCTVIKVKPLSAQQALTLFLSKVGPNIVQNQTIMPTLELVVKECSGLPLTIVVVAGTLKGEEDPLIWKNTLRELKKRIEKVEGVEAKVIERLKFSFDHLKDEKVKSCFLHCALYPEDFEIEKDELIECWIDEGFIDDMGTRQEMNEKGQVILKKLEDNCLLENVSSEEMKMHDAVRDMALSITRMNPRYMIQAGLQLEELPEKKQWSPNIEKVSLMYNSISEISIDVLPTKCQLLITLLLQHNPIKKIPYSFFTNMPCLSVLNLSSTKIKSLPNSISELKNLTTLLLCGCSKLRDLPCLSMLQELKKMDLSGTKIEEVPKGMDMLIKLSYLDLEVFTLKEIPAGLLSKLVHLQHLSFDVVNEKTSLKAEEMEPLKKLECFTGHFEDINEFNKFISSMQQSKKNLIKYHLQVGSYNWGGERDKRVTIGGVQNWEGELIMHPIEIQQLHISKCDYLRSLVDDKFSFKNAIDLRDCRIWECEGIECVVSLSSFASSSAHPFQSLEGLDLGYLPKLSALIMKDAGIGSATTSTVAPSACFSHLKEIRIFKCSSMKTLLPHWLLPNLQNLEEISVLFCDEVVEILGVATSKVEEKGSDALIKIHLPKLRALELNELPNLKSICSKSGVMVCDSLRFMKVDGDCDKLKRIPPFVPLVGNGQPFAYAPPSLTIRSETEW >KJB58544 pep chromosome:Graimondii2_0_v6:9:16649572:16650683:-1 gene:B456_009G214300 transcript:KJB58544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGDCCLTSSPASGEKRKLHRTQQKEKPFRGIRMRKWGKWVAEIREPNKRSRIWLGSYTTPVAAARAYDTAVFYLRGPSARLNFPDLIFQEDELRDISAASIRKKATEVGAKVDALQTSLHHASASSSESSNPTRVFRKPDLNKYPDSSGED >KJB60526 pep chromosome:Graimondii2_0_v6:9:28779117:28783299:-1 gene:B456_009G310300 transcript:KJB60526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGEGSGRLGSLPTTTSRTMSSSSSAFFSANQSPLFSPRSSTCQLSESARFDVQCDSTNLSADPSSSSSGIPDPECLANVGFAVSDESLMAAACVSSDFPKFDYSSCTYSHLHDNGFSGIIEKHRRHIRSHDMMFSPVPISLSSYQQSSYDVYIGLHGRKPSLLRFTNWLRAELEVQGMSCFVSDRARFRNSRKHGRIERAMDASSFGVLILTKKSFRNPYTIEELRFFSSKKNLVPIYFDLNMGDCLVRDIVEKRGELWEKHGGELWISYGGLEKEWKEAVNGLVRADAWKLEALEGCWRDCILRAVTYLAMRLGRRSVVDRLTKWREKVDKEEFPFPRNENFIGRKKELSELEFILFGDISGESERDYFELKARSKRKHLTIGWSKSRSLEERRRECNWENGSRKGKEPVIWKESEKEIEMQSTERQRYQRPRSSGRNSRRKRPAKFIYGKGIACVTGDSGIGKTELLLEFAYRYHQRYKMVLWIGGESRYIRQNYLNLWSFLDVDVRVENGIDKRRMKSFEEQEEAAISRVRKELMRNIPFLVVIDNLESEKDWWDQKFVMDLLPRFGGETHILISTRLPRVMNLEPLKLSYLSGVEAMSLMQGSVKDYPIAEIDVLRVIEEKVGRLTLGLAIVGAILSELPINPSRLLDTINRLPSRDFSWSGREAHSLRKNTFLLQLFEVCFSIFDHADGPRSLATRMVQVSGWFAPAAIPVSLLALAAHKIPEKHKGTRFWRKLLRSLTCGFSSCYSKRFESEASSMLLRFNLARSSTKEGYIHFNEVIRMYARRRGVTGVSHAMVQAVVSHASLSHHSEHIWAVCFLLFGFGNDPTVVELRVLELLYIVKEVILPLAIRTFMVFSRCSAALELLRLCTDALEAADQAFVTPVEKWLDKSLCWGPIRTNAQLNPCLWQELALTRATVLETRAKLMLRGGQFDIGDDLIRKAIFIRNSISGEDHPDTVSARETLSKLTRLLANVQAHTSP >KJB58722 pep chromosome:Graimondii2_0_v6:9:17354619:17358094:-1 gene:B456_009G223000 transcript:KJB58722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGSSYICSLVQSLRSASFPSKLANYSGYTSRAASNSLRHPSTYSEDEYADVDWDKLGFGLTPTDYMYVMRCSKDDNFKEGRLSRYANIEISPSAGVLNYGQGIYEGTKANRTEDGRILLFRPDQNATRMMHGAERMCMPSPSIQQFVDAVKETVFANKRWIPPPGKGSLYVRPLLVGTGPILGLAPAPEYTFLVYASPVRNYFKEGTAPLNLYIDEEFVRASPGGAGGVKTITNYAPVLKAIARAKDRGFSDVLYLDAVNRKYLEEVSSCNIFIVKGNRISTPAISGTILQGITRKSILEIARDHGYQVEERAIPVDDLGDADEVFCTGTAVGVAPN >KJB58723 pep chromosome:Graimondii2_0_v6:9:17354733:17358073:-1 gene:B456_009G223000 transcript:KJB58723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGSSYICSLVQSLRSASFPSKLANYSGYTSRAASNSLRHPSTYSEDEYADVDWDKLGFGLTPTDYMYVMRCSKDDNFKEGRLSRYANIEISPSAGVLNYGQGIYEGTKANRTEDGRILLFRPDQNATRMMHGAERMCMPSPSIQQFVDAVKETVFANKRWIPPPGKGSLYVRPLLVGTGPILGLAPAPEYTFLVYASPVRNYFKEGTAPLNLYIDEEFVRASPGGAGGVKTITNYAPVLKAIARAKDRGFSDVLYLDAVNRKYLEEAKLITKEPRWTIYREIVSQLLL >KJB58721 pep chromosome:Graimondii2_0_v6:9:17354554:17358494:-1 gene:B456_009G223000 transcript:KJB58721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGSSYICSLVQSLRSASFPSKLANYSGYTSRAASNSLRHPSTYSEDEYADVDWDKLGFGLTPTDYMYVMRCSKDDNFKEGRLSRYANIEISPSAGVLNYGQGIYEGTKANRTEDGRILLFRPDQNATRMMHGAERMCMPSPSIQQFVDAVKETVFANKRWIPPPGKGSLYVRPLLVGTGPILGLAPAPEYTFLVYASPVRNYFKEGTAPLNLYIDEEFVRASPGGAGGVKTITNYAPVLKAIARAKDRGFSDVLYLDAVNRKYLEEVSSCNIFIVKGNRISTPAISGTILQGITRKSILEIARDHGYQVEERAIPVDDLGDADEVFCTGTAVGVAPVGSITQRNRRIEFKTESSLVWKELYTTLVGLQTGRIEDKKGWTVEIH >KJB60413 pep chromosome:Graimondii2_0_v6:9:29277049:29280068:-1 gene:B456_009G313300 transcript:KJB60413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLRYEEMTKKYEVEYIAGGATQNSIRVAQWMLQIPGATSYMGCIGKDKFGEEMKKNSKLAGVNVHYYEDGTAPTGTCAVCVVGGERSLVANLSAANCYKSEHLKKPENWALVEKAKYFYVAGFFLTVSPESIQLVAEHAAAKNKVFMMNLSAPFICEFFRDVQEKALPYMDYVFGNETEARTFSKVHGWETDNVEEIALKISQWPKASGTHKRITVITQGADPVVVAEDGKVKKFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIEECVRAGCYAANVIIQRSGCTYPEKPSFN >KJB60412 pep chromosome:Graimondii2_0_v6:9:29277007:29280771:-1 gene:B456_009G313300 transcript:KJB60412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEGILLGMGNPLLDISAVVDEDFLKKYGIKLNDAILAEDKHLPMYEEMTKKYEVEYIAGGATQNSIRVAQWMLQIPGATSYMGCIGKDKFGEEMKKNSKLAGVNVHYYEDGTAPTGTCAVCVVGGERSLVANLSAANCYKSEHLKKPENWALVEKAKYFYVAGFFLTVSPESIQLVAEHAAAKNKVFMMNLSAPFICEFFRDVQEKALPYMDYVFGNETEARTFSKVHGWETDNVEEIALKISQWPKASGTHKRITVITQGADPVVVAEDGKVKKFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIEECVRAGCYAANVIIQRSGCTYPEKPSFN >KJB55052 pep chromosome:Graimondii2_0_v6:9:4294785:4297590:-1 gene:B456_009G060000 transcript:KJB55052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMNLSWSLLSFTPVLITFLFLSTFLTFSHGVSVSLSPTPLAQQLTSGKVNGSEAKSGVELSWGITRRSVVEVPIGQPLPPLEVTTSSLALAAERTYRKDPLNGFKRYTGGWDIRERHYWASVAYTAVPLFAIAAIWFVGFGLCLLLVCIFYFCCKRQPYGYYQIAYATSLVFLALFTIAAILGCIVLYVGQDRFHSSTTKTLQYVVNQADMTVRKLKDVSDSLATAKQVGVDKVFLPSNVQTDIDEIGTKINSSASTLADKTVDNSDDIRDLLDSVRVALIVIAAIMLVLTFLGLLFSIFGMQLLVYILVVLGWLLVTGTFILCGTFLVLHNAAADTCVAMHDWMQNPTAHTALDDILPCVDNATTQETLLKSREVTSQLVEVINTVITNVSNINFSPNFPTMYFNQSGPLVPVLCNPFSADLTERTCTAGELNADNATEVWRNYVCQVSPTGICTTTGRITPALYDQMTAAVNVGSALYNYAPFLVQLQDCTFVRETFTGVYVEHCPGLRRYSRWVYIGLVMVSTAVMLSLIFWVIYGRERRHRLYTKQINEGAETDKDS >KJB55051 pep chromosome:Graimondii2_0_v6:9:4294440:4297865:-1 gene:B456_009G060000 transcript:KJB55051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMNLSWSLLSFTPVLITFLFLSTFLTFSHGVSVSLSPTPLAQQLTSGKVNGSEAKSGVELSWGITRRSVVEVPIGQPLPPLEVTTSSLALAAERTYRKDPLNGFKRYTGGWDIRERHYWASVAYTAVPLFAIAAIWFVGFGLCLLLVCIFYFCCKRQPYGYYQIAYATSLVFLALFTIAAILGCIVLYVGQDRFHSSTTKTLQYVVNQADMTVRKLKDVSDSLATAKQVGVDKVFLPSNVQTDIDEIGTKINSSASTLADKTVDNSDDIRDLLDSVRVALIVIAAIMLVLTFLGLLFSIFGMQLLVYILVVLGWLLVTGTFILCGTFLVLHNAAADTCVAMHDWMQNPTAHTALDDILPCVDNATTQETLLKSREVTSQLVEVINTVITNVSNINFSPNFPTMYFNQSGPLVPVLCNPFSADLTERTCTAGELNADNATEVWRNYVCQVSPTGICTTTGRITPALYDQMTAAVNVGSALYNYAPFLVQLQDCTFVRETFTGVYVEHCPGLRRYSRWVYIGLVMVSTAVMLSLIFWVIYGRERRHRLYTKQINEGAETDKDS >KJB54337 pep chromosome:Graimondii2_0_v6:9:2273846:2277816:1 gene:B456_009G030100 transcript:KJB54337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRILNDALRSIVNAERRGKATVELKPISTVISSFLNIMKYRGYIKNFEVHDPHRVGRITVDLQGRVNDCRALTYRQDIKAKDIEKYKTLKLPTRQWGYVVISTPDGVLDHEEAIRRNERMIA >KJB54336 pep chromosome:Graimondii2_0_v6:9:2273771:2275639:1 gene:B456_009G030100 transcript:KJB54336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRILNDALRSIVNAERRGKATVELKPISTVISSFLNIMKYRGYIKNFEVHDPHRVGRITVDLQGRVNDCRALTYRQDIKAKDIEKYKTLKLPTRQWGYVVISTPDGVLDHEEAIRRNVGGQVLGYFH >KJB53369 pep chromosome:Graimondii2_0_v6:9:26989722:26990423:-1 gene:B456_009G3013002 transcript:KJB53369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERVRQKCSHSEEKYQTNISLATLDSGCLNSATVCGVDWTTLPDDTSHSPCDARISRDLNFLQQAQQMQ >KJB62463 pep chromosome:Graimondii2_0_v6:9:65018988:65022105:1 gene:B456_009G418300 transcript:KJB62463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIGSSGVSGRRRHGSRRNHPPPPHPPPPAQPQPEISANRYVFAAATPYPPQYPNTNAPQYYQYPGYYPHQPPVPLPLPAPYDHHHHHRGGPHIEPASWSRYACGPMITPPPPYIEHQKAVTIKNDVNLKKETLKFEADELNPGKFLVSFTFDATVAGRITVIFFAKEGEDCNLTAMKESILPPVIVPFEQGLGQKFRLPSGTGIDFSMFEESELLKVDEVDIYHLAVKAEALPLNQNVSDGNQESGAMNSQITQAVFEKEKGEYQTRVVKQILWVNGKRYELQEIYGIGNSVDNDVDPNDSGKECVICLSEPRDTTVLPCRHMCMCSSCAKVLRCQTNRCPICRQPVERLLEIKVNNGPDE >KJB62464 pep chromosome:Graimondii2_0_v6:9:65019093:65021417:1 gene:B456_009G418300 transcript:KJB62464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIGSSGVSGRRRHGSRRNHPPPPHPPPPAQPQPEISANRYVFAAATPYPPQYPNTNAPQYYQYPGYYPHQPPVPLPLPAPYDHHHHHRGGPHIEPASWSRYACGPMITPPPPYIEHQKAVTIKNDVNLKKETLKFEADELNPGKFLVSFTFDATVAGRITVIFFAKEGEDCNLTAMKESILPPVIVPFEQGLGQKFRLPSGTGIDFSMFEESELLKVDEVDIYHLAVKAEALPLNQNVSDGNQESGAMNSQITQAVFEKEKGEYQTRVVKQILWVNGKRYELQEIYGIGNSVDNDVDPNDSGKECVICLSEPRDTTVLPCRHMVKAATCSFLFIS >KJB57140 pep chromosome:Graimondii2_0_v6:9:11374022:11376217:-1 gene:B456_009G149900 transcript:KJB57140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESGRKQGAAPPCAACKLLRRRCAQDCVFAPYFPADEPQKFANVHKVFGASNVNKMLQELPVHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDALQTQLAMAQAEVVHLRVRQTASFSHHGFGPGSPSNSGSPSSKLMGSQAKPIFDMDMVVDHASFGESMWSC >KJB57139 pep chromosome:Graimondii2_0_v6:9:11374354:11375957:-1 gene:B456_009G149900 transcript:KJB57139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESGRKQGAAPPCAACKLLRRRCAQDCVFAPYFPADEPQKFANVHKVFGASNVNKMLQELPVHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDALQTQLAMAQAEVVHLRVRQTASFSHHGFGPGSPSNSGSPSSKLMGSQAKPIFDMDMVVDHASFGESMWSC >KJB57138 pep chromosome:Graimondii2_0_v6:9:11373826:11376270:-1 gene:B456_009G149900 transcript:KJB57138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESGRKQGAAPPCAACKLLRRRCAQDCVFAPYFPADEPQKFANVHKVFGASNVNKMLQELPVHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDALQTQLAMAQAEVVHLRQYESTKKREVVAVAVALWRLEWFSRSFSIFWFEKGLYH >KJB56855 pep chromosome:Graimondii2_0_v6:9:10470545:10474385:1 gene:B456_009G138600 transcript:KJB56855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMRRRKDEAKVMSPMFPRLHVNDTEKGGPKAPPRNKMALYEQLSIPSQRFNSALPLPPNNTNSLVPSISSRHGEGNESSMFMPFENSHEPSSLAEKFHSYSIHGAKISSTKENQHNQFPKATDYHSLDTTPSTPAVCKSTFLSPHFSNLKKFSPRKLGHDDDLRVPTSVLSGIDRSCSCNKHGEDQERFPELNLSSSMQLQSANEISVGSKSKRYVENQAEENGRLFRSNQDLADTSSNLSTKMKNSKSLKRPHNSCNKENKISSVDILNGEVHANTWLHHESGRSLENASNVRNKSCSRQSLGVDNGSLNALETRYKTHEENNPGTTQVRGLNRHNKVPSTSMMESVSCLNMCPDDIVGIIGQKHFWKVRRAIIDQQRIFAMQVFELHRLIKVQRLIAGSPKILLEDTYYMGKLSLDVSPFKKLPSDNVSEPPLIVKIRDRSQKPNIGIEYADENAIAKLPHPSANGDTNKGLLTQRPKYGPYSGNVLSTSTATNTGSSSPWGFSPPGSQWLVPVMSPSEGLVYKPYAGPCPPTAGILAPVYGSCGPLNLATGGGDFLSSTYGVSASHQQGFGILPGNPPIGQTYFPHYGTPVRNPSVSGSVVEQMSPFTGVKSMGNRLSTGDVNFTIAQQSSCNMSSQMSQAISFCVTKLPASKESEIQASTASSPSERTKGDALPLFPTKPTTQACTHKAETSGQQTRVIKVVPHNRKLATESAARIFQSIQEERKH >KJB61698 pep chromosome:Graimondii2_0_v6:9:51025445:51027175:-1 gene:B456_009G375200 transcript:KJB61698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRRKGKRGHRFDNVDHALILFNKMIEKYPKPSIMEFTKLLLAPIVGMKYYGIVVSMCSQMELLGVSHNAYSLNILINCCCKLGRIDFGFSVLGKMLKLGVEPDVVTFSTLLNGLRNQNKIFEAVSIFDEMIERGYQPHLIAYSTILKGLCKTGNTDRAVRFLRPMESRGSEPNIVAYNTILDCLCKKGLLKEALDLFSEVKVKGIRPNIITYSCLIHGMCNSGQQEEATRLLNEMVDNNISAYNVLIDALCKEGLISKAVETIGIMRKQDIKPNIVTCNTLVDAHCKEGMVSKAEDIVAAMIKRGIEPNVVTYNILINGHCLQNKMDKARRVLQLMIEKGCAPEIVTYSTMINGYCNGKRLDEAMELFHEISRKGPILDTVKYNTLLQIMFQLGRVSTACKLFRKMLASGQIPDIVTCLILLNGLCKTGHIEEALKLFEAMRNSGLELDIVPYTILIDGLCKVGHITVAKELFHQLSDNGLKLNVYTYCIMINGLCKDGLPDEAYRLFGSMGDNDCSPDSCCYNVMIRGFLRNNSTSKATQLLTEMVGKGFSADIFTATLFTDFIVHSNRSILL >KJB62491 pep chromosome:Graimondii2_0_v6:9:65320544:65321961:1 gene:B456_009G419300 transcript:KJB62491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEFEFADKGSKIIYQTEAKGFNPGLIVLLVVGGLLLTFLVGNYVLYSYAQKTLPPRKKKPISKKKMKKERLKQGVSAPGE >KJB58356 pep chromosome:Graimondii2_0_v6:9:15952908:15955339:1 gene:B456_009G206100 transcript:KJB58356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILATNLCFRTIPTTCTTVKQQKDPPHQLNPVIPTKTKSCECFDLHKELIPYEKAWSWQKQIVKQKKSLLQEHEDCPDTLIVLQHNPVYTMGTGSSENYLNFDVKEAPFDVYRTERGGEVTYHGPGQLVMYPIINLRNHKMDLHWYLRTLEEVVIRVLSSTFSIKASRIEGLTGVWIGNQKLAAIGVRVSKWITYHGLALNVTTDLTPFSWIVPCGLRHRQVGSIKGP >KJB58355 pep chromosome:Graimondii2_0_v6:9:15952653:15955415:1 gene:B456_009G206100 transcript:KJB58355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILATNLCFRTIPTTCTTVKQQKDPPHQLNPVIPTKTKSCECFDLHKELIPYEKAWSWQKQIVKQKKSLLQEHEDCPDTLIVLQHNPVYTMGTGSSENYLNFDVKEAPFDVYRTERGGEVTYHGPGQLVMYPIINLRNHKMDLHWYLRTLEEVVIRVLSSTFSIKASRIEGLTGVWIGNQKLAAIGVRVSKWITYHGLALNVTTDLTPFSWIVPCGLRHRQVGSIKGLLQEFQSSTQYAEAVKPFPDDYQLIDISYKSLIKEFSEVFNLEIHQKAITVPEFWREDH >KJB57257 pep chromosome:Graimondii2_0_v6:9:12928494:12930809:1 gene:B456_009G168000 transcript:KJB57257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSPAACGQQSWSISDDSLRRYVQYASESCIQELLMSASVSVSPASETDKLGNRSDGWKVLTLENGVEISKRRSGSLHMFRSRWVLRSVSPQQFITVANAIDAAKQWDSELVEGRYIKDLEDNLSIIRLRFGDNSKPLFRKREFIVYERRETMEDGTLVVAVASLPKEIAAGLLPQQNNAIRGFLLQSGWVVEKLEDINSCIVTYVVQDGFPSGL >KJB57258 pep chromosome:Graimondii2_0_v6:9:12928494:12930809:1 gene:B456_009G168000 transcript:KJB57258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSPAACGQQSWSISDDSLRRYVQYASESCIQELLMSASVSVSPASETDKLGNRSDGWKVLTLENGVEISKRRSGSLHMFRSRWVLRSVSPQQFITVANAIDAAKQWDSELVEGRYIKDLEDNLSIIRLRFGDNSKPLFRKREFIVYERRETMEDGTLVYIYISFILLLKFLINEHSKLRLVHRLIGN >KJB57261 pep chromosome:Graimondii2_0_v6:9:12928494:12930809:1 gene:B456_009G168000 transcript:KJB57261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSPAACGQQSWSISDDSLRRYVQYASESCIQELLMSASVSVSPASETDKLGNRSDGWKVLTLENGVEISKRRSGSLHMFRSRWVLRSVSPQQFITVANAIDAAKQWDSELVEGRYIKDLEDNLSIIRLRFGDNSKPLFRKREFIVYERRETMEDGTLFAGCSSGLTAQGNCCRFASTAK >KJB57256 pep chromosome:Graimondii2_0_v6:9:12928494:12930173:1 gene:B456_009G168000 transcript:KJB57256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSPAACGQQSWSISDDSLRRYVQYASESCIQELLMSASVSVSPASETDKLGNRSDGWKVLTLENGVEISKRRSGSLHMFRSRWVLRSVSPQQFITVANAIDAAKQWDSELVEGRYIKDLEDNLSIIRLRFGDNSKPLFRKREFIVYERRETMEDGTLVYIYISFILLLKFLINEHSKLRLVHRLIGN >KJB57260 pep chromosome:Graimondii2_0_v6:9:12928494:12930809:1 gene:B456_009G168000 transcript:KJB57260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSPAACGQQSWSISDDSLRRYVQYASESCIQELLMSASVSVSPASETDKLGNRSDGWKVLTLENGVEISKRRSGSLHMFRSRWVLRSVSPQQFITVANAIDAAKQWDSELVEGRYIKDLEDNLSIIRLRFGDNSKPLFRKREFIVYERRETMEDGTLFAGCSSGLTAQGNCCRFASTAK >KJB57255 pep chromosome:Graimondii2_0_v6:9:12928327:12930835:1 gene:B456_009G168000 transcript:KJB57255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSPAACGQQSWSISDDSLRRYVQYASESCIQELLMSASVSVSPASETDKLGNRSDGWKVLTLENGVEISKRRSGSLHMFRSRWVLRSVSPQQFITVANAIDAAKQWDSELVEGRYIKDLEDNLSIIRLRFGDNSKPLFRKREFIVYERRETMEDGTLVVAVASLPKEIAAGLLPQQNNAIRGFLLQSGWVVEKLEDINSCIVTYVVQLDPAGWLPKWFVNRLNTKLVMIIEDLRKLVQTTAPH >KJB57259 pep chromosome:Graimondii2_0_v6:9:12929041:12930631:1 gene:B456_009G168000 transcript:KJB57259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASVSVSPASETDKLGNRSDGWKVLTLENGVEISKRRSGSLHMFRSRWVLRSVSPQQFITVANAIDAAKQWDSELVEGRYIKDLEDNLSIIRLRFGDNSKPLFRKREFIVYERRETMEDGTLVVAVASLPKEIAAGLLPQQNNAIRGFLLQSGWVVEKLEDINSCIVTYVVQLDPAGWLPKWFVNRLNTKLVMIIEDLRKLVQTTAPH >KJB60615 pep chromosome:Graimondii2_0_v6:9:29728172:29737733:-1 gene:B456_009G3154001 transcript:KJB60615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVAEAFLSASIEVLLDRIVSADVLRLIKGKKLEAVLLNKLKPTLMSVKAVLDDAENKQIANPNVKSWTDELKDAVYDAEDLLDEISTEALRNKIESEYQTTNMKQVSSFFSSLNPFKDGAQSKLEEILGRLDNLLNQKQILGLKENSEGEKAFQRTPATSLVDESDVCGRDDEKEEIMKLLDPQNLPKNQVDVIPIVGMGGLGKTTLAQLIYNDPRVDKWFHPKAWVCVSEKFDALKVTKTILEETKCSCDGNQNLNQFQLKLKEQLLGKKYLIIMDDVWNENYAVWEQLSIPFKFDREL >KJB60614 pep chromosome:Graimondii2_0_v6:9:29728172:29729436:-1 gene:B456_009G3154001 transcript:KJB60614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVGEAFLSASIEVLLDRIVSADVLRLIKGKKLEAVLLNKLKPTLMSVKAVLDDAENKQIANPNVKSWTDELKDAVYDAEDLLDEISTEALRNKIESEYQTTNMKQVSSFFSSLNPFKDGAQSKLEEILGRLDNLLNQKQILGLKENSEGEKAFQRTPATSLVDESDVCGRDDEKEEIMKLLDPQNLPKNQVDVIPIVGMGGLGKTTLAQLIYNDPRVDKWFHPKAWVCVSEKFDALKVTKTILEETKCSCDGNQNLNQFQLKLKEQLLGKKYLIIMDDVWNENYAVWEQLSIPFKFDREL >KJB57780 pep chromosome:Graimondii2_0_v6:9:13885255:13887434:-1 gene:B456_009G180300 transcript:KJB57780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTRGRTIGRGSTATVCVATVDQSRVFAVKSSELSLSESLRKEQRILSTLSCPHVVGYKGCDISSENGKLLYNLFLEYAPSGTVMDAIQKHGGYLEEATVRSYTRGILLGLEFLHSRGIVHCDIKGQNVLVTDDGVKIADLGCARRADEASSAAWSIAGTPVYMAPEVARGEQQGCSADVWALGCTVIEMAAGRSPWPDVSDPVSALYRIGFSSDVPEIPTNISKLAQDFLSKCLRRDPADRWSATQLLSHDFLAESKFPVKETEGSKSETPNNVLHPNIWDSMEELETVQIPSNKPIMERLRQLGEDNLVLSSKIPNWECDENWLTVRSNSNLEVEKLPSTSRQDSNLLRSDEPPSSCGGYTSRTSEDFEILVDCFLTKIRRQNTRITNSDSVESRSKTGSFAACRYMIYILCMDLNYSNNNYFINPIFTAFQSQRCYNTSRTVLFQISKFGPRWSSLWTIWLSVDRRVFENKDASSGELLFLVKLRALEWVKAIENKEVCDRLVVKGGGFIWVPSLAVWLLSY >KJB62065 pep chromosome:Graimondii2_0_v6:9:57077409:57078470:1 gene:B456_009G399200 transcript:KJB62065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSASPPLSALCSPAITIVDQNNASTISTVHQDIIETHIFTRLDGPTLASASCASTHLHALASQENLWTNICHSTWPSTTSSRVRHVISNFHNGPRSFFSDAFPLAIEPVSFGNSSENPPDLPSEIISAVDIYYKKELIFSKVVETETVSAWFKCSPFRVDLLDPKEAVSTRIPNPDKDDTCRYLEEDMELSWIIIDPIGKRAMNLSSQRPVNVHRHWLSGEVQVKFASVVGGGGIGAATELVQCGVVVTCGGSAKGEMHVREVSLQLEDMDGMYLNGKESLVMLTRGMAGKRGRGKKRESERKKEVEEFLERKRERKERKMRREGTLDTLCVAFGVSAFASSLLFLLFR >KJB58784 pep chromosome:Graimondii2_0_v6:9:17703442:17704589:-1 gene:B456_009G226100 transcript:KJB58784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNEEMLSSTRPLTIGFPLGFAFLLILLFCISGFVIGCVNWDKLRALILQSSGHDHDDNDDTRPDVNHAPVVGPAPLLKAARPKIVGQSLPVLMPGDEVPRFVAMACPCEPPTIEKIKDTVQKPPPLPVPFLHS >KJB62490 pep chromosome:Graimondii2_0_v6:9:65316853:65319880:1 gene:B456_009G419200 transcript:KJB62490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFSYSLPLFLCFISSFNGIFAATEFDFGTLNLSSLKLLGDAHLNNGSVRLTRDLQVPASVAGRALYSKPVRFREPQTHSPCSFSTFFSFYITNLNPSSIGGGLAFVISPDGDFIGAGGGSLGLLDDKGRGSGFVAVEFDTLMDVEFDDFNGNHVGLDLNSMVSSQVGDLGALDIDLKSGDLVNSWVEYDASSGIFNISVSYSTLKPKEPMLSFPLDLDHYVNDFMYVGFSGSTQGSTEVHSIEWWSFSSSFNSTSPPPPTATLMNPTANIVKSKPPSLAPSDSHLSKSSSSSSCHNQLCKQGPGAVAGVVTAGAFVLALFAGALIWVYSKKLKHVKKSESFPSEIIKMPKEFRYKELKAATRCFDANRIIGHGAFGTVYKGILPDNGNIVAVKRCSHTSQGKNEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFEARTPLPWAHRQKILLGVASALAYLHQECDHQVIHRDVKTSNIMLDEGFNAKLGDFGLARQIEHDKSPDATVAAGTMGYLAPEYLQTGRATEKTDVFSFGAVVLEVASGRRPIERNANAAAKVGANGNLVEWVWSLRREGRLLAAADARLEGNFNEGEMRRVLLVGLACSHPDPLARPTMRGVVQMLVGEAQVPIVPKAKPSMSFSTSHLLLSLQDTISDCNDMINFFSSSSENSHIGPDLV >KJB55027 pep chromosome:Graimondii2_0_v6:9:4212292:4215567:1 gene:B456_009G058700 transcript:KJB55027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKVVDALSASFSEYDFIEGDDEQLRTVVSASNLRIPRIDPSVLKLRHRIGRGPFGDVWLATYHRSTNEYDHQYHEVAIKMLHPVKQDDMRTLLDKFDDLYSKCQGVNNICSFQGISIIGGKICIVMKFYEGSIGDKMTRRKGGKLPLPNILRYGINLAQGILELHSQGILVLNLKPFNFLLNETDQAVLGDIGIPYLLLGIRLPSSDMTHRLGTPNYMAPEQWQPEIRGPISFETDSWGFACSIVEMLTGIVPWHGKSADEIYDLVVRKQEKPLIPSGLPPAVEKVLLGCFEYDFRSRPLMKDILHVFKSSENGGEDDGRWTDLGSTIVSDRKHSTTGYTEWFLSKDHLLVGDTVRSRKPSNSCKPENMDVPEGNVVGVEYSTDRDGFVLVKVHGIHDPLRVNVSTLERVTFGLAAGDWVRLKEEDKRHSPVGILHSIDRDGNVAVGFIGLETLWKGSSSQFQMAETCCIGQFVKLKSNVLSPRFAWPRKGGGMWATGKICWILPNGCLVVKFPGRLTFGEELGDFLADPAEVEVVSFKNCPGVVKKYQHLEDFHWAVRPLLIALGLFTAMKMGSFVGKRIGRSKAKRLSSVIHNDVQHMDHAGSGNPAWLPPPVANILFGEGAAR >KJB62982 pep chromosome:Graimondii2_0_v6:9:69883966:69885819:-1 gene:B456_009G447700 transcript:KJB62982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPALYCDEIAGLKSYPKTNSWKEGTDCCSWDGVSCDHLNAHVIALCSKLAFWQLPLNNSLFLLPHLQKLNLAFNNFNQSKIPSEFGRFTGLLYLNLSHTGFVGVVPSQVSHLSKLVSLDLSWIDEQLTIDKYALEGLVHNLTEALKYLGLSGNSFFQGLFVSITILSSLEDLIISDPYFFGGLPESIGNLVSLKFLDLSHSNLSGPIPRSLGNLLQLTHLNLGRNKLSGQIPLSILNLTQLEYLKIAGNSLEGSIPDEVTTFLYLISLDLTNNLLNGTLPSWLYFALSLKTIYLSQNQFSGNIKEFQSKSLEYLYLKNNKLQGPLPSSIFQLLNLTKLILSSNNLTGVIEFSLNNICFQDFVSKSLLMWA >KJB60994 pep chromosome:Graimondii2_0_v6:9:35150673:35155553:-1 gene:B456_009G334400 transcript:KJB60994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFDEYGRPFIILKEQEQKTRLSGLEAQKANISAGRAVSRILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRIAEGYEMASRIAVEHLERIAQKFDFGPTNIEPLVQTCMTTLSSKIVNRCKRALAEISVKAVLSVADLERKDVNLDLIKVEGKVGGKLEDTELIYGITVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRQQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHQNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGRAGLVREKSFGTTKDKMLYIEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNFIVYGGGAAEISCSIAVEAAADKYPGVEQYAIRAFADALDAVPVALAENSGLQPIETLSAVKSQQIKENNPHFGIDCNDVGTNDMCEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDY >KJB62284 pep chromosome:Graimondii2_0_v6:9:63211445:63212403:-1 gene:B456_009G413600 transcript:KJB62284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB27 [Source:Projected from Arabidopsis thaliana (AT3G53200) UniProtKB/Swiss-Prot;Acc:Q9SCP1] MANETTTAAMQGENLRKGPWHEEEDERLISFVKLLGSRRWDYIAQASGLKRSGKSCRLRWVNYLRPNLKHSSISAEEEMIILKLHQKWGNKWSMIARMLPGRTDNEIKNYWRTHLRKKAVIQDQAGNFRFIQEDDNSSNSKTYNGESYNPFVDISDTQNSCYAAAPVSDFETSPYETRLSDWISEFLSDQSEIKSQLDSTTSTTTTTTTPHSCNFYPAWFYEENDVWGYSGSLWNMD >KJB62283 pep chromosome:Graimondii2_0_v6:9:63211445:63212474:-1 gene:B456_009G413600 transcript:KJB62283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB27 [Source:Projected from Arabidopsis thaliana (AT3G53200) UniProtKB/Swiss-Prot;Acc:Q9SCP1] MANETTTAAMQGENLRKGPWHEEEDERLISFVKLLGSRRWDYIAQASGLKRSGKSCRLRWVNYLRPNLKHSSISAEEEMIILKLHQKWGNKWSMIARMLPGRTDNEIKNYWRTHLRKKAVIQDQGNFRFIQEDDNSSNSKTYNGESYNPFVDISDTQNSCYAAAPVSDFETSPYETRLSDWISEFLSDQSEIKSQLDSTTSTTTTTTTPHSCNFYPAWFYEENDVWGYSGSLWNMD >KJB58718 pep chromosome:Graimondii2_0_v6:9:17336295:17342939:1 gene:B456_009G222800 transcript:KJB58718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVADLLTSAGINISVCVVAFSLYSVLRKQPSNACVYFTRRYISETIRHDDPFSLHRFVPSAGWIMQAWRATDEEILEAGGIDAVVFMRIVVLSIRIFIIAAVICVFLVLPVNYYGKDMEHRNFRFESIDVFTIGNVEEGSKWLWAHCLALYIITCAVCVLLYLEYKSITKMRLAYITGSHPNPSHFTVLVRGIPWSQDHSYSKSVESFFSTYYPDTYVDHQMIYRPSTVHKLKKDAKKMYRILRPVETEYVRGDKPCYLCGGTAHTFKLLQNGEDSIRSQTSIDELHPTQIEKECPAAFVFFKNRYAAVVAAQVLQSSNPMLWVTQLAPEPHDVYWSNLGIPYKQVWVRKIVVLLIALAFVFVFLFPVTLVQGLTQPQVLIHWFPQIKEVLKLKFLNRLVTGYLPSVILILFLYTVPPIMMLLSRIEGNVSRSDRKRSACIKILYFTIWNVFFVNVLSGSFINQMSIFSQIKELPMMLAKQVPTQATFFTTYVLSSGWASLAFELLQLFALICNILRRFILRSKKEPTNIALTFPHHTEIPRLLLFGLLGFTLSILAPIILPFLLVYFFLAFLVYRNQILHVYVTKYESAGQFWPIVHNATIFSLVLTQVIAVAVLAMKKSPMASGCTIPLIIISLLFNEYCRKRFSQVFKRNPAQVLIEMDRRDEQWGKEEGIYNRLRSEYCQLAYISRDLTISKDFSVAGPNRQSRTDHNSPQGADENSLKQGTHHIKLQK >KJB58719 pep chromosome:Graimondii2_0_v6:9:17336295:17342939:1 gene:B456_009G222800 transcript:KJB58719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVADLLTSAGINISVCVVAFSLYSVLRKQPSNACVYFTRRYISETIRHDDPFSLHRFVPSAGWIMQAWRATDEEILEAGGIDAVVFMRIVVLSIRIFIIAAVICVFLVLPVNYYGKDMEHRNFRFESIDVFTIGNVEEGSKWLWAHCLALYIITCAVCVLLYLEYKSITKMRLAYITGSHPNPSHFTVLVRGIPWSQDHSYSKSVESFFSTYYPDTYVDHQMIYRPSTVHKLKKDAKKMYRILRPVETEYVRGDKPCYLCGGTAHTFKLLQNGEDSIRSQTSIDELHPTQIEKECPAAFVFFKNRYAAVVAAQVLQSSNPMLWVTQLAPEPHDVYWSNLGIPYKQVWVRKIVVLLIALAFVFVFLFPVTLVQGLTQPQVLIHWFPQIKEVLKLKFLNRLVTGYLPSVILILFLYTVPPIMMLLSRIEGNVSRSDRKRSACIKILYFTIWNVFFVNVLSGSFINQMSIFSQIKELPMMLAKQVPTQATFFTTYVLSSGWASLAFELLQLFALICNILRRFILRSKKEPTNIALTFPHHTEIPRLLLFGLLGFTLSILAPIILPFLLVYFFLAFLVYRNQILHVYVTKYESAGQFWPIVHNATIFSLVLTQVIAVAVLAMKKSPMASGCTIPLIIISLLFNEYCRKRFSQVFKRNPAQVLIEMDRRDEQWGKEEGIYNRLRSEYCQLAYISRDLTISKDFSVAGPNRQSRTDHNSPQGADENSLKQATELELRQ >KJB59144 pep chromosome:Graimondii2_0_v6:9:19311149:19316651:-1 gene:B456_009G241100 transcript:KJB59144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKPEGHTDGIHKEDQGKPVEVEEMHSLNISKDDVNKLEDGNKEDKDDKHVEQGDRMNKDGDLDSKAQEEVNETTREDLAEEEQEPVFDGTEVPGMQANRSSSTRSLDPDPEEEGSVWPEKAVALKNFVKEKGAAVTSVLRRLSLKRDGVEQANVDVDKDTSDSAKLGEQAAMSPRTAERSAWNPLNYIKVSRDTDSESKAEQGKNIIEESLLPIATKGRIILYTRLGCRDCREARLFLQRKRLRYVEINIDVYPSRKLEIEKVSGSCAVPKVILNEVLIGGLSELKGLDESGKLDEKIDFFISEAPSPEAPLPPLPGEDDVSDNGPVDELAVIVQKMKATIVVKDRLYKMRRFTNCFLGSEAVDFLSEDQYLEREEAVEFGQKLASEHFFRHVLDENLFEDGDHLYRFLDHDPTVSSQCHNIPRGIIELKPKPIAEIASRLRFLSYAIFEAYASEDGRHVDYRSIHGSEEFARYLRIVQELQRVKVQDMPREEKLAFFINLYNMMAIHAILAWGHPAGPLERRKLFGDFKYVVGGCTYSLSSIQNGILRGNQRPPYNLMKPFGVKDKRSQVALPYPEPLIHFALVCGTRSGPALRCYSPGNIDKELMDAARDFLRAGGLIVDLSGKVAYASKILKWYSVDFGKNEVEVLKHASNYLEPTDSEALLEIIADAQLKVIYQPYDWRLNC >KJB59143 pep chromosome:Graimondii2_0_v6:9:19311367:19315608:-1 gene:B456_009G241100 transcript:KJB59143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKPEGHTDGIHKEDQGKPVEVEEMHSLNISKDDVNKLEDGNKEDKDDKHVEQGDRMNKDGDLDSKAQEEVNETTREDLAEEEQEPVFDGTEVPGMQANRSSSTRSLDPDPEEEGSVWPEKAVALKNFVKEKGAAVTSVLRRLSLKRDGVEQANVDVDKDTSDSAKLGEQAAMSPRTAERSAWNPLNYIKVSRDTDSESKAEQGKNIIEESLLPIATKGRIILYTRLGCRDCREARLFLQRKRLRYVEINIDVYPSRKLEIEKVSGSCAVPKVILNEVLIGGLSELKGLDESGKLDEKIDFFISEAPSPEAPLPPLPGEDDVSDNGPVDELAVIVQKMKATIVVKDRLYKMRRFTNCFLGSEAVDFLSEDQYLEREEAVEFGQKLASEHFFRHVLDENLFEDGDHLYRFLDHDPTVSSQCHNIPRGIIELKPKPIAEIASRLRFLSYAIFEAYASEDGRHVDYRSIHGSEEFARYLRIVQELQRVKVQDMPREEKLAFFINLYNMMAIHAILAWGHPAGPLERRKLFGDFKYVVGGCTYSLSSIQNGILRGNQRPPYNLMKPFGVKDKRSQVALPYPEPLIHFALVCGTRSGPALRCYSPGNIDKELMDAARDFLRAGGLIVDLSGKVAYASKILKWYSVDFGKNEVEVLKHASNYLEPTDSEALLEIIADAQLKVIYQPYDWRLNC >KJB56282 pep chromosome:Graimondii2_0_v6:9:8414697:8418416:1 gene:B456_009G114800 transcript:KJB56282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKLILVSRSRGKFTLHNDGSLSYIGEEAHALSINTESKFEDLKAEVAEMWNHDPDSLTIKYFLPHNNKTLITVSNDKDLQHLLDFHGNSTTVDVYVLTNENQTSDQLTMYHCRSGMVDEPVTPAASVSGDTEQLDSSASLTTDVDNQNNFTPEAPNANALQKLVKSWENCLTGLEQRFNNAHDFRVALNKFSIAHGFEYTFKTNRSRYIIANCKAEGCPWTIQAARLSTTKLFLIKKMSETHTCGAGNSSSRHPKVSSKLVKFLVKEKLRDSPNAKPREIINEILQDYGFKARYAHVWRGVESAKEKPQVSYDEGYNQVPSLFKQIIENNPGSMATLVTGEDLSFHLLFVSLQASLHGFKNGCRPLLFLDTMTIKSKYQSELLTATALDGNEGIFPVAFAVVDVVNDDNWHWFLVQLKSALSIFQPVTFVADRRVGFKKPISMIFKNSHHGYCLHRLIEGLKGDFNGSCSEEVLQVIITHFYDAARATALDGFRQSIENIRNISPEACEWILQSGPEHWSNALFQGSRYGYFSSNVAETFYSWVTELPITSIAKLIETICCKMMELMNTQKSDSCLWLTKLTPAVEFKLEQHILKANMLQVPVSLGSTFEVCDSLGAINVVNIDLWDCSCREWQLKGFPCCHAVAVLQQLERSLYDYCSEYFTVDAFRSTYSNSINPIATADMVVLKKSSTIEVRPPALRLVLDPPKRRKKKYIHKGPFKRPLHCSKCQGAGHNRQTCHLFS >KJB56283 pep chromosome:Graimondii2_0_v6:9:8413698:8418522:1 gene:B456_009G114800 transcript:KJB56283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKLILVSRSRGKFTLHNDGSLSYIGEEAHALSINTESKFEDLKAEVAEMWNHDPDSLTIKYFLPHNNKTLITVSNDKDLQHLLDFHGNSTTVDVYVLTNENQTSDQLTMYHCRSGMVDEPVTPAASVSGDTEQLDSSASLTTDVDNQNNFTPEAPNANALQKLVKSWENCLTGLEQRFNNAHDFRVALNKFSIAHGFEYTFKTNRSRYIIANCKAEGCPWTIQAARLSTTKLFLIKKMSETHTCGAGNSSSRHPKVSSKLVKFLVKEKLRDSPNAKPREIINEILQDYGFKARYAHVWRGVESAKEKPQVSYDEGYNQVPSLFKQIIENNPGSMATLVTGEDLSFHLLFVSLQASLHGFKNGCRPLLFLDTMTIKSKYQSELLTATALDGNEGIFPVAFAVVDVVNDDNWHWFLVQLKSALSIFQPVTFVADRRVGFKKPISMIFKNSHHGYCLHRLIEGLKGDFNGSCSEEVLQVIITHFYDAARATALDGFRQSIENIRNISPEACEWILQSGPEHWSNALFQGSRYGYFSSNVAETFYSWVTELPITSIAKLIETICCKMMELMNTQKSDSCLWLTKLTPAVEFKLEQHILKANMLQVPVSLGSTFEVCDSLGAINVVNIDLWDCSCREWQLKGFPCCHAVAVLQQLERSLYDYCSEYFTVDAFRSTYSNSINPIATADMVVLKKSSTIEVRPPALRLVLDPPKRRKKKYIHKGPFKRPLHCSKCQGAGHNRQTCHLFS >KJB56950 pep chromosome:Graimondii2_0_v6:9:10872043:10873261:-1 gene:B456_009G143500 transcript:KJB56950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSRFTSAHFVPKPYFHCIKASTSDLDNAFASPPTSSAKPTSYDESYFLNEIVYRSQSGSLLDVHHDMTAIKHFDSAYWRNLFDSCVGKTTWPFGSGVWSKKRVDPTRNRRLHYFCF >KJB56941 pep chromosome:Graimondii2_0_v6:9:10829372:10831950:-1 gene:B456_009G142900 transcript:KJB56941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSNKSSGPPEETPSHNPNGNAPSESDLALDEITGKVQESLGLEKRHKFWESQPVGQFKDLGDTSLPEGPIEAPTPLSEVKQEPYNLPTMYEWITCDIDSDEMCTEVYNLLANNYVEDDENMFRFNYSKEFLRWALRPPGYFKSWHIGVRVKSSKKLVAFITGVPARIRVRDEIVTMAEVNFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGIIIPTPITSCQYWHRSLNPKKLIEVGFSRLGPRMTMSRTIKLYKLPESTVTPGFRKMGLHDVPAVTHILRNYLSRFVVAPDFSEHDVEHWLLPTEGVIDSYVAESSKTHEITDFCSFYTLPSSILGNKNHSTLKAAYSYYNVCTKTPLLQLMNDALIVAKQKEFDVFNALDVMNNDTFLKELKFGPGDGSLHYYLYNYRIRHTLKPSELGLVLL >KJB56835 pep chromosome:Graimondii2_0_v6:9:10401373:10405625:-1 gene:B456_009G137700 transcript:KJB56835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVAIGVAVVCGIAVAAAAAVVVHRKTKKSGRWVKAMEILKEFEEKCGTPIPKLKQVADAMIVEMHAGLASEGGSKLKMLISYVDHLPTGREKGLFYALDLGGTNFRVLRVQLGGKGRGIVNQQFEEVSIPPSLMTGSSDALFDYIAAELAKFVAQEGSDFKQSPGRQRELGFTFSFPVMQSSIASGTLLRWTKGFSIDDMVGQDVVAELAKAMERHGLDMRIAALVNDTVGTLAGGRYNNNDVDASVILGTGSNAAYVERAHAIPKWHGLLPKSGEMVINMEWGNFRSSHLPLSDYDHALDTESLNPGEQIYEKVIAGMYLGEIVRRVLCRMAEEAAFFGDTVPPKLEEPFILGTPIMSAMHQDTSPDLKVVASNLKDILEISNTSLKMRKVIVELCNIVATRGARLSAAGVLGVLKKMGRDTIKGGEKQKTVIAMDGGLYEHYTEYRECLENTLNELVGEEVSRTIEIEHSNDGSGIGAALLAASHSQYLETDES >KJB54143 pep chromosome:Graimondii2_0_v6:9:1728030:1728871:-1 gene:B456_009G022500 transcript:KJB54143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YESPINKPTHQLLSSTHYYFLFAAFHFTPSAFLSFAFSDEKKSNKMSLWQHIRSRHEEKGVIYAVLFTCCCCFCCYETLEFILENGIRFVPFL >KJB60545 pep chromosome:Graimondii2_0_v6:9:29014753:29017227:1 gene:B456_009G311400 transcript:KJB60545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLLPLLLKVLCFYSIKYLKFTSRLSLELNFSKNLKWLSTSLHFQYHSFSSLKLEFMATSIDPKLSEAARLGNIDAFYAIIQEDPYMLDHIDQIPFVHTPLHIAAHEGQILFAMEMMNLKPSFARKLNRDGFSPMHLALRNGQIKLVLGLLKADKDLVRVKGREGMTPLHWVVTMGNSNLLIEFLEACSECIEDVTALNETALHLALKNDETDAFNLLIGWLQTNCRKGALALEKKVLNWRDNNDNTMLHIAASKGLRQELRLVLDSFVLFSIDLQAKNSQGLTALEILRDVRQAVNSSEDDTTTTKIKRLKKKVHTYKILGRSAARSRANLSAEMLNALLVVLGLVITAIYQSSLSPPGGVWQADNTNSSTSDPLFPTSNNVTLHFIEVKGSIAKHLIGAESRKAGTTTMEPVPYFIFFFLTSTAFMVSILYTLWFTSHVSSIVVGPVYFLGISYFWSMAILAPSADLSGINILYTFVFFTAPFLALSVRILRHLVSPSSNYTEWIHLRIAVCGARNVGIAQIFRFLILPPYIA >KJB58350 pep chromosome:Graimondii2_0_v6:9:16729826:16733282:1 gene:B456_009G215300 transcript:KJB58350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLGIDLSCAIGSLKDGNFPPKDCLLPLISKLLGYAIVAASTTVKLPQILKIVNHKSVKGLSLIAFELEVVGYTIALAYCLHNGLPFSAYGELVFLLIQALILVAVIYYYSKPVGITTWIRALLYCAVAPTILAGQIDPILFEALYASQHAIFFFARVPQIWKNFSQNKSTGELSFLTCLMNVAGSLVRVFTSLQEKAPAMVLLGSVLGIATNGALLSQIILYWNSQVPKEKKAE >KJB58349 pep chromosome:Graimondii2_0_v6:9:16729826:16732494:1 gene:B456_009G215300 transcript:KJB58349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLGIDLSCAIGSLKDGNFPPKDCLLPLISKLLGYAIVAASTTVKLPQILKIVNHKSVKGLSLIAFELEVVGYTIALAYCLHNGLPFSAYGELVFLLIQALILVAVIYYYSKPVGITTWIRALLYCAVAPTILAGQIDPILFEALYASQHAIFFFARVPQIWKNFSNKSTGELSFLTCLMNVAGSLVRVFTSLQEKAPAMGILFLLYSHILERFLYT >KJB58351 pep chromosome:Graimondii2_0_v6:9:16729826:16733282:1 gene:B456_009G215300 transcript:KJB58351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLGIDLSCAIGSLKDGNFPPKDCLLPLISKLLGYAIVAASTTVKLPQILKIVNHKSVKGLSLIAFELEVVGYTIALAYCLHNGLPFSAYGELVFLLIQALILVAVIYYYSKPVGITTWIRALLYCAVAPTILAGQIDPILFEALYASQHAIFFFARVPQIWKNFSNKSTGELSFLTCLMNVAGSLVRVFTSLQEKAPAMVLLGSVLGIATNGALLSQIILYWNSQVPKEKKAE >KJB59154 pep chromosome:Graimondii2_0_v6:9:19345111:19346525:-1 gene:B456_009G241800 transcript:KJB59154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSNHSLLPPHFSASLKPSKHTHIASSPVQFLYQRPRFSKPFSGFSPSNLSFPLNIKNCPQRWTFKASLSAQESARTENVKASAGEDFPSLKAMIRVYREALLNGDDRIVSEIESRISILENEKDGLEKQVLELSAEITSGKEKYIRLQADFDNYRKRSEKERLTARSDAKGEVMESLLPMVDSFERAKQQIKPETEKEKKIDTSYQGIYKQFVEIMRSLQVAVVPTVGKPFDPSVSFKCYE >KJB59153 pep chromosome:Graimondii2_0_v6:9:19344123:19346581:-1 gene:B456_009G241800 transcript:KJB59153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSNHSLLPPHFSASLKPSKHTHIASSPVQFLYQRPRFSKPFSGFSPSNLSFPLNIKNCPQRWTFKASLSAQESARTENVKASAGEDFPSLKAMIRVYREALLNGDDRIVSEIESRISILENEKDGLEKQVLELSAEITSGKEKYIRLQADFDNYRKRSEKERLTARSDAKGEVMESLLPMVDSFERAKQQIKPETEKEKKIDTSYQGIYKQFVEIMRSLQVAVVPTVGKPFDPSLHEAIAREESQEFKEGIIIQEFRRGFLLGDRLLRPAMVKVSSGPGSSKKATVVTHESLGDDQ >KJB62757 pep chromosome:Graimondii2_0_v6:9:68345905:68350076:1 gene:B456_009G434700 transcript:KJB62757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKNTERKVNVSGKPKHSLDVNRSDGKNNSRTAATVRRLKMYNTRPKRNAKGKILSHEFQSKELPNTRIQPDRRWFGNTRVVNQKELEFFREELQSRMSSNYNVILKEKKLPLSLLKDHAKQARAHLLDTEPFENAFGPKTKRKRPKLLAVDYESLLKKADGSQDAFEQKHAASTSAEAGEADGFRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCHHLERHLKEHCNHKHMILLLNKCDLVPAWATKGWLRVLSKEYPTLAFHASVNKSFGKGSLLSVLRQFARLKSDKQAISVGFIGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIYLIDCPGVVYQNSDTETDIVLKGVVRVTNLEDAAEHIGEVLKRVKKEHLKRAYKIKDWEDENDFLVQLCQSTGKLLKGGEPDLTTCAKMILHDWQRGRIPFFVPPPKQEADDSSDEPTVHGIDKDAVADNNQADAALKAIANVMLFQQQKSVPVKTDLFSDNELTGDATNQVPDSDMVGEDGASDDDDDDEVEGSSSDEA >KJB56405 pep chromosome:Graimondii2_0_v6:9:8762599:8764836:1 gene:B456_009G118500 transcript:KJB56405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT1G51640) UniProtKB/TrEMBL;Acc:Q9C8H6] MAEVQSFDNLLACRNLLKASVDNSTALALALDKTGPRIAELDQKLTFLEMAIRPGGSKNCTFAAVRDHISLALGPSAAVLKILDSIRELEKSLLSDSLPHSDIFSYISTIKQFEDAIKFLTANCNLAIQWLEGVIQFLEGNSVADDRYISRVKRSVAILEELQATGEQAGRNGELLSGAFAKLEISFKQILTESNAPLGFTNSSSPWTAEQAYNAALPLPGPILRKLQAIVEKLNTGNRTNSCISVFIEVRSLNAKNSLRALDLDYLENAVEEYDDVQDMEGCIHEWSKHMEFIVKCVLESEHMLCKEVFGSLASGAWTGCFAKIAVESGILLFLRFGMSIAESKKSPIKLLHLLIIFSVLETLRMDFNKLFGGESCNEIKTMTRDLVTKVVNGASEIFWELPLQVELERRSSPPSDGGVPWLVSFVTDYCNRLLDDNYRPILTQVLRIHQGWKYEKYEEGLVTNQIYSIVREIAVNLDAWSKAYERKALSYIFMMNNHCHFTSLKGTKLGNLMGDSWLNAHGNYKDYYSALYLRETWGKILASLTQDNPDSSPKMRLMAFNEAFDDMYKKQLNWVVIDESLTNKMHKLVVQALVPAYTSYLQKYRVVVEHRSDVFYTVQSLETMLNTLFKAKQVKYTSSTIGSHLTGEQRNAVIDQLRLTLTAM >KJB61536 pep chromosome:Graimondii2_0_v6:9:48756029:48757680:-1 gene:B456_009G365100 transcript:KJB61536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSRKLHSVLVSSSITNTSSFAVTKTIIIPSQLLVQSNNDSNVSVISDLFKKTHNWDTLTRTSSSVQLTHSLVQQVLLQLKMPELARSALNFFYWSAKTQNFQHQIDSYCIAIHIVVHAQQLAEAKILIQSALKTSESNSTRFCLVESLLGSYKVVDSSPLVFDLLVQAYAKLRMFEDGFEVSCYLENHGFCLNLSNFNALLHGCKNLVKMQRKYPNEITVRTMIGALCKEGKLQVVVNLLDRIHGKRCSPIVFVNTNLVFKVIEKGRIEEGVELLKRMLQKNLILDTIASSFIVYTKLKLGNLESAWELYDEMLKRDFSANSFLFSSFIKAYCERGKIQEAESMLQEMKNMGLKPYDETFNHLIEACAKAGELDAGVKHFEEMIGRGLVPSCSSFNEMVRGLCEIGNSEKANEFLTLVLDKGLSPNEFTCIHLMSGYGKQGKIQQEAEKYLRIMKGCSIGLSEEIYEALITGYLRNGDKTRAALLHNEMVARGMKTMKII >KJB61653 pep chromosome:Graimondii2_0_v6:9:50587237:50589086:-1 gene:B456_009G373200 transcript:KJB61653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGFCEAALSNTVGTLVVNCVVKPVGRQLDYVCRFHDNVEKLREKKRELADARKRLLFKIEEAKNRLLLIENDVQNLQSRADETLSDMGTLEEEIQLNKRCLNWCPNWSWRYQLSKKAMKKIQDISELLDKFGQLGLVGYPAPTALPTIDFLCSKEFVFSKSSETAFYQIIEALKDENINMIGLWGMGGVGKTTLAREVGSQAQKLILFDKVVITTVSQKPNLERIQDQIAQYIGFGMKNEQGRRSEQELWLRLKNEPRILIILDDIWESINLKEKIGIPIGDDHKGCKVLLTTRRQQVCRAMDCQNVVQLDCLDDDEAWTLFEKKAGLDDFSDDSIKILANQIVRKCRGLPTAIVPLGSALKGKTHHEWQAAYRRLKDRRLTEIEDVNEENAYVCLEASFDYLKNMETKTCFLLCSLFPEDYEIYVENLVGYAWGLELYKGVDSIKDVRSEVLASIENLKNSGLLLDCGESVGTLAAAKENKVAKQNLQN >KJB53516 pep chromosome:Graimondii2_0_v6:9:70438079:70438258:-1 gene:B456_009G453400 transcript:KJB53516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTCLILVVVAIGLLFGFGVFKNGFHKLKDTFHEDYCDPKLSSSCGRPFLGYAAPPPF >KJB57721 pep chromosome:Graimondii2_0_v6:9:13720915:13721894:-1 gene:B456_009G177600 transcript:KJB57721 gene_biotype:protein_coding transcript_biotype:protein_coding description:15.4 kDa class V heat shock protein [Source:Projected from Arabidopsis thaliana (AT4G21870) UniProtKB/Swiss-Prot;Acc:O49710] MEFSFPSYQLSPLYHYLLPSPALFSNQLLPENHVNWTQTPEAHVYSADLPGVRKEEIKVEVEDSRYLIIRTEAIDDSTKPAMDFKRKFRLPGSIDSDGISAKYEDGVLTVTVPRTFRRGGFYIDPADVPDRVEVLARAA >KJB62054 pep chromosome:Graimondii2_0_v6:9:56902244:56905073:-1 gene:B456_009G399000 transcript:KJB62054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTQTPPSSKLHNPSSISLENSPWTSHFYPSMFLSSIHAPRHFNLNHDDDEELDDQTSTLNTLNPKPNPPENHQLGHETEPMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLGGDSSDKGLLLSFEDESGKCWRFRYSYWNSSQSYVLTKGWSRYVKEKQLDAGDIILFQRHRTDGDRLFIGWRRRGAAVVVAPAATDGGNAMMENDSGGGGGGNGGWNNRGLYQRYPHLGHIQGWCGYLE >KJB62052 pep chromosome:Graimondii2_0_v6:9:56901899:56905073:-1 gene:B456_009G399000 transcript:KJB62052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTQTPPSSKLHNPSSISLENSPWTSHFYPSMFLSSIHAPRHFNLNHDDDEELDDQTSTLNTLNPKPNPPENHQLGHETEPMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLGGDSSDKGLLLSFEDESGKCWRFRYSYWNSSQSYVLTKGWSRYVKEKQLDAGDIILFQRHRTDGDRLFIGWRRRGAAVVVAPAATDGGNAMMENDSGGGGGGNGGWNNRGLYQRYPHLGHIQGDLEANVPYQPDCLHAGSIVQNQATAAGNPKRLVRLFGVNLECHQLDESPPSTPASSMVSSQGPTAHQFY >KJB62053 pep chromosome:Graimondii2_0_v6:9:56903584:56904953:-1 gene:B456_009G399000 transcript:KJB62053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTQTPPSSKLHNPSSISLENSPWTSHFYPSMFLSSIHAPRHFNLNHDDDEELDDQTSTLNTLNPKPNPPENHQLGHETEPMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLGGDSSDKGLLLSFEDESGKCWRFRYSYWNSSQSYVLTKGWSRYVKEKQLDAGDIILFQRHRTDGDRLFIGWRRRGAAVVVAPAATDGGNAMMENDSGGGGGGNGGWNNRGLYQRYPHLGHIQGDLEANVPYQPDCLHAVFFICHCRKHCSKPSYSSGEPKEAGAAIWSEPRMPPARRVSTIHAC >KJB62704 pep chromosome:Graimondii2_0_v6:9:67700390:67701960:-1 gene:B456_009G431500 transcript:KJB62704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTQAMVPVVDFSNQNLKAGSPEWDLVKSQVREALEEYGCFEALFDPILELRKAVFGALQEVFDLPLQTKKLLVSDKPFRGYSCSPSALFQSMAVDDAHIAENIEQCLTTSLWPQGNISFSKTLASFALLTSELEKKILKMILESFGLEKYMDELTDTANYQLRIMKYEKPKTNEQTMMAPAHCDQNMMTLLYQDEVNGLEIQNKDGEWMNMKLSPSSFIVMIGECLSVWLNGRLSSPYHRVMMKGNEDRYSLGLFSTVREGYIVKVPTELVDDKNPMLFKPHDHEEFLKIFSSEMAKADFKSGIVISRLKAYCSV >KJB55897 pep chromosome:Graimondii2_0_v6:9:7242032:7243119:-1 gene:B456_009G100100 transcript:KJB55897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPSVPVYLDPPNWQQQLNHQQGACSENPQLPPLPTDHHVGASGSIRAGSMADRARLAKVPQPEAALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKKKSSSSSKPSASAERQSGSNSINTVIPSEITAHLPHQTSHLPFMASLQNFSQYGIGNIGLNFGGIHGQIGATSGGSERSDMGFQIGTNSDMSNAILSVRSGTHQQFPFIEPTNGLYPLQSEYIGASPSMVEESQLLRCTSSSSRVSQRGPVKMENNNQGLNLSRPLLGVSDQNNQYWGGNSWTDLSGLK >KJB55898 pep chromosome:Graimondii2_0_v6:9:7241380:7243340:-1 gene:B456_009G100100 transcript:KJB55898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPSVPVYLDPPNWQQLNHQQGACSENPQLPPLPTDHHVGASGSIRAGSMADRARLAKVPQPEAALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKKKSSSSSKPSASAERQSGSNSINTVIPSEITAHLPHQTSHLPFMASLQNFSQYGIGNIGLNFGGIHGQIGATSGGSERSDMGFQIGTNSDMSNAILSVRSGTHQQFPFIEPTNGLYPLQSEYIGASPSMVEESQLLRCTSSSSRVSQRGPVKMENNNQGLNLSRPLLGVSDQNNQYWGGNSWTDLSGLK >KJB58678 pep chromosome:Graimondii2_0_v6:9:17145600:17150343:-1 gene:B456_009G221000 transcript:KJB58678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLFFFYLSQLALAHGSAVKFLPGFEGPLPFELETGYVGVGDSEEAQLFYYFVKSEGKPEDDPLLFWLTGGPGCSAFSGLVFEIGPLKFKVDVYNGSLPTLVYNPYAWTKVSNIIFIDSPVGTGFSYATNNRAAQTGDLKQVHHLHQFLRKWLMAHPDFISNPVYVSGDSYSGIPVPVLAQEISNGNEEGIKPVIHLQGYILGNPKTVPNLEKKLKIPYVYGMGLISDELYESLKRNCNGQYQNVDLNNKACLADIQYLDKCISGINNAHFLEPDCGLDSPKPRKNGRRRFLDGHQLLNDEPLPPLACRTYAYYLCRHWANDDNVRNALHIRKGSIGKWLRCNHGLPYNNDVPTSLPYHANLSAKGYRYLIYSCLFHLHAVATMTWWSRTWQLKHG >KJB58677 pep chromosome:Graimondii2_0_v6:9:17145569:17150450:-1 gene:B456_009G221000 transcript:KJB58677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLFFFYLSQLALAHGSAVKFLPGFEGPLPFELETGYVGVGDSEEAQLFYYFVKSEGKPEDDPLLFWLTGGPGCSAFSGLVFEIGPLKFKVDVYNGSLPTLVYNPYAWTKVSNIIFIDSPVGTGFSYATNNRAAQTGDLKQVHHLHQFLRKWLMAHPDFISNPVYVSGDSYSGIPVPVLAQEISNGNEEGIKPVIHLQGYILGNPKTVPNLEKKLKIPYVYGMGLISDELYESLKRNCNGQYQNVDLNNKACLADIQYLDKCISGINNAHFLEPDCGLDSPKPRKNGRRRFLDGHQLLNDEPLPPLACRTYAYYLCRHWANDDNVRNALHIRKGSIGKWLRCNHGLPYNNDVPTSLPYHANLSAKGYRYLIYSGDHDMVVPHLATQAWIRFLNYPIIDDWRPWMVQSQVAGYTRTYSNRMTFATVKGGGHTAPEYKPAECLAMLTRWISGQPL >KJB56638 pep chromosome:Graimondii2_0_v6:9:9692438:9693436:-1 gene:B456_009G128900 transcript:KJB56638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLKTYLSVAPVLSTLWFGSLAGLLVEINRFFPDALTFPFFNSSYCCGTGRKRLDRDTIKYL >KJB62107 pep chromosome:Graimondii2_0_v6:9:57876189:57880450:1 gene:B456_009G401200 transcript:KJB62107 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATH12 [Source:Projected from Arabidopsis thaliana (AT5G03910) UniProtKB/TrEMBL;Acc:A0A178USA1] MSLHRLRFLSPPFPPPLFHLRSAQKDKPKFLHINSRWRPPFISSLKPTNSIKLPLNAQTSQTPLSKALETLAKLKPFLQTNHQPILLGWLCSSISVFSLSQIIPRIGSFSSNLINIVSISKLRDQCLLLGVFVLVKLVACYWQQAFLWEASLRTVCQMRVFVFETVLEKELGFFEGSDGVSSGDIAYRITAEASDVADTLFALLNTIVPNMLQLFAMATQMLVISPSLSLISAIMIPFMALVIAYLGEKLRKISKRAHLSIATLAAYLNEVLPAIFFVKANNGELSEHARFQRLAYTDFSQHLAKKKMKALIPQIIQIIYFGVLCVLCVGSLVISRGFFDGCSMVSFVTSLVFLVEPIQGVGKAYNEFKQGEPAIERLFDLTKMKSKVIEKPDAIDLGHVKGEVKFCDVSFKYADNMPLVLDGLNLTVRAGETIALVGPSGGGKTTLVKLLLRLYEPSSGSILIDNHNIKNIGLESLRRHVGLVSQDTMLLPGTVAENIGYRDLMSNIDMEKVELAAQIANADEFIRTLPEGYRSQIGPRGSLLSGGQKQRLAIARAVYQKSSILVLDEATSALDSKSELLVRQAVERLMENYTVLVIAHRLETILMADRIFLLQNGKLEELSRSTFLAGHHDSLLSAGAAI >KJB62106 pep chromosome:Graimondii2_0_v6:9:57876189:57880450:1 gene:B456_009G401200 transcript:KJB62106 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATH12 [Source:Projected from Arabidopsis thaliana (AT5G03910) UniProtKB/TrEMBL;Acc:A0A178USA1] MSLHRLRFLSPPFPPPLFHLRSAQKDKPKFLHINSRWRPPFISSLKPTNSIKLPLNAQTSQTPLSKALETLAKLKPFLQTNHQPILLGWLCSSISVFSLSQIIPRIGSFSSNLINIVSISKLRDQCLLLGVFVLVKLVACYWQQAFLWEASLRTVCQMRVFVFETVLEKELGFFEGSDGVSSGDIAYRITAEASDVADTLFALLNTIVPNMLQLFAMATQMLVISPSLSLISAIMIPFMALVIAYLGEKLRKISKRAHLSIATLAAYLNEVLPAIFFVKANNGELSEHARFQRLAYTDFSQHLAKKKMKALIPQIIQIIYFGVLCVLCVGSLVISRGFFDGCSMVSFVTSLVFLVEPIQGVGKAYNEFKQGEPAIERLFDLTKMKSKVIEKPDAIDLGHVKGEVKFCDVSFKYADNMPLVLDGLNLTVRAGETIALVGPSGGGKTTLVKLLLRLYEPSSDAFTWDSC >KJB62108 pep chromosome:Graimondii2_0_v6:9:57876190:57878356:1 gene:B456_009G401200 transcript:KJB62108 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATH12 [Source:Projected from Arabidopsis thaliana (AT5G03910) UniProtKB/TrEMBL;Acc:A0A178USA1] MSLHRLRFLSPPFPPPLFHLRSAQKDKPKFLHINSRWRPPFISSLKPTNSIKLPLNAQTSQTPLSKALETLAKLKPFLQTNHQPILLGWLCSSISVFSLSQIIPRIGSFSSNLINIVSISKLRDQCLLLGVFVLVKLVACYWQQAFLWEASLRTVCQMRVFVFETVLEKELGFFEGSDGVSSGDIAYRITAEASDVADTLFALLNTIVPNMLQLFAMATQMLVISPSLSLISAIMIPFMALVIAYLGEKLRKISKRAHLSIATLAAYLNEVLPAIFFVKANNGELSEHARFQRLAYTDFSQHLAKKKMKALIPQIIQIIYFGVLCVLCVGSLVISRGFFDGCSMVSFVTSLVFLVEPIQVILFSSEKITNACFYHQ >KJB62109 pep chromosome:Graimondii2_0_v6:9:57876244:57880446:1 gene:B456_009G401200 transcript:KJB62109 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATH12 [Source:Projected from Arabidopsis thaliana (AT5G03910) UniProtKB/TrEMBL;Acc:A0A178USA1] MSLHRLRFLSPPFPPPLFHLRSAQKDKPKFLHINSRWRPPFISSLKPTNSIKLPLNAQTSQTPLSKALETLAKLKPFLQTNHQPILLGWLCSSISVFSLSQIIPRIGSFSSNLINIVSISKLRDQCLLLGVFVLVKLVACYWQQAFLWEASLRTVCQMRVFVFETVLEKELGFFEGSDGVSSGDIAYRITAEASDVADTLFALLNTIVPNMLQLFAMATQMLVISPSLSLISAIMIPFMALVIAYLGEKLRKISKRAHLSIATLAAYLNEVLPAIFFVKANNGELSEHARFQRLAYTDFSQHLAKKKMKALIPQIIQIIYFGVLCVLCVGSLVISRGFFDGCSMVSFVTSLVFLVEPIQGVGKAYNEFKQGEPAIERLFDLTKMKSKVIEKPDAIDLGHVKGEVKFCDVSFKYADNMPLVLDGLNLTVRAGETIALVGPSGGGKTTLVKLLLRLYEPSSGSILIDNHNIKNIGLESLRRHVGLVSQDTLLKTLDIGI >KJB54320 pep chromosome:Graimondii2_0_v6:9:2209843:2215712:-1 gene:B456_009G028900 transcript:KJB54320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSNLMSSITSSHLIDAKLEEHQLCGSKHCPGCGTKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRTNAATTVDGTTNVVVVDPNNIRRDSGIRSCSSKEQLIPLRDEVSGAGIAPAALSSFASAMDIQHLKSDHHLSFTPFSKSFDQVGLAEASAARETPTQGTGQEIPEHHRGPNHMAHDHHHHLLLHHHQQQQQQQHQIATTAFHISRPSHPISTIISPPPLHHTSIILDEDSFHVSRIMLQNESFQQQQEQQSHKLGGRSATGLEELIMGCTSTDIKEESSITNPQEAEWLKYSSFWPDPDNHAHHG >KJB54318 pep chromosome:Graimondii2_0_v6:9:2209937:2214647:-1 gene:B456_009G028900 transcript:KJB54318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSNLMSSITSSHLIDAKLEEHQLCGSKHCPGCGTKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRTNAATTVDGTTNVVVVDPNNIRRDSGIRSCSSKEQLIPLRDEVSGAGIAPAALSSFASAMDIQHLKSDHHLSFTPFSKSFDQVGLAEASAARETPTQGTGQEIPEHHRGPNHMAHDHHHHLLLHHHQQQQQQQHQIATTAFHISRPSHPISTIISPPPLHHTSIILDEDSFHVSRIMLQNESFQQQQEQQSHKLGGRSATGLEELIMGCTSTDIKEESSITNPQEAEWLKYSSFWPDPDNHAHHG >KJB54319 pep chromosome:Graimondii2_0_v6:9:2209843:2215620:-1 gene:B456_009G028900 transcript:KJB54319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSNLMSSITSSHLIDAKLEEHQLCGSKHCPGCGTKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRTNAATTVDGTTNVVVVDPNNIRRDSGIRSCSSKEQLIPLRDEVSGAGIAPAALSSFASAMDIQHLKSDHHLSFTPFSKSFDQVGLAEASAARETPTQGTGQEIPEHHRGPNHMAHDHHHHLLLHHHQQQQQQQHQIATTAFHISRPSHPISTIISPPPLHHTSIILDEDSFHVSRIMLQNESFQQQQEQQSHKLGGRSATGLEELIMGCTSTDIKEESSITNPQEAEWLKYSSFWPDPDNHAHHG >KJB55776 pep chromosome:Graimondii2_0_v6:9:6858722:6861118:1 gene:B456_009G093900 transcript:KJB55776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASQRFNATHFIHWILVQTFHIEKSMEEDDIYTNDGTVDYKGNPANKKKTGTWRTCPFIIGNEVCERLAYYGMSTNLVLYFKHQLNQHSSVAATNNQNWGGTCYITPIIGAFLADSYLGRYWTIACFSIVYIIGMTLLALSASVRGIRPRCYAEDNCNPTEVQSAMTFLALYLIALGTGGIKPCVSSYGADQFDDTDEKEKKHKSSFFNWFYLSINIGALIAGSVLVWVQDNVSWGWGLGIPAIAMAIAVCFFFSGTCLYRNQVPGGSPVTRLFQVLVASIRKYKVAVPANKSVLHETADKESNIKGSRKIDHTNDLSFFDKAAVEIETDQLKGSVNPWRLCTVTQVEELKAIIRLLPVWASGIIFSTICSQMGSLFVLQGERMDTHVGHSNFKIPAASLSIFDTLSVTFWVPIYDRIIVPVTRKFTGHKNGLTQLQRMGIGLFISIFAMVVAAILEHERLKMIKRHNYYELKEMPMTIFWQVPQYFLVGCAEVFTCIGQLEFFYEQAPDAMRSFCSALSLTTVALGSYLSSLLVTIVSNATAKNGKSGWIPDNLNYGHIDYFFWILAALGVFNLGVYVWFANWYTYKKAVGTLR >KJB55777 pep chromosome:Graimondii2_0_v6:9:6859033:6861118:1 gene:B456_009G093900 transcript:KJB55777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSILSTGNEVCERLAYYGMSTNLVLYFKHQLNQHSSVAATNNQNWGGTCYITPIIGAFLADSYLGRYWTIACFSIVYIIGMTLLALSASVRGIRPRCYAEDNCNPTEVQSAMTFLALYLIALGTGGIKPCVSSYGADQFDDTDEKEKKHKSSFFNWFYLSINIGALIAGSVLVWVQDNVSWGWGLGIPAIAMAIAVCFFFSGTCLYRNQVPGGSPVTRLFQVLVASIRKYKVAVPANKSVLHETADKESNIKGSRKIDHTNDLSFFDKAAVEIETDQLKGSVNPWRLCTVTQVEELKAIIRLLPVWASGIIFSTICSQMGSLFVLQGERMDTHVGHSNFKIPAASLSIFDTLSVTFWVPIYDRIIVPVTRKFTGHKNGLTQLQRMGIGLFISIFAMVVAAILEHERLKMIKRHNYYELKEMPMTIFWQVPQYFLVGCAEVFTCIGQLEFFYEQAPDAMRSFCSALSLTTVALGSYLSSLLVTIVSNATAKNGKSGWIPDNLNYGHIDYFFWILAALGVFNLGVYVWFANWYTYKKAVGTLR >KJB59865 pep chromosome:Graimondii2_0_v6:9:23261558:23264720:-1 gene:B456_009G277500 transcript:KJB59865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGVVGLEGFIGSETATGFSSLGSDHQETNQKSYGSGGLFKQERSCNNEDELRSSKLAKIHDDFSCYSKPLMFHHRNTLLRSNASIFFDEQEEQQMLSFSAPKPDALSADRSSKNVTFPHIYLTGYNNGGFNGANMHGVCKGPYTPCQWMELEHQALIFKYILANVPVPSNLLLPIRKSLDSTDFSSFSGELFRPNTSMGWGAFQLGFSNNTDPEPGRCRRTDGKKWRCSRDAVAGQKYCERHMNRGRHRSRKPVEGQPSHSVTATAATTSKLMPNVSSSSASAVGPVGGSGEPNSLTSARQKYENLQLGGTSNLSAAASLNRSILNKDTVGEKMPYNAAGLSMISPNGDLKSKENPFLIPKQPISYEENLRNGFGVVSSGELNPSHKSSSMINSRNFGSSQDLTSQETDSQQSFRRFIDDRPKSRSHCSAISWPELDVQSYRTQLSISIPMAASDFTSTTSSPNNESLTSSPLRLSREFNPINIGLGVGNVVNESNQKQANWIPVSWGTSIGGPLGEALHSNGSTMVDLKNSSILNLMTESSENSPLVGSSPTGVLQKTTFASLSNSSAGSSPENNKTHEGVSHCNDLLGSNLVESSSLPAT >KJB60471 pep chromosome:Graimondii2_0_v6:9:27897631:27899740:1 gene:B456_009G306500 transcript:KJB60471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSQSLNEEQRRTANYHPSIWDPTTIQSFTTPYTYELYATQLEDLKQKVSKLLASTKDKAALLKLIDSMKRLGVAYHFHEQIQQALNQLNSDLNLVSNDLSTVALHFRILREDCYPITADVLEKFKGGDGRFMGSLCGDVEGLLRLNEASSMAIQGEKILEEAKAFSSENLKNIIGKLEKVEAKQVQRSLEVPLYWRMERIEARNFIDSYATDDSNSSVLLDLAKLDYNLIQSVYQQELKQLAEWWRELNFKEKLSFSRDRLMEIYFWATGLSFEPQYAKCRICFTKYACLATVVDDIYDIYGSLEELECFTKAVTGWDVKVVQELPEYMRVMFSAISDFTNELAQQTLTDHGLDVLPYIKEQWAILCRAHIREARWFYGGQTPTFDEYIKNAWISIGSLGGLVLLCFVEADSIAEMERGDIPKAVQAYMIEKGVSEETARNHVKELISNSWKKINEEILDNRFSRAIVNLSKNMARTAQCIYQHGDGFGTSTGVTKDCIISSILRPIPI >KJB56861 pep chromosome:Graimondii2_0_v6:9:10500732:10506996:-1 gene:B456_009G139300 transcript:KJB56861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPDEPNIKGIDASVGGLVWVRRRNGSWWPGRIMGLDELSEGCLVSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYNECIEKAKASAANSSKKAVKYARREDAILHALEIESSRLGKDHPGYFSRKDNSGADQGSLARESPTTMSHSGKENEDTSDEMSESEDNSDSAPELSQSGISFEEPNHINGTKGHSKLIKRRKTPNDSEDDGSERIKRMRGLEDLGMGVGPKRKSQAAGAPELVQQDNGSFYGPNSGNCLSNGGPMNGSRNHSSSLKRKRSQVANVHEFLKRKNRRRPLTKVLESTAVVSVPVCDEIPSSSGSPLRGLSDSKVSGIDSNESPKSAPAAINNDNNNSNNSDSTGVSCENGISLNAAEHAADADVDASLTNNKTKEREISSTPGLAENESSSRLFDVPFVGEDKPSADFSPIFVSCSSEMPEVGDLGKQAEIEGRNESVCTRSVDVYTTSISQRIEKGTAEWQLKGKRKSRQISKNQIHDSRKYLIADDEPNASVAGIERLNGLSQGSYQKVDCNGGGGGSVAPYTCSLQSKSKSAVQVQLDGLQDLKSMPQEPRVRGEIAEAKILPDDLLSPQRSLPYRQSRYTVHSRYQMTDFPGKPYSVDSSLYNVKIEVKAKYRPQHVPLVSLMSKLNGKAIIGHPLMVKVLSDDHYGNLTCEASIKGTEKSEIGHLVKRKSGGGRVPRKHMKLQSHFPPRKSTKAKKSGLLSKKTRKLSSLTGQKIGVGDRKPVTGKPKGPVIACVPIKLVFSRINEAVNGSARLTHRPLTSRNP >KJB56863 pep chromosome:Graimondii2_0_v6:9:10500795:10505852:-1 gene:B456_009G139300 transcript:KJB56863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSGKENEDTSDEMSESEDNSDSAPELSQSGISFEEPNHINGTKGHSKLIKRRKTPNDSEDDGSERIKRMRGLEDLGMGVGPKRKSQAAGAPELVQQDNGSFYGPNSGNCLSNGGPMNGSRNHSSSLKRKRSQVANVHEFLKRKNRRRPLTKVLESTAVVSVPVCDEIPSSSGSPLRGLSDSKVSGIDSNESPKSAPAAINNDNNNSNNSDSTGVSCENGISLNAAEHAADADVDASLTNNKTKEREISSTPGLAENESSSRLFDVPFVGEDKPSADFSPIFVSCSSEMPEVGDLGKQAEIEGRNESVCTRSVDVYTTSISQRIEKGTAEWQLKGKRKSRQISKNQIHDSRKYLIADDEPNASVAGIERLNGLSQGSYQKVDCNGGGGGSVAPYTCSLQSKSKSAVQVQLDGLQDLKSMPQEPRVRGEIAEAKILPDDLLSPQRSLPYRQSRYTVHSRYQMTDFPGKPYSVDSSLYNVKIEVKAKYRPQHVPLVSLMSKLNGKAIIGHPLMVKVLSDDHYGNLTCEASIKGTEKSEIGHLVKRKSGGGRVPRKHMKLQSHFPPRKSTKAKKSGLLSKKTRKLSSLTGQKIGVGDRKPVTGKPKGPVIACVPIKLVFSRINEAVNGSARLTHRPLTSRNP >KJB56864 pep chromosome:Graimondii2_0_v6:9:10500795:10506456:-1 gene:B456_009G139300 transcript:KJB56864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPDEPNIKGIDASVGGLVWVRRRNGSWWPGRIMGLDELSEGCLVSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYNECIEKAKASAANSSKKAVKYARREDAILHALEIESSRLGKDHPGYFSRKDNSGADQGSLARESPTTMSHSGKENEDTSDEMSESEDNSDSAPELSQSGISFEEPNHINGTKGHSKLIKRRKTPNDSEDDGSERIKRMRGLEDLGMGVGPKRKSQAAGAPELVQQDNGSFYGPNSGNCLSNGGPMNGSRNHSSSLKRKRSQVANVHEFLKRKNRRRPLTKVLESTAVVSVPVCDEIPSSSGSPLRGLSDSKVSGIDSNESPKSAPAAINNDNNNSNNSDSTGVSCENGISLNAAEHAADADVDASLTNNKTKEREISSTPGLAENESSSRLFDVPFVGEDKPSADFSPIFVSCSSEMPEVGDLGKQAEIEGRNESVCTRSVDVYTTSISQRIEKGTAEWQLKGKRKSRQISKNQIHDSRKYLIADDEPNASVAGIERLNGLSQGSYQKVDCNGGGGGSVAPYTCSLQSKSKSAVQVQLDGLQDLKSMPQEPRVRGEIAEAKILPDDLLSPQRSLPYRQSRYTVHSRYQMTDFPGKPYSVDSSLYNVKIEVKAKYRPQHVPLVSLMSKLNGKAIIGHPLMVKVLSDDHYGNLTCEASIKGTEKSEIGHLVKRKSGGGRVPRKHMKLQSHFPPRKSTKAKKSGLLSKKTRKLSSLTGQKIGVGDRKPVTGKPKGPVIACVPIKLVFSRINEAVNGSARLTHRPLTSRNP >KJB56862 pep chromosome:Graimondii2_0_v6:9:10500764:10506577:-1 gene:B456_009G139300 transcript:KJB56862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPDEPNIKGIDASVGGLVWVRRRNGSWWPGRIMGLDELSEGCLVSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYNECIEKAKASAANSSKKAVKYARREDAILHALEIESSRLGKDHPGYFSRKDNSGADQGSLARESPTTMSHSGKENEDTSDEMSESEDNSDSAPELSQSGISFEEPNHINGTKGHSKLIKRRKTPNDSEDDGSERIKRMRGLEDLGMGVGPKRKSQAAGAPELVQQDNGSFYGPNSGNCLSNGGPMNGSRNHSSSLKRKRSQVANVHEFLKRKNRRRPLTKVLESTAVVSVPVCDEIPSSSGSPLRGLSDSKVSGIDSNESPKSAPAAINNDNNNSNNSDSTGVSCENGISLNAAEHAADADVDASLTNNKTKEREISSTPGLAENESSSRLFDVPFVGEDKPSADFSPIFVSCSSEMPEVGDLGKQAEIEGRNESVCTRSVDVYTTSISQRIEKGTAEWQLKGKRKSRQISKNQIHDSRKYLIADDEPNASVAGIERLNGLSQGSYQKVDCNGGGGGSVAPYTCSLQSKSKSAVQVQLDGLQDLKSMPQEPRVRGEIAEAKILPDDLLSPQRSLPYRQSRYTVHSRYQMTDFPGKPYSVDSSLYNVKIEVKAKYRPQHVPLVSLMSKLNGKAIIGHPLMVKVLSDDHYGNLTCEASIKGTEKSEIGHLVKRKSGGGRVPRKHMKLQSHFPPRKSTKAKKSGLLSKKTRKLSSLTGQKIGVGDRKPVTGKPKGPVIACVPIKLVFSRINEAVNGSARLTHRPLTSRNP >KJB56949 pep chromosome:Graimondii2_0_v6:9:10860498:10867295:-1 gene:B456_009G143400 transcript:KJB56949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKQNIEQFKGQPRLPKFAIPKRYDLYLKLDLSACTFSGLVHINLSIVETTKFIVLNACELVVHQVFFTTSLNHRITPCDVVLNGNDEILMLGFEQVLGTGEGVLSMEFSGALNEQLKGLYKCTYVDKGVRKNMAVTQFEALQARLCFPCWDEPALKATFKITLDLPSELIALSNMPINDEKINGNVKTVYFEESPKMSTYLVAIAVGLFDHIEETTADGIKVGVYCPVGKSDEGKFALEVAVKSLDIFTRYFSMPYPLPKLDMVAVPEFFGGGMENYGLIIYRENEMLYTDSRSTAARKQRLTIVVAHEVAHQWFGNLVTMEWWTHLWLNEGFATWISYMATDVMFPEWEIWTQFLQEINGGLRLDAQEKSHPIEMEIQHGHEVDEAFDAIGYKKGSAVIRMLQEYIGDEIFQKSLSFYIRRYAWSNARTEDLWSVLSEVTGIQVNSMMDSWTKQKGYPVISVKSKDHILEFEQSQFLLSGFHGDGQWIVPITLCFGSYDRIKSFLLESKSENLDASELFPTSDEKNEDEYGEASWIKVNIGQSGFYRVKYGDELDARLRKAIEKGFVSVTDKYGILDDKYALCVACEQSLSSLLLLMDVYRKESDYIILSKLIDVCYNVLEVLRDAIPELTNALKEIFINILLCSAKKLGWESTPGESHLTGLMRGEVFMALAAFDHAQTHEQAMHRFQSFLDDRSTELLSPDTKRAAYIAVMRNANTSRDGFESLLKVYREADAVQEKEHVLRTMASTPSPDILVEVLNFFISKEVRDQDIVYGLPGISLEGHEIAWWWMKENWNFIINKYNGGLLTHFIRDIISPFCSNEKADEVEEFFESRVTSSFAMNLKQSIEQVRIKARLVESIKQERQPLQDLLKQLVCKG >KJB61356 pep chromosome:Graimondii2_0_v6:9:45524245:45538513:1 gene:B456_009G353000 transcript:KJB61356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAISASSKQVMGGDNDTESEFEGEQEEGVPKTAVLELTLQAKLNELLHKIKSIEIKLFSDATKEFVKLLKSDAGAELLRHYVQTSPSLSELLEAWKLRQGKPGMSYVFSLISAILCHPDGRRYNDKLGVSRVLDKFARLIVDEKLEDVYKELNSKDGKRQNAALLLMGSVVRRGSWLASEVAKKFDFKLLGFPKLSEYKRRKQIDKKKHSTRRSFVGFAMSFLEMGKPGLLRWVLQQRDMYSGVLRCLGNDDDETIMYILATLRDRVLIKESLVPPGLRSVLFGSVTLEQLVNISGRENGGVAAELAYSVLLMVCTDPSNGLMPDLDRKPNPLKGNPTRLLGVMKKLKATEVSYHKDLLLFTLKGRPSLAAAYMNEFPYSVEDHASPTWFSTISLAASLISSVAMGNPFGFLDAKSDPPLFDSADVQDIVNCICPRPLSRSVVTKGLLHSDFFVKHGALRLLLEALKLLDSFISSLNHFSCVRNQLKESWVSLKQAVQNEVRTLLPDTQVLLTLLSSLGSHGRTPKSSLKRKPGLEKFPANSSSKKLKGGVPKEDSDIIVGGISSVPDISMPEDHDMVADDHMTDELDTEKEFLNVISEIWGLCLNSSPFMELKDVEMYFYSKLVDTLKIYLRAVPTILEGSFDFFMNLLSNPSALPINLQCSLLSLLIEYIGWSPGNGKSNSIPLLMYRHLQTFINLLILSPNSEIKNQAYILARAAMLSTGAFDRNLCEIDAWFLFLPGYLRTKSPIEMQAVEVLQSLSGVVISFLGDAISTIGNNLFKHWDIVRQHISCLKGFKVISPNFSPLTICALDKCLRLLNSSSGTFSLSEKSMISLYVCNTLKYVLQIQVDAGLLSDLIQSVLSKGLGDQHSMVYDSGDFLCEWRPLKSLLYFSQNALHQQPQYFLSVDKTAIANDSSFANTLGEVKKIITNEHGGEVTGIVKAFYSAMLCATLEDLLMNFPLVMTISLKLGVAVPLLSSVIFSEQNFLVGISNLWPEVFFPGLELALMMIHQKGNDDAEGMPSNIDFDTIESAATAFGLLLKQVPFHILFSSLITIHALYLSEHSKIQDLLLAKRSEWTSDYLISCLRLVLFWLYHARSFYRNKQLTELEQLSDTCLILVKNMFSQLLALKPEFECSTGSEVHLSVETIREVAETILCHPEVMSSLTCPLSWNKEESQMATGLLGNALDNFLSLSRQRLPKLDRQVLDLLTATLDYYLTVSRRHYSVIDDGAKRTFRRAFSSLVQRLFLDIRDRFDLRIASGNFQPLLSSFCAVHALIESLSPFELLDLGHWMFSRIDVNELTVEKFHVKVALSVSFSIAVGAFEVLSTYLQQPLIKRVPYDFLWEVEEKTFDVNLLEDIYVKACKIACNFKLDFADSCLLRAVSAVYRQKTMHHGELHPSSIAMSRAIISTPVEMVSHCICRTSTVKAKLLHLVIEMSPLHLSIFGQLFLSMLNKNFLSNGILMEEVSIYALSDQDYMVLLPAALSLVNAAFVKFEKHFYQHFKSIPSFYSRILLNGFMHWKSFVSSDIFEEDYSKTLPSSAEELFNLVDGSVLRKSIHMLRYHFLLSGDSLKLKKRLELFNSIFACSVTPEELIECDATEMDFNSVNKSLNHINKVIAKISFCRMLLFPEDDKLLFMPEEADEGFKEASLISGSNKVDSSRKHFLNALVGAWQWMVKKLPLVHEYPTSIIASGGDCLCLYRCFEVFILRSILQLTSKMHSYLVQLQSIPFVEQLMRSTLLHRFEDSKTLRILRSILLLLSEGKFSRVLCLQMLLGHSQFGPMIHSISKSSLSETGTFFRPLSSILRLLVTPPIPSDMMDGKDDQETAEMCMKQLEILKILRILLQSGFDSGNDNGINLKELHLLLLSSYGATLGDIDLEIYSLMNVIESFHSSGSEYITEMDYLWGSAATKVKKEHLLEHGASTDTMTDTEAIQEGRKIKYRENLPVDPNVSAATVLHFPYDRTATEEHLSSNKFQTDNLMDMIELCSPGTGKILRYDPVFIMHFSIHSLSAGYIEPVEFTGLGLLAVAFVGMSSLDVGMRKLAYEVLARFKISLEGCQKKKDVIRLHLLLTYMQNGIEEPWQRIPSVIALFAAEASLILLDTLHEHYSTLNKLLMDSSRVNIKQIPLFHDFFHSSAVNYKAKRLWILRLAFAGLNLEDDAWLYIRSSIPETLMSFYVSPLSDVESKKLILQVLKRSVQLHEMAHYLVEHCSLFPWLSSILSTYSRVLLGDEKKLFLTELAVVIEIVSVVISSNDIAKWLQSCALEQLMELTSHLYKLLVGGMKLINECAAFINPTLQIIIATLKMSQNRQMYQPHFTLSHEVLFQIYLAVSEDDIGGSSANAKCALEAILLSGPPFDIFCMNRENIPSFLMWAASTALKSYSRKLFQCQESGLHVPIVSKEASHKESFTSKFLRWLTASIIHWKLSLNSNNSTAKLSDRSNLKTLQSLVEYVAEGDKEGNKSSFDLEEMLAAQIFYLQQSLGINCSALPSVVSALCLLLCDHSKFSGSDFMHDCRTSMLTLCSKIRCPPELNPAWRWSFEHPWEDQSSQLNDLERIDELHACQSLLLIISNVLRKKSSDFQALSLQDVDNSGAFKWERSILETE >KJB61357 pep chromosome:Graimondii2_0_v6:9:45524245:45538513:1 gene:B456_009G353000 transcript:KJB61357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAISASSKQVAVMGGDNDTESEFEGEQEEGVPKTAVLELTLQAKLNELLHKIKSIEIKLFSDATKEFVKLLKSDAGAELLRHYVQTSPSLSELLEAWKLRQGKPGMSYVFSLISAILCHPDGRRYNDKLGVSRVLDKFARLIVDEKLEDVYKELNSKDGKRQNAALLLMGSVVRRGSWLASEVAKKFDFKLLGFPKLSEYKRRKQIDKKKHSTRRSFVGFAMSFLEMGKPGLLRWVLQQRDMYSGVLRCLGNDDDETIMYILATLRDRVLIKESLVPPGLRSVLFGSVTLEQLVNISGRENGGVAAELAYSVLLMVCTDPSNGLMPDLDRKPNPLKGNPTRLLGVMKKLKATEVSYHKDLLLFTLKGRPSLAAAYMNEFPYSVEDHASPTWFSTISLAASLISSVAMGNPFGFLDAKSDPPLFDSADVQDIVNCICPRPLSRSVVTKGLLHSDFFVKHGALRLLLEALKLLDSFISSLNHFSCVRNQLKESWVSLKQAVQNEVRTLLPDTQVLLTLLSSLGSHGRTPKSSLKRKPGLEKFPANSSSKKLKGGVPKEDSDIIVGGISSVPDISMPEDHDMVADDHMTDELDTEKEFLNVISEIWGLCLNSSPFMELKDVEMYFYSKLVDTLKIYLRAVPTILEGSFDFFMNLLSNPSALPINLQCSLLSLLIEYIGWSPGNGKSNSIPLLMYRHLQTFINLLILSPNSEIKNQAYILARAAMLSTGAFDRNLCEIDAWFLFLPGYLRTKSPIEMQAVEVLQSLSGVVISFLGDAISTIGNNLFKHWDIVRQHISCLKGFKVISPNFSPLTICALDKCLRLLNSSSGTFSLSEKSMISLYVCNTLKYVLQIQVDAGLLSDLIQSVLSKGLGDQHSMVYDSGDFLCEWRPLKSLLYFSQNALHQQPQYFLSVDKTAIANDSSFANTLGEVKKIITNEHGGEVTGIVKAFYSAMLCATLEDLLMNFPLVMTISLKLGVAVPLLSSVIFSEQNFLVGISNLWPEVFFPGLELALMMIHQKGNDDAEGMPSNIDFDTIESAATAFGLLLKQVPFHILFSSLITIHALYLSEHSKIQDLLLAKRSEWTSDYLISCLRLVLFWLYHARSFYRNKQLTELEQLSDTCLILVKNMFSQLLALKPEFECSTGSEVHLSVETIREVAETILCHPEVMSSLTCPLSWNKEESQMATGLLGNALDNFLSLSRQRLPKLDRQVLDLLTATLDYYLTVSRRHYSVIDDGAKRTFRRAFSSLVQRLFLDIRDRFDLRIASGNFQPLLSSFCAVHALIESLSPFELLDLGHWMFSRIDVNELTVEKFHVKVALSVSFSIAVGAFEVLSTYLQQPLIKRVPYDFLWEVEEKTFDVNLLEDIYVKACKIACNFKLDFADSCLLRAVSAVYRQKTMHHGELHPSSIAMSRAIISTPVEMVSHCICRTSTVKAKLLHLVIEMSPLHLSIFGQLFLSMLNKNFLSNGILMEEVSIYALSDQDYMVLLPAALSLVNAAFVKFEKHFYQHFKSIPSFYSRILLNGFMHWKSFVSSDIFEEDYSKTLPSSAEELFNLVDGSVLRKSIHMLRYHFLLSGDSLKLKKRLELFNSIFACSVTPEELIECDATEMDFNSVNKSLNHINKVIAKISFCRMLLFPEDDKLLFMPEEADEGFKEASLISGSNKVDSSRKHFLNALVGAWQWMVKKLPLVHEYPTSIIASGGDCLCLYRCFEVFILRSILQLTSKMHSYLVQLQSIPFVEQLMRSTLLHRFEDSKTLRILRSILLLLSEGKFSRVLCLQMLLGHSQFGPMIHSISKSSLSETGTFFRPLSSILRLLVTPPIPSDMMDGKDDQETAEMCMKQLEILKILRILLQSGFDSGNDNGINLKELHLLLLSSYGATLGDIDLEIYSLMNVIESFHSSGSEYITEMDYLWGSAATKVKKEHLLEHGASTDTMTDTEAIQEGRKIKYRENLPVDPNVSAATVLHFPYDRTATEEHLSSNKFQTDNLMDMIELCSPGTGKILRYDPVFIMHFSIHSLSAGYIEPVEFTGLGLLAVAFVGMSSLDVGMRKLAYEVLARFKISLEGCQKKKDVIRLHLLLTYMQNGIEEPWQRIPSVIALFAAEASLILLDTLHEHYSTLNKLLMDSSRVNIKQIPLFHDFFHSSAVNYKAKRLWILRLAFAGLNLEDDAWLYIRSSIPETLMSFYVSPLSDVESKKLILQVLKRSVQLHEMAHYLVEHCSLFPWLSSILSTYSRVLLGDEKKLFLTELAVVIEIVSVVISSNDIAKWLQSCALEQLMELTSHLYKLLVGGMKLINECAAFINPTLQIIIATLKMSQNRQMYQPHFTLSHENRENIPSFLMWAASTALKSYSRKLFQCQESGLHVPIVSKEASHKESFTSKFLRWLTASIIHWKLSLNSNNSTAKLSDRSNLKTLQSLVEYVAEGDKEGNKSSFDLEEMLAAQIFYLQQSLGINCSALPSVVSALCLLLCDHSKFSGSDFMHDCRTSMLTLCSKIRCPPELNPAWRWSFEHPWEDQSSQLNDLERIDELHACQSLLLIISNVLRKKSSDFQALSLQDVDNSGAFKWERSILETE >KJB61355 pep chromosome:Graimondii2_0_v6:9:45524245:45538513:1 gene:B456_009G353000 transcript:KJB61355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAISASSKQVMGGDNDTESEFEGEQEEGVPKTAVLELTLQAKLNELLHKIKSIEIKLFSDATKEFVKLLKSDAGAELLRHYVQTSPSLSELLEAWKLRQGKPGMSYVFSLISAILCHPDGRRYNDKLGVSRVLDKFARLIVDEKLEDVYKELNSKDGKRQNAALLLMGSVVRRGSWLASEVAKKFDFKLLGFPKLSEYKRRKQIDKKKHSTRRSFVGFAMSFLEMGKPGLLRWVLQQRDMYSGVLRCLGNDDDETIMYILATLRDRVLIKESLVPPGLRSVLFGSVTLEQLVNISGRENGGVAAELAYSVLLMVCTDPSNGLMPDLDRKPNPLKGNPTRLLGVMKKLKATEVSYHKDLLLFTLKGRPSLAAAYMNEFPYSVEDHASPTWFSTISLAASLISSVAMGNPFGFLDAKSDPPLFDSADVQDIVNCICPRPLSRSVVTKGLLHSDFFVKHGALRLLLEALKLLDSFISSLNHFSCVRNQLKESWVSLKQAVQNEVRTLLPDTQVLLTLLSSLGSHGRTPKSSLKRKPGLEKFPANSSSKKLKGGVPKEDSDIIVGGISSVPDISMPEDHDMVADDHMTDELDTEKEFLNVISEIWGLCLNSSPFMELKDVEMYFYSKLVDTLKIYLRAVPTILEGSFDFFMNLLSNPSALPINLQCSLLSLLIEYIGWSPGNGKSNSIPLLMYRHLQTFINLLILSPNSEIKNQAYILARAAMLSTGAFDRNLCEIDAWFLFLPGYLRTKSPIEMQAVEVLQSLSGVVISFLGDAISTIGNNLFKHWDIVRQHISCLKGFKVISPNFSPLTICALDKCLRLLNSSSGTFSLSEKSMISLYVCNTLKYVLQIQVDAGLLSDLIQSVLSKGLGDQHSMVYDSGDFLCEWRPLKSLLYFSQNALHQQPQYFLSVDKTAIANDSSFANTLGEVKKIITNEHGGEVTGIVKAFYSAMLCATLEDLLMNFPLVMTISLKLGVAVPLLSSVIFSEQNFLVGISNLWPEVFFPGLELALMMIHQKGNDDAEGMPSNIDFDTIESAATAFGLLLKQVPFHILFSSLITIHALYLSEHSKIQDLLLAKRSEWTSDYLISCLRLVLFWLYHARSFYRNKQLTELEQLSDTCLILVKNMFSQLLALKPEFECSTGSEVHLSVETIREVAETILCHPEVMSSLTCPLSWNKEESQMATGLLGNALDNFLSLSRQRLPKLDRQVLDLLTATLDYYLTVSRRHYSVIDDGAKRTFRRAFSSLVQRLFLDIRDRFDLRIASGNFQPLLSSFCAVHALIESLSPFELLDLGHWMFSRIDVNELTVEKFHVKVALSVSFSIAVGAFEVLSTYLQQPLIKRVPYDFLWEVEEKTFDVNLLEDIYVKACKIACNFKLDFADSCLLRAVSAVYRQKTMHHGELHPSSIAMSRAIISTPVEMVSHCICRTSTVKAKLLHLVIEMSPLHLSIFGQLFLSMLNKNFLSNGILMEEVSIYALSDQDYMVLLPAALSLVNAAFVKFEKHFYQHFKSIPSFYSRILLNGFMHWKSFVSSDIFEEDYSKTLPSSAEELFNLVDGSVLRKSIHMLRYHFLLSGDSLKLKKRLELFNSIFACSVTPEELIECDATEMDFNSVNKSLNHINKVIAKISFCRMLLFPEDDKLLFMPEEADEGFKEASLISGSNKVDSSRKHFLNALVGAWQWMVKKLPLVHEYPTSIIASGGDCLCLYRCFEVFILRSILQLTSKMHSYLVQLQSIPFVEQLMRSTLLHRFEDSKTLRILRSILLLLSEGKFSRVLCLQMLLGHSQFGPMIHSISKSSLSETGTFFRPLSSILRLLVTPPIPSDMMDGKDDQETAEMCMKQLEILKILRILLQSGFDSGNDNGINLKELHLLLLSSYGATLGDIDLEIYSLMNVIESFHSSGSEYITEMDYLWGSAATKVKKEHLLEHGASTDTMTDTEAIQEGRKIKYRENLPVDPNVSAATVLHFPYDRTATEEHLSSNKFQTDNLMDMIELCSPGTGKILRYDPVFIMHFSIHSLSAGYIEPVEFTGLGLLAVAFVGMSSLDVGMRKLAYEVLARFKISLEGCQKKKDVIRLHLLLTYMQNGIEEPWQRIPSVIALFAAEASLILLDTLHEHYSTLNKLLMDSSRVNIKQIPLFHDFFHSSAVNYKAKRLWILRLAFAGLNLEDDAWLYIRSSIPETLMSFYVSPLSDVESKKLILQVLKRSVQLHEMAHYLVEHCSLFPWLSSILSTYSRVLLGDEKKLFLTELAVVIEIVSVVISSNDIAKWLQSCALEQLMELTSHLYKLLVGGMKLINECAAFINPTLQIIIATLKMSQNRQMYQPHFTLSHEVLFQIYLAVSEDDIGGSSANAKCALEAILLSGPPFDIFCMNRENIPSFLMWAASTALKSYSRKLFQCQESGLHVPIVSKEASHKESFTSKFLRWLTASIIHWKLSLNSNNSTAKLSDRSNLKTLQSLVEYVAEGDKEGNKSSFDLEEMLAAQIFYLQQSLGINCSALPSVVSALCLLLCDHSKFSDFMHDCRTSMLTLCSKIRCPPELNPAWRWSFEHPWEDQSSQLNDLERIDELHACQSLLLIISNVLRKKSSDFQALSLQDVDNSGAFKWERSILETE >KJB62333 pep chromosome:Graimondii2_0_v6:9:62695945:62704020:1 gene:B456_009G412500 transcript:KJB62333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFAELREAIEKMKVVDSHAHSIVPLDSSFAFINSLSEATGDALSFAPYSLSFKRNLREIAEFYGTESSLDAVEQYRRLSGLQSISSKCFKAAGISTILIDDGLKLDKKHDIQWHKNFVPFVGRILRIESLAEEILNGEMPDGSTWTLDAFTETFLKSLRSVANEIVGLKSIAAYRSGLEINPHVTREDAEIGLSEVLQRGKPVRITNKSLIDHIFIHGLEVALQFDLPLQIHTGFGDKDLDLRLANPLHLRTLLEDKRFSGCRIVLLHASYPFSKEASYLASIYPQVYLDFGLAIPKLSFHGMISSVKELLELAPIKKVMFSTDAVATPETYYLGAKRAREIVFSVLRDSCIDHDLLITEAIEASKDIFARTAIQLYKINIGEELVGLKASDSPSYVIGTNVPEHSVSLVRILWADASGQHRCRVVPKKRFNDVVRKNGVGLTFACMAMSSAVDGPADETNLTGTGEIRLMPDLSTWREIPWKKQEEMVLADMHLKPGDAWEYCPREALRRVSKVLKDEFNLVMNAGFENEFYLLKKLEREGKEEWVPIDSKPYCSSSGFDAISTLFQEIVAALNSLNVAVEQMHAEAGNGQYEMALGHTACTYAADNLIFTREVVRAIANKHGLLATFVPNYDRIQPNTWSGAYQCWGKENREAPLRTACPPGIPNGFVSNFEIKSFDGCANPHLGLAAIIAAGIDGLRRHLHLPQPIDANPATLEGKLSRLPKSLSESLEALQKDNVLKELIGEKLVVAISGVRKAEIEYYSKNKEAYKQLIHRY >KJB62334 pep chromosome:Graimondii2_0_v6:9:62695945:62704020:1 gene:B456_009G412500 transcript:KJB62334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFAELREAIEKMKVVDSHAHSIVPLDSSFAFINSLSEATGDALSFAPYSLSFKRNLREIAEFYGTESSLDAVEQYRRLSGLQSISSKCFKAAGISTILIDDGLKLDKKHDIQWHKNFVPFVGRILRIESLAEEILNGEMPDGSTWTLDAFTETFLKSLRSVANEIVGLKSIAAYRSGLEINPHVTREDAEIGLSEVLQRGKPVRITNKSLIDHIFIHGLEVALQFDLPLQIHTGFGDKDLDLRLANPLHLRTLLEDKRFSGCRIVLLHASYPFSKEASYLASIYPQVYLDFGLAIPKLSFHGMISSVKELLELAPIKKVMFSTDAVATPETYYLGAKRAREIVFSVLRDSCIDHDLLITEAIEASKDIFARTAIQLYKINIGEELVGLKASDSPSYVIGTNVPEHSVSLVRILWADASGQHRCRVVPKKRFNDVVRKNGVGLTFACMAMSSAVDGPADETNLTGTGEIRLMPDLSTWREIPWKKQEEMVLADMHLKPGDAWEYCPREALRRVSKVLKDEFNLVMNAGFENEFYLLKKLEREGKEEWVPIDSKPYCSSSGFDAISTLFQEIVAALNSLNVAVEQMHAEAGNGQYEMALGHTACTYAADNLIFTREVVRAIANKHGLLATFVPKYALDDIGSGSHVHLSLWQNGQNVFQASDASSQHGMSKVGEEFMAGVLDHLPSILAFTAPLPNSYDRIQPNTWSGAYQCWGKENREAPLRTACPPGIPNGFVSNFEIKSFDGCANPHLGLAAIIAAGIDGLRRHLHLPQPIDANPATLEGKLSRLPKSLSESLEALQKDNVLKELIGEKLVVAISGVRKAEIEYYSKNKEAYKQLIHRY >KJB62565 pep chromosome:Graimondii2_0_v6:9:66103591:66104334:1 gene:B456_009G423100 transcript:KJB62565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKRLQLNLWTPSISSSSSSLEHKHHLPSSPSNLNATKGHHQEFLHLDLTLGPPPDPSSNPNPNPAVAAPTGRRARANPFQKLKGGKSETITAPYPWATTRRARVHDLNYLQSNNIRTINGQVECKVCQTVYTIEYDIKEKLKGIKDFVLGNRWRMNDRAPKCWMYPRLDNCKNCGSSLKPVIGKKRDINWLFLVLGQMLGCCKLSDLKYFCKHTKNHRTGAKNRLLYIAYIELCKQLYPHGPFHL >KJB63037 pep chromosome:Graimondii2_0_v6:9:70152474:70157941:1 gene:B456_009G450800 transcript:KJB63037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKERRLAAMSNAGRRVKLDLFAEPSEDLGGSSVHEEIDGELKHHAGLPNSLSSPGQQPPNPLLLLGQYSDDEVDEESDKRLDRVILDGSVSDHDDKAKGPLSETCKDTEADAGEGLDTLKVNQQNIEKDSTPNAVHNLVDIDEKGDNNVTNESVKNDSTEQNAVAGTSEVQVTQDAGSGWRIVMHEESHQYYYWNTETGETSWEVPAVLNQINQSTSDEKVPTVEDMEAAQVDAHDLNPTPSTQPVVDNMICQSKVHDNEPKLDELERGGKSEALRDKISDVNRSDFQNSLDAVDTCLAGESLDCSGNYTHDVLANDDNKTGIDCSTHLLRQGECLLERLESLKVSEDDLQAQGWKSKCSLEVEIRLSDIKALVSYGSSLSPFWTHCERQLKRLEGIINDKIYQLAKSAIMEEAEETPDSFGEKLKIKEGSYNEVEADVDVIDATASAPDISHVFTNADTSTVVIGDVNNQVLSSNAAHMVSVPTEHCEREVEEGELVDGDTLSGENSKTGVHAREDDDMDVDMEVEDVIPPSAMPLMQQNPSSDYSAVPPLPSEEWIPPPPPDTEQIPPPPPDNEQVPPPPPNEPPEHSYPLAPSHIETTPLTYAEQYGLTYSDASYQYYGHAVNEIPVGGFYGHADGSQIAVPQAALYYQAVPNTYSDGASVSVNSVEPIIFYDLQGRRASSVPIAGSTESFHLPSEVGTISSNTLASNQVESGGDIALTGTDVRANGPIVNEKTEVASVGSSSTSATIEAPATVSVRESAAAAAAAASAAAATSSSAPKVQSKAARTKKRTVAVTSSLRSNKKVSSLVDKWKAAKEELQENAEDEPENAYEKLEKKRQREIEEWHAQQLASGEAKDNANFQPLGGDWREKVKRRRAQKAKESTETPSVAHPDGNQQPDLDELSRGLPSGWQAYWDEASKQPYYGNINTSETTWIRPTK >KJB63036 pep chromosome:Graimondii2_0_v6:9:70152623:70157676:1 gene:B456_009G450800 transcript:KJB63036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEESHQYYYWNTETGETSWEVPAVLNQINQSTSDEKVPTVEDMEAAQVDAHDLNPTPSTQPVVDNMICQSKVHDNEPKLDELERGGKSEALRDKISDVNRSDFQNSLDAVDTCLAGESLDCSGNYTHDVLANDDNKTGIDCSTHLLRQGECLLERLESLKVSEDDLQAQGWKSKCSLEVEIRLSDIKALVSYGSSLSPFWTHCERQLKRLEGIINDKIYQLAKSAIMEEAEETPDSFGEKLKIKEGSYNEVEADVDVIDATASAPDISHVFTNADTSTVVIGDVNNQVLSSNAAHMVSVPTEHCEREVEEGELVDGDTLSGENSKTGVHAREDDDMDVDMEVEDVIPPSAMPLMQQNPSSDYSAVPPLPSEEWIPPPPPDTEQIPPPPPDNEQVPPPPPNEPPEHSYPLAPSHIETTPLTYAEQYGLTYSDASYQYYGHAVNEIPVGGFYGHADGSQIAVPQAALYYQAVPNTYSDGASVSVNSVEPIIFYDLQGRRASSVPIAGSTESFHLPSEVGTISSNTLASNQVESGGDIALTGTDVRANGPIVNEKTEVASVGSSSTSATIEAPATVSVRESAAAAAAAASAAAATSSSAPKVQSKAARTKKRTVAVTSSLRSNKKVSSLVDKWKAAKEELQENAEDEPENAYEKLEKKRQREIEEWHAQQLASGEAKDNANFQPLGGDWREKVKRRRAQKAKESTETPSVAHPDGNQQPDLDELSRGLPSGWQAYWDEASKQPYYGNINTSETTWIRPTK >KJB58200 pep chromosome:Graimondii2_0_v6:9:15386727:15390172:1 gene:B456_009G198900 transcript:KJB58200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGEDAVRRHNAIAEYRKKLLQHKEYESRIRAGRENLRAAKKDFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVHNLVMSMVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYSADFGKD >KJB58199 pep chromosome:Graimondii2_0_v6:9:15386690:15390227:1 gene:B456_009G198900 transcript:KJB58199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGEDAVRRHNAIAEYRKKLLQHKEYESRIRAGRENLRAAKKDFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYSADFGKD >KJB61011 pep chromosome:Graimondii2_0_v6:9:35877466:35879039:1 gene:B456_009G335400 transcript:KJB61011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSIRIPFHCFFDKHYFPNTKNIHLKLPRAVTIIESNKFSGKSDLTKSFVVSSKIQTPWGTTYKQGVSHKVHLLVSEFKSLTEPIDRVKRLLYYGEMLAPFDESARLPENRVKGCTTQVWVDARIDKNGKVRFRADSDSEISKGFCSCLIWVMDGADPKEVVGVTAEELVELNVGVHGKVQSRVNTWQNVLISMRNKTDALVEERQVHQLRPPRFSSLLFSSDEI >KJB55954 pep chromosome:Graimondii2_0_v6:9:7348617:7349831:-1 gene:B456_009G102100 transcript:KJB55954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMDLFCASQASTAICSSVDHRSMVRHGHRRIDRQNSKPYAPCSSQLSIIPRPYHEKSSKNSVKPSDVRRKSSADIHDLKTSPASSTYLLSDRPFIDWLSESDPTVSAFVPSQPSKPKLHVSSDNNNNSPAALKSSSSARSRDQVVVLRVAIHCKGCEGKLRKHISKMEVSHGFLHNLCRSEII >KJB55953 pep chromosome:Graimondii2_0_v6:9:7348526:7350097:-1 gene:B456_009G102100 transcript:KJB55953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMDLFCASQASTAICSSVDHRSMVRHGHRRIDRQNSKPYAPCSSQLSIIPRPYHEKSSKNSVKPSDVRRKSSADIHDLKTSPASSTYLLSDRPFIDWLSESDPTVSAFVPSQPSKPKLHVSSDNNNNSPAALKSSSSARSRDQVVVLRVAIHCKGCEGKLRKHISKMEGVKSFSIDLPTKKVTVIGDVTPSSVLASVSRVKSAQLWPSAAPSQSSPMVKMNY >KJB62678 pep chromosome:Graimondii2_0_v6:9:67437678:67437734:1 gene:B456_009G4296001 transcript:KJB62678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYGPGSILGSIGNVAAQG >KJB63191 pep chromosome:Graimondii2_0_v6:9:70671218:70671953:1 gene:B456_009G455900 transcript:KJB63191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIILSLTKIRVFSPHGYINNHTKLPIHPIYRDTMGLPKTSNQNPFANHTQQNSNNPNYFKENVLNTYRLMQAPSSMKKKTYMHTRIMTMSTVYDHQTQIDKNLCN >KJB55630 pep chromosome:Graimondii2_0_v6:9:6256696:6261609:-1 gene:B456_009G086000 transcript:KJB55630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:Projected from Arabidopsis thaliana (AT1G08350) UniProtKB/TrEMBL;Acc:A0A178WGW9] MSKMEALKLSLIIIPLLLTSLRSIASSPDNHRYNVGDHVPLFVNKVGPLNNPSETYQYYELPFCGPDPVVQKKESLGEVLSGDRLTSALYKLNFRENKVAETLCHKKLEGDDVAKFRDAVINDFYFQMYYDDLPFWGFVGKIEEDSWTLEKKSLKYFLFKHVQFDVLYNGNQIIEVHAIGDPNQVVDITEDVGVDVQFTYSVVWNTTSAAFDTRMDRYSRASSLPIHLKIHWFSFINSVITIMLLIGLLTLLFMRRLRNDLRTFSTGDEEDDKEVGWKYIHGDVFRYPRNKSLFCAVMGVGTQLLTLVCSLFVLVCLGILYPYNRGTLCTALVILYSLTSVVAGYTTASFHCQFAETGWERSVLLAGIMYAGPLFVIGSILNVVAVSYGATAALPFGTIMVIILLYAFLTIPLLVLGGVIGYLFRSAFQSPCATKRYPREIPPLPWYRDTPCQMFLGGFLPFSAIVLELQHLYASLWGYRIFTLPSILFIMFIILILITAILSVGLTYIQLSVEDHQWWWRSVFCGGSTAIFMFAYCIYFYTRSSMSGLLQFSFVFGYNACMCYAFFLMLGTVGFRSSLMFVRYIYRAVKSE >KJB55631 pep chromosome:Graimondii2_0_v6:9:6257014:6261514:-1 gene:B456_009G086000 transcript:KJB55631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:Projected from Arabidopsis thaliana (AT1G08350) UniProtKB/TrEMBL;Acc:A0A178WGW9] MSKMEALKLSLIIIPLLLTSLRSIASSPDNHRYNVGDHVPLFVNKVGPLNNPSETYQYYELPFCGPDPVVQKKESLGEVLSGDRLTSALYKLNFRENKVAETLCHKKLEGDDVAKFRDAVINDFYFQMYYDDLPFWGFVGKIEEDSWTLEKKSLKYFLFKHVQFDVLYNGNQIIEVHAIGDPNQVVDITEDVGVDVQFTYSVVWNTTSAAFDTRMDRYSRASSLPIHLKIHWFSFINSVITIMLLIGLLTLLFMRRLRNDLRTFSTGDEEDDKEVGWKYIHGDVFRYPRNKSLFCAVMGVGTQLLTLVCSLFVLVCLGILYPYNRGTLCTALVILYSLTSVVAGYTTASFHCQFAETGWERSVLLAGIMYAGYLFRSAFQSPCATKRYPREIPPLPWYRDTPCQMFLGGFLPFSAIVLELQHLYASLWGYRIFTLPSILFIMFIILILITAILSVGLTYIQLSVEDHQWWWRSVFCGGSTAIFMFAYCIYFYTRSSMSGLLQFSFVFGYNACMCYAFFLMLGTVGFRSSLMFVRYIYRAVKSE >KJB62772 pep chromosome:Graimondii2_0_v6:9:68500950:68509033:-1 gene:B456_009G435700 transcript:KJB62772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILTNNQNLIRPSTKMAEYVAPAAVEIVADQAKEYASPYLRYFFRYGEIVEDFKNQREALELKKERVKICVDEAERQNELIHKDVDNWLTSAEKELKETQNLKDEIDRVKCFKWCPKWGWRYSLSKKLAEKIPIISKLLETSNFAQVGYCRPLQGIEFITSTDFMDSESSKSAFKQIMEAINAKGVNMIGLHGMPGVGKTTLAKEVGKHAGEQKLFDKVVMFTMSQNPEINKIQDKVADIFRLKFETSSQGGRAEELFRSMKRVNKILVIVNDLWEELKMESIGIPFGDDHEGCKILLTTRRQQVCTIMNCKEIQLRILSEEEAWVLFRDKAGLEDVSSTVNDVAKEVAAQCKGLPLAIVVVAKALKGESLNGWRDANQRFKDSTHLHDEEVLWGVRQPLKLSYDYLKKGINQMTENDIQMCFLLCSLFPEDEEIRIEILIMCGIGVGLFPNFHSIEGKRKKIVEALKKLQKSGLLLETDGADTIRIHDVIRDFAHWLTSTGENRFMVKDTLKEWAHMDDSYTAIALWNCSSIIKLFPDKVEFSKLKTLFLEGERKRKRDDFLVVFGTFFEEMKALQVLLLRRVSFSPIGFHSLPNLKTLCCVDCMLENFSSSLTNMRSLEILALIGTKIDGINEELVKLSALKYLRLSGDRFEEMNIPPNLVSRLTSLQELHVVTKSNLNLLELKSLSHLTALSLRLSTNQIPLEDFVLPKLERYAIVGENYSGNRELFRRLDIQDFSSSLSAFNNLFCNVEELSLRNVGGQKNIVPSIDEMGVNDLTSPRLKSCNDMEFLIDTKKDQGSTVAFSNLVELNIERMVSLKGLCYGLSPTRFLQNLKRVIIRDCEELQVIFQKPLPSNLTILSLHSLPKLKRIWEVKPPHRAIASLQSLKVVSIESCDNLKTIFSPCLALSMLHLQELYIRHCDRLEQVIGFDQEDEIIENDSPLCCWPKLRILSIESCPNLKYVCANTWTHGLLQSLESVYIRDCSQLIQVFNMEQNKHAQDNVLPALGSQNHCWTKLKTLRIEDCQLLKYVFANTLSQGFPLLESLHLKNCPQLLQVFSSTEERDVIGDHIPLNVPFLKILEVSNCPQISYFIVQAQLIEKLVLRNVGNSRQLRNTDVPVLNEGCIVVGNHKEVFQVQGRYSFSSIKNLMLEDLFEVQVIWNEYAQVVTLENLTTLTLRDCKKLRYIFSPMMARSLSHLVDLFILGCEEIERLILAKDQVSSSSSNGYTSLQHMSFPNLTRIVVTDCKNLSSLFPFGFVPILPKLERLIVEKNSKLEQVFELEEKVEVVAEEEMKFDKLKRLSLEELPSLIHFFPKGYHSVFLAMIELKVRDCPKLNTSFFIDSQEFVHCKTKVPLLVEQDAVEESTTVRNAIFNENIDWRRAGGGSQLPHIT >KJB62773 pep chromosome:Graimondii2_0_v6:9:68501316:68508960:-1 gene:B456_009G435700 transcript:KJB62773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILTNNQNLIRPSTKMAEYVAPAAVEIVADQAKEYASPYLRYFFRYGEIVEDFKNQREALELKKERVKICVDEAERQNELIHKDVDNWLTSAEKELKETQNLKDEIDRVKCFKWCPKWGWRYSLSKKLAEKIPIISKLLETSNFAQVGYCRPLQGIEFITSTDFMDSESSKSAFKQIMEAINAKGVNMIGLHGMPGVGKTTLAKEVGKHAGEQKLFDKVVMFTMSQNPEINKIQDKVADIFRLKFETSSQGGRAEELFRSMKRVNKILVIVNDLWEELKMESIGIPFGDDHEGCKILLTTRRQQVCTIMNCKEIQLRILSEEEAWVLFRDKAGLEDVSSTVNDVAKEVAAQCKGLPLAIVVVAKALKGESLNGWRDANQRFKDSTHLHDEEVLWGVRQPLKLSYDYLKKGINQMTENDIQMCFLLCSLFPEDEEIRIEILIMCGIGVGLFPNFHSIEGKRKKIVEALKKLQKSGLLLETDGADTIRIHDVIRDFAHWLTSTGENRFMVKDTLKEWAHMDDSYTAIALWNCSSIIKLFPDKVEFSKLKTLFLEGERKRKRDDFLVVFGTFFEEMKALQVLLLRRVSFSPIGFHSLPNLKTLCCVDCMLENFSSSLTNMRSLEILALIGTKIDGINEELVKLSALKYLRLSGDRFEEMNIPPNLVSRLTSLQELHVVTKSNLNLLELKSLSHLTALSLRLSTNQIPLEDFVLPKLERYAIVGENYSGNRELFRRLDIQDFSSSLSAFNNLFCNVEELSLRNVGGQKNIVPSIDEMGVNDLTSPRLKSCNDMEFLIDTKKDQGSTVAFSNLVELNIERMVSLKGLCYGLSPTRFLQNLKRVIIRDCEELQVIFQKPLPSNLTILSLHSLPKLKRIWEVKPPHRAIASLQSLKVVSIESCDNLKTIFSPCLALSMLHLQELYIRHCDRLEQVIGFDQEDEIIENDSPLCCWPKLRILSIESCPNLKYVCANTWTHGLLQSLESVYIRDCSQLIQVFNMEQNKHAQDNVLPALGSQNHCWTKLKTLRIEDCQLLKYVFANTLSQGFPLLESLHLKNCPQLLQVFSSTEERDVIGDHIPLNVPFLKILEVSNCPQISYFIVQAQLIEKLVLRNVGNSRQLRNTDVPVLNEGCIVVGNHKEVFQVQGRYSFSSIKNLMLEDLFEVQVIWNEYAQVVTLENLTTLTLRDCKKLRYIFSPMMARSLSHLVDLFILGCEEIERLILAKDQVSSSSSNGYTSLQHMSFPNLTRIVVTDCKNLSSLFPFGFVPILPKLERLIVEKNSKLEQVFELEEKVEVVAEEEMKFDKLKRLSLEELPSLIHFFPKGYHSVFLAMIELKVRDCPKLNTSFFIDSQEFVHCKTKVYILQPFNPTLFYVIITITTEGITCKIFN >KJB62151 pep chromosome:Graimondii2_0_v6:9:58522168:58524621:-1 gene:B456_009G402900 transcript:KJB62151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLLQWESDPLFSAAEVVQDSADRMESIFRLLLHEQNLVEANNYDPKLLTLIGYHSRDLATILETAKWQLEDFERAVISSARMDRSQSREAVIFRHKEFISANEQDKDNLAFFLSGGINNHCYELGDSDIFKRFLDPPAASCSSDAGIVGNECGEIEEVNMNGNAYSSHYYDSLEESNLRKVDSQNSMKLGLNAVNSFQERSCNRNADDGSWYIEAGDSKPKCFFHENKLRGSSSSMNLIGFFNNLWGRRVPSSYTKRLKDGEEEHSPLDIDASHAAQGQHIGLCSALRNHGLQGLHWFLTKVMHLRRRLGACNARFDRFSYLVKVNQRSIKMILAIVFVFTLLGKCTILELLQITCSAPIAS >KJB60271 pep chromosome:Graimondii2_0_v6:9:25935779:25936615:-1 gene:B456_009G297100 transcript:KJB60271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSLVITLLVLVLSTSCLKADAEADCGCHCHCSLSQQEAYVIPQEDKSCVCLCCTPPPASAKPVSPPQNNPQPPPSVAKPNSPSEEPPTPAKPSPSSPKYPNPWDYCQVPKWAPYIVKYRTQCWCSLEKFRGCFTEIWGAALNDYMNVGKQCCEAFKEVDENCHRLMFGRNRWFAYKLRQHCSQF >KJB60360 pep chromosome:Graimondii2_0_v6:9:27051477:27056330:1 gene:B456_009G301800 transcript:KJB60360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISLVKLVVWVSWLAMAVGAEYVKYKDPKQPVAARIKDLMSKMTLAEKIGQMAQIDRSVATEQILRDYSVGSVLSGGGSEPLPEAKAEDWINMVNAFQNGSLSSRLGIPMIYGIDAIHGHNNVYNATIFPHNVGLGATGDPELVKKIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKVVEQMTDIILGLQGDPPTSRKGVPYVGGKGKVAACAKHFVGDGGTTGGINENNTVIDMHGLLSMYMPAYSQSIIKGVSTVMVSYSSWNGQKMHANRELISGFLKNTLKFKGFVISDWQGIDRITSPPHANYTYSVQAAIQAGIDMVMVPFNLTEFIDDLTYLVDSKVILMDRIDDAVQRILQVKFTMGLFETPMADFSLVNELGSQAHRDLAREAVRKSLVLLKNGKPGSTPLLPLPKKASKILVAGTHADNLGYQCGGWTINWQGFTGNNSTRGTTILGAIKSTVERSTEIVFRESPDANFVKSNKFDYAIVVVGEPPYAETAGDSTNLTMIDPGPSAINNVCGTVKCVVVIISGRPIVIEPYVSAIDALVAAWLPGTEGQGVTDVLYGDYRFSGKLPRTWFKTVDQLPMNVGDSHYDPLFPLGFGLKTESGASIVSRSTSSATPRRPGLFIFMITVTLSFYITGK >KJB60361 pep chromosome:Graimondii2_0_v6:9:27051600:27055882:1 gene:B456_009G301800 transcript:KJB60361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISLVKLVVWVSWLAMAVGAEYVKYKDPKQPVAARIKDLMSKMTLAEKIGQMAQIDRSVATEQILRDYSVGSVLSGGGSEPLPEAKAEDWINMVNAFQNGSLSSRLGIPMIYGIDAIHGHNNVYNATIFPHNVGLGATGDPELVKKIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKVVEQMTDIILGLQGDPPTSRKGVPYVGGKGKVAACAKHFVGDGGTTGGINENNTVIDMHGLLSMYMPAYSQSIIKGVSTVMVSYSSWNGQKMHANRELISGFLKNTLKFKGFVISDWQGIDRITSPPHANYTYSVQAAIQAGIDMVMVPFNLTEFIDDLTYLVDSKVILMDRIDDAVQRILQVKFTMGLFETPMADFSLVNELGSQAHRDLAREAVRKSLVLLKNGKPGSTPLLPLPKKASKILVAGTHADNLGYQCGGWTINWQGFTGNNSTRGTTILGAIKSTVERSTEIVFRESPDANFVKSNKFDYAIVVVGEPPYAETAGDSTNLTMIDPGPSAINNVCGTVKCVVVIISGRPIVIEPYVSAIDALVAAWLPGTEGQGVTDVLYGDYRFSGKLPRTWFKTVDQLPMNVGDSHYDPLFPLGFGLKTESGASIVSRYSPLTYFDNYSCMHVKNLLPVDEMSWMPLISDGFGMNYLINCMYVPYLHG >KJB56437 pep chromosome:Graimondii2_0_v6:9:8868950:8869393:-1 gene:B456_009G119800 transcript:KJB56437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPAAPNAVPQKVSDRDSSSKAKRKIPTPQELISHYQNQGFDPQEASIKVIEDLQNVVMRVVSSNSKPKKDKFLIETSRKIDSVNTRLAVVDMKLDSKPGYLETFAIGVASGAAFNGICSVLPHVFEGFAQIWSSVRTATKPSSSP >KJB53513 pep chromosome:Graimondii2_0_v6:9:63130051:63133266:1 gene:B456_009G413100 transcript:KJB53513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDREASLRRARAEASLSDGISWSMGEDAIEEAEVVENYKDFAFLDYAIVGRALDLYEVGDKEEAFAEMEDVAISLKGYPG >KJB53512 pep chromosome:Graimondii2_0_v6:9:63130591:63133196:1 gene:B456_009G413100 transcript:KJB53512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDREASLRRARAEASLSDGISWSMGEDAIEEAEVVENYKDFAFLDYAIVGRALDLYEVGDKEEAFAEMEDVAISLKGYPG >KJB58572 pep chromosome:Graimondii2_0_v6:9:16768983:16770983:1 gene:B456_009G215700 transcript:KJB58572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAWAIAVHGGAGVDPNLPKERQDEAKRLLTRCLDIGISALRSNVPAIDVVELVVRELETDPLFNSGRGSALTEKGTVEMEASIMDGPKRRCGAVSGLTTVKNPISLARLVMEKSPHSYLTTPGAEEFAKKQGVEMVDNDYFITEDNVGMLKLAKEANSILFDYRIPTVGTCGAAVDSHLQMNGLPISVYAPETVGCVVVDKEGRCAAGTSTGGLMNKMSGRIGDSPIIGAGTYACELCGVSCTGEGEAIIRSTLARDVAAVMEYKGLNLHEAVDYVIKNRLDEGKAGLIAVSKNGEVACGFNTTGMFRGCATEGGFMEVGVW >KJB56812 pep chromosome:Graimondii2_0_v6:9:10302464:10309544:1 gene:B456_009G136800 transcript:KJB56812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G52150) UniProtKB/TrEMBL;Acc:B3H4G8] MAMSCKDGKPGSLDNGKYVRYTPEQVEALERLYHECPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENGCFRQHTQNATLATKDPSCESAVMSGQQRETHQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCPGVAARACGLVGLEPTRVAELLKDRPSWFHDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLKNTQNGPSMPPVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTVLAQKTTMAALRQLRQIAQEVSQSNVTGWGRRPAALRALSQRLSRGFNDAVNGFTDEGWSMMGNDGMDDVTILVNSSPDKLMGLNLSFANGFPSVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSSIDAYSAAVVKVGPCSLRGSRVGGFGSQVILPLAHTVEHEEFLEVIKLEGIAQSPEDALMPRDVFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSGKEASSPNRTLDLASALEIGPAGNKASNDYSAAGGCMRSVMTIAFEFAFESHLQEHVASMARQYVRSIISSVQRVALALSPSYSGSHAGLRTPLGTPEAQTLARWICQSYRVYMGVELLKSGTEGGESVLKTLWHHSDAIMCCSLKALPVFTFANQAGLDMLETTLVALQDLTLEKIFDEHGRKTLCTEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEENAHCICFMFVNWSFV >KJB56814 pep chromosome:Graimondii2_0_v6:9:10302492:10309544:1 gene:B456_009G136800 transcript:KJB56814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G52150) UniProtKB/TrEMBL;Acc:B3H4G8] MAMSCKDGKPGSLDNGKYVRYTPEQVEALERLYHECPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENGCFRQHTQNATLATKDPSCESAVMSGQQRETHQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCPGVAARACGLVGLEPTRVAELLKDRPSWFHDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLKNTQNGPSMPPVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTVLAQKTTMAALRQLRQIAQEVSQSNVTGWGRRPAALRALSQRLSRGFNDAVNGFTDEGWSMMGNDGMDDVTILVNSSPDKLMGLNLSFANGFPSVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSSIDAYSAAVVKVGPCSLRGSRVGGFGSQVILPLAHTVEHEEFLEVIKLEGIAQSPEDALMPRDVFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSGKEASSPNRTLDLASALEIGPAGNKASNDYSAAGGCMRSVMTIAFEFAFESHLQEHVASMARQYVRSIISSVQRVALALSPSYSGSHAGLRTPLGTPEAQTLARWICQSYRVYMGVELLKSGTEGGESVLKTLWHHSDAIMCCSLKLFLQALPVFTFANQAGLDMLETTLVALQDLTLEKIFDEHGRKTLCTEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEENAHCICFMFVNWSFV >KJB56813 pep chromosome:Graimondii2_0_v6:9:10302492:10308023:1 gene:B456_009G136800 transcript:KJB56813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G52150) UniProtKB/TrEMBL;Acc:B3H4G8] MAMSCKDGKPGSLDNGKYVRYTPEQVEALERLYHECPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENGCFRQHTQNATLATKDPSCESAVMSGQQRETHQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCPGVAARACGLVGLEPTRVAELLKDRPSWFHDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLKNTQNGPSMPPVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTVLAQKTTMAALRQLRQIAQEVSQSNVTGWGRRPAALRALSQRLSRGFNDAVNGFTDEGWSMMGNDGMDDVTILVNSSPDKLMGLNLSFANGFPSVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSSIDAYSAAVVKVGPCSLRGSRVGGFGSQVILPLAHTVEHEEFLEVIKLEGIAQSPEDALMPRDVFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSGKEASSPNRTLDLASALEIGPAGNKASNDYSAAGGCMRSVMTIAFEFAFESHLQEHVASMARQYVRSIISSVQRVALALSPSYSGSHAGLRTPLGTPEAQTLARWICQSYRYLNLPFISRMKLIPCNLLILS >KJB57977 pep chromosome:Graimondii2_0_v6:9:14499504:14503772:1 gene:B456_009G188500 transcript:KJB57977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVTSKLDQLPAVTLCGDRCNFLQDALLQSYAFADAHVAYMQSLTTLGPALHRFFDQCLKSSSGDEPVVSDEKPPKRRSPLSSPGHSFSSSNSDSHIQFDTDLEDEETGEDFSKYINEIHLNYLNQGILTSYPLPNHDYHSNTNQSREISGSGWKTPPPPAPRSVAWDYLNFFDEIYERYELPCFSSKEVKDKGGVHEHLEAQAVKQVHGDEKSSANFTKEKRENPRGIAVPVKNGDAENGKKADSSHIDKIQKKADINEPKNRSGKQSASEVIKELQVLFEKVSESGNGVLNMLDTGKFRYHHKKSVYQGTTKVFHMITTNSWETEPLLSEGKFSSMDNDEIVSSQNLSSTLRKLCMWEKKLYDEVKAEEKLRMIHSKKRSQMRILDQRGADAHRVDSTQTSIRALSTKMRVAVQVIDNIAITINKVMDEELWPQINELIHRLFGMWKVMLDCHSCQCQKVMEAKCLDVITLNENLNDAHLEVAMKLKLELQNFVLSLSSWIEAQRGYVKALNGWLHRCLLYEPEDIAADGVSSLSSGGSGVPPVFVILNQWSEVMDRLLEKEVVEAVNTFFISINQVLEQQHNSTLRQRIIADKDMERKVKLLEKEEQKMQKMVQARVKKMTEWAREESAVLEPRDTSTTDGSNIREAASLQHGLKQIFMAMEKLAIHFRQAYGELHQCTEKCKATQDNP >KJB57976 pep chromosome:Graimondii2_0_v6:9:14499504:14503948:1 gene:B456_009G188500 transcript:KJB57976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVTSKLDQLPAVTLCGDRCNFLQDALLQSYAFADAHVAYMQSLTTLGPALHRFFDQCLKSSSGDEPVVSDEKPPKRRSPLSSPGHSFSSSNSDSHIQFDTDLEDEETGEDFSKYINEIHLNYLNQGILTSYPLPNHDYHSNTNQSREISGSGWKTPPPPAPRSVAWDYLNFFDEIYERYELPCFSSKEVKDKGGVHEHLEAQAVKQVHGDEKSSANFTKEKRENPRGIAVPVKNGDAENGKKADSSHIDKIQKKADINEPKNRSGKQSASEVIKELQVLFEKVSESGNGVLNMLDTGKFRYHHKKSVYQGTTKVFHMITTNSWETEPLLSEGKFSSMDNDEIVSSQNLSSTLRKLCMWEKKLYDEVKAEEKLRMIHSKKRSQMRILDQRGADAHRVDSTQTSIRALSTKMRVAVQVIDNIAITINKVMDEELWPQINELIHRLFGMWKVMLDCHSCQCQKVMEAKCLDVITLNENLNDAHLEVAMKLKLELQNFVLSLSSWIEAQRGYVKALNGWLHRCLLYEPEDIAADGVSSLSSGGSGVPPVFVILNQWSEVMDRLLEKEVVEAVNTFFISINQVLEQQHNSTLRQRIIADKDMERKVKLLEKEEQKMQKMVQARVKKMTEWAREESAVLEPRDTSTTDGSNIREAASLQHGLKQIFMAMEKLAIHFRQAYGELHQCTEKCKATQDNP >KJB57978 pep chromosome:Graimondii2_0_v6:9:14499504:14503772:1 gene:B456_009G188500 transcript:KJB57978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVTSKLDQLPAVTLCGDRCNFLQDALLQSYAFADAHVAYMQSLTTLGPALHRFFDQCLKSSSGDEPVVSDEKPPKRRSPLSSPGHSFSSSNSDSHIQFDTDLEDEETGEDFSKYINEIHLNYLNQGILTSYPLPNHDYHSNTNQSREISGSGWKTPPPPAPRSVAWDYLNFFDEIYERYELPCFSSKEVKDKGGVHEHLEAQAVKQVHGDEKSSANFTKEKRENPRGIAVPVKNGDAENGKKADSSHIDKIQKKADINEPKNRSGKQSASEVIKELQVLFEKVSESGNGVLNMLDTGKFRYHHKKSVYQGTTKVFHMITTNSWETEPLLSEGKFSSMDNDEIVSSQNLSSTLRKLCMWEKKLYDEVKAEEKLRMIHSKKRSQMRILDQRGADAHRVDSTQTSIRALSTKMRVAVQVIDNIAITINKVMDEELWPQINELIHRLFGMWKVMLDCHSCQCQKVMEAKCLDVITLNENLNDAHLEVAMKLKLELQNFVLSLSSWIEAQRGYVKALNGWLHRCLLYEPEDIAADGVSSLSSGGSGVPPVFVILNQWSEVMDRLLEKEVVEAVNTFFISINQVLEQQHNSTLRQRIIADKDMERKVKLLEKEEQKMQKMVQARVKKMTEWAREESAVLEPRDTSTTDGSNIREAASLQHGLKQIFMAMEKLAIHFRQAYGELHQCTEKCKATQDNP >KJB57975 pep chromosome:Graimondii2_0_v6:9:14499504:14503916:1 gene:B456_009G188500 transcript:KJB57975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVTSKLDQLPAVTLCGDRCNFLQDALLQSYAFADAHVAYMQSLTTLGPALHRFFDQCLKSSSGDEPVVSDEKPPKRRSPLSSPGHSFSSSNSDSHIQFDTDLEDEETGEDFSKYINEIHLNYLNQGILTSYPLPNHDYHSNTNQSREISGSGWKTPPPPAPRSVAWDYLNFFDEIYERYELPCFSSKEVKDKGGVHEHLEAQAVKQVHGDEKSSANFTKEKRENPRGIAVPVKNGDAENGKKADSSHIDKIQKKADINEPKNRSGKQSASEVIKELQVLFEKVSESGNGVLNMLDTGKFRYHHKKSVYQGTTKVFHMITTNSWETEPLLSEGKFSSMDNDEIVSSQNLSSTLRKLCMWEKKLYDEVKAEEKLRMIHSKKRSQMRILDQRGADAHRVDSTQTSIRALSTKMRVAVQVIDNIAITINKVMDEELWPQINELIHRLFGMWKVMLDCHSCQCQKVMEAKCLDVITLNENLNDAHLEVAMKLKLELQNFVLSLSSWIEAQRGYVKALNGWLHRCLLYEPEDIAADGVSSLSSGGSGVPPVFVILNQWSEVMDRLLEKEVVEAVNTFFISINQVLEQQHNSTLRQRIIADKDMERKVKLLEKEEQKMQKMVQARVKKMTEWAREESAVLEPRDTSTTDGSNIREAASLQHGLKQIFMAMEKLAIHFRQAYGELHQCTEKCKATQDNP >KJB62285 pep chromosome:Graimondii2_0_v6:9:61543004:61546272:1 gene:B456_009G409900 transcript:KJB62285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILTLKTILKHQNPCRVIGSRFKTSSVQYVASRFRDPTFEKLMDKYKNLLKVIAIQDLILANPTHNPPSVSLDFLSRLSQKLHLNRGAASFLRKYPHIFHIFYDPNKFRTFCRLTDAAMRVSRQEAEAINASLDVVVDRLVRLLSMSTTKSLPLRAVFKVWRELGLPDDFEDSIISRNSHIFKLVDAHEPNTHILTLTDEMRDKSFVACVENWRVMECCRENCAVDRTEIRCSFKHSYPPGMRLVRDFKAKVKEWQRLPYVGPYEEMGEKKRSKAGIMGLEKRAVAIVHEFLSLTVERMVEVEKISHFRKSFAIDLNIRDLFLDHPGMFYLSTKGKRHTVFLREGYERGCLIDPNPVYNARRKLLDLVVLGRHAMLINNESRWS >KJB59836 pep chromosome:Graimondii2_0_v6:9:23023345:23024716:1 gene:B456_009G274800 transcript:KJB59836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAEADGFPRARNGKTIHSDPNISITDPASEDDFNIRYSSASAAPACYEVNRVSCDASPLTMSPWKQASPLSKTPWTHSDEAKVPANSLIGSIVREEGHIYSLAATKDLLYTGSDSKNIRVWKNLKEFTGFKSNSGLVKAIVISGEKIFTGHQDGKIRVWKVSLKNPSIHKRSGSLPTLKDILKSSIKPSSYIEVKRKRSLWIKHSDALSCLSLNNEHGLLYSASWDRTFKVWRISDSKCLESVHAHEDAVNSVISTSGEMVFTGSADGTVKVWKRELQHKGTKHKLAQTLLQQESAVTALAINAAGSAVYCGSSEGLVNFWEIGKQLSHGGVLKGHKLAILCLAAAGNLVFSGSADKSICVWRKDGNIHTCLSVLTGHTGPVKCLAVEKDHESGNDHRWIVYSGSLDKSVKVWSVSEFAQAMSKVGGHESNREAFPVEGSYSTNSRASHSRF >KJB61655 pep chromosome:Graimondii2_0_v6:9:50629079:50633286:-1 gene:B456_009G373300 transcript:KJB61655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATASTDIIELPTEAGDLENLRLLDLSYCFELRRITPNLIRRLSDLEELYLHGCSSLKWATENSIKKESYSSLSELNSLPKLVVISLDISSEHPPDGFVFRRLLRFDVCIGRREWLYGKRDFETRPISRSLRISKSVDACKQLLEDVDSLQLADVKGHPNLIPSLDLVFRKLTSLDLRSCHSMQCLIDASKQQVPITALSNLRKLSLRDMFYLEEMCNAPQPQGFLQKLEDVIISDCDKMQVFFPIAELRSIEQEVPSRHLSLQSLKIVEIERCYNLKYIFPMSVANSLGQLHTLKIKSCSQLEDIIQDQQVAYKCLLQSLREVLLIDLPQLKIRNVNGILLTQSSLQKLEVDNCPQLTHFIILTTIQELVFRKMTNEQFSNLQSCKYEELEQYQTSSQHHPLPICFPNLIRIDILECESLKSLFPIIVAQGSSKNLNAPNLQTLRIESCFGMEEIIQDSQVSTISFQCLREVQVTECNKLKFLFPICVANSLGKLQTLKIERCFGMEEIIQDSQVSTISFQCLREVQVTECNKLKFLFPTCVANSLGQLQTLRIKSCSQLQVIIPGPEVLISMSQGLARLNKVELINLPQLKGRDRNDIVLTSPSLYVLFVRDCPQLTPFIVPTNIQELSFSEMTEKKQISNVTVPERRGGTSTCTEYLTISNFEELFEYSEYNLSSLKILTLSKLTELRVIWRGPIQVEHFQNLTQLTVNDCRRLRYIFSLTIAQNLPQLWRLHISTCEELEQIIEKDQTPSQHHLQPSCFPNLSYISIIDCENLKCLFPITLAHGSLLNLKGLCLSMVSKLEQVFEGDEGNVSKDEERVIHLPQLHSLRLDDLPNLVSFSPVGYHFIFPFLTDLQVKGCPNITTIFSVDSEVSVHAKTQESVDEIIVKESAIAQETAWPIRSDIWWTKEEF >KJB61654 pep chromosome:Graimondii2_0_v6:9:50628780:50633289:-1 gene:B456_009G373300 transcript:KJB61654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATASTDIIELPTEAGDLENLRLLDLSYCFELRRITPNLIRRLSDLEELYLHGCSSLKWATENSIKKESYSSLSELNSLPKLVVISLDISSEHPPDGFVFRRLLRFDVCIGRREWLYGKRDFETRPISRSLRISKSVDACKQLLEDVDSLQLADVKGHPNLIPSLDLVFRKLTSLDLRSCHSMQCLIDASKQQVPITALSNLRKLSLRDMFYLEEMCNAPQPQGFLQKLEDVIISDCDKMQVFFPIAELRSIEQEVPSRHLSLQSLKIVEIERCYNLKYIFPMSVANSLGQLHTLKIKSCSQLEDIIQDQQVAYKCLLQSLREVLLIDLPQLKIRNVNGILLTQSSLQKLEVDNCPQLTHFIILTTIQELVFRKMTNEQFSNLQSCKYEELEQYQTSSQHHPLPICFPNLIRIDILECESLKSLFPIIVAQGSSKNLNAPNLQTLRIESCFGMEEIIQDSQVSTISFQCLREVQVTECNKLKFLFPICVANSLGKLQTLKIERCFGMEEIIQDSQVSTISFQCLREVQVTECNKLKFLFPTCVANSLGQLQTLRIKSCSQLQVIIPGPEVLISMSQGLARLNKVELINLPQLKGRDRNDIVLTSPSLYVLFVRDCPQLTPFIVPTNIQELSFSEMTEKKQISNVTVPERRGGTSTCTEYLTISNFEELFEYSEYNLSSLKILTLSKLTELRVIWRGPIQVEHFQNLTQLTVNDCRSK >KJB54481 pep chromosome:Graimondii2_0_v6:9:2649107:2650384:1 gene:B456_009G035500 transcript:KJB54481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVCMDIPSRTNCNGSKYPCFLFYIKGELSLQHYFHPSKTSAINMFIPIFFILSFLFSSTNAADFCVGDLNGPVGPAGYSCKKTVTVNDFVYSGLAATGNTSNLIKAAVTPAFSAQFPGVNGLGISIARLDLAVGGVIPMHTHPGASEVLVVIQGTICAGFISSANKVYFKSLNKGDIMVFPQGLLHFQINAGKTQSLAFVSFSSPDPGLQILDFALFASDLPTDIIEETTFLDAAQIKKLKGVLGGTG >KJB55531 pep chromosome:Graimondii2_0_v6:9:5816852:5825259:1 gene:B456_009G080800 transcript:KJB55531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQTQTTPTKKTHQTLVFAVNGERFELSGLDPSTTLLEFLRSQTSFKSVKLGCGEGGCGACLVLLSKYDPVQDKVDDFTVSSCLTLLCSVNGCSITTAEGVGNSKDGFHPIQERFSGFHASQCGYCTPGMCVSLYSALINADKTDRTGLRPGFSKLTVSEAEKSIAGNLCRCTGYRPLVDACKSFAADVDMEDLGFNSFWKKGESEDIKLSRLPPYNCNNAIRVFPEFLKTVIKAGFNLESEGCRWYSPGSLEQLQSLLQTDEVNDGTSMKIVVGNTGMGYYKELGHHNKYIDLRYIPELSIISKDQTGIKIGASVTISKAIEALKDENEGGINQEGMLVFEKLADHMERVATGFVRNSASIGGNLIMAQRKHFPSDISTILLSVDTMVDILTGHRHETITLEEFLGRPPLVSNSVLLGIKIPCWKSRRDISSKTYTKLLYETYRAAPRPIGNALPYLNAAFLAEVSLCKKSAGVVLNNCRLAFGAYGTKHSIRARNVEEFLSAKLLNFGVIYEAIKLLESTIIPEEGTSSPAYRTSLAVGFLFEFLSPLMNSCTDISKLWFDGYNSDLLSNGSKIKQNCDQFNQIKSPTLLSSAKQVIQLNEDYHPVGEPLTKVGAIIQASGEAVYVDDIPSPRNCLHGAFIYSTEPLARVQGIKFKPGSSPHGVSTVISFKDIPGENIGAQTIFGSESLYADELTQCAGQRIALVVADTQKNADMAANLAVVDYDKKNLEPILSVEEAFEKCSFFEVPHFLNPEPVGAFSKGMAESDHQILSAELKLGSQYYFYMETQTALAVPDEDNCIVVYSSCQCPEFAHDTIAKCLGVPSHNVRVITRRVGGGFGGKAIKAIPVATACALAAYKLHRPVRMYVNRKTDMIMAGGRHPMKITYSVGFKSNGKITALKLDILVDAGMSADISPVMPHNIIGSLKKYDWGALAFDIKVCKTNLPSRSAMRAPGEVQASFIAEAIIEHVASSLALEVDSVRSINLHKFETLKLFFKTCAGEPLEYTLPSIWDKLAVSSNFYRRTEMLKEFNRCNKWQKRGISRIPIVHPVMLRATPGKVSILRDGSIVVEVGGIELGQGLWTKVKQMTAYALSLIQSAGTEELLEKVRVIQADTLSLIQGGFTSGSTTSESSCEAVRLCCNILVERLTALKERLEEQMGPVKWETLILQAYMISVNLSANSLYVPDFSSMQYLNYGAAVSEANKPFNWSNHNIADRYHI >KJB55530 pep chromosome:Graimondii2_0_v6:9:5816773:5825411:1 gene:B456_009G080800 transcript:KJB55530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQTQTTPTKKTHQTLVFAVNGERFELSGLDPSTTLLEFLRSQTSFKSVKLGCGEGGCGACLVLLSKYDPVQDKVDDFTVSSCLTLLCSVNGCSITTAEGVGNSKDGFHPIQERFSGFHASQCGYCTPGMCVSLYSALINADKTDRTGLRPGFSKLTVSEAEKSIAGNLCRCTGYRPLVDACKSFAADVDMEDLGFNSFWKKGESEDIKLSRLPPYNCNNAIRVFPEFLKTVIKAGFNLESEGCRWYSPGSLEQLQSLLQTDEVNDGTSMKIVVGNTGMGYYKELGHHNKYIDLRYIPELSIISKDQTGIKIGASVTISKAIEALKDENEGGINQEGMLVFEKLADHMERVATGFVRNSASIGGNLIMAQRKHFPSDISTILLSVDTMVDILTGHRHETITLEEFLGRPPLVSNSVLLGIKIPCWKSRRDISSKTYTKLLYETYRAAPRPIGNALPYLNAAFLAEVSLCKKSAGVVLNNCRLAFGAYGTKHSIRARNVEEFLSAKLLNFGVIYEAIKLLESTIIPEEGTSSPAYRTSLAVGFLFEFLSPLMNSCTDISKLWFDGYNSDLLSNGSKIKQNCDQFNQIKSPTLLSSAKQVIQLNEDYHPVGEPLTKVGAIIQASGEAVYVDDIPSPRNCLHGAFIYSTEPLARVQGIKFKPGSSPHGVSTVISFKDIPGENIGAQTIFGSESLYADELTQCAGQRIALVVADTQKNADMAANLAVVDYDKKNLEPILSVEEAFEKCSFFEVPHFLNPEPVGAFSKGMAESDHQILSAELKLGSQYYFYMETQTALAVPDEDNCIVVYSSCQCPEFAHDTIAKCLGVPSHNVRVITRRVGGGFGGKAIKAIPVATACALAAYKLHRPVRMYVNRKTDMIMAGGRHPMKITYSVGFKSNGKITALKLDILVDAGMSADISPVMPHNIIGSLKKYDWGALAFDIKVCKTNLPSRSAMRAPGEVQASFIAEAIIEHVASSLALEVDSVRSINLHKFETLKLFFKTCAGEPLEYTLPSIWDKLAVSSNFYRRTEMLKEFNRCNKWQKRGISRIPIVHPVMLRATPGKVSILRDGSIVVEVGGIELGQGLWTKVKQMTAYALSLIQSAGTEELLEKVRVIQADTLSLIQGGFTSGSTTSESSCEAVRLCCNILVERLTALKERLEEQMGPVKWETLILQAYMISVNLSANSLYVPDFSSMQYLNYGAAVSEVEINLLTGQTTILQTDIIYDCGQSLNPAVDLGQIEGAFVQGIGFFMLEEYPTNSKGLVVAEGTWSYKIPTVDTVPKKFNVEILNSGHHKDRVLSSKASGEPPLKLAASIHCAIRAAIKEARQQLHSWGGLDESYSTFQLQVPATMPVVKELCGHENVQRFLQWTIGSKQLDSAGGTV >KJB61733 pep chromosome:Graimondii2_0_v6:9:51137816:51139088:-1 gene:B456_009G376900 transcript:KJB61733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKAVETVDMMRKQGIEPNVVTYSTLVDAHCKEGMVSEAEDIIDALIKRGIEPDVVTYSALVNGHCLQNKMDKARRVFNLMIEKGCAPDIVTYSTMINGYCKGKRLDKAMELFHEISRKGPIPDTDTYNILMQSMFQLGKVSTACELFRKMLASGQVTDIVTCLILLNGLGKTGHIEEALKLFQAMRNGGLELDIVPYNILIDGLCKAGHIEFAKELFHQLSDNSLKPNVVTYRIMINGLCKEGLADEAYRLFGSMGDNNCLPNSCCYNVMIRGFLRNSYTSKATQLLTEMVGKGFSADIFTATLFMDLIIYSNKSILL >KJB58259 pep chromosome:Graimondii2_0_v6:9:15604431:15608556:-1 gene:B456_009G201500 transcript:KJB58259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQTPSQPQPQVQSQPPNDSSTTTQAQVQTQSGDPNNSSTAPVSTVTTACTAIVACGPTELVNVPLSTLSPPSKIPSRPRKIRKLSPDLSFDPNASQQATTSSSTSLTEQRKTVGRTSKTKLSQHRALAVVAPRIISRSLSCEGEVENAIHHLRDADPLLASLIDLHPPPTFDTFHAPFLALTRSILYQQLAFKAGTSIYTRFISLCGGENGVVPETVLSLTSQQLRQIGVSGRKASYLHDLARKYQTGILSDSAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLGVRKGVQLLYNLEELPRPSQMDQLCEKWRPYRSVASWYLWRYVEAKGAPSSAAAVAAGASLPPLQQQEEPQQHQQQPQLMDPINSILNLGACAWGQ >KJB58260 pep chromosome:Graimondii2_0_v6:9:15605008:15608302:-1 gene:B456_009G201500 transcript:KJB58260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKGSVTPPLIHVWSHWTCSHNTSTRSSIFLPLLLPLTPPPIVNVNANANANAAFILQRFLNYISDFDRFHMGEQTPSQPQPQVQSQPPNDSSTTTQAQVQTQSGDPNNSSTAPVSTVTTACTAIVACGPTELVNVPLSTLSPPSKIPSRPRKIRKLSPDLSFDPNASQQATTSSSTSLTEQRKTVGRTSKTKLSQHRALAVVAPRIISRSLSCEGEVENAIHHLRDADPLLASLIDLHPPPTFDTFHAPFLALTRSILYQQLAFKAGTSIYTRFISLCGGENGVVPETVLSLTSQQLRQIGVSGRKASYLHDLARKYQTGILSDSAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLGVRKGVQLLYNLEELPRPSQMDQLCEKWRPYRSVASWYLWRYVEAKGAPSSAAAVAAGASLPPLQQQEEPQQHQQQPQLMDPINSILNLGGKSALI >KJB59607 pep chromosome:Graimondii2_0_v6:9:21803990:21811360:1 gene:B456_009G263600 transcript:KJB59607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLNNAIKLKAKAPLLLHSFTLPTTKITLAFRSVSTPLNETALSLNTTTPNTIPFLPSPFLSCRAAMMTAACVQVKEQIELTETEKKIFDRLLNTLRHFNLQTQLRVAGGWVRDKLLGKECYDIDIALDNMLGSEFVDKVQEYLSTTGEVAQGLAVIPSNPEQSKHLETARMRLFDLWIDFVNLRCEDYSENSRIPTMKFGTAEEDAYRRDLTINSLFYNINTNLVEDFTKRGLEDLKFGRIVTPLPPKATFLDDPLRVLRAIRFGARFDFTLDEELKKAAACDDVKTALAAKISRERVGTEIDLMISGNQPVKAIDYVCDLTLFWVVFSLPPKVEPAVSEECHRLSAAYLDASWKLIQLIGCSNFDDEQRRLCLYSALFLPLRSAAYKDRKDKKIPVVNYIFRDSLKRKASDADTVMNIHKSLEKFLSLIPSLLSNEDIQLTEVDWGREFDDVPFTSKLRVLTGFLLREIKDFWRVALLISTLLYPTGTECSQDIIDKHFQLDKRKNIFVSVDNAIVKLGLEKVWDLKPLVNGKDIMNVLQLKVGGPLVREWQQKALSWQLAHPSGTAEECLDWMKETHSKRIKME >KJB59606 pep chromosome:Graimondii2_0_v6:9:21803990:21811299:1 gene:B456_009G263600 transcript:KJB59606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLNNAIKLKAKAPLLLHSFTLPTTKITLAFRSVSTPLNETALSLNTTTPNTIPFLPSPFLSCRAAMMTAACVQVKEQIELTETEKKIFDRLLNTLRHFNLQTQLRVAGGWVRDKLLGKECYDIDIALDNMLGSEFVDKVQEYLSTTGEVAQGLAVIPSNPEQSKHLETARMRLFDLWIDFVNLRCEDYSENSRIPTMKFGTAEEDAYRRDLTINSLFYNINTNLVEDFTKRGLEDLKFGRIVTPLPPKATFLDDPLRVLRAIRFGARFDFTLDEELKKAAACDDVKTALAAKISRERVGTEIDLMISGNQPVKAIDYVCDLTLFWVVFSLPPKVEPAVSEECHRLSAAYLDASWKLIQLIGCSNFDDEQRRLCLYSALFLPLRSAAYKDRKDKKVMNIHKSLEKFLSLIPSLLSNEDIQLTEVDWGREFDDVPFTSKLRVLTGFLLREIKDFWRVALLISTLLYPTGTECSQDIIDKHFQLDKRKNIFVSVDNAIVKLGLEKVWDLKPLVNGKDIMNVLQLKVGGPLVREWQQKALSWQLAHPSGTAEECLDWMKETHSKRIKME >KJB55800 pep chromosome:Graimondii2_0_v6:9:6937115:6937537:1 gene:B456_009G095200 transcript:KJB55800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPLSMNGTSGMHYGKKMMMMHMTFFWGKDAEILFSGWSGRRGANNVWAGVVQSLLHAVRVGLAYLVMLAVMSFNGGVFLMAIAGHMLGFLLFGSRVFKRNMDILSSKKTSDHLPPMSC >KJB62245 pep chromosome:Graimondii2_0_v6:9:61446735:61458421:1 gene:B456_009G409200 transcript:KJB62245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 3-deoxy-D-manno-octulosonic acid transferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G03770) UniProtKB/Swiss-Prot;Acc:Q8VZA5] MTGPMAKGEIVYKIYRALTYGLSPLLHLHLHWRKLRGLEHPIRWRERLGRPSLRRPSSGPLLWFHAVSLGEGMAAIPVIKFCSQRRPDVHILMTTTTLSAFEVLKDRLPANVIYQFAPIDTPAAMDAFLGYWKPNWIVIMESELWPNLIIGASKCGIGLALLNARLSAKSFRHWSRPVLFPLISLLLSKFSLIAPLSSVQAIHFQLLQAPPFSIKFSGDLKYAVDEYEQKDTRHIEYLQKQLSQKQVWMAASIHRGEEEIIMGVHNVLVQTHPDIVTIIVPRHPQHGQEIAEELQKGGQNVALRSQHEELIPGISIYLVDTLGELRQLYKLTPIAVIGGSFFPGLAGHNISEAAAAGCAVLTGHHVGHFSHMVREMQQLNPLSVMQVSGKLELEKVLMELFADAKILESRQKAAKEAFHALSSAVVSSAWDVLNFHLLRNKC >KJB62243 pep chromosome:Graimondii2_0_v6:9:61446735:61450092:1 gene:B456_009G409200 transcript:KJB62243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 3-deoxy-D-manno-octulosonic acid transferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G03770) UniProtKB/Swiss-Prot;Acc:Q8VZA5] MTGPMAKGEIVYKIYRALTYGLSPLLHLHLHWRKLRGLEHPIRWRERLGRPSLRRPSSGPLLWFHAVSLGEGMAAIPVIKFCSQRRPDVHILMTTTTLSAFEVLKDRLPANVIYQFAPIDTPAAMDAFLGYWKPNWIVIMESELWPNLIIGASKCGIGLALLNARLSAKSFRHWSRPVLFPLISLLLSKFSLIAPLSSVQAIHFQLLQAPPFSIKFSGDLKYAVDEYEQKDTRHIEYLQKQLSQKQVWMAASIHRGEEEIIMGVHNVLVQTHPDIVTIIVPRHPQHGQEIAEELQKGGQNVALRSQHEELIPGISIYLVDTLGI >KJB62246 pep chromosome:Graimondii2_0_v6:9:61446735:61458421:1 gene:B456_009G409200 transcript:KJB62246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 3-deoxy-D-manno-octulosonic acid transferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G03770) UniProtKB/Swiss-Prot;Acc:Q8VZA5] MDAFLGYWKPNWIVIMESELWPNLIIGASKCGIGLALLNARLSAKSFRHWSRPVLFPLISLLLSKFSLIAPLSSVQAIHFQLLQAPPFSIKFSGDLKYAVDEYEQKDTRHIEYLQKQLSQKQVWMAASIHRGEEEIIMGVHNVLVQTHPDIVTIIVPRHPQHGQEIAEELQKGGQNVALRSQHEELIPGISIYLVDTLGELRQLYKLTPIAVIGGSFFPGLAGHNISEAAAAGCAVLTGHHVGHFSHMVREMQQLNPLSVMQVSGKLELEKVLMELFADAKILESRQKAAKEAFHALSSAVVSSAWDVLNFHLLRNKC >KJB62244 pep chromosome:Graimondii2_0_v6:9:61446735:61458421:1 gene:B456_009G409200 transcript:KJB62244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 3-deoxy-D-manno-octulosonic acid transferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G03770) UniProtKB/Swiss-Prot;Acc:Q8VZA5] MTGPMAKGEIVYKIYRALTYGLSPLLHLHLHWRKLRGLEHPIRWRERLGRPSLRRPSSGPLLWFHAVSLGEGMAAIPVIKFCSQRRPDVHILMTTTTLSAFEVLKDRLPANVIYQFAPIDTPAAMDAFLGYWKPNWIVIMESELWPNLIIGASKCGIGLALLNARLSAKSFRHWSRPVLFPLISLLLSKFSLIAPLSSVQAIHFQLLQAPPFSIKFSGDLKYAVDEYEQKDTRHIEYLQKQLSQKQVWMAASIHRGEEEIIMGVHNVLVQTHPDIVTIIVPRHPQHGQEIAEELQKGGQNVALRSQHEELIPGISIYLVDTLGELRQLYKLTPIAVIGGSFFPGLAGHNISEAAAAGCAVLTGHHVGHFSHMVREMQQLNPLSVMQKGTFKFWTSF >KJB54363 pep chromosome:Graimondii2_0_v6:9:2372040:2376493:-1 gene:B456_009G031500 transcript:KJB54363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPRIVIIGAGMAGLTAANKLYTSTGSDHLFELVVVEGGDRIGGRINTSEFCGDRIEMGATWIHGIGGSPVHQIAREIHALESDKPWECMDGFSGEPKTIAEGGFELNASIVDPISTLFKNLMDFAQGKLTEDSAGSGGDACYYNFAAKAALKDCTSNGGFGNQSVGAFLRRGLGAYWDSCKDRGELNGYGKWSRKLLEEAVFAMHENTQRTYTSAGDLFNLDYEAESEYRMFPGEEITISKGYLSIIEHLASVLPPGVIQLGRKVTRIEWQPEGHKSILVPNGYDSRPVKIEFCDGSFMLADHVIVTVSLGVLKSGTGQDSGMFNPPLPPFKTEAISRLGYGVVNKLFLQWSPNGNRPANDKEKFPSLQIVFHTPESELRHEKIPGWMRRTASLSPIYNNSSVLLSWFAGKEALELETLSDEEIINGVSATVSGLLPVSKHKKEDKYNSPEFCNGNVESCDDNGVRFGKVLKSKWGSDPLFLGSYSYVAVGSSGADLDTMAEPLPKLGSTDSDHHPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLKHYRCVGI >KJB60729 pep chromosome:Graimondii2_0_v6:9:46802560:46803062:1 gene:B456_009G357100 transcript:KJB60729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKENDQIIKENNCETKMGLPCVLEAFTSIFETGSISNKCCGELVGLGKVCHSALVKRTLENPLFKDLSPATIIAKSIQTWNNCLALINSPSPSA >KJB61383 pep chromosome:Graimondii2_0_v6:9:46087761:46090052:-1 gene:B456_009G354500 transcript:KJB61383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVMASSVTLKPSFNVEKPGVRGVPSLARSPSSFKVEASGGKKIKTDKPYGINGGMSLRDGVDASGRKGKGKGVYQYVDKYGANVDGYSPIYNTNDWSPSGDVYVGGTTGLAIWAVTLAGILAGGALLVYNTSALAQ >KJB61384 pep chromosome:Graimondii2_0_v6:9:46087772:46089947:-1 gene:B456_009G354500 transcript:KJB61384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVMASSVTLKPSFNVEKPGVRGVPSLARSPSSFKVEASGGKKIKTDKPYGINGGMSLRDGVDASGRKGKGKGVYQYVDKYGANVDGYSPIYNTNDWSPSGDVYVGGKCILKQLNFGLYIKNTKFGKYVI >KJB55905 pep chromosome:Graimondii2_0_v6:9:7270441:7271564:1 gene:B456_009G100600 transcript:KJB55905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLVLATIFVLCSFGKATHPGLILTVVNNCPFTIYPAIQPNAGHPVLERGGFALHTLTHRSFPAPTVHWSGRIWARTGCTYSNGHFSCATGDCGHRIECNGLGGATPVTIAQFSLHHGGHKDLSSYEVSLVDGFNIPMTVTPHEGKGLCPVVGCRANILATCPGKLQYRSPPHGPVVGCKSGCAAFGTDELCCRNHYNSPQTCRASSYSEFFKHACPATFTYAHDSPSLMHDCSSPRELKVIFCH >KJB62676 pep chromosome:Graimondii2_0_v6:9:68174248:68174978:-1 gene:B456_009G434000 transcript:KJB62676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQTSFVFFVLLIGCYIRVNCEELKHLTNEVEVNVPANLTWELYRHLGISKLAAQQLKHVIQRIQVLKGNSSYTEIFNVIDDQKRVKVAQTLEGGCLEIGCSIQLVQFDIIEKSPSKSIIKSDISYAVKKEFQAKDPKPNIQCLAAVAQVAKYYLERANWA >KJB62677 pep chromosome:Graimondii2_0_v6:9:68174309:68174922:-1 gene:B456_009G434000 transcript:KJB62677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQTSFVFFVLLIGCYIRVNCEELKHLTNEVEVNVPANLTWELYRHLGISKLAAQQLKHVIQRIQVLKGDGGVGTVLKLTFVPGNSSYTEIFNVIDDQKRVKVAQTLEGGCLEIGCSIQLVQFDIIEKSPSKSIIKSDISYAVKKEFQAKDPKPNIQCLAAVAQVAKYYLERANWA >KJB61277 pep chromosome:Graimondii2_0_v6:9:44016186:44020771:-1 gene:B456_009G349200 transcript:KJB61277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNLGAQPGVPRPPTNPQPNPFGNSFYGAGSGLIRGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDQYVRNKLKVVLLPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGLSLGLQRKFSPEALNWLFVKGLFGWFLQVSLLKVTLLSLGSGEAPLLDILAYAGYAFTGMCLAVLGRIIWRYSYYFLMPWACLCMGIFLVKTMKRVLFAEVRSYDSSKHHYLLLFIALAQFPLFTWLGNISVNWLF >KJB61485 pep chromosome:Graimondii2_0_v6:9:47571135:47571614:1 gene:B456_009G361100 transcript:KJB61485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTHLYHIGIQFDILSLKNFLTSAHHEQEKSQFLNLAVFLRWFYPLSQWLEMITSTLNQIKNSSSSVVIIFYKLQYFMQNDASTQLGAFPTAWIHKTYSAEIDTYPHDYKALKKYLYQLNRIIPTEIWPPGDVLAHWDFNPELLTSYHPKLKEVLKEY >KJB57818 pep chromosome:Graimondii2_0_v6:9:14015460:14017527:-1 gene:B456_009G182100 transcript:KJB57818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMMDMETKIGRRFEGKVAIVTASTQGIGFAIAERLGLEGASVVISSRKQKYGKIDVIVSNAAVNPSVDPLLRTLESILDKLWEINVKATVLLLQEAAPHLQKGSSIVIISSIAGYNPQPAMAMYGVTKTALLGLTKALATEMAPVTRVNAVAPGFVPTNFAAYITKDEDVKVNEEKTLLGRLGTPKDMAAAAAFLASDDACYITGETLIVAGGLPSRL >KJB57817 pep chromosome:Graimondii2_0_v6:9:14015441:14017648:-1 gene:B456_009G182100 transcript:KJB57817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMMDMETKIGRRFEGKVAIVTASTQGIGFAIAERLGLEGASVVISSRKQKNVDEAVEKLKNKGIEVFGVVCHVSNAQHRKDLIQKTVDKYGKIDVIVSNAAVNPSVDPLLRTLESILDKLWEINVKATVLLLQEAAPHLQKGSSIVIISSIAGYNPQPAMAMYGVTKTALLGLTKALATEMAPVTRVNAVAPGFVPTNFAAYITKDEDVKKVNEEKTLLGRLGTPKDMAAAAAFLASDDACYITGETLIVAGGLPSRL >KJB57922 pep chromosome:Graimondii2_0_v6:9:14293626:14296635:1 gene:B456_009G185900 transcript:KJB57922 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3B [Source:Projected from Arabidopsis thaliana (AT2G33610) UniProtKB/Swiss-Prot;Acc:Q84JG2] MAEKSPVKEAASSESIPISNSNQLKPSPQLPSKFSSQTAPNSEVPSTPTSRPPSADADVVYVPSYSRWFSWDKIDSCESRFLPEFFDGRSASKGPSLYIYYRNSIIKLFRENPSRKITFTDVRKTLVGDVGSIRRVFDFLDHWGLINYSASSALSKPSKDTGSNIKSSDSPSVESPSSAAPTSKHSSNSRRICGACKSLCTIACFVCDKYDSTLCARCYVRGNFRVGLSNADFRRVEITDEAKADWSEKETLLLLEAIMHYGDDWKKVAQHVGGRTDKDCVAHFVKLPFGEEYLGHPLSNDDESGFETNKRMCLTPLADASNPIMAQVCLFLCLTRNIK >KJB57921 pep chromosome:Graimondii2_0_v6:9:14293440:14296658:1 gene:B456_009G185900 transcript:KJB57921 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3B [Source:Projected from Arabidopsis thaliana (AT2G33610) UniProtKB/Swiss-Prot;Acc:Q84JG2] MAEKSPVKEAASSESIPISNSNQLKPSPQLPSKFSSQTAPNSEVPSTPTSRPPSADADVVYVPSYSRWFSWDKIDSCESRFLPEFFDGRSASKGPSLYIYYRNSIIKLFRENPSRKITFTDVRKTLVGDVGSIRRVFDFLDHWGLINYSASSALSKPSKDTGSNIKSSDSPSVESPSSAAPTSKHSSNSRRICGACKSLCTIACFVCDKYDSTLCARCYVRGNFRVGLSNADFRRVEITDEAKADWSEKETLLLLEAIMHYGDDWKKVAQHVGGRTDKDCVAHFVKLPFGEEYLGHPLSNDDESGFETNKRMCLTPLADASNPIMAQAAFLSALAGVEIAEAAAQAAVSVLSQVDDITASRVGSGSLERNSKQETEISSNGDTNQNALERAAYADANSLLDKEEQDIERAITGITEVQMKEIQDKFLRFEEMDLQTEKERQQLESMKNLLFIDQLNLSFRRNYT >KJB54042 pep chromosome:Graimondii2_0_v6:9:1416664:1418426:1 gene:B456_009G017900 transcript:KJB54042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVNRYITINNHVNGAPQDSDFELKASPLALSLDPASNVVIVKNLYVSIDPYQLNRMKSFSASQKTSDFAVAIVPGEAIDTYGVGKVVASTNPEFDKGDIVVGLLNWGEFTVIKAGGMLNKLNTMGFPLSYHVGILGFSGLTAYAGLFDICKPNKGEKVFVSAALGSVGNLVGQFAKLSGCYVVGCAGSKEKVALLKEKLGFDDAFNYREETDLNSTLKSYFPNGIDIYFDNVGGEMQEVAVSNMNINGRIAVCGVISEYLDSEKRAAPSMIEVIYKRIKIQGFLAGDYLNIFGDFLSTTCDHLRTGKIQPLEDISDGVESIPSAFISLYRGQNIGKKIVKIAEE >KJB53604 pep chromosome:Graimondii2_0_v6:9:51353290:51353964:1 gene:B456_009G378600 transcript:KJB53604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFIIASTKSSASETIPSLQCESTNVLYVTTLGSMPCFLIMSTVSTALEIVPSLQRASINIVYVTILSEILLSTISFKSLVASSCWPKLHIP >KJB53962 pep chromosome:Graimondii2_0_v6:9:1103422:1104110:1 gene:B456_009G013600 transcript:KJB53962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTASPLSSKSQARTCLCSPTTHPGSFRCSLHRNFNKPPTGRSRAVRIAPNSWELALQAKANSIKALLLQIIKPSSHDLQRRRDFQPKPSRFCMLNGNRNGFGVAVS >KJB56126 pep chromosome:Graimondii2_0_v6:9:7761656:7763574:1 gene:B456_009G107000 transcript:KJB56126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQERLLGAALGTALTGIVVFEQRKRIYESISDYQSQLAFQSQIKEPIFGKKSRSEFALLWNKAVDQIFVPVIESISSRRW >KJB56124 pep chromosome:Graimondii2_0_v6:9:7761523:7763609:1 gene:B456_009G107000 transcript:KJB56124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDIQKENPKFIFLRLIMKSKKISSNAKFLTMISVLAQERLLGAALGTALTGIVVFEQRKRIYESISDYQSQLAFQSQIKEPIFGKKSRSEFALLWNKAVDQIFVPVIESISSRRW >KJB56125 pep chromosome:Graimondii2_0_v6:9:7761654:7763574:1 gene:B456_009G107000 transcript:KJB56125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQERLLGAALGTALTGIVVFEQRKRIYESISDYQSQLAFQSQNIWTSEEFITIEITRDKAIIKEPIFGKKSRSEFALLWNKAVDQIFVPVIESISSRRW >KJB62008 pep chromosome:Graimondii2_0_v6:9:54714425:54721776:1 gene:B456_009G395500 transcript:KJB62008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVAAHGALTSASLQIGGDALKVGPTVKRKTPSELRGEQLKRTNVAELVSKSLAPSEMDNGLKKTDPPRNPRYIDTRMDEVYPAKKSRFKLSGKENAKENSSIPQPISLKKISAFSNFAAERSPQNSVASVDVPKDDVLGARPTLEKCSHGTFLTVTELSSGGQKLSGLATVEMDKALKGLASCEAFPTRPPESAGKIDDLSTGNFCSEFHVTGLKVPLDFTLKTYMRLVSSSSVNWLNRLITCGTYNGVPQFASHSGSSEDQNISSASQTRLTSQVLNSKALHSWIYPQSTLPPSLVAILVSSAADGVEMDFLRKRIGAWEESFRSLYYMFRENACSVFYVCTSHFVVMFTSVDGSGRSRSYQAYISQSTRGLRSSLKEHDVSFSMPLCRSQVEQVTTEDLVELSEIEKRNLGQTRRMNSFSDVDNTPQSLLAFSGNRNVHGLYDILLNYRSFLTFLNAVDVPVLYSPVPFQHAALSAPEVRCMEIKRADHGTYLSHGSSTLNDGHSTPISSAGLCYSVEIKDSHIPPWIISKICALMASKGQSFEASFTTEHTSVGLNTALGVVSQIADSEVTVEDSQETAYTFGIPEAIVSPCLHSGLLKGLSYCNGSYTVSLSPV >KJB60304 pep chromosome:Graimondii2_0_v6:9:26313990:26314943:-1 gene:B456_009G299200 transcript:KJB60304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKESSLETSTPNVSPSAAASNAYALGLTQFQGFWIPSSRVPTSSIISFQKYFQALDDDIIVASKPKAGTTWLKALVFTIVNRHSYTLSNTPLNSANPHQLIPFFEIKLYRENSNPDLCKIPSPRLFSTHLPYPMLADSIKHSNCRIIYITRNPFDIVVSLWHFFRFMDDWPVEDCFEMFCRGEEGYGPFWDHVLGYWNMSLEKPSNVLFLRYEELKEDPVAQTKRLAEFLGFPFSIEEEKTGVVNQIVDFCSFNNLKDLEVNKTGKMAETILPNNKLFFRSGKVGDYVNYLTPTAVERFNNILEEKLSGSGLTFK >KJB61910 pep chromosome:Graimondii2_0_v6:9:52965766:52968388:1 gene:B456_009G390500 transcript:KJB61910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTVAFSPITTAVSAPSSSVSDRRISVRLPGFRGLKSKSTSASVVPLFRSVIREPLICGRGGRVVCEAQETAVDVSAVKEDAWQSLVLDCELPVLVEFWAPWCGPCRMIHPVVDELSKDYTGKLKCYKVNTDECPNIATQYGIRSIPTVMIFKNGEKKDAVIGAVPKSTLITCIERFL >KJB61912 pep chromosome:Graimondii2_0_v6:9:52965744:52968388:1 gene:B456_009G390500 transcript:KJB61912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTVAFSPITTAVSAPSSSVSDRRISVRLPGFRGLKSKSTSASVVPLFRSVIREPLICGRGGRVVCEAQETAVDVSAVKEDAWQSLVLDCELPVLVEFWAPWCGPCRMIHPVVDELSKDYTGKLKCYKVNTDECPNIATQYGIRSIPTVMIFKNGEKKDAVIGAVPKSTLITCIERFL >KJB61911 pep chromosome:Graimondii2_0_v6:9:52965733:52968477:1 gene:B456_009G390500 transcript:KJB61911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTVAFSPITTAVSAPSSSVSDRRISVRLPGFRGLKSKSTSASVVPLFRSVIREPLICGRGGRVVCEAQETAVDVSAVKEDAWQSLVLDCELPVLVEFWAPWCGPCRMIHPVVDELSKDYTGKLKCYKVNTDECPNIATQYGIRSIPTVMIFKNGEKKDAVIGAVPKSTLITCIERFL >KJB56425 pep chromosome:Graimondii2_0_v6:9:8835339:8837946:1 gene:B456_009G119400 transcript:KJB56425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGEGRSEAGKAFGLLICGTLVYYHCAYRNSTILSLFSDVLIVLLCSLAILGLLFRQMNISVPVDPLEWQISQDAANSIFAWLANTVGAAESVLRVAATGHDKRLFFGVVVCLYMLSAIGRLVSGATVAYAVNQNMRSSVTEAKKWHQCRRQ >KJB56424 pep chromosome:Graimondii2_0_v6:9:8835555:8836833:1 gene:B456_009G119400 transcript:KJB56424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGEGRSEAGKAFGLLICGTLVYYHCAYRNSTILSLFSDVLIVLLCSLAILGLLFRQMNISVPVDPLEWQISQDAANSIFAWLANTVGAAESVLRVAATGHDKRLFFGVNFCGLNCSTL >KJB56426 pep chromosome:Graimondii2_0_v6:9:8835339:8837344:1 gene:B456_009G119400 transcript:KJB56426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGEGRSEAGKAFGLLICGTLVYYHCAYRNSTILSLFSDVLIVLLCSLAILGLLFRQMNISVPVDPLEWQISQDAANSIFAWLANTVGAAESVLRVAATGHDKRLFFGVVVCLYMLSAIGRLVSGATVAYAGMFAIKFCLLLLNQLVFVVET >KJB56423 pep chromosome:Graimondii2_0_v6:9:8835299:8838035:1 gene:B456_009G119400 transcript:KJB56423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGEGRSEAGKAFGLLICGTLVYYHCAYRNSTILSLFSDVLIVLLCSLAILGLLFRQMNISVPVDPLEWQISQDAANSIFAWLANTVGAAESVLRVAATGHDKRLFFGVVVCLYMLSAIGRLVSGATVAYAGLCLFCLYMLAENSQSIRTCVPQLQRQRNGTSVEDNNM >KJB59993 pep chromosome:Graimondii2_0_v6:9:24171445:24172032:-1 gene:B456_009G284300 transcript:KJB59993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTGKKTKGKQKIEIKMIENQDDRLITFSKRRSGIYKKIGELSTLCGTEILFIIFSPAGKPFTFGHPSIEFIANRFLNGNIPVIDDMHALIEDHRTVRINKLIQLYNEVQSQIDASNETQRVLAQQITSGGDSNRWWETPLDQLNPRELYERYSHFSKLLDLFYISRSKKIATASSTVAPTGPAEDAPTNFPLS >KJB56147 pep chromosome:Graimondii2_0_v6:9:8036581:8037984:-1 gene:B456_009G110100 transcript:KJB56147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQNFLNTLKTVKKSSASTLSPKHFQHLPDFISHFLKPATPLDASALPSLTPTTFRDILSNPDLKASKCFRFFNFVANNQSLLSFKPHLQDHLILICRLLKARLFADAEAMLKTLSVDENLRYPFLVIASAVENCCFESKVTTKLFNFMLKVYSDNGNFSEASKTFDYMKDNGIKINERTCTVHLNTLKKADELGLVLDFFGQMVQSGLEISVYSLTCVIDGMCRNGDIKKAREIMEEMSGRGIKPNVITFNILIDSCVKRWDFEELDLVLGLMRKGGVGFNVETYKFLIDGYSSYWKIEAAERLVMEMHDKGLRVDTYLYNLMINGYCKLGAIESVLLLLGRMRDRGVKPNADTFCPIINWYSKVGGMEVAMKYVEEMQKMGFELDKVMYDMLIDRFCQNGMADEAFELRIDMERKGFQADIAVFNQMGEILCETYQTEKAKMLMNIMIKRGICPKAVSFTSAIS >KJB59878 pep chromosome:Graimondii2_0_v6:9:23452361:23454562:1 gene:B456_009G278600 transcript:KJB59878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFSYSSYPESGESSPISREIDSENQSWDDPPSTNATANNNGNYRVKFLCSYGGKIQPRSHDNQLAYVGGDTKILAVDRNIKFSTMIAKLSSLCGGDSEVCFKYQLPGEDLDALITVTNDEDLDHMMLEYDRLHRGSAKPARLRLFFFPLSPPLVASGLGGSEPKSERQWFVDALNSLQVQNIDGVSPPASAVPVANPDFLFGLDKVNLPGSVPAPGTAVVQEVVAKDVTAGSECGPEDRYVAPDQLSPAEIQRQIQELQRMHIAATQDLGILQRKMDESNPRTCSTQDHCKIPDKIATSPAPGSGTTPMPMPMPTPYFPEQHVTTAGYPGTAMALAQGTDQPVYLIPAAGVYQQPPTLRQVTGPAGQPYYGLQRVVQDVYREQPVYNAVPTSVMQPKGGVPESRYVQVAYDGTGRQVYYTVTPCQAMAPAATTGGVAALNQDGKVAVNAKAPP >KJB59877 pep chromosome:Graimondii2_0_v6:9:23452279:23454562:1 gene:B456_009G278600 transcript:KJB59877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFSYSSYPESGESSPISREIDSENQSWDDPPSTNATANNNGNYRVKFLCSYGGKIQPRSHDNQLAYVGGDTKILAVDRNIKFSTMIAKLSSLCGGDSEVCFKYQLPGEDLDALITVTNDEDLDHMMLEYDRLHRGSAKPARLRLFFFPLSPPLVASGLGGSEPKSERQWFVDALNSLQVQNIDGVSPPASAVPVANPDFLFGLDKVNLPGSVPAPGTAVVQEVVAKDVTAGSECGPEDRYVAPDQLSPAEIQRQIQELQRMHIAATQDLGILQRKMDESNPRTCSTQDHCKIPDKIATSPAPGSGTTPMPMPMPTPYFPEQHVTTAGYPGTAMALAQGTDQPVYLIPAAGVYQQPPTLRQVTGPAGQPYYGLQRVVQDVYREQPVYNAVPTSVMQPKGGVPESRYVQVAYDGTGRQVYYTVTPCQAMAPAATTGGVAALNQDGKVAVNAKAPP >KJB62433 pep chromosome:Graimondii2_0_v6:9:64840891:64843289:-1 gene:B456_009G417400 transcript:KJB62433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLRLGSKSEIFHREGHTWVCTSGLPRDICINIGEMSFNLHKFPLLSRSGLLEKLIEEYSNGEGSSLNLRLDDIPGGAKAFELISKFCYGVRMELTAYNIVSVRCAAEYLRMTEDYGDGNLVMQAEAFLNEVLGNWSDSVRALEACEEVMPQAEELHIVSRCIESLATKASADPSFFSTTSSGRETRPSPEDSGLWNGISTATKTQYIGEDWWYQDVSFLSLPLYKRLILAIESKGLRPETIAASVVHYARRYLPLMNRQSSFDDVNSTVTNMPNTCEADQRALLEEIVGLLPNKKGVASTKFLIRLLRTAMVLHTSPSCRENLEMRVGAQLDQASLVDLLIPNMGYSETLYDVDCVQRILDHFMLVQQAAALATPPGIAEEGQIIKGSPDSLTPITMVANLIDGFLAEVASDVNFKLPKFEALAATIPDYARPVDDGLYHAIDVYMKTHHWIADGEREQLCRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFFVSDNLENSQNQINSAAAQQQRDNGGDDDVKQRVSELEKDCSSMKEEIQKLMKTKRSWRNFTRRLGFNRKSNSCCPKGSKASKLRAVAPSSGNRQQNCEKIEVVPPETVKVN >KJB56168 pep chromosome:Graimondii2_0_v6:9:8409065:8410667:-1 gene:B456_009G114600 transcript:KJB56168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGVQLAKRLSPSLSTILNLNASTHSLLSSSPWWSASQHRGVKVNAIHLRPGNVIEKSGRVYQIVESEHKQRGRGGALMQVELRDVDNGNKVSLRFGPEEPVERVFVEEKSFTCLYTEKNTAFLIEPETFDQLQVPLDLFGKSAAYLKG >KJB56166 pep chromosome:Graimondii2_0_v6:9:8402243:8410758:-1 gene:B456_009G114600 transcript:KJB56166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGVQLAKRLSPSLSTILNLNASTHSLLSSSPWWSASQHRGVKVNAIHLRPGNVIEKSGRVYQIVESEHKQRGRGGALMQVELRDVDNGNKVSLRFGPEEPVERVFVEEKSFTCLYTEKNTAFLIEPETFDQLQVPLDLFGKSAAYLKEEMKVTLQLYDGRPLTASVPKRVTCTIKETQAPMKGVSATPRYKKALLDNGVTVQVPPYLDTGEEIIISTEDDSYLGRAN >KJB56167 pep chromosome:Graimondii2_0_v6:9:8408550:8410758:-1 gene:B456_009G114600 transcript:KJB56167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGVQLAKRLSPSLSTILNLNASTHSLLSSSPWWSASQHRGVKVNAIHLRPGNVIEKSGRVYQIVESEHKQRGRGGALMQVELRDVDNGNKVSLRFGPEEPVESLRHLTNCKYHWTYSASLLLT >KJB56165 pep chromosome:Graimondii2_0_v6:9:8402243:8410807:-1 gene:B456_009G114600 transcript:KJB56165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGVQLAKRLSPSLSTILNLNASTHSLLSSSPWWSASQHRGVKVNAIHLRPGNVIEKSGRVYQIVESEHKQRGRGGALMQVELRDVDNGNKVSLRFGPEEPVERVFVEEKSFTCLYTEKNTAFLIEPETFDQLQVPLDLFGKSAAYLKEEMKVTLQLYDGRPLTASVPKRVTCTIKETQAPMKGVSATPRYKKALLDNGVTVQVPPYLDTGEEIIISTEDDSYLGRAN >KJB56942 pep chromosome:Graimondii2_0_v6:9:10833538:10837898:-1 gene:B456_009G143000 transcript:KJB56942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQKQKWTAEEEEALLAGITKHGPGKWKNILKDPEFAPFLTHRSNIDLKDKWRNLSVSTSTQGARDKSRAPKVKAIVASLPNTPNSSAPVASRAHNVTSDTVVGDSSNNGIDGKNAPRYNTMIFEALSTIKDTNGCDISAIVSYIEQRHEVPPNFRRLLSSRLRRLVSQGKLEKVQNCYKIREDTLMGTETPTPKQKDVRLRQNSDAIGSGETVEEAAITTAYKVAEAENKSFLAAEAVKEAERVSKMAEDTDSMLQLVKEIYEQCSRGETVILV >KJB57181 pep chromosome:Graimondii2_0_v6:9:11690907:11694880:1 gene:B456_009G153000 transcript:KJB57181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKKRSTPILPWMRSPVDVNQFEACALNLVPCLDPRLEVALDKMGFSSLFPVQVAVWQETIGPGAFERDLCINSPTGSGKTLAYALPIVQKLSTRSVKCLRALVVLPTRDLALQVKEVFAAIAPALGLSVGLAVGQSSIADEISELIKRPKLEAGICYDPEDVTRELQSSVDILVATPGRLMDHINSTKGFTLEHLCYLVVDETDRLLREAYQSWLPTVLQLTQSNEESLFPLANSFLSSTFGSLKTIRRCGVERGFKGKPHPRLVKMVLSATLTQDPSKLAQLNLHHPLLMTTGKRRYQLPEKLESYKLICESNLRPLYLVALLQELGEEKCIVFTSSTESTHRLCTLLNLFGDLGIKIKEYSGLQRQSRRSKTLKSFREGKVQVLVSSDAMTRGMDVQGVRNVINYDIPAYIKTYIHRAGRTARAGQAGRCFTLLHKYEVKRFKKMLQKADNESVPHYSVPSSSIETLRAAYNSALGKLKETVESEASRKRKFGSKFSKLSRTKPTDRLKG >KJB62268 pep chromosome:Graimondii2_0_v6:9:62212997:62215394:1 gene:B456_009G411400 transcript:KJB62268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDFLQGDRFCEAAVSYIQPLLTKGVPSLFSDLSPLYNHYGKGTAGVALAGLLATVRAQGRSLDDFPNHKIVVVGAGSAGLGVLSMVVQAVVRMTGNAETAAQNFFLLDKDVQFCTSFLAFFILFVQSLLCSFRYVMSFLLCNEDVDFMN >KJB62269 pep chromosome:Graimondii2_0_v6:9:62214625:62215394:1 gene:B456_009G411400 transcript:KJB62269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNDDVQGTAGVALAGLLATVRAQGRSLDDFPNHKIVVVGAGSAGLGVLSMVVQAVVRMTGNAETAAQNFFLLDKDVQFCTSFLAFFILFVQSLLCSFRYVMSFLLCNEDVDFMN >KJB54131 pep chromosome:Graimondii2_0_v6:9:1669769:1673126:1 gene:B456_009G022000 transcript:KJB54131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDDESSVYVGGLPYDATESSIRRVFSLYGAVVAVKIVNDHTTRGKCYGFVTFTNPRSAYDAINDMNGRTIDGRVVRVNEVTTRGGRSNFSRDRPRRSEWDRGRDRERDHDRDRERYRDRYSDRSGEHDRSRDYDSGRERGYEHHEHDKAGEYSLDRDHGRDVDDHMQGESRDHIQDWDRDHELNLDQDRELAITNGYRRSVDEDKEQQPRRRNGSTSNDHGRGRLSSDSSDDYNQMKKELERSIQSREELKTEISLMEGRLEERQHIVLDLQKKSKNLEDALIAAKKVSSRRKMHLTKLHKCFLQVKECREKLKSYEQELQTLVESAMLECEDDGGVKGGSLANGIA >KJB55064 pep chromosome:Graimondii2_0_v6:9:4372778:4376347:1 gene:B456_009G061000 transcript:KJB55064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVERVISDENDSFPVGMRVLAVDDDPTCLLLLETLLTKCKYNVTTTSQAITALKMLRENKNKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGDTKLVMEGITHGACDYLLKPVRIEELQNIWQHVIRRKKFDRKDRDGSGSQDKPYIDSSEAAGLGNVDHNGKFNKKRKDQNEDEDEERDENGHDNEDLSTQKKPRVVWSVELHRKFVAAVNQLGIEKAVPKKILELMNVENLTRENVASHLQKFRLYLKRISCVANQQANMAAALGSVDSTHLRMGTMNGLGNFHTLAGPDQIHNAAFRSFQHSGVLRRLNTPAGVGISGLPSSGLVQLSPVQNLGNTSTYQRKLQSFVIPGNHNANILQGMPMSLEFYQLQNNRAASHVGQFPSVDNTTVLPVSSISGSLIDAGCSNSPLLGVTSNSLLLEGSSQLPTLHASNLRDSVSGFPNRSTLSDFTSIAPASNQLHGSKADIQDQAFPISCNAGKIISSAPQDWNAPKKDAPYQSNVPSCSINSLRAVNGDMAQLGQCLDQNNPIFNRNVGFDFVDPLSIKCSEGENLAMEPSVIEKEGYLMVQPSRQGIYIPDNEGENFAMEPSVIEKEGYLIVQPRRQGSYIPGNLGSLEDLASAMTKQVSIITSISCGNFFFS >KJB55063 pep chromosome:Graimondii2_0_v6:9:4372528:4376771:1 gene:B456_009G061000 transcript:KJB55063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVERVISDENDSFPVGMRVLAVDDDPTCLLLLETLLTKCKYNVTTTSQAITALKMLRENKNKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGDTKLVMEGITHGACDYLLKPVRIEELQNIWQHVIRRKKFDRKDRDGSGSQDKPYIDSSEAAGLGNVDHNGKFNKKRKDQNEDEDEERDENGHDNEDLSTQKKPRVVWSVELHRKFVAAVNQLGIEKAVPKKILELMNVENLTRENVASHLQKFRLYLKRISCVANQQANMAAALGSVDSTHLRMGTMNGLGNFHTLAGPDQIHNAAFRSFQHSGVLRRLNTPAGVGISGLPSSGLVQLSPVQNLGNTSTYQRKLQSFVIPGNHNANILQGMPMSLEFYQLQNNRAASHVGQFPSVDNTTVLPVSSISGSLIDAGCSNSPLLGVTSNSLLLEGSSQLPTLHASNLRDSVSGFPNRSTLSDFTSIAPASNQLHGSKADIQDQAFPISCNAGKIISSAPQDWNAPKKDAPYQSNVPSCSINSLRAVNGDMAQLGQCLDQNNPIFNRNVGFDFVDPLSIKCSEGENLAMEPSVIEKEGYLMVQPSRQGIYIPDNEGENFAMEPSVIEKEGYLIVQPRRQGSYIPGNLGSLEDLASAMTKQDHDKGKPTDGDFGYNDYSLRTCI >KJB60286 pep chromosome:Graimondii2_0_v6:9:26126388:26129859:1 gene:B456_009G298300 transcript:KJB60286 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUBR1 [Source:Projected from Arabidopsis thaliana (AT2G33560) UniProtKB/TrEMBL;Acc:A0A178VU51] MDPETEFLSSKRQTGNEWELFKENVRPLKRGRNVDLLNHALKAHTNDQLKKSLLENRRRLIEAIDEYQGDDPLQPWLDCIKWVQEAFPPGGDFSGLVLIYEQCVRAFWHSDRYKDDLRYLKVWLEYAEHCSDAEVIYSFLDANDIGKTHSAYYIAYALHMESKSKMKAANDILNLGISSNAQPIEKLRDAYKKFLARSMRRPNATEEELKEDDLPVRSFGTVLARGESQRQAIKTFELGRNKLKQDQVHRTPLSIYNDTNMDVMRGHHSKPSTGSNSWHTLGGRAERNKENNAMPAKWTSHKIPQRPVPRIVGAVASAQIEVFVDEECEEKPKVHDEKDRTSSLQLRELDGRNIKKETELLRENPLRNFPSNSLPR >KJB62329 pep chromosome:Graimondii2_0_v6:9:62531531:62536331:1 gene:B456_009G412100 transcript:KJB62329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKSPGKKKQKVKGLNQIENAPNFSKLPRKAEPMPSSFSSHASPSASGSLQECSYDRDEASSVTLTNFDDKQDGNKVDDSIAFSGSCDKRSRYVLNFYEFPAVEAEPIAPDTVSDPCSGRVVQKVVEQKSDVKKLQVRGSTGVCITPGNAVWAKTACQVWWPAQIIDKRSVLVDSTIQQTEEHVLVKFYGKHDSAWINAARDLSMLEDCFEERSCNFMENFQDALKQAIVQRKKHIKSCRQLPGSPDPFTQSDRQDKKSGKHASSLSSKTGCNLVRQVGNNKEGKPRIHLNGARFPLKSGEGPRRLKIMRYLGLTAPMGSPF >KJB53979 pep chromosome:Graimondii2_0_v6:9:1148750:1151254:-1 gene:B456_009G014300 transcript:KJB53979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYAHFCGSWGHYTCLSWLPTFFSEELNLNLREAAWVSILPPLASVFVTSIAAQFSDNLIANGVETTTVRKICQAISFLSPATCMILSSLDLGLQPWEIVGILSCGLALSSFALSGLYCTHQDISPEYASILLGITNTVGAVPGIVGVALTGFLLDSTHSWSMSLFAPSIFFYLTGTIVWLAFASSKPQSFSESD >KJB53978 pep chromosome:Graimondii2_0_v6:9:1148688:1158163:-1 gene:B456_009G014300 transcript:KJB53978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFTTRLDNNFCFLSHNTSTSQSSILLNRTSRKNLQLQLSPSKHRLNFEVLCSIKEKENVKGEIEKVGGGASSGGLVNGVRVEELDRKTGLGRENASKEAGFDLVWPPWKNIPQRYKLIGTTSLAFVICNMDKVNLSVAIIPMSHQFGWNSSVAGVVQSSFFWGYALSQLPGGWLSKLFGGRKVLEIGVLAWSLATALVPILAGFMPGLLLSRVLVGIGEGVSPSAATDLIARSIPLEERSRAVSFVFGGLSVGSVTGLLVAPTLIQNLGWESVFYLFGFLGIWWYLGFHFLGEGRASDAVEVEHVAPLQSANLHKLWNNSLEELAETKTSWSNTQEELRASLKDVPWKAFFRLPAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELNLNLREAAWVSILPPLASVFVTSIAAQFSDNLIANGVETTTVRKICQAISFLSPATCMILSSLDLGLQPWEIVGILSCGLALSSFALSGLYCTHQDISPEYASILLGITNTVGAVPGIVGVALTGFLLDSTHSWSMSLFAPSIFFYLTGTIVWLAFASSKPQSFSESD >KJB55341 pep chromosome:Graimondii2_0_v6:9:5095624:5098075:-1 gene:B456_009G071800 transcript:KJB55341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFKSVSSCNRCKPAVITSESENVTRPVKNLDPVVPLSESHSSVQSQPRNRGVISRLFPRLKKKQKNESSPNRTESEEVSQILKDTGILSIETLKKELLEANESRDAALMEVAEVRSSLGELKQKLEYLETYCEELKKALRQATQTKDSQINEKLGNYPRKGKGIDGNEENLMPVSEEVMAEGFLQIVSEARLSVKQFCKTLIGQIEETDNTLMENLNSLLRPYKLSLNSKYSKAVSYHLEAIINQSFYQDFENCVFQKNGSPKLLDPQQNRQAQFSSFVGLRNLSWNEVLRTGTKCYSEEFSKFCDQKMSLIISTLNWTRPWPQQLLQAFFVAAKCIWLLHLLAFSFNPPLGILRVEENTTFDPHYMEDMLMERQKSHGPNRVKIMVMPGFYVQGRVLKCKVICRYKIAA >KJB55340 pep chromosome:Graimondii2_0_v6:9:5095548:5098157:-1 gene:B456_009G071800 transcript:KJB55340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASAATVSQVFQNHHSDNNGVEVSRQEIQAAIAKAVELRALHAALMQGNYPPNLRYPSSSSSSPVSRPFPLFSAQDYPVFTPSYEDEPLSGYHTNNQALSESWDKYGTETGLSDYKQKGFSPALESHICPAEDQKSVTGSCANHITVLQTSPSTRSRRNSMGDFKSVSSCNRCKPAVITSESENVTRPVKNLDPVVPLSESHSSVQSQPRNRGVISRLFPRLKKKQKNESSPNRTESEEVSQILKDTGILSIETLKKELLEANESRDAALMEVAEVRSSLGELKQKLEYLETYCEELKKALRQATQTKDSQINEKLGNYPRKGKGIDGNEENLMPVSEEVMAEGFLQIVSEARLSVKQFCKTLIGQIEETDNTLMENLNSLLRPYKLSLNSKYSKAVSYHLEAIINQSFYQDFENCVFQKNGSPKLLDPQQNRQAQFSSFVGLRNLSWNEVLRTGTKCYSEEFSKFCDQKMSLIISTLNWTRPWPQQLLQAFFVAAKCIWLLHLLAFSFNPPLGILRVEENTTFDPHYMEDMLMERQKSHGPNRVKIMVMPGFYVQGRVLKCKVICRYKIAA >KJB59885 pep chromosome:Graimondii2_0_v6:9:23555146:23559940:-1 gene:B456_009G279300 transcript:KJB59885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLQKVASNAYSWWWASHIRTKQSKWLEQNLHDVEEKVSNMLEIIDDDGDSFAQRANMYYRKRPELLAIVEDTYRAYRALAERFDYLSRDLQSANRTIATVFPDQVPYSLHDEDDENSCLVLTSSSLNKSKSLKPGSRVPSPKTDFRSQSMLLLRKGQLKKAFSSAKAASSLNSGLSKEEALEEINKLQKEILEMQTERELVKNSYEQGYNKLCEIENDITEKQKRIYSLQDEYDIRSAIDDNEARILMANRVLKTCQESLVKLQETHEQSTEEGRVESKRIKKVNEAFEALRKKFNSPQTDQQKQKKASSARDVDNMVYEFNSAEKEREYMELLQKEIEEKLELDSSSSLTMSQLADKIDDLVQRVVNMETAVFSENASVKRLKSDADELQEHVKSLEEDEEVLAEGSDSMEQRISVLEGELSGVKELLKTIIEQNNSLKAHFTAASCDINHLSVNLHAVKMDEEVENAELSKEGKPKVDAKPNRKTKEYCTELLPRDSPALDTGSEMEEKDEDVSAEEINTVDSESRNKFDVDSTKDSEVINEFKDEKKSLSKTASCTTDTENPQVETEEEELPNWRNLYLSGLDEREKVLLDEYSSVLHNYKDVRKKLKEVDQKNRDSFYELASQIKELKSALAARDEEIQSSRQHLSFIDENKDGNLSEYEASHAIMSPESTLTESIQASPVAAGEGKGEPIENPGEGKRESTEKAGEGKGESIENPGEGEGESIENPGEGEGESIKKAGEKSKGDAMQARSLTRSRSILTVEDKIRSDIDELLEENLAFWLRFSTCFHQIQKYQNSVKDLKAELSKMRERKKQEAGGKEEPVGSEARPIYAHFREIKTELTLWLENNAVLKDEVQCRYSSLCNIQEEIERISNVSGHEGEPQFNGYQAAKFQGEIINMKQENNKVSNELNAGFDCVKQLKEEVENLMVELDKEIEAATSKNQQSVSRSRSRIPLRSFLFGIKLKNKWQQKGPSMFACGHPTLQRQYSYLTDPTDPS >KJB61453 pep chromosome:Graimondii2_0_v6:9:47109651:47110154:1 gene:B456_009G3588001 transcript:KJB61453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIPFGAGRRICPGILFALPNVELPLAQLLFHFDWKFPGGMKQEDMDMTEKFGVSMKRENDLVLVPSPYHASITIA >KJB60750 pep chromosome:Graimondii2_0_v6:9:31958352:31959264:-1 gene:B456_009G323800 transcript:KJB60750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHEAVEVAKTVLEVTDVAWTALECSHHLHHHHDAPHNLYNFELQKELETLKSKNRRLRNQLEQNLKLLNNLSESLVLLNDCPPNLYARLVSTVDSRDFLTRLKSLNESDIKIEFPFKEATGSYILNLFI >KJB56184 pep chromosome:Graimondii2_0_v6:9:7948501:7950700:1 gene:B456_009G108900 transcript:KJB56184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYALFLIWVAVSSSSAQQFYDPSGCSSDTSNQGSRYTCDSAQQPCRTFLVYRANQHFGHQNLLNISALFQVESDELLDLNNIASPLETLKRGREASLSYRVSERTSFPEIACGVFEGLLKSLTLLQENPSQGTDVETGVKLDVPLRCACPGGFARFNGVKYLVTYPILEGDGITPLSMKFSIAPEDLLAANHLEPKSTVYPNTTVLVPLKSDPVINLNVPDSPPPTPGFLPTITVEKTKNTKLRKLYIAGSVVGFFLIVVALLACGFYVKAVKKWKGERLQSFTDRNSVLSCSTARSSPRSGQTGRSSTNSCLSPDLLAGIKFSLYNYGIEDIKRATNDFNEDTNKIGEQVYKGLIDNESVMIKQMRFEDTRQVIDMHSKINHVNIVSLHGVCYGENDFAWSYLIFELPTNGCLKNCLSNQANRLSWHRRTQIAFDVATILHYLHYCIFPSYAHMSVNSRNIYVTSKWRAKLANIGSTLAISASTMNDKVFTVNGLVAPQNPMNEAASVKVDVFAFGVVLLELISGKEAAQGTFLKDSIRFLGGGANEGGCFEQLRSFIDPCLKNEYLIAEALCLAILAKACIEDDPLRRPSMDDILKVLGRMV >KJB53798 pep chromosome:Graimondii2_0_v6:9:507381:511243:-1 gene:B456_009G005700 transcript:KJB53798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCFELWIADQGYSLLALETVPMWMWLSYFTLKFGKTQYKGDTVHLIQCCKFEESCLNRALRKTVDLLPLAMFRY >KJB53437 pep chromosome:Graimondii2_0_v6:9:28221814:28222733:1 gene:B456_009G307700 transcript:KJB53437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDLELFQDSLKIKLPKLKIIQSSDENGTGVEQVNKKGNNSVEFCATPTSNESKIPPVLSCPAAPTKPKRTASVSCKRKLFQNVNFFEIVNSEEVDAFFKAGFHASSSKKRCLSSCT >KJB59832 pep chromosome:Graimondii2_0_v6:9:22988809:22991391:1 gene:B456_009G274400 transcript:KJB59832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKGFKNLKSLDLQHITITQDVFEKLISSCPSLERLTLMNFTGVTHLIIDAPNLQFFDIGGIFEDVSFLNTVHLSLISIGLYVNIDNEENGAQDNSSKLLRFFVNLPHIRRLEIQSYFLKYLAMGYVPSRLPNLCVDLNHLSIRIDFDDLEENTAALCLLRSCPNLQELEMLARPEEQSSMERSTNFWEDDHWSSLFAHLRLVKVSGISGVKSEMDFIKFLLSNSPVLEQLTVKPASQEGEWELMKELLRFRRASIYAEVIYLDP >KJB59831 pep chromosome:Graimondii2_0_v6:9:22987798:22991419:1 gene:B456_009G274400 transcript:KJB59831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVIKQREPSRLPSKADAEFDRISNLPGHVIDQILSRLPIRDAVRSSVLSRKWRYKWATIPCLVFDNHCFNFSSQDQSFIKNKLVNIIDHVLLLHNGPIHKFKLSHRDLLGVTDIDRWILCISRSSIKEVVLEIWKGQRYKPPSCLFNCQNLIHLELFNCLLKPPLMFKGFKNLKSLDLQHITITQDVFEKLISSCPSLERLTLMNFTGVTHLIIDAPNLQFFDIGGIFEDVSFLNTVHLSLISIGLYVNIDNEENGAQDNSSKLLRFFVNLPHIRRLEIQSYFLKYLAMGYVPSRLPNLCVDLNHLSIRIDFDDLEENTAALCLLRSCPNLQELEMLARPEEQSSMERSTNFWEDDHWSSLFAHLRLVKVSGISGVKSEMDFIKFLLSNSPVLEQLTVKPASQEGEWELMKELLRFRRASIYAEVIYLDP >KJB59830 pep chromosome:Graimondii2_0_v6:9:22987798:22991419:1 gene:B456_009G274400 transcript:KJB59830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVIKQREPSRLPSKADAEFDRISNLPGHVIDQILSRLPIRDAVRSSVLSRKWRYKWATIPCLVFDNHCFNFSSQDQSFIKNKLVNIIDHVLLLHNGPIHKFKLSHRDLLGVTDIDRWILCISRSSIKEVVLEIWKGQRYKPPSCLFNCQNLIHLELFNCLLKPPLMFKGFKNLKSLDLQHITITQDVFEKLISSCPSLERLTLMNFTGVTHLIIDAPNLQFFDIGGIFEDVSFLNTVHLSLISIGLYVNIDNEENGAQDNSSKLLRFFVNLPHIRRLEIQSYFLKYLAMGYVPSRLPNLCVDLNHLSIRIDFDDLEENTAALCLLRSCPNLQELEMLARPEEQSSMERSTNFWEDDHWSSLFAHLRLVKVSGISGVKSEMDFIKFLLSNSPVLEQLTVKPASQEGEWELMKELLRFRRASIYAEVIYLDP >KJB54652 pep chromosome:Graimondii2_0_v6:9:3143131:3144599:-1 gene:B456_009G043100 transcript:KJB54652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDMRNEFLQFLACVHQICGYGGVREAQPRICKLSNNWLFLVCEMLVSSEKNPQLITMLMLTFLSKQVGSSLN >KJB54651 pep chromosome:Graimondii2_0_v6:9:3143374:3143595:-1 gene:B456_009G043100 transcript:KJB54651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDMRNEFLQFLACVHQICGYGGVREAQPRICKLSNNWLFLVCEMLVSSEKNPQLITMLMLTFLSKQVGSSLN >KJB59009 pep chromosome:Graimondii2_0_v6:9:18592158:18593788:-1 gene:B456_009G235500 transcript:KJB59009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLTQSVLKLFLVISLFQISLAGRKLSEVVQDQPQLLTYHNGPLLSGKITINLIWYGKFKPSERAIVSDFVTSLSSTPPSQSNQPSVAQWWKTTEKYYHLTSKKSSLSLSLGKQILDDKYSLGKSLKNKQIVELASMGDQKNAINVVLTASDVAVEGFCMSRCGTHGSALGSTDGLVKAKKHSKFAYIWVGNSQTQCPGQCAWPFHQPIYGPQSAPLIAPNNNVGLDGMVINLASLFAGTVTNPFGNGYFQGPAEAPLEASSACPGIYGKGAYPGYAGNLLVDPTTGASYNAHGNNGRKYLLPALYDPSTSSCSTLV >KJB58207 pep chromosome:Graimondii2_0_v6:9:15425134:15425769:1 gene:B456_009G199200 transcript:KJB58207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFYVVSCLLCLECLNGWDKIVYFDCFLNSFPSYSTCGNKISYIIFIGLQQDVRCTFLLHQLHCLVGSR >KJB54128 pep chromosome:Graimondii2_0_v6:9:1800592:1805537:-1 gene:B456_009G023600 transcript:KJB54128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGLGSNCNERHWIFKDDSWFSQFRNGSNPWMARYAYALIFLVSNLLAWAVRDYGHNAFPEMEKLKNCQGGRGCLGAEGVLRVSLGCFAFYFVMFLSTAGNSRMYNCRDSWHSGWWSAKIGLWIALTVTSFLVPTFIVQIYGEIAHFGAGVFLLVQLVSVISFITWLNDCCQSEKTAERCHIHVMLLATAAYIICIFGIIMMYIWYAPEPSCLLNIFFITWTLVLLQLMTSVSLHPKINSGFLTPGLMGLYVVFICWCAIRSEPAGESCNRKAEASNKTDWLTIISFVVALLAMVIATFSTGIDSQCFQ >KJB54127 pep chromosome:Graimondii2_0_v6:9:1800872:1804286:-1 gene:B456_009G023600 transcript:KJB54127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGLGSNCNERHWIFKDDSWFSQFRNGSNPWMARYAYALIFLVSNLLAWAVRDYGHNAFPEMEKLKNCQGGRGCLGAEGVLRVSLGCFAFYFVMFLSTAGNSRMYNCRDSWHSGWWSAKIGLWIALTVTSFLVPTFIVQIYGEIAHFGAGVFLLVQLVSVISFITWLNDCCQSEKTAERCHIHVMLLATAAYIICIFGIIMMYIWYAPEPSCLLNIFFITWTLVLLQLMTSVSLHPKINSGFLTPGLMGLYVVFICWCAIRSEPAGESCNRKAEASNKTDWLTIISFVVALLAMVIATFSTGIDSQCFQFSKKEARAEDAVPYGYGFFHFVFATGAMYFAMLLIGWNTHHTIKRWTIDVGWTSTWVRIVNEWLAVCVYLWMLVAPVILKWRQTSESA >KJB54130 pep chromosome:Graimondii2_0_v6:9:1800937:1805345:-1 gene:B456_009G023600 transcript:KJB54130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGLGSNCNERHWIFKDDSWFSQFRNGSNPWMARYAYALIFLVSNLLAWAVRDYGHNAFPEMEKLKNCQGGRGCLGAEGVLRVSLGCFAFYFVMFLSTAGNSRMYNCRDSWHSGWWSAKIGLWIALTVTSFLVPTFIVQIYGEIAHFGAGVFLLVQLVSVISFITWLNDCCQSEKTAERCHIHVMLLATAAYIICIFGIIMMYIWYAPEPSCLLNIFFITWTLVLLQLMTSVSLHPKINSGFLTPGLMGLYVVFICWCAIRSEPAGESCNRKAEASNKTDWLTIISFVVALLAMVIATFSTGIDSQCFQLQFSKKEARAEDAVPYGYGFFHFVFATGAMYFAMLLIGWNTHHTIKRWTIDVGWTSTWVRIVNEWLAVCVYLWMLVAPVILKWRQTSESA >KJB54129 pep chromosome:Graimondii2_0_v6:9:1800985:1804044:-1 gene:B456_009G023600 transcript:KJB54129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGLGSNCNERHWIFKDDSWFSQFRNGSNPWMARYAYALIFLVSNLLAWAVRDYGHNAFPEMEKLKNCQGGRGCLGAEGVLRVSLGCFAFYFVMFLSTAGNSRMYNCRDSWHSGWWSAKIGLWIALTVTSFLVPTFIVQIYGEIAHFGAGVFLLVQLVSVISFITWLNDCCQSEKTAERCHIHVMLLATAAYIICIFGIIMMYIWYAPEPSCLLNIFFITWTLVLLQLMTSVSLHPKINSGFLTPGLMGLYVVFICWCAIRSEPAGESCNRKAEASNKTDWLTIISFVVALLAMVIATFSTGIDSQCFQFSKKEARAEDAVPYGYGFFHFVFATGAMYFAMLLIGWNTHHTIKRWTIDVGWTSTWVRIVNEWLAVCVYLWMLVAPVILKWRQTSESA >KJB58754 pep chromosome:Graimondii2_0_v6:9:17551430:17556168:1 gene:B456_009G224700 transcript:KJB58754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLSLPNDALFVGFDSSTQSLKATVLDSNLVIVASGMIHFDSDLPHYKTKDGVYRDANVNGRIVSPTIMWVEALDLIFQRLSKSNLDFGKIAAVSGSGQQHGSVYWKKGSSALLSSLDPKKPLVDQLRDAFSVKESPIWMDCSTTAQCREIEKAVGGALELSKITGSRAYERYTGPQIRKIFETQQETYENTERISLVSSFMACLFLGAYACIDTTDGAGMNLMDIKQRAWSKAALEATAPGLEEKLGKLAPAHAVAGSIASYFVERYKFNKNCLVVQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITKDPQPGLEGHVFPNPVDTEGYMVMLVYKNGSLTREDVRNCYAEKSWDVFNKFLEQTPPLNGGKIGFYYKDHEILPPMPVGFHRYILQNFNGETLDGLNLQEVQEFDPPSEVRALIEGQFLSMRAHAERFGMPSPPKRIIATGGASANKSILSSIASIFGCDIYTVQRPDSASLGAALRAAHGWLCNKRGSFVPMSCMYKDLDKTSLSCKLSMAAEDQKLVSKYALLMKKRMELENNLVKKLGRY >KJB58755 pep chromosome:Graimondii2_0_v6:9:17551437:17556031:1 gene:B456_009G224700 transcript:KJB58755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLSLPNDALFVGFDSSTQSLKATVLDSNLVIVASGMIHFDSDLPHYKTKDGVYRDANVNGRIVSPTIMWVEALDLIFQRLSKSNLDFGKIAAVSGSGQQHGSVYWKKGSSALLSSLDPKKPLVDQLRDAFSVKESPIWMDCSTTAQCREIEKAVGGALELSKITGSRAYERYTGPQIRKIFETQQETYENTERISLVSSFMACLFLGAYACIDTTDGAGMNLMDIKQRAWSKAALEATAPGLEEKLGKLAPAHAVAGSIASYFVERYKFNKNCLVVQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITKDPQPGLEGHVFPNPVDTEGYMVMLVYKNGSLTREGIRNFLLFVKLVVYLSNKVFMFIDVRNCYAEKSWDVFNKFLEQTPPLNGGKIGFYYKDHEILPPMPVGFHRYILQNFNGETLDGLNLQEVQEFDPPSEVRALIEGQFLSMRAHAERFGMPSPPKRIIATGGASANKSILSSIASIFGCDIYTVQRPGMLLLYVLILLLSQ >KJB56231 pep chromosome:Graimondii2_0_v6:9:8143014:8145257:1 gene:B456_009G111500 transcript:KJB56231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSLALANSPALSPPMSLFRDNSPIISPSPEALNLSLTHLKPSSSPSSPCSPFRLRLQKPPSGSLLSSSVSTSASSSDSGSTAGLGPRPASAILKRKRPTRLDIPMATTALHFGVPATPCAVMREVEREGCGYSVYCKRGRREVMEDRFSASVKLQGDCKQAFFGVFDGHGGAKAAEFAALKLEKNILDEVVGRKDETMVEEAVKAGYLKTDAEFLKEDVTGGACCVTALIQNGSLVVSNAGDCRAVLSRDGAAEALTSDHRPSREDERNRIETLGGYVDLCRGVWRIQSCLAVSRGIGDHHLKQWVIAEPETKIITIKPEYEFLILASDGLWDKVAIEFYFMHLSLIYFISLLSLSWMVIHVNLNSFFLFLFLFQVSNQEAVDIARPSCVGINKQNPLSACKKLVNLSVSRGSSDDISVMLVQLGQF >KJB56230 pep chromosome:Graimondii2_0_v6:9:8142853:8145445:1 gene:B456_009G111500 transcript:KJB56230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTLTFNTLKDCHNESKSAVKISKVNTRSPLYSLLLSRRHSQTLAKKEEKQRNSIPALYKESRKYKLQDINAMSCSLALANSPALSPPMSLFRDNSPIISPSPEALNLSLTHLKPSSSPSSPCSPFRLRLQKPPSGSLLSSSVSTSASSSDSGSTAGLGPRPASAILKRKRPTRLDIPMATTALHFGVPATPCAVMREVEREGCGYSVYCKRGRREVMEDRFSASVKLQGDCKQAFFGVFDGHGGAKAAEFAALKLEKNILDEVVGRKDETMVEEAVKAGYLKTDAEFLKEDVTGGACCVTALIQNGSLVVSNAGDCRAVLSRDGAAEALTSDHRPSREDERNRIETLGGYVDLCRGVWRIQSCLAVSRGIGDHHLKQWVIAEPETKIITIKPEYEFLILASDGLWDKVSNQEAVDIARPSCVGINKQNPLSACKKLVNLSVSRGSSDDISVMLVQLGQF >KJB57569 pep chromosome:Graimondii2_0_v6:9:13155987:13158967:1 gene:B456_009G170600 transcript:KJB57569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRLRANFILATVVNLWMVHTVASLWNIGISHGRHANSRFLLDNGVSRTPPMGWNSWNHFWCGINESVVMSTADALVSTGLASLGYKYVNLDDCWAESERDENGKLRGKASTFPSGIKALADYVHARGLKLGIYADAGNRTCSNQMPGSLHHEYEDAKTFAQWGVDYIKYDNCYHDGTRNRERYIAMGHALQKIKRPIHFSLCEWGQEDPAKWAGAYGHSWRTTGDINDTFASVTWIADLNNRWGRYAGPSRWNDPDMLEVGNGGMTMDEYRSHFSIWSLMKAPLLIGCDLRSVSNRTLRILGNKEVIEVNQDSLGVQGRKLRSKDGLEVWAGPLSDNRVVVVLWNRSELRALITAAWRDFGLSPNTPAIVRDLWKHKYVSMNKLDRLTASVAPHACKMYVLTPLQS >KJB57200 pep chromosome:Graimondii2_0_v6:9:11700050:11700274:-1 gene:B456_009G153300 transcript:KJB57200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVGYKRNWCEVGTSPLITPRRSSSCPRLETILEEGSEDKGVSIPSKKVFLLVPVVLSTVFYFLLKKDVNFSA >KJB60663 pep chromosome:Graimondii2_0_v6:9:30142018:30145351:1 gene:B456_009G318200 transcript:KJB60663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYDDSSKSEAKRGHQWFMDAAASELFSNKKQAIESFNSQPVSGIADVSVSPWQNASCFQSVSGPFGDHLFGSESIRTVNLVDRNISSVDTGNLNMGRKDFEDQYGNGSSAALSMSHTIEDASSCLSFGGLRKVKVNQVRDSNNDMPTLMGHPYGGGFNSTISMSTVFGKNGNAILLGPTYRSEDESTGPMGSTFSKTDGNFISIGHTFDKRANDFIPVGHNYKGNESILSMGQPFDKEDGNFISIGQSFEKGDANLISLSPFYGKGQESFISMAPAYSKPNESLISMASSFDKEGDNIIPVGPSYHKADCNITAMAPRQDKGESNILSMHHNYKKGESNTISFGGFHDESRANCSGSIISGYDLLMSNQNSAQASEVPCQQVLGESNPDSNVNGAPQNSSTTDTNPKHKESKTSKKVSPNNFPSNVKSLLSTGMLDGVVVKYVSWSREKSLKGYIQGTGYMCGCKDCKFEKALNAYEFERHANCKTKHPNNHIYFESGKTIYAVVQELKNTPQELLFDAIQTVTGSQINQKNFRIWKASYQAATRELQRIYGKDDAAVSS >KJB60662 pep chromosome:Graimondii2_0_v6:9:30139171:30145351:1 gene:B456_009G318200 transcript:KJB60662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQQKSLWIPRDGSFLSNGEMGYDDSSKSEAKRGHQWFMDAAASELFSNKKQAIESFNSQPVSGIADVSVSPWQNASCFQSVSGPFGDHLFGSESIRTVNLVDRNISSVDTGNLNMGRKDFEDQYGNGSSAALSMSHTIEDASSCLSFGGLRKVKVNQVRDSNNDMPTLMGHPYGGGFNSTISMSTVFGKNGNAILLGPTYRSEDESTGPMGSTFSKTDGNFISIGHTFDKRANDFIPVGHNYKGNESILSMGQPFDKEDGNFISIGQSFEKGDANLISLSPFYGKGQESFISMAPAYSKPNESLISMASSFDKEGDNIIPVGPSYHKADCNITAMAPRQDKGESNILSMHHNYKKGESNTISFGGFHDESRANCSGSIISGYDLLMSNQNSAQASEVPCQQVLGESNPDSNVNGAPQNSSTTDTNPKHKESKTSKKVSPNNFPSNVKSLLSTGMLDGVVVKYVSWSREKSLKGYIQGTGYMCGCKDCKFEKALNAYEFERHANCKTKHPNNHIYFESGKTIYAVVQELKNTPQELLFDAIQTVTGSQINQKNFRIWKASYQAATRELQRIYGKDDAAVSS >KJB57907 pep chromosome:Graimondii2_0_v6:9:14789972:14791408:1 gene:B456_009G192200 transcript:KJB57907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIVALAFAFLVFPFSSPAQALSSNYYDHTCPQLESIVRSAVQNAMSNDKTVPAALLRMHFHDCFIRGCDASVLLNSKGKSKAEKDGPPNISLHAFYVIDNAKKALESKCPGIVSCADILALAARDAVAMSGGPSWDVPKGRKDGRISLASETRQLPAPIFNISQLKQNFAQRGLSIKDLVALSGGHTLGFSHCSSFQNRIHNFNATLDVDPTMNPSFASKLRSVCPAHNKVKNAGSPLDSSNLIFDNAYYKLLLQGNSIFSSDQALLTTPKTKALVSKFASSQKAFEKAFVESMIKMSSLTGGQEIRLDCRVVR >KJB62709 pep chromosome:Graimondii2_0_v6:9:67730700:67734999:-1 gene:B456_009G431900 transcript:KJB62709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENISLLLPQRSFHHHRRAILFIICFVLGSKTVASFTQLASELQKKILKMILESFGLEKYMDELIDSTNYQLRVMKYEKPKPSDSTHALAAHCDTNTMTLLYQNEVNGLQIQSKDGEWINMKPSPNSFIVLIGESLSVWLNGRLPSPSHRVMMKGNEDRYSLGLFTRPRGGYVIKVPNELVDDNNPILFKPHDHEEFLKFYYFEIAQAAVKPWGYISRLNAYCSV >KJB63087 pep chromosome:Graimondii2_0_v6:9:70320720:70321701:-1 gene:B456_009G452200 transcript:KJB63087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNLKGAALPNVPRPPPSTGKQKENQVTTDNAAQCSVTSIYGANIGDLYRKVTATWCKSPVSHSFTISVESPCDDQSHNTCKIDLNGWQFWGKKGLKNIEIDGERVDIYWDFRETKFNASPEPCSDYYVAMVCDEKVVLVIGDMKKDALKRTKKKLSLIDPNLLCKQEHICGKRLFCSKTKLGEGIQEHDIIIENCLSDPDDPEMWVTIDGTTIIRVMNLHWRFRGNEIVTVNKTPIQIFWDVHDWLYNKSSSSHGLFIFIPGEPDSNSIINSDDDCNINVPKFCYVLYAWKTE >KJB61895 pep chromosome:Graimondii2_0_v6:9:52674510:52676827:1 gene:B456_009G389300 transcript:KJB61895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSIFCRTKLRFSNAFFLLLIISSVTCLHVVFGTANEFDYGVHCNSVVHESKPADEEFNITPFPGRQNGYYSGGDKVLNNPPSRFYSPPESKTLLFETHHVFTTHADDVFMVEGNLIFQTWFSYEQNISSRSSFISSSSNSSNRGTLDFNFRGFWSRITGKLCMVGKGYVYSKEGKLLHLAAVLKINNLKNSSTIRTLVTGTMDSLNSVDDPNYFEQISLLMFPQFSEGCSEGTNVQQELSLRLSRTRTICDMFLGGGNAFELQYIGSCKSSKSCNPFGDSIGYLPSLMSLSMIQCSNDRLSLRFLIEFRTNSYRGYYGSPNISTSLIGEGSWDEKKNRLRIVACRIYDASSSLEKSHVGDCTTRLSLRFPAILSIRNTSTIVGEIWSVKPRNESGFFDRVQFRYGGRIQLQGLKYEYMQMDKVKKSCPMKNPRNSSNRGQYPYGYSPDMQFRLWVIERSEGIMGWGSLDPLAVGDQQYQRFPFLLPSSSSKPNNSGVESDSNSGLLNISYKISITLSSSKLDGGLNPVNDSSNESLRTKIQISAEGVYDIATGSLCMVGCRHLRSGDKSFSSDSMDCEILVKISFPPLNLDMRSKIKGSIQSMREKTDPLFFKPLQFSGRSYYRSRIMEPIVINHFETRSIWRRGFDLIMGQIIFQLLGTLLLLCQLYCSRYNNTVPTTLRQSIFPSEEIPLIGDR >KJB63173 pep chromosome:Graimondii2_0_v6:9:49887213:49888157:-1 gene:B456_009G3707004 transcript:KJB63173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEWFRIVNNNLITGSATDHEWSFLSSLTNCKNLKTIGVSENPLSGVLPTYIGNLSKSLQYFYAEECELQGTIPMEIGNLSNMLVLEHGYNKLSGFIPKSIGGLRNLQSLGLSSNKLGGPISESLCDLERLYNLFLGLNKLHGSIPSCLGNITSLGYLYFASNKLSSAIPSTLWNLKDILKIDLSLNHLHNSHAIDVGNLRSLLKLNLSRNLLTGDVLSTFGGLQTLVSLDLSNNILHGHIPESFDGLISLEFLDLCNNNLSGVIPKSLEKLLYLKYFNVSFNRLEGEIPSKGCFSNFSSTSFMKNYALCGPPRL >KJB59838 pep chromosome:Graimondii2_0_v6:9:23037251:23040655:-1 gene:B456_009G275000 transcript:KJB59838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNDFFAGEIAMELLKQLIAISRKSCLCKSSADNLISSIQVLLPIIDEIKYSGVELPALRQSQLDRFSETLRGGLELARKVLASSRWNVYKNLQLARKMEKLEKQVERFVSGPMQAHLLADVHHMRFETAERFDRLEGRLEQRLSSMKIGAGGWVEEAVKRMEVEDEASVGIVAGVGLDLAKNNVKKLVIGRDDLNVVGIWGIGGSGKTTLANEICRDNQVRSYFNNRILFLTVSQSPDLAQLREKIWGFITGNEAMGYSNNSFVPPGKLQCEWGTGPRTLVVLDDVWSSSVLEQLIFKIPSYKTLVVSRFKFPTKVVGEVYQVQLLRDDESMSLFCHSAFGQKSIPPTANETLVKQIVNECKGLPLALKVIGASLRDQPEMYWVSAKKRLTRGEPICESHENKLLERMAISVDYLNKKVKECFLDLGSFPEDKKIPLDVLINIWVESHGIDEEDTFAILVELSDKNLLTLVKDARSGDAYSSYHEIYVTQHDVLRDLALHLSNHPDVNERKRLLMPRRDTELPRDWGRNTDRPFNAQIVSVHTGEMREMDWYRMEFPKAEVLILNFASNEYFLPPFMDDMPKLRALIIINYNTTEATLLNFSVFTNLTNLRSLWLEKVLVPELSNTTAPLRNMRKLSTVLCKVNNSFNPSVLGLPQIFPRLTELVIDHCDDLVKLPVSICKVNSLQSLSITNCHRLSELPAGFGLLKELQILRLYACLELKVLPPSIGELIGLKYLGISQCVNLRSLPREIGRLASLEIIDMRECPQIVNLPSPVMLLNLKSLRRVICDDEVSGDWKNVKRGMRHLHVQVAEKWYSLDWLHD >KJB61501 pep chromosome:Graimondii2_0_v6:9:47856734:47857434:-1 gene:B456_009G362400 transcript:KJB61501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITTECTITNDAGHNLVLSSSNYETAAETIKKAETTTFTLTMPAIYLNAAPVYEVGSSLRWIIFWTTDNQVSTKMFKINDPPDWKKVANNLISHHKSEDRLISGDFQYTAWASIESQPNSNGQVLTANIYATAHKPRPTLPMVIRMGTIFIFFYILIILQSKYDEIYK >KJB61888 pep chromosome:Graimondii2_0_v6:9:52631819:52637723:-1 gene:B456_009G388700 transcript:KJB61888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKCGNCDYSDKSQCVKKGNSLVIETEKSYISTVVVKAVAGNDGKCKCGDSCSCTNCTCGTH >KJB61606 pep chromosome:Graimondii2_0_v6:9:49689053:49692379:1 gene:B456_009G369500 transcript:KJB61606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKQESMIGNVKCLGSHPTNYDLVLSGSKDGSFVIWGLRGKSNSKSRCDEVCHPSTSMVKGAHLSSQARRGRRGKVAVAGVPFVDVLSGR >KJB53993 pep chromosome:Graimondii2_0_v6:9:1215270:1217821:-1 gene:B456_009G015200 transcript:KJB53993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASMVSLLLFMQLSTFFASSIQVKSKICGTDHIVYSTSNGHELFYLNGDLVDKVLFCKTLQLHYADECVFEGFTATNDCGSNLSSGRKILKETEKGDDRVRTHPDDKKWKPKKPFRKYSDGTIIGIGAAAGTLLICCVYLCCCVCRRKRATAHAVLTKYTNSIRSASHLEMKTHSPSETVNPWVLSTSPKLKRMGSLHLSIEQVARATRNFSPELRIGKGGFGTVYRAVLDSGQVVAIKRAKKEHFENLQTEFSNEVELLPKIDHRNLVKLLGYVDRRDERLIITEYVPNGTLRDHLDGQRGKILDFNQRLEIAIDVAHGLTYLHLYAESMRAKVADFGFAKVGPIDSDKTHISTQLKGTVGYLDPEYMKSYQLTAKSDVYSFGILLIEILTSRRPVELRRPVEERVTLIWAFHKYNEGHIAELVDCMMEEVVDANILTNIFALAFQCAAPTRNDRPQMKSVAEELWGIRADYLKISSRRG >KJB53991 pep chromosome:Graimondii2_0_v6:9:1215461:1217514:-1 gene:B456_009G015200 transcript:KJB53991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASMVSLLLFMQLSTFFASSIQVKSKICGTDHIVYSTSNGHELFYLNGDLVDKVLFCKTLQLHYADECVFEGFTATNDCGSNLSSGRKILKETEKGDDRVRTHPDDKKWKPKKPFRKYSDGTIIGIGAAAGTLLICCVYLCCCVCRRKRATAHAVLTKYTNSIRSASHLEMKTHSPSETVNPWVLSTSPKLKRMGSLHLSIEQVARATRNFSPELRIGKGGFGTVYRAVLDSGQVVAIKRAKKEHFENLQTEFSNEVELLPKIDHRNLVKLLGYVDRRDERLIITEYVPNGTLRDHLDGQRGKILDFNQRLEIAIDVAHGLTYLHLYAGKQIIHRDVKSSNILITESMRAKVADFGFAKVGPIDSDKTHISTQLKGTVGYLDPEYMKSYQLTAKSDVYSFGILLIEILTSRRPVELRRPVEERVTLIWAFHKYNEGHIAELVDCMMEEVVDANILTNIFALAFQCAAPTRNDRPQMKSVAEELWGIRADYLKISSRRG >KJB53992 pep chromosome:Graimondii2_0_v6:9:1215270:1217821:-1 gene:B456_009G015200 transcript:KJB53992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASMVSLLLFMQLSTFFASSIQVKSKICGTDHIVYSTSNGHELFYLNGDLVDKVLFCKTLQLHYADECVFEGFTATNDCGSNLSSGRKILKETEKGDDRVRTHPDDKKWKPKKPFRKYSDGTIIGIGAAAGTLLICCVYLCCCVCRRKRATAHAVLTKYTNSIRSASHLEMKTHSPSETVNPWVLSTSPKLKRMGSLHLSIEQVARATRNFSPELRIGKGGFGTVYRAVLDSGQVVAIKRAKKEHFENLQTEFSNEVELLPKIDHRNLVKLLGYVDRRDERLIITEYVPNGTLRDHLDGQRGKILDFNQRLEIAIDVAHGLTYLHLYAGKQIIHRDVKSSNILITESMRAKVADFGFAKVGPIDSDKTHISTQLKGTVGYLDPEYMKSYQLTAKSDVYSFGILLIEILTSRRPVELRRPVEERVTLIWHRLEMTDLK >KJB59169 pep chromosome:Graimondii2_0_v6:9:19397212:19403010:1 gene:B456_009G242600 transcript:KJB59169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYLPRFFSRRSAMYSKRSRSKPRLERRNAAKHIDYDAASFSSSLDDTSSSSSLITRSLDLSDKTSFRIQGTEGEFDLICQTLGLSGPEDFSIPAAAWESRKIRSSSDLLPRSRLNRLDSPEEETGKIILEDGTEVTVSELTDRVLASALTEDDSPELKLNECCCDDRNLVDVATSTELKSKACWVSNVVDGGGNYGIKGIRPPGLKPPPVMKLPVVDSACSTWDLFRDFAPEDDKGCIVQVHLHSSSDEEEVKGEKDRGTEENAKEEDNSMRIGETAVLSESCSFITSNDDDSSSSTTEPMSNISPNGRFKRTITYWEKGELLGRGSFGSVFEGISDDGFFFAVKEVSLLDQGSQGKQSIIQLEHEIALLSQFEHENIVQYYGTDKDKSKLYIFLELVTKGSLLNLYQRYHLRDSQVSAYTRQILHGLKYLHDQNVVHRDIKCANILVDASGSVKLSDFGLAKATKFNDVKSCKGTAFWMAPEVVNRKGQGYGLPADIWSLGCTVLEMLTRQIPYYYLEHMQALFRIGRGEPPAVPDSLSKDARDFVLQCLQVNPDARPTAAKLLQHPFVKRSFPTHSGSASPHLGRRI >KJB59168 pep chromosome:Graimondii2_0_v6:9:19397334:19402961:1 gene:B456_009G242600 transcript:KJB59168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYLPRFFSRRSAMYSKRSRSKPRLERRNAAKHIDYDAASFSSSLDDTSSSSSLITRSLDLSDKTSFRIQGTEGEFDLICQTLGLSGPEDFSIPAAAWESRKIRSSSDLLPRSRLNRLDSPEEETGKIILEDGTEVTVSELTDRVLASALTEDDSPELKLNECCCDDRNLVDVATSTELKSKACWVSNVVDGGGNYGIKGIRPPGLKPPPVMKLPVVDSACSTWDLFRDFAPEDDKGCIVQVHLHSSSDEEEVKGEKDRGTEENAKEEDNSMRIGETAVLSESCSFITSNDDDSSSSTTEPMSNISPNGRFKRTITYWEKGELLGRGSFGSVFEGISDDGFFFAVKEVSLLDQGSQGKQSIIQLEHEIALLSQFEHENIVQYYGTDKDKSKLYIFLELVTKGSLLNLYQRYHLRDSQVSAYTRQILHGLKYLHDQNVVHRDIKCANILVDASGSVKLSDFGLAKATKFNDVKSCKGTAFWMAPEVVNRKGQGYGLPADIWSLGCTVLEMLTRQIPYYYLEHMQALFRIGRGEPPAVPDSLSKDARDFVLQCLQVNPDARPTAAKLLQHPFVKRSFPTHSGSASPHLGRRI >KJB58107 pep chromosome:Graimondii2_0_v6:9:23197387:23198235:-1 gene:B456_009G276600 transcript:KJB58107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRISPDSPSPQPNLKRVKEDPETGGFMNLDENLLYEVLKHVDARTLAMASCVSKLWLKTARDERLWELICTRHWANIGCGTQQLRSVVLPLGGFRRLHSRYLWPLSKPQAASPLPWAPPKIINSKPPARWGKDEVHLCLSLLSIQYYEKMHFTSRRR >KJB62203 pep chromosome:Graimondii2_0_v6:9:59704762:59706978:1 gene:B456_009G406100 transcript:KJB62203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPNNKPRTVICVGDIHGYVTKLLNLWSNLQSQIDPDSFNTATVIFLGDYCDRGPDTRKVIDFLITLPKRYSNQKHVFLSGNHDFAFGGFVGVLAGEFEAKETWMEYADNEEREGWYKGEGYEKMHLQGRRWGGWIKAKFNAAKGIDYKGSIYDAAPTFESYGVAHGSSELMKAVPEAHKKFLADMVWVHEEDDVCIETQEGVKHCKLIAVHAGLERGKNVREQLEFLKAKDARVPKVTDLSGRKDVWDIPKELTETILVSGHHGKLHIEGLRLIIDEGGGLEGNPVAAIVLPSMKIVRDTDNFS >KJB54715 pep chromosome:Graimondii2_0_v6:9:3347947:3353245:1 gene:B456_009G046100 transcript:KJB54715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEGHYSSGPDSGSHKRKYDDQGPLSSVGRRSTGFSSPDSAPPPSYNSVPPPLDGIEMAKQRAQEIAARLTAASAGAEAKRPRVENGSGGGFDNEKGFMSAPSDIKHMSSSAPSAIPASYGSYHGTSKKIDIPQNRVGVIIGKAGETIKYLQLQSGAKIQVQRDMDADPNSVTRPVELMGTAEQIAKAEQLINDVLAEAEAGGSGIVSRRLTGHAGSEHFEMKIPNNKVGLVIGKGGETIKNMQARTGARIQVIPLHLPPGDTSTERTLHIDGTSEQIKTARELVDEVISENRMRNPAMAGGYQQQGYQARPPANWGQGAPQMQQPGYGYMQPGAYPGPSPQYNMSQPPYGGYPSQPSSGGYASGWDQSAIPPNQQSSSAGGYDYYNQQPSSQQQQTPGGSAAPTDNSGYHYSQPPASGYIQPGQGYAQDGYGGYHAPPQSGYGQPASYEQQGYGSAQSYGSATNPTQEGHTPSYGGQGDAGQVPTSTQPSAVGQQGYNTSQVPSPNPGSYPPQGSTQPSYSQSGYGSQPPAQSGYGPPQGQKPVVNHPAYGQTQQSPTTPGSYGQAGYQSQPPSSGYGQAESGSQRPQSSTYGGAAAAQPGYGAPPYAAQYNASYSSYSQPPAYHSDSNASGGTRGTYEAAPAAQTGQQGGAGNASPRT >KJB59676 pep chromosome:Graimondii2_0_v6:9:22170821:22171166:1 gene:B456_009G267100 transcript:KJB59676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPTRFMHISRKPLTCRLTTLSFSIFKSQSNLTNYLDASLMPDTFLHCMKGNSGCLLLHQKSNADPMEKAIQICRF >KJB56341 pep chromosome:Graimondii2_0_v6:9:8516353:8518931:1 gene:B456_009G1160002 transcript:KJB56341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSEVVCSRCRNILLYPRGATNVCCALCNTITQVPPPGMEMAQLVCGGCRTLLMYTCGATSVRCSCCNIINHVT >KJB56339 pep chromosome:Graimondii2_0_v6:9:8515411:8518931:1 gene:B456_009G1160002 transcript:KJB56339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSEVVCSRCRNILLYPRGATNVCCALCNTITQVPPPGMEMAQLVCGGCRTLLMYTCGATSVRCSCCNIINHVT >KJB56340 pep chromosome:Graimondii2_0_v6:9:8515594:8518931:1 gene:B456_009G1160002 transcript:KJB56340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSEVVCSRCRNILLYPRGATNVCCALCNTITQVPPPGMEMAQLVCGGCRTLLMYTCGATSVRCSCCNIINHVT >KJB56342 pep chromosome:Graimondii2_0_v6:9:8515434:8518931:1 gene:B456_009G1160002 transcript:KJB56342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSEVVCSRCRNILLYPRGATNVCCALCNTITQVPPPGMEMAQLVCGGCRTLLMYTCGATSVRCSCCNIINHVT >KJB56343 pep chromosome:Graimondii2_0_v6:9:8516061:8518931:1 gene:B456_009G1160002 transcript:KJB56343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFMKSSFTSFFTMIADMQSEVVCSRCRNILLYPRGATNVCCALCNTITQVPPPGMEMAQLVCGGCRTLLMYTCGATSVRCSCCNIINHVT >KJB61187 pep chromosome:Graimondii2_0_v6:9:41384406:41384885:1 gene:B456_009G345100 transcript:KJB61187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHSSYGTSWADQWDNGPDPYPIEQSKTGTGGAKAKYSKKVENGLGKTKTVAITSMKKAKEGATVGFNWIKKKCSKNTQK >KJB55408 pep chromosome:Graimondii2_0_v6:9:5350696:5351800:-1 gene:B456_009G074700 transcript:KJB55408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRYVPIFAMALVLAVGATRHVALPAEMYWTSVFPNTPMPKALQNLLIPPAAAAGNSKQEFGDGNMATNKDVFFFESKLRPGTKMNLKVLTSKASKTAFLPRPVADSIPFSTKKFAQVLKYFSVQPRSAESKILKKTIEDCETPTIEGEEKFCATSLESFVDFGVSKLGKDIQLLSAELEKETQNPEFSIGDQGVKLMGKSEIVCHKMDYAYAVVPLVGADGTRATILAICHEDSSAWSVTPPTRIPCQNRVTEH >KJB61347 pep chromosome:Graimondii2_0_v6:9:45373238:45376731:1 gene:B456_009G352600 transcript:KJB61347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYNSNFSPARAASPQIRSTPEVESQYLSELLAEHQKLGPFLQVLPICSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLMSNVYGTGLGSWNSLPQERLSGPPGVTMDWQGAPASPSSYTVKRILRLEIPVDTYPTFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKVKLAKFLLLLLLYWYNAISFSYLSQL >KJB61345 pep chromosome:Graimondii2_0_v6:9:45373114:45378043:1 gene:B456_009G352600 transcript:KJB61345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYNSNFSPARAASPQIRSTPEVESQYLSELLAEHQKLGPFLQVLPICSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLMSNVYGTGLGSWNSLPQERLSGPPGVTMDWQGAPASPSSYTVKRILRLEIPVDTYPTFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANVVDIRLRQAQEIIEELLKPVDESQDFIKRQQLRELAMLNSNFREESPGPSGSVSPFNSSGMKRPKTGY >KJB61348 pep chromosome:Graimondii2_0_v6:9:45373375:45376346:1 gene:B456_009G352600 transcript:KJB61348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYNSNFSPARAASPQIRSTPEVESQYLSELLAEHQKLGPFLQVLPICSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLMSNVYGTGLGSWNSLPQERLSGPPGVTMDWQGAPASPSSYTVKRILRLEIPVDTYPTVSLI >KJB61346 pep chromosome:Graimondii2_0_v6:9:45373075:45378142:1 gene:B456_009G352600 transcript:KJB61346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYNSNFSPARAASPQIRSTPEVESQYLSELLAEHQKLGPFLQVLPICSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLMSNVYGTGLGSWNSLPQERLSGPPGVTMDWQGAPASPSSYTVKRILRLEIPVDTYPTFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANVVDIRLRQAQEIIEELLKPVDESQDFIKRQQLRELAMLNSNFREESPGPSGSVSPFNSSGMKRPKTGY >KJB56131 pep chromosome:Graimondii2_0_v6:9:7778718:7784285:1 gene:B456_009G107400 transcript:KJB56131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDSSSSSSFSDGFADPNSDPKLVDERFDFLTLNEPTELERNHRGHGNDIADGLSNPDRNDNGAEDDDRLRVEDQETFGDEGPSSPSSSGYAGGRGSSSATSPSRIDEASEIDDNEIQELRNDGSLEGISDSQASSWVTSKRHVDEDDASISWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKLVKAGKHQMVFLVKGPIYLVCISCTEEPFESLKGQLELIYGQMILILTKSINRCFEKNPKFDMTPLLGGTDVVFSSLIHLFSWNPATFLHAYTCLPLAFATRQAAGAVLQDVADSGVLFAILMCKHKVISLVGAQKASLHPDDMLLLSNFVLSSESFRTAESFSPICLPRYNPMAFLYAYVHFFDVNSYLMLLTTSSDAFYHLKDCRIRIEAVLLKSNVLSEVQRSMIDGGMRVEDLPVGPLPRSGSSPHLGQQTLTSESPERPREPFIGIGGPAGLWHFIYRSIYLDQYVSSEFSPPLNSLQQQKRLYRAYQRVYASMHDKGIGPHKTQFRRDENYVLLCWVTQDFEFYAAFDPLADKALAIKTCNRVCQWVKDVENEIFLQGASPFSW >KJB55701 pep chromosome:Graimondii2_0_v6:9:6523104:6523695:1 gene:B456_009G089400 transcript:KJB55701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKQAPGLYIYHFGENFVLNTLLLLNSTKSNVYHLTKCRSSLVNISSKKSGKFLQQSPTNTPKRVS >KJB62403 pep chromosome:Graimondii2_0_v6:9:63774120:63774569:-1 gene:B456_009G415100 transcript:KJB62403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEKDTRFINNKQACEPCRSFGQKCSHLVKKQRAKFYILRRCIAMLVCGPVKWIDGTEGKSGLSSKFS >KJB61296 pep chromosome:Graimondii2_0_v6:9:44563334:44567861:-1 gene:B456_009G350500 transcript:KJB61296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPMEISFSSGSLNLQSIRSRMNDLSEIHNSNKNDVGTEALSSDSEKLLKDCSFHFQSKVKQIIEEYSDVGFLGIEDLDKYLAYLKEELNQVEAESAKISNEIEDLSRNHIEESNMLEDNLEGLECALDSIASQEREEEDPCFDSSMNGENQLNLLDANEGQKFEILELESQIEKNNLILKSLQDLDSTFRRLDALEQIEDALTGLKVIGFDGNCIRLSLQTYIPKVEGVLCQNMSEDISEPSEMNHELLVEIVDGIMEVKNVEMFPNDVYIGDIVDAAKSFRHCFEYLERDETIIAHLAGGIDAFIKVSQGWPLSKSPLKLLSVKSSDHHSRGISLSLLCKVEEMANSLDMNIRLNLSTFVDAVEKLLLEQMRLELRSDDASTN >KJB61295 pep chromosome:Graimondii2_0_v6:9:44564045:44567861:-1 gene:B456_009G350500 transcript:KJB61295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPMEISFSSGSLNLQSIRSRMNDLSEIHNSNKNDVGTEALSSDSEKLLKDCSFHFQSKVKQIIEEYSDVGFLGIEDLDKYLAYLKEELNQVEAESAKISNEIEDLSRNHIEESNMLEDNLEGLECALDSIASQEREEEDPCFDSSMNGENQLNLLDANEGQKFEILELESQIEKNNLILKSLQDLDSTFRRLDALEQIEDALTGLKVIGFDGNCIRLSLQTYIPKVEGVLCQNMSEDISEPSEMNHELLVEIVDGIMEVKNVEMFPNDVYIGDIVDAAKSFRQLFSNLVAPEIRSSLEWLVGKVQDRIILSTLRRFAVKSTNKSRER >KJB61298 pep chromosome:Graimondii2_0_v6:9:44563334:44567861:-1 gene:B456_009G350500 transcript:KJB61298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPMEISFSSGSLNLQSIRSRMNDLSEIHNSNKNDVGTEALSSDSEKLLKDCSFHFQSKVKQIIEEYSDVGFLGIEDLDKYLAYLKEELNQVEAESAKISNEIEDLSRNHIEESNMLEDNLEGLECALDSIASQEREEEDPCFDSSMNGENQLNLLDANEGQKFEILELESQIEKNNLILKSLQDLDSTFRRLDALEQIEDALTGLKVIGFDGNCIRLSLQTYIPKVEGVLCQNMSEDISEPSEMNHELLVEIVDGIMEVKNVEMFPNDVYIGDIVDAAKSFRQLFSNLVAPEIRSSLEWLVGKVQDRIILSTLRRFAVKSTNKSRER >KJB61294 pep chromosome:Graimondii2_0_v6:9:44563264:44567957:-1 gene:B456_009G350500 transcript:KJB61294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPMEISFSSGSLNLQSIRSRMNDLSEIHNSNKNDVGTEALSSDSEKLLKDCSFHFQSKVKQIIEEYSDVGFLGIEDLDKYLAYLKEELNQVEAESAKISNEIEDLSRNHIEESNMLEDNLEGLECALDSIASQEREEEDPCFDSSMNGENQLNLLDANEGQKFEILELESQIEKNNLILKSLQDLDSTFRRLDALEQIEDALTGLKVIGFDGNCIRLSLQTYIPKVEGVLCQNMSEDISEPSEMNHELLVEIVDGIMEVKNVEMFPNDVYIGDIVDAAKSFRQLFSNLVAPEIRSSLEWLVGKVQDRIILSTLRRFAVKSTNKSRHCFEYLERDETIIAHLAGGIDAFIKVSQGWPLSKSPLKLLSVKSSDHHSRGISLSLLCKVEEMANSLDMNIRLNLSTFVDAVEKLLLEQMRLELRSDDASTN >KJB61297 pep chromosome:Graimondii2_0_v6:9:44563334:44567863:-1 gene:B456_009G350500 transcript:KJB61297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPMEISFSSGSLNLQSIRSRMNDLSEIHNSNKNDVGTEALSSDSEKLLKDCSFHFQSKVKQIIEEYSDVGFLGIEDLDKYLAYLKEELNQVEAESAKISNEIEDLSRNHIEESNMLEDNLEGLECALDSIASQEREEEDPCFDSSMNGENQLNLLDANEGQKFEILELESQIEKNNLILKSLQDLDSTFRRLDALEQIEDALTGLKVIGFDGNCIRLSLQTYIPKVEGVLCQNMSEDISEPSEMNHELLVEIVDGIMEVKNVEMFPNDVYIGDIVDAAKSFRQLFSNLVAPEIRSSLEWLVGKVQDRIILSTLRRFAVKSTNKSRHCFEYLERDETIIAHLAGGIDAFIKVSQGWPLSKSPLKLLSVKSSDHHSRGISLSLLCKVEEMANSLDMNIRLNLSTFVDAVEKLLLEQMRLELRSDDASTN >KJB55069 pep chromosome:Graimondii2_0_v6:9:4402042:4405003:1 gene:B456_009G061700 transcript:KJB55069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGVFAELVDGDGVFKYYSSGEWKKSSSGKSVPIINPTTRQPHFKVQACTQEEVNKLIEEAKTAQKSWAKTPLWKRAELLHKAAALLKEHKVPIAECLVKEIAKPAKDAATEAVRSGDLVSYCAEEGVRVLGEGKFMVSDSFPGNERTKYCLTAKIPLGVILAIPPFNYPVNLAVSKIAPALIAGNSIVLKPPTQGAVAALHMVHCFHLAGFPKGLISCVTGRGSEIGDFLTMHPMVNCISFTGGDTGIAISKKAGMIPLQMELGGKDACIILDDADLDLAAANIVKGGFSYSGQRCTAVKVVLVMESVANALVEKVKAKVAKLTVGLPEDDSDITPVVTESSANFIEGLVVDAKQKGATFCQEYRREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRITSVEEGIHHCNASNFGLQGCVFTRDISKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKIKTTVINLPTPSYTMG >KJB58846 pep chromosome:Graimondii2_0_v6:9:18061071:18062833:1 gene:B456_009G229800 transcript:KJB58846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENGNRAEAVRLLGIAEKLLQNRDFNGSRDFAILAQETEPLLDGSDQILAVADVILAGEKRINNHQDWYSILQIDGRSEDNDLIKKQYRRLALLLHPDKNKSPFADHAFQLVADAWAVLSNSSKKSLYDKELSLFTRIDLSSGGGDRSNQAGKLPVTRKGQSRTPNPKTPNENQRSRMATFWTACPYCYRLFEYPRAYESCCLRCQNCEKAFHAVDIPTLPPLVPGKEAYYCCWAFFPLGFVSRSPESEGKASTGFPNWMQPTFPAVPPHESERNGGIEQATPPAPMPAPVSAAAPAPTPPSFIPSTTATKVVENMNNVAVVSGRNVSNSGSRKRGRPRKNPL >KJB58847 pep chromosome:Graimondii2_0_v6:9:18061221:18062815:1 gene:B456_009G229800 transcript:KJB58847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENGNRAEAVRLLGIAEKLLQNRDFNGSRDFAILAQETEPLLDGSDQILAVADVILAGEKRINNHQDWYSILQIDGRSEDNDLIKKQYRRLALLLHPDKNKSPFADHAFQLVADAWAVLSNSSKKSLYDKELSLFTRIDLSSGGGDRSNQAGKLPVTRKGQSRTPNPKTPNENQRCQNCEKAFHAVDIPTLPPLVPGKEAYYCCWAFFPLGFVSRSPESEGKASTGFPNWMQPTFPAVPPHESERNGGIEQATPPAPMPAPVSAAAPAPTPPSFIPSTTATKVVENMNNVAVVSGRNVSNSGSRKRGRPRKNPL >KJB54228 pep chromosome:Graimondii2_0_v6:9:1996952:1999805:-1 gene:B456_009G026100 transcript:KJB54228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSEESSDEERKMEHPLPKSWSIHTRPEIIAKYVIMERVGSGTYADVYRARRLSDNLIVALKEAHEYQSGFRKIEALQLLQNCPNIVVMHEYFWREDEDAVLVLEFLRTNLAAVIEEAKKKESGVPFGEVKRLMLQILRGIDACHRNMIVHRDLKPGNLFVSDDGVLKLADFGQARILMNMEPGFVADNDNQQWLGQYTGYPENISPSLDAAQEADDLPNQGYNKRVEEDMNKEEVFRQLVKLKAKQHAVDETDKASNINDPFKSSYSYEAEDGDDRKGSLTSCVGTRWFRAPELLYGSTGYGVEVDLWSLGCIFSELLTLKPLFPGVSDIDQLSKIIDIVGT >KJB58360 pep chromosome:Graimondii2_0_v6:9:15976553:15978241:-1 gene:B456_009G206500 transcript:KJB58360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKNGPIFTIKLGVRRVLVVSNTGTIKECLTTNDKAFATRQSSESYFLGSNVLQQHASSRLDKLKHVRESEIKLSVQELYQHRNKSKTIGSDKVLVEMKRWFWDLTLNVILRMTIGKRIPSFGNDEESRFVVSDALPFLRWVDIGGDKRAMKKVGEDLDRFAEGWLEEHKRRKSSGQFKGGEDFMDVMLSNLKDEGDHHADTINKATCLVVNELDIHVGNNRPVEESDMKNLVYLQAVIKETMRLYPAAPLSLIHAATEDCRVSGYQVAAGTWLITNLYKLHGDPGIWSDPEEFRPERFMTTHKHVDVKGQNFELIPFSSGRRMCPGVSFALQVLHLTVANLLHQFDFATPLDEAVDMRQGPALTIFKATPLEVLNTPRLPASVYDTSS >KJB59835 pep chromosome:Graimondii2_0_v6:9:23018514:23020894:1 gene:B456_009G274700 transcript:KJB59835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLPFTSLHPQFVSPNTKSSTTRLSSRRIRASVSEKPPVPAPAVAVPAKGETKLPLKKIPGNYGVPIVGPFKDRLDYFYNQGRDEFFKSKIQKYNSTVFRTNMPPGPFISQDPKVVALLDGKSFPVLFDVTKVEKKDLFTGTYMPSTDLTGGYRILSYLDPSEPKHARLKQLLFFLLKSRRDHVIPEFQASYSELFETLEKEVAEKGKSSFQTANDQAGFNFLSRAFFGSNPPDTKLGTDGPSLISKWVLFQLGPVLKLGLPKYVEELLIHTFPLPPALVKKDYQRLYDFFYESSGSIQDEAEKLGISREEACHNLLFATCFNSFGGMKIFFPNMLKWIGRAGIKLHNRLATEIRSAIRSNGGKLTMAAMEQMPLMKSVVYEALRIEPPVPLQYGRAKKDIVIESHDAVFQVKQGEMLFGFQPFATKDPKIFDRAEEFVGERFMGEEGEKLLKHVLWSNGPETQQPTLGNKQCAGKDFVVLMSRLLVVELFRRYDSFDIEVGKSALGAAVTVTSLKRASF >KJB61538 pep chromosome:Graimondii2_0_v6:9:48824325:48826065:1 gene:B456_009G3652002 transcript:KJB61538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRNLNSDQFALLEFKDHIAGPQNVLANNWTASTSVCNWIGVSCGVLHKRVIALNLTSMNLGGIIPPQLGNLSFLLSLDLSSNHFYGHLPKELGQLHRLWILRLSYNRLNGEIPSWLGNLQRVRRLKMKNNNFTGTIPETLVNMSNLEILNLGFNQLSGQVPSSIFKISSLKIIDLSSNSLSGSLPNDMCEHLPKLEGLHLSWNELFGNIPFGMGKCNNLKILSLSCNQFMGIIPRSIGNLTQLQKLYLGFNNLEGQIPEEIGNLLSLEMLSIKAIEGLTGQIPMSIFNISSLKTIDLSNNSLSGSLPNDMCQHLPKLEVIYLSLNELSGNIPFGMGKCNNLKNLSLSFNQFTGIIPRSIGNLTRLQELYLGFNNLEGQIPEEIGNLLGLEMLNIVAIKGLTGQIPTSIFNISSLKAINLSNNSLS >KJB62162 pep chromosome:Graimondii2_0_v6:9:58861312:58862950:-1 gene:B456_009G403800 transcript:KJB62162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPLIVGGVIGDVLDSFNPSIKMSVTFNNKQVFNGHEFYPSSVATKPRVEIQGGDLRTFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDATFGREVVNYEIPRPDIGIHRFVFVLFKQKRRQVIRSPSSRDNFNTRDFAAENDLGLPVAAVYFNARRETAARRR >KJB53890 pep chromosome:Graimondii2_0_v6:9:808092:812072:1 gene:B456_009G010000 transcript:KJB53890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKGMALFDDKRDMLFSICDLGSQWSLEPNTSYPGGLFASVGQMGMGFGVSPNSPNPRNDGTKPPFSDLFVKYLPFRVIELPEGEAASTKKKKKLELKLKIKIPNPSLRRLISGGIAGAISRTCVAPLETIRTHLMVGSSGNSTTEVFHNILQNDGWKGLFRGNLINVIRVAPSKAIELFAFDTVNKTLSLKPGEVSKIPIPPSLVAGACAGVSSTLVTYPLELVKTRLTIEKNMYDGILDAFLKILQREGPAELYRGLAPSLIGVIPYAATNYFAYDTLRKVYRKIFKEEKIGNIETLLIGSLAGAISSSATFPLEVARKHMQVGALHGRQVYKNVIHALSSILEQEGIQGLYKGLGPSCMKLVPAAGISFMCYEACKRILVDEEEDEA >KJB56370 pep chromosome:Graimondii2_0_v6:9:8589289:8589760:-1 gene:B456_009G116900 transcript:KJB56370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLLSFLFFLANSGEAAVPYTTVDANAAACLGFATDMAAKPSSACCDGLQQLAQTVKSVEDKKAICRCLKVGAKSLGIQDRFLSRIPRAWNIKVGFPVSVNTNCETIH >KJB60624 pep chromosome:Graimondii2_0_v6:9:29791270:29795626:1 gene:B456_009G315700 transcript:KJB60624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVVQGHSSFRNLLKKFENELIIATGKGDPALVMSEYGFKKVLSLEEFASYFESIDPVSQYKRWTTMPQSDRKEPAVPRYNVLSERIKAAFVVSDPVDWGRDIQVLCDVLRSGGLPGRANNNQPPLYFAADDLEYQAAFPSKRLGMGAFRIALESVFNRINPKRLEYVTYGKPNPFVFKNAEVILSQLRSSSCQDHSKNNRVPGSHPFEMLYMIGDNPSVDVNGAQQAGHPWFSILTRTGVFQGKDNHAEFPADLVVDSVEEAVDYILKRECIELGA >KJB60625 pep chromosome:Graimondii2_0_v6:9:29792705:29795731:1 gene:B456_009G315700 transcript:KJB60625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYGFKKVLSLEEFASYFESIDPVSQYKRWTTMPQSDRKEPAVPRYNVLSERIKAAFVVSDPVDWGRDIQVLCDVLRSGGLPGRANNNQPPLYFAADDLEYQAAFPSKRLGMGAFRIALESVFNRINPKRLEYVTYGKPNPFVFKNAEVILSQLRSSSCQDHSKNNRVPGSHPFEMLYMIGDNPSVDVNGAQQAGHPWFSILTRTGVFQGKDNHAEFPADLVVDSVEEAVDYILKRECIELGA >KJB60623 pep chromosome:Graimondii2_0_v6:9:29791165:29795826:1 gene:B456_009G315700 transcript:KJB60623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHASTISRVSQFRNKAPFSFHSSSKLSSNHKKRCNFGIAFDIDGVILRGRVPVGGSPQALRRLYGDSGELIVPYLFLTNGGGIPETKRAKELSELLGVNIMASQVVQGHSSFRNLLKKFENELIIATGKGDPALVMSEYGFKKVLSLEEFASYFESIDPVSQYKRWTTMPQSDRKEPAVPRYNVLSERIKAAFVVSDPVDWGRDIQVLCDVLRSGGLPGRANNNQPPLYFAADDLEYQAAFPSKRLGMGAFRIALESVFNRINPKRLEYVTYGKPNPFVFKNAEVILSQLRSSSCQDHSKNNRVPGSHPFEMLYMIGDNPSVDVNGAQQAGHPWFSILTRTGVFQGKDNHAEFPADLVVDSVEEAVDYILKRECIELGA >KJB55705 pep chromosome:Graimondii2_0_v6:9:6567343:6568542:-1 gene:B456_009G090000 transcript:KJB55705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGSASCFPSASQGSPMYPLAEENMVPSSLEAGSGSGPDQSHSTTLLYNLSILKDKVHEAQSLVSILISPDQAHPESTSLAVSSMDSLVQDIIVTASSMIFICQQMSLGTASGNNINTELHQQHVRVIPDNRISQPPNFSDICVGNIVQEKGQSFYYSAETISWYGDHCNNDRNNNNGNNRNRSLQVSNDDKLERKEFVELPRRSEISGGCEAVSAMKYDIIELDAEDLLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSSAALINPMKNPREGSSSSSAMGNCSTKMNKKYSCPQEGCRWNQKHAKFQPLKSMICVKNHYKRSHCPKMYVCKRCNCKQFSVLSDLRTHEKHCGDLKWQCSCGTTFSRKDKLMGHVALFVGHTPALVTNPKANPG >KJB61556 pep chromosome:Graimondii2_0_v6:9:48940296:48940941:1 gene:B456_009G3659002 transcript:KJB61556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKPKKSLKFQINLITGSATDHEWSFLSSLTNCRNLNIIDVSGNPLSGVLPTYIGNFSKSLQYFYASNCELQGIIPMEIGNLSNMLVLELGYNKSSGFIPTSIGGLRNLQSLGLSSNKLGGPISESLCDLERLYNFLLGLNKLHGSIPSCLGNITSLGYLFLYSNRLSSTIPSTLWNLKDILQIDLSSNHLHNSHAIDVGNLRSLLKLNLSRNLLT >KJB62009 pep chromosome:Graimondii2_0_v6:9:54722472:54723931:-1 gene:B456_009G395600 transcript:KJB62009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCRRSLLSQTIKRKTKNKPKNKTKVKTHKKVSRTRDYIPKNMHLEDNEEEVGFNLKSSAPSRSHGVQPLGNLYFNPGAINSRNIGLGTLQILSDELVLEILGLLEGTQLGVLATVSKSFYVFTSHEPLWRNLVLDNLKGRFFYNRSWKVTYISTFHPSFDVSRSARSSGLRVRDFYSDYLFQSWLCANLEMKPEWLERDNIIRKRGISVDDFLTNFEEPNKPVLLEGCMDNWDALGKWDRDYLVNLCGDALFAVGPVKMRLDDYFSYADQVKEERPLYLFDPKFAEKIPTLGSEYDVPVYFREDLFSVLGNRRPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVIRGSKKWILFPPDVVPPGVHPSPDGAEVACPVSIIEWFMNFYDATKDWKKRPIECICKAGEVIFVPNGWWHLVINLEESVAITQNYVSSSFDTDLQ >KJB62011 pep chromosome:Graimondii2_0_v6:9:54722329:54723931:-1 gene:B456_009G395600 transcript:KJB62011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCRRSLLSQTIKRKTKNKPKNKTKVKTHKKVSRTRDYIPKNMHLEDNEEEVGFNLKSSAPSRSHGVQPLGNLYFNPGAINSRNIGLGTLQILSDELVLEILGLLEGTQLGVLATVSKSFYVFTSHEPLWRNLVLDNLKGRFFYNRSWKVTYISTFHPSFDVSRSARSSGLRVRDFYSDYLFQSWLCANLEMKPEWLERDNIIRKRGISVDDFLTNFEEPNKPVLLEGCMDNWDALGKWDRDYLVNLCGDALFAVGPVKMRLDDYFSYADQVKEERPLYLFDPKFAEKIPTLGSEYDVPVYFREDLFSVLGNRRPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVIRGSKKWILFPPDVVPPGVHPSPDGAEVACPVSIIEWFMNFYDATKDWKKRPIECICKAGEVIFVPNGWWHLVINLEESVAITQNYVSRV >KJB62010 pep chromosome:Graimondii2_0_v6:9:54720707:54724017:-1 gene:B456_009G395600 transcript:KJB62010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCRRSLLSQTIKRKTKNKPKNKTKVKTHKKVSRTRDYIPKNMHLEDNEEEVGFNLKSSAPSRSHGVQPLGNLYFNPGAINSRNIGLGTLQILSDELVLEILGLLEGTQLGVLATVSKSFYVFTSHEPLWRNLVLDNLKGRFFYNRSWKVTYISTFHPSFDVSRSARSSGLRVRDFYSDYLFQSWLCANLEMKPEWLERDNIIRKRGISVDDFLTNFEEPNKPVLLEGCMDNWDALGKWDRDYLVNLCGDALFAVGPVKMRLDDYFSYADQVKEERPLYLFDPKFAEKIPTLGSEYDVPVYFREDLFSVLGNRRPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVIRGSKKWILFPPDVVPPGVHPSPDGAEVACPVSIIEWFMNFYDATKDWKKRPIECICKAGEVIFVPNGWWHLVINLEESVAITQNYVSRRNLLNVLDFLKKPNASKLVSGTTDRINLYENFKNAIEASFPGTIDGLRLKAKQKKMSFWDSVTDSKVGAFKFSF >KJB53391 pep chromosome:Graimondii2_0_v6:9:54815399:54816440:1 gene:B456_009G3960002 transcript:KJB53391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLNDFTISRFLFGFLFFENFLWFLHKKLFIFFFFYSFHLHRIHLSVIMHLFSILAKTIWDVLSNKEVVDIIESAPACSSAARTLLQTAVQAWRYKYPTSKVDDCAVVIIRFSVATHVL >KJB54695 pep chromosome:Graimondii2_0_v6:9:3301735:3305554:-1 gene:B456_009G045800 transcript:KJB54695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLVKTHHGTVLVAVFGDQDKPALITYPDLALNHISCFQGLFFCPEASSLLLHNFCIYHISPPGHEFGAPPICPDGFMPSVDDLADQIVEVLNFFGLGAVMCMGVTAGAYILTLFAMKYRQRVLGLILISPLCRAPSWTEWLLNKVMSNLLYFYGMCGVVKELLLKRYFSKEVRGNVQVPESDIVQACRRLLDERQSINIWQFLEAMNGRPDLSEGLRKLHCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPIEYFLTGYGLYRATLSVSPRSPLSPSCISPELLSPESMGLKLKPIKTRISLEV >KJB54697 pep chromosome:Graimondii2_0_v6:9:3301735:3306305:-1 gene:B456_009G045800 transcript:KJB54697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSDSVSIDMESISLGGKEYLVKTHHGTVLVAVFGDQDKPALITYPDLALNHISCFQGLFFCPEASSLLLHNFCIYHISPPGHEFGAPPICPDGFMPSVDDLADQIVEVLNFFGLGAVMCMGVTAGAYILTLFAEVRGNVQVPESDIVQACRRLLDERQSINIWQFLEAMNGRPDLSEGLRKLHCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPIEYFLTGYGLYRATLSVSPRSPLSPSCISPELLSPESMGLKLKPIKTRISLEV >KJB54694 pep chromosome:Graimondii2_0_v6:9:3301735:3305440:-1 gene:B456_009G045800 transcript:KJB54694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEYLVKTHHGTVLVAVFGDQDKPALITYPDLALNHISCFQGLFFCPEASSLLLHNFCIYHISPPGHEFGAPPICPDGFMPSVDDLADQIVEVLNFFGLGAVMCMGVTAGAYILTLFAMKYRQRVLGLILISPLCRAPSWTEWLLNKVMSNLLYFYGMCGVVKELLLKRYFSKEVRGNVQVPESDIVQACRRLLDERQSINIWQFLEAMNGRPDLSEGLRKLHCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPIEYFLTGYGLYRATLSVSPRSPLSPSCISPELLSPESMGLKLKPIKTRISLEV >KJB54696 pep chromosome:Graimondii2_0_v6:9:3301735:3306305:-1 gene:B456_009G045800 transcript:KJB54696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSELHQSVPMVLCLPLMTWQIKLLRLGAVMCMGVTAGAYILTLFAMKYRQRVLGLILISPLCRAPSWTEWLLNKVMSNLLYFYGMCGVVKELLLKRYFSKEVRGNVQVPESDIVQACRRLLDERQSINIWQFLEAMNGRPDLSEGLRKLHCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPIEYFLTGYGLYRATLSVSPRSPLSPSCISPELLSPESMGLKLKPIKTRISLEV >KJB54693 pep chromosome:Graimondii2_0_v6:9:3301703:3306305:-1 gene:B456_009G045800 transcript:KJB54693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSDSVSIDMESISLGGKEYLVKTHHGTVLVAVFGDQDKPALITYPDLALNHISCFQGLFFCPEASSLLLHNFCIYHISPPGHEFGAPPICPDGFMPSVDDLADQIVEVLNFFGLGAVMCMGVTAGAYILTLFAMKYRQRVLGLILISPLCRAPSWTEWLLNKVMSNLLYFYGMCGVVKELLLKRYFSKEVRGNVQVPESDIVQACRRLLDERQSINIWQFLEAMNGRPDLSEGLRKLHCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPIEYFLTGYGLYRATLSVSPRSPLSPSCISPELLSPESMGLKLKPIKTRISLEV >KJB58386 pep chromosome:Graimondii2_0_v6:9:16130937:16133415:1 gene:B456_009G208000 transcript:KJB58386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVTFTYSGYVAQNLASTAGFRLGSCSSRSAHECWLRSRFLSPNKKADIDSSPPRTYHSAADLRHPRSGMYSTLAAEILNDGCKNPIIVGLISLIKSTTYGSCSPVTTMGIASFKATSIIPFLQGSKWLPCNEPTPGGLESIEVDRGGTTSNDGRNLSLELDPKALAKSSWISRVLNVCSEDAKAAFTVLTVNLLFRSFMAEPRSIPSASMYPTLGVGDRILAEKVSYFFREPEVSDIVIFRAPPILQEYGYSSNDVFIKRIVAKAGDCVEARDGKLFINGVAQDEDFVLEPLAYKMDPMVVPEGYVFVLGDNRNNSFDSHYW >KJB58389 pep chromosome:Graimondii2_0_v6:9:16130929:16133457:1 gene:B456_009G208000 transcript:KJB58389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVTFTYSGYVAQNLASTAGFRLGSCSSRSAHECWLRSRFLSPNKKADIDSSPPRTYHSAADLRHPRSGMYSTLAAEILNDGCKNPIIGSKWLPCNEPTPGGLESIEVDRGGTTSNDGRNLSLELDPKALAKSSWISRVLNVCSEDAKAAFTVLTVNLLFRSFMAEPRSIPSASMYPTLGVGDRILAEKVSYFFREPEVSDIVIFRAPPILQEYGYSSNDVFIKRIVAKAGDCVEARDGKLFINGVAQDEDFVLEPLAYKMDPMVVPEGYVFVLGDNRNNSFDSHYWGPLPIENIVGRSVFRYWPPSKVSATIYDSNVGKNAVAVS >KJB58388 pep chromosome:Graimondii2_0_v6:9:16131270:16132498:1 gene:B456_009G208000 transcript:KJB58388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVTFTYSGYVAQNLASTAGFRLGSCSSRSAHECWLRSRFLSPNKKADIDSSPPRTYHSAADLRHPRSGMYSTLAAEILNDGCKNPIIVGLISLIKSTTYGSCSPVTTMGIASFKATSIIPFLQGSKWLPCNEPTPGGLESIEVDRGGTTSNDGRNLSLELDPKALAKSSWISRVLNVCSEDAKAAFTVLTVNLLFRSFMAEPRSIPSASMYPTLGVGDRILAEKVSYFFREPEVSDIVIFRAPPILQEYGYSSNDVFIKRIVAKAGDCVEARDGKLFINGVAQDEDFVLEPLAYKMDPMVSVL >KJB58387 pep chromosome:Graimondii2_0_v6:9:16130929:16133457:1 gene:B456_009G208000 transcript:KJB58387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVTFTYSGYVAQNLASTAGFRLGSCSSRSAHECWLRSRFLSPNKKADIDSSPPRTYHSAADLRHPRSGMYSTLAAEILNDGCKNPIIVGLISLIKSTTYGSCSPVTTMGIASFKATSIIPFLQGSKWLPCNEPTPGGLESIEVDRGGTTSNDGRNLSLELDPKALAKSSWISRVLNVCSEDAKAAFTVLTVNLLFRSFMAEPRSIPSASMYPTLGVGDRILAEKVSYFFREPEVSDIVIFRAPPILQEYGYSSNDVFIKRIVAKAGDCVEARDGKLFINGVAQDEDFVLEPLAYKMDPMVVPEGYVFVLGDNRNNSFDSHYWGPLPIENIVGRSVFRYWPPSKVSATIYDSNVGKNAVAVS >KJB60997 pep chromosome:Graimondii2_0_v6:9:35409239:35410884:1 gene:B456_009G334700 transcript:KJB60997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSYSFFLFLFVVIIPTQESHIAEYDEYWTARELEAIENLDKAYHSNPEEVVRHYNDHFSRTMLEFYITKRVLAESKQGPCEVTNHVDSCWRCDPDWEKNRKKLANCAPGFARGTTGGKDGEFYVVTDPIDNAADPKPGTLRHAVTQAGPLWITFKGSMTIKLQQELIVTSDKTIDARGANVEICNGAGITIQFAKNIIIHGLQIHHIIPANGGKIKDGENHHGLRGDSDGDGVSIFGATNVWLDHLALHHCADGLIDVVQGSTAVTVSNCHFTDHNDVMLFGASDSYSADKKMQVTVALNHFGKGLVERMPRCRFGFIHVVNNDYNHWFLYAIGGTSNPTIISQGNRYSAPGFGAKEVTCRGLLKPGQWKNWNWVSQGDHFENGAFFTPSGNPSASKQFGADKMMPFKPGQMVPELTKYAGPLSCAISRPC >KJB62584 pep chromosome:Graimondii2_0_v6:9:66207900:66213671:1 gene:B456_009G423900 transcript:KJB62584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MSLTPDQFRKGAMGGLVPSPSPFLTPRPERRRADSRGPDWFSNRQDRDKEVNVQVLLRCRPLSEDEQKMNASRVISCNELKREVTVLQNVANKQVDRVFTFDKVFGPKAQQRTIYDQAIAPIVNEVLDGFNCTVFAYGQTGTGKTYTMEGGMRNKGGDLPAEAGVIPRAVRQIFDTLEAQNADYSMKVTFLELYNEDITDLLAPEESSRYAEDRQRKPISLMEDGKGCVIVRGLEEEAVYSANEIYALLERGAAKRRTADTLLNKRSSRSHSVFSITVHIKESAVGDEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVISALVEHSAHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSAHSLEETLSTLDYAYRAKNIKNRPEANQKMSKAVLLKDLYLEIERMKEDVRAARDKNGVYIPHERFVQEEAEKKARMEKIEQLENDLNLSEKQSNKYHELYLTEQEQRLDLESVLKDCKVNLEKTTTELLDLQENHRAAILTLKEKEFIISKLLCSENSLIERAKELRSDLQHASEDINSLFAKLDDKDKMEAENRSIVLTFGSRLDQRLKDLHKTILGSVSQQHQQLRCMEEHAHSFLASKCDATKALESRIKNMTETYASGVITMKELANKIQRSSSSDLEEMSFAFSSQIEAIEQFLVTAVLEAKKVIEDLQSSLNEQKELLVFSARQQEEGLHRTLISAQEISKATFHFLTDINNQASKFMTVLEEMEAKKSQQLTNFENRFKAKAVREEKQAIEKIAAILATLTSNRSAMVSEASGCMKDMDIQDNRTLQQQMSMMQQVSADVGKEMCKYIEKVESHFVKDTFSAAESRGIMEDGLQECSKIVNVSRQQWENAKTYINELNKSSLAEIKSTVRENIKRNHTVHEELLSALSSTGAEVGARTGDITAAINDLLLRDRECKKEIDSLTNCCLDQIKTVQEKHGESISNIRSAAEKCITRDYLVDNHTIPKKRDIVVPSLASIEEMRTSSAFEDIEKEENNLENNNRSKWGYNEGKIQQQITSLSPNRTPFADVNSL >KJB62583 pep chromosome:Graimondii2_0_v6:9:66207583:66213708:1 gene:B456_009G423900 transcript:KJB62583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MSLTPDQFRKGAMGGLVPSPSPFLTPRPERRRADSRGPDWFSNRQDRDKEVNVQVLLRCRPLSEDEQKMNASRVISCNELKREVTVLQNVANKQVDRVFTFDKVFGPKAQQRTIYDQAIAPIVNEVLDGFNCTVFAYGQTGTGKTYTMEGGMRNKGGDLPAEAGVIPRAVRQIFDTLEAQNADYSMKVTFLELYNEDITDLLAPEESSRYAEDRQRKPISLMEDGKGCVIVRGLEEEAVYSANEIYALLERGAAKRRTADTLLNKRSSRSHSVFSITVHIKESAVGDEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVISALVEHSAHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSAHSLEETLSTLDYAYRAKNIKNRPEANQKMSKAVLLKDLYLEIERMKEDVRAARDKNGVYIPHERFVQEEAEKKARMEKIEQLENDLNLSEKQSNKYHELYLTEQEQRLDLESVLKDCKVNLEKTTTELLDLQENHRAAILTLKEKEFIISKLLCSENSLIERAKELRSDLQHASEDINSLFAKLDDKDKMEAENRSIVLTFGSRLDQRLKDLHKTILGSVSQQHQQLRCMEEHAHSFLASKCDATKALESRIKNMTETYASGVITMKELANKIQRSSSSDLEEMSFAFSSQIEAIEQFLVTAVLEAKKVIEDLQSSLNEQKELLVFSARQQEEGLHRTLISAQEISKATFHFLTDINNQASKFMTVLEEMEAKKSQQLTNFENRFKEEAVREEKQAIEKIAAILATLTSNRSAMVSEASGCMKDMDIQDNRTLQQQMSMMQQVSADVGKEMCKYIEKVESHFVKDTFSAAESRGIMEDGLQECSKIVNVSRQQWENAKTYINELNKSSLAEIKSTVRENIKRNHTVHEELLSALSSTGAEVGARTGDITAAINDLLLRDRECKKEIDSLTNCCLDQIKTVQEKHGESISNIRSAAEKCITRDYLVDNHTIPKKRDIVVPSLASIEEMRTSSAFEDIEKEENNLENNNRSKWGYNEGKIQQQITSLSPNRTPFADVNSL >KJB57733 pep chromosome:Graimondii2_0_v6:9:13771722:13773356:1 gene:B456_009G178300 transcript:KJB57733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGRRLTTSRSERLLGNYGYGHSQGDSVVNEEAELGEEDVWSMVDNVADRGDGGSVDNSRSQWSPHADAESNGNGNFDMRGDRRLIPRGDRHVGGLSLAFEDSASTKPRIVHQFRGHDGVAAAASPRGHHMATSAPVNVPDWSKIYRVNSVEWIRDSDDGLDDAESEMVPPHEYLAREYARSKKSGGASVFEGVGRTLKGRDMRRVRDAVWSRTGFDG >KJB57732 pep chromosome:Graimondii2_0_v6:9:13771677:13773356:1 gene:B456_009G178300 transcript:KJB57732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGRRLTTSRSERLLGNYGYGHSQGDSVVNEEAELGEEDVWSMVDNVADRGDGGSVDNSRSQWSPHADAESNGNGNFDMRGDRRLIPRGDRHVGGLSLAFEDSASTKPRIVHQFRGHDGVAAAASPRGHHMATSAPVNVPDWSKIYRVNSVEWIRDSDDGLDDAESEMVPPHEYLAREYARSKKSGGASVFEGVGRTLKGRDMRRVRDAVWSRTGFDG >KJB61379 pep chromosome:Graimondii2_0_v6:9:46012772:46017239:-1 gene:B456_009G354200 transcript:KJB61379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSPSLSFTQNFPRFVTSHSLPLSQCHPISFQTPPPKSIHYHPPRALREWQEYEEAVKKKDLATALRFLISIEKDNSDDSVDENGSLSTQSARSQIGDLGFFGGSVRDWEVLDTCLNADDMRLVGMAYEFLKAKGFLPNFGRFSSIVLDGARDIRPSVLKSSTGLEASKFSPKKWGLSGSSSVVLAGFLGGVSYLLQQGIDIRPQLAIILGLAFTDSIFLGGTCLAQISSYWPPYRRRILVHEAGHLLVAYLMGCPIRGVILDPIVAMQMGIQGQAGTQFWDENMNNEMAEGQLSGSTFDRYCMVLFAGTAAEALVYGDAEGGENDENLFRSISVLLQPPLSVAQMSNQARWSVLQSYNLLKWHRHAHRAAFKAMENGASLSVIIRKIEEAMSSNR >KJB57559 pep chromosome:Graimondii2_0_v6:9:13100178:13101913:1 gene:B456_009G169900 transcript:KJB57559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOL2 [Source:Projected from Arabidopsis thaliana (AT4G21610) UniProtKB/Swiss-Prot;Acc:O65426] MAAAMSLSTTKVSSFSSHLSSRPPPPLFFSGSDPYPVSDRVDTSTASQIAPSPEMAQMAQMVCGSCRQLLSYPEGTRQAKCSCCETVNFVLEAHQVGLVRCDSCALLLMYPYGSPSVKCSSCLSVTEIGEHNRRPPWSVQQGQPTPPNSLH >KJB59837 pep chromosome:Graimondii2_0_v6:9:23026578:23028912:-1 gene:B456_009G274900 transcript:KJB59837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFFPNPVIGVAGIFAFLLFSYLLFTTVITRKSSVKKKKPPEIGGARPFLGHLHLLGGPKPAHITLGNFADAYGPIFTFRLGMHPTLVVSNWEMAKECFTTNDKAFASRPRTLAAELLGYNFAMFGFSSYGPYWRHVRKIATLEVLSNYRLAKLRHVRESEIRASIKRLYELVTNNCSEPVVVEMKRWFWTLNMNTVFKIVIGKRYSEVETSHEEEENVQRRKAVRDFFDLTGTFTVADSLPFLRWLDLGGHEKAMKKTAKKLDQILEECLEEHKRKRNSGKSYGEHDDFMYMMLSLLEDAEDHPSYDADTINKATCLAIILGGTDTTTVTITWALSLLLNHRDVLKKAQNELDTFVGKDRLVEESDIKNLVYLQAIIKETTRLYPAAPLSVPHESVEDCITGGYFIPAGTRLLVNISKLQRDPKVWSDPDEFRPERFLTTQKHMDMWGRNFEFIPFGSGRRVCPGISFALQVLQLNLATLLQSFEITTPFDEPVDMREGTGLTSLKATPLDVVFTPRLPPHLYE >KJB63095 pep chromosome:Graimondii2_0_v6:9:70414138:70417424:1 gene:B456_009G453000 transcript:KJB63095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMSDSAYRVETTARLAQWRIDNLASCTYRKSDPFKIGKWNWHLSVEKNRVLSVKLYPEISRENPPIASFIIRVVSSVGDRKALTHPEIIDKQLKNNDDFVWPIEVPLTGKFIIDVEFLDLKTASPNGGEPSSIWAEGFTTKQSNETALACLGRMLTEEINTDIIINVSDGSIGAHRAVLASRSPVFHSMFSHNLQEKELSTINISDMSIEVCQAFLRYIYGNIKHEEFLTHRLALLRAADKYDILDLKEACHESLTEDIDAKNVLERLQNAYLYQLQKLKMNCMRYLVKFGKIYDIRDDFHAFLQCADRELIADIFHEVLNTWKGF >KJB59610 pep chromosome:Graimondii2_0_v6:9:21814700:21816542:1 gene:B456_009G263700 transcript:KJB59610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFKIIRLTAAPASPMINEFLGDFIKQNLIPLAVPMNRETLKLLSDDDRKIVLTIMEDENEEKSQKLIKLLKAAASANRDLVFGYVGVKQWDEFANTFGANEDTNFPKLIIWNGDEQYFTVIGYESLDEEEDQSSQISRFLEGYREGRMEKKMIKGPSFMDYMNVISIAAVFIIVFLVAILMLIRRLSTHDDNKPRKDIYDDDDDDEGEEESSAESPESDYEVRKKED >KJB59609 pep chromosome:Graimondii2_0_v6:9:21812826:21816542:1 gene:B456_009G263700 transcript:KJB59609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLSILWGLLLLLCILKPGIRSSADRLDGRVLELDDSNFDSAISSFDYILVDFYAPWCGHCQRLSPQLDEAAPILAGLKDPIAVAKLNADKFTTVARKYEIDGYPTLKLFKRGVPVDYHGPRKAHKLVSHLKKIVSPDVSILGSDSAISDFVEAVGTYFPIYIGFGLDEMVISHLAIKYKRKAWFSVAKDFSEDAMVLYDFDKVPALVVFHPNYNQQSVFYGPFEDEFLGDFIKQNLIPLAVPMNRETLKLLSDDDRKIVLTIMEDENEEKSQKLIKLLKAAASANRDLVFGYVGVKQWDEFANTFGANEDTNFPKLIIWNGDEQYFTVIGYESLDEEEDQSSQISRFLEGYREGRMEKKMIKGPSFMDYMNVISIAAVFIIVFLVAILMLIRRLSTHDDNKPRKDIYDDDDDDEGEEESSAESPESDYEVRKKED >KJB59608 pep chromosome:Graimondii2_0_v6:9:21812826:21816540:1 gene:B456_009G263700 transcript:KJB59608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISHLAIKYKRKAWFSVAKDFSEDAMVLYDFDKVPALVVFHPNYNQQSVFYGPFEDEFLGDFIKQNLIPLAVPMNRETLKLLSDDDRKIVLTIMEDENEEKSQKLIKLLKAAASANRDLVFGYVGVKQWDEFANTFGANEDTNFPKLIIWNGDEQYFTVIGYESLDEEEDQSSQISRFLEGYREGRMEKKMIKGPSFMDYMNVISIAAVFIIVFLVAILMLIRRLSTHDDNKPRKDIYDDDDDDEGEEESSAESPESDYEVRKKED >KJB61634 pep chromosome:Graimondii2_0_v6:9:50150688:50157421:-1 gene:B456_009G371600 transcript:KJB61634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKNLNSDQFALLEFKDHIAGPQNVLANNWTASTSVCNWIGVTCGILHKRVIVLNLTSMNLRGTIPPHLGNLSLLLSLDLSSNHFYGYLPKELGQLRRLRILRLSYNRLNGEIPSWLGNLQRVRRLKMKNNNFTGTIPETLVNMSNLEILNLELNQLSGQVPSFIFKISSLKAISLSNNSLSGSLPNDMCQHLPKLEGLYLSWNELSGNIPFGMGKCSNLKSLSLSYNQFMGIIPRSIGNLTRLQKLYLGFNNLEGQIPEEIGNLLGLELLNIKAIKGLTGQIPTSIFNIYSLKTVDLSNNSLSGSLPNDMCQHLPKLEGLYLSWNELSGQIPSIIFNSTTLEEIYLSNNKLEAIKGFTGQIPTSIFNISSLKTIDLSNNSLSGSLPNDMCQHLPKLEGLYLSWNELSGNIPFGMGKCNNLKNLSLSYNQFMGIIPRSIGNLTQLQELYLGFNNLEGQIPEEIGNLLGLQLLSIKAIKGLTGQIPTSIFNISSLKTVNLSNNSLSSSLPNDMCQYLPKLERLYLGWNELSGQIPSSIFNSTTLEEIDLFNNNLEGNLPPITNAPKLEFLFLWRNKLSGNIPNSISNASMLKELELSTNLFSGPIPKMLGSLKHLEVLKIFDNNLITGSATDHEWSFLSSLTNCNNLKTISVSGNPLSGVLPTYIGNLSKSLQYFYASNCELQGIIPMEIGNLTNLLLLQLGHNKLSGFIPASIGELRNLQSLVLSSNKLGGPILESLCDLERLYEMYLGLNKLHGSIPSCLGNITSLGYLYLDSNKLSSTIPSTLWNLKDILEIDLSSNHLHNSHAIDVGNLRSLLKLNLSRNLLTGDILSTFGGLQTLVSLDLSNNILHGHIPESFDGLISLEFLDLCNNNLSGVIPTSLEKLLYLKYFNVSFNRLEGEIPSKGCFSNFSSTSFMKNYALCGPPRLLVPPCKNDIHKNSQMIILHAFRYGLPTIGIVVVLIVLTIMYRRCQRSTALPIKDDLLSLKTPRRISHDELSRATNGFEESNMLGSGSFGYVYKGRLSDGMEVAIKVFNLQTEGAFRSFDIECDAMRNIVHRNIVKVITCCSSVDFKALVLDYMSNGNLEKWLHSENCFLDIIQRVDIMIDVAVGIEHLHNGHPTPIIHCDIKPSNILLDEDMVAHVGDFGVAKLLGEGEVMKQTMTLATTGYMAPEFGSAGIVSIKSDVYSYGIVLIETFTKKKPTDNVFVEEESIRHWMESSLPKGAIEIADIDLLRREDEYIVVKANCISSIMELALNCSAELPEERKDMKDVVVELKKIKQRLLNNIQHF >KJB57491 pep chromosome:Graimondii2_0_v6:9:12812470:12814923:-1 gene:B456_009G166700 transcript:KJB57491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKILQVDKNAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDPQKRAIYDQYGEEGLKGQVPPQDAGGPGGATFFQTGDGPNVFRFNPRNANDIFAEFFGYSSPFGGMGGSGMRGSSRSFGGMFGDDIFSSFGEGRPMSQNPRKPPPIENTLPCSLEDLYKGTTKKMKISREIADASGKTLPVQEILTIDIKPGWKKGTKITFPEKGNEQPNTIPADLVFIIDEKPHSTFTRDGNDLVVTQKISLAEALTGYTVHLTTLDGRSLNIPINSVIHPNYEEVVPKEGMPIPKDPSKRGNLRIKFNIKFPTRLTAEQKSGIKKLLGPSGGL >KJB58603 pep chromosome:Graimondii2_0_v6:9:16913758:16916169:1 gene:B456_009G217500 transcript:KJB58603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWAGAIGAAKKKFEEDEAPRSFQSVGLIIGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRPRPNWNADHPIEYIQCDVSDPNDTESKLSQLTDVTHIFYVSWINRTSEAENCEINGSMLRNVLHSVIPNAPNLRHICLQTGAKHYLGPFELLGKIQPHDPPFTEDLPRLNAPNFYYHQEDILFEEIEKKEGLTWSVHRPGVIFGFSPYSLMNLVGSLCVYAAICKHEGKPLHFPGSKAAWNCYFEASDADLIAEQHIWAAVDPYAKNEAFNVTNGDVFKWKHLWSVLAEQFGIEEFGFVEGENRGMEEMMKEKEGVWEEIVKENQLQKTSLQVVGNWWFTDTIFSAPLQMPLLSMNKSKEHGFLGFRNSRNSFVTWIDKMKAYKIVP >KJB62667 pep chromosome:Graimondii2_0_v6:9:67370892:67375590:1 gene:B456_009G429100 transcript:KJB62667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFNPGPNWWDRTAAPSSSSSSSSSSSVLNNSLGTFGGWNPELADIKARSPMDSISSSSSSSVSAPDLHMMGLGLSSQAMDWNQAFMRGDHKSETSFKSMLEEHNLNASNPNYHQEMMGFKHQSSSQFSPCSSDSTIINNMDSTSLYGSPSTILQGLLGTENQTYENRSINYQYGMNNNDPLLPNSWSKVPQFLKNSPQKNGQLHFSNNAPFWNPSAAATSPMVDHRPPGFFPSFPTPNFDEKPKNNISEVKKNGNETSSKRPRNEIPSLPAFKVRKEKMGDRISALQQLVSPFGKVLSTPYKKTGATTQQQQQTCEKSKDFEGFKHDLRSRGLCLVPVSSTFPMTHESTVDFWTPTFGGTFR >KJB62668 pep chromosome:Graimondii2_0_v6:9:67370892:67375590:1 gene:B456_009G429100 transcript:KJB62668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFNPGPNWWDRTAAPSSSSSSSSSSSVLNNSLGTFGGWNPELADIKARSPMDSISSSSSSSVSAPDLHMMGLGLSSQAMDWNQAFMRGDHKSETSFKSMLEEHNLNASNPNYHQEMMGFKHQSSSQFSPCSSDSTIINNMDSTSLYGSPSTILQGLLGTENQTYENRSINYQYGMNNNDPLLPNSWSKVPQFLKNSPQKNGQLHFSNNAPFWNPSAAATSPMVDHRPPGFFPSFPTPNFDEKPKNNISEVKKNGNETSSKRPRNEIPSLPAFKVRKEKMGDRISALQQLVSPFGKTDTASVLSEVIEYIKFLHEQINVLSTPYKKTGATTQQQQQTCEKSKDFEGFKHDLRSRGLCLVPVSSTFPMTHESTVDFWTPTFGGTFR >KJB59416 pep chromosome:Graimondii2_0_v6:9:20820875:20824320:1 gene:B456_009G253700 transcript:KJB59416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGGGDTTASVLGSGGGGTDGNVTTTAGGGGAVTMGRSGGARDGGSSEGGGVAANNMFGSNGNNNNNSGDEDRVRVDEGDRCFGGNRWPRQETLALLKIRSDMDVTFRDASVKGPLWEEVSRKLAELGYHRSAKKCKEKFENVFKYHKRTKDVRTGKSDGKTYRFSNQLLALETHPSFQSPPATAAVAAPTSPPQAQPQATMPAPSLPNVTVPSAAALPSLPQNIVPTNINPTPTLPSFPNVSADQMSNSTSSSTSSDLELEGRRKKKRKWKGFFERLMREVVHEQEEMQKKFLEALEKREQERMAREEAWRMQEMARINRERELLAKERSVAAAKDAALMSLLQKLSEQKNPGQPQSNPLQQPQPPVSVVAAAATPAAALSVPAPQPPPPLVPQQPMLNLEVASKSDNGDHSCTPSSSRWPKVEVQALIELRTRLDAKYHDNGPKGPLWEEISAAMKKLGYNRNAKRCKEKWENINKYFKKVKDNHKKRPEDSKTCPYFHQLDALYREKNKHDSSSTQFKPQNSVPLMVRPEQQWPPLPPSDPDHQHRRDPEDLESYQNHQDEDGNDEYEDEDEDERGEYEIVASKPVSMGTCE >KJB54399 pep chromosome:Graimondii2_0_v6:9:2428156:2431644:1 gene:B456_009G032400 transcript:KJB54399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLSLLLSAWQQILSHRFFDLTYNVGLSSKAVEMTVRVNSFKRTDSDTVTTTNSAIGSDNKIQRKNSITLKTSKPDRNNVVLEKTLSFKDLVQDQRKSLVSSGSNGLMHKPMPTLSLPEPTILFSPRPVSELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKVLDLAELKQSSVSFFDVEKPESAVSRWARAKTRAAKVGKGLSKDEKAQKLALRHWLEAIDPRHRYGHNLHLYYDVWFSSESSQPFFYWLDVGDGKEVNLVKCPRKKLQQQCITYLGPKEREGYEVIVDHGKLVFRQSGLPVNTTAECKWIFVLSTTRSLYVGRKEKGKFQHSSFLAGGATTAAGRLVARDGALEAIWPYSGHYLPTEENFLEFISFLEENHVNLTNVKRCAIDDDNSYGQAPAKEPKPEPMVGSNETKKIDVGDEGDSIRGAETSTDEHHRDEKRSNTKAPTPPVFAKRLSCKWTTGFGPRIGCVRDYPTDLQSKALEQVNLSPRVTPGFVKFGPIPSPRPSPRIHLSPRIAGMGLPSPRPIATTN >KJB58354 pep chromosome:Graimondii2_0_v6:9:15946216:15949002:1 gene:B456_009G206000 transcript:KJB58354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDSDDVNLAYDDTADDDYFCLDDTDEDLHPPQASDSLDDDQLQGSDSRNDDDDDLLQSSDRHDDGDHDCDDNDDGDENALEEDDHDSPISGQNFSVLTEADIRHRIKDNIAEVSSVLSISKNEASSLLLHYNWSVNNIFDSWFADEKAAREKAGLFLEPLIESPDHGSSICVICFEDYPCDETKSTSCGHRYCNDCWSSYIKTAISDGPQSLLLTCPHPSCRAAVGEDMVGLFASEKEKNKYSSYIVMSYIESKKMIKWCPGPGCENAIDFVAGSENLDVSCLCSHSFCWNCTQDAHRPVDCETVTKWMSKNSSESGNVNYILAFTKPCPKCKRPIEKNMGCSHMTCRAPCLYEFCWLCLGDWNNHGTCNRFKGNPEEEKREKAKQYVMKYTHYFERWATNQNSMKKGAADLQKVQTEQIEVLSRIQAQPLAQISFVTEAWKQIIECRRVLAWTYAYGFYLPEECEAKRNLFEYLQGQAESGLERLHDCAEKELQSYLKDKISVEGFIEFRKKLTLLTRVTRNYFDNLVAALQNGLSDVNSGSSSVSKKRKSNWAAAAVNAAPVLDTNINDNSATAPAAAASAMEPWACAYCSYENQSSATNCAMCGRGSWTCDVCTYANSRTSATCAMCTEAPEP >KJB57168 pep chromosome:Graimondii2_0_v6:9:11524724:11525615:1 gene:B456_009G151400 transcript:KJB57168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDNRQQAAVAYPPPPTSYPSAAPGQAYPPPMAQPYYPPQVQGPYVVPPPVAYPMKDEVPPPPKSRGSGFCRGCCAALCCCCLLDCCCF >KJB60687 pep chromosome:Graimondii2_0_v6:9:30570163:30579156:-1 gene:B456_009G319600 transcript:KJB60687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPQFGATAETLSKASTMVFRIGTDAHLYDDPDDVSIAPLLDSKFDSEKCEALKRLLALIAQGFDVSNYFPQVVKNVASQSLEVKKLVYLYLLHYAEKRPNEALLSINCFQKDLGDPNPLVRAWALRTMAGIRLHVIAPLVLVAVGKCARDPSVYVRKCAASALPKVHDLRLEEHTSAIEEIVGMLLNDRSPAVVGAAAAAFACVCPYNLSLVGRNYRKLCEILPDVEEWGQIVLIGILLRYVIARHGLVKESIMYSLQCTESSHSAKDGSDVDSGLVKESTDLSGTCDSEFVNTVSRCYMEGPDEYLSRSSYANRESFELNGAQFTSGKSNDDVKILLYSTSPLLWSNNSAVVLAAAGVHWVMAPKEDVKRIIKPILYLLRSSNASKYVVLRNIQVFAKAIPSLFAPYFEDFFIFSSESYQIKALKLEILSYIATDSSISSIFKEFQDYIRDPDRRFAADTVAAIGLCVQRLPKMAHICVDGLLALTRQEFVTEDFGFEDQEAGILTQVIMSIKSIIKQDPPSHEKVIIQLVRRLDSVKVPAARAMIIWMVGEYSSLGEIIPRMLTTVLKYLAWGFPSEAPETKLQILNTVCKVLEGATGDDLWTFKKIFSYLIELAECDLNYDLRDRARLLKKLPSCNLVSLGLEEETNDLPENDLLHIVAECILGRQTRKVKPESFSYRYYLPGSLSQIVLHAAPGYEPLPKPCSLLLDDLNVAEGTSEMKRAADYSGTDDYGSSSDPSDEESASDYGSQRSVTESSGSDRGDDSEFTSEGNYNADPLIQISDIGNASENQNGVSQSSPANLGELMSNKALESWLDEQPGSSNPGLPKQSQVCISSARISVGDVGKRVKQKSYSLLDPASGNGLKVDYSFSSEISSISRLLVCIEVFFKNCSSEIISEITLVDEESNRAFDSADKTAVVNESSLTSSDDVPTLVPMESIVSLEPGQTTRRILQVRFHHHLLPLKLALYCDGKKLPIKLRPDIGYFVKPLPMDVEVFIDKESRLPGMFEYARSCTFTDHIVELNKENGDSLLVKDKFLAICESLALKMLSNANLCLVSVDMPIATNLDDASGLRLRFSSEILSSSIPCLITLGVEGKCTDPLNLSVKVNCEETVFGLNLLNRIANFLVEPALS >KJB61857 pep chromosome:Graimondii2_0_v6:9:52426416:52429367:1 gene:B456_009G386500 transcript:KJB61857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVVGIANCLGTPVCKYLQYHRKLNDYVRNFKRIRDELNCKMEDIELQLKAELLRPLGKIPKKGVENWLKDVKEMIREAQVVENKVSNGRYLFRACNGKLIDEKSREMQEYLDKAPKASEGLAMDGPSAGLPLATSELVGEEAVRNEIWACLMQEEVSKIGVWGMGGVGKSTIMKHIHNDLLKEQRFERVVWVTISKEFNIVKLQNDIASALNGKMPEEANKVRRAAILSELVRNAEERRKAYDVWDKVSLEEVGIPEPSDSNGCKLVLTTRSEHVGKYMGCTVIKVKPLSAQQALTLFLSKVGPNIVQNQTLMPILRLVVEECAGLPLTIVVVASTLKGEEDLLIWKNAFKELKERIEKVEGVEAKVIERLKFSFDHLQDEKVKYCFLHCALFPEDFEIWKDVLIECWIEEGFIDDMGTRQEMKGKGHVILKKLEDNCLLENGSSGKMKMHDAVRDMALSITRMNPRYMIQAGLQLEELPKKEQWSPDIEKVSLMYNSISEVSIDVLPTKCQLLTTLLLQNNPIKKISISFFTNMPCLSVLNLSFTKIESLPNSISELKNLTTLLLRGCYQLRDLPCLSMLQELKKLDLCWTKIEEVPEGMDMLIKLRYLDLEVFTLKEIPAGLLPKLVHLQHLSFAGNNEKTSLKAKEMEPLKKLECLTGHFEDINEFNKFISSMQQSKKNLIKYYLQVGSSYMHDTRDKTVTIGGVQNWEGDLIMHPIEIQELNIVKCHYLRCLVDDNSSFKNAIDLRIYDCKGIECVVSLSSFASSSIHPFQSLEMLDLQHLPKLSALIMNDAGIGSATTSTLAPSTTFSHLKEITINSCSSMKMLLPHWLLPNLQNLEKIHVEACSQLVEILGAATSEVKEKGSDALIKFHLPKLRELELWRLPNVKSICSKSGVMVCDSLQLIQVFGDCDKLKRIPPFVPLVGNGQPFAYAPPSLIIRSSTEWWEWLEWDDDPNFKNVLQPLWKDE >KJB58433 pep chromosome:Graimondii2_0_v6:9:16241502:16244898:-1 gene:B456_009G209600 transcript:KJB58433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNNGSDSQSPTQPSQEQNQRQQQPLQSHPQWVAMQYPTTAMVMQHQMMQPQHFVAPPPPPQHYMPYHHHHHQYQHHHGNAQHAQQQQGSNDGGENKTIWVGDLHHWMDENYLHSCFASIGEISSIKVIRNKQTGLSEGYGFVEFFSHATAEKVLQNYSGILMPNMEQPFRLNWATFSMGDKHSENGPDLSIFVGDLAADVTDSLLHETFASKYPSVKAVKVVIDANTGRSKGYGFVRFGDDTERSLAMTEMNGVYCSSRPMRIGAATPRKSSSYQQQYSLQGGYASNSASSQSDGDSSNTTIFVGGLDPNVTEEDLRQPFSQYGEIVSVKIPVGKGCGFVQFAGRNNAEEALQKLNGTIIGKQTVRLSWGRNPTNKQVSIFYR >KJB58432 pep chromosome:Graimondii2_0_v6:9:16240671:16244977:-1 gene:B456_009G209600 transcript:KJB58432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNNGSDSQSPTQPSQEQNQRQQQPLQSHPQWVAMQYPTTAMVMQHQMMQPQHFVAPPPPPQHYMPYHHHHHQYQHHHGNAQHAQQQQGSNDGGENKTIWVGDLHHWMDENYLHSCFASIGEISSIKVIRNKQTGLSEGYGFVEFFSHATAEKVLQNYSGILMPNMEQPFRLNWATFSMGDKHSENGPDLSIFVGDLAADVTDSLLHETFASKYPSVKAVKVVIDANTGRSKGYGFVRFGDDTERSLAMTEMNGVYCSSRPMRIGAATPRKSSSYQQQYSLQGGYASNSASSQSDGDSSNTTIFVGGLDPNVTEEDLRQPFSQYGEIVSVKIPVGKGCGFVQFAGRNNAEEALQKLNGTIIGKQTVRLSWGRNPTNKQFRADYGNQWGGAYYGGAVYDGYGYAFPPPHNPGIYTAAYGVYPFYGSYQQQVN >KJB60204 pep chromosome:Graimondii2_0_v6:9:25504078:25504785:1 gene:B456_009G293700 transcript:KJB60204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGGVCRGGIVCLRRRDSFNLFNFHNQNARPRCFMVSGSQSSSGSRKEKMLASSKEKIDEWMRDSVAEIVKRLPESPLLVQVFSDVKNNTTITRTEKTEEDKWGLVKQKWEKGESPMPDGLIFVEQIQQGEEEEEGKEEVCNTRAWGIVVQGIGAAAPACYLLKTSKVGSGFGIRCTHFCLVRVKSFRETAFSQLKNCWLSQQAILEDNET >KJB61083 pep chromosome:Graimondii2_0_v6:9:38154328:38158313:-1 gene:B456_009G339100 transcript:KJB61083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGCVGKKQLDRGYQKWRWQPKNCEIPRFNVQEILGKLHGKRIVFVGDSLSRTQWESMICLLMQVFLVQPGSAPRHAPKRVKSTVKLDKLDDMSKEWIDSDFLIFNSGHWWTPTKLFDMGCYFQAGRSLKLGMGIASAFRTALETWVSWVESSVNRNRTRVFFRTFESSHWIGRNRNSCKVTRRPWLKTKGRDHSRISDIIIDVVKKMTTPVTVLHVTPMGAFRSDAHVGTWSDKQSVADCSHWCLPGVPDTWNEILLSMMLSKDGVTV >KJB61084 pep chromosome:Graimondii2_0_v6:9:38156257:38158226:-1 gene:B456_009G339100 transcript:KJB61084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNFEVEQCSFSKLRQFHERVLSFSDRFVKIHCKHCVSESISALIVIGLFLSFLLAMVCTYFHVFPIYQPAVRTYTVHESDDLVAKCNVFYGKWIPDESYPLYNASQCPFAERGFDCVVNGRRDRGYQKWRWQPKNCEIPRFNVQEILGKLHGKRIVFVGDSLSRTQWESMICLLMQGVQDKRNVYEINGNKITKRIRFLGVYFSSYNLRVDFYRSVFLVQPGSAPRHAPKRVKSTVKLDKLDDMSKEWIDSDFLIFNSGHWWTPTKLFDMGCYFQAGRSLKLGMGIASAFRTALETWVSWVESSVNRNRTRVFFRTFESSHWM >KJB61081 pep chromosome:Graimondii2_0_v6:9:38154212:38158347:-1 gene:B456_009G339100 transcript:KJB61081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNFEVEQCSFSKLRQFHERVLSFSDRFVKIHCKHCVSESISALIVIGLFLSFLLAMVCTYFHVFPIYQPAVRTYTVHESDDLVAKCNVFYGKWIPDESYPLYNASQCPFAERGFDCVVNGRRDRGYQKWRWQPKNCEIPRFNVQEILGKLHGKRIVFVGDSLSRTQWESMICLLMQGVQDKRNVYEINGNKITKRIRFLGVYFSSYNLRVDFYRSVFLVQPGSAPRHAPKRVKSTVKLDKLDDMSKEWIDSDFLIFNSGHWWTPTKLFDMQVDH >KJB61082 pep chromosome:Graimondii2_0_v6:9:38154328:38158226:-1 gene:B456_009G339100 transcript:KJB61082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNFEVEQCSFSKLRQFHERVLSFSDRFVKIHCKHCVSESISALIVIGLFLSFLLAMVCTYFHVFPIYQPAVRTYTVHESDDLVAKCNVFYGKWIPDESYPLYNASQCPFAERGFDCVVNGRRDRGYQKWRWQPKNCEIPRFNVQEILGKLHGKRIVFVGDSLSRTQWESMICLLMQGVQDKRNVYEINGNKITKRIRFLGVYFSSYNLRVDFYRSVFLVQPGSAPRHAPKRVKSTVKLDKLDDMSKEWIDSDFLIFNSGHWWTPTKLFDM >KJB61080 pep chromosome:Graimondii2_0_v6:9:38154192:38158347:-1 gene:B456_009G339100 transcript:KJB61080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNFEVEQCSFSKLRQFHERVLSFSDRFVKIHCKHCVSESISALIVIGLFLSFLLAMVCTYFHVFPIYQPAVRTYTVHESDDLVAKCNVFYGKWIPDESYPLYNASQCPFAERGFDCVVNGRRDRGYQKWRWQPKNCEIPRFNVQEILGKLHGKRIVFVGDSLSRTQWESMICLLMQGVQDKRNVYEINGNKITKRIRFLGVYFSSYNLRVDFYRSVFLVQPGSAPRHAPKRVKSTVKLDKLDDMSKEWIDSDFLIFNSGHWWTPTKLFDMGCYFQAGRSLKLGMGIASAFRTALETWVSWVESSVNRNRTRVFFRTFESSHWIGRNRNSCKVTRRPWLKTKGRDHSRISDIIIDVVKKMTTPVTVLHVTPMGAFRSDAHVGTWSDKQSVADCSHWCLPGVPDTWNEILLSMMLSKDGVTV >KJB60962 pep chromosome:Graimondii2_0_v6:9:34765181:34765738:1 gene:B456_009G333300 transcript:KJB60962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLELASTLAPSSPSRSADTGSALLPQVQLDNLAGNYPPSSMLNQRHQFFEQQHGYTGSSSHSITGFDSSYDSLVGRTQNLSINSKIATQQVNPEDSFFRDLLDFAKAMPSSPSKSNNNPC >KJB58888 pep chromosome:Graimondii2_0_v6:9:18088407:18093558:1 gene:B456_009G230200 transcript:KJB58888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQFFVLSQRGDNIVFRDYRGEVAKGSAEIFFRKVKFWKEDGQEEAPPVFNVDGVNYFHVKVVGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGVLSEDSLRKNFVLVYELLDEVIDFGYVQTTSTEVLKSYVFNEPIVVDAARLQPLGPAAIFMQGTKRMPGTAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNEDLSIGRGGGTIYDYRSSSGSGAVILDDCNFHESVRLDSFDMDRTLSLVPPDGEFPVMNYRMTQEFKPPFRINCLIEEAGQLKAEVIIKVRAEFPSNITANTIAVQMPLPKYTTRASFELEPGAVGQRTDFKEANKKLEWGLKKIVGGSEHTLRAKLTFSQESHANLTKEAGPVSMTFTIPMYNVSRLQVKYLQIAKKSSSYNPYRWVRYVTQANSYVARI >KJB58887 pep chromosome:Graimondii2_0_v6:9:18088407:18093527:1 gene:B456_009G230200 transcript:KJB58887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCSYEKILIGSAFFFHQDFGYVQTTSTEVLKSYVFNEPIVVDAARLQPLGPAAIFMQGTKRMPGTAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNEDLSIGRGGGTIYDYRSSSGSGAVILDDCNFHESVRLDSFDMDRTLSLVPPDGEFPVMNYRMTQEFKPPFRINCLIEEAGQLKAEVIIKVRAEFPSNITANTIAVQMPLPKYTTRASFELEPGAVGQRTDFKEANKKLEWGLKKIVGGSEHTLRAKLTFSQESHANLTKEAGPVSMTFTIPMYNVSRLQVKYLQIAKKSSSYNPYRWVRYVTQANSYVARI >KJB59598 pep chromosome:Graimondii2_0_v6:9:21721801:21735488:-1 gene:B456_009G262900 transcript:KJB59598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINMKTLTQAFAKTAAVIEKTVQTTVQEVSGPKALLDYELLHQIGSAGPGLAWKLYSAKARDATRPLQYPTVCVWLLDKKMLAEARARAGISKIVEDSFFDLIRADAARLVRLRHPGVVHVVQALDENKNAMTMVTEPLFASVANAIGNVENVAKVPKDLKGIEMSLLEVKHGLLQIAESLEFLHTNARLIHRAISPENVLITSSGAWKLGGFGFAISTDQASSDFVKAFHYSEYDTEDSVMPLQPSLNYTAPELVRSKSSSVGWSSDIFSYGCLAYHLVARKPLFDCNNNVKMYMNTLTYLSSEAFSSIPPELIYDLQRMLSANESTRPSALDFTGSPFFRDDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLQYKVLPPLCAELRNLVMQPMIVPMVLKIAESQGKTDFELVTFPALVPVMSIAAGETLLLLVKHADLIINKTTSEHRVSHVMPMLVRAYDDGDPRIQEEALRKSLFLAKQLDMQLVKEAILPRIHGLALKTTVAAVRVNALLCLADFVQTLNKHAVLEVLQTIRRCTAVDLSAPTLMCTLAVSSSILKQYGVEFAAEHVLPLLTPLLTAQQLNIQQFAKYMHFVKDILSKIEEKRGVTLTDSGIPEVKHSTAANGLQSQALSKTSATVSCAANAKSSPSWDEDWGPTARGAANAGASVSATVQQASQNNSSINPILGDKSIQSAPVQTEPSVISTVSSQQMPVSCAAVDIEWPPRAPLGVTAESGNVEKQFNAGTSSPSNFDDLDPFSNWPPQPSASNGFGTFNNGTMGPVTNNYGSNSITSTFPESKSNSWAFSNQNSGELLRPNPVDSTPNASILNTGGFQNSIGFLKQNQGNSVSMSSSYNNQKSLDLGSIFSTSKNEQAAPKLAPPPSAAVGRGRGMGRARVTHAKSSSQQPPILDLL >KJB55386 pep chromosome:Graimondii2_0_v6:9:5269208:5281172:-1 gene:B456_009G073700 transcript:KJB55386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSNPDSTASFGHGDTSVSCLKPENCFVDSLSLVHSEKAVEELLQQTPVEGMDEHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRYELEKTRNIEMERKAQIAEQNGNIDCGRVKNSDKQLMQQNELDKIFDECCMKHGICSHEVLRDRGSDSSSNVDRSKMMRKASFKLSWWCKGENGDQHKHDIVSFEKGNITTAERSSKQISLKWESDPQTVLILTKPNSVSVRILCAQMVRWLKEKKKLNIYVEPRVRAELLTESSDFNYVQTWKDDQEILLLHTKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFHSEHFKECLDSVLRGPVSITLRHRLLCRVIRDAAKNEYETEEPLLVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRIVVPFNSRSPAWASFDGKDRKQLAAGDALLCSMAPCPVPTACQVDSTSDFLSSIQDGLHWNLRKTQSFDGPRELQDIIQI >KJB55385 pep chromosome:Graimondii2_0_v6:9:5269208:5277203:-1 gene:B456_009G073700 transcript:KJB55385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNELDKIFDECCMKHGICSHEVLRDRGSDSSSNVDRSKMMRKASFKLSWWCKGENGDQHKHDIVSFEKGNITTAERSSKQISLKWESDPQTVLILTKPNSVSVRILCAQMVRWLKEKKKLNIYVEPRVRAELLTESSDFNYVQTWKDDQEILLLHTKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFHSEHFKECLDSVLRGPVSITLRHRLLCRVIRDAAKNEYETEEPLLVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRIVVPFNSRSPAWASFDGKDRKQLAAGDALLCSMAPCPVPTACQVDSTSDFLSSIQDGLHWNLRKTQSFDGPRELQDIIQI >KJB55387 pep chromosome:Graimondii2_0_v6:9:5269220:5280086:-1 gene:B456_009G073700 transcript:KJB55387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRYELEKTRNIEMERKAQIAEQNGNIDCGRVKNSDKQLMQQNELDKIFDECCMKHGICSHEVLRDRGSDSSSNVDRSKMMRKASFKLSWWCKGENGDQHKHDIVSFEKGNITTAERSSKQISLKWESDPQTVLILTKPNSVSVRILCAQMVRWLKEKKKLNIYVEPRVRAELLTESSDFNYVQTWKDDQEILLLHTKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFHSEHFKECLDSVLRGPVSITLRHRLLCRVIRDAAKNEYETEEPLLVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRIVVPFNSRSPAWASFDGKDRKQLAAGDALLCSMAPCPVPTACQVDSTSDFLSSIQDGLHWNLRKTQSFDGPRELQDIIQI >KJB55384 pep chromosome:Graimondii2_0_v6:9:5269208:5275324:-1 gene:B456_009G073700 transcript:KJB55384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQIAEQNGNIDCGRVKNSDKQLMQQNELDKIFDECCMKHGICSHEVLRDRGSDSSSNVDRSKMMRKASFKLSWWCKGENGDQHKHDIVSFEKGNITTAERSSKQISLKWESDPQTVLILTKPNSVSVRILCAQMVRWLKEKKKLNIYVEPRVRAELLTESSDFNYVQTWKDDQEILLLHTKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFHSEHFKECLDSVLRGPVSITLRHRLLCRVIRDAAKNEYETEEPLLVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRIVVPFNSRSPAWASFDGKDRKQLAAGDALLCSMAPCPVPTACQVDSTSDFLSSIQDGLHWNLRKTQSFDGPRELQDIIQI >KJB55704 pep chromosome:Graimondii2_0_v6:9:6564873:6565573:-1 gene:B456_009G089900 transcript:KJB55704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEGKKAEKAPTEKKPRAEKKLPKEAGDKKKKRPKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KJB60537 pep chromosome:Graimondii2_0_v6:9:46787756:46788156:1 gene:B456_009G356900 transcript:KJB60537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNVCSAVLVLFLTCGAAMASKENDQIIKENNCETKMGLPCVLEAFTCIFETGSISNKCCGELVGLGKVCHSALVKRTLENPLFKDLSPATIIAKSIQTWNNCLALVDSPSPSAEGYMIA >KJB56061 pep chromosome:Graimondii2_0_v6:9:7593844:7596220:1 gene:B456_009G104700 transcript:KJB56061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIELQQPTATGSNKNGDHESQSQMLSPGHKRGGWFTFFFVSATLTGLMIAGWGWLTNLIVYLIEEFNVKSIDATQISNVVNGSINLIPIIGAVLADSFLGSFHVVSISSFFSLLGIIALTLTATLSHLRPQHCGTGSTLCHAPSKLQLAVLYTGIALASIGLGVVRFTLASLGANQFDSPEDQGVFFNWFFFIFYSACVVSSLGIVYVEDSISWGLGFGMCAAFNFLGLVIFFLGNRFYRHDKPQGSPYTSLARVIVAAIRKRNVLVSSESKYYYHEINDGANKAIAATPKRSFXXXXXXXXXXXXXXXXXXXXIPAGSITVVVLISSAIFIALFDRFLYSTWQSLTGRSLTPLQRIGAGHAFNILSMAISALVESKRLNVAHDNHLQDQQGGAAAVVPMLALWLFPQLIVVGMGEAFHFPGNVSLYYQEFPVSMKSTATSMISIVIGVAFYVSTAVVDLIRNVTGWLPDDINDGRLDNVYWTFVVLGLLNYGYFLLCAKFYKYQNLEKEAEANTQI >KJB55322 pep chromosome:Graimondii2_0_v6:9:5004932:5009912:1 gene:B456_009G070700 transcript:KJB55322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLPDPASSLSVDSVADSLKSQSLREQGNDRINKENKNKKVKLSLEDLNWDHSFVRELPGDPQSDSIPRQVFHACYTKVLPSAEVENPELVAWSDSVAGLLDLDSHEFDRPDFPLKFSGASPLTGAVPYAQCYGGHQFGTWAGQLGDGRAITLGEILNSKSERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHFLGIPTTRALCLVTTGKLVTRDMFYDGNPKEEPGAIVCRVAQSFLRFGSFQIHASKGEEDLGIVSTLADYAIRHHFPRIENISKSETLSFSTGDNGQSVVDLTSNKYAAWVVEIAERAASLVARWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPSYTPNTTDLPGRRYCFANQPDICSWNITQFASTLMAAHLISDKEASYAVERYGTKFMDEYQAIIMQKLGLQKYNKQLVNKLLNNLAVDKVDYTNFFRSLSNIKADPGIPEGELLVPLKAVLLDIGKERKEAWASWVQSYIQELVASGISDEERKASMDLVNPKYVLRNYLCQSAIDAAEIGDFGEVRRLLKVMERPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >KJB60124 pep chromosome:Graimondii2_0_v6:9:25032518:25035337:-1 gene:B456_009G290600 transcript:KJB60124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQNLIERTCKQTPFYDLCIWSLKSNPESRDASVKKLAQIMVDSLKTKATETLDLIDELLQDGLALDPEMQKALTSCAERYNVIIRGDVPEINEALKTGDYKFAAKGANDAAIEANSCEIEFPTKSPLTDMNKVVHDVSVVAASIVKIIQTKFSF >KJB62024 pep chromosome:Graimondii2_0_v6:9:54877044:54879712:-1 gene:B456_009G396500 transcript:KJB62024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NFDDLGCCFQNFHLKSINFKDEAVKKVENVMESYGYNHTPDTDKESKVFALPESCKNVNDNNILQTSNSLSENVNDNNVLQTSNSRSEKESHSDFSELGGQQYQAALKLQKVYRSFRTRRQLADCAVVAEQQWWKLLDFVELKRSSISFFEIEKPESAVSRWFRARKRAAKVGKGISKDEKARKLALQHWLEAIDPRHRYGHNLQFYYAKWLHCESKQPFFYWLDIGEGKEVLIENCPRSKLQHQCIKYLGPTERENFEVVIRSEKLVYKMSEILLDTTGGPEDVKWIFVLSVSKKLYVGLKKKGTFQHSSFLAGGATLSAGRLVVENGVVKAIWPHSGHYLPTEENFQEFISFLREHNVDLTNVKKSPYEEEETNSKKNNSLRSNEATVGGSQQQTEATNNESSGQENSGTRKEDPTDTARNVANKLTSSLSRVFLSKLSKLRIQKIHDVIGMIKTKTSSPSRRLEHQALSPSTEDGYETAEEILPEEEFLCTKINLFGEEDDEEDRKPIPKEKIMKRIDSHKGLKSYQLAQQLSSKWSTGAGPRISCMRDYPSELQFRVLEQAYLTPRSRNVNASPRTTSRFSPSVLTPTSLCK >KJB61325 pep chromosome:Graimondii2_0_v6:9:46556043:46556327:1 gene:B456_009G356100 transcript:KJB61325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENLRGTKIATSKRRCSLFKKAAELSTLCGARVAIVVISKKGKVSMFPDSDTVIHRYINRKNKEEFMNNEVAEESVDQSLSDQNLSLTLPAN >KJB59335 pep chromosome:Graimondii2_0_v6:9:20288100:20289503:1 gene:B456_009G250000 transcript:KJB59335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCISKCKPKKCYIEDFGHVQDKIVISSSQPPKTPVPAVSKKISPLPLSPTISSSSISSFTCSTVNTATSSCSSVSSSASVLSSKDRSFSNEFLWACVKENPHIVRINSIKEASRTLAATRSPSHIPEYSPVKPVSGKQAIPAREKGSTPQKRGRSSSPSTLTRQKSFRKDPDLRLNSPYNNYLPSRGLRSPSPSRRFNGDNNNSSSNYRGLLASTSKDMCSSKRIVGPKVNALNSVSPSQRREHLRVSSPKLNSYDRNSPLKSCLRNRETFVHRISSKIDETALRAAFSSPQQENESISMEDMDNPLISLDCFIFL >KJB60540 pep chromosome:Graimondii2_0_v6:9:28941394:28942556:-1 gene:B456_009G311100 transcript:KJB60540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGRWKTMDHYLTVKKWKPNFHPETAVVWSTVIWIYLPGLPLEYFHESALIDVGKLVGKPIKVDSNTSLATRGKFARICVEVDLSKPLLSQVRIGNFAQNIEYEGLRTVYFSCGCFGHRLESFLSSSGTKGAGVAGGCGHDGGTHGNGKFGRR >KJB55410 pep chromosome:Graimondii2_0_v6:9:5366433:5371753:1 gene:B456_009G074900 transcript:KJB55410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVQIDKMSAPSREHAQRLYEKNVELENNRRRSAQARVPSDPSAWQQMRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRSHHSAALASSGSNTSQGVQVPPRPDRLTKIRLQFKTFLSEATGFYHDLILKIRAKYGLPFGYFSDDSESQIIMGKDGKKSADIKKGLVSCHRCLIYLGDLARYKGLYGDGNSKSREYAAASNYYLQAASIWPSSGNPHHQLAILASYSGDELVAIYRYFRSLAVDNPFSTARDNLIVAFEKNRHNYSQLHGDVKGPFKEPAVRLSGKGRGKLEAKLASKDANMEPNPAKEKVSGVQDIFKSFCIRFVRLNGILFTCTSLETFADVLTRVSRDLCALLSSGPEEELNFGTGAAENALLLVRLVAILIFTVHNLKRESEGQTYAEIVQRAALLQNAFTAVFELMGHVIERCSQLRDVSSSYTLPSILVFLEWLACCPDIAAAGSDVDEKQSITRSLFWKHCVSLLNKILSIRPRCMDDDEDETCFFNMSRYEGETENRLALWENFELRGFLPLAPAHSILDFSRKCSFVSDGNKERKARVKRILAAGKALANVIRVDQKTVCFDSKAKKFLIGVEPFADVTVSSATPVVTNSVVHESPSENITNIGNVQPIPQPRMVGEEDDDDDEVIVFQPAMNEKRTEVMDHNHPPSDILKLDLSSSAGDLKFYGSTMPAPYDSLHQHDTFDASHPLPVSIGSFLPQHLQPVQMHGSRWSLEEATSLANSLKGLKMLENGHLTKSQMEDNLGFSHSAAHSVAIQLPINVHASGMYCSRTKISETVMPSRINAIVSSEVTGDDLAARTTSASLVGMQKNPISRPVRHLGPPPGFSPVPLKALNESVSAVELRNPPMDDYSWLDGHQLASSLEGSMRESSLCYSSHADPQHVNNRSNGFTGTVSFPFPGKQAPAVQFHMEKDKGWQDYNTLEHHHEQKSHQQQPVNGNQQFSSLPVQYPGQSAWTGPYFV >KJB57054 pep chromosome:Graimondii2_0_v6:9:11139656:11141013:1 gene:B456_009G146500 transcript:KJB57054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNLSLKRFDVNKGAWTAEEDRKLAEVIAVHGAKRWKTIPTIAGLNRCGKSCRLRWMNYLRPNIKRGNISDQEEDLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKVNQKEKHSGASARQGCKFAQQRLVENAKEQVREENTSTGFEESNISFDVDDFFDFSKVDTRNFEWVNRFLEVDDGFKF >KJB54250 pep chromosome:Graimondii2_0_v6:9:2060046:2063309:-1 gene:B456_009G027000 transcript:KJB54250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDNGLELSLGLSCGASSAKARGKISSSSDTRTEEGDRGVKIVDNFKNFLQAGNEKQDPSVGSQRSDPIKPSENFFNDLSKGNGEVEATVNLNGRGLWGTNSNRSAEIDEDKRSETGSKRKMLFNEINNPKKLEREAHHTDLHEKSKTSHISLTEDGSTAENEDVAESEVEGSTSRLVSHHDDGSKRFMGVSGSAEVPKEVKLGNLNYGNSFQVQSVNVMNAPFSLPMKDSNSVGIPSSSGHTMPGMMQMMPTGNSERSGTQSVNPGNLPVMFGYLPVQLPLLDKDNPWGMVSHPPQFQASYAGRSPPNADKQSDGLKISQASMHTIARNSSEAAQYDGRTFERVKGEGKQHGVEEGSSTRAEEDVKGSSVNLRANATSDRQTAEALNLDFSAIKPGIAADLKFGGSGSYPNLPWVSTTGTGPHGRTISGVTYRFSTNQIKIVCACHGTHMSPEEFVRHASEECTNPDNNNGLATFPGTNPAASSQS >KJB54251 pep chromosome:Graimondii2_0_v6:9:2060513:2062340:-1 gene:B456_009G027000 transcript:KJB54251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDNGLELSLGLSCGASSAKARGKISSSSDTRTEEGDRGVKIVDNFKNFLQAGNEKQDPSVGSQRSDPIKPSENFFNDLSKGNGEVEATVNLNGRGLWGTNSNRSAEIDEDKRSETGSKRKMLFNEINNPKKLEREAHHTDLHEKSKTSHISLTEDGSTAENEDVAESEVEGSTSRLVSHHDDGSKRFMGVSGSAEVPKEVKLGNLNYGNSFQVQSVNVMNAPFSLPMKDSNSVGIPSSSGHTMPGMMQMMPTGNSERSGTQSVNPGNLPVMFGYLPVQLPLLDKDNPWGMVSHPPQFQASYAGRSPPNADKQSDGLKISQASMHTIARNSSEAAQYDGRTFERVKGEGKQHGVEEGSSTRAEEDVKGSSVNLRANATSDRQTAEALNLDFSAIKPGIAADLKFGGSGSYPNLPWVSTTGTGPHGRTISGVTYRFSTNQIKIVCACHGTHMSPEEFVRHASEECTNPDNNNGLATFPGTNPAASSQS >KJB60461 pep chromosome:Graimondii2_0_v6:9:27771720:27772195:-1 gene:B456_009G306000 transcript:KJB60461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNLEIQIEDKNSKFPKNLWLLLPKLPFNRKRSVEVVIEKKPNGKQLSDEKKIINKPADEVKFSEPRPAVPPPLTLEADQTGRTSNRVILWQDDYPLH >KJB55811 pep chromosome:Graimondii2_0_v6:9:6971029:6972461:1 gene:B456_009G095900 transcript:KJB55811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFALLPQITLVVLLVIADQSIALSFASLTNVYGRQNGDVKAGFSKHSTALQSVFMKDGMEYGRSPPLYVYPPSSLPPQSPPTIRYPPPSQDYPPPIYRLPPPIQLSPPIESHPPPTQYSPPAQGRTPPTQAHSPPTQYPPPTQTHPPPTQGCTPPTQAHPPPTQFTPPTQAHPPPRQYPPPIQAHPPPRQCPPPTQTHPPPTQTPPPRQYPPPTQAHPPPRLYPPPIQAHPPPTQTHPPPTQYPPPTQAHPPPTQTHPPSRQYSPPIQTHPPPMQYTPPTKGCEPPTQAHPPPRQYSPPTQTHPPPTQYSPPTQSHPPPMQYSPPTQIHPQPPQSPPSYGPPYQYPPPSGGYSPPYFAVSVP >KJB62619 pep chromosome:Graimondii2_0_v6:9:66846774:66848738:-1 gene:B456_009G426400 transcript:KJB62619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRLPLNEKNILILNNNMLYKVESDGVWLNIFGLLLCLFDRSYFALVAKWFLGCLISVSSILHDEFLFLMIICYIKSNPMLLLLNMFGLFSGLFDKQSFMSDLDVQVPTAFDPFADANAEDSGAGAKEYVHIRVQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKENIKIHGF >KJB57972 pep chromosome:Graimondii2_0_v6:9:14456515:14459838:1 gene:B456_009G188300 transcript:KJB57972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKPQKVNMFIKKWSELDWRLLFLVVVPLSFLFFVSSLTSTHLNSVVSLRSFIFSNLSDFISLHPHSANAVRSLDRSRIAVCLVGGARRFELTGPSIVEKVLKRYPNSDLFLHCPMDKNAFKLSLLRTAPRLASVRIFEQKLVPETGEQVRVLTAANSPNGIQGLLQYFNLVEGCITMIESHQKQHGFTYDWIVRTRVDGYWSAPLHPRHFVAGRYTVPSGSVYGGLNDRLGIGDFFTSKIALSRLALIPEIDKAGYRQLNSESAFKAQLTTLNISYAENRLPFCVVTDRTYEFPPARLGVPVAAMSSLGPLSGAKCRPCSPVCKDGCVANVMSSLDKGWSWTDWGNGTLELCNAQGEWEKGWEKIFDRVAGQKLAQERKRVKSLKLEECIGDFREMKKKAFKWEAPTPEEICGLGLGVSQN >KJB57973 pep chromosome:Graimondii2_0_v6:9:14456688:14458839:1 gene:B456_009G188300 transcript:KJB57973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKPQKVNMFIKKWSELDWRLLFLVVVPLSFLFFVSSLTSTHLNSVVSLRSFIFSNLSDFISLHPHSANAVRSLDRSRIAVCLVGGARRFELTGPSIVEKVLKRYPNSDLFLHCPMDKNAFKLSLLRTAPRLASVRIFEQKLVPETGEQVRVLTAANSPNGIQGLLQYFNLVEGCITMIESHQKQHGFTYDWIVRTRVDGYWSAPLHPRHFVAGRYTVPSGSVYGGLNDRLGIGDFFTSKIALSRLALIPEIDKAGYRQLNSESAFKAQLTTLNISYAENRLPFCVVTDRTYEFPPARLGVPVAAMSSLGPLSGAKCRPCSPVCKDGCVANVMSSLDKGWSWTDWGNGTLELCNAQGEWEKGWEKIFDRVAGQKLAQERKRVKSLKLEECIGDFREMKKKAFKWEAPTPEEICGLGLGVSQN >KJB59852 pep chromosome:Graimondii2_0_v6:9:23152363:23154213:1 gene:B456_009G276200 transcript:KJB59852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAWAIAVHGGAGVDPNLPKERQEEAKRLLTRCLDIGISALRSNLPAIDVVELVVRELETDPLFNSGRGSALTEKGTVEMEASIMDGPKRRCGAVSGLTTVKNPVSLARLVMEKSPHSYLAFSGAEEFAKKQGVEMVDNEYFITEDNVGMLKLAKEANSILFDYRIPAVGTCGAGAAMDSPLQMNGLPISVYAPETVGCVVVDKQGRCAAATSTGGLMNKMSGRIGDSPLIGSGTYASELCGVSCTGEGEAIIRSTLAREVSAVMEYKGLNLHEAVDYVIKNRLDEGKAGLIAVSKDGEVACGFNTTGMFRGCATEDGFMEVGVW >KJB59439 pep chromosome:Graimondii2_0_v6:9:21187640:21188233:-1 gene:B456_009G257000 transcript:KJB59439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNQLCLVLVVFLSIFSLSSLPTNAIIPKANVSLPVPSSQLVENLCNGKAVENRRFCLQALSTPKIIAAMDTTQLGTLIMKLGAANAKATLNVYNEIIKKPGSPQALKALNCCVEAYKYAILSFEMVSSELVEDPQTANYDVAVIGPEIANCEKELINAKVQAPRLLAGNRFMKYYVSMGYEITSTLELENPNDY >KJB60800 pep chromosome:Graimondii2_0_v6:9:32618033:32624027:1 gene:B456_009G326400 transcript:KJB60800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSTVQSLITNSRFSSLYLSTNKVFLPSCQLLKYRFSPSSRSSCLAFSSLGDKKEAWVPMGMLSAKPLTFTGWNQNMRRRCQVEFPVASAAAADADADGLETEISEGHAKPSKSFAERFPALVTGFFFFMWYFLNVIFNILNKKVYNYFPYPYFVSVIHLVVGVAYCLVSWSVGLPKRAPIDKELLILLTPVAFCHALGHVMSNVSFAAVAVSFTHTIKALEPFFNAAASQFVLGHQIPLSLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNIAFTYRSIYSKKAMTGMDSTNVYAYISIIALFFCLPPAIFIEGPQLMQYGFRDAIAKVGLIKFLSDLFWIGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTAIAIAGVAIYSLIKANMEEQKRKAALSAAS >KJB60801 pep chromosome:Graimondii2_0_v6:9:32618462:32621790:1 gene:B456_009G326400 transcript:KJB60801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSTVQSLITNSRFSSLYLSTNKVFLPSCQLLKYRFSPSSRSSCLAFSSLGDKKEAWVPMGMLSAKPLTFTGWNQNMRRRCQVEFPVASAAAADADADGLETEISEGHAKPSKSFAERFPALVTGFFFFMWYFLNVIFNILNKKVYNYFPYPYFVSVIHLVVGVAYCLVSWSVGLPKRAPIDKELLILLTPVAFCHALGHVMSNVSFAAVAVSFTHTIKALEPFFNAAASQFVLGHQIPLSLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNIAFTYRSIYSKKAMTGMDSTNVYAYISIIALFFCLPPAIFIEGPQLMQYGFRDAIAKVGLIKFLSDLFWIGMFYHLYNQVCIA >KJB60802 pep chromosome:Graimondii2_0_v6:9:32618462:32623726:1 gene:B456_009G326400 transcript:KJB60802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYFLNVIFNILNKKVYNYFPYPYFVSVIHLVVGVAYCLVSWSVGLPKRAPIDKELLILLTPVAFCHALGHVMSNVSFAAVAVSFTHTIKALEPFFNAAASQFVLGHQIPLSLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNIAFTYRSIYSKKAMTGMDSTNVYAYISIIALFFCLPPAIFIEGPQLMQYGFRDAIAKVGLIKFLSDLFWIGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTAIAIAGVAIYSLIKANMEEQKRKAALSAAS >KJB58395 pep chromosome:Graimondii2_0_v6:9:16171056:16175845:1 gene:B456_009G208500 transcript:KJB58395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETENHHHHHPPPPQAAAPPAAPPNLALSRGPTWTPAEQLHQLQYCIHSNPSWPQALLLAFQHYIVNLGTTVLIASNIVPLMGGTHGDKARVIQVLLFMSGINTLLQTLIGSRLPTVMGASIAYTLPLFSIINDYNDEDFASPHDRFVHSMRTIQGSMIVSSFLNIILGYGRAWGELTRFFSPIVVVPVVCLVGLGLFARGFPLLGNCVEIGLPMLILLMICQQYLKRIHSRAHLILERFALLLCIGIVWAFAAILTVSGAYNNVKPATKQSCRTDRSFLMSSAPWIKIPYPFQWGTPIFRASHVFGMLGAALVSSAESTGTFFAAARLSGATAPPAHVLSRSIGLQGIGMLLEGLFGSLVGTTASVENVGLLGLTHIGSRRVVQISTAFMIFFSIFGKFGAFFASIPLPIFAAIYCILLGIVAASGITFIQFANSNSMRNIYVLGVSLFLGLSIPQYFVTSRTFDGHGPVRTNAVWFNDILNTIFSSPATVAIIVGTLLDNTLEANHVEDRGIPWWKPFQHSKGDVRTEEFYSYPLRINEYLPSRFL >KJB58396 pep chromosome:Graimondii2_0_v6:9:16171106:16175791:1 gene:B456_009G208500 transcript:KJB58396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETENHHHHHPPPPQAAAPPAAPPNLALSRGPTWTPAEQLHQLQYCIHSNPSWPQALLLAFQHYIVNLGTTVLIASNIVPLMGGTHGDKARVIQVLLFMSGINTLLQTLIGSRLPTVMGASIAYTLPLFSIINDYNDEDFASPHDRFVHSMRTIQGSMIVSSFLNIILGYGRAWGELTRFFSPIVVVPVVCLVGLGLFARGFPLLGNCVEIGLPMLILLMICQQYLKRIHSRAHLILERFALLLCIGIVWAFAAILTVSGAYNNVKPATKQSCRTDRSFLMSSAPWIKIPYPFQWGTPIFRASHVFGMLGAALSTGTFFAAARLSGATAPPAHVLSRSIGLQGIGMLLEGLFGSLVGTTASVENVGLLGLTHIGSRRVVQISTAFMIFFSIFGKFGAFFASIPLPIFAAIYCILLGIVAASGITFIQFANSNSMRNIYVLGVSLFLGLSIPQYFVTSRTFDGHGPVRTNAVWFNDILNTIFSSPATVAIIVGTLLDNTLEANHVEDRGIPWWKPFQHSKGDVRTEEFYSYPLRINEYLPSRFL >KJB60477 pep chromosome:Graimondii2_0_v6:9:28073388:28079655:-1 gene:B456_009G307300 transcript:KJB60477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAGGGDNGGGGGNCCMKWWQHNRNHYYHQHHYNHNSNSSGNSNSASGGGGGGGRMVAAGFVFCLVCFVINGLIAVLYGWLILTPPVSLNERKGLPWLGCQEDNEGSWAIGVFFGQSPFSLKPIETTEIWRNESAAWPVANPVITCASASDSGFPSNFVADPFLYVQGDVFYLFYETKNSFTMQGDIGVAKSIDKGATWQQLGIALDEEWHLSYPYVFNYLGQVYMMPESSQKGELRLYRVTNFPLEWELDRVIMKKPLIDSFIIDHNGEYWLFGSEHNSFGTTNGRLEIWYSNSPLGPWKPHKKNPIYNTYRNFGARNGGRPFRYNGNLYRIGQDCGETYGRRVRIFKVEVLSRVDYKEVEVPFPFEESSKGRNAWNGARYHHLDVQQLKSGEWVGVMDGDRVPSGDSVHRFLLGCASVAAVSGLILFLGVLLGAVNCIIPLNWCADYSGKRSDTLIAWERANVFSSKLRRVFSRLNRVPSFLRSWIKPNTFAGRSVLTLIFALGVVLSCTGVTFIYGGSGAEEPYSWKGQFSQFTLLTMTYDARLWNLKMFVNHYSRCASVKEILVVWNKGIPPKVSDLNSAVPVRIRVEDLNSLNNRFKVDPLIKTRAVLELDDDIMMPCDDVERGFMLWRQHPDRIVGFYPRYVDGSRLEYSGEKYARKNKGYNMILTGAAFMDSQVAFERYWSEQAKPGREVVDKYFNCEDVLMNFLYANASSSKTVEYVRPAWAIDTSKLSSAAISRDTNVHYKIRSECLRKFSDMYGSMSGRRWEFDSRKDRWDV >KJB55902 pep chromosome:Graimondii2_0_v6:9:7253644:7255994:1 gene:B456_009G100300 transcript:KJB55902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDLLTSLSIENHHPSTVLSMDSSSVSHEELDREMNRPIALSRPPDINLIPLSAEPSPPQSWNSDPLDMLDVGLGTQVNEVDTLVTVSKSGRKCAKRLDSVWGAWFFFTYYFKPVFNEKSKVKVTRDGSGVSGFDKSDLELDVFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSTNKGFIRSHRMQRKHYRGLSNPQCVHGIEVVPSPNLKGLDEEDQKRWIELTGRDLNFTIPPEASEFCTWRNLTSTEFELDRPLPPLKTHPQPHQKKLLNGSGLNLSTRPSNHANGCVMDLSPVSNKRKKDLFLNGDDEDCCLLINQHNDRVNDTEMHPIEPPWLNEFSGVMRNVYGPVTAAKTIYEDEEGYLIIISLPFADLKRVKVSWWNNLTHGVVKISSMSTACMPFIERNDRTFKLTDPSPEHCPPGEFIREIPLPTRIPDDAKLEAYGDETGTGLEIIVPKHRTGPEEHEVRVCLRPHLGSKELLLS >KJB55901 pep chromosome:Graimondii2_0_v6:9:7253644:7255994:1 gene:B456_009G100300 transcript:KJB55901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDLLTSLSIENHHPSTVLSMDSSSVSHEELDREMNRPIALSRPPDINLIPLSAEPSPPQSWNSDPLDMLDVGLGTQVNEVDTLVTVSKSGRKCAKRLDSVWGAWFFFTYYFKPVFNEKSKVKVTRDGSGVSGFDKSDLELDVFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSTNKGFIRSHRMQRKHYRGLSNPQCVHGIEVVPSPNLKGLDEEDQKRWIELTGRDLNFTIPPEASEFCTWRNLTSTEFELDRPLPPLKTHPQPHQKKLLNGSGLNLSTRPSNHANGCVMDLSPVSNKRKKDLFLNGDDEDCCLLINQHNDRVNDTEMHPIEPPWLNEFSGVMRNVYGPVTAAKTIYEDEEGYLIIISLPFADLKRVKVSWWNNLTHGVVKISSMSTACMPFIERNDRTFKLTDPSPEHCPPGEFIREIPLPTRIPDDAKLEAYGDETGTGLEIIVPKHRTGPEEHEVRVCLRPHLGSKELLLS >KJB55325 pep chromosome:Graimondii2_0_v6:9:5030905:5033772:1 gene:B456_009G071000 transcript:KJB55325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGIFPLISFLLLLPIWADAQTVGNVTVGASLSAHENSHPWVSPSGDFAFGFHQLSNNKNLFLLAIWYNKIPENTLVWYANGDSPAPRGSKLQLADRGFVLNSPQGELLWNSETRSGVVDSGIMDDSGNFKLLGGSSILWESFKDPADTVLPSQILDKGVALSSRQSETNFSKGRFQMVLQSDGDLVLATVNLPSKHVNDPYYKSGTAGDPNSSSPGFQLVFNESGYLFVSRENEERSVLTPTITGSAKDFYYRATLDFDGVFTLYSHPKASTGKIASWTALWSEPDNICTAAPVGASSGVCGFNSICSLNAEKRVSCGCPRGYTLIDPSNQYGNCKPNFTQNCEQEAAPAEDLYEFEELTNVDWPLADYALLEPFTEDQCRESCLHDCMCAVAIFRLGDKCWKKKLPLSNGRLDPGLDGGKALLKVRKGGRPPCCPYFPNQETQKNKNKETLILALSALGGSVFLNFILISVTCLGFYCIYQKKHKPLPVNEVIVETNLRSFTYKELVDATNDFKEELGRGAFGIVYKGTLQMSYVTQVAVKRLINTLVQGYHDKEFKTEVNVIGQTHHRNLVRLLGFCDDGDNRLLVYEYLSNGSLASFLFGGSRPSWSQRIQIALGIARGLLYLHEECSTQIIHCDIKPQNILLDEHYNAKISDFGLAKLLVMNQSHTNTVIRGTKGYVAAEWFRNLPVTVKVDVYSFGVLLLELTCCRRSVDMESDMEERAILTDWAYDCYCEGTLDALVENDIDALNDIAKVERFVQVAIWCIQEDPSLRPTMRVVSQMLEGVVEVPIPPCPCPYAFTA >KJB53918 pep chromosome:Graimondii2_0_v6:9:1087061:1088546:-1 gene:B456_009G013300 transcript:KJB53918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHLORORESPIRATORY REDUCTION 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47910) UniProtKB/Swiss-Prot;Acc:O82258] MATALNPLLPLSPSLKHNINNPSSSPWISFKPISALMPNLPISHFTRQRGQFAPSVSFNPSGNFDLSLYGDEDDSSQAEPPMPPSEGRLEVIIDNDVIRRLDLSPFQSATGITSPLSAEPKEYLEKTIGFTINYTRDDPHDPRELSEFPDIRLWFVRLDAVYPWLPVLLDWRAGELARYAAMLVPHQVLPSSNRFEK >KJB53917 pep chromosome:Graimondii2_0_v6:9:1087011:1088697:-1 gene:B456_009G013300 transcript:KJB53917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHLORORESPIRATORY REDUCTION 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47910) UniProtKB/Swiss-Prot;Acc:O82258] MATALNPLLPLSPSLKHNINNPSSSPWISFKPISALMPNLPISHFTRQRGQFAPSVSFNPSGNFDLSLYGDEDDSSQAEPPMPPSEGRLEVIIDNDVIRRLDLSPFQSATGITSPLSAEPKEYLEKTIGFTINYTRDDPHDPRELSEFPDIRLWFVRLDAVYPWLPVLLDWRAGELARYAAMLVPHQMSMRMGVVFNPEALELFIMKKVFVVYSWLKQQGIPKPRLKTSDMARMLGFGIGDELFDLIDQQPLD >KJB58933 pep chromosome:Graimondii2_0_v6:9:18225536:18227147:1 gene:B456_009G231400 transcript:KJB58933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCQAATQTRFRALKYENGIAGSATIVVRVIACFQPLQDCQAEYFRHLLKPVTETASRLPFSLLQHKLLVCCGSWFPQQQFHWQSPNLISLAAPNPLGLQSTNPRFMNFGTDMVSATGTSPVYANPELHHFGVSQRNEPRGWFYCLPRFRQVFAPASNPLLKEQHLADRYENLKESGTSKAGTGAAEKRFLVFDQSGDQTTLIFSSAFGTHTKCLSSWGPKSPAAGNFNGDVPMAKATGNLHSGLISTDVSYDKGTDVQSEMHEDTEELNALLYSDADSEYSEDEEVTSTGHSPSTMTAQDEQFEGGSEEVDSSTRLIKKRKLLDGSYGCLPLLMDTANSGNFNRYSEYEDDADSSCAKGQNPGSGDTDSSSSYKRMRKDKIRETVTVLRSIIPGGEGKDAVA >KJB61604 pep chromosome:Graimondii2_0_v6:9:49672848:49673603:1 gene:B456_009G369300 transcript:KJB61604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKQESMIGKVKCLGSHPTNSDLVLSGSKDGSLAIWGLRCKSNSKSRCDEVCHPSTSMVKGAHLSSQARRGRRGKVAVVECLL >KJB59167 pep chromosome:Graimondii2_0_v6:9:19388659:19390063:1 gene:B456_009G242500 transcript:KJB59167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRFSGFLISAMVFLTQLLSLTDGRDIGVCYGLNGNNLPSPGDVINLYKTSGINNIRLYQPYPEVLEAARGSGISLSMGPRNEDIQSLAKDQSAADAWVNTNIVPYKDDVQFKLITIGNEAISGQSSSYIPDAMNNIMNSLASFGLGTTKVTTVVPMNALSTSYPPSDGAFGSDITSIMTSIMAILAVQDSPLLINVYPYFAYASDPTHISLDYALFTSTAPVVVDQGLEYYNLFDGMVDAFNAALDKIGFGQITLIVAETGWPTAGNEPYTSVANAQTYNKNLLNHVTQKGTPKRPEYIMPTFFFEMFNEDLKQPTVEQNFGFFFPNMNPVYPFW >KJB56334 pep chromosome:Graimondii2_0_v6:9:8520749:8522324:1 gene:B456_009G1160001 transcript:KJB56334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNPMAHINCGHCRTTLMYPYGAPSVKCAVCHYVTNVGTGNVRCPLPASRPNATGTMPSTSTVSNVVVGITTDKK >KJB56338 pep chromosome:Graimondii2_0_v6:9:8520751:8522157:1 gene:B456_009G1160001 transcript:KJB56338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNPMAHINCGHCRTTLMYPYGAPSVKCAVCHYVTNVGTGNVRCPLPASRPNATGTMPSTSTSQTVVVENPMSVDESGKLVSNVVVGITTDKK >KJB56335 pep chromosome:Graimondii2_0_v6:9:8520749:8522324:1 gene:B456_009G1160001 transcript:KJB56335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNPMAHINCGHCRTTLMYPYGAPSVKCAVCHYVTNVGTGNVRCPLPASRPNATGTMPSTSTSQTVVVENPMSVDESGKLVSNVVVGITTDKK >KJB56336 pep chromosome:Graimondii2_0_v6:9:8520749:8522324:1 gene:B456_009G1160001 transcript:KJB56336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNPMAHINCGHCRTTLMYPYGAPSVKCAVCHYVTNVGVSTGNVRCPLPASRPNATGTMPSTSTSQTVVVENPMSVDESGKLVSNVVVGITTDKK >KJB56337 pep chromosome:Graimondii2_0_v6:9:8520749:8522550:1 gene:B456_009G1160001 transcript:KJB56337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNPMAHINCGHCRTTLMYPYGAPSVKCAVCHYVTNVGTGNVRCPLPASRPNATGTMPSTSTSQTVVVENPMSVDESGKLVSNVVVGITTDKK >KJB53591 pep chromosome:Graimondii2_0_v6:9:47557440:47557747:1 gene:B456_009G360900 transcript:KJB53591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWAETSSPYMGLDPMFFLYSIYIYFGLSVLSGKKICSVTSISKAKNWTKLVHKAALVKEENAKKLLLLLLYG >KJB58478 pep chromosome:Graimondii2_0_v6:9:16432553:16432819:1 gene:B456_009G2120002 transcript:KJB58478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSERSNCVRLLTGSKAFNSFIDECKVVDLSLLGKKFTWFDPKNKMSWVDRFLVDEYWLVCFNDLIQQGYDRSISGHISILLYNSSVDC >KJB59152 pep chromosome:Graimondii2_0_v6:9:19341035:19343126:1 gene:B456_009G241700 transcript:KJB59152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSSTSLNFLSYWNYLNFLLFRPVLAVLFVLSFIILWWLLAWKLVLVHVPLVQEIFGLRKKPVKPKPPTRRLSRYYNSINSHSSTSQ >KJB54971 pep chromosome:Graimondii2_0_v6:9:4090619:4091610:1 gene:B456_009G056400 transcript:KJB54971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLRTLVWFSALAFVLFRVGEGKDILVGGSEDAWKIPKNASDSLNQWARKHRFKVGDFLIFKYNGKADSVLQVTGENYESCSTSKPIKEYKDGNNTKVKLNKSGPFYFISGADGHCQKGQKLEVTVISEKHRHHDNPPAFSPKPAIAPAPEPAKTSPNKALAYKDGTFLALASLLGIYWIIIMGLYLAWVFYLVEE >KJB60051 pep chromosome:Graimondii2_0_v6:9:24590096:24591264:1 gene:B456_009G2876001 transcript:KJB60051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AITYFSNILDSNDEALCAAACEALALVFESNCLEKFSSKTKDSNKDLKDNIIKQLRSRLSETGNERISSQDPRTGFNSASTALDFLELKEQSKANGA >KJB63181 pep chromosome:Graimondii2_0_v6:9:52089761:52091216:-1 gene:B456_009G3840001 transcript:KJB63181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRGKGKGDDGFENVDHALILFNKMIGRYQVPSILEFTKLFAAMVRMKHYAIVVSMCSQMELLGVSHDVYSVSILKYQPNLIVYNTLLKGLSKTGNTDRAVRFLRLMEGRGYEPNIVAYSTVIDCLCKNGLLKEALDLFSEVKVKGIRPNIFTYNCLIHGMCNLGQQEEATRLLNEMVDNNILLNIVTYTLLIDTLCKEGTISKAVEIVDTMRKQGIEPDVVAYNTLVHAHCKEGMVSEAEDIVDAMIKRGIEPDVVTYITYSTMINGYCNGKRLDEAMELFHEISRKRPIPHTVTYNTLMQSMFQLGKVSTACELFRKMLASGQVPDRPALKLFQAMRNSGLELDIVPYNILIDGLCKAGHIEFAKELFHQLSDNGFKLDVYTYCIMINGLCKEGLPDEAY >KJB62739 pep chromosome:Graimondii2_0_v6:9:67950088:67952144:1 gene:B456_009G433000 transcript:KJB62739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQQEDKIHKGPILHDKLIRIRVEARWKLENEEKTVDLSKQRARLGMLYRIPWHVVPPHKVTTSFCHFFALDFISSYPIQMKL >KJB60671 pep chromosome:Graimondii2_0_v6:9:30246259:30248494:1 gene:B456_009G318500 transcript:KJB60671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRRNKKINQEKQRHDPDDNNSPTTTSISSNKMDPNDYISRLPDNILHHIISFLPFESGVRTSILSTHWKHLWKEALLEPVHDVITMEAATKIIQSFVDDFDTHYRPRNKWGFRFEFGHGRGILVASISSKGALQLDFSGGKQELPRPFDLFLKLNLASPNHLSPPYMWFDWWQLEENHPLHTQQPSWNTMKVKSLYLISVSQLSNMAVSSLVANLPFLQSLTITKCSGLQSLQIKEAKGLHKLVVLDCPGLQSLSFEGVSLKSFRYRGNLVSIKVSCKCKHIAQFCRCDCGLFLEDVMVDLRQGHLTQWTWDFQKSFRCLYHYDLYEKTRCRCTNRKRCFKSILISIRGVKSLTICRWFFETSMCNSLPFSSRDLLPCMRQLEELWWIDCSMERESINALLCFLKLCPNLERLFVTIDPKCYNMPSTGKFSDSVIVSEKLDDLKAVKLEGVADEEKENFIARRLIPLFGENNPVIISKSGEKCIKHLVKVAKLEKKGKYPYKFKVVENVNENFPDHVHMNV >KJB62390 pep chromosome:Graimondii2_0_v6:9:63577155:63579671:-1 gene:B456_009G414800 transcript:KJB62390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQKEGAKPEAEKKPAADSGAKKDDGKVTVVYKIDMHCEGCAKKIKRSIKHYEGVEDVKADCGANKLTVIGKVDPAKIRDRLAEKTKKKVDLISPQPKKDAAPAGGDKKPDAEKKPEQKKPPKESTVVLKIRTHCDGCIHKIRKIILKVNGVQSVDVDGAKDLVTVKGTMDVENLVPYLREKLRRNVEVVPPKKEDAGEKKDGGEKNDAGGDKKEKGKEAAAAGGGGKKGGGGGEKEGGEKKEGGGGEKKEGGGEKKEGGEKKEGGGEAKMEVSKMEYHGYAYPPQPMYLSGGQEYGGPSYAIEGYQNHQRYGYVDEGYMHPHPVYVNQGYMIDPRHPLHAPQMFSDENPNACSVM >KJB55553 pep chromosome:Graimondii2_0_v6:9:5943522:5947778:-1 gene:B456_009G082000 transcript:KJB55553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLAARFKLFVLPFLSISLLFLPFLQATDFDYCDNKGNYVVKVDGVDISPNPVISGKPATFTISASTGQAITGGKAVIDVYFFGFHIHQETHDLCEETSCPITVGNFVLSHNQVLPGFTPPGSYKLKMTLSGAGIKQLTCISFDFKISFGASESSVSDS >KJB60972 pep chromosome:Graimondii2_0_v6:9:34952932:34954188:1 gene:B456_009G333900 transcript:KJB60972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIPLTTGFLTSCSKREKLSSTCWVPLASFCSIVSFPTNKSFQDREGIGEGSTTITSSRISFDSLNRTFFVFGSSGGISSSPFLSQEEIQENESIVEV >KJB58003 pep chromosome:Graimondii2_0_v6:9:14584783:14588693:1 gene:B456_009G189900 transcript:KJB58003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHSAVALICATVSLFVIAGAEDPYRFFNWNVTYGDIFPLGVRQTGILINGQFPGPDIHSVTNDNLIINVFNSLNEPFLLSWNGVQNRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLGFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYKANHTDLRAQLDSGRKLPFPDGILINGRGPGGASFNVEQGKTYRLRISNVGLQNSLNFRIQNHKLKLVEVEGTHTLQTTYSSIDLHLGQSMSVLFTADQPAQDYYIVVSSRFTNPVLTSTATLRYSNSAGPVSGPPPGGPTIQVDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTRTIRLANSAGQVNGKQRYAVNSVSFVPADTPLKLADFFKIDGVYRIGSISDNPTGGGIYLDTSVMNSDYRSFIEIVFQNDEDIVQSWHLDGYSFFVVG >KJB58004 pep chromosome:Graimondii2_0_v6:9:14584783:14589473:1 gene:B456_009G189900 transcript:KJB58004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHSAVALICATVSLFVIAGAEDPYRFFNWNVTYGDIFPLGVRQTGILINGQFPGPDIHSVTNDNLIINVFNSLNEPFLLSWNGVQNRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLGFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYKANHTDLRAQLDSGRKLPFPDGILINGRGPGGASFNVEQGKTYRLRISNVGLQNSLNFRIQNHKLKLVEVEGTHTLQTTYSSIDLHLGQSMSVLFTADQPAQDYYIVVSSRFTNPVLTSTATLRYSNSAGPVSGPPPGGPTIQVDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTRTIRLANSAGQVNGKQRYAVNSVSFVPADTPLKLADFFKIDGVYRIGSISDNPTGGGIYLDTSVMNSDYRSFIEIVFQNDEDIVQSWHLDGYSFFVVGMDGGQWTAASRNGYNLRDAVSRCTTQVKL >KJB58002 pep chromosome:Graimondii2_0_v6:9:14584405:14589476:1 gene:B456_009G189900 transcript:KJB58002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHSAVALICATVSLFVIAGAEDPYRFFNWNVTYGDIFPLGVRQTGILINGQFPGPDIHSVTNDNLIINVFNSLNEPFLLSWNGVQNRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLGFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYKANHTDLRAQLDSGRKLPFPDGILINGRGPGGASFNVEQGKTYRLRISNVGLQNSLNFRIQNHKLKLVEVEGTHTLQTTYSSIDLHLGQSMSVLFTADQPAQDYYIVVSSRFTNPVLTSTATLRYSNSAGPVSGPPPGGPTIQVDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTRTIRLANSAGQVNGKQRYAVNSVSFVPADTPLKLADFFKIDGVYRIGSISDNPTGGGIYLDTSVMNSDYRSFIEIVFQNDEDIVQSWHLDGYSFFVVGMDGGQWTAASRNGYNLRDAVSRCTTQVYPKSWTAIYVALDNVGMWNLRSEYWARQYLGQQFYLRVFTTSTSLRDEYPIPKNALLCGRASGRRTRPL >KJB59091 pep chromosome:Graimondii2_0_v6:9:18993768:18998024:1 gene:B456_009G238700 transcript:KJB59091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLMNKLRHLDAYPKVNEDFYSRTLSGGFITLVSSVVMLLLFFSEIRLYLHPVVETKLVVDSSRGETLRINFDVTFPAIACSILSLDAIDISGEQHLDVKHDIVKERLGVNGNVIEVRPDGVGSRKMEKPLQRQGGRLEHNETYCGSCYGAESIDGECCNSCEEVRDAYRKKGWAMSNLDLIEQCKREGFFQKIKDEEGEGCNIYGSLEVKKVAGNFHFAPGKSFDQSNIHVHDLQAFRKNRFNLSHTINRLAFGDHFPGVVNPLDGVQWMQKQPIGMYQYFIKVVPTMYKDENGHTIQSNQVFL >KJB59090 pep chromosome:Graimondii2_0_v6:9:18993626:18998229:1 gene:B456_009G238700 transcript:KJB59090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLMNKLRHLDAYPKVNEDFYSRTLSGGFITLVSSVVMLLLFFSEIRLYLHPVVETKLVVDSSRGETLRINFDVTFPAIACSILSLDAIDISGEQHLDVKHDIVKERLGVNGNVIEVRPDGVGSRKMEKPLQRQGGRLEHNETYCGSCYGAESIDGECCNSCEEVRDAYRKKGWAMSNLDLIEQCKREGFFQKIKDEEGEGCNIYGSLEVKKVAGNFHFAPGKSFDQSNIHVHDLQAFRKNRFNLSHTINRLAFGDHFPGVVNPLDGVQWMQKQPIGMYQYFIKVVPTMYKDENGHTIQSNQFSVTEHFKGAERGLLDNLAGVFFIYDLSPIKVTFAEGHVSFLHFLTNVCAIVGGVFAVSGIIDSCIYHGQKAMEKKMEIGKFN >KJB61097 pep chromosome:Graimondii2_0_v6:9:39465318:39470183:1 gene:B456_009G340700 transcript:KJB61097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSTSASSNSSVSSSTSWFSGIVRGRLDKSSSLKMAGSSSSGGGLASNNEGPIKGKNQFRGVLFKYGPKSIQGQFGCPGLHFSTLVVAFKTGDYKQQVIFIGGLTDGFLATDYLEPLAVALDNEKWSLVQLLMSSSYSGYGTSSLEQDAMEIDQLISYLINKENSEGVVLLGHSTGCQDIVHYMRTNAACSRAVRAAILQAPVSDREYRATLPETAAMIDLATSMIKEGLGSDLMPKEADPSPITAYRYNSLCAYMGDDDMFSSDLNEHQLRMRLGHMSSTPCQVIFSMDDEYVPEYVDKKALVERFCRAMGGAEKVEIEYGNHSLSNRVQEAVQAIIDFVKREGPKGWDDPWS >KJB61099 pep chromosome:Graimondii2_0_v6:9:39465318:39470238:1 gene:B456_009G340700 transcript:KJB61099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSTSASSNSSVSSSTSWFSGIVRGRLDKSSSLKMAGSSSSGGGLASNNEGPIKGKNQFRGVLFKYGPKSIQVAFKTGDYKQQVIFIGGLTDGFLATDYLEPLAVALDNEKWSLVQLLMSSSYSGYGTSSLEQDAMEIDQLISYLINKENSEGVVLLGHSTGCQDIVHYMRTNAACSRAVRAAILQAPVSDREYRATLPETAAMIDLATSMIKEGLGSDLMPKEADPSPITAYRYNSLCAYMGDDDMFSSDLNEHQLRMRLGHMSSTPCQVIFSMDDEYVPEYVDKKALVERFCRAMGGAEKVEIEYGNHSLSNRVQEAVQAIIDFVKREGPKGWDDPWS >KJB61100 pep chromosome:Graimondii2_0_v6:9:39465363:39470238:1 gene:B456_009G340700 transcript:KJB61100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYSGYGTSSLEQDAMEIDQLISYLINKENSEGVVLLGHSTGCQDIVHYMRTNAACSRAVRAAILQAPVSDREYRATLPETAAMIDLATSMIKEGLGSDLMPKEADPSPITAYRYNSLCAYMGDDDMFSSDLNEHQLRMRLGHMSSTPCQVIFSMDDEYVPEYVDKKALVERFCRAMGGAEKVEIEYGNHSLSNRVQEAVQAIIDFVKREGPKGWDDPWS >KJB61098 pep chromosome:Graimondii2_0_v6:9:39465318:39470183:1 gene:B456_009G340700 transcript:KJB61098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSTSASSNSSVSSSTSWFSGIVRGRLDKSSSLKMAGSSSSGGGLASNNEGPIKGKNQFRGVLFKYGPKSIQVAFKTGDYKQQVIFIGGLTDGFLATDYLEPLAVALDNEKWSLVQLLMSSSYSGYGTSSLEQDAMEIDQLISYLINKENSEGVVLLGHSTGCQDIVHYMRTNAACSRAVRAAILQAPVSDREYRATLPETAAMIDLATSMIKEGLGSDLMPKEADPSPITAYRYNSLCAYMGDDDMFSSDLNEHQLRMRLGHMSSTPCQVIFSMDDEYVPEYVDKKALVER >KJB61096 pep chromosome:Graimondii2_0_v6:9:39465318:39468633:1 gene:B456_009G340700 transcript:KJB61096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSTSASSNSSVSSSTSWFSGIVRGRLDKSSSLKMAGSSSSGGGLASNNEGPIKGKNQFRGVLFKYGPKSIQVAFKTGDYKQQVIFIGGLTDGFLATDYLEPLAVALDNEKWSLVQLLMSSSYSGYGTSSLEQDAMEIDQLISYLINKENSEGVVLLGHSTGCQDIVHYMRTNAACSRAVRAAILQVYYLDQLVFFMYALSTFHVLDYTGVRKGVLLVCYHDHLSRNPALLGGGTSYYC >KJB59141 pep chromosome:Graimondii2_0_v6:9:19290679:19292070:-1 gene:B456_009G240900 transcript:KJB59141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFGLLLLPILLLLLYFIVRPRPINIPIKNRHVFITGGSMGIGLAIAKQAASEGARISLLARSVDQLQKAKESICQAYEVEVSIFSADVRDYDAVERAINEAGSIDVLVVNHGVYYLEEFETQGLDVMKTMIDVNLMGSFNVIKAALPLMKDRKDKEPASIALMSSMAGQVGTYGFAAYSATKFGLRGLAEALQQEVLENNIHLSLISPPVTETLGFEQARKTMPEITKIISSTSTEMKAEEVGKITIQGIKSGSFIIPCKLDGRALAIATAGLSPQRCFIMASLEVVFVGLFRFVALFLQWNWYGIIKKKKGNSRKNQ >KJB62794 pep chromosome:Graimondii2_0_v6:9:68833733:68834092:-1 gene:B456_009G438000 transcript:KJB62794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLRHQSSTQWAGDDWGTTVADYGEDDGFSDIKTKEKGIVGDHHQKDGFITTSSTPTVHEVKVKITKKQLEELLGRVDVKELSVQQVLAQLINVSNQFDETNQRSWRPALQSIPEVN >KJB56214 pep chromosome:Graimondii2_0_v6:9:8066133:8067038:1 gene:B456_009G110500 transcript:KJB56214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPFFILSCSRAYLIRSPFATLFATIFRKPFAIIKTPCPNLSNSPKETEALREEHKRTGETLRSLLIPIMSATKCCIVFICLLLMFSSSWDVAMGGRYIPSSVPSTMRPASVDYVKMNPQVLPNHKHKVSHEKEVKSCMPKGFRRSSAPSRYVNYQTLGSSCATKAH >KJB57541 pep chromosome:Graimondii2_0_v6:9:13029305:13030504:-1 gene:B456_009G169200 transcript:KJB57541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNPNVSSRPDRKVIERNRRTQMKALYSELYSLLPRHSSRESKSLPDQLHEAASYIKKLQINLERMKEKKDSLMSVERLRNTRSRMTGKVPKSPQIQIHEMGSSLVIGLTTESNSRFLFTQTIRVLQEEGAEIVNAGFSVVDDTVFHIINLTIGHESAPDYGGGRRISERLNKFLNDADA >KJB57540 pep chromosome:Graimondii2_0_v6:9:13029305:13030333:-1 gene:B456_009G169200 transcript:KJB57540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQESKSLPDQLHEAASYIKKLQINLERMKEKKDSLMSVERLRNTRSRMTGKVPKSPQIQIHEMGSSLVIGLTTESNSRFLFTQTIRVLQEEGAEIVNAGFSVVDDTVFHIINLTIGHESAPDYGGGRRISERLNKFLNDADA >KJB57542 pep chromosome:Graimondii2_0_v6:9:13029517:13030253:-1 gene:B456_009G169200 transcript:KJB57542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNPNVSSRPDRKVIERNRRTQMKALYSELYSLLPRHSSRESKSLPDQLHEAASYIKKLQINLERMKEKKDSLMSVERLRNTRSRMTGKVPKSPQIQIHEMGSSLVIGLTTESNSRFLFTQTIRVLQEEGAEIVNAGFSVVDDTVFHIINLTVAIIGHESAPDYGGGRRISERLNKFLNDADA >KJB62155 pep chromosome:Graimondii2_0_v6:9:58666433:58666904:-1 gene:B456_009G403200 transcript:KJB62155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FKGWSLMGFKRVWFDSRTINCFYNVLEIENGDFSQVDYDRIIYFDIIQVLIGNVDQWGFQKDGYSPLKNLAIVPKLWLLFFFFHFICVRLLPFTHTSDVTPSRKFYSLLYYILQNNCTVDVGRLIN >KJB54672 pep chromosome:Graimondii2_0_v6:9:3202800:3207029:-1 gene:B456_009G044200 transcript:KJB54672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEDGGLDNRGWLRSSSGAYKWLASFHRDLMAGAVMGGVVHTIVAPIERAKLLLQTQESNLAIVAGRRRKFKGMFDCIFRTVREEGTLSLWRGNGSSVLRYYPSVALNFSLKDLYRNILRNGCFQDGHVFSGASANFIAGAAAGCTTLIIIYPLDIAHTRLAADIGRTDVRQFRGIYHFLSTMYKKDGIWGIYSGLPASLHGMIVHRGLYFGGFDTMKEILSEKSRNELALWKRWVVAQAVTTSAGLLSYPLDTVRRRMMMQSGLEKPMYHSTLNCWRTIYRTEGVTSFYRGAVSNVFRSTGTAAILVLYDEVKKFMNWGGS >KJB53434 pep chromosome:Graimondii2_0_v6:9:16434370:16434381:1 gene:B456_009G2120001 transcript:KJB53434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKP >KJB57113 pep chromosome:Graimondii2_0_v6:9:11284065:11289680:-1 gene:B456_009G148500 transcript:KJB57113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKWRKGLVLALFTVCILGFKPRCSDGATDQGDASALGVMFSSLNSPQQLTGWTANNGDPCGQPWKGVTCSGQRVTEIKLSNLGLSGSMGYSLQSLTSLKELDLSHNNLAGDIPYNIPLNLTRFNLAYNQFTGSVPYSINQMHSLQYLNLSHNQLQYQLNDMFGPLSSLSTLDLSFNSLTDALPQSFKNLTSLKSMYLQNNQFTGTIDVLANLPLDNLYVSNNHFTGWIPDQLKSIDLQSDGNSWSSGPAPPPPPGTPPATRNNRKHESNSNNSPPDGGSSSSPSRSSSKSGIGGGGIAGIVISILIVGAILAFFLVKRRSRRSSSDVEKPDNQSFAPLASKEVQEIKSIQSSHSSASLDTKSFEIPASINLRPPPVDRHKSFDEEDFSVKPVEVKKAVTAPTNVTTYSIADLQMATGSFSFENLLGEGTFGRVYRAQFDDGEVLAVKKIDSTVLSSEMSDEFIEMVSNISQLHHTNVTELVGYCSVHGQHLLVYEFHKNGSLHDFLHISDEFSKPLIWNSRVKIALGTARALEYLHEVCSPSVIHKNIKSANILLDAELNPHLSDSGLATFIPNADQLLNRDDMGSGYCAPEVTMSSQYSLKSDVYSFGVVMLELLTGRKPFDSTRPRLEQSLVRWATPQLHDIDALSKMVDPALKGLYPIKSLSRFADVIALCVQSEPEFRPPMSEVVQALVRLVQRANMSKRTIGTDQGASPRTNNTDEQHDYMS >KJB57112 pep chromosome:Graimondii2_0_v6:9:11284134:11289549:-1 gene:B456_009G148500 transcript:KJB57112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKWRKGLVLALFTVCILGFKPRCSDGATDQGDASALGVMFSSLNSPQQLTGWTANNGDPCGQPWKGVTCSGQRVTEIKLSNLGLSGSMGYSLQSLTSLKELDLSHNNLAGDIPYNIPLNLTRFNLAYNQFTGSVPYSINQMHSLQYLNLSHNQLQYQLNDMFGPLSSLSTLDLSFNSLTDALPQSFKNLTSLKSMYLQNNQFTGTIDVLANLPLDNLYVSNNHFTGWIPDQLKSIDLQSDGNSWSSGPAPPPPPGTPPATRNNRKHESNSNNSPPDGGSSSSPSRSSSKSGIGGGGIAGIVISILIVGAILAFFLVKRRSRRSSSDVEKPDNQSFAPLASKEVQEIKSIQSSHSSASLDTKSFEIPASINLRPPPVDRHKSFDEEDFSVKPVEVKKAVTAPTNVTTYSIADLQMATGSFSFENLLGEGTFGRVYRAQFDDGEVLAVKKIDSTVLSSEMSDEFIEMVSNISQLHHTNVTELVGYCSVHGQHLLVYEFHKNGSLHDFLHISDEFSKPLIWNSRVKIALGTARALEYLHEVCSPSVIHKNIKSANILLDAELNPHLSDSGLATFIPNADQLLNRDDMGSGYCAPEVTMSSQYSLKSDVYSFGVVMLELLTGRKPFDSTRPRLEQSLVRWATPQLHDIDALSKMVDPALKGLYPIKSLSRFADVIALCVQSEPEFRPPMSEVVQALVRLVQRANMSKRTIGTDQGASPRTNNTDEQHDYMS >KJB61335 pep chromosome:Graimondii2_0_v6:9:45080241:45082500:1 gene:B456_009G351900 transcript:KJB61335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNFNAIASKSQKLPCFALPPKATLRSPKFSMISTIPSGSKEVGNLKKPFTPPKEVPVQITHSMPPHKIEIFKSLEGWAENNILTHLKPVEKCWQPADFLPDPNSDGFHEQVKELRERAKEIPDDYFVVLVGDMITEEALSTYQTMLNTLDGTRDETGASLTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIERTIQYLIGSGMDPHTENSPYRGFIYTSFQERATFISHGNTGRLAKEYGDINLAQICGSIASDEKRHETAYTKIVEKLFEIDPDETVLAFADMMKKKIAMPAEFIYDGRDYNLFDHYSAVAQRIGVYTAKDYVDIVEHLVDRWKVKELAGLSAEGRKAQDYLCSLPSRIRRLEERAQEKAKEAPSVPFSWIFDREVKL >KJB61336 pep chromosome:Graimondii2_0_v6:9:45080651:45082499:1 gene:B456_009G351900 transcript:KJB61336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHKIEIFKSLEGWAENNILTHLKPVEKCWQPADFLPDPNSDGFHEQVKELRERAKEIPDDYFVVLVGDMITEEALSTYQTMLNTLDGTRDETGASLTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIERTIQYLIGSGMDPHTENSPYRGFIYTSFQERATFISHGNTGRLAKEYGDINLAQICGSIASDEKRHETAYTKIVEKLFEIDPDETVLAFADMMKKKIAMPAEFIYDGRDYNLFDHYSAVAQRIGVYTAKDYVDIVEHLVDRWKVKELAGLSAEGRKAQDYLCSLPSRIRRLEERAQEKAKEAPSVPFSWIFDREVKL >KJB55330 pep chromosome:Graimondii2_0_v6:9:5075017:5078672:1 gene:B456_009G071400 transcript:KJB55330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFEEIGADISSDLEVDDIRCENIAEKDVSDEEIEADELERRIWKDRIKLRRIKEREKIAALQAVEKQKSKQASDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGASDNIRSWWKEKVKFDKNGPAAIAKYDAECLAISESDNIKNGNSQCSLQDLQDATLGSLLSSLMQHCKPPQRKYPLEKGVPPPWWPTGNEEWWVKLGLQQGQSPPYKKPHDLKKMWKVGVLTAVIKHMSPDIAKIRRHVHQSKCLQDKMTAKESAVWLGVLGREEALIQQPSCDKGISSITEKPQGGRDGKKRPAVSIDSDYDVDGVDDGRGSVSSKEDRRDQPIDVEPIAYINNDDSHPVQENEPVEKQPRRKRPRKRTNHGDRQNVPSHVDKQLVPSPDEHVNAELRSPVPDINQTDASFPEYNMPATQQENDASTMLMHGEKHLIVQSELPAIPCANEIFTQSMHVGGRPMLYPSVQQTELHHRAAYEFYNPSVEFRHCRDGQQIQMGMNVPQIGPENGIDVSVPAGNDHVITGGELHHYMKDPFHDEQDRAVHNPFGSPTSDPSFYGGFNSPFELPFDGTSSLEELLDDDLIQYFGA >KJB55329 pep chromosome:Graimondii2_0_v6:9:5075017:5077772:1 gene:B456_009G071400 transcript:KJB55329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFEEIGADISSDLEVDDIRCENIAEKDVSDEEIEADELERRIWKDRIKLRRIKEREKIAALQAVEKQKSKQASDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGASDNIRSWWKEKVKFDKNGPAAIAKYDAECLAISESDNIKNGNSQCSLQDLQDATLGSLLSSLMQHCKPPQRKYPLEKGVPPPWWPTGNEEWWVKLGLQQGQSPPYKKPHDLKKMWKVGVLTAVIKHMSPDIAKIRRHVHQSKCLQDKMTAKESAVWLGVLGREEALIQQPSCDKGISSITEKPQGGRDGKKRPAVSIDSDYDVDGVDDGRGSVSSKEDRRDQPIDVEPIAYINNDDSHPVQENEPVEKQPRRKRPRKRTNHGDRQNVPSHVDKQLVPSPDEHVNAELRSPVPDINQTDASFPEYNMPATQQENDASTMLMHGEKHLIVQSELPAIPCANEIFTQSMHVGGRPMLYPSVQQTELHHRAAYEFYNPSVEFRHCRDGQQIQMGMNVPQIGPENGIDVSVPAGNDHVITGGELHHYMKDPFHDEQDRAVHNPFGSPTSDPSFYGGFNSPFELPFDGTSSLEELLDDDLIQYFGA >KJB60279 pep chromosome:Graimondii2_0_v6:9:26020870:26023818:-1 gene:B456_009G297600 transcript:KJB60279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMAAAKPVIKVAALCGSLREGSYNRGLLRYAMELTKEEIEGIQIDYIDISPLPMLNTDLEVDGKYPPVVEAFRQRILVADSILFASPEYNYSVTGPLKNAIDWASRPPNVFANKAAAIVSVAGSLGGARAQYHYRQIGVYLDLHFINKPEFFLNAYESPAKFDSDGNLIDPASKKRMKKVLLALQAFTLQLQGCNFNLSTTSEFPRRIGRLSEEGHGLTPCTEGSLAPPFPASTRYKLGRTSETYDWYDLETCNNERSVLSQFQDEHFRLKNKSIEDACCKRNVFSRSLDLWVAYS >KJB60277 pep chromosome:Graimondii2_0_v6:9:26020818:26024047:-1 gene:B456_009G297600 transcript:KJB60277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIYYFSKKKKKTQVNTQATYSLKQRRSLERVLRNYRAMEAMAAAKPVIKVAALCGSLREGSYNRGLLRYAMELTKEEIEGIQIDYIDISPLPMLNTDLEVDGKYPPVVEAFRQRILVADSILFASPEYNYSVTGPLKNAIDWASRPPNVFANKAAAIVSVAGSLGGARAQYHYRQIGVYLDLHFINKPEFFLNAYESPAKFDSDGNLIDPASKKRMKKVLLALQAFTLQLQGCNFNLSTTSEFPRRIGRLSEEGHGLTPCTEGSLAPPFPASTRYKLGRTSETYDWYDLETCNNERSVLSQFQDEHFRLKNKRYTPNARERMYKFGLVASWVFFLIVIMLNYRVNSIEDACCKRNVFSRSLDLWVAYS >KJB60278 pep chromosome:Graimondii2_0_v6:9:26020870:26023818:-1 gene:B456_009G297600 transcript:KJB60278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMAAAKPVIKVAALCGSLREGSYNRGLLRYAMELTKEEIEGIQIDYIDISPLPMLNTDLEVDGKYPPVVEAFRQRILVADSILFASPEYNYSVTGPLKNAIDWASRPPNVFANKAAAISPAKFDSDGNLIDPASKKRMKKVLLALQAFTLQLQGCNFNLSTTSEFPRRIGRLSEEGHGLTPCTEGSLAPPFPASTRYKLGRTSETYDWYDLETCNNERSVLSQFQDEHFRLKNKRYTPNARERMYKFGLVASWVFFLIVIMLNYRVNSIEDACCKRNVFSRSLDLWVAYS >KJB59962 pep chromosome:Graimondii2_0_v6:9:23979926:23983185:1 gene:B456_009G282900 transcript:KJB59962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVSKVRKSDSQHKRVPYPLPSHPKRAGKGSRKKRRSKASEKKDWEAAKCSVCLEFPHNAVLLLCSSYDKGCRPYMCATSKRFSNCLEQYKKAYTKVTSVDSMDSSSLISGVEQPTEKMEVPELLCPLCRGQVKGWTVVEPVRKYLNRKKRSCMQDKCSFVGTYKELKKHVRTKHPLARPRAVDPVLEEKWKKLENERERNDVISTIMSSTPGAMVLGDYVIDPGYHDIYADEYGSDDSFDDPFDDGFIHLDSSVRLRGRFLDYDLFDDDDFGMHRPFRAVSPVTRTWPARFLGSMGLRRIPRVRGRNGNR >KJB60459 pep chromosome:Graimondii2_0_v6:9:27739797:27742037:-1 gene:B456_009G305800 transcript:KJB60459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRSIMFFVVLLSCLLVSKAEKVEVVVVGATSIAQTDEDFICVTLDCGLQTNVITINARGIFINFYYIFHLWDLKNKILEKAVKAFHPLRIRVGGSLQDQVVYNVRNNIENCQPFQKQDKGFLFGFSIGCLDMKRWDELNKFFNQTRAKVTFGLNALIGRKESETEKTLWVGDWYSHNARDLMNYTISKGYKIDSYELGNELCGSGVSNKIGAKQYAKDMATLKNLVKEMYPNPKTQPKILGPGGFYDKKWFDTFLDASGHDVIDGVTHHIYNLGPGNNPDVVRRVQDPFFLTQIAQTFKDVSNAIDKFAPWSGAWVSESGGAYNSGGQLVSYTFAFGFWYLDQLGMTSVYNHKVYCRQALIGGNYALLNTTTFVPNPDYYGALLWHKVMGSKVLSVTHKGSPYLRVYSHCSKKEPGVSFVFINLSKNTSFEIDLFHDLNLNGGSPNFEFKGHKEREEYHLTPKDGNILSSVVLLNGTPLELLDSMEILELKPKLVDGLKRINIAAHSIAFVTIRDFNAPACF >KJB62693 pep chromosome:Graimondii2_0_v6:9:67573356:67576308:-1 gene:B456_009G430600 transcript:KJB62693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVLGIANCLGTPACKYLQYHRKLNDYVRNFKRMRDELNCKMEDIELQLKAELLRPLGKIPKKGVENWLKAVKEMIREAQVVENKVSNGRYLCRLAMDGPSAGLPLPTSELVGEEAVRNEIWACLMQEEVSKIGVWGMGGVSKTTIMKHIHNDLLKEQRFKRVIWVTISKEFNVMKVQDDIAGALKLKEDWPREGDKLRRAAILSEMLKKAGKHVLILDDVWDKVSLEEVRIPEPSSSNGCKLVLTTRSEHVCKYMGCKVIKVKPLSEEEALILFLNKVGPNILVVKECAGLPLTIVVVAGTMKGEYNHRIWKNVLKDLKERIGKVEGVEAEVIERLKFSFDHLKDEKVKDCFLYCALYPEDYEIRKVELIECWIAEIFMDEMDTRQEMEDKGLTILKRLEDNGLLENITTKFGLHGIKMHDAVRDMALSITRMNPRYMIKAGLQLEDLPEKQQWSPDIEKVSLMYNSISEISLDVLPTKCQLLTTLLLQHNPIKKIPYSFFTNMPCLSVLNLSFTKIESLPNSISELKNLTTLLLRGCRELRDLPCLSRLQELKKLDLRLTEIEEVPEGMDMLIKLRYLDLRVHTLKEIPAGLLPKLVHLQHLSFDVGNEKTILKAEEMEPLKRLECFDGRFEDIGEFNKFISSMQQSKKNLIKYYLQVGLFSMGSADMTHRRDKRLTIGGDQNWEGELIMHPIEIQELNILKCDYLRNLVDDNSSFKNAIDLRVCRILFCEGIECVVSLSSFASSSAHPFQNLEMLYLLGLPKLSALIMKDAGIGSATSSTLAPSTTFSHLKEIKIVNCSSMKTLLPHWLLPNLQNLEDIRVEECHELVEILGAAASEVEEKGSDALIKFHLPKLRKLELWVLPNLKSICSKSGVMVCDSLQLISVMFLGNKLKRIPPFVPLVGNGQPFAYAPPSLTIRSSTEWWESLEWDDHPNFKNLF >KJB53450 pep chromosome:Graimondii2_0_v6:9:3729440:3730796:-1 gene:B456_009G051900 transcript:KJB53450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCGACGYPASRIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKTGFREGTQATPRKKGAVAAS >KJB61908 pep chromosome:Graimondii2_0_v6:9:52947530:52948537:1 gene:B456_009G390300 transcript:KJB61908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITVAFSPITTAVSAPSSSVSDRRISVRLPGFRGLKSKSTSASVVPLFRSVIREPLIRGRGGRVVCEAQETAFDGFCLSSLRRAKVPLAAWFIEEECLEKSSCTWTHNHSPRGREHLDLGGVCALDL >KJB60234 pep chromosome:Graimondii2_0_v6:9:25794290:25797312:-1 gene:B456_009G295800 transcript:KJB60234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:Projected from Arabidopsis thaliana (AT2G38760) UniProtKB/TrEMBL;Acc:A0A178W040] MKSFKKLFTSKPKTHNPESSFKIGGMGSLKVLDVIPSPEDDSHKLKKAFQGFGTDEDGIIEILGHRDANQRKKIRETYHQLYNETLIDALKSELSGDFGKAVILWTYDPSERDARLANEALKSKKKGIKHLEIIVEMSCASSPQHVVAVRQAYCTLFDHSVEEDIVASLPPPLRKILVGLVTSYRYDKEVVDNDVANLEADRLHEAIKTKDLAHDDVVFILSTRNFYQLRTTFACYKKKHGNPIDKDIEKSGKGDLESLVRMVILCIDSPEKHFAEVVGTSIIGLGTDEDSLTRAIISRAEIDMMKVKSEYLNIYKSSLDDAVTGDTSGDYRNFLVTLLGGKI >KJB56232 pep chromosome:Graimondii2_0_v6:9:8147828:8150089:1 gene:B456_009G111600 transcript:KJB56232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKRNNQKVSWTRGKCLGKGSFGTATLATNESNGAVFAVKSVDLATCLPSQLESLENEIRILRSLSSPYVVEYLGDDVTRNESPTTSYRNLHMEYMAGGTVADEAIVKSRLADVEEKILRWHTRCLVSALKYVHSEGIVHCDVKGKNVLVGHDLTSVKLADFGSAMVEIKNESSGDRCRSEIWPRGSPLWMAPEVIRGEYQGQESDVWSLGCTIIEMVTGKPAWKDQGFDSLNRIANSEELPEFPAQLSELGKDFVEKCLRRDQNQRWSCDQLLQHPFVASASAPIMIGESSPRCVLDFTSTHFEKAEDTESFEASARERIGELATEGGAIWESDRWVAIRGYPPESGAICDEGTITEYPDSMRTEKETEETSSVYSGSMGITQGIGSTGLVLFDCFDNTVVWQSSNYESVKGLKWSSSSAPCCDSLAGSCCRDTSEKVELTAEKEKLRVHRFCNLLLQLFLCILRIFRYSIRMFSNYSFATIFFTFHSQPQIFFGHAIPIVSFSPSHQTLFESKKLF >KJB57075 pep chromosome:Graimondii2_0_v6:9:11157638:11159886:-1 gene:B456_009G146900 transcript:KJB57075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVLLWCFFIFTATSVNLVCSRSPQKDHTALFVFGDSLYDPGNNNYIDTIIKANYYPYGETFFKYPTGRFSDGRIIADFIAEFANLPLIPPYLQPGNHEFTYGVNFASAGAGALSETYQGFVIDLKTQLSYFKKVAKLMRKELGDAEATVIFSKAVYLINIGGNDYISFLTSNSTVFQSSSKQEYVAMVMGNLTETIEEIYKKGGRKFGFLNMGSLGCVPSMKALAPGNTTGSCFEQVNEIAKLHDAALPKALEELETKLVEVKYSMHYLNISYAERRNNPEKYGFKEANIACCGSGPYRGMFSCGGRRGVTKYELCSDPNDYLFFDSDHLTEKACKQIAQLMWSGTPNITGPYNLKALFEA >KJB53487 pep chromosome:Graimondii2_0_v6:9:21247532:21248214:1 gene:B456_009G257900 transcript:KJB53487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDVALLVRRYYITASSIGTSSDWRLRPHYWTGYIVTIPLWFLGTLGMSIFCPAEDTNNLGGLHITYDVEYQQKMLSPEG >KJB57573 pep chromosome:Graimondii2_0_v6:9:13210304:13215797:1 gene:B456_009G170900 transcript:KJB57573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKDSIEALVKMMKEETLLDIDSYSFVSPSAYDTAWLAMVPADSNQPCSMEPMFRVCLDWVLKNQTQEGFWGECDAHGNPTLESLPATLASVIALKKWNVGKENAEKGLAFIRANAEYLLAGHQNQFPRWFAIVFPGMIELARKTGLDLDFPHHLKGLLMDIFFEREQILESDKELAAGGAYPSLLSYLEVLPSLYAANEQEIMKNLSGDGSLFQSPSATACAFMATGNKDCLAYLQSLIEKCGNNGVPPTYPMDEELIKLGLANQLERLGLAEHFTQQIEEILTQVYQTYTKESSSEKSNSVASVATQLQKDSLAFRLLRMHGYNISPWSLCWFLNDDEIVDHIEKNQEFFSSVMLNVYRATDVMFSGEYELEEARSFSRKVLEKVVSKGTGSDNDVFTKSSAFQRMMEEELSLPWVARLDHLEHRAWIEQNEMNALWPGKTCFHRISRAKNEKLVQLAVADYEFRQSIYKKEMAELKSWCLKWGLSDMGFGREKTMYCYFAISASLSLPYDSHIRMLVAKSAILITVADDFFDMEASLNDLNILIDAVTRWDGTGVGGHSKAIFDALDNLVKETAEKYRQHQGTDITSYLQQIWCETFDSWLMEAKWSKSGYMPSTDEYLRTATTSIAAHTLVLPASFFLKSSSPNAEISPTAAEYKTITKLTMLITRLLNDIQSYQKEIEDGKMNYALLYMKENPDANIDDSITFMRDLIDKKRKELFKHALMNGLSDLPVESRRLHLSCMKVFQMFFNSSNRYDSNTEMIQDIQKAIYVPLDVGTWKPLVPLPSLSGSNKELQTTISSQQLVIRPFKYQKRRIIGYQASLPIARRGYVNMFITPSFRLSFA >KJB54014 pep chromosome:Graimondii2_0_v6:9:1304493:1305495:-1 gene:B456_009G016500 transcript:KJB54014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKIISMAALVVVVLASSVLQSTYAATYTVGDATGWTVPNPNNTEFYDDWTDNKTFVVGDVLEFSFTTGRHDVAEVTETAYDNCNTTNPIVRYTTGPASVALNRTGEHYFICTFDGHCSLGQKLSIEVRTGPSTAPTPGSTPNPSSSASALVATISLVFMSIALALFC >KJB55456 pep chromosome:Graimondii2_0_v6:9:5585030:5588214:-1 gene:B456_009G077300 transcript:KJB55456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDVEAVDFEPEDDDLMDEDAAGDASPQAPLPKLKSAITGAASTSLSAHKKTKGRGFREDDADRHSHLASRDFESLGTDGGPGPQRSIEGWIILVSGVHEEAQEDDLHNAFGEFGEIKNLHLNLDRRTGFVKGYALIEYEKFEEAKNAISTMDGIELLTQTINVDWAFSNGPSTGAFKRKNLRSGRSHRSRSPRRRY >KJB55458 pep chromosome:Graimondii2_0_v6:9:5586296:5588108:-1 gene:B456_009G077300 transcript:KJB55458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDVEAVDFEPEDDDLMDEDAAGDASPQAPLPKLKSAITGAASTSLSAHKKTKGRGFREDDADRHSHLASRDFESLGTDGGPGPQRSIEGWIILVSGVHEEAQEDDLHNAFGEFGEIKNLHLNLDRRTGFVKVINLLNFCSLYDHYFVQ >KJB55457 pep chromosome:Graimondii2_0_v6:9:5585745:5588108:-1 gene:B456_009G077300 transcript:KJB55457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDVEAVDFEPEDDDLMDEDAAGDASPQAPLPKLKSAITGAASTSLSAHKKTKGRGFREDDADRHSHLASRDFESLGTDGGPGPQRSIEGWIILVSGVHEEAQEDDLHNAFGEFGEIKNLHLNLDRRTGFVKGYALIEYEKFEEAKNAISTMDGIELLTQTINVDWAFSNGPSTGAFKRKNLRFVSINMLLSLG >KJB59076 pep chromosome:Graimondii2_0_v6:9:18835476:18837947:1 gene:B456_009G237800 transcript:KJB59076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLNGLALFKNNPSGFIPPSLGNLTDLTILQLYENKLFGPIPEELGNLKLLVFLEVSQNQLNGSILSLFANLSNLETLFLRDNQLSGPIPQEIGNLMKMRMLELDGNHFTGDGTLEYFIANDNHFRGPIPKDLKNCSSLKRVRLERNRLTGNISEDFGVYSSLNFLSLSDNDFYGEISPQWASCNNLSSLQIARNNITGRIPPELGNSAQLQALDLSSNHLVGEIPKELTKLTSLTRLILSGNQLSSGIPMEVGSFSQLEYLDLSANTLSPSIPETIADMLKLYYLNLSSNNFSLGIPPQIGKLVQVNELDLSHNVIPGEIPTQFQSLQSLSTLNLSNNNLFGNITIFNELRGLVQVDIGHNELEGPVPDVPAFQNTSIQALEGNKGLCGDVSGLKPCKLSRNGQHKLLYATMFPPLGAAILSTAILALFFGFKKQGKDVDEESESTMINENLFTIASFDGRMLYAEVISATNNFDSQCCIGEGGYGNVYRAELSSGDIIAVKKVHPQHADEVRAAKEFQNEVMAFIDIRH >KJB57289 pep chromosome:Graimondii2_0_v6:9:12035476:12035913:-1 gene:B456_009G157100 transcript:KJB57289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGKKTRGKQKIEIKIIKIEHDRLISFSKRCTGIYKKISKLSTICGGEILFIIFLPAGKPYSFGHPSVESVAKRFLNPNQPFNKTTDAPVEAYCKVRINLLVKDFNEVYDQLDVLKEKQKAIDLAQKSHGTETYHWWKKGMLY >KJB62190 pep chromosome:Graimondii2_0_v6:9:59573302:59577950:1 gene:B456_009G405700 transcript:KJB62190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSGSCEIVEAGEELKPVQRSRRTYQPHIGLGIGEKDQKPPVQKLGYKNSLENDINQLFEAINVKGPKGLSVSYQGGTSSSSLKKNALKKPIAVGMPHSPRIGGSEPLSLKQALRDLCISKASEMAAMKRLSMSISSPSASEAGRVKSSFNPVVVDSGVPGNEHKGSMVEVSFVPEDDKPTSSRMMTAPRQAPKNKLLSQSANCSPQFPRATMQISTGTSTSTQTDSSTSRKVGTQAPKAEMCRKEKHTSASSPSCSYADDNTLEPESTVPASTKVPKRSPVPKSGRKGRLHAGPSSSLTNGNKASRTTRNVPRVAKTIVRNKSSIKKKTKQDSSSAANTSKEVNSHLDSNASQLICQRCQCSMKTSSNETNQDSIKSQSAGIIAEVSSSHVSSDMHKPTLVENNSNRSQAAIPRAKNSPKAREKGDISQSSSSLGDSSSTSISGDSNLSGSTCGNKPHMSKDMRWDAISHIRMQDGVLGLRHFNLLKKLGCGDIGTVYLAELSGTNCLFAIKVMDNEFLARRKKMPRAQTEREILRMLDHPFLPTLYTQFVSDNLSCLVMEYCPGGDLHVLRQKQPGRCFYEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCTVSPTLLKSSSYLDPARLSVQCTASSCVEPFCVEPTCHVPCFGPKFLPTSAKTKKKAKEDLAAKVRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGIFLYELLYGKTPFRGAGNEETLANVVLQSLKFPDSPLVSFHARDLIKRLLVKEPENRLGTEKGAAEIKQHPFFEGLNWALIRCAVPPELPELYELGVPTMMSPEAETSKYLEFKATGEHLEFELF >KJB59408 pep chromosome:Graimondii2_0_v6:9:20745520:20747015:-1 gene:B456_009G253300 transcript:KJB59408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEPTDDFNYSKRSQWLRAAVLGANDGLVSTAALMMGVGAVKQDIKAMILTGFAGLVAGACSMAIGEFVSVYSQLDIEVAQLKRDKLRDENTETTDEEEEEKGSKGEKESLPNPLQASAASALSFSVGAMVPLLAASFIKEYKVRLGVVVGAVSLALAVFGWLGAVLGRAPRVRSAVRVLVGGWLAMAITFGLTKLIGSSGL >KJB61222 pep chromosome:Graimondii2_0_v6:9:42108093:42110851:1 gene:B456_009G346500 transcript:KJB61222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SICKLE [Source:Projected from Arabidopsis thaliana (AT4G24500) UniProtKB/Swiss-Prot;Acc:Q9SB47] MDESEKRKERLKAMRMEAANAEASDSVESSAMPGSLSNPLIETSSSLTAQDDFCRAPRFDYYTDPMAAFSGNKRDYVHNPAPSHPGPRNTGRGLPVHQMQSHFAPDHGVYKQGPYSPRLRSPSLMHRGQSDAWNAPQATEHYNFVSDGSPRGMFGGPPQHPGTFHRVWNPSNTSSYGNLPNPGISPADGRNFNYGAARPQMFGRNPILDQRPGGSPNFSPGRGRGPGYRGSGGPGLGRSAGRGQGFHGRSSASNKMLGPECYFDESMLKDPWQHLKPIPWRRQEAGMDSLGTPGTSNSSGIKRAKVSEASSKQSLAEYLAASFNKAVEGTE >KJB55174 pep chromosome:Graimondii2_0_v6:9:4804454:4805020:-1 gene:B456_009G067200 transcript:KJB55174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLVFVCDEDERVISRQPAPGACPYCGGMVQAMDVESQWRFCFLPLYFKTKRRYYCSFCARRLVVH >KJB58095 pep chromosome:Graimondii2_0_v6:9:14950025:14953574:-1 gene:B456_009G194400 transcript:KJB58095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSSGTKERNTKLEQKTTTGFSGKLKSKRSFGKQKGNSDSHSHANGSGSDKTRQWDDSGDLGLQFSRELKSSTPARIAFSKGSQRNSYLGKAGIVGLEKAVDVLDTLGSSMSYLNAGSGFVTGLASRGQRISMLAFEVANTIAKGASLLQSLSEENIQFLKKEVLQSEGVQKLVSTNMNELQSIAAADKRDELDIFSREVIRFGDRCKDPQWHNLGRFFSKLDVGNSLHKQARADAEQTMQELTSLAQYTSELYHELNDLGRFELEVKRKLEEAESLNLPKRGQSLMILQSELKQQRKLVKSLKKKTLWSRTLEEVVEKFVDIVTYMHQAISEAFGVPASVTKETTENPQSLGAAGLALHYANVIHQIDNIAARPAYLPPNIRDTLYRGLPPSVKTSLRSRLQSTDTKEERSISQVKDEMEKTLQWLVPVATNTTKYAKTKMLAKTYCLIDVIS >KJB58094 pep chromosome:Graimondii2_0_v6:9:14949183:14953574:-1 gene:B456_009G194400 transcript:KJB58094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSSGTKERNTKLEQKTTTGFSGKLKSKRSFGKQKGNSDSHSHANGSGSDKTRQWDDSGDLGLQFSRELKSSTPARIAFSKGSQRNSYLGKAGIVGLEKAVDVLDTLGSSMSYLNAGSGFVTGLASRGQRISMLAFEVANTIAKGASLLQSLSEENIQFLKKEVLQSEGVQKLVSTNMNELQSIAAADKRDELDIFSREVIRFGDRCKDPQWHNLGRFFSKLDVGNSLHKQARADAEQTMQELTSLAQYTSELYHELNDLGRFELEVKRKLEEAESLNLPKRGQSLMILQSELKQQRKLVKSLKKKTLWSRTLEEVVEKFVDIVTYMHQAISEAFGVPASVTKETTENPQSLGAAGLALHYANVIHQIDNIAARPAYLPPNIRDTLYRGLPPSVKTSLRSRLQSTDTKEERSISQVKDEMEKTLQWLVPVATNTTKAHQGFGWVGEWANTGIEFGKGGAANITLTRLQTLHHANKQKTDAYILELVTWLHHLTSLAKQRDQSFKPKPVRSPTYKGFVFHSKMQRFLSLEDGTKVQRIELCEEERNLLNKVTARRLIPGVSKSQELPLGKSKGIKVWALSRSTGNSPDRSFRARKLLKHPHSNILDVMDGLDLAT >KJB61559 pep chromosome:Graimondii2_0_v6:9:49025624:49027362:1 gene:B456_009G366200 transcript:KJB61559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGNITSLGYLYLYSNRLSSTIPSTLWNLKDILEIDLSSNHLHNSHAIDVGNLRSLLKLNLSRNLLTGDLLSTFGGLQTLVSLDLSNNILHGHIPESFDRLISLEFLDLCNNNLSRAIPKSLEKLSHLKYFNVSFNRLEGEIPSKGCFSNFSSTSFMKNYALCGPPKLLVPPCKNDIRKNSQMIILHAFRYGLPTIGIVVVLIVLTIMYRRCQRRSTTPTTKDDLLSLKTPRRISHAELSRATNGFDESNMLGSGSFGYVYKGRLSDGMEVAIKVFNLQTEGAFRSFDIECDAMRNIVHRNIVKVITCCSSVDFKALVLDYMSNGNLEKWLHSENCFLDIIQRVDIMIDVAVAIEYLHNGHPTPIIHCDIKPSNILLDEDMVAHVGDFGVAKLLGEGEVMKQTMTLASIGYMAPEFGSAGIVSIKSDVYSYGIILIETFTKKKPTDNVFVEEETIRHWMESSLPKGAIEIADVDLLRREDEYIVVKANCISSIMELALNCSAELPEERRDMKDVVVELKKIKQRLINNIEHF >KJB59748 pep chromosome:Graimondii2_0_v6:9:22473587:22474948:-1 gene:B456_009G269400 transcript:KJB59748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAPLYAENMCGVQDWMVNPAPALIPSSYFTLQDRSRYTLPFHLQQNAHNLAVASSSSSSASDAFVSVALSQSLDAQLEMQRQELDCVLRLQNERLRSALREQRKRQSAILLKCMESKAMHLIRQKEEDLARATKKTMELEASLRKAEMESHSWQNLAKAKEAMIMDLNNTLEQARESLVWVSNAPEDAESLFRDQQEGEMKQKSNNNNKMACKHCNARSSCVVFLPCRHLCSCKSCETFLEACPVCNSIKEASIKVFWV >KJB55656 pep chromosome:Graimondii2_0_v6:9:6405459:6406331:1 gene:B456_009G087700 transcript:KJB55656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLEIDSSSCKPCSPDSELSSFSSTSSAYDLPLMVVSSSHKRKAGRKKFKETRHPVFRGVRQRRGSKWVSEIREPYKKSRIWLGTFLSPEMAARAYDVAVLALRGKSAALNFPDSASVLPRAKSSSPKDIQIAAFAAAEAFKPITSTDIDPQPVGCSSSTSVSTENDEEKSLLDDGSMWDRSWSLEATNTNDNEWNYGFMDEEALFNMPGLLDSMAEGLLLSSPAALQAQVYDCDDDVASTFNIDLWRHY >KJB61108 pep chromosome:Graimondii2_0_v6:9:39560576:39562330:-1 gene:B456_009G341100 transcript:KJB61108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRRCIWVNGPVIVGAGPSGLAVGAGLKNQGVPFIILERADCIASLWQKRTYDRLKLHLPKQYCQLPYFPFPDDFPEYPTKHQFIKYLESYAKHFDINPNFNETVQSAKYDETFGLWRVKTISTGGPIPVEVEYICRWLAVATGENAEKVVPEFEGLEDFGGHVTHACDYKSGKSYFGERVLVVGCGNSGMEVSLDLCNHNANPSMVVRSSVHVLPREVFGSSTFEFAVSLMKWLPLWLVDKILIILAYLILGNIEKYGLKRPCLGPLELKNTAGKTPVLDIGALQKIKSGKIKIVPGIKKFSRGKVELVSGHTLDIDSVILATGYRSNVPSWLKENELFSNDGVPKNPFPNGWKSKGGLYAVGFTRRGLSGASLDAISVALDIAKTWKDETKQKKKSVAARHRRCISHF >KJB55538 pep chromosome:Graimondii2_0_v6:9:5867741:5884991:-1 gene:B456_009G081300 transcript:KJB55538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 3 [Source:Projected from Arabidopsis thaliana (AT2G27170) UniProtKB/Swiss-Prot;Acc:Q56YN8] MFIKQIIIEGFKSYREQIATEPFSPKVNCVVGANGSGKTNFFHAIRFVLSDLFQNLRSEDRHALLHEGAGHQVLSAFVEIVFDNSDNRIPVDKEEVRLRRTIGLKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRKQIIQVVQYLDERLKELDEEKEELRKYQQLDKQRKSLEYTIYDKELQDARRKLEEVEEARTKVSEKSSEMYNAVLDSHERFKDLDKKSKDLMKELQGLNKDKEALETKQAEAIKKQTALELDVKDLEERMSGNMQAKEDAVKQLRMLQKEIQESTEELNRIKPLYDNQLKKEENITKGIMEREKQLSILYQKQGRATQFSSKAARDKWLQKEIDDLEQVLYSNSSQEQKLQEEIFGLNEELERLDESIVRRKTEIKELESSIAKSRFNSQKTERDKLQDERKSLWEKESKLSAEIDKLKAEVEKAEKSLDHATPGDVRRGLNSIRKICREYNIGGVFGPIIELLNCDEKFFTAVEVTAGNSLFHVVVEKDEISTQIIRHLNSLKGGRVTFIPLNRVKAPHVTYPQSSDVIPLLKKLNFSSKYAPAFAQVFGRTVICRDIDVATRVARTDGLDCITLEGDQVSKKGGMTGGFYDYRRSKLKFMNIITQNTMSINKKEEELKGIGLELQKLEQKITAFVTEQQQLDAKRVLDKSVLEQHKQDIANANKQKQYTSKALENKRKSLADVQMQIDQLRASMAMKRAEMGTELIDHLTPEEKDLLSRLNPEITDLKEQLINCRSDRIETESRKAELETNLTTNLKRQKQELEAIISAAEADALLDEAESKRQELMDANLLVDDATQQLKRVSDRIDELTKQLRGIKDEKNNLKGLEDAYERTLQDEAKELEQLLSKRSNLLAKQEEYSKKIRELGPLSSDAFEMYKRKQIKELQKMLHRCNEQLQQFSHVNKKALDQYVNFTEQREELQKRQAELDSGDEKIKELIEVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDGDDDDDDDDGPREADLEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMANTQFITTTFRPELVKVADHIYGVTHKNRVSRVNVVSKEDALDFIEHDQSHNAE >KJB55539 pep chromosome:Graimondii2_0_v6:9:5867741:5884991:-1 gene:B456_009G081300 transcript:KJB55539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 3 [Source:Projected from Arabidopsis thaliana (AT2G27170) UniProtKB/Swiss-Prot;Acc:Q56YN8] MFIKQIIIEGFKSYREQIATEPFSPKVNCVVGANGSGKTNFFHAIRFVLSDLFQNLRSEDRHALLHEGAGHQVLSAFVEIVFDNSDNRIPQVDKEEVRLRRTIGLKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRKQIIQVVQYLDERLKELDEEKEELRKYQQLDKQRKSLEYTIYDKELQDARRKLEEVEEARTKVSEKSSEMYNAVLDSHERFKDLDKKSKDLMKELQGLNKDKEALETKQAEAIKKQTALELDVKDLEERMSGNMQAKEDAVKQLRMLQKEIQESTEELNRIKPLYDNQLKKEENITKGIMEREKQLSILYQKQGRATQFSSKAARDKWLQKEIDDLEQVLYSNSSQEQKLQEEIFGLNEELERLDESIVRRKTEIKELESSIAKSRFNSQKTERDKLQDERKSLWEKESKLSAEIDKLKAEVEKAEKSLDHATPGDVRRGLNSIRKICREYNIGGVFGPIIELLNCDEKFFTAVEVTAGNSLFHVVVEKDEISTQIIRHLNSLKGGRVTFIPLNRVKAPHVTYPQSSDVIPLLKKLNFSSKYAPAFAQVFGRTVICRDIDVATRVARTDGLDCITLEGDQVSKKGGMTGGFYDYRRSKLKFMNIITQNTMSINKKEEELKGIGLELQKLEQKITAFVTEQQQLDAKRVLDKSVLEQHKQDIANANKQKQYTSKALENKRKSLADVQMQIDQLRASMAMKRAEMGTELIDHLTPEEKDLLSRLNPEITDLKEQLINCRSDRIETESRKAELETNLTTNLKRQKQELEAIISAAEADALLDEAESKRQELMDANLLVDDATQQLKRVSDRIDELTKQLRGIKDEKNNLKGLEDAYERTLQDEAKELEQLLSKRSNLLAKQEEYSKKIRELGPLSSDAFEMYKRKQIKELQKMLHRCNEQLQQFSHVNKKALDQYVNFTEQREELQKRQAELDSGDEKIKELIEVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDGDDDDDDDDGPREADLEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMANTQFITTTFRPELVKVADHIYGVTHKNRVSRVNVVSKEDALDFIEHDQSHNAE >KJB55536 pep chromosome:Graimondii2_0_v6:9:5867194:5882978:-1 gene:B456_009G081300 transcript:KJB55536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 3 [Source:Projected from Arabidopsis thaliana (AT2G27170) UniProtKB/Swiss-Prot;Acc:Q56YN8] MNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRKQIIQVVQYLDERLKELDEEKEELRKYQQLDKQRKSLEYTIYDKELQDARRKLEEVEEARTKVSEKSSEMYNAVLDSHERFKDLDKKSKDLMKELQGLNKDKEALETKQAEAIKKQTALELDVKDLEERMSGNMQAKEDAVKQLRMLQKEIQESTEELNRIKPLYDNQLKKEENITKGIMEREKQLSILYQKQGRATQFSSKAARDKWLQKEIDDLEQVLYSNSSQEQKLQEEIFGLNEELERLDESIVRRKTEIKELESSIAKSRFNSQKTERDKLQDERKSLWEKESKLSAEIDKLKAEVEKAEKSLDHATPGDVRRGLNSIRKICREYNIGGVFGPIIELLNCDEKFFTAVEVTAGNSLFHVVVEKDEISTQIIRHLNSLKGGRVTFIPLNRVKAPHVTYPQSSDVIPLLKKLNFSSKYAPAFAQVFGRTVICRDIDVATRVARTDGLDCITLEGDQVSKKGGMTGGFYDYRRSKLKFMNIITQNTMSINKKEEELKGIGLELQKLEQKITAFVTEQQQLDAKRVLDKSVLEQHKQDIANANKQKQYTSKALENKRKSLADVQMQIDQLRASMAMKRAEMGTELIDHLTPEEKDLLSRLNPEITDLKEQLINCRSDRIETESRKAELETNLTTNLKRQKQELEAIISAAEADALLDEAESKRQELMDANLLVDDATQQLKRVSDRIDELTKQLRGIKDEKNNLKGLEDAYERTLQDEAKELEQLLSKRSNLLAKQEEYSKKIRELGPLSSDAFEMYKRKQIKELQKMLHRCNEQLQQFSHVNKKALDQYVNFTEQREELQKRQAELDSGDEKIKELIEVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDGDDDDDDDDGPREADLEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMANTQFITTTFRPELVKVADHIYGVTHKNRVSRVNVVSKEDALDFIEHDQSHNAE >KJB55537 pep chromosome:Graimondii2_0_v6:9:5867194:5886223:-1 gene:B456_009G081300 transcript:KJB55537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 3 [Source:Projected from Arabidopsis thaliana (AT2G27170) UniProtKB/Swiss-Prot;Acc:Q56YN8] MFIKQIIIEGFKSYREQIATEPFSPKVNCVVGANGSGKTNFFHAIRFVLSDLFQNLRSEDRHALLHEGAGHQVLSAFVEIVFDNSDNRIPVDKEEVRLRRTIGLKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRKQIIQVVQYLDERLKELDEEKEELRKYQQLDKQRKSLEYTIYDKELQDARRKLEEVEEARTKVSEKSSEMYNAVLDSHERFKDLDKKSKDLMKELQGLNKDKEALETKQAEAIKKQTALELDVKDLEERMSGNMQAKEDAVKQLRMLQKEIQESTEELNRIKPLYDNQLKKEENITKGIMEREKQLSILYQKQGRATQFSSKAARDKWLQKEIDDLEQVLYSNSSQEQKLQEEIFGLNEELERLDESIVRRKTEIKELESSIAKSRFNSQKTERDKLQDERKSLWEKESKLSAEIDKLKAEVEKAEKSLDHATPGDVRRGLNSIRKICREYNIGGVFGPIIELLNCDEKFFTAVEVTAGNSLFHVVVEKDEISTQIIRHLNSLKGGRVTFIPLNRVKAPHVTYPQSSDVIPLLKKLNFSSKYAPAFAQVFGRTVICRDIDVATRVARTDGLDCITLEGDQVSKKGGMTGGFYDYRRSKLKFMNIITQNTMSINKKEEELKGIGLELQKLEQKITAFVTEQQQLDAKRVLDKSVLEQHKQDIANANKQKQYTSKALENKRKSLADVQMQIDQLRASMAMKRAEMGTELIDHLTPEEKDLLSRLNPEITDLKEQLINCRSDRIETESRKAELETNLTTNLKRQKQELEAIISAAEADALLDEAESKRQELMDANLLVDDATQQLKRVSDRIDELTKQLRGIKDEKNNLKGLEDAYERTLQDEAKELEQLLSKRSNLLAKQEEYSKKIRELGPLSSDAFEMYKRKQIKELQKMLHRCNEQLQQFSHVNKKALDQYVNFTEQREELQKRQAELDSGDEKIKELIEVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDGDDDDDDDDGPREADLEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMANTQFITTTFRPELVKVADHIYGVTHKNRVSRVNVVSKEDALDFIEHDQSHNAE >KJB55540 pep chromosome:Graimondii2_0_v6:9:5867194:5886280:-1 gene:B456_009G081300 transcript:KJB55540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 3 [Source:Projected from Arabidopsis thaliana (AT2G27170) UniProtKB/Swiss-Prot;Acc:Q56YN8] MFIKQIIIEGFKSYREQIATEPFSPKVNCVVGANGSGKTNFFHAIRFVLSDLFQNLRSEDRHALLHEGAGHQVLSAFVEIVFDNSDNRIPVDKEEVRLRRTIGLKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRKQIIQVVQYLDERLKELDEEKEELRKYQQLDKQRKSLEYTIYDKELQDARRKLEEVEEARTKVSEKSSEMYNAVLDSHERFKDLDKKSKDLMKELQGLNKDKEALETKQAEAIKKQTALELDVKDLEERMSGNMQAKEDAVKQLRMLQKEIQESTEELNRIKPLYDNQLKKEENITKGIMEREKQLSILYQKQGRATQFSSKAARDKWLQKEIDDLEQVLYSNSSQEQKLQEEIFGLNEELERLDESIVRRKTEIKELESSIAKSRFNSQKTERDKLQDERKSLWEKESKLSAEIDKLKAEVEKAEKSLDHATPGDVRRGLNSIRKICREYNIGGVFGPIIELLNCDEKFFTAVEVTAGNSLFHVVVEKDEISTQIIRHLNSLKGGRVTFIPLNRVKAPHVTYPQSSDVIPLLKKLNFSSKYAPAFAQVFGRTVICRDIDVATRVARTDGLDCITLEGDQVSKKGGMTGGFYDYRRSKLKFMNIITQNTMSINKKEEELKGIGLELQKLEQKITAFVTEQQQLDAKRVLDKSVLEQHKQDIANANKQKQYTSKALENKRKSLADVQMQIDQLRASMAMKRAEMGTELIDHLTPEEKDLLSRLNPEITDLKEQLINCRSDRIETESRKAELETNLTTNLKRQKQELEAIISAAEADALLDEAESKRQELMDANLLVDDATQQLKRVSDRIDELTKQLRGIKDEKNNLKGLEDAYERTLQDEAKELEQLLSKRSNLLAKQEEYSKKIRELGPLSSDAFEMYKRKQIKELQKMLHRCNEQLQQFSHVNKKALDQYVNFTEQREELQKRQAELDSGDEKIKELIEVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDGDDDDDDDDGPREADLEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMANTQFITTTFRPELVKVADHIYGVTHKNRVSRVNVVSKEDALDFIEHDQSHNAE >KJB55535 pep chromosome:Graimondii2_0_v6:9:5867194:5882322:-1 gene:B456_009G081300 transcript:KJB55535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 3 [Source:Projected from Arabidopsis thaliana (AT2G27170) UniProtKB/Swiss-Prot;Acc:Q56YN8] MYNAVLDSHERFKDLDKKSKDLMKELQGLNKDKEALETKQAEAIKKQTALELDVKDLEERMSGNMQAKEDAVKQLRMLQKEIQESTEELNRIKPLYDNQLKKEENITKGIMEREKQLSILYQKQGRATQFSSKAARDKWLQKEIDDLEQVLYSNSSQEQKLQEEIFGLNEELERLDESIVRRKTEIKELESSIAKSRFNSQKTERDKLQDERKSLWEKESKLSAEIDKLKAEVEKAEKSLDHATPGDVRRGLNSIRKICREYNIGGVFGPIIELLNCDEKFFTAVEVTAGNSLFHVVVEKDEISTQIIRHLNSLKGGRVTFIPLNRVKAPHVTYPQSSDVIPLLKKLNFSSKYAPAFAQVFGRTVICRDIDVATRVARTDGLDCITLEGDQVSKKGGMTGGFYDYRRSKLKFMNIITQNTMSINKKEEELKGIGLELQKLEQKITAFVTEQQQLDAKRVLDKSVLEQHKQDIANANKQKQYTSKALENKRKSLADVQMQIDQLRASMAMKRAEMGTELIDHLTPEEKDLLSRLNPEITDLKEQLINCRSDRIETESRKAELETNLTTNLKRQKQELEAIISAAEADALLDEAESKRQELMDANLLVDDATQQLKRVSDRIDELTKQLRGIKDEKNNLKGLEDAYERTLQDEAKELEQLLSKRSNLLAKQEEYSKKIRELGPLSSDAFEMYKRKQIKELQKMLHRCNEQLQQFSHVNKKALDQYVNFTEQREELQKRQAELDSGDEKIKELIEVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDGDDDDDDDDGPREADLEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMANTQFITTTFRPELVKVADHIYGVTHKNRVSRVNVVSKEDALDFIEHDQSHNAE >KJB53533 pep chromosome:Graimondii2_0_v6:9:19960070:19969288:-1 gene:B456_009G247700 transcript:KJB53533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSPSPEPREWGPLSSSKLRDLNGTTGVDDSDDTVAAVARFIEQLHAYTSSPSEKELITARVLSIAKERKEARTLIGSHGQAMPLFISILRSGTPLAKLNVAATLTVLCKDEDLRLKVLLGGCIPPLLSLLKSESTEARKAAAEAIFEVSSGGLSDDHVGMKIFVTEGVVPTLWEQLSPKSKQDKVVEGFVTGALKNLCSEKDGYWRATLKAGGVDVIVGLLSSDNSAAQSNAASLLARLMLAFSDSIPKVIDSGAVKALLRLVGQNNDTSVRSSAADALEALSSMSTAAKKAVVDANGIHILIGAVVAPSKECMQGEHAQALQRHATHALANICGGMSALILYLGELSKSSRLAAPVADIIGALAYAVMVFEQTTGLDEEPFDVAQIEDVLVMLLKPRDNKLVQDRVLEAMASLYGNTYLSQWLNHAEAKRVLIGLITMAAADVQEHLILSLTSLCCDKVSVWDAIGNREGIQLLISLLGLSSEQHQEHSVQLLAILTDQVDDCKWAITAAGGIPPLVQLLEMGSQKAREDAAHILWNLCCHSEDIRACVESAGAVPAFLWLLRSGGPKGQEASAKALTKLVRTADSATINQLLALLLGDTPIKKAHIIRILGHVLTMAPHQDLVFKGSAANKGLKSLVQVLNSSNEETQEYAASVLADLFSTRKDICDSLVADEIVQPCMKLLTSKAQVVATQSARVLGALSHPSKSKAANKMSYIAAADVKPLIKLAKTSLIGAAETAVAALANLLSDSHIAAEALAEDVVLALTRVLGNGSLEGKKNSSRALHQLLKHFPVSDVLVGNSQCRFAVLALVDSLNAMDMDTTDAADALEVVALLSRTKKGVNLTYPAWSVLAEDPSSLEPLVQCLAEGPPPLQDKSIEILSRLCGDQPVVLSDLLVARSTSICSLADRTMNSANLEVRVGGAALLSCTAKEHKQQSVDVLDRSGYLKPLIEALVDMAKKNSRCTSLEIEVRGRAPREFIERTAFQEGEEFDVPDPAIVLGGTVALWLLLILSSCLPKNRITIMEAGGLEVLSDKLANYASNPLAEFEDTEGIWISTLLLAILFQDENVVLSPATMRIIPSLALLLRSEEVIDRYFAAQAMASLVSNGSKGISLVIANSGAVAGLITLIGYGESDMPNLVTLSEEFSLVRNPGQVVLEHLFEIEDVRVGSTARKSIPLLVDLLRPIPDRPGAPPIAVQLLTRIADGSDTNKLIMGEAGALDALTKYLSLSPQDSTEADICELLRILFRNQELIQYEASLSSLNQLIAVLRLGSKNARFSAARALHQIFYAEHVIDSELAWQAVQPLVDMLCAASESEQEAALVALIKLTCGNASKAALMTDVEGNPLESLHKILSSASSLELKTNAAQLCFVLFGHTKFRADPIASECIQPLITLMQSDTSTAVESGVHAFERLLDDEQQVELAAAYDIVDLLVGLISGRNHQLIEASICALIKLAKDRTPLKSDMVKAGVIDNCLEVLPLASSSLSSSIAELFRILTNSSAIARSSDAAKIIEPLFMVLLRPDFGLWGQHSALQALVNILEKPQSLAALKLTPSQVIEPLISFLESPSQAIQQLGTELLTHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALEKISASWPKAVADAGGIFELSKVIIQDDPQPPHALWESAAFILSNVLHSNAEYYFKAPIIVLVKMLHSTLESTITVALNALIVHERSDPSSVEQMTEAGAIDALLNLLRSHQCEEASGRLLEALFNNVRIREMKVSKYAIAPLAQYLLDPQTRSESGRLLATLALGDLSQHEGHARASDSVSACRALVSLLEDQPTEDMKMVAICALQNFVMRSRTNRRAVAEAGGILVIQELLLSPNSEVASQAALLIKFLFSNHTLQEYVSNELIRSLTAALERELWSNATINEEVLRTLNVIFANFPKLQISEAATLCIPHLVAALKSGSEGAQESVLDTMCLLKHSWSTMPIEIARSQSMIAAEAIPILQMLMKTCPPSFHERADSLLHCLPGCLTVTIKRGNNLKQAMGATNAFCRLTIGNGPPRQTKVVNHSTTPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKTTLGRVTIQIDKVVTEGVYSGLFSLNHDSNKDGSSRTLEIEIIWSNRTENDENI >KJB53532 pep chromosome:Graimondii2_0_v6:9:19961345:19968517:-1 gene:B456_009G247700 transcript:KJB53532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLKPRSKLKWPLLVMSKSPSPEPREWGPLSSSKLRDLNGTTGVDDSDDTVAAVARFIEQLHAYTSSPSEKELITARVLSIAKERKEARTLIGSHGQAMPLFISILRSGTPLAKLNVAATLTVLCKDEDLRLKVLLGGCIPPLLSLLKSESTEARKAAAEAIFEVSSGGLSDDHVGMKIFVTEGVVPTLWEQLSPKSKQDKVVEGFVTGALKNLCSEKDGYWRATLKAGGVDVIVGLLSSDNSAAQSNAASLLARLMLAFSDSIPKVIDSGAVKALLRLVGQNNDTSVRSSAADALEALSSMSTAAKKAVVDANGIHILIGAVVAPSKECMQGEHAQALQRHATHALANICGGMSALILYLGELSKSSRLAAPVADIIGALAYAVMVFEQTTGLDEEPFDVAQIEDVLVMLLKPRDNKLVQDRVLEAMASLYGNTYLSQWLNHAEAKRVLIGLITMAAADVQEHLILSLTSLCCDKVSVWDAIGNREGIQLLISLLGLSSEQHQEHSVQLLAILTDQVDDCKWAITAAGGIPPLVQLLEMGSQKAREDAAHILWNLCCHSEDIRACVESAGAVPAFLWLLRSGGPKGQEASAKALTKLVRTADSATINQLLALLLGDTPIKKAHIIRILGHVLTMAPHQDLVFKGSAANKGLKSLVQVLNSSNEETQEYAASVLADLFSTRKDICDSLVADEIVQPCMKLLTSKAQVVATQSARVLGALSHPSKSKAANKMSYIAAADVKPLIKLAKTSLIGAAETAVAALANLLSDSHIAAEALAEDVVLALTRVLGNGSLEGKKNSSRALHQLLKHFPVSDVLVGNSQCRFAVLALVDSLNAMDMDTTDAADALEVVALLSRTKKGVNLTYPAWSVLAEDPSSLEPLVQCLAEGPPPLQDKSIEILSRLCGDQPVVLSDLLVARSTSICSLADRTMNSANLEVRVGGAALLSCTAKEHKQQSVDVLDRSGYLKPLIEALVDMAKKNSRCTSLEIEVRGRAPREFIERTAFQEGEEFDVPDPAIVLGGTVALWLLLILSSCLPKNRITIMEAGGLEVLSDKLANYASNPLAEFEDTEGIWISTLLLAILFQDENVVLSPATMRIIPSLALLLRSEEVIDRYFAAQAMASLVSNGSKGISLVIANSGAVAGLITLIGYGESDMPNLVTLSEEFSLVRNPGQVVLEHLFEIEDVRVGSTARKSIPLLVDLLRPIPDRPGAPPIAVQLLTRIADGSDTNKLIMGEAGALDALTKYLSLSPQDSTEADICELLRILFRNQELIQYEASLSSLNQLIAVLRLGSKNARFSAARALHQIFYAEHVIDSELAWQAVQPLVDMLCAASESEQEAALVALIKLTCGNASKAALMTDVEGNPLESLHKILSSASSLELKTNAAQLCFVLFGHTKFRADPIASECIQPLITLMQSDTSTAVESGVHAFERLLDDEQQVELAAAYDIVDLLVGLISGRNHQLIEASICALIKLAKDRTPLKSDMVKAGVIDNCLEVLPLASSSLSSSIAELFRILTNSSAIARSSDAAKIIEPLFMVLLRPDFGLWGQHSALQALVNILEKPQSLAALKLTPSQVIEPLISFLESPSQAIQQLGTELLTHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALEKISASWPKAVADAGGIFELSKVIIQDDPQPPHALWESAAFILSNVLHSNAEYYFKAPIIVLVKMLHSTLESTITVALNALIVHERSDPSSVEQMTEAGAIDALLNLLRSHQCEEASGRLLEALFNNVRIREMKVSKYAIAPLAQYLLDPQTRSESGRLLATLALGDLSQHEGHARASDSVSACRALVSLLEDQPTEDMKMVAICALQNFVMRSRTNRRAVAEAGGILVIQELLLSPNSEVASQAALLIKFLFSNHTLQEYVSNELIRSLTAALERELWSNATINEEVLRTLNVIFANFPKLQISEAATLCIPHLVAALKSGSEGAQESVLDTMCLLKHSWSTMPIEIARSQSMIAAEAIPILQMLMKTCPPSFHERADSLLHCLPGCLTVTIKRGNNLKQAMGATNAFCRLTIGNGPPRQTKVRVLSESHWNKLYMAPKFGLGPNGI >KJB53530 pep chromosome:Graimondii2_0_v6:9:19959995:19968687:-1 gene:B456_009G247700 transcript:KJB53530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLKPRSKLKWPLLVMSKSPSPEPREWGPLSSSKLRDLNGTTGVDDSDDTVAAVARFIEQLHAYTSSPSEKELITARVLSIAKERKEARTLIGSHGQAMPLFISILRSGTPLAKLNVAATLTVLCKDEDLRLKVLLGGCIPPLLSLLKSESTEARKAAAEAIFEVSSGGLSDDHVGMKIFVTEGVVPTLWEQLSPKSKQDKVVEGFVTGALKNLCSEKDGYWRATLKAGGVDVIVGLLSSDNSAAQSNAASLLARLMLAFSDSIPKVIDSGAVKALLRLVGQNNDTSVRSSAADALEALSSMSTAAKKAVVDANGIHILIGAVVAPSKECMQGEHAQALQRHATHALANICGGMSALILYLGELSKSSRLAAPVADIIGALAYAVMVFEQTTGLDEEPFDVAQIEDVLVMLLKPRDNKLVQDRVLEAMASLYGNTYLSQWLNHAEAKRVLIGLITMAAADVQEHLILSLTSLCCDKVSVWDAIGNREGIQLLISLLGLSSEQHQEHSVQLLAILTDQVDDCKWAITAAGGIPPLVQLLEMGSQKAREDAAHILWNLCCHSEDIRACVESAGAVPAFLWLLRSGGPKGQEASAKALTKLVRTADSATINQLLALLLGDTPIKKAHIIRILGHVLTMAPHQDLVFKGSAANKGLKSLVQVLNSSNEETQEYAASVLADLFSTRKDICDSLVADEIVQPCMKLLTSKAQVVATQSARVLGALSHPSKSKAANKMSYIAAADVKPLIKLAKTSLIGAAETAVAALANLLSDSHIAAEALAEDVVLALTRVLGNGSLEGKKNSSRALHQLLKHFPVSDVLVGNSQCRFAVLALVDSLNAMDMDTTDAADALEVVALLSRTKKGVNLTYPAWSVLAEDPSSLEPLVQCLAEGPPPLQDKSIEILSRLCGDQPVVLSDLLVARSTSICSLADRTMNSANLEVRVGGAALLSCTAKEHKQQSVDVLDRSGYLKPLIEALVDMAKKNSRCTSLEIEVRGRAPREFIERTAFQEGEEFDVPDPAIVLGGTVALWLLLILSSCLPKNRITIMEAGGLEVLSDKLANYASNPLAEFEDTEGIWISTLLLAILFQDENVVLSPATMRIIPSLALLLRSEEVIDRYFAAQAMASLVSNGSKGISLVIANSGAVAGLITLIGYGESDMPNLVTLSEEFSLVRNPGQVVLEHLFEIEDVRVGSTARKSIPLLVDLLRPIPDRPGAPPIAVQLLTRIADGSDTNKLIMGEAGALDALTKYLSLSPQDSTEADICELLRILFRNQELIQYEASLSSLNQLIAVLRLGSKNARFSAARALHQIFYAEHVIDSELAWQAVQPLVDMLCAASESEQEAALVALIKLTCGNASKAALMTDVEGNPLESLHKILSSASSLELKTNAAQLCFVLFGHTKFRADPIASECIQPLITLMQSDTSTAVESGVHAFERLLDDEQQVELAAAYDIVDLLVGLISGRNHQLIEASICALIKLAKDRTPLKSDMVKAGVIDNCLEVLPLASSSLSSSIAELFRILTNSSAIARSSDAAKIIEPLFMVLLRPDFGLWGQHSALQALVNILEKPQSLAALKLTPSQVIEPLISFLESPSQAIQQLGTELLTHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALEKISASWPKAVADAGGIFELSKVIIQDDPQPPHALWESAAFILSNVLHSNAEYYFKAPIIVLVKMLHSTLESTITVALNALIVHERSDPSSVEQMTEAGAIDALLNLLRSHQCEEASGRLLEALFNNVRIREMKVSKYAIAPLAQYLLDPQTRSESGRLLATLALGDLSQHEGHARASDSVSACRALVSLLEDQPTEDMKMVAICALQNFVMRSRTNRRAVAEAGGILVIQELLLSPNSEVASQAALLIKFLFSNHTLQEYVSNELIRSLTAALERELWSNATINEEVLRTLNVIFANFPKLQISEAATLCIPHLVAALKSGSEGAQESVLDTMCLLKHSWSTMPIEIARSQSMIAAEAIPILQMLMKTCPPSFHERADSLLHCLPGCLTVTIKRGNNLKQAMGATNAFCRLTIGNGPPRQTKVVNHSTTPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKTTLGRVTIQIDKVVTEGVYSGLFSLNHDSNKDGSSRTLEIEIIWSNRTENDENI >KJB53531 pep chromosome:Graimondii2_0_v6:9:19960070:19968687:-1 gene:B456_009G247700 transcript:KJB53531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFISILRSGTPLAKLNVAATLTVLCKDEDLRLKVLLGGCIPPLLSLLKSESTEARKAAAEAIFEVSSGGLSDDHVGMKIFVTEGVVPTLWEQLSPKSKQDKVVEGFVTGALKNLCSEKDGYWRATLKAGGVDVIVGLLSSDNSAAQSNAASLLARLMLAFSDSIPKVIDSGAVKALLRLVGQNNDTSVRSSAADALEALSSMSTAAKKAVVDANGIHILIGAVVAPSKECMQGEHAQALQRHATHALANICGGMSALILYLGELSKSSRLAAPVADIIGALAYAVMVFEQTTGLDEEPFDVAQIEDVLVMLLKPRDNKLVQDRVLEAMASLYGNTYLSQWLNHAEAKRVLIGLITMAAADVQEHLILSLTSLCCDKVSVWDAIGNREGIQLLISLLGLSSEQHQEHSVQLLAILTDQVDDCKWAITAAGGIPPLVQLLEMGSQKAREDAAHILWNLCCHSEDIRACVESAGAVPAFLWLLRSGGPKGQEASAKALTKLVRTADSATINQLLALLLGDTPIKKAHIIRILGHVLTMAPHQDLVFKGSAANKGLKSLVQVLNSSNEETQEYAASVLADLFSTRKDICDSLVADEIVQPCMKLLTSKAQVVATQSARVLGALSHPSKSKAANKMSYIAAADVKPLIKLAKTSLIGAAETAVAALANLLSDSHIAAEALAEDVVLALTRVLGNGSLEGKKNSSRALHQLLKHFPVSDVLVGNSQCRFAVLALVDSLNAMDMDTTDAADALEVVALLSRTKKGVNLTYPAWSVLAEDPSSLEPLVQCLAEGPPPLQDKSIEILSRLCGDQPVVLSDLLVARSTSICSLADRTMNSANLEVRVGGAALLSCTAKEHKQQSVDVLDRSGYLKPLIEALVDMAKKNSRCTSLEIEVRGRAPREFIERTAFQEGEEFDVPDPAIVLGGTVALWLLLILSSCLPKNRITIMEAGGLEVLSDKLANYASNPLAEFEDTEGIWISTLLLAILFQDENVVLSPATMRIIPSLALLLRSEEVIDRYFAAQAMASLVSNGSKGISLVIANSGAVAGLITLIGYGESDMPNLVTLSEEFSLVRNPGQVVLEHLFEIEDVRVGSTARKSIPLLVDLLRPIPDRPGAPPIAVQLLTRIADGSDTNKLIMGEAGALDALTKYLSLSPQDSTEADICELLRILFRNQELIQYEASLSSLNQLIAVLRLGSKNARFSAARALHQIFYAEHVIDSELAWQAVQPLVDMLCAASESEQEAALVALIKLTCGNASKAALMTDVEGNPLESLHKILSSASSLELKTNAAQLCFVLFGHTKFRADPIASECIQPLITLMQSDTSTAVESGVHAFERLLDDEQQVELAAAYDIVDLLVGLISGRNHQLIEASICALIKLAKDRTPLKSDMVKAGVIDNCLEVLPLASSSLSSSIAELFRILTNSSAIARSSDAAKIIEPLFMVLLRPDFGLWGQHSALQALVNILEKPQSLAALKLTPSQVIEPLISFLESPSQAIQQLGTELLTHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALEKISASWPKAVADAGGIFELSKVIIQDDPQPPHALWESAAFILSNVLHSNAEYYFKAPIIVLVKMLHSTLESTITVALNALIVHERSDPSSVEQMTEAGAIDALLNLLRSHQCEEASGRLLEALFNNVRIREMKVSKYAIAPLAQYLLDPQTRSESGRLLATLALGDLSQHEGHARASDSVSACRALVSLLEDQPTEDMKMVAICALQNFVMRSRTNRRAVAEAGGILVIQELLLSPNSEVASQAALLIKFLFSNHTLQEYVSNELIRSLTAALERELWSNATINEEVLRTLNVIFANFPKLQISEAATLCIPHLVAALKSGSEGAQESVLDTMCLLKHSWSTMPIEIARSQSMIAAEAIPILQMLMKTCPPSFHERADSLLHCLPGCLTVTIKRGNNLKQAMGATNAFCRLTIGNGPPRQTKVVNHSTTPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKTTLGRVTIQIDKVVTEGVYSGLFSLNHDSNKDGSSRTLEIEIIWSNRTENDENI >KJB59210 pep chromosome:Graimondii2_0_v6:9:19709291:19713891:-1 gene:B456_009G245100 transcript:KJB59210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAHGNPAAEKEAKGAAAEMDDIESRITTAMRSRVGHFKEQSDSLTFEGVRRLLEKDLGLETFALDVHKRFVKQCLLKWLDDGNDNEGSSGTVEKNVSTTTEGTESPKGRQPKKEIKEPCSEDEKLEESPVLGLLSENKTVKNDNKENKEVSESKIKKAIRNRASYVKANSEKVTMAGLRRLLEEDLKLDKYTLDPYKKFIAEQLDELLKSAEVSAPASEVKKKNLKKNSQSKTSEKVSKKVISASSGSENDEEGDEEEEEDDDEGEEEEEEEEVKPKKKITPKGKIKNSEGLKKRKIPKKEAEMPSKKRSKHAERNSDDNSNEEDSGSVSDDGRSQSSSAKAVKRKETSAPVYGKRVEHLKSVIKSCGMSVPPSIYKRVKQVPENKREAQLIKELEEVLSKEGLSAKPSEKEIKDVRKRKERARELEGIDMSNIVSSSRRRSTTSFVPPPKPKIPDMSDDDESEESDEDDGDDDEDNDDAEAGDDGNSQSEESEEEEDGDSD >KJB59211 pep chromosome:Graimondii2_0_v6:9:19709563:19713842:-1 gene:B456_009G245100 transcript:KJB59211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAHGNPAAEKEAKGAAAEMDDIESRITTAMRSRVGHFKEQSDSLTFEGVRRLLEKDLGLETFALDVHKRFVKQCLLKWLDDGNDNEGSSGTVEKNVSTTTEGTESPKGRQPKKEIKEPCSEDEKLEESPVLGLLSENKTVKNDNKENKEVSESKIKKAIRNRASYVKANSEKVTMAGLRRLLEEDLKLDKYTLDPYKKFIAEQLDELLKSAEVSAPASEVKKKNLKKNSQSKTSEKVSKKVISASSGSENDEEGDEEEEEDDDEGEEEEEEEEVKPKKKITPKGKIKNSEGLKKRKIPKKEAEMPSKKRSKHAERNSDDNSNEEDSGSVSDDGRSQSSSAKAVKKRNFCSSIWETCGTSKVGYQVMWDECSSLDLQEGQTGP >KJB54484 pep chromosome:Graimondii2_0_v6:9:2651256:2656188:-1 gene:B456_009G035700 transcript:KJB54484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVYDRNNENSSSMRRSGFIHGMQCISVYDSPEEKRDDRLRLLSTEEEEEERDDDESGSCSSSSIGRNSDDSGESPSDDDDSPEPEVQSQLKGPLDTMDALQEILPLRKGISKFYNGKSKSFTSLADAAAVSSVKDFAKPEDPYNRKRKNLLARSSSLDKKPNNLLGNIGTKISMASSPSNCLPPLHPQCKKSTTIIKPSSSSFTARPNPPCRSYSLSDLQFVAATTPNMAGLAVHSGNKGNKLR >KJB54486 pep chromosome:Graimondii2_0_v6:9:2654587:2656405:-1 gene:B456_009G035700 transcript:KJB54486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSGFIHGMQCISVYDSPEEKRDDRLRLLSTEEEEEERDDDESGSCSSSSIGRNSDDSGESPSDDDDSPEPEVQSQLKGPLDTMDALQEILPLRKGISKFYNGKSKSFTSLADAAAVSSVKDFAKPEDPYNRKRKNLLARSSSLDKKPNNLLGNIGTKISMASSPSNCLPPLHPQCKKSTTIIKPSSSSFTARPNPPCRSYSLSDLQFVAATTPNMAGLAVHSGNKGNKLR >KJB54485 pep chromosome:Graimondii2_0_v6:9:2654521:2656288:-1 gene:B456_009G035700 transcript:KJB54485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVYDRNNENSSSMRRSGFIHGMQCISVYDSPEEKRDDRLRLLSTEEEEEERDDDESGSCSSSSIGRNSDDSGESPSDDDDSPEPEVQSQLKGPLDTMDALQEILPLRKGISKFYNGKSKSFTSLADAAAVSSVKDFAKPEDPYNRKRKNLLARSSSLDKKPNNLLGNIGTKISMASSPSNCLPPLHPQCKKSTTIIKPSSSSFTARPNPPCRSYSLSDLQFVAATTPNMAGLAVHSGNKGNKLR >KJB61817 pep chromosome:Graimondii2_0_v6:9:52089471:52089594:-1 gene:B456_009G3840002 transcript:KJB61817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YNSKATQLLSEMVGKGFSADIFTATLFMDLIIYSNKSILL >KJB55499 pep chromosome:Graimondii2_0_v6:9:5700750:5701204:1 gene:B456_009G079000 transcript:KJB55499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLALTVNSMDFHLFPKIHSHFSQLFWFFPPLKANTFLGFPWFPELHNTIHFINRVVLPIIRLSSNPSMNKNLNPNHVNCYSAFFFLQTEHIGTIII >KJB54397 pep chromosome:Graimondii2_0_v6:9:2410297:2416099:1 gene:B456_009G032200 transcript:KJB54397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPQEAGVGGPFSFPPHRRSHLRSVTYRNLVRLMSLCYGDSPLATAPPIIPLPQTPDNGGNGGEQGGDDVREPGESIVSDGREPVSMVANGGSEGAVFRDKGLNDTQMVIDEIEQIMGMDDGQDLFDQNDMMVNSSMEVNSFQDGEIGREQMLMADLENIMKGNEDYHQISNCSAATLGQNQGDNCPGILLNNQDEHNDCPPVVMESGTVGQTQVDMEGGNQKNIDPFGISSNKSLAIEVSKLSGKVKDQSSSLKTNLMHEKNEIQQKEMELENIIPSNEGMCSPGPVVEKGELEEGEIFGESLLVNESIDILLDDAVVSEKKVGDAVVSGKKVEETLISGGTFDDKHPYCNEESTVNDGTSEFTFVNTTVAPIENKVTPGEFKGSETGQMVCELGMMQRKECSGKIQKQIGHKNKVIETKLKKDVGLSNKKKQILTPAQKKEKKKKNKRKKRAEKNRQLGVKRLKLQTVLKPKTVTYCRHYLKGRCHEGEKCKFSHDTVPLTKSQPCSHFARQSCMKGDDCPFDHQLSKYPCINYTTKGSCSRGDDCLFSHKKPLEGDVASLSVAPDLPLKSSLQSDSDVGLNISRAPPKNANALSYSLGGFSDKSKKQIVADTSSKTPNLACKGVNSLFVSRSSIAESIQLNQGSSSQKMNESGRVGSQSNQRMLGVRGPASKPSVAESSKFILGSSSLKMNGSGRLGIQGHQSESHTIQNGNDSPKKKPEMVPRGINFLSFGKSSLEDSTRKVSLANGADGYKQQPSDIEGGGGKFSSQLAPSTSSTDKKKSHPAVVPPGINLTLGKLVSSGSSTSSSLPYCSDNVNNGSLPKINYTAGEQRNSSAMSYKLPVSPQTSGQSSEWLAHKSTPNAREALISTLAVAKKFDDVKGKSVHGSQQLSDKQYDSNANPWKMPASLLTTGQSSEKITPKSTPNSSQKALMSTLAFATMFEFGMKKNQSAIRTAVNSETGDSRTGEGTKTDTAKTSKLLDILSSVGSKIK >KJB56229 pep chromosome:Graimondii2_0_v6:9:8138890:8140790:1 gene:B456_009G111400 transcript:KJB56229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQRGPLLSWAYFCQGKTMEELRYSLFYTTMELEQTRMAVQEELRKRDDQLIQLKELLSKAIRERDEAQEKCQRLFLEKLLLHQLQQQQQQKQQVSPLSGVSGVEDERIKGIDSNNGFSSSDCEGSIVSSPVLDPTQPPQLPPQGPPPQSMPQTTMELVPDKPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLESFEIPPVTIPSPPPPPQLLHQDSFPSINACNSLNTCGKVNRKRGLYDGPDSPAETKYQRLVLD >KJB56228 pep chromosome:Graimondii2_0_v6:9:8138890:8140790:1 gene:B456_009G111400 transcript:KJB56228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRYSLFYTTMELEQTRMAVQEELRKRDDQLIQLKELLSKAIRERDEAQEKCQRLFLEKLLLHQLQQQQQQKQQVSPLSGVSGVEDERIKGIDSNNGFSSSDCEGSIVSSPVLDPTQPPQLPPQGPPPQSMPQTTMELVPDKPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLESFEIPPVTIPSPPPPPQLLHQDSFPSINACNSLNTCGKVNRKRGLYDGPDSPAETKYQRLVLD >KJB60678 pep chromosome:Graimondii2_0_v6:9:30438396:30439416:1 gene:B456_009G319200 transcript:KJB60678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSSVGLGLSIVFGCLVLALIAEVYYLLWWKNRLRTSTDLENDLKNPEEEQSRHHHHHHHHHHHRNLQSNKDLLLKPLDEEHGNGNGNGNDEQLPPRFLFTITEETMEDLESFETPYLTPFSSPPFFTPPLTPIDVACFSHQLGFNPLFESEADANFNRLSSSSSSSSLHPPASRFKFLKEAEVKLHRKPLKEDVHNNGGFKLSSDYLREEEDGSFITIIVDNNKEERFSHINQHQSHTPSQVLRFS >KJB56592 pep chromosome:Graimondii2_0_v6:9:9545904:9546312:1 gene:B456_009G126500 transcript:KJB56592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVRESIRINPFVLTVSEPKGSSARLIMPVRNPVELVLWLHYDKQSSSELQIEKPPPPISRHQEL >KJB54774 pep chromosome:Graimondii2_0_v6:9:3525099:3528989:-1 gene:B456_009G048600 transcript:KJB54774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCGDLVDNKSAIVGGVGDMYSEDSATLDQPITPWTISVASGYSLMRDPRYNKGLAFTEKERDAHYLRGLLPPVVLSQELQEKKMMNLIRQYEVPLHRYIAMVGLQETNERLFYRLLIDNVEELLPVVYTPTVGEACQKYGSIFKHSQGLYISLKEKGKILETLKNWPERTVQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSLCLPITIDVGTNNEKLLNDEFYIGLRQKRATGKQEYDELLQEFMYAVKQNYGEKVLIQFEDFANHNAFELLARYSSSHLVFNDDIQGTAAVVLAGLLSALRLLGGTLADHTFLFLGAGEAGTGIADLIALEISEQNGKPIEENRKNIWLVDSKGLIVSSRKESLQDFKKPWAHEHEPITGLINAVKAIKPTVLIGTSGVGKQFTKEIVEDMASFNKTPLIMALSNPTSQAECTAEEAYTWSEGRAIFASGSPFDPFEYEGKTFVPGQANNAYIFPGFGLGVIMAGSIRVHNGMLLAASKALASQVAEEHYEKGMIYPPLTNIRKISANIAAKVAAKAYELGLASHLPQPEDLVESAEKCMYSPVYRSYL >KJB54776 pep chromosome:Graimondii2_0_v6:9:3525721:3528989:-1 gene:B456_009G048600 transcript:KJB54776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCGDLVDNKSAIVGGVGDMYSEDSATLDQPITPWTISVASGYSLMRDPRYNKGLAFTEKERDAHYLRGLLPPVVLSQELQEKKMMNLIRQYEVPLHRYIAMVGLQETNERLFYRLLIDNVEELLPVVYTPTVGEACQKYGSIFKHSQGLYISLKEKGKILETLKNWPERTVQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSLCLPITIDVGTNNEKLLNDEFYIGLRQKRATGKEYDELLQEFMYAVKQNYGEKVLIQFEDFANHNAFELLARYSSSHLVFNDDIQGTAAVVLAGLLSALRLLGGTLADHTFLFLGAGEAGTGIADLIALEISEQNGKPIEENRKNIWLVDSKGLIVSSRKESLQDFKKPWAHEHEPITGLINAVKAIKPTVLIGTSGVGKQFTKEIVEDMASFNKTPLIMALSNPTSQAECTAEEAYTWSEVHAL >KJB54775 pep chromosome:Graimondii2_0_v6:9:3525099:3529822:-1 gene:B456_009G048600 transcript:KJB54775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYFLQAKTMCFIVSFKLANRSKLLLAMVVYRLEGFLEFVTCSCAFDLILLLNGGSGYSLMRDPRYNKGLAFTEKERDAHYLRGLLPPVVLSQELQEKKMMNLIRQYEVPLHRYIAMVGLQETNERLFYRLLIDNVEELLPVVYTPTVGEACQKYGSIFKHSQGLYISLKEKGKILETLKNWPERTVQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSLCLPITIDVGTNNEKLLNDEFYIGLRQKRATGKEYDELLQEFMYAVKQNYGEKVLIQFEDFANHNAFELLARYSSSHLVFNDDIQGTAAVVLAGLLSALRLLGGTLADHTFLFLGAGEAGTGIADLIALEISEQNGKPIEENRKNIWLVDSKGLIVSSRKESLQDFKKPWAHEHEPITGLINAVKAIKPTVLIGTSGVGKQFTKEIVEDMASFNKTPLIMALSNPTSQAECTAEEAYTWSEGRAIFASGSPFDPFEYEGKTFVPGQANNAYIFPGFGLGVIMAGSIRVHNGMLLAASKALASQVAEEHYEKGMIYPPLTNIRKISANIAAKVAAKAYELGLASHLPQPEDLVESAEKCMYSPVYRSYL >KJB54772 pep chromosome:Graimondii2_0_v6:9:3525071:3529278:-1 gene:B456_009G048600 transcript:KJB54772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCGDLVDNKSAIVGGVGDMYSEDSATLDQPITPWTISVASGYSLMRDPRYNKGLAFTEKERDAHYLRGLLPPVVLSQELQEKKMMNLIRQYEVPLHRYIAMVGLQETNERLFYRLLIDNVEELLPVVYTPTVGEACQKYGSIFKHSQGLYISLKEKGKILETLKNWPERTVQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSLCLPITIDVGTNNEKLLNDEFYIGLRQKRATGKEYDELLQEFMYAVKQNYGEKVLIQFEDFANHNAFELLARYSSSHLVFNDDIQGTAAVVLAGLLSALRLLGGTLADHTFLFLGAGEAGTGIADLIALEISEQNGKPIEENRKNIWLVDSKGLIVSSRKESLQDFKKPWAHEHEPITGLINAVKAIKPTVLIGTSGVGKQFTKEIVEDMASFNKTPLIMALSNPTSQAECTAEEAYTWSEGRAIFASGSPFDPFEYEGKTFVPGQANNAYIFPGFGLGVIMAGSIRVHNGMLLAASKALASQVAEEHYEKGMIYPPLTNIRKISANIAAKVAAKAYELGLASHLPQPEDLVESAEKCMYSPVYRSYL >KJB54773 pep chromosome:Graimondii2_0_v6:9:3525099:3528989:-1 gene:B456_009G048600 transcript:KJB54773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCGDLVDNKSAIVGGVGDMYSEDSATLDQPITPWTISVASGYSLMRDPRYNKGLAFTEKERDAHYLRGLLPPVVLSQELQEKKMMNLIRQYEVPLHRYIAMVGLQETNERLFYRLLIDNVEELLPVVYTPTVGEACQKYGSIFKHSQGLYISLKEKGKILETLKNWPERTVQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSLCLPITIDVGTNNEKLLNDEFYIGLRQKRATGKEYDELLQEFMYAVKQNYGEKVLIQFEDFANHNAFELLARYSSSHLVFNDDIQGTAAVVLAGLLSALRLLGGTLADHTFLFLGAGEAGTGIADLIALEISEQNGKPIEENRKNIWLVDSKGLIVSSRKESLQDFKKPWAHEHEPITGLINAVKAIKPTVLIGTSGVGKQFTKEIVEDMASFNKTPLIMALSNPTSQAECTAEEAYTWSEVHAL >KJB62831 pep chromosome:Graimondii2_0_v6:9:68967921:68971465:-1 gene:B456_009G4391002 transcript:KJB62831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGYVEEKSGDGDDCLAKQTVQDAAVVIDSSVLKSDSASDSSIVIDSSLKQSDGKVDCSVETDVNSIVIDSVTVDDDATHIEIKTDSDSSKSSTTVETDANFVVGSISFVVDVQSNQYNGNLADSEMGSQLVDKSLDVVSGLKSETNVSSDSISVVASNSSERTCGITNGGIEFSSFDDEAERKIPLNYMIRVPRNNDESLKVEIRLAQIKVDEKSRIREGIRNDMQSTRVTCKEYGNDFNAAVSQERKARDLHRSKCREIESMQSVLDIEDIDIKIRNMEWTIQHQTLPLKDEKKFISDIKQLKQTREKLSSTMSRQDENQQGLDRKERLKALNSLKKEADQLKANLKNAEAITKAAKRKYYEETEKLSELQYQLKAANDIQQEVYAQLQSLKKQSYEKSKHFWQYKDDLNKANELASKGDKVALQNFCSNQSMVQVEKFMDLWNNNDEFRKEYVRCNERSTLWRLRTLDGRALGPGEVPPVIPRALNGRAVVDHTMSGLTLEDRTQELVAVAKAEKVLAEKVVEQKKFMKSAPPESVSTTASNGDKIEEAEEEKPKRTKEEEESDRKAEELRKEEEAAKLKEQRRLEEIAKAKEALERKMRKAEKDEAREANRAAKKAEKKEKKREKRAKKKENRKAVATAGDTGIEDEALSACSTFETLVETSKEIENKEKPIAATTERPQKASKFVKQTKVTSIPPPIRNRGLQGITEQSVFYHNH >KJB58255 pep chromosome:Graimondii2_0_v6:9:15572511:15574270:1 gene:B456_009G201200 transcript:KJB58255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSITLSRDSNSYWTPKQNKLFERALAVYDKDTPDRWQKVAAAVGEKSVEEVRRHYEILVRDLMYIESGQIPIPNYKSTGSNRR >KJB61537 pep chromosome:Graimondii2_0_v6:9:48826574:48826808:1 gene:B456_009G3652001 transcript:KJB61537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QIHEEIGNLLVLEMLSIRAIKGLTAYQVDLSNNSLSGSLPNDMCQHLPKLEVLYLEDIKFSLFL >KJB56196 pep chromosome:Graimondii2_0_v6:9:8000747:8001437:1 gene:B456_009G109500 transcript:KJB56196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLFSLTSSQNLNDCSSQLICTNSKCNDDPDVGTHIRRRSSPAPLRGNCQPSCPLRCEIKTYTTYKCSPPVISSTKAKLTISDFNQGGDGGDRSKCDEQSHDNFERIVALSIGWYDGGSRCGKMIRIMVSNGKSVTAKVVDECDSMHGCDEAHAYQRPCNNKIIDGSNAVWSALELNKEKGIEDVTWSMA >KJB59808 pep chromosome:Graimondii2_0_v6:9:22919213:22921806:1 gene:B456_009G273700 transcript:KJB59808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFRFAKNPLKLSYGRKRGDKRTVVDGALVFDSGSQVSASYMVGTRNCKLKYSYLHGGVTTLEPCYDLGKNVWDFAISRRLYDNVFKATYQTWSKNLALEWLRNHVFNGTFKMSASVNLAEESKDPKFIAETTWELEM >KJB59807 pep chromosome:Graimondii2_0_v6:9:22919163:22921809:1 gene:B456_009G273700 transcript:KJB59807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASLRGRHSNCKSTAADYAAVNAGDVKLRASMTDARIVNGPSLNGLTFAIKKPGFYRYIPQKLMNSFRFAKNPLKLSYGRKRGDKRTVVDGALVFDSGSQVSASYMVGTRNCKLKYSYLHGGVTTLEPCYDLGKNVWDFAISRRLYDNVFKATYQTWSKNLALEWLRNHVFNGTFKMSASVNLAEESKDPKFIAETTWELEM >KJB59809 pep chromosome:Graimondii2_0_v6:9:22919213:22921806:1 gene:B456_009G273700 transcript:KJB59809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASLRGRHSNCKSTAADYAAVNAGDVKLRASMTDARIVNGPSLNGLTFAIKKPGFYRYIPQKLMNSFRFAKNPLKLSYGRKRGDKRTVVDGALVFDSGSQVSASYMVGTRNCKLKYSYLHGGVTTLEPCYDLGKNVWDFAISRRLYDNVFKATYQTWSKNLALEWLRNHVFNGTFKVTKTNLDHCFFDVF >KJB55588 pep chromosome:Graimondii2_0_v6:9:6107021:6108639:-1 gene:B456_009G083700 transcript:KJB55588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFASPSTVVGLGGTATSMSSPPMKTRLSSGFLKSGVTARNPLRLAGACGGKFTCFERDWLRRDFNVIGFGLIGWIAPSSIPAIDGKSLTGLFFESIGTELAHFPTPPPLASQFWLWLVLWHLGLFITLTFGQIGFKGRNEDYFKY >KJB57766 pep chromosome:Graimondii2_0_v6:9:13863647:13866529:1 gene:B456_009G179800 transcript:KJB57766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVQYRLERMVDELDDLESRGIFTRREIAEIVKQRRKFEYRLKRPSPLKQDFIAYIDYETQLDALRRLRKKAATRELLRQGKKKIKTRKSVSDFAPVSRIMEIYRLAVMRHKGDVELWFRYLEFCRQRKNGRMKKVLAQVIRFHPKLPGVWIYAAAWEFDHNLNVAAARALMQSGLRMCPNSEDLWVEYLRMELTYLNKLKARKVALGEDKGTLVRDKKDADEKQWKEENKDLFMSLDDEDKENNDHVSDEESGKKLDLFSEHASGLLKTIYGGAIQALPSSFSLRKQFLEILESIEVADSEELRIEILRDMKRDFSADPEYWNWLARLEMPDASITGEKNEDAMHSRLQKAVQVYEEAIKAVPSAPMFKLYINFLLDAIASERREVEAFSLSNHASSYISDILKVYEKAETTGCLTEELACQCISFYTQLGRLEEAKKVAENLCIGKLSDSVQLWLLRVSVEIRCITKDSLSPSKADALSIFELFRTVLTKMSISEAESLWIMALKFFANQKKSFEKLIELSLKAVAKYGGNENGFSLSSAVVNFILQKDGLKHAREVYKRFLALPHPGLALYKNCIELESNLASLGNEDSLVNTRKLYEAALATYDQDTSLWKDYHSLETKLGTSETAAAIYWRARKSLKDSAVDFTSPDQL >KJB57765 pep chromosome:Graimondii2_0_v6:9:13863647:13865730:1 gene:B456_009G179800 transcript:KJB57765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVQYRLERMVDELDDLESRGIFTRREIAEIVKQRRKFEYRLKRPSPLKQDFIAYIDYETQLDALRRLRKKAATRELLRQGKKKIKTRKSVSDFAPVSRIMEIYRLAVMRHKGDVELWFRYLEFCRQRKNGRMKKVLAQVIRFHPKLPGVWIYAAAWEFDHNLNVAAARALMQSGLRMCPNSEDLWVEYLRMELTYLNKLKARKVALGEDKGTLVRDKKDADEKQWKEENKDLFMSLDDEDKENNDHVSDEESGKKLDLFSEHASGLLKTIYGGAIQALPSSFSLRKQFLEILESIEVADSEELRIEILRDMKRDFSADPEYWNWLARLEMPDASITGEKNEDAMHSRLQKAVQVYEEAIKAVPSAPMFKLYINFLLDAIASERREVEAFSLSNHASSYISDILKVYEKAETTGCLTEELACQCISFYTQLGRLEEAKKVAENLCIGKLSDSVQLWLLRVSVEIRCITKDSLSPSKADALSIFELFRTVLTKMSISEAESLWIMVCLSINFHLCFSVLFNALVDVYLLIIPLLNEPWIP >KJB61499 pep chromosome:Graimondii2_0_v6:9:47766494:47767327:1 gene:B456_009G362200 transcript:KJB61499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQKTAQQTTNGKLWNSSSEALTLTDKKVWQGSHYANFPEIIEDGDSSEFTHESVTDDADSHGSVAGLVYRRRDGTKWVVAWSNPLDENSKVYTDIQRQPIHWGQIKTNLEKRGKPKFKVTKFGYIASIEIDPVSRSPTMKASFELEA >KJB61455 pep chromosome:Graimondii2_0_v6:9:47138978:47139697:1 gene:B456_009G358900 transcript:KJB61455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEECFCSMDKLLVGTLMAQIMTMKYDGSMGMQEHIIVMTNIEKARLKSQRSNSINLVDQGAGKGLKATTNKFKKKKNSSVNVSNGDKKEQMVDMCHFCKKGHYQKDFQKHKAWFEKKGIPFNLDHKPN >KJB60544 pep chromosome:Graimondii2_0_v6:9:28942921:28948076:-1 gene:B456_009G311200 transcript:KJB60544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYEEPRYQGNGDNLENSYGGGSSPKSRSDDQNDSKYQDYERESSKSREKEREKGRDKERDKDRDRHRDRDRDKDRDRDREKDRDRERHHRDRHRDRSRERSERRDRGRDRDDDDYYRSRDYDRRRDYDRDREDRHRRRSRSRSERRSKSRSPSRSRSRSRSKRISGFDMAPPASAMLAAGAVAAAAAGQIPGTTNPTIPGVFPNMFPIATGQPFGALPVMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSHVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLILQPQGVPTKVVCLTQALNVDDLRDDDEYEDIVEDMRQEGGKYGALVNIVIPRPNPNGEPAPGVGKVFLEYSDVEGSKKAQAAMNGRKFGGNQVIAVYYPENKFAQGEYDG >KJB60542 pep chromosome:Graimondii2_0_v6:9:28942848:28948081:-1 gene:B456_009G311200 transcript:KJB60542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYEEPRYQGNGDNLENSYGGGSSPKSRSDDQNDSKYQDYERESSKSREKEREKGRDKERDKDRDRHRDRDRDKDRDRDREKDRDRERHHRDRHRDRSRERSERRDRGRDRDDDDYYRSRDYDRRRDYDRDREDRHRRRSRSRSERRSKSRSPSRSRSRSRSKSKRISGFDMAPPASAMLAAGAVAAAAAGQIPGTTNPTIPGVFPNMFPIATGQPFGALPVMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSHVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLILQPQGVPTKVVCLTQALNVDDLRDDDEYEDIVEDMRQEGGKYGALVNIVIPRPNPNGEPAPGVGKVFLEYSDVEGSKKAQAAMNGRKFGGNQVIAVYYPENKFAQGEYDG >KJB60541 pep chromosome:Graimondii2_0_v6:9:28943941:28947974:-1 gene:B456_009G311200 transcript:KJB60541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYEEPRYQGNGDNLENSYGGGSSPKSRSDDQNDSKYQDYERESSKSREKEREKGRDKERDKDRDRHRDRDRDKDRDRDREKDRDRERHHRDRHRDRSRERSERRDRGRDRDDDDYYRSRDYDRRRDYDRDREDRHRRRSRSRSERRSKSRSPSRSRSRSRSKSKRISGFDMAPPASAMLAAGAVAAAAAGQIPGTTNPTIPGVFPNMFPIATGQPFGALPVMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSHVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLILQPQGVPTKVVCLTQALNVDDLRDDDEYEDIVEDMRQEGGKYALSCSTFCYKESSLTYSDRRLAISL >KJB60543 pep chromosome:Graimondii2_0_v6:9:28944110:28947974:-1 gene:B456_009G311200 transcript:KJB60543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYEEPRYQGNGDNLENSYGGGSSPKSRSDDQNDSKYQDYERESSKSREKEREKGRDKERDKDRDRHRDRDRDKDRDRDREKDRDRERHHRDRHRDRSRERSERRDRGRDRDDDDYYRSRDYDRRRDYDRDREDRHRRRSRSRSERRSKSRSPSRSRSRSRSKSKRISGFDMAPPASAMLAAGAVAAAAAGQIPGTTNPTIPGVFPNMFPIATGQPFGALPVMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSHVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLILQPQGVPTKVVCLTQALNVDDLRDDDEYEDIVEDMRQEGGKYGKIPS >KJB58460 pep chromosome:Graimondii2_0_v6:9:16354155:16355871:1 gene:B456_009G211200 transcript:KJB58460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYQQQKTTEQVVDRKHGEGKLKQEPKEGSADKQSPPASPPSAASSPSHEFSFTVVSLHSSSNSVPGKTKTPPSMAIDLSPADDIFFHGHLLPLHLLSHLPVSPRCSTNSLDGFNGPITDEPKPDKPNTGCKSKSDSNIKSSNKNHGKVGNRPQSYNIEANGRPKSKSFTLFRLTRWHHKGRGVRETEEKEKHKTKMRFDLRHVLKRYVRMVRPLLFFRGRRDNWHLQRQSHSFSGNLSWKNKEKELRARKGRGEYYSAPASMRTSPTNSGLLVATTGFPSSTSDSTMEELQAAIQAAIAHCKNSIQGEDKFKC >KJB59425 pep chromosome:Graimondii2_0_v6:9:20900620:20900943:1 gene:B456_009G254300 transcript:KJB59425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVFYSSLAFALHLLSLSLSLSWMVFILAVHWMVFQYTHTLYLSIYLYLYRHFFGGKVVYEKPLNDVFTVKLYMMLA >KJB56939 pep chromosome:Graimondii2_0_v6:9:10815881:10820991:1 gene:B456_009G142600 transcript:KJB56939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKYKLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLMYESKLYKILQGGTGIPNVRWFGIEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFSHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLRAGTKKQKYDKISEKKVSTSIEALCRGYPSEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIANPPTRALGSGAGTSSGLPPAGAIADRQSGEETRPSGWSSADPTRRRHSGLIANSGSLAKQKGPVANDLSAAKDPMLSSSNFLRSSGSSRRAAVSSSHEAAAIAVSGPERSHFRATDATAGPFQKISSGQRNSPVLSSENKRPSSGRNSSNINNLESAVRGIESLHFRNEERLHY >KJB59757 pep chromosome:Graimondii2_0_v6:9:22541231:22542658:1 gene:B456_009G270100 transcript:KJB59757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREGGVVMMNRAEIDTRAPFRSVKEAVALFGHKVLSGQLYPIKLKEEEMNGREGSENGCSRLGTVTAELEETKYNLEKAREESLMMANCLCALKEELERTKTELQQMKERETEKLMMEFEMEDVKIVPGSARYEVNETRTFNEEGTTEFQQKRYVTFSNQPCLTQVVGPQGVEKLERHPSLRKKKKKPLIPLIGGLFSKRKGSQ >KJB61701 pep chromosome:Graimondii2_0_v6:9:51035993:51038005:-1 gene:B456_009G375400 transcript:KJB61701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLPSSFILRSVVNGGSHLSNFHSSSSNTIATHIEALSKKPMPVRGKGKIDYRFDNVDDALIVFNKMIGKYPKPSIVEFNKLLGAVVRMKHYAIVVSMYRQIELLGVSHDGYSMNILINGFCQLGRIDFGLSVLGKMLKLGVEPSVVTFSTLINGLCIQSKISEAISIFDGMTERGYQLDLIVYNTILKGLCKIGNTDRAARFLRLMEGTGFEPDIVAYNTILDCLCKKGLLKEALNLFSEMKVKGIRPDIITYNCLIHGMCNSGRQEEATRLLNEMVDNNISLDIVTYTILVDALCTEGMISKAVEIVDTMRKQGIEPNVVTYSTLVDAHCKEGMVSKAEDIVDTMIKRGIEPDVITYSALVNGHCLQNEMDKARGVFNLMIEKGCAPDIVTYNTMINGYCKGKRLDEAMELFHEISRKGPILDTVTYSTLLQSMFQLGRVLTACELFRKVLASGQIPDIVTCLILLNALCKTGHIEEALKLFEAMRNSGLELDIVPYTILIDGFCKVGHIKVAKELFHQLSNNGLKPDVYTYCIMINGLCKDGLPDEAYRLFGSMGDNDCSLDSCCYNVMIRGFLRNSYTSKATQLLTEMVGKGFCADIFTVTLFMDLILHSNRSILL >KJB61702 pep chromosome:Graimondii2_0_v6:9:51036071:51038005:-1 gene:B456_009G375400 transcript:KJB61702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHIEALSKKPMPVRGKGKIDYRFDNVDDALIVFNKMIGKYPKPSIVEFNKLLGAVVRMKHYAIVVSMYRQIELLGVSHDGYSMNILINGFCQLGRIDFGLSVLGKMLKLGVEPSVVTFSTLINGLCIQSKISEAISIFDGMTERGYQLDLIVYNTILKGLCKIGNTDRAARFLRLMEGTGFEPDIVAYNTILDCLCKKGLLKEALNLFSEMKVKGIRPDIITYNCLIHGMCNSGRQEEATRLLNEMVDNNISLDIVTYTILVDALCTEGMISKAVEIVDTMRKQGIEPNVVTYSTLVDAHCKEGMVSKAEDIVDTMIKRGIEPDVITYSALVNGHCLQNEMDKARGVFNLMIEKGCAPDIVTYNTMINGYCKGKRLDEAMELFHEISRKGPILDTVTYSTLLQSMFQLGRVLTACELFRKVLASGQIPDIVTCLILLNALCKTGHIEEALKLFEAMRNSGLELDIVPYTILIDGFCKVGHIKVAKELFHQLSNNGLKPDVYTYCIMINGLCKDGLPDEAYRLFGSMGDNDCSLDSCCYNVMIRGFLRNSYTSKATQLLTEMVGKGFCADIFTVTLFMDLILHSNRSILL >KJB58720 pep chromosome:Graimondii2_0_v6:9:17347243:17348899:-1 gene:B456_009G222900 transcript:KJB58720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDTKLKAYIEQHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDKIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEHQSRRGNSLKQDMKRSSASVGDSMVPADNINQIPYWPELPVLAAAAAPIPHSSQEHRIDSQASMRRLLIKLGGRFSEDDHVVNDGTTLHQFPNDLSTTDQDLYEQTVYVPSSSSSSPMDALSLSNNIGSQFVNSQFAIDGGNLPILQGQSTTFSSELQEMGYSSNPQRLDGMEFLYGEGMVDNRGVNPCESIGWGDTSSLVGPPCASEYGVMQQGMLQEYGFSEMRYPGGAQ >KJB56254 pep chromosome:Graimondii2_0_v6:9:8228502:8229276:1 gene:B456_009G112900 transcript:KJB56254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCSPCAACKFLRRRCAEDCIFAPYFPSQDPHRFAIVHKVFGASNISKALQELPVDQRGDAASSMVFEANARVRDPVYGCVGAISYLQNQVSQLQMQLTVAQTELLRIKMQQDLPSPPYLAPTNNFNNIDSQPAYLNFPPSTNVIQDPSLKTESIWT >KJB57962 pep chromosome:Graimondii2_0_v6:9:14396710:14402027:-1 gene:B456_009G187500 transcript:KJB57962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDYMDELLLDGCWLEASPTEGSDFLDPNAFFDPTFEWPTLDSNGGDLGGSLFQGEDPISFLPGNPHMNENHSQGAHLSHNLDDVDGVSRNLHGDCMTDASELSRRWWIGPRANPGPSTSVMQRLIGALNHIKDSAKETDVLVQLWLPVNKGGRRILTTSEQPFSLDPNSQRLASYRNISAKYQFPAEEDSKDMAGLPGRVFLSKVPEWTPDVRFFRSDEYHRVDHAQQHGVRGTFALPVFEQGNRTCLGVIEVVMTTEKIKIKPEMESVCKALEAVNLRSFTASSTRNIQACYSSYQAALPEIKEVLKRACETHRLPLAQTWVPCMQLGKEGCPHSTENYFHCVSTVDDACYIAKPNIRGFHEVCSEHHLLKGQGVAGRAFMTNQPCFSTDITSFKKTEYPLAHHAKMLKLHAAVAIRFRCIHTGKADFVLEFFLPVDCRDPEGQKEMLNSLSITLQQVCCSLRVVTNEELEEDRDMGVSEVIASLDGIPCRKELSEEQCTYHSEKYSRENSSWTASLTEVNQSSSTSTAMKLRKEKPRATWDEKISKVKQHKKQISLRGTVEGGDSTINEISFSSATKRKTGQKRHSKAEKTITLQVLRQYFSESLKDAAKSLGVCPTTLKRTCRHHGIERWPSRKLQKVGNSLEKLQHVIDSVQGVSGAFHISSLYPNFSELASPRSSGKSTSSASVLGLNDKPKKTTILPEGGNFVSQAATSNSPSSSCSQSSSSSHSCSSGTHHPSKSNISGNGGLIIGENSGNGELKRVTNDTELHTLSQEGLKLFPRSHSPASVKEQLISDSIQPVVTENNSQIAQDLDAQTQKVKVTYGDDKIHFRMQSKWRLKDLLLEITRRFNIDDISGFDLKYLDDDSEWVLLTCDADLEECIDVCRWCEGNTIRLLLQASHHHLDRSSGSTCPS >KJB57960 pep chromosome:Graimondii2_0_v6:9:14396710:14401735:-1 gene:B456_009G187500 transcript:KJB57960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDYMDELLLDGCWLEASPTEGSDFLDPNAFFDPTFEWPTLDSNGGDLGGSLFQGEDPISFLPGNPHMNENHSQGAHLSHNLDDVDGVSRNLHGDCMTDASELSRRWWIGPRANPGPSTSVMQRLIGALNHIKDSAKETDVLVQLWLPVNKGGRRILTTSEQPFSLDPNSQRLASYRNISAKYQFPAEEDSKDMAGLPGRVFLSKVPEWTPDVRFFRSDEYHRVDHAQQHGVRGTFALPVFEQGNRTCLGVIEVVMTTEKIKIKPEMESVCKALEAVNLRSFTASSTRNIQACYSSYQAALPEIKEVLKRACETHRLPLAQTWVPCMQLGKEGCPHSTENYFHCVSTVDDACYIAKPNIRGFHEVCSEHHLLKGQGVAGRAFMTNQPCFSTDITSFKKTEYPLAHHAKMLKLHAAVAIRFRCIHTGKADFVLEFFLPVDCRDPEGQKEMLNSLSITLQQVCCSLRVVTNEELEEDRDMGVSEVIASLDGIPCRKELSEEQCTYHSEKYSRENSSWTASLTEVNQSSSTSTAMKLRKEKPRATWDEKISKVKQHKKQISLRGTVEGGDSTINEISFSSATKRKTGQKRHSKAEKTITLQVLRQYFSESLKDAAKSLGVCPTTLKRTCRHHGIERWPSRKLQKVGNSLEKLQHVIDSVQGVSGAFHISSLYPNFSELASPRSSGKSTSSASVLGLNDKPKKTTILPEGGNFVSQAATSNSPSSSCSQSSSSSHSCSSGTHHPSKSNISGNGGLIIGENSGNGELKRVTNDTELHTLSQEGLKLFPRSHSPASVKEQLISDSIQPVVTENNSQIAQDLDAQTQKVKVTYGDDKIHFRMQSKWRLKDLLLEITRRFNIDDISGFDLKYLDDDSEWVLLTCDADLEECIDVCRWCEGNTIRLLLQASHHHLDRSSGSTCPS >KJB57958 pep chromosome:Graimondii2_0_v6:9:14396710:14401161:-1 gene:B456_009G187500 transcript:KJB57958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSILLPGMQLDYMDELLLDGCWLEASPTEGSDFLDPNAFFDPTFEWPTLDSNGGDLGGSLFQGEDPISFLPGNPHMNENHSQGAHLSHNLDDVDGVSRNLHGDCMTDASELSRRWWIGPRANPGPSTSVMQRLIGALNHIKDSAKETDVLVQLWLPVNKGGRRILTTSEQPFSLDPNSQRLASYRNISAKYQFPAEEDSKDMAGLPGRVFLSKVPEWTPDVRFFRSDEYHRVDHAQQHGVRGTFALPVFEQGNRTCLGVIEVVMTTEKIKIKPEMESVCKALEAVNLRSFTASSTRNIQACYSSYQAALPEIKEVLKRACETHRLPLAQTWVPCMQLGKEGCPHSTENYFHCVSTVDDACYIAKPNIRGFHEVCSEHHLLKGQGVAGRAFMTNQPCFSTDITSFKKTEYPLAHHAKMLKLHAAVAIRFRCIHTGKADFVLEFFLPVDCRDPEGQKEMLNSLSITLQQVCCSLRVVTNEELEEDRDMGVSEVIASLDGIPCRKELSEEQCTYHSEKYSRENSSWTASLTEVNQSSSTSTAMKLRKEKPRATWDEKISKVKQHKKQISLRGTVEGGDSTINEISFSSATKRKTGQKRHSKAEKTITLQVLRQYFSESLKDAAKSLGVCPTTLKRTCRHHGIERWPSRKLQKVGNSLEKLQHVIDSVQGVSGAFHISSLYPNFSELASPRSSGKSTSSASVLGLNDKPKKTTILPEGGNFVSQAATSNSPSSSCSQSSSSSHSCSSGTHHPSKSNISGNGGLIIGENSGNGELKRVTNDTELHTLSQEGLKLFPRSHSPASVKEQLISDSIQPVVTENNSQIAQDLDAQTQKVKVTYGDDKIHFRMQSKWRLKDLLLEITRRFNIDDISGFDLKYLDDDSEWVLLTCDADLEECIDVCRWCEGNTIRLLLQASHHHLDRSSVLKETLVSCFLHIALAEEVQ >KJB57961 pep chromosome:Graimondii2_0_v6:9:14397288:14400747:-1 gene:B456_009G187500 transcript:KJB57961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSILLPGMQLDYMDELLLDGCWLEASPTEGSDFLDPNAFFDPTFEWPTLDSNGGDLGGSLFQGEDPISFLPGNPHMNENHSQGAHLSHNLDDVDGVSRNLHGDCMTDASELSRRWWIGPRANPGPSTSVMQRLIGALNHIKDSAKETDVLVQLWLPVNKGGRRILTTSEQPFSLDPNSQRLASYRNISAKYQFPAEEDSKDMAGLPGRVFLSKVPEWTPDVRFFRSDEYHRVDHAQQHGVRGTFALPVFEQGNRTCLGVIEVVMTTEKIKIKPEMESVCKALEAVNLRSFTASSTRNIQACYSSYQAALPEIKEVLKRACETHRLPLAQTWVPCMQLGKEGCPHSTENYFHCVSTVDDACYIAKPNIRGFHEVCSEHHLLKGQGVAGRAFMTNQPCFSTDITSFKKTEYPLAHHAKMLKLHAAVAIRFRCIHTGKADFVLEFFLPVDCRDPEGQKEMLNSLSITLQQVCCSLRVVTNEELEEDRDMGVSEVIASLDGIPCRKELSEEQCTYHSEKYSRENSSWTASLTEVNQSSSTSTAMKLRKEKPRATWDEKISKVKQHKKQISLRGTVEGGDSTINEISFSSATKRKTGQKRHSKAEKTITLQVLRQYFSESLKDAAKSLGVCPTTLKRTCRHHGIERWPSRKLQKVGNSLEKLQHVIDSVQGVSGAFHISSLYPNFSELASPRSSGKSTSSASVLGLNDKPKKTTILPEGGNFVSQAATSNSPSSSCSQSSSSSHSCSSGTHHPSKSNISGNGGLIIGENSGNGELKRVTNDTELHTLSQEGLKLFPRSHSPASVKEQLISDSIQPVVTENNSQIAQDLDAQTQKVKVTYGDDKIHFRMQSKWRLKDLLLEITRRFNIDDISGFDLKYLDDDSEWVLLTCDADLEECIDVCRWCEGNTIRLLLQASHHHLDRSSGSTCPS >KJB57959 pep chromosome:Graimondii2_0_v6:9:14397288:14400720:-1 gene:B456_009G187500 transcript:KJB57959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDYMDELLLDGCWLEASPTEGSDFLDPNAFFDPTFEWPTLDSNGGDLGGSLFQGEDPISFLPGNPHMNENHSQGAHLSHNLDDVDGVSRNLHGDCMTDASELSRRWWIGPRANPGPSTSVMQRLIGALNHIKDSAKETDVLVQLWLPVNKGGRRILTTSEQPFSLDPNSQRLASYRNISAKYQFPAEEDSKDMAGLPGRVFLSKVPEWTPDVRFFRSDEYHRVDHAQQHGVRGTFALPVFEQGNRTCLGVIEVVMTTEKIKIKPEMESVCKALEQAVNLRSFTASSTRNIQACYSSYQAALPEIKEVLKRACETHRLPLAQTWVPCMQLGKEGCPHSTENYFHCVSTVDDACYIAKPNIRGFHEVCSEHHLLKGQGVAGRAFMTNQPCFSTDITSFKKTEYPLAHHAKMLKLHAAVAIRFRCIHTGKADFVLEFFLPVDCRDPEGQKEMLNSLSITLQQVCCSLRVVTNEELEEDRDMGVSEVIASLDGIPCRKELSEEQCTYHSEKYSRENSSWTASLTEVNQSSSTSTAMKLRKEKPRATWDEKISKVKQHKKQISLRGTVEGGDSTINEISFSSATKRKTGQKRHSKAEKTITLQVLRQYFSESLKDAAKSLGVCPTTLKRTCRHHGIERWPSRKLQKVGNSLEKLQHVIDSVQGVSGAFHISSLYPNFSELASPRSSGKSTSSASVLGLNDKPKKTTILPEGGNFVSQAATSNSPSSSCSQSSSSSHSCSSGTHHPSKSNISGNGGLIIGENSGNGELKRVTNDTELHTLSQEGLKLFPRSHSPASVKEQLISDSIQPVVTENNSQIAQDLDAQTQKVKVTYGDDKIHFRMQSKWRLKDLLLEITRRFNIDDISGFDLKYLDDDSEWVLLTCDADLEECIDVCRWCEGNTIRLLLQASHHHLDRSSGSTCPS >KJB61354 pep chromosome:Graimondii2_0_v6:9:45477526:45477954:-1 gene:B456_009G352900 transcript:KJB61354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFIRRLSRVADASSHYCLLRSDSTARHRTTSTRRPEPFRVAVSLLKKQARRSVPEGHVPIYVGEEMELFVVNAELLNHPVFIALLNKSAQEYGYEQKGVLHIPCHVLVFERVMEALRLGVDSRDLKDLLASFSDDCFLGY >KJB58861 pep chromosome:Graimondii2_0_v6:9:17992144:17994691:-1 gene:B456_009G229100 transcript:KJB58861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNVNFSGVSNCYVFKSQLQEYAQKAGLPTPVYETIKEGPSHEPSFRSTVIVNNVRYDSLPGFFNRKAAEHSAAEVALMELFKTGEINESVSQPVHETGLCKNLLQEYAQKMNYAMPVYQCQKEEALGRLSSYSCTVEIGGIRYIGAAAKTKKEAEIKAARIALLAIQSSASELPDQSFGNSRLMVIPCRKRASEMATNPDETVKVPKAKKARFKKKMLKRKLSGKNTDCSHDKRNDISVVGSDDLLKSEWVQTDSFTILSSETLGTEVMGIPQDTKIETDLSERDTPSADVALAHQVVVDSKIEHLTSLNSIYSNHELPYMEHSIVYDDLTDLVKLTDGDEMSSMVNDPSLGLA >KJB58860 pep chromosome:Graimondii2_0_v6:9:17991165:17994653:-1 gene:B456_009G229100 transcript:KJB58860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNVNFSGVSNCYVFKSQLQEYAQKAGLPTPVYETIKEGPSHEPSFRSTVIVNNVRYDSLPGFFNRKAAEHSAAEVALMELFKTGEINESVSQPVHETGLCKNLLQEYAQKMNYAMPVYQCQKEEALGRLSSYSCTVEIGGIRYIGAAAKTKKEAEIKAARIALLAIQSSASELPDQSFGNSRLMVIPCRKRASEMATNPDETVKVPKAKKARFKKKMLKRKLSGKNTDCSHDKRNDISVVGSDDLLKSEWVQTDSFTILSSETLGTEVMGIPQDTKIETDLSERDTPSADVALAHQRKMEMKQNLLKRVIRHGRDQSSKCYPKQHRHGLRCRKQCRRPSTHERAKTPRTSPSKATQIISWFMLFNFDAIIRH >KJB57858 pep chromosome:Graimondii2_0_v6:9:14128450:14130456:-1 gene:B456_009G183600 transcript:KJB57858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGGVAKADKTEFTECWRTTWKTPYIMKLALSAVIRGLLFGYDTGVISGALLYIKEDFEEVDKKTWLQETILSMEVAGAIFGAGIGGWMNDRFGRGVSILVAGVLFFVGSIVMAVAMAQWMITLGKIFVGFGVGMASMTAPVYISEASPTRIRGALVSTNGLLITGGQFLAYLINLAFTHFILMLTLPESPRWLYRQNKVEEAKSILEKLYPADEVLEEINALRISVEAEKADEHAIGDSLMQKLKGEIGNVQFSGINTVMYYSPMIVQFAGFASNKTAMALSLVTSGLNALGSVAAIRSPQLNMLESTQFDANATCPSFKSAANAPSWNCMSCLKVECGFCANAVNEYAPGACLAVTKDMKNACQRKHRTWFKDGCPSKIGFLAVILLGLYIISFSPGMGTVPWVVNSKIYPLKYRGLCGGLAAVSNWVSNLIVSESFLTLTKALGSAGTFLLFTGFL >KJB58963 pep chromosome:Graimondii2_0_v6:9:18336486:18339526:1 gene:B456_009G232700 transcript:KJB58963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIHHDQQQEEEALILSSYDQTQHFHCHQQPKDSNLISKVCVESKKLWLIAGPSIFSRLAMFSMTTITQSFAGHLGDLNLAAISIATTVIISITFGFLLGMASALETLCGQAYGAKQYQMLGLYLQRSWIVLFICSILLLPLFIFAAPLLKFMGQPTEVADQTGLVAIWLIPFHLSLPFQFTLQRFLQSQLKTAVIAWVCGVALAVHALISWIFVYKLRVGIVGTAVTLDFSWWLTVLGFFVYVVYGGCPLSWTGFSTQAFSELWDFVKLSLASGVMILMENIYYRTLIIVSGYLHNTETAVDALSICMSIFGWESMIPLGFLAATGVRVANELGAGNAKDAKFATIVSVITSLAVGILFWLIIMAFHETLAMIFTSSSSVITMVNKYSTLLAFTIPVNCIQPVLSGVAVGSGWQSVMAFVNIGSYYLVGVPLGVLFGWLQFGITGIWAGMLCGTVVQTLILAVITMKCKWEIEARKARSYISNETASYQ >KJB58522 pep chromosome:Graimondii2_0_v6:9:16733478:16735545:-1 gene:B456_009G215400 transcript:KJB58522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSVSTAKLTSRRDCNRSQRSKSKSTALIGQMQAEEAEEVERNSIDGLSDIISVLPDECLACIFQSLTPGDRKRCSLVCRRWLRIEGQSRHRLSLNAQSDLHPHIPSIFSRFDAVTKLALKCDRRSVSIGDEALAQISEHCRNLTRLKLRACRDLTDAGMLAFAKNCKCLKKLSCGSCTFGAKGMNAVLDYCPALEELSVKRLRGITDGAAAEPIGPGVAAASLKSICLKELYNGQCFGPLIVGAKNLRSLKLFRCSGDWDKLFPLIVDRVTGIVEIHMERIQVSDIGLTAISNCLNLEILHLVKTPECTNVGLGSVAEKCRLLRKLHIDGWKANRIGDQGLIAVAKCCLNLQELVLIGVNPTKISLEMLASNCQNLERLALCGSDTVGDAEISCIALKCIALKKLCIKSCPVSDQGMEALASGCPNLVKVKVKKCRGVTSEGVEWLRTNRGSLVINLDTGEQLDASASDGGGAQDNGVEFPPMVASQIGAPSIASSSTGRSTSHKLLGLLSGMSLVACTLRRFGNSNGSSQS >KJB53476 pep chromosome:Graimondii2_0_v6:9:10957624:10958586:1 gene:B456_009G144600 transcript:KJB53476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPWDGNERKSKRMRGTLFLWLKASALCLRVFSVSSLFFSALWLRRVGLRLFFLGLFVGFFLCRV >KJB56718 pep chromosome:Graimondii2_0_v6:9:10007236:10011734:1 gene:B456_009G132900 transcript:KJB56718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNSNRVEDFFSHSANTTVTSLKVSTEIPMPPPEEAVEVHNVCLPPKKTTFQKLKHRLSDIFFPDDPLHGFKNQTWCKKLVLGLQSLFPICQWGLHYNLKLFRSDIISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYSVLGSSRHLAVGPVSIASLVMGTMLSGSVSSTDDPILYLQLAFTATFFAGVFQASLGLLRLGFLIDFLSNATLVGFMAGAAVIVALQQLKGLLGIVHFTGKMQFVPVMTSVFDHKDEWSWQTIVMGFGFLLFLLTTRQISIKKPKLFWVSAAAPLTSVILSTILVFCIKSKAHGISYIGHLPKGLNPPSLNMLYFNGQYLALTIKTGLITGILSLTEGIAVGRTFASLQNYQVDGNKEMMAIGLMNMAGSCTSCYVTTGSFSRSAVNYNAGAQTAVSNIVLAAAVLVTLLFLMPLFYYTPNVILAAIIITAVIGLIDYRAAYKLWKVDKFDFLACMCSFFGVLFISVPLGLAIAVGVSIFKILLHVTRPNTVVLGNIPRTQIYQSLNRYKEASRVPSFLILAIESPIYFANSTYLQERMLRWVREEEERINESHESTLKCIIIDMTAVSAIDTSGIDMLCELRKILEKRSLQVNDCQVSPNELHYIVG >KJB56717 pep chromosome:Graimondii2_0_v6:9:10007209:10011793:1 gene:B456_009G132900 transcript:KJB56717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNSNRVEDFFSHSANTTVTSLKVSTEIPMPPPEEAVEVHNVCLPPKKTTFQKLKHRLSDIFFPDDPLHGFKNQTWCKKLVLGLQSLFPICQWGLHYNLKLFRSDIISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYSVLGSSRHLAVGPVSIASLVMGTMLSGSVSSTDDPILYLQLAFTATFFAGVFQASLGLLRLGFLIDFLSNATLVGFMAGAAVIVALQQLKGLLGIVHFTGKMQFVPVMTSVFDHKDEWSWQTIVMGFGFLLFLLTTRQISIKKPKLFWVSAAAPLTSVILSTILVFCIKSKAHGISYIGHLPKGLNPPSLNMLYFNGQYLALTIKTGLITGILSLTEGIAVGRTFASLQNYQVDGNKEMMAIGLMNMAGSCTSCYVTTGSFSRSAVNYNAGAQTAVSNIVLAAAVLVTLLFLMPLFYYTPNVILAAIIITAVIGLIDYRAAYKLWKVDKFDFLACMCSFFGVLFISVPLGLAIAVGVSIFKILLHVTRPNTVVLGNIPRTQIYQSLNRYKEASRVPSFLILAIESPIYFANSTYLQERMLRWVREEEERINESHESTLKCIIIDMTAVSAIDTSGIDMLCELRKILEKRSLQLVLVNPVGSVMEKMHKSKILESFGMTSMYLTVGEAVGDIAASWKPQP >KJB58810 pep chromosome:Graimondii2_0_v6:9:17788163:17788784:1 gene:B456_009G227100 transcript:KJB58810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFLGLFSCILLSFLNQFFGYRTEPLVITQITVQVATLPIGRFMASALPTAKFRIPGFGSRKFSLNPGPFNMKEHVLITIFANAGSAFGNGTVYAVLIVTIIKAFYGRSISFFGSWLLIITTQVGLLDVFLASGFNGKT >KJB61260 pep chromosome:Graimondii2_0_v6:9:43636254:43636685:1 gene:B456_009G348700 transcript:KJB61260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKDRKTSFGIIARDHEGFVLGGRARVLNRSYSAEWAKFYALEESVNLAKENLWARVDFESDCASLVNRLRRPNVDLSNLGYCILDLLSNLNLSFSFNFKWAPRCCNKAANQLCCLAFTNNCTETFDLDFPREIHNIVLSDAIN >KJB58961 pep chromosome:Graimondii2_0_v6:9:18315353:18317484:-1 gene:B456_009G232500 transcript:KJB58961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDAKNPNTEQMEVKEPKHDGTTMRKALLVINCIMLSIGNCGSPLIMRLYFIHGGNRIWFSSWLQTAGCPIILLPIACATVHRSRTANPTSENKLICMERPLFVAAIVLGILSGLDNYLYSYGLSRLPVSTSSLIIASQLAFTAGFAFLLVKQKFTAYSVNAVFLLTIGAGVLALHSSSDRPANESNKEYILGFVMTLAAAALYGFILPLVELTYKKAKQEISYALVMEIQLVMCLVATGFCTVGMLVNNDFKVIGREAREFELGETKYHVVVIFSAIINQCFFLGAIGVVFCASSLLSAVVIAVLLPVTEILAVFFFNEKFQAEKGVSLALSLWGFISYFYGEIKQSKKKKPDPETEMASSLLPNTLKSSV >KJB58858 pep chromosome:Graimondii2_0_v6:9:17987946:17989157:1 gene:B456_009G228900 transcript:KJB58858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTDLLLQAAIILVTIFMFLAMHDIPKKIFTKIRYRNRADFQAKRHFVLGAQLLAQARSSKSRSSTASLAKQAEAEADKAISLDRKDAAAYILKALALDLQGFKTSALDSLDVALSPIAAKSLTDKERGDALFKRAELKMSMNRRGGRVDSAIDDLTKALELSGDNAKAFCLLGECYEMKKMKSEAKSAFEKALKVEPTSNVARTAIDRLGS >KJB60772 pep chromosome:Graimondii2_0_v6:9:32177121:32178601:-1 gene:B456_009G324900 transcript:KJB60772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGFAGVLLLGFLAMVSSGHGYDGGWINARATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNDGLNCGSCYEIKCMNGDGKWCLRGSIVVTATNFCPPNDAGGWCNPPQHHFDLSQPVFQHIARYKAGIVPIAYRRVPCKRNGGIRFTINGNSYFNLVLITNVGGAGDVHAVAIKGTRTGWQTMSRNWGQNWQSNSYLDGQSLSFKVTTSDGRTVVSYNVAPPNWSFGQTFTGNHFQ >KJB60771 pep chromosome:Graimondii2_0_v6:9:32177121:32178425:-1 gene:B456_009G324900 transcript:KJB60771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFACLVCRKMGFAGVLLLGFLAMVSSGHGYDGGWINARATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNDGLNCGSCYEIKCMNGDGKWCLRGSIVVTATNFCPPNDAGGWCNPPQHHFDLSQPVFQHIARYKAGIVPIAYRRVPCKRNGGIRFTINGNSYFNLVLITNVGGAGDVHAVAIKGTRTGWQTMSRNWGQNWQSNSYLDGQSLSFKVTTSDGRTVVSYNVAPPNWSFGQTFTGNHFQ >KJB57810 pep chromosome:Graimondii2_0_v6:9:13968946:13971423:-1 gene:B456_009G181600 transcript:KJB57810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDKKSGKPSSSRAGGIRTLSDLNRPSGPDSDSDSDDPQEYYTGGEKSGMLVQDPSKKNDVDEIFNQARQLGAVEGPLEHPRPSSSTSFTGTGRLLSGETVPSAPQQPDSIIHNIVFWTNGFTVDDGPLRRLDDPENAAFLESIRKSECPKELEPADRRSSVHVNLIKRDEKCPEPEKKRQVAFQGVGRTLGSSSTSAAPEPTTGSSPLNTAPNPSPGLVVDESLPSTSIQLRLADGTRMITRFNLHHTVDDIRSFINSSRSGGATNYQLQIMGFPPKLLTDPTQTIEQAGLANSVVIQKF >KJB59381 pep chromosome:Graimondii2_0_v6:9:20630989:20633340:-1 gene:B456_009G252600 transcript:KJB59381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKREMEGKSEIRSAIEELSMLVKAQPATVGDINLDAAPIPTKPFLHVCTLILQVLDKIGPTMAVLRQDIHQNIQRLENLCESDPTKYLNLIEMLKKEESEGNARKGSSCSKALLWLTRSMDFMVALLQKLVKDPGQNMEQAVEESYNITLKPRHGWISSAAFKVALKLVPDNKTFISLLMAKDQNCDNLKEEMQTLITLLVPFLEQIHSVMRLYRLDRLKSS >KJB59149 pep chromosome:Graimondii2_0_v6:9:19334116:19335399:1 gene:B456_009G241500 transcript:KJB59149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSTSSVNGFYTFLTRGIDDLERVYLSNNFMSIQFLQRVLSLLRSFHSQLLLLVQKLHLPVGDKWLDEYMDESSKLWEACHVIKSGISGIENYYSAGFNIISSFDNHRHLTHQLSRQVIRAISACRREAVGLEEENRALMETRIQPLSLRFDEKVSIESKLNGFNGFRGVLYAMRNVSSLLLMILLYGLVYCRTESSFLRGGYEGCLIFGSAFMISTGRLQQRVAAEINQMNGRPGILLYEFRRSKLAMEELRGELERRGGGGVEEWETEVGGIRERVENLKGWFGVLRSGADNIVVQLDDFFDEIVEGRKKLLDFCSHR >KJB63148 pep chromosome:Graimondii2_0_v6:9:70637539:70639196:-1 gene:B456_009G455200 transcript:KJB63148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGNIESLAAIQQTRMLAHTLSQNSDPKFQVFRGPDHWAIEFGAERLQQESVDDQWVDEFSKLHVDGQKNLVIKLRLDASKGVYVFSDMNTYVGHQNPLKEGQEPFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQVIHFALVCQSWIVHVYSHTKRHCFCVL >KJB56247 pep chromosome:Graimondii2_0_v6:9:8185461:8188375:1 gene:B456_009G112400 transcript:KJB56247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFARGFTSLTELSLPFHRHRFLPPQFYQTFFSKHSLGRISTRICNHQNPNFVLRKRKPKFRNFTSVELDQYVTSDDEDEMSEGFFEAIEELERMTREPSDILEEMNDKLSSRELQLVLVYFSQEGRDSWCALEVFEWLKKENKVDNETMELMVSIMCSWVKKLIEGEGDVDDVVDLLVDMDCVGLKPGFSMIEKVISMYWEMDKREGAVVFVEEVLKRGISYEDDDGEGQKGGPAGYLAWKMMVEGNYVDAIKLVINLRESGLKPEVYSYLIAMTAIVKELNEFAKALRKLKGFARSGLVAELDVENIELIEKYQSDLLADGIKLSNWAIQEGSSSINGLIHERLLAMYICAGRGLEAERQLWEMKLVGKEADGDLYDIVLAICASQKETSAISRLLTRMEVSSSPRRRKILSWLLRGYIKGGHIGDAAETLVKMLDLGLYPEYLDRVAVLQELRKRIHLPGNIVTYINLSKRLYDASLIGPCLLYLYIKKYKLWVIRML >KJB63020 pep chromosome:Graimondii2_0_v6:9:70103297:70104045:1 gene:B456_009G4500001 transcript:KJB63020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESRNRIGTVSKSAKLVTCVKQLSNVKEEVCGALDSFITWELEFPLITVKKALKILQNEQEWKRIIQVIKWMLSKGQRRTMGTYFTLLNALAEDERLEEAEELWVKLFSDNLESTPRIFFDKMISIYYHKDMHEKMFELCFFFAIYSRLLCSTII >KJB61487 pep chromosome:Graimondii2_0_v6:9:47588339:47589405:-1 gene:B456_009G361200 transcript:KJB61487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQLTKIEGGLCSGEAMFSEYGNGGNKKEPGNEGNEKEDGENDGQMEDSDEDDEADNEEDMEESEED >KJB61486 pep chromosome:Graimondii2_0_v6:9:47588503:47589405:-1 gene:B456_009G361200 transcript:KJB61486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQLTKIEGGLCSGEAMFSEYGNGGNKKEPGNEGNEKEDGENDGQMEDSDEDDEADNEEDMEESEED >KJB57053 pep chromosome:Graimondii2_0_v6:9:11130373:11131769:-1 gene:B456_009G146400 transcript:KJB57053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPPLSRLVILGFGLLFTVFACFVESQPSNVSPPPPQSVPPPPPPPPQSVPPPPPSVSPPPPPPPSPSPPLAPSRPPPPPADHHRNHTHHPRRRPPQYSQNHKPSTGNKLNTGKKLGLLFIGIVIILQFGVAGFLVFKRRQLLKVNGTYETCSSSS >KJB62865 pep chromosome:Graimondii2_0_v6:9:69241969:69242322:1 gene:B456_009G440900 transcript:KJB62865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCYKLLVVLFVGAFVICSSTSSSARMLTGGFEDEKTLFHLRPRFGGGLGGGAGFGGGAGAGSGGGLGGGFGGGIGGGAGFGFGAGGGGGLGGGVGAGAGGGFGGGAGGGGGLGGFP >KJB55866 pep chromosome:Graimondii2_0_v6:9:7140017:7142502:-1 gene:B456_009G098600 transcript:KJB55866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPMSGKFRCRTQSWYIVLVSFLLWLTLYMCYLYSSSVTFRKRGHSFVANNHTGFLDSSQSFHVVVRDDETQDPSHVEDIDIVDNVVDDDETETDEDKTTEFSDVEEVNQVIDSMLSEDQNGTDTDSAKDNEDVTSNEEVKNMDEDDKPVVQSSAQDKRKEGRSTKQRKGTTANVSERQRDKKSEEDQERRKEDRVVKQKETANVLERQRGENVEDQAAKRKGAANFLERQRGENVEDQTAKRQENADVSERQREDNPEDQPVENMLPAVKSRTERPQRPRRRERNKPADSLNPVMEKRIEKVVAEPRRQNPVQAGLDSCSGRYIYIHNLPRKFNQDLLENCRSLSFWTDMCECASNLGLGARLSNDEKLYSNSGWFATNQFLLEVIFHNRMKQYKCLTKDPLVASAIYVPYYAGLDVGRYLWDPDGFMRDYDALNLVKWLASRPEWKRMWGRDHFLVAGRINWDFRRDPKNESDWGNELLNFDESRNMTMLVLESSPWNYNDFAIPYPTYFHPSRDDAVFQWQNKMRRLKRRFLFSFAGARRPGRHESIRNELIEQCLASRRRCRFLECDKTQKCHKPANVMRLFQNSIFCLQPPGDSYTRRDYNKYSVMIPESDVKTGKANIERILTRVSRDRRVAMKDEIIKMIPKVIYADPSSRLGEIEDAFDLTVKGILDRVETVRNQMNEGRIVNYDFEEEESWKYFAIGKLGPHEWDAYFSRKIGKHGP >KJB61563 pep chromosome:Graimondii2_0_v6:9:49101413:49107783:1 gene:B456_009G366500 transcript:KJB61563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNSKSSLLLLLFLINSFIFFCNADSGTKCSNTSSLIGFESNFTMVQHQLRGHFKILDDCSFQVSRFDMLSGSSDVVFWGAVAVDFSNITRGFPISDHRLNQTTYKNASFSIQLLPNVTWDQIKVLSVWDVTTLSDFGHLTLPTNGSDFYPSRVHTMFDNCKNLSGNYRVRWSLNVEDNWIEIGLEAATKTMNYMAFGWANPKGTKELMLGADIAVAAFTEEGRPFVDDFYITSYSECMLHGKDGSAVGVCPDVVYENTTNGMTLNNTKLVYGHRRDGVSFIRFRKPLNSSDDKYDLPVNPTEEMTVIWALGLMKPPDTIRPYYLPQNHGGPMMVAYGHLRLNASENVDDCFGPLDADDKQDQDLIIASAKVPLVVTTGEALHYPNPPNPSKVLYINKKEAPVLRVERGVPVKFSVQTGHNVALYITSDYLGGNATLRNATETIYSGGPEAEGVMASPYELVWAPDRNTPDQVFYQSLYQPKMGWRVEVVDGGLSDMYNNSVFLDDQQVTFFWTISKDSISIAARGEKKSGYLAIAFGGEMVNSYAYVGWIDNAGKGRLNTYWIDGKDASNVHLTNENLTHVRCKSENGIITFEFTRPFNPSCSSHDKKKECKNILDPTTPLRVVWAMGAKWSEHLSERNMHSVTSQRPVRVMLMRGSSEAEQDLRPVLAVHGFMMFLAWGILLPGGILAARYLKHVKGDGWYQIHVYLQYSGLAIILLAVLFAVAELHGFFVSSLHVKFGIAAIFCACVQPVNAYLRPKKPDHGVEASSNRIIWEYFHVNVGRGAIVVGIAALFTGMKHLGERYKGENVHGLSWALIIWFLIGALIVIYLEYRERQRRRDRFLGRGNWVLGNVEEDDSVDLLNPHHASARTGSQNSGLMEVQLEPLSR >KJB60137 pep chromosome:Graimondii2_0_v6:9:25144426:25145474:-1 gene:B456_009G291500 transcript:KJB60137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLPSSDQAPGQDNWDNGGLEHKRQLLTEIVLRNMMITLVVDGIMTRRVLVQGLLRSYGVQTLGVDNGRDAVDLIAFGVKFDLIIIEKILPVLNDLDGCVVRCWGSQLAREKMKGRHFWQLVLMCSLRNLWILSIWFPS >KJB62051 pep chromosome:Graimondii2_0_v6:9:56887137:56887623:-1 gene:B456_009G398900 transcript:KJB62051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIYTTEVEDINSFYTMKSLKEVCGIIWLLVPIWTLVLGITIVLADGTKLIFKENILPSRGNTRLFSIGPAIAPISISIFLWIAISSIAPVGLLMSGYRSNNKYSFLGGL >KJB61697 pep chromosome:Graimondii2_0_v6:9:51018165:51023005:-1 gene:B456_009G375100 transcript:KJB61697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPAVCVANCLGTPICKYLQYHRKLNNYLRNLKRIRDELNSQMEDIEMLLKAELLHHVGKIPKKGVENWLENVKMMIREAQNVENKVGNGGYLCRACNGKLVDKMTRGMQKFLDKAPNLCQSLVIDGPSGGLPLLTSELVGEEAVREEIWLCLMQEQVSKIGVWGMGGVGKTTIMKHIHNDLLKEQQFERVIWVTISKEFDIVKLQDDIASALNGYMPKEGNKVRRAAILSELLKKVGKHVIILDDVWDNVSLEEVGIPEPSSSNGCKLVLTTRVEQVCKYMECKVIKVKPLSKEEALTLFLNKVGPNILQSPTLMPTLRLVVKECAGLPLTIVVIAGTLKGEDDPRIWKNALKDLKERIGKVEGVEAEVTERLKFSFNHLKDEKMKHCFLHCALYPEDYSIWKDELIESWIDEGFIDEMDTRQEMKDKGHAILKKLEDNSLLENVSYSDHKVKMHDAVRDMALSITSMNPRYMIQAGFQLKKLPKEEEWTVDIEKVSLMDNSISEISIDIVPLKCQRLTTLLLQDNPVKKISDSFFANMPCLSVLNLSSPKIKCLPKSISELKNLTALLLCGCEELSQLPSLSKLQGLKKLDLSYTIIEEVPEGMDMLINLRYLDLNVRTLKDVPTGLLPKLFCLEHFKLYRGKKISLKAEEVMPLEKLEFFYGRFEDLHELNKFVSSMQQCKKSLVKYLLQVGSSPCMYEGDKIISINELEYCGDELIMLPADIQELHICNCHNLRSLSEDISSFKNLMDLRASIIMDCQGIECVVSLSSFSSSCTHPFQSLELLSLSRLPKLSELIKVEEFGSATTTILTLSAIFSHLKQIYIHNCSSMKTLLPHWLLPNLWNLEVIQVKSCDELVEILAAPTSEDEDKRSDASIKFHLPKLRVLRLMKLPKLKSICSKSGVMICDCLRRININNCPKIKRIPPFVPLVGNGQPYAYAPPSLKIMSSKKWWESLEWDDHPHFKIVLQPLWETSPISVATPPTTGSLGSVCWFEDDWVNISKHVRQWSLPCEAPECIQAFFLGILYIVFRLISSQKLPKDDSIQAS >KJB55510 pep chromosome:Graimondii2_0_v6:9:5748075:5749293:-1 gene:B456_009G079800 transcript:KJB55510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNICTRLEIAIFMVGFVCANLVLPMCGNISEVFEYNWAPNHIISQGDQIKLTLDNVSGCGFESKKKYLFGQASVQIKLIEGDSAGTVTAFYMASEGPVYDELDFEFLGNVSGEPYLVQTNIYVNGTGNREQRHTLWFDPTLDFHTYSFFWNRHLIVFLVYGIPIRVFTNKEDKGVLFPRQQAMSIRGSVWNADDWATQGGKVKINWTNAPFFSTCRSFIIDACELLPETDDIMAQCGKLGRFWWDKPAFVVLNRHRSHQLKWARRKHLVYDYCKDKARFTELPRECIS >KJB62960 pep chromosome:Graimondii2_0_v6:9:69676896:69679693:-1 gene:B456_009G445800 transcript:KJB62960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKAAAGAKKEGEEGETATEEVKKSSHVLRKLEKRQQSRTLDPHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKMQRKRGKGAA >KJB62959 pep chromosome:Graimondii2_0_v6:9:69676895:69679888:-1 gene:B456_009G445800 transcript:KJB62959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKAAAGAKKEGEEGETATEEVKKSSHVLRKLEKRQQSRTLDPHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKMQRKRGKGAA >KJB59507 pep chromosome:Graimondii2_0_v6:9:21356089:21361788:-1 gene:B456_009G259000 transcript:KJB59507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-adenosylmethionine carrier 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G34065) UniProtKB/Swiss-Prot;Acc:F4HT41] MDPQALSSSVSTSHTISPDGLNHKKCNLLKEENRLLDSVLLKEENPFDFFRVFCESIIAGATAGVFVEAALYPIDTVKTRLQAARGGGKVVLKGLYSGLGGNLAGVLPASAIFLGVYEPAKQKLLKALPENLSAFAHLTAGALGGAASSLVRVPTEVVKQRMQTGQFASAPAAVRLIVAKEGFRGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAQRDLNDPENAIIGAVAGAITGTVTNPLDVIKTRLMVQGSSKQYKGILDCVRTIMREEGTHAFLKGIGPRVLWIGIGGSIFFGVLEKTKQMLAKKPPENHKSFYLKQE >KJB59508 pep chromosome:Graimondii2_0_v6:9:21356099:21361706:-1 gene:B456_009G259000 transcript:KJB59508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-adenosylmethionine carrier 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G34065) UniProtKB/Swiss-Prot;Acc:F4HT41] MDPQALSSSVSTSHTISPDGLNHKKCNLLKEENRLLDSVLLKEENPFDFFRVFCESIIAGATAGVFVEAALYPIDTVKTRLQAARGGGKVVLKGLYSGLGGNLAGVLPASAIFLGVYEPAKQKLLKALPENLSAFAHLTAGALGGAASSLVRVPTEVVKQRMQTGQFASAPAAVRLIVAKEGFRGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAQRDLNDPENAIIGAVAGAITGTVTNPLDVIKTRLMVQGSSKQYKGILDCVRTIMREEGTHAFLKV >KJB53874 pep chromosome:Graimondii2_0_v6:9:752265:761319:-1 gene:B456_009G009300 transcript:KJB53874 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24120) UniProtKB/Swiss-Prot;Acc:O24600] MAATGSLPLTQYPTYSKPPTSQKPHKKPLFSLPKQAFPLIFSFPIHRSLNYPTKPPRFLPLCDSLQDNIVQNIENPKKLLLPNAHSRQILSPESAPRIFIQDPPWISALFWKGLYKMTNQTVKIEHKEIEKRKYNLLRRRQVKEETEAWEKMVEEYRELEREMCEKKLAPNLPYVKGLFLGWFEPLREAIAKEQKVQKGKNKKLRAAYAPHIELLPADKLAVIVMHKMMGLVMGAEEDGYVQVVQAAVHIGVAIEQEVRIHSFLEKTKNYQRKQIVDEVQGASKEKEILRKRVNSLIRRRRLTEVQKLVKNEEIKPWGRDAQAKLGSRLLELLTETAYVQPPIDQSGDSPLDVRPAFRHKFKTISRGPGQKIKKRYGVIECDPLIRSGLDKSAKHMMIPYVPMLVPPKKWKGYDKGGYLFLPSYVMRTHGSRKQQEALKGVNVKNMRKVFEALDTLGSTKWRVNNKVLAVVESIWASGGNIAGLVDRNDIPIPEKPYSEDSTEIQEWKWSVRKANKVNRERHSQRCDTELKLSVARKMENEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGVLEFAEGRPLGRSGLHWLKIHLANLYSGGVEKLSHDGRLAFVDNHLDEIFDSAENPINGNRWWLTAEDPFQCLAACMNLSEALKSTSPHSMISYLPIHQDGSCNGLQHYAALGRDNLEAAAVNLVAGEKPADVYSEIAVRVHNIMKRDSNKDPATNPNALLAKLLIDQVDRKLVKQTVMTSVYGVTFVGAREQIKRRLEEKGHITDDRLLFSAACYTAKVTLAALGELFQAARNIMGWLGDCAKVIASENQPVRWTTPLGLPVVQPYYKSERHLIRTTLQVLALQREGNSVEVRKQRTAFPPNFVHSLDGSHMMMTAVACRDAGLYFAGVHDSFWTHACDVEKMNQILREKFVELYSMPVLENLLESFETSYPTLVFPPLPERGNFDLQTVLACTFFCP >KJB53873 pep chromosome:Graimondii2_0_v6:9:752265:761290:-1 gene:B456_009G009300 transcript:KJB53873 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24120) UniProtKB/Swiss-Prot;Acc:O24600] MAATGSLPLTQYPTYSKPPTSQKPHKKPLFSLPKQAFPLIFSFPIHRSLNYPTKPPRFLPLCDSLQDNIVQNIENPKKLLLPNAHSRQILSPESAPRIFIQDPPWISALFWKGLYKMTNQTVKIEHKEIEKRKYNLLRRRQVKEETEAWEKMVEEYRELEREMCEKKLAPNLPYVKGLFLGWFEPLREAIAKEQKVQKGKNKKLRAAYAPHIELLPADKLAVIVMHKMMGLVMGAEEDGYVQVVQAAVHIGVAIEQEVRIHSFLEKTKNYQRKQIVDEVQGASKEKEILRKRVNSLIRRRRLTEVQKLVKNEEIKPWGRDAQAKLGSRLLELLTETAYVQPPIDQSGDSPLDVRPAFRHKFKTISRGPGQKIKKRYGVIECDPLIRSGLDKSAKHMMIPYVPMLVPPKKWKGYDKGGYLFLPSYVMRTHGSRKQQEALKGVNVKNMRKVFEALDTLGSTKWRVNNKVLAVVESIWASGGNIAGLVDRNDIPIPEKPYSEDSTEIQEWKWSVRKANKVNRERHSQRCDTELKLSVARKMENEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGVLEFAEGRPLGRSGLHWLKIHLANLYSGGVEKLSHDGRLAFVDNHLDEIFDSAENPINGNRWWLTAEDPFQCLAACMNLSEALKSTSPHSMISYLPIHQDGSCNGLQHYAALGRDNLEAAAVNLVAGEKPADVYSEIAVRVHNIMKRDSNKDPATNPNALLAKLLIDQVDRKLVKQTVMTSVYGVTFVGAREQIKRRLEEKGHITDDRLLFSAACYTAKVTLAALGELFQAARNIMGWLGDCAKVIASENQPVRWTTPLGLPVVQPYYKSERHLIRTTLQVLALQREGNSVEVRKQRTAFPPNFVHSLDGSHMMMTAVACRDAGLYFAGVHDSFWTHACDVEKMNQILREKFVELYSMPVLENLLESFETSYPTLVFPPLPERGNFDLREVLKSPYFFN >KJB60280 pep chromosome:Graimondii2_0_v6:9:26036031:26038267:-1 gene:B456_009G297700 transcript:KJB60280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSPSASSLGPGGLDLAQAFFKPIQNCDPPSSTKRHTKISVIGVGNVGMAIAQTILTQDLADELALVDAKSDKLRGEMLDLQHAAAFLPRTKINASVDYSVTAGSDLCIVTAGARQNPGESRLNLLQRNVALFSNIIPPLAKYSPDSILLIVSNPVDVLTYVSWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAFIVGEHGDSSVALWSSISIGGVPVLSFLKNQQIAYEKQTLENIHKAVVESAYEVISLKGYTSWAIGYSVANLARSLLRDQRKIHPVSVLAKGFYGIDGGEVFLSLPAQLGRGGVLGVTNIHLTDEEVQRLRKSAETILEVQSQLGL >KJB62755 pep chromosome:Graimondii2_0_v6:9:68315293:68316882:1 gene:B456_009G434500 transcript:KJB62755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLLSHELSDLCLGKPALRSLSITSTIAEALEVLKTSDDNFVSIWNCDDDECCSRCVGKVCIVDVICYLCKDENLVSPSIALKQPVSVLLPKIPGLIIHVEPSCSLLEAIDLILEGAQNLVVPIKTKVFNNKRSKQQQKPFCWLTQEDIIRFLLSSIGLFSPISSFSIDTLNIISPNTLTIEYHSPASAAIGAISRALVEQTSVAVVDSERTLIEELVKVVSAKLKEKNMNGMLQHFTVSMSCGGFSSSSSSDEEYSSSSMAVQVSPFPRPGRISRSMSYSARMVRRSEAIVCHPKSSLVAVMIQAIAHRVNYVWVIEEDCSLVGIVTFSDMLKVVRESFETMA >KJB62754 pep chromosome:Graimondii2_0_v6:9:68315259:68317002:1 gene:B456_009G434500 transcript:KJB62754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLLSHELSDLCLGKPALRSLSITSTIAEALEVLKTSDDNFVSIWNCDDDECCSRCVGKVCIVDVICYLCKDENLVSPSIALKQPVSVLLPKIPGLIIHVEPSCSLLEAIDLILEGAQNLVVPIKTKVFNNKRSKQQQKPFCWLTQEDIIRFLLSSIGLFSPISSFSIDTLNIISPNTLTIEYHSPASAAIGAISRALVEQTSVAVVDSERTLIGEISPFTLACCDETVAAALKTLSSMDLMAYIDCGGPPEELVKVVSAKLKEKNMNGMLQHFTVSMSCGGFSSSSSSDEEYSSSSMAVQVSPFPRPGRISRSMSYSARMVRRSEAIVCHPKSSLVAVMIQAIAHRVNYVWVIEEDCSLVGIVTFSDMLKVVRESFETMA >KJB55068 pep chromosome:Graimondii2_0_v6:9:4399291:4401615:-1 gene:B456_009G061600 transcript:KJB55068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGFFGLALFLFLTFDFDQGSPSSASAASSSSSQNVQVTYGTVLKLMHEKTKFRLHSHEVPYGSGSGQQSVTGFPNVDDSNSYWIVRPPPDTSAKQGDTIPDGAIIRLQHMRTRKWLHSHLHASPISGNLEVSCFGGESESDTGDYWRLLIEGSGKTWKQDQRIRLQHIDTGGYLHSHDKKYARIAGGQQEVCGVREKRADNVWLAAEGVYLPITESK >KJB58830 pep chromosome:Graimondii2_0_v6:9:17918158:17924778:-1 gene:B456_009G228400 transcript:KJB58830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNISVHKTSVVFIVSYIVKKVKETLSKILKNTGPPTNSLLFFFLVGLSPMAESQIPKSHLQLSKIQSPNSRPCSLLNCEQDTMNSEFENENQEDEVDSTLCFSGSRLIKSGFETSEFSDTAVMFVNAGGGALKNEGASGVDVCGDKFFEGGDVLRTIESINGGGDMPPIYQSARFGNVTYRFNDFPPGDYLVDLHFAEIVNTNGPKGMRVFDVFIQEDKVLSELDVYSIVGANKPLQVVDIRASVGASREIVVRFESVCGSPIVNGICIKRATELADKVSRLNCDYLVCNNCDAEIEISSPLKKHMKMKSTVKYEKKIEELKNLCQLKTDECYEAWMSLTTSNEQLEKVRMELDNKFFQNLNLDRALEQQAAKLKDISTKYQCDKRLWVDSVIELERKIKIIKQEHSLLSNKAHDCADSIPELNKMIFAVQALVAQCEDLKLRLNEEQAMRKKLHNQIQEAKGKIRVFCRCRPLRDDEASAGHTTIVDFTAAKDGDIGILTGSSMKKIFKFDRVYTPKDDQVSVFADASPVVTSVLDGYNVCIFAYGQTGTGKTFTMEGTGQNRGVNYRTLEQLFKLIEERKEISTFSISVSVLEVYNEQIRDLLATEPASKRLGIRQASEGFHHVPGIVEAKVENIKEVWNVLQAGNNARAIGSNNVNEHSSRSHCMLCILVKAKNLITGECTKSKLWLVDLAGSERLAKTEVQGDRLKEAQNINRSLSALGDVISALANKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSEQDLGETLSSLNFASRVRGVELGPTKKQVDTAELQKLKQMLDKAKQELKSKDDALRKSVENFHNLEDKAKAKGQLCKTQEEKLNELENQLSSKAELCKQLERQLWQLSERMMEKEEICSNSQLKVVELENKLKEHVQNQTASLSLQRKVKKLEDILKERTREFELHSGTLQQKVKELENKLKMERESGGSQQKGNELEQKLRQHQEQTMRPGLSYSAEKSQVTPIETIYGMNPTTRRSLNSNGRRMNEMGSDLLKGTESLRELRRKRQIESKGIENNVLLSSAFVEKKVWSETNKARQIEQWPCRITRSGKSVNSVEKSFTGNRINWDQGKEPRESSNKLKMWLR >KJB57778 pep chromosome:Graimondii2_0_v6:9:13881327:13882497:1 gene:B456_009G180200 transcript:KJB57778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PMP22 [Source:Projected from Arabidopsis thaliana (AT4G04470) UniProtKB/TrEMBL;Acc:Q0WTY9] MGSVAKKGLQKYLLQLQHHPLRTKAITAAVLSGISDTVSQKLSGIPKLQLRRILLKMLFGFAYLGPFGHYMYMLLDKIFKGKRDSKTVAKKVCYTLSLCLQLSNRIHF >KJB57777 pep chromosome:Graimondii2_0_v6:9:13881286:13883940:1 gene:B456_009G180200 transcript:KJB57777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PMP22 [Source:Projected from Arabidopsis thaliana (AT4G04470) UniProtKB/TrEMBL;Acc:Q0WTY9] MGSVAKKGLQKYLLQLQHHPLRTKAITAAVLSGISDTVSQKLSGIPKLQLRRILLKMLFGFAYLGPFGHYMYMLLDKIFKGKRDSKTVAKKVVLEQLTTSPCNNLLFMIYYGVVVEGRPWMHVKTRIKQEYPKVQMTAWTFWPVVGWINHQYVPLQFRVIFSSLVAFCWGIFLNLRARAMTLPKTALPKSN >KJB57779 pep chromosome:Graimondii2_0_v6:9:13881327:13883354:1 gene:B456_009G180200 transcript:KJB57779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PMP22 [Source:Projected from Arabidopsis thaliana (AT4G04470) UniProtKB/TrEMBL;Acc:Q0WTY9] MGSVAKKGLQKYLLQLQHHPLRTKAITAAVLSGISDTVSQKLSGIPKLQLRRILLKMLFGFAYLGPFGHYMYMLLDKIFKGKRDSKTVAKKVVLEQLTTSPCNNLLFMIYYGVVVEGRPWMHVKTRIKQEYPKVQMTAWTVSLCFPL >KJB56689 pep chromosome:Graimondii2_0_v6:9:9924587:9926249:-1 gene:B456_009G132300 transcript:KJB56689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGLGLEITELRLGLPGGGTEKNEKKRVFSEISEEEENEKSNGPPELQSKSQVVGWPPVCSYRKKNSFGDKDGSKTSKFYVKVSMDGAPFLRKIDLALHKGYSDLAKALEKLFGEALRDAESCEFVPIYEDKDGDWMLAGDVPWEMFIESCKRLRIMKKADAKGFGVLQSREALNGTLKE >KJB59008 pep chromosome:Graimondii2_0_v6:9:18589515:18590552:-1 gene:B456_009G235400 transcript:KJB59008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTSFHFIPNRTKTFIFTSASSFSLCFIMASLATFNFVMFLIVLASSLHFTADARRLVESDQPDQPLLFQYHNGPLLSGKISVNLIWYGKFKPSQRAVVSDFVTSVASSKPTIAQPSVATWWKATEKYYQLSKKPYSLAITLGSQILDENYSLGKSLTNQQIMELASKGGQKNAINVVLTSADVAVEGFCSSRCGTHGSGLGGSSKGHNSKFAYIWVGNSETQCPGQCAWPFHQPIYGPQNPPLVAPNNDVGLDGMVINLASLLAGTVTNPFGNGYYQGPKEAPLEAASACPGIYGKGAYPGYAGDLPVDATTGANYNAHGVNGRKYLLPALFDPSTSTCSTLA >KJB54889 pep chromosome:Graimondii2_0_v6:9:3900991:3902346:-1 gene:B456_009G053800 transcript:KJB54889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALIIYFQLFSILNIVLLTLPTRSVAIAFAPAIKAAYWPSDSTFPPSAIDTSLFSHIHYAFLMPSNVTYKFEISNSTALLMSNFTTTLGHMNPPPATLFSIGGGGSDSLQFSRMASKPKSRKAFIASAMEVARKFGFDGMDLDWEYPNNPKDMKNLGHLFKEWRRAIKVEAKATCRAPLLLTAAVYFSVEVNFDGVYRKYPANSMDKNLDWVNVMCFDYHGGWNTSVTGAHAALYDSSSNVTTSYGLRSWIKAEVARSKLVMGLPLYGRSWQLKDPSFHEIGSAAVAVGPGDNGTMTFLEIEEFNKKNGAIVVHDMDSVSTYSYVGSSWVGYDDDITATLKIGFAQALGIRGYFFWALGSDSEWKISKQASRAWILGE >KJB62424 pep chromosome:Graimondii2_0_v6:9:64103565:64103918:1 gene:B456_009G416100 transcript:KJB62424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKFIKACITKWRKMGSRVIPCGSCEYCYEWAKWPNTKNEESSIPRDVPKGHLVVYVGENYKRFVIKLTLLRHPLFKALLDQAQDEYDFTTDSKLCIPCDESLFLEVVRCASSPQD >KJB62476 pep chromosome:Graimondii2_0_v6:9:65247399:65248672:1 gene:B456_009G418700 transcript:KJB62476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGSSMEEIPAAGNNSSEIQLPPGFRFHPSDEELIVHYLKNKVTSNPLPASIIAEIDLYKYNPWELPIKASFGEDEWFFFSPRDRKYPNGARPNRAAASGYWKATGTDRPILTSCGTKSIGVKKALVFYKGRPPKGTKTEWIMHEYRLLETMIWTPKRKGSMRLDDWVLCRVRQKASIQRNSWEDRNISSHEPADSFYVPNSIEPWPSNTKHNVEMGKTYLFNDCPMLPFIFASQDLPCFDTTSSISFQSSDKSCTSLQEANSGNNNMQISFSSLQNLFNPLKRESMEEGSFVPTSCKKLKNTEKDCSEVNFYRSNHLENSDSSPHQWNPMMQYDQQLNFPDFTETD >KJB55057 pep chromosome:Graimondii2_0_v6:9:4358929:4360827:1 gene:B456_009G060700 transcript:KJB55057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQCHHHSPTFPFCFRPCANADNNFLAPPPPPPPPPPPPQSSGKTNLATSLYRTNLGLFSLTWSRSFLGHSLHLHLHPASHYSPSSPLSLPASLSFSTLHFHLHIKPFIFWKKHGHKKLSSATVPNVQVFWDFSRAKFRSGSEPDSGFYIAIVVDGEMTLLVGDSAKEAYARARAQRPGKSQSLVLRREHVLGNKVYNTKARFGGRNREISIEFKANEDGKLCFSVDNNRVLQIKRLKWKFRGNERIEVDGVSIQVSWDVYNWLFDQDLDNGHAVFMFKFENEGSEILEGDYQQDGVEEVVGPFNEKNGVVLWQQSSCNFGVNGIEWKKMRKSFLRTARSSSSSSISMSSASSAGSSSVMEWASVEESELSAPTGFSLLVYAWKK >KJB59987 pep chromosome:Graimondii2_0_v6:9:24144267:24149613:1 gene:B456_009G284000 transcript:KJB59987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSPSDGRGRWKRRKRDRRPKHLQEDNDVPEEDVEEEDNNNEDIDNHRDNNSGDDAGGGFRDPSLLGSSECEVLADGGVRISEFPPVVKRTVNRPHGSVMAIVAAERAGLVGDSKGHQQVALAVLENVSYGQLQSVSTEAPIVEPEKYVITPPPIMEGRGVVKRFGSRVHILPMHSEWFSPASVHRLERQVVPHFFSGKSPEHMPEKYMECRNHIVAKYMDNPLKRITVSDCQGLIDGISNEDLTRIVRFLDHWGIINYFAAAPSHEPWSAGSYLREEPNGDVHVPSAALKSIDSLIKFDKPKCRLKAADVYSSLSCHADISDLDNRIRECLDENNCTSCSQPVATSYYQSQKEVDVLLCSDCFHDGRFVSGHSSIDFVRVDSTKDYGDLDGDSWNNQETLLLLEAMEIYNENWNEIAEHVGTKSKAQCILHFVRLPMEDGLLENLEVPSMPKSTIVANGDSQRLHSNMNGSLPGPSLQDADYQSKVPFENSGNPVMAMVAFLASAVGPRVAAACAHASLAALAEDVDKEGSGHGNRMNMESVHSREGGLHGSVHQKENSAIHSFGQNEAEDHPLSAEKVKAAAKAGLAAAAMKAKLFADHEEREIQRLSANIINHQVFFLSFSRVYLVMIWNFIWLIRELTSVKDLKPGQKRTCPVAFIAHLCYLA >KJB59989 pep chromosome:Graimondii2_0_v6:9:24144267:24150991:1 gene:B456_009G284000 transcript:KJB59989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSPSDGRGRWKRRKRDRRPKHLQEDNDVPEEDVEEEDNNNEDIDNHRDNNSGDDAGGGFRDPSLLGSSECEVLADGGVRISEFPPVVKRTVNRPHGSVMAIVAAERAGLVGDSKGHQQVALAVLENVSYGQLQSVSTEAPIVEPEKYVITPPPIMEGRGVVKRFGSRVHILPMHSEWFSPASVHRLERQVVPHFFSGKSPEHMPEKYMECRNHIVAKYMDNPLKRITVSDCQGLIDGISNEDLTRIVRFLDHWGIINYFAAAPSHEPWSAGSYLREEPNGDVHVPSAALKSIDSLIKFDKPKCRLKAADVYSSLSCHADISDLDNRIRECLDENNCTSCSQPVATSYYQSQKEVDVLLCSDCFHDGRFVSGHSSIDFVRVDSTKDYGDLDGDSWNNQETLLLLEAMEIYNENWNEIAEHVGTKSKAQCILHFVRLPMEDGLLENLEVPSMPKSTIVANGDSQRLHSNMNGSLPGPSLQDADYQSKVPFENSGNPVMAMVAFLASAVGPRVAAACAHASLAALAEDVDKEGSGHGNRMNMESVHSREGGLHGSVHQKEDHPLSAEKVKAAAKAGLAAAAMKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETLLMKECEQVEKTRQRFAAERARIVSAQFGTTGVASQMSVPVISSPMVNNIGNNRQQVLSASPSTPSNSGYVNNQPVNPHMPFMQRQPMFPMGPRMPLTAMQASTSAPPNVMFNSRGNAQPTLNHPLMRSVSGAGSGLG >KJB59988 pep chromosome:Graimondii2_0_v6:9:24144427:24148821:1 gene:B456_009G284000 transcript:KJB59988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSPSDGRGRWKRRKRDRRPKHLQEDNDVPEEDVEEEDNNNEDIDNHRDNNSGDDAGGGFRDPSLLGSSECEVLADGGVRISEFPPVVKRTVNRPHGSVMAIVAAERAGLVGDSKGHQQVALAVLENVSYGQLQSVSTEAPIVEPEKYVITPPPIMEGRGVVKRFGSRVHILPMHSEWFSPASVHRLERQVVPHFFSGKSPEHMPEKYMECRNHIVAKYMDNPLKRITVSDCQGLIDGISNEDLTRIVRFLDHWGIINYFAAAPSHEPWSAGSYLREEPNGDVHVPSAALKSIDSLIKFDKPKCRLKAADVYSSLSCHADISDLDNRIRECLDENNCTSCSQPVATSYYQSQKEVDVLLCSDCFHDGRFVSGHSSIDFVRVDSTKDYGDLDGDSWNNQETLLLLEAMEIYNENWNEIAEHVGTKSKAQCILHFVRLPMEDGLLENLEVPSMPKSTIVANGDSQRLHSNMNGSLPGPSLQDADYQSKVPFENSGNPVMAMVAFLASAVGPRVAAACAHASLAALAEDVDKEGSGHGNRLVAYPHDSKNLQCYYEVLL >KJB59986 pep chromosome:Graimondii2_0_v6:9:24144197:24151094:1 gene:B456_009G284000 transcript:KJB59986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSPSDGRGRWKRRKRDRRPKHLQEDNDVPEEDVEEEDNNNEDIDNHRDNNSGDDAGGGFRDPSLLGSSECEVLADGGVRISEFPPVVKRTVNRPHGSVMAIVAAERAGLVGDSKGHQQVALAVLENVSYGQLQSVSTEAPIVEPEKYVITPPPIMEGRGVVKRFGSRVHILPMHSEWFSPASVHRLERQVVPHFFSGKSPEHMPEKYMECRNHIVAKYMDNPLKRITVSDCQGLIDGISNEDLTRIVRFLDHWGIINYFAAAPSHEPWSAGSYLREEPNGDVHVPSAALKSIDSLIKFDKPKCRLKAADVYSSLSCHADISDLDNRIRECLDENNCTSCSQPVATSYYQSQKEVDVLLCSDCFHDGRFVSGHSSIDFVRVDSTKDYGDLDGDSWNNQETLLLLEAMEIYNENWNEIAEHVGTKSKAQCILHFVRLPMEDGLLENLEVPSMPKSTIVANGDSQRLHSNMNGSLPGPSLQDADYQSKVPFENSGNPVMAMVAFLASAVGPRVAAACAHASLAALAEDVDKEGSGHGNRMNMESVHSREGGLHGSVHQKENSAIHSFGQNEAEDHPLSAEKVKAAAKAGLAAAAMKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETLLMKECEQVEKTRQRFAAERARIVSAQFGTTGVASQMSVPVISSPMVNNIGNNRQQVLSASPSTPSNSGYVNNQPVNPHMPFMQRQPMFPMGPRMPLTAMQASTSAPPNVMFNSRGNAQPTLNHPLMRSVSGAGSGLG >KJB61852 pep chromosome:Graimondii2_0_v6:9:52409587:52412055:1 gene:B456_009G386400 transcript:KJB61852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGKTTIMKHIHNDLLKEQRFERVIWVTISKEFNVMKVQDDIAAALKLNEDWPREGDKLRRAAILSEMLKNAGKHVLILDDVWDEFSREEVGIPEPSDSNGCKLVLTTRSEHVCKFMGCKVIKVKPLSGQQALTLFLSKVGPNIVQNQTLMPILRPVVEECAGLPLTIVVVAGTLKGEEDPLIWKNALRELKERIGKVKEAEDKVIESLKVSFNHLKDEKMKHCFLHCALYPEDFQIWKYGLIECWIEEGFIDDMGTRQEMKEKGHVILKKLEENCLLENTTNVNGQPCVKMHDAVREMALSITRMNPRCMIQAGLQLEELPEKEQWSPDIEKVSLMYNSISEISIDVLPTKCQLLTTLLLQENPIKKISNSFFINMPCLSVLNLSSTKIKSLPNSISELKNLTTLLLSGCYELRALPCLSMLQELKKLDLSWTRIEEVPEGMDMLIKLRYLDVQVFTLKEIPAGLLPKLVHLQHLGFHKDNKRTSLKAEEMEPLKKLENLTGHFEDVSEFSKFISSMQQSKKNLIKYDLQVGSSFMRATRDKTVTIGGFHDWEGELIMHPIEIQQLNILKCHNLRSLVNDNSSFKNAIGLRVWWCEGIECVVSLSSFASSSAHPFQSLEMLDLSELPKLSALIMKDEGIGPATTSTLAPSAAFSHLKEITIDSCSSMKTLLPHWLLPNLQNLEVISVSHCDKVAEILGAPTSEVEEKGSDALIKFHLPKLRKLKLWRLPNLTSICSKSGVMVCDSLQVIQVAGDCYKLKRIPPFVPLVGNGQPFAYAPPSLTIRSWKEWWEWLEWDDHPNFKNVLQPLWKDGRYEPFIA >KJB59853 pep chromosome:Graimondii2_0_v6:9:23167267:23169431:-1 gene:B456_009G276300 transcript:KJB59853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYMLSLILLALLAHATSISCQNILEQRLNIIILAGQSNMAGRGGVANHSVRGIPTWDGDVPPQCQPNPWIFKLSADMAWVEAREPIHADIDAKKTNGIGPGMAFANAVLSKDPNFGLVGLVPCAIGGTNLSQWQKGGFLYEQLVKRAQMALRSGGAYKAMLWYQGETDTIYKQDVELYQGRLKRFFNDLRSDLQAPRLPIFQVVLASGQGRYTEEVREAQLKIELPNVENVDAMGLPLEPDGLHLTTPAQVRLGDMLAHAFLHFQPNPLNTNHSPPIFVPYFFIIAQVFWMVISFS >KJB56028 pep chromosome:Graimondii2_0_v6:9:7501704:7508434:-1 gene:B456_009G103700 transcript:KJB56028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 3 [Source:Projected from Arabidopsis thaliana (AT5G60100) UniProtKB/TrEMBL;Acc:F4JXG7] MCHEQKEARHGVERDGQGSGSIGENGSRIVERTLNVNNGSLEAIEVHDVSEIPQQQPRGSMIRWERFLPFRTIKVLLVENEDLTRHLVSALLQNCSYEVVAVANGLQAWKLLEDPTNHIDIVLTEEDMPVLSGSDLLCMIMNHKMLKNIPVIMMSSHDCINLVFKCLSEGAVDFLVKPIRKNELKNLWQHVWRRCHCSSGSGSVSESGTLSKKSIKSKVNDEPENYAANTDEHDDDSDVLVGCNGSENGSGTQSSWTKRAAEGESSQLMSSLNRFPDAPNSTCAQVVHVKHEKCGSPWTCVTQRKECQEQHEQLFDATEGKDLEVRVESNHEWQCGNQCENSPTHLAEAASKLFDRGWFEHQDENITGNDRTPDIITTLQQAECRASDAPGGPSDVPQLKDGACHGSEEKLSFELTLKRWQGASDGRNAANDGHNVLRHSDSSAFSKYSTASSAKQALTGNVGSCSPLDHSSVTKKTEVMCTFPSHSNGILLNQSSVGSNNKNDMTTTAKFVGPKPKALVDKSGSISTFKCLHSSSFQPMHGCCICSSQEVSPETVGHDTSLKIMAITDKQCRSSSSVNGSASESKYGSNGHNGSETGLRAEHAVTEDGNGTASGRSGGSGADEDRVAQRAAALTKFRQKRKERCFEKKVRYQSRKKLAEQRPRVKGQFVRRTVSDWGGKDSLSYDFTSEDRYSDSLR >KJB59871 pep chromosome:Graimondii2_0_v6:9:23370736:23374138:-1 gene:B456_009G278000 transcript:KJB59871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSSNPTRPVGVVFFRLWKIFFPLKSFENCKRFLIFETFQLSREKKSTAAKMNDMVEAVGVPPPTPSFSSPTSPRKRGSGGCYCRIWSCCSRRIISRNNANFERSTVPSRFMYYRCGSWLNFSDDDLQTVRTGFLERKPIIEAVIDGAKYIIDFKRMQQINYSTGNWRSVAWIDEDGKCFFPNVFFCNEDLCKSEYENERDDDISCDCKKKNNRTPEIDIVSKIDRTSSERKQVDGSESSSDKAVGVNVIRTAEWPNAKRLNETEREYMVVYNLFFDGIRRVDNGARVTSIYRCNWETDLDKARLVIFQKQIEITKAERGTSNVTYAWYGASAEVVGSVLAYGFGLPNKVTPIDVYGNGVYLSPLELPHLSAILADADENGVRHLILCQVILGNIEKVEAGSQQYLSSSVNFDTGSDDPSNPRWYVVWSHNANMYILPESVVSFKTLGNTHGPVRPTFSIQKLLRKLRDSLPPAKFEEIVTSYCSYRAGAGTKGDFTKKLRLVVGDDEFLKSAVMEIGSNSFQSVQHLNY >KJB61532 pep chromosome:Graimondii2_0_v6:9:48704547:48705523:1 gene:B456_009G364800 transcript:KJB61532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLQLTLDTRCRTFHDFKINIILYENFSNVLTNFDWRDQDEVTPIKNKVNVVKTKVGQLADKMTAAFEYIIKNHGITTEERYTYQEMQGTCDTGKQKNKAATIINYETFPATDEEAMLQAVTNQPVSVAIDGSGLAFQFFQGGVFNGDCGNSLTHAVTIVGYGTSEEGLNYWLVKNLWGEAWGEKGYFRIERGVNKCCIAMKAS >KJB58471 pep chromosome:Graimondii2_0_v6:9:16387492:16390498:1 gene:B456_009G211500 transcript:KJB58471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESRTGGTHDNSDEEPSQSTGSNPMVGDSKNDHLEPARLSDGQQSQEANSVVNSLINNDNRKLLPSLSAHELKTSGLTLPLFDARSSPDGSPVMLDGAINDSKTGDAKNEDHVSQIADLTPHHRKMIRSAKSFSPSQKKQVDLKRDLLAPFESVKEAVSKFGGIVDWKAHRNRTLERSKLVEQMFVRVQKEKPEYKKRPEDAEEEKMNVLKELDSTKRQTEKLKLHLERAQTEENQAKQDYELAKFRVDEMEHGIGDEASVAARAQLEVAKSRHAAAVSELKFVKEKLETLNKEYAFLMNERDTAVKKAEEVVSASKEVEKMVEELTIELIATREILESAHAAHVEAEEKRIRVTLARDQDTKHWEKELKQVEEELQRLNQQIYSAKDLKSKIDFASALLLDLKAELASYKSQTSKTTTHIDVHAFVASLQKELEDAKVNIEKASAEVDCLKVATISLKLELDRNKSELANSKQREHMASSAVALSEAELATVTRVADEAKSLAEIAREDLHKAEEEAAQVKAGASAMESRLLAAEKEIEAAKASEKLALAAIEAFEKSESTKSIDNVDSPPGVTLSTEEYYNLGERANEAKEEAKLRVEAVVSQVEVAKQSESISLERLEEVSREMAVRKEALKVAMVKAEKARERKFGIEQEWRKWRGEPKATELSQGENPPRPSSEGKKETKNFKPNALASSKPCKQGNTTETESLPKPKLRKKKKSLLPKIFTFFSWKKSHSSASKSHVSRK >KJB58971 pep chromosome:Graimondii2_0_v6:9:18414767:18418186:1 gene:B456_009G233300 transcript:KJB58971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIGSIVVLGPGKAECLHQEPLCRSQLKKNTALCLPNISKQNNGQLFQRNQTFPSLINGGCRNKKMKFRKNMQVISCSLESGQPSFPFNLIPAGSSWQLWALGTLVPLLLSFTTSKWGPFLKLKNEADNMLEAAEQITDVVEDVAGKVEEIADQVGEQLPDGGKLRATLELVEDLAEETAKNAHLAGDLIDKVQEIEDKMESLMEAVDTKDNKKLKDVQD >KJB58972 pep chromosome:Graimondii2_0_v6:9:18414833:18418008:1 gene:B456_009G233300 transcript:KJB58972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIGSIVVLGPGKAECLHQEPLCRSQLKKNTALCLPNISKQNNGQLFQRNQTFPSLINGGCRNKKMKKNMQVISCSLESGQPSFPFNLIPAGSSWQLWALGTLVPLLLSFTTSKWGPFLKLKNEADNMLEAAEQITDVVEDVAGKVEEIADQVGEQLPDGGKLRATLELVEDLAEETAKNAHLAGDLIDKVQEIEDKMESLMEAVDTKDNKKLKDVQD >KJB58960 pep chromosome:Graimondii2_0_v6:9:18306682:18308936:-1 gene:B456_009G232300 transcript:KJB58960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSIFSNLKSNGGDKLADARWGRLLSSVPSWVKKASVRSAAAASNESLKGRILGLRSPTESATEVLRSWVDSGQKVDIPQLLSITQILHKSRRYNQALQILTWQETQKGLQMPAVAHATKVKLLIKVGNLTAAEEHFNCLPNTASQKAACLPLLNGYVKESDIGKAEAFMSKLVSMGLTLCPHLYNEMMKLYIATSQFEKVPLVIKEMNRNKIPKNILSYNLWMDASARSSGVAKAEAVYAEMLSDERVCMGWSTLSTLANIYTKAGLVQKAETALKTAETKLSASNSFGYIFLMTQYALLKKKTEVLRLWQTSKLIGKRMTCANYMCILSCLVRLGGIVEAEKVFMEWEYNCRNYDIRVSNVLLGAYVKNGWMEKAESLHRHTLEKGGCPNYKTWEILMEGWVKSQDMVKAVTAMKKGFALLKYCHWRPPHYTLVTIAEYFEKHGNSDDANNFFRDVQRLGLASSEIYKSWFRNHLLAKTPAFDILEMMYKDGIEMDNEISALVQALNEQSKSDSRD >KJB59886 pep chromosome:Graimondii2_0_v6:9:23586297:23587498:-1 gene:B456_009G279400 transcript:KJB59886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMEALMFRYGGGVINFSVNSWFLKLLARLMAILVFATIVLLPWSGTGSSSIGTSNKPEYFTSDPQPINPELLPLLFHDLNREGILKQGEKGLMLSNDDEQAIKSSLFSRISDMEFSSATDLERQRLFPNESLDFIFTQNFTSAFEFIDRTLKVGGFVAVHISERPSYSFEKLNNYRIVYFRKFKSNVLVMKKIARTSSNGIQRQLFGSISEARRQALKNLEDVLLEPPRSTSRRSKIFMKRTKYLPDLLGDTLESYPRRVFIDVGLLETEGGSGTNWFAENYPTRNLKFDIYKIETLTKESSRKDSAATAAETGMSNWLRKNVKETEYVVMKAEAEVVEDMVKTKAIRLVDELFLECKPRKHGGRKNMSRRAYWECLALYGKLRDEGVAVHQWWG >KJB61989 pep chromosome:Graimondii2_0_v6:9:54682886:54690651:-1 gene:B456_009G395400 transcript:KJB61989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNACAGPSNLGGNGFFQSVTQAVWRQRPPNQDQLPAANEDNSNKGSDESNKSKGSDDCQDSAATENIAPEPVKIPNAEPMNKEGNNANKASGQKPNNLKRLTSTELESVLGRKTGNMKELYSLGRKLGQGQFGTTFFCVEKSTGKEFACKSIAKRKLTSTDDLEDVRREVHIMHHLAGHPNVIQIIGAYEDAVAIYLVMEFCAGGELFDRIIQRGHYTERKAAALARVMVGVVQACHSLGVMHRDLKPENFLFINKDEDAPLKAIDFGLSMFFKPGEIFNDVVGSPYYVAPEVLQKHYGPECDVWSAGVIIYILLCGVPPFWDETEQGIFEQVMRGELDFTSEPWPSISNSAKDLVRRMLIKDPKKRLTAHEVLCHPWVQEDGIAPDKPLDSAVLSRLKQFSAMNKLKKIAIRVIADSLSEEEIAGLKEMFKMIDADNSGNITLEELKIGLEKVGSKLKDSEINGLMQAADIDNSGTIDYSEFIAAMLHLNKIQKEDHLFAAFNYFDKDGSGYITPDELQKACEQFGLQDVHLEDVIREVDQDNDGRIDYSEFVAMMQDTGLTGKHKTFKH >KJB61991 pep chromosome:Graimondii2_0_v6:9:54682776:54690774:-1 gene:B456_009G395400 transcript:KJB61991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNACAGPSNLGGNGFFQSVTQAVWRQRPPNQDQLPAANEDNSNKGSDESNKSKGSDDCQDSAATENIAPEPVKIPNAEPMNKEGNNANKASGQKPNNLKRLTSTELESVLGRKTGNMKELYSLGRKLGQGQFGTTFFCVEKSTGKEFACKSIAKRKLTSTDDLEDVRREVHIMHHLAGHPNVIQIIGAYEDAVAIYLVMEFCAGGELFDRIIQRGHYTERKAAALARVMVGVVQACHSLGVMHRDLKPENFLFINKDEDAPLKAIDFGLSMFFKPGEIFNDVVGSPYYVAPEVLQKHYGPECDVWSAGVIIYILLCGVPPFWDETEQGIFEQVMRGELDFTSEPWPSISNSAKDLVRRMLIKDPKKRLTAHEVLCHPWVQEDGIAPDKPLDSAVLSRLKQFSAMNKLKKIAIRVIADSLSEEEIAGLKEMFKMIDADNSGNITLEELKIGLEKVGSKLKDSEINGLMQAADIDNSGTIDYSEFIAAMLHLNKIQKEDHLFAAFNYFDKDGSGYITPDELQKACEQFGLQDVHLEDVIREVDQDNDGRIDYSEFVAMMQDTGLTGKHKTFKH >KJB61988 pep chromosome:Graimondii2_0_v6:9:54682776:54690572:-1 gene:B456_009G395400 transcript:KJB61988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNACAGPSNLGGNGFFQSVTQAVWRQRPPNQDQLPAANEDNSNKGSDESNKSKGSDDCQDSAATENIAPEPVKIPNAEPMNKEGNNANKASGQKPNNLKRLTSTELESVLGRKTGNMKELYSLGRKLGQGQFGTTFFCVEKSTGKEFACKSIAKRKLTSTDDLEDVRREVHIMHHLAGHPNVIQIIGAYEDAVAIYLVMEFCAGGELFDRIIQRGHYTERKAAALARVMVGVVQACHSLGVMHRDLKPENFLFINKDEDAPLKAIDFGLSMFFKPGEIFNDVVGSPYYVAPEVLQKHYGPECDVWSAGVIIYILLCGVPPFWDETEQGIFEQVMRGELDFTSEPWPSISNSAKDLVRRMLIKDPKKRLTAHEVLCHPWVQEDGIAPDKPLDSAVLSRLKQFSAMNKLKKIAIRVIADSLSEEEIAGLKEMFKMIDADNSGNITLEELKIGLEKVGSKLKDSEINGLMQAADIDNSGTIDYSEFIAAMLHLNKIQKEDHLFAAFNYFDKDGSGYITPDELQKACEQFGLQDVHLEDVIREVDQDNDGRIDYSEFVAMMQDTGLTGKHKTFKH >KJB61987 pep chromosome:Graimondii2_0_v6:9:54684001:54690226:-1 gene:B456_009G395400 transcript:KJB61987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNACAGPSNLGGNGFFQSVTQAVWRQRPPNQDQLPAANEDNSNKGSDESNKSKGSDDCQDSAATENIAPEPVKIPNAEPMNKEGNNANKASGQKPNNLKRLTSTELESVLGRKTGNMKELYSLGRKLGQGQFGTTFFCVEKSTGKEFACKSIAKRKLTSTDDLEDVRREVHIMHHLAGHPNVIQIIGAYEDAVAIYLVMEFCAGGELFDRIIQRGHYTERKAAALARVMVGVVQACHSLGVMHRDLKPENFLFINKDEDAPLKAIDFGLSMFFKPGEIFNDVVGSPYYVAPEVLQKHYGPECDVWSAGVIIYILLCGVPPFWDETEQGIFEQVMRGELDFTSEPWPSISNSAKDLVRRMLIKDPKKRLTAHEVLCHPWVQEDGIAPDKPLDSAVLSRLKQFSAMNKLKKIAIRVIADSLSEEEIAGLKEMFKMIDADNSGNITLEELKIGLEKVGSKLKDSEINGLMQAADIDNSGTIDYSEFIAAMLHLNKIQKEDHLFAAFNYFDKDGSGYITPDELQKACEQFGLQDVHLEDVIREVDQDNDGRIDYSEFVAMMQDTGLTGKHKTFKH >KJB61990 pep chromosome:Graimondii2_0_v6:9:54683746:54690572:-1 gene:B456_009G395400 transcript:KJB61990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNACAGPSNLGGNGFFQSVTQAVWRQRPPNQDQLPAANEDNSNKGSDESNKSKGSDDCQDSAATENIAPEPVKIPNAEPMNKEGNNANKASGQKPNNLKRLTSTELESVLGRKTGNMKELYSLGRKLGQGQFGTTFFCVEKSTGKEFACKSIAKRKLTSTDDLEDVRREVHIMHHLAGHPNVIQIIGAYEDAVAIYLVMEFCAGGELFDRIIQRGHYTERKAAALARVMVGVVQACHSLGVMHRDLKPENFLFINKDEDAPLKAIDFGLSMFFKPGEIFNDVVGSPYYVAPEVLQKHYGPECDVWSAGVIIYILLCGVPPFWDETEQGIFEQVMRGELDFTSEPWPSISNSAKDLVRRMLIKDPKKRLTAHEVLCHPWVQEDGIAPDKPLDSAVLSRLKQFSAMNKLKKIAIRVIADSLSEEEIAGLKEMFKMIDADNSGNITLEELKIGLEKVGSKLKDSEINGLMQAADIDNSGTIDYSEFIAAMLHLNKIQKEDHLFAAFNYFDKDGSGYITPDELQKACEQFGLQDVHLEDVIREVDQDNDGRIDYSEFVAMMQDTGLTGKHKTFKH >KJB63091 pep chromosome:Graimondii2_0_v6:9:70369531:70370721:1 gene:B456_009G452600 transcript:KJB63091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKRQEDEERSTLSELPDDIMVEILAKIPPKFLYKTFRCVYKSWYRLISSSEFMNKTAIHHNPGIFIQSVRYFRSTTNTSFLQMDGLNFNLTNLGSSMGIIRSSCNGLVLVYEPISKEVNSLCVKNLLTGPTSLTLPNCLSGCTHKHGYHGRAPGRILHTYCGSALGFDPLTKVYKVVHINNDGYGIEVFTIGSDKTWRKVPLPWPVEKPRRGDLDKFEDMKFFWRDPVSIKGQVFHWFVDSEKYIFSMDISNEKVSKTKLPYIGKTIMKEHYDLVAKDEKLAFVYKGSESKIDVWVLNDFGRQVWSMEHSIVANWEAEKKLPQFMKLVAVASWRNGEVIMFKAIENFVWDHHDFIYLYDTKSKEMKEFKMKLQYVTKFIPHRSSLVSWRTEMD >KJB54142 pep chromosome:Graimondii2_0_v6:9:1882798:1884130:-1 gene:B456_009G024400 transcript:KJB54142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSICLSLLPPSKTLAPGSSTSSIRRCRCSLVVSFSKSHKLTMRKRLQVVCMAPEEEKLTRRNPLDFPIEWERPKPGRRPDIFPQFSPMKTPLPPPMPYDPPEEDEEEEEKKEEEEEDPEKEEEPDNPEKQ >KJB61666 pep chromosome:Graimondii2_0_v6:9:50710999:50717845:-1 gene:B456_009G373900 transcript:KJB61666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGFCEAALSNTVGTLVVNCVVKPVGRQLDYACRFHENVEKLREKKRELADARKRLLLKIEEAKNRLLLIEDDVQNLQSRVDETLSDMGTLEEEIQLNKRCLNWCPNWSWRYQLSKKAMKKIQDISEILNKFGQLGPVGYPAPTALPTIDFLCSKEFVFSKSSETAFYQIIEALKNENINMIGLWGMGGVGKTTLAREVGSQAQKLNLFDKVVITTVSQKPNLERIQDQIAQYIGFDMKNEQGRRSEQELWVRLKNEPRILIIVDDIWESINLKEKMGIPIGDDHKGCKVLLTTRRQQVCQAMDCQNVVQLGCLNDDEAWTLFEKKAGLDDFSDDSIKILANQIVKKCGGLPIAIVPLGSALKGKTHHEWQAAYRRLKDRRLTEIEDVNEENAYVCLEASFDYLKNMETKTCFLLCSLFPEDDEIYVENLVGYAWGLELYKGMDSIKDVRSEVLASIKTLKNSGLLLDCGERHVKMHDVVRQFALWIASSRKEISFGTVETLPMGESFKHYTAISFETDQTDELPKGVGFPYLKLLLHGGNRFMETSSEFFEGMKALQVCALKDQLISLSTFQFHMNLQTLYLINCKLSDISMLGKLKTLHILSLSLSDITELPTEAGDLENLRLLDLSYCYELRRITPNLIRRLSNLEELYLHGCSSLKWATENSTKRDSYSSLSELNLLPKLVVISLDISSEHFLDGFVFRRLWSFDVCIGIKRELLYRKRDLETCPISRSLRIDKSVDACKQLLEDVESLQLNKVEGHPNLIPSLDLGFRKLTSLDLRQCHSMQCLIDASKQQVPITALSNLRKLSLSHMFHLEEICNAPQPQGFLQKLEEVIVSDCGEMQVLFPIAELRSIEQEGPSRHLSLQSLKIVEIEGCNNLKYIFPMSVANSLGQLHTLKIKSCSQLEDIIQDQQVAYKCLLQSLREVSLIDLPQLKKTDVNGILLTQSSLQKLIVHNCLQLTHFIISTTIQELVIGKMTNEQLSNLHSCKYEELEQDQTSSQHHPLPLCFPNLIRIYILECESLKSLFPIIVAQGSSKTLNVPNLQTLKIERCLGMEEIIQDSQLPTISFQCLREVQVTECNKLKFLFPMCVANSLGKLQTLKIERCFGMEEIIQDSQVSTISFQCLREVQVRECNQLKFLFPMCVANSLGQLQTLRIESCFQLQEIVQRPDVLISMSQGLERLNEVELINLPQLKGRDRNDIVLISPSLHVLKELYFSEMTVPERRGRTSTCTEYLTIFNFEELFEYSGYNLSTLKILMLSKLTELRMIWSGPIQVEHFQNLTQLLVNDCRRLRYIFSLTIARNFPQLSTLYIYECEELEQIIEKDQTSSQHHLHPICFPSLSSITIINCENLKRLFPITLAHGGLPNLDELYLRSVSKLEQVFEGDEPNVSKDEEKVIRLPRLTSLVLGNLPNLVSFSPVGYHFVFPSLRGLRVRGCPNITTRFSVDSKRSVHAKTQASQSVDEIIVEESATAQETAWPIGSDICWTEEEDERHFEF >KJB61667 pep chromosome:Graimondii2_0_v6:9:50711128:50717080:-1 gene:B456_009G373900 transcript:KJB61667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGFCEAALSNTVGTLVVNCVVKPVGRQLDYACRFHENVEKLREKKRELADARKRLLLKIEEAKNRLLLIEDDVQNLQSRVDETLSDMGTLEEEIQLNKRCLNWCPNWSWRYQLSKKAMKKIQDISEILNKFGQLGPVGYPAPTALPTIDFLCSKEFVFSKSSETAFYQIIEALKNENINMIGLWGMGGVGKTTLAREVGSQAQKLNLFDKVVITTVSQKPNLERIQDQIAQYIGFDMKNEQGRRSEQELWVRLKNEPRILIIVDDIWESINLKEKMGIPIGDDHKGCKVLLTTRRQQVCQAMDCQNVVQLGCLNDDEAWTLFEKKAGLDDFSDDSIKILANQIVKKCGGLPIAIVPLGSALKGKTHHEWQAAYRRLKDRRLTEIEDVNEENAYVCLEASFDYLKNMETKTCFLLCSLFPEDDEIYVENLVGYAWGLELYKGMDSIKDVRSEVLASIKTLKNSGLLLDCGERHVKMHDVVRQFALWIASSRKEISFGTVETLPMGESFKHYTAISFETDQTDELPKGVGFPYLKLLLHGGNRFMETSSEFFEGMKALQVCALKDQLISLSTFQFHMNLQTLYLINCKLSDISMLGKLKTLHILSLSLSDITELPTEAGDLENLRLLDLSYCYELRRITPNLIRRLSNLEELYLHGCSSLKWATENSTKRDSYSSLSELNLLPKLVVISLDISSEHFLDGFVFRRLWSFDVCIGIKRELLYRKRDLETCPISRSLRIDKSVDACKQLLEDVESLQLNKVEGHPNLIPSLDLGFRKLTSLDLRQCHSMQCLIDASKQQVPITALSNLRKLSLSHMFHLEEICNAPQPQGFLQKLEEVIVSDCGEMQVLFPIAELRSIEQEGPSRHLSLQSLKIVEIEGCNNLKYIFPMSVANSLGQLHTLKIKSCSQLEDIIQDQQVAYKCLLQSLREVSLIDLPQLKKTDVNGILLTQSSLQKLIVHNCLQLTHFIISTTIQELVIGKMTNEQLSNLHSCKYEELEQDQTSSQHHPLPLCFPNLIRIYILECESLKSLFPIIVAQGSSKTLNVPNLQTLKIERCLGMEEIIQDSQLPTISFQCLREVQVTECNKLKFLFPMCVANSLGKLQTLKIERCFGMEEIIQDSQVSTISFQCLREVQVRECNQLKFLFPMCVANSLGQLQTLRIESCFQLQEIVQRPDVLISMSQGLERLNEVELINLPQLKGRDRNDIVLISPSLHVLKVRDCPQLTLFIVPTNIQELYFSEMTVPERRGRTSTCTEYLTIFNFEELFEYSGYNLSTLKILMLSKLTELRMIWSGPIQVEHFQNLTQLLVNDCRRLRYIFSLTIARNFPQLSTLYIYECEELEQIIEKDQTSSQHHLHPICFPSLSSITIINCENLKRLFPITLAHGGLPNLDELYLRSVSKLEQVFEGDEPNVSKDEEKVIRLPRLTSLVLGNLPNLVSFSPVGYHFVFPSLRGLRVRGCPNITTRFSVDSKRSVHAKTQASQSVDEIIVEESATAQETAWPIGSDICWTEEEDERHFEF >KJB61825 pep chromosome:Graimondii2_0_v6:9:52077396:52085392:-1 gene:B456_009G383700 transcript:KJB61825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSHPLPIKFPLNSPTSSHATPSKSLILCKKRNNDTAFEEHKSGFVDYDKGQHEVSTRVSGLRKAHIPKRYRLRVEGDRFQKDWTISEVVDRVLELNHWENVEPVLNRWVGRFARKNFPFLIKELTQRGAIEHSIKVFEWMKRQKNYCARTDIYNMMIRLHARHKRTDQARGLFFEMQKWRCKPDVDTYNALIHAHGQVGQWRWAMNIMEDMLSAAIPPSRSTYNNLINACGSSGNWREALKVCKKMTENGVGPDLVTHNIVLSAYKSGAQYSKALSYFELMKGTHIRPDTTTLNIVINCLVKLGQYGKAMDIFNSMRDERADSRPDIVTFTSIIHLYSVCGQIENCKAVFNAMLAEGIQPNIVSYNTLMAAYASHGMSKEAVAVFDQIKQNGFRPDVVSYTSLLNAYGRSQLPEKAREIFDMMKRNNVKPNLVSYNALIDAYGSNGLLAEAVEVLRQMEQDGIKPNIVSICTLLAACGRCRQKVNIDAVLSAAELRCIKLNTVAYNSAIGSYMNVGEFEEAIALYKSMRKRKVLADSVTYTILISGSYKLSRYSEALGFLDDMVGLKIPLTKEVYSSLICVYSKQGQVAEAESMFNMMKFSGCCPDVVAYTAMLHAYNSAENWAKASAIFLEMEENGIQPDSIACSALLRAFNKGGQPSKVLVLAKYMREKAIPLNDAVFFEMVSACSILQDWKTTIDLIKLMEPWFPLVSIGLLNQLLNLLGKSGKIESMMKLFYKIIASGVAVSFNTYSILLKNLLAAENWRKYIEETQVLKHLTFLQWHYGTKCVIRLKSPRQISVQVLQWMEDSGIQPSKGMFVDIVSFSQKRCGVEYADKIKEKVGKLKLFLP >KJB61826 pep chromosome:Graimondii2_0_v6:9:52078243:52085392:-1 gene:B456_009G383700 transcript:KJB61826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSHPLPIKFPLNSPTSSHATPSKSLILCKKRNNDTAFEEHKSGFVDYDKGQHEVSTRVSGLRKAHIPKRYRLRVEGDRFQKDWTISEVVDRVLELNHWENVEPVLNRWVGRFARKNFPFLIKELTQRGAIEHSIKVFEWMKRQKNYCARTDIYNMMIRLHARHKRTDQARGLFFEMQKWRCKPDVDTYNALIHAHGQVGQWRWAMNIMEDMLSAAIPPSRSTYNNLINACGSSGNWREALKVCKKMTENGVGPDLVTHNIVLSAYKSGAQYSKALSYFELMKGTHIRPDTTTLNIVINCLVKLGQYGKAMDIFNSMRDERADSRPDIVTFTSIIHLYSVCGQIENCKAVFNAMLAEGIQPNIVSYNTLMAAYASHGMSKEAVAVFDQIKQNGFRPDVVSYTSLLNAYGRSQLPEKAREIFDMMKRNNVKPNLVSYNALIDAYGSNGLLAEAVEVLRQMEQDGIKPNIVSICTLLAACGRCRQKVNIDAVLSAAELRCIKLNTVAYNSAIGSYMNVGEFEEAIALYKSMRKRKVLADSVTYTILISGSYKLSRYSEALGFLDDMVGLKIPLTKEVYSSLICVYSKQGQVAEAESMFNMMKFSGCCPDVVAYTAMLHAYNSAENWAKASAIFLEMEENGIQPDSIACSALLRAFNKGGQPSKVLVLAKYMREKAIPLNDAVFFEMVSACSIN >KJB61824 pep chromosome:Graimondii2_0_v6:9:52076787:52085392:-1 gene:B456_009G383700 transcript:KJB61824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSHPLPIKFPLNSPTSSHATPSKSLILCKKRNNDTAFEEHKSGFVDYDKGQHEVSTRVSGLRKAHIPKRYRLRVEGDRFQKDWTISEVVDRVLELNHWENVEPVLNRWVGRFARKNFPFLIKELTQRGAIEHSIKVFEWMKRQKNYCARTDIYNMMIRLHARHKRTDQARGLFFEMQKWRCKPDVDTYNALIHAHGQVGQWRWAMNIMEDMLSAAIPPSRSTYNNLINACGSSGNWREALKVCKKMTENGVGPDLVTHNIVLSAYKSGAQYSKALSYFELMKGTHIRPDTTTLNIVINCLVKLGQYGKAMDIFNSMRDERADSRPDIVTFTSIIHLYSVCGQIENCKAVFNAMLAEGIQPNIVSYNTLMAAYASHGMSKEAVAVFDQIKQNGFRPDVVSYTSLLNAYGRSQLPEKAREIFDMMKRNNVKPNLVSYNALIDAYGSNGLLAEAVEVLRQMEQDGIKPNIVSICTLLAACGRCRQKVNIDAVLSAAELRCIKLNTVAYNSAIGSYMNVGEFEEAIALYKSMRKRKVLADSVTYTILISGSYKLSRYSEALGFLDDMVGLKIPLTKEVYSSLICVYSKQGQVAEAESMFNMMKFSGCCPDVVAYTAMLHAYNSAENWAKASAIFLEMEENGIQPDSIACSALLRAFNKGGQPSKVLVLAKYMREKAIPLNDAVFFEMVSACSILQDWKTTIDLIKLMEPWFPLVSIGLLNQLLNLLGKSGKIESMMKLFYKIIASGVAVSFNTYSILLKNLLAAENWRKYIEVLQWMEDSGIQPSKGMFVDIVSFSQKRCGVEYADKIKEKVGKLKLFLP >KJB60583 pep chromosome:Graimondii2_0_v6:9:29357435:29360456:1 gene:B456_009G313700 transcript:KJB60583 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:Projected from Arabidopsis thaliana (AT3G54810) UniProtKB/TrEMBL;Acc:B9DHF1] MIGSTDFIDEIDCGSFFDDLIDFPNEDVEAYESTAVINAAAFPSIWTTQPESLPLSDSVFLNNSASELSTELFVPYEDIVQLEWMSKFVEDTQCGASLTTKKEDSSSISEGSNHQFQTCSPVSVLESSSSCSGMKPLPRSPETSAPGKRGRPRSKRPRLATFNHHPGVQMTSPASSVNENDIPQPFAVPKVLSDSENYAESRLLIKLPKQVNPEHKKKKLSVSAAAGIADYSQNPTGQAVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSVHSNSHKKVLEMRTKSCALAPTTTMAANNLPELIPNKSNPALDYI >KJB60582 pep chromosome:Graimondii2_0_v6:9:29357435:29360456:1 gene:B456_009G313700 transcript:KJB60582 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:Projected from Arabidopsis thaliana (AT3G54810) UniProtKB/TrEMBL;Acc:B9DHF1] MIGSTDFIDEIDCGSFFDDLIDFPNEDVEAYESTAVINAAAFPSIWTTQPESLPLSDSVFLNNSASELSTELFVPYEDIVQLEWMSKFVEDTQCGASLTTKKEDSSSISEGSNHQFQTCSPVSVLESSSSCSGMKPLPRSPETSAPGKRGRPRSKRPRLATFNHHPGVQMTSPASSVNENDIPQPFAVPKVLSDSENYAESRLLIKLPKQVNPEHKKKKLSVSAAAGIADYSQNPTGQAVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSVHSNSHKKVLEMRTKSCALAPTTTMAANNLPELIPNKSNPALDYI >KJB56852 pep chromosome:Graimondii2_0_v6:9:10467719:10468230:1 gene:B456_009G138500 transcript:KJB56852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDQRQNSSPSLESKNRRFTFAEVQRMSNNLERILGKGGFGTVFRGYLDDTEAAVKMLSHSSVQGYKQRYI >KJB62144 pep chromosome:Graimondii2_0_v6:9:58879046:58880385:-1 gene:B456_009G404100 transcript:KJB62144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLNSNLYLQNCYIMKENERLRKKAQLLNQENQALLSELKQKLSKANNNNSKGKNSATSAIPDLNLGSTSNPNPSQP >KJB62705 pep chromosome:Graimondii2_0_v6:9:67704152:67705641:-1 gene:B456_009G431600 transcript:KJB62705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTQAMVPVIDFSNQNLKAGSPEWDLVKSQVREALEEYGCFEALFDPILELRKAIFGALQEVFDLPLQTKKLFVSDKPFRGYSCSPSAMFQSMAVDDAHIAENIEQCLTTSLWPQGNISFSKTLASFIQLTSELEKTILKMILESFGLEKYMDELTDIAKYQLRIMKYEKPKANEQTIGVPAHCDSNMMTLLYQNEVNGLEIQNKDGEWMNTKLSPNSFIVIIGECLCVWLNGRLSSPYHRVMMKGNEDRYSLGLFSSVRGGYMVKVPTELVDDKNPLLFKPHDHEEFLKYFSSDVAKGVVKSGAVKSRLKAYCAV >KJB60285 pep chromosome:Graimondii2_0_v6:9:26114996:26115406:-1 gene:B456_009G298200 transcript:KJB60285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRFNSLTGTLEKNPTSSFSSNPRLSWAYFQCNNKGERRASRMTVKAMGAAKYKGTQMREKKLTEMIEKKVTEAKQVCEGDETSDECKVAWDEVEEVSQAKADLRLRLEIEKKDPLESFCQENPETEECKIYED >KJB57464 pep chromosome:Graimondii2_0_v6:9:12740220:12743269:-1 gene:B456_009G165900 transcript:KJB57464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPLLKLGTLALKTLSKPVAARLKKQAAYAPRFREFIVAIAQANHRITTRMQRRIYSHATDAAIRPLDEEKAVQAAVDLMGELFVFTVAGAVLIFEVQRSSRSEAKKEEARRKDLEAMKQRNEELATQVELLRHKLEELEQLAKRQGLSGIFKLKPANMEGEKLAKAA >KJB57465 pep chromosome:Graimondii2_0_v6:9:12740375:12743182:-1 gene:B456_009G165900 transcript:KJB57465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPLLKLGTLALKTLSKPVAARLKKQAAYAPRFREFIVAIAQANHRITTRMQRRIYSHATDAAIRPLDEEKAVQAAVDLMGELFVFTVAGAVLIFEVQRSSRSEAKKEEARRKDLEVFIEQSPFFLLFSSFVSR >KJB58085 pep chromosome:Graimondii2_0_v6:9:14874778:14881318:1 gene:B456_009G193700 transcript:KJB58085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPLTFRFSPKIQIIFIFRHLCLNSESGERSAMETNTTTPCSSSVTVRRNPRRKARDTSLFSTSRLPSQSTSSSDLPQISSFPFQDILSEKIQQNSPTIITATSPSPPQHSVLENLKVYLRIRPLVPLKGSTKNAGEQYAKSRQKNAWPQNISKKNSVKEKKISKKKSNESCITVSEDFRSVTLLPPPPLQETKRIKSEVFEGFSYVFSAESTQSEVFEKMVNPLVEDFLGGKSGMLAALGPTGSGKTHTVFGSPREPGMVPLTLKRIFKSAQGCDSQSSRRFYLSIFEICAERGKVERICDLSSDKPDLSTQQSAIKGLLEVPIHDVAEAELLIARALLKRSTATTNANSQSSRSQCIINIRGGSEIADVETDEQSNSAVLSIVDLAGAEREKRTGNQGARLAESNFINNTSMVFGLCLRSLFEHQKNPKKALQKHFQNSLLTRYLRDYLEGKKRMTLILTVKSGEDDYIDASYLLRQASPYMKIKFTNIEPQPNLLCNKRQLQTTSKAEQSKRMKLGNFDASMMERKIVGHENRLPDEGDLTSCSADLKSSTSLKLNSDDLKKERTHQIMQSFAKALWNVLKQYNEKLKVAESENQILRENLRNEKKRSIEMEKELKNLRSCCTCSKDNLMASTIVKVVENFESMVHSEQQTSCGIDEEKLDLNSSDHIKSECSSSPRKFECTPGEDQRNKKCTGEIGNPSPSQKQDMCSEVLNQNIPSNLSGPEYIDEEQEHEAMVRSLETDASFPSTCVPNDNYQSSQVLQSEIQAMKAVHLCSQVVSLVKTMRLVTHWSCLYHLRMFNQLKSKTMLMSPIVE >KJB58084 pep chromosome:Graimondii2_0_v6:9:14874778:14881318:1 gene:B456_009G193700 transcript:KJB58084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPLTFRFSPKIQIIFIFRHLCLNSESGERSAMETNTTTPCSSSVTVRRNPRRKARDTSLFSTSRLPSQSTSSSDLPQISSFPFQDILSEKIQQNSPTIITATSPSPPQHSVLENLKVYLRIRPLVPLKGSTKNAGEQYAKSRQKNAWPQNISKKNSVKEKKISKKKSNESCITVSEDFRSVTLLPPPPLQETKRIKSEVFEGFSYVFSAESTQSEVFEKMVNPLVEDFLGGKSGMLAALGPTGSGKTHTVFGSPREPGMVPLTLKRIFKSAQGCDSQSSRRFYLSIFEICAERGKVERICDLSSDKPDLSTQQSAIKGLLEVPIHDVAEAELLIARALLKRSTATTNANSQSSRSQCIINIRGGSEIADVETDEQSNSAVLSIVDLAGAEREKRTGNQGARLAESNFINNTSMVFGLCLRSLFEHQKNPKKALQKHFQNSLLTRYLRDYLEGKKRMTLILTVKSGEDDYIDASYLLRQASPYMKIKFTNIEPQPNLLCNKRQLQTTSKAEQSKRMKLGNFDASMMERKIVGHENRLPDEGDLTSCSADLKSSTSLKLNSDDLKKERTHQIMQSFAKALWNVLKQYNEKLKVAESENQILRENLRNEKKRSIEMEKELKNLRSCCTCSKDNLMASTIVKVVENFESMVHSEQQTSCGIDEEKLDLNSSDHIKSECSSSPRKFECTPGEDQRNKKCTGEIGNPSPSQKQDMCSEVLNQNIPSNLSGPEYIDEEQEHEAMVRSLETDASFPSTCVPNDNYQSSQIHESSPGTGNIHKDLSELNNVVTERLDHNSCITGVAVRNSSNESSTSVQPGCQLGENDEISDSLELLVSLKDVQPTQKQDHVDVPDSGIRPNISCNSSKKEKPKRRLLPKSSVLVREISTCDADEFDKPKGSGGGKNLAASERQRTQGSISLLRLLKSNLHL >KJB58083 pep chromosome:Graimondii2_0_v6:9:14874778:14879997:1 gene:B456_009G193700 transcript:KJB58083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPLTFRFSPKIQIIFIFRHLCLNSESGERSAMETNTTTPCSSSVTVRRNPRRKARDTSLFSTSRLPSQSTSSSDLPQISSFPFQDILSEKIQQNSPTIITATSPSPPQHSVLENLKVYLRIRPLVPLKGSTKNAGEQYAKSRQKNAWPQNISKKNSVKEKKISKKKSNESCITVSEDFRSVTLLPPPPLQETKRIKSEVFEGFSYVFSAESTQSEVFEKMVNPLVEDFLGGKSGMLAALGPTGSGKTHTVFGSPREPGMVPLTLKRIFKSAQGCDSQSSRRFYLSIFEICAERGKVERICDLSSDKPDLSTQQSAIKGLLEVPIHDVAEAELLIARALLKRSTATTNANSQSSRSQCIINIRGGSEIADVETDEQSNSAVLSIVDLAGAEREKRTGNQGARLAESNFINNTSMVFGLCLRSLFEHQKNPKKALQKHFQNSLLTRYLRDYLEGKKRMTLILTVKSGEDDYIDASYLLRQASPYMKIKFTNIEPQPNLLCNKRQLQTTSKAEQSKRMKLGNFDASMMERKIVGHENRLPDEGDLTSCSADLKSSTSLKLNSDDLKKERTHQIMQSFAKALWNVLKQYNEKLKVAESENQILRENLRNEKKRSIEMEKELKNLRSCCTCSKDNLMASTIVKVVENFESMVHSEQQTSCGIDEEKLDLNSSDHIKSECSSSPRKFECTPGEDQRNKKCTGEIGNPSPSQKQDMCSEVLNQNIPSNLSGPEYIDEEQEHEAMVRSLETDASFPSTCVPNDNYQSSQIHESSPGTGNIHKDLSELNNVVTERLDHNSCITGLI >KJB53853 pep chromosome:Graimondii2_0_v6:9:677889:680103:-1 gene:B456_009G008000 transcript:KJB53853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRLSNNLVGILNLITFLLSIPILGAGIWLRREGVTECEQFLDTPVIIIGVFLMLVSLAGLIGACCRVTWLLWLYLVVMFLLIVLGIVFTIFAFVVTNKGAGQVLSGKGYKEYRLGDYSNWLQKRVTDNKNWNKIKSCLADSKVCTDFHDKYLNASLPEFYQAHLSAVQSGCCKPSNDCQFNYVGPTNWTRGSGVSNNPDCNLWDNDPKTLCFNCEACKAGFIDNLKSSWKKVAVVNIVFLIFLIVVYSVGCCAFRNSRRDNDYHQGWKP >KJB55137 pep chromosome:Graimondii2_0_v6:9:4627071:4628844:-1 gene:B456_009G065100 transcript:KJB55137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSSALMAIGHILMCYTLFFSFHANKAFGYGATVVESNKSHAPPSCSGTTTGLRVVHKYGPCSPSGLKKTSAVASQILVQDNLRVRAMNSRLHNRRTIGLEGEGTTDTYLQNDLPGAGNFLVSVGFGTPLQSFNLALDTGSYVTWVQCEPCTESPCPQQQNASLYYPSFSSTYSNAPCSPWCNYTQTYLDESKSGGVFVLDTVSIGQDYQIPEFIFLCAVPDKETGDFGEADGILGLGLASSGDEFATYSLATQTANLFGRVFCHCLPSSSNSGYVYFGEKAREQCPFSGTYTRLLRNPASGANYYFVNLIAITLGQKKVEVPSGILSSPGTIIDSGTVITHLPSSVYSELSQEFDRLMSEFPPANPSDDGVLKACYNLVDQDNLAIPEMVLHFENLDVNLDQTAVTWKGNDDMSQVCFAFAAKENEGDVTIIGNHQQQKLNMLFNIPDQRLEIGPGKC >KJB55643 pep chromosome:Graimondii2_0_v6:9:6297065:6302832:1 gene:B456_009G086700 transcript:KJB55643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVQEDNAGNYSKAFPLYMNALEYFQTHLKYEKNPKIREAITQKFTEYLRRAEEIRAVLDEGGPGPASNGDAAVATRPKSKPKNGGGGGEGGDGEDPEQAKLRAGLDSAIIREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHSDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFESLARLTEGFSGSDISVCVKDVLFEPVRKTQDAMFFYKTPNDMWMPCGPKQPGVVQITMQELAAKGLAAQILPPPISRSDFDKVLARQRPTVSKADLEVHERFTNEFGEEG >KJB55644 pep chromosome:Graimondii2_0_v6:9:6297037:6302731:1 gene:B456_009G086700 transcript:KJB55644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVQEDNAGNYSKAFPLYMNALEYFQTHLKYEKNPKIREAITQKFTEYLRRAEEIRAVLDEGGPGPASNGDAAVATRPKSKPKNGGGGGEGGDGEDPEQAKLRAGLDSAIIREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHSDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFESLARLTEGFSGSDISVCVKDVLFEPVRKTQDAMFFYKTPNDMWMPCGPKQPGVVQITMQELAAKGLAAQILPPPISRSDFDKVLARQRPTVSKADLEVHERFTNEFGEEG >KJB54322 pep chromosome:Graimondii2_0_v6:9:2230917:2232983:1 gene:B456_009G029200 transcript:KJB54322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFILMVNKQGQTRLAQYYEWLTLEERRALEGEIVRKCLARNEQQCSFVEHRNYKIVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMLHLEKAHFMLEEMVMNGCIVETSKANILTPVQLLEKT >KJB61107 pep chromosome:Graimondii2_0_v6:9:47515480:47520470:-1 gene:B456_009G360500 transcript:KJB61107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGEAALSAFLELLSGKLVDSVLNFVADHRQVHQQLEQWQSILPEIKAVLNRAEEKQIKDEDVGVKNWLDDLQDLAYDVDDILDEFAYNNCVLNSSQH >KJB59827 pep chromosome:Graimondii2_0_v6:9:22960228:22966295:1 gene:B456_009G274100 transcript:KJB59827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRFDFKLRFAVVIFEVLLILLWFGAATSKFQGHHPQWEGPESEIGENIVSHSCIHDQIIEQRRRPGRKVYSVTPQFYVHSSTSNNVLHKGRSLLEISEVLEHPKEAKQPIRIYLNYDAVGLSPDRDCRKVGDIVKLGEPPVSSSLGTRSCNPHGDPPIYGDCWYNCTLNDLSGQDKRHRLHKALGQTADWFKRTLAVESVKGNLRLSGYSACGQDGGVQLPRQYVEEGVADADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAEALLSATLIHEVMHVLGFDPHAFTHFRDERKRRRSKVTEHIIDERLGRMVKRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTKSVVSKMTLALLEDSGWYQANYSMADHLDWGHNQGTDFLTSPCNLWKGAYHCNTTNLSGCTYNREAEGYCPIVSYNRDLPQWTRYFPQANKGGQSSLADYCTFFVAYSDGSCTDSNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSMTQGNGCYQHRCVNYSLEVAVDGIWKVCAKAGGPVQFPGFNGELICPAYHELCSAGPVPVSGQCPNSCHFNGDCVSGKCRCFPGFHGHDCSKRYCPSNCNGHGTCLSNGVCGCENGYTGIDCSTGNVFI >KJB59825 pep chromosome:Graimondii2_0_v6:9:22960138:22966430:1 gene:B456_009G274100 transcript:KJB59825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRFDFKLRFAVVIFEVLLILLWFGAATSKFQGHHPQWEGPESEIGENIVSHSCIHDQIIEQRRRPGRKVYSVTPQFYVHSSTSNNVLHKGRSLLEISEVLEHPKEAKQPIRIYLNYDAVGLSPDRDCRKVGDIVKLGEPPVSSSLGTRSCNPHGDPPIYGDCWYNCTLNDLSGQDKRHRLHKALGQTADWFKRTLAVESVKGNLRLSGYSACGQDGGVQLPRQYVEEGVADADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAEALLSATLIHEVMHVLGFDPHAFTHFRDERKRRRSKVTEHIIDERLGRMVKRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTKSVVSKMTLALLEDSGWYQANYSMADHLDWGHNQGTDFLTSPCNLWKGAYHCNTTNLSGCTYNREAEGYCPIVSYNRDLPQWTRYFPQANKGGQSSLADYCTFFVAYSDGSCTDSNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSMTQGNGCYQHRCVNYSLEVAVDGIWKVCAKAGGPVQFPGFNGELICPAYHELCSAGPVPVSGQCPNSCHFNGDCVSGKCRCFPGFHGHDCSKRYCPSNCNGHGTCLSNGVCGCENGYTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSTLLSSLSVCKNELERELSGQHCAPSEASILQQLEEVVIMPNYHRLFPSVAQKLFTNLFGSSYCESAAKRLACWISIQKCDNDGDNRLRVCHSACQAYNLACGASLDCSDQTLFSSEEEGEGQCTGSGEMKVSWFNRFRNRLFSSNSSLKGVYVKYSQL >KJB59826 pep chromosome:Graimondii2_0_v6:9:22960228:22966295:1 gene:B456_009G274100 transcript:KJB59826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRFDFKLRFAVVIFEVLLILLWFGAATSKFQGHHPQWEGPESEIGENIVSHSCIHDQIIEQRRRPGRKVYSVTPQFYVHSSTSNNVLHKGRSLLEISEVLEHPKEAKQPIRIYLNYDAVGLSPDRDCRKVGDIVKLGEPPVSSSLGTRSCNPHGDPPIYGDCWYNCTLNDLSGQDKRHRLHKALGQTADWFKRTLAVESVKGNLRLSGYSACGQDGGVQLPRQYVEEGVADADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAEALLSATLIHEVMHVLGFDPHAFTHFRDERKRRRSKVTEHIIDERLGRMVKRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTKSVVSKMTLALLEDSGWYQANYSMADHLDWGHNQGTDFLTSPCNLWKGAYHCNTTNLSGCTYNREAEGYCPIVSYNRDLPQWTRYFPQANKGGQSSLADYCTFFVAYSDGSCTDSNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSMTQGNGCYQHRCVNYSLEVAVDGIWKVCAKAGGPVQFPGFNGELICPAYHELCSAGPVPVSGQCPNSCHFNGDCVSGKCRCFPGFHGHDCSKRYCPSNCNGHGTCLSNGVCGCENGYTGIDCSTGNVFI >KJB60395 pep chromosome:Graimondii2_0_v6:9:27263299:27268546:-1 gene:B456_009G303500 transcript:KJB60395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLANKEMVVYCFDTLIAHYNSEEAAPPAFDEGQHPLFVTWKKVVNGGEPRLRGCIGTLEACQLINGFRDYALTSALRDRRFPPIQAKELPNLECTVSILTDYETANNYLDWEVGKHGIIIEFVDDYNTRRSATYLPEVPAHEGWTRVEAIDSLIRKAGFSGPITESLRKRIKLTRYQSTLFTMHYSDYASHVKATRGAAPTIAGAKLGNH >KJB59654 pep chromosome:Graimondii2_0_v6:9:22046519:22049653:-1 gene:B456_009G265900 transcript:KJB59654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTTFFADMSSSGVVRRGIHYLQKLKAANIPSDLIEKGQNRVIDASLTLIRERAKLKGELVRALGGALASTSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSATEEGKELNDPRVLTDVGDVPVQEIRDCGVDDDRLMSVISESVKLVMEEDPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNKYSHASSFARIMEGGYARRLLQVGIRSITTEGREQGKRFGVEQYEMRTFSKDCHFLENLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLEGNLVAADVVEFNPQRDTVDGMTAMVAAKLVRELAAKMSK >KJB59652 pep chromosome:Graimondii2_0_v6:9:22046314:22049084:-1 gene:B456_009G265900 transcript:KJB59652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQNNIGKELNDPRVLTDVGDVPVQEIRDCGVDDDRLMSVISESVKLVMEEDPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNKYSHASSFARIMEGGYARRLLQVGIRSITTEGREQGKRFGVEQYEMRTFSKDCHFLENLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLEGNLVAADVVEFNPQRDTVDGMTAMVAAKLVRELAAKMSK >KJB59656 pep chromosome:Graimondii2_0_v6:9:22046314:22050050:-1 gene:B456_009G265900 transcript:KJB59656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGVVRRGIHYLQKLKAANIPSDLIEKGQNRVIDASLTLIRERAKLKGELVRALGGALASTSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSATEEGKELNDPRVLTDVGDVPVQEIRDCGVDDDRLMSVISESVKLVMEEDPLRPLVLGGDHSISFPVYSHASSFARIMEGGYARRLLQVGIRSITTEGREQGKRFGVEQYEMRTFSKDCHFLENLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLEGNLVAADVVEFNPQRDTVDGMTAMVAAKLVRELAAKMSK >KJB59653 pep chromosome:Graimondii2_0_v6:9:22046314:22049651:-1 gene:B456_009G265900 transcript:KJB59653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVISESVKLVMEEDPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNKYSHASSFARIMEGGYARRLLQVGIRSITTEGREQGKRFGVEQYEMRTFSKDCHFLENLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLEGNLVAADVVEFNPQRDTVDGMTAMVAAKLVRELAAKMSK >KJB59655 pep chromosome:Graimondii2_0_v6:9:22046314:22050050:-1 gene:B456_009G265900 transcript:KJB59655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGVVRRGIHYLQKLKAANIPSDLIEKGQNRVIDASLTLIRERAKLKGELVRALGGALASTSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSATEEGKELNDPRVLTDVGDVPVQEIRDCGVDDDRLMSVISESVKLVMEEDPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNKYSHASSFARIMEGGYARRLLQVGIRSITTEGREQGKRN >KJB59658 pep chromosome:Graimondii2_0_v6:9:22046314:22050175:-1 gene:B456_009G265900 transcript:KJB59658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGVVRRGIHYLQKLKAANIPSDLIEKGQNRVIDASLTLIRERAKLKGELVRALGGALASTSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSATEEGKELNDPRVLTDVGDVPVQEIRDCGVDDDRLMSVISESVKLVMEEDPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNKYSHASSFARIMEGGYARRLLQVGIRSITTEGREQGKRFGVEQYEMRTFSKDCHFLENLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLEGNLVAADVVEFNPQRDTVDGMTAMVAAKLVRELAAKMSK >KJB59657 pep chromosome:Graimondii2_0_v6:9:22046314:22050050:-1 gene:B456_009G265900 transcript:KJB59657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGVAIWCGSTNSATEEGKELNDPRVLTDVGDVPVQEIRDCGVDDDRLMSVISESVKLVMEEDPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNKYSHASSFARIMEGGYARRLLQVGIRSITTEGREQGKRFGVEQYEMRTFSKDCHFLENLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLEGNLVAADVVEFNPQRDTVDGMTAMVAAKLVRELAAKMSK >KJB59644 pep chromosome:Graimondii2_0_v6:9:21966548:21973692:1 gene:B456_009G265200 transcript:KJB59644 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 16 [Source:Projected from Arabidopsis thaliana (AT1G77410) TAIR;Acc:AT1G77410] MEKGMLVLGLVAIMVVGVVVVVESSVEGDGRSLIINGQRKLLFSASIHYPRSTPEMWGSLIGKAKEGGIDVIQTYVFWNLHEPGKGQYDFSGRADIVRFIKEIQAHGLYASLRIGPFIEAEWNYGGLPFWLHDVPGIVYRCDNEPFKVHMQNFTTKIVNMMKSENLYASQGGPIILSQIENEYEMVEHAFHEKGPPYVRWAAQMAVALQTGVPWMMCKQYDAPDPVINTCNGMKCGVSFPGPNSPNKPWLWTENWTTWYRAYGKEPETRSAQDIAFQVALFVARNGTFVNYYMYHGGTNFGRTTSAFTTTSYYDDAPLDEYGFIRLPKWGHLKQLHEAIKSCSNPILFGTQFTLSLGQQQMGYIYQRNSGECAAFLVNQDDTKSVAVIFHNSSYELGPSSVSILPDCKNVVFNTAKVNVKNNTRLITTGKKFNESEMWQEFKDIIPTFADTSMRSKTLLEHMNTTKDMSDYLWYTFSYQHESSNSKAVLSVRSAGHVLHAFVNGASVGSGNGNHEKVNFTLDNTITLNNGSNNISLLSVTVGLPDSGAFLERKALGLRRVRIHDIQNSKDLSNHRWGYQVGLLGEKLQIYIDHSSSNVQWRNFTSSNNPLTWYKVRFDAPAKNESFGLNLESMGKGEVWINGQSIGRYWASFLTSQGSPYQTWYHVPRSFLKPKDNLLVILEEQNGSPLGISLDIISTI >KJB60267 pep chromosome:Graimondii2_0_v6:9:25916160:25919800:1 gene:B456_009G296800 transcript:KJB60267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPAVTKSISETTTTAIKSTTTTATAAAAGVTATPLPPPPFQRMDTPPKTQRGLNKPKCIQCGNVARSRCPYRSCKSCCSKAQNPCHIHVLKSNSAFPEKTPSSSTPSSDQKSTQASSQATPLRIPSFRQLSNAFAQFDNLQVRTKKHLTRKDAVALNEWRFSKLKEFKDRNIEIENDAFDRYMQNISLLEEVFSTKPVQDGSDEDEESKPSSTSQEDEALAMTSGLKLALRSNPVRSDNTRKRILQIVDQGIKKLQKSEPNNGATDPDDQNKLDNRLKKAKPLWVERSSMLSDIMDKLNKARNEEDIKSCLEMKAQLYNQITMSTPTEIKDSDTLNEQDIKNNRTPGKVANYPMPKLFASMEIDQETLNKVDAHFSSLEQIEDL >KJB53881 pep chromosome:Graimondii2_0_v6:9:765302:765907:-1 gene:B456_009G009400 transcript:KJB53881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFNLERLSSPNSIQQLVYEAVFSTKQIFEETNMGCQVYKRGWFDNGEAVVDEEGILMRLTCSLELRSGFLMMLVPVPGLGSFEACDRGGETLAADGSTCAICLEGLSNGTCVKTPCSHAFHGRCIARWVWRKKSCPMCRFELLLGGKCAICSCF >KJB58256 pep chromosome:Graimondii2_0_v6:9:15589436:15590335:-1 gene:B456_009G201300 transcript:KJB58256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRGQEHDIKTPGSSAFGLHSPGVERRKDGNHNGTAVLTCTQTLDHVHHLQRQQSLGQGRSPHPDRVAAATGAAAVAPISVGSNAKPSNFVRYRECLKNHAASIGGNVYDGCGEFMPSGEEGTLEALKCAACDCHRNFHRKEVDGETQFGANPSRTLMLNPLQLPPPLPSPTMLHPHHKYSIHTSPSSAIVASMNNMAFGSGGGGGNESSSEDLNVFQSNADGVPPPPPYVLSKKRFRTKFTQEQKDKMLELAEKVGWRINKQDEEEVQKFCAEYGVKRQVFKVWMHNNKNVKKPPPE >KJB58257 pep chromosome:Graimondii2_0_v6:9:15589102:15591140:-1 gene:B456_009G201300 transcript:KJB58257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRGQEHDIKTPGSSAFGLHSPGVERRKDGNHNGTAVLTCTQTLDHVHHLQRQQSLGQGRSPHPDRVAAATGAAAVAPISVGSNAKPSNFVRYRECLKNHAASIGGNVYDGCGEFMPSGEEGTLEALKCAACDCHRNFHRKEVDGETQFGANPSRTLMLNPLQLPPPLPSPTMLHPHHKYSIHTSPSSAIVASMNNMAFGSGGGGGNESSSEDLNVFQSNADGVPPPPPYVLSKKRFRTKFTQEQKDKMLELAEKVGWRINKQDEEEVQKFCAEYGVKRQVFKVWMHNNKNVKKPPPE >KJB62911 pep chromosome:Graimondii2_0_v6:9:69482034:69483680:1 gene:B456_009G443400 transcript:KJB62911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRERGPAAAAAAVPDGEEPKYRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEEAARAYDTAAMTLRGPKAKTNFPINPSNTTAFPIDHHHRRLYPMGNFQDPDMNPQRPTRSSMSSTVESFSGPRPTQPPPESVVSDRKYHHHRKPPVLPEDFHSDCDSSSSVVVDDGDMASSSCKQNQTLKPFDLNFPPLDDVDDLYCTALCL >KJB54522 pep chromosome:Graimondii2_0_v6:9:2749748:2750344:-1 gene:B456_009G037400 transcript:KJB54522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLLDSHLEALAFNYVSFGIFTIVNNLWTWVAVITAAVSFWRIRAAGAATSSCSVKGREQRPSTSIDARRPIPEVEEKHPTASTSVSAPASVTETRVSPLVFNDGVTKGGKSTLTVYYEDDIGGESDGEMTGKEWCDGGGYCKEGEWWESWERVLRLRKGEMGWYRYQDSSAINGNVVRLWDESCRRGRYSSSCSVW >KJB55412 pep chromosome:Graimondii2_0_v6:9:5379247:5380266:1 gene:B456_009G075100 transcript:KJB55412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYSGAISLSQAHVSDDDSSEHSPRSVPTSPAFSSKSKTPTNMIVTLDHHHHQRKPRGRPPGSKNKPKPPIVITRDTGSAMKPVILDISAGSDIIDAIITFARSNHVGICIINVTGSVSNVTLRHPVSQAPALSLHGPFGLLSLSGSYIASTTISSSTETSQSSQPSSSPSPSSLSPNLSCSFGITLAGAQGQVFGGMIGGKVIAATQVIVVAATFVNPAFHRLPCKGDNEDTHQETKHCIHGNVGGGASGATESCSSTGMSTAVYSSPCPTPLNCQISPDVMPWGPPSRPF >KJB57038 pep chromosome:Graimondii2_0_v6:9:11102874:11103907:1 gene:B456_009G146100 transcript:KJB57038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSSLFPQPSTNMAVDQNKTTKPPSQTHLATAFQCQARRRIFFLRLRHHNKLPTVRLGGKKPRRGLSIARVLKKMRLRWLKLRYACMLRKIKKYYRDLIKDIVEAGSTVEALQQRMFMESTFAIPVMGVSFATVPSATASDRPRPLFF >KJB59997 pep chromosome:Graimondii2_0_v6:9:24290769:24291135:1 gene:B456_009G2852001 transcript:KJB59997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDKVWLVLVDFEEIENSGDDGMTVISLFNKMLCGGNYHL >KJB54936 pep chromosome:Graimondii2_0_v6:9:4014112:4017587:1 gene:B456_009G055200 transcript:KJB54936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPCSREMQAMNSLLSPTQPIPLQDLQPNGNSGTHQAQIHNPQFDPTSSHDDFLDQMLSTLPSCSWSDLKSPWDPPKSDETPPSNPDNNAGFHYDEILASKLRQHQINGGGGGTTAAMKMMMQQQMLLPGRPIAAAGGGGLTMPLHNDIVDGSPFKSPNQQGGEGSVQALYNGFGAGSLHGTNNQSPNQPQHFHHPQGGNMQTQSFGATAGTVMNQSQASGSTTGGTPAQPKQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMPPEGGDCVQTTAGGGGSLQRNSNGNQPSANNDSLTVTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHSRNPMMNKGSSSNHPLLQSNGDGPSSPSMSVLTVQSATMGNGGLDGSAKDAASVSKP >KJB57238 pep chromosome:Graimondii2_0_v6:9:11881089:11883250:1 gene:B456_009G154900 transcript:KJB57238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELALDLEELRQLHSSAKRPRILSLISSEIRSLEKLQASKEDGNAAAPPPTASQIPIPISTSVKAPINPALNYVSVSSFSWDQDMDKVKIYVFLEGVEQEKIQTDFKPLSFDVKFHDVKGKNYRCAVPKLNKEIVPEKCKVVVKPTRVIISLFKASKGDWPDLYSKEDKLKPNLDKEQDPMAGIMDLMKVSLLEGLYIEAELV >KJB57239 pep chromosome:Graimondii2_0_v6:9:11881089:11883386:1 gene:B456_009G154900 transcript:KJB57239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELALDLEELRQLHSSAKRPRILSLISSEIRSLEKLQASKEDGNAAAPPPTASQIPIPISTSVKAPINPALNYVSVSSFSWDQDMDKVKIYVFLEGVEQEKIQTDFKPLSFDVKFHDVKGKNYRCAVPKLNKEIVPEKCKVVVKPTRVIISLFKASKGDWPDLYSKEDKLKPNLDKEQDPMAGIMDLMKNMYEEGDDEMKRTIAKAWTDARSVKAEDALKSYR >KJB54095 pep chromosome:Graimondii2_0_v6:9:1544866:1545912:1 gene:B456_009G020200 transcript:KJB54095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEILSVISSGGGNTNSDVSNSISTTHQANKRQRCGDKGNSSQFKGVMRQKNGKWGAQLYSNHTRIWLGTFKTEIDAAVAYDSATIKFCPGDTHRNFPWNEITIEEPKFLSHYSAEAVLSMIRDGSYQFKFMDFIKACSRSTKPNTAIKSVGTYSNEGLICKQLFQKKLTPSDVGKLNRLVIPKRYAVKYFPSIFWTEKENADVADSKTNDVELVFYDKFMRIWKFRYCYRNSSQSFVFTRGWNRFLKEKKLKANDVVSFFVCECRKENEVQRFCMIDANKSGNGGTFVAVNNIHVGIEVDLQLRLGHYYPIGDEKHVQEEQGSMAAAKPKQDDKTEGFKLFGMQIN >KJB54941 pep chromosome:Graimondii2_0_v6:9:4048964:4051414:-1 gene:B456_009G055600 transcript:KJB54941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQKDVVKFNVGGRIFQTTATTLANAGRDSFFGALFDDNWDLQQPPNNRQEFFIDRNPDCFAVLLDLLRTGDLYIPSNVPERLLYREAMFYGLIDHVRSAKWGPFDGNRLKLSRSVTGRAPGDGTAIRAGPDGGCCVAHGSMVHIFDWMLEEHPPINLDYQRVNDIGWVNSEHVLISACERLGKGDGGMGLFSSSTGDLRYKFNVVHDNQIKSYTAGALSFSPDYKIFASCKGRSNEYGIGVWDQVTGKQMDFFYESPCWSLGDADKLQWLNESNCLLVATLFPRKDNCYISLLDFREKRMVWSWSDIGAPFTVDEKRVRDAIAMEECNSVCVVNEYDDLGFIDLRINGGSVRWSSRSRLLKGKMPDEPCYPKLALHNGQLFSSMNDSISVFCGPDWVLTSRLRRSYGGSICDFSIGGDRLFALHSEENVFDVWETPPPPVI >KJB55471 pep chromosome:Graimondii2_0_v6:9:5969222:5972493:1 gene:B456_009G082200 transcript:KJB55471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTPFILSFSLLLSLPILFFFLAPTFHPHPLPPISVPDELDDLRLFHRATTPSLSSSHLSSSSSPKIAFLFLTNSDLHFLPLWNRFFRTAKTSRYNIYVHADPTVNITRPTKSVFDDRFIPNAKRTFRASATLISATRRLLATAILDDPANAYFAVLSQYCIPLHSFNYIYRSLITAKTFDLTSNSSDLTQYGVRVKYKSFIEIISKEPRLWKRYVARGRFVMMPEVPFEDFRVGSQFFVLTRKHALLVVKDRTLWRKFKLPCYRASECYPEEHYFPTLLSMQDPDAVTRYTLTRVNWTGTVAGHPYMYKPKEVSAKLIYELRKSNYSSSYLFARKFSPDCLKPLMGIADSVILRD >KJB55091 pep chromosome:Graimondii2_0_v6:9:4496550:4498207:1 gene:B456_009G062600 transcript:KJB55091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMVFKLKPLLLSPFSKSKPSPPLRIADVLLLQDHEEDSLLLMDGSRRIAARLLSALKRGKVAKRVIGDESKEDDVAPPDHETDAPDFSSEICGKESNFNVGVGCCLLHLIAESKNELQKMKELKIQMEHVLQNVKEGLLNTDILVCKNLESNDGDGVDESLGFNSNLTSNKVLFDQSSNCDDAPKDACLEGMDRLEAELEVELERLLLHLDSGKSSTIPPEKTIEENTVNTTSVRSYSISNAEVIDPTIEAEEEDRPDSHGGVPPCELERKLHELLETRQEQQIRELEAALETARQELREKEREISWWKETAHLMLKHVKQPSGLNFQYVRHAHQQK >KJB61889 pep chromosome:Graimondii2_0_v6:9:52652839:52653627:1 gene:B456_009G3888001 transcript:KJB61889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KHRGIGPLVSLLMLVILALGHLIPLVLNLEAMFIQDSKRSVLIRGGRWLEMNEIIIRVVTMVAFLLQVRLLMLSWTARCSTEKKKTLWIAEKRGLYVCVPVYVIGAIIAFSVKSRQNGHRTVRARHSWYYINKIILGNSRAYAGLILDAFLFPQIIFNMFHNSRELALSRLFYIGITLVRLIPHGYDLYRANKYADIDDSYMYGVHGADYYSTAWDFIIILCWRLGGQYFLPKRFQESVIDEEFQIDEEFP >KJB62435 pep chromosome:Graimondii2_0_v6:9:64532424:64534741:1 gene:B456_009G416800 transcript:KJB62435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTLMLMEVALFNTKTHILRVFQRRKMLRIDGLRKKMGYKDAKLLMLYGGRCSINRYLQWKSGKVWSKSFSAGDDSCNSCSELEVKKLQQQLQKETDLHLALASAVEHCGSPSSSSPGKLPDKAQELLDIIVVLEITVAKLQQALHQGPC >KJB62673 pep chromosome:Graimondii2_0_v6:9:67420892:67425702:-1 gene:B456_009G429400 transcript:KJB62673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTRFWYPFILLMFLCAGAAMDTIRPGNHLNSSTTVVSVGEMFELGFFQPTDSEHYYVGIWYKNIKEDPVVWIANRDYPVTASAFFCISDDGNLEIKQGRIIYRVTDMTLPKANVSAKLLDSGNLILRDENSSILWQSFDFPSHTYLPGMKLGYDTRIQSTWSYVSWKSSNDPSPGNFTLKLDSGPEKQIMILNGDAIYWRSIRSWGDSSNFFEFPVETRLNMYNFSFVSESDTAYLTYNIYRNDTISRFTIDATGQLRQLLWLDNEWSVLNSQPVQPCEVYAYCGANSSCSNVSSPICSCLPGYKPSSVEFWNNGDFKEGCSRKNELQCGKNDGFHMLTKVTLPQKHLTREVQSFGDCRSSCLSNCSCSGFSYTGRNCSIWTGELINLQQLPVNNSSGTDFYLKLAATDLETKKSSSNMRRAVIVSVTVTMTVFTSAFCIWQVKVKRDKRKGQDLLSFEVTMSPSHTQKEQCKGRWQVEHENEVEIPLFSFSSVSAATDNFSFSNKLGEGGFGPVYKGKLLKGDEVAVKRLSRQSGQGWVELKNEAMLIGKLQHKNLVKLLGCCIERDEKILIYEYLPNKSLDTLLFGTNAMVTLPWKTRVRIIEGIAQGLLYLHQYSRVQIIHRDLKASNILLDEDMNPKISDFGMARIFGGTEQRATKRIVGTYGYMAPEYALQGVFSVKSDVFSFGVLLLEILSGKRNTGFYLSNSLDLIGYAWDLWTSKRPLEFINPETHDAYCPIAATRYMNIALLCVEERAANRPIMSDVVMMLNNELTVLPSPVQPAFSNARNVQDSSQSIPETSQNKLTISVIAAR >KJB59505 pep chromosome:Graimondii2_0_v6:9:21649843:21651482:1 gene:B456_009G262000 transcript:KJB59505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAASTAAASLGVSEMLGNPLNFSGGARSSAPTPSNSSTFKTVALFSKKKAAPPKPKAAAVAPADEELAKWYGPDRRIFLPEGLLDRSEIPEYLNGEVPGDYGYDPFGLSKKPEDFAKYQAYELIHARWAMLGAAGFIIPEAFNKFGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLVVAVVAEVVLVGGAEYYRIINGLVCHALYK >KJB59504 pep chromosome:Graimondii2_0_v6:9:21649827:21651588:1 gene:B456_009G262000 transcript:KJB59504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAASTAAASLGVSEMLGNPLNFSGGARSSAPTPSNSSTFKTVALFSKKKAAPPKPKAAAVAPADEELAKWYGPDRRIFLPEGLLDRSEIPEYLNGEVPGDYGYDPFGLSKKPEDFAKYQAYELIHARWAMLGAAGFIIPEAFNKFGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLVVAVVAEVVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLAKDPDQAALLKVKEIKNGRLAMFAMLGFFLQAYVTGEGPVENLSKHLSDPFGNNLLTVIAGTAERAPTL >KJB56639 pep chromosome:Graimondii2_0_v6:9:9753105:9758344:1 gene:B456_009G129300 transcript:KJB56639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIREKNREEKNLGFKWGIPIGAGAKNSDIMFYESFIFKGEEYFVYNCVYFDLGQPEASIGKLVKLFEGPDHVKKVKVVWFMRPSEIRNYLGDYEPRWNEIFLASGQGRGVSNINLVESIVGKCNVVCTSNDHRNPQAYSEADLRRADYFFCCHFDVGELAISDTFPDMVDRVKVEHFFNKKTEQKLLGRINLKSNVKGQTQRPKLSSKIKVVTKSNGVTVKDDNSGSRVSSLVKEPKVEPVSMSKQVHLPSENIPPRPKTSNSNSSTQHSGSSQWEARDEIDKAEVKFPKDSLSSXXMRCRLDRSNWFEQQPWEQRLERAQESGRLVLLENVDPSYTSEEVEDLVWHAFNEKVEAKMIEQTTFSCPCYGKALIIFKSKEAANSAIFQLMKRCLMLADGRPLIARRESLRKGAKVGFVGHLTIDKLQLQRQTEDMKKAKSTSHASQPNNIEFDMGMEWRLLQLKSDMWWKALHERQEKEIEAVRNQLKMEWQ >KJB62841 pep chromosome:Graimondii2_0_v6:9:69843214:69843738:-1 gene:B456_009G447300 transcript:KJB62841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTLMLSWILIICLSQVAVQSQYYSKSRPYHPRPPKVTNLHFFMHEHTGVTAVVVAQANITSNNSSVPFATLVAVNDPLRTGPEPDSEVIGNVQGISLLAGSNASSTQYIEFGFNTGKFNGSSLSVFSRGEPGLAVVGGRGRFMMAKGIALFNPILINATNVIIEFNVTVIHH >KJB60008 pep chromosome:Graimondii2_0_v6:9:24288287:24288761:1 gene:B456_009G285300 transcript:KJB60008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMYLTWLWLDFSKLWFAVMLLVFRFVRCNYVFHRDIFFLMHFVNRKQAIGIIPYDSMKEPMEMFFLVLRFEGSLKLFKEKF >KJB56632 pep chromosome:Graimondii2_0_v6:9:9645778:9647312:1 gene:B456_009G128300 transcript:KJB56632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSSANVFSTIATTTLLTSPYRPPDKGNCKSNNVKVMGNKKTGVHGNVADYHVFNGGVHSGKPSFMKWTLNDVVNVVRSHRIPCVFAVGMAFFMYVEYTIRMVPHSSPPLDWGFLLTHSFHRALASRPLLNTVLAALNTVFVLMQTVYIVWAWVIEGRPRATISALFMFTCRGILGCSTQLPLPQEFLGSGVDFPVGNVSFFLFFSGHVAGAVIASLDMRRMQRRGMAWLFDILNVLQSLRLLATRGHYTIDLAVGLGAGILFDFLAGKYEQSKTTKTSTFSRSMKEIC >KJB56631 pep chromosome:Graimondii2_0_v6:9:9645778:9647312:1 gene:B456_009G128300 transcript:KJB56631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSSANVFSTIATTTLLTSPYRPPDKGNCKSNNVKVMGNKKTGVHGNVADYHVFNGGVHSGKPSFMKWTLNDVVNVVRSHRIPCVFAVGMAFFMYVEYTIRMVPHSSPPLDWGFLLTHSFHRALASRPLLNTVFVLMQTVYIVWAWVIEGRPRATISALFMFTCRGILGCSTQLPLPQEFLGSGVDFPVGNVSFFLFFSGHVAGAVIASLDMRRMQRRGMAWLFDILNVLQSLRLLATRGHYTIDLAVGLGAGILFDFLAGKYEQSKTTKTSTFSRSMKEIC >KJB63172 pep chromosome:Graimondii2_0_v6:9:49886513:49886858:-1 gene:B456_009G3707003 transcript:KJB63172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GAFRSFDIECDAMRNIVHRNIVKVITCCSSVDFKALKWLHSENCFLDIIQRVDIMIDVALAIEHLHNGHPTPITHCDIKPSNILLDEDMVAHVGDFGVAKLLGE >KJB58799 pep chromosome:Graimondii2_0_v6:9:17757655:17761474:-1 gene:B456_009G226700 transcript:KJB58799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVVGEESQLQLAEDRLSRSSEPAQVGLVIGKLNSALDRGFVFDLVPTPQNDAGQPACSVLEPAKDNKKKGSKSKSQTSDSSSNLVIDKDWVAEHARQVSRMMVGGIKVVGIYVWASEAAFKNSTMVLCQTVKGVAEAASTLEDDLDVRLLIHICYSPRRWTCRNCTLSSNITSSSLRPCDFKMGRVLTSLQTFKCLYNFDLRLPIYQEKTSKSETLVDVLCNGISNYVKELQGAKAVIDGNLVVNDEACTTEGLHEVELLLPFMKDMYIEACSQKDVEFAKQARYYL >KJB58797 pep chromosome:Graimondii2_0_v6:9:17757580:17761562:-1 gene:B456_009G226700 transcript:KJB58797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVVGEESQLQLAEDRLSRSSEPAQVGLVIGKLNSALDRGFVFDLVPTPQNDAGQPACSVLEPAKDNKKKGSKSKSQTSDSSSNLVIDKDWVAEHARQVSRMMVGGIKVVGIYVWASEAAFKNSTMVLCQTVKGVAEAASTLEDDLDVRLLIHICYSPRRWTCRNCTLSSNITSSSLRPCDFKMGRVLTSLQTFKCLYNFDLRLPIYQEKTSKSETLVDVLCNGISNYVKELQGAKAVIDGNLVVNDEACTTEGLHEVELLLPFMKDMYIEACSQKDVVGVVNFTGSLCSFSFLNSKEPISQAVADIKDDIIRSLRSRLDIICDEADEDPGPIDNGIKEAGNDLASEKPVSQLVLHSLRKNCNLSLPRRVFVPWLAGTYICDYLQPSETLEVLKDHCVELMSMEAPNDASKILEPEEEAIRVSTRSFWDVVIPYCSTLSSTQEKNIEITGKENSQKTSKSPSLYFVAAVIILLLSVLVGFVFVRKS >KJB58800 pep chromosome:Graimondii2_0_v6:9:17757655:17761474:-1 gene:B456_009G226700 transcript:KJB58800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVVGEESQLQLAEDRLSRSSEPAQVGLVIGKLNSALDRGFVFDLVPTPQNDAGQPACSVLEPAKDNKKKGSKSKSQTSDSSSNLVIDKDWVAEHARQVSRMMVGGIKVVGIYVWASEAAFKNSTMVLCQTVKGVAEAASTLEDDLDVRLLIHICYSPRRWTCRNCTLSSNITSSSLRPCDFKMGRVLTSLQTFKCLYNFDLRLPIYQEKTSKSETLVDVLCNGISNYVKELQGAKAVIDGNLVVNDEACTTEGLHEVELLLPFMKDMYIEARKML >KJB58798 pep chromosome:Graimondii2_0_v6:9:17758132:17761429:-1 gene:B456_009G226700 transcript:KJB58798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVVGEESQLQLAEDRLSRSSEPAQVGLVIGKLNSALDRGFVFDLVPTPQNDAGQPACSVLEPAKDNKKKGSKSKSQTSDSSSNLVIDKDWVAEHARQVSRMMVGGIKVVGIYVWASEAAFKNSTMVLCQTVKGVAEAASTLEDDLDVRLLIHICYSPRRWTCRNCTLSSNITSSSLRPCDFKMGRVLTSLQTFKCLYNFDLRLPIYQEKTSKSETLVDVLCNGISNYVKELQGAKAVIDGNLVVNDEACTTEGLHEVELLLPFMKDMYIEACSQKDVVGVVNFTGSLCSFSFLNSKEPISQAVADIKDDIIRSLRSRLDIICDEADEDPGPIDNGIKEAGNDLASEKPVSQLVLHSLRKNCNLSLPRRVFVPWLAGTYICDYLQPSETLE >KJB61275 pep chromosome:Graimondii2_0_v6:9:44121769:44134004:1 gene:B456_009G349600 transcript:KJB61275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFIVLLWGEKSCLANNETLGVPVFSYKEMVKLGRENRAALIDSLDARKGYRYEVIGSDDIATLVYTSGTTGNPKGVMLSHKNLLHQIENLGVLGPAKAGDRFLSMLPTWHTYERACEYFIFTRGIEQVYTTVRTLKDDLRNYKPDYMISVPLVYETLYSGIQKQISSSPNAIKLIVFSFIRISLAYTELKRIYEGLCLTRNPEEPSHIVSMFDYLWSKTIATILWPLHVLATKFVYKKIRSAIGIQKTAKSGGGSLPTHIEKFYEAIGLEVQNGYGLTETSPCIAGRRPYYNVLGSVGHPIPLTEFKVVDSETGEILPPGSKGIIKVRGPPVMKGYYKNPLATRQALDEDGWLNTGDIGWIAPHHSVGRSRRCGGVIVFEGRAKDTIVLSSGENVEPLEIEEAALRSTLIQQIVVIGQDQRRLGAVIVPNKDEALLAAKEASVVDADAVDLSKELISSMLYEELRKWTSECSFQIGPILVVDEPFTIESGLLTPTMKIRRDQVVAQYKEEIANLYK >KJB61273 pep chromosome:Graimondii2_0_v6:9:44121286:44134451:1 gene:B456_009G349600 transcript:KJB61273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGFSVGLLIDNPEFFNRLAGKFCSNASMRFIVLLWGEKSCLANNETLGVPVFSYKEMVKLGRENRAALIDSLDARKGYRYEVIGSDDIATLVYTSGTTGNPKGVMLSHKNLLHQIENLGVLGPAKAGDRFLSMLPTWHTYERACEYFIFTRGIEQVYTTVRTLKDDLRNYKPDYMISVPLVYETLYSGIQKQISSSPNAIKLIVFSFIRISLAYTELKRIYEGLCLTRNPEEPSHIVSMFDYLWSKTIATILWPLHVLATKFVYKKIRSAIGIQKTAKSGGGSLPTHIEKFYEAIGLEVQNGYGLTETSPCIAGRRPYYNVLGSVGHPIPLTEFKVVDSETGEILPPGSKGIIKVRGPPVMKGYYKNPLATRQALDEDGWLNTGDIGWIAPHHSVGRSRRCGGVIVFEGRAKDTIVLSSGENVEPLEIEEAALRSTLIQQIVVIGQDQRRLGAVIVPNKDEALLAAKEASVVDADAVDLSKELISSMLYEELRKWTSECSFQIGPILVVDEPFTIESGLLTPTMKIRRDQVVAQYKEEIANLYK >KJB61274 pep chromosome:Graimondii2_0_v6:9:44121868:44134004:1 gene:B456_009G349600 transcript:KJB61274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTWHTYERACEYFIFTRGIEQVYTTVRTLKDDLRNYKPDYMISVPLVYETLYSGIQKQISSSPNAIKLIVFSFIRISLAYTELKRIYEGLCLTRNPEEPSHIVSMFDYLWSKTIATILWPLHVLATKFVYKKIRSAIGIQKTAKSGGGSLPTHIEKFYEAIGLEVQNGYGLTETSPCIAGRRPYYNVLGSVGHPIPLTEFKVVDSETGEILPPGSKGIIKVRGPPVMKGYYKNPLATRQALDEDGWLNTGDIGWIAPHHSVGRSRRCGGVIVFEGRAKDTIVLSSGENVEPLEIEEAALRSTLIQQIVVIGQDQRRLGAVIVPNKDEALLAAKEASVVDADAVDLSKELISSMLYEELRKWTSECSFQIGPILVVDEPFTIESGLLTPTMKIRRDQVVAQYKEEIANLYK >KJB61271 pep chromosome:Graimondii2_0_v6:9:44121047:44133282:1 gene:B456_009G349600 transcript:KJB61271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAINVVRGSRSAVKELLHIYNHSESVGLLIDNPEFFNRLAGKFCSNASMRFIVLLWGEKSCLANNETLGVPVFSYKEMVKLGRENRAALIDSLDARKGYRYEVIGSDDIATLVYTSGTTGNPKGVMLSHKNLLHQIENLGVLGPAKAGDRFLSMLPTWHTYERACEYFIFTRGIEQVYTTVRTLKDDLRNYKPDYMISVPLVYETLYSGIQKQISSSPNAIKLIVFSFIRISLAYTELKRIYEGLCLTRNPEEPSHIVSMFDYLWSKTIATILWPLHVLATKFVYKKIRSAIGIQKTAKSGGGSLPTHIEKFYEAIGLEVQNGYGLTETSPCIAGRRPYYNVLGSVGHPIPLTEFKVVDSETGEILPPGSKGIIKVRGPPVMKGYYKNPLATRQALDEDGWLNTGDIGWIAPHHSVGRSRRCGGVIVFEGRAKDTIVLSSGENVEPLEIEEAALRSTLIQQIVVIGQARSTTPWRCHCPKQR >KJB61272 pep chromosome:Graimondii2_0_v6:9:44114264:44134004:1 gene:B456_009G349600 transcript:KJB61272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAINVVRGSRSAVKELLHIYNHSESVGLLIDNPEFFNRLAGKFCSNASMRFIVLLWGEKSCLANNETLGVPVFSYKEMVKLGRENRAALIDSLDARKGYRYEVIGSDDIATLVYTSGTTGNPKGVMLSHKNLLHQIENLGVLGPAKAGDRFLSMLPTWHTYERACEYFIFTRGIEQVYTTVRTLKDDLRNYKPDYMISVPLVYETLYSGIQKQISSSPNAIKLIVFSFIRISLAYTELKRIYEGLCLTRNPEEPSHIVSMFDYLWSKTIATILWPLHVLATKFVYKKIRSAIGIQKTAKSGGGSLPTHIEKFYEAIGLEVQNGYGLTETSPCIAGRRPYYNVLGSVGHPIPLTEFKVVDSETGEILPPGSKGIIKVRGPPVMKGYYKNPLATRQALDEDGWLNTGDIGWIAPHHSVGRSRRCGGVIVFEGRAKDTIVLSSGENVEPLEIEEAALRSTLIQQIVVIGQDQRRLGAVIVPNKDEALLAAKEASVVDADAVDLSKELISSMLYEELRKWTSECSFQIGPILVVDEPFTIESGLLTPTMKIRRDQVVAQYKEEIANLYK >KJB61269 pep chromosome:Graimondii2_0_v6:9:44114264:44134004:1 gene:B456_009G349600 transcript:KJB61269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAINVVRGSRSAVKELLHIYNHSESVGLLIDNPEFFNRLAGKFCSNASMRFIVLLWGEKSCLANNETLGVPVFSYKEMVKLGRENRAALIDSLDARKGYRYEVIGSDDIATLVYTSGTTGNPKGVMLSHKNLLHQIENLGVLGPAKAGDRFLSMLPTWHTYERACEYFIFTRGIEQVYTTDDLRNYKPDYMISVPLVYETLYSGIQKQISSSPNAIKLIVFSFIRISLAYTELKRIYEGLCLTRNPEEPSHIVSMFDYLWSKTIATILWPLHVLATKFVYKKIRSAIGIQKTAKSGGGSLPTHIEKFYEAIGLEVQNGYGLTETSPCIAGRRPYYNVLGSVGHPIPLTEFKVVDSETGEILPPGSKGIIKVRGPPVMKGYYKNPLATRQALDEDGWLNTGDIGWIAPHHSVGRSRRCGGVIVFEGRAKDTIVLSSGENVEPLEIEEAALRSTLIQQIVVIGQDQRRLGAVIVPNKDEALLAAKEASVVDADAVDLSKELISSMLYEELRKWTSECSFQIGPILVVDEPFTIESGLLTPTMKIRRDQVVAQYKEEIANLYK >KJB61270 pep chromosome:Graimondii2_0_v6:9:44114264:44134451:1 gene:B456_009G349600 transcript:KJB61270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAINVVRGSRSAVKELLHIYNHSESVGLLIDNPEFFNRLAGKFCSNASMRFIVLLWGEKSCLANNETLGVPVFSYKEMVKLGRENRAALIDSLDARKGYRYEVIGSDDIATLVYTSGTTGNPKGVMLSHKNLLHQIENLGVLGPAKAGDRFLSMLPTWHTYERACEYFIFTRGIEQVYTTVRTLKDDLRNYKPDYMISVPLVYETLYSGIQKQISSSPNAIKLIVFSFIRISLAYTELKRIYEGLCLTRNPEEPSHIVSMFDYLWSKTIATILWPLHVLATKFVYKKIRSAIGIQKTAKSGGGSLPTHIEKFYEAIGLEVQNGYGLTETSPCIAGRRPYYNVLGSVGHPIPLTEFKVVDSETGEILPPGSKGIIKVRGPPVMKGYYKNPLATRQALDEDGWLNTGDIGWIAPHHSVGRSRRCGGVIVFEGRAKDTIVLSSGENVEPLEIEEAALRSTLIQQIVVIGQDQRRLGAVIVPNKDEALLAAKEASVVDADAVDLSKELISSMLYEELRKWTSECSFQIGPILVVDEPFTIESGLLTPTMKIRRDQVVAQYKEEIANLYK >KJB61729 pep chromosome:Graimondii2_0_v6:9:51128600:51129771:-1 gene:B456_009G3765002 transcript:KJB61729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YSTVIDCLCKNGLLQEALNLLSEMKVKGIRPNIITYTCLIHGMCNSGQQEEATRLLNEMVDNNISLDIVTYNLLIDALCKEGTISKAVETVDMMRKQGIELNVVTYNTLVDAHCKEGMVSEAKDIVDAMIKRGIEPDVVTYSALINGHCLQNEMDKARRVFNLMIEKGCASGIVTYSTMINGYCKGKRLDKAMELFHEISRKGPLPDTVTYNILMQSMFQLGKVSTARKLFRKMLASGQIPDIAIFFILLDGLCKTGHIGEALKLFQAMQNSGLELHIVPYTILIDGFCKAGHIKFAKELFHQLSNNGLKPNVYTYCVMINRLCKEGLPDEAYRLFGSMGDNDCLPNSRCYNVMIRGFLRNSYTSKARQLLMEMVGKGFSADIITAQYL >KJB56924 pep chromosome:Graimondii2_0_v6:9:10737826:10743618:1 gene:B456_009G141900 transcript:KJB56924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQFQFILLLVTICITLSAMSVDGSSVRASWTPPIVMPAEAPTIHPIGLGPPPSTQPDPAPSLTPTTPSFGRTRNGKLAAVPSSKVFHHSSSSMDHSPTKAIHPHHALKPSSISVAPTAPSFGPPAKSWMHGPASLPLVPFHKHHHARKHFRNSAPRPSYSIHQPAYRQQVPPVSPSQYPTPSWVSPAPSPTATSNYFHNMPILQPAISPIASSLKKMKAPPPSIVMALPPPPPNKDCTSVACSEPLTYTPPGSPCGCVWPIQVKLQLGVSIYTFFPLVSELAQEIAASIRLNHSQVRIMGANAASQELEKSTVLINLVPWEVKFDYTTALLIYNKFWSRQVLIKASLFGPYEVGYVRYPGLPPSPPVASSSVSAIDDGPFTGHNNHGQAIKPLGVDVPKRKREGPTRSMIAVIILSSFSAFVVCLGIIWLFLWKWGACVNEPEHKRQTTTFSPPPPSGSTRAVMQGSKSSAASMSISSGGMTYTGLAKNFTLNDIERATNSFDASRVIGEGGFGIVYRGSLDDGAAVAVKVLKREDQHGGQEFLAEVEMLSRLHHRNLVKLIGICTEDNTRCLIYELVPNGSLESHLHGVEKETDPLDWGARMKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAIDEGNKYISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLLQPPGQENLVAWARPLLTDKEGLEMVIDPAIKSDISLDSIAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDERMEVESKAGIQEDFPKTVDSKLSRLSSEPVEASDTYHPIPGYDYSHESNIPLSSSDLLSIPPGPELQEPGSFRRHSCSAPLGTGRRRHFLQRIRSLSRGSRSEHGFSVKFWRGSR >KJB56526 pep chromosome:Graimondii2_0_v6:9:9261297:9263791:-1 gene:B456_009G123800 transcript:KJB56526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15980) UniProtKB/Swiss-Prot;Acc:Q9S9N6] MATTVLPKALSPFLSNPSSLPSAHLTVKPPCFRPLDHSPCTKTRPSVRTHAKKKNPWLDPFEDDVEDPFSEYGSLFTDGKQEEDPRPPEDPDNPYGFLKFPKGFTVELASLPLKIRGDVRRCCCVISGGVYENLLFFPVIQLIKDRYPGVQVDILASARGKQTFELNKNVRWANAYDPDDDWPDPAEYTDMIGLLKNRYYDMVLSTKLAGLGHAAFLFMTTARDRVSYIYPNVNAAGAGLLLSQSFPADSMNLSDAGYNMYHQMVDWLGRPFRSVPRHEVGPLRVSISRKLKEVVAEKYRNAGVEKGKYIVIHGIESDSKASMQSRGDTDCLLPIQIWAEIAEDIRGFKPLFVIPHEKERENVEEEVGDDANIVFITTPGQLAALINDSAGVIATNTAAIQLATAREKPSIGLFCSEEKGKLFVPNAEEKKCAVVSSKTGKLIDIDVEAVKQAMQIFQQSLALV >KJB56525 pep chromosome:Graimondii2_0_v6:9:9261895:9263724:-1 gene:B456_009G123800 transcript:KJB56525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15980) UniProtKB/Swiss-Prot;Acc:Q9S9N6] MATTVLPKALSPFLSNPSSLPSAHLTVKPPCFRPLDHSPCTKTRPSVRTHAKKKNPWLDPFEDDVEDPFSEYGSLFTDGKQEEDPRPPEDPDNPYGFLKFPKGFTVELASLPLKIRGDVRRCCCVISGGVYENLLFFPVIQLIKDRYPGVQVDILASARGKQTFELNKNVRWANAYDPDDDWPDPAEYTDMIGLLKNRYYDMVLSTKLAGLGHAAFLFMTTARDRVSYIYPNVNAAGAGLLLSQSFPADSMNLSDAGYNMYHQMVDWLGRPFRSVPRHEVGPLRVSISRKLKEVVAEKYRNAGVEKGKYIVIHGIESDSKASMQSRGDTDCLLPIQIWAEIAEDIRGFKPLFVIPHEKERENVEEEVGDDANIVFITTPGQR >KJB58091 pep chromosome:Graimondii2_0_v6:9:14911498:14913836:-1 gene:B456_009G194000 transcript:KJB58091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIFSIGLLCLSSLFPGISGTTFTLVNKCDHTVWPGILGNSDLGSTGFELPSGGSRPFQAPSGWSGRFWGRSGCASDQNTGQLTCQTGDCGSNQIECNGKGASPPATLAEFTIGSGTQDFYDVSLVDGYNLPMIVEPSGGSGSCLSTGCVNDLNRQCPAELRVGSGEACKSACEAFGTPEYCCSGAYATPDTCKPSVYAGMFKAACPRSYSYAYDDATSTFTCTGADYTITFCPSSTSQKSASSTSPTTGTANTTYGSITGTGQVPSDDNSSWLPDFLTGESSRTLSSGVLHTTLLASAISFICLFRFYS >KJB58090 pep chromosome:Graimondii2_0_v6:9:14911496:14913888:-1 gene:B456_009G194000 transcript:KJB58090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPFLPKRKMDLIFSIGLLCLSSLFPGISGTTFTLVNKCDHTVWPGILGNSDLGSTGFELPSGGSRPFQAPSGWSGRFWGRSGCASDQNTGQLTCQTGDCGSNQIECNGKGASPPATLAEFTIGSGTQDFYDVSLVDGYNLPMIVEPSGGSGSCLSTGCVNDLNRQCPAELRVGSGEACKSACEAFGTPEYCCSGAYATPDTCKPSVYAGMFKAACPRSYSYAYDDATSTFTCTGADYTITFCPSSTSQKSASSTSPTTGTANTTYGSITGTGQVPSDDNSSWLPDFLTGESSRTLSSGVLHTTLLASAISFICLFRFYS >KJB58092 pep chromosome:Graimondii2_0_v6:9:14912497:14913817:-1 gene:B456_009G194000 transcript:KJB58092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIFSIGLLCLSSLFPGISGTTFTLVNKCDHTVWPGILGNSDLGSTGFELPSGGSRPFQAPSGWSGRFWGRSGCASDQNTGQLTCQTGDCGSNQIECNGKGASPPATLAEFTIGSGTQDFYDVSLVDGYNLPMIVEPSGGSGSCLSTGCVNDLNRQCPAELRVGSGEACKSACEAFGTPEYCCSGAYATPDTCKPSVYAGMFKAACPRSYSYAYDDATSTFTCTGADYTITFCPSSTR >KJB60120 pep chromosome:Graimondii2_0_v6:9:38837381:38837773:-1 gene:B456_009G339600 transcript:KJB60120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETKSTITKPRRKVSKRKPPTDLTNTIPSSFPSSQSSSASIKTQIKSSRPSDLKSPSSNPNTSTKRTSKLTATDSTKNINNDNNDKKENENCPSDKANPSSKPSPPSKTPSISGNFDGSCLFLGFGWIF >KJB59991 pep chromosome:Graimondii2_0_v6:9:24151389:24153174:-1 gene:B456_009G284100 transcript:KJB59991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHRCNQVIRSESDTESDTDAETMKCISCKEEYGSRDAGTCKECYEEASETEEELKREIEDLKAKVAFLRFWSPLDHAHRGSTTTPGPFFSDVVLIASDDGSPGFPPVLVPANKAVLVNRSPVFKAMLENEMEESRSGTIKVSDVSYDALRAFVNYLYTAEACLDEQMACDLLVLAEKYQVKHLKGYCEKFLVSKLNWDNSLMSYAFAHQHNAKLVLDAALCLITDNMDKLTKREEYIELVEKDPRLVVEIYEAYLSKQVNTAAHKDCSMKS >KJB59990 pep chromosome:Graimondii2_0_v6:9:24151869:24153386:-1 gene:B456_009G284100 transcript:KJB59990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHRCNQVIRSESDTESDTDAETMKCISCKEEYGSRDAGTCKECYEEASETEEELKREIEDLKAKVAFLRFWSPLDHAHRGSTTTPGPFFSDVVLIASDDGSPGFPPVLVPANKAVLVNRSPVFKAMLENEMEESRSGTIKVSDVSYDALRAFVNYLYTAEACLDEQMACDLLVLAEKYQVKHLKGYCEKFLVSKLNWDNSLMSYAFAHQHNAKLVLDAALCLITDNMDKLTKREEYIELVEKDPRLVVEIYEAYLSKQVNTAAHKDCSMKS >KJB57916 pep chromosome:Graimondii2_0_v6:9:14281474:14290510:1 gene:B456_009G185700 transcript:KJB57916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] MIPILGKLCVICWIVKARRPWRLQFAKMLLTTLPGLSGLSADWVHQKKWSEAVQSLQQAIRGYPTSPDLWEALGLAYHRLGMFTAAIKSYSRAIELEDTRVFALIECGNIFLMLGSFRKGIEQFQQALKISLQNMSALYGLASGLLGMAKECRNSGAFRWAASLLEDARKVAEASIKSAGNSSCTWKLHGDILLTYAQVFPWTEESQGLEYNAETFKNSVYSWKNTCGLAAISARNSYQRALHLAPWQANIYIDIAISSNLISSFNQDNTLDKCTWKLPEKLTLGALALEGENSEFWVALSCLSECNALKQHSLIRGLQLDVSLAYAWAYLGKLYREENEKKLARQAFDCARGIDPSLALPWAGMSADAHTGDSTPDDAFESCLRAVEIFPVSVDITFYALPKLAEFQIGLAKLALLSGNLSSSQVFGAIQQAVQRAPHYHESHNLYGLVHEARMQFQAAIASYRLARYAINISLGTVLKSHLKDISTNLARSLSKAGNAIGAVQECEDLKKEGMLDAEGLQIYAFSLWQLGENDLALSVTRALAASVSTMDRISAAVSVSFICRLLYYISGPDLAIGSILKIPKELFHSSKISFIVSAINALDQNNRLESIISSSRYFLASQEEITGMHYLIALSKLIKHGTKHHLGFQNGVNHLRKALHMYPNSILIRNLLGYILLCSEVWGNSHVSSRCSIVDDSDSKNKEGLKSAWEISSAGAVACHAIGNSEPRFSFPTCSCQCTSSGAMQELQKCLRREPWNHNARYLLILNLLQKAREERFPVNICIVLERLISVALSNEFYSGKEAICQYQKFQIYLCASEILLQRGNIMGCIDQAKNASVLSLPDSFQFFGHLLLCRAYAAEGNLKFSKEEYERCLELKTDFLVGWLCLKLMESQYEEQPASNIFELAFKEGSEGRNNSWNMWMAVYSLGMGLICLWNQDFLSAEEFLEQPCSLTSAESCIFLCHGVTSMEIARRYHDSQFLSSAIRSLSKAHITSSVPIPIVSALLAQAEGSIGSRKKWERNLRLEWFSWPPEMRPAELFFQMHLLARQIASESDSSSRVEGCQSPLQWVLRAIHTNPSDLRYWKVLPKLL >KJB57915 pep chromosome:Graimondii2_0_v6:9:14281586:14288594:1 gene:B456_009G185700 transcript:KJB57915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] METTGELEGEERRRLEELLESNPDDSSLHFQLGVCLWETQTEKEKAAEHWVISVKQNPKNAAAFTYLGHYYATVSVDIPRAIKCYQRALSLNPDDSDSGEALCDLLDSQGKETLEVAICKDASHNSPRAFWAFRRLGFLQVHQKKWSEAVQSLQQAIRGYPTSPDLWEALGLAYHRLGMFTAAIKSYSRAIELEDTRVFALIECGNIFLMLGSFRKGIEQFQQALKISLQNMSALYGLASGLLGMAKECRNSGAFRWAASLLEDARKVAEASIKSAGNSSCTWKLHGDILLTYAQVFPWTEESQGLEYNAETFKNSVYSWKNTCGLAAISARNSYQRALHLAPWQANIYIDIAISSNLISSFNQDNTLDKCTWKLPEKLTLGALALEGENSEFWVALSCLSECNALKQHSLIRGLQLDVSLAYAWAYLGKLYREENEKKLARQAFDCARGIDPSLALPWAGMSADAHTGDSTPDDAFESCLRAVEIFPVSVDITFYALPKLAEFQIGLAKLALLSGNLSSSQVFGAIQQAVQRAPHYHESHNLYGLVHEARMQFQAAIASYRLARYAINISLGTVLKSHLKDISTNLARSLSKAGNAIGAVQECEDLKKEGMLDAEGLQIYAFSLWQLGENDLALSVTRALAASVSTMDRISAAVSVSFICRLLYYISGPDLAIGSILKIPKELFHSSKISFIVSAINALDQNNRLESIISSSRYFLASQEEITGMHYLIALSKLIKHGTKHHLGFQNGVNHLRKALHMYPNSILIRNLLGYILLCSEVWGNSHVSSRCSIVDDSDSKNKEGLKSAWEISSAGAVACHAIGNSEPRFSFPTCSCQCTSSGAMQELQKCLRREPWNHNARYLLILNLLQKAREERFPVNICIVLERLISVALSNEFYSGKEAICQYQKFQIYLCASEILLQRGNIMGCIDQAKNASVLSLPDSFQFFGHLLLCRAYAAEGNLKFSKEEYERCLELKTDFLVGWLCLKLMESQYEEQPASNIFELAFKEGSEGRNNSWNMWMAVYSLGMGLICLWNQDFLSAEEFLEQPCSLTSAESCIFLCHGIHLLMEIIFLDDFFLSVNMLL >KJB57914 pep chromosome:Graimondii2_0_v6:9:14281445:14290510:1 gene:B456_009G185700 transcript:KJB57914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] METTGELEGEERRRLEELLESNPDDSSLHFQLGVCLWETQTEKEKAAEHWVISVKQNPKNAAAFTYLGHYYATVSVDIPRAIKCYQRALSLNPDDSDSGEALCDLLDSQGKETLEVAICKDASHNSPRAFWAFRRLGFLQVHQKKWSEAVQSLQQAIRGYPTSPDLWEALGLAYHRLGMFTAAIKSYSRAIELEDTRVFALIECGNIFLMLGSFRKGIEQFQQALKISLQNMSALYGLASGLLGMAKECRNSGAFRWAASLLEDARKVAEASIKSAGNSSCTWKLHGDILLTYAQVFPWTEESQGLEYNAETFKNSVYSWKNTCGLAAISARNSYQRALHLAPWQANIYIDIAISSNLISSFNQDNTLDKCTWKLPEKLTLGALALEGENSEFWVALSCLSECNALKQHSLIRGLQLDVSLAYAWAYLGKLYREENEKKLARQAFDCARGIDPSLALPWAGMSADAHTGDSTPDDAFESCLRAVEIFPLAEFQIGLAKLALLSGNLSSSQVFGAIQQAVQRAPHYHESHNLYGLVHEARMQFQAAIASYRLARYAINISLGTVLKSHLKDISTNLARSLSKAGNAIGAVQECEDLKKEGMLDAEGLQIYAFSLWQLGENDLALSVTRALAASVSTMDRISAAVSVSFICRLLYYISGPDLAIGSILKIPKELFHSSKISFIVSAINALDQNNRLESIISSSRYFLASQEEITGMHYLIALSKLIKHGTKHHLGFQNGVNHLRKALHMYPNSILIRNLLGYILLCSEVWGNSHVSSRCSIVDDSDSKNKEGLKSAWEISSAGAVACHAIGNSEPRFSFPTCSCQCTSSGAMQELQKCLRREPWNHNARYLLILNLLQKAREERFPVNICIVLERLISVALSNEFYSGKEAICQYQKFQIYLCASEILLQRGNIMGCIDQAKNASVLSLPDSFQFFGHLLLCRAYAAEGNLKFSKEEYERCLELKTDFLVGWLCLKLMESQYEEQPASNIFELAFKEGSEGRNNSWNMWMAVYSLGMGLICLWNQDFLSAEEFLEQPCSLTSAESCIFLCHGVTSMEIARRYHDSQFLSSAIRSLSKAHITSSVPIPIVSALLAQAEGSIGSRKKWERNLRLEWFSWPPEMRPAELFFQMHLLARQIASESDSSSRVEGCQSPLQWVLRAIHTNPSDLRYWKVLPKLL >KJB57917 pep chromosome:Graimondii2_0_v6:9:14281474:14290510:1 gene:B456_009G185700 transcript:KJB57917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] METTGELEGEERRRLEELLESNPDDSSLHFQLGVCLWETQTEKEKAAEHWVISVKQNPKNAAAFTYLGHYYATVSVDIPRAIKCYQRALSLNPDDSDSGEALCDLLDSQGKETLEVAICKDASHNSPRAFWAFRRLGFLQVHQKKWSEAVQSLQQAIRGYPTSPDLWEALGLAYHRLGMFTAAIKSYSRAIELEDTRVFALIECGNIFLMLGSFRKGIEQFQQALKISLQNMSALYGLASGLLGMAKECRNSGAFRWAASLLEDARKVAEASIKSAGNSSCTWKLHGDILLTYAQVFPWTEESQGLEYNAETFKNSVYSWKNTCGLAAISARNSYQRALHLAPWQANIYIDIAISSNLISSFNQDNTLDKCTWKLPEKLTLGALALEGENSEFWVALSCLSECNALKQHSLIRGLQLDVSLAYAWAYLGKLYREENEKKLARQAFDCARGIDPSLALPWAGMSADAHTGDSTPDDAFESCLRAVEIFPVSVDITFYALPKLAEFQIGLAKLALLSGNLSSSQVFGAIQQAVQRAPHYHESHNLYGLVHEARMQFQAAIASYRLARYAINISLGTVLKSHLKDISTNLARSLSKAGNAIGAVQECEDLKKEGMLDAEGLQIYAFSLWQLGENDLALSVTRALAASVSTMDRISAAVSVSFICRLLYYISGPDLAIGSILKIPKELFHSSKISFIVSAINALDQNNRLESIISSSRYFLASQEEITGMHYLIALSKLIKHGTKHHLGFQNGVNHLRKALHMYPNSILIRNLLGYILLCSEVWGNSHVSSRCSIVDDSDSKNKEGLKSAWEISSAGAVACHAIGNSEPRFSFPTCSCQCTSSGAMQELQKCLRREPWNHNARYLLILNLLQKAREERFPVNICIVLERLISVALSNEFYSGKEAICQYQKFQIYLCASEILLQRGNIMGCIDQAKNASVLSLPDSFQFFGHLLLCRAYAAEGNLKFSKEEYERCLELKTDFLVGWLCLKLMESQYEEQPASNIFELAFKEGSEGRNNSWNMWMAVYSLGMGLICLWNQDFLSAEEFLEQPCSLTSAESCIFLCHGVTSMEIARRYHDSQFLSSAIRSLSKAHITSSVPIPIVSALLAQAEGSIGSRKKWERNLRLEWFSWPPEMRPAELFFQMHLLARQIASESDSSSRVEGCQSPLQWVLRAIHTNPSDLRYWKVLPKLL >KJB61374 pep chromosome:Graimondii2_0_v6:9:45835016:45836259:-1 gene:B456_009G353800 transcript:KJB61374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCWFFDFLLNVTDISFYFQLFVKAVGFEGDVRKQEDARRVVESTVKHFGCLDILVNAAAGNFLVSAEDLSPNGFRTVIDIDSVGTFTMCHEALKYLKKGGHGRNSSRGGSILNITATLHYTASWYQIHVAAAKAAVDSITRNLALEWGTDYDIRVNGIAPGPIGDTPGMSKLVPDEIKSKTREVMPLYKIGEKWDIAMAALYLVSDAGELL >KJB61375 pep chromosome:Graimondii2_0_v6:9:45835016:45837500:-1 gene:B456_009G353800 transcript:KJB61375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEESPFKADVVKGKVCLITGGGSGIGFEISTQFGKHGASVAIMGRRKQVLDAAVSSLHSLGINAVGFEGDVRKQEDARRVVESTVKHFGCLDILVNAAAGNFLVSAEDLSPNGFRTVIDIDSVGTFTMCHEALKYLKKGGHGRNSSRGGSILNITATLHYTASWYQIHVAAAKAAVDSITRNLALEWGTDYDIRVNGIAPGPIGDTPGMSKLVPDEIKSKTREVMPLYKIGEKWDIAMAALYLVSDAGELL >KJB58106 pep chromosome:Graimondii2_0_v6:9:14995655:14998732:1 gene:B456_009G195100 transcript:KJB58106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENKPESGPTVNPRVTWEGCSVLLDINDGDRLLFARLSAGSTLKIGNKTFSLQPLIGCPFGSLFQVESGKEKPYLSRFIPPTEENNVQDEGGCQLQEESRDNRAIIDNNQAQSLTGDDIDAMRRQGATGNEIVEALIANSATFDKKTQFSQEKYRLKKQKKYAPRVLLRRPFSRRFLRVDALSLLLSMANVTANSDVLVLDMVGGLVTGAVAERLGGTGSVCNTYLGGTPYPMEIIRMFNFNNEICKRVLRCSVNDLCAVQNETSEQVSQHEDVCTMESQSDEKISLSVSTEEVHLSSKNGVSDLVPENELSTTGKTCKTPKAGEKAPKEAIQSWKENGFSSLIIAAPEQDAWSLVKDLLPLLTYSAPFAIYHQYLQPLATCMNNLQLEKMAIGLQLSEPWLREYQVLPSRTHPCMQMSGSGGYILSGTRAYSNTSQS >KJB58105 pep chromosome:Graimondii2_0_v6:9:14995601:14998755:1 gene:B456_009G195100 transcript:KJB58105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSELKLFQILVRKVQFFLTLCGIRANILFNKGSNMSENKPESGPTVNPRVTWEGCSVLLDINDGDRLLFARLSAGSTLKIGNKTFSLQPLIGCPFGSLFQVESGKEKPYLSRFIPPTEENNVQDEGGCQLQEESRDNRAIIDNNQAQSLTGDDIDAMRRQGATGNEIVEALIANSATFDKKTQFSQEKYRLKKQKKYAPRVLLRRPFSRSICEAYFKKYPARIGFLRVDALSLLLSMANVTANSDVLVLDMVGGLVTGAVAERLGGTGSVCNTYLGGTPYPMEIIRMFNFNNEICKRVLRCSVNDLCAVQNETSEQVSQHEDVCTMESQSDEKISLSVSTEEVHLSSKNGVSDLVPENELSTTGKTCKTPKAGEKAPKEAIQSWKENGFSSLIIAAPEQDAWSLVKDLLPLLTYSAPFAIYHQYLQPLATCMNNLQLEKMAIGLQLSEPWLREYQVLPSRTHPCMQMSGSGGYILSGTRAYSNTSQS >KJB60299 pep chromosome:Graimondii2_0_v6:9:26267355:26274369:-1 gene:B456_009G299000 transcript:KJB60299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFKETKHLVSSNVSAAERTVEEAERFWFSFVLYSLNRLSEKGGDSAQQKSDENRFTLCQILRATRLNIVYFFEELPQFVVKAGLVLTNMYGEDWETRLEAKEMQANFEHLTLISKSYKRAFRELFLSSDANIGKQESATGAPDYLSKYHRFGWLLFLALRVHAFSHFADLVTCAIGFVSVLAILILHVPICYRNFKINDSPHFVKKGEKGVDLLASLCNMYDASEADLRRTLKMTNKLMEDILKKRPCPASECKTETLEHIDTDGLVYFDGLLEEQSFLSNLSILENDYDDATRNRGDLDERLFINEEDSLLGFGSLSGEDVNISGIKRKFDSIPSPSKTISSPLSPHCHRASHVNGVLGPLNGKVASTPVSTAMTTAKWLRSFICPLPSRPSAELQHFLSSCDKDVTNDVIRRAHIILEAIFPCSHDCSLTGSLRSANLMDNTWMEQRRLEALKLYYRVLEAMCTAEAQILRAPNLTSLLTNERFHRCMLACSAELVLATHKTATMLFPTVLGRTGITAFDLSKVIESFIRHEKSLPRELKRHLNSLEERLLESMVWDKGSSLYNTLIVARPALSSEINRLGLLAEPMPSLDAIAMHINFSEGIPTVPSLQKHETSPSNFGSLILGQNGDVRSPKRLCTDYRSVLVERNSFTSPVKDRLLAFSNLRKAPLQSVFASPTRPNPVGGGETCAETGINIFFSKINKLAAVRINGMVERLQLSQEIRESVYFLFQQILSQRTSLFFNHHIDQIILCCLYVVAKLSQLELPFKEITSNYRKQLQGRPEVYCSVFVDRSSTQQNGRTRQDHVGIVAFYNTKFIPAIKHLLEELDLSRTTTRTTQVPESNNSNDGPCPGSPKVASFPSLPDMSPMKVSAKHNVYVSPLRASKMDALISHSARSYYACVGESTHAFQSPSKDLTAINNQLNSTRNIRIKLKFDDLEGGLVSDSMVANSLYLQSGSHTLASSPGAALKSEQPES >KJB60297 pep chromosome:Graimondii2_0_v6:9:26267662:26273860:-1 gene:B456_009G299000 transcript:KJB60297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVKPLVSTSNSMDGDAFEARFTDLCKKGLSLDEKTCSGAMKLFKETKHLVSSNVSAAERTVEEAERFWFSFVLYSLNRLSEKGGDSAQQKSDENRFTLCQILRATRLNIVYFFEELPQFVVKAGLVLTNMYGEDWETRLEAKEMQANFEHLTLISKSYKRAFRELFLSSDANIGKQESATGAPDYLSKYHRFGWLLFLALRVHAFSHFADLVTCAIGFVSVLAILILHVPICYRNFKINDSPHFVKKGEKGVDLLASLCNMYDASEADLRRTLKMTNKLMEDILKKRPCPASECKTETLEHIDTDGLVYFDGLLEEQSFLSNLSILENDYDDATRNRGDLDERLFINEEDSLLGFGSLSGEDVNISGIKRKFDSIPSPSKTISSPLSPHCHRASHVNGVLGPLNGKVASTPVSTAMTTAKWLRSFICPLPSRPSAELQHFLSSCDKDVTNDVIRRAHIILEAIFPCSHDCSLTGSLRSANLMDNTWMEQRRLEALKLYYRVLEAMCTAEAQILRAPNLTSLLTNERFHRCMLACSAELVLATHKTATMLFPTVLGRTGITAFDLSKVIESFIRHEKSLPRELKRHLNSLEERLLESMVWDKGSSLYNTLIVARPALSSEINRLGLLAEPMPSLDAIAMHINFSEGIPTVPSLQKHETSPSNFGSLILGQNGDVRSPKRLCTDYRSVLVERNSFTSPVKDRLLAFSNLRKAPLQSVFASPTRPNPVGGGETCAETGINIFFSKINKLAAVRINGMVERLQLSQEIRESVYFLFQQILSQRTSLFFNHHIDQIILCCLYVVAKLSQLELPFKEITSNYRKQLQGRPEVYCSVFVDRSSTQQNGRTRQDHVGIVAFYNTKFIPAIKHLLEELDLSRTTTRTTQVPESNNSNDGPCPGSPKVASFPSLPDMSPMKVSAKHNVYVSPLRASKMDALISHSARSYYACVGESTHAFQSPSKDLTAINNQLNSTRNIRIKLKFDDLEGGLVSDSMVANSLYLQSGSHTLASSPGAALKSEQPES >KJB60298 pep chromosome:Graimondii2_0_v6:9:26268373:26273860:-1 gene:B456_009G299000 transcript:KJB60298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVKPLVSTSNSMDGDAFEARFTDLCKKGLSLDEKTCSGAMKLFKETKHLVSSNVSAAERTVEEAERFWFSFVLYSLNRLSEKGGDSAQQKSDENRFTLCQILRATRLNIVYFFEELPQFVVKAGLVLTNMYGEDWETRLEAKEMQANFEHLTLISKSYKRAFRELFLSSDANIGKQESATGAPDYLSKYHRFGWLLFLALRVHAFSHFADLVTCAIGFVSVLAILILHVPICYRNFKINDSPHFVKKGEKGVDLLASLCNMYDASEADLRRTLKMTNKLMEDILKKRPCPASECKTETLEHIDTDGLVYFDGLLEEQSFLSNLSILENDYDDATRNRGDLDERLFINEEDSLLGFGSLSGEDVNISGIKRKFDSIPSPSKTISSPLSPHCHRASHVNGVLGPLNGKVASTPVSTAMTTAKWLRSFICPLPSRPSAELQHFLSSCDKDVTNDVIRRAHIILEAIFPCSHDCSLTGSLRSANLMDNTWMEQRRLEALKLYYRVLEAMCTAEAQILRAPNLTSLLTNERFHRCMLACSAELVLATHKTATMLFPTVLGRTGITAFDLSKVIESFIRHEKSLPRELKRHLNSLEERLLESMVWDKGSSLYNTLIVARPALSSEINRLGLLAEPMPSLDAIAMHINFSEGIPTVPSLQKHETSPSNFGSLILGQNGDVRSPKRLCTDYRSVLVERNSFTSPVKDRLLAFSNLRKAPLQSVFASPTRPNPVGGGETCAETGINIFFSKINKLAAVRINGMVERLQLSQEIRESVYFLFQQILSQRTSLFFNHHIDQIILCCLYVVAKLSQLELPFKEITSNYRKQLQGRPEVYCSVFVDRSSTQQNGRTRQDHVGIVAFYNTKFIPAIKHLLEELDLSRTTTRTTQVPESNNSNDVRSMPWIT >KJB62068 pep chromosome:Graimondii2_0_v6:9:57121669:57122512:1 gene:B456_009G399500 transcript:KJB62068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQAEFFTILITNVCNLLKSSHHIRRLLCSSNLGINSCCDYDTINLPKIFMIALISDNKELSFYFFWKKEMSLILLVCFLA >KJB62770 pep chromosome:Graimondii2_0_v6:9:68454601:68457771:1 gene:B456_009G435400 transcript:KJB62770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFSLKNQWINLLCIIIFTLLSISSKPATLALRSNENDMLALLSLKDQLVGDSHGVLTSWNASFHCCQWQGVQCGRRHQRVVSLNMSGLSLAGFISPAIGNLTFLREVDFSYNKLQGSIPREVGHLRRLVYLSLEYNHLNGEIPQELSNCSNLQEIAFNANNITGEIPVSLGDMKNLIELHLAGNLLIGGIPDSLGNISTLKILSLEQNKLKGTIPSSLGKLSNLEYMYIGTNKLSGSVPPVHNFSSLLVLDAAENQLSGNLPPEIDCTFPNLEAIFIGLNQLTGEIPRSISNISSLELFDIALNGFTGSVPENMGNLRNLLDLTISGNYLGSGKPGDLSFLSSLSNCSRLQSLAINYNHLYGVIPDSIANFSIWLEELFMGDNQIIGHIPQGIGNLINLDLMEMKGTFIAGEIPISIGNLQNLEGLYLGFNHLSGKIPSSIGNLSRLSDLNLSNNKFAGAIPLSLKQCTNLQKLDLSTNNLNGSIPYQLFGAFERLIYLNLSHNSFTGSLPSDMRNMKNLVEFYVHNNNFHGEIPMTLGGSLELTTLFMQKNSFHGTIPQSFASLRSLENLDLSNNNLSGTIPPELQKLPFLVRLNLSFNQLEGEVPKKGVFKNATGFSFFGNKNLCGGIPKIQLPKCFSEKPKEKGKVLSTKTIIAIIISILLGSILVVLLVYHSLRQKARRGTFMPSSLFDNGCLRLSYKELLECTHGFASSNLIGTGSFGSVYKGVLYQHEKPLAVKVLNLRNHRAARSFIAECKALRKIRHRNLVKIITSCSSIDYQGNEFKALVFEFMPNGSLESWIHEQHDQSRYLNIAQRLDIAIDMANAIDYLQHGCGTMVVHCDLKPSNVLLDDDMVAHVADFGLAKLLSTDTSNIGSDQTSSSVIKGTTGYVAPEYGMSGSVSPEGDIYSYGIMLLEMITGRRPTCDLFHDGLSLHNFCKMALREGLKEIFDVRLVEEIGVNRKRIRNKPNMEAEIWECFVSFTKIGVSCSTEVATDRLRIRDAIIELHATKARLLRTGFYGRDNR >KJB61013 pep chromosome:Graimondii2_0_v6:9:36003508:36004259:1 gene:B456_009G335600 transcript:KJB61013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYFCSVLGPSNGDCFLQNVDSSPSTGLPYGSWLKASPIKRSIQNAMEDYCDRVSNLSQIIARSLLGPGPSFFSDRIPSTTSSASFDVSLDFEVIQKLWCFL >KJB59431 pep chromosome:Graimondii2_0_v6:9:20909741:20911330:-1 gene:B456_009G2546002 transcript:KJB59431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVSVEEKVSKPKLVEKKEPKEVPKGETVEKKVPKSKLVEKKEPEEIPKVEGVEKKLPKPKLIENKEPKELPKVETDEEKVPKPKLVEKQKPDEAPKEERVEEKAPKSKLVEKKELGEIPKVESIKKKVWKPKLIEKKEPEDTPKKGSVEENVPKSRLVETKEPEEEPKGESIEEKVPKLKLVEKKEPGKATKEERLEEKVPKPKLVENKELEEVPKEKTVERKIQSTDEIDQSQAEACKEVGNQTSFEKEPEESESEKEEEIGAKIEKEETKEVENAIHGEPENAEEEVMQTEPEEHEVEAVEETHKVKETPPEKIPEQKVASAAQQASPEPEIMEPEVSELEPPLTEVAANKELQEKEPPDEIHKVEEVQEEPWAEETEDTAGQATQQSELPSLPPIQDEDKRIRDEYHQAEDLTSEQSLMEPGPDQEPKEDDTEQQLEDESDKQELDQENETEGTEDEIGEETDEEEYGITTSPEIDTDKDKGPIEGPATEEKPKKTKLQKPLMFAGSAFLVSLIVIVIKLLKARKR >KJB59430 pep chromosome:Graimondii2_0_v6:9:20909741:20911330:-1 gene:B456_009G2546002 transcript:KJB59430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVSVEEKVSKPKLVEKKEPKEVPKGETVEKKVPKSKLVEKKEPEEIPKVEGVEKKLPKPKLIENKEPKELPKVETDEEKVPKPKLVEKQKPDEAPKEERVEEKAPKSKLVEKKELGEIPKVESIKKKVWKPKLIEKKEPEDTPKKGSVEENVPKSRLVETKEPEEEPKGESIEEKVPKLKLVEKKEPGKATKEERLEEKVPKPKLVENKELEEVPKEKTVERKIQSTDEIDQSQAEACKEVGNQTSFEKEPEESESEKEEEIGAKIEKEETKEVENAIHGEPENAEEEVMQTEPEEHEVEAVEETHKVKETPPEKIPEQKVASAAQQASPEPEIMEPEVSELEPPLTEVAANKELQEKEPPDEIHKVEEVQEEPWAEETEDTAGQATQQSELPSLPPIQDEDKRIRDEYHQAEDLTSEQSLMEPGPDQEPKEDDTEQQLEDESDKQELDQENETEGTEDEIGEETDEEEYGITTSPEIDTDKDKGPIEGPATEEKPKKTKLQKPLMFAGSAFLVSLIVIVIKLLKARKR >KJB60121 pep chromosome:Graimondii2_0_v6:9:25014877:25028349:-1 gene:B456_009G290500 transcript:KJB60121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINMKTLTQALAKTAAVIEKTVQTTVQEVTGPKALQDYQLLDQIGSAGPGLAWKLYSAKARDGTRPHQYPTVCVWLLDKKVLSEARARAGLSKVAEDSFLDLIRADAAKLVRLRHPGVVHVVQALDENKNAMAMVTEPLFASVANTLGNVENVAQVPKDLKGMEMGLLEVKHGLLQIAETLDFLHNNARLVHCAISPENVLITSHGAWKLGGFGFAILKDQASSDLTNVQAFHYSEYDTEDSVIPLQPSLNYTAPELVRSKASSAGCSSDIFSFGCLAYHLIARKPLFDCHNNVKMYMNTLTYLSNEAFSSVPPELIHDLQRMLSANESIRPSALDFTGSPFFRDDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNLVMQPIILPMVLTIAESQDKNDFELVTLPALLPVLSSAAGETLLLLVKRAELIIDKASSEHLVSHVLPMLLRAYDDNDPRIQEEVLRKSVILGRQLDTQLVKQVILPRVHGLALKTTIAAVRVSALLCLGDFVNTLDRQAVLDVLQTIQRCTAVDHSAPTLMCTLGVSNSILKQYGVEFATEHILPLLTPLLTAQQLNVQQFAKYMLFVKDILRRIEENRGVTVTDSGVPDVKPATTANGFQSQVLSKANGTVASAKSSPAWDEDWGPTTRAAANASHTAHQPPKDNLSFHSILGDQSIQSAPTQSQSSLISTVSSQQTSNSCPAVDIEWPPRPSSGVTVESGIGEKQLNAGTSLSSNFEDLDPFANWPPRPSASSNDSGTFNNGIMGGPGMNNYGFSSITSTPGTMNHPTESSSNSWGFSNQNSGEILRPNHGSSTSNTGILNGGSSQSSIGFLKQNRGISASMSSYNNQKSADLGSIFGSSKNEQTAPKLAPPPSTAVGRGRGRGRGASSASRTTFAKPTSEQPPLLDLL >KJB60122 pep chromosome:Graimondii2_0_v6:9:25014882:25021046:-1 gene:B456_009G290500 transcript:KJB60122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLPPLCAELRNLVMQPIILPMVLTIAESQDKNDFELVTLPALLPVLSSAAGETLLLLVKRAELIIDKASSEHLVSHVLPMLLRAYDDNDPRIQEEVLRKSVILGRQLDTQLVKQVILPRVHGLALKTTIAAVRVSALLCLGDFVNTLDRQAVLDVLQTIQRCTAVDHSAPTLMCTLGVSNSILKQYGVEFATEHILPLLTPLLTAQQLNVQQFAKYMLFVKDILRRIEENRGVTVTDSGVPDVKPATTANGFQSQVLSKANGTVASAKSSPAWDEDWGPTTRAAANASHTAHQPPKDNLSFHSILGDQSIQSAPTQSQSSLISTVSSQQTSNSCPAVDIEWPPRPSSGVTVESGIGEKQLNAGTSLSSNFEDLDPFANWPPRPSASSNDSGTFNNGIMGGPGMNNYGFSSITSTPGTMNHPTESSSNSWGFSNQNSGEILRPNHGSSTSNTGILNGGSSQSSIGFLKQNRGISASMSSYNNQKSADLGSIFGSSKNEQTAPKLAPPPSTAVGRGRGRGRGASSASRTTFAKPTSEQPPLLDLL >KJB60123 pep chromosome:Graimondii2_0_v6:9:25014882:25024506:-1 gene:B456_009G290500 transcript:KJB60123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSVQEYDTEDSVIPLQPSLNYTAPELVRSKASSAGCSSDIFSFGCLAYHLIARKPLFDCHNNVKMYMNTLTYLSNEAFSSVPPELIHDLQRMLSANESIRPSALDFTGSPFFRDDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNLVMQPIILPMVLTIAESQDKNDFELVTLPALLPVLSSAAGETLLLLVKRAELIIDKASSEHLVSHVLPMLLRAYDDNDPRIQEEVLRKSVILGRQLDTQLVKQVILPRVHGLALKTTIAAVRVSALLCLGDFVNTLDRQAVLDVLQTIQRCTAVDHSAPTLMCTLGVSNSILKQYGVEFATEHILPLLTPLLTAQQLNVQQFAKYMLFVKDILRRIEENRGVTVTDSGVPDVKPATTANGFQSQVLSKANGTVASAKSSPAWDEDWGPTTRAAANASHTAHQPPKDNLSFHSILGDQSIQSAPTQSQSSLISTVSSQQTSNSCPAVDIEWPPRPSSGVTVESGIGEKQLNAGTSLSSNFEDLDPFANWPPRPSASSNDSGTFNNGIMGGPGMNNYGFSSITSTPGTMNHPTESSSNSWGFSNQNSGEILRPNHGSSTSNTGILNGGSSQSSIGFLKQNRGISASMSSYNNQKSADLGSIFGSSKNEQTAPKLAPPPSTAVGRGRGRGRGASSASRTTFAKPTSEQPPLLDLL >KJB62116 pep chromosome:Graimondii2_0_v6:9:57904334:57906795:-1 gene:B456_009G401400 transcript:KJB62116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKKRKLKLLAEMVNGDERTASEDGKGRLELQTWSDLPLELLELILSNLTFEDNVRASVVCKRWHKVAISVRVMNQSPWLMYIPKSGCLYEFYDPSERKIHSLELPELRGCRVCYTKQGWLLLYRRRNHLVFFFNPFTRETINLPSYELAYEIMAFSCTPTSTNCVVFSIKHVHPTVVAISTCHPGASEWTIVNHRNRLPFVSSIWDKPVFCSGLFYCLSLTGWLGVYDPGRRYWKVLAVPPPRCPENFFVKNWWKGKFMTEHNGDLLVVYTSQNKNPMIYKLYRSELAWKEMESLRGVTIFASFLSSLSGANLPGIMRNSVYFSKFRFFGKRCISYSFDDCRYYPRKQQYDWGEQPPFENIWIEPPRHALSSI >KJB62114 pep chromosome:Graimondii2_0_v6:9:57904508:57906591:-1 gene:B456_009G401400 transcript:KJB62114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKKRKLKLLAEMVNGDERTASEDGKGRLELQTWSDLPLELLELILSNLTFEDNVRASVVCKRWHKVAISVRVMNQSPWLMYIPKSGCLYEFYDPSERKIHSLELPELRGCRVCYTKQGWLLLYRRRNHLVFFFNPFTRETINLPSYELAYEIMAFSCTPTSTNCVVFSIKHVHPTVVAISTCHPGASEWTIVNHRNRLPFVSSIWDKPVFCSGLFYCLSLTGWLGVYDPGRRYWKVLAVPPPRCPENFFVKNWWKGKFMTEHNGDLLVVYTSQNKNPMIYKLYRSELAWKEMESLRGVTIFASFLSSLSGANLPGIMRNSVYFSKFRFFGKRCISYSFDDCRYYPRKQQYDWGEQPPFENIWIEPPRHALSSI >KJB62115 pep chromosome:Graimondii2_0_v6:9:57904428:57906499:-1 gene:B456_009G401400 transcript:KJB62115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGDERTASEDGKGRLELQTWSDLPLELLELILSNLTFEDNVRASVVCKRWHKVAISVRVMNQSPWLMYIPKSGCLYEFYDPSERKIHSLELPELRGCRVCYTKQGWLLLYRRRNHLVFFFNPFTRETINLPSYELAYEIMAFSCTPTSTNCVVFSIKHVHPTVVAISTCHPGASEWTIVNHRNRLPFVSSIWDKPVFCSGLFYCLSLTGWLGVYDPGRRYWKVLAVPPPRCPENFFVKNWWKGKFMTEHNGDLLVVYTSQNKNPMIYKLYRSELAWKEMESLRGVTIFASFLSSLSGANLPGIMRNSVYFSKFRFFGKRCISYSFDDCRYYPRKQQYDWGEQPPFENIWIEPPRHALSSI >KJB56676 pep chromosome:Graimondii2_0_v6:9:9889910:9890981:-1 gene:B456_009G131800 transcript:KJB56676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHEKCNAGEQFLTLLELPRMFFPRTLSRDCLQLDGDLAPFKECRLLQTSHLGKERFRSYVNPKQPSDDLFKIGLIMDEVHGFNAGIMMPYFINALGKHCRA >KJB57411 pep chromosome:Graimondii2_0_v6:9:12518791:12520241:1 gene:B456_009G163200 transcript:KJB57411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHVLGLSPCFVHLDFTCPLSPLNEEHMLKRHEEWMAMHGRVYTDSAEHEKLFAIFKENVERIDAFNNGVEKGYKLGVNKFADLTNEEFRSLHIGYKCQSFELMSNSKPANFCHGKVTATPTIVDWRKKGVVTSVKDQSSCGCCWAFSAVAAIEGITALKKGKLILLSEQQLVDCDINGENKGCEGGFMDTAFQFIKSNHGLTSEANYPYQGSEGACRKKEANPAATITGYEDVPPNNEKALLQAVANQPVSVAIEGSGWSFQFYKSGVFLGECDTYLDHAVTLVGYGTNSDGTKYWLAKNS >KJB53790 pep chromosome:Graimondii2_0_v6:9:465374:467412:1 gene:B456_009G005100 transcript:KJB53790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVAAAKAKPNLPFQAHPFFELFCFPFCFLFFCPISISFQFHRTSYKLPPLLVFLLYKLSNTLPFFLFIISFLFFSTMKEYWTSLASLLGVLAFCQSLLQVIFPPELRFACLKVSNRVFNLFSSYCYFDITEIDGVNTNELYNAVQLYLSSSVSTNGSRLSLTRALNSSAITFGLSNNDCIIDTFNGVSVVWEHVVTQRQAQTFSWRPLPEEKRGFTLRIRKRDKSLILDSYLDYVMEKANEIRRKNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMDPVKKREIMGDLKDFANGQSFYQKTGRAWKRGYLLYGPPGTGKSSMIAAMANYLSYDIYDLELTEVHNNSELRKLLMKTSSKSIIVIEDIDCSINLTNRKKNNNNNTSTRNYYDPEMRCGSVPGPGEDGGNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDSALLRCGRMDMHIFMSYCSYPALMILMKNYLGYDESDLDADVLKQLAEVVDKAEMTPADISEVLIKNRRCNPKAVSELLEALKTRANRNLLQNGILREKNSEDIMEDEEQEKRALESPPNEGSEFEEPCKKQEEEDEEKMK >KJB54275 pep chromosome:Graimondii2_0_v6:9:2108215:2110297:1 gene:B456_009G027800 transcript:KJB54275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNQTTTATLPNVSSTPSTEKSSQTHLYFNVLPLSNSIKDTEKHQLQQHQGNGYVYPSVSEISAEVKSLFNLAFPIALTGLILYSRSIISMFFLGHLGDIQLAAGSLAIAFANITGYSVLSGLALGMEPLCSQAFGSQRPKLLSLTLHRYVIFLLCTSIIISFLWTNMFNILVYFHQDPDITHIGQRYLLFSLPDLFTNSFIHPIRIYLRAQGITFPLTLATLIATVFHLPINLLLVSRFNFGVAGVAASASISNLFVLICLVVYIWASGLHEPTWEKPSLECLTSWKQLLKLAAPSCISVCLEWWWYEIMIVLCGLLGNPKAPVASMGILIQTTSLIYVFPSSLGFAVSIRVGNELGANRPYKARLSAVVAVLVSGMMGLSASTFASGMRDKWAHMFTSDSEILRLTSIALPILGLCELGNCPQTVGCGVLRGSARPSTAANVNLGAFYLVGMPVAIGLGFYLGVGFPGLWLGLFSAQVCCALLMLFVVGSTDWDLQARRAQMLTCVDARLPDNCDDNNKSDEHQPLICIMVTSAA >KJB54341 pep chromosome:Graimondii2_0_v6:9:2286612:2288540:-1 gene:B456_009G030400 transcript:KJB54341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLQFQSKAFEASKFVAKNGSAYYKQLLEQNKQYIQEPPTVEKCNELSKQLFYTRLASIPGRTESFWKELDYVRNLWKNRQELKVENAGIAALFGLECFAWFCAGEIVGRGFTFTGYYV >KJB54340 pep chromosome:Graimondii2_0_v6:9:2286291:2288958:-1 gene:B456_009G030400 transcript:KJB54340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLVQILMASKLLQFQSKAFEASKFVAKNGSAYYKQLLEQNKQYIQEPPTVEKCNELSKQLFYTRLASIPGRTESFWKELDYVRNLWKNRQELKVENAGIAALFGLECFAWFCAGEIVGRGFTFTGYYV >KJB54342 pep chromosome:Graimondii2_0_v6:9:2286291:2289027:-1 gene:B456_009G030400 transcript:KJB54342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLQFQSKAFEASKFVAKNGSAYYKQLLEQNKQYIQEPPTVEKCNELSKQLFYTRLASIPGRTESFWKELDYVRNLWKNRQELKVENAGIAALFGLECFAWFCAGEIVGRGFTFTGYYV >KJB54343 pep chromosome:Graimondii2_0_v6:9:2286291:2289044:-1 gene:B456_009G030400 transcript:KJB54343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLQFQSKAFEASKFVAKNGSAYYKQLLEQNKQYIQEPPTVEKCNELSKQLFYTRLASIPGRTESFWKELDYVRNLWKNRQELKVENAGIAALFGLECFAWFCAGEIVGRGFTFTGYYV >KJB62670 pep chromosome:Graimondii2_0_v6:9:67382265:67385844:-1 gene:B456_009G429200 transcript:KJB62670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVYIVYYSMYGHVEKLAEEIQKGAASVEGVEAKLWQVPETLSEEILGKMSAPPKSDVPVITPNDLAEADGFVFGFPTRFGMMSAQFKAFLDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEQVKGGSPYGAGTYAGDGSRMPSELELAQAFHQGKYIGGITKKLKAAA >KJB62669 pep chromosome:Graimondii2_0_v6:9:67382265:67383286:-1 gene:B456_009G429200 transcript:KJB62669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPKSDVPVITPNDLAEADGFVFGFPTRFGMMSAQFKAFLDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEQVKGGSPYGAGTYAGDGSRMPSELELAQAFHQGKYIGGITKKLKAAA >KJB56561 pep chromosome:Graimondii2_0_v6:9:9419982:9424841:1 gene:B456_009G125200 transcript:KJB56561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIDDTLQEIKNENVDLERIPVEEVFLQLKCTKEGLTTEEGLKRLQIFGPNKLEEKSESKVLKFLGFMWNPLSWVMEIAAIMAIALANGGGKPPDWQDFVGITVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWCEQEAAILVPGDIISIKLGDIIPADARLLEGDALKVDQSALTGESLPVNKNPGDGVYSGSTVKQGELEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAVGMLVEIVVMYPIQRRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSMVEVFTKDVDKEMLLLLAARASRVENQDAIDACIVGMLGDPKEAREGITEVHFFPFNPVDKRTAMTYIESDGSWHRVSKGAPEQIISLCDLRDDVKKKAHDIIDKFADRGLRSLGVARQTVPEKTKDSPGSPWEFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGHNKDEKVDTIDVDELIEKADGFAGVFPEHKYEIVKRLQERNHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLKEIFATGIVLGTYLACMTVVFFWAANDSDFFSDKFGVKSIRYSQDELTAAVYLQVSIVSQALIFVTRSRSWSFIERPGLLLVVAFILAQLVATVLAVYANWGFARIKGIGWPWAGVIWLYSIVFYIPLDVLKFLIRYALSGKAWDNLLQNKTAFTTKKDYGREEREAQWATAQRTLHGLQAPGAEEILNEKSSYRELSEIAEQAKKRAEVARLRELHTLKGHVDSVVKLKGLDIETINQNYTV >KJB54802 pep chromosome:Graimondii2_0_v6:9:3592210:3594675:-1 gene:B456_009G049400 transcript:KJB54802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFRSLSKPTFSTLKSAINKPTLKPKPASSLLPPRTSPTFSRSVSQLGCLQSLLPLHSAVSSARLTSCLGIDSRSSRSLSQGMLCSANPGV >KJB58981 pep chromosome:Graimondii2_0_v6:9:18441327:18445827:-1 gene:B456_009G2338002 transcript:KJB58981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIAKDATLSRCKIIAEPWDCGGLYLVGSFPNWDRWAEWNGKYRDDLRRFIKGDPGMKGAFATRVSGSADLYRHNEANGEGGNDGSNDNFSWNCGFEGETDNVDIIALRFRQMKNFHLALMVSQGVPMMLMGDEYGHTRYGNNNSYGHDTALNNFQWQQLNARKSDHFRFFSEVIHYRQKHRVFSHENFLDRSDVTWHEDNWDNPDSKFLAFTLHDKGGGEIYLAFNAHDFFVKVSIPPAPPKRRWFRVVDTNLASPDDFVGEGVPGIGSTYNVAPYSSILLEAK >KJB58980 pep chromosome:Graimondii2_0_v6:9:18441327:18445827:-1 gene:B456_009G2338002 transcript:KJB58980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIAKDATLSRCKIIAEPWDCGGLYLVGSFPNWDRWAEWNGKYRDDLRRFIKGDPGMKGAFATRVSGSADLYRKNKRKPYHSVNFVIAHDGFTLRDLVSYNFKHNEANGEGGNDGSNDNFSWNCGFEGETDNVDIIALRFRQMKNFHLALMGVPMMLMGDEYGHTRYGNNNSYGHDTALNNFQWQQLNARKSDHFRFFSEVIHYRQKHRVFSHENFLDRSDVTWHEDNWDNPDSKFLAFTLHDKGGGEIYLAFNAHDFFVKVSIPPAPPKRRWFRVVDTNLASPDDFVGEGVPGIGSTYNVAPYSSILLEAK >KJB58979 pep chromosome:Graimondii2_0_v6:9:18441636:18445827:-1 gene:B456_009G2338002 transcript:KJB58979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIAKDATLSRCKIIAEPWDCGGLYLVGSFPNWDRWAEWNGKYRDDLRRFIKGDPGMKGAFATRVSGSADLYRKNKRKPYHSVNFVIAHDGFTLRDLVSYNFKHNEANGEGGNDGSNDNFSWNCGFEGETDNVDIIALRFRQMKNFHLALMVSQGVPMMLMGDEYGHTRYGNNNSYGHDTALNNFQWQQLNARKSDHFRFFSEVIHYRQKHRVFSHENFLDRSDVTWHEDNWDNPDSKFLAFTLHDKGGGEIYLAFNAHDFFVKVSIPPAPPKRRWFRVVDTNLASPDDFVGEGVPGIGSTYNVAPYSSILLEAK >KJB54833 pep chromosome:Graimondii2_0_v6:9:3676850:3682469:1 gene:B456_009G051000 transcript:KJB54833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDRTVSTPSVGLSISDDAQRMRALHGRTTGPTRRSTKGQWTAEEDEILRKAVQHFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDELIIELVNKFGPKKWSTIAQHLPGRIGKQCRERWHNHLNPSINKQAWTQEEELALIRAHQIFGNRWAELSKFLPGRTDNAIKNHWNSSIKKKLDSYIASGLLEQFQFSLLANQSQPTPSSYSTIPSNVDDSASKCRTEAEDISECSQESAMVSCSQSTSDLANAAVHTREQFYVTEKLGVAKEKNSSPAPCSEEYYPSLEDVNFSMPEISSEGNSTVGNYQFGLLDLPNISSLDLGKESTGLPNNCLETSEGCEMMNIAFQSSVGLNAPVSFINMVTTSDKPEQTLIPDDECCSVLFSENFTQGSNMVELGGFTNASLSQASGMQITETGRIPASQSNCLSRSEVLPTSQAVVSPSRLAVEDGTLTFGGESHPLNGQPFGTQDQEFTMNTHDGFIYTIDDHTDNTDLQEQSYLDKDSRKLVPVNTFGLESNVAQTCPAEDDKPTLPAEQDFCGLCYEPPRFLSLDIPFFNCDLIPSGSDMQQEYSPLGIRQLMMSTNCISPFRLWDSPSRDNSPDVVLKSAAKTFTGTPSILKKRHRDLLSPLSERRSEKKLGTDMASNLTKCFSCLDVMFDESGTGNTTQLSPSEHITNSSVSIKEKENISQALDEECDNGGVHTQPLEDEAPKGSDGTNSLGNIEKGACEIDTKDKTDIDASYRTVQRPPAVLVEHDINDILLFSPDDVGLKAGLPLRFSSTRTPKNQYHKSLGAISNQGLASECLSGNACIIVSSPTLKIKKSEGPSIADTTVQCSNSSATLENSVDNTGSNAAIENYNIFGGTPFKRSIESPSAWKSPWFINSFVPGPRIDTDITIEDIGYLMSPAERSYDAIGLMKQLSEHSAAAYADALEVLGNETPQSIIKGRGSNNPNNIDKENNQLENHSHLASNIMVERRVLDFSECGTPGKGTENGKSSTAMSYSSPSSYLLKGLR >KJB62029 pep chromosome:Graimondii2_0_v6:9:55130948:55133722:-1 gene:B456_009G396900 transcript:KJB62029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFHGNPEIQASTDGLQTLVLMNPAYVQYSDTPPPPQASNLLFFNPNSLSPPQTQQLVGIPLPATSAANQGPTSHDISPLHGLVQRVHYNMYNPIDPSGSARETPRAQQGLSLTLSSQQQPGYGSQAQAVSGGSASSGSGVHNRVSGVQSVFLSSKYLKAAQELLDEVVNVNTTGITKSELLAKKGGGGNNHSNSSSKAMGESSVVAGDGSGGGEAGEKHVAELTTAEKQEIQMKKAKLINMLDEVEQRYRQYHHQMQIVTSSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITDQIRAANKSLGEEEDGVGEAAKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKEQEQNGTSDDKTSKTENHEDSASKSTAPSCQNPGENQKVSSMSISTASTSPLAGNAHQQSRFSLIGSSELEGITQGSPKKPRSNELLQSPTSVQSMNMDMNQSEASNEVSMKFRKDGYSFMGTNTDFMGGFGQYPIGEIGRFDAEQFAPRFPGNGVSLTLGLPHCENLSLSGAHQNLIPNQTMQMGRRLDIDEPNEFGAINPPTPHSSAAYESINLHNRKRFVAQLLPDFVA >KJB55708 pep chromosome:Graimondii2_0_v6:9:6571780:6574620:1 gene:B456_009G090100 transcript:KJB55708 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL9 [Source:Projected from Arabidopsis thaliana (AT3G44890) UniProtKB/TrEMBL;Acc:A0A178VBQ7] MATATVTAASTLSWLHSFGGTQNETTKVADKNRVFVVFAQKKAKKTRKIILKEDVEYLGKKGQLLDVKAGYFRNYLLPTGKAQIITSSLLKEMKMEEERIEAEKQRVKEEAQQLALIFETVGAFKVKRKGGKGKQIFGSVTAQDLVDIIKAQLQRDVDKRIVFLPEIRETGEYVAELKLHPEVTARVRVNVYAN >KJB55706 pep chromosome:Graimondii2_0_v6:9:6571817:6574191:1 gene:B456_009G090100 transcript:KJB55706 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL9 [Source:Projected from Arabidopsis thaliana (AT3G44890) UniProtKB/TrEMBL;Acc:A0A178VBQ7] MATATVTAASTLSWLHSFGGTQNETTKVADKNRVFVVFAQKKAKKTRKIILKEDVEYLGKKGQLLDVKAGYFRNYLLPTGKAQIITSSLLKEMKMEEERIEAEKQRVKEEAQQLALIFETVGAFKVKRKGGKGKQIFGSVTAQDLVDIIKAQLQRDVDKRIVFLPEIRETGEYVAELKLHPEVTARVRVNVYAN >KJB55709 pep chromosome:Graimondii2_0_v6:9:6571817:6574603:1 gene:B456_009G090100 transcript:KJB55709 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL9 [Source:Projected from Arabidopsis thaliana (AT3G44890) UniProtKB/TrEMBL;Acc:A0A178VBQ7] MATATVTAASTLSWLHSFGGTQNETTKVADKNRVFVVFAQKKAKKTRKIILKEDVEYLGKKGQLLDVKAGYFRNYLLPTGKAQIITSSLLKEMKMEEERIEAEKQRVKEEAQQLALIFETVGAFKVKRKGGKGKQIFGSVTAQDLVDIIKAQLQRDVDKRIVFLPEIRETGEYVAELKLHPEVTARVRVNVYAN >KJB55707 pep chromosome:Graimondii2_0_v6:9:6571817:6574182:1 gene:B456_009G090100 transcript:KJB55707 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL9 [Source:Projected from Arabidopsis thaliana (AT3G44890) UniProtKB/TrEMBL;Acc:A0A178VBQ7] MATATVTAASTLSWLHSFGGTQNETTKVADKNRVFVVFAQKKAKKTRKIILKEDVEYLGKKGQLLDVKAGYFRNYLLPTGKAQIITSSLLKEMKMEEERIEAEKQRVKEEAQQLALIFETVGAFKVKRKGGKGKQIFGR >KJB54067 pep chromosome:Graimondii2_0_v6:9:1498082:1499543:-1 gene:B456_009G019300 transcript:KJB54067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWTGGDWMCAACQHQNFRKREACQRCGYPKYGGPDVSTYLYNRTEALAGDWYCVAMNCGAHNYASRPNCYRCGTMKTDYGGYTVMGSESSAPPGWKTGDWMCTRYGCGVHNYASRLECFKCKTPREFDGA >KJB54068 pep chromosome:Graimondii2_0_v6:9:1498082:1499135:-1 gene:B456_009G019300 transcript:KJB54068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWTGGDWMCAACQHQNFRKREACQRCGYPKYGGPDVSTYLYNRTEALAGDWYCVAMNCGAHNYASRPNCYRCGTMKTDYGGYTVMGSESSAPPGWKTGDWMCTRYGCGVHNYASRLECFKCKTPREFDGA >KJB59365 pep chromosome:Graimondii2_0_v6:9:20486081:20488408:-1 gene:B456_009G251700 transcript:KJB59365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGAASSDDVNKTCPRGHWRPAEDEKLRQLVEQYGAQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRRPFTEEEEERLLAAHHIHGNKWALIARLFPGRTDNAVKNHWHVIMARKQREQSKLCGKRSFQDAFSDSKLSSTGFSTSRKLARCQEAFSSRFGFGDSRFFDQFQNQGKDKIFSVSSTSTTSSPSWNFASSTMVASNNPSSAQLSIRDGKNHLLRSGSSYYSMDTSKLLDQSLYKYHSNASAYCSSFRNSSAFGIHNYRRVVPSPFGYLKLGDTFESNNGVMTKEFLSITDNAPKSANLRVSNSQQEQEDESDKPKDVPFIDFLGVGISS >KJB60163 pep chromosome:Graimondii2_0_v6:9:25235432:25238799:-1 gene:B456_009G292100 transcript:KJB60163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTTLSTSPPLLPRPSTEPTQPPVSNDPPSFTPIHDASDINQIELLSVSWNQDYGCFAAGTSQDFRIYNCQPFKETFRRNLKTGGFKIVEMLFRCNILALVGGESNSHYPPNKVIIWDDHQSRCIGEFAFRSEVRAVKLRRDRIVVVLEHKIYVYGFMDLKLLHQIETLANPRGLCCLSHHSNTSVLACPGLYRGLIRVEHFGLNIMKLINAHDSHIACLTLTLDGLLLATASTKGTLIRIFNTMDGTRLQEVRRGVDRADIYSIALSPNFQWLAVSSDKGTVHIFHLRVRVFGGHSSSQPSSVQGPALLHQNSSTSLDALIPPSTGANPSSSLSFMRGVLPKYFSSEWSFARFHLPEDTQFIAAFGSQNTVVVVGMNGSFYRCNFDPVHGGEMLQQEYVRFLKTKT >KJB60161 pep chromosome:Graimondii2_0_v6:9:25235425:25238821:-1 gene:B456_009G292100 transcript:KJB60161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTTLSTSPPLLPRPSTEPTQPPVSNDPPSFTPIHDASDINQIELLSVSWNQDYGCFAAGTSQDFRIYNCQPFKETFRRNLKTGGFKIVEMLFRCNILALVGGESNSHYPPNKVIIWDDHQSRCIGEFAFRSEVRAVKLRRDRIVVVLEHKIYVYGFMDLKLLHQIETLANPRGLCCLSHHSNTSVLACPGLYRGLIRVEHFGLNIMKLINAHDSHIACLTLTLDGLLLATASTKGTLIRIFNTMDGTRLQEVRRGVDRADIYSIALSPNFQWLAVSSDKGTVHIFHLRVRVFGGHSSSQPSSVQGPALLHQNSSTSLDALIPPSTGANPSSSLSFMRAFGSQNTVVVVGMNGSFYRCNFDPVHGGEMLQQEYVRFLKTKT >KJB60162 pep chromosome:Graimondii2_0_v6:9:25235725:25238154:-1 gene:B456_009G292100 transcript:KJB60162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTTLSTSPPLLPRPSTEPTQPPVSNDPPSFTPIHDASDINQIELLSVSWNQDYGCFAAGTSQDFRIYNCQPFKETFRRNLKTGGFKIVEMLFRCNILALVGGESNSHYPPNKVIIWDDHQSRCIGEFAFRSEVRAVKLRRDRIVVVLEHKIYVYGFMDLKLLHQIETLANPRGLCCLSHHSNTSVLACPGLYRGLIRVEHFGLNIMKLINAHDSHIACLTLTLDGLLLATASTKGTLIRIFNTMDGTRLQEVRRGVDRADIYSIALSPNFQWLAVSSDKGTVHIFHLRVRVFGGHSSSQPSSVQGPALLHQNSSTSLDALIPPSTGANPSSSLSFMRGVLPKYFSSEWSFARFHLPEDTQFIAAFGSQNTVVVVGMNGSFYRCNFDPVHGGEMLQQEYVRFLKTKT >KJB62361 pep chromosome:Graimondii2_0_v6:9:63216538:63220017:-1 gene:B456_009G413800 transcript:KJB62361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKIMKGGHRKPSKSDSTENYAFGPPGSHNPGSVVVNHASRPVLKAPEQNCSPSAPIAPPPLSTAAVEPLPLLRDVPVSERQSLFLRKLQICSYQLDFTNMLKTVREKEVKRRTLLELVDFFQSGSGKITEVCQEEMIKMVAINIFRCLPPASHENTGQEVTDPEEEETHLDPSWPHLQLVYELLLRYVVSSDTDTKVAKRYIDHSFVLKLIDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERYNGIGELLEILGSIINGFALPMKEEHKLFLARALIPLHKPKPVATYHHQLSYCVTQFVEKDYKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQSAEFQRCMVPLFRQIARCLNSPHFQVSERALFFWNNEHIVGLIAQNRHVVLPLIFEALEKNILGHWNQAVHGLTVNVRKMFVEVDAELFEECRRQYEEKTAGAREVEDQREMTWKRLADVAGEREGGNLVAV >KJB56677 pep chromosome:Graimondii2_0_v6:9:9892777:9894663:-1 gene:B456_009G131900 transcript:KJB56677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCNMTRFRAVHCKAMVPWSMSRRWRNGCQRTLSTSTTHFSQNTSLTVHAATSIVLAVNLTPFDAPQRSDEWFALRRNKLTTSTFSTALGFWKGKRRSELWHEKVFASETQVLESSKRCAMEWGVLNEVAAIERYRSITGREVSSLGFAIHPKEKFDWLGASPDGLLGCFPGGGILEVKCPYNKGKPETALPWSTMPFYYMPQVQGQMEIMDREWVDLYCWTQNGSTIFRVHRERNYWDLIHSILREFWWGNVIPAREALSLGKEEDAKTYEPSSTHKQTGLAISKSIKLASEAKMLCREIAGHIEFYR >KJB60393 pep chromosome:Graimondii2_0_v6:9:27176758:27177666:1 gene:B456_009G303100 transcript:KJB60393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKDQSPFTKPSLLSNPHYKITVATATNPPPILNSPSRHEPLLPNPNASPIFPSSFLAPARLMLTMVSWLRTRRRRCFFLLLCSPILIPFLCATFPLICLAEICFRICWRSSGGKAAAAAQEDEENRLRQCEEAPCGEGEGRGGGLLQRYLEDQLALVGSVYDCGDDFDDHDNQDLDLDGRTPLLS >KJB55372 pep chromosome:Graimondii2_0_v6:9:5192672:5195061:1 gene:B456_009G072800 transcript:KJB55372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g71420 [Source:Projected from Arabidopsis thaliana (AT1G71420) UniProtKB/Swiss-Prot;Acc:Q9C9H9] MRCPTTRACFVSIRSFSSSNLLPALAGHEPNDLLNEVRLLSSRGQLHQALSLFYNSSPQLHSLQTYATLFHECARHGYLQQGLRLHHFMLAHFPSCTSDLFVTNHLINMYSKCGYLQYAHQLFDAMPKRNVVSWTALVSGYAQCGRGVESFRLFSDMLAERDCRPNDFAFTSVLSSCDYLCGKQLHALVLKMGLGASVYVTNSLITMYSKGYKVEEAWTLFKSLSCWSQVSWNSMIAGFQLAKLGMHAIGVFVKMHHEGIGFNRATLLSVFSSLCVSNGIDINLGLKFCFQVYCLSVKTGFISEVEVATAFMKAYSELGGDVSEFYHLFLETSCQDIIFWTSMITALAEPDPAKAFFLYRQLLQEGLTPDLYTFSIVLKACAGFVTEQHALAIHSQVIKAGFEDETVLRNALIHAYARCGSIALSKKVFEEMGCRDLVSWNSMLKAYGLHGKAKEALQLFPQMNLKPDTATFVALLSACSHSGMVEEGLRIFDSMFKDHGIIPQLDHYACMVDILGRAGRIVEAEELIRRMPMEPDSVVWSALLGSCRKHGETQLAKIAVSKLKQMEPENSLGYVQMSNIYSSGGRFNEAGTIRKEMDGSGVRKEPGLSWIEVGNQVHEFASGGRHHPQREAICTKLQGLIGQLKEIGYVPEISLALHDIEEEHKQEQLFHHSEKMALVFAVMNEGKLHGGGNVIRIMKNIRICIDCHNFMKLASGFLQKEIIVRDSNRFHHFKDNICSCNDYW >KJB55799 pep chromosome:Graimondii2_0_v6:9:6935837:6936716:-1 gene:B456_009G095100 transcript:KJB55799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIPSLFGNRRSSVFDPFALDVWDPFKDFPFPSSLTTGSPETSAFVNARMDWKETPEAHVFKADLPGLKKEEVKVDVEDDRVLQISGERKIEKEDKNDTWHRVERSGGKFSRRFRLPENVKMDQVKASMENGVLTVTVPKVEVKKPDVKAIEISG >KJB56930 pep chromosome:Graimondii2_0_v6:9:10752353:10762293:-1 gene:B456_009G142100 transcript:KJB56930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDKCCSVQLIDGDGSFNDTGIESFIKEVKLHECGLSYAVVSIMGPQSSGKSTLLNNLFYTNFREMDAFKGRSQTTKGIWMAKCAGIEPCTIVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHKETPLSEFFNVEVVALSSYEEKEEQFKEQVANLRQRFNHSIAPGGLAGDRRGVVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKFTGFTANENWCLLEEAVQSGPVAGFGKKLNSILCTSLSEYDAEATYFDEGVRSAKRKQLEEKLLQLVQPAYLSMLGHLRSGTLEKFKEAFEEALNGGEGFSLAARNCTQSYMALFDERCTDANVELANWDCSKVRDKLCRDIDTHVASVRAAKLLELTSSYEAKLNEALAGPVEALLDGANNETWPSIKKLLQRETVSAVSGLSSALSGFEMDAKDKEKMLTSLQDYARGVVEAKAREEAGRVLIRMKDRFSTLFSHDSDSMPRVWTGKEDIRAITKTARSASLKLLSVMAAIRLDDDVDNIENTLTSALVDAKSNAAVADKSITTFDPLASSSWEQVPPAKTLITPVQCKSLWRQFRAETEYSVTQAISAQEASRRNNNWMPPPWAIVALVVLGFNEFMTLLRNPLYLGVLFVGYLTVKALWVQLNISGEFQHGVLPGLLSISTKFLPTVMNLLTKLAEQGQSPATNNPQTNSGATAFKSFQNGSSSSNTSSSASSGVTASGNDIDYSSPPKED >KJB56929 pep chromosome:Graimondii2_0_v6:9:10751833:10762293:-1 gene:B456_009G142100 transcript:KJB56929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDKCCSVQLIDGDGSFNDTGIESFIKEVKLHECGLSYAVVSIMGPQSSGKSTLLNNLFYTNFREMDAFKGRSQTTKGIWMAKCAGIEPCTIVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHKETPLSEFFNVEVVALSSYEEKEEQFKEQVANLRQRFNHSIAPGGLAGDRRGVVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKFTGFTANENWCLLEEAVQSGPVAGFGKKLNSILCTSLSEYDAEATYFDEGVRSAKRKQLEEKLLQLVQPAYLSMLGHLRSGTLEKFKEAFEEALNGGEGFSLAARNCTQSYMALFDERCTDANVELANWDCSKVRDKLCRDIDTHVASVRAAKLLELTSSYEAKLNEALAGPVEALLDGANNETWPSIKKLLQRETVSAVSGLSSALSGFEMDAKDKEKMLTSLQDYARGVVEAKAREEAGRVLIRMKDRFSTLFSHDSDSMPRVWTGKEDIRAITKTARSASLKLLSVMAAIRLDDDVDNIENTLTSALVDAKSNAAVADKSITTFDPLASSSWEQVPPAKTLITPVQCKSLWRQFRAETEYSVTQAISAQEASRRNNNWMPPPWAIVALVVLGFNEFMTLLRNPLYLGVLFVGYLTVKALWVQLNISGEFQHGVLPGLLSISTKFLPTVMNLLTKLAEQGQSPATNNPQTNSGATAFKSFQNGSSSSNTSSSASSGVTASGNDIDYSSPPKED >KJB54041 pep chromosome:Graimondii2_0_v6:9:1412863:1414715:1 gene:B456_009G017800 transcript:KJB54041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLTLISQSRSLSISSNLRILSSPNVSSTFIPKDVPYFKTQFLKLPGMATRNVIVSAAMSEKPWKRYSTGGEAKGFVDEMRLVAMTLHTRQQAQEGEMEAKAPEEQRVEEWEPTLDGYLKFLVDIKVVYDTLEQIIEKPSFPSDGEFRNTGLERSEKLAKDLKWFEEKGYGVPEASSPGVAYAKYLKQLSEKDPQAFICHFYNIYFANTAGGRIIAKKVAEKILDSRELEFYKWDGELSQLLQNVRDKLNKVAENWSREEKNHCLGETEISFKFYREIVRLMLS >KJB62544 pep chromosome:Graimondii2_0_v6:9:65926414:65928136:1 gene:B456_009G422000 transcript:KJB62544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial-type ATP binding cassette (ABC) transporter, Al toleranc [Source: Projected from Oryza sativa (Os05g0119000)] MLDFNSHFHLSMDWDWLFEFLKGMVKPAAALTVVLLAVLLSFMQNLGLEKEMIYSIFRAFLQLSIIGFVLQFIFNQDNSGWIVLAYLFMVSVAGYTAGQRAKHVPRGKYVAGVSILAGTTLTMFVLVVLNVFPFTPRYIIPVAGMMVGNAMTVTGVTMKRLRDDIKLQMSLVETALALGATPRQATLEQVKRALVISLSPVLDNAKTVGLISLPGAMTGLIMGGASPLEAIQLQIVVMNMLIGASTVSSIMSTYLCWPAFFTKAYQLETKVFSSD >KJB57432 pep chromosome:Graimondii2_0_v6:9:12563815:12565511:1 gene:B456_009G163900 transcript:KJB57432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIAERWRELSGEKKWEGLLEPLDNDLRKLIIHYGERTQAVIDGFIGEKVSRWVGLSRYSMENLFRKVGLEIGNPYEYKITKFLYARSEIQILDWFCPGESNWIGYVAVTTDQGKAVLGRRDILITWRGTKRTYEMINDLQADLVSAADILGDDENPRVHHGWHSIYTAQDPKSIYNQTSARDQVLSEVRRLMDFYKDEEISITLTGHSLGSAVATLNAVDIVTNGYNKPTSRPDKACLVTAIVFASPRIGDSGFKKVFSGLKNLRVLRIENELDIVPSLPLPLPLLNYTHVGMELVIDARKSPYLKSHVDLNKNLMIAHQLEIYLHGVAGTHGDKGEFKLEVNRDISLVNKYLDALKDEHKVPTKWWMEKNKGMVQQDDGSWILDDHEPDHPPTLT >KJB58254 pep chromosome:Graimondii2_0_v6:9:15567676:15569918:1 gene:B456_009G201100 transcript:KJB58254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein 6 [Source:Projected from Arabidopsis thaliana (AT1G47270) UniProtKB/Swiss-Prot;Acc:Q0WPY0] MPLKSFVREIGNMSKKFTKKEKHECRRGGAYIAPDSSPLSSTAGSSTALTEHGRWANLPPELLLDIIQRVEARETCWPGRGDVVACASVCRSWRQITKEVVKTPEQCGFLTFPISLKQPGSRDTPIECFIQREKATSTYRLYMGLSPALSGNLNKLLLVAKKVRRATCTDFVISLVGNDFSRSSNNYVGKLKSNFMGTKFNIFDSQPPKDSLVQSTNRSLQKTHSRQVSPRKPMFITAKISYELNVLRTRGPRRMKCDMYSIPASAIGQGGTAPTPTAFTNCNDEAQLGFKTLKSAQNVPLVLRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAAEPSQNVAVPEQEKVILQFGKIGKDIFTMDYRYPLSALQAFAISLSSFDTKPVCE >KJB58253 pep chromosome:Graimondii2_0_v6:9:15567676:15569918:1 gene:B456_009G201100 transcript:KJB58253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein 6 [Source:Projected from Arabidopsis thaliana (AT1G47270) UniProtKB/Swiss-Prot;Acc:Q0WPY0] MLYPLSLAVFRQKSREMPLKSFVREIGNMSKKFTKKEKHECRRGGAYIAPDSSPLSSTAGSSTALTEHGRWANLPPELLLDIIQRVEARETCWPGRGDVVACASVCRSWRQITKEVVKTPEQCGFLTFPISLKQPGSRDTPIECFIQREKATSTYRLYMGLSPALSGNLNKLLLVAKKVRRATCTDFVISLVGNDFSRSSNNYVGKLKSNFMGTKFNIFDSQPPKDSLVQSTNRSLQKTHSRQVSPRKPMFITAKISYELNVLRTRGPRRMKCDMYSIPASAIGQGGTAPTPTAFTNCNDEAQLGFKTLKSAQNVPLVLRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAAEPSQNVAVPEQEKVILQFGKIGKDIFTMDYRYPLSALQAFAISLSSFDTKPVCE >KJB60235 pep chromosome:Graimondii2_0_v6:9:25801749:25804687:1 gene:B456_009G295900 transcript:KJB60235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVDVEALDKAFSGIGVDEKSLISILTNSNEHKRSLRKGSSKLFIEDENGFERWDQSSIKILKHQFNRFRDAVVLSLLHPWERDARLIEKAIRKGPKHYNVIVEMACTRSSDQLLGARKAYHSLFHHSIEEHLTHIKGRERKLLVALVSAYRYEGTGVNEDVAKSEAQILYEAINNGDKNKLLDHEDAIMILASRSKQHLQALYRHYNQSYDKTLAQDLEGEGILKDTVECLCTPQTYFTRVLEAAVKEDADEESKRALTRVIVTQKEQLVKEGFVPNKIQDILLGLYKDFMLASIASGDIK >KJB61557 pep chromosome:Graimondii2_0_v6:9:48984879:48992626:1 gene:B456_009G366000 transcript:KJB61557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKTCFHLLLALFIPCSVLCLAMTFKDRIAGPQNVLANNWTASTAVCNWIGVSCGILHKRVIALNLTSMNLRGTIPPHLGNLSFLLSLDLSSNHFYGHLPKELGQLHRLRILQLSYNRLNREIPSWLGNLQRVRRLKMKNNNFTGTIPETLVNMSNLEILNLGFNQLSGQVPSSIFKISSLKIIILSSNSLSGGLPSDMCQHLPKLEVLYLYSNELSGNISSSMGKCSSLKNLSLSNNQLTRIIPKSIRNLTRLQELYLGFNNLEGLYLGLNELSGNVPSSMGKCSNLKILWLSSNQLMGIIPKSIGNLTRLKELYLGLNNLEGPIPTSIFNISSLKTIDLSNNSLSGSLPNDMCQHLPKLEGLYLGLNELFGNIPSSMGKCCNLKILWLSSNQLMGIIPKSIGNLTRLKELYLGLNNLEGQIPEEIGNLLGLEMLNIKAIKGLTGPIPTSIFNISSLKAIDLSSNSLLGSATDHEWSFLSSLTNCRHLRNIAVSRNPLSGVLPTYIGNLSKSLQYFYASICELQGIIPMEIGNLNNLFLLELGYNKLSGFIPTSIGGLRNLQSLGLSSNKLGGPILESLCGLERLYKMHLGWNKNLLTGDILSIFGGLQTLVSLDLSNNILHGHIPKSFDGLISLEFLDLCNNNLSGVIPKSLEKLLYLKYFNVSFNRLEGEIPSKGYFSNFSSTSFMKNYALCGPPRLLVPPCKNDIHKNSQMIILHTFRYGLPTIGIVVVLIVLTIIISHAELSRATNGFEERNMLGSGSFGYVYKGRLSDGMEVAIKVFNLQTEGAFRSFDIECDAMRNIVHRNIVKVITCCSSVDFKALVLDYMSNGSLEKCLHAENCFLDIIQRIDIMIDVAVAIEHLHNGHPTPIIHCDIKPSNILLDEDMVAHVGDFGVSKLLGEGEVMKQTMTLATIGYMAPEFGSAGIVSIKSDVYSYGIVLIETFTKKKPTDNVFVEEETIRHWMERSLPKGAIEIADVDLLRREDEYIVVKANCISSIMELALNCSAELPEERKDMKDVV >KJB59972 pep chromosome:Graimondii2_0_v6:9:24033913:24041005:-1 gene:B456_009G283100 transcript:KJB59972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLCCISTVSDHSPVKPLPDNVAMPPHPPVTTVTTTSSSGSSFRSNSVRSTVQILCQSDQNQNNQHRRSSSVDLNRMSQRNGTPLGREVSKALNLAPAPAPPPQVDVKINNIVGNGISGVLYKWVNYGKGWKPRWFVLQDGVLSYYKIHGPDKIVVSQETERGSKVIGEESLRLISRHRNSISRHSQNRRKPFGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRAEARDDRVAWVEALQAVKDMFPRMSNSELMAPMDNVAVSTEKLRQRLMQERVNELAIQDSEQIMKSEFSALQNHLVLLKQKQSLLIDTLRQLETEKVDLENTVVGESQNKLIDLGASSMIRQDKSSEGSSTDTDDDNERVDAAEEETDEDDHTFFDTRDILSSSSFRSNGSDLRTSSFSSDDEGTIADDSEDNIDPYVKSVGSNFPSIKRRKKLPDPVEREKSVSLWSMIKENIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEWGKRVSHHPMIVACHCQGTGWKLWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGDVFKWSKVTTSIYNLILGKLYCDHYGTMRIEGNRDYSCKLKFKEQSIIDRNPHQVHGGVQDRNGKTVATLFGKWDESMHYANGDCSAKGKGQDSLSETHLLWKRSKPPKYSTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYEMANSEKLRLEQRQRQARNMQERGWKPRWFAKDKNSDSYRFIGGYWEAREQGKWDSCPDIFGQIPSDHPLD >KJB59970 pep chromosome:Graimondii2_0_v6:9:24033848:24041193:-1 gene:B456_009G283100 transcript:KJB59970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLCCISTVSDHSPVKPLPDNVAMPPHPPVTTVTTTSSSGSSFRSNSVRSTVQILCQSDQNQNNQHRRSSSVDLNRMSQRNGTPLGREVSKALNLAPAPAPPPQVDVKINNIVGNGISGVLYKWVNYGKGWKPRWFVLQDGVLSYYKIHGPDKIVVSQETERGSKVIGEESLRLISRHRNSISRHSQNRRKPFGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRAEARDDRVAWVEALQAVKDMFPRMSNSELMAPMDNVAVSTEKLRQRLMQERVNELAIQDSEQIMKSEFSALQNHLVLLKQKQSLLIDTLRQLETEKVDLENTVVGESQNKLIDLGASSMIRQDKSSGSSTDTDDDNERVDAAEEETDEDDHTFFDTRDILSSSSFRSNGSDLRTSSFSSDDEGTIADDSEDNIDPYVKSVGSNFPSIKRRKKLPDPVEREKSVSLWSMIKENIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEWGKRGNNLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGVRFFSEKVSHHPMIVACHCQGTGWKLWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGDVFKWSKVTTSIYNLILGKLYCDHYGTMRIEGNRDYSCKLKFKEQSIIDRNPHQVHGGVQDRNGKTVATLFGKWDESMHYANGDCSAKGKGQDSLSETHLLWKRSKPPKYSTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYEMANSEKLRLEQRQRQARNMQERGWKPRWFAKDKNSDSYRFIGGYWEAREQGKWDSCPDIFGQIPSDHPLD >KJB59969 pep chromosome:Graimondii2_0_v6:9:24033608:24041193:-1 gene:B456_009G283100 transcript:KJB59969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLCCISTVSDHSPVKPLPDNVAMPPHPPVTTVTTTSSSGSSFRSNSVRSTVQILCQSDQNQNNQHRRSSSVDLNRMSQRNGTPLGREVSKALNLAPAPAPPPQVDVKINNIVGNGISGVLYKWVNYGKGWKPRWFVLQDGVLSYYKIHGPDKIVVSQETERGSKVIGEESLRLISRHRNSISRHSQNRRKPFGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRAEARDDRVAWVEALQAVKDMFPRMSNSELMAPMDNVAVSTEKLRQRLMQERVNELAIQDSEQIMKSEFSALQNHLVLLKQKQSLLIDTLRQLETEKVDLENTVVGESQNKLIDLGASSMIRQDKSSEGSSTDTDDDNERVDAAEEETDEDDHTFFDTRDILSSSSFRSNGSDLRTSSFSSDDEGTIADDSEDNIDPYVKSVGSNFPSIKRRKKLPDPVEREKSVSLWSMIKENIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEWGKRGNNLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGVRFFSEKVSHHPMIVACHCQGTGWKLWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGDVFKWSKVTTSIYNLILGKLYCDHYGTMRIEGNRDYSCKLKFKEQSIIDRNPHQVHGGVQDRNGKTVATLFGKWDESMHYANGDCSAKGKGQDSLSETHLLWKRSKPPKYSTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYEMANSEKLRLEQRQRQARNMQERGWKPRWFAKDKNSDSYRFIGGYWEAREQGKWDSCPDIFGQIPSDHPLD >KJB59971 pep chromosome:Graimondii2_0_v6:9:24034088:24039963:-1 gene:B456_009G283100 transcript:KJB59971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRMSNSELMAPMDNVAVSTEKLRQRLMQERVNELAIQDSEQIMKSEFSALQNHLVLLKQKQSLLIDTLRQLETEKVDLENTVVGESQNKLIDLGASSMIRQDKSSEGSSTDTDDDNERVDAAEEETDEDDHTFFDTRDILSSSSFRSNGSDLRTSSFSSDDEGTIADDSEDNIDPYVKSVGSNFPSIKRRKKLPDPVEREKSVSLWSMIKENIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEWGKRGNNLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGVRFFSEKVSHHPMIVACHCQGTGWKLWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGDVFKWSKVTTSIYNLILGKLYCDHYGTMRIEGNRDYSCKLKFKEQSIIDRNPHQVHGGVQDRNGKTVATLFGKWDESMHYANGDCSAKGKGQDSLSETHLLWKRSKPPKYSTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYEMANSEKLRLEQRQRQARNMQERGWKPRWFAKDKNSDSYRFIGGYWEAREQGKWDSCPDIFGQIPSDHPLD >KJB62624 pep chromosome:Graimondii2_0_v6:9:66869972:66871171:1 gene:B456_009G426700 transcript:KJB62624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLDPHSFINSYHPLTTDISLSLYLNFPSTTIHGAAFFIQYTIHCLRINPSHRSNFPKTQLPNCKREQIRRDRLVHLKFLDYPRFGTPQRVKPTTQQCECPSPATSDLLSTWRADITAHNSRGTLSKAE >KJB56186 pep chromosome:Graimondii2_0_v6:9:7965887:7968025:-1 gene:B456_009G109100 transcript:KJB56186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLPTQFLVCSFFLLLALHSFSVRSQEVEDESEFDYGANSTKGPARWGEIHAEWGACSNGTMQSPIDMSNERVNIVSHLGRLKKSYKPSNATLRNRGHDMMLRWEDEAGAIEINGTEYVLHQCHWHSPSEHTINGRRYDLELHMVHESEDGKVAVIGIMYKTGRPDSFLSSLMDHLEAITDITDGERAVGVIDPRHIKFGSRKYYRYIGSLTVPPCTENVVWSIVRKVRTVTREQMSLLRVAVHDDSDTNARPLQSINNRPIQLYRPDDKEEN >KJB56187 pep chromosome:Graimondii2_0_v6:9:7965887:7967963:-1 gene:B456_009G109100 transcript:KJB56187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPIDMSNERVNIVSHLGRLKKSYKPSNATLRNRGHDMMLRWEDEAGAIEINGTEYVLHQCHWHSPSEHTINGRRYDLELHMVHESEDGKVAVIGIMYKTGRPDSFLSSLMDHLEAITDITDGERAVGVIDPRHIKFGSRKYYRYIGSLTVPPCTENVVWSIVRKVRTVTREQMSLLRVAVHDDSDTNARPLQSINNRPIQLYRPDDKEEN >KJB58812 pep chromosome:Graimondii2_0_v6:9:17800377:17800673:-1 gene:B456_009G227300 transcript:KJB58812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSSASRKTKEEGLLSCWGRLKLKLPCTKRKIKALGNSITAAFMPRKPRPVGGFRYDPLSYAHNFDDCNGDDYLQGSLYRGFSSRYAAPVSRSVAHK >KJB59634 pep chromosome:Graimondii2_0_v6:9:21902450:21909010:-1 gene:B456_009G264700 transcript:KJB59634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSNSNSNNGQSNSGKSSNFDNLNQDLGLHFLELARIGSSKTPVEMEEDEEEAPKELNTINSSGGFLVVAPDKLSVKYTSVSLHGHDVGVVQADKPAPMRRLLYYFEIYVKDAGAKGQIAIGFTNEGFKMRRQPGWEVNSCGYHGDDGLLYRGQGKGDAFGPTYTTGDTVGGGINYASQEFFFTKNGAIVGTVSKEKEMKGHLFPTIAVHSQNEEVHVNFGQKKFAFDVKEYEAQERLKQQMTIEKISLPPNISYGLVRSYLLHYGYEDTLNSFDLASKSTIPPICIAQENGFDEQDVVYALNQRKTIRQLIRNGEIDAAMNKLRDWYPQIVQDDKSVACFLLHCQKFIELIRDAIFVCRLEHWKRLSSMEGLNYINFLGRLKLMIHLTI >KJB59635 pep chromosome:Graimondii2_0_v6:9:21903272:21909010:-1 gene:B456_009G264700 transcript:KJB59635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSNSNSNNGQSNSGKSSNFDNLNQDLGLHFLELARIGSSKTPVEMEEDEEEAPKELNTINSSGGFLVVAPDKLSVKYTSVSLHGHDVGVVQADKPAPMRRLLYYFEIYVKDAGAKGQIAIGFTNEGFKMRRQPGWEVNSCGYHGDDGLLYRGQGKGDAFGPTYTTGDTVGGGINYASQEFFFTKNGAIVGTVSKEKEMKGHLFPTIAVHSQNEEVHVNFGQKKFAFDVKEYEAQERLKQQMTIEKISLPPNISYGLVRSYLLHYGYEDTLNSFDLASKSTIPPICIAQENGFDEQDVVYALNQRKTIRQLIRNGEIDAAMNKLRDWYPQIVQDDKSVACFLLHCQKFIELIRVGALEEAVKHGRIELYKFFGSAEADDPFDDLVQVVREFLSLTFQ >KJB59633 pep chromosome:Graimondii2_0_v6:9:21902318:21909072:-1 gene:B456_009G264700 transcript:KJB59633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSNSNSNNGQSNSGKSSNFDNLNQDLGLHFLELARIGSSKTPVEMEEDEEEAPKELNTINSSGGFLVVAPDKLSVKYTSVSLHGHDVGVVQADKPAPMRRLLYYFEIYVKDAGAKGQIAIGFTNEGFKMRRQPGWEVNSCGYHGDDGLLYRGQGKGDAFGPTYTTGDTVGGGINYASQEFFFTKNGAIVGTVSKEKEMKGHLFPTIAVHSQNEEVHVNFGQKKFAFDVKEYEAQERLKQQMTIEKISLPPNISYGLVRSYLLHYGYEDTLNSFDLASKSTIPPICIAQENGFDEQDVVYALNQRKTIRQLIRNGEIDAAMNKLRDWYPQIVQDDKSVACFLLHCQKFIELIRVGALEEAVKHGRIELYKFFGSAEADDPFDDLVQKCVALLAYERPQESPVGHLLEESHRDVVADTVNAMILSTNPKMKDVHGCIQSYLERLLRQLTACCLERRSANGGQGETFHLHRLLNSSRET >KJB56382 pep chromosome:Graimondii2_0_v6:9:9660343:9662032:1 gene:B456_009G128500 transcript:KJB56382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGLVVDGDYVYEEEKHLTVLKTSLFFANDGFTVYDCKGQLVFRVDSYGPDPRDKAEVVLMDAHGRCLLTVRKKRPSLHHRWEGFLGERTEGQKPIFSVKRSSIIGRCGMTVEVFNNPGLEYQIEGNFGQRSCTIFNAAKESVAEIKRKVDASTNVVLGKDVFLLSLKPGFDGAFAMGLVLVLDQINGGDYVENDEAEMIPTTED >KJB59337 pep chromosome:Graimondii2_0_v6:9:20317337:20319877:1 gene:B456_009G250200 transcript:KJB59337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDERRAWHLALVCLLLAAVAAAESTPIAKLDCQDRCGNVSIPYPFGTTTDCYLNEDFYIACNSTHYDPPRAFLTGSNIEVTNITVEGKLRIMQFIARDCYNKSGFPVSSNTPSITLSKFRVSDTDNKFVAIGCDTEATIQGVQDDKGYTSGCISKCDSIDYVDNFTCSGIGCCQTSIAKDVGYFDIAVRSYNNHQGIWDFNPCSYGFVVEENSFNFSSNYLRDLQNVTMMPMVLDWFIGNETCETIKTKSSDDVCQGDSTCYNVDNGSGYRCKCLDGYQGDPYLPNGCQDIDECKDPNLNKCEKICENTKGNYTCLCPKGYHGDGRTDGTGCVANQSGGSLIIELTVGLGVGITVLIAGSTWSYWAFKKWKLIKLKHKFFRQNGGLMLQQELSRRDSSTETAKIFSAEELETATNNYDESRIIGRGGYGTVYKGTLSDGRTVAIKKSQVVDESQIDQFINEVVVLSQINHRNVVKLLGCCLETEVPLLVYEFITNGTLFEHIHNKSKASSLTFETRLRIAAETAGVLSYLHSSASIPIIHRDVKSTNILLDDSYTAKVSDFGASRLVPLDQAGISTVVQGTLGYLDPEYLQTSQLTEKSDVYSFGVVLLELLTGQKALCFERLEEDRNLAMYFISALKEDRLVQILEKCVVDEAKIEMVEEIGSLARRCLRVKGEERPTMKEVAMELEGLRMMLEHHPWVNNDESRLEETEYLLGEPSLKIGSNSGMNNVTYDSITDHIILQVGHGR >KJB60480 pep chromosome:Graimondii2_0_v6:9:28118896:28121945:1 gene:B456_009G307500 transcript:KJB60480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEIWQSFRFNLEEIETATQYFSESNLLGKSNFVATYKGFLRDGSAVVIKSISKTSCKSDDSEFLKGLNVLASLKHENVVQLRGFCCSKARGGCFLVYDFIPNGNLLQYLDVKDGDGTVLDWSTRVSIVKGIAKGIAYLHEYKVNKLTLVHQNISAEKVLVDHRFNPLLSDSGLHNILTIDIVFGSLKASAAMGYLAPEYANTGRFTEKSDVCAFGTLVLQLLSGKQKVTSSVRLGAETRKYQDFIDSNLHGRFFEHEAAKLARIAWLCAHECPIERPSMEEVVQKLGNCNGRP >KJB61507 pep chromosome:Graimondii2_0_v6:9:47966456:47967145:-1 gene:B456_009G362700 transcript:KJB61507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYESGVSEEEAHEHIRKLIDATWKKINEDQMAKLPFSRKFIEISKNIARVSLLMYQNGDGHGIEDKETKDRVLSLFVHPISLPK >KJB58441 pep chromosome:Graimondii2_0_v6:9:16278621:16280486:1 gene:B456_009G210000 transcript:KJB58441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPKEQLQPPAYGELITILSIDGGGIRGIIPGTILAFLESELQKLDGEEARLADYFDVIAGTSTGGLVTAMLTSPNEKNRPLFAAKDIKDFYLQNSPKIFPQPGSQLFPQTTKAIKALSGPKYDGKFLHSLVKQKLGDTRLHQTLTNVVIPTFDINHLQPIIFSSYRVKEKPTLDALLSDICIGTSAAPTYLPAHYFKTQDNKGNLKDYNLIDGGVAANNPTLVAMGEVSKAIINGNSDFFPIKPVDYGRFLVISLGTGSPKAEKKYNAAEAAKWGVLSWLTSEGSTPLVDVFTQASGDMVDFHLSVVFKALHSSDKYLRIQDDGLSGDASSVDIATKKNLDELVKVGEGLLKKRVCRVNLETGMFQPFAQETNEEALKRFATLLSRERHRRHSRTPQGKAGGYYQNGVKN >KJB55590 pep chromosome:Graimondii2_0_v6:9:6127129:6131567:-1 gene:B456_009G083900 transcript:KJB55590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMKIEEVQSTAKKQRIATHTHIKGLGLDATGNAIPLSAGFVGQAEAREAAGLVVDMIRQKKMAGRALLLAGPPGTGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEETESVTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMSQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICNVRGTDMNSPHGIPVDLLDRLVIIRTQIYGPSEMIQILAIRAQVEELVVDEESLALLGEIGQSTSLRHAVQLLSPASIVAKMNGRDGICRADLEEVSKLYIDAKSSAKILQEQQEKYIS >KJB56529 pep chromosome:Graimondii2_0_v6:9:9264047:9269390:1 gene:B456_009G123900 transcript:KJB56529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPPRVRSANTATEMEARSVLGPAGNKVPTKPAPKSTKKPVQQTPEGKDKEKVKELVTPQKKPTPAPQSLTLTASILRQQERKAGNFSMSLSCLSDGGASSSSAGSSSSGMTGGGGRRGSKHGIGVGVRRKQSGPKGESEVIVVDSGEGGCLDNKKRCGWVTTNSDLCYVAFHDEEWGVPVNDDKKLFELLSLSGALAELTWPTILSKRHLFRDTFLEFDPRAVSKLSEKKIGAPGGPASSLLSELKIRGIIENARQICKVIDEFGSFDKYIWSFVNHKPIVGQFRYPRQVPVKSPKSEVISKDLIRRGFRSVGPTVVYSFMQVAGLTNDHFMSCFRFQECITGVESRGKVNNDEANDETRKVEETTALG >KJB56527 pep chromosome:Graimondii2_0_v6:9:9264047:9269372:1 gene:B456_009G123900 transcript:KJB56527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPPRVRSANTATEMEARSVLGPAGNKVPTKPAPKSTKKPVQQTPEGKDKEKVKELVTPQKKPTPAPQSLTLTASILRQQERKAGNFSMSLSCLSDGGASSSSAGSSSSGMTGGGGRRGSKHGIGVGVRRKQSGPKGESEVIVVDSGEGGCLDNKKRCGWVTTNSDLCYVAFHDEEWGVPVNDDKKLFELLSLSGALAELTWPTILSKRHLFRYCSYSMNSCV >KJB56528 pep chromosome:Graimondii2_0_v6:9:9264047:9269372:1 gene:B456_009G123900 transcript:KJB56528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPPRVRSANTATEMEARSVLGPAGNKVPTKPAPKSTKKPVQQTPEGKDKEKVKELVTPQKKPTPAPQSLTLTASILRQQERKAGNFSMSLSCLSDGGASSSSAGSSSSGMTGGGGRRGSKHGIGVGVRRKQSGPKGESEVIVVDSGEGGCLDNKKRCGWVTTNSDLCYVAFHDEEWGVPVNDDKKLFELLSLSGALAELTWPTILSKRHLFRDTFLEFDPRAVSKLSEKKIGAPGGPASSLLSELKIRGIIENARQICKAWTYSHD >KJB56893 pep chromosome:Graimondii2_0_v6:9:10654572:10660596:1 gene:B456_009G140800 transcript:KJB56893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFSASCLVVKNIWVFLVLLQMWPDLIQKAKEGGLDVIQTYVFWNGHEPAPGMYNFEGNYDLVKFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYIPGINFRTNNRPFKAQMQRFTEKIVGMMKAERLFESQGGPIILSQIENEYGPMEYELGAPGKAYSDWAAKMAVGLGTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKAYKPKMWTEAWTGWYTEFGGAVPYRPAEDMAFAVARFIQKGGAFINYYMYQGGTNFGRTAGGPFIATSYDYDAPLDEYGLKRQPKWGHLKDLHRAIKLCEPALVNGDPTVIQLGNYEEAHVFNYKTGGCAAFLANYNPNSYAKVAFRNMHYNLPPWSISILPDCKNTVYNTARVGAQISRKKMVPVPMHGGFSWQACNDETASDVDSTFTMVGLLEQINTTRDATDYLWYTTNVKIDPREGFLKNGKSPVLTIFSAGHALHVFVNGQLSGSSYGSLEFPKLTFNQGVNLRAGVNTISLLSIAVGLPNVGPHFETWNAGILGPVTLNGLNEGRRDLSWQKWSYKIGLKGEALSLHSLSGSSSVEWAKGSLVAQRQPLTWYKTTFNAPAGNAPLALDMNSMGKGQIWINGQSIGRHWPAYKASGNCGACNYAGTFRENKCGTNCGEASQRWYHVPRSWLNPTGNLLVVFEESGGDPNGISLVRRETDSVCADIYEWQPTLMNYEMQASGKVNKPLRPKVHLECDAGQKISAVKFASFGTPEGVCGSYREGSCHAHHSYDAFNRLCLGQNFCTVTVAPEMFGGDPCPSVMKKLSVEVICS >KJB56892 pep chromosome:Graimondii2_0_v6:9:10653886:10660641:1 gene:B456_009G140800 transcript:KJB56892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINSNFKLPIMWNAFLVALLASWVCSVTASVSYDRKAITINGQRRILISGSIHYPRSSPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPAPGMYNFEGNYDLVKFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYIPGINFRTNNRPFKAQMQRFTEKIVGMMKAERLFESQGGPIILSQIENEYGPMEYELGAPGKAYSDWAAKMAVGLGTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKAYKPKMWTEAWTGWYTEFGGAVPYRPAEDMAFAVARFIQKGGAFINYYMYQGGTNFGRTAGGPFIATSYDYDAPLDEYGLKRQPKWGHLKDLHRAIKLCEPALVNGDPTVIQLGNYEEAHVFNYKTGGCAAFLANYNPNSYAKVAFRNMHYNLPPWSISILPDCKNTVYNTARVGAQISRKKMVPVPMHGGFSWQACNDETASDVDSTFTMVGLLEQINTTRDATDYLWYTTNVKIDPREGFLKNGKSPVLTIFSAGHALHVFVNGQLSGSSYGSLEFPKLTFNQGVNLRAGVNTISLLSIAVGLPNVGPHFETWNAGILGPVTLNGLNEGRRDLSWQKWSYKIGLKGEALSLHSLSGSSSVEWAKGSLVAQRQPLTWYKTTFNAPAGNAPLALDMNSMGKGQIWINGQSIGRHWPAYKASGNCGACNYAGTFRENKCGTNCGEASQRWYHVPRSWLNPTGNLLVVFEESGGDPNGISLVRRETDSVCADIYEWQPTLMNYEMQASGKVNKPLRPKVHLECDAGQKISAVKFASFGTPEGVCGSYREGSCHAHHSYDAFNRLCLGQNFCTVTVAPEMFGGDPCPSVMKKLSVEVICS >KJB56985 pep chromosome:Graimondii2_0_v6:9:10963323:10964486:1 gene:B456_009G144800 transcript:KJB56985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDEEIPSQPSNAAAGSPSPSPSSLSPSSNGRITVTVAAAPPSEPPPPEESQLHEKTIVLALPPPQQTKNSGGGGGREDCWSEDATGVLIDAWGERYLELSRGNLKQKHWKEVADIVSSREDYRKTPKTDIQCKNRIDTVKKKYKLEKAKIAAGGGPSKWVFFDKLDQLIGPTAKTAVNVATAADGGSGGSTGLLSKVPMGIPVGIRSSLNPLRVSQLHEKQQQQQKQPRMVALKDQNKMQIRKRAPMDTDSEEEEADSMDSLPPPMTGKRARRVVDKGVSSGGKGRKWGNSVRDLTQAILRFGEAYEQAETAKLQQVVEMEKQRMKLAKELELQRMQFFMKTQLEISQLKHGRRSGGIGNSSNHHTKINNSNNNNDNNNISGNSN >KJB59338 pep chromosome:Graimondii2_0_v6:9:20344955:20348547:1 gene:B456_009G250300 transcript:KJB59338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFIFKKLALVAVTLTLTATSVAAQTQPGCESRCGNISIPYPFGTGDGCKISSDFFITCNTTFNPPKAFLDTSNIEILDISLDGYLRISQSIGYDCYNEVERTSYFDMWMELSKFPISHTRNKFTAIGCDTYAHVKDYLRDTYSTRCLTFCDNITNMVKGSCSGIGCCKTAIPKGVRSYHGFVMEDGAYNFCISDLYDENFKDKEFPMILDWTIGNQTCSETKMDQENYACKENSDCIDPENCPGYLCKCLDGFQGNPYLSQGCQDINECNTLKPCNGTCNNAPGSYNCSCPDGFEGDGLRNGIGCSPKVVMPHHQSFSVAVVALGIGVGVLFSLLCLSWVYMGLRERKLTAEKSENCQQNGG >KJB61957 pep chromosome:Graimondii2_0_v6:9:54284768:54304462:1 gene:B456_009G393900 transcript:KJB61957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPANTPLGKMLLEEITPVVMVLCTPLVEESCLKNGLSFVQMLSPFCDFTNIDVPVRTASDQPYRLHKFKIRLFYASDIRQPNLEVAKERLKQVITKAGEDDFPEIYSDLPQVNDLLSRHESEILPTWFQFFNKELVRTLSFSDHEAFDHPVACLLVVSSRDEESINRFIDLFNTNKLPSFLSDGAMDPKVLKHYLLVHDNQDGASEKATKILTEMRSTFGPNDCQLLCINSSQDGQTRHKDTPWSTFIKNLMQELSSKHIIPYMEQKIRLLNQQVSSTRKGFRNQFKNLWWRKGKEDALDTTNGPVYTFSSIESQIRVLGDYAFMLRDYELAVSSYRLISTDYKLDKAWKRYAGVQEMIGLTYFMLDQSRKEAEYCMENAFNTYLKLGSAVQQNATRCGLWWIEMLKTRNQIKEAATVYFRICGEDLLHSAVMLEQASFCYLLSKPPMLHKYGFHLVLSGDHYKKCDQIKHAIRTYRNAVSVYRGTTWNLIKDRVHFHIGQWYASLGMYDVAVTHMLEILASSHQSKTSQELFLRDFLHIVQKTGKTFEVLKLQLPVINISSLKVIFEDHRTYASPAAASIKEGVWRSLEEDMIPSLSAKSNWLDVQSKLIPRKYKESNICVAGEANSSNVEPQNDEYKTSTSTGYVWLLSTYMYNAYIYICLYVLMCVYVFTIILSFGRDINSSLVLLEVDFSLEGGETILVQLTVTPRVEGVLTIVGVKWKLSSSVVGLHNFESNSVNKRIAKGRRKPKHSPSADLKFIVIKSLPRLQGFIPSLPEKTYAGDLRHLVLELNNQSKFPVKNLKMKISNPRFLNAGNQSYLNVEFSACLEKKLNVEQSGGHANAKKMLQNVFHFPEDISVQEETSLSWPLWFHAAVPGNISLYITVYYEMENVSSIMKFRTLRMHYNLNFALTFAIYVLPSLDVSFELSPCPSRLEEFLLRMDVVNKTSSECFQIHQLSSVGQQWDVSLLQPVDSILPSQSLFAGQVLSCFFMLKYHRKSSTSEDSNPLFLQSDVRLGPPGNSEALFDVCSSPLADFHDYERLYQGMSCQGNVDFVFITQLWRGNIDSGAPHRPLLFSHHVCHSSLSSFSPISWSVDGPRTIQHNFSASPCGVNLKMTINNSSDAASSVHVKTFDPPSSNSQSIDATASQSGLPLENQAGWFSIPAVNDTKVITTDALAKRITKSVSLESAPQFIWTGSSSTKLKLQPRATAEVPLQICVFAPGIYDLSNYALNWNLAPIEESQGEANKSSGECQGYPYYLTVLQST >KJB61956 pep chromosome:Graimondii2_0_v6:9:54284953:54303561:1 gene:B456_009G393900 transcript:KJB61956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPANTPLGKMLLEEITPVVMVLCTPLVEESCLKNGLSFVQMLSPFCDFTNIDVPVRTASDQPYRLHKFKIRLFYASDIRQPNLEVAKERLKQVITKAGEDDFPEIYSDLPQVNDLLSRHESEILPTWFQFFNKELVRTLSFSDHEAFDHPVACLLVVSSRDEESINRFIDLFNTNKLPSFLSDGAMDPKVLKHYLLVHDNQDGASEKATKILTEMRSTFGPNDCQLLCINSSQDGQTRHKDTPWSTFIKNLMQELSSKHIIPYMEQKIRLLNQQVSSTRKGFRNQFKNLWWRKGKEDALDTTNGPVYTFSSIESQIRVLGDYAFMLRDYELAVSSYRLISTDYKLDKAWKRYAGVQEMIGLTYFMLDQSRKEAEYCMENAFNTYLKLGSAVQQNATRCGLWWIEMLKTRNQIKEAATVYFRICGEDLLHSAVMLEQASFCYLLSKPPMLHKYGFHLVLSGDHYKKCDQIKHAIRTYRNAVSVYRGTTWNLIKDRVHFHIGQWYASLGMYDVAVTHMLEILASSHQSKTSQELFLRDFLHIVQKTGKTFEVLKLQLPVINISSLKVIFEDHRTYASPAAASIKEGVWRSLEEDMIPSLSAKSNWLDVQSKLIPRKYKESNICVAGEANSSNVEPQNDEYKTSTSTGYVWLLSTYMYNAYIYICLYVLMCVYVFTIILSFGRDINSSLVLLEVDFSLEGGETILVQLTVTPRVEGVLTIVGVKWKLSSSVVGLHNFESNSVNKRIAKGRRKPKHSPSADLKFIVIKSLPRLQGFIPSLPEKTYAGDLRHLVLELNNQSKFPVKNLKMKISNPRFLNAGNQSYLNVEFSACLEKKLNVEQSGGHANAKKMLQNVFHFPEDISVQEETSLSWPLWFHAAVPGNISLYITVYYEMENVSSIMKFRTLRMHYNLNFALTFAIYVLPSLDVSFELSPCPSRLEEFLLRMDVVNKTSSECFQIHQLSSVGQQWDVSLLQPVDSILPSQSLFAGQVLSCFFMLKYHRKSSTSEDSNPLFLQSDVRLGPPGNSEALFDVCSSPLADFHDYERLYQGMSCQGNVDFVFITQLWRGNIDSGAPHRPLLFSHHVCHSR >KJB56026 pep chromosome:Graimondii2_0_v6:9:7464224:7465770:-1 gene:B456_009G103500 transcript:KJB56026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAGSSRWCPTTQQLMMLEEMYRSGIRTPNANQIQQITSQLSLYGKIEGKNVFYWFQNHKARERLRLRKKLTKQLQQQQQLYHQLQHNPHFLHYLDSPASPAFQHLSCYNPASANLFLQAGIHDEGGKQVTNYTWNIDIPENVDKNKAIMRMYGSDWLMMVDVGLPLSSLPCYSMISRPPLKTLELFPVTASNLKEECNNINNNNSNSSNSLSSVSCNITPAKVPVSLP >KJB60719 pep chromosome:Graimondii2_0_v6:9:31286159:31288131:-1 gene:B456_009G322100 transcript:KJB60719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLDWKAKMVSSDIPNKSPKLSNKLQVSIPTPFRFSNISSPLSTSASASSAYDYYLRLPELRKLWETKEFPAWQNERVLKPALHALEITFRFISIVLSDPRSYSNRREWNRRLESLTTSQIELIAMLCEDENEDKTAAGTAPIFDLTSSNGVLARESSSAEVWKLHGETTVVSRTSKDSLLPRLATWQKSEDAAQKILYTIECEMRRCPYTLGLGEPNLSGKPSLDYDAVCKPNDLHALKRSPYDHCIENHENATLFTTHQILESWIQTAKQVLKRIASRIDAEIFETAASDCYLLERIWKLLAEIEDLHLLMDPDDFLHLKSQLLINPVNETEAFCFRSKGLVEITKMSKELKHKVPFILGVEVDPKGGPRIQEAAMRLYSEKQEGNKVFLVQALQAIEGALKRFFYGYKQVLVVVMGSLEAKGNRVVAGSGSVDSLSQVFLEPTYFPSLDAAKTYLGEFWNHELGGSGLTRWKK >KJB62904 pep chromosome:Graimondii2_0_v6:9:69435338:69436593:1 gene:B456_009G443100 transcript:KJB62904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNTNTSQVSVVGEVSKVKVGQTWCVANGKADEKKLQAALDYACGEGEADCSPIQPGATCYNPNTLEAHASYTFNSYYQKNTHATGTCEFGGAAYVVTQRLTYGNCEFPTGD >KJB60689 pep chromosome:Graimondii2_0_v6:9:30672772:30675518:-1 gene:B456_009G319800 transcript:KJB60689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTSKRSHSNSFSIAKELIFFWVFLVFSSGQVFSQLDQFIFNGFHGSGNKMSLTGVADIADSGLLCLTNTTSRVSGHAFYSSPLKFKNSDNSKVSSFSSAFVIATVPEYPKLGGHGLAFVLSPSKQLSGSPSQYLGLLNASENGNSANHIFAVEFDTVKDLETKEELIIKSGAKIQAWIDYDSAKNRLDVKLSLLSEKPRSSILSIDVNLSSILQDFMFVGFSASTGLLASSHYVLGWSFNMSGEAQSLSLSSLPSLPRPKQNHTVLILCVTFAVVVVIMLIVFISFYLVRKLKESDIIEASELEIGPHRFSYQELKKATMGFRDKELLGFGGFGRVYKGTLQGTNTQVAVKRISHESKQGLREFMSEIASIGRLRHRNLVPLLGWCRCRGDLLIVYDYMPNGSLDKYLFDEPKRVLGWDERFKIIKGVASGLLYLHEEWEQTVIHRDIKAGNVLLDSELNGRLSDFGLAKLYEHGTNPTTTRVVGTLGYLAPELTKTGKPTIATDVFAFGAVLLEVACGRRPIEPKALPEELILVDWVWERWQSGAALEVVDPKLNGDFDELEAIVVIKLGLMCSNDAPDARPTMRQVVRYLEGEVALPEVVPSPGGYDSKKGHGSGGINTIRFEDFVHSYPNSPYLDVEDRDVDIEAGSTKHLDQPTAE >KJB57834 pep chromosome:Graimondii2_0_v6:9:14067408:14068974:1 gene:B456_009G182800 transcript:KJB57834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVNMVMAVVIMAATLGGKLGAAQVHHVVGGDRGWDLSSDVASWSSGRSFRVGDKIWFAYAAAQESIAEVNSPEEYESCDVSNPIRMYTDGIDGIPLDGEGIRYFVSGKEESCKNGLKLHVEVMPFGNPEPEKPRVAVAAAAPTTPSGSARLYGSSVLLLVGLWLCHMAI >KJB57835 pep chromosome:Graimondii2_0_v6:9:14067408:14068974:1 gene:B456_009G182800 transcript:KJB57835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVNMVMAVVIMAATLGGKLGAAQVHHVVGGDRGWDLSSDVASWSSGRSFRVGDKISQESIAEVNSPEEYESCDVSNPIRMYTDGIDGIPLDGEGIRYFVSGKEESCKNGLKLHVEVMPFGNPEPEKPRVAVAAAAPTTPSGSARLYGSSVLLLVGLWLCHMAI >KJB54271 pep chromosome:Graimondii2_0_v6:9:2086306:2092443:-1 gene:B456_009G027500 transcript:KJB54271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALHSADSFLLSLSRAFCSPLAVFIQIQGCVICLTLAIGWAFAAYVRKREINRIEDGIKGGNSFAFLCHDIYELEHSNQVNLPRVSVVMPLKGFGEHNLHNWKSQITSLYGGPIEFLFVVESTEDPAYHAVSQLITEFKGDVDARVIVAGLSTTCSQKIHNQLVGVENMHKDSKYVLFLDDDVRFHPGSIGVLTTEMEKNPEIFIQTGYPLDLPSGTLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRHDNYGVVSGLRDGGYSDDMTLAAIAGAHKRLITSPPVAVFPHPIASDLTFSRYWNYLRKQTFVLESYISTVNWLMNRALFLTHFYLSWGFVAPYFMAMVHVAAALQIYIKGYSYGETTCTSGGLLLAIWLAICTFTELLSMWNLTRIEVQLCNILSPEAPKLSLDYYNWSMIFVAMLVDNFLYPISAFWSHFSQTINWSGIRYNLKNGKIHKIERNKDKGPEFTDLGGKHLYGKKAATPKASLLGSLGRSLAHWHQPKKYDV >KJB54272 pep chromosome:Graimondii2_0_v6:9:2087486:2091915:-1 gene:B456_009G027500 transcript:KJB54272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALHSADSFLLSLSRAFCSPLAVFIQIQGCVICLTLAIGWAFAAYVRKREINRIEDGIKGGNSFAFLCHDIYELEHSNQVNLPRVSVVMPLKGFGEHNLHNWKSQITSLYGGPIEFLFVVESTEDPAYHAVSQLITEFKGDVDARVIVAGLSTTCSQKIHNQLVGVENMHKDSKYVLFLDDDVRFHPGSIGVLTTEMEKNPEIFIQTGYPLDLPSGTLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRHDNYGVVSGLRDGGYSDDMTLAAIAGAHKRLITSPPVAVFPHPIASDLTFSRYWNYLRKQTFVLESYISTVNWLMNRALFLTHFYLSWGFVAPYFMAMVHVAAALQIYIKGYSYGETTCTSGGEHTLFPF >KJB61923 pep chromosome:Graimondii2_0_v6:9:53543771:53545001:1 gene:B456_009G391700 transcript:KJB61923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPVPLEQKIPNVDPLALRLQQRLLAFDPKDRPTAEDRAANNVYSWWWASHSRTAEQSSLKEWNKTFKILNSLSLRMFQSTMVDLMLKTFTIIALFSTLVIADHRSDSEVSGKYISPSSLGLKRAKLSPLHFYFHDIVSGKNVTVIRVAGASSTHRSS >KJB62995 pep chromosome:Graimondii2_0_v6:9:69897022:69900057:-1 gene:B456_009G447900 transcript:KJB62995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHSCSSLIQFKDSFSITEDVSSYCNDYAGLKSYPKTNSWKEGTDCCSWDGVTCDHLNAHVIALDLSCSWLYGNFPSNTTLFLLPHLQKLNLAYNDFNLSKIPSEFGRFTSLFYLNLSYTGFAGEVPSQVSHLSKLVSLDLSFWANVLTIDKHALEGLVHNLTEVRHLFLDGINMTSVNAHVFMNLSSSLRSLSLAGCDLQGKFPKNIFDLPNLNLLNLGGNQNLNLDPLKLNRSSNLEHLDLSWMSFSTEFIDSVDNLQALKYFDLSGNSFFQGLSVSITNLSSLEYLILRGANFFGGLPDSMGNLVSLKFLDLSYSNLSGPVPKSLGNLLQLTHLDLGSNKLNEVTAVPNLIYLVLFDNVLNGTLPSWLYTAPSLKGIILSQNQFSGHIKEFQTKSLEYLDLENNKLQGPLPSSIFQLLNLTWLLLSSNNLSGVIEFRMFSNVPNLKHLDLSYNSLSLTSNATSSVNHILPNVTDLLLSSCNLSEFPQFLKGLKSLESLDLSCNKIEGKIPQWMQEVGNGSLTYLNVSHNSLTEVEHFPWKNIEVLDLSSNLISGNLPIPASTINFFLISNNSLNGEVSSLICNATSLRVLDLSHNNLSGTIPRCFGNLSNSLEFLNLKKNKFYGTIPPNFAQGCRLTNFNLNGNLLEGPLTPSILNCRGLEVLDLGNNKINDTFPHWLGSLPYLQVLVLKSNHMHGSLRVNSSKSSPFFSKIQIFDLSSNYFSGPLPVRYINSFKAIIDLEKIGSTMSYMGVYVQRGSGFYTYSIGIVMKGQYMELVKIFTMWMIIDLSNNQFEGGIPKVFGKLNLLKGLNLSHNNLSGGIPTSIGNLTSLEWLDLSSNRLSGTIPNRLADLPFLSSFNVSENQLHGQIPQGKQFNTFGNDSYEGNKGLCGFPVSKGCNIIEPAPPNVLEKDGSKSNIAFGWKVVLIGYGCGVVFGMSVGYVVFETGKPKWLVNLVENQQEKRRRRKSKKGNRSDRRRRI >KJB62743 pep chromosome:Graimondii2_0_v6:9:68096283:68097745:-1 gene:B456_009G4334001 transcript:KJB62743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHYRLAPKSSIELPHLVSRQITQRYHPLALRYFLINAHYRSPLKYSVIQLEGASNAIFYIYQ >KJB54461 pep chromosome:Graimondii2_0_v6:9:2624546:2626477:-1 gene:B456_009G035200 transcript:KJB54461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription activator, Gibberellin (GA)-induced stem elongatio [Source: Projected from Oryza sativa (Os02g0776900)] MMSGRNRFPFTASQYQELEHQALIFKYMVSGIPIPPDLLFTIKRSCLDTSLSSRLFSYQPQHIGWNCFQMGLGRKVDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVSNATMANPSTATQNIQSITKTHHPSSFSSHSSMSLSSESQQQHQLRYHGYHSQANHPFMYPHASRPPGVGLSPQENTTHSLLGSGSYSQTNMDYRRNSYVYGLKEEVDEHAFFSEPSGTMRSFSGSSVDDSWQLAPLTMSSSSSKQRNCSGLQSEYSYLQLQSLTDHNPKQNKQDDDEHSYIKYEMTDELEKGEPQKTVHRFFDEWPPKHRDSWLDLDDKSSNSSSVSTTRLSISIPSTSHDFPIFNSRAHNGNLFFLFSFCIYNLRHLTRFIFWPEIYSYCFNCRWLNVQW >KJB58834 pep chromosome:Graimondii2_0_v6:9:17940012:17942942:-1 gene:B456_009G228600 transcript:KJB58834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEISNRNENERLRRESIQLATVLKEMKSGLDVVTTKIQALTAKVKANNFPTTDGISYLEAKHLLLLNYCQSLVYYLLRKAKGYSIEGHPVVRSLVEIRLFLEKIRPIDKKLQYQIQKLTRVSGSATQQQGASNEASDGREKTQDPLTYRPNPDMLISKADMIPDDGTGVYRPPKFAPAVVEEDQKMSREERNALRREKETLRKASRSGFIREMMDDLEGKPEEVREIIGTESRELTRYMEKMERRAQQEEELFTRAPVTKMDKKIEKHLKKSRNGLLGLTDSFYDEIKTLPLGGDTNEQPTVFGNGSSGMGKIKKRKRKH >KJB58208 pep chromosome:Graimondii2_0_v6:9:15429256:15430033:-1 gene:B456_009G199300 transcript:KJB58208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVVSEILRSGFMINSSLRRRTHLVQSFSVVFLYCGNSNSSSSSQLQNSGSEEDLHQQNMMDQRKRKRKESNRESARRSRMRKQQHLDELVAQVAQLSKDNNQILNSINFTTHHYLNIQAENSVLRAQMMELSQRLDYLNQILSYLNTMSASELVYETDQCFETSTCDHGFTNPFNLPYLNQPIMASPDMFQYY >KJB54502 pep chromosome:Graimondii2_0_v6:9:2696217:2698029:-1 gene:B456_009G036500 transcript:KJB54502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASEIATKLNLASHPEGGFFSETFRDSSVMLSTSQLPPQYKVDRAVSTSIYFLLPAGSVSHLHRIPCAETWHFYLGEPLTVLELDEKDGQVKLTCLGPDLLNNQKVQYTVPPNVWFGAFPTKDFNISTDGAVTKNDPRDAESHYSLVGCTCAPAFQFQDFELAKRSELVTRFPKHEHLISLLTYPD >KJB54501 pep chromosome:Graimondii2_0_v6:9:2696217:2697982:-1 gene:B456_009G036500 transcript:KJB54501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASEIATKLNLASHPEGGFFSETFRDSSVMLSTSQLPPQYKVDRAVSTSIYFLLPAGSVSHLHRIPCAETWHFYLGEPLTKVQYTVPPNVWFGAFPTKDFNISTDGAVTKNDPRDAESHYSLVGCTCAPAFQFQDFELAKRSELVTRFPKHEHLISLLTYPD >KJB56818 pep chromosome:Graimondii2_0_v6:9:10310379:10310990:-1 gene:B456_009G136900 transcript:KJB56818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPGEAITSTYTSRNNFPKPPKLSNDSLHRTVSDITFQLSKEVLDNYKETAAVAVDEKQLPPISEVEDAKCECCGMSEECTPEYIERVRNKFLGKWICGLCAEAVKEEMEKNGGKIEEALSAHMNTCARFNKFGRAYPALLTAEAMREILRKSSRSEGKSLRAKSFNPRDRSPGAQKNGGIARSSSCIPAITREINDLTLSN >KJB58610 pep chromosome:Graimondii2_0_v6:9:16946967:16947935:1 gene:B456_009G217900 transcript:KJB58610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEGEQLCEAARNGDVAKAKALIESGADVSFFDSDGLTPLMHAAKLGHTDLVKALLEGGAPWNALSPSNQSAGDFAMDSGHQEAYEALLNAGIQAELILGTIARKTKKNGDFEGDYLEDRVTFSEDKLMDSDSKAVMMAWEKPLMEAHAKAVCSGGGNVLNVGFGMGLVDTAIQQYGPATHTIIEAHPEVYARMLRTGWDKKDNVKIIFGRWQDVLSQLESYDGNLRSVLPLNLYAYVYHYVCHLCYDIFSSYSVKVAS >KJB58611 pep chromosome:Graimondii2_0_v6:9:16946908:16948733:1 gene:B456_009G217900 transcript:KJB58611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEGEQLCEAARNGDVAKAKALIESGADVSFFDSDGLTPLMHAAKLGHTDLVKALLEGGAPWNALSPSNQSAGDFAMDSGHQEAYEALLNAGIQAELILGTIARKTKKNGDFEGDYLEDRVTFSEDKLMDSDSKAVMMAWEKPLMEAHAKAVCSGGGNVLNVGFGMGLVDTAIQQYGPATHTIIEAHPEVYARMLRTGWDKKDNVKIIFGRWQDVLSQLESYDGIFFDTYGEYYEDLREFHQHLPKLLKPGGIYSFFNGLCGGNAFFHVVYCHLVSLELENLGYSTQLIPLPVKDCLGEEVWRGVSQKYWQLDTYYLPVCQSIEESE >KJB55669 pep chromosome:Graimondii2_0_v6:9:6437807:6438709:-1 gene:B456_009G088000 transcript:KJB55669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIISCFNETAVNVSHPSCSSYSTNACITPSLVPSVQNAVAATYKVFLSSQNKQYLIKVSWCKNQTGQGLSINFNHDPWPCFKLNTNLRFFRKMKGSKAIESDHSNIEIFWDLSAAKYNAGPEPVNGFYVIVTVDSEIGLILGDTAEEEINKRFTSTIPVAKSSLVSRQEHCSGNTLYSTKAQFCDTGISHDILIRCSGEHGDLKHPVLSVCIDNKTVIRVKRLQWNFRGNQTIFVDGLLVDLMWDVHDWFFNPAAGSAVFMFRTRSGLDSRLWLEEKWVHKDEDKVEFSLLIYAWKNT >KJB60305 pep chromosome:Graimondii2_0_v6:9:26323135:26324022:-1 gene:B456_009G299300 transcript:KJB60305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNAYALGLTQFQGFWIPSPRVPTSSIISFQKYFQALDDDIIVASKPKAGTTWLKALVFTIVNRHSYTLSNTPLNSANPHQLIPFFEFKLYRKNPNPDLSKIPSPRLFSTHLPYQMLADSIKRSNCRIIYIIRNPFDIVVSLWHFFRFLDDSSVEDCFEMFCRGEEGYGPFWDHVLGYWNMSLEKPSKVLFLRYEELKEDPVAQTKRLAEFLGFPFSMAEKKTDLEVNKTGKMAKAILPNNLFFRSGKVVDYVNYLTPAAVKRFNNILEEKLSGSGLIFK >KJB56751 pep chromosome:Graimondii2_0_v6:9:10132681:10136075:-1 gene:B456_009G134700 transcript:KJB56751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIGVLMACPMNSYLEQQLENRFKLFRFWTVPDKSSFLASHKDSIQAVVGNAFAGADAKLIEALPKLEIVSSFSVGLDKIDLATCKEKGIRVTNTPDVLTEDVADLAIGLMLAVLRKLCESDRFVRSGKWKRGQYVLTTKFTGKRVGIIGLGRIGMAIATRAEAFSCPISYYSRTEKPEIKYKYYPSVVELAANCDILVVACALTTETHHIINREVIDALGPKGVLINIGRGPHVDEPELVSALVEHRLGGAGLDVFEHEPEVPEELFGLDNVVLLPHVGSGTVETRQAMADLVIGNLEAHFLKKPLLTPVV >KJB53526 pep chromosome:Graimondii2_0_v6:9:51073003:51079963:1 gene:B456_009G375800 transcript:KJB53526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIDAGFVKLTWLSLMILFPGVNITVGDLEERMMISGIHTVADIFCCCCGQIVGWKYEAAYEKSQKYKEGKFVLERGRIVDEIDFSSEVYIDTRPSMSDSEDA >KJB53525 pep chromosome:Graimondii2_0_v6:9:51072939:51080136:1 gene:B456_009G375800 transcript:KJB53525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVFVVELDGRSYRCGFCKTHLALPDDLVSRSFHCRRGKAYLFNNVVNITVGDLEERMMISGIHTVADIFCCCCGQIVGWKYEAAYEKSQKYKEGKFVLERGRIVDEIDFSSEVYIDTRPSMSDSEDA >KJB53527 pep chromosome:Graimondii2_0_v6:9:51079029:51079963:1 gene:B456_009G375800 transcript:KJB53527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISGIHTVADIFCCCCGQIVGWKYEAAYEKSQKYKEGKFVLERGRIVDEIDFSSEVYIDTRPSMSDSEDA >KJB61396 pep chromosome:Graimondii2_0_v6:9:46213294:46215940:1 gene:B456_009G354800 transcript:KJB61396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLINSISFLTNPSPETTRRPSGFFYQIANLHYFSLSKGFSKVLATTQITISPKDSVFTLPNWKTGKSDSKSRELRLSDAYFHMEYMVGKGQKPDVVQATQLLYDLCKVNKMKKSIRVMEMMVDSGIIPDAASYTFLVNHLCKRGNVGHAMQLVEKMEAHGYPTNTVTYNSLVRGLCMHGNLKQSLQLLDRLIQKGLVPNEFTYSFLLEAAYKEKGVNEATKLLDEIIAKGGKPNLVSYNVLLTGLCKEGRTDEAIRFFRDLPAKGFNPNVVSYNIVLRNLCYEGRWKEANELLAEMDGDDRSPSVVTYNILIGSLALHGRTYHAMDVLDEMIRGRFKVSATSYNPIIAQLCQEEKVDLVVKCLDQMIYRRCKPNEGTYNAIAVLCEQGMVQEAFSIFQSLASKQSSSPNDFYKSVISSLCRKGNTYPAFQLLYEMTKSGFTPDSYTYSSLIRGLCLEGMLQAAMQIFIVMEESNFKPDVDNFNALILGFCKSHRTDLSLKVFEMLIEKGYMPNETTYTILVEGIAHEGQMELAAQVLKELHMREVVSQHVVERLIMQYNLSAIDNF >KJB60896 pep chromosome:Graimondii2_0_v6:9:33902802:33909488:1 gene:B456_009G330200 transcript:KJB60896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFSVIPASVLRNLSDKLYEKRKNAALEIEGIVKQLASSGDHEKISAVIKLLATEFTGSPQANHRKGGLIGLAAATVGLSSEAAQHLEQIVPPVLSSFSDQDSRVRYYACEALYNIAKVVRGDFIIFFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSREIRQQADSALSEFLLEIKNSPSVDYGRMAEILVQRAAALDEFTRLTAITWINEFVKLGGDQLFPYYADILGAILPCISDKEEKIRVVARETNEALRSIEANPTENFDVGGILSIARRQLDSEWEATRIEALHWISTLLNRHRAEVLCFLNDIFDTLLKALSDSSDEVVLLVLDIHACIAQDPPHFRQLVVFLVHNFRIDHSLLERRGALIIRRLCVLLDAERVYRELSTILEGEADLDFACVMVQALNLILLTSSELSELRELLKQSLVNAAGKDLFVSLYASWCHSPMAIISLCLLAQLDKLIRLLETPVFAYLRLQLLEPRQYIWLLKALYGLLMLLPQQSSAFKVLRRRLKTVPSYSFDGGNLKRAASGNPYSQILHHSGSQITEDGDIDQDNGNLQNGINFASLQQFKQMQQQHHMLAKSRAQSRNSSTSFLKEGPK >KJB60895 pep chromosome:Graimondii2_0_v6:9:33902630:33909624:1 gene:B456_009G330200 transcript:KJB60895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFSVIPASVLRNLSDKLYEKRKNAALEIEGIVKQLASSGDHEKISAVIKLLATEFTGSPQANHRKGGLIGLAAATVGLSSEAAQHLEQIVPPVLSSFSDQDSRVRYYACEALYNIAKVVRGDFIIFFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSREIRQQADSALSEFLLEIKNSPSVDYGRMAEILVQRAAALDEFTRLTAITWINEFVKLGGDQLFPYYADILGAILPCISDKEEKIRVVARETNEALRSIEANPTENFDVGGILSIARRQLDSEWEATRIEALHWISTLLNRHRAEVLCFLNDIFDTLLKALSDSSDEVVLLVLDIHACIAQDPPHFRQLVVFLVHNFRIDHSLLERRGALIIRRLCVLLDAERVYRELSTILEGEADLDFACVMVQALNLILLTSSELSELRELLKQSLVNAAGKDLFVSLYASWCHSPMAIISLCLLAQTYQHACAVIQSLVEEDINAKFLVQLDKLIRLLETPVFAYLRLQLLEPRQYIWLLKALYGLLMLLPQQSSAFKVLRRRLKTVPSYSFDGGNLKRAASGNPYSQILHHSGSQITEDGDIDQDNGNLQNGINFASLQQFKQMQQQHHMLAKSRAQSRNSSTSFLKEGPK >KJB60894 pep chromosome:Graimondii2_0_v6:9:33902591:33911816:1 gene:B456_009G330200 transcript:KJB60894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAFSVIPASVLRNLSDKLYEKRKNAALEIEGIVKQLASSGDHEKISAVIKLLATEFTGSPQANHRKGGLIGLAAATVGLSSEAAQHLEQIVPPVLSSFSDQDSRVRYYACEALYNIAKVVRGDFIIFFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSREIRQQADSALSEFLLEIKNSPSVDYGRMAEILVQRAAALDEFTRLTAITWINEFVKLGGDQLFPYYADILGAILPCISDKEEKIRVVARETNEALRSIEANPTENFDVGGILSIARRQLDSEWEATRIEALHWISTLLNRHRAEVLCFLNDIFDTLLKALSDSSDEVVLLVLDIHACIAQDPPHFRQLVVFLVHNFRIDHSLLERRGALIIRRLCVLLDAERVYRELSTILEGEADLDFACVMVQALNLILLTSSELSELRELLKQSLVNAAGKDLFVSLYASWCHSPMAIISLCLLAQTYQHACAVIQSLVEEDINAKFLVQLDKLIRLLETPVFAYLRLQLLEPRQYIWLLKALYGLLMLLPQQSSAFKVLRRRLKTVPSYSFDGGNLKRAASGNPYSQILHHSGSQITEDGDIDQDNGNLQNGINFASLQQFKQMQQQHHMLAKSRAQSRNSSTSFLKEGPK >KJB61095 pep chromosome:Graimondii2_0_v6:9:39371463:39372902:1 gene:B456_009G340600 transcript:KJB61095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINQLVCCTRIMIQVQCRGMFSTHYHRLAVDYRNNSKVSLCHMACQVGNGVEGAEEVTFLYRLTPGACPKSYGVNVARKAGLPDSVLRTAASKSREFEAVYGKHRSKGSEDKLPMQSSLNEMVVFIRELISLTRLKTCKEATCIRPLTQLQQRARMLLHQH >KJB60998 pep chromosome:Graimondii2_0_v6:9:35412923:35414319:1 gene:B456_009G334800 transcript:KJB60998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSYSFFLFLFVVIIPTQESHIAEYDEYWTARELEAIENLDKAYHSNPEEVVRHYNDHFSRTMLEFYITKRVLAESKKGPCEVTNHVDSCWRCDPDWEKNRKKLANCAPGFARGTTGGKDGEFYVVTDPIDNAADPKSGTLRHAVTQTRPLWITFKGSMTIKLQQELIVTSDKTIDARGANVEICNGAGITIQFAKNIIIHSLQIHHIIPTKGGKIKDGENHHGLRGDSDGDGVSLFGATNVWLDHHALHHCTDGLIDVVQGSTAVTVSNCHFTDHNDVMLFGASDSYSADKKMQVTVALNHFGKGLVERMPRCRFGFIHVVNNDYNHWFLYAIGGTSNPTIISQGNRYSAPGFGAKEVTCRGLLKPGQWKNWSWVSQGDHFENGAFFTPSGNPSAGKQFGADKMMPFKPGQMVPELTKYAGPLSCTIGRPC >KJB61589 pep chromosome:Graimondii2_0_v6:9:49558887:49562189:-1 gene:B456_009G368400 transcript:KJB61589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPKFFHARTSPPATPHTRSTLLIFAFLLTFAILSFLFALSSFLSSGGSGYRCRNSDPRSVRVIWDKTGHGNNGRSAAGDDDGTKRHKIMGFVGIQTGFGSTGRRQSLRKTWMPSDRQGLQRLEEATGLAFRFVIGRTNDKSKMAELKKEVAEYDDFLLLDIEEEYSKLPYKTLAFFKAAYALFDSEFYVKADDDIYLRPDRLSLLLVKERSHSQTYLGCMKKGPVFTDPKLKWYEPLSFLLGKEYFLHAYGPIYALSADVVASLVALRNDSFRMFSNEDVTIGAWMLAMNVNHEDNRALCEPECTQSSVAVWDIPKCSGLCNPETKMLELHQIDACSKSPTLPSDDD >KJB61588 pep chromosome:Graimondii2_0_v6:9:49558887:49562175:-1 gene:B456_009G368400 transcript:KJB61588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPKFFHARTSPPATPHTRSTLLIFAFLLTFAILSFLFALSSFLSSGGSGYRCRNSDPRSVRVIWDKTGHGNNGRSAAGDDDGTKRHKIMGFVGIQTGFGSTGRRQSLRKTWMPSDRQGLQRLAFFKAAYALFDSEFYVKADDDIYLRPDRLSLLLVKERSHSQTYLGCMKKGPVFTDPKLKWYEPLSFLLGKEYFLHAYGPIYALSADVVASLVALRNDSFRMFSNEDVTIGAWMLAMNVNHEDNRALCEPECTQSSVAVWDIPKCSGLCNPETKMLELHQIDACSKSPTLPSDDD >KJB57894 pep chromosome:Graimondii2_0_v6:9:14263582:14265075:1 gene:B456_009G185400 transcript:KJB57894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICGHHLSKFPLVAERLARLNFFLLSARHPTHHAKSFVTKPASSSYTRECNVRINEFGHRGSVEEAKKLFDKMPHSLKDAASYVSMINVYLKNDDLPKAETLFHSLPYRNIFAESAMIQGYVMAVVYLKLVDCSMTCRAGLMEKEQKLFDSIHAFGLQPKAKHYSCLVGILGRAGQLDKAMSVVKKMPPSKRDGSFLGALLAARRAHGGHKIANHISKQLIELEPTDSGVYVLLADVYAAHEKWDEFAQVRKMMRERKLKKVASFSQVEVKGKNHVFFVEDRSDPQVEGIHEMLRLMHYHLLRPRWVTLDEIEHLNVSLLTQLLDLQGAEEKCSQNSLTVGIL >KJB57396 pep chromosome:Graimondii2_0_v6:9:12413599:12415552:1 gene:B456_009G161600 transcript:KJB57396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSKVAKKETSAGSGLLLGRYEVGKLLGHGTFAKVYYARNVKTDESVAIKVLDKEKILKGGLIAHIKREIAILRRVRHPNIVQLFEVMATKTKIYFVMEYVHGGQLFSKVAKGRLKEDVARKYFQQLISAVNFCHARGVYHRDLKPENLLLDEKGDLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLGRKGYDAAKVDIWSCGVILFVLMAGYLPFHDHNIMAMYKKIYKGEFRCPKWFSPDLLRLLTKLLDTNPDKRITISEIMENRWFKKGFKHIKFYIEDDKVCSVEDGDDNLGSCSDHSTHSESDAELETRRKVTTSLPRPASLNAFDIISFSPGFNLSGLFEEGEEGARFVSGAPVTKIISKLEEIAKLVSFTVRKKDCRVNLEGSREGAKGPLAIAAEIFELTPSLVVVEVKKRGGDRGEYEEFCNKELKPGIENLTRDQSTSDAPVPAPTPAPAPAAYLPSDTE >KJB61595 pep chromosome:Graimondii2_0_v6:9:49629705:49630584:-1 gene:B456_009G368800 transcript:KJB61595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTHTIFNGIPQPSRLPVNRNGTRFITKASKRKENGGLTSRNQQLPKFQLKVSNTVIARSVVVLFGLGFIDAGYSGDWSRIGVISKDVEDLLKIAAFLVVPFCIFLVSSFSKQTPDT >KJB53826 pep chromosome:Graimondii2_0_v6:9:572162:573751:1 gene:B456_009G006700 transcript:KJB53826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB36 [Source:Projected from Arabidopsis thaliana (AT5G57620) UniProtKB/Swiss-Prot;Acc:Q9FKL2] MGRAPCCDKANVKKGPWSPEEDAKLKAYIEQYGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICNLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGRRKQPSNIHRLSNQDPNDPHQPTGSDDNQFSQGLSNSALERLQLHMQLQTLQNPFSFYNNPALWPKIHPLQEKMIQNMQASNGNPNLLMQPLLPPNPQPANEQSTVHQQDYPEISNTKGLEGLDNCLDGISPSDGSVPFGNGDNLMDSTSTAAAVQPVSSFQVELDVFLNNKSSTTGGYPQEDQMVNQLDCFRDINGSKDSLIWWPTDFDAKSASSNSWDSTSVLQSNGMFQDFELGYPM >KJB62156 pep chromosome:Graimondii2_0_v6:9:58693513:58695467:1 gene:B456_009G403300 transcript:KJB62156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLFATAAEKIGQPALRRQVLTLTDAAASRIHHLLQQRQRSFLRLGVKARGCNGLSYTLNYADEKGKFDELVEDKGVKILIDPKALMHVIGTKMDFVDDKLRSEFIFINPNSKGQCGCGESFMTTTGSTAKQGSN >KJB58602 pep chromosome:Graimondii2_0_v6:9:23200919:23202422:-1 gene:B456_009G276700 transcript:KJB58602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPDSTAATKSHQVGDTSAKLNNLTHRIWPPTQRTRDAVINRLIETLSSSQSLISKRYGTIPKEEASAAAVSIEQEAFSLAGASFSGEDDNGMEILQVYSKEMSKRMLDTVKARAASTTSTAAPSDSAQVDSNNAVSAGGEEFISSSTKAEA >KJB59650 pep chromosome:Graimondii2_0_v6:9:22019548:22026220:1 gene:B456_009G265700 transcript:KJB59650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFAVKAWSNGGARAGILHLGTSTIDTPSLLLSTRKGLPHFISPDLLSCLPSPESRLLHVSPLHFLEGLSMKTISKIGGLHQLLGLHDHCFVAVPRDSIQCLPEASSTNKIGASFETPCGRLLIKPVEYMEMISSTRPELWATLADEVPAWVSDKRNKTSVDRTIKWLDECISLSPASGAVFGAIVGGSSLEERRRCAQEVATRNVSGYWVGGLGLGESMDERPALLNAVIEALPEEKPRLICGLGLPEEILQGIAAGVDLFESTYIYHLTLGGFALTFPLDRTQINALNLAPSDVGSDPRKINLRATVFRKDTMPIVEGCTCYTCRNHTRAYINHLLNVHEMLAQILLEIHNTHHFLGFFRLIREAINAGRFEEFRKEFVQRWR >KJB62957 pep chromosome:Graimondii2_0_v6:9:69669312:69672491:1 gene:B456_009G445600 transcript:KJB62957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKAELIFIKIFLALFALNSLSLYGYFSSSHPNHHHHHLAVNHRNTTAAEGFPKKIPHRKPHLSNPARPIIPSKPWPTIPSYLPWSFSPTVALNSCEAYFGNGFTKISNILPVKAALRSGSSWFRCRYSETLRTSICEGGKIRMDPGKIKMSGGGEKLEDVIGRSEGKELPEFEDGAFVVESRLKRRKLVGYKFLHKILPENVTRHPIRESVRSIVIVGQNDFDCQEWVEEPTLLVTRFEYANLYHTVTDWYSAYMSSRVTGLPNRPHLVFVDGHCKTQLEETWKALFSSLRFAKNFTGPVCFRHAIFSPLGYETPLFRGLSEEINCRGVSAHDLQQNPDIHKTARVSEFGEMIRAAFGFPVNRHHAGKAASGHNVLFVRREDYLAHPRHEGKVESRLTNEQHVFDSLQSWASDHLKCKVNLINGLLAHMPMKEQIRAIQDASVIIGAHGAGLAHIVSATPNTVILEIIGCEFKRPHFRLIAQWKGLEYHAIYLYGSYANPRAVILRLNKIIKSLGC >KJB60753 pep chromosome:Graimondii2_0_v6:9:32061927:32064849:1 gene:B456_009G324100 transcript:KJB60753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENRSCKSCLVLASSSQQETDWPYMFSGKRPLPLEISGSDACHQETKLPKLFSNDTSRYESSSDLDVLIQPIGRDNSINCLIRCSRSYYGSIASLNRSFRSVIRSGEIYKSRRQKGVTEHWVYFSCDLLQWEAFDPIQCKWMHLPPMPPNGCFIFGDKESLAVGTELLVFGKELNSQVIYRYSILTNSWTFGTSMNSPRCLFGSASLGEIAILAGGCDSQGNILSTAEMYNSETQKWETLPSMNTPRKMCSGVFMDKKFYVIGGTGGVGNDARVLTCGEEYDLELKKWTKIPNMSVAAGEAEKPVAPGAPPLVAVVNNELYAADHADMEVKKYDKEKKSWLTIGRLPERAVSMNGWGLAFRACGDRLIVIGGQTASGGSFIELNSWVPSEGPPQWNLLARKRSGNFVYNCAVMGC >KJB56227 pep chromosome:Graimondii2_0_v6:9:8128533:8130756:1 gene:B456_009G111300 transcript:KJB56227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGERMAKRSSLGSLMRKRLSDITNTQSQPKPSNQEEKPQQIPPAAEDYINQLIKEKMTLIELVEERNKIIELSGTELQNLRTSLQKLQLQNWNLAQSNSQILAELNLGRDRVKALQHELVCKDALLKAKNLERKGKADINGQNTDHAAEDDDKACTRNRRRNARSQSMGPLTTSRRSGDKEKAENKRRCLRRRTARFKSQEAENLFEIDDLDYVGAQPDTPMHELAASSLSMEEACSNPRAENRSSIAARPLPLRKAVEKVQSYKEVPLNIKMRRNE >KJB58560 pep chromosome:Graimondii2_0_v6:9:16715791:16716628:1 gene:B456_009G215000 transcript:KJB58560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGVAPGLLVVFHNSSELVSFFRIGISWILSLFSLKPLFSWWFDFGASQDLAGLAFRPSLVSLHQTFFWWAILPMFGFLVSIVAIWTSLSGFTMACMPFGQLR >KJB58623 pep chromosome:Graimondii2_0_v6:9:17005851:17006681:-1 gene:B456_009G218900 transcript:KJB58623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEALNSPTTTATPPFHFEDTTNLHCLESFTKRKRSKRPRFDHVTTEEEYLALCLIMLARGGDANSTNTSVIPHRHRSPTPTASPPPAPAPAPAPAPAPTSTVQNVSYKCSVCNKSFNSYQALGGHKASHRKLSGGNDDQSTSTTTSATAGGVISSALNPSGKTHQCSICHKNFPTGQALGGHKRCHYEGGAGNNASATASASGVTTSEGVGSTNTMSHISQRIDFDLNLPALPEFSPANFFVSGGDDEVESPHPAKKPRLLVRMPPKMQVNETN >KJB58622 pep chromosome:Graimondii2_0_v6:9:17005072:17006681:-1 gene:B456_009G218900 transcript:KJB58622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEALNSPTTTATPPFHFEDTTNLHCLESFTKRKRSKRPRFDHVTTEEEYLALCLIMLARGGDANSTNTSVIPHRHRSPTPTASPPPAPAPAPAPAPAPTSTVQNVSYKCSVCNKSFNSYQALGGHKASHRKLSGGNDDQSTSTTTSATAGGVISSALNPSGKTHQCSICHKNFPTGQALGGHKRCHYEGGAGNNASATASASGVTTSEGVGSTNTMSHISQRIDFDLNLPALPEFSPANFFVSGGDDEVESPHPAKKPRLLVRMPPKIERAQ >KJB62635 pep chromosome:Graimondii2_0_v6:9:66893891:66896545:-1 gene:B456_009G427200 transcript:KJB62635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVECVFGFKRNCSGEWRQINNVLSSNTLSYVFFLKYLYSCLSDRSPTDVVVVWLIKDYGLTSEECVISLKKRNGRSFVKKLTATQMC >KJB56570 pep chromosome:Graimondii2_0_v6:9:9458352:9460474:1 gene:B456_009G125600 transcript:KJB56570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPEECWESIFSFLEHHRYFEPLSLVCKRFLSITNHLRRSLTITDPTLESLPHLLLRFPNLTTIAFRDFHGNLDSVLSLISQSGLPLTSLDVSCHKCFPLLGLKCLASKIRILTELNCSNIGSLKDSDLIEIGNCFPSLEVVDISYPDHGCGFSPNGSLDSKSFSGLVTDYGILGLASSLTRLRKIDLSGNTFITDQALVSLSSNCMFLTEIGIRDCDFITQNGIALAIRKTGNLKSIFMNGIGIPSIDVCFLDSFSYARSLCELDLSNSFISDELLCLVAEANLPLNKLVLSRCFCFTFDGIYFLLSKYQFLAYLDLEGANFLNDESIIELAKFFGNLTFINLSLCSKLTNSTLFNLTGNCPLLTIINMERTNLGVEAFPAEIVVNPRVKSLHLGWNNNLNDECMKMASYVCPNLEVLDVTYCSGITEEGILEVLKSCVQIRCLEISRCQGVNNLELDFELPKLEVLLAEGLAINDEALASIGKRCGRLSRLNLEGCLNVTARGVEGVIVNCKALKEMNLRWCNNVSVDIVAWMVLSRPSLRKITLPCGSVPTANQRNFFLRHGCLVCQG >KJB57487 pep chromosome:Graimondii2_0_v6:9:12777918:12778931:-1 gene:B456_009G166400 transcript:KJB57487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTDDIAYDSILVLLSISLFILGIVLIIIICRKKPQKPDQKQLELPAKFCASSYQLTDIDAATDGFNPRRIVGKGRLGTVYAAISPSGELVAVKRIHPRLVLSNAGFGFASIIKTLSLAQHPNVVPIIGYSQAPGERIIVMEFVGMVNLDFYLHENSDGASLLDWNRRLRVAAGVARGIEYLHQGIAPNIVHGALKASNILLDVKIVAKLCDYGLSFLAPKEMTGLVGHVDDEYWAEKGGGACKESDVYGFGVVLLELLTGRRSEQGLLVRWALPLIKAKRFSELLDPRLVIPSDIKPVLRLAKVASACVGNYRKDRPSISQVASILNNLEIEVCH >KJB58604 pep chromosome:Graimondii2_0_v6:9:16917942:16920043:1 gene:B456_009G217600 transcript:KJB58604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWAGAIGAAKKKFEEDEAPKSLKSVGLIIGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRPRPNWNANHPIQYIQCDVSDPNDTESKLSQLTDVTHIFYVSWTNRTSEAENCEINGSMLRNVLRSVIPNAPNLRHICLQTGVKHYLGPFELLGKIQLHDSPFTEDLPRLNAPNFYYHQEDILFEEIEKKEGLTWSVHRPAVIFGFSPYSLMNLIGSLCVYAAICKHEGKPLHFPGSKATWNCYFEASDADLIAEQHIWAAVDPYAENEAFNVANGDVFKWKHLWSVLAEQFGIEEFGFVEGENRGLEEMMKGKEGVWEEIVKENQLQKTSLQEVGNWWFTDAMLSAPLPMPLVSMNKSKEHGFLGFRNSQNSFVTWIDKMKAYKIVP >KJB62127 pep chromosome:Graimondii2_0_v6:9:58266997:58268761:-1 gene:B456_009G402000 transcript:KJB62127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMASQLSIVFSLSLLPGIASAIDVFGGMEFSYLGKDGPDRWGMLEPKFAPCSIGKRQSPINIQRNLTVHNKLLKPLTRNYNSVNATLFNKGYSVGVRFEEYPGDLWINGKNYSLKQFHWHLPAEHQIEGQQFAAELHLVHQAAVDGSFAVIAALYQESNADPLICRIIEGLKHLGGENTTVPLGILNINELNRRSRKYYTYVGSLTTPPCSENVIWIILGKVYLFLQCWKKKKV >KJB62129 pep chromosome:Graimondii2_0_v6:9:58267556:58268761:-1 gene:B456_009G402000 transcript:KJB62129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMASQLSIVFSLSLLPGIASAIDVFGGMEFSYLGKDGPDRWGMLEPKFAPCSIGKRQSPINIQRNLTVHNKLLKPLTRNYNSVNATLFNKGYSVGVRFEEYPGDLWINGKNYSLKQFHWHLPAEHQIEGQQFAAELHLVHQAAVDGSFAVIAALYQESNADPLICRVKLVKMSLLDIILGYQSFT >KJB62126 pep chromosome:Graimondii2_0_v6:9:58266997:58268616:-1 gene:B456_009G402000 transcript:KJB62126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMASQLSIVFSLSLLPGIASAIDVFGGMEFSYLGKDGPDRWGMLEPKFAPCSIGKRQSPINIQRNLTVHNKLLKPLTRNYNSVNATLFNKGYSVGGIQVRFEEYPGDLWINGKNYSLKQFHWHLPAEHQIEGQQFAAELHLVHQAAVDGSFAVIAALYQESNADPLICRIIEGLKHLGGENTTVPLGILNINELNRRSRKYYTYVGSLTTPPCSENVIWIILGKVMSISKEQIIALDIPLNSDCKKNARPCQPLNGREVDMYDELSC >KJB62128 pep chromosome:Graimondii2_0_v6:9:58266997:58268764:-1 gene:B456_009G402000 transcript:KJB62128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMASQLSIVFSLSLLPGIASAIDVFGGMEFSYLGKDGPDRWGMLEPKFAPCSIGKRQSPINIQRNLTVHNKLLKPLTRNYNSVNATLFNKGYSVGVRFEEYPGDLWINGKNYSLKQFHWHLPAEHQIEGQQFAAELHLVHQAAVDGSFAVIAALYQESNADPLICRIIEGLKHLGGENTTVPLGILNINELNRRSRKYYTYVGSLTTPPCSENVIWIILGKVMSISKEQIIALDIPLNSDCKKNARPCQPLNGREVDMYDELSC >KJB55305 pep chromosome:Graimondii2_0_v6:9:4974270:4980155:-1 gene:B456_009G070300 transcript:KJB55305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FISTLFLSPPSALSLLLRTLASHILSLLFLSLGFRCSANGVWWWTQESEARGCLERQWRLQEIQASTSGCQFGESCHFLHYVPGGIKAVSQMLGNNPALPAAARNSVVPPSFPDGSSPPAVKTRLCSKFSTPEGCKFGDKCHFAHGEWELGKRTGPAYEDPRSMGPMPGRMAGRLEPPSPGFGAAASFGASATAKISIDASLAGAIIGKNGVNSKHICRVTGAKLSIRENESDPSSRNIELEGTFDQIKQASAMVHELIINVGSASGSSMKNTSKSGSGSGNNFKTKLCENFSKGSCTFGERCHFAHGTEELRKPGM >KJB55304 pep chromosome:Graimondii2_0_v6:9:4974623:4979943:-1 gene:B456_009G070300 transcript:KJB55304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGGGRKRVRPEAALNGNGGFKKSKQEMESFPTGIGSKSKPCTKFFSTSGCQFGESCHFLHYVPGGIKAVSQMLGNNPALPAAARNSVVPPSFPDGSSPPAVKTRLCSKFSTPEGCKFGDKCHFAHGEWELGKRTGPAYEDPRSMGPMPGRMAGRLEPPSPGFGAAASFGASATAKISIDASLAGAIIGKNGVNSKHICRVTGAKLSIRENESDPSSRNIELEGTFDQIKQASAMVHELIINVGSASGSSMKNTSKSGSGSGNNFKTKLCENFSKGSCTFGERCHFAHGTEELRKPGM >KJB61864 pep chromosome:Graimondii2_0_v6:9:52486525:52489456:1 gene:B456_009G387100 transcript:KJB61864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYFPHLKGRFYRILGIYLQSSCIISCSFAILISILWFFTEPILIFLQQDAEISKMAAFYIKYLIPGLFAYGLVQNILRFLQSQSILMPLVWFSVLLLALHLGIVYTLVNWTDLGFKGAPLAASISLWISLVLLSSYVVLAQRFEETWPGLSSETFRLVFANLKFGIPSAAMVCNLEYWAFELLVLLAGLMPNSKVTTSLIAMCVNTKSIAYMITHGLSAAASTRVSNELGAENPRKAKTAMAVSLKLSILLACISIWA >KJB54743 pep chromosome:Graimondii2_0_v6:9:3415695:3417749:-1 gene:B456_009G047300 transcript:KJB54743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLLKIAADLQNLTNLQPQGGCDDPSFSYLFKLKCENCGEVSPRETCVSLGDTVPLPRGKGTTNLIQKCKLCLRDGTVTVIPGRGKPLTQEESEAENYAPLMLFDCRGYEPIDYVFGGGWKVESVSPCSSFSTLHGTCPF >KJB54742 pep chromosome:Graimondii2_0_v6:9:3415082:3417812:-1 gene:B456_009G047300 transcript:KJB54742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLLKIAADLQNLTNLQPQGGCDDPSFSYLFKLKCENCGEVSPRETCVSLGDTVPLPRGKGTTNLIQKCKLCLRDGTVTVIPGRGKPLTQEESEAENYAPLMLFDCRGYEPIDYVFGGGWKVESLEGTKFEGVDLSGGDFAEYDEEGEYVVKISNLRSTFDVVK >KJB54741 pep chromosome:Graimondii2_0_v6:9:3415547:3417583:-1 gene:B456_009G047300 transcript:KJB54741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLLKIAADLQNLTNLQPQGGCDDPSFSYLFKLKCENCGEVSPRETCVSLGDTVPLPRGKGTTNLIQKCKLCLRDGTVTVIPGRGKPLTQEESEAENYAPLMLFDCRGYEPIDYVFGGGWKVESVI >KJB60224 pep chromosome:Graimondii2_0_v6:9:25739756:25741294:-1 gene:B456_009G295200 transcript:KJB60224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSRFSQTLLLLVVFWGITSSSNAQLSTDYYSESCPNLFSTVKFTVHSAIMNEARMGASLLRLFFHDCFVNGCDGSLLLDDTSSFTGEKNAVPNRNSARGFDVIDDIKSAVENVCPGVVSCADILAIAARDSVKILGGPNWDVKLGRRDARSASQAAANNGIPAPTSNLNRLISRFNALGLSTGDLVALSGAHTIGQARCTSFRARIYNESNIDLSFAKTMQSNCPRSSGSGDNNLSPLDIQTPTYFDNKYFNNLIGKRGLLHSDQELFNGGSTDSIVRAYSKNPSSFSSDFVTAMIKMGDISPLTGSKGEIRKNCRRVN >KJB58558 pep chromosome:Graimondii2_0_v6:9:16712504:16715629:1 gene:B456_009G214900 transcript:KJB58558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQRSTMAMLLLMMFTLSSALDMSIISYDEGHPDKSKSSWRTDDEVMVMYEEWLVKHGKAYNGLGEKERRFKIFKDNLRFIDEHNVDESHSFKVGLNRFADLTNDEYRAMYLGTKKSSNKVSKKSNRYAPRVGEELPASIDWREKGAVVPVKDQGRINQIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFEFIIKNGGIDTEEDYPYTGHDGRCDSYRQKTAKVVTIDSYEDVPQNNEGALKKALANQPVSVAIEAGGRAFQLYASGIFNGICGTQLDHGVVAVGYGTENGKDYWIVRNSWGNQWGEEGYIRMERNLANTATGKCGIAIEASYPIKNGQNPPNPGPSPPSPIKPPTVCDNYYSCPESNTCCCVYEYYGYCFAWGCCPLEAATCCDDHYSCCPHDYPICNLNEGTCLMSKGNPMAVKALRRTPATPFWAHGSVGVKNNA >KJB58557 pep chromosome:Graimondii2_0_v6:9:16712297:16715744:1 gene:B456_009G214900 transcript:KJB58557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQRSTMAMLLLMMFTLSSALDMSIISYDEGHPDKSKSSWRTDDEVMVMYEEWLVKHGKAYNGLGEKERRFKIFKDNLRFIDEHNVDESHSFKVGLNRFADLTNDEYRAMYLGTKKSSNKVSKKSNRYAPRVGEELPASIDWREKGAVVPVKDQGSCGSCWAFSTVGAVEGINQIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFEFIIKNGGIDTEEDYPYTGHDGRCDSYRQKTAKVVTIDSYEDVPQNNEGALKKALANQPVSVAIEAGGRAFQLYASGIFNGICGTQLDHGVVAVGYGTENGKDYWIVRNSWGNQWGEEGYIRMERNLANTATGKCGIAIEASYPIKNGQNPPNPGPSPPSPIKPPTVCDNYYSCPESNTCCCVYEYYGYCFAWGCCPLEAATCCDDHYSCCPHDYPICNLNEGTCLMSKGNPMAVKALRRTPATPFWAHGSVGVKNNA >KJB58559 pep chromosome:Graimondii2_0_v6:9:16712621:16714987:1 gene:B456_009G214900 transcript:KJB58559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQRSTMAMLLLMMFTLSSALDMSIISYDEGHPDKSKSSWRTDDEVMVMYEEWLVKHGKAYNGLGEKERRFKIFKDNLRFIDEHNVDESHSFKVGLNRFADLTNDEYRAMYLGTKKSSNKVSKKSNRYAPRVGEELPASIDWREKGAVVPVKDQGSCGSCWAFSTVGAVEGINQIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFEFIIKNGGIDTEEDYPYTGHDGRCDSYRQKTAKVVTIDSYEDVPQNNEGALKKALANQPVSVAIEAGGRAFQLYASGIFNGICGTQLDHGVVAVGYGTENGKDYWIVRNSWGNQWGEEGYIRMERNLANTATGKCGIAIEASYPIKNGQNPPNPGPSPPSPIKPPTVCDNYYSCPESNTCCCVYEYYGYCFAWGCCPLEAATCCDDHYSCCPHDYPICNLNEGTCLMV >KJB59074 pep chromosome:Graimondii2_0_v6:9:18825626:18827807:1 gene:B456_009G2377001 transcript:KJB59074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PIPKDLKNCSSLKRVRLERNRLTGNISEDFGVYSSLNFISLSDNDFYGEISLQWASCNNLSSLQIARNNITGRIPPELGNSAQLQALDLSSNHLVGEIPKELTKLTSLTRLILSGNQLSGGIPMEVGSFSQLEYLDLSANRLSRSIPETIGDMLKLYYLNLSSNNFSLGIPRQIGKLVQVNELDLSHNMLSGEIPTQFQSLQSLSTLNLSYNNLSGSITIFNELRGLVQVDIAHNELEGPIPDVPAFQNASIQALEGNRGLCGNVSGLKPCKLSKNGHHKLLYAIMLPLLGAAILSIAILALFFGFKKKGKDADEERESTKTDENLFAISSVDGRLLYAEIISATKNFNSQCCISKGEYGNVYRVELSSGDIVAVKKVLPLHADEVSTAKEFQNEVMALIDIQHGNIVKFYGFCCSAEQTFLVYKYLEKGSLATNLSNDETAKELDWDKRLNIINGVAYALSYLHHDCSPPIVHRDLTSNNVLLDLEFEAHISGFGMAKLLNPDSSNWTNLAGTYGYVAPELAYTMKVTEKCDVYSFGVLILEVIVGAHPGDLIATLPSSSLEMRLLVKDVLDQKPLPPSAYIQDKLVSLMKIAFICLADNPHSRPTMCAVSQLLAS >KJB55389 pep chromosome:Graimondii2_0_v6:9:13679868:13681751:1 gene:B456_009G176800 transcript:KJB55389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKMKGIYKSFKFISQIFVVKEREMEIGYPTDVKHVTHIGWDGSSGTAPSWMNEFKTDPDFTATSIGNSRDSNPTWSSQDFEQSMGCQPATEMMTNLSSTDLPDIPKKQKRKKKTSSSSKSSRTSKTRAAYTQMGSSTQLQI >KJB55388 pep chromosome:Graimondii2_0_v6:9:13679451:13681848:1 gene:B456_009G176800 transcript:KJB55388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKMKGIYKSFKFISQIFVVKEREMEIGYPTDVKHVTHIGWDGSSGTAPSWMNEFKTDPDFTATSIGNSRDSNPTWSSQDFEQSMGCQPATEMMTNLSSTDLPDIPKKQKRKKKTSSSSKSSRTSKTRAAYTQMGSSTQLQI >KJB59475 pep chromosome:Graimondii2_0_v6:9:21278175:21280186:-1 gene:B456_009G258200 transcript:KJB59475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEMGQEVSNWASYYICFSKAALFISVLYTKCSNWKSYRLPIAAAIVNAQEGASLLVAAIVAYVADERLGRFKVVGYTTAAFITRLVILCFEYKDSRIFYVALLLVTLGKGGRSPTLKAFLKDQFGRNQNSQVAEAEYQNREKAEARHHRLSLKKPILISSIITGTASLFFVQGIRCYSKKPPERKITLQIMNGWRRLVPFWITLLVYCLFLNNLVTAGLVDFVTSKLCNKNQRKRAFVMRITLGMLVSFLCCITAWKVEVRRLKLIKEYGIPKSEVEKIPMSILWLTPQYLLLGIMSGLVEAGMEGCFYNLVPGSMKVYELLFKEIVMGMGKFLSILTIYAFRGWFGDESSTSHLDRYFLMLAMISLGSLAFFSVAAYACYWKIAPEEDVVGSNMEMEEGLAQATPASSSGLDVI >KJB61662 pep chromosome:Graimondii2_0_v6:9:50675512:50677976:-1 gene:B456_009G3738001 transcript:KJB61662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGFCEAALSNTVGTLVVDCVVKPVGRQLDYVRCFHDNVEKLREKKRELADARVRLLHKIEDAKNRLLLIENDVQNLQSRADETLSDMGTLEEEIQLNKRCLNWCPNWSWRYQLSKKAMKKIQDISELLDKFGQLGPVGYPAPTALPTIDFLCSKEFVFSKSSETAFYQIIEALKDENINMIGLWGMGGVGKTTLAREVGSQAQKLKLFDKVVITVVSQKPNFEKIEDEIAQYIGFDMKNEQGRRSEQELWLRLKNEPRILIILDDIWESINLKEKIGIPIGDDHKGCKVLLTTRRQQVCQAMDCQNLVQLGCLNDDEARTLFEKKAGLDDFSDDSIKIRANQIGKKCGGLPIAIVPLGSALKGKTHYEWQAAYRRLKDRRLTEIEDVNEENAYVCLEASFDYLKNMETKTYACKQLLEDVESLELGYVEGHPNLIPSLDLGFRKLTSLDLRGCQSMQCLIDASKQQVPITALSNLRKLSLSRMFHLEEMCNAPQPQGFLQKLEEVIVSGCSEMQVLFPIAELRSIEQEGPSRYLSLQSLKIVKIWRSNNLKYIFPMSVANSLGQLHTLKIESCSQLEDIIQDRQVAYKCLLQSLRE >KJB61663 pep chromosome:Graimondii2_0_v6:9:50675512:50678055:-1 gene:B456_009G3738001 transcript:KJB61663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGFCEAALSNTVGTLVVDCVVKPVGRQLDYVRCFHDNVEKLREKKRELADARVRLLHKIEDAKNRLLLIENDVQNLQSRADETLSDMGTLEEEIQLNKRCLNWCPNWSWRYQLSKKAMKKIQDISELLDKFGQLGPVGYPAPTALPTIDFLCSKEFVFSKSSETAFYQIIEALKDENINMIGLWGMGGVGKTTLAREVGSQAQKLKLFDKVVITVVSQKPNFEKIEDEIAQYIGFDMKNEQGRRSEQELWLRLKNEPRILIILDDIWESINLKEKIGIPIGDDHKGCKVLLTTRRQQVCQAMDCQNLVQLGCLNDDEARTLFEKKAGLDDFSDDSIKIRANQIGKKCGGLPIAIVPLGSALKGKTHYEWQAAYRRLKDRRLTEIEDVNEENAYVCLEASFDYLKNMETKTYACKQLLEDVESLELGYVEGHPNLIPSLDLGFRKLTSLDLRGCQSMQCLIDASKQQVPITALSNLRKLSLSRMFHLEEMCNAPQPQGFLQKLEEVIVSGCSEMQVLFPIAELRSIEQEGPSRYLSLQSLKIVKIWRSNNLKYIFPMSVANSLGQLHTLKIESCSQLEDIIQDRQVAYKCLLQSLRE >KJB60077 pep chromosome:Graimondii2_0_v6:9:24719412:24722857:1 gene:B456_009G288400 transcript:KJB60077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLVVEVVDAYDLMPKDDQGSSSPFVEVEFDGQRQRTQTKHKDLNPSWHESLVFDISQPGDLEYKTIDVTVYNDRKGNHGHHRNFLGRVKISGASVPSSESGSSVQHYPLDKRGLFSNIKGEIALKLYQVCDELPREQVQRAAPASVVAENEETGRFQESQFNETPFQEINGGRVQESQFQETPFQEINNVNNFDEEIKVDEKKKKKKKKEPEVRTFHSIGKEPEVRTFHSVGTGTGGPPPAPPPMKEKPPAVEIRADFAKAAAPAASVMHMQMPRQNPDYLLVETRPPVAARLRYRGGDKTLTTYDLVEQMHYLYVNVVKAKDLPVMDMSGSLDPYVEVKLGNYKGQTKHLEKNQNPVWHQIFAFSKERVQSNLLEVVVKDKDFGKDDFVGKIVFDVMEIPLRVPPDSPLAPQWYRLADKKGDKVKGEIMLAVWMGTQADESFPEAWHSDAHNISHSNLANTRSKVYFSPKLYYLRVHVMEAQDLVPHDKGRLPDPYVKVVLGNQIRPTKVIQRTIHPVWDDQLMFVASEPFEDYIIVSVDDRIGPGKDEILGRAMIPVREVPQRLETGKPPDPRWFNLLKPSKAEEEGEKKKEKFSSKILLRIFLEAGYHVLDESTHFSSDLQPSSKFLRKQSIGILELGILSAKNLQPMKMKDGKLTDAYCVAKYGNKWVRTRTLLDTLSPRWNEQYTWEVHDPCTVITIGVFDNSHTNGSKDDARDQRIGKVRVRLSTLEIDRVYTHYYPLLVLTPGGLKKNGELQLALRFTCTAWVNMVAQYGRPLLPKMHYVQPIPVMNIDWLRHQAMQIVAARLQRAEPPLRREVVEYMLDVDYHMWSLRRSKANFNRIMSLLSGVTAICKWFNDICYWRNPITTCLTKTSPTYGCSAFTSGSYTPR >KJB61970 pep chromosome:Graimondii2_0_v6:9:54566951:54567498:-1 gene:B456_009G395000 transcript:KJB61970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLSFPIHLFRGIYRSILILYFSIDSFLIKMYRSVNLCVYIDPVHGLTKMCKSSICLRNSMSLFLLCMASPLLLVASLNSELNLKSIFRPGHFQDAPNNQRMASGFPCVDPISMET >KJB54162 pep chromosome:Graimondii2_0_v6:9:1813307:1817070:-1 gene:B456_009G023700 transcript:KJB54162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKSNIINFHSFHLSLLFLFVLFCSSEQHSITSHGGLTDKEVSYIKQRQLLYYRDEFGDRGEKVTVDPSLVFENPRQRNAYIALQAWKQAILSDPFNLTGNWVGSSVCDYTGVYCAPAPDNKRIKTVAGIDLNHGDIAGYLPEELGLLTDLALFHINSNRFCGTVPHKFIKLKRLFELDLSNNRFAGKFPEVVLKLPSLKFLDLRFNEFEGTVPKELFDKDLDAIFINHNRFRFNLPDNFGNSPVSVIVLANNMFHGCVPSSLGNMTGLEEIIMMNNGLRSCLPEEVGNLKNLTVFDVSFNELMGPLPDQIGELVSLEQLNVAHNMLSGKIPASICQLPKLQNFTFSYNFFTGEPPVCLNLRAFDDRRNCLPARPLQRSAAQCKSFLSRPVDCNSFKCAPFVPSLPSPPPPSPPIPVPSPPVALPPPSLPPPPPPISSPPLPPPPPPSPPPPVFSPPPPPPPVFSPPPPPPSPPPPSPPPPPPPVYSPPPPPPPPSPPPPSPPPPSPPPPTYPSPPPPSPPPPTYPDHCHQ >KJB54163 pep chromosome:Graimondii2_0_v6:9:1814674:1816857:-1 gene:B456_009G023700 transcript:KJB54163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKSNIINFHSFHLSLLFLFVLFCSSEQHSITSHGGLTDKEVSYIKQRQLLYYRDEFGDRGEKVTVDPSLVFENPRQRNAYIALQAWKQAILSDPFNLTGNWVGSSVCDYTGVYCAPAPDNKRIKTVAGIDLNHGDIAGYLPEELGLLTDLALFHINSNRFCGTVPHKFIKLKRLFELDLSNNRFAGKFPEVVLKLPSLKFLDLRFNEFEGTVPKELFDKDLDAIFINHNRFRFNLPDNFGNSPVSVIVLANNMFHGCVPSSLGNMTGLEEIIMMNNGLRSCLPEEVGNLKNLTVFDVSFNELMGPLPDQIGELVSLEQLNVAHNMLSGKIPASICQLPKLQNFTFSYNFFTGEPPVCLNLRAFDDRRNCLPARPLQRSAAQCKSFLSRPVDCNSFKCAPFVPSLPSPPPPSPPIPVPSPPVALPPPSLPPPPPPISSPPLPPPPPPSPPPPVFSPPPPPPPVFSPPPPPPSPPPPSPPPPPPPVYSPPPPPPPPSPPPPSPPPPSPPPPTYPSPPPPSPPPPTYPSPPPPSPPPPAPIYCVRSPPPPPNSPPPPPPLFSPPPPVPYYYNSPPPPHQSPPPPHHSPPPPPHSPPPPPHSPPPIYPYLSPPPPPPPVYSPPPPVHSPPPPSPPPCIEPPPPPPPPCVEYSPPPPSPSPPPPIHYKPPPSPSPPPPVVTYSSPPPPPPVIYHSPPPPSLSPPPAPVYEGPLPPVIGVSYASPPPPPFY >KJB54938 pep chromosome:Graimondii2_0_v6:9:4019963:4020518:-1 gene:B456_009G055300 transcript:KJB54938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEAYASAKRDEIHTDVLLQARQACYKARDAFYSCLEKHSDKKPTEIGSVGLLYPTECKPSREDYVKNCRVSWVKHFDRQYCKTKRTQRLLDDKETRRGPLSLPQPYTFRPPTSA >KJB54937 pep chromosome:Graimondii2_0_v6:9:4019078:4020621:-1 gene:B456_009G055300 transcript:KJB54937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEAYASAKRDEIHTDVLLQARQACYKARDAFYSCLEKHSDKKPTEIGSVGLLYPTECKPSREDYVKNCRVSWVKHFDRQYCKTKRTQRLLDDKETRRGV >KJB54613 pep chromosome:Graimondii2_0_v6:9:3044760:3046645:-1 gene:B456_009G041500 transcript:KJB54613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRLEKTVYRQEKDNPSFSSTLLDKIYRSIDDGDTRNVDLKFYRETMQKKQSKASVRCNGSRVEEEDEEMSSFQRARLIEKWMEKKVTEKANAGRKQVSHHDYDHDHDVLFFSSTSTSSDSSSGGFSSSDTESMYVSKSKSSCFVRSRPKPVRTTMSARSEKPLKTEKTGRTERALFYEQRESHLLDDYHYNSASDYTPKLEESLFKSKSRATKIYGNLKKVKQPISPGGRLASFINSLFTTSNTKKARGPSSMLSCDDERKLKSGQVSTCSSASSFSRSCLSKNSPSTRERLRNGVKRSVRFCPVSVIVDEDCRPCGQKCLYEEQDSSSVSVAVPTVWKIRKSPSGKLDEELKLQAMEKSRRVEEMATKFLKEYHLNQMKDFISRDNHSNYVMEEMEEDEDDAASYSSSDLFELDHLVLIGNDRYREELPVYETTHVETNRAIANGLIA >KJB58175 pep chromosome:Graimondii2_0_v6:9:15282148:15284856:1 gene:B456_009G197900 transcript:KJB58175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLASFLLSFLLFFDLSSAAASPSHISKIFETWCHQHGKSYSSEEEKSYRLKVFEDNYAFVTQHNAMTNSSYSLALNAFADLTHHEFKASRLGLSGAAIQFRCSNLREPRLVRDIPASLDWREKGAVTQVKDQGSCGACWSFSATGAIEGVNKIVTGSLISLSEQELVDCDKTYNTGCEGGLMDYAFQFVINNHGIDTEEDYPYQGREHTCNKEKLKRHVVTIDDYTDVPMTNEKKLLQAVATQPVSVGICGSERAFQLYCKGIFTGPCSTSLDHAVLIVGYGSENGVDYWIVKNSWGTRWGMNGYIHMIRNTGKSEGICGINMLASYPIKTSPNPPPSPPPGPTKCDFFTYCSAGETCCCTHRIFGICFLWKCCGLDSAVCCKDNRHCCPHNYPICDTKNNQCLKRVGNATIMESSNTNLAFRKISSW >KJB58176 pep chromosome:Graimondii2_0_v6:9:15282321:15284038:1 gene:B456_009G197900 transcript:KJB58176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLASFLLSFLLFFDLSSAAASPSHISKIFETWCHQHGKSYSSEEEKSYRLKVFEDNYAFVTQHNAMTNSSYSLALNAFADLTHHEFKASRLGLSGAAIQFRCSNLREPRLVRDIPASLDWREKGAVTQVKDQGSCGACWSFSATGAIEGVNKIVTGSLISLSEQELVDCDKTYNTGCEGGLMDYAFQFVINNHGIDTEEDYPYQGREHTCNKEKLKRHVVTIDDYTDVPMTNEKKLLQAVATQPVSVGICGSERAFQLYCKVGDIHWSMLNFLGSCGADSRLWFRKWSGLLDCEELMGYEVGNEWLYSYDT >KJB58177 pep chromosome:Graimondii2_0_v6:9:15282195:15284856:1 gene:B456_009G197900 transcript:KJB58177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLASFLLSFLLFFDLSSAAASPSHISKIFETWCHQHGKSYSSEEEKSYRLKVFEDNYAFVTQHNAMTNSSYSLALNAFADLTHHEFKASRLGLSGAAIQFRCSNLREPRLVRDIPASLDWREKGAVTQVKDQGSCGACWSFSATGAIEGVNKIVTGSLISLSEQELVDCDKTYNTGCEGGLMDYAFQFVINNHGIDTEEDYPYQGREHTCNKEKKLLQAVATQPVSVGICGSERAFQLYCKGIFTGPCSTSLDHAVLIVGYGSENGVDYWIVKNSWGTRWGMNGYIHMIRNTGKSEGICGINMLASYPIKTSPNPPPSPPPGPTKCDFFTYCSAGETCCCTHRIFGICFLWKCCGLDSAVCCKDNRHCCPHNYPICDTKNNQCLKRVGNATIMESSNTNLAFRKISSW >KJB62223 pep chromosome:Graimondii2_0_v6:9:60068559:60069596:-1 gene:B456_009G407000 transcript:KJB62223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFFFSSNSPEASSENRFLFGSRCMSGISCSLRCRSSGEFRSCNFSSHSLRVQHGQGILDKLVTVYLPTERLRSKATAGIMSNRVAQSHSMISTNKFF >KJB56549 pep chromosome:Graimondii2_0_v6:9:9370138:9372617:1 gene:B456_009G124500 transcript:KJB56549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEDVPSAPSTPVTPGTPGAPLFGGFKGDHRGGFNKKSLLKSCKCFSVEDSMEEGRLPPVSCSLPPPPVSLTRKVGAEFIGTFILIFAGTATAIVNQKTQGSETLIGLAASTGLAVMIVILSTGHISGAHLNPAVTIAFAALKHFPRKHVPVYIGAQVMASLCAAFGLKGVFHPMMGGGVTVPSGGFGQAFALEFIISFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGPITGASMNPVRTLGPAIAANNYKAIWVYFTAPILGALCGAGTYTAVKLPEEDGEKPSTVRSFRR >KJB62599 pep chromosome:Graimondii2_0_v6:9:66666640:66668853:1 gene:B456_009G425200 transcript:KJB62599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAYTKPHSYLTKKKKKKSKESMASIPCIFQIPFTAKPINPSFPSLPTFSSKFLGTQNSLSCLSPSNIGPSNGSRTQCWFKFGKNGVDAEGAGIYGSQKRDDFDKDDVEQKNGKIANSKHHVVAPKCVLYVGAGQARPSLAIRIPNTMRYYFNYMGMLAVEGSYDKMEALLNQNIHPVDILLMLAASEGDRPKIEELLRAGAVYDVKDADGRTAVDRAVNEEIKDFILGFSVQKA >KJB62598 pep chromosome:Graimondii2_0_v6:9:66666640:66668853:1 gene:B456_009G425200 transcript:KJB62598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAYTKPHSYLTKKKKKKSKESMASIPCIFQIPFTAKPINPSFPSLPTFSSKFLGTQNSLSCLSPSNIGPSNGSRTQCWFKFGKNGVDAEGAGIYGSQKRDDFDKDDVEQYFNYMGMLAVEGSYDKMEALLNQNIHPVDILLMLAASEGDRPKIEELLRAGAVYDVKDADGRTAVDRAVNEEIKDFILGFSVQKA >KJB58337 pep chromosome:Graimondii2_0_v6:9:15903028:15904922:1 gene:B456_009G205300 transcript:KJB58337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPPRFDLLLVLLFTVIALASDSVTATPITQLFKEAPQFYNSPDCAVLVDEDESDGEASILCSDQAVHVAMTLDTAYIRGSMAAILSVLQHSSCPQNIAFHFVASATANATFLRATISSSFPYLNFRVYPFDDSSVSRLISTSIRSALDCPLNYARSYLANLLPPCVRRVVYLDSDLVLVDDIAKLAATPLGDDSVLAAPEHCNANFTTYFTPTFWSNPSLSLTFANRKPCYFNTGVMVIDLDRWREGDYTTKIEEWMELQKRIRIYELGSLPPFLLVFAGNIVPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDANRPCPLDALWAPYDLLETPFALDA >KJB57458 pep chromosome:Graimondii2_0_v6:9:12707018:12707605:-1 gene:B456_009G165500 transcript:KJB57458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSHSDNVLPFNENDSQDMFIYQVLNEANAHGLGINNLHHFHASQRNQTAVASSMLEPARTIAKKHYRGVRRRPWGKYAAEIRDSTRHGARVWLGTFETAEQAALAYDKAAFKMRGSKALLNFPAELGASSVQRILRPNSSSKSLAKTDLDSGSSSRTVSTGTSKSESESESSTTGKSHSKGSESDHLNSILKVL >KJB59789 pep chromosome:Graimondii2_0_v6:9:22741391:22744234:-1 gene:B456_009G272300 transcript:KJB59789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAETLVNNGEAKAPLILGLQPAALIDHVARVDWSLLHQIPGERGGSIPVEFKELEHILSELKKHILTSVDDPSPMKTMAGGSVANTIRGLSSGFGVNSGMIGAHGDDEQGQLFVSNMNFTGVNISRLRKKTGPTAQCVCLVDAYANASPEAALEYLSKYCRWAVVTLGANGCIAKHAQEVVRVPAIGETKAVDATGAGDLFAGGFLYGLVKGLSLEECCKVGSCSGGAVIRSLGGEVTPENWQWMYKQMQIKGLPLPDISELMSPRSNKH >KJB59790 pep chromosome:Graimondii2_0_v6:9:22741391:22744343:-1 gene:B456_009G272300 transcript:KJB59790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAETLVNNGEAKAPLILGLQPAALIDHVARVDWSLLHQIPGERGGSIPVEFKELEHILSELKKHILTSVDDPSPMKTMAGGSVANTIRGLSSGFGVNSGMIGAHGDDEQGQLFVSNMNFTGVNISRLRKKTGPTAQCVCLVDAYGNRTMRPCLSTAVKVQGDELTKDDFSGSKWLVMRYGIFNLEVTQAAIRFAKQEGLSVSIDLASFEMVRNFREPLLKLLESGDIDLCFANEDEATELLRGEANASPEAALEYLSKYCRWAVVTLGANGCIAKHAQEVVRVPAIGETKAVDATGAGDLFAGGFLYGLVKGLSLEECCKVGSCSGGAVIRSLGGEVTPENWQWMYKQMQIKGLPLPDISELMSPRSNKH >KJB55770 pep chromosome:Graimondii2_0_v6:9:6866195:6868420:1 gene:B456_009G094200 transcript:KJB55770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQPQPQQHRRQSRCLSTCHRHPTTAPITGFCASCLRERLAGIQDNCPTPSTSQLRRSKSCSGGPAPSSASAASEPRRKSCDVRAHSSLHDLFAIDDKITTLNLNHPPSKVEAVQGFEEEEEEEGELKTMKEFIDLEWGSKKASGRSLWEAASAFSKRLRQWRKKQSKRKEKNEASVLEKANKKGLRETQSEIGEYGLFGRRSCDTDPRLSVDDARYSFEEPRASWDGCLIGKQKPKANEEANVGEERLSAVKEEEKISPGGSAQTRDCYADSLTTRRKSLDRSSSSRKISMGEANAEVSPGAVGLFHGAKLLVTEKELRDSNWYSNVESGSKGVEFVASGVGQKGFTLRKAKGWKNVWSMWGLIQRRKQSEFGDEEKTVGGYVGDGRLAESFQKLTRVANGDEDRGTRDVSVGTLAESLQKLRGLANGDEGKVVGGNVADHGTLAESLNKLRKVANGASYGTVVSQKLMKSYSVSARNSVDGSSFYGTSMPECNSKGDGEKRRDNSMLQQNRSVRYSPNNLDHGLLRFYLTPLRSYRRSKSGRSKLRNSNSVSGTVL >KJB60638 pep chromosome:Graimondii2_0_v6:9:29873687:29874598:1 gene:B456_009G316400 transcript:KJB60638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSHLSNDLEDDEYIEMEVSSYSTFFCNSSSISSPPPQFPIPIEFEFQMSSSMEIQPTTSPADELFYKGKLLPLHLPPRLQMVQKLLQNSTSLYGDFYGTPLTSTPFESCNVSPSQSCRISQELNPEECLFEYSTEALSGHCNDKNHRKKSSSSVIGSKLKAYRGYLKSLFSKSGCSSESCSAAKVADEGSILRAKERLDRSMMMKSTKKAPFGQIRHRRSLSLAIKRQDSTNKSPSSSSSSGSSPSPNSNGVQYLQLLKRSSSVNVEIESPIQGAIAHCKQSQQLIRSRKTVSEVGHAIWI >KJB59765 pep chromosome:Graimondii2_0_v6:9:22651671:22654697:1 gene:B456_009G270900 transcript:KJB59765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQISIAKCPSSKSTTTVSRRRSLWPSVLRWIPTSIDHILASEKRLLSLVKTSYVQEHVNIGSGPSGSKIRWFRSSSNSPSWGGPSRPNLHAKALKVKTEAWFVDSFGERRRVKNLSNLIILGHSFGGYIASKYALKHPEHVQHLILVGPAGFSSEPDSSIEWLTRLRETWKGATLNRLWESNFTPQKIPTTRNQGQLLHIALDWSAKIISLENYSLNASSKGLGPWGPKIVHKYTATRFNPKDSADFVLAEEQNKLLTDYAYHISAAKASGELCLKHIFSFGALPRVPLLNRLESNALVMFSKLHSGVKCHASEWKVPTTFIYGTEDWVNYQGAQDTTKGGHFVFLENTEGFHSAVSYAWNQLRIKYCNFFTHTFLYNT >KJB56887 pep chromosome:Graimondii2_0_v6:9:10622343:10625958:-1 gene:B456_009G140600 transcript:KJB56887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMMSSLTWIIVFVTAISLSILFLYTKSKSKFSSLSSKNLCHLPLGTLGWPFLGETIDFISCAYSDRPESFMDCRRRLYGKVFKSHIFGTPTIVSTDAEVSRFVLQSDAKAFVPFYPKSLTELMGKSSILLINGSLQRKIHGLVGSFFKSPHLKGQITRDMQNYVQQAMDKWRDDAPIFIQDETKTIAFQVLVKALISLNPGEEMEILKKQFQEFISGLMSLPVKLPGTQLYRSLQAKKRMVKIVHKIIQSKRDSSMSSMVPKDVVDVLLKDASELLTDDLIADNMIDMMIPGEDSVPVLMTLAIKYLSDCPAALHQLTEENLKLKRLKAQNGEPLIWSDYLSLPFTQNVITEALRMGNIIIGVMRKAMKDIEIKGYLIPKGWCFFAYFRSVHLDENHYDWPYQFNPWRWQVRINEKDILSSYNFTPFGGGQRLCPGLDLARLETSIFLHQFVTNFRWVAEEDTIVNFPTVRMKKRMPVWVKRREE >KJB56886 pep chromosome:Graimondii2_0_v6:9:10622116:10626271:-1 gene:B456_009G140600 transcript:KJB56886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMMSSLTWIIVFVTAISLSILFLYTKSKSKFSSLSSKNLCHLPLGTLGWPFLGETIDFISCAYSDRPESFMDCRRRLYGKVFKSHIFGTPTIVSTDAEVSRFVLQSDAKAFVPFYPKSLTELMGKSSILLINGSLQRKIHGLVGSFFKSPHLKGQITRDMQNYVQQAMDKWRDDAPIFIQDETKTIAFQVLVKALISLNPGEEMEILKKQFQEFISGLMSLPVKLPGTQLYRSLQAKKRMVKIVHKIIQSKRDSSMSSMVPKDVVDVLLKDASELLTDDLIADNMIDMMIPGEDSVPVLMTLAIKYLSDCPAALHQLTEENLKLKRLKAQNGEPLIWSDYLSLPFTQNVITEALRMGNIIIGVMRKAMKDIEIKGYLIPKGWCFFAYFRSVHLDENHYDWPYQFNPWRWQEKDILSSYNFTPFGGGQRLCPGLDLARLETSIFLHQFVTNFRWVAEEDTIVNFPTVRMKKRMPVWVKRREE >KJB61466 pep chromosome:Graimondii2_0_v6:9:47357902:47358894:-1 gene:B456_009G359800 transcript:KJB61466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVYYKFKSSKDSHSLPIDGPFISLSDFKHQIFASKRYGNGNDFDLLISDAKTDQQLAKGSSLILANSYLLIRRVPRPPGLPIVIGGEENPNIQTNSPSVVVKEELQEQGFDFDDFGLDFTSISNNSIAKPGDTHCKETKIDHGFKISSVKTLGKWRQIPPRSYVCRRCNVGGHYIHHCPTNGDPKFDRKRASSTSDSSSKSSGISYASISTTSSSCNSTTVPPELHCPLCKQVMEDAVLTRCCFSSFCEKCVRDRIVSMATCVCRRQIVADDILPNMTLRDTINRFLNNQSGTETSAMKRKLVNAENEDEEQRKKMKKTEQRPVIKAG >KJB59753 pep chromosome:Graimondii2_0_v6:9:22521010:22522871:1 gene:B456_009G269700 transcript:KJB59753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLLFCTSTKGYSDLEVLLKLKSSMIGPKASGLDDWEFSSSPSAHCHFSGVKCDEDHRVVALNVSFTPLYGTISPEIGLLNKLVNLTISKVELTGNIPTDMRNLTSLKTFNISNNYFRGSFPGEILTGMTQLEILDAYNNNFTGLLPVELANLKHLNHLSFGGNYFTGEVPDKYSDIQSLEFLGLNANDLTGKIPAFLGRLKNLKSLFLGYSNAFHGGIPPEFGSLTQLKSLDMASCNLTGEVPESLGKPRVWNSLTGRIPSQLAGLTSLKDLDLSLNELTGEIPESFSALQNISMIILFKNKLHGSIPSYVGDLPQLAVLKLWENNFTGELPENLGRNGKLCNLDVSSNYLTGSIPLDLCKGGTLQELILMDNFFFGPLSEKLGNCNSLTKIRIKKNNLNGTIPAGIFNLPSLSFVELDDNFFAGELPQRMSGDSLEMLKISNNLISGEIPPAIGNLRSLQVLELARNKLSGEIPPSISRCTSLTSIDFSQNSLSGEIPKGINKLEHLSNLNVSRNRLTGEIPAEIENMTSLATLDVSDNNLIGRVPCAGQFLFFDDSSFSGNPNLCTPNDSHCPARGSSHGHAPCFAASKLIITTIMLIILEGN >KJB57880 pep chromosome:Graimondii2_0_v6:9:14190627:14191466:-1 gene:B456_009G184500 transcript:KJB57880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTVDLRCRRCYLKVKKVLCEFPEIRDQTFDEKANTVTIIVVCCNPEKVRDKLRCKGGFSIESIEIKPPPKSPARRASSLPKPPETPVSSPLTAGTWAGFCCNACYHGQCGDPCYFGGPPPPPCYWTYCRPVYDRWGGGSYKYCYSSHGDCFIEQNPQACSIL >KJB60893 pep chromosome:Graimondii2_0_v6:9:33866468:33869825:1 gene:B456_009G330100 transcript:KJB60893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSPKPHPPPLSYHPDLVLAAIQQCFLGPVVGAHLSFSPFSPFIVEIYTAPVGNGIHLPLSKVAEATVIFEVQRSSKSKARKEEQCKQELEVFIYL >KJB56023 pep chromosome:Graimondii2_0_v6:9:7747243:7748983:1 gene:B456_009G106700 transcript:KJB56023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >KJB56025 pep chromosome:Graimondii2_0_v6:9:7745917:7748983:1 gene:B456_009G106700 transcript:KJB56025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >KJB56024 pep chromosome:Graimondii2_0_v6:9:7745692:7748983:1 gene:B456_009G106700 transcript:KJB56024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >KJB58033 pep chromosome:Graimondii2_0_v6:9:14735317:14736682:-1 gene:B456_009G191200 transcript:KJB58033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQAKHRNKMLKFLPKAASSVSVSFQNHPFSPVKHKAFAGKGFSGPIVSMIPAEARRKSKSESEAFETQEPTSPKVSCMGQIKHKKCIKKGKRVSLPKVPKPVVSESSSSGEVKKHGSKLKRFFSMGKPAKKSDAPGVKTKLCDRAPSLGQMRRFASGRDAFAGFDWTTQIAPVEADRRGYYSDDDDIGDERRDIDFFEEEEVIIPFSAPITVGGEVPLQPRKEINLWKRRTMNPPRPLQLNPIVTAK >KJB54479 pep chromosome:Graimondii2_0_v6:9:2642811:2646241:1 gene:B456_009G035400 transcript:KJB54479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGEKVKMADSQGTPTLFSPYKMGKFNLSHRVVLAPMTRCRALNGIPRPALAEYYTQRSTPGGFLITEGTLISDTGAGFPHVPGIYNEEQVEAWKMIVDAVHAKGGIIFCQLWHVGRASHTVYQPGGVAPISSTNKPISKRWRILMPDGSYGIYPKPRPLETSEIQEVVEHYHKAALNAIRAGFDGIEIHGAHGYLIDQFLKDGINDRTDEYGGSLANRCKFLMQIVQAVASAIGIDRVAIRMSPAIDHLDATDSNPLNLGLAVIERLNKLQLQLGSKLAYLHVTQPRYHAYGQTESGKHGNEDEEAYLLKELKRTYQGTFMCSGGFNRELGMQAVAEGDADLVSYGRLFISNPDLVLRLKVNAPLNRYIRKTFYTHDPVVGYTDYPFLNEEKGRQVQSRL >KJB54480 pep chromosome:Graimondii2_0_v6:9:2642817:2646091:1 gene:B456_009G035400 transcript:KJB54480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDAVHAKGGIIFCQLWHVGRASHTVYQPGGVAPISSTNKPISKRWRILMPDGSYGIYPKPRPLETSEIQEVVEHYHKAALNAIRAGFDGIEIHGAHGYLIDQFLKDGINDRTDEYGGSLANRCKFLMQIVQAVASAIGIDRVAIRMSPAIDHLDATDSNPLNLGLAVIERLNKLQLQLGSKLAYLHVTQPRYHAYGQTESGKHGNEDEEAYLLKELKRTYQGTFMCSGGFNRELGMQAVAEGDADLVSYGRLFISNPDLVLRLKVNAPLNRYIRKTFYTHDPVVGYTDYPFLNEEKGRQVQSRL >KJB53575 pep chromosome:Graimondii2_0_v6:9:56446340:56446833:1 gene:B456_009G398300 transcript:KJB53575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEAAKRSTTGALTVKQRKTDELKPSPVLTAEPKKVIIKSADMKDDMQKEAAFEKNNVEKDVGEYIKKEFDKKHGPTWHCIVGRNFESKISVIFK >KJB59190 pep chromosome:Graimondii2_0_v6:9:19496296:19497353:1 gene:B456_009G243500 transcript:KJB59190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATSTQRSTGTVKWFSAQKCFGFIAPDDGGDDLFVHQTSILSQGFRTLSDSQPVEFSIDVGEDGRAKAVDVTPMPRPRRPSRGGGRGGYFGGRGRGGGGYRRGGYGGGGGGGSGACYNCGRTGHIARDCYQGGGSGSTRYSSGRGDGGGNRRYGGDSGDGRGAGGRCFNCGDEGHFARDCPNK >KJB62224 pep chromosome:Graimondii2_0_v6:9:60069621:60071139:1 gene:B456_009G407100 transcript:KJB62224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRLVSFFVSLHSTGMELSVNSIEADKIWHYQDPLGKIQGPFAMAILRGWSSSGHFLPELRVWRVSEKKENSILLTDTLVGQYSQLQQLVQHSHMPTEDASMVIEDGCWNKDEDARESKDQKVNQMESKQPKGSLNLMQNDTSGHCQNLEVVYISCEFGQ >KJB59729 pep chromosome:Graimondii2_0_v6:9:22397300:22398800:1 gene:B456_009G268600 transcript:KJB59729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSLFCTGALLAGGLYWFVCVLGPAEQKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSIPGKSHRDATRLHEEMAVDLIDVKPGDRILDVGCGVGGPMRAIAAHSRAKVVGITINDYQVNRARMHNKKAGLDSLCEVVCGNFLEMPFQDNSFDGAYSIEATCHAPKLEDVYAEVFRVLKPGSLYVSYEWVTTEKYRADNPEHVEVIQGIERGDALPGLRSYSDIAEAAKKVGFEIVKEKDLAKPPSLPWWTRLKMGRIAYWRNHILVIVLAAIGIAPKGTVDVHDMLFKTADYLTRGGDSGIFSPMHMILLRKPKEAPSKS >KJB59728 pep chromosome:Graimondii2_0_v6:9:22397036:22399263:1 gene:B456_009G268600 transcript:KJB59728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKILFNFKRVCCSTVTHQTYLSLSLSLMDSLSLFCTGALLAGGLYWFVCVLGPAEQKGKRAVDLSGGSISAEKVRDNYKQYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSIPGKSHRDATRLHEEMAVDLIDVKPGDRILDVGCGVGGPMRAIAAHSRAKVVGITINDYQVNRARMHNKKAGLDSLCEVVCGNFLEMPFQDNSFDGAYSIEATCHAPKLEDVYAEVFRVLKPGSLYVSYEWVTTEKYRADNPEHVEVIQGIERGDALPGLRSYSDIAEAAKKVGFEIVKEKDLAKPPSLPWWTRLKMGRIAYWRNHILVIVLAAIGIAPKGTVDVHDMLFKTADYLTRGGDSGIFSPMHMILLRKPKEAPSKS >KJB59999 pep chromosome:Graimondii2_0_v6:9:24205742:24209644:-1 gene:B456_009G284700 transcript:KJB59999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPSLSPKEPRHRAAASAAEETSRRGFQRNKVFRNVEKALHVPIRYRNWNCKISTLKVVLVIILVGSLITLLRSPAVYISDRPSNLVSRRPGFVDRWIRDSVAADPRYISMLDVNWDQILNVIEKLTDRDEYQGIGLLNFNNTETDQWKQLLPDAEHVVLQLDYVADNVTWESLFPEWIDEEEEFEVPNCPSLPSLQFPGKPRIDLIAVKLPCNKAGKWSRDVTRLHFQLAAARLAASAKGLHPVHVLFVTDCFPLPNLFTCKDLVAREGSAWLYTPNLHRLREKIRLPVGSCELSVPLQAKGSFYSERAHREAYATILHSAHVYVCGAITAAQSIRMSGSTRDLVILVDDSISDYHRGGLEAAGWKIYTIQRIRNPKAEPEAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFEMPEISAIGNNATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEVEKKQMKTRLFGAHPPILYVIHYLGNKPWLCFRDYDCNWNVDILQEFASDVAHKTWWKVHDAMPENLQKYCLLRSKQKAQLEWDRRQAEKGNYTDGHWKIKIKDKRLKTCFEEFCFWESMLWHWGEKNWTDNSTANPSPPAIKKVSLSSL >KJB60000 pep chromosome:Graimondii2_0_v6:9:24205473:24209857:-1 gene:B456_009G284700 transcript:KJB60000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPSLSPKEPRHRAAASAAEETSRRGFQRNKVFRNVEKALHVPIRYRNWNCKISTLKVVLVIILVGSLITLLRSPAVYISDRPSNLVSRPGFVDRWIRDSVAADPRYISMLDVNWDQILNVIEKLTDRDEYQGIGLLNFNNTETDQWKQLLPDAEHVVLQLDYVADNVTWESLFPEWIDEEEEFEVPNCPSLPSLQFPGKPRIDLIAVKLPCNKAGKWSRDVTRLHFQLAAARLAASAKGLHPVHVLFVTDCFPLPNLFTCKDLVAREGSAWLYTPNLHRLREKIRLPVGSCELSVPLQAKGSFYSERAHREAYATILHSAHVYVCGAITAAQSIRMSGSTRDLVILVDDSISDYHRGGLEAAGWKIYTIQRIRNPKAEPEAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFEMPEISAIGNNATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEVEKKQMKTRLFGAHPPILYVIHYLGNKPWLCFRDYDCNWNVDILQEFASDVAHKTWWKVHDAMPENLQKYCLLRSKQKAQLEWDRRQAEKGNYTDGHWKIKIKDKRLKTCFEEFCFWESMLWHWGEKNWTDNSTANPSPPAIKKVSLSSL >KJB59992 pep chromosome:Graimondii2_0_v6:9:24169282:24169563:-1 gene:B456_009G284200 transcript:KJB59992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIENEDDWLVTFSKRRLGIYKKKSELCTLCGNNIFFIIFSFARKPFAYGHPSIESIANHFLNGNISVIDNTPALIEAHRTARIYKLIQLYNEV >KJB54801 pep chromosome:Graimondii2_0_v6:9:3587650:3589572:1 gene:B456_009G049300 transcript:KJB54801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPEFVPGTTCCSQFTPDKPDHFIVEDLLDFSNDDTILTDQTFDSFSAAAHSTDSSSVTPFDTCNSSSFSASQPNLVAGIASRAFNDGHSSADLYVPCDDLAELEWLSNFVDESFSSDDLQKHQLISGIKIRPDESSETGGFQSVLPNQINDAIDNGDNNHSNNTNNPIFHPDMSVPAKARSKRSRAAPCNWASRLLVLSPTTSSSEPDIVVPVQPPPPNHPGKKPVKTISSKKKEGGVANSDGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVLELRRQKEMLRAQQHHQQPFMHHHHQNMVFDVSNGDDYLIHQHVGPDFRQLILE >KJB53469 pep chromosome:Graimondii2_0_v6:9:6798167:6799138:1 gene:B456_009G093000 transcript:KJB53469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPIFSFVPSKTRIYATAAAKGAGGGKEEKGLFDWILGNLQKEEQFYETDPILKKVEEKNGGGSGSGTTSGRKNSVSIPQKKKDNGGFGLGGLFKK >KJB61770 pep chromosome:Graimondii2_0_v6:9:51519828:51523512:-1 gene:B456_009G379600 transcript:KJB61770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVAGIANCLGTPVCKYLQYLRKLNDYVRNFNRVRDELNCKMEDIELQLKAELLRPLGRIPKKGVENWLTAVEEMIREAQVVENKVSNGRYLCRACNGKLVDEKTREMKEFLDKAPNASEGLAMDGPSAGLPLPTSELVGEEAVRNEIWACLMQEEVSKIGVWGMGGVGKTTIMKHIHNDLLKQRRFERVIWVTISKEFNVMKVQDNIASALEAKAYLDKEEEDKLRRAAILSEMLKNAGKHVLILDDVWDKVSLEEVGIPELSGSNGCKLALTTRSEHVCKYMGCKVIKVKPLSEEEALILFLNKVGPNIVQSPTIMPTLKLVVKECAGLPLTIVVVAGTMKGEDNPLIWKNALGELKERIGKVEGVEAEVIERLKFSFDHLKDEKVKYCFLHCALYPEDFKIEKDELIECWIEEGFIDDMGTRQEMKEKGHVILKKLEDNCLLENITTKFGLHRIKMHDAVRDMALSITRMNPRYMIQAGLQLEELPKEEQWSPDIEKVSLMFNSIPEISIDVLPTKCQLLTTLLLQDNPIKKIPYSFFTNMPCLSVLNLSSTKIKSLPNSISELKNLTTLLLGGCFDLRGLPCLSTLQELKKLDLCGTKIEEVPEGMDMLIKLRYLDLRVRTLKEIPAGLLPKLVHLQHLGFHESNEKTSLKAEEMEPLKKLECFTGRFEDIGELNKFISSMQQSKKNLIKYHLQVSSDDMGRGREKTVTIGGVQNWEGELIMHPCEIREFNISRIYDSKGIECVVSLFSFASSSAHPFQSLEVLDLQILPKLSALIMKDAGIGSATTSTVAPSATFSHLKEIMIANCSSMKTLLPPWLLPNLQNLEEIFVGGCSQLVEILGAATSEVEEKGSDALIKFHLPKLRKLVLWRLPNLKSICSKCGVMVCDSLELISVDKCDKLKRIPPFVPFVGNGQPFAYAPSSLTIKSRKEWWESLEWDDHPNFKNVLRFNPRGG >KJB62779 pep chromosome:Graimondii2_0_v6:9:68568312:68569602:1 gene:B456_009G4361001 transcript:KJB62779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVPTLDMDNVCFHLEYFYRKNPGGKIIYRHINILILYRGRNYDPQNRPVIPLMLWKPYAPIYPKLVKNIADGLTFEETKEMRNRGLHSPALMKFTRNGVYVNVVARAREAFETEEVIRLDCTHVGTSDCKRIGVKLRDLAPCVPILFKDEQIILWRGKRDQERNSDISDVNKKSSGQSLLDRLLVDSVAWKSHCC >KJB62778 pep chromosome:Graimondii2_0_v6:9:68568312:68569292:1 gene:B456_009G4361001 transcript:KJB62778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVPTLDMDNVCFHLEYFYRKNPGGKIIYRHINILILYRGRNYDPQNRPVIPLMLWKPYAPIYPKLVKNIADGLTFEETKEMRNRGLHSPALMKFTRNGVYVNVVARAREAFETEEVIRLDCTHVGTSDCKRIGVKLRDLAPCVPILFKDEQIILWRGKRDQERNSDISDVNKKSSGQSLLDRLLVDSVAWKSHCC >KJB59973 pep chromosome:Graimondii2_0_v6:9:24274498:24279776:-1 gene:B456_009G285100 transcript:KJB59973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQQEEEDLRMALRMSMQNSPPEPKRSKPREAANLATTTPEESRRLQRELMAAAAEKRMLATGKSVPASGSPLKSDSGGDIGTKEMEIKAKEVKLGNELSEKEAYQLFFMVFGNGVSKDILAQWSNQGIRFSPDPETSMILVQHEGGPCGVLAAIQAFVLKHLLFCPDDLVKAAQSTPQNSTPRRLSKNQYVASNNFAAFTENAKARALVKSMGEILFLCGNNKRAVIATLSSIGDGVEESEDSLTDVIIAQALEGLSIESASDLHEVLRVETYTTPASAYKRLEAIIPVFQSRMGALLFLISALLSRGLDCVQADRDDPSLPLVTAPFGHASQEIVNLLLCGQAVPNVFDGRMDLGGGMFLKGVSTNVEVGFLTLLESLNFCKVGQNLKCPKWPIWVVGSESHYTVLFALDTAVQDENELEARESQIRKAFDAQDQSGGGGFISVEGFHQVLRETNIRLPSEKLDSLCGSGFIVWSEFWQVILDLDKSLGGLKDSTGQMGQKIFDLYHFNGIAKSDLNGSQFSSGGETPMQRPRLTKLRVSVPPRWTPEEFMADVAVLPSGSAGAQPSGKDTEVAKPEPSQHAPLVDCIRTRWPRAVCNWVGDPPSIV >KJB53625 pep chromosome:Graimondii2_0_v6:9:23891733:23904341:1 gene:B456_009G282300 transcript:KJB53625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAQRRSAVSGGNNGYVNGVLPIRSPATICEVDEFCSALGGKKPIHSILIANNGMAAVKFIRSIRTWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASENPELPDALNAKGIIFLGPPSVSMAALGDKIGSSLIAQAADVPTLPWSGSHVKIPAESCLVSIPDEIYSKACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHSDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDRYGNVAALHSRDCSIQRRHQKIIEEGPITVAPWETVKKLEQAARRLAKCVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGVEHGGGYDSWRKVSVVATCFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVAGALYKVSASSAAMVSDYIGYLEKGQIPPKHISLMNSQVSLNIEGSKYTIDMVRGGTGSYRLRMNESEIEAEIHTLRDGGLLMQLDGKSHVIYAEEEAAGTRLLIDGRTCLLQKDHDPSKLVAETPCKLLRFLVSDGSHIDADIPYAEVEVMKMCMPLLSPASGVIQIKSSEGQTMQAGELIASLDLDDPSAVRKAEPFQGNFPVLGPPTAISDKVHQRCAASLNAARMILAGYEHNINEVVQSLLNCLDSPELPFLQWQECMSVLATRLPKDLKNELESKYKGFEVIPSSQNIDFPAKLLKGVLDSHLSSCSEKERGSLERHIEPLMSLVKSYEGGRESHARVIVRSLFEEYLSVEELFSDNIQADVIERLRVQYKKDLLKVVDIVLSHQGVKNKNKLILRLLEQLVYPNPAAYRDQLIRFSALNHTSYSELALKASQLLEQTKLSELRSTIARSLSELEMFTEDGESMDTPKRKSAINERMEDLVSAPLAVEDALIGLFDHSDHTLQRRVVETYVRRLYQPYLVKESVRMQWHRSGLIASWEFLEEHIERKNESEEKMSVEKHSERKWGAMVIIKSLQFLPAIISVALRETTHNLEKATPHGSLEPTTFGNMIHIALVGINNQMSLLQDSGDEDQAQERIKKLAKILQDKEVGSSLRSAGVGVISCIIQRDEGRTPMRHSFHWSAKNLYYEEEPLLRHLEPPLSIYLELDKLKGYEGIRYTPSRDRQWHLYTVLDKPHAIQRMFLRTLVRQPTSNDRLTAYSGHDVDMMHNQLSMSFTSKSIFRSIMAAMEELELNVHNATLKPDHAQMYLCILQEQEINDLMPYTKRVDIDVGQEEEAVETILEELAREIHAFAGVRMHKLGVCQWEVKLWIASFGRANGAWRVVVTNVTGQTCTVHIYRELENTSKYQAVYHSLSVRGPLHGVPVNAHYQPLGVLDRKRLLARKNGTTYCYDFPLAFQMALEQSFASRFPGFKKPKDKLLCKVTELVFADQKGYWGTPLIPIERQPGLNDVGMIAWSMEMSTPEFPSGRTILIVANDVTFKAGSFGPREDAFFLAVTDLACTKKLPLIYLAANSGARIGVAEEVKACFKVGWSDESSPENGFQYVYLTPEDYARIGSSVIAHEMRLASGKTRWVIDAIVGKEDGLGVENLSGSGAIASAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILNWLSCIPPHLGGPIPVLNPSDPPERPVEYFPETSCDPRAAICGTLDSNGNWKGGIFDRDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAIMDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLSTYGQPVFVYIPMMGELRGGAWVVVDSRINSDQIEMYAERTAKGNVLEPEGIIEIKFRKKELIECMGRLDQQLISLNEKLHEAKSNGGHAKAESLQQQIQSREKQLLPVYTQIATKFAELHDTSLRMAAKGVIKEVVDWDRSRSFFYRRLRRRISENSLVKTVNDAAGDQLSYKSAMDLIKRWFFDSNVAKGREDAWVNDEAFFSWKDDTRNYNEKLQELRFQKVLLQLTNIGSSASDMQALPRGLAALLSKMEPSSRKQIANEIRKVLS >KJB53623 pep chromosome:Graimondii2_0_v6:9:23891421:23904341:1 gene:B456_009G282300 transcript:KJB53623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAQRRSAVSGGNNGYVNGVLPIRSPATICEVDEFCSALGGKKPIHSILIANNGMAAVKFIRSIRTWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASENPELPDALNAKGIIFLGPPSVSMAALGDKIGSSLIAQAADVPTLPWSGSHVKIPAESCLVSIPDEIYSKACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHSDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDRYGNVAALHSRDCSIQRRHQKIIEEGPITVAPWETVKKLEQAARRLAKCVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGVEHGGGYDSWRKVSVVATCFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVAGALYKVSASSAAMVSDYIGYLEKGQIPPKHISLMNSQVSLNIEGSKYTIDMVRGGTGSYRLRMNESEIEAEIHTLRDGGLLMQLDGKSHVIYAEEEAAGTRLLIDGRTCLLQKDHDPSKLVAETPCKLLRFLVSDGSHIDADIPYAEVEVMKMCMPLLSPASGVIQIKSSEGQTMQAGELIASLDLDDPSAVRKAEPFQGNFPVLGPPTAISDKVHQRCAASLNAARMILAGYEHNINEVVQSLLNCLDSPELPFLQWQECMSVLATRLPKDLKNELESKYKGFEVIPSSQNIDFPAKLLKGVLDSHLSSCSEKERGSLERHIEPLMSLVKSYEGGRESHARVIVRSLFEEYLSVEELFSDNIQADVIERLRVQYKKDLLKVVDIVLSHQGVKNKNKLILRLLEQLVYPNPAAYRDQLIRFSALNHTSYSELALKASQLLEQTKLSELRSTIARSLSELEMFTEDGESMDTPKRKSAINERMEDLVSAPLAVEDALIGLFDHSDHTLQRRVVETYVRRLYQPYLVKESVRMQWHRSGLIASWEFLEEHIERKNESEEKMSVEKHSERKWGAMVIIKSLQFLPAIISVALRETTHNLEKATPHGSLEPTTFGNMIHIALVGINNQMSLLQDSGDEDQAQERIKKLAKILQDKEVGSSLRSAGVGVISCIIQRDEGRTPMRHSFHWSAKNLYYEEEPLLRHLEPPLSIYLELDKLKGYEGIRYTPSRDRQWHLYTVLDKPHAIQRMFLRTLVRQPTSNDRLTAYSGHDVDMMHNQLSMSFTSKSIFRSIMAAMEELELNVHNATLKPDHAQMYLCILQEQEINDLMPYTKRVDIDVGQEEEAVETILEELAREIHAFAGVRMHKLGVCQWEVKLWIASFGRANGAWRVVVTNVTGQTCTVHIYRELENTSKYQAVYHSLSVRGPLHGVPVNAHYQPLGVLDRKRLLARKNGTTYCYDFPLAFQMALEQSFASRFPGFKKPKDKLLCKVTELVFADQKGYWGTPLIPIERQPGLNDVGMIAWSMEMSTPEFPSGRTILIVANDVTFKAGSFGPREDAFFLAVTDLACTKKLPLIYLAANSGARIGVAEEVKACFKVGWSDESSPENGFQYVYLTPEDYARIGSSVIAHEMRLASGKTRWVIDAIVGKEDGLGVENLSGSGAIASAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILNWLSCIPPHLGGPIPVLNPSDPPERPVEYFPETSCDPRAAICGTLDSNGNWKGGIFDRDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAIMDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLSTYGQPVFVYIPMMGELRGGAWVVVDSRINSDQIEMYAERTAKGNVLEPEGIIEIKFRKKELIECMGRLDQQLISLNEKLHEAKSNGGHAKAESLQQQIQSREKQLLPVYTQIATKFAELHDTSLRMAAKGVIKEVVDWDRSRSFFYRRLRRRISENSLVKTVNDAAGDQLSYKSAMDLIKRWFFDSNVAKGREDAWVNDEAFFSWKDDTRNYNEKLQELRFQKVLLQLTNIGSSASDMQALPRGLAALLSKMEPSSRKQIANEIRKVLS >KJB53624 pep chromosome:Graimondii2_0_v6:9:23891893:23904354:1 gene:B456_009G282300 transcript:KJB53624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAQRRSAVSGGNNGYVNGVLPIRSPATICEVDEFCSALGGKKPIHSILIANNGMAAVKFIRSIRTWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASENPELPDALNAKGIIFLGPPSVSMAALGDKIGSSLIAQAADVPTLPWSGSHVKIPAESCLVSIPDEIYSKACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHSDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDRYGNVAALHSRDCSIQRRHQKIIEEGPITVAPWETVKKLEQAARRLAKCVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGVEHGGGYDSWRKVSVVATCFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVAGALYKVSASSAAMVSDYIGYLEKGQIPPKHISLMNSQVSLNIEGSKYTIDMVRGGTGSYRLRMNESEIEAEIHTLRDGGLLMQLDGKSHVIYAEEEAAGTRLLIDGRTCLLQKDHDPSKLVAETPCKLLRFLVSDGSHIDADIPYAEVEVMKMCMPLLSPASGVIQIKSSEGQTMQAGELIASLDLDDPSAVRKAEPFQGNFPVLGPPTAISDKVHQRCAASLNAARMILAGYEHNINEVVQSLLNCLDSPELPFLQWQECMSVLATRLPKDLKNELESKYKGFEVIPSSQNIDFPAKLLKGVLDSHLSSCSEKERGSLERHIEPLMSLVKSYEGGRESHARVIVRSLFEEYLSVEELFSDNIQADVIERLRVQYKKDLLKVVDIVLSHQGVKNKNKLILRLLEQLVYPNPAAYRDQLIRFSALNHTSYSELALKASQLLEQTKLSELRSTIARSLSELEMFTEDGESMDTPKRKSAINERMEDLVSAPLAVEDALIGLFDHSDHTLQRRVVETYVRRLYQPYLVKESVRMQWHRSGLIASWEFLEEHIERKNESEEKMSVEKHSERKWGAMVIIKSLQFLPAIISVALRETTHNLEKATPHGSLEPTTFGNMIHIALVGINNQMSLLQDSGDEDQAQERIKKLAKILQDKEVGSSLRSAGVGVISCIIQRDEGRTPMRHSFHWSAKNLYYEEEPLLRHLEPPLSIYLELDKLKGYEGIRYTPSRDRQWHLYTVLDKPHAIQRMFLRTLVRQPTSNDRLTAYSGHDVDMMHNQLSMSFTSKSIFRSIMAAMEELELNVHNATLKPDHAQMYLCILQEQEINDLMPYTKRVDIDVGQEEEAVETILEELAREIHAFAGVRMHKLGVCQWEVKLWIASFGRANGAWRVVVTNVTGQTCTVHIYRELENTSKYQAVYHSLSVRGPLHGVPVNAHYQPLGVLDRKRLLARKNGTTYCYDFPLAFQMALEQSFASRFPGFKKPKDKLLCKVTELVFADQKGYWGTPLIPIERQPGLNDVGMIAWSMEMSTPEFPSGRTILIVANDVTFKAGSFGPREDAFFLAVTDLACTKKLPLIYLAANSGARIGVAEEVKACFKVGWSDESSPENGFQYVYLTPEDYARIGSSVIAHEMRLASGKTRWVIDAIVGKEDGLGVENLSGSGAIASAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILNWLSCIPPHLGGPIPVLNPSDPPERPVEYFPETSCDPRAAICGTLDSNGNWKGGIFDRDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAIMDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLSTYGQPVFVYIPMMGELRGGAWVVVDSRINSDQIEMYAERTAKGNVLEPEGIIEIKFRKKELIECMGRLDQQLISLNEKLHEAKSNGGHAKAESLQQQIQSREKQLLPVYTQIATKFAELHDTSLRMAAKGVIKEVVDWDRSRSFFYRRLRRRISENSLVKTVNDAAGDQLSYKSAMDLIKRWFFDSNVAKGREDAWVNDEAFFSWKDDTRNYNEKLQELRFQKVLLQLTNIGSSASDMQALPRGLAALLSKMEPSSRKQIANEIRKVLS >KJB61816 pep chromosome:Graimondii2_0_v6:9:52012657:52013977:1 gene:B456_009G383100 transcript:KJB61816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLKLSILLALTVVVALAFGHNIWAAFFTNTASIINQFASITPFLLISITIDSFQGILSGVARGSGWQVLAAWANLGTFYLIGMPVAGLLAFKFNLYAKGLWIGLICGLSCQAGALLLITLCRKWTKIELS >KJB53389 pep chromosome:Graimondii2_0_v6:9:54364400:54365472:1 gene:B456_009G3943001 transcript:KJB53389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYISNNLCFGRFIQTYGTHIIVGMAIGGQDLICVRQNSSSTIPTSELRGYLEDLGDVMFSDGKSPSLIQRKSRDGKQKVPDIFNRILQSSTMQLASIAETSSVRHIEGRSR >KJB53390 pep chromosome:Graimondii2_0_v6:9:54364458:54365472:1 gene:B456_009G3943001 transcript:KJB53390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGGQDLICVRQNSSSTIPTSELRGYLEDLGDVMFSDGKSPSLIQRKSRDGKQKVPDIFNRILQSSTMQLASIAETSSVRHIEGRSR >KJB55774 pep chromosome:Graimondii2_0_v6:9:6832779:6835456:-1 gene:B456_009G093700 transcript:KJB55774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWEMEILSPASYLSSTNWFGEESKSTKWTSAENKMFENALAVYDKDTPDRWQKVAEMIPGKTVGDVIKQYRELEADVSSIEAGLVPIPGYSTSPFTLDWVNSNGYDGLKQSYGLGGKRSSSGRAADHERKKGVPWTEEEHKLFLMGLKKYGKGDWRNISRNFVVTRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLNDMRTPSPDNKGTPSPEQSSVLTQQPNSAAMPRTHFQWNPPCGGATMAFNSTQGSMLMSSPYGVPSYGLKMQGQSLQRSAAHESYFGPQNLVFQMQSAEQYPQNHLF >KJB57711 pep chromosome:Graimondii2_0_v6:9:13676696:13678742:1 gene:B456_009G176700 transcript:KJB57711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIHQPLLDRPRGSYSRDCPLIFTLVLLICLAALVAIPLVRFSFFDANHPYKYCERAVDQKSCSALLSEVASKTTVRTKGVDLLHAFLERSASDMQNVINQARNFNDGINNRREQASSADCLELMELSRDRIMDSMVGVEKQDVNWHSNAQAWLSSVLTNHVTCLDGLQGSVRTLMEPGLSDLISRARTSLAILVSVSPTKTEFDDDPLTGGFPSWLSSKDRKLLQALPNEIKADVVVAKDGSGNYKSLGEAVATAPDKSKTTYIIHVKKGTYKENVEIGANKKNLMIVGDGMNSTIITGSLNVIDGSTTFKSATVAAVGDGFMAQDIWFQNTAGPQKHQAVAIRVGADQSVINRCKIDAYQDTLYAHSNRQFYRDSYVTGTVDFIFGNAAVVFQNCKLVARKPMNSQSNMFTAQGRLDPNQNTGTSIQNCNILASADLEPVKGSIKSYLGRPWKEYSRTVVMQSYIGDHIDPSGWSVWSGDFALKTLYYGEYMNRGSGADTSKRVKWPGFHVITSAEEAKKFTVAELIQGGSWLKSTGVTFKEWL >KJB60608 pep chromosome:Graimondii2_0_v6:9:29587141:29592827:1 gene:B456_009G314900 transcript:KJB60608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRDWFWFVKKALTPESKKDQESSKSKKKWQSKTKDSEPAVPLPQETETETKTEEPEPPPSEDAKPAEAENEPKRHTHFIALATAMAAAAAVAASKATAEAVRVASLQRISSERTAATKIQTAFRGYLARKALPELRRSERLKSMIEGQSVKEQAKSALRCMQTMGGVQSRIRGMRLRMLEENQILHHHLQQKRHKELDKFNASMGGEWHDGRKSKEQSEAIKQYKQEAAMRRERALAYAFTRQRSWKVSSKAANQTLMDQNTPHWGWSWLERWMAARPWDIPCSSPNSASVNTLVSPSISNNDNKPSPTPSKPTPPPPSKIPSFSSLSSQIRQPSPRGTPPGGVECSTPDRDRRRQSSIGGFSSAKDDKSVGSSAEKAPSWMKQVRASQLSRSLKHDRIPEKGLAGTAKKRLSYPTTAGKVRI >KJB54115 pep chromosome:Graimondii2_0_v6:9:1608680:1609099:1 gene:B456_009G021200 transcript:KJB54115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKSCVIMHDAADVNIKRFQSSSNLSIIMYVDGVLVSNSNLPGKNDGSYSRAPPALEDGDGDDDDNDGDYDYAPAA >KJB59140 pep chromosome:Graimondii2_0_v6:9:19280879:19281377:-1 gene:B456_009G240800 transcript:KJB59140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGLGASRAEVYVMRSLHKQKLKKMEIKEQQEAKIDDKVFDEKKILAATGCFSFWVSTKTHSAKVSSDDSTEKPV >KJB61091 pep chromosome:Graimondii2_0_v6:9:39188184:39188639:1 gene:B456_009G340200 transcript:KJB61091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFVASEAGILVHKVDLLIYNDLQNGTFLTIHCKSKQDDLGVHLLAYRDYFEVKFCPNMFGTTLFYCSMQWDATRHWFDI >KJB62741 pep chromosome:Graimondii2_0_v6:9:68048040:68050014:-1 gene:B456_009G433200 transcript:KJB62741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPETDPLAQLSLPPGFRFYPTDEELLVQYLCRKVAGHHFSLQIIAEIDLYKFDPWVLPSKAIFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKVITTDGRKVGIKKALVFYVGKAPKGTKTNWIMHEYRLLETSRKSGSSKLDDWVLCRIYKKNSSAQKSLPCVSSKEHSNNGSTSSSSSQLDDMLEPFPELDNRYFALPRMNSLKTLQNDDKTGFHNLGSGNLDWASLAGLNSVPELVPSGQTQTQGIQSYVNNDLCIPTMPPTLTQMDMSMSKAGNSVEEEVQSGLRTQRINNSGFFQQNSSGLMTQNFSNSIDPYGFRYLTQSGGFVFKQ >KJB62986 pep chromosome:Graimondii2_0_v6:9:69767521:69771571:1 gene:B456_009G446600 transcript:KJB62986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLISKLPGQPDVNFRQFAGYIDVDENVVGRSLFYYFVEAEKDPLTQPLTVWLTGGPGCSSVGDAFGSVGPFIVTKDAHGLQTNILSWNKVSNLLFIDSPIGSGWSYSNTSSDYNNGDDSTNKILLTFMQKWYEKYPVFKSKDLCFAGHFVSNLANALLDNNKQSKVNLKGLILGNPMFRKKLDDIAKIDFFFSREMINSSLYNKIKKECNAIDENNYFSSIKTTWSAKCKNFVFEADLAAFNTDAHNYSPQKLFDVFRPLCPETEQDLNLGKQVPIVSTEVDMCHPLRVQFYFNLPEVQKAFHGNQTNLSYRWKGCFTANFKYNEADKDLDMLPALKNLLQQSVPITIFSGDQDGIIPIEGTLQHLKKLVEELNIKLTIEETWSFRTKEGGLKYEFGDLLKFLTVKGGNHHVTSSRPSQAFSIFSNFTINWMH >KJB58686 pep chromosome:Graimondii2_0_v6:9:17225960:17226628:1 gene:B456_009G221600 transcript:KJB58686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSFNSTPNPLSFLWFSFNFRAFTAKFMAATTTSSTTTTTSSNSSGFFNLRSNNVRPSSSATRGSPPVACGKLDGVGVWFVNGVATAFFASLERCSCIRIATEDDGEDANDVPLIQSDGNVRHLAGTTSRKRTRKGNNKQG >KJB60296 pep chromosome:Graimondii2_0_v6:9:26235261:26240282:1 gene:B456_009G298900 transcript:KJB60296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein arginine N-methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G12270) UniProtKB/Swiss-Prot;Acc:Q0WVD6] MSKNLMETEETNRLTREEEEEDDEDSREAWTEEENEEDGDENDEDLEFLCLFCDFKYSSCDALFDHCRLTHFFDFNGIRKDLGLDFYGSFKLINYVRSQVADNRCWSCGVCCQSKQDLQSHLHPSVNTKDVKLLLDDDKYLNPFMREDSLLYSFDGGEEDEDECNTSFEKEEIVKDFGSVCIDDDDTAEETELNGETYNKDKKTVMACPNGHLSLASSSKIITENGMDYGENARSVESDPKDKQSRVCIADVVEKDIKKINDSYFGSYSSFGIHRDMISDKIRTDAYRQALLKNHSLLNGAVVMDVGCGTGILSLFAAQAGASRVISIEASEKMATVATQIAKDNGLWRSKTDSEGNKSCTGVIEVVNCMVEDLDKSIQIQPHSVDILVSEWMGYCLLYESMLSSVLFARDRWLKPGGAILPDTATIFVAGFGKGGTSLPFWENVYGFNMSYIGKEVVEDAAKFPIVDIINHHDLVTNAAVLQSFDLATMKPDEVDFTATAELEAKNDTLDHKATACFGLVLWFETGFTSRFCKEMPTVLSTSPYTPKTHWSQTILTFREPISVASSKFTAEGSAAVGTDACPASKILLRISIAHATQHRCIDISLETAGVGSDGRKRSWPAQMFNLT >KJB60272 pep chromosome:Graimondii2_0_v6:9:25983221:25983587:-1 gene:B456_009G297300 transcript:KJB60272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWPRYPPCASGTCTDVVCCAHGHKLRWPELLGKNGAAAKATIEEENPEVTAEILTPGRVGPPNFCCNRVFVIVDTHGNVTNIPTIG >KJB55564 pep chromosome:Graimondii2_0_v6:9:6014679:6016433:1 gene:B456_009G082600 transcript:KJB55564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRIKKMDEIEIPTHFLCPISLQLMRDPVTISTGISYDRDSIEKWLYSCKNKACPVTKQALHDSGLTPNHTLRRLIQTWCTLNVSHGIQIIPAPNPPIHNTQIAKLLNDATKLPETRFKCLATLRSITLEEGERNRSCLEASGAVEFLVSIIKRDDSTLLQAENNKGSEFIKASDEALSIFYDIKVSKSCLRSIISNDEVFVTYLVQVLESGNHKSRAYATMILKDLFQVADPTQLINVKSELFAQLVRALSDDVSQQATKAALKLLVELCPWGRNRIKAVEGGAVFVLIELLLGTSETRASELALIVLGHLCGCAEGRAELLKHGAGLAIVSKKIFRVSHGASNMAVRIISSISKFSATSRVLQEMLEVGVVRKLILVVKVDSSSKRKERAREMLKLHSRVWRNPACIPCHLLSSYPS >KJB62428 pep chromosome:Graimondii2_0_v6:9:64320295:64320682:1 gene:B456_009G416500 transcript:KJB62428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFPWHIAVNTLSNSSPGKVTFCPVSNLVPSSSLTNLYNGYDLQSLILFGIDAFPFSTLALEFSTSLGKTAFNSSSKAAIFWKIKALGFFSISPSVIACSTRTCLTFCING >KJB53730 pep chromosome:Graimondii2_0_v6:9:265796:267988:-1 gene:B456_009G002700 transcript:KJB53730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPETIGSLLHHCSKTKSFRSGLSLHAAVLKTGIQANVIVSNHVLNMYAKCGSINFARQVFDEMSEKNLVSWSAMVSGYEQAGEPLLALDLFSQMRLAPNEYIFSSAISACSNLMLLSEGRQIHAQSIKGGYASVSFVSNALISMYMKCGHSKDALSVYSGALQPNVVSYNAIITGFIENQQPEKGFEVFKHMRQQGLAPDRFTFIGLLRSCADSNALHSGMVLHCQTIKLALDSTACIGNVIMTMYSNFSLMKEVEKVFELIQEKDVISWNTFIAACSHCEDHEKSLRAFGEMLNVYHMRPDDFTFAGALSASAALASILYGKQIHGHLIRTRLNQDVGVGNSLTNMYAKCGSIAYAYKVFNGMPHHNLVSWNTIIAAFGNHGLGSRALELFEQLRAVGLEPDSVTFVGILMACNHAGRVDEGLVVFDSMQERYGIAPEIEHVSCLIDMLGRAGRLNEAEAYMRKYPYGEDTVVLGSLLSACRVHGDVVMGERIAKELMKREAVSTSPYVLLSNLYASDEKWGSVAKARKKLKGSGLKKEAGYSLVQVKGNFEKFTVGDFSQTRIDEMLDTLTTLARLSFHLTSSVFL >KJB53980 pep chromosome:Graimondii2_0_v6:9:1161730:1163317:1 gene:B456_009G014400 transcript:KJB53980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGSSGCVGSSDLSASVSVPVIDLGLLPSSKDEQGKLKLALCSGGCFQAIGHGISNSFLNKVRGVAEQFFQLLQEEKQKYSRAGYDLVVSEKQVLDWNTRLFLRVFPEHQRKLNLWPENPDKIREVLHEYSIKLKQLVDLLFKAMAKSLALEENSFSDQFGDNPVMHVRFNFYPPFLLQDEQVEGLQFVKDEKWITVPVIPRVLVVNLGDQMQIMSNGIFKSPVHRVVTNTDKLRISVAMFNEVEPEKEIGPVEGLIDENRPRLYSNVKIYASFNYECFQKGKVPLEEVKFHA >KJB56203 pep chromosome:Graimondii2_0_v6:9:8013468:8016988:1 gene:B456_009G109800 transcript:KJB56203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRCLRSISRIPGNLIPITLSHYIPKPQNNIPCHRITASLTSVSVLTCKRPNTVPLQVRSVATIVDPAARFDEMVIGPQRKYYFLGGKGGVGKTSCAASLAVKFADHGHPTIVISTDPAHSLSDSFAQDLAGGNLVPIEGLNSPLFALEINPEKAKEDFRTSSQKNGGSAVKDFMNNMGLGMLADQFGDLKLGELLDTPPPGLDEAIAISKVMQFVESPQYSMFSRIVFDTAPTGHTLRLLSLPDFLDASIGKIMKFKQKLASAASAFKSVLGKAAEQQDVPDKLQQLRERMTKVRDLFRDSNSTEFVIVTIPSVMAINESSRLHASLRKECVPVHRLIVNQILPPSVSGCKFCTTKRKDQMRAIDMIRNDPELANLRIIEADLVDLEIRGVPALKFMGDIVWR >KJB56204 pep chromosome:Graimondii2_0_v6:9:8013633:8016820:1 gene:B456_009G109800 transcript:KJB56204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRCLRSISRIPGNLIPITLSHYIPKPQNNIPCHRITASLTSVSVLTCKRPNTVPLQVRSVATIVDPAARFDEMVIGPQRKYYFLGGKGGVGKTSCAASLAVKFADHGHPTIVISTDPAHSLSDSFAQDLAGGNLVPIEGLNSPLFALEINPEKAKEDFRTSSQKNGGSAVKDFMNNMGLGMLADQVMQFVESPQYSMFSRIVFDTAPTGHTLRLLSLPDFLDASIGKIMKFKQKLASAASAFKSVLGKAAEQQDVPDKLQQLRERMTKVRDLFRDSNSTEFVIVTIPSVMAINESSRLHASLRKECVPVHRLIVNQILPPSVSGCKFCTTKRKDQMRAIDMIRNDPELANLRIIEADLVDLEIRGVPALKFMGDIVWR >KJB56129 pep chromosome:Graimondii2_0_v6:9:7768778:7770285:-1 gene:B456_009G107200 transcript:KJB56129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDIETAEQHGGEFSAKDYHDPPPAPLIDAEELTKWSFYRAVIAEFIATLLFLYVTVLTVIGYKVQTDPAKNTVDPDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFVGRKVSLIRALMYMVAQCLGAICGCGLVKAFQKTYYNNYGGGANELQPGFNKGTGLGAEIIGTFVLVYTVFAATDPKRNARDSHVPVCVFISISIYMYYYYYFFKISYFLTNE >KJB56128 pep chromosome:Graimondii2_0_v6:9:7768707:7770449:-1 gene:B456_009G107200 transcript:KJB56128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDIETAEQHGGEFSAKDYHDPPPAPLIDAEELTKWSFYRAVIAEFIATLLFLYVTVLTVIGYKVQTDPAKNTVDPDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFVGRKVSLIRALMYMVAQCLGAICGCGLVKAFQKTYYNNYGGGANELQPGFNKGTGLGAEIIGTFVLVYTVFAATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVIYNKEKAWDDQWIFWVGPFIGAAAAAFYHQYILRAAAIKALGSFRSNA >KJB59786 pep chromosome:Graimondii2_0_v6:9:22716766:22717632:-1 gene:B456_009G271900 transcript:KJB59786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFEFDNVKAEKEDALWRYNMEKKLKIGLRLTGFLLALFLFAWSWFPTFIPGTLEVTGDFRRRLVSAFNEPLFTFVLVNFIIVVVYILSGGKHTQKQTTSADIYDEYVGSYRRSILRSTVATAVPAAEESMVDKQIVHVEKAVPPVSLVKQLEPTVETVRETKTSLSPVEQPTTTTTANRTVPTKTKPAVSSTEVREKEYLRSQSTVSESVNQRAPIEFRRSETSICEGGGGETVVSGIEPRRKSMEEMSNEEFQRIIDSFIAERKKTLLQENTVTLGQKKSACLSY >KJB60992 pep chromosome:Graimondii2_0_v6:9:36979326:36980890:-1 gene:B456_009G337400 transcript:KJB60992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSSSSKFPLLMPLMVACLIMAASGSNFHNDFDITWGDGRGKIVNNGEVLTLSLDKQSGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGTTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTTDFHTYSILWNPERIIFSVDDTPIREFKNMESIGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFNADACVWSNGASSCKSNAPSSSTSTNNAWLSQEMDSAKQQRLQWVQQNYMIYNYCTDAKRFPQGLPSECKMS >KJB54813 pep chromosome:Graimondii2_0_v6:9:3645935:3649008:1 gene:B456_009G050300 transcript:KJB54813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACYYYVPFQGHCSFRNPTLSFSLNFNFINTTHHLASSFKPFLRELEQHVFGVDVVKAAVKNTSVKLLDAFVDSAFQFVDYPLDPSQTNAAPVDEIKEAAVITNIHGDIPSEFPQGVYIRNGPNPLFGGLKSTKSVFGESSPIWVEGEGMLHALYFSKDINGNWTVVYNNRHVETDTFKLEKLRNKPSFLPAIQGDPLAVFTSFLLNLVRFGKPLKNISNTNVFEHSGKLYSIAENNLPQEIDILTLEALGDWDVNGAWNRSFTAHPKRVPGTGELVTMGIAATKPFVEVGVISADGKELVHKLDLKLDRCPLSHEIGVTKRYIVFMDCPLTVDVNRLIHGGKLIKYESEGNARIGIMPRYGDAGSIHWFKVKPNCTFHIFNCFEDGDEVVVLGCRALASVIPGPDPGSKEFAWFPTKFKPAPGKPFEDAISEDQLLFHRPYEWRLNVRTGAVKERNLIGANNFPMEFPMINGAFTGLKNKYGYTQVCHCDSIAATGMGRFGGLAKLFFEEQNTEEGSINVEYHMFERNTYCTGAAFVRKEGGAEEDDGWIITFVHHEDTNICQAYIIDTKNFLNEPVAKITLPCRVPDGFHGAFMPIQLLNKM >KJB58292 pep chromosome:Graimondii2_0_v6:9:15718955:15723330:1 gene:B456_009G202800 transcript:KJB58292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISDFAQKLLDDLRLRKERMAASQSSKGTNPMVADAYAYSKTAYKSSRESKTLKTISFQRSRTGFRVGSTQKMASGGRKTPLRRGQKSEQIGNLSMALAFAIENGGKLRAESSGKSSIFSFFIAWCDSHQPSRSQLPTLSHLHIEEISRGVQKLNQILKACSNRLNFDRYLIEIGRELLKGARDLEESLGMLVKLQEDSEYMIRPQRKSRITLLEEDEDDDENTVKIVDQMQLGRPRFSNYNDIQGVARTDLRLRLAALTYSSDVSDSKHKNDVLASSNSHSHKRSVSYVPDTKSNTAFSEQSPSSSVHFKQGKSRISNVIAKLMGLDENPRNIDSKVVGVVTKTPAKDTKKAEQGTKDSAALAHRLPPIKEKATTASKTPLTQDKVTAQAGKTLTTRNGSTRVAAHDKLPPQKNFKDIKPVTSLRKALIKVDKQQGDINHLNLNSGNRKEIQEKESEQNSIKYREKKGIERIDIKKPVLILKDGMQHMIPHVHKTSEFALTLQEKPEYSECIPQRESRHANKLLLGNQQKLQSNHGFQGVQMLQKSELRGKKQQSELKEEQSTEQKLQRKKQKGNELFSKPMSGATNLQKKQPQMKQAETSRKGPSKHIDVTKLNGFPGGRHRQNLARAGSSKIKGSLDRNSGQHYTQGVIESESAKDQNLFAVDEKPVQGQTTMKARTINVYKHGSSISQDMEKARQEKLAISSEADQMKTSRFEEVEPQIIRSNKSSVEAQKNSILCSPLEDGCQSLNELQALAWRENCQNSVPLVTKEQQDQEPDFGKAEELKFKNNISEPLHGTREESREIPCTPQSQYQRTCASEMPEPLTESENHPNEILTKSGLFMNTAEALFKLNILHTNNCGYEVMKSKGIRQELSVHPFLKVTIIPNKEKTLDELVKQMSEDIDKLKLYRRGRRENSPFEDYLPKMLEADVNNKEPHLNCMWDKGRNSMMFAFLEKDDVVRDAEKYVLNGLLGEMTRDIFTGVSVSV >KJB54526 pep chromosome:Graimondii2_0_v6:9:2776385:2777283:1 gene:B456_009G037700 transcript:KJB54526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGNKSTGIKQIVRLKGMLQKWQTATFRSRPNSHNSQRNHGHGGVSRSINKVQTEKMYCDSDEDGCYSPEPAPDVPKGYFAVYVGPELRRFIIPTSYLSHPVFKILLQQAEEEFGYDHNGALTLPCEIETFKYLLKYIDQNQPKSHNVGGGSPVSEHVLICF >KJB56233 pep chromosome:Graimondii2_0_v6:9:8154240:8157511:1 gene:B456_009G111700 transcript:KJB56233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEPEHEHREDQEAPAAEDEDTGAQVAPIVKLEEVAVSTGEENEDPILDLKSKLYRFDKEGNQWKERGAGTVKLLKHKETGKVRLVMRQSKTLKICANHLVLPTMTVQEHAGNDKSCLWHASDYADGELKDELFCIRFASVENCKTFMQMFQEVAESQKPKEENKDASDAAGQLEKLSFQEKAGEEKAVAKQEEKETKVDTEKADTEKKDGEPASST >KJB56234 pep chromosome:Graimondii2_0_v6:9:8154270:8155398:1 gene:B456_009G111700 transcript:KJB56234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEPEHEHREDQEAPAAEDEDTGAQVAPIVKLEEVAVSTGEENEDPILDLKSKLYRFDKEGNQWKERGAGTVKLLKHKETGKVRLVMRQSKTLKICANHLVLPTMTVQEHAGNDKSCLWHASDYADGELKDELFCIRFASVESKYILLSITLLLLYMKLNQCYFNSLFKLRSGPAH >KJB54686 pep chromosome:Graimondii2_0_v6:9:3244651:3246265:1 gene:B456_009G045000 transcript:KJB54686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLYDLNSPPSPFPLEDLKHHHHLQLFLSLPQHTASSSSAHHPTFFSSTDAAATHKPQEPKPYDLKVNNYVSHDGGGGSSDIQQAISSSSSFLESAAVDLSLSRNRKDDGDDYESVSDRNNGSSVELKWMSSKMRLTKKMMMNSNCSGPPPLPHNTAAVNDSKYQYPIQDRDETNYFSKTNNAIRVCSDCNTTTTPLWRSGPRGPKSLCNACGIRQRKARRALEAAAANGETVAASMKIRVHHNKKEIKKSRTVGQYKKELKSKSGNTCLHHHHHKRKLCFKEITLSLSKNSGLQRVFPQDVEDAAILLMELSCGLFHT >KJB59299 pep chromosome:Graimondii2_0_v6:9:20045841:20046469:1 gene:B456_009G248400 transcript:KJB59299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VALKTLIVIHRVLREGDPTFREEILNFSQRARILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPRPSQGQDKGYSRTRDLGSEELLEQLPALQQLLHRLIGCRVLIHFKLVEF >KJB58930 pep chromosome:Graimondii2_0_v6:9:18209356:18212483:-1 gene:B456_009G231200 transcript:KJB58930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVEEEEEKAFEIFSKSAPKKPFLYKEDMGNTATYAVVRHHNTRRISSILRHHLPLKKKNPPLVHLFTQSLTFRLYPF >KJB55852 pep chromosome:Graimondii2_0_v6:9:7103045:7105222:-1 gene:B456_009G098100 transcript:KJB55852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLFQDFPRFNFDNGSEPMYTNHNLVNGFKEGSPESPDGYASSSGSCVQGHPLDNIPFANEMLKYINEMLMEEDLQEKSCMLQDCLALQAAEKSFYEVLGHQYPLSLHPISPFPDDNLTQTSNSYNITGTNSVKSSTGMYGSLERTSLSEPFTESPHSGDKVLLPPQSSLSSPCVPDGRYKSPLSSKGRKNYQREDGDYLEEGRISKQSATSLEDSEQSDIFDEVLLCKGENEVSPKFSLNGNSPKNAQLKGSINGGTGSRRKKSSKRSEVVDLWSLLTQCAQSVAINDQRTANELLKQISQHSSDSGDGTQRLAHYFGNALQTRLAGKGAPSYLHLGSNKTSAADVLKAYGVYLLACPFKKMSNFCANKKIMEVAEMATTLHIVDFGICYGFQWPCLIQRLSARAGGPPKLRITGIEFPQPGFRPAERVEETGRRLKRYCERFNVPFEYNVIAKKWETIQLEELKINYDEVVVVNCMYRLKNLPDDTMAPTSARDTVLKLIKSINPAIFIHGVANGTYNAPFFVTRFREALFHFSAQFDIFEANVCREDAERMMYEKEVLGRDVMNVVACEGSERVERPETYKQWQARTLKAGFKQAPLEQQLMKKVRNMVQCNYHRDFSVDVDGRWMLQGWKGRVIYALSFWKPVKNEPYL >KJB57157 pep chromosome:Graimondii2_0_v6:9:11492525:11494709:-1 gene:B456_009G151000 transcript:KJB57157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTLNMPTIISAIFYQILVIQLLLNMCSAKEVPAIFAFGDSLFEVGNNFYLDTLAKPTLPNGIDFTKGKRRASGRYTNARTILDIIEEELGFTNYTPPYLSPQTTGDVILKGVNYASSGSGIFNSTGSKFGDHICMDEQINNFAKSRQDIVSKIGAAAARKLLRDALYFIAVGANDIILLSSNVSLSHVENIKYLDNMISKFKSQLMSVYNLDARKIAVTSAAPLGCIPFERDKFSIDHCVPHVNELAKLYNVRLKILLSELTKHLAGSIFIYMNSYTTLEDVLQNYKSYGFTNADSACCRVYGKHGGKLPCIFFARVCPNRTQYVFWDAYHPTEKTNFILAKHALDGSRQYISPINIRQLANS >KJB61068 pep chromosome:Graimondii2_0_v6:9:38637256:38640764:-1 gene:B456_009G339300 transcript:KJB61068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVEEVRKAQRAQGPATVLAIGTSTPPNCVDQSTYPDYYFRITNSEHKTELKEKFKRMCEKSMIKKRYMYLTEEILKENPNVCAYMEPSLDARQDMVVVEVPKLGKEAAARAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRVAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIIGSDPIPEIEKPLFELVSTAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLVEAFQPLGITDWNSLFWIAHPGGPAILDQVEAKLALKPEKLRATRHVLSEYGNMSSACVLFILDEMRNKSREDGVQTTGEGLEWGVLFGFGPGLTVETVVLHSIPA >KJB62906 pep chromosome:Graimondii2_0_v6:9:69446493:69447416:-1 gene:B456_009G443200 transcript:KJB62906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATLPKENCWGLPEDQYQYQGFWLIPPFLQGALSAQQQFQAQPTDIILCSSPRTGAAWLKSLTFATITRTSYNDSTTPLLSKMPHDVVPFMEFDHAQFSTNRHLEIPLLGTHLPYSFLPRSIIDSGCKLIYICRDPKDTFVSLYHFIARHCKSQNTQPIQLDEAFELFYEGVCPYGPYWDHVLGYWKASLERPDKLMFLKYEDLVEDTVLYLKKTVEFMGYPFSSDEQQQWVPENIVKMCSFDNLSGLEVNKTGRHREGKGNLVTKNNIYFRKGKVGDWKNYLTTEMAQRLDQRTLQKLSGSGLSL >KJB62905 pep chromosome:Graimondii2_0_v6:9:69446353:69452435:-1 gene:B456_009G443200 transcript:KJB62905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHDVVPFMEFDHAQFSTNRHLEIPLLGTHLPYSFLPRSIIDSGCKLIYICRDPKDTFVSLYHFIARHCKSQNTQPIQLDEAFELFYEGVCPYGPYWDHVLGYWKASLERPDKLMFLKYEDLVEDTVLYLKKTVEFMGYPFSSDEQQQWVPENIVKMCSFDNLSGLEVNKTGRHREGKGNLVTKNNIYFRKGKVGDWKNYLTTEMAQRLDQRTLQKLSGSGLSL >KJB59772 pep chromosome:Graimondii2_0_v6:9:22672019:22674138:-1 gene:B456_009G271100 transcript:KJB59772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein APETALA 3 [Source:Projected from Arabidopsis thaliana (AT3G54340) UniProtKB/Swiss-Prot;Acc:P35632] MARGKIQIKLIENSTNRQVTYSKRRNGLFKKANELTVLCDARVSIIMFSTTGKLHEFISPSTTTKQVIDQYQKTLGIDIWNTHYEKMQEQLKQLKEVNRNLRKEIRRRMGDCLNDLSIEDLGALEQEMESSVTLIRDRKYRVLSNQIDTSRKKVRNVEEIHKNLLHELESLKEDPYGLVDNGGDYDTLIGYQNGGPRIFALRLQPNHPSLHSGGGSDLTTYPLLD >KJB61025 pep chromosome:Graimondii2_0_v6:9:46849894:46850308:1 gene:B456_009G357600 transcript:KJB61025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNVYSALVVLFLTCGAAKATKENGQIIKENNCETKMGLPCVLEAFTSIFETGSISDKCCGELVGLGKVYHSALVKRTLENPLFKDLSPATIIAKCIQTWNNCLALIDSPSPSA >KJB63198 pep chromosome:Graimondii2_0_v6:9:30323792:30326236:1 gene:B456_009G318800 transcript:KJB63198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMITRETLQLPASKPEFPRPFDLLLKLDLAPPNAYWWLLEENHSLQTQQPPSNTTKVKSLHLISVSHLSNVAVSSLLPNLPFLRSLTIAKCNGLQSLQIKEAKALRKLVVLDCPHLQSLSFEGSNLKSFRYRGKLVSFQFRDNSAFWGDCWFFLEDVMVDIRQGSLTQWTWDFETETSFFRHHYGLCKRNHWGCTSKYTCFNSILRSIKNVKSLTICRWFYETSICKKLPFSSRHPECYLSQLKELWWIDCSTERESINALLCFLKLCPKLERLYVTLDPKSYNLPSTGKFSALVTVPDKLYDLKVVKLEGFADEEKENFIARRLIPLFGETNPVIISKSKGKCLKHLVKIAKLEKKGKYPYKFKMVKNIDEKFLDHVHMKL >KJB63199 pep chromosome:Graimondii2_0_v6:9:30323795:30326236:1 gene:B456_009G318800 transcript:KJB63199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGRSKKNNQDKQRHDLDDDNPRNTSASSNNSMDPNDFISHLPDNILHQIILFLPVQSAVLTSFLSTHWKHLWKEALLEPVHDVVTMEAAIEAIKSFLDDFDTHYRPRNKWGFIFELGHGRGILVSSISSNGSLKLDFSASKPEFPRPFDLLLKLDLAPPNAYWWLLEENHSLQTQQPPSNTTKVKSLHLISVSHLSNVAVSSLLPNLPFLRSLTIAKCNGLQSLQIKEAKALRKLVVLDCPHLQSLSFEGSNLKSFRYRGKLVSFQFRDNSAFWGDCWFFLEDVMVDIRQGSLTQWTWDFETETSFFRHHYGLCKRNHWGCTSKYTCFNSILRSIKNVKSLTICRWFYELDPKSYNLPSTGKFSALVTVPDKLYDLKVVKLEGFADEEKENFIARRLIPLFGETNPVIISKSKGKCLKHLVKIAKLEKKGKYPYKFKMVKNIDEKFLDHVHMKL >KJB63201 pep chromosome:Graimondii2_0_v6:9:30324034:30326236:1 gene:B456_009G318800 transcript:KJB63201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNDFISHLPDNILHQIILFLPVQSAVLTSFLSTHWKHLWKEALLEPVHDVVTMEAAIEAIKSFLDDFDTHYRPRNKWGFIFELGHGRGILVSSISSNGSLKLDFSASKPEFPRPFDLLLKLDLAPPNAYWWLLEENHSLQTQQPPSNTTKVKSLHLISVSHLSNVAVSSLLPNLPFLRSLTIAKCNGLQSLQIKEAKALRKLVVLDCPHLQSLSFEGSNLKSFRYRGKLVSFQFRDNSAFWGDCWFFLEDVMVDIRQGSLTQWTWDFETETSFFRHHYGLCKRNHWGCTSKYTCFNSILRSIKNVKSLTICRWFYETSICKKLPFSSRHPECYLSQLKELWWIDCSTERESINALLCFLKLCPKLERLYVTLDPKSYNLPSTGKFSALVTVPDKLYDLKVVKLEGFADEEKENFIARRLIPLFGETNPVIISKSKGKCLKHLVKIAKLEKKGKYPYKFKMVKNIDEKFLDHVHMKL >KJB63200 pep chromosome:Graimondii2_0_v6:9:30323795:30326236:1 gene:B456_009G318800 transcript:KJB63200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGRSKKNNQDKQRHDLDDDNPRNTSASSNNSMDPNDFISHLPDNILHQIILFLPVQSAVLTSFLSTHWKHLWKEALLEPVHDVVTMEAAIEAIKSFLDDFDTHYRPRNKWGFIFELGHGRGILVSSISSNGSLKLDFSASKPEFPRPFDLLLKLDLAPPNAYWWLLEENHSLQTQQPPSNTTKVKSLHLISVSHLSNVAVSSLLPNLPFLRSLTIAKCNGLQSLQIKEAKALRKLVVLDCPHLQSLSFEGSNLKSFRYRGKLVSFQFRDNSAFWGDCWFFLEDVMVDIRQGSLTQWTWDFETETSFFRHHYGLCKRNHWGCTSKYTCFNSILRSIKNVKSLTICRWFYETSICKKLPFSSRHPECYLSQLKELWWIDCSTERESINALLCFLKLCPKLERLYVTLDPKSYNLPSTGKFSALVTVPDKLYDLKVVKLEGFADEEKENFIARRLIPLFGETNPVIISKSKGKCLKHLVKIAKLEKKGKYPYKFKMVKNIDEKFLDHVHMKL >KJB54523 pep chromosome:Graimondii2_0_v6:9:2768382:2771131:1 gene:B456_009G037500 transcript:KJB54523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENHYENPPLTISRIGIIGAGVSGIAAAKQLYHHNPVVFEASDSIGGVWRTCAYNSTKLQSARKDYEFSDFPWPNRDDPSFPTHVEVVDYLESYAKHFDVLKFVKFNSKVMELRFVGQQGTTQLGGNGGAYGSPLPGHPVWQIAVQTNGSQNLQWYAFEFVVVCIGKYGDIPKIPSFPHSKGPEIFQGEVLHSIDYCKLDKDAASQLLKGKKVAVIGYKKSAIDLAVECAEANQGPEGQPCTMVVRTLHWTVPHYSVWGLPFFLFYSTRASQLLHEKPNQSFLRTMLCYLLSPLRRGVSKFIESYLLWKLPLLKYSLKPDHPFEEDYASCQMAIMPENFFTEADKGNIVFKRSSNWWFWKEGIEFDDNTKMKADVVILATGYDGKKKLKTILPDPFRSLIEYHPSGIMPLYRGTIHPLIPNMAFVGYIESVANLHTSELRSMWLARYVDEKFKLPSAENMLEQIYKEMEVSRRITRFYKRHCISTFSINHGDEICEEMGWNSWRKRSWFAEAFSPYGSQDYRLKN >KJB61582 pep chromosome:Graimondii2_0_v6:9:49337674:49339604:-1 gene:B456_009G368000 transcript:KJB61582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSINKGKEIAGGSSRPTPAGAGADQQSPPALSRYVSQKRRDWNTFGQYLKNQRPPVALSLCNANHVLDFLRYLDQFGKTKVHLQGCMFFGQPEPPGPCTCPLKQAWGSLDALIGRLRAAYEENGGLPETNPFASGSIRVYLREVRDSQAKARGIPYKKKKKKKSPLKSNEDTSSFTVQQS >KJB61583 pep chromosome:Graimondii2_0_v6:9:49334891:49339604:-1 gene:B456_009G368000 transcript:KJB61583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSINKGKEIAGGSSRPTPAGAGADQQSPPALSRYVSQKRRDWNTFGQYLKNQRPPVALSLCNANHVLDFLRYLDQFGKTKVHLQGCMFFGQPEPPGPCTCPLKQAWGSLDALIGRLRAAYEENGGLPETNPFASGSIRVYLREVRDSQAKARGIPYKKKKKKKSPLKSNEDTSSFTVQQS >KJB62454 pep chromosome:Graimondii2_0_v6:9:64966916:64969758:1 gene:B456_009G417800 transcript:KJB62454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKITITPTPQQLINLSLLMTLPTSSLFPLTTPLPLLAFAPLFSRKSAVKMVSTDGLTARFSCSYTDAEPQQDIYGCYCIFKGRVGVRGLSF >KJB62456 pep chromosome:Graimondii2_0_v6:9:64966916:64969256:1 gene:B456_009G417800 transcript:KJB62456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKITITPTPQQLINLSLLMTLPTSSLFPLTTPLPLLAFAPLFSRKSAVKMVSTDGLTARFSCSYTDAEPQQDIYGCYCIFKGRVGVRGLSF >KJB62455 pep chromosome:Graimondii2_0_v6:9:64966916:64969758:1 gene:B456_009G417800 transcript:KJB62455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKITITPTPQQLINLSLLMTLPTSSLFPLTTPLPLLAFAPLFSRKSAVKMVSTDGLTARFSCSYTDAEPQQDIYGCYCIFKGRVGVRGLSF >KJB58174 pep chromosome:Graimondii2_0_v6:9:15279296:15280201:-1 gene:B456_009G197800 transcript:KJB58174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSVMGGFGERKQIRRPAQASSRKGCMRGKGGPENALCTYKGVRQRTWGKWVAEIREPNRGARLWLGTFDTSHEAAMAYDAAARKLYGSEAKLNLPELCVGPRYPPPSTNTQAAAMGNQPQNLNNSGTCSSNSPTIIRTNDVQPVYNNDSVISFDSFPNENIDSQGNPAENNAKFGQNEDGIDGFWENMCVNLPVLDESIWAEAAISLDFPVMDDPGSFASSLVDVTGWDALQTPWCILYSYSNSHEYIMGFSGFVLA >KJB63193 pep chromosome:Graimondii2_0_v6:9:68759695:68762995:-1 gene:B456_009G437100 transcript:KJB63193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSCSSLKQHFLMFLVVTISSIINSQVNGCFTSIFSFGDSLTDTGNLLEISLSDSTNPPHSAFLPYGRTFFHHPTGRFCDGRLVIDFIAEALGFSFLPPFYGSKSGKWEKFQKGANFAVASATALNSSFLAEQGIHSVSTNISLGVEVNSFKHLLPSLCSSSSNCKELLRNSLIVMGEIGGNDYNHAFMQGKNIENIRQLVPLVVDIISSSINELIELGAMTFLVPGNFPIGCSPSLLTKFHGSERDQYDPLTGCLTWLNRFSQHHNELLRKELENIRSLHPQINIIYVDYYKAAVRFYHSPKQFGFKETLKACCGIGGLYNYDPLIFCGYPQLKQCCNDPSSYISWDGIHYTEAVNKWLAHTVFEELMSTIPSLGSLCPSSTVNKLRILYPMFGV >KJB63192 pep chromosome:Graimondii2_0_v6:9:68759695:68762992:-1 gene:B456_009G437100 transcript:KJB63192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSCSSLKQHFLMFLVVTISSIINSQVNGCFTSIFSFGDSLTDTGNLLEISLSDSTNPPHSAFLPYGRTFFHHPTGRFCDGRLVIDFIAEALGFSFLPPFYGSKSGKWEKFQKGANFAVASATALNSSFLAEQGIHSVSTNISLGVEVNSFKHLLPSLCSSSSNCKELLRNSLIVMGEIGGNDYNHAFMQGKNIENIRQLVPLVVDIISSSINVRIDGCCPCFKLGVDRVRGNDIFGPRELSHWMLPIFVDKISWFRKGSI >KJB60084 pep chromosome:Graimondii2_0_v6:9:24843321:24844265:-1 gene:B456_009G289100 transcript:KJB60084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQQKIHPVPDVEAPPEASPSAPLVPLATSKSDTGDPVEQHPLNSQSHGPSPVKHSTAPKKRRSCCCRCMCWTLSLLLLLIVILGIIVGILFLVFRPKLPKYSIDGLRVTQFDLSSVNSSLSASFDVNITARNPNKRIGIYYDGGSHITVWYNETQLCEGALPKFYQGHRNTTVLVLPMTGQVQNGTVLLTALQQQQQMTGNIPLRLRAKQTVRVKLGSLKLMKMKFRIRCRLVVNALSANNAIRISSSSCSFRLRL >KJB61647 pep chromosome:Graimondii2_0_v6:9:50437413:50440554:1 gene:B456_009G372600 transcript:KJB61647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSVARSAAGKNLLRRGYATAVPERKVAVLGAAGGIGQPLALLMKLNPLVSQLALYDIANTPGVAADVSHINSRSEVAGYVGEEQLGKALEGCDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCSAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKAKVNVADVNVPVVGGHAGITILPLFSQATPKANLPEEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVRLGKNGVEEVLGAGEPQTRT >KJB61646 pep chromosome:Graimondii2_0_v6:9:50437387:50440554:1 gene:B456_009G372600 transcript:KJB61646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSVARSAAGKNLLRRGYATAVPERKVAVLGAAGGIGQPLALLMKLNPLVSQLALYDIANTPGVAADVSHINSRSEVAGYVGEEQLGKALEGCDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCSAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKAKVNVADVNVPVVGGHAGITILPLFSQATPKANLPEEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGPLSEYEKEGLESLKPELKSSIEKGIKFANQS >KJB57331 pep chromosome:Graimondii2_0_v6:9:12124700:12125734:-1 gene:B456_009G158100 transcript:KJB57331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINVPSSRTDQTAEKEGGDWDGLNIETCLLDLNRQCQGLGHEVSRLNRYNLENKDKLMSLKSRIEEFNQREVQLTREIEQLERKVLMSMLQKGFNDDELEKFGEVKEIKGCEDLKEKKLEQEFLDLMLEIGNINDKFKEIGIGIEETEKCEKTEDSKDLDSDLAGQLVNLSQLVSDKKERMGKLENMGNAVDNVEWSDGDVDVENEIKNGNVSDNGEEEEIGARELCKEIEILEAMLERGSFELLDLKTAMEEIEALKGSEKMTSEMEELESGLWELKRAIAELKGKKSKEHKCWVTKEDGNLNWGSIIASVGAAVVAVTAMVFVGRATGVKFTAERGNKHRTG >KJB58164 pep chromosome:Graimondii2_0_v6:9:15228729:15230562:-1 gene:B456_009G197400 transcript:KJB58164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLSLLFLLLSSVVASAVVAEVISNDGPLIRQVASDGVAEEDFVDQLLNAEHHFTLFKSKFGKTYATKEEHDYRLGVFKANMRRAKRHQLLDPTAFHGVTKFSDLTPSEFRRQFLGLKPLKLPADAQKAPILPTDDLPDDFDWRDQGAVTGVKDQGSCGSCWSFSTTGALEGAHYLATGELVSLSEQQLVDCDHECDPQEYGACDSGCNGGLMTTAFEYTIKAGGLEREKDYPYTGNAGGPCKFDKTKIAASVSNFSVISIDEDQIAANLVKHGPLAVGINAVFMQTYIHGVSCPYICGKHLDHGVLLVGYGSAGYAPVRFKNKPYWIIKNSWGENWGENGYYKICRGNNVCGVDSMVSSVSALHILKRQQLSH >KJB54545 pep chromosome:Graimondii2_0_v6:9:2813630:2817228:1 gene:B456_009G038200 transcript:KJB54545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of mec-8 and unc-52 protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT2G26460) UniProtKB/Swiss-Prot;Acc:O48713] MTSSKKYYKEKIARRKEEKAEEPEQPKYRDRAKERREDQNPDYEPTELGSFHAVAPPGTVDLRSADAHKISIEKSKYLGGDVEHTHLVKGLDYALLNKVRSEIDKKPEAGEETDGKSRKSKEDQQLSFRTATAKSVYQWIVKPQTVMKTNEMFLPGRMAFIFNMGGYSNDIPTTLHRSKADCPVPDEMVTVNVDGSVLDRIAKILSYLRLGSSGKVLKKKKKERDAKGKISSLGNEYYEEEKLSKPNDGVSNGRTEKEILPPTPPPPRKNHLDSREKQGPTIARAEEDDIFVGEGVDYNSPEKDAVPSPLSEDMEESPRHKERVSYFPEPAYGPVSPSAAQEWQELNGYDALQTQALAGGYQGEWQDYQYADQLAYPEQYLQASMQGYEVQAGSNIPQDPRYMTQEEKDRGLGSVFKRDDQRLQQLREKDAREKDPNFISESYSECYPGYQEYNREIVDSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLNNELHKINKILARKKMEKESGGGEGGSHSDDVQPGKKLRISG >KJB54544 pep chromosome:Graimondii2_0_v6:9:2813570:2817456:1 gene:B456_009G038200 transcript:KJB54544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of mec-8 and unc-52 protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT2G26460) UniProtKB/Swiss-Prot;Acc:O48713] MTSSKKYYKEKIARRKEEKAEEPEQPKYRDRAKERREDQNPDYEPTELGSFHAVAPPGTVDLRSADAHKISIEKSKYLGGDVEHTHLVKGLDYALLNKVRSEIDKKPEAGEETDGKSRKSKEDQQLSFRTATAKSVYQWIVKPQTVMKTNEMFLPGRMAFIFNMEGGYSNDIPTTLHRSKADCPVPDEMVTVNVDGSVLDRIAKILSYLRLGSSGKVLKKKKKERDAKGKISSLGNEYYEEEKLSKPNDGVSNGRTEKEILPPTPPPPRKNHLDSREKQGPTIARAEEDDIFVGEGVDYNSPEKDAVPSPLSEDMEESPRHKERVSYFPEPAYGPVSPSAAQEWQELNGYDALQTQALAGGYQGEWQDYQYADQLAYPEQYLQASMQGYEVQAGSNIPQDPRYMTQEEKDRGLGSVFKRDDQRLQQLREKDAREKDPNFISESYSECYPGYQEYNREIVDSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLNNELHKINKILARKKMEKESGGGEGGSHSDDVQPGKKLRISG >KJB61569 pep chromosome:Graimondii2_0_v6:9:49135838:49137452:1 gene:B456_009G366700 transcript:KJB61569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYELILLDLHSKYILVNHILNDLVLIGNAKKIRKPKPWKHPQPITKSELEQMRDEFWDTAPHYGGQKEIWDALRAAAAEPDLSQAQVIVDCAGVIVQNTDLTICYDERGAKYELPKYVLSEPTNMIRDN >KJB61568 pep chromosome:Graimondii2_0_v6:9:49134783:49137452:1 gene:B456_009G366700 transcript:KJB61568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVGSTPAKGDGDGNAKKIRKPKPWKHPQPITKSELEQMRDEFWDTAPHYGGQKEIWDALRAAAAEPDLSQAQVIVDCAGVIVQNTDLTICYDERGAKYELPKYVLSEPTNMIRDN >KJB61567 pep chromosome:Graimondii2_0_v6:9:49134745:49137452:1 gene:B456_009G366700 transcript:KJB61567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVGSTPAKGDGNAKKIRKPKPWKHPQPITKSELEQMRDEFWDTAPHYGGQKEIWDALRAAAAEPDLSQAQVIVDCAGVIVQNTDLTICYDERGAKYELPKYVLSEPTNMIRDN >KJB61171 pep chromosome:Graimondii2_0_v6:9:41910724:41913174:-1 gene:B456_009G346200 transcript:KJB61171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNVNKEKQTGKGDASAEPVRTKVAGVARDESTDVELNKPNREEDAADRRVLRSKYLVVMTKISDAREEISNVDSNKFNIIINEVDNLHQQVSKPREQVADAEALLGLTNTLATSVKSLETQENEQISIDWKEIGVAVSPFFRTCKGICTMLGPMSNELRQRKSMVTRKRAVRPTDTSRPDEVDETGVEEKTDTDRNMAV >KJB57755 pep chromosome:Graimondii2_0_v6:9:13822671:13826202:-1 gene:B456_009G179300 transcript:KJB57755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKFAVYSFIACILFLGVNGESPYRFFTLKVTHGDIYPLGVKQKGILINGQFPGPTIDAVTNDNLIIHVYNYLNVPFLISWNGVQQRRNSWQDGVLGTNCPIRPGKNFTYVLQVKDQIGTFFYFPSLLFHKAAGGYGSIRIWSRPKIPVPFPTPAGDFTVLAGDWYKRNHYVLRRYLESGHSLPFPDGLVINGRGWNGYTFTVDPGRTYRFRVSNVGISTSINFRIQSHKLKLIEVEGSHTIQNTYHSFDIHLGQSYSFLVTADQASQDYYVVATSRFTPRVLTTTAILHYSNSSKGVSGPIPWAPTFQIAPSLMQARSIRWNLTASGPRPNPQGSYHYGMIAPSRTIMLANSAPYINGKQRYAVNGVSYVPPDTPLKLADYFKIRGVFNLGSIPTRPPSGNNAYLQTSVMAADFREYVEIVFQNWENTVQSWHIDGYSFFVVGMDGGQWTPDSRRSYNLRDAVSRCTTQVYPRSWTAIYMALDNVGMWNIRSENWARQYLGQQFYLRVYSPANSWRDEYPIPKHALLCGRARGRRTRPL >KJB61528 pep chromosome:Graimondii2_0_v6:9:48641707:48642378:-1 gene:B456_009G364400 transcript:KJB61528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDISILLAKLSFSEEETKRVVSKKANMDNSKGYEHWAIGKLMTKEKVNREAMYRVFISLWYTKEEVNFVAIKEGGIPVKFCNKEDRKRILNLSPWLFDQCLFNMVPYNKDKTMEDYDFSHSPFWVRVSNIPMEYMDRDLALERDRDGGWTEYMRIRINIDINKPLRRVVHYIDHEGEEFVCVIRYEKLPRFCYICGLIGHTTQKCKSR >KJB62999 pep chromosome:Graimondii2_0_v6:9:69927691:69932561:-1 gene:B456_009G448300 transcript:KJB62999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSRLYKQGSQYSCSSLIQFKNSFSITKDASLSCDHITGLKSYPKTNSWKEGTDCCSWDGVTCDHLNAHVIALDLSCSWLYGNFPSNSTLFLLPHLQKLNLAYNDFNLSKIPSEFGRFESLVYLNLSHTRFVGEVPSQVSHMSKLVSLDLSCWIYDYEQFTIDKHAVEGLIHNLTEVRHLFLHGINMSSVNAYVFMNLSSSLRSLSLADCDLQGKFPKNIFDLPNLNLAPLKFNRSSNLEHLDLSSMSFSTEFIDSVNNLRALKYLDLSGNSFFQGMSVSITNLSSLEYLVISGADIFGGLPDSMGNLVSLKFLVLYYSNLLGPVPRSLGNLLQLTHLDLSQNQLSEQIPRSLGNLLQLTHLDLSQNQLSGQIPRSLGNSLQLTHLDLSQNQLSGQIPRSLGKLSQLTNLDLSQNQLSGPIPRSLGNLLQLTHLDLSQNQLSGQISLSILNLTQLEFLDITENLLEGSIPDEKNKFYGTIPPTFAKGCQLSNFNLNRNLLEGPLTPSILKCKVGDLTSLEWSDLSSNRLSGTIPKRLADLPFLSSLNVSENQFHGQIPQGKQFNTFGNDSYEGNKGLCGFPVSKGCNISEPAPPNVLEKDGSKLNISFG >KJB62332 pep chromosome:Graimondii2_0_v6:9:62628082:62629056:1 gene:B456_009G412400 transcript:KJB62332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQVIFALSLTIFFFHGAHSATFTFTNNCPYPVWPGTLTGQGPQLSSTGFELASKASSTLNVPAPWSGRIWGRTQCADTSGKFQCATADCGSGQITCNGAGAIPPASLIEFTLAASGGQDFYDVSLVDGFNLPLSVIPQGGSAGCGATGCPANVNAACPPELQVKGSDGGVIACKSACLAFNQPQYCCTGAYSQPSTCHPTQYSKIFKSQCPQAYSYAFDDKSSTFTCSGGANYLITFCP >KJB59537 pep chromosome:Graimondii2_0_v6:9:21437738:21439779:1 gene:B456_009G260100 transcript:KJB59537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPASIGRGWQRCRFPAIYNFGDSNSDTGACNAAVAEIPSPYGQTFFKQPSGRASDGRLIIDFLAENLGLPYLSAYLDSIGTNFRHGANFATGGSCIQPACGYGPFNLATQVSQFIHFKARTTALYNQLCLNRKIAVHCIDLPRPDDFSKAIYTLDIGHNDLAYGYLQHRAEGRIRVSIPNMLTLFSQAVHVLYSEGARIFWIHNMDPIGCLPFSVMMYQPKAHNVDRNGCVMPQNEMAKEFNRQLKDKVSQLRTQLPSAAFTYVDVYSAKYALISDAKQQGFVDPRTFCCGSYGHHGHHVDCGKKVNGTVYGSSCRYPSRHISWDGMHFTEAANLWVANRTLNGFFSDPPVSIDKACHRPQL >KJB59538 pep chromosome:Graimondii2_0_v6:9:21437738:21439779:1 gene:B456_009G260100 transcript:KJB59538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPASIGRGWQRCRFPAIYNFGDSNSDTGACNAAVAEIPSPYGQTFFKQPSGRASDGRLIIDFLAENLGLPYLSAYLDSIGTNFRHGANFATGGSCIQPACGYGPFNLATQVSQFIHFKARTTALYNQLCLNRKIAVHCIDLPRPDDFSKAIYTLDIGHNDLAYGYLQHRAEGRIRVSIPNMLTLFSQAVHVRKHGMLLEACKKCTVCSSSSSSSSSSIYLHLSMFQVLYSEGARIFWIHNMDPIGCLPFSVMMYQPKAHNVDRNGCVMPQNEMAKEFNRQLKDKVSQLRTQLPSAAFTYVDVYSAKYALISDAKQQGFVDPRTFCCGSYGHHGHHVDCGKKVNGTVYGSSCRYPSRHISWDGMHFTEAANLWVANRTLNGFFSDPPVSIDKACHRPQL >KJB58725 pep chromosome:Graimondii2_0_v6:9:17383617:17389673:-1 gene:B456_009G223200 transcript:KJB58725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYNQLNENSTPRGNFLYATPVLASNSSPYGRGSSGSNVSNQQVEMPLISFQFQSSECYQSEVHPIVKTEASTSQHGQKFHYPLLREHQAAVHHQQDRNESFSEAEAIKAKIIAHPQCSNLLEAYMDCQKVGAPPDVVARLAAVRQEFEARQRSSVTSRDTSKDPELDQFMEAYYDMLVKYREELTRPIQEALDFMRRIEAQLNMLTNAPVRIFNSDEKCEGVASSEEDQENSGGETELPEFDPRVEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKEARQKLLSWWELHYKWPYPSESEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQNAALYMDGHYMGDGPYRLGP >KJB62121 pep chromosome:Graimondii2_0_v6:9:58163572:58165208:1 gene:B456_009G401800 transcript:KJB62121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVVGAASNPLSNPIVSMVDGVSSRAFDEVLKATPPALSAFLAGLPSIEAKEKSPQLDDGDSGFPPRGGGGGGGNWSGEFFLFEFLAFLGFLKDKESEEDYRDSRRR >KJB62616 pep chromosome:Graimondii2_0_v6:9:66809971:66811859:-1 gene:B456_009G4260002 transcript:KJB62616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGNPMLRKKLDDIAKIDFFFSREMINSSLYNEIKKECNAIDENNYFSSIKTTWSAKCKNLVFEANLAAFKTDAHNYSPQKLFDVFRPPCAENELNLNLTKQVPIVGTEVDMCVPLRVQFYFNIPEVQKAFHGNRTNLSYRWQGCFTANFKYNEADKDLDMLPALKNLLQQSVPITIFSGDQDGIIPTEGTLQHLEKLAEELNIKLTKEETWSLRTKEGGLKYEFGDLLKFLTVKGGNHHVTSSRPSQAFSIFSTFAINWMH >KJB61771 pep chromosome:Graimondii2_0_v6:9:51554761:51558496:-1 gene:B456_009G379700 transcript:KJB61771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPGVGIANCLGPPLCKYLKYHRKLNDYVRNFGRIRDELNCKMEDIERQLKAELLSPGGKTPKQGVENWLKDAKEMIAEAQDVENKVRNGRYLCRAWNGKLVDEKTREMKEFLDKAPNASEALAMDGPSGGLPLPTSELVGEEAARKEIWACLMQEEVKKIGVWGMGGVGKTTIIKHIHNDLLKEKRFERVIWVTISKEFNVMKVQDDIASALKLKEDLAIEGDKLRRAAILSEMLKKVGKHVLILDDVWDEVSLEEVGIPEPSDSNGCKLVLTTRSEHVCKYMGCTVIKVKPLSAEQALTLFLSKVGPNIVQNQTIMPTLKLVVKECAGLPLTIVVVAGTLKGEEGPLIWKNALRELKERIEKVEGVEAKVIERLKFSFDHLKDEKVKSCFLHCALYPEDFEIEKDKLIECWIDEGFIDDMGTRQEMKEKGHVILKKLKENCLLENITNVNGQPCIKMHDAVREMALSIIRMNPRYIIQAGLQLEELPEQEQWSPDIEKVSLMYNSISEISIDVLPTKCQLLTTLLLQNNPIKKISISLFTNMPCLSVLNLSSKKIESLPNSISELKNLTTLLLRGCYELRDLPCLSMLQELKKLDLSGTKIEEFPEGMDMLIKLRYLDLRVFTLKEIPAGLLPKLVHLQHLGFDENNEKISLKAEEMEPLKKLECFTGRFEDICELNKFIYSMQQSKKNLIEYYLQVGLDIFPLRRGKTVIIKGVQNWEGELIMYPIEIQQLTIVGCDYFRNLVDDNSSFKNAINLRIYDCKGIECVVSLSSYASSSAHPFQSLKNLELCGLPKLSALIMKDEGIGSATTSTLAPSATFSHLKEIMIYSCSSMKTLLPHWLLPNLQNLEKIHVDACSQLVEILGAATSEVEEKRSDALIKFHLPNLRVLSFSELPNLKSICSKIGVMVCDSLETIYVDECDKLKRIPPFVPLVGNGQPFAYAPPSLTIFSSTEWWEWLEWDDHPNFKNVLQPLWKHERER >KJB62651 pep chromosome:Graimondii2_0_v6:9:66949504:66951092:-1 gene:B456_009G427700 transcript:KJB62651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKTLGSGASKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGTSSKASGGDED >KJB61832 pep chromosome:Graimondii2_0_v6:9:52192791:52193393:1 gene:B456_009G384700 transcript:KJB61832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTLNLIAILSLTISILLSLYPQPAFSFQMEDFDGEEEYVLDHPVIIPNLRSRSRFLKTSPTKDKIRKGADCDPHPSLNICKGISANNGTSLLYCCKTHCRNVLSDQNNCGKCGNRCKFGQRCCGGVCTNVANNVNHCGKCGNQCSPGVQCDNGFCGYA >KJB59637 pep chromosome:Graimondii2_0_v6:9:21917092:21927305:1 gene:B456_009G264800 transcript:KJB59637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLDILSKKLKFVDTDPMVKDSKALKDVQPELEKLRQKAVSKVFDFIVQKLQALRKPKTNIQILQQNVLLKYKYIISFLKEHSKEVHLEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATSNDLIGVETRSTSLFSRGREPLKNRSAVFALGERLNVLKEIDQPALIPHIAEASSLKYPYEVLFRSLHKLLMDTATSEYLFCDEFFGEESIFYEIFAGPFAVIDEHFNSILPNCYDAIGLMLMIRIVHQHQLIMSRRRIPCLDSYLDKVNISLWPRFKMVFDMHLSSLRNANVKLLWEDDSHPHYVMRRYAEFTASLIHINVEYGDGQLELNMERLRMAVDELLMKLAKMFSKPKLQIVFLINNCDMTIAVLKEAGPEGGKIQQHFEEMLKSNTGLFVEELLMEHFSDLIKFVKTRASEDPNGSNERPISVSEVEPIVKDFGSRWKGAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDCIKRINGGAALNKDLVSISSIMYEIRKYSRTF >KJB59636 pep chromosome:Graimondii2_0_v6:9:21912629:21927334:1 gene:B456_009G264800 transcript:KJB59636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVAANNANHPCETPKNVFDFGAFVGDLIVEEDASSDDISLEGLQEELEECKNDDVVANILSKGIKLREYTKGVEHNLRKVELDSIQDYIKESDNLVSLHDQIRDCDSILSQMETLLSGFQVEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLAKFVEDIIVPPRMVDIIVDGEVNDEYMRTLDILSKKLKFVDTDPMVKDSKALKDVQPELEKLRQKAVSKVFDFIVQKLQALRKPKTNIQILQQNVLLKYKYIISFLKEHSKEVHLEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATSNDLIGVETRSTSLFSRGREPLKNRSAVFALGERLNVLKEIDQPALIPHIAEASSLKYPYEVLFRSLHKLLMDTATSEYLFCDEFFGEESIFYEIFAGPFAVIDEHFNSILPNCYDAIGLMLMIRIVHQHQLIMSRRRIPCLDSYLDKVNISLWPRFKMVFDMHLSSLRNANVKLLWEDDSHPHYVMRRYAEFTASLIHINVEYGDGQLELNMERLRMAVDELLMKLAKMFSKPKLQIVFLINNCDMTIAVLKEAGPEGGKIQQHFEEMLKSNTGLFVEELLMEHFSDLIKFVKTRASEDPNGSNERPISVSEVEPIVKDFGSRWKGAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDCIKRINGGAALNKDLVSISSIMYEIRKYSRTF >KJB59638 pep chromosome:Graimondii2_0_v6:9:21918085:21927334:1 gene:B456_009G264800 transcript:KJB59638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPFKLALTFSLVFDFIVQKLQALRKPKTNIQILQQNVLLKYKYIISFLKEHSKEVHLEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATSNDLIGVETRSTSLFSRGREPLKNRSAVFALGERLNVLKEIDQPALIPHIAEASSLKYPYEVLFRSLHKLLMDTATSEYLFCDEFFGEESIFYEIFAGPFAVIDEHFNSILPNCYDAIGLMLMIRIVHQHQLIMSRRRIPCLDSYLDKVNISLWPRFKMVFDMHLSSLRNANVKLLWEDDSHPHYVMRRYAEFTASLIHINVEYGDGQLELNMERLRMAVDELLMKLAKMFSKPKLQIVFLINNCDMTIAVLKEAGPEGGKIQQHFEEMLKSNTGLFVEELLMEHFSDLIKFVKTRASEDPNGSNERPISVSEVEPIVKDFGSRWKGAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDCIKRINGGAALNKDLVSISSIMYEIRKYSRTF >KJB54123 pep chromosome:Graimondii2_0_v6:9:1768326:1773431:-1 gene:B456_009G023100 transcript:KJB54123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLHAPSWPHLSFQTSDRNSKGGLLSLEKCLQSSTANCSFQPQKLERSIWVSHKRNFFSFCATKATSVEAGSSAASSGTAEGDVLKALSQIIDPDFGTDIVTCGFVKDLLIDEASGEVSFRLELTTPACPIKDMFEQQANEVVARLPWVKKVTVTMSAQPAKPIFAGDLPAGLQRISNIVAVSSCKGGVGKSTVAVNLAYTLAGMGARVGVFDADVYGPSLPTMVSPENRLLEMNPEKRTIIPTDYLGVKLVSFGFAGQGRAIMRGPMVSGVIDQLLTTSEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMSHFDADGKRYYPFGRGSGSQVVQQFGIPHLFDLPIRPTLSASGDSGTPEVVADPQGEVAQTFQNLGVCVVQQCAKIRQQVSTAVTYDKSIKAIRVKVPDSEEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYGDIPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLQMIERLVDVPQPTAVQS >KJB54124 pep chromosome:Graimondii2_0_v6:9:1768425:1773413:-1 gene:B456_009G023100 transcript:KJB54124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLHAPSWPHLSFQTSDRNSKGGLLSLEKCLQSSTANCSFQPQKLERSIWVSHKRNFFSFCATKATSVEAGSSAASSGTAEGDVLKALSQIIDPDFGTDIVTCGFVKDLLIDEASGEVSFRLELTTPACPIKDMFEQQANEVVARLPWVKKVTVTMSAQPAKPIFAGDLPAGLQRISNIVAVSSCKGGVGKSTVAVNLAYTLAGMGARVGVFDADVYGPSLPTMVSPENRLLEMNPEKRTIIPTDYLGVKLVSFGFAGQGRAIMRGPMVSGVIDQLLTTSEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMSHFDADGKRYYPFGRGSGSQVVQQFGIPHLFDLPIRPTLSASGDSGTPEVVADPQGEVAQTFQNLGVCVVQQCAKIRQQAVTYDKSIKAIRVKVPDSEEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYGDIPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLQMIERLVDVPQPTAVQS >KJB61850 pep chromosome:Graimondii2_0_v6:9:52394337:52396142:1 gene:B456_009G3862002 transcript:KJB61850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVAGIATCVGTPVCKYLQYHRKLNDYVRSFKRIRDELNCKMEDIELQLKAELLSPGRKIPKQGVENWLKDANEMIAEAQDVENKVRNGRYLCRACNGKLVDEKTGEMKGFLDKAPNASEGLAIDGPSAGLPLPTSELVGEEAVRNEIWACLMQEKVSKIGVWGSVGKTTIMKHIHNDLLKQQRFERVIWVTISKEFNVMKVQDDIAAALKLKEDWPREGDKLRRAAILSEMLKNAGKHVLILDDVWDEFSREEVGIPEPSDSNGCKLVLTTRSEHVCKFMGCKVIKVKPLSAQQALTLFLSKVGPNIVQNQTLMPILRLVVEECAGLPLTIVVIAGTLRGEDDPLIWKNTLRELKERIGKVQEGEDKVIESLKVSFNHLNDEKMKHCFLHCALYPEDFGIEKHGLIDSWIEEGFIDDMSTRQETKDKGHVILKKLEDNCLLEKVSSVTVKMHDAVRDMALSITRMNPRYMIQAGSQLEELPEKEQWSPDIEKVSLMYNSISEISIDVLPTKCQLLTTLLLQENPIKKISSSFFTNMPCLSVLNLSSTKIKSLPNSISELKNLTTLLLCGCEELRDLPCLSMLQELKKLDLSGTKIEE >KJB59863 pep chromosome:Graimondii2_0_v6:9:23256375:23257184:-1 gene:B456_009G277300 transcript:KJB59863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKGHNRKPGTRNKFIRYLKVPFKALGKARDFYVRSLTSCASRIGHGHCPSHFPVEYYELPRSFCESSSPMRNVNKDDDITELIRAASVRSSSYGNEMDMFSPKQLRLKMRSRGLPKNMGRIDEGKPCLFEDNDVVFELKRKCRIKSQHQQPMSEYKRKV >KJB62880 pep chromosome:Graimondii2_0_v6:9:69273048:69274933:1 gene:B456_009G441200 transcript:KJB62880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLKDLIVWLSQESKVLMYSLRILSPKQVSNQTALTYSQLSNKCSTISSSLPHISQIGLGRHLLFHNPNLVGRALEHHLHKKIFSLQGMNGQTSLSSPSMSYIMVFCIVSFSSFDNQRPMVIKWSCLKTKDLNSSLILV >KJB62881 pep chromosome:Graimondii2_0_v6:9:69273727:69274933:1 gene:B456_009G441200 transcript:KJB62881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLRILSPKQVSNQTALTYSQLSNKCSTISSSLPHISQIGLGRHLLFHNPNLVGRALEHHLHKKIFSLQGMNGQTSLSSPSMSYIMVFCIVSFSSFDNQRPMVGRSQLFSSFLNISATLIGLS >KJB62879 pep chromosome:Graimondii2_0_v6:9:69273048:69274933:1 gene:B456_009G441200 transcript:KJB62879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLKDLIVWLSQESKVLMYSLRILSPKQVSNQTALTYSQLSNKCSTISSSLPHISQIGLGRHLLFHNPNLVGRALEHHLHKKIFSLQGYKVVVPQD >KJB60399 pep chromosome:Graimondii2_0_v6:9:27327169:27327668:-1 gene:B456_009G303700 transcript:KJB60399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFLFIGLISSEAFRANLRRANLRRAVRHQKLDPSAIHGVTQFSDLTPGEFRKRFLGLRRLRLPKDANQASILPTDNLPEDFDYKEKGAVTPVKNQGSCGSCWSFITTGALEGANFLATGKLVSLSEQQLVDCDHEV >KJB53602 pep chromosome:Graimondii2_0_v6:9:15359865:15363173:-1 gene:B456_009G198400 transcript:KJB53602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSTHSRKALSKIACNRLQKELVEWQVNPPAGFKHKVTDNLQRWIIEVNGAPGTIYANETYQLQVDFPEHYPMEAPQVIFIPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTVKQRPTDNDRYVKNCRNGRSPKETRWWFHDDKV >KJB53603 pep chromosome:Graimondii2_0_v6:9:15361532:15363173:-1 gene:B456_009G198400 transcript:KJB53603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSTHSRKALSKIACNRLQKELVEWQVNPPAGFKHKVTDNLQRWIIEVNGAPGTIYANETYQLQVDFPEHYPMEAPQVIFIPPAPLHPHIYSNGHICLGMFIPLVICIVILLYPLLLTALKF >KJB58886 pep chromosome:Graimondii2_0_v6:9:18058014:18059941:1 gene:B456_009G229700 transcript:KJB58886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEKRTAKGKSMVATFKQCARYLNPLFKFCRKKVLPDDIRQALLVVAECCMKRDYLAAMDHYNMENNEDVNG >KJB58324 pep chromosome:Graimondii2_0_v6:9:15827415:15829510:-1 gene:B456_009G204600 transcript:KJB58324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDGATSTPAPVPRRKPSWRERENNRRRERRRRAIAAKIYTGLRAQGNYNLPKHCDNNEVLKALCVEAGWVVEDDGTTYRKGCKPPPIDIAGSSAKITPYSSQNPSPLSSAFPSPIPSCQVSPSSSSFPSPTRTDANNPSSLLPFLRSAIPSSLPPLRISNSAPVTPPLSSPTSRNPKPIPNWEAIAKESMASFNYPFYAVSAPASPTHRHFHAPATIPECDESDTSTVESGQWISFQKFAPSTSQVPTSPTFNLVKPLAPQSLPTGFIGEQGRVSEFQFESGQVKPWEGERIHEVGLDDLELTLGSGKARC >KJB58325 pep chromosome:Graimondii2_0_v6:9:15827455:15829456:-1 gene:B456_009G204600 transcript:KJB58325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDGATSTPAPVPRRKPSWRERENNRRRERRRRAIAAKIYTGLRAQGNYNLPKHCDNNEVLKALCVEAGWVVEDDGTTYRKGCKPPPIDIAGSSAKITPYSSQNPSPLSSAFPSPIPSCQVSPSSSSFPSPTRTDANNPSSLLPFLRSAIPSSLPPLRISNSAPVTPPLSSPTSRNPKPIPNWEAIAKESMASFNYPFYAVSAPASPTHRHFHAPATIPECDESDTSTVESGQWISFQKFAPSTSQVPTSPTFNLVKPLAPQSLPTGFIGEQGRVSEFQFESGQVKPWEGERIHEVGLDDLELTLGSGKARC >KJB61659 pep chromosome:Graimondii2_0_v6:9:50650423:50651530:-1 gene:B456_009G3736001 transcript:KJB61659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSIMGCGFCEAALSNTVGTLVVNCVVKPVGRQLDYVCRFHDNVEKLREKKRELADARKRLLLKIEEAKNRLLLIEDDVQNLQSRADETLSDMGTLDEEIQLNKRCLNWCPNWSWRYQLSKKAMKKIQDISELLDKFGQLGPVGYPAPTALPTIDFLCSKEFVFSKSSETAFYQIIEALKDENINMIGLWGMGGVGKTTLAREVGSQAQKLKLFDKVVITVVSQKPNFEKIEDEIAQYIGFDMKNEQGRRSEQELWLRLKNEPRILIILDDIWESINLKEKIGIPIGDDHKGCKVLLTTRRQQVCQAMDCQNLVQLGCLNDDEARTLFEKKAGLDDFSDDSIKIRANQIGKKCGGLPIAIVPLGSALK >KJB60253 pep chromosome:Graimondii2_0_v6:9:25840523:25841002:1 gene:B456_009G296200 transcript:KJB60253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRDCSPQSRELLIWNLLGFLILCDVEPYFAYTLLCLKNLYPTLVPPRTFLLIHDHTSKKLNISNVERIFISSN >KJB57990 pep chromosome:Graimondii2_0_v6:9:14529193:14530931:-1 gene:B456_009G188900 transcript:KJB57990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENKALNSSRFKRVCVFCGSSSGKRNCYSDAALELGKELVSRKLDLVYGGGSVGLMGLVSQEVHRGGGHVLGIIPKTLMNKEITGETVGEVKAVADMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLVNVDGYYNYLLSFLDKAVDDGFIKPTQRSIIVSAPTARELVQKLECFV >KJB57989 pep chromosome:Graimondii2_0_v6:9:14528906:14531020:-1 gene:B456_009G188900 transcript:KJB57989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENKALNSSRFKRVCVFCGSSSGKRNCYSDAALELGKELVSRKLDLVYGGGSVGLMGLVSQEVHRGGGHVLGIIPKTLMNKEITGETVGEVKAVADMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLVNVDGYYNYLLSFLDKAVDDGFIKPTQRSIIVSAPTARELVQKLEEYVPIHDGVVSKVRWEAEQLELNSSLQTEIAR >KJB58579 pep chromosome:Graimondii2_0_v6:9:16818938:16819560:1 gene:B456_009G216300 transcript:KJB58579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRILCVLGLLALLVSVQLDGVHGRALRSKTTDDNVVVPSLEGEAMGVPSSAVSTNDSRSSRKSLKSMAFTLASGPSRKGPGH >KJB61462 pep chromosome:Graimondii2_0_v6:9:47335854:47338577:1 gene:B456_009G359600 transcript:KJB61462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSGEKVKAMSDKRLTKIFCDICIKEILKGNRPGTHFTKDGLLKIMTNFEKETGKAFSQRQLKNRWSALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDDWWESRLKVVPEAQKFRTSGIDPEFEGKLDQMFTGIVATGDKAWAPSSGTLRSDFFEDVNNEILEENEEENVRIDVHILNDIHISNDVQIDGNGQKRKNPEISSSHFKIGKKKSLKQIGGAARLSSQIEKLCNAADNMSQATCSLTPVMDPYGIPQAVKVLDSMSEEVPEASPLYFFALRLLLNKDKRIMFLSINPKIRALWLKKEMEDS >KJB61463 pep chromosome:Graimondii2_0_v6:9:47335854:47338577:1 gene:B456_009G359600 transcript:KJB61463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSGEKVKAMSDKRLTKIFCDICIKEILKGNRPGTHFTKDGLLKIMTNFEKETGKAFSQRQLKNRWSALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDDWWESRLKVVPEAQKFRTSGIDPEFEGKLDQMFTGIVATGDKAWAPSSGTLRSDFFEDVNNEILEENEEENVRIDVHILNDIHISNDVQIDGNGQKRKNPEISSSHFKIGKKKSLKQIGGAARLSSQIEKLCNAADNMSQATCSLTPVMDPYGIPQAVKVLDSMSEEVPEASPLYFFALRLLLNKDKRIMFLSINPKIRALWLKKEMEDS >KJB59550 pep chromosome:Graimondii2_0_v6:9:21478366:21479320:1 gene:B456_009G260500 transcript:KJB59550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKCIFNQPKILSLHFFDALITKGYYLIHLWSFLTPRIWCPWGFLFDCIERRNELGFPYWAGSFQGMQIIYDEKDELQENDLAFLQSGTMHYQTRDRSSKEQGFFRISQFIWDLADPLFFPYKDQPFVSVFSH >KJB60717 pep chromosome:Graimondii2_0_v6:9:31217908:31219056:1 gene:B456_009G3219002 transcript:KJB60717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMSWVQMLLFVSLLFPTSVQSEIRHYSFTVVLKNTTRLCATKPIVTVNGKFPGPTLYAREDDNVLVNVTNLIKDNVTIHWHGVKQLRTGWSDGPAYITQCPIQPGRSYLYNFTLTGQRGTLLWHAHISWLRSTMHGAIVILPKKGVPYPFPKPDKQKVIVLGEWWKSDAEAVINEALQTGLAPNVSDAHTINGHTGPVPNCSYG >KJB56366 pep chromosome:Graimondii2_0_v6:9:8576459:8580018:-1 gene:B456_009G116800 transcript:KJB56366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSLHMDNYQGEGDLNDIVRASGGGPLSCATGHGSNTVPASSWQQFPSDPLNFPSSAMEDHGLNAFGDPFSAMRDPLLHELDVAAGCSAYFSSPNSTDHIINTTSSATVEADTCNFSGSSTMVGQGKLFEEDMPSPCNIFSRIQISASSSKLPVSPCDSPMMAACVSPRGIKAPAVLPSDMINANTSKSCLIDSTGPLQISSPRNLGIKRRKSQVKKVVCIPAPAAANSRSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPSKNNNNNAAASKITSPRSQTQNAVTPKEEDLKESSNDALSPTVAAVNSTASASAKEESEDMEKQLEIDDAEFGEGIPETYRPALMAGDSQQPEDFFAELDEIETDPLNLLFPQGFGGGDQDEQKEKKIMDPFGLFDWPPGNNDASF >KJB56368 pep chromosome:Graimondii2_0_v6:9:8576437:8580079:-1 gene:B456_009G116800 transcript:KJB56368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSLHMDNYQGEGDLNDIVRASGGGPLSCATGHGSNTVPASSWQQFPSDPLNFPSSAMEDHGLNAFGDPFSAMRDPLLHELDVAAGCSAYFSSPNSTDHIINTTSSATVEADTCNFSGSSTMVGQGKLFEEDMPSPCNIFSRIQISASSSKLPVSPCDSPMMAACVSPRGIKAPAVLPSDMINANTSKSCLIDSTGPLQISSPRNLGIKRRKSQVKKVVCIPAPAAANSRSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPSKNNNNNAAASKITSPRSQTQNAVTPKEEDLKESSNDALSPTVAAVNSTASASAKEESEDMEKQLEIDDAEFGEGIPETYRPALMAGDSQQPEDFFAELDEIETDPLNLLFPQGFGGGDQDEQKEKKIMDPFGLFDWPPGNNDASF >KJB56367 pep chromosome:Graimondii2_0_v6:9:8577173:8579811:-1 gene:B456_009G116800 transcript:KJB56367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSLHMDNYQGEGDLNDIVRASGGGPLSCATGHGSNTVPASSWQQFPSDPLNFPSSAMEDHGLNAFGDPFSAMRDPLLHELDVAAGCSAYFSSPNSTDHIINTTSSATVEADTCNFSGSSTMVGQGKLFEEDMPSPCNIFSRIQISASSSKLPVSPCDSPMMAACVSPRGIKAPAVLPSDMINANTSKSCLIDSTGPLQISSPRNLGIKRRLGKSQVKKVVCIPAPAAANSRSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPSKNNNNNAAASKITSPRSQTQNAVTPKEEDLKESSNDALSPTVAAVNSTASASAKEESEDMEKQLEIDDAEFGEGIPETYRPALMAGDSQQPEDFFAELDEIETDPLNLLFPQGFGGGDQDEQKEKKIMDPFGLFDWPPGNNDASF >KJB56369 pep chromosome:Graimondii2_0_v6:9:8577173:8579811:-1 gene:B456_009G116800 transcript:KJB56369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSLHMDNYQGEGDLNDIVRASGGGPLSCATGHGSNTVPASSWQQFPSDPLNFPSSAMEDHGLNAFGDPFSAMRDPLLHELDVAAGCSAYFSSPNSTDHIINTTSSATVEADTCNFSGSSTMVGQGKLFEEDMPSPCNIFSRIQISASSSKLPVSPCDSPMMAACVSPRGIKAPAVLPSDMINANTSKSCLIDSTGPLQISSPRNLGIKRRLGKSQVKKVVCIPAPAAANSRSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPSKNNNNNAAASKITSPRSQTQNAVTPKEEDLKESSNDALSPTVAAVNSTASASAKEESEDMEKQLEIDDAEFGEGIPETYRPALMAGDSQQPEDFFAELDEIETDPLNLLFPQGFGGGDQDEQKEKKIMDPFGLFDWPPGNNDASF >KJB60883 pep chromosome:Graimondii2_0_v6:9:33826823:33827773:-1 gene:B456_009G329700 transcript:KJB60883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVKPEVYEAHKFKLEPNLAKRAEHYFSENMRVRKGLEAWALGDLRAFGELMTASGLSSIKNYECGTIYIFCFLVALLCL >KJB61828 pep chromosome:Graimondii2_0_v6:9:52127591:52135459:-1 gene:B456_009G384200 transcript:KJB61828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPVRGKGKRDHHFDNVDHALILFNKMIEKYPKPSIVEFTKLFAAIVRMKHYAIVVSKYRQIELLGVSHDVYSMSILINCFCQLGRIDFGFSVLGKMLKLGVEPDVVIFSTLINGLCNQSKISEAVCMFDEMIEKGYQPTSIVYSTMLKGLCKTGNTGRAQEEATRHLNEMVDNNISLDIVTYTLLIDALCKEGTISKAVEIVDTMRKQGIEPDVVTYSILVDAHCKEGMVSEAEGIVDEMIKRGIEPNVVTYNTLVNGHCLQNEMDKARRVFNLMIEKGCAPNIVTYNTMINGYCKGKRLDKAMELFHEISRKGPIPDTVTYNTLMQSMFQLGKISTACELFRKMLASGQVPDMATCLILLDGLCKTGHIEEALKLFQAMQNSELELDIVPYTILIDGFCKAGHIEVAKELFHQLSDNGLKPDVYSYCIMINGLCKEGLPDEAYRLFGSMGDNDCLPDSCCYNVMIRGFLCNSYTSKATQLLTEMANIQVMNLYRLLRTVTLTVIPLHCNVYSMSILINCFCQLGRIDFGFSVLGKMKKLGVEPSAVTFSTLINGLCNQGKISEAVCMFDEMTEKGYQPNLIVYSTVLKGLCKTGNTDRAVRFLRLMESRGYEPNIVAYNTVIDCLCKNGLLQEALNLLSEMKVKGIRPDIITYTCLIHGMCNLGQQEEATRLLNEMADNNISLDIVTYTLLIDALCKEGTISKAVQIVDTMRKQGIEPDVVTYNTLVDAHCKEGMVSEAEDFVDSMIKLEIEPDVVTYNALVNGHCLRNKMDKARRVFNLMIEKGCAPNIVTTP >KJB60476 pep chromosome:Graimondii2_0_v6:9:28063553:28070003:-1 gene:B456_009G307200 transcript:KJB60476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMEKDVDPKLRVQGTNNNKVDDEKNCNSSSNGGASNNILKSKSFSFRAPQENFSIEDFELGKIYGVGSYSKVVRAKKKDTGKVYALKVMDKKFITKENKTAYVKLERIVLDQLDHSGVVRLYFTFQDTFSLYMALESCEGGELFDQITRKGRLSEDEARFYTAELVDALEYIHGMGLIHRDIKPENLLLTKDGHLKVADFGSVKPLQDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDIWALGCTLYQMLSGTSPFKDSSEWLIFQRIIARDIRFPNYFSEEARDLIDRLMDIDPSRRAGAGPDGYAAVKSHPFFKGVDWSNVRAETPPKLALKTGAHSSDGDDHSDSSQNPTHLRNGSGRQNDGPLSAESSGHITRLASIDSFDSKWQQFLEPGESVLMISMVKKLQKLSSKKVQLILTNKPKLIYVNPSKLVVKGNIIWSDNSSDLSVQVTSPSQFKICTAKKVLSFDDVKQRAWQWKKAIEGLQNQ >KJB56777 pep chromosome:Graimondii2_0_v6:9:10190421:10191776:1 gene:B456_009G135500 transcript:KJB56777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKLPRISRKGIQEYLNKEMPHVELQNITAKGLIIDHARKGFVRCTFIIPPSASDAHGNWQVGAIATIVDIVASMAICTETAIIRGNVTMDYNISYYSSAKIQEEVEIVAKVVGNKGKLSSTRVEVKKKDDGELIAIAKQWTASNEFRAPWINHPSKL >KJB56775 pep chromosome:Graimondii2_0_v6:9:10190496:10191715:1 gene:B456_009G135500 transcript:KJB56775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKLPRISRKGIQEYLNKEMPHVELQNITAKGLIIDHARKGFVRCTFIIPPSASDAHGNWQVGAIATIVDIVASMAICTETAIIRGNVTMDYNISYYSSAKIQEEVEIVAKVVGNKGKLSSTRVEVKKKDDGELIAIAKQWTASNEFRAPWINHPSKL >KJB56776 pep chromosome:Graimondii2_0_v6:9:10190430:10191715:1 gene:B456_009G135500 transcript:KJB56776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKLPRISRKGIQEYLNKEMPHVELQNITAKGLIIDHARKGFVRCTFIIPPSASDAHGNWQVGAIATIVDIVASMAICTETAIIRGNVTMDYNISYYSSAKIQEEVEIVAKVVGNKGKLSSTRVEVKKKDDGELIAIAKQWTASNEFRAPWINHPSKL >KJB61765 pep chromosome:Graimondii2_0_v6:9:51403191:51403571:1 gene:B456_009G3790002 transcript:KJB61765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGSADRSKEAVGMMALHEALRTVCLNSDWTYSVFWTIRPR >KJB61749 pep chromosome:Graimondii2_0_v6:9:51200985:51201716:-1 gene:B456_009G377700 transcript:KJB61749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSCLLINGHCLHNEMDKARRVFNLMIEKGCAPDIVTYSTMINGYCKGNTGHIEEALKLFQAMRNSGLELDIVPYNILIDGLCKAGHIEFAKELFHQLSDNGLKPDVYTYCIMISGLCKEGLPDEAYRLFGSSGDNDCLPDSCCYNVMIRGLLRNSYTSKATQLLMEMV >KJB58856 pep chromosome:Graimondii2_0_v6:9:18065330:18069158:1 gene:B456_009G229900 transcript:KJB58856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFSILAKTIVSESQKRLPTEDKSGAGIRIHDNNGGEESAAVRFEGEVSLGDLIWLKLLGKTWWPAVVVDERSVSKSSKPGKKSKGEVLVRLYGSHEYSYADPMKYRSEFKTILEQNNGSYYDILEKGLEQFRSRLKSSKPKGQGSKATANTRAEEKETAKKKNPQRKGSNVEEKASKKLKRKSPSTDKQGKKKANEQEGPLKKQKKNNQTVEPNSRSAKAKPKSSTSKEQKKSKASKQGKEQKKPKRNKPNSIDTKSRTSKEKKLLEETDDQSSEGSSPGESPKSGARRTRVMQGLGLIAPPGSPFHKNGLI >KJB58685 pep chromosome:Graimondii2_0_v6:9:17224003:17224654:-1 gene:B456_009G221500 transcript:KJB58685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMSKLFTLFIAALLLNFMLCYAARPDPAFLLTKHQGVDVDDNCEGVGKGESLIRRTLAAHLDYIYTQNHKP >KJB56840 pep chromosome:Graimondii2_0_v6:9:10426617:10427505:-1 gene:B456_009G138000 transcript:KJB56840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRVSTAAKLGYKDCIVPMSAGQLLIWGTGDYWLQSSINSEKHRNIIGLKKTCIISSSSVCMYIVLQEQKG >KJB60579 pep chromosome:Graimondii2_0_v6:9:29299907:29303557:1 gene:B456_009G3135001 transcript:KJB60579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IDLSSHIVKVYLTLKVENAGSTPTSEVLLSFPPTQADHLATVEALVTKGKRKKTTLVRLDVKATELPDAPNDAKYFTIYLANPLKSGESTTIEVLYLLTHSQEPFPAEIAQSESQLVYYRDSALILSPYHIKQQTTFIKTPSTKVESFTRVEPSNRAGTEIKYGPYEDHPPYSFSPILIHFENNSPFAVVEELVREVEISHWGNLQVTEQYTLVHAGARHKGVFSRVDYQSRPTLNGASSLRYLLARLPPRVHSVYYRDEIGNISSSHLRTDSRKSELEIEPRYPLFGGWKATFVIGYGLPLQDFLFETSDDRRYLNFTFGCPLLETVVDKLTVKVVLPEGSKDPSAVVPFPVEQHLETKYSYLDVVGRTVVVMEKKNLVPAHNSHFQVYYTFKPIFMLAEPLMLASAFFLFFVACVAYLHIDLSIRK >KJB58661 pep chromosome:Graimondii2_0_v6:9:17098035:17100518:-1 gene:B456_009G220400 transcript:KJB58661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPKDPKECSFSFSDFPEDVQLCILSFLSLPDIANFACTSKRSVSLCCNDTKLWFTLCQRRWGPKTQINKWGGGQITYKLLYKTLTQWENLIGFWRHCGRAGLSGQCPRLIIFEWGPSFVFGSRVCPSKNGTYHVTKSPFLWMGISPDGQIVYFLDLEGQTEIPSGDFGSWLEFVCMDQNLVPANVNFMGKDHFVVEENSNFWHSSKSKDVLRRISSSKNLIEDSDGVIESGIAGSLPDRFVSEMYTHFANRTSPGGGGDRAWRRQRKKEKERQGRRKWEPEHFLKVIDCSPTPDKPLQGLWKGISGDMNLNFYLVKYDEIGGIICQRIGDFSSSYTLVFWTSEPMSMQSPFSLEEERIYDDRIHFQPIAAEDQIHSQPAMTGIEMVSHILHINSSCNLMLPSLIGSAGLQHGEGRIWQYMNGTFGFGFLRDHFIIDLKHIIQEGRLLDSVNLLQ >KJB56163 pep chromosome:Graimondii2_0_v6:9:7839515:7841394:-1 gene:B456_009G108100 transcript:KJB56163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLAIIPFTVLLETIFLKKQFSQNIKLSLLLLLVGVGIASITDLQLNFVGTILSLLAIITTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAILFVSGPLVDQLLTKQNVFAYNYSPIVLAFIILSCIISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYTLLHDPFTDRNIVGILIAIFGMGLYSYFCTQENKKKQADPLGSQMKEKDAAPFLGPQKEGHEVKNLNKDSLV >KJB56164 pep chromosome:Graimondii2_0_v6:9:7839515:7842633:-1 gene:B456_009G108100 transcript:KJB56164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMSSFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLIVTFCTLHAAQRFNLFESKPIDMKTVVLFGILNGVSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKQFSQNIKLSLLLLLVGVGIASITDLQLNFVGTILSLLAIITTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAILFVSGPLVDQLLTKQNVFAYNYSPIVLAFIILSCIISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYTLLHDPFTDRNIVGILIAIFGMGLYSYFCTQENKKKQADPLGSQMKEKDAAPFLGPQKEGHEVKNLNKDSLV >KJB61921 pep chromosome:Graimondii2_0_v6:9:53496776:53498810:1 gene:B456_009G391500 transcript:KJB61921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNERETHDFMNVESFSQLPFIRPAPSKEKGIRLFGKEFGGVDPATPSNESDSVENNEDTTKENENNGDNSRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMVHTSLSDAHIYGLVNYRLGSAPTPPITYPSWNSSFTGSTSRFYGNHTSFSHHPPINGSPLGLWRIPSTLQNNSSNFNPDRSSSSSSSHPLPLFAGDELKPPSQVVAGGGGSSSQGRYVYESKPRLQDHVSLDLHL >KJB61035 pep chromosome:Graimondii2_0_v6:9:36455901:36456801:-1 gene:B456_009G336600 transcript:KJB61035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKDNDAYEEELLDYEEEDEKALDSASIKAADSAKKGYVGIHSSGFRGFLLKPELLRSIVDSSFEHPSEGKVLNSCLMLTFVNSVN >KJB62654 pep chromosome:Graimondii2_0_v6:9:66989073:66992062:1 gene:B456_009G427900 transcript:KJB62654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVSQMPSSSPLSSHKDEMRPKADFPPSIWRDFFHNCPDKNIDAETEKRHQQLKEEVRKMIVAPMANSTQKLTFIDSVQRLGVSYHFTKEIEDELVNIYHNNNDAENDLYTTSLRFRILREHGFHVSCSAFNKFNDEQGNFKSSVTNDVRGLLELYEASYLRVHGEDILDEAISFSANHLSLAVASLDYPLSEQVSHALKQSIRRGLPRVEARHYLSVYQDIESHNKALLEFAKIDFNMLQLLHRKELSEICRWWKDLDFQRKLPYTRDRVVECYFWILGVYFEPQYSLGRKMMTKVIIMTSVIDDTYDSYATYDELIPYTSAIERWEIKCIDQLPEYMKLSYKALLDVYEEMKQLVVEHGRQYRVEYAKNAMIRLSQSYFVEAKWTLQNYKPSFEEFKINALSSSGYAMLAITSFVGMGDIVTPETFKWAANEPKIIQASTIICRFTDDIAEHKFEHRREDDWSVIDYYMKEYNVTAQEAYDVFNKYIESAWKDTNQELLKPTEMPTEVMNRSLNLSRVMDVVYKEGDGYTYVRKAMKDVITSLLIEPVTL >KJB59348 pep chromosome:Graimondii2_0_v6:9:20387318:20394801:-1 gene:B456_009G250700 transcript:KJB59348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTAAACAERATNDMLIGPDWALNIELCDIINMDPGQAKDALKIIKKRLGSKNPKIQLLALFVLDSLSKNCGEHVFQQIVERDILREMVKIVKKKPDLNVREKILILIDTWQEALGGSSGRYPQYFAAYNELQAAGVEFPPREENTVPLFTPPQTHPVSHHPASTYEDAAIEASLQSDASGLSLPEIQNASGLAEILMEMLSALDPKTPEAVKQEVIVELVDQCRSYQKRVMLLVNSTTDEELLCQGLALNDSLQRVLCRHDDILKGNTVPTEAVAETPVVPYVNINHEDDESEDEFAQLSHRSSRDNSQGQGRRAPKSEPGRVDPFLPPPPSSKSPVATNAGMVDYLSGDTYKSENSPETREPAAHSVRTRTSSPPYPSGDSGSSPMLSGQPIYDKPASLSKSADSELLPPAPWDNAAGNLPPPPSKFNQRQQFFEQHDYPGGSSNSSNGSGSSYDGLVGQTQNLSLNSTTPTKQEKPEDALFKDLVDFAKAKSSSSSKPNNRSF >KJB59349 pep chromosome:Graimondii2_0_v6:9:20387319:20394590:-1 gene:B456_009G250700 transcript:KJB59349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTAAACAERATNDMLIGPDWALNIELCDIINMDPGQAKDALKIIKKRLGSKNPKIQLLALFVLDSLSKNCGEHVFQQIVERDILREMVKIVKKKPDLNVREKILILIDTWQEALGGSSGRYPQYFAAYNELQAAGVEFPPREENTVPLFTPPQTHPVSHHPASTYEDAAIEASLQSDASGLSLPEIQNASGLAEILMEMLSALDPKTPEAVKQEVIVELVDQCRSYQKRVMLLVNSTTDEELLCQGLALNDSLQRVLCRHDDILKGNTVPTEAVAETPVVPYVNINHEDDESEDEFAQLSHRSSRDNSQGQGRRAPKSEPGRVDPFLPPPPSSKSPVATNAGMVDYLSGDTYKSENSPETREPAAHSVRTRTSSPPYPSGDSGSSPMLSGQPIYDKPASLSKSADSELLPPAPWDNAAGNLPPPPSKFNQRQQFFEQHDYPGGSSNSSNGSGSSYDGLVGQTQNLSLNSTTPTKQEKPEDALFKDLVDFAKAKSSSSSKPNNRSF >KJB53535 pep chromosome:Graimondii2_0_v6:9:17916575:17917746:1 gene:B456_009G228300 transcript:KJB53535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSEPHFYWGFDEGAWFSPTFIYFFFSYYTLQPFFSFIYFFKIWLLTIFMVGLSESFYNLGFFMRLNLAEGV >KJB53455 pep chromosome:Graimondii2_0_v6:9:4173516:4173695:-1 gene:B456_009G058100 transcript:KJB53455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIAKQKHQKPSRLKPLRGRKKRLPKIRNPATTIFSGKSGHEETNTHYWDSKPGNRSLM >KJB56130 pep chromosome:Graimondii2_0_v6:9:7775322:7776424:-1 gene:B456_009G107300 transcript:KJB56130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKEWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKTKNIKHNGNINLDDVIEIAKVMRPRSMAKDLRGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGDVDVPLE >KJB61157 pep chromosome:Graimondii2_0_v6:9:40675017:40676054:-1 gene:B456_009G343900 transcript:KJB61157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLAVLGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSLFVYGLWYMQQFIMCIFRLLVKDVVRLSCVVDPLSCTCCFC >KJB62816 pep chromosome:Graimondii2_0_v6:9:68877152:68880949:1 gene:B456_009G438300 transcript:KJB62816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCLTKTKGSNPQHNNGYKSGATTTTAAEQPQPTHIPEKPGTQAPWKPVVPTPSAKPAPKSDTILGKPYEDIRMHYTIGKELGKGQFGVTYLCIENSTGKQYACKTISKRKLITKNDKEDMRREIQIMQHLSGQPNIVEFKGAYEDKLSVHLVMELCAGGELFDRIIAKGHYSERAAASMGRAIVNVVHACHFMGVMHRDLKPENFLLSSKGENALLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLKRKYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAIVEGEIDFESQPWPSISDSAKDLVRKMLTQDPKKRITSTQALEHPWIREDGNASDKPLDNAVLSRMKQFRRMNKLKQLALKVIAENLSTEEIQGLKQMFANIDTDNSGTITYDELKNGLARLGSKLTEAEVKQLMEAADVDGNGTIDYIEFITATMHRHRLERDEHLYKAFQHFDKDNSGHITRDELEAAMKEYGMGDDDTIKEIISEVDTDNDGKINYEEFRAMMRSGTQQGQLF >KJB54435 pep chromosome:Graimondii2_0_v6:9:2532217:2534882:-1 gene:B456_009G033900 transcript:KJB54435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLHMGVEADAINDSSSDNHSKRVDIYPLSCYYFGSKEAIVFKDETLFDRINRMKSNYAAYGLRTSVEAVILVELFKHPHLLLLQVRNSFFKLPGGRLRPGESDIDGLNRKLSRKLSASEDGNETEWQVGECLGMWWRHDFETLMYPYLPSNAKKPKECTKVFLVRLPESQKFIVPKNLKLLAVPLRQVHENHKTYGPIISGVPQLLSKFTINIVDI >KJB54436 pep chromosome:Graimondii2_0_v6:9:2532217:2534854:-1 gene:B456_009G033900 transcript:KJB54436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLHMGVEADAINDSSSDNHSKRVDIYPLSCYYFGSKEAIVFKDETLFDRINRMKSNYAAYGLRTSVEAVILVELFKHPHLLLLQVRNSFFKLPGGRLRPGESDIDGLNRKLSRKLSASEDGNETEWQVGECLGMWWRHDFETLMYPYLPSNAKKPKECTKVFLVRLPESQKFIVPKNLKLLAVPLRQVHENHKTYGPIISGVPQLLSKFTINIVDI >KJB62422 pep chromosome:Graimondii2_0_v6:9:64051101:64055424:1 gene:B456_009G416000 transcript:KJB62422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRHVCPRHDFTHCRTKLQRPRLLATTLPLFLPKYTFVFSPKNFPQIPYFFPQIKIPKSLRFFPTVCCIAVRLRKGSSRKSGRDSAEKKEPVTPSSDKPTRERKVVERYSAPSVLLQGLPHLKLCQLKRVVVLSLKIFLMVLYGLEYAHAISHIKEALVEAMPRTKLELIFADVMCSIINPLEDHRKSDGFGYLGSCSSVNSLSAATGTRCSLGGLIWDLLEGRRMEDYLLLWIGPDNSTFSNVVLTFNGCEIDMMQQRNN >KJB62423 pep chromosome:Graimondii2_0_v6:9:64051101:64056659:1 gene:B456_009G416000 transcript:KJB62423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRHVCPRHDFTHCRTKLQRPRLLATTLPLFLPKYTFVFSPKNFPQIPYFFPQIKIPKSLRFFPTVCCIAVRLRKGSSRKSGRDSAEKKEPVTPSSDKPTRERKVVERYSAPSVLLQGLPHLKLCQLKRVVVLSLKIFLMVLYGLEYAHAISHIKEALVEAMPRTKLELIFADVMCSIINPLEDHRKSDGFGYLGSCSSVNSLSAATGTRCSLGGLIWDLLEGRRMEDYLLLWIGPDNSTFSNVVLTFNGCEIDMMQQRNN >KJB62889 pep chromosome:Graimondii2_0_v6:9:69371480:69373140:1 gene:B456_009G442100 transcript:KJB62889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGLHPQMQWVSYVTPSGRLINFMMTKIHSVGKVYHLRAKRQMAASVGQIAKFNRRYGLEKQEGSEDPEKNEK >KJB60076 pep chromosome:Graimondii2_0_v6:9:24695903:24697001:1 gene:B456_009G288300 transcript:KJB60076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIYINIKQTLNYIKIYIIMIQSLHKYTKLAMPITGFNTSIALTYFAPSNYLFALFLPFITVFSLASFASSAFSRAIFIFSVQLFSI >KJB54665 pep chromosome:Graimondii2_0_v6:9:3178723:3182610:1 gene:B456_009G043800 transcript:KJB54665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPQGSTLTTNMAGLVDGSTAREEVSHIDCLPVYVKELIAGGGAGAFAKTTVAPLERIKILMQTRTDGFRSLGVYQSLRKVLKHEGVLGFYKGNGASVVRIIPYAALHFMTYERYRGWILDNYSFMGSGPIIDLLAGSASGGTAVICTYPLDLARTKLAYQVTDTRTNFRSGIRSLYPQPAYNGIRDVLTSVYREGGIRGLYRGVGPTLTGILPYAGLKFYIYEVLKLHVPEEHQKSIAMHLSCGAVAGLFGQTFTYPLDVVRRQMQVESLQCSTIQGGTRYRNTLDGLTTIARNQGWRQLFAGLSINYIKMVPSVAVGFASYDMMKVWLRIPPRQKSQTVSS >KJB54666 pep chromosome:Graimondii2_0_v6:9:3178940:3182610:1 gene:B456_009G043800 transcript:KJB54666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFLDFTSKGNGASVVRIIPYAALHFMTYERYRGWILDNYSFMGSGPIIDLLAGSASGGTAVICTYPLDLARTKLAYQVTDTRTNFRSGIRSLYPQPAYNGIRDVLTSVYREGGIRGLYRGVGPTLTGILPYAGLKFYIYEVLKLHVPEEHQKSIAMHLSCGAVAGLFGQTFTYPLDVVRRQMQVESLQCSTIQGGTRYRNTLDGLTTIARNQGWRQLFAGLSINYIKMVPSVAVGFASYDMMKVWLRIPPRQKSQTVSS >KJB53957 pep chromosome:Graimondii2_0_v6:9:1064518:1065674:1 gene:B456_009G013200 transcript:KJB53957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYCSCFSNHHRSNQVMPLNPEPIIKPSSCLMMKLETACLFATNSLASTLIFTHPYGWGIHFTMDRGLGCFKVNVLPRYSIPKPLVKQTNNHEQHG >KJB62178 pep chromosome:Graimondii2_0_v6:9:59162587:59163002:-1 gene:B456_009G405100 transcript:KJB62178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILKFFIPGNLISLCMTIINSVIMARFYYEFLITLFIGLSYIFLLRAEQSQITKTFFDHRRPTRNSMCNLSIQCVFLKNLIS >KJB54997 pep chromosome:Graimondii2_0_v6:9:4145298:4149662:-1 gene:B456_009G057600 transcript:KJB54997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVICQAKSGMGKTAVFVLSTLQQIEPSPGQVIALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALTRDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEMEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KJB54998 pep chromosome:Graimondii2_0_v6:9:4145298:4149662:-1 gene:B456_009G057600 transcript:KJB54998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGGYILLTTGSFDALLFGSTPVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPSPGQVIALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALTRDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEMEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KJB54999 pep chromosome:Graimondii2_0_v6:9:4145298:4149685:-1 gene:B456_009G057600 transcript:KJB54999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRDNDAYEEELLDYEEEEEKAPDSVTAKVNGEGGKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPSPGQVIALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALTRDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEMEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KJB54996 pep chromosome:Graimondii2_0_v6:9:4146323:4149404:-1 gene:B456_009G057600 transcript:KJB54996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRDNDAYEEELLDYEEEEEKAPDSVTAKVNGEGGKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPSPGQVIALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALTRDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEMEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVSWQSW >KJB53405 pep chromosome:Graimondii2_0_v6:9:4640459:4641685:-1 gene:B456_009G065300 transcript:KJB53405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEMEPLNPWFLDLVPMLVVIIIAAHVFALVYWIFRLATDNQPERRKTH >KJB53417 pep chromosome:Graimondii2_0_v6:9:10193477:10194673:-1 gene:B456_009G135600 transcript:KJB53417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLFQFPSSLKHVPGFIEKAEELKSKGISEIIVISVNDPYVMRAWGKSYPENKHVKFLSDSSGAYVKTLGLELDVSDRGFGIRSQRFALLLDDLKVKVANVESDGQFKVSSAEDMLKAL >KJB53418 pep chromosome:Graimondii2_0_v6:9:10193477:10194845:-1 gene:B456_009G135600 transcript:KJB53418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIVVGDTIPDGTLSYADEAHQILNVSVHSLAAAKQVILCGVPGAFTPTCSLKHVPGFIEKAEELKSKGISEIIVISVNDPYVMRAWGKSYPENKHVKFLSDSSGAYVKTLGLELDVSDRGFGIRSQRFALLLDDLKVKVANVESDGQFKVSSAEDMLKAL >KJB62257 pep chromosome:Graimondii2_0_v6:9:61015031:61016944:-1 gene:B456_009G408600 transcript:KJB62257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVLRALGTSARFTLRLGQTVFSTALLLFMCLDVEFYSYTSFSYLVTVMGLVTPWSMSLALVDAYFVFVKGLPRQPRVLLVVIVGDWALSFLSLAATCSTASVTSLLVNVSSTYYPSNICSRYQLSAAMAFMSWFLSFDSILFNLWLLPSL >KJB59569 pep chromosome:Graimondii2_0_v6:9:21563670:21566375:-1 gene:B456_009G261300 transcript:KJB59569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWFVGVLFLSTVLLCSADVHQYEFFVRESNFTKLCNTTTLLVVNDSYPGPEIRVHKGDTVFVNVYNQGNYGFTIHWHGVKQPRNPWFDGPEFITQCPIQPGTNFTYEIILSDEIGTLWWHAHSDWTRGSVHGAFIILPAENETYPFPTPDADQTIILQSWYNGDYKQIIDDALAAGVAPRAADAYAINGHLGDTYGCPNDTIFLMEVESEKIYLLRIINAAMNEELFFTITNHTLIVVAQDASYVRMFTTDYIMISPGQTMDVLVIADQNVGQYYMAIRPFSDSAAPPNDNITTGVFQYTNNEGGLNASLIPLPAMNDTDAMLNFINQIRYTNVSQNPTISVPADTDINRRLYITIATNTLPCNTSECVSDTRFAASLNNVSFVSPRIDILQAYYGNNITGVFTQDFPFNPPVFYDFTGNLTDFNTEAGEGTRAIIVNYGDAVEMVLQTTQLGAGGSHPIHLHGFSFYWVGIGTGNFNNETDPSTYNLVDPPFINTVHSPGEGWVALRFFANNPGVWYMHCHFERHNSWGMSTVLIVRNGTTTETSMRPPPSTMPRCPGT >KJB59294 pep chromosome:Graimondii2_0_v6:9:19979910:19982711:-1 gene:B456_009G248000 transcript:KJB59294 gene_biotype:protein_coding transcript_biotype:protein_coding description:OGG1 [Source:Projected from Arabidopsis thaliana (AT1G21710) UniProtKB/TrEMBL;Acc:A0A178WC14] MKRPRPPSPPPLSPASTKQTSPPPRPLKSLHPNTPPISSKKPKSHPKWVPLNLSQTELSLPLTFPTGQTFRWKQTGPLQYTGTIGPHLLSLKHLQNGDVSYFIHFTPSESAAKLALLDFLNVSISLANLWEVFSENDSRFAELAKYLKGARVLRQDPVECLVQFLCSSNNNIGRITKMVDFISSLGTHLGSVGGFDFHEFPSLERLSAVSEEELRQAGFGYRAKYITGTVDVLQSKPDGGAQWLLSLRKLDLQEAIDALCSLPGVGPKVAACIALFSLDQHHAIPVDTHVWQIATKYLLPELAGARLTPKLCSRVAEAFVSKYGEYAGWAQTLLFIADLPSQKALLPSHFWDIKEKKSAKIEDSNSCNIDGNYS >KJB58456 pep chromosome:Graimondii2_0_v6:9:16340634:16342405:1 gene:B456_009G211000 transcript:KJB58456 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33360) UniProtKB/TrEMBL;Acc:Q9SZB3] MKILLTGASGYLGGRLCHALVNRGYTVRALVRRTSDLSGLPSSSPTDGASLELAYGDVTDYPSLLDACSDCDIIFHTAALVEPWLPDHSRFFSVNVGGLKNLLQAAKETKTINKIIYTSSFFAFGPTDGYIADENQIHLEKAFCTEYEKSKAAADKVALQAIAEGMPIVPVYPGVIYGPGKLTTGNVVAQLLIERFNWRLPGYIGRGNDKFSFSHVDDVVEGHIAAMEKGRLGERYLLTGENASFRHVFDIAAIITGTARPKFNIPLGLIEVYGWISVLFARITGKLPLISPPTVHVLRHQWAYTCDKAKLELDYRPRSLKDGLEEMLPWLKSLGVIKY >KJB58925 pep chromosome:Graimondii2_0_v6:9:18196050:18196429:1 gene:B456_009G230900 transcript:KJB58925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSFIEQDFTTINLLKRLQISEKTYNTRYTLKSQSELEDHESLSPNIKSPHISLYALSGTTPNMKGA >KJB58261 pep chromosome:Graimondii2_0_v6:9:15609422:15611814:-1 gene:B456_009G201600 transcript:KJB58261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS18 MNQGGVATTNGGSGSGSVGVQIHQSRRLPDFLQSVNLKYVKLGYHYLISNLLTLCFIPLIAVISIEASQMNLDDLRQLWVHLQYNLASIIICSAILVFGLTVYIMTRPRPVYLVDYSCYRAPDHLKAPYGRFMEHSKLTGDFDESSLEFQRKILERSGLGEETYVPKAMHNIPPTPSMAAAREEAEEVMFGALDILFHNTNVKPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNKSKDRRRAKYKLIHVVRTHRGADDKAFRCVYQEQDDAGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFTTLVVKKLFNAGIKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPIHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMRKRNRVWQIAFGSGFKCNSAVWEALRNVKSSSNGPWEDCIDRYPVKITT >KJB58575 pep chromosome:Graimondii2_0_v6:9:16796205:16797379:1 gene:B456_009G215900 transcript:KJB58575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGHNSQVTTTTSTSHTSPLPIYVKDLDRIKGPWSPGEDEALQRLVHTYGPKNWSLISKSIPGRSGKSCRLRWCNQLSPEVQHRPFTPEEDDTIIQAHAQFGNKWAIIARLLNGRTDNAIKNHWNSTLKRKCSSMTQDFNDDSPQPIKRSASLGAANNVSGPCLNLGTPSGSDLSDSSLPAASPGYRPLARTGSSKHVETASSTTNPPTILSLSLPGFDPCENSDSGPRSDPIPSPTQVPVTTVTGHPTAGLVVGMQNGELGMEKQFFSNEFLTVIQEMIKTEVRNYMFGKFH >KJB61414 pep chromosome:Graimondii2_0_v6:9:46654559:46655201:1 gene:B456_009G356300 transcript:KJB61414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSSEDCLATDFFTVILVEKTWFHYFQNINFIFRHLNISKKNKCFLVYYRIGNLIDHSCLLRLFVDQSLFSYQDMRQQLANHLPSRCYIRLLANNGIFCFIDTNDQITLCNPTIREFRILSVCNEKIPPNLYISQRAFGFGLDSLSNDYKVIQIKSLDDGNKKHHGHHYAIYNMSTDTWRVLKHEDLQMPKYLLIMNNNSE >KJB58009 pep chromosome:Graimondii2_0_v6:9:14597166:14600855:1 gene:B456_009G190100 transcript:KJB58009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPFGHCLIMSSRTFCLSGCVLRILGTEDHIYCHSSFSQSMLCSVLEEVTGFKSEGDFQFCSVCLGVLQLSYRDDKETLVKMESPNDMALVIAELVKKEGHQIDGFSLEVSIPQFILENESSLLSGMKKRYESEPWLQERLLSKCVSVKDVLKFAITKPLETLLNTKASASSFRIRLAYTHKMAFGNSVERNQGFKRRKIGTENGLQNVNDESVVANKDCSDYPTNETIAVTGRSSLKVPIDKVSEPCHLVYLCYRTHIYLCGRYLKYSRNVSQTRWIIDEERMGEASVEEIIGSNILPMYRGDNYKFHAAGREDIDVRMLGSGRPFLLEIQNARQVPSEETVKEIESKINGLENKLVGVKNLQVVGSQGWTLMREGEAEKQKQYCALVWISRPLEDEDVCSISLLNDMQILQKTPIRVLHRRSPLERKKIIHWMKIERIAESSQYFLLHLCTQAGTYIKEFVHGDFGRTQPSIGSILGCRAEILQLDVTDVKMDSF >KJB58008 pep chromosome:Graimondii2_0_v6:9:14597145:14601209:1 gene:B456_009G190100 transcript:KJB58008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEVESAQVSDAMEVAQLSSLAAVDSHAGSCEAEMPSRLLNDAVRSLPYHVVKDLLSIGVCSRCVLRILGTEDHIYCHSSFSQSMLCSVLEEVTGFKSEGDFQFCSVCLGVLQLSYRDDKETLVKMESPNDMALVIAELVKKEGHQIDGFSLEVSIPQFILENESSLLSGMKKRYESEPWLQERLLSKCVSVKDVLKFAITKPLETLLNTKASASSFRIRLAYTHKMAFGNSVERNQGFKRRKIGTENGLQNVNDESVVANKDCSDYPTNETIAVTGRSSLKVPIDKVSEPCHLVYLCYRTHIYLCGRYLKYSRNVSQTRWIIDEERMGEASVEEIIGSNILPMYRGDNYKFHAAGREDIDVRMLGSGRPFLLEIQNARQVPSEETVKEIESKINGLENKLVGVKNLQVVGSQGWTLMREGEAEKQKQYCALVWISRPLEDEDVCSISLLNDMQILQKTPIRVLHRRSPLERKKIIHWMKIERIAESSQYFLLHLCTQAGTYIKEFVHGDFGRTQPSIGSILGCRAEILQLDVTDVKMDSF >KJB60075 pep chromosome:Graimondii2_0_v6:9:24679358:24681985:-1 gene:B456_009G288200 transcript:KJB60075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQETLNSESPPAAPAQELSVVVEKPQVTEKEPQPSAPAPLPEPEVPEKPALIQEALNNHEFTAKPEAEEKPVAEPEPKKEEEENKEEKKEEKEEETPAAAISEEPKIVTDAPAAVEVKEEENTPPPPPPAEAPAAEVVVVETEVAEKAKAVDDDGAKTVEAIEESVVAVAAPPAEKEKEEPSAEVAPQSEETKEAQVPSPPPEEVSIWGIPLLADEKSDVILLKFLRARDFKVKDAFAMIKNTVSWRKEFGIETLLDEDLGNELEKVVFMQGFDKEGHPVCYNVYGEFQNKELYQNTFADEEKRSKFLRWRIQFLEKSIRKLDFNPTGINTMVQVNDLKNSPGPGKKELRQATNQALNLLQDNYPEFVAKQVFINVPWWYLAFNRMISPFLTQRTKSKFVFASPAKSAETLFKYIAPEQVPVQYGGLSREGEQEFSVADAVTEVTIKPAAKHSVEFPITENCNLVWELRVVGWEVNYGAEFVPTAEDGYTVIVSKTRKVSSVDETVISDSFKTGEPGKVVLTIDNQTSKKKKLLYRSKTKPCSD >KJB60073 pep chromosome:Graimondii2_0_v6:9:24679296:24682038:-1 gene:B456_009G288200 transcript:KJB60073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQETLNSESPPAAPAQELSVVVEKPQVTEKEPQPSAPAPLPEPEVPEKPAVVAVEEEAVEVEKPKVEEKEETKITQSVSFKEETNIAGELPEPQKKALDELKQLIQEALNNHEFTAKPEAEEKPVAEPEPKKEEEENKEEKKEEKEEETPAAAISEEPKIVTDAPAAVEVKEEENTPPPPPPAEAPAAEVVVVETEVAEKAKAVDDDGAKTVEAIEESVVAVAAPPAEKEKEEPSAEVAPQSEETKEAQVPSPPPEEVSIWGIPLLADEKSDVILLKFLRARDFKVKDAFAMIKNTVSWRKEFGIETLLDEDLGNELEKVVFMQGFDKEGHPVCYNVYGEFQNKELYQNTFADEEKRSKFLRWRIQFLEKSIRKLDFNPTGINTMVQVNDLKNSPGPGKKELRQATNQALNLLQDNYPEFVAKQVFINVPWWYLAFNRMISPFLTQRTKSKFVFASPAKSAETLFKYIAPEQVPVQYGGLSREGEQEFSVADAVTEVTIKPAAKHSVEFPITENCNLVWELRVVGWEVNYGAEFVPTAEDGYTVIVSKTRKVSSVDETVISDSFKTGEPGKVVLTIDNQTSKKKKLLYRSKTKPCSD >KJB60074 pep chromosome:Graimondii2_0_v6:9:24680401:24681889:-1 gene:B456_009G288200 transcript:KJB60074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQETLNSESPPAAPAQELSVVVEKPQVTEKEPQPSAPAPLPEPEVPEKPAVVAVEEEAVEVEKPKVEEKEETKITQSVSFKEETNIAGELPEPQKKALDELKQLIQEALNNHEFTAKPEAEEKPVAEPEPKKEEEENKEEKKEEKEEETPAAAISEEPKIVTDAPAAVEVKEEENTPPPPPPAEAPAAEVVVVETEVAEKAKAVDDDGAKTVEAIEESVVAVAAPPAEKEKEEPSAEVAPQSEETKEAQVPSPPPEEVSIWGIPLLADEKSDVILLKFLRARDFKVKDAFAMIKNTVSWRKEFGIETLLDEDLGNELEKVVFMQGFDKEGHPVCYNVYGEFQNKELYQNTFADEEKRSKFLRWRIQFLEKSIRKLDFNPTGINTMVQVNDLKNSPGPGKKELRQATNQALNLLQDNYPEFVAKQVFINVPWWYLAFNRMISPFLTQRTKSKFVFASPAKSAETLFK >KJB60891 pep chromosome:Graimondii2_0_v6:9:33831242:33832333:1 gene:B456_009G329900 transcript:KJB60891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNRSNDTDSHVHSWSSNHLQIEGCTNVDGVAAKLTDLYFMLLNICGRKFSTISIARRLVGHDRTKHIEFDYSTCKLDMEDIKTCQGESVGRFR >KJB60872 pep chromosome:Graimondii2_0_v6:9:33549500:33551793:1 gene:B456_009G329200 transcript:KJB60872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGYTTSASALPSSSSDPLSPLENGDTQKRKRKPAGTPDPDAEVVSLSPKTLLESDRYVCEICSQGFQRDQNLQMHRRRHKVPWKLLKRENQEVKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSKQKQWVCDKCRKGYAVQSDFKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDSCSARRIQPELLTAVLPSCSSRTAPSTSPSSDTNLNFSIAPTGTPLPGLPMPWSTEPVFLYSQAPILDYHRHHRLLQHDLELQLLPSSSAHSTWWNSDENENRATNLKLSIGSSESVEMIEANKLANATETALEALKELAIAEKTYAEKARLEAKRQIEMAELEFSSAKRIRQQAQSELEKAQALKDEATKKINAIIMQITCGACKHQLRAATADDEASLAMSYMSSATTEGEGE >KJB53489 pep chromosome:Graimondii2_0_v6:9:28994948:28995118:-1 gene:B456_009G311300 transcript:KJB53489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQEMSNTSFCDRHVANPCKTFGRRCSRLVKEQRARFYILRRCVTMLVCWHECGET >KJB62522 pep chromosome:Graimondii2_0_v6:9:65741971:65742210:-1 gene:B456_009G421000 transcript:KJB62522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLSFPFRLFQEIYRSILILSFSIDSFPIKMYGSMNLCVYIYPIHGLTKMCKSSICRCHSMSLFFFAYGIATPFGSIH >KJB62952 pep chromosome:Graimondii2_0_v6:9:69617047:69621686:-1 gene:B456_009G445000 transcript:KJB62952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEHYNFIKVWILAIISLCYCYYISSKLPKGIFKLISLTPIFIFFLYLPLTISTAYLAGITPFFLSWLANFKLLLLCFDQPPLSPPPSNLLHFISLASLPIKSKQKTPSQNKSQQPQRSILFAIKVLILALLYHCYGHKQNLHKNVVLVMFCVRIYIELELALALAATLARAMFGFEIEPQFNEPYLATSLQDFWGRRWNLMVTSILRPTVYYPIRRISTRLLGSRWSSLPAVVAVFVVSGLMHELIFYYMTRVAPTWEVARFFILHGVAVVAEVVVKKVVPEKMRLHPVVSGASALGFVAVTAVWLFFPQLLRNGVDEKTIGEYCKLMDLLKGLLPF >KJB58267 pep chromosome:Graimondii2_0_v6:9:15663081:15664721:1 gene:B456_009G2019002 transcript:KJB58267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDVPCCGVEFSLYLLIIAGLVCFAGLMAGLTLGLMSLGLVDLEVLIKSGRPQDRTHA >KJB58268 pep chromosome:Graimondii2_0_v6:9:15663081:15664721:1 gene:B456_009G2019002 transcript:KJB58268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDVPCCGVEFSLYLLIIAGLVCFAGLMAGLTLGLMSLGLVDLEVLIKSGRPQDRTHA >KJB57824 pep chromosome:Graimondii2_0_v6:9:14025498:14027430:-1 gene:B456_009G182300 transcript:KJB57824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTFPVIDFSKLNGEERAAAMEMIKDACENWGFFELVNHGISHELMDTVERLTKEHYRKCMEQRFKEMVASKGLEAVQSEINDMDWESTFFLRHLPESNMSEIPDLEEDYRKVMKEFAVELEKLAEQLLDLLCENLGLEPGYLKKVFYGSKGPTFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGQWIDVPPMKHSIVINLGDQLEVYQPSTHRFFHTNST >KJB57823 pep chromosome:Graimondii2_0_v6:9:14025474:14027500:-1 gene:B456_009G182300 transcript:KJB57823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTFPVIDFSKLNGEERAAAMEMIKDACENWGFFELVNHGISHELMDTVERLTKEHYRKCMEQRFKEMVASKGLEAVQSEINDMDWESTFFLRHLPESNMSEIPDLEEDYRKVMKEFAVELEKLAEQLLDLLCENLGLEPGYLKKVFYGSKGPTFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGQWIDVPPMKHSIVINLGDQLEVITNGKYKSVMHRVIAQTDGTRMSIASFYNPGSDAVIYPAPALLEKEADKSQVYPKFVFEDYMKLYAGLKFQAKEPRFEAMKTVESAVNLGPIATV >KJB60576 pep chromosome:Graimondii2_0_v6:9:29266191:29274217:-1 gene:B456_009G313100 transcript:KJB60576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVEIPKWLKRLPLAPEFRPTDTEFADPIAYISKIEKEAGAYGICKIIPPLPKPSKRYVFNNLNRSLSKSPELGSDVNIGSVSNFGDSGGDVRERRAVFTTRHQELGRSGKRMKGVVSSPQCGAQKQVWQSGEIYTLEQFESKSKTFAKSLLGLLKEVSPLHIEALFWKVASEKSIYVEYANDVPGSGFGEPEGQFRYFHRRRRKRMSYRRENSECRKDEIDAVNNSQMVEINNTSVKSDPDTRVETPKSSTTLSAIASDVNSHSKRKSGNASNDMEGTAGWKLSNSPWNLQVIARSAGSLTRFMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSMNFLHTGSSKTWYAVPGDHAFAFEEVIRAEAYGGNIDRLAALSLLGEKTTLLSPELIVASGIPCCRLIQNPGEFVVTFPRAYHVGFSHGFNCGEAANFGTPQWLQVAKDAAVRRAAMNYLPMLSHQQLLYLLTMSFMSRVPRSLLPGARSSRLRDRLKEEREVLVKKAFVEDLLTENKLLSLLLKRGSTYRAIMWDPLLLPYTSRDSELPSRTATDSTIMQENVSDIHGEDKSDQKNLLDEMCFYMENLNYLYSNDDDLTCDFQVDSGTLVCVACGILGYPFMSVVQPSEGAAMEFLPVDHLSSQGPTVLVPKNAHSCPIEGSVSDNLNHVLDLSLPSKQAALPSITKFSDGWDTSNKYLRPRVFCLEHAVQVEELLQSKGGAKMLVICHSDYQKIKANAIPVADDIGIPFNYNDVPLDAASEEDLNLINFAIDDEHDEIQEDWTSKLGVNLRYCVKVRKNSSFKQVQHALPLSGLFTDKYSSLELFNIKWQSRKSRSKGKLNHPSPSKPCESVEMKVDEIMMEKLDSDISKYGQKIIQYSRRKKRKSDYSTGGGGGVELLKNDLPREDSAASIQFLDKHGGNKSKINARSESIQAQLEVPTTSVVQRDQNRIVEETGPDDEAQSLIACASSIKKCENKLMERNSENGEISPAEKCSKFCLVADGEVYLENTATATKVCNPVSEQQSDEPTSRYGLINGNSASSHSAQRCAGRYNQGLEDITVPKFSINGGAFSGMTSENEVQQGTEATSRNNSEVIIRSEVPKVPFAAADSCDGTVSQNKAQKQEIQINARKEVLLSGSFTSAGIDHQSTDLSVEEYSTISKNPGAEEDCHTDVALDVEVLQEIQATKGTGGDEVITCSDLPILEKQPTPVMMEACSEIQQDSSSSKKLCVGATADADSHENDPNRYEKNEESASCCHTPINQTTIPIQKYSRAHRETCATVNVNDGTDVCSSVENGDLESAMVNCKSNAMGRKRKRELEETCQKVGSDGFIRSPCEGLRPRAWKDATSSFDADKASSEGLQTKETRKPSTHAHRKIIIETGSHGCDLEGCHMSFKTKEELRLHKRNRCPYEGCGKKFRSHKYAVLHQRVHDDDRPLKCPWKGCSMSFKWAWARTEHIRVHTGVRPYKCKVEGCGLSFRFVSDFSRHRRKTGHYIDS >KJB58157 pep chromosome:Graimondii2_0_v6:9:15179079:15180276:1 gene:B456_009G196900 transcript:KJB58157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFVKGYWVVVLFVAVAVVRLRGDDLVNGTAAQAQGNTNSSSPSVLLKHLPGKDNVEAGNRSSTEVVLNNNKNRGPYNRGVGRGGGGGGGGGGGGGGGGGGGGYGWGWGGGGGGGWGWGGGGGGWYKWGCGGRKAGKGNGRGRDKGSIGRNQAHRKRVFNKEDYKMGEFAQCTVRGRCRGMRLDCPLHCGGPCVYDCQNMCKAHCRRP >KJB55048 pep chromosome:Graimondii2_0_v6:9:4291843:4294080:-1 gene:B456_009G059900 transcript:KJB55048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPTGNKKFQETGKKVMEANKQNKGAAADRTSKAFDEDTAIFINMSQELKEEGNRLFQKRDHEGAMLKYEKALNLLPKAHIDVAHLRSNMAACYMQLGLGEYPRAINECNLALEVSPKYTKALLRRARCYEALNRLDLAYRDVYNVLTIEPNNSSALEVLDSVKKAMDWKGVTVNENEPGFFDNDPSGASRLRKVVQEKLKKKKTKGKFVEKDEKTDDNVTEEKKAEDKVSVVKEVKDKEIVVKTVEEEKMTVEEEKTITKTVKLVFGDDIRWAQLPVNCTVNLVRDIIRDRFPGLQGILVKYMDPEGDLITMTSTDDLRLAESVGGLSGGSLRFFVVEVSPDQEPAYEGVSKEDMVKGEEKLSDVVENGEAIKGKSMEDWIVQFALLFKNHVGFDSDSYLDLHELGMRLYSEAMADTVTSEDAQELFEIAADKFQEMAALALFNWGNVHMSRARKHVILTDDGSRESMLAQVKIAYEWAQKEYVLAAKRYEEALKIKPDFHEGLLALGQQQFEQAKLHWYHAVASKINLESGPSEEVLKLYNKAEDSMEKGMQIWEEMEEQRLNGLSKIDKYNTQLQKMGLDGLFKDVSAEEAAEKAANMSSQIYLLWGTLLYERSVVEYKIGLPTWEECLEVAVEKFELAGASPIDLAVMIKNHCSNQTASEGLGFKIDEIVQAWNEMYDVKRWQTGVPSFRLEPLFRRQAPKLLSILENF >KJB55050 pep chromosome:Graimondii2_0_v6:9:4291843:4294080:-1 gene:B456_009G059900 transcript:KJB55050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPTGNKKFQETGKKVMEANKQNKGAAADRTSKAFDEDTAIFINMSQELKEEGNRLFQKRDHEGAMLKYEKALNLLPKAHIDVAHLRSNMAACYMQLGLGEYPRAINECNLALEVSPKYTKALLRRARCYEALNRLDLAYRDVYNVLTIEPNNSSALEVLDSVKKAMDWKGVTVNENEPGFFDNDPSGASRLRKVVQEKLKKKKTKGKFVEKDEKTDDNVTEEKKAEDKVSVVKEVKDKEIVVKTVEEEKMTVEEEKTITKTVKLVFGDDIRWAQLPVNCTVNLVRDIIRDRFPGLQGILVKYMDPEGDLITMTSTDDLRLAESVGGLSGGSLRFFVVEVSPDQEPAYEGVSKEDMVKGEEKLSDVVENGEAIKGKSMEDWIVQFALLFKNHVGFDSDSYLDLHELGMRLYSEAMADTVTSEDAQELFEIAADKFQEMAALALFNWGNVHMSRARKHVILTDDGSRESMLAQVKIAYEWAQKEYVLAAKRYEEALKIKPDFHEGLLALGQQQFEQAKLHWYHAVASKINLESGPSEEVLKLYNKAEDSMEKGMQIWEEMEEQRLNGLSKIDKYNTQLQKMGLDGLFKDVSAEEAAEKAANMSSQIYLLWGTLLYERSVVEYKIGLPTWEECLEVAVEKFELAGASPIDLAVMIKNHCSNQTASEGLGFKIDEIVQAWNEMYDVKRWQTGVPSFRLEPLFRRQAPKLLSILENF >KJB55049 pep chromosome:Graimondii2_0_v6:9:4290989:4294322:-1 gene:B456_009G059900 transcript:KJB55049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPTGNKKFQETGKKVMEANKQNKGAAADRTSKAFDEDTAIFINMSQELKEEGNRLFQKRDHEGAMLKYEKALNLLPKAHIDVAHLRSNMAACYMQLGLGEYPRAINECNLALEVSPKYTKALLRRARCYEALNRLDLAYRDVYNVLTIEPNNSSALEVLDSVKKAMDWKGVTVNENEPGFFDNDPSGASRLRKVVQEKLKKKKTKGKFVEKDEKTDDNVTEEKKAEDKVSVVKEVKDKEIVVKTVEEEKMTVEEEKTITKTVKLVFGDDIRWAQLPVNCTVNLVRDIIRDRFPGLQGILVKYMDPEGDLITMTSTDDLRLAESVGGLSGGSLRFFVVEVSPDQEPAYEGVSKEDMVKGEEKLSDVVENGEAIKGKSMEDWIVQFALLFKNHVGFDSDSYLDLHELGMRLYSEAMADTVTSEDAQELFEIAADKFQEMAALALFNWGNVHMSRARKHVILTDDGSRESMLAQVKIAYEWAQKEYVLAAKRYEEALKIKPDFHEGLLALGQQQFEQAKLHWYHAVASKINLESGPSEEVLKLYNKAEDSMEKGMQIWEEMEEQRLNGLSKIDKYNTQLQKMGLDGLFKDVSAEEAAEKAANMSSQIYLLWGTLLYERSVVEYKIGLPTWEECLEVAVEKFELAGASPIDLAVMIKNHCSNQTASEGLGFKIDEIVQAWNEMYDVKRWQTGVPSFRLEPLFRRQAPKLLSILENF >KJB59529 pep chromosome:Graimondii2_0_v6:9:21414540:21417831:-1 gene:B456_009G259700 transcript:KJB59529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METENSKETGEIEPLLRDPRDEPKSEANPNPTTVKTRVPEVEIRLYRQGKGPIDVFKSSLGGWDQNQLEVRDILDKYGLKSIYAFSPQSGRGVPIRFHPRNGRSILGYKDGSVVHIDGEPQDSLIKPVTKILVGVAAITLLITLVAKDTPEWMKKLKISGGDFPPWLLACVVIVFTRMRKRTKDFFKKFGW >KJB53475 pep chromosome:Graimondii2_0_v6:9:10262493:10264189:-1 gene:B456_009G136400 transcript:KJB53475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGAFGGNRGLRPVPPEKGIFPLDHLHECDLEKKEYLSCLKTSGHKSEKCRQFSKKYLQCRMEKNLMAKQDLSELGFGNSSEMEASVGKEYSEK >KJB60131 pep chromosome:Graimondii2_0_v6:9:25063770:25064283:-1 gene:B456_009G291000 transcript:KJB60131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVKEKYKLHVCKLWLCGGSVDENGNQYLIIDMHIVPDSVLVLTLFINMLSSVLNLLDLEIAGSFILFSDFKGLSQPSFCSFW >KJB62087 pep chromosome:Graimondii2_0_v6:9:57580864:57583245:-1 gene:B456_009G400500 transcript:KJB62087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYNGIYHIFYQYNPKGAVWGNIVWGHSISKDLINWKELEPAICPSKHFDIDGAWSGSTTILPSNKPIILYTGVDSNGIQTQNYAVPANLSDPYLRKWIKPGNNPLIDPENGVNATAFRDPSTAWWLNGRWRVLVGSERNSRGIAYLYRSRDFIHWTKAKHPFHSAPNTGMWECPDFYPVSLSSKEGLDPSYMGKHVKHVLKVSLDDARYDYYTLGTYLTNDDKYVPDNASVDGWAGLRYDYGNFYASKTFFDPAKRRRILWGWVNESDFTQDDVKKGWAGIQAIPRIVWLDTNQRQLKQWPVEELNTLRGEIVKMSHQKLKKGHHIEIQGITAAQADIDVTFYIPSLDKTEEFNPSWEDAQRLCANKGSKVEGGVGPFGLLTLASKNLEEYTAVFFRVFKTSKKHVVLLCSDARSSSLEDGIYKPSFAGFVDVDLADKKLSLRSLIDHSVVESFGGGGKTCITSRVYPTLAVLDNAHLYAFNNGDETIIVKNLNAWSMNKAKMN >KJB57078 pep chromosome:Graimondii2_0_v6:9:11167993:11170918:-1 gene:B456_009G147100 transcript:KJB57078 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLIP5 [Source:Projected from Arabidopsis thaliana (AT1G53920) UniProtKB/TrEMBL;Acc:A0A178WKF5] MARLSSFFIFSFFLQVALFATCCRGESKEQQHQLPKKHVPFFIFGDSILDAGNNNYINTSTLDQANFWPYGLTFFNYPTGRFSDGRLIPDFIAKYAKLPLIPPFLQPGFRQYYLGVNFASAGAGALVETFQGFVIDLKTQLRYYYKVDSWFRHKLGNDEAKATMSRAVHLFSIGTNDYASPFLTNSTILNNYNKSTYVGMVIGNITTVINGIYKRGGRKFAFINLPDLGCVPGMRIMKSGNNGSCFEEATRLASLHNKALSKLLYDLEKQLKGFKYSLFDLNSNLRQRMEHPSKYGFKEGETACCGTGEYRGVLSCGGKRPVKEFQLCKHPQKYVFWDSLHLTERTYKQLADQMWGGATSDSHDVGPYNIKQLFSNSLNHRYN >KJB60462 pep chromosome:Graimondii2_0_v6:9:27997336:27998942:-1 gene:B456_009G307000 transcript:KJB60462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVWAVFKQYFPYQFRGYIEKYSQRLLSFVYPYIQFTFNEFTGERLNHPSHVFKFSFRNRFYLCLSVPNSKFPEN >KJB59866 pep chromosome:Graimondii2_0_v6:9:23279060:23282673:-1 gene:B456_009G277600 transcript:KJB59866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDWWAKGVHKGTPVVVKMDNPDWSMVELEGPSEDDFLIGNNPTDTRAKARGKNAKQLTWVLLLKAHRAAGYLTSVASTLASIGPAIRRRVASGRTDTESTSSFENKTVKTRFYNCIKVFLWLSLLLLGFEIAAYYKGWHFRASNLQLQYIFTAPFGVRDFFDWLYSNWVLIRVGFVAPPLQFLANVCIVLFLIQSLDRLILCLCCFWIRVKKIKPIPKEDAVADLETGENGFFPMVLVQIPMCNEREVYQHSIAAVCKLDWPKSKILIQVLDDSDDPMTQLLIEEEVHKWQQVGANIVYQHRVIRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPTHDFLKRTIPHFKDNEDVGLVQARWSFVNKDENLLTRLQNINLSFHFEVEQQVNGVLINFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLQGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDVIHAKISFWKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEAELPAWVVCYVPALMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGNAYEWVVTKKSGRSSESDLVSLIEKDPKHQLRGSEPDLDEIKAEILQEQKARKKKHNRIYTKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVM >KJB54854 pep chromosome:Graimondii2_0_v6:9:3755513:3757859:1 gene:B456_009G052100 transcript:KJB54854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLSPILACLALAVVASHAALSPEQYWSYKLPNTPMPKAVKEILHPELMEEKRTSVNVGGGGVNVNTGKGKPGGGTHVNVGRKGVGVNTGKPGGGTHVNVGRKGVGVNTGKPGGGTHVNVGGKGVGVNTGKPGGGTHVNVGGKGGGVSVHTGHKGKPVNVNVSPFVYNYAASETQIHEDPNVALFFLEKDMHPGATMSLHFTENTEKSAFLPYQTAQKIPFSSDKLPEIFNKFSVKPGSLKAEMMKNTIKECEQPAIEGEEKYCATSLESMIDYSISKLGKVDQAVSTEVEKQTPTQQYTITAGVQKMTNGKAVVCHKQNYAYAVFYCHKSETTRAYMVPLEGADGTKAKAVAVCHTDTSAWNPKHLAFQVLKVEPGTIPVCHFLPRDHIVWVPK >KJB54416 pep chromosome:Graimondii2_0_v6:9:2477766:2479415:1 gene:B456_009G033300 transcript:KJB54416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSSSRKQLHIAMFPWLAYGHIAPYLQVAKFLAQKNHHLYYVSTPKNISRLPQLPPTLCSNITFIPLSLPHVDGLPPGVESTSELPIHKVPYLKKSFDKLETQLTEFLERSPQIKLIIHDFAPHWLPPTANQLGINLVYFSILNASSNAFLGSPSEILGGSRKSPEDFTVVPTWMDYPNNIAFKLHETVSHKECEDIVSDFERCATVLLNCKILTLRTCYEFEPEALRVLSKIHQKPIIVPLGLLPPPLTSVDDKGDENWEALKKWLDSKQEKSVFYVALGSEVSLSQESMHELAFGIEKSGLPFIWVVRKPPLVEEQFAEDMIPPEFEERVSKQDLGLIARLMHWKKVGFEIERNDVDGSFKSDLVAACIKRVMVDPEGEQLRANALAMKEIFGNVELSNKCLDEFTQSIENI >KJB60519 pep chromosome:Graimondii2_0_v6:9:28517375:28518888:1 gene:B456_009G309700 transcript:KJB60519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLGVIDPPSIEFDPTHDQIIDPIGRNIGETQIKLEQPNIQTSSMKISIPTYKIKPILKPKPIKYVSTKRIPTILSVPPMVLQS >KJB62077 pep chromosome:Graimondii2_0_v6:9:57224262:57225397:-1 gene:B456_009G399900 transcript:KJB62077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMAPGFRFYPTEEELVSFYLHHKLEMEREDLNRLMDRVIPIVNIYEFNPWDLPQFSAYLCHKDPEQWFFFIPWQESEARGGRPKRLTTTGYWKATGSPGFVYSSGNRPIGVKRTMVFYNGRAPNGIRTEWKMNEYKAIEQGASSISATPTLRHEFSLCRVYKKSKCLRSFDRRPPAGGVQIIKPNAAAHQGEATAGEAASGYHKSHQMAGSPESSSSEDHGNPSQTGASNDSSATVFNYDELFWNLDGFWESQIP >KJB56675 pep chromosome:Graimondii2_0_v6:9:9886151:9888631:-1 gene:B456_009G131700 transcript:KJB56675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRSLHLTLFSFLFLLFFCLATSTTVTTTSSHVTLNPLQGVFCSVIDCGQGTCQASNNSLLGFDCLCKPGWKKIQIGPFTFPSCLVPNCTVDFDCGKGSPPPPSLPPPPNQTNTCDLVWCGDGECVSNGTGYICQCHQGSENLFNSSGLACFKPCYFRADCQGLGLNFPSGNPPPPPPSPSSNSPTSYGNGLKGVSSCSGTLSALTVIMVIAACQTWF >KJB55187 pep chromosome:Graimondii2_0_v6:9:4827979:4830912:-1 gene:B456_009G067900 transcript:KJB55187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSNGILPVSSLRKVRSGAPDRKVRDRPSPLGPNGKVDSFSHQSMGTEENGNKLGLENGDLTPYDYQRPLQHPQAVAEQPEIEREGLVRSTGKPRVLSKGQTEGAIVEDGEEVEQSNHIDFSRSPLLAPLGIPYCSASIGGAHKSLPVASSGDFISYYDSGGLYDTETLKKRMEQIAAVQGLGGVSLECASMLNSMLDVYLKKLIGSCFDLVGSRSTRELRKQSAHKQQLQGKVVNGLWPSNHFHMQSSSGPTEVLQEQGQRCSISLLDFKVAMELNPQQLGEDWSLLLEKICMHSFEE >KJB55186 pep chromosome:Graimondii2_0_v6:9:4827887:4831050:-1 gene:B456_009G067900 transcript:KJB55186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQQGSRINLGELKAQIVKKIGAERSKKYFYNLSRFLSQKLSKSEFDKSCYRILGRENLPLHNQLIRSILKNVCQAKTPPPVHEAGPAKSLIQNVKSYPGRDDGHEPTGSLVPNLNPNMAISSNGILPVSSLRKVRSGAPDRKVRDRPSPLGPNGKVDSFSHQSMGTEENGNKLGLENGDLTPYDYQRPLQHPQAVAEQPEIEREGLVRSTGKPRVLSKGQTEGAIVEDGEEVEQSNHIDFSRSPLLAPLGIPYCSASIGGAHKSLPVASSGDFISYYDSGGLYDTETLKKRMEQIAAVQGLGGVSLECASMLNSMLDVYLKKLIGSCFDLVGSRSTRELRKQSAHKQQLQGKVVNGLWPSNHFHMQSSSGPTEVLQEQGQRCSISLLDFKVAMELNPQQLGEDWSLLLEKICMHSFEE >KJB56457 pep chromosome:Graimondii2_0_v6:9:8907416:8914848:-1 gene:B456_009G120400 transcript:KJB56457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKVAEGVDGRYLYSTNNYAGRQTWEFDPDSGTPEERAQVEEARQNFYQNRHHVKPSADLLWRMQFLKEKNFKQSIPAVKIEDGEQITYEKATTTLRRAVHFFSALQASDGHWPAENAGPLFFLPPLVFSMYITGHLNTVFPEEHRREILRYIYYHQNEDGGWGLHIEGHSTMFCTALSYICMRILGEGPDGGLDNACARARKWILDHGSVTHMPSWGKTWLSILGVFDWSGCNPMPPEFWLLPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFVGQITPLIEQLREELYLQPYNEINWKKIRHLCAPEDIYYPHPLIQDLMWDSLYICTEPLLTRWPFNKLIRERALQVTMKHIHYEDENSRYITIGCVEKVLCMLACWAEEPNSDYFKKHLARIPDYLWVAEDGMKMQSFGSQQWDTGFAIQALLASNLTDEIGPVLKRGHDFIKKSQVKDNPSGDFKQMFRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLMSMLPPEIVGEKMEPQQLYDAVNVILSLQSQNGGLAAWEPAGAQEWLEMLNPTEFFADIVIEHEYIECTASSIHALVMFKKLYPGHRKKEIENFITNAVHYLEDIQMPDGSWYGNWGVCFTYGTWFALGGLAAAGKTYINCEAMRRGVEFLLTTQRENGGWGESYKSCPEKIYVPLEDGRSNLVHTAWAMMGLIHAGQAERDPRPLHRAAKLIINSQLEDGDFPQQEITGVFMKNCMLHYAAYRNIYPLWALAEYRKRVPLP >KJB56458 pep chromosome:Graimondii2_0_v6:9:8907699:8914373:-1 gene:B456_009G120400 transcript:KJB56458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKVAEGVDGRYLYSTNNYAGRQTWEFDPDSGTPEERAQVEEARQNFYQNRHHVKPSADLLWRMQFLKEKNFKQSIPAVKIEDGEQITYEKATTTLRRAVHFFSALQASDGHWPAENAGPLFFLPPLVFSMYITGHLNTVFPEEHRREILRYIYYHQNEDGGWGLHIEGHSTMFCTALSYICMRILGEGPDGGLDNACARARKWILDHGSVTHMPSWGKTWLSILGVFDWSGCNPMPPEFWLLPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFVGQITPLIEQLREELYLQPYNEINWKKIRHLCAPEDIYYPHPLIQDLMWDSLYICTEPLLTRWPFNKLIRERALQVTMKHIHYEDENSRYITIGCVEKVLCMLACWAEEPNSDYFKKHLARIPDYLWVAEDGMKMQSFGSQQWDTGFAIQALLASNLTDEIGPVLKRGHDFIKKSQVKDNPSGDFKQMFRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLMSMLPPEIVGEKMEPQQLYDAVNVILSLQSQNGGLAAWEPAGAQEWLEMLNPTEFFADIVIEHEYIECTASSIHALVMFKKLYPGHRKKEIENFITNAVHYLEDIQMPDGSWYGNWGVCFTYGTWFALGGLAAAGKTYINCEAMRRGVEFLLTTQRENGGWGESYKSCPEKIYVPLEDGRSNLVHTAWAMMGLIHAGQAERDPRPLHRAAKLIINSQLEDGDFPQQEITGVFMKNCMLHYAAYRNIYPLWALAEYRKRVPLP >KJB58358 pep chromosome:Graimondii2_0_v6:9:15958150:15961641:-1 gene:B456_009G206300 transcript:KJB58358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKDPVFFFIAIFLLSSITAQSSKCNRSCGDKFVPFPFGFSAGCQIPLNCSSNNQQLIADFRVLTINADRIKISIEATCNRPLQVFHRLYGPNYAPTSQNAILLQNCSLPKPCMIPTTMVYTHFEEIACPPNTNNISCYSENIINGFVDYGNVTRTNCKSFLSSISAESFNESGVLEVQVVELGWWLQGRCSDICSENATCDEIVPPFNGQPGVRCSCKHGFIGDGYRAAAGCRKASPKCNLPRFISGKCRGTTRVALMVGGIATGALLMICAVLICCCMKRQSNSKDRHSTKRLLSEASHISIPIYTYKEIEKATNGFSEKQRLGTGAFGTVYAGKLHNNSWVAIKRIKHGDTDCIEQVVNEIKLISSVSHQNLVRLLGCSIENGEQILVYEFMPNGTLCQHLQRERGDGLAWPVRLTIAAETSQAIAHLHSAIDPPIYHRDVKSSNILLDYNFRSKVADFGLSRLGITEISHISTAPQGTPGYLDPQYHQNFHLSDKSDVYSFGVVLIEIITAQKVVDFSRPHNEVNLVSVATDRISKGRLDEIIDPFLEPYSDSWTLSTIHKVAELAFRCLSFQREMRPTMMEVAVELEQIRLSRWVPAEEITCAASSEVSPCSSSSNISEQPLSMSVNNKNGLENKGLFMFQMTNVGCVNLTGKSEDNSPVLTGKTGK >KJB54057 pep chromosome:Graimondii2_0_v6:9:1455062:1456714:-1 gene:B456_009G018800 transcript:KJB54057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEKKHKKLGDFLSTFYRSNAKSQKPKSEPAVLVNNNKSVSQSLLLNKLENGHAIEKAGRRGIEKEGTEGEEGGGGKVVVVQGRKSVSHIETNLSSVISFLQVKVLVADMPGFMQVHAFRCARRTYDSLEKFSSRHVAYNIKKEFDKVYGPAWHCIVGSNFGSFVTHSTGCFLYFSMEKLYILIFKTKVRRVEN >KJB54592 pep chromosome:Graimondii2_0_v6:9:2975008:2976312:1 gene:B456_009G040300 transcript:KJB54592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNASTALNQRKEVEEDDWRSSSSTTTTSSSWIGMNSDGSADGGDCDGDDDEVESSYKGGLDIMDSLQQVLPMRRGISSFYNGKSKCFTNLADGSSTSSIKEIAKPENAYTRRRRNLLAINYAWDKNKFKRPIKSIMNSRKSRLAFLAVAMGSSESISATTSDHSTSNFMPSAPALKPPLLSII >KJB61609 pep chromosome:Graimondii2_0_v6:9:49698802:49699484:1 gene:B456_009G369700 transcript:KJB61609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFPRIQLRLNWISSKPYYPAFDTPLQYPQAIFFKCSTVKSTLKLMPTASFVASLHFNIRHSVKHRT >KJB62191 pep chromosome:Graimondii2_0_v6:9:59631478:59633606:1 gene:B456_009G405800 transcript:KJB62191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSDKLRTVICLGDIHGYLTKLLNLWSNLQSQIDPDSFNTATIIFLGDYCDRGPDTRKVIDFLISLPKRYPNQKHVFLSGNHEFAFARFIGVLEGEFEAKETWKEYADNEEIEGWYKGEGYEKMHLQGRIWGGWFDVAQGIDCKGSIFDAAPTFGSYGVSHGSSELMKVVPEDHKKFLADVVWVHEEDDVCIETQEGVKHCKLIAVHAGLEKGKNVREQLEFLKAKDVSVPQVTGLSGRKNVWDIPEELTETIVVSGHHGKLHIEGLRLIIDEGGGLEGNPLAAIVLPSMKIVRDTDNLS >KJB61928 pep chromosome:Graimondii2_0_v6:9:53731557:53732161:-1 gene:B456_009G392200 transcript:KJB61928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAKRISPPNTAYQFEVSYRALSGDRSLQAHLLKVTSPSALPQIFKNAMSASMLVDIVKCVATFFREDVDLAIKYLENLTKVPRFDMLIMFLSPTE >KJB59161 pep chromosome:Graimondii2_0_v6:9:19376561:19377399:1 gene:B456_009G242200 transcript:KJB59161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVRAHPLWGGCPEEDLHSAGEVSHRYLHMSWDLGLHYLLLLFLSNLDIKSTFQNETSWLDQTRKVFRECPYMFVHFSDLKINDVEDLNNYKQLVFKYVCF >KJB55851 pep chromosome:Graimondii2_0_v6:9:7513304:7515785:1 gene:B456_009G103900 transcript:KJB55851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAAQSVVKAVGEYQYPWREKLAKYKVELSKGVWGYWELGAWKPLGISARHRARLRKEMLLAGQDWPYDPEKKEMRSKMKGHKCDRIAAERRENTANLMQKMPEMLLAYKKRRWEKKMKEEEKAKDK >KJB55850 pep chromosome:Graimondii2_0_v6:9:7513304:7515904:1 gene:B456_009G103900 transcript:KJB55850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAAQSVVKAVGEYQYPWREKLAKYKVELSKGVWGYWELGAWKPLGISARHRARLRKEMLLAGQDWPYDPEKKEMRSKMKGHKCDRIAAERRENTANLMQKMPEMLLAYKKRRWEKKMKEEEKAKDK >KJB60390 pep chromosome:Graimondii2_0_v6:9:27151727:27153371:-1 gene:B456_009G302800 transcript:KJB60390 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 87 [Source:Projected from Arabidopsis thaliana (AT1G22590) UniProtKB/TrEMBL;Acc:Q7X9H1] MGRKATVYELISNEYARKTTLKKRKAGLLKKLSELTTLCGVAACAIIFSSYDAQPDVWPSKAEACQVLKKFKSSPAQQRGKNMMDQTVLLKRSILQLNGRLEKRKKKNRELEKELALAETMAGENNCDWNNLEQLKELDYQLQENIKLITDKIKS >KJB54707 pep chromosome:Graimondii2_0_v6:9:3279174:3280559:-1 gene:B456_009G045500 transcript:KJB54707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKGTVCLTGGTGFVGSWLIKRLLQEGYSVRTTVRADPENKRDLTFLTSLPGVAEKLKIFSADLNDPNSFDAAIEGSKAVLHVATPLSFDGKESLEAVTERSTSGALGILKACLRSKTVKRVVYTSSAATVIYNGQETDMMDESFWTDVDFVMQKLNPKTHPYLISKTFTERAVLEFGTQHGLDVVTVNPGLVVGPFICPRFPDSVRSSLALVLGNRSEYGILLNIPMVHVDDVGSGKYPEFPIPSPETLAEIKGPKLPGVSSKKLLGIGFEFNNGLEEMFDGAIQCCKERGYL >KJB54706 pep chromosome:Graimondii2_0_v6:9:3279601:3280542:-1 gene:B456_009G045500 transcript:KJB54706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKGTVCLTGGTGFVGSWLIKRLLQEGYSVRTTVRADPENKRDLTFLTSLPGVAEKLKIFSADLNDPNSFDAAIEGSKAVLHVATPLSFDGKESLEAVTERSTSGALGILKACLRSKTVKRVVYTSSAATVIYNGQETDMMDESFWTDVDFVMQKLNPKTHPYLISKTFTERAVLEFGTQHGLDVVTVNPGLVVGPFICPRFPDSVRSSLALVLGNRSEYGILLNIPMVHVDDVGSTIVLPTP >KJB61608 pep chromosome:Graimondii2_0_v6:9:49696689:49698725:1 gene:B456_009G369600 transcript:KJB61608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFFIAEIWLNSRIVTLFWPFKSRFYIWVNMEGYSSDGSTSFFGSNIPSEPQLPQQTNSLGVVDGWLELLGRDNGLVQDNIDFSAIDDGPTVRSLLDQHHQFNQGETSEASYQTVSEGGTRSKLTPAQRKENKRQSDYKYRQKRKVTADEQIGEIKRLKEEIQRFNVENGELKAQLGLLLLNAQFQAAAQQRQDGYITQSTGKQLHPQYVTVHGTNSEAVDKMNEIPNLQGTVPVNVVDCNDGIAKNQTSSEVETCSNNEAAITDILMKLEADDESRVKFSDFTGLHGERIQVGKYSFPPALHPIVNNIIKVYGDVSATSKMSPSIAETVYIVFCASVKEMSNLRLEHVTEDLILKWRDAIKDALRINFKVDFALEHLKKVACAYIGLMERQKLDSAGLRISKLEAELSTAKEEHAKICEQSKVFIDAAEEFNDKPVSSGMFKRPGQINVELELTNEPDSSTLIL >KJB61607 pep chromosome:Graimondii2_0_v6:9:49694560:49698800:1 gene:B456_009G369600 transcript:KJB61607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIWLNSRIVTLFWPFKSRFYIWVNMEGYSSDGSTSFFGSNIPSEPQLPQQTNSLGVVDGWLELLGRDNGLVQDNIDFSAIDDGPTVRSLLDQHHQFNQGETSEASYQTVSEGGTRSKLTPAQRKENKRQSDYKYRQKRKVTADEQIGEIKRLKEEIQRFNVENGELKAQLGLLLLNAQFQAAAQQRQDGYITQSTGKQLHPQYVTVHGTNSEAVDKMNEIPNLQGTVPVNVVDCNDGIAKNQTSSEVETCSNNEAAITDILMKLEADDESRVKFSDFTGLHGERIQVGKYSFPPALHPIVNNIIKVYGDVSATSKMSPSIAETVYIVFCASVKEMSNLRLEHVTEDLILKWRDAIKDALRINFKVDFALEHLKKVACAYIGLMERQKLDSAGLRISKLEAELSTAKEEHAKICEQSKVFIDAAEEFNDKPVSSGMFKRPGQINVELELTNEPDSSTLIL >KJB53397 pep chromosome:Graimondii2_0_v6:9:70685645:70686406:1 gene:B456_009G4562003 transcript:KJB53397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAKEEGKVLGYNSKKVKKVAILSTLAALLDDPILADVPKKPSLSDVDTLINLELGSAMCISIFKLDGTSFDVAVMNSATVKDLKLAIKKKVIELEQSKMGHRHISWRHVWANFCLAHHNGKLLDDDAALHDFGVRNNSQ >KJB53399 pep chromosome:Graimondii2_0_v6:9:70685399:70686406:1 gene:B456_009G4562003 transcript:KJB53399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAKEEGKVLGYNSKKVKKVAILSTLAALLDDPILADVPKKPSLSDVDTLINLELGSAMCISIFKLDGTSFDVAVMNSATVKDLKLAIKKKVIELEQSKMGHRHISWRHVWANFCLAHHNGKLLDDDAALHDFGVRNNSQ >KJB53398 pep chromosome:Graimondii2_0_v6:9:70685577:70686406:1 gene:B456_009G4562003 transcript:KJB53398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAKEEGKVLGYNSKKVKKVAILSTLAALLDDPILADVPKKPSLSDVDTLINLELGSAMCISIFKLDGTSFDVAVMNSATVKDLKLAIKKKVIELEQSKMGHRHISWRHVWANFCLAHHNGKLLDDDAALHDFGVRNNSQ >KJB60099 pep chromosome:Graimondii2_0_v6:9:24911366:24919816:-1 gene:B456_009G289700 transcript:KJB60099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVTVAAWISRAPCLTPNSFNSISNGKSRNKTTKVVPTSTKAWKIRTAVAAASCSSSVFGSFNQTVGGQVLREGECLEKYKKEGKGKKRVFFLDVNPLCYAGNKPSLQSFGHWVSLFFSQVCLTDPVIAVFDGDNCNELRRRLLPSYKAHRRKFFPQSTISKKYVRGQVGRSQQLIMDVLRKCNVPVLKVEGNEADDVVATLVEQVLERGYHVVIASPDKDFKQLISENVQIVMPLVELKRWSFYTLKHYMAQYNCDPQSDLSLRCIMGDEVDGVPGIQHLVPGFGRRTALKLLKKHGSLENLLNAASVRTVGRQYAQEALTKYADYLWRNYEVLALRRDVDVHLQEEWLLERDTSNDANVLSNFFRLLEETNKSTRESRSNFSNG >KJB60100 pep chromosome:Graimondii2_0_v6:9:24911292:24919847:-1 gene:B456_009G289700 transcript:KJB60100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVTVAAWISRAPCLTPNSFNSISNGKSRNKTTKVVPTSTKAWKIRTAVAAASCSSSVFGSFNQTVGGQVLREGECLEKYKKEGKGKKRVFFLDVNPLCYAGNKPSLQSFGHWVSLFFSQVCLTDPVIAVFDGDNCNELRRRLLPSYKAHRRKFFPQSTISKKYVRGQVGRSQQLIMDVLRKCNVPVLKVEGNEADDVVATLVEQVLERGYHVVIASPDKDFKQLISENVQIVMPLVELKRWSFYTLKHYMAQYNCDPQSDLSLRCIMGDEVDGVPGIQHLVPGFGRRTALKLLKKHGSLENLLNAASVRTVGRQYAQEALTKYADYLWRNYEVLALRRDVDVHLQEEWLLERDTSNDANVLSNFFRLLEETNKSTRESRSNFSNG >KJB60101 pep chromosome:Graimondii2_0_v6:9:24911448:24919010:-1 gene:B456_009G289700 transcript:KJB60101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLSSSSFLFLLQVFDGDNCNELRRRLLPSYKAHRRKFFPQSTISKKYVRGQVGRSQQLIMDVLRKCNVPVLKVEGNEADDVVATLVEQVLERGYHVVIASPDKDFKQLISENVQIVMPLVELKRWSFYTLKHYMAQYNCDPQSDLSLRCIMGDEVDGVPGIQHLVPGFGRRTALKLLKKHGSLENLLNAASVRTVGRQYAQEALTKYADYLWRNYEVLALRRDVDVHLQEEWLLERDTSNDANVLSNFFRLLEETNKSTRESRSNFSNG >KJB60102 pep chromosome:Graimondii2_0_v6:9:24911448:24919816:-1 gene:B456_009G289700 transcript:KJB60102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVTVAAWISRAPCLTPNSFNSISNGKSRNKTTKVVPTSTKAWKIRTAVAAASCSSSVFGSFNQTVGGQVLREGECLEKYKKEGKGKKRVFFLDVNPLCYAGNKPSLQSFGHWVSLFFSQVCLTDPVIAVFDGDNCNELRRRLLPSYKAHRRKFFPQSTISKKYVRGQVGRSQQLIMDVLRKCNVPVLKVEGNEADDVVATLVEQVLERGYHVVIASPDKDFKQLISENVQIVMPLVELKRWSFYTLKHYMAQYNCDPQSDLSLRCIMGDEVDGVPGIQHLVPGFGRRTALKLLKKHGSLENLLNAASVRTVGRQYAQEALTKYADYLWRNYEVLALRSFPIYSTGTLMFIFKKNGCLKGTQVTMQMFYLTFLDCWKKLINLLVKVDLISQMVKPQVLMRNNKIEISKILVSEK >KJB59160 pep chromosome:Graimondii2_0_v6:9:19373118:19375962:1 gene:B456_009G242100 transcript:KJB59160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRSSTKISLKWIPFVCISFFVLGTVFSNRLWIPTIFNDCDTKKKPATDNFEKGEVLKTHEAIESLDKSFATLQIKLAPPGSSQKMKNSDATGAVSTLAGIDSPRKKAFMVIGINTAFSSRRRRDSIRETWMPQGEKLVRLEREKGIIIRFIIGHSATSDSILDRAIDSEDAQHKDFLRLEHVEGYHELSAKTKTYICTAVANWDAEFYVKVDDDVHVNLGKLAALLGRHRSKPMAYIGCMKSGPVLSKKSVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLASYIAANQHILHKYANEDVSLGSWFIGLEVQHLNIKSMCCGTPPDCELKAKAGNACAASFDWSCSGICRSVEKIKIVHQRCGEGDAVVWSALF >KJB57390 pep chromosome:Graimondii2_0_v6:9:12394487:12396238:-1 gene:B456_009G161300 transcript:KJB57390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIGA >KJB57391 pep chromosome:Graimondii2_0_v6:9:12394487:12396535:-1 gene:B456_009G161300 transcript:KJB57391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIGA >KJB63023 pep chromosome:Graimondii2_0_v6:9:70122570:70124480:-1 gene:B456_009G450300 transcript:KJB63023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFYLVSLLICLHATSTFSRHVPQQISEPVPRYSTRRQLDTEQCRTGNPIDDCWRCDPEWEANRKKLADCAVGFGKNAIGGRDGDVYVVTDSGNDDPLNPTPGTLRHAVIQTEPLWIIFDHDMVINLREQLLINSYKTIDGRGHDVQITSGPCITLHNVSNVIIHNIYIHKCSPSGNAMVWDPFPHSGSDGDGISIFGSRDVWIDHCTLANCYDGLIDATYGSTSITISNNYMLHHNEVMLMGHSDEFLDDKNMQVTIAFNYFGEGLVQRMPRCRHGYFHIVNNVYTGWQMYAIGGSANPTINSQGNVFVASDNNYSKEVTKRESYFDEWKNWNWRSEGDMMLNGAFFTPSGQEASESYIKASSMVARPSSLLTQTAQYAGTLRCRKGYGC >KJB61635 pep chromosome:Graimondii2_0_v6:9:50247128:50248679:-1 gene:B456_009G371700 transcript:KJB61635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNTCFHLLLALFIPCFVLCLAMTVRNLNSDQFALLEFKDHIAHPDQNVVANNWTASTSVCNWIGVSGGFLHKRVIALNLTSMNLRGTIPPHLGNLSFLLSLGLSSNHFYGHLPKELGQLHRLRILQLSYNLLNGEIPSWLGNLQRVRRLEMKNNNFTSTIPETLVNMSNLEILSLGFNQLSGQVPSSIFKISSLKIIYLSSNSLSGSLPNDMCHHLPKLEGLYLSLNELSGNIPSSMGKCNNLKNLLLSYNQLTGIIPKSIGNLTRLKELYLGLNNLEGQILEEIGNLLGLELLNIKAIKGLTVQVPTSIFNISSLKTIDLSNNSLSGSLPNDMCQHLPKLEGLYLNKNELSSNIPSSMGKCNNLKNLSLSLNQFTGIIPKSIRNLTRFENLYLEWNNLEDIEFSLFL >KJB62164 pep chromosome:Graimondii2_0_v6:9:58920221:58922856:1 gene:B456_009G404200 transcript:KJB62164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLQAYPKGFQVTSLTPLQPNFLHIFKIQPRAPRTPSSYYKPPCLFMSFPTSSNHKQLKMENPIWKNPHFFPLLLTCTFFLFPLQPSLSAGLQDDYIRQPPRKVVVAPHLRSKSDPQQVHASLAGKEYMRISWVTDEKDVASKVEYGKVSGKYEAMAVGNHTSYHYFFYSSGKIHHVKIGPLEPATTYYYRCGGHGPEFSFKTPPQTFPIEFVVVGDLGQTEWTASTLSHVDSKDYDVFLLPGDLSYADTQQPLWDSFGRLVEPYASRRPWMVTEGNHEIETFPIIYPHGFKAYNARWLMPYQESGSTSNLYYSFDAAGSHIIMLGSYTDFDEDSAQYKWLESDLRKVDRKKTPWVIALLHAPWYNTNLAHKGEGESMRKAMEDLLYRARVDVVFAGHVHAYERFTRIYNNKADPCGPVYITIGDGGNREGLALMFENPTPEVSVYREPSFGHGRLRVVDESRAHWSWHRNNDSDPFVADEVWLQSLTTTKSCWHNTANTDGSKSKVNKVEL >KJB60197 pep chromosome:Graimondii2_0_v6:9:25416839:25421422:1 gene:B456_009G293200 transcript:KJB60197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTCQRDSKNSCSLFFCEGCITVHSWRSCPSFPQPKQNFGSFSYLKGIQYLFPLSLKTNIPLGRELTIDFRSENASKSNPYGMQEIFFCQILVSTIQS >KJB62867 pep chromosome:Graimondii2_0_v6:9:69245688:69250219:1 gene:B456_009G441000 transcript:KJB62867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGALRRKVASGRSSASILGKSLQAIRPGVSASRISSNAGKEMLSVQLRGIAHVRSFSHLGLPGCSAGLIKTRDAICIIQPEAIMQTSCRAFSSGDGDLVDAVVPFMGESISDGTLATFLKTEPGDRVKADEPIAQIETDKVTIDVVSPQDGVIQEYVAKEGETVEPGTRIAVISKSAEGVAAAAPTEMKSEKAASEPSPPAEAVKEDKPKAKVEASPAAAKPKAPSPPPPKRTASEPVLPPKERERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGFMSGFVKATVSALQHQPIVNAVIDGDDIIYRDYIDISIAVGTSKGLVVPVVRDADKMNFAEIEKTINNLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGTVVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >KJB62866 pep chromosome:Graimondii2_0_v6:9:69245551:69250254:1 gene:B456_009G441000 transcript:KJB62866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGALRRKVASGRSSASILGKSLQAIRPGVSASRISSNAGKEMLSVQLRGIAHVRSFSHLGLPGCSAGLIKTRDAICIIQPEAIMQTSCRAFSSGDGDLVDAVVPFMGESISDGTLATFLKKPGDRVKADEPIAQIETDKVTIDVVSPQDGVIQEYVAKEGETVEPGTRIAVISKSAEGVAAAAPTEMKSEKAASEPSPPAEAVKEDKPKAKVEASPAAAKPKAPSPPPPKRTASEPVLPPKERERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGFMSGFVKATVSALQHQPIVNAVIDGDDIIYRDYIDISIAVGTSKGLVVPVVRDADKMNFAEIEKTINNLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGTVVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >KJB62783 pep chromosome:Graimondii2_0_v6:9:68602194:68604709:1 gene:B456_009G436300 transcript:KJB62783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIGANKLSGSVPPVHNFSSLLVLDAAENQLSGNLPPEIGCTCPNLEAIFIALNQLTGEIPRSISNISSLELFDIALNGFTGSVPENMGNLRNLLVLNIPGNYLGSGKPGDLSFLSSLSNCSRLQSLAINYNHLYGVIPDFVANFSIWLEELFMGDNQIIGRIPQGIGNLINLDLVEMKGTFISGEIPISIGNLQNLEGLYLGFNHLSGKIPSSIGNLSRLSDLDLSNNKFAGAIPLSLKQCTNLQKLDLSTNNLNGSTPYQLFGAFERLIYLNLSHNSFTGSLPSDMRNMKNLVEFYVHNNNFHGEIPLTLVESLELTTLFMQKNSFHGTIPQSFASLRALENIDLSNNNLSGTIPPELQKLPFLVRLNLSFTQLEGAVPKKGVFKNATGFSFFGNKKLCGGIPKLQFPKCFSEKPKEKGKVLSTKTIIAIIISILLGSILVVLLVYHSLRHKARRGTFMPSSLFDNGCLRLSYKQLLECTHGFASSNLIGTGSFGSVYKGVIYQHEKPVAVKVLNLRNHGAARSFIAECKALRKIRHRNLLKIITSCSSIDYQGNEFKALVFEFMPNGSLESWLHEQHDQSRYLNFAQRLDIAIDMANAIDYLHHGCETMVVHCDLKPSNVLLDDDMVAHVADFGMAKLLSTVTSNIGSDQTSSSVIKGTIGYVAPEYGMSGSVSPEGDIYSYGIMLLEMITGRRPTCDLFHDGLSLHNFCKMALQEGLKEIFDVRLVEEIGVNWKRIRNKPNMEAEIWECFVSFTKIGVSCSTEVATDRLRIRDAIIELH >KJB59509 pep chromosome:Graimondii2_0_v6:9:21362935:21364554:-1 gene:B456_009G259100 transcript:KJB59509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLRVEEEPLYIVKVFDKNKREHLEVPDMSGLENLTVQETKGEGEGEGLSNNKSQSQSCGWKIIQGREKIHPNNGSGSECIWFQLPAHLQILIFQYLPTLADQINFLTATKIWRSLIHPLEWRVNKEGVPYKYPWLLFPQGGEKGTRYNLYDPLTNLTHSISIPESEECEVRFSNKGWLLFTKPPTSIFFFEPFTRTRIQVPDLWQMEAVDGFCFSGTPTSPNWKIFGIRHLQQRVINVWYLGQGDSNWIKITVHSATFPHPSFTNPVSDGNSLYYLRENGSVIRFELFTDDEGDAHIGWHIECSASRYFGKSRQRFLIWYEEELIWVFVDQGRGTHVVKLDKRVGQWVPLNRLEKEITYLSQTTSGSTREGTEHKKHSSNVHVS >KJB58974 pep chromosome:Graimondii2_0_v6:9:18421686:18425330:1 gene:B456_009G233500 transcript:KJB58974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVTSSEEEEGSSTEEDDEEDPKTQSTPLIQKSRPTRKPDSESESDTAVPIIKPIAIATKPMKGILSAKKCRSSKPLASLVRASSSKRAGEFAKEKRPKKKVRKEGLAIAPVVEEVKKTGEDAKKLLFQRLFSEDDEIALLKSMLAYSAKKGADPCADMNGFYDFVKKLIHIDKKKFKNNAGKDKGEDRTFSKAHEQNAFELSKNIWGKEGISGKVESSTDKKIDELSKSFNSLLDKKFGVFDMEVEVVEVGLDMVDGEKKTALEAKWRKLQMVQLAGFVE >KJB56245 pep chromosome:Graimondii2_0_v6:9:8171672:8172023:1 gene:B456_009G112100 transcript:KJB56245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESISPSMEPSSLPPPAELNFLHDTHFALHGEIWLVTVVLIFALFFAFVVFLPRFRLGRRSSESQASDSDDNITRRRNCPLTSSRKPRRFDDDEADQEQQYSNRINHKFPL >KJB61460 pep chromosome:Graimondii2_0_v6:9:47307842:47309385:1 gene:B456_009G359300 transcript:KJB61460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTVLNGAASFSLFTWHIGWLQCVEIPKFVDTVTPQFKPKFDALLVELKEEEQKSLKESERLEKEIADVQELKQKISTMTIDEYFEKHPELKKKFDDEIRNDNWGY >KJB60207 pep chromosome:Graimondii2_0_v6:9:25703074:25704468:1 gene:B456_009G294900 transcript:KJB60207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMIKYMFLAYKTLAFNLLRGCCSFSKTRPLLKSSTSKMTVHGPWNQLPNDIGSSIFELLDLEDRLRFDLVCKQWRSNIKRTPQLLWLMLPYDRNSQYLSFFDMCEGKIRKFNLPGSAQGGWFSGCSKGWLFLVTGMDVDDLRIFLFDPISRSQIPLPPLSTISSFKHSFTARHPGWNPAACIINGVEISSSDASQCIVVVKFSINNRILALCRPQDERWTIVEGLLGDEYYYGNFSFFDGDLYACILSSDEENNNQDPCFQTHSITLGSQRVNLKLISSTPPPTNSIFAADADESILYYKDSFRWPYLVESNGQLLVVTQIYDRIMDLDDSDSDSDNGNDNGDRTSLFMYFQAATFQVKKIQTIGDTLHTTVVTDVGNQSLFVGAGDCLAVKTCDKLDKNCIYFLHDMDYSLHKQDYPLISREAGVYYLEDGSIQRCLPSITTQNHCLYMHWFSPNIKSRVLG >KJB63072 pep chromosome:Graimondii2_0_v6:9:70474765:70475459:-1 gene:B456_009G453600 transcript:KJB63072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLLSPMYATLPSPFLPKSIHAFSPNKTSSSLRTSAQAASFKSATATESNSAAVALAGSLYEILRVERTASLNEIKTAYRSLAKVYHPDANGSSSDGRDFIEIRNAYATLSDPTARAVYDMSLGVRARRVRTRAYPIRRWETDQRRKIRDYSPFFAIDVWTQMLCFIGGN >KJB63073 pep chromosome:Graimondii2_0_v6:9:70474925:70475371:-1 gene:B456_009G453600 transcript:KJB63073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLLSPMYATLPSPFLPKSIHAFSPNKTSSSLRTSAQAASFKSATATESNSAAVALAGSLYEILRVERTASLNEIKTAYRSLAKVYHPDANGSSSDGRDFIEIRNAYATLSDPTARAVYDMSLGVRARRVRTRAYPIRRWETDQCW >KJB60522 pep chromosome:Graimondii2_0_v6:9:28631231:28633093:-1 gene:B456_009G310000 transcript:KJB60522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAGPGFGGGGKLSMKSFAVQVLTGPWLIFFGSVLIMSAAGATYIFSLYSSEIKKSLGYDQTTLNLLSFFKDVGSNVGVLAGLMAEVTPPWFVLSVGAVLNFFGYFMMWLAVTKRIARPQVWQMCLYICIGANSQAFANTGSLVTCVKNFPKSRGVVLGILKGYVGLSGAILTQLYHAFYGDDSKALILLIGWLPAVISICFVGTIRIMKVAHQGNEVKVFYKFLYISLGLASFLMIIIIFEKKYFFTRPEYGGSAAMVAFLLFLPLGVVIMEEYKLWVAKNKALNDPSPLKIVTEKPSSEAVTPSSSTAATVLSESSNDQADVSCWKTAFNAPNRGDDYTILQALFSLDMIILFLATTCGIGGTLTAIDNLGQIGTSLGYPTRSISTFVSLVSIWNYLGRVVAGFVSEFFLMKYKFPRPLMLTLIMLVSCVGHLLIVFDVPGGVYVASVIIGFCFGAQWPLLFAIISEIFGLKYYSTLYNFGSVASPVGSYLLSVKLTGNVYDNEARKQMKALGISRNDGEDLDCHGVECFRLSFIIITAVTVFGTFISFLLAYRTRKFYKGDIYKKFNEDAKMVKTEMSSTINTDGLPEVKAAENGINHAEGKGAGNSLGPEEIKAG >KJB61416 pep chromosome:Graimondii2_0_v6:9:46764225:46764754:1 gene:B456_009G356500 transcript:KJB61416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNVYSALLVLFLTCGVAMATKENDQIIKENNCETKMGLPCVLEAFTSIFETGSISNKCCGELVGLGKVCHSALVKRTLENPLFKDLSPATIIAKSIQTWNNCLALIDSPSPSA >KJB61323 pep chromosome:Graimondii2_0_v6:9:44970367:44971116:-1 gene:B456_009G351500 transcript:KJB61323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNNCTYGPSHQSIAIESVEISVQNLIKNWYKRQQWQHIFNPSSSSPSSKAPWRNHLAKFLESVQIRILAISLLLFDLILTTLELSSTLVSCSKTIEKSWFHWVGISILTLLSTKIAALAVALGIGGFLRRPGYVVDGVVVIGALVLEAVWEAKGGGLLVVVSLWRVVRVVESALELSDEAIEAQIEAIVCQFEALRVENSRLLDTISRQNQIIETLEKEVDQYRHASDPIEVVGIVPKSTNFNPTLN >KJB61617 pep chromosome:Graimondii2_0_v6:9:49812309:49815322:-1 gene:B456_009G370300 transcript:KJB61617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SAIDHDWTFLYSLANCKHLKRIIVSGNPLSGVLPTYIGNLSNSLRDFVASNCEIMGNIPTEIGNLSNMLRLELDYNKLSGFIPTSIRGLQKLQGLDLSSNKLEGPISESLCDLESLYKLFLGLNKLYGSIPSCLGNIYSLGYLYLNSNKLSSAIPSTLWNLKDILEIDLSSNHLHNSHAIDVGNLRSLGKLNLSRNLLMGDILSTFGSLQTLVTLDLSNNKLHGHIPESFGGLINLESLDLCKNNLSGVISKSLEKLLHLKYFNVSFNRLEGEIPTEGSFSNFSSTSFMKNYALCGPPRLLVPPCKNDIHENSEKIILHALRYGLPTIGVVVLLIVLTIMYRRCQRRSTTLPIKDDLLSLKTPRRISHAELSRATNGFEESNMLGSGSFGYVYKGRLSDGMEVAIKVFNLQTEGAFRSFDIECDAMSNIVHRNIVKVITCCSSVDFKALVLGYMSNGNLEKLLHSKNHFLDIIQRVDIMIDVASAIEHLHNGHPTPIIHCDLKPNNILLDEDMVAHVGDFGIAKLLGEGDLMKHTMTLATIGYMAPEFGSAGIVSVKCDVYSYGIVLIETFTKKKPTDNFFAEERTIRHWMESSLPKGAIEIADVDLLKREDEYFIVKANCISSIMELALNCSTELPEERKDMKDVVAELKKIKQRLFNAGTSLTHWS >KJB62167 pep chromosome:Graimondii2_0_v6:9:59016938:59018246:-1 gene:B456_009G404700 transcript:KJB62167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHRCQAPEGHRLCVNNCGFFGSSATMNLCSKCYRDLCLKEQEASSIKSALSSSPSSSSTVVESISQVPLLALAEVNRESAVPEIAPAAEQLSQQQPNRCMVCRKRVGLTGFRCKCGVTFCGSHRYPENHGCTFDFKKVGREEIARANPLVKAEKLEKI >KJB54383 pep chromosome:Graimondii2_0_v6:9:2390166:2392561:-1 gene:B456_009G031800 transcript:KJB54383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLACNFHSFTFNLSKFSNSLFSKFPRHQVTPATTLSLRMTHSHSSQNPVIEQQRVIIPNKHGEKLVGLLHETGSKEIVILCHGFRSTKADRTMVNLAVALEKEGISAFRFDFAGNGESEGSFEFGNYSKEADDLHSVIQHFCEANRIVCAILGHSKGGDVVLLYASKYHDIHTVVNVSGRYDLKKGIEERFGKDFMDRIQDGFVDIKNKKGNSFFCSKSSPFLTVVFQRKSFLPLLE >KJB54384 pep chromosome:Graimondii2_0_v6:9:2390166:2392852:-1 gene:B456_009G031800 transcript:KJB54384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLACNFHSFTFNLSKFSNSLFSKFPRHQVTPATTLSLRMTHSHSSQNPVIEQQRVIIPNKHGEKLVGLLHETGSKEIVILCHGFRSTKADRTMVNLAVALEKEGISAFRFDFAGNGESEGSFEFGNYSKEADDLHSVIQHFCEANRIVCAILGHSKGGDVVLLYASKYHDIHTVVNVSGRYDLKKGIEERFGKDFMDRIQDGFVDIKNKKGVEYRVTKESLMERLSLDMHEACLKIPRECRVLTVHGSADEIIPVGDALEFAKIIPNHKLHIVEGANHNYTSYQTELASVVLNYLKETLQQD >KJB53857 pep chromosome:Graimondii2_0_v6:9:687383:693274:-1 gene:B456_009G008200 transcript:KJB53857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGANVCGYSKFTSSVHTVSKFEEKIKRAEADASEDNDDIDNIRREFDAAKRSFLEIPEALKAMPKTNPEGIYVNRGLRLDLIQVYGFDYDFTLAHYSANLQSLIYDLAKEHMVKEFKYPEVCMSFKYDPSFPIRGLYYDREHGCLLKLDFFGSIEEEGCFYGRHQLSEEEIVEIYGTRHIGRDQARRLVGLMDFFCFSEACLIADMVQYFVDAKLEFDACYIYEDVNNAIQHVHQSGLMHRGILSDPHRYLVKNGQLLRFLRMLKEKGKKLFLLTNSPYYFVDGGMQFMLEDSTGNSGSWKELFDVVIAKANKPGFYTSEHPFRCYDTEKDTLAFTKVDTFLKNKIYYHGSLKSFLQITKWKGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELEDEIRIQNEDRYRFEQAKFHILQELLGRLHAVIPSSKRSEAYKLLMGELNEERQKARSMMKRMFNTSFGATFLTDKGQESAFAYHIHQYADVYTSKPENFLFFSPETWLHAPCDIKIMPHHVKIPSSLFKTSRCSSMP >KJB59488 pep chromosome:Graimondii2_0_v6:9:21328725:21331009:-1 gene:B456_009G258700 transcript:KJB59488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAQELDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KJB59208 pep chromosome:Graimondii2_0_v6:9:19662696:19665088:1 gene:B456_009G244900 transcript:KJB59208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQTSGAAASSNVLNKAKPYLAIISLQFGYAGMYILSTICMKHGMSNFILATYRHVVATIVIAPFAFFLERKIRPKMTLPIFLRIVVLGFLEPVLDQNLYYLGMKFTTATYSSAFVNMLPAVTFIMAMIFRLEKINLKQIHSVAKLVGTAITVGGAMVMTLYKGPIIDFVKSGGATHHGTTTESAGQHWVSGTVALLGSIVGWSSFFILQSFTLKKYPAELSLTAWICFMGTVQDAGLSFIMVRDLSAWKIGFDSRLLAAAYSGIVCSGIAYYVQGIVSRARGPVFVTAFSPLCMIITAALGAFVLNEKVHLGSILGAIIIVSGLYTVVWGKSKDVKTPELEEKSNGLQELPITDNGRSMNDIDGAANAVNIPDLKNTFSTRGT >KJB54438 pep chromosome:Graimondii2_0_v6:9:2552762:2554183:1 gene:B456_009G034100 transcript:KJB54438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNQQPIHHFLFIPLMTRSHLIPFTDLAKALAHHGQQVTIVMTPLNAARFSDDYAINFNLNIQFLPLYFPAQEVGLPQGCEAMDSLPSPDMATKFLQASNMLQQPLEKWLQGLDSLSLPSCIISDVCFPWTSILALKFNVSRVVFHTVSCFTLLCSHNIDHYKVFDERDKSDFEPVLVPDLPDRIEITKAQLPFFGKNKALDDSKKVLKQFKEAEASAMAVVVNSFQELEPGYVKAYQKFVNNLWCIGPLCLYNKTTRSEASIDDHKSLNWLDSQKPNSVIYVCFGSLFHIFDQQLMELGLGLETSNCPFVWVIKEGSYTAELDKCFITHCGWSSTLEGIIAGLPLITWPMSNEQFYNEKLIVQVVKIGVKIGVEKPMKAPEVLVKKEEVLKAIKQVIDGGEEGEERKKRAKKLGEMAKMAVENGGSSCSNLTSLIHL >KJB57760 pep chromosome:Graimondii2_0_v6:9:13848498:13849300:1 gene:B456_009G1796002 transcript:KJB57760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRSPVHFKIIFSLKKVACLVIWDVGGYKQSVGDVWWLKLIGFMIIQSWRSPTVIYFLVTQLLVLVVALLDIHGNRFGLVPWRYTCWGHFLTVVDQL >KJB59198 pep chromosome:Graimondii2_0_v6:9:19595180:19596986:1 gene:B456_009G244200 transcript:KJB59198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAIDNAFEVDFCSYSTSTTTITTADEDPACTWNHWGSPVVDWGSLSSERDEFQDLIESMMDDGTGFELTRLGHDHETSNSVSVSVSTDTMVADEETNGEDFKGLRMVHLLMAAAEALMGANKSLARVILVRLKELVSPSDGTNMERLAAYFTEALQSLLEGSGGGHSKHLITNGPQHHRDKHREADMLAAFQLLQDMSPYVKFGHFTANQAILEAVTHDRRIHIVDYDIMEGIQWASLMQALVSRKEGPPTPHLRITALSRGSNGWRSIGTIQETGRRLTTFASSIGQPFSFHQCRLDSDETFRPSALKLVRGEALIINCMLNLPHFSHRPPSSVASFLSGAKTLNPRLVTLVEEEAGPIGDGGFMGRFIDSLHHYSAVFDSLEAGFPMQCRARALVERVILGPQIAGSLTQIYRNRNEEESGRWSEWLDTMGFNPVSISFANHCQAKLLLGLFNDGYRVEELANNRVVLDWKSRRLLSASIWTSPPDSDL >KJB54046 pep chromosome:Graimondii2_0_v6:9:1440216:1441104:-1 gene:B456_009G018300 transcript:KJB54046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANKADALKSLKLGKEALNAGDRARALSFLRKARRLDPTLPIDSFLSVPAYTDEQIMTVKQVKEKKDYYEILGLEKTCSVEDVRKAYRKLSLKVHPDKNKAPGAEEAFMVISEAFQCLSNEERRKTYDLVRSYEPVYEKRSAFTYSGGGGNGFYNGFYDAEFEATTQFQSFNFEHGKGDKGCTGFNIVSQEHFLLLLYLVVLLFFLFIKHVMDG >KJB53442 pep chromosome:Graimondii2_0_v6:9:52971213:52974657:-1 gene:B456_009G390600 transcript:KJB53442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLVIVFFYKGGHSFSMKLPLIPFQFTAIKCRSSLEFAPFYCNFIHIYRTNPSKLPLLVVLKAAAIFIESHCGAFNFCFHLL >KJB55102 pep chromosome:Graimondii2_0_v6:9:4530128:4534302:1 gene:B456_009G063400 transcript:KJB55102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g31850, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G31850) UniProtKB/Swiss-Prot;Acc:Q9SZ52] MTVLILCSMSACCNSLNYSCVLVDSKVSAFSHKNVSFGGRKIGKFKVFPDGYMVSWKKRRKQQLRFYVMKNSCEMVLANGKCRNSLSSNEVSRVLKSISDPKSAFSYFESVAELPNVVHTTETCNHMLEVLRVHRMVGEMRFVFEFMQKQIIRRDLNTYLTVFKGLDIRGGLRQAPFGLERMRDAGIVLNAYSYNGLIHLLLQSGLVREALQIYRRMVSEGLKPSLKTYSALMVASGKRRDIGTVMDLLEEMESLGLKPNVYTFTICIRVLGRAGKIDEAFGILKRMDDLGCGPDVVTYTVLIDALCNTGRLGQAKEIFLKMKASSHKPDRVTYITLLDKFSDSGDVDLVKEFWNEMKADGYAPDVVTCTILIDAFCKVGNLDEAFDMLEVMREQGVSPNLHTYNTLICGLLRLNRVGEALELFTNLESLGIKPTAFTYILFINYYGKSGDHGEALKTFKKMKARGIVPNVIACNASLYSLAQAGRLSEAKAIFNELKSSGLAPDSVTYNMMVKCYSKVGQVDDAIKLLSEMLENQCEPDVMIINSLIDMLFKAGRVDEAWVMFHKMKEMALVPSVVTYNTLISGLGKEGQVKKAIELFESMTRHGCRPNTITFNILLDCLCKNDEVDLALKMLYKMTPGNCAPDVLTYNTIIYGFIKANRVKDAIWVFHQMKKLLYPDYVTLCTLLPGVVKDGQLMDAFKIAQDFIHQDGIDTNGSFWEDLMSGILTEAGMDKAVRFAETLASNKICKDESILLPLIRNLCRHKKAVFARELFANFTKNMGVIATPTAYNLLIDGLLDVHITEMAWELFEEMKSIGCSPDISTYNLLIDACGKSGQTDKLFEVYEEMTFRGCKPNTITHNIVLSGLAKSNNIEKAMNMYYDLISGDFRPTPCTYGPLIDGLLKLGRLEDAKQLFEEMEEYGCKANCAIYNILVNGYGKAGDVDTACDLFKRMAKEGIRPDLKSYTILVDCLCLVGRVDDALHYFEEMKLTGLDPDLVSYNLMLNGLGKSGRIEEALSLFDEMRNRGITPDLYTYNSLILNLGTVGMVEQAGKFYEELQLMGLEPNVFTYNALIRGYSVSGNSDHAYAVYKQMMVGGCSPNRGTIAQLPNQS >KJB58632 pep chromosome:Graimondii2_0_v6:9:17055361:17057364:-1 gene:B456_009G219600 transcript:KJB58632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METENPVNYKYMGRSFNDLSINDDSTAFSDCNSDRSGEFPSASSQNRRLLLACANSENSDDLIRQLVSDLDSCSIDEQKQAALELRLLAKNKPENRIKIAKAGAIRPLISLISSSDPYLQENGVTAILNLSLCDENKELIASSGAIKPLVRALRTGTSTAKENAACALLRLSQVEENKVAIGRSGAIPLLVNLLENGGFRGKKDASTALYSLCTVKENKIRAVEAGIMRPLVELMADFGSNMVDKSAFVMSVLVPVPEAKTALVEEGGIPVLVEIIEVGSQRQKEIAVAILLQICEDSVLFRTMVAREGAIPPLVALSQSGTNRAKQKAETLIELLRQPRSGNAAARHSDVSV >KJB54669 pep chromosome:Graimondii2_0_v6:9:3185743:3188338:-1 gene:B456_009G044000 transcript:KJB54669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVHETIQSSVGAEGFRSYYPYGTTVSIIITVLAMVLGYLYGPYWKVRRVPGPPTIPLVGHLPLMAKYGPDVFSVLAKQYGPIFRFHMGRQPLIIVADAELCKEVGIKKFKDIPNRSIPSPIAASPLHQKGLFFTRDAGWSTMRNTILSVYQPSHLASLVPTMQNYIESATENLHSSKQDIVFSNLSLKLATDVIGQAAFGVNFGLTKPQSINESIRTVDKQGSQDDEVSDFINQHIYSTTQLKMDLSGSVSIILGLLVPILQEPFRQILKRIPGTMDWKVERTNKKLSGRLDEIVSNRMKDKNRGSKDFLSQILSARESENVAKKVFTPDYISAVTYEHLLAGSATTSFTLSSIVYLVAGHPEVEKKLVAEIDGFGPHDQVPTAYDLQHKFPYLDLVIKEAMRFYIVSPLVARETSKEVEIGGYLLPKVLLIRISM >KJB54670 pep chromosome:Graimondii2_0_v6:9:3185743:3188379:-1 gene:B456_009G044000 transcript:KJB54670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVHETIQSSVGAEGFRSYYPYGTTVSIIITVLAMVLGYLYGPYWKVRRVPGPPTIPLVGHLPLMAKYGPDVFSVLAKQYGPIFRFHMGRQPLIIVADAELCKEVGIKKFKDIPNRSIPSPIAASPLHQKGLFFTRDAGWSTMRNTILSVYQPSHLASLVPTMQNYIESATENLHSSKQDIVFSNLSLKLATDVIGQAAFGVNFGLTKPQSINESIRTVDKQGSQDDEVSDFINQHIYSTTQLKMDLSGSVSIILGLLVPILQEPFRQILKRIPGTMDWKVERTNKKLSGRLDEIVSNRMKDKNRGSKDFLSQILSARESENVAKKVFTPDYISAVTYEHLLAGSATTSFTLSSIVYLVAGHPEVEKKLVAEIDGFGPHDQVPTAYDLQHKFPYLDLVIKEAMRFYIVSPLVARETSKEVEIGGYLLPKGTWVWLAVGVLAKDPKNFPNPDKFIPERFDPNCEEEKQRHLYALIPFGIGPRACVGRKFSLQEIKLSLIHLYRKYTFQHSSTMENPLELEYGIVLNFKHGVKLTVTKRT >KJB55148 pep chromosome:Graimondii2_0_v6:9:4656585:4658271:-1 gene:B456_009G065600 transcript:KJB55148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLCDSCKSATATLFCRADSAFLCSNCDSKIHAANKLASRHARVWVCEVCEQAPAHVTCKADAAALCITCDRDIHSANPLASRHERLPVTPFYDSVNSVPAVKPNGVVKFLEERYFSDVDGDADVSREEAEAASWLLPNPNHKAVDSPDVNSGQYVFSEMDPFLDLDYGHGDPKMDAREQNSSGTDGVVPVQSKNVQAPSANDHCFDLDFTGSKSFSYGYNPNFISHSVSSSSLDVGVVPDGSTMTDISNPYGRGPESTHQTVQLSPADREARVLRYREKRKNRKFEKTIRYASRKAYAEMRPRIKGRFAKRTDIEVEVDRSNMYGFGVVPSF >KJB62442 pep chromosome:Graimondii2_0_v6:9:64547655:64549220:1 gene:B456_009G417100 transcript:KJB62442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWEGTGIPQAVCVGHFLPPVPLISEFFEDLVTNPISSPDSTYQNIVSASSSFFPFGPSKP >KJB59901 pep chromosome:Graimondii2_0_v6:9:23657836:23660418:-1 gene:B456_009G279900 transcript:KJB59901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEAGIVRKPRFLGLHGFRTSGAILKTQIETKWPKSVLEKIDIVYPDAPFPAQGKSDVEGIFDPPYYEWFQFNKEFTTYTNFDECLAYIEDIIIKQGPFDGLLGFSQGAILSGGLPGLQAKGMALTKVPQIKNLIIIGGAKFKNVTVAENAYSSPIHCPSLHFLGENDFLKPYGLELLESCVDPVVIHHPKGHTIPRFDESGLKMMLSFLERIEKDILSEQEKDVCYKEEENVVEA >KJB59899 pep chromosome:Graimondii2_0_v6:9:23657836:23660344:-1 gene:B456_009G279900 transcript:KJB59899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEAGIVRKPRFLGLHGFRTSGAILKTQIETKWPKSVLEKIDIVYPDAPFPAQGKSDVEGIFDPPYYEWFQFNKEFTTYTNFDECLAYIEDIIIKQGPFDGLLGFSQGAILSGGLPGLQAKGMALTKVPQIKNLIIIGGAKFKNVTVAENAYSSPIHCPSLHFLDESGLKMMLSFLERIEKDILSEQEKDVCYKEEENVVEA >KJB59900 pep chromosome:Graimondii2_0_v6:9:23659464:23660126:-1 gene:B456_009G279900 transcript:KJB59900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEAGIVRKPRFLGLHGFRTSGAILKTQIETKWPKSVLEKIDIVYPDAPFPAQGKSDVEGIFDPPYYEWFQFNKEFTTYTNFDECLAYIEDIIIKQGPFDGLLGFSQGAILSGGLPGLQAKVRIKLRFCFRFHFIIFKPFF >KJB62022 pep chromosome:Graimondii2_0_v6:9:56384889:56385647:-1 gene:B456_009G398200 transcript:KJB62022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKLPFLSNNNSVQAASSWSWPSCQQQPRTLSFRAEVSPTTPQSGFSTSSESPPCGCGDEYGGDPVETVVKGLRSDRLFFEPGESSSILEVTKPTSDDDDDDDDGLPFKGSVVLSMESRDPFVDFSKSMEEMVEAQGLKDWEGLEKLLCWYLKANGKGNHGYIVAAFVDLLVKLAISSTDNCITSCSNSSHSPSSPLSFYTSSSSSSSCSYSEDNSSTTTPCVSYLSDQKEIIEEANKEDVSSSSSHDV >KJB59066 pep chromosome:Graimondii2_0_v6:9:18757251:18760315:-1 gene:B456_009G237100 transcript:KJB59066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKFHLQFKNSLPDYQPYLPFPSNSNLLVPGGLFIDPCFPSSLLDLVSPFKGSPPSKNTACVSRRQKRESVAVQDLFFLSVSLSSDGLVSEHKECQLQNELNKKNKGAVAQVKQKVRVTRRGAVNTTKHLWAGAIAAMVSRTFVAPLERLKLEYIVRGEQRNIFELVKKIALTQGLLGFWKGNLINVLRTAPFKAVNFYAYDTYRKQLLRLSGNEETTNSERFIAGAAAGITATVLCLPLDTIRTKLVAPGGEALGGVIGAFRHMIQTEGFFSLYRGLVPSIVSMAPSAAVFYSVYDMLKSAYLHSPEGRKRIQNMRQMGQDLNALDQLELGPVRTLLYGAIAGACAEVATYPFEVVRRQLQLQVQASKMGALATGLKIVEQGGIPALYAGLIPSLLQVLPSASISYFVYEFMKIVLKVN >KJB59067 pep chromosome:Graimondii2_0_v6:9:18757179:18760289:-1 gene:B456_009G237100 transcript:KJB59067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKFHLQFKNSLPDYQPYLPFPSNSNLLVPGGLFIDPCFPSSLLDLVSPFKGSPPSKNTACVSRRQKRESVAVQDLFFLSVSLSSDGLVSEHKECQLQNELNKKNKGAVAQVKQKVRVTRRGAVNTTKHLWAGAIAAMVSRTFVAPLERLKLEYIVRGEQRNIFELVKKIALTQGLLGFWKGNLINVLRTAPFKAVNFYAYDTYRKQLLRLSGNEETTNSERFIAGAAAGITATVLCLPLDTIRTKLVAPGGEALGGVIGAFRHMIQTEGFFSLYRGLVPSIVSMAPSAAVFYSVYDMLKSAYLHSPEGRKRIQNMRQMGQDLNALDQLELGPVRTLLYGAIAGACAEVATYPFEVVRRQLQLQVQASKMGALATGLKIVEQGGIPALYAGLIPSLLQVLPSASISYFVYEFMKIVLKVN >KJB53799 pep chromosome:Graimondii2_0_v6:9:508905:511055:-1 gene:B456_009G005800 transcript:KJB53799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRMKILAFHVRKSLQFVSKTQCPVFSSGYHSNSNQSDSENEWQRLLKPFDLDELRKSFNKITPYQLSKLLELPLDVPTSLKLFQWAGSQKGYFHTFDVYFVLIEKLGAAKEFKVIDRLLMQMKEEGIVFKESLFISIMKYYGRAGLPGQATRLLLDIKSVYFCEPTFRSYNTVLSILVAGNCHKVTPNVFYDMLNKGISPDVHTFGLVMKALCTDNEVDSAYSLLRDMMKHGCVPNSVIYNTLIHALSKGNRVNEAVKLLEEMFLMGCAPDVQTFNDVIYGLCKIGRVHEAVKTVDRMLLRGFIPDALTYGLLMQGLCKTSQVDEARALLNKVPSPNVVLFNTLINGYVASGRFEEAKAVVYDIMLSIGCKPDVFTFTILIHGLCKKGCLGSALELVYEMESNGCKPNVITYSILIDGLCKEGRVGEVGNVLNQMSAKGVSLNTVGYNTLISALCKDGKIHEAHELFDEMSSKGCKPDIFTFNSLISGLCKVNQMEAALGLYHNMMVEGVIANKVTYNTLIHAFLRWGEIQEALKLVNEMLFRGCALDEITYNGLIKALCRAGAIEKGLGLFEEMVRKGFVPSNISCNILIDGFCKAGKVNNALEFLREMIHRGLTPDLVTYNSLINGLCRTGRIREALSLFDKLEVEGIYPDAITYNTLISWHCKEGMFDEACLLLQRGVETSFVPNDVTWFILVSNCLKDCQKESFSAPFNV >KJB53835 pep chromosome:Graimondii2_0_v6:9:592849:595663:1 gene:B456_009G007100 transcript:KJB53835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIVSIITELLRAGIPLAAKQVQLIRGAPERVQSLQDELQFIEKCLNEYDGAFVKDNKVNEWLTQIYCLALEAKDTIDTFKTEKHTQNQRTPVARLLYAWPHGTKLRKIALKVDMIKKKIEEIDGYRKKYCVDGLVVAKRKRDSEWLEKQRREVEEENVVGFGVAVEEVTNKLLTKALKRDVVSIVGTTGSGKTTLAKRIYGSISNQFQHHAWVFVPSKYKMKDLLLVILSELMPIEEETSKLDDVKLGEKLRNFLQGKRYLIVMDGVEETQLWETLEKNKVFPNEKHGSRLLLTTRSKNVASLASSSSSRIHNIQPLDDEARWELLEKLVFKDEKCPQELVKLGKQIATKCAGLPLAIVSLSSLLARNKTYGNWLQIICKVTWYLNPQDSQPSFGILDLTYDTTIPEQLKKCLLYLGVFPSGFEIHARQIINLWVAEGLIEDTMRSKAEEIGRRYLEELNSLSLIEITRKRSDGGVRTFQIHEIWRMFCVFKSESIGFLQVHTEFGSHPFISGQWSWRLSIHYDLRYILSNRDYLPHLCSLLCFHNEASLDSNRLTLQCKHFSRLKVLDLGSTRVSKVHGSRKSLSLLKYLKLNSPYLSSLPRTLFSLPNLLTLDIKNTCVILPCLPSGIWKMQNLRHLLLPPHTTLPKCPDHQKRLWHLQTLSTITPNENTAALIFGSKFPSLIKLTLNSQDMEQTKRCLECLYKLHCLQKLKIINLTKFPTARTSFPASLVKVSLVKTDLVADDVMRMLECLDHLQVLKLLKKSIRGPKLEMAPNSFPQLRFLFMEEILVKTWRMGDGAMGSLEELTITRCHELESLPKQLWQLHNIRQVKVNSPSQCLRRELEQPSVQRCEIQIHF >KJB60787 pep chromosome:Graimondii2_0_v6:9:32482179:32484336:1 gene:B456_009G325800 transcript:KJB60787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWRAAASILFNHLIKTPFPSPKSLSPKPLSSSNLPLLFRFRRHFSALPSPVSVYATDFDNGSPEFPHQNLGFVSQGEEEEEKLGKIPVKAYFLCTSIDLKSMQAENLSNIIPPSSRSSNYIALRYCDFPPDITGLGMKNKASCFRYMVVFQYGSAVLFNIEDHEVESHLEMVRRHASGLLTEMRRDDYTVKEQPLMTRDMQGGPDYIVLKTLDTDSIRIIGSVLGQSIALDYFVSQVDGMVEGFADINRAMEKTGTFTMDRTELIKLVGKANSNLADVILKVGLFERSEVWSASLLVR >KJB60788 pep chromosome:Graimondii2_0_v6:9:32482100:32485222:1 gene:B456_009G325800 transcript:KJB60788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWRAAASILFNHLIKTPFPSPKSLSPKPLSSSNLPLLFRFRRHFSALPSPVSVYATDFDNGSPEFPHQNLGFVSQGEEEEEKLGKIPVKAYFLCTSIDLKSMQAENLSNIIPPSSRSSNYIALRYCDFPPDITGLGMKNKASCFRYMVVFQYGSAVLFNIEDHEVESHLEMVRRHASGLLTEMRRDDYTVKEQPLMTRDMQGGPDYIVLKTLDTDSIRIIGSVLGQSIALDYFVSQVDGMVEGFADINRAMEKTGTFTMDRTELIKLVGKANSNLADVILKVGLFERSEIAWREAKYAQIYEYLREEYEVTQRFGNLDFKLKFVEHNIRFLQEVIQNRRSDLLEWCIIFLLTIENVIAIYEIVRESTSVSL >KJB55065 pep chromosome:Graimondii2_0_v6:9:4376913:4378942:-1 gene:B456_009G061100 transcript:KJB55065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQHDENYPTVRVTKTVSVLPKSLNPTQTVHLSNLDRQCPLLMYVVFFYKSSAAYQNLSLDSIFSCLKSGLEETLSIWYPAAGRLSLNPSDGKLNLWCSNDGAVLVEAVTSAKIIELGDLSQYNEFFEHLAYKPDFHGNFSEMPLVVAQVTEFGCGGYSIGIGSSHSLFDGPATYDFLHAWASNSAILKQKRGTELYKPVHERGVLLVENPGITKLPESGSSATRAVAIDHLYQLIKQAMSGQTCGPDTKFGSGKLPDVGNSNLVLKTFHLSGAMIESLKSKVFGERSGSFSCSSFELLAAHLWKARTKALGVMKGSKVCLQFAVDIRKKTVPPLPEGFSGNAYVLASAALTAEELEAGSHEDIVDKIKEAKRSISNDYVIAYNQALDGPQGTLLPLNELTLVSDWTRMPFHKIDFFHGEAAYVSPLLSPIPQVAYFMQNPIDSRGIDMRIGLLPQSLNAFSHYFLTNMQ >KJB63004 pep chromosome:Graimondii2_0_v6:9:70084457:70087906:1 gene:B456_009G449800 transcript:KJB63004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRTTGAIVASTAAISISMAIFSYFLFFKKTNSTLHCNKKKKPRNGVVDAIGNTPLIRINSLSEATGCEILGKCEFLNPGGSVKDRVALKVIQEALESGELAPGGVVTEGSAGSTAISLATVAPAFGCKCHVVIPDDAAIEKSQIIEALGATVERVRPVSITHRDHYVNIAKRRALEANELAAKDKKVSQVDGKDRDQMDGCVPDPEKENPGFLSHYNGGFFADQFENLANFRAHYEGTGPEIWEQTDGNVDAFVAAAGTGGTVAGVSTFLQEKSPKIKCFLIDPPGSGLFNKVTRGVMYTKEEAEGRRLKNPFDTITEGIGINRLTKNFMMAKLDGAFQGTDKEAVEMSRFLLKNDGLFLGSSSAMNCVGAVRVAKALGPGHTIVTILCDNGMRHLSKFYDPKYLAQYGLTPTATGLEFMSIK >KJB55062 pep chromosome:Graimondii2_0_v6:9:4365973:4367200:-1 gene:B456_009G060900 transcript:KJB55062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTKTFHRLPNNIAERVVDTPPMESLSKKPSASETTSCVLSIPEASNEVIKDGEQEAAKPSLQLDLKLTTSECDDMGGFNKELNLIDSFNTAGSSDSTAPEPETPQATDGEQRVFSCTYCQRKFYSSQALGGHQNAHKRERTLVKRGQRMGWGGPQATALGHPYFYHNHHSSLASLPLHGSYNRSLGIQVHSMIHKPSSHISSTGFGNAYGHHSWSRPPIDQQPAIGKLSMENHHATGAGPSGRANVGKFSITRMMMGSPADEVTSNYWWSAGASMKPKKEEMQKVDLSLKL >KJB60392 pep chromosome:Graimondii2_0_v6:9:27164021:27166457:-1 gene:B456_009G303000 transcript:KJB60392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVFYYLSPFLDPQNPQILFFYFSFPSISPMANTNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPCEDWKTT >KJB54816 pep chromosome:Graimondii2_0_v6:9:3659062:3660033:1 gene:B456_009G050500 transcript:KJB54816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LETNHVKFCYSWSIEFEYLSDNYLSQYTNYYGNKVTENGLISLRFLNKACVIKNPQQDLTSDGNASNPWSLLYNRSSGRAESVNQSDTGLVYRIIIAVTYSLDSFAVIQASTMDRHITPKLDIPAGSFGASVIITFVVGIALYDRIILSLALKMKGKPVCLSFKQRRETGLLCSCAAMVSLTVVEYIRREIAIQEGLSDKPQAMVHISALWILPYAIIVAANLQMLGASAGSLVVSSITSTVDNVTKTRGESWISSNINKGHYEYYYWVLDGSSILNFIYSLACSKAYGPCQDHHETINDC >KJB58385 pep chromosome:Graimondii2_0_v6:9:16112999:16116772:-1 gene:B456_009G207900 transcript:KJB58385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERPETELISIPATPRVSTPEIQTPSGQRSPRPAGQKSSTAWTPTSFISPRFLSPIGTPMKKVLINMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFAFLGWSWGILSLTIAYCWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPLCSSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLVGAITAITYSTMVWVLSVSQQRPPTISYEPLSMPSSSAALFSVMNALGIVAFAFRGHNLVLEIQATMPSTFKNPAHVPMWRGAKVAYFFIAMCLFPVAIGGFWAYGNLMPSGGILNALYAFHSHSIPRGLLALTFLLVVFNCLSSFQIYSMPAFDSFEAGYTSRTNRPCSIWVRSGFRVFYGFVSFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKRPPKYSFNWYFNWILGWLGVAFSLAFSIGGIWSIVNNGLKLKFFKPN >KJB55639 pep chromosome:Graimondii2_0_v6:9:6285026:6286408:-1 gene:B456_009G086500 transcript:KJB55639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHRCQAPQLCANNCGFFGSPTTQNLCSKCYRDLQLKEQQSSSAKQAFNHTLVPSSSSLPSSSSARSSFSASLPAKEEPSAGTKETKVVEEEEVQVTPNRCLSCKKRVGLTGFKCRCGMVFCGIHRYPEQHACAFDFKGMGKQQIAKANPLVKGEKLQKI >KJB62833 pep chromosome:Graimondii2_0_v6:9:68999656:69002042:-1 gene:B456_009G439300 transcript:KJB62833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTKLRVVFLFSFFTLIPKLWANIAEFDDFWKQREEEAWKIALAAYEPSPENVTCHLNYHVNKVLEKPMSNQVLEFKDVITNSTRRYLRGKHKKYTGPCMAVNPIDRCWRCKKNWAKNRKRLAKCVLGFGHKTRGGSKGGYYLVNDNSDDDVVNPKPGTLRHAVIQKRPLWIIFAHDMNIKLSQELMVQSHKTIDGRGANVHIAYGCGITLQFVHNVIIHNIHIHRVVRSSGGLIRDSEDHYGFRTVGDGDGISIFGSSKIWLDHISMSECQDGLIDAIQGSTAITISNCHFTHHDHVILLGASDTYSKDQFMQVTLAFNHFGKELIQRMPRCRWGYFHVVNNDYTHWKLYAIGGSTHPTIISQGNRFIAPDDPLTKQITNRNYASESEWRHWVWRSEGDRFMNGAFFVTSGPPSPPRLKFKKKDIIKPKPAIFVGRLTKFSGTLKCKEGVKC >KJB57952 pep chromosome:Graimondii2_0_v6:9:14376718:14381104:1 gene:B456_009G187000 transcript:KJB57952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDGGDMSSETRKKKKKKKKGRPSLLELQKRSLKQQQLQKPQQPPSLLQKTPNLINPNSSINSNHRSARRHPNLKGDSPVPGWISGGNNDDDERLEKKHKPLLGFNSSRNHQHYPLPSALNSASYGSDSNADGDDPDASLKRRKFTNANPGSYEMGEKLPKVTDTLHGSPVESGPTPTTPLPDQKLLVFILDRLQKKDTYGVFSEPVDPEELPDYHDIIAHPMDFSTVRKKLDEGAYTTLEQFEIDVFLICLNAMQYNAPDTIYFRQARSMQELARKDFENLRQDSDEGEPQVKVVRRGRPPGKSLKKSLVSSSSIDHVGSECSSGATLAAGRDASILSNTYNLRKGPNSLKLRPADAFIRPSWGSPSKENHAICSSEWENEFPASVVRAVMKYGMKHFAVDENRRDTYNHSSTSGHEQRTFSTLDGELKQLIPVGLSAENGYATSLARFAANLGPVVWKIASRKIESVLPSGLKFGPGWVVENRTVEQPQCSLSDKHRTPNSLSDNPPGRFLSTATSGSNSIAASRFPLQGREDMEAVVGLTSQNDSTSAPSHQLQQSLVPHSGINGSIGGFGFGYYSQMGLVTHCVNSLSEKTSVPTQTHVMVSGASNSLPKEAKLADSSITLHSGNAMAVEPEMVSHAAATNVGLQRSSYNEPNFLPFAPDLNVRFLALGSPSSSVPIGSSQRPDLALQL >KJB56966 pep chromosome:Graimondii2_0_v6:9:10910337:10912487:1 gene:B456_009G144000 transcript:KJB56966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMCVKFPEDYRYRTRRENPSFSSTLLDSIYRSIDEPGGSKGEEKLIFCKEATMAKKHSSNRSPKEEEMASLQRACMIEKWMEKKAVDDKVVFNRRNPVAGSQRNSRNDFDPMLLNSSSTSSDSSGGFSSSESDSFYGAKSRSSSSSSSRYTTHRPKPIRTTVSAPPPEVEDGFHGAKQQKPKHEGGFVRTKSKALKIYSDLKKVKQPISPGGRLASFLNSLFTAGQTKKTKIPASRYEGTKSKSDQATPTTCSSASSFSRSCLSNKTPSSRGNGTKRSVRFCPVNVILDDETIRHENDRPIPTSIRSKPTSKELEFRIMEENRRVVEAAKDLLKSYHKKKEEYDMRDMCTVNAASSDDEDDDTASYASSDLFELNNLSAIGIERYREELPVYETTHLDTNRAIANGLIV >KJB59098 pep chromosome:Graimondii2_0_v6:9:19080750:19084365:1 gene:B456_009G239300 transcript:KJB59098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAALKKSSSNGSDKSVTTEEQNAKISEIRKLIGPLPEKLAIYCSDAALTRYLRARNWNVKKATKMLKETLKWRAEYKPEEIRWEEVAHEAETGKIYRSNYIDKHGRTVLVMRPSCQNTKSTKGQIRYLVYCMENAILNLPPDKEQMVWLIDFNGYNLSHTSVKVTRETAHILQDHYPERLGLAILYNPPKFFEPFWKVVKPFLEPKTQNKVKFVYSDDPNSKKIMDELFDMEKLESAFGGNDDSGFNISKYAERMREDDKRMPAFWTRGNPQSAEAPVDLNSLDLNSDSDASDNGKVDSSPSHVSDSETLSPSESVIVTKGSDNDSTEVH >KJB59099 pep chromosome:Graimondii2_0_v6:9:19080824:19084365:1 gene:B456_009G239300 transcript:KJB59099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYISEIRKLIGPLPEKLAIYCSDAALTRYLRARNWNVKKATKMLKETLKWRAEYKPEEIRWEEVAHEAETGKIYRSNYIDKHGRTVLVMRPSCQNTKSTKGQIRYLVYCMENAILNLPPDKEQMVWLIDFNGYNLSHTSVKVTRETAHILQDHYPERLGLAILYNPPKFFEPFWKVVKPFLEPKTQNKVKFVYSDDPNSKKIMDELFDMEKLESAFGGNDDSGFNISKYAERMREDDKRMPAFWTRGNPQSAEAPVDLNSLDLNSDSDASDNGKVDSSPSHVSDSETLSPSESVIVTKGSDNDSTEVH >KJB56739 pep chromosome:Graimondii2_0_v6:9:10072028:10072512:-1 gene:B456_009G133500 transcript:KJB56739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSKLCSSGIQELRWRSNRYDRMLSISVLTTTSKVPRWRLLWRKLMREKKKVFACTSRTTSGVHNVSYDPHTYAQNFDQGLISADPDDFSRSFSARFAVPSRVFDKTGFIA >KJB53470 pep chromosome:Graimondii2_0_v6:9:7923103:7940889:-1 gene:B456_009G108800 transcript:KJB53470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGTRLSSRKAKDDGDGTRSSGRKAKDDGDGIRSSSRKAEDDGGGTRSSSRKAKNDGDGTRSTSRKTKDDGDGTRSSSRKAKDDGDNDLKGSQNRGKKSVNSGAATAEASGVRRSPRETVSKKNMTPPSSSGTRKSERLEKQTANLNSMTPSGKRTSERIEKKKKKKNASPLRRSDRVKMPSSSASSGSKRFDKSLDLLNTKRKKEKKKKSVKQLPGTVEDNKIEREVEQANEKQKKRMDARAYKALFRKQPKKVDETDRNEDLNGTNSGRREEDFLEEFIERSHERTEVTSTSQPVEEALKGKNEHNLFLTSEKDSCKDISSNGGDLQIPKNGLIAEEMNDNAEKAAQDNLQSPHLAKSIMPGGVLGCDISVEMVMPSENKCHDMDIDSVASPKISSNNIATCTAPGPSQSSSCKRKDCSETCGMSSKRQRVDCNSTKQEICSSNIKDREKLDVGMSTGYVEKPCNYIQQHMSSADLQTGRDRNACIICRLDGKLLCCCGKGCQRSYHLSCLEPPLEEFPLGAWYCLACVRKKLESGIYSVSEGIEAIWDSRELEASEDGLQRQKQYFVKYEGLAHVHNRWLSEDQVLLEAPSLVAKYNQRNQGSVWKQQWVVPHRLLQKRLLMFPRECDEHHNKEHNGDKLNCHVEWLVKWCGLGYEHASWEFENASFFSCPGGQNLIQEYETRKKAQKASKFDKERAVASLKISQLPAAVSSGLDANLDAVNKLCNYWRRGQNAIIFDDQERISNVISSILAFPCDISSPFLIISTSASQYSWDEEFLHLAPSADIVVYSGSKEVRDSIRNLEFYDEGGCIMFQVLITSPEVISEDFNLLSCIGWEAIIVDECQRPRITSCFEQIKILTSNKRLLIISSQLKDNVAEYLNLFSLLDSQSGSNGSESLLTDSSDDIDTLKERLAKYVAYERKLESSRFLEYWVPVLLSNVQLEKYCFTLLSNSLSLCSPSKSDPVGVLRNILISNRKCCDHPYTVDQSLQLLLTKGLREVEFLDVGIKASGKLQLLDAMLCEIKKRELKVLILFQYIGGSGRDLMGDILDDFLRQRFGIDSYERVDGGVTPSKKQSALNRFNNEKQRFVFLLETRACLSSIKLSTVGTVIIFGSDWSPMNDLRALQRITLDSQLEQIKVFRLYSSFTVEEKLLMLSKQDKTIDSNIEYISPSSSHMLLKWGASYLFSQLDKFHGITIPDASILSEQSHLKYVIQEFFTILHQAGIDDDASKLSLILQAKQNQGMYRTEMPLFGVQKIQVMNEDPPHTFWTKLLEGKSPRWKYCTSSSQRNRKRVHYFEDLQKEPEAESAEVAKRRKKVVSDGKDHPSPKAVLQEGKLAAGYRKGSSGTLPYDFTPLSRSIASGSDTIHATSNSLHPDNNVSKIPALKIVEWERRKQRDSQKNLHVLLKPQIAKLCEVLHLTEDVKAMVERFLEYVMNNHLVNKEPTTILQAFQISLCWSAASLLKQKLDHKESLALAKQHLGFTCKKEEADYVYSMLRCLKRMFLYRTGYLKVLSSPKASGLPGKSVGKDYSSAASYQHNIKAETEDLSDFREGSDIQAISESRLAPEIRLAQRDLLKSIKEIEKKCDKQMRKLIEKHKQEVEQFNQKYEYEKAQLENKKRTEAAVIRLHSNVSMRTDKLNNLDTEYARKFDELEQQMDLHLKNLEALQVAARSNFLERKTRWVESVKSWARVELVKPPVSLANLSEERSSAGIIHSASGSEVRQSKIVHIVNDEVMAYGDPINKARPFKDNSEVASVENLGFWEGQENLASLLAPSSQKYFDINSLRKVDGETPLRESGTIISSKGQQNFVSLEASPSAEIPEESNLRETDVQVPLREIVAVNSGEGQENLASTEALSYEETTDGAVLSNFDGEVHLRVPEIVCSGEGYENLPSVVVSSSEEVPGGTTLNMAEGELPFSRPEAIGSTEGQENIMSANCSFEKQIPGGATLNLPDGEIPRSTAVIATSCDGMDIIVCTNSSTSKEQIPDTAACSMPTKEVSLVEPETVPSEVLEEISVQRENDGTSPIENDQLDGIQCTMNCEAEFQEPSLADLSSMQPVPTSDQGGPQPPDLVSPNVGPLPYASSEAQARCMSNNEMRNASQLAETSPFNGAIDATCNMSNPDTTVEHQSNNEGQTANQSSQAPTQPVANHIELSNQDFLQPLHSPIDGAVDRLVRQASETRTASVPFVSNGLPLQTEPALSSRMHPTFYHDPLQNEMERILKEKEQTAKVHEDMKLQLKLECEKEIKEVIVQIRQKYEAKLKEKEAEFLLHRTELSETYNKVLLHKILAEAFRSKCMDNRASGSAGTKKEANSNFMPQQVQLSSQQMVQQPPAASGLPSTGSASSMQTVSPAVVNAQILQRSTASGIPSTGSASTMQIVSPAVVNAQTLQLSTASGIPSTGSASTMQTVSPAVVNAQTLQRSTASGIPSTGSTSTMQTVSPAVVNAQTLQRSTASGIPSSSSTSTMQTVSLAAVNAQTLQPSTASGIPPTGSASSMQTVSPAVGNAQTLPPSTASGIPSTGSATSMQTVSPALVNAQTMGPHLQVVKSSALFSGTPTRSPHIGNISSTGNLKIGTEIRAPAPHLQPFRPSSSISPSSLPLHSHVNHLARRQASITGQSGRIQHEVVGGLAAPPRSFSPGANANPPGFLLPNVSSRLMPSSRSNSAQQGGGATDVVCLSDDD >KJB53472 pep chromosome:Graimondii2_0_v6:9:7923148:7939861:-1 gene:B456_009G108800 transcript:KJB53472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPSSSGTRKSERLEKQTANLNSMTPSGKRTSERIEKKKKKKNASPLRRSDRVKMPSSSASSGSKRFDKSLDLLNTKRKKEKKKKSVKQLPGTVEDNKIEREVEQANEKQKKRMDARAYKALFRKQPKKVDETDRNEDLNGTNSGRREEDFLEEFIERSHERTEVTSTSQPVEEALKGKNEHNLFLTSEKDSCKDISSNGGDLQIPKNGLIAEEMNDNAEKAAQDNLQSPHLAKSIMPGGVLGCDISVEMVMPSENKCHDMDIDSVASPKISSNNIATCTAPGPSQSSSCKRKDCSETCGMSSKRQRVDCNSTKQEICSSNIKDREKLDVGMSTGYVEKPCNYIQQHMSSADLQTGRDRNACIICRLDGKLLCCCGKGCQRSYHLSCLEPPLEEFPLGAWYCLACVRKKLESGIYSVSEGIEAIWDSRELEASEDGLQRQKQYFVKYEGLAHVHNRWLSEDQVLLEAPSLVAKYNQRNQGSVWKQQWVVPHRLLQKRLLMFPRECDEHHNKEHNGDKLNCHVEWLVKWCGLGYEHASWEFENASFFSCPGGQNLIQEYETRKKAQKASKFDKERAVASLKISQLPAAVSSGLDANLDAVNKLCNYWRRGQNAIIFDDQERISNVISSILAFPCDISSPFLIISTSASQYSWDEEFLHLAPSADIVVYSGSKEVRDSIRNLEFYDEGGCIMFQVLITSPEVISEDFNLLSCIGWEAIIVDECQRPRITSCFEQIKILTSNKRLLIISSQLKDNVAEYLNLFSLLDSQSGSNGSESLLTDSSDDIDTLKERLAKYVAYERKLESSRFLEYWVPVLLSNVQLEKYCFTLLSNSLSLCSPSKSDPVGVLRNILISNRKCCDHPYTVDQSLQLLLTKGLREVEFLDVGIKASGKLQLLDAMLCEIKKRELKVLILFQYIGGSGRDLMGDILDDFLRQRFGIDSYERVDGGVTPSKKQSALNRFNNEKQRFVFLLETRACLSSIKLSTVGTVIIFGSDWSPMNDLRALQRITLDSQLEQIKVFRLYSSFTVEEKLLMLSKQDKTIDSNIEYISPSSSHMLLKWGASYLFSQLDKFHGITIPDASILSEQSHLKYVIQEFFTILHQAGIDDDASKLSLILQAKQNQGMYRTEMPLFGVQKIQVMNEDPPHTFWTKLLEGKSPRWKYCTSSSQRNRKRVHYFEDLQKEPEAESAEVAKRRKKVVSDGKDHPSPKAVLQEGKLAAGYRKGSSGTLPYDFTPLSRSIASGSDTIHATSNSLHPDNNVSKIPALKIVEWERRKQRDSQKNLHVLLKPQIAKLCEVLHLTEDVKAMVERFLEYVMNNHLVNKEPTTILQAFQISLCWSAASLLKQKLDHKESLALAKQHLGFTCKKEEADYVYSMLRCLKRMFLYRTGYLKVLSSPKASGLPGKSVGKDYSSAASYQHNIKAETEDLSDFREGSDIQAISESRLAPEIRLAQRDLLKSIKEIEKKCDKQMRKLIEKHKQEVEQFNQKYEYEKAQLENKKRTEAAVIRLHSNVSMRTDKLNNLDTEYARKFDELEQQMDLHLKNLEALQVAARSNFLERKTRWVESVKSWARVELVKPPVSLANLSEERSSAGIIHSASGSEVRQSKIVHIVNDEVMAYGDPINKARPFKDNSEVASVENLGFWEGQENLASLLAPSSQKYFDINSLRKVDGETPLRESGTIISSKGQQNFVSLEASPSAEIPEESNLRETDVQVPLREIVAVNSGEGQENLASTEALSYEETTDGAVLSNFDGEVHLRVPEIVCSGEGYENLPSVVVSSSEEVPGGTTLNMAEGELPFSRPEAIGSTEGQENIMSANCSFEKQIPGGATLNLPDGEIPRSTAVIATSCDGMDIIVCTNSSTSKEQIPDTAACSMPTKEVSLVEPETVPSEVLEEISVQRENDGTSPIENDQLDGIQCTMNCEAEFQEPSLADLSSMQPVPTSDQGGPQPPDLVSPNVGPLPYASSEAQARCMSNNEMRNASQLAETSPFNGAIDATCNMSNPDTTGVELREQMQQLRSSESTSNLSHPDLPSVTAVEHQSNNEGQTANQSSQAPTQPVANHIELSNQDFLQPLHSPIDGAVDRLVRQASETRTASVPFVSNGLPLQTEPALSSRMHPTFYHDPLQNEMERILKEKEQTAKVHEDMKLQLKLECEKEIKEVIVQIRQKYEAKLKEKEAEFLLHRTELSETYNKVLLHKILAEAFRSKCMDNRASGSAGTKKEANSNFMPQQVQLSSQQMVQQPPAASVSHCILMLTTLHVGRHQLPANLVGSNMKLLEGWQLHQDLSHLVPMQIHQVFCCQMLVQG >KJB53471 pep chromosome:Graimondii2_0_v6:9:7923466:7940236:-1 gene:B456_009G108800 transcript:KJB53471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGTRLSSRKAKDDGDGTRSSGRKAKDDGDGIRSSSRKAEDDGGGTRSSSRKAKNDGDGTRSTSRKTKDDGDGTRSSSRKAKDDGDNDLKGSQNRGKKSVNSGAATAEASGVRRSPRETVSKKNMTPPSSSGTRKSERLEKQTANLNSMTPSGKRTSERIEKKKKKKNASPLRRSDRVKMPSSSASSGSKRFDKSLDLLNTKRKKEKKKKSVKQLPGTVEDNKIEREVEQANEKQKKRMDARAYKALFRKQPKKVDETDRNEDLNGTNSGRREEDFLEEFIERSHERTEVTSTSQPVEEALKGKNEHNLFLTSEKDSCKDISSNGGDLQIPKNGLIAEEMNDNAEKAAQDNLQSPHLAKSIMPGGVLGCDISVEMVMPSENKCHDMDIDSVASPKISSNNIATCTAPGPSQSSSCKRKDCSETCGMSSKRQRVDCNSTKQEICSSNIKDREKLDVGMSTGYVEKPCNYIQQHMSSADLQTGRDRNACIICRLDGKLLCCCGKGCQRSYHLSCLEPPLEEFPLGAWYCLACVRKKLESGIYSVSEGIEAIWDSRELEASEDGLQRQKQYFVKYEGLAHVHNRWLSEDQVLLEAPSLVAKYNQRNQGSVWKQQWVVPHRLLQKRLLMFPRECDEHHNKEHNGDKLNCHVEWLVKWCGLGYEHASWEFENASFFSCPGGQNLIQEYETRKKAQKASKFDKERAVASLKISQLPAAVSSGLDANLDAVNKLCNYWRRGQNAIIFDDQERISNVISSILAFPCDISSPFLIISTSASQYSWDEEFLHLAPSADIVVYSGSKEVRDSIRNLEFYDEGGCIMFQVLITSPEVISEDFNLLSCIGWEAIIVDECQRPRITSCFEQIKILTSNKRLLIISSQLKDNVAEYLNLFSLLDSQSGSNGSESLLTDSSDDIDTLKERLAKYVAYERKLESSRFLEYWVPVLLSNVQLEKYCFTLLSNSLSLCSPSKSDPVGVLRNILISNRKCCDHPYTVDQSLQLLLTKGLREVEFLDVGIKASGKLQLLDAMLCEIKKRELKVLILFQYIGGSGRDLMGDILDDFLRQRFGIDSYERVDGGVTPSKKQSALNRFNNEKQRFVFLLETRACLSSIKLSTVGTVIIFGSDWSPMNDLRALQRITLDSQLEQIKVFRLYSSFTVEEKLLMLSKQDKTIDSNIEYISPSSSHMLLKWGASYLFSQLDKFHGITIPDASILSEQSHLKYVIQEFFTILHQAGIDDDASKLSLILQAKQNQGMYRTEMPLFGVQKIQVMNEDPPHTFWTKLLEGKSPRWKYCTSSSQRNRKRVHYFEDLQKEPEAESAEVAKRRKKVVSDGKDHPSPKAVLQEGKLAAGYRKGSSGTLPYDFTPLSRSIASGSDTIHATSNSLHPDNNVSKIPALKIVEWERRKQRDSQKNLHVLLKPQIAKLCEVLHLTEDVKAMVERFLEYVMNNHLVNKEPTTILQAFQISLCWSAASLLKQKLDHKESLALAKQHLGFTCKKEEADYVYSMLRCLKRMFLYRTGYLKVLSSPKASGLPGKSVGKDYSSAASYQHNIKAETEDLSDFREGSDIQAISESRLAPEIRLAQRDLLKSIKEIEKKCDKQMRKLIEKHKQEVEQFNQKYEYEKAQLENKKRTEAAVIRLHSNVSMRTDKLNNLDTEYARKFDELEQQMDLHLKNLEALQVAARSNFLERKTRWVESVKSWARVELVKPPVSLANLSEERSSAGIIHSASGSEVRQSKIVHIVNDEVMAYGDPINKARPFKDNSEVASVENLGFWEGQENLASLLAPSSQKYFDINSLRKVDGETPLRESGTIISSKGQQNFVSLEASPSAEIPEESNLRETDVQVPLREIVAVNSGEGQENLASTEALSYEETTDGAVLSNFDGEVHLRVPEIVCSGEGYENLPSVVVSSSEEVPGGTTLNMAEGELPFSRPEAIGSTEGQENIMSANCSFEKQIPGGATLNLPDGEIPRSTAVIATSCDGMDIIVCTNSSTSKEQIPDTAACSMPTKEVSLVEPETVPSEVLEEISVQRENDGTSPIENDQLDGIQCTMNCEAEFQEPSLADLSSMQPVPTSDQGGPQPPDLVSPNVGPLPYASSEAQARCMSNNEMRNASQLAETSPFNGAIDATCNMSNPDTTGVELREQMQQLRSSESTSNLSHPDLPSVTAVEHQSNNEGQTANQSSQAPTQPVANHIELSNQDFLQPLHSPIDGAVDRLVRQASETRTASVPFVSNGLPLQTEPALSSRMHPTFYHDPLQNEMERILKEKEQTAKVHEDMKLQLKLECEKEIKEVIVQIRQKYEAKLKEKEAEFLLHRTELSETYNKVLLHKILAEAFRSKCMDNRASGSAGTKKEANSNFMPQQVQLSSQQMVQQPPAASGLPSTGSASSMQTVSPAVVNAQILQRSTASGIPSTGSASTMQIVSPAVVNAQTLQLSTASGIPSTGSASTMQTVSPAVVNAQTLQRSTASGIPSTGSTSTMQTVSPAVVNAQTLQRSTASGIPSSSSTSTMQTVSLAAVNAQTLQPSTASGIPPTGSASSMQTVSPAVGNAQTLPPSTASGIPSTGSATSMQTVSPALVNAQTMGPHLQVVKSSALFSGTPTRSPHIGNISSTGNLKIGTEIRAPAPHLQPFRPSSSISPSSLPLHSHVNHLARRQASITGQSGRIQHEVVGGLAAPPRSFSPGANANPPGFLLPNVSSRLMPSSRSNSAQQGGGATDVVCLSDDD >KJB53473 pep chromosome:Graimondii2_0_v6:9:7925091:7939861:-1 gene:B456_009G108800 transcript:KJB53473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPSSSGTRKSERLEKQTANLNSMTPSGKRTSERIEKKKKKKNASPLRRSDRVKMPSSSASSGSKRFDKSLDLLNTKRKKEKKKKSVKQLPGTVEDNKIEREVEQANEKQKKRMDARAYKALFRKQPKKVDETDRNEDLNGTNSGRREEDFLEEFIERSHERTEVTSTSQPVEEALKGKNEHNLFLTSEKDSCKDISSNGGDLQIPKNGLIAEEMNDNAEKAAQDNLQSPHLAKSIMPGGVLGCDISVEMVMPSENKCHDMDIDSVASPKISSNNIATCTAPGPSQSSSCKRKDCSETCGMSSKRQRVDCNSTKQEICSSNIKDREKLDVGMSTGYVEKPCNYIQQHMSSADLQTGRDRNACIICRLDGKLLCCCGKGCQRSYHLSCLEPPLEEFPLGAWYCLACVRKKLESGIYSVSEGIEAIWDSRELEASEDGLQRQKQYFVKYEGLAHVHNRWLSEDQVLLEAPSLVAKYNQRNQGSVWKQQWVVPHRLLQKRLLMFPRECDEHHNKEHNGDKLNCHVEWLVKWCGLGYEHASWEFENASFFSCPGGQNLIQEYETRKKAQKASKFDKERAVASLKISQLPAAVSSGLDANLDAVNKLCNYWRRGQNAIIFDDQERISNVISSILAFPCDISSPFLIISTSASQYSWDEEFLHLAPSADIVVYSGSKEVRDSIRNLEFYDEGGCIMFQVLITSPEVISEDFNLLSCIGWEAIIVDECQRPRITSCFEQIKILTSNKRLLIISSQLKDNVAEYLNLFSLLDSQSGSNGSESLLTDSSDDIDTLKERLAKYVAYERKLESSRFLEYWVPVLLSNVQLEKYCFTLLSNSLSLCSPSKSDPVGVLRNILISNRKCCDHPYTVDQSLQLLLTKGLREVEFLDVGIKASGKLQLLDAMLCEIKKRELKVLILFQYIGGSGRDLMGDILDDFLRQRFGIDSYERVDGGVTPSKKQSALNRFNNEKQRFVFLLETRACLSSIKLSTVGTVIIFGSDWSPMNDLRALQRITLDSQLEQIKVFRLYSSFTVEEKLLMLSKQDKTIDSNIEYISPSSSHMLLKWGASYLFSQLDKFHGITIPDASILSEQSHLKYVIQEFFTILHQAGIDDDASKLSLILQAKQNQGMYRTEMPLFGVQKIQVMNEDPPHTFWTKLLEGKSPRWKYCTSSSQRNRKRVHYFEDLQKEPEAESAEVAKRRKKVVSDGKDHPSPKAVLQEGKLAAGYRKGSSGTLPYDFTPLSRSIASGSDTIHATSNSLHPDNNVSKIPALKIVEWERRKQRDSQKNLHVLLKPQIAKLCEVLHLTEDVKAMVERFLEYVMNNHLVNKEPTTILQAFQISLCWSAASLLKQKLDHKESLALAKQHLGFTCKKEEADYVYSMLRCLKRMFLYRTGYLKVLSSPKASGLPGKSVGKDYSSAASYQHNIKAETEDLSDFREGSDIQAISESRLAPEIRLAQRDLLKSIKEIEKKCDKQMRKLIEKHKQEVEQFNQKYEYEKAQLENKKRTEAAVIRLHSNVSMRTDKLNNLDTEYARKFDELEQQMDLHLKNLEALQVAARSNFLERKTRWVESVKSWARVELVKPPVSLANLSEERSSAGIIHSASGSEVRQSKIVHIVNDEVMAYGDPINKARPFKDNSEVASVENLGFWEGQENLASLLAPSSQKYFDINSLRKVDGETPLRESGTIISSKGQQNFVSLEASPSAEIPEESNLRETDVQVPLREIVAVNSGEGQENLASTEALSYEETTDGAVLSNFDGEVHLRVPEIVCSGEGYENLPSVVVSSSEEVPGGTTLNMAEGELPFSRPEAIGSTEGQENIMSANCSFEKQIPGGATLNLPDGEIPRSTAVIATSCDGMDIIVCTNSSTSKEQIPDTAACSMPTKEVSLVEPETVPSEVLEEISVQRENDGTSPIENDQLDGIQCTMNCEAEFQEPSLADLSSMQPVPTSDQGGPQPPDLVSPNVGPLPYASSEAQARCMSNNEMRNASQLAETSPFNGAIDATCNMSNPDTTGVELREQMQQLRSSESTSNLSHPDLPSVTAVEHQSNNEGQTANQSSQAPTQPVANHIELSNQDFLQPLHSPIDGAVDRLVRQASETRTASVPFVSNGLPLQTEPALSSRMHPTFYHDPLQNEMERILKEKEQTAKVHEDMVSSCLLIY >KJB61631 pep chromosome:Graimondii2_0_v6:9:50117946:50128768:-1 gene:B456_009G3714001 transcript:KJB61631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAEQEGIVAPTTANLTSLALQRSRLKLRVANLISLALRLGLAEVERIKAMGSESYLCVRNLNSDQFALFEFKDCIVDPQNVLANNWTNSTSVCKWVGVSCGIIHERVVALNLTNMNLRGTIPPHLGNLSFLFSLDLSNNNFCGHLPKELGQLHRLRIIRLSYNRLNGEIPSWLENLHRVQRLEMSNNNFTGTIPQTLVNMSNLEILTLGFNQLSGQVPSSIFNISSLKRIHFRDNSLSGGLPDDLCVHLPNLKELYLSRNELSSSIPSSIDKCCNLQILELSINQFSGIIPKSIGNLTQLKQLDLGLNNLEGQIPEEIGNLFSLEMLSIQAIKGLTGQIPTSIFNISSLKTIALSRNSLSGSLPNDMCQRLPKLEGLYLGRNELSGNIPSNMGKCNNLKNLWLSHNQFMGIIPRSIGNLTRLQELRLGFNNLEGQIPKEFGNLLGLELLSIKAIKGLTGQIPTSIFNISSLKTIDLSNNSLSGNLPNDMCQYLPKLERLYLGWNELSGQIPSSIFNLTTLEEIVLFNNSLEVSGNPLSGVLPTYIGNLSKSLQYFHAKKCELTGFIPMEIGNLTNLLLLQLCHNKLSGFIPASIGGLRNLQALDLSSNKLEGPILESLCDLERLYEMYL >KJB54349 pep chromosome:Graimondii2_0_v6:9:2315329:2317921:1 gene:B456_009G030700 transcript:KJB54349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVVVFDFDRTLIDGDSDSWVVTEMGLSDLFHQLRSTLPWNSLMDRMMKELHSRGENADDIAECLKKTPVHPRIAAAIKAAHAFGCDLRILSDANQFFIEKILEYHDLLGCFSKIYTNPTFVDEEGRLRIFPYHDSTLSPHGCSLCPSNLCKGQVLNNIQASAPESERQNFIYLGDGSGDYCPTLKLGDKDYVMPRKNYPLWNCIFSDRAFVKAEVREWSNGEELEGILLHLINRISSERSIL >KJB54350 pep chromosome:Graimondii2_0_v6:9:2315358:2317871:1 gene:B456_009G030700 transcript:KJB54350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKELHSRGENADDIAECLKKTPVHPRIAAAIKAAHAFGCDLRILSDANQFFIEKILEYHDLLGCFSKIYTNPTFVDEEGRLRIFPYHDSTLSPHGCSLCPSNLCKGQVLNNIQASAPESERQNFIYLGDGSGDYCPTLKLGDKDYVMPRKNYPLWNCIFSDRAFVKAEVREWSNGEELEGILLHLINRISSERSIL >KJB55875 pep chromosome:Graimondii2_0_v6:9:7175099:7177816:-1 gene:B456_009G099100 transcript:KJB55875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRTVGFAKVVLLPEKLGIVLSFALFFTAHDFDLLSIVYLAVNFMFFSLNSAEMLVNLSIPWVIIGHSERRALLNESNEFVGDKVAYALSQGLKVIACIGETLEERESGSTMAVVAAQTKAIAGKVTNWDNVVLAYEPVWAIGTGKVATPAQAQEVHCELRKWLHENVGGDIAASTRIIYGGSVNGANCKELAAQPDVDGFLVGGASLKPEFVDIIKAATVKKN >KJB55874 pep chromosome:Graimondii2_0_v6:9:7175036:7177942:-1 gene:B456_009G099100 transcript:KJB55874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKFFVGGNWKCNGTTEEVKKIVTTLNEAEVPSEDVVEVVVSPPFVFLTFVKSLLRSDFHVAAQNCWVRKGGAFTGEISAEMLVNLSIPWVIIGHSERRALLNESNEFVGDKVAYALSQGLKVIACIGETLEERESGSTMAVVAAQTKAIAGKVTNWDNVVLAYEPVWAIGTGKVATPAQAQEVHCELRKWLHENVGGDIAASTRIIYGGSVNGANCKELAAQPDVDGFLVGGASLKPEFVDIIKAATVKKN >KJB55751 pep chromosome:Graimondii2_0_v6:9:6794283:6795818:-1 gene:B456_009G092900 transcript:KJB55751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLQLVFSLAAAIFAYSIWFHFLARKLTGPRVWPLVGSLPLLFMNRRRIHDWIASNLRATGGSATYQTCTIALPFLARKQGFYTVTCHPKNIEHILRTRFDNYPKGPHWQAAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMARWVNRSIKNRLWCILDKAANEKESVDLQDLLLRLTFDNICGLTFGKDPQTLSPDLPENTFAIAFDSATETTLYRLLYPGFLWRLEKFLGIGAEKRLKSSLQIVEDYMNGAIEARKQTPSDDLLSRFMKKRDVKGNLFTSDVLQRIALNFVLAGRDTSSVALSWFFWLIMNHPEIEQKIINEISTVLRDTRGPDPKKWVEEPLAFDEADRLIYLKAALTETLRLYPSVPQDFKYVVEDDVLPDGTFVPAFSTVTYSIYSVGRMKTIWGEACMEFRPERWLSPEGDKFDPPKDSYKFVAFNAGPRTCLGKDLAYLQMKSVASAVLLRYRLSLVPGHKVEQKMSLTLFMKNGLRVYLQPRTLA >KJB54782 pep chromosome:Graimondii2_0_v6:9:3547654:3551154:-1 gene:B456_009G048900 transcript:KJB54782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGEMEPLTSGASNRIIPILKTLRTPLIFIQSVILYILILLFPRRRQFRTDVAAVDGAASEPQSPAKTARRKSLWRLEEEDTLRRRSLAEGLNMGLETEDGEIQYRWNTSLFFGVRRNALFSRSWLPATGELKGILIIIHGLNEHSGRYAQFAKQLISCNFGVYAMDWIGHGGSDGLHGYVPSLDHVVADTGAFLEKIKSENPGVPCFLFGHSTGGAVVLKAASHPRIEGMLEGVVLTSPALRVKPAHPIVGAIAPLFSLVAPKLQFKGANKKGIPVSRDPAALLAKYSDPLVYTGPIRARTGHEILRISSYLMRNFKSVTVPFFVLHGTADKVTDPLASQDFYNEASSTFKDIKLYEGFLHDLLFEPEREEIGQDIINWMEKRLGTIAENIDHIW >KJB54698 pep chromosome:Graimondii2_0_v6:9:3273891:3275970:1 gene:B456_009G045300 transcript:KJB54698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLLHLSPKSPPASAPSFPVPNSPFSRPRFLPLRPIKTNNSNTKTISSYKSGNGSNTPPLPETECPVPPEQQPINEYQSLSTSFPFSWASVDVVEYCSRLFATGASFALFVGLPVAWFGSAGPISDPVRPVLASVSSGILLVTVAVLRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTAEVLARDRLLGSFSVKPVLIRLKYTLVTLAVSLLVCVLPLINVDQGGQDSSYMTSKEARVQAIPGVYDDDSARSFEPDAFCGEPDLS >KJB58663 pep chromosome:Graimondii2_0_v6:9:17106324:17108457:-1 gene:B456_009G220700 transcript:KJB58663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTLSPYSTTATTAEIMSRYRPIAPKPDVPANSMNESSGMSQKMMQSPYLRSLWCHLQARPTRARKRGRVALSSTTPLKRGRTQVLALSSPSLITSPAKNLSLQGFSHGIPHHSIPNFGGSLDSSSTPPASLMQLPLLPCPPSVPVVANYATILELNCMEPCGGEKLIDLNTIVEIPEEKDLLKQLQGPPASNVIVPQPIRPVGSIIYVGCIKENPILTPQMQVLKKREEVEELVESDALPAVISDSNNKVRLANSAYKEMVGQPECPWLDSVVTGEGRALGNSCKRICGEVVLHLSESDSRLTVKSKGFSCWARIEWGSEGKKRSVKAFCEVIKLSCRSKDYLFTWKFQPYQQEEGRITF >KJB61748 pep chromosome:Graimondii2_0_v6:9:51197191:51198683:-1 gene:B456_009G377600 transcript:KJB61748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTAAALSLTISTRLKPWIQRRFKPSKMSHNSASSSEQHPIFLVYSHNHDPFLCVSKNLFLFSTRPNLNFN >KJB62994 pep chromosome:Graimondii2_0_v6:9:69891658:69893316:-1 gene:B456_009G447800 transcript:KJB62994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYLFLCLFLFFPHFYASSSGSHSCSHHEAASLIQFKNSFSITQTDHAALYCDEIAGHKSYPKTNSWKEGTDCCSWDGVTCDHLNAHVIALDLSCSWLYGNFPSNTTLFLLPHLQKFNLAYNDFNHSKIPSEFGRFTSLFYLNLSNTLFAGEVPSQVSHLSKLVSLDLSFWANVLTIDKHALEGLVHNLTEVRHLFLDGMDMSSVNAHVFMNLSSSLRSLSLAGCDLQGKFPKNIFDLPNLNLLNLGGNQNLNLDPLKFNRSSNLEHLDLSSMSSSTEFIDSVDNLQALKYLDLSGNSFVQGLPVSITNLSSLEQLIISGANIFGELPDSMGNLVSLKFLVLSQSNLSGPVPRSLRNLLQLTHLDLSQNQLSGEIPSSLGNLLQLTYLDLWQNQLRGQIPRSLGNLLQLTHLELGWNKLSGQIPLSILNLTQLEYLAIYNNSLEGSIPDEVTAFPNLIYLDLYDNFLNGTLPSWLYTAPSLKDIDLSQNQFSGHIKEFQSKSLEYLYLNNNKLQGPFPSSIFQLLNLTELVLSSNNLSGVIEFRMFSNLQI >KJB53834 pep chromosome:Graimondii2_0_v6:9:588589:590512:1 gene:B456_009G007000 transcript:KJB53834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGKTTLAKVIYQTSEQKFKNRAWIFVPSKYRMRELLLGLLENLMSVGEETLKLDDVKLAEKLRKLLLGKRYLVVIDGVEKAQLWQEFEKNKVFPDHQNGSRVLLTTCSNGVALLASSSPIRTHHLQSLGGKERSELLEKLVFKDGSCPEHLVNKLQPSVMSSHLPLSLWLHTDHLKECFLYLGAYPSDCEIPARQIINLWIAEVLAKGNNEASAEQIAERYLDELIDLCLIEDHIHELRYILSDPNYLSHLHSLLCFHNNSSLDSDEFTLKFDHLQNISALDLGSTYVTKLGGSIKGSLVLKYLTINHPSLKRLPSSLCNLSDQQTLDIKNTCVKCLQCGIWEMQKLRHLSVPHQTTLPKSSDNGTFPTRLVKVTLVRTGLVADDVMRTLEKLGHLQVLRLQQEIFIQTWRMGDGAMGSLEELTLSRCHEQKSLPHQLWQLHDMHQIKVNSPSHCLERELEQLRAEQRKVHIHF >KJB60172 pep chromosome:Graimondii2_0_v6:9:25326109:25327589:1 gene:B456_009G292600 transcript:KJB60172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSSWPEGVPTSNKERVIKELVNGQECAKQLQILLHNWCEKNGRLSAEELVHKIFASFDHALSLLTSVESAEDSQNQATSYHDSPCCNGRSEDSTNSRKKLPSKEKRGCYKRRRDEHARTVVSSTVEDGHAWRKYGQKQILNSKHPRSYFRCTRKYDQGCKATKQVQRLEDAGSQMFQTTYIGTHTCRPDSFKAPQIIIDSEPRESYNKFSYGSSNPKIPTKKLHEITPPVKQETKEETPTTSGLTDMDSVVMWKDIIGAEYGDVVSNVYTSTEITSQNLELDLVMKPVEFEDDFQFDESELV >KJB57558 pep chromosome:Graimondii2_0_v6:9:13098723:13099941:-1 gene:B456_009G169800 transcript:KJB57558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKRIIITILLRLLAFGATLSATVVMVTSHESAKVMNIKFSAKFSNSPTFKFYVTADAIATGYGLIVLFLSSRRSVDRLIVILDVVIALILTSSISAALAVAQIGKKGDSHAGWLPVCNQVPKFCDHVTGALIAGFVAAIAYLVLNLYSLHVFLTPLFPV >KJB59121 pep chromosome:Graimondii2_0_v6:9:19123330:19124649:1 gene:B456_009G239700 transcript:KJB59121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGKRPRPRQPMKRTTSLMEITFDLNTSNVAEAAPPPDPLNPFKNHPIQQAGVWGPQIQADDGGLDQRSLTTVSPRVHRRHLADFMETPHFLRSCGLCRRRLVPGRDIYMGDSAFCSLECRQQQMNQDEKREKCSIASKKQAAASSVARSGVSAKGETVAAVQLY >KJB59122 pep chromosome:Graimondii2_0_v6:9:19123330:19124675:1 gene:B456_009G239700 transcript:KJB59122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGKRPRPRQPMKRTTSLMEITFDLNTSNVAEAAPPPDPLNPFKNHPIQQAGVWGPQIQADDGGLDQRSLTTVSPRVHRRHLADFMETPHFLRSCGLCRRRLVPGRDIYMYRGDSAFCSLECRQQQMNQDEKREKCSIASKKQAAASSVARSGVSAKGETVAAVQLY >KJB59453 pep chromosome:Graimondii2_0_v6:9:21121370:21122026:-1 gene:B456_009G256100 transcript:KJB59453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNKLCLVLVIFLSIFSFSSLPTTAIIPNANISLSVPSSQLVENLCNGKAIQNRRFCLKALSTPEVIAAMDTTQLGTLIMKLGAANAKATLNLYNEIIKKPGSPQALKALNMCVEAYKYAILSFEMVCSELVEDPQTANYDVAVIGPEIANCEKELINAKVQAPRLLAGNRFVKYYVSMGYEITSTLELENPNEY >KJB55037 pep chromosome:Graimondii2_0_v6:9:4262284:4264601:-1 gene:B456_009G059300 transcript:KJB55037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSCTIPFFVYPSIFLILFFTSINHHYRLYSFSSVISNHTKVSRENYSDISNSHTPISSAPDFHNDAQKKIQIEVLEEELAMAREAIRAAFRSRSYTSNRPESYVPKGSVYRNPYAFHQSHIEMQKRFRVWTYKEGDSPLFHNGPVNDIYSIEGQFIDELESDISPFLARSPDEALAFFLPVSVVNIIKYVYRPYTNYSRERLQHIVKDYIGIVSTRYPYWNRSNGADHFMISCHDWAPDVSAKDPKLFKNFIRVLCNANSSEGFKPERDVSMPEVYIRFGALAQSQKNRPPNNRSILAFFAGGEHGDVRKILFKYWEKKDNDIRVFRYLPKTLNYTELISQSKFCLCPSGYEVASPRVVESILSGCVPVIVSDHYVLPFSDVLDWSKFSVYVPIAKIPEIKTILQGISQEEYLEKQRKVLKVQRHFVLNRPAKPYDIMHMVMHSVWLRRLNRRLSD >KJB56839 pep chromosome:Graimondii2_0_v6:9:10421072:10422901:-1 gene:B456_009G137900 transcript:KJB56839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHSAAAKLPVVDLFDENCKPGTASWVSKCNDVRVALEEYGCFLTPYHQVPSQLQDQVFNSLQQLFDLPLETKLQNTSHKPYFGYFKHPSIPLSESMGIDDPTSLDGTQSFTHLMWPNGNQKFCQNVHSYAKLVSQLDQMVKKMVFESYGVEKYYESHIDSTSYLLRLIKYRVPHEGETIDNCGFPHTDKSFLTILHDNQVAGLQTKTKDGNWICVQPSGSMFIVMAGDAFLAWSNGRVHSATHRVVKEENKERYCLALFSFSGETIEAPAELIDEAHPLMFRPFQNMDLLRLYSLDDLHRYDQMFNGQA >KJB56838 pep chromosome:Graimondii2_0_v6:9:10421072:10423633:-1 gene:B456_009G137900 transcript:KJB56838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHSAAAKLPVVDLFDENCKPGTASWVSKCNDVRVALEEYGCFLTPYHQVPSQLQDQVFNSLQQLFDLPLETKLQNTSHKPYFGYFKHPSIPLSESMGIDDPTSLDGTQSFTHLMWPNGNQKFCQNVHSYAKLVSQLDQMVKKMVFESYGVEKYYESHIDSTSYLLRLIKYRVPHEGETIDNCGFPHTDKSFLTILHDNQVAGLQTKTKDGNWICVQPSGSMFIVMAGDAFLAWSNGRVHSATHRVVKEENKERYCLALFSFSGETIEAPAELIDEAHPLMFRPFQNMDLLRLYSLDDLHRYDQMFNGQA >KJB61858 pep chromosome:Graimondii2_0_v6:9:52433186:52433749:1 gene:B456_009G386600 transcript:KJB61858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKAELLSPRGKIPKQGVENWLKDAKEMIAEAQDVENKVRNGRYLCRAWNGKLVDEKTREMKEFLDKAPNASEALAMDGPSGGLPLPTSELVGEGAARKEIWACLMQEEVKKIGVWGMGDVGKTTIMKHIHNDLLKEQRFERVIWVTISKEFNVMKVQDDIASALKLKEDLAIEGVLVLRGNSKLI >KJB57974 pep chromosome:Graimondii2_0_v6:9:14493928:14495183:1 gene:B456_009G188400 transcript:KJB57974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVFRRSLSFPNKTLTRPPKPQFSHHIRSISLPCRSHPLITRIKDEITDLKTWSRSPEKPTSAWLCDGLRRLKDLQDSLHDILQLPQTQQLLSHKREWVEKLLEDFLRFVDVYGIFQTSFLSLKEEQLAARVALRRKDDSRIAVYLKGRKKMAKEIAKLVSSIRYIGRYSFPASAFVSILDTELTGVISDIIEVTVSVSVALFNGISMAFTSSKSSWIRLALTKKSNKVKIEGRRRGSEDGFGENARLGKVHCWYRKWKRQSL >KJB55336 pep chromosome:Graimondii2_0_v6:9:5082837:5084720:-1 gene:B456_009G071600 transcript:KJB55336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVELIFVPTPGAGHLAPTVEFVKRLIHHDDRILVTILSIEWHSSGFADAYTESLATLQPDRIQVIQVSRVDPPPLHLWTLAPEAFIYALIQSYIPHVRNAVTNILSMKSTSDSTRVAGLVLDLFCSPMVDVATELGLSSYIYLTSNASFFGLVLYLQALHRQISSEFEYPNPDNPNKDLGHLIPGFANPVPSCVLPSFMFNKHGSYTAFVKIAERFKDAKGIVINTFEELETYALSCFVNGQNPPIYPVGPVIHLDSLPHPELDQLQRDRIMKWLDNQPESSVVFLCFGSHGCHGPPQVKEIALGLEQSGQRFLWSLHMPETPLNDAAGAVHYKNPEEMLPEGFLERIQERGMICRWAPQVDVLGHKAVGGFVSHCGWNSILESLWHGVPIVTWPIYAEQQLNAYMMKEVGLAVELRLDYRGGTSDIVKAEEIEEGVRQVMDAGSKVRKKVKEMAEMARKAVMNGGSSFNSIGRFIEDMLANT >KJB53364 pep chromosome:Graimondii2_0_v6:9:17171366:17173764:-1 gene:B456_009G2211001 transcript:KJB53364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKGKGTDVSDVQGEDDLDEEPGEEIESAPPLKVGEERELGNSGIKKKLLNNGIHWETPEFGDEVTVHFVGTLLNGIKFCSTRDNGEPMTFKLGEGKVAKGLDNGITTMKKGERVLFTLPPDFGYGAEGRDGVPPDSIVQFDVELLSWITVVDICKDGGIIKKIMEKGERNERPSDLDEVLVKYQVALADGTIVAKASEEGYEFYVKDGHLFPALTKAIVTMKRGEKVKLIVQPKYAFGDKGKEATDGFPSIPPNSVLNVELELVSFKPVIDVTGDSKVFKKILKEGEGALVANEGAAVTISYIAWLEDGTVFERKGVDGGQPLEFITDEEQVIPGLDRAAATMKKGEQALLTVSPEYGFGSVVAERDLAVVPPSSNLVYEVEMLDFVK >KJB53362 pep chromosome:Graimondii2_0_v6:9:17171184:17173686:-1 gene:B456_009G2211001 transcript:KJB53362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKGKGTDVSDVQGEDDLDEEPGEEIESAPPLKVGEERELGNSGIKKKLLNNGIHWETPEFGDEVTVHFVGTLLNGIKFCSTRDNGEPMTFKLGEGKVAKGLDNGITTMKKGERVLFTLPPDFGYGAEGRDGVPPDSIVQFDVELLSWITVVDICKDGGIIKKIMEKGERNERPSDLDEVLVKYQVALADGTIVAKASEEGYEFYVKDGHLFPALTKAIVTMKRGEKVKLIVQPKYAFGDKGKEATDGFPSIPPNSVLNVELELVSFKPVIDVTGDSKVFKKILKEGEGALVANEGAAVTISYIAWLEDGTVFERKGVDGGQPLEFITDEEQVIPGLDRAAATMKKGEQALLTVSPEYGFGSVVAERDLAVVPPSSNLVYEVEMLDFVKVTTVAL >KJB53363 pep chromosome:Graimondii2_0_v6:9:17171366:17173686:-1 gene:B456_009G2211001 transcript:KJB53363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKLGEGKVAKGLDNGITTMKKGERVLFTLPPDFGYGAEGRDGVPPDSIVQFDVELLSWITVVDICKDGGIIKKIMEKGERNERPSDLDEVLVKYQVALADGTIVAKASEEGYEFYVKDGHLFPALTKAIVTMKRGEKVKLIVQPKYAFGDKGKEATDGFPSIPPNSVLNVELELVSFKPVIDVTGDSKVFKKILKEGEGALVANEGAAVTISYIAWLEDGTVFERKGVDGGQPLEFITDEEQVIPGLDRAAATMKKGEQALLTVSPEYGFGSVVAERDLAVVPPSSNLVYEVEMLDFVK >KJB55165 pep chromosome:Graimondii2_0_v6:9:4737360:4741143:1 gene:B456_009G066500 transcript:KJB55165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGLDFSDWGAKETRKGTPVVVKMENPNYSVVEIEDPDAAFRPVEKSRGKNAKQVTWVLLLKAHRAVGCVAWIATLFWALLGTIKKRLIFRQDVDMASEKLGKGILLFTVIKAFLVTSLTILAFEIIAYFNGWHYFQNPSLHIPRTSEIQGLFHLVYVTWLWFRADYIAPLIQALSKFCVALFLIQSADRMVLCLGCFWIKYKKIKPRIEGNPFKSNDVEGSGYDYPMVLVQIPMCNEREVYEQSISAVCQLDWPKDRLLIQVLDDSDDESIQCLIKAEVATWNQRGINIIYRHRLIRTGYKAGNLKSAMSCEYVQAYEFVAIFDADFQPNPDFLKQTVPHFKDNPELGLVQARWTFVNKDENLLTRLQNINLCFHFEVEQQVNSVFLNFFGFNGTAGVWRIRALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMHLFRLCLPAIITSKIAIWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPVWVICYVPVFMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWVVTKKAGRSSESDLLAAAERESKTTNQLQIQRGASESELTELNRLKAAPVPVKRVNKIYRKELTLAFLLLTASVRSLLSAQGVHFYFLLFQGVTFLLVGLDLIGEQMS >KJB58122 pep chromosome:Graimondii2_0_v6:9:15117631:15118176:1 gene:B456_009G196300 transcript:KJB58122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSMKYPKCLKKTQAEVRDLIGKKGFVNEDDVQGLTYLKAVIKETFKLQPTAPLLVPRETFGKCNIDGYNVPAKTLVFVNSWAIGKNLETWENPEVFSPERFIGCSIDYKGLHYELVPFGAGRRICLGMRMGVATVELSLANLLYNFDWEMLIGMNKEDLDFEAIPGITAYKKKCSYPYS >KJB61920 pep chromosome:Graimondii2_0_v6:9:53132903:53133995:1 gene:B456_009G391400 transcript:KJB61920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKNKTSKMASPILKFTCIILSVSLSLGFSSANRFLLDQTNHAHKNMDPAVHEPMKNPSEEKLGVGYGVGSGSGNGMVYGLGYGIEDGNQLGTAIGYGIGEGNQVGTGIGNGMGSGNQLGMGIGYGIGNGGQSGNSMGFGIGNANQMGMGIGYGIGNGGQAGNNMGYGIGYGTGNGNQLGTGIGYGIGNGNQLGTGIGFGTGNGGQSGNSVGYGVGIGSGGNNWNGPGTGVGIGSGSGGLGSGIGVGYGSGGVPACTTGNCNLVNGPGSCVPVGPAHYELQGRSAAGAAKEMSKIMSTVTQP >KJB59146 pep chromosome:Graimondii2_0_v6:9:19320518:19323846:1 gene:B456_009G241300 transcript:KJB59146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELACASFQACSIFPVRLKSSKATKFESSLALLPSCKSSNSSRIRCLSSKFLSYPALCINRVSGQQRFSLAAVVGDKTAVPNNCDEEKISDSDSAGSSLINDEVTRDGENDGDKGSVEGMDSVKMIRVCDKLIEVFLVDKPTPTDWRRLLAFSKEWNNIRPHFFQRCQERADVEGDPGMKHKLLRLGRKLKEIDEDIQRHNELLEVIKGSPSEISEMVARRRKDFTKEFFVHIHTVAESYYDNPTEQNALAKLGNTCLAAVQAYDTAAENVEALNAAELKFQDIINSPSLDVACRKIDSLAEKNQLDSALVLMITKAWSAAKESNMTKDEVKDILYHLYMTARGNLQRLLPKEIRIVKYLLTIEDPEERLCALNDAFTPGEELEGSDMDNLYTTPEKLHTMMRAVVDAYNFSHEGTLLREARDLMNPKIIEKLGELIKIVEKNFM >KJB58391 pep chromosome:Graimondii2_0_v6:9:16146741:16149962:-1 gene:B456_009G208200 transcript:KJB58391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILRAFNGQAKQEPKRGGIRATLFVYAMGGLENMAFISNAVSLVTYFYGYMNFSLTKSANTLTNFMGTSFLVALFGGLISDTYLSRFNTCVLFGCIELLGYAALTVQAHFDQLRPPPCQGLAISQTTQCQAADIGQAAILFSGLYLVAFGTSGVKAALPSLGADQFDERDPKRSSFFNWFLLSFTAGAIIGVTFIVWISTNQGWDWAFGVCTVAVLVSIMVLSMGKSLYRNNVPKGSPLLRIIQVFVVAIRNRKLPQPGIEDELHDVAGVHNEILPRTDQFRFLDRAAIEHTCDDGSTSVNLGPWRICTVTQVEETKIIIRMLPIILSTVFMNTCLAQLQTFTVQQSTTMNTHVLGIKMPGSSIPVIPLVFMFIFIPIYDCVFVPIARKITGIPTGIRYLQRIGVGLVLSAISMAVAGVVETRRKSVAREHGMVDSLQPLPMNVLWLGYQYSIFGLADMFTFVGLIQFFYEESSAGMKSLGTAISWCSSAFGYYLSSVVVDVVNKVSGGWLDNNNLNRDKLDYFYWLLAGMSVVNFGVYLVCASWYRYKKVEVIYKEENHAEGKQVEMLNAV >KJB57787 pep chromosome:Graimondii2_0_v6:9:13935105:13938725:-1 gene:B456_009G181100 transcript:KJB57787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSDIGVAAGINLLSAFVFLLAFAILRLQPFNDRVYFPKWYLKGLRSSPSRSGTFVKKFVNLDFRSYLRFLNWMPEALKMPELELIDHAGLDSAVYLRIYLIGLKIFVPITFLAWAVLVPVNYTNKTLELQLKNVTSSDIDKLSISNVPDGSDRFWTHIVMAYAFTFWTCYVLLKEYEKVANMRLQFLVSEKRRPDQFTVLVRNVPPDSDESVSETVEHFFLVNHPDTYLTHQVVCNANKLAKLVKKRKSKQNWLDYYQLKYSRNNAKRPIMKTGFLGLWGEKVDAIDHHITEIEKLSNEIAEERERVLKDPKSIMPAAFVSFKSRWGAAVCAQTQQSRNPTLWLTDWAPEPRDVYWQNLSIPYVSIAVRRLIMAVAFFFLTFFFMIPIASVQALATIEGLEKAAPFLKALIEIPFIKSVIQGFLPGIVLKLFLIFLPTILMIMSKFEGFTSISSLERRSATRYYLFNLVNVFLGSVIAGSALDQLNTFIKQSANEIPKTIGVAVPMRATFFITYIMVDGWAGIAAEILMLKPLIIYHLKNTFLVKTEKDREEAMNPGSLSFNVGEPRIQLYFLLGMVYATVTPVLLPFILVFFGLAYVVFRHQIINVYNQEYESAAAFWPDVHGRIIIALLVSHVALIGLLSTKHLAQAAPLLITLAVVTIWFYRFCKARYEPAFVRYPLQEAVMKDTLERARDSNFNLKPYLQNAYIHPVFKEEEDDEEEEVVKLENESVLVPTKRQSRRNTPVPSKMSGASSQSLPEAVPEHSVP >KJB57786 pep chromosome:Graimondii2_0_v6:9:13934579:13939454:-1 gene:B456_009G181100 transcript:KJB57786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSDIGVAAGINLLSAFVFLLAFAILRLQPFNDRVYFPKWYLKGLRSSPSRSGTFVKKFVNLDFRSYLRFLNWMPEALKMPELELIDHAGLDSAVYLRIYLIGLKIFVPITFLAWAVLVPVNYTNKTLELQLKNVTSSDIDKLSISNVPDGSDRFWTHIVMAYAFTFWTCYVLLKEYEKVANMRLQFLVSEKRRPDQFTVLVRNVPPDSDESVSETVEHFFLVNHPDTYLTHQVVCNANKLAKLVKKRKSKQNWLDYYQLKYSRNNAKRPIMKTGFLGLWGEKVDAIDHHITEIEKLSNEIAEERERVLKDPKSIMPAAFVSFKSRWGAAVCAQTQQSRNPTLWLTDWAPEPRDVYWQNLSIPYVSIAVRRLIMAVAFFFLTFFFMIPIASVQALATIEGLEKAAPFLKALIEIPFIKSVIQGFLPGIVLKLFLIFLPTILMIMSKFEGFTSISSLERRSATRYYLFNLVNVFLGSVIAGSALDQLNTFIKQSANEIPKTIGVAVPMRATFFITYIMVDGWAGIAAEILMLKPLIIYHLKNTFLVKTEKDREEAMNPGSLSFNVGEPRIQLYFLLGMVYATVTPVLLPFILVFFGLAYVVFRHQIINVYNQEYESAAAFWPDVHGRIIIALLVSHVALIGLLSTKHLAQAAPLLITLAVVTIWFYRFCKARYEPAFVRYPLQEAVMKDTLERARDSNFNLKPYLQNAYIHPVFKEEEDDEEEEVVKLENESVLVPTKRQSRRNTPVPSKMSGASSQSLPEAVPEHSVP >KJB60472 pep chromosome:Graimondii2_0_v6:9:27914851:27915687:1 gene:B456_009G306700 transcript:KJB60472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHSWQYKAKKILEEAKAFSSENLKNVIGKLEKVEAKQWDILCRAHITEARWFYGGQIPTFDEYIKNAWISIGSLGGLVLVCFVEADSIVHQFPNCLKDSQLFYRPSLITRLSNDLGTSKAEMERKDIPKAVQSYTIEKGVSEEKARNHVKELISNSWKKINEEILDSRFSRVIVNLSKNMARTAQCIYQHGDGVGTSTGVTKDCIVSSILRPIPI >KJB62538 pep chromosome:Graimondii2_0_v6:9:65882155:65884512:-1 gene:B456_009G421600 transcript:KJB62538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSCWKPSIEGDSRGCLDGLLWYKDMGQHVYGDFSMAVVQANSLLEDQSQLESGLLSSSSSGPYGTFIGVYDGHGGPEASSFINNNLFCNFKSFVSENQEMSTNVLRKAFLATEDKFLSLVRKQWLVKPQMASVGSCCLTGVICNGLLYIANAGDSRVVLGRSVRGAKEVIAMQLSTEHNASFDAVRDELRSLHPNDPQIVVMKHKVWRVKGLIQISRSIGDAYLKKAEFNKEPLLPKFRLHEPFRKPILSAEPSLLVHKLHPDDQFLIFASDGLWEHLSNQQAVRIVQTSPRNGIARRLVKTALKEAAQKREMRYSDLNKIDRGVRRHFHDDITVIVVFLDTHLINGSSCYNSPMSIKGGGRLASRC >KJB60173 pep chromosome:Graimondii2_0_v6:9:25333861:25343799:1 gene:B456_009G292700 transcript:KJB60173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQSMVKPRSTESNLFEHRLNKILADRIELEVGTSSFFFIFLSISLFTDHRRKKMLKFLKGVVGGSGAGLKDLPYNIGDPYPSAWGSWSHFRGTSKDDGSPVSIFSLSGSNPQDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVESFDGSSSKVTIYIVTEPVMPLSEKIKELGLEGTQRDEYYAWGLHQIAKAVSFLNNDCKLVHGNVCLSSVVVTQTLDWKLYAFDVLSEYDGANATATGPMLQYEWLVGSQYKPTELAKCDWATIRKSPPWAIDSWGLGCLIYEIFSGMKLGKTEELRNTASIPKSLLPDYQRLLSSMPSRRLNTSKLIENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLAEQLPRPIVLKKLLPLLASALEFGSAAAPALTALLKMGSWLSAEEFSLKVLPTIVKLFASNDRAVRVALLQHIDQFGESLSAQVVDEQVYPHVATGFADTSAFLRELTLKSMLILAPKLSQRTLSGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFSPARGAGVMALCATSSYYDMTEIATRILPNIVVLIIDPDSDVRSKAFQAVDQFLQIVKQYNEKGNAEDTSGAGSLGISSMPGNASLLGWAMSSLTLKGKASDQAPVATANSVTPATTTASTASLGLIETPSTAPVHRVSSSTDFADQLMPPSPTSTDGWGEIENGIHEEHDSDKDGWDDIEPLDEPKPSPALANIQAAQKRPVSQPVSQPKPQASTSLRPKSTVKAAKDEDDDLWGSIAAPPPKSASRPLNVKTAGAVDDDDPWAAIAAPPPTTKAKPLSAGRGRGNRAAAPKLGAQRINRTSSTGM >KJB60174 pep chromosome:Graimondii2_0_v6:9:25333861:25343378:1 gene:B456_009G292700 transcript:KJB60174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQSMVKPRSTESNLFEHRLNKILADRIELEVGTSSFFFIFLSISLFTDHRRKKMLKFLKGVVGGSGAGLKDLPYNIGDPYPSAWGSWSHFRGTSKDDGSPVSIFSLSGSNPQDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVESFDGSSSKVTIYIVTEPVMPLSEKIKELGLEGTQRDEYYAWGLHQIAKAVSFLNNDCKLVHGNVCLSSVVVTQTLDWKLYAFDVLSEYDGANATATGPMLQYEWLVGSQYKPTELAKCDWATIRKSPPWAIDSWGLGCLIYEIFSGMKLGKTEELRNTASIPKSLLPDYQRLLSSMPSRRLNTSKLIENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLAEQLPRPIVLKKLLPLLASALEFGSAAAPALTALLKMGSWLSAEEFSLKVLPTIVKLFASNDRAVRVALLQHIDQFGESLSAQVVDEQVYPHVATGFADTSAFLRELTLKSMLILAPKLSQRTLSGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFSPARGAGVMALCATSSYYDMTEIATRILPNIVVLIIDPDSDVRSKAFQAVDQFLQIVKQYNEKGNAEDTSGAGSLGISSMPGNASLLGWAMSSLTLKGKASDQAPVATANSVTPATTTASTASLGLIETPSTAPVHRVSSSTDFADQLMPPSPTSTDGWGEIENGIHEEHDSDKDGWDDIEPLDEPKPSPALANIQAAQKRPVSQPVSQPKPQASTSLRPKSTVKAAKDEDDDLWGSIAAPPPKSASRPLNVKTAGAVDDDDPWAAIAAPPPTTKAKPLSAGRGRGNRAAAPKLGAQRINRTSSTGM >KJB58811 pep chromosome:Graimondii2_0_v6:9:17796454:17800132:1 gene:B456_009G227200 transcript:KJB58811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G09930) UniProtKB/Swiss-Prot;Acc:O04514] MSSLEIGSARVGDVLVVSAADNDDVSPIEEVRLTVSNDDDTTLPVWTFRMWFIGLLSCVLLSFLNTFFSYRSEPLQVTMISIQVASLPVGKFMARVLPTTKFKIPVVNKEFTLNPGPFNVKEHVLISIFANAGAAFGGGTAYAISIVDIIRAFYHRKIGFLASWILVITTQVLGYGWAGIMRRFVVEPAEMWWPSSLVQVSLFRAMHEKDNSRKSRGKFFLIALICSFSWYVFPGYLFPTLSAISWVCWAFPKSVTAQQIGSGMQGIGIGSFAVDWSVIASFLGSPLVTPFFAIVNIFVGFALFLYVVLPTAYWGLDLYQARNFPIFSSHLFNHKGEPYNVSGIVNQNFEIDMPAYEQQGLVNLSVFFSLTYGIGFAAIISTLSHVAVFNGKEIHTQLKASFKGKEDIHTRLMKKYKSIPNWWFYLLLGLTLLLSLALCVFMKRDIQMPWWGLIFAAAIALAFTLPVSIITATTNQSPGLNIITEYIMGYILPGKPIANVCFKTYGYISMAQAVSFLNDFKLGHYMKIPPRSMFVVQSIGTVIAGTVNLAVAWWLLTTVENICQDHLLPPNSPWTCPGDRVFFDASVIWGLVGPKRIFGPLGNYSALNWFFLGGAVGPVVVWLFHKAFPNQKWIPLINLPVLLGATAAMPPATSLNFNCWLIIGFIFNYYVFKYRKGWWQRYNYVLSAALDAGLAFMGVLLYFTLTMHGISISWWGSDGEHCDLASCPTAKGIVVDGCPVF >KJB60870 pep chromosome:Graimondii2_0_v6:9:33449958:33452118:-1 gene:B456_009G329000 transcript:KJB60870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin D5 [Source:Projected from Arabidopsis thaliana (AT1G68090) UniProtKB/Swiss-Prot;Acc:Q9C9X3] MSTLKVPAVAPSHRDDAMQIYRAFKGIGCDAAAIINIIAHRDATQRSLIEQEYESTYGHELRKRFSSELTGHLKKAVLLWMHEPGERDAFILKKALKGAVKDQKAVTEIVCSRTPSQIGQLKRAYFSNVGSNLEDDIEAELSGEHKKLLLAFLTTSRYEGPEYDETLVEEDAKALNKAARKFGLAGKPFIQIFSDRSRAHLCAVSDIYKTMFKKTLEKAIRDETHKNFEYALKTILRCAESPPRFYAKALRKAMKGIGTADTDLIRIVVTRAEVDMHYIKAEYRKKYGKTLNDAVYSDTSGHYRIFLLALLGNNN >KJB59602 pep chromosome:Graimondii2_0_v6:9:21766462:21768042:1 gene:B456_009G263200 transcript:KJB59602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDQRLIHYIRVHGEGSWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTEDEDELIIKLHGLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLVSRGIDPQSHRPLNGIAKATPTELDFRNAPTVPKIKPITTATPSLSFKYDESQVKAKSDSLEGGNCTSSGMTTDEEQPSSRPNELNLELSIGFSINSANSAESKPKVAKPKAVCLCWQLGFQRSEICSNCENTNGLFRYCTTLG >KJB62453 pep chromosome:Graimondii2_0_v6:9:64958927:64959874:-1 gene:B456_009G417700 transcript:KJB62453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPMDCCWGCKHESKPFSSMASRKLLFGSKPSYIYPADDGNDVIINQNDVFEFDEADVWNNNNSNEPTTNIQEGKKPLPSLRAWSKKLSRKVESHKTPKMAVPASLPINTPDWSKILKAEDREHGCEDDEDGGDGDGRVPPHEYLARRRGASFSVQEGIGRTLKGRDLRCVRNAVWKKTGFED >KJB56844 pep chromosome:Graimondii2_0_v6:9:10524569:10525045:1 gene:B456_009G139500 transcript:KJB56844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDSLLIFLASSVLWATQGVVIHAAGGSSEYYEPDPFTCNRYPDFPNATQSLVPPPQFPFFPLTNGSPPFAMLSTYSAEPTEIQSCESQLQSVEACFQDLVNVFLTNELNVGPACCKSITAIKQGCLDSLFPPFVVDLFGLYIKELCSAAPSLALFS >KJB59551 pep chromosome:Graimondii2_0_v6:9:21484322:21486961:-1 gene:B456_009G260600 transcript:KJB59551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRVLFLSTLFLCSAEVHHYEFFVRESNFSKLCNTTTLLVVNDSYPGPEIRVRRGDTVFVNVHNQGNYGFTIHWHGVKQPRNPWFDGPEFITQCPIQPGTNFTYEVILSDEIGTLWWHAHSDWTRGSVQGAFIILPAENETYPFPTPDADQTIILQSWYNGDYKQIIDQALATGIPPRQPDAYAINGNLGDTYGCTNDTIFRMQVDYEKMYLLRIINAAMNEQQFFAITNHTLTVVAQDASYVQRFTSDYILISPGQTMDVLVSANQNVGQYYMATRPFSDASAMPPDNITTGIFQYTNSDGGLNASLITLPARDDTNATNSFISRIRNTNVTQNPPLNVPTGIDRRVFITIATNTVPCNTSQCLLPNRFVASLNNVSFVFPRIDILQAYYNSTGGVFTEDFPLNPPVFYDFTGNLTGFNTRAELGTRAVVLNYGEAVEIVLQATQLGGGGSHPIHLHGFSFYRVGSGSGNFNNETDPSTYNLVDPPLINTIHVPGKGWAALRFFANNPGVWFMHCHFERHSSWGMDTVFIVRNGTTTETSIRPPPSTMPRCPGT >KJB60613 pep chromosome:Graimondii2_0_v6:9:29697673:29698739:1 gene:B456_009G315300 transcript:KJB60613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSSNQFMTKDTHRGQCSHRAPELQPTMKYPTPVKHQSHPNPTHLRTPNSSTHSPLKHKTRHRLTP >KJB58581 pep chromosome:Graimondii2_0_v6:9:16843393:16845959:-1 gene:B456_009G216500 transcript:KJB58581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTTLSNIILCISIFLFALVFKSIIQKRPTSGNLPPSPPALPIIGHLHLLKEPFHRTLHQLSQKYGPILLLQFGTRKVLIVSSASAAEECFTKNDIIFANRPQMLAGKHLNYNYSTIGLAPYGDYWRNLKRLTTVELFSTSRLAMFASIRQEEVQLLLKELFLASTRKPAKVELSSKLMDLVFNIILRMIAGKRYYGKDVVDKKAMEFRDIMKEATEIHGSTNLNDFFPVLQWVDFQGVERKMKRTMKKLDKFLEFLLEEHREMRADSTHPSSGSSDASNKATKTTLIDVMLSLQQTEPEFYTDETIKGTILAVLGAGTETSYVSMEWAISLLLNHPEAMHKAWTEITAEVGQDKFLDETDLPKLNYLQSIISETMRLFPPAPLLLPHESSEDCVVCGYSVPQGTMLFVNAWTIQRDPKLWEEATRFMPERFEGGEEGGGCKLLPFGVGRRACPGANLGRKVVGLVIGSLIQSFEWNRIGEEETDMREGTGLTMPKAEPLVALCSPRPGMVNLLSTI >KJB58162 pep chromosome:Graimondii2_0_v6:9:15208633:15209947:-1 gene:B456_009G197200 transcript:KJB58162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVTSQTVLQLVVDSTVSHTSSIDSTHQIQCSSLSTIVARRIKESFTTVLTKFHAGYFRVSLSLGGQALLWKTLIGPTDNKSTFRLALHTFHPRAFTLLWSFALVTLVLLSILYLLRCLFYFKMVKDEFLHHVGINYLFAPSISWLLLLQSAPFFCFNNPYTYVVVWLVFAVPIVVLDVKIYGQWFTKGKKILSAIANPTSHLSVIANLVGAQAAANMGWNESAICFFSLGMVHYLVLFVTLYQRFSGTDLLPTMLRPALFLYFAAPSMASLAWQSIAGSFDTASKMLFFLSLFLFMSLVLRPTLFKRSMRRFNVAWWAFSFPLSMLALASMEYAEEVKSDAANLLMLLLLTSSVIVSVGLIIFSLLNTPILVLPHNSDPISCLPTLRSNSTTTASV >KJB55190 pep chromosome:Graimondii2_0_v6:9:4837066:4841928:-1 gene:B456_009G068100 transcript:KJB55190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDRGISLEEIKNESVDLERIPIEEVFEQLKCTRGGLTSEEGANRLQVFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAALMAIALANGDGRPPDWQDFVGIIALLLINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWSEQDAAILVPGDIITIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPSDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIIIEMIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRNLIEVFAKGVEKEHVILYAARASRTENQDAIDAAIVGMLADPKEARAGIREIHFLPFNPVDKRTALTYIDAAGNWHRASKGAPEQIITLCNCKEDVKKKVHAVIDKFAERGLRSLAVARQEVPEKSKDGPGAPWQLIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQDKDASIASLPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLKEIFSTGIVLGGYLALMTVVFFWAMKDADFFPNMFNVRSLADSPEEMMAALYLQVSIVSQALIFVTRSRSWSYVERPGLLLLTAFVIAQLVATLIAVYANWGFARIKGMGWGWAGVIWLYSVVTYIPLDLIKFAIRYVLSGKAWDNLLENKTAFTTKKDYGKEEREAQWAAAQRTLHGLQPPETSNLFNEKSSYRELSEIAEQAKRRAEVARLRELNTLKGHVESVVKLKGLDIDTIQQHYTV >KJB55189 pep chromosome:Graimondii2_0_v6:9:4835782:4842166:-1 gene:B456_009G068100 transcript:KJB55189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDRGISLEEIKNESVDLERIPIEEVFEQLKCTRGGLTSEEGANRLQVFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAALMAIALANGDGRPPDWQDFVGIIALLLINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWSEQDAAILVPGDIITIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPSDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIIIEMIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRNLIEVFAKGVEKEHVILYAARASRTENQDAIDAAIVGMLADPKEARAGIREIHFLPFNPVDKRTALTYIDAAGNWHRASKGAPEQIITLCNCKEDVKKKVHAVIDKFAERGLRSLAVARQEVPEKSKDGPGAPWQLIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQDKDASIASLPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLKEIFSTGIVLGGYLALMTVVFFWAMKDADFFPNMFNVRSLADSPEEMMAALYLQVSIVSQALIFVTRSRSWSYVERPGLLLLTAFVIAQLVATLIAVYANWGFARIKGMGWGWAGVIWLYSVVTYIPLDLIKFAIRYVLSGKAWDNLLENKTAFTTKKDYGKEEREAQWAAAQRTLHGLQPPETSNLFNEKSSYRELSEIAEQAKRRAEVARLRELNTLKGHVESVVKLKGLDIDTIQQHYTGLL >KJB57692 pep chromosome:Graimondii2_0_v6:9:13602707:13605035:-1 gene:B456_009G175600 transcript:KJB57692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAWLTSLKRSVNCKPKLPDVKEPAADDSTEKNSPCLCSSGCSRSLSNLRDVIHGSKRQADKAPRIGSPTSIASSEIINQITHQDVFTDSKCVLEIKTQCSGNDDGKGGSTFVGTLRPGTPGPGERFMEPAYNSRRSRKIIAGPSLMRSSCGISSSKPRRSLDFESQRYVCQKCGEIFKKLEAIESHHLSTHAVTALSEGDSSKKIVELICQTSFLEPENKFGEIERILKIHNMQRTLVQFEDYREMVKLKANKLSKKHPRCLADGNELLRFYGSTVACSLGMENTSSLCTLDQCEVCQILRQGFFSKKEANGCWGVLTSSTSKRAMESVELDKEQHSQRKALVVCRVIAGRVVKGLEKMREMEGQSSFDSVAGKADCHSNIEELYSLSPRALLPCFILIICKN >KJB58765 pep chromosome:Graimondii2_0_v6:9:17585381:17589076:-1 gene:B456_009G225300 transcript:KJB58765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNACSHRQIFLSLCFLFCFTFPCYVKSDELQILLTLKSALNKSSTDVLDSWAATGSVCSFNGITCDAGGSVKEIELSSQKLTGVLPLDSICQLQSLDKLSMGHNSLYGAITEDLNNCSKLRYLDLGNNPFSGPFPDISALSELQYLYLNGSGFSGRFPWKSLENMNNLTVMSLGDNPFDRTPFPDQIVKLKKLNWLYLANCSIEGKIPPAIGDLTELKDLELQLNYLSGDIPSEIGKLRKLWQLELYANELTGKLPAGLRNLTSLEYFDASSNHLEGDISEVKYLTNLVSLQLFENRFNGGVPPELGEFKKLVNLSLYTNMLTGPLPQKLGSWADFDYIDVSENLLTGPIPPDMCKKGTMRGLLMLQNRFTGEIPTTYASCATMKRFRVSNNSLSGIVPAGIWGLPQVEIIDIAYNRFEGPITSDIKNAKEIGILSAEFNRLSGELPKEISGATSLVKIELNDNQMSGEIPDGIGELKALSSLKLQNNMFSGPIPDSLGSCASISNINVANNSLSGKIPSSLGSLPTLNSLDLSRNELSGRIPESLSFLRLNLFDLSYNRLTGPVPQSLAVEAYNGSLAGNPGLCSSTIKSFKQCPPDSGMSKHVRTLIVCLAVGAIMLASLGCILYLRRKEKDHNRSLKEESWDVKSFHVLTFTEDDILDSIKQENLIGKGGAGNVYKVMLSNGVELAVKHIWNTDSHGRWKSRSSTPILGRRSGKEKEFDAEVQTLSSIRHVNVVKLYCSITSEDSSLLVYEYLPNGSLWDRLHTSRKMELDWDTRYEIAVGAAKGLEYLHHGCERPVIHRDVKSSNILLDEFLKPRIADFGLAKIVQANGGKDSTHVIAGTHGYIAPEYGYTYKVNEKSDVYSFGVVLMELVSGKRPIEPEFGDNKDIVSWVSSKLKNKESVLRIVDPRIPVAFKEDAVKVLKIAILCTTQLPALRPTMRSVVQMLEEAEPCKLVSIVINKDGEVKKKEAMDSADQFNL >KJB54070 pep chromosome:Graimondii2_0_v6:9:1512582:1514505:-1 gene:B456_009G019600 transcript:KJB54070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIITRDESDYDSSSSSITVPDSSRSWMSNLSFGSRRSSVSLCSVETSLYTSHKPHKANQAAWEAMKRLKRAKGKVGLDHFRLLRRLGSGDIGNVYLCQIRNPVVGLPQCFYAMKVVDREALAIRKKLQRADMEKEILGMLDHPFVPTLYAEFEASHYSCLVMEYCPGGDLYVARQRQPGKRFNISSAKFYAAEILLALEYLHMMGIVYRDLKPENVLVREDGHIMLSDFDLSFKCDVVPKLLRRSKPNLEDNEDDDNFCLTPSCASPMQPVLSCFSSSNRKKKGSRVTTITEQADSSAAAQEVGPELVAEPLNAKSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFLYEMLYGRTPFKGENNEKTLINILKQPLSFPRISVKSGKEFEDMVKVQDLISKLLVKNPKKRIGSMKGSVEIKRHEFFKGVNWALIRSVRPPEVPSDLYKVRSSRVHIPKLSKQERDAPYQIPRHFDYF >KJB53990 pep chromosome:Graimondii2_0_v6:9:1211252:1215292:1 gene:B456_009G015100 transcript:KJB53990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENVPPVQTHDHEALTSPLLPHYQRASNSTSQLAIVGVNVCPIESLDYEIAENDFYKQDWRARDKIQNFQYIFMKWLLCFLIGCIVSVVGFCNNLAVENIAGVKFVITSNMMLTGRYEMAFLVFFLSNLVLTLFAAIITTFISPAAAGSGIPEMKAYLNGVDAPGIISLRTLIVKIIGSISAVSSSLLVGKAGPMMHIGACIASLLGQGGSRRYGLTWKWIRFFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALEEMASWWTSGLLWRAFFTTAVVAIILRALIDVCLSGKCGLFGKGGLIMFDVYSANISYHMQDVPPVLLLGVVGGILGSLYNFLLDKVLRVYAIINKKSVTYKIFIACTISIFTSCLLFGLPWLASCQPCPLDSTEDCPTIGRSGNYKKFQCPPGHYNDLASLIFNTNDDAIRNLFSKNTDAEFQHSTMLIFFVTCFILSIISYGVVAPAGLFVPVIVTGASYGRFVGMLFNSHTNLNHGLFAVLGAASFLGGSMRMTVSLCVIILELTNNLLLLPMIMIVLLVSKTVADAFNGNIYDLIMKAKGFPYLEVHVEPYMRQLTVADVVTGPLQVCHGNEKVGNIVHILKTTNHQGFPVIDEPPHSESPVLYGLILRAHLITLLKKRVFLRTPVRIGSDAFRHFSADEFAKKGLGNVDKIEDIKLTEEEMEMFLDLHPFTNASPYTVVETMSLAKASTLFREVGLRHLLVIPKRTTGSPVVGILTRHDFMPEHVLGLHPLLVNSKWKPLRIALPRFLKIF >KJB57170 pep chromosome:Graimondii2_0_v6:9:11534496:11540531:-1 gene:B456_009G151600 transcript:KJB57170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTRLDLRFHNSGSTESEESALDLERNCCNHVNMPSSSPSPIQPFASGTQHPESNAAYFSWPTSSRLIDAAEDRANYFGNLQKGVLPETLGRLPSGQQATTLLELMTIRAFHSKKLRRFSLGTAIGFRIRRGVLTDIPAILVFVARKVHRQWLSQFQCLPAALEGPGGVWCDVDVVEFSYYGAPAATPKEQLYTELVDGFRGSDPVIGSGSQVASQETYGTLGAIVRSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDLWYGIFAGINPETFVRADGAFIPFADDFNMNNVTTTIKGIGQICDVHIIDLQSPISSLIGRQVVKVGRSSGLTIGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLKGQNGEKPQPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLITTNEGLQAAVQDQRNASAAGMDSTVGESSPLVRVPSKDRIEENLEPINLNIQQVLTEDKSWQGLVLPIMCTEHRAEDRVEAGSNVEHQFIPSSNGRSPVNNQQGNGNVESRNLSALRNGSDEEIYVSLQLGEPEPKRRKYSVASKT >KJB59329 pep chromosome:Graimondii2_0_v6:9:20194372:20200722:1 gene:B456_009G249500 transcript:KJB59329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEEGTINSEGAEIGEEIRCFKIEPMNNGFGSEFANDSGDGSSGASENLRTYKRRRQLRSSSNIEVQDGGRASIDQVTLPFTDHCASLNDSNYRLQRKWRNVVLENMHQFLSDDEGGIRRSIQDALLFHQENGCNVTVKDSDTCHEDKQNCSPQAGQIPNGTQHTAEKLEGVIISNGSYKELNTQTTTERCQRVFFDVIISEKFTTLCKLLLENFQGIKLDNLFHLSLINSRMKEGEYEQSPMLFTSDIQEVWRKLQGLGSEIISLAKSLSNITSASCSEQVGCSGGSAEKEKHEFCTRESETLAKPEQIEACGVFKVCTCRYCGEKADGKDCFVCDSCEEMYHVACIEPAVKMIPRKSWYCASCTGNGMGSPHENCVICNRLNAPRTLNSNVADENYNEHFETFTELEENSNCSVGNGLQLSPGSKTRRVCKICGGNFVKGEELRSCEHPYCPNKYYHVRCLTMKQLKTYCSRWYCPSCLCRACLADKDDDKIVLCDGCDAAYHIYCMKPPRTSIPSGKWFCRKCDAGIQRIQRAKRAYESKLKMKGVGGKMAYGNLELSPNQREKEESDRSRGGMDMLLSAASTLHFEEKLNATRKKS >KJB59330 pep chromosome:Graimondii2_0_v6:9:20195401:20200722:1 gene:B456_009G249500 transcript:KJB59330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQFLSDDEGGIRRSIQDALLFHQENGCNVTVKDSDTCHEDKQNCSPQAGQIPNGTQHTAEKLEGVIISNGSYKELNTQTTTERCQRVFFDVIISEKFTTLCKLLLENFQGIKLDNLFHLSLINSRMKEGEYEQSPMLFTSDIQEVWRKLQGLGSEIISLAKSLSNITSASCSEQVGCSGGSAEKEKHEFCTRESETLAKPEQIEACGVFKVCTCRYCGEKADGKDCFVCDSCEEMYHVACIEPAVKMIPRKSWYCASCTGNGMGSPHENCVICNRLNAPRTLNSNVADENYNEHFETFTELEENSNCSVGNGLQLSPGSKTRRVCKICGGNFVKGEELRSCEHPYCPNKYYHVRCLTMKQLKTYCSRWYCPSCLCRACLADKDDDKIVLCDGCDAAYHIYCMKPPRTSIPSGKWFCRKCDAGIQRIQRAKRAYESKLKMKGVGGKMAYGNLELSPNQREKEESDRSRGGMDMLLSAASTLHFEEKLNATRKKS >KJB62311 pep chromosome:Graimondii2_0_v6:9:61968459:61972529:1 gene:B456_009G410800 transcript:KJB62311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETASNSAPSTTTTAEAPAPQETKKTTEAPVRWADLEDEASEEPSALSEDKGAPELGVENLKIDESKKINKFLDEPEDSNIKAVTAGETPYTSAFTFEELNLSPELLKGLYVEMKFEKPSKIQAISLPMILTPPHLDLIAQAHNGSGKTTCFTLGMLSRVDPNLKAPQALCICPTRELAIQNLEVLRKMGKHTGITSECAIPMDSSNYIPINKRAPVIAQVVIGTPGTIKKWMSAKKLGVSNVKILVFDEADHMLAEDGFKDDSLRIMRDIEKMSSHCQVLLFSATFSDTVKNFVSKIVKRDHNQLFVKKEELSLESVKQYKVNVPDELSKVLVIKDRILEFGERLGQTIIFVRTRNSASMLHKALVEFGYDVTTIQGALNQAERDKIVKEFKDGLTQVLISTDLLARGFDQQQVNLVINYDLPVKHDNRVEPDCEVYLHRIGRAGRFGRKGSRVQLTLW >KJB62310 pep chromosome:Graimondii2_0_v6:9:61968396:61972555:1 gene:B456_009G410800 transcript:KJB62310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETASNSAPSTTTTAEAPAPQETKKTTEAPVRWADLEDEASEEPSALSEDKGAPELGVENLKIDESKKINKFLDEPEDSNIKAVTAGETPYTSAFTFEELNLSPELLKGLYVEMKFEKPSKIQAISLPMILTPPHLDLIAQAHNGSGKTTCFTLGMLSRVDPNLKAPQALCICPTRELAIQNLEVLRKMGKHTGITSECAIPMDSSNYIPINKRAPVIAQVVIGTPGTIKKWMSAKKLGVSNVKILVFDEADHMLAEDGFKDDSLRIMRDIEKMSSHCQVLLFSATFSDTVKNFVSKIVKRDHNQLFVKKEELSLESVKQYKVNVPDELSKVLVIKDRILEFGERLGQTIIFVRTRNSASMLHKALVEFGYDVTTIQGALNQAERDKIVKEFKDGLTQVLISTDLLARGFDQQQVNLVINYDLPVKHDNRVEPDCEVYLHRIGRAGRFGRKGAVFNLLCGDMDQMIISKIENHFDSKIAEVPDWRNEEDFKAALRSAGLL >KJB53545 pep chromosome:Graimondii2_0_v6:9:6537490:6539261:1 gene:B456_009G089700 transcript:KJB53545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQQSMLAK >KJB53546 pep chromosome:Graimondii2_0_v6:9:6537371:6539261:1 gene:B456_009G089700 transcript:KJB53546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQQSMLAK >KJB53547 pep chromosome:Graimondii2_0_v6:9:6537490:6539261:1 gene:B456_009G089700 transcript:KJB53547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQQSMLAK >KJB61419 pep chromosome:Graimondii2_0_v6:9:46941179:46942013:-1 gene:B456_009G357900 transcript:KJB61419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YIDEIWPHKSPLRPTDPYQRAIAKFWGDFVDKNIYDLGRKVWATKGEEQKAAKKEFIECLKLLDGELGNKAYFGGENLAGAYEKRGNFSIETECPKLIAWAKRCMEKDSVSKSLPDQDKIYGFVLHLKKVFKIE >KJB61807 pep chromosome:Graimondii2_0_v6:9:52107403:52109633:-1 gene:B456_009G384100 transcript:KJB61807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLGVEPSGVTYSTLINGLCNQSKISEAVCILDEMTEKRYQPNLIVYSTMLKGLCKTGNTGRAVRFLRLMESRGCEPDIVAYSTVIDCLCKNGLLQEALDLFSEVKVKGIRPNIFTYTCLIHGMCNSGQQEEATRLLNEMVDNNISLGIVTYTLLIDALCKEGMIFKAVEIVDTMRKQGIVPDVVTYNILVDAHCKEGMVSEAEDFVDAMIKREIEPNVVTYSTLVNGHCLQNEMDKARRVFNLMIEKGCAPDIVTYSTMINGYCKGKTGHIEEALKLFQAMRNSGLELDIVPYNILIDGLCKAGHIEFAKELFHQLSDNGLKPNVVTYHIMINGLCKEGLPDEAYSLFGSMGDNDCLPDSCCYNVMIRGFLRNSYTSKATQLLTEMVGKGFSADIITATLIMDLIIYSNKSILL >KJB61806 pep chromosome:Graimondii2_0_v6:9:52107345:52109633:-1 gene:B456_009G384100 transcript:KJB61806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLPSSFILRSVVNAGSHLSNFRSVSSSSSNTIATYIEGLSMKPMSMPVRLKGKRDHRFDNVDHALSLFNKMIEKYPKPSIVEFTKLLGAIVKMKHYAIVVSKYRQIELLGVSHDVYSMNILTNCFCQLGRIDFGFSVLGKMLKLGVEPSGVTYSTLINGLCNQSKISEAVCILDEMTEKRYQPNLIVYSTMLKGLCKTGNTGRAVRFLRLMESRGCEPDIVAYSTVIDCLCKNGLLQEALDLFSEVKVKGIRPNIFTYTCLIHGMCNSGQQEEATRLLNEMVDNNISLGIVTYTLLIDALCKEGMIFKAVEIVDTMRKQGIVPDVVTYNILVDAHCKEGMVSEAEDFVDAMIKREIEPNVVTYSTLVNGHCLQNEMDKARRVFNLMIEKGCAPDIVTYSTMINGYCKGKTGHIEEALKLFQAMRNSGLELDIVPYNILIDGLCKAGHIEFAKELFHQLSDNGLKPNVVTYHIMINGLCKEGLPDEAYSLFGSMGDNDCLPDSCCYNVMIRGFLRNSYTSKATQLLTEMVGKGFSADIITATLIMDLIIYSNKSILL >KJB55127 pep chromosome:Graimondii2_0_v6:9:4581853:4583760:-1 gene:B456_009G064300 transcript:KJB55127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCACFYRPVIVAKSIDQKDMSFVSTNRVAFGAGVLSQATTTAGSKGSKVLAVRASAVDSYGSSSDFVERMEKAWLISQQPRPVVCSSCNSKGHVECQWCRGTGFFILGDNMLCQVPSRNTTCVICAGKGSTCCSDCKGTGFRAKWLGEPPLSK >KJB56411 pep chromosome:Graimondii2_0_v6:9:8808605:8810905:-1 gene:B456_009G119100 transcript:KJB56411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLRICISLTVIWCLLEMGASNPQTVLLNQGCSMFNVTSFSGFSRNLNATLTELRGQLDKGMHFAVAQEATGSDPVYAMVQCRNYMSRNDCISCFSTASSQIRNCSAANGARVIFDGCFLRYESNMFYQESTQVGNLGICGGSTASQQNVFGTTVERLLGDLVKATPKIDSFFAASREEVGGVNGNVTAYGVAQCMETIDEKGCMECLKVALANIQRCPPDSDGRAVDTGCFLRYSDSPFFGANYTIDLKPFLKTRNTTKRDALIGGLVGGGGLLLLITLLLFWVRKSRNNMAVPQEDVEAPPELQGPLTYTYKELNFATTNFSQQNKLGEGGFGEVYKNGRVVAVKKLAISKSEKVKAEFDTEVKLISNVHHRNLVRLVGCCSKGPELLLVYEFMPNGSLDKHLFGEGHGSLNWKQRFDIIVGTAKGLAYLHEEFHACIIHRDIKPSNILLDRDLQPKIADFGLVRLLPEDQTHLSTKFAGTFGYTAPEYAIHGQLSVKVDTYSFGVVVLEIISGLKNNETSLDPTAEFLLKRVL >KJB56413 pep chromosome:Graimondii2_0_v6:9:8808149:8810905:-1 gene:B456_009G119100 transcript:KJB56413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLRICISLTVIWCLLEMGASNPQTVLLNQGCSMFNVTSFSGFSRNLNATLTELRGQLDKGMHFAVAQEATGSDPVYAMVQCRNYMSRNDCISCFSTASSQIRNCSAANGARVIFDGCFLRYESNMFYQESTQVGNLGICGGSTASQQNVFGTTVERLLGDLVKATPKIDSFFAASREEVGGVNGNVTAYGVAQCMETIDEKGCMECLKVALANIQRCPPDSDGRAVDTGCFLRYSDSPFFGANYTIDLKPFLKTRNTTKRDALIGGLVGGGGLLLLITLLLFWVRKSRNNMAVPQEDVEAPPELQGPLTYTYKELNFATTNFSQQNKLGEGGFGEVYKNGRVVAVKKLAISKSEKVKAEFDTEVKLISNVHHRNLVRLVGCCSKGPELLLVYEFMPNGSLDKHLFGEGHGSLNWKQRFDIIVGTAKGLAYLHEEFHACIIHRDIKPSNILLDRDLQPKIADFGLVRLLPEDQTHLSTKFAGTFGYTAPEYAIHGQLSVKVDTYSFGVVVLEIISGLKNNETSLDPTAEFLLKRAWGLYQDNMAMEIVDRSLDPEEYDIDEMQRMIEIAFLCTQSSASLRPTMSEVVTMLRTVSSLEPRQPTRPAFIESDRRVEIIPQDNRSTSTSSSNATNSISQVSGR >KJB56410 pep chromosome:Graimondii2_0_v6:9:8808867:8810905:-1 gene:B456_009G119100 transcript:KJB56410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLRICISLTVIWCLLEMGASNPQTVLLNQGCSMFNVTSFSGFSRNLNATLTELRGQLDKGMHFAVAQEATGSDPVYAMVQCRNYMSRNDCISCFSTASSQIRNCSAANGARVIFDGCFLRYESNMFYQESTQVGNLGICGGSTASQQNVFGTTVERLLGDLVKATPKIDSFFAASREEVGGVNGNVTAYGVAQCMETIDEKGCMECLKVALANIQRCPPDSDGRAVDTGCFLRYSDSPFFGANYTIDLKPFLKTRNTTKRDALIGGLVGGGGLLLLITLLLFWVRKSRNNMAVPQEDVEAPPELQGPLTYTYKELNFATTNFSQQNKLGEGGFGEVYKNGRVVAVKKLAISKSEKVKAEFDTEVKLISNVHHRNLVRLVGCCSKGPELLLVYEFMPNGSLDKHLFGEGHGSLNWKQRFDIIVGTAKGLAYLHEEFHACIIHRDIKPSNILLDRDLQPKIADFGLVRLLPEDQTHLSTKFAGTL >KJB56412 pep chromosome:Graimondii2_0_v6:9:8808149:8810905:-1 gene:B456_009G119100 transcript:KJB56412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLRICISLTVIWCLLEMGASNPQTVLLNQGCSMFNVTSFSGFSRNLNATLTELRGQLDKGMHFAVAQEATGSDPVYAMVQCRNYMSRNDCISCFSTASSQIRNCSAANGARVIFDGCFLRYESNMFYQESTQVGNLGICGGSTASQQNVFGTTVERLLGDLVKATPKIDSFFAASREEVGGVNGNVTAYGVAQCMETIDEKGCMECLKVALANIQRCPPDSDGRAVDTGCFLRYSDSPFFGANYTIDLKPFLKTRNTTKRDALIGGLVGGGGLLLLITLLLFWVRKSRNNMAVPQEDVEAPPELQGPLTYTYKELNFATTNFSQQNKLGEGGFGEVYKNGRVVAVKKLAISKSEKVKAEFDTEVKLISNVHHRNLVRLVGCCSKGPELLLVYEFMPNGSLDKHLFGEGHGSLNWKQRFDIIVGTAKGLAYLHEEFHACIIHRDIKPSNILLDRDLQPKIADFGLVRLLPEDQTHLSTKFAGTFGYTAPEYAIHGQLSVKVDTYSFGVVVLEIISGLKNNETSLDPTAEFLLKRIIWQWK >KJB57494 pep chromosome:Graimondii2_0_v6:9:12852393:12853730:1 gene:B456_009G167000 transcript:KJB57494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPKLFSMSILFLFALFSMQIHAREYFSKFPRVNTNEKETTTREQEHETFVPQTTQKPGEQEPRFIPETQNGYGLYGHESGSGSSRPSFTTKETYEPYVTPVRFHPDEPYNSIPESSNNKDTYYYNKNAYKSTKQQNLGEAIFTEKGWSTKENQNNNYYNGNINGEKQGMSDTRYHQNQEEFEESEEEFEP >KJB57496 pep chromosome:Graimondii2_0_v6:9:12852548:12853730:1 gene:B456_009G167000 transcript:KJB57496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESTSANSQEFIPETQNGYGLYGHESGSGSSRPSFTTKETYEPYVTPVRFHPDEPYNSIPESSNNKDTYYYNKNAYKSTKQQNLGEAIFTEKGWSTKENQNNNYYNGNINGEKQGMSDTRYLENGKYYYDVKSENNYYPNQFDKSRGVASRNEFDENRYNNMGRYHQNQEEFEESEEEFEP >KJB57495 pep chromosome:Graimondii2_0_v6:9:12852393:12853730:1 gene:B456_009G167000 transcript:KJB57495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPKLFSMSILFLFALFSMQIHAREYFSKFPRVNTNEKETTTREQEHETFVPQTTQKPGEQEPRFIPETQNGYGLYGHESGSGSSRPSFTTKETYEPYVTPVRFHPDEPYNSIPESSNNKDTYYYNKNAYKSTKQQNLGEAIFTEKGWSTKENQNNNYYNGNINGEKQGMSDTRYLENGKYYYDVKSENNYYPNQYHQNQEEFEESEEEFEP >KJB54487 pep chromosome:Graimondii2_0_v6:9:2652714:2653960:1 gene:B456_009G035800 transcript:KJB54487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPIFFIFSFLFSSTNAADFCVGDLNGPVGPAGYSCKKTATEDDFSFSGLRTAGNTTNLVKAAITPAFSTQFPGVNGLGISIARLDLAVGGVIPMHTHPRASELILVMDGTLFAGFISSDNKVYSKSLNEGDIMIFPQGLLHFQINTGKTQSVAFVSFNSADPGVQILDFALFTNDLPTDIIEGTTFLDAAQIKKLKGVLVGTG >KJB56664 pep chromosome:Graimondii2_0_v6:9:9822436:9824004:1 gene:B456_009G130500 transcript:KJB56664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQRSAVSFRRQGSSGLVWDDKFLSELNQGKPEEQQQEGDTKQLQKEEPRQLQDSRNPEKFDDVKGVAPINTIERNRSNGERRGYRTGGKVSPAIDPPSPKVSACGFCSALGKQTKNRRKKPRKHRTK >KJB60996 pep chromosome:Graimondii2_0_v6:9:35406210:35407411:1 gene:B456_009G334600 transcript:KJB60996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEFYITKRVLAESKKGPCKVTNHVDSCWRCDPDWEKNRKKLADCALGFAQGTTGRKDGEFYVVADPIDNAADPKPGTLRHAVTQTGPLWITFKGDKTIDARGANVEICNGAGITIQFAKNIIIHGLQIHHIIPTKGGKIKNGENHHGLRGDSDGDWVSLFGATNIWLDHLSLHHCVDGLIDVVQGSTAVTVSNYHFTDHNDCRQEDAGHCCFEHFGKGLVERMPRCRFGFIHVVNNDYNHWFLYAIGETSNPTIISQGNRYSAPGFGAKEVTYRGLLKPGQWKNWNWVSEGDHFENGAFFTPSGNPSASKQFGGDKMMPFKPGQMVPELTKYARPLSCTIGCPC >KJB58401 pep chromosome:Graimondii2_0_v6:9:16176375:16179693:-1 gene:B456_009G208600 transcript:KJB58401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNDFFAGEIATELLKQLLSISRKSCLCKSSADNLITSIEELLPIINEIKYSGVELSAIRQSQLDRFSETLRGGLELARKVLASGRWNVYKNLQLARKMERLEKQVSRFVSGPMQAHLLADVHHMRFETMERFDRLEGRLEQRLNSMKIGAGGWVEEAVKRMEVEEEASLGIFNGVGLDLGKSKVKKMIIGRDDLNVVGICGIGGSGKTTLANEICRDNQVRSYFNNRILFLTVSQSPDLQQLRARIWGFLTGNEAMGYTNNLFVPQGKLQCEWGSGPRTLVVLDDVWSLSALEQLIFRIPTYKTLVVSRFKFPTPVVNEVYNVELLREDESLSLFCHSAFGQKWIPPTANETLVKQIVHECKGLPLALKVIGASLRDQPEMYWASAKKRLLRGEPICESHENKLLERMAISVEYLNKKVKECFLDLGSFPEDKKIPLDVLINMWVEIHDIDEEEAFAILVELSDKNLLTLVKDPRVGDAYSSYYEICVTQHDVLRDLALHLSNQGDVNERKRLLMPRRDTELPREWERNTDQPFNAQIVSVHTGEMREMDWFRMEFPKAEVLILNFSSNEYFLPPFIDDMPKLRALIVINYGTNEAILENFSVLTNLANLRSLWLEKTWVPQLTNPTVHMKNLRKLSMVLCKVNNSFNPSVIDLPLIFPRLLELIIDHCHDLIKLPSSICEVNSLQNLSITNCHRLCELPADLGMLKKLQVLRLYACPELKMLPPRIGELIGLKYLDISQCFNLRCLPGEIVRLASLEKIDMRECSQIVSLPPRTALSNMKSLRRIICDDEVSWQWRYLEKTNPNLHVQVAEKCYSLDWLDG >KJB54313 pep chromosome:Graimondii2_0_v6:9:2197941:2199881:-1 gene:B456_009G028700 transcript:KJB54313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSWLLLAFILWQIIPNEAAIAVGGGVGIGIGNAGGVGGGGVWIGGGIGSNTPTPSVPSVSKLSSAYTALQAWKSAIADDPMRILETWVGSDVCSYKGVFCADPQGGVASEPFVAGIDLNHANLLGTLVSELSVLTDISILHLNSNRFSGTVPDSFRELSSLQELDLSNNRLSGPFPTVTLYMPNLVYLDLRFNSFSGPVPQDLFNKGLDAIFLNDNQFEGEIPQNLGNSPASVINLANNKFSGNIPSSFGLLSSKLKEILLLNNKLTGCIPEGIGLFSEMQVFDVSHNSLMGHLPDTVSCLNDIEVLNLAHNQLSAKNAPNYSSEMAVLISPSIASLVETCKDHNQSALESQEVG >KJB56223 pep chromosome:Graimondii2_0_v6:9:8101807:8104125:1 gene:B456_009G110900 transcript:KJB56223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLFCLPFMAPVFCLLLAFFMIASGNAQGPPSPGYSPSSRISSVTFNQGFRNLWGPQHQRVDQGSLTIWLDKTSGSGFKSLEPYQSGYFGAAMKLQPGYTAGVITSLYLSNNEDHPGNHDEIDIEFLGTTPDKPYTLQTNVYIRGTGDGNLIGREMKFHLWFDPTKDYHNYAILWTPSEIIFLVDDVPIRRYTRKSDATFPTRPMWVYGSVWDASSWATENGRYKADYSYQPFVGRYTNFKISGCTANSPASCRPPSASPSGSGSLSRQQVSAMNWVQRNYLVYDYCHDPKRDHTQTPEC >KJB61037 pep chromosome:Graimondii2_0_v6:9:36486361:36491207:1 gene:B456_009G336700 transcript:KJB61037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRAQYAFMVLMIGSIASFQGVVGDARAQVLNMTCSSQNENNRTLFVPNFISLMETISDLMRQFGFGTAVSGSGPDANYGLAQCYGDLSLLDCPLCYAEARTVLPQCYPYNGGRVFLDGCFMRSANYSFYDEYLGPTDMVLCGNASHKGSNFQASARQAVSHAVASALGNRGYGKASVAVSGTNETAHVLVDCWRSLNQSSCQACFDNASAAMLRCLPWSEGRALYTGCFMRYSNIDFINKEPSNGISRGTIIIIVISAVSSLVVLAVIVAIGVYIRKRRYIQKKRGSNDAEKWVKVLRDSNLNFKYSTVEKATGSFNEANKLGQGGFGTVYKGALPDGREIAVKRLFYNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLIYEFLPNKSLDHFIFDPSRGKELTWEKRYQIIIGTVEGLVYLHENPTNKIIHRDIKASNILLDLKFRAKIADFGLARSFEEDKSHISTAIAGTLGYMAPEYLANGQLTDKADVYSFGVLLLEIITGKQNNRSKDTDYSDSIIAVAWNHFQSGTAEEIYDPNIMLNENSQSSNTKNQVYRVLHIALLCTQEVRSLRPSMSKVLQMLTKKEEDLPAPTPPPFMDEKTMEFNDMSENEFYPLNAGGTDSIATVAHSSFYAR >KJB61038 pep chromosome:Graimondii2_0_v6:9:36486578:36491082:1 gene:B456_009G336700 transcript:KJB61038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRAQYAFMVLMIGSIASFQGVVGDARAQVLNMTCSSQNENNRTLFVPNFISLMETISDLMRQFGFGTAVSGSGPDANYGLAQCYGDLSLLDCPLCYAEARTVLPQCYPYNGGRVFLDGCFMRSANYSFYDEYLGPTDMVLCGNASHKGSNFQASARQAVSHAVASALGNRGYGKASVAVSGTNETAHVLVDCWRSLNQSSCQACFDNASAAMLRCLPWSEGRALYTGCFMRYSNIDFINKEPSNGISRGTIIIIVISAVSSLVVLAVIVAIGVYIRKRRYIQKKRGSNDAEKWVKVLRDSNLNFKYSTVEKATGSFNEANKLGQGGFGTVYKGALPDGREIAVKRLFYNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLIYEFLPNKSLDHFIFDPSRGKELTWEKRYQIIIGTVEGLVYLHENPTNKIIHRDIKASNILLDLKFRAKIADFGLARSFEEDKSHISTAIAGTLGYMAPEYLANGQLTDKADVYSFGVLLLEIITGKQNNRSKDTDYSDSIIAVVSFRQSLFL >KJB61036 pep chromosome:Graimondii2_0_v6:9:36486463:36491082:1 gene:B456_009G336700 transcript:KJB61036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRAQYAFMVLMIGSIASFQGVVGDARAQVLNMTCSSQNENNRTLFVPNFISLMETISDLMRQFGFGTAVSGSGPDANYGLAQCYGDLSLLDCPLCYAEARTVLPQCYPYNGGRVFLDGCFMRSANYSFYDEYLGPTDMVLCGNASHKGSNFQASARQAVSHAVASALGNRGYGKASVAVSGTNETAHVLVDCWRSLNQSSCQACFDNASAAMLRCLPWSEGRALYTGCFMRYSNIDFINKEPSNGISRGTIIIIVISAVSSLVVLAVIVAIGVYIRKRRYIQKKRGSNDAEKWVKVLRDSNLNFKYSTVEKATGSFNEANKLGQGGFGTVYKGALPDGREIAVKRLFYNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLIYEFLPNKSLDHFIFDPSRGKELTWEKRYQIIIGTVEGLVYLHENPTNKIIHRDIKASNILLDLKFRAKIADFGLARSFEEDKSHISTAIAGTLGYMAPEYLANGQLTDKADVYSFGVLLLEIITGKQNNRSKDTDYSDSIIAVAWNHFQSGTAEEIYDPNIMLNENSQSSNTKNQVYRVLHIALLCTQEVRSLRPSMSKVLQMLTKKEEDLPAPTPPPFMDEKTMEFNDMSENEFYPLNAGGTDSIATVAHSSFYAR >KJB61144 pep chromosome:Graimondii2_0_v6:9:40223153:40224432:1 gene:B456_009G343100 transcript:KJB61144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQSQLSDVSSDSIPLLLVAIIANCVGYLRRLLFGSLHLIGLLPCPDQPTTIDDVGVLGSGLASLIVLAEQLNLNKAFSYKYCGGGGGKGSDCVVCLCSLRDGEQVRKLDCCHVFHKDCFDGWLDQLKFNCPVCRSPLKIDQRVGFTRRRVGEDLLAWFSLG >KJB62648 pep chromosome:Graimondii2_0_v6:9:66923912:66927380:1 gene:B456_009G427500 transcript:KJB62648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDVHGLPFSCLFSFLFCQFAPPFLFGFQIKRIRPRLVGTLFILGRISMPLFGWFPQMRNRDSVLNALNGHSDHGRIEIKKILPKISFTLSLQMRSKLLLLSQHYTFRFGDKVQQMKIY >KJB56225 pep chromosome:Graimondii2_0_v6:9:8112736:8114010:1 gene:B456_009G111100 transcript:KJB56225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QMCIYIIIGANSQNFANTGALVVSVKNFPESRGSLIGLLKGHAGLSGAIVTQIYLAVYGNDSKSLILLIGWLPALVFVYTIRPLRPVKHPNEGRVFHHFLLISIVLAVFLMAITLTEKVITFSKAAYAGITTVACVLLFAPLFIAIREELLVWKLKKQPIIPPPTKTTINTPKGEVDKSKQEAMFDDKSCFLTLCDKPKKGEDYTILQALTSIDMCILFFATFCGPGSSLTAVDNLGQIGESLGYPNKTVTSFVSLVCIWNFLGRVFSGFVSEILIVKYKLPRPLMMAIVFLISCVGYLLVAFPAPGTLYVASIIIGFSFGAQLPLIFAIISELFGLKFFSTLFNCEQMASPLGSYILNVKLTGPLYDREAMKDLTAKGLTRSQAKELTCIGPWCYRLPFIILTSVTFIGALSSLVLAKFLFQL >KJB55407 pep chromosome:Graimondii2_0_v6:9:5343679:5344657:-1 gene:B456_009G074600 transcript:KJB55407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFQEDLHPGKRVNLPLLAKTWDLTTFLPQQVARSIPFSYNEFPQILNLFSLDPESMEANDMEQTINVCEREGLRGEEIFCATSFESFVDSSVSKLGKNIQLLANELAKETNNPVFTIGRGIQNMGEEELVCHKMSYPYAVFLCHSIDSTVVYKVPLVGMDGTKAKALVICHKDTSAWSPNHPVFEILKVKPGTVPICHFAVRDTLAWVRK >KJB62665 pep chromosome:Graimondii2_0_v6:9:67315416:67316386:-1 gene:B456_009G428900 transcript:KJB62665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFITTQKPIKFLHVFLTFVSLISRSLSSSPPPPSIHDLLLSRGLPKGLLPKEVKSYTLSDNGTLEVFLDEPCLTKYENRVFFDSVVRANLSYGSLIGVVGLSQEELFLWLPVKDIIVDDPKSGLILFDIGLAYKQLSLSLFEEPPNCKPQGILKNEVRKQKGFEALR >KJB55726 pep chromosome:Graimondii2_0_v6:9:6695188:6698262:-1 gene:B456_009G091700 transcript:KJB55726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C 5 [Source:Projected from Arabidopsis thaliana (AT5G58690) UniProtKB/Swiss-Prot;Acc:Q944C2] MGYMGDYSMCICFPKKFGVTEAGPPVDVKEVFMKYATGGGSGMTVEQLRRFLVEVQGDVEASMEDAERIVEEVFKRRHNNVKLPELALSLEDFQFYLFCVDLNPPLLNKVHQDMTAPLSHYFIYTGHNSYLTGNQISSDCSDVPIIKALKRGLRVVELDLWPNSSKDDVLVLHGWTLTTPVELIKCLRSIKEHAFSASPYPVIITFEDHLTPDLQAKVAQMVIQTFGNMLFCPPESDCVKEFPSPEELKYRIVISTKPPKEYLEDKNLSSRGSNSLKDKDSDEDTWGRMSADLTNDDDKSDCDASEHSQCDGDNEACDQLLRPLGAPAYKNLISIPAGKPKGKLREKLKVEKDKVRRLSLSEQKFEKATVCHGTDVVRFTQRNILRIYPKGTRVNSSNYNPLIGWMHGAQMVALNMQGYGKPLWLMHGMFGSNGGCGYVKKPDFLMNVGPNDQVFDAKAKLPVKKILKVKVHMGDGWHLDFKQRYLNLWSSPEFYTRVGIAGVPADKTMKKTKKRKGNWTRVWDEEFTFQLTVPEIALLRIEVHEYNMSEKDDFAGQTCLPVSELRPGFRAVPLFNRKGEEYTSLRLLLRFEFVQVDI >KJB53803 pep chromosome:Graimondii2_0_v6:9:534743:535853:1 gene:B456_009G006100 transcript:KJB53803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQNQSNFETKIPAEILIARVIQIHDRISKLESLRPSKQVNTLFSHLVKLCTLPSNIDIKAIPQDVQAMRENLILLCGHAEGLLELEFATFISKISRPLNNLDLFPYYNNYVELARLEYRILSDNGVVQPKKVAFVGSGPMPLTSFVMATHHMKSTHFDNYDIDEVANDVARRIVASDNEFEKRMKFVTSDIMEVKEKLMEYDCIFLAALVGMRKDRKLKIIEHVRKHMKAGGYLLVRSANGARAFLYPEVDEVDLPGFEVLSVFHPTNEVINSVVLVRKPFFDN >KJB56737 pep chromosome:Graimondii2_0_v6:9:10051344:10053372:1 gene:B456_009G133300 transcript:KJB56737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMDDIEDDARYPPNQYGVSHQRCYGSLSRRKLPVRNFPYSRSMGNQDVNVDDEVEDEEDFRGEAKNFEQNNVVWYIGKNMDNEDDDELDDGEDDDDDGSDKPKGYNENSNDVDLERHPKKRKLKNLLLSYEFAPRVPAPAVAASSMPKPSHGGRNSLTDWTEHETFVLLDAWGGRFLQRGRKSLQSEEWQEVAEKVSKVSMIERTDTQCRNRLDTLKKKYKKEKAMLAETSATTSKWVYFKKVDMSSTARWAYSNCANGMDKMRDSPADSESADSDEDISDGQPPKKRRFGRQYDEGSSFRMLADSIHKFSNIYEKIENSKRHQMLELEKMRMEIHMELEMQKRRIMENAQAEIVKIQQGDEEDNDVSIENASG >KJB58230 pep chromosome:Graimondii2_0_v6:9:15508206:15509078:-1 gene:B456_009G200200 transcript:KJB58230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFEFDNVKAEKQDALWRYKMERKLRMGLSFIGFLLVLFLLSWPLFPTLIPDTVEAAGDFLRYLVSTFNKPLFTFVLLNIIILAVFVLSTQNQTQKLTTTPDIYGEYVSSRRCMQTSAASTSAPVTEETTVDKQIILVENAAALNSRAKPQRTTTGTGRDTVTETKRSLSPVKEQHQPTENRTVTRTKPLCSSTEMMNQKEYRRTRSMVSESRSQRPREFRRSETAMFSRELVVTDAEPPRKSMDEMSSEEFRSIVDSFIAEKKKTLMQENTARNTRRKDKCMSIVVKN >KJB56016 pep chromosome:Graimondii2_0_v6:9:7578096:7580847:1 gene:B456_009G104600 transcript:KJB56016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVAPGNAGGHFGDFPAFHTYGGQFIQYSIFGNLFEITSKYRPPIMPIGRGAYGIVCSVLNSETNEMVAVKKIANAFDNHMDAKRTLREIKLLRHLDHENVIAIRDVIPPPLRRDFTDVYIALELMDTDLHQIIRSNQSLSEEHCQYFLYQLLRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPASENEFMTEYVVTRWYRAPEILLNSSDYTAAIDVWSVGCIFMELMNRKPLFPGNDHVHQMRLLTELLGTPTESDLGFLQNEDARRYIRQLPAYPRQQLANVYPHVNRLALDLIDRMLTFDPTRRITVEEALAHPYLERLHDIADEPVCPEPFNFDFEQQPLGEEQMKDMIYREALALNPDYAR >KJB56017 pep chromosome:Graimondii2_0_v6:9:7578354:7579525:1 gene:B456_009G104600 transcript:KJB56017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVAPGNAGGHFGDFPAFHTYGGQFIQYSIFGNLFEITSKYRPPIMPIGRGAYGIVCSVLNSETNEMVAVKKIANAFDNHMDAKRTLREIKLLRHLDHENVIAIRDVIPPPLRRDFTDVYIALELMDTDLHQIIRSNQSLSEEHCQYFLYQLLRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPASENEFMTEYVVTRWYRAPEILLNSSDYTAAIDVWSVGCIFMELMNRKPLFPGNDHVHQMRLLTEVNYDLNKY >KJB60566 pep chromosome:Graimondii2_0_v6:9:29264988:29266137:1 gene:B456_009G313000 transcript:KJB60566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPPPPSAAPEPSMAAPETTPIGHPVFTRIRLATPSDVPFIHKLIHQMAVFERLSHLCSATESSLSSNLFLSPPFHSFTIFLLELSSSPIPPLLSPSSSFTPIEKTFNLDIPVNDPEKDTFSIYYGDQQVIIGGFVLFFPNYSTFLGKPGFYVEDLFVRECYRRKGFGKMLLSAVAKQAVKMGYGRVEWVVLDWNVNAITFYEQMGAKVLPEWRICRLTGDALQAYQNANV >KJB62459 pep chromosome:Graimondii2_0_v6:9:64978566:64980008:1 gene:B456_009G418100 transcript:KJB62459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDIEVGGEFQAKDYHDPPPAPLVDAQELAKWSFYRAVIAEFIATLLFLYITVLTVIGYKTQTDPAKGGEDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAIFYMGAQCLGAICGCGLVKAFQNSYYNQYGGGANSLAEGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIFNQDKIWDDHWIFWVGPFIGAAIAAIYHQFILRASGAKALGSFRSSSAM >KJB62460 pep chromosome:Graimondii2_0_v6:9:64978617:64980008:1 gene:B456_009G418100 transcript:KJB62460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDIEVGGEFQAKDYHDPPPAPLVDAQELAKWSFYRAVIAEFIATLLFLYITVLTVIGYKTQTDPAKGGEDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAIFYMGAQCLGAICGCGLVKAFQNSYYNQYGGGANSLAEGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHIPVCL >KJB54154 pep chromosome:Graimondii2_0_v6:9:1787629:1789559:-1 gene:B456_009G023400 transcript:KJB54154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSFSKMLDCLYLSSGSCSCFCMNTLDDDEFEKTPLVTSEKTQLLRLKDVVAGNQTLAFQLKPKMVVLRVSMHCHGCARKVEKHISKMDGVTSYKVDLENKRVVVIGDIIPFEVLESVSKVKNAELWTSPS >KJB54153 pep chromosome:Graimondii2_0_v6:9:1787629:1789570:-1 gene:B456_009G023400 transcript:KJB54153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSFSKMLDCLYLSSGSCSCFCMNTLDDDEFEKTPLVTSEKTQLLRLKDVVAGNQTLAFQLKPKMVVLRVSMHCHGCARKVEKHISKMDGVTSYKVDLENKRVVVIGDIIPFEVLESVSKVKNAELWTSPS >KJB54949 pep chromosome:Graimondii2_0_v6:9:4385225:4386428:-1 gene:B456_009G061300 transcript:KJB54949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETQRAFVSAASKTLTNGSQSRIASASSLLQFNPYATSQTHKSPFTAKIIRILSNEIENQLDYAPPHQPATSFNSFTVRDRPGEMWMTMRGKYGEYEEIKIEHWENVVLRISLLVDISKGQGYPDMEFLYSAWPDQLEIQKVYLLNRDKTIINPYMGRDLRRKKNRKLQRTLDDYLEERGVNNELCVFLHEYMMNKDRIELIQWLGNVKSIVQK >KJB60738 pep chromosome:Graimondii2_0_v6:9:31838326:31839177:1 gene:B456_009G323200 transcript:KJB60738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDEQMIKIVGDQFCVPYTMQLVVKRKVESFSNVHYDVFDTTGNSLLQVDGGVWNSKKKRVIKDPAGFPVITLRKKALSWKKQWQIYQGESSEKNHFLCSVQRSNALQMKNNLDVYLASSYMEDGPDFHVTGSFTSMSFKVWKGNSVIAEVMHNFTWGSCMGKESFKLKVYPEVDYAFILALTVIMHETDKV >KJB61843 pep chromosome:Graimondii2_0_v6:9:52347289:52348175:-1 gene:B456_009G385700 transcript:KJB61843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVNHQLSPNLAATRILARQLVRLRQQITNLQRSRSQIRGVATHTQALYASTSISTGMKGATKAMVAMNKVGT >KJB56524 pep chromosome:Graimondii2_0_v6:9:9243113:9245545:-1 gene:B456_009G123700 transcript:KJB56524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKIAAVNSAPTVGVGVVVREYDEEEDKVGVEEMERRCEIGEGGKPSLVADLLGDPICRVRHFPSHIMLVAEYGNGREIVGVIRGCIKTVTRGNSLYVKLAYILGLRVAPSHRRLGIGKKLVQKLEEWCKKNGAEYVYMATDCTNEASINLFTRKCEYTKFRTPTMLVQPVHAHYKSLGSGIAIVQLNPKLAETLYRRAFANSEFFPKDIDKILSNKLNLGTFMALPKKFLPKWDPKTGILPPSFAIMSVWSTKQLFRLQVKGVSMLTYAFCMASRVLDAWMPWMMLPSFPDVFRKFWVYFLYGLHMEGKNGPRLMKSLCAFAHNMGRDDDGCGAVVAEVGQRDPVREVIPHWRKLSMSEDLWCIKKLNYGSDEKCGLSDWLNSRPSSPLIFVDPRDI >KJB62033 pep chromosome:Graimondii2_0_v6:9:55595080:55595389:1 gene:B456_009G397300 transcript:KJB62033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVAGLEINERPLKRPFSDFEKLSISESSQREELKSEKVFFFSVHLMAFIICSDFVDLIAFFFALSTF >KJB58760 pep chromosome:Graimondii2_0_v6:9:17562319:17563367:1 gene:B456_009G224900 transcript:KJB58760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPLKKKKAKKAGYYFLRLYFFSREKRGKGRKIKMRFMSKMGIANKLYNILLIFVGFKEKAGEKPFSTLALFRFW >KJB59248 pep chromosome:Graimondii2_0_v6:9:19821129:19826233:-1 gene:B456_009G246300 transcript:KJB59248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLYLYVLVLSLIFTPFFVNNFLLFFNSITSSSSSSDNNEYSTLFDAIASVNPKAPLNSGKNHTPPSGSRGSSTSCEVWDVACSEAVLSLARKPENVEWIKGLRRKIHENPELAFEEYETSKLVRTELDRMEIEYRYPLAKTGIRAWIGTGSPPFVAIRADMDALPIQEGVQWEHKSKVAGKMHACGHDAHVAMLIGAAKILKNREQHLKGTVILLFQPAEEAGNGAKRMIGDGALEDVEAIFAVHVSHEHPTAIIGSRPGPLLAGCGFFRAVISGRKGLAGDPHNSVDPILAASAAVISLQGIVSRESNPLDSQVVSVTSFNGGNNLNMIPDTVVIGGTFRAFSNASFYNLLQRIQEVVVEQASVFRCSTTVDFFEKDSTIYPPTVNDDHMYEHVKKVATDLLGPTNFRVVPPMMGAEDFSFYTEIVPAAFYYIGVRNETLGSIHTGHSPYFMIDEDVLPIGAAVHASIAERYLNERG >KJB61130 pep chromosome:Graimondii2_0_v6:9:39973987:39977030:1 gene:B456_009G342500 transcript:KJB61130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSNNTPFWPTWRPANAYRGYPSYPASYDSNTIQPIFRHSFSFQPTTDPVRGSRDDPWRLLGPESPIGFSGFSDYQRATTPVEIAWVDTFPARAVNPRFSGPLPRTPTRQESLRLNEDEQNDVVGKLKKEIYNPIPKQMTKRLNSYYRGKKQGNEKKMEIDEDGKRCAVCLEDFVAREQVMVTPCEHMFHEHCILPWVKSHGQCPVCRFVLSERIKRTNSNVQNVSGNDLFQCEMMAIMRAMEEAFLRGNSL >KJB62853 pep chromosome:Graimondii2_0_v6:9:69196305:69201406:1 gene:B456_009G440500 transcript:KJB62853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMDGNNFIFFLTICVLSWRMELLKAQMVPAVYVFGDSLVDVGNNNFLPVSFAKANFPHNGVDFPTRKPTGRFCNGKNAADLLAEKLGLPSSPPYLSLSVNNITSHLTGASFASGGAGIFNSTDHVFGQAIPLSNQVDDFMSLNKILMKQGSSAAQNHTSKSLFAFVIGSNDLFDYFGSPNLRKQNTPQQYVDLMVTTLKQQLMRLYAVGARRFFLGGVGAIGCIPVERVKNKSHGCNAEHNFWAVKYNDGLKAMLNELKSKLRGFNYSFFDTYGIMQTITQNASTYGFNETEAACCGLGELRAIVPCLPFVTQCSNRTDHMFWDLYHPTEATTGIVVDTLFDGPSQYCVPINVRQLVSG >KJB56210 pep chromosome:Graimondii2_0_v6:9:8057769:8059962:1 gene:B456_009G110300 transcript:KJB56210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIEGSPGSSMHGVTGREPAFALSVASPMVPTDTTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLKKQDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLSAPTVFCMSFVSDAGGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLLMPLVYDIIRHAGATPFTAWRIAFFIPGCLHIIMGILVLTLGQDLPDGNLSSLQKKGDVAKDKFGKVLWYAITNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFDLKLHTAGIIAATFGMANIVARPFGGYASDKAAQLFGMRGRLWVLWILQTCGGVFCIWLGRANTLPVAVLAMILFSIGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLIFFSTSRFSTAAGLTWMGAMIVACTLPVSLVHFPQWGSMFLPPSKDENKSTEEHYYSSEWNEDEKKQGKHSASLKFAENSKSERGKRVASAPTPPNATPSQV >KJB58163 pep chromosome:Graimondii2_0_v6:9:15217651:15220004:1 gene:B456_009G197300 transcript:KJB58163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFYLGGREGAASGKQEGENKEENLYFYRNEEIYNKGFEIWPQYYYQQQNVNNLSFGAGPSRRTTGFNLSDESSSRSAGFTVMRQGGMNCQDCGNQAKKDCAHLRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQLAALQQTQQQQQQQNQQQEHQQLFRGDNPKRQRDNHGSPSLACTRLSPTTSGLELGQFPPEVSSPAVFRCVKVSAMDDVEGEFAYQTAVNIAGHVFKGILYDRGPESRYTSGGESSQQLNLITTASTTAAAATTTTTATTSDPGTSMIDPSLYPAPLNAFIAGTQFFPPPRS >KJB55563 pep chromosome:Graimondii2_0_v6:9:5995772:5997664:-1 gene:B456_009G082500 transcript:KJB55563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGPLSKSIGLSSALNITEEATTVTKKQGIVSILGSDTDQRPTKGSSLRRTLSADMSSKKWLTQLSNIASSDELPVSIADSSSEEAEEEDYQEKKALEKPAQFDIWTSIISSKPQDDSKSLPPPYIHPLVKRSGSCLSEKSLEICTESLGSETGSDGFSSYPPSETGDVDEDRKEEDQRLRQQKLASYDDEDDQPRILKYNNDVVAKKAPHHGSFPPPIPSLSRKDGASVRMETHRDNGRLVLEAVSVPSKKNFLAQRQDGRLVLTLAMNEVMMIEEAEEVEEFESFGDEEKGTDQMDIIDEEEEGDRGCEMEKANKLSSGAMNVHRLAVMMNKPIWLANRNPTWPETFDEIVKFGEEEEEKVEPSTPPPLQSPSPRPRMARLIPSPPPPPSSSTTTAASFNSYEYYWNRPNQPMSPKAAILAPLALNDNSDSHLILSQNQTAKDQQQLLVLRGNNGDYFVPLLKGCRETRSLLLWDTYCIATS >KJB55380 pep chromosome:Graimondii2_0_v6:9:5246424:5247285:1 gene:B456_009G073400 transcript:KJB55380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSRRGKTTRNASKPRRSKYRKKLVKPSINIQTSTSATLEDSASKIDDGFALDHNIEGDDDVSTTSPCSTPKAQRYRIPEIHTCPPAPKKRRSVPSNCSLQRTPPIAFFASPDLELFFFFAFRDISV >KJB54038 pep chromosome:Graimondii2_0_v6:9:1395421:1398342:1 gene:B456_009G017600 transcript:KJB54038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKSLSSQIEETLPEWRDKFLSYKELKKKVKLIQRNSGERPNKRLRLDQNSGDVVYAGDNVGDGDGMSREETDFLNLLEDELEKFNTFFVEKEEEYIIRLKDLQDNVAKAKDSDEKMIKIRKDIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRSPFIQRVLQQPFYATDLLYKLVKECEAMLEHVFPKKEKPDSVEAKNVESLYMKSTISALRALKEIRSGSSTVSVFSLPPLQSNGLEETWKMIPVLEQAAK >KJB60823 pep chromosome:Graimondii2_0_v6:9:32838700:32842695:-1 gene:B456_009G327400 transcript:KJB60823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRKDKFVLNCVALVCLWSSAFGLLSPKGVNFEVQALMGIKAFLVDPHGALGNWDDTAVDPCSWNMITCSSDGLVTALGAPSQNLSGNLAPTIGNLTNLQLVNLQNNNISGNIPSELGKLSKLKTLDLSNNRFNGQIPGTLSHLKSLQYLRLNNNSLSGAIPSPLANMTQLTFLDLSFNNLNGPVPELPTTTFNIVGNPLSCGVGTGQDCSRTRPMQLSLSSNNSQNSKPSGRSKSHKIALAFGSTLASICLLILGFGFLLWWRQRHNQQIFFDVNEQRREEICLGNLKRFHFKELQVATNNFSSRNLVGKGGFGYVYKGYLQDGTVVAVKRLKDGSAAGGEIQFQTEVELISLAVHRNLLRLYGFCITATERLLVYPYMSNGSVATRLKAKPALDWGTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDDCEAIVGDFGLAKLLDHQESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELISGLRALQVGKTANQKGAILDWVIKIHQEKKLELLVDKDLKNNYDRIEVEEMVRVALLCVQNQPSQRPKMSEVVRMLEGDGLAETWEASHRAEPNRSRANEFSSSERYSDLTDDSSLLVPAMELSGPR >KJB57082 pep chromosome:Graimondii2_0_v6:9:11179930:11182674:-1 gene:B456_009G147300 transcript:KJB57082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIAPVRSRRLTADYLWPDLRKSQSKKASSNRYSKPAIDFTDNFEADFQDFKDDKSDIEDYDVDDVLADAKPFAFSAAKKASSTVSHGVRVWLGTFNTAEEAARAYDSEARRIRGKKAKVNFPDEMPRTSPKRVIKTNDEKPLPMSNLSPVEPNINQNFNCLNKPEQVFYDTMGFVEEKAPMDPFAYVDTVPAAVDVGLKPFGQSDNTPLYFSSDQGSTSFDCSDFGWGEHGAKTPEISSALEATMEGDDFLVDANPKKKLKPSSENVMPIEDNSAESLSDELLALGDQMKYPFLEGNWDATLDALLNGDASQYGGALTDLWSFGDFPAVAGGHF >KJB57081 pep chromosome:Graimondii2_0_v6:9:11179930:11181481:-1 gene:B456_009G147300 transcript:KJB57081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLLLKFLCFVAWWKFSYDLLFSSTITCIIRFMHVCIRVRVWLGTFNTAEEAARAYDSEARRIRGKKAKVNFPDEMPRTSPKRVIKTNDEKPLPMSNLSPVEPNINQNFNCLNKPEQVFYDTMGFVEEKAPMDPFAYVDTVPAAVDVGLKPFGQSDNTPLYFSSDQGSTSFDCSDFGWGEHGAKTPEISSALEATMEGDDFLVDANPKKKLKPSSENVMPIEDNSAESLSDELLALGDQMKYPFLEGNWDATLDALLNGDASQYGGALTDLWSFGDFPAVAGGHF >KJB57080 pep chromosome:Graimondii2_0_v6:9:11179271:11182736:-1 gene:B456_009G147300 transcript:KJB57080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIAPVRSRRLTADYLWPDLRKSQSKKASSNRYSKPAIDFTDNFEADFQDFKDDKSDIEDYDVDDVLADAKPFAFSAAKKASSTVSHAQAEKSAKRKRKSQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDSEARRIRGKKAKVNFPDEMPRTSPKRVIKTNDEKPLPMSNLSPVEPNINQNFNCLNKPEQVFYDTMGFVEEKAPMDPFAYVDTVPAAVDVGLKPFGQSDNTPLYFSSDQGSTSFDCSDFGWGEHGAKTPEISSALEATMEGDDFLVDANPKKKLKPSSENVMPIEDNSAESLSDELLALGDQMKYPFLEGNWDATLDALLNGDASQYGGALTDLWSFGDFPAVAGGHF >KJB61182 pep chromosome:Graimondii2_0_v6:9:41037288:41039040:-1 gene:B456_009G344800 transcript:KJB61182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKQMKGHVLVLPYPSQGHINPLLQFAKRLASKGVKATLTTTHYTLNSISAAHIGVEPISDGFDEGGFSQAGNVDFYLKSFRDHGSRTLAELIQKFSNSATPVNCVVYDSFLPWALDVAKQHGIYGAAFFTNSATVCSIFAHIHHGLLTLPLTPETTPLLLPGLPPLYFPDLPSFLRFPDSYPAYLAMKLSQYSNLNQADWVFSNTFEELEGKEARGVSEIWPAKLIGPMVPSAYLDERIKGDRGYGSSLWKPLSEECIEWLETKPSNSVVYVSFGSMVSLSEEQIAELAWGLKESNMYFLWVVRDSEQQKLPKWFLDSVQEKGKVVTWCNQLEMLAHRTVGCFVTHCGWNSTLEGLSLGVPMIGVPKWTDQLTDAKFVEEIWEIGVRAKEDEVGVVRKDELLRCLKEVMEGDKSKEIKRNANKWRDSAMKTITEGGSSDKCIDEFVQHLMASYQNLNGL >KJB63022 pep chromosome:Graimondii2_0_v6:9:70113733:70114239:-1 gene:B456_009G450200 transcript:KJB63022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NAAPITKPKLRTNPTSYPDLEPESSLTAGPAALSGDEPIGVGLGGLLLDGKTPGLSAGVVELEGISAETGGAPAGVIEGGELVGVNEGGELEGVEAGGEAVGGEIDGLEEEGGVAAGGWICGGGGVVGDAAGGDDVVGELAGGDDEGDLEGGVVVGVDLGDGEGEFWA >KJB60333 pep chromosome:Graimondii2_0_v6:9:26840939:26841773:-1 gene:B456_009G300700 transcript:KJB60333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCQMKKAQKYTMGKLWNSTSETVTLSGKKVWQGSHDADFPETIEDGDVGEFTHDAVTEQNDVPGSMVGLVYKLHDGTRWIVAWSNPQGEDSKVYTNIHKEPIRWEQIKTDLDTRGSSKSKVRKFGYVASMEIDPKKRSPTLKASFESEA >KJB57809 pep chromosome:Graimondii2_0_v6:9:13957941:13961945:-1 gene:B456_009G181500 transcript:KJB57809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVSNSTSCMMAFGHNSNGLCPMTVMPLTAFHPHDQHQYYHHNSDFNSLFLPLAPTNNQDQNHNSSSGSSMIIDDQSNTTNNNTGCYFMENNDGSSSSVKAKIMAHPLYHRLVAAYVNCRKVGAPPEVVARLEQVCASAATMGPSTIGCIGEDPALDQFMEAYSEMLAKYEQELSKTFKDAMLFLQSVECQFKALTISPSNSACGDAVNLNGSSEEEADENNHHFIDPLAEDRELKGQLLRKYSGYLGSLKQEFMKKRKRGKLPKEARQKLLDWWTKHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMEATYPHYFMDNILGNPFPMDLSHTLL >KJB53441 pep chromosome:Graimondii2_0_v6:9:52146093:52146822:-1 gene:B456_009G384300 transcript:KJB53441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHIHFSLLLLVIEICACENYRVIGNQKEGPEFCEQRGVEFIDSRIRRYQMEYISLACPATNVWYFKRLPSHITNLLDKHLKELEDLVYCNV >KJB60270 pep chromosome:Graimondii2_0_v6:9:25930303:25931719:-1 gene:B456_009G297000 transcript:KJB60270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELDSSSTSSSSGSTFFNRSFTMHANTAEPDAPKVHLLLNNPSSLNRAASISKIYNSIDSVKGKVKKLCNLFESAKSSSTSTELSPKVVLRPAKSIGYSSSFSSSFNNPLIRLPGTEDRIVVYLTSLRGIRRTYEDCYAVRMIFRGFRVWVDERDVSMDSAYKKELQSVLRKKNPSLPQVFIKGKYVGGADVIKSMFEVGELAKILEDFPRIQQPGLVCDCCGDVRFVPCGNCSGSRKVFDEDEGLLKRCLECNENGLIRCPNCCS >KJB56469 pep chromosome:Graimondii2_0_v6:9:9150737:9152270:1 gene:B456_009G122900 transcript:KJB56469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80920) UniProtKB/Swiss-Prot;Acc:Q9SAG8] MASAIGMIGGKGCSSWMQVKEKGKKKMANRVRVYCSSAPSVMDPYKTLRIQPGASESEVKKAFRQLALQYHPDVCRGSNCGVQFQTINEAYDILMSRLRGETKAAEVYEEEIDEPMRGMDDSDWDLWEEWMGWEGAGIRDYSSHINPYI >KJB59291 pep chromosome:Graimondii2_0_v6:9:19954690:19959299:1 gene:B456_009G247600 transcript:KJB59291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G77470) UniProtKB/Swiss-Prot;Acc:Q9CAQ8] MAEVVSLMDIDEDDNHQKQNNSQKLNKGKGLVTAADTKPTPWVEKYRPQSLADVAAHRDIVDTIDRLTSENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYRNMILELNASDDRGIDVVRQQIQDFASTQSFSFGAKSSVKLILLDEADAMTKDAQFALRRVIEKYTKNTRFALICNHVNKIIPALQSRCTRFRFAPLDPIHVTERLKHVIQAERLDVPDCGLAALVRLSNGDMRKALNILQSTHMASQKITEEAVYLCTGNPLPKDIEQISYWLLNESFAESFKRVSETKTRKGLALIDIVREVTMFVFKIKMPSDVRVQLINDLADIEYRLSFGCNDKLQLGSLIAIFTKARSSLVAAVK >KJB53544 pep chromosome:Graimondii2_0_v6:9:6421497:6422692:1 gene:B456_009G087900 transcript:KJB53544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFKHLVVVKFKEDVVVEGILKGMEKLVSEVDAVKSFEWGQDIESPEMLRQGYTHAFLMTFEKKEDYTAFTSHPSHIEFSATFVTAIDKFVVLDFPSVLAKSPA >KJB53543 pep chromosome:Graimondii2_0_v6:9:6421590:6422668:1 gene:B456_009G087900 transcript:KJB53543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFKHLVVVKFKEDVVVEGILKGMEKLVSEVDAVKSFEWGQDIESPEMLRQGYTHAFLMTFEKKEDYTAFTSHPSHIEFSATFVTAIDKFVVLDFPSVLAKSPA >KJB54995 pep chromosome:Graimondii2_0_v6:9:4142576:4144972:-1 gene:B456_009G057500 transcript:KJB54995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIYKFAIATMLLLLFLYTFLDKASSTFLIDPRTFSFPSFDPNSCTSGSLICMGSVTAGNGYLSLTPEPNNNSTSPLEKVGRVLFHHPVVAWPASFSTTFTIRISPFPNSNVSGDGMAFIFAQDNASSPPSSTGSYLGILGPSTQGGVVQQLAVELDTYKNEWDPDANHIGIDTISIINPVAAESLNATGIDLKSGRNIKVRIDYHGWKKLLEISMAYSGDMLVSVLNHFIEMEDTVPSSVFVGFTAATGRVPESHHVLDWTFSTIPLPDLNNHGSKYDKMKTILLIVVPLIMGLLIVLICFLPSFRRLVKRKKEMVKQKVDIEIRSRTAANVPKMFTHKMLAKATHNFSKENLLGTGGFGSVYKGTLKGDPPTIVAVKKISATSKQGEKEYFAEICTIGRLRHKNIVQLQGWCHEGEHHLLVYEYMPNGSLDRYIGKQFLDWDTRFKILTGLASALLYLHEDSSNPVVHRDVKPNNVMLDEEFNAHLGDFGLARLLQNDDSVTTMLAGTPGYLAPELGFTGKSTPESDVYSFGMVVIEVVCGRRSKGIMDENSLVDYVWNSYGQNELLNCVDPKLGSEFDEEQVKRTLIVGLACLHPDSTQRPKIRKVVQIFLNPDEPLMDLPESRPSAVYITVSSSGSTTTTNIESKSAPQLPSLDSSKADDVVLQCDF >KJB56499 pep chromosome:Graimondii2_0_v6:9:9082555:9086810:-1 gene:B456_009G122300 transcript:KJB56499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEELEKNPQSTISSSQVFFIHVCCGLALAAGFWVAHHVYSLNLVFDPTKTLCLIWAIEFPVVILLFSCCRQKPEKCSYFTAIARGLLGLPVGALLNALGALALGAPVGLQYLEKTVNWSLLMSSFTFVPTACVYGSSWTDWHRIFACTKPNGPLEFMLCLPAHGAVIGAWFGAWPMPLDWERPWQDWPICVSYGAMAGYVVGMVGSFGLALKMHAGRKQLKAE >KJB53931 pep chromosome:Graimondii2_0_v6:9:1013768:1014111:1 gene:B456_009G012200 transcript:KJB53931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTARNNLKTNNHLSMHIRSPIADTGHNLGIPGPPHTSHIRFLILMNKHLKFQMIKHIIGVLYVNPSRVFCPPQHKTLFLSISYRSQIVENQVNLIHLIIPFLQQLKIIS >KJB56550 pep chromosome:Graimondii2_0_v6:9:9383266:9384479:1 gene:B456_009G124600 transcript:KJB56550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPKPSEPSLSETSTIISVSPAATLTTSAHDNTTLKQDKQPAAEAKEEATHHQGVDDDLVLDLRLSNRDQSNPELNFIDCFKADLSGDQDSSELPPQGNETEPRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGQRLGGGAAAASLSAFGYPNTHPSRYSSLASLPLYGSFNKALGIREHSMIHKPSLVPSAHVYGHNGWSRRAVDQTRAVGHVSNLQGINGTAKFQGSVGKFGPATEGMNGHWWDTVNHFNNKKDELKLDLSLKL >KJB54276 pep chromosome:Graimondii2_0_v6:9:2121651:2123460:1 gene:B456_009G027900 transcript:KJB54276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRASRWLKSLFGIKNSKNSFNPRDGKDKKNCGIEHSVGDSSISPEKVAWLRSCYNETEREQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGTMFGGVHEKWAAVKIQTVFRGYLARKALRALKGLVKIQALVRGYLVRKQASATFHSMQALMRAQATIKSQKARAIINNDAIRFDIRARKSMERFDDTRSEHTVPIHSRRLSASLDIDGSPKIVEVDTGIKPKARSRRTNTSISDFIDDHTYQTLPSPLPCRCPARLSIPDSRHYQDIDWGFSGDECRFSTAHSTPRFINSCAPATPAKSVSGHNFFRPCGDSPNYMANTQSFKAKLRSHSAPKQRPEAGPKKRLSLNEMMESRSSLSGVKMQRSCSRAQEAIHFKNAVMGKLDRSLEFGKDSERNHLQIRRW >KJB62143 pep chromosome:Graimondii2_0_v6:9:58871300:58874576:1 gene:B456_009G404000 transcript:KJB62143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESEGRLSKPVAVIACIAMTLFYVAILYAPTLILRLPPPQSYENFMIRRFICAAVCSVASVIFCALVLPITSGEASYSFGVYGIRSDHFWQAMVFPLFLTSLMYAGSLMLKSMLLVNKWKEHRHQAGEPLLGCIKSTLLSLPGQMSSVASNVLFWRNFIVAPVTEELVFRACMIPLLLCGGFKAYNAIFLCPTFFSLAHLNHMMEIYSRHNYSLLKASLVVGLQLGYTVVFGSYASFLFIRTGNIVAPLVAHAFCNYMGLPVLFVHGKGPVSIAFVAGMVSFVWLLFPITQPDLYNENTNNCRCWQGYCSWNQ >KJB62142 pep chromosome:Graimondii2_0_v6:9:58871300:58874332:1 gene:B456_009G404000 transcript:KJB62142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESEGRLSKPVAVIACIAMTLFYVAILYAPTLILRLPPPQSYENFMIRRFICAAVCSVASVIFCALVLPITSGEASYSFGVYGIRSDHFAPVTEELVFRACMIPLLLCGGFKAYNAIFLCPTFFSLAHLNHMMEIYSRHNYSLLKASLVVGLQLGYTVVFGSYASFLFIRTGNIVAPLVAHAFCNYMGLPVLFVHGKGPVSIAFVAGMVSFVWLLFPITQPDLYNENTNNCRCWQGYCSWNQ >KJB59238 pep chromosome:Graimondii2_0_v6:9:23936160:23936399:-1 gene:B456_009G282500 transcript:KJB59238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGGGGLVEAYVMLSLCKEKMKEMEKRETKTDDNKVFDIEKEVTTAGCFFWVSKKTRSSKVSNSIENFI >KJB62991 pep chromosome:Graimondii2_0_v6:9:69815410:69815934:1 gene:B456_009G447000 transcript:KJB62991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTLMLSWILIIFLSQVAVRSQYYSDTLPYHPRPPKVTNLHFFMHEHTGVTAVVVAQANITSNNSSVTFATLVSVNDPLRTGPEPDSEVIGNVQGISLLAGSNASSTQYIEFGFNTGKFNGSSLSIFSRGEPGLAVVGGRGRFMMAKGIALFNPILINATNVIMEFNVTVIHY >KJB59659 pep chromosome:Graimondii2_0_v6:9:22055287:22058540:1 gene:B456_009G266000 transcript:KJB59659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWAVHLKNANPGNPPASASLLLKRNSIPERPNSCKVSNFGVLPIGVSRTCLPSSSIRSFTVTSMAKNNNHDSSSSPSSGNGDQSIPDRDSSRRNNSSESNKSNGDASQKFLDVNTNWREFRAMLYNNYQVEKTDSVAQGGTPHVSKPLGPKWAHPLSVPETGCVLLATEKLDGVRTFERTVVLLLRSGTRHPQEGPFGVVINRPLHKKIKHMKPNNNELATTFADCSLHFGGPLEASMFLLKVGKKSKIGGFEEVIPGLCFGARNSLDEAAELVKRGTLKSEDFKFFVGYAGWQLDQLREEIESDYWHVAACSPHLIFGDSSDSWSESLWKEILQEMGGHYSELSRKPKEDI >KJB59660 pep chromosome:Graimondii2_0_v6:9:22056169:22058529:1 gene:B456_009G266000 transcript:KJB59660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVIYPGNGDQSIPDRDSSRRNNSSESNKSNGDASQKFLDVNTNWREFRAMLYNNYQVEKTDSVAQGGTPHVSKPLGPKWAHPLSVPETGCVLLATEKLDGVRTFERTVVLLLRSGTRHPQEGPFGVVINRPLHKKIKHMKPNNNELATTFADCSLHFGGPLEASMFLLKVGKKSKIGGFEEVIPGLCFGARNSLDEAAELVKRGTLKSEDFKFFVGYAGWQLDQLREEIESDYWHVAACSPHLIFGDSSDSWSESLWKEILQEMGGHYSELSRKPKEDI >KJB55638 pep chromosome:Graimondii2_0_v6:9:6281798:6284178:-1 gene:B456_009G086400 transcript:KJB55638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFLCLNPSSLFGIPNEPEDGSESDTDLLKIRTFFLFWPYLANPIYRPPNVILLMKRSFRPLISILMLVALTATLSCRIASPRRGVFTVSAELESTPVLIQPPQIQVFNSTLLKFASIDIGEAKSKLEIKQLLERDFPNQGRQRNFATSRSSSHHDAKSKNSNGLPVLLRSPKFYRYWLDFRRNLQLWARKKTFQPDIMMDLVSLVKTPIDRHNGLMSSARKYKSCAVVGNSGILLNRDYGELIDGHEIVIRLNNARTEKFEEQVGSKTSISFVNSNILHLCARREGCFCHPYGRNVPMIMYICQPVHFMDYTVCNSSHKAPLLITDPRFDVLCARIVKYYSAKRFLEETRKALGEWASTHDGSMFHYSSGMQAVMLALGICDKVGIFGFGKSTSAKHHYHTNQKSELRLHDYEAEYAFYHDLVKNPQAIPFISDRFRFPPVVIYL >KJB60603 pep chromosome:Graimondii2_0_v6:9:29560179:29566032:1 gene:B456_009G314600 transcript:KJB60603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVDDMLFLHFLYSDFVNTSEQLQKDLHDNKTSGVNGLGTGQRCERGNSVGSINWKPLKWSCSRSLSSWGSGFSHSSSSKSLGAACVISAAPSGETTPRKKPCLGWGQGLAKYEKKKVECPDKSMNRVEATTSAGSTESSNSMSSNLADKSPRVLGFSDCSSSATPSSVDCGSSPGVEDKSFFQAANFDNDISNLCSSPSLRSQNHPGGSAFSFEKLDTNSVIHLGSSLIDLLQSDDPSTVILCQLPATSSSLPDEENGKTCEEQKIVSVMVVMGILMVVSKFVEPLSLEKVVSASDNLDTSQLTTMDEVVLVTASSNEGSCTPISAEGSMVKKIDDNAHVPGSLNYDAGRENIVYVEILTPYKELENGACKVFNNRLPKDRYRNEISEIHNAASCQTDSSIRKRIAMQKQYLRFKERSLSLKFKAFHHAWNEDMRLLSVRKRHAKSQKKYEFSLCSTDGGYQKHCSSILSHSLLAQYLILEPRTVMINIASKLLLDSNGRLYRIALKMPGLVLDEKEKQVSRFISSNGLVEDPCAAEKERALINPSTSEEKEIFIDKLAAFGKDFRKIASFLDHKTTADCVEFYYKNLKSECFERKKKLDLSKQGKSFMNTYLLTSRKKWSRDFNAASLDVLGAASVITAHAENAMQNQKTSSGRIFIESHCNSRTSQFDDSIAKRSSSSEIIWKDEVAVAADVLAGICGSLSSKAMSSCIIGSADPGESLPLPSTSDATLNIDDTCSDNSCWEMDPADWLDEEKSVFIQAVLSYGKDFGMISQSVGTSSRDQCKVFFSKAHKCLGLDLIHPRTGSIGTPKSDDAIGDGSDTGDACVLESSVVCSKKLVSKMEDLPSSIVSMDFDESDINREVSLQTDLNLSDEKNGRLIDHRDSEAVEAMVSDVGQTEPISEGVGDDIVVDGSKAESVYVHRTAALANLNAVRNHVAEQGPGVSIAVSGALGEAVDPCVSRVDTVLEPKSDT >KJB63169 pep chromosome:Graimondii2_0_v6:9:70674889:70675053:-1 gene:B456_009G456000 transcript:KJB63169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVVVAQQRNQYRSRVKPHGPARFGSSQPSRNFRGVNCRTFQSGAGLLPTPFE >KJB56869 pep chromosome:Graimondii2_0_v6:9:10550753:10558733:-1 gene:B456_009G139900 transcript:KJB56869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDEVAAAPAGPPQSLDWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDHGVPRRDLERMEYPIGRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISDMNLDPSKAVGNGSIASSSTPKHCLANGGSPDRSYNYLGNDFSFPPGGLPSLRLPVVVTSQETNLVARCRRVYAHAHDYHINSVSNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRVIDLRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFARDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEATTLEASKNPMRRQVQTPSRPSRSLSSITRVVRRGAENPGVDANGNAFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >KJB56870 pep chromosome:Graimondii2_0_v6:9:10550127:10559092:-1 gene:B456_009G139900 transcript:KJB56870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDEVAAAPAGPPQSLDWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDHGVPRRDLERMEYPIGRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISDMNLDPSKAVGNGSIASSSTPKHCLANGGSPDRSYNYLGNDFSFPPGGLPSLRLPVVVTSQETNLVARCRRVYAHAHDYHINSVSNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRVIDLRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFARDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEATTLEASKNPMRQVQTPSRPSRSLSSITRVVRRGAENPGVDANGNAFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >KJB56868 pep chromosome:Graimondii2_0_v6:9:10550116:10559146:-1 gene:B456_009G139900 transcript:KJB56868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDEVAAAPAGPPQSLDWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDHGVPRRDLERMEYPIGRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISDMNLDPSKAVGNGSIASSSTPKHCLANGGSPDRSYNYLGNDFSFPPGGLPSLRLPVVTSQETNLVARCRRVYAHAHDYHINSVSNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRVIDLRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFARDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEATTLEASKNPMRRQVQTPSRPSRSLSSITRVVRRGAENPGVDANGNAFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >KJB59709 pep chromosome:Graimondii2_0_v6:9:22862398:22863301:-1 gene:B456_009G273300 transcript:KJB59709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSNWTPKQNKLFENALAIYDKDTPDRWHKLARAVGGKTVEEVKLHYQNLVDDIKQIESGHVPLPPYKKAGGNQGYNNFMDDEEPRMRNLRL >KJB61668 pep chromosome:Graimondii2_0_v6:9:50738820:50742676:-1 gene:B456_009G374000 transcript:KJB61668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGFCEAALCNTVETLVVDCVVKRVGRQLDYVRRFHDNVEKLREKKRELADARDRLLHKIEDAKNWLLLIDNDVQNLQSRAAETLSDMGTLEEEIQLNKRCLNWCPNWSWRYQLSKKAMKKIQDIPELLEKFGQLGLELVIGKMTNEQLSNLQSCKYEELEQYQTSSQHHPLPLCFPNLILLDILECESLKSLFSIIVAQGSSKKLNAPNLQTLWIRRCFGMKEIIQDSQVPTISFQCLREVQVTECNKLKFIFPMCVANSLGQLQTLRIESCFQLQEIIQRPDVLISMSRSLERLNEVELINLPQLKGRGRNDIVLTSLSLHVLRVIECPQLTPFIVPTNIQELYFLEITEKKQISNVTVPKRRGGTSTCTEYLTISNFEELFEYSGYNLSSLKILKLSELIELRVIWTGPIQVEHFQNLTELAVFKCRRLRYIFSPTIARNLPQLWTLVISNCEELEQIIEKDQTSSQHHLQPICFPNLSWITIIDCENLKCLFPITLAHGGLPNLVHLYLRRVSKLEQVFEGDESNVSKDGEKVICLPRLNDLVLGNLPNLVSFSPAGYHFVFPFLIRLTVEGCPNITTRFSVDSEKSVHAKTQASQSVDEIIVEESLLQLKKLHGQLVVI >KJB62810 pep chromosome:Graimondii2_0_v6:9:68803396:68810374:-1 gene:B456_009G437600 transcript:KJB62810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSSSCSLFKHHLIISFIINIAIITISHVNGCFTSIFSFGDSLTDTGNLHQLSLSESKKLSHFDFPPYGRTFFGYPTGRCSDGRLVIDFIAEDFGLPFLPPYFEGENGKPRNLENGVNFAIAGATALDDVFFKERGIHNPLTNGSLGVQLGYFKDVLPSFCSSSSDCKDFLKKSLIVMGEIGGNDYNYAFLQGKNTVEILHFVPVVVDTIASAIAELIGLGAVTILVPGNLPIGCSPAYLTYFQGSDMADYDPLTGCITWLNQFSEFHNEQLQEKLDQVRKLHRNVNIIYADYYNTAMRFYHSLNQFGFTETLRACCGGGGRYNYSSSMACGDLPLTTSCNDPSSHVSWDGLHYTEATYRWISKGVLEELYAIPYTNSLCFPSTVNKQIY >KJB61885 pep chromosome:Graimondii2_0_v6:9:54054741:54055666:-1 gene:B456_009G393400 transcript:KJB61885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHYLNKKLPSSRYKIPRNFVSLLKFPILLSKSLKSLKFGWISNMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KJB57384 pep chromosome:Graimondii2_0_v6:9:12320906:12321271:-1 gene:B456_009G160600 transcript:KJB57384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLDVSEFNFDYEKSGIWSPPVRKSAFLSSPGRIFTEQDMLERLKRVMDRRRSRRYNFFSMHFATFEGDYWKCEDR >KJB62660 pep chromosome:Graimondii2_0_v6:9:67129879:67132670:1 gene:B456_009G428300 transcript:KJB62660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDSQMPSSSPLSSNKDEMRPKADFQPSIWGDLFLNCPDKNIDARTEKRHQQLKEEVRKMIVAPMANSTQKLAFIDSVQRLGVSYHFTKEIEDELENIYHNNNDAENDLYTTSLRFRLLREHGYNVSCDVFNKFKDEQGNFKSSVTSDVRGLLELYQASYLRVHGEDILDEAISFTTNHLSLPVASLDHPLSEEVSHALKQSIRRGLPRVEARHYLSVYQDIESHNKALLEFAKIDFNMLQFLHRKELSEICRWWKDLDFQRKLPYARDRVVEGYFWISGVYFEPQYSLGRKMLTKVIAMTSIVDDTYDSYATYEELIPYTNAIERWDIKCIDELPEYMKPSYKALLDVYEEMIQLVAENGRQYRVEYAKNAMIRLAQSYLVEFKWTLQNYKPSFEEFKANALPTCGYEMLAITSFVGMGDIVTPETFKWATNNPKIIQASTIICRFMDDVAEHKFKHRREDDCSAIECYMEEYGVTAEEAYDVFNKHVESAWKDVNQEFLKPTEMPTEVLNRSLNLARVMDVLYSEGDGYTYVGKAAKGGITSLLIEPIAV >KJB59527 pep chromosome:Graimondii2_0_v6:9:21389949:21395899:-1 gene:B456_009G259500 transcript:KJB59527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDTLDNWHDEFLKQANPADPRTFPFILLGNKIDIDGGNSRVVSEKKAKDWCASKGNMPYFETSAKEDINVDAAFLCIAKTALANEREQDIYFQGIPEAVTETEQRGGCAC >KJB57791 pep chromosome:Graimondii2_0_v6:9:13949422:13952826:-1 gene:B456_009G181300 transcript:KJB57791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRPGISGTKPKQGKKDLDSYTIRGTNKVVRVGDCVLMRPSDTGKPPYVARVEKIEADSRNNVKVRVRWYYRPEESLGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLEDVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYGVLCIFEFLVPMGGPIIFKISIGSYYCSYRYHPACVDMTIEEAKMLDHFVCSECSEDDLKRSQNGFHPSPVSDVKVDAKRRKR >KJB57792 pep chromosome:Graimondii2_0_v6:9:13949422:13952864:-1 gene:B456_009G181300 transcript:KJB57792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRPGISGTKPKQGKKDLDSYTIRGTNKVVRVGDCVLMRPSDTGKPPYVARVEKIEADSRNNVKVRVRWYYRPEESLGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLEDVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVDMTIEEAKMLDHFVCSECSEDDLKRSQNGFHPSPVSDVKVDAKRRKR >KJB63005 pep chromosome:Graimondii2_0_v6:9:69949953:69953044:-1 gene:B456_009G448500 transcript:KJB63005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYLFLCLCLFFPHLYASFSSSGSHSCSSLIQFKDSFSITEDASSYCDEIAGLQSYPKTNSWKEGTDCCSWDGVTCDHLNAHVIALDLSCSWLYGNFPSNTTLFLLPHLQKLNLAYNDFNLSKIPSEFSRFTSLFYLNLSYTEFAGEVPSQVFTCQNWFHLISPGLVHNLTEVRHLFLDGINMSSVNAHVFMNLSSSLRSLSLAGCDLQGKFPKNIFDFPSLNLLNLGDNQLSGQIPRSLGNLLQFTHLDLSGNQLSGQIPRSLGNLLQLTHLDLSGNQLSGQIPRSLGNHLQLTHLDFSQNQLSGQILRSLGNLLQLTHLDLWQNQLSGQISRSLGNLLQLTYLDLSQNQLSGQIPRSLGNLLQLTHLDFSQNQLSGQIPRSLGNLLQLTHLDFSQNQLSGQIPLSILNLTQLEYLEISENSLEGSIPDEVTALPNLIALDLTNNLLNGTLPSWLYTAPSLKAISLSQNQFSGRIKEFQSKSLEYLYLDNNKLQGPLPSSISQFLNLTHLLLSSNNLSEFPQFLKGLKSLESLDLSCNKIEGKIPQWMQEVGNDSLTYLNVSHNSLTEVEHFPWKNIEFLDLSSNLIRGNLPIPASTINVFLISNNSFNGEVSSLICNVTSLRILDLSHNNLSGTIPQCFGNLSYGLEFLNLKKNKFYGTIPPTFAEGCQLSNFNLNGNLLEGLLTPSILNCNGLEVLDLGNNKINDTFPHWLGSLPFLQVLVLKSNHMHGSLCVNSSKSSPFFSKIQIFDLSSNYFSGPLPVRYINSFKAIINVEKIGSTVSYMGVNDDGGDFYTYSIGIVMKGRDMELVKIFTMWMIIDLSNNQFEGGIPKVIGKLNLLKGLNLSHNNLNGDIPTSIGNLTSLEWLDLSSNRLSGMIPNRLADLPFLSSLNVSENQLHGQIPQGKLFNTFGNDSYEGNKGLCGFPVSKGCNIIEPAPPNVLEKDGSKSNIAFGWKVVLIGYGCGVVFGMSVGYVVFQTGKPKWLVNLVENQQEKRRRRKSKKGNRSSRRRRI >KJB60423 pep chromosome:Graimondii2_0_v6:9:28260052:28260999:-1 gene:B456_009G307800 transcript:KJB60423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTLMLTWILIICLSQVAAQSQRQYYSETRPHIPRPIKVTNLHFFMHENLGGTNASAVIVAQSNITSNDNNSSVPFGTLFAVDDPLRIGPEPDSEVIGNAQGLSLLAGTNPRTSTTYFDFGFITGKYNGSSISMFSRTDLELAVVGGRGRFRMATGFALLNPYLINATTVIIEFDVTLFHH >KJB60716 pep chromosome:Graimondii2_0_v6:9:31220785:31222309:1 gene:B456_009G3219001 transcript:KJB60716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYNLHVENGKTYLLRVINAALNDELFFKIAGHDLTVVEVDAAYTKPFKTDTLFLGPGQTTTALLTTDRGIGKYVIAISPFMDTVVSVDTFTGTGYLIYNNTLPSIPTTMTTMPPVNATWKTLVFAESLRSLNSKQYPANVPLTVDHALLFTIGVGIDPCATCSNGSRAVAAINNITFDMPTIAILEAHYYGIKGVFTDDFPGKPLMPYDYTGVQPTNLQTMHGTRVYRLAYNSTVELVIQGNSMLAPESHPTHLHGFNFFAVGRGLGNFNPAKDPKKYNLVDPVERNTISVPTAGWTAIRFRADNPGVWFFHCHLEVHTTWGLKMAFLVENGKGPDESVVPPPRDLPQC >KJB60451 pep chromosome:Graimondii2_0_v6:9:27689816:27693297:1 gene:B456_009G305400 transcript:KJB60451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIIIFHFFLIYSAAAVGLAPPSTYLDGCQPMSCKRGAPSVRFPFQLKGRQNESCGSPGFNLSCNNKNQTVLELPKSVKLLVKRIDYVKQMIQVYAEDGCVQKQLPNLTLSSSPFEFMSDYYSFDSTYKNFSLFKCEGEGWSNYGSYYTIGCLSREPGSYVKFTSSDFPDTDLLHCRRTMDLREVPEGLISDESNNLYFNWSRPECGDCEARHQGCRRNDTNPSGFECFVIPIKHMGTRTKLMISGISIGSFFLALSLSVVLYMHHLHKKEKDAQRKIEQFLEDYKALKPSRYSYADIKRITFDFKEKLGQGGYGTVFKGTLSNDVSVAVKLLNNFKGNGEEFINEVSSMGRIHHVNVTRLVGFCADGYNRALVYEYLPNESLEKFIFGDKGENHFLGWEKLHEIALGIAKGIEYLHQGCEQRILHFDIKPHNILLDQHFNPKISDFGLAKLCSKEQSAVSMTAARGTMGYIAPEVLSRNFGNVSYKSDVYSFGMMLLEMVGGRKNIDVKVEHMSQVYFPEWVYNRMDKGEALGMSIENEEHDKIAKKLTIVGLWCIQWYPVDRPSMKSVVQILEGEVEHLTVPPNPFASKEEMRAKLPINRELPTISE >KJB61420 pep chromosome:Graimondii2_0_v6:9:46998955:47005648:-1 gene:B456_009G358000 transcript:KJB61420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVELQMTWQPSLLSEKRKKGPPLGLRNLGNSCYLNSVLQCLTYTPPLANFCLRSQHSSSCDASASKKPRDCPFCILEAWITRSLTLDLTLDAPSKIQSCIKIFAEHFRFGRQEDAHEFLRYVIDACHNTCLRLKKLRRQGSEGGGEAVNGNTVVKEIFGGALQSQVKCLGCGAESNKVDEIMDISLDILNSGSLKEAMHKFFQPEVLDGNNKYKCDNCKKLVAARKQLSIRQAPNILVIQLKRFEGILGAKIDRLITFEEVLVLSSFMSKASQDPQPVYNLFGTIVHSGYSPESGHYYAYIKDAMGRWYCCNDSFVSVSNLQEVLSEKVYILFFSRTNQRRGSFGTTVSSNGAKPRDSNGSEAPKILKAVQLKPVQTKLCVEQSSQKDKVGKPSSNSRVKFSISEKSGLRKLPVTSNGKVGLHKTQNIAVNGVSMDSIQTKKNERDTSSLMNRNGTDKTRKVDAVVSENGPQVALSNGNKLDSFVVNGTRSMAVGEQIDTALNDACDNSGQKKNSECSFAISGAKRKIEDSYDISGAKRKPEDSCDVSGAKRKYGDSSNSSGPKRKSEDSCNLSGPKRKSEDSSDNSVPTTKLKDSFNSGGNGKFRDSCDVSGLKKTENSGDFSMPVGKSCILLSQDDQSRAEVENMKEMLKNKASSVLRSSGWYVDVYNFMYSRKQSYALETGSTLSDNDLEKKLIADAKPTFIPQIPESLKEELIKRLQSFSQTKQESPDP >KJB61421 pep chromosome:Graimondii2_0_v6:9:46998913:47005766:-1 gene:B456_009G358000 transcript:KJB61421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVELQMTWQPSLLSEKRKKGPPLGLRNLGNSCYLNSVLQCLTYTPPLANFCLRSQHSSSCDASASKKPRDCPFCILEAWITRSLTLDLTLDAPSKIQSCIKIFAEHFRFGRQEDAHEFLRYVIDACHNTCLRLKKLRRQGSEGGGEAVNGNTVVKEIFGGALQSQVKCLGCGAESNKVDEIMDISLDILNSGSLKEAMHKFFQPEVLDGNNKYKCDNCKKLVAARKQLSIRQAPNILVIQLKRFEGILGAKIDRLITFEEVLVLSSFMSKASQDPQPVYNLFGTIVHSGYSPESGHYYAYIKDAMGRWYCCNDSFVSVSNLQEVLSEKVYILFFSRTNQRRGSFGTTVSSNGAKPRDSNGSEAPKILKAVQLKPVQTKLCVEQSSQKDKVGKPSSNSRVKFSISEKSGLRKLPVTSNGKVGLHKTQNIAVNGVSMDSIQTKKNERDTSSLMNRNGTDKTRKVDAVVSENGPQVALSNGNKLDSFVVNGTRSMAVGEQIDTALNDACDNSGQKKNSECSFAISGAKRKIEDSYDISGAKRKPEDSCDVSGAKRKYGDSSNSSGPKRKSEDSCNLSGPKRKSEDSSDNSVPTTKLKDSFNSGGNGKFRDSCDVSGLKKTENSGDFSMPVGKSCILLSQDDQSRAEVENMKEMLKNKASSVLRSSGWYVDVYNFMYSRKQSYALETGSTLSDNDLEKKLIADAKPTFIPQIPESLKEELIKRLQSFSQTKQESPDP >KJB55382 pep chromosome:Graimondii2_0_v6:9:5264943:5268983:1 gene:B456_009G073600 transcript:KJB55382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGEGKTVCVTGASGYIASCLVKHLLLRGYTVKASVRDPSDPRKTQHLLALEGAEERLKLFKANLLEEGSFDSGVEGCDGVFHTASPFYHDVTDPQAELLDPAVKGTLNVLNSCANTPSVKRVVLTSSIAAVTYNGKPRTPDVVVDESWFTDPEYCKNLKLWYVVSKTIAEDSAWKFAKEKGLDMVVVNPAMVIGPLLQPTLNTSAAAVLSLIKGAQTFPNATFGWVNVKDVANAHIQAFEIPSASGRYCLVERVAHCSEVVKMLSELYPSFQLPEKCADDKPYVPTYQVSKEKAKTLGIEFTPLDVSLKETVESLKEKGFVSFES >KJB55383 pep chromosome:Graimondii2_0_v6:9:5265067:5268967:1 gene:B456_009G073600 transcript:KJB55383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGEGKTVCVTGASGYIASCLVKHLLLRGYTVKASVRDPSDPRKTQHLLALEGAEERLKLFKANLLEEGSFDSGVEGCDGVFHTASPFYHDVTDPQAELLDPAVKGTLNVLNSCANTPSVKRVVLTSSIAAVTYNGKPRTPDVVVDESWFTDPEYCKNLKLWYVVSKTIAEDSAWKFAKEKGLDMVVVNPAMVIGPLLQPTLNTSAAAVLSLIKGAQTFPNATFGWVNVKDVANAHIQAFEIPSASGRYCLVERVAHCSEVVKMLSELYPSFQLPEKVRF >KJB60491 pep chromosome:Graimondii2_0_v6:9:28334054:28334844:-1 gene:B456_009G308400 transcript:KJB60491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKVLDISKNNISGVIPKSLERLSYLKIFDVSFNKLGGEIPNSGCFVNFTAKSFMNNYALCGSPRLQVQPCKNNIPRQNNKALMHALKYIFPVFGSIIIVVALIIVYKKWKNKTTSGFDDNNLLGSGSFGSVYKEILAEGTEVAVKVFNLDLDEAFRSFDVECEVMGKILHRNLVKVITCCSPIDFKALVLEFMPKGSLEKWLYSDNHALNLLQIINIMIDVASALEYLHFGSLSC >KJB62241 pep chromosome:Graimondii2_0_v6:9:60450374:60457018:1 gene:B456_009G407800 transcript:KJB62241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPRRSFDRSREPGLKKPRLTEDLTPNPNIRPFSQRANPVAASGLRSRSNDSDINDLISGDGGAYEPQPVSHQQQHQQQQELVSQYKMALAELTFNSKPIITNLTIIAGENVHAAKAIAATVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKCFAARLPEVFCKAYKQVDPPLHQSMRHLFGTWKGVFPVQTLQVIEKELGFTPLVNGSSSGNTTSRTDTLSQRPPQSIHVNPKYLEKQRLQQSIKVNLAKGIVNDMAGTLANSKEESEKCFKIPGIEVRRTRGNVTDQGLDAPWFRATSSVTETIPSQRNGFNVKHGSQNYSASKSVNADPRLHRTHNISGRNSGGLSSSWKNSEEEEFMWEMHSRLSEHDATNFSNNLRKDCWTPDVSEKMDFESQLHRPQSIHDAVSRFDRETSADALSTEQKDKPSFGRQISTSWTDGLPAISSSRSESYSASLGGLPTGASSSLARIGMRPQTSSSHLGTPGFGFLANVASGPTGTSGKQCFQSVGTASPPEQSPMRQHSPSPSFPACHSHQQLQKLADPDYQQVLSLPRADPKPSIFSGKLNVGSHRDSPQTSAPISLHPSRHYHLSQPPLPGSVQAEPSGQTQKSLLSQISKVEAASALGSALERSNPLAIETSELSSTSSLLAAVMKTGILSSTSFTGNLPTKISQDVGQISQPPLPNCPPVFTTSGLIDAAVSSDATHDAIAGAPNSSQEKVEQLPLPPGPQPSSLVSNAPSQTSDAESKDTNPISNLLSSLVAKGLISASKKDAASLPSLPMPNQIQKSPGVESPSESLNKSSDIQSSSDAPRSSTMDEVSYAEPAPKCLVAPHQSTSTEVESLIGLELRPDVIREFHSSVIGGLLDDLPHCCSLCGLRLKLQGQLDRHLEWHEMKKTELRGSGRALRGWYVRSDDWLAGKPGQLVLDSTDSLNKLEKTTEKAERMVPADENQCACLLCGELFEDYFRLDSGEWMFKGAAYLAIPSKEGGVGTTDGSAANGPIVHANCMSESSVRDLGLSGGIKVEMEE >KJB62242 pep chromosome:Graimondii2_0_v6:9:60450374:60457018:1 gene:B456_009G407800 transcript:KJB62242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPRRSFDRSREPGLKKPRLTEDLTPNPNIRPFSQRANPVAASGLRSRSNDSDINDLISGDGGAYEPQPVSHQQQHQQQQELVSQYKMALAELTFNSKPIITNLTIIAGENVHAAKAIAATVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKCFAARLPEVFCKAYKQVDPPLHQSMRHLFGTWKGVFPVQTLQVIEKELGFTPLVNGSSSGNTTSRTDTLSQRPPQSIHVNPKYLEKQRLQQSIKIPGIEVRRTRGNVTDQGLDAPWFRATSSVTETIPSQRNGFNVKHGSQNYSASKSVNADPRLHRTHNISGRNSGGLSSSWKNSEEEEFMWEMHSRLSEHDATNFSNNLRKDCWTPDVSEKMDFESQLHRPQSIHDAVSRFDRETSADALSTEQKDKPSFGRQISTSWTDGLPAISSSRSESYSASLGGLPTGASSSLARIGMRPQTSSSHLGTPGFGFLANVASGPTGTSGKQCFQSVGTASPPEQSPMRQHSPSPSFPACHSHQQLQKLADPDYQQVLSLPRADPKPSIFSGKLNVGSHRDSPQTSAPISLHPSRHYHLSQPPLPGSVQAEPSGQTQKSLLSQISKVEAASALGSALERSNPLAIETSELSSTSSLLAAVMKTGILSSTSFTGNLPTKISQDVGQISQPPLPNCPPVFTTSGLIDAAVSSDATHDAIAGAPNSSQEKVEQLPLPPGPQPSSLVSNAPSQTSDAESKDTNPISNLLSSLVAKGLISASKKDAASLPSLPMPNQIQKSPGVESPSESLNKSSDIQSSSDAPRSSTMDEVSYAEPAPKCLVAPHQSTSTEVESLIGLELRPDVIREFHSSVIGGLLDDLPHCCSLCGLRLKLQGQLDRHLEWHEMKKTELRGSGRALRGWYVRSDDWLAGKPGQLVLDSTDSLNKLEKTTEKAERMVPADENQCACLLCGELFEDYFRLDSGEWMFKGAAYLAIPSKEGGVGTTDGSAANGPIVHANCMSESSVRDLGLSGGIKVEMEE >KJB62240 pep chromosome:Graimondii2_0_v6:9:60450374:60457018:1 gene:B456_009G407800 transcript:KJB62240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPRRSFDRSREPGLKKPRLTEDLTPNPNIRPFSQRANPVAASGLRSRSNDSDINDLISGDGGAYEPQPVSHQQQHQQQQELVSQYKMALAELTFNSKPIITNLTIIAGENVHAAKAIAATVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKCFAARLPEVFCKAYKQVDPPLHQSMRHLFGTWKGVFPVQTLQVIEKELGFTPLVNGSSSGNTTSRTDTLSQRPPQSIHVNPKYLEKQRLQQSIKAKGIVNDMAGTLANSKEESEKCFKIPGIEVRRTRGNVTDQGLDAPWFRATSSVTETIPSQRNGFNVKHGSQNYSASKSVNADPRLHRTHNISGRNSGGLSSSWKNSEEEEFMWEMHSRLSEHDATNFSNNLRKDCWTPDVSEKMDFESQLHRPQSIHDAVSRFDRETSADALSTEQKDKPSFGRQISTSWTDGLPAISSSRSESYSASLGGLPTGASSSLARIGMRPQTSSSHLGTPGFGFLANVASGPTGTSGKQCFQSVGTASPPEQSPMRQHSPSPSFPACHSHQQLQKLADPDYQQVLSLPRADPKPSIFSGKLNVGSHRDSPQTSAPISLHPSRHYHLSQPPLPGSVQAEPSGQTQKSLLSQISKVEAASALGSALERSNPLAIETSELSSTSSLLAAVMKTGILSSTSFTGNLPTKISQDVGQISQPPLPNCPPVFTTSGLIDAAVSSDATHDAIAGAPNSSQEKVEQLPLPPGPQPSSLVSNAPSQTSDAESKDTNPISNLLSSLVAKGLISASKKDAASLPSLPMPNQIQKSPGVESPSESLNKSSDIQSSSDAPRSSTMDEVSYAEPAPKCLVAPHQSTSTEVESLIGLELRPDVIREFHSSVIGGLLDDLPHCCSLCGLRLKLQGQLDRHLEWHEMKKTELRGSGRALRGWYVRSDDWLAGKPGQLVLDSTDSLNKLEKTTEKAERMVPADENQCACLLCGELFEDYFRLDSGEWMFKGAAYLAIPSKEGGVGTTDGSAANGPIVHANCMSESSVRDLGLSGGIKVEMEE >KJB60225 pep chromosome:Graimondii2_0_v6:9:25758767:25761878:-1 gene:B456_009G295300 transcript:KJB60225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETTKPRRNASNRKPLIDLTNTIPSSLPSSQSSSASIKTQIKSSRPSDLKSLPSNPNTSLKRTSKLTPTDSTTNINNNNNDKKENEICPSDKANPSSKPSPPSKTASVSDFEPCTVYRRRTAKKKKSKGKEVAEPLSSLFETRTPSLRKKKVGDGDIGISKSCPMPCKKKQCRDKAEINASKLDLPQDFINKQRAYFAEVDAFELEEEVASGNESN >KJB57240 pep chromosome:Graimondii2_0_v6:9:11886819:11887241:-1 gene:B456_009G155000 transcript:KJB57240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSNQTLKHFFAFLVISLAFLLLSYYSSPASCNETLPKISSTDPSNRRPAAAYQVLRIKNATPFFLNRPDRGLEKKRKRKMMKRRKINMDRNFKTGPFTVMLPKGFVPPSGSSPCHNDKPDSAVAPDLICGLSGTTAKP >KJB54334 pep chromosome:Graimondii2_0_v6:9:2265061:2272460:-1 gene:B456_009G030000 transcript:KJB54334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLPIDNSQIAKKHGFRSLKLVNVDLDQEFQHQPFGVDYGRLDNGLTYYVRSNPKPRLRAALALAVKVGSVLEEEGERGVAHIVEHLAFSATKKYTNHDIVKFLESIGAEFGACQNAVTSADDTVYELFVPIDKPELLSQAISVLAEFSSEIRVSKDDLEKERGAVMEEYRGNRNASGRMQDAHWALMMEGSKYAERLPIGLEKVIRTVSSETVKQFYKKWYHLHNMAVIAVGDFPDTESVVELIRTHFEGKNSGPDPPIIPSFPVPSHEDPRFSCFVESEAAGSAVMISYKMPADELKTVKDYRDMLVESMFLHALNQRFFKISRRKDPPYFSCSAASDALVSPLKAYIMSSTCKEKGTLQALESMLIEVARVQLHGFSEREVSVVRALLMSEIESAYLERDQMQSTSLRDEYIQHFIHNEPVIGIEYEAQLQKSILPYISASEVSKYAEKLQTSCSCVLKTIEPQASATVDDLKKVVLKINNLEKEGSIAPWDDEYIPEEIVNIKPDPGYIVEQIDYSNIGATELTLSNGMRVCYKCTDFFDDQVLFTGFSYGGLSELPESEYFSCSMGSTIAGEIGVFGHKPSVLMEMLAGKRVEVGTKLGAYMRTFSGDCSPSDLETALQLVYQLFTTNVIPGEEEVKIVMQMAEEAVRAQERDPYTAFANRVKEINYGNSFFFRPIRLSDLRKVDPVKACEYFNRCFKDPSTFTVVIAGNIDPTVALPLILQYLGGIPKSPEAIFHYNRDDLKGLPFKFPKTIIRDVVRSPMVEAQCSVQLCFPVVLKNGTMVEEIHCVGFLSKLIETKIVQVLRFKHGQIYSACVSVFLGGNKPSRTGDVRGDVSINFSCDPEISSKLVDLALDEVVHLQEEGPTDQDVSTVLEIEQRAHENGLQENYYWLERILRSYQSRIYSGDVGTSFKIQDEGRSRVRETLTPSTAQSALRRILPYPCKKQYTVVILMPQASRFKLLRSLFKQNAPSRDAKILAAIAGGTVLAACLWRYSRKS >KJB54335 pep chromosome:Graimondii2_0_v6:9:2265431:2272470:-1 gene:B456_009G030000 transcript:KJB54335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYRGNRNASGRMQDAHWALMMEGSKYAERLPIGLEKVIRTVSSETVKQFYKKWYHLHNMAVIAVGDFPDTESVVELIRTHFEGKNSGPDPPIIPSFPVPSHEDPRFSCFVESEAAGSAVMISYKMPADELKTVKDYRDMLVESMFLHALNQRFFKISRRKDPPYFSCSAASDALVSPLKAYIMSSTCKEKGTLQALESMLIEVARVQLHGFSEREVSVVRALLMSEIESAYLERDQMQSTSLRDEYIQHFIHNEPVIGIEYEAQLQKSILPYISASEVSKYAEKLQTSCSCVLKTIEPQASATVDDLKKVVLKINNLEKEGSIAPWDDEYIPEEIVNIKPDPGYIVEQIDYSNIGATELTLSNGMRVCYKCTDFFDDQVLFTGFSYGGLSELPESEYFSCSMGSTIAGEIGVFGHKPSVLMEMLAGKRVEVGTKLGAYMRTFSGDCSPSDLETALQLVYQLFTTNVIPGEEEVKIVMQMAEEAVRAQERDPYTAFANRVKEINYGNSFFFRPIRLSDLRKVDPVKACEYFNRCFKDPSTFTVVIAGNIDPTVALPLILQYLGGIPKSPEAIFHYNRDDLKGLPFKFPKTIIRDVVRSPMVEAQCSVQLCFPVVLKNGTMVEEIHCVGFLSKLIETKIVQVLRFKHGQIYSACVSVFLGGNKPSRTGDVRGDVSINFSCDPEISSKLVDLALDEVVHLQEEGPTDQDVSTVLEIEQRAHENGLQENYYWLERILRSYQSRIYSGDVGTSFKIQDEGRSRVRETLTPSTAQSALRRILPYPCKKQYTVVILMPQASRFKLLRSLFKQNAPSRDAKILAAIAGGTVLAACLWRYSRKS >KJB58060 pep chromosome:Graimondii2_0_v6:9:14797359:14800300:-1 gene:B456_009G192400 transcript:KJB58060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETPNHTQTVAGWAAYDSSGKIAPYIFKRRENGVNDVTIQVMYCGICHTDLHHVKDDWGITMYPVVPGHEITGVITKVGSNVKNFKLGDRVGVGCLAASCLECEFCKNSQENYCDQIQFTYNGIFWDGTITYGGYSEMLVADHRYVVHVPDNLPMDAAAPLLCAGITVFSPMKDCQLLESPGKKVGIVGLGGLGHVAVKMAKAFGHQVTVISTSPSKENEAKQRLGADYFLVSTDAKQMQRGKRTLDVILDTVSAKHSLGPILELLKVNGTLVVVGAPDRPIELPSFPLIFGKRAVKGSMTGGMKETQEMMDVCGKHNITCDVELIKPDKINQALDRLARNDVRYRFVIDIAGTSKL >KJB57332 pep chromosome:Graimondii2_0_v6:9:12128699:12130495:1 gene:B456_009G158200 transcript:KJB57332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDQMKPVAGLLLFLNFCMYVIVLGIGGWAVNKAIDHGFIIGPAFELPAHFSPIYFPMGNAATGFFVTFAMLAGVVGVASAIAGINHIRSWHASSLPSAASVAGVAWTLTLLAMGFACKQIDLEIRNARLRTMEAFIIILTVTQLFYIAAIHGGA >KJB56303 pep chromosome:Graimondii2_0_v6:9:8470251:8471089:1 gene:B456_009G115400 transcript:KJB56303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSECNQSKPRFDITMSKRTRKTNAIANEDLNHSNSTFAGSSISEPRKRDDEQEDEKESENEDRKSLKQLINGDETASTSSLGRRFSEEEEQRLQLVKKQQHYGNGGVKGMMSRYAKVLSHLVKVKREPSLGSKKKQLLRLTNVG >KJB57643 pep chromosome:Graimondii2_0_v6:9:13355920:13358063:1 gene:B456_009G173300 transcript:KJB57643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEEEYEEEAPVLQSKPRGRHITKRALKNKALSVSFNEKDLRDYVTGFHKRKKKRRKEAHKKQEQAERRKRIEQRKKRKLEKEFALYGGALPKTSSGPDGIDENNEDDEQREPSASVSGTTMYDGGNMTVTVITSEISREEEDFTSAKTQTTMLRSVGDKVDKKLALTVSKSKPFKRVAKHRPKPPSKREKNKGKKRSKNSHLILHRTNFSVDLDT >KJB55036 pep chromosome:Graimondii2_0_v6:9:4257335:4259896:-1 gene:B456_009G0592002 transcript:KJB55036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RETKKLSKEQMLEQGLARARASIRSAAIARIVRTASFMNDNDVLVRDVYRNPSTFYQSYVEMERRFKVYVYTEGELPIVHDGPCKNIYTIEGRFIHEMEHGAKRFRTNDPQRAHVYFMPFSVAWMVKYIYTPLSFDITPLKHFVSDYVKVISTKYPFWNRTHGADHFMLACHDWGPHASQGNSLLYNNSIRVLCNANISEGFNPQKDVSLPEISLIGGYLSPKLIHPPPPNASRPYFAFFAGGLHGPIRPYLLQHWKGRDNDMQVYEYLPKNKDYYSYMLESKFCLCPSGYEVASPRIVEAIYSGCVPVILSDNYVLPFSDVLRWEAFSVQVETTKIPRLKEILSAIPEEKYRKLQEGVIVVRRHFMLNQPPKRFDVFHMILHSIWVRRINARPNSNRS >KJB59795 pep chromosome:Graimondii2_0_v6:9:22802357:22805066:-1 gene:B456_009G272800 transcript:KJB59795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFIFFVTLFMLMASTPRADAAQISAAALLLRVDQLGKGDYEKIQDTIDVVPSDNREVVFILVEPGIYNEKIVVPADKPFITLSGSKPNGTIITGSDSENIFESATFTMLASDFIGQYLTIQTYYNNCYIERAVDFIFGNASSLFERCHLHTLSEGGASIIAQRKESPSEKTRFIFQGCKITGVKTDVLGRPWGPYSRVIFALTYMSNVILPQGWDDWERRLSWARLCLRFHGTRYFASPVLEDLTLLPFYYSFSLFIRDMIFLVVSMTASLGRLIQVKVTYKEYKCYGPEANNRKRVEWSQQLTAKEAEIFLTKNMIDGKSWIKSTPKRFKKASSAISNNSTTH >KJB61490 pep chromosome:Graimondii2_0_v6:9:47616801:47618479:-1 gene:B456_009G361500 transcript:KJB61490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRFQVLPPLKRFRLLQQQREEDEEFNGSKTNSFPLPAKKRKESRDVPLPETTSYCLPAKKRIWAFQPDFLSGKPLLPFDLNVEYEKQVEMEENKSNPICKTPQKCISDTRNEIFEKSNKTPFENRPPKCIKTRQEGNKENQIPKKNPLVKSPKSHQKCVNISPTKANKEVEMEEEEEDGILCDICKSTDGDPKDPIVFCDGCDLMVHSTCYGNPLIKEIPEGDWFCSLCLEKPNNDKPFACCLCPTKVGAMKPTTIDGKWAHLVCALLVPEVFFEDPEGRERIDCSKVPEKRWKGRCYVCKTRKGCVIECSEPKCGLEFHVTCGLNEDLCIEYKEGKKGAVVAGFCKSHTELWKKQQQTGKFKIVARDEHK >KJB59925 pep chromosome:Graimondii2_0_v6:9:23808542:23811057:1 gene:B456_009G281300 transcript:KJB59925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) membrane protein, Early tapetum development and meiosi [Source: Projected from Oryza sativa (Os07g0622900)] MANDGALRLAIVWLSVIMVLVGVFTFSLKKIMVTYAFGMLGISGILLPDWDFFDREFSRWPYPVTADERAALQARRSGFKRYRIYPMRVIIYTTIYSIGLYKWWILVSS >KJB55366 pep chromosome:Graimondii2_0_v6:9:5162490:5169711:1 gene:B456_009G072500 transcript:KJB55366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MNINVGDNKMELNGRDDLKRPLLEPSDSVCVTIPEPVDKLEKKRTVMFKIGNIKCASCVTSIESVLGEINGVESVSVSPIHGHAAIEYVPKLVNPKLIKETIEDAGFPVKEFSEQQIAVCRLRIKGMACTSCSESLERALKFLDGVKKAVVGLALEEAKVHFDPNITDSDRIIEAIEDAGFGADLISSGNEANKVHLKLEGVSSVEDMNTIKSYLESAIGVNHVEMDLEEKRATVNYDPDFTGPRSIIEAVQEVAHGSYKASLYIPPRQRETEQHHEINNYRNQFLLSCLFSVPLFIFSMVLPMLPPFGDWLEYKIYNMFTVGLLLRWVLCTPVQFIVGRRFYKGSYHALRLKSANMDVLVAMGTNAAYFYSVYVAIKSLSSDTFKGQDFFETSAMLISFILLGKYLEVLAKGKTSDALAKLTDLAPDSACLLILDDDGNVVSEVAISTQLIQRNDIIKIIPGEKVPVDGIVIDGQSYVNESMITGEAQPIAKKPGDKVIGGTMNENGCLLVKATHVGSETALSQIVQLVEAAQLARAPIQKIADRISRFFVPAIVLTAFITWLGWLIPGVIGIYPKHWIPKGMDKFELALQFGISVLVVACPCALGLATPTAVMVATGKGASLGVLIKGGNALEKAHKVKAIVFDKTGTLTVGKPEVVNVMLFSSVSMEDFCDVAIAAEANSQHPIAKAFLEHARKLRQKIESNRQSNNQHVTEAKDFEVHPGTGVSGKVGDKMVLVGNKRLMQTYNVTVGPEIEGYISEHEQQARTCVLVSIDGKIAGAFAVTDPVKPEAKNVILYLHSMGISSIMVTGDNWATATAIAKEVGIEKVIAEMDPIGKADRIKDLQMRGLTVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLIKSNLEDVVTAIDLSRKTISRIWLNYVWALGYNILGVPVAAGILYPFTGVRLPPWLAGACMAASSLSVVCSSLLLQSYRKSWVFQDTKSGHSHCSKST >KJB55364 pep chromosome:Graimondii2_0_v6:9:5161349:5169711:1 gene:B456_009G072500 transcript:KJB55364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MTFSFVGAMNINVGDNKMELNGRDDLKRPLLEPSDSVCVTIPEPVDKLEKKRTVMFKIGNIKCASCVTSIESVLGEINGVESVSVSPIHGHAAIEYVPKLVNPKLIKETIEDAGFPVKEFSEQQIAVCRLRIKGMACTSCSESLERALKFLDGVKKAVVGLALEEAKVHFDPNITDSDRIIEAIEDAGFGADLISSGNEANKVHLKLEGVSSVEDMNTIKSYLESAIGVNHVEMDLEEKRATVNYDPDFTGPRSIIEAVQEVAHGSYKASLYIPPRQRETEQHHEINNYRNQFLLSCLFSVPLFIFSMVLPMLPPFGDWLEYKIYNMFTVGLLLRWVLCTPVQFIVGRRFYKGSYHALRLKSANMDVLVAMGTNAAYFYSVYVAIKSLSSDTFKGQDFFETSAMLISFILLGKYLEVLAKGKTSDALAKLTDLAPDSACLLILDDDGNVVSEVAISTQLIQRNDIIKIIPGEKVPVDGIVIDGQSYVNESMITGEAQPIAKKPGDKVIGGTMNENGCLLVKATHVGSETALSQIVQLVEAAQLARAPIQKIADRISRFFVPAIVLTAFITWLGWLIPGVIGIYPKHWIPKGMDKFELALQFGISVLVVACPCALGLATPTAVMVATGKGASLGVLIKGGNALEKAHKVKAIVFDKTGTLTVGKPEVVNVMLFSSVSMEDFCDVAIAAEANSQHPIAKAFLEHARKLRQKIESNRQSNNQHVTEAKDFEVHPGTGVSGKVGDKMVLVGNKRLMQTYNVTVGPEIEGYISEHEQQARTCVLVSIDGKIAGAFAVTDPVKPEAKNVILYLHSMGISSIMVTGDNWATATAIAKEVGIEKVIAEMDPIGKADRIKDLQMRGLTVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLIKSNLEDVVTAIDLSRKTISRIWLNYVWALGYNILGVPVAAGILYPFTGVRLPPWLAGACMAASSLSVVCSSLLLQSYRKSWVFQDTKSGHSHCSKST >KJB55365 pep chromosome:Graimondii2_0_v6:9:5162490:5169711:1 gene:B456_009G072500 transcript:KJB55365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MNINVGDNKMELNGRDDLKRPLLEPSDSVCVTIPEPVDKLEKKRTVMFKIGNIKCASCVTSIESVLGEINGVESVSVSPIHGHAAIEYVPKLVNPKLIKETIEDAGFPVKEFSEQQIAVCRLRIKGMACTSCSESLERALKFLDGVKKAVVGLALEEAKVHFDPNITDSDRIIEAIEDAGFGADLISSGNEANKVHLKLEGVSSVEDMNTIKSYLESAIGVNHVEMDLEEKRATVNYDPDFTGPRSIIEAVQEVAHGSYKASLYIPPRQRETEQHHEINNYRNQFLLSCLFSVPLFIFSMVLPMLPPFGDWLEYKIYNMFTVGLLLRWVLCTPVQFIVGRRFYKGSYHALRLKSANMDVLVAMGTNAAYFYSVYVAIKSLSSDTFKGQDFFETSAMLISFILLGKYLEVLAKGKTSDALAKLTDLAPDSACLLILDDDGNVVSEVAISTQLIQRNDIIKIIPGEKVPVDGIVIDGQSYVNESMITGEAQPIAKKPGDKVIGGTMNENGCLLVKATHVGSETALSQIVQLVEAAQLARAPIQKIADRISRFFVPAIVLTAFITWLGWLIPGVIGIYPKHWIPKGMDKFELALQFGISVLVVACPCALGLATPTAVMVATGKGASLGVLIKGGNALEKAHKVKAIVFDKTGTLTVGKPEVVNVMLFSSVSMEDFCDVAIAAEANSQHPIAKAFLEHARKLRQKIESNRQSNNQHVTEAKDFEVHPGTGVSGKVGDKMVLVGNKRLMQTYNVTVGPEIEGYISEHEQQARTCVLVSIDGKIAGAFAVTDPVKPEAKNVILYLHSMGISSIMVTGDNWATATAIAKEVGIEKVIAEMDPIGKADRIKDLQMRGLTVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLIKSNLEDVVTAIDLSRKTISRIWLNYVWALGYNILGVPVAAGILYPFTGVRLPPWLAGACMAASSLSVVCSSLLLQSYRKSWVFQDTKSGHSHCSKST >KJB57607 pep chromosome:Graimondii2_0_v6:9:13284846:13285912:-1 gene:B456_009G171900 transcript:KJB57607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKSTFILAVLLLTASLTAAARPDSFEALSSEQKGNKGSGKPGNQNNKGSGGNTGGMGGFWGPGPGFGLPGFEKGWGHGIVGGGYGAGFGGPTGGYSKGGVIRTTVVCKEKGPCFNKKLTCPAKCFTYFSRSGKGYGEGGGGGGCTMDCKKKCSAYC >KJB58948 pep chromosome:Graimondii2_0_v6:9:18265248:18266891:1 gene:B456_009G231800 transcript:KJB58948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEEHDDQDEEMGMAVPAGYDSLGNSSAPRSKIGPAASGGEGAAASAPPRKVGSGIRYRECQKNHAVSIGGHALDGCGEFMAAGDEGTLDALKCAACNCHRNFHRKETDGEGSSIYNPHHHHHHYQQHPQFSPYYRAPPPAGYLHLTPQQRPLALPAASGGGIAGGYSREDEDVSNPSSSAGGGGGSGGLKKRFRTKFTPEQKDKMLGFAERLGWRIQKHDEAAVEQFCEETGVKRQVLKVWMHNNKYTLGKKP >KJB59007 pep chromosome:Graimondii2_0_v6:9:18575769:18576665:-1 gene:B456_009G235300 transcript:KJB59007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIPFLSLLLLFSLTFPSSSSAFEQQQSPLLKYHHGPLLKGNITVNLVWYGKFSSTQRSIIVDFLNSLSKPKTTSSPSVSSWWRTTAKYRGGSSSVVVGKQTLDDKYSLGKALKTSQLKVLAFKYGYGDGKAVNVVLTSADVAVDGFCMSRCGTHGSVQVKKSRFPYAWVGNSVSQCPGYCAWPFHQPMYGPQTPPLVAPNGDVGVDGMVINLATVLAGTVVNPYNNGYFQGPAEAPLEAVSACTGIFGKGAFPGYPGKVLVGETTGASYNAVGVNGRKYLLPAMWDPQTSTCKTLL >KJB60450 pep chromosome:Graimondii2_0_v6:9:45807763:45811594:1 gene:B456_009G353600 transcript:KJB60450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIILFEDIFVVDKLDPDGKKFDKVTRIEARSQNCDMYMHLDVNTEIYPMHVGDKFTMALAHTLNLDGTPDTGYYTPGRKSLADKYEYVMHGKLYKITDDASGKGLKAELYVSYGGLLMLLRGEASHVSHFELDQRIFLLMRKL >KJB59481 pep chromosome:Graimondii2_0_v6:9:21301605:21305864:-1 gene:B456_009G258500 transcript:KJB59481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKSIATSLDEVDRTMYASFCSAANSLSHLYTQTMNQQKLSFQAGKRHGLEKIYQWIWRQQEGGSRVTTMDILSYLQNELDYGEEPSMSPRAPSQQQHSQPAMQFMNTGFMVSTGSSGQTAVQGTRPDHCDQQSKNLVFSNALSSPVRRSLQHYHIAQEGYCPNGGLPSGNGARNNEPSFLQNQTRDSNPLSSNDSSMDMHADSPSHESTY >KJB59480 pep chromosome:Graimondii2_0_v6:9:21301605:21305843:-1 gene:B456_009G258500 transcript:KJB59480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKSIATSLDEVDRTMYASFCSAANSLSHLYTQTMNQQKLSFQAGKRHGLEKIYQWIWRQQEGGSRVTTMDILSYLQYICFEDSKGWFSLLKCGDLFVILSARVTLNELDYGEEPSMSPRAPSQQQHSQPAMQFMNTGFMVSTGSSGQTAVQGTRPDHCDQQSKNLVFSNALSSPVRRSLQHYHIAQEGYCPNGGLPSGNGARNNEPSFLQNQTRDSNPLSSNDSSMDMHADSPSHESTY >KJB59482 pep chromosome:Graimondii2_0_v6:9:21301605:21305945:-1 gene:B456_009G258500 transcript:KJB59482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKSIATSLDEVDRTMYASFCSAANSLSHLYTQTMNQQKLSFQAGKRHGLEKIYQWIWRQQEGGSRVTTMDILSYLQNELDYGEEPSMSPRAPSQQQHSQPAMQFMNTGFMVSTGSSGQTAVQGTRPDHCDQQSKNLVFSNALSSPVRRSLQHYHIAQEGYCPNGGLPSGNGARNNEPSFLQNQTRDSNPLSSNDSSMDMHADSPSHESTY >KJB54016 pep chromosome:Graimondii2_0_v6:9:1318103:1321175:1 gene:B456_009G016700 transcript:KJB54016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCIDVAPEQLCYIPCNFCNIVLAVSVPCSSLLDIVTVRCGHCTNLWSVNMAAAFQSVSWQDIQDYRMKESGSSSKCNKFPMRPPANNVVTEERVVNRPPGKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLEANNQTKLHDASEKHIMSRTALFNK >KJB54017 pep chromosome:Graimondii2_0_v6:9:1318103:1321175:1 gene:B456_009G016700 transcript:KJB54017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCIDVAPEQLCYIPCNFCNIVLAVSVPCSSLLDIVTVRCGHCTNLWSVNMAAAFQSVSWQDIQAPNHAMQDYRMKESGSSSKCNKFPMRPPANNVVTEERVVNRPPGKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLEANNQTKLHDASEKHIMSRTALFNK >KJB56719 pep chromosome:Graimondii2_0_v6:9:10031770:10036539:-1 gene:B456_009G133100 transcript:KJB56719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVIGSSCYLQTPISNCQLLFSSSSSSQSIFFNLRNAESKRRSRSFRPPMASLSHQDPNDHVSRKRRAVLLVGISILPFLQLRANAVEGSTLKNVTESELNKPEENQKAEEARGGSPSNPFLSFLNGLGIFGVSVLGPLYALLQKEKKETDQALESIKIKLKEKEAAIVSMEKDFESKLLNEREERTKQLKEAKEEKLSIMDQLNSANNTIAGLGQELKNEKRLIENLKVQIDSLQSNLLKAGEEKRSLEQELKEKLDLVGVLQEKVNLLSSELKDKEGNIQKLSSSIDEKESELKNVNTAYEKTKEELRKANSEIEGLKEELQRNQSELESKNAAVDELNARISSLTVEKDNSRQEFGALQEDYNNLKVSSENKVAADAKLLGEREKEIHLLKDQLELAVNDASKNKAIVVDLEKERENLKKALEVELENLKKLKEELLVAEETLAKSKSEASDLFKQLKNSQTQCKELESEVSRVRAEFDETKLRLQGSLDEAEQSGKVLANELAAAKELLNKTREEVQTFSHELASMTENRDSLQRELVDVYKKAETTAGDLKEEKAIVSSLKKEVQALEKQISKDKEARKSLEKDLEEATKSLDEVNQNILKLSKDLESTNAKISSLEDEKTVLYKTLTEQKNAAKEARENMEDAHNLVMSLGKERESLEKQAKKLEEELSSAKGEILRLRSEINTSKVAVNDEHGQESDNEGEAKVTVSSRKTTRRRKSSSQ >KJB55004 pep chromosome:Graimondii2_0_v6:9:4328430:4330945:1 gene:B456_009G060300 transcript:KJB55004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLLQLFLFLVSLIFTHENMIVVAVPGPLASSLSPVSSPFSSPISASMSAFSPGFQLGSEEHTKKDPPNKMLIAIIIGCCSLGAIICSLFCLWIYYRKNSHKSTTNDAKNSDAEKGSGLAPFFGKFKATRTVSKEGSASFMEYKTLEKSTNKFHQCNILGEGGFGCVYKAQFDVGSFAAVKKLNCANQDAKREFQNEVDLLCKFKHPNIISLLGFSSENEMRFIVYELMPNGSLETQLHGPSRGSSLTWDMRMKIALDTARGLEHLHEHCNPPVIHRDLKSSNILLDSAFNAKLSDFGLAVTDAAQNKNNLKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELIFGRKPVEKLAPAQCQSIVTWAMPQLTDRSKLPSIVDPVIRDTMDLKHLYQVGKLLVKKMYCECHYLVGNLIFILKPKFDFILLSAVSDKSYWLG >KJB55003 pep chromosome:Graimondii2_0_v6:9:4327825:4331774:1 gene:B456_009G060300 transcript:KJB55003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLLQLFLFLVSLIFTHENMIVVAVPGPLASSLSPVSSPFSSPISASMSAFSPGFQLGSEEHTKKDPPNKMLIAIIIGCCSLGAIICSLFCLWIYYRKNSHKSTTNDAKNSDAEKGSGLAPFFGKFKATRTVSKEGSASFMEYKTLEKSTNKFHQCNILGEGGFGCVYKAQFDVGSFAAVKKLNCANQDAKREFQNEVDLLCKFKHPNIISLLGFSSENEMRFIVYELMPNGSLETQLHGPSRGSSLTWDMRMKIALDTARGLEHLHEHCNPPVIHRDLKSSNILLDSAFNAKLSDFGLAVTDAAQNKNNLKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELIFGRKPVEKLAPAQCQSIVTWAMPQLTDRSKLPSIVDPVIRDTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPMELGGTLRVTQPATP >KJB55005 pep chromosome:Graimondii2_0_v6:9:4327825:4331774:1 gene:B456_009G060300 transcript:KJB55005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLLQLFLFLVSLIFTHENMIVVAVPGPLASSLSPVSSPFSSPISASMSAFSPGFQLGSEEHTKKDPPNKMLIAIIIGCCSLGAIICSLFCLWIYYRKNSHKSTTNDAKNSDAEKGSGLAPFFGKFKATRTVSKEGSASFMEYKTLEKSTNKFHQCNILGEGGFGCVYKAQFDVGSFAAVKKLNCANQDAKREFQNEVDLLCKFKHPNIISLLGFSSENEMRFIVYELMPNGSLETQLHGPSRGSSLTWDMRMKIALDTARGLEHLHEHCNPPVIHRDLKSSNILLDSAFNAKLSDFGLAVTDAAQNKNNLKLSGTLGYVAPEYLLDDKSDVYAFGVVLLELIFGRKPVEKLAPAQCQSIVTWAMPQLTDRSKLPSIVDPVIRDTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPMELGGTLRVTQPATP >KJB60460 pep chromosome:Graimondii2_0_v6:9:27766976:27770867:-1 gene:B456_009G305900 transcript:KJB60460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADANNKEAQSKSKNPLEPRNFFSMLPKVEFKFPSFDQQSEKPDASVEKEEQFEIAKPPSVFMGNRRKNPPPLEFEAEECLGRTSNPIVLWQVYAIGGFFLLKWIWARWNERKEMGSKKEASDDDEQPPAVDDSQYV >KJB62634 pep chromosome:Graimondii2_0_v6:9:66892405:66893732:-1 gene:B456_009G427100 transcript:KJB62634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETTDECVYQTTDKWYDIEHVENVLANILSRVPVKSLLVCKSVCKRWRRLISSQAFIDVQLLWSQKNSVYIVYPYMDMMMKLYLVNNSGEITKSINFPYCDNLSLLTVVCSFDGLLCCINYPWKVDSGMVVEDVTDVEIRICNPVTKKALLLPRGSPSKEKPSIGVAFGPKINEYKIFRFFNAKRISLAKHQSRVNRRQCEVYSSSTGSWSVIGRVPYYPMHSSHSPLGSNHVFVNGKIYWFIASNEDPLIPGSILMADIEETFETINLPKEVTEHSYLVNLEGHLSLVAVYDEDEIVNIWILKDRNEPKWELKCSDHIPFSNDECVEFAVARENEIFFITSNHHYIYDPEHGFWQELDLSSISEKKFPVAFAYTESLLSCGGRMDPRMEYISDKRSI >KJB57842 pep chromosome:Graimondii2_0_v6:9:14069962:14074710:1 gene:B456_009G182900 transcript:KJB57842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGSFIQVLPDSHFSIQNLPYGAFKAHPTAPARLATAIGDYVVDLSEIAKAGLFNGPILAASDCFLQPTLNNFLALGRPAWKEARATLQKLLSSTEPTLRDNADLRQKSLVPMSKVEMVIPMEIGDYTDFYSSMHHAKNCGTIFRGPQNAIPQNWFHLPIAYHGRASSIVISGTNINRPRGQGYPTGQSPPYFGPSLKLDFELEMAAVVGPGNELGKAIDVNEATDHIFGLVLMNDWSARDIQAWEYVPLGPFLGKSFGTTISPWIVTLDALEPFACDAPKQDPHPLPYLAEKISKNYDIELEVQIKPSGQTDSCVVTRSNFKNLYWTVTQQVAHHTINGCNLRPGDLLGTGTISGPEFESFGCLLELTWNGQKPLPLNGTTRKFLEDGDEVTFSGCCKGDGYNVGFGTCAGKIVPPRD >KJB57841 pep chromosome:Graimondii2_0_v6:9:14069962:14074671:1 gene:B456_009G182900 transcript:KJB57841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGSFIQVLPDSHFSIQNLPYGAFKAHPTAPARLATAIGDYVVDLSEIAKAGLFNGPILAASDCFLQPTLNNFLALGRPAWKEARATLQKLLSSTEPTLRDNADLRQKSLVPMSKVEMVIPMEIGDYTDFYSSMHHAKNCGTIFRGPQNAIPQNWFHLPIAYHGRASSIVISGTNINRPRGQGYPTGQSPPYFGPSLKLDFELEMAAVVGPGNELGKAIDVNEATDHIFGLVLMNDWSARDIQAWEYVPLGPFLGKSFGTTISPWIVTLDALEPFACDAPKQDPHPLPYLAEKISKNYDIELEVQIKPSGQTDSCVVTRSNFKNL >KJB61965 pep chromosome:Graimondii2_0_v6:9:54483136:54487811:1 gene:B456_009G394700 transcript:KJB61965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVCATLGICSVCASCCGPKPAEEDQKKTDNEGEKSETKEAEAGAAAADGAEVQKDPPEEMKIVKEEKGPGEETLSQRQKSKVTQRQQSSMTRQQSMSMSTPMQRQQSTLQRQQSKVTQRQQSKAPPQRLESKPSQVAVAAATAAVAGKEEAKADAAKPRKPHNVKRQSCAGLKVDAVLQTKTGNLKEYYNLGKKLGQGQFGTTFLCVEKGTGKEYACKSIAKRKLVTPEDVDDVKREIQIMHHLAGHPNVVTIKEAYEDSVAVHVVMELCAGGELFDRIVQRGHYSERKAAELARTIVGVVEACHSMGVMHRDLKPENFLFVNEQEDSPLQAIDFGLSIFFKPGEVLSDVVGSPYYVAPEVLQKHYGPEADVWSAGVIVYILLSGVPPFWGETEQEIFEEVLHGELDFTSDPWPNISESAKDLVKKMLVRDAKKRITAHEVLRHPWVQVDGVAPDKPLDSVVLSRMKQFSAMNKLKKMALKVIAQRLSEEEIAGLKEMFKMIDTDNSGQITYDELKDGLKRFGADLDESEFRALMQAADVNNNGTIDYEEFVAATLHLNKIEREDNLMAAFSYFDKDGSGYITQDELQKACQEFGIEEIHLDEMIGEVDQDNDGRIDYNEFVAMMQKGNPDLGKKTLGIREALPPS >KJB55077 pep chromosome:Graimondii2_0_v6:9:4467909:4470015:1 gene:B456_009G062200 transcript:KJB55077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERHILFGKYEMGRLLGKGTFAKVYYAKELKSGESVAIKVINKAEVKKEGMMEQIKREISVTRLVRHPNVVELKEVMATKTKIFFVMEYVRGGELFAKVFKGKLKEEAARKYFQQLISAVDFCHSRGVSHRDLKPENLLLDENEDLKISDFGLSALPEQLRNDGLLHTQCGTPAYVAPEVLRKKGYDGSKADIWSCGVILYVLLAGFLPFQDENIMKMYRKVFKAEFEFPLWFSTESKRLISKLLVADPERRIAIPAIMRVPWFRKGLRRPLSFSIEEPISDNTEDDDSVSKTTKPSSPKFFNAFEFISSMSSGFDLSSLFENKRKPRTMFTSRCSPTTIMAKIEVVVKGLNFRVGKVKDFKMKLQGSSEGRKGKLLVTAEVSEVAPEVAIVEFSKSSGDTLEYAQFCEESVRPALKDIVWTWQGDSFNYSSNVKIEGEECEKCQPHTA >KJB56268 pep chromosome:Graimondii2_0_v6:9:8287704:8289757:1 gene:B456_009G113800 transcript:KJB56268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIFLQWLSLVAIIWLQSINGTNSNFPAYSSQLKHLLSISQIQLNNLAFASDAGKLFGWLSGSAANYLPMWLVLILGSSLGLIGYGVQYLFLIGRVSNLSYGAIFFLAMLAGNSICWINTVCYLVIIRNFPLDSQLAVGLTGSYQGLSAKIYTDIVDVVYPCSNVERARAYLFLSSVLPLTVSVIVAPVVRVINTVKSKREEVAFILILIITTVTGAFAIMGSMGSASSLLSPFTGAVGMVALLLAPLPIPLGLGLRHQIGKLSGEKIHIEETNSVSMDKTESGVTLDISDIISESPSESFELSFSSTSEKMNGDQQSESSTSGDDKISETNETNSVMEEIGVKVMLRRLNFWLYFFVYLFAVTLGLVFFNNLGQIAESRGRSASSLVSLSSSFGFFGRLIPSIVDYFVSRRKYMISRPAFVVALMVPTGAAFFLLLVKDNTALWLYISTATIGVCTGAIASISVSLTTELFGTKNFGVNHNVLVINIPIGSFLFGYLAAIVYRKKVNAKGKCFGMECYRNTFILWGTLCFIGTFLALILYARTRKFYNSQRSQGNRS >KJB60431 pep chromosome:Graimondii2_0_v6:9:27629749:27630593:-1 gene:B456_009G305000 transcript:KJB60431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELILLLVKIIGTVVLVGVSVMFLRLLDALVLTPKRIRSQLQIQPITQSTPPPSLAPNNTQFKGKPVWFNAYVFTEGNTDFAEEASGYGRKWVRQRGYEDDNVVSPGRYVCKCEYGFPKLVSFKKDDEDDLVRASLFTRWLTCLFLPVHIIKFQN >KJB60425 pep chromosome:Graimondii2_0_v6:9:27513306:27519513:-1 gene:B456_009G304700 transcript:KJB60425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGGLNLVMTVIGFTVSIMFIVFICTRLICARIQLRASRRPFAISSRSDLNMLERGLHGIEPVVVANFPTKTFCNECFSANKDAQCTVCLSEYRCEDVLRILPYCGHSFHVTCIDLWLQQHSTCPVCRISLRELPEKKRLMQPLFSSAGRSHFGTESFNMHTYNCLLRGHGFPSRTRENPVMDPNQENSFATGNLGSGENMSRTIEDGEDNIKEPRKKLVESPSNP >KJB61529 pep chromosome:Graimondii2_0_v6:9:48654523:48656855:-1 gene:B456_009G364500 transcript:KJB61529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDEFIAAHTGYKMQDNPIMPESTSFRYENVSDVPTNFDWRDQGAVTPIKNQGQCGCCWAFSAVAAVEGIIQIKTGKLITLSEQQLLDCSTNGGNQGCSGGWMMNAFEYITQNQGITTEESYPYQQMQETCDAQINKVATINGYQMVPQNDEEALLKAVTNQPVSVALDGYGQSFQFYKGGVFTGDCSNDLTHAVTIVGYGTSEEGLNYWLIKNSWGETWGENGYMRIQRDVNTPGGLCGIAMKASYPIA >KJB58545 pep chromosome:Graimondii2_0_v6:9:16659063:16661699:1 gene:B456_009G214400 transcript:KJB58545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEWVQIAVAAVGVGILLMLLVVFILRCCYRKDNIDDNRAVVGTGNLQDGISRLHQPSLHHHHQVDLDIKRRGNYYVFRRGVSAKPLFNWADHPSLITDAVENGWSRFGFTRYTSSPSTRSSLLGLCAAGDHGRGNDVEVNWEVCQGSADFMQKIRLNSGSKKGTLSHHSMEAASVIRTALPLPGPPLGNSAFPQEAYFEITILYCPGDNRESSGKLSEGEKTKLIQENSNSKASSESLVHAIGGHDFNTIDELKLATIDDGKGEAVMLSVGLTAGDSLPLKLPGSYPGSIGFNSDGSVYLDGIKLVFESEKEEWGKTEKVIGCGFDPRQKKVYFTLDSELVRVINCKTEEFGSPLYPTLAANDDVLVLVNFGQSAFAYGPANGQRTPNPCFIGPLVNSPAAALGYEDSKELFSMGRIDSQWFNRSTTKGSHNNGTNYYSTSEFDEESEADLFEIILDSNGRSPNSVL >KJB57549 pep chromosome:Graimondii2_0_v6:9:13086820:13088165:1 gene:B456_009G169500 transcript:KJB57549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMTTSSSSAFKLICLLHSAVALSSGALMMFDMKGIYTFTHGIETATKLLGSSPHDQLLIRTSDSFSGLLLFAIGFLLFMVSFVKDKSFQSFFAKGCTVLHVIVAMWRFWFERRVEDLAWDWLRQTVGDILLGLSWVFFLVYSWREKYD >KJB54427 pep chromosome:Graimondii2_0_v6:9:2494744:2501454:1 gene:B456_009G033500 transcript:KJB54427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHEEEVKEKEMTEEEGAEEEQEEGDDVTSLTLERVAAAKQFIESHYKAHMKHIQDRKERRLVLEKQLASSDFPEEEQINLLKELERKESDYMRLKRHKICVDDFHLLTIIGRGAFGEVRLCQEKKSGDIFAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHFIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLTETVARFYIAQSVLAIESIHRHNYIHRDIKPDNLLLDKNGHLKLSDFGLCKPLDCRNLSSINENETLDDENLNESMDVDGHFPESGRGRRWKNPLEQLKHWQHNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWRNHLKFPEEARLTPEAKDLICKLLCDVDHRLGTQGADQIKAHPWFKDTRWDKLYEMEAAFKPHVEGEFDTQNFMKFDEVDCPRTGSGPLKKTFLAPKDLNFVGYTYKNFEAVKGLRHSFADVKEFGPVRQASTDSFHSDSGVDYSNSDKETRML >KJB54426 pep chromosome:Graimondii2_0_v6:9:2496153:2501038:1 gene:B456_009G033500 transcript:KJB54426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVLEKQLASSDFPEEEQINLLKELERKESDYMRLKRHKICVDDFHLLTIIGRGAFGEVRLCQEKKSGDIFAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHFIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLTETVARFYIAQSVLAIESIHRHNYIHRDIKPDNLLLDKNGHLKLSDFGLCKPLDCRNLSSINENETLDDENLNESMDVDGHFPESGRGRRWKNPLEQLKHWQHNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWRNHLKFPEEARLTPEAKDLICKLLCDVDHRLGTQGADQIKAHPWFKDTRWDKLYEMEAAFKPHVEGEFDTQNFMKFDEVDCPRTGSGPLKKTFLAPKDLNFVGYTYKNFEAVKGLRHSFADVKEFGPVRQASTDSFHSDSGVDYSNSDKETRML >KJB54428 pep chromosome:Graimondii2_0_v6:9:2496744:2501454:1 gene:B456_009G033500 transcript:KJB54428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLCQEKKSGDIFAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHFIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLTETVARFYIAQSVLAIESIHRHNYIHRDIKPDNLLLDKNGHLKLSDFGLCKPLDCRNLSSINENETLDDENLNESMDVDGHFPESGRGRRWKNPLEQLKHWQHNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWRNHLKFPEEARLTPEAKDLICKLLCDVDHRLGTQGADQIKAHPWFKDTRWDKLYEMEAAFKPHVEGEFDTQNFMKFDEVDCPRTGSGPLKKTFLAPKDLNFVGYTYKNFEAVKGLRHSFADVKEFGPVRQASTDSFHSDSGVDYSNSDKETRML >KJB54682 pep chromosome:Graimondii2_0_v6:9:3224733:3227098:1 gene:B456_009G044600 transcript:KJB54682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKRYISQTELESHKKPGDLWISIQGKIYDVTEWSREHPGGALPLLNLAGQDATDAFVAYHPGLAWQYLDKFFTGYYLKDYSVSEVSKDFRKLAAEFSKMGLFEKKEHGTGILLCIIALLFSISVYGVLCSNSALVHLLSGALLGFSWIQSGWIGHDSGHYQVMSSRKFNRVAQILTGNCLAGISIGWWKWNHNAHHIACNSLEFDPDLQHMPIFAVSSKLFSSLTSYFYERKMNFDSIARFLVSYQHWTFYPVMCFARINLFAQSFLFLFSKRKVPNRGQEILGILVYWTWFPLLVSCLPNWGERLMFVVASFSVTGIQHVQFCLNHFSSSVYVGPPSGNNWFEKQTDGTLDIVCSSWMDWFHGGLQFQIEHHLFPRLPRCHLRKVSPFVQELCKKHNLQYDTASFWKANVMTIETLRSAALQARILSNPIPKNLVWEAVNTHG >KJB55745 pep chromosome:Graimondii2_0_v6:9:6803792:6804974:-1 gene:B456_009G093200 transcript:KJB55745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin-like protein [Source:Projected from Arabidopsis thaliana (AT2G29640) UniProtKB/Swiss-Prot;Acc:O82391] MASEQNQIYHERQRLQFCLLHSLNNLFQQKDAFTRATLNSIAERLVLDDPEKEIWTPFSLVFKPHHNSVTGNYDINVLMAALEGRGKTVIWHDGRNGASAIDLNDGLLMGIVVNVPVRRYGGLWKSRHWIAVRKIDGVWYNLDSDLQAPHCFKDCGEVREFLNFIINHDGQVLLVKK >KJB61172 pep chromosome:Graimondii2_0_v6:9:40813895:40815264:-1 gene:B456_009G344200 transcript:KJB61172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAALSPFLYLDPSQRQHFHIHLNPTKTPPFLSSRNPLLSLQRLHQCNCRCSFPSSSPNSVTPSQYYSFLDDPFRGSRFLSNEELEKLKALESFVYLQELESGSLWVRVMRNEEMDLTAGLLAESFAESMLMPLGYGALLRFLVKQYLIERRAVMPHAVTLVGFYRDKGGEKGIDLAGTVEVCFDKRGANASPPTPIPPKNSPYICNMTVTKQLRRFKRLYVGNIEGPAPFRSPELSSDDPPTRLNEDHPLNTESTSLPN >KJB61173 pep chromosome:Graimondii2_0_v6:9:40812222:40815324:-1 gene:B456_009G344200 transcript:KJB61173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAALSPFLYLDPSQRQHFHIHLNPTKTPPFLSSRNPLLSLQRLHQCNCRCSFPSSSPNSVTPSQYYSFLDDPFRGSRFLSNEELEKLKALESFVYLQELESGSLWVRVMRNEEMDLTAGLLAESFAESMLMPLGYGALLRFLVKQYLIERRAVMPHAVTLVGFYRDKGGEKGIDLAGTVEVCFDKRGANASPPTPIPPKNSPYICNMTVTKQLRRRGIGWHLLKASEELLSQMASTKEVYLHCRMIDEAPFNMYIKAGYNVVQTDSVLILLTFQRRKHLMCKKLPVYNSLYESDMSESGEELLS >KJB55484 pep chromosome:Graimondii2_0_v6:9:5918446:5922320:-1 gene:B456_009G081600 transcript:KJB55484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMEDTKSEAHLTSAAAFVEGGIQDACDDACSICLEAFCESNPFTVTSCKHEFHLQCVLEWCQRSSQCPMCWQPISLKDPTSQELLEAVEHERSFRFNPSRNATIFHHPTLGEFDLQHLPVGANETELEDRIIQHLAAAAAMGRVRHIARREGPRNGSSGQARPQFLVFSANPNALSTGPFSSSSPTQREGSPAPAITINTPSSPVRTVGEECSPSITPIPSAEADQQSTLASGSSVLLVDNQGNSLNNRSPNQSPNSQDRAGPSEFQSFSESLKSKFNAASMRYKESISKSTRGWKERFFSRDTSMSDLGSQVRREVNAGIATVSRMMERLETRDDRTSTATVSNSSEDGSNLESNNHQISDAGGGTPSIETSAQASCATSSAPK >KJB55483 pep chromosome:Graimondii2_0_v6:9:5917592:5922350:-1 gene:B456_009G081600 transcript:KJB55483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMEDTKSEAHLTSAAAFVEGGIQDACDDACSICLEAFCESNPFTVTSCKHEFHLQCVLEWCQRSSQCPMCWQPISLKDPTSQELLEAVEHERSFRFNPSRNATIFHHPTLGEFDLQHLPVGANETELEDRIIQHLAAAAAMGRVRHIARREGPRNGSSGQARPQFLVFSANPNALSTGPFSSSSPTQREGSPAPAITINTPSSPVRTVGEECSPSITPIPSAEADQQSTLASGSSVLLVDNQGNSLNNRSPNQSPNSQDRAGPSEFQSFSESLKSKFNAASMRYKESISKSTRGWKERFFSRDTSMSDLGSQVRREVNAGIATVSRMMERLETRDDRTSTATVSNSSEDGSNLESNNHQISDAGGGTPSIETSAQASCATSSAPK >KJB55167 pep chromosome:Graimondii2_0_v6:9:4752137:4755592:1 gene:B456_009G066700 transcript:KJB55167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLNTLQLGKDAYMTKALQPMLGNGVIRSNGQFWAHQRKIIAPEFFMDKVKGMVGLMVESTVPLLKKWEAMIDAGGGLAAEINVDEDLRSVSADVIARACFGSSYVKGKQIFSKLRTIQTTITKQGFLFGLNGSRFFPNKKVSNLEREVELLIWETVKRRQQECVDKSSGQKDLMQLILESAVNEAGVGPGAGSPQKFIVDNCKNIYFAGHETTAITASWCLMLLALYPEWQSRIQAEVAQVCDNGLPDADSVSRLKTVTMVIQEAMRLYPAAAFVSREALEDTRIGNILVPKGVCIWTLIPTLHRDPEIWGADANEFKPDRFINGVSKACKSPQGYIPFGLGTRLCLGKNFAMVQLKVLLSLIVSKFTFSLSPSYHHSPAFRMLVEPEHGVYIKIQKI >KJB55166 pep chromosome:Graimondii2_0_v6:9:4751502:4755592:1 gene:B456_009G066700 transcript:KJB55166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPDLVVISKALFSVALASAVCYVFHYYTRSWLRSQRLRKKLCMQGIKGPPPGFVYGNVAEMQQIQLKAKKTRNHSEIVAHDYTSTLFPYLDHWRKEYGSIYTYSTGLRQHLYVNDLEIVKEMNQLNTLQLGKDAYMTKALQPMLGNGVIRSNGQFWAHQRKIIAPEFFMDKVKGMVGLMVESTVPLLKKWEAMIDAGGGLAAEINVDEDLRSVSADVIARACFGSSYVKGKQIFSKLRTIQTTITKQGFLFGLNGSRFFPNKKVSNLEREVELLIWETVKRRQQECVDKSSGQKDLMQLILESAVNEAGVGPGAGSPQKFIVDNCKNIYFAGHETTAITASWCLMLLALYPEWQSRIQAEVAQVCDNGLPDADSVSRLKTVTMVIQEAMRLYPAAAFVSREALEDTRIGNILVPKGVCIWTLIPTLHRDPEIWGADANEFKPDRFINGVSKACKSPQGYIPFGLGTRLCLGKNFAMVQLKVLLSLIVSKFTFSLSPSYHHSPAFRMLVEPEHGVYIKIQKI >KJB55039 pep chromosome:Graimondii2_0_v6:9:4271132:4272931:1 gene:B456_009G059500 transcript:KJB55039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTSNQDNQQLFSLLTSLQKASKDLQKTPIFSTHEPQSTLEPFLHLEKDANPILSNDPHLSKLSQLLCNLKTLLEKLQKYQGYTLPSILRRQIINYKIYQVAYSIDTEIQAYFDRQSVQNLVETLEGSDDEDEKVKVLAEFEKRLSQGFDSYFQDLILKAKVFSILELLLCDSSCSIRIQDQVALVIASLIRFNKDVFVGLVLMGPTVRALISMPSCCSIRVLSLLIKFIRIPLVDELEAHKEIPRIISLLSSENVSIQVGALDCILGIAYYGRREAIEAMLEAGLVEKLVKLQRLEKQSNDDENGTNNGGGSKSDPKMECDEEGYVGNCPFEGCVARFAVQLEAGEMLSKKEKIEFKLEILRRVREASISEAETATIVAEVLWGSSP >KJB62943 pep chromosome:Graimondii2_0_v6:9:69564521:69564540:1 gene:B456_009G4445001 transcript:KJB62943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKKTE >KJB62067 pep chromosome:Graimondii2_0_v6:9:57120485:57122766:1 gene:B456_009G399400 transcript:KJB62067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVIVFFFRRKSPPTSTHHSDENLLGFSVKCIEDYLFLDFGCGGFVCGGKYGEIDVKGGAFQRNAHVSHRAAQRLWERRGSDQLSVIVAMFRFC >KJB61628 pep chromosome:Graimondii2_0_v6:9:50075055:50080759:-1 gene:B456_009G3713001 transcript:KJB61628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRNLNFDQFALLEFKDRIAGPQNVLADNWMASTSVCNWIGVSCGILHKRVIALNLTSMNLRGTIPPHLGNLSFLLSLDLSSNHFYGHLPKELGQLRHLRILQLSYNRLNGEIPSWLGNLQRVRRLQMKNNYFTGTIPETLVNISNLEILNLGLNQLSGQTIALSNNSLSGSLPNDMCQHLPKLEVLYLHSNELSGNIPSSMGKCSNLKNLSLSLNQLTGIIPRSISNLTQLKELYLGFNNLEGQIPDEIGNLLGLELLNIQAIKGLTGQIPTSIFNISSLKTIALSNNSLSGSLPNDICRHLPKLEVLYLHRNELSGNIPSSMGKCSNLKNLSLSLNQLTGIIPRSFGNLTQLGELYLGFNNLEGQIPEEIGNLLGLELLNIQAIKGLIGQIPTLIFNISSLKTIALSSNSLSGSLPNDICQHLPKLEVLYLSQIPSNIFNSTTLEEIDLFNNKLEGNLPPITNPPKLEILLLWRKKLSGNIPNSIFNASMLKELDLAHNLFSGPIPKTLGNLRHLEWFRIKNNNLITGSSTDHEWSFLSSLTNCRNLSTIDVSGNPLSGVLPTYIGNLSKSLQYFYASNCELQGIIAMEIGNLTNLLLLQLGYNKLSGLIPASIGGMRNLQGLFLSSNKFGGPISESLCGLERLYEMYLGLNKLHGSIPSCLGNITSLGYLYLDSNKLSSAIPSTLWNLKDILEIDLSSNHLHNSHAIDVGNLRSLLKLNLSRNLLTGDILSSFGGLQTLVSLDLSNNILHGYIPESFDGLISLEFLDLCNNNLSGVIPKSLEKLLYLKYFNVSFNRLEGEIPSEGCFSKFSSTSFMKNYALC >KJB58576 pep chromosome:Graimondii2_0_v6:9:16797445:16800337:-1 gene:B456_009G216000 transcript:KJB58576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVVQMLLRLVEKEQGSSMSAENPDDVLISPSGMFSAGFYRVGQNAYCFAIWFSKPCHDGSHTIVWMANRDTPVNGRRSKLSVLKTGNIIITDAGQFIIWTSNNTVRDHSWSQLNLLDSGNLVLQSLVNDTLWQSFDSPTDTLLHEQPLTQHNRLVSARSNYKLMYDYDNVLRLVLDSPETSSIYWPDSTVLDYLQGRTRYNDSRIAVFDSSGYFTSSDKVEFQSADFGRGPWRRLTLDFDGNLRLYSLEEQKGIWSVTWQAMPNSCRIHGICGPKSICSYDPSSGPKCSCLPGFKMKNQADWKDGCEPEFNLSCDNHDFKFVQFHHTNFFGYHYDILRNHTLEECAKACLKLCCIAFQYRYFPKDGAYRCYPKWELRNGYHYPSYNGTLYLKLPKSFQYNKPVEGLKLNCSINQTKQLERAYHKRTGYGSLEILIWCASVIGLLELICVILVFSFLYKTGISSNASTRGYHAAATGFKQFTYAELKTATRGFSEEIGRGGCGVVYKGVLSDQRIAAIKVLNIEVNQAEEEFLAEASTIGRVNHMNLIEMWGYCAEGKHRLLVYEYMENGSLARNLMSNSLDWKKRFDIAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDSNYQPKVADFGLSKLLNRDNLKGPSFSKIRGTRGYMAPEWVYNLPITSKVDIYSYGIVILEMVTRRNPTNGIPAVETSGEIWHQKLEAWVKGKKNGAAATSWVEELIDPAMGYDYDRNKMENLLEVAIKCTEAERHARPSMSKIVQLLQSATQ >KJB60164 pep chromosome:Graimondii2_0_v6:9:25256869:25259733:-1 gene:B456_009G292200 transcript:KJB60164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLELDCAICSQPCVFVPKIYTCIFGQTLYSLVHVLSCVCFKVCFSGIGFGFKVSEGHRKNLFDYKSCRNIRLLRLVYVCFKYRFNINIYLWGFWFPRRLSTFRTHFSFLFTATESAH >KJB57453 pep chromosome:Graimondii2_0_v6:9:12666793:12669151:1 gene:B456_009G165100 transcript:KJB57453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLSPASSSSSSSSSFVFTCFFIILFVTINSSNPVAITTKAKRPPRQLSVNYYAKSCPQVEQLVGSITSQQFKEIPVSAPATIRLFFHDCFVEGCDASILIATQPGSKILAEKDAADNRDLRTEGFDTITRAKALVESKCPGIVSCADILAIAARDFIHLAGGPYYVVKKGRWDGKISMASRVPNNLPHANSTVDQLIKLFSSKGLTIQDLVVLSGAHTIGFAHCKNFVDRLYNYKGTKQADPAIDPRLLKALKMSCPQVGGNADIVAPFDVTTPFVFDHAYYTNLQSKLGLLASDEGLFLDPRTKPLVQSFGLDKAKFFLAFSAAMEKMGSIGVKRGRRHGEKRKACSIHM >KJB60098 pep chromosome:Graimondii2_0_v6:9:24893855:24895219:-1 gene:B456_009G289600 transcript:KJB60098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEPLNLSLAIMADPGGEKINRKRKRRVPLPSSYEGCEGKIFKLLQVREEMLKLDYKRKGVVEDGKALHLIHLLLITATSVDENNVNSALDNLTQLYQSVSLMGDSVQRVVAYFADGLVARLLTRKSPFYDMIMKEPTAEEEFLAFTCLYRVSPYYQFAHFTANQAIIEAYEKEEEFNNRALHVIDFDVAYGFQWPSLIQSLSEKASGGNRISLRLTGFGASFAELQETENRLVSFAKGFRNLVFEFQGLLRGSKLTNLRKKKNETVAVNLVFHLNTLNNSMKISDTLKSVRSHRPSIVMLVEQEGGRSPRSFLSRFMESLHYFAAMFDSLDDCLPLESAERLSIEKNHLGKEIKSMINCDKDEENNKSSSRYEKMETWKSRMESHGFEGTKLSSKCLIQAKLLLKITTHYCPLQCEGEVNGGFRVFERDEAKALSLGWQDRCLLTASAWQCV >KJB58985 pep chromosome:Graimondii2_0_v6:9:18478601:18480148:1 gene:B456_009G234000 transcript:KJB58985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGLILGIGRTGRKRTSSLDILSSKRAPRDYLLRGQELQGMEWLFSKFSRYVVMQEKLPNYVVPDLTDFKLKPYVFQCPRDIKTAEAAE >KJB55509 pep chromosome:Graimondii2_0_v6:9:5746369:5747868:-1 gene:B456_009G079700 transcript:KJB55509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTARSLSQAKLQSCSATLSPSFLQSKASLSASNLVVPFQSCKNYGVRLKFDRNRLRKQRNVAVIFASESESNTSTDVTDRWLLEPAGDGDSRHIGFKVQMPDAFEIASSEVTVGRLPDKADVVIPVATVSGIHARIQKKGGNLLVTDLDSTNGTFINDQRLRPGVVSKVPPGSFLIFGDIHLAMFRVSKLENVASIESKPEESADKVESETATEGPSMD >KJB62205 pep chromosome:Graimondii2_0_v6:9:59717151:59717940:-1 gene:B456_009G4063001 transcript:KJB62205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPYVEQVEYLDVLTKIDKKIGKKIGGSKPRGDVHRDGDYHKAVNVWIFTESTQELLLQKCADCKD >KJB56032 pep chromosome:Graimondii2_0_v6:9:7555983:7556764:-1 gene:B456_009G104300 transcript:KJB56032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNQTGVTSNSKTSLVSPGPTFPKAILHSNPELSPPLLPEELFCLLKYSDQIYITLKKKKTFLFLRNQILK >KJB58104 pep chromosome:Graimondii2_0_v6:9:14989348:14991920:-1 gene:B456_009G195000 transcript:KJB58104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTFLPEPAAEPMKVEQPSKRGRNPVKKQKEKQPSSWDQIKNLLSCKQIEGSKVHDPSKNNQLPHHHGYSKLGSSCKSICSFNDVVHGNTRVVHRADNSPESSTVGQETGLLRRKAGNSSSTRSLSGSTRTNNSSTTYTTSSSSRAMQFRKLSGCYECRMIVDPSRYPSSRTTISACSQCGEVFPKIESLELHQAVRHAVSELGPDDSGRNIVEIIFKSSWLKKDNPICKIERILKVHNTQRTIQRFEDCRDAVKTRALNSTRKNPRCAADGNELLRFHCTTLSCSLGARGSSSLCGSIPGCGVCTIIKQGFQKKGGGAAAAAEFKGVCTTASSGRAHDSLKCTDGRRAMLVCRVIAGRVKRVTEDAPPFEEDNSGVVAAATGSYDSLAAYAGVYSNLEELVVFNPRAILPCFVVIYKAHES >KJB59185 pep chromosome:Graimondii2_0_v6:9:19476809:19478578:1 gene:B456_009G243200 transcript:KJB59185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTHQRKPTMEAYQNQNKWPQKIDKPPSWAVLKGFFFGKYHHRQQEQEEQQKLQPKKKVKKQKQQQKEQVMEETGKKCKKMRCSGSLCSNTKVIHCRPEMASPEVHKKRASFGSFDTSSRSMKAPLRELNGVAVSSTNSSSLLSVSSAAPPASSSAGGSFGGMPFRRFSGCYECKLVVDPLLGISKDPSLRGTICSCPECGEIFMKAENLELHQAVRHAVSELGPEDTSKNIVEIIFKSSWLKKQTPICQIDRILKVQNTPKTISKFEEYRDSIKSKATKKHPRCIADGNELLRFHCTTFACSLGLSGSTNLCNTIPKCNVCSIIKNGFKVAQETNGKGILTTATSGKAHDKAAGVEDGDYEKRAMLVCRVIAGRVKKNIMEGDNMEEYDSVAGAVGVYSDLDELFVFNPNAILPCFVVIYRGF >KJB60704 pep chromosome:Graimondii2_0_v6:9:30888336:30889989:1 gene:B456_009G320800 transcript:KJB60704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITFQGLSEYKESLSGKNKHKAAVVEENQNPNIVVVEGERGGGSLALKFLYCVLASSVPPGSSSVKWGKSCDQNHITLRNKENEEVMVLTVELVDDISKKID >KJB58269 pep chromosome:Graimondii2_0_v6:9:15675271:15679954:1 gene:B456_009G202000 transcript:KJB58269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARFGRQVKRQHGVCVKMTAVAILGLCFIFVWSMFSSPSNSVTVQRESFDDIAEPVASSTMVSKSKGKDIEKHDANDNKKKVESSLKEKDDKKVNGSLPLPAHEHKSGKKNEKHVSKRKKDKVKSKLSNKVSKENQENQEQEESQGEEAEKENEDEEEVVVDDKEEGLDGESEEKGEMEGDADVDESVDQETQEMAENENEGKKRKIKGPVFDPKAHYSWKQCSTRSKHNYIPCIDVESGFTRLHGYRHRERTCPKAPPMCLVPLPHDGYDSPVHWPESKLKVLYKNVGHPKLAAYMKNHNWLIKSGEYLMFPQNQSEFKGGVVHYLESIEEMVPDIEWGKNIRVVLDVGCSDSSFVASLLDKDVLTLSLGLKDDLVDLAQVSLERGFATFVSPFARRRLPFPSGVFDAIHCGRCTIPWHAHEGKLLLEINRILRPGGYFILSTKHDSIEEENAMSTLTSSICWNILAHKTDDVSEVGVKIYQKPESNDIYVLRRRRNPPLCKENENPDASWYVPMKTCLHTVPSAIEQHGTEWPEEWPKRLETYPDWLNNKEKAIDDTKHWKDIVDKSYLTGLGINWSTIRNVMDMKAIYGGFAAALLQQKIWVMNVVPVHAPDTLPFIFERGLVGIYHDWCEPFGTYPRSYDLLHADHFFSRQKNRCKHPVSIVVEMDRILRPGGWVVVRDKVEILDPLEAILRSLRWEIRMTYSQNKEGIICAQKTIWRP >KJB57180 pep chromosome:Graimondii2_0_v6:9:11568124:11569704:1 gene:B456_009G152400 transcript:KJB57180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMQLSPVRHVDRQKTERPRTKRVWKLKLSVTWQRMKKALKSTLKHRLHLHLASNLSKLSTLKLNHHDMAIIKPIKHVTKKKKTIRPTMPLAQLIQLPYTAADFIDHGHAMTPTKSPIENISTRWRELHGLHNWDGLIEPLHPWLRREVVKYGEFVQGTYDAFDFDPLSEFCGSCRYNRHKLFEELGLTKHGYKVTKYIYAMSHVDVPEWLERTYCTWSKDSNWMGYVAVSGDAETARIGRRDILVAWRGTVAPTEWYTDLKTRLQRLGKTNIKVQRGFLSIYSSKGDFSRYNKLSASEQVKEEIQKLVSFFRDRGEEVSLTICGHSLGGALALLNAYDAATYVPHLFINVISFGAPRVGNIHFKEKLKELGVKTLRVVAKQDIVPKLPGFILNTILNKFTAVTGRLKWIYRHVGTQLKLDVLMSPYLTRDPDYTGSHNLETYLHLLDGYISKTSKFRWNARRDVALVNKSTDMLIKELKIPEFWYQRPFKGLVLNQYGRWVKPGRPVEHIPSPRSIGSDHNPSL >KJB62291 pep chromosome:Graimondii2_0_v6:9:61770929:61771894:-1 gene:B456_009G410200 transcript:KJB62291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVCSVGVHMVSDLEAMKQRLESSQLRTYNLTASDLVKDHLRYLMGGRLNVENEVLCRFIFPERPGALMKFLDTFSPRWNISLFHYRGQGETGANVLVGIQVGKSEMEEFIQRSESLGYEYVLVTNDSNFQLLMH >KJB54935 pep chromosome:Graimondii2_0_v6:9:4001461:4004448:1 gene:B456_009G055100 transcript:KJB54935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGVFSDILDGDVYKYYADGEWKKSSSGKTVAIINPTTRKTQYKVQACTQEEVNKVMESAKTAQKSWAKTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAITEVVRSGDLISYTAEEGVRILGEGKFLVSDSFPGNQRTKYCLTSKIPLGVILAIPPFNYPVNLAVSKISPALIAGNSLVLKPPTQGAVSALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMIPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVMESVADVLVEKVKAKVAKLTVGAPEDDCDITPVVSESSANFIEGLVKDAKEKGATFCQEYKRDGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSIEEGIHHCNASNFGLQGCVFTKDVNKAILISDAMETGTVQINSAPARGPDHFPFQVNKIYIACPKLDYFLVISAAL >KJB54934 pep chromosome:Graimondii2_0_v6:9:4001301:4004448:1 gene:B456_009G055100 transcript:KJB54934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGVFSDILDGDVYKYYADGEWKKSSSGKTVAIINPTTRKTQYKVQACTQEEVNKVMESAKTAQKSWAKTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAITEVVRSGDLISYTAEEGVRILGEGKFLVSDSFPGNQRTKYCLTSKIPLGVILAIPPFNYPVNLAVSKISPALIAGNSLVLKPPTQGAVSALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMIPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVMESVADVLVEKVKAKVAKLTVGAPEDDCDITPVVSESSANFIEGLVKDAKEKGATFCQEYKRDGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSIEEGIHHCNASNFGLQGCVFTKDVNKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGVTNSINMMTKIKSTVINLPTPSYTMG >KJB57695 pep chromosome:Graimondii2_0_v6:9:13619491:13621120:1 gene:B456_009G175900 transcript:KJB57695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein CXXS1 [Source:Projected from Arabidopsis thaliana (AT1G11530) UniProtKB/Swiss-Prot;Acc:Q8LDI5] MEAPEQHNKSRVVKVDSVESWDFYFNQATNQGCPIVVHFTASWCIPSVTMNPYFKELASAFQDALFLTVDVDDVKEVATRMEVKAMPTFLLMKGGTVVEKLVGANPEEIRKRIDAFVQSNRVYVA >KJB58947 pep chromosome:Graimondii2_0_v6:9:18240515:18241792:1 gene:B456_009G231700 transcript:KJB58947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKKMTANKEENVPIISHQSRPSQCCCMCGDSGLTHELFQCTVCHFRSQHRYCSNLYPKADSYEFCNWCLNQKADSKSQNSSNSSPSCKDNSEDDGKNQKKGDQNHGNHNNPKLQFTKKYSTGQPKSPEKRPPSTARKRIISNARLEEQFRTTMTKSEEISKGGHITRHVFRNKVRRYKLLDEVSS >KJB60651 pep chromosome:Graimondii2_0_v6:9:29933634:29935963:-1 gene:B456_009G317300 transcript:KJB60651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERLKRAAETGNIDALYSFIHHDANVFKRIDEMEFVDTPLHVAAVAGNTGFAMEMMNLKPSFARKLNQDGFSPLHLALLTGKPEMVIDFLSVDKDLIRVKGKGGFTVLHHVALDENYAHLLRRFLNFCPDCIFDLTVERQTALHIAAEKNKFEAFKAMLEWIQSAFEDDKRKRSKILNYQDKDGNTVLHSTASINNPQMIKLLIECEEVDKNKINDRGFTAMDVLQRQTVADNTESVNILNSSNPLTFQKLSKLKLLTDEITDMREETVGVLLIVFSLILTMTYQGILSPPGSIFQGDATATSSNHRIGKSVMNATGFLLFYIPNGVAFFTSWVMTILLLKSVAESIIYFLSPIYLMVCFCYGVALSTIALPDHLSSVVMCITIIIYYSLCYIWSGHHRGFRKKIKIM >KJB62308 pep chromosome:Graimondii2_0_v6:9:61935674:61939438:-1 gene:B456_009G410600 transcript:KJB62308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSAATVTASPVSLYVGDLHPDVSDANLIETFSEFKSLASVHVCRDSSTGRSLCYGYVNFISPQDAHHAIEAKNHTLLNGKMIRVMWSLRDPDARKNGVGNVFVKNLSDSIDNVGLQELFRKFGNVISCKVATFEDGKSKGYGFVQFESEESASAAIEKLNDTIFGDKQIYVGKFMKKSDRVLPSPDVKYTNLYVKDLDPEITEETLQEKFSEFGKIASLVVAKDENGASRGFGFVNFENPDDAKKAMEVMNGSQLGSKVIYVARAQKKAEREQILRQQFEERRKEQIMKYKASNVYVKNIDDEVTDEELREHFSQCGTITSAKLMRDNKGLSKGFGFVCFSSPEEAAKAVSTFHGYMFHNKPLYVAIAQRKEDRQAHLQLQYAQRMAGLAGPSTAIFPGGYHPLYYTAPTGVVPQVPPRPGMMYQPLGLRPGWRANGFVPPTRPAFQPSSLPMVPSNPRQTRQNRGRMNGHALPQGGSHSVTYVPQLQQPTQTIIPSKDQSNLQRGGQAKYVPNGRAREVNKGSRVPPAASNSVAAVSQGSEVLSSMLAAASPEQQKTILGERLYPLVQKHQPDLVPKITGMLLEMDNAELLLLLESPESLAAKVEEAVEVLKLSNAKVSGQDVLHPSFLSAEVAVN >KJB58323 pep chromosome:Graimondii2_0_v6:9:15821727:15822938:-1 gene:B456_009G204400 transcript:KJB58323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKASTVGPSQTATAQSEPKGKDFLNHLEVYLAKRDGVDKLLKISRYATKIILASSVLPETVPLTRQLKSFESSVGLSRKAFRLGKFVQDVNALRNSHLDSKEEILLSVIAYGGEGLYYFVEQFIWLAKSGLIDAKHSRNLQKISAWAELIGYIGSISLKLRDLKKIKEDEACLNSSIEIAISRGVGRTEEEERRKKLREKKLMKQLSVVQDIADGLMALADIRDGKGRFTDPLLLSCAGLLSALISTHKNWVSC >KJB53449 pep chromosome:Graimondii2_0_v6:9:70102445:70102579:1 gene:B456_009G4500002 transcript:KJB53449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDGIGKENGSTEEKQSFKDGSIFGYNSLHHLLSANLKPQLYQ >KJB58738 pep chromosome:Graimondii2_0_v6:9:17428050:17432390:1 gene:B456_009G223700 transcript:KJB58738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDLRLPLSIGECCCVFQVKYVISRHPSVQKISFVGHSLGGLIARYAIARLFEQDLTQENSQTNGDSRADQLEDSWLEGNVKCKMAGLEPVNFITLASPHLGSRWHKQVPLFRGSHVLEKAATRTSWFLGRTGKHLFLTDGKDGKPPLLLQMASDCEDLKFISALLSFKRRVVYANASFDHIVGWSTSSLRRRDELPKYQIKHLPRGDKYPHVVNVETGKTPTLDVVPYEAQIKGTEKIDMEEEMIRALTKMSWERVDVYFKGSRQRLLAHLAIQVKNYWVNSDGADVVQHMIDNFVL >KJB58737 pep chromosome:Graimondii2_0_v6:9:17427852:17432527:1 gene:B456_009G223700 transcript:KJB58737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIEGNSKEVGEKEVDAKEVNHENENNNNNTMGIKKLRHKSTKKERRSLSFARFGCLRVESDETGGVDMEVRFPEKLNHPSHLVIMVNGLIGSAQDWKFAAKHFLKKYPEDVIVHCSKRNSSIFTFDGVDVMGDRLAEEVKYVISRHPSVQKISFVGHSLGGLIARYAIARLFEQDLTQENSQTNGDSRADQLEDSWLEGNVKCKMAGLEPVNFITLASPHLGSRWHKQVPLFRGSHVLEKAATRTSWFLGRTGKHLFLTDGKDGKPPLLLQMASDCEDLKFISALLSFKRRVVYANASFDHIVGWSTSSLRRRDELPKYQIKHLPRGDKYPHVVNVETGKTPTLDVVPYEAQIKGTEKIDMEEEMIRALTKMSWERVDVYFKGSRQRLLAHLAIQVKNYWVNSDGADVVQHMIDNFVL >KJB58362 pep chromosome:Graimondii2_0_v6:9:15989671:15991727:-1 gene:B456_009G206700 transcript:KJB58362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLYLSTFGYAVVLCITLLFLYTKLKKPSSGSGGKAPPVAAGAWPIIGHLPLLGGPKAPHEALGDLEEKYGPAYMIRIGVHPALVVNSSEVAKEIFTVNDMHVSSRSEFAAAEHLGYNYAMFGFSPYGQYWREMRKITMLEVLSNHRIDQLKKVFVSEIEGSMKLLYKTWAQKKDGSGKVLVEMKKHFSDLTLNVIMRTVAGKRYSVVAEEDQKEVLRYRKALRDFFHLTGMFVLGDAVPFLRWLDIGGYEKRMKITAKELDEISGGWLDDHRKGGRWDENKKEKDFMDVMNSVLKGASLAGYDADTINKATSLNMILAGSDTTTVTLIWGLSLMLNKPHILKKAQEELDTHIGKDRFVNETDIGKLVYIQAIVKETLRLYPPAPLSAPRELSETCSIGGYDIPKGTRLIINLHKIQRDPKKWPEPSEFKPERFLTTHKDVDVRGQHFELMPFGSGRRSCPGTSFALHMLFLTMSNFLHAFDFSTPSNGLIDLTGTAGLTNIKSTPLEALVSPRLAPELYN >KJB57369 pep chromosome:Graimondii2_0_v6:9:12232936:12234565:-1 gene:B456_009G159700 transcript:KJB57369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVIKALHEIAAELGKKDWNFSENPCNNKSSWFTPPPTHGSQAINNSTVTCNCSFTNGECHIVVIYLVGQDLDGVLPPSLSKLLYIKTVTP >KJB57370 pep chromosome:Graimondii2_0_v6:9:12233768:12234565:-1 gene:B456_009G159700 transcript:KJB57370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVNCPSVMNILFGYVILTVFLVLVCMEPNQVEARVEPPYPPDYELKALHEIAAELGKKDWNFSENPCNNKSSWFTPPPTHGSQAINNSTVTCNCSFTNGECHIVVMYVLLVLRI >KJB58080 pep chromosome:Graimondii2_0_v6:9:14854449:14856214:-1 gene:B456_009G193400 transcript:KJB58080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIFISSSGERSFSPYKQLKNIPKRNIGANFVSNPNENLAPTYTINGGGGGGGVLFAPPPSLSFSYPPSGSLLNPMFYQQMQQRQPPLLPFPSPNKPPHSSLPSRTRSLSSSPSNRKNHRPRDQSLTPKRSKSKQLIPGKIEDHPNKDHLKPTEATKTQAISNFPITASVNPLGPDPSDLPKVLKPSYIATGSVAKGLEKFSGSVFTLSPPPSSLPLPKFSLRPKLGCNAEAAEFDVGATDNLRRLLRLH >KJB62026 pep chromosome:Graimondii2_0_v6:9:54991932:54993155:-1 gene:B456_009G396700 transcript:KJB62026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIEVPKYFLCPISLQIMKDPVTATTGITYDRESIEQWLLTCKNTSCPVTQQPLPPESDLAPNHTLRRLIQSWCTENASLGVDRIPTPKPSLDKSHFFKLIKQLQQPGSNIKALQELDFLAAKNKRNRTFMVETGVPKALLSFIVNCFEEISVQGLAEALRVLVFIRIPLAEAKIFLQEYNDQIIKSLIWVLGCEFKPQVMVKSHAVLALKTMIQAASSGLLERLEPPFFEMITGVLKQTTTVSQQGMNAALHALLIACPWGRNRLMMVESGAVSALIDLELGSPEKRTTELILGILFHLCCCADGRAEFLSHKGGLAVVTKRIMKVSPTADDRAVFILSLISKFVATNSVLEEMVEVGTVTKLCMMLQVDSTAPYLKEKATGILRSHTDEWRKFPCIDKTFHKVY >KJB59209 pep chromosome:Graimondii2_0_v6:9:19705118:19708210:1 gene:B456_009G245000 transcript:KJB59209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLGLNKAKPYLSMISLQFGFAGMYVITMVSLQHGMNHYVLAVYRHLVATIVIAPFALVLERKIRPKLTLPILLRILVLGFLEPVVDQNLYYLGMKYTTATLASATVNVIPAITFVMALIFRLEKVKLKKVHSIAKIIGTVIMVPGAIIMTMYKGPAINFIKLQGGSNHGATNEAEAKHWVAGTLMLLARCWGWSGFYILQSFTLKMYPAELSLTALICFVGTIGGAAVSFVMERDMHAWKIGCDSSLLAAVYSGVVCSGIAYYAQGVVIREQGPVFVTAFSPLCMIITAALGSFILAEKVHLGSIIGTVIIIFGLYTVLWGKSKDQKNSTATENGKVQELPITDGSKSTKLEDSMELPAKILKIPAESQLTRET >KJB59663 pep chromosome:Graimondii2_0_v6:9:22077290:22080111:-1 gene:B456_009G266300 transcript:KJB59663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAFHHHYNFTPTPILRRRRPPPSPSPITLHLNAPLSTTFSFPTKQCSSSSSSRITCKATEMSSVSEESAASDGGAGDNWVPVVPLAALPKGERRVIIQDGETILLLWYKDEVFAIENRSPAEGAYTEGLLNAKLTQDGCIVCPTTDSTFDLRTGVIKEWYPKNPVLRALTPALRALYVYPVKTDGENIYISMQGGVKSDAAAEIVFSGKAQPGITASDVNVDEVRMVIDEDSEGFGFTGKNELINGKAAIIGFLLLLDFELLTGKGLLKGTGFLDFIYAASNAFN >KJB59977 pep chromosome:Graimondii2_0_v6:9:24094193:24096797:-1 gene:B456_009G283400 transcript:KJB59977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFFHQISLFRRILLKTRPYALTWPHIRQVDRRSKFARLHKQSNLPSFFRIQSGKLSRFPPSILVSQTATAMPPTHQSSSTTKVSTFFSATLLLWVISVFFQILFNKRRDLLYIFAGGFFYQFANWVIRFSVSRDPLFVNTSVSLLHSAVTSISVVFILLNQWSLTSFKRMFEHKELVEGTWPWAYQALCFSCGYFAYDQQDMLQYRLYSGFIPSILTHHLVLLICFTLALYRRITINYLILTLICELHSVFLHVRKLRRMAGVRDAESRVVKVEWILNWIMFVFTRLVSHILITGKLIKDASKFEKGIEWPLAMLGMAGMNLLNAFLGIDLFNAFKKEKKPQQNNPKRHE >KJB59976 pep chromosome:Graimondii2_0_v6:9:24094193:24096607:-1 gene:B456_009G283400 transcript:KJB59976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTHQSSSTTKVSTFFSATLLLWVISVFFQILFNKRRDLLYIFAGGFFYQFANWVIRFSVSRDPLFVNTSVSLLHSAVTSISVVFILLNQWSLTSFKRMFEHKELVEGTWPWAYQALCFSCGYFAYDQQDMLQYRLYSGFIPSILTHHLVLLICFTLALYRRITINYLILTLICEVCFNSFSAKKLKELYRLLMKHNFSRTCL >KJB62292 pep chromosome:Graimondii2_0_v6:9:61786165:61790594:-1 gene:B456_009G410300 transcript:KJB62292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLRLAPPQPTLLHRTETVLRTSFIGPHFTKKCIAHRIRVSVSKPTAEVSSPTLTSSEDTVASSPSQGFVVAPSDRPRVSANSLQYPSGYLGAVPERSVDEGKGDVKNAMEYLTNILSSKVYDVAIESPLQLATKLSERLGNQVWLKREDLQPVFSFKLRGAYNMMAKLTKEQLEKGVICSSAGNHAQGVALAAKKLGCNAVIAMPVTTPEIKWQSVERLGATVVLVGDSYDEAQAYAKKRAKEESRTFIPPFDHPDVIMGQGTVGMEIVRQMQGPLHAIFVPVGGGGLIAGIAAYVKRVSPEVKVIGVEPSDANAMALSLHHGERVMLDKVGGFADGVAVKEVGEETFCLCRELVDGVVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGLKGKNIVAITSGANMNFDKLRVVTELANVGRKQEAVLATILAEKPGSFKQFCELVGPMNITEFKYRCSSDKAAVVLYS >KJB58103 pep chromosome:Graimondii2_0_v6:9:14984681:14985193:-1 gene:B456_009G194900 transcript:KJB58103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAITLPGGHLASKVQLLRIYKMRIPPSDSFVIQVRGSSTQTLHQHPTLEPQPTSLSSKLNFSAMKLFNRFRKILVRLLFSLPSAGSSGTSSVAPKQKNCDRFEPPKTSCSSSYYSSHSHYTEAISDCIEFFNKSSQEGSLDGPKSDVSV >KJB62513 pep chromosome:Graimondii2_0_v6:9:65871107:65873505:-1 gene:B456_009G421400 transcript:KJB62513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESILTFFQSVEPKRDTYGFAVRPQHIQTYRHYVNIYKKEEKERLNIWKVFFDQLARHIEPSLFEEDDGKTSQVGDTKLKRKAPADAETKETLHAGPAKVNEEVASEVQYKEAFQSNAIKVIEEVVSLHESKETSRTETTELNEEVSLERNREGDTPSAKPDSVGSPESETEEPFSAYSTESEKEVQLAEETRTCKVERWVKTRPAICVIENMMGSRVKKRKNMENMNMNESRDHLPSIKETNFPNGESDDEFEEKVCVNEIPTGEESNAGNEASQEPPFPWKEELESLVLGGVPKDLRGEIWQAFVGVKARRVEGYYEDLLAQENYDDDQHSNSSSVFKRWRKQIEKDLPRTFPGHPSLNDNGRDSLRRLLLAYARHNPSVGYCQVID >KJB62512 pep chromosome:Graimondii2_0_v6:9:65869582:65873505:-1 gene:B456_009G421400 transcript:KJB62512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESILTFFQSVEPKRDTYGFAVRPQHIQTYRHYVNIYKKEEKERLNIWKVFFDQLARHIEPSLFEEDDGKTSQVGDTKLKRKAPADAETKETLHAGPAKVNEEVASEVQYKEAFQSNAIKVIEEVVSLHESKETSRTETTELNEEVSLERNREGDTPSAKPDSVGSPESETEEPFSAYSTESEKEVQLAEETRTCKVERWVKTRPAICVIENMMGSRVKKRKNMENMNMNESRDHLPSIKETNFPNGESDDEFEEKVCVNEIPTGEESNAGNEASQEPPFPWKEELESLVLGGVPKDLRGEIWQAFVGVKARRVEGYYEDLLAQENYDDDQHSNSSSVFKRWRKQIEKDLPRTFPGHPSLNDNGRDSLRRLLLAYARHNPSVGYCQISETCNKVPNTKQGEMSISQYFSELSGLWKELDYYQDFQADYTGDVVKCQQLVEKERAYDFLAGLNIEYDQIWVQVFEKNL >KJB57734 pep chromosome:Graimondii2_0_v6:9:13776673:13778817:-1 gene:B456_009G178400 transcript:KJB57734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIMGAKVLFFFALLSFSAVSAFARDEEDQGLVMNFYKDSCPQAEDIIKEQVKLLYKRHKNTAFSWLRNIFHDCAVQSCDASLLLDSTRRSLSEKETDRSFGLRNFRYIETIKEAVERECPGVVSCADILVLSAREGIVSLGGPYIPLKTGRRDGRRSRADVVEEYLPDHNETISGVLDRFAAMGIDTPGVVALLGAHSVGRTHCVKLVHRLYPEVDPALSPDHVPHMLHKCPDQIPDPKAVQYVRNDRGTPMVLDNNYYRNILDNKGLLIVDHQLAYDKRTRPYVKKMAKSQDYFFKEFSRAITLLSENNPLTGSKGEIRKQCNLANKLH >KJB59062 pep chromosome:Graimondii2_0_v6:9:18731247:18732722:-1 gene:B456_009G236900 transcript:KJB59062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSACCDENGLKKGPWTPEEDQKLVHYIKRHGHGSWRALPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQDEEQTILHLHSVLGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLIQMGIDPMTHQPRTDIFASLPQLIALANLKDLLENSPHLFDDQALILQAEAAHLAKLQYMQLLLHSAASTTTNDDSLSSPNGIADMEALNLLSSPVPAHVKENPVIPNSSQFSVGNASSQQLHHPTLLPHLLDPQVPFSFQTSLNNENTEMGNCSSFTMLSQGDNQIDNSSSWLLPSPTIPPTATETSLSNPGDVSSNSSNNNGAASPYWPELYFDDSIMHEIS >KJB62829 pep chromosome:Graimondii2_0_v6:9:68947668:68952322:1 gene:B456_009G439000 transcript:KJB62829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFGEAALSAFLELLSAKLLDSVLNFVADHRQLHHQLKLWQSIFPEIKAVLNHAEEKQIKDEGVKNWLGDLQDLAYDVDDIFDEFAYQELRLKLQKTQAQASSSKVRKLIPTCCTGGHFSPIAFMFNAKMISKIKAITDRLNSLNTRRSNLGLSEIMPQGATSKGKKPRLQPTSLMDGAVEYVGRANEKQEMLELLKSNNSDGVCVLSIVGMGGMGKTTLAQLVYNDPSIKESFDHKSWVCVSDDFDAVNITKTILRSLDADSHDENDLNLLQVKLKEKLSRKRMLLVLDDIWNESYSDWTILRAPFGAETKIIVTTRLQKVSSNVDSVKAFYLDKLSHHDCLSIFAQHALKARNFDGHLQFKEIGENIVRRCNGLPLAAKAIGSLLRTVTDHSEWEKVYESEIWDLPEDPCGLIPALRLSYHYLPPHLKRCFAYCSIFPKDYEFEEEEIIVLWRAEGFLQSKIKIQGKGLGNQYFQDLVSRSFFQRSIEDKSRFVMHDLMNDLAQSVAGEICCRVEGEKQQKFSHRSRHSAYVIDDRCQSVKKFEAFYQMTSLRTFLRLMAPSYEVFYLSNVVLEDLLPRLSYLRVLSLGGYEIYDLPDFFENLKHLRYLNFSRTRINRLPDSLCSLYHLETLILRDCSKLKNLPSKMGNLVNLHFLDIRGANSIERMPSGFDQLTQLQTLSNFVIGEGDGRLIRELKTLSNLRGNFCLSGLENVNGQDAREAKLNEKLGIDGLELQWGTALENNTRKTEVEERVLDFLHPPKRLEQLIIENYGGVKFSSWIADSSLKNLSSLKLRNCKNCKSLPSVGRLPLLKYLSIIGFDQVQKIGVELFGENQLNPFASLEILSFESLPNWKEWDTCEGDEKVLKLPSLRELSIINCPQLLGRLPTYLSSLQKLEIHGCTNLVVSISSFPSLCKFSIRGCAELVDDCSSPAKELSSLQTLSLSNISKFNIPADRTMLRFGNSEHFAIDGWEELASLSWYGFSLVGHRFISVSSCPQLQSLEAKEAELQPDKISCVESLRIYDCERLNRLPQVLHELTFLTDMEIRGCRGLVSFAENNLPPNLKKLTIDTCENLEYLVDEKEDNKSMSSTLCLLEELSIYKCPSLMSLSSKGRKNICNQLQLLYITDCSKLSCIFSNTKFPITLKHLRIGECPELEYIAQEFEETACLESILFFRSGIKSLPRGLDKLKQLQEICLHSCSNLFSFEESGMPSTSFRAFGVDGCGNFGALPKCMASITSLRRLRLRDCSADISFPSEGFPANLTSLTISNAPKIYRSLVEWGLNRLTSLQELTIGGGGCSNVVSFPEEGIGMMLPPSLTYIMLFGFENLEFMFSEGFQDLASLKGLDIDNCPKLTSLPKKDMLLSLGYLYISSCPLLKEECSSDKGREWSKISHIPLVAIDGKAVIPRESDSKMSRKICD >KJB60815 pep chromosome:Graimondii2_0_v6:9:32785360:32786126:-1 gene:B456_009G327200 transcript:KJB60815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNKHLVRLVRYCDEKDERLLVYECMKNGVVHDYLRDKNNVVKTSSLINSWKMRIKIALDAARGIEYLHNYAVPPIIHRDIKSSNILLDMNWTARVSDSRLSFMDPESDHNYKPMKAVGTVSYIDPEYYGLNVLTTKSDVYGLGVVMLELLTGKRAIFKNDDNGVLAIMADELVKVLDQRVGPPELNETKAVELIAYTAMHCVNCEGKERPIIGDIVSNLERAFNVCDGSHGNISSGAFSFVSD >KJB57710 pep chromosome:Graimondii2_0_v6:9:13674653:13675355:1 gene:B456_009G176600 transcript:KJB57710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQIDLVGKAFADHYYHLFDNDRPALSSLYKPSSMLTFEGHKIQGVEDITAKLTSLPFDQCRHVISTIDSQPSFSGGIVVFISGSLQLPGEEHHLRFSQVRYFLLKACIVIFVVVCIYPPPWITKAVAYIKGCLPALLFRFNIIRDCDIGIRVLSLNLTC >KJB54117 pep chromosome:Graimondii2_0_v6:9:1620195:1620830:1 gene:B456_009G021400 transcript:KJB54117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSKVVASWCKKHVDGDDDDNDFDYAPAACMEGDGDDDDGDYDYAPAASLEGDDDGNYDYAPAA >KJB60995 pep chromosome:Graimondii2_0_v6:9:35402479:35404034:1 gene:B456_009G334500 transcript:KJB60995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSYRYSFFLFLFVVIIPTLKAHITEYDEYWKARELEAIENLDQAYHSNPEEVVRHYNDHFSRTMLEFYITKKVLAESKKGACEVTNHVDSCWRCDPDWEKNRKKLADCAPGFARGTTGGKDGQFYVVTDPIDNAVDPKPGTLRHGVTQTGPLYITFKRSMTIKLQQELIVTTDKTIDARGANVEICNGAGITIQFAKNVIIHGLQIHHIIPAKGGKIKDGENHHGLRGDSDGDGVSLFGATNVWLDHLSLYHCADGLIDVVQGSTAVTVSNCHFTDHNDVLLFGASDSYSADKKMQVTVALNHFGKGLVERRPRCGFGFIHVVNNDYNHWFLYAIGGTSNPTIISQGNRYLAPGFGAKEVTCRGLLKPAQWKNWNWVSQGDHFENGAFFTPSGNPSASKQFGADKMMPFKPGQMVPELTKYAGPLSCLIGHPC >KJB59528 pep chromosome:Graimondii2_0_v6:9:21405533:21406771:1 gene:B456_009G259600 transcript:KJB59528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNRDDHLDVEDFLVLPHLETHKEPAATCSSLKAKPSVTLSPVNGSCRDLPSNTLCVDYMLQQNYEGYVVERMEGEDAGKFKVAFRTRSCLEIMDDGYRWRKYGKKKIKNNPNPRHYYRCSREGCKVKKRVEREREDEQFVITTYEGKHNHENPAAATSLPPHQNPLRYH >KJB62768 pep chromosome:Graimondii2_0_v6:9:68445274:68446880:1 gene:B456_009G435200 transcript:KJB62768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKKKLKFQSNIFIKKLNLIFKKNNLHNALFFIISRNGIVQCQGLRRDYYDLSKAPIKTFDSVYQMLIHFRTRIRSRTTANPFNPLQTLIPKSSKTLKMSAAQSDAKPKRPICPSCSKPARVCLCSRIRTRNLDNSISVTILQHSLERNHPLNSTRIAKLGLKNLNVVTVFEVDFEARFEIRLLEPGLGLIGPESSGFDQVREKGITQKAGFEVKGDGKCQDEKNRDLIDENESPCEKLDKGSHVDAINGEGVVINATMIKYGAVSHLSHIWKVDTHGKKPKFEHIVTSTMAKEALRGGFVVKKLGRKKLEGNMELELEESEEFEIKVPQGSVLLFPSQNAIGVDELKSMHFEVKNLIVLDGTWSKAGRVYNENPWLKLLPHLRLDLDRMSLYSEIRSQPKVGCLSTIESIVYSLKALGEKVDGLENLLDVFESMVGDQRRLKDERLSKRTKD >KJB63197 pep chromosome:Graimondii2_0_v6:9:47660591:47662245:1 gene:B456_009G361800 transcript:KJB63197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIPIVTLLIVMLSCHAANAQLSPNFYASSCPNLQTIVRNAMSRAVNRETRIGASILRLFFHDCFVNGCDGSILLDDTATFTGEKNAVPNRNSARGFEVIDTIKTNVEAACSATVSCADIIALAARDGVALLGGPTWQVPLGRRDARTASQSAANNQIPSPFANLATLTSSFAAKGLSTRDLTALSGGHTIGLARCTTFRGRIYNDTNIDANFAATRRANCPASGGDNNLAPLDIQTPTRFDNDYFRNLVAQRGLLHSDQELFNGGSQDALVRTYSNNPATFSADFAAAMVRMGNISPLTGTQGEIRRNCRVVN >KJB55138 pep chromosome:Graimondii2_0_v6:9:4637384:4638920:-1 gene:B456_009G065200 transcript:KJB55138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQTEQKDRGSAKEFADKYSITCSTADATSIPDWMADSINGGALQQVDLNNGTNGWSSPPGDLFYLRSQSYLTKRQKCPAGDYLLSPIGMDWLKSDSKLDNVLARPDNRVSNALRKAQSDGQSMKSFIFAVNIQVPGKDLYSAVFYFATEDPIPPGSLLYRFVNGDDAFRNQRLKMVNRIVEGPWIVKKAVGNYAACLIGKALTCNYHRGDNYLEIDVDVASSAVANAILHLALGCATSVVIDMGFVVEGQTEDELPEKLIGAVRVSKMEMSSATVVDALTPSVQTAAGRGIGVCKVNDHKSDDGESDDNDK >KJB57966 pep chromosome:Graimondii2_0_v6:9:14423864:14425865:1 gene:B456_009G188000 transcript:KJB57966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEIISTQLVKPSSPTPPHLKIFKLSLLDHLIPDPYAPIILFYPMDYGSTSHLEMDPNRFELLKKSLSNTLTRFYPLAGRMKDDLSITCDDQGAYFVEARVNCHLHEFLNRPDLLLLPKFLPRDFNSNEPVAGTCVTNIQVNKFRCGGMAIGICISHKILDGAALSTFLKAWTATARGCKEAIYPNFISTSLFPATDDLWLRDSSLVMWGSLFKKGKSVTRRIVFGASSVAALKAQATISGVRCPTQVETVSAFLWKCTMAASKEKNGRHSSRPSLLTHLVNLRRRMAHNMENSTGNLLWVASAKSSKAENLSDLPDLVRRLREAISRVDGDLIKKLRDNDQGKSLFSKALTEIGDEVSKEGLEHYGFTSWCKLGFYEADFGWGKPVWVSSFGMENAVYMNLIILVDIGAGDGIEAWVTLDEQHMAALERDGELLQLAMFDPSPLMISNSFLH >KJB57392 pep chromosome:Graimondii2_0_v6:9:12398221:12401504:1 gene:B456_009G161400 transcript:KJB57392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGSPRSARPILQNTNSLFRTKDYHIRSCSDSLGASTDPSIGRRILGGDNYWNSKVVLLHGLKDNSGKFTPCKGVYVGKKHTWFGRNVKPIAFILVLMASLFLLDSLMVSVFGSINLQGSSPTIPSDAHEDDNVVSIHEERPTVQMYGRLLKLASMVLAEKEFKQDSLNFWNEPYHHASKWKPCADKKYRTTPGKPDKSNGYIMVSANGGLNQQRVAICNAVAVASLLNATLVLPKFLYSNVWKDPSQFGDIYQEDYFMRILKDDIDIVKELPPHLKPLDIEAMGTLITDADIVKEAKPDDYITSVLPLLLQNRVVHFLGFGNRLGFDPLPPHLQRLRCKCNFHALKFVLKIQKVGSLLIKRIKKYYAAPRQLDKQLLGDFAPGISPKQHNIARGPSRYLALHLRFEEDMVAYSLCDFGGGDYEKKELEAYRDVHFPLLIERLKNSKPVSPVELRKLGRCPLTPEEAALVLAALGFKPETYIYLAGSQIYGGSSRMQPFTSLYPNLVTKETLLTSSELAPFKNFSSQLAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGDGHAPTLRPNKKRLAAILSENRTIGWNTFEDRVRKMIEEGQRVQLRGSGRSIYRQPRCPECMCRS >KJB62088 pep chromosome:Graimondii2_0_v6:9:57657813:57658556:-1 gene:B456_009G400600 transcript:KJB62088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIISCIHSILFVLMVAQVIHGSEIDLKLDSVIPPNITTDYANFFTHSAFRVLIGADPPTAFKFMKVSEAEFPALNGQGVSYAVLQFPSGSLNPSHRHPHSAELLFLLIGSLKVGFVDANDVLHTKTLQAGDLFIFPVGVVHYQYNYGRDLAFAISAFGSANVRTITTPVALHLAILISSSIKYSVLTEIRQIKCIYT >KJB59357 pep chromosome:Graimondii2_0_v6:9:21104749:21105342:-1 gene:B456_009G255900 transcript:KJB59357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPNQLCLVLVIFLSIISLSSLPTSAIISKANVSLPIHLSQLVENLCNGKAIQHRRFCLKAVSTPEVITALDTTQLGTLIIKLGAANAKATLNALKALNCCVEAYRYAILSFEMVSSEFVENPQTANYDVAVIGPKIANCEKELINVKVQAPRILAGNRFMKYYVSMGYEITSTLELENPNEY >KJB54429 pep chromosome:Graimondii2_0_v6:9:2501832:2502730:-1 gene:B456_009G033600 transcript:KJB54429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGGNTGNCREDAALIEDNKLTASGGRVNVKPKMKKTSKSLLAPMRTGWWGSLGRKDLQIINHNSHQGKPWCDDTINRQEIEPMETSWRRRHCNNQCTNFTKRREA >KJB62204 pep chromosome:Graimondii2_0_v6:9:59708158:59713676:-1 gene:B456_009G406200 transcript:KJB62204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASEENSALFPIFVFTIMALPLVPYTIVKILNTFSKKAMTIHCQCSVCSRSGKYRKSIFKRISNFSTCSNLTLVLLWIVMAVLIYYIKHTSHEVKVFEPFSILGLEHGASDSDIKKAYRRLSIQYHPDKNPDPEAHDYFVEYISKAYQALTDPVSRENFEKYGHPDGRQGLQMGIALPPFLLNIDGASGGILLLGIVGVCILLPLVLAVIYLSRSAKYTGNYVMHQTLSAYYYFMKPSLAPSKVLGVFIKAAEFMEIPVRRSDGEPLQKLFMLVRSELNLDLKNIRQEQAKFWKQHPALVKAELLIQAHLTRESKALTPALLRDFRRMLELSPRLLEELVKMALLPRTAQGHGWLRPAIGVVELSQNIIQAVPLSARKVAGGSSEGVAPFLQLPHFSEAIVKKIARKKVRTFQELRDMTLEDRVELLTLVAGLSSTETKDVEMVLEMMPSLTVDITCETEGEEGIQEGDIVTMHVWITLKRESGLVAALPHAPYYPFFKEENFWLLLADPVSNDVWISQKVSFMDEAVAITAASKAIQELKEVSGASLKETNAAVREAVERVKSGSRLVMGKFQAPGEGNYNLTAFCLCDSWIGCDKKTNLKIKILKRSRAGTRTGALSEEGAGMEEGIEEEEQEEEDEYDDYESEYSEDEEDEKDSKRNGVVPAAGAAGAAAAAAAESSDNE >KJB62914 pep chromosome:Graimondii2_0_v6:9:69500420:69503322:1 gene:B456_009G443600 transcript:KJB62914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSRALSRVSRRVGARSLLLSAPKSFQSPSLSTQFHSLVSESPNKVITNDVCLLQNSNLHWNAFRRFGISSSASPEPSEKEHGSAVDNNGQDPVKPSEDTIPGEAEATKESGFNSESQNTMPQSDKRRRKAAKRTAFSDSDSESDGDLSMADMVKLVEEKEELLKTKQTEIEQMKDKVVRTLAEMENVMARTRREAENSKKFAIQNFAKGLLDVADNLGRASTHVKGSFSKIDESNDTSGAVPLLKTLLEGVEMTEKQLGEVFRKFGVEKFDPTNEPFDPHRHNAVFQVPDNSKPPGTVAHVLKAGYMLYDRVIRPAEVGVTQATDDGATENNTGDKVSDA >KJB62913 pep chromosome:Graimondii2_0_v6:9:69500418:69503392:1 gene:B456_009G443600 transcript:KJB62913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSRALSRVSRRVGARSLLLSAPKSFQSPSLSTQFHSLVSESPNKVITNDVCLLQNSNLHWNAFRRFGISSSASPEPSEKEHGSAVDNNGQDPVKPSEDTIPGEAEATKESDSESDGDLSMADMVKLVEEKEELLKTKQTEIEQMKDKVVRTLAEMENVMARTRREAENSKKFAIQNFAKGLLDVADNLGRASTHVKGSFSKIDESNDTSGAVPLLKTLLEGVEMTEKQLGEVFRKFGVEKFDPTNEPFDPHRHNAVFQVPDNSKPPGTVAHVLKAGYMLYDRVIRPAEVGVTQATDDGATENNTGDKVSDA >KJB61552 pep chromosome:Graimondii2_0_v6:9:48919810:48921228:1 gene:B456_009G3657002 transcript:KJB61552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISLNHFDGLISLEFLDLCNNNLSGVIPKSLEKLLYLKYFNVSFNSLEGEIPSKGCFSNFSSTSFMMNYALCGPTRLLVPPCKNDIHKNSQIIILHAFRYGLPTIGIVVVLIVLTVMYRRCQRRSTTLPIKDDLLSLKTPRRISHAELSRATNGFDESNMLGSGSFGYVYKGRLSNGMEVSIKVFNLQREGEFRSFDIECDAMRNIVHRNIVKVITCCSSVDFKALVLDYMSNGNLEKWLHSENCFLDIIQWIDIMIDVAIAIEHLHNGHPTPIIHCDIKPSNILLDEDMVAPVGDFGVAKLLGEGEVIKQTMTLATIGYMAPEFRSAGIVSMKSDVYSYGIVLIETFTKKKPTDNVFVEEETIRHWMESSLPKGAIEIADVDLLRREDEYIVVKANCTSSIMELALNCSAELPEERKDMKDVV >KJB62745 pep chromosome:Graimondii2_0_v6:9:68114546:68115352:-1 gene:B456_009G433500 transcript:KJB62745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPLPTTLLLPSTLLLLPSSFLIFSPNSEFYSYKNHNTNHKPHHTKRKSKNKSSTTIASLSSPSKMKLTVSLNHLIIDLTTAQSQPARQRS >KJB58332 pep chromosome:Graimondii2_0_v6:9:15868531:15870355:1 gene:B456_009G205000 transcript:KJB58332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRKTLGKRPLSCYGITSSLATVENSPISLHTAPRREYVALPDSGERGFFRRFFHLRPLEQPSPGFPKIFSVPVGEKLREKLRGINISGDQLQLEGIAQPRPLPTDARAKVSVEDARKLLRASQIQKLKAKLREIPKSSISYYEFVQICLDRCGNEAQGLELAKVLDHSGNVIVLGNVVFLRPEELAKSMESMISESMALPDDPRRKELEEMEKQKAEIDKKAKAQVRGELYCGLGFLLFQTLGFMRLTFWELSWDVMEPICFFVTSLHFASAYGFFLTTSTEPSFEGYFQRRFKTKQKKLVKAHGFDIEKYNKLRQAFYPNISNALPSLERCSSTTLKHEDEAFL >KJB56365 pep chromosome:Graimondii2_0_v6:9:8569628:8571185:1 gene:B456_009G116700 transcript:KJB56365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVAAAAAAASVSSNTTSSSHHPSNQAPNHGWIPFFQPPAQRAALPALSRYESQKRRDWKAFVEYLKKHRPHLGLSRCTGVHVLEFLDYQFGNTKLHTRNCPFWGNPPDPPSPCSCSCPLDQAWSSLDGVVGRLRVAFEENGGQPETNPFSARVVRLYLTDLRDAQAKARGVAYTF >KJB54738 pep chromosome:Graimondii2_0_v6:9:3408978:3409610:-1 gene:B456_009G047100 transcript:KJB54738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WKSGQLPDFSPHSLSIYLDPTSLKRTLTFSPSFNPKKKRTLKGFATMGLSKASLILVLVAVCALMGSAVAAEAPAPSPTSGTGSISPSFVSVFFAAVTALLFGSTLRI >KJB59369 pep chromosome:Graimondii2_0_v6:9:20555860:20557660:1 gene:B456_009G252100 transcript:KJB59369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLKDIFRRICERSTIKKRCMYLTKAMLKENPNLTIYKAPSFDIRQEILATEVPKLGMEAALKAYFQPPSNTHLDILIGSAIFADGAAALVVGSNPIASINERPLFQIVSASQTFIPESDDMLIGKVREMGIEYYMSRDLPKYIANNIVQCMAEAFTPFGITEWENLFYVVHPGGAAILKGVEEKLGLNKEKLKASWHSNRVLNEMRKMSMLEGKATTDAGLEWGLLFGFGQGLTVETIVLRSIATNTAP >KJB62166 pep chromosome:Graimondii2_0_v6:9:59004625:59005274:1 gene:B456_009G404600 transcript:KJB62166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWSRDLIDVQKFSNRGVDLYIHLPSSELDKGNSEIIVITTVISGMVMIIIISALFLLRRMGQQRGKMSTFI >KJB54309 pep chromosome:Graimondii2_0_v6:9:2178384:2180962:1 gene:B456_009G028300 transcript:KJB54309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFKLVSALSLLLLLLDFCRGSNVGVDYGMDADNLPSPDQVAQLVRNHNIQYLRIYNYRPEVLKAFSNTGIELMVGVPNADLSQFQSQPYVDSWLRTSILPYYPATKITHITVGVEVTNYPDNTANLVVPAMRNVVSALKSANLQGKIKVSTPLSFGVLSKSFPPSEGAFNSGYENVLRLLLDFLEENQSPFMVNLYPIYAIGASSLDAVLFKSPSTIFVDQHTGLSYKNIFDAQLDAVFYAIANRNFRTTRNTFDAQPDTVHFTLANTNSRINDIIVTETGWPTHGSRPPHASTHNAKTYNYGASLDSVDDYANIDNAQTYNTNLISHVMGGSGTPAMPGANLDVYIFSLFNENLKQGPEIERNFGLFDPEMRSVYNLNFPGKGTGKSWCIASSQASNSALQNALDWACGQGKADCSAIQPGQRCFQPDTLVSHASFAFNNYYQKNGATDDACSFGGTGIKVSTDPSYGNCIYN >KJB54308 pep chromosome:Graimondii2_0_v6:9:2178384:2180962:1 gene:B456_009G028300 transcript:KJB54308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFKLVSALSLLLLLLDFCRGSNVGVDYGMDADNLPSPDQVAQLVRNHNIQYLRIYNYRPEVLKAFSNTGIELMVGVPNADLSQFQSQPYVDSWLRTSILPYYPATKITHITVGVEVTNYPDNTANLVVPAMRNVVSALKSANLQGKIKVSTPLSFGVLSKSFPPSEGAFNSGYENVLRLLLDFLEENQSPFMVNLYPIYAIGASSLDAVLFKSPSTIFVDQHTGLSYKNIFDAQLDAVFYAIANRNFRTTRNTFDAQPDTVHFTLANTNSRINDIIVTETGWPTHGSRPPHASTHNAKTYNYGASLDSVDDYANIDNAQTYNTNLISHVMGGSGTPAMPGANLDVYIFSLFNENLKQGPEIERNFGLFDPEMRSVYNLNFPGKGTGKSWCIASSQASNSALQNALDWACGQGKADCSAIQPGQRCFQPDTLVSHASFAFNNYYQKNGATDDACSFGGTGIKVSTDPRLPKLQPVGRLPLLQLSRFF >KJB62828 pep chromosome:Graimondii2_0_v6:9:69170303:69172065:1 gene:B456_009G440300 transcript:KJB62828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNNIAESSNTQNPILKLLDCSLRVSVIPLSVATIWLTVTNKQDNSIYGDVKFNNLLGVKYMVCTSAICAAYALFAAVASWIRYFVTKAWLFFVSDQIVAYLMVTSGAAVMEILYLAYNGDQKITWSEACSSYGKFCNQMKVALILHALVLCCFIVLALISAYRVFSKFDPPFFSKQDNEERT >KJB54503 pep chromosome:Graimondii2_0_v6:9:2698605:2701437:-1 gene:B456_009G036600 transcript:KJB54503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVRFFLFFSLLITLSFPSLCFSQSDADTLIKLKQSFLQGDLNSWIPAPGSSPCLQKWVGVICSGESIVGLHLTNLHLSGTVDVQPLLQLRDLRSISLMSNSFTGPFPDFNKLGALKSLYLSHNQFSGDIPSGYFSSMRSLKKVWLNENQFTGRIPESLMQLPHLLELHLDGNQFDGTIPPLKIPNVLRSLNLTRNNLQGRIPESFYNFSAASFEENVGLCGKPLPKDCQGAPPPVPSPSSKFLKENRIHGKAMLAATTLFIVLCFVVASMVSTQRRKKEELSIPRGRRRDELLPTHLPPESFHRLSVDSSGRGRSGSKRDSTASQPTIKNAMADLVMVNDEKGKLGLQDLMKAAAEVLGSSGVLGSAYKAVLSNGLAVVVKRMRGINRLDKDEFDAEMRRFGKLKHPNVLTPLAYHFRREEKLIVSEHMPKGSLSYVLHGTRDVVHANLNWPTRLKIIKGIARGLSYIHTEYATYEVPHGNLKSSNVLLSNNYDPLLNDYAFEPLINVTNVAQALFAYKSPEYLQYRQVSPKSDIYCLGIIIMEIMTGKFPSQYLSHGEGGIDIVQWVQLSMLQNQAEELIDPEIANNAGSVDQKLQVLKIGAACAEMHVGETEKE >KJB59136 pep chromosome:Graimondii2_0_v6:9:19251495:19253828:1 gene:B456_009G240500 transcript:KJB59136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLDEDGLISKTRSMVLSLSNIISRSPTLSPMQVHECQCTASIYKQYLHLPGLKTLIYEYKSLQLLTPTLIIHSYTIPQSPSPSSLDFMSFRTHSASYSSWSSGMDDMLGTESGVYMIHSALKMITMDHKAKGPSKQRNKRKQGRPRVGEYPPPIPLLARTGNLPSHMPWILARYYSNGRLVLKEEKVKHHEYFEAYRENGRLILDLVPLDGSFRCCHTVFEETNAVEDDEEKEKEIELENLEFFQGYGELEKVEELDEETDDNDDDDTDDEDNGNVKHEASMTSALSVPEMCHWSETYGDPRKCLTYSGRFISEMNSVLSQC >KJB56171 pep chromosome:Graimondii2_0_v6:9:7861955:7865881:-1 gene:B456_009G108400 transcript:KJB56171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDMEMKPSKFKRICVFCGSSPGRKSSYKEATIELGRELVSRNIDLVYGGGSIGLMGLISQAVFDGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPNARHIIVSAPTAKELVENMEEYEPQHERVASKLNWEMEQLSYPAKCEISR >KJB56172 pep chromosome:Graimondii2_0_v6:9:7863283:7865864:-1 gene:B456_009G108400 transcript:KJB56172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDMEMKPSKFKRICVFCGSSPGRKSSYKEATIELGRELVSRNIDLVYGGGSIGLMGLISQAVFDGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARQSDAFIALPGQLTIKMKGGGGD >KJB55128 pep chromosome:Graimondii2_0_v6:9:4585317:4586353:1 gene:B456_009G064400 transcript:KJB55128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHLSSIDSPSPSPSPSPATPSPTTFVQADANTFRDLVQKLTGFACDTEKLPLTFRLSSKTSLPSDPTAPRRPPFKLQERRQRQSMRKLEIKLGHATIRNSPTHYVSPPSQAPLRLDSPIRSPVTPLGSESLFYSSSSGTLSPSSPARMVSEEEKVIAEKGFYLHPSPLNTPRGTQPPELLTLFPITSPGQEKRD >KJB61808 pep chromosome:Graimondii2_0_v6:9:51902576:51904555:-1 gene:B456_009G382400 transcript:KJB61808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESRIEYLLKKIEEQTSNAGEEQEKILENILKPNAETDYFNKFLHGQTDQQLFKKYVPVVTYQDIKSYIDRIVDGEPPNISSTETITEFIPRNLNNNISSSGGYAPVYPSHLSLDFRLINEIGLYRYRVGDILKVTAFHNKSPKFQFVERQNMVLSIDMDKTSEADLRKAIKAAEIELEPHGFLLTVYSSFADTGSIPGRYVLFWEIKLRDSNTDQLKLDSNTMEQCCRRVEESLDFTYRLFRKMNSIGPLEIRVVKFGAFDELMNFFVSRGAAPLQYKTPCCLKIKEAIEILDSRVVGKFFSIGNLA >KJB62516 pep chromosome:Graimondii2_0_v6:9:65618672:65621107:-1 gene:B456_009G420600 transcript:KJB62516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARPLVSVQTIESDMATDATPTVPLADVMKASIRPDIVTFVHDNISKNSRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVASAIAASAVPSLVMARGHRIEAVPEMPLVISDAVESVEKTSGAIKVLKQIGAYPDVEKAKDSQEIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEVANVERLNLLKLAPGGHLGRFIIWTKSAYEKLDTIYGSFDKPSEKKKGYVLPRSKMVNADLGRIINSDEVQSVVKPIKKEIKRAPLKKNPLKNLNAMLKLNPYAKTARRMSLLAEAQRVKAKKEKLDKKRKPISKEEATAIKSAGKAWYQTMISDSDYTEFENFSKWLGVSQ >KJB57271 pep chromosome:Graimondii2_0_v6:9:11980103:11980903:1 gene:B456_009G156200 transcript:KJB57271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKMSMILIAYITLVASGAMGLREDQGAVAPSPMESGGVALGVPAALAAALASMLAFGCFF >KJB58699 pep chromosome:Graimondii2_0_v6:9:17266377:17271027:-1 gene:B456_009G222200 transcript:KJB58699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGVVGLEGFVGSDTATTTTTTTTTAGFTSLAADPEAKQKWYGSGFLKQERSGNNEDDWRSLKLAKFDDFSTSKAALHNHRNTLLRSNFSIFSDGQHQQQQMLSFSAPKSDALSVDTASQSVAFPYFQLTSPAYTRNTGYNTGGFNGANMHGVLAGGRGPFTPSQWMELEHQALIYKYITSNVLIPSNLLIPIRKSLDSANFSLFSGGLLRPNTLGWGAFHLGFSNNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQSGHSAAAIAPTKLMPTVSSSASVLGPTGGSGESNSLAIAQQQFKHSQPATASNLSAAATLNRLLLSKDTVGDTAPGLTMTSVTGDLKSKENPFLIPKQQNSYEHHSRTEFGLVSSDSLLNPSHKSSALIKCRNFTSQETESQHSLRQFMDECSKTQSDRSAISWPEIDVQSDRTQLSISVPMAASDYISFTSSTNNDKVTLSPLRLSREFDPIHMGLGVGSVINDSNQRQANWIPISWEASMGGPLGEVLHSTNSSTGECKSSSALNLMTEGWDSSPRLGSSPTGVLQKTSFGSLSNSSAGSSPTAEHNKTHEGASLCNNLLGSALVQSSSLPAS >KJB57162 pep chromosome:Graimondii2_0_v6:9:11497481:11499254:-1 gene:B456_009G1512002 transcript:KJB57162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGAFCNGQKIHPSKTDKVEQSLLVTGFGCEHNDAWNTNFELFKEFTSISRGVRRLGGAAVDMCHVALGVTEGYWEYHLKPWDMAAAVLIIEEAGGVVTRMDGGKLCVFDKSVLVSNGAIHAKLLERIAPATEKLKSKGIDFSLWYKPENYVTDL >KJB57161 pep chromosome:Graimondii2_0_v6:9:11497442:11499254:-1 gene:B456_009G1512002 transcript:KJB57161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGAFCNGQKIHPSKTDKVEQSLLVTGFGCEHNDAWNTNFELFKEFTSISRGVRRLGGAAVDMCHVALGVTEGYWEYHLKPWDMAAAVLIIEEAGGVVTRMDGGKLCVFDKSVLVSNGAIHAKLLERIAPATEKLKSKGIDFSLWYKPENYVTDL >KJB58795 pep chromosome:Graimondii2_0_v6:9:17744927:17745986:-1 gene:B456_009G226500 transcript:KJB58795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIKSAMADAFLTSMWVFSMPFLRILTLEIVDFLGLRPFPLAAFFITALLVSLMMFIFTIFGNALGGATFNPTASVAFYAAGLKKDWSALSMAVRFPLQAAGGVVGVKTVLGVLPIEYKETIKGPSLKVDMQTGFLAEGLLTFGLCLALLVILVRGPNNPLLKLLLMAISTVVFVGRGVNYTGPSMNPANAFGWAYVNNWHNSWELYYVYWMGPLIGATLAAWVFRFLLSPSSSTNEKKA >KJB59255 pep chromosome:Graimondii2_0_v6:9:21131081:21131713:-1 gene:B456_009G256300 transcript:KJB59255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNQFCFVSVMFLSILSVSSLPTSALTPKANVSFFVPSSQLLENLCNGKAVQNRRFCLKEPSTPEVITVMDTTQLGTLIMKLGAVNAKATLNVYNEIIKKPGSPQALKVLNCCDESYKYAILSFEMVSSELVEDPQIANYDVAVIGPEIGNCEKELINAKVQAPQLLAGNQFMKYYVSMGYEITSTLELENPNDIRQKIKGICIDNNV >KJB53411 pep chromosome:Graimondii2_0_v6:9:7725362:7725922:-1 gene:B456_009G106400 transcript:KJB53411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRISSSSKGSSPRADTTNRVPARERERERIRDEWEERNQRLRLPTKQSKRRQILTASLSSIGGSSLNMI >KJB62206 pep chromosome:Graimondii2_0_v6:9:59715474:59715782:-1 gene:B456_009G4063002 transcript:KJB62206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHLAEANPKYVPYDVNKQYGLLFDIITKRYKENNEARSLILQKQLRRYAPVSLTAEVVNFLQF >KJB58030 pep chromosome:Graimondii2_0_v6:9:14697378:14702474:-1 gene:B456_009G190900 transcript:KJB58030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSGLRHLFMTLFLHNFASFMVIPAITDVTMAALCPGRDECSLAIYISGFQQAIIGLGSFVMMPLVGNLSDKYGRKALLTVPITLTIFPLAILAYSRTRSFFYAYYILKILTAMFCEGSVHCLSLAYVADNVPEGRRASAFGILSGIGSCAFVCGTLSTRFLSTASTFQVSTAMAMLSAIYMRVFLPDSIINDNLSTPIISEGKSDGVANQNKEPDKKMQVFKTMPSMEDMLALLKSSLTFSQAAIVSFFSNLADVGLHASLLYYLKARFHFNKDQFADLMVITGVAGTISQLLLMPILAPALGEERLLSVGLFFSSAHMIFYSIAWSFWVPYAAAMFSLFYVFSQPCIRSIVSKQVGPCEQLCSCPKERHFISPVSVLCASGLPR >KJB58029 pep chromosome:Graimondii2_0_v6:9:14697649:14702285:-1 gene:B456_009G190900 transcript:KJB58029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSGLRHLFMTLFLHNFASFMVIPAITDVTMAALCPGRDECSLAIYISGFQQAIIGLGSFVMMPLVGNLSDKYGRKALLTVPITLTIFPLAILAYSRTRSFFYAYYILKILTAMFCEGSVHCLSLAYVADNVPEGRRASAFGILSGIGSCAFVCGTLSTRFLSTASTFQVSTAMAMLSAIYMRVFLPDSIINDNLSTPIISEGKSDGVANQNKEPDKKMQVFKTMPSMEDMLALLKSSLTFSQAAIVSFFSNLADVGLHASLLYYLKARFHFNKDQFADLMVITGVAGTISQLLLMPILAPALGEERLLSVGLFFSSAHMIFYSIAWSFWVPYAAAMFSLFYVFSQPCIRSIVSKQVGPCEQGKAQGIISGIGSFANVASPLAFSPLTALFLSERAPFYFPGFSIMCVGFASMIAFVQSLMIRAIPPISSQRVGNCNYMETA >KJB61766 pep chromosome:Graimondii2_0_v6:9:51412197:51416685:-1 gene:B456_009G379100 transcript:KJB61766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAGGGGITENRDMEMDVDDMDSLFEGMVLFTPSQLVEDRELEQKQDLDHPKLELKPEPEPEPEPKPDSLNSKQQHQGIQEPLDENLFSDLVLQTPLDQPELQPEAKHEPEPGPPSATAFVRQTSSTFRKKKKATGFRIGYGRDHQINNIDADGHGTGTGSGIGYGTDDRIDDNLNSHNVNDHVQPSPSLHTSATTSTTVSLVSESDSELHQVQAQQQQQQQQQQQSVDDAESRFELIKARIFDKLNHARELAVSVSAARKDSIRRRRKAADDLHLATIGHGELEKQLEDACEAEDFEAADRINESLAAADKDKQSLLTALRDAEAQCNAIDSKMLEVLNCQIAVEEECATLLHGFSEDAMSNADSVFKKSEAQSSEEREKWLSSTEALELNKIELQIEAHLVDNARAAFNTSLDSLIEDDKREKEFLCNQKDILTDELQKLIALVTEKEKEIAENDSKMKQVDQRIADTISGFQEMQSSIDSKDESLQSHLSQMETDSETLSKKKEEIDKLLAEEKYRGIKLKELAEISLGEAKMYQEVVGLRKSLLSSVLKSMEDKVRLAKTEEKLSKDVQILQLEASTARASLQELSSTKSSIQQNIASLKQRIYFIDKMVPELEAEKKVAAASRNFKEAARLAAEAKSLSTEKERVQIEMDKAVLDLGKLEEEIKRTMDKLQEIEGLILSKEKELAMARFQRLLLIAGAAKAERSAALELGDEEEANLLLAEADAANSEAKELQPKYNLKEEEFEDLPKHFISLELVTRLGRTKLADLAAMSGA >KJB61186 pep chromosome:Graimondii2_0_v6:9:41328222:41329492:-1 gene:B456_009G345000 transcript:KJB61186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQVLVIAIWVLVCFGSVSARFFPNITDIPSWIKNNATSKAPWDAFNKFAGCRPGEKREGLSQLKQYFNHFGYIPNSPSNFSEDFDDELEKALKTYQQNFNLNVTGQLDDQTLQQIVRPRCGNADVINGTSSMNSGRSSSFHTTGHLHTTAHFSFFPGTPRWPSNRQDLSYSFLPANGLTDEVKAVFTSAFQKWSTVTPLTFTQVDSYSSADITIGFYTGDHGDGEPFDGVLGTLAHAFSPTSGRLHLDGDENWVVSGDVTKASVSTAVDLESVAVHEIGHLLGLGHSSVEDAIMYPSITSRTRKVELADDDIQGIQLLYGTNPNYNGSTTSNTQERESSGGVPRYLGPRWGLALFLAVGFGSLFL >KJB57582 pep chromosome:Graimondii2_0_v6:9:13255557:13256895:-1 gene:B456_009G171500 transcript:KJB57582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCLSSKKGSSRGKEAHLHAGLESFNQKPSLESRAPPPSAEEETVKEVLSETPKPKARIFIPQEEEKKKPQIEKPAFVKIQGEESLNFNIKPEPKLPVNVIEESASEDVSEICSVSVSESVSTITDRRDEEEVRQQKVFRSPARSGSRNQVVGRSPTRKIDQSPGRRNGVVNGGSASVRLVHSREPTVRRGSRPDPPRKDPGESSGRRSRSPAVNRSVMGRSPSGRRTNQSPGRARLDPGETGNSKKVEQQHGATTTTTMEGKWPSSNNNAATSSAPNESLENPLVSLECFIFL >KJB59297 pep chromosome:Graimondii2_0_v6:9:20020032:20021159:-1 gene:B456_009G248200 transcript:KJB59297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDDSFKKPGAVPFKWEIRPGVPKLQQRELSSHKEKQQKQRQPPPPLPPPASPFINQRSFPTPPPGIQPKVQKLKPPPAGSYYILSPEPRSHSFRSTPRTRSGSFRFDQPARLRPECVQTGCFPSPLLRRKGSKRRTQKLEPEPDYVSDLETRSRWSVSSRRSVSPFYSSPASSFSSFRSSPRPVADVEWAGFGFF >KJB62672 pep chromosome:Graimondii2_0_v6:9:67402206:67403482:-1 gene:B456_009G4293002 transcript:KJB62672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTRNKLLDWERQFHIIDGIARGLLYLHHDSRLRIIHRDLKASNILLDDDMNPKISDFGLARMFGGDQIEDKTRRVVGTYGYMSPEYAFYGRFSMKSDVFSFGVLILEIITGKRNRGYSDINHNLLEHAWRLWTEGRPLELINKTIGDTDGGNATTSDGTYITSEVLRRIHVALLCVQQRPEDRPKMSSMLLMLGGESTLPEPNQPGYFIEKDWQPSATAADCRSRKQSTSSNEVTITMLDAR >KJB59572 pep chromosome:Graimondii2_0_v6:9:21601908:21603776:1 gene:B456_009G261600 transcript:KJB59572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEKQGFIWLSGLLFLNIFVLSTADVHYYEFSLQESPFTKLCSTKIILTLNGSFPGPEIRVRRGDTVFVNVHNQGNHAVSLKWEGVKGSIDGSNELIQPGRNFTYKIELKDEIGTLRWHATSAWAAATVHGAFVILPVATEDYPFPAPTSDQTIILGEWFREELTEANQTITPGSADAYTINGHPGETYGCSNDTTFEMQVDYEGLYLVGVINAVANETMVFDIENHRLTIVGQNGTYTNRSFTNSLTLAPGQVIDVLLLPNQNVGHYYITARSSSGTHITNGILRYTTTTTSF >KJB53805 pep chromosome:Graimondii2_0_v6:9:539944:541212:-1 gene:B456_009G006300 transcript:KJB53805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFHSPSTASSGMVLLLLIGFSMAAFAYGNFYHKFDVVWGDGRAVIQEGGDLLTLSLDKTSGSGFQSKDEYLYGKIDMQLKLVPGNSAGTVTAYYLSSKGDAWDEIDFEFLGNLSGQPYILHTNVFCQGKGNREQQFYLWFDPTADFHTYSILWNPERIVFSVDGIPIREFKNLEFLGVPFPKNQKMRLYSTLWNADDWATRGGLEKTDWSQAPFKASYRNFNADACVWSSGSSYCSSNKNAWFWEELNFAKKGQMKWVQNNYMVYNYCEDTKRFPQGLPTECTFADIL >KJB61862 pep chromosome:Graimondii2_0_v6:9:52472083:52474284:-1 gene:B456_009G386900 transcript:KJB61862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLRNNKDVDNRLSFCASEEELDFIIHSLQYRIQHESISLSDEKRILKEIKHFEGTREKVIANVAMRSKIQDSLGQKEDIDDQVKSGPKKNQIKDKLDETETKIESLQNELKAVTLKRDKAFENIQELRKQSDQGNSHFYQSRTTVHNAKLLAAQKDIKALEELSIVEVEKFMALWNGNKAFRDDFEKRILPSLDSRLLSRDGRIRNPDEKPLVAPEKPVDSETETILRPSVRQPKEEAKSEPETIALESNPLSDISVVAEEILVSGKLQKNKEVDVAKLKELKREEEIAKAKQAMERKKKLAEKEAAKAAKRAQMEEREKRAKKKVAGSTNAVNPEELIEAQDSEPEKVDVNTDAPVPATVSMKGKVPKENTSRYRNRTKRTESLPKAILKRKKSMNYWIRAAPAVVVVLVLLALCYYYLA >KJB59350 pep chromosome:Graimondii2_0_v6:9:20420310:20422484:1 gene:B456_009G250800 transcript:KJB59350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHPLSHKQSSIIPLTLPSPSSQFSSPENMLHPPKSSFCLSEHMVNNYDSRVQKTAQNYRRWNTMEADVTSMMEDDSGASTPLWGSTNPSRSPSHGKNINYRCLSPSSKAQAIARGQKELMEMVSRMPESCFELTLKDLVEQQQPVVVEPKQESFAEGRATIDQHTYNKEKGKKKMKKNPKPQFNRSGSIDNGGLLLKMVFPFSLGSKCKNKKKKKNESNTNHNSKVSPKPTVSDESGKIVDKEWWKKRSGSSESEGGGSNINSGSSKNSHSSSIGSSSSSSSGNINNTGHRHKGNGCLAFIFTRKSKASR >KJB60626 pep chromosome:Graimondii2_0_v6:9:29796264:29797385:1 gene:B456_009G315800 transcript:KJB60626 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13510) UniProtKB/Swiss-Prot;Acc:Q9FY50] MEIALLSFPSSKPPLSQTLTLKPTAPFLRPSKPNLPSFRPSPFPSIRAAISRTKKEETVETVKTQLENCYLLAAVEYKGFTVQQFQDLRRSLPETSKLLVAKNTLVLKAIEGTKWEALKPCMKGMNAWLFVHTEEIPDAIKPYRTFQREKKLENDFTGAVFEGKFYGPGDFKQLENMPTRAEVYAKLLGSLQSPAIGLVSTLQAPARDVVMVLKAYVKKLEEESGGN >KJB53642 pep chromosome:Graimondii2_0_v6:9:66879294:66879752:1 gene:B456_009G426800 transcript:KJB53642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLALLVATFALAFFLVNASIYRTTITLDSYEENRFDAIRKLHYLERCQWYMQQETGGISTYNTQLLNSCCDQLQNLDEGSRSTGLKQAVREQLQEGQWESEEAKDMFEVAERELRKCDLEPRRCDMQSRRWF >KJB56648 pep chromosome:Graimondii2_0_v6:9:9779393:9783228:1 gene:B456_009G129700 transcript:KJB56648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSYQTVGSGSGTARKTFDFGRTHVVRPKGKHQATIVWLHGLGDNGSSWSQILESLPLPNIKWICPTAPTRPVAILGGFPCTAWFDAGELSEDGPDDWEGLDASAAHIANFLSTEPSDVKVGIGGFSMGAAMALYSATACALGRYGNGNPYPISLRAVVGLSGWLPGSRGLRNKIEVSSEAARRAASLPILLSHGTCDDVVPYKNGEKCAQSLSIAGFRNLTFKTYEGIGHYTVPKEMDEVCNWLTARLGLEGSR >KJB56649 pep chromosome:Graimondii2_0_v6:9:9780858:9783228:1 gene:B456_009G129700 transcript:KJB56649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSQYLRNWSQILESLPLPNIKWICPTAPTRPVAILGGFPCTAWFDAGELSEDGPDDWEGLDASAAHIANFLSTEPSDVKVGIGGFSMGAAMALYSATACALGRYGNGNPYPISLRAVVGLSGWLPGSRGLRNKIEVSSEAARRAASLPILLSHGTCDDVVPYKNGEKCAQSLSIAGFRNLTFKTYEGIGHYTVPKEMDEVCNWLTARLGLEGSR >KJB56252 pep chromosome:Graimondii2_0_v6:9:8209727:8212215:1 gene:B456_009G112600 transcript:KJB56252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASVGGAATDPNSVNEGPSSAVVTASTTPPTVPQQGGAGESSSSPAPPSRYESQKRRDWNTFLQYLKNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHITGCPYFGHPNPPAPCSCPLKQAWGSLDALIGRLRAAYEENGGRPESNPFAARAVRIYLREVREGQAKARGIPYEKKKRKRSTVNTTAVGVNFSVAATQSIDGGGGSGGGGDYIAGGTAANVATATTAAAAATTTNG >KJB58031 pep chromosome:Graimondii2_0_v6:9:14722377:14725064:1 gene:B456_009G191000 transcript:KJB58031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFGLFCTGALLACGLYWFVCLLGPAEQKGKRAVDLSGGSISAEKVQDNYRQYWSFFRQPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSIRGKSHRDATRLHEEMAVDLIEVKPGDRVLDVGCGVGGPMRAIAAHSRANVVGITINEYQVNRARLHNKKAGLDSLCEVVCGNFLEMPFKDNTFDGAYSIEATCHAPKLEEVYAEVFRVLKPGSLYVSYEWVTTDKYRGDNPEHVEVIQGIERGDALPGLRNYKDIAEVAKKVGFEVVKEKDLAKPPALPWWTRLKMGRIAYWRNHILVTVLASIGIAPKGTVDVHEMLFKTADYLTRGGDSGIFSPMHMILLRKPQVPPTQS >KJB54110 pep chromosome:Graimondii2_0_v6:9:1970354:1972106:-1 gene:B456_009G025600 transcript:KJB54110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTKSDSDVTSSVDPSSPRSPKRQLYYVQSPSRDSHDGDKSTSMQATPAYSSPMESPSHPSYSRHSRASSASRFSGTLKKGRKRNEKGWTECNVIEEEGDYGEYFYGNDEGFTRRCQILMGVFGFIAVFSLFCLIIWGASRPYKAQIAVKSLTVHNFYFGEGADMTGVPSKMLTMNCSLRMTVYNPATFFGIHVSSNLVNLMYSEIAVATGQKYYQPRKSHRTVAVTLHGDKVPLYGAGASLAISDDGGGVPMTLIFDVRSRGNVVGKLVKSRHRKRISCSLVIDTHSNKPLKLKNSSCQYD >KJB54109 pep chromosome:Graimondii2_0_v6:9:1970307:1972175:-1 gene:B456_009G025600 transcript:KJB54109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTKSDSDVTSSVDPSSPRSPKRQLYYVQSPSRDSHDGDKSTSMQATPAYSSPMESPSHPSYSRHSRASSASRFSGTLKKGRKRNEKGWTECNVIEEEGDYGEYFYGNDEGFTRRCQILMGVFGFIAVFSLFCLIIWGASRPYKAQIAVKSLTVHNFYFGEGADMTGVPSKMLTMNCSLRMTVYNPATFFGIHVSSNLVNLMYSEIAVATGQLKKYYQPRKSHRTVAVTLHGDKVPLYGAGASLAISDDGGGVPMTLIFDVRSRGNVVGKLVKSRHRKRISCSLVIDTHSNKPLKLKNSSCQYD >KJB62958 pep chromosome:Graimondii2_0_v6:9:69673831:69675037:1 gene:B456_009G445700 transcript:KJB62958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFCFPSTPKKLAMTVGCFIAGAGLFVVGTYLSYINVAPQQARIKARNDFVKERLRKKYGKP >KJB60970 pep chromosome:Graimondii2_0_v6:9:34933350:34939774:-1 gene:B456_009G333800 transcript:KJB60970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSEKPRPIDLYKEEGPAAAPRDMIIEVTSNGDLPPHHHHHHPPPPHHHHQHQQQQQQQQMILGESSGEDPEVKAPKKRAETWVQDETRSLIGFRREMDGLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHQDRGSGSAKMSYYKEIEEILRERTKNSYKSPTPPPKPDSFMHFADKGFEDAGISFGPVEASGRPTLNLERRLDHDGHPLAITAADAVAASGVPPWNWRETPGNGGDCQSYGGRVITVKYGDYTRRIGIDGTADAIREAIKSAFGLRTKRSFWLEDEDNIVRSFDREMPLGNYTLHLDEGLAIKVCLYDESDHIPVHTEDKIFYTEDDYREYLARRGYTGLRDIDGYRSIDNMDDLRPNAIYRGVS >KJB60971 pep chromosome:Graimondii2_0_v6:9:34935050:34939785:-1 gene:B456_009G333800 transcript:KJB60971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSEKPRPIDLYKEEGPAAAPRDMIIEVTSNGDLPPHHHHHHPPPPHHHHQHQQQQQQQQMILGESSGEDPEVKAPKKRAETWVQDETRSLIGFRREMDGLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHQDRGSGSAKMSYYKEIEEILRERTKNSYKSPTPPPKPDSFMHFADKGFEDAGISFGPVEASGRPTLNLERRLDHDGHPLAITAADAVAASGVPPWNWRETPGNGGDCQSYGGRVITVKYGDYTRRIGIDGTADAIREAIKSAFGLRTKRSFWLEDEDNIVRSFDREMPLGNYTLHLDEGLAIKVCLYDESDHIPVHTEDKIFYTEDDYREYLARRGYTGLRDIDGYRSIDNMDDLRPNAIYRGVS >KJB54964 pep chromosome:Graimondii2_0_v6:9:4074350:4075825:-1 gene:B456_009G056000 transcript:KJB54964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECKEGTGETDAESVLISDWAELTHECLLNILSRLTFEHRWTGPMLVCKPWFNACKDPSLNSVFDLETRFDSSSESTRWWTPEFERRIDSMLRSVITWSNCNLTQILTRHCSDLSLNFAAQRCPNLQVLSIKSCPRVTDASMAQIAYHCRNLKQLNISYCYEISHESLSLIGRNCPNLKILKRNLMNWLDPSQHVGIVPDEYLNACPQDGDTEAAVIAKFMPQLEHLEIRFSKLSAKGLGSICEGCSQLEHLDLSGCANLTSRDILNATSNLKDLKEVKKPNFYIPRSVFHTERYGHWRLYDERFQTDVFRI >KJB56485 pep chromosome:Graimondii2_0_v6:9:9035109:9036453:-1 gene:B456_009G121500 transcript:KJB56485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIYGPGAAFRVKTSLYCVNSNNNKVSSKSSNNLTRFSRRCNLAGSFLAAAAVLVSAAMPAEVPQDSETLSNIPQTLSGECSSAKDCKKPRIQRPKSRKAETCTIKCVTTCIRGGDGSPGEGPFNIRRPLVVFKEGFRSRNYCLVECSDICNLIGDWDDGP >KJB56486 pep chromosome:Graimondii2_0_v6:9:9035235:9036427:-1 gene:B456_009G121500 transcript:KJB56486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIYGPGAAFRVKTSLYCVNSNNNKVSSKSSNNLTRFSRRCNLAGSFLAAAAVLVSAAMPAEVPQDSETLSNIPQTLSGECSSAKDCKKPRIQRPKSRKAETCTIKCVTTCIRGGDGSPGEGPFNIRRYLNSFQGSISFC >KJB57084 pep chromosome:Graimondii2_0_v6:9:11193212:11195530:-1 gene:B456_009G147400 transcript:KJB57084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFLFFFLSLLSLASSASRVDQKTFIVRVQNEAKPSVFTTHKHWYESSLSSVLSPSTPIQLLHVYDSVFHGFSAKLSPTEALKLQTLPHFIAVIPEQVRHLQTTRSPLFLGLKTTDSAGLLKESDFGSDLVIGVIDTGIWPERQSFNDRNLGPINSKWKGQCVTTNDFGSNSCNKKLIGARYFCSGYEASNGKMNETSEFRSPRDSDGHGTHTASIAAGRYVFPASTLGYAKGVAAGMAPKARLAAYKVCWNAGCYDSDILAAFDSAVADGVDVISLSVGGAVVPYYLDAIAIGAYGAAEKGIFVSASAGNGGPGGLTVTNVAPWVATVGAGTIDRDFPADVKLGNGKVVTGAGVYNGRGLSPGRMYPLVYAGSGGGGGYSSSLCLEGSLDPDFVKGKIVLCDRGINSRAAKGEVVKKAGGVGMILANGVFDGEGLVVDCHVLPATAVGASNADEIRQYIDSASKSKSSATATILFKGTRLGVRPAPVVASFSARGPNPETPEILKPDVIAPGLNILAAWPDKVGPAGIPSDNRRTEFNILSGTSMACPHVSGLAALLKAAHPDWSPAAIKSALMTTAYTVDNRGETMVDESNGNTSTVLDFGSGHVHPTKAMNPGLVYDVTPMNYVDFLCNSNYTINNIQVITRRNADCSGAKRAGHVGNLNYPSFSAVFQQYGKHTMSTHFIRQVTNVGDPNSVYKVTVRPPSGTLVTVEPKQLVFRRGGQKLNFLVRVEATAVKLAPGSTNMKSGSIVWSDGKHNVTSPIVVTMQQPL >KJB57083 pep chromosome:Graimondii2_0_v6:9:11192425:11195929:-1 gene:B456_009G147400 transcript:KJB57083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFLFFFLSLLSLASSASRVDQKTFIVRVQNEAKPSVFTTHKHCVFHGFSAKLSPTEALKLQTLPHFIAVIPEQVRHLQTTRSPLFLGLKTTDSAGLLKESDFGSDLVIGVIDTGIWPERQSFNDRNLGPINSKWKGQCVTTNDFGSNSCNKKLIGARYFCSGYEASNGKMNETSEFRSPRDSDGHGTHTASIAAGRYVFPASTLGYAKGVAAGMAPKARLAAYKVCWNAGCYDSDILAAFDSAVADGVDVISLSVGGAVVPYYLDAIAIGAYGAAEKGIFVSASAGNGGPGGLTVTNVAPWVATVGAGTIDRDFPADVKLGNGKVVTGAGVYNGRGLSPGRMYPLVYAGSGGGGGYSSSLCLEGSLDPDFVKGKIVLCDRGINSRAAKGEVVKKAGGVGMILANGVFDGEGLVVDCHVLPATAVGASNADEIRQYIDSASKSKSSATATILFKGTRLGVRPAPVVASFSARGPNPETPEILKPDVIAPGLNILAAWPDKVGPAGIPSDNRRTEFNILSGTSMACPHVSGLAALLKAAHPDWSPAAIKSALMTTAYTVDNRGETMVDESNGNTSTVLDFGSGHVHPTKAMNPGLVYDVTPMNYVDFLCNSNYTINNIQVITRRNADCSGAKRAGHVGNLNYPSFSAVFQQYGKHTMSTHFIRQVTNVGDPNSVYKVTVRPPSGTLVTVEPKQLVFRRGGQKLNFLVRVEATAVKLAPGSTNMKSGSIVWSDGKHNVTSPIVVTMQQPL >KJB58749 pep chromosome:Graimondii2_0_v6:9:17515204:17519538:-1 gene:B456_009G224500 transcript:KJB58749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGISVKTTSSKRRPSNVPKTLSVIPLPHCPTAPSLSNHAYICLPFLSKLRISSCSLLFQYISLKHLRDRALRRTEEMAKEMQKTSMFIERNPGDYENGDICKNLDDDGRPKRTGTWVTASAHIITAVIGSGVLSLAWAIAQLGWVVGPAVLMAFSFITYFTSTLLADCYRAPDPVHGKRNYTYMDVVRAYLGGRKVQLCGLAQYANLVGVTVGYTITASISMVAVKRSNCFHKHGHHVKCQTSNNPFMVIFACIQIVLSQIPNFHNLSWLSILAAIMSFAYSSIGLGLSIAKVAGGEPVRTTLTGVTVGVDVSGSEKIWRTFQAIGDIAFAYAYSTVLVEIQDTIKSSPPENKSMKRATTLGVSTTTLFYVLCGLVGYAAFGNDAPGNFLTGFGFYEPFWLIDFANICIAIHLIGAYQVFAQPLFGFVEGWCARQWPDSKFITREHAVDIPCYGVYYLNLFRLVWRTSYVVVTAVVAMIFPFFNDFLGLIGAGSFWPLTVYFPIEMHIAQTNLPKYSFRWTWLKILSWACLIVSLIAAAGSVQGLIQSLKTYKPFQTQE >KJB53884 pep chromosome:Graimondii2_0_v6:9:781839:786540:-1 gene:B456_009G009700 transcript:KJB53884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLVAGSHNRNELVVIHGHEEPKPLKNLDGQVCEICGDEIGLTVDGDLFVACNECGFPVCRPCYEYERREGSQQCPQCKTRYKRLKGSPRVEGDEDEEDVDDIEHEFNIDDEQNKYRNIAESMLHGKMSYGRGPEDDEGLQIPPGLAGVRSRPVSGEFPIGSSLAYGEHMSNKRVHPYPMSEPGSARWDEKKEGGWRERMDDWKMQQGNLGPEPDDAYDADMAMLDEARQPLSRKVPIASSKINPYRMVIVARLVILAFFLRYRILNPVHDAIGLWLTSVICEIWFAFSWILDQFPKWFPIDRETYLDRLSLRYEREGEPNMLASVDIFVSTVDPLKEPPLVTANTVLSILAMDYPVDKISCYISDDGASMLTFESLSQTAEFARKWVPFCKKFAIEPRAPEMYFTLKVDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKVPPEGWIMQDGTPWPGNNTKDHPGMIQVFLGQSGGHDTEGNELPRLVYVSREKRPGFLHHKKAGAMNALVRVSGVLTNAPFMLNLDCDHYLNNSKAVREAMCFLMDPQIGRKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYEPPKGPKRPKMVTCGCCPCFGRRRKDKKHSKDGGNANGLSLEAAEDDKELLMSHMNFEKKFGQSAIFVTSTLMEQGGVPPSSSPAALLKEAIHVISCGYEDKTEWGSELGWIYGSITEDILTGFKMHCRGWRSIYCMPKLPAFKGSAPINLSDRLNQVLRWALGSVEIFFSHHCPAWYGFKGGKLKWLERFAYVNTTIYPFTSLPLLAYCTLPAICLLTDKFIMPPISTFASLFFIALFLSIFATGILELRWSGVSIEEWWRNEQFWVIGGISAHLFAVIQGLLKVLAGIDTNFTVTSKATDDEEFGELYTFKWTTLLIPPTTVLIINLVGVVAGISDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVMKTKGPDTTMCGINC >KJB55053 pep chromosome:Graimondii2_0_v6:9:4299666:4300559:1 gene:B456_009G060100 transcript:KJB55053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSISCFGWTPYIEISVAMGQIEEEVKKSRTPRTPHLKEKCKSFITRIGKGGRKQYNSSDFSYDPLSYALNFEDETHLADELQNNIINFTSRLPPTPDRAPVIKPFQPKPVSIRRELFAHC >KJB60834 pep chromosome:Graimondii2_0_v6:9:32938627:32940002:1 gene:B456_009G327700 transcript:KJB60834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKWIPSWVFVLGAALLCVIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTVNRFWSQIFGVAFSNKRWLHFFMLFVPVTSLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTTKNILLNEGIRAWMAAQDQPHENHKFPEEFLPQTTGFAWWAGNARLINLS >KJB53474 pep chromosome:Graimondii2_0_v6:9:9083395:9083829:1 gene:B456_009G122400 transcript:KJB53474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKITTATQSNAVPYKALKCNVIWSIYMRIVMNIDHKIQSVEDRLLNFVYGVTHLKPLKTKIFRHIQRR >KJB61773 pep chromosome:Graimondii2_0_v6:9:51574782:51581211:-1 gene:B456_009G379800 transcript:KJB61773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VENWLKDVKEMIREAQVVENKVSNGRYLCLACYGKLVDEKTREMKEFLDKAPNASEGLAMDGPSAGLPLETSELVGQEAVRKEIWACLMQEEVSKIGVWGMGGVGKSTIMKHIHNDLLKEQRFERVVWVTISKEFNIVKLQNDIASALNGKIPKEANKVRRAAILSEMLKRAGKHVLILDDVWSEVLLEEIGIPKPSSSNGYKLMLTTRVEQVCKSMGCKVIKVKPLSEEEALILFLSEVGPNIVQNQTLMPTLKLVVKECAGLPLTIVVISGTLRGEDDPLIWKNTLRELKERIGKVKEGEDKVIESLKVSFNHLKDEKMKHCFLHCALYPEDCQIWKNGLIECWIDEGFIDDMSTRQEMKDKGHVILKKLEDNCLLENVSSVTVKMHDAVRDMALSITRMNPRYMIQAGSQLEELPEKEQWSPDIEKVSLMYNSISEISIDVLPTKCQLLSTLLLQNNPIKKIPYSFFTNMPCLSVLNLSSTKIKSLPNSISELKNLTTLLLRGCYGLRDLPCLSMLQELKKLDLSGTKIEEVPEGMDMLIKLRYLDLQVRTLKEIPAGLLPKLIHLQHLGFDKQISLKAEEMEPLKKLECLTGHFEDISEFNKFISSMQQSKKNLIKYSLQLGPSFMPPTRDKTVAIGGVDNWEGELIMYPIEIQELNILKCDYSRNLVDDNSSFKNAIDLRVCSIWGCEGIECVASPSSFVSSSAHPFQSLEVLDLGDLPKLSALIMKDAGIGSATTSTVAPSASFSHLKEITIYSCSSMKMLLPHWLLPNLQNLEEMSVDHCDELVEILGAETSEVEEKGSDALIKFHLPKLRKLSFSVLPNLKSICSKSGVMVCDSLQLIHIIRCDKLKRIPPFVPLVGNGQPFAYAPPSLTIRSWKEWWESLEWDDHPKYKNVLRFNPPSRIRGLISPY >KJB61772 pep chromosome:Graimondii2_0_v6:9:51574782:51578464:-1 gene:B456_009G379800 transcript:KJB61772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVVGIANCLGPPFCKYLQYHRKLNDYVRNFKRITDELNCKMEDIELQLKAELLRPLGKIPKKGVENWLKDVKEMITEAQVVENKVRNGRYLCRACNGKLVDEKTREMKGFLDKAPNASEGLAMDGPSAGLPLETSELVGQEAVRKEIWACLMQEEVSKIGVWGMGGVGKSTIMKHIHNDLLKEQRFERVVWVTISKEFNIVKLQNDIASALNGKIPKEANKVRRAAILSEMLKRAGKHVLILDDVWSEVLLEEIGIPKPSSSNGYKLMLTTRVEQVCKSMGCKVIKVKPLSEEEALILFLSEVGPNIVQNQTLMPTLKLVVKECAGLPLTIVVISGTLRGEDDPLIWKNTLRELKERIGKVKEGEDKVIESLKVSFNHLKDEKMKHCFLHCALYPEDCQIWKNGLIECWIDEGFIDDMSTRQEMKDKGHVILKKLEDNCLLENVSSVTVKMHDAVRDMALSITRMNPRYMIQAGSQLEELPEKEQWSPDIEKVSLMYNSISEISIDVLPTKCQLLSTLLLQNNPIKKIPYSFFTNMPCLSVLNLSSTKIKSLPNSISELKNLTTLLLRGCYGLRDLPCLSMLQELKKLDLSGTKIEEVPEGMDMLIKLRYLDLQVRTLKEIPAGLLPKLIHLQHLGFDKQISLKAEEMEPLKKLECLTGHFEDISEFNKFISSMQQSKKNLIKYSLQLGPSFMPPTRDKTVAIGGVDNWEGELIMYPIEIQELNILKCDYSRNLVDDNSSFKNAIDLRVCSIWGCEGIECVASPSSFVSSSAHPFQSLEVLDLGDLPKLSALIMKDAGIGSATTSTVAPSASFSHLKEITIYSCSSMKMLLPHWLLPNLQNLEEMSVDHCDELVEILGAETSEVEEKGSDALIKFHLPKLRKLSFSVLPNLKSICSKSGVMVCDSLQLIHIIRCDKLKRIPPFVPLVGNGQPFAYAPPSLTIRSWKEWWESLEWDDHPKYKNVLRFNPPSRIRGLISPY >KJB53517 pep chromosome:Graimondii2_0_v6:9:51729061:51729159:-1 gene:B456_009G3808001 transcript:KJB53517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YASKPSIIRYAKIALQLQNKTVRDVALRCRWMT >KJB55135 pep chromosome:Graimondii2_0_v6:9:4617196:4621028:1 gene:B456_009G064900 transcript:KJB55135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATVISPTYLSAYLLLLCLVCSLKEGYAKGRRATVAESHHLEHSHTIQVSSLLPSSICSPATKVLDKKSSLQVVHKHGPCSQLYHHDKANIPTHAEILLQDVARVKSIHSKLAKSLGSTKVDQTDAANIPAKDGSVVGSGNYVVTVGLGTPKKDLTLIFDTGSDITWTQCQPCVGTCYKQQDPVFAPSLSSTYSNISCSSTTCNSLASATGNTPGCSSSACVYGIQYGDSSFSVGIFAKEKLTLTSTDVFDDFLFGCGQNNQGLFGGAAGLIGLGRDKLSLPSQTASKYKKYFSYCLPSSASSTGFLSFGYGGVSKFVKFTTLTTLSQGASFYGIDIVGISVGGKKLPISASVFTAGGAIIDSGTVITRLPPTAYTALSSEFRKLMSRYPKAQPLSILDTCYDFTKFSSVSVPKISIFFSGGVEVPIAAKGILYVNAISQVCLAFAGNTDDTEVGIFGNTQQKTLQVVYDGVGGKLGFATGGCT >KJB57720 pep chromosome:Graimondii2_0_v6:9:13719245:13720378:1 gene:B456_009G177500 transcript:KJB57720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKIVNDIARLLPEDMLSEILKHAASNSAADFVNARLSCKAVRAASNYDQIFDNVSMEKLNFVPWRKSEKVLQKRCEAAQNAEALYRKGMMDCFSLREFEFGLHCLKKAAEKGHVEAIYTYGIILICFGGELREQGLRIISSLDLTNSSKRRTRIVTSCRLKTENFLSNMWVYAALTEPTRISRSCDSDIRERPNSSSTSSEGQAWEASKNVGYCCDPCFWDGEATLFCSLLRKYLIN >KJB61890 pep chromosome:Graimondii2_0_v6:9:52650668:52652715:1 gene:B456_009G3888002 transcript:KJB61890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVFGTANEFDYGLHCNSIVHESKPADEEFNIMPFSRRQYGYFSGGDKDPNNPPSRSYSAPKSKRLLFETHHVFTAEADDVFMVEGNLIFQTSFYYERSISSGSSYVISSSDRGTLDFDFRGFWSRTTGKLCMVGSSHAYSKEGKLLHLAAVLKINNLQTSSNISTLVTGTMDSLSSANDPNHFEQISLLMFPRVNYAYTMISNQFSEGCPGGIDVQPMSSLRLSQTRTICDMLGGSNAFKLEYTGSCNFSKSCNPFGDGIGSVVSLRMIQCSNDRQSLRLLIEFRNDSYQGYYSSPNLNTSLIGEGSWDAKSNRLCIIACRIYDAWSSLEKSHVGDCTTRLSLRFPAILSIRNTSTIVGEIWSEKPRNEGGFFDRVEFRNTGRYGGRIQLQGLKYVYTEMAEVKKSCPKKNPKTKSSRGHYPDGYSGNLGFRMSIIKGSKGRIGWGSSVPLAVGDQQDQRFPSLIPSSSSKPKSSRVESDSSSGLLNISYKMSIMLRSSELDGGLNTVNESSNEYLKTEIRISAEGVYDTATGSLCMVGCRHLRSGDKTFSSHSMDCKILVKINFPPLNSDRRSTIKGSIESTREEIDPLSFKPLQFSGRAYYRSWVTESIWRMDFEMD >KJB59563 pep chromosome:Graimondii2_0_v6:9:21513041:21513754:1 gene:B456_009G260900 transcript:KJB59563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKQKILQRKGKPEEHRYIENKNLGSQKQGFIRISGLFCYFLNILVLRRAKVHHYSWKNPGSQVCSTSNILTLNTSFPGSKIGVRIRDTVFVNVHHEANHAVSIKWKGVKDSIDGSHDLIQSERNFTYKIELNDLTSFYVNVI >KJB55603 pep chromosome:Graimondii2_0_v6:9:6151158:6152554:-1 gene:B456_009G084400 transcript:KJB55603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 60 [Source:Projected from Arabidopsis thaliana (AT5G22410) UniProtKB/Swiss-Prot;Acc:Q9FMR0] MKISAAVVAAAFSLAFSFILVNFTGQCDAALQQGFYKGKCNSQDVEAIVASVVKRRFNDKPRVAAGLIRLFFHDCFVNGCDASILLDGDSSEKTAPPNLSVSGYDVIDEAKGLLEEACAGVVSCADIIAIAARDAVQLSGGGRYEVQTGRRDGSVSLASNVDLPSPRFSVSQSADAFAKKGIGLTDMVLLLGGHTIGFTNCSLFRDRLYNFDNTGKPDPTMDPLLVVKLRLICPRNSPADRTPVSLDQNLASTFIVDNSFYKQIRLGRGILQIDQALALDPLTNNTVASLANGNDFLARFGQAMVKLGAVDVLTDSQGEIRESCHLKNKPRSTFLFG >KJB55508 pep chromosome:Graimondii2_0_v6:9:5743717:5746183:1 gene:B456_009G079600 transcript:KJB55508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFECQESTEISKNLANYSESNHSSYNSAPENLETSKPSLDFNPKKGISSFYGSKQLPPNDVFTNARRSITDLPPALVSEILNYLDPKELGIISCVSTILHNIASEHHVWKEFYCERWGLPVASGLLGAGASDEKSWKKLFVERDFRSKTFLGRCSIDVLYGHTEAVRTVFLLASAKLIFTAGYDSVVRMWDMEEGLSIASSRPLGCTIRAVAADTKLLVAGGTDGFIHCWKAVESLKHLFDLKGVEKQNKEFRLWEHEGPITSLALDLTRIYSGSWDMTVRVWDRSSLKCTQVLRHGDWVWSLVPHDTTVVSTSGSDVFLWQTNTGTLLNVIHNAHVGNTYALARSRTGDFLFTGGEDGAIHMFEIISGSDESSVVQVATWIPHSGAVYSLAFEFPWLVSASSDGKLALIDVRKLLRASKRTLGKRVSRDNDIDQRNIEPPQRMLHGFGCNLFSVGIGADRIVCGGEEGVVRIWNFSEALEIEQRARALRGIRLENRMRRRRLQIEMNNKGGRTDQCSVAAKKKTVNGDRNSVWHSKRSISSKVKT >KJB61633 pep chromosome:Graimondii2_0_v6:9:50138112:50138472:1 gene:B456_009G371500 transcript:KJB61633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFMDPTKKQKLDENGIISALSEPDPITKLTPQDGRKLIKRFTFNQLLDILQDVVCCHLDVLSAVRSIADQDPSQRKLFIRGLGWNTTTQPNPH >KJB53576 pep chromosome:Graimondii2_0_v6:9:56449242:56450868:1 gene:B456_009G398400 transcript:KJB53576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFVLIDLMHGNKESLAFLLSCLDLQGKNELQVYFTFWGEIMIYFLCAPRGFHLYSQIFRLCMITLARQTCWSNLFLSWLKFMGYY >KJB59459 pep chromosome:Graimondii2_0_v6:9:21042389:21044578:1 gene:B456_009G255400 transcript:KJB59459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLIDKVAHRGGSSGYARFPPAGDAGGADEQQEYYSQSSRNRQVNQAMMQQRNQGVMFLGSQSQASEMSTMVSALAHVMSGQRAGDWGYGGNVAGEVSSGFGLSGPSADSPSASGLWIGQKRGREDEASAQLVESAAPRVQRSFADFRGSHADSSSAATSVAEETTSIVAPAAETAAAAAYEETGERRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALKFRGSRAKLNFPELVRLAPQPMQNFPATQTSVSSSLTTQFRPPYSTPLPSLYQSQHLHSPTADMLRDYWQYSQLLQSSTDFHGQEATSLMEQMMQSSQLPNFQHPFLSSSLSPFPSSFVAPSAAASSSSSSSASFPLVFGEHQQFDVFRQPSNQSQASGSDFPVPPWSHPSHYPSSTGS >KJB61630 pep chromosome:Graimondii2_0_v6:9:50069377:50071732:-1 gene:B456_009G3713002 transcript:KJB61630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLPPITNAPKLEFLFLGGNKLSGNIPYSISNASMLTKLDLGDNLFSGPIPKTLGNLRQLEWFRIVNNNLITGSATDHEWSFLSSLTNCKNLKTIGVSENPLSGVLPTYIGNLSKSLQYFYAEDCELQGTIPMEIGNLSKMLVLELGYNKLSGFIPTSIGGLRNLQSLGLSSNKLGGPISESLCDLERLYNLFLGLNKLHGSIPSCLGNITSLGYLYLDSNKLSSAIPSTLWNLKDILEIDLSSNHLHNSHAIDVGNLRSLLKLNLSRNLLTGDILSSFGGLQTLVSLDLSNNILHGYIPESFDGLISLEFLDLCNNNLSGVIPKSLEKLLYLKYFNVSFNRLEGEIPSEGCFSKFSSTSFMKNYALCGPPRLLVPPCKNYIHKNSQMIILHAFRYGLPTIGIVVVLIVLTIMYRRCQRRSTALPIKDDLLSLKTPRRISHAELSRATNGFEESNMLGSGSFGYVYKGRLSDGMEVAIKVFNLQTEGAFRSFDIECDAMLNIVHRNIVKVITCCSSVDFKALVLDYMSNGNLEKWLHSENCFLDIIQRVDIMIDVAVAIEHLHNGHPTPIIHCDIKPSNILLDEDMVAHVGDFGVAKLLGEGEVMKQTMTLATIGYMAPEFGSAGIVSIKSDIYSYGIVLIETFTKKKPTDNVFVEEESIRHWMESSLPKGAIEIADVDLLRREDEYMVVKANCISSIMELALNCSAELPEERKDMKDVVVELKKIKQRLLNNIQHF >KJB55299 pep chromosome:Graimondii2_0_v6:9:4958838:4961597:-1 gene:B456_009G070100 transcript:KJB55299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQAQMMNQPPQMMMNTVQVQVPVQPQMMNQSHQLMASAAHSQAMNQLAASKSQPINSGPQMMTQPPPPMMLNRSYKPWQSQDPNQNPNPNKKFSSFNRNNNWKGKKVSFGKDSWKFENKPLPMGSVSAISSVPAASGSNTQGYKPPTLHELQNQNRLKARKFYGNKKKFNNSNNRFAPYAPRNTTSFIIRAKKSGGIASLVSPCPVTPAVLPTPIFSPSREVLGDMAKEEWGVDGYGSMKGLIRLRSPEAGHDDEEDDDGGNGGGSSDSDVEEHVEVERRLDHDLSRFEMIYPSYGGDYNNVLENRVDDQDTHIAQLEEENLTLKERLFLMERELGDLRRRLQFLERRSQIVEDVNEEVVENGSDNESEGGGSDVRVVTSAAANDNVEIVGFATGNTRNVDVVMEENNIGGMPRNEVLMDVFMDEIARGNELNNEGRVDVELKPQDVSEEIIRDKENEAKGEEAGGSEFVEEKIVEEDKDLSRYKETSNEAADYTETKNEEVHL >KJB53433 pep chromosome:Graimondii2_0_v6:9:16283219:16284330:-1 gene:B456_009G210200 transcript:KJB53433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLSLLIRVQMRRRSSDEQGRDRKGCVSVFVNNLPERRHWRWMQQLFGYHGRVMDVFIPKKRGAAGRRFGFVRYGSIRDARRAIERLDDFMMMNQRLGRNMVQFQGRKTF >KJB58359 pep chromosome:Graimondii2_0_v6:9:15968013:15969519:1 gene:B456_009G206400 transcript:KJB58359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAEADSFPRSKFGNIMHSDPNMSIPGSGSEDDLYVRYSSASTSGPAYEAHRTSLEGSPITMSPWNQTTSFMKPSTAISDNDVPANSLIGSLTREEGHIYSLAATKDLLYTGSDSKNIRVWKNLKEFTGFKSNSGLIFTGHQDGKIRVWKISPKDPSIYKRAGTLPTLKDVLKSSIKPSNYVEVKHKRALWIKHADAVSCLSLNVEQGLLYSASWDRTFKVWRISDSKCLESIRAHDDAVNSVVSTMSGMVFTGSADGTVKVWKREQQRKGAKHVLSQTLLQQDSAVTALAINTQGSVLYCGSSDGLVNFWEVEKQLSHGGVLKGHKQAVLCLEATENLMFSGSADKNICVWRKDGNIHTCLSVLTGHMGPVKCLAVEKNQESRSEQRWIVYSGSLDNSVKVWSVEEFAQIGATNKNQQHACFEFDSESAPFASDETASSTSSSSQSRWH >KJB55110 pep chromosome:Graimondii2_0_v6:9:4545926:4548215:1 gene:B456_009G063700 transcript:KJB55110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLEKEGLVEESRSSISQESACISNLGNLDSAEASKSSNIFSVFLEPIQWIQMLSSQLNPTFIIGIVIVYGLNLGFSGSFFKVVTDYYWKDVQKVQPSVVQLYIGLYYIPWIMKPVWGLLTDVFPIRGYRRRPYFVLAGVLGGVSALMVALIGNLPAALALSCFIGIAAGMAIADVTIDACIATNSIEVRSLAPDMQSLCGFCSSAGALIGYSTSGFFVHHLGAQGALILMSIPPVFITVLGFVIYEMRSPCLHPEKQKKMGTLGVAVKGMYQTIKFPQVWKPSLYMYLSLALSISTHEGQFYWYTDAKAGPGFSQESVGAIYAIGAMASMVGVLIYHKTLKDIPFRNLLFFAQLLYGASGMLDLIFILRWNLVLGIPDYFFVITEECISRIISRIRWIPMIVLSTRLCPLGIEGTFFALLMCIDSLGSLTSKWGGGMVLHVFHVTRTDFTNLWLVILIRNILRIATLGLIFLVPKADPSDALIPQDILMTHSTVTSDDEGLELVPKNDRSPELLLNL >KJB58808 pep chromosome:Graimondii2_0_v6:9:17782650:17791335:1 gene:B456_009G227000 transcript:KJB58808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTEIEATPKLAEEVVKEEGEMDESEVSPIEQVRLTVPDTDDPSLPVWTFRMWFLGLFSCALLSFLNQFFGYRTEPLVITQITVQVATLPIGRFMASALPATKFRIPGFGSKEFSLNPGPFNMKEHVLITIFANAGSGFGNGTVYAVSIVTIIKAFYGRSISFFSSWLLIMTTQVMGYGWAGILRKYVVEPAHMWWPSTLVQISLFTTLHEKEENKDGKRPIARVKFFVIALVCSFCWYVFPGYLFQTLQSISLACLVFPHSVTAHQIGSGMNGLGIGAFTLDWTTVASFLFSPLVSPFFAIVNVFIGYALIIYLVMPVSYWGLNLFNAKTFPIYSSDLFTAQGQEYNVSLIVNKKFEIDFPEYEKLGRVHMSTFFAITYGFGFATIAATVTHVALFYGREIYSRYQASSREKADVHTKLMGRYPDIPSWWFYLLLAGSILVSLALCIFLKKDVQMPWWGLLFAAALAFFFTLPISIITATTNQTPGLNIITEYIMGAILPGQPITNVCFKTYGYISMSQAVAFLSDFKLGHYMKIPPRSMFLVQFIGTVLAGTVNLGVAWWLLTTVENICHRDLLPANSPWTCPGDRVFFDASVIWGLVGPKRIFGSQGVYSSLNWFFLGGLLGPVVVWLLHKAFPSQAWIPLINLPVLLGATGNMPPATPLNYTSWILIGTIFNFFVFRYRKRWWQRYNYILSAALDAGVAFMTVLLYFALGIENKSLNWWGASPDFFPEHCDLASCPTAKGISAEGCPIF >KJB58809 pep chromosome:Graimondii2_0_v6:9:17784524:17786715:1 gene:B456_009G227000 transcript:KJB58809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLGIGAFTLDWTTVASFLFSPLVSPFFAIVNVFIGYALIIYLVMPVSYWGLNLFNAKTFPIYSSDLFTAQGQEYNVSLIVNKKFEIDFPEYEKLGRVHMSTFFAITYGFGFATIAATVTHVALFYGREIYSRYQASSREKPDVHTRLMRNYKDIPSWWFYLLLAVSILLGLVLCIFFKKDVQMPWWGLLFAAALAFFFTLPISIITATTNQTPGLNIITEYIMGAILPGQPITNVCFKTYGYISMAQAVAFLSDFKLGHYMKIPPRSMFLVQFIGTMLAGTINLGVGWWLLSSVENICHKNLLPANSPWTCPGDKVFFDASVIWGLVGPKRIFGSLGEYSTLNWFFLGGLLGPVVVWLLHKAFPSQAWIPLINLPVLLGATGNMPPATPLNYTSWIIVGTIFNFFVFRYRKRWWQRYNYILSAALDAGTAFMTVLIYVALGVESKSLHWWGASPDVVPEHCDLATCPTAKGILVEGCPIF >KJB58807 pep chromosome:Graimondii2_0_v6:9:17782650:17786696:1 gene:B456_009G227000 transcript:KJB58807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTEIEATPKLAEEVVKEEGEMDESEVSPIEQVRLTVPDTDDPSLPVWTFRMWFLGLFSCALLSFLNQFFGYRTEPLVITQITVQVATLPIGRFMASALPATKFRIPGFGSKEFSLNPGPFNMKEHVLITIFANAGSGFGNGTVYAVSIVTIIKAFYGRSISFFSSWLLIMTTQVMGYGWAGILRKYVVEPAHMWWPSTLVQISLFTTLHEKEENKDGKRPIARVKFFVIALVCSFCWYVFPGYLFQTLQSISLACLVFPHSVTAHQIGSGMNGLGIGAFTLDWTTVASFLFSPLVSPFFAIVNVFIGYALIIYLVMPVSYWGLNLFNAKTFPIYSSDLFTAQGQEYNVSLIVNKKFEIDFPEYEKLGRVHMSTFFAITYGFGFATIAATVTHVALFYGREIYSRYQASSREKPDVHTRLMRNYKDIPSWWFYLLLAVSILLGLVLCIFFKKDVQMPWWGLLFAAALAFFFTLPISIITATTNQTPGLNIITEYIMGAILPGQPITNVCFKTYGYISMAQAVAFLSDFKLGHYMKIPPRSMFLVQFIGTMLAGTINLGVGWWLLSSVENICHKNLLPANSPWTCPGDKVFFDASVIWGLVGPKRIFGSLGEYSTLNWFFLGGLLGPVVVWLLHKAFPSQAWIPLINLPVLLGATGNMPPATPLNYTSWIIVGTIFNFFVFRYRKRWWQRYNYILSAALDAGTAFMTVLIYVALGVESKSLHWWGASPDVVPEHCDLATCPTAKGILVEGCPIF >KJB61787 pep chromosome:Graimondii2_0_v6:9:51692145:51693368:-1 gene:B456_009G3807001 transcript:KJB61787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CKIVKGVNFTASFSVLISQHFSASRDIAMEYVEPVLGIANCLGTPACKYLQYHRKLNDYVRNFKRMRDELNCKMEDIELQLKAELLRPLGKIPKKGVENWLKAVKEMIREAQVVENKVSNGRYLCRACNGKLVDEKTREMKKFLDNAPNASEGLAMDGPSAGLPLPTSELVGEEAVRNEIWACLMQEEVSKIGVWGMGGVGKTTIMKHIHNDLLKEQRFERVIWVTISKEFNVMK >KJB56471 pep chromosome:Graimondii2_0_v6:9:8952386:8956147:1 gene:B456_009G120900 transcript:KJB56471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGISSKTINHPSFVSVTQSDPSKGIGESYPIAVSPIHNFPSRDLEGPSWLTGECSSLFPLKKNELNSGPSSPSSPSSHAKGAFSHSSVFCTSLYLSSSSTSETQRQLGNFPFLPHPPTCHQFISAVDSSKSPVVFTEDLCNPYDVDHSEVIMKDFHKFPGDARDDGCFHGMHCERDNFILTEQVELHFLSDELDIAINDHGENPRVEEICESPQPSSKPTVGLTCNRNSASATPSMSAAVSPALSGPAAVHKPRMRWTPELHECFVEAVSKLDGPEKATPKGVLKLMNVEGLTIYHVKSHLQKYRLAKYMPEKKEEKKTWSSGEKKAALSIGESDGKKNGGMHITEALQMQIEVQKQLHEQLELQRLLQLRIEEQARYLQKILEEQQKAGSALIPTLSLSAPTDPPSQNSELQQPSSPSAVVSASQHSESKTESSSASSLPSKHKANGVGVNECKTESSLKRFRFEDKPESAIDEAVVENPVQ >KJB56472 pep chromosome:Graimondii2_0_v6:9:8952633:8956147:1 gene:B456_009G120900 transcript:KJB56472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGISSKTINHPSFVSVTQSDPSKGIGESYPIAVSPIHNFPSRDLEGPSWLTGECSSLFPLKKNELNSGPSSPSSPSSHAKGAFSHSSVFCTSLYLSSSSTSETQRQLGNFPFLPHPPTCHQFISAVDSSKSPVVFTEDLCNPYDVDHSEVIMKDFHKFPGDARDDGCFHGMHCERDNFILTEQVELHFLSDELDIAINDHGENPRVEEICESPQPSSKPTVGLTCNRNSASATPSMSAAVSPALSGPAAVHKPRMRWTPELHECFVEAVSKLDGPEKATPKGVLKLMNVEGLTIYHVKSHLQKYRLAKYMPEKKEEKKTWSSGEKKAALSIGESDGKKNGGMHITEALQMQIEVQKQLHEQLELQRLLQLRIEEQARYLQKILEEQQKAGSALIPTLSLSAPTDPPSQNSELQQPSSPSAVVSASQHSESKTESSSASSLPSKHKANGVGVNECKTESSLKRFRFEDKPESAIDEAVVENPVQ >KJB55761 pep chromosome:Graimondii2_0_v6:9:6780172:6784169:1 gene:B456_009G092700 transcript:KJB55761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSNEEALLAIKSQINHEPFGVTKSWNRSVALCRWHGITCAPRHQRVNNLSPYVGNLSFLRFINLQDNNFHGVIPPEIGRLPRLETLTSRNKLIGNILVELGKLVKLENLEIAHDNLTGHLPTSLGNVSTLQDINLEGNYLEGGLQVTLGFLKRLAVLTLVTNNFSGFFAPSIFNLSSLRVSSLVDNQLSGSLPVSLGYSLPNLRGIHIGGNYFSGTLPISLSNASKLQTFDISYNHFSGSVSISFGNAHNLTCLNMESNDLGGRTTDLDFITTMTNYSKLENHITGTIPLGIVNLVNLIGIGLAENRLTGTIPDSLGMLKKLQTFCYSATRPLFTSLGGNTLTGTIPASVGNLSLLTRMTLEENLLEGSIPAEFGKCQILIIMALGSNKLTGSVPKEIFSSIPSDIGNLVNLVYLNISNNNFSGHIPATLSGCTTLETLSLGENHFDGSIPDSLSSLRSIAELDLSSNNLSGQIPDYLEKLSFLKYLNLSHNRFEGPVPTKGVFNNASAVSLTRNGRLCGGIAELHLPSCPFTHPKDPRTSFLFKLIWIVCGVLGILMLSSPLFCWLRKRGVKAKPSLAFRLGNSIMMVSFHQLLKATDGFAPANLIGQGSFGNVYKGILYQNQEQNVIAVKVMNLQEQGASRSFLTECKTLRNVRHRNLVKIISACSSIDFQGNPFKALIYEFIPNGSYINDFGLARFFPKSMNSLSGNSSSTHCLKGTIGYAPPEYGIGTEATTSGDMYSFGILLLEIFTRKRPTDDMFKDGLKLHLFSKMSLPDQVLEVVDPLLLPRDNKRQSASSSRNPRRANMEETKMKECLISILKVGIACSVESPTNQMDIVDAAKELHFIRDKFVGTRIRTERERANHL >KJB60599 pep chromosome:Graimondii2_0_v6:9:29547339:29549736:-1 gene:B456_009G314400 transcript:KJB60599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase-like protein CCR2 [Source:Projected from Arabidopsis thaliana (AT2G39180) UniProtKB/Swiss-Prot;Acc:O80963] MPLQKCYFLPPISSFFIVISSLLFVTAFGYGSPGPIAAAFGENGFFCAIDAGGEQQVICWDKTNKTSSVPTFDFVPSMASLSGGEGFLCGITANNSLAFCWDLLDFGINLVPKAFRYNSYSQIAAGKSHVCAIKGSYFSSSNDFGNVDCWELDRSLGKSNFTTSSFSNHYVNNVIVKNIVSGDGFSCGIAKETSLIFCWGPKSSNLGIFNVSSEFKVLASGKNSICGISEMSGEVECWGDSSEFGLPPHGTPFISLSAGGQRFCGIREDDHEIECWGRNINVSSVPKASGFLAIASSDSMTCGVREVDLVLNCWGVNEQTSLDYSPPLQLCSPGVCSPSSCTDGKFAFNASILNEPELTSLCAQNELQICLPCGTNCSVGYFPSSTCTANADRICTPCSLCQSSSCWDVCGVLSSSESQQQDQAEIKKLVVIIGSSVLGCLLIFVACCVFPRIIKKRSEGKGRIQCGFCIGKPVAEADPNPNPVLPLSLTTFVGETQVYRLSELKDATHGFKEFSELGRGSFGFVYKAVLPDGRQVAVKRANAATIIHTNSRDFEAELEILCNVKHTNIVNLLGYCAEMGERLLVYEYMPHGTLYDHLHGDLSPLGWDLRLKIAFQASRGLEYLHNAVSPPIIHRDVKTSNILLDSEWGARIADFHIVSATTDNDLSGNMEDDVYNFGIVLLEILSGRKAYDRDCTPPGIVEWALPLIKRGKAAAIIDRNIALPRNVEPLLKLADLAELLLKENPSERPSMNNVVSSLEQIVKCELILS >KJB55710 pep chromosome:Graimondii2_0_v6:9:6577059:6578247:-1 gene:B456_009G090200 transcript:KJB55710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQPDFEVSNIDHQALIMKGKRTKRQRSSSPFGVTVTSSSSSACGGGGGVAEEYNSISSPVTSGEIYESTEEEEDMANCLIMLAQSDGPKRRSNIEEKQEMVTATNKAGVYAYECKTCNRSFPSFQALGGHRASHKKPKGATADEKKPLFLAFKDHGVEDADQFNRESPAVLALQVGNNNNNNNKTNSHGNKGNKIHECSICGSEFLSGQALGGHMRRHRAAASNQAAVSVDTTSIPIESGNGDGTKPRNILALDLNLPAPEDDLRDAKFQFGAPQQAIVFTTPALIDCHY >KJB54018 pep chromosome:Graimondii2_0_v6:9:1328325:1329817:-1 gene:B456_009G016800 transcript:KJB54018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTTETTPSYSMQVPQTIERGVGCKPRTQPPHRPPATPYAPQQNQSLRGRFLSKLVDPACRLVAGGASRIFPSLFSKHLTNDTLQPPEPQTHVNLGEDMEEHPSGKDQISSSTFGVSKTANTSGTSDGSKAGFGLC >KJB55381 pep chromosome:Graimondii2_0_v6:9:5263050:5264642:1 gene:B456_009G073500 transcript:KJB55381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPHYLLLHSKHPSPFPPLTLSKAKCSLVSQLTELKHQHGGNLNINFNPKKKVNSPSSVHAVEKDREQYEVDPEKAKEALQKLDQQLQTLSNKPVSTPKIRASDVKLTRDEMVEDSPEISGSFLTSLTTALLIFTIFYNVLFYFVIKPSIDGPDSPPPQPTTSFTEPRVLQ >KJB55433 pep chromosome:Graimondii2_0_v6:9:5468194:5468828:1 gene:B456_009G075800 transcript:KJB55433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPTEKEGGMSIPRFGGWDSGATNYSMVFSRARHNRKQIKSDISHSIGGDHDSNPPPVDADAPPPPPTPPAAASSSCSASLPPKQDNPECSAPVYICFSFSF >KJB55136 pep chromosome:Graimondii2_0_v6:9:4623364:4625487:1 gene:B456_009G065000 transcript:KJB55136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMIVPNSIAFQLSANSLFFLLLIIFCSSCKTTFAFQGTKSKSFHHILHLNSLLQSAACNSSTQGLHHQKSSLQVVHKHGPCSPLHLDRAKTPPTHAETFFQDEGRVRYIQSRLANKSGSKADVKVTDVANLPAKDGRVVGSGNYIVTVGVGTPKKQLSLIFDTGSDITWTQCEPCLGYCYHQRDAKFDPSVSSTYSNVSCNSVQCSSLVSATGHLPLCSLSTCVYRIQYGDSSFSTGFFAKERLTLTPFDVFDNFLFGCGQNNQGLFGGAAGLLGLGRDQLSLPSQTARKYNKIFSYCLPSSSSSIGFLTLGKCRRYGSKSVKFTPLSTSIQDSSFYGLDITGISVGGKRLSISASVFTTAGAIIDSGTVITRLPPDAYAALRSEFRQRMRRYRMGRELSILDTCYDFSKYKSVNIPKISFFFRGGVKLTIPLVGTLYVANVSQVCLAFAANSDASDIAIFGNTQQKTLQVIYDGAGGRVGFGTKGCY >KJB56132 pep chromosome:Graimondii2_0_v6:9:7796957:7803320:1 gene:B456_009G107500 transcript:KJB56132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALAQHRESSSDSSINKHLDGGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQKKESSRLQTVNRKLTAMNKLLMEENDRLQKQVSQMVCENGYMKQQLHTVNTSAADANCDSLGTTPQHSLRDTNSPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFTISQSCSGVAARACGLVSLEPVKIAEILKDRPSWSRDCRNLEVFTMFPAGNGGTIELVYAQTFAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGSGAGPSAAAAAQFVRAEVLPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVIAQKMTIAALRYIRQIAQETSGEVVYSLGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSIMNCDGTEDVIIAINSIKSFSSTSNPANALSFLGGVLCAKASMLLQNIAPAVLVRFLREHRSEWADFNVDAYCAASLKAGTNAYPGMRPTRFTGSQIIMPLGHTIEHEELLEVIRLEGHSFVQEDAFVSRDIHLLQICSGIDENAVGACSELVFAPIDEMFPDDAPLLPSGFRVIPLDSKSSDTQDSLTTNRTLDLTSSLEVGPATNHAAGDTSSCRNTRSVLTIAFQFPFESNLRDNVATMARQYVRSVISSVQRVAMAISPSGLNPAVGSKLSPGSPEALTLAHWICRSYSYHLGAELLRSESLGGDSILKNLWQHQDAILCCSLKSQPVFIFANQAGLDMLETTLVALQDITLDKLFDESGRKALCSDFGKLMQQGYACLPAGICMSTMGRHVSYEQAFAWKVLEADESTVHCLAFSFVNWSFV >KJB53438 pep chromosome:Graimondii2_0_v6:9:29089927:29090397:1 gene:B456_009G311600 transcript:KJB53438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTLFFMFLLYFSLLLSPPSRMTGPSSGTIVAGAMRPLASKAQDLVMFKPETSTKHGFQKQDVKGCLPKGFHRTSAPSRYINGHTFGSTMCSTTSDVSTKP >KJB58567 pep chromosome:Graimondii2_0_v6:9:16726132:16727832:1 gene:B456_009G215200 transcript:KJB58567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTVSSTLSQRRLFPPTPINIYTSSRKSYILYFHTKTQGSVQFSSGYSSFILAFMSISGIHGLPLEVTVVGCYNLEDKEWVSRQDPYVCLEYGSARYRTKTCTDGGKNPTFQEKFIFTLIEGLRELNVAVWNSNTLVADDLIGTGRIQLHKVLSQGFEDCNWPLQSKTGRHSGEVRLIMHYPNAQQPQKFKTKGAPSFPEYAPSAPFTQVLPYSHPPAALYPSTMPYATPPLSYNSYPPPSTATYPPSPYAGYPPQAPPASYPPQVYPPPPQPSTYYPPAPTASYPPPPY >KJB58566 pep chromosome:Graimondii2_0_v6:9:16726132:16727612:1 gene:B456_009G215200 transcript:KJB58566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTVSSTLSQRRLFPPTPINIYTSSRKSYILYFHTKTQGSVQFSSGYSSFILAFMSISGIHGLPLEVTVVGCYNLEDKEWVSRQDPYVCLEYGSARYRTKTCTDGGKNPTFQEKFIFTLIEGLRELNVAVWNSNTLVADDLIGTGRIQLHKVLSQGFEDCNWPLQSKTGRHSGEVRLIMHYPNAQVSSIYICKILLNNANTNINLIK >KJB62139 pep chromosome:Graimondii2_0_v6:9:58417728:58419923:1 gene:B456_009G402600 transcript:KJB62139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKNLLKPLQNPPSKCPQSLPPLSPLMDSPQTPTPSLSLFPTTPQPHHHKQLFSFLKAHLTHQPLTAKTLLHFLKTKLHHHPIFTHYDFQVFSWASTVDSFRHDHSTYLWMAHSLASSHRFSLLRSLLSFIVANPCPCSPGIFSCPQIEPLFRFVIDAFCRSRKLSDAVFAFETMKKLIDGRPSVVIYNVMINGYLKSGDFDNALRFYERMEKDRVKPDVCTFNTLISGYCRNGKFESGLKLFKEMKEKSCRPNVVSFNTLIQGFFRERKVKEAIEMANEMTQSGCQFSTATCEILVKGLCKEGQVLEASDMIVDFCRKGLLPKGFDCCGLVEELCGKGNAGRAFEVVNELWMKGDVPSSIACTTLIEGLRRSQRREDSFGLMEKMLKDGIVPDILTFNYLIQDLCDLGRTMDANKFRLLASAKGLEPDEVTYNILVYGYTRDGRRKEGENLVDEMLDKGYIPDIATYNRLMDGLSNSTSSKLEKVSAIHR >KJB61730 pep chromosome:Graimondii2_0_v6:9:51132321:51132536:1 gene:B456_009G376600 transcript:KJB61730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSDSIVELTWCINEKSRPWKYWHIFASIDEIKMSIHEVLFRKIGRDANGMADSLAKSGCFRSQMFFVDW >KJB58650 pep chromosome:Graimondii2_0_v6:9:17077454:17078733:1 gene:B456_009G220000 transcript:KJB58650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQYPTSNQDGEHIAIDVTTSTSQSRDIQLRANRGSLRSSMSQHPEALVWFSLPQSLRLSSSSLPRYLCLSPFSLQMQQKPKVLEDLLHPLIISFALANLQFPTNQ >KJB56668 pep chromosome:Graimondii2_0_v6:9:9849524:9850871:1 gene:B456_009G131100 transcript:KJB56668 gene_biotype:protein_coding transcript_biotype:protein_coding description:26.5 kDa heat shock protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G52560) UniProtKB/Swiss-Prot;Acc:Q9SSQ8] MALARLVLRNLHPRLSSSSSSLLGHNVGERSVGGVQRQRWNGEMLKRFMATATDKDSDDKKQVSVSDGEKKFRLFPRRKNRRSLWNWRNKQHDYAPPLYGELFPSGLGNALLQASENINRLFDSLNMTPSQLIGRVKEQDDCYKLHYDMPGLTKEDVKITIDDGVLNIKGQHKEEEEEGSGDEHWSARSYGYYNTSLVLPDDAKVDDIKAELKDGVLSIIVPRTEQPKKDVKQVPIN >KJB55814 pep chromosome:Graimondii2_0_v6:9:6990104:6992775:-1 gene:B456_009G096300 transcript:KJB55814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDSTSSRGRWSLKGTTALVTGGTKGIGLAVVKELAALGATVYTCCRNQDQLNECLKEWEMKGFQVFGSVCDATSPAQREALINNVSSMFNAQLNILINNVGTNDWKPTAKYTSTELSTLLSTNFESAYHFSQLAYPLLKASGHGSIVFVSSVAGVFSINLGSIYGSTKGAMNQLTKELACEWAKDNIRTNCVAPWFVRTPLTEQVMS >KJB55813 pep chromosome:Graimondii2_0_v6:9:6990009:6992845:-1 gene:B456_009G096300 transcript:KJB55813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDSTSSRGRWSLKGTTALVTGGTKGIGLAVVKELAALGATVYTCCRNQDQLNECLKEWEMKGFQVFGSVCDATSPAQREALINNVSSMFNAQLNILINNVGTNDWKPTAKYTSTELSTLLSTNFESAYHFSQLAYPLLKASGHGSIVFVSSVAGVFSINLGSIYGSTKGAMNQLTKELACEWAKDNIRTNCVAPWFVRTPLTEQVLSSSKFMEAVASRTPLGRVGEPEEVAHLVAFLCLPASSFITGQIICVDGGLTANGFFFQRSNL >KJB55812 pep chromosome:Graimondii2_0_v6:9:6990009:6993496:-1 gene:B456_009G096300 transcript:KJB55812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDSTSSRGRWSLKGTTALVTGGTKGIGLAVVKELAALGATVYTCCRNQDQLNECLKEWEMKGFQVFGSVCDATSPAQREALINNVSSMFNAQLNILINNVGTNDWKPTAKYTSTELSTLLSTNFESAYHFSQLAYPLLKASGHGSIVFVSSVAGVFSINLGSIYGSTKGAMNQLTKELACEWAKDNIRTNCVAPWFVRTPLTEQVLSSSKFMEAVASRTPLGRVGEPEEVAHLVAFLCLPASSFITGQIICVDGGLTANGFFFQRSNL >KJB60577 pep chromosome:Graimondii2_0_v6:9:29271470:29271879:1 gene:B456_009G313200 transcript:KJB60577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFPIHSLLILLPKEEILKVKKKAIILIIMIIINFQNCQRSETHQSHMEPQIFASISTFVMSCLSFSDNLPILEPNRSFLQYHVRLKCLPIPKGNGEKT >KJB57693 pep chromosome:Graimondii2_0_v6:9:13605358:13606936:-1 gene:B456_009G175700 transcript:KJB57693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMASMAGLRGSSQTVLEGSLRVSGQNRLNIGSSKRLGVATPGFTVRAQQAPTEPETGRRAVLGLAAAGLATGSFVQAVLADARSIKVGPPPPPSGGLPGTLNSDEPRDLNLPYKNRFYLQPLTPEQAAQRAKESAKDILGVKSLIDKKAWPYVQNDLRLKAEYLRYDLNTVISSKPKDEKKSLKELTKKLFDIIDELDHAAKIKSTPEAEKYYAETASTLNDVISKLG >KJB62809 pep chromosome:Graimondii2_0_v6:9:68800802:68801624:-1 gene:B456_009G437500 transcript:KJB62809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIVINVRGSEFECTKTHYLRNSLIVMGEIGGMITVMPSSKEKALRFKETLKACCGTGGLYDYNLSRACGYPPLRQCCNDPSSYMSWDGIHYTEAVNRLIANVVFEE >KJB60618 pep chromosome:Graimondii2_0_v6:9:29747641:29748568:-1 gene:B456_009G3155001 transcript:KJB60618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVGEAFLSASIEVLLDRIVSADVLRLIKGKKLEAVLLNKLKPTLISVKAVLDDAENKQIANPNVKSWTDELKDAVYDAEDLLDEISTEALRNKIESEYQTTHMKQVSSFFSSLNPFKDGAQSKLEEILGRLDNLLNQKQILGLKENSEGEKAFQRTPATSLVDESDVCGRDDEKEEIMKLLDPQNLPKNQIDVIPIVGMGGLGKTTLAQLIYNDPRVDKWFHPKAWVCVSEEFDALKNLNQLQLKLKEQLLGKKYLIILDDVWNENYAVWEQLSIPFKFGAQNSKIIVTT >KJB55518 pep chromosome:Graimondii2_0_v6:9:5791572:5792172:-1 gene:B456_009G080500 transcript:KJB55518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLELKCSCHRYVSRIPHLLWLIQLVNFVIRKHEILRLVLIALRILNRCRVLYFLCGSTCLLLSHDP >KJB62025 pep chromosome:Graimondii2_0_v6:9:54982562:54983806:-1 gene:B456_009G396600 transcript:KJB62025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIDVPPFFICPISLEIMTDPVTVPTGITYDRESIEKWLFSGKNTACPVTKQVIADCELTPNHTLRRLIQSWCMLNACHGIERIPTPKPPISKAQITELLNDAAKSPLHQIKCLRRLQSIASENATNKRCMESSGAVEFLASIVSNYDPTAVDGLLYNEFEPIRPTDEALNILYNLHLSEAALKNLMRKNGDIVVSLTRVMQRGTCKSRAYAVSLLKSMLEMADPMQLICLRHELFVELVRVLRDRISQQASKATLQLLVSVSPWGRNKVRVAEAGAVPVLIELLLCSSQKRACETILTVLDEVCRCAEGRSELRNHGAGLAIVSKKILRVSHVANQRAVRILLSISKFCATSNVLQEMLQLGIVAKLCLVLQVECGHKTKEKAKEVLKLHARVWMNSPCIPSNLRSSYPASS >KJB59651 pep chromosome:Graimondii2_0_v6:9:22025683:22033076:-1 gene:B456_009G265800 transcript:KJB59651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASPLPSLASFFPSNHNPPSLTTASLPVSKLIFSSFSPKLSRNKKWVSRRNRFYPAKPTDHDLDTTGPSPQASTQNAGNASISFLSILCPLLRLFSGGDPSQERNDALEVATSSLSTLARFPWGSKSLAEGSESKDITISDPPIRMQLFEFEACPFCRRVREALTELDLSVEVYPCPKGSLRHREMVRSFGGKEQFPFLIDPNSGISMYESGDIVKYLFKHYGKGRSPSTGLLESTLFTGWMPTILRAGRGMMLWDKARRDPPPKKLELFSYENDPYSRIVREALCELELPYILHNVGEGSQRMKLLLEASGSKEVPYLIDPNTKTQLGDYKKILSYLFNTYSAAAV >KJB56498 pep chromosome:Graimondii2_0_v6:9:9077867:9080725:-1 gene:B456_009G122200 transcript:KJB56498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMKGMALLPFMLSLLVLLLHYHNEVHCYGDKQLLHLPWDTVDSTCLSHKSRQEKGATILEMKHQDYCYGGGVKDWNKLLQKRLILDDLRVQSLQARIKNMASTQTRDVSDDRLPLTSGVELGTLNYIVTVEIAGRKMTVIVDTGSDLTWVQCQPCKSCYSQKEPLFNPTASPSYRTVPCNSSECQSLAFATGNTGICGENPPTCNYVVSYGDGSYTRGELAHDQLNLGKTPVDNFIFGCGRNNNGLFGGTSGLLGLGRSSISLVSQTKAIFGGFFSYCLPSTQSGASGSLVLGGNSSVYNTSSPISYTRIIPNPKLSTFYFLNLTGISVGGVTLQDSSFGKSSMLIDSGTVITRLPPTMYKALKAEFQKQFSGFPTAPAFSILDTCFNLSAYQEVDVPTIKLQFEGNAEMKVDINGVFYFVKTDASQVCLALASLSFEDEIGIIANYQQRNQRVIYDTKGSKLGFAQESCSFT >KJB62037 pep chromosome:Graimondii2_0_v6:9:56032825:56033358:1 gene:B456_009G3977002 transcript:KJB62037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIKSRFERICVFCGSSSGKKASYQEAAVELGKELRGISCSGREGL >KJB54360 pep chromosome:Graimondii2_0_v6:9:2351683:2353149:-1 gene:B456_009G031300 transcript:KJB54360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSDSLAQTKPIPIPTQEEDPSSQSTALLAFDTDSSLDSEVKSSPSNRRTSIIFIVLILLTCIALSAALAFAFLFYSSSSSSSTENKFSRPLKKLEKPVVLLVSSDGFRFGYQFKTPTPNIHRLIQNGTEAEMGLIPVFPSLTFPNHYSIVTGLYPAHHGIINNHFLDPKTGEFFHMASHEPKWWLGEPLWETVVNHGLKAATYFWPGSEVKKGFWDCPEELCMKYNASVAFEDRVDTVLSYFDLPSSEIPVFMTLYFEDPDHQGHHVGPDDPEITEAVARIDRMIGRLIDGLEKRGIFEDVTIIMVGDHGMVGTCDKKLIFLDDLAPWIEIPAEWVWSYSPLLAIHPPPGQAASDVVAKMNEGLESGKIENGKYLKVYLKEELPSRLHYAASDRIPPIIGLIEESFKVEQKRSKRKECGGSHGYDNAIFSMRSIFIGHGPQFGRGKKVPSFENVEIYNLVTSILSIHGAPNNGSSAFPVSILLPRQ >KJB54359 pep chromosome:Graimondii2_0_v6:9:2351234:2353392:-1 gene:B456_009G031300 transcript:KJB54359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIPVFPSLTFPNHYSIVTGLYPAHHGIINNHFLDPKTGEFFHMASHEPKWWLGEPLWETVVNHGLKAATYFWPGSEVKKGFWDCPEELCMKYNASVAFEDRVDTVLSYFDLPSSEIPVFMTLYFEDPDHQGHHVGPDDPEITEAVARIDRMIGRLIDGLEKRGIFEDVTIIMVGDHGMVGTCDKKLIFLDDLAPWIEIPAEWVWSYSPLLAIHPPPGQAASDVVAKMNEGLESGKIENGKYLKVYLKEELPSRLHYAASDRIPPIIGLIEESFKVEQKRSKRKECGGSHGYDNAIFSMRSIFIGHGPQFGRGKKVPSFENVEIYNLVTSILSIHGAPNNGSSAFPVSILLPRQ >KJB58541 pep chromosome:Graimondii2_0_v6:9:16613954:16617683:-1 gene:B456_009G214100 transcript:KJB58541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIHVQYQAPVSGPNCVAPGPGGPQFMLTSLYVGDLDASVTDEQLFQTFSQVAQVASVRVCRDLATGRSLGYGYVNYNNPRDAARALDLLNFTPLNNKPIRIMYSQRDPSLRKSGAANIFIKNLDKSIDHKALHDTFSSFGNILSCKIATDGFGQSKGYGFVQFDNEESAQNAIDKLNGMLINDKQVYVGPFLRKQERDSALDKTKFNNVYVKNLSESTTDEDLKTIFGEYGEITSAVVMRDADGKSKGFGFVNFEDADAAAKAVEALNGKKLDEKEWYVGKALKKSEREHERKAQYEQTLKEAADKLQGLNLYIKNLDDGIGDEALKELFSEFGTITSCKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVALAQRKEERRARLQAQFSQMRPLAISSVSPRIPMYPSGAPGLGQQFLYGQGPPAIIPPQAGFGYQQQLVPEMRPGGPPVPNFFVPMVQQGQQGQRPGGRRGAGPVQQTQQPLPLMQQQMLPRGRVYRYPPGRMMPEGPMSNVPGGMLSVPYDMGGMPMRDAGVGQPMPVPALATALANATPEQQRTMLGESLYPLVERLERDSAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLSVAAQQQANNPADRLASLSLNDNLVS >KJB59603 pep chromosome:Graimondii2_0_v6:9:21788256:21790831:1 gene:B456_009G263300 transcript:KJB59603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGGLRSASKFLTSSSQSLLHKSGNRGFHSTGVKRMGGGHGHDEPYYLHAKHMYNLDRMKNQKLTMSLGVLTAFSIGVFVPIYAVIFQQKKTASG >KJB62066 pep chromosome:Graimondii2_0_v6:9:57097929:57106453:-1 gene:B456_009G399300 transcript:KJB62066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPDSDPARLKIRAFLSRGSRKNVEGSLFVVKRNTKPQFQFIVMNRHNTDNLVENLLGDFEYEFHKFGDKILDKILHAYSKFLAKPKVFSSKGEFEELEVVPGISVIEGPLEPPQTASPATDAPEDSSFVNFFSVVMNIITNATNDDQFIDMFYHVLLKVHHA >KJB59077 pep chromosome:Graimondii2_0_v6:9:21163826:21164419:-1 gene:B456_009G256800 transcript:KJB59077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNQLCLVLVIFLSIFSLSSLPTNAIIPKANVSLPVPSSQLVENLCNGKAVENRRFCLKALSTPKIIAAMDTTQLGTLIMKLGAANAKATLNVYNEIIKKLGSPQALKALNCCVEAYKYAILSFEMVSSELVEDPQTANYDVAVIGPEIANCEKELINAKVQAPRLLAGNRFMKYYVSMGYEITSTLELENPNEY >KJB61829 pep chromosome:Graimondii2_0_v6:9:52148752:52150015:-1 gene:B456_009G384400 transcript:KJB61829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSSTRSFQEHFNFPSSPLNSPHNNNNGAAQIHTPPLTPHPTRSETNPYPTTFVQADTTTFKQVVQMLTGSSETAKQASPKAPSPPQQKQDPPPPLLSKSSFPIPPMKTNSPRKQSFKLYERRNSNLKNSLMMINTFVPSRSAEMLSPSLLDFPKLTLSPVTPLNEDTFNKSSPSLGNSSEEEKAIAEKGFYLHPSPMSTPRDTEPQLLPLFPLTSPRVSGSS >KJB60584 pep chromosome:Graimondii2_0_v6:9:29404907:29408216:-1 gene:B456_009G313800 transcript:KJB60584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITLVSMSFTLAMAALGNETDRLALLALKDELVGGGLLSWNASLHFCEWQGVRCGGQHQRVIGLSLAGMKLGGSISPSIRNLSFLREVNFSNNSLKGIIPRAFGHLKRLRSLNLRYNNLQGNIPVDLNNCSNLQFLLLSDNSFSGKIPFQSGENMIKLSLDSNDFVGGIPSSLGNLSSLDYLQLGDNHLEGDIPFDIGRLYNLKILVLRQNNLSGTIPSSIYNLSAMRYLAIGSNKLHGSLESHLGFAFPELEILHFGDNHFSGRIPASVTNISGLKDFDIHSNAFSGLVPENMGKLQNLVFFYIDYNHLGIGKGGDLDFLPSLTNCSRLNDLDIHHNRLGGVLPNSIANLSAQLEHLFMGGNQISGSIPQGIGNLVKLKNLHIRENLFTGEVPTSIGKLRNIGRFDLSLNRLSGEIPSCIGNLSRLLYLHLNGNNFEGRIPLTLGKCKDMEIMDLSQNKLGGTIPDQLIAAFQRLITLNLSHNAFNGSFPSAISNSKNLVELYVDNNDFSGELPGGFGEISELRILHMQGNYFDGSIPQALGILRGLESLDLSGNNLTGTIPLELQKLPFLVSLNLSFNQLEGEVPEGGVFNNISQFSLVGNKDLCGGIPEIELPKCFNQAAKAKRNGLSTKSIIIIVISLSLASVSVAFIAILCWRKLSGKEMIPLALRQVCFVRVSYKELVQATNGFEASNLVGKGSFGSVYKGFLDQQENPVAIKVLNLQNLRAIKSFTVECEALRNVRHRNLVKLITCCSSIDCQGNDFKAIVLEFMANGTLESWLHHDYDEDHSSRHLNFAQMLDIAIDVANALDYLHHHCRTPIVHRDLKPTNVLLDDDMVAHVGDFGMAKLLSDAASKLDNEQTTSSVIKGTLGYLPPEYGMGGLTSREGDIYSYGILILEMITRKRPTDDLFGDGMSLHSYCKMVLPENLEEILDFRLLEQINRKSQKIRGDQDIDCNMLDCLVSFTKVGVACSVEVPVQRMKVEDVVTELHAIKARLHARNQIDPQQKAKNN >KJB61406 pep chromosome:Graimondii2_0_v6:9:46365980:46368841:-1 gene:B456_009G355200 transcript:KJB61406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Iojap, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G12930) UniProtKB/Swiss-Prot;Acc:Q9LDY9] MAVCSTTTLSIGGSVFSGQLRHWEPNESKLFQKPRSRFRCLCLNDLHLLRFRTKTLNFNPRGSLLPSLYAYGKEADDAFLSKVNEDTDEMFDDLMKKYGEVVFRKNDLAVEMAKVASDVKAADIRVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRIRDLAEKKYGKVPSGDAKPNSWTLLDFGDVVVHIFLPQQRAFYNLEEFYGNATLIELPSETQPPFHT >KJB61405 pep chromosome:Graimondii2_0_v6:9:46365489:46368892:-1 gene:B456_009G355200 transcript:KJB61405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Iojap, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G12930) UniProtKB/Swiss-Prot;Acc:Q9LDY9] MAVCSTTTLSIGGSVFSGQLRHWEPNESKLFQKPRSRFRCLCLNDLHLLRFRTKTLNFNPRGSLLPSLYAYGKEADDAFLSKVNEDTDEMFDDLMKKYGEVVFRKNDRKSPSAELDDDAESLSFAVEMAKVASDVKAADIRVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRIRDLAEKKYGKVPSGDAKPNSWTLLDFGDVVVHIFLPQQRAFYNLEEFYGNATLIELPSETQPPFHT >KJB63007 pep chromosome:Graimondii2_0_v6:9:69973909:69976139:-1 gene:B456_009G448700 transcript:KJB63007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHFGRTLCQFHWTSFSYDSTSESVCAVIGSQRSVRYMFYVVAEEFVRVYAAENSNHAGFKWRSRREEVVDWLTSMIYDRVTETWHAASFAFPDCAMIEISALKAQALDNMGYLLRNLTEQSSDIV >KJB60356 pep chromosome:Graimondii2_0_v6:9:27029059:27032532:1 gene:B456_009G301500 transcript:KJB60356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGLGGNTGPTNPSDKSKVLDVKPLRTLVPLFPEPPEGPPFVCVPPNGPFLSGFSPFFPFSGQQVSQPTPDLNQNYLNSTAVPLRSVRAEPAPASGNGSHKHKSAGPSSVKKKAKRRKDSESVLTSLMNFNPGISLSERDDGNRQLVESVLLRFDALRRKLSQMEDAKELHSSIIKRSDLKAANMMLTKGVRTNMKKRIGVVPGVEIGDIFFFRMELSLVGLHFPSMAGIDYMAIKSGDLEGERVALSIVSSGGYDDDAEDPDVLVYSGQGGSASRDKEASDQQLVRGNLALERSFHRGNEVRVIRGLKDNVHQMSKVYVYDGLYKIQESWMEKGKSGCNMFKYKLGRIPGQPAAFATWKSIQKWKEGLPSRAGLIIPDLTSGAESTPVSLVNEVDDEKGPAYFTYSPTIKYSKPFKLVQPSYACSCHDACQPGNSNCSCIQKNGGDFPYTTNGVLACRKPMIYECGPTCPCIRNCKNRVIQTGLKAHLEVFKTRDRGWGLRSWDPIRAGTFICEYAGEVIDETKARQESGDGESEYIFHTNRLYESFKWNFEAESSEDFDIPSPVIISSKNSGNVARFMNHSCTPNVFWQPVMYEHNNEAFLHIAFFAKKHIPPMTELTFDYGIPQSGETQADNPPANGRKKCLCGSPKCRGYFY >KJB59285 pep chromosome:Graimondii2_0_v6:9:19919793:19920182:-1 gene:B456_009G247200 transcript:KJB59285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDKNANGPSYVEFLVHIHRQIQMKCLDKFQASSTTVGFTSSDGTWRSKAAHKHCNFISYISYLFPGTPILS >KJB57572 pep chromosome:Graimondii2_0_v6:9:13191775:13197307:1 gene:B456_009G170800 transcript:KJB57572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMGLSKCSNIEALAKQIKEEMLPDIDPYSFVSASAYDTAWLAMVPADSDQTCPMFKECLEWVVNNQTKEGCWGECVDAIDTLSATLACVIAIHKWSIGANNIKRGLDFVQENAEKILRKTEDHFPRWFTIIFPGMIELARKVGIQLAFPSQLNAFLLDIFHKRQLLLDTEELIGNQYYPPLLSYLEALPPSYDVSERDITMNLNGDGSLFQSPAATASAFMATGNERSLSYLQTVVGRCANGVPPTFPMDEELIRLCLVNQLQRLGLANHFTHEIEEILVQIYRNYKSLEWLDKASNNIVDVGIQLHKDSLAFRLLRMHGYSISPCHFCWFLNNQEVRAQIEENQEYFTISMLNVYRATDLMFPGENEVEEARSFSRKVLEKITVKDSSLASTGLNKMVEHELKFPWIARLDHLDHRAWIEDINNSNVLWVGKTSFHRLSTLLNEKLLQLAVADYEFRQSIYRKELEEVIRWSKNKGMSDMGFGRDKTTYCYFAIASSIPLPYDSEVRMIITKSAVVITVADDFYDTEASFDELATLTKAIARWDAEGLSGHSKTIFNALNDLVSEFVAKVRHQHGIDITYVLQQIWYETFNSWFVEAEAKWSMGGFVPSMEEYLGNGAVSIALHTIVLPASYLLNPSLADYKIRAGEYQTVTKLAMLIPRLLNDIQSYQKEEQEGKLNYVLLYMRENPGTDIQDSTAYVREIIYKNWGEFLQHVLMDGLAEELPKSCKFLHLSCVKVFQMFFHSSNRYDSNTDMLQDIQKAIYIPLNIRSK >KJB53963 pep chromosome:Graimondii2_0_v6:9:1113555:1114172:1 gene:B456_009G013700 transcript:KJB53963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSRKSSGPVLRSLSPSGRFSSHYVSHSPSSSSSAFAYSSSSFTSRSSTFFNQPRSISPPRVNVYNHTQSSQSVRFSLDSRPISPNRSISAVRRNTEALRNLQSKQPKRTCMCSPTTHPGSFRCSLHKGFNNSHASSGYAPSNRLNARRSAMTNSLVRIGGVEGDLVKRALSALIRPSSHQQRRRAAFQPRPSRLSVMSKAED >KJB61756 pep chromosome:Graimondii2_0_v6:9:51279039:51279713:-1 gene:B456_009G3782001 transcript:KJB61756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYYAIVVSKYRQIELLGVSHDVYSMSIVINCFCQLSRIDFGFSVLGKMLKLVQCLCKNGLLQEALDLFSEVKVEGIRPDISTYTCLIHGMCNLGQQEEATRLLNEMVDNNISLNIVTYNTLVDALCKEGTISKAVETVDMLRKQGIEPDFVTYSTLVDAHCKEGMVSEAEDIVDVMIKR >KJB54657 pep chromosome:Graimondii2_0_v6:9:3166208:3167848:1 gene:B456_009G043500 transcript:KJB54657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYNRSRSYGNGMMQLDTYHGPPRPSASYDLRCHSAAAYAQSQMANNYNSGNNRDFKLKKGKSASASSSSNPWSFGDPEFQRKKRVASYKMYSVEGRFD >KJB54658 pep chromosome:Graimondii2_0_v6:9:3166208:3167848:1 gene:B456_009G043500 transcript:KJB54658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYNRSRSYGNGMMQLDTYHGPPRPSASYDLRCHSAAAYAQSQMANNYNSGNNRDFKLKKGKSASASSSSNPWSFGDPEFQRKKRVASYKMYSVEGKVKGSLRRSFRWLKDKYTQVVYGWW >KJB59754 pep chromosome:Graimondii2_0_v6:9:22530557:22531902:1 gene:B456_009G269800 transcript:KJB59754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSTMIMAHFEHVCQSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVETADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISAAMSGVTCCLRFPGQLTSDLRKLAVNLIPFPRLHFFMDAKNMMCAADPRHDRYLTASAMFRGKMTKEVDEQMTNVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMSSTFVGNSTSIHEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVEDEGEYEKEGLEENYES >KJB55793 pep chromosome:Graimondii2_0_v6:9:6900406:6902709:1 gene:B456_009G094600 transcript:KJB55793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGRYQGDLDLQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSIRSGPVGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFKRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEEYEEEEEEPEYED >KJB53731 pep chromosome:Graimondii2_0_v6:9:275468:275986:-1 gene:B456_009G002800 transcript:KJB53731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSLSCRSSSCGVKNMIRVVHLNGLVQDFDHPVSVSEVTGTDRPPKQFLCTPAQLLSDCSQLGLQPDTILQAGHIYFLLPYTTLQSDVSHVSLASIARKLTAKAKSTKPNSSTQPASTPLWPSPDSGLVSCGAQRLSRMRPWKPVLDTIREKSFNRRSESDLQEGNLVQNV >KJB63014 pep chromosome:Graimondii2_0_v6:9:70043217:70046271:-1 gene:B456_009G449200 transcript:KJB63014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIILRSRTISNNFSSKIISQRGLCSGVVSSSSDPSKGTIISSQSILSDQSTPPPPAPEVAPQVSGGKIWSFVKYGLIAGVTGTTGYAGYLSYNHKAKALRAAASYTPSEDASAIDKYGGLLYSAAMTVPAKALESYLDLRRLVEEHLLEYTEPTSDQLLPDLHPLEQHVFTLVLDLNETLLYTDWKRERGWRTFKRPGVDAFLEHLAKFYEIVVYSDQMNMYVDPVCERLDTDHCIRYRLSRGATKYQDGKHYRDLSKLNRDPAKILYVSAHAFDSSLQPENCVPIKPYKLETDDTALLDLIPFLEYVARNSPADIRQVLQSYERKDIAKEFLERSKEYQRRVQEQKQQGRLWRR >KJB53511 pep chromosome:Graimondii2_0_v6:9:54813859:54814505:1 gene:B456_009G395900 transcript:KJB53511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFFHNGCFLLLWFFLVSKRPVLYSGISDSAWRNFRLPHQMMSPANNTGPSFGSQMDFLAELRRAIQNGNTDN >KJB60961 pep chromosome:Graimondii2_0_v6:9:34692436:34692847:1 gene:B456_009G333000 transcript:KJB60961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQLNRWSYRIISLGFIFLTIGILSGAVWANEAWGSYCNWDPKETWAFITWTIFAIYFHTRTNTNLEGVNSALVASMRFLIIWICYFGVNLLGIGLHSYGSFTLN >KJB58698 pep chromosome:Graimondii2_0_v6:9:17259346:17262919:-1 gene:B456_009G222100 transcript:KJB58698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDKKRSHSQRSSSPPKPPNFPSYQTAPNLNPKVRLLCEIISTTPSPAVETLLQDTGLRITQVDVENVLKFSYSFPSQAVKFFRWSGHQLQHHHSPYSWNLVVDLLGKNGLFDAMWDAVKSMKNEGLVSLATFASVFSSYVSFDKVKEAVLTFEVMDQYGCVRDIVALNTLISAICREGKTIDGLEFLSVAKSRIRPDLDSYAILLEGWEKEGNASLAKTTFDEMVAEVGWDPGNVPAYDSFLSTLIKGKDGVNEALKYIDILHQRKCYPGIKFFRDVLEDFQKVGNVRGAELIWKAMVEKVGIRPDTEMYNLMIELYCSKNYTDTAKKMLDEMVFAGAFPDMQSYNLLFHFLIKNRKLKDASVLFNEMVKNEFFPSKTDCIAAVKIFLDIGDPYVAVKVWKFMIENYDSDLDETGNLLINGLRDANMLPEAVKYAEDMIEKGIKVTSATLSRLKHSLSREKKDGVYEELLRKWKSS >KJB61039 pep chromosome:Graimondii2_0_v6:9:47403131:47404278:-1 gene:B456_009G360300 transcript:KJB61039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYVFLCSLLCFAPLSFRMGKHLSFFLLLFSFISGGTLMKINGQKTWCIAKPSSDQATLLTNVNYACSKVDCQIIQKGCPCFNPDNLINHASIAMNLYYQSMGRNVWNCDFKGSGLIVITDPSYGNCLYA >KJB57216 pep chromosome:Graimondii2_0_v6:9:11762899:11765770:-1 gene:B456_009G154000 transcript:KJB57216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVYSDPIHGTHPEPIDHFDRLPDSLLLLVFNMIGDVKALGRCCVVSRRFHALVPQVENVVVRVDCVISDDDCSPSSSVKSRAAGPFSTLFRLVFGGIVKPLQALGQFLGPERPSLNKTLNTSSSSSLSVGLGGGEDGEMDQGGVTHHSPTQVLRNFNELRFLRIELPGGELGIDDGVLLKWRADFGSTLDSCVILGAASVFNNVHFQVPGHGNDGFCINNGSNVGNGDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQSIIAEHKTLDSLVLTDADGQGVLCMNGEQLEELRVKPLSASAASKRTLVPALNMRLWYAPHLELPDGVVLQGATLVAIRPSEQSASKKEVSDASWLSSAFEEPYGTAAKMLVKRRTYCLEMNSF >KJB57218 pep chromosome:Graimondii2_0_v6:9:11763451:11765604:-1 gene:B456_009G154000 transcript:KJB57218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVYSDPIHGTHPEPIDHFDRLPDSLLLLVFNMIGDVKALGRCCVVSRRFHALVPQVENVVVRVDCVISDDDCSPSSSVKSRAAGPFSTLFRLVFGGIVKPLQALGQFLGPERPSLNKTLNTSSSSSLSVGLGGGEDGEMDQGGVTHHSPTQVLRNFNELRFLRIELPGGELGIDDGVLLKWRADFGSTLDSCVILGAASVFNNVHFQVPGHGNDGFCINNGSNVGNGDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQSIIAEHKTLDSLVLTDADGQGVLCMNGEQLEELRVKPLSASAASKRTLVPALNMRLWPSEQSASKKEVSDASWLSSAFEEPYGTAAKMLVKRRTYCLEMNSF >KJB57217 pep chromosome:Graimondii2_0_v6:9:11762912:11765854:-1 gene:B456_009G154000 transcript:KJB57217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVYSDPIHGTHPEPIDHFDRLPDSLLLLVFNMIGDVKALGRCCVVSRRFHALVPQVENVVVRVDCVISDDDCSPSSSVKSRAAGPFSTLFRLVFGGIVKPLQALGQFLGPERPSLNKTLNTSSSSSLSVGLGGGEDGEMDQGGVTHHSPTQVLRNFNELRFLRIELPGGELGIDDGVLLKWRADFGSTLDSCVILGAASVFNNVHFQVPGHGNDGFCINNGSNVGNGDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQSIIAEHKTLDSLVLTDADGQGVLCMNGEQLEELRVKPLSASAASKRTLVPALNMRLWYAPHLELPDGVVLQGATLVAIRPSEQSASKKEVSDASWLSSAFEEPYGTAAKMLVKRRTYCLEMNSF >KJB58515 pep chromosome:Graimondii2_0_v6:9:16517298:16518107:-1 gene:B456_009G212900 transcript:KJB58515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDQLKSATGDEMKTMMMMMQMQMQMGKLPEFYGDYNDVVEFPHAELADHATNSSNTSNYSINGNSMPHFVENPQVGSPAPFTNLPTTTISFNGSTPVQEPSFSFTPDSASAGELLALSSCSNASSTSSQKTNSMAAMREMIFRIAAMQPIHIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERVATSRPAGLGFPVAMSNGSFHPMGKPYQPTQNLQEFGDAWLS >KJB53966 pep chromosome:Graimondii2_0_v6:9:1123057:1123833:-1 gene:B456_009G013900 transcript:KJB53966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWYSWLSKTALEPSLVYEYGLLFSHNELQKEDLAYFSHEFLQSMGISVAKHRLEILKVARKEIGEPPKSLSKLLLAINKTRKCFNKYVNKLVHHDNNVIKPLPGPEPVRHQDQRRLAVALSRKGSKNEREVKIEQPMVRTTRKVAKSGPLDYRGQEKLLVPPRSLKLSGPLDRRMQEKLVFNYRSPKTSSAPTDIAMAKERLMLTNGRRSPKLSGPLNYVRPPSPRIHGDHYKEKAGGDYDDHTVLWAELFQDMKPT >KJB58520 pep chromosome:Graimondii2_0_v6:9:16564334:16566286:-1 gene:B456_009G213300 transcript:KJB58520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKTDSEVTSLAPSSPTRSPRRPVYYVQSPSRDSHDGEKTTTSFHSTPVLSPMGSPPHSHASVDRHSRESSSSRFSGSLKPGSRKVLPNESSSRGAHRKGHKQWKECDVIEEEGLLEDGEREKGLPRRCYFLAFVLGFFILFSMFSLILWGASRPQKPKITMKSIKFEQLKIQAGSDFTGVSTDMITMNSTVKMIYRNTGTFFGVHVASTPLDLSYSQINIASGTMKKFYQSRKSQRSVTVMVMGNKVPLYGSGASLSSSTGTTSLPVSLKLSFVVRSRAYVLGKLVKPKFYKKIECDITFDPKKLNVPISLKKSCTYD >KJB58477 pep chromosome:Graimondii2_0_v6:9:16399713:16403076:-1 gene:B456_009G211900 transcript:KJB58477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGILVYATILLMTLVWCRLLRRQKKGSQGKSETQLPPGSMGWPYIGETLQLYSRDPNVFFASKQKRYGEIFKTHILGCPSVMLASPEAARFVLVTQAHLFKPTYPHSKERLVGPAALFFHQGDYHTRLRKLVQASLSIEAIRNLVPDIDALAASTVDSWHGGHVVNTFHEIKKFSFEVGILMIFGRLEARYREELKKNYFIVDKGYNSFPTNIPGTPYRKALQARKRLSKILSDIISERKEKKLLEKDLLGCLLNSKAEKGQILTDDKIADNIIGTLFAAQDTTASVMTWIVKYLHDNPKLLEAVKAEQKAIKILTEESNQPLSWSHTRNMPITYKVILETLRMASIISFTFREAVADVEYKGYLIPKGWKVMPLFRNIHHNPDFFTDPQKFDPSRFEVAPKPNTFMPFGSGVHACPGNELAKLEMLIVTHHLVSKFRWEVVGSESGIQYGPFPVPQQGLPTRFWKESTT >KJB61418 pep chromosome:Graimondii2_0_v6:9:46937960:46939545:-1 gene:B456_009G357800 transcript:KJB61418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDVVLLDFWPSPFGMRVRIALAEKEIKYEYKEEDLRNKSDLLRKMNPIHKKIPVLIHNGKPVCESLVQVQYIDEIWPHKSPLLPTDPYQRAIARFWGDFVEKKIYGLESGKVWTTKGEEQEAAKKEFIECLKLLEGELGNKAYFGGENLGYVDVVLVPFYSWFYAYEKCGNFSIETECPKLIAWAKRCMEKDSVSKSLPDQDKIYDYVFHLKKVFGIE >KJB59829 pep chromosome:Graimondii2_0_v6:9:22983598:22985341:1 gene:B456_009G274300 transcript:KJB59829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSPSLFDFHLLLLFTIFSLAAADAIAAARPITQQFKEAPQFYNSEICPKIVGEDDESDNGTSVFLCSGQSVHVAMTLDSAYIRGSMAAVLSILQHSSCPQNIVFHFVASATANASFLHATISSSFPYLNFQVYSFDDSSVSRLISTSIRSALDCPLNYARSYLADLLPSCVGRIVYLDSDLVLVDDIAKLAATQLGDNSVLAAPEHCNANFTSYFTLTFWSSPTLSLTFANRKPCYFNTGVMVIDLDRWRRGDYTKKIEEWMEIQKRTRIYELGSLPPFLLVFAGNIVAVDHRWNQHGLGGDNFKGLCRDLHPGPVSLLHWSGKGKPWVRLDANRPCPLDALWAPYDLLQTPFALDS >KJB55188 pep chromosome:Graimondii2_0_v6:9:12455839:12456148:1 gene:B456_009G162200 transcript:KJB55188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQEDCWFEEATSALINAWGRRYLELNSGNLRQTDWQVYERVEGEKQRMQFAKDLELQRMRMKRSWGPSGEAYSSS >KJB57477 pep chromosome:Graimondii2_0_v6:9:16282585:16283008:-1 gene:B456_009G210100 transcript:KJB57477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKLYKNIRRPSDEDEISGTIVLMVLESEEKMKEVVLEQGKILDEWFLKKQVWTDSIKMENRRVWLSCYGVPIHAWKILTFQMAGWWGEFISINEETQEPISFMRGNIQLIRDCFNGIDEVIDLQVGN >KJB62036 pep chromosome:Graimondii2_0_v6:9:55839952:55845735:-1 gene:B456_009G397600 transcript:KJB62036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASTKLGIALLVIFTTCLFALLLELVYVLQRKRQPLIVSGGPGVISSKELLYFFCCKNKPTRVEPSSGVVSTTPTEAATAVDSEAATMEEEEYELAKWHEVYGQSRVLYTIKEGEREGADSVETSSGQSETKSEKRFCLSGRVEMPNDVAVVVDVGEEEATPFSTPISSPSYFTPSPSPGRDVAISISSLENDDIRSSETERPESGTFSLSSEGHQYSVKIQG >KJB58857 pep chromosome:Graimondii2_0_v6:9:18081472:18082538:1 gene:B456_009G230000 transcript:KJB58857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPVKSFSGVENNNSPVMLMEGEDSLKDLSERKTMEACKSHKEAERRRRQRINAHFSTLRSVLPNTTKTDKASLLAEVVHHVRELKRQVEDVGRRDRDGCCSNSRPELDTSWPFPGECDEATLSFCDEGGKLLKATICCEDRPGLNHDLSRVIRLVQAKVVRAEMTTVGGRTKSVVVMQWSGDEEQVGPLKRALKDVVENRVSRLAQGTGSKRARVFGSNSETGHGFLVG >KJB60497 pep chromosome:Graimondii2_0_v6:9:28398058:28398294:1 gene:B456_009G308800 transcript:KJB60497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWAIEGLEMTRAHLWKVEMDADQFRVNAYSEIEREKLNLINSTYNILEQLENYKNETIYFKQQRAINQVQQRVFQQAL >KJB61795 pep chromosome:Graimondii2_0_v6:9:51789441:51790574:1 gene:B456_009G381400 transcript:KJB61795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVLGIAKCFGPPVCKYLKYHRKLNDYVRNFKRIKDELNCKMEDIELQLKTELLCPLGEIPKQGVENWLTDVKETISEAQVVENKVSNGRYLCRACNGKLVDEKTWEMKEFLDKAPNASEGLAMDGPSGGLPLPTSELVGEEAVRNEIWACLMQEEVSKIGVWGMGGVGKTTIMKHIHNDLLKQQRFERVIWVTISKEFNVMKVQDDIASALQSKEYLATEGDKLRRAAILSEMLKKAGKHGLILDDVWDKEVGIPEPSGRNGCKLVLTTRSEYVCKHMS >KJB61943 pep chromosome:Graimondii2_0_v6:9:53943934:53944236:-1 gene:B456_009G392800 transcript:KJB61943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDKRLTEILCDICIKKILKDNRSGTHFTKDGWLKIMTNFEKETGKACSQRPLKNRWDALKKEWKAWKKLKGKDIGLGWNPIKRIVDASDDWRESRLKVY >KJB59946 pep chromosome:Graimondii2_0_v6:9:23881413:23883043:-1 gene:B456_009G282200 transcript:KJB59946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLLFIALFVVPCLVSATRMVKNPLVVQGQVYCDHCRAGFETPKTRNMAGAKVKVVCSNRKTGDVVYEKEGHTDSTGQYKIAVSEDHLDEICDAVLVKSSQPECAEMSPGRERARVVLTNFNGISSNTRFANAMGFMANKAEAGCAEVMKVYQEEDD >KJB54993 pep chromosome:Graimondii2_0_v6:9:4132129:4134333:1 gene:B456_009G057300 transcript:KJB54993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSIPKPKLLFILRTIATSATGSYSTQSAASERGFLTLLHSCNTFSNLLQLQTQILKLGFHNNPLILTKFIAKSSDLNSIHYAHCFLFSPHSNTDFYDAFLFNTLIKAYAQSGDLKLKALWVFNFMLECQVLPNNFTYPFVLKASAGIGDLNLGKSVHGSLLKFGFDGNNHVLNTLVHMYCSCKGGIQIGRKLFDEMTKNDSVPWSAMIGGYVRLGRSNDAVDLFRQMQIQGVCPDEITMVAVLCACTDLGALELGRWVESLIEKRKLNKSVELNNALIDMFAKCGDVDKALKLFRTMNERSIVSWTSVIVGLAMHGRALQAVSLFQEMIRDGVEPDGVVFIGLLSACSHSGFVEKGKEYFDLMRKEFAILPKIEHYGCMVDMLCRAGHVREAVEFVQKMPIEPNSIVWRTLVNACRTHSELKLGENLAKQLIQNEPTLEANYVLLSNIYAKMSHWEEKTKTREVMDKKGMRKIPGSTMIELNNKIYRFVAGDMSHNQFKEIFEMVDEMGREMKRAGYVPSTSEVMLDIDEEDKEDNLNRHSEKLAIAFALMNTPPGTPIRIVKNLRVCNDCHSATKFISKIYNREIIARDRSRFHHFKDGLCSCKDFW >KJB59139 pep chromosome:Graimondii2_0_v6:9:19276140:19276984:-1 gene:B456_009G240700 transcript:KJB59139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSLGANFAEAYVMRSLHKEKMKKMKEMEKRQQEAKGDDKVFDERKIPAATGCFPFWVSKKTPSAKVASDNATGKPV >KJB59880 pep chromosome:Graimondii2_0_v6:9:23483821:23486154:1 gene:B456_009G278800 transcript:KJB59880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFELYRRSTIGMCLTETLDEMVSSSTLSPELAIQVLVQFDKSMTEALESQVKSKVSIKGHLHTYRFCDNVWTFILQDALFKNEDTQENVGRVKIVACDSKLLSQ >KJB53988 pep chromosome:Graimondii2_0_v6:9:1189250:1189684:1 gene:B456_009G014800 transcript:KJB53988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFGVLLLFIFSMFYVAMPNNLTLIPEQITFPHPLCRSQIALVNFACAMVPILPMPQPPPPPADGNGLRHRHRRRHRHMHRHGSHETPEQRYCCEWLRQMDTLCVCEILYHLPPFLWKPNHKYTVVVDDECSVTFLCQGRQRL >KJB61926 pep chromosome:Graimondii2_0_v6:9:53594061:53594537:-1 gene:B456_009G392000 transcript:KJB61926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSFNIVTVLLCVFVVALMMGEAIGQEICHDVLAAPGDGKCDPQSCKDKCASKWNGAGFCVQSYANLHSCNCSWPCGGKE >KJB58180 pep chromosome:Graimondii2_0_v6:9:15543899:15545580:-1 gene:B456_009G200800 transcript:KJB58180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAETLLKNGEARVQLDPKVPLILGLQPAALIDHVARVDGSLFDQIPGERGGSIPVAMEELEHILSELKRHVLASADDSSPMKTMAGGSVANTIRGLSSGFGVNCGMIGAYGDDEQGQLFACNMNTSGVNVSRLRKKKGPTAQCVCLVDAFGNRTMRPCLATAVKVQGDELTKGDFSGSKWLVMRFGAFNLEVIQAAIRIAKQQGVSVSLDLASFECVTFVRWCGILEYLFNSYWSQGILISALPMRMRQQNC >KJB58181 pep chromosome:Graimondii2_0_v6:9:15542478:15545758:-1 gene:B456_009G200800 transcript:KJB58181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAETLLKNGEARVQLDPKVPLILGLQPAALIDHVARVDGSLFDQIPGERGGSIPVAMEELEHILSELKRHVLASADDSSPMKTMAGGSVANTIRGLSSGFGVNCGMIGAYGDDEQGQLFACNMNTSGVNVSRLRKKKGPTAQCVCLVDAFGNRTMRPCLATAVKVQGDELTKGDFSGSKWLVMRFGAFNLEVIQAAIRIAKQQGVSVSLDLASFEMVRNFRVPLQQLLESGDIDLCFANEDEATELLRWAVVTLGSNGCIAKLGKEIVQVPAIGEAKAVDATGAGDLFASGFLYGLVKGLSLEECCKVGSCSGGSVIRSLGGEVTPENWQWMYKQMQRKGLSLPDIRN >KJB58179 pep chromosome:Graimondii2_0_v6:9:15542474:15545792:-1 gene:B456_009G200800 transcript:KJB58179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAETLLKNGEARVQLDPKVPLILGLQPAALIDHVARVDGSLFDQIPGERGGSIPVAMEELEHILSELKRHVLASADDSSPMKTMAGGSVANTIRGLSSGFGVNCGMIGAYGDDEQGQLFACNMNTSGVNVSRLRKKKGPTAQCVCLVDAFGNRTMRPCLATAVKVQGDELTKGDFSGSKWLVMRFGAFNLEVIQAAIRIAKQQGVSVSLDLASFEMVRNFRVPLQQLLESGDIDLCFANEDEATELLRGEGNAHPEAAVEYLSKYCRWAVVTLGSNGCIAKLGKEIVQVPAIGEAKAVDATGAGDLFASGFLYGLVKGLSLEECCKVGSCSGGSVIRSLGGEVTPENWQWMYKQMQRKGLSLPDIRN >KJB54140 pep chromosome:Graimondii2_0_v6:9:1755075:1758539:-1 gene:B456_009G022800 transcript:KJB54140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNPGILLKLLQSMNSPTRVTGDHRSALLQVIGIVPALAGSDLWPNHGFYVQLSDSLNSTYVSLSERDTDLILSNRLQLGQFVYVDRFHFDSPVPRVSGIRPIAGRHPFVGSPDPLIARISTSKREFVIQPVSESEYSVDPIAVYLSNKKLDQQQISTENKDTKTEKSRARQPLAPRDNVKVNENSEHESKVPEKPPQRFSSPATAKRSGSVVKKINAAVVERDPSPAGKGKRSASPVPSKCVVPSLVAAKEDNRKVAREPAIIVPSRYRQPSPNGRKQASPGARRASLSPGRRLSGVLKVSPAVGDSKKKMATIVAGISKVSEALVGSAKSSRKGWDDQLEKDSGEHKEKASVKSKPDLQAILRTQAAISRRLSDVHSQKSNDENSSSNEKTKASSPEDGSAPEKATSANGAAGVTIHEKKWTDGSVAWDTISADLAKLGKEAMQRRILASKAAAEALEEAIATESVVRNLSMFSELSSKSKAGNPLPTIDKFLSIYNDVVKYTGIADTVATRHNSNTDNASKSSSLWVEVALATDLEIVSLLTPQNNESPSALQKSLSKTQLKTSSLPQLEPTATIWTSGQGMKETVQFALNLKSEMEIWFLRFIEESLDAGFRMLGECAASSGGSKALPLDCGSIAGVLSQLKRVNDWLDQAVSNGDEPLIDKVEKLKRKIYGFVIQHVGSTFDNCSNISSS >KJB59069 pep chromosome:Graimondii2_0_v6:9:18775748:18776368:-1 gene:B456_009G237300 transcript:KJB59069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTEVEGRDKDRKLQIAVRRAKAALLLSSLKSSVNRVFEAANNDKFKTQVKEKMRREIESLRVELVKERLKMKKIKFCGMVDLILQVILVMLISSFFMKLALDFFIFGDESFFCYSAT >KJB59418 pep chromosome:Graimondii2_0_v6:9:20830043:20833464:-1 gene:B456_009G253900 transcript:KJB59418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor GT-2 [Source:Projected from Arabidopsis thaliana (AT1G76890) UniProtKB/Swiss-Prot;Acc:Q39117] MESSMVEGSAEAATTVAGIHEGSEGEEDRGRVDEGDRSFGGNRWPRQETLALLKIRSDMDSLFRDSTLKGPLWEEVSRKLAELGYHRSAKKCKEKFENVYKYHKRTKDGRTSKADGKTKTYRFFDELEAFQNLHSLHPLSPPKPQTPTPTSASVMNPTNVPQSHATVPSINPTLSTQPVPPLHSINPCFFNISSNLFSTSTSSSTTSNDDSYQGSSGKKRKWKEFFRRLTKEVIEKQEELQNKFLQTIEKCEQQRLAREEAWRVQEMARINKEHELLVHERSKAAAKDAAVFAFLQKVSGQQPNTVQGNPQPQPQPPPPAQPMLAPLSTPPPPPVQVKQPKTHPPPTQALNFDTSEMSNGGNSAVSVSLSPSPSRWPKVEVEALIKLRTNLDIKYQDNGPKGPLWEEISAAMRNLGYNRSAKRCKEKWENINKYFKKVKENNKTRPEDSKTCPYFHQLDAIYKDKISKNGNSLATSSPYGVKPDSRSTVPLMVRPEQQWPPPQGNNHQAETVAMMEEEADKGNVGHNNNHTQEEEEEEEEEEDGDTEDEYEGNDFELVAKTAPIGSGGE >KJB58768 pep chromosome:Graimondii2_0_v6:9:17896185:17911895:1 gene:B456_009G228200 transcript:KJB58768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIRSTLPSRLRQLLSGETDIGPSIKLDSEPPPQIKAFIDKVIQSPLQDIAIPLSGFRWEYSKGNFHHWRPLFLHFDTYFKTYVSCRNDLLLSDKILEDDSPFPKHSVLQILRVMQMILENCHNKSSFDGLEHFKFLLSSTDPEVLIATLETLSALVKINPSKAHGSGKLIGCVSVNSYLLSLAQGWGSKEEGLGLYSCVLANEKTNDEGLSLFPSDMENDHDKSQHRIGSSLYFELHGPNTQGTEESSGNASSNSRVIHMPDLHLQEEDDLLIMKQCIEQYNIPTELRFSLLTRIRYAHAFRSPKICRLYSRICLLAFIVLVQSNDANDELASFFANEPEYTNELIRIVRSEETIPGTIRTLAMLALGAQLAAYSASHDRARILSGSSISFTVGNRMILLNVLQKAVLSLKGSSDSSSLAFIEALLQFYLLHIVSSSASGSNIRGSGMVPTFLPLLEDSDPNHMHLVYLAVKALQKLMDYSSSAVSLLRELGGVELLSLRLQIEVHRIIGVSGGNDNSVVIGECSRYNDDQLHSQKRLIKVLLKALGSATYAPANSARVQNPHDSSLPGTLSLIYGNAEKFGGDIYYSAVTVMSEIIHKDPTCLAALLELGLPDAFLSSVLSGVLPSSKAITCIPNGLSAICLNAKGLEAVKETSALRFLVDIFTSKKYVLAMNEAIVPLANAVEELLRHVSSLRSSGVDIIIEIVNKIASFGDNSTCSTSLSVEKVNGSTAMETDSEDKGNEEHCCPVGELESVTDGISDEQFLQLSILHLMVLLHRTENSETCRLFVEKSGIEALLKLLLRPSIVQSSEGMSIALHSTVVFKGFTQHHSAPLARAFCSSLREHLKKALTGFGAVSGSFLLDPSVMPDDGIFSPLFLIEFLLFLAASKDNRWVSALLAELGNGSKDVLEDIGRVHREILWQIALLEDAKVEIEDDGVSASSAEPESQQGSSATDTEEQRMNSFRHFLDPLLRRRTPGWSIESQFFDLINLYRDLGRATGFQQRLRIDGSNVRFGANHSTSSDASGSVSKKEHDRQRSNHTSCCDMVRSLSFHITHLFQEVGKVMLLPSRRRDDTVNASLASKLVASIFASIALDHMNFGGHVKSSGSEASISTKCRYFGKVIDFIDSILLDRPDSCNAIMLNCLYGRGVVKSVLTTFEATSQLLFAVNRAPASPMDTDDGNVKQDEKEDGDHAWIYGPLASYGKLMDHLVTSSFILSPFTKHLLVQPLLSGDVPFPRDPETFVKVLQSMVLKAVLPVWADPQFTDCSYDFITTIISIIRHIYSGVEVKNISSGNNARVTGPPPNETAIATIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEEIQEDDELARALAMSLGNSETDTNVDVTNDSSQQLEEEMAQLPPIEELLSTCTKLLQMKEPLAFPVRDLLVLICSQNDGQYRSSVINFILDQVRDSSSASVSRNNSLLSALFHLLALILQEDVGAREIASKTGLVRLVTDLLSEWDSGLVDKEKPQVPKWVTTAFLALDRLLQVDKKLDSEILEQLKGDNLSSQQISVSIDEDKKSKLHSSFGSPRNIDIYEQKRLIEIACGCIRNQFPSETVHAVLQLCSTLTRTHSLAVCFLDGGGVSSLLSLPTSSLFPGFDNVAASIIRHVLEDPQTLQHAMEAEIKHNLVAMANRHSNGRVSPRNFLVNLSSVVSRDPVIFMQAVKSVCQVEMVGDRPYVVLIKDRDKDKSKEKEKGSEKDKSQQIDGKGNLCNVNSAGPGIGHGKFNDLNSKSVKMHRKSPQSFVNVIELLLDLVVSFIPPLTEDINMKAHGDAPPSTDMEIDVAAIKGKGKAIASLSLENEVSSQDVSASLAKIVFIFKLLTEILLMYASSVHVLLRRDGEIGSCRAPHQKGSTGLTGGGIFHHILHRFIPYSQNSKKERKTDGDWRHKLATRASQFLVASCVRSAEAKRRVFTEINCIFNNFVDSCPGFRPPRGDMQHFVDLLNDILVARTPTGSCISAEASATFIDVGLIVSLTRTLEVLDLDHAESPKAVTGLIKALELVTKEHVHSVDSSAIKGENSVKPADRNQTGRTDNIVDASQSMEMASQSNDAIAADRIESFNRVQNYGGSEAVTDDMEHDQDLDGGFAPAAEDDYMQETSEDARALENGVNNVGIHFEIQPHEQENLDDDEDEDEEMSGDDGDEDDDDVHHLSHPDTDQDDHEIDDDEFDDEVLEDDGDDEDDGDDEGGVILRLEEGTNGMDMFDRIEVFGRDHNFADETLRVMPIEVFGSRRQGRTTSIYSLLGRSGENSAPSRHPLLLGPSSLQSASPRQSENAHDMILADRNSDSTSTRLDTIFRSLRNGRHSHRLNLWVDESQQSSGSSVATVPQGLEELLVSQLRRPGPEKSPDQKTSVVEPQNQVEGSQLQEPGTGTTPENRAENNVYNENANASLSSEAIGSALNADRRPAVSDPLQGTDASSVHSQSVEMQFEQNDAAARDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDEGGERHGSSDRTPEPQVARARRTNVGIVNSGRDAPLHSVTEVSENSSREADQDGPAAEQQINSDAGSGSIDPAFLEALPEELRAEVLSAQQGQVAQPSNSEQQNSGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSHELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYHNRSLFGMYPRNRRGESSRRGEGVGSSLDRMAGSIVSRRSVSAKLIEAEGAPLVGTEALRAMVRILRIVQPLYKGSLQKLLLNLCAHNETRKALVKILMDMLMLDTRKPVNYSNAIEPPYRLYGCQNNVMYSRPQHFDGVPPLVSRRVLETLTYLARNHPYVAKILLQFRLPLPILQEQRNIDQSRGKALMNEVQLEGFSSIALLLSLLNQPLYLRSIAHLEQLLNLLDVIVDHVERKSLSSEKSKASSTEQVPASRISLADADTSAEKAPSDVESQLKAVDCSIPSTSDSSNECDPLSILTNLPQVELRLLCSLLAREGLSDNAYGLVAEVMKKLVAIAPSHCHLFISELADAVQNLIKSAMDELRMFGEALKALLSSISSDGAAILRVLQALSSLVSSITEREKDLQLLPEIERSTALSKVLDINAALEPLWTELSTCISKIESYSDSAPDLLAPSRTTTTRSGVTPPLPAGTQNILPYIESFFVMCEKLHPAQPSSGQDFSMATLSDAEDASTSSGQQKTACPVSKFDEKHVAFVKFSEKHRKLLNAFIRQNPGLLEKSFSLMLKVPRFVDFDNKRAHFRSKIKHQHDNHHSPLRISVRRAYILEDSYNQLRMRTTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPDYFKNLKWMLENDISDVLGLTFSIDADEEKLILYERTQVTDYELIPGGRNIKVTEENKHQYVDLVVEHRLTTAIRPQINAFLEGFNELIPRELISVFNDKELELLISGLPDIDLDDMRANTEYSGYSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKEHLEERLLLAIHEANEGFGFG >KJB58767 pep chromosome:Graimondii2_0_v6:9:17896185:17911843:1 gene:B456_009G228200 transcript:KJB58767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIRSTLPSRLRQLLSGETDIGPSIKLDSEPPPQIKAFIDKVIQSPLQDIAIPLSGFRWEYSKGNFHHWRPLFLHFDTYFKTYVSCRNDLLLSDKILEDDSPFPKHSVLQILRVMQMILENCHNKSSFDGLEHFKFLLSSTDPEVLIATLETLSALVKINPSKAHGSGKLIGCVSVNSYLLSLAQGWGSKEEGLGLYSCVLANEKTNDEGLSLFPSDMENDHDKSQHRIGSSLYFELHGPNTQGTEESSGNASSNSRVIHMPDLHLQEEDDLLIMKQCIEQYNIPTELRFSLLTRIRYAHAFRSPKICRLYSRICLLAFIVLVQSNDANDELASFFANEPEYTNELIRIVRSEETIPGTIRTLAMLALGAQLAAYSASHDRARILSGSSISFTVGNRMILLNVLQKAVLSLKGSSDSSSLAFIEALLQFYLLHIVSSSASGSNIRGSGMVPTFLPLLEDSDPNHMHLVYLAVKALQKLMDYSSSAVSLLRELGGVELLSLRLQIEVHRIIGVSGGNDNSVVIGECSRYNDDQLHSQKRLIKVLLKALGSATYAPANSARVQNPHDSSLPGTLSLIYGNAEKFGGDIYYSAVTVMSEIIHKDPTCLAALLELGLPDAFLSSVLSGVLPSSKAITCIPNGLSAICLNAKGLEAVKETSALRFLVDIFTSKKYVLAMNEAIVPLANAVEELLRHVSSLRSSGVDIIIEIVNKIASFGDNSTCSTSLSVEKVNGSTAMETDSEDKGNEEHCCPVGELESVTDGISDEQFLQLSILHLMVLLHRTENSETCRLFVEKSGIEALLKLLLRPSIVQSSEGMSIALHSTVVFKGFTQHHSAPLARAFCSSLREHLKKALTGFGAVSGSFLLDPSVMPDDGIFSPLFLIEFLLFLAASKDNRWVSALLAELGNGSKDVLEDIGRVHREILWQIALLEDAKVEIEDDGVSASSAEPESQQGSSATDTEEQRMNSFRHFLDPLLRRRTPGWSIESQFFDLINLYRDLGRATGFQQRLRIDGSNVRFGANHSTSSDASGSVSKKEHDRQRSNHTSCCDMVRSLSFHITHLFQEVGKVMLLPSRRRDDTVNASLASKLVASIFASIALDHMNFGGHVKSSGSEASISTKCRYFGKVIDFIDSILLDRPDSCNAIMLNCLYGRGVVKSVLTTFEATSQLLFAVNRAPASPMDTDDGNVKQDEKEDGDHAWIYGPLASYGKLMDHLVTSSFILSPFTKHLLVQPLLSGDVPFPRDPETFVKVLQSMVLKAVLPVWADPQFTDCSYDFITTIISIIRHIYSGVEVKNISSGNNARVTGPPPNETAIATIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEEIQEDDELARALAMSLGNSETDTNVDVTNDSSQQLEEEMAQLPPIEELLSTCTKLLQMKEPLAFPVRDLLVLICSQNDGQYRSSVINFILDQVRDSSSASVSRNNSLLSALFHLLALILQEDVGAREIASKTGLVRLVTDLLSEWDSGLVDKEKPQVPKWVTTAFLALDRLLQVDKKLDSEILEQLKGDNLSSQQISVSIDEDKKSKLHSSFGSPRNIDIYEQKRLIEIACGCIRNQFPSETVHAVLQLCSTLTRTHSLAVCFLDGGGVSSLLSLPTSSLFPGFDNVAASIIRHVLEDPQTLQHAMEAEIKHNLVAMANRHSNGRVSPRNFLVNLSSVVSRDPVIFMQAVKSVCQVEMVGDRPYVVLIKDRDKDKSKEKEKGSEKDKSQQIDGKGNLCNVNSAGPGIGHGKFNDLNSKSVKMHRKSPQSFVNVIELLLDLVVSFIPPLTEDINMKAHGDAPPSTDMEIDVAAIKGKGKAIASLSLENEVSSQDVSASLAKIVFIFKLLTEILLMYASSVHVLLRRDGEIGSCRAPHQKGSTGLTGGGIFHHILHRFIPYSQNSKKERKTDGDWRHKLATRASQFLVASCVRSAEAKRRVFTEINCIFNNFVDSCPGFRPPRGDMQHFVDLLNDILVARTPTGSCISAEASATFIDVGLIVSLTRTLEVLDLDHAESPKAVTGLIKALELVTKEHVHSVDSSAIKGENSVKPADRNQTGRTDNIVDASQSMEMASQSNDAIAADRIESFNRVQNYGGSEAVTDDMEHDQDLDGGFAPAAEDDYMQETSEDARALENGVNNVGIHFEIQPHEQENLDDDEDEDEEMSGDDGDEDDDDVHHLSHPDTDQDDHEIDDDEFDDEVLEDDGDDEDDGDDEGGVILRLEEGTNGMDMFDRIEVFGRDHNFADETLRVMPIEVFGSRRQGRTTSIYSLLGRSGENSAPSRHPLLLGPSSLQSASPRQSENAHDMILADRNSDSTSTRLDTIFRSLRNGRHSHRLNLWVDESQQSSGSSVATVPQGLEELLVSQLRRPGPEKSPDQKTSVVEPQNQVEGSQLQEPGTGTTPENRAENNVYNENANASLSSEAIGSALNADRRPAVSDPLQGTDASSVHSQSVEMQFEQNDAAARDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDEGGERHGSSDRTPEPQVARARRTNVGIVNSGRDAPLHSVTEVSENSSREADQDGPAAEQQINSDAGSGSIDPAFLEALPEELRAEVLSAQQGQVAQPSNSEQQNSGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSHELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYHNRSLFGMYPRNRRGESSRRGEGVGSSLDRMAGSIVSRRSVSAKLIEAEGAPLVGTEALRAMVRILRIVQPLYKGSLQKLLLNLCAHNETRKALVKILMDMLMLDTRKPVNYSNAIEPPYRLYGCQNNVMYSRPQHFDGVPPLVSRRVLETLTYLARNHPYVAKILLQFRLPLPILQEQRNIDQSRGKALMNEVQLEGFSSIALLLSLLNQPLYLRSIAHLEQLLNLLDVIVDHVERKSLSSEKSKASSTEQVPASRISLADADTSAEKAPSDVESQLKAVDCSIPSTSDSSNECDPLSILTNLPQVELRLLCSLLAREGLSDNAYGLVAEVMKKLVAIAPSHCHLFISELADAVQNLIKSAMDELRMFGEALKALLSSISSDGAAILRVLQALSSLVSSITEREKDLQLLPEIERSTALSKVLDINAALEPLWTELSTCISKIESYSDSAPDLLAPSRTTTTRSGVTPPLPAGTQNILPYIESFFVMCEKLHPAQPSSGQDFSMATLSDAEDASTSSGQQKTACPVSKFDEKHVAFVKFSEKHRKLLNAFIRQNPGLLEKSFSLMLKVPRFVDFDNKRAHFRSKIKHQHDNHHSPLRISVRRAYILEDSYNQLRMRTTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPDYFKNLKWMLENDISDVLGLTFSIDADEEKLILYERTQVTDYELIPGGRNIKVTEENKHQYVDLVVEHRLTTAIRPQINAFLEGFNELIPRELISVFNDKELELLISGLPDIDLDDMRANTEYSGYSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVIQRLKL >KJB56690 pep chromosome:Graimondii2_0_v6:9:9936896:9938786:-1 gene:B456_009G132400 transcript:KJB56690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSCPSNSKVWSHLLPPIFIKDHCFPSKYIMATSIFFNMPPFASTWNKSKVSLQRVNITSNAIQKTVRFSVINCSLKINHAQPALNDEIRVPSVIKEMENEEITSPSVAGRLVKGGPVFQQNVSVRSFEIDSEYKMSTKAIMNYLQEASLNYAKKLGLTIDTRFGITPGMRKMDLVWVFRGMHIEVDRYPCWGEVVQILHWTCASGRTGVRFDWTINDINTGETLVRASCLAVMMNKNTRKTCKLPEEVKDEIKAYLREDVEPIVEAFKYSCPQTEAMDHIKTGLTPGWNDLDVNYHVNNAKYLDWILESTPDSIRDRHELWKMNFEYRKECLKDDVIQSLSRVVPSNEKNREIEVEHVLRLESGHQVLRARTVWRTNSHSCRGINSMKIIF >KJB61324 pep chromosome:Graimondii2_0_v6:9:45145582:45146220:1 gene:B456_009G352100 transcript:KJB61324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLRRNKILHEKKVQSVEDTIFFICKYLREWEEVNHRLPGQRVVEERWRPPKPDEIKINFDGAFDKQTFKFGIGIVCRDPEGKILNCRSKVNNRIPTTFAVEALACLQAVRMGLDLGYRRVVVEGDALSIIKKLQRKENDKSVLTLYTINIKTFSNKFNKCKFRQIGRKGNETAHAVAKEALKLNGSTYIEGRLPKMVLAVATKDARDLELS >KJB56530 pep chromosome:Graimondii2_0_v6:9:9269894:9271911:-1 gene:B456_009G124000 transcript:KJB56530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSLDLNPSSDGPLPLDTPKKEPSGNGNLIVFGKKLSMKEESGALVEELNRVNEENKKLTEMLAAMCESYNALQSQLTNLMSKIPEKELSHSKKRKSESRNNNHNNNNDNYNFGIIGNSESSSTDEDSCKKPKEEIIKAKVSRVYVRTEASDTSLVVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPTCPVKKKVQRSIDDQSVVIATYEGEHNHLPPSQMEPTSGSSHRGSASLNPSGPTMTLDFGKTKPSGSDVARICSSSQPKMDSPQVRQYLVEQMATSLTKDPKFTAALAAAISGRMFQQSPVE >KJB53871 pep chromosome:Graimondii2_0_v6:9:1586711:1587467:-1 gene:B456_009G020700 transcript:KJB53871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTQQWPVFQLVSCLLILSQLVYFSYADVGTAAQYSPPYLPTACYGDDQTQFPSSNLFAAAGDGIWDNGASCGRQYLVRCISASQPGTCVEDQTIQVKIVDYAPTAQSPPSTQSTTIVLSETAFGGITNIAVNSINIEFQQ >KJB53870 pep chromosome:Graimondii2_0_v6:9:1586562:1587489:-1 gene:B456_009G020700 transcript:KJB53870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTQQWPVFQLVSCLLILSQLVYFSYADVGTAAQYSPPYLPTACYGDDQTQFPSSNLFAAAGDGIWDNGASCGRQYLVRCISASQPGTCVEDQTIQVKIVDYAPTAQSPPSTQSTTIVLSETAFGGITNIAVNSINIEFQQV >KJB61621 pep chromosome:Graimondii2_0_v6:9:49891631:49892029:-1 gene:B456_009G3707001 transcript:KJB61621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYSYHVACLAMTVRNLNSDQFALLEFKDRIAGPQNVLPNNWTVSTSVCNWIGVSCGILHKRVTALNLTSMNLRGTIPPHLGNLSFLLSLDLSSNHFYGHLPKELGQLHRLRILQLCYNRLNQEIPSWLGNLQ >KJB53490 pep chromosome:Graimondii2_0_v6:9:29835514:29837586:1 gene:B456_009G316300 transcript:KJB53490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEWKDEIPPLPAKEQFKSKRDDEDIDDSNIKESWEDEDELAPPPACGRSRFMFLMWGFGMMQRPIGLKAIGSMESDSN >KJB57427 pep chromosome:Graimondii2_0_v6:9:12541384:12545423:1 gene:B456_009G163500 transcript:KJB57427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like modifier-activating enzyme atg7 [Source:Projected from Arabidopsis thaliana (AT5G45900) UniProtKB/Swiss-Prot;Acc:Q94CD5] MAKQGSGSILQFAPLQSSVDEGFWHRLSSLKLNKFGIDDSPIPISGFYAPCSHPQVSNHLTLLAESLPSDSNDESTMPPVIRGNRNRCYVPGILYNTNTMEGFHALYKQALLKAEAMKIWEDIHSGKAVEDCAVLSRVLLISFADLKKWNFHYWFAFPALALDPPATLVDLRPASQWFTLEEAESVSAACNEWRNSSVTADVPFFLVSIGSDSHAAVKHLKDLEACQRDGQKLLFAFYDPCHLPNNPGWPLRNFLALISARWNLKTVRFLCYRENRGFADLNLSLVGEALITVQQGWREQQCVPNAVGWELNKGRKVPRCINLAKSMDPTRLAISAADLNLKLMRWRALPSLNLEILFSLKCLLLGAGTLGCQVARMLMAWGVRKITLLDNGRVAMSNPLRQSLYTLDDCLNGGDFKATAAVRSLQRIFPAVEAEGIVMAIPMPGHPVSSQEEKSVLEDCKRLNDLIDSHDVIFLLTDTRESRWLPTLLCANSNKITITAALGFDSFLVMRHGPGPFNSILNLKAETENSLAAGMDNLALTNTDGQHRLGCYFCNDVVAPTDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGILHHPNGIFAEAEIANSSSGGNFELPLGILPHQIRGSLPHFQQMTLLGHSSNSCTACCSTVSSVLLLVLDNCDFIIQVSRILLLKTNICTS >KJB57428 pep chromosome:Graimondii2_0_v6:9:12541384:12546180:1 gene:B456_009G163500 transcript:KJB57428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like modifier-activating enzyme atg7 [Source:Projected from Arabidopsis thaliana (AT5G45900) UniProtKB/Swiss-Prot;Acc:Q94CD5] MAKQGSGSILQFAPLQSSVDEGFWHRLSSLKLNKFGIDDSPIPISGFYAPCSHPQVSNHLTLLAESLPSDSNDESTMPPVIRGNRNRCYVPGILYNTNTMEGFHALYKQALLKAEAMKIWEDIHSGKAVEDCAVLSRVLLISFADLKKWNFHYWFAFPALALDPPATLVDLRPASQWFTLEEAESVSAACNEWRNSSVTADVPFFLVSIGSDSHAAVKHLKDLEACQRDGQKLLFAFYDPCHLPNNPGWPLRNFLALISARWNLKTVRFLCYRENRGFADLNLSLVGEALITVQQGWREQQCVPNAVGWELNKGRKVPRCINLAKSMDPTRLAISAADLNLKLMRWRALPSLNLEILFSLKCLLLGAGTLGCQVARMLMAWGVRKITLLDNGRVAMSNPLRQSLYTLDDCLNGGDFKATAAVRSLQRIFPAVEAEGIVMAIPMPGHPVSSQEEKSVLEDCKRLNDLIDSHDVIFLLTDTRESRWLPTLLCANSNKITITAALGFDSFLVMRHGPGPFNSILNLKAETENSLAAGMDNLALTNTDGQHRLGCYFCNDVVAPTDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGILHHPNG >KJB57426 pep chromosome:Graimondii2_0_v6:9:12541171:12546294:1 gene:B456_009G163500 transcript:KJB57426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like modifier-activating enzyme atg7 [Source:Projected from Arabidopsis thaliana (AT5G45900) UniProtKB/Swiss-Prot;Acc:Q94CD5] MAKQGSGSILQFAPLQSSVDEGFWHRLSSLKLNKFGIDDSPIPISGFYAPCSHPQVSNHLTLLAESLPSDSNDESTMPPVIRGNRNRCYVPGILYNTNTMEGFHALYKQALLKAEAMKIWEDIHSGKAVEDCAVLSRVLLISFADLKKWNFHYWFAFPALALDPPATLVDLRPASQWFTLEEAESVSAACNEWRNSSVTADVPFFLVSIGSDSHAAVKHLKDLEACQRDGQKLLFAFYDPCHLPNNPGWPLRNFLALISARWNLKTVRFLCYRENRGFADLNLSLVGEALITVQQGWREQQCVPNAVGWELNKGRKVPRCINLAKSMDPTRLAISAADLNLKLMRWRALPSLNLEILFSLKCLLLGAGTLGCQVARMLMAWGVRKITLLDNGRVAMSNPLRQSLYTLDDCLNGGDFKATAAVRSLQRIFPAVEAEGIVMAIPMPGHPVSSQEEKSVLEDCKRLNDLIDSHDVIFLLTDTRESRWLPTLLCANSNKITITAALGFDSFLVMRHGPGPFNSILNLKAETENSLAAGMDNLALTNTDGQHRLGCYFCNDVVAPTDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGILHHPNGIFAEAEIANSSSGGNFELPLGILPHQIRGSLPHFQQMTLLGHSSNSCTACCSTVVSEYRKRGMQFILQAINHPTYLEDVTGLTELMRSANSFQLDWDDDIEVADDECVEL >KJB54353 pep chromosome:Graimondii2_0_v6:9:2334903:2336211:1 gene:B456_009G030900 transcript:KJB54353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKCSHCGNIGHNSRTCTTFRGSSVVGGLRLFGVQLGISSSSSDSLKKSISMDCLSSSASPSSPSPSSSLSSPRISMDELSDKTTSNIGYLSDGLMAPSHERKKGVPWTEEEHRVFLVGLEKLGKGDWRGISKNFVTTRTPTQVASHAQKYFLRQSTLNKKKRRSSLFDMVYTIYNYIIIISIVMVLQFTS >KJB54352 pep chromosome:Graimondii2_0_v6:9:2334683:2336282:1 gene:B456_009G030900 transcript:KJB54352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKCSHCGNIGHNSRTCTTFRGSSVVGGLRLFGVQLGISSSSSDSLKKSISMDCLSSSASPSSPSPSSSLSSPRISMDELSDKTTSNIGYLSDGLMAPSHERKKGVPWTEEEHRVFLVGLEKLGKGDWRGISKNFVTTRTPTQVASHAQKYFLRQSTLNKKKRRSSLFDMVRSSSMVAPHVNTSNSQHHHHLMFDKLQYGYIDSQTKTSNATAESMSSNLVPDLELKLAAPRPGLEENNKSSPTTLLIRSISVT >KJB55580 pep chromosome:Graimondii2_0_v6:9:6094156:6097715:-1 gene:B456_009G083500 transcript:KJB55580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEGVSRETQMSIEASSMFPGFRFSPTDVELISYYLTKKLEGYEKCVEVIPEIEICRYEPWDLPDKSVIKSDNEWFFFCARGRKYPNGSQSRRATEQGYWKATGKERNVKSGSNVIGTKRTLVFHTGRAPKGERTEWIMHEYCMNGKSQDSLVVCRLRKNSEFRLNNTSSRAPRNAPQLSPIRDSNCATSNGGADQTGTYKGDKAYLKKGTSSYDSHSIEQFDSASESEQKLSNEVGATDSSTPQKDSDAEEDYFAEILKDDIIKLDETPLSARPDISPRIASSSDAGQRRREQPRKENEPHVAHLQGTANRRIKLRKPKAADYVVAAKASTELERGVGEMSIDKLTSPKSGDSPKWLLSLFAPSTANLRFIYATFVILTLVALFLTVLLGGFQACKKLQIYATLLNNL >KJB56246 pep chromosome:Graimondii2_0_v6:9:8177551:8180256:1 gene:B456_009G112200 transcript:KJB56246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKNKMIEQQKPAAGSGSGSSSASAWPKYSIGASAIPTRFSFMKPANLPICPTSFNGVNPKPYSMNQVGGAGSSAGASSSATKTSFIQGCHSFAVGGEKTNTDKPPMPPVENSARTEAANVDVAQVRGGHHPEQNMDPKKLKRVISNRLSAQRSRIRKIQRLCDMEKKVQSLETLVAVLSSKVQREKDKHFLLRIEQRELRERIEAFANRETMVDARIEKRRAEVESLRQPQFTPQQQQMQVQTRPVWEQGLPTEQKMNPCPNNSGFGQAVYANSNQGGGGDGDYMGMEINRLNQLSLHQKNPGDVMMPDRQTSGGQLPNVGLDQYGVGELQNPSLCEPNPQQDGSYDSDIEEIEQILNFDPRNGLL >KJB55519 pep chromosome:Graimondii2_0_v6:9:5797384:5799335:-1 gene:B456_009G080600 transcript:KJB55519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPRPAYENLEVYTEWVHETAKDTLIAYLHGFKKEQLKVQLTSGGNLRISGEKPIGENKFSRFSKELPIPSNCDQSKIKANYKGSMLQVKFPKLIVPADEKPEKVKAVAEVPNPKPDHKPADVPEKQNNAVQEGHPKTTLEKQTGDDSNTDGVAKEAGKVSEKTPDKQEEMEDQRHASNGADHRLMEKEKNGKDQEVKSSSASEKPVDSALRAAQSGIVYKQVVEGLAKGVKDPRKVMNMVLAVLLVAVLAVYLRNAIMSLGNY >KJB55520 pep chromosome:Graimondii2_0_v6:9:5798001:5799335:-1 gene:B456_009G080600 transcript:KJB55520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPRPAYENLEVYTEWVHETAKDTLIAYLHGFKKEQLKVQLTSGGNLRISGEKPIGENKFSRFSKELPIPSNCDQSKIKANYKGSMLQVKFPKLIVPADEKPEKVKAVAEVPNPKPDHKPADVPEKQNNAVQEGHPKTTLEKQTGDDSNTDGVAKEAGKVSEKTPDKQEEMEDQRHASNGADHRLMEKEKNGKDQEVKSSSASEKPVDSALRAAQSGIVYKQVVEGLAKGVKDPRKVMNMVLAVLLVAVLAVYLRNAIMSLGNY >KJB58231 pep chromosome:Graimondii2_0_v6:9:15518279:15519265:-1 gene:B456_009G200300 transcript:KJB58231 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L31, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G75350) UniProtKB/Swiss-Prot;Acc:Q9FWS4] MALTLPNTFLQIKPSPPASLPPRKVVTARGGGYRPQVTCRKKDIHPEFHEDAKVYCNGELVMTTGGTQKEYVVDVWSGNHPFYLGNRSAVLVDADQVEKFRKKFGQLSEIMEIPVLKGEIILPTKRKAGKGGKKK >KJB54415 pep chromosome:Graimondii2_0_v6:9:2472986:2476959:1 gene:B456_009G033200 transcript:KJB54415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASDSGTTVQVIAALQCKCCGTSVQNDICTSMQIIVTLSCKLLTFAHILHVLLSLHLGNKITRQVNLKMSLITSPVHGSRHSVRSEGRPKM >KJB59745 pep chromosome:Graimondii2_0_v6:9:22451542:22453200:1 gene:B456_009G269200 transcript:KJB59745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTIFALSILLGFSYLATTVNAYAASGWTKAHATFYGGSDAAGTMGGACGYGNLYATGYGTMTAALSTALFDDGASCGQCYNIKCDYQTDPRWCIKGKSVTITATNFCPPNFALPNNAGGWCNPPLQHFDMAQPAWEKIGIYRGGIVPVLFQRVPCNKPGGVRFTINGRDYFELVLISNVGGAGSIQSVSIKGSKTGWMAMSRNWGANWQSNAYLNGQSLSFKVTTTDGVTRQFLDVVPSDWGFGRTYTSNQQF >KJB60933 pep chromosome:Graimondii2_0_v6:9:34434946:34438088:-1 gene:B456_009G331700 transcript:KJB60933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 8 [Source:Projected from Arabidopsis thaliana (AT3G02960) UniProtKB/Swiss-Prot;Acc:Q9M8T7] MGNKEQIVEEEIVLKIYMHCEGCVSKVINCLTGFEGIEEVKADMKSNRVVVKGQKAGPLKVLERVKKKYSTNVELISPKPKIVPNDHKVQELPKKQEIIIEVVSLKMNIHCEGCANDIKKSIEKMKGILNVEADMKKSMVTITGSLGASNIAETIRRRLGKHVEIVAVESKDKKKNNDKGNEKSNKKGEEEKIVVLNYPPQYSAQNICPNQIFSNQNIFSCSIM >KJB62822 pep chromosome:Graimondii2_0_v6:9:68904561:68904969:-1 gene:B456_009G438600 transcript:KJB62822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKCRTEALQVAAVAYGVNSVALHGPEKDKLMILGEGVDVACLTEALRKKLCHANIEIVEVKEPSPPKPPAPPTPPPQIIYCQQPPQFECCRVVADPSPAPCTIM >KJB59087 pep chromosome:Graimondii2_0_v6:9:18923832:18925025:-1 gene:B456_009G238300 transcript:KJB59087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSDSSSMQSASGVDEGCESPTESVPAFMNTNPLSNPHPSLVLHHQNHPPIFFDPSANYLNPFSQSQQIDSLLNIDGFRPLSQRPKPNCCTVDLGSFHLQGLSSSSQSTLGAQELNQGCLYPSSSSLQSRPYHDVRLLTKSDQKSVVKNPKKRTRASRTAPTTVLTTDTMNFRAIVQEFTGIPAPPFSGSSYSRRPDLFGSRSGMRSGHVETLGSLDPLRPSAKRVKPTPFLASSSPSLLNNPLADANITNTSSNNTVPTCSNYAQLPSDLGMLKQTQNMLNLQNQSPLLSFQSFLHPTTLQPCLNLAGFGVNSHGTSAMPSIDDLGMSHGNEDHLRPLDHWNRGSTASSSGFQHDKELENVSLRAEGTVDSWNCPADQ >KJB54122 pep chromosome:Graimondii2_0_v6:9:1648616:1649345:1 gene:B456_009G021800 transcript:KJB54122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPHSKPPNSLYNSRHPLVSQSSLAKMEKKRVMVQSKDLDFSTVKYEHEVTKAPRLTGLVAEVVSEDGRSSCYRFFDHVFFEKTEQNG >KJB55759 pep chromosome:Graimondii2_0_v6:9:6980283:6982979:-1 gene:B456_009G096200 transcript:KJB55759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEICYGVVSEGEASIPCEPSSRAARRRRMEIRRIKIVDVAPSEADNGRKRKNLQAYGASFSLDCENAVENCASDEDGKKRTVKPKNGRLKTKGTIMKSNSSPSLLIPEIDSELHPKFGVASVCGRRRDMEDAVAIHPSFHRQGQDSAAIGFHYFGVYDGHGCSHVAMRCRERLHELVKEELASEEEWEGAMERSFTRMDKEVIKWNESVDGANCRCELQSPECDTVGSTAVVAIVTPDKVVVANCGDSRAVLCRNGRPVPLSSDHKPDRPDELNRIQEAGGRVIFWDGPRVLGVLAMSRAIGDNYLKPYVSCEPEVTVTDRTAADECLILASDGLWDVVSNDTACGVARMCLRGKCDVQAPLLSPEGEAVVGSMMGGEIPDKACADASMLLTKLALARHSTDNVSVVVVDLRRAT >KJB61848 pep chromosome:Graimondii2_0_v6:9:52373581:52374300:-1 gene:B456_009G3861002 transcript:KJB61848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLKELKREEEIAKAKQAMERKKKLAKKAAAKAARRAQMEEREKRAKKKVAGSTNAVNPEELIEAVAQDSEPEKVDVNTDAPVPATISMKGKVPKENTSRYRNQTKRTESLPKAILKRKKSMNYWIWAAPAVVVVLVLLAFMLLLSCLKKF >KJB54783 pep chromosome:Graimondii2_0_v6:9:3562544:3566591:1 gene:B456_009G049000 transcript:KJB54783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTGAQASPVVVFSMFMLFIVLFFSFVVSDNGKEVEILLSFKSSIYDPSGFLSNWDSSATFCQWHGVTCNNNLSHVDKLDLSAKNLTGKLVSSSIFHLPFIQTLNISNNQFYDEIPEDIFSSSSSSLRFLNLSNNNFTGQIPSGSIPGLEVLDLSNNMLSGKIPPEIGSFYSLKFLDLGGNVLVGEIPVSITNITGLQFLTLASNQLVGPIPHGLSKMKSLEWIYVGYNNLSGQIPEEIGMLTSLNHLDLVYNNLTGEIPSSLGNLSDLQYLFLYQNKLTGSIPDSIFGLKKLVSLDLSDNSLSGEISELVIHLQNLEILHLFGNIFAGKIPKALTSLPRLQVLQLWSNRLSGEIPESLGRNNNLTILDLSTNNLTGRIPDGLCSSSRLFKLILFSNSLEGAIPKNLSTCTSLQRVRLQNNRLSGELSSEFTKLPLVYFLDVSNNDLSGNIGDQEWDMPALEMLSLAGNRFSGRLPNSFGSQKIEDLDLSGNGFSGTIPRSFGSLTELMQFSLSGNKLIGEIPEELSSCKKLVSLDLSHNQLSGQIPSGFAEMPVLSQLDLSGNQLSGEVPPQLGKMESLIQVNVSHNHLHGSLPSTGAFLAINSSAVSGNDLCGGAETSGLPPCKKVKNLNWWFYVACSLVALVLLAFAAFGFIFIRKRNNLELKRVENEDGIWELQFFDSNVSKSVTVDDITLSAKQVNGICRGNKSSANDFQFVVKEMNDVNSIPSSFWSEIKQLGKLQHPNLVNLIGTCRSDKNAYLVYEYIKGKLLSEILHELTWERRRKIAMGIAKALKFLHSYCSPSIIVGDMSPERVIVDGKDEPRLRLSLPGLLSTENKAFISSAYVAPETRESKDMSEKSDIYGFGLILIELLTGKSPADAEFGDQHQSMVEWARYCYSDCHLDMWVDPMIRPGHASDVNHNQIVETLNLALHCTAGDPTARPSATDVSKTLQSAFRITSCVSTLKLSSSV >KJB63147 pep chromosome:Graimondii2_0_v6:9:70622091:70627195:-1 gene:B456_009G455100 transcript:KJB63147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVWRVIVCLSSPEQGLTGIFGLWLLLLFHHLQARQLVSAQKQSNDDVIKLMAFKRISVSSDPHGVLANWTEDSPSPCSWRGVSCSPDDGRVTALNLSYSGLVGALHLPNLTALSSLRHLYLQGNSFSAADLSAVSCSLETLDLSSNAISNHLPAQSFFSACNRLASVNLSRNSISGGSLMFGPSLLQLDLSRNQISNSALLNYSLSTCQNLKLLNFSDNKFTGTLGFSPLYCKNLIVLDLSCNLFSGPIPPSLMLNSLELLDLSHNNFSGKFSTLNFGQCSNLTQLSLSHNTLSDSGFPVSLRNCHLLEALDLSHIGLQGKIPGGLLGNFKKLKRLSLAYNLFTGEIPPELGQACGALEELDLSSNKLTGGLPSAFTSCSSLQLLNLGNNLLSGDFLSAVVSSVPNLRYLYVPYNNISGSVPFSLTNCTQLQVLDLGSNAFKGSIPPGFCSSTSALEKILLANNYLAGSVPMELGNCKNLRTLDLSFNGLNGPIPINIWNLPYLSELVMWANNITGEIPESICLSGGNLETLILNNNLISGSIPQSIGKCTNMIWVSLSGNNLTGEIPSGFGDLPKLAILQLGNNSLTGQIPPELGKCQSLIWLDLNSNDISGALPPELANQAGLVMPGGVSGKKFAFVRNEGGTACRGAGGLVEFEGIRPERLESFPMVHSCSSTRIYSGMTVYTFTNNGSMIYLDVSYNNLSGSIPENFGTMSYLQVLNLGHNKLRGNIPDSFGSLKAIGVLDLSHNNLQGYLPGSLGTLSFLSDLDVSNNNLTGSIPTGGQLTTFPASRYENNSGLCGVPLPSCATGGHSTSLHPRNKKPPVAVVMVVGITFFLLCILGLTLALYRVKKNQLKEEMREKYVESLPTSGSSFWKLSSVPEPLSINIATFEKPLRKLTFGHLLEATNGFSAESLIGSGGFGEVYKAQLRDGCVVAIKKLIHITGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKWGSLESVLHDKAKGRGSRLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDENFEARVSDFGMARLVNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVILLELLSGKRPIDPSQFGDDNNLVGWAKQLHREKRVDEILDPELMMKESGEAELHHYLRIAFECLDDRPFRRPTMIQVMAMFKELQVDSESDILDGFSLKDDIINES >KJB62040 pep chromosome:Graimondii2_0_v6:9:56107144:56109040:-1 gene:B456_009G397900 transcript:KJB62040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDFLNRLLLLVFGYAYPAFECFKMVEKNRVEIEELRFWCKYWILVAFLTIIERITDIFVSWLPMYGEMKFAFVIYLWYPKIKGTNFVYDTFVRPYVAKHETEVDRKIMEMRARAWNVFMHYWSNCSEMGLTKFFEMFQYLAGQSAKLNQAVKQVNHVDQVHKKSDDRSLNVPLPPSPNGLRSPMARNKAWSSAAINRVNSPRFSQSQEDTSPTEYEFDNDDDSIPYSPSNSRLQQARLKLRRTKTQN >KJB59800 pep chromosome:Graimondii2_0_v6:9:22853692:22857672:-1 gene:B456_009G273100 transcript:KJB59800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQASGQPQPQAQSQPSNDSSDATQCQTQRQAQTLTKTENSNDAFAAAAPTVTTALVVSASTELTDGSPLTSSPPSKIPSRPRKIRKLSPDSNSEPNASQQATTSTTSTSVAVPLKTVPRAPKAKLSQHRALVVAPQFFARSLSCEGEVETAVRHLRNADPLLASLIDLHPPPTFDTFQTPFLALTRSILYQQLAFKAGTSIYTRFIALCGGENGVVPETVLSLTPQQLRQIGVSGRKASYLHDLARKYQTGILSDSAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLGIRKGVQLLYSLEELPRPSQMDQLCEKWRPYRSVASWYLWRFVEAKGAPSSAAAVAAGASLQPLPQEEHQHQQQPQLLDSINSILDLGACTWGQ >KJB54667 pep chromosome:Graimondii2_0_v6:9:3182719:3185742:1 gene:B456_009G043900 transcript:KJB54667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSLSLLLSAWKEILKLQVFSYAMEKVIVRSGEKDDSQFPIKEPKLEASDYFKHLAFDQGNANSVPSNDKANGIVIPVKPTIKLPKPVIMFSPRPLSELDAAATKLQKVYRGYRTRRNLADCAVVVEELWWKTLDSAALRRCSVSFYEIEKHETVISKWARAKTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDVWSASKSSQPFFYWLDIGDGKELNLKKCPRTNLQRQCIKYLGPKEREAFEVIVENGKLVYKQSGMPVHTTEGSKWIFVLSTTRSLYVGKKKKGVFQHSSFLSGGATTAAGRLVASHGFLEAVWPYSGHYLPTEDNFKEFIIFLEEHNVDLTNVKRCAVDEGYTSNKVVGYESKHGVIKDTMETEKSRDSKTVEVDDEPANDECIRTESLEVSIDSSAAQAFNMAKPLSCKWTSGIGPRIGCVREYPKELQFQALEQVNLSPRVTPGRFGTSVPIPSPRPSHKIRVSPTLAYMGLPSPRVSLLAAFTK >KJB54668 pep chromosome:Graimondii2_0_v6:9:3183071:3185742:1 gene:B456_009G043900 transcript:KJB54668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSLSLLLSAWKEILKLQVFSYAMEKVIVRSGEKDDSQFPIKEPKLEASDYFKHLAFDQGNANSVPSNDKANGIVIPVKPTIKLPKPVIMFSPRPLSELDAAATKLQKVYRGYRTRRNLADCAVVVEELWWKTLDSAALRRCSVSFYEIEKHETVISKWARAKTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDVWSASKSSQPFFYWLDIGDGKELNLKKCPRTNLQRQCIKYLGPKEREAFEVIVENGKLVYKQSGMPVHTTEGSKWIFVLSTTRSLYVGKKKKGVFQHSSFLSGGATTAAGRLVASHGFLEVHLLHFKYQSPIKPICLNSLKFFSSSPGCLALQWSLSTN >KJB57415 pep chromosome:Graimondii2_0_v6:9:12491454:12492314:-1 gene:B456_009G162700 transcript:KJB57415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYHEEDVREVSPAETSYPKCVEINTASHLKKTAEVSLIKVTRFTNTISLVNPLSFMTKYPCPSARKSSKKSD >KJB57383 pep chromosome:Graimondii2_0_v6:9:12293652:12294411:-1 gene:B456_009G160500 transcript:KJB57383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSSLVIIFLVIISASFVPQMESRKLLNAGERNENVPSLFASLMLSALPKGSKVPASAPSKKGHATLDNEKRFARHLARIDRILQSVPSPGAGH >KJB61287 pep chromosome:Graimondii2_0_v6:9:44497954:44500014:1 gene:B456_009G350000 transcript:KJB61287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFHLILLHFSKEPDASYLVNSSWVLIAGDPQARLFTISLLNLLLGSEPQRMDSVKTALFKRHSNYNISVDEIGMKLDFVWAPYVLNLTHLLTDFKTKKKYPDVMVMGAGLWHMLHVSNPSDYELVLPRLKSSLVSLFPFSTDISNAYGIAEVVVMLVTTALVTLVMLLIWQTNLLMALCFPLLFWSIELIYFSAVLLKVMEGGWLPLVFATFFLTVMYIWHYGSVLKYHSEVREKISMDFMIELGSKLGTVRVLGIGLLYNKLVHGIPSIFGQFLLSLPVIHSTIVFVCIKYVPIPVVPQEERFLFRRIGPKDYHIFRCIARYGYKYVQKEDHHAFEQLVQS >KJB57397 pep chromosome:Graimondii2_0_v6:9:12417096:12419431:-1 gene:B456_009G161700 transcript:KJB57397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDPTLESLKDDELEVICDVINECIQIDARQRPTMKALNQSENDGNLTDNTCAQLVERSLDSFLQYIEKRVSGLFSPSWNTALENSLLWITGCRPSPYIRLTYAHCGSQVEYQLSEIIQGLAWGNLGQILATQLRMINNLHIKTIEEEEKLSSQLAGLQENIADQPIAMVAKRMSRVGESSGNVDHALDELESSMANILQEADKLRLSTLKELLAILTPLQGVDFLVASKKLHLCMHKWGKTRDNRQGRR >KJB55378 pep chromosome:Graimondii2_0_v6:9:5231922:5233184:1 gene:B456_009G073200 transcript:KJB55378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDMQKEDPYAALATSVRRELETLLPLPHKRCIFQVPDRLRRLNDKAYTPRVISIGPLHHGQQIFKPMEHYKRRFLRDFLVRTRVSVKDCVMIVKDREAKLRDCFAQIIELSSDDFVKMVLFDAIFIVELLFRYNFGELWNDHILGCPRMIHDIQLDLCMIENQVPFFILEDLFNLAMESAFYCDEFSIKNMVLKFGIWAWGPYVREENLQQDFSQVEHVVDLLWLCFQPTSFSFKTEIENFKIPSAMELQQAGVKLRPGSSKNLFDIRFDNGVLEIPQLLVMDRTKVIFRNLMAYEQHYCSRNYVTDYVTMISFLVKSPRDAQLLIKNGIIENCLKDSEEVSTLFKGLVEEVRISRKNFELAGVVEDMKAYCKSRWHRWNATLKQDYFNSPWRSLSIIAATLLLPLTLVQTICAVIQVI >KJB57424 pep chromosome:Graimondii2_0_v6:9:12499797:12501047:-1 gene:B456_009G163000 transcript:KJB57424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSLNLGWCDNVGDLGVTSLAYGCPDLKCLDLCGCVRITDDNVIALASGCLHLRSIGLYYCRNITDRAMYSLAYSRVKNKASIWQPMKGRYDEEGLRSLNISQCTALTPLAVQALCDTFPALHTCFGRHSLVMNGCLNLTSVHCACAVQSHRTLNSILHTAH >KJB58012 pep chromosome:Graimondii2_0_v6:9:14602520:14605821:1 gene:B456_009G190200 transcript:KJB58012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISSEESFDQISEQLESYNLSADVSESESSSGFSCRRFDRGGSSSLTSSPPAGSEFVDGASFKERLPVMLPVVGGRHVVIPMTKAEVSEAEMSEIELMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSASVFGELWKLEPLSPQRKLMWRREMDWLLCVSDSIVELKPSLQEFPGGGTFEVMVARPRSDLYVNLPALKKLDAMLLSILDSFHDSEYCYADRGVVISDNDGIEAFPSSLTSEWPSIRQEEKWWLPFPKVPPSGLSEDSRKRLQQCRECTHQILKAAMAINSNVLAEMEIPNAYLKSLPKCGKDCLGEGMYRYLTADQFFPECLLDYLDQSSEYTTLEIANRVEASVHIWKQKYSKRHSLRAKVGKSSWGGKVKGFVGDIETTKVLAQRAETLLQNLRLRFPGLPQTSLDVSKIQHNKVLHLTMN >KJB58010 pep chromosome:Graimondii2_0_v6:9:14602354:14605845:1 gene:B456_009G190200 transcript:KJB58010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISSEESFDQISEQLESYNLSADVSESESSSGFSCRRFDRGGSSSLTSSPPAGSEFVDGASFKERLPVMLPVVGGRHVVIPMTKAEVSEAEMSEIELMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSASVFGELWKLEPLSPQRKLMWRREMDWLLCVSDSIVELKPSLQEFPGGGTFEVMVARPRSDLYVNLPALKKLDAMLLSILDSFHDSEYCYADRGVVISDNDGIEAFPSSLTSEWPSIRQEEKWWLPFPKVPPSGLSEDSRKRLQQCRECTHQILKAAMAINSNVLAEMEIPNAYLKSLPKCGKDCLGEGMYRYLTADQFFPECLLDYLDQSSEYTTLEIANRVEASVHIWKQKYSKRHSLRAKVGKSSWGGKVKGFVGDIETTKVLAQRAETLLQNLRLRFPGLPQTSLDVSKIQHNKDVGQAILESYSRVMESLAFNITARIDDLLYVDDATKQRASATAELMPVNEPGQIGAALPIQRRILPSPFSYQRSLAGATSGMGASNDSDEIDESPDRRTCQLITNGNLRDALAGSLERLAF >KJB58011 pep chromosome:Graimondii2_0_v6:9:14602470:14605822:1 gene:B456_009G190200 transcript:KJB58011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISSEESFDQISEQLESYNLSADVSESESSSGFSCRRFDRGGSSSLTSSPPAGSEFVDGASFKERLPVMLPVVGGRHVVIPMTKAEVSEAEMSEIELMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSASVFGELWKLEPLSPQRKLMWRREMDWLLCVSDSIVELKPSLQEFPGGGTFEVMVARPRSDLYVNLPALKKLDAMLLSILDSFHDSEYCYADRGVVISDNDGIEAFPSSLTSEWPSIRQEEKWWLPFPKVPPSGLSEDSRKRLQQCRECTHQILKAAMAINSNVLAEMEIPNAYLKSLPKCGKDCLGEGMYRYLTADQFFPECLLDYLDQSSEYTTLEIANRVEASVHIWKQKYSKRHSLRAKVGKSSWGGKVKGFVGDIETTKVLAQRAETLLQNLRLRFPGLPQTSLDVSKIQHNKDVGQAILESYSRVMESLAFNITARIDDLLYVDDATKQRASATAELMPVNEPGQIGAALPIQRRILPSPFSYQRSLAGATSGMGASNDSDEIDESPDRRTCQLITNGNLRDALAGSLERLAF >KJB63000 pep chromosome:Graimondii2_0_v6:9:69948619:69948924:1 gene:B456_009G448400 transcript:KJB63000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALVFADLMFFACWFYYHKANPKLAWFRDVESILNHHLARLLGLGYLSWAGHQVHVSLPINQFLNALVDSKEIPLPHEFILNHDLLAQLYPSSVEGTTPFLP >KJB59448 pep chromosome:Graimondii2_0_v6:9:20957729:20958447:1 gene:B456_009G255000 transcript:KJB59448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLPNFLPLFLILCYVGSTMAAVHIVGDQLGWRPHVNYYSWVRSRSIKLGDTLVFNYDATKDYSVAEVTQFKFIACNASNAKFFDNSGTSSVTLTEPGQHYFMAQNHCLDDQMVFSVLV >KJB54981 pep chromosome:Graimondii2_0_v6:9:4126241:4128054:-1 gene:B456_009G057200 transcript:KJB54981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDMEITIKESSMVYPAEETPKHRLWSSNLELLMTLHHVPTVYFFRPNGSSNFFDTRVLKEALSKVLVPFYPMAGRLGRDENGRIEIVCNGKGALFLEAETDYVIDDLIGDTMINSSELWRLVPKVDYFADISSYPLILVQVTRFKCGGVGFGIGIQHTLADGPTSVHFINSLAEMARGLPLSTRPCIDRSLLLARVPPTPTFHHVEYDPPLSMAATKGPRSDPETTVSVFKLTRDQLNTLKAKANKNSDGGVYSTYNSLAAHIWRCVNKARCLLDDQATKLYIPIDGRSRLRPPLPPGYLGNVIFMSATIASHGDIQCESFSDTAKRIQNILRRTDDEYLKSAIDYMEKEPNIKAPVRGARGFQCPNLSINSWMWLPLYDADFGWGRPIWLGPAKVSQDGKTYILPSPANDGSLSVMSCLDTSHMKIFGQLFYEF >KJB58155 pep chromosome:Graimondii2_0_v6:9:15153175:15157905:-1 gene:B456_009G196700 transcript:KJB58155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEELQLHEQGEKIFVSIRLRPLQDKARNRICDWECANNDTIVFKNSLPERSMFPAAYTFDRVFDSESNTIQVYEEGAKHIALSVLEGINSSIFAYGQTSSGKTYTMRGITEYAVSDIYDCIERQEERDFVVKFCAMEIYNEAVRDLLSPDSYPLRVLDDPERGTVVEKLTEVVLRDRDHLHELLTICEAQRQIGETALNETSSRSHQILRLTIESSAREYAGAGNSSILAASVNFVDLAGSERASQTSAAGTRLKEGCHINRSLLTLGTVIRKLSKGRTGHVPYRDSKLTRILQNALGGNARTAIICTMSPERIHVEQSRNTLLFAKCAREVRTNAQVNLIMSDKALVKQLQRELARLEKEMRSLGSASVKGDTAGVLREKEKLIGQMAKEIEDLTWQRDLAQSRVENLLLSVREVQMLKQSTNSSKVAKVPCTVGSNKRKDTVAPSANNQYLGLAENPEEDFLLDGMTPKFVGPDPCKDWEIFEKMDQEFEDREVPCVEIGDSRNTMNKEAGVHFLSLHNNEGKLGMEEAIVKKTGADDFVTDPKNIEGKLTVNESSVEKQEGDGFSTDPVDKQGKLGMIITTNENVVSSPRQEDLQSSPAYNDQTYKGLNQRIHELQRTVNFLVNVQHVDQSPSFSDISNSSSRRSLSRSKSCKAVVSTVPSSPYFEKEALNGSTPLFTGVERDFVDRPRDLYQKFSELKFDNISENMSRRNSRTSVSSSSVDTLNLKDLDAEDTFGATNFAPRPWKSISEDPLARISTSTVLAAGGSQTKLPSEKQIDNNSDDKQLQSNWPSEFEEKQRQIIELWDACNVSLSHRSYFIQLFKGDPSDCLYMEIELRRLKFQKDSISDDNGSQRESPICTTASGEKELIRERVMLSKQIRSKLSRKQREELYKKWGIDLNTKQRSLQVARLVWTDTKDMEHVRESAAVVAKVLGFVEPSQAPREVVGLSILPRFLSRRSHSWSLRLPSLF >KJB63167 pep chromosome:Graimondii2_0_v6:9:70667013:70667935:-1 gene:B456_009G455700 transcript:KJB63167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVVVAQQRNQYCSRVKPQILEGLIVVLFNLEQDCSRPRLKYSSTPLTKRPSSPPSSSSSPKTPSPFADGTHSKATRKSSPIPINCSRAPRNDKPFNVEIPGDGFLYSELWRIYALNSTFVFLPFHLCFGVARKKNSKTLYCDFLYCFCFWDFWNPLLHQF >KJB54555 pep chromosome:Graimondii2_0_v6:9:2821187:2822998:1 gene:B456_009G038400 transcript:KJB54555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIDEEISIKKRSRAGFSKDIEIVDIERDSFCFTPIKDKGNSKTNAISVEQYSEERDLQLAIKLSTITSDTNFIDLDNYDDGLFLLNFQPPKTDFGKKREKTKKPFSHLSVTEPGESSNCKTNQNPSFTCEICIEPKQPNESFNIKGCSHAYCTDCMIKYVASKLQDKITVISCPVENCEGLLEPEYCRNILPKEVFDRWGDALCEAMVLGLQSFYCPYKDCSMLLIDDGEEAVKESECPNCRRLFCAQCKVPWHAELECGEFQRLHKDEREKEDIMLMKLAKEKKWARCPNCRFVVERTQGCRFMRCRCGGAFCYDCGTTQVDNHHHYCYNCKR >KJB57478 pep chromosome:Graimondii2_0_v6:9:13240772:13241867:-1 gene:B456_009G171200 transcript:KJB57478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYIDFMGKLEWVNSYGKKQCRSLFWRAKAAMKKALKGRGNKKQFKFQYDPSSYALNFDDGYCHSGVEVNTIELARIQDCSECQNDIILVYVLRVKS >KJB61012 pep chromosome:Graimondii2_0_v6:9:35938781:35939929:1 gene:B456_009G335500 transcript:KJB61012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDIWQLQLELKLITTLHLPFRFLSLLAISFSFLHFSFSFYSNMTSLSITTTATTPFTFPFHCFFDKHYFPITKRIQLKLSRGGTIESNKFSGKSGSNKLFVASSCLTKIQTPVETTYKQGVSHKVQCLVAEFKSLTEPIDRVKRLLYYAEMLAPFDESARLPENRVNGCTAQVWLDAGIDKKGKVWFRADSDSEISKGFCSCLIWVMDGADPEEVVGVTAEELVELNVGVHGKVQSRVNTWQNVLISMRDKTAALVAERHMK >KJB60201 pep chromosome:Graimondii2_0_v6:9:25436155:25437893:1 gene:B456_009G293400 transcript:KJB60201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELISLGKITVPLVISGLIFYSKSLISMFFLGHLGKIELAGGSLAIGFANITGYSVMKGLATGMEPICCQAFGAKKWTVLSETFKQTLCLLFLGAIPIIVLWLNMEPILLLIGQDKTITLVAKVFLTYSIPELLAQALLSPLRIFLRAQSLNLPFTISATWAMILHLPINYFLAIYLDLGVKGVALASACNTLNINLALLVYLFFTETTIKPWDGQMLTNSYRDWHSLVSLMIPSVASVCLEWWWYEIMVVLCGLINNPETNMAAIGILIQTTGLLYVFPHSLSASLSMRIGQELGAEAPAQARQTTITGLIIAMVCGILAFTFTIAVKDVWGKLYTCEPEVLALTSVVLPILGFCELGNCPQTAACGILIGSARPNLGACINFCSFYLVGLPVSIFAAFGLKMGFLGLWFGLAAAQVTCVCMMICTLVFTDWKHQAKRAKELTQATRDHNNDLEANLLS >KJB60769 pep chromosome:Graimondii2_0_v6:9:32172759:32173679:-1 gene:B456_009G324700 transcript:KJB60769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKGQRRTMGTYFTLLNALAEDGRLEEAEELWVKLFSDNLESTPRIFFDKMISIYYHKDMHEKMFELCFFFCHLFKTLMQYHNLNG >KJB53741 pep chromosome:Graimondii2_0_v6:9:295388:297933:-1 gene:B456_009G003100 transcript:KJB53741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLKFHEKKLLKKVNFLEWKREGGHRENLILHRYHVTGRDDYKKYSSLCRMVQKLVNILKQMDPRDPFRIEMTDLLLEKLYNMGVIPTRKSLALCDRLSVSSFCRRRLSTVLVHLKFAEHLKEAVTYVEQGHIRVGPETVTDPAFLVTRNMEDFITWVDTSKIRRKVLEYNEKLDDYDAMN >KJB53742 pep chromosome:Graimondii2_0_v6:9:296303:297943:-1 gene:B456_009G003100 transcript:KJB53742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKLVNILKQMDPRDPFRIEMTDLLLEKLYNMGVIPTRKSLALCDRLSVSSFCRRRLSTVLVHLKFAEHLKEAVTYVEQGHIRVGPETVTDPAFLVTRNMEDFITWVDTSKIRRKVLEYNEKLDDYDAMN >KJB61415 pep chromosome:Graimondii2_0_v6:9:46760065:46760551:1 gene:B456_009G356400 transcript:KJB61415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNVYSALLVLFLTCGAAMATKENDQIIKENNCETKMGLPCVLEAFTSIFKTGSISNKWCGELIGLGKVCHSALVKRTLENPLFKDLSPATIIAKSIQTWNNCLALIDSPSPSA >KJB62388 pep chromosome:Graimondii2_0_v6:9:63410996:63414100:-1 gene:B456_009G414600 transcript:KJB62388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAQPLPLTPALTLINRQTSTRFRHINNLHCFTPKTWSRNADTSFDCRFPFLSYSISPPLHPSFPLCSRFPLPRPPLPSLFPLGFHPLRSFLMSSMATPQAAASDSSQPSETKTVRVVIKGRVQGVFYRNWTIENATQLGLKGWVRNRRDGSVEALFSGTPDSVEEMEQRCRRGPPAAMVTGLQDFPSDDDPGTGFQRKQTV >KJB61813 pep chromosome:Graimondii2_0_v6:9:51960839:51962844:-1 gene:B456_009G382900 transcript:KJB61813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLMGTKDYENGLNFLEELTENGHRIQEQVLEEILLRNAGTEYLTRFLHGRTDKQLFKNNVPIVTYEDIKPYIDRIANGETSNILLADPISEFIQSSGTSGGQPKLIPMTAENFEKKTLELTLVDPVVKKYFDGLEKGKTMSLFFVKKGSETPSGLTVRTLSLCYFMSDSFKKSAPKICTSPIETILCLDHRQSMYCQLLTGLLQRDHVVSISSIFGSVLARSIKFLEDHWNELCSNIRTGCLSDWITDPGCRNAVSSILTRPNPELADTIEHICGNESWEGIIKKLWPAAKYINSVITGTMSLYISLLDFYGGGTPLVSPSYGSSESTFGINLNPLSNPYDVSYTFLPNMAYFEFLPVDKDGEEKARESNLDGVSSQRSLEMTNANGNVEPVDFANVELGQYYEVVVTTFTGLYR >KJB61814 pep chromosome:Graimondii2_0_v6:9:51960247:51963002:-1 gene:B456_009G382900 transcript:KJB61814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLMGTKDYENGLNFLEELTENGHRIQEQVLEEILLRNAGTEYLTRFLHGRTDKQLFKNNVPIVTYEDIKPYIDRIANGETSNILLADPISEFIQSSGTSGGQPKLIPMTAENFEKKTLELTLVDPVVKKYFDGLEKGKTMSLFFVKKGSETPSGLTVRTLSLCYFMSDSFKKSAPKICTSPIETILCLDHRQSMYCQLLTGLLQRDHVVSISSIFGSVLARSIKFLEDHWNELCSNIRTGCLSDWITDPGCRNAVSSILTRPNPELADTIEHICGNESWEGIIKKLWPAAKYINSVITGTMSLYISLLDFYGGGTPLVSPSYGSSESTFGINLNPLSNPYDVSYTFLPNMAYFEFLPVDKDGEEKARESNLDGVSSQRSLEMTNANGNVEPVDFANVELGQYYEVVVTTFTVGDVLKLTGFHNNSPQFQFVERRNTVLSIDMDKTSEADLLKAIGNAKRHLEPLGFVLMTFSSYAETSSIPGRYVLFWELKSNKIAALELRVVKVGTFDAVMDFYVSKGASINQYKTPSCIKSEEAVKVLNSGVLEKFFSPKTFF >KJB57499 pep chromosome:Graimondii2_0_v6:9:12861280:12865438:-1 gene:B456_009G167300 transcript:KJB57499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNLYQMDPQSAAEKAVSVIGFGFDLCRDVRLSACLPGPSGSRLIELDSAATRDLVFPDGVVVKDVPNSIKYDKGERTRFRSDVLSFSQMSEKFNRDLSLSGKIPSGLFNAMFEFKGCWQKDACAAKGLAYDGWFLTLYNVELERTNITLSERVKQEVPTTWDPAAIAEFIEKYGTHVVVGVKMGGKDVIHVKQLQNSNLQPNEVQKTLKQLADERFSENIGTSPFSEKPKGEHYIPGQLHQGVLAGSMRPPVVTCSKNNDIMNIYIRRGGIDFGQSHSQWLSTISQSPNVISISLVPITSLLSCARGNGFLSHAVNLYLRYKPPIEELHVFLEFQIPRQWAPVYSDLPLGLKHRKQSSPSLQFTFMGPKLYVNTARVDTGKRPVTGIRLYLEGKKSDHLAIHLQHLSTLPTILPLSDDHSYESIVEPERGYLEPVKWSIFSHVCTAPVHYNGTHFECTAPIVTKAWFEVKVVGMRKVLFLRLGFSMVASARLRRPEWDGPSTLSRKSGMFSMLISTRFSSGLNPNEKPTKVDLNSAVFPGGPPSPTKAPKMTNFVDTKEIVRGPQDTPGYWVVTGAKLCVEGGRISIKAKYSLLTVISEESLMLM >KJB62884 pep chromosome:Graimondii2_0_v6:9:69319445:69323105:1 gene:B456_009G441500 transcript:KJB62884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSPVLDIVARLWDFGVKHVAHVKHLGKRLEELRTAMDDLGDHRDDVRIRVEMGVRSQREMVTKRVEKWLSKVETIEQQVIALIHEGNLQVERKCLGCCPRNLQKAYKIGRKVLNKTAEVKELLQNGYFATLTRRLSPLSSPSTAPGSPQMMAQFPMENTVGLDSKVEDVWEKLEDGNVGIIGLYGIGGVGKTTLLKKINNEFSIRDPVFDSVIWVTQSEATKVEEFQDIVRRKLEISDDTWQKCSNENDRACEISRLLSRTRFVLLLDDVRKGFFKSQLTSLGIPLPNKGNGCKIIFTTRSEELCGYLGAQGRIKVECLPPEQALSLFSMTVGEDILNSNPEIPKLAEIVTARCSGLPLALLTVGSVMASRKSYHDWICAVEMLQSYPSEFSGMGDLVFPPIKFSYDSLTSPIARKCFLYCSIFPENWTISVDELIDLWIGEGLLDGTNPRRQGEFIIRTLKLSCLLEGDESMESVWMHEMIRDMALWLARDEEKNKNKVLVARSGTLTDQEFSKWADSSWVSVWGSSDKEIIHYPPTCPNLSTLLIRDTLVNAFPGGFFEFMTCLKALDLSGNQELVELPPEIGRLRILQYLNLSLTSITKLPTGLSNLRNLRCLLLDYTMHLKEIPPKEVMSCLSLLQVYSKMNGVMEYFDEVKVSVDDELAFLEVLEGFSCMNKICITIFCHPSVEKIFSSYSKRSCIRKLKLIDCTGLTSLRAVHALPNLGKLEIFRCCSLREIRFSYRDEFHNLRQVHVGVCPLLPNLNCLAYARNLEILTILDCQSMKQVISEEEIAGDGQDTSEGRVLFKVFPKLKMLSLTCLPSLETICRYTKPFSSAIEIEVSRCPCLRQLPFDNESVDLLKKIRGELEWWAALDWYSYSVMEACNFKFKPTSAPSGKAKEMTSSTSMKKPAKASKS >KJB61810 pep chromosome:Graimondii2_0_v6:9:51906989:51910335:-1 gene:B456_009G382600 transcript:KJB61810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPVRGKGKTDHRFDNVDHALSLFNKMIEKYPKPSIVEFNKLLGAIVKMKHYAIVVPMCSQMELLGVSHDVYSMNILINCFCQLGRIDFGFSVLGKMLKLGVEPSAVTFSTLINGLCNQSKMSEAVCMFDEMTEKGYQPNLIVYNTVLKGLSKTGNTDRAVRFLRLMESRGYEPDIVAYNTVIDCLSKNGLLQEALDLFSQVKVKGIRPDIITYNCLIHGMCNLGQQEEATRLLNEMVDNNISINIDTYNILIDALCKEGTISKAVETIDMMRKQGIEPNVVTYNTLVDAHCKEGMVSEAEDIVDAMIKRGIEPNVVTYSALVNGHWLQNKMDKARRVFNLMIEKGCAPNIVTYNTMINGYCKGKRLDKAMELFHEISRKGPIPDTVTYNTLMQSLFQLGKVSTACELFRKMLASGQVPDTATCLILLDGLCKTGHIEEALKLFQAMRNGGLEPDIVPYTILIDGFYKAGHIEVAKELFHQLSDNGLKPNVYTYCIMINGLCKEGLPDEAYRLFGSMGDNDCLPNSCCYNVMIRGFLHNSYTSKATQLLSEMVDGPQGNHNRHQPPIKQRFRYQLIKA >KJB61259 pep chromosome:Graimondii2_0_v6:9:43519075:43519869:1 gene:B456_009G348600 transcript:KJB61259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLFGRQMEERQDTDVRTMTDEINDLLEKLKFSEEETIRVVCTSEINNARGFESWAIGKIMAIEIPNKEAMYRVFKSLWFTKEEVDFVALKEGPVIVKFRCTEDRSRILNLSPWLFDRCLFSMLQFEKGKEIDLYEFWMSPFWLRVYNIPIELMDRQMALDIGNAIGDLVAIDWKDRNGGWTEFMRLKVKINVLKPLRRIVKLVGRDGTETIGLLKYKRLPDSCYECGIIGHTVKKCTLIKENDGVNGLSPQYGSWMRAPIGTQN >KJB63094 pep chromosome:Graimondii2_0_v6:9:70402923:70404522:1 gene:B456_009G452900 transcript:KJB63094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKMVLKVQMNCEKCRTQALRIAAASQGVTSMAIQGQEKDELMVAGNGVDSVKLTRCLRKKLHHATILIVEEIKEKKKEEKKDDEKYTSPYYVYPCQYQACSIM >KJB57219 pep chromosome:Graimondii2_0_v6:9:11863296:11863731:1 gene:B456_009G154700 transcript:KJB57219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGMSRENVKVVLIGTQYVETDPVSFKSVVQRLTGKDCCVSWIEESSFSGIKTETKVHGKVAAERPCGTATVGVGTGGVPLLTKGFSFKDLDRMIMEAPPMEEFKWLWDD >KJB53515 pep chromosome:Graimondii2_0_v6:9:69634456:69635780:1 gene:B456_009G445200 transcript:KJB53515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVSEKLMVYCLVAIFVVIAMVEGAKGATICNIPSSRLNLCRPAVTGRYPPPPTKQCCLLIKHADLNCLCNFKDALPAFNINPSRAFALPKKCKYNLHIPPKCRG >KJB58701 pep chromosome:Graimondii2_0_v6:9:17290490:17293979:-1 gene:B456_009G222300 transcript:KJB58701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDLWAKGSHKGTPVVVKMENPNWSMFELEGPSEDDFLVGNTPTGSREKARGKNAKQLTWVLLLKAHRAAGCLTSIGSAFFSLGSAIRLRVASGRTDADSTSSNENKTVKTRFYNCIKVFLWLSLLLLGFEIAAYFKGWHFDAPKLQLQYVFTSPYGVRDVFDWVYTRWVLIRVEYLAPPLQFLSNFCIVLFLIQSLDRLILCLGCFWIRLKKIKPIPKQDAVADLESGENGFFPMVLVQIPMCNEKEVYQQSIGAVCNLDWPKSKILIQILDDSDDPTTQLLIKEEVHKWQQEGANIVYRHRIIRDGYKAGNLKSAMGCSYVKDCEFVAIFDADFQPTPDFLKRTVPHFKDNEDVGLVQARWSFVNKDENLLTRLQNINLAFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLKGWKFVFLNDVECQCEVPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRAKISVWKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEAELPAWVVCYIPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVEKKPKHHRVGSEPNLEEMKADLQQEQEQKARKKKHNRIYTKELSLAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVL >KJB56197 pep chromosome:Graimondii2_0_v6:9:8005387:8006685:1 gene:B456_009G109600 transcript:KJB56197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANTSEPTSSRTPRTRSTQSPRTPASHLSPSPSTSESNPTTAAYTNTSSYTKDTSWDTSLSCQSSLSSLRESLSENPHIYDITEIRTATNNFLAKRYSSTSSSAAACWRCNLRGRDTVVFQRKFRRKIQTSQLKERLSIICRSNHMSIIKLLGASISGDHIYLVYEFIQGSNLVECLRNPRNPNYTVLSTWISRMQIATDLAHGLDYVHNNTGLNLSIVHNHIKSSSIIVTEPFFNAKICHFGTTQLRSETDAIETLETGSSKRETEIEESNASFRGLKRCDSGDRHFEGLRDYMSPEFRSSGSVTQKSDVFAFGVVILELLSGEEPLKYRYDKETGDFLRTSVIDTATAAMAEGREGLRQWVDRRMNDSFPVEVAEKLIRLALDCVHVDPDNRPDMGRVAGKISKLYLESRIWLDNVKVPTGISVSLAPR >KJB60378 pep chromosome:Graimondii2_0_v6:9:27105563:27106400:1 gene:B456_009G302400 transcript:KJB60378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALWFSRNKLILFVDTCLTLILKHPNPSAMVRWPPPPPSWVKMNVDASYSISNQKAASSIIIQDKMGQIMGSCFRIHNLFSSVFMVEVVAILHGL >KJB54578 pep chromosome:Graimondii2_0_v6:9:2938281:2940913:1 gene:B456_009G039700 transcript:KJB54578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRSSKHETKEGMEIDQISKLKEEPHLSGAYIRSLVKQLTSTRTKTQPMNLPKEPDDGFNGQNSAKFSDGFSETPPQTTHHKPQPPQQHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKFHRASMKHANEQQQQQTQQQEQQLSHASHLSSPPPFEQESKTKSRRNPRIYPASTNNLSPYNLDSFSCSSFSQHYPPPPPPTNPYSWPASLQSPLPSPTDAINFTLPNQPLGLNLNFHDFNTIDTNLYYNTNNPSIYSSSSPTSSSSPTLSVVTEEVPSVALSHEVGRAADLTEAYGGGGGLHHAMDDEEMAEIRSLGEQHEMEWNDTMNLVTSAWWFKFLKTMDLGAPELKTEDDGGYQPFDQVMEFPAWLNANDTCLQHHFTDLCPDDYFRDPALPCMDIGEIEGIDGEWLA >KJB61467 pep chromosome:Graimondii2_0_v6:9:47364584:47368863:1 gene:B456_009G359900 transcript:KJB61467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEMEFMEVSIMHHIGLIFMLLWLLSCFNLCNAVAYFVSLVYLYSVHARYIMRLRKKLEYEERKQSYRRRVFSESESVRWLNHAVEKIWPICIEQIVSQKILLSIIPWFLDNYKPWTAKKAVVQHLYLGRNAPLFTGMRVLHQCPDDDHLVMELGMNFLTADDMNAVLAVKLRKRLGFGMWAKLHITGMHVEIKVKIGVKFLRQWPFLSRLRLCFVELPYFQMTVKPIFNHGLNVTEVPGIAAWLDKLLSLAFEQTLVEPNMLVVDVLKFASPQPENWFTVNENQPRAHAKVEVVEAADMKPSDSNGLADPYVKGQLGLYRFKTKTHKKTLFPKWHEEFMIPISSWKSPNVLVIEVFDKDHFVDDTLGKCTVNIHDLRGGRRHDMWLTLQSGKTGRLHLAITVVEDNTKGNNGTVDAEALNKEDTKSLTS >KJB62158 pep chromosome:Graimondii2_0_v6:9:58719948:58721559:1 gene:B456_009G403500 transcript:KJB62158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKREEPSPEILIPVCDGNEDELNFSNREKENFRSFRALKKSANGNTQTQSSKSKPLIRRVPTILRENDNFKKYFEPRVVAIGPLHHQNNRLRLTAKAKLKFAVLFCKEHEVLEQVLYKKIKDEIGYLKKCYKPKDIEVYEDDELAWMFFVDGCAVLHVIHRITTEKCIDHVKVDLLAFAQVDLFMLENQIPFRVLNLLISSISKGNDVRNSMYAFIDKKIKSTLAAGKSDSDKRQVVSEQNQEPTHLLGILRDRLLTKSKNKPTTNGKKEVIRNLLMSLGSKPQHSKTFRSVKEMKEAGIQVSPSETSSFRDVDFYVGLLGTLKIPRIVVDDSTGSKFMNLVAYEMCPDFENDFGVTSYLCFLDSLIDTAEDVKELRHAGMLLNYMGSDEEVAHLFNSLTTDLVPDFSTYKDVTNDMRKYCNNPWATSIAKAYYTHFSTPWSILAFLGVLLGLIFTAIQTYYSIRRKAGELK >KJB62163 pep chromosome:Graimondii2_0_v6:9:58867348:58867769:1 gene:B456_009G403900 transcript:KJB62163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPKTIQHKIGGVQNDALRFVLHGVKSNLVGSHPLQSTYESTIPTTVCFPGKYTRLNIIILFVYLCVCFILLCSLLRSQTHA >KJB54881 pep chromosome:Graimondii2_0_v6:9:3793725:3795785:-1 gene:B456_009G052700 transcript:KJB54881 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLB3 [Source:Projected from Arabidopsis thaliana (AT4G32690) UniProtKB/TrEMBL;Acc:A0A178V2G3] MPLPSTILISSINWVFKLSSTFHQFLHQVSSILSQMGLFWNSISCSFLLIALEIRVYNDEEEWFRSIFANSKKEEAIQNLYEFFVERMGGPTLYSERKGDPALIGRHRPFPVTHQAAERWLHHMEKALESTPYIDADSKLKMMKFFRHTAFFLVAGDELKNQNQRVP >KJB62566 pep chromosome:Graimondii2_0_v6:9:66105441:66106262:1 gene:B456_009G423200 transcript:KJB62566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINPHPLQASNNEDENLLTLSLSTPSPSAQPPSSSSSSLMPPPISSPMGQQQTIQLCIPIPQAPPLPYYPNHQVQTPTGFNAIDSNTVSGAIVNHSRPSRSRRNPFQAPRQGRSETIPPPFPWATNQRATVHTLDYLVSHNLTSIYGDVQCKKCDKVCKVEYDLQEKFKEIRDFIKENKFALHDRAPSEWTTPTLPSCETCGGTLKPVLKKKRDINWLFLLLGKMLGCCKLSELKYFCKHTKNHRTGAKDRVLYLTYMGLCKQLDPNGPCDV >KJB58271 pep chromosome:Graimondii2_0_v6:9:15684229:15686328:-1 gene:B456_009G202200 transcript:KJB58271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYFWVVERKLIDKERLMGKKKKPQKTKELSVAIAEASSTGKEVPQQQSQQPLTPRKRGRPRKTVEKTETEEKKEEEAAEVTETQSKQLSITEEHHQKVEEEDEEQQEQKPQPKAEAEAESASMGGMKKGEEQSVAPKQPPTRRTRRKSKPRKSS >KJB60503 pep chromosome:Graimondii2_0_v6:9:28417512:28421327:-1 gene:B456_009G309000 transcript:KJB60503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDSATSLAPGFRFHPTDEELVRYYLKRKILNRPSFDAISVIDIYRSEPWDLPDKSKLKSRDLEWYFFSALDKKYGNGSRTNRATERGYWKTTGKDRAIRFRERVVGMKKTLVYHKGRAPRGERTNWVMHEYRLTDETLEKGGIQQDAFVLCRVFQKSGSGPKNGEQYGAPFIEEEWEDDEAVFVPGQQDASAHEEAANEDAFVEVNDIDQNLDIGNPSESNILPLNGYCGESSNHVEHSREFSEDDQNLQNSMHENAFLPSSFYYGESSNCGEHSIEFKEDYQKPIRMHATEGDSKPHHELVVADSPEQNLIATNGKPVKDEYVLEPIENITSANYVLGNPYLDHTSYLPTNDELFLEANDFSNPIYPESELFDINEYLLFYDADDQSLAFDCDEIVGSEIPVSDQEPLTETHTNEGTEQDLNASEHVEEHGNSDASSSKQELEATEFDLGTKYPFMKRASHMLGSLPAPPAFASEFPPKDAAIKLNSASHASSSVHVMAGMIRITDTTSSGNRLDWSYGKNGNLNIVLSFSLPQGDIDSSSFLPMASLLSGKTGPVSARGWFFLMLFSVLIISASVKIGTCFCTRYGL >KJB62159 pep chromosome:Graimondii2_0_v6:9:58769590:58771363:1 gene:B456_009G403600 transcript:KJB62159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLTCQTYTDKEGIRQQQLLAFIPRHHKHYILPNSVSKKVHFSPQLQIDPRQNYLHAKSCLQPSDTPVSNTLSWLLSSETKSTLKGTSQTLASHYSEVGSCMRDLLKRRDHLQ >KJB61616 pep chromosome:Graimondii2_0_v6:9:49764865:49765446:-1 gene:B456_009G370200 transcript:KJB61616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNTCFHLLLALFIPCSVLCLAMTVKNLNSNQFALLKFKDRVAGPQNDMANNWTASTSVCNWIGVSCGILHKRVIALNLTSMNLRGTIPPHLGNLSFLLSLDLSSNHFYGHLPKEFGQLHRLRILQLSYNRLNGEIPSWLGNLQRVRRLEMKNNNFTGTIPETLVNMSNLEILNLGFNQLSGQVPSSIFNIS >KJB56576 pep chromosome:Graimondii2_0_v6:9:9482995:9483888:1 gene:B456_009G126000 transcript:KJB56576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSSSHSSTRVCLCSPTNHPGSFRCSLHRNSDRVSSKSAATPHVNRQRERQSKAAMMLMMSNVSSSSSKSSLIKAFLMQIIRPSSTHIDLQRRRNFHPKPSRFSSLNTSANGVTVS >KJB55892 pep chromosome:Graimondii2_0_v6:9:7205826:7208361:1 gene:B456_009G099700 transcript:KJB55892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQEGGWPLGLQPLNVRLGLARNHDYSGSISFNTTLTASPTSSADSSSDLDTQSTGSFFHDKSVTLGSLIGVSSILELSKRSVKGRKTEAPREKRSNNNNRSKVGLFSLCSRDSTDAEDVDAPSLGHFLTVERRAAGEYRRNHSPTTTVYGPDELALAQPNSESNTLFVNGCVAPPRTSSNHAADDDNNYGVPVLFSCMCGQPSL >KJB60673 pep chromosome:Graimondii2_0_v6:9:30300315:30302670:1 gene:B456_009G318700 transcript:KJB60673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIWKHDQEKSYKHVDVPKRSAKNNMDPNDFISHLPDDILHHIISLLPFKSAVRTSFLSTHWKHLWKEALLDSVHDVITIEAAITAITSFVDDFDTRHRPRNKWGLIFELGHGRGSLVASISSNSSLKLDFSAGKQEFPMPFDLFLKLNLAPPNRLSRRYMFDWLLEENHPSQREQPPSNTMRVKSLHLISVSHLSNMAVSSLVPKLPFLRSLTIAKCNGLQSLQIKEAKGLRKLVVLDCPHLQSLSFEGSSLKSFRYRGNLVSFRFRGKCNCFPLIRFCECGLLLDDAMIDIRQGALTQWTWDFEIETPFSRGLRKGNHCDCANKYKCFNSILRSIKDVQSLTMCRWFYETTMCRKLPFSSRCPEYYLSVLELWWIDCSMERESINALLCFLKLCPNLKRLNITLDPKCYNLPSTGKFSTSVIVPDKLDDLKVVKIEGFANEEKENFMARRLIPLFGEKNPVIISKPNGKCLKHLVKMAKLEKKGKYPYKFEMVENVDENFPDHVHINI >KJB60737 pep chromosome:Graimondii2_0_v6:9:31776992:31778767:-1 gene:B456_009G323100 transcript:KJB60737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEIRRHQFVIQATPVKRLCNTHNRITVNGMFPGPTLEVRNGDSFEFKVVNKARYSVTIHWHGVRQMRIGWADGPEFVTQCPIRPGGSYTYRFTIQGQEGTLWWHAHSSWLKATIYGALIIRPRLGESYHFPKPNLETPIVLGEWWDANPIDVVREATRTGAAPNVSDAYTINAQPGDLYKCSSKDATIIPIDASETNLLRVINAALNQPLFFAVANHTLTVVGADATYIKPFTTSVLMLGAGQTTDVLIKADQRPTRYYMAARAYQSVQNVPFDNPTTIAILKYKSSKKGNAPKLIMPSLPAYNDTNTIDESLFFTVDLGLNNYPPNFRKSRCQGPNGTHLAASMNNASFMLPPSISLLQAHQQ >KJB55867 pep chromosome:Graimondii2_0_v6:9:7146509:7150464:1 gene:B456_009G098700 transcript:KJB55867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDSRIEIKVTNPKKVDNVVHPVGQGHATSASSPPQPSASSPPTDGRGRQQQQVLEEGLRHSRGRGRQGRGLIDYMPFKNWVPWLIPGFVIANVVVFLISMFINNCPKNSSSCVGRFLGRFSFQPMKENPLLGPSADTLEKMGALDVIKVVHKHQAWRLISCIWLHAGVFHILANMLSLLFIGIRLEQEFGFVRIGLLYLFAGFGGSLMSALFIQAGISVGASGALFGLLGSMLSELITNWTIYANKLAALLTLIFIVVINLAVGLLPHVDNFAHIGGFISGFLLGFVFLIRPQFGYVSKKHIPTGYIVTSNKPKHKPYQYILWLVSMILLVLGFTFGLILLFRGVNLNDQCSWCHYMSCVPTKLWSCKSQQAYCESIEYQNQLNLTCISNGKSSIYNLSGESTSQVQQLCTKLCSR >KJB54560 pep chromosome:Graimondii2_0_v6:9:2850358:2853197:1 gene:B456_009G038800 transcript:KJB54560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLRNQRTVQQQQQQQEELEEMHHGPFPVEQLQELGIASLDVKKLKDAGLCTVESIAYAPRKDLLQIKGISEAKVDKIMEAASKLVPLGFTSASQLHAQRLEIIQITSGSSELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIAERFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFTGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAIFAGPQIKPIGGNIMAHASTTRLALRKGRAEERICKVISSPCLAEAEARFQISPGGVSDVKD >KJB57382 pep chromosome:Graimondii2_0_v6:9:12275634:12280113:-1 gene:B456_009G160400 transcript:KJB57382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKSYTNLLDLASGNFPVMGQPREKKRLPRVMTVPGVISELDDDQANSVASDVPSSIIQDRIIIVANQLPVKAKRRPDNKGWSFSWDDDSLLLQLKDGLPEEMEVLYVGSLKVDVDPSEQDDVSQLLLDRFKCVPAFLPPDILTKFYHGFCKQHLWPLFHYMLPFSANHGGRFYRSLWEAYVAANKIFSQRVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNTLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGVEYYGRTIGIKIMPVGIHMAQIESVLRLADKEWRVGELKQQFEGKTVLLGVDDMDVFKGVNLKLLAMEQMLKQHPKWQGRAVLVQIANPARGRGQDLEEIQAEITASCKRINETFGRPGYEPIVFIDRPVSLSERVAYYSVAECVVVTAVRDGMNLTPYEYIVCRQGVSESESSSESSGSKKSMLVVSEFIGCSPSLSGAIRVNPWNIETTAEAMNEAISMADAEKQLRHEKHYRYVSSHDVAFWSRSFFQDMERTCKDHFWRRCWGIGLSFGFRVVALDPNFRKLSIDHIVSVYLRSKNRAILLDYDGTVMPQTSHNKTPSSEVISIINTLSSDIKNTVFVVSGRGRDSLAQWFSPCKKLGIAAEHGYFMRWSANDNWEVCGQNSEFGWKEIAEPVMKLYTEATDGSSIETKESALVWHHRDADPGFGSSQAKEMLDHLESVLANEPVTVKSGQFIVEVKPQGVSKGVVAEKIFTTMAESGRQADFVLCIGDDRSDEEMFEIISSAISSGVLSSNTSVFACTVGQKPSKAKYYLDDPAEVVNMLESLAEASDPEPFSDTRSEDSI >KJB62653 pep chromosome:Graimondii2_0_v6:9:66973884:66976971:1 gene:B456_009G427800 transcript:KJB62653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRLCRYGVISAGLSYSTSSSAVPLVPNSLFSSLKSNIGNSPISCFSTQPDCDTNTALSDSQERYNSTENLSGYASCNSSLESSTYFHQLSPSVDCRRESLLVHSDGTSYIEDANSSHEIVYTSQELGTALMAPDGANEVTNTPQTNGQQYRASSKDCQGSSMCQPQTTFVTNCRQSTEAARVEKRLTAIEDLSLQRIPPGNLKQLWIACAKTLSENNIDKFNMLIAKARVAVSIYGEPIQRLGAYMMEGLVARKEASGSNIYRSLHCQEPEGKDLLTYMHTLYEICPYLKFGYMAANGAIAEACRTEDRIHIIDFQIAQGTQWVTLLQALAARPGGAPYVRITGIDDPVSKYARGGGLEAVERRLMALSEQFGIPVEFHGMPVFAADITRDMLDVKPGEALAVNFPLQLHHTPDESVDVNNPRDGILRMVRSLSPKVITLVEQESNTNTAPFLPRFIENLEYYLAMFESIDETMPRHRRERINVEQHCLARDIVNVIACEGKERVERHELFGKWKSRLTMAGFRPYPLSSYVNSVMRGLLKCYSKHYTLVEKDGAMLLGWKDRNLISASAWCCDG >KJB62652 pep chromosome:Graimondii2_0_v6:9:66973879:66976971:1 gene:B456_009G427800 transcript:KJB62652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FEKRKFQIIKEVPILLTLEHWVLIFDSLKTRISRSHYRRGKLVQTHFMDSRLCRYGVISAGLSYSTSSSAVPLVPNSLFSSLKSNIGNSPISCFSTQPDCDTNTALSDSQERYNSTENLSGYASCNSSLESSTYFHQLSPSVDCRRESLLVHSDGTSYIEDANSSHEIVYTSQELGTALMAPDGANEVTNTPQTNGQQYRASSKDCQGSSMCQPQTTFVTNCRQSTEAARVEKRLTAIEDLSLQRIPPGNLKQLWIACAKTLSENNIDKFNMLIAKARVAVSIYGEPIQRLGAYMMEGLVARKEASGSNIYRSLHCQEPEGKDLLTYMHTLYEICPYLKFGYMAANGAIAEACRTEDRIHIIDFQIAQGTQWVTLLQALAARPGGAPYVRITGIDDPVSKYARGGGLEAVERRLMALSEQFGIPVEFHGMPVFAADITRDMLDVKPGEALAVNFPLQLHHTPDESVDVNNPRDGILRMVRSLSPKVITLVEQESNTNTAPFLPRFIENLEYYLAMFESIDETMPRHRRERINVEQHCLARDIVNVIACEGKERVERHELFGKWKSRLTMAGFRPYPLSSYVNSVMRGLLKCYSKHYTLVEKDGAMLLGWKDRNLISASAWCCDG >KJB62560 pep chromosome:Graimondii2_0_v6:9:66024477:66026600:1 gene:B456_009G422700 transcript:KJB62560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLCFSPRTASLSISRQSNSPSLSSTARRRFSQSEIEHAIDAAAAIIMKWDAETSAYAGVTSLFHESKREAMQFTKSVNELQDIMHLLVSDQDSGSEKFSQAQRLMQIAMKRLQKEFYQILSTNRADLDPESVSTRSSRTSTSMSDYDDDGLPDDEIRPAGDSITELEDVSSTAMSDLKLIADCMIASGYAKECIRVYKIIRKSIIDEGIYKLGIEKLSSSQVNKMDWDVLDWKIKNWLDAEKISLRTLFKGERILCDHVFSSSDSIKESCFAEISKEGATLLFGFAELVAKAKKSPLEKMFRVLDMYTAISEDWQEIETIFAFESVSAVRLQAINSLVRLSESVLSLLTDFESTIHKDSSKAMTPGSGLHRLTMYSMDYLTLLADYGNILTDIISDWPPPAKSSLPESFFDSPVSDDSPRPAISVRIAWLILVLLCKLDCKSKHYKDVSLSYLFLANNLQHVISRVRASNLMYLLGEEWITKHEAKVRQFAANYERLAWGQVFASLPENPMASMTGGKAKECFMKFTSSFEDAYSKQCSCVVPDLKLRDEIKASIGTKVVAVYREFYDMHKSTVGEESSEKLFVRFSPEDVGNYLSELFFATVSSGSSSTSSSTSSHHHRYMRSLLRA >KJB62494 pep chromosome:Graimondii2_0_v6:9:65370065:65373621:-1 gene:B456_009G419600 transcript:KJB62494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQLLLGAAVAGSTGLLAKHLFNPKSISQDSSNTNFDTEKQDPRLQNRYLESGCESNWDEKPKQGEIFRFSSSESAVKTKTGVKARKKVVLKKAEKRSNGGSGVEVNTKKFSVCLKKRRTAKNEAYKCGAFPSKDSSVFRWGLGFGIMYMMSAGRAEIDKLNSALDETAKVVQELKTTLCKRKSSCNLHASSSASEVAASSKKFSGKNSQLLLRKSGTGNRDHNETKVCSLPVFDDGEYASSVLTEEPEPELEVVEMDQLEAELELELQKLSETEVSAKSLHEPVGERFDSYQSKGVLPSELDQKLCHVLIEQQENQIEELESELNSAQSKLREKEAELQALKDCVKRLTNFSLSTGSDDDTEAQGDQECMKDQDSSIKSGSETRKSLVGMKRHMEF >KJB62489 pep chromosome:Graimondii2_0_v6:9:65292607:65294845:1 gene:B456_009G419100 transcript:KJB62489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNKHHCCSTFIFLLLTLLSQFYSVESELQLNYYAESCPNAEEIIKQQVIKLYNKHGNTAVSWVRNLFHDCMVKSCDASLLLRRVKGMESEQESDRSFGMRNFKYINTIKEAVEKECPMTVSCADIVALSARDGILMLGGPRLEMRSGRKDSKQSYLTEVQNAIPNHNDTIDLVLARFQSIGIDTPGAVALLGAHSVGRVHCVNLVHRLYPTVDPTLDPEHAEYLKRRCPTPDPDPKAVLYSRNDLETPMILDNMFYKNLLKHKGLLMVDQQLTSHPLTSPLVEKMAADNGYFHDQFARAVLLLSENNPLNEDQGEIRKDCRYVNLV >KJB62834 pep chromosome:Graimondii2_0_v6:9:69008042:69012951:-1 gene:B456_009G439400 transcript:KJB62834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGEAALSAFLELLSAKLLDSVLNLVADHRQVHQQLNLWQSILPEIKAVLNHAEEKQIKDEGVKNWLDDLQDLAYDVDDVLDEFAYQELRLKLQKTQAQASSSKVRKLIPTCCTGGHFSPLSFMFNAKMISKIQAITDRLNSLNTRRSSLGLSEIMSQGATSKGNKPRLQPTSLMDGAVEYVGRADEKQEMLELLKSNNSDGVCVLSIVGMGGMGKTTLAQLVYNDPSIKESFDHKSWVCVSDDFDAVNITKTILRSLDADSPDENDLNLLQVKLKEKLSGKRFLLVLDDIWNESYSDWTILRAPFGAGAKIIVTTRLQKVSSNVDSVKAFYLDKLSHHDCLSIFAQHALKARNFDGHLQFKEIGENIVRRCNGLPLAAKAIGSLLRTVTDHSEWEKVYESEIWDLPEDPCGLIPALRLSYHYLPPHLKRCFAYCSILPKDYELEEEEIILLWRAEGFLQSKAKIQGKGLGNQYFQDLVSRSFFQRSNEDKSCFVMHDLMSDLAQLVAGEICCRLEGEKQQQFSHRSRHSAYVINDPYQSVKKFEAFYQMTSLRTFLGLMAPRYEVFYLSEVVLDDLLPRLGYLRVLSLGGYEISDLPDFFENLQHLRYLKFSRTRINRLPDSLCTLYHLETLILRGCRKLKNLPSKIGNLVNLHFLDIRGADSIERMPSGFDQLTQLQTLSNFVIGEGDGRLIRELKNLSNLRGNFCLSGLENVNGQDAREAKLNEKLGIDGLELQWGTYLENNTRKTEVEERVLDFLHPPKKLEQLIIENYGGVKFSSWIADSSLKNLSSLKLRNRKNCKSLPSVGRLPLLKDLSIIGFDQVQKIGVELFGEDQLNPFASLEILSFESLPNWKEWDTCEGDDKVLNLPSLRELSIKTCPQLLGRLPSHLPSLQNLEIHRCTSLVVPISSFPSLCKFSIQGCAELVDDCSSPAKELSSLQTLSLSNISKFNIPADRTMLRFGNSEHFAIDGWEELASLSRNGFSLVGHRFITVSRCPQLQSLEAKEAELQPDKISRVESLRIYDCERLNRLPQVLHELIFLTVMKIDNCRSLVSFAENNLPPNLKTLGISNCENLEYLVDEKEDNKSMSSTLCLLEELSIYKCPSLMSLSSKGHKNICNHLQLLEIDQCSRLSCLFSNNKFPITLKHLIIVGCPELEYVAQEFEETACLESINITVSGIKSLPRGLDKLKHLQEISLVLCSNLVSFEESGLPSTSFRTFNVVDCGNFGALPKCMASITSLRRLSVDNCSADISFPSEGFPANLTSLEISNAPKIYRSLVEWGLNRLTSLQELSIGGEGCSKVVSFPEEGIGMMLPPSLLHITLFQFENLEFMFSEDFQDLASLQRLVSVAARRVLK >KJB57211 pep chromosome:Graimondii2_0_v6:9:11744772:11747470:-1 gene:B456_009G153800 transcript:KJB57211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLASRCSRVGRSLMDGLRNNFSNLPSTSRETTCGSFLYQQQRTFIQMRTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIIASVKEAMPNGKVKKGKVVYGVVVRAAMQRGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRQKKHVKILTLAEHIA >KJB59792 pep chromosome:Graimondii2_0_v6:9:22765712:22767304:-1 gene:B456_009G272500 transcript:KJB59792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFIFFVTMFTLMASTTPRADAAETSAAAVVIRVDQSGKGDYKKIQDAIDAVPSNNKQPFFILVKPGIYNEKIIVPGDKPFITVSGSKTNSTVITRNDSGNIIESATFTVLASNFVGQYITIKNTFRHHGIQAVALRVSGDKVVFLGCKILGYQDTLLDENGRHYYRGCYIEGAVDFICGNAASLFERCHLHTLSEEFSAITAQQRGSPTEETGFTFLGCKITGERTAILGRPWGSYSRVIFVLTYMSNVILPRGWDDWGDATKQSTVFYREYKCYGPGANTSKRVEWSQKLTAEEAKIFLTKNMISGKSWIRSTLKHVKKVSTAISNNSTGHS >KJB58028 pep chromosome:Graimondii2_0_v6:9:14696603:14697106:1 gene:B456_009G190800 transcript:KJB58028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITSAAVAIPSFTGLKAGATAAKVSATAKVAASPVPRVSIKASLKDVGVAVAATAASAILASNAMAIEVLLGSDDGGLAFIPQEFSVSPGEKIVFKNNAGFPHNVVFDEDEIPSGVDVSKISMPEEELLNAKDETYAVTLTEKGTYSFYCSPHQGAGMVGKVTVN >KJB56759 pep chromosome:Graimondii2_0_v6:9:10140932:10142058:-1 gene:B456_009G134900 transcript:KJB56759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLATLAGVQPTTIKGLGGSSLNGTKLLVKSTRQSFKPKNYRAGAVVAKYGDKSIYFDLEDIGNTTGQWDLYGSDAPSPYNPLQSKFFETFAAPFTKRGLLLKFLILGGGSLGLYLSATASDDLLPIKKGPQLPPKPGPRGKI >KJB60591 pep chromosome:Graimondii2_0_v6:9:29498994:29499612:1 gene:B456_009G314100 transcript:KJB60591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQPQTHLNVADNSGARELVCIRIIGASNRRYAHICDIIVAVIKEIVLNTPLERSEVIIAIIVRTHKELKHDNGMIIRYDDNVAVVIDQEGNPKGTQIFGIVDETQFTMGKDTIANIKTSIRNADMNRKGTIQIGYLNKK >KJB59867 pep chromosome:Graimondii2_0_v6:9:23314357:23316283:1 gene:B456_009G277700 transcript:KJB59867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSKASSNCHGQDSLYFLGWQVYEKNPFHEVENPTGIIQMGLAENQLSFDLVESWIRKNPDVMGLKNKDGESVFRDLALFQDYHGMPAFKNELVEYMAKIRGNKVKFDPNNLVLAAGATSANETLIFCLADPGDAILLPTPSYAGFDRDLKWRTGVEIVPIHCSSSNGFRISMFALEEAYERAQKLNLKVKGVLITNPSNPLGTTMTKDELQSLITFAIDKDIHLISDEVFSGTVFDSPCFISIMEAAMDSNLKRNIDVLSRIHIVYSLSKDLGLPGFRVGMIYSNNQTVVSAATKMSSFGLVSSQTQYLLSYMLANKKFTSNYMKRNQKRLKKRKEMLVSGLKKSGINCLKSNAGLFCWVDMRHLLCSNTFEAETDLWKKILCEVGLNISPGSSFHCSQPGWFRVCFANMNQSTLQVALRRIKDFVERSSFNYQQNMGSLSRKLISNWVRKLSSADREEQER >KJB55438 pep chromosome:Graimondii2_0_v6:9:5489508:5492589:-1 gene:B456_009G076200 transcript:KJB55438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICWPKPAKCGHASSTNFSGGSKTHTPVSSNLNVDTSVSSNLKAFSYSDLKTAAKNFRSETLLGEGGFGCVFKGWLDENTLAPTKPGTGIVVAIKRLAAESFQGHKEWLAEVNYLGQLHHENLVKLIGYCAEDENRLLVYEFMPKGSLENHLFRKSVQPISWATRMHIARGVARGLSFLHSLNANVIYRDLKASNILLDSDYNAKLSDFGLARDGPTGDNTHVSTRVVGTQGYAAPEYVATGRLTPKSDVYSFGVVLLELLSGRRVMDDDRAGLSEETLVEWAKPFLGDNRKMLRIMDTRLGGQYSKKGAQAAAALALQCLHTDPKNRPSMADVRVSLERIHTTMDTPRTPPQQSPARLHSQGIKHVNSPHKARVPTANSR >KJB61709 pep chromosome:Graimondii2_0_v6:9:51064223:51066210:1 gene:B456_009G375700 transcript:KJB61709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSKPSPISPNPDPNSKEIRYRGVRKRPWGRYAAEIRDPRKKTRVWLGTFDTAEEAARAYDAKAREFRGAKAKTNFADNNANDFTRSPSQSSTVESSSPPPLDLTLASPCSSLPVTAQRPVYFFDAFATGGSGCPASGFAQSDSDSSSSVVDFEGGVRRRVFDLDLNQLPAEMD >KJB61342 pep chromosome:Graimondii2_0_v6:9:45159753:45162235:1 gene:B456_009G352200 transcript:KJB61342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNFNLIASKSQKLPCFALPPKTTLRSPKFSMISTIPSCSTEVGNLKKPFTPPREVPAQITHSMPPYKLEIFKSLESWAEDNILTHLKPVEKCWQPADFLPDPNSDGFHEQVKELRERAKEIPDDYFVVLVGDMITEEALSTYQTMLNTLDGTRDETGASLTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPHTENSPYRGFIYTSFQERATFISHGNTGRLAKEYGDINLAQICGSIASDEKCHETAYTKIVEKLFEIDPDETVRAFADMMRKKITMPAEFIYDGRDYNLFDHYSAVAQRIGVYTAKDYVDIVEHLVDRWKVKELTGLSAEGRKAQDYVCALSSRIRRLEERAQEKAKEAPCIPFSWIFDREVKL >KJB58295 pep chromosome:Graimondii2_0_v6:9:15750142:15753723:1 gene:B456_009G203000 transcript:KJB58295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGEHINRTENRSVLHNVVPDVFNVLDKIMEFSEKVLSGSSWGASCWSYLKPPKDMFAIGIDASFLGPLFVHLALQTDPQAVEFAKGRHLCFVKKEEEMDQLDKLVKKFGIDPNDAFAFWDWVGGHYSVSTLKKQMIPCLQLQAEKIAPAINILPSPYNSIISRQSVLNSQPRHQRKLWFLMGTSSIDQHFHSAPFKNNILYLIQEFRLCAN >KJB59155 pep chromosome:Graimondii2_0_v6:9:19348055:19352680:-1 gene:B456_009G241900 transcript:KJB59155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSRSSPPELRHVDPRIWRACAGSSVQIPTVNSRVYYFPQGHLEQVCGSTPKLSSLVLSRPLINCVISDVHYLADPRTDEVFAKLLLTPVETSRLPNQFLNVNGEVEDSDRNKIVSFAKVLTPSDANNGGGFSVPRFCADSVFPPLDYNADPPVQTLSVTDVRGGVWEFRHIYRGTPRRHLLTTGWSKFVNQKKLIAGDSVVFMRDCNWKMFIGVRRAMKAGEGGGDSGRWREPSDGGAMKGEGRGRMTAEAVAEAAEKAARGFPFEVVYYPRAGWTDFVARAVLVEAGISTYWAAGTRVKMAVETEDSSRLAWFQGTVMSAAVPDSGPWIGSPWRMLQVAWDEPEVLQNARKVNPWQVQISSSSPLHSSFPSEKRLKFSQDSGLADAEGEIFPISGLTNSTMGYLNPSLLNYNSFPAGMQGARQYHFHLQSLTNDMSENTPMMSTDNFSGNYVVPKPTRISTELNIGSSQSDNLSPDSQSSMVSFGTELIEHGGCNSSKVGVGSFRLFGKIIALKEPVRSRFDDVGCMDDVGGKRHDEAVSEKNSLDLSLTYGCSKLLDRLDVQCQRASTFEGFSL >KJB60686 pep chromosome:Graimondii2_0_v6:9:30565262:30569829:1 gene:B456_009G319500 transcript:KJB60686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMFATKISMLQHVCVVPLARATRHRSFTISASASTTGTPSRNLTVSSPTSSSRAPHVDPRVLLGMSEHDLQQLAVEFGQQSYRGKQLHHLIYKRKAKEIQDFSHLPQAFRNDLIEAGWKIGRSPIYHTVTAADGTVKLLLKLEDNRLIETVGIPVEDEKGSMRLTACVSSQVGCPLRCSFCATGKGGYSRNLQRHEIIEQVLAIEDIFKHRVTNVVFMGMGEPMLNLKSVLDAHRCLNKDVQIGQRMITISTVGVPNTIKKLASHKLQSTLAVSLHAPNQKLREMIVPSAKSYPLDAIMKDCRDYFAETSRRVSFEYALLAGVNDSAEQARELAELLHEWGRGYHVNLIPFNPIEGSEYRRPNKKTVSAFAGALESRKITVSIRQTRGLDASAACGQLRNNFQKSPLLIESDREESQSDVAVAC >KJB53911 pep chromosome:Graimondii2_0_v6:9:901567:903678:1 gene:B456_009G011100 transcript:KJB53911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSHFGIPIIELPTNSKDLDGGSDEWRSLCKSVREACENHGCFQVVYHKISSHLQHELFSLIRQPFNLPLETKKQNVKSKPLHGYYEPGGDFLPFYESFGLEDASSCNSSKASPNLMQIHHHNHFYYQLRRVMKYSAPPSGEYTKVVSAHTDKLCSALLCEDGISGLEIETKDGEWENEYRYSLGTFAVPVKGTIIKAAKEMVDEEHPRFFQGYRFHGLRRLRKCR >KJB61404 pep chromosome:Graimondii2_0_v6:9:46546174:46546749:-1 gene:B456_009G355900 transcript:KJB61404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEARPGRRGAGRRRIEIKRIPNQKKRWVTFSKRKKGLLSKAARLSSITGEDIGVVIISEQGRFYISDNADAVIDRYLSIEAGKDNNGDGRNQGIVEDDNIYDGDGLDDGVTLRLFPQEIGQDDDHNDDGRFGNGRVMDKQGLNLNQRDNVWKINDGEIKGENCFMDLNKPPHDDDDDDGAAADDTMIPFL >KJB56266 pep chromosome:Graimondii2_0_v6:9:8276176:8276730:1 gene:B456_009G113600 transcript:KJB56266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSIRCCLGCVLPCGALDLIRIVHLNGYVEEITHPVTAGDILKANPNHVLSKPCSHGQAVVREIVILSPESKLRRGCIYFLIPQKKKSAIHHNNVGNCNNNDVSDCHPSNLPNVDISKKKHSSRKDRRHLHSHIGVWRPHLAIISED >KJB57638 pep chromosome:Graimondii2_0_v6:9:13337468:13340421:1 gene:B456_009G173000 transcript:KJB57638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYIKSFTQMDVKLWHDKWEGEMYKTFVELYAIIKTSEKLGKKAYVRDIVFSSSEYETECQKLICHVPSSKCSAFTYKINRLGTYGVPAIAASATSSAAIVAECVLNFVTAIIDSLKLNMAAVDQVHPLLPDLAASLDKFGILPPDFKGKLKMKEMGFKVCSEAIQHTVFYFFQVLLTAGFFSVISVKLITAYKSWQLFGC >KJB57637 pep chromosome:Graimondii2_0_v6:9:13338334:13338885:1 gene:B456_009G173000 transcript:KJB57637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYIKSFTQMDVKLWHDKWEGEMYKTFVELYAIIKTSEKLGKKAYVRDIVFSSSEYETECQKLICHVPSSKCSAFTYKINRLGTYGVPAIAASATSSAAIVAECVLNFVTAIIDSLKLNMAAVDQVHPLLPDLAASLDKFGILPPDFKGKLKMKEMGFKVVEHGGSSMTWNLHRIHYSCFAHF >KJB56191 pep chromosome:Graimondii2_0_v6:9:7985429:7987581:-1 gene:B456_009G109300 transcript:KJB56191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCRRYKLYHQQMQMVVSSFESVAGLGAATPYVPLALKTVARDFRCLRIAILDQIKHVSRALGEDLLSPTTGTSGSKGDINMSRLKCFGQKSGGVNMGFLEPQQHSWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLESKCLAEGNQNLSKSEGKSTSEGRISCPNDGQSINRSCVNALSDKQLACADMLVADAHDLEHWNHEKRSSMDFHIPTSMEGSLMGFAPYQQSRLENGGLGAVSLTLGLMHGVESAQQQQRQQQQQYQQQEHHSRRQFGGHLIHDFAG >KJB56193 pep chromosome:Graimondii2_0_v6:9:7985474:7992930:-1 gene:B456_009G109300 transcript:KJB56193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSKFRPESHVAQQRLRDKLRVQQSSKLVQQLEDFPNNLEDGCSSVHPALNPGLVHVRNVRNDNLLYDPDVFSSDIIHVSSNSSVLPSQRDPILHQEMQTAPENRQLLAEESSFPGMSQSNLSKFDASSKVSGDPHDCGNWRGVDSQHNCDWMVGYASGLAGSESNQDPRFVGEVISNNARILNSAYQDVQSTHPNPGSEIYCLERNLHFVSPSLYQNSLQDVVTTAQGLEVGSHEPQNVREAARGSRIDYCGNEANPLHFGNTGTWMNTPLGEQSQQLGAELGFLASKSSVELGAAASDATTHGLSLSLSSHPTPKICGADPVQFTGSQYYSDGFHSKPGEFKELRDSKTSNLGHFFSMQKSSSTSKADGKSLQDAGGTSAYVHRQTIPLGPFTGYATILKNSRFLKPAQELLDEFCHLSNSKPVKVCDTSEGNPGEVSACKESNSGVSATFYSSNESCKHEYQQKKAKLVYMHEEVCRRYKLYHQQMQMVVSSFESVAGLGAATPYVPLALKTVARDFRCLRIAILDQIKHVSRALGEDLLSPTTGTSGSKGDINMSRLKCFGQKSGGVNMGFLEPQQHSWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLESKCLAEGNQNLSKSEGKSTSEGRISCPNDGQSINRSCVNALSDKQLACADMLVADAHDLEHWNHEKRSSMDFHIPTSMEGSLMGFAPYQQSRLENGGLGAVSLTLGLMHGVESAQQQQRQQQQQYQQQEHHSRRQFGGHLIHDFAG >KJB56192 pep chromosome:Graimondii2_0_v6:9:7985474:7992151:-1 gene:B456_009G109300 transcript:KJB56192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSKFRPESHVAQQRLRDKLRVQQSSKLVQQLEDFPNNLEDGCSSVHPALNPGLVHVRNVRNDNLLYDPDVFSSDIIHVSSNSSVLPSQRDPILHQEMQTAPENRQLLAEESSFPGMSQSNLSKFDASSKVSGDPHDCGNWRGVDSQHNCDWMVGYASGLAGSESNQDPRFVGEVISNNARILNSAYQDVQSTHPNPGSEIYCLERNLHFVSPSLYQNSLQDVVTTAQGLEVGSHEPQNVREAARGSRIDYCGNEANPLHFGNTGTWMNTPLGEQSQQLGAELGFLASKSSVELGAAASDATTHGLSLSLSSHPTPKICGADPVQFTGSQYYSDGFHSKPGEFKELRDSKTSNLGHFFSMQKSSSTSKADGKSLQDAGGTSAYVHRQTIPLGPFTGYATILKNSRFLKPAQELLDEFCHLSNSKPVKVCDTSEGNPGEVSACKESNSGVSATFYSSNESCKHEYQQKKAKLVYMHEEVCRRYKLYHQQMQMVVSSFESVAGLGAATPYVPLALKTVARDFRCLRIAILDQIKHVSRALGEDLLSPTTGTSGSKGDINMSRLKCFGQKSGGVNMGFLEPQQHSWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLESKCLAEGNQNLSKSEGKSTSEGRISCPNDGQSINRSCVNALSDKQLACADMLVADAHDLEHWNHEKRSSMDFHIPTSMEGSLMGFAPYQQSRLENGGLGAVSLTLGLMHGVESAQQQQRQQQQQYQQQEHHSRRQFGGHLIHDFAG >KJB62271 pep chromosome:Graimondii2_0_v6:9:61489020:61493352:1 gene:B456_009G409500 transcript:KJB62271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLSSQTEGTNRTGMRPGLGDIPEGCLACVFMNLTPPEICNLARLNRAFRGAASSDSIWVKKLPTNYQDLLNLLPPERYQNMSKKDIFALLSRPVPFDDGYKEVWLDRVTGRVCMAISAKGMAITGIDDRRYWNRVSTEESRFHIVAYLLQIWWFEVDGVVKFPLPADVYTLSFRIHLGRFSKRLGRRVSSFEHTHGWDIKPVRFELSTTDGQLASCECYLDDMEQDYENRNHKRGCWIEYKVGEFIVSNSETVTEVRFSMKQIDCTHSKGGLCVDSVFIIPTDLIDCKRRGILK >KJB62272 pep chromosome:Graimondii2_0_v6:9:61489160:61490011:1 gene:B456_009G409500 transcript:KJB62272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLSSQTEGTNRTGMRPGLGDIPEGCLACVFMNLTPPEICNLARLNRAFRGAASSDSIWVKKLPTNYQDLLNLLPPERYQNMSKKDIFALLSRPVPFDDGYKEVWLDRVTGRVCMAISAKGMAITGIDDRRYWNRVSTEESRFDINIITFRC >KJB59998 pep chromosome:Graimondii2_0_v6:9:24201722:24203656:-1 gene:B456_009G284600 transcript:KJB59998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSHCLPTKNLSWTPRVHHRRHSPPTSAVRFSPISSAVTVSPPKHQKTHSMPPEKQEVFKSMENWATENVLPLLKPVKDCWQPQTFLPDPALPLEEFNDQVKELRERMAELPDDYFVVLVGDMITEEALPTYQTMINTLDGVKDETGASSSPWAVWTRAWTAEENRHGDLLRNYLYLSGRVNMFMIERTLQYLIGAGMDPGTENNPYLGFVYTSFQERATFVSHGNTARLAKEGGDPVLARICGTIAADEKRHEMAYSKIVGKLLEIDPTGAMLAIGDMMKKKITMPAHLMFDGEDPRLFEHFAAVAQRIGVYTSDDYADIVEALNERWGLEKLEGLRDEGRRAQEFVCNLAPRIRKLQERAEERAKKMGPQAVKFSWIFNREITL >KJB57156 pep chromosome:Graimondii2_0_v6:9:11709575:11710529:-1 gene:B456_009G153500 transcript:KJB57156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTNKTGIRMASNIGIETEASATTMMLDNPYKIVRQLASTNAVVLFSMSGCCMCTVAKRLLFGLGVGPTIIELDHHGAGPDIQAVLFQLVADGRQPVPAVFVGGKFLGGIETLMACHINGTLVPLLKEAGALWL >KJB62942 pep chromosome:Graimondii2_0_v6:9:69551723:69554836:1 gene:B456_009G444400 transcript:KJB62942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYLFLCLFLFFPHLYASFSSSGSHSCSSLIQFKNSFSITQTEYASFYCDRVAGLKSYPKTNSWKEGTDCCSWDGVTCDHLNAHVIALDLSCSWLYGNFPSNTTLFLLPHLQKLNLAYNDFNDSKIPSEFGRFTSLFYLNLSCTRFVGEVPSQVSHLSKLVSLDLSSGGYVQTIDKHALEGLVHNLTEVRHLFLDGMNMSSVNAHVFMNLSSSLRSLSLARCDLQGKFPKNIFDLPNLNLLNLEGNQNLNLDPLKFNRSSNLEHLDLSSVSFSTELIDSVDNLQALKYLDLSGNSFFQGLSVSFTNLSSLEYLILRGANFCGGLPDSMGNLVSLKFLDLSNSNLSGPLPRSLGNLLQLTYLDLRSNKLSGQIPLSILNLTQLEYLEIAENFLEGSIPDDVTAFPNLISLDLFDNLLNGTLPSWLYTTPSLNGIDLSQNQFSGHIKEFQSKSLALIRLENNKLQGPLPSSISQLLNLTWLDLSSNNLSGVVEFRMFSNLPNLELLDLSYNSLSLTSNTTSTIPQWMQEVGNGSLTYFNVSHNSLTEVEHFPWKNIAVLDLSSNLIGGNLPIPASAVNVFLISNNNFNGEVSSLICNATYLKILDLSHNNLSGTIPRCFGNLSNSLQFLNLKKNKFYGTIPPTFAEGCQLTNFNLNGNLLEGPLTPSILNCIGLEVLDLGNNKINDTFPHWLGSLPQLQVLVLKSNHMHGPLCVNSSKSSPFFSKIQIFDLSSNYFSGPLPVRYINSFKAIINLEKIGSTMSYMGVNDPGIGGFYTYSIGIVMKGQYMELVKIFTMWMIIDLSNNQFEGGIPKVIGKLNLLKGLNLSHNNLNGGIPTSMENLTNLEWLDLSSNTLSGTIPNRLADLPFLSSFNVSENQLHGQIPQGKQFNTFGNDSYEGNKGLCGFPVSKGCNIIEPPPPNVLEKDGSKSNITFGWKVVLIGYGCGVVFGMSVGYVVFQTGKPKWLVNLVEIQHEKKRRRKSKDGSRSNGRRRI >KJB62421 pep chromosome:Graimondii2_0_v6:9:64047587:64047872:1 gene:B456_009G415900 transcript:KJB62421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVVHGFGKAFTCRLYVHRPVGKLEPHPQAPFLGRHLALALLVRLADAYSTRMYKPSSVHQAVTKISLFQITKEKGI >KJB61699 pep chromosome:Graimondii2_0_v6:9:51031891:51032881:-1 gene:B456_009G375300 transcript:KJB61699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLVCRFVDLILDLTWILCAKAVHILWEMWLNSSGLLLCLPPIPATTWSGRMDFDHVFIHSSFFPLNLRLLLRYCGAQTRVRGLLAIAPERYCYCCCS >KJB61700 pep chromosome:Graimondii2_0_v6:9:51031891:51032103:-1 gene:B456_009G375300 transcript:KJB61700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLNSSGLLLCLPPIPATTWSGRMDFDHVFIHSSFFPLNLRLLLRYCGAQTRVRGLLAIAPERYCYCCCS >KJB58339 pep chromosome:Graimondii2_0_v6:9:15913235:15915182:1 gene:B456_009G205500 transcript:KJB58339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNFTMNLFLLCFLILDVFILYINAQQNYSGNSALECDNIDESGTSSAFLYTCNGQDRNCQAFLIYKAQPPFNSVPSISVLMSSDPAEIASINNVTEDAKFPTGKEVIIPVSCFCLGRYYQANTTFNISSIHGTYYTVGTEAYQGLTTCSSLIRANPQSKYKLVPGIELKVPLRCACPTSNQTESGTKYLVTYSFSFGDAIADIGDRFNVSKKRIDDANGLEELQLPNPFTTILIPLPTAPSSSQTIIHKDQPLDPPLPFEEYSKSSNGLKQKLYGVGVAAGCFLLLLIIILFTAVKFNKKKDGVLQIGNERSTNYVLPADLRIEIARFDRGLRVFTFKEIKKATWNFSSKYRINGSVYSGSFGGKILAVKIMRRNASKVVQLLKNINHFNLIKLQGVCENHVVFYLLFEYMEKGSLRDWLCNQSADEVGCWTRRIQIALDVANGLHYLHSFTKPAYVHGDINSSNILLNGGLRAKIANFSLAREVASETSSVTFRTRVTGTRGYLAPEFAQTGQVTSKIDVFAFGLVLLELITGKYATITQDRREILLFKTVVSIMEKDNAEAEIHSFIDPRLKCESRTELALRMAQLSVACLTEEPTKTPCMEEVVSVLSKMRADICK >KJB61652 pep chromosome:Graimondii2_0_v6:9:50542604:50550519:-1 gene:B456_009G373100 transcript:KJB61652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLWGMGGVGKTTLAREVGSQAQKLNLFDKVVITTVSQKPNLERIQGQIAQYIGFAMKNEQGRRSEQELWLRLKNEPRILIILDDIWESINLKEKIGIPIGDDHKGCKVLLPTHRQQVCQAMDCQNVVQLGCLNDDEAWTLFEKKAGLDGSSDDSIKILANQIVKKCGGLPIAIVPLGSALKGKTHHWWQAAHRRLEDRRLTEIEDVNEENAYVCLEASFDYLKNMETKTCFLLCCLFPEDDEIYVENLVGYAWGLELYKGMDSIKDVRSEVLASIETLKNSGLLLDCGERHIASSREEISFGTVETLPMDESFKHYTAISFETDQTDELPKGVGFPYLKLLLLGSFVETSSEFFEGMKALQVCALKDQSISLAAFKLNMNLRTLCLIDCQLSDISMLGKLKSLHILSLSGSDIIELSTEAGDLENLRRLDLSYCYNLEGFPPNLIQRLSDLEELYLHACSSLKWATENSTKVESYSSLSELNSLPKLAVISLDISSEHLKDGFVLRRLWSFDVCIGIKRELRYRKRDLETWPISRSLRIDKSVDACKQLLEDVESLELGDVEGHPNLIPSLDLGFRKLTSLDLRQCHSMQCLIDASKQQVPITALSNLRKLSLSHMFHLEEMCNAPQPQGFLQKLEEVIVSDCDIIQDRQVAYKCLLQSLKEVCVSHCNNLTFFSLLSHGQRLENLIMLFILEIIQDSQVSTISFQCLREVQVTECNKLKFLFTMCVANSLGKLQTLKIERCFGMEEIIQDSQVSTISFQCLRKLQVRECNKLKFLFPMCVANSLGQLQTLKIESCSQLQEIIQGPEVLISMSQGLERLRRDRNDIVLASPSLQVLRVRDCPQLTPFIVPTNIQLSELTELRVIWSGPIQVEHFQNLTQLTVIDCRRLRYIFSPTIARNLPQLWMLNISDCEELEQIIEKDQTSSQHHLQPICFPNLKWITIVNCKNLKCLFPITLAHGGLPNLDELYLKKVSKLEQVFEGDEGNVSKDEEKVIHLPHLRSLEFDELPNLVSFSPVGYHFVFPFLTDLQVEGCPNITTRFSVDSEKAVHAKTQASQSVDEIIVEESATAQETAWPIGSDIEWPQKENDSDFSIED >KJB57267 pep chromosome:Graimondii2_0_v6:9:11954938:11966232:1 gene:B456_009G155800 transcript:KJB57267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACFSFLFPLAPFFVTFLFLILFLEQISYLRKKRNVPGPNIVLPFLGNAISLVTKPTKFWEVQADLATSLGFSVNYIIGCFIVFIRSTELSHYIFANVRPDAFLLVGHPFGKKLFGEHNMIYMFGQDHKDLRRQIAPNFTPRALSTYTALQQIIILQHLKSWERLSSESPGKPISLRLLARDMNLETSQTVFVGRYLSHEARDKFRDDYNLFNTGLMKLPFDLPGFAFRNARLAVEQLVETLGDCATQSKKRMSEGDEPSCLIDFWMQETVREIAESKTAPPRSSDVEIGSYLFDFLFAAQDASTSSLLWAVTLLDSHPDVLRRVREEVSRIWSPESDTLISAEQLREMKYTQAVAREVIRYRPPATLVPHIAMKDFPLTESYTIPKGTIVFPSVYESSFQGFTEADRFEPERFSEDRQEEVIFKRNYLAFGAGPHQCVGQRYALNHLVLFIAMFVTVLDFKRHRTEGCDEIMYCPTISPKDGCRVSLSRRCPRYPNLTLN >KJB61377 pep chromosome:Graimondii2_0_v6:9:45918632:45921028:1 gene:B456_009G354000 transcript:KJB61377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFPSPLQTQTRSHSMSQNSCPQHSQGNVASPFPDVLREHENCLCKCLNQICSSLSGNFTDDTPRSSTIQLSPTLNLSHEYSLALQNNSYNEIRHMIEAQMQVENVIEGEGTDAHHLRLSPVLRPNRDCVHQALLHTNPNATLTHLLSTYFDHSENITTLCLMLRQCVSRARTLYAPITHLLQEFPYDPSSIHISQCNSAIDVFTQFDSLDNPFPSPDSHDFNEMHHSFSQLKEQLDHHRNKSLSRFRFLHRATSGSAFCLIGTVVGVATSAVVISTHALAAFVCLVSTSLCPIYVPSHLKKKQLAHMAQLYAAARSGTFFHINYLESIVCLVDLLHSAVKGDRELIRIALKSRDIYPIYEVVKYLRNSRNKFFDKLKELEDHIYFCFDAVNKSRANLVDQIHPHQSSNS >KJB61403 pep chromosome:Graimondii2_0_v6:9:46321054:46321964:-1 gene:B456_009G3550002 transcript:KJB61403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GWEEIIVKQEQSDEGSSEMKISLPSMPSLYVISFLCQACEEIHSIGGHVLNRSIVQRFALSLIEKYLVATCSGSLGLRLKETKL >KJB61402 pep chromosome:Graimondii2_0_v6:9:46317652:46321964:-1 gene:B456_009G3550002 transcript:KJB61402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GWEEIIVKQEQSDEGSSEMKISLPSMPSLYVISFLCQACEEIHSIGGHVLNRSIVQRFALSLIEKVISIYENFLSTREASGAQLSEKGILQVLLDIRFAADVLSGGDFNVNEELSRKPKSKSSFRRKGQAQTKSVVRDAVDGLVYSLSQKLDPIDWLT >KJB61401 pep chromosome:Graimondii2_0_v6:9:46310045:46321964:-1 gene:B456_009G3550002 transcript:KJB61401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GWEEIIVKQEQSDEGSSEMKISLPSMPSLYVISFLCQACEEIHSIGGHVLNRSIVQRFALSLIEKVISIYENFLSTREASGAQLSEKGILQVLLDIRFAADVLSGGDFNVNEELSRKPKSKSSFRRKGQAQTKSVVRDAVDGLVYSLSQKLDPIDWLTYEPYLWENEKQSYLRHAVLFGFFVQLNRMYTDTVQKLPTNSESNIMRCSVVPRFKYLPISAPALSSRGNNGKPIMAASNDVTSRSSWKAYTNGELSQKGDLDDHQSFGVATPFLKSFMQVGSRFGESTLKLGSMLTDGQVGIFKDRSAAAMSTFGDILPVQAAGLLSSFTTSNRSDS >KJB60289 pep chromosome:Graimondii2_0_v6:9:26184604:26185590:1 gene:B456_009G298600 transcript:KJB60289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTATTECTITNGAGAGQNLVLTFSNYETAAGTIENPHTTTFTQTMPAIYLNGALVYKVGRCLRWIIFWTSDNQVSTKMFRINDPIDWGQVANNLTSGHGGKSEDRITDTAGFGYTAWASIEGQVLTANILASSVPN >KJB55682 pep chromosome:Graimondii2_0_v6:9:6472373:6473523:-1 gene:B456_009G088700 transcript:KJB55682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISFSLDAKPKLAKLLLNVTIQWSLKAVKIVMAEENIVNDLIIASVRQYSKEGRQPILKSTDTSLFDLHCPQFSFETKLNVVESDTHKRQIKL >KJB61601 pep chromosome:Graimondii2_0_v6:9:49662476:49664163:1 gene:B456_009G369100 transcript:KJB61601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYSSDGSTSFSSSRMADGWDLISTEFGLVKGSDINGGVTDSVTDHHQLNQGETSEASYQTAAGGGRGGRAKLTPAQRKESKRLSDHKYRQKRKITADEQAAEIKHLKEENEQLNAENRRLKQKILLPHGQLEHQCFSAQLGSLQMNEIKNLQQINSEAGTCSNDDTSISDLLMKIDADEESKVKFSDFTGLDGELVTVGKYCFPLSLHPTLKLIINVYGDVAATSKMSPSITERIYVIFCASIKEMHDLRLEQITECRILKWKDAIKDALRMNFMVDFAMEHLKKIACAYIGLMERQRLEEVALRISKLEAELSASKKEHSKICERFKVYMDTTKEFIGKPVSLGMF >KJB55935 pep chromosome:Graimondii2_0_v6:9:7330384:7331704:-1 gene:B456_009G101700 transcript:KJB55935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISRIAVGSPAEASQSDALKAALAEFISMLIFVFAGEGSGMAFNKLTDNGSSTPAGLVAASVAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGHITLFRSILYWIAQLLGSVVACLLLKFSTGGLTTSAFSLSSGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGNIGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWDNHWVYWLGPFVGAAIAAIVYEVFFIAPDTHEQLPTTEY >KJB58291 pep chromosome:Graimondii2_0_v6:9:15715478:15715897:-1 gene:B456_009G202700 transcript:KJB58291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYGSQLKHAHLIDCNCNCNEEGTFLHFNFNPKCSMLFIHYFNNIIPHVIPGFELIPTFNYYPFTSLYRR >KJB58301 pep chromosome:Graimondii2_0_v6:9:15774003:15776635:1 gene:B456_009G203600 transcript:KJB58301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPIKGKSYLFLFLFIFTSPPFPVVFAGSNSVPIENEHRHDRIPHHEPDPNSPHDFIPQEVLLHKLEELVRNLSEIVSRLESKISESPDFGKKDQISEQKYGVADGEKGRAVSVTKYSPFWSERFQFMSAVKLDSDATCINVLPFRDYEGLSKYVAVGDEKGRVYVFLRNGDVVVEFYTKNESPITAMVSYMSVYKNESVVITGHQNGVILVHRIYEGLNGEESGSPVMETVGKFVAAESGEDGFPITALEVHHVGRMRYILSADLSGKIRVFKENGSLYGSVMPTSRPLAFLKQRLLFLTETGAGSLDLRSMKIKESECEGLNHSLARNYVFDATERSKAYGFTSDGDLIHVLLLGDSMNFKCRVRSKKKLEMNEPLAFLAIKGYLLIVDLEKIFVYNVSTLHYVRAGAPRFLFSAGLDEIRSSFLTHQVVDTNKERRHVTPLIASDREKLIVLGLGGDYIGMYRSNLPVLKGESNTMLWTSPVLFFILFLFGAWQFFAKKKEALTSWGPDDPFSSTSATNSAPLGSNTGDRSFIDSSSRGADMADLRSTGLRSRRYGSPSRYQGGATGSFRPSSTDPSSRPASIDPNYRADPSSRSASIDPRPASIDPNYRADPGSRAAPIDPNYRVDPSSRVASIDPNYRADPSARTAPIDPNYRAAPELKYRGPTLESAGFSKRRESLFVNSQVVDDNS >KJB59599 pep chromosome:Graimondii2_0_v6:9:21743688:21745571:1 gene:B456_009G263000 transcript:KJB59599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWMIMTMMMRSGSRRHKVVGFSYVSFFLIFKSDALHYLFRVKGNVWGMPELGQKKLKYGPDMVLIYFYA >KJB61861 pep chromosome:Graimondii2_0_v6:9:52462803:52465756:-1 gene:B456_009G386800 transcript:KJB61861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKDVDSDLAQLSIDKVTEVDKSFLHENGKLDKDPVYNNPIQVDSHSEEPDKEEENGASDPDFLKDAVDEWPAPKQIRSFHFIRYRFYEDPAIKAKLDQADKEIQKWNKARFKLTDELKAKRAERSERLSQVRALNVDFEQFKTILDEKKREIEPLQQALGKLRNNKDVDNRLSLCASEEELDFIIHSLPYRIQHESISLSEEKRILEEIKHLEGTREKVIANAAMRSKIQDSLGQKEDIQDQVKLMGVDLNGVRKEQHASLQNELKAVTLKRDKAFENIQELRKQSDQGNSHFYQSRTIVHNAKLLAAQKDIKALEELSIVEVEKFMALWNGNKFFRDDYEKRILPSLDNRLLSRDGRIRNPDEKPLVAPRNHVRQPKEEAKSGPQPDTKLAKKAPKDAETIAMESNPLSDISVVAEEILVSGKLQKNKEVDAAKLKELERRGNSESKASHGKKKEEREKRAKKKVAGSTNAVNPEELIEAVAQDSEPEKVDVNTDAPVSATVSMKGKVPKENTSRYRNRTKRTESMNYWIWAAPAGVVVLVLLALCYYYLA >KJB62746 pep chromosome:Graimondii2_0_v6:9:68127461:68128209:-1 gene:B456_009G433600 transcript:KJB62746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMQRYSSAAIMNLHRNFLARQTPIMKTKMVNPFIESKKACDVFINHRGIDTKRTIATLLYDHLSWLNLQPFLDNKNMKPGDKLFDNIDNAIRNCKIGVTVFSPNYCKSYFCLHELALFMESKKKVIPIFCDIKPSELRIVNNGNVPLKDLERFNLALEEAKYTVGLTFNSSKGNLSDVVKNASEIVIESLIEMESEQKMIKSSRNTPMALSH >KJB54811 pep chromosome:Graimondii2_0_v6:9:3622043:3622966:-1 gene:B456_009G050100 transcript:KJB54811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAKLRETIHEYLSEAQSDSHEVQQKALQTLASITRVSPQNRSLLAQSYGAIPALLALSKSISPIIQTLSLSVLFNLSLNPNLKQSLADMETINHLNSIILSPTSPPESTKLASSLICSLAMLDKNKAKFGVAGTIPLLIHAISDPCNAAAHHLLSTLAELVQFHGNCTLAVRAGAIPVLIHVVESSDGEDLSGTSLAVLGLLSKFDEGLNALKKTEQIVSSMLEVLKGRCMLSKEGAAEILVRLFDENEGCLADALNLPEFLTVLADISVRGSAKAREMTAQLLKKTMDANLVSYSDGSHMLLQW >KJB62591 pep chromosome:Graimondii2_0_v6:9:66554159:66555103:1 gene:B456_009G424700 transcript:KJB62591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQSLDACYVLRQREVRRMVKEIHGKVGSSVNIYKQLSATALRVMMSTLWGDDPSQDLIEFRKRLDEIIVTFAAPNVSDLFPILAPFDLQGIESKAKEQVSWFYGVFESMIKNRRNIRDDGKEKEKISKDFMQQLLELHWRGDEKNSLSINEVKALLLDLMVAGTDTVPTAVEWAMTELLRHRDKMTKLVKELDMVVGNQNTVEDSHIPQLVYLDAVIKETLRLHPVAPLLIPHVPSETTVIGGFTVPKGCTVFINV >KJB54636 pep chromosome:Graimondii2_0_v6:9:3100919:3102495:1 gene:B456_009G042500 transcript:KJB54636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSANLLLYFQDDVSVVNHWLVNGKHYAKTSEEWLKRMDRSLASIKPIMESTYGKDQAVKWTVYWRTFFIAVAELFGYVNGEEWMVPVFLFKKK >KJB58123 pep chromosome:Graimondii2_0_v6:9:15012725:15015373:-1 gene:B456_009G195500 transcript:KJB58123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQQLHLHHHHLEYQQQQQRQPNSMKSRFRRVCVFCGSSPGKNPSYQHAAIQLGQQLVERNIDLVYGGGSIGLMGLVSQAVFDGGRHVLGVIPKTLMPREITGETVGEVRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDNAVDEGFIAPAARDIIVSAQTAQELMCKLEEHEPKHSGVASKLSWEMEQQLGFTSKSDIAR >KJB53929 pep chromosome:Graimondii2_0_v6:9:1054491:1054894:-1 gene:B456_009G012900 transcript:KJB53929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEACVARDDDLDHLVLAVAHGGLVQEEEHGLEEDDQHVADLEAEHGVADVGGGDDEDGGGLVVVDYAFFLFFSNFPCS >KJB54519 pep chromosome:Graimondii2_0_v6:9:3299577:3300340:1 gene:B456_009G045700 transcript:KJB54519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSSEKPPPHLGLTREEYVELKPLVDAYHNFEPTPNTCTSLVTQRIDAPAEVVWPFVRSFENPQKYKHFIKGCNMRSGDGSVGSVREVTVVSGLPASTSTERLEFLDDEKHVLSFRVVGGEHRLRNYRSVTSVNEFHKEGKVYTIVLESYIVDIPEGNSGEDTKMFVDTVVKLNLQKLGIVAMGSLHEPRHD >KJB60527 pep chromosome:Graimondii2_0_v6:9:28809783:28812988:1 gene:B456_009G310400 transcript:KJB60527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPNQTPDDFSQFPLPHFTLTPPLPNPTSNPNFHPIPILNPNAAPPLNDHLISFPTPKKRRRGRPQRSGATSAYQFLTFTNGSFSPNLPNSNPNLDLNSIPSSSAATEQTTQPKTDDEIIVISKESTAEALTALSAGFPADSLTEEEIDFGVISSIGGIEQVNYILIRNHIIAKWRENPSNWVTKDMFVDSIPKHCSTILNSAYNYLVTYGYINFGIAPAIKEKFPAEPSRSNVVIIGAGLAGLATARQLMRFGFKVTVLEGRKRAGGRVYTKKMEGGNRVSAAADLGGSVLTGTLGNPLGILAKQLGSSLFKVRDKCPLYRTDGSSVDPDMDMKVEMAFNRLLDKASELRQLMGEVSTDVSLGAALETFREVYRDAVTEEEINLFNWHLANLEYANAGLVSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTINYGNDGVQVMTGSQVYEGDMALCTVPLGVLKSGSIKFVPELPQRKLDGIKRLGFGLLNKVGMLFPYVFWGTDFDTFGHLTNDPSSRGEFFLFYSYATVSGGPLLLALVAGEAAHRFETLPPIDVVAQVLRILKGIYEPQGITVPEPLQTVCTRWGGDPFSLGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFLTGLREAANMAKYAKSRTAKKKINRSPSSNAHSYASALMDLFREPDLEFGSFSVIFCQKNANPKSPAILRVTISEPRKKNLESSKTDQQHSNKVLFQQLQSHFNQQQQLHVYTLLSKKQAFELREVRGGDEMRLNYLCEKLGIKLVGRKGLGPTADSIIASIKAQRGVRKPSSTPLAPKSGTSMLKTGTLKQKFIR >KJB56661 pep chromosome:Graimondii2_0_v6:9:9817517:9820992:-1 gene:B456_009G130400 transcript:KJB56661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRKAYQLVKEFAGSEKGHLKIFNEELFERVAEECNEHHNALQSLIRKMQEEGLEVQTARNADHYGALVHHLSLIRNKRCLMAYVYNRAEIIRDLAWKVGLLHELPCEIKEKFSDSEEQYFKDHSKSLKMYMSQLSLDVNVDMVPPKDPYIKVRVLEDLGSGIILSDKSANFASHSMHFLKRTDAEQYIARGLMEELIS >KJB56663 pep chromosome:Graimondii2_0_v6:9:9817739:9819466:-1 gene:B456_009G130400 transcript:KJB56663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEGLEVQTARNADHYGALVHHLSLIRNKRCLMAYVYNRAEIIRDLAWKVGLLHELPCEIKEKFSDSEEQYFKDHSKSLKMYMSQLSLDVNVDMVPPKDPYIKVRVLEDLGSGIILSDKSANFASHSMHFLKRTDAEQYIARGLMEELIS >KJB56662 pep chromosome:Graimondii2_0_v6:9:9817517:9820981:-1 gene:B456_009G130400 transcript:KJB56662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRKAYQLVKEFAGSEKGHLKIFNEELFERVAEECNEHHNALQSLIRKMQEEGLEVQTARNADHYGALVHHLSLIRNKRCLMAYVYNRAEIIRDLAWKVGLLHELPCEIKEKFSDSEEQYFKDHSKSLKMYMSQLSLDVNVDMVPPKDPYIKVRVLEDLGSGIILSDKSANFASHSMHFLKRTDAEQYIARGLMEELIS >KJB61067 pep chromosome:Graimondii2_0_v6:9:38506931:38510520:-1 gene:B456_009G339200 transcript:KJB61067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYIRKAKSTMEVAVMDVSQSSFGVRTRAKTLALQRLQKSSTSPETAGASPTPDFSDDSYLQLRSRRLEKPPVVLRHHDSKRLKQQGIKDGCGENPSPNSNFRVRLGKDDGVEREEFVQETIGNDNIINIVNNNESEDFGGIEASLGENLSDIEARERGYRESTPCNLIRDPEGIRTPGSTTRPTSSAETNQRVHNSVRGHIPTAHEMDEFFSIAEEEQQRQFIEKYNFDPVKDKPLPGPYEWEKLNR >KJB53695 pep chromosome:Graimondii2_0_v6:9:194105:197277:-1 gene:B456_009G001600 transcript:KJB53695 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV6 [Source:Projected from Arabidopsis thaliana (AT5G57090) UniProtKB/TrEMBL;Acc:A0A384LBE9] MITGKDIYDVLAAIVPLYIAMMLAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMNYHFIAADSLQKVVILVALFLWQAFTKHGNLEWMITLFSLSTLPNTLVMGIPLLKAMYGDFSGSLMVQIVVLQSVIWYTLMLFMFEYRGAKLLINDQFPETAGSITSFRVESDVVSLNGREPLQADAEIGDDGKLHVVVRRSSASSMVSSFNKSHGLNSLTSMTPRASNLTGVEIYSVQSSREPTPRASSFNQNDFYAMFASKAPSPKHGYTNSFQGAVGDVFSLQSSKGATPRTSNFDEEMLKVAKRRGGRSMSGELYNGGGGGGVPSYPPPNPIFAGSTSGGSKKKESGSMPNKELHMFVWSSSASPVSEGNLRHAVNRAASTDFDSSKPTYQQENAASKAMHELIENMGKSSGGDKDLEILEEGSKFPTSGSPLSCQKKLNMEEGEVAKKQQMPPASVMTRLILIMVWRKLIRNPNTYSSLFGLTWSLISFRWNIQMPTIVSGSIAILSDAGLGMAMFSLGLFMALQPKIIACGKSVATFSMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITILYYVLLGL >KJB54023 pep chromosome:Graimondii2_0_v6:9:1342493:1345875:-1 gene:B456_009G017100 transcript:KJB54023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALVNYSGDDDFYNGGSFYTNSTDLGRLCSISFQVDVYCPPRKRTRISAPFHFGEAEVEQNKQPSIDVLPDECLVEIFKRISGGKERSSCACVSKHWLMLLTSIRKGEYESSKVVKENVGSNSGDVEMILSEEDDGYLTRCLEGKKATDMRLAAVAVGTSGHGGLGKLSIRGSSSSRGVTNFGLSAVARGCPSLKVLSLWNVPRVGDEGLCEIAKECHLLEKLDLCQCPHLSNKGLIAIAANCPNLTALSIQSCPKIGNEGLQAIGKLCPKLQSISIKDCPLVGDHGVSSLLSSASSVLSKVKLQGLSITDFSLAVIGHYGKSVTNLMLSGLQNVSEKGFWVMGNAQGLQKLVSFTIASCWGVTDVSLEAIGKGCANLKQMCLRRCCFVSGDGLVAFAKSAGSLECLQLEECNRVTQSGVIGVLSNCGLKSLTLVKCMGIKDISLEAPLSSSCNSLKSLSIRNCPGFGTASLAMVGRLCPQLQHVDLSGLCGITDAGLLPLLENCEAGLVKVNLSGCLNLTDEVVLHLTKLHGATLELLNLNGCRRITDASLAAVAENCVFLSDLDVSRCAITDVGIAALSHAEQLNLQVLSFSGCSGVSNKSMTFLKKLGKTLVGLNLQHCNSISTQTIELLVESLWRCDILV >KJB58966 pep chromosome:Graimondii2_0_v6:9:18381951:18382968:1 gene:B456_009G232900 transcript:KJB58966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRKNLRIVCGNLKDKGSLIKTVLSSKPHKSAVRCVILRATTHGSSSPPSNHRIAAVISLGLESKTEACSCIQALMDRLHSTSNALVALKCLYTIHNIIGKGFFILKDQLSMYEYFGGRNFLKLLTFRDDLDPETREMSKWVRWYAAILEQNLLVSKVLGCHLYSKRAKNVIGKEKDNFSSSLNSDLLNDIDALVEFACQVGDLPDSLHLQRKSLVYEIVRSVGEDYRLVQREIGTRVAELEARMMSLSSSEWTQFLNSLTKFEDCKEGISLLFRNRNRNDELWDLVKETKAKLVAVMKERGNDGKRTLVVRFLQRTSGAS >KJB61804 pep chromosome:Graimondii2_0_v6:9:51868759:51872175:1 gene:B456_009G382200 transcript:KJB61804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGKTTIMKHIHNDLLKEQRFERVIWVTISKEFNVMKVQDDIASALKLKEDLAIEGDKLRRAAILSEMLKKVGKHVLILDDLWDEVSLEEVGIPEPSDSNGCKLVLTTRSEHVCKYMGCTVIKVKPLSAQQALTLFLSKVGPNIVQNKIVMPILRLVVEECAGLPLTIVVVASTFKGEEDPLIWKNALKELKERIEKVEGVEAKVIERLKFSFDHLKDEKMQHCFLYCAFYPEDFQIWKDELIECWIEEGFIDDMGTRQEMKEKGHVILKKLEENCLLENITNIRNQSCIKMHDAVREMALSITRINPRYMIKAGLQLEELPEKEQWSPDIEKVSLMYNSISEISIDVLPTKCQLLTTLLLQNNPIKKISISFFTNMPCLSVLNLAFTKIESLPNSISELKNLTTLLLRGCEEIRDLPCLSMLQELKKLDLSVTKIEEVPEGMDMLIKLRYLDLRVRSLKEIPAGLLPKLVHLQHLSFEVDNEKTSLKADRMTELKKLECFTGRFEDIGELNKFISSMQQSKKNLIKYYLQVGSSLMGSTTDKVVTIGGVQNWEGELIMHPIEIQELIILRCDYLRNLVDDNSSFKNAIDLRICSIWGCKGIECVVPLSSFASSSAHPFQSLEVLNLQWLPKLSALIMNDEGIGSATTSTLVPSATFSHLKKITIVNCSSMKTLLPHWLLPNLQNLEDIHVVACIQLVEILGGETSEVEEKGSDALIKFLLPKLRVLSFSKLPNLKSICSKSGVMVCDSLQLVHVLGGCNKLKRIPPFVPLVGNGQPFAYAPPSLTIKSWEEWWESLEWDDDRNFKNVLRFSPFGSF >KJB61805 pep chromosome:Graimondii2_0_v6:9:51868645:51872175:1 gene:B456_009G382200 transcript:KJB61805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGKTTIMKHIHNDLLKEQRFERVIWVTISKEFNVMKVQDDIASALKLKEDLAIEGDKLRRAAILSEMLKKVGKHVLILDDLWDEVSLEEVGIPEPSDSNGCKLVLTTRSEHVCKYMGCTVIKVKPLSAQQALTLFLSKVGPNIVQNKIVMPILRLVVEECAGLPLTIVVVASTFKGEEDPLIWKNALKELKERIEKVEGVEAKVIERLKFSFDHLKDEKMQHCFLYCAFYPEDFQIWKDELIECWIEEGFIDDMGTRQEMKEKGHVILKKLEENCLLENITNIRNQSCIKMHDAVREMALSITRINPRYMIKAGLQLEELPEKEQWSPDIEKVSLMYNSISEISIDVLPTKCQLLTTLLLQNNPIKKISISFFTNMPCLSVLNLAFTKIESLPNSISELKNLTTLLLRGCEEIRDLPCLSMLQELKKLDLSVTKIEEVPEGMDMLIKLRYLDLRVRSLKEIPAGLLPKLVHLQHLSFEVDNEKTSLKADRMTELKKLECFTGRFEDIGELNKFISSMQQSKKNLIKYYLQVGSSLMGSTTDKVVTIGGVQNWEGELIMHPIEIQELIILRCDYLRNLVDDNSSFKNAIDLRICSIWGCKGIECVVPLSSFASSSAHPFQSLEVLNLQWLPKLSALIMNDEGIGSATTSTLVPSATFSHLKKITIVNCSSMKTLLPHWLLPNLQNLEDIHVVACIQLVEILGGETSEVEEKGSDALIKFLLPKLRVLSFSKLPNLKSICSKSGVMVCDSLQLVHVLGGCNKLKRIPPFVPLVGNGQPFAYAPPSLTIKSWEEWWESLEWDDDRNFKNVLRFSPFGSF >KJB55702 pep chromosome:Graimondii2_0_v6:9:6530468:6531390:-1 gene:B456_009G089500 transcript:KJB55702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNTSVAAQQQPRRQFSLVRCVATCLLALIVLVGLAVLIAWLVISPKKLVYTLENGSVQNFNLTNNHLNATFDFVLRAFNRNRRISVYYDYIESTVTYEDQTLAFSTVDPFFQPHRNVSRIKPKLVALNLAMSPSTSKDLMIEKTSKEIQVDVHFKARIRLKVGTWKSNHRTLRIVCYSVTVHLSWYKHFDRVPCEAEL >KJB59747 pep chromosome:Graimondii2_0_v6:9:29169402:29172229:1 gene:B456_009G312400 transcript:KJB59747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFRRLLSLLARNQPQTSLFKESPSPAISSASVSLNSRYDFYRRWLQTQPDPSGLAIDNTENQEADSLKPASANAPSETNDFAMKHTAISNLKTSARHDLAMIFTCKVCETRSVKTVCRESYQKGVVVVRCGGCNNLHLIADRLGWFGEPGSIEEYLAARGEEVKKGSVETLNLTLEDLAGKGAL >KJB54759 pep chromosome:Graimondii2_0_v6:9:3465759:3466553:-1 gene:B456_009G047800 transcript:KJB54759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDQNKPMTQKLYESVPSSRPTAKFLTATTLSATLLFLSGLTLTWTVIALIMATPVMVLFSPVLVPSAIVIFLVITGFLFSGGCGVAAITALSWIYNYVQGKHPLGADKLDYARDMLASMTRGVTEKAKEYGQYVKYKAREVAQGERS >KJB54924 pep chromosome:Graimondii2_0_v6:9:3940874:3941744:-1 gene:B456_009G054300 transcript:KJB54924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFKKTLRFADSKFSCPPCICDCPPPFSLVKIAPYCGRNDPDLKQEMEKQFVDLLTEELELQEAVAEEHARHMNITFGEAKWVASQYQREAEKCIAATETCEGAREKAEASLIKERKLTTTREHRAREMGWEGE >KJB57388 pep chromosome:Graimondii2_0_v6:9:12384547:12391728:1 gene:B456_009G161200 transcript:KJB57388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESGVPVCHTCGEHVGLNGNGEPFVACHDCNFPICKTCFDYELKEGRKACLRCGNPYDENLLDDAEKASGDRSTIAAHMDKSQDAGIHARHISSVSTLDSEMTEDNGNPIWKNRVESWKEKKNKKKKTSIKVEIEAQVPPEQLMEDKPAADAFQPLSTVIPIPKSRLAPYRTVIIMRLIILGLFFHYRVTNPVDSAFALWLTSVICEIWFAFSWVLDQFPKWYPINRDTYIDRLSARYEREGEPSELAAVDFFVSTVDPLKEPPLITANTVLSILALDYPVDKVSCYVSDDGAAMLSFESLVETADFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQSSFVKERRAMKRDYEEFKIRINALVAKAQKTPEEGWTMQDGTPWPGNNTRDHPGMIQVFLGYSGACDIDGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPEVGRDVCYVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYGPPSMPRLSKSSSSSCSWCGCCSCCCPGKKAPKDPSELYRDAKREELDAAIFNLREIDNYDDYERSMLISQRSFEKTFGLSSVFIESTIMENGGVAESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFGGGRLKWLQRMAYINTIVYPFTSLPLIAYCTLPAICLLTGKFIIPTLSNLASVLFLGLFLSIIVTAVLELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTAKAAEDAEFGELYIVKWTTLLIPPTTLLIINMVGVVAGFSDALNKGYEAWGPLFGKVFFSFWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLVWVRINPFINTVDSTTVVQTCTSIDC >KJB57389 pep chromosome:Graimondii2_0_v6:9:12384787:12391728:1 gene:B456_009G161200 transcript:KJB57389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESGVPVCHTCGEHVGLNGNGEPFVACHDCNFPICKTCFDYELKEGRKACLRCGNPYDENLLDDAEKASGDRSTIAAHMDKSQDAGIHARHISSVSTLDSEMTEDNGNPIWKNRVESWKEKKNKKKKTSIKVEIEAQVPPEQLMEDKPAADAFQPLSTVIPIPKSRLAPYRTVIIMRLIILGLFFHYRVTNPVDSAFALWLTSVICEIWFAFSWVLDQFPKWYPINRDTYIDRLSARYEREGEPSELAAVDFFVSTVDPLKEPPLITANTVLSILALDYPVDKVSCYVSDDGAAMLSFESLVETADFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQSSFVKERRAMKRDYEEFKIRINALVAKAQKTPEEGWTMQDGTPWPGNNTRDHPGMIQVFLGYSGACDIDGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPEVGRDVCYVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYGPPSMPRLSKSSSSSCSWCGCCSCCCPGKKAPKDPSELYRDAKREELDAAIFNLREIDNYDDYERSMLISQRSFEKTFGLSSVFIESTIMENGGVAESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFGGGRLKWLQRMAYINTIVYPFTSLPLIAYCTLPAICLLTGKFIIPTVTIYSIVFYLMPVIPLILFASHR >KJB61575 pep chromosome:Graimondii2_0_v6:9:49203689:49207350:1 gene:B456_009G367200 transcript:KJB61575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSSFRKSSCLSRRFSGSRSLAKTAALATVSPTVNQSEISSSSSSSSQPTPLAEAEAEAEEEGQSWSTMLPELLGEIMERVEASEDRWPQRQNVVTCACVCKKWREAMREIVRASSPGSGKITFPSCLKQPGPSDFPNHCIIKRCKKNSTFYLFLSLTPSFTDKGKFLLAARRYRHGAHIEYIISLDADDLSQSSNAYVGKLSSDFLGTNFTIYDSQPPHSGAKPSSSRTSRRFASKRISPQVPAGNFEVGKVSYKFNLLKSRGPRRMVCSVKCPLPEERADKHLDDSKAKIVEGAAPGLVILKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLAATVDPSQPGGKGDEEKVLLQFGKVGDDTFTMDYRSPLSPYLAFAICLTSFGTKLACE >KJB62601 pep chromosome:Graimondii2_0_v6:9:66696957:66697476:1 gene:B456_009G425400 transcript:KJB62601 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MIC-3 MACPLTHKVVKGELKNGFDDCLTSLNYSNYQGATITIKKDSLPTPFAQNRVVDGLYGGLVYDVGRVKWIILWTTHCKVATKIIPTENHVVWEDIVSILQPYDSSDNLPLSCGGAFAAEAHIHTNGDGSLNPTAQIMWSGCK >KJB55842 pep chromosome:Graimondii2_0_v6:9:7060130:7061462:-1 gene:B456_009G097500 transcript:KJB55842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKWKLSKKEASGTHCSSSSKFSLPRSFSTKSISSKSPLLRSSSQKNSCSSSSSSSSSKCALPRSYSQKTSISRKCSSLAKEQKARFYIMRRCVAMLVCWHKHGDS >KJB61642 pep chromosome:Graimondii2_0_v6:9:50409848:50412924:1 gene:B456_009G372300 transcript:KJB61642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRFKGIGWVGGIYQKFETLCHEMDNIVNQDTVKYVENQAQSVGKSMKRFYSDVMLPLKHDAKGVALKRSTTIGTSFESKVAEVDPIEKRPDHASNELFHSVQLSIPASVDAFDGADSDKMSPLVSDVMKTTSSDVSREENAIRKMASRSDVPELISQSEDKFIDMEFQSPKRETRVSDNTTVDEVNKQLECGFGEICHVDQPGNLNSVDSLLGKQYVTSEQVAEEHATMEKHSASMVSGLLSPFEKESSGSSMLSKFIDCNEKEMPFEAEVPPSTSVQDVRKPSVSDVSESIFPGEEESFGASMVNEIVNCDDEIPSVVQSDVSSATLVQGDQNERKDKNDRAGVSDCVSDASGDVTSYKMTSSGIRSEEVMAEVGVVSPCGSVLKEINFPEKNSLEHSPAKALISHDPINVAELTGPISSGNDLSMASPENDAYRTANSPKSLTEISGSKNVYFGGEPAQIQALSLSNIGHINDSTDDINISSMETIELYDEVKLEDSCHIPDVTALYAVSHIMNKHKSYKKRIKDALTSKKRLVKEYEQLAIWFGDADMGSDHNHFQTPQPSSSTATSKSKNTQTELVCDSDWELL >KJB62117 pep chromosome:Graimondii2_0_v6:9:57964270:57965469:-1 gene:B456_009G401500 transcript:KJB62117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGNLETLVSACAGGSCDNKIICETLATSESDSDDHLHPAEKHIEEEIPSDFPPESFCLSKDAEFDWFDRNAFYERKDSHKGNSGPNSANLNPNLNSISNSQRFSLRKSKASIIGLPKPQKSCFVETKNRKPGNTRLFPKRPGSVKSDRPVVEPSSPKVSCMGRVRSKRDRNGRLKKNSQKSTGVETVKEKTTGKRSGLFSSFRAIFRSSGKASESDALPVAQWPPGNRDIRSRLPPDDRDAISMEPEIMESEPVSLGGMKRFASGRRSEPLI >KJB61579 pep chromosome:Graimondii2_0_v6:9:49294772:49297711:1 gene:B456_009G367800 transcript:KJB61579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLILCFLLGFFNSSLLSLSLPLPPSHLCRPEQSSALLQFKSNFSLSISNCGQEVHIVSVHTTDSWDEGTDCCKWKGVVCDNKKGNVIGLDLSCSGLIGSLQSNSSLFSLQNIRWLNLARNDFDNSEIPSEFGKLRSLTYLNLSDTGLTGLVPPEISLLSELVSLDISLNPLLFSNHDFNMLVHNLTKLENMVLDYMDLSLVVPYSFLNLTVSLKHLSLSYCYLQGNFPTQVFHLSYLQNIKLRYNINLIGYLPETNWSSPLRLLDVSETRFSKGLSDSIGNLKHLKTLNLDSCVFMGPIPSTLGNLTKITFLDISGNIFQRKIPDVFGNLNDLSFMDFSSNNFSDVFPPSAFNLTSLTFMDFSSNFLQGTLPNNISGLSYPRELDLSANLLSGRVPGWLFSLPSLDSFFELINLTSLDLSSNNLSGNIKSCMLVKLRNLRSLDLSFNNLLSLTRCSNDVNSTLPMIIDFHFSFCNMKRFPSFLNASKQLKVLDLSNNQIHGSITKWKAKGWEGLDILNLSMNFLTTIEQIPGKHLSILDLRSNSLQGLLPTPPQELYYFLISNNELDGEIPSKICNLSFLSVLDLSNIKLGGTIPDCLGTFSDQLSVVKLRTVNLNGNQLEGLILQSLSNCHSLEVLDLGNNNINDTFPYWLGTLPHLQVLVPRSNRFHGDIQNFNGTFSFNSLRMIDLSRNEFTGHIPPKLFENLKSMKDISVDKGGPKYMGEDYYHGSVIMTLKGLDFKLERILTSFTVIDFSSNHFKGSIPKEVGELNSLIVLNFSHNSLAGNIPPSLGKMAALESLNLSSNKLQGRIPVLLTDLTFLGALNLSYNNLEGQIPLANHFDTFSNDSFDGNPGLCGFPLSKKCGNNQEPESPPSIVADESETTLVWKIAAMGYGSGLVLGLSMGYIVFTTGRPRWLVKMIKRNPQKRRRIHRNGSRKN >KJB62177 pep chromosome:Graimondii2_0_v6:9:59159051:59159879:1 gene:B456_009G405000 transcript:KJB62177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSSAMAEKVSWYCALLMAVMLVMSCCQEPNERDEEGMMRVVHQSKKPCDEIYVVREGETLHTISEKCGDPFIVEHNPHIHDPDDVFPGLVIKITPFLSTTGRP >KJB59851 pep chromosome:Graimondii2_0_v6:9:23139562:23141441:-1 gene:B456_009G276100 transcript:KJB59851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVELKMVYGGDGGGSFARKVVETALKEAANSGIQGVEELITLMSKNQELFNPDVSIKTAAPSGSAESAMDIQAVTDKTVNSFKEVISLLDRPRIGHARFRRAPVLHPEQDTEQASKKIQEPETGSSSFQVTKDQVSAFKPFCSTPSYRLPPLPHSRPQSKRSPPLIAKIGVLERNESPSTINFSSSRTLSAGNSFISSSTGNTDSFQPSGFQFTSPSHVPSSGKPPLFSSLKRKCNSLDGAALKYGSFSRRCHCSKKRKSRLKKVIRVPAISNKTADIPPDEYSWRKYGQKPIKGSPHPRGYYRCSSVKGCPARKHVERALDEPMMLIVTYEADHNHRHNSTDVSPALILESS >KJB62821 pep chromosome:Graimondii2_0_v6:9:68895805:68898876:-1 gene:B456_009G438500 transcript:KJB62821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGGQNLPADVIQIIDQLERHCLSPDGSLISKSAYYDLQLAREEMSRERLRYLEAMAIYCEAMAMVEEYQQAVSVANLGGIRDIQGFYPQLGLKNFPQVYETLEHRLVVAEAAQRLRLPLISKDGEIHEEEIEKWSTMSRSSLDSTSTSVTISSSSNSLNYANSAATSGAAANNTGDSGEPGVGGVPNRFLGITPAYLWQTQLQRVPLSMDMADYQLTLSREIDARLKSKCDKLADAFVDDIDSSSGSQSSSSQHPERVKFIIEEIEREEAALREDLYSADRKFAEYYNVLEQILGVLIKLVNDLKLQHQHQYICKRCETMSAKLRVLEHVLLLETYTQESIPALHKIRKYLVEATEEASPAYNKAVTRLREYQGVDPHFDTIARQYHDVVKKLENMQWTIHQVEMDLKRLPDHASS >KJB56624 pep chromosome:Graimondii2_0_v6:9:9634525:9636200:-1 gene:B456_009G127900 transcript:KJB56624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFTLFLVIFCAFFQLSRPFTVIMSDSGVPSTLIDGPQTGFSINKNGARTNPQEQDAVYDIMRATGNDWATDIPDVCRGRWHGIECMPDKDNVYHVVSLSFGALSDDTAFPTCDQTKSYLSESITKLPHLRTLFFYRCFTCNPQPIPKFLGQLGSTLQTLVLRENGHIGSIPAELGNLTRLRVLDLHKNNLNGSVPVSLGMITGLRSLDLSGNKLNGSIPAFSFPVLNVLDMSQNLLMGSIPFTLGSCQSLVKIDFSHNRLTGSIPDSFSGLKELILMDLSYNRLSGPFPTSLSSLTSLQALILKGNQMGSTAIPSDCFDGMKDLMILVLSNMNLHGPIPESLGRLNSLRVAYLDGNHFNGSIPSNFRDLKNVSELRLNDNHLTGPVPFGREMVWKMGRKLRLNNNSGLCFNANNGFEDAFDRGIGLCDAPKPGSARTVQHLSNNTNGDMTTTINVSAGAVRKTSVSVRLSQLASLFLFILLSLQGK >KJB62758 pep chromosome:Graimondii2_0_v6:9:68366672:68368084:-1 gene:B456_009G434800 transcript:KJB62758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSATALRVMMSTLWGDDPSQDLIEFRKRLDEIVITFAAPNVSDFFPILAPFDLQGIESKGKEQVSWFYGVFESMIKNRRNIRDDGKEKENISKDFMQQLLELHWRGDEKNSLSINEVKALLLDMMLAGTDTIPTAVEWAMTELLRHRDKMTKLVKELDMVVGNQNTVEDSHIPQLVYLDAVIKETLRLHPVAPLLIPHVPSETTVIGGFTVPKGCRVFINAWVIQRDPELWDDPLRFHPERFLETDINYRSNNFGFFPFGSGRRICVGVSLAEKMMALLLGSLVHSFEWGLSEGTKPSLEDKFGIVLKKTEPLVGIPVARLPNLEQYQ >KJB58434 pep chromosome:Graimondii2_0_v6:9:16255312:16255848:1 gene:B456_009G209700 transcript:KJB58434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNNLIFTLAILFALLILADGIRFTEEVRLSKLDKDEYYSGELVTKTLTRSRNKIEDSRGIHGADAAAVTTISASNTKDLHATTPGHSPGTGHSTPPNGHK >KJB61796 pep chromosome:Graimondii2_0_v6:9:51797286:51800282:1 gene:B456_009G381500 transcript:KJB61796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEYVEPVVGIAYCLGTPVCKYLQYHRKLNDYVRNFKRIRDELNCKMEDIELQLKAELLRPLGKIPKKGVENWLKAVKEMIREAQVVENKVSNGRYLCRTCNGKLVDEKIREMKEFLNSAPNASEGLAMDGPSAGLPLPTSELVGEEAVRNEIWACLMQEEVSKIGVWGMAGVGKTTIMKHIHNYLLKQQRFERVIWVTISKEFSVMQVQDNIASALKAKEYLDKEEDKLRRAAILSEMLKKAGKHVLILDDVWDKVSLEEVGIPEPSCSTGCKLVLTTRSEHVCKYMGCKVIKVKPLSEDEALILFLNKVGPNIVQSPNIMPTLKLVVKECAGLPLTIVVVAGTMKGEDNPLIWKNALGELKERIGKVEGVEAEVIERLKFSFDHLKDEKVKYCFLHCALYPEDFEIEKDELIECWIDEGFIDDMGTRQEMKDKGYVILKKLGDNCLLENITNVFGQPRIKMHDAVRDMALSITSMNPRYIVQAGLQLKELPKRGKWSPDIEKVSLMHNSITEFPVDVLPIKCQLLTTLLLQENPIKKIPYSFFTNMPCLSVLNLSSTKIKSLPNSISELKNLTTLLLRCCVELRDLPCLSMLQELKKLDLSVTKIEEVPEGMDMLIKLRYLDLRVRTLKEIPAGLLPKLVHLQHLSFEVDNEKTSLKAEEMEPLKKLECFTGRFEDIGELNKFISSMQQSKKSLIKYHLRVGSYLIPVWRTPERDKTVVIGGVDNSEGELIMHPIEIQELRIIEYDYLRSLVDDKSSFKNEIDLRVCRILGCEGIECVVSLSSFASSSAHPFQSLEMLDLKHLPKLSALVMKDEGIGSATTSALAPSATFSHLKEIEIVNCSSMKTLLPHWLLPNLQNLEKISVSLCDEVVEMLGAATSEVEEKGSDALIKFHLPKLREFRLSMLPNLKSICSKSGVMVCDSLQLIQVLDCYKLKRIPPLVPLVGNGQPFAYAPPSLTIRSFKEWWESLEWDNHPNFKNVLQPLWKDEMYELFMV >KJB55722 pep chromosome:Graimondii2_0_v6:9:6656769:6661861:-1 gene:B456_009G091300 transcript:KJB55722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSVTAARQFLTEEAARALDEAVAVARRRSHAQTTSLHAVSALLSLPSSTLRDACARARSSAYPSRLQFRALELSVGVSLDRLPSSKSVEDPPISNSLMAAIKRSQASQRRHPESYHLQQLHSSNNNTNATGCSQTPSVLKVELKYLILSILDDPIVSRVFGDAGFRSCDIKLALVRPPVTQVSSRFSRAHCPPIFLCNLADSISGRVGYNLLFPGQEDGIDENCKRISEVMGKKSGKNPLLVGVCAMEALRVFTESLTKGKAGILDGDLAGLIPISIEKEINEGNEENLGLKLKEVEAILEKCDGSGGGVVLQVGDLKGLILDGVSSDVATSLVLKLTGLMEVYRRKLWLIGAVDSVEIYRKFSDKFPNIEKDWDLQLLPITSSKSSFDGAYPKSSLMRSFVPFGGLFPTPSDLRSPLSGRDQSSPRCNLCNEKYEQELDAFLKVGSTVSVADQYSENLPSWLRMAAVDTSKGEDAAKANAGETMLSAKVLGLQNKWNDICQRLHCAPTFPKLDIHPSASQVAIVEGPQFPTDKKQRSGGDLSINGSLFPNQSPSRQIQMQQIFLPNHTTSISCTSAAKNMNFQSRLHADVSSLAQQTEKDVPWLTHHPHQRLSSCSGPSPSSFVPPVTTDLKLGTIYASTSQESNSTKSPNHQEHLQRFSGSVSAEFDANSENTSYQFAQSSSCSGLTSGEQFDLGDYKSIRKVLAEKVGWQDEAVNSVSQAVSQLRHRYRSSRGVNCKGDMWLTFLGPDRVGKRRIASALAEVLFGKQEYFISVDLSSQDKVSHSDSIFECEELNGYDVKFRGKTVSDFIAEELRKKPHSVVFLENVDKADFCVQHSLDLAIRTGKFPDSHGREISINNMVLITTSAITKGNMHILPEKEHMKFPEERVLGAKNWQMQILVASVTDDASRSNDTGIRLTTIKEAFSSTSANKRKLINTSESSELEKTDTQEREPKVSRSCLDLNFPVEETDEADIDLGPSKSESLSENSEVWLDEFFSQVYKKIHFKSFDFDGLANKIVKEISSQFQRTVGSEVLLEINEEVMVQILAAVWFSDKKGALEDWVANVLGRSFAEAEQKYNLNPQSVVKLVACEGRVVKEQAPGICLPAKISLS >KJB56244 pep chromosome:Graimondii2_0_v6:9:8169961:8170699:-1 gene:B456_009G112000 transcript:KJB56244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPNEESTQLSLLIFGDLLDSIIVDVASESHRIAKLGLDPKLEEEEEESHPSVASVIFDCMNCGRSIAAGRFAPHSEKCMGKGRKAR >KJB60485 pep chromosome:Graimondii2_0_v6:9:28301630:28302474:1 gene:B456_009G308000 transcript:KJB60485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWSGLRVVLALHCYLGEADDQNPSQHQCSSHCLCFTIISGGEMYESPSSCCFIFINKTQTLYSENPQT >KJB55771 pep chromosome:Graimondii2_0_v6:9:6816406:6821598:1 gene:B456_009G093400 transcript:KJB55771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNVKVIQNFVWKIIRFSGSCSYKFVRRYPVVAGFFIFVLFVYVCFPSYFYILMYSSPIFICTAISIRFYWKTKRPEVQMVHKKDSEERSSADVNRSKVPSLRPQKSVRRNARKEVLQWDRNDSPDSNLLFGSGINDILSDKSNLLEENSRSLNVKGSSNVEHGESSSQNEKRNDQALNDPKSLLDNETLKPHSVSGDSFGGQSGKSPVGGGGGGGEVEKERLEKRKDVKEIKVREDRDKAVEWTKDDEKHLMDLGLTEAERNKRLESLIAKRRARKMFKMAIEKSLMDKGIVPHNHIAPILIVKNNILGCSNHANEEVLQMPGSAPSILLPTQNPFDLPYDPFEEKPNLTGDSFQQEFMAVNQRDMLFCRHESFHHGPLFTFETTQDSINDPFNPYYSAEKRLVGGPAVDRFRKADDEGGHHQNNSRGFGSDIDLIELEESINNDTINSLEEKSEEITESANDKTEIGETNENPHDLSGSEMRVEIDSTKNNDSCYSASSSDDSESGLDQTAKPLSLCTNQVRKAFNLSIPPKGKAVTKLPFDSSPSPRRTEFNLFYNTYRRQSHTRNCSIASDLQVEVSEVGSTTLSTDGTSSPVEGSVTYDGDVERDINSDNEELWGGSFNLSKEANQEKLRELDDIIEEDSVEVKVSGLNKKPEEPIASISPSELEKASNVSDKLSPENPGKPVQLRGKSVIHSPSESCFQKPEQFIDPQQKPTEENIICNVKPITQGDANSLEPKSSETKANGAQALNEPAALGEMGKPDDAINLDSSGYKHGNKETSYEYKRTVESEKKMEENQPSKYIEEDAQNLIEYNTGNAPNAVQSIDELESIPASGVNQNILEDNVSGVEQRLGGSIAVAPNRRLELEQTYLSSGASPRSVLPQNILADQIPVSDIEQRRQTDWPGSVTEDIVRENSADNQPHENSTFNMPRSTQRLAENSIQDSSSNSGPATSEESSCVTKKRTDGSTAHNMNELVLEGTQGNDGSLKSSKDESKTLTSSEDTEELSKTSGESNFDSIEHLGGSEKLIEHNTRTDSSKSKEGNAKTDDPKTMVREKSAAEVDRVCNVKDSLTNKVTNIESSNPVLDGEGQHQILSRQKAVVEPSKTSGATSAGSDKDTKHESATLTKAEANAGLSTSKGESNSSNNIKNGGDTQKLSGQERLSDASQSREENLNKAISESISGVDSTATTGISIDHKIATEASKPKEPEVKAVNTKENDSNEVAK >KJB60724 pep chromosome:Graimondii2_0_v6:9:31882333:31888770:1 gene:B456_009G323400 transcript:KJB60724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGNAAYSSFCWITICRISQLGSQGIWIPQGHYKAALICGHLVRTKAIATLCCTWGIVKFPILARGQKGQACRYCHYSSGKTSKTATDFSRVLKAWFLAERAYLLG >KJB60104 pep chromosome:Graimondii2_0_v6:9:24937448:24938130:-1 gene:B456_009G289900 transcript:KJB60104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKTTLLLAVLCLFLVCEIGMLMVEAQVQRPECEGKCASRCSKSWKPKMCLKTCNACCNTCDGCVPPGPTANKEVCPCYAKYKNGKCP >KJB61500 pep chromosome:Graimondii2_0_v6:9:47795770:47796646:1 gene:B456_009G362300 transcript:KJB61500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFQMKKVQQCTHGKLWNSSSEALTLTDKKVWQGSHYADFPEIIEDGDASEFTHESVTDDADIHGSVAGLVYRRRDGTKWIVAWSNPQAENSKVYTDIQRQPVHWGQIKTNLEKRGKPKFKVTKFGYNASIEIDPVSRSPTMKASFELEA >KJB58229 pep chromosome:Graimondii2_0_v6:9:15498348:15501077:1 gene:B456_009G200100 transcript:KJB58229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVQVLHMNKGNGDTSYAKNSTVQRKIISFGKTIIEEALLELSSNYDIDSMGIADLGCSTGPNTLSAISQIMDIVRATSGHLRRRVPEFRLFFNDLYSNDFNSLFMLLPAFYKMLKEEKGIGLAPSQCFISGVPGSFYGRLFPSNSLHFVYSFSSLHWLSQVPVGLESYAVKHLNKGKVYISKSSPQSVVNAYSSQFQADFSQFIKSRSQELVPGGRMVLSFLGRKSIDPTTEDGCYQWELLAEALMSLVKEGLIEEDKVASFNAPYYAPCAEELKVEILKEGSFIIDRLEAFEVDWDGGAVADTHNEQGKMMVGERVAKTIRAVVESMLESHFRLGQDTMDVLFSKLAEIVGNHLSKTRTKYINFVISLVRKASHINLEAQPMDVFLF >KJB54273 pep chromosome:Graimondii2_0_v6:9:2098164:2098661:-1 gene:B456_009G027600 transcript:KJB54273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILQSPGIYYRSELDHNGILVYTGTIISDWGGTLELEIDRKARIWARVSRKQKMSILVLSSAMGSNLREILENVCYHEIFLSFLTDKEKKIGSKENAILEFYQQFSCVGGDPVFPESLCKELQKKFFQQRCELGRIGRRNMNQRLNLNIPQNNTSPQFQLNGPLLV >KJB61767 pep chromosome:Graimondii2_0_v6:9:51417875:51419719:-1 gene:B456_009G379200 transcript:KJB61767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRGKGKKDYRFDSVDHALILFNKMIVKYRKPSIVEFTKLLGAILRMKHYAIVVSMCSQMELLGVSHDVYSMSILINCFCQLGRIDFGFSVLGKMLKLGVEPDVVIFSTLINGLCNQSKISEAVFMFDEMTEKGYQPNLIVYNTVLKGLCKTGNTDRAVRFLRLMESRGFEPNIVAYNTILDCLCKNRLLKEALDLFSEVKVKGIRPNIITYTCLIHGMCNLGQQEEATRLLNEMVDNNISINIDTYNILIAALCKEGTISKAVETVDMMIMQGIEPDVVTYNTLVDAHCKEGMVSEAEDIIDAMIKRGIEPDIVTYNTLVDAHCKEGMVSEAEDIVDAMMKRGIEPNVVTYSALINGHCLQNEMHKARRVFNLMIEKGCAPDIVTYSTMINGYCKGKRLDKAMELFHEISLKGPIPDTVTCSTLMQSMFQLGKVSTACELFRKMLASGQVPDRVTCLILLDGLCKTGHIEEALKLFQAMRNSGLELDTVPYTILIDGLCKAGRIEFAKELFCLLSDNGLKPDVYTYCIMINGLCKEGLPDEAYRLFGSMGDNDCLPNSCCYNVMIRGFLHNSYTSKATQLLMEMFGKGFSADIITATLFMDLIIHSNKSILL >KJB57115 pep chromosome:Graimondii2_0_v6:9:11297548:11300883:-1 gene:B456_009G148700 transcript:KJB57115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQAELQQEEITYRSKLPDIYIPNHLPLHSYCFQNIANVASRPCLINGTTGKVYTYADVELTARRIASGLNKLGIQQRQVIMLLLPNTPEFVLSFLGASFRGAIATAANPFFTRAEVSKHAKGSNARLIITQASYVDKVKEFAQDNDVMVMCIDSAPEGCLHFSELTQADDNDLPEVDIASEDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYFHSEDVILCILPMFHIYALNSIMLCGLRVGAAILIMQKFEIGLALELIQKYKVTIAPIVPPIMFTIAKSSETDKYDLSSVRMVKSGGAPLGKELEDAVRAKFPGAKLGQGYGMTEAGPVLAMCLGFAKEPFEIKSGACGTVVRNAEMKIVDPDTGSSLPRNQAGEICIRGDQIMKGYLNDPEATARTIDKDGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEAMLIAHPDIIDAAVVGMKDEAVGEVPVAFVVKSGKSEISEDEIKQYISKQVVYYKRISRVFFIEAIPKAPSGKILRKELRAKLATKKH >KJB60707 pep chromosome:Graimondii2_0_v6:9:31046019:31047820:1 gene:B456_009G321100 transcript:KJB60707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKGRGSVILFPLPFQGHINPMLQLANILHERGFSISIIHTRFNSPNPLNYPLFRFYPIPDGLSENHVISSDPNRIVAFVKFLNSNCQTPLRNCLAKLISTSLEDEDPIACLVTDALWYSTQAVADDLKLPRIVLRTTNVSSFIVLSSMSLLFEKGYLPLQDSVAEMEVPELPQFRFKDIAMLEASDRESFLQFIEILVQETKASSGIILNSCEDLEQEFLSKLSLLFPIPVFLIGPFHKYFPASSSSLLPQDRTCISWLDKQQPNSVIYVSFGSVAAIEEADFLEVAWGLANSMQAFLWVVRPGLVQGSEWLELLPNGFLEMVGERAHIVKWAPQQEVLAHPSTGAFWTHCGWNSTLESLCEGVPMICQPSFGDQKIDSRFVSHVWRVGVHLEFKIERGEIERAIRRLMVEPEGQEMRERIKLIKDKINLCLKLGGSSYKSLDNLVSYILSM >KJB59749 pep chromosome:Graimondii2_0_v6:9:22491311:22491993:-1 gene:B456_009G269500 transcript:KJB59749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISDAVIGNLMMIYLAVIAGIKAYGLVCGRSFGGGFVLIVSSTVVGFILVGTLTWDVSRKATYAISRDYAASVHVQEMCKGGICWHGVAVRSPASQVRFRIPPQIPYRSL >KJB61452 pep chromosome:Graimondii2_0_v6:9:47103228:47105351:1 gene:B456_009G358700 transcript:KJB61452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMFEILRRKRQVKLESLILNRSSFAQTVENLFALSFLVKDGRAEIVVHGSGSHIVSPKNAPAASSIASGEAAYSHLVFRFDFKDWKICFKIEEI >KJB57775 pep chromosome:Graimondii2_0_v6:9:13870685:13871965:-1 gene:B456_009G180000 transcript:KJB57775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQASNFLAFSSSPSKQIHAAISVPKLPSIRFSVPKVPTKSLPVELNTRDGFVNTIPFEKNVIGSETRPVQESSSVAMATVQLYAILESVVDRVEIHNNVGQQRENWNALLLNSINMITLTAATMAGVTATGGAGISVLGLKLASTLLFSAATGMLVMMNKIQPSQLVEEQRNATRLFKQLQGQIETLLAIGSPSKEEVKDAMEKVLALDKAYPLPLLGVMLEKFPESLEPAVWWPKTQSQKTNKQKHSNGKVESNGWTEELELEMRQVVEVIKRKDSEDYERLGNKALKMNKVLAASGPLLTGIAALGSAFMGPSINGPWAAIMAAAAGALASAVNTFEHGGQVGMVFEMYRNNAGFFKLVQESIEWTLSESDLEKRENGELFEMKVALQLGRSVSQLRDLAKKSNYSRLEGSPIDEFASKLF >KJB59205 pep chromosome:Graimondii2_0_v6:9:19650189:19653115:1 gene:B456_009G244700 transcript:KJB59205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQSSNGPLSANFSKAKPYFLMIFLQFGSAGMYIISMVTLNQGMNRYVLVVYRNAIAALVLAPFALVLERKTRPKMTFPIFLQIMALGFLEPILDQGFTYLGMKYTSASFTSAIMNAVPSVTFVIAVIFRLEHIKMKEVRSIAKVVGTLVSLSGALLMTLYKGPVIDLIWSRHTSHNGSSGDSSDKHWISGTLLILVGCVAWSCFYVLQSITIKKYTAEISLSSLICLAGTIQSLAIALVVEHRPSGWAVGWNSRLFAPLYSGIVSSGITYYVQGMVMKTRGPVFVTAFNPLCMIIVAALGSAILGEQLHLGSIIGGIVIAIGLYCVVWGKSKDSRSPSAPANVDCNQPELPISEKYGANATKLDIATIHSAKQGGK >KJB53601 pep chromosome:Graimondii2_0_v6:9:10942387:10943104:1 gene:B456_009G144300 transcript:KJB53601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFGKLTKLKSAIKRWPSLTKLTRSSSAIAAAAEPEGKSVPKGLHAVYVGKSRRRYLVGSEIMCHPLFQELIDRSSGGMDDDGDDDHDHYHYDDDDNDGGGHEVVVSCEVVLFEHLLWMLENDGAQLGSMEELVEFYTC >KJB59191 pep chromosome:Graimondii2_0_v6:9:19499690:19501635:-1 gene:B456_009G243600 transcript:KJB59191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVERVQEIANFSKETIPEEFIRTTYEQPALTTVQGTVLEVPVIDLSDPDEEKVVRAIVDASSNWGMFQVVNHGIPHETVRKLKEVGKAFFELPPHEKEAYAKSPGSQSIEGYGTKLQREVEGKKAWVDHLFHKIWPPSEINYQFWPKNPPSYRKNNEEYAKHMHGVVNKLFRCLSLGLGLEGNEMKEAMGGDNMVYLLKINYYPQCPRPDLALGVPAHTDMSAITILVPNDVQGLQACKDDHWYDVEYIPNALIVHIGDQVEIVSNGKYKSVLHRAKVNKEKTRISWPVFVEPPSDLEVGPHPKLVNEANPPQFESKKYSQYCFCKLNKTPK >KJB61314 pep chromosome:Graimondii2_0_v6:9:46554918:46555493:-1 gene:B456_009G356000 transcript:KJB61314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEARPGRRGTGRRRIELKRIPNQKKRWVTFSKRKKGLLSKAARLSSITGEDIGVVIISEQGRFHTSDNADAVIDRYLSIEAGKDNNGDGRNDDAGTSNQGIVEDDNNYDDGVTLRLFPQEIGQDDDHNDDGRFGNGRVMDTQGLNLNQWDNVWKINEGEIKGENCFIDLNKPPHNDDDDGAGDDTMIHFL >KJB56934 pep chromosome:Graimondii2_0_v6:9:10790629:10791493:1 gene:B456_009G142400 transcript:KJB56934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRLFCFLLALQIVRWTCATSRPLAPIDGFGVHQPGQKNPEPLQVPFSSKEMSLQSSKSKERSGVTFEEAKAEGVSKLGIGSSPPSCERKCYGCTPCEAIQVPTTSKRIHVDLQYANYEPESWKCKCGPTFYNP >KJB62711 pep chromosome:Graimondii2_0_v6:9:67757001:67759502:-1 gene:B456_009G432100 transcript:KJB62711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGKWLSLVLAVILFEFLGYRRIQASFTPTDNYLIVCGSSQNVTFQGRTFVPDFLHSSISLKTKRSYYVASSNSSVPSSIFRSARIFSSIASYEFDIKQQGRHWVRLYFFPVVVPKSGDNLISAPITVATDGFVLLNNFTFENYNGSFLFKEFAINVTSGTLTLTFVPWNDSVSFVNAIEVVSIPDSVLPNQALTLNPSTPISGLSEFGMETVYRLNMGGPLISAQNDTLGRTWENDSKYLRVNGSALNVSVDPASIKYTDSITEETAPNWVYATAETMGDANVSNMNFNVTWVFPVNPNFRYFIRAHFCDIISQSLYALVFNLYINDDIAAASLELSTLTSNLNVPYYMDFISSSSAGSDTLTVSIGPDSMMDITNATLSGLEIMKISNEAGSLDGISSVKNLLPLSSLKKNKIWIIIGSLVGAVIAVVFIGFFCCCLLMRKSKANHQGHPLHGNSQTMTKMSMASKKSGTASCISSASSSFGRFFSFQEILDATNKFDESLLLGVGGFGRVYRGMLEDGTNCAVKRGSSRSEQGLAEFMTEIEMLSKLRHRHLVSLIGYCDERSETILVYEYMANGPLRSHLYGTNFPSLTWKQRLDICIGAARGLHYLHTFAARSIIHRDVKTTNILLDENFVAKVSDFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSSHKKGMLNQIMDSNLVGKLNPASLKKYGETAEKCLAEHGTEGPLMGDVLWNLEYALQLEEMSSVLTEPNDNSMNHIPAIRLTPLESFDNSVTMTNGGNSGTDCDAEDSLVNPCGQ >KJB57339 pep chromosome:Graimondii2_0_v6:9:12170892:12171363:-1 gene:B456_009G158800 transcript:KJB57339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEVEEFQELEVIFSDLRSCRYEEADDGCFGFSKTARLSLNSGKFEKNSTGKKMADCSLPVNVSRRRRHCCTVFDYGGEADELEGGELVPPHVIVERRVAGKMAFSVCSGNGRTLKGRDLCQVRNSILRMTGFLEA >KJB58336 pep chromosome:Graimondii2_0_v6:9:15895865:15897395:-1 gene:B456_009G205200 transcript:KJB58336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSGIYLLFLLNFFSFGAIFSSATSFTLENRCSFTVWPGSLTANGPPLGDGGFVLAPGSSSRLQPPPGWSGRFWGRTGCNFDNSGSGKCVTGDCGGALKCSGGGIPPVSLIEFTLNGHDNKDFYDISLVDGYNMAVAVKAVGGTGTCQYAGCVNDLNTNCPAELQMMDSGSVVACKSACAAFNLPEYCCTGAHGTPQTCSPTKYSQLFKNACPTAYSYAYDDATSTMTCTGADYLITFCPTS >KJB56226 pep chromosome:Graimondii2_0_v6:9:8117788:8119512:1 gene:B456_009G111200 transcript:KJB56226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEAKESISTKRGLRRNEILPFTLEFLRGRWFALFASFLIMASSGSVYLFGTYSNEIKTTLGYDQTTINLLGFSKDVGANVGILTGLIAETTPTWFVLLLGAALNFGGYFMIWLAVTGKIAKPMVWQMCVYMAIGANSQNFANTVGVVTAVKNFPESRGSLIGLLKGYAGLSGAIITQVYLAVYGNDSKSLIFLIACLPTALSLIFIYTIRTMGPVKHPNEHRVFNQFLLLSIVLALLLMVKTLIERKITFSKGTNAVITTVLCLLLFASLYISIREALVVWNIKKQPPTAITIEQSKSQVVESSEETSTKPTSSEQVDEKIDKSCFLTVFDQPERGTDYTILQALTSIDMLILLVTTIFGLGASLTAVDNLGQIGESLGYDNRTVTTFVSIISIWNFFGRVFSGFVSEILMVKYKLPRPLMMTMVLLFSCIGYLLIAFPFPGALYIASVIIGITFGAQLPLIFAIISELFGLKYYATLFNCAQIASPLGSYIFKVKVTGAIYDTEALKDVAAKGLAAKQLTCIGSHCYRLSFIILASVSCFGALCSLILVMRTRKFYQSDIYQKFRENADTL >KJB61276 pep chromosome:Graimondii2_0_v6:9:43939693:43941734:-1 gene:B456_009G349100 transcript:KJB61276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFAAAGSSGGDDKALTTADGQSQIIQVCVKSQDGNKVVYNIKRNAKLIKLMHAYCRKKQLDIHTVRFIYEGHRVPGKYTSDELNLEDGAEICCMFHQSGGGVCSMPKITSAFI >KJB60640 pep chromosome:Graimondii2_0_v6:9:29883349:29885343:-1 gene:B456_009G316600 transcript:KJB60640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFHTSSNTSLSPTSTSSLPTSPTSSMVEQTIQNAESIIKKWDLNSSSLITITPLFHPNRKEAKDYLKCVMDLRGTMHFLVSQNSAPHKLVIAQTLMQIAMKRLEKEFYQILSSNHERLDPELVSTSLSSDGSASFDVEEDEADQLKIAGESISEVERVSALAMADLKAIAECMSSSGYGKECAHIYKLFRKSIVDRGLYLLGIEKFKSSRINKMDREYLHLTIKNWLNAVKIALKSLFNGEKILCDHVFSASQTIRDACFAEITTEGAKNLFKFPELIAKNKNSPPDRIFRLLELHGAISELRPDIESIFNVELTSAIKLQALSSLQKVGGSVKTLLTNLGSSIRKDSSKTPVPGGGIHPLAQSTMSYLSSLSDYDRILTDIISIHPPIDNALFPESYFNDPTVIEAPKQAASVHLAWLILILLCKIDSKAQLYEDLSLSYIFLANNLQFIINQVQTSTLKHLLGHQWLSRHTQKIKQHALSYESVAWNRVFESLPERTTSELSYEAVKDCFKRFNAAFEQVYVKQISWIVPDGKLRDELKVSIAGKLVPVYREFYETFSTKLNADFVRVSPDDLGNYLSDLFHGTRISSSSLLQSSSQSKGCLLR >KJB55516 pep chromosome:Graimondii2_0_v6:9:5785922:5788185:-1 gene:B456_009G080300 transcript:KJB55516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLHGSNPSTYSPVCIEPQDGKMEADEIDALPGQPDGVDFDQYAGYVTVDQKAGRALFYYFVESPRNSSSNNPLILWLNGGPGCSSLGYGAMSELGPFRVNSDGQTLFRNDFAWNNVANVIFLESPAGVGFSFSNTSSDYDNAGDTTTAIDTYTFLVNWLERFPQYKSRDFFIAGESYAGHYVPQLASTILYNNENTNQTVINLKGIAVGNGLLDEAITLRGIYDNFWTRALNSDETYKGIHKYCDFANDTASEKCFDFTGQADDEIGDIDIYNIYAPICLDSSLKNGSHGSVHDFDPCTDYYVENYLNIPEVQKALHANQTEWTHCRDYAWTDRPPTILPILKNIIQSGVSVWIYSGDKDGRVPVAATRFSLNVLKLGVKKGWHAWYSEKEVGGYVVEYEGGLALVTVRGAGHLVPSNQPQRALTFISSFLQGTLPPSTP >KJB60358 pep chromosome:Graimondii2_0_v6:9:27035806:27041277:1 gene:B456_009G301600 transcript:KJB60358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSSTFESSLRRGNPTSRKAAPSSSESSKSAAAAPPRRSRSVSAFSRTSSSDFSGFSIKRDNPLFVNTTNHNNSNSTSNDEDDDDSGALFPNSGFKSDRITSKTKPKAVAAGDYNNRRGRPVSRTGSDGKQWSGSGNSISKESSRSLSVVDTRRRGRSVSRTPLSRTNVATSESEVEQEGNSWMKSKNKGNLSATSGNGQKGNSAQSRSSLTRSGHRKPTDPLDASPTSLSFQGENLVGDATAASDIYRTVKSEVRRAISDIQNELQGAIRSNATTNRVELASDIQHEYATKLEQSQERARQLRADLAVEEHRGMELSRILKEVLPDAKTPSTKKSHPRRKTSIERRKISKCLTDDALAYFDECVSLSTFDGSDFSSIEDPPLKCVGTVDVDGVSLPHANSSIPSTNFPSSYLHDKQEGPFTYNHDVSGLTSGDGIMEQNSIDCEWNRKFQFSFSPKPGSLCELQQDIKKYVKGFEKDTGKIDVDSRIKQRNSYDREEYNLLGSQQSLLFDHVFLKNRLDTGSLHLCNGGCFSASFLPFASLI >KJB60357 pep chromosome:Graimondii2_0_v6:9:27035695:27041277:1 gene:B456_009G301600 transcript:KJB60357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSSTFESSLRRGNPTSRKAAPSSSESSKSAAAAPPRRSRSVSAFSRTSSSDFSGFSIKRDNPLFVNTTNHNNSNSTSNDEDDDDSGALFPNSGFKSDRITSKTKPKAVAAGDYNNRRGRPVSRTGSDGKQWSGSGNSISKESSRSLSVVDTRRRGRSVSRTPLSRTNVATSESEVEQEGNSWMKSKNKGNLSATSGNGQKGNSAQSRSSLTRSGHRKPTDPLDASPTSLSRLETAKWNDSVSTSSFSEAELMESFQGENLVGDATAASDIYRTVKSEVRRAISDIQNELQGAIRSNATTNRVELASDIQHEYATKLEQSQERARQLRADLAVEEHRGMELSRILKEVLPDAKTPSTKKSHPRRKTSIERRKISKCLTDDALAYFDECVSLSTFDGSDFSSIEDPPLKCVGTVDVDGVSLPHANSSIPSTNFPSSYLHDKQEGPFTYNHDVSGLTSGDGIMEQNSIDCEWNRKFQFSFSPKPGSLCELQQDIKKYVKGFEKDTGKIDVDSRIKQRNSYDREEYNLLGSQQSLLFDHVFLKNRLDTGSLHLCNGGCFSASFLPFASLI >KJB61059 pep chromosome:Graimondii2_0_v6:9:37155184:37161313:1 gene:B456_009G338000 transcript:KJB61059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGESYEEAIAALSKLLSEKADLGSVAAEKIKQITADLEAAGSCDTDNRIKTGFLHFKSEKFEKNPDLYGTLAKGQSPKYLIFACSDSRVCPSHILDFQPGEAFMVRNIASMVPPYDKNKYCGVGAAIEYAVLHLKVENIVVIGHSNCGGIKGLMSIPDDGTTASDFIEQWVSICGSAKTKVKSEKNEMSFAEQCTYCEKEAVNVSLGNLLTYPFVREALVKKTLVLKGAHYDFVNGKFDLWNLNFRISPTLDL >KJB62427 pep chromosome:Graimondii2_0_v6:9:64273084:64275708:-1 gene:B456_009G416400 transcript:KJB62427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIPQGKPLSSLSSVDPLSWGVAADSLKGGHLDEVKHMVSEYRRPLVKFGGETLTIAQVAAVAKSELGVKVELSEGARAGVNASSDWVLEGLHNGVDSYGITTGFGANSRLRTKDGAALQKLLIRYSGIRFEILEAITKLLNHNVTPCLPLPGSVTAFGDIIPLSYIVGLLIGGPNSKAIGPNGEPLDAQEAFRVADIDSKFFELQPKERLALVNGTAVGSTMAAMVLFEANILVVLLPEFIDHLIHKLKHHLGQIEAAAIMGHIPEGSTIFTMAKRIHEIDPLQKPKQDNYALRSAPQWLGPQIEVIRFLQYLANPVTTHVQSIEQHNQDVNSLGLISALKTAEVIDLLKLMLTTFLVALCQAIDLRHLDDNLKDIVKNIVGQIAKKTLTTGTNGKLRPLRFYEKDLLQAVDRVYIFTYIDDPSSATYPLMQNLRQVLVEHAMIEGEMEKNPSTSIFQNIASFEDELKVVLPKKVENVRARVENGNAAISNKIKDCRSYPLYKFVTGPLGTGLLTGQKVTSPGEEFDKVFNAMCQGKIIDPIMESLKELNSAPIPIC >KJB61261 pep chromosome:Graimondii2_0_v6:9:43693437:43694549:1 gene:B456_009G348800 transcript:KJB61261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVNLPEHYMDLFKLYDLIGGDHFNIFVAGLKTADRVVTVSHGYSWELKTAEGGWGLHRIINENDWKLRGIVNGIDTKEWNSQYDIHLKSDGYTNYSLETLQTGKPKCKAALQKELGLPVHEDVPLLGFIGRLDQQKGVDLITEAIPWMIGQDVQLVMLGTGRPDLEQMLRQFENEHHDKVRGWVGFSVKTAHRITAGADILLMPSRFEPCCLNQLYAMNYGTIPVVHAVGGLRDTVQQFNLFEESGVGWTFDSADASKLIHALGNCLLTYQQYKTSWEGLQIRGMKQDLSWDNAAEKYEEVLVAAKYQW >KJB58862 pep chromosome:Graimondii2_0_v6:9:17991274:17991746:1 gene:B456_009G229200 transcript:KJB58862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLKSMNQDIIWVALLGLVLGVLARSCVEGLQKSTEEENVRQQFDKVCSNAFRRTEDGFVTLTVKFLHFFISRRLQLRYLPAALFPAPQTMSMLFWVAFTRLISSMPDNPLQ >KJB61791 pep chromosome:Graimondii2_0_v6:9:51755798:51758455:1 gene:B456_009G381100 transcript:KJB61791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHYAIVVSKYRQIELLGVSHDVYSMSILINCFCQLGRIDFGFSVLGKMLKLGVEPDVVIFSTLINGLCNQSKISEAVCMFDEMTEKGYQPDSIVYSTMLKGLCKTGNTVRAARFLRLMESRGYEPDIVAYSTVIDCLCKNGLLQEALNLLSEMKVKGIRPDIITYTCLIHGMCNLGQQEEATRLLNEMVDNNISLDIVTYTLLIDALCKEGTISKAVEIVDTMRKQGIEPDVVTYSTLVDAHCKEGMVSEAEDIVDAMIKRGIEPDVVTYNTLVNGHCLQNEMDKARRVFNLMIEKGCAPNIVTYNTMINEYCKAKRLDEAMEFFHEISQKGPIPNIFTYNTLLQSMFQLGRVSTACELFRKMLASGQVPDLVICSILLNGLGKTGHIEEALKLFQAMRNSGLELDIIPYNILIDGLCKAGHIEFAKELFHQVSDNGLKLNVVTYRAMINGLCKEGLPDEAYRLFGSMGDNDCLPDSCCHNVMIRGFLRNSYTSKATQLLTEMVGKGFSADIITATLFMDLIIHSNKSILL >KJB56744 pep chromosome:Graimondii2_0_v6:9:10096532:10098333:-1 gene:B456_009G134000 transcript:KJB56744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIETSRTNKTPTATPLLFPLRLPPLQAIYQTPKLKVRNTLPRWLLPLKHFHKHLAAMAEDGAVTLYKATSITDAKKNSFSIKAGLAQMLRGGAIVEVSTLSQAKIAEEAGACCLVITEPNRQGISRMPDPSIIKQIKRCVLIPVMARSRVGHFVEAQILERVGVDYIDESEVLAIADEDNFINKHNFGCPFVCGCKNLGDALRRVREGAAMIRTQGDLLGTGNIAETVKNVRSVMGEIRILNNMDEDEVFAFSKEIAAPYDLVAQTKQMGRLPVVHFAAGGIVTPADAALMMQLGCDGVFVGSEVFDNGSDLYKRVRGIVEAVRHYNDPHVLVENSCGLEEEMAGLNVSEETMEPFGEGGA >KJB61865 pep chromosome:Graimondii2_0_v6:9:52488764:52489721:-1 gene:B456_009G387200 transcript:KJB61865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFEFLPVDKDWEEKARESNLDGVSSQWLSEMTNVNGNVEPVDFANVKLGQYYEVVVTTLTGLYRYRVGDVLKLTGFHNNSLQFQFVERRNTVLSIDMDKPSEADLLKAIRNAKRHREPLGFVLMTFSSYAETSSIPGRYVLFWELKFKERNRCLKLDAKIMEQCCSIEEESLDFTYKCLREANKIAALELRVVKHGTFDAVMDFYVSKGASINQYKTPSCIQSEEAVMPKC >KJB61151 pep chromosome:Graimondii2_0_v6:9:40522353:40522759:-1 gene:B456_009G343500 transcript:KJB61151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIALVVFSLALVLLISIGDAADIKLTPEQEKKIGDELEDAFLIGVAKGLSQSGVEISPKQEEKILSEMLIHRVKEFLDPIQPGIAEKAMESPKAKTMLAELIQKLRIKRNESENIGRKRK >KJB54822 pep chromosome:Graimondii2_0_v6:9:3666554:3667945:-1 gene:B456_009G050700 transcript:KJB54822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTQSLKEKHVAVLAFPFGSHDLTILGLACKLARAAPNVQFSFFTIPKSNHSKFSTFKFDVPNNIRTYDVEDGVPVNHVFSGIPVERLDLFLKATPGNFQKGLDAAVMETGRKVNCLVTDMFLTFAADMAEDMKATWIPLCVSIPHNLSAHVYTDLIRRLFSHVGGDKNGGQHQTLELIPGLSPMHVKDLSDEILPRHSNETFFSYTLSKIGCVLPRSTAIVMNFCQELYPTPLFDDLKSMFPALLNVGFLTQELPPSPLPPSDSDTTGCLSWLDRQSSKSVVYISFGTAAAPPGEELKALAEAIEESDIPFIWSLNNDHKHHLPNGFPQRTNKQGKLVPWAPQAMVLGHASTGVFVTHCGANSVFESIANGVPMICRPMFGDHWMIGRVVEEIWGVGVKVEGLVFSKSGVLESLKLVLGHEQGRQMRERIKALRELVLKAVAPSGSASQDFKTLVETILNS >KJB55670 pep chromosome:Graimondii2_0_v6:9:6443795:6445465:-1 gene:B456_009G088100 transcript:KJB55670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIASRSSFLRPILRTATTSTKARTKIPFSVPSSSLRSNFSLHQTRISPPSLSRFVRRELSTLQPLHSAVASACLVSKLPSDANSCAEGRFANYISPI >KJB53843 pep chromosome:Graimondii2_0_v6:9:641385:645653:1 gene:B456_009G007400 transcript:KJB53843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGLSTIQQTLTPEAASVLNHSIAEASRRNHGQTTPLHVAATLLSSPTGFLRQACIKSHPNSSHPLQCRALELCFSVALERLPTAQNANSGSPGQDPPISNALMAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEQSLNSTSSNSANTTGPIGLGFRPVVAPTPAVAAPSANRNLYLNPRLQQGAAGQQRNEEVKRVIDILMRSKKMNPVLVGESEPELVVKEILRKIKNKEIDGVLRNVEVLHLEKDFALDKTQTVAKIKELATKVGAMIGNLDCGGVILDLGDLKWLVESNQPMGLAGGVQQQQQQQQVVSEAGRAAVVEMGKLLGRFGEGNGRVWLIGTATCETYLRCQVYHPSMENDWDLQAVPIAARAPSPGMFSRLGSNGILGSSVESLSPLKGFATTAAQPRQPSENFDPTRKTGCCPQCMQNYKQDLTRLLAAKEHEQRSSDFKSEPTRPALPQWLQNAKAHDSDIKTMDQAQAKDQDMIWTQKTQELQKKWNDTCLHVHPSFHQPSLGSERFTPAALSMASLYNSSLLGRQPFQPKLPLNKNTGEALQLNPSLVASQPMEQASSPPGSPVKTDLVLGRPKIIETSPEKPHKERLRDFLGCIPSEPQNKFQDLQSNKLLNTLDIESFKKLLKGLTEKVWWQRDAASAVATTVTQCKLGNGKRRGTGSKGDIWLLFTGPDKVGKKKMALALSDQVCRAHPVVICVGSRRGDGESDVHFRGKTVVDKIAEAVRRNPFSVVVLEDIDEADMLVRGSIKRAMERGRLADSHGREISLGNVIFILTANWLPGNLNLSSNGIITLDEKKLVGLASGGWQLKLSLSEKTAKRQASWLHDEDRATKPRKETGSLSFDLNEAADVEDDKADGSHNSSDLTVDHEEGQGLTNRLLSNSTSSSVPHELLNSVDDAIIFKPVDFGPIRRDISDFITKKFCSVIGDRVTIKIVDEALEKITSGVWIGRTGLEEWTEKALVPSLQQLKTRLPASEESSLVFRLELDSETCNRNNGDWLPSSVKVDVDDGF >KJB62963 pep chromosome:Graimondii2_0_v6:9:69686937:69689074:1 gene:B456_009G445900 transcript:KJB62963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPQSVVSPLKNTVIAESGEKQKSNTEEAVLSKKDNNILGVLEVYVHQARDIHNICIYHKQDVYAKLCLTTDPQTTVSTKIINGGGRNPVFNDNLRLDVGNIDSCLKIEIFMMSRVKNYLEDQLLGFALVPLSEVILKNGKLEKEFSLSSTDLFHSPAGFVQLSLEYIGSSPDVMTIPNVVVDETVKDSETEELEKIEFPDPKIVNENQMMVSEYFGISCSNLDSKNSEMGVNVLDSIQVPELDSPPSSVSTNGVSLSSSESSDGASKEKTVDVGDGDSNLSGAKPVVSVNIEPEMKVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPAKSENSNSSTTSDQKTPASKNSGNRVFYGSRAFF >KJB62961 pep chromosome:Graimondii2_0_v6:9:69686730:69689074:1 gene:B456_009G445900 transcript:KJB62961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPQSVVSPLKNTVIAESGEKQKSNTEEAVLSKKDNNILGVLEVYVHQARDIHNICIYHKQDVYAKLCLTTDPQTTVSTKIINGGGRNPVFNDNLRLDVGNIDSCLKIEIFMMSRVKNYLEDQLLGFALVPLSEVILKNGKLEKEFSLSSTDLFHSPAGFVQLSLEYIGSSPDVMTIPNVVVDETVKDSETEELEKIEFPDPKIVNENQMMVSEYFGISCSNLDSKNSEMGVNVLDSIQVPELDSPPSSVSTNGVSLSSSESSDGASKEKTVDVGDGDSNLSGAKPVVSVNIEPEMKVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPAKSENSNSSTTSDQKTPASKNSGNRVFYGSRAFF >KJB62962 pep chromosome:Graimondii2_0_v6:9:69686937:69689022:1 gene:B456_009G445900 transcript:KJB62962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPQSVVSPLKNTVIAESGEKQKSNTEEAVLSKKDNNILGVLEVYVHQARDIHNICIYHKQDVYAKLCLTTDPQTTVSTKIINGGGRNPVFNDNLRLDVGNIDSCLKIEIFMMSRVKNYLEDQLLGFALVPLSEVILKNGKLEKEFSLSSTDLFHSPAGFVQLSLEYIGSSPDVMTIPNVVVDETVKDSETEELEKIEFPDPKIVNENQMMVSEYFGISCSNLDSKNSEMGVNVLDSIQVPELDSPPSSVSTNGVSLSSSESSDGASKEKTVDVGDGDSNLSGAKPVVSVNIEPEMKVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPAKSENSNSSTTSDQKTPASKNSGNRVFYGSRAFF >KJB61113 pep chromosome:Graimondii2_0_v6:9:39764933:39768136:-1 gene:B456_009G341500 transcript:KJB61113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRGVESAHAHDDGNGNGQAVSYTYCVRETTADATPLPVPKKLTAQDILSTQSQSTSLGSVWNKAGTWEVKELGRQYLSLKELLKSVGSLDLSCGKAEISDVTKCVGDAFLVTIRNKKRVGYTYELTLKIKGEWHLREEKKTVKGHIDIPKFLFGELDDLQMEEFTEKERELRTSSLTRKQPNTGSKETRLDEVLWRHKERKPFAYGLCNECYEEFMKVSGGLDGGSDPPAFQRPEKERLAKLSIEENAKVCSVIILSKGLRTVTG >KJB63179 pep chromosome:Graimondii2_0_v6:9:51825326:51829089:1 gene:B456_009G381800 transcript:KJB63179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVAGIANCLGTPVCKYLQYHRKMNDNVRNFKRMRDELNCKMEDIELQLKAELLRPLGKIPKKGVENWLKDVKEMIKEAQVVENKVRNGRYLCRACNSKLVEEKTREMEGFLDKAPNASEGLAMDGPSAGLPLPTSELVGEEAVRNEIWACLMQEEVSKIGVWGMGGVGKSTIMKHIHNDLLKEKRFERVVWVTISKEFNIVKLQNDIASALNGQMPEEANKVRRAAVLSEMLKRAGKHVLILDDVWSEVSLEEIGIPKPSSSNGYKLVLTTRVEQVCKSMGCKVIKVKPLSEEEALILFLSEVGPNIVQNQTLMPTFKLVVKECAGLPLTIVVIAGTLRGEDEPLIWKNTLRELKERIGKVKEGEDKVIESLKVSFNHLKDEKMKHCFLHCALYPEDFQIGKDGLIECWIEEGFIDDISTRQEMKDKGHVILKKLEDNCLLENVSSERVKMHDAVRDMALSITRMNPRYMIQAGFQLEELPEKEQWSPDIEKVSLMYNSISEISIDVLPTKCLLLTTLLLQENPIKKISVSFFTNMPCLSVLNLSSTKIKSLPDSISELKNLTTLLLRGCQELRYLPCLLMLQELKNLDLSWTRIEEVPEGMDMLIKLRYLELVAPTLKEIPAGLLPKLVHLQHLGFHEGNEKTSLKAEEMEPLKKLECFTRSFEDINEFNKFISSMQQSKKNLIKYSLQVGLANFLHRRDKGVTIGGVQNWEGELIMHPIEIQELNIINCDYLRNLVDDNSSFKNAIDLRVCRIWWCEGIECVVSLSSFASSSAHPFQSLEMLDLRDLPKLSALIMKDAGIGSATTSTLAPSATFSLLKEITIVECSSMKTLLPHWLLPNLQNLEEISVSHCDEVVEILGAATSEVEEKGSDALIKFHLPKLRKLLFQDLPNLKSICSKSGVMVSDSLQLIQVVYCDKLKRIPPFVPLVGNGQPFAYAPPSLTIGSDTEWWESLEWDDHPNFKNVLRFDSFCG >KJB59870 pep chromosome:Graimondii2_0_v6:9:23343870:23347075:-1 gene:B456_009G277900 transcript:KJB59870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLKSFLRPKLQGLARNKGISFVPIDQSRPLSDQGPFDIVLHKMTGREWHQILEEYRQTHPKVLVLDPPDAIQHLHNRQSMLQCVADMNLFESYGKVGVPRQLVIKRDTSSIPDFVAEAGLRLPLVAKPFVNDGSEKSHELSLAYDQYSLQKLEPPLVLQEFVNHGGVLFKVFIVGEAIKVVRRFSLPDVTIRELSTYVGVYQFPRVSCAAACADDADLDSSVADMFMVGSNLSLLWGLRLFNLDIIREHGTRDHFYVLDINYFPGYGKMPRYEQIFTDFILNLMKNQ >KJB61046 pep chromosome:Graimondii2_0_v6:9:36804547:36804750:1 gene:B456_009G337100 transcript:KJB61046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSKFDLNKEEEEEEGEEEEEEEEEEEEKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEE >KJB62890 pep chromosome:Graimondii2_0_v6:9:69376697:69379859:1 gene:B456_009G442200 transcript:KJB62890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate carbamoyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G20330) UniProtKB/Swiss-Prot;Acc:P49077] MSSSPLFSMSTMKRDSFVMKTITSNKEFKFNVSNSFDKLPVTSPTLLLTGENSLKWGQNESLLRNRMQCCAVEVKNMPGYPMGKKFELDDVIEAQQFDRETLNAIFEVAKEMENIKKNSPGSQILKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAAKRAAATAGIPIINAGDGPGQHPTQALLDVYTIEREIGKLDGIRVGLVGDLANGRTVRSLAYLLAEYQDVKIYFVSPDVVKMKDDIKKYLTRRGVEWEESGDLMEVASKCDVVYQTRIQRERFGERINLYEEARGKYIVDENVLKVMQSHAVVMHPLPRLDEITVDVDADPRAAYFRQAKNGLYIRMALLKLLLVGW >KJB56952 pep chromosome:Graimondii2_0_v6:9:10880233:10881601:1 gene:B456_009G143700 transcript:KJB56952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPFAVIHFRSKIWLRKRKKNPSIIAFTLLLISPGRGFWWFGVRSSKLGKMNIRAAEEESAQEIHIPADIDWEMLDKSKFFFLGAALFSGVSATLYPVVLVKTRQQVAQAQISGIRTAFSIVKHEGFRALYRGFGTSLMGTIPARALYMAALEVTKSNVGSATVNFGIPEPTASAIANAVAGLSAAMAAQLVWTPVDVVSQRLMVQGTHPSCSSPCRYVNGIDAFRKIVKTDGPKGLYRGFGISILTYAPSNAVWWASYSVAQRLVWGGIACYFRKKDDESNDNGNSSSSSNNNNTIRPDSKTVMAVQGVSAAMAGGVSALITMPLDTIKTRLQVLDGEENGRRGPTIGQTVRNLIKEGGWLACYRGLGPRWASMSMSATTMITTYEFLKRLSAKNQESLV >KJB55409 pep chromosome:Graimondii2_0_v6:9:5560494:5562781:-1 gene:B456_009G077100 transcript:KJB55409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKLDTRFPAARIKKIMQADEDIGKIAMAVPLLVSKALELFLQDLCDRTYEITMMKGAKTINSSHLKQCVQGFNVFDFLREIVGKVPDLGGLDAATEDCHVPKKRKVADDDESKKSRMDESCHVTSSSRGRGRGRGRGQGRGRQTAGRETAAHCGKFEDDPNISYFEEKHALSLERHVPDDAVESDESKKHNHAGKNIKTPVRNIDLNADLDENGDLITSIATASPRTDDIPENREEYPGWSLCEIEKMAIDPIKLADSNGGIDDEDYDEV >KJB57152 pep chromosome:Graimondii2_0_v6:9:11425832:11426336:-1 gene:B456_009G150600 transcript:KJB57152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLHTLNPKTMSSHFKVFTTLDVEKPCWATNELHLSMLMAHETTLTNRYYTMLAPKMRRVIKTEDPI >KJB60549 pep chromosome:Graimondii2_0_v6:9:29104669:29109037:1 gene:B456_009G311800 transcript:KJB60549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEAFLSASIEVLLDRIVSGDVLRLIKGKKLEPVLLKKLKPTLMSVKAVLDDAENKQITNPSVKSWTDELKDSSKLEGIRGRLDYLLIQKQILGLKENYKGEKAFQRTSATSLVDESDVYGRDDEKEEIMKLLDPQNLSENQIAVVPIVGMGGLGKTTLAQLQRPQKEFDAFKVTKTILEEIKCSCDGNQNLKRLQLKLKEQLSGKTYLIILDDVWNKNYFHWKELASPFTSGAKNSKIIVTTCDENAATIMRNVPTYRLDHAFDGSSPTKHPDLMAIGEAIVKRCGGLPLAAKALGGLLRCKPDAGEWKKILHSNFWDIPNDATNILPALTLSYHYLPSHLKRCFAYCSIFPKDYEFEKEELIQLWVAEGLLELPKDNGDLEERGTEYFKDLRLSGGGSCVITERTCHLSNVQEEYDVRQKFQSLAKAKGLRTFLNTKSVYLRVPSLAEYTNIKNLPEDIGNLKHLRNLNLSRTRIKMLPNSLCTLYNLQALKLRGCNDLDELPRDLERLINMLYLDIKGTKLARMPEGMGRLKNLRIVTDFVLSCQTGSSINDQLSSLKSLSISGLSGVLLVGDEFYGNGHASTKPFQSIEMLSFKNMAEWEEWYCWSDEAFPLLQELCIRDCPKLTKSLPKHLPRLKKLKIVDCEKLGGLLPTAPSILELELQKYKALQLEPLACGLRELDIRDSNMDDSVLEQMLQRCTLLEKLCLWKCSEIKSLPEVRVPITLKGFSINSCENLDYSNIFLYTSLESLDIKTVGNGSHHQHLTCLNSLYIWRCQNLISFQIEDGLSFTNLTQLRLFRCKSLKSLPEQMHSVFPSFDKLIESLIKKREWSLHTLPSLTSFTILDSDVEMECFPDEHLFPSSITSLHIAVLQNLKSLEYKGFQHLTSLCQLWISNCPNLQSMPPTCFHALFLICGSEMECFPDEHLPPSSLISLRISDLPNLKSLKYKGFQRLTSFCNLYISDCPNLHSMPPNLLPPSLSRL >KJB58633 pep chromosome:Graimondii2_0_v6:9:17061225:17063866:1 gene:B456_009G219700 transcript:KJB58633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGPGLFSDFGKKAKDLLNKDYTSDQKFTISSSSYSGLALASNIVNKGGLSSGDVAAQYKHQNAVVDFKLDTESNILTTLTITDLHPSAKTVASFKLPDYNSGKLEVQYFHEHATVATAVGLKKSPAVDFSATIGTPGIAFGAEASYVTSSGEFTKYNAGVNMTKPDSNASVVLADKGDSLKVSYLHHLNQLNGGAVVGEIARKFSTNENTLTVGCSYLVDPHTLVKAKLNNHGNLGALVQHELRPKSFLTISGAFYTKALEKTPKFGLALSLKP >KJB58968 pep chromosome:Graimondii2_0_v6:9:18403907:18405007:-1 gene:B456_009G233100 transcript:KJB58968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRKLFPAVTETNETIECPDFCDPACPYNCYPYPDYYYLPPPPPPPPPPFSVQNHYISPYVIILVSVLASLILLVGYYVVVVKSCFGWCCSRNNRQSQTLTDASDEEFLDENRIDHPIWFITTVGLQQSIINSITVCKYKKGDGLIEGTECSVCLNEFQEDETVRLLPKCNHAFHISCIDTWLRAHTNCPLCRAHIVFDALCSTPTSADQNPDNMDAIIDNNQTETSEIGGMNSDENRAERELAEANDQRVLKEGDENGVLLESDCMERSSVVAKEMTQIKRCVSMDSSSSSSAASLFLGVQEDVENPDSSIVETQNEVRVMGNSSISQHLHLSPVTMKRSFSCGGRFFSSSKRYRSMNSSILPL >KJB57450 pep chromosome:Graimondii2_0_v6:9:12633252:12635309:1 gene:B456_009G164800 transcript:KJB57450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNNTSNNVGVGWVANASEVLGVHGEYGSCSSRKEKNQRIPKRGPGVAELEKILREQGKSDGIEKGNINNGGVPSSCVAPSNSLPRRATTYLRNIPSPRTPPPLPPPSMTLHVNGTGAENMCGNSRSKGVYISGSGIFLPEKVFLPVTWGSSETRDGPEPAKMAAGFSFPNPKLVSNRSDQNMFPPPMLQMNHGTCAHPSMIGIKKFKQMNLFPRSGISSSSSSAGVYQYHHVELPSTQKSCHSYISTVLAEKHKIIDGKRSRPNFPVENWPPPPPFYSQISGLNPSSSSTNNGVCVFNVGNIYRGSIASSPLELKAKRRGNEDGNPNDNDSALTLGPPITSSSSTQNCQQDLPKYTKQFTFQEMKENTEEQRGAAATAEATLDLKDGTYDCKEKTADFIDLNLKL >KJB55714 pep chromosome:Graimondii2_0_v6:9:6612238:6613627:1 gene:B456_009G090600 transcript:KJB55714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANTLMSCGIATTFPSLLSSSKSKFSGAAVSLPSAVGTNHGHRVSMSADWMPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERYKESELIHCRWAMLAVPGILVPEGLGLGNWVKAQEWAAIPGGQATYLGNPVPWGTLPTILVIEFLSIAFVEHQRSMEKDTEKKKYPGGAFDPLGFSKDPKKFEEYKVKEIKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDVIIPRSLYP >KJB62225 pep chromosome:Graimondii2_0_v6:9:60106539:60107147:-1 gene:B456_009G407200 transcript:KJB62225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYSKPRKSSNASSGGGGASASAGGASERHRLYRGVRRRTSGKWVSEIREPRKPNRIWLGTFPTPEMAAIAYDVAALALKGQDAELNFPNSAASLPVPASKSPRDIQAAATSAAAALGAANDALFGNEDIEGQGNNISRVVPEKPTTAYEFVDEDMIFDMPNVLANMAEGMLLSPPRWDIDIAADHVPAYNTGDYENLWKFP >KJB61550 pep chromosome:Graimondii2_0_v6:9:48914748:48917818:1 gene:B456_009G365600 transcript:KJB61550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSWHFGTPVPNAKGNIVCKLCGKVVKGGITRFKEHIAHKTGNVAPCPNVTSVIRESMMNLLKEGNTKKVDKKRRKDEFLSQLREEEDEHEEFIDEVSAIRQATRESIQSQHEWHRREEFRRSTGGWDNIYEEGRSSQGLAREYHRERTSKFIPSESEFTLRGVIPELVRRKSSKQPKVNDSFLNSFRRKIGETVSKFLIYERLPFQLASSPWLYNLIQLLTPYEVSDVYLESEYQRVHDWVNGLKTHWKELGATLMCDGWTNSLNQMHIINFLVYCSKGTIFWKLVDVSSVCSRDAEFYYCLQHLYWTSCVAHYLDLCLEDIGKKPSVAKVLDEAKKVTCFKYNHIWTVNLMKKYTQGKQILRPALTRFATHFIQLEEITRQKQGLREMFNSKPLVRVLRLVDSNEKPTMDFIYEAVDRAKRAIQQDCRYFTEYEKIIDNRWNFMHSDLHSARYFLNPQFQFGVEHSENVQIETLEGTRSVIERLEPSIDTQVRMVNQLLLFRNEHETFGTLQAQRAWKQMNPTEWWIIYGTCVPELQKLAIKVFSQTTSASNCERNWSTFSYIQTKAINMLKYKKLEKLMRLQKRMSTDDINASFNPISLDYIFEDVDPLSDRLYEKENPLLDGENAGVLPMDTSDDEIDVDQSEQQILSHSSSSSTPSQSGDGPDGGGLSPID >KJB61553 pep chromosome:Graimondii2_0_v6:9:48938404:48939426:1 gene:B456_009G3658001 transcript:KJB61553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEVLERVKRAKEQAAREELEAQRLIPKSTSLSTSMGSGATANNGAAAKASPSTANNGVSTTPSSYNPPNPAAANTDPGPDTKKE >KJB60368 pep chromosome:Graimondii2_0_v6:9:46785564:46786013:1 gene:B456_009G356800 transcript:KJB60368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNVYSALLVLFLTCGAAMATKENDQIIKENNCETKMGLPCVLEAFTCIFETGSISNKCCGELVGLGKVCHSALVKRTLENPLFKDLSPATIIAKSIQTWNNCLALVDSPSPSAEGYMIA >KJB53806 pep chromosome:Graimondii2_0_v6:9:544081:545474:1 gene:B456_009G006400 transcript:KJB53806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGFKFTLLVPLMVACLMASSARNFHNDFDITWGDGRGKIVNNGEVLTLSLDKASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSTWDEIDFEFLGNLSADPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGTPIREFKNMESLGVPFPKNQPMRLYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFNADACVWSNGASSCKSNASPSSASTNSAWFSQEMDSAKQQRLKWVQKNYMIYNYCNDAKRFPQGLPPECNMS >KJB55723 pep chromosome:Graimondii2_0_v6:9:6678125:6682964:-1 gene:B456_009G091400 transcript:KJB55723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQRFKVCVCWRRVFKTRMVEPPLDIKNAFYSFSPSGMITVDDLLRFLIEHQCKKNATNEDAQVIFDSLKHLNIFQRRGLHLEAFFRYLLGLLIHRKIDFCEFRNQFSSVSSVEPIKDVLLRGVRVIELDLWPNSSGNDVNDSDFFVGLQKCLEAIKENTFKASEYPIIITFEDQLNPNLQEKVAKMVTETFEDMLYTNGTENLDQFLSPESLKKKVLISTKLPKEYLEGNTQREEISENEVESTRRMRTVLDEDEEKVVPEYRQLIAINARKLKGGLKNWLSDDPKKVSRISLSKQNLESAAKTYETKTFMFTLQNLLRVYPKRTRLDSSNYNTFVGWMHEHKWLHLICRPLCQRKEISLTIACQPSSNGHGKHLWIMQGMFKANGGCGYVKKPNFLLHKGPNDEDFHHTAFDRYSSPDFYTRDEKQTVTIEDEWLPVWDEEFKFQLRAPKLTVLRIKILDNNTIGRHYFGGQVCLPVS >KJB57136 pep chromosome:Graimondii2_0_v6:9:11366520:11367398:1 gene:B456_009G149700 transcript:KJB57136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVLVVSLPMVILFIMLSFGCFVMGRNKGRQEGRALAAQELGINPQSQGMVSVVDGVMVPPGTPPAPPPPHQHPAFPSVPSPHQPAFPSPTPHQPNTLYLKQQNGMIAA >KJB57570 pep chromosome:Graimondii2_0_v6:9:13160110:13161712:1 gene:B456_009G170700 transcript:KJB57570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to NAC domain protein [Source: Projected from Oryza sativa (Os07g0566500)] MESTDSSSASAHPQLPPGFRFHPTDEELVVHYLKKKAASAPLPVTIIAEVDLYKFDPWELPSKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPIVTSNGNQKVGVKKALVFYRGKPPKGIKTNWIMHEYRLIDNNSTSKPQIVDLPNRKASLRLDDWVLCRIYKKNNTQRPMETDKEYTMEGMLATQLPTSSHQNLNALTSKATSYGSLLQHEDNFFEGILTEGMRSSSNISQLAVSSSLKQNPPMAFPVKRTLPPQYWNEPSSRGSQSGKRFQQGDINCSSRGGNIDDTNSFVSLLNQLPQNTPFYPGSMVGSLGDGVSRQQFILPGS >KJB61397 pep chromosome:Graimondii2_0_v6:9:46240630:46245565:1 gene:B456_009G354900 transcript:KJB61397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIRLVEAKLPAGFRFHPRDEELVCDYLMRKVALTDTFQLMIEVDLNKCEPWDIPETARVNSKEWYFYSQRDRKYATGLRTNRATTSGYWKATGKDKAVHSKGTIVGMRKTLVFYHGRAPKGTKSDWVMHEFRLQPTSNVSTLKEDWVLCRMLHKTKEISKNPSIMGSSYDDIGSSPLPPLMDSFISFHQPNLDDKYEQQVPDSPICPQTKGTLFSPIWTQI >KJB56269 pep chromosome:Graimondii2_0_v6:9:8333019:8335732:1 gene:B456_009G113900 transcript:KJB56269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNAASALFTSSLSRFITVSSISFATFLSICSTFAVMAFAFYFLFKFLSGGNGKTKQAPLPPLLLKPWPIVGNLPEMLINKPTFRWIHELMKGITTGIACIRFGNVHVIVITCPEISCQFLKNQDAVFASRPVSMSTDVTTKGYLTTALVPFGDQWKKMKKVLMGELLSTTRHRWLHEKRVEEADNLVRYVYNLCTSAGGLVNVRVASQQYCGNVPRKMLFNRRYFGEGKEDGGPGFEEEEHISAVFTILAYLYSFCVSDYLPRLRGLDLDGHEKVMAGALAVMQKYHDPIIDERIQQWRNGEKEDVDDLLDVMISMKDAHDDPILTPDEIKAQITEIMIATVDNPSNAVEWALAEMLNQPEIMEKAAEEIDRVVGRERLVQETDFTNLNYVKACAREAFRLHPIAPFNVPHVSVADTTVANYFIPKGSHVLLSRTGLGRNPKFWDDPLKFKPERHLKGDGVSLALTESELRFISFSTGMRGCKGVLLGTSMTVMLFARLLQCFTWTIPPTSQKPIDLSEAKYNLFLANPLVAVAKPRLPSHVYASLSLN >KJB53424 pep chromosome:Graimondii2_0_v6:9:13295083:13297074:1 gene:B456_009G172100 transcript:KJB53424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARGEMINGGNYSPRIAGRPIPRRGQVKIAILMGIAHSFASIFSASSRRQSASHLS >KJB62585 pep chromosome:Graimondii2_0_v6:9:66308325:66312113:1 gene:B456_009G424000 transcript:KJB62585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTDMGKVSKFSWPRVVVRKWLNIPTGADEFHSDYDIHGKVDERRKSCSDEDHYVFVPEDFSEGWLFEAAGGIKPPPVTDSLNLRMFVGTWNVGGKSPHHGLNLSDWLRSPAPADIYVLGFQEIVPLNAGNVLGAEDNGPAAQWLSLIRQALNSNPSDLELAQRYDIATEARTPSSPQLEHQASMKPRISFSDLLSMEDEIGKEDFVTLLNSNSSLYEEGLANPTCLSDNPKQQRFCLAASKQMVGLFLCVWVRADLYQHISNLKVSCVGRGIMGYLGNKGSISISMTLHQTTFCFVCTHLTSGEKEGDEIRRNSDVAEILKRTKFSHSLRGFKEPPPPQTIFDHDKIIWLGDLNYRLAAGCADTYELLKKNDWQTLLEKDQLRLEQRAGRVFKGWEEGRIYFAPTYKYLTDSDDYVVQTSKSKEKRRNPAWCDRILWKGEGLKQMWYSRGESRFSDHRPVYSLFSVQVNLAKNPNYNARSCPPTLSGKSALTSACVAKVQAEELLLIPRVQSCLNTTSRF >KJB59014 pep chromosome:Graimondii2_0_v6:9:18628250:18628579:-1 gene:B456_009G235900 transcript:KJB59014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALFYELAKVAIAQESTSACSPKNNLRDTKVLSCIEGEAPCTIGNNARKSRNVGVGVEFMDEFVEVRQAGFGNGVAERWNVLGGININWRETIGNGLM >KJB61367 pep chromosome:Graimondii2_0_v6:9:45760086:45760433:1 gene:B456_009G353400 transcript:KJB61367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYIVGEGFNKLGDSDNAVSECAICLCNFDDDDEIRELRCDHFFHKVCLDRWKGYRGFTCPICRRPLLRPLKLGAPAVEVILLNYCSFDDSNHRETLWLR >KJB61198 pep chromosome:Graimondii2_0_v6:9:41630420:41637165:1 gene:B456_009G345500 transcript:KJB61198 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MNTLSILTLPSLFRQAKRSQFPHFCSISQSLPFPRPTSILSPLSFRLSFKSPRSPFSAEPQLSDEELEDDEDDDDFEAADEYDEYEDVSGEVSNDFQPSEDEDEDYTENENEKDISVDSSNWQKESTWQRVEKLCNLVKELGEEMIDVDALADIYDFRIDKFQRMSIEAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARRRRLFYTTPLKALSNQKFRQFRETFGDNNVGLLTGDSAVNKDAQILVLTTEILRNMLYNSVGMASSGSGLFHVDVIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELAGWIGQIHGNTELVTSSWRPVPLTWHFSTKTSLFPLLNDKGTHMNRKLSLNYLQLSASGVNSYRDDGSRRRNYRDDGSRRRNSRRHGRNGSFDSIVGMSEQPLSKNDKNMIRRSQVPQVVDTLWQLKAKDMLPAIWFIFNRRGCDAAVQYVEDCSLLDDCEMSEVELALKKFRLLYPDAVRETAVKGLIRGVAAHHAGCLPLWKSFVEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRTSTGRIQLSPNELLQMAGRAGRRGIDERGHVVIVQTPYEGAEESCKLLFSGVEPLISQFTASYGMVLNLLGGAKVTRHSNESDETNTLQARRTLEEARKLVEQSFGNYLGSNVMLAAKEELAKIQKEIEALTYEISDEAIDRKSQKLLTEVAYKEIADLQEELRAEKRVRTELRRRMELKRFSALKPLLKDFENGHLPFICLQYKDSEGVENFVPAVYLAEVESLDGSKIKNMVSVDDSFALSSVGTSDTHQDVEPTYYVALGSDNSWYLFTEKWIKTVYRSGFPNVALTRGEALPREIMRTLLDKEETQWEKLADSELGGLWCIEGSLETWSWSLNVPVLSSLSENDEVLHMSQAYIESVERYREQRNKVARLKKKIARTEGFREYKKILDTAKFTEEKIKRLKARSNHLINRMEQIEPSGWKEFLQISKVIHETRALDINTHVIFPLGETAAAIRGENELWLAMVLRNKILLELKPAQLAAVCASLVSEGIKVRAWKNNNYIYESSSTVLNVISLLEEQRNSFVQLQEKHEVEIACCLDGQFSGMVEAWASGLSWRELMMDCAMDEGDLARLLRRTIDLLAQIPKLPDIDTLLQKNATTASDVMDRPPISELTG >KJB54150 pep chromosome:Graimondii2_0_v6:9:1774214:1780724:-1 gene:B456_009G023200 transcript:KJB54150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEDKYLAKVSGIQSLSSSVQSTPEKHGHSDDASRSPELLQEFLKSGPRKELLRTCFHKEKKSSASSKSKMSEVVKSGNKIIKKPDLRKVSSTANSQSSARKQNRKGENPIRVLPATEQSSDHGFSSSWICKNSACRAILSIDDTFCKRCSCCICHLFDDNKDPSLWLVCSSESGEGDYCGLSCHIECALQREKVGVVDLGQLMQLDGSYCCASCGKVSGILGSWKKQLMIAREARRLDVLCYRIYLSYRLLDKTSRFTELHEFVKDAKAKLETEVGPVNGVSAKMARGIVSRLSVAGDIQKLCSLAIEKANEWLVTMSNTNPKCQDSRPAACRFLFEEVTSSSVVIILIELSTASSDDIKGYKLWYFKSRDETHTKEPSSIFPRTQRRILISNLQPCTEYTFRIVSYTEAGDLGHSEAKCFTKSVEIIHKNPTSAAVMCQKNVNHLTEGSSKELTAVGSSGFKVRDLGKILRLALAQEQGCFEGFCSADIEKCCGAIKNIKPETREEDHMPSVSRGLDLNVVSVPDLNEELTPPFESSRDEDNGCTLELAVEADDDAASLEIEKNRLARSHGSGDSQTWTNGATGEVPAVDSHTELCRKRAANSNEETHDCDSTLINGSPFRITNDSGSLDENFEYCVKVIRSLECEGHINQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISSKRPRNGFCSKLWH >KJB60359 pep chromosome:Graimondii2_0_v6:9:27041341:27043963:-1 gene:B456_009G301700 transcript:KJB60359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGPRPNGIYVPPAPQQQPSQNFKPKSEWKHQQDASFLLIYLPAFAVDQLTITPDSSTATLKIEGKRRLPNNKTLPLDEVFNIPPELHLSKMEKVFGRGILTLKFPRISNDVSQQPSTNELVEETPNLPPETTADSVDEKMADDGKAAEPETTAMEETGSVRDKSEEGKLEGDGKGEGAAKKDESKGSSANKEVEEKVKENKETRKEDDKTMLVNMGLAVVIVMGLGVSMLYTLVGH >KJB62972 pep chromosome:Graimondii2_0_v6:9:69880644:69881174:-1 gene:B456_009G447600 transcript:KJB62972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTLMLSWALIICLSLVAVQSQYYSETLPYRPRPVKVTNLHFFMHEFTGITAVQVAQVNITSSDNNSSVPFASLVAVNDPLRTGPEPDSELIGNVQGIALLAGTNASSTQYIDFGFNTGKFNGSSLSVFSRGEPGLAVVGGRGRFMMATGVALFNPILINATNVIIEFNVTVIHY >KJB53697 pep chromosome:Graimondii2_0_v6:9:204805:210822:-1 gene:B456_009G001800 transcript:KJB53697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSREILNFLIRKDVKKILKRKDSDAGQRGKALEDLRASIFNKFRSSESAKRQQQRICGPVAALTFNFMVAVGIIFMNKWVLKNVGFQFPVFLTFIHYAVSWALMAILNSFALLPASPPSKSTPLSLFTLGVVMSLSTGLANVSLKYNSVGFYQMAKIAVTPSIVLAEFIWYKKKVTFSKVVALTVVSIGVAIATVTDLQFSLFGACVAVAWIIPSAVNKILWSNMQQQENWTALSLMWKTTPITLLFLVSMIPFLDPPGVLAFHWSFNNTSAILVSAFLGFLLQWSGALALGATSAISHVVLGQFKTCVILLGSFYLFGSNPGTTSIIGAFIAIGGMSFFTYLNLRDKKQQPQPGLQVSSALPKSKLSEGNGDICDGFGTETV >KJB53698 pep chromosome:Graimondii2_0_v6:9:204949:210609:-1 gene:B456_009G001800 transcript:KJB53698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSREILNFLIRKDVKKILKRKDSDAGQRGKALEDLRASIFNKFRSSESAKRQQQRICGPVAALTFNFMVLKNVGFQFPVFLTFIHYAVSWALMAILNSFALLPASPPSKSTPLSLFTLGVVMSLSTGLANVSLKYNSVGFYQMAKIAVTPSIVLAEFIWYKKKVTFSKVVALTVVSIGVAIATVTDLQFSLFGACVAVAWIIPSAVNKILWSNMQQQENWTALSLMWKTTPITLLFLVSMIPFLDPPGVLAFHWSFNNTSAILVSAFLGFLLQWSGALALGATSAISHVVLGQFKTCVILLGSFYLFGSNPGTTSIIGAFIAIGGMSFFTYLNLRDKKQQPQPGLQVSSALPKSKLSEGNGDICDGFGTETV >KJB54933 pep chromosome:Graimondii2_0_v6:9:3998659:3999522:1 gene:B456_009G055000 transcript:KJB54933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEVAVAQPEAPATLGEPIDINTALPLVVRKSQAHGGLARGLHEAAKAIEKHNAHLCVLADDCDQPDYVKLVKALCADHNVKVLRAPSAKALGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEQHEGVEVVQQHKD >KJB58946 pep chromosome:Graimondii2_0_v6:9:18309840:18312312:-1 gene:B456_009G232400 transcript:KJB58946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKDGGTIRKALLIINCIILSIGNCGAPLIARLYFIHGGNRIWFSSWLQTAGCPIILLPIACAYVHRSRTANPTSENKLICMERPLFVAAIVLGILSGLDNYLYSYGLSRLPVSTSSLIIASQLAFTAGFAFLLVKQKFTAYSINAVFLLTIGAGVLALHSSSDRPANESNKEYILGFVMTLAAAALYGFILPLVELTYKKAKQEISYALVMEIQLVMCLVATAFCTVGMLVNNDFKVIGREAREFELGETKYYIVAIFSAIIWQCFFLGAIGVVFCASSLLSAVVIAVLLPVTEILAVFFFNEKFQAEKGVSLALSLWGFLSYFYGDIKRKKPTPETEMTSSLPPNSTLESRV >KJB60709 pep chromosome:Graimondii2_0_v6:9:31062884:31064162:1 gene:B456_009G321300 transcript:KJB60709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQCLKNKKTLYIFRCFSDLFLLGLIQQPISVTDEEITEMVLFPTINEACRVLDEGVVARASDLDVASVLGMSFPSYCGGIMFWADIVGSKHIYLSLKKWSERYGSYFKPSRYLEERAMKGMPLSVAVEAKSSLKWNSKL >KJB61780 pep chromosome:Graimondii2_0_v6:9:51625029:51628455:-1 gene:B456_009G380300 transcript:KJB61780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLQHLPKLSALIMKDAGIGSATTSTLASSASFSHLKEFKIVDCSSMKTLLPHWLLPNLQNLEEIHVRACSQLVEILGAETSEVEEKGSDALIKFHLPKLRELSFSELPNLKSICSKSGVIVCDSLQLIQVFGYCDKLKSIHPFVPLVCNGQPFAYAPPSLTIRSWKEWWELLEWDDHPNFKNVLRFNPFAG >KJB60702 pep chromosome:Graimondii2_0_v6:9:30878158:30878724:1 gene:B456_009G320600 transcript:KJB60702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQVICSTVFPLLCILLLFLSVEAQTCSPSGKIKGKTPPPGQCNQENDSDCCKDGKWYTTDKCSPPVSSQTKATLTLNSFEPDGDGGAPSECDNQYHSDDDPVVALSTGWYNNGKRCLNYINIHGNGRSVRARVVDECDSTMGCDSDHDYQPPCPNNIVDASKAVWKALGVPESDWGGMDIYWSDTD >KJB58195 pep chromosome:Graimondii2_0_v6:9:15380563:15382302:1 gene:B456_009G198700 transcript:KJB58195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFKVAFGFLVTAFLSAAVSLAHPGLGFGWGGVGVPGGQSGAPSYGLFPQFHQFSCPQAEDIVMSVLEKAIAKEPRMAASLLRLHFHDCFVQGCDASILLDNSATIVSEKNSLPNKNSVRGFEVIDEIKARLEEACPQTVSCADILAMAARGSTVLSGGPSWELPLGRRDSKTASLSTSNNNIPPPNSTLQNLITLFQRQGLDEVDLVALSGGHTIGVARCATFKQRLYNQNGNNLPDQTLERTYYYGLKSVCPKSGGDNNISPLDFGSPVKFDNLYFKLILWGKGLLNSDEVLLTGNVGNTMELVKAYAKDENLFFKQFAKSMIKMGNISPLTGFNGEVRKNCRLVN >KJB58590 pep chromosome:Graimondii2_0_v6:9:16888291:16892311:1 gene:B456_009G217100 transcript:KJB58590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal transporter Nramp2 [Source:Projected from Arabidopsis thaliana (AT1G47240) UniProtKB/Swiss-Prot;Acc:Q9C6B2] MNSPNRGEESPKEEPDRDKDAAEESESKRLLPSQSPSLSSDDENEVAFESREKVVIVDLETPESILNVDYVPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATVMGLLIQLLSARVGVATGRHLAELCREEYPTWARLVLWFMAELALIGADIQEVIGSAIAIQILSNGALPLWAGVLITASDCFVFLFLENYGVRKLEAVFAVLIATMALAFAWMFGDTKPNGKELLIGILVPRLGSKTIRQAVGVVGCVIMPHNVFLHSALVQSRDIDPKKRGRVQEALNYYTIESSVALLVSFMINLFVTTVFAKGFYGTKQANNIGLVNAGQYLEEKYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSFAIVPTIVVALVFNTSEASLDILNEWLNVLQSIQIPFALIPLLTLVSKEHVMGVFRIGPTLEKLAWTVAALVIVINGYLLLDFFVSEVKGLLFGLFICIWTAAYIAFIVYLISRGGALPSTWFSIELSKRFSANGT >KJB56414 pep chromosome:Graimondii2_0_v6:9:8820861:8823054:1 gene:B456_009G119200 transcript:KJB56414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILWGPTPQSKGNRFNGRYAKTSNRAKKCLFSPNLSFRLENRIGGISLVYKIFKSNFKFDHPNIYFLSNRNCSPAKGGKLKLKGKALDVKAGGIKKKKKHKKQIQHDDQITQNDPSDAASAGETTEVSVDPNEEDINNAEKSSGEKAAPHYDDHLTPAERRYIEQREQLDVHRLAKQANKSHRDRIQDFNQYLANMSEHYDIPKVGPG >KJB54257 pep chromosome:Graimondii2_0_v6:9:2068448:2073567:1 gene:B456_009G027200 transcript:KJB54257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRLRDRTSCQPKLHNHHYQVTTKTAVAMPNHFTETLTCPLTELATNLSDSELRETAYEILVGACRSSGVKPLTFISHSDKNSGRAPALTSTASLQRSLSSTAASNVKKALGLKSSGKRQVSGESDSERVKKAVTIGEMLRVQMRVSEQIDSRVRRALLRVAAAQLGKRIESIVLPVEMLQQLKPSDFPNQWEYEAWQRRNLKILEAGLLLHPLLPLDKTDTAAQQLRQIIRGALEKPLETGKNNKSMQALQSIALSLACRTFDGSVSETSHWADGFPLNLRIYQMLLEACFDVNDETSVIEEVDEVLELVKRTWVVLGMNQMLHDLCFFWILFNRYVVTGQVESDLLFAANNLLMEVEKDAKAMTDPDYSKIISSTLGTILGWAEKRLLAYHNYFHSDNTETMECVVSMAVLSAKIMVEDISHKYHRTRNDIDLARERVDNYIRSSLRVAFVQIMEKLKSSKRSSKNQQNQFPFLSILAQGVSTLAFSEKAIFSPLLKRWHPLAAGVAVATLHSCYGNELKQFVSGIGDLTPDILQVLRAADKLEKDLVQIAVENSVDSEDGGKSIIREMPPYEAESVVSNLVKSWITTRLDRLKEWVDRNLQQEVWDPQTNKENFAPSAVEVLRIVDEALEAFFLLPISMHAVLLPDLTTGIDRCILHYISKAKSGCGSQSTFVPSMPVLTRCSTRSKFVGVFKRKEKFQIAQGRKSQVGTTNSNGSLGISQLCCRINTLQHFRIELDVLAKRASYHVRNSESAHMDNIADGMGKAFELSTTACVEGIKQLCEITAYKIVFHDLSHVLWDGLYVGEVSSSRIEPFLQELEQYLEVISLTVHYRVRTRVITEVMKASFDGLLLVLLAGGPPRAFHLQDYETIADDFKSLCDLFWSNGDGLPADLIQKFSTTVSAILPLFHTDTDSLIEQFQYMTLETYGGSAKSKLPLPPTTGQWNPTEPNTLLRVLCYRSDETAAKFLKKTYNLPKKL >KJB61708 pep chromosome:Graimondii2_0_v6:9:51056995:51059301:1 gene:B456_009G375600 transcript:KJB61708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALNCCLSSSCFSLQSKLNSLSLKTNINSSSSYAFKTLSFSSNLSHNLFSKGNLSFSTVTPKPIHRSVVVCEAAPKKKADSAAKRARQAEKRRVYHKAKKSEVKTRMRKVLEALDVLRKKPDAAAEEIFPIEKLIAEAYSVIDKAVKVGSLHRNTGARRKSRLARRKKAIEIHHGWYAPAPAENAA >KJB62662 pep chromosome:Graimondii2_0_v6:9:67233408:67236386:1 gene:B456_009G428600 transcript:KJB62662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVSQLPSSSPLTSNKDEMRPKADFQPSIWGDLFLTCPKKDINAETEQRHQQLKEEVRKMVVAPMNNSTQKLNFIDSVQRLGVSYHFTKEIEDELENIYHNNNDAENDIYTTSLRFRLLREHGFNVSCDVFTKFKDEQGNFKSSMTSDVPGLLELYEASYLRVHGEDILDEAISFTTNHLRLVVASLDYPLSEQVSHALKQSIRRGLPRVEARHYLSVYHDIESHNKALLEFAKIDFNMLQLLHRKELSEICRWWKDLDFQKKLPYARDRVVEGYFWISGVYFEPQYSLGRKMLTKVIAMASIVDDTYDSYATYDELIPYTNAIERWDIKCIDQLPEYMKPSYKALLDVYEEMEQLMAKHGRQYCVEYAKNAMIRLAQSYLVEARWTLQNYKPSFEEFKANALPTCGYAMLAITSFVGMGDIVTPETFKWAANDPKIIQASTIICRFMDDVAEHKFKHRREDDCSAIECYMEEYGVTAQEAYDVFNKHVESAWKDVNQEFMKPTEMPTEVLNRSLNLARVMDVLYREGDGYTYVGKAAKGGITSLLIEPIAL >KJB60730 pep chromosome:Graimondii2_0_v6:9:31564141:31569124:1 gene:B456_009G322600 transcript:KJB60730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPVSVARQCLTPEAAHALDEAVRVARRRGHAQTTSLHAVSALLSLPSSPLRDACARARNAAYSPRLQFKALELCLSVSLDRVPSSQLSNDPPVSNSLMAAIKRSQANQRRQPENFHLYRDMSQQNPSSISCVKVELQHLMLSILDDPVVSRVFGEAGFRSSEIKLAIIRPLPNLLRYSRPRGPPVFLCNLENSDPGYENTRFPCHGGFSFPFPGFASFYGGEENCRRVGEVLARRRNPLLVGVCANDALANFTDCLDQKKDGLLVKGISGLNIIRIQNYISKCTTNQGFNKGEMDLKFEEMGREIEGSGSGLVVNYGDLKNLVSDKSEKDDDDDDDDDDDDKVVHEDGISYVVGQLTRLLQVYGGKLWLLGAATSYQTYLRFLSRFPSVEKDWDLQILPITSVRNSLAQSYPKSSLMESFVPFGGFFATPSESKGSLSSSYQHLPRCHLCNEKCEQEVIAISKGGFNVSVADQCQSTLPTWLQMTELGANKGLDLKTKDGQFLNTMVAGLQKKWDNICQRLHHTHPGPESKTYEGSPSFPTVMGFHFVQDKKENALGHSNDNRNALPDEKMSTSLSENPSSIVSKTRNGSVLHKLWEKPSKVGVFEAIEPISPCSLSNSSGGDVSQASPTSVTSVTTDLGLGLCSVSSSNTLMKPSNQNHAGLAEDFPGCLPANVDANNGNISGHPSQSSSTFSPEFCGKLNPSNFKKLFTAVTKRVGWQHEAASVICQTVANGRARTEKCHGASQRGDIWLNFCGPDRCGKRKIALALADVVYGSRENFIGMDLSCQDGGLMHTQLLFNSQEVNYDLRFRGKTVVDYIAEELSKKPLSVVFLENVDKADIQVQSCLCQAIRIGKFSDSHGREVSTSNAIFVTTSTLAKETQVVCHKQHTSEDKILGAKGWPLQIVIKHDDNIIGQDLKLPVTTRKSISKQGFLNKRKLIGSHETLEQHEMMEITKRANRTSSLNLDLNIPAEESEVQDTDDATVDNDWVDESPMHWLQDFFGQSVKNVVFKPFDFDALAEELWDDINQSFCKSIGAGCLLEIESKAMEQLVAVAYVSDEKRVVRDWVEQVLSKGFAEVKEKYKFNAHTVVKLVPYDALTSEEQTQGLGVCVPPKVVLN >KJB55773 pep chromosome:Graimondii2_0_v6:9:6825751:6830712:1 gene:B456_009G093600 transcript:KJB55773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQVEETMSYVYKNPNAPIEDRIKDLVSRMTLQEKVGQMTQIELCVATLDDVRNLSIGSMVSGGGKEPLEKAMASDWAETIDRFQQAAVDSRLGIPLAYGIDAVHGNNRFYGATIFPHNIGLGATGDADLAQRIGAAVALEVRASGIHFNFAPCVAVCRDPRWGRCYESFSEDTNIVRKMTSIITGLQGQPPVGHSKGYPFVAGRYNVIACAKHFVGDGGTEKGINEGNTISSYDELESIHMAPYLDCLYKGVSTVMASYSSWNGCKLHAHHFLLTEILKGKLGFKGFLISDWKALDRLSEPRGSNYRRCVYTAINAGIDMVMVPYRYKQFIEDLISLVESGEIQMTRIDDAVERILRVKFVAGLFEYPFSDRSLLDTIGCKLHRELAREAVRKSLVLLKNGKNPGKPFLPLEKNAERVLIAGTHANNLGYQCGGWTRYWQGSSGRITTGTTILDAFREVMGEKTEVIYEKYPSPNTLSGQNFSFAIVGVGEEPYAESAGDNSELVIPLNGSELISTIADRIPTLVILISGRPLVIEPWLLEKMDALVAAWLPGTEGRGITDVVFGDYEFEGRLPMTWFRTTEELPINKGDNSCDPLFPLGFGLTYKKENLQSK >KJB60202 pep chromosome:Graimondii2_0_v6:9:25463080:25465360:-1 gene:B456_009G293500 transcript:KJB60202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAVSATFLLFVFGFCLRGAFGDYGGGWQGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMRCDSDPKWCLPGSITVTATNFCPPNLALSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPISFRRVPCMKKGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSKTGWQSMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTVTSYNVAPGNWQFGQTFEGGQF >KJB57287 pep chromosome:Graimondii2_0_v6:9:12022197:12024010:-1 gene:B456_009G156900 transcript:KJB57287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATMALSSPSFAGKAVKLSPSAPKIQGNGRVSMRKTATKAAPSGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEVTDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >KJB60223 pep chromosome:Graimondii2_0_v6:9:25678703:25680310:1 gene:B456_009G294800 transcript:KJB60223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIKLWFNLLRGCASFFTSKMMTAHAPWNQLTIDILSSIFERLDLEDRIRFDLVCKQWRTNLKRTPQLLWLMLPYDPSSQYLSFFDMYEGKVRKLDLPRSAQGGWFFGCSKGWLFLATGTNVDNLRIFLFDSISRAQIPLPPLSTISSFEENFTARRSGWNPAACIISGVEVSSFDASQCIVATTFYDNNRILALCKPQDDRWIIVEGLLPDGYFYGNLSFFDGELYACILCEDREINNNQAPNIQTHFITLENHPLGSWDYHDDNNDDDDSDDDNDEAGGNDDNDYDGGSDNDDDGGSDHSADNNDDGRDNDNNDDGGNNDDDDNNADNNYVTSLLMYFQVATFQITKVQTTADDTLHMTGLTDLGNQSLFLGAGDCLAVENCDKFDKNCIYFLHDMDYSSGKEYPLVSREVGVYNVKDMEALNIVSQALKFKTMVHSCIGFHQILNLESLIRNRKQAMLS >KJB59801 pep chromosome:Graimondii2_0_v6:9:22885462:22889737:1 gene:B456_009G273400 transcript:KJB59801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNDDGRGAYSENNSDTESIASDRATFSGPLVLGGGGGGVSNKKSSKKSTRFNLPPEITMAKTNSTRSAASLAGADNDDSYVEITLDIRDDSVAVHSVQGAGGGNEDPELALLAKKTLENKSASFRSYLLRNTSNRIKQVSQELKQVLSRRPSNTGRRFDRTKSAAAHALKGLKFITTKTGGSGNGWSSVEKRFNDLTASTNGVLHQSQFAECIGMNQSKEFATELFQALARRHNVTGDSINKIQLKQFWDQISDESFDSRLQTFFDMVDKDADGRITEEEVREIISLSASANKLSTIQKQAEEYAALIMEELDPDNAGYIMIYNLETLLLQAPNQSVRVGDSRILSQMLSQKLKPTQENNPIKRLYQKTKYFILDNWQRIWVMMLWLGIVGGLFAYKFVQYRNKAAFDVMGYCVCIAKGGAETLKFNMALILLPVCRNTITWLRNKTKMGVVVPFDDNLNFHKVIAVGITVGVILHGGAHLTCDFPRLLHATEEEYEPMETYFGEDQPSNYWWFVKGVEGVTGIIMVVLMAIAFTLATPWFRRNKLNLPKFLKKLTGFNAFWYSHHLFIIVYALLIVHGYYLYLTKTWYQKTTWMYVAVPVILYACERLTRAFRSSIKAVKILKVAVYPGNVLSLHMSKPQGFKYKSGQYMFVNCSAVSQFEWHPFSITSAPGDDYVSVHIRTLGDWTRQLKTVFSEVCQPPAAGKSGLLRAEGDNTSFPKILIDGPYGAPAQDYKKYDVVLLVGLGIGATPMISIVKDIINNMRMEDDSFPGSGLENGNYNNNNNKSKGFKTRKAYFYWVTREQGSFEWFKGIMNEVAEMDEKRVIELHNYCTSVYEEGDARSALITMLQSLHHAKNGVDVVSGTRVKSHFAKPNWRQVYKKIALHHPDARIGVFYCGAPALTKELRQLASDFSHKTSTKFEFHKENF >KJB59802 pep chromosome:Graimondii2_0_v6:9:22885549:22889737:1 gene:B456_009G273400 transcript:KJB59802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNDDGRGAYSENNSDTESIASDRATFSGPLVLGGGGGGVSNKKSSKKSTRFNLPPEITMAKTNSTRSAASLAGADNDDSYVEITLDIRDDSVAVHSVQGAGGGNEDPELALLAKKTLENKSASFRSYLLRNTSNRIKQVSQELKQVLSRRPSNTGRRFDRTKSAAAHALKGLKFITTKTGGSGNGWSSVEKRFNDLTASTNGVLHQSQFAECIGMNQSKEFATELFQALARRHNVTGDSINKIQLKQFWDQISDESFDSRLQTFFDMVDKDADGRITEEEVREIISLSASANKLSTIQKQAEEYAALIMEELDPDNAGYIMIYNLETLLLQAPNQSVRVGDSRILSQMLSQKLKPTQENNPIKRLYQKTKYFILDNWQRIWVMMLWLGIVGGLFAYKFVQYRNKAAFDVMGYCVCIAKGGAETLKFNMALILLPVCRNTITWLRNKTKMGVVVPFDDNLNFHKVIAVGITVGVILHGGAHLTCDFPRLLHATEEEYEPMETYFGEDQPSNYWWFVKGVEGVTGIIMVVLMAIAFTLATPWFRRNKLNLPKFLKKLTGFNAFWYSHHLFIIVYALLIVHGYYLYLTKTWYQKTTWMYVAVPVILYACERLTRAFRSSIKAVKILKVAVYPGNVLSLHMSKPQGFKYKSGQYMFVNCSAVSQFEWYVHSDLMSL >KJB57571 pep chromosome:Graimondii2_0_v6:9:13244956:13246332:1 gene:B456_009G171300 transcript:KJB57571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFPSPSRPTTPPPSPESNASSYRVLVAFCPKEGGSNTNYFNCIQCYNPSNNTWSHVSLIPDLLENHVLKGFAMVSLGESIYIIGGRLWNKRKPQTSSDSAESVNVCVQVSPLVLRYNVRLDQWSKCATLGVPRYDFACCVCDNKIYVAGGKSNLDSARGISSAEVYDPALDEWTPLPSMSSLRYKCVGVTWQGKFYVMGGFAERENSDINLLTFSPQRSSAEVFDTRTGGWDLAVGMWQLDVPPNQIVAVDGKLFSSGDCLKPWKGHIDVYDGKQNMWDEADGSRFQTLNLPFSTISGSSNGNWAPIERLYLTMAPIGTQLYFLAGYRVPGESPRTVSMVYAFDTSATIGAWKSLEPTEEEGEKELCSHCCVVQLS >KJB53930 pep chromosome:Graimondii2_0_v6:9:1008072:1012042:1 gene:B456_009G012100 transcript:KJB53930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFTEEEENALREGGNERARQIYFKAWDPQRNSYPDASNLHMLRKFIKHVYVDRRYTGERSERLPSLEVGYRTESPEIKRVIVFTGRTKSPLYGNRHEWSSNEGFSPAGKSGAVRGFYNESINSRYEGSPRNHRHIEIIDHRLRRDGPGSAIQKDNPNIRQREPVTRSMSSSNLSDRSAPPVVRPIRDILGENAPALKVGEHSKENTGNDTVAKNQKISPPSGIESLIDFSMDSEPSNAAATPNMQQVPPSSKGGNQSSDELSSKGKAPPASNANPLDFLLFDLAAPSAVPVDNVLAVPSTSGAPSTASGQNTSLNSISPAAPEGQLFTLTSSSSSSPVPPAVNVPQVQSPHGHVFSSMQKHQSSLFPASDNSFFTEQSNQTTEASNSQPGTTLLMHNAQPSSSTEQSSEATSKSTEETKSGGRKELPQDLFATSYGSAPAAVPGWQTGLPHGVAFGLQYYPNAMHSAAFSSISKANPFDLSGDTTPAQAPQFPQMTSILGTLPSIQDPTALSPKPSTDAPSHSSHFASILTPESPSTLATPSSAYMGNQSHSGVPSPRPQGIGGFGSDERNLGFLNATQRPAGGQPASNPPNTFPTMGPNPFG >KJB57753 pep chromosome:Graimondii2_0_v6:9:13805485:13807549:1 gene:B456_009G178800 transcript:KJB57753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWYGTMRKTTDGCSIRSTRDEDFDEEEMWRYEEEKEDSGSAPRKPSDYSSTAWRLPSAPRMVPRVSQYSSSAKHETKMAQQSSAPLNIPDWSKIYGRHGSLDSSRNGTWVYNGVDGCGEDHDDDHDMVPPHEWLAKKLARSQISSFSVCEGIGRTLKGRDLSKVRNAVLTKTGFLE >KJB57237 pep chromosome:Graimondii2_0_v6:9:11870459:11871943:1 gene:B456_009G154800 transcript:KJB57237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHVFLLFSLCFSDYGLILGVTSLGINYGQVGNNLPPPDKVLDLLTALKLKKARIYDTNPQVLTTFANSNIELIVTVENQMLPVVMDPQQALQWVSTHIKPYFPATKITGIAVGNEIFTDDDTSLLGYLVPAIVSIHGALVQLGLDKYIQVSTPNSLAVLEVSYPPSAGSFKGEVSGVMSQFLQFLSSTGSPFWINAYPYFAYKDSPNKISLDYALFNKNPGMVDPYTKLHYDNMLYAQVDAVVYAMSRLGYGGIEVRVSETGWPSKGDSNEVGATVQNAAVYNRNLLRRQMSNEGTPLRPNMRLEVYLFALFNEDMKPGPTSERNYGLFQPDGTMAYNVGLSALATSSSTSSASISLTSSATKVIIP >KJB57236 pep chromosome:Graimondii2_0_v6:9:11870380:11872492:1 gene:B456_009G154800 transcript:KJB57236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHVFLLFSLCFSDYGLILGVTSLGINYGQVGNNLPPPDKVLDLLTALKLKKARIYDTNPQVLTTFANSNIELIVTVENQMLPVVMDPQQALQWVSTHIKPYFPATKITGIAVGNEIFTDDDTSLLGYLVPAIVSIHGALVQLGLDKYIQVSTPNSLAVLEVSYPPSAGSFKGEVSGVMSQFLQFLSSTGSPFWINAYPYFAYKDSPNKISLDYALFNKNPGMVDPYTKLHYDNMLYAQVDAVVYAMSRLGYGGIEVRVSETGWPSKGDSNEVGATVQNAAVYNRNLLRRQMSNEGTPLRPNMRLEVYLFALFNEDMKPGPTSERNYGLFQPDGTMAYNVGLSALATSSSTSSASISLTSSATKASKVEYQSLVYCMFLYLLTFQGFMRRQH >KJB60130 pep chromosome:Graimondii2_0_v6:9:25057815:25062807:-1 gene:B456_009G290900 transcript:KJB60130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQATGKVCVTGAGGYLGSWVVRHLLSNNYTVHATVRQPGDAKYAHLNQLERASHNLQLFKADLLDYDSLCSAISGCTGVFHVASPVPTTTVSNPQVEVIEPAVKGTLNVLKACVEAKVKRVVVVSSRGAVAFNPRWPVGQIKDEACWSDKEHCAATKNWYCLSKTEAESEAFEFAKSSVLDVVTVCPSLILGPLLQPTINASSLALVRLLKGYDTLEEKIRMIVEFKVRMIVDVRDVAEALLLVYEKAEAEGRYICMAHLTNPRDLVDKLRSIFPQYDYPKRFIEGGEEDILSSEKLQRLGWSYRPLEETLVDSIESYKKAGILD >KJB60890 pep chromosome:Graimondii2_0_v6:9:46819467:46819943:1 gene:B456_009G357400 transcript:KJB60890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNVYSSLLVLFLTCGAAMATKENDQIIKENNCETKMGLPCVLEAFTSIFETGTISNKCCGELVGLGKVCHSALVKRTLENPLFKDLSPATIIAKSIQTRNNCLALIDSASPSA >KJB55752 pep chromosome:Graimondii2_0_v6:9:6800390:6800773:-1 gene:B456_009G093100 transcript:KJB55752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGINKTTQKENNKPNGSILQNSNDTMAAENISAIRSCGFMRCRSSEFSPVRFFKHLGGKAAKGLHVAVVSMRIRPSHKVSSSSLGRPKPFETPVDSHRNAAIEDCIEFINSSASLPRSNSVSAS >KJB56450 pep chromosome:Graimondii2_0_v6:9:8891627:8894187:-1 gene:B456_009G120200 transcript:KJB56450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPILLFFATFFPLLSLAFLIFYKKSNYASNPNLPPGRMGFPYIGESIEYLLTGRRGHPEKFLKDRMAKYSSQVFKTSIFGEPMAVVCGAVGNKFLFSNENKLVTSWWPDSVNKIFPSSTQTSSKEESIKMRKMLPNFLKPEALQRYIGMMDMIAQRHFEASWEGKQEITVFPLAKRYTFWVACKVFLSIEDPVHVSKLADLFNALAAGIITVPIDLPGTPFRRAINAAETTRKELMAIIKQRKMDLAENKATPDQDILSHMLLATDEKGQYLNELNIADRILGLLIGGHDTASATVTFIVKYLAELPNIYNEVYKEQMEIARSKKEGEMLNWEDIKKMKYSWNVACEVMRLAPPLQGAFREAITDFTFAGFSIPKGWKLHWNVNSTHKNPECFPEPEKFEPARFEGSGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNVIKRFNWEKLIPDEMIIVDPLPMPAKGLPVRLLPH >KJB61034 pep chromosome:Graimondii2_0_v6:9:36451476:36454270:1 gene:B456_009G336500 transcript:KJB61034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKIIQHIFVVLLVMESLSLHKRVGGLSRAEIVNMTCTNVVEYNKTSLVSSFIAVMQKLSEQMRESGFGIAVSGSGFDTTHGLAQCYGDLSLVDCTLCYAAGRSILPQCFPFNGARVFLDGCFMRSGNYSYYDEYLGPNDMVVCGNASRKGLSFQTSVKEAVSHAVATALKNKGYGKAQVPVSGTNETVNVLVDCWRTLNRSSCKACFENASAEMLRCLPWSEGRALYTGCFMRYSNIDFLNKELADGISEGTVITIVISTVSSLVVLAVGVAIGVYIRKRRYIQKKRGSNDAEKWVKVLRDSNLNFKYSTLEKATGSFDEANKLGQGGFGTVYKGALSDGREIAVKRLFINNRHRAADFYNEVNIISSVEHKNLVRLLGCSCCGPESLLVYEFLPNKSLDHFIFDHSRGKELNWEKRFKIIMGTVEGLVHLHGNPTNKIIHRDIKASNILLDLKFRAKIADFGLARSFEEDQSHISTAIAGTLGYMAPEYLANGRLTEKADIYSFGVLLLEIVTGTQNNKGQYTDYSYSVVAIAWKHFQLGTMEEIYDPNLMLSDDNRSNKSVKNEVSRVVHIGLLCTQESRSLRPSMWKVLEMLKRKDEELPAPTPPPFMDENTMEFNDRSENEWHPINAAGTDSIATITHSSFYPR >KJB60770 pep chromosome:Graimondii2_0_v6:9:32174099:32175334:-1 gene:B456_009G324800 transcript:KJB60770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDGIGKENGSTEEKQSFKDGSICGYNSLHHLLSANLKPQLYQCSIMLILCTIGAHQLFTFFDQTIEKRKEKIFHLQR >KJB61731 pep chromosome:Graimondii2_0_v6:9:51133069:51134857:-1 gene:B456_009G376700 transcript:KJB61731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLSNLHSFSSSSNTIAAYIDCLSKTPMSMPVRGKGKRDHRFDNVDHALILFNKMIGRCPVPSILEFTKLFAAIVRMKHYAIVVSMCSQMELLGVSHDVYSVSILINCFCQLGRTDFGFSVLGKMLKLGVKPSVVTFSTLINGLCKQRKISEAVCMFDEMTEKGYQPDLIIYSTMLKGLCKAGNTGRAVRFLRLMEGRGYEPDIVAYSTVIDCLCKNGLLQEALNLLSEMKGKGIRPNIITYTCLIHVVSKYRHIELLGVSHDVYSMSILINCFCQLGRIDFGFSAVGKMLKLGVEPDVVTYNTLVDAHCKEGMVSEAEDIVDAMIKRGIEPDVVTYSALINGHCLQNEMDKARRVFNLMIEKGCAPDIVTYSTMINGYCKGERLDKAMELFHEISRKGPFPDTVTYNILMQSMCQLGEVSTACKLFRKRLASGQIPDIATCLILLDGLCKTGHIKEALKLFQAMQNIGLELDIVPYTILIDGLCKAGHIDFAKELFHQLSNNGLKPNVVTYCVMINRLCKEGLPDEAYRLFGSMGDNDCLPNSRCYNVMIRGFLRNSYTSKAKQLLMEMVGKGFSADIITAQYL >KJB61149 pep chromosome:Graimondii2_0_v6:9:40416842:40423686:1 gene:B456_009G343300 transcript:KJB61149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDRIEESSRVRLRGRTFSGGGVNESRWVDGSEVDSESPPLSLFDDNEAKEGYGSLRRRLVKKPKRVDSFDVEAMEIAGTHGHHPKDISTWRTLALAFQTLGVVYGDMGTSPLYVFSDVFSKVKIESEVDILGALSLVMYTIALLPLVKYVFVVLKANDNGEGGTFALYSLICRYAKVNMLPNRQPADEQISSFRLKLPTPELERALSIKETLERRSSLKTLLLLLVLMGTSMVIGDGILTPAISVMSAVSGLQGAVKGFDTTAVVVFSIVILVALFSIQQFGTSKVGFSFAPALALWFFSLGSIGIYNLVKYDITVIKAVNPAYIYFFFKKNSKDAWSALGGCILCITGAEAMFADLGHFSVPAIQIAFTFVVFPCLLLAYMGQAAFLMKYPESSDRIFYDSVPESLFWPVFVIATLAAMIASQAMISATFSCVKQSMALGCFPRMKIIHTSRKLMGQIYIPVINWFLMIMCVVVVSIFRSTTDIANAYGIAEVGVMLVTTTLVTLVMLLIWQTNLFMALCFPLVFGSIELIYFSAVLSKVLEGGWLPLVFASFFLSVMYIWNYGSVLKYQSEVREKISMDFMLELGSTLGTVRTPGIGLLYNELVHGIPSIFGQFLLSLPAIHSTIVFVCIKYVPIPVVPQEERFLFRRVCPKDYHMFRCIARYGYKDIRKEDHHAFEQLLVQSLENFLRKEAQELALESGLQEMDLDSVSVSSRDYSTRDVPDNEELKVPLMLGTRSEEAETSNSEAASAALPSSIMSSEIDPSLEYELSALREAIDSGFTYFLAHGDVRAKKNSFFLKKLVINYLYAFLRRNCRAGAANMSVPHMNILQVGMTYMV >KJB59581 pep chromosome:Graimondii2_0_v6:9:21627510:21628965:1 gene:B456_009G261800 transcript:KJB59581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHIQNKIRLALGSVKDHASIGKAMIYNHHDRKAFSDIEIAVLRATGHDNGPIGDKYMHEILFLVSNSPGSIPFLAERISRRLCKTRHRLVALKTLSLIHRLLRGGNRCFEQQLRSAHVSGHLQMSTNSFRKCSDPWSSFLRSYAAYLEERVGWAINQAGKLEPVMFNGLEFGCYEEKSVDMVFRKLPKCQAFIDRVLDCSPRDIVPVADSLAQAALVNTLKESFQVYIMLCDGIEILINMFFDLTRPARALACDILKRASRQSKELHDLYETCKRVMENKNLEYPNVQIITMDHVLALEQCSSFMSTSTSTTPPPLSDCLTTLGKESKKEGNGDNGPSSSQFSCTMETKISKVWVVFEEDDGELQVPMAMDIV >KJB62998 pep chromosome:Graimondii2_0_v6:9:69924245:69927361:-1 gene:B456_009G448200 transcript:KJB62998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYLFLCLFLFFPHLYASSSGSHSCSHPEAASLIQFKNSFSITQTEDAFFYCDEIAGLKSYPKTNSWKEGTDCCSWDGVTCDHLNAHVIALDLSCSWLYGNFPSNTTLFLLPHLQKLNLAYNDFNLSRIPSEFGRFTSLLYLNLSRTGFAGEVPSQVSRLSKLVSLDLSALIYVHEQFTIDKHDLKGLVHNLSEVRHLFLDGMDMSSVNAHVFMNLSSSLRSLSLAYCDLQGKFPKNIFELPNLNLLNLGGNPVLNLDPLKLNRSNNLEHLDLSWMSPTTEFIDSVDNLQALKYLDLSGNFFFQGLSVSITNLSSLEQLIISGANDFGGLPDLMGNLVSLKFLDLSFSDLSGPVPISLGNLLQLTYLDLSGNKLSGQIPLSILNLTQLEYLEISNNCLEGSIPDDVTAFPNLISLALSDNLLNGTLPSWLYAAPSLKTIDLSQNQFSGHIKEFQSKSLELIRLENNKLQGPLPSSISQLLNLTGLSLSSNTLSGVIEFSMFSNLPNLKYLDLSYNSLSLTSNTTSTINLTRLFLSSCNLSEFPQFLKGLKSLESLDLSCNKIEGKIPQWMQEVGNGSLTYLNVSHNSLTEVEHFPWKNIAVLDLSSNLIRGNLPIPASTIDVFLISNNSFNGEVSSVICNVTSLRILDLSHNNLSGTIPQCFGNLSNSLEFLNLKKNKFYGTIPPTFAKGCRLSNFNLNGNLLEGPLTPSILNCNGLEVLDLGNNKINDTFPHWLGSLPQLQVLVLKSNHMRGSLCVNSSKSSPFFSKVQIFDLSSNYFSGPLPVRYINSFKAIINLEKIGIIESYMGVNDPGGRGFYTYSIGIVMKGQYMELVKIFTMWMIIDLSNNQFEGCIPEVFGKLNLLKGLNLSHNNLNGGIPTSIGNLTSLEWLDLSSNSLSGRIPNRLVDLPFLSSFNVSENQLHGQIPQGKQFNTFGNDSYEGNKGLCGFPVSKGCNIIKPAPPNVLEKDGSKSNIAFGWKVGLIGYGCGVVFGMSVGFVVFQTGKPKWLVNLVENQQEKRRRRKSNKGNRSNRRRRI >KJB58307 pep chromosome:Graimondii2_0_v6:9:15798169:15800022:1 gene:B456_009G203900 transcript:KJB58307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPITLVFISLICISVLKFIYSIMWIPRRIQNHFKRQGVTGPGYRPLFGNSAEIRHLFEEAKLKPASVDHHDILHRVAPFYHKCSGVYGAPFLYWFGSKPRLGISDPGMIKEVAMSTDGSFEKMRLTPCEEWAMHRRIANQAFKMDRVKGWVPEIVAATTKMLQKWEEKRGGRNEFELEVNKELHDLSADVISRTAFGSSFEEGKRIFMLQEQQMELCIMAARSIYIPGFRFLPTKNNRARWRLEKETRESVRALIRSNSKRGENPSSLLSLLMSSYKNRDDKEERLEEEEIINECKTFYFAGKETAANALSWALLLLALNPEWQDKARDEVVRICGTDKLPAAEQLSDLKTVSMVINETLRLYSPEVSLIKEALKDVKVGRLNVPAGTQLYLSLSAVHRDIDIWGEDANKFNPGRFKEP >KJB57186 pep chromosome:Graimondii2_0_v6:9:11741307:11741712:1 gene:B456_009G153600 transcript:KJB57186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKYTNPSYLPDFPHFSLCFFTRPLFLSNFLVNQKQNKTPFLCRGENGRRDTYKH >KJB61088 pep chromosome:Graimondii2_0_v6:9:39000307:39000933:1 gene:B456_009G339900 transcript:KJB61088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSPITWLLSLMLLFLASEAGLFEPKVHVLIYNDLQNGTDLTIHCKSKNDDLGVHLLTYRNDYEFKFRPSILLDTWFYCSMQWNGTMHWFDIYTSKRDTCTFCLWNVRPEGPCLVEYKKVTCYSWNTNA >KJB54943 pep chromosome:Graimondii2_0_v6:9:4058058:4063932:1 gene:B456_009G055700 transcript:KJB54943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATVISCSELRIEAETEECSDLGNFSSASGSTSVGLSKRRIVFHPARKPLNGFNDCADEDFKIETLNPGPDPMRVNGVRSAQVGATGWKVDASDIWENGLDPVLSLRTTFRKIGAGLENLGNTCFLNSVLQCLTYTEPLVAYLQSGKHQNSCHIAGFCALCAIQKHVSRALKSTGRILAPNDLVSNLRCISRNFRNSRQEDAHEYMVNLLESMHKCCLPSGVPSESPRAYEKSLVHKIFGGRLRSQVKCLQCSYCSNTFDPFLDLSLEIVKADSLLKALKNFTTAELLDGGERQYQCLRCKQKVRAIKQLTVYNAPHVLTIHLKRFQAHNLGQKIDRKVEFGPTIDMKPFVSGSNEGYLKYTLYGVLVHRGWSTHSGHYYCFVRTSSGMWYTLDDNRVFQVSEKTVLEQKAYMLFYVRDRRNTATKNSVDILQRDNVKASVDGKSIFNQNPGEHVQTVPIQNKLSAAVPQKDIINGGLSKGTIMKEVPSQQNNVQLMEEGLVLKKEAILPSFDVPLLKDPSKASASNLIHGENLQPSAGSVVGNVASSNIENPTVSTGAKDSYCNESGNCKREFGVPEMVPLNCGGLLKSCTDKIVTKETLQKINLASNIEVSNTVTLDDSIDKAVKKAPGEASKNVHAIRSPNKPHCDSNKIGDVSYHSSRGKSLNEKGDDNSQKTISRSPSSMPNGSLETEDPEYAPCRKSKKKHLKRKPKNMPLGLKSKFFMASLLMHGKKKRKRSKEKDCCSLDLGPSTCEKFSTITLGSVHGRKRTADDTTQKNGDNSASSLMNTVDVASKERICENGTVLATDQHVGSSSGSVSEANRHNSRETDSLKHHKTGASPHRHVLTQCLGEAVVPRWDDIGLTSPMQTAESNGMDDLEIGYVPDEWDEEYDRGKRKKIRQNKHQFGGPNPFQQVATKKTQLKKDKWDRSSSGNRPFRI >KJB54944 pep chromosome:Graimondii2_0_v6:9:4058671:4063928:1 gene:B456_009G055700 transcript:KJB54944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGLENLGNTCFLNSVLQCLTYTEPLVAYLQSGKHQNSCHIAGFCALCAIQKHVSRALKSTGRILAPNDLVSNLRCISRNFRNSRQEDAHEYMVNLLESMHKCCLPSGVPSESPRAYEKSLVHKIFGGRLRSQVKCLQCSYCSNTFDPFLDLSLEIVKADSLLKALKNFTTAELLDGGERQYQCLRCKQKVRAIKQLTVYNAPHVLTIHLKRFQAHNLGQKIDRKVEFGPTIDMKPFVSGSNEGYLKYTLYGVLVHRGWSTHSGHYYCFVRTSSGMWYTLDDNRVFQVSEKTVLEQKAYMLFYVRDRRNTATKNSVDILQRDNVKASVDGKSIFNQNPGEHVQTVPIQNKLSAAVPQKDIINGGLSKGTIMKEVPSQQNNVQLMEEGLVLKKEAILPSFDVPLLKDPSKASASNLIHGENLQPSAGSVVGNVASSNIENPTVSTGAKDSYCNESGNCKREFGVPEMVPLNCGGLLKSCTDKIVTKETLQKINLASNIEVSNTVTLDDSIDKAVKKAPGEASKNVHAIRSPNKPHCDSNKIGDVSYHSSRGKSLNEKGDDNSQKTISRSPSSMPNGSLETEDPEYAPCRKSKKKHLKRKPKNMPLGLKSKFFMASLLMHGKKKRKRSKEKDCCSLDLGPSTCEKFSTITLGSVHGRKRTADDTTQKNGDNSASSLMNTVDVASKERICENGTVLATDQHVGSSSGSVSEANRHNSRETDSLKHHKTGASPHRHVLTQCLGEAVVPRWDDIGLTSPMQTAESNGMDDLEIGYVPDEWDEEYDRGKRKKIRQNKHQFGGPNPFQQVATKKTQLKKDKWDRSSSGNRPFRI >KJB54942 pep chromosome:Graimondii2_0_v6:9:4058058:4062920:1 gene:B456_009G055700 transcript:KJB54942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATVISCSELRIEAETEECSDLGNFSSASGSTSVGLSKRRIVFHPARKPLNGFNDCADEDFKIETLNPGPDPMRVNGVRSAQVGATGWKVDASDIWENGLDPVLSLRTTFRKIGAGLENLGNTCFLNSVLQCLTYTEPLVAYLQSGKHQNSCHIAGFCALCAIQKHVSRALKSTGRILAPNDLVSNLRCISRNFRNSRQEDAHEYMVNLLESMHKCCLPSGVPSESPRAYEKSLVHKIFGGRLRSQVKCLQCSYCSNTFDPFLDLSLEIVKADSLLKALKNFTTAELLDGGERQYQCLRCKQKVRAIKQLTVYNAPHVLTIHLKRFQAHNLGQKIDRKVEFGPTIDMKPFVSGSNEGYLKYTLYGVLVHRGWSTHSGHYYCFVRTSSGMWYTLDDNRVFQVSEKTVLEQKAYMLFYVRDRRNTATKNSVDILQRDNVKASVDGKSIFNQNPGEHVQTVPIQNKLSAAVPQKDIINGGLSKGTIMKEVPSQQNNVQLMEEGLVLKKEAILPSFDVPLLKDPSKASASNLIHGENLQPSAGSVVGNVASSNIENPTVSTGAKDSYCNESGNCKREFGVPEMVPLNCGGLLKSCTDKIVTKETLQKINLASNIEVSNTVTLDDSIDKAVKKAPGEASKNVHAIRSPNKPHCDSNKIGDVSYHSSRGKSLNEKGDDNSQKTISRSPSSMPNGSLETEDPEYAPCRKSKKKHLKRKPKNMPLGLKSKFFMASLLMHGKKKRKRSKEKDCCSLDLGPSTCEKFSTITLGSVHGRKRTADDTTQKNGDNSASSLMNTVDVASKERICENGTVLATDQHVGSSSGSVSEANRHNSRETDSLKHHKTGASPHRHVLTQCLGEAVGAYLS >KJB60718 pep chromosome:Graimondii2_0_v6:9:31281602:31281997:-1 gene:B456_009G322000 transcript:KJB60718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSLQEVEEDFIQLGAESVDNGISYANCFVGMFLTSIVVHFQAMISILANVWHPIGGGVSISDLENGRFLFQFYFEVERNDPWNFNSHLLVLHRLVQGENPLTVQLTEVNFWILVNDIPHGFTSKGVAK >KJB61887 pep chromosome:Graimondii2_0_v6:9:52621693:52623253:-1 gene:B456_009G388600 transcript:KJB61887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKCGNCDCSDKSQCVKKGNSLVIETEKSYISTVVVEAVAENDGKCKCGDSCSCTNCTCGTH >KJB59532 pep chromosome:Graimondii2_0_v6:9:21425112:21427793:-1 gene:B456_009G259900 transcript:KJB59532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPMVKWAQRLDDVFITIELPDAQDVKLKLEPEGKFFFSAKSGADKIPYEVDLDLHDKVDVDGSKASVGNRNICYLVKKAESKWWSRLLKQEGRPPVFLKVDWDRWVDEDEDDVDTKPAPDMDFGDFDFSKMNMGGGEGFGAVEGEDDDDSDTEDENVEEIPAPKKDASSSGAEHEVKNV >KJB60619 pep chromosome:Graimondii2_0_v6:9:29744454:29747353:-1 gene:B456_009G3155002 transcript:KJB60619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ADEWNKILHSNFWDIPNTARLLQLSKDNGDPEELGNEYLKDLRLRSFFQQSKGKKSCFVMHDLISDLAKSVTGEFICRLEGSGGSCVITEKTRHLSNVQELYDVRQKFQSLPKAKGLRTFINVNSSFYWVNVNSSFYWVNVSNVLMHDLLMKSSLRVLSLAGYKNINKLPEDIGSLKHLRNLNLSETSITRLPKRLCTLYNLQALTLHGCSDLVELPRDMERLINMLYLDIWGTKLTKMPEGIGKLKDIRILTDFVIGDQTGSSINELGKLKHLRGRLTISRLKNVVNARDAKDAKLKDKVNLEELKLTWDEYDDIDGDSKHDREVLEQLEPNTNLKRLVIGSYKGTRFPEWVGHFSFSNMVSLELQDCKFCISLPPLGQLSSLKSLSISGFSEVVVVGEEFYGNGQASTKPFGSLEILVFENMAGWEEWLCRSDEVFSLLQELRIRGCPKLIKSIPKHLPSLKKLVIANCEKVECFLPRTPSICELKLKKCNALQLEPLPCGLRELEIGGSNMNDSILEQMLQHCTHLDKLTISGCSNIKSLPEDSVSITLKKLKIKQCEAFDHSKIILYTSLESSEIKGTKCDQLESFPLGPFPMLKHVQISGFEDLKFISAALEGSHHQHLTCLNSLEIWGCQNLISFQIEDGLPVTNLTRLTLFCCESLKSLPEQMHCVFPSLEDLTIIDCPEIETVPKEGLPSKLKVFSIGRSDKLIESLIRKREWSLHTLPSLTSFYTRESEVEMECFPDEHLLPSSLETLGILGLSNLKSLEYKGFQHLTSLSNLFIGWCPKLQTMPPNMLPPSLYHLCIINCPLLEERCEKEKGKDWANISHIPVIEIGGGV >KJB61734 pep chromosome:Graimondii2_0_v6:9:51141395:51142324:-1 gene:B456_009G377000 transcript:KJB61734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLPSSFIFRSVVNAGSHLSNFHSFSSSSNTIATHIECLSKKPMSMPVRGKGKRDHRFDNVDHALILFNKASRRRQQGF >KJB59922 pep chromosome:Graimondii2_0_v6:9:23793609:23794878:-1 gene:B456_009G281000 transcript:KJB59922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKEAKQVIKQFGKPKKEEKKDKKQKIMGRSPCCDEDGLKKGPWTPEEDQKLVQYIKNHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQDEEQTILHLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLIQMGIDPMTHQPRTDIFSSLPHLMVLASLRDLLENPLQAEAAQLAKLQYLQFLLQSSAASMASNDNYGGATTIGAEDMEGFNLLNSTQFSVGNNETSQLLHYPPQQQVPFGLQTSFNNEKKTSSNSDEMGHCSNFIVSQGDNLIDHSVAASCPLQSSPTSPPATAGAADASVSNVPGDASSASSYNGGAASPYWSDLYFDDSLMQDIIS >KJB55000 pep chromosome:Graimondii2_0_v6:9:4151777:4157987:1 gene:B456_009G057700 transcript:KJB55000 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, Small RNA biogenesis, Regulation of shoot apical meristem (SAM) initiation, Spikelet developmen [Source: Projected from Oryza sativa (Os01g0527600)] MELEGSVKETVVTQVSVGGFDRHVKARDLMKYLENAVGVVWRCRLKTSWTPPESYPNFEITDTSVIQRKDNYKKVEPHAFVHFASPEAVTWAVDAAGRTELAFNNQLLKVSLGPENPYYLNRRRRDTAPFMLPDVSLEIGALASCDEFFVGWRGPPSGVEFLVDPFDCTCKFCFSRDTAFSFKGTNERAVIKCDFKVEFLVREINEVKQYSEQTGFVVLLQLASSPWVWYRTADDDFEKSVPFDLLDDDDQWIRTTDFTASGAIGRCNTYRVLVRPRHGLKLKKAMYYLRERRVPVKDLRSQLRICDEPYFGRSMSDHFYYTYKEGIPFEIMFLVNAVLHKGIFNQHQLSMDFFKLLRNQSMEVNVAALRHIYSSRHPVYDAYKRLKDVHDWLLKNPKLFRSPPQLDDIVEIRRLVITPTKAYCLLPEVELSNRVLRKYKDVADRFLRVTFMDEGMQTMNANVLTYYNAAIVRDVTSTSFSQKTGVFKRVKSILTDGFYLCGRKYSFLAFSANQLRDRSAWFFAEDGKINVLQIIGWMGKFANRNIAKCAARMGQCFSSTYATVEVPSGQVNMHLPDIQRNGYDFSDGIGKITPDLAMEVAQKLKLDLNPPCAYQIRYAGCKGVVACWPEEGDGIRLSLRSSMIKFFSHHTTLEICSWTRFQPGFLNRQIITLLSTLGVPDKVFWGMQKSMVSKLDKVLVDTDAAFEVVISSCGEQGHTPAIMLSAGFKPQTEPHLRGMLTCVRASQLWGLREKSRIFIHSGRWLMGVLDELGVLEQGQCFIQVSNPSLQNCFLKHGSRFAETKKNFEVIKGLVVIAKNPCLHPGDVRILEAVDAPGLHHLYDCLVFPQKGERPHTNEASGSDLDGDLYFVTWEEALIPPSKKSSQPMQYNPDEPRELHRPVTHKDIIEFFSKNMVNEHLGSICNAHVVHSDLSDHGASDEKCIHLAELAAIAVDFPKTGKIVSMPAHLKPQLYPDFMGKEEFQSYKSNKILGRLYRDIKDAYDEDVSESSGLNFCASDINYDADLEITGSADYIADAWAKKCSYDGQLIGLLKQYKVKREEEVVTGQIWSMPKYASKKLGDLKEKLGHSYGSLRKEFRQLFENMDSDFEQLNEDEKNKLYERKASAWYQVTYHPEWVQKTLEFQKPDGDEGVVMLSFAWIAADYLARIKVRHQGTENLDFAKPVNSLVRYLADRI >KJB59333 pep chromosome:Graimondii2_0_v6:9:20210994:20211834:1 gene:B456_009G249800 transcript:KJB59333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEMKVHPVKVYREAGTGRAETRFIKATNGSVFPKKRKLVKKMMLHSMLKFFISLFSSSKPFQSNDNHIQNV >KJB55917 pep chromosome:Graimondii2_0_v6:9:7293862:7296145:-1 gene:B456_009G101200 transcript:KJB55917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIYISIIATMFSVFALWSFTVSLFDVLWLNGENRRLNLRRQGIEGPLPVFLLGNVPEMKRMLSSAEKLQAEDPLSSHHRLMLLFPYFMQWTKQFGQSFLFALGRSQFMYVADTYLVREINCFTSLDTGKPDYLQKDRGALLGKGLITTNGSVWYHQRKTIAPYLFMDKVKDRVELIVESVGELVKSWGNLIEANGGTADIRVDDYVRRFTSYVISNVVFGDEWQMGMEIFPKSLDLINAMSTPTTLSGIPFYRYLPTKKNREIWRLQKEIHSKIMEIVKKHNETASKDLLQVMIEGSKGDIGPSITADQFIVDNCKDVCIPASEITAVSALWGLMLLASHPEWQTRIRGEVSELCKGGVLSFDVLHKMKALKMVVLEVLRLYPATAFVSRQALTNLKLGDVEIPKGVNIWLGMLELHTNPNFWGADSEKFNPERFADGISKACKSNQAYIPFGLGARVCPGQSLAMTQLQVLFAVILSNFNLTMSPTYRHSPQYALLIKPEFGVNLLIRKI >KJB57988 pep chromosome:Graimondii2_0_v6:9:14513035:14515857:1 gene:B456_009G188800 transcript:KJB57988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPQDTSENPNDVLSDDDSSPENTNPDDQEIPSTTLDPPISDTQDESSDPVPDEQPQNTNSNPAEPGPPARKRRRRKRFFTELIANPSFSKNRRPRISGLAREMDTEALIAISVGFPVDSLTEEEIEANVVSRIGGQEQANYIVVRNHILARWRSNVSVWLTREHALESIRAEHKNLVNAAYNFLLEHGYINFGLAPAVKEAKLKSFDGVERANVVIVGAGLSGLVAARQLVSMGFKVVILEGRTRPGGRVKTRKMKGDGVVAAADLGGSVLTGINGNPLGVLARQMGLPLHKVRDICPLYLPDGKAVDADVDSRIEVSFNKLLDRVCKLRHSMIEEVKSVDVPLGTALEAFRSVYKVAEDSQESMLLNWHLANLEYANASLMANLSMAYWDQDDPYEMGGDHCFIPGGNERFVRALAEDLPIFYGRTVQSIRYGIDGVRVYAGGQEFCGDMALCTVPLGVLKKGLIEFVPELPQRKKDAIQRLGFGLLNKVAMLFPYNFWGGEIDTFGHLTEDPSMRGEFFLFYSYSSVSGGPLLVALVAGDAAIKFELMSPVESVKRVLNILRGIFHPKGIVVPDPVQAVCTRWGKDRFTYGSYSHVAIGSSGDDYDILAESVGDGRVFFAGEATNKQYPATMHGAFLSGMREAANMLRVARRRSLVLSDKVNNDLEKCDTLDKLFENPDLTFGSFSALFDPHSNDVGSHALIRVKFHGDKLTSSHLCLYGLITKKQAIQLSEMNGDGNRMNSLYRDFGVKLVGGKGLSNVAELLISRIKAAKPT >KJB53440 pep chromosome:Graimondii2_0_v6:9:51898282:51898816:-1 gene:B456_009G382300 transcript:KJB53440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLMGTKDYENGLNFLEELTENGHRIQEQVLEEILLRNAGTEYLTRFLHGRTDKQLFKNNVPIVTYEDIKPYIDRIANGETSNILLADPISEFIQRYAIRSG >KJB62030 pep chromosome:Graimondii2_0_v6:9:55168426:55168812:1 gene:B456_009G397000 transcript:KJB62030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSHTDETPPSSGMQSLSVDSQPPSSSSTPQMSLEEKLKIIRSVGEECIQEYELLNLLNHKPEPICYDCFEPSGRMHIAQNL >KJB61129 pep chromosome:Graimondii2_0_v6:9:39970027:39972568:1 gene:B456_009G342400 transcript:KJB61129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEMPKLSGTVSVFGSISYVSQVSWIQSGTIRDNILYGKPMDADKYDKAINACALDKDINSFDHGDITEIGQRGINMSGGQKQRIQLARAVYNEADIYLFDDPFSAVDAHTAFVFFNVKKLHFTKKTVVLVTHQVEFLSEVDRILVMDGGQITQLGSYEELLMAGTTFEQLVNAHRDSITALGSLNGQGEGESRGIAPVMFNECSPTKQNSEGEISVKGPPGVKLTQDEEVEIGDVGWKPFMDYVSISKGSVYLSLSILTQLTFVVLQATSIYWLAFAIQIPNMTNSMLIGVYTGVATLSAVFVFFRSHSAAHVGLKASKAFQSGLTNAIFKAPMLSFLFYSCWQDSNL >KJB55612 pep chromosome:Graimondii2_0_v6:9:6177713:6180283:1 gene:B456_009G084900 transcript:KJB55612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTKGVMRPLVNFPEDLWCDRFLSLPFNNSEFESYTKQVEAMKETVKDMLVVSTTDPIEKMHLVNSLCRLGVSYHFENEIEEQPNHLFITLPKLLDDNDYDLHIVALVFQIFRFNGYKLPCGVFSKFQDGDGKFKEQVMGDVKGMVSLYEASHFRTNGEAILDEALDFTTKHLRSLANQSSTSPHLREYTENALFRPYHHSMQRLEAKLYISFYEKDESRNDILLNFAKYDFNRVQLLLQQELTVLSRTQDLKSKFPYARHRVVEGLFYALGVYFEPRYAAGRNMLVKQTCLVGFIDDAYEAYGLYEELQYFTDAIERFDISSMDELPTANQKKLYDTLLHVIGEAEYLVQKEGRSYAIPYTKDERRKLSVGGSMKKMSQHSMTRLAMTQILIGMEEADQNAFHWILNSNNKFLKALQICSRLYNDIVTNEDEEKRGFKTASMCYMKQYNVSRKEAIEAFRAKIGDAWKDINEGCMRPTMGVPMQVVRATLNY >KJB55396 pep chromosome:Graimondii2_0_v6:9:5304248:5311232:1 gene:B456_009G074000 transcript:KJB55396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFHLIIFICFLLSISYLVFLTSASWCPPSAFPQQTTRQFEQRTDRFWEFQEESNIWVEVKLPFDLVSCVNDNCTKVGLIDQTSKTEEEHLANGKDVSNKTKNLKMREGDLTGLEENSYTVLPLRRRMSLTKMSDTSVWVTGESGSIYERFWNGVQWVIAPHDLQISAGRAVSVLIVNQTIFAISEEGNLYQMKLSDWVELKPAFNQSTNKEAEQSSVIQMKSGTVSYDGLRVYFCTKTGLLLELSEVEPPRWENHGRPPGADVAAIADMARIRTDVVYTISSTGDLYEYDKSSRPSWKKHLQSEETDKDGSLVPLQGCMIYGLSGDHSVSLFLLTKGGKLVERRLHQRKWKWITHGSPEDHHLTSITPLLEDELKERFFSLFLTTSTGSVFEYQIPKHLGTAQEDQISEAWQNHMHPPNAKAARGIPGLKFQLGRILFALDDGRLAELHLPGLGGQNSGPTNQFNRKKLSSKYIWSILDAPESEGWNGEYCTEERGPMNCIAGIKDEPNDSGNIRSLTRRRKGTKAQQDYLSLGTPRSRLVKASEEYRFPDNWINTSFRLRLVQAGVSFFIITEAGLIFEYLYTESVWLWLRHDHSTPMRGALGNYNGSVFFVDVYGTLLIRERSNNELAWINCTAMRKGRQIIGGPPWDGMSGKLKVTAEDALFFVSKSGRLLQFSVALRKFKWKDCRNPPETKLACIIDQEVFRENIVFVVGRNGRLYQYNKVTELWHEHHQSQHLVLSRLPGTAMRPSFFSLTGSLFMLSEDGGLVEYHWNAWNGWNWVEHGTPSKDVTLVAPPSPCIKGNQLFLIGSDGNIYLRYMDQLIWRWKNCGFPQNGDGDTRDQTEMGANDRMQEVCMDNDPTANLDINLENPNDQNRKCDPKVAPTRPIPFSEDSVIFELRDGRLAEIQKVQDSKWVWQRVIGTPTSSCKGSYLTGQERRRFGGCCWSELEPLSCLDFSSPRLCRSFFRPPITL >KJB57158 pep chromosome:Graimondii2_0_v6:9:11495171:11497114:-1 gene:B456_009G151100 transcript:KJB57158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP17-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19830) UniProtKB/Swiss-Prot;Acc:O81864] MVVVHCHASSPYLRDPQHTALHYLLRRAPPSPPIIYATSPQPLSSSSPSAASTRRTLLVSIATTSFSSLILSPPSKSATNTEFFDLPNSGGVKALDLRAGTGATPVDGDQVAIHYYGRLAAKQGWRFDSTYDHKDSTGEPIPFVFTLGSGKVISGIESAVRSMQVGGTRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLGNGEGSTLGTLIFDIELISIRH >KJB59669 pep chromosome:Graimondii2_0_v6:9:22152317:22154198:1 gene:B456_009G266800 transcript:KJB59669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFEQAPPGNAKAGEKIFKTKCSQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVIWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKESTAS >KJB59855 pep chromosome:Graimondii2_0_v6:9:23192748:23195138:-1 gene:B456_009G276500 transcript:KJB59855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKCFKSMLIILFLLLGLTSSAVPYLSKGSSLSVENPGDVLKSGNGVFSAGFYPVGQNAHCFSIWFTKPCHDGSHTLVWMANRDVPVNGKRSKLSILETNEIIITDAESVIWTSNKSVIDASWSQLNLLDSGNLVLQTRDNLTLWQSFDSPTDTLLPGQPLNQYKRLVSARSRANHSSGKYRLLFDDDNVLRLVLNGSDTSSIYWPDSTILDYHQGRTRYNYSRTAVLDSSGHFTSSDKMEFRSSDFGIGPWRRLTLDFDGNLRLYSLEEQGVWFVTWQAMSNSCRIHGACGPNSICSYDPRWGPKCGCPPGFKMKNHADWNDGCEPEFELLCNDDVNFVQLRHVNFFGYHYDNLRNYTFQECLRVCLDSCCKAFQYRYFPEDGAYRCYSKWELRNGHRYNSYSGTLYLKLPKSFPYNNKPVQEFKLNCSNKQTRQLDRLYLKKTGYETLNILIWCASIIGLLELMCVFLVFVFLYRTGESPDASTMTSGYLVAATGFKRFTYGELKKATRGFHEEIGRGGSGVVYKGVLPDQRIAAIKRLNIEAHQGEDEFLAEVSTIGRLNHMSLIEMWGYCAEGKHRLLVYEYMENGSLANNLMSNSLDWKKRLDIAVGIAKGLAYLHEECLEWVLHCDVKPHNILLDSTYHPKVSDFGLSKLLNQDSPKGSGFSKIRGTRGYVAPEWVYNLPITAKVDIYSYGIVLLEMMTGKNPSVGVPVVGTSGEKWHQRLETWVKGKKMGASATTCWVEEVLDPAMGYDYDRNELESLLEVAIKCTEADRHGRPSMSQVVQMLVRGRN >KJB62901 pep chromosome:Graimondii2_0_v6:9:69416855:69417317:1 gene:B456_009G442800 transcript:KJB62901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl32 MAVPKKRTSTSKKRIRKNVWKKKGYWAALKAFSLAKSLSTGNSKSFFVRQINLE >KJB58997 pep chromosome:Graimondii2_0_v6:9:18532697:18533966:1 gene:B456_009G234700 transcript:KJB58997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCKMEKSKIQMLYDACNYVFSQEELPNFQQIQWLKNILNTFEAVDVGIDEFRSDGSPGSGEVMNSNGLISGQGVSEITYIHIYECDQFSMGIFCFPAGRAFPLHDHPGMTVLSKLLYGSVYIKAYDWVRGETCSPRTNGLAGTAIDGIFNAPCEPSVLFPRSGGNIHSFTALTPCAILDVLSPPYSDDLGRPSTYFLDFPVPSLPGYAWLEEREVKLPCDLVVKGAPYLGPPLDVPDDDLC >KJB58890 pep chromosome:Graimondii2_0_v6:9:18148247:18149554:1 gene:B456_009G230400 transcript:KJB58890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTATLLFFALFISSIQAVDPCGSRPQNKDLSVIPIYGKCSPFKPPKPESWVDTVINMASKDPARLKYLSSLVAQKTTAVPIASGQQVLSIGNYVVKVKLGTPGQVIFMVLDTSNNVAWVPCSGCTGCSATTFLPSASSSYGSLDCSLPQCNQVHGLSCPATGAAACFFNQSYGGDSSFSANLAQDSLTLTNDVIPNFAFGCINSISGNSIPPQGLLGMGRGPMSLLSQSGSLYKSNIRTTPLLKSPHRPSLYYVNLTGVSVGRVRVPIPPECLAFNPNTGAGTIIDSGTVVTRFVRPLYEAIRNEFVKHVKGPFSTIGLFDTCFEAKAEVELPSLTLHFEGLSMKLPMENTFLHTSAGSHACLAIAPAPNNVNAAMNVIANLQQQNHRILFDVANSRLGIAREACN >KJB54853 pep chromosome:Graimondii2_0_v6:9:3738723:3742006:-1 gene:B456_009G052000 transcript:KJB54853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLREIQGKQAHDPIFIEKMNTSASWRCICVPGPLIVGAGPSGLATAACLKEKGVPSVVLERSNCIASLWQLKTYDRLRLHLPKQFCELPLMGFPTDFPTYPIKQQFVDYLEAYAREFDIKPRFNETVSQAEYDATLGFWRVKSVGVNGKGTEYVCRWLVVATGENAEAVMPEMEGMGEFGGDIRHTSLYKSGEEFRGKRVLVVGCGNSGMEVCLDLCNHNARPSLVVRDTVHVLPREMLGRSTFGLSMWLLKWLPIRFVDRLLLIVTWLMLGDTARIGLDRPRLGPLELKNLSGKTPVLDVGTLANIKSGDIKVCPSIKRLKRHAVEFVNGKTENFDAIILATGYKSNVPSWLKEREMFSEKDGYPRRPFPNGWKGESGLYAVGFTKRGLLGTSMDARRIAEDIERCWKHEAKHRMAFTRSLLQQPSSDSSS >KJB59646 pep chromosome:Graimondii2_0_v6:9:22004014:22006653:1 gene:B456_009G265400 transcript:KJB59646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTWSPVHTSETPSNRVFLFPQWSISQTFWPRISPLLPCKPHKISLYFPNSHHEILLNTISMGFFFCKSHHFSLFLFSFLCFASFTFSLSTVSISETSSLTIVCALVPSNGSLQLYSLNCSTFPVLDIIETPFSSNDLFSGIVSGDGFLCGLRPSSSSSNVSVMHCWRFSSNGSTLSFKRIYRGPVLNQLEAGNTHICGLNQTNALECWQWPEFNQTGGVQNFSDIAVGEGFVCGLSEDGTTIRFLRNFTGITDRDTIGGNYSVIAAGFRHVCAINSDNDLECWPNRTTVGDMPQGKFNSLALGLNRSCALRINGTVVCWGMNNFRLPLELEGLEFITIKAKRNVFCGVLTFNYSLFCWGDSNFSSNHMVFSEVLPGPCRNECPYGSLLGSGSLCSNGWSICQSRPSMPTTPALPPSLSPQPQNRSTNNDLNGRLIAFLVVGCVGSSLFLLVVGFFVFRYCKDRVCRVHDSGRLDETGAPVNGDPESNHPQTPQAPPAVLEKRLSQLTSMGTTGRLEEFSFEDLVQATNNFTEDHKIGNGSFGSVYYATLDDGREVAIKRAETTSTSSYAIGFRRQEDKDDAFVNELEYLSRVHHKNLVRLWGFYEDSSERILVYEYMNNGTLHDHLHKLQNSPFTSWAIRLKIALDAARGIEYLHEYAVPQIIHRDIKSANILLDTNWTAKVSDFGLSLMGPGDEESHLSLRAAGTVGYMDPEYYRLQQLSTKTDVYSFGIVLLELLSGYKAIHRNENGAPRNVVDFVVPYILRDEIHRVLDPNVPPPTPFEIEAVAYVGYLAADCVRPEGRDRPSMTEIVNNLEKALTACLIPRALSRSTTHSST >KJB57566 pep chromosome:Graimondii2_0_v6:9:13129489:13131925:1 gene:B456_009G170200 transcript:KJB57566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSKEVSSDGLGWSQSLLQAQTLELPKAIKRQHPQNQQPEPLKCPRCDSTNTKFCYYNNYNKSQPRHFCKACKRHWTKGGTLRNVPVGGGRKNKRLKTSNSSNTTAVVASAVIGNTSTTSVALKSSTTSGVNNRLNNFMAIQRSQQQRQDLQLPLADQKKNTSSIQFQVMGRPPSSSLLQNPITCGDLDGKSFNINNNGVFLGSTSTTLSLPQTQGLQFPFSSPSSSSFETTPTSLSTSFQSSSIYNYTSETMEDPTITSIIMPTPSGTASHTWDVSIRSSDMDIANYWNWDDIDALVSIDPNMPWDDSEIKP >KJB62120 pep chromosome:Graimondii2_0_v6:9:58162305:58163556:1 gene:B456_009G401700 transcript:KJB62120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVLLVPFLKLTSSFAFSSFLLPSTFFKVLREAGVGCLKSISDMYNELFYFAVLLGLIMALKVTSF >KJB60776 pep chromosome:Graimondii2_0_v6:9:32278117:32279020:-1 gene:B456_009G325200 transcript:KJB60776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKIKKHRRNPYTTSDEVYALGQHICMSAHKARRIIDQIRGRSYEETLMILELMPYRACYPILKLVYSAAANARHNRGFNEASLIISQVAVNEGTTLKRLKPRARGRSYLIKRPTCHITIALKDLEFEPLDRYMLRPKPKNTGWLGWLKKG >KJB54965 pep chromosome:Graimondii2_0_v6:9:4080648:4081415:-1 gene:B456_009G056100 transcript:KJB54965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRCEILCELLIAILIPPLGVCFRHGCCSVEFWICVLLTILGYVPGIIYALYAIVFVDRDEYFDEYRRPLYYSA >KJB60706 pep chromosome:Graimondii2_0_v6:9:31041510:31041955:-1 gene:B456_009G321000 transcript:KJB60706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGCFSSSSNLYYLHYNPKKKTDYNRTKDVEQKAPSFLYNGKWWVLTSTADHVLQVARCFLPHRFKRSFTITFL >KJB56383 pep chromosome:Graimondii2_0_v6:9:8644028:8651968:1 gene:B456_009G117500 transcript:KJB56383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMGPYIRTRENPYFDGLLVKDGSLTEESSNSDFGDIYDLQKVSRSRSDIGDSGRKNLDLDISEKPMEPAFGDSISDAILQPFIRASNDSWSNAVRPPASVLSDIQSESNFHLDNPSDSMKDSLDHYVSSLLGTEKSYKVMKKRTSLPKIPLPQSAASFYNGYSPRMEIVESCESINRLNFFLKSRREEVDAGVPGRFLHAVIGQDVPDVGSVASAIMYAFYLNETLENDQFFTVPVINIKRTELNSHAELKWLLDSCQIDQSSLIFIDEIDLSYYDLFGSLKLVLLNGSKLPSKQEALKESVIEIFNGKEGEVAYPWVEKVTVGQECSSCTLIAENFAMTSPQILAGQGFSRLLLAGILLDTGNLSSPDSTTKDKYMATLLLNGAGRFGCNGLYQILRYKLYDVSELRVADILGKDFKKWTRVGKADNSCSRLTVAHVGMSSIGIPVEQLLSHGEASTREIKHFLQTEKLRLLMIVSGYYDQQKNFKREILVSTESVEVMKNLLFFFNSNASQLPFKAVHQPGIGEEMKAFEIDKVTSRKTIERLLEQFGGPFNQHRP >KJB61408 pep chromosome:Graimondii2_0_v6:9:46412698:46414354:1 gene:B456_009G355400 transcript:KJB61408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPIEANGNMFETQMVYGVPTVATQGFLPLHNSLVTDSFIHRKPTAAIKSESSLTYNNLPLPRKRSRDSAMVSFPSPPHKSNEACSRFSFLGHDISLHMEQQQLDIDRLISQHMEKMRVEIEEKKKRQARKIMEAIEERVMKKLRVKEEEMEKIEKINWALEERVKSLCIENQIWRDLAQTNEATATALRSNLEQILATAQQVKVDKRNRGVGLEAAADDVDDAQSCCGSSWDVEKNGDGGRLCRTCREEESCVLLLPCRHLCSCTVCAPRLHICPICKSPKNASLHIKMS >KJB60336 pep chromosome:Graimondii2_0_v6:9:26928555:26929491:1 gene:B456_009G301000 transcript:KJB60336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTANSTLLATQCAAVVAVPQRCHPPSRFATGYQQLRTNLVTTKTYKPTIMAAGALVSKPSQLHANLVPERNKMVVFAYPETKTIEISTNGFPEFIECIINNESKKTLELDSTEPFLGSEEFERIEDKVDTTSSFTQQAASEGSDRCASGYVTYKIEDNLRWIIAWRNAMDERNKVYTAIISGAKKGSIEKLVRKSTAHSSFQDDNIGYAAEADIDPTSSRPTVKAKLTIK >KJB58293 pep chromosome:Graimondii2_0_v6:9:15732183:15734745:1 gene:B456_009G202900 transcript:KJB58293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALHFTVTFLLLTLLLEVNKAKSQSFIGVNYGQVADNLPSPAATAKLLQSTSIQKVRLYGSDAAMIKALANTGIGIVIGTANGDIPALASDPNFAKNWVDTNVVAYYPASKIILINVGNEVIMSGDNNLISQLLPAMQNVKNALDAASLGDKVKVSTVHSMTLLKQSEPPSSGSFDPAYGDMLKGVLAFNNATGSPFAINPYPFFAYRSDPRPETLAFCLFQPNSGRLDGNTKINYMNMFDAQVDAVRSALDAMGFKNVEIVVAETGWPYKGDSDEVGASIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDENLKPGPTSERSFGLYQSADLTMTYDVGLSKSSSQAQTPASPATEKTPATLSVVAPSPEPKKASWCVPKKDVTDAELQASLDYACAHGIDCSPIQPGGSCFEPNTLSAHAAYAMNLYYQSSGPDPSSCDFSQAAMLSSSNPSYNGCTFPGGST >KJB58294 pep chromosome:Graimondii2_0_v6:9:15732220:15734671:1 gene:B456_009G202900 transcript:KJB58294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALHFTVTFLLLTLLLEVNKAKSQSFIGVNYGQVADNLPSPAATAKLLQSTSIQKVRLYGSDAAMIKALANTGIGIVIGTANGDIPALASDPNFAKNWVDTNVVAYYPASKIILINVGNEVIMSGDNNLISQLLPAMQNVKNALDAASLGDKVKVSTVHSMTLLKQSEPPSSGSFDPAYGDMLKGVLAFNNATGSPFAINPYPFFAYRSDPRPETLAFCLFQPNSGRLDGNTKINYMNMFDAQVDAVRSALDAMGFKNVEIVVAETGWPYKGDSDEVGASIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDENLKPGPTSERSFGLYQSADLTMTYDVGLSKSSSQAQTPASPATEKTPATLSVVAPSPEPKKASWCVPKKDVTDAELQASLDYACAHGIDCSPIQPGGSCFEPNTLSAHAAYAMNLYYQSSGPDPSSCDFSQAAMLSSSNPSTNFLKWTSAFYCMNTIVNLILYEYLALYRL >KJB61919 pep chromosome:Graimondii2_0_v6:9:53063589:53066144:-1 gene:B456_009G391200 transcript:KJB61919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCLFISSFPMCSFPIHSKLKSFSYNGSSFHRLTVFSASQSAVTNKTSIEDDSKIRRSANYHRPIWDYDYVQSLRDGFVQDESYNERASNLQEEVRMMLGNMVDSLEKLELIDTLQRLGLSHHFEAEINKTLKNISTDRIGTAAWKKDNLYATALEFRLLRQHGYKVDQDVFTCFMDDVGNIKSSLNQDFKGLLNLYEASYLLLEGETMLENARELAAKLLKQYLKENNDDQYLRVLVDHAFELPLHWRLPRLEARWFIDVYEKNKDKNPIILELAILDYNIVQSIHLEDFRYASTWWKELGLGEKLGFARDRIMSNFLWSAGMVTNPQDTKSRRIQTKVNALLTCVDDVYDVYGTLDELELFTDIVERWDINAIQRLPNFMKIYYLALYNFINEIAFDILKEQGIDVIPFLKKPWTDLCKAYLLEAKWYYSGYTPTLKEYLDNAWISVTGHVMLAHTYLATHHITEEGLRNFQEYYPDIIYHANILARLLNDLGTSSYELKRGDVPKSIQCYMYESGASEEEAREHIWKLIDAEWKKMNKDQMTESLFSRKFFERAISHARVALMIYQKDDGFGIEGNEFEDKVLSLFVHPIFLPK >KJB58613 pep chromosome:Graimondii2_0_v6:9:16961544:16962698:1 gene:B456_009G218100 transcript:KJB58613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSAISWGWVWFCLCLWFCSSCVVEAKREGRFSSDVSRRMIDMGLRHSPAEAFGHAVTTDSGYGECPGGGVGNKGCAGNGGGGGFGRGGGVGNGGGGGGGGGFGRGGGVGNGGLGGGFGRGGGIGNGGTGAYGGAGGVGNGGFGGGMGQGGGGDGGYGPGSGFGGGGGGGGDGGGYGYGNVGGGGGGGWARNGDGKGYGGGWGYGSPGGGKGGRYGSSASTSQKDHPR >KJB60083 pep chromosome:Graimondii2_0_v6:9:24825613:24826878:-1 gene:B456_009G289000 transcript:KJB60083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP22 [Source:Projected from Arabidopsis thaliana (AT1G72010) UniProtKB/Swiss-Prot;Acc:Q9C7G4] MLIMESQSNKSSNSSEHHHHHHGQKQEASLQLVSRDGQPQAAAGAAHGTSSHQAQAQTQAQPQAPGHHVSFMGSISNQIGVPSSSPTSTSSLAKPPAAKRPTKDRHTKVDGRGRRIRMPAVCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNVSLRSSGSTISAPSSKSAPLSFHSALGFYNSNGDEARRIGNSTAMLGFHHQLYPQLLHPETHMRSGSNPNDNYATKPFRDDLFKETSQHNAETGAIDANSPKPERTGMPEQEPGLFQTANVMPAPAMWAVAPATTNGGNAFWMLPVGGGATAASATVPEAQMWTFPAHYSGGGRGNPVQLGSMILQQQQAGGQQLGLGVTETNMGLLGSGMNVYSNNNRVGLKMNLEQQHHHENQTQGSDSGDENPATDSQ >KJB61906 pep chromosome:Graimondii2_0_v6:9:52882946:52883636:1 gene:B456_009G390100 transcript:KJB61906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFYKNVLCFILVQAIAITSLITLSSSEPNKGGHDNSPWIHPWFKTWHIYAVNGLSQDQTLLVHCKSKDDDLGIHNLTVGSEFTWKFRPRFFGGTLFWCYMAYDNLHASFKAFWDNQALYNVCDWGTCFWIAKDDGIYIRNIPKNRDDYYCNWEQGGL >KJB62742 pep chromosome:Graimondii2_0_v6:9:68074930:68078271:-1 gene:B456_009G433300 transcript:KJB62742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVSDIEAAETRAEDQFKANAIFKVTNQQVVLKFIDVVYTIKLRKVGKLFEKNPSSDDNKVILNGISGMVQPGEMLAMLGPSGSGKTTLLTALGGRLGGHLNGTITYNGKPFSNSMKRKTGFVTQDDVLYPHLTVTETLIFTALLRLPNSFTKQDKIMHAEAVIDQLGLTKCKNSIIGEPFLRGVSGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQRIVSTLLDLTEGGRTVVLTIHQPSSRIFYMFHKVLLLCDGNPLYFGQGSATMDYFSSIGYVPSVAMNPSDFLLDLANGDSPNELMKEQTLVKKTLIGAYGMKIADKLKEDLQDMSNEPAPDELENKKLAMWPTTWWQQFIVLLQRGVKERKHESFSGLNIAEVLIVAVLSGLLWWKINISHLQDQIGLLFFTSGFWGFYPLFQAIFTFPRERMMLEKERSSGTYRLSSYFISRITADLPMELILPTVFITITYWMAGLKPTATNFFHTLFVLLFSVLVSQGLGLALGAMVMDLKSATILGSVIMLTFFLAGGYYIQHVPPFIAWIKYMSISHHTYKLLLGSQYKPNETYPCGDHMKTCLIGDFQGIKSVGLNGQVVSAVALAIMLVMYRLIAYLALMRIGVTKKLEN >KJB56588 pep chromosome:Graimondii2_0_v6:9:9512986:9514015:-1 gene:B456_009G126300 transcript:KJB56588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFSWLSKTGLEPSLVYEYGLSFSHNELEEEDIVYLDHEFLQSMGISIAKHRLEILKLAGKTKGNQTSGWRPVVRRLMSAIKRTKRSLSKYMRAMVEREASALVVVNQRNKWKGGAMWKRKKRVGMGAEGRLLLTNGTHLPPITTVLKYQKKEKREKGSWLDGAEEMKWDAMFQDLKPT >KJB56185 pep chromosome:Graimondii2_0_v6:9:7950987:7952992:-1 gene:B456_009G109000 transcript:KJB56185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLPTQFFVCSFFILLALHPFSVRSQEVEDESEFDYGANSTKGPARWGEIHAEWGACSNGTMQSPIDMSNERVNIVSHLGRLKKSYKPSNATLRNRGHDMMLRWEDEAGAIEIKGTEYVLHQCHWHSPSEHTINGRRYDLELHMVHESADGKVAVIGIMYKIGRQDSFLSLLMDHLEAITDITDRERAVGVIDPRHIKFGSRKYYRYIGSLTIPPCTENVVWSIVRKVRTVTREQVRFLRVAVHDEWDSNARPLQSINKRSIQLFRPDDKVEN >KJB61122 pep chromosome:Graimondii2_0_v6:9:39949073:39951215:1 gene:B456_009G342000 transcript:KJB61122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKEDDHNQFDMLEGSFANEFDYGLVEDFSHDARPVNSPIKADCQGKKRMEDYLQWEASLEYFFEMKPMVDLGRYTRNALMAGKHVLQDGARRKLSRKSDGIAQRRTRGKKGKALVRFEAGNGIGHNVLSLAATLKIHCFSYGEKGSHYCLDSLEQRVNKTEKEEQDDDMLDPIYNKYAEESEEICLVLKAIQTKSANLVLFFRFTPNNAHPNKHGKTRDGCICFIERERYYCKKKSIHIKQIPYARKIQKSMAITQSIFKY >KJB53994 pep chromosome:Graimondii2_0_v6:9:1220307:1223428:1 gene:B456_009G015300 transcript:KJB53994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGDTQNCLRKALGAIKDTTTVSLAKVNSDYKDLDVAIVKATNHHERPAKEKHIRAIFAAISAARPRADVAYCIYALARRLSRTHNWAVALKTLIVIHRALREVDPTFHEEVINYGRSRRHMLNMSHFRDDSSPTAWDCSAWVRNYASFLEERLECFRVLKYDIEMEGHRTKDLDTAEVVEHLPALQQLLFRVLGCQPLGAAAYNLVIQLALSLVASESVKIYRALSDGTVNLVDKFFDMQRPDAMRALSIYRRFRQQAEVLSEFYEVCKRLNAGRGERFIKIEQPPASFLQAMEEYVREPPQASTMRKDQIEKPKEVLAIEYKKAPVVQQCSPSPPLPEPEEEVEKVEKPIAEPPDLLGLNDPAPVASKLDEKNALALAIVPAGGFPAEQTTSTAAQVQANGTTGWELALVTAPSSNDSATATNKMVGGFDKFILDSLYEDALQRSNQNVSYNPWEPPPASAAMMQQPIQEPFYGSDPPSVQIAAMANQHQAFMLQQQMMMMMGPQQSPSNAFVNTYGASVRPCNSGMPVQPYTGLI >KJB61535 pep chromosome:Graimondii2_0_v6:9:48744254:48744805:1 gene:B456_009G365000 transcript:KJB61535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIEGLIKIKTGKLIPLSEQQLLDCSRNGGNQETCDTQINEVATTSGYQMVPKNDEEALLKPVANQPVSVALEGHGRDFQFYNGGVFKGDCGNSLTHAVTIVGYGTSEEGLNYWLIKNSWGETWRENGYMRIQRNVETQGGLCGIAMKASYPIP >KJB53793 pep chromosome:Graimondii2_0_v6:9:483484:484795:1 gene:B456_009G005300 transcript:KJB53793 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC3 homolog [Source:Projected from Arabidopsis thaliana (AT5G57450) UniProtKB/Swiss-Prot;Acc:Q9FKM5] MTPQSLLQLTSQKCTTGCPILDRLLYGGIPCDSITEIVAESGSGKTQICLQLSLHAQLPVSHGGLAASSLYLHTEFPFPFRRLHQLCLSFRSSNAHHFPVNDNPCDRIFVQSVHTADHLLEIMSKTDPFIENSKNQVPVRLIVIDSIAALFRSDFDNTPVELKRRSSLFFKISSKLKALAKRFNLAVLVTNQVVDLVGPNEGINGLRIGNLVCLHTSGRRVCPALGLAWANCVNSRLFLSRNEEVIREENEKSNGQSCDFVKKKMRKLYVVFAPHLPESSCEFEITREGLFGVER >KJB61555 pep chromosome:Graimondii2_0_v6:9:48941078:48942410:1 gene:B456_009G3659001 transcript:KJB61555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSNNILHGHIPESFDRLISLEFLDLCNNNLSGAIPKSLEKLSHLKYFNVSFNRLEGEIPSKGCFSNFSSTSFMKNYALCVLTIMYRRCQRRSTTLTTKDDLLSLKTPRRISHAELSRATNGFEESNMLGSGSFGYVYKGRLSDGMEVAIKVFNLQTEGAFRSFDIECDAMRNIVHRNIVKVITCCSSVDFKALVLDYMSNGNLEKWLHSENCFLDIIQRVDIMIDVAVAIEHLHNGHPAPIIHCDIKPSNILLDEDMVAHVGDFGVAKLLGEGEVMKQTMTLATIGYMAPEFGSAGIVSIKSDVYSYGIVLMETFTKKKPTDNAFVEEETMRHWMESSLPKGAIEIADVDLLRRED >KJB57498 pep chromosome:Graimondii2_0_v6:9:12859176:12860904:-1 gene:B456_009G167200 transcript:KJB57498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGQPKRQGQQQQQQQQQNKTTEKRKPVFVKVDQLKPGTKGHTLVAKVLSSNMVLQKGRAASRNLRQTRIAECLVGDETGTVLFTARNEQVDLMKPDDTVILRNAKIDMFKGSMRLAVDKWGRIEVTDPANFVVKEDNNLSLVEYELVSVLENDEIAET >KJB54727 pep chromosome:Graimondii2_0_v6:9:3387467:3389173:-1 gene:B456_009G046700 transcript:KJB54727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKCESILEAICEEDDLGDGGDVEMLDVEEGELVDGNSVNDRDKSGFADVNGENQGSQSKNKKRRSNKKKNKKKKSGSGPKPLDINRFVLDTCRRLKEKKSYMVYTAVGCLGISALSDLVKEVDAIQSCGGQMTADGRRCRTGGGILWNIIKAREPAAYREIMKKAKEFEVCTYLHIKFYSFFFFFLSAEYKHVCMKTSKFICQLDV >KJB54729 pep chromosome:Graimondii2_0_v6:9:3385935:3389324:-1 gene:B456_009G046700 transcript:KJB54729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKCESILEAICEEDDLGDGGDVEMLDVEEGELVDGNSVNDRDKSGFADVNGENQGSQSKNKKRRSNKKKNKKKKSGSGPKPLDINRFVLDTCRRLKEKKSYMVYTAVGCLGISALSDLVKEVDAIQSCGGQMTADGRRCRTGGGILWNIIKAREPAAYREIMKKAKEFEKHFKQQYVRQAPAQSKEISSQETTRSNGTAASVPQDAGLIPNDPTEEFSAEGTRKSVHERIRVPVSYEDLLGEDSKDH >KJB54728 pep chromosome:Graimondii2_0_v6:9:3385935:3389263:-1 gene:B456_009G046700 transcript:KJB54728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKCESILEAICEEDDLGDGGDVEMLDVEEGELVDGNSVNDRDKSGFADVNGENQGSQSKNKKRRSNKKKNKKKKSGSGPKPLDINRFVLDTCRRLKEKKSYMVYTAVGCLGISALSDLVKEMTADGRRCRTGGGILWNIIKAREPAAYREIMKKAKEFEKHFKQQYVRQAPAQSKEISSQETTRSNGTAASVPQDAGLIPNDPTEEFSAEGTRKSVHERIRVPVSYEDLLGEDSKDH >KJB60473 pep chromosome:Graimondii2_0_v6:9:27933905:27935587:-1 gene:B456_009G306800 transcript:KJB60473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLETCESDANQETKEVENPQHELEKTESALEPEVNEVEQAKAVPETSSECLSQSVQTSTTTLASEQEIETTQLSEKIEEQIQEVAEIVKHESSEDSSEKNNERVEETIVDQGLQNEEPKDQIQTTSLTLPSKDELSDAKQTTEICLEKEKVDELGDGKKAETGVACAIQVEEPNDQIQASALPDAVVGQETIVAQASITEEPTKLETKEDDKIMETEVKEDETTEVEGASSVEVETSEKAFDNESIKEELVKAIDEENQCDKTNEIIVNEVSKEEGVTETSYLTSEPELPVKDGLGEDELKGKLIEDKALETIQTEKHVVEAQKMSENEIAEKQIVCEDKTVGNPAQASIAKIETATVLEQAKQFWN >KJB61819 pep chromosome:Graimondii2_0_v6:9:52016474:52019065:1 gene:B456_009G383200 transcript:KJB61819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPSAGLPLPTSELVGEEPVRNEIWACLMQEEVRKIGVWGMGGVGKTTIMKHIHNGLLKQQRFERVIWVTISKEFNVMKVQDKIASALESKEYLDKEEDKLRRAAILSEMLKNAGKHVLILDDVWDKVSLGEVGIPEPSGSNGCKLVLTTRLEHVCKYMGCKVIKVKPLSEEEALILFLNKVGPNIVQSPTIMPTLKLVVKECAGLPLTIVVVAGTMKGEDNPLIWKNALGELKERTGKVEGVEAEVIERLKFSFDHLKDEKVKYCFLHCALYPEDFEVEKDELIECWIDEGFIDDMGTRQEMKDKGHVILKKLGDNCLLENITNVFGQPRVKMHDAVRDMALSITSMNSRYIVQAGLQLKELPKRGQWSPDIEKVSLMHNSITEFPADVLPTKCQLLTTLLLQENPIKKIPYSFFINMPCLCVLNLSYTEIESLPNSISELKNLTTLLLCCCFQLRDIPCLSMLQELKKLDLYRTKIEEVPEGMDMLIKLRYLDLSVRTLKEIPAGLLPKLVHLQHLGFDKQISLKAEEMEPLKKLECFTGRFEDINEFNKFISSLQQSKKNLIKYHLLVGSYLIKYHLLVGSSFMPTARDKTVTIEGVQNCEGELIMHPNEIQGLNIVECDYLRNLVDGNSSFKNVIDLRVRFCEGIECVVSLSSFASSSAHPFQSLEMLDLQHLPKLSAIIMNDAGIGSATTSTLAPSATFSHLKEITIVNCSSMKTLLPHWLLPNLQNLEDIHVGACSQLVEILGAATLEVEEKESDALIKFLLPKLRELTFSELPNLKSICSRSGVMVCDSLQIIRITRCDKLKRIPPFVPLVGNGQPFAYAPPSLTIASWKEWWEWLEWDDHPNFKNVLRFNPFGG >KJB61199 pep chromosome:Graimondii2_0_v6:9:41663967:41664750:1 gene:B456_009G345600 transcript:KJB61199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYAEIKKFGSHLWVFLNGSCSISDKRNLYKKYESDFEEGEGEGVLDPQQIEEDLFNHIVWVPRIWRPWGFLFDYIERPNELGFPYWAVSFWASGSFMMKRMSFKRMIRRSCRVEPYSTSQFIWDPADPLFFLFKDQPFVSVFSHGEFFADEEISKGLLTSQTDPPTSLYKQWFIKNTQEKHFELLVLSHHILFI >KJB53781 pep chromosome:Graimondii2_0_v6:9:425298:433798:1 gene:B456_009G004800 transcript:KJB53781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGGRSLVSSPPAFSNDAKKLLVCTSNTVSVFSTVTGLLITSLEGHTALVTSVIVVPPSNPAAKVLCYCWTASLDGTIRYWDFSLPELMKTIDIRMPIFSMVIPSFLDVPAEENGKHQDLFAYVSIEDAKETQERTKASRRQIRKCNLSKSRLVGRLILGETRKPEVLTVSPSGKFFGIRNKCKLHIWKVLDQGSERAAVRKITLHHTKKITVIAFHPTQRIIAAGDVTGRILTWRGFGNRAFAASNGVADQKLINVQEDKPGVRDNDDADSCSTWHWHSAEVNVLSFSSDGSYLYSGGKEGVLVVWQLDTGKKKFLPRIGSPLLYFIDSPDPTLSSISCADNQIHLLKMPSMGILKTISGIKAPCCYPDMYEGLGNGIAFDQTAGIVAVRTENYCIQFYSLFDDRGVSEVQVCERNHQPGDDVTVIVTSVALSIDGSLMSTSEVKLAEEGIGGLVCLKFWDAGSQNKEFSLSTIVYEPHRDAGISAVKFHPSGNMVVSSSFGGDFKVWKCNHDVIQNDQMRQNFSWTCHAVGSYKKKPMTAAAFSADGSVLAVAAETLITLWNPYKNVLLAVLGETLTPIVNLSFVGKSDNLVAASCGSNPQLSVWNMSKLSLSWSYKLHIEAVASAVDLSSFAALVLLPESSKKTTFKGMDGVILLFNATDPVPTVIWSVRKAKGGALCFVQVSPSSVEEISIDGKAPEVLLAYMNGDHEYVLFDPYCKDAREVSVSQKEGLAAHDHKGQAGQYGYASIYGELPEFDRKRPEAPWVPSFPSDRPWETIFSGSSHNLPPLTKLCSAFLESLLEKRTAAAE >KJB53782 pep chromosome:Graimondii2_0_v6:9:425428:433532:1 gene:B456_009G004800 transcript:KJB53782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGGRSLVSSPPAFSNDAKKLLVCTSNTVSVFSTVTGLLITSLEGHTALVTSVIVVPPSNPAAKVLCYCWTASLDGTIRYWDFSLPELMKTIDIRMPIFSMVIPSFLDVPAEENGKHQDLFAYVSIEDAKETQERTKASRRQIRKCNLSKSRLVGRLILGETRKPEVLTVSPSGKFFGIRNKCKLHIWKVLDQGSERAAVRKITLHHTKKITVIAFHPTQRIIAAGDVTGRILTWRGFGNRAFAASNGVADQKLINVQEDKPGVRDNDDADSCSTWHWHSAEVNVLSFSSDGSYLYSGGKEGVLVVWQLDTGKKKFLPRIGSPLLYFIDSPDPTLSSISCADNQIHLLKMPSMGILKTISGIKAPCCYPDMYEGLGNGIAFDQTAGIVAVRTENYCIQFYSLFDDRGVSEVIVTSVALSIDGSLMSTSEVKLAEEGIGGLVCLKFWDAGSQNKEFSLSTIVYEPHRDAGISAVKFHPSGNMVVSSSFGGDFKVWKCNHDVIQNDQMRQNFSWTCHAVGSYKKKPMTAAAFSADGSVLAVAAETLITLWNPYKNVLLAVLGETLTPIVNLSFVGKSDNLVAASCGSNPQLSVWNMSKLSLSWSYKLHIEAVASAVDLSSFAALVLLPESSKKTTFKGMDGVILLFNATDPVPTVIWSVRKAKGGALCFVQVSPSSVEEISIDGKAPEVLLAYMNGDHEYVLFDPYCKDAREVSVSQKEGLAAHDHKGQAGQYGYASIYGELPEFDRKRPEAPWVPSFPSDRPWETIFSGSSHNLPPLTKLCSAFLESLLEKRTAAAE >KJB57567 pep chromosome:Graimondii2_0_v6:9:13134058:13136455:-1 gene:B456_009G170300 transcript:KJB57567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLLLALVFVIDLIAFALAVAAEQRRSTATVGNNGKESYCVYDEDIATGLGVGSFLFLLLSQILIMVTSRCLCCGKAMRPSGSRAWAVVLFITCWLFFFIAEVCLLAGSVRNAYHTKYKNLLNDPPSCATLRKGVFGAGAAFAFLTAVVSELYYVSYSKANDATVNYGKDTGVRMGNL >KJB57876 pep chromosome:Graimondii2_0_v6:9:14157457:14159392:-1 gene:B456_009G184100 transcript:KJB57876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSPKHQNPATIRGLYDQPVKENDPFYLSRIQMLDNNACSDTGVQGTNVSFQTCKDQVFTLESSMAGAGFVAYDSPSAVSISSSRSPFSPHGSQSCLSDPRPSPENTYGSPYSGSSVVDDSNKLKHKLRELELSLLGPESNTVDSCNGCFITGDHQAASMASFNCAQLVDLVPKFNLKQILVACGQALHDDDMLTVTGLMHVLEKMVSVSGEPIQRLGAYVLEGLRARLESSGSNIYRALKCKEPTSSELMSYMHILFRICPYWKFAYTSGNVVIKEVLEYEGKIHIIDFQIAQGTQWMFLIAALAKRPGGPPSIRITGIDDSQSNHARGGGLHIVGQRLSEFAWSYNVSFEFHDAAMSGCEIQLEHLKVQPGEALAVNLPYVLHHMPDESVSIWNHRDRLLRLVKSLSPKVVTLTEQESNTNTSPFFSRYLETLDYYSAMFESIDVACPRDDKQRINAEQHCVARDIVNMIACEGPERVERHELHGKWRSRFMMAGFSQYPLISSVTVAVRDMLKEYDHNYRLEEREGALYLGWVNRAMATFSAWR >KJB55693 pep chromosome:Graimondii2_0_v6:9:6507403:6508430:1 gene:B456_009G089100 transcript:KJB55693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQKDKKETRGYKFQKFSRPNHLSLAIRSTFTSMSKDHHETILQESLQKLEEQQQKERLEKDVDKEGGLAWREGVEEEEEKEECKTPTSSDHKIPTIQSCPPTPKKTVRVSMVHKRKLSELHFFETTRREEVESFFRSNSHPLTVDASPGVKRRRCRSA >KJB59135 pep chromosome:Graimondii2_0_v6:9:19223542:19224501:-1 gene:B456_009G240400 transcript:KJB59135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEGTLEYISDLLSSMKKKKKKKQTQTVALKIRMDCEGCARKVKKVLSGVKGAKSVDVDLKQQKATVTGNVEPKKVLAAAQTTKKKVELWPYVPYNLVANPYVAQAYDKKAPPNHVRKVPDTANITETTVDDRYSNMFSDENPNACSIM >KJB59806 pep chromosome:Graimondii2_0_v6:9:22917279:22918397:1 gene:B456_009G273600 transcript:KJB59806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRCFKLKFSCIIPYFQFCRSKKPPKSPETSVSFLSTVNPKASDVGYSDLSFPAPPPSTPDYSFVKRHLSPKVASVDCGCRAARSCRSRYSTTPDVSFESTQLQVMAKAHNSKLQWRTYEASVSGKSNGKYKVNRKEKKKAKMATVSSTDSGCFSFSSELGEETETLISNSRSFSDDSSFELDQSMADDEDSPMEIKIKNKKKMKRLRSKKHKGLSTENKNPPRRGSIESPRTAEEKVAESVVVVKKSEDPYEDFKRSMLEMIMEKQMFEAKELEQLLQCFLSLNSKEYHGIIVEAFTEIWEALFCEK >KJB60752 pep chromosome:Graimondii2_0_v6:9:32000224:32001011:-1 gene:B456_009G324000 transcript:KJB60752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRGLRSRQSSGVSRIRDDQITDLVSKLQLLIPQIRRGRSHKVSASKVLQETCNYIRSLHREVEDLSHRLSELLASTDIDNDQAAIIRSLLM >KJB59595 pep chromosome:Graimondii2_0_v6:9:21702150:21704272:-1 gene:B456_009G262700 transcript:KJB59595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMENPDQTKSGDEEKHVTRKLGGLKTMPFVISNETFEKVASFGLLPNMILYLTNEYHMSIATGANVLFIWSAISNFMPILGAFLSDSFLGRFLVIALGTVTSLIGMSLLWLTAVFPQARPPHCDSPMTESCVKANSAQLAHLFGSFVLMSIGAGGIRPCSLAFGADQLYDPSNPKNKRVMQSFFNWYYASVGLAIMISITVIVYIQDKAGWVVGFGVPVGLMFISTVMFLLGSPLYIKLMPNKSLFTSFAQVTEAAWNNRDLVLPPMESGGAIWYFHKGSKLITPTEKLRFLNKACMIRSPEDIDMDGRALNPWNLCTVKQVEELKALIKVLPIWSSGIIIAVTISQQSFPVLQANSMDRHLIPGGLKIPAGSFGVFAMITLTIWVAAYDRIVVPLLSKFTKRSQGLSLKERMGFGLIISCVATAVAGLVESKRRAAAIRQGLADNPYGVVHMSAMWLVPQHCLIGLAEGLNAIGQIEFYYSQFPKSMASIGVALFTLGMAVGNLIGSLIVSILNKVTKTHGRVSWVSNSLNKGHYDYYYWLLTALSVINVFYYLLCIWAFGSTENGNKVEWDEGDGMEDELEADDHAKGKGSPIVFPH >KJB58646 pep chromosome:Graimondii2_0_v6:9:17048597:17050497:1 gene:B456_009G219500 transcript:KJB58646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEKSNTYLGWVWIGDWKPLTRSLEHRSGWLRKKILVLAHGFGTDQSAWQHIVPLFTPCYRIILFHLVCAKSVNPDYFYFRRYTTLDAYVDDLLNILDALGVDRCAYVGHSFSTMVLVSIFASIRHPELFTKLILIGASPRFYFSKFLNDKDYHGGFKQGEIEKMFSAIEANYEAWTLFNMRPDISLFISRTVFKNDLRGVLGMVQDPLGRRTTIEILKTKGHLPHLSAPMLLAQILSRALSR >KJB61863 pep chromosome:Graimondii2_0_v6:9:52483945:52485040:1 gene:B456_009G387000 transcript:KJB61863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVVGIANCLGTPVCKYLRYRRKLNDYVRNFKRTRDELNCKMEDIELQLKAELLRPLGKIPKKGVENWLKAVKEMIRVAQVVENNVSNGRYFCRACNGKLVDEKTREMKEFLDNAPNASEGLAMDGPSAGLPLSTSELVGEEAVRNEIWACLMQEEVSKIGFWGMGGVGKTIIMKHIHNDLLEQQRFERVIWVTISKEFNVMKVQDNIASELEAKEFLDKEEDKLRRAAILSEKLKKAGKHVLILDDVWDKVSLEEVGILEPSGSNGCKLVLTTRSEHVCILVVR >KJB54149 pep chromosome:Graimondii2_0_v6:9:1765201:1766997:-1 gene:B456_009G023000 transcript:KJB54149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLETSLQAAMNARIIGSGSETMILAHGFGGDQSLWDKILPYLTKHYHVLLFDWLCSGTVKDPNLYDPLKYASFDAFADDLIALMDELHLTSSVFVGHSMSGMIGCIASIKRPQLFSRLILIGASPRYITTDDYEGGFDGAAIDNMMSSIESDYGNWTSNFAKLLVDNNDPLSIEHYFKCLKSMNPEFVLPLAKAIFRSDERETLEKVTTPCTIVQVKNDLVVPNSVAYYMQKKIKGETTVEFVNADRHYPQLTAPLELIHVLSRVLGFEI >KJB62568 pep chromosome:Graimondii2_0_v6:9:66112187:66115552:-1 gene:B456_009G423400 transcript:KJB62568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFATLHCRHQPLHLSFIHHRPYFHKPIFSLSFRASSSFKLSSIRASSSSTPFFEPPKPSPSLLQTLTPLLKTTCITVTAAAALFFARFYQKPALAALTTPIVETATRESMVSLEEQEKTLVQKLGKTPNDVETLRSLMEVRIKLKKLQQAIDVIERLIRLEPQDPEWPMLRAQIHSYAGNFELAKKEFEEILAKDPDRVEAFHGLVMAYSDSGQKLKELEKRIEGAMEKCKKEKKNKDFRDFKLLIAQIRVIEGRHSEALKVYEGLVKEEPKDFRPYLCMGIIYTLLKKKAEAEKQFEKFRKLVPKNHPYREYFVDNMIATRLFAEKAEREGAVKR >KJB60749 pep chromosome:Graimondii2_0_v6:9:31957108:31958315:-1 gene:B456_009G323700 transcript:KJB60749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLEERAANGCPITVVIAVNFSGLLTQIAFSQSGTNFCTNPIQHVYETLLISRKAIDNVSRTKVSDIFGLLHQATHEAYISSEMFGFIREALLTLWQNAFYRTLKVRFLATCLAFLHS >KJB57538 pep chromosome:Graimondii2_0_v6:9:13014449:13017394:-1 gene:B456_009G169000 transcript:KJB57538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVFEVGAVPFNPDGWGPPESTTATPPSTTTTLPPHVPYATFSRSERLGRIADFTRSFSSSNANASNRPSSGKAGSADSPFDFSLDLDAFPLANPDDGSAFRLVDTKPTPRPKFGPKWRFNQHRPQLPQRRDEEVEARKREAEKERARRDRLYNLNRSNQNQQRREAAIFKSSVDIQPEWNMLEQIPFSTFTKLSFSVSDPEDLLLCGALEYYDRSFDRITPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILATLMCAPRSVYSWDIVVQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAYSLSVEAAYINQNFSQQVLVRDGNKVSFDEPNPFANEGDEVASVAYRYRRWKLDGDMYLVARCEVQSVVEVNNKNSFVTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQAILASADLMKLGYVTRVHPRDHFNHVILGVVGYKPRDFASQINLNTSNMWGIVKSIVDLCMKLNEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLPEEEQVQPPSEDAEGVEANETTTDDKEIEAQT >KJB63028 pep chromosome:Graimondii2_0_v6:9:70134568:70136789:-1 gene:B456_009G450500 transcript:KJB63028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPHHFHFHHISSSTFFPQYWEKAEFPFRIVPKLDALRISQGLWMPRSLPHWKCYCSHIAIGFLGFLFVFEG >KJB60335 pep chromosome:Graimondii2_0_v6:9:26884523:26885759:1 gene:B456_009G300900 transcript:KJB60335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLTLPYAAGIPAPACQQRHRSMVIKAQKTNIMANPPAVQHCEKASGFGSIPRHPLTQPSFSTRWNNTMVVGAYPDLKLEVIPGIPVYTKGRLENFSGELMKLDDKTIWEGLRPEKLDKEIEDGQTGFLLQKADSGGSIGGLEYVFPGDEYKWVIAWSNARNDLNKVYTVILRDAADWNEIKQSLDASGQESIFIGHKHSIPYSSVVVIDKTSPTPTMVAVLLKAGVPLASTKSTLAAANISKDLTQADEQISTDLIQANGARVAPDTQEAEKQMAAGG >KJB55718 pep chromosome:Graimondii2_0_v6:9:6638960:6643195:1 gene:B456_009G091000 transcript:KJB55718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPSYTAAAAAESIHRSLAELSSSSSDSFDNSRRFTAFASRLHLLLNHHYFLDSDSLSPALQTALKGIASDLSKAVLTVSVYRKRSKIFVLINCKSLSASLQQHSSAIASWLALIESSLSDNLPDLRKKTSDLSRDMKLSHFAVTENEERLHRTLQKEGEGRQTSKAVQSAIIMDLARCLGIDSCNYEELINQVKLFKTDLANSNSVSERRILVSLEKILGSWSAVPGMLTLKVDRDFEEDAHILPFKNFLCPLTKEVMKEPVVLESSQTYERSAIEYWFERCLEDGRDPTCPVTGEVLKSLELKLNIGLAGAIEEWVNRNVEIEVKCAVEQLSKESMEAEGVERVLDVVYKISEEHPSNWFRVRNAGLVVMIVNLLRNSSKSIGTVLRSKALMALLSMAKDEESKKIMLEEGITRFAIHSLIGSSEKEREYAVKLLLEFSSDEACCTRIASEKGALVLLSSMAGNLEHPALANVAEQVLTRMEVAEDSVQNLAAAGRFEPLLSRLRGGPDDVKIEMASIIGRMTLTNNSKEQIAQQCAQTLVELLSKPEGRTPSLLALNNLSGLDDNATILVESAVLPALVAILLQNQGDLQEWKEFAASIIANIVSKPGHWELASIDNKGNSMQSESVVFSLVVLLLVTSPQCQASILRILYGMASSPQAAGSVAMHLKSSEDGIKTIFHFLDYPDVEHRIYAFKLTRILTERFAQDLAQEVKHSDKLLLFKEKLLDNQSTESEKSDAACVLANLPLSEDEVKTVLEASFVKWIVMNLKKQQRISNGRTSWPTSSMEEGLLGLLLHFTRSLDQDTISVVKEHQLMTIFCEQLSFPAKPRVKQLAAVGLTNLSEAGRMLAAPDSEPPAPKGFCASLVFMCGRASPEPSNCPVHNAPCEYNSQLCLLKSNCIRPLVDLLHDEDANVQIAAIEALSTLVLDTSHGYKGAVDELEKQDVIAAVIELFTEIRPGVLQERALWMIERALRVDSPAHRHSLNQSLVRALVEALKHGTANAKRHAQDALTNLKEISGVSAKASSQSRPQR >KJB58631 pep chromosome:Graimondii2_0_v6:9:17027479:17028957:1 gene:B456_009G219100 transcript:KJB58631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVAAFHDGPWDSFNIMFSNEDHLDFTHQVLNQFSFPLEHDERLSFINPSTFAPNLEADMSIAGVSQSLFSSSNALDSHFHYKTQESNQSSNSSSNTFVPLPNYETSFLGGSSHMAVTNDITMSLDIQMDIGGGSDKITDSFPPAFPNIAMDDTVNVIEDSSTDCLPKLDGGYPADSAVLADEILLKRQFDVLELHDEGDKISTYSSETTKKRPRVSKDAAKAWNNVQLKKNNLNGSEESTIGSDGQSSSTSSSDDDNVCRDTNEVALATSDPKASQAVDLNGKKRASRGSATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDELWMYAPIAYNGVDIGLNDKICTLLLPSKMQLG >KJB59286 pep chromosome:Graimondii2_0_v6:9:19924076:19928911:-1 gene:B456_009G247300 transcript:KJB59286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIYCIKLREVTNNLEVQQHCSHIPHDFFNIVVRIRFVSSLNRETTLGSFNKSVTFRRDTILSSKDGPNILLSLLERMGAPLDYIRTLIVPSILSYARQIHSNPINSTRKLISFDVEVIIKVPVNIDDDDDEVDEPNDDDDDDGDALDFKPATYFSRRRLREGLSSTNKECAICLNEFSEGDEIASMPCTHVFHDGCIVKWLKTSHLCPLCRQFMLAGQDGDESVLPPTVPLSSEHVCDDGIYLLENGEDALIYFGSSVDSSILQQLFGFTSVDEVPTQIVAMAASICRIKLREVTNNLEVQQRYSHIPYDFFNIVVGIRFVSSLNRETMLGSFNESVTYCRDTILSDKDGPNILLSLLERTGAPLGYIRTFIIPGILSYARQLHSNPLNFARKLISFEVEVIIKVSVDNFDDEADEPNGNDDDDGDDEDTLNFKPATCLSVQALRRYKWGDDEEQDRLPLKKRRRLREGLNSANKECAICLDEFSEGDEVASMPCTHVFHDGCIIKWLKTNHLCPLCRFQMPT >KJB60009 pep chromosome:Graimondii2_0_v6:9:24292575:24294362:1 gene:B456_009G285400 transcript:KJB60009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLNNGSGFNEVELESFQRQIADRFQDLGSVSSDELLSLPWVRKLLDVFLSCEEEFRGILVNNKGRLMKPPLDRLIADFYERSVKSLDVCNAIRDGLDQIKQWQKLMEIVICALGHRNVNKNNTNKRILGEGQVRRARKALSELAVGLVDDKDSSQPLALRNRSFGRNNNSSNSQSKDQHRLGYFRSLSWNVSRSWSAAKQLQAIGSNLVSPRANEITATNGLAMLVFTMGNVLLFVMWALVAAIPCQDRGLQVHFYMSRQFPWAASILSLHDKIMEESRKKDRKSTSGFLMEIYQIDKCSRSLSELTGSVQFPISEEKERELRQRVQEMGQVYEAVTAGLEPLEKKVRELFHRIVHSRTEGLDCLGRGHNSE >KJB58073 pep chromosome:Graimondii2_0_v6:9:14838521:14840446:1 gene:B456_009G193100 transcript:KJB58073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPYPPPGYLRQGFAESIKLEKEKVPSKREQRLHKRSEKKQKKKERERKDKTHGLTKKFKHDDSLNVYKDDQLENSDLTEEHGPPVCYTSDGSQNSNKRKRETLFSSESRVNDNALKIRFTLKKPCQSAASLSEETVSTSVRSDSTREQCSSWSIKANTVTHVPEQNLWHNDERRAQIPSSETSVRDSEMQKAALPYESLIEGWMPPLVELNDDSGDNWLFKVKQQGQTAAKTSKVDSGVTTCRGCATSWPSAQFVSEADIYALPYTIPF >KJB60038 pep chromosome:Graimondii2_0_v6:9:24434848:24436605:1 gene:B456_009G287100 transcript:KJB60038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQKHVVLLLSVLLILNSLLFSGADEDHYYEFILQETEITKLYSTKTILTVNGSFPGPEIRVRRGDTVYVNVLNQGRSAVSFDWQGFEDPVDGSNELIQPGKNFTYEIELDDEIGTLWWHARSAWAKATVHGAFVILPAADEEYPFPTPTSDQIIIFGEWFKQELTEANETIAAGQADGYTINGHLGETYGCSNDTTYLLEVAYEGLYLLRLINAVANETMVFAIAQHSFTTVGQNATYSQRSFSTSLTLAPGQTLDVLLSANQNVGQYYITAQPSSGRHNTTGVIQYLTSTGV >KJB61572 pep chromosome:Graimondii2_0_v6:9:49145636:49146926:1 gene:B456_009G366900 transcript:KJB61572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSNFTMLPIHISNPPKPKSFIICATPKRRKTSTGSGGKINSVLKISTRSIVGVKDVNDPNTTTVKEINGEYDHKSQANVYDDNHDNDDGSVKGTELPTD >KJB61573 pep chromosome:Graimondii2_0_v6:9:49145636:49146646:1 gene:B456_009G366900 transcript:KJB61573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSNFTMLPIHISNPPKPKSFIICATPKRRKTSTGSGGKINSVLKISTRSIVGVKDVNDPNTTTVKEINGEYDHKSQANVYDDNHDNDDGSVKGTELPTD >KJB61058 pep chromosome:Graimondii2_0_v6:9:37084020:37086135:-1 gene:B456_009G337900 transcript:KJB61058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTKETTGTNDTSFTIYSPSSKHNASSSDAYKSNKLSKPNDDSPPSNKQSPKVEPPKTSPSPPQEEKHSPPPPLGEKLSPPPPPEITNSPPKQSPKSSPSPSPPSSSGSPPTPPKQESPPSTTPQSPPQPPPTQKPPPSHTPPTQQLPPSPNPPKQKSPPSPSSRTHEPSQSSPKNSPPESQPTESSSDNKPSLAPPSPETSQSPPSTNSTSTSVNGSEESMPGFSPKMAVVPPSPSSPISPSNSVGGSSNNNSESSNNRQRTIDLRHPSYGIVIGVAIASIVVIAFIAFSFIRDRRKKKQKSHSTNFVAPPANISVTLDVTKGHPTQNDYAFSNSQHSWDSRKGQNHNSPDSGIISPSKTSFSYEEMMEMTNGFAQQNVIGEGGFGCVYKGQLPGGKIVAVKQLKIGSGQGEREFRAEVEIISRVHHRHLVSLVGYCIANDHRLLIYEFVSNSNLERHLHDTGLSVLEWAKRVKIAIGAAKGLAYLHEDLKSKGNHQESLDIHIHFIFV >KJB58910 pep chromosome:Graimondii2_0_v6:9:21193995:21194588:-1 gene:B456_009G257100 transcript:KJB58910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNQLCLVLVVFLSIFSLSSLPTNAIIPKANVSLPVPSSQLVENLCNGKAVENRRFCLQALSTPKIIAAMDTTQLGTLIMKLGAANAKATLNVYNEIIKKPGSPQALKALNCCVEAYKYAILSFEMVSSELVEDPQTANYDVAVIGPEIANCEKELINAKVQAPRLLAGNRFMKYYVSMGYEITSTLELENPNEY >KJB59467 pep chromosome:Graimondii2_0_v6:9:21235947:21237599:-1 gene:B456_009G257700 transcript:KJB59467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPSKALIAKVCGDDAILDHDFCLKALSNAQANAAKSVNQLMDVAMKEAVAKAHTTLKVIVEMMKKPSSPATLEALKTCQNVYKNSIRSFGMISDELSEDAMSANYDVSLIGPDAETCIKALAAASVNAPEIANGNHDLQYFSSLGHGMTAKMN >KJB61545 pep chromosome:Graimondii2_0_v6:9:48829364:48831716:1 gene:B456_009G365300 transcript:KJB61545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTKRCYFTGSASDHEWSFISSLTNCRNLRTLDVSGNSLSGVLPTYIGNLSKSLQYFYASNCELQGIIPMEIGNLSNMLVLELGYNKLSGFIPTSIGGLRNLQSLGLSSNKLGGPISESLWLNKLHGSIPSCLGNITSLRYFYIDSNKLSSTIPSTLWNLKDILKIDLSSNHLHNSHAIDVGNLRSLLELNLSRNLLTGDILSKFGGLQTLVSLDLSNNILHGHIPESFDGLISLEFLDLCNNNLSGVIPKSLEKLLYLKYFNVSFNRLEGEIPSKRCFSNLSSTSFMKNYALCGPLRLLVPPCKNDIHKNSQMIILPAFRYGLPTIGIVVVLIVLTIMYRRCQRRSTTLPIKDDLLSLKTPRRISHAELSRATNGFEESNMLGSGSFGYVYKGRLSNGMEVAIKVFNLQREGEFRSFDIECDAMRNIVHRNIVKVITCCSSVDFKALVLDYMSNGNLEKWLHSENYFLDIIQRIDIMIDVAVAIEHLHNGHPTPVIHCDIKPSNILLDEDMVAHVGDFGVAKLLGEGEVMKQTMTLATIGYMAPEFGSAGIVSIKSDVYSYGIVLIETFTKKKPTDNVFVEEETIRHWMESSLPKGAIEIADVDLLRKEDEYFVVKANCISSIMELALNCSAELPEERKDMNDVVVELKKIKQ >KJB58619 pep chromosome:Graimondii2_0_v6:9:16988733:16989104:-1 gene:B456_009G218700 transcript:KJB58619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLFPLLLPSQNESFNHPVSIANIICKLCLPLFDQIAPNTIDALFDSFLKPKKSETIKPASSYQTFNFLNLFLI >KJB58618 pep chromosome:Graimondii2_0_v6:9:23213125:23213968:-1 gene:B456_009G276800 transcript:KJB58618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVFVTFLLVSLILSSSLFEVSMAGFCNSKCKIRCSKAGIRARCLKYCGICCAKCKCVPSGTYGNKHECPCYRDLKNSKGKPKCP >KJB60422 pep chromosome:Graimondii2_0_v6:9:27494540:27495154:-1 gene:B456_009G304500 transcript:KJB60422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLVSCIHPQIPSTSPNLYFNFKYAPVFLKPQIHIPKRPPRSFLFAQNGNKDDLTKQPNKKPQGEEKQSKVPPEGSFNGNDGGESRNEGRSMFNFRLGDLLDPDPDNIVALGLTGLLTWASVQVLWQLFLISGAILLAALKYSFIAALLLFILITLL >KJB60806 pep chromosome:Graimondii2_0_v6:9:32638898:32639528:-1 gene:B456_009G326700 transcript:KJB60806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILIPTIPQDLIFLSSNKKTPKFLINGQDRGGKMGYLFLVLTHAKGALFCFGSAPGREEKSKTKSKSILQKRKEKKNREKI >KJB60562 pep chromosome:Graimondii2_0_v6:9:29189934:29190590:1 gene:B456_009G312600 transcript:KJB60562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDECQGKSSWPELVGTNGETAAATIERENPNVNAQIISDQAIVVPVVDCTRVWVRVNTDGIVTQVPIVG >KJB53800 pep chromosome:Graimondii2_0_v6:9:516044:521009:1 gene:B456_009G005900 transcript:KJB53800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADQHQRVEFIFRSTLPDIYIPNHLPLHTYCFENVSQFKDRPCLINGPTGKIYTYAEVHLTARKVATGLNNLGIQQGDVIMLLLQNSPEFIFAFLAASFRGAISTTANPFYTPAEIAKQATASKTKLLITQAVYAEKVKSFAKDNDIKIVTIDAPPEGCLNFSELTEANEDEIPAVKINPDDVVALPYSSGTTGLPKGVMLTHKSLVTSIAQQVDGENPNLYFHEKDVILCVLPLFHIYSLNSVLLCSLRVGAAILIMQKFEIVTLMELVEKYKVTIAPFVPPIVLAVSKSPVIDKYDLSSIRMVMSGGAPMGKELEDAARDRLPNAKLGQGYGMTEAGPVLAMNLAFAKEPRETKSGACGTVVRNAEMKIVDPHTGASLPQNQSGEICIRGTQIMKGYLNDTEATKVTIDKDGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEAMLIAHPNISDATVVPMKDEAAGEVPVAFVVRSNGSKITEDDIKQFISKQVVFYKRLGRVFFTDAIPKSPSGKVLRKDLRAKLATI >KJB53801 pep chromosome:Graimondii2_0_v6:9:516152:520819:1 gene:B456_009G005900 transcript:KJB53801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADQHQRVEFIFRSTLPDIYIPNHLPLHTYCFENVSQFKDRPCLINGPTGKIYTYAEVHLTARKVATGLNNLGIQQGDVIMLLLQNSPEFIFAFLAASFRGAISTTANPFYTPAEIAKQATASKTKLLITQAVYAEKVKSFAKDNDIKIVTIDAPPEGCLNFSELTEANEDEIPAVKINPDDVVALPYSSGTTGLPKGVMLTHKSLVTSIAQQVDGENPNLYFHEKDVILCVLPLFHIYSLNSVLLCSLRVGAAILIMQKFEIVTLMELVEKYKVTIAPFVPPIVLAVSKSPVIDKYDLSSIRMVMSGGAPMGKELEDAARDRLPNAKLGQGYGMTEAGPVLAMNLAFAKEPRETKSGACGTVVRNAEMKIVDPHTGASLPQNQSGEICIRGTQIMKGYLNDTEATKVTIDKDGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEAMLIAHPNISDATVVP >KJB62807 pep chromosome:Graimondii2_0_v6:9:68779670:68781429:-1 gene:B456_009G437300 transcript:KJB62807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCSSCWVLKQCLIVGFLFVIMISSIQPVNGCFTSIFSFGDSLTDTGNLLEISLLESNKLPPSAFPPNGRTFFHHPSGRRCDGRLVIDFLAEALGIPFLRPSYTTKVGRLQKFQKGMNFAVAGATALNSSFLQENGIHNRSTNISLGDELNSFKHLLPSLCSSSADCKKLLRNSLIVMGVIGGNDYNHAFREKNNEAARKFVPLVVHTIASAIHVRNTNFNGKIFPRILTEAFPMQELIELGAVTFLVPGNFPIGCSPDLLTNYQGSNKDKYDPLTGCLTWLNQFSQHHNELLRTELEKLRNRHPDINIVYADYYNIAMRFYHSPKQFGFKETLKACCGIGGLYNYNSSRSCGYPPLKSSCNDPSSYISWDGIHYTEAANKWLANVVFEDLMKSTTCVPY >KJB63168 pep chromosome:Graimondii2_0_v6:9:70670080:70670963:-1 gene:B456_009G455800 transcript:KJB63168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRRLKTLLKPLSDPHLRLIATESTQNQLSNPANCLNPITPINQLTLLKVCTILYQQQNSSDSRLHSSLSSYNPSFNPEFFLQVCNTFPYSWRPVYRFFLYTQNVPHFTHNSVTFNKMLDVVGKSKNIDLFWETCQEMGKLGLVNDKTFRIALKTLALARELKKCVGFFHLMNGFGVGYKSETFNTVVESLCKDKLVEEAKFVTFKLKECVEPNGVTYKWLIWGFCDLGNLIEASKIWNLMVDEGFEPDVEVVETMMEALFKTNKYDEAMKVFQMMRVKRMHDLELSSYRLVI >KJB53689 pep chromosome:Graimondii2_0_v6:9:157800:161007:1 gene:B456_009G001200 transcript:KJB53689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRNGEKRNESVAVAVAVAVAIDKDKGSQHALKWAVDHLISRGQSITLLHVKTKPSSIPTPSGSHVKMSDVNDEVAKAYKQQLESQAKEVFLPFRCFCSRKDIKCNEVILEDTDISKALIDYVSSFPIETLVLGAPSRSGFVRRFRTAEVTTNVSKGAPDYCTIYVIGKGKISSVRSASAPPPPRPQSQPLLQPQPNNNIPDPTESPSSARAVVNPRHRAPQRPHNPHRNLHEEAEIKSPFTRARNVMKYEPPTPESDISFVSSGKPSSDTMFSSTSDNMEFGNPPRLSSCSDFDNRSMASSVDFSSQYNFSFCSEESDGCMPQDDVEAEMRRLKQELKQTMDMYSAACKEALSAKKKAMELQSWKMQEEQKKEEARVAEKAALSLAETEKAKCNAAIEAAQAAQRIAELEAQKSTNIERKANIDDADDDDMNMALNGFGHHLMYRKYTIEEIEIATDNFSPSRKIGEGGYGPVYHSNLDHTPVAIKVLRPDATQGQSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFMHNNSPVLPWQIRFRIAAEIATGLLFLHHTKPKPLVHRDLKPANILLDHNYVSKISDVGLARLVPPSVANSVTQYRMTSAAGTFCYIDPEYQQTGMLGIKSDVYSLGIMLLQIITAKPPMGLAHRVENAIEEGSFAEILDPAVTDWPLEEALSFAKLALKCAELRRRDRPDLGQVVLPHLIKLRDLAESNMPFMTFGGSAGPSPNNTQVSTSSMLQDFLNQPPQFLHSECDSISSGSD >KJB60208 pep chromosome:Graimondii2_0_v6:9:25518040:25519986:-1 gene:B456_009G293900 transcript:KJB60208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTSSINVLFPSLPCGLHEFAASCLVLVQPTFLVLCLLVSLFVVKAKGKSRKKPILPPGPTPWPIIGNIPEILSKKNKPTYRWIHGFMKELNTDIACIRLANTHVISVTSPEIAREFLKKHDAVFASRPVTMATEYSSRGFLTIAVVPWGDQWKKMRKVMASEIMTAARLRSLLDKRTEEVDNLVRFIYNQCKSNSGSSAVINLRLAARQCTGNVIRKMLFNRRYFGEGRKDGGPGYEEVEYIESVFTVLRHLYSHGKIVSEAMKVLNGYNDPLIDKRVKEWKDGKRKEPEDLLDAFILAKDSEGKPALSVEEIKAQCTEMMFATLDNPSNAAEWAMAEMINQPEILQKATEEIERVVGNQRKAFRIHPISPFNLPHVSNADAIVSGYFIPKGSHVLLSRLGLGRNPKVWDEPLGFKPERHLKDGCIEEVDLTETELRFISFSTGRRGCMGVALGSAMTVMVLARLIQGFSWGVPSNEANIDLSVSENDLFMAKPLHAMAKPRLPGEQLYPPY >KJB62830 pep chromosome:Graimondii2_0_v6:9:68971583:68972476:-1 gene:B456_009G4391001 transcript:KJB62830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAEVTLLHVAADVDVVAEKDNEKRYLLNSAKVSCVKVGSGSSDVDVNGNDSVNGVLVESDGNCIVEEDHEVKSESDSVTITMNQQEADKVDEKENETRYLLNGANTSSVKVGTGSTDVYVNVNGSDSVNGVVVESGDNCIVEEDHEVKSESDSVTITMNQQEADMVDEKENETRYLLNGANTSSVKVGTGSTDVYVNVNGSDSVNGVVVESGDNCIVEEDHEVKSESDSVTITMTQQEVDIVAEKENETRYLLIGANAICVKVSSGSSDVYVNGNDSVNGAVVESDGNCIVEEDHK >KJB58081 pep chromosome:Graimondii2_0_v6:9:14862311:14867608:1 gene:B456_009G193500 transcript:KJB58081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSTIFGILCGFLNSIFSLFAFGIGTSIGLVVGYYAFINALPTDVEDVKVCPLVEDLETLQRLIPEIPLWVKNPDFDRVDWLNRFIENMWPYLDRAICTTAKNIAKPIIDEQTPQYKIQSVEFETFTLGTIPPTFQGMKVYTTDDKELIMEPSIKWAGNPNIIIAVTAYGLKATIQVLDLQVFGIPRITLKPLVGIFPCFASIFVSLMNKPHVDFGLKLLGVDLMAIPGLYRFVQELIKDQVANMYLWPQALEVQIMDPEQALKRPLGILDVTVVKAVKLQRKDLLGKSDPYVKLNLREEKVAFKKTTVKRSNLNPEWNEEFSFVIKDPATQVLELRVYDWDQVGTHEEMGLNVVPLKDLTPDEPKVLTLVLLKTLNPNDPQNDKPRGQLVIELVYKPFKEDEMLDDIDDSGKMEKAPEGTPAGGGLLVIIVHEAQDLEGKYHTNPHVRLLFKGAERKTKRIKKNRDPRWDEEFQFRLEEPPTNDRLHVEVYSTSSRIGLLHSKESLGHVSINLVDVVHNRRVNEKYQLIDSRNGRVQIELQWRT >KJB62996 pep chromosome:Graimondii2_0_v6:9:69905283:69908477:-1 gene:B456_009G448000 transcript:KJB62996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYLFLCLFLFFPHLYAASSGSHSCSHPEAASLIQFKNSFSITQTMLPTLYCDGIAGLKSYPMTNSWKEGTDCCSWDGVTCDHLNAHVIALDLSCSWLYGNFPSNTTLFLLPHLQKLNLAYNDFNLSKIPSEFGRFTSLFYLNLSYTGFAGEVPSQVSHLSKLVSLDLSFWANVLTIDKHALEGLVHNLTEVRHLFLDGINMTSVNAHVFMNLSSSLRSLSLAGCDLQGKFPKNIFDLPNLNLLNLGGNQNLNLDPLKFNRSSNLEHLDLSSVSFSTEFIDSVDNLQALKYLDLSGNSFFQGLSVSLTNLSSLEYLILIGADVFGGLPNSMGNLVSLKFLVLSHSNLSGPLPRSLGNLLQLSHLDLTANHLSGQIPRSLGNLLQLTDLYLWHNQLSGQIPLSILNLMQLEHLKISYNSLEGSIPDEVTAFPHLKYLELSNNLLNGTLPSWLYTAPSLKTVYLSQNQFSGHIKEFQSKSLELIALENNKLQGPLPSSIFQLLNLTHLSLSSNNLSGVIESRMFSNLPNLEYLDLSYNSLSLTSNTTSTVNHILPNLTDVLLSSCNLSEFPQFLKGLKSLESLDLSCNKIEGKIPQWMQDVGNDSLTYLNVSHNSLTEVEHFPWKNIEFLDLSSNLIHGNLPIPASTINVFLISNNSFNGEVSSLICNATYLQILDLSHNYLSGTIPQCFGNLSNSLESLNLKKNKFYGMIPLTFAERCQLSNFNLNGNLLEGPLTPSILNCSGLEVLDLGNNKINDTFPHWLGSLPFLQVLVLKSNHMHGSLSVNSSKSSYFFSKIQIFDLSSNYFSGPLPVRYINSFKAITNLEKIGSTMSYMGVYVQRGSGFYTYSIGIVMRGQERELVKIFTMWMIIDLSNNQFEGGIPKVFGKLNLLKGLNLSHNNLNGDIPTSIGNLTNLEWLDLSSNRLSGTIPNRLADLPFLSSLNVSENQLHGRIPQGKQFNTFGNDSYEGNKGLCGFPVTKGCNIIEPAPPNVLEKDGSKSDIAFGWKVVLIGYGCGVVFGMFVGYVVFQTGKPKWLVNLVENQPEKRQRRKSKKGNRSSRRRRI >KJB61089 pep chromosome:Graimondii2_0_v6:9:39080560:39080987:1 gene:B456_009G340000 transcript:KJB61089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASPINWLLSLMHLFVASEAILPRHKVDVLIYNYLQNGTDLTVHCKSKDDDLGVHLLAFRNYYEFKFRPNLFGTTLFYCSMQWDGRMHWFDIYTSERDTCTYCLWNVKPEGPCLVEYKKVTCYSWNTDA >KJB62617 pep chromosome:Graimondii2_0_v6:9:66830775:66832343:-1 gene:B456_009G426200 transcript:KJB62617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSILRTLDYESKGFVAVGLLCLMMMMIQKGYAREFQVNWGLHSGSNAQTYNQWAEHNRFQIGDSLVFNYAPNEDSVLNVSEDAYKNCNVESPWSKYTDGHTVFALDHSGPYHFISGTKGNCQKNEKLVVVVLADRTNRSSTANVTNAPSPAPSGSVDVMVPSPAPVNETNAPSPPPTGSTNVMPPSPAPSAESPPTGTVEVNPTPAPSEESHPPNVATSLRWMSTTMGTMLVASTLVLGF >KJB56511 pep chromosome:Graimondii2_0_v6:9:9196900:9199354:1 gene:B456_009G123200 transcript:KJB56511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g14730 [Source:Projected from Arabidopsis thaliana (AT3G14730) UniProtKB/Swiss-Prot;Acc:Q9LUC2] MNKRGIFSKSSINANNFVSLYPFCFSSSKFSSSSSPKPPSYRLSSFIASLQSCAHQKKLTQGKQLHSYMLRNGLLQASPASLTSLINMYSKCNQMTHALSLLQTAPQSPNIFSFNAIISGFITNEDPIQGLKVYREMRVLGIFPDKYTFPCLLKGFCDIMEVLEVRKIHGLVFKLGLDLDLYVGSGLVKCYLKFSFIEDAEKVFDELIVRDVVLWNAMVNGYAQVGQFDEALGVFKKMCLEGVEMSSFTVTGVLSVFAMTGDVDNGRAIHGVVVKMGYGSSIVVSNTLIDMYGKCKCASEALEIFKMMDERDTFSWNSILFVHEQCGDHDETLRLFRVMLRDGIQPDLITLTTVLPSCTQMAALMHGKEIHGHMIINGLTKDGKEEDIDDVLITNGIMDMYAKCGSMREAHLVFDKMSHKDVASWNILIMGYGIHGYGSEALDMFSLMCESEFKPDDVTFVGVLSACSHAGFVSLGRELLGQMKSKYGVVPTIDHYTCVVDMLGRAGQLEEAYQLALVSPTESNAVVWRALLAACRLHGNSDIAEAIAKHVFQIEPEHSGSYVLMSNVYVAAGKYKEVLDVRNMMKQQNVRKLPGCSWIEVKNGIHAFINGDRTHPGSNSIYDGLHSLTALLHEHDYVPDF >KJB56604 pep chromosome:Graimondii2_0_v6:9:9574093:9575122:-1 gene:B456_009G127100 transcript:KJB56604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKESQVKIKHYITMMDSKTSEELDSSNPKLINVSRMMQIAWGCGRIQEVMEMGVQVASQAMEQDEGA >KJB61922 pep chromosome:Graimondii2_0_v6:9:53505415:53509996:-1 gene:B456_009G391600 transcript:KJB61922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREVYEEKLRNGNLHHDPTINPGLGSVRCPRCLSLLNPDSDKAGWIITSVLEDTTTVVGSGIGGMLSAVHGFNTGIPFLQNHIKGPKWLPFVTEIPLLLMFSGASAAFGGYALPKFAQLTVTSYYAPSSASHYGISLLTRYIEDAYAYAKMNL >KJB62031 pep chromosome:Graimondii2_0_v6:9:55170244:55170861:1 gene:B456_009G397100 transcript:KJB62031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEELDISDNQIRVLPESFRLLSKLRVFRADETPLKVPPREVIKLGAQAVVEFMADLIAKRDTKAAPPNKEKGFWFRICSICWPFWTANTDDNM >KJB55098 pep chromosome:Graimondii2_0_v6:9:4525683:4525985:-1 gene:B456_009G063100 transcript:KJB55098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVEDWRKNADTHKMSPEEVRAAGLEGSKKPPGHHPGGVLHQRSKLPVSMTTMTIGGLLITAAIGYSVLYTRKKREASALDVAKVTTGIADPSDTRPRK >KJB62844 pep chromosome:Graimondii2_0_v6:9:69053988:69054933:-1 gene:B456_009G4398001 transcript:KJB62844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTKLRVVFLFSFFTLIPKLWANIAEFDDFWKQREEEAWKIALTAYERSPENVTSHLNYHVNKVLEKTMSNQPLEFKDVITNSTRRSLRGKHKNNSPCMAINPIDRCWRCKKNSKNRKRLAKCVLGFGHKIRGGNKGEYYLVTDNLDDDVLNPKPRTLRHAVIQKRPLWIIFAHDMNIILSKELVVKSHKTIDS >KJB53375 pep chromosome:Graimondii2_0_v6:9:50754265:50755013:-1 gene:B456_009G3741001 transcript:KJB53375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIFDIYFKTPIVHGMDLVSPRFSPSAKRLVLLVVDGLRADKFFEPDLEGNFRALFLRNVIKNQSRSLGSISCSASNGVKAWTCCYNCSIIRTGMPNLFWII >KJB62267 pep chromosome:Graimondii2_0_v6:9:61417905:61418389:1 gene:B456_009G409100 transcript:KJB62267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPPFLTITLFPHKFPQINQIHSFHISNLSFTLLFCFVFFIFCIKHLPMFGITKSLPEKNDIPALIGYGGLGLL >KJB61417 pep chromosome:Graimondii2_0_v6:9:46905383:46908513:1 gene:B456_009G357700 transcript:KJB61417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVVQACADNKDEEEDAPLPGFRFHPTDEELVGFYLQRKVDNKPLKIDLIKQIDIYKFDPWDLPKASSREGEIESYFFCRRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSNGGSACIGLKKTLVYYRGTAGKGTKTDWMMHEFRLPNSTHTNASNATFSNPKSLALEEAEVWTICRIFKRSVSHRKYTPDWREIASNRTSTATPSPQIICSMGLGSNIHETYIAFGSPLVQSCDEKPGFSGMNGRNQWHAEQLSIAQPSSMASSSSFSSCPGNNDFFTLANWDELKSVVEFAFDPFSV >KJB60081 pep chromosome:Graimondii2_0_v6:9:24737306:24738032:-1 gene:B456_009G288700 transcript:KJB60081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRVIGAAFLVLLIVDLAFAARSLSGGGGGGSGGGGGGGGGGATGSGYGSGYGSGSGSGYGSGAGGLGEGGGSGSGYGSGGGIGSGGGGGGGSGGGGGGGGGSGEGSGYGSGSGYGSGSGSGYGSGVGGGNGGGGGGGSGGGGGGGSGGGSGYGSGSGYGSGSGYGSGSGSGEGGGGGGGSGSGGGGGGGSGSGQGSGYGSGSGYGSGYGSGGG >KJB59604 pep chromosome:Graimondii2_0_v6:9:21794853:21797628:1 gene:B456_009G263400 transcript:KJB59604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGGLRSASKFLTSSSQSLIHKSGNRGFHSTGVKRMGGGHGHDEPYYLHAKHMYNLDRMKNQKLTMSLGVLTAFSIGVFVPIYAVIFQQKKTASG >KJB62222 pep chromosome:Graimondii2_0_v6:9:59971218:59972630:1 gene:B456_009G406900 transcript:KJB62222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSPDSSNNSREIGHNFKITRMRSAAADGEGNRIIHIMEGNENHLASMHRKISEPPRLLTISAGRPSCCIFRVPESLVEVNGQAYQPRIVSIGPYHHGKPQLRMIEEHKWRYLGFLLKRIEEKGLVLDDLLKVLQPLEAKARYCYSETIQLGSDEFLEIMVLDGCFIVELFRKVGNIVSFEPDDPIISMLWILPFFYRDFLRLENQIPFFILQCLFELTKMPDEKSGRSLSMLALEFFNNAMLRPDEVIAKFHDLKGQHLLDLVRASFIPSEQDHEPSKVSAPTRLIHPISKLRRAGIKFLPRKSDSFLVMKFRHGVIEMPPITIDDFMSSFLLNCVAFEQCHQSRIKHFTDYATMLDCLVNTYKDVEYLCECNIVENYFGTEGEIARFINNIGKDTAFDINNCYLSELFRDVHQHYRNSWHVQWASFKHTYFETPWSFISALAALILLLLTIAQTFFTIYAVYKPEK >KJB62997 pep chromosome:Graimondii2_0_v6:9:69914318:69922836:-1 gene:B456_009G448100 transcript:KJB62997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAHGDPEGAKMSPRKRKGRRLLAAQYVGSHSCSSLIQFKNSFSIIEDASSYCNDFAGLKSYPKTNSWKEGTDCCSWDGVTCDHLNAHVIALDLSCSWLYCTFPSNTTLFLLPHLQKLNLAFNDFNLSKIPSEFGRFTSLFYLNLSYTGFAGEVPSQVSRLSKLVSLDLSSWDDEQTIDKHALEGLVHNLTEVRHLFLDGMDMSSVNAHVFMNLSSSLRSLSLAYCDLQGKFPKNIFDLPNLNLLNLGGNQNLNLDPLKLNRSSNLEHLDLSFMSFSTEFIDSVDNLPALKYLDLSQNSFFQGLSVSITNLSSLEQLIISVANVFGGLPDSMGNLVSLKFLDLSNSNLSETVPRSLGNLLQLTHLDLSWNQLSGQILRSLGNLLQLTYLDLSGNKLSGQIPFSILNLTQLEYLGIYNNSLEGSIPDEVTAFPNLIYLYLFDNLLNGTLPSWLYTAPSLKTISLSQNQFSGHIKEFQSKSLEFIRLNDNKLQGPLPSSIFQLLNLTRLVLSSNNLSGVIEFRMFSNLPTLKLLDLSYNSLSLTSNTTSCVNHILPNLMDLRLSSCNLSEFPQFLEGLKSLKRLDLSCNKIEGKIPQWMQEVGKGSLAYLNVSHNSLTEVEHFPWKNIEVLDLSSNLIRGNLPIPASTINVFLISNNSFNGEVSSLICNVTSLLILDLSHNNLSGTIPQCFGNLSNSLEFLNLKKNKFYGTIPPTFAEGCQLSNFNLNGNLLEGPLTPSILNCRGLEVLDLGNNKINDTFPHWLGSLPYLQVLVLKSNHMHGSLRVNSPKSSPFFSKIQNFDLSSNYFSGPLPVRYINSFKAIINLKKKGSARPYMGVGDYTSGFYAYSIGIIMKGKYMELVKIFTMWMIIDLSNNQFEGEIPKVIGKLNLLKGLNLSHNNLNGGIPTSIGNLTNLEWLDLSSNRLSGTIPNRLADLPFLSSFNISENQLHGQIPQGKQFNTFGNESYEGNKGLCGFPVSKGCNIIEPAPLNVLEKDGSKSNIAFGWKVVLIGYGCGVVFGMFVGYVVFQTGKPKWLVNLVENQHEKRRRRKSKKGNRSSRRRRI >KJB61469 pep chromosome:Graimondii2_0_v6:9:47370384:47374929:1 gene:B456_009G360000 transcript:KJB61469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHQSSRKESNCETMATDDFISDLPDEVLATIISGLPAIEAIRTTILSKRLKDVWRNVSRLDFDPKGVKKLFPAPNQLRSTVPVIQFGSNVCHDEGDDIDDTDPREEISRVVKNIDNVLLSHERNLISCRIVHLSNSYTSGDVEKWIKYLTSEKEVQELAFLCDDFQHEFYLVSHFGWGLNLSSGIFSCRTLQSLEFTNYGIRFHRPFHHCHNLKTLKLYYCDISSETLEAIVSSFDFMEHLSVCSSTSSLKQVRIFSQTVKTVELESLDLEGIYLSTQSLGALVLHSMKFPARRLVIHAPNLRVFTATRKPITKNSDNITKIAEILEYCTHLLLMIQWKT >KJB61468 pep chromosome:Graimondii2_0_v6:9:47370382:47375043:1 gene:B456_009G360000 transcript:KJB61468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHQSSRKESNCETMATDDFISDLPDEVLATIISGLPAIEAIRTTILSKRLKDVWRNVSRLDFDPKGVKKLFPAPNQLRSTVPVIQFGSNVCHDEGDDIDDTDPREEISRVVKNIDNVLLSHERNLISCRIVHLSNSYTSGDVEKWIKYLTSEKEVQELAFLCDDFQHEFYLVSHFGWGLNLSSGIFSCRTLQSLEFTNYGIRFHRPFHHCHNLKTLKLYYCDISSETLEAIVSSFDFMEHLSVCSSTSSLKQVRIFSQTVKTVELESLDLEGIYLSTQSLGALVLHSMKFPARRLVIHAPNLRVFTATRKPITKNSDNITKIAEILEYCTHLLVHWFLLKISIVVLYSVIYKCLGVKRDE >KJB62514 pep chromosome:Graimondii2_0_v6:9:65853875:65858183:-1 gene:B456_009G421300 transcript:KJB62514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFAGLLLLMMPEENAFWTLVGIIDDYFNGYYTEEMIESQVDQLVFEELMRERFPKLASHLDYLGVQVTWLSAPWFFSIFINILPWESVLRIWDVILFEGNRVMLFRTALALIELYGPALVTTKDAGDAITLLQSLTGSTFDSSQLVLTSCMGFLSVTNAKLYELSEKYRPDVLLIVEERAKIGRQVWKDSKGLASKLYNFKHDHESLMEERKSAKDSVDGDASKLEPRSLYLDELLSSLNADSEVDSLPDLQERVGWMKVELFRLLEEKRAAVLRAEELETALVELVKEDNRRELSAKIEELEQKVADLHQGLADKKEQEAAVHKVLMQLEQEQLITEDARKHAEQDAAEERNTVIVLQEKYEKAMASIALMEDRVAIAESILEATMQSEPGLTKVPSPPQTQLDSARRKVEGLLSFGLKWRDKSKGKSEESTEGKSNLSTQDKENPGHG >KJB56495 pep chromosome:Graimondii2_0_v6:9:9060186:9062653:1 gene:B456_009G121900 transcript:KJB56495 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP8 [Source:Projected from Arabidopsis thaliana (AT1G16070) UniProtKB/TrEMBL;Acc:A0A178WHW0] MGELKDPLVQRQASCNAPYVNPLIDLKHNRSCSEGNPASFSDNKENVVFGAGKENAAPSTNNGSSSSEAAKKTTTHLKSLSTIGTFDASKEVTSYKSLSTGKVLKESSLQFCMQMNEPDKAFGCKLWDPIDSDNSASLNIWDYSDSEAAPASSWSTLPNRALLCRPLPLDIGRCTCVIVKEPLPDGFHGGTLFSLYTNEGKGRQDRKLAVAYHKRRNGKSVFAIAQTTKGILSNSDDSCVGLMTANLLGSKYHIWNQNGRTMSSNKQSNPLLGVVRFMPTIATWTGSYRSMKAYIPKHQSMQLKNVAQVAQRIHFLDHP >KJB56494 pep chromosome:Graimondii2_0_v6:9:9059982:9062702:1 gene:B456_009G121900 transcript:KJB56494 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP8 [Source:Projected from Arabidopsis thaliana (AT1G16070) UniProtKB/TrEMBL;Acc:A0A178WHW0] MGELKDPLVQRQASCNAPYVNPLIDLKHNRSCSEGNPASFSDNKENVVFGAGKENAAPSTNNGSSSSEAAKKTTTHLKSLSTIGTFDASKEVTSYKSLSTGKVLKESSLQFCMQMNEPDKAFGCKLWDPIDSDNSASLNIWDYSDSEAAPASSWSTLPNRALLCRPLPLDIGRCTCVIVKEPLPDGFHGGTLFSLYTNEGKGRQDRKLAVAYHKRRNGKSVFAIAQTTKGILSNSDDSCVGLMTANLLGSKYHIWNQNGRTMSSNKQSNPLLGVVRFMPTIATWTGSYRSMKAYIPKHQSMQLKNVAQMQHINGLPKDWEEKMDKIHKLFSRIPRYNKMLKQYELDFRERGRAAGLRIQSSVKNFQLTLEENGRQTILQLGRVDRYKYVMDFRYPLTGYQAFCICLASIDSKLCCTM >KJB53909 pep chromosome:Graimondii2_0_v6:9:869025:871316:-1 gene:B456_009G010900 transcript:KJB53909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MKGNDEFGCLDNPITSEGKPPNPRTPSFGLQSATTDGVLKPCKKSLVRHPSLQVKSKALDIAIGNGYEVENCGAEFTPIIRSGAWADIGFRTRMEDVYLRIDDFTHDYGLKKCADVPNAFYGVFDGHGGKHAADFACYHLPRFIVEDQNFPEEIERIVASAFLQTDTAFAEACALDSALASGATALTALVLGRMLVVANVGDCRAVLCRRGKAIEMSRDHKPNCNRERKRIEALGGSVYDGYLNGQLNVARALGDWHIEGMKDANGGPLSAEPELMTADLTEEDEFLIIGCDGLWDVFRSQNAVDFARRRLQEHNDPAMCSKDLVDEALKRKSEDNLTVVVVCFQSNPPPNLVAPRARVRRSFSAEGLRELQSLLDGLGN >KJB53908 pep chromosome:Graimondii2_0_v6:9:868726:871694:-1 gene:B456_009G010900 transcript:KJB53908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MKGNDEFGCLDNPITSEGKPPNPRTPSFGLQSATTDGVLKPCKKSLVRHPSLVKSKALDIAIGNGYEVENCGAEFTPIIRSGAWADIGFRTRMEDVYLRIDDFTHDYGLKKCADVPNAFYGVFDGHGGKHAADFACYHLPRFIVEDQNFPEEIERIVASAFLQTDTAFAEACALDSALASGATALTALVLGRMLVVANVGDCRAVLCRRGKAIEMSRDHKPNCNRERKRIEALGGSVYDGYLNGQLNVARALGDWHIEGMKDANGGPLSAEPELMTADLTEEDEFLIIGCDGLWDVFRSQNAVDFARRRLQEHNDPAMCSKDLVDEALKRKSEDNLTVVVVCFQSNPPPNLVAPRARVRRSFSAEGLRELQSLLDGLGN >KJB56439 pep chromosome:Graimondii2_0_v6:9:8877037:8879545:-1 gene:B456_009G120000 transcript:KJB56439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGTHIARLVRMPNPSLFLALVLFLSLVHYTCGAGGDTETESLLTFKDSLTISSSLNNWNASVPPCIGDIGNWVGVLCHDSKVIGLQLENMGLAGTVNIESLGALHELRSLSLMNNNFDGKLPDVKNLSMLKALYLSNNRLSGEISDEEFQGMLSLKRVFLANNAFTGKVPKSLITLPKLAILKLEGNQFSGVIPEFYGKELKVVNLANNQFEGPIPESLSKMSANMFSGNKNLCGPPLKACGMSPPPSSPPSPSGPPHSPLVPTVKKSSTALTIALIVVSVVLLAIIALLVFCLVRRRGRSISSEEEAFVNESTKPPASDEGGKKVPETSTTIHVKRSELIFLNEDVQRFDLQDLLRASAEILGSGNFGASYKAIIGKGEAVVVKNYKQMNNVGREDFHEHMRRLGRLSHQNLLPVLAYYYRKEEKLLVSKFVVDGSLASHLHANRSEEKPGLDWQTRLKIIRGVVKGLAYLYNELPTLVVPHGHLKSSNVLLDMNFEPFLCDYALRSVINQEQAHSLMTAYKSPEYAMNGRISRKTDVWCLGILILEILTGRFPENYLTPSYDSKTNLATWVNKMVKEKKISEVFDTEMQGTKNCKGEMISLLKIGLSCCEEDAEARPELKEVVKEIEELREEDDDDDVSSTIGEVNAVISGENGFKDEESFFSR >KJB56769 pep chromosome:Graimondii2_0_v6:9:10496061:10497480:-1 gene:B456_009G139100 transcript:KJB56769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKRIVGLDNGDVGSSSSNTKRGSFNRCFSFMEVSMEPAVSSLDELDSNKFKAEIKRWAKAVVRYARQVSGRLGRSSRKSDRYGSSRSSLSHAA >KJB61618 pep chromosome:Graimondii2_0_v6:9:49819003:49820473:-1 gene:B456_009G370400 transcript:KJB61618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFIPCSVVCLAMTTRNLNSDQFVLLEFKDRIADPQNVLANNWTASTSVCNWIGVSCGILHKRVIALNLTSMNLRGTIPPHLGNLSFLLSLDLSSNNLSGHLPKELGQLHRLRIIQLSYNGLNGEIPAWLGNLQRVQRLEMENNSFTGTIPQTLVNMSNLEILNLQFNQLSGQVPYSIFRISSMKIISLFSNSLSGSLPNDMCQHLPKLEVLYLSRNELSGNVPSSIGKCNNLQILALSYNQFTGIIPRGIGNLTRLEELYLRMNNLEGQIPTAIFNISSLKTIFLSNNSLSSSLPNALCHHCPMLEWLFLEHNELSGDIPSSMGECYKLQSLNLAANQFSGLIPKSIFNSTMLQGIYLNDNSLEGMCFTLSFSKVQNAFCLICFCLFRHILYHFNFIINNKFYMN >KJB62993 pep chromosome:Graimondii2_0_v6:9:69826689:69827213:-1 gene:B456_009G447200 transcript:KJB62993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGASVLSWILIICLSQVAVRSQYYSDTLPYQPRPVVVTNLHFYMHEFTGTTAVVLTQANITSNNTSVPFATLVAVNDPLRTGPEPDSELIGNVQGISLLAGSNASSTQYIDFGFNTGKFNGSSLSVFSRGEAGLAVVGGRGQFTMATGTALFNPILINATNVIIEFNVTVIHY >KJB57875 pep chromosome:Graimondii2_0_v6:9:14155456:14157305:1 gene:B456_009G184000 transcript:KJB57875 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g47680 [Source:Projected from Arabidopsis thaliana (AT5G47680) UniProtKB/TrEMBL;Acc:Q9FGI9] MNTTMEATQNEQNGQVNSPDPNPSPVPQHQSLSKNAQKKLLKQQKYEAKKAEKKAQMKEQKQRDAERKRKEWEEKLAALPEDERLKLIDSRKELRRERMEKRSEERGQKIQRLTQAKETGQNIVVDLEFSHLMTHSEIHSLVQQIMYCYAVNGRCSSPAHLWLTGCEGEMETQLQRLPGFDKWIIEKEKQSYIKAFSDRKDDLVYLTADSETVLHELDPTKVYIVGGLVDRNRWKGITMKKAEEQGIHTAKLPIGAYMKMSSSQVLTVNQVIEILLKFLETKDWKDSFFQVIPQRKRTEGDSENCQVDGEDCEEETEKKKRCLEVPSHD >KJB60798 pep chromosome:Graimondii2_0_v6:9:46815285:46815879:1 gene:B456_009G357200 transcript:KJB60798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLNVYSALLLLFLTCGEAMATKENDQIIKENNCETKMGLPCVLEAFTSIFETGSISNKCCGELVGLGKVCHSALVKRTLENPLFKDLSPATIIAKSIQTWNNCLALIDSPSPSA >KJB61109 pep chromosome:Graimondii2_0_v6:9:39581639:39582147:-1 gene:B456_009G341200 transcript:KJB61109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLATPLTLQRKLTRIVEKKKRITKAKAEAAEYQKLLAMRLKEQREHDCESLTKRRSKLSAASKPSVVA >KJB61658 pep chromosome:Graimondii2_0_v6:9:50646095:50646217:-1 gene:B456_009G3735002 transcript:KJB61658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASQSDDEIIVEESATAQETAWPIGSDIEWWPNGKLSIVNV >KJB53869 pep chromosome:Graimondii2_0_v6:9:734526:741568:-1 gene:B456_009G009100 transcript:KJB53869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 [Source:Projected from Arabidopsis thaliana (AT4G30790) UniProtKB/Swiss-Prot;Acc:Q9SUG7] MSSSITHNLIPDGKLLVHIAENGHSFELDCNETTLVETVMQSIEVESGIHFNDQLVLCAELKLEPQRPLSSYKLPSSDREVFIFNKSRLQTHSPPPPPEQVDIVEVPEPRPIASSTDPHPLDDALDPALKALPSYERLFRYHYEQGLAIYKRTVAKFDHCERLLGEQKVQERALDVARGNLDQYYRMIQQNCSEFMKRYKQQHRYHADLLANFDKDMQKLRSTKLHPALQTATRKCLSDFVKEDNLRKSAENCNSSHKQFENKVVQLNQMFGEVKRKVEDLFTLKASLPIKNLELTIKEHQRYLNEQKSIMQSLSKDVNTVKKLVDDCVCSQLSSSLRPHDAVSALGPMYDVHDKNHLPKMLACEHAISKLLDFCKDKKNEMNIFVHTYMQKTTYVTYHIKDVKLQFPVFKEAMVRQEDLFMDLKLVRGIGPAYRACLAEIVRRKASMKLYMGMAGQLAERLATKREVEVRRREEFLKAHGLYIPKDVLASMGLYDTPNQCDVNIAPFDTSLLDIDIPDLDHYAPEYLSGLPTKPASSRGSSSLLNESSHSADTEEINVDTLGKDDSDDFLEGCELVEIAGTSKMEVENAKLKAELASAIALICSLGPEFEYESLDDSKVNTLLKNAAEKTAEALHLKDEYGKHLQQMLKAKQMQCDSYEKRIQELEQRLSDQYSQGQKLSMTNNATDYGLLASKDEDNCKPQISGCEVNVPRISTSEPMDEVSCISNSLDAKLGQFGRQSSKGREGIDENMMESSGMLNPHLDSSMQEPQQEEQEVGVKDGKDRTVGQSGMSLANSSTAEYMPEPLNALPCGTAAELGLDSKVREDLVLELQNALAEKLNQLSETETKLKDALDEVSMLGREMETSRKLLDESQMNCAHLENCLHEAREEAQSHRCAAERRASEYSALRASAIKMRSLFERLRNCVYAPGGMAGFADSLRALAQSLANSISDSEDDGSAEFRKCIRVLAEKVGFLSRHREELHEKYTNIEALTEQLKKELEEKNELVKTLYTKHQLEKQASKEKISFSRLQVHEIAAFVLNSSGHYEAITRNCSNYYLSAESVALFTDHLPSQPSYIVGQIVHIERQTVKPLLSSSTRPDRGRADPAEQLTSNTMNSGSSLNPYGLPTGCEYFVVTVAMLPDTAIHSPPPS >KJB58365 pep chromosome:Graimondii2_0_v6:9:16043634:16045885:-1 gene:B456_009G207000 transcript:KJB58365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDFLLPYLNSNIAGVFAILLSFFLVLSWSGKSLKDRKPPEAAGGWPLIGHLHVLAGSKLPYLALGELADKYGPIFSIRIGLHPAVVVTSSELAKEIFTKYDVVVSSRPKLTVGKLLGHNYANFGFCPYGAYWREMRKITASELMSNRRLELLKHIRASEVESSVKELYELWAKKKVESTPVLVEMKQWVGNLNLNVILRMIAGKRFFGAVVASDEKEARRCRKAMREFFHFSGLFVVRDAIPFLGWLDLGGHEKAMKKTAKGLDSIMEEWLEEHRMKKESVGEEDKGDQDFIDVLLSVLQGLDLSGYDVDTVTKATALTLLVGGTDTVTVTITWTLALLLNNRHALEKAQEELDTQIGKGRLVNESDINKLVYLQAIVKESLRLYPAGPLAGAREFDEDCTVGSYFVPKGTRLIVNIWKLQRDPGVWSDPLEFKPERFLTSHQDIDVKGQHFELIPFGAGRRLCPGISFGLQMTQLVLATFLHGFHISTPSNEPVDMTGSPGLTNIKATPLEILLKPRLSPSLY >KJB53882 pep chromosome:Graimondii2_0_v6:9:767950:774596:-1 gene:B456_009G009500 transcript:KJB53882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGMVAGSHRTNQLVRIRHDSDSGPKPLKNLNGQTCQICGDNVGVGAAGDVFVACNECAFPVCRPCYEYERKDGTQCCPQCKTRYKRHKGSPRVEGDDDEEDVDDLENEFDYAQGLSKARRQWQGEDVDLSSSSRHESQQPIPLLTNGHTVSGEIATPDNRSVRTTSGPLGPSEKNVSSSPYVDPRQPVPVRIVDPTKDLNSYGLGNVDWKERVESWKLKQEKNVMHMNNRYPEGKGDIEGTGSNGDELQMADDARQPLSRVVPISSSHLTPYRVVIILRLIILGFFLQYRATHPVKDAYPLWLTSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDRDGEPSQLSPVDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVACYVSDDGSAMLTFEALSETAEFARKWVPFCKKHSIEPRAPEFYFAQKIDYLKDKIKPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLIYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIIVKSCCGSRKKGKSGNKKYIDKKRAAKRTESTIPIFNMEDIEEGVEGYEEERSLLMSQKRLEKRFGQSPVFIAATFMEQGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYKGRLRLLERLAYINTIVYPLTSIPLLAYCMLPAFCLLTGKFIIPEISNFASMWFILLFVSIFATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDDDGDFAELYVFKWTSLLIPPTTVLIINLVGIVAGVSYAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSEATKAAANGQCGINC >KJB59613 pep chromosome:Graimondii2_0_v6:9:21824598:21828187:1 gene:B456_009G263900 transcript:KJB59613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKSAVEEHMESMADLVQKLSSELRSGLRPAYDNFMGFFHAIDWKEPWLMCLLAFHVFLLIVIIFSRKNTNFQMCLFLLALLGVYFAELLNGFLGDNWKKFANQNYFDPSGLFLSVLWSGPLLIIAIIILINTLFSMCYLIVRWKKAELRHRARLARNKQD >KJB57280 pep chromosome:Graimondii2_0_v6:9:11995454:11995872:-1 gene:B456_009G156500 transcript:KJB57280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTKRWVLFKRFMTKEAWRWKCFGSAFKWKRLNIKRSFVDNVVFKLVSVLEAIYLVATLCFFYLCCGWKCDGLRFNGGFHGEEIGKSFEFQDFVELKGG >KJB61743 pep chromosome:Graimondii2_0_v6:9:51161070:51162992:-1 gene:B456_009G377200 transcript:KJB61743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLSNFHSFSSSSNTIATHIECLSKKLMSMPVRGKGKRDHRFDIVDHALILFNNLIEKYPKPSIVEFNKLLGAIVKTKHYAIVLGVEPSAVTFSTLINGLCNQSKISEAVCMFDEMTERGYQPNLIAYNIVLKGLCKTGNTGRAVMFLRLMESRGYEPDIVAYNTILDCLCKNGLLKEALDLFSEVKVKGIRPDISTYTCLIHGMCNSGQQEEATRLLNEMVDNNISLHIVMYNTLVDVLCKEGTISKAVETVDMMRKQGIEPDVVTYSTLVDALCKEGMISKAVETVDMMRKQGIEPNVVTYSTLVDAHCKEGMVSEAEDIVDAMIKRGIEPNVVTYNTLVNGHCLQNKMDKARRVFNLMIEKGSAPDIVTYNTMINGYCKAKRLDKAMELFHEISRKGPIPNILTYNTLLQSMFQLGRVSTACELFRKMLASGQVPDLVTCSILLNGLGKTGHMEEALKLFQAMRNSGLELDIVPYNILIDGLCKAGHLEFAIGIISSTLRQWLFGSMGDNDCLPNSCCYNVMIRGFLRNSYTSKATQLLTEMVGKGFSADIFTATLFMELIIYSNKSILL >KJB61788 pep chromosome:Graimondii2_0_v6:9:51688868:51690169:-1 gene:B456_009G3807002 transcript:KJB61788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IESLPNSISELKNLTTLLLRGCYQLRDLPCLSMLQELKKLDLCWTEIEEVPEGMDLLIKLRYLDLQVFTLKEIPAGLLLKLVHLQHLSFDVYNEKTSLKAEEMEPLKKLECFTGRFEDINEFNKFISSMQQSKENLIKYYLHVGSSFIPAERDKTVTIGGVQNWEGELIMHPIEIQELIIVKCDYLRSLVDDNCSFKNAIDLRIYDCKGIECVVPLSSFASSSAHPFQSLELLYLQLLPKLSALIMKDAGIGSATTSTLAPSTTFSHLKEIYVGSCSSMKTLLPHWLLPNLQNLEKIQVEGCSQLVEILGAETSEVEEKGSDALIKFHLPKLRELILFSLRNLKSICSKSGVMVCDSLQLIRIIRCDKLKRIPPFVPLVGNGQPFAYAPPSLTIRSDTEWWESLEWDDHPNFKNVIRFNPPWRIRGMNNVWSF >KJB61868 pep chromosome:Graimondii2_0_v6:9:52539807:52540228:-1 gene:B456_009G387400 transcript:KJB61868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKVTPSFPDIVFVSGDVARVDGQLAVARSFGDKSLKKHLTSEPDVSIETTDDDTDLKILASDGLWKLSMLSKALRMLDLQQST >KJB60898 pep chromosome:Graimondii2_0_v6:9:34006522:34008778:-1 gene:B456_009G330400 transcript:KJB60898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLGVAYHFQEQIQQALNQLNSDLNLVSNDLSTVALHFRLLREDCYPITADVLGKFKGGDGRFMGSLCGDVEGLLSLNEASFMAIQGEKILEEAKGFNSENLKNVIGKLEKVKAKQVQRSLEVPLYWRMERIEARNFIDSYAMDDLNNSVLLDLAKLDYNLIQPIYQQELKQLAEWWRELNFKEKLSFSRDRLMEIYFWATGLSFEPQYAKCRICFTKYACLATVIDDIYDIYGSLEELECFTKAVTGWDVKAVQELPEYMRVMFSAISDFTNELAQQTLKDHGLDGLPYIKEQWVVLCRAHITEARWFYGGQTPTFDEYIKNAWISIGSLGGLVLLCFVEADSIVNQFPNCLKDFSQLFYWSSLITRFSDDLGTSKAEMERGDIPKAVQCYMIEKGVSEEKARNHVKELISNSWKKINEEIFDNRFPRVIVNLSKNMARTVKCMYQHGDGVRTSTGVTKDYIVSSILRPIPI >KJB59621 pep chromosome:Graimondii2_0_v6:9:21889467:21889778:-1 gene:B456_009G264500 transcript:KJB59621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRKLMGEPIKMDSHTSLVTRGNFIRIYVEIDLTKPLLSQVCIGRFIQNIEYEGHHTIYFSCSYFGYCMEACLRRVMLNDSSIPIEVSIQETTAMAEEKQVE >KJB62698 pep chromosome:Graimondii2_0_v6:9:67615643:67616775:1 gene:B456_009G431000 transcript:KJB62698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALLEALFGFHFAFAQNPFDASFRPRYGRSFRGIPVLVMPEASQPQSLKPKMVSIPVHFVGSERGRSDSAIKIQKGASE >KJB55460 pep chromosome:Graimondii2_0_v6:9:5592476:5593748:-1 gene:B456_009G077500 transcript:KJB55460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKKFICFCLLFPLLLFLLFQLESSSSSSSHGSSFKPAISASSQQFKPPVLQSHGSFEAGNHDHHKGFLFPLKMKHGKENENKEGNECCIVGVDGFVGL >KJB62319 pep chromosome:Graimondii2_0_v6:9:62287806:62289589:1 gene:B456_009G411500 transcript:KJB62319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPAMALTNDDEFQKGVKHLFENGVSKLPNKYILPVSDRPKVDKEHPNAAKSSLKLPVIDFGELQGPNRSQVLNSLSSACEEYGLFQVVNHGIPIEAIRSMIDVSTRFFGLPYEERAKYMSSDMTSPVRYGTSLNQRKDSVFCWRDFLKLVCHPLSDVLPHWPSCPMDFKEVAATYARETKWLFIRITEAILESLGLWGATTEEKTEEDDEILKQFHDGSQLMVVNCFPPCPEPDLTLGMPPHSDYGFLTLLLQDEVEGLQIQYKGKWITVEPMPNSFVVNVGDHLEIFSNGRYKSVLHRVFVNPAKPRLSVASLHSLPFNSMVGPSPKLIDQVNPRRYKDTDFATFLEYISSCEPKKKNFLESRKLT >KJB58822 pep chromosome:Graimondii2_0_v6:9:17867393:17868318:-1 gene:B456_009G227900 transcript:KJB58822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMKYSPLSNTSTTTTTADGNREQGTSSMLSRIKKDCFAFGVSLQEGFRYVKATLVGLAKKLKARDEQEAAIADLQAQKMQVKAADEAEDTKKKKYKST >KJB53961 pep chromosome:Graimondii2_0_v6:9:1097308:1098852:1 gene:B456_009G013500 transcript:KJB53961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMIMGIEKPAWLEGIYTQKFFVGCSYHETAKKNEKNVYCLDCCISICPHCISSHSFHRLLQVRRYVYHDVVRLEDLQKLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGAGNYCTSCDRSLQEPFIHCSLGCKVDFVLKHYKDLSPFLKKCKKLTLSPDFLIPQDMGDDETTNGTPRSTIADGDEPIISWSSGSSGSDNMSMAYSCDEIVRKKRNGLYDQDMASSIMNRRKGIPHRSPLC >KJB54770 pep chromosome:Graimondii2_0_v6:9:3505749:3508477:-1 gene:B456_009G048400 transcript:KJB54770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDKMVDYPQFLNLMNKEWLVNHKVSEDKKLELRLGPPGEALDYNRNTTHGTKRAFQHTAETRTGEKEWPVDTTKNQCQKISCIEKIGDKVNLPPTPWSSGSTIYSAFSKDTKEEPQHSKASFFRNLPVSKKLAGMAEDFKQPCSPRMAVGEVQFADGKACCSLATADPDASTNITSNKRIEYSPVVGWPPIRSFRKNIASNSLSKPASESSNDKDTGGKPENPKTQLFVKINMEGIPIGRKVNLSAYNSYEELSLAIDDLFSGLLAAQRDPSATQNESKAKESAKAEAGPLAGSGEYTLIYEDDEGDRILVGDVPWNMFVSTAKRLHVLKSSELSTLQICSNEKEKAPFDPAVHI >KJB63016 pep chromosome:Graimondii2_0_v6:9:70059463:70060119:1 gene:B456_009G449400 transcript:KJB63016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTGNQKQKQSLSQFIETQFHINLTQLLLSVSIFSLFFSHSYCLSLFHSFSFTFHNTLAFKFISHNIDKNFIFLLCNGILVFLAKFSGLISSSSQHSNLSDDHYNHQSFKSYTYIPQTPLLELEKEENAGFVEEEAKGSSIDEPFVEDNEESEAYEPEEEEEEDIEESENYEPEEEELMEGNNVLSTEEMNKRFDEFIRKMKEGLRIEAQQQLVMQV >KJB61551 pep chromosome:Graimondii2_0_v6:9:48922289:48922390:1 gene:B456_009G3657001 transcript:KJB61551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLENDKENENEIQLSDLKTLCSSSIGSDRQARK >KJB59319 pep chromosome:Graimondii2_0_v6:9:20177217:20183491:1 gene:B456_009G249300 transcript:KJB59319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTAPITSSTTTTVASSMATITDVFKTPKFVKFSAVALLSFSFVFLYTYFSSSSSPFSFSYYSLPRQMSPPPSPPSPPPRLPAVRRTGIIDETGAMSDEFFIGEWDSISISALTELSDGNGVGGEEEKEKKNKSGGEVKVRFEKYKVCERSKVDYIPCLDNEEAIKLFNNSDKGEKYERHCPGNDKSLDCVVPRPEGYRIPIPWPQSRDEVWFSNVPHAGLVEDRGGKNWISIKEDKFIFPGGGTQFIHGADRYLNQISQMVSEISFGHHIRVALDIGGGVASFGAFLLQRNVTTLSIAPRDVHGSQIQFALERGVPAMVAVFATRRLLYPSQAFDLIHCSNCGINWTRDDGILLLEANRMLRAGGYFIWAAKPVDKHEDNLQEQWKEMGDLTTRICWELVKKEGYIAIWRKPLNNSCYLNRDAKVLPPLCDLDDNSDNVWYTNLRTCITPLPGNGYGSNVSAWPERLHYPPERLQTIDMDAHISRKEIFRAESKYLNAIIENYVRAYHWKDMKLRNVMDMRAGLGGFAAALHDLQVDCWVMNVVPVSGFNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDLLHAAGLFSVEKKRCNISTIMLEMDRILRPGGRVCIQDSVTIMGELEEIAKEMGWVPALHETSEGPHASWKVLISEKRM >KJB61322 pep chromosome:Graimondii2_0_v6:9:44875559:44880441:1 gene:B456_009G351400 transcript:KJB61322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSMARSFLQVAATEEVASPLRVVQIEGVVILKIIKHCKEFSPALVTGQLLGLDVGSVLEVTNCFPFPIREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTILGSYQTVELIETFMNYQENIRRCVCIIYDPSRSNQGVLALKALKLSDSFMELYRANNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELESDTPVKQCDYDRLQLSTKPYMERNVEFLIECMDDLSMEQQKFQFYYRSLSRQQAQQQAWLQKRRTENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLESFLVTNQIANYCNQINGVAGQNFNRLYLMKSLHDN >KJB56470 pep chromosome:Graimondii2_0_v6:9:8945387:8947669:-1 gene:B456_009G120800 transcript:KJB56470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGGGGGDTFTVDDALLTLGFGKYQYFVLAYAALAWVSEAMEMMLLSFIGPVLKRIWRLSAKEQSLITSVVFIGMLVGAYSWGVIADKYGRRKGFLMTALVTTLAGFMSSFSPNYATLLVLRCVVGIGLGGGPVLCSWLLEFIPPPNRGTWMVVFQAFWTVGAVFEASIAWAVMPTLGWRWLLAFSSIPSFGLLIFYLCTPESPRYLCLNGKIKEAMTILDRIAKVNGTEVPSGTLVSDDDVVASDQDSTPTKRHKQGALTTILKLLSRELIRPTLLLWVVFFGNSFSYYGLVLLTTELNAGRSACAGHKVKAEETDDVNYKDVFITTFAEFPGLIIVALTVDRVGRRLSMAVLFFICCVLIFPLVFQQSEMVTTGLLFGSRICITATFTTLFIYAPEIYPTAVRSTGFGAASSMGRIGGMVCPYVAVALVQGCHETAAIGMFEVIIILSGVSILLIPIETKGRKLSDDVKQKCQPV >KJB60189 pep chromosome:Graimondii2_0_v6:9:25390533:25391371:1 gene:B456_009G292900 transcript:KJB60189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIRTHNSHLITSVFFFFILLSNSSLSSARLLSATQPTLNLALPSDKPHQALPCDHMVPFKNFHVGVMRRSPSQKPAGKYGPSFLTMLPKGGHVPSSGPSKGSNDIKT >KJB57443 pep chromosome:Graimondii2_0_v6:9:12609277:12609522:-1 gene:B456_009G164400 transcript:KJB57443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKFHLYLLLLLVCFPRSDGRPLGPYTEGRDLIRSIRALAAREAFKIGRTQNEIDNNNLYQSKRVSPGGPDPQHHSIHH >KJB60701 pep chromosome:Graimondii2_0_v6:9:30868476:30869042:1 gene:B456_009G320500 transcript:KJB60701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQVICSTVFPLLCILLLFLSVEAQTCSPSGKIKGKTPPPGQCNQENDSDCCKDGKWYTTYKCSPPVSSQTKATLTLNSFEPDGDGGAPSECDNQYHSDDDPVVALSTGWYNNGKRCLNYINIHGNGKSVRAKVVDECDSTMGCDSDHDYQPPCPNNIVDASKAVWKALGVPESDWGGMDIYWSDTD >KJB61230 pep chromosome:Graimondii2_0_v6:9:42244985:42245796:-1 gene:B456_009G346700 transcript:KJB61230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRGIYSSKTINSMELYIKTFPNIPKIQPKVTSFHRATTKRKRMGNKASTAKIIEMQSASQWRAQLEASKQSNKLLVIDFSATWCGPCKWMEPVIEEYANLYADVEFIKIDVDTLEDVARQFKVEAMPTFVLVKKGKEICRLVGAKKTELQKLIEKHRFDN >KJB62953 pep chromosome:Graimondii2_0_v6:9:69628084:69629103:-1 gene:B456_009G445100 transcript:KJB62953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENELHNFIKVWILAIISLCYCFYIPSKLPKGIFKLISLTPIFIFFLYLPLTISTAHLVGITAFFLSCYKQILNKNVVLAMYCVHIYIELELILALAATLARAMFGFEIQPQFNELYLATSLQDFWGRRWNLMVTSILRPTVYYPIRRISTRLLGSRWASLPAIVVVFVVSGLMHELIFYYMTRVAPSWEVTWFFILHGVAVAAEVVVKKVVPEKMRLHPVVSGASALGFVAVTAVWLFLPQLVRNGVDEKNIGEYSKVMDLIKGLLPF >KJB54339 pep chromosome:Graimondii2_0_v6:9:2283571:2285194:-1 gene:B456_009G030300 transcript:KJB54339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGSFIPAQTRLVAAFAFIFVFLIVFADSVTNDSQVKCSRTCIAENCNSVGIRYGKYCGVGWSGCPGEKPCDDLDACCKIHDECVEKKGLTNVKCHEKFKSCIKKVQKSGKVGFSRNCPVEMAVPTMMQGMDMAILLSRLGSTKLEL >KJB63053 pep chromosome:Graimondii2_0_v6:9:70192489:70195481:1 gene:B456_009G451300 transcript:KJB63053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLINKLPGQPDVNFRQFAGYIDVDENVDGRSLFYYFVEAEKDPMIQPLTVWLTGGPGCSSVGDGFASVGPFIVTKDVHGLQKNLFSWNKVSNLLFIDSPIGSGWSYSNTSSDYDNGDDATNTILLTFMQKWYEKYPVFKSKTLYLAGSSYAGHFVPNLANALLDDNKQSKQSKFNLKGLALGNPMLRNKLDDLAKFDLFFSREMINNSVYNQIKKECNAIDENNYFFNLKAIWSATCKNLMEQAILVAFKTDATNYFPLKLFDIFRDPCAENEQDLNLGKQVVKLITEVDMCSPLRAQCYFNLPEVQRAFHGNRTKLSYRWKGCFTANFKYNKADMDLDMVPALKKLLQQSIPITIFSGDQDGIIPAVGTLEHLKKLAEELNIKLTKEEAWSFRNQDGGSKYVFGDLLTFLTVKGGNHHVTASRPSQALDIFANFVINWMH >KJB63052 pep chromosome:Graimondii2_0_v6:9:70192489:70195481:1 gene:B456_009G451300 transcript:KJB63052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLINKLPGQPDVNFRQFAGYIDVDENVDGRSLFYYFVEAEKDPMIQPLTVWLTGGPGCSSVGDGFASVGPFIVTKDVHGLQKNLFSWNKVSNLLFIDSPIGSGWSYSNTSSDYDNGDDATNTILLTFMQKWYEKYPVFKSKTLYLAGSSYAGHFVPNLANALLDDNKQSKQSKFNLKGLALGNPMLRNKLDDLAKFDLFFSREMINNSVYNQIKKECNAIDENNYFFNLKAIWSATCKNLMEQAILVAFKTDATNYFPLKLFDIFRDPCAENEQDLNLGKQKYKGLFTGIEPNCHIDGRVVSQLILNTTKLIWISTWFPRLKSFFNNLFPLQYSVEIKMV >KJB54893 pep chromosome:Graimondii2_0_v6:9:3887249:3888717:1 gene:B456_009G053400 transcript:KJB54893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQANETGEAAAIPITVMQLEAIIRLNESLAKMKLSHVATESDVTEALRLFKVSTMDAARSGINQHIHFTPDMDNDIKQAEN >KJB59833 pep chromosome:Graimondii2_0_v6:9:22993713:23000855:1 gene:B456_009G274500 transcript:KJB59833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSADDEELRRACQAAIEGSKQKIMLSIRVAKRRGIWGKSGKLASYMAKPRVFALCCTSFRGGVIEVILLLQSFRQCVLGQTRTKAERLSSGFMPAKLYKLNHLSRVEGFDSLSSQSIAPPQWTMRNIDDRNRILFCILNVCKEAFGRLLKVVGIDVVEMALWAKENKPSVITQKNQQAGHGPVSTRVAESDLKVTVEKDLISTAEEDDMEALLGSYVMGIGEAEAFSERLKRELLALEAAKVHAMLESETLVEEVLQGLEAATHCVDDMDKWLGTFNVKLRHIREDIESIETRNNKLEMQSVNNKALIQELDKLLERLCVPSEYATCLTGGKFDEARMTQNIEACEWLTGALRGLEVPNLESTYGNMRAVKEKRAELEKLKATFVRRASGFLKNYFANLVDSMMSDKNYFSQRGQLKQPDHADLRYKCRIYAHLLLHLKSLDKNCLRPLRKAYCSSLNLFLRREAREFANELRASTKVSISPTTWLEGSPGGNQIGNSTDTSALSDAYIKMLTIFIPLLVDESSFLAHFMCFEVPALVPPGVGANGNKRGSNDDLGIMNMKSNDSKDDLQSLNESLQDLLDGIHVSILDFFADRFFCSLEFM >KJB55715 pep chromosome:Graimondii2_0_v6:9:6613873:6614227:-1 gene:B456_009G090700 transcript:KJB55715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRGKRMVAMAAVIALVMLTFTRPIEADGVIVITCSQPDCQNKCLKAYGSRLIRSACEKDPTVFGGTLCVCYHTPPADV >KJB63205 pep chromosome:Graimondii2_0_v6:9:24285024:24285305:1 gene:B456_009G2852002 transcript:KJB63205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWLLFSFWNVNSLPPPPPPPPAPLLPPVPSPSTSHM >KJB61293 pep chromosome:Graimondii2_0_v6:9:44559338:44562173:1 gene:B456_009G350400 transcript:KJB61293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHWLLLNGFPTLKLKIQSIRVEGRLDEKVSSDMEIDKQAAVTLGEEFYLGGVVGAALIMAGLYLVILGKSEESKYLSENEPIYSMSENNDMESTFIRPLLGNKLQS >KJB53776 pep chromosome:Graimondii2_0_v6:9:422121:423242:-1 gene:B456_009G004700 transcript:KJB53776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FIGIYVSIFVYVWRVRSQIQSSKDVCTDSQFSTLTMDKFLDDMEREKPITFTSQQLWIATDNFANLLGSGGFGAVYKGMFSNGTMVAVKVLYGSSDKRIEEQFMAEVSTIGRVHHFNLVRLYGFCFEQNLRALVYEYMENGSLDKFLFGEDKKLGFQQLRSIAVGTAKGIAYLHEECQHRIIHYDIKPGNVLLDAKFLPKVADFGLAKLCNRENTHVTMTGGRGTPGYAAPELWAPFAVTHKCDVYSFGMLLFEIIGKRRNLARNVPESQEWFPTWVWKMVETGNSVELMMVCGFEDKDKDKIERMMKTALWCVQHRPESRPSMSIVVKMLEEALEIPTPPNPFPAYLMESNVVPNNNNNISSSTTWNDTSFV >KJB62571 pep chromosome:Graimondii2_0_v6:9:66168249:66169645:-1 gene:B456_009G4236002 transcript:KJB62571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHVNFGQKKFAFDVKEYEARERLKQQMTIEEISLPPNISYGQR >KJB53802 pep chromosome:Graimondii2_0_v6:9:523654:525552:-1 gene:B456_009G006000 transcript:KJB53802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSIQKTEALIRLSTIVMLVLTACLIGLDSQTKVIFYVQKKASFNDLRALVGLLYITSLAAAYNLLQLCCSSFYKGTSLQSYAYLAWLRYILDQAVVYAVFAGNLAAMEHSFLVLTGEENFQWLKWCNKYTRFCTQIGGSLLCGFVAILLMFSIASISAFNLFRLYSPTKFMHLKL >KJB59879 pep chromosome:Graimondii2_0_v6:9:23456308:23457651:-1 gene:B456_009G278700 transcript:KJB59879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YGCFKDTVVLSLEVVIDTFFAKFGLRTSRVWGQGYDGASNMQREFNGLKRLNQKTTFIHPGNTRSGSHYGTLLNIIIVFTSIVDLLEIIIDDGLTSDQKVEANTLLDLIHVLGITNDLPEALQRKDQDIVNAILLVRISKERLQKFRKDGWTSLSRRKAHKVTNMHHYRVELIYIVIGTQLQELNNRFNEVNTELLLCLIRLGQIYSSSFSPVEVLALNNQLETYIIDMRSNREFSNLKRIQVLAKKMVDTKKNIVYPLVYHLIKLVLVLLVAISVERVVYAMKVVKNRLRNRIGDQSMNDCLITYVEKYIF >KJB59846 pep chromosome:Graimondii2_0_v6:9:23101502:23104826:-1 gene:B456_009G275800 transcript:KJB59846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSHQGFCDDYFLLNPEKATLFDLFSLLVSPQLETRGFIDCPGSQHQSFLLRWPIFVSVVLQILLIWVKKPMAFMGDAIEMWLNLLSANGGLFRLLLNLPTGKTEWPKRASAKFTSMVGNTDTRLELDENIKPADQKYKASLSMMASKLSYENEAFIETKVTQLWKRKFVKFFNCWNEYRGLPSTQAFILQDSQANPNLYVVAFRGTSPFDADDWRTDADFSWCKIKAMGKARTHSGFMQALGLQTNNGWPKEIQQHRDGQRQFAYYAIRQKLREILKENEDAKFIVTGHSLGGALAILFAAVLMLHDEELLLEKLDGVFTFGQPRVGDENFGEYMKEKMKKFDVKYLRYVYNNDLVPRVPYDDKMTLFKHFGPCLFFNSFYTGEILPEQPNKNYFSLLWVIPKMVNAVWELIRGIILPYMYGPDYKEGWVLRMLRVIGLLVPGVSAHSPQDYVNSTRLGTLSDVELHKHQLKID >KJB58032 pep chromosome:Graimondii2_0_v6:9:14726656:14728173:1 gene:B456_009G191100 transcript:KJB58032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain 2 containing protein [Source: Projected from Oryza sativa (Os07g0476900)] MAAITNFLTKPPPSDLLHFTPKLTSLGSHASFLPSLATRPRSFSTKLGTSTSSRFITKATAAPGTKDAPSDERVQKVHSIEEFDEALRKAKNRLVVVEYATSFSYHSSKIYPFMVDLSRTCNDVEFILVMGDESDETRELCKREKITKVPHFSFYKSMEKIHEEEGIGPDQLMGDVLYYGDSHSGVVQLHSREDVEKLIEDHKLDHKLVVLDVGLKHCGPCVKVYPTVIKLSRQMDSVVFARMNGDENDSCMQFLKDMDVVEVPTFLFIRDGEICGRYVGSGKGELIGEILRYQGVRVTY >KJB54622 pep chromosome:Graimondii2_0_v6:9:3079256:3080314:-1 gene:B456_009G041800 transcript:KJB54622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSAAEDDSRSEIHIPADIDWHMLDKSKFFFLGAALFSGVSAALYPIVVLKTRQQVSSAQISCSKMSLSIMRYEGLRGFYRGFGTSLMGTIPARALYMGVLEVTKSSVGTATVRLGFSDTTATAIASAAAGLNSAMAAQLVWTPIDVVSQRLMVQGYNNSNSCKNAISNVHSCRYKNGLDAFRKILYADGPRGLYRGFGISILTYAPSNAVWWTSYSLAHKLIWGGIGCSMGKKDETGVIEGSGFKPDSRAVVAVQGLSAAMASGVSALITMPLDTIKTRLQVLDGEDNGVRRPMTVLQTIRNLVKEGGLSACYRGLGPRWASMSMSATTMITTYEFLKRLSAKSRDSLSS >KJB59134 pep chromosome:Graimondii2_0_v6:9:19206479:19210103:-1 gene:B456_009G240200 transcript:KJB59134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSYLKQAANIPQNGSSATQSSHSKHSGNSGPANKLNTQTGRSLRAELQRAQQFSYQNNQLPWALGLYNLQTGSIVFHEIFIPLRPVAVQQRGFLNQTTKPTATYPSSGTPMSNLLLNSSLLHETVGSSAPNLTQTPLSYHSEQGTSEPRGNARSRLEVGESSAFKRLRGETNEPQAPSAEQIMSNSLSLPIEASASPDIGNLLPPRPIMNSLYDPMYEELGLPIDPHLRLFAKYKK >KJB56871 pep chromosome:Graimondii2_0_v6:9:10553429:10554551:-1 gene:B456_009G140000 transcript:KJB56871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQVSDMDSLYKLSSTLKLRIQWWTASLASGQNHIFIKFYQHIHLFLHSLFFQCLQKAYHSNYPMSISFCRSKWLIFQYVILYHWLFLLKPFEASKHPHHCELPLQCH >KJB54000 pep chromosome:Graimondii2_0_v6:9:1252621:1254856:1 gene:B456_009G015800 transcript:KJB54000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNCDCWTFLKRGVCNSSSSTTHSANTIPRTSLVYDAATETRCLNASNRELFPPNEARLSSDNLDPPTSTKTKSPRQLLQFTFQELKSATGNFRPDSILGEGGFGYVFKGWIEENGTAPAKPGSGITVAVKSLKLDGLQGHREWVVEVAFLGQLHHPNLVKLIGYCIEEDHRLLVYEFMTRGSLENHLFRRTIPLPWSNRIKITLGAAKGLAFLHGGPEPVIYRDFKTSNILLDSEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVTWARPYLADKRKLYQLVDPRLELNYSLKGVLKVSQLAYSCLHRDPKARPTMDEVVKVLSQLQDLNDLAILSHHSRLSQQGRRKKKPEGSKSTKDSPLNIGKHRKDEIKLQLCK >KJB60705 pep chromosome:Graimondii2_0_v6:9:31040700:31041384:1 gene:B456_009G320900 transcript:KJB60705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNIFNLICICFNSALFSSSFLFAKFPEAYAFLNPIVDFMPVIPILFFLLAFVWQVAVSFR >KJB62636 pep chromosome:Graimondii2_0_v6:9:66898358:66912841:-1 gene:B456_009G427300 transcript:KJB62636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESILARALEYTLKYWLKSFSRDQFKLQGRTGQLSNLDINGDALHASMGLPLALTVTTAKIGKLEIILPKVKNVQVDPIVLQIDRLDMVLEENPDVDTAASSNNLQSPTSSGKGSGYGFADKISDGMTLQFQTVNLLLETSGGAWFEGGAAWATPMASITMRNLLLYTTNENWQVVNLKAARDFSSNKNLIYVFRKLEWESLSIDLLPHPDMFSDARLARPRVEAAQRDDDGAKRVFFGGERFLEGISGEAYITVQRTELNSPLGLEVQLHVTEVVCPALSEPGLRALLRFLTGLCVCLNRGDVDLKAQQRSVESAGHSLVSLVVDHVFLCVKDPEFWLELLMQSLHFSRASVSDGESSRNLSKVMIGGLFLRDTFSRPPCTLVQPSMKAVTDSHLLTPKFGENFCPPIYPLGKQEWQLPVGVPLISLHSLQVKPSPPPPSFASRTVISCQPLMIHLQEESCLRIYSFLADGIIGDPDAVLPDSSVNSFVFTLKELEISVPLHTSKFDNPGGEEDHALQNSFAGARLHFEKLFFCESPSLNLKLLNLEKDPACLSVWDGQPVDASLKKWTAEVSQVSLLLEPTACSTGSPDWSSDLWKCVEVKDVCIELAMASTDGNPLTVLPPPGGIVRIAVACPQFLSNSSVEQFIFVLDLYAYIGRVSEKISVVGKNKRPKRNKDDTLGGRLIEKVPSDTAVSLAITVLQLRFLEACSLDMQGMPLAQFTGNNLFLKATHRTLGGAMAVSSTLCWESVQVDCVSTEGNIVYNNNNTLIDATKNGSLATENGFSPLRAVFWIHNKQKQLSSPKASVIPFLDISTVHVIPFNERDKECHTLSVSACISGVRLGGGMSHTEALLRRFGIFGPDGAPSLRLSKGIENLSSGPLSKLLQSSAFIDNDLVDGGTLGGLKDDSFSHLGIPDDVDASIELQDWLFALEGVQEMAEMWWFEKEDLGREHRCWHMTFKSLTVKAKSSSKDVQNLKNNSHGMRRYPVEFILVSLEGLQTLKPQVERSSILEDPLPVNGFKESVSIFGRMNLEVRMKILEDKVDNETINWMMENFKLSVKQPIEAVLTKDELQHLAFLCKSEVDSIGRLTAGILRLLKLEKSLGKEAMNKLSNLGIEGFEKIFSSDKLSQGSKLQSITTLALLEEAVSDSQAKCAALITESSNLESSQVNLTNIKELQQKLDNMHSLLLQLRVQI >KJB57565 pep chromosome:Graimondii2_0_v6:9:13117720:13119126:-1 gene:B456_009G170100 transcript:KJB57565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLLTRQVSDLCLGKPALKSLSISSTIGDALLALKRLGENFISIWNCDHHHYNLSAVDRGFKECQCVGKVCMVNIICFLCKEEHLSNPATALQTPLSVLIPKSPCLVRHLEPNASLLDAIDLIQEGAQNLVIPLESSSKTSSRKKLVQGTLSNISTLHNNNRQYCWLTQEDIIRYLLNSIGLFCPTAANPINSLDIIDTRNKMALHYDDPASSALPFISRSLEIQASVAIVDSDRKLVGEISPFTLNYCDEDVAAAIATLSAGDLLAYVDCGGPPEDLVQLVKKRLQERNLEQALELVEEYSSGASIPTSYSSSSSDDEFGMGRSARWMGYSARVVRRSEAIVCYPWSSLVAVMIQALSRRVSYVWVVDDDGTLAGIVSFAGMMKVFRERSRTMGEGCLN >KJB58697 pep chromosome:Graimondii2_0_v6:9:17250160:17252447:-1 gene:B456_009G222000 transcript:KJB58697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSVNPSRGNVPFSWENKPGIRKETCQEGKEHHYFMRKLTPPPFPPQSVGMSIEGIKISPPPPPPSRRLKKSDDPFLAAYKECTRSTSKGKLAKRDSMSSLKKGIFNFSCKQSCSVENNNLVRVSQLPHERDR >KJB61747 pep chromosome:Graimondii2_0_v6:9:51194817:51195227:1 gene:B456_009G377500 transcript:KJB61747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTKDCVGCKRCESACPMDFLCVKVDLWHETTRSMGLAY >KJB58583 pep chromosome:Graimondii2_0_v6:9:16855790:16856601:1 gene:B456_009G216700 transcript:KJB58583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNHQMDERQDEGVDGDNSTEWLNLSLGRHGDIVAPKSGFQSKYVPNKVFSCNFCRRKFCSSQALGGHQNAHKRERGVVRRYQSERLIARVGLPVNRPMSRSLGVHPHSLVRQLNTAWRPVVARFNDSNKEIVGAWTGSIDRDTSLNWPGSYHVDSQSLKSPEELLKIDLDLRL >KJB53852 pep chromosome:Graimondii2_0_v6:9:675247:677089:1 gene:B456_009G007900 transcript:KJB53852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWEDYKYPIAMVVLQSGYAGVALVTRAAMLQGMSPPVLVVYRQAVATLAVAPVAYLSRRKSGGPSLGLRSFSLIFLATLIGITINQNIYYEGLYLATSSTATAVANLVPAITFVMASVVGLEKVDIRSLRSIAKIAGTVICVAGAIFMALLRGPKLLNAQALPVTESTIGPEGEHWLQGCLFLFGSASLWSIWLIFQVPASASYPDHVSLSAWMCFFGTLQSAVVALFFEPNLAAWTIHSSFEIISCLFIGIFGSGVSFFVQAWCIAQKGPLFSAMFNPLCTVIATILAALLLHEEIYVGSLIGAVGVIGGLYAVLWGKAKDCQVMNKETDTESLQTNYKLDLEEPLLSDKSTRTNENNA >KJB61578 pep chromosome:Graimondii2_0_v6:9:49273665:49276550:1 gene:B456_009G367700 transcript:KJB61578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLILCFLWGFFNSSLLSLSLSLPPTHLCRPEQSSALLKFKRSLSLSISYCGQEHQIVPVPNMDSWDEGTDCCKWEGVVCDNKKGNVIGLDLSCSGLRGSLLSNSSLFSLQNLRWLNLAGNGFGNSEIPSEFGKLRSLTYLNLSLTGFTGFVPPEISLLSDLVSLDLSLNHGLLFRNHDFNMLVHNLTKLENMILDGMDLSLVVPYSFLNLTVSLKHLSLSHCFLEGNFPTQVFHLPYLQNIILSGNPDLIGYLPETNWNSPLRLLDVSKTSFSKGLPDSIGNLKHLKTLNLYGCVFMGSIPSALGNLTKITFLDISGNMFQGQIPDVFGNLNDLSFMVFSSNNFSGVFPPSAFNLTSLTFMDFSSNFLRGTLPNNISGLSYLRELYLSANLLSGRVPGWLFRLPSLEDLDLHSNKLNGPIDPIQEPNLVLLNLTSLDLSSNNLSGNIKSCMLVKLRNLRFLDLSFNNLLSLTRCSNDVNSTLPMINQFHFSSCNMQRFPSFLNASKYLQVLDLSNNQIHGSITKWEAEGWENLATLNLSMNFLTSVEQIPGKDLLVLDLRSNSLRGPLPTPPQNLSYFLISNNELVGEIPSKICNLSFLYVLDSSKNKLDGTIPDCIGTFSDPLLVVLRTLNLNGNQLEGSVPRSLKNCYSLEVLDLGNNNINDTFPYWLGTLPHLQVLVLRSNRFHGDIQNFSGTFSFSSLRMIDLSRNEFSGHIPPELFENLKSMKDIQVDKSGPEYIGGDYYQDSITVTMKGSNFELTRILTIFTIIDFSSNHFKGGIPKAIGELNSLIVLNFSHNSLTGNIPPSLGKLAALESLDLSSNKLQGRIPMQLTNLTFLGALNLSHNNLEGPIPLANHFDTFSNDSFAGNSGLCGFPLSKKCGNDQEPKSPPSTVGDESEIALIWKIAAMGYGSGVVLGLSVGYIVFTTGRPRWLVKMMKRNPEKRMRRRIHRNERRKN >KJB62350 pep chromosome:Graimondii2_0_v6:9:63137963:63140871:-1 gene:B456_009G413300 transcript:KJB62350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEGDFKGLSLEDAEEEEAVRLKEPVSGTGELLDNCLVGSFLTSSVVNFVYESHFGECLASYTISDLSDRRFLYRLFHKVDANLLPNGTIRYVKFAYEKLTLFCFLCGKLGHKESFYLLHVLQDDQELSFGRDNSLKAPFRRAVAPSNRWLREKGAGAPGMEGRNFRGNTPIMGNIGVRLVRSSNRQLRANLRRVNGENLNPNVLLVGYGQSQGLIRRNNMDLVITEDKPSNGLSSGGFGDVMGEDNPMVNGEGLKQPRLHLANSERNDNRNGDEYQNEISADLTQRANRE >KJB53996 pep chromosome:Graimondii2_0_v6:9:1231669:1232846:1 gene:B456_009G015500 transcript:KJB53996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNTKMSALLLICMLFISSVTPILGCGSCGKAPHKHRKPKGKSPKGPITLPPLVKPPIKLPPVVPPIVKPPIDLPPVTVPPINLPPGTVPPVTKPPSGKPCPTPPTKDTCPIDTLKLGACVDLLGGLVHIGLGDPVLNQCCPVLSGLAELEAAVCLCTTLKLKVLNLKIYVPLALQLLVTCGKTPPPGYTCSL >KJB59974 pep chromosome:Graimondii2_0_v6:9:24089386:24090701:1 gene:B456_009G283200 transcript:KJB59974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMKDSSCSYFHPKEGVGVCPLCLNERLLILASTTSSDTHRFVQPVSHIKSPKFFAFTSLLNRLQFKHSKSQTSYDPDDAASTPQADSFISIKFEEDGVGSWETGEVTKVSLEHCTKSWNPTTTQNQREANKSVVEQVKPRGLLRWQKRIGHLFQLIKSGRGPASQKCATLAAR >KJB60010 pep chromosome:Graimondii2_0_v6:9:24302539:24304883:1 gene:B456_009G285500 transcript:KJB60010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAKFRAFKIKRGEKEVRDGRKSLNVNDEYLSALRTKSYLEFSSKAQILANEPSASFKFFQLLEPCPDTISSSILNSAILSEMPELKTLILDYFDISAEASKICGHLLTKINQIQRNYHFIQRALDLFDDYYHSPDNMRQVLSDFNSFFALKNPFSNPIKHDFKIINEKYSSILHHLKSKKRKVTRKIKLIKFIHKTSGICLTTACGLLVIIAIVIAAHTLAPLLMAPAILGFVPEKLLKKKKQVLKKLPCLKCGFLRKVGEQLDVAAKGTYILNRDFDTLSRLVSRLNDEVEHKKEMIGICLERQREDHRFCLQIVKELKKSHGGFWKQLEELEEHVYLCLVTINRVRALVIKEMTASFTQGFRD >KJB61378 pep chromosome:Graimondii2_0_v6:9:45966079:45976522:1 gene:B456_009G354100 transcript:KJB61378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFGKPNFYSTCAGKNTGEYILQRPHKRSFNSYEGNMEIVQQMYEIDAPKLSGQHYLQSAASSVHEEWSLPSASIVGNADLQKAEKKRKTDKAYRERCKMKKEQMMQKLETVGKENEDLKTENQCLKERNVFLNQSLLSQTNELNEIKHQLDDLRNKNETQNTLVQTLSHHLVSPDLCLQNKKLRDENARLREILKLGDGALLVEENGKLKLENQLLQVKIDALLGQIVDENRKSCGHK >KJB58679 pep chromosome:Graimondii2_0_v6:9:17168265:17170093:-1 gene:B456_009G2211002 transcript:KJB58679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKAPWELNNQEKIEAAGKKKEEGNILFKDGNYQRAGKKYDKAVDYVSEDGLFQDDEQKQIKALRISCWLNGAACSLKLSDFWGAIQLCSKVLDFESHNVKALYRRAQAYMKTSDLISAEMDIKKALEADPQNREVKLLQKTLRQLQVESNKRDAKLFTNMFARMSKGSSMPIKKLKVEIAEPEKSEEAAATERECVAEPSTPSEDRMAVDQS >KJB58680 pep chromosome:Graimondii2_0_v6:9:17168149:17170093:-1 gene:B456_009G2211002 transcript:KJB58680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKAPWELNNQEKIEAAGKKKEEGNILFKDGNYQRAGKKYDKAVDYVSEDGLFQDDEQKQIKALRISCWLNGAACSLKLSDFWGAIQLCSKVLDFESHNVKALYRRAQAYMKTSDLISAEMDIKKALEADPQNREVKLLQKTLRQLQVESNKRDAKLFTNMFARMSKGSSMPIKKLKVEIAEPEKSEEAAATERECVAEPSTPSEDRMAVDQS >KJB62611 pep chromosome:Graimondii2_0_v6:9:66773828:66779760:1 gene:B456_009G425700 transcript:KJB62611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEEGDWNFYLRTVSNSARDSNIANGPASDPSLLHAVKKLCEFCKREDKPSDDLVARVYPHLNKLFQRSVASLSQSNSSNGLLLLGILQFFLDFGEVVLHDADPSLHTFIRSCLSREFADPIVAAATLDFLYVNKNKLLISFPNLLPQFFPLILKLTAWNGGKLEKPFLKVLPGLVSPGSFLPLFPSLMDLPILVVALEKVERSSGSLVGNNIASIQKSKAPEMLLALMDEAYTGTTVGERGGDESEESSTINVADPLFLELLKDENDGLVERHWTSPGIAAVLQAAKNSPQSERLKQILNIAPRLLDAYFAIALRDANSSLICALIPILMTRISALFPDQNYMYKVRRRLLEFMLAAFHRSPDLIPLLKKPIIDRLGNAYDSTEKTELALQLCWAVGEYGGGGPTHKDAARELFESLELLLYENLSSRSETGICGRF >KJB62612 pep chromosome:Graimondii2_0_v6:9:66773828:66779760:1 gene:B456_009G425700 transcript:KJB62612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEEGDWNFYLRTVSNSARDSNIANGPASDPSLLHAVKKLCEFCKREDKPSDDLVARVYPHLNKLFQRSVASLSQSNSSNGLLLLGILQFFLDFGEVVLHDADPSLHTFIRSCLSREFADPIVAAATLDFLYVNKNKLLISFPNLLPQFFPLILKLTAWNGGKLEKPFLKVLPGLVSPGSFLPLFPSLMDLPILVVALEKVERSSGSLVGNNIASIQKSKAPEMLLALMDEAYTGTTVGERGGDESEESSTINVADPLFLELLKDENDGLVERHWTSPGIAAVLQAAKNSPQSERLKQILNIAPRLLDAYFAIALRDANSSLICALIPILMTRISALFPDQNYMYKVRRRLLEFMLAAFHRSPDLIPLLKKPIIDRLGNAYDSTEKTELALQLCWAVGEYGGGGPTHKDAARELFESLELLLYENLSSSRLGLRQESAVDSNRKSFSKSSQSRLLCFVITAITKLATHHRELLPRARVALIKVARSLISDSRVSKRAHVYLGLIDEPSICWPVLGPSRPSHGFVHYPGTVNWNEGGNKMVAHIPFYILGEQEGPPFHDFSLSDVLPRR >KJB59788 pep chromosome:Graimondii2_0_v6:9:22738979:22741390:1 gene:B456_009G272200 transcript:KJB59788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLGCVNKANSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRNECINYSFTYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFENFTDSSRDDLVKDAITAIRETLQGEALKSSICTIAVVGVGEPFHVLDQGTVQQIIDTFEIAAEQEDPATEPDAATGQEAATEQGTAADQGGSTDEGAAPMDI >KJB61884 pep chromosome:Graimondii2_0_v6:9:52708610:52709506:1 gene:B456_009G389700 transcript:KJB61884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKSAILLAFATSVILVLVTSIDAGDIAIYWGQNSDEGTLAETCATRNYDFVNLAFLPTFGNGQTPMINLAGHCDPYSNGCTNLSSDIKSCQAQGIKVILSLGGGAGSYYLASSDDARHVATYLWNNFLGGQSASRPFGDAILDGIDFDIEGGTNQHWDDLAKYLSGYSQRSKKVYLTAAPQCPFPDAWVGGALKTGLFDYVWVQFYNNPQCQYTDAGSGFIPVSDLTSQVLPAIKGSVMYGGVMLWSKYYDDQTGYSSAIRNDV >KJB58578 pep chromosome:Graimondii2_0_v6:9:16803741:16807725:-1 gene:B456_009G216200 transcript:KJB58578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNAKSFFCLALLLATFLFTSGARPLNDAEAGSGISMTKGMEVLLEDLSLAIEGIKTGGPSSGGEGHALTDVVTNSVFLPFSSSTYRKLSGGSSLSVENPGDVLISPNSTFSAGFYPVGNYAYAFAIWFSKPSCLVLQHCTVVWMANRDHPVNGQRSKLLLLRTGNLILTDAGQFNVWATDTSSLPSVQLQLNEYGNLVLSNSEGITLWQSFDSPTDTLLPLQPLTRHTTLVSARRNGNYSTGFYKFFFDDDNVLRLLFDGPEISSVYWPNVWLLSSQAGRSAYNSRRNAMIDSFGNFTSSDDLSFLSADYGANWIQRRLTIDYDGNLRLYSREEGKQTWVVSWQAISQPCRAHGLCGENSLCRYGPSTGRKCSCVQGYKTKNETDWSYGCEPEFDVSLNARHEYTFVHLRNAEFYGYDYEVFYNKTLKECETECLQRVGCKGFQYKFNGNGVYECFPKALLRNGHQPPNFDGDVYIKLPKSYVSLKKEELSKETISLGSRQNYTINLERSYVEGQENGVVKFMLWFACALGGIEIVSIFLVWLLLSRAQQEENVAKEGYLLAATGFKRMTFDELKKATKNFSQEIGRGGAGIVYKGVLSDGRVVAVKRLNEANQGEAEFLAEVNTIGKLNHMNLIEMWGFCAEKKHRLLVYEYMEHGSLAEMLDSHQLDWEKRYDIALGCAKGLAYLHEECLEWILHCDVKPQNILLDSGYRPKVSDFGLSVLLDRSKLSNRSFPKIRGTRGYMAPEWVFNRPITSKVDVYSYGIVMLEMVSGKNPRKGIELIEGGKGEMDLVTWVKEKKEGAESKETWVEEIIDRTLEGNYDKKKMEILVAVALDCVQEDRDARPTMTQVVERLLRPTD >KJB58390 pep chromosome:Graimondii2_0_v6:9:16133644:16141050:-1 gene:B456_009G208100 transcript:KJB58390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISRDGDNKQLSRQGKRVGGTKAALFVYGMEGLENMAFIASAVSLVTYLMGYMNIGLTKSVNTVTNFMGTSFILALLGGVIADTFLTRFTTCILFGFLEFLGYALLTVQAHFDQLRPTPCTDISKQCEAANTGQAAILYTGLYLIALGTSGVKAALPLLGADQFDSKDPKEAVQLSSFFNWFMFSLTGGSIVGVTFVVWISSKKGWDWGFGVCTIAVLFAIVLVCIGKPFYRDNALKGSPIIRILRVLAASIRNRYLPIPRKEDELYEVYDKGTQNENLQRTNQFRFLDRAAVHDMTTWGASAAMTPGPWRLSTVTQVEETKILLRMLPIVLSTVFINTCLAQLQTFSIQQSMTLDTRIFGFEIPASSLPVIPLGFNLIFIPIYERIFVPLARRITGIPTGIRHLQRIGVGLVLSTISMAISGVMESKRKSVAVKHNMVDSNEPLPMSVFWLGFQFAVFGLSDMFTLVGLLEFYHAESSAGMKGTSTALSWFSLAFGYFISSVVVEVVNKASGGWLASNNLNRDQLNYFYWLLSGLSAVNFVIYLVCASWYKYKNVEEMKQEEISIHGSAAN >KJB57172 pep chromosome:Graimondii2_0_v6:9:11542542:11545434:-1 gene:B456_009G151800 transcript:KJB57172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IIYIAAFVLASSKVRCIASVNLSSQDQDKRVFIGAPKHINIKDHYKKPMLPFFCKTPSLFGTNNSTLSLFAFKRTCQMARSALDEMSDSGAFMRTASTFRNFISRDPSSQFPPESGRYHLYVSYACPWASRCLAYLKVKGLDKAIGFTSVKPIWERTKETDEHMGWVFPTSDTEEPGAAPNPFNGAKSIRELYELASTNYTGKYTVPVLWDKKFKTIVSNESAEIIRMLNTEFNDIAENPAVDLYPPHLRAEIDKTNEWIYSGINNGVYKCGFARKQEPYDEAVKQLYDALDQCEEILSKQRYLCGNILTEADIRLFVTLIRFDEVYVVHFKCNKKLLREYPNLYNYTKDIYQIPGMSSTVNVQHIKRHYYGSHPSINPFGIIPVGPNSDYSSPHDRARFTA >KJB61831 pep chromosome:Graimondii2_0_v6:9:52181876:52182607:1 gene:B456_009G384600 transcript:KJB61831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTLKLIAMLSLTISVLLSLYPHSTFSFEMEDFDDEEEYVPDEPAIIPNLRSRSRFLKTSPKKDKIRKGAHCEPDPYHNICNGLSVNNGTGILYCCKTHCRNVLRDWNNCGECGHRCKFGERCCGGVCTDVVYNVNHCGKCDKQCSPGVQCDFGYCGYA >KJB54414 pep chromosome:Graimondii2_0_v6:9:2470522:2471863:1 gene:B456_009G033100 transcript:KJB54414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSNSKKQLHIAIFPLLAYGHIAPYLQVAKFLAQKGHHVYYVSTPKNISRLPQLPPNLCSHISFIPLSLPQVDGLPPGVESTSELPVHKIKVIIHDFCVPWLPPVATQLGINLVYFSILNASSNAFFGSASEIVGGSRKSPEDFTVVPAWMYYPNNIAFKLHETTCYEFEPEALRVLSKIHQKPIVPLGLLPPSLSNIEDKGDENWETLKKWLDSKQEKSVFYVALGSEVSLSQESMHELVFGIEKSGLPFIWVVRKPPLDEEPFAEDMIPTEFEERVSKQGMVLRGWAPQLRILGHSSVGGFLTHCGWSSVIESLGLGKPLILFPGGNADFGLTARLMHWKKVGFEIERNDVDGSFKSDLVAACIKRVMVDPEGEQLRANALAIKEIFGNVK >KJB57843 pep chromosome:Graimondii2_0_v6:9:14075532:14078335:-1 gene:B456_009G183000 transcript:KJB57843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KNENLTSPCNWFGISCDNAGSIIGINLASSRIRGNLSNLDFSYFPNLACLNLSSNVLSGPIPSELGSLSKLTHLNLSMNYLSGFLPLSLANLTQISLLYVGNNLISGELDPRLFSNCNRLRFLELHNNNFTGMIPSEIGLLVNLVELALSSNHFHVLIPSSFGNLTELTMLFLHKNEFSGTFPHSLLNCNLLVELLLFTNRLSGFVPQGLANLTSLRELHLSENNFSGPLPQVCQGAKVQLFTAAFNDFTGPIPKKLACTSLVRVRLHNNQLSKSLDRDFGVYPNLNYCKLSHNRLEGLLSRNLEQLKVAGNMIKGEMPEEFGKLTRLAVLDLSSNQLVGKIPKIGKLSSLEDLDLSENKLNGQIPGQCSKLHNLCLRKSYFNGSIPFQLGYLTLQGSLDLSQNLLTQQILPELAKFTMLEHLNVSHNMLSGSIPSLFGTMTGLTSIDFSYNDLEGPVPDSGFFHRASPAAFSNNKNLCGEVLGLKPCSNTSVEKETHKKNHNVPIIIVSASLSWLILSLALFILYALSRRAKRSRESKEAMVSEARNPLSVVNYDGKIVYEDIIEATESFDEKYCIGSGGSGRVYKAKLTLGPILAIKKLLCLDGEQMEKLRSFTNEIRALTEISHKNIVKFYGFCCHGPHKFLVYDYIERGSLADEACISDFGTAKILNPNSSNVTVLAGTLGYVAPELAYTVSATEKCDVYSFGVVALEVMMGKHPAELVSVLNSCLDQKDVQLVLDPRIRTPADEETALEVASIVRHAVSCICVEPKSRPTMRWVSQALSEARTNV >KJB54013 pep chromosome:Graimondii2_0_v6:9:1301820:1302593:-1 gene:B456_009G016400 transcript:KJB54013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHRFSLSLVGLLMVAMAFLKGAIAADYEVGDGYGWDVPPSNSSEYYPSWANRYEFKVGDSAVFNWTGNHTAAQVRNQADYDNCNTNATEMTLYAVAGVRVPFTTEGFHYFICTVGTHCEQGQKVAFDVIAADESSAPRATLFSLSMFLSALAIIIQAMSIAETIVYAER >KJB57024 pep chromosome:Graimondii2_0_v6:9:11050570:11053472:1 gene:B456_009G145500 transcript:KJB57024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPENNSGYESAEKIILRWDSTASEEGRERMIFGTDRQEADVYLQAVDEIQRTLSSASISDCSHNVDADDQSKVNTTIQIAMARLEDEFRNILIIHTSALEIDSLFDSSTSFSSSTPTHHELEDDKDCISDDSGSSRNNLLQLHHCESSDSSTYRTSSSIREVDLMPAEAICDLQAIAMRMIAAGYLRECIQVYGSVRKSSVDSSFRKLGIEKLSIGDVQRLEWEALEGKIRRWIRAARVCVRILFASEKKLCEQIFDCVGTDIDDACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALMELLPDIEAVFDSKSSDSIRVQAAEILSRLAEAARGILSEFENAVLREPSRVPVPGGTIHPLTRYVMNYISLISDYKQTLIDLIMSKPSTGSRYSGDPSTPDMEFTELEGKTPLALHLIWIIVVLQFNLDGKSKHYRDVSLAHLFMMNNVHYIVQKVKGSPELREMTGDNCLRKLTGKFRQAATSYQRATWVSVLYCLRDEGLHVSGSFSSGVSKTVLRERFKTFNAMFEEVHRTQSMWLIPDGQLREELRISISEKLLPAYRSFLGRFRSHIESGKHPENYIKYSAEDLETAVLDFFEGYPAS >KJB54007 pep chromosome:Graimondii2_0_v6:9:1273595:1282558:1 gene:B456_009G016100 transcript:KJB54007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETEIHDLSDDADYAASQQQGSASMMRCESGKRSSSNEPEGAEVVYMKDNVTIHPTQFASERISGRLKLIKQSSSLFMTWIPYKGQSTNARLSEKDMNLYTIRAVPFADVRSIRRHTPAFGWQYIIVVLSSGLAFPPLYFYNGGVREFLATVKQHVVLLRSAEHANVFLVNDFQNPLQRTLSSLELPRAVSLSIVPSTPVSGGESASQENGERTDVDVLDGSPSIRQFYRRERQKVHDPARDISMQLLEKFSLVTKFAREATSQLFWETPSNAFSLFERGSSNLSTIDSSQKPRDDVLELPVPVPPDPLELDKLSLAWGKPRQPPLGLEEWATFLDSEGRVVDSKALRKRIFYGGVEHKLRKEVWEILLGYQSYESTYAEKEYQRSNRKTEYEIIKNQWQSISPEQEKRFTKFRERKGLIEKDVVRTDRSISFYGGDGNANLSFLHNVLLTYSFYNFDLGYCQGMSDLLSPILFVMEDESESFWCFVALMERLGPNFNRDQNGMHSQLFALSKLVELLDAPLHNYFKQKDCLNYFICFRWILLQFKRELEYDQTMRLWEVLWTHHLSEHLHLYVCVALLKRYRGKIIGEQMEFDTLLKFINELSGHIDIDVTLRDAEALCLCAGENGSACIPPGTPPSLPREDGFLFNSELDDTVL >KJB60768 pep chromosome:Graimondii2_0_v6:9:32168477:32169220:-1 gene:B456_009G324600 transcript:KJB60768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKELGIVKQVMKIQIGLVIADKSSANDRDGRFSARPKRKMISSAQRFLMFLICFGLLAVAPDKAYGLISIDLVFRHGQGEDQIAIRTNQHILKAADMKGMSTENKLPEVVNNTFDPNRSSKRRVRRGPDPIHNRS >KJB57429 pep chromosome:Graimondii2_0_v6:9:12549869:12553362:1 gene:B456_009G163600 transcript:KJB57429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSQVQTLNMSTTSSSSIWLLSCRKLKFFTRIRRFLHSKAARKQYGSSASDHSNKLRIVNNTDEEEDLVVEKTESELDGSMALQKSVKRLHFGSWEEKEMAAKAIEKLAKEDVKVRKLMAELGVIHMLVSMVDTEVVGRRLAAIKALIELADGTFTNKELMLEAGILSKLPKHIDAVDDQTRHEFAELLLSLSSISNTPFSLANTEVLQFLIAILESATSIETKETCLGVACNLSAVLENARPLVSNGTVHTLLKLSSFKELSEKALAALGHLVLTLMGKKAMEDSSMVPESLIEILTWENKPKCQELSAYILMILAHQSSTQRDKMSKAGIVPVLLEVSLLGSPLAQKRAMKLLQWFKDERQAKMGPHSGPQTARIAIGSPLHPREAQEGKKMMKNLVKQSLHKNMEMITRRANAAGDSSNLKSLVLSTSSKSLPY >KJB59214 pep chromosome:Graimondii2_0_v6:9:23932260:23932721:1 gene:B456_009G282400 transcript:KJB59214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSLVSRSASSYSLIGEYVGMESCSGVGIDNQHQELCCGGGSVKDPENRGRKRKINFEREIPPPIPSLASAENQPWQSLWVLKRYYTSDGRLILREEKVRHHEYLRAHRSNGRLTLHLVMDCTHDIDHVEANEAQVQLDVKNINNDAQGGS >KJB56070 pep chromosome:Graimondii2_0_v6:9:7642067:7645836:-1 gene:B456_009G105400 transcript:KJB56070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFDEMTMCGDMNFFSAPLGEKDVAVSQSEAEATVEDDYTDEEVDVDELERRLWRYKMRLKRLKEQHKGKEGIDIAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQADNLIPGKNDGCNNIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGNEEWWPQLGLPKDQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARELYPDSCPPLSSCGGSGSFVINDCNEYDVEGAEDEPNFDVQELKPGHLNTSNFSMDTMRAVQQQSYPIKGVVNNLDFMRKRKPSDDLNMMEHKIYTCEFLQCPYSELRLGFHDRTARDNHQLTCPFRNSSAQFGGSNFNVNEVKPVIFPQPFAPSKPTAPSITSAPTPYELSGLGVPEDGQKMISDLMSIYDNNIQGNKNMNPGNNPGTEGQNLLQSKSQPQQDEFFNGHQGVMMEGNFFEESSMPRNHQMFMQGEGQFDRFKGLNSPFEANHNNNSFQLMFGSPFDLASFDYKEDLQAVGVDTMPKQDVSIWF >KJB54894 pep chromosome:Graimondii2_0_v6:9:3889010:3893037:-1 gene:B456_009G053500 transcript:KJB54894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGDISLEQIKNETVDLERIPVEEVFQQLKCTREGLTSEEGEKRLQIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWPDFIGIVSLLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWGEQEAATLVPGDVINVKLGDIIPADARLLEGDALKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDNTDNVGHFQKVLAAIGNFCICSIAVGMLIEILVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHSLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFVSDMDADALVLIAARASRVENQDAIDASIVGMLGDPKEARAGITEVHFLPFNPVDKRTAITYIDRNGEWHRCSKGAPEQIIELCELTGGLRQKAHHVIDSFAGRGLRSLGVARQTIPEKKKECAGGPWEFVGLLPLFDPPRHDSAETIRQALDLGVNVKMITGDQLAIGKETSRRLGMGTNMYPSSSLLGQCKDEAIATIPVDELIETADGFAGVFPEHKYEIVKRLQELKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVMGFMLIALIWEFDFSPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFITGVVLGAYMAIVSVIFFWLVHDTLFFTEKFGVKPINENANELTSALYLQVSIISQALIFVTRSRSWSFVELPGLLLLGAFLAAQLVATCIAVYANWGFARIEGIGWEWAGVIWLFSVITYIPLDILKFIIRYSLTGKAWDNLLQKKAAFTTKKNYGKEAREAQWAADQRTLHGLSPPETSWTNEKDHHELSEIAEQAKKRAEIARLRELHTLKGHVESVVKLKGIDIDTIQQHYTV >KJB55841 pep chromosome:Graimondii2_0_v6:9:7058175:7058748:1 gene:B456_009G097400 transcript:KJB55841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQVAQLYGKSCRVTYWLSKDKIVKLSIFLYSPKVFSVICSQSPCFDPVLAKILDLGIFSECFHKILSSYKWTYQIPFIFLYIPSSRLLLVLQ >KJB62899 pep chromosome:Graimondii2_0_v6:9:69399438:69400098:1 gene:B456_009G442600 transcript:KJB62899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSNLFLLQKSFRPSLYMPFSTAHHCNFFKFQGFSHQSNGEENKEDFLHLARNNDSLTEKTSSIDSKLNQKRKANEEAMADQDQGLASQTVEKVQDGAAEALNSHPKVDAVKDRYKDHEPGADYHKTGDKLNHEKQ >KJB62429 pep chromosome:Graimondii2_0_v6:9:64349822:64351569:1 gene:B456_009G416600 transcript:KJB62429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKVRRCHWLFAEIKRRKKGHDWELRPGGMLVQKRDLDISRPPIPPSTIRVRVYKSIYHEIGINSQVTFSKSLTLFYLLILKIHLDENLLCLTRDAKSDSVPDLQQQVVGLKVELCRLLEEKRSAILRSDELEIALMEMVKQDNRRQLCAKANTKRLQPVSGLLSALLVKYPNMLQLAQRAFITYRAMIFF >KJB62663 pep chromosome:Graimondii2_0_v6:9:67260562:67263392:-1 gene:B456_009G428700 transcript:KJB62663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQVSQLLSSSPLTSNKDEMRPKADFQPSIGEISSLLDIDAETKQRHQQLEGGVRKMIVAPMANSTLKLTFIDSVQRLGNIYHNNNNAENDLYTTSLRFRLLREHGFNVSCEVFNKFKDEKGDFKSSLTSDVQGLLELYEASYLRVHGEDILDESISFTTDHLTLAVATLDYPLSEHVSHALKQSIRRGLPRIEARHYLSVYQDIESHNRALLEFAKIDFNMLQLLHRKELSEICRWWKDLDFQRKLPYARDRVVEDYFWILGVYFEPQYSLGRKILTKVAAMTSIMDDTYDSYATYDELVPYTNAIERWDIKCIDQLPEYMKLSYKALLDVYKEMEQLMAKDGRQYRVEYAKNAMIPLAQSYLVEARWTLQNYKPSFEEFKANALATCGYAMLSITSFVGMGDIITPETFKWAANDPKIIQASTIICSEEFKQRREDDYSGIECYMEEYGVIAQEAYDVFNKHVESAWKDVNKGFLKPTEMPTEVLNRVLNLARVINVLYKEGDGYTYVGKAAKGGISSLLMELITL >KJB56916 pep chromosome:Graimondii2_0_v6:9:10704276:10705964:-1 gene:B456_009G141400 transcript:KJB56916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVHNVKNMVPTIKPKNFLPKFQKLLLQPSMIICSITYFSKRYQYRLYSPISNLECMTVKFSNMKQLEQLSDGEICFDE >KJB54096 pep chromosome:Graimondii2_0_v6:9:1552406:1553422:1 gene:B456_009G020300 transcript:KJB54096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEITSVILSGEANTHSDVSDSTSTTHQANKRQRCGGKGNSSQYKGVMRQKNGQWGAQLYANHTRIWLGTFKSEIDAAMAYDSAAIKFRTEDTHRNFPWNEITIEEPKFLSHYSVEIVLSMIRDGSYQYKFMDFIKAHSRNTKLNLVGTYSNEGLICKQLFQKELTPSDVGKLNRLVIPKKYAVKYFSPVFGTENENADVGDRKTNDVELVFYDKFMRIWKVRYCYWNSSQSFVFTRGWNRFLKEKELKAKDVVSFFVCECRKENDVQRFCMIDANKSGNGGTFVAENNVHVGIEVDLQLRLGHSYLVQEEQRSMAAAKPKQDDKTEGFKLFGMQIT >KJB56643 pep chromosome:Graimondii2_0_v6:9:9721840:9725801:-1 gene:B456_009G129100 transcript:KJB56643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVLKTKGLLSLPPNPTSARSLLNPSQGLKQRLFASKPLGLNGLSVSYKRVPSVVAKPNGLFPEQKSFICKAEAAAAGDGQPLIGETEKKPKFLGIELVTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLANVLSKKALFYTVIIPFIIFFGAFGFLLYPLSNYIHPEALADKLLAVLGPRFLGPLAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKRFYPLFGLGANVALIFSGRTVKYFSNLRKNLGPGVDGWAISLRGMMSIVVLMGAVICFLYWWVNMFVPLPTRSRKKKEKPKMGTMESLKFLVSSRYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTGTGIATFIMMLLSQFIFDKYGWGVAATITPTVLLLTGVGFFSLILFGDPFAPTLAKFGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILMVIVFAWLSAARSLDVQFTALRREEELEKEMERAAVKIPIVSESGSVSPSGSSVDSVAGDSPGSSSETSTPSNI >KJB57269 pep chromosome:Graimondii2_0_v6:9:11975495:11976462:1 gene:B456_009G156000 transcript:KJB57269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEEKKKRVVVESLGWLTESSIMPKFVGPGRTAGILDNDEHKHFVSIWLQEVHEEVNQARERVSELKLRRQEQAAAHREKLRQAYLRKQVEKLKSASKTEQA >KJB58990 pep chromosome:Graimondii2_0_v6:9:18484076:18485827:1 gene:B456_009G234200 transcript:KJB58990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB61000 pep chromosome:Graimondii2_0_v6:9:35428089:35429460:1 gene:B456_009G335000 transcript:KJB61000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSYSYGFFLLLIVVTIPTLEAHIAKYDEYWKARELEDIENLDKAYHSNLEEVDRHYNDHFSRTMIEFYNTKRLLAESKKGPFEKLTYYTPRFARGTTGGKGGEFYAVIDPIDNAADPKPRTLRHAITQTGPPWITFKTSMTIKLEQELIVTSDKTIDARGANVEICNGAGITIQFAKNVIIYGLQIHQIFPAKGGKIKDGENYHGLRGASDRDGLDHLSLHHCANGLIDFIQGSTVVTISNCHFTHNNDRATLTLSHFGKGLVERMPRCRFSCIHGNRYSALGTFGAKEVTCRGLLKPAQWKNWNWVSQGDHFENGAFFMPSGNPSANKQFGADKMMPFKPGQMVPKPTKYAGPLNCIIGRPC >KJB55589 pep chromosome:Graimondii2_0_v6:9:6111762:6113184:-1 gene:B456_009G083800 transcript:KJB55589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPSSSIAKGGDGVITTLHPDIIRALILTRLDGPDLASTACVSSYLRSLSTEENLWRDICCSTWPSLDHPRLKQVISTFPYGHRSFFSESFPFPNPQPPKPNVRGSLALATELISAVDIYYHGNPIYSKVVEMETSSSWFLSTPFRLNLLGVDNPAPRSPIKYGSSNDDTWLKHLEENLSSSWIIVDPTREKAVNMSSKRAVSVERHWLTGDVQVRYGRVMEGDEGWGSSRELVACGVVVTCGEKGGEGEKMHVREVSMRMEDMDGKGLSGEESLVILERVMGSGRSWKGKGKGEKEKYEEFMAKKRERKERNSKKDRVWDLICIFSIFAACGAFWWFILPILPSLVMKFV >KJB62457 pep chromosome:Graimondii2_0_v6:9:64973519:64975219:-1 gene:B456_009G417900 transcript:KJB62457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREQWSEHLAKMLSKHLETVIKSRIPGIQSLINKTIAELETELSRLGKPIAADADGKLYTIMEIFRLFDQNFREHLDGVRTGGDKVYNVFDNQLPAALKSSVLKLMEADGYQPHLIAPEQGYRRLIESTLVTIRGPVEAAVDAELKQYPALRVEVGNAAIESLERMRDQSKKATLQLVDMECCYLTVEFFRKLPQDVDKGGSATQSIFDRYNDSYLRRIGRFIYTKS >KJB57877 pep chromosome:Graimondii2_0_v6:9:14168995:14172403:1 gene:B456_009G184200 transcript:KJB57877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAGHSHVFGGEEQLPLAIADHPDPVVLELTRLQNQLKEKDRKLGAAQAEIKALRATEALKDKVIEELGGEVKKLDEKHRVAENLLQQKNLEMKKLADEKKDAVAAQFAAEATLRRVYANQKDDEDVSMESTIAPLKAEIKMYKNEIAVLHQDKKALERLTKSKESALIEAERILRSALERALIVEEVQNQNLELRRQIEICQEENKILEKTNRQKVLEIEKLSQTIQELEEAILVGGAAANSIRDCRRQISQLREEKRTLERELARVKVLANRVATVVANEWKDENDKVMPVKQWLEDRRLLQAEMQRLKDKLSVSERTAKAEAQLKDKFKLRLKILEDGLNHVSSISINPNASYGSPKPEKSSNILGFLTSTSGLKKRSTSQPRASTISTSSPLQRPRATSGENMVRKKLWASRCKVADSNEKENAEMKVNGDSNIRKDGDTKDSAEIKSNGGSNEQTGNRGIADSNAEDVVSGFLYDRLQKEVINLRKLYEAKDSSLNTKDEEIKMLMKKVEAFSRAMEVEAKRVKRESLAKEKENGSAKMDDDTKKMKHTNSSKTRRVTQTS >KJB54593 pep chromosome:Graimondii2_0_v6:9:3017583:3019191:1 gene:B456_009G040800 transcript:KJB54593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAPTSTKCSKKEVNRGAWTAEEDQKLAQVVEIHGPKRWKAVAAKAGINRCGKSCRLRWMNYLRPNIKRGNISDQEEDLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKIKQNEKQTRMQEPVLENSKVSEREEPLHKASEEGSSKRDDEYSTSCFNGDSSLFDLYNKEPLELEWMSHFFETDEIWLNLA >KJB57527 pep chromosome:Graimondii2_0_v6:9:12949403:12951937:1 gene:B456_009G168300 transcript:KJB57527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISSTANQWPQDHIDEKDLMASTARLMEKPSHEQQQQQQVLKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPAASATGGASPTSGANIANTPSQPQMDVSSTSSHHINPLFYGLANNPSDINLPFPRFSSRVLGAETVTGYDLQPDLNALGLGFSSGIVSSDTGDGDYRNGFNTNPTKQIQDVVTSNPLLPSYSNIFGSSSTTTTPTIASLLASTLYQHKFMNGGVKNTQLPNQLQALSPFQDLQMTGNGENGVTMKDIKVEEAQSRMDWNVPCQNQLDQMGLSDPTDYWSTTSVGAWNDPANFESSVTSLI >KJB56673 pep chromosome:Graimondii2_0_v6:9:9865936:9867130:1 gene:B456_009G131500 transcript:KJB56673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFIMCILFFVIINAVALMQFTAAQTVHVVGDDLGWTIPQNGATAYSNWAASKRFLIGDILVFNFTTNEHDVLQVPKASFDECSDDNPIGNMLINGPANVTLNTTGEQYYICTIARHCELGQRLAITVSATSSSPLPAPSPTTPTTPSPTSNDCTPAPTSGPTAGSIPAPNATPNSSSSSSVLATLLLSIFPIFMGLIF >KJB59287 pep chromosome:Graimondii2_0_v6:9:19930949:19932723:-1 gene:B456_009G247400 transcript:KJB59287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCPPIRGYGYNGRHGFQSRRRHCFRHRNMDAFFASIPPRTPSKRSPLPRPSLVNSIPNGASLRSVDHRNGFRENLSRNSSSKWKSDPIRYSKLSGTVKPPTIERNLECTLEELCYGCMKKVMITRDVLTLSGQIIQEDEILSVKVNPGWKKGTKVTFEGMGNESPGAYAADVTFVIAEKRHSLFRRVCDDLELTVEIPLVKALTGCSFPIPLLGGGTMNLEIDEIIGPGYQRVIKGQGMANKKEPGSRGNLNVSFLVNFPKDLTNEQRTAAVSVLGDSG >KJB63008 pep chromosome:Graimondii2_0_v6:9:69976827:69981117:-1 gene:B456_009G448800 transcript:KJB63008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYEDINWAYENIIDSENAHGRESDDDDDDDDGESNNSSGFEIELTRDAIPNKSLCLVRILLKEQYFLHNPFSPISRHLLLRRQKLPHRFWEGKFGALSSTDSLLVLLELLAMLVISLIKYGGLLYSAAMTVPAKALESYLDLRRLVEEHLLEYNEPTSDKHLPDLHPLEQHVFTLVLDLNETLLYTDWKRERGWRTFKRPGVDAFLEHLAKFYEIVVYSDQMNMYVDSVCEMLDTDHCIRSRLSRGATKYPNGKHYGDLSKLNRDPTKILYVSAHAFDSSLKPENCVPIKPFKLETDDTALLDLIPFLEYVARNSPADIRQVLQSYERKDIAKEFLEHSKEYQRRVQEQKQQGRLWQR >KJB58662 pep chromosome:Graimondii2_0_v6:9:17101017:17103611:-1 gene:B456_009G220500 transcript:KJB58662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANEEDSALVKLELACSDLRTLLQSSAKLEKDLEEMEKKFDSIDEKLSTAAKRVAPLQSLAMAAKALETRTNRAVSPALGLLHSFKLSESFQKKLIELSDKLSIEKNPRKRLKKLLKYVQCVDQLNEVINLISRDGEPVIQKLQEVVEFLSRTKATDQYRTQRLKETLITLKALYESEVDAMRFDGLLDEALLNLQDEFEIMLQQMKHQNIGEQQADEEADQTLVSDLGTEMEVQVLRRIAETLSASDCLDICIDIFVKVRYRRAAKALMRLNPDYLRTYTPEEIDEMKWESLETAISLWIQHFELAVKTIFVSEKKLCKQVLGGLPEVLIWLECFVKIADKIMAVFFRFGEGVARSNREPQKLFKLLEMFDSLEKLKLEFTEIFEGESGADICIRFRELEKLLVHASSKVFWEFGLQIEGTSDFFAPQQDGSVPKLVRYAVNYLKYLTTETYSAPMAKVFRTEQMWKAGILSKPETDENLLKDAISNIMEALQRNIESKSSSYKDKILPHIFVMNTYWYIYMRSRNTELGKLIGEQYMKQKYKSAAEESAYMYQWRAWRPLVRLLEKEESEEQDNGTKATAAFVRGKLEAFFNRFDEISQRHARGYSIPDADLREQLREATVKLLVPVYSEFLNAHSKLLSGESYVSPESLKGLVGQVFNGTDRTSNGKPKRRDSKDTVPGRNSVSVEGELKNFRRSRSKSIDA >KJB57699 pep chromosome:Graimondii2_0_v6:9:13636274:13642159:-1 gene:B456_009G176300 transcript:KJB57699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGDNVMVNVNQPLHMDSDPPLLETYKTLFANSKTSSNNHDHRLVTVEERHLPLIDLSRLSHNEAEKERCQKEIARAARQWGFFQVVNHGISMELLEMLREEQVRVFKQPFHHKCREDKFLNFSAGSYRWGTPTSYFLRQLWWSEAFHIPVNDISSTPMEPFATKVAILGQKLAEILAEKLGHKSSFFQESCLPSTCYLRLNRYPPCPIPSDIYGLMPHTDSDFLTILHQDQVGGLQLVKDGQWIAVKPNPEALVINIGDLFQAWSNGCYKSVKHCAVTHPTKERFSAAYFLCPSYETVIESCSMPSVYRKFSFREYRQKVQEDVQLYGYKVGLSRFLV >KJB60565 pep chromosome:Graimondii2_0_v6:9:29253988:29254332:1 gene:B456_009G312900 transcript:KJB60565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKGYDGRAVDIKGQSIRVVLESQMKVVTIDRNFIFDNVIISAPARDTSRYGMGSETPTHPLRTPLHPYMTPTRDPGATPIHDDMRTPMRDRAWNPYAPMSPPRDKWEEGNLAS >KJB56647 pep chromosome:Graimondii2_0_v6:9:9758764:9761703:-1 gene:B456_009G129400 transcript:KJB56647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGAKGLITASKSAKDKDKDKKKPISRSSRAGLQFPVGRVHRLLKTRVNAHGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >KJB58347 pep chromosome:Graimondii2_0_v6:9:15921245:15925825:-1 gene:B456_009G205700 transcript:KJB58347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGVPNLHGQKAKSFCLYTLHLISKIAVSLFLSLAFIFKFFCPHISSSVLASGDGNLNQHMGNLNTIQAGKGRRSKYKRKLSLGSFNDRAHEGIKTVEADNLCEFSRNSDLCICIVTWNMNGQVSYEDLVELVGSNRRYDILVVGLQEVPRNNIARLLQDALVETHDLLGKAIMQSLQLYVFGPKKSDLLIKELKVDKHSVGGCGGMMRRKKGAVAIRINYKGFRMVFITCHLSAHARNVEERNSECRHISHSLFAKYWNPYARPSQITIWLGDLNYRLQGIDTHPARTLIQRNLHRLLTSKGQLLQEAQRGQIFNGYCEGTLTFKPTYKYNIGNSNYDTSYKVRVPSWTDRILFKIEDPDEISASLHCYESVDDIYSSDHKPVRAHICFKLSK >KJB58298 pep chromosome:Graimondii2_0_v6:9:15760127:15761005:-1 gene:B456_009G203200 transcript:KJB58298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQDSPTTPPIPITSQQLATPSVVKKDSSVTAGFLGKSGYKFWVLAAILLLAFWSMFTGSVSLKWSSGHLTAFSDDIDFSVYDDLDVLELEEREKVVRKMWDVYTHSASVRLPRFWLEAFEAAYEYLSSDVPGVRDTAMSEIAKLSMRSLNLDSPSLRSKVTLFFFPFSFFLI >KJB58297 pep chromosome:Graimondii2_0_v6:9:15759309:15761055:-1 gene:B456_009G203200 transcript:KJB58297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQDSPTTPPIPITSQQLATPSVVKKDSSVTAGFLGKSGYKFWVLAAILLLAFWSMFTGSVSLKWSSGHLTAFSDDIDFSVYDDLDVLELEEREKVVRKMWDVYTHSASVRLPRFWLEAFEAAYEYLSSDVPGVRDTAMSEIAKLSMRSLNLDSPSLRSKSISVGKENSNRKEISASRRSL >KJB58148 pep chromosome:Graimondii2_0_v6:9:15088996:15089460:-1 gene:B456_009G196000 transcript:KJB58148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGLGKCGKIRHIVRLRQMLRRWRNNSRMSASRIPSDVPAGHVAVCVGTSCRRFVVRATYLNHPIFKKLLIQAEEEYGFTNQGPLAIPCDESVFEEVIRFISRSESGHFGMFVNTEDFKVKCHVGRSKPDLWTESRPLLHGFKEVVGRERQRF >KJB62694 pep chromosome:Graimondii2_0_v6:9:67587332:67594800:1 gene:B456_009G430700 transcript:KJB62694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSRSEDSSNTLRILVATDCHLGYMEKDEIRRHDSFQSFDEICSIAEDRQVDFLLLGGDLFHENKPSRSTLVKAIEILRRHCLNDQPVQFQVVSDQTVNFQNAFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAVDILSACNLVNYFGKMVLGGSGVGQITLYPILIRKGSTAVALYGLGNIRDERLNRMFQTPHAVQWMRPEPQEGCEVSDWFNILVLHQNRVKMNAKNAINERFLPRFLDFIVWGHEHECLIDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPNKIPLTSVRPFEYTEVVLKDEPDIDPNDQNSILEHLDKVVSGLIEKSNRKAVNGSEQKLPLVRVKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKSSKRSQKEAKIDDSERLRPEELNQQNIEALVAENNLKMEILPVNDLDVALHNFVNKDDKMAFYNCLHYNLEETRKKIGKDSDVSKFEEEDLILKVGECLEERVKERSSHPTDTSQFTSSMQSFENIRSKNVTGIGSAASFSDDEDTAQILSSANRGRKGSSSASRSSRDASELGKSSTRGRGRGRGRGSSGLKQTTLDATLGFRRSQRSTSVKVQSIADDEENVDSASSEEHEINEVESNSENENDEITKGRGRKRAAPRGRGRGTTSKRGKKSDNSSVHSGFMNKLDDDDDDDAEEIARRFNKPQPRVTKSYGALRR >KJB62219 pep chromosome:Graimondii2_0_v6:9:59806144:59807894:-1 gene:B456_009G406600 transcript:KJB62219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEREQLSVREGGNCRLGLQSALIAQLFENSLSAFVCSAFRSYPFRAPFLKKIPQSRAAFVLFGLLLYSSWPIFTLTHHLLVMYCAERVHPGQKFYKYAILGDDVVICGIEIAKIYKQTLAELGVDISMSKSLILHSGYAEFGKRFWCKGLTVDLSPVLIRNLLPIATMKSTL >KJB58503 pep chromosome:Graimondii2_0_v6:9:16471556:16477215:-1 gene:B456_009G212400 transcript:KJB58503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDGTVRLGALNLKAGRGLDLDPDVSVSSPVTRQKAAAAKQFIENHYKNYLQGLQERKERRRTLQRRAEEAQISSEEQEEMMRNLERRETEYMRLQRRKIGIDDFEQLTVIGKGAFGEVRLCRAKSTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKMFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYVAESILAIHSIHQHNYIHRDIKPDNLILDRNGHLKLSDFGLCKPLDGKYSTILLEDEDLTSMESTNEAEVQSRSERAPWLMPKEQLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFCSDDPRITCRKIINWRTCLKFPEEPKISAEAKDLICHLLCDVETRLGTRGVEELKVHPWFEGVQWEKLYEVEAAYKPTVTGDLDTQNFEKFPEIDIPPSAIPQVGPWRKMLTSKDTNFIGFTFKKSDIAKSLESTGTDRTASGPSKAPSLISLLGQIDLQETVIPEGEQNAETGGNLNQTEHSLSV >KJB58504 pep chromosome:Graimondii2_0_v6:9:16471584:16475872:-1 gene:B456_009G212400 transcript:KJB58504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNLERRETEYMRLQRRKIGIDDFEQLTVIGKGAFGEVRLCRAKSTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKMFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYVAESILAIHSIHQHNYIHRDIKPDNLILDRNGHLKLSDFGLCKPLDGKYSTILLEDEDLTSMESTNEAEVQSRSERAPWLMPKEQLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFCSDDPRITCRKIINWRTCLKFPEEPKISAEAKDLICHLLCDVETRLGTRGVEELKVHPWFEGVQWEKLYEVEAAYKPTVTGDLDTQNFEKFPEIDIPPSAIPQVGPWRKMLTSKDTNFIGFTFKKSDIAKSLESTGTDRTASGPSKAPSLISLLGQIDLQETVIPEGEQNAETGGNLNQTEHSLSV >KJB54230 pep chromosome:Graimondii2_0_v6:9:2005154:2007919:-1 gene:B456_009G026300 transcript:KJB54230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAVGDSLPDGSLSYFDAEDKLQQVSIHSLAAGKQVILFGVPGAFTPTCSLKHVPGFIEKAAEFKSKGINEIICISVNDPFVMKAWAKTYPENKDVKFLADGSATYTHTLGLQLDLSEKGLGTRSKRFALLVDNLKVKAANIEQGGEFTVSSADDILKAML >KJB54812 pep chromosome:Graimondii2_0_v6:9:3637023:3637994:-1 gene:B456_009G050200 transcript:KJB54812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQQNSETECSSNSLSWPPRSPTSPASTHSPHPNSLPGSTTTLNGKPETTCDTNRKMKRMRDSSKHPVYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGNSAILNFPELANSLPRPVSLAPRDVQAAAAKAAQMGNLDSPSPSTTSSSSTSTLSSSSSLSSLVSHLDLSSASDELTEIVELPSLGTSYESVELGSEFIFADSMDGWFYPPPWLESMEDCEYVCNQLVVPESVLQNGFKQVLIMGKS >KJB55298 pep chromosome:Graimondii2_0_v6:9:4958111:4958745:1 gene:B456_009G070000 transcript:KJB55298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVDATDEANRFLCYNYELALYISPELYIFKEKIFGVVYSCPCETKAFSASISPLPSSEEEGCTYKLMLYIKTNEGDRLNIPGR >KJB59746 pep chromosome:Graimondii2_0_v6:9:22458002:22458801:1 gene:B456_009G269300 transcript:KJB59746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGKDISLSSLNGISLQGKHGTLISTAATNPAFPSMILQDFLATPFNNIPPTTSSGVLSNEEPTFLHSLSLTPATVLRLNTGSDPLRSNPAVNNRTAIAPSSLGAAHNSTFQGFGSSARVFPSFCRKRAQGNNENPDDLRLKLMMKNRESAAQSRARKQAYKKKLEREVAYLKEENAKLRKHQKKVMAAINQLPKKNRLSRSLTTPF >KJB56142 pep chromosome:Graimondii2_0_v6:9:8243719:8246068:1 gene:B456_009G113200 transcript:KJB56142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEATTTKFHNSDFRAVPPPPDYLPEITVAPHDGLHFWQFMIAGSVAGCVEHMAMFPVDTVKTHMQALGSCPIKSVGVRHALRSVLKSEGLPGLYRGIGAMGLGAGPAHAVYFSVYEVCKKYFSGGDPNNSAVHAVSGVFATVASDAVFTPMDMVKQRLQLGNGTAYRGVLDCVKKVLKEEGFGAFYASYRTTVLMNAPFTAVHFATYEAAKRGLIEISPESASDERVMVHATAGALAGASAAVVTTPLDVVKTQLQCQGVCGCDRFKSTAIRDVAKTIVQKDGYKGLMRGWIPRILFHAPAAAICWSTYEAAKSFFQELNACTESGTIT >KJB57535 pep chromosome:Graimondii2_0_v6:9:12994913:12995930:-1 gene:B456_009G168800 transcript:KJB57535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSPRHTTNPNILASPKSLSPNSSTSSTSSGSNTNPPPPTPPPQQPKPITRSESANPYPTTFVQADTSSFKQVVQMLTGSSETAKLASSTKPTPSPLSDSNPKTHIPPIKSIPKNKQNSGFRLYERRSSLKNLKINPLNPAFGSNNSGFSPRKPEILSPSILDFPSLALSPVTPLIPDPFDRSGSGNYTNCINNNANLDKEAEEKAIKEKGFYLHPSPASTPRDSEPRLLPLFPVTSPRVSDFYILLLGLWGLGMGKRMGGNETRFVMLVVSYFKRNEDKNKALLQIVKLYALLDFNFSFLCLDARKFQI >KJB54840 pep chromosome:Graimondii2_0_v6:9:3691863:3698020:1 gene:B456_009G051300 transcript:KJB54840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSMVERATNDLLVGPDWARNIEICDMLNQEPGQAKDVIKGIKKKLGSKNSKVQLLALTLLETIIKNCGDIVHMHVAERGILHDMVRIVKKKPDFYVKEKILTLIDTWQEAFGGARARYTQYYVAYQELLRAGAVFPPRSERSAPVFTPPQTQPLSSYPQNIRNSGQQETAEPSAKPEFLSLSLTEIQNARGIMDVLAEMLNALAPGNKEGLRQEVIADLVDQCRTYKLRVVHLVNSTSDESLLCQGLALNDDLQRLLAKHEELASATSSQVGKPKPEPAKELVNVDGPLLNTGDSSKHSDGRSTSSNGASSQPFNQLSIPVAPETNGSTLPAAVNPKMDLLSGDDYSPKADNTLALVPLGEPQQTTPASQQNALVLIDMFSDSNSTSGSPKIQSCGLAGQTDPLTPQIQQQQQNFHANGTVSNMGSPRYEQSYVQGMGHAWNGQMAQQQQNFHANGIVPNMGSPRYEHSYAQGTGPAWNGQMVQQQQNFHVNGTVSNMGSPRYEQTYAQGTGPAWNGQLVQQHHQNNFYGNGNVSNMGSPRYEQSCAQGTGPAWNGQLVRQQQAHLPVYGAQSSGSLPPPPWEAQAADSSPVAGAQYPHQSVVTQVVVTHTLPQRPQHMGSDHVVGMYIQPITNGNLSAINNQVVPKNQFSGFHPQPIQGAQHMGMYPQQLPANQMASMHPQQMYGNQMGAYGYGELQYIDQKIDGLSIRDDDSLRNSYYQVPTSSYVPPGKASKAEDKLFGDLLDMARIKSINTTPKAW >KJB57288 pep chromosome:Graimondii2_0_v6:9:12030905:12033258:-1 gene:B456_009G157000 transcript:KJB57288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLKSPHQPGIIYACVYYHHHIVLDNHPLQEMAETRHFQLPIVAQEAKEENGNATNQVITELGSVKYKRWICILLCIIFLLCGQSVAALLGRLYFDKGGKSKWLSTLIQFAGFPILVPFYCISRPKMLTANHCSIGSNKQPSIMMLGLVYVTFGLMSAGIGFLYSVGMQYLPISTVTLIAASQLAFNAFFSYFLNSQRFTPFIINSLVLLTISSVLLVFNNNSSRLAGVSQVHCAAGFICTILGTAGSGLLVALQQFALRKLVVRRSFKVVLDLVMCQSLVASSAILVGFFASGDWKGFSGEMDEYGLGKFSYLMVLIWTAICWAVFYIGAVGLVLEVSALFCTAISALGLPIVPIIAVFVFNDKMDGIKVISMVLAIWGFISYVYQQYLDDYMPKTENTGEISELF >KJB55877 pep chromosome:Graimondii2_0_v6:9:7216701:7217610:1 gene:B456_009G099900 transcript:KJB55877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLIPSLFGGRRTNVFDPFSLDVWDPLFSSALANNVPSSARETSAFVNARIDWKETPQAHVIKADLPGLKKEQVKVEVEEGRVLQISGERSKEEEEKNDKWHRIERSSGKFMRRFRLPENAMMDQIKASMEDGVLTVTVPKKEDKKPQVKTIDISG >KJB53599 pep chromosome:Graimondii2_0_v6:9:9775232:9775288:1 gene:B456_009G1296001 transcript:KJB53599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEVVGPGGPPDGHGDDRH >KJB59129 pep chromosome:Graimondii2_0_v6:9:19139022:19142797:-1 gene:B456_009G239900 transcript:KJB59129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISENSHTATMALLPSFRTIITRFYRPTKPPPQLIFSSLLFPKKLSSLFPLPPKPQPLKPLASTRQETSFVSNRDERLVGLGSVNNGGSIEAQSLTSTIAAIVTSLGGPPAAVGIVRLSGPNAVDIAGRVFSPAKKKKRFDSGSGSWKPKSHIVEYGVVLDSQGNVVDEVLAVPMLAPKSYTREDVVELQCHGSEVCLRRVLKACLEAGARLAEPGEFTLRAFLNGRLDLSQAENVGKLISAKSVAAADAALAGMQGGFSTLVRSLREQCIELLTEIEARLDFEDEMPPLDVNVIMDRMHAMSQDVEHALETANYDKLLQSGLQIAIVGRPNVGKSSLLNGWSKSERAIVTEIAGTTRDVVEASVSIAGVAVTLLDTAGIRETDDIVEKIGVERSEAVAMGADVIIMTVSAIDGWTLEDTKLLERIRSNMGSASIPMILVINKIDCASSACSDWVDGEAKLFSKHVFTCAITGQGIHDLEKSILEIVGLNKIPAGGRRWTVNQRQCEQLMRAKEALVRLKSTIEEELPLDFWTIDLKEAALALGQISGDDISEEILSNIFGKFCIGK >KJB61549 pep chromosome:Graimondii2_0_v6:9:48908936:48910271:1 gene:B456_009G365500 transcript:KJB61549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNTCFHLLLALFISCSILCLAMTVRNLNSDQFVLLEFKDHIAGPQNVLANNWTASTSVCNWIGVIALNLTSMNLRGTIPPHLGNLSFLLSLNLSSNHFYGHLPKELGQLHHLRILQLSYNRLNREIPSWLGNLQRVRRLEMKINNFTGTIPETLVNMSNIEILNLGFNQLSGQIPSSIFKISSLKAISLSSNSLSGSLPNDMCQHLPKLEGLHLSWNELSGNIPFGMGKCNNLKNLYLSLSYNQFTGIIPRSFGNLTRLQLLFLGFNNLEGQIPEEIGNLLGLEMLSITAIKGLTDQIPTSIFNISSLKTVDLSNNSLSGSLPNDICQHLPKLEVLYLGWNELSGNIFFTKEFDL >KJB55181 pep chromosome:Graimondii2_0_v6:9:4819295:4820858:-1 gene:B456_009G067700 transcript:KJB55181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRRETSTPVKEKRGTSPLNSKPSPRKATSSSSTASDSTEKQLPNYLKPTKSSRTDAALKNLKKPGADDPSQCPSVMRRRSFDRPPSAARAHKALISPVRQKSASTSTSPSFSSKSATAPKAPLERVAKKAIAAAKPHTQTLSSSRRAPTTTTSATTRTSRSKRGASTPPPTSMKPPSSPDRKEAQSPETKHENKENLDHQVEPEEVVKDDEDEMYDINLPKAEETANADALDVDTTTQVTSDADEGGQDTVDFPNAVSQEHNETETEPEPEPRNNVEEGKPDHTQHDCGDKENHSQEEIIAGADPQQEKTPLEDQKVKTETEHGEENATNEVVADTKETEEEQEEKQPESRQKDADEGNLPQGLESIKEKMVKGLDAEPEKEQKAEIEEEPEADQKAETEAQQKTETEVEQKTDTEAEQKTETETEKKTEPKAEAEQKAGTEVANVAAKSQVQGKKEPAKPYNDVIEETASKLVAEKRRNKVRALVGAFENVIDKETTNAK >KJB56027 pep chromosome:Graimondii2_0_v6:9:7497129:7499844:1 gene:B456_009G103600 transcript:KJB56027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVYKSTAFMGVFVFTFVTLCLFPLPVAGITRHYKFDIKLQNVARLCHAKSIVTVNGQFPGPCVMVREGNQLSVKVVNHVPNNITIHWHDCPIQNGPSYVYKFRIVGQRGTLFWHAHISWLRATVYGPLIILPRLGVPYPFPKPYKEVPIIFGEWFNADTEAIISQALQTGAGPNVSDAYTINGHPGPFYNCSAKDTFKLKVKPGKTYLLHLINAALNDQLFFGIANHTLTVVEADAVYVKPFKTETILIASGQTTNNLSPFKPNLPTLSNTSFVANFANKLHGLATVHSPASVPHNVDKQFLFTVGLGTSQCWQNRTCQGPNGTKFAAAVNNVSFPMPTTALLQAHFFGKSHGVYKTDFPRELKIRFDYMGTPPNNTMVNNGTELLVLPFNTNVELIMQDTSILGAESHPLHLHGYNFFVVGQGFGNFDPQKDPNNFNLIDTVERNTVGVPAGGLVVILFVADNPGVWFMHCHIDAHQSWGLKMAWIVLDGDLPNQKLSPPPMIFP >KJB61394 pep chromosome:Graimondii2_0_v6:9:46112994:46115996:1 gene:B456_009G354600 transcript:KJB61394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCWSMWLYLVLFFLFSFFNSPLLSLSLSLPPSHLCRSEQSSSLLRFKSNLSISLDKTYPFQNITFKTKSWDEGTDCCKWDGVMCDHKEGNVIGLDLSWSGLVGSLQSNSALFSLQNLQWLNLAGNDFDDSEIPSEFSKLKSLTYLNLSGIGLTGFVPPEISLLSELVSLDLGLNHLLFRSHVFNMLVHNLTKLENMILDLMDLSLVVPYSFLNLTVSMKHLSLFPCHLQGKFPAEILQFPYLENIILQFNVDLIGHPPETNWSSPLRVLDVSQTRFSKELPDSIGNLKHLKTLNLRGCDFMGSIPSTLGNLTKITFLDISGNMFQGQIPDVFGNLNDLSSMDFSHNNFSGFLPSSTFNLTGLTFLDFSSNFLQGTLPNNVRGLPYLRELHLNANLLNGRVPGWLFSLPSLNWLDLNSNKLNGPIDPIQEPNLVQYVDLSENEIQGPIPGSFFDLVNLIDLYISSNNLSGNIKSSELVKLRNLGLLDLSHNSLLSLTSCNNGANSTLPMVIEFHFSSCNMQRFPSFLNASKYLQVLDLSNNQIHGSITKWEAEGWESLITLNLYMNFMTGIEQVPGKHLSALDLRSNSLQGPLPALPQSLLYFLISDNELVGEIPPTICNSSSLVVLDLSKNKLGGIVPDCLGNFRRLTVMDLRTNYFRGKIPTTYVRYSSLRTLNLNDNQLEGSIPQSLTNCYSLEVLDLGNNNINDTFPYWLGTLPNLQVLVLRSNRFHGDTQNFNGSFTFSSLRMIDLSRNEFTGHIPPELFENLKSMKDIQVDKSGPKYMGEYYYQDSVIVTMKGSDFKLERILTSFTVIDFSSNHFKGSIPKELGELNSVIVLNFSHNSLAGNIPPSLGKMAALESLDLSSNKLQGRIPVQLTDLTFLGALNLSNNNLEGHIPLANHFDTFSNDSFDGNSGLCGFPLSKKCGNDQEPESPPSTVADESETSIWKIAAMGYGSGLVLGLSMGYIVFTTGRPRWLVKMIKRNPQKRRRIRRNGRRKN >KJB60932 pep chromosome:Graimondii2_0_v6:9:34388631:34390630:-1 gene:B456_009G331600 transcript:KJB60932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLDLSIFGYALVLGITLLFLYTKLKKSSSGSSGKAPPVAAGAWPIIGHLPLLGGPKAPHESLGDLGEKYGPAYMIRIGVHPALVVNSSDVAKEIFTVNDMYVSSRSEFAAAEHLGYNYAMFGFSPYGQFWREMRKITMLEVLSNHRIDQLKKVFVSEIEGSMKLLYNTWADKKNGSGKVLVEMKKHFSDLTLNVIMRTVAGKRYSVGAEEDQKEVLRYRTALRDFFHLTGMFVLGDAVPFLRWLDFGGYEKKMKKTAKALDEISGGWLDDHRKGGHWDENKKEKDFMDVMNSVLKGASLAGYDADTINKATSLNMILAGSDTTTVTLIWGLSLMLNKPHVLKKAQEELDTHIGRDRFVNETDIGKLVYIQAIVKETLRMYPPAPLSAPRELSESCSIGGYDIPKGTRLIINLHKIQRDPKKWPEPSEFKPERFLTTHKDIDVKGQHFELMPFGSGRRSCPGTSFALHMLYLTMSNFLHAFDFSTPSNGLIDLTGTDGLTNIKSTPLQALVSPRLAPELYN >KJB56500 pep chromosome:Graimondii2_0_v6:9:9099019:9099929:1 gene:B456_009G122500 transcript:KJB56500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKFSAWFIAFLCTTALSCRKVEGFPILKSQDSYPSKSVAQGHDIHDAGLNRIVSLESEAKGSDRDEYYKQQYHEIFESDKAKSGKGAYGGANIPHHRQGKNAAPSLVSPPCFLLTATLHVILLPGLLPKLF >KJB60530 pep chromosome:Graimondii2_0_v6:9:28830824:28834523:-1 gene:B456_009G310600 transcript:KJB60530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSVGFRFNLLGKPQSSLIPSVSSISFAVSSFSANNVVFDGFKKQNNPITQTRASAEGLPSELAEDSKFVPLNADDPTYGPPALLLLGFEVGEAEKIRQFLKELDGDFMEIIYCTEDMINGSLWEAVNTRQPNLEQVKIAKSLPRICFFSGLSGEEMMMFIDAFPETGLEPAIFAALVPNSADKPVAELIDEIMGDHEILAAQQSGST >KJB55579 pep chromosome:Graimondii2_0_v6:9:6086245:6087253:-1 gene:B456_009G083300 transcript:KJB55579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRTNTPKVELKLKLSPSRSANQPVIVSPNTSVSSSPGSSCVSSEPTDDSTTMMPYPSSPEGMSMVLVGCPRCLMYVMLSEVDPKCPKCKSTVLLDLFSQHNAKKASN >KJB60525 pep chromosome:Graimondii2_0_v6:9:28747174:28749002:-1 gene:B456_009G310200 transcript:KJB60525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLATTLLTVLAVLCSFFYILFYISSRKHGKEKGKALPGPRPLPIIGNLHMLGMLPHQSLYHLAKKHGPMMSIWLGSVPTVVVSSPQVAEMFLKTHDAIFASRPKVQVLQSISNSQRGIAFTEYGPYWRSVRKICNMQLFTTSKIESFAPTRKEVLMHFTESLKEAAKAKEVVNISKKLAEINGEMTLKMVLGPVKKYKEFNLNELIEELTKIAGVFNLADFVPFLGAFDLQGIKASTQTLGEKLDKALETIIKDHLQKKQDDFVGTLLTELNQTINPNGDIMDWNSIKAITLDMIVGGFDTSAATLEWALSELIRHPRVMLKLQQELKSIFGNKRIVEENDLPKLEYLDMVVRETLRLHPIAPLLIPRESMEDIVIDGYYIPKKSRVLVNIWAIGRDPNIWSNNVEEFSPERFIDSNIDLHGHNFALIPFGAGRRLCPGKKLGLITVKLILAQLVHCFDWELPGGMSSNELNMTENFGVSLPRKTSLCVKPIYRMYEYNV >KJB62220 pep chromosome:Graimondii2_0_v6:9:59835520:59836864:-1 gene:B456_009G406700 transcript:KJB62220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTNGPIYVCNLPKGTDESMLAEYFGTIGLLKKDKRTGRPNVWLYRDKVTNELKGDATVTYEDPHAALAAVEWFNDKDFHESTIGVFMAESKSCNVGVDVPTTDVDGGGFEDDTAKDMDGQEGDWLCPNTSCSNVNFSFHGMCNRWAGGCGWGCGAPYYGGHGRAIAVIGGLFGPNDWPCPMCGNINWAKPMKCNICNTNKHGHNEGGVRY >KJB62229 pep chromosome:Graimondii2_0_v6:9:60191593:60194200:-1 gene:B456_009G407400 transcript:KJB62229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFISKYADELAKNAAYIGTPGKGILAADESTGTIGKRLSSINVENIEENRRALRELLFTAPGALQYLSGVILFEETLYQKTASGKPFVDVLKEGGVLPGIKVDKGTVELNGTNGETFTQGLDGLAQRCQKYYEAGARFAKWRAVLKISANEPTELAVQENANGLAMYAAICQQCGLVPIVEPEILVDGSHDIQKCAAVTERVLAACYKALNDHHVMLEGTLLKPNMVTPGSDSPKVAPDVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLQTKKPWSLSFSFGRALQQSTLKTWAGKEENVKKAQDALLVRCKANSEATLGTYKGDAELCEGAAESLHVKDYKY >KJB61629 pep chromosome:Graimondii2_0_v6:9:50501688:50504320:1 gene:B456_009G373000 transcript:KJB61629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENHHQAAATSSRLRIKHVGGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDELAELPPWNPLDATISTKKPNNQEDLNTSTATNNEFHIENLAAGSGSQCVQQHEMGDNLNNNSGFLPASLVSDEIADTMKSFFPLGASSETPPSSSLQFQDYPPDLLSRTSSHSQDLRLSLQSFPEPILLHHHEHHAAATAQAHHSESVLFSGTTPLAGFDGSNAGWEHHQQQQPVEIGRFQRLFAWNSSGGDAGGGNGGGFIFGTPSQQSLPLTFGQNSQFFSQRGPLQSSNTPLVRAWIDQPIDEHHHHHQIPQNIHQQAALSSMGFTTSGVYSGFRVPSRIQGQEEEHDSIANKLSSASSDSHH >KJB61735 pep chromosome:Graimondii2_0_v6:9:51150252:51152472:-1 gene:B456_009G377100 transcript:KJB61735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPVRGKGKRDHRFDNVDHALSLVNMMIEKYPKPSIVEFTKLLGAIVKMKHYAIVVSKYRQIELLGVSHDSYSMSILINCFCQLGRIDFGFSVLGKLLKLGVEPSAVTFSTLINGLCSQSKISEAVCMFDEMTEKGYQPNLIVYSTMLKGLCKTGNIGRAVRFLRLMESRGYEPNNVAYSTVIDCLCKNGLLQEALDLFSELKVKGIRPDIFTYNCLIHGMCNLGQQEEATRLLNEIVDNNISLDIVTYNLLIDALCKEGTISKAVETVDMMRKQGIEPNVVTYNTLVDAHCKEGLVSEAEDIVDAMIKREIEPDVVTYNTLVNGHCLQNKMDKARRVFNLMIEKGCAPDIVTYNTMINGYCKAKRLDEAMELFHEISQKGPIPNIVTYSTLLQSMFQLGRVSTACELFRKMLASGQVPDIATCLILLNGLGKTGHIEEALKLFQAMRNGGLELDIVPYNILIDGLCKAGHIEFAKELFHQLSDNGLKPNVVTYRIMINGLCKEGLPDEAYRLFGSMGDNDCLPDSCCYNVMIRGFLRNSYTSKATQLLTELVGLNVYIKEGSDFSLSPTVPVLSLQRMLLSAAGGGFSSLLRRNALASRTM >KJB56404 pep chromosome:Graimondii2_0_v6:9:8753721:8756968:-1 gene:B456_009G118400 transcript:KJB56404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDLRQVVAGILTLTMFVMLGQMIKRDHFDSLQDKLPGDGEDAHFESVNAIENDGLVKLSKRSKGPWMEDIQELKPCWSRTDLDEIEQSRGYVTFSLTNGPEYHVSQIADAVVVARYLTATLVLPDIRGSKPGDVRNFEDIYDVDKFMKSLDGIVKVAKELPNEISIRDLAAVKVPNRVTEDHIVESVEPVFKSKGNIRLATYFPTVNMRKTAQKSSVDSVACLGMFGTFELQSEVLEVVDSMIDRLRTLSRKSNGKFIAVDLRVEILENKNCHGSGSARAKNCYNAQEIALFLRKVGFDTDTTIYLTQSRWDNSLGVLRDIFPKTYTKERVMPDEKKGKFLESEDSEFEKVIDFHICSQSDVFVPAISGLFYANVAGKRIASGKPQILVPADIPATSAPITNYLSPYVAKRNHLAYSCFC >KJB62756 pep chromosome:Graimondii2_0_v6:9:68320577:68326014:-1 gene:B456_009G434600 transcript:KJB62756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRSRLFSIDDLPSHLILEILTSGKRLSAVDLVSLELTSRTFGGCHGVYPTKCRSLVDLAAFQLCMTNGIYIGMNCSSQRDIFERCDGNWKRLLRFLQSVEQSSDMVQTSAGNMQITTGRYHTLLIRNSSVYSCGSSLCGVLGHGPETTQCVAFTRINFPSLANVIQVSASQNHAAFVLQSGEVFTCGDNSSFCCGHRDTGRPIFRPRLVEAMKGIPCKQVAAGLNFTVFLTRQGLVYTCGTNTHGQLGHGDTQDRPTPKMVELLERVGSVVQIAAGPSYVLTVTDNGVVYSFGSGSNFCLGHGEQQNEFQPRAIQTFKRKGIHVLRVSAGDEHVVAVDSSGYVYTWGKGYCGELGHGDEIDKTLPEHLISLKGQLAVQVCARKRKTFVLVDGGAVYGFGWMGFGSLGFPDRGVSDKIMRPRLLDCLRDHRVSQVSTGLYHTVVVTHRGRMFGFGDNERAQLGHDTLRGCLEPTEIFIHEMEDEATLLSESR >KJB61315 pep chromosome:Graimondii2_0_v6:9:44683063:44683922:-1 gene:B456_009G3510001 transcript:KJB61315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRGVIFILFLFLLLGVDSNAFPMNYLISKLPRQPDVNFSQFAGYIEVDENKEPMTQPLTIWLTGGPGCSSGGDAFGSVGPFIVTKDAHGLQTNSFSWNKG >KJB59010 pep chromosome:Graimondii2_0_v6:9:18596349:18597574:1 gene:B456_009G235600 transcript:KJB59010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAGRSDARIVLANQVTDRNYSIRKILKKSQVSLLAKRVHSEPDGLTLFLQPKTWLSKAFARATVDSMNAKEKSVFVWVGNSETQCPG >KJB56834 pep chromosome:Graimondii2_0_v6:9:10399802:10401191:1 gene:B456_009G137600 transcript:KJB56834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALIPFKSKLLNPFRKLVPIFSFKLKRPLFIRALRLRLRTRSPHAQPRKAPKRSPTSVLRSIFRCLRRSRKMDKVAELRSISEAAAGCNRDRLLFSSPLIPANYMKVGGGSRKREPADVEDACRSFENYLVEMIVEEGKVSDLMDVEELLYCWKNLTCPVFIDLVSRFYGELCTDLFAGNDDYDDDNANTP >KJB56824 pep chromosome:Graimondii2_0_v6:9:10339764:10348465:1 gene:B456_009G137200 transcript:KJB56824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMERGRNPSRRTGHSSIGRSLSRSSWSMEEVFSGSKHSRRSSRVDEDEEALKWAAIEKLPTYDRLRTSIMQSFVDHEIVGNKVEHRAVDVTKLDMNDRQKFIDMLFKVAEEDNERFLKKFRNRIDKVGIRLPTVEVRFDHLTIEADCYIGSRALPSLPNAARNIFESILGMVGIKLAKTTNLTILKDASGVIKPSRMTLLLGPPSSGKTTLLLALAGKLDPSLRVKGEVTYNGYRLNEFVPKKTSAYISQNDVHVGEMTVKETLDFSARCQGIGTRYDLLSELARRERDAGIFPEADVDLFMKATAMEGVESSLFTDYTLKLLGLDICKDIIVGDEMQRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTYQIVKCLQQIVHLTEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPRQHVVEFFESCGFRCPERKGTADFLQEVTSKKDQEQYWADRSKPYRYITVTEFANKFKRFHVGMRLENELSVPFDKSRGHRAALAFKKYSVSKMELLKACWDKEWLLIKRNSFIYVFKTVQIIIVAIISSTVFLRTELHTRNEQDAAIYVGALIFGMIINMFNGFSELSLMISRLPVFYKQRDLLFHPVWTFTLPTFLLRVPISILESTVWMIVTYYTMGFAPEASRFFKTFLLVFLVQQMAAGIFRLIAGICRTMIIANTGGALTLLLVFLLGGFIIPKGEIPKWWEWAYWISPLTYGYNAFTVNELFAPRWMNKKASDNITSLGVQVLRNFDVPNDKNWYWIGAGALLGFAVLFNVLFTFALMYLNPLGKPQAVISEETAEELEANHEGEPRLRRPKSSKDSLSRSLSSADANNSREMAIRRMSSRTNPNRMSRNDSSIDTASGVAPKRGMVLPFSPLAMSFDTVNYYVDMPPEMKAQGVAEDRLQLLRGVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKIQETFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPEDVNKEEKMIFVDEVMELVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFESIPGIPKIKEKYNPATWMLEVSSVAAEVRLGMDFAEHYKSSSLYQRNKALVNELSTSPPGAKDLYFATQYSQSAWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLVSALMVGTIFWQVGTKRDTTTDLTMIIGAMYAAVLFVGINNCSTVQPVVAIERTVFYRERAAGMYSALPYALAQVFCEIPYIFVQTTYYTLIVYAMVGFQWTAAKFFWFFFINFFSFLYFTFYGMMTVSITPNHQVAAIFAAAFYALFNLFSGFFIPRPRIPKWWVWYYWICPVAWTVYGLIVSQYGDIVDTIKAPGISPDPMVKDYIKDQYGYDSDFIGPVAAVLVGFAVFFAFMFAYCIRTLNFQTR >KJB56823 pep chromosome:Graimondii2_0_v6:9:10339243:10348465:1 gene:B456_009G137200 transcript:KJB56823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMERGRNPSRRTGHSSIGRSLSRSSWSMEEVFSGSKHSRRSSRVDEDEEALKWAAIEKLPTYDRLRTSIMQSFVDHEIVGNKVEHRAVDVTKLDMNDRQKFIDMLFKVAEEDNERFLKKFRNRIDKVGIRLPTVEVRFDHLTIEADCYIGSRALPSLPNAARNIFESILGMVGIKLAKTTNLTILKDASGVIKPSRMTLLLGPPSSGKTTLLLALAGKLDPSLRVKGEVTYNGYRLNEFVPKKTSAYISQNDVHVGEMTVKETLDFSARCQGIGTRYDLLSELARRERDAGIFPEADVDLFMKATAMEGVESSLFTDYTLKLLGLDICKDIIVGDEMQRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTYQIVKCLQQIVHLTEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPRQHVVEFFESCGFRCPERKGTADFLQEVTSKKDQEQYWADRSKPYRYITVTEFANKFKRFHVGMRLENELSVPFDKSRGHRAALAFKKYSVSKMELLKACWDKEWLLIKRNSFIYVFKTVQIIIVAIISSTVFLRTELHTRNEQDAAIYVGALIFGMIINMFNGFSELSLMISRLPVFYKQRDLLFHPVWTFTLPTFLLRVPISILESTVWMIVTYYTMGFAPEASRFFKTFLLVFLVQQMAAGIFRLIAGICRTMIIANTGGALTLLLVFLLGGFIIPKGEIPKWWEWAYWISPLTYGYNAFTVNELFAPRWMNKKASDNITSLGVQVLRNFDVPNDKNWYWIGAGALLGFAVLFNVLFTFALMYLNPLGKPQAVISEETAEELEANHEGEPRLRRPKSSKDSLSRSLSSADANNSREMAIRRMSSRTNPNRMSRNDSSIDTASGVAPKRGMVLPFSPLAMSFDTVNYYVDMPPEMKAQGVAEDRLQLLRGVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKIQETFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPEDVNKEEKMIFVDEVMELVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFESIPGIPKIKEKYNPATWMLEVSSVAAEVRLGMDFAEHYKSSSLYQRNKALVNELSTSPPGAKDLYFATQYSQSAWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLVSALMVGTIFWQVGTKRDTTTDLTMIIGAMYAAVLFVGINNCSTVQPVVAIERTVFYRERAAGMYSALPYALAQVFCEIPYIFVQTTYYTLIVYAMVGFQWTAAKFFWFFFINFFSFLYFTFYGMMTVSITPNHQVAAIFAAAFYALFNLFSGFFIPRPRIPKWWVWYYWICPVAWTVYGLIVSQYGDIVDTIKAPGISPDPMVKDYIKDQYGYDSDFIGPVAAVLVGFAVFFAFMFAYCIRTLNFQTR >KJB59860 pep chromosome:Graimondii2_0_v6:9:23246915:23250083:-1 gene:B456_009G277100 transcript:KJB59860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKIQRKNVAILDSDDDNSSVTSSSIVCSDQMSVFGTEEVEFNKESLADEAIDALFEKRGSTREKALEVINAAFNFNLQCQFVENKFATLLHQCLNCIKKGSSKEISLASRTIGLLALTVGPGVHAQEMLEESITPLSQAFNSGSESSKIISLLNCLAVITFVGANGPEEIEKSMQIMWQIIHPKLGSNVIAIKPSAPIITTAVSSWSFLLTTMDGWRPSPKLWQESVTYLSSLLDNDDRSMRIAAGEALSLIFEMGSLEKFVAETKGYIDSSDLEGNKTKVRFSHIQGLKGKILNQARDLSMEAGGKGSSKKDLNNQRNMFRDVLEFLEYGYPPETTMKIGGVALQTLTWSQLIQLNFLKRFLGGGFAKHIQESEFLQDVFGFTPKRRNLRMYKSPNSVVNKARTQQLNKQRMLSEGRNIGHFAICVGYEDS >KJB63171 pep chromosome:Graimondii2_0_v6:9:47108971:47109353:1 gene:B456_009G3588003 transcript:KJB63171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HRVEKIHGETDRIVGNIVNDHKESRAKGRSEDEDQEDLVDVLLRLQEDGEFPLTDNNIKAVLLDVFIAGSETSATAVEWAMSEMIKNPRVMTKAQAE >KJB55395 pep chromosome:Graimondii2_0_v6:9:5298879:5299759:-1 gene:B456_009G073900 transcript:KJB55395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLQLKDAFIEGGIPFNRVHGMHAFEYPGEDPRFNHVFNTAMMNHTTLVMNNILDAYKGFVQHLNQVTVDVGGGLGVSLRFITSKYPSIKGINFDLPHIIQHAPPIPGVEHVAGDMFKNVPKGDAIFMKFILHDWSDDDCLKLLKNCYKAIPDEGKIVVVDCMLPDVPDTSSGVRATCQADVVMMTQNPGGKERTKQELEALVSKAGFSGIRYECYVCNLWVMELFK >KJB57252 pep chromosome:Graimondii2_0_v6:9:11910200:11912612:-1 gene:B456_009G155300 transcript:KJB57252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTALRELQQDLENKANDLSKLQKDIAKNHQVRKKYTIQLGENELVLKELDLLNEDANVYKLIGPVLVKQDLAEANANVRKRIEYISAELKRLDGSLQDLEEKQHSKREAILKVQQRIQSHQAGKAKA >KJB58634 pep chromosome:Graimondii2_0_v6:9:17066997:17068543:-1 gene:B456_009G219800 transcript:KJB58634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKSLKGRSAAMDPGIWSKLPPELLELILSFLPLKTLLNLRSTCKHFKSLVFSPSFISKYSSASLFSSFFLLSHPQCYSNFPLYDTTRGAWRKLALPLSFLPPSAAQFNLLSSCNGLFCFSLPNSSSFLVCNLLAKSSRPIQFPFFPFAFEMLTLVSTPHGYKIFLLCSKFSSNYAFVYDSKVHSWRQFDGFQPLLADNFHQEGASFNGSLYFATPEPFSVVCFDLENGKWENLDTEMPRELTFVRLVSNTDEGKLYMVGGIGRNGISRSMKLWEMGDGGNWVEVERLPELMCRKFMSVCYHNYEHLYCFWHQDMICVCCHTWPEILYCKVSRRTWHWIPKCPSIPDKWSCGFRWFSFVPDLYTLA >KJB61155 pep chromosome:Graimondii2_0_v6:9:40638151:40638915:-1 gene:B456_009G343700 transcript:KJB61155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMELRRCKEFSRAKWRRRRRRRWTGDSGDRGSRSVRTKVKKLQRLIPGAKGLKPDRLFLRTADYILQLRLQVNILQALSKIYGPSH >KJB61844 pep chromosome:Graimondii2_0_v6:9:52361417:52364884:1 gene:B456_009G385800 transcript:KJB61844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVEPVVGIANCLGTPVCKYLQYHRKLNNYVRNFKRIRDELNCKMEDIKLQLKAELLSPLGKIPKQGVENWLKAVKEMIREAQVVENKVSNGRYLCRACNGKLVDEKTRELKEFLNNAPNASEGLAMDGPSAGLPLPTSELVGEEAVRNEIWACLMQEEVSKIGVWGMGGVGKTTIIKHIHNDLLKEQRFERVIWVTISKEFNVMKVQDDIADALKLKEEVGIPEPSGSNGCKLVLTTRSEHVCKYMGCKVIKVKPLSEEEALILFLNKVGPNIVQSPTIMPTLKLVVNECAGLPLTIVVVAGTMKGEDNPRIWKNALKELKERIGKVEGVEAEVIERLKFSFDHLKDEKVKYCFLHCALYPEDFGIEKDELIECWIEEEFIDDMSTRQEMKDKGHVILKKLEDNCLLENVLYERVQMHDAVRDMALSITRMNPRCMIQAGSQLEELPEKVQWSPDIEKVSLMYNSISEISIDVLPTKCQLLTTLLLQENPIKKISISFFINMPCLSVLNLSSTKIKSLPNSISELKNLTTLLLSGCRELRDLPCLSMLQELKKLDLSQTKIEEVPEGMDMLVKLRYLDLQVFSLKEIPAGLLPKLVHLQHLSFEVDNEKTSLKAEEMEPLKKLECFTGRFEDIGELNKFISSMQQSKKNLINYHLQVCSSLPMASGDKTVTIGGVQNWEGELIMHPIEIQELNIFGCDYLRSLVDDNSSFKNAIDLRVCWIWMCEGIECVVSLSSFASSSAHPFQSLEVLNLFNLPKLSALIMKDAGIGSATTSTVAPSACFSHLKEIMIYNCSSMKTLLPHWLLPNLQNLEEIHVSLCDEVVEILGVATSEVEEKGSDALIKFHLPKLRELLFSGLPNLKSICSKSGVMVCDSLEFIKVDEGCDKLKRIPPFVPLVGNGQPFAYAPPSLTIRSSTEWWESLEWDDHPNFENVLKHERSSW >KJB62710 pep chromosome:Graimondii2_0_v6:9:67755624:67756907:1 gene:B456_009G432000 transcript:KJB62710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILRSSSIFIILLVFVPALIQTKADSVYCSNPRSRCYGKYIECPYECPSTSYGNYKAKVCHVNCDSPVCKSYCKHRKPDCNGPGSACYDPRFIGGDGIVFYFHGKSNEHFSLVSDTNLQINGRFIGHRPAGRTRDFTWIQALGILFNSHSFSLEAIKSATWNDEVDHLKFSYNGEDLIVPEGALSSWYSPEKDVKVERVANKNSVIVTLKDSAEIMVNVVPVTKEDDKIHNYKVPSDDCFAHLEVQFRFFALSPKVDGVLGRTYQLDFQNPAKPGVAMPVVGGEDKYRTTQLLSANCFTCLYSPENSSNQEMTSVTEYLTLDCTRGASAGYGIVCKK >KJB61156 pep chromosome:Graimondii2_0_v6:9:40646595:40649353:1 gene:B456_009G343800 transcript:KJB61156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTLFRRRIWKIICHPPNILNRCSPPLPNRCHTSTKTLAVPPTPSFSIISTSIVVHHHTLSLHLPSYTLPMLLLPLSPIESTLTSQTRCSNWANQPWQAIVPHIIVAFIVDHHHRYTSIANHLY >KJB62888 pep chromosome:Graimondii2_0_v6:9:69367620:69370728:1 gene:B456_009G442000 transcript:KJB62888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRIKRVPTVVSNYQKDEADDTARRTAGCGKNCLKSCCIPGAKLPLYAFKKVNMEREEDVLKMVNTEPPVAFLDSLVLGEWEDRMQRGLFRYDVTACETKVIPGEYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFQFEASEDGEVQFYPNAPIDVESSPSVVAINVSPIEYGHVLLIPRIFECLPQRIDRESFLLALHMAAEAGNPYFRLGYNSLGAFATINHLHFQAYYLAVPFPIERAPTKELTTLSDGVIVSELLKYPVKGLVFDGGNTLQDLSDAVSDACICLQDNNIPYNVLISDCGKRIFLLPQCYAEKQALGEVSPELLDTQVNPAVWEISGHMVLKRREDYDEASEGNAWRLLAEVSLSDERFCEVNALIFEAIAGSKDVIKNGTKTLLEEPCLEEDDAITKSSHRGAMVAGTQQCLVLQ >KJB58411 pep chromosome:Graimondii2_0_v6:9:16212987:16214705:-1 gene:B456_009G209200 transcript:KJB58411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVIPGTQVMDFDFNSARSSPRTSAPSTPRRFGDCFFSAPTSPTRMSEFYREFDRFSMMDDRQKSKRSTCLAIPFDWEEKPGTPKSPRAARNDDDDDDDFAFDFSEALEKTSLSAEELFDGGKIKPLKPPPRLQVDEYNQKSPLLSSPRSPTSPLSQGRKFFREAFSPRKKKDRDPFATAIETSRNQTDDHRRGRERVQDHLSKNSSRRATRSLSPYRVSEYPWEEQEQEQENKQQRNGSTNDKPPSLDSKPSLSSNKGSSRKWRLRDFLLFRSASEGHATDKYSLRKYSSSFFKKPEDSKNSSLKSGDSSGSGGSRRKASAHEIHYTTNKAVSENMKKRTFLPYKQGILGRLAFNPR >KJB54044 pep chromosome:Graimondii2_0_v6:9:1424335:1432847:-1 gene:B456_009G018100 transcript:KJB54044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved telomere maintenance component 1 [Source:Projected from Arabidopsis thaliana (AT4G09680) UniProtKB/TrEMBL;Acc:F4JKR3] MENVKTITVSDLLRYGRPHTGSSTPGPSIISDQNPQSPPGPSTSDPYRVLKPLPQFDYPAIVVGTLRLPTLTIKCPHNNCLEFSDDSVAVCCDLTGLDVRIIGKKIHVLTWNFIISDHLSGGLLEIVKWDLPDSSRGLSRCSSLMIDSFPLVSNLIESVPSTSKSKSYQIHGIIGAVSPVFVVPCSVNDSSSSKSMNLRGFHVRIITCECQLCRSTEAVGVLYGKSARHSFTEPVFVYFCGPSWCWHPVMTKLIGNVVTISGLRMKLVFMGKGGSELMFVTAENSVLHLPQLLKKVKRKDAYGSYKGTVKNVYMQGMVVELDNEAWLLLTNQSLMPPHGLRIGADILIKNVHFVVPKFSWAKFLVLGACTRTSIIVKSFSPLKTRCLIMSQSKSQLGNFIETLAFSTRLWVLLLVSCFQRKFSGILSTKKILGSTHKGLVQMFANSHLPSSVIQAQHGVVMEFNKNESCCSTTEPYHGNLKLVVPISNFIHHCENFWIKELLPSDNILPVSCGGKYYQQSIRKAFQSEDLGIILVGTLKISLSSGRLQLVDMTDSIDVVIPDLPSFWDPNSIFEVIDYCVIVEGMPESVHSGLSTIDPLLPGSLFRAFPLARKPNIKIFVYFHLCNATCRNRLIYPSVDSRDELNEISSGKFHLIRIRRKFHPPCHSKKLVRSVFAEAGVLPWYLFLAEKDGSAHQGNVLRGCTVGNHADHSSSKRQKTDSGSSQLSPGFEDNFCSACLEKGTSSLRETCGDPSCLRTSFSHESPCLATIRGVNNFIYTSAGTLCRMKANARVKACKGSAKKIFLEFTSESDLKYQLLQIDGFYLMKHHIEGPLCNIKDADEIGVKVPMTSGTYLRRLSFSSEVLASDKSLHDSSLCNEVFTKDQVLDIGSDCSVSDVHLHVPSSLTGVLEMDAEELGNGHNPPGANLENSSVSSGIETTMDANPDENSGLLGPSFLFPAGKFSSLRGDIIAVHGFDQGFSDMCSSREDYGDLYRYGFCDRTKNCCIHVSVANQTVKIFGSVDQHQFPTGFGSGINATFHRILELQVPSEFMLTSESSVEINSIRTVNEAHSLDCNLNQFRCRVVAVHVLVLEKSNRKCDNIKSNTYTRPHSVDIPLACFILDDGSSSWCCWANAERAATLLRLQNTARTSTMYHLQRIVEEHDRITVKSARSTSDPFDQDFTVTVGSGRALTGLDEDFDVLVSIIFNACVNTSWTVVAEVMDSNAVNSLREHLAEMQMPMPPMANLRALEVCYVDQLSEARDMIQQLAKR >KJB57037 pep chromosome:Graimondii2_0_v6:9:11092751:11095549:1 gene:B456_009G146000 transcript:KJB57037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISFSFFLSLLCIPFSSPSSDGPENFIIHVSKSHKPPLFSSHHHWYSSILASLPPSPHPIKLLYTYERVINGFSARLTAAQADKLRGLPGILSVIPDQVRQIHTTRTPHFLGLSDGVGLWQNSYYGDGVIIGVLDTGIWPERPSFTDSWLSPVPDTWKGICETGPDFPASACNRKVIGARAFYKGYQSHLGHSIDETKESTSPRDTEGHGTHTASTAAGSVVSNASLFGFARGEARGMASKARIAAYKICWSFGCFDSDILAAMDQATADGVDVISLSVGATGYAPQYDHDSIAIGAFGAAAHGIVVSCSAGNSGPGTYTAVNIAPWIITVGASTIDREFPADVVLGDGRIFGGVSLYYGPPLPDFKLRLVYAGDAGNRYCYMGGISPSKVQGKMVLCDRGGNARVEKGAAVKLAGGLGMIQANTAESGEELIADAHLVPATMVGEIAGNKIREYVKKSPFPTATILFRGTVVGPSPPAPKVAAFSSRGPNHLTPEILKPDVIAPGVNILAGWTGFAAPTDLDIDPRRVDFNIISGTSMSCPHVSGLAALLKKAYPKWSPAAIKSALMTTAYTLDNSGSTIKDLATGEESSPFGHGAGHVDPNRALNPGLVYDIDNDDYIAFLCSIGYDLNRIAVFVRGPTGSDICEGKLATPGDLNYPSFSVVFYSNDHVVKYKRTVKNVGTSVDVVYTAKVNAPAGVEINVSPCKLEFSGENKTLSYEITFASDGLGLHAVDSLQAFGSIEWSDGVHLVRSPIAVRWAQGLKDSI >KJB56740 pep chromosome:Graimondii2_0_v6:9:10073601:10075703:-1 gene:B456_009G133600 transcript:KJB56740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKLEQQSPTQEPPSPSHCYTALPTQSPDENYVVLPFYSPGGRLRWCGCRMLYTGTASFFLLATLVYIFWPSDPDVKIVRMHVNRMKIHTVPIIALDMSLLITLKVRNSDVYSMDITSLDVAVGYRGKMLGHVTSEQGHVKALGSSYVEAVLQLNGVEVLSDVVYLLEDLAKGTVPFDTVTEVAGSLGLSFFKFPLKAKLLCEIVVHRTTQTIVRQNCYPQK >KJB63035 pep chromosome:Graimondii2_0_v6:9:70146917:70147539:1 gene:B456_009G450700 transcript:KJB63035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILIMLKITEHKLNETNYLDWSKMVRIYLQSIDKDDHLNNEPPTDDTRQVWLREDAQLFLHIRNSIDSEIISLITTVTLLRS >KJB53694 pep chromosome:Graimondii2_0_v6:9:169968:171852:-1 gene:B456_009G001400 transcript:KJB53694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGRNAVSGNGRMLERDEDLVLFRELHKREKDRIATLLQPVSDEFEPNGSAGNFALYRIASGKKGCGYQFFPDTIKNDYDWLKTPPATPLFPSLEMEANAAQPVVQRELPIIHPPPSRFAGHKESKRSINGRAKSPNPKPKIPSRSITPSHRPVVNNSTKVANYDPLKSKRTNVGTADMHMDFLTSNLSQKLATTQTTKPRSRGVSPLARSTIPIQYPTPPNRSSSASRGRAVLHQNASTPRQSCSPRARGRRQQEATKPTQPFLGSKMVEKVMNARKSISSINDKSQRDTKQKLRGSATKHLEIKRDSTQLGVFHRRREQG >KJB58178 pep chromosome:Graimondii2_0_v6:9:15285131:15285976:-1 gene:B456_009G198000 transcript:KJB58178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPQLIPSHSSSSLTHQPSINPNAANPYPMLKSFLKPSSLSQSRRFHRLSSLRASASSFPDNHQTDPSKPDDVVELPIFPLPLVLFPGAILPLQIFEFRYRIMMHTLLHTDLRFGVIYSDSVTGTTDVGCVGEIVKHERLVDDRFFLICKGQERFRITNVVRTKPYLVAEVNWLEDRPSGDEDLEGLASEVETYMKDVIRLSNRLNGKPEKETLDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTATRLKREKETLRNTLNYLSAASAVKDVFPSS >KJB60869 pep chromosome:Graimondii2_0_v6:9:33297233:33297545:-1 gene:B456_009G328900 transcript:KJB60869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRFLFYVEKARINNYDFTYGQFLNILFISNKIFSLCGGKKMLFLGERYYFTFTSSQVSNIFISNDFPQSGEERAIYSMNLIIAIA >KJB55532 pep chromosome:Graimondii2_0_v6:9:5827008:5828167:1 gene:B456_009G080900 transcript:KJB55532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPQNQNNNNLTNEWSTLENKLFEHAFLVFPEETSYRWQKIADRISGKSAKEVEEHFDMLLHDVYEIEAGRIEIPRYADDSSMLLSNWNSNNQIYFTSKSKHQLDNERKEGSPWTEEEHNKYTAHLNSP >KJB58284 pep chromosome:Graimondii2_0_v6:9:15702162:15706217:1 gene:B456_009G202500 transcript:KJB58284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEDWRGGYSETNSDTESIASERATFSGPLGVGGGIVSSKRASKKSATFNLPPEITMSKANSAGSFASFDSSAVAAAGDDDYVEITLDILDDSIAVHRVQGADGGHEDPELSLLAKRTLENKSASFRSYLLRNTSARIKQFSQELKRAVSRRSSNAGGRFDRNKSAAAHALKGLKFITTKTGASGNGWSSVEKRFDDLTASTNGLLHRSQFAECIGMNQSKEFAGELFQALARRHNVTGDSIYKIQLKQFWDQISDESFDSRLQTFFDMVDKDADGRITEEEVKEIISLSASANRLSNIQKQAEEFAALIMEELDPDNAGYIMIHNLETLLLQAPNQSMRVGDSRILSQMLSQKLKPTQENNPLKRWYHKTKYFIIDNWQRISVMMLWLSIMGGLFAYKFVQYRNKAVFDVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWLRNKTKLGAVVPFDDNLNFHKVIAVGISIGVALHGIAHLACDFPRLLHATEEEYEPMEPFFGEEQPPNYWWFLKGVEGITGIIMVVLMAIAFTLATPWFRRSKLNLPKFLKKLTGFNAFWYSHHLFVIVYSLLIVHGIYLYLTKKWYQKTTWMYLAVPTTLYACERLIRAFRSSIKAVKILKVAVYPGNVLSLHMSKPQGFKYKSGQYMFVNCSAVSSFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLKTVFSEVCQPPPAGKSGLLRAEGTNTSFPKILIDGPYGAPAQDYKKYDVVLLVGLGIGATPMISIVKDIINNMKMEEMEEDSFPGSGLENGNYNKNKNKNNKGFKTRKAYFYWVTREQGSFEWFKGIMNEVAEMDEQRVIELHNYCTSVYEEGDARSALITMLQSLHHAKNGVDVVSGTRVKSHFAKPNWRQVYKNIALHHQGARIGVFYCGAPALTKELRQLALDFSHKTGTKFDFHKENF >KJB62659 pep chromosome:Graimondii2_0_v6:9:67083810:67086820:1 gene:B456_009G428200 transcript:KJB62659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVSQMPSSSPLSSNKDEIRPKADFQPSIWGDLFLNCPDKNIDAGTEKRHQQLKEEVRKMIVAPMANATQKLAFIDSVQRLGVSYHFTKEIEDELENIYHNNNDAENDLYTTSLRFRLLREHGYNVSCDVFNKFKDEQGNFKSTVTSDVRGLLELYQASYLRVHGEDILDEAISFTTNHLSLAVASLDHPLSEEVSHALKQSIRRGLPRVEARHYLSVYQDIESHNKALLEFAKIDFNMLQFLHRKELSEICRWWKDLDFQRKLPYARDRVVEGYFWISGVYFEPQYSLGRKMLTKVIAMASIVDDTYDSYATYEELIPYTNAIERWDIKCIDELPEYMKPSYKALLDVYEEMEQLVAEHGRQYRVEYAKNAMIRLAQSYLVEARWTLQNYKPSFEEFKANALPTCGYAMLAITSFVGMGDIVTPETFKWAANDPKIIQASTIICRFMDDVAEHKFKHRREDDCSAIECYMEEYGVTAQEAYDVFTKHVESAWKDVNQELLKPTEMPTEVLNRSLNLARVMDVLYREGDGYTYVGKAAKGGITSLLIEPIAL >KJB62944 pep chromosome:Graimondii2_0_v6:9:69560503:69563170:1 gene:B456_009G4445002 transcript:KJB62944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYLFLCLFLFFPHLYASFSSSGSHSCSSLIQFKDSFSITEDASSYCNGIAGLKSYPKTNSWKEGTDCCSWDGVTCDHLNAHVIALDLSCSWLYGNFPSNSTLFLLPHLQKLNLAYNEFNLSEIPSELGRFTSLLYLDLSSTGFVGDKHALEGLVQNLTEVRHLFLDGMEMASVNAHVFMNLSSSLRSLSLAGCDLQGKFPKNIFDLPNLNLLNLGDNQNLNLDPLKLNRSSNLEHLDLSWTSFSTEFIDSVDNLQALKYLDLSGNSFFQGLSVSITNLSCLEQLIISGANFFGGLPDSVGNLVSLKFLDLSYSNLSGPVPKSLGNLLQLTHLDLSGNQLSGQIPRSLGNLLQLTYLGLWQNQLSGQIPRSLGNLLQLTYLGLWQNQLNGQIPLSILNLTQLEYLRIAGNALKGSIPDEVTAFPNLISLDLTNNLLSGALPSWLYTSPSLKDMKLSQNQFSGHIKDFQSKSLELIELENNKLQGPLPSSIFQFLNLTKLNLSSNNLTGVIAFSMFSNLPNLEYLDLSYNSLSLTSNTTSSVNLTSLFLSSCNLSEFPQFLKGLKSLKSLDLSHNRIEGKIPRWMQEVGNDSLTYLNVSHNSLTEVEHFPWKNIEVLDLSSNLISGNLPIPASTINVFLISNNSFNGEVSSLICNAAFLEILDLSHNNLSGTIPQCFGNLGNSLLFLNLKKNKFYGTIPPTFAMGCQLSNFNLNGNLLEGPLTPSILNCNDLEVLDLGNNKINDTFPHWLGSLPQLQVLVLKSNHMHGSLRINSSKSIPFFSKIQIFDLSSNYFSGPLPVRYINSFKAIINLEKIGSTVSYMGVNDDGGDFYTYSIGIVMKGQDRELVKIFTMWMIIDLSNNQFEGGIPKVIGKLNLLKGLNISHNNLN >KJB61193 pep chromosome:Graimondii2_0_v6:9:41522059:41528645:-1 gene:B456_009G345400 transcript:KJB61193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSHLGASASRSSSLLKPLSSALSLRRPISGDNSPLTVETSVPFTSHQCEAPSRSVETNPKELLTFFRDMALMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITKKDCIITAYRDHCTFVSRGGTLLEVFAELMGRQAGCSKGKGGSMHFYKKDSNFYGGHGIVGAQVPLGCGLAFAQKYSKDETVTFALYGDGAANQGQLFEALNISALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDALAVKQACKFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIRKLILSHDLATEKELKDVEKEVRKEVDDAIAQAKESPMPEPSELFTNVYAKGLGVESFGADRKEVRATLP >KJB59794 pep chromosome:Graimondii2_0_v6:9:22786007:22795541:-1 gene:B456_009G272700 transcript:KJB59794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFIFYVTLFTLMASTSRADTAQTSAAALLLRVDQSGKGDYEKIQDAIDAVPSNNKEVVFILVNPGIYHEKIVVPTDKPFITLSGSKPNDTVITGSDSGNIFESATFTVLASDFVGRYLTIQNTRGAGAKAVALRVSGDRAAFFGCRILSYQDTLLDDTGRHYYSNCYIKGAVDFIFGNAASLFERCHLHTLSEGGASITAQRRELPSEETGFTFQGCKITGVRTAALGRPWGPYSRVIFALTYMSNVILPQGWDDWGDSSKQSTVFYREYKCHGPGANNRKRVEWSQQLTTKEAEIFLTKNMIGGKSWIRSTPKRFKKASSAISSNSTTH >KJB54945 pep chromosome:Graimondii2_0_v6:9:4065687:4069415:1 gene:B456_009G055800 transcript:KJB54945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGFLGSILILSLFFKHFTCQLPNTDEYYVSDFLKKMSSNSSLSYFSDSGCSWKGVHCDTKKESVLGLKASGLGLSGLIPDTTIGKLIQLQSLDLSNNKITALPSDLWSLGSLKSLNLSSNQISGFLPNNIGNFGQLEVIDLSGNNFTGEIPTAISSLASLRVLKLAGNGFEWRIPTGILSCRSLVSLDLSLNRLNGSLPDGFGAAFPMLRTLNLARNEINGHVMDFAEMKSLTSLNISGNLFKGSVMGVFQGQLEVIDLSKNQFQGHISQVQFTSTCKWSHLVYLDLSENQLSGEIFLNLSQARNLKHLSLACNRFARQKFPGIKMLLGLEHLNLSKTSLIGHIPDEILRLSNLQALDVSSNHLTGHIPSLSHKSLKIIDVSHNNLSGEIPISLLEKLTGMERYNFSYNNLTLCDSGLSPETLETAFYGSLNSCPIAANPIFFKRKANRRRGYTLALALTFSMVFLLAGLLFLAFGCKRKSRTWVVKQPSYKEEQNISGPFSFQTDSTTWVADVKQATLVPVVIFEKPLLNISFADLLSATSNFDRDTLLAEGKFGPVYRGFLPGGIHVAVKVLVHGSTLTDQEAARELEYLGRIKHPNLVPLTGYCLAGDQRIAIYDYMENGNLQNLLHDLPLGVQATEDWSTDTWEEDNNGIQNVGSEGLLTTWAFRHKISLGTARALAFLHHGCSPPIIHRDVKASSVYLDLNLEPRLSDFGLAKIFGTGLEDEIARGSPGYVPPEFSQLECDAPTPKSDVYCFGVVLFELITGKKPIGDNYPEEQDANLVSWVRGLVRRNQGLQAIDPKIRDTGPDYQMEEALKIGYLCTADLPTKRPSMQQIVGLLKDIEPRASQ >KJB62589 pep chromosome:Graimondii2_0_v6:9:66526334:66528736:1 gene:B456_009G424500 transcript:KJB62589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLYNKHAVLACAGWSWGCHAPEFAPLMAGALVIFYCAWWWWGMKFTKINPPLPPGPLGLPIIGNLPFIKPELHRYFSDLSRIYGPVFKLRMGSVLAIVINSPSLAKEVLKVQDAIFANHDVPAAGVVGTFGGLNILWRPNGPRCNQLRKLVICEIMSKQSLDACTVLRQREVRRMVKEIHGKVSSSVNIYKQLSATALRVMMSTLWGDDPSQDLIEFRKRLDEIIITFAAPNVSDIFPILEQVSWFYGVFESMIKNRRNIRDDEKEKENISKDFMQQLLELHWRGDEKNSLSINEVKALLLLLHIALPQQIEGEGVVALMALGCSANYVGKWDTLWIDVIIGLMRHTRVSVIDHLWLHKQI >KJB60273 pep chromosome:Graimondii2_0_v6:9:26011189:26011547:1 gene:B456_009G297400 transcript:KJB60273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGWPRYPPCASGTCTDVVCCAHGHKLRWPELLGENGAAAKATIEKENPEVTAEIVTPGRVGPPNFCCNRVFVIVDTHGNVTNIPTIG >KJB61233 pep chromosome:Graimondii2_0_v6:9:42396650:42398583:-1 gene:B456_009G346900 transcript:KJB61233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKKGKKRSETCNCFPLSDEENVTSDLMDTTLNQRRPAGWRAIPFVLGNETFERLASYGLMANFMVYLQREYHMNQVEAATILNGWSAASNFAPVIGAYVSDAFMGKFWTIVLGSFSSLLGMMIMTLTALLPPLRPPPCTDEDLQQGQCIPYNGAQLGVLMVSLCWLSIGTGGIKPCSIPFSVDQFDLTTEEGRKGNNSFYNLYYTTQTIVLLITQTVVVYIQNDISWALGFGIPTLCMIFAIVLFFVGTRIYVYIKPKGSVVASVAQVFVAAYKKRRFYIPVDGVDGQFYDPPLTTSLLCVRELHLTTQYRFLNKAALVIDDEVNPDGSCKNPWMLCTIQQVEDVKCLLNIIPIWVTSILGFLAMNQQGTFTVSQALKMDLQFGSTIKIPAGSVGVITLIAIAVWLPFYDRVLVPALEKITKLEGGITVLQRIGVGNLFSILTMLVSGFIETKRRNSALSHGGPDGVAPMSVMWLTPQLILIGFAEIFSIVGLIEFYNKQFPEHMRSIGNSLIYLTFSLASYASNWIITIVNDVTGRSGSSWLSGDINTSKLDKFYFLIAGISLLDFVVFMFCARRYHYRGSVRIN >KJB55890 pep chromosome:Graimondii2_0_v6:9:7199663:7200714:1 gene:B456_009G099500 transcript:KJB55890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPFFHEFKKQASFFLKEKIRTVRLALIDVTPAQLLTEEVTNGNTWTPDTSTLGSISRAAFELDDYWRIVEILHNKEYIRNKSERILKLLQKGPALKEERARARKLTRGIQGFGSFSYRSSSEQGNLKDSSYGRSNSDFNHHETDNSKHYNDNAPFEVGNKYKNFKSWSCFNEGHGVEKPEIQTSFKENMAVIKEQFHNWTAIAESNPLLGRENNELRRGIMIEDDVHHPFNSHENQTASSMLLPRNGIVQGC >KJB57935 pep chromosome:Graimondii2_0_v6:9:14326295:14332274:-1 gene:B456_009G186300 transcript:KJB57935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 21 [Source:Projected from Arabidopsis thaliana (AT2G33640) UniProtKB/Swiss-Prot;Acc:Q6DR03] MVRRHGWELPAHTFQVVAITVFFLLSVAYYAFFAPFLGKDIYEYVAIGVYSIVALSVLILYVRCTAIDPCDPGILLEADKTPTRKSHTEMDLSGNASSIEEPCKIRVKYGRQYNRHRSQWCSKLGGFFCACLVKEDCCKDEDLLQQQSGEEDALFCTLCNAEVRKFSKHCRSCEKCVDGFDHHCRWLNNCVGRKNYISFVCLMAASLVWLIVEFGVGIAVLVRCFTDRKGTESEITEKLGVGFSRPPFATVVAICTAVSFLAFVPLGELFFFHIILIRKGITTYEYVVAMRTQSEPPGQSADGGDQQSMPSSPTSSAVTAISGRSSRGKSLQYKGAWCTPPRIFMEHQDEIVPHLDPGRLPSTVDPDAVQPPDKGKRLPQRPVRISAWKLAKLDSNEAVKAATKARASSSILRPIGARQHPCSADQVSSSTISGRSSPISTDHGFRTKNARAGILNSYPSSHASREDAESCARSVDEISSAHLSSITPSPMAQQHSNREHFNPMYETSGNQSPLSTKQSEGNEHAAGYPIRKNSSSIESSKTSVFWDPEAGRFVSSSSRNAGSLQAPGSELLYSGQSIFFGSPLMNELANRGTRSTSSMSVGLGRGSTSSHHYHQQGRLQRGAQLPVFVPTDSPSQPKQFP >KJB56195 pep chromosome:Graimondii2_0_v6:9:7999197:8000037:1 gene:B456_009G109400 transcript:KJB56195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANILRLASLSVFFFFIIYSPLFSNALSDCNGPCRDLNDCSGQLICIDGKCNDDPDVGTHICRGSSPSPPTGNCQPSGSLQCQGQIYPTYKCSPPVTSSTKAKLTNNDFSQGGAGGGPSECDEQYHSNSERIVALSTGWYNGGSSCEKMIRIKASNGKNVTAKVVDECDSMRGCDEEHAYQPPCKNNIVDASDAVWSALELNKDVGIVDVTWSMA >KJB60486 pep chromosome:Graimondii2_0_v6:9:28301650:28308971:-1 gene:B456_009G308100 transcript:KJB60486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHETAGITAVQVAQANITRNDNNSSVPFASLVAFTDPLRTGPEPDSKVIGNVQGIALLSRMNASSTQYIDFGFNTGRFNGSSISVFSRGEPGLAVVGGRGKFMMATGVALFNPIIINATNVIMEFNITVVHY >KJB61584 pep chromosome:Graimondii2_0_v6:9:49375488:49376378:1 gene:B456_009G368100 transcript:KJB61584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVQQITLVSLAICLSTLLGFAAAANPEEKFIVEGRVYCDTCRVEFETKISKPIKGASVKLECRNITNEKIVSHSQDVVTDEAGGYKIEVKGDHEDEICEVSLVKSPRADCSEPTEVWRKARVVLTKADGVSGIYRFANNLGYMKKEALPECKKVLTEMGYFELQDEIGEEVEGHSTAP >KJB56674 pep chromosome:Graimondii2_0_v6:9:9874548:9877571:1 gene:B456_009G131600 transcript:KJB56674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTIASALLFIEIKDAAFLRTLSQVMLSLWLKWELRAMVVLSLSVQLILIKFGNRRKNSGRHLKIVSLLVWTMYLFADWLATVALSTLLRSRKEQITSPLVIFWTPFLLLHLGGPDTITAYSLSDNELWPRHLFGLCFQVGVALYVYVKFWTLTVTKLTYLAIPIFIVGIIKYGERVRALFQASNVRFRKSVFSTDKASELEVELSQGASKSDITLEGYLDTKQIKEKYRYLYRAFLLFQVFRPMFSDLKLRIYNKLDYIFELGENVVAEEAFKIVEIELGFLYDLLYTKIPIVITRIGVILRIISLSLITSTLIAFLLVVGKHGYSKVDIGISYLLMVGAIFLELYSAFLHLSSDWGIHWLTSQNNRLLRAAGSNLVHFSKPNKGIRAMAQHSLLDYCLQPRKLKLAKVLNIFDPEDNAEKYLHTSWKEVDLELQKIMYTHFKEKRRKYKEKQFEYKELLELLEERGRIPLIQNNVDADLGWSVSDVEFTHSLLLWHIATDVVYNDDHHWFRAGKLGPYCRISKLLSDYMMYLLFLCPEMLPEGIGTIRHHDTCIEAKNFVHDKSKFKQVIRGLFGIDIESRSFFVLMGSLKKSAFFEGCQIAVQLQTLLGQFRWDHEDKWKLIAEVWLDMLTYVAAQCSWKEHARQLQQGEELLTHVALLMAHLGLSKKIQMVPLPKRLQEVEYEPTFYWDRLDRLPSYLA >KJB55321 pep chromosome:Graimondii2_0_v6:9:5079595:5080973:-1 gene:B456_009G071500 transcript:KJB55321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIFVPSPGVGHLASTVQLAKRLINHDHRIWVTVLCIQWFSSGFVDAYIEEPARIHFIQLPQVDPPSLHLLKSPETRNTDLGHLIPGFANPVPSCVLPSFLFNKDGGYTAFVKFAERFKDAKGIMINTFEELEPYALRCFSNAQNPPIYPFGPVIQLDGLPNPELDLVFLCFGSMGSHEPPQVKVIALALDRSGHKFLWSLHVPPAVDAAAGTVHFKNPEEMLPEGFLERIQERGMVCGWAPQVEVLGHNAVGGFVSHCGWNSILESLWFSVPIVTWPMFAEQQLNAYMKKELGLAVVMRLDYRKGISDVVMADEIEEGVRQVMDAGREVRKKVKKTEEMARKAVMNGGSSFNSIGRFIEDMIGNI >KJB58601 pep chromosome:Graimondii2_0_v6:9:16909203:16909888:-1 gene:B456_009G217400 transcript:KJB58601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVLVTFLLVSLVLSSFLFEESMAGSGFCAYKCKARCAKADFKERCFKYCNICCQKCSCVPSGNYENKHECYCYRDMKNYKGLPKCP >KJB54307 pep chromosome:Graimondii2_0_v6:9:2158922:2162073:1 gene:B456_009G028200 transcript:KJB54307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADYLPSPEKVAQLVRNHNIQYLRIYNYRPEVLRAFSNTGIELMVGVPNADLSQFQSQPYVDSWLRTSILPYREATKITHITVGVEVTNSPDNAANLVVRAMRNVVSALKSANLQGKIKVSTPLSFGVLSKSYPPSEGAFNSGYEYVLRPLLDFLEENQSPFMVNLYPFYAIEDSSLDAVLFKSPSPIFVDQNTGLSYKNIFDAQLDAVFYAIANLNFRTTRNTFDAQPDTVHFTLADTDLIISDIIAAETGWPTRGSRRPHASTHNAKTYNYSASLDSVDDYANIDNAQTYNTNLISHVMGGSGTPARPGANLDVYIFSLFNENLKQGPETERNFGLFYPDMTSVYNLVFPGKGTGRSWCVASRQASNSALQNALDWACGPGKADCSALQPDQQCFEPNNLVSHASFAFNNYYQKNGLTDQACRFGGTGIVVYNDPSYGNCIYNVKSRDPNGRTWCVATSQASRSNLQNALDWACGPGKADCSEIQPNQRCFQPDTLLAHASFAFNNYYRKNGATDASCSFRGNAIKVDRDPSYGNCIYH >KJB62247 pep chromosome:Graimondii2_0_v6:9:60457047:60457819:-1 gene:B456_009G407900 transcript:KJB62247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTTCISGLLYLALSGRFIIRNYTGKLKTLVRNIILENHTTKDYNYFSPVFTFFCCVEYELKTLNSMRGRRSYMQKQSSR >KJB62823 pep chromosome:Graimondii2_0_v6:9:68918573:68920714:1 gene:B456_009G438700 transcript:KJB62823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTKLRVVFLCSFFTLIPKLWANIAEFDDFWKQREDEAWKIALTAYEPSPENVTSHLNYHVNKVLEKPMSNQPLEFKDVITNSTRRSLRGKHRKYTGPCLAINPIDRCWRCKKNWAKNRKRLAKCVLGFGHKTRGGNKGEYYLVTDNSDDDVVNPKPGTLRHAVIQKRPLWIIFAHDMNIKLSQELMVQSHKTIDGRGANVHIAYGCGITLQFVHNVIIHNIHIHRVVRSSGGLIRDSEDHYGFRTVGDGDGISIFGSSRIWLDHISMSECQDGLIDAIQGSTAITISNCHFTHHDHVILLGASDVYSKDQYMQVTLAFNHFGKELIQRMPRCRWGYFHVVNNDYTHWKLYAIGGSTHPTIISQGNRFIAPDDPLTKEITHRNYAPESEWRNWIWRSEGDRFMNGAFFVTSGPPSPPHLKLKKKDIIKAKPATFVGRLTKFSGTLKCKEGVKC >KJB62309 pep chromosome:Graimondii2_0_v6:9:61942076:61943291:1 gene:B456_009G410700 transcript:KJB62309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGVRMGSGNFHSMFNYNIINGEKSVRTGEMKMGVLSNRICREDLKPGDHIYSWRYAYIYAHHGIYIGEGKVIHFTQSQGGREIGTGTVLDRVIFSSSSSEASNCAACGYQSGRYGVISSCLDCFLADGELYLFQYAVSPALFLAKPRGGTCTIAASDPTSEVLHRASFLLHNGFGVYHLFKNNCEDFAIYCKTGLLVITRISVGRSGQATSFLAAASAIVSSPLRYLTTSFSGLAAVGYGMYCFSRLVSDIGVRRDVEKVAVEMLVSASAGCQET >KJB61590 pep chromosome:Graimondii2_0_v6:9:49571245:49575382:1 gene:B456_009G368500 transcript:KJB61590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLISKLPGQPDVNFRQFAGYINVDENAVGRSLFYYFVEAEKDPLTQPLTVWLTGGPGCSSVGDAFGSVGPFIVTKDAHDLQTNLFSWNKVSNLLFIDSPIGSGWSYSNTSSDYNNGDDITNKILLTFMQKWYEKYPVFKSKDLYLAGSSFAGHFVPNLANALLDDNKQSKQSKFNIKGLVLGNPMLRKKLDDIAKIDFFFSREMINSSLYNEIKKECNAIDENNYFSSIKTTWSTKCKNLVFEANLAAFKTDAHNYSPQKLFDVFRPPCAETEQDLNLGKQAPIVSTEVDMCHPLRVQFYFNLPEVQKAFHGNQTNLSYRWKGCFTANFKYNEADKDLDMLPALKNLLQQSVRITIFSGDQDGIIPIEGTLQHLKKLAEELNIKLTKEETWSVRTKEGGLKYEFGDLLKFLTVKGGNHHVTFSRPSQAFSIFSMFTINWMH >KJB56700 pep chromosome:Graimondii2_0_v6:9:10111047:10112511:1 gene:B456_009G134300 transcript:KJB56700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATRERETTGLLDKILPPRLEDAGLEDCALPPDSIHEAFLKAASAVKSRAANIFHSDDEDEVERGCLDDPFPDKGKCSSDVLVSPPCPDMSDAVVVGGGSSDPTSDAVEGCVKEKGCGKEVEEGKDMVIVGGDGGEAADGKGCLDDELKGLKIKGEEKKKKNQNQEDDDEREKEKPILVEGFV >KJB53956 pep chromosome:Graimondii2_0_v6:9:1062134:1063756:-1 gene:B456_009G013100 transcript:KJB53956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSCLEAVCFLVLFIFLYSVEGIRLEGSFKSSGHDVKLHEEALMKISNGVMGDVIFCKQGQCRGNSRKLLTATTTATTTASSTSSKSEDGGDYKKANPTTRVKSGNQENGEKQEKVSVSSPTSSEQQDQYADIMEIAEMDYSPAKRKPPIHN >KJB54356 pep chromosome:Graimondii2_0_v6:9:2346693:2347607:1 gene:B456_009G031100 transcript:KJB54356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPRFVIESAEAEQMAKQSGQTVLQLLPSLVKSAQTLARPPISNYHVGAVGMGPSGRIFFGVNLEFPGLPLNQSVHAEQFLITNLSLNAEPRLRYLAVSAAPCGHCRQFLQELRGASDVKILITSSEDEKENKINNNCNDKDQEFTPLSHFLPHRFGPDDLLEKDVPLLLEPHRNGLSFCNDLCNGKINGVDDLKHAAVDAANMSHAPYSGCPSGMALLDVEGKIYKGSYMESAAYNPSLPPAQAALVAYVAGGGGGGYERIVGAVLVEKADAVIKQEHTSRLLLQCISPKCEFKVFHCTKTC >KJB60226 pep chromosome:Graimondii2_0_v6:9:25769008:25770100:1 gene:B456_009G295400 transcript:KJB60226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSIIKKQKAQRWRTFRPGSQITSSPPLMVSSNKFVCMFLFLGLTCIHYFACFYVSFSFFIMWYAILGVVGAIWASTIGASLAYNTYIRARTPLKPSLRLIHARMHAQALTLAVLSGAAAYHYYEKNSGRSRQDNTING >KJB62625 pep chromosome:Graimondii2_0_v6:9:67654823:67655911:1 gene:B456_009G431200 transcript:KJB62625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDQRGQPLLPLPIAATVSSSSSLRNHSTVTDQYYEGPSLDLQLSVNLRPIQKPSGSVKTGGSICDVKSDSSSIESLRWQAGEQIRLAAIEAAYAERVRELAKMEMEMAQSEFARARHMWLRAREEVEKAEKMKQRATRQIDSKCMEISCQSCRQRFRP >KJB61830 pep chromosome:Graimondii2_0_v6:9:52163472:52170501:-1 gene:B456_009G384500 transcript:KJB61830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATPTPTKTPVDKSRGSHSRHQADDSSSSRSRFEAYNRLQAMAVAFGEKLPIPEIVALGGQSDGKSSLLEALLGFCFNVREVEMGTRRPLILQMVHDPSALEPRCRFQEEDSEEYGSPVVSASTIADVIKSRTEALLKKTKTSVSPKPIVMRAEFAHCPNLTIIDTPGFVLKAKKGEPENTPQEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDSIREIDPTFRRTVIVVSKFDNRLKEFSDRWEVDRYLSASGYLGETTRPFFVALPKDRSTVSNDEFRRQISQVDSEVLGHLRDGIKGGYDEEKFKPYIGFCSLREYLELELQKRYKEAAPATLALLEQRCSEVNIELERLDSKIQATSDVSHLRKSAMMHAASISNQVGVLIDGAADPAPEQWGKTTEEERSESGIGSWPGVTVDMKPANAILRLYGGAAFERVMHEFRCAAYSIECPPVSREKVANILLAHAGRGGGRGVAEAAAEIARTAARSWLAPLLDTACDRLAFVLGNLFDIAIERNRSRESEYGRKTGDMEGYVGFHAALRHAYNSIIKDLAKQCKQLVRHHLDSVTSPYSQVFYENDFHGSFGSSANSYFRHNQASAGSIWFELSDCGQVSHDERMRDQENIPPENNARQKTPGKGTEAREVHRESQMTVPETPSPDQPCDGVKVDVGPRKRIARIGNRNPEQLMKVHNGGSLLFGNGDCGSRSAYTEICSLAAQHFARIREVLVERSVTSTLNSGFLTPCRDRLVVELGLDLFAVNDEKFMDMFVSPAAIEVLQHKRQSLQNRQKVLQSCLTEFKNVARSL >KJB59222 pep chromosome:Graimondii2_0_v6:9:19741293:19747644:1 gene:B456_009G245600 transcript:KJB59222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYIVGAIVPIVLVLLRNSKRVKKRGVLVDVGGEPGYAIRNIRFPFPLETAWEGLSTLAELFEQSCKQNSNKSLLGTRKLISSEVEVTEDGRSFEKLHYGEYVWLTYAETFAAVCYFASGLVQLGHKRGERVAIFADTREEWFIALQACFRRNVTVVTIYASLGEEALCHSLNETEVTTLICGAKELKKLVNISGQLDKIKHVICMDDEIPTAVSGRWAVTSFADVQRTGYENPVDADLPIAADVAVIMYTSGSTGLPKGVMLTHGNILATVAAVKTIIPGIGSKDVYLAYLPLAHILELAAEHLIVAVGGILGYGSPLTLTDTSSKKKPGTKGDASVLAPTLMAAVPAILDRVRDGVRKKVDAKGGLSKKLFDLAYSRRLSAINGSWFGAWGLEKYLWDLLVFKKVRAILGGRLRFLLSGGAPLSPDTQRFINICFGTPIGQGYGLTETCAGGTFSEVDDTSVGRVGAPLPCSFIKLVDWPKGGYLTSNSPMPRGEILIGGPNVTLGYFKNEEKTKESYRVDERGVRWFCTGDIGQFHSDGCLEIIDRKKDIVKLQHGEYVSLGKVETALSICPYVDNIMLHADPFHSFCVALVVASQQMVEDWASKQGITFTDFADLCEKEETIKEVHSSLAQTAKKSRLEKFEIPAKIKLISSPWTPESGLVTAALKIKREAIRRAFSEDLAKLYAS >KJB54654 pep chromosome:Graimondii2_0_v6:9:3159839:3160668:1 gene:B456_009G043300 transcript:KJB54654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKPVVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYLVKEWRKDFLFLTRRLNHCCLF >KJB60679 pep chromosome:Graimondii2_0_v6:9:46796138:46796687:1 gene:B456_009G357000 transcript:KJB60679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIVYSALLVLFLTCGAAMATKENDQIIKENNCETKMGLPCVQEAFTSIFETGSISNKCCGELVGLGKVCHSALVKRTLENPLFKDLSPATIIAKSIQIWNNCLALIDSPSPSA >KJB61728 pep chromosome:Graimondii2_0_v6:9:51131328:51131340:-1 gene:B456_009G3765001 transcript:KJB61728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRS >KJB55716 pep chromosome:Graimondii2_0_v6:9:6614631:6615696:-1 gene:B456_009G090800 transcript:KJB55716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLALTRLVSSNILPSPLRVATAPSTSRIFNTNAATRDDRDLNDDRSVGVAPGDQKGKAKVKNGVLKSGPSFLRRRRRGMM >KJB57488 pep chromosome:Graimondii2_0_v6:9:12782284:12787173:-1 gene:B456_009G166500 transcript:KJB57488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLFFSFLFFTFPSPSLSLNQEGLYLLQVKASLSDPDSVLSSWNPRDPTPCNWRGVSCDSATGSVTSLDLSYANVAGPFPSLLCRLQNLSFVNFFYNNINSTIPSDISTCQNLVHLDLAQNLLTGELPHTLADLPNLKYLDLTGNNISGDFPESFGRFQKLEVLSLVYNLLDGTIPAFLGNISTLKMLNLSYNPLSPGRIPPELGNLTNLEILWLTECNLVGEIPDSLGRLKKLTDLDLALNHLVGNIPSSLTELASVVQIELYNNSLTGELPRGFSKLTNLRLLDASMNQLTGTIPDELTQLPLESLNLYQNNFEGTLPSSIADSPALYELRLFQNRLTGELPQNLGKNSPLIWLDVSSNQFTGPIPPSLCEKRSLEELLMIHNSFSGQIPSSLAECRSLNRIRLGYNKLSGDIPAGFWGLPHVYLLELVNNSFSGQIGKSIAKAANLSLLIISRNEFNGSLPEEIGLVDNLVQLSASGNKFGGSLPKSIVKLDGLGILDLHGNELEGELPSGIESLKKLNELNLADNKFSGKIPDGIGSLSVLNYLDLSNNQLTGRVPLGLQNLKLNQLNLSNNLLFGELPPLFDKEMYKYSFMGNPGLCGNISGLCVGRDGNKHKGYVWLLRSIFILAALVFVVGVVWFYFKYRSYKKAQAIDKSKWTLMSFHKLGFSEYEILDCLDEDNVIGRGSSGKVYKVVLSNGEAVAVKKLWRGVKKGCNSLDLEKGQAQDDGFQAEIETLGKIRHKNIVKLWCSCTTRDCKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRYKIIVDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKVVDAAGKGVKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRLPIDPEFGEKDLVRWVCTTLDQKGVDDVLDSKLDPCFKEEIYKILNIGLLCTSPLPINRPSMRRVVKMLQEAGADSLPKTAAKKDGKLTPYYYEDGSDQGSVA >KJB62157 pep chromosome:Graimondii2_0_v6:9:58696044:58699302:-1 gene:B456_009G403400 transcript:KJB62157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVHLGSPPLNQRTDKFRVQLASSAYRRHVPKTRLPQGEVRRKISAASARAHTRKSHQSSSFKLSPGFFKKILAVFFVGILAWIYLVIQPPPPKMCGSPNGPPVTAPRIRLKDGRHLAYKEHGVPRHVAKYKIIYVHGFRSGRQNVVVAATLSPEVIEELGVYIVSFDRPGYGESAPNPKQTVKSMAMDIEELADQLGLGSKFYVIGYSIGRQAVWSCLKYIPNSHLIDVFLPNFPANVLNEAFNQKSRKNQWIIRVAHYTPWLVYWWNTQKLFPASCVLAKNSDGLSSQDKEITSRIYSRKDSVSQLVTEQGEFESLHRDLIVTHGAWEFDPLDLGNPFANNDGFVHLWHGDEDKIVPITMNRYIEEQLPWIRYHEVSGGGHFFPLADGMSNAIIKAHLVGEKY >KJB55517 pep chromosome:Graimondii2_0_v6:9:5790739:5793070:-1 gene:B456_009G080400 transcript:KJB55517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KJB54520 pep chromosome:Graimondii2_0_v6:9:3952288:3953943:1 gene:B456_009G054500 transcript:KJB54520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKTMEVVKNLDIKRYMGRWYEIASFPSRFQPRNGVNTRATYTLNEDGTVHVLNETFTDGKRGFIEGTAYEADPQSDEAKLKVKFYVPPFLPIIPIVGDYWVLYLDDDYQYALIGQPSRNYLWVLCRQTHMDDEIYNQLVQKAKDEGYDVSKLHKTPQSDPPPEGDDAPKDTKGIWWIKSLLGM >KJB61839 pep chromosome:Graimondii2_0_v6:9:52311895:52313805:1 gene:B456_009G385400 transcript:KJB61839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATRDKTVTIGGVQNWEGESIMHPIEIQQLNISSCNYLRNLVDDNSSFKKVIGLRVWVCEGIECVVSLSSFASSSTHPFQSLEVLDLGDLPKLSALIMKDAGIGLATTSTSAPSATFSHLKEIRIYKCSGVKTLLPHWLLPNLQSLEEIHVGACSQLVEILGAATSKVEEKGSDALIKFHLSKLRVLRLNKLPNLKSICSKSGVMVCDSLQVIKVFGDCDKLKRIPPFVPLVGNGQPFAYAPPSLTITSDTEWWESLEWDDHPNFKNVLQPLWKLLSYPTYPRCWRLL >KJB55032 pep chromosome:Graimondii2_0_v6:9:4231847:4233876:1 gene:B456_009G058900 transcript:KJB55032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYALVARGSVVLAEFTAASTNASAIARQILEKTSGDNDINVSYSQDRYIFHVKRTDGLTVLCMADESAGRRIPFAFLEDMHQRFVRTYGGAVLSALPYGMNDEFSRVLSQQMEYYSNDPNADRINRLKGEMSQGCAHNNPPNCCLYRDCVCLQGAHVTILCLKRGQQLEIRTRSAARCFFFPFVSVFVCLFLICLGDICGMFLFQFPLQRVVFTFVH >KJB55080 pep chromosome:Graimondii2_0_v6:9:4480963:4482542:1 gene:B456_009G062400 transcript:KJB55080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDYDKEKVEALEAELDCLQKENEALGLMFETMSRKYIMLHQAYLHQKSNKRQRLEVPAAAGSKASQVFVKTDPRDQSLIVKDGFQWRKYGQKVTKDNPSPRAYFKCFMAPGCPVKKKVQRCVEDKSIVVATYEGQHNHDVDSTAAGKSLLASCSSAIFSGTRSIPFPPLDNPFRPTITLDLTLSGSDLQNHRNLPSFMHDYSTSNDDGNNNKKKIEDYVASFTKDPNFTLALAAAVARSIKTEHPKPSLP >KJB58813 pep chromosome:Graimondii2_0_v6:9:21160023:21160616:-1 gene:B456_009G256700 transcript:KJB58813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNQLSLVLVIFLSIFSLSSLPTNAIIPKANVSLPVPSLQLVENLCNGKAVENRRFCLKALSTPKIIAVMDTTQLGTLIMKLGASNAKTTLNVYNEIIKKPGSPQALKSLNCCVEAYKYAILSFEMVSSELVEDPQTVNYDVAVIGPEIANCEKELINAKVQAPRLLTGNRFMKYYVSMGYEITSTLELENPNEY >KJB61382 pep chromosome:Graimondii2_0_v6:9:46028382:46029660:-1 gene:B456_009G354400 transcript:KJB61382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNHQLFLLLTLILSILVFQSESRVKAKAPFGFSLELIHRDSPLSPFYNASLNSSEILTKNAIHSMERFKHFQSFINQKAVQSIVFPTENSYLTKLSFGTPPVEYFAIVDTGSDLTWMQCVPCTKCYNSQGSSLFDPQASSTYKAFSCDSQTCRAFGGEQCLKTNDCQYHVTYGDKSSTIGILSFDSINGQKTTFSTSIFGCGRNNQVQLGNPGIAGIVGLGGGPFSLVSQIGPQIDHRFSYCFVPRFAKSSGKLIFGQESIISHPKAISTPLVSEDPQTFYYLTLNGVSIGDKTAPQPKGIEPVQDPSGAFNLCYGADTNINVPEMVFHFSGADVRLQPVNTFMVNRDLVCMVIVPNSVNPFSVFGNYAQINFQVEYDLQKRVVSFAPTDCTQI >KJB59194 pep chromosome:Graimondii2_0_v6:9:19538769:19541833:-1 gene:B456_009G243800 transcript:KJB59194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIIDKHFTGFDRQCFVILHAQLLLTSNETDFTFINLDLFSVHLHWSTPAEHEGKKKQIKKTIAVPPLSSTRPPSLSSFPPPLMGSLFFLIGLLNFYPFSVSGVSFTEFISPNFTASHFQFINDNGAFLFSRNGTFKASIYNPKAQETDFYLCIIHVESNTIIWSANRDSPISSSGEMGLTIQGISIVNSDGHLKWSTPRLKATVHALVLTEMGNLVLLDQFNASLWESFRYPTDTIVFGQRLSVGANLSSAVSESNLSTGDYRFTVTASDAILQWYKQAFWKLSMDTKAYVDSSYDVEYMAINKTGLYLLGRNGSAVVIRVNLSTTNFRIAKLGSSGQFSVSSFSSGKWVQEFVGPIDNCQIPTNCGKMGLCTRESTSNSPTCSCPSGFRSASQNIGGCLPNDRSYSLPTACDSTKNVSVSESNSSDVSYIKLGSGMQYFSLVFSQPDIYGVNFSVCQDVCTGNCACLGVFHENSSGSCYVLENELGSIILSDTGANDLQGYVKVLVGPTSTDPDGDNGISNQRKKFPTAAAVLLPFTGVFLLAALVFFWSKRWKFNKTEELKLGHQNSVSSDDLDGFYIPGLPQKFNYEEIELATHNFKTQIGSGGFGAVYKGTLPDKTVVAVKKITNPGTQGKKEFCTEIAVIGNIHHVNLVKLRGFCAHGRQRFLVYEYMNRGSLDRSLFGIGPALEWQERFDIALGTARGLAYLHGGCEHKIIHCDVKPENILLHDHFQAKISDFGLSKLLTPEQSSLFTTMRGTRGYLAPEWLTNSAISEKTDVYSFGMVLLELVSGRKNCSLKSIRHTFNETNSGGANNSSTSSVAGLVYFPLFALEMHEQGRYLELADPRLEERVNNNEVEKLVRIALCCVHEEPALRPSMAAVVGMLEGGLPLGQPRVESLNFLRYYGRTFTEASMAEGDNRQSDAHFVFFQQDNATRSSKNTSGSNTCLSYISSQQISGPR >KJB53496 pep chromosome:Graimondii2_0_v6:9:34956368:34957794:1 gene:B456_009G334000 transcript:KJB53496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNTVPTGCKSNLMKRFSSVVGAMDCHLNVLLVAFSVNDLSILETVTVRARVLFYNRKQRRNWLLRRLL >KJB58584 pep chromosome:Graimondii2_0_v6:9:16857096:16859820:-1 gene:B456_009G216800 transcript:KJB58584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQENCVRVTRAAARKRAAESAGLIEGRVMNKKRVVLGDLSNLSNVAVSANKVQGKENKKKQAKGKLKSKTEVAKPTLEVKTDEVSVDKPVDIDAKSVDPQMCGYYVSDIYEYLRQMEADPKRRPLPDYIEKVQKDVSTNMRGILVDWLVEVADEYKLVSDTLYITVSYIDRYLSLNALNRQRLQLLGVSSMLIASKYEEINPPNVEDFCYITDNTYRKDEMVKMEADILKSLKFELGNPTVKTFLRRFTRVAQEDYKDSSLQLECLGCYLAELSLLDYGCVKFLPSMVAASVIFLARFIIQPKMHPWSSAVQEYSGYKASDLKECVLIIHDLYLSRRGGALQAVREKYKQHKFKCVATMPASPEIPASYFEDVQEVDVSYVEDVQSC >KJB60936 pep chromosome:Graimondii2_0_v6:9:34489948:34490695:1 gene:B456_009G332100 transcript:KJB60936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSEQQSHDQHRDPLSCVIYELSALVLNLLRSPPTPVPTKISAVGFAWLMLGISVSLMLCGSVTFFLGFMLMPWVLGLLMFFYVAGIVSTISMLGRSILCYAMAPPSPTKASNDMEVLCFASELDKCVLKI >KJB59864 pep chromosome:Graimondii2_0_v6:9:23259087:23262757:1 gene:B456_009G277400 transcript:KJB59864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQPSSRKEADMRLDSQVLDLETAVKDGILGGNCRVISTGFGLKKLDLKLMAEELESIDVPTVFICPISLEPMRDPVTLCTGQTYERSNIVKWFSLGHYTCPTTMQELWDDSVTPNKTLQQLIYSWFSQKYLAMKKRPEDVQGRVKEVLENLKKVKGQARVQALKELRQVVQAHGTALKTVVENGGIAFILSLLGPFTTHAVGSEVIGILVNLNLDLNSKSDLLQPAKISLIVDILNEGSIETKINCTRLIGMLMEGNDFASQNVASLSLLVGLLRLVKDKKHQSGVLSGLILLKTVCSNESVRNSFVNVGAVPQLVELMPGLNNECLELVLCILELLSSIPEGRLALKDCPNTIPNVVKLLMKASENCTQLALSILWSICKFAPEECASLAVDAGLAAKLLLVIQSGYDPVLKQRSAELLKLCSLNYTDNIFISKCKLTRTIE >KJB53907 pep chromosome:Graimondii2_0_v6:9:864787:867950:-1 gene:B456_009G010800 transcript:KJB53907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVFILIGVLLQLFTATTGIGVNYGMTADNLPPPSVVANFLKTQTIFDSVKLFDANPDVLRAFANTGISVSVTIGNGDIPGLTNTVTARRWVADHISPFHPQTKIKYICVGTEVLFSKNDVWINNLVPAMRSLHYALNKAGFQDIKVTSAHALNIFRRDTIPSLMRFMVGYDQSFFAPLLQFHQRTKSPFMVNPYPYFSPDITRRLNYALFKPNSGIYDKYTKKNYTNMFDSILDSTYTAMKALGYGNVEIAIGETGWPTQGDATSPFATMENAISYNGHVIKEIVSGKGTPLMPNRTFETYMFALFNENQKPGPLVEKYWGMFNPDLTPIYDVGLLRRGQSAPTPATPAPSSSKNFCVPKVDVSNTQLQSNLDYACGQEGIDCTPIQPGGACYEPNTLRAHAAFAMNTYYRTKGESYFSCDFAGTGQITTVDPSYPNCRFV >KJB54161 pep chromosome:Graimondii2_0_v6:9:5925502:5925990:-1 gene:B456_009G081700 transcript:KJB54161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLLTKAPPVKRRTAALSISKDSKMAKKGKKCGEVMGVTAAECTAVCCCCPCSIIELLVLAFYKIPARLCKKVLRWKKRHLMKKKKKNQQDLLGPTKCRPTGEELEAELDHMMGKGEPCDCGVDNHDDSCARAVDFEQKMWDRFRGAGFWRSPSQREETPN >KJB63182 pep chromosome:Graimondii2_0_v6:9:53984179:53984752:1 gene:B456_009G393100 transcript:KJB63182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QGKQMPETRSFFAAGELDGRIIVAGGHDEHKNALRTAWEYDELKPMSEEQDECQGVVIGSEFWVVSGYRTDNQGQFEGSAEVMELETGQWVRVEEAWKASQCPRSCVGVGKERLFSWADCDSSIRVGVCSAPLGEWTFVSGSAHQGGPTGFFLVDQQTGKCNTIDEISQQFSGFIQSGCCVDI >KJB57994 pep chromosome:Graimondii2_0_v6:9:14553243:14553545:1 gene:B456_009G189200 transcript:KJB57994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSKKLEVALARKWKTMAGFGRRTISINRKPARAGHFVVYSSDKRRFVVPLAYLRTKVFQELLRLSEEEFGMPKDGPITLPCDAAVLEYVLSLLRSHVS >KJB56127 pep chromosome:Graimondii2_0_v6:9:7764209:7765100:-1 gene:B456_009G107100 transcript:KJB56127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLSFLLHVSCLALLSIANGEGRAPHGIAYENPVAFSPSAYEFFHPKAQVPDTKNPCAASSCSPLPIAAQMGDGTTALESKVSTQKESGHQLGAGGIVGLVFGLAFAVLLAMGVYYVLNIRRSNANKANYVQSNA >KJB61410 pep chromosome:Graimondii2_0_v6:9:46435400:46435757:1 gene:B456_009G3555002 transcript:KJB61410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAGEEKKKISIWDLPDVPMGQLPPHLELQRSRVSCNKDAPIH >KJB57445 pep chromosome:Graimondii2_0_v6:9:12617772:12618402:-1 gene:B456_009G164600 transcript:KJB57445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAALSISENDNKDNISPFSSKTLLSLLPKSSSSTKKPRPRKPLQDITSLLLPQISSTLPPPIAANPVSPQAMVYQPQWRKRRAADGQQSNCMKSRFVYNCILTVHCLHSFLNYFISLIEFSFFDLFIHLLMD >KJB61530 pep chromosome:Graimondii2_0_v6:9:48669978:48670541:-1 gene:B456_009G364600 transcript:KJB61530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVEGLIKIKTSKLISFSEQQLLDCSTNGGNQDCKGGWMMNAFDYISPNQGITSEESYPYQQMQETCDTQINKVATISGYQMVPKNDKKALLKAVKNQPVSVALEVFKGDYENSLTHAVTIVGYGTSEEGLNYFFIKNSWGETWGENGYMKIQRNVNMKGGLCGIAMKASYPIA >KJB62666 pep chromosome:Graimondii2_0_v6:9:67333157:67335396:-1 gene:B456_009G429000 transcript:KJB62666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PFFQLTPPSTLGLNSLTAPTPPPPPRTIKAAVLDSDYSPRRSSSNEPRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKYAFITTTYTGFQCTASEETSEKFKGLPVVLWVLPGSYIEVKNKDYGGDKYINGEITPCKYPTYQPKPRKESKSVSKRYEQLKDGPPAGQYRPKQAASPSESSS >KJB60934 pep chromosome:Graimondii2_0_v6:9:34466366:34467863:1 gene:B456_009G331800 transcript:KJB60934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKNKGKVYPSPSPPSPSPSPLPSSSGEDYLSILKLLPAAILALASLLSVEDKEVLAYMITRSLKTTTTVAAGAVSASASNNPSLTSQDFPSSKKASPKKPPPPTVSKLTQNRGASTHKPPVFDCDCFDCYTSYWFRWDSSPNLELIHQIIEAFEDHLTKGESQKPSKKNARPKRRDCNSRTATPASGSPVQPDNEVSGLENPTMEATLFSDDVISMEKEEVDGVEEVTEVAKDADGEVRAAATATATSNHKGLARKMLPDVLELFNSRLWRLWNPNV >KJB56069 pep chromosome:Graimondii2_0_v6:9:7636924:7638698:1 gene:B456_009G105300 transcript:KJB56069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTERVHPGDSPPTSGEQSVPKPATSSPEKPAPQPGTYVIQIPKDQIYRVPPPENAHRYAHLSKRKPRRSTSRSCCCCLLTTILALLLAAAIAAAVIYFVFKPESPNYSVESVAIKGFNLTSPSPLSPEFDVTVRAHNPNDKIGIYYEKGSSVKVYYGDINLCNGALPAFFQPTNNVTLFKTALKGSEIELNNAVLRTLSDQQNKGAVPFSLKLRAPVKIKVGSIKTWKITVKVTCKITVDKLTAASKVVSNDCDYGVDLW >KJB61812 pep chromosome:Graimondii2_0_v6:9:51953274:51958986:1 gene:B456_009G382800 transcript:KJB61812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTNISETVPFLPEMDDNNDEDGRWWKNVLDLEEAKKQVLFSLPMIVTNVVYYSITLVFVMFAGHLGELQLAGATLANSWATVTGFAFMTGLSGALETLCGQGFGAKFYRRLGIYLQSSCIISCSFAILISILWFFTDPILIFLQQDAEISKMAALYIKYLIPGLFAYGLVQNILRFLQSQSILMPLVWFSVLPLALHLGIVYALVNWTDLGFKGAPLAASISLWISLVLLSSYVVFAQRFEETWSGLSSESFRLVFTNLKLAIPSATIVCLEYWSFELLVLLAGLMPNSEVTTSLIAMCVNTESIAYMITYGLSAAASTRVSNELGAENPRKAKTAMVVSLKLSILLALTVVVALAFGHNIWAAFFTNTASIINQFASITPFLLISITIDSFQGILSGVARGSGWQVLVVWANLGTFYLIGMPVAGLLAFKFKLYAKGLWVGLICGLPCQATALLLITLCRKWTKIELS >KJB62799 pep chromosome:Graimondii2_0_v6:9:68714242:68714610:-1 gene:B456_009G436800 transcript:KJB62799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSSRGCLRKTEMGGVLGDKNGCVLALCSGPMGEGYAANAKLLAIENGLEIFANSGWVGCKHLVIESDPMLSISWCSNPCIQPWNFAKVFKRVDTLVNAIGSINFMYVSRESNSWANELAK >KJB60221 pep chromosome:Graimondii2_0_v6:9:25655861:25657486:1 gene:B456_009G294600 transcript:KJB60221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKKWSELEEQTLLSKYSDLLNSGTLSKLKTREKKFKPIADHVNSVHHLQDPITFPFKWSWRDVSIKVQNMRHQYLGVKQKIRISKDEFNWKDGENHWENFLKYKEVFGDVELEVKGKKGIESNGNGSDLFEDCCDLGFAIDSEDFEEEEEDDGVGGDGDGDDGGDEKLGPEGEFGGEREFGDIGISRVRKSSKGVGGSKGFGLLGTQVLELRDGVVRREEKRKEREFAREKVEMEREHKRREVEFGKEKLWSEREERMEDWEMELEERELFWARKEGERRLRLEKELDEERRKRREMEEKLEEEAMEWRERLLGLQIEHEKAMMQMHMEACQNQMQILGVMARLFCQFYGSANDGLAAGLGGLPPQVLQNLQHPGGLGDNGKPDSSSPSEFI >KJB61915 pep chromosome:Graimondii2_0_v6:9:53031071:53031655:1 gene:B456_009G390900 transcript:KJB61915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKIRFKVLLNKITLLWNPKCLVQLMDLENNFFLVRFQDENNYNKALIGGTMSNLWKMSDYSGDRQIVRSMVKLDVHTDCARRGRFALLAICVDLRKRLVSKVRINGRLQQVEYEALPNIFFKCGLYGHEADLCSGVKTTSPVADSDFASSVMEKSGLE >KJB59462 pep chromosome:Graimondii2_0_v6:9:21090098:21090691:-1 gene:B456_009G255700 transcript:KJB59462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPNQLCLVLAIFLSIFSISSMTTSAIIPKVNVSPQMPSSQLVENFCNGKAIKNRRFCQKALPTPEVIAAMDTTQLGTLIMKLGVANAKATLNVYNEIIKKLGSPQALKALNCCVEAYEYAILSFEMVSSELVEDSQTANYDIAVIGPRIANCKKELINAKVQAPRLLAGNRFIRYHVSMGYEITSTLELENRNEY >KJB61768 pep chromosome:Graimondii2_0_v6:9:51431822:51432886:-1 gene:B456_009G379400 transcript:KJB61768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLSVLNLAFTKIESLPNSISELKNLTTLLLRGCEEIRDLPCLSMLQELKKLDLSRTQIEEVPEGMDMLIKLRYLDLSVRTLKEIPAGLLPKLVHLQHLGFHESNEKTSLKAEEMEPLKKLECFTGRFEDIGELNKFISSMQQSKKNLIKYYLQVGSSLMGSTTDKVVTIGGVQNWEGELIMHPIEIQELIILRCDYLRNLVDDNSSFKNAIDLRICSIWGCKGIECVVPLSSFASSSAHPFQSLEVLNLQWLPKLSALIMNDEGIGSATTSTLVPSATFSHLKKIFVQGCSSMKTLLPHWLLPNLQNLEDIWVEKCDEIVEILGAATSEVEEKGSDALIQFHLPKLRKLSFR >KJB53436 pep chromosome:Graimondii2_0_v6:9:25970137:25970495:1 gene:B456_009G297200 transcript:KJB53436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWPRYPPCASGTCTDVVCCAHGHKLRWPELLGENGAAAKATIEKENPEVTAEIVTPGRVGPPNFCCNRVFVIVDTHGNVTNIPTIG >KJB59592 pep chromosome:Graimondii2_0_v6:9:21684186:21684589:1 gene:B456_009G262400 transcript:KJB59592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVWPAYFILLCLFTAAFYLLSSSSSSSSSSSSLILLTGRRSLEMSLPHHKYIYPKAKVVDGSSWEGSNGHMDNEIVNYHLDYHGVTTHPTPTPKHPKP >KJB61150 pep chromosome:Graimondii2_0_v6:9:40496449:40497508:-1 gene:B456_009G343400 transcript:KJB61150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIALVVFSLALVLSIIVGDAADIKLTPEQEKKIGDELEDAFLIGVAKGLSQSGVKISPKQEEKILSEMLIHLVKEFLDPIQPGIAEKAMESSKAKTMLAELIQKLRIKRNESENKGRKRK >KJB61849 pep chromosome:Graimondii2_0_v6:9:52396259:52397548:1 gene:B456_009G3862001 transcript:KJB61849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRYLDLRVRTLKEIPAGLLPKLVHLQHLGFHENNEKTSLKAEEMEPLKKLEYLTGHFEDISEFNKFISSMQQSKKNLIKYFLQVGSYNVCIGREKTVTIGGVQNWEGELIMHPIEIRDLTIIRCDYFRNLVDDDSSFKNAIDLRVCRIWGCEGIECVVSLSSFASSSAHLFQSLEVLYLRDLPKLSALIMNDAGIGSATTSTLAPSATFSHLKEIMIVNCSSMKTLLPHWLLPNLQNLEEIDVDACSQLVEILGAATSEVEEKGSDALIKFHLPKLRELSFSELPNLKSICSKSGVIVCDSLQLIQVAGDCYKLKRIPPFVPLVGNGQPFAYAPPSLTIRSWKEWWELLEWDDHPNFKNVLRFNPVEDKSIFVKMLKRKIKGLVGKK >KJB61093 pep chromosome:Graimondii2_0_v6:9:39297799:39301150:-1 gene:B456_009G340400 transcript:KJB61093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVQFLVLLFSLFQIHINSCMCQHMGELDSTTDKAALLSFKLQLNDPLNSLSGWTRNSTSHCTWFGISCTSKGSRVESLQLSSLGLVGPLPPSLSNLTSLRTLNLSHNLFHGQFQLEFSKLSHLRHIDLRNNSINGTLPVALSHCLNLKTLRLQGNKFSGNLPPQLGNFQRLSILSISINNLTGSIPPTFGNLSSLTYLALARNMLIGEIPSEFGQLKKLQHIQLSENHLSGQIPSSIFNISSLVFLSVTHNNLSGSLPIPNDNHRFQALPNVREVYLALNWFEGILPAYLSNASNIECLDLSRNRFHGPIPLFGNMRKLIMLDLGQNLFSSSTARNVHFIDSLSNCTQLEYLRINSNRLSGEFPSVANLSTNIQHLCISDNFLTGGFPQGMDKFQNLISLSLEMNSLTGDIPRSIAKLGNLQNFLVHQNIFSGEIPEIFANLTRVSSIVMRNNQFSGKIPTSLGNCQQLQTLDLSWNRLNGSVPEEVFKLSGLNYLVLEHNMLSGPLPSDVGNLKQLQVIDVSDNNLFGTLTSSISGCSSLLYLNMSRNNLSGEIPSSLANILPLEVLDLSSNNLSGPIPQELANLKSLKFLNLSSNYLEGDVPTGNIFSNLSSSSIRGNDDLCSADKEIARNLQLHQCKTKGRQSNHLIKILVPISGATLFICLVFCFVWALISRRKKNRVKGSQSLLSLKGLPPLISYSDIQIATSHFTAENLLGKGGFGSVYKGTFNTGDDGASINNVTFAVKVLDLQQTKAVQSFLAECEALRNLRHRNLVKIITSCSSIDHKRDEFKALVYEFMPNGNLDKWLYPEDEESGFCLTLMQRLNISIDVASAMDYLHNDCDPAVVHCDLKPANVLLDENMAAHVGDFGLARFLCQRPSQRENSTMGLKGSVGYIAPEYGLGSKASTSGDAYSFGVLVLEMFIAKKPTDEMFKEGLSLNKFASAVDRNQVFETVDPRILKNHGGSEQSSSNPSSSNDSSNGGTSSTDSDHICRKYEECLAAVIRVGLSCAAQSPKDRLPMRETLTKLHDIKKIFLN >KJB57137 pep chromosome:Graimondii2_0_v6:9:11369611:11370855:1 gene:B456_009G149800 transcript:KJB57137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTIPTNLSKSILKPKLETQVIPKASSRSLIVCSSSNPSSDKSASPLQAFSAALALSSILLSAPLPAVADIAGLTPCKESKQFAKREKQQIKKLESSLKLYGPDSAPALAIKATIEKTKRRFDNYGKYGLLCGSDGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISDDKKPAMKEIIIDVPLATGLIFRGFIWPVAAYRELINGDLVVKDV >KJB59197 pep chromosome:Graimondii2_0_v6:9:19809117:19809813:-1 gene:B456_009G246100 transcript:KJB59197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYTGIPLDYLRTVAVPYVLDIARAAHGAPVNIGCEHIYLKIEVNFDALADDEAYEWMIIGDADEDVSEVVEESLDAFSFKPATCSSIEDLKRFKWGDEEDLQPLKKRGRFLEGPSSSKECMICLDEFSDGNEIALMPCQHVYHDACIVKWLKASHLCPLCRYQMPS >KJB62543 pep chromosome:Graimondii2_0_v6:9:65907776:65909043:1 gene:B456_009G421900 transcript:KJB62543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 17 [Source:Projected from Arabidopsis thaliana (AT3G58090) UniProtKB/Swiss-Prot;Acc:F4J4N3] MEKKSEAESEPGVYEIPGEPAVVINGVPKENPNCITLVPSKSNKEDNEPQKDKGCGEWLEGREVQKLFGERYYRGSVIQFDKETGWYRVEYEDGDSEDLDWNELEELLLPVDIAVPLKTLALKLLNKDQKDVQLVLPASEVPKPKHVGSNKGKKTKAR >KJB53477 pep chromosome:Graimondii2_0_v6:9:16529462:16529945:1 gene:B456_009G213000 transcript:KJB53477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPEGSSSYQSSKTLHAFGLSTESRTNLTCVILRSRHHNCIASTLTHNDISYTQGMFCKTTLCTDALPAAF >KJB55797 pep chromosome:Graimondii2_0_v6:9:6912816:6918238:-1 gene:B456_009G094900 transcript:KJB55797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQASYRANMQARFKPEKPNLSYADLHQKIADGGKGVSVESSLKYKKQNADIKTNEEDELVKYMSKLPSYLERGVKPQEKVLNVGVLEWGRLEKWQCSHKQILHRSSISSPSSNTSSSFSTDESSALSSIGHSLSPARQRLRRPSLQSHLMSVSAEGHLPFDKPTRETAGKFQDPKASESSSFNARGKFLREDKSFCKTNPRFKLEKCMRREMVPKIDSAGSTVPNGVKDNVASYDKVKMKNQVGEVMKKAEKLQEVLPIGAKLDVAEKGNAVVFLLPRDLPKTNHSGAGNLSNLTMNSSKKGAEPSQRTFLQTSKEVHHAAVSSNFHLSGLLPCELDGSRHSQIKVTGLDANGNNVISERSRSVPRATRIESNSSVSRNLEERKPNATLVRTSANKACRGSDLKGSKVASEKVRSTSPFRRFSFSMGKTGKISGPKEGSSIPQAGLTCSSVKSDLQNPVSSGVDTSCSDKLNAKSRARSSPLRRLLDPLLKPKAVNCRNFTNQLQDSILAESACKSSEQLRHSALSMQSAKVKSDTASHCANNANDSAQSKKNESSPVQALLRVQVKNGLPLFTFAVDNESNILAATVKMLSASGKGDYGCIYTFFAIQEIRKKNGRWINQGKGKGQDYVPNVVAQMKVSGSEFSHLSRPYVDQFSIREFVLLTLDVGQGNPQASDFQPNEEQAAIVVKIPKRNKRSSIRDGYLIDKRNNLHEAPLKECLPEAKPDFDSGKKCPFMGSEDISPTVILPSGVHSLPNKGEPSSLIQRWKSGGACDCGGWDLGCKLRILSNKSQFSQWSGSLKSSPVSNQFELFFQGGEQDKKPFFSLAPFRDGIYSVEFNSSLSHMQAFSICIAVWDSRKHCELSESEPFTSSQERTLGETILNERINAPNPLQGETAARYVQYPPVSPVGRV >KJB57433 pep chromosome:Graimondii2_0_v6:9:12569927:12571857:1 gene:B456_009G164000 transcript:KJB57433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYSLCLSKQQLFGILLILTFFSSSSAKRCYRSIISFGDSLADTGNWVILLQQNKPTPAFPPFGGTYFNRPTGRCCDGRLIVDFFAQKLGLPLVPPYFRDANCSNCRKFQKGVNFAVVGATALDNAYLARKGIINELTNVSLGVQLGLLKTLLPSLCSSSSACNEFLNNSLILMGEIGGNEFNLAFIQGISSEVIGGLVPEVIKAISAAIEELIELGAMTFVVPGTIPLGCLPVLLTRFRTSNKQAYDRYGCLIWLNDFAHYYNEYLKKELESMRRLHPRINIIYADYYQASMPLYLSPRSFGFKSTLTACCGGEGPYNVNVTLSCGDPGTKSCDDPSSYVNWDGAHFTDEAHRVISNGLLDGSCTIPRFEFPSCAS >KJB60432 pep chromosome:Graimondii2_0_v6:9:27633493:27634204:-1 gene:B456_009G305100 transcript:KJB60432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTKFSTHRERATNPLVWCAAIICTILTVAVIIAGIVTFIGYLVIHPRVPYVSVMDAHLDHIQIDYAGILEIQVTILIRAQNGNEKAHASFSDSSYSLSLNGEVVAQLVAPPFEVGKNSSVDFNYVVPSSPIPLRPDQAEDVDTGLKKDLITFDLKGSTWVRWRIGHLASVKFLCRLECRLRFHPLNGTYIPSRCSSKAK >KJB67811 pep chromosome:Graimondii2_0_v6:10:57852980:57855527:-1 gene:B456_010G212400 transcript:KJB67811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEIIGEGEILGGGEMPGGGEVLGGGEILERTRSLAAPFVFFIIISFQFAAKRLQDLKRGASKTDKEMQLRAEIKQLLKEAASYSQPSTFAQAAKLRRMAAAKEKELANYQAHLTQEMKLSYDLYLKVLFIVKVIAHVVIILWFWSSPVAYVSQHLVQPFGRLLSWKIGGSSDNNVRVGIIPWLILCSRVSKFVC >KJB67813 pep chromosome:Graimondii2_0_v6:10:57853872:57855321:-1 gene:B456_010G212400 transcript:KJB67813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEIIGEGEILGGGEMPGGGEVLGGGEILERTRSLAAPFVFFIIISFQFAAKRLQDLKRGASKTDKEMQLRAEIKQLLKEAASYSQPSTFAQAAKLRRMAAAKEKELANYQAHLTQEMKLSYDLYLKVLFIVKVIAHVVIILWFWSSPVAYVSQHLVQPFGNAR >KJB67810 pep chromosome:Graimondii2_0_v6:10:57853156:57856142:-1 gene:B456_010G212400 transcript:KJB67810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEIIGEGEILGGGEMPGGGEVLGGGEILERTRSLAAPFVFFIIISFQFAAKRLQDLKRGASKTDKEMQLRAEIKQLLKEAASYSQPSTFAQAAKLRRMAAAKEKELANYQAHLTQEMKLSYDLYLKVLFIVKVIAHVVIILWFWSSPVAYVSQHLVQPFGRLLSWKIGGSSDNNVRVGIIPWLILCSRVSKFVC >KJB67812 pep chromosome:Graimondii2_0_v6:10:57853055:57856207:-1 gene:B456_010G212400 transcript:KJB67812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEIIGEGEILGGGEMPGGGEVLGGGEILERTRSLAAPFVFFIIISFQFAAKRLQDLKRGASKTDKEMQLRAEIKQLLKEAASYSQPSTFAQAAKLRRMAAAKEKELANCYSSCCDNPLVLELPCCLRISTSCATLWEAVILEDWGFFRQQCPGWDYTLVDIMFQS >KJB67799 pep chromosome:Graimondii2_0_v6:10:57813308:57815622:1 gene:B456_010G211800 transcript:KJB67799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNENENENESQDESINEGLKAHCDGCGELLSAPCFTCIHCNYHLHKQCAEAPLYIPNHPLHPRHSDYGLFLRQRPLPHNNLVYGCALCKEKRNMFFYQCRINWRCSFSIDIKCAQLSSSFKFSQLSKHDIHKHPLTFIDVPKRFNCSWCHEPLTDAIYLCPDCPFIIHKKCLDELPTEIDHPTHHLHPLILNRSDSDYLCNLCQKQHSGPFYDCSLCHFNINAECAWLRSIVEDKSCHQHPLTLFWRQDSFICDACGTEGNYISYICSTCCTTLTRHDCKICFNEVKLDRGSYSCRKPGCNYVVHVNCVLEYEKLYKVIEEEKQCEELEEKSMHHQHCLVLADKKEEEIDRKCDGCMLPISNIFYYCSECPFFLHKTCAELPISKQHRFHQSNATLNFHSFMKCYFCSQYSSGFFYRIRGYMYMCLRCAKVSDIIECEGHQHFLFFDFKCNEECNDFGERCRNGAFRCGKCRFALDFGCLTLPHSALHKIDEHKLKLTYHDDKEQSYCDICEQYRDPSLWYYSCSICDTFAHPKCVFGQFPFLKDGTIIPSHLYFNHHHHGLKFFRKVEGFPECFKCGKFCQEEIFKCKESTCNYIVHCKCLDFYI >KJB68582 pep chromosome:Graimondii2_0_v6:10:61833708:61834313:1 gene:B456_010G2520002 transcript:KJB68582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHASNNFLLSSSSASNQIRAAISIPKLPSVRFSAPKLRQPKTQSEQLNSKDGFINTIPIQNNVHSTPLVQQTSSVSMATFQLYAILEAIADRVEMHNNIGEQRDNWNTLLLNSINMITLTAATMAGVAAATGVGAGVSAMGLKLASSVMFSAATGMLVLMNKIQPSQLVEEQRNATRLFKQLQSQIKTLLAVGSPCQDD >KJB64750 pep chromosome:Graimondii2_0_v6:10:7941558:7949449:1 gene:B456_010G063300 transcript:KJB64750 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MRKKTRTVGKYEVGRTIGQGTFAKVKFARNSVTGESVALKVLPKATILKHRMVDQIKREISIMKIVRHPNIVRLHEVLASRTKIYIILEFISGGELFDKIVHCGRLPENECRRYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSYGDLKVSDFGLSALLQQGAGLLHTTCGTPNYVAPEVLSYQGYDGAAADIWSCGVILFFIMAGYLPFYEIDIPTLYKKISAGQFSSPFWFSPEANSLIKKILDPNPKTRIQIEGIKKHPWFKKNYLPVKPSDEEVNLDDVRAVFDDIEDQYVSEQSVAKEGGPLMMNAFEMITLSQGLNLSSLFDRQQDYVKRQTRFVSRKPPRDIISSVEAVAESMGLKVHTRNYKTRLERISANKVGEFAVVLEVFEVAPSLFMTDVRKAAGDTLEYHKFYKNFCTKLENIIWKPTEDVANPSVLRSLTC >KJB64756 pep chromosome:Graimondii2_0_v6:10:7941731:7949412:1 gene:B456_010G063300 transcript:KJB64756 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MRKKTRTVGKYEVGRTIGQGTFAKVKFARNSVTGESVALKVLPKATILKHRMVDQIKREISIMKIVRHPNIVRLHEVLASRTKIYIILEFISGGELFDKIVHCGRLPENECRRYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSYGDLKVSDFGLSALLQQGAGLLHTTCGTPNYVAPEVLSYQGYDGAAADIWSCGVILFFIMAGYLPFYEIDIPTLYKKRIQIEGIKKHPWFKKNYLPVKPSDEEVNLDDVRAVFDDIEDQYVSEQSVAKEGGPLMMNAFEMITLSQGLNLSSLFDRQQDYVKRQTRFVSRKPPRDIISSVEAVAESMGLKVHTRNYKTRLERISANKVGEFAVVLEVFEVAPSLFMTDVRKAAGDTLEYHKFYKNFCTKLENIIWKPTEDVANPSVLRSLTC >KJB64749 pep chromosome:Graimondii2_0_v6:10:7941626:7949399:1 gene:B456_010G063300 transcript:KJB64749 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MRKKTRTVGKYEVGRTIGQGTFAKVKFARNSVTGESVALKVLPKATILKHRMVDQIKREISIMKIVRHPNIVRLHEVLASRTKIYIILEFISGGELFDKIVHCGRLPENECRRYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSYGDLKVSDFGLSALLQQGAGLLHTTCGTPNYVAPEVLSYQGYDGAAADIWSCGVILFFIMAGYLPFYEIDIPTLYKKISAGQFSSPFWFSPEANSLIKKILDPNPKTRIQIEGIKKHPWFKKNYLPVKPSDEEVNLDDVRAVFDDIEDQYVSEQSVAKEGGPLMMNAFEMITLSQGLNLSSLFDRQQDYVKRQTRFVSRKPPRDIISSVEAVAESMGLKVHTRNYKTRLERISANKVGEFAVVLEVFEVAPSLFMTDVRKAAGDTLEYHKFYKNFCTKLENIIWKPTEDVANPSVLRSLTC >KJB64755 pep chromosome:Graimondii2_0_v6:10:7941731:7949320:1 gene:B456_010G063300 transcript:KJB64755 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MRKKTRTVGKYEVGRTIGQGTFAKVKFARNSVTGESVALKVLPKATILKHRMVDQIKREISIMKIVRHPNIVRLHEVLASRTKIYIILEFISGGELFDKIVHCGRLPENECRRYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSYGDLKVSDFGLSALLQQGAGLLHTTCGTPNYVAPEVLSYQGYDGAAADIWSCGVILFFIMAGYLPFYEIDIPTLYKKISAGQFSSPFWFSPEANSLIKKILDPNPKTRIQIEGIKKHPWFKKNYLPVKPSDEEVNLDDVRAVFDDIEDQYVSEQSVAKEGGPLMMNAFEMITLSQGLNLSSLFDRQQDYVKRQTRFVSRKPPRDIISSVEAVAESMGLKVHTRNYKTRLERISANKVGEFAVVLEFYKSYIGF >KJB64757 pep chromosome:Graimondii2_0_v6:10:7941731:7949320:1 gene:B456_010G063300 transcript:KJB64757 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MKIVRHPNIVRLHEVLASRTKIYIILEFISGGELFDKIVHCGRLPENECRRYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSYGDLKVSDFGLSALLQQGAGLLHTTCGTPNYVAPEVLSYQGYDGAAADIWSCGVILFFIMAGYLPFYEIDIPTLYKKISAGQFSSPFWFSPEANSLIKKILDPNPKTRIQIEGIKKHPWFKKNYLPVKPSDEEVNLDDVRAVFDDIEDQYVSEQSVAKEGGPLMMNAFEMITLSQGLNLSSLFDRQQDYVKRQTRFVSRKPPRDIISSVEAVAESMGLKVHTRNYKTRLERISANKVGEFAVVLEVFEVAPSLFMTDVRKAAGDTLEYHKFYKNFCTKLENIIWKPTEDVANPSVLRSLTC >KJB64754 pep chromosome:Graimondii2_0_v6:10:7941731:7947709:1 gene:B456_010G063300 transcript:KJB64754 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MRKKTRTVGKYEVGRTIGQGTFAKVKFARNSVTGESVALKVLPKATILKHRMVDQIKREISIMKIVRHPNIVRLHEVLASRTKIYIILEFISGGELFDKIVHCGRLPENECRRYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSYGDLKVSDFGLSALLQQGAGLLHTTCGTPNYVAPEVLSYQGYDGAAADIWSCGVILFFIMAGYLPFYEIDIPTLYKKISAGQFSSPFWFSPEANSLIKKILDPNPKTRIQIEGIKKHPWFKKNYLPVKPSDEEVNLDDVRAVFDDIEDQYVSEQSVAKEGGPLMMNAFEMITLSQGLNLSSLFDRQQDYVKRQTRFVSRKPPRDIISSVEAVAESMGLKVHTRNYKV >KJB64759 pep chromosome:Graimondii2_0_v6:10:7942172:7947999:1 gene:B456_010G063300 transcript:KJB64759 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MRKKTRTVGKYEVGRTIGQGTFAKVKFARNSVTGESVALKVLPKATILKHRMVDQIKREISIMKIVRHPNIVRLHEVLASRTKIYIILEFISGGELFDKIVHCGRLPENECRRYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSYGDLKVSDFGLSALLQQGAGLLHTTCGTPNYVAPEVLSYQGYDGAAADIWSCGVILFFIMAGYLPFYEIDIPTLYKKISAGQFSSPFWFSPEANSLIKKILDPNPKTRIQIEGIKKHPWFKKNYLPVKPSDEEVNLDDVRAVFDDIEDQYVSEQSVAKEGGPLMMNAFEMITLSQGLNLSSLFDRQQDYVKRQTRFVSRKPPRDIISSVEAVAESMGLKVHTRNYKFVLILLTSDKT >KJB64758 pep chromosome:Graimondii2_0_v6:10:7941731:7949320:1 gene:B456_010G063300 transcript:KJB64758 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MRKKTRTVGKYEVGRTIGQGTFAKVKFARNSVTGESVALKVLPKATILKHRMVDQIKREISIMKIVRHPNIVRLHEVLASRTKIYIILEFISGGELFDKIVHCGRLPENECRRYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSYGDLKVSDFGLSALLQQGAGLLHTTCGTPNYVAPEVLSYQGYDGAAADIWSCGVILFFIMAGYLPFYEIDIPTLYKKISAGQFSSPFWFSPEANSLIKKILDPNPKTRIQIEGIKKHPWFKKNYLPVKPSDEEVNLDDVRAVFDDIEDQYVSEQSVAKEGGPLMMNAFEMITLSQGLNLSSLFDRQQDYVKRQTRFVSRKPPRDIISSVEAVAESMGLKVHTRNYKTRLERISANKVGEFAVVLEVFEVAPSLFMTDVRKAAGDTLEYHKQFYKNFCTKLENIIWKPTEDVANPSVLRSLTC >KJB64752 pep chromosome:Graimondii2_0_v6:10:7941707:7949320:1 gene:B456_010G063300 transcript:KJB64752 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MRKKTRTVGKYEVGRTIGQGTFAKVKFARNSVTGESVALKVLPKATILKHRMVDQIKREISIMKIVRHPNIVRLHEVLASRTKIYIILEFISGGELFDKIVHCGRLPENECRRYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSYGDLKVSDFGLSALLQQGAGLLHTTCGTPNYVAPEVLSYQGYDGAAADIWSCGVILFFIMAGYLPFYEIDIPTLYKKISAGQFSSPFWFSPEANSLIKKILDPNPKTRIQIEGIKKHPWFKKNYLPVKPSDEEVNLDDVRAVFDDIEDQYVSEQSVAKEGGPLMMNAFEMITLSQGLNLSSLFDRQQDYVKRQTRFVSRKPPRDIISSVEAVAESMGLKVHTRNYKTRLERISANKVGEFAVVLEVFEVAPSLFMTDVRKAAGDTLEYHKFYKNFCTKLENIIWKPTEDVANPSVLRSLTC >KJB64751 pep chromosome:Graimondii2_0_v6:10:7941679:7949320:1 gene:B456_010G063300 transcript:KJB64751 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MRKKTRTVGKYEVGRTIGQGTFAKVKFARNSVTGESVALKVLPKATILKHRMVDQIKREISIMKIVRHPNIVRLHEVLASRTKIYIILEFISGGELFDKIVHCGRLPENECRRYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSYGDLKVSDFGLSALLQQQGAGLLHTTCGTPNYVAPEVLSYQGYDGAAADIWSCGVILFFIMAGYLPFYEIDIPTLYKKISAGQFSSPFWFSPEANSLIKKILDPNPKTRIQIEGIKKHPWFKKNYLPVKPSDEEVNLDDVRAVFDDIEDQYVSEQSVAKEGGPLMMNAFEMITLSQGLNLSSLFDRQQDYVKRQTRFVSRKPPRDIISSVEAVAESMGLKVHTRNYKTRLERISANKVGEFAVVLEVFEVAPSLFMTDVRKAAGDTLEYHKFYKNFCTKLENIIWKPTEDVANPSVLRSLTC >KJB64753 pep chromosome:Graimondii2_0_v6:10:7941731:7947171:1 gene:B456_010G063300 transcript:KJB64753 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MRKKTRTVGKYEVGRTIGQGTFAKVKFARNSVTGESVALKVLPKATILKHRMVDQIKREISIMKIVRHPNIVRLHEVLASRTKIYIILEFISGGELFDKIVHCGRLPENECRRYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSYGDLKVSDFGLSALLQQGAGLLHTTCGTPNYVAPEVLSYQGYDGAAADIWSCGVILFFIMAGYLPFYEIDIPTLYKKISAGQFSSPFWFSPEANSLIKKILDPNPKTRIQIEGIKKHPWFKKNYLPVKPSDEEVNLDDVRAVFDDIEDQYVSEQSVAKEGGPLMMNAFEMITLSQGLNLSSLFDRQQVEQYKSVFSVAI >KJB63813 pep chromosome:Graimondii2_0_v6:10:1348475:1351245:1 gene:B456_010G017700 transcript:KJB63813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMERLHRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQVSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >KJB63812 pep chromosome:Graimondii2_0_v6:10:1348456:1351261:1 gene:B456_010G017700 transcript:KJB63812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMERLHRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >KJB68371 pep chromosome:Graimondii2_0_v6:10:61079239:61081802:-1 gene:B456_010G241500 transcript:KJB68371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEERCDRVEISKNSSQIDSNSINGAVFVKNSDENAGLRLQNDEFGSCKHVEISYVSGIGSVSSQNGQSIENSSSLVEGNINNDVVSSEGSIETIKTRVVDERLSRVGDECVVETIVVVESNGVLAEMPVGKVAERDDSCVIDINGSSGGTRWFKDSYDGERVCRICHLNSEQLLESNDSISTTAAVTDLILLGCGCKDELGIAHGHCAEAWFKLKGNRMCEICGQTAKNITGVRDNRFNEDWHEQGSTSGVDFISSDQGTGCWRGQPLCNFLMACLVISFVLPWFFHVNMF >KJB68197 pep chromosome:Graimondii2_0_v6:10:60289781:60292799:1 gene:B456_010G231300 transcript:KJB68197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTSEPEETSKQRMDDSTSISHDEDVFFLTEFDDLVKEFDFSAVVEPSPNLEVEAPRSDLDTLITLTSAKKDDYEQEIEHLRNMVKLLQEKEKNLEAQLLKYYGLKEQETAVTELVNRLKIKNTEVKLFSLKIESLQSEKRQLQSQVTGHEKAVAELESARSRIKMLKKKLKHEAEMNKEQIFNLQKRVARLQEQELEAPVNNPDIESKLQRLKILECEVEELRNVNTRLQMENSELARKLESTQILANSALEDPERKAIDETSNRLRQENEDLTKQIEQLQLHRCDDVEELVYLRWINACLRYELRNYQSPAGETVARDLSRSLSPKSEAKAKKLILEYAHTEGMGSIDFDCDQWSSSQASETQELDDPLIENSSATKPTNSGKNKFFKNLRRLIRRKDGHHADHASSMGRPDHVDDPPTWSSSTKSDSVTMVSSRSDRVTTPSQSSSGTSSDIPRWRSLNDEHIKNIEKFRSKCGSYGYRRFVAGKDGDDYPNFPLEPKLETDSDSFWKSELVKFGEVLEGARKVKIHKKSASII >KJB68198 pep chromosome:Graimondii2_0_v6:10:60290205:60292799:1 gene:B456_010G231300 transcript:KJB68198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDEEEDLDSKPLLLKFGVAVAVSFVGFLCYRFRTVNPNSVSDNRSEVDSGETDRSEDDFRAVKMSLTSEPEETSKQRMDDSTSISHDEDVFFLTEFDDLVKEFDFSAVVEPSPNLEVEAPRSDLDTLITLTSAKKDDYEQEIEHLRNMVKLLQEKEKNLEAQLLKYYGLKEQETAVTELVNRLKIKNTEVKLFSLKIESLQSEKRQLQSQVTGHEKAVAELESARSRIKMLKKKLKHEAEMNKEQIFNLQKRVARLQEQELEAPVNNPDIESKLQRLKILECEVEELRNVNTRLQMENSELARKLESTQILANSALEDPEVRKAIDETSNRLRQENEDLTKQIEQLQLHRCDDVEELVYLRWINACLRYELRNYQSPAGETVARDLSRSLSPKSEAKAKKLILEYAHTEGMGSIDFDCDQWSSSQASETQELDDPLIENSSATKPTNSGKNKFFKNLRRLIRRKDGHHADHASSMGRPDHVDDPPTWSSSTKSDSVTMVSSRSDRVTTPSQSSSGTSSDIPRWRSLNDEHIKNIEKFRSKCGSYGYRRFVAGKDGDDYPNFPLEPKLETDSDSFWKSELVKFGEVLEGARKVKIHKKSASII >KJB68196 pep chromosome:Graimondii2_0_v6:10:60289591:60292799:1 gene:B456_010G231300 transcript:KJB68196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDEEEDLDSKPLLLKFGVAVAVSFVGFLCYRFRTVNPNSVSDNRSEVDSGETDRSEDDFRAVKMSLTSEPEETSKQRMDDSTSISHDEDVFFLTEFDDLVKEFDFSAVVEPSPNLEVEAPRSDLDTLITLTSAKKDDYEQEIEHLRNMVKLLQEKEKNLEAQLLKYYGLKEQETAVTELVNRLKIKNTEVKLFSLKIESLQSEKRQLQSQVTGHEKAVAELESARSRIKMLKKKLKHEAEMNKEQIFNLQKRVARLQEQELEAPVNNPDIESKLQRLKILECEVEELRNVNTRLQMENSELARKLESTQILANSALEDPERKAIDETSNRLRQENEDLTKQIEQLQLHRCDDVEELVYLRWINACLRYELRNYQSPAGETVARDLSRSLSPKSEAKAKKLILEYAHTEGMGSIDFDCDQWSSSQASETQELDDPLIENSSATKPTNSGKNKFFKNLRRLIRRKDGHHADHASSMGRPDHVDDPPTWSSSTKSDSVTMVSSRSDRVTTPSQSSSGTSSDIPRWRSLNDEHIKNIEKFRSKCGSYGYRRFVAGKDGDDYPNFPLEPKLETDSDSFWKSELVKFGEVLEGARKVKIHKKSASII >KJB68400 pep chromosome:Graimondii2_0_v6:10:61211588:61220881:-1 gene:B456_010G243500 transcript:KJB68400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGSEGENNQINNPNKNVSSSNEGNVKPKRQMKTPFQLEALEKAYALETYPSEATRAELSEKLGLSDRQLQMWFCHRRLKEKKDTPTKKPRKVAALPPESPIDELRAGPGPDYGSGSGSGSSPNMDTRKLGGSSSRGMTEDVQTVRRYYESQQSIMELRAIACVEAQLGEPLRDDGPMLGMEFDPLPPDAFGAIPEPHNRSGHPYESKVYERHDGWSSKVAARAFHEYQFLPEHSSLRSDAYGLVTQSHFHESPVSGVRGRASPFVHGEEPLSRIHGIQGHGSRALVLPQQGIIPSSSHVADDSLAERESLTNGRNAPSICHPVLGSEDLYMLSAEQTLNNDADPRIDRKRKSDETRIAKEVEAHENRIRKELEKLDHKRRKSEERMRKEMERLERERRKEEERLMREKQREEERTQREQKREMERRQKFLQKEYLRAEKKRQKEELRREREEERRRVAREKATARKIAKESMDLLEDEQLELMELAAARKGIYSIIHLEHDTLQNLESFRDSLSVFPPKSVQLKRPFDIQPWIDSEENVGNLLMAWRFLITFADILRLWPFTLDEFVQAFHDYDSRLLGEIHVTLLRSIIKDIEDVARTPATGLGMNQYCAANPEGGHLQIVEGAYSWGFDIRNWQHHLNPLTWPEIFRQLAISAGFGPQLKKQKATWTYTGDNDEGKGCADVVSTLRNGSAAENAFALMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDGKLFERIAPSTYCVRPAYRKDPADAEAILATARRKIRQFENGFLGGEDADEVERDEVERDDVERDEDSECDVDEDPEVDDIATPSNANKVADYPKNEVNTCLGSKNFHASADDELDVQSEFDKDFSPFASNTVKVDNDPSNSGQYVASEENGTGNPDQQNIEIDESESGESWIQGLSEGEYSHLSVEERLNALVALIGIANEGNSIRAVLEDRLEAANALKKQMWAEAQLDKSRLKEECIIKMDFPPVMGIKTDAQLPNSAVEGSRSPFPVADNKNDEASPSIPEDQKPLLCSQNVQNDLNSYPAERALVLQDASMGPENFSAQQHGYASKRSRSQLKSYIAHRAEEMYVYRSLPLGQDRRRNRYWQFVASASKNDPCSGQIFVELHDGKWRLIDSEEAFDALLASLDARGIRESHLRIMLRKIESSFKENVRRNLHSARAMGRSGYSENEASEIDSGPDFTGSFDSPRSGICGLNSDASGTLPCFKIQLGRNENERKSAMERYQDFQRWMWNECYNSSTLCAMKYEKKRCTQLLAVCDTCLGSHMPEDVHCSYCHQTFRALNNNFNFYDHEIRCKENRKLDNKDKRTLDSSLPMGINLLKSSCALVEVSIPPEALGSMWAEGQRKMWGRKLNASSSTDELLKLLTQLESAIKRDYLSSNFETTRELLGSSLQSENDSSTVSVLPWIPQTTPAVALRLLELDSSIMYVKPEKVEPPENREARASYIKLPSRTSLFIKNKELELKELDQDEPMKENFTDLSNSKRSSYKRGRGAREQGSGRKWQRKGSGSKSDAGKQIVGENNNLSFQLKQQSQRTGQSSGHGRRTVRKRAESRAANSTIMARMSDVVKPKADAVSVRDLDEEWRTERFGMMATVNPPDSNSTEEESDDDGQGEGYGQGNWELDLNGASNGWSREPMEASYEEDDTYEDDNGIEQVVEEESDGDLEMSDASDDVPNETRNDIGLDSADSEDYSD >KJB68401 pep chromosome:Graimondii2_0_v6:10:61211845:61220711:-1 gene:B456_010G243500 transcript:KJB68401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGSEGENNQINNPNKNVSSSNEGNVKPKRQMKTPFQLEALEKAYALETYPSEATRAELSEKLGLSDRQLQMWFCHRRLKEKKDTPTKKPRKVAALPPESPIDELRAGPGPDYGSGSGSGSSPNMDTRKLGGSSSRGMTEDVQTVRRYYESQQSIMELRAIACVEAQLGEPLRDDGPMLGMEFDPLPPDAFGAIPEPHNRSGHPYESKVYERHDGWSSKVAARAFHEYQFLPEHSSLRSDAYGLVTQSHFHESPVSGVRGRASPFVHGEEPLSRIHGIQGHGSRALVLPQQGIIPSSSHVADDSLAERESLTNGRNAPSICHPVLGSEDLYMLSAEQTLNNDADPRIDRKRKVSSLSDETRIAKEVEAHENRIRKELEKLDHKRRKSEERMRKEMERLERERRKEEERLMREKQREEERTQREQKREMERRQKFLQKEYLRAEKKRQKEELRREREEERRRVAREKATARKIAKESMDLLEDEQLELMELAAARKGIYSIIHLEHDTLQNLESFRDSLSVFPPKSVQLKRPFDIQPWIDSEENVGNLLMAWRFLITFADILRLWPFTLDEFVQAFHDYDSRLLGEIHVTLLRSIIKDIEDVARTPATGLGMNQYCAANPEGGHLQIVEGAYSWGFDIRNWQHHLNPLTWPEIFRQLAISAGFGPQLKKQKATWTYTGDNDEGKGCADVVSTLRNGSAAENAFALMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDGKLFERIAPSTYCVRPAYRKDPADAEAILATARRKIRQFENGFLGGEDADEVERDEVERDDVERDEDSECDVDEDPEVDDIATPSNANKVADYPKNEVNTCLGSKNFHASADDELDVQSEFDKDFSPFASNTVKVDNDPSNSGQYVASEENGTGNPDQQNIEIDESESGESWIQGLSEGEYSHLSVEERLNALVALIGIANEGNSIRAVLEDRLEAANALKKQMWAEAQLDKSRLKEECIIKMDFPPVMGIKTDAQLPNSAVEGSRSPFPVADNKNDEASPSIPEDQKPLLCSQNVQNDLNSYPAERALVLQDASMGPENFSAQQHGYASKRSRSQLKSYIAHRAEEMYVYRSLPLGQDRRRNRYWQFVASASKNDPCSGQIFVELHDGKWRLIDSEEAFDALLASLDARGIRESHLRIMLRKIESSFKENVRRNLHSARAMGRSGYSENEASEIDSGPDFTGSFDSPRSGICGLNSDASGTLPCFKIQLGRNENERKSAMERYQDFQRWMWNECYNSSTLCAMKYEKKRCTQLLAVCDTCLGSHMPEDVHCSYCHQTFRALNNNFNFYDHEIRCKENRKLDNKDKRTLDSSLPMGINLLKSSCALVEVSIPPEALGSMWAEGQRKMWGRKLNASSSTDELLKLLTQLESAIKRDYLSSNFETTRELLGSSLQSENDSSTVSVLPWIPQTTPAVALRLLELDSSIMYVKPEKVEPPENREARASYIKLPSRTSLFIKNKELELKELDQDEPMKENFTDLSNSKRSSYKRGRGAREQGSGRKWQRKGSGSKSDAGKQIVGENNNLSFQLKQQSQRTGQSSGHGRRTVRKRAESRAANSTIMARMSDVVKPKADAVSVRDLDEEWRTERFGMMATVNPPDSNSTEEESDDDGQGEGYGQGNWELDLNGASNGWSREPMEASYEEDDTYEDDNGIEQVVEEESDGDLEMSDASDDVPNETRNDIGLDSADSEDYSD >KJB68398 pep chromosome:Graimondii2_0_v6:10:61211545:61221035:-1 gene:B456_010G243500 transcript:KJB68398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGSEGENNQINNPNKNVSSSNEGNVKPKRQMKTPFQLEALEKAYALETYPSEATRAELSEKLGLSDRQLQMWFCHRRLKEKKDTPTKKPRKVAALPPESPIDELRAGPGPDYGSGSGSGSSPNMDTRKLGGSSSRGMTEDVQTVRRYYESQQSIMELRAIACVEAQLGEPLRDDGPMLGMEFDPLPPDAFGAIPEPHNRSGHPYESKVYERHDGWSSKVAARAFHEYQFLPEHSSLRSDAYGLVTQSHFHESPVSGVRGRASPFVHGEEPLSRIHGIQERESLTNGRNAPSICHPVLGSEDLYMLSAEQTLNNDADPRIDRKRKSDETRIAKEVEAHENRIRKELEKLDHKRRKSEERMRKEMERLERERRKEEERLMREKQREEERTQREQKREMERRQKFLQKEYLRAEKKRQKEELRREREEERRRVAREKATARKIAKESMDLLEDEQLELMELAAARKGIYSIIHLEHDTLQNLESFRDSLSVFPPKSVQLKRPFDIQPWIDSEENVGNLLMAWRFLITFADILRLWPFTLDEFVQAFHDYDSRLLGEIHVTLLRSIIKDIEDVARTPATGLGMNQYCAANPEGGHLQIVEGAYSWGFDIRNWQHHLNPLTWPEIFRQLAISAGFGPQLKKQKATWTYTGDNDEGKGCADVVSTLRNGSAAENAFALMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDGKLFERIAPSTYCVRPAYRKDPADAEAILATARRKIRQFENGFLGGEDADEVERDEVERDDVERDEDSECDVDEDPEVDDIATPSNANKVADYPKNEVNTCLGSKNFHASADDELDVQSEFDKDFSPFASNTVKVDNDPSNSGQYVASEENGTGNPDQQNIEIDESESGESWIQGLSEGEYSHLSVEERLNALVALIGIANEGNSIRAVLEDRLEAANALKKQMWAEAQLDKSRLKEECIIKMDFPPVMGIKTDAQLPNSAVEGSRSPFPVADNKNDEASPSIPEDQKPLLCSQNVQNDLNSYPAERALVLQDASMGPENFSAQQHGYASKRSRSQLKSYIAHRAEEMYVYRSLPLGQDRRRNRYWQFVASASKNDPCSGQIFVELHDGKWRLIDSEEAFDALLASLDARGIRESHLRIMLRKIESSFKENVRRNLHSARAMGRSGYSENEASEIDSGPDFTGSFDSPRSGICGLNSDASGTLPCFKIQLGRNENERKSAMERYQDFQRWMWNECYNSSTLCAMKYEKKRCTQLLAVCDTCLGSHMPEDVHCSYCHQTFRALNNNFNFYDHEIRCKENRKLDNKDKRTLDSSLPMGINLLKSSCALVEVSIPPEALGSMWAEGQRKMWGRKLNASSSTDELLKLLTQLESAIKRDYLSSNFETTRELLGSSLQSENDSSTVSVLPWIPQTTPAVALRLLELDSSIMYVKPEKVEPPENREARASYIKLPSRTSLFIKNKELELKELDQDEPMKENFTDLSNSKRSSYKRGRGAREQGSGRKWQRKGSGSKSDAGKQIVGENNNLSFQLKQQSQRTGQSSGHGRRTVRKRAESRAANSTIMARMSDVVKPKADAVSVRDLDEEWRTERFGMMATVNPPDSNSTEEESDDDGQGEGYGQGNWELDLNGASNGWSREPMEASYEEDDTYEDDNGIEQVVEEESDGDLEMSDASDDVPNETRNDIGLDSADSEDYSD >KJB68399 pep chromosome:Graimondii2_0_v6:10:61211845:61220711:-1 gene:B456_010G243500 transcript:KJB68399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGSEGENNQINNPNKNVSSSNEGNVKPKRQMKTPFQLEALEKAYALETYPSEATRAELSEKLGLSDRQLQMWFCHRRLKEKKDTPTKKPRKVAALPPESPIDELRAGPGPDYGSGSGSGSSPNMDTRKLGGSSSRGMTEDVQTVRRYYESQQSIMELRAIACVEAQLGEPLRDDGPMLGMEFDPLPPDAFGAIPEPHNRSGHPYESKVYERHDGWSSKVAARAFHEYQFLPEHSSLRSDAYGLVTQSHFHESPVSGVRGRASPFVHGEEPLSRIHGIQERESLTNGRNAPSICHPVLGSEDLYMLSAEQTLNNDADPRIDRKRKVSSLSDETRIAKEVEAHENRIRKELEKLDHKRRKSEERMRKEMERLERERRKEEERLMREKQREEERTQREQKREMERRQKFLQKEYLRAEKKRQKEELRREREEERRRVAREKATARKIAKESMDLLEDEQLELMELAAARKGIYSIIHLEHDTLQNLESFRDSLSVFPPKSVQLKRPFDIQPWIDSEENVGNLLMAWRFLITFADILRLWPFTLDEFVQAFHDYDSRLLGEIHVTLLRSIIKDIEDVARTPATGLGMNQYCAANPEGGHLQIVEGAYSWGFDIRNWQHHLNPLTWPEIFRQLAISAGFGPQLKKQKATWTYTGDNDEGKGCADVVSTLRNGSAAENAFALMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDGKLFERIAPSTYCVRPAYRKDPADAEAILATARRKIRQFENGFLGGEDADEVERDEVERDDVERDEDSECDVDEDPEVDDIATPSNANKVADYPKNEVNTCLGSKNFHASADDELDVQSEFDKDFSPFASNTVKVDNDPSNSGQYVASEENGTGNPDQQNIEIDESESGESWIQGLSEGEYSHLSVEERLNALVALIGIANEGNSIRAVLEDRLEAANALKKQMWAEAQLDKSRLKEECIIKMDFPPVMGIKTDAQLPNSAVEGSRSPFPVADNKNDEASPSIPEDQKPLLCSQNVQNDLNSYPAERALVLQDASMGPENFSAQQHGYASKRSRSQLKSYIAHRAEEMYVYRSLPLGQDRRRNRYWQFVASASKNDPCSGQIFVELHDGKWRLIDSEEAFDALLASLDARGIRESHLRIMLRKIESSFKENVRRNLHSARAMGRSGYSENEASEIDSGPDFTGSFDSPRSGICGLNSDASGTLPCFKIQLGRNENERKSAMERYQDFQRWMWNECYNSSTLCAMKYEKKRCTQLLAVCDTCLGSHMPEDVHCSYCHQTFRALNNNFNFYDHEIRCKENRKLDNKDKRTLDSSLPMGINLLKSSCALVEVSIPPEALGSMWAEGQRKMWGRKLNASSSTDELLKLLTQLESAIKRDYLSSNFETTRELLGSSLQSENDSSTVSVLPWIPQTTPAVALRLLELDSSIMYVKPEKVEPPENREARASYIKLPSRTSLFIKNKELELKELDQDEPMKENFTDLSNSKRSSYKRGRGAREQGSGRKWQRKGSGSKSDAGKQIVGENNNLSFQLKQQSQRTGQSSGHGRRTVRKRAESRAANSTIMARMSDVVKPKADAVSVRDLDEEWRTERFGMMATVNPPDSNSTEEESDDDGQGEGYGQGNWELDLNGASNGWSREPMEASYEEDDTYEDDNGIEQVVEEESDGDLEMSDASDDVPNETRNDIGLDSADSEDYSD >KJB65022 pep chromosome:Graimondii2_0_v6:10:10986914:10987258:-1 gene:B456_010G076400 transcript:KJB65022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGSAKYHVVSHNTVPVPFDQQYFDLDDAMMMPENLQATLQTVIRLVSDMPTVHYATGSCSICVESFWSSEGTSAAPRQVSCGHVYHHNCITDWLLNSNSYSCPLCRCQISR >KJB65827 pep chromosome:Graimondii2_0_v6:10:22205539:22210707:-1 gene:B456_010G115100 transcript:KJB65827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSEDVLLVEGLEVALQNGVNRKLQVSEDDDGFSDNVNGNVEKTLETCLQNEMDDNGITKEDREGMSGFVDSNGLIDSKEGEVKDNVKQSKSQKVQGKTKNEKPSGPRNVSSALVKKSKDGKSAEATLTASKGGSLAKNSRPKQPLQSSRSFNEKHGNASKHSEKSGAAFTEGTMYKPKLKPLKKGPIHKTEVDTELLSPMAADAKPLRVGTLPNYGFSFKCDERAEKRREFYTKLEEKIHAKEVEQSNLQAKSKETQEAEIKKFRKSLNFKATPMPSFYQEPAPPKVELKKIPTTRAKSPKLGRKKGSVPLESDAISNSSHQSGRRSLDEKASQSISAKLISPVHAKKPQRKSLPKLPSQKTSLAGATNEEKASKALNQKKVAASKATSEGKVASSKATTEENTTLSNVTNEELSPIQQQEAVPTVDSGESQPDIDQRPVIGEQGQLDFVQEPIAP >KJB65828 pep chromosome:Graimondii2_0_v6:10:22205539:22210990:-1 gene:B456_010G115100 transcript:KJB65828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSEDVLLVEGLEVALQNGVNRKLQVSEDDDGFSDNVNGNVEKTLETCLQNEMDDNGITKEDREGMSGFVDSNGLIDSKEGEVKDNVKQSKSQKVQGKTKNEKPSGPRNVSSALVKKSKDGKSAEATLTASKGGSLAKNSRPKQPLQSSRSFNEKHGNASKHSEKSGAAFTEGTMEKPKLKPLKKGPIHKTEVDTELFPMAADAKPLRVGTLPNYGFSFKCDERAEKRREFYTKLEEKIHAKEVEQSNLQAKSKETQEAEIKKFRKSLNFKATPMPSFYQEPAPPKVELKKIPTTRAKSPKLGRKKGSVPLESDAISNSSHQSGRRSLDEKASQSISAKLISPVHAKKPQRKSLPKLPSQKTSLAGATNEEKASKALNQKKVAASKATSEGKVASSKATTEENTTLSNVTNEELSPIQQQEAVPTVDSGESQPDIDQRPVIGEQGQLDFVQEPIAP >KJB65826 pep chromosome:Graimondii2_0_v6:10:22206253:22209638:-1 gene:B456_010G115100 transcript:KJB65826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSEDVLLVEGLEVALQNGVNRKLQVSEDDDGFSDNVNGNVEKTLETCLQNEMDDNGITKEDREGMSGFVDSNGLIDSKEGEVKDNVKQSKSQKVQGKTKNEKPSGPRNVSSALVKKSKDGKSAEATLTASKGGSLAKNSRPKQPLQSSRSFNEKHGNASKHSEKSGAAFTEGTMEKPKLKPLKKGPIHKTEVDTELLSPMAADAKPLRVGTLPNYGFSFKCDERAEKRREFYTKLEEKIHAKEVEQSNLQAKSKETQEAEIKKFRKSLNFKATPMPSFYQEPAPPKVELKKIPTTRAKSPKLGRKKGSVPLESDAISNSSHQSGRRSLDEKASQSISAKLISPVHAKKPQRKSLPKLPSQKTSLAGATNEEKASKALNQKKVAASKATSEGKVASSKATTEENTTLSNVTNEELSPIQQQEAVPTVDSGESQPDIDQRPVIGEQGQLDFVQEPIAP >KJB65829 pep chromosome:Graimondii2_0_v6:10:22205539:22209928:-1 gene:B456_010G115100 transcript:KJB65829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSEDVLLVEGLEVALQNGVNRKLQVSEDDDGFSDNVNGNVEKTLETCLQNEMDDNGITKEDREGMSGFVDSNGLIDSKEGEVKDNVKQSKSQKVQGKTKNEKPSGPRNVSSALVKKSKDGKSAEATLTASKGGSLAKNSRPKQPLQSSRSFNEKHGNASKHSEKSGAAFTEGTMEKPKLKPLKKGPIHKTEVDTELLSPMAADAKPLRVGTLPNYGFSFKCDERAEKRREFYTKLEEKIHAKEVEQSNLQAKSKETQEAEIKKFRKSLNFKATPMPSFYQEPAPPKVELKKIPTTRAKSPKLGRKKGSVPLESDAISNSSHQSGRRSLDEKASQSISAKLISPVHAKKPQRKSLPKLPSQKTSLAGATNEEKASKALNQKKVAASKATSEGKVASSKATTEENTTLSNVTNEELSPIQQQEAVPTVDSGESQPDIDQRPVIGEQGQLDFVQEPIAP >KJB65896 pep chromosome:Graimondii2_0_v6:10:23258328:23261108:-1 gene:B456_010G117900 transcript:KJB65896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTMVLMPRKKKVGSVPVYLNVYDLTPINGYAYWFGLGIYHSGVQVHGVEYGFGAHEHSATGIFEVEPRQCPGFTFRKSILIGRTDLGPKDVRSFMEKLATDYSGNTYHLITKNCNHFCNDVCIQLTGKPIPSWVNRLARLGFLCNCVLPAELNETRVRQVRSEGKLQPVEKKLRSQPSKFVPSSKPLPPSLKSCPPGPAMSSRQRRCIPSSSLIHSSSTSTLSLKL >KJB65895 pep chromosome:Graimondii2_0_v6:10:23258328:23260479:-1 gene:B456_010G117900 transcript:KJB65895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVFHGVEYGFGAHEHSATGIFEVEPRQCPGFTFRKSILIGRTDLGPKDVRSFMEKLATDYSGNTYHLITKNCNHFCNDVCIQLTGKPIPSWVNRLARLGFLCNCVLPAELNETRVRQVRSEGKLQPVEKKLRSQPSKFVPSSKPLPPSLKSCPPGPAMSSRQRRCIPSSSLIHSSSTSTLSLKL >KJB64493 pep chromosome:Graimondii2_0_v6:10:5904187:5910800:1 gene:B456_010G051500 transcript:KJB64493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEVEKKAVFDIDSAKEVVQELRASFVAGKTKDYEWRRTQLKAMLKMMDENEPQIVAALRDDLSKPELESSIYEIAMLKNSCKLALKKMKHWMMPEKAKTSLATFPSSAEIVSEPLGVVLVISAWNYPFLLSLDPFIGAIAAGNAIVLKPSEISPATASLLAKLVANYMDSSCIKVVEGAVPETTALLEQKWDKIMYTGNGRIARIVMAAAAKHLTPVVLELGGKCPVIVDSGINLQVATRRIIAGKWGCNNGQACISPDYIITTKDYAVELVDSFKCELELFYGKNPLESKDLSRVVNSNHFARLLKLLDEDKVSSKIVYGGERDKSNLKIAPTILLDVPQDSLIMNEEIFGPLLPIITIDKVEDSFDLITSGAKPLAAYMFTNNKKLKEKFVEKVSAGGLVINDTTVHLAEPTLPFGGVGDSGMGAYHGKFSFDTFSHKKAVLYRSFAGDAPLRYPPYTDGKLGLLKALLGGSILGIIRALMGWSKA >KJB64495 pep chromosome:Graimondii2_0_v6:10:5905173:5910800:1 gene:B456_010G051500 transcript:KJB64495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDTLEILVERSSIYDMQTVGFSLTEMIAMLKNSCKLALKKMKHWMMPEKAKTSLATFPSSAEIVSEPLGVVLVISAWNYPFLLSLDPFIGAIAAGNAIVLKPSEISPATASLLAKLVANYMDSSCIKVVEGAVPETTALLEQKWDKIMYTGNGRIARIVMAAAAKHLTPVVLELGGKCPVIVDSGINLQVATRRIIAGKWGCNNGQACISPDYIITTKDYAVELVDSFKCELELFYGKNPLESKDLSRVVNSNHFARLLKLLDEDKVSSKIVYGGERDKSNLKIAPTILLDVPQDSLIMNEEIFGPLLPIITIDKVEDSFDLITSGAKPLAAYMFTNNKKLKEKFVEKVSAGGLVINDTTVHLAEPTLPFGGVGDSGMGAYHGKFSFDTFSHKKAVLYRSFAGDAPLRYPPYTDGKLGLLKALLGGSILGIIRALMGWSKA >KJB64494 pep chromosome:Graimondii2_0_v6:10:5904269:5910686:1 gene:B456_010G051500 transcript:KJB64494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEVEKKAVFDIDSAKEVVQELRASFVAGKTKDYEWRRTQLKAMLKMMDENEPQIVAALRDDLSKPELESSIYEIAMLKNSCKLALKKMKHWMMPEKAKTSLATFPSSAEIVSEPLGVVLVISAWNYPFLLSLDPFIGAIAAGNAIVLKPSEISPATASLLAKLVANYMDSSCIKVVEGAVPETTALLEQKWDKIMYTGNGRIARIVMAAAAKHLTPVVLELGGKCPVIVDSGINLQVATRRIIAGKWGCNNGQACISPDYIITTKDYAVELVDSFKCELELFYGKNPLESKDLSRVVNSNHFARLLKLLDEDKVSSKIVYGGERDKSNLLLPLSCLMSHKIH >KJB65206 pep chromosome:Graimondii2_0_v6:10:12671110:12675001:-1 gene:B456_010G084500 transcript:KJB65206 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MCGDATNWDEEAYRESILREREIQTRTVFRTVWAPSFNPKPECVVVASSDGSIASYSISSCVSKHPIGFCSARAQRLLPAEPEGFLEGHDGPAYDVKFYGNGEDSLLLSCGDDGKIKGWRWKEFTESEVPITFQGNHMKPVLDLINPQDKGPWGALSPIPENNAIAVDPQGGSIFSAAGDSCAYCWDVEKSEIKMVFKGHTDYLHCILSRASSSQIITGSEDGTARIWGMWQWPKFVSLESHCF >KJB65203 pep chromosome:Graimondii2_0_v6:10:12671671:12674886:-1 gene:B456_010G084500 transcript:KJB65203 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MCGDATNWDEEAYRESILREREIQTRTVFRTVWAPSFNPKPECVVVASSDGSIASYSISSCVSKHPIGFCSARAQRLLPAEPEGFLEGHDGPAYDVKFYGNGEDSLLLSCGDDGKIKGWRWKEFTESEVPITFQGNHMKPVLDLINPQDKGPWGALSPIPENNAIAVDPQGGSIFSAAGDSCAYCWDVEKSEIKMVFKGHTDYLHCILSRASSSQIITGSEDGTARIWDCKSGKCIKVIDPLKDKKLKGRISCVSCIALDASESWHVAVAEVCQFGISLLLNAFQVFPTVHPYRTWCLITIKY >KJB65204 pep chromosome:Graimondii2_0_v6:10:12672262:12674886:-1 gene:B456_010G084500 transcript:KJB65204 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MCGDATNWDEEAYRESILREREIQTRTVFRTVWAPSFNPKPECVVVASSDGSIASYSISSCVSKHPIGFCSARAQRLLPAEPEGFLEGHDGPAYDVKFYGNGEDSLLLSCGDDGKIKGWRWKEFTESEVPITFQGNHMKPVLDLINPQDKGPWGALSPIPENNAIAVDPQGGSIFSAAGDSCAYCWDVEKSEIKMVFKGHTDYLHCILSRASSSQIITGSEDGTARIWDCKSGKCIKVIDPLKDKKLKGRISCVSCIALDASESWLVRP >KJB65207 pep chromosome:Graimondii2_0_v6:10:12671110:12675040:-1 gene:B456_010G084500 transcript:KJB65207 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MCGDATNWDEEAYRESILREREIQTRTVFRTVWAPSFNPKPECVVVASSDGSIASYSISSCVSKHPIGFCSARAQRLLPAEPEGFLEGHDGPAYDVKFYGNGEDSLLLSCGDDGKIKGWRWKEFTESEVPITFQGNHMKPVLDLINPQDKGPWGALSPIPENNAIAVDPQGGSIFSAAGDSCAYCWDVEKSEIKMVFKGHTDYLHCILSRASSSQIITGSEDGTARIWDCKSGKCIKVIDPLKDKKLKGRISCVSCIALDASESWLACGSGRSLSVWNLTASECISSISNGASIQDVVFDNNQILAVGADPVLNRFDMNGMILSQIQCAPQSAFSVSLHPSGVTAIGGYGGLVDVISQFGSHLCTFRSHCV >KJB65205 pep chromosome:Graimondii2_0_v6:10:12672477:12674886:-1 gene:B456_010G084500 transcript:KJB65205 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MCGDATNWDEEAYRESILREREIQTRTVFRTVWAPSFNPKPECVVVASSDGSIASYSISSCVSKHPIGFCSARAQRLLPAEPEGFLEGHDGPAYDVKFYGNGEDSLLLSCGDDGKIKGWRWKEFTESEVPITFQGNHMKPVLDLINPQDKGPWGALSPIPENNAIAVDPQGGSIFSAAGDSCAYCWDVEKSEIKMVFKGHTDYLHCILSRASSSQIITGSEDGTARIWGNSVCSYLKGLQRASLLLRFY >KJB66044 pep chromosome:Graimondii2_0_v6:10:26092654:26097429:-1 gene:B456_010G125400 transcript:KJB66044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPPHNYAAASANAMAYSQQQRQSANIQQQFGYPPQHQQFSATVHAPPFLAPHPSQFPYHPHMQVQHQPQLHPQLLHLQQQQQPSPTFPSHLPPHLVSSPFHGLYDSPPPLAAPPSDPDLQKRIDKLVEYATKNGPEFEAMIREKQQDNPDYNFLFGGEGNGYYRYKLWLSTHPQSGPYPSFPPSSIPMMHPPPNPVMNPSSLNAPPMGTTASAAAPPQMHQPAFPPFYDQQHHYQHPQPFVGLGRPDYGQSFKGLSGPLPSDVAMELTNVLNNLNGTKESIKSAKIWFMQRSPFAPALAEALRDRVFALDDSERQLHVIYLANDILFDSLQRRVNPRDLDNEALAFKPVLGSMLARIYHNPQNEENRSRLQKILQFWASKEVYNQDDIYALENEMISVPPANSFPGPPKELSLDSVESSTPAGILQETTHNNVSMWQPDKQSSIPTVLDQEIPDKQGAHTLLPALANQQFLPNSVPTVAFPGSVPLNSNLQSSSQQSANTGEKLSPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSNVSPSEILERVSKFFKEIGEVNPSEGSLKSNSRDEDNEYEIEPPIRKGGACIPPPPNLQVDQDSGTYATGSAEQKPGSCGSGRLGLGATANPNEASQYDDVYTSYRKQRSTSYHSSMSARAATR >KJB66043 pep chromosome:Graimondii2_0_v6:10:26092654:26097454:-1 gene:B456_010G125400 transcript:KJB66043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPPHNYAAASANAMAYSQQQRQSANIQQQFGYPPQHQQFSATVHAPPFLAPHPSQFPYHPHMQVQHQPQLHPQLLHLQQQQQPSPTFPSHLPPHLVSSPFHGLYDSPPPLAAPPSDPDLQKRIDKLVEYATKNGPEFEAMIREKQQDNPDYNFLFGGEGNGYYRYKLWLSTHPQSGPYPSFPPSSIPMMHPPPNPVMNPSSLNAPPMGTTASAAAPPQMHQPAFPPFYDQQHHYQHPQPFVGLGRPDYGQSFKGLSGPLPSDVAMELTNVLNNLNGTKESIKSAKIWFMQRSPFAPALAEALRDRVFALDDSERQLHVIYLANDILFDSLQRRVNPRDLDNEALAFKPVLGSMLARIYHNPQNEENRSRLQKILQFWASKEVYNQDDIYALENEMISVPPANSFPGPPKELSLDSVESSTPAGILQETTHNNVSMWQPDKQSSIPTVLDQEIPDKQGAHTLLPALANQQFLPNSVPTVAFPGSVPLNSNLQSSSQQSANTGEKLSPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSNVSPSEILERVSKFFKEIGEVNPSEGSLKSNSRDEDNEYEIEPPIRKGGACIPPPPNLQVDQDSGTYATGSAEQKPGSCGSGRLGLGATANPNEASQYDDVYTSYRKQRSTSYHSSMSARAATR >KJB66045 pep chromosome:Graimondii2_0_v6:10:26092654:26097405:-1 gene:B456_010G125400 transcript:KJB66045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPPHNYAAASANAMAYSQQQRQSANIQQQFGYPPQHQQFSATVHAPPFLAPHPSQFPYHPHMQVQHQPQLHPQLLHLQQQQQPSPTFPSHLPPHLVSSPFHGLYDSPPPLAAPPSDPDLQKRIDKLVEYATKNGPEFEAMIREKQQDNPDYNFLFGGEGNGYYRYKLWLSTHPQSGPYPSFPPSSIPMMHPPPNPVMNPSSLNAPPMGTTASAAAPPQMHQPAFPPFYDQQHHYQHPQPFVGLGRPDYGQSFKGLSGPLPSDVAMELTNVLNNLNGTKESIKSAKIWFMQRSPFAPALAEALRDRVFALDDSERQLHVIYLANDILFDSLQRRVNPRDLDNEALAFKPVLGSMLARIYHNPQNEENRSRLQKILQFWASKEVYNQDDIYALENEMISVPPANSFPGPPKELSLDSVESSTPAGILQETTHNNVSMWQPDKQSSIPTVLDQEIPDKQGAHTLLPALANQQFLPNSVPTVAFPGSVPLNSNLQSSSQQSANTGEKLSPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSNVSPSEILERVSKFFKEIGEVNPSEGSLKSNSRDEDNEYEIEPPIRKGGACIPPPPNLQVDQDSGTYATGSAEQKPGSCGSGRLGLGATANPNEASQYDDVYTSYRKQRSTSYHSSMSARAATR >KJB66042 pep chromosome:Graimondii2_0_v6:10:26092654:26097524:-1 gene:B456_010G125400 transcript:KJB66042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPPHNYAAASANAMAYSQQQRQSANIQQQFGYPPQHQQFSATVHAPPFLAPHPSQFPYHPHMQVQHQPQLHPQLLHLQQQQQPSPTFPSHLPPHLVSSPFHGLYDSPPPLAAPPSDPDLQKRIDKLVEYATKNGPEFEAMIREKQQDNPDYNFLFGGEGNGYYRYKLWLSTHPQSGPYPSFPPSSIPMMHPPPNPVMNPSSLNAPPMGTTASAAAPPQMHQPAFPPFYDQQHHYQHPQPFVGLGRPDYGQSFKGLSGPLPSDVAMELTNVLNNLNGTKESIKSAKIWFMQRSPFAPALAEALRDRVFALDDSERQLHVIYLANDILFDSLQRRVNPRDLDNEALAFKPVLGSMLARIYHNPQNEENRSRLQKILQFWASKEVYNQDDIYALENEMISVPPANSFPGPPKELSLDSVESSTPAGILQETTHNNVSMWQPDKQSSIPTVLDQEIPDKQGAHTLLPALANQQFLPNSVPTVAFPGSVPLNSNLQSSSQQSANTGEKLSPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSNVSPSEILERVSKFFKEIGEVNPSEGSLKSNSRDEDNEYEIEPPIRKGGACIPPPPNLQVDQDSGTYATGSAEQKPGSCGSGRLGLGATANPNEASQYDDVYTSYRKQRSTSYHSSMSARAATR >KJB63438 pep chromosome:Graimondii2_0_v6:10:13111707:13112200:1 gene:B456_010G0867001 transcript:KJB63438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMLEFERQNEKMEMVSEVMGDAIDDALEGDEEEEETEELVSQVLDEIGIDINQELVNAPSAAIAAAAANGKIAQVEATGNGDSGIDSDLQARLDNLRRM >KJB63436 pep chromosome:Graimondii2_0_v6:10:13111707:13112200:1 gene:B456_010G0867001 transcript:KJB63436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMLEFERQNEKMEMVSEVMGDAIDDALEGDEEEEETEELVSQVLDEIGIDINQELVNAPSAAIAAAAANGKIAQVEATGNGDSGIDSDLQARLDNLRRM >KJB63434 pep chromosome:Graimondii2_0_v6:10:13111707:13112467:1 gene:B456_010G0867001 transcript:KJB63434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMLEFERQNEKMEMVSEVMGDAIDDALEGDEEEEETEELVSQVLDEIGIDINQELVNAPSAAIAAAAANGKIAQVEATGNGDSGIDSDLQARLDNLRRM >KJB63437 pep chromosome:Graimondii2_0_v6:10:13111707:13112393:1 gene:B456_010G0867001 transcript:KJB63437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMLEFERQNEKMEMVSEVMGDAIDDALEGDEEEEETEELVSQVLDEIGIDINQELVNAPSAAIAAAAANGKIAQVEATGNGDSGIDSDLQARLDNLRRM >KJB63435 pep chromosome:Graimondii2_0_v6:10:13111707:13112200:1 gene:B456_010G0867001 transcript:KJB63435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMLEFERQNEKMEMVSEVMGDAIDDALEGDEEEEETEELVSQVLDEIGIDINQELVNAPSAAIAAAAANGKIAQVEATGNGDSGIDSDLQARLDNLRRM >KJB65805 pep chromosome:Graimondii2_0_v6:10:21808008:21808825:1 gene:B456_010G113800 transcript:KJB65805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKCEICCELLIAILLPPLGVCLRHGCCSVEFCICLLLTILGYIPGIIYALYAIVFVHRDEYFDEYRRPLYYSSA >KJB65804 pep chromosome:Graimondii2_0_v6:10:21808167:21809733:1 gene:B456_010G113800 transcript:KJB65804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKCEICCELLIAILLPPLGVCLRHGCCSVEFCICLLLTILGYIPGIIYALYAIVFVHRDEYFDEYRRPLYYSSA >KJB63639 pep chromosome:Graimondii2_0_v6:10:705149:707192:1 gene:B456_010G009400 transcript:KJB63639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTSFVWEIGNISNKSSRKRHRLRRGQAHITPEASSSSSPGSPGSLTVQIEQRQWANLPPELLLDIIQRVEQREQCWPGRRDVVTCASVCRSWREITKDIVKTPELCGFLTFPISLKQPGPRDTPIQCFIRRERATSTFRLYMGLSPGELSKLLLAAKRVRRATGTDFVISLVGDDFSRSSNKYVGKLRSNFLGTKFNIFDSQPSRDSTVCSSCESCRKTHPGKVAPRVTTSNYNTAKISYELNILRTRGPRRMQCIMNSIPVSAIEGGTAPTPTAFTNCLDEHSSPLLDSAGRRPQVGSTSRSMQNVPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSENVTITEQENVILQFGKIGKDIFTMDYCYPLSAFQAFAICLSSFDTKPVCE >KJB63640 pep chromosome:Graimondii2_0_v6:10:705149:707577:1 gene:B456_010G009400 transcript:KJB63640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTSFVWEIGNISNKSSRKRHRLRRGQAHITPEASSSSSPGSPGSLTVQIEQRQWANLPPELLLDIIQRVEQREQCWPGRRDVVTCASVCRSWREITKDIVKTPELCGFLTFPISLKQPGPRDTPIQCFIRRERATSTFRLYMGLSPALSGELSKLLLAAKRVRRATGTDFVISLVGDDFSRSSNKYVGKLRSNFLGTKFNIFDSQPSRDSTVCSSCESCRKTHPGKVAPRVTTSNYNTAKISYELNILRTRGPRRMQCIMNSIPVSAIEGGTAPTPTAFTNCLDEHSSPLLDSAGRRPQVGSTSRSMQNVPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSENVTITEQENVILQFGKIGKDIFTMDYCYPLSAFQAFAICLSSFDTKPIAVQNAFCFT >KJB63638 pep chromosome:Graimondii2_0_v6:10:704701:707209:1 gene:B456_010G009400 transcript:KJB63638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTSFVWEIGNISNKSSRKRHRLRRGQAHITPEASSSSSPGSPGSLTVQIEQRQWANLPPELLLDIIQRVEQREQCWPGRRDVVTCASVCRSWREITKDIVKTPELCGFLTFPISLKQPGPRDTPIQCFIRRERATSTFRLYMGLSPALSGELSKLLLAAKRVRRATGTDFVISLVGDDFSRSSNKYVGKLRSNFLGTKFNIFDSQPSRDSTVCSSCESCRKTHPGKVAPRVTTSNYNTAKISYELNILRTRGPRRMQCIMNSIPVSAIEGGTAPTPTAFTNCLDEHSSPLLDSAGRRPQVGSTSRSMQNVPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSENVTITEQENVILQFGKIGKDIFTMDYCYPLSAFQAFAICLSSFDTKPVCE >KJB63946 pep chromosome:Graimondii2_0_v6:10:2122531:2123457:-1 gene:B456_010G026200 transcript:KJB63946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTLNPYSAKTAEIMSRYRPIAPKPEVLPENSIDESSAMSQKMRQSPYLRNLWPQLQARPSRNRKRGRGTGLSPPPPTTTAMKRARTQYFLGLSPPPPPPPPPPSSTTSLVSLPLLPCLKVAAHEIPEEKDFLKQLQGLPVLPTSSLITPQPIRPVGSTIIVGCINEAPAPAAPLQAPKKPEEVEDDIESESMPTIISDSNNKVRLANSAYKAMVGQPECPWLDSMVKGSECKRICGEVMLNLSNSRVPVKSKGFSCWVRIEWGNEGNNSNNKGSITAFCDVVRLSCQSKDYLFTWRFHIPTIGKTS >KJB65278 pep chromosome:Graimondii2_0_v6:10:13392450:13396697:-1 gene:B456_010G087600 transcript:KJB65278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHHHHHHNTSDGVSQRVNSPRFSGPMTRRAHSFKRNNNAVGSSNTNGSNNNNLSVHHEIDLPLTSPRSEVGVVAVGSVSIDGLSQRKGLLRKPSVGSMVLEFGLKERKKLGHWMFLVFCGACLFLGVFRICATGWFRSAIETATSNQGLSESSTNRLRLMDQGYHDYGYGGSDSDQNLMTLTSDITDHSGIWSQPNSENFTQCIDHSENQKKIDAKTNGYILVNANGGLNQMRFGICDMIAIAKVMKATLVLPSLDHTSYWADESGFKDLFDWQHFIVTLKDDVHIVETIPPEYAGIEPFNKTPISWSKVTYYKAEVLPLLKQHKVIYFTHTDSRLANNDIPRSIQRLRCRVNYVALKYSAPIEKLGDTLISRMRENGGPYLALHLRYEKDMLAFTGCSHSLTAEEDDELRRMRYEVGHWKEKEINGTERRLLGGCPLTPRETSLLLRALGFPSGTRIYLVAGEAYGNGSMESLKEDFPNIFSHSSLSTVEELEPFKNHQNMLAGLDYVVALKSDVFVYTYDGNMAKAVQGHRRFENFKKTINPDRMNFVKLVDDYDEGNISWKKFSSKVKKLHKDRDGSPYYRESGEFPKQEESFYANPLPGCICERTEV >KJB66809 pep chromosome:Graimondii2_0_v6:10:44992285:44994701:1 gene:B456_010G159200 transcript:KJB66809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTTTSFKAALLSTPSISSSTKSTVLKPRFTSSSSSRYSPIPKSLIAHHVSTKTNAIVAKMGTVPSPVSETTSLDFHTSVFQKEKISLAGHEEYIVRGGQHLFPLLDDAFEGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDVVVKIGLRKGSRSFAEARAAGFTEENGTLGDIWETVAGSDLVLLLISDSAQADNYEKVFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGVVECLFRRYVEDGMSEELAYKNTVECITGIVSKTISTKGMLAVYNSLSEEGKKRFEAAYSASYYPCMEILYECYEDVASGSEICSVVLAGRRFYV >KJB66806 pep chromosome:Graimondii2_0_v6:10:44992104:44995724:1 gene:B456_010G159200 transcript:KJB66806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTTTSFKAALLSTPSISSSTKSTVLKPRFTSSSSSRYSPIPKSLIAHHVSTKTNAIVAKMGTVPSPVSETTSLDFHTSVFQKEKISLAGHEEYIVRGGQHLFPLLDDAFEGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDVVVKIGLRKGSRSFAEARAAGFTEENGTLGDIWETVAGSDLVLLLISDSAQADNYEKVFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGVVECLFRRYVEDGMSEELAYKNTVECITGIVSKTISTKGMLAVYNSLSEEGKKRFEAAYSASYYPCMEILYECYEDVASGSEICSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGERVRATRAKDDLGPLCPFTSGVFVALMMAQIEVLRKKGHSYSEIINESLIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYNLTQQAFVAVDSGAPINQDLISNFLSDPVHEAIEVCAKLRPTVDISVPPDADFVRPELRQSS >KJB66808 pep chromosome:Graimondii2_0_v6:10:44992226:44995712:1 gene:B456_010G159200 transcript:KJB66808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTTTSFKAALLSTPSISSSTKSTVLKPRFTSSSSSRYSPIPKSLIAHHVSTKTNAIVAKMGTVPSPVSETTSLDFHTSVFQKEKISLAGHEEYIVRGGQHLFPLLDDAFEGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDVVVKIGLRKGSRSFAEARAAGFTEENGTLGDIWETVAGSDLVLLLISDSAQADNYEKVFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGVVECLFRRYVEDGMSEELAYKNTVECITGIVSKTISTKGMLAVYNSLSEEGKKRFEAAYSASYYPCMEILYECYEDVASGSEICSVVLAGRRFYEGLPAFPMGKIDQTRMWKVGERVRATRAKDDLGPLCPFTSGVFVALMMAQIEVLRKKGHSYSEIINESLIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYNLTQQAFVAVDSGAPINQDLISNFLSDPVHEAIEVCAKLRPTVDISVPPDADFVRPELRQSS >KJB66810 pep chromosome:Graimondii2_0_v6:10:44992285:44994580:1 gene:B456_010G159200 transcript:KJB66810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTTTSFKAALLSTPSISSSTKSTVLKPRFTSSSSSRYSPIPKSLIAHHVSTKTNAIVAKMGTVPSPVSETTSLDFHTSVFQKEKISLAGHEEYIVRGGQHLFPLLDDAFEGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDVVVKIGLRKGSRSFAEARAAGFTEENGTLGDIWETVAGSDLVLLLISDSAQADNYEKVFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGVVECLFRRYVEDGMSEELAYKNTVECITGIVSKTISTKGMLAVYNSLSEEGKKRFEAAYSASYYPCMEILYECYEDVASGSEICSVVLAGRRFYVCL >KJB66807 pep chromosome:Graimondii2_0_v6:10:44992226:44995712:1 gene:B456_010G159200 transcript:KJB66807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTTTSFKAALLSTPSISSSTKSTVLKPRFTSSSSSRYSPIPKSLIAHHVSTKTNAIVAKMGTVPSPVSETTSLDFHTSVFQKEKISLAGHEEYIVRGGQHLFPLLDDAFEGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDVVVKIGLRKGSRSFAEARAAGFTEENGTLGDIWETVAGSDLVLLLISDSAQADNYEKVFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGVVECLFRRYVEDGMSEELAYKNTVECITGIVSKTISTKGMLAVYNSLSEEGKKRFEAAYSASYYPCMEILYECYEDVASGSEICSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGERVRATRAKDDLGPLCPFTSGVFVALMMAQIEVLRKKGHSYSEIINESLIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYNLTQQAFVAVDSGAPINQDLISNFLSDPVHEAIEVCAKLRPTVDISVPPDADFVRPELRQSS >KJB66694 pep chromosome:Graimondii2_0_v6:10:41791640:41796272:1 gene:B456_010G152800 transcript:KJB66694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTQQGTHSLAFRVMRLCKPSFHVEPPFRLDPADLFVGEDIFDDPLAAANLPPLLSSQLTKSMDSSDLTYGNRFLLHHPSDAMGFSGLLLLPQSFGAIYLGETFCSYISINNNSNFEVRDIIIKAEIQTERQRILLLDTSKSPVESVRAGGRYDFIVEHDVKELGAHTLVCTALYNDNDGERKYLPQFFKFVVANPLSVRTKVLSHETTYLEACIENHTKSNLYMDQVEFEPAPHWSATVLKADELHPADNSSTGGREIFKPPILIRSGGGIHNYLYQLKLASPDSAQMKVEGTNILGKLQITWRTNLGEPGRLQTQQILGTPISRKEIELQILEIPSLINLDKSFLVHLNLTNHTDRELGPFEVRLSQNSAHEEKKVMINGLQTMVLPQVEAFGSTDFHLSLIATKLGVQRITGITVSDTTEKKTYDPLPDVEIFVESD >KJB66698 pep chromosome:Graimondii2_0_v6:10:41791640:41796272:1 gene:B456_010G152800 transcript:KJB66698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTQQGTHSLAFRVMRLCKPSFHVEPPFRLDPADLFVGEDIFDDPLAAANLPPLLSSQLTKSMDSSDLTYGNRFLLHHPSDAMGFSGLLLLPQSFGYISINNNSNFEVRDIIIKAEIQTERQRILLLDTSKSPVESVRAGGRYDFIVEHDVKELGAHTLVCTALYNDNDGERKYLPQFFKFVVANPLSVRTKVRTVKETTYLEACIENHTKSNLYMDQVEFEPAPHWSATVLKADELHPADNSSTGGREIFKPPILIRSGGGIHNYLYQLKLASPDSAQMKVEGTNILGKLQITWRTNLGEPGRLQTQQILGTPISRKEIELQILEIPSLINLDKSFLVHLNLTNHTDRELGPFEVRLSQNSAHEEKKVMINGLQTMVLPQVEAFGSTDFHLSLIATKLGVQRITGITVSDTTEKKTYDPLPDVEIFVESD >KJB66692 pep chromosome:Graimondii2_0_v6:10:41791640:41796272:1 gene:B456_010G152800 transcript:KJB66692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTQQGTHSLAFRVMRLCKPSFHVEPPFRLDPADLFVGEDIFDDPLAAANLPPLLSSQLTKSMDSSDLTYGNRFLLHHPSDAMGFSGLLLLPQSFGAIYLGETFCSYISINNNSNFEVRDIIIKAEIQTERQRILLLDTSKSPVESVRAGGRYDFIVEHDVKELGAHTLVCTALYNDNDGERKYLPQFFKFVVANPLSVRTKVRTVKETTYLEACIENHTKSNLYMDQVEFEPAPHWSATVLKADELHPADNSSTGEIFKPPILIRSGGGIHNYLYQLKLASPDSAQMKVEGTNILGKLQITWRTNLGEPGRLQTQQILGTPISRKEIELQILEIPSLINLDKSFLVHLNLTNHTDRELGPFEVRLSQNSAHEEKKVMINGLQTMVLPQVEAFGSTDFHLSLIATKLGVQRITGITVSDTTEKKTYDPLPDVEIFVESD >KJB66695 pep chromosome:Graimondii2_0_v6:10:41791640:41796272:1 gene:B456_010G152800 transcript:KJB66695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTQQGTHSLAFRVMRLCKPSFHVEPPFRLDPADLFVGEDIFDDPLAAANLPPLLSSQLTKSMDSSDLTYGNRFLLHHPSDAMGFSGLLLLPQSFGAIYLGETFCSYISINNNSNFEVRDIIIKAEIQTERQRILLLDTSKSPVESVRAGGRYDFIVEHDVKELGAHTLVCTALYNDNDGERKYLPQFFKFVVANPLSVRTKVRTVKETTYLEACIENHTKSNLYMDQVEFEPAPHWSATVLKADELHPADNSSTGSGGGIHNYLYQLKLASPDSAQMKVEGTNILGKLQITWRTNLGEPGRLQTQQILGTPISRKEIELQILEIPSLINLDKSFLVHLNLTNHTDRELGPFEVRLSQNSAHEEKKVMINGLQTMVLPQVEAFGSTDFHLSLIATKLGVQRITGITVSDTTEKKTYDPLPDVEIFVESD >KJB66700 pep chromosome:Graimondii2_0_v6:10:41791640:41796272:1 gene:B456_010G152800 transcript:KJB66700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTQQGTHSLAFRVMRLCKPSFHVEPPFRLDPADLFVGEDIFDDPLAAANLPPLLSSQLTKSMDSSDLTYGNRFLLHHPSDAMGFSGLLLLPQSFGAIYLGETFCSYISINNNSNFEVRDIIIKAEIQTERQRILLLDTSKSPVESVRAGGRYDFIVEHDVKELGAHTLVCTALYNDNDGERKYLPQFFKFVVANPLSVRTKVRTVKETTYLEACIENHTKSNLYMDQVEFEPAPHWSATVLKADELHPADNSSTGGREIFKPPILIRSGGGIHNYLYQLKLASPDSAQMKVEGTNILGKLQITWRTNLGEPGRLQTQQILGTPISRKEIELQILEIPSLINLDKSFLVHLNLTNHTDRELGPFEVRLSQNSAHEEKKVMINGLQTMVLPQVEAFGSTDFHLSLIATKLGVQRITGITVSDTTEKKTSLWSQIDISNSYRLFRLAEGIISQPTKQKMLRCVSVIGMSSKLICCDCCLCSNCNCCKEWMAVLPHICLF >KJB66697 pep chromosome:Graimondii2_0_v6:10:41791640:41796272:1 gene:B456_010G152800 transcript:KJB66697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTQQGTHSLAFRVMRLCKPSFHVEPPFRLDPADLFVGEDIFDDPLAAANLPPLLSSQLTKSMDSSDLTYGNRFLLHHPSDAMGFSGLLLLPQSFGAIYLGETFCSYISINNNSNFEVRDIIIKAEIQTERQRILLLDTSKSPVESVRAGGRYDFIVEHDVKELGAHTLVCTALYNDNDGERKYLPQFFKFVVANPLSVRTKETTYLEACIENHTKSNLYMDQVEFEPAPHWSATVLKADELHPADNSSTGGREIFKPPILIRSGGGIHNYLYQLKLASPDSAQMKVEGTNILGKLQITWRTNLGEPGRLQTQQILGTPISRKEIELQILEIPSLINLDKSFLVHLNLTNHTDRELGPFEVRLSQNSAHEEKKVMINGLQTMVLPQVEAFGSTDFHLSLIATKLGVQRITGITVSDTTEKKTYDPLPDVEIFVESD >KJB66699 pep chromosome:Graimondii2_0_v6:10:41791711:41795861:1 gene:B456_010G152800 transcript:KJB66699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTQQGTHSLAFRVMRLCKPSFHVEPPFRLDPADLFVGEDIFDDPLAAANLPPLLSSQLTKSMDSSDLTYGNRFLLHHPSDAMGFSGLLLLPQSFGAIYLGETFCSYISINNNSNFEVRDIIIKAEIQTERQRILLLDTSKSPVESVRAGGRYDFIVEHDVKELGAHTLVCTALYNDNDGERKYLPQFFKFVVANPLSVRTKVRTVKETTYLEACIENHTKSNLYMDQVEFEPAPHWSATVLKADELHPADNSSTGGREIFKPPILIRSGGGIHNYLYQLKLASPDSAQMKVEGTNILGKLQITWRTNLGEPGRLQTQQILGTPISRKEIELQILEIPSLINLDKSFLVHLNLTNHTDRELGPFEVRLSQNSAHEEKKVMINGLQTMVLPQVEAFGSTDFHLSLIATKLGVQRITGITVSDTTEKKTYDPLPDVEIFVESD >KJB66696 pep chromosome:Graimondii2_0_v6:10:41791640:41796272:1 gene:B456_010G152800 transcript:KJB66696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTQQGTHSLAFRVMRLCKPSFHVEPPFRLDPADLFVGEDIFDDPLAAANLPPLLSSQLTKSMDSSDLTYGNRFLLHHPSDAMGFSGLLLLPQSFGAIYLGETFCSYISINNNSNFEVRDIIIKAEIQTERQRILLLDTSKSPVESVRAGGRYDFIVEHDVKELGAHTLVCTALYNDNDGERKYLPQFFKFVVANPLSVRTKVRTVKETTYLEACIENHTKSNLYMDQVEFEPAPHWSATVLKADELHPADNSSTGGREIFKPPILIRSGGGIHNYLYQLKLASPDSAQMKVEGTNILGKLQITWRTNLGEPGRLQTQQILGTVHLNLTNHTDRELGPFEVRLSQNSAHEEKKVMINGLQTMVLPQVEAFGSTDFHLSLIATKLGVQRITGITVSDTTEKKTYDPLPDVEIFVESD >KJB66693 pep chromosome:Graimondii2_0_v6:10:41791640:41796272:1 gene:B456_010G152800 transcript:KJB66693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTQQGTHSLAFRVMRLCKPSFHVEPPFRLDPADLFVGEDIFDDPLAAANLPPLLSSQLTKSMDSSDLTYGNRFLLHHPSDAMGFSGLLLLPQSFGDIIIKAEIQTERQRILLLDTSKSPVESVRAGGRYDFIVEHDVKELGAHTLVCTALYNDNDGERKYLPQFFKFVVANPLSVRTKVRTVKETTYLEACIENHTKSNLYMDQVEFEPAPHWSATVLKADELHPADNSSTGGREIFKPPILIRSGGGIHNYLYQLKLASPDSAQMKVEGTNILGKLQITWRTNLGEPGRLQTQQILGTPISRKEIELQILEIPSLINLDKSFLVHLNLTNHTDRELGPFEVRLSQNSAHEEKKVMINGLQTMVLPQVEAFGSTDFHLSLIATKLGVQRITGITVSDTTEKKTYDPLPDVEIFVESD >KJB67947 pep chromosome:Graimondii2_0_v6:10:58794876:58804985:-1 gene:B456_010G219100 transcript:KJB67947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFLKGVVGGSGGGQKDLPYNIGDPYPSAWSSWSHFRGTSKDDGSPVSIFSLSGSNPQDGRLAAGRNGVKRLRTVRHPNILSFLYSTEVETVDGSGTKVTIYIVTEPAMPLSEKIKELSLEGTQRDEYYAWGLHQIAKAVSFLNNDCKLVHGNVCLESVVVTQTLDWKLHAFDVLSEYDGTSGTATGPMLPYEWLVGSQYKSVELAKSDWVAIRKSPPWAIDSWGLGCLIYEIFSGMKLGKTEELRNTASIPKSLLPDYQRLLSSTPSRRLNASKLIENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLTEQLPRQIVLKKLFPLLTSALEYGSAAAPALTALLKIGSWLSAEEYTLKVLPTIIKLFASNDRAIRVALLQHIDQYGESLSARVVDEQVYPHVATGFVDTSSILRELTLKSMLIMAPKLSQRNLSGSLLKYLSKLQVDEEPAIRTNTTILLGNIASFLNDGTRKRVLINAFTVRALRDTFSPARGAGVMALCATSSYYDTTEIATRILPNIVVLTIDPDSDVRSKVFQAVDQFMQILKQSNEKSNAGDTAHAGSLGLASMPGNAGLLEWAMSSLTLKGKPSDQAPIAASNSVRPVTTTTAASSVRVSSSSDFADAPAPPSPTSTDGWGEIENGIHEEQYSDKDGWDDIEPLEEPKPSSVLANIQAAQKRPVSQPKPQATSLRSKSTVKAVATKDEDDDLWGSIAVPTPKIASKSLKVKTSGAVEDDDPWAAIAAPPPTTKAKPLSAGRGRGTKAAAPKLGAQRINRTSSSGMQ >KJB67946 pep chromosome:Graimondii2_0_v6:10:58794834:58805043:-1 gene:B456_010G219100 transcript:KJB67946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFLKGVVGGSGGGQKDLPYNIGDPYPSAWSSWSHFRGTSKDDGSPVSIFSLSGSNPQDGRLAAGRNGVKRLRTVRHPNILSFLYSTEVETVDGSGTKVTIYIVTEPAMPLSEKIKELSLEGTQRDEYYAWGLHQIAKAVSFLNNDCKLVHGNVCLESVVVTQTLDWKLHAFDVLSEYDGTSGTATGPMLPYEWLVGSQYKSVELAKSDWVAIRKSPPWAIDSWGLGCLIYEIFSGMKLGKTEELRNTASIPKSLLPDYQRLLSSTPSRRLNASKLIENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLTEQLPRQIVLKKLFPLLTSALEYGSAAAPALTALLKIGSWLSAEEYTLKVLPTIIKLFASNDRAIRVALLQHIDQYGESLSARVVDEQVYPHVATGFVDTSSILRELTLKSMLIMAPKLSQRNLSGSLLKYLSKLQVDEEPAIRTNTTILLGNIASFLNDGTRKRVLINAFTVRALRDTFSPARGAGVMALCATSSYYDTTEIATRILPNIVVLTIDPDSDVRSKVFQAVDQFMQILKQSNEKSNAGDTAHAGSLGLASMPGNAGLLEWAMSSLTLKGKPSDQAPIAASNSVRPVTTTTAASSGLIETPSMAPVRVSSSSDFADAPAPPSPTSTDGWGEIENGIHEEQYSDKDGWDDIEPLEEPKPSSVLANIQAAQKRPVSQPKPQATSLRSKSTVKAVATKDEDDDLWGSIAVPTPKIASKSLKVKTSGAVEDDDPWAAIAAPPPTTKAKPLSAGRGRGTKAAAPKLGAQRINRTSSSGMQ >KJB67945 pep chromosome:Graimondii2_0_v6:10:58794834:58805022:-1 gene:B456_010G219100 transcript:KJB67945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFLKGVVGGSGGGQKDLPYNIGDPYPSAWSSWSHFRGTSKDDGSPVSIFSLSGSNPQDGRLAAGRNGVKRLRTVRHPNILSFLYSTEVETVDGSGTKVTIYIVTEPAMPLSEKIKELSLEGTQRDEYYAWGLHQIAKAVSFLNNDCKLVHGNVCLESVVVTQTLDWKLHAFDVLSEYDGTSGTATGPMLPYEWLVGSQYKSVELAKSDWVAIRKSPPWAIDSWGLGCLIYEIFSGMKLGKTEELRNTASIPKSLLPDYQRLLSSTPSRRLNASKLIENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLTEQLPRQIVLKKLFPLLTSALEYGSAAAPALTALLKIGSWLSAEEYTLKVLPTIIKLFASNDRAIRVALLQHIDQYGESLSARVVDEQVYPHVATGFVDTSSILRELTLKSMLIMAPKLSQRNLSGSLLKYLSKLQVDEEPAIRTNTTILLGNIASFLNDGTRKRVLINAFTVRALRDTFSPARGAGVMALCATSSYYDTTEIATRILPNIVVLTIDPDSDVRSKVFQAVDQFMQILKQSNEKSNAGDTAHAGSLGLASMPGNAGLLEWAMSSLTLKGKPSDQAPIAASNSVRPVTTTTAASSETPSMAPVRVSSSSDFADAPAPPSPTSTDGWGEIENGIHEEQYSDKDGWDDIEPLEEPKPSSVLANIQAAQKRPVSQPKPQATSLRSKSTVKAVATKDEDDDLWGSIAVPTPKIASKSLKVKTSGAVEDDDPWAAIAAPPPTTKAKPLSAGRGRGTKAAAPKLGAQRINRTSSSGMQ >KJB67948 pep chromosome:Graimondii2_0_v6:10:58795079:58804938:-1 gene:B456_010G219100 transcript:KJB67948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFLKGVVGGSGGGQKDLPYNIGDPYPSAWSSWSHFRGTSKDDGSPVSIFSLSGSNPQDGRLAAGRNGVKRLRTVRHPNILSFLYSTEVETVDGSGTKVTIYIVTEPAMPLSEKIKELSLEGTQRDEYYAWGLHQIAKAVSFLNNDCKLVHGNVCLESVVVTQTLDWKLHAFDVLSEYDGTSGTATGPMLPYEWLVGSQYKSVELAKSDWVAIRKSPPWAIDSWGLGCLIYEIFSGMKLGKTEELRNTASIPKSLLPDYQRLLSSTPSRRLNASKLIENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLTEQLPRQIVLKKLFPLLTSALEYGSAAAPALTALLKIGSWLSAEEYTLKVLPTIIKLFASNDRAIRVALLQHIDQYGESLSARVVDEQVYPHVATGFVDTSSILRELTLKSMLIMAPKLSQRNLSGSLLKYLSKLQVDEEPAIRTNTTILLGNIASFLNDGTRKRVLINAFTVRALRDTFSPARGAGVMALCATSSYYDTTEIATRILPNIVVLTIDPDSDVRSKVFQAVDQFMQILKQSNEKSNAGDTAHAGSLGLASMPGNAGLLEWAMSSLTLKGKPSDQAPIAASNSVRPVTTTTAASSGLIETPSMAPVRVSSSSDFADAPAPPSPTSTDGWGEIENGIHEEQYSDKDGWDDIEPLEEPKPSSVLANIQAAQKRPVSQPKPQAATSLRSKSTVKAVATKDEDDDLWGSIAVPTPKIASKSLKVKTSGAVEDDDPWAAIAAPPPTTKAKPLSAGRGRGTKAAAPKLGAQRINRTSSSGMQ >KJB65220 pep chromosome:Graimondii2_0_v6:10:12743324:12750648:1 gene:B456_010G084900 transcript:KJB65220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSKFDLSSGSPDRPLYTSGQRGALLAAQLDRSGSFRETMENQILSSLPSMSRSSSVAAQGDVSSFFQCLRFDPKVVAADHKSNRQGDFKRHINVALGISADESTTMLSKGKLLPSPIPEEIKRVKTGLRDCSVKARERVKTFNEALSVFNKFFPSIPSKKRSRSESFSNDRPNALLSGDRSVLGPTIGKMGMHNNSVAGGFEFEQQKSEERPKSTIPNKRTRTSLVDVRMDMRNNALVRQPGNADRDKEMLRVSNSGSVQGEDRTLSGSVDGWEKTKMKKKRSGIKPDVCPSMVSTKLEGYRESKQGIQQRAVSDARSRLSNDSHGFRSGIANGSVGVGKPEGISQQSGLGPRSSVPRTDPDTISLLNDRRDRTVASDKERVNLRASNKMSVRDEFNSASPTSSTKMNASIRGPRSGTGVAPKLSPVVHRATASNDWELSHCTNKPPTAGGANNRKRTASARSSSPPVAHWASQRPQKSSRTARRTNIVPFVSNNDETPSLDTVSDMAGNEIGSGFARRFSSSSPQQIKLKGDALSSATLSESEESGAAEIKSKEKVKKSDEIDEKSGQNVQKVSTLVLSSRKNKLMTGEDIGDGVRRQGRTGRGITSTRSLMPMTVEKFGNVRTAKQLRSARLGLDKTESKAGRPPTRKLTDRKAYSRQKHAAMNAAADVLVGSEDVHEEIVAAVNALVGSAHAFPNSFWRQMEPFLGFISDADIAYLKQQGNHETRPGSTPLPSIADGCSTISNGCGLLEKERAGRIAAVTSNDELLSQQLLLDKRDNNLIPLCQRFLAALIPEEDSDSGNEDLQFDIYGAGFQMDGELGSNGLRHIVNFQSTEHASFNGYRTSGKPECDDPEIDMVGKTGISSTFSHSLNGTFLDKPMPDMVCSEFEYEGMKINEKILLEAQSIGIFLEPMPDITQMDDDEICEDVSKLEGKLDEQVSRKKGLLDKLLKAASETRALQEKYCLSFLSR >KJB65222 pep chromosome:Graimondii2_0_v6:10:12741330:12753125:1 gene:B456_010G084900 transcript:KJB65222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMRNNALVRQPGNADRDKEMLRVSNSGSVQGEDRTLSGSVDGWEKTKMKKKRSGIKPDVCPSMVSTKLEGYRESKQGIQQRAVSDARSRLSNDSHGFRSGIANGSVGVGKPEGISQQSGLGPRSSVPRTDPDTISLLNDRRDRTVASDKERVNLRASNKMSVRDEFNSASPTSSTKMNASIRGPRSGTGVAPKLSPVVHRATASNDWELSHCTNKPPTAGGANNRKRTASARSSSPPVAHWASQRPQKSSRTARRTNIVPFVSNNDETPSLDTVSDMAGNEIGSGFARRFSSSSPQQIKLKGDALSSATLSESEESGAAEIKSKEKVKKSDEIDEKSGQNVQKVSTLVLSSRKNKLMTGEDIGDGVRRQGRTGRGITSTRSLMPMTVEKFGNVRTAKQLRSARLGLDKTESKAGRPPTRKLTDRKAYSRQKHAAMNAAADVLVGSEDVHEEIVAAVNALVGSAHAFPNSFWRQMEPFLGFISDADIAYLKQQGNHETRPGSTPLPSIADGCSTISNGCGLLEKERAGRIAAVTSNDELLSQQLLLDKRDNNLIPLCQRFLAALIPEEDSDSGNEDLQFDIYGAGFQMDGELGSNGLRHIVNFQSTEHASFNGYRTSGKPECDDPEIDMVGKTGISSTFSHSLNGTFLDKPMPDMVCSEFEYEGMKINEKILLEAQSIGIFLEPMPDITQMDDDEICEDVSKLEGKLDEQVSRKKGLLDKLLKAASETRALQEKEFEQNALDKLVAMAYEKYMSCWGPNATGGKSSSNKMIKQAALAFVKRTLDQYHKFEDTGKSCFDEPLLRDIFVSGSSRLNGARPVDTPTDGGESGKPCAYSSTHSLEGRTSGQSGDSYAVDLLPPTNRLSDQTTIKDDSWSNKVKKRELSLEDVVAGTIGASSVQPGIGSSLSSSTKGKRSERDRDGKGLGREVLSRNGTNKIGRPASNVKGERKLKIKPKQKMTQLSASVNGILGEMSKHPKPSTSISKSNEITINNNAKEKDDFGLDVLDDLQLPGQDLGSWLNIDDDGLQDHDFMGLEIPMDDLSDLNMMV >KJB65223 pep chromosome:Graimondii2_0_v6:10:12744209:12753125:1 gene:B456_010G084900 transcript:KJB65223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRDEFNSASPTSSTKMNASIRGPRSGTGVAPKLSPVVHRATASNDWELSHCTNKPPTAGGANNRKRTASARSSSPPVAHWASQRPQKSSRTARRTNIVPFVSNNDETPSLDTVSDMAGNEIGSGFARRFSSSSPQQIKLKGDALSSATLSESEESGAAEIKSKEKVKKSDEIDEKSGQNVQKVSTLVLSSRKNKLMTGEDIGDGVRRQGRTGRGITSTRSLMPMTVEKFGNVRTAKQLRSARLGLDKTESKAGRPPTRKLTDRKAYSRQKHAAMNAAADVLVGSEDVHEEIVAAVNALVGSAHAFPNSFWRQMEPFLGFISDADIAYLKQQGNHETRPGSTPLPSIADGCSTISNGCGLLEKERAGRIAAVTSNDELLSQQLLLDKRDNNLIPLCQRFLAALIPEEDSDSGNEDLQFDIYGAGFQMDGELGSNGLRHIVNFQSTEHASFNGYRTSGKPECDDPEIDMVGKTGISSTFSHSLNGTFLDKPMPDMVCSEFEYEGMKINEKILLEAQSIGIFLEPMPDITQMDDDEICEDVSKLEGKLDEQVSRKKGLLDKLLKAASETRALQEKEFEQNALDKLVAMAYEKYMSCWGPNATGGKSSSNKMIKQAALAFVKRTLDQYHKFEDTGKSCFDEPLLRDIFVSGSSRLNGARPVDTPTDGGESGKPCAYSSTHSLEGRTSGQSGDSYAVDLLPPTNRLSDQTTIKDDSWSNKVKKRELSLEDVVAGTIGASSVQPGIGSSLSSSTKGKRSERDRDGKGLGREVLSRNGTNKIGRPASNVKGERKLKIKPKQKMTQLSASVNGILGEMSKHPKPSTSISKSNEITINNNAKEKDDFGLDVLDDLQLPGQDLGSWLNIDDDGLQDHDFMGLEIPMDDLSDLNMMV >KJB65219 pep chromosome:Graimondii2_0_v6:10:12740177:12753125:1 gene:B456_010G084900 transcript:KJB65219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSKFDLSSGSPDRPLYTSGQRGALLAAQLDRSGSFRETMENQILSSLPSMSRSSSVAAQGDVSSFFQCLRFDPKVVAADHKSNRQGDFKRHINVALGISADESTTMLSKGKLLPSPIPEEIKRVKTGLRDCSVKARERVKTFNEALSVFNKFFPSIPSKKRSRSESFSNDRPNALLSGDRSVLGPTIGKMGMHNNSVAGGFEFEQQKSEERPKSTIPNKRTRTSLVDVRMDMRNNALVRQPGNADRDKEMLRVSNSGSVQGEDRTLSGSVDGWEKTKMKKKRSGIKPDVCPSMVSTKLEGYRESKQGIQQRAVSDARSRLSNDSHGFRSGIANGSVGVGKPEGISQQSGLGPRSSVPRTDPDTISLLNDRRDRTVASDKERVNLRASNKMSVRDEFNSASPTSSTKMNASIRGPRSGTGVAPKLSPVVHRATASNDWELSHCTNKPPTAGGANNRKRTASARSSSPPVAHWASQRPQKSSRTARRTNIVPFVSNNDETPSLDTVSDMAGNEIGSGFARRFSSSSPQQIKLKGDALSSATLSESEESGAAEIKSKEKVKKSDEIDEKSGQNVQKVSTLVLSSRKNKLMTGEDIGDGVRRQGRTGRGITSTRSLMPMTVEKFGNVRTAKQLRSARLGLDKTESKAGRPPTRKLTDRKAYSRQKHAAMNAAADVLVGSEDVHEEIVAAVNALVGSAHAFPNSFWRQMEPFLGFISDADIAYLKQQGNHETRPGSTPLPSIADGCSTISNGCGLLEKERAGRIAAVTSNDELLSQQLLLDKRDNNLIPLCQRFLAALIPEEDSDSGNEDLQFDIYGAGFQMDGELGSNGLRHIVNFQSTEHASFNGYRTSGKPECDDPEIDMVGKTGISSTFSHSLNGTFLDKPMPDMVCSEFEYEGMKINEKILLEAQSIGIFLEPMPDITQMDDDEICEDVSKLEGKLDEQVSRKKGLLDKLLKAASETRALQEKEFEQNALDKLVAMAYEKYMSCWGPNATGGKSSSNKMIKQAALAFVKRTLDQYHKFEDTGKSCFDEPLLRDIFVSGSSRLNGARPVDTPTDGGESGKPCAYSSTHSLEGRTSGQSGDSYAVDLLPPTNRLSDQTTIKDDSWSNKVKKRELSLEDVVAGTIGASSVQPGIGSSLSSSTKGKRSERDRDGKGLGREVLSRNGTNKIGRPASNVKGERKLKIKPKQKMTQLSASVNGILGEMSKHPKPSTSISKSNEITINNNAKEKDDFGLDVLDDLQLPGQDLGSWLNIDDDGLQDHDFMGLEIPMDDLSDLNMMV >KJB65221 pep chromosome:Graimondii2_0_v6:10:12740366:12753095:1 gene:B456_010G084900 transcript:KJB65221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSKFDLSSGSPDRPLYTSGQRGALLAAQLDRSGSFRETMENQILSSLPSMSRSSSVAAQGDVSSFFQCLRFDPKVVAADHKSNRQGDFKRHINVALGISADESTTMLSKGKLLPSPIPEEIKRVKTGLRDCSVKARERVKTFNEALSVFNKFFPSIPSKKRSRSESFSNDRPNALLSGDRSVLGPTIGKMGMHNNSVAGGFEFEQQKSEERPKSTIPNKRTRTSLVDVRMDMRNNALVRQPGNADRDKEMLRVSNSGSVQGEDRTLSGSVDGWEKTKMKKKRSGIKPDVCPSMVSTKLEGYRESKQGIQQRAVSDARSRLSNDSHGFRSGIANGSVGVGKPEGISQQSGLGPRSSVPRTDPDTISLLNDRRDRTVASDKERVNLRASNKMSVRDEFNSASPTSSTKMNASIRGPRSGTGVAPKLSPVVHRATASNDWELSHCTNKPPTAGGANNRKRTASARSSSPPVAHWASQRPQKSSRTARRTNIVPFVSNNDETPSLDTVSDMAGNEIGSGFARRFSSSSPQQIKLKGDALSSATLSESEESGAAEIKSKEKVKKSDEIDEKSGQNVQKVSTLVLSSRKNKLMTGEDIGDGVRRQGRTGRGITSTRSLMPMTVEKFGNVRTAKQLRSARLGLDKTESKAGRPPTRKLTDRKAYSRQKHAAMNAAADVLVGSEDVHEEIVAAVNALVGSAHAFPNSFWRQMEPFLGFISDADIAYLKQQGNHETRPGSTPLPSIADGCSTISNGCGLLEKERAGRIAAVTSNDELLSQQLLLDKRDNNLIPLCQRFLAALIPEEDSDSGNEDLQFDIYGAGFQMDGELGSNGLRHIVNFQSTEHASFNGYRTSGKPECDDPEIDMVGKTGISSTFSHSLNGTFLDKPMPDMVCSEFEYEGMKINEKILLEAQSIGIFLEPMPDITQMDDDEICEDVSKLEGKLDEQVSRKKGLLDKLLKAASETRALQEKEFEQNALDKLVAMAYEKYMSCWGPNATGGKSSSNKMIKQAALAFVKRTLDQYHKFEDTGKSCFDEPLLRDIFVSGSSRLNGARPVDTPTDGGESGKPCAYSSTHSLEGRTSGQSGDSYAVDLLPPTNRLSDQTTIKDDSWSNKVKKRELSLEDVVAGTIGASSVQPGIGSSLSSSTKGKRSERDRDGKGLGREVLSRNGTNKIGRPASNVKGERKLKIKPKQKMTQLSASVNGILGEMSKHPKPSTSISKSNEITINNNAKEKDDFGLDVLDDLQLPGQDLGSWLNIDDDGLQDHDFMGLEIPMDDLSDLNMMV >KJB65218 pep chromosome:Graimondii2_0_v6:10:12740343:12753098:1 gene:B456_010G084900 transcript:KJB65218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSKFDLSSGSPDRPLYTSGQRGALLAAQLDRSGSFRETMENQILSSLPSMSRSSSVAAQGDVSSFFQCLRFDPKVVAADHKSNRQGDFKRHINVALGISADESTTMLSKGKLLPSPIPEEIKRVKTGLRDCSVKARERVKTFNEALSVFNKFFPSIPSKKRSRSESFSNDRPNALLSGDRSVLGPTIGKMGMHNNSVAGGFEFEQQKSEERPKSTIPNKRTRTSLVDVRMDMRNNALVRQPGNADRDKEMLRVSNSGSVQGEDRTLSGSVDGWEKTKMKKKRSGIKPDVCPSMVSTKLEGYRESKQGIQQRAVSDARSRLSNDSHGFRSGIANGSVGVGKPEGISQQSGLGPRSSVPRTDPDTISLLNDRRDRTVASDKERVNLRASNKMSVRDEFNSASPTSSTKMNASIRGPRSGTGVAPKLSPVVHRATASNDWELSHCTNKPPTAGGANNRKRTASARSSSPPVAHWASQRPQKSSRTARRTNIVPFVSNNDETPSLDTVSDMAGNEIGSGFARRFSSSSPQQIKLKGDALSSATLSESEESGAAEIKSKEKVKKSDEIDEKSGQNVQKVSTLVLSSRKNKLMTGEDIGDGVRRQGRTGRGITSTRSLMPMTVEKFGNVRTAKQLRSARLGLDKTESKAGRPPTRKLTDRKAYSRQKHAAMNAAADVLVGSEDVHEEIVAAVNALVGSAHAFPNSFWRQMEPFLGFISDADIAYLKQQGNHETRPGSTPLPSIADGCSTISNGCGLLEKERAGRIAAVTSNDELLSQQLLLDKRDNNLIPLCQRFLAALIPEEDSDSGNEDLQFDIYGAGFQMDGELGSNGLRHIVNFQSTEHASFNGYRTSGKPECDDPEIDMVGKTGISSTFSHSLNGTFLDKPMPDMVCSEFEYEGMKINEKILLEAQSIGIFLEPMPDITQMDDDEICEDVSKLEGKLDEQVSRKKGLLDKLLKAASETRALQEKEFEQNALDKLVAMAYEKYMSCWGPNATGGKSSSNKMIKQAALAFVKRTLDQYHKFEDTGKSCFDEPLLRDIFVSGSSRLNGARPVDTPTDGGESGKPCAYSSTHSLEGRTSGQSGDSYAVDLLPPTNRLSDQTTIKDDSWSNKVKKRELSLEDVVAGTIGASSVQPGIGSSLSSSTKGKRSERDRDGKGLGREVLSRNGTNKIGRPASNVKGERKLKIKPKQKMTQLSASVNGILGEMSKHPKPSTSISKSNEITINNNAKEKDDFGLDVLDDLQLPGQDLGSWLNIDDDGLQDHDFMGLEIPMDDLSDLNMMV >KJB67164 pep chromosome:Graimondii2_0_v6:10:52489892:52496035:1 gene:B456_010G178800 transcript:KJB67164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCILFFAFQMFVLLPLPVSPELLTLKQSLGNGNNNNPSSFPPNFLFGTASSAYQYEGGYLSDGKGLNNWDVYTHRPGKVIDGSNGDVAVDHYHRYLEDIDLMESLGVNSYRFSISWARILPKGRFGYVNVAGIDFYNNLIDALLLKGIKPFVTLTHIDLPQEIEDRYGSWLSPESQLDFAYFADICFKSFGDRVKYWVTFNEPNFQVKFGYREGTFPPSRCSFPFGNCTCGDSEKEPFIAAHNIVLSHAAAVHIYRSKYEAKQGGSIGIVINAAWFEPISSSMADKLAAERAQCFTTNWFLDPIVFGRYPPEMQSILGSILPEFSKTEKEMLKKGLDFIGINHYSSYYVQDCMFTACEPGTGTSKTEGFWAQSYQKNGIPIGEPIDVDWLYVYPGGMEKIITYVKKRFNNTPMIITENGYGEVTKANSTIEDSLQDVNRAKYMAGYLDSLSTAIRKGADVRGYFAWSLLDNFDWEYGFTRRFGLHHVDFKTLKRTPKFSATWYKNFIAESKIPDS >KJB63982 pep chromosome:Graimondii2_0_v6:10:2220020:2223562:-1 gene:B456_010G027700 transcript:KJB63982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGGVVVGLEGFVGSETVVTSSLVSDPETKAKLYGSGFLKQERPGNNEDVLLWRSSKLAKTEGVSASEAMPLLLHDKNSLLRSNANATTSVFSDGVSASQAMLSFSSAPKSRALSVDKSSQNVTFPYFHLTSPASTRNTGYNNGGFNGENMHGVLLGGDRGPFTPSQWMELEHQALIYKYITSNVPIPSNLLIPIRKALGSTDFSSFSGAPLKTNTLGWGAFRLGFSNNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQSGHSAGATSTTTTKVMSTVSSALASVVVPISGCSESNSLTVAQQQFKNLQPVTAPVNRLLVNKETSGERLHESTLDLKSKENSFLMPMQQLITYEENLRNEFGVVFSDSLLNPSHNDSSLINCRTFGSSQDLICQATESQHSLREFIDDWPKSQSDRSTISWPEVDVKSDMTQLSISIPMAASDFMSSTSSPSNEKLSSSPLRLSREFDTMHMGLGVGSVINEPNHKQANWIPISWENSMGGPLGEVLHSTNSSALNLITCDDWNNSHGQRLASSPTGVLTKTTFGSVSNSSAGSSPRPENDLLGSTLVHTSSLPAL >KJB63981 pep chromosome:Graimondii2_0_v6:10:2219976:2223761:-1 gene:B456_010G027700 transcript:KJB63981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGGVVVGLEGFVGSETVVTSSLVSDPETKAKLYGSGFLKQERPGNNEDVLLWRSSKLAKTEGVSASEAMPLLLHDKNSLLRSNANATTSVFSDGVSASQAMLSFSSAPKSRALSVDKSSQNVTFPYFHLTSPASTRNTGYNNGGFNGENMHGVLLGGDRGPFTPSQWMELEHQALIYKYITSNVPIPSNLLIPIRKALGSTDFSSFSGAPLKTNTLGWGAFRLGFSNNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQSGHSAGATSTTTTKVMSTVSSALASVVVPISGCSESNSLTVAQQQFKNLQPVTAPVNRLLVNKETSGERLHESTLDLKSKENSFLMPMQQLITTFGSSQDLICQATESQHSLREFIDDWPKSQSDRSTISWPEVDVKSDMTQLSISIPMAASDFMSSTSSPSNEKLSSSPLRLSREFDTMHMGLGVGSVINEPNHKQANWIPISWENSMGGPLGEVLHSTNSSALNLITCDDWNNSHGQRLASSPTGVLTKTTFGSVSNSSAGSSPRPENDLLGSTLVHTSSLPAL >KJB67662 pep chromosome:Graimondii2_0_v6:10:56829226:56833421:1 gene:B456_010G202900 transcript:KJB67662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEKGLESPHPSHNSGMEASPIPSPSSTAAAPTPALILTNTGKRIDRMGSSLTSCSSGITDHSATPSPSSIAKTPALILSNSAKRIDRMSSSLTSSSSGTGEPSATPSPSSTTNAPALVLSNSGKRIDQAAKKKYVKQVTGRHNDTELHLAAQRGDLAAVKQILADIDSQMMKTASGEDFDMEVSEIQASVVNEVNELGETALFTAAEKGHLDVVKELLKYSNKETVTKKNKSGFDPLHIAASQGHHDIVQVLLDHDPSLCQTFGPSNATPLISAATKGHTAVVNELLLRDGGLLEGTRSNGKNALHLAARQGHIDVVEALLSKDAQLARRTDKKGQTALHMAVKGQSCEVVKLLLEADAAIVMLPDKFGNTALHVATRKKRAEIVHELLSLPDTNVNALTRDHKTALDLAEGLPLSAESTEIKSCLSRCGALRANELNQPRDELRQTVTQIKKDVHTQLEQTKRTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDQDSGVAVVVKSSSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKQVVEVINKLMWLASVCTSVAFMASSYIVVGRKHKWAAILVTVVGGLIMAGVLGTMTYYVVRSKRTRRKRGKNSRRSGSNSLLHSDFTNSEVEVDRIYAL >KJB67660 pep chromosome:Graimondii2_0_v6:10:56828962:56833447:1 gene:B456_010G202900 transcript:KJB67660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSIQEGGDMDLEKGLESPHPSHNSGMEASPIPSPSSTAAAPTPALILTNTGKRIDRMGSSLTSCSSGITDHSATPSPSSIAKTPALILSNSAKRIDRMSSSLTSSSSGTGEPSATPSPSSTTNAPALVLSNSGKRIDQAAKKKYVKQVTGRHNDTELHLAAQRGDLAAVKQILADIDSQMMKTASGEDFDMEVSEIQASVVNEVNELGETALFTAAEKGHLDVVKELLKYSNKETVTKKNKSGFDPLHIAASQGHHDIVQVLLDHDPSLCQTFGPSNATPLISAATKGHTAVVNELLLRDGGLLEGTRSNGKNALHLAARQGHIDVVEALLSKDAQLARRTDKKGQTALHMAVKGQSCEVVKLLLEADAAIVMLPDKFGNTALHVATRKKRAEIVHELLSLPDTNVNALTRDHKTALDLAEGLPLSAESTEIKSCLSRCGALRANELNQPRDELRQTVTQIKKDVHTQLEQTKRTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDQDSGVAVVVKSSSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKQVVEVINKLMWLASVCTSVAFMASSYIVVGRKHKWAAILVTVVGGLIMAGVLGTMTYYVVRSKRTRRKRGKNSRRSGSNSLLHSDFTNSEVEVDRIYAL >KJB67661 pep chromosome:Graimondii2_0_v6:10:56829070:56833421:1 gene:B456_010G202900 transcript:KJB67661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEKGLESPHPSHNSGMEASPIPSPSSTAAAPTPALILTNTGKRIDRMGSSLTSCSSGITDHSATPSPSSIAKTPALILSNSAKRIDRMSSSLTSSSSGTGEPSATPSPSSTTNAPALVLSNSGKRIDQAAKKKYVKQVTGRHNDTELHLAAQRGDLAAVKQILADIDSQMMKTASGEDFDMEVSEIQASVVNEVNELGETALFTAAEKGHLDVVKELLKYSNKETVTKKNKSGFDPLHIAASQGHHDIVQVLLDHDPSLCQTFGPSNATPLISAATKGHTAVVNELLLRDGGLLEGTRSNGKNALHLAARQGHIDVVEALLSKDAQLARRTDKKGQTALHMAVKGQSCEVVKLLLEADAAIVMLPDKFGNTALHVATRKKRAEIVHELLSLPDTNVNALTRDHKTALDLAEGLPLSAESTEIKSCLSRCGALRANELNQPRDELRQTVTQIKKDVHTQLEQTKRTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDQDSGVAVVVKSSSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKQVVEVINKLMWLASVCTSVAFMASSYIVVGRKHKWAAILVTVVGGLIMAGVLGTMTYYVVRSKRTRRKRGKNSRRSGSNSLLHSDFTNSEVEVDRIYAL >KJB65302 pep chromosome:Graimondii2_0_v6:10:13520907:13524330:-1 gene:B456_010G088500 transcript:KJB65302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRFQIFKGNLDLIRSTNKKGLPYTLAVNQFADWSWEEFQKHRLGAAQNCSATTKGNHQLTDVVLPDSKDWRRVGIVSPVKDQGSCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEESYPYTATNGLCKFSSENVGVKVLDSVNITLGAEDELKHAVAMVRPVSVAFEVVTSFRFYKSGVYTSKTCGSTPMDVNHAVLAVGYGVENGVPYWLIKNSWGAEWGDNGYFKMEMGKNMCGVATCASYPIVA >KJB65304 pep chromosome:Graimondii2_0_v6:10:13520907:13524723:-1 gene:B456_010G088500 transcript:KJB65304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTLVSSIILLLCSVAAGSTFEDSNPIQMVSDGLSGFESSVLRVIGHTRHALSFARFAYKHGKRYENVEEMKLRFQIFKGNLDLIRSTNKKGLPYTLAVNQFADWSWEEFQKHRLGAAQNCSATTKGNHQLTDVVLPDSKDWRRVGIVSPVKDQGSCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEESYPYTATNGLCKFSSENVGVKVLDSVNITLGAEDELKHAVAMVRPVSVAFEVVTSFRFYKSGVYTSKTCGSTPMDVNHAVLAVGYGVENGVPYWLIKNSWGAEWGDNGYFKMEMGKNMCVEL >KJB65303 pep chromosome:Graimondii2_0_v6:10:13521919:13524590:-1 gene:B456_010G088500 transcript:KJB65303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLKQNNSSNRKTKKKNLIMARLTLVSSIILLLCSVAAGSTFEDSNPIQMVSDGLSGFESSVLRVIGHTRHALSFARFAYKHGKRYENVEEMKLRFQIFKGNLDLIRSTNKKGLPYTLAVNQFADWSWEEFQKHRLGAAQNCSATTKGNHQLTDVVLPDSKDWRRVGIVSPVKDQGSCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEESYPYTATNGLCKFSSENVGVKVLDSVNITLGAEDELKHAVAMVRPVSVAFEVVTSFRFYKSGVYTSKTCGSTPMDVNHAVLAVGYGVENGVPYWLIKNSWGAEWGDNGYFKMEMGKNMCGVATCASYPIVA >KJB65308 pep chromosome:Graimondii2_0_v6:10:13522425:13524721:-1 gene:B456_010G088500 transcript:KJB65308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTLVSSIILLLCSVAAGSTFEDSNPIQMVSDGLSGFESSVLRVIGHTRHALSFARFAYKHGKRYENVEEMKLRFQIFKGNLDLIRSTNKKGLPYTLAVNQFADWSWEEFQKHRLGAAQNCSATTKGNHQLTDVVLPDSKDWRRVGIVSPVKDQGSCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEESYPYTATNGLCKFSSENVGVKVLDSVNITLGAEDELKHAVAMVRPVSVAFEVVTSFRFYKSGVYTSKTCGSTPMDVNHAVLAVGYGVENGVPYWLIKNSWGAEWGDNGYFKMEMGKNMCGKSQHFTANYLLVKIF >KJB65306 pep chromosome:Graimondii2_0_v6:10:13521919:13524530:-1 gene:B456_010G088500 transcript:KJB65306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTLVSSIILLLCSVAAGSTFEDSNPIQMVSDGLSGFESSVLRVIGHTRHALSFARFAYKHGKRYENVEEMKLRFQIFKGNLDLIRSTNKKGLPYTLAVNQFADWSWEEFQKHRLGAAQNCSATTKGNHQLTDVVLPDSKDWRRVGIVSPVKDQGSCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEESYPYTATNGLCKFSSENVGVKVLDSVNITLGAEDELKHAVAMVRPVSVAFEVVTSFRFYKSGVYTSKTCGSTPMDVNHAVLAVGYGVENGVPYWLIKNSWGAEWGDNGYFKMEMGKNMCGVATCASYPIVA >KJB65305 pep chromosome:Graimondii2_0_v6:10:13520907:13524721:-1 gene:B456_010G088500 transcript:KJB65305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTLVSSIILLLCSVAAGSTFEDSNPIQMVSDGLSGFESSVLRVIGHTRHALSFARFAYKHGKRYENVEEMKLRFQIFKGNLDLIRSTNKKGLPYTLAVNQFADWSWEEFQKHRLGAAQNCSATTKGNHQLTDVVLPDSKDWRRVGIVSPVKDQGSCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEESYPYTATNGLCKFSSENVGVKVLDSVNITLGAEDELKHAVAMVRPVSVAFEVVTSFRFYKSGVYTSKTCGSTPMDVNHAVLAVGYGVENGVPYWLIKNSWGAEWGDNGYFKMEMGKNMCGNLCIIPNCCLTQSMNRVGSCHFFVL >KJB65307 pep chromosome:Graimondii2_0_v6:10:13522301:13524721:-1 gene:B456_010G088500 transcript:KJB65307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTLVSSIILLLCSVAAGSTFEDSNPIQMVSDGLSGFESSVLRVIGHTRHALSFARFAYKHGKRYENVEEMKLRFQIFKGNLDLIRSTNKKGLPYTLAVNQFADWSWEEFQKHRLGAAQNCSATTKGNHQLTDVVLPDSKDWRRVGIVSPVKDQGSCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEESYPYTATNGLCKFSSENVGVKVLDSVNITLGAEDELKHAVAMVRPVSVAFEVVTSFRFYKSGVYTSKTCGSTPMVSIFLTIICIIYVREEVWTANNSDIWQDVNHAVLAVGYGVENGVPYWLIKNSWGAEWGDNGYFKMEMGKNMCGKSQHFTANYLLVKIF >KJB67665 pep chromosome:Graimondii2_0_v6:10:56843513:56848498:1 gene:B456_010G203000 transcript:KJB67665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKELAEKLKKIPSLNKGPSSSSVVTTGAVQRPVSTVATSVATGPSSSSVPPASAAVASVKPPTTGMPAVPGLESIPNLEAVKRAQELAAKMGFRQDPQFAPLINLFPGQVQVDVPVAQKPTKAPLLRVDALGREIDEHGNIINVTKPSNLSTLKVNINKQKKDAFQILKPELEVDPESNPHFDARMGIDKNKLLRPKRMTFQFVEEGKWSKDAEVIKLKSQFGEAKAKELKAKQAQLAKAKADINPNLIEVSERIITKEKPKDPIPEIEWWDLPILVSGSYDDIPDGVLCEDKLKKEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDKQEMIRQGLIEPPKPKVKLSNLMKVLGSEATQDPTKLEMEIRSAAAEREQAHVDRNIARKLTPAERREKKERKLFDDPNTVETIVSVYRINDLSDPKTRFKVDVNAQENRLTGCAVISEGITVVVVEGGSKSIKRYGKLMLRRINWAEAVKDDKDGDEDEDEEKPPNKCVLVWQGSVAKSSFNRFSVHECITEAAAKKVFADARVAHYWDLAVNFSENDF >KJB67667 pep chromosome:Graimondii2_0_v6:10:56843579:56848456:1 gene:B456_010G203000 transcript:KJB67667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDKHATKRSRDRDGRHHRDSDHHHDSRDSHRRSDHRSSTSRRDERERSFEREGSRDRRHREGSYEEVEAKRKRKEREESEERGEKRSKLGEENRREKRERRRFGDKAKEDDEIEFSNGANGGDPVQNGAAQASLPKTGHPLPTKVSSISTAENKAYSVTGSHEVTGSSTDGSSSTGKSGANLSLDALAKAKKALQMQKELAEKLKKIPSLNKGPSSSSVVTTGAVQRPVSTVATSVATGPSSSSVPPASAAVASVKPPTTGMPAVPGLESIPNLEAVKRAQELAAKMGFRQDPQFAPLINLFPGQVQVDVPVAQKPTKAPLLRVDALGREIDEHGNIINVTKPSNLSTLKVNINKQKKDAFQILKPELEVDPESNPHFDARMGIDKNKLLRPKRMTFQFVEEGKWSKDAEVIKLKSQFGEAKAKELKAKQAQLAKAKADINPNLIEVSERIITKEKPKDPIPEIEWWDLPILVSGSYDDIPDGVLCEDKLKKEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDKQEMIRQGLIEPPKPKVKLSNLMKVLGSEATQDPTKLEMEIRSAAAEREQAHVDRNIARKLTPAERREKKERKLFDDPNTVETIVSVYRINDLSDPKTRFKVDVNAQENRLTGCAVISEGITVVVVEGGSKSIKRYGKLMLRRINWAEAVKDDKDGDEDEDEEKPPNKCVLVWQGSVAKSSFNRFSVHECITEAAAKKVFADARVAHYWDLAVNFSENDF >KJB67666 pep chromosome:Graimondii2_0_v6:10:56843579:56848456:1 gene:B456_010G203000 transcript:KJB67666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVPGLESIPNLEAVKRAQELAAKMGFRQDPQFAPLINLFPGQVQVDVPVAQKPTKAPLLRVDALGREIDEHGNIINVTKPSNLSTLKVNINKQKKDAFQILKPELEVDPESNPHFDARMGIDKNKLLRPKRMTFQFVEEGKWSKDAEVIKLKSQFGEAKAKELKAKQAQLAKAKADINPNLIEVSERIITKEKPKDPIPEIEWWDLPILVSGSYDDIPDGVLCEDKLKKEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDKQEMIRQGLIEPPKPKVKLSNLMKVLGSEATQDPTKLEMEIRSAAAEREQAHVDRNIARKLTPAERREKKERKLFDDPNTVETIVSVYRINDLSDPKTRFKVDVNAQENRLTGCAVISEGITVVVVEGGSKSIKRYGKLMLRRINWAEAVKDDKDGDEDEDEEKPPNKCVLVWQGSVAKSSFNRFSVHECITEAAAKKVFADARVAHYWDLAVNFSENDF >KJB64479 pep chromosome:Graimondii2_0_v6:10:5731095:5744850:-1 gene:B456_010G050900 transcript:KJB64479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGAPRPGANSQQPPPPRNVNPNYQTNPNSLADNMQNLNLNRPVSMPNSGPRPSPFGQQPPFPQSGGSAGFPGALPPMARPGPPPGMMGRPAVPPTGPPQSALPANVPPGRPLGPPPGHSSPFGSRPPPGSLSSSTGGAVLPSSGFPSSGAPSGGLAPPMPGARPNVFMSSPPLTGPTGAVAPLSSAPAGPLSNGRPAIVSGALPGAPLFPLAPSASQPPVGPPPTMMSARAPAQAPTMRSVLGSPAVTSPPVQPMPSASPFSAVPQARPPPPGSPYGPQTWPMQPQQGAQAPPIPGSTQAQPPRMFGMPQPPTNQAMTTIPPAMGQPGAPLSGPSKIDPNQIPRPIPSSSPIVYETRQGNSANPPPPATSDYIVRDTGNCSPRYMRCTINQVVDFGESGPVRCSRCKGYINPFMKFIDQGRKFICNLCGFTDETPRDYHCNLGPDGRRRDADERPELCRGAVEFVASKEYMVRDPMPAVYFFLIDVSMNAIQTGATAAACSAVNQVISDLPEGPRTLVGIATFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLETDVIVQLSECRQHLELLLENIPTMFQSSTTAESCFGAAIKASYLAMKSTGGKLLVFQSVMPSVGIGALSSREAEGRTNISAGEKEAHKLLLPADKILKTMAIEFAEYQVCVDVFVTSQTYVDIASISVIPRTTGGQVYYYYPFSAVSDSAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQDYSGNFCKRIPTDIDLPGIDCDKCILVTLKHDDKLQDGSECAFQCALLYTTVYGQRRIRVTNLSLPCTNMLSNLFRSADLDTQFACLLKRAAIEIPTSPLVQVREQVTNLCINILFSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSTGLRNDGRIDDRSFWFNYVSSLSTPLAVPLVYPRMFAIHNLSTKEEDESVLPPIIPLSSEHVSDDGIYFLENGEDALIYFGSSVDSSILQQLFGFTSVDEAPTQFVIQQYDNPLSKKFNDVVNVVRRQRCSYLRLTLCKKGDPSGMLFFSCMVEDKNASGPSYVEFLVHIHRQIQMKMS >KJB64481 pep chromosome:Graimondii2_0_v6:10:5734438:5744850:-1 gene:B456_010G050900 transcript:KJB64481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGAPRPGANSQQPPPPRNVNPNYQTNPNSLADNMQNLNLNRPVSMPNSGPRPSPFGQQPPFPQSGGSAGFPGALPPMARPGPPPGMMGRPAVPPTGPPQSALPANVPPGRPLGPPPGHSSPFGSRPPPGSLSSSTGGAVLPSSGFPSSGAPSGGLAPPMPGARPNVFMSSPPLTGPTGAVAPLSSAPAGPLSNGRPAIVSGALPGAPLFPLAPSASQPPVGPPPTMMSARAPAQAPTMRSVLGSPAVTSPPVQPMPSASPFSAVPQARPPPPGSPYGPQTWPMQPQQGAQAPPIPGSTQAQPPRMFGMPQPPTNQAMTTIPPAMGQPGAPLSGPSKIDPNQIPRPIPSSSPIVYETRQGNSANPPPPATSDYIVRDTGNCSPRYMRCTINQIPCTADLLTTSSMQLALLVQPMALPHPSEDPIQVVDFGESGPVRCSRCKGYINPFMKFIDQGRKFICNLCGFTDETPRDYHCNLGPDGRRRDADERPELCRGAVEFVASKEYMVRDPMPAVYFFLIDVSMNAIQTGATAAACSAVNQVISDLPEGPRTLVGIATFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLETDVIVQLSECRQHLELLLENIPTMFQSSTTAESCFGAAIKSYTFIICLCVECM >KJB64480 pep chromosome:Graimondii2_0_v6:10:5731095:5744891:-1 gene:B456_010G050900 transcript:KJB64480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGAPRPGANSQQPPPPRNVNPNYQTNPNSLADNMQNLNLNRPVSMPNSGPRPSPFGQQPPFPQSGGSAGFPGALPPMARPGPPPGMMGRPAVPPTGPPQSALPANVPPGRPLGPPPGHSSPFGSRPPPGSLSSSTGGAVLPSSGFPSSGAPSGGLAPPMPGARPNVFMSSPPLTGPTGAVAPLSSAPAGPLSNGRPAIVSGALPGAPLFPLAPSASQPPVGPPPTMMSARAPAQAPTMRSVLGSPAVTSPPVQPMPSASPFSAVPQARPPPPGSPYGPQTWPMQPQQGAQAPPIPGSTQAQPPRMFGMPQPPTNQAMTTIPPAMGQPGAPLSGPSKIDPNQIPRPIPSSSPIVYETRQGNSANPPPPATSDYIVRDTGNCSPRYMRCTINQIPCTADLLTTSSMQLALLVQPMALPHPSEDPIQVVDFGESGPVRCSRCKGYINPFMKFIDQGRKFICNLCGFTDETPRDYHCNLGPDGRRRDADERPELCRGAVEFVASKEYMVRDPMPAVYFFLIDVSMNAIQTGATAAACSAVNQVISDLPEGPRTLVGIATFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLETDVIVQLSECRQHLELLLENIPTMFQSSTTAESCFGAAIKASYLAMKSTGGKLLVFQSVMPSVGIGALSSREAEGRTNISAGEKEAHKLLLPADKILKTMAIEFAEYQVCVDVFVTSQTYVDIASISVIPRTTGGQVYYYYPFSAVSDSAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQDYSGNFCKRIPTDIDLPGIDCDKCILVTLKHDDKLQDGSECAFQCALLYTTVYGQRRIRVTNLSLPCTNMLSNLFRSADLDTQFACLLKRAAIEIPTSPLVQVREQVTNLCINILFSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSTGLRNDGRIDDRSFWFNYVSSLSTPLAVPLVYPRMFAIHNLSTKEEDESVLPPIIPLSSEHVSDDGIYFLENGEDALIYFGSSVDSSILQQLFGFTSVDEAPTQFVIQQYDNPLSKKFNDVVNVVRRQRCSYLRLTLCKKGDPSGMLFFSCMVEDKNASGPSYVEFLVHIHRQIQMKMS >KJB64478 pep chromosome:Graimondii2_0_v6:10:5731095:5744820:-1 gene:B456_010G050900 transcript:KJB64478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGAPRPGANSQQPPPPRNVNPNYQTNPNSLADNMQNLNLNRPVSMPNSGPRPSPFGQQPPFPQSGGSAGFPGALPPMARPGPPPGMMGRPAVPPTGPPQSALPANVPPGRPLGPPPGHSSPFGSRPPPGSLSSSTGGAVLPSSGFPSSGAPSGGLAPPMPGARPNVFMSSPPLTGPTGAVAPLSSAPAGPLSNGRPAIVSGALPGAPLFPLAPSASQPPVGPPPTMMSARAPAQAPTMRSVLGSPAVTSPPVQPMPSASPFSAVPQARPPPPGSPYGPQTWPMQPQQGAQAPPIPGSTQAQPPRMFGMPQPPTNQAMTTIPPAMGQPGAPLSGPSKIDPNQIPRPIPSSSPIVYETRQGNSANPPPPATSDYIVRDTGNCSPRYMRCTINQIPCTADLLTTSSMQLALLVQPMALPHPSEDPIQVVDFGESGPVRCSRCKGYINPFMKFIDQGRKFICNLCGFTDETPRDYHCNLGPDGRRRDADERPELCRGAVEFVASKEYMVRDPMPAVYFFLIDVSMNAIQTGATAAACSAVNQVISDLPEGPRTLVGIATFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLETDVIVQLSECRQHLELLLENIPTMFQSSTTAESCFGAAIKASYLAMKSTGGKLLVFQSVMPSVGIGALSSREAEGRTNISAGEKEAHKLLLPADKILKTMAIEFAEYQVCVDVFVTSQTYVDIASISVIPRTTGGQVYYYYPFSAVSDSAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQDYSGNFCKRIPTDIDLPGIDCDKCILVTLKHDDKLQDGSECAFQCALLYTTVYGQRRIRVTNLSLPCTNMLSNLFRSADLDTQFACLLKRAAIEIPTSPLVQVREQVTNLCINILFSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSTGLRNDGRIDDRSFWFNYVSSLSTPLAVPLVYPRMFAIHNLSTKEEDESVLPPIIPLSSEHVSDDGIYFLENGEDALIYFGSSVDSSILQQLFGFTSVDEAPTQFVIQQYDNPLSKKFNDVVNVVRRQRCSYLRYD >KJB64482 pep chromosome:Graimondii2_0_v6:10:5734925:5744850:-1 gene:B456_010G050900 transcript:KJB64482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGAPRPGANSQQPPPPRNVNPNYQTNPNSLADNMQNLNLNRPVSMPNSGPRPSPFGQQPPFPQSGGSAGFPGALPPMARPGPPPGMMGRPAVPPTGPPQSALPANVPPGRPLGPPPGHSSPFGSRPPPGSLSSSTGGAVLPSSGFPSSGAPSGGLAPPMPGARPNVFMSSPPLTGPTGAVAPLSSAPAGPLSNGRPAIVSGALPGAPLFPLAPSASQPPVGPPPTMMSARAPAQAPTMRSVLGSPAVTSPPVQPMPSASPFSAVPQARPPPPGSPYGPQTWPMQPQQGAQAPPIPGSTQAQPPRMFGMPQPPTNQAMTTIPPAMGQPGAPLSGPSKIDPNQIPRPIPSSSPIVYETRQGNSANPPPPATSDYIVRDTGNCSPRYMRCTINQVVDFGESGPVRCSRCKGYINPFMKFIDQGRKFICNLCGFTDETPRDYHCNLGPDGRRRDADERPELCRGAVEFVASKEYMVRDPMPAVYFFLIDVSMNAIQTGATAAACSAVNQVISDLPEGPRTLVGIATFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLETDVIVQLSECRQHLELLLENIPTMFQSSTTAESCFGAAIKASYLAMKSTGGKLLVFQSVMPSVGIGALSSREAEGRTNISAGEKEAHKLLLPADKILKTMAIEFAEYQVCVDVFVTSQTYVDIASISVIPRTTGGQVYYYYPFSAVSDSAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQDYSGNFCKRIPTDIDLPGVCAF >KJB65604 pep chromosome:Graimondii2_0_v6:10:18665036:18667798:1 gene:B456_010G103000 transcript:KJB65604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSMKKTLGLVLSLTILMAMMFIRESRRSDYTGEDVNVHNFFAALLGNKSAITGGSGKVVDSGPDDHIFIYYTDHGGPGVLGMPTFPYLYADDLIDVLKKKHASGTYKSMVFYLEACESGSIFEGLLPQGLNIYATTASNAEESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDMHNLRTETLHQQYELVKKRTINGNSAYGSHVMQYGDVGLSKDSLFAYLGTNPANDNFTFVDENSLVPPTKAVNQRDADLVHFWYKYRKAPEGSVRKTEAQKQFVEAMSHRMHIDHSVKLIGKLLFGIERGLEVLNTVRPAGQPLVDDWKCLKKMVRTFETHCGSLAQYGMKHMRSLANICNAGIQTEQMAEASAQACVSVPTGRWSSLQKGFSA >KJB65608 pep chromosome:Graimondii2_0_v6:10:18665186:18668143:1 gene:B456_010G103000 transcript:KJB65608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFLIIFLPFLISYLHVTLQVFYLEACESGSIFEGLLPQGLNIYATTASNAEESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDMHNLRTETLHQQYELVKKRTINGNSAYGSHVMQYGDVGLSKDSLFAYLGTNPANDNFTFVDENSLVPPTKAVNQRDADLVHFWYKYRKAPEGSVRKTEAQKQFVEAMSHRMHIDHSVKLIGKLLFGIERGLEVLNTVRPAGQPLVDDWKCLKKMVRTFETHCGSLAQYGMKHMRSLANICNAGIQTEQMAEASAQACVSVPTGRWSSLQKGFSA >KJB65607 pep chromosome:Graimondii2_0_v6:10:18664517:18668143:1 gene:B456_010G103000 transcript:KJB65607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLVTGVFLLLLSVAGVVSAARYITGDVLRLPSEASRFFRWRSDDDEVGGTRWAVLIAGSNGYWNYRHQADVCHAYQLLRNGGLKEENIIVFMYDDIAFNEENPRPGVIINNPHGDDVYKGVPKDYTGEDVNVHNFFAALLGNKSAITGGSGKVVDSGPDDHIFIYYTDHGGPGVLGMPTFPYLYADDLIDVLKKKHASGTYKSMVFYLEACESGSIFEGLLPQGLNIYATTASNAEESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDMHNLRTETLHQQYELVKKRTINGNSAYGSHVMQYGDVGLSKDSLFAYLGTNPANDNFTFVDENSLVPPTKAVNQRDADLVHFWYKVLSQGTRRLC >KJB65605 pep chromosome:Graimondii2_0_v6:10:18664517:18668143:1 gene:B456_010G103000 transcript:KJB65605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLVTGVFLLLLSVAGVVSAARYITGDVLRLPSEASRFFRWRSDDDEVGGTRWAVLIAGSNGYWNYRHQADVCHAYQLLRNGGLKEENIIVFMYDDIAFNEENPRPGVIINNPHGDDVYKGVPKDYTGEDVNVHNFFAALLGNKSAITGGSGKVVDSGPDDHIFIYYTDHGGPGVLGMPTFPYLYADDLIDVLKKKHASGTYKSMVFYLEACESGSIFEGLLPQGLNIYATTASNAEESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDR >KJB65606 pep chromosome:Graimondii2_0_v6:10:18664517:18668143:1 gene:B456_010G103000 transcript:KJB65606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDDIAFNEENPRPGVIINNPHGDDVYKGVPKDYTGEDVNVHNFFAALLGNKSAITGGSGKVVDSGPDDHIFIYYTDHGGPGVLGMPTFPYLYADDLIDVLKKKHASGTYKSMVFYLEACESGSIFEGLLPQGLNIYATTASNAEESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDMHNLRTETLHQQYELVKKRTINGNSAYGSHVMQYGDVGLSKDSLFAYLGTNPANDNFTFVDENSLVPPTKAVNQRDADLVHFWYKYRKAPEGSVRKTEAQKQFVEAMSHRMHIDHSVKLIGKLLFGIERGLEVLNTVRPAGQPLVDDWKCLKKMVRTFETHCGSLAQYGMKHMRSLANICNAGIQTEQMAEASAQACVSVPTGRWSSLQKGFSA >KJB65603 pep chromosome:Graimondii2_0_v6:10:18664517:18666024:1 gene:B456_010G103000 transcript:KJB65603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLVTGVFLLLLSVAGVVSAARYITGDVLRLPSEASRFFRWRSDDDEVGGTRWAVLIAGSNGYWNYRHQADVCHAYQLLRNGGLKEENIIVFMYDDIAFNEENPRPGVIINNPHGDDVYKGVPKDYTGEDVNVHNFFAALLGNKSAITGGSGKVVDSGPDDHIFIYYTDHGGPGVLGMPTFPYLYADDLIDVLKKKHASGTYKSMVFYLEACESGSIFEGLLPQGLNIYATTASNAEESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDRLVFKHDHHISLFHLYLGILVLRLF >KJB65602 pep chromosome:Graimondii2_0_v6:10:18664460:18668143:1 gene:B456_010G103000 transcript:KJB65602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLVTGVFLLLLSVAGVVSAARYITGDVLRLPSEASRFFRWRSDDDEVGGTRWAVLIAGSNGYWNYRHQADVCHAYQLLRNGGLKEENIIVFMYDDIAFNEENPRPGVIINNPHGDDVYKGVPKDYTGEDVNVHNFFAALLGNKSAITGGSGKVVDSGPDDHIFIYYTDHGGPGVLGMPTFPYLYADDLIDVLKKKHASGTYKSMVFYLEACESGSIFEGLLPQGLNIYATTASNAEESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDMHNLRTETLHQQYELVKKRTINGNSAYGSHVMQYGDVGLSKDSLFAYLGTNPANDNFTFVDENSLVPPTKAVNQRDADLVHFWYKYRKAPEGSVRKTEAQKQFVEAMSHRMHIDHSVKLIGKLLFGIERGLEVLNTVRPAGQPLVDDWKCLKKMVRTFETHCGSLAQYGMKHMRSLANICNAGIQTEQMAEASAQACVSVPTGRWSSLQKGFSA >KJB67865 pep chromosome:Graimondii2_0_v6:10:58296525:58297730:-1 gene:B456_010G215600 transcript:KJB67865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQEKKLVQDVSGWLRVYDDGSVDRTWTGPPEVKFMAEPVSPHEQFIDGIATRDVTIDQNSGLRARIYLPEEENPKFPIILHFHGGGFCISQADWFMYYQFYTRLAKSIPAIVVSVYLRPAPENKLPAACDDGYAALLWLKSLSKGESNEPWLNTHGDFNRVFLIGDSSGGNIVHEVATRSGFIDLNPLRLAGAIPIHPGFVRAQRSKSELEQPESPFLTLDMVDKFLALALPNGCTKDHPITCPMGSFAPPIETLSLPPLMYCVAEKDMIKDTEMEYYELLKKANKDVELFISTEMGHSFYLNKIAIDTDPVTAAQTGELIEGIKVFINKH >KJB65810 pep chromosome:Graimondii2_0_v6:10:21901552:21903286:-1 gene:B456_010G114100 transcript:KJB65810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGSLSDGVIKKILLSYTYVAIWIFLSFTVIVYNKYILDKKMYNWPFPISLTMIHMSFCASLAFLLIKVFKVVEPVSMSRDLYLSSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKEGYKNDTMVNMLSISFGVAIAAYGEAKFDTWGVILQLGAVAFEATRLVMIQILLTSKGITLNPITSLYYVAPCCFVFLLVPWVFVEYPVLKETSSFHFDFVVFGTNSICAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHSKLQALKAKEAQKKTAQADEEGGRLLEEREGEGSGKSSESQD >KJB68588 pep chromosome:Graimondii2_0_v6:10:61858409:61860849:1 gene:B456_010G252500 transcript:KJB68588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETEKEIESMRAASEEVSRQFKTLIDANDLDSLKHLQLLILGRLQDSNAVLSHFNEYSEHCFAEVSSDFSRNARMLKSMKSDLDYIFQKLRSMKAKIMATYPDAFPDESTREVFDQRPDLEVPQ >KJB68587 pep chromosome:Graimondii2_0_v6:10:61858409:61860856:1 gene:B456_010G252500 transcript:KJB68587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETEKEIESMRAASEEVSRQFKTLIDANDLDSLKHLQLLILGRLQDSNAVLSHFNEYSEHCFAEVSSDFSRNARMLKSMKSDLDYIFQKLRSMKAKIMATYPDAFPDESTREVFDQRPDLEVPQ >KJB63900 pep chromosome:Graimondii2_0_v6:10:1787322:1789310:-1 gene:B456_010G022600 transcript:KJB63900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVELMMGHGGVGGRGNSFPVKMEETALKEAANAGIQGFEELIRLMSKSQQLCSQDVCFNAPSSSEPAMEIQAVTDKTIQVSKDEEVSTFKPLCSTPSYKLPPLPTKSSHVLKTGVLEPGNSVMSSLTGDTDSIQHQPCFSLGFQFTNPSSHGKPPLSSKRKCNSMDDVANLKCGSSSSARCHCSKKRKSRVKRVIRVPAVSNKMADIPHDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERAVEDPRMLIVTYEGDHNHSHNITTDVPSALVLESS >KJB63899 pep chromosome:Graimondii2_0_v6:10:1787230:1789404:-1 gene:B456_010G022600 transcript:KJB63899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVELMMGHGGVGGRGNSFPVKMEETALKEAANAGIQGFEELIRLMSKSQQLCSQDVCFNAPSSSEPAMEIQAVTDKTVSSFKKVISLLGRPRTGHARFRRAPLNHLPQQQQIQVSKDEEVSTFKPLCSTPSYKLPPLPTKSSHVLKTGVLEPGNSVMSSLTGDTDSIQHQPCFSLGFQFTNPSSHGKPPLSSKRKCNSMDDVANLKCGSSSSARCHCSKKRKSRVKRVIRVPAVSNKMADIPHDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERAVEDPRMLIVTYEGDHNHSHNITTDVPSALVLESS >KJB65815 pep chromosome:Graimondii2_0_v6:10:22022295:22023644:1 gene:B456_010G114400 transcript:KJB65815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASYSPHLLPFPSTQKPTGTIFSCTACNSKVFPYHGAFRDCSAAKLQSVIKAKAAPSNRNTKPNSVICGDCDGNGAVVCSQCKGSGVNPVDFFNGQFKAGDSCWLCGGRKEMLCGNCNGAGFIGGFMSTDDE >KJB65814 pep chromosome:Graimondii2_0_v6:10:22022202:22024089:1 gene:B456_010G114400 transcript:KJB65814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASYSPHLLPFPSTQKPRTIFSCTACNSKVFPYHGAFRDCSAAKLQSVIKAKAAPSNRNTKPNSVICGDCDGNGAVVCSQCKGSGVNPVDFFNGQFKAGDSCWLCGGRKEMLCGNCNGAGFIGGFMSTDDE >KJB65817 pep chromosome:Graimondii2_0_v6:10:22022295:22023686:1 gene:B456_010G114400 transcript:KJB65817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASYSPHLLPFPSTQKPRTIFSCTACNSKVFPYHGAFRDCSAAKLQSVIKAKAAPSNRNTKPNSVICGDCDGNGAVVCSQCKGSGVNPVDFFNGQFKAGDSCWLCGKEMLCGNCNGAGFIGGFMSTDDE >KJB65816 pep chromosome:Graimondii2_0_v6:10:22022295:22023644:1 gene:B456_010G114400 transcript:KJB65816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASYSPHLLPFPSTQKPRTIFSCTACNSKVFPYHGAFRDCSAAKLQSVIKAKAAPSNRNTKPNSVICGDCDGNGAVVCSQCKGSGVNPVDFFNGQFKAGDSCWLCG >KJB67491 pep chromosome:Graimondii2_0_v6:10:55069852:55073706:-1 gene:B456_010G193700 transcript:KJB67491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAVLGSFHHSVCAVSQATCSLERPGTVCMYSGGWPASRKSCLSGLIFGEKNRFPKKQNVTLISCVKTPEATVTGKSNVPSDSSKKGSLEKKTSPTATFPKGFEALVLEVCDETEVAELKMKIGEFEMHLKRNVGATKAPLSNISPTTAPPIPTVPMNESVAATPPPSPPKPAAEKVTPFKNINVGKSSKLAALEASESNNYVLIPSPIVGTFRRGRTVKGKKQPPICREGDLIKEGQVIGFLDQFGIELPVKSDVAGEVLKLLFDDGDGVGYGDALIAVLPSFHPIN >KJB67495 pep chromosome:Graimondii2_0_v6:10:55069852:55071103:-1 gene:B456_010G193700 transcript:KJB67495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGEFEMHLKRNVGATKAPLSNISPTTAPPIPTVPMNESVAATPPPSPPKPAAEKVTPFKNINVGKSSKLAALEASESNNYVLIPSPIVGTFRRGRTVKGKKQPPICREGDLIKEGQVIGFLDQFGIELPVKSDVAGEVLKLLFDDGDGVGYGDALIAVLPSFHPIN >KJB67498 pep chromosome:Graimondii2_0_v6:10:55069672:55073967:-1 gene:B456_010G193700 transcript:KJB67498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAVLGSFHLPSDSSKKGSLEKKTSPTATFPKGFEALVLEVCDETEVAELKMKIGEFEMHLKRNVGATKAPLSNISPTTAPPIPTVPMNESVAATPPPSPPKPAAEKVTPFKNINVGKSSKLAALEASESNNYVLIPSPIVGTFRRGRTVKGKKQPPICREGDLIKEGQVIGFLDQFGIELPVKSDVAGEVLKLLFDDGDGVGYGDALIAVLPSFHPIN >KJB67489 pep chromosome:Graimondii2_0_v6:10:55069672:55072478:-1 gene:B456_010G193700 transcript:KJB67489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGGWPASRKSCLSGLIFGEKNRFPKKQNVTLISCVKTPEATVTGKSNVPSDSSKKGSLEKKTSPTATFPKGFEALVLEVCDETEVAELKMKIGEFEMHLKRNVGATKAPLSNISPTTAPPIPTVPMNESVAATPPPSPPKPAAEKVTPFKNINVGKSSKLAALEASESNNYVLIPSPIVGTFRRGRTVKGKKQPPICREGDLIKEGQVIGFLDQFGIELPVKSDVAGEVLKLLFDDGDGVGYGDALIAVLPSFHPIN >KJB67490 pep chromosome:Graimondii2_0_v6:10:55069852:55072303:-1 gene:B456_010G193700 transcript:KJB67490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGGWPASRKSCLSGLIFGEKNRFPKKQNVTLISCVKTPEATVTVPSDSSKKGSLEKKTSPTATFPKGFEALVLEVCDETEVAELKMKIGEFEMHLKRNVGATKAPLSNISPTTAPPIPTVPMNESVAATPPPSPPKPAAEKVTPFKNINVGKSSKLAALEASESNNYVLIPSPIVGTFRRGRTVKGKKQPPICREGDLIKEGQVIGFLDQFGIELPVKSDVAGEVLKLLFDDGDGVGYGDALIAVLPSFHPIN >KJB67497 pep chromosome:Graimondii2_0_v6:10:55069508:55074052:-1 gene:B456_010G193700 transcript:KJB67497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAVLGSFHHSVCAVSQATCSLERPGTVCMYSGGWPASRKSCLSGLIFGEKNRFPKKQNVTLISCVKTPEATVTVPSDSSKKGSLEKKTSPTATFPKGFEALVLEVCDETEVAELKMKIGEFEMHLKRNVGATKAPLSNISPTTAPPIPTVPMNESVAATPPPSPPKPAAEKVTPFKNINVGKSSKLAALEASESNNYVLIPSPIVGTFRRGRTVKGKKQPPICREGDLIKEGQVIGFLDQFGIELPVKSDVAGEVLKLLFDDGDGVGYGDALIAVLPSFHPIN >KJB67496 pep chromosome:Graimondii2_0_v6:10:55069852:55073706:-1 gene:B456_010G193700 transcript:KJB67496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAVLGSFHPTCSLERPGTVCMYSGGWPASRKSCLSGLIFGEKNRFPKKQNVTLISCVKTPEATVTVPSDSSKKGSLEKKTSPTATFPKGFEALVLEVCDETEVAELKMKIGEFEMHLKRNVGATKAPLSNISPTTAPPIPTVPMNESVAATPPPSPPKPAAEKVTPFKNINVGKSSKLAALEASESNNYVLIPSPIVGTFRRGRTVKGKKQPPICREGDLIKEGQVIGFLDQFGIELPVKSDVAGEVLKLLFDDGDGVGYGDALIAVLPSFHPIN >KJB67493 pep chromosome:Graimondii2_0_v6:10:55069508:55073580:-1 gene:B456_010G193700 transcript:KJB67493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGGWPASRKSCLSGLIFGEKNRFPKKQNVTLISCVKTPEATVTVPSDSSKKGSLEKKTSPTATFPKGFEALVLEVCDETEVAELKMKIGEFEMHLKRNVGATKAPLSNISPTTAPPIPTVPMNESVAATPPPSPPKPAAEKVTPFKNINVGKSSKLAALEASESNNYVLIPSPIVGTFRRGRTVKGKKQPPICREGDLIKEGQVIGFLDQFGIELPVKSDVAGEVLKLLFDDGDGVGYGDALIAVLPSFHPIN >KJB67494 pep chromosome:Graimondii2_0_v6:10:55069524:55074026:-1 gene:B456_010G193700 transcript:KJB67494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAVLGSFHPTCSLERPGTVCMYSGGWPASRKSCLSGLIFGEKNRFPKKQNVTLISCVKTPEATVTGKSNVPSDSSKKGSLEKKTSPTATFPKGFEALVLEVCDETEVAELKMKIGEFEMHLKRNVGATKAPLSNISPTTAPPIPTVPMNESVAATPPPSPPKPAAEKVTPFKNINVGKSSKLAALEASESNNYVLIPSPIVGTFRRGRTVKGKKQPPICREGDLIKEGQVIGFLDQFGIELPVKSDVAGEVLKLLFDDGDGVGYGDALIAVLPSFHPIN >KJB67492 pep chromosome:Graimondii2_0_v6:10:55069508:55074052:-1 gene:B456_010G193700 transcript:KJB67492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAVLGSFHRLIFGEKNRFPKKQNVTLISCVKTPEATVTGKSNVPSDSSKKGSLEKKTSPTATFPKGFEALVLEVCDETEVAELKMKIGEFEMHLKRNVGATKAPLSNISPTTAPPIPTVPMNESVAATPPPSPPKPAAEKVTPFKNINVGKSSKLAALEASESNNYVLIPSPIVGTFRRGRTVKGKKQPPICREGDLIKEGQVIGFLDQFGIELPVKSDVAGEVLKLLFDDGDGVGYGDALIAVLPSFHPIN >KJB63624 pep chromosome:Graimondii2_0_v6:10:637871:639156:1 gene:B456_010G008800 transcript:KJB63624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIPSPIIYNILSKLPVKSLARFKSLNKLYCSFIKDPHFINAHFKNHSVVHGDLCLILSCIEHQNLNNTSKIHFFTIKDNEHAMIEYSIPVSFDTYHILPSCNGLICFYGLHGSVHVCNPTTKTIVNLPNIDDDLQRFQSCGFGFDGINGTYKVIKFFDPHKIEIFTMVNGSWNKIWYTYSPCFGFQHHQPPVFANGVFYWFSISSSIVSFDIGKETFETISLPQSALNKDKYKLYLVELKGELCMVDMDFEDKKRVDIWIFKSNGGLWVKLGTIVHRSEPIDTTRPVGIKANKKEILLHGFIKGLGHLSCYNMETGGFRPINIKGFTLDYFHVSQHVETLFQVGD >KJB65352 pep chromosome:Graimondii2_0_v6:10:14084552:14085148:-1 gene:B456_010G091100 transcript:KJB65352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHISEKPAVERSDSRFKGVRKRKWGKWVSEIRLPNSRERIWLGSYDSAEKAARAFDAALFCLRGRSSKFNFPDNPPEIAGARSLTRPQIQAAAAQFANSEPPRIQSEQSNSGFQTESPSPSVSDGTVQLDSELPMDGSFLDLLTIGSGIYESDYGLIFPGFEDFSSDFLGSSLPNVGYEEDYLDGILVPESFLWNF >KJB64748 pep chromosome:Graimondii2_0_v6:10:7887875:7889364:-1 gene:B456_010G063200 transcript:KJB64748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSASTPLFNTLVPHSKEPSPDLEFPYQISRTRTVSFTVSCSSSISVGSSDDSTRRMTRAVSETDLRDLVVPKIKTVQKNGGILNGVCVEEEEVEQEEAGFEWWRASSLGVEEECGIGGGGGNICGGGGRGGADGSDGSDNEWGYWDSNNGKDSSDTYYQKMIQANPGNSLLLSNYARFLKEVRGDLVRAEEYCGRAILANPNDGNLLSMYADLIWQTHKDGPRAETYFDQAVKSAPDDCFVLASYARFLWDAEEEDDEEEKAGEKFSNGSDQSFFHGAPPLAAAS >KJB64747 pep chromosome:Graimondii2_0_v6:10:7887875:7889411:-1 gene:B456_010G063200 transcript:KJB64747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSASTPLFNTLVPHSKEPSPDLEFPYQISRTRTVSFTVSCSSSISVGSSDDSTRRMTRAVSETDLRDLVVPKIKTVQKNGGILNGVCVEEEEVEQEEAGFEWWRASSLGVEEECGIGGGGGNICGGGGRGGADGSDGSDNEWGYWDSNNGKDSSDTYYQKMIQANPGNSLLLSNYARFLKEVRGDLVRAEEYCGRAILANPNDGNLLSMYADLIWQTHKDGPRAETYFDQAVKSAPDDCFVLASYARFLWDAEEEDDEEEKAGEKFSNGSDQSFFHGAPPLAAAS >KJB64746 pep chromosome:Graimondii2_0_v6:10:7888544:7889155:-1 gene:B456_010G063200 transcript:KJB64746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSASTPLFNTLVPHSKEPSPDLEFPYQISRTRTVSFTVSCSSSISVGSSDDSTRRMTRAVSETDLRDLVVPKIKTVQKNGGILNGVCVEEEEVEQEEAGFEWWRASSLGVEEECGIGGGGGNICGGGGRGGADGSDGSDNEWGYWDSNNGKDSSDTYYQKMIQANPGNSLLLSNYARFLKEVTLFICFTKRKIKTDFSEF >KJB66767 pep chromosome:Graimondii2_0_v6:10:44129202:44134829:1 gene:B456_010G157400 transcript:KJB66767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLIDLNSTEDDETPLSGSLSPSSSSASVLSAPGSGSSVCLELWHACAGPLISLPKRGNVVVYFPQGHLEQVSDFSGVAAAYDLPSHVFCRVVDVKLHAEGATDEVYAQVSLVPETEQSEQKLEGGKTEADGEEEDAETNIKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQQRPSQELVAKDLHGSEWRFRHIYRGQPRRHLLTSGWSAFVNKKKLVSGDAVLFLRGEDGELRLGVRRAAQIKDGSSFPSSCSQQLNCSNFADVVHAVSMKSVFSIYYNPRASSSDFVIPVHKFWKCLDPSFSIGMRFKMQFEAEDAAERRHSGVITGISDINPVRWPGSKWRCLMVRWNDIDANRHGRVSPWEIEPSGSVSGSNSLISPGSKRNRVGFPSGNSEFMVPDGIRASDFGEFLWSQLSGTRSGWSSLMQRYNTHSRTRPFAPSTQTSSPSSVLTFLQGKLFPSSASEHDSRARDLGSSDLFGHSIGSVQLGDASPLAAQPVFRTSQELDSSCKSSCRLFGFSLTEGRHDASKEEDVVQATSSLAAGAFLPCVREEFHPKPSSVTDTVGSNYTEASNLYSVRDMVLDIAL >KJB66768 pep chromosome:Graimondii2_0_v6:10:44129202:44134829:1 gene:B456_010G157400 transcript:KJB66768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLIDLNSTEDDETPLSGSLSPSSSSASVLSAPGSGSSVCLELWHACAGPLISLPKRGNVVVYFPQGHLEQVSDFSGVAAAYDLPSHVFCRVVDVKLHAEGATDEVYAQVSLVPETEQSEQKLEGGKTEADGEEEDAETNIKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQQRPSQELVAKDLHGSEWRFRHIYRGQPRRHLLTSGWSAFVNKKKLVSGDAVLFLRGEDGELRLGVRRAAQIKDGSSFPSSCSQQLNCSNFADVVHAVSMKSVFSIYYNPRASSSDFVIPVHKFWKCLDPSFSIGMRFKMQFEAEDAAERRHSGVITGISDINPVRWPGSKWRCLMVRWNDIDANRHGRVSPWEIEPSGSVSGSNSLISPGSKRNRVGFPSGNSEFMVPDGIRASDFGEFLWSQVLQVQENLGFNTLYDGSDSLNMHWSEIRRCIPGSIGSDFSAIGNIGRGSLVSPDISRKSVGFGESFRFRKVLQGQEIFVSPPYRNGSTADENEENDAFGLPDVGQLSGTRSGWSSLMQRYNTHSRTRPFAPSTQTSSPSSVLTFLQVSNPILNFSPIYNSNNQKRKQGVNKQSSFHAPEMYEGKLFPSSASEHDSRARDLGSSDLFGHSIGSVQLGDASPLAAQPVFRTSQELDSSCKSSCRLFGFSLTEGRHDASKEEDVVQATSSLAAGAFLPCVREEFHPKPSSVTDTVGSNYTEASNLYSVRDMVLDIAL >KJB65996 pep chromosome:Graimondii2_0_v6:10:25716997:25719851:-1 gene:B456_010G124700 transcript:KJB65996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIGLGKWKPPSLGSDTNQNPKREREREREDHAILIYKSSIPFISCLRPPLHRILFYLRVDHSQGFLSFIYQSCCNMVFWVFGYGSLVWNPGFEYDEKVIGFIKDYRRVFDLACIDHRGTPEDPARTCTLEHIEGAVCWGAAYCVRGRPEKERAAMQYLERRECEYDQKTLVDFFKETDPLRPALSGVIVFTSTPDKVSNKYYLGPAPLEEMAWQIATAVGPCGNNRDYLFSLEKAMFDIGHEDDLVIELANEVRKVLGTMAKEKKLVGSPLKPLKSQTQPQIPTVQLHLLPPEAVAMDL >KJB65997 pep chromosome:Graimondii2_0_v6:10:25716939:25720067:-1 gene:B456_010G124700 transcript:KJB65997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWVFGYGSLVWNPGFEYDEKVIGFIKDYRRVFDLACIDHRGTPEDPARTCTLEHIEGAVCWGAAYCVRGRPEKERAAMQYLERRECEYDQKTLVDFFKETDPLRPALSGVIVFTSTPDKVSNKYYLGPAPLEEMAWQIATAVGPCGNNRDYLFSLEKAMFDIGHEDDLVIELANEVRKVLGTMAKEKKLVGSPLKPLKSQTQPQIPTVQLHLLPPEAVAMDL >KJB65995 pep chromosome:Graimondii2_0_v6:10:25716997:25719992:-1 gene:B456_010G124700 transcript:KJB65995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWVFGYGSLVWNPGFEYDEKVIGFIKDYRRVFDLACIDHRGTPEDPARTCTLEHIEGAVCWGAAYCVRGRPEKERAAMQYLERRECEYDQKTLVDFFKETDPLRPALSGVIVFTSTPDKVSNKYYLGPAPLEEMAWQIATAVGPCGNNRDYLFSLEKAMFDIGHEDDLVIELANEVRKVLGTMAKEKKLVGSPLKPLKSQTQPQIPTVQLHLLPPEAVAMDL >KJB65994 pep chromosome:Graimondii2_0_v6:10:25716997:25719268:-1 gene:B456_010G124700 transcript:KJB65994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWVFGYGSLVWNPGFEYDEKVIGFIKDYRRVFDLACIDHRGTPEDPARTCTLEHIEGAVCWGAAYCVRGRPEKERAAMQYLERRECEYDQKTLVDFFKETDPLRPALSGVIVFTSTPDKVSNKYYLGPAPLEEMAWQIATAVGPCGNNRDYLFSLEKAMFDIGHEDDLVIELANEVRKVLGTMAKEKKLVGSPLKPLKSQTQPQIPTVQLHLLPPEAVAMDL >KJB65998 pep chromosome:Graimondii2_0_v6:10:25716997:25719851:-1 gene:B456_010G124700 transcript:KJB65998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWVFGYGSLVWNPGFEYDEKVIGFIKDYRRVFDLACIDHRGTPEDPARTCTLEHIEGAVCWGAAYCVRGRPEKERAAMQYLERRECEYDQKTLVDFFKETDPLRPALSGVIVFTSTPDKVSNKYYLGPAPLEEMAWQIATAVGPCGNNRDYLFSLEKAMFDIGHEDDLVIELANEVRKVLGTMAKEKKLVGSPLKPLKSQTQPQIPTVQLHLLPPEAVAMDL >KJB64474 pep chromosome:Graimondii2_0_v6:10:5708228:5710056:-1 gene:B456_010G050500 transcript:KJB64474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFVGGLEQQARQVLKSGVGRCINCGSRADLVEYEKVLKLFFVPVWTWPGKDPLLHCNNCKLFFPEDLSFPPPKIDSSSAAVSDSLRCRFCDRLVEPEFR >KJB64473 pep chromosome:Graimondii2_0_v6:10:5708934:5709837:-1 gene:B456_010G050500 transcript:KJB64473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFVGGLEQQARQVLKSGVGRCINCGSRADLVEYEKVLKLFFVPVWTWPGKDPLLHCNNCKLFFPEDLSFPPPKIDSSSAAVSDSLRCRFCDRLVEPEFR >KJB63500 pep chromosome:Graimondii2_0_v6:10:207506:209489:1 gene:B456_010G004800 transcript:KJB63500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDQGGVMMIKRAEIDTRAPFRSVKEAVALFGEKVLAGEVYATKLKEMRGEASGNVNSKLGTVAAELEETKNNLERAREESTAMANHLTSLKEELERTKRELQKMKDRETEKLMMEFEIEDVKIVPDSTKFEVEKTRMSNERGPELQKKRYVTFANPPSLAQVMVPQGVEKLERHPSLRKKKKPLIPLIGGIFSRKKGNPEIASSP >KJB64623 pep chromosome:Graimondii2_0_v6:10:6759369:6760653:1 gene:B456_010G058000 transcript:KJB64623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPELAKLGSSLLVPSKDVPPRYVRTDEDSTIISHLNPLPQVPVIDMQKFGSRLINHGVSPLLVEKLKMETQEFFNLPMEEKKKVWQKPDEVERYGQAFVVSEEQKLNCGDMFYMITLPTSLGMDPNDLRVLFEEGHQGMRMNYYPPCPQPELAIGFNSHSDAVGLTILLQINDMEGLQIRKNGIWVPIKPLPNAFVINIGDIMEIVSNGIYRSIEHRATVNSVKERVSVATFYSPKLEEGYGSIGVADYFKGLFSRELCGKSYLDVLRLHISYNYR >KJB64809 pep chromosome:Graimondii2_0_v6:10:8441124:8444246:-1 gene:B456_010G065800 transcript:KJB64809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKASSQPMPFSHNILPRVLSFYHHWKKIYGATFIVWFGPTVRLTVSDPDIIREIFTSKSELYEKNEAHPLIKQLEGDGLLSLKGEKWAHHRKIISPTFHMENLKLLVPVVAQSVIEMVEKWSALIKRSKSGEIEIEVCEWFQSLTEDVITRTAFGSSYEDGKAIFGLQAQQMVLVAEAFQKVFIPGYRFLPTKRNISCWKLDRGIKKSLKKLIERRRSNCGNKMQGNGAKDLLGLLMEASNDISVNDIIEECKSFFFAGKQTTSNLLTWTAVLLAMHPQWQVQAREEVLRVCGLRDIPNKDDFVKLKTLSMILNESLRLYPPTVATIRRTKVDAELGGYMIPHGTELLIPILAVHHDKAIWGNDANEFNPARFSGGVARAAKHPIGFIPFGLGVRTCIGQNLAILQAKLALSIILQRFSFKLAPTYQHAPTVLMLLYPQYGAPIIFQPLSQI >KJB64810 pep chromosome:Graimondii2_0_v6:10:8441201:8444166:-1 gene:B456_010G065800 transcript:KJB64810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVWQWLKLVGICLMLLMMVLKMVVLLWWRPRKIEEHFSRQGIRGPPYHFFIGNVKELVGMMLKASSQPMPFSHNILPRVLSFYHHWKKIYGATFIVWFGPTVRLTVSDPDIIREIFTSKSELYEKNEAHPLIKQLEGDGLLSLKGEKWAHHRKIISPTFHMENLKLLVPVVAQSVIEMVEKWSALIKRSKSGEIEIEVCEWFQSLTEDVITRTAFGSSYEDGKAIFGLQAQQMVLVAEAFQKVFIPGYRFLPTKRNISCWKLDRGIKKSLKKLIERRRSNCGNKMQGNGAKDLLGLLMEASNDISVNDIIEECKSFFFAGKQTTSNLLTWTAVLLAMHPQWQVQAREEVLRVCGLRDIPNKDDFVKLKTLSMILNESLRLYPPTVATIRRTKVDAELGGYMIPHGTELLIPILAVHHDKAIWGNDANEFNPARFSGGVARAAKHPIGFIPFGLGVRTCIGQNLAILQAKLALSIILQRFSFKLAPTYQHAPTVLMLLYPQYGAPIIFQPLSQI >KJB67636 pep chromosome:Graimondii2_0_v6:10:56399852:56405356:-1 gene:B456_010G201400 transcript:KJB67636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSGDDSDISESEMEEYEDKSYEKLKNGKYTVMVSDETYTCPYCPKSNKQKYRYKDLLQHASGVGNSSSAKRKPRVKANHLALARYLETDLVPVVSSPKPVVEEDPPSGCDHDEKIVWPCTGIVVNIPTRRSADGRSVGESGSKLRDELIRRGFNPVRVHPLWNFRGHSGTAVVEFRKGWPGLHNALSFEKAYEADHHGKKDWGANDDVKHGLYAWVARADDYNASGIIGDHLRKIGDLKTISELMEEEARKQDRLVSNLTNIIETKTKHIKELEAICSETSKSLEVLMEEKENLLQAYNEEIKKIQLSAREHFQKISSDHEKLKSQLETHKKELELRGVELEKREALNETERKKLAEELEQGLKEISRRANIGVKRMGELDGKPFLEAMKRRYNEELAEERASEMCSLWEEYLKDPDWHPFKRIKLEGGEEYQEVIDDEDEKLRDLKAEMGNEAYKSVTLAIKEINEYNPSGRYVISELWNYREGRKASLKEGVEFLLELWETVKRRRGMT >KJB67635 pep chromosome:Graimondii2_0_v6:10:56400298:56404348:-1 gene:B456_010G201400 transcript:KJB67635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSGDDSDISESEMEEYEDKSYEKLKNGKYTVMVSDETYTCPYCPKSNKQKYRYKDLLQHASGVGNSSSAKRKPRVKANHLALARYLETDLVPVVSSPKPVVEEDPPSGCDHDEKIVWPCTGIVVNIPTRRSADGRSVGESGSKLRDELIRRGFNPVRVHPLWNFRGHSGTAVVEFRKGWPGLHNALSFEKAYEADHHGKKDWGANDDVKHGLYAWVARADDYNASGIIGDHLRKIGDLKTISELMEEEARKQDRLVSNLTNIIETKTKHIKELEAICSETSKSLEVLMEEKENLLQAYNEEIKKIQLSAREHFQKISSDHEKLKSQLETHKKELELRGVELEKREALNETERKKLAEELEQNAVQNSSLQMAALEQKRADENVMKLAEDQKRQKEELHNRIIQLEKQLDQKQALELEIEQLRGSLNVIRHMGDEDDKEVLQKIEASLKDLREKEGELEDLEALNQTLIVSERKSNDELQDARKELINGLKEISRRANIGVKRMGELDGKPFLEAMKRRYNEELAEERASEMCSLWEEYLKDPDWHPFKRIKLEGGEEYQEVIDDEDEKLRDLKAEMGNEAYKSVTLAIKEINEYNPSGRYVISELWNYREGRKASLKEGVEFLLELWETVKRRRGMT >KJB67640 pep chromosome:Graimondii2_0_v6:10:56406615:56412232:-1 gene:B456_010G201500 transcript:KJB67640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEDFLMERTEEKKKKIELQEEVDKLQAELDEEKEVNRVLRRALEASPLSLSQQPPLLPPLLPPQVQALLAELATVEEEIVWLERKIDDLKMKLYQEKKLTQLWEIRQQQNQLICKPPRNDDDDLNQRTRSQNYDILRKRRIKSHRRASMGSASDILTLSSSQCSTGEVNQRSKKLQTWRIQKQCPIINEKPNELSEELVRCLIGIFFELNQQGSQDKEGSAIVAKLSFSCMASRGYSAKNSLINLKSPIFGFNQNASNIDPYGIIPEFDGLFRDIGDYKNFIQITRHSFDLTRFSDCLAPIGKLRILMHRLSNVDLTFLTYKQKLAFWINIYNACIMHVFLEHGLPSTQEKLLALMNKAALNVGGIVLNALAIEHFILRHPCESEYGPMDEKEMLLRHAYGLGYPEPNVTFALCRGTWSSPALRIYTADEVVNELGKAKVDYLEASVGITSKKKVSLPKLLQWHMKDFADDMESLVEWVYSQLPRSGSLKTSIMDCLNKESKYQFAKMVEVQHYESEFRYLLSCPYN >KJB67639 pep chromosome:Graimondii2_0_v6:10:56406615:56411892:-1 gene:B456_010G201500 transcript:KJB67639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEDFLMERTEEKKKKIELQEEVDKLQAELDEEKEVNRVLRRALEASPLSLSQQPPLLPPLLPPQVQALLAELATVEEEIVWLERKIDDLKMKLYQEKKLTQLWEIRQQQNQLICKPPRNDDDDLNQRTRSQNYDILRKRRIKSHRRASMGSASDILTLSSSQCSTEVNQRSKKLQTWRIQKQCPIINEKPNELSEELVRCLIGIFFELNQQGSQDKEGSAIVAKLSFSCMASRGYSAKNSLINLKSPIFGFNQNASNIDPYGIIPEFDGLFRDIGDYKNFIQITRHSFDLTRFSDCLAPIGKLRILMHRLSNVDLTFLTYKQKLAFWINIYNACIMHVFLEHGLPSTQEKLLALMNKAALNVGGIVLNALAIEHFILRHPCESEYGPMDEKEMLLRHAYGLGYPEPNVTFALCRGTWSSPALRIYTADEVVNELGKAKVDYLEASVGITSKKKVSLPKLLQWHMKDFADDMESLVEWVYSQLPRSGSLKTSIMDCLNKESKYQFAKMVEVQHYESEFRYLLSCPYN >KJB67638 pep chromosome:Graimondii2_0_v6:10:56406615:56408827:-1 gene:B456_010G201500 transcript:KJB67638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYQEKKLTQLWEIRQQQNQLICKPPRNDDDDLNQRTRSQNYDILRKRRIKSHRRASMGSASDILTLSSSQCSTGEVNQRSKKLQTWRIQKQCPIINEKPNELSEELVRCLIGIFFELNQQGSQDKEGSAIVAKLSFSCMASRGYSAKNSLINLKSPIFGFNQNASNIDPYGIIPEFDGLFRDIGDYKNFIQITRHSFDLTRFSDCLAPIGKLRILMHRLSNVDLTFLTYKQKLAFWINIYNACIMHVFLEHGLPSTQEKLLALMNKAALNVGGIVLNALAIEHFILRHPCESEYGPMDEKEMLLRHAYGLGYPEPNVTFALCRGTWSSPALRIYTADEVVNELGKAKVDYLEASVGITSKKKVSLPKLLQWHMKDFADDMESLVEWVYSQLPRSGSLKTSIMDCLNKESKYQFAKMVEVQHYESEFRYLLSCPYN >KJB67641 pep chromosome:Graimondii2_0_v6:10:56406740:56411892:-1 gene:B456_010G201500 transcript:KJB67641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEDFLMERTEEKKKKIELQEEVDKLQAELDEEKEVNRVLRRALEASPLSLSQQPPLLPPLLPPQVQALLAELATVEEEIVWLERKIDDLKMKLYQEKKLTQLWEIRQQQNQLICKPPRNDDDDLNQRTRSQNYDILRKRRIKSHRRASMGSASDILTLSSSQCSTAGEVNQRSKKLQTWRIQKQCPIINEKPNELSEELVRCLIGIFFELNQQGSQDKEGSAIVAKLSFSCMASRGYSAKNSLINLKSPIFGFNQNASNIDPYGIIPEFDGLFRDIGDYKNFIQITRHSFDLTRFSDCLAPIGKLRILMHRLSNVDLTFLTYKQKLAFWINIYNACIMHVFLEHGLPSTQEKLLALMNKAALNVGGIVLNALAIEHFILRHPCESEYGPMDEKEMLLRHAYGLGYPEPNVTFALCRGTWSSPALRIYTADEVVNELGKAKVDYLEASVGITSKKKVSLPKLLQWHMKDFADDMESLVEWVYSQLPRSGSLKTSIMDCLNKESKYQFAKMVEVQHYESEFRYLLSCPYN >KJB67637 pep chromosome:Graimondii2_0_v6:10:56406740:56408716:-1 gene:B456_010G201500 transcript:KJB67637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYQEKKLTQLWEIRQQQNQLICKPPRNDDDDLNQRTRSQNYDILRKRRIKSHRRASMGSASDILTLSSSQCSTAGEVNQRSKKLQTWRIQKQCPIINEKPNELSEELVRCLIGIFFELNQQGSQDKEGSAIVAKLSFSCMASRGYSAKNSLINLKSPIFGFNQNASNIDPYGIIPEFDGLFRDIGDYKNFIQITRHSFDLTRFSDCLAPIGKLRILMHRLSNVDLTFLTYKQKLAFWINIYNACIMHVFLEHGLPSTQEKLLALMNKAALNVGGIVLNALAIEHFILRHPCESEYGPMDEKEMLLRHAYGLGYPEPNVTFALCRGTWSSPALRIYTADEVVNELGKAKVDYLEASVGITSKKKVSLPKLLQWHMKDFADDMESLVEWVYSQLPRSGSLKTSIMDCLNKESKYQFAKMVEVQHYESEFRYLLSCPYN >KJB64072 pep chromosome:Graimondii2_0_v6:10:2865372:2869594:1 gene:B456_010G032200 transcript:KJB64072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVDQIKREISIMKLVRHPYVVRLHEVIASRTKIYIILEFITGGELFDKIVHKGRLSEAEARRFFQQLIDGVEYCHSKGVYHRDLKPENLLLDSLGNLKISDFGLSALPEQGVSLLRTTCGTPNYVAPEVLSHKGYDGAVADVWSCGVILYVLMAGYLPFDELDLTTLYSKIERADFSCPSWFPVGAKSLIHRILDPNPQTRITIEQIRSDEWFNKGYVPVRLLEYEDINLDEVNAVFDDPEVDTEERGNEPSGNEDMGPLSLNAFDLIILSQGLNLATLFDRGKDNMKYQTRFVSQKPARVVLSSMEVVAQSMGYKTHIRNYKMRVEGPSANKNSHLSVILEVFEVAPTFLMVDMEKAAGDAGEYLKFYQAFYSNLEEIIWKPPNESSKTRITKSRSKRR >KJB64073 pep chromosome:Graimondii2_0_v6:10:2865516:2869551:1 gene:B456_010G032200 transcript:KJB64073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVDQIKREISIMKLVRHPYVVRLHEVIASRTKIYIILEFITGGELFDKIVHKGRLSEAEARRFFQQLIDGVEYCHSKGVYHRDLKPENLLLDSLGNLKISDFGLSALPEQGVSLLRTTCGTPNYVAPEVLSHKGYDGAVADVWSCGVILYVLMAGYLPFDELDLTTLYSKIERADFSCPSWFPVGAKSLIHRILDPNPQTRITIEQIRSDEWFNKGYVPVRLLEYEDINLDEVNAVFDDPEVDTEERGNEPSGNEDMGPLSLNAFDLIILSQGLNLATLFDRGKDNMKYQTRFVSQKPARVVLSSMEVVAQSMGYKTHIRNYKMRVEGPSANKNSHLSVILEVGSTPADSTPTFKFSLLLISFSFCHSDCTF >KJB64831 pep chromosome:Graimondii2_0_v6:10:8631522:8632357:1 gene:B456_010G067100 transcript:KJB64831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIPFWRKTLSPISNLWGVWLGGIGMHSPYSAGPPPNGRLVTRNAITARFSYGAITSIGCNSHSPREAVIRDSALVSYFLFHSLPLSTFRSIDSPTFPFQR >KJB67150 pep chromosome:Graimondii2_0_v6:10:52383581:52385223:1 gene:B456_010G178300 transcript:KJB67150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSIKLSPSSFTSTGFLPNRRSIPTPQFSPLPIIKSLHSAFPTHSFSSQKPLQVASIDNLAISKNTQQRKTECQAYEADRSRPLDIKIELPDEDARLEAAKRIKIGIYFATWWALNVVFNIYNKKVLNAFPFPWLTSTLSLAAGSLLMLISWATRVADAPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGDSFPMPVYLSLVPIIGGCALSALTELNFNMTGFMGAMISNLAFVFRNIFSKKGMNGKSVSGMNYYACLSMLSLLILTPFAIAVEGHQLWAVGWQKAVSQIGPNFVWWVVAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVQPINALGAAIAILGTFLYSQAKQ >KJB67151 pep chromosome:Graimondii2_0_v6:10:52383363:52385774:1 gene:B456_010G178300 transcript:KJB67151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSIKLSPSSFTSTGFLPNRRSIPTPQFSPLPIIKSLHSAFPTHSFSSQKPLQVASIDNLAISKNTQQRKTECQAYEADRSRPLDIKIELPDEDARLEAAKRIKIGIYFATWWALNVVFNIYNKKVLNAFPFPWLTSTLSLAAGSLLMLISWATRVADAPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGDSFPMPVYLSLVPIIGGCALSALTELNFNMTGFMGAMISNLAFVFRNIFSKKGMNGKSVSGMNYYACLSMLSLLILTPFAIAVEGHQLWAVGWQKAVSQIGPNFVWWVVAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSPLMLLELPLPSLEPSFIHRQSSEKGQRISRFC >KJB67288 pep chromosome:Graimondii2_0_v6:10:53311271:53315510:-1 gene:B456_010G183800 transcript:KJB67288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSNSTSCMMAFGHNSNGLCPMTMMHHPMTSHLHPQHQHHHPNSGSSSLFLPQPPTNNQDQNHNSSSGSSMILDDQHNTTTSNNNNTGCYFMESNDGSSSVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVASLEEACASAATTGPTRTGCIGEDPALDQFMEAYCEMLTKYEQELTKPFKEAMLFLQRVECQFKALTVSSPNPACGEGVDRNASSEEDVDVNNNFIDPLAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAAHPHYYMDNVLGNPFPMDLSPTLL >KJB67290 pep chromosome:Graimondii2_0_v6:10:53311550:53315524:-1 gene:B456_010G183800 transcript:KJB67290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSNSTSCMMAFGHNSNGLCPMTMMHHPMTSHLHPQHQHHHPNSGSSSLFLPQPPTNNQDQNHNSSSGSSMILDDQHNTTTSNNNNTGCYFMESNDGSSSVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVASLEEACASAATTGPTRTGCIGEDPALDQFMEAYCEMLTKYEQELTKPFKEAMLFLQRVECQFKALTVSSPNPACGEGVDRNASSEEDVDVNNNFIDPLAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAAHPHYYMDNVLGNPFPMDLSPTLL >KJB67289 pep chromosome:Graimondii2_0_v6:10:53310767:53315801:-1 gene:B456_010G183800 transcript:KJB67289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSNSTSCMMAFGHNSNGLCPMTMMHHPMTSHLHPQHQHHHPNSGSSSLFLPQPPTNNQDQNHNSSSGSSMILDDQHNTTTSNNNNTGCYFMESNDGSSSVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVASLEEACASAATTGPTRTGCIGEDPALDQFMEAYCEMLTKYEQELTKPFKEAMLFLQRVECQFKALTVSSPNPACGEGVDRNASSEEDVDVNNNFIDPLAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAAHPHYYMDNVLGNPFPMDLSPTLL >KJB63980 pep chromosome:Graimondii2_0_v6:10:2210638:2213470:1 gene:B456_010G027600 transcript:KJB63980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGAGEGPTLIKQLAACDKSSRDRAVRSLLDGWLPSQSEVSDEEMKRLWKGLFYCVWHADKLPAQTDLINKLSSVLPKLEPGLSLQYFSVFLLTMRREWTGIDKLRLDKFYLLIRRFLNCFFAMLKKSSWDLDFMRRSIRVLVDGTFIADDKFQGNGVNYHIASIFLEEIRPFFPLRKEVVEVLLEPLVGILGKVGDKVLVGKIRSNVFDVLVKTGRRLLELKQSGDEVDESDDVVVFGTIGLVMGFSTKFYELGSSVDCCQGNRKAVLALHEEFLKLEKDLTSLGIDISIPEGNEGNEEDEVPELIPVGGETDANVSNDVLEPVEVNITGSAKKATKKSKKAKKATGDNGKKTKKSKKTECSPADQENDVKLPAEVASSNIEQNGDGDSITFTESVISNLQLQFEKVAAEVGLNSDVATACDLPKVNGAVSKKRKRAKGLNGRKPQNGELTGEADGEGDGTAKTCENSTKRVRFSMKSNLVWKPHSPLPPLSLRLPPSVTPRGSALKQGIPPGPIKEMAPMTKKGKKAKSVKKARKVIKSMYPLVKRTKKLKSTSS >KJB63979 pep chromosome:Graimondii2_0_v6:10:2210608:2213794:1 gene:B456_010G027600 transcript:KJB63979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGAGEGPTLIKQLAACDKSSRDRAVRSLLDGWLPSQSEVSDEEMKRLWKGLFYCVWHADKLPAQTDLINKLSSVLPKLEPGLSLQYFSVFLLTMRREWTGIDKLRLDKFYLLIRRFLNCFFAMLKKSSWDLDFMRRSIRVLVDGTFIADDKFQGNGVNYHIASIFLEEIRPFFPLRKEVVEVLLEPLVGILGKVGDKVLVGKIRSNVFDVLVKTGRRLLELKQSGDEVDESDDVVVFGTIGLVMGFSTKFYELGSSVDCCQGNRKAVLALHEEFLKLEKDLTSLGIDISIPEGNEGNEEDEVPELIPVGGETDANVSNDVLEPVEVNITGSAKKATKKSKKAKKATGDNGKKTKKSKKTECSPADQENDVKLPAEVASSNIEQNGDGDSITFTESVISNLQLQFEKVAAEVGLNSDVATACDLPKVNGAVSKKRKRAKGLNGRKPQNGELTGEADGEGDGTAKTCENSTKRVRFSMKSNLVWKPHSPLPPLSLRLPPSVTPRGSALKQGIPPGPIKEMAPMTKKGKKAKSVKKARKVIKSMYPLVKRTKKLKSTSS >KJB65623 pep chromosome:Graimondii2_0_v6:10:18886681:18891336:-1 gene:B456_010G103900 transcript:KJB65623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MGNPGDTQMKLATGEGGYVLEDVPHLTDYLPDLPSYPNPLQDNPAYSAVKQYFVNDDDTVTQKIVVHKDSPRGVHFRRAGPRQRVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLCHMYGVKKILGIDGGYRGFYSKNTVTLTPKVVNDIHKRGGTVLGTSRGGHDTSKIVDSIQDRGINQVYILGGDGTQRGAAVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVESLSIENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGSGGLYEYIEKRLKENGHMVIVIAEGAGQDLVSESLQSMDQQDASGNKLLQDVGLWISHGIKDHFAKKKMPINLKYIDPTYMIRAIPSIASDNVYCTLLAHSAVHGAMAGYTGFTVGPVNGRHAYIPFNRITEKQNKVVITDRMWARLLSSTNQPSFLNPKDIAEAKEEKQPATGLLDGENCKDKKSNTEEDPCMV >KJB65625 pep chromosome:Graimondii2_0_v6:10:18886711:18891239:-1 gene:B456_010G103900 transcript:KJB65625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MGNPGDTQMKLATGEGGYVLEDVPHLTDYLPDLPSYPNPLQDNPAYSAVKQYFVNDDDTVTQKIVVHKDSPRGVHFRRAGPRQRVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLCHMYGVKKILGIDGGYRGFYSKNTVTLTPKVVNDIHKRGGTVLGTSRGGHDTSKIVDSIQDRGINQVYILGGDGTQRGAAVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVESLSIENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGSGGLYEYIEKRLKENGHMVIVIAEGAGQDLVSESLQSMDQQDASGNKLLQDVGLWISHGIKMPINLKYIDPTYMIRAIPSIASDNVYCTLLAHSAVHGAMAGYTGFTVGPVNGRHAYIPFNRITEKQNKVVITDRMWARLLSSTNQPSFLNPKDIAEAKEEKQPATGLLDGENCKDKKSNTEEDPCMV >KJB65624 pep chromosome:Graimondii2_0_v6:10:18888174:18891125:-1 gene:B456_010G103900 transcript:KJB65624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MGNPGDTQMKLATGEGGYVLEDVPHLTDYLPDLPSYPNPLQDNPAYSAVKQYFVNDDDTVTQKIVVHKDSPRGVHFRRAGPRQRVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLCHMYGVKKILGIDGGYRGFYSKNTVTLTPKVVNDIHKRGGTVLGTSRGGHDTSKIVDSIQDRGINQVYILGGDGTQRGAAVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVESLSIENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGSGGLYEYIEKRLKENGHMVIVIAEGAGQDLVSESLQSMDQQDASGNKLLQDVGLWISHGIKVLPSIDAWLSSRCLCKIYTEVFYHFV >KJB68558 pep chromosome:Graimondii2_0_v6:10:61765065:61765577:-1 gene:B456_010G250400 transcript:KJB68558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKVGKHSNLKPESKALVIGSSTQKPSIIEAIKPQCLKTRKSTKNYNGFKRPSQSLAEERVTKARKLLTLEDWLLASPGGPLKDYFNGGELYVFKQFNKRVHPSSSSMEIEPRVNDHDGFSVDLSSSVDVSGCSSFSRSQSGKSKKKVSFRLPEEADIMVFYSPAGGDI >KJB63608 pep chromosome:Graimondii2_0_v6:10:594777:597614:-1 gene:B456_010G008400 transcript:KJB63608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVILDKEDAVDWVYRGEGAANLVLAYTGSSPSFIGKVMRIQKSQRKGKSRVNENEVLTAHERLLWREYKELMVWPNREIVQQLYVKHVMSPLLGPQHVDAGMRVRVTKEFLLSVEKNVTCRRPACRIDSSQVDVNRDSVLIISDHSVFMNGPVKGGPCITVEIKPKCGFLPISRFIAEENAVKRTLSRFKMHQELKLHNQEISEYSEYNPLDLFSGSLDRICKAIEALYATPQNNFRVFLNGSIVFGGLGGGAGSTTVLVGEAFEDSLKDVIKADDGMCKTSFIQLVAETVYSSGVLDQLLEVQKLDAYDIEGAIHAYYNIISQPCMVCRELSKDKLSNRHTSLHSIPLEESLKIVKDYLISATVKDCSLMISFRPMVDGDVLSESSHSTVYLGSTKQVFEYKVYFIDLDLKPLKKMEDYYKLDKKIVNCYCQMAKTEHKR >KJB63606 pep chromosome:Graimondii2_0_v6:10:594163:598004:-1 gene:B456_010G008400 transcript:KJB63606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVILDKEDAVDWVYRGEGAANLVLAYTGSSPSFIGKVMRIQKSQRKGKSRVNENEVLTAHERLLWREYKELMVWPNREIVQQLYVKHVMSPLLGPQHVDAGMRVRVTKEFLLSVEKNVTCRRPACRIDSSQVDVNRDSVLIISDHSVFMNGPVKGGPCITVEIKPKCGFLPISRFIAEENAVKRTLSRFKMHQELKLHNQEISEYSEYNPLDLFSGSLDRICKAIEALYATPQNNFRVFLNGSIVFGGLGGGAGSTTVLVGEAFEDSLKDVIKADDGMCKTSFIQLVAETVYSSGVLDQLLEVQKLDAYDIEGAIHAYYNIISQPCMVCRELSKDKLSNRHTSLHSIPLEESLKIVKDYLISATVKDCSLMISFRPMVDGDVLSESSHSTVYLGSTKQVFEYKVYFIDLDLKPLKKMEDYYKLDKKIVNCYCQMAKTEHKR >KJB63607 pep chromosome:Graimondii2_0_v6:10:594777:597076:-1 gene:B456_010G008400 transcript:KJB63607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRVTKEFLLSVEKNVTCRRPACRIDSSQVDVNRDSVLIISDHSVFMNGPVKGGPCITVEIKPKCGFLPISRFIAEENAVKRTLSRFKMHQELKLHNQEISEYSEYNPLDLFSGSLDRICKAIEALYATPQNNFRVFLNGSIVFGGLGGGAGSTTVLVGEAFEDSLKDVIKADDGMCKTSFIQLVAETVYSSGVLDQLLEVQKLDAYDIEGAIHAYYNIISQPCMVCRELSKDKLSNRHTSLHSIPLEESLKIVKDYLISATVKDCSLMISFRPMVDGDVLSESSHSTVYLGSTKQVFEYKVYFIDLDLKPLKKMEDYYKLDKKIVNCYCQMAKTEHKR >KJB63603 pep chromosome:Graimondii2_0_v6:10:594777:597614:-1 gene:B456_010G008400 transcript:KJB63603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVILDKEDAVDWVYRGEGAANLVLAYTGSSPSFIGKVMRIQKSQRKGKSRVNENEVLTAHERLLWREYKELMVWPNREIVQQLYVKHVMSPLLGPQHVDAGMRVRVTKEFLLSVEKNVTCRRPACRIDSSQVDVNRDSVLIISDHSVFMNGPVKGGPCITVEIKPKCGFLPISRFIAEENAVKRTLSRFKMHQELKLHNQEISEYSEYNPLDLFSGSLDRICKAIEALYATPQNNFRVFLNGSIVFGGLGGGAGSTTVLVGEAFEDSLKDVIKADDGMCKTSFIQLVAETVYSSGVLDQLLEVQKLDAYDIEGAIHAYYNIISQPCMVCRELSKDKLSNRHTSLHSIPLEESLKIVKDYLISATVKDCSLMISFRPMVDGDVLSESSHSTVYLGSTKQVFEYKVYFIDLDLKPLKKMEDYYKLDKKIVNCYCQMAKTEHKR >KJB63605 pep chromosome:Graimondii2_0_v6:10:594163:597966:-1 gene:B456_010G008400 transcript:KJB63605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVILDKEDAVDWVYRGEGAANLVLAYTGSSPSFIGKVMRIQKSQRKGKSRVNENEVLTAHERLLWREYKELMVWPNREIVQQLYVKHVMSPLLGPQHVDAGMRVRVTKEFLLSVEKNVTCRRPACRIDSSQVDVNRDSVLIISDHSVFMNGPVKGGPCITVEIKPKCGFLPISRFIAEENAVKRTLSRFKMHQELKLHNQEISEYSEYNPLDLFSGSLDRICKAIEALYATPQNNFRVFLNGSIVFGGLGGGAGSTTVLVGEAFEDSLKDVIKADDGMCKTSFIQLVAETVYSSGVLDQLLEVQKLDAYDIEGAIHAYYNIISQPCMVCRELSKDKLSNRHTSLHSIPLEESLKIVKDYLISATVKDCSLMISFRPMVDGDVLSESSHSTVYLGSTKQVFEYKVYFIDLDLKPLKKMEDYYKLDKKIVNCYCQMAKTEHKR >KJB63609 pep chromosome:Graimondii2_0_v6:10:591395:598004:-1 gene:B456_010G008400 transcript:KJB63609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVILDKEDAVDWVYRGEGAANLVLAYTGSSPSFIGKVMRIQKSQRKGKSRVNENEVLTAHERLLWREYKELMVWPNREIVQQLYVKHVMSPLLGPQHVDAGMRVRVTKEFLLSVEKNVTCRRPACRIDSSQVDVNRDSVLIISDHSVFMNGPVKGGPCITVEIKPKCGFLPISRFIAEENAVKRTLSRFKMHQELKLHNQEISEYSEYNPLDLFSGSLDRICKAIEALYATPQNNFRVFLNGSIVFGGLGGGAGSTTVLVGEAFEDSLKDVIKADDGMCKTSFIQLVAETVYSSGVLDQLLEVQKLDAYDIEGAIHAYYNIISQPCMVCRELSKDKLSNRHTSLHSIPLEESLKIVKDYLISATVKDCSLMISFRPMVDGDVLSESSHSTVYLGSTKQVFEYKVYFIDLDLKPLKKMEDYYKLDKKIVNCYCQMAKTEHKR >KJB63604 pep chromosome:Graimondii2_0_v6:10:591395:598004:-1 gene:B456_010G008400 transcript:KJB63604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVILDKEDAVDWVYRGEGAANLVLAYTGSSPSFIGKVMRIQKSQRKGKSRVNENEVLTAHERLLWREYKELMVWPNREIVQQLYVKHVMSPLLGPQHVDAGMRVRVTKEFLLSVEKNVTCRRPACRIDSSQVDVNRDSVLIISDHSVFMNGPVKGGPCITVEIKPKCGFLPISRFIAEENAVKRTLSRFKMHQELKLHNQEISEYSEYNPLDLFSGSLDRICKAIEALYATPQNNFRVFLNGSIVFGGLGGGAGSTTVLVGEAFEDSLKDVIKADDGMCKTSFIQLVAETVYSSGVLDQLLEVQKLDAYDIEGAIHAYYNIISQPCMVCRELSKDKLSNRHTSLHSIPLEESLKIVKDYLISATVKDCSLMISFRPMVDGDVLSESSHSTVYLGSTKQVFEYKVYFIDLDLKPLKKMEDYYKLDKKIVNCYCQMAKTEHKR >KJB65434 pep chromosome:Graimondii2_0_v6:10:15721479:15724534:1 gene:B456_010G094800 transcript:KJB65434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQETCIEGFEGTNGIAKTVVAGGLNQVTSLVSDLLTMVQPPGSDSRSNGDRKVAEKNRFPSWFEREDQKLLQANGVTADAVVALDGTGTFTNIMDAVAAAPDYSMNRHVIYIKKGLYKENVEIKKKKWNLMMVGDGINGTIISGNRSFVDGWTTFRSATFAVSGRGFIARDITFENTAGPQKHQAVALRSDSDLSVFFRCAIKGYQDSLYTHTMRQFFRECRITGTVDFIFGDASVVFQNCQILAKQGLPNQKNTITAQGRKDPNQPTGFSIQFCNISADADLLPFVNSTPTYLGRPWKLYSRTIIMQSYIGNAVRPQGWLEWNQDFALDTLYYAEFMNFGPGAGLGGRVQWPGYHALNNSAQAGNFTVARLIEGDLWLPSTGVKYTAGLGA >KJB65433 pep chromosome:Graimondii2_0_v6:10:15721426:15724576:1 gene:B456_010G094800 transcript:KJB65433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKFSSPSSYFLLFSLALCFCSSSNAGSSHEFPVNVPPAEFAGSLRTTIDAIRKVIPIVSQFGSFFGDFRLSNAISDCLDLLDFSADQLSWSLSASQNPNGKHNSTGDVASDLRTWLSAAMANQETCIEGFEGTNGIAKTVVAGGLNQVTSLVSDLLTMVQPPGSDSRSNGDRKVAEKNRFPSWFEREDQKLLQANGVTADAVVALDGTGTFTNIMDAVAAAPDYSMNRHVIYIKKGLYKENVEIKKKKWNLMMVGDGINGTIISGNRSFVDGWTTFRSATFAVSGRGFIARDITFENTAGPQKHQAVALRSDSDLSVFFRCAIKGYQDSLYTHTMRQFFRECRITGTVDFIFGDASVVFQNCQILAKQGLPNQKNTITAQGRKDPNQPTGFSIQFCNISADADLLPFVNSTPTYLGRPWKLYSRTIIMQSYIGNAVRPQGWLEWNQDFALDTLYYAEFMNFGPGAGLGGRVQWPGYHALNNSAQAGNFTVARLIEGDLWLPSTGVKYTAGLGA >KJB65461 pep chromosome:Graimondii2_0_v6:10:15851188:15851948:-1 gene:B456_010G095700 transcript:KJB65461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRYLYCLFNYMQSRFDILKIHSRRMNLMKGIDLKKIAEKMNGASGAELKAVCTESGMFALKERRVHVTQEDFEMAVAKVMKKESEKNMSLRKLWK >KJB63476 pep chromosome:Graimondii2_0_v6:10:39118:42706:-1 gene:B456_010G001000 transcript:KJB63476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLNNTVEESTDLGAVLRKGKEILLQGFNWESHKYDWWENLEKKVPDIAKSGFTSVWLPPAINSFSPDGYLPQNLYLLSSSYGSEQQLKALLQKLSEYKVRAMADIVINHRIGTTQGHGGLYNRYDGIPLAWDEHAVTSCTGGLGNQSTGDNFHGVPNIDHSQHFVRKDIIGWLQWLLCVGFQDFRFDFARGYSAKYVKEYIEAAKPIFSVGEYWDSCNYSGSVLEYNQDSHRQRIINWIDATGQLSSAFDFTTKGILQEAVKGQFWRLRDPQGKPPGVMGWWPSRAVTFIDNHDTGSTQAHWPFPSNHIMEGYAYILTHPGTPTVFYDHFYDGDDSGHEQIVKLMEIRRSGEIHSRSSVRILEAKDNLYSAVIGDKICIKIGDGSWSPSDREWTLATSGQRYAIWQKKQ >KJB63475 pep chromosome:Graimondii2_0_v6:10:38932:43102:-1 gene:B456_010G001000 transcript:KJB63475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLNNTVEESTDLGAVLRKGKEILLQGFNWESHKYDWWENLEKKVPDIAKSGFTSVWLPPAINSFSPDGYLPQNLYLLSSSYGSEQQLKALLQKLSEYKVRAMADIVINHRIGTTQGHGGLYNRYDGIPLAWDEHAVTSCTGGLGNQSTGDNFHGVPNIDHSQHFVRKDIIGWLQWLLCVGFQDFRFDFARGYSAKYVKEYIEAAKPIFSVGEYWDSCNYSGSVLEYNQDSHRQRIINWIDATGQLSSAFDFTTKGILQEAVKGQFWRLRDPQGKPPGVMGWWPSRAVTFIDNHDTGSTQAHWPFPSNHIMEGYAYILTHPGTPTVFYDHFYDGDDSGHEQIVKLMEIRRSGEIHSRSSVRILEAKDNLYSAVIGDKICIKIGDGSWSPSDREWTLATSGQRYAIWQKKQ >KJB67987 pep chromosome:Graimondii2_0_v6:10:59290725:59297852:1 gene:B456_010G222200 transcript:KJB67987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTEKFSEDGENDRLRFGLSSMQGWRATMEDAHAAYPDLDSMTSFFGVYDGHGGQAVSKFCAKYLHQQVLQHEAYSAGDIGTSLQKSFLRMDEMMRGQRGWRELAVLGDKIDQVSGLIEGLIWSPRSGEANNHFDDWSSEEGPHSDFDGPTQGSTACVAVIRNKQLIVANAGDSRCVISRKGQAYNLSKDHKPELELEKDRILKAGGFIQVGRINGSLNLARAIGDVEFKQNKTLPAEKQIVTANPDINAVELCDDDEFLVLACDGIWDCMSSQQLVDYVREQLSSETKLSAICERVFDRCLAPTAGGEGCDNMTMILVQFKRPVGSGTLEEQQEQQLLTDQTATTDKSASGSRSGPESESGS >KJB67988 pep chromosome:Graimondii2_0_v6:10:59290766:59297835:1 gene:B456_010G222200 transcript:KJB67988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTEKFSEDGENDRLRFGLSSMQGWRATMEDAHAAYPDLDSMTSFFGVYDGHGGQAVSKFCAKYLHQQVLQHEAYSAGDIGTSLQKSFLRMDEMMRGQRGWRELAVLGDKIDQVSGLIEGLIWSPRSGEANNHFDDWSSEEGPHSDFDGPTQGSTACVAVIRNKQLIVANAGDSRCVISRKGQAYNLSKDHKPELELEKDRILKAGGFIQVGRINGSLNLARAIGDVEFKQNKTLPAEKQIVTANPDINAGLYVEPTTSGLRSRAIKLRN >KJB67989 pep chromosome:Graimondii2_0_v6:10:59290866:59297835:1 gene:B456_010G222200 transcript:KJB67989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTEKFSEDGENDRLRFGLSSMQGWRATMEDAHAAYPDLDSMTSFFGVYDGHGGQAVSKFCAKYLHQQVLQHEAYSAGDIGTSLQKSFLRMDEMMRGQRGWRELAVLGDKIDQVSGLIEGLIWSPRSGEANNHFDDWSSEEGPHSDFDGPTQGSTACVAVIRNKQLIVANAGDSRCVISRKGQAYNLSKDHKPELELEKDRILKAGGFIQVGRINGSLNLARAIGDVEFKQNKTLPAEKQIVTANPDINAVELCDDDEFLVLACDGIWDCMSSQQLVDYVREQLSSETKLSAICERVFDRCLAPTAGGEGCDNMTMILVQFKRPVGSGTLEEQQEQQLLTDQTATTDKSASGSRSGPESESGS >KJB64186 pep chromosome:Graimondii2_0_v6:10:3344005:3345654:1 gene:B456_010G036100 transcript:KJB64186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSCNAESTIKVCDPGNWDYYRKKPKKNKPRIRQFRYTDLLTATNGFSSDSFLGKGSHGSVYKAVLDDGKLITAVKKTSKNCNSPADNEIEILSRVDHPRLVNLIGYCSDSLCKNKLIVVEYMPNGSLYDLLHSSSCKPPGWSSRVRFALQVAKAVQALHSGSPPVIHRDIKSSNVLIDQRWNARLGDFGLALIGHVEDVRIKCTPPAGTLGYLDPSYLSPSDVSTKSDVFSYGILLLEIITRRRQGARDVEAGETAEKCGEIEIDRFVHGGGGFIDDEDRPHRD >KJB64185 pep chromosome:Graimondii2_0_v6:10:3344005:3345636:1 gene:B456_010G036100 transcript:KJB64185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSCNAESTIKVCDPGNWDYYRKKPKKNKPRIRQFRYTDLLTATNGFSSDSFLGKGSHGSVYKAVLDDGKLITAVKKTSKNCNSPADNEIEILSRVDHPRLVNLIGYCSDSLCKNKLIVVEYMPNGSLYDLLHSSSCKPPGWSSRVRFALQVAKAVQALHSGSPPVIHRDIKSSNVLIDQRWNARLGDFGLALIGHVEDVRIKCTPPAGTLGYLDPSYLSPSDVSTKSDVFSYGILLLEIISGRHAIDLKYSPPSVVDWAVPLIKGGDFAAICDGRVGPPVDEEVIRSLAVLAARCVRSAAEKRPGMEEVVECLTVVSKRVHAGPVWSNLRRCVRCVHKPMAINHPVFEGSEEAARSSRCGSRRNSRKKVW >KJB64187 pep chromosome:Graimondii2_0_v6:10:3344005:3345654:1 gene:B456_010G036100 transcript:KJB64187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSCNAESTIKVCDPGNWDYYRKKPKKNKPRIRQFRYTDLLTATNGFSSDSFLGKGSHGSVYKAVLDDGKLITAVKKTSKNCNSPADNEIEILSRVDHPRLVNLIGYCSDSLCKNKLIVVEYMPNGSLYDLLHSSSCKPPGWSSRVRFALQVAKAVQALHSGSPPVIHRDIKSSNVLIDQRWNARLGDFGLALIGHVEDVRIKCTPPAGTLGYLDPSYLSPSDVSTKSDVFSYGILLLEIISGRHAIDLKYSPPSVVDWAVPLIKGGDFAAICDGRVGPPVDEEVIRSLAVLAARCVRSAAEKRPGMEEVVECLTVVSKRVHAGPVWSNLRRCVRCVHKPMAINHPVFEGSEEAARSSRCGSRRNSRKVW >KJB64184 pep chromosome:Graimondii2_0_v6:10:3343721:3345977:1 gene:B456_010G036100 transcript:KJB64184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSCNAESTIKVCDPGNWDYYRKKPKKNKPRIRQFRYTDLLTATNGFSSDSFLGKGSHGSVYKAVLDDGKLITAVKKTSKNCNSPADNEIEILSRVDHPRLVNLIGYCSDSLCKNKLIVVEYMPNGSLYDLLHSSSCKPPGWSSRVRFALQVAKAVQALHSGSPPVIHRDIKSSNVLIDQRWNARLGDFGLALIGHVEDVRIKCTPPAGTLGYLDPSYLSPSDVSTKSDVFSYGILLLEIISGRHAIDLKYSPPSVVDWAVPLIKGGDFAAICDGRVGPPVDEEVIRSLAVLAARCVRSAAEKRPGMEEVVECLTVVSKRVHAGPVWSNLRRCVRCVHKPMAINHPVFEGSEEAARSSRCGSRRNSRKVTSVADRCGEANVIGEGVVRSKSIGSFTEAVASSMMKIGPTEIDMDGEHVALVRKKPAKTPTVKLSKSRSMGVLQSPRLMNLNGKQYVFEIGKRRNSSEFDISKLVINFDDDKSQRKILEKPLVFV >KJB64311 pep chromosome:Graimondii2_0_v6:10:3982346:3985200:1 gene:B456_010G042300 transcript:KJB64311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCSMEQELGCGLMGGVLQRWSNWSKKSSVPALPGKGMNKVSKEPVTDKSKKLSNNDDSRRRRSTSTVEPVLLDSSNLAKPLPEQDQKPVRKSELLPPRNSVSYSHPVKDSRRRSNTGRSSTSSSSGSTHHSKELAKVTTSDQQQSNNSKALIRATSSNIMLAGQLGNLRQLGAGSAVGNNGSNATIEALDKLPRKNSLGKLGGSVMGNIIRQPSDEFKQLHGLTGRLDPETLKNKGNEAYKQGRFEEALALYERAISLDSKQATYRCNKSAALLGLGRLMEAIVECKEAIQLDPTYCRAHHRLATIYLRLGDPEHALYHYKQAGNHADSNHISEAQTLIQRLKRCSDARKSHEWNTLLKETQCVITSGVDSAPEVYALQTEALLKINKHQEACITYNKGPKFAIESCINFFGLTVSAYLLMIKALVNMVSGRLDEAVSAAQHAARLDPGNKEISLVVKRTRAVSSARLSGNLLFKASKFVEACIVYGEGLEYDSYNPLLLCNRAACRSKLGQFEKAIEDCTAALNVQPSYSKARLRRADCNSKLERWETAIQDYEKLIRETPGDKEVARALFEAKVQLKKQHGEDIEDLKFGSNLVLVSSNERFRHFVTSAGK >KJB64310 pep chromosome:Graimondii2_0_v6:10:3982131:3985547:1 gene:B456_010G042300 transcript:KJB64310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCSMEQELGCGLMGGVLQRWSNWSKKSSVPALPGKGMNKVSKEPVTDKSKKLSNNDDSRRRRSTSTVEPVLLDSSNLAKPLPEQDQKPVRKSELLPPRNSVSYSHPVKDSRRRSNTGRSSTSSSSGSTHHSKELAKVTTSDQQQSNNSKALIRATSSNIMLAGQLGNLRQLGAGSAVGNNGSNATIEALDKLPRKNSLGKLGGSVMGNIIRQPSDEFKQLHGLTGRLDPETLKNKGNEAYKQGRFEEALALYERAISLDSKQATYRCNKSAALLGLGRLMEAIVECKEAIQLDPTYCRAHHRLATIYLRLGDPEHALYHYKQAGNHADSNHISEAQTLIQRLKRCSDARKSHEWNTLLKETQCVITSGVDSAPEVYALQTEALLKINKHQEACITYNKGPKFAIESCINFFGLTVSAYLLMIKALVNMVSGRLDEAVSAAQHAARLDPGNKEISLVVKRTRAVSSARLSGNLLFKASKFVEACIVYGEGLEYDSYNPLLLCNRAACRSKLGQFEKAIEDCTAALNVQPSYSKARLRRADCNSKLERWETAIQDYEKLIRETPGDKEVARALFEAKVQLKKQHGEDIEDLKFGSNLVLVSSNERFRHFVTSAGMTVVLFCNKTKHKKVVQIMEQVCKRFPSINFLKVEIEDHPYLAKSEALTCIPAFKIYKNGSRVKEVPGNDPELLERSVKLYSS >KJB65688 pep chromosome:Graimondii2_0_v6:10:20096407:20100642:1 gene:B456_010G108400 transcript:KJB65688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVSSSYVLRQPTTITRHLLSSTRHVCCFPHVPPRLPTPFKYQFQIVNLSIAGSRVTETEMEVNGGAVSDPETIRSQFLQLLRTRRNAQLPLTVEPAKPVVKPLFQDVTPPTFSEAMESCPKANIGNLKELLKEENLYLHTEAGDQGKLPVLILSTKGNNQEKRPAVVFLHSTHKCKEWLRPLLEAYASRGYVAIGVDSRYHGERASSLTTYRDALVSSWKNGDTMPFIFDTVWDLIKLADYLTGRDDIDPTKIGITGESLGVAAPIIGVQGFRWAIDNDKWQARVDSIKAVFEEARTDLGKNEIDKEVVEKVWDRIAPGLASQFDAPNTVPAIAPRPLLIVNGAEDPRCPLEGLEIPKTRAYEAYGEAHSDNFKLVAEPGIGHQMTPLMVKEASDWFDQFLK >KJB65687 pep chromosome:Graimondii2_0_v6:10:20096281:20100698:1 gene:B456_010G108400 transcript:KJB65687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVSSSYVLRQPTTITRHLLSSTRHVCCFPHVPPRLPTPFKYQFQIVNLSIAGSRVTETEMEVNGGAVSDPETIRSQFLQLLRTRRNAQLPLTVEPAKPVVKPLFQDVTPPTFSEAMESCPKANIGNLKELLKEENLYLHTEAGDQGKLPVLILSTKGNNQEKRPAVVFLHSTHKCKEWLRPLLEAYASRGYVAIGVDSRYHGERASSLTTYRDALVSSWKNGDTMPFIFDTVWDLIKLADYLTGRDDIDPTKIGITGESLGGMHAWFAAFADTRYAVAAPIIGVQGFRWAIDNDKWQARVDSIKAVFEEARTDLGKNEIDKEVVEKVWDRIAPGLASQFDAPNTVPAIAPRPLLIVNGAEDPRCPLEGLEIPKTRAYEAYGEAHSDNFKLVAEPGIGHQMTPLMVKEASDWFDQFLK >KJB68138 pep chromosome:Graimondii2_0_v6:10:59955410:59959806:-1 gene:B456_010G227800 transcript:KJB68138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEGLGLEITELRLGLPGADSDSSHRCNVMIDKNEKKRAFSEISEDDVEEGNRKKTTIKNQVVGWPPVCSYRKKNSFSDKDGSVKASKMYVKVCMDGAPFLRKIDLGMHEGYPHLAKALEKLFGEALRNAESCEFVPIYEDKDGDWMLVGDVPWEMFMESCKRLRIMKRADAKGFGVLTSKEALY >KJB66574 pep chromosome:Graimondii2_0_v6:10:37715239:37725835:-1 gene:B456_010G144100 transcript:KJB66574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGSLVQAIRKPTLTPKAIIHQKFGSKASYTVEEVEEPTQNGCPGLAILQKGPCLYRCSLELPDFTVVSGSFKKKKDAEQSAAQIALEKLGINLSSDNPTAEEAWRELIARVKYIFSNEFLSALHPLSSHFKAALRRVGDLNASVPASVIAICDGKLNNLCKIINPTVESHPLLVLSYIMRAAAGLPELVVSAEGQLSIWRKDPYPPNVIESSISQQSDVAESITTTAIYIPCSPEKAVEPVILNISSTGYYLDVIGQILGLSDANMVLISRTIGKASSETRFYFAAPKSYPLDMSSDLLNAKVVPFGGPLNAKASSLCGQDIYGDSILASIGYTWKGQDIFHEDVTLQSYYRMLISKIPSGVYKLSREAILAAELPLTFTTKTNWKGSFPREILSSFCRQYRLLEPVFCVSSIPLKASSELSRSNNKPEVSESAEQEREYANENESVDVDPKLAVLGSSFTCEVKIYSKCQDLILECAPNVLYKKQNDAVQSASLKVLSWLNAYLKDTYMSLEKEKQLANVFDIKFYRQIFFKEVVKCLSVCNCQHNETLGGKEPELNGVVENDASYINIEGTDSGLCPSNGSLLCVCYSVSLVTKGELQKELLESIEEFEFEMGTGAVIPCLEAVVSQMSVGQSACFYTELPPEDLVLAAAKDLANALAFLTSPCCLEYSIILLQVTEPPEDRMEQALFNPPLSKQRVEYAVQHIKEFCATSLVDFGCGSGSLLESLLEYPTSLETIAGVDISQKSLSRAAKVLHSKLVMKSDFDAPCRSIKSAILFDGSITDFDSRLCGFDLATCLEVIEHMEEEQASLFGDIVLSSFRPRILIVSTPNYEYNVVLQKSNLTSHEDDPEEKIQSQSCKFRNHDHKFEWTREQFQHWASELAVRHKYRVEFSGVGGAVDLEPGFASQIAVFRRVFLPEDDDSLKDENSVCQYKVIWEWNRSRPPLTN >KJB66573 pep chromosome:Graimondii2_0_v6:10:37714574:37725374:-1 gene:B456_010G144100 transcript:KJB66573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGSLVQAIRKPTLTPKAIIHQKFGSKASYTVEEVEEPTQNGCPGLAILQKGPCLYRCSLELPDFTVVSGSFKKKKDAEQSAAQIALEKLGINLSSDNPTAEEAWRELIARVKYIFSNEFLSALHPLSSHFKAALRRVGDLNASVPASVIAICDGKLNNLCKIINPTVESHPLLVLSYIMRAAAGLPELVVSAEGQLSIWRKDPYPPNVIESSISQQSDVAESITTTAIYIPCSPEKAVEPVILNISSTGYYLDVIGQILGLSDANMVLISRTIGKASSETRFYFAAPKSYPLDMSSDLLNAKVVPFGGPLNAKASSLCGQDIYGDSILASIGYTWKGQDIFHEDVTLQSYYRMLISKIPSGVYKLSREAILAAELPLTFTTKTNWKGSFPREILSSFCRQYRLLEPVFCVSSIPLKASSELSRSNNKPEVSESAEQEREYANENESVDVDPKLAVLGSSFTCEVKIYSKCQDLILECAPNVLYKKQNDAVQSASLKVLSWLNAYLKDTYMSLEKEKQLANVFDIKFYRQIFFKEVVKCLSVCNCQHNETLGGKEPELNGVVENDASYINIEGTDSGLCPSNGSLLCVCYSVSLVTKGELQKELLESIEEFEFEMGTGAVIPCLEAVVSQMSVGQSACFYTELPPEDLVLAAAKDLANALAFLTSPCCLEYSIILLQVTEPPEDRMEQALFNPPLSKQRVEYAVQHIKEFCATSLVDFGCGSGSLLESLLEYPTSLETIAGVDISQKSLSRAAKVLHSKLVMKSDFDAPCRSIKSAILFDGSITDFDSRLCGFDLATCLEVIEHMEEEQASLFGDIVLSSFRPRILIVSTPNYEYNVVLQKSNLTSHEDDPEEKIQSQSCKFRNHDHKFEWTREQFQHWASELAVRHKYRVEFSGVGGAVDLEPGFASQIAVFRRVFLPEDDDSLKDENSASELGKPIQELLSTTIKMRLDNRSRSMNNTTIFYRWKSI >KJB66572 pep chromosome:Graimondii2_0_v6:10:37712912:37725374:-1 gene:B456_010G144100 transcript:KJB66572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGSLVQAIRKPTLTPKAIIHQKFGSKASYTVEEVEEPTQNGCPGLAILQKGPCLYRCSLELPDFTVVSGSFKKKKDAEQSAAQIALEKLGINLSSDNPTAEEAWRELIARVKYIFSNEFLSALHPLSSHFKAALRRVGDLNASVPASVIAICDGKLNNLCKIINPTVESHPLLVLSYIMRAAAGLPELVVSAEGQLSIWRKDPYPPNVIESSISQQSDVAESITTTAIYIPCSPEKAVEPVILNISSTGYYLDVIGQILGLSDANMVLISRTIGKASSETRFYFAAPKSYPLDMSSDLLNAKVVPFGGPLNAKASSLCGQDIYGDSILASIGYTWKGQDIFHEDVTLQSYYRMLISKIPSGVYKLSREAILAAELPLTFTTKTNWKGSFPREILSSFCRQYRLLEPVFCVSSIPLKASSELSRSNNKPEVSESAEQEREYANENESVDVDPKLAVLGSSFTCEVKIYSKCQDLILECAPNVLYKKQNDAVQSASLKVLSWLNAYLKDTYMSLEKEKQLANVFDIKFYRQIFFKEVVKCLSVCNCQHNETLGGKEPELNGVVENDASYINIEGTDSGLCPSNGSLLCVCYSVSLVTKGELQKELLESIEEFEFEMGTGAVIPCLEAVVSQMSVGQSACFYTELPPEDLVLAAAKDLANALAFLTSPCCLEYSIILLQVTEPPEDRMEQALFNPPLSKQRVEYAVQHIKEFCATSLVDFGCGSGSLLESLLEYPTSLETIAGVDISQKSLSRAAKVLHSKLVMKSDFDAPCRSIKSAILFDGSITDFDSRLCGFDLATCLEVIEHMEEEQASLFGDIVLSSFRPRILIVSTPNYEYNVVLQKSNLTSHEDDPEEKIQSQSCKFRNHDHKFEWTREQFQHWASELAVRHKYRVEFSGVGGAVDLEPGFASQIAVFRRVFLPEDDDSLKDENSVCQYKVIWEWNRSTQLEY >KJB64632 pep chromosome:Graimondii2_0_v6:10:6999983:7002172:1 gene:B456_010G058700 transcript:KJB64632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPELAKLGRSLLVPSVQELSKKPLKEVPPRYIRTDEDPPFPSHPNPLPQVPVIDMHKLFSREEIERLHHACKEWGFFQLINHEVSTSLVEKVKMEVQEFFKLPMEEKKKLWQKPDEIEGFGQAFVVSEEQKLNWGDMFYMISLPTYLRKPHLFPNLPSTLRETLEIYSVELKHLAMKLLEHMGKALGMDPNDMRVLFEEGLQAMRMNYYPPCPQPEVAIGLSAHSDPVGLTILLQINEMEGLQVKKSGVWVPIRPLENAFVVNIGDLMEIVSNGVYPSVEHRATVNSVKERLSIVTVYSPRLDGDLGPAPSLLSPQTPPLFKRIGVADYFKGLYTRELRGKSYVDYLRIQPQETNTN >KJB65610 pep chromosome:Graimondii2_0_v6:10:18764801:18767996:-1 gene:B456_010G103400 transcript:KJB65610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFMLKISADLENLTNLQPQGGCDDPSFPYLFKLKCGRCGELSQKETCVSLGDTVPLLQGKGTTNLVQKCKFCMREGTVTMIPGKGRPLTQEDCEGGKFAPLMLFDCRGYEPVGFVFGVGWKVESLEGTKFEGIDLSGDDFSEYDEKGECPVMISNLRSTFEPVK >KJB65611 pep chromosome:Graimondii2_0_v6:10:18765146:18767951:-1 gene:B456_010G103400 transcript:KJB65611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFMLKISADLENLTNLQPQGGCDDPSFPYLFKLKCGRCGELSQKETCVSLGDTVPLLQGKGTTNLVQKLEGTKFEGIDLSGDDFSEYDEKGECPVMISNLRSTFEPVK >KJB65613 pep chromosome:Graimondii2_0_v6:10:18765557:18767801:-1 gene:B456_010G103400 transcript:KJB65613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFMLKISADLENLTNLQPQGGCDDPSFPYLFKLKCGRCGELSQKETCVSLGDTVPLLQGKGTTNLVQKCKFCMREGTVTMIPGKGRPLTQEDCEGGKFAPLMLFDCRGYEPVGFVFGVGWKLEGTKFEGIDLSGDDFSEYDEKGECPVMISNLRSTFEPVK >KJB65614 pep chromosome:Graimondii2_0_v6:10:18766022:18767951:-1 gene:B456_010G103400 transcript:KJB65614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFMLKISADLENLTNLQPQGGCDDPSFPYLFKLKCGRCGELSQKETCVSLGDTVPLLQGKGTTNLVQKCKFCMREGTVTMIPGKGRPLTQEDCEGGKFAPLMLFDCRGYEPVGFVFGVGWKVESVSFCSSSSTLDGFFSDTANHSKGFGM >KJB65612 pep chromosome:Graimondii2_0_v6:10:18765798:18767801:-1 gene:B456_010G103400 transcript:KJB65612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFMLKISADLENLTNLQPQGGCDDPSFPYLFKLKCGRCGELSQKETCVSLGDTVPLLQGKGTTNLVQKCKFCMREGTVTMIPGKGRPLTQEDCEGGKFAPLMLFDCRGYEPVGFVFGVGWKVESVILS >KJB64658 pep chromosome:Graimondii2_0_v6:10:7131593:7135111:-1 gene:B456_010G059800 transcript:KJB64658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSILPDLGAEILIPVCAVIGIVFSLAQWLLVSNVKLSPGSPGNNGAGGKNGYSDYLIEEEEGLNDHNVVIKCAEIQTAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSVEGFSTKSQPCTYDKSKMCKPALATAIFSTVSFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHELTPMLYPLIISSVGIIVCLITTLFATDFFEIKAVKEIEPSLKRQLIISTVLMTIGIGIVSWVALPSSFTIFNFGDQKAVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAMSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKV >KJB64655 pep chromosome:Graimondii2_0_v6:10:7130016:7135162:-1 gene:B456_010G059800 transcript:KJB64655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSILPDLGAEILIPVCAVIGIVFSLAQWLLVSNVKLSPGSPGNNGAGGKNGYSDYLIEEEEGLNDHNVVIKCAEIQTAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSVEGFSTKSQPCTYDKSKMCKPALATAIFSTVSFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHELTPMLYPLIISSVGIIVCLITTLFATDFFEIKAVKEIEPSLKRQLIISTVLMTIGIGIVSWVALPSSFTIFNFGDQKAVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAMSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGALEHARTLGPKGSEPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >KJB64656 pep chromosome:Graimondii2_0_v6:10:7130069:7135111:-1 gene:B456_010G059800 transcript:KJB64656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSILPDLGAEILIPVCAVIGIVFSLAQWLLVSNVKLSPGSPGNNGAGGKNGYSDYLIEEEEGLNDHNVVIKCAEIQTAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSVEGFSTKSQPCTYDKSKMCKPALATAIFSTVSFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHELTPMLYPLIISSVGIIVCLITTLFATDFFEIKAVKEIEPSLKRQLIISTVLMTIGIGIVSWVALPSSFTIFNFGDQKAVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAMSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEVSMI >KJB64657 pep chromosome:Graimondii2_0_v6:10:7130721:7135039:-1 gene:B456_010G059800 transcript:KJB64657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSILPDLGAEILIPVCAVIGIVFSLAQWLLVSNVKLSPGSPGNNGAGGKNGYSDYLIEEEEGLNDHNVVIKCAEIQTAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSVEGFSTKSQPCTYDKSKMCKPALATAIFSTVSFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHELTPMLYPLIISSVGIIVCLITTLFATDFFEIKAVKEIEPSLKRQLIISTVLMTIGIGIVSWVALPSSFTIFNFGDQKAVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAMSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQVMLTSLNIGSPQPCNSPIKGSFLSCFCLGNICRLLSPHRTQGVLGIMLRSISRYP >KJB65360 pep chromosome:Graimondii2_0_v6:10:14542402:14543923:-1 gene:B456_010G091900 transcript:KJB65360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRPKLSQVHKIIIGVACGIFSIVVIVALLVYKNRASLISTAYLKKKKNQTTLDGPNAEEFIKTYRSNLLSNYSYNDIKKMTNGFKDKLGEGGYGNVYKGKLFDGRIIAVKLLKSADIIIGDNFITEVATIGRIHHFNVINLLGFCWDGSKQALIYEYMPNGSLKDLLSKEEAENSVGIAKLLEIAIGVAQGIEYLHNGCESRILHLDIKPQNVLLDQSLNPKISDFGLAKVYSRDHSYVTMTSARGTIGFIAPEIFMRNLGNPSHKSNVYSYGMLLLEMVGGKQQFKQITSFSTGGSSGTEAYFPEWIYEKVMEKMDNVVDESYEIGRKMRMVGLWCIQMKQRDRPSMKRVVEMLSGRMEDIEMPPKPLFMFSLPRQHVFEDQINTLGSDSNVLPST >KJB65976 pep chromosome:Graimondii2_0_v6:10:25278533:25281431:-1 gene:B456_010G123200 transcript:KJB65976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKPFYERNLQSPTSYMEGVVVNTNWDDVICPICLDVPHNGVLLQCTSYEKGCRPFVCDTDHLHSNCLDRFKNANGMSCPSTSHTISTTTSIQLTVSEDDCKPACPLCRGEVTGWFVVDKARLLLDKKKRFCEAEQCSFAGTYSDLQKHAQLEHPHARPSRIDPARQLDWENLQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGEDESGDEFDDFPGEGNWWTSCILYQVFDNLRISRNRRRPRVTDSRRGNRRSSYASSNSDEGSVASVEYAEYRVDETDDEFVSRSAPSRGSFGYRSSPRRRSRFYDN >KJB65978 pep chromosome:Graimondii2_0_v6:10:25278501:25281401:-1 gene:B456_010G123200 transcript:KJB65978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPSTSHTISTTTSIQLTVSEDDCKPACPLCRGEVTGWFVVDKARLLLDKKKRFCEAEQCSFAGTYSDLQKHAQLEHPHARPSRIDPARQLDWENLQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGEDESGDEFDDFPGEGNWWTSCILYQVFDNLRISRNRRRPRVTDSRRGNRRSSYASSNSDEGSVASVEYAEYRVDETDDEFVSRSAPSRGSFGYRSSPRRRSRFYDN >KJB65977 pep chromosome:Graimondii2_0_v6:10:25278581:25281401:-1 gene:B456_010G123200 transcript:KJB65977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKPFYERNLQSPTSYMEGVVVNTNWDDVICPICLDVPHNGVLLQCTSYEKGCRPFVCDTDHLHSNCLDRFKNANGMSCPSTSHTISTTTSIQLTVSEDDCKPACPLCRGEVTGWFVVDKARLLLDKKKRFCEAEQCSFAGTYSDLQKHAQLEHPHARPSRIDPARQLDWENLQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGEDESGDEFDDFPGEGNWWTSCILYQVFDNLRISRNRRRPRVTDSRRGNRRSSYASSNSDEGSVASVEYAEYRVDETDDEFVSRSAPSRGSFGYRSSPRRRSRFYDN >KJB65979 pep chromosome:Graimondii2_0_v6:10:25278590:25281273:-1 gene:B456_010G123200 transcript:KJB65979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPSTSHTISTTTSIQLTVSEDDCKPACPLCRGEVTGWFVVDKARLLLDKKKRFCEAEQCSFAGTYSDLQKHAQLEHPHARPSRIDPARQLDWENLQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGEDESGDEFDDFPGEGNWWTSCILYQVFDNLRISRNRRRPRVTDSRRGNRRSSYASSNSDEGSVASVEYAEYRVDETDDEFVSRSAPSRGSFGYRSSPRRRSRFYDN >KJB65975 pep chromosome:Graimondii2_0_v6:10:25278813:25280377:-1 gene:B456_010G123200 transcript:KJB65975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKPFYERNLQSPTSYMEGVVVNTNWDDVICPICLDVPHNGVLLQCTSYEKGCRPFVCDTDHLHSNCLDRFKNANGMSCPSTSHTISTTTSIQLTVSEDDCKPACPLCRGEVTGWFVVDKARLLLDKKKRFCEAEQCSFAGTYSDLQKHAQLEHPHARPSRIDPARQLDWENLQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGEDESGDEFDDFPGEGNWWTSCILYQVFDNLRISRNRRRPRVTDSRRGNRRSSYASSNSDEGSVASVEYAEYRVDETDDEFVSRSAPSRGSFGYRSSPRRRSRFYDN >KJB65972 pep chromosome:Graimondii2_0_v6:10:25278590:25280894:-1 gene:B456_010G123200 transcript:KJB65972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPSTSHTISTTTSIQLTVSEDDCKPACPLCRGEVTGWFVVDKARLLLDKKKRFCEAEQCSFAGTYSDLQKHAQLEHPHARPSRIDPARQLDWENLQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGEDESGDEFDDFPGEGNWWTSCILYQVFDNLRISRNRRRPRVTDSRRGNRRSSYASSNSDEGSVASVEYAEYRVDETDDEFVSRSAPSRGSFGYRSSPRRRSRFYDN >KJB65974 pep chromosome:Graimondii2_0_v6:10:25278590:25281311:-1 gene:B456_010G123200 transcript:KJB65974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKPFYERNLQSPTSYMEGVVVNTNWDDVICPICLDVPHNGVLLQCTSYEKGCRPFVCDTDHLHSNCLDRFKNANGMSCPSTSHTISTTTSIQLTVSEDDCKPACPLCRGEVTGWFVVDKARLLLDKKKRFCEAEQCSFAGTYSDLQKHAQLEHPHARPSRIDPARQLDWENLQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGEDESGDEFDDFPGEGNWWTSCILYQVFDNLRISRNRRRPRVTDSRRGNRRSSYASSNSDEGSVASVEYAEYRVDETDDEFVSRSAPSRGSFGYRSSPRRRSRFYDN >KJB65980 pep chromosome:Graimondii2_0_v6:10:25278590:25280679:-1 gene:B456_010G123200 transcript:KJB65980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKPFYERNLQSPTSYMEGVVVNTNWDDVICPICLDVPHNGVLLQCTSYEKGCRPFVCDTDHLHSNCLDRFKNANGMSCPSTSHTISTTTSIQLTVSEDDCKPACPLCRGEVTGWFVVDKARLLLDKKKRFCEAEQCSFAGTYSDLQKHAQLEHPHARPSRIDPARQLDWENLQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGEDESGDEFDDFPGEGNWWTSCILYQVFDNLRISRNRRRPRVTDSRRGNRRSSYASSNSDEGSVASVEYAEYRVDETDDEFVSRSAPSRGSFGYRSSPRRRSRFYDN >KJB65973 pep chromosome:Graimondii2_0_v6:10:25278813:25280377:-1 gene:B456_010G123200 transcript:KJB65973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKPFYERNLQSPTSYMEGVVVNTNWDDVICPICLDVPHNGVLLQCTSYEKGCRPFVCDTDHLHSNCLDRFKNANGMSCPSTSHTISTTTSIQLTVSEDDCKPACPLCRGEVTGWFVVDKARLLLDKKKRFCEAEQCSFAGTYSDLQKHAQLEHPHARPSRIDPARQLDWENLQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGEDESGDEFDDFPGEGNWWTSCILYQVFDNLRISRNRRRPRVTDSRRGNRRSSYASSNSDEGSVASVEYAEYRVDETDDEFVSRSAPSRGSFGYRSSPRRRSRFYDN >KJB68231 pep chromosome:Graimondii2_0_v6:10:60472280:60481110:-1 gene:B456_010G234100 transcript:KJB68231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQQALLPKSSSNGFARRRGDREGGARLESKVQSGKSNQGRIQATGSLSGGKTGGYESSSRDRLVYMTTCLIGHMVEVHVKNGSIYSGIFHATDAEKDFGIVLKMARLVKDGTLQGNKAVTEFISKAPTKILIIPAKELVQVIAKDVAVTSNGFASDLQHEKQQELLIDSVISQSCHVGLERELEPWVPDEDYPQCPELENIFSGSWNRNWDQFETNQKLFGVKSTFNEELYTTKLERGPQTRELEKEAMRIAREIEGEETRDLHLAEERGLDLHDNFDIDEEMRYSSVYRGREFDDSGYEEEEDILLDSQNIETFGDSSDSLSRGPVDLTSLQRNEGVRMSSSTSFVDEAPSSKAAIGADLNHTDFNDQAKQLASEIPSESFSVSDSESRIQDNLLGEHGGSKDAKESTEKLSPSEDPQLSNSIDSQSLLNDKLDGSDKTVPSVNSTTHAQSSSLSKVSEKPSASGDLPEGPASSKVTGETPSVNTRGQPGSSKPSNSDCVAVSSVSSGPGLSPSSSMGSLSSEKSTLNPHAKEFKLNPNAKSFTPSQTSVRPPSPVSDGSFYYQTPVSPVPHMHMPVSFGIGPSFPGHQPVVFNPQVAPMQSPQAYFHPTGPQYGQPMLLGQRQVMYYQPDMQYKGRDY >KJB68232 pep chromosome:Graimondii2_0_v6:10:60472280:60481130:-1 gene:B456_010G234100 transcript:KJB68232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQQALLPKSSSNGFARRRGDREGGARLESKVQSGKSNQGRIQATGSLSGGKTGGYESSSRDRLVYMTTCLIGHMVEVHVKNGSIYSGIFHATDAEKDFGIVLKMARLVKDGTLQGNKAVTEFISKAPTKILIIPAKELVQVIAKDVAVTSNGFASDLQHEKQQELLIDSVISQSCHVGLERELEPWVPDEDYPQCPELENIFSGSWNRNWDQFETNQKLFGVKSTFNEELYTTKLERGPQTRELEKEAMRIAREIEGEETRDLHLAEERGLDLHDNFDIDEEMRYSSVYRGREFDDSGYEEEEDILLDSQNIETFGDSSDSLSRGPVDLTSLQRNEGVRMSSSTSFVDEAPSSKAAIGADLNHTDFNDQAKQLASEIPSESFSVSDSESRIQDNLLGEHGGSKDAKESTEKLSPSEDPQLSNSIDSQSLLNDKLDGSDKTVPSVNSTTHAQSSSLSKVSEKPSASGDLPEGPASSKVTGETPSVNTRGQPGSSKPSNSDCVAVSSVSSGPGLSPSSSMGSLSSEKSTLNPHAKEFKLNPNAKSFTPSQTSVRPPSPVSDGSFYYQTPVSPVPHMHMPVSFGIGPSFPGHQPVVFNPQVAPMQSPQAYFHPTGPQYGQPMLLGQRQVMYYQPDMQYKGRDY >KJB63546 pep chromosome:Graimondii2_0_v6:10:253010:257640:-1 gene:B456_010G005400 transcript:KJB63546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQIRNLKEEHEQKVQDVVASKNKQCEKIKLEFEARISNLDQELLKFEADNAAITRSLQERSNMLVKISEEKSRAEAEIELLKGNIESCEREINTLKYEIHVVSKELEIRNEEKNMSMRSAQVANKQHMEDVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLKRSPVRPSTPHLPAVSEFSLDNVQKFQKENEFLTVRLLAMEEETKMLKEALAKRNSELQASRSLCAKTSSKLQSLEAHLSRSPSKAEVYSSQNASTPPSGTSVSEDGNDDDRSCAESWATALVSELSQFKKEKGTDTPNKNENSKHLDLMDDFLEMEKLACSSNDSTTNGAINISGCTNDKVSETVNGDASGEITCKEPDVDELPAMKLRAKLSMVLESISKDADVQKILEDIKCAMQDSENGVLEQLHDSDVTCSDQACPGDASLTAEKEIAMSAGDKLTSENVQTLSRDLAAAVLQIHDFVLPLGDEARAVDDISFDGNGLSHKIGEFSVTYNKVLCGNVSLDDFVLDLSSVLVRASELRFNVLGYKGNEVEINSPDCIDKVALPENKVTQNGSLGGIYENGCAHISSPTSNPEVPDDGNLVSDFESKQLSKFSLEEFEELKLEKESLAMDLSRCTENLEITKSKLHETELLLTEAKSQLASAQKSNSLAETQLKCMAESYRSLEKHAEELATELNIFRVKTETFENELQDEKKGHHDALARCKELEEHLQSKEICSVCSSAANIDRTSKQEKELAAAAEKLAECQETIFLLGKQLKAFRPQTETKGSPYNERIPGFHEDETVTSSINLHDLNQAEIETAGSGNASRSCAESPMESSFNTPCSPSDTEANLLRSPINSNNSNHRSTMSSSESSSSTPTPEKQSRGFSRFFSSKAKNGH >KJB63545 pep chromosome:Graimondii2_0_v6:10:253712:256742:-1 gene:B456_010G005400 transcript:KJB63545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHWPWKKKSSDKAHKAAAAAATTTVDAAANVAAPAAAQGNEDVPKKPKYVQISVESYSHLTALEDRVKLYDERVRSLEEEIKDLNEKLDAANSEISTKEDMVKQHAKVAEEAVSGWEKAEAEALALKNHLESVTLSKLTAEDRASHLDGALKECMRQIRNLKEEHEQKVQDVVASKNKQCEKIKLEFEARISNLDQELLKFEADNAAITRSLQERSNMLVKISEEKSRAEAEIELLKGNIESCEREINTLKYEIHVVSKELEIRNEEKNMSMRSAQVANKQHMEDVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLKRSPVRPSTPHLPAVSEFSLDNVQKFQKENEFLTVRLLAMEEETKMLKEALAKRNSELQASRSLCAKTSSKLQSLEAHLSRSPSKAEVYSSQNASTPPSGTSVSEDGNDDDRSCAESWATALVSELSQFKKEKGTDTPNKNENSKHLDLMDDFLEMEKLACSSNDSTTNGAINISGCTNDKVSETVNGDASGEITCKEPDVDELPAMKLRAKLSMVLESISKDADVQKILEDIKCAMQDSENGVLEQLHDSDVTCSDQACPGDASLTAEKEIAMSAGDKLTSENVQTLSRDLAAAVLQIHDFVLPLGDEARAVDDISFDGNGLSHKIGEFSVTYNKVLCGNVSLDDFVLDLSSVLVRASELRFNVLGYKGNEVEINSPDCIDKVALPENKVTQNGSLGGIYENGCAHISSPTSNPEVPDDGNLVSDFESKQLSKFSLEEFEELKLEKESLAMDLSRCTENLEITKSKLHETELLLTEAKSQLASAQKSNSLAETQLKCMAESYRSLEKHAEELATELNIFRVKTETFENELQDEKKGHHDALARCKELEEHLQSKEICSVCSSAANIDRTSKQVRQYFILYCFFNKLLCSLVCFK >KJB63542 pep chromosome:Graimondii2_0_v6:10:252988:257668:-1 gene:B456_010G005400 transcript:KJB63542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHWPWKKKSSDKAHKAAAAAATTTVDAAANVAAPAAAQGNEDVPKKPKYVQISVESYSHLTALEDRVKLYDERVRSLEEEIKDLNEKLDAANSEISTKEDMVKQHAKVAEEAVSGWEKAEAEALALKNHLESVTLSKLTAEDRASHLDGALKECMRQIRNLKEEHEQKVQDVVASKNKQCEKIKLEFEARISNLDQELLKFEADNAAITRSLQERSNMLVKISEEKSRAEAEIELLKGNIESCEREINTLKYEIHVVSKELEIRNEEKNMSMRSAQVANKQHMEDVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLKRSPVRPSTPHLPAVSEFSLDNVQKFQKENEFLTVRLLAMEEETKMLKEALAKRNSELQASRSLCAKTSSKLQSLEAHLSRSPSKAEVYSSQNASTPPSGTSVSEDGNDDDRSCAESWATALVSELSQFKKEKGTDTPNKNENSKHLDLMDDFLEMEKLACSSNDSTTNGAINISGCTNDKVSETVNGDASGEITCKEPDVDELPAMKLRAKLSMVLESISKDADVQKILEDIKCAMQDSENGVLEQLHDSDVTCSDQACPGDASLTAEKEIAMSAGDKLTSENVQTLSRDLAAAVLQIHDFVLPLGDEARAVDDISFDGNGLSHKIGEFSVTYNKVLCGNVSLDDFVLDLSSVLVRASELRFNVLGYKGNEVEINSPDCIDKVALPENKVTQNGSLGGIYENGCAHISSPTSNPEVPDDGNLVSDFESKQLSKFSLEEFEELKLEKESLAMDLSRCTENLEITKSKLHETELLLTEAKSQLASAQKSNSLAETQLKCMAESYRSLEKHAEELATELNIFRVKTETFENELQDEKKGHHDALARCKELEEHLQSKEICSVCSSAANIDRTSKQEKELAAAAEKLAECQETIFLLGKQLKAFRPQTETKGSPYNERIPGFHEDETVTSSINLHDLNQAEIETAGSGNASRSCAESPMESSFNTPCSPSDTEANLLRSPINSNNSNHRSTMSSSESSSSTPTPEKQSRGFSRFFSSKAKNGH >KJB63543 pep chromosome:Graimondii2_0_v6:10:253010:257640:-1 gene:B456_010G005400 transcript:KJB63543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHWPWKKKSSDKAHKAAAAAATTTVDAAANVAAPAAAQGNEDVPKKPKYVQISVESYSHLTALEDRVKLYDERVRSLEEEIKDLNEKLDAANSEISTKEDMVKQHAKVAEEAVSGWEKAEAEALALKNHLESVTLSKLTAEDRASHLDGALKECMRQIRNLKEEHEQKVQDVVASKNKQCEKIKLEFEARISNLDQELLKFEADNAAITRSLQERSNMLVKISEEKSRAEAEIELLKGNIESCEREINTLKYEIHVVSKELEIRNEEKNMSMRSAQVANKQHMEDVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLKRSPVRPSTPHLPAVSEFSLDNVQKFQKENEFLTVRLLAMEEETKMLKEALAKRNSELQASRSLCAKTSSKLQSLEAHLSRSPSKAEVYSSQNASTPPSGTSVSEDGNDDDRSCAESWATALVSELSQFKKEKGTDTPNKNENSKHLDLMDDFLEMEKLACSSNDSTTNGAINISGCTNDKVSETVNGDASGEITCKEPDVDELPAMKLRAKLSMVLESISKDADVQKILEDIKCAMQDSENGVLEQLHDSDVTCSDQACPGDASLTAEKEIAMSAGDKLTSENVQTLSRDLAAAVLQIHDFVLPLGDEARAVDDISFDGNGLSHKIGEFSVTYNKVLCGNVSLDDFVLDLSSVLVRASELRFNVLGYKGNEVEINSPDCIDKVALPENKVTQNGSLGGIYENGCAHISSPTSNPEVPDDGNLVSDFESKQLSKFSLEEFEELKLEKESLAMDLSRCTENLEITKSKLHETELLLTEAKSQLASAQKSNSLAETQLKCMAESYRSLEKHAEELATELNIFRVKTETFENELQDEKKGHHDALARCKELEEHLQSKEICSVCSSAANIDRTSKQEKELAAAAEKLAECQETIFLLGKQLKAFRPQTETKGSPYNERIPGFHEDETVTSSINLHDLNQAEIETAGSGNASRSCAESPMESSFNTPCSPSDTEANLLRSPINSNNSNHRSTMSSSESSSSTPTPEKQSRGFSRFFSSKAKNGH >KJB63544 pep chromosome:Graimondii2_0_v6:10:253926:256742:-1 gene:B456_010G005400 transcript:KJB63544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHWPWKKKSSDKAHKAAAAAATTTVDAAANVAAPAAAQGNEDVPKKPKYVQISVESYSHLTALEDRVKLYDERVRSLEEEIKDLNEKLDAANSEISTKEDMVKQHAKVAEEAVSGWEKAEAEALALKNHLESVTLSKLTAEDRASHLDGALKECMRQIRNLKEEHEQKVQDVVASKNKQCEKIKLEFEARISNLDQELLKFEADNAAITRSLQERSNMLVKISEEKSRAEAEIELLKGNIESCEREINTLKYEIHVVSKELEIRNEEKNMSMRSAQVANKQHMEDVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLKRSPVRPSTPHLPAVSEFSLDNVQKFQKENEFLTVRLLAMEEETKMLKEALAKRNSELQASRSLCAKTSSKLQSLEAHLSRSPSKAEVYSSQNASTPPSGTSVSEDGNDDDRSCAESWATALVSELSQFKKEKGTDTPNKNENSKHLDLMDDFLEMEKLACSSNDSTTNGAINISGCTNDKVSETVNGDASGEITCKEPDVDELPAMKLRAKLSMVLESISKDADVQKILEDIKCAMQDSENGVLEQLHDSDVTCSDQACPGDASLTAEKEIAMSAGDKLTSENVQTLSRDLAAAVLQIHDFVLPLGDEARAVDDISFDGNGLSHKIGEFSVTYNKVLCGNVSLDDFVLDLSSVLVRASELRFNVLGYKGNEVEINSPDCIDKVALPENKVTQNGSLGGIYENGCAHISSPTSNPEVPDDGNLVSDFESKQLSKFSLEEFEELKLEKESLAMDLSRCTENLEITKSKLHETELLLTEAKSQLASAQKSNSLAETQLKCMAESYRSLEKHAEELATELNIFRVKTETFENELQDEKKGHHDALARCKELEEHLQR >KJB67204 pep chromosome:Graimondii2_0_v6:10:53272715:53278493:-1 gene:B456_010G183300 transcript:KJB67204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFASISFHCCFFVPLPILCSSSPLKKSLVLCSSSPPPVTSQETNLVARCRRVYDHAHDYHINSISNNRSRNQEIGFRSGEIKGNKVSKAPKIQRLATPLTLQRKLTRIAEKKKKITKAKAEAAEY >KJB66296 pep chromosome:Graimondii2_0_v6:10:29886669:29889520:-1 gene:B456_010G134200 transcript:KJB66296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKREINNKARRAQIQLRTLKQVTFEKQKRQQSRRQKTMKRSSKSLADLCNLVVSSKLISSTNPLNPIKPFPLIRPLSSSNTKPTIKTAKLQPSSPKSHRDSLILEKFRQRKLKGNSVSKPTSTVVEKERERDDVDSENDKNKCGATKFVSSFQELGLEADIIGALSEMGIWIPSEIQCVGIPALLDGKSVVLSSESGSGRTLAFLLPLIQLLRRDEALLSVKPKHPRAIVLCSSEEQCDKDFQTARFISHHAKLNSTSEYGYSKSRISENLANDSIGMLVATPSETIQYIEEGSVVPDDIKYLVLDEMDAMFDHGFGSEIHKILNQLKNQQLSKAKDLGLQTVLVTSTITKMLGKQLYPLMEHLEQNNAGKVAAMLLEMDRQEVFDLTESLDALKIKIAETMNSFHSS >KJB67390 pep chromosome:Graimondii2_0_v6:10:54084595:54088835:-1 gene:B456_010G188300 transcript:KJB67390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKDDWISVFSMEMELGKLFIGGISWDTNEDRLREYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPAVAERVVMEKHMIDGRTVEAKKAVPRDDRNILNKSNVSIHGSPGPARTKKIFVGGLASTVTESDFKRYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEEVVDKVLQRTFHELNGKMVEVKRAVPKESSPGPSQNQLGGYNFGLSRVNSFLNGYMQNYASSVGGYGVRAEGRFSPVTVGRNGFPPLSPGYGMGLNFEPNLSPSYGGSSNLSSNLSYGRGLNASFNGKPDRFASLLGYGGGSGGNSSILNLAGRNMWGNGGLNHATNSNSSPIVGSGSGNSGVNSFGSIGALWDSSPTSGQGGAAFAYNSGNLRYGSGDIVVGSGGIGYDRNSAAGVAQVSSQGASNGGYNGAYADIDENGSFYGDSTWLPAPLDLQRSSSFGFGLGNSTSDVRTNSPTGYIGGYGVPNMQSDRGIAA >KJB67389 pep chromosome:Graimondii2_0_v6:10:54084595:54088627:-1 gene:B456_010G188300 transcript:KJB67389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMELGKLFIGGISWDTNEDRLREYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPAVAERVVMEKHMIDGRTVEAKKAVPRDDRNILNKSNVSIHGSPGPARTKKIFVGGLASTVTESDFKRYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEEVVDKVLQRTFHELNGKMVEVKRAVPKESSPGPSQNQLGGYNFGLSRVNSFLNGYMQNYASSVGGYGVRAEGRFSPVTVGRNGFPPLSPGYGMGLNFEPNLSPSYGGSSNLSSNLSYGRGLNASFNGKPDRFASLLGYGGGSGGNSSILNLAGRNMWGNGGLNHATNSNSSPIVGSGSGNSGVNSFGSIGALWDSSPTSGQGGAAFAYNSGNLRYGSGDIVVGSGGIGYDRNSAAGVAQVSSQGASNGGYNGAYADIDENGSFYGDSTWLPAPLDLQRSSSFGFGLGNSTSDVRTNSPTGYIGGYGVPNMQSDRGIAA >KJB67391 pep chromosome:Graimondii2_0_v6:10:54084595:54088905:-1 gene:B456_010G188300 transcript:KJB67391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMELGKLFIGGISWDTNEDRLREYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPAVAERVVMEKHMIDGRTVEAKKAVPRDDRNILNKSNVSIHGSPGPARTKKIFVGGLASTVTESDFKRYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEEVVDKVLQRTFHELNGKMVEVKRAVPKESSPGPSQNQLGGYNFGLSRVNSFLNGYMQNYASSVGGYGVRAEGRFSPVTVGRNGFPPLSPGYGMGLNFEPNLSPSYGGSSNLSSNLSYGRGLNASFNGKPDRFASLLGYGGGSGGNSSILNLAGRNMWGNGGLNHATNSNSSPIVGSGSGNSGVNSFGSIGALWDSSPTSGQGGAAFAYNSGNLRYGSGDIVVGSGGIGYDRNSAAGVAQVSSQGASNGGYNGAYADIDENGSFYGDSTWLPAPLDLQRSSSFGFGLGNSTSDVRTNSPTGYIGGYGVPNMQSDRGIAA >KJB67388 pep chromosome:Graimondii2_0_v6:10:54084595:54087172:-1 gene:B456_010G188300 transcript:KJB67388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDHNTQRPRGFGFITYDSEEVVDKVLQRTFHELNGKMVEVKRAVPKESSPGPSQNQLGGYNFGLSRVNSFLNGYMQNYASSVGGYGVRAEGRFSPVTVGRNGFPPLSPGYGMGLNFEPNLSPSYGGSSNLSSNLSYGRGLNASFNGKPDRFASLLGYGGGSGGNSSILNLAGRNMWGNGGLNHATNSNSSPIVGSGSGNSGVNSFGSIGALWDSSPTSGQGGAAFAYNSGNLRYGSGDIVVGSGGIGYDRNSAAGVAQVSSQGASNGGYNGAYADIDENGSFYGDSTWLPAPLDLQRSSSFGFGLGNSTSDVRTNSPTGYIGGYGVPNMQSDRGIAA >KJB67392 pep chromosome:Graimondii2_0_v6:10:54084595:54088885:-1 gene:B456_010G188300 transcript:KJB67392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMELGKLFIGGISWDTNEDRLREYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPAVAERVVMEKHMIDGRTVEAKKAVPRDDRNILNKSNVSIHGSPGPARTKKIFVGGLASTVTESDFKRYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEEVVDKVLQRTFHELNGKMVEVKRAVPKESSPGPSQNQLGGYNFGLSRVNSFLNGYMQNYASSVGGYGVRAEGRFSPVTVGRNGFPPLSPGYGMGLNFEPNLSPSYGGSSNLSSNLSYGRGLNASFNGKPDRFASLLGYGGGSGGNSSILNLAGRNMWGNGGLNHATNSNSSPIVGSGSGNSGVNSFGSIGALWDSSPTSGQGGAAFAYNSGNLRYGSGDIVVGSGGIGYDRNSAAGVAQVSSQGASNGGYNGAYADIDENGSFYGDSTWLPAPLDLQRSSSFGFGLGNSTSDVRTNSPTGYIGGYGVPNMQSDRGIAA >KJB65079 pep chromosome:Graimondii2_0_v6:10:11566623:11578306:-1 gene:B456_010G079600 transcript:KJB65079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSCYFFLLLFFFTINPCLAVDQIDEFSIIDSDLLSSHGDYSPPSPPPPSLPPLPPSLSCEEDLNGIGSLDTVCELNSSFSFDSDVYIAGNGSFHVLPNVILSCPMKGCSISINVSRGEFSLGQNAGVFTGTLFVSARNASFSKGSVVNVSGLAGQPPAQTSGTPSGIQGAGGGHGGRGASCVSDNMKLPDDVWGGDAYSWSSLDKPWSYGSKGGTTSKEEDYGGEGGGRIRLEVEEAIEVGGSLLANGGDGGVKGGGGSGGSIYIKAYRMTGSGWLSASGGNGFAGGGGGRISINVFSRHDDTEFFIHGGKSFGCPDNSGAAGTYYDAVPQSLIVSNHNMSTNTDTLLMEFPKQPLWTNVHVRDHAKASVPLLWSRVQVRGQIRLSCGAVLSFGLAHFASSEFELMAEELLMSDSILKIYGALRMSVKMHLMWNSKMLIDGGADAIVATSLLEASNLVVLRESSVIHSNANLGVHGQGFLNLSGPGDTIEAQRLILSLFFSIKVGPGSILQGPLENASDNDMAPRLYCEFQDCPIELLHPPEDCNVNSSLSFTLQICRVEDIIIEGIVTGSVVHFHWVRTVVVHSSGEITTSALGCTGGVGRGTVLNNGLAGGGGHGGRGGMGYYDGSFIEGGVSYGDAELPCELGSGSGNDSLAGATAGGGIIVMGSLEHSLSSLSVYGSLRADGESFGEVIRKQDHSTISNIGPGGGSGGTILLFVHSIMLADSSVISTAGGHGSPSGAGGGGGGRVHFHWSDIPTGDAYQPIASVKGSINTRGGFGRGQGHTGENGTITGKACPKGLYGIFCEECPLGTFKNVSGSDRVLCHSCPADELPSRAIYVDIRGGVTDRPCPYKCISERYHMPHCYTALEELVYTFGGPWFFGLILLGLLILLALVLSVARMKYVGGDELPALMPAHRGSQIDHSFPFLESLNEVLETNRTEESQSHVHRMYFMGSNTFTEPWHLPHVPPTQLIEIVYEDAFERFVDEINDLAAYQWWEGSIYSILSILAYPLAWSWLQQCRKRKLQQLREFVRSEYDHSCLRSCRSRALYEGLKVAATADLMLAYVDFFLGGDEKIGDLPPRLYQRFPISLVFGGDGSYMAPFSLQSDNILTSLMNQCVPPTMWYRLVAGLNSQLRLVRYGHLKLTFGHVISWLETHVNPTIIAYGVRVDLAWFQPTSSGYCQYGLVVSATSNENVQYWTEGQDTYFPSMEQLSWSGASRGASVGRPGASEYLRIFGGILHAKNLQTLKMRRVICYPFSLIVCNTKPVGHQDLVGLLVSILLLGDFSLVLLTLLQMYSISLLDFVLVFFILPFAIFLSFPAGISALFSHGRRQSAGLARVYALWNITSLINVITAFLCGFLHYWSHSSRKHMNIQSWNFSMDESEWWMLPSGLVVCKIIQARLIDFHVANQEIQDHSLYSTDPDVFWQS >KJB65078 pep chromosome:Graimondii2_0_v6:10:11566623:11578333:-1 gene:B456_010G079600 transcript:KJB65078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSCYFFLLLFFFTINPCLAVDQIDEFSIIDSDLLSSHGDYSPPSPPPPSLPPLPPSLSCEEDLNGIGSLDTVCELNSSFSFDSDVYIAGNGSFHVLPNVILSCPMKGCSISINVSRGEFSLGQNAGVFTGTLFVSARNASFSKGSVVNVSGLAGQPPAQTSGTPSGIQGAGGGHGGRGASCVSDNMKLPDDVWGGDAYSWSSLDKPWSYGSKGGTTSKEEDYGGEGGGRIRLEVEEAIEVGGSLLANGGDGGVKGGGGSGGSIYIKAYRMTGSGWLSASGGNGFAGGGGGRISINVFSRHDDTEFFIHGGKSFGCPDNSGAAGTYYDAVPQSLIVSNHNMSTNTDTLLMEFPKQPLWTNVHVRDHAKASVPLLWSRVQVRGQIRLSCGAVLSFGLAHFASSEFELMAEELLMSDSILKIYGALRMSVKMHLMWNSKMLIDGGADAIVATSLLEASNLVVLRESSVIHSNANLGVHGQGFLNLSGPGDTIEAQRLILSLFFSIKVGPGSILQGPLENASDNDMAPRLYCEFQDCPIELLHPPEDCNVNSSLSFTLQICRVEDIIIEGIVTGSVVHFHWVRTVVVHSSGEITTSALGCTGGVGRGTVLNNGLAGGGGHGGRGGMGYYDGSFIEGGVSYGDAELPCELGSGSGNDSLAGATAGGGIIVMGSLEHSLSSLSVYGSLRADGESFGEVIRKQDHSTISNIGPGGGSGGTILLFVHSIMLADSSVISTAGGHGSPSGAGGGGGGRVHFHWSDIPTGDAYQPIASVKGSINTRGGFGRGQGHTGENGTITGKACPKGLYGIFCEECPLGTFKNVSGSDRVLCHSCPADELPSRAIYVDIRGGVTDRPCPYKCISERYHMPHCYTALEELVYTFGGPWFFGLILLGLLILLALVLSVARMKYVGGDELPALMPAHRGSQIDHSFPFLESLNEVLETNRTEESQSHVHRMYFMGSNTFTEPWHLPHVPPTQLIEIVYEDAFERFVDEINDLAAYQWWEGSIYSILSILAYPLAWSWLQQCRKRKLQQLREFVRSEYDHSCLRSCRSRALYEGLKVAATADLMLAYVDFFLGGDEKIGDLPPRLYQRFPISLVFGGDGSYMAPFSLQSDNILTSLMNQCVPPTMWYRLVAGLNSQLRLVRYGHLKLTFGHVISWLETHVNPTIIAYGVRVDLAWFQPTSSGYCQYGLVVSATSNENVQYWTEGQDTYFPSMEQLSWSGASRGASVGRPGASEYLRIFGGILHAKNLQTLKMRRVICYPFSLIVCNTKPVGHQDLVGLLVSILLLGDFSLVLLTLLQMYSISLLDFVLVFFILPFAIFLSFPAGISALFSHGRRQSAGLARVYALWNITSLINVITAFLCGFLHYWSHSSRKHMNIQSWNFSMDESEWWMLPSGLVVCKIIQARLIDFHVANQEIQDHSLYSTDPDVFWQS >KJB65077 pep chromosome:Graimondii2_0_v6:10:11566623:11578177:-1 gene:B456_010G079600 transcript:KJB65077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSCYFFLLLFFFTINPCLAVDQIDEFSIIDSDLLSSHGDYSPPSPPPPSLPPLPPSLSCEEDLNGIGSLDTVCELNSSFSFDSDVYIAGNGSFHVLPNVILSCPMKGCSISINVSRGEFSLGQNAGVFTGTLFVSARNASFSKGSVVNVSGLAGQPPAQTSGTPSGIQGAGGGHGGRGASCVSDNMKLPDDVWGGDAYSWSSLDKPWSYGSKGGTTSKEEDYGGEGGGRIRLEVEEAIEVGGSLLANGGDGGVKGGGGSGGSIYIKAYRMTGSGWLSASGGNGFAGGGGGRISINVFSRHDDTEFFIHGGKSFGCPDNSGAAGTYYDAVPQSLIVSNHNMSTNTDTLLMEFPKQPLWTNVHVRDHAKASVPLLWSRVQVRGQIRLSCGAVLSFGLAHFASSEFELMAEELLMSDSILKIYGALRMSVKMHLMWNSKMLIDGGADAIVATSLLEASNLVVLRESSVIHSNANLGVHGQGFLNLSGPGDTIEAQRLILSLFFSIKVGPGSILQGPLENASDNDMAPRLYCEFQDCPIELLHPPEDCNVNSSLSFTLQICRVEDIIIEGIVTGSVVHFHWVRTVVVHSSGEITTSALGCTGGVGRGTVLNNGLAGGGGHGGRGGMGYYDGSFIEGGVSYGDAELPCELGSGSGNDSLAGATAGGGIIVMGSLEHSLSSLSVYGSLRADGESFGEVIRKQDHSTISNIGPGGGSGGTILLFVHSIMLADSSVISTAGGHGSPSGAGGGGGGRVHFHWSDIPTGDAYQPIASVKGSINTRGGFGRGQGHTGENGTITGKACPKGLYGIFCEECPLGTFKNVSGSDRVLCHSCPADELPSRAIYVDIRGGVTDRPCPYKCISERYHMPHCYTALEELVYTFGGPWFFGLILLGLLILLALVLSVARMKYVGGDELPALMPAHRGSQIDHSFPFLESLNEVLETNRTEESQSHVHRMYFMGSNTFTEPWHLPHVPPTQLIEIVYEDAFERFVDEINDLAAYQWWEGSIYSILSILAYPLAWSWLQQCRKRKLQQLREFVRSEYDHSCLRSCRSRALYEGLKVAATADLMLAYVDFFLGGDEKIGDLPPRLYQRFPISLVFGGDGSYMAPFSLQSDNILTSLMNQCVPPTMWYRLVAGLNSQLRLVRYGHLKLTFGHVISWLETHVNPTIIAYGVRVDLAWFQPTSSGYCQYGLVVSATSNENVQYWTEGQDTYFPSMEQLSWSGASRGASVGRPGASEYLRIFGGILHAKNLQTLKMRRVICYPFSLIVCNTKPVGHQVHFSSLQTNNYLSFLSHTFGRNFWRKS >KJB67772 pep chromosome:Graimondii2_0_v6:10:57628223:57634097:-1 gene:B456_010G210100 transcript:KJB67772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPFNSFSHRPQQNGFQSNSAVANQCNNNMNMLMMQPQMGIFNPQLPTPLSNNINNINKNSSVMPLLNNVGFMNGANQQFPTQNNSTGFPQIGPVFPNMNNLAMFPQLPAQFNNSLHNPNQPNWLNLPQQNNMGLTNGQQQRQQLQQQQLFLQNQLQNVSQLLNSQLPDLSQFVSGGQAIVPNPHFGIMRPNQMQQQANQSQQNLADVNASNLSSVPASLVPGDPSFGRPMGGPGKNSQNMNNFPGRNAKRDSKWGSQKSKFQQPRFHQADNGKRAFASSNGHKKKGPDNERAAKFPHSNSANPAKEKRRSLALTYTEEEIRKWREERKKFYPTKANIKKKLSGKEADSEVEKSRSEQLKEILAKQAELGVEVAEIPPQYLLGLEKRVNGREENRRPSTKRGRFGMRNDKRGRPDKRDCNSKKPRPTTEESFDGSSFSKRSPTLLQKLLRADIRKDKSWLLQVLRFMVMNSFFKDWPEKPLKFPVVVVKDGVSEGEIVQEKTLLDAEDNIEAGNKTMTQSIVDAAGDDDIENNNAGEEGEEDDDDNKKHDTQVDPVNLYVREKVAELVRNGEGEGEIID >KJB67774 pep chromosome:Graimondii2_0_v6:10:57628310:57634061:-1 gene:B456_010G210100 transcript:KJB67774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPFNSFSHRPQQQNGFQSNSAVANQCNNNMNMLMMQPQMGIFNPQLPTPLSNNINNINKNSSVMPLLNNVGFMNGANQQFPTQNNSTGFPQIGPVFPNMNNLAMFPQLPAQFNNSLHNPNQPNWLNLPQQNNMGLTNGQQQRQQLQQQQLFLQNQLQNVSQLLNSQLPDLSQFVSGGQAIVPNPHFGIMRPNQMQQQANQSQQNLADVNASNLSSVPASLVPGDPSFGRPMGGPGKNSQNMNNFPGRNAKRDSKWGSQKSKFQQPRFHQADNGKRAFASSNGHKKKGPDNERAAKFPHSNSANPAKEKRRSLALTYTEEEIRKWREERKKFYPTKANIKKKLSGKEADSEVEKSRSEQLKEILAKQAELGVEVAEIPPQYLLGLEKRVNGREENRRPSTKRGRFGMRNDKRGRPDKRDCNSKKPRPTTEESFDGSSFSKRSPTLLQKLLRADIRKDKSWLLQVLRFMVMNSFFKDWPEKPLKFPVVVVKDGVSEGEIVQEKTLLDAEDNIEAGNKTMTQSIVDAAGDDDIENNNAGEEGEEDDDDNKKHDTQVDPVNLYVREKVAELVRNGEGEGEIID >KJB67773 pep chromosome:Graimondii2_0_v6:10:57628223:57634099:-1 gene:B456_010G210100 transcript:KJB67773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLAMFPQLPAQFNNSLHNPNQPNWLNLPQQNNMGLTNGQQQRQQLQQQQLFLQNQLQNVSQLLNSQLPDLSQFVSGGQAIVPNPHFGIMRPNQMQQQANQSQQNLADVNASNLSSVPASLVPGDPSFGRPMGGPGKNSQNMNNFPGRNAKRDSKWGSQKSKFQQPRFHQADNGKRAFASSNGHKKKGPDNERAAKFPHSNSANPAKEKRRSLALTYTEEEIRKWREERKKFYPTKANIKKKLSGKEADSEVEKSRSEQLKEILAKQAELGVEVAEIPPQYLLGLEKRVNGREENRRPSTKRGRFGMRNDKRGRPDKRDCNSKKPRPTTEESFDGSSFSKRSPTLLQKLLRADIRKDKSWLLQVLRFMVMNSFFKDWPEKPLKFPVVVVKDGVSEGEIVQEKTLLDAEDNIEAGNKTMTQSIVDAAGDDDIENNNAGEEGEEDDDDNKKHDTQVDPVNLYVREKVAELVRNGEGEGEIID >KJB67798 pep chromosome:Graimondii2_0_v6:10:57807284:57810212:1 gene:B456_010G211700 transcript:KJB67798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSESDSDTDRARGRKKEMVKKGRRRNDSSEDDSDSDVERKKKRGEVQKGKIELNGSQRRGSDSDSDFDVDRARDHKKEIVNKRGNRYDTGDNSDSNTSDVMVEKGRRRGRRRDSDDEDSNSSYGRKIGKATEATERVGRRGSGSLTDDSDASSSDSDSTDVKRQTIEKKNAADKDRRGHRGDDDSHGVRGTRRYQEEKDSPSYAAKNDDRRGRTLNEDDRLERLQKSESNREMMKGKRKFDDENHDEQPELKSRSRNLGSELERKRDNPKDARLDSESNAKAYGENDDQNRDEYSRWEKDDLKRDDYSRSVRSGGEIDYDNGRQDGRLQSKITKPDSGSMRDDQDYDDWRGGQKRGRDEEEPRGREQERDEIDHKYRSRGRDEEEHHGSRRHRKGEEDDRGNKGHVRDRQLDHSEKMAYNDTRSSDRRSCRDDRR >KJB67796 pep chromosome:Graimondii2_0_v6:10:57805527:57809161:1 gene:B456_010G211700 transcript:KJB67796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKEILEHDRKRQIELKLVILEDKLAEQGYTESDIADKLKDEEEGEVIPIPTRQQKVSDTQTHQTFRAALEIGASKSGFPPLPNRRKNIDEREHSFLDRDPPVSVAMDVDDSKAKADKKKGQVVEDEIDESRHQKKKKEQKRSRHHDSDTDDTDTDSSLEHSKKATRKKKSRKGYDSGSSDFDNYASGSKLKKSAKKHDRRRQSDREDDSETDGGREKNRGEVQKQKFEGSESDSDADRARGRKKELIKEGRQRHDSSEGDSDSDGGRQKKRGEVQKRKIESTRSQRRERDMGSESDSDADRARGHRKEMIKKGRHRHNSSEDNFDSDGERQKKRERDMVSESDSDTDRARGRKKEMVKKGRRRNDSSEDDSDSDVERKKKRGEVQKGKIEDHKKEIVNKRGNRYDTGDNSDSNTSDVMVEKGRRRGRRRDSDDEDSNSSYGRKIGKATEATERVGRRGSGSLTDDSDASSSDSDSTDVKRQTIEKKNAADKDRRGHRGDDDSHGVRGTRRYQEEKDSPSYAAKNDDRRGRTLNEDDRLERLQKSESNREMMKGKRKFDDENHDEQPELKSRSRNLGSELERKRDNPKDARLDSESNAKAYGENDDQNRDEYSRWGKDDRNKDEYSRWGMGDRNKDEYSRWGKDDQKRDEYSRWEKDDLKRDDYSRSVRSGGEIDYDNGRQDGRLQSKITKPDSGSMRDDQDYDDWRGGQKRGRDEEEPRGREQERDEIDHKYRSRGRDEEEHHGSRRHRKGEEDDRGNKGHVRDRQLDHSEKMAYNDTRSSDRRSCRDDRR >KJB67797 pep chromosome:Graimondii2_0_v6:10:57807284:57810212:1 gene:B456_010G211700 transcript:KJB67797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSESDSDTDRARGRKKEMVKKGRRRNDSSEDDSDSDVERKKKRGEVQKGKIELNGSQRRGSDSDSDFDVDRARDHKKEIVNKRGNRYDTGDNSDSNTSDVMVEKGRRRGRRRDSDDEDSNSSYGRKIGKATEATERVGRRGSGSLTDDSDASSSDSDSTDVKRQTIEKKNAADKDRRGHRGDDDSHGVRGTRRYQEEKDSPSYAAKNDDRRGRTLNEDDRLERLQKSESNREMMKGKRKFDDENHDEQPELKSRSRNLGSELERKRDNPKDARLDSESNAKAYGENDDQNRDEYSRWGKDDRNKDEYSRWGMGDRNKDEYSRWGKDDQKRDEYSRWEKDDLKRDDYSRSVRSGGEIDYDNGRQDGRLQSKITKPDSGSMRDDQDYDDWRGGQKRGRDEEEPRGREQERDEIDHKYRSRGRDEEEHHGSRRHRKGEEDDRGNKGHVRDRQLDHSEKMAYNDTRSSDRRSCRDDRR >KJB64097 pep chromosome:Graimondii2_0_v6:10:2939233:2942804:1 gene:B456_010G032800 transcript:KJB64097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIASDETTRICNHCDRAIPSSNIDLHYAHCSRNLEKCNVCGDMVPIRHAKEHFLNTHAPVACSLCSATLERGNLDIHKGESCPQRIVNCEFCEFPLPAIDLAEHQEVCGNRTEMCYQCNRYIRLRERYNHASRCTGIAENNVESSRDVGAPEREQGAPRRQPPEYSRKRLIFTIAVTGIAVLFGSLFFQKKAEASQVH >KJB64096 pep chromosome:Graimondii2_0_v6:10:2940555:2942539:1 gene:B456_010G032800 transcript:KJB64096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACSLCSATLERGNLDIHKGESCPQRIVNCEFCEFPLPAIDLAEHQEVCGNRTEMCYQCNRYIRLRERYNHASRCTGIAENNVESSRDVGAPEREQGAPRRQPPEYSRKRLIFTIAVTGIAVLFGSLFFQKKAEASQVH >KJB64094 pep chromosome:Graimondii2_0_v6:10:2939279:2942793:1 gene:B456_010G032800 transcript:KJB64094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLVACSLCSATLERGNLDIHKGESCPQRIVNCEFCEFPLPAIDLAEHQEVCGNRTEMCYQCNRYIRLRERYNHASRCTGIAENNVESSRDVGAPEREQGAPRRQPPEYSRKRLIFTIAVTGIAVLFGSLFFQKKAEASQVH >KJB64095 pep chromosome:Graimondii2_0_v6:10:2939279:2942793:1 gene:B456_010G032800 transcript:KJB64095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIASDETTRICNHCDRAIPSSNIDLHYAHCSRNLEKCNVCGDMVPIRHAKEHFLNTHAPVACSLCSATLERGNLDIHKGESCPQRIVNCEFCEFPLPAIDLAEHQEVCGNRTEMCYQCNRYIRLRERYNHASRCTGIAENNVESSRDVGAPEREQGAPRRQPPEYSRKRLIFTIAVTGIAVLFGSLFFQKKAEASQVH >KJB64093 pep chromosome:Graimondii2_0_v6:10:2939279:2942793:1 gene:B456_010G032800 transcript:KJB64093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIASDETTRICNHCDRAIPSSNIDLHYAHCSRNLEKCNVCGDMVPIRHAKEHFLNTHAPVACSLCSATLERGNLDIHKGESCPQRIVNCEFCEFPLPAIDLAEHQEVCGNRTEMCYQCNRYIRLRERYNHASRCTGIAENNVESSRDVGAPEREQGAPRRQPPEYSRKRLIFTIAVTGIAVLFGSLFFQKKAEASQVH >KJB65072 pep chromosome:Graimondii2_0_v6:10:11587697:11589623:-1 gene:B456_010G079700 transcript:KJB65072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQKMREEYSKLNRMEMSIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAVRKDYPNEDWLHLTALIHDLGKVLLLPKFGGLPQWAVVGDTFPLGCAFDEANIHHKYFKENPDYNNPSYNTKNGIYWDGCGLDNVTISWGHDDYMYLVAKENGTTLPSAGLFIIRYHSLYPLHTEEAYMQFLNDEDKENLKWLRIFNKYDLYSKSKVAVDVEKVKPYYLSLIEKYFPAKLKW >KJB65075 pep chromosome:Graimondii2_0_v6:10:11588112:11589552:-1 gene:B456_010G079700 transcript:KJB65075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILMEKPELVSQSDFQNHADESKELVLDGGFPVPKSLSGEGFLAPEINSFGNSFRDYNAESERQKSVEEFYKQQHVNQTYDFVQKMREEYSKLNRMEMSIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAVRKDYPNEDWLHLTALIHDLGKVLLLPKFGGLPQWAVVGDTFPLGCAFDEANIHHKYFKENPDYNNPSYNTKNGIYWDGCGLDNVTISWGHDDYMYLVAKENGTTLPSAGLFIIRYHSLYPLHTEEAYMQFLNDEDKENLKWLRIFK >KJB65076 pep chromosome:Graimondii2_0_v6:10:11587697:11589631:-1 gene:B456_010G079700 transcript:KJB65076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILMEKPELDFQNHADESKELVLDGGFPVPKSLSGEGFLAPEINSFGNSFRDYNAESERQKSVEEFYKQQHVNQTYDFVQKMREEYSKLNRMEMSIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAVRKDYPNEDWLHLTALIHDLGKVLLLPKFGGLPQWAVVGDTFPLGCAFDEANIHHKYFKENPDYNNPSYNTKNGIYWDGCGLDNVTISWGHDDYMYLVPKENGTTLPSAGLFIIRYHSLYPLHTEEAYMQFLNDEDKENLKWLRIFNKYDLYSKSKVAVDVEKVKPYYLSLIEKYFPAKLKW >KJB65068 pep chromosome:Graimondii2_0_v6:10:11587697:11589623:-1 gene:B456_010G079700 transcript:KJB65068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILMEKPELDFQNHADESKELVLDGGFPVPKSLSGEGFLAPEINSFGNSFRDYNAESERQKSVEEFYKQQHVNQTYDFVQKMREEYSKLNRMEMSIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAVRKDYPNEDWLHLTALIHDLGKVLLLPKFGGLPQWAVVGDTFPLGCAFDEANIHHKYFKENPDYNNPSYNTKNGIYWDGCGLDNVTISWGHDDYMYLVAKENGTTLPSAGLFIIRYHSLYPLHTEEAYMQFLNDEDKENLKWLRIFNKYDLYSKSKVAVDVEKVKPYYLSLIEKYFPAKLKW >KJB65071 pep chromosome:Graimondii2_0_v6:10:11587674:11589848:-1 gene:B456_010G079700 transcript:KJB65071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILMEKPELVSQSDFQNHADESKELVLDGGFPVPKSLSGEGFLAPEINSFGNSFRDYNAESERQKSVEEFYKQQHVNQTYDFVQKMREEYSKLNRMEMSIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAVRKDYPNEDWLHLTALIHDLGKVLLLPKFGGLPQWAVVGDTFPLGCAFDEANIHHKYFKENPDYNNPSYNTKNGIYWDGCGLDNVTISWGHDDYMYLVAKENGTTLPSAGLFIIRYHSLYPLHTEEAYMQFLNDEDKENLKWLRIFNKSKVAVDVEKVKPYYLSLIEKYFPAKLKW >KJB65070 pep chromosome:Graimondii2_0_v6:10:11587674:11589848:-1 gene:B456_010G079700 transcript:KJB65070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILMEKPELDFQNHADESKELVLDGGFPVPKSLSGEGFLAPEINSFGNSFRDYNAESERQKSVEEFYKQQHVNQTYDFVQKMREEYSKLNRMEMSIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAVRKDYPNEDWLHLTALIHDLGKVLLLPKFGGLPQWAVVGDTFPLGCAFDEANIHHKYFKENPDYNNPSYNTKNGIYWDGCGLDNVTISWGHDDYMYLVAKENGTTLPSAGLFIIRYHSLYPLHTEEAYMQFLNDEDKENLKWLRIFNKSKVAVDVEKVKPYYLSLIEKYFPAKLKW >KJB65069 pep chromosome:Graimondii2_0_v6:10:11587697:11589623:-1 gene:B456_010G079700 transcript:KJB65069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILMEKPELVSQSDFQNHADESKELVLDGGFPVPKSLSGEGFLAPEINSFGNSFRDYNAESERQKSVEEFYKQQHVNQTYDFVQKMREEYSKLNRMEMSIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAVRKDYPNEDWLHLTALIHDLGKVLLLPKFGGLPQWAVVGDTFPLGCAFDEANIHHKYFKENPDYNNPSYNTKNGIYWDGCGLDNVTISWGHDDYMYLVAKENGTTLPSAGLFIIRYHSLYPLHTEEAYMQFLNDEDKENLKWLRIFNKYDLYSKSKVAVDVEKVKPYYLSLIEKYFPAKLKW >KJB65073 pep chromosome:Graimondii2_0_v6:10:11588226:11589552:-1 gene:B456_010G079700 transcript:KJB65073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILMEKPELVSQSDFQNHADESKELVLDGGFPVPKSLSGEGFLAPEINSFGNSFRDYNAESERQKSVEEFYKQQHVNQTYDFVQKMREEYSKLNRMEMSIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAVRKDYPNEDWLHLTALIHDLGKVLLLPKFGGLPQWAVVGDTFPLGCAFDEANIHHKYFKENPDYNNPSYNTKNGIYWDGCGLDNVTISWGHDDYMYLVAKENGTTLPSAGLFIIRYHSLYRKYIVLFNMQFIL >KJB65074 pep chromosome:Graimondii2_0_v6:10:11587697:11589623:-1 gene:B456_010G079700 transcript:KJB65074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILMEKPELVSQSDFQNHADESKELVLDGGFPVPKSLSGEGFLAPEINSFGNSFRDYNAESERQKSVEEFYKQQHVNQTYDFVQKMREEYSKLNRMEMSIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAVRKDYPNEDWLHLTALIHDLGKVLLLPKFGGLPQWAVVGDTFPLGCAFDEANIHHKYFKENPDYNNPSYNTKNGIYWDGCGLDNVTISWGHDDYMYLVPKENGTTLPSAGLFIIRYHSLYPLHTEEAYMQFLNDEDKENLKWLRIFNKYDLYSKSKVAVDVEKVKPYYLSLIEKYFPAKLKW >KJB68274 pep chromosome:Graimondii2_0_v6:10:60607242:60609073:-1 gene:B456_010G235700 transcript:KJB68274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLLHRRRHFPSRPTLLSVPSTDNKKTNETENKMPKKKNSRRNPKFFPFFSSEIIEFSGSQMEEDEEIQSYPSVAATGSSSPSSPSPASNSRITVTVAAAPPPNSPPTDEPHEHEKAIVLALPPPQKPKSNGGGREDCWSEGATEVLIDAWGERYLELSRGNLKQKHWKEVADIVSSREDYTKTPKTDIQCKNRIDTVKKKYKLEKAKVAAGGGPSKWVFFEKLDQLIGPTAKVSASTTAAVSGGGGSSSGGSADFLSKVPMGIPVGIRSSLNPFRVSQVRQQQQQQQQQQQQEKQPRMVVLKNQRKQGPVDMDSEDDDDDGDDDADSFDSLPPPPTGKRARRVVHNKSVNAGEKRRKWGNSVKELTQAILRFGEAYEQAETAKLQQVVEMEKQRMKFAKELELQRMQFFMKTQLEISQLKQGKKGVGIFNSGNHHSKANNNNNNNNSDSSN >KJB64717 pep chromosome:Graimondii2_0_v6:10:7533271:7536333:1 gene:B456_010G061700 transcript:KJB64717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKSSYTSYTTIPQTESVKENLTFVEKRFHYADKPLTGTFMAQFMTMKFNGSRGMLEHIIKMTNIAAKLKILGITIDDSFLLQFILNLTPPNYGHFIPNNTWGFNSGSTTHVSKFTQRFLMIQTTNPNEHFLYMRNRMKALIEGIGTYGLLLDICWDFKCFLLGFSL >KJB63417 pep chromosome:Graimondii2_0_v6:10:42615341:42616112:-1 gene:B456_010G154900 transcript:KJB63417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENARLECNAADERANILASEVIGLEDKPLRLRSNELKLERQLENSQAEISSFKYVFFRSNYEICPFILQHLRS >KJB67239 pep chromosome:Graimondii2_0_v6:10:53012674:53013942:1 gene:B456_010G181900 transcript:KJB67239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIQVSSSLLLSKSLPSLRCRREIVGARVNAPRVHLGVVSLPKLPSRYLVDEMETRTGYPIARHDTKSSDDPRVIAKLYAIMEAVADRVEMHKNIGEQRDNWNRLLLTSINAMTLSGATMAGLAALAAEGSPLMALKLSSIVLYVAATGLLLVMNKIQPSQLAEEQRNAARLFKQLRVQIETTLALGKLDINDVNEAMEKVLALAKAYPLPLLGSMLEKFPSKVEPARWWPKQRSKQGFVGKIEGNNGWTRKLEEEMRQVVRVLKQKDLAEYIDLGSKALKLNKMLAISGPLLTILGALGSSFVGTTDCSWPVMMGVVAGAVATAVNSLEHGGQVGMVFEMYRGNAGFFKLIEENIMSNINEKDVERRENGEILEMKVALQLGRSLSELKQLAAIAECSEEFASKLF >KJB67196 pep chromosome:Graimondii2_0_v6:10:52584223:52586985:-1 gene:B456_010G179600 transcript:KJB67196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PITHSAFFLFCYSAFCPSPQRSDSRMPTCTAAATSAAATVFAGTPFASRFLFGNPKVSHCDAAAAFPKDYISNVQRLSEDIVKNVKNLKNDALKYAVKEYKVELKPLYSAFEFRPFAMTTLRSFLMFYLPLLEPATNVKEDDEDFLRDTPKEQHVDLVVPFKKSVKQIIRETTVVTTRRVLERLAVIYVSQRMAWKLLKGTKCKNSSSRLDCIWLS >KJB65500 pep chromosome:Graimondii2_0_v6:10:28289322:28290280:-1 gene:B456_010G130400 transcript:KJB65500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSMRSKREKRLRAIRREIVEPFYQKKDEAKMAAIEAALAAPKLPASSPPSGSMQLEEQVTAPSSATTNLNSMEVEMADSDENKTKASLRAIGRIGKMSKKKLKIAKKKRRAGGKGKIRGKRNL >KJB66353 pep chromosome:Graimondii2_0_v6:10:31637495:31639069:1 gene:B456_010G1373002 transcript:KJB66353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHCFAAQIEKTPGSPEEHHYHLGSFETEVMWQYQEADNLPVPESVVTLLKAAKVNHIRIYDADHGVLTAFNGSGIEVIVGLPNGYLKELSTGEDRAMNWVKENVQAFLPGTQIRGIAVGNEILGGSDMELWEVLLPAAKNIYGAVYRLGLKEIVQVSSPHSEAVFANSYPPSACIFKPDVVPFMKPLLQLFSQIGSPFYINAYPFLAYKNDPQHIDINYALFKDNPGFNKMEVIVSKTGWASRGDPDKAGATVKNARTYNRHLRKRLTKKKGTPLRPKIPVRAYVFSLFNENSKPGPTSERNFGLFKPDGSIAYDIGFTGRVPSSAPPLSFK >KJB67355 pep chromosome:Graimondii2_0_v6:10:53814483:53816503:-1 gene:B456_010G187100 transcript:KJB67355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVPMDVVTDIFHRLPVKTLLRFRSLSKPYCSLIDDPDFTNAHLDRSIKTRSNLNIILKGLHLYSVEFDALDNAVPIDYPLSSGAGTEAFGSCNGILAFRLTDKNLALYNPSTRQFRRLPVSEIDPPPGDSCKSGYIFYGFGQDVKTNDYKVVRMVQFNKSDDEDDEGIFYDYEVKVYSLKNDSWRKITKLPPYLRFMFQFFYHLLHRRGYGVLAGGVLHWVMPPRIELGPRNRIVGFDLGNEEFIEVPQPECADKNYLLDVAALEGRLCAVCNYDQEKIDVWTMKEYGVKQSWTKLISIQRTRTITTLTFLKPLAYSKQLDKVLVEINSQKFAWYDLQKKKMRSVKIGGSPGTFGAEVYIGSLIQIEDLKRLEIEKQNEQDELKNRNRKKRDDFLSKGFKLVL >KJB67356 pep chromosome:Graimondii2_0_v6:10:53814948:53816287:-1 gene:B456_010G187100 transcript:KJB67356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVPMDVVTDIFHRLPVKTLLRFRSLSKPYCSLIDDPDFTNAHLDRSIKTRSNLNIILKGLHLYSVEFDALDNAVPIDYPLSSGAGTEAFGSCNGILAFRLTDKNLALYNPSTRQFRRLPVSEIDPPPGDSCKSGYIFYGFGQDVKTNDYKVVRMVQFNKSDDEDDEGIFYDYEVKVYSLKNDSWRKITKLPPYLRFMFQFFYHLLHRRGYGVLAGGVLHWVMPPRIELGPRNRIVGFDLGNEEFIEVPQPECADKNYLLDVAALEGRLCAVCNYDQEKIDVWTMKEYGVKQSWTKLISIQRTRTITTLTFLKPLAYSKQLDKVLVEINSQKFAWYDLQKKKMRSVKIGGSPGTFGAEVYIGSLIQIEDLKRLEIEKQNEQDELKNRNRKKRDDFLSKGFKLVL >KJB68569 pep chromosome:Graimondii2_0_v6:10:61793926:61796447:1 gene:B456_010G251000 transcript:KJB68569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHDKKPAKPSSSRSGGIRTLADLNRPSGPDSGSDSDNPQEYYTGGEKSCGFLSHKFLSILNLSGMLVQDPSKNNEVDGIFNQARQLGAVERPIEQLNPSSSSTSFTGTGRLLSGETVSSVPQQPQTVIHNIVFWTNGFTVNDGPLRRLDDPENAHFLESIRKSECPKELESADKRSPVHVNLIKRNEKYPEPKKKHQAAFQGVGRTLGSGSTSATPEETSSTSPLNTAPNPSPGLVVDESLPSTSIQLRLADGTRMVARFNLHNTVGDIRSFIDASRPESATIYQLQMMGFPPKLLVDPTQTIEQAGLANSVVIQKF >KJB68570 pep chromosome:Graimondii2_0_v6:10:61793980:61796336:1 gene:B456_010G251000 transcript:KJB68570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHDKKPAKPSSSRSGGIRTLADLNRPSGPDSGSDSDNPQEYYTGGEKRFCSGMLVQDPSKNNEVDGIFNQARQLGAVERPIEQLNPSSSSTSFTGTGRLLSGETVSSVPQQPQTVIHNIVFWTNGFTVNDGPLRRLDDPENAHFLESIRKSECPKELESADKRSPVHVNLIKRNEKYPEPKKKHQAAFQGVGRTLGSGSTSATPEETSSTSPLNTAPNPSPGLVVDESLPSTSIQLRLADGTRMVARFNLHNTVGDIRSFIDASRPESATIYQLQMMGFPPKLLVDPTQTIEQAGLANSVVIQKF >KJB68567 pep chromosome:Graimondii2_0_v6:10:61793944:61796400:1 gene:B456_010G251000 transcript:KJB68567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQDPSKNNEVDGIFNQARQLGAVERPIEQLNPSSSSTSFTGTGRLLSGETVSSVPQQPQTVIHNIVFWTNGFTVNDGPLRRLDDPENAHFLESIRKSECPKELESADKRSPVHVNLIKRNEKYPEPKKKHQAAFQGVGRTLGSGSTSATPEETSSTSPLNTAPNPSPGLVVDESLPSTSIQLRLADGTRMVARFNLHNTVGDIRSFIDASRPESATIYQLQMMGFPPKLLVDPTQTIEQAGLANSVVIQKF >KJB68568 pep chromosome:Graimondii2_0_v6:10:61793926:61796447:1 gene:B456_010G251000 transcript:KJB68568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHDKKPAKPSSSRSGGIRTLADLNRPSGPDSGSDSDNPQEYYTGGEKSGMLVQDPSKNNEVDGIFNQARQLGAVERPIEQLNPSSSSTSFTGTGRLLSGETVSSVPQQPQTVIHNIVFWTNGFTVNDGPLRRLDDPENAHFLESIRKSECPKELESADKRSPVHVNLIKRNEKYPEPKKKHQAAFQGVGRTLGSGSTSATPEETSSTSPLNTAPNPSPGLVVDESLPSTSIQLRLADGTRMVARFNLHNTVGDIRSFIDASRPESATIYQLQMMGFPPKLLVDPTQTIEQAGLANSVVIQKF >KJB63738 pep chromosome:Graimondii2_0_v6:10:1010736:1012694:1 gene:B456_010G013600 transcript:KJB63738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDATVEPITSSVSDTMLPSETGETKLPVKKIPGDYGLPFIGPIKDRLDYFYNQGRDKFFKSKIQKYQSTVIRTNMSPGPFIASNPKVIALLDGKSFPILFDVSKVEKKNLFTGTYMPSTNLTGGYRILSYLDPSEPTHTKLKQLLFFLLKSSSNRVFPEFEACYTELFKTVENELNEKGQSSFEKPNEQAAFNFLGRAYFGSNPVDTKLGTDGPSLIAKWVLFQLAPVLSLGLPKYIEDLLIHTFPLPSFLVKKDYKRLYDFFYESAGSVLDEAEKMGISREEACHNLLFATCFNTFGGMKIFFPNMLKWIGNAGPDLHRSLAKEIRSVIKSNGGELSLGAMEQMPLMKSVVYEAFRIEPPVPAQYAKAKVDLLIESHDAVFEVKKGEMLFGYQPFATKDPIIFERAEEFVPERFMGVEGEKLLKHVFWSNGPETEHPTVENKQCAGKEFVVLISRLFVVELFRRYDTFEIEVGTSTLGAAITIKSLNKTSF >KJB63337 pep chromosome:Graimondii2_0_v6:10:5256829:5259198:-1 gene:B456_010G048100 transcript:KJB63337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNGNVFLKHGSELRLIPRDRVGAF >KJB63338 pep chromosome:Graimondii2_0_v6:10:5256829:5259292:-1 gene:B456_010G048100 transcript:KJB63338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAF >KJB63293 pep chromosome:Graimondii2_0_v6:10:25562371:25562601:-1 gene:B456_010G124500 transcript:KJB63293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCMTRPPSILKFPGMIVTPPATAPVASRTTWTRPFFKPLHCAPLHQLQQQQEVSFICLLIGIFTSPLLPQTCAT >KJB63294 pep chromosome:Graimondii2_0_v6:10:25561609:25562601:-1 gene:B456_010G124500 transcript:KJB63294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCMTRPPSILKFPGMIVTPPATAPVASRTTWTRPFFKPLHCAPLHQLQQQQEVDAGGIMCEPCNGKGWLLCDFCKGQKTNVTADNKRIYRRCPSCKAIGYLLCSKCKVFKCVTFPNGSDGEELTF >KJB63292 pep chromosome:Graimondii2_0_v6:10:25560911:25562796:-1 gene:B456_010G124500 transcript:KJB63292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCMTRPPSILKFPGMIVTPPATAPVASRTTWTRPFFKPLHCAPLHQLQQQQEVDAGGIMCEPCNGKGWLLCDFCKGQKTNVTADNKRIYRRCPSCKARW >KJB67447 pep chromosome:Graimondii2_0_v6:10:54960001:54963477:1 gene:B456_010G192900 transcript:KJB67447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDNGNLKEQIEESSDTKTTGQISLQVSSSQKMLLNSENPQRKPFMNSMSPPSRFKFLDFSSASASFKRFAEERDEVSRLVASSSGHRIRERLTGVFAKKIDWVSLMKMCKEWIRDPMNMALFVWILAVAISGAILFLVMTGMLNKALPKKSQRDAWFEVNNQILNALFTLMCLYHHPKRFYHLVLLCRWKPEDVSRLRKVYCKNGTYKPHEWAHMMVVVVLLHINCFAQYALCGLNWGYKRSERPPIGVGICMSFAIGAPALAGLYTIVSPLGKDYVSEVDEEAQGGIDASEIRLEQSRRKSFERRYSFASGEGERIVESRPLWSGGILDIWDDISLAYLSLFCTCCVFGWNMERLGFGNMYVHIATFLLFCMAPFWIFNLAAVNIDNETVREALGFTGIVLCLFGLLYGGFWRIQMRKRFNLPAYKFCFGHPAVADCTLWLCCCWCALAQEARTGNSYDIVEDKFLRKQTNNGNEVRMSPLPREQGIGRFSSDPSSSPGYGSSPTTRFTTNSPSPRMISKEYYSPDRQLSTVKEEFSIGGKDETMIPPTPSSMTQREETQSRTLTNELKYII >KJB67448 pep chromosome:Graimondii2_0_v6:10:54960493:54963477:1 gene:B456_010G192900 transcript:KJB67448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSILDFMIMASSDNGNLKEQIEESSDTKTTGQISLQVSSSQKMLLNSENPQRKPFMNSMSPPSRFKFLDFSSASASFKRFAEERDEVSRLVASSSGHRIRERLTGVFAKKIDWVSLMKMCKEWIRDPMNMALFVWILAVAISGAILFLVMTGMLNKALPKKSQRDAWFEVNNQILNALFTLMCLYHHPKRFYHLVLLCRWKPEDVSRLRKVYCKNGTYKPHEWAHMMVVVVLLHINCFAQYALCGLNWGYKRSERPPIGVGICMSFAIGAPALAGLYTIVSPLGKDYVSEVDEEAQGGIDASEIRLEQSRRKSFERRYSFASGEGERIVESRPLWSGGILDIWDDISLAYLSLFCTCCVFGWNMERLGFGNMYVHIATFLLFCMAPFWIFNLAAVNIDNETVREALGFTGIVLCLFGLLYGGFWRIQMRKRFNLPAYKFCFGHPAVADCTLWLCCCWCALAQEARTGNSYDIVEDKFLRKQTNNGNEVRMSPLPREQGIGRFSSDPSSSPGYGSSPTTRFTTNSPSPRMISKEYYSPDRQLSTVKEEFSIGGKDETMIPPTPSSMTQREETQSRTLTNELKYII >KJB64416 pep chromosome:Graimondii2_0_v6:10:5294877:5298078:1 gene:B456_010G048400 transcript:KJB64416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEYISCLIHLAVFGILGVFTRYLLEKLFGPSLLGVTSNETIVYPNLPSNMVGSFLMGWWGVVFKGDISQVSDILAIGLTTGYLGSVTTFSGWNQKMLDLSVDGHWVQAILGFLIGLFLVAYSIIFGIETAKGFRWILKKLNTRPEKGVPSCNCNWTLNSSSRHLVAMVILLLVLGVLLSVSGLLLKEEFSSGSSGAQLWLACLVGPFGVWIRWFLARLNGRGLGKSGILRWLPFGTLITNVSAACIMAGLSTIEKSVNIKNFDTVANGIQLGFLGCLSTVSTFIAEFNAMRESKHPWRAYLYASTTMGISFVLGILIYNVPVWTKGFP >KJB64415 pep chromosome:Graimondii2_0_v6:10:5293573:5299030:1 gene:B456_010G048400 transcript:KJB64415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDHGTNNPEHTRTGAFSRTGSLGSSLRKRSFSISSSVSYRIDDDIGSEAVSEAGDIGDRALQSNRHSVSSSCRLSLDLAMEGGSVIHPEDLHKVSVASPVLEDVTSPLPLDLLKGPGDEKQEKQRLPMSLEYISCLIHLAVFGILGVFTRYLLEKLFGPSLLGVTSNETIVYPNLPSNMVGSFLMGWWGVVFKGDISQVSDILAIGLTTGYLGSVTTFSGWNQKMLDLSVDGHWVQAILGFLIGLFLVAYSIIFGIETAKGFRWILKKLNTRPEKGVPSCNCNWTLNSSSRHLVAMVILLLVLGVLLSVSGLLLKEEFSSGSSGAQLWLACLVGPFGVWIRWFLARLNGRGLGKSGILRWLPFGTLITNVSAACIMAGLSTIEKSVNIKNFDTVANGIQLGFLGCLSTVSTFIAEFNAMRESKHPWRAYLYASTTMGISFVLGILIYNVPVWTKGFP >KJB64413 pep chromosome:Graimondii2_0_v6:10:5293573:5298681:1 gene:B456_010G048400 transcript:KJB64413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDHGTNNPEHTRTGAFSRTGSLGSSLRKRSFSISSSVSYRIDDDIGSEAVSEAGDIGDRALQSNRHSVSSSCRLSLDLAMEGGSVIHPEDLHKVSVASPVLEDVTSPLPLDLLKGPGDEKQEKQRLPMSLEYISCLIHLAVFGILGVFTRYLLEKLFGPSLLGVTSNETIVYPNLPSNMVGSFLMGWWGVVFKGDISQVSDILAIGLTTGYLGSVTTFSGWNQKMLDLSVDGHWVQAILGFLIGLFLVAYSIIFGIETAKGFRWILKKLNTRPEKGVPSCNCNWTLNSSSRHLVAMVILLLVLGVLLSVSGLLLKEEFSSGSSGAQLWLACLVGPFGVWIRWFLARLNGRGLGKSGILRWLPFGTLITNVSAACIMAGLSTIEKSVNIKNFDTVANGIQLGFLGCLSTVSTFIAEFNAMRESKHPWRAYLYASTTMGISFVLGILIYNVPVWTKGFP >KJB64414 pep chromosome:Graimondii2_0_v6:10:5293824:5298078:1 gene:B456_010G048400 transcript:KJB64414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDHGTNNPEHTRTGAFSRTGSLGSSLRKRSFSISSSVSYRIDDDIGSEAVSEAGDIGDRALQSNRHSVSSSCRLSLDLAMEGGSVIHPEDLHKVSVASPVLEDVTSPLPLDLLKGPGDEKQEKQRLPMSLEYISCLIHLAVFGILGVFTRYLLEKLFGPSLLGVTSNETIVYPNLPSNMVGSFLMGWWGVVFKGDISQVSDILAIGLTTGYLGSVTTFSGWNQKMLDLSVDGHWVQAILGFLIGLFLVAYSIIFGIETAKGFRWILKKLNTRPEKGVPSCNCNWTLNSSSRHLVAMVILLLVLGVLLSVSGLLLKEEFSSGSSGAQLWLACLVGPFGVWIRWFLARLNGRGLGKSGILRWLPFGTLITNVSAACIMAGLSTIEKSVNIKNFDTVANGIQLGFLGCLSTVSTFIAEFNAMRESKHPWRAYLYASTTMGISFVLGILIYNVPVWTKGFP >KJB64417 pep chromosome:Graimondii2_0_v6:10:5294877:5298078:1 gene:B456_010G048400 transcript:KJB64417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGTNNPEHTRTGAFSRTGSLGSSLRKRSFSISSSVSYRIDDDIGSEAVSEAGDIGDRALQSNRHSVSSSCRLSLDLAMEGGSVIHPEDLHKVSVASPVLEDVTSPLPLDLLKGPGDEKQEKQRLPMSLEYISCLIHLAVFGILGVFTRYLLEKLFGPSLLGVTSNETIVYPNLPSNMVGSFLMGWWGVVFKGDISQVSDILAIGLTTGYLGSVTTFSGWNQKMLDLSVDGHWVQAILGFLIGLFLVAYSIIFGIETAKGFRWILKKLNTRPEKGVPSCNCNWTLNSSSRHLVAMVILLLVLGVLLSVSGLLLKEEFSSGSSGAQLWLACLVGPFGVWIRWFLARLNGRGLGKSGILRWLPFGTLITNVSAACIMAGLSTIEKSVRAH >KJB64412 pep chromosome:Graimondii2_0_v6:10:5293573:5298681:1 gene:B456_010G048400 transcript:KJB64412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDHGTNNPEHTRTGAFSRTGSLGSSLRKRSFSISSSVSYRIDDDIGSEAVSEAGDIGDRALQSNRHSVSSSCRLSLDLAMEGGSVIHPEDLHKVSVASPVLEDVTSPLPLDLLKGPGDEKQEKQRLPMSLEYISCLIHLAVFGILGVFTRYLLEKLFGPSLLGVTSNETIVYPNLPSNMVGSFLMGWWGVVFKGDISQVSDILAIGLTTGYLGSVTTFSGWNQKMLDLSVDGHWVQAILGFLIGLFLVAYSIIFGIETAKGFRWILKKLNTRPEKGVPSCNCNWTLNSSSRHLVAMVILLLVLGVLLSVSGLLLKEEFSSGSSGAQLWLACLVGPFGVWIRWFLARLNGRGLGKSGILRWLPFGTLITNVSAACIMAGLSTIEKSVNIKNFDTVANGIQLGFLGCLSTVSTFIAEFNAMRESKHPWRAYLYASTTMGISFVLGILIYNVPVWTKGFP >KJB65891 pep chromosome:Graimondii2_0_v6:10:23023809:23027210:-1 gene:B456_010G117400 transcript:KJB65891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLLSLASATPSASLSFHEINKGKLNLGCINKEKPNPFIKAKSFGRVSMVVASNVSHFEGITMAPPDPILGVSEAFKADDHELKLNLGVGAYRTEELQPYVLDVVKKVNKLLLEKGENLEYLPIEGLAAFNKVTAELLFGADNPVIKQQRVATVQGLSGTGSLRLAAALIERYFPGAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIKAAPEGSFILLHGCAHNPTGIDPTPEQWGVIADVIQEKNHIPFFDIAYQGFASGSLDTDAASVRMFVARGMEVIAAQSYSKNLGLYAERIGAINVVCSSPEAAARVKSQLKRIARPMYSNPPVHGARIVANIVGDSALFKEWNAEMEMMAGRIKNVRHKLFDSLSSKDKSGKDWSFVLKQIGMFSFTGLNKAQCDNMTNKWHVYMTKDGRISLAGLSLAKCEYLADAIIDSYHNVS >KJB65890 pep chromosome:Graimondii2_0_v6:10:23023760:23027243:-1 gene:B456_010G117400 transcript:KJB65890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLLSLASATPSASLSFHEINKGKLNLGCINKEKPNPFIKAKSFGRVSMVVASNVSHFEGITMAPPDPILGVSEAFKADDHELKLNLGVGAYRTEELQPYVLDVVKKADKLLLEKGENLEYLPIEGLAAFNKVTAELLFGADNPVIKQQRVATVQGLSGTGSLRLAAALIERYFPGAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIKAAPEGSFILLHGCAHNPTGIDPTPEQWGVIADVIQEKNHIPFFDIAYQGFASGSLDTDAASVRMFVARGMEVIAAQSYSKNLGLYAERIGAINVVCSSPEAAARVKSQLKRIARPMYSNPPVHGARIVANIVGDSALFKEWNAEMEMMAGRIKNVRHKLFDSLSSKDKSGKDWSFVLKQIGMFSFTGLNKAQCDNMTNKWHVYMTKDGRISLAGLSLAKCEYLADAIIDSYHNVS >KJB63485 pep chromosome:Graimondii2_0_v6:10:73452:74986:1 gene:B456_010G001900 transcript:KJB63485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVVALAFVFLVMFPVPSPVRALSSNYYDHTCPHLESIVASAVKKAMSNDKTVPAALLRMHFHDCFIRGCDASVLLNSKGKNKAEKDGPPNISLHAFYVIDEAKKALETSCPGVVSCADILALAARDAVFLSGGPKWDVPKGRKDGRISLATETRQLPAPTFNISQLQQNFAQRGLTIEDLVALSGGHTLGFSHCSSFQNRIHKFNATVDVDPTMQPSFAAKLRSVCPAHNKAKNAGSPLDSSNLVFDNAYYKLLLQGKSIFSSDQALLTTPKTKALVSKFASSQEAFEKAFVTSMIKMSSLSGGQEIRLDCRVVR >KJB65111 pep chromosome:Graimondii2_0_v6:10:11812472:11816100:1 gene:B456_010G081400 transcript:KJB65111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKGSNTAQTSTNSSNQFEGTKIRFQDADDDGVATDSSNLDESILAVDKAAEDVSMGEPNVSFVDSDAGDDKTSADYYFDSYSHFVTAFQEMLKDVVRTKTYQNVIYQNKFLFQNKVVLDVGAGTGILSLFCARAGAAHVYAVECSHMADMAKQIVETNGLSDVVTVLKGKIEEIELPVAKVDIIISEWMGYFLLFENMLNTVLYARDKWLVNDGVVLPDKASLYLTAIEDAEYKDDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQNQIVTNSHLLKTMDISKMAPGDASFTASFKLVAQRDDYIHAFVAYFDVSFTKCHKLMGFSTGPKSRATHWKQTVLYLEDVLTICEGEAIVGSMTVAPNKKNPRDVDIMVKYSFSGRRCVVSRVQFYKMR >KJB65110 pep chromosome:Graimondii2_0_v6:10:11812326:11816100:1 gene:B456_010G081400 transcript:KJB65110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKGSNTAQTSTNSSNQFEGTKIRFQDADDDGVATDSSNLDESILAVDKAAEDVSMGEPNVSFVDSDAGDDKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYQNKFLFQNKVVLDVGAGTGILSLFCARAGAAHVYAVECSHMADMAKQIVETNGLSDVVTVLKGKIEEIELPVAKVDIIISEWMGYFLLFENMLNTVLYARDKWLVNDGVVLPDKASLYLTAIEDAEYKDDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQNQIVTNSHLLKTMDISKMAPGDASFTASFKLVAQRDDYIHAFVAYFDVSFTKCHKLMGFSTGPKSRATHWKQTVLYLEDVLTICEGEAIVGSMTVAPNKKNPRDVDIMVKYSFSGRRCVVSRVQFYKMR >KJB65512 pep chromosome:Graimondii2_0_v6:10:17110668:17114846:1 gene:B456_010G098400 transcript:KJB65512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNTENNVKQQQPAQRIQIYPPTTTGVSPFWIAKYERDAKKYWDQFYRRHQDKFFKDRHYLDKEWDQYFSGSGRKVILEVGCGAGNAVFPLIATYPDVFVYACDFSQRAVNLVKAHKEFNETRVSAFVCDLTSDDLGKHISPASVDVVTMIFVLSAVSPEKMPLVLQNIKKVLKPNGYVLFRDYAVGDLAQERFSTKDQQISENFYVRGDGTRAFYFSNEFLTSLFKEQGLDAEELGLCCKQVENRARELVMNRRWVQAVFRYSDSVNYSPSSEALSKSDLCCQENIKPKADAEPIKDFVVDMSEGMAVEMFGALTNDTEVIEIELGGCDIKIKVLSKEYQHTCKSTGLMLWESARLMAAILARNPSIVAGKRVLELGSGCGGICSMVAVRSASIMVATDGDRTALELLNQNIISNLRPPFLTKLVIKKLEWGNRDDIDAIKAMNNEGFEVIIGTDVTYIPDAILPLFSTARELISSNKSAEKDQAPALILCHIFRRVDEPSLLSAASRYGFRLVDKWAKGSPNNESQSLISSWFPENNFEEHIPTSALNIMYFQLD >KJB65509 pep chromosome:Graimondii2_0_v6:10:17110323:17114846:1 gene:B456_010G098400 transcript:KJB65509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNTENNVKQQQPAQRIQIYPPTTTGVSPFWIAKYERDAKKYWDQFYRRHQDKFFKDRHYLDKEWDQYFSGSGRKVILEVGCGAGNAVFPLIATYPDVFVYACDFSQRAVNLVKAHKEFNETRVSAFVCDLTSDDLGKHISPASVDVVTMIFVLSAVSPEKMPLVLQNIKKVLKPNGYVLFRDYAVGDLAQERFSTKDQQISENFYVRGDGTRAFYFSNEFLTSLFKEQGLDAEELGLCCKQVENRARELVMNRRWVQAVFRYSDSVNYSPSSEALSKSDLCCQENIKPKADAEPIKDFVVDMSEGMAVEMFGALTNDTEVIEIELGGCDIKIKVLSKEYQHTCKSTGLMLWESARLMAAILARNPSIVAGKRVLELGSGCGGICSMVAVRSASIMVATDGDRTALELLNQNIISNLRPPFLTKLVIKKLEWGNRDDIDAIKAMNNEGFEVIIGTDVTYIPDAILPLFSTARELISSNKSAEKDQAPALILCHIFRRVDEPSLLSAASRYGFRLVDKWAKGSPNNESQSLISSWFPENNFEEHIPTSALNIMYFQLD >KJB65510 pep chromosome:Graimondii2_0_v6:10:17110328:17114846:1 gene:B456_010G098400 transcript:KJB65510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNTENNVKQQQPAQRIQIYPPTTTGVSPFWIAKYERDAKKYWDQFYRRHQDKFFKDRHYLDKEWDQYFSGSGRKVILEAHKEFNETRVSAFVCDLTSDDLGKHISPASVDVVTMIFVLSAVSPEKMPLVLQNIKKVLKPNGYVLFRDYAVGDLAQERFSTKDQQISENFYVRGDGTRAFYFSNEFLTSLFKEQGLDAEELGLCCKQVENRARELVMNRRWVQAVFRYSDSVNYSPSSEALSKSDLCCQENIKPKADAEPIKDFVVDMSEGMAVEMFGALTNDTEVIEIELGGCDIKIKVLSKEYQHTCKSTGLMLWESARLMAAILARNPSIVAGKRVLELGSGCGGICSMVAVRSASIMVATDGDRTALELLNQNIISNLRPPFLTKLVIKKLEWGNRDDIDAIKAMNNEGFEVIIGTDVTYIPDAILPLFSTARELISSNKSAEKDQAPALILCHIFRRVDEPSLLSAASRYGFRLVDKWAKGSPNNESQSLISSWFPENNFEEHIPTSALNIMYFQLD >KJB65511 pep chromosome:Graimondii2_0_v6:10:17110668:17114846:1 gene:B456_010G098400 transcript:KJB65511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNTENNVKQQQPAQRIQIYPPTTTGVSPFWIAKYERDAKKYWDQFYRRHQDKFFKDRHYLDKEWDQYFSGSGRKVILEVGCGAGNAVFPLIATYPDVFVYACDFSQRAVNLVKAHKEFNETRVSAFVCDLTSDDLGKHISPASVDVVTMIFVLSAVSPEKMPLVLQNIKKVLKPNGYVLFRDYAVGDLAQERFSTKDQQISENFYVRGDGTRAFYFSNEFLTSLFKEQGLDAEELDFVVDMSEGMAVEMFGALTNDTEVIEIELGGCDIKIKVLSKEYQHTCKSTGLMLWESARLMAAILARNPSIVAGKRVLELGSGCGGICSMVAVRSASIMVATDGDRTALELLNQNIISNLRPPFLTKLVIKKLEWGNRDDIDAIKAMNNEGFEVIIGTDVTYIPDAILPLFSTARELISSNKSAEKDQAPALILCHIFRRVDEPSLLSAASRYGFRLVDKWAKGSPNNESQSLISSWFPENNFEEHIPTSALNIMYFQLD >KJB65871 pep chromosome:Graimondii2_0_v6:10:29020918:29023265:-1 gene:B456_010G132100 transcript:KJB65871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAQELDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRLEPPWAEALLGSSCPWSAHQDHWSQRKDCRCL >KJB65874 pep chromosome:Graimondii2_0_v6:10:29020918:29023391:-1 gene:B456_010G132100 transcript:KJB65874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAQELDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KJB65872 pep chromosome:Graimondii2_0_v6:10:29021562:29023186:-1 gene:B456_010G132100 transcript:KJB65872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAQELDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRFNRFYVSSLHLGRLSIWLLGCGVTANT >KJB65873 pep chromosome:Graimondii2_0_v6:10:29020918:29023265:-1 gene:B456_010G132100 transcript:KJB65873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAQELDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRFNRFYEPPWAEALLGSSCPWSAHQDHWSQRKDCRCL >KJB67255 pep chromosome:Graimondii2_0_v6:10:61893359:61895678:-1 gene:B456_010G253100 transcript:KJB67255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLTENPKLDLASSNEISTQKISVSNHISGFQYTADKPDSFVIDMDSFSHGGLNKEINQNPRITKSLSRKGSMRGDKKIITSNCTISNDKDSIVATSPKGSTDQTNNPQVHHQITITTGNIKAPPDSRFSLLRRNNSRRSSSLWVLDPKRILFLFATLSSMGTILLIYFTLSIGKPDGEENAFD >KJB67257 pep chromosome:Graimondii2_0_v6:10:61893511:61894727:-1 gene:B456_010G253100 transcript:KJB67257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDKKIITSNCTISNDKDSIVATSPKGSNTVEKPTTVSVGSTDQTNNPQVHHQITITTGNIKAPPDSRFSLLRRNNSRRSSSLWVLDPKRILFLFATLSSMGTILLIYFTLSIGKPDGEENAFD >KJB67254 pep chromosome:Graimondii2_0_v6:10:61893511:61894112:-1 gene:B456_010G253100 transcript:KJB67254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLPYLGVPEFKYCWCLVFSKLLSFSLMFFVFDSCFQCFLAIFCGKICLLVSIVLTIFFDLASSDRIATSLVGSNTVEKPTTVSVGSTDQTNNPQVHHQITITTGNIKAPPDSRFSLLRRNNSRRSSSLWVLDPKRILFLFATLSSMGTILLIYFTLSIGKPDGEENAFD >KJB67252 pep chromosome:Graimondii2_0_v6:10:61893018:61895678:-1 gene:B456_010G253100 transcript:KJB67252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLTENPKLDLASSNEISTQKISVSNHISGFQYTADKPDSFVIDMDSFSHGGLNKEINQNPRITKSLSRKGSMRGDKKIITSNCTISNDKDSIVATSPKGSNTVEKPTTVSVGSTDQTNNPQVHHQITITTGNIKAPPDSRFSLLRRNNSRRSSSLWVLDPKRILFLFATLSSMGTILLIYFTLSIGKPDGEENAFD >KJB67256 pep chromosome:Graimondii2_0_v6:10:61893511:61895225:-1 gene:B456_010G253100 transcript:KJB67256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLTENPKLDLASSNEISTQKISVSNHISGFQYTADKPDSFVIDMDSFSHGGLNKEINQNPRITFFFFLFNNSQKSLSRKGSMRGDKKIITSNCTISNDKDSIVATSPKGSNTVEKPTTVSVGSTDQTNNPQVHHQITITTGNIKAPPDSRFSLLRRNNSRRSSSLWVLDPKRILFLFATLSSMGTILLIYFTLSIGKPDGEENAFD >KJB67253 pep chromosome:Graimondii2_0_v6:10:61893359:61895678:-1 gene:B456_010G253100 transcript:KJB67253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSIKKSIKTQESRLSRKGSMRGDKKIITSNCTISNDKDSIVATSPKGSNTVEKPTTVSVGSTDQTNNPQVHHQITITTGNIKAPPDSRFSLLRRNNSRRSSSLWVLDPKRILFLFATLSSMGTILLIYFTLSIGKPDGEENAFD >KJB64264 pep chromosome:Graimondii2_0_v6:10:3861268:3862608:-1 gene:B456_010G041200 transcript:KJB64264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPDCAIIDQDGSVYYGMLKISGKEINSTFLAFKDEEKALELYTHLVEHQDDWILCCHHLVRYQDEWLVALEYSKNIVTYFRERMARWESSNRNEPEWFNYISEDFGKILRDVACIWAKSNKSIHTQDPVKSIFITNFSGRVKFLPNLNASDQPMEGDIDQLKYLMNYIVNMPFGSVIQNYQKFNMPNELLCFLTQLNFRNLKFMSPAFLLDAPLFWRPVDKFHFIINLDHIMKRGEISVSLFDGCLDRLRKKYSYDWVLVVSQHPVLNSILEHQDFRQSSNYHASVVRYCSNVYRHYNDNTARKISIINIENELSMLLPELYLHLFEGLIFYAKGKNIRYPIFSKSIISENP >KJB66548 pep chromosome:Graimondii2_0_v6:10:36707067:36710795:-1 gene:B456_010G143300 transcript:KJB66548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CEL MYGRDPWGGPLEINATDSATDDDRSRNLQDLDRAALSRPLDETQQSWLLGPGEQKKKKKYVDLGCIIVSRKIFVWTVGTLLVSALLAGLITLIVKTVPRHHHRHSPPDNYTLALHKALMFFNAQRSGKLPKHNNVSWRGNSGLQDGKSDPSVLMKDLVGGYYDAGDAIKFNFPASFSMTMLSWSVIEYSAKYEAAGELNHVKEIIKWGTDYLLKTFNNTADTIDRIAAQVGIGDTSGGSSAPNDHYCWMRPEDIDYPRPVYECHSCSDLAAEMAAALASASIVFKDNKAYSQKLVHGARTLFKFARDQRGRYSAGGSDPALFYNSSSYWDEFVWGGAWLYYATGNSSYLQLATHPKLAKHAGAFWGGPDYGVLSWDNKLAGAQVLLSRLRLFLSPGYPYEEILSTFHNQTSIIMCSFLPVFTSFNRTKGLIQLNHGRPQPLQYVVNAAFLAALYSDYLDAADTPGWYCGPNFYSTDVLREFAKTQIDYILGKNPRKMSYVVGFGNHYPKHVHHRGASIPKNKIKYNCKGGWKWRDTSKPNPNTLVGAMVAGPDKHDGFRDVRTNYNYTEPTLAGNAGLVAALVALSGDKATGIDKNTIFSAVPPMFPTPPPPPAPWKP >KJB66547 pep chromosome:Graimondii2_0_v6:10:36707026:36710852:-1 gene:B456_010G143300 transcript:KJB66547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CEL MYGRDPWGGPLEINATDSATDDDRSRNLQDLDRAALSRPLDETQQSWLLGPGEQKKKKKYVDLGCIIVSRKIFVWTVGTLLVSALLAGLITLIVKTVPRHHHRHSPPDNYTLALHKALMFFNAQRSGKLPKHNNVSWRGNSGLQDGKSDPSVLMKDLVGGYYDAGDAIKFNFPASFSMTMLSWSVIEYSAKYEAAGELNHVKEIIKWGTDYLLKTFNNTADTIDRIAAQVGIGDTSGGSSAPNDHYCWMRPEDIDYPRPVYECHSCSDLAAEMAAALASASIVFKDNKAYSQKLVHGARTLFKFARDQRGRYSAGGSDPALFYNSSSYWDEFVWGGAWLYYATGNSSYLQLATHPKLAKHAGAFWGGPDYGVLSWDNKLAGAQVLLSRLRLFLSPGYPYEEILSTFHNQTSIIMCSFLPVFTSFNRTKGGLIQLNHGRPQPLQYVVNAAFLAALYSDYLDAADTPGWYCGPNFYSTDVLREFAKTQIDYILGKNPRKMSYVVGFGNHYPKHVHHRGASIPKNKIKYNCKGGWKWRDTSKPNPNTLVGAMVAGPDKHDGFRDVRTNYNYTEPTLAGNAGLVAALVALSGDKATGIDKNTIFSAVPPMFPTPPPPPAPWKP >KJB66549 pep chromosome:Graimondii2_0_v6:10:36708070:36710636:-1 gene:B456_010G143300 transcript:KJB66549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CEL MYGRDPWGGPLEINATDSATDDDRSRNLQDLDRAALSRPLDETQQSWLLGPGEQKKKKKYVDLGCIIVSRKIFVWTVGTLLVSALLAGLITLIVKTVPRHHHRHSPPDNYTLALHKALMFFNAQRSGKLPKHNNVSWRGNSGLQDGKSDPSVLMKDLVGGYYDAGDAIKFNFPASFSMTMLSWSVIEYSAKYEAAGELNHVKEIIKWGTDYLLKTFNNTADTIDRIAAQVGIGDTSGGSSAPNDHYCWMRPEDIDYPRPVYECHSCSDLAAEMAAALASASIVFKDNKAYSQKLVHGARTLFKFARDQRGRYSAGGSDPALFYNSSSYWDEFVWGGAWLYYATGNSSYLQLATHPKLAKHAGAFWGGPDYGVLSWDNKLAGAQVLLSRLRLFLSPGYPYEEILSTFHNQTSIIMCSFLPVFTSFNRTKGGLIQLNHGRPQPLQYVVNAAFLAALYSDYLDAADTPGWYCGPNFYSTDVLREFAKTQVCCRLFFFEP >KJB67682 pep chromosome:Graimondii2_0_v6:10:56878901:56883252:1 gene:B456_010G203500 transcript:KJB67682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTTLRRIVSPCWKPSVEGENSSRGGVGNGRVDGLLWYEDSGEHVAGEFSMAVIQANNLLEDHSQLESGPISSFKSGPHGTFVGIYDGHGGPETARFINKHLFSHIKKFTTENHGMSADVINKAFLATEEDFLNRVKEQFETKPQIASVGSCCLVGIICSGLLYIANAGDSRVVLGRLEKAFKEVKAVQLSSEHNASFESVREELRSFHPDDPQIVVLRHNVWRVKGIIQISRSIGDAYLKKPEFNREPLLPKFRVPNSFEKPILQAEPAISVQKLRPEDQFLIFASDGLWEHLSNQEAVNIGIARRLIKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDFHLISNGSSCRPLVSIRGGA >KJB67681 pep chromosome:Graimondii2_0_v6:10:56878894:56883291:1 gene:B456_010G203500 transcript:KJB67681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTTLRRIVSPCWKPSVEGENSSRGGVGNGRVDGLLWYEDSGEHVAGEFSMAVIQANNLLEDHSQLESGPISSFKSGPHGTFVGIYDGHGGPETARFINKHLFSHIKKFTTENHGMSADVINKAFLATEEDFLNRVKEQFETKPQIASVGSCCLVGIICSGLLYIANAGDSRVVLGRLEKAFKEVKAVQLSSEHNASFESVREELRSFHPDDPQIVVLRHNVWRVKGIIQISRSIGDAYLKKPEFNREPLLPKFRVPNSFEKPILQAEPAISVQKLRPEDQFLIFASDGLWEHLSNQEAVNIVNTCPRNGIARRLIKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDFHLISNGSSCRPLVSIRGGA >KJB63986 pep chromosome:Graimondii2_0_v6:10:2245336:2249502:1 gene:B456_010G028000 transcript:KJB63986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLISCPSGALSAKKCSLKFTVPIQPSISNSSIHKRPKFIPSRNSRKPLQITLAKAEGGLDSAPKQSSPPPPPSPSPFNNGDDTVFVGQDDVPLEGVIQFEKPSSSSSRLSKWGRVALLAGGDVLALLLFSAIGRYNHGLPIFAMDTIRTADPFLAGWFLSAYFLGGYSEDGRGANGLSQALIAAAKSWGLGIPLGLIIRAATSGHVPPYAFVLVTMGSTSVLLIGWRALIVSIFPDDTKKKNDVYRRGSPFELFELLTSLVRRW >KJB63988 pep chromosome:Graimondii2_0_v6:10:2245336:2249502:1 gene:B456_010G028000 transcript:KJB63988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLISCPSGALSAKKCSLKFTVPIQPSISNSSIHKRPKFIPSRNSRKPLQITLAKAEGGLDSAPKQSSPPPPPSPSPFNNGDDTVFVGQDDVPLEGVIQFEKPSSSSSRLSKWGRVALLAGGDVLALLLFSAIGRYNHGLPIFAMDTIRTADPFLAVGIDYTSCNIRPCSAICICLGNNGKHFCFAYWMESTNSKHFP >KJB63987 pep chromosome:Graimondii2_0_v6:10:2245303:2250613:1 gene:B456_010G028000 transcript:KJB63987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLISCPSGALSAKKCSLKFTVPIQPSISNSSIHKRPKFIPSRNSRKPLQITLAKAEGGLDSAPKQSSPPPPPSPSPFNNGDDTVFVGQDDVPLEGVIQFEKPSSSSSRLSKWGRVALLAGGDVLALLLFSAIGRYNHGLPIFAMDTIRTADPFLAGWFLSAYFLGGYSEDGRGANGLSQALIAAAKSWGLGIPLGLIIRAATSGHVPPYAFVLVTMGSTSVLLIGWRALIVSIFPDDTKKKNDVYRRGSPFELFELLTSLVRRW >KJB65262 pep chromosome:Graimondii2_0_v6:10:13054932:13061336:-1 gene:B456_010G086400 transcript:KJB65262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRRRKGTDSSKSQNLRCSIVKEDEKNNLYESPRKESYNSKKWSCWDSCCWFIGFVCSVWWFLLLLYNTMPASIPQYVTEAITGPLTDPPGVKLRKEGLTVNHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGSFGELYKRPLCWAQHMSLDNETGLDPPGIRVRPVSGLVAADYFAAGYFVWAVLIANLAQIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGKKVVVIPHSMGVLYFLHFMKWVEAPPPTGGGGGSEWCAKHIKAVMNIGGPFLGVPKSVSGLFSVEARDIAIARTFAPGFLDKDVFGLQTFQHLMRMTRTWDSTMSMIPKGGETIWGGLDWSPEGSSFNCGAKTLRNNSINNTDQIPNINLANMKNVNYGRIISFGKDVAEAHSSKIERVDLLQDSMKADMLANLTNCDIWIEYHDIGDHRIKAVADYKVYTAGSILDLLHFVSPKLMARGGAHFSYGIADNLDDPNYQHYKYWSNPLETKLPDAPDMEIYSI >KJB65258 pep chromosome:Graimondii2_0_v6:10:13055873:13060468:-1 gene:B456_010G086400 transcript:KJB65258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRRRKGTDSSKSQNLRCSIVKEDEKNNLYESPRKESYNSKKWSCWDSCCWFIGFVCSVWWFLLLLYNTMPASIPQYVTEAITGPLTDPPGVKLRKEGLTVNHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGSFGELYKRPLCWAQHMSLDNETGLDPPGIRVRPVSGLVAADYFAAGYFVWAVLIANLAQIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGKKVVVIPHSMGVLYFLHFMKWVEAPPPTGGGGGSEWCAKHIKAVMNIGGPFLGVPKSVSGLFSVEARDIAIARTFAPGFLDKDVFGLQTFQHLMRMTRTWDSTMSMIPKGGETIWGGLDWSPEGSSFNCGAKTLRNNSINNTDQIPNINLANMKNVNYGRIISFGKDVAEAHSSKIERVDLLDSMKADMLANLTNCDIWIEYHDIGDHRIKAVADYKVYTAGSILDLLHFVSPKLMARGGAHFSYGIADNLDDPNYQHYKYWSNPLETKLPDAPDMEIYSMYGVGIPTERAYVYKVTTATDCSIPFQIDTSAEGGSEDSCLKGGVFSADGDETVPVLSAGLMAAKGWRGKTRFNPSGIPTYIREYSHAPPANLLEGRGTQSGAHVDIMGNFALIEDVIRVAAGATGKELGGDHVYSDIFKWAERINLQL >KJB65259 pep chromosome:Graimondii2_0_v6:10:13056238:13060468:-1 gene:B456_010G086400 transcript:KJB65259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRRRKGTDSSKSQNLRCSIVKEDEKNNLYESPRKESYNSKKWSCWDSCCWFIGFVCSVWWFLLLLYNTMPASIPQYVTEAITGPLTDPPGVKLRKEGLTVNHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGSFGELYKRPLCWAQHMSLDNETGLDPPGIRVRPVSGLVAADYFAAGYFVWAVLIANLAQIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGKKVVVIPHSMGVLYFLHFMKWVEAPPPTGGGGGSEWCAKHIKAVMNIGGPFLGVPKSVSGLFSVEARDIAIARTFAPGFLDKDVFGLQTFQHLMRMTRTWDSTMSMIPKGGETIWGGLDWSPEGSSFNCGAKTLRNNSINNTDQIPNINLANMKNVNYGRIISFGKDVAEAHSSKIERVDLLDSMKADMLANLTNCDIWIEYHDIGDHRIKAVADYKVYTAGSILDLLHFVSPKLMARGGAHFSYGIADNLDDPNYQHYKYWSNPLETKLPDAPDMEIYSI >KJB65257 pep chromosome:Graimondii2_0_v6:10:13054932:13060932:-1 gene:B456_010G086400 transcript:KJB65257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRRRKGTDSSKSQNLRCSIVKEDEKNNLYESPRKESYNSKKWSCWDSCCWFIGFVCSVWWFLLLLYNTMPASIPQYVTEAITGPLTDPPGVKLRKEGLTVNHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGSFGELYKRPLCWAQHMSLDNETGLDPPGIRVRPVSGLVAADYFAAGYFVWAVLIANLAQIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGKKVVVIPHSMGVLYFLHFMKWVEAPPPTGGGGGSEWCAKHIKAVMNIGGPFLGVPKSVSGLFSVEARDIAIARTFAPGFLDKDVFGLQTFQHLMRMTRTWDSTMSMIPKGGETIWGGLDWSPEGSSFNCGAKTLRNNSINNTDQIPNINLANMKNVNYGRIISFGKDVAEAHSSKIERVDLLDSMKADMLANLTNCDIWIEYHDIGDHRIKAVADYKVYTAGSILDLLHFVSPKLMARGGAHFSYGIADNLDDPNYQHYKYWSNPLETKLPDAPDMEIYSMYGVGIPTERAYVYKVTTATDCSIPFQIDTSAEGGSEDSCLKGGVFSADGDETVPVLSAGLMAAKGWRGKTRFNPSGIPTYIREYSHAPPANLLEGRGTQSGAHVDIMGNFALIEDVIRVAAGATGKELGGDHVYSDIFKWAERINLQL >KJB65256 pep chromosome:Graimondii2_0_v6:10:13055873:13060468:-1 gene:B456_010G086400 transcript:KJB65256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRRRKGTDSSKSQNLRCSIVKEDEKNNLYESPRKESYNSKKWSCWDSCCWFIGFVCSVWWFLLLLYNTMPASIPQYVTEAITGPLTDPPGVKLRKEGLTVNHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGSFGELYKRPLCWAQHMSLDNETGLDPPGIRVRPVSGLVAADYFAAGYFVWAVLIANLAQIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGKKVVVIPHSMGVLYFLHFMKWVEAPPPTGGGGGSEWCAKHIKAVMNIGGPFLGVPKSVSGLFSVEARDIAIARTFAPGFLDKDVFGLQTFQHLMRMTRTWDSTMSMIPKGGETIWGGLDWSPEGSSFNCGAKTLRNNSINNTDQIPNINLANMKNVNYGRIISFGKDVAEAHSSKIERVDLLDSMKADMLANLTNCDIWIEYHDIGDHRIKAVADYKVYTAGSILDLLHFVSPKLMARGGAHFSYGIADNLDDPNYQHYKYWSNPLETKLPDAPDMEIYSMYGVGIPTERAYVYKVTTATDCSIPFQIDTSAEGGSEDSCLKGGVFSADGDETVPVLSAGLMAAKGWRGKTRFNPSGIPTYIREYSHAPPANLLEGRGTQSGAHVDIMGNFALIEDVIRVAAGATGKELGGDHVYSDIFKWAERINLQL >KJB65260 pep chromosome:Graimondii2_0_v6:10:13054932:13061336:-1 gene:B456_010G086400 transcript:KJB65260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRRRKGTDSSKSQNLRCSIVKEDEKNNLYESPRKESYNSKKWSCWDSCCWFIGFVCSVWWFLLLLYNTMPASIPQYVTEAITGPLTDPPGVKLRKEGLTVNHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGSFGELYKRPLCWAQHMSLDNETGLDPPGIRVRPVSGLVAADYFAAGYFVWAVLIANLAQIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGKKVVVIPHSMGVLYFLHFMKWVEAPPPTGGGGGSEWCAKHIKAVMNIGGPFLGVPKSVSGLFSVEARDIAIARTFAPGFLDKDVFGLQTFQHLMRMTRTWDSTMSMIPKGGETIWGGLDWSPEGSSFNCGAKTLRNNSINNTDQIPNINLANMKNVNYGRIISFGKDVAEAHSSKIERVDLLDSMKADMLANLTNCDIWIEYHDIGDHRIKAVADYKVYTAGSILDLLHFVSPKLMARGGAHFSYGIADNLDDPNYQHYKYWSNPLETKLPDAPDMEIYSI >KJB65255 pep chromosome:Graimondii2_0_v6:10:13055873:13060468:-1 gene:B456_010G086400 transcript:KJB65255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRRRKGTDSSKSQNLRCSIVKEDEKNNLYESPRKESYNSKKWSCWDSCCWFIGFVCSVWWFLLLLYNTMPASIPQYVTEAITGPLTDPPGVKLRKEGLTVNHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGSFGELYKRPLCWAQHMSLDNETGLDPPGIRVRPVSGLVAADYFAAGYFVWAVLIANLAQIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGKKVVVIPHSMGVLYFLHFMKWVEAPPPTGGGGGSEWCAKHIKAVMNIGGPFLGVPKSVSGLFSVEARDIAIARTFAPGFLDKDVFGLQTFQHLMRMTRTWDSTMSMIPKGGETIWGGLDWSPEGSSFNCGAKTLRNNSINNTDQIPNINLANMKNVNYGRIISFGKDVAEAHSSKIERVDLLQDSMKADMLANLTNCDIWIEYHDIGDHRIKAVADYKVYTAGSILDLLHFVSPKLMARGGAHFSYGIADNLDDPNYQHYKYWSNPLETKLPDAPDMEIYSMYGVGIPTERAYVYKVTTATDCSIPFQIDTSAEGGSEDSCLKGGVFSADGDETVPVLSAGLMAAKGWRGKTRFNPSGIPTYIREYSHAPPANLLEGRGTQSGAHVDIMGNFALIEDVIRVAAGATGKELGGDHVYSDIFKWAERINLQL >KJB65261 pep chromosome:Graimondii2_0_v6:10:13056238:13060468:-1 gene:B456_010G086400 transcript:KJB65261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRRRKGTDSSKSQNLRCSIVKEDEKNNLYESPRKESYNSKKWSCWDSCCWFIGFVCSVWWFLLLLYNTMPASIPQYVTEAITGPLTDPPGVKLRKEGLTVNHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGSFGELYKRPLCWAQHMSLDNETGLDPPGIRVRPVSGLVAADYFAAGYFVWAVLIANLAQIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGKKVVVIPHSMGVLYFLHFMKWVEAPPPTGGGGGSEWCAKHIKAVMNIGGPFLGVPKSVSGLFSVEARDIAIARTFAPGFLDKDVFGLQTFQHLMRMTRTWDSTMSMIPKGGETIWGGLDWSPEGSSFNCGAKTLRNNSINNTDQIPNINLANMKNVNYGRIISFGKDVAEAHSSKIERVDLLQDSMKADMLANLTNCDIWIEYHDIGDHRIKAVADYKVYTAGSILDLLHFVSPKLMARGGAHFSYGIADNLDDPNYQHYKYWSNPLETKLPDAPDMEIYSI >KJB66049 pep chromosome:Graimondii2_0_v6:10:26102642:26105893:1 gene:B456_010G1255002 transcript:KJB66049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRCLLSSPPTSNCAALRLHPSLMPKFQLKVVKLISSIPSQPRFKKLRPPSSLMPSYPNFATLHSASTFALPPNHITYTLPRNRNVISTCVTPQAMRSTSAFVPLSKMFFSHSPPVATKNDGMSVRDGKSSGFSFRRFHRHWKKLKGVAALNGDKAAPFESPASTSLLNSAKLDSTSYSPVNTANPDSTSTSLVINAKPESKASLIKKKQSRSKNKKEQVSSTVVSEETPVAKSSKTSSLVGTSNISKKNGQRQKQTSEKVSTGNLIAEAPDASASTKKQSKKNSTGSGRKRQPVADVNEPVQKQVQKNISQREKPLKQLYPPAAKSVMVVESVTKAKVIQGYLGDMFEVLPSYGHVRDLAARSGSVRPDDDFSMVWEVPSAAWTHLKSIKVALSGAENLVLASDPDREGEAIAWHIIEMLQQQDALGENVSVARVVFHEITEASIKSALQMPREIDVNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLICDRETEIDEFKPLEYWTIEVRFEEPNSFPALLTHFNSKKLGQFSISSDTEAKDVQQKINIENFKVINSKRNTMRRNPPAPYITSTLQQDAANKLNFPATYTMKLAQKLYEGIQLSDGKATGLITYSRTDGLH >KJB66050 pep chromosome:Graimondii2_0_v6:10:26102638:26105893:1 gene:B456_010G1255002 transcript:KJB66050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRCLLSSPPTSNCAALRLHPSLMPKFQLKVVKLISSIPSQPRFKKLRPPSSLMPSYPNFATLHSASTFALPPNHITYTLPRNRNVISTCVTPQAMRSTSAFVPLSKMFFSHSPPVATKNDGMSVRDGKSSGFSFRRFHRHWKKLKGVAALNGDKAAPFESPASTSLLNSAKLDSTSYSPVNTANPDSTSTSLVINAKPESKASLIKKKQSRSKNKKEQVSSTVVSEETPVAKSSKTSSLVGTSNISKKNGQRQKQTSEKVSTGNLIAEAPDASASTKKQSKKNSTGSGRKRQPVADVNEPVQKQVQKNISQREKPLKQLYPPAAKSVMVVESVTKAKVIQGYLGDMFEVLPSYGHVRDLAARSGSVRPDDDFSMVWEVPSAAWTHLKSIKVALSGAENLVLASDPDREGEAIAWHIIEMLQQQDALGENVSVARVVFHEITEASIKSALQMPREIDVNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLICDRETEIDEFKPLEYWTIEVRFEEPNSFPALLTHFNSKKLGQFSISSDTEAKDVQQKINIENFKVINSKRNTMRRNPPAPYITSTLQQDAANKLNFPATYTMKLAQKLYEGIQLSDGKATGLITYSRTDGLH >KJB66051 pep chromosome:Graimondii2_0_v6:10:26102923:26105893:1 gene:B456_010G1255002 transcript:KJB66051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSYPNFATLHSASTFALPPNHITYTLPRNRNVISTCVTPQAMRSTSAFVPLSKMFFSHSPPVATKNDGMSVRDGKSSGFSFRRFHRHWKKLKGVAALNGDKAAPFESPASTSLLNSAKLDSTSYSPVNTANPDSTSTSLVINAKPESKASLIKKKQSRSKNKKEQVSSTVVSEETPVAKSSKTSSLVGTSNISKKNGQRQKQTSEKVSTGNLIAEAPDASASTKKQSKKNSTGSGRKRQPVADVNEPVQKQVQKNISQREKPLKQLYPPAAKSVMVVESVTKAKVIQGYLGDMFEVLPSYGHVRDLAARSGSVRPDDDFSMVWEVPSAAWTHLKSIKVALSGAENLVLASDPDREGEAIAWHIIEMLQQQDALGENVSVARVVFHEITEASIKSALQMPREIDVNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLICDRETEIDEFKPLEYWTIEVRFEEPNSFPALLTHFNSKKLGQFSISSDTEAKDVQQKINIENFKVINSKRNTMRRNPPAPYITSTLQQDAANKLNFPATYTMKLAQKLYEGIQLSDGKATGLITYSRTDGLH >KJB63941 pep chromosome:Graimondii2_0_v6:10:2045369:2050005:-1 gene:B456_010G025500 transcript:KJB63941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT1G27770) UniProtKB/TrEMBL;Acc:A0A178WD16] MESYLNENFGDVKPKNSSEEALERWRKLCWIVKNRKRRFRFTANLSKRFEAEAIRRSNQEKFRVAVLVSQAALQFIHGLNLSSEYDAPEEVKAAGFQICADELGSIVEGHDVKKLKIHGGVEDIAAKLSTSIVNGIPTSEHLVNERKRIYGINKFTETPPRGFWVFVWEALQDTTLMILAVCALVSLAVGITVEGWPKGAYDGLGIVLSILLVVFVTATSDYRQSLQFRDLDKEKKKITVQVTRDGLRQKISIFDLLPGDIVHLAIGDQVPADGLFISGFSVLINESSLTGESEPVSVNSRNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGLFSRKLQDGTQWIWSGDDAMEMLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSSTSICSDKTGTLTTNHMTVVKTCFCGQIKEVSTSNKNNHFRSAVPESAAKILIESIFNNTGGEVVNNKENKIEILGTPTETALLEFGLLLGGDFQAERKASKIVKVEPFNSAKKRMGVVIEFPEGGLRVHCKGASEIILAACDKVISSNGDVLPLDEPTTNHLKNTIEQFASEALRTLCLAYMDVGTNFSGDSSLPLQGYTCIGIVGIKDPVRPGVKESVAICKSAGITVRMVTGDNINTAKAIAREIGILTDDGIAIEGPVFREKSEEELYELIPKIQVMARSSPMDKHTLVKHLRTSLGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFASACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRSPVGRKGNFISNVMWRNILGQSLYQFVIIWILQTRGKAAFHLDGPDSDLILNTLIFNSFVFCQVFNEISSREMEKINVLKGLLKNHVFVAVISCTIIFQIVIVEFLGTFASTSPLTVQQWFVSVCLGFLGMPIAAALKLIPVGSN >KJB63937 pep chromosome:Graimondii2_0_v6:10:2045011:2050270:-1 gene:B456_010G025500 transcript:KJB63937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT1G27770) UniProtKB/TrEMBL;Acc:A0A178WD16] MESYLNENFGDVKPKNSSEEALERWRKLCWIVKNRKRRFRFTANLSKRFEAEAIRRSNQEKFRVAVLVSQAALQFIHGLNLSSEYDAPEEVKAAGFQICADELGSIVEGHDVKKLKIHGGVEDIAAKLSTSIVNGIPTSEHLVNERKRIYGINKFTETPPRGFWVFVWEALQDTTLMILAVCALVSLAVGITVEGWPKGAYDGLGIVLSILLVVFVTATSDYRQSLQFRDLDKEKKKITVQVTRDGLRQKISIFDLLPGDIVHLAIGDQVPADGLFISGFSVLINESSLTGESEPVSVNSRNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGLFSRKLQDGTQWIWSGDDAMEMLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSSTSICSDKTGTLTTNHMTVVKTCFCGQIKEVSTSNKNNHFRSAVPESAAKILIESIFNNTGGEVVNNKENKIEILGTPTETALLEFGLLLGGDFQAERKASKIVKVEPFNSAKKRMGVVIEFPEGGLRVHCKGASEIILAACDKVISSNGDVLPLDEPTTNHLKNTIEQFASEALRTLCLAYMDVGTNFSGDSSLPLQGYTCIGIVGIKDPVRPGVKESVAICKSAGITVRMVTGDNINTAKAIAREIGILTDDGIAIEGPVFREKSEEELYELIPKIQVMARSSPMDKHTLVKHLRTSLGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFASACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRSPVGRKGNFISNVMWRNILGQSLYQFVIIWILQTRGKAAFHLDGPDSDLILNTLIFNSFVFCQVFNEISSREMEKINVLKGLLKNHVFVAVISCTIIFQIVIVEFLGTFASTSPLTVQQWFVSVCLGFLGMPIAAALKLIPVGSN >KJB63938 pep chromosome:Graimondii2_0_v6:10:2044838:2050270:-1 gene:B456_010G025500 transcript:KJB63938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT1G27770) UniProtKB/TrEMBL;Acc:A0A178WD16] MESYLNENFGDVKPKNSSEEALERWRKLCWIVKNRKRRFRFTANLSKRFEAEAIRRSNQEKFRVAVLVSQAALQFIHGLNLSSEYDAPEEVKAAGFQICADELGSIVEGHDVKKLKIHGGVEDIAAKLSTSIVNGIPTSEHLVNERKRIYGINKFTETPPRGFWVFVWEALQDTTLMILAVCALVSLAVGITVEGWPKGAYDGLGIVLSILLVVFVTATSDYRQSLQFRDLDKEKKKITVQVTRDGLRQKISIFDLLPGDIVHLAIGDQVPADGLFISGFSVLINESSLTGESEPVSVNSRNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGLFSRKLQDGTQWIWSGDDAMEMLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSSTSICSDKTGTLTTNHMTVVKTCFCGQIKEVSTSNKNNHFRSAVPESAAKILIESIFNNTGGEVVNNKENKIEILGTPTETALLEFGLLLGGDFQAERKASKIVKVEPFNSAKKRMGVVIEFPEGGLRVHCKGASEIILAACDKVISSNGDVLPLDEPTTNHLKNTIEQFASEALRTLCLAYMDVGTNFSGDSSLPLQGYTCIGIVGIKDPVRPGVKESVAICKSAGITVRMVTGDNINTAKAIAREIGILTDDGIAIEGPVFREKSEEELYELIPKIQVMARSSPMDKHTLVKHLRTSLGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFASACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRSPVGRKGNFISNVMWRNILGQSLYQFVIIWILQTRGKAAFHLDGPDSDLILNTLIFNSFVFCQVFNEISSREMEKINVLKGLLKNHVFVAVISCTIIFQIVIVEFLGTFASTSPLTVQQWFVSVCLGFLGMPIAAALKLIPVGSN >KJB63939 pep chromosome:Graimondii2_0_v6:10:2044761:2050314:-1 gene:B456_010G025500 transcript:KJB63939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT1G27770) UniProtKB/TrEMBL;Acc:A0A178WD16] MESYLNENFGDVKPKNSSEEALERWRKLCWIVKNRKRRFRFTANLSKRFEAEAIRRSNQEKFRVAVLVSQAALQFIHGLNLSSEYDAPEEVKAAGFQICADELGSIVEGHDVKKLKIHGGVEDIAAKLSTSIVNGIPTSEHLVNERKRIYGINKFTETPPRGFWVFVWEALQDTTLMILAVCALVSLAVGITVEGWPKGAYDGLGIVLSILLVVFVTATSDYRQSLQFRDLDKEKKKITVQVTRDGLRQKISIFDLLPGDIVHLAIGDQVPADGLFISGFSVLINESSLTGESEPVSVNSRNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGLFSRKLQDGTQWIWSGDDAMEMLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSSTSICSDKTGTLTTNHMTVVKTCFCGQIKEVSTSNKNNHFRSAVPESAAKILIESIFNNTGGEVVNNKENKIEILGTPTETALLEFGLLLGGDFQAERKASKIVKVEPFNSAKKRMGVVIEFPEGGLRVHCKGASEIILAACDKVISSNGDVLPLDEPTTNHLKNTIEQFASEALRTLCLAYMDVGTNFSGDSSLPLQGYTCIGIVGIKDPVRPGVKESVAICKSAGITVRMVTGDNINTAKAIAREIGILTDDGIAIEGPVFREKSEEELYELIPKIQVMARSSPMDKHTLVKHLRTSLGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFASACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRSPVGRKGNFISNVMWRNILGQSLYQFVIIWILQTRGKAAFHLDGPDSDLILNTLIFNSFVFCQVFNEISSREMEKINVLKGLLKNHVFVAVISCTIIFQIVIVEFLGTFASTSPLTVQQWFVSVCLGFLGMPIAAALKLIPVGSN >KJB63940 pep chromosome:Graimondii2_0_v6:10:2044871:2050270:-1 gene:B456_010G025500 transcript:KJB63940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT1G27770) UniProtKB/TrEMBL;Acc:A0A178WD16] MESYLNENFGDVKPKNSSEEALERWRKLCWIVKNRKRRFRFTANLSKRFEAEAIRRSNQEKFRVAVLVSQAALQFIHGLNLSSEYDAPEEVKAAGFQICADELGSIVEGHDVKKLKIHGGVEDIAAKLSTSIVNGIPTSEHLVNERKRIYGINKFTETPPRGFWVFVWEALQDTTLMILAVCALVSLAVGITVEGWPKGAYDGLGIVLSILLVVFVTATSDYRQSLQFRDLDKEKKKITVQVTRDGLRQKISIFDLLPGDIVHLAIGDQVPADGLFISGFSVLINESSLTGESEPVSVNSRNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGLFSRKLQDGTQWIWSGDDAMEMLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSSTSICSDKTGTNFSGDSSLPLQGYTCIGIVGIKDPVRPGVKESVAICKSAGITVRMVTGDNINTAKAIAREIGILTDDGIAIEGPVFREKSEEELYELIPKIQVMARSSPMDKHTLVKHLRTSLGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFASACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRSPVGRKGNFISNVMWRNILGQSLYQFVIIWILQTRGKAAFHLDGPDSDLILNTLIFNSFVFCQVFNEISSREMEKINVLKGLLKNHVFVAVISCTIIFQIVIVEFLGTFASTSPLTVQQWFVSVCLGFLGMPIAAALKLIPVGSN >KJB67300 pep chromosome:Graimondii2_0_v6:10:53548523:53554959:-1 gene:B456_010G184600 transcript:KJB67300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEKEVIISKSEMNNKFHDMKVAYSKLLANDFQWLEATYKQNHEALFNPITVCGDTMFHVAAYRGSEAMLQTLVELVPQTQRREVLKMKNVYGNTVLHELVTTAKAEAADLLMKEVLFSDGLNREDYIREREEILEDRNKLGETPLFRAVEYGNMSMVKYLATQIEGMGGNLHRHYTRDHDGLSILHIAVIGQHFDTANWLVERYPELATYKDNNGKTTLHLLASMATSFKSSSFLSGLFEEFIYYCIPGEACNGDEGDELPIALQNKDLEQGEPSKAPNQPGNSKGLKWYYGVLRCLKSGWKVIDRVWGQKQLHTSAMKLARHLVRTDTSWFQPHQSEEDDTICLERNYDKEEEKVKEIAAAGKEKSSEPDTPLFIAASTGIVEIVKEILDKYPQAIKHINKSGQNILHVATLHRTYKVYDLVVKNKEEKKRLVRGIDNNGCTILHHAADIVYYHGGTKPTPALKLQQELEWFETVKNEIPGHFTLHRNKDNMTADQLFNDRHKDQLQDAQEWVKNTSESCSTVAVLVAGVVFAAAYSAPGGFHDNGQPILLERPLYSFFTVMDVAGLASSLTSVVIFLSILTSSLEYKDFGNTIPRNLSLGFTFLFFSVTTTMLTFTATILLLVHFEKKWTASLTYAAAFLPICIFALFQFPLYYQYFIAAVKGIFNFIRKNMPGNWEFLRIKGDF >KJB67301 pep chromosome:Graimondii2_0_v6:10:53548677:53554931:-1 gene:B456_010G184600 transcript:KJB67301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEKEVIISKSEMNNKFHDMKVAYSKLLANDFQWLEATYKQNHEALFNPITVCGDTMFHVAAYRGSEAMLQTLVELVPQTQRREVLKMKNVYGNTVLHELVTTAKAEAADLLMKEVLFSDGLNREDYIREREEILEDRNKLGETPLFRAVEYGNMSMVKYLATQIEGMGGNLHRHYTRDHDGLSILHIAVIGQHFGIPGEACNGDEGDELPIALQNKDLEQGEPSKAPNQPGNSKGLKWYYGVLRCLKSGWKVIDRVWGQKQLHTSAMKLARHLVRTDTSWFQPHQSEEDDTICLERNYDKEEEKVKEIAAAGKEKSSEPDTPLFIAASTGIVEIVKEILDKYPQAIKHINKSGQNILHVATLHRTYKVYDLVVKNKEEKKRLVRGIDNNGCTILHHAADIVYYHGGTKPTPALKLQQELEWFETVKNEIPGHFTLHRNKDNMTADQLFNDRHKDQLQDAQEWVKNTSESCSTVAVLVAGVVFAAAYSAPGGFHDNGQPILLERPLYSFFTVMDVAGLASSLTSVVIFLSILTSSLEYKDFGNTIPRNLSLGFTFLFFSVTTTMLTFTATILLLVHFEKKWTASLTYAAAFLPICIFALFQFPLYYQYFIAAVKGIFNFIRKNMPGNWEFLRIKGDF >KJB64461 pep chromosome:Graimondii2_0_v6:10:5653885:5659227:1 gene:B456_010G050200 transcript:KJB64461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGYIVGALVPIVFTLLLRSSKKVKKRGVPVDVGGEPGYTIRNSRFPSPVETSWEGISTLAELFEQACKQHADKPLLGTRKMILREVEVTEDGRSFEKVHLGDYEWLTYGKTFETVCDFASGLVQLGHKREERVAIFADTREEWFIALQSCFRLNVTVVTIYASLGEEALCHSLNETEVTTVICGNKELKKLVNISGQLDTVTRVICMDDEFASSATSRWTISSFADVKSVGRASPLDADLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTIVPGLGSKDVYLAYLPLAHILELAAENLIPAVGGIIGYGTPLTLTDTSNKIKRGTKGDATVLSPTVMAAVPAILDRVRDGVRKKVDAKGGLAKKLFDLAYSRRLSAINGSWFGAWGLEKFLWDFLVFKKVRAVLGGRIRFLLSGGAPLSADTQRFINICLGAPIGQGYGLTETCAGATFSEVDDTSIGRVGSPLPCSFVKLVDWPEGGYLISDSPMPRGEIVVGGPSVTLGYFKNEEKTKEVYKVDERGMRWFYTGDVGQFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSISPYVDNIMLHADPFHSYCVALVVASQHAVEDWASKQGVAFTDFAELCEKEETIKEVLSSLVQIGKKARLEKFEIPAKIKLLSTPWTPESGLVTAALKIKREAIRKAFSEDLAKLYT >KJB64463 pep chromosome:Graimondii2_0_v6:10:5654127:5659227:1 gene:B456_010G050200 transcript:KJB64463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGYIVGALVPIVFTLLLRSSKKVKKRGVPVDVGGEPGYTIRNSRFPSPVETSWEGISTLAELFEQACKQHADKPLLGTRKMILREVEVTEDGRSFEKVHLGDYEWLTYGKTFETVCDFASGLVQLGHKREERVAIFADTREEWFIALQSCFRLNVTVVTIYASLGEEALCHSLNETEVTTVICGNKELKKLVNISGQLDTVTRVICMDDEFASSATSRWTISSFADVKSVGRASPLDADLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTIVPGLGSKDVYLAYLPLAHILELAAENLIPAVGGIIGYGTPLTLTDTSNKIKRGTKGDATVLSPTVMAAVPAILDRVRDGVRKKVDAKGGLAKKLFDLAYSRRLSAINGSWFGAWGLEKFLWDFLVFKKVRAVLGGRIRFLLSGGAPLSADTQRFINICLGAPIGQGYGLTETCAGATFSEVDDTSIGRVGSPLPCSFVKLVDWPEGGYLISDSPMPRGEIVVGGPSVTLGYFKNEEKTKEVYKVDERGMRWFYTGDVGQFHADGCLEIIDRKKDIVKLQHGEYVSLGKHQSLCRQYYVAC >KJB64462 pep chromosome:Graimondii2_0_v6:10:5654127:5657857:1 gene:B456_010G050200 transcript:KJB64462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGYIVGALVPIVFTLLLRSSKKVKKRGVPVDVGGEPGYTIRNSRFPSPVETSWEGISTLAELFEQACKQHADKPLLGTRKMILREVEVTEDGRSFEKVHLGDYEWLTYGKTFETVCDFASGLVQLGHKREERVAIFADTREEWFIALQSCFRLNVTVVTIYASLGEEALCHSLNETEVTTVICGNKELKKLVNISGQLDTVTRVICMDDEFASSATSRWTISSFADVKSVGRASPLDADLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTIVPGLGSKDVYLAYLPLAHILELAAENLIPAVGGIIGYGTPLTLTDTSNKIKRGTKGDATVLSPTVMAAVPAILDRVRDGVRKKVDAKGGLAKKLFDLAYSRRLSAINGSWFGAWGLEKFLWDFLVFKKVRAVLGGRIRFLLSGGAPLSADTQRFINICLGLVCLLQFELIII >KJB64464 pep chromosome:Graimondii2_0_v6:10:5654127:5659227:1 gene:B456_010G050200 transcript:KJB64464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGYIVGALVPIVFTLLLRSSKKVKKRGVPVDVGGEPGYTIRNSRFPSPVETSWEGISTLAELFEQACKQHADKPLLGTRKMILREVEVTEDGRSFEKVHLGDYEWLTYGKTFETVCDFASGLVQLGHKREERVAIFADTREEWFIALQSCFRLNVTVVTIYASLGEEALCHSLNETEVTTVICGNKELKKLVNISGQLDTVTRVICMDDEFASSATSRWTISSFADVKSVGRASPLDADLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTIVPGLGSKDVYLAYLPLAHILELAAENLIPAVGGIIGYGTPLTLTDTSNKIKRGTKGDATVLSPTVMAAVPAILDRVRDGVRKKVDAKGGLAKKLFDLAYSRRLSAINGSWFGAWGLEKFLWDFLVFKKVRAVLGGRIRFLLSGGAPLSADTQRFINICLGAPIGQGYGLTETCAGATFSEVDDTSIGRVGSPLPCSFVKLVDWPEGGYLISDSPMPRGEIVVGGPSVTLGYFKNEEKTKEVYKVDERGMRWFYTGDVGQFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSISPYVDNIMLHADPFHSYCVALQGVAFTDFAELCEKEETIKEVLSSLVQIGKKARLEKFEIPAKIKLLSTPWTPESGLVTAALKIKREAIRKAFSEDLAKLYT >KJB64841 pep chromosome:Graimondii2_0_v6:10:8900195:8904502:-1 gene:B456_010G068500 transcript:KJB64841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAATSSFFPITSSPDSIDSKNKKLGNGSTNLGGIKLKPSASSGSLQVKANAQAPPKINGTTVVMTPVEGFTSEDAASSLPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGIGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETAINHCKSAGLLGDGFGATPGMCKKNLIWVVTRMQVVVDRYPTWGDVVQVDTWVSASGKNGMRRDWLVSNSKTGEILTRASRRGPRRNRTSFYEFRSSGG >KJB64843 pep chromosome:Graimondii2_0_v6:10:8901028:8903223:-1 gene:B456_010G068500 transcript:KJB64843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAATSSFFPITSSPDSIDSKNKKLGNGSTNLGGIKLKPSASSGSLQVKANAQAPPKINGTTVVMTPVEGFTSEDAASSLPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGIGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETAINHCKSAGLLGDGFGATPGMCKKNLIWVVTRMQVVVDRYPTWGDVVQVDTWVSASGKNGMRRDWLVSNSKTGEILTRASSVWVMMNKLTRRLSKIPEEVRGEIEPHFMNSDPVVAEDNRKLVKLDDNTAQYVRKGLTVTTASMERPGCESACQQCEVRWLDP >KJB64839 pep chromosome:Graimondii2_0_v6:10:8900549:8903223:-1 gene:B456_010G068500 transcript:KJB64839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAATSSFFPITSSPDSIDSKNKKLGNGSTNLGGIKLKPSASSGSLQVKANAQAPPKINGTTVVMTPVEGFTSEDAASSLPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGIGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETAINHCKSAGLLGDGFGATPGMCKKNLIWVVTRMQVVVDRYPTWGDVVQVDTWVSASGKNGMRRDWLVSNSKTGEILTRASSVWVMMNKLTRRLSKIPEEVRGEIEPHFMNSDPVVAEDNRKLVKLDDNTAQYVRKGLTPRWSDLDVNQHVNNVKYVGWILESTPLGIVESHELCSMTLEYRRECGRDSVLQSLTAVSGVGNLGNMGEIECQHLLQLEEGSEIVRGRTQWRPKNAKSFGKMDQVPAQSA >KJB64842 pep chromosome:Graimondii2_0_v6:10:8900195:8904502:-1 gene:B456_010G068500 transcript:KJB64842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAATSSFFPITSSPDSIDSKNKKLGNGSTNLGGIKLKPSASSGSLQVKANAQAPPKINGTTVVMTPVEGFTSEDAASSLPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGIGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETAINHCKSAGLLGDGFGATPGMCKKNLIWVVTRMQVVVDRYPTWGDVVQVDTWVSASGKNGMRRDWLVSNSKTGEILTRASSVWVMMNKLTRRLSKIPEEVRGEIEPHFMNSDPVVAEDNRKLVKLDDNTAQYPRWSDLDVNQHVNNVKYVGWILESTPLGIVESHELCSMTLEYRRECGRDSVLQSLTAVSGVGNLGNMGEIECQHLLQLEEGSEIVRGRTQWRPKNAKSFGKMDQVPAQSA >KJB64840 pep chromosome:Graimondii2_0_v6:10:8900137:8904555:-1 gene:B456_010G068500 transcript:KJB64840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAATSSFFPITSSPDSIDSKNKKLGNGSTNLGGIKLKPSASSGSLQVKANAQAPPKINGTTVVMTPVEGFTSEDAASSLPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGIGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETAINHCKSAGLLGDGFGATPGMCKKNLIWVVTRMQVVVDRYPTWGDVVQVDTWVSASGKNGMRRDWLVSNSKTGEILTRASSVWVMMNKLTRRLSKIPEEVRGEIEPHFMNSDPVVAEDNRKLVKLDDNTAQYVRKGLTPRWSDLDVNQHVNNVKYVGWILESTPLGIVESHELCSMTLEYRRECGRDSVLQSLTAVSGVGNLGNMGEIECQHLLQLEEGSEIVRGRTQWRPKNAKSFGKMDQVPAQSA >KJB64844 pep chromosome:Graimondii2_0_v6:10:8901130:8903223:-1 gene:B456_010G068500 transcript:KJB64844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAATSSFFPITSSPDSIDSKNKKLGNGSTNLGGIKLKPSASSGSLQVKANAQAPPKINGTTVVMTPVEGFTSEDAASSLPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGIGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETAINHCKSAGLLGDGFGATPGMCKKNLIWVVTRMQVVVDRYPTWGDVVQVDTWVSASGKNGMRRDWLVSNSKTGEILTRASSVWVMMNKLTRRLSKIPEEVRGEIEPHFMNSDPVVAEDNRKLVKLDDNTAQYVRKGLTVTTGCPISFFSIVVAVSICKLPI >KJB66681 pep chromosome:Graimondii2_0_v6:10:41421771:41427539:-1 gene:B456_010G152200 transcript:KJB66681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEILPNQNGSVVYNGDLNKSNSNPNPISNPSAASKKSRESERRRRRRKQKKNKSKNTSHQENDAADGPVSEAGDSDAAEDGKKNSDQQQVMEEVQVEYVPEKAELDDGIDEEFRKVFEKFSFWEAAGSEETDKKDESTQDADAKKGADSDSGEEEQDNPQKEKGGLSNKKKKLQRRMKIAELKQICSRPDVVEVWDATAADPKLLVFLKAYRNTVPVPRHWSQKRKYLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTTHGDLYYEGKEFEVKLREMKPGSLSHELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHPGGWGKPPVDEYGRPLYGDVFGVHQQEQPNYEEEPVDKSKHWGDLEEEEEEEEEEEEEEEEQIEEEELEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEERIAPGTLLGTTHTYVVNTGTQDKSAAKRVDLLKGQKSDRVEVSLQPEELEVMDNVLPAKYEEAREEEKLRSQREDFSDMVAEVSLSGVFLMKYGASPNSSIIIIIITITKICLLQI >KJB66682 pep chromosome:Graimondii2_0_v6:10:41422068:41427473:-1 gene:B456_010G152200 transcript:KJB66682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEILPNQNGSVVYNGDLNKSNSNPNPISNPSAASKKSRESERRRRRRKQKKNKSKNTSHQENDAADGPVSEAGDSDAAEDGKKNSDQQQVMEEVQVEYVPEKAELDDGIDEEFRKVFEKFSFWEAAGSEETDKKDESTQDADAKKGADSDSGEEEQDNPQKEKGGLSNKKKKLQRRMKIAELKQICSRPDVVEVWDATAADPKLLVFLKAYRNTVPVPRHWSQKRKYLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTTHGDLYYEGKEFEVKLREMKPGSLSHELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHPGGWGKPPVDEYGRPLYGDVFGVHQQEQPNYEEEPVDKSKHWGDLEEEEEEEEEEEEEEEEQIEEEELEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEERIAPGTLLGTTHTYVVNTGTQDKSAAKRVDLLKGQKSDRVEVSLQPEELEVMDNVLPAK >KJB66679 pep chromosome:Graimondii2_0_v6:10:41420847:41427588:-1 gene:B456_010G152200 transcript:KJB66679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEILPNQNGSVVYNGDLNKSNSNPNPISNPSAASKKSRESERRRRRRKQKKNKSKNTSHQENDAADGPVSEAGDSDAAEDGKKNSDQQQVMEEVQVEYVPEKAELDDGIDEEFRKVFEKFSFWEAAGSEETDKKDESTQDADAKKGADSDSGEEEQDNPQKEKGGLSNKKKKLQRRMKIAELKQICSRPDVVEVWDATAADPKLLVFLKAYRNTVPVPRHWSQKRKYLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTTHGDLYYEGKEFEVKLREMKPGSLSHELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHPGGWGKPPVDEYGRPLYGDVFGVHQQEQPNYEEEPVDKSKHWGDLEEEEEEEEEEEEEEEEQIEEEELEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEERIAPGTLLGTTHTYVVNTGTQDKSAAKRVDLLKGQKSDRVEVSLQPEELEVMDNVLPAKYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQEKEGKSKKKDFKF >KJB66680 pep chromosome:Graimondii2_0_v6:10:41420866:41427539:-1 gene:B456_010G152200 transcript:KJB66680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEILPNQNGSVVYNGDLNKSNSNPNPISNPSAASKKSRESERRRRRRKQKKNKSKNTSHQENDAADGPVSEAGDSDAAEDGKKNSDQQQETDKKDESTQDADAKKGADSDSGEEEQDNPQKEKGGLSNKKKKLQRRMKIAELKQICSRPDVVEVWDATAADPKLLVFLKAYRNTVPVPRHWSQKRKYLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTTHGDLYYEGKEFEVKLREMKPGSLSHELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHPGGWGKPPVDEYGRPLYGDVFGVHQQEQPNYEEEPVDKSKHWGDLEEEEEEEEEEEEEEEEQIEEEELEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEERIAPGTLLGTTHTYVVNTGTQDKSAAKRVDLLKGQKSDRVEVSLQPEELEVMDNVLPAKYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQEKEGKSKKKDFKF >KJB63459 pep chromosome:Graimondii2_0_v6:10:1619:2464:-1 gene:B456_010G0001002 transcript:KJB63459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NISVISCTGISSTSQALLFSFKHYFFW >KJB63814 pep chromosome:Graimondii2_0_v6:10:1351966:1354986:1 gene:B456_010G017800 transcript:KJB63814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase subunit 5, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G47420) UniProtKB/Swiss-Prot;Acc:Q9SX77] MEKMMALRSVYRAARFRSSRFTPIAVTAAVNHNRHLVSRSFFSISSPIAPNLPRNTPSGGRSSFALRSGSMRYFSEDAAHLPDINDTEILNVFKDLMAASWDELPYSVVQDAKKALSKNTDDKAGQEALRNVFRAAEAVEEFGGILITMKMELDDSIGVSGENVKPLSSEFAAALKTVFQRYATYLDAFGPNETYLRKKVENELGSKMIYLKMRCSGLGSEWGKITVLGTSGLSGSYVEQRA >KJB63815 pep chromosome:Graimondii2_0_v6:10:1352022:1354370:1 gene:B456_010G017800 transcript:KJB63815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase subunit 5, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G47420) UniProtKB/Swiss-Prot;Acc:Q9SX77] MEKMMALRSVYRAARFRSSRFTPIAVTAAVNHNRHLVSRSFFSISSPIAPNLPRNTPSGGRSSFALRSGSMRYFSEDAAHLPDINDTEILNVFKDLMAASWDELPYSVVQDAKKALSKNTDDKAGQEALRNVFRAAEAVEEFGGILITMKMELDDSIGVSGENVKPLSSEFAAALKTVFQRYATYLDAFGPNETYLRKKVENELGSKMIYLKMRCSGLGSEWGKVINLFTAYL >KJB64916 pep chromosome:Graimondii2_0_v6:10:10178009:10182358:1 gene:B456_010G072200 transcript:KJB64916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSGEETLDSVTNRRSIAMGKHQEGTIMSKKPVKASAVVVSHVDEKEQSHPGTGSADSEVSSKCIVCSGNNSCQTVRARTKLMNILIEKGKPQEAQFIFNSLTEEGHKPTLVTYTALVSALTRLKRYKSIISLISKVEENGMKPDSILFNAMINAFSESGNVKEAMKIFQKMKKSGCKPTTSTFNTLMKGYGNIGKAEESSKLLELMLQDENVQPNDRTYNILIRAWCNKLNIEEAWNVVHIMVASGMHPDVVTYNTIARAYAQTGETYRAEQMIFEMQNNKVAPNVRTCGIIVTGYCKEGNMTEALRFVYRMKELGVQPNLVVFNSLVKGFLDVTDTNGVDEALTLMEEFGVKPDVITFSTIMNAWSSAGLMDKCQEIFDDMVKAGIEPDVHAFSILAKGYIRAGEPGEAESLLNSMGKFGVQPNVVIFTTVISGWCTAGKMNHAARVYEKMCEIGVNPNLTTYETLIWGYGEAKQPWKAEELLQIMEEKGISAERSTIQLVADAWRAIGLLNEARRIIKNVDRGIASNTKDDIPAEGMEKTYKKQSLSASYSNVLQMPGVAMNEQNGSSSSKARSQMVLKKYDSSSLFPAQASVFGVQPGIICQKQLTTTKEYRMHGQFVNSCKLVFIN >KJB64917 pep chromosome:Graimondii2_0_v6:10:10178009:10182358:1 gene:B456_010G072200 transcript:KJB64917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSGEETLDSVTNRRSIAMGKHQEGTIMSKKPVKASAVVVSHVDEKEQSHPGTGSADSEVSSKCIVCSGNNSCQTVRARTKLMNILIEKGKPQEAQFIFNSLTEEGHKPTLVTYTALVSALTRLKRYKSIISLISKVEENGMKPDSILFNAMINAFSESGNVKEAMKIFQKMKKSGCKPTTSTFNTLMKGYGNIGKAEESSKLLELMLQDENVQPNDRTYNILIRAWCNKLNIEEAWNVVHIMVASGMHPDVVTYNTIARAYAQTGETYRAEQMIFEMQNNKVAPNVRTCGIIVTGYCKEGNMTEALRFVYRMKELGVQPNLVVFNSLVKGFLDVTDTNGVDEALTLMEEFGVKPDVITFSTIMNAWSSAGLMDKCQEIFDDMVKAGIEPDVHAFSILAKGYIRAGEPGEAESLLNSMGKFGVQPNVVIFTTVISGWCTAGKMNHAARVYEKMCEIGVNPNLTTYETLIWGYGEAKQPWKAEELLQIMEEKGISAERSTIQLVADAWRAIGLLNEARRIIKNVDRGIASNTKDDIPAEGMEKTYKKQSLSASYSNVLQMPGVAMNEQNGSSSSKARSQMVLKKYDSSSLFPAQASVFGVQPGIICQKQLTTTKEYRMHGQFVNSCKLVFIN >KJB68017 pep chromosome:Graimondii2_0_v6:10:59247056:59251892:1 gene:B456_010G221900 transcript:KJB68017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFWLISQMGSTIWVLLLAFSVQIYITTATTDGRDYAALRSLTDEWQNLPPTWTEADPCGDSWVGIECTGSRVTSITLPNMNLVGELSGDIAMLSELQQLDLSFNRELTGPLPGSIGNLKKLKNLILVGCGFIGQIPYTIGSLPQLRFLSLNTNGFTGHIPPTIGNLSNLYWLDMADNQLEGPIPVSNGSTPGLDLLIHTKHFHFGKNKLSGTIPSKLFSSDMELIHVLFESNKLSGPLPSTLGLVQTLEVVRFDNNSLSGSLPLNLNNLTNVHELFLSNNKLSGPLPNLTGMTSLSTLHLSNNTFIPSDFPSWLESLTSLTIIMMGSIKLQGQVPTTFFGLPHLQAVELERNQINGTLVIGTSFTNQLQLVDLRNNKISEFNDAGYNFEIVLVDNPVCMETGKTDKYCQLPSRKSLYSTPQKNCVSGSCRSSQITSPTCTCAYPYTGTLLFRGYTFAEIGNTAPYLSLEQALMKFFQTHQLPVETVSLSDPRKDQFQYLLLDLSVFPYGADSFNRSGISMLAFAFSNQTFKPPKEFFGPYVFSGDKYEHFLDDTRKSKNSSTAIKIGAGVGALVFFLLLMLAGIYAYRQKMRAERANKNSNPFASWDSKKSFGDSPQLKGARCFPFDELKKYTNNFSDACDIGSGGYGKVYRGTLPTGELVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLLGFCFERGEQILVYEYVANGSLGDSLSGTTLHLVMTICY >KJB68016 pep chromosome:Graimondii2_0_v6:10:59247056:59251892:1 gene:B456_010G221900 transcript:KJB68016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFWLISQMGSTIWVLLLAFSVQIYITTATTDGRDYAALRSLTDEWQNLPPTWTEADPCGDSWVGIECTGSRVTSITLPNMNLVGELSGDIAMLSELQQLDLSFNRELTGPLPGSIGNLKKLKNLILVGCGFIGQIPYTIGSLPQSLNTNGFTGHIPPTIGNLSNLYWLDMADNQLEGPIPVSNGSTPGLDLLIHTKHFHFGKNKLSGTIPSKLFSSDMELIHVLFESNKLSGPLPSTLGLVQTLEVVRFDNNSLSGSLPLNLNNLTNVHELFLSNNKLSGPLPNLTGMTSLSTLHLSNNTFIPSDFPSWLESLTSLTIIMMGSIKLQGQVPTTFFGLPHLQAVELERNQINGTLVIGTSFTNQLQLVDLRNNKISEFNDAGYNFEIVLVDNPVCMETGKTDKYCQLPSRKSLYSTPQKNCVSGSCRSSQITSPTCTCAYPYTGTLLFRGYTFAEIGNTAPYLSLEQALMKFFQTHQLPVETVSLSDPRKDQFQYLLLDLSVFPYGADSFNRSGISMLAFAFSNQTFKPPKEFFGPYVFSGDKYEHFLDDTRKSKNSSTAIKIGAGVGALVFFLLLMLAGIYAYRQKMRAERANKNSNPFASWDSKKSFGDSPQLKGARCFPFDELKKYTNNFSDACDIGSGGYGKVYRGTLPTGELVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLLGFCFERGEQILVYEYVANGSLGDSLSGKSGIRLDWARRLKVALGAARGLAYLHELANPPVIHRDVKSTNILLDERLNAKVADFGLSKPMGDSEKGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVVMLEIVTARKPIEHGKYIVREVATSMDKSRSLYNLQEILDPTIGLDTDTIPHGLENFVDLAMRCVEDARTDRPTMGEVVKEIENIMQVAGLNPNAESASSSATYEDANKGTPHHPYGSKI >KJB68015 pep chromosome:Graimondii2_0_v6:10:59247056:59251237:1 gene:B456_010G221900 transcript:KJB68015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFWLISQMGSTIWVLLLAFSVQIYITTATTDGRDYAALRSLTDEWQNLPPTWTEADPCGDSWVGIECTGSRVTSITLPNMNLVGELSGDIAMLSELQQLDLSFNRELTGPLPGSIGNLKKLKNLILVGCGFIGQIPYTIGSLPQLRFLSLNTNGFTGHIPPTIGNLSNLYWLDMADNQLEGPIPVSNGSTPGLDLLIHTKHFHFGKNKLSGTIPSKLFSSDMELIHVLFESNKLSGPLPSTLGLVQTLEVVRFDNNSLSGSLPLNLNNLTNVHELFLSNNKLSGPLPNLTGMTSLSTLHLSNNTFIPSDFPSWLESLTSLTIIMMGSIKLQGQVPTTFFGLPHLQAVELERNQINGTLVIGTSFTNQLQLVDLRNNKISEFNDAGYNFEIVLVDNPVCMETGKTDKYCQLPSRKSLYSTPQKNCVSGSCRSSQITSPTCTCAYPYTGTLLFRGYTFAEIGNTAPYLSLEQALMKFFQTHQLPVETVSLSDPRKDQFQYLLLDLSVFPYGADSFNRSGISMLAFAFSNQTFKPPKEFFGPYVFSGDKYEHFLDDTRKSKNSSTAIKIGAGVGALVFFLLLMLAGIYAYRQKMRAERANKNSNPFASWDSKKSFGDSPQLKGARCFPFDELKKYTNNFSDACDIGSGGYGKVYRGTLPTGELVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLLGFCFERGEQILVYEYVANGSLGDSLSGTTLHLVMTICY >KJB68014 pep chromosome:Graimondii2_0_v6:10:59246969:59251921:1 gene:B456_010G221900 transcript:KJB68014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFWLISQMGSTIWVLLLAFSVQIYITTATTDGRDYAALRSLTDEWQNLPPTWTEADPCGDSWVGIECTGSRVTSITLPNMNLVGELSGDIAMLSELQQLDLSFNRELTGPLPGSIGNLKKLKNLILVGCGFIGQIPYTIGSLPQLRFLSLNTNGFTGHIPPTIGNLSNLYWLDMADNQLEGPIPVSNGSTPGLDLLIHTKHFHFGKNKLSGTIPSKLFSSDMELIHVLFESNKLSGPLPSTLGLVQTLEVVRFDNNSLSGSLPLNLNNLTNVHELFLSNNKLSGPLPNLTGMTSLSTLHLSNNTFIPSDFPSWLESLTSLTIIMMGSIKLQGQVPTTFFGLPHLQAVELERNQINGTLVIGTSFTNQLQLVDLRNNKISEFNDAGYNFEIVLVDNPVCMETGKTDKYCQLPSRKSLYSTPQKNCVSGSCRSSQITSPTCTCAYPYTGTLLFRGYTFAEIGNTAPYLSLEQALMKFFQTHQLPVETVSLSDPRKDQFQYLLLDLSVFPYGADSFNRSGISMLAFAFSNQTFKPPKEFFGPYVFSGDKYEHFLDDTRKSKNSSTAIKIGAGVGALVFFLLLMLAGIYAYRQKMRAERANKNSNPFASWDSKKSFGDSPQLKGARCFPFDELKKYTNNFSDACDIGSGGYGKVYRGTLPTGELVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLLGFCFERGEQILVYEYVANGSLGDSLSGKSGIRLDWARRLKVALGAARGLAYLHELANPPVIHRDVKSTNILLDERLNAKVADFGLSKPMGDSEKGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVVMLEIVTARKPIEHGKYIVREVATSMDKSRSLYNLQEILDPTIGLDTDTIPHGLENFVDLAMRCVEDARTDRPTMGEVVKEIENIMQVAGLNPNAESASSSATYEDANKGTPHHPYGSKI >KJB68018 pep chromosome:Graimondii2_0_v6:10:59247300:59250830:1 gene:B456_010G221900 transcript:KJB68018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFWLISQMGSTIWVLLLAFSVQIYITTATTDGRDYAALRSLTDEWQNLPPTWTEADPCGDSWVGIECTGSRVTSITLPNMNLVGELSGDIAMLSELQQLDLSFNRELTGPLPGSIGNLKKLKNLILVGCGFIGQIPYTIGSLPQLRFLSLNTNGFTGHIPPTIGNLSNLYWLDMADNQLEGPIPVSNGSTPGLDLLIHTKHFHFGKNKLSGTIPSKLFSSDMELIHVLFESNKLSGPLPSTLGLVQTLEVVRFDNNSLSGSLPLNLNNLTNVHELFLSNNKLSGPLPNLTGMTSLSTLHLSNNTFIPSDFPSWLESLTSLTIIMMGSIKLQGQVPTTFFGLPHLQAVELERNQINGTLVIGTSFTNQLQLVDLRNNKISEFNDAGYNFEIVLVDNPVCMETGKTDKYCQLPSRKSLYSTPQKNCVSGSCRSSQITSPTCTCAYPYTGTLLFRGYTFAEIGNTAPYLSLEQALMKFFQTHQLPVETVSLSDPRKDQFQYLLLDLSVFPYGADSFNRSGISMLAFAFSNQTFKPPKEFFGPYVFSGDKYEHFLDDTRKSKNSSTAIKIGAGVGALVFFLLLMLAGIYAYRQKMRAERANKNSNPFASWDSKKSFGDSPQLKGARCFPFDELKKYTNNFSDACDIGSGGYGKVKFIEELYRPGNSLPSNELNKVLCKVGSNSKQRSSFYREFIIKTSSAYSDFASNEVNKY >KJB68449 pep chromosome:Graimondii2_0_v6:10:61467621:61468623:-1 gene:B456_010G245700 transcript:KJB68449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFVSYLCFSTCIMYTLLYMFFWFSRLIDSTHLVIYILIRNLKLLLCFLNIMLRIFLFFHKESCILYTFKFCAIVNFPYSAWRISLPYPYSDWFHSSCNIYINSKSETAFMFFEHHASAFSLLI >KJB68450 pep chromosome:Graimondii2_0_v6:10:61467621:61468583:-1 gene:B456_010G245700 transcript:KJB68450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFVSYLCFSTCIMYTLLYMFFWFSRLIDSTHLVIYILIRNLKLLLCFLNIMLRIFLFFHKESCILYTFKFCAIVNFPYSAWRISLPYPYSDWFHSSCNIYINSKSETAFMFFEHHASAFSLLI >KJB68630 pep chromosome:Graimondii2_0_v6:10:62126548:62129510:-1 gene:B456_010G256400 transcript:KJB68630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTAIALTLSSFTLRSTIPSKTFFFPKPSKPISLRRTFRPLSLTPQAKSSDIDTSFFDDVNPEEDIVFDPPTPPEGFTPPPSFDEGPEETEDEIAAAYEELYGPAYSGVSVLGNDVYVMDSKVKKSSAFGKVKKEKVRDGFEERVVQVRRVTKVVKGGKQLHFRAIVVVGDKQGQVGVGVGKAKEVIGAVQKSAVNARRNIITIPMTKYLTFPHRSEGDYGAAKVMLRPAAPGTGVIAGGALRLLLCRK >KJB68629 pep chromosome:Graimondii2_0_v6:10:62126541:62129557:-1 gene:B456_010G256400 transcript:KJB68629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTAIALTLSSFTLRSTIPSKTFFFPKPSKPISLRRTFRPLSLTPQAKSSDIDTSFFDDVNPEEDIVFDPPTPPEGFTPPPSFDEGPEETEDEIAAAYEELYGPAYSGVSVLGNDVYVMDSKVKKSSAFGKVKKEKVRDGFEERVVQVRRVTKVVKGGKQLHFRAIVVVGDKQGQVGVGVGKAKEVIGAVQKSAVNARRNIITIPMTKYLTFPHRSEGDYGAAKVMLRPAAPGTGVIAGGAVRIVLEMAGVENALGKQLGSKNALNNARATVVAVQKMKQFREVAQERGIPMEELWK >KJB68627 pep chromosome:Graimondii2_0_v6:10:62125736:62129510:-1 gene:B456_010G256400 transcript:KJB68627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTAIALTLSSFTLRSTIPSKTFFFPKPSKPISLRRTFRPLSLTPQAKSSDIDTSFFDDVNPEEDIVFDPPTPPEGFTPPPSFDEGPEETEDEIAAAYEELYGPAYSGVSVLGNDVYVMDSKVKKSSAFGKVKKEKVRDGFEERVVQVRRVTKVVKGGKQLHFRAIVVVGDKQGQVGVGVGKAKEVIGAVQKSAVNARRNIITIPMTKYLTFPHRSEGDYGAAKVMLRPAAPGTGVIAGGAVRIVLEMAGVENALGKQLGSKNALNNARATVVAVQKMKQFREVAQERGIPMEELWK >KJB68628 pep chromosome:Graimondii2_0_v6:10:62124491:62129510:-1 gene:B456_010G256400 transcript:KJB68628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTAIALTLSSFTLRSTIPSKTFFFPKPSKPISLRRTFRPLSLTPQAKSSDIDTSFFDDVNPEEDIVFDPPTPPEGFTPPPSFDEGPEETEDEIAAAYEELYGPAYSGVSVLGNDVYVMDSKVKKSSAFGKVKKEKVRDGFEERVVQVRRVTKVVKGGKQLHFRAIVVVGDKQGQVGVGVGKAKEVIGAVQKSAVNARRNIITIPMTKYLTFPHRSEGDYGAAKVMLRPAAPGTGVIAGGAVRIVLEMAGVENALGKQLGSKNALNNARATVVAVQKMKQFREVAQERGIPMEELWK >KJB63490 pep chromosome:Graimondii2_0_v6:10:82896:84330:-1 gene:B456_010G002200 transcript:KJB63490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCAFSYSDIVPATALCLILVLFSTRDAGGVCLASNKITFPALFAFGDSIVDTGNNNKRFTIAKANIPPYGRDFPGGAATGRFGNGMVFSDLLAEGLRIKPLLPAYLHPVLQGEDLETGVSFASGGSGFDEFTVKLQNALSIKDQLNLFKECVRKLEGGIGQEKANATISKSLFLVSQGNNDIAITYFLFHFLHDIDAYTTQLVNSASSFIKDMYELGARKFAFLSLIPLGYLPLSRTFGGGFQRNSVDWLTQAAVKFNSKLEHELNHLNSNLPGTRILYIDIYNPLVDLIQNHKKYGFEDSSHGCCGTGLIELNYGCNELLSSFTCSNSSSHVFWDAGHPSERAYRMIISQVVHNMSHQLLDI >KJB63491 pep chromosome:Graimondii2_0_v6:10:82602:84451:-1 gene:B456_010G002200 transcript:KJB63491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCAFSYSDIVPATALCLILVLFSTRDAGGVCLASNKITFPALFAFGDSIVDTGNNNKRFTIAKANIPPYGRDFPGGAATGRFGNGMVFSDLLAEGLRIKPLLPAYLHPVLQGEDLETGVSFASGGSGFDEFTVKLQNALSIKDQLNLFKECVRKLEGGIGQEKANATISKSLFLVSQGNNDIAITYFLFHFLHDIDAYTTQLVNSASSFIKDMYELGARKFAFLSLIPLGYLPLSRTFGGGFQRNSVDWLTQAAVKFNSKLEHELNHLNSFEDSSHGCCGTGLIELNYGCNELLSSFTCSNSSSHVFWDAGHPSERAYRMIISQVVHNMSHQLLDI >KJB68069 pep chromosome:Graimondii2_0_v6:10:59575886:59576397:1 gene:B456_010G223700 transcript:KJB68069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLYLFIFAFMNLIVLSFQRKLSSAFKPPFPVQNKTLIKPPTYLPHPSLSPSTQKTDCTVHKNLIKYKNAASLTSEDDEC >KJB67598 pep chromosome:Graimondii2_0_v6:10:56090502:56095253:-1 gene:B456_010G199600 transcript:KJB67598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKREPNMIADDKFDVEGDRFSTVEIPETAHQISSDSWFQAGVVLTSGVNSVFVLGYSGTIMVHLGWIGGVVGLVLATAISLYANMLVAKLHEFRGKRHIRYRDLAAEIYGRKAYYLTWALQYVNLFMINIGFLILGASSLKACYVLFVEEVTMKLPYFTAIAGFVCILFAISTPNLSSLRVWLACSTILSLIYIVVASVLAAKDGMNAPPRDYSIHGTTAGKIFTIIGASSNLMFAFNTGMVPEIQATLRQPAVENMLKALYFQFTIGVVPMYAIIFIGYWAYGASASTYLLSNVSGPVWVKAAANISAFLQSVIALHIFASPAYEYMDTKFKITGGAFELKSLTFRIVARGGYLVISSTVSALLPFLGDFESLTGALSTFPLTFILASHMYLVAKKSSLSSLQQSWLWLNVVFFSCMSIAATVAAIRLIVVDSKKYHVFADV >KJB67597 pep chromosome:Graimondii2_0_v6:10:56091026:56095076:-1 gene:B456_010G199600 transcript:KJB67597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKREPNMIADDKFDVEGDRFSTVEIPETAHQISSDSWFQAGVVLTSGVNSVFVLGYSGTIMVHLGWIGGVVGLVLATAISLYANMLVAKLHEFRGKRHIRYRDLAAEIYGRKAYYLTWALQYVNLFMINIGFLILGASSLKACYVLFVEEVTMKLPYFTAIAGFVCILFAISTPNLSSLRVWLACSTILSLIYIVVASVLAAKDGMNAPPRDYSIHGTTAGKIFTIIGASSNLMFAFNTGMVPEIQATLRQPAVENMLKALYFQFTIGVVPMYAIIFIGYWAYGASASTYLLSNVSGPVWVKAAANISAFLQSVIALHLP >KJB64183 pep chromosome:Graimondii2_0_v6:10:3325240:3337593:1 gene:B456_010G036000 transcript:KJB64183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSVIRRFALEEELEGHQSCVNAIAWNSSGTLLISGSDDARINIWGYSGRKLLHSIETGHSVNIFCTKFIPETSDELVVSGAGDAEVRLFNLSRLNGRGLHDGAATPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGTSCPPARSSQQECRNVLLDLRCGAKRSLADPPKHTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLPPLTSCRKRMSPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYSGEHVYLMDINHASGSVMQYSSGDVSELMTFTPVPNRREHQPSVSSFFQNGLQKRSNTAARVEKCRMLVEIAKRSLEERTNIFHAIEACNEVLDGNNGDIGPSLRHECLCTRAALLLQRKWKNDAHMAVRDCRDARRIDNSSFRAHYCMAEALEQLGKHKEALNFAVAAQCLSPFDTMVAEKVENLNKQLAVAEAEKNNKASEGSPKSEPRAGRVLSLSDILYRTEGNSDASQDGPRSDREDSDYDEELELDFETSISGDEGHDVESNMLHGSLNLRIHRRGDAIRETGPNGSCGSPSLPSQNKKSAYQPEAVIDMKQRYIGHCNVGTDIKQASFLGQRGEYVASGSDDGRWFIWEKRTGRLIKMLLGDGAVVNCVQCHPFDCFVATSGIDSTIKLWCPTAAVPSMVAGGSTGPETSNVLEAMESNQRKLCRNREAILPFELLERLRMHEFSEGSLHPFECAQS >KJB64181 pep chromosome:Graimondii2_0_v6:10:3325230:3337642:1 gene:B456_010G036000 transcript:KJB64181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEWPFHDGNIHNLLDGRHIDIRQNCRDVDHSLQMHSSVIRRFALEEELEGHQSCVNAIAWNSSGTLLISGSDDARINIWGYSGRKLLHSIETGHSVNIFCTKFIPETSDELVVSGAGDAEVRLFNLSRLNGRGLHDGAATPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGTSCPPARSSQQECRNVLLDLRCGAKRSLADPPKHTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLPPLTSCRKRMSPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYSGEHVYLMDINHASGSVMQYSSGDVSELMTFTPVPNRREHQPSVSSFFQNGLQKRSNTAARVEKCRMLVEIAKRSLEERTNIFHAIEACNEVLDGNNGDIGPSLRHECLCTRAALLLQRKWKNDAHMAVRDCRDARRIDNSSFRAHYCMAEALEQLGKHKEALNFAVAAQCLSPFDTMVAEKVENLNKQLAVAEAEKNNKASEGSPKSEPRAGRVLSLSDILYRTEGNSDASQDGPRSDREDSDYDEELELDFETSISGDEGHDVESNMLHGSLNLRIHRRGDAIRETGPNGSCGSPSLPSQNKKSAYQPEAVIDMKQRYIGHCNVGTDIKQASFLGQRGEYVASGSDDGRWFIWEKRTGRLIKMLLGDGAVVNCVQCHPFDCFVATSGIDSTIKLWCPTAAVPSMVAGGSTGPETSNVLEAMESNQRKLCRNREAILPFELLERLRMHEFSEGSLHPFECAQS >KJB64182 pep chromosome:Graimondii2_0_v6:10:3325240:3333595:1 gene:B456_010G036000 transcript:KJB64182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEWPFHDGNIHNLLDGRHIDIRQNCRDVDHSLQMHSSVIRRFALEEELEGHQSCVNAIAWNSSGTLLISGSDDARINIWGYSGRKLLHSIETGHSVNIFCTKFIPETSDELVVSGAGDAEVRLFNLSRLNGRGLHDGAATPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGTSCPPARSSQQECRNVLLDLRCGAKRSLADPPKHTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLPPLTSCRKRMSPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYSGEHVYLMDINHASGSVMQYSSGDVSELMTFTPVPNRREHQPSVSSFFQNGLQKRSNTAARVEKCRMLVEIAKRSLEERTNIFHAIEACNEVLDGNNGDIGPSLRHECLCTRAALLLQRKWKNDAHMAVRDCRDARRIDNSSFRAHYCMAEALEQLGKHKEALNFAVAAQCLSPFDTMVAEKVENLNKQLAVAEAEKNNKASEGSPKSEPRAGRVLSLSDILYRTEGNSDASQDGPRSDREDSDYDEELELDFETSISGDEGHDVESNMLHGSLNLRIHRRGDAIRETGPNGSCGSPSLPSQNKKSAYQPEAVIDMKQRYIGHCNVGTDIKQASFLGQRAFTIQENSGARVYSRI >KJB64641 pep chromosome:Graimondii2_0_v6:10:7843388:7846876:1 gene:B456_010G062900 transcript:KJB64641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCIEVVPEQLCYIPCNFCNIVLAVSVPCSSLFDIVTVRCGHCTNLWSVNMAAAASQSLSWQDIQAPNNAIEDYRRDLGSSSKCSHNKLSMRPPLPNNATEERVVNRPPEKRQRVPSAYNRFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLETNNQTKMNNGSG >KJB65835 pep chromosome:Graimondii2_0_v6:10:22379400:22380521:1 gene:B456_010G115500 transcript:KJB65835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPTPLSLFCALILCLPLAVIVTITSPTTTIHGGSEHDPLSNFAPAAKTHQRFSVKPSPLPPTDDKSLLPAASRVNSRTPPGLPKKIAFLFLTVSPLPFAPLWEIYFNKTPKNLFNVYVHADPSYPYETPFSGVFAHRVIPSKPALRYTPTLISAARRLLAHALLHDRSNHMFVLLSASCIPIHSFNFTYETLTQSEKSFIEILNNEIGSYDRWAARGLDVMLPEVKLEDFRIGSQFWSLTRQHARVIVSDETIWAKFNQPCLVWDTCYPEENYFPTLIHMRDPRNVVPWTLTHVDWNGSSDGHPRMYAASEVGPQLIVRLRNDKPRYDDAGINGSDPTLMRQRDPFLFARKFSPDSIRPLMSIASDVIFKD >KJB66463 pep chromosome:Graimondii2_0_v6:10:34081186:34084908:1 gene:B456_010G140800 transcript:KJB66463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVCGSKRSFFEDNPSSPSASVSKKLRRCSPSSPSSVRFAPPPSLLDHLQALFPHMDPELLERVLLECGNNIDTTIKRLQELHLGAADATGEKMGPVEELGTTAEQEWVDLFVGEMMGASSVDDAKARASKLLEVLEKSISEHVAKEAAQSFHKENMMLKEQIQVLIQENTVLKRAVAIQHERQKEYQDKNNELEHLKQLVSQYQEQLRTLEVNNYALTMHLRQAQQSNSIPGRFHPDIF >KJB66462 pep chromosome:Graimondii2_0_v6:10:34082329:34084510:1 gene:B456_010G140800 transcript:KJB66462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGKRWVLLRNWVQLLSRMGSSYLRQGKLRYVVETAGTVTNNGEAADTTAQIPSAPETLPVDGAEWVDLFVGEMMGASSVDDAKARASKLLEVLEKSISEHVAKEAAQSFHKENMMLKEQIQVLIQENTVLKRAVAIQHERQKEYQDKNNELEHLKQLVSQYQEQLRTLEVNNYALTMHLRQAQQSNSIPGRFHPDIF >KJB66460 pep chromosome:Graimondii2_0_v6:10:34081065:34084908:1 gene:B456_010G140800 transcript:KJB66460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVCGSKRSFFEDNPSSPSASVSKKLRRCSPSSPSSVRFAPPPSLLDHLQALFPHMDPELLERVLLECGNNIDTTIKRLQELHLGAADATGEKMGPVEELGTTAEQGTVTNNGEAADTTAQIPSAPETLPVDGAEWVDLFVGEMMGASSVDDAKARASKLLEVLEKSISEHVAKEAAQSFHKENMMLKEQIQVLIQENTVLKRAVAIQHERQKEYQDKNNELEHLKQLVSQYQEQLRTLEVNNYALTMHLRQAQQSNSIPGRFHPDIF >KJB66461 pep chromosome:Graimondii2_0_v6:10:34081186:34083896:1 gene:B456_010G140800 transcript:KJB66461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVCGSKRSFFEDNPSSPSASVSKKLRRCSPSSPSSVRFAPPPSLLDHLQALFPHMDPELLERVLLECGNNIDTTIKRLQELHLGAADATGEKMGPVEELGTTAEQGTVTNNGEAADTTAQIPSAPETLPVDGAEWVDLFVGEMMGASSVDDAKARASKLLEVLEKSISEHVAKEAAQSFHKVCIS >KJB66464 pep chromosome:Graimondii2_0_v6:10:34081186:34084908:1 gene:B456_010G140800 transcript:KJB66464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVCGSKRSFFEDNPSSPSASVSKKLRRCSPSSPSSVRFAPPPSLLDHLQALFPHMDPELLERVLLECGNNIDTTIKRLQELHLGAADATGEKMGPVEELGTTAEQGTVTNNGEAADTTAQIPSAPETLPVDGAEWVDLFVGEMMGASSVDDAKARASKLLEVLEKSISEHVAKEAAQSFHKNMMLKEQIQVLIQENTVLKRAVAIQHERQKEYQDKNNELEHLKQLVSQYQEQLRTLEVNNYALTMHLRQAQQSNSIPGRFHPDIF >KJB67028 pep chromosome:Graimondii2_0_v6:10:49560419:49563259:-1 gene:B456_010G170700 transcript:KJB67028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESGRKQGAASPCAACKLLRRRCAQDCVFAPYFPADEPQKFANVHKVFGASNVNKMLQELPVHQRGDAVSSIVYEANARVRDPVYGCVGAISSLQQQIDALQTQLALTQAEVVHLRQFIK >KJB67029 pep chromosome:Graimondii2_0_v6:10:49560493:49563259:-1 gene:B456_010G170700 transcript:KJB67029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESGRKQGAASPCAACKLLRRRCAQDCVFAPYFPADEPQKFANVHKVFGASNVNKMLQELPVHQRGDAVSSIVYEANARVRDPVYGCVGAISSLQQQIDALQTQLALTQAEVVHLRVRQTASFPHGFCPTSPSNSGSPTFKLMGSQTMPTFDLDMVVDQASLGEPMWSF >KJB68127 pep chromosome:Graimondii2_0_v6:10:59843102:59846434:-1 gene:B456_010G227200 transcript:KJB68127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSHYTMASGSRTGRRTFEFGRTYVVRPKGKHQATIVWLHGLGDNGSSWSQLLESLPLPNIKWICPTAPTRPVALLGGFPCTAWFDAGELSEESPDDWEGLDASAAHIANFLSTEPSDVKVGIGGFSMGAAMALYSATACALGRYGNGIPYPINLRAVVGLSGWLPGSRNKIQVSHEAARRAASLPILLSHGTCDDVVPYKIGEKSAHSLNIAGFWNLTFKNYEGIGHYTVPKEMDEVCNWLTTKLCLEGSR >KJB68126 pep chromosome:Graimondii2_0_v6:10:59842685:59846616:-1 gene:B456_010G227200 transcript:KJB68126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSHYTMASGSRTGRRTFEFGRTYVVRPKGKHQATIVWLHGLGDNGSSWSQLLESLPLPNIKWICPTAPTRPVALLGGFPCTAWFDAGELSEESPDDWEGLDASAAHIANFLSTEPSDVKVGIGGFSMGAAMALYSATACALGRYGNGIPYPINLRAVVGLSGWLPGSRGLRNKIQVSHEAARRAASLPILLSHGTCDDVVPYKIGEKSAHSLNIAGFWNLTFKNYEGIGHYTVPKEMDEVCNWLTTKLCLEGSR >KJB65058 pep chromosome:Graimondii2_0_v6:10:11411446:11412345:1 gene:B456_010G078800 transcript:KJB65058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEDLGDVENEDGDYGAKQLQIVRVDDAKRLLVGAGARILFYPTLLYNVFRNKIQSEFRWWDEVDQFLLLGAVPFPKDVRRLKQLGVGGVITLNEPFETLVSTSLYHAYGIDHLVIPTRDYLFAPSVSDISRAVDFIHNNASCGRTTYVHCKAGRGRSTTIVLCYLVNLYLLDIACCILLSSNLLFDCYFNG >KJB65055 pep chromosome:Graimondii2_0_v6:10:11411247:11413408:1 gene:B456_010G078800 transcript:KJB65055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEDLGDVENEDGDYGAKQLQIVRVDDAKRLLVGAGARILFYPTLLYNVFRNKIQSEFRWWDEVDQFLLLGAVPFPKDVRRLKQLGVGGVITLNEPFETLVSTSLYHAYGIDHLVIPTRDYLFAPSVSDISRAVDFIHNNASCGRTTYVHCKAGRGRSTTIVLCYLVEHKQMTPAGALEYVRSRRPRVLLAPSQWKAVVEYSMHRQPATIHSPSVDAVMITKADLEGYHSTFDDITSKELVIVPRMVRARPMIARLSCLLASFKVSGVYGPVSGRLHEARAC >KJB65057 pep chromosome:Graimondii2_0_v6:10:11411254:11413379:1 gene:B456_010G078800 transcript:KJB65057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEDLGDVENEDGDYGAKQLQIVRVDDAKRLLVGAGARILFYPTLLYNVFRNKIQSEFRWWDEVDQFLLLGAVPFPKDVRRLKQLGVGGVITLNEPFETLVSTSLYHAYGIDHLVIPTRDYLFAPSVSDISRAVDFIHNNASCGRTTYVHCKAGRGRSTTIVLCYLVNLYLLDIACCILLSSNLLFDCYFNG >KJB65056 pep chromosome:Graimondii2_0_v6:10:11411254:11413379:1 gene:B456_010G078800 transcript:KJB65056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEDLGDVENEDGDYGAKQLQIVRVDDAKRLLVGAGARILFYPTLLYNVFRNKIQSEFRWWDEVDQFLLLGAVPFPKDVRRLKQLGVGGVITLNEPFETLVSTSLYHAYGIDHLVIPTRDYLFAPSVSDISRAVDFIHNNASCGRTTYVHCKAGRGRSTTIVLCYLVEHKQMTPAGALEYVRSRRPRVLLAPSQWKVSLVVISFAECETFLEIMFSVCFSPVSLRKTFIYFHQWLCFC >KJB64087 pep chromosome:Graimondii2_0_v6:10:3006574:3011205:-1 gene:B456_010G033400 transcript:KJB64087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANPQPLQTRPFVDHARGTIQIEDDDGDYEDDAMDDVEDANANSVNVAEHGTGVVGGVNDGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGREIPTDVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTDLQDGTPRPKTVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRSISMDQNEPETTIDVKPSIMEGNFPGNQDEHIVQGNPLKDVTDGSNNASVNPDEEDLHENAEDLKNSLPVGIVHPAADDDEQEPLVELANPSDTEIDIPSSFD >KJB64085 pep chromosome:Graimondii2_0_v6:10:3006376:3011496:-1 gene:B456_010G033400 transcript:KJB64085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANPQPLQTRPFVDHARGTIQIEDDDGDYEDDAMDDVEDANANSVNVAEHGTGVVGGVNDGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGREIPTDVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTDLQDGTPRPKTVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRSISMDQNEPETTIDVKPSIMEGNFPGNQDEHGNPLKDVTDGSNNASVNPDEEDLHENAEDLKNSLPVGIVHPAADDDEQEPLVELANPSDTEIDIPSSFD >KJB64082 pep chromosome:Graimondii2_0_v6:10:3006376:3011386:-1 gene:B456_010G033400 transcript:KJB64082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANPQPLQTRPFVDHARGTIQIEDDDGDYEDDAMDDVEDANANSVNVAEHGTGVVGGVNDGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGREIPTDVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTDLQDGTPRPKTGTLRDLSKGGRSISMDQNEPETTIDVKPSIMEGNFPGNQDEHIVQGNPLKDVTDGSNNASVNPDEEDLHENAEDLKNSLPVGIVHPAADDDEQEPLVELANPSDTEIDIPSSFD >KJB64084 pep chromosome:Graimondii2_0_v6:10:3007816:3010987:-1 gene:B456_010G033400 transcript:KJB64084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANPQPLQTRPFVDHARGTIQIEDDDGDYEDDAMDDVEDANANSVNVAEHGTGVVGGVNDGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGREIPTDVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTDLQDGTPRPKTVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRSISMDQNEPETTIDVKPSIMEGNFPGNQDEHIVQGNPLKDVTDGSNNASVNPDEEVDIYLHIEATEQDSAEQFSELK >KJB64086 pep chromosome:Graimondii2_0_v6:10:3007816:3010987:-1 gene:B456_010G033400 transcript:KJB64086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANPQPLQTRPFVDHARGTIQIEDDDGDYEDDAMDDVEDANANSVNVAEHGTGVVGGVNDGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGREIPTDVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTDLQDGTPRPKTVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRSISMDQNEPETTIDVKPSIMEGNFPGNQDEHGNPLKDVTDGSNNASVNPDEEVDIYLHIEATEQDSAEQFSELK >KJB64083 pep chromosome:Graimondii2_0_v6:10:3007816:3010987:-1 gene:B456_010G033400 transcript:KJB64083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANPQPLQTRPFVDHARGTIQIEDDDGDYEDDAMDDVEDANANSVNVAEHGTGVVGGVNDGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGREIPTDVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTDLQDGTPRPKTVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRSISMDQNEPETTIDVKPSIMEGNFPGNQDEHGNPLKDVTDGSNNASVNPDEEVDIYLHIEATEQDSAEQFSELK >KJB64090 pep chromosome:Graimondii2_0_v6:10:3006574:3011205:-1 gene:B456_010G033400 transcript:KJB64090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANPQPLQTRPFVDHARGTIQIEDDDGDYEDDAMDDVEDANANSVNVAEHGTGVVGGVNDGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGREIPTDVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTDLQDGTPRPKTVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRSISMDQNEPETTIDVKPSIMEGNFPGNQDEHGNPLKDVTDGSNNASVNPDEEDLHENAEDLKNSLPVGIVHPAADDDEQEVEYFFLEPLVELANPSDTEIDIPSSFD >KJB64088 pep chromosome:Graimondii2_0_v6:10:3008133:3010987:-1 gene:B456_010G033400 transcript:KJB64088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANPQPLQTRPFVDHARGTIQIEDDDGDYEDDAMDDVEDANANSVNVAEHGTGVVGGVNDGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGREIPTDVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTDLQDGTPRPKTVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRSISMDQNEPETTIDVKPSIMEGNFPGNQDEHIVQGNPLKDVTDGSNNASVNPDEEVGFLILTELELHVFILKGKSVTT >KJB64080 pep chromosome:Graimondii2_0_v6:10:3006376:3011386:-1 gene:B456_010G033400 transcript:KJB64080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANPQPLQTRPFVDHARGTIQIEDDDGDYEDDAMDDVEDANANSVNVAEHGTGVVGGVNDGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGREIPTDVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTDLQDGTPRPKTVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRSISMDQNEPETTIDVKPSIMEGNFPGNQDEHGNPLKDVTDGSNNASVNPDEEDLHENAEDLKNSLPVGIVHPAADDDEQEPLVELANPSDTEIDIPSSFD >KJB64081 pep chromosome:Graimondii2_0_v6:10:3007816:3010987:-1 gene:B456_010G033400 transcript:KJB64081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANPQPLQTRPFVDHARGTIQIEDDDGDYEDDAMDDVEDANANSVNVAEHGTGVVGGVNDGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGREIPTDVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTDLQDGTPRPKTVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRSISMDQNEPETTIDVKPSIMEGNFPGNQDEHIVQGNPLKDVTDGSNNASVNPDEEVDIYLHIEATEQDSAEQFSELK >KJB64089 pep chromosome:Graimondii2_0_v6:10:3006574:3011205:-1 gene:B456_010G033400 transcript:KJB64089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANPQPLQTRPFVDHARGTIQIEDDDGDYEDDAMDDVEDANANSVNVAEHGTGVVGGVNDGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGREIPTDVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTDLQDGTPRPKTVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRSISMDQNEPETTIDVKPSIMEGNFPGNQDEHGNPLKDVTDGSNNASVNPDEEDLHENAEDLKNSLPVGIVHPAADDDEQFIQNAGTSG >KJB65506 pep chromosome:Graimondii2_0_v6:10:17067968:17073337:-1 gene:B456_010G098200 transcript:KJB65506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVYVLEPPTKGKLIINTTYGPLDIELWPKEAPKAVRNFVQLCLEGYFDSTTFHRIIKGFLVQGGDPTGSGTGGESIYGSVFPDEFHSRLRFNHRGIVACANAGTPHSNGSQFFISLDKCEWLDRKNTIFGKVTGDSIFNLLRIGEVDTDQDDRPLDPPPRIKSVEVLWNPFEDIVPRVTSRPSIQPAAEAENKDSKKKAVKKLNLLSFGEEAEEEEKELAAVKLKIKSSHDVLDDPRLLKQDVPDKEQDPSDAKATKDLQLSVRGALRSRREGDKKDAEAELSDSVDHSDDDDEASFDARMRRQILNRHKELVNLPSKQKMQNGSSKPNDNKISVRRFNDESTDDDQPRVEKLSLKKKGMGSEAKAERMAKADADLQLFSEAERGRLLQKQKKRRLRGREDDVLAKLEKFKQSISTKPAASSSKPEGGDKEDLSDWKAVRLEFAPDTKDGMSRKDDPNDYVVVDPLLEKGKEKFNRMQAKQKQREREWAGKSLT >KJB65507 pep chromosome:Graimondii2_0_v6:10:17067968:17073436:-1 gene:B456_010G098200 transcript:KJB65507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVYVLEPPTKGKLIINTTYGPLDIELWPKEAPKAVRNFVQLCLEGYFDSTTFHRIIKGFLVQGGDPTGSGTGGESIYGSVFPDEFHSRLRFNHRGIVACANAGTPHSNGSQFFISLDKCEWLDRKNTIFGKVTGDSIFNLLRIGEVDTDQDDRPLDPPPRIKSVEVLWNPFEDIVPRVTSRPSIQPAAEAENKDSKKKAVKKLNLLSFGEEAEEEEKELAAVKLKIKSSHDVLDDPRLLKQDVPDKEQDPSDAKATKDLQLSVRGALRSRREGDKKDAEAELSDSVDHSDDDDEASFDARMRRQILNRHKELVNLPSKQKMQNGSSKPNDNKISVRRFNDESTDDDQPRVEKLSLKKKGMGSEAKAERMAKADADLQLFSEAERGRLLQKQKKRRLRGREDDVLAKLEKFKQSISTKPAASSSKPEGGDKEDLSDWKAVRLEFAPDTKDGMSRKDDPNDYVVVDPLLEKGKEKFNRMQAKQKQREREWAGKSLT >KJB67516 pep chromosome:Graimondii2_0_v6:10:55557300:55561415:1 gene:B456_010G195400 transcript:KJB67516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISVQNMFKERKFPFLFAFSFLLISVTILLFSYSSSSPSLLLSTNFQVSDPLPPPPRPLPTRSPNNVPVSSTKPVSKTTAAASDVDLNVSLDIKWENCQLGPLAVDYIPCLDNWKAIKELKSRRRMEHRERHCPKPSPRCLAPLPIGYKVPVHWPKSRDMIWYSNVPHPKLVEYKKEQNWVRKSGDYFVFPGGGTQFKNGVQSYIDFIHKTLPAIKWGKNIRVILDVGCGVASFGGYLLDKDVITMSFAPKDEHESQIQFALERGIPAILSVIGTQKLTFPDNAYDLIHCARCRVHWDGDGGKPLLELNRVLKPGGYFIWSATPVYRDDERDSKVWKSMVALTTSLCWKIVAKTVDSTGVGLVIYQKPSSYSCYKQRKEKLPPLCEQKTNQNVSWYEPLSYCISRLPVDRMGNLLRWSTPWPQRLSSKPPSLPSEPDAEDIFIEDTKHWAALVSEVYLDGLAISLGRIRNVMDMNAGYGGFAAALIDQPLWVMNVVPIDAQDTLSIIFERGLIGVYHDWCESFNTYPRTYDLLHASFLFKNLKERYAVVNT >KJB67515 pep chromosome:Graimondii2_0_v6:10:55557188:55561514:1 gene:B456_010G195400 transcript:KJB67515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISVQNMFKERKFPFLFAFSFLLISVTILLFSYSSSSPSLLLSTNFQVSDPLPPPPRPLPTRSPNNVPVSSTKPVSKTTAAASDVDLNVSLDIKWENCQLGPLAVDYIPCLDNWKAIKELKSRRRMEHRERHCPKPSPRCLAPLPIGYKVPVHWPKSRDMIWYSNVPHPKLVEYKKEQNWVRKSGDYFVFPGGGTQFKNGVQSYIDFIHKTLPAIKWGKNIRVILDVGCGVASFGGYLLDKDVITMSFAPKDEHESQIQFALERGIPAILSVIGTQKLTFPDNAYDLIHCARCRVHWDGDGGKPLLELNRVLKPGGYFIWSATPVYRDDERDSKVWKSMVALTTSLCWKIVAKTVDSTGVGLVIYQKPSSYSCYKQRKEKLPPLCEQKTNQNVSWYEPLSYCISRLPVDRMGNLLRWSTPWPQRLSSKPPSLPSEPDAEDIFIEDTKHWAALVSEVYLDGLAISLGRIRNVMDMNAGYGGFAAALIDQPLWVMNVVPIDAQDTLSIIFERGLIGVYHDWCESFNTYPRTYDLLHASFLFKNLKERCDIIDLAVEMDRILRPGGYLLVQDTMENIKKLNPVLRSLHWSTTLYQGQFLVGEKGFWRPSENDNRKET >KJB68320 pep chromosome:Graimondii2_0_v6:10:60885969:60892624:-1 gene:B456_010G239200 transcript:KJB68320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVIKIKTLFSLTNIIPLCTKMAKPIGSTPIFSLFVMFSLLYSGSSQTIPNERKTWCIANPLASNSALAANIEYICSQLDCGSINPKGPCFEPNSRMHHASFAMNLYYQANGRHLADCNFINSGLVSLIDPSYGNCSFHSGGGLADEEPSETWCVAKPGTSDELLQLNINFACNLVDCNATHSGGVCYYPATLINHASYAMNLYYQITGRKKSNCNFRETSLIVSSDPSYGNCSYPCFTVQ >KJB64883 pep chromosome:Graimondii2_0_v6:10:9136640:9140250:-1 gene:B456_010G069900 transcript:KJB64883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQDLRHSSAPYSRLSPNPNHQNVVVLPVYYPRHNDNYRCLRHCLAFTSVILLLSAALFLLYPSDPTLELARLQLNHVGVNTSPKLTLDLSFSLTIRVRNRDFFSLDYDKLVVSVGYRGRELGLVSSEGGRVRARGSSYVNATLDLDGFEVVHDVIYLLSDWAKGVIPFDTNTKVDGDLGLFLFKIPLKAKVSCEVYMDRNNQSIVRQDCYAE >KJB64884 pep chromosome:Graimondii2_0_v6:10:9136814:9140236:-1 gene:B456_010G069900 transcript:KJB64884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQDLRHSSAPYSRLSPNPNHQNVVVLPVYYPRHNDNYRCLRHCLAFTSVILLLSAALFLLYPSDPTLELARLQLNHVGVNTSPKLTLDLSFSLTIRVRNRDFFSLDYDKLVVSVGYRGRELGLVSSEGGRVRARGSSYVNATLDLDGFEVVHDVIYLLSDWAKGVIPFDTNTKVDGDLGLFLFKIPLKMLSASTTFDFECMMFQAKVSCEVYMDRNNQSIVRQDCYAE >KJB68518 pep chromosome:Graimondii2_0_v6:10:61616080:61619992:-1 gene:B456_010G248000 transcript:KJB68518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQDSKLITLSYQTKNIDIHETSDDEVNDSPIEQVRLTVPIVDDPTLPCLTFRTWVLGITSCAVLSFLNQFFHYRQNSLHISSLTAQILVLPLGNLMATYLPNRTLVLFPSTKWSFSLNPGPFNIKEHVLITIFANSGSTNVYAVNIITIVKAFYHGQIHPFAALLLAHTTQMLGYGWAGIYRKFLVDSPYMWWPNNLVQVSLFRALHDDEVRQKGGLTRLQFFLMVFISSLAYYIVPNYLFPSITALSLVCWIWKDSVTAQIIGAGRDGLGVGSFALDWSTVASFLQSPLATPAFAIINIMLGFVIVVYILTPVAYWTNSYEARRFPIISSHVFTDDGEKYDVSRILNFTTYEFNQRGYDGYSKINLSVFFAYSYGLSFATLVATVSHVVFFHGSTIWQQTKSTFRDKFSDVHYRIMKKSYEAVPQWWFYMLSSIVVGLAVLISQGFGKQFQLPYWGVLLAIGLALFFTLPVGVIMATTNQQLGLNIIAELIIGYIYPGKPLANVVFKTYGYISAAQAVMFLQDFKLGHYMKVPPKSMFVVQLVGTVVATSVYFGTAWWLLTTVEHICDPSKLTKGSPWTCPGDDIFYNASIIWGVVGPVRMFGRLGLYSKMNYFFLIGIVAPLPVWILSRMFPEKKWIKLVHMPLIIGGAGALPAARVVNYWCWGSVGVLFNVFVYRRYKGWWARHNYILSAGLDAGVAFMAILCYFTLQMWNVNGPKWWGAQLDDHCPLASCPTAPGIQVEGCPVFQ >KJB68492 pep chromosome:Graimondii2_0_v6:10:61571713:61575172:-1 gene:B456_010G247200 transcript:KJB68492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGPKDGMSASYLLESPGTNNSTINLSTADLNEGYEVREALRAQMEVQSKLHLQLEAEKHLQIRQDAERKYMAMLERACKMLADHFIGSGAVTETDNLEFGCKMLRNYSVDPLGFYSSQSAEVENACDQEEEMPSGLHSQRGDGSTESCLTSQESPGGLTMEGSPVEGKKKMVNMEATTGSVIWGDTRVNPQGLTGYGM >KJB68489 pep chromosome:Graimondii2_0_v6:10:61571688:61575184:-1 gene:B456_010G247200 transcript:KJB68489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMYHPSSRLSSQEEMLNNLNRKAIGEPYLVLTSDPKPRLRWTADLHDRFVDAVTQLGGPNKATPKAIMRTMNVKGLTLFHLKSHLQKYRLGKQSGKDMGEGPKDGMSASYLLESPGTNNSTINLSTADLNEGYEVREALRAQMEVQSKLHLQLEAEKHLQIRQDAERKYMAMLERACKMLADHFIGSGAVTETDNLEFGCKMLRNYSVDPLGFYSSQSAEVENACDQEEEMPSGLHSQRGDGSTESCLTSQESPGGLTMEGSPVEGKKKMVNMEATTGSVIWGDTRVNPQGLTGYGM >KJB68495 pep chromosome:Graimondii2_0_v6:10:61571979:61572785:-1 gene:B456_010G247200 transcript:KJB68495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTCRGYEVREALRAQMEVQSKLHLQLEAEKHLQIRQDAERKYMAMLERACKMLADHFIGSGAVTETDNLEFGCKMLRNYSVDPLGFYSSQSAEVENACDQEEEMPSGLHSQRGDGSTESCLTSQESPGGLTMEGSPVEGKKKMVNMEATTGSVIWGDTRVNPQGLTGYGM >KJB68494 pep chromosome:Graimondii2_0_v6:10:61571979:61573031:-1 gene:B456_010G247200 transcript:KJB68494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIWVLIYKVICDKYLKLETEFSFSDFHKGMSASYLLESPGTNNSTINLSTADLNEGYEVREALRAQMEVQSKLHLQLEAEKHLQIRQDAERKYMAMLERACKMLADHFIGSGAVTETDNLEFGCKMLRNYSVDPLGFYSSQSAEVENACDQEEEMPSGLHSQRGDGSTESCLTSQESPGGLTMEGSPVEGKKKMVNMEATTGSVIWGDTRVNPQGLTGYGM >KJB68493 pep chromosome:Graimondii2_0_v6:10:61571713:61575172:-1 gene:B456_010G247200 transcript:KJB68493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMYHPSSRLSSQEEMLNNLNRKAIGEPYLVLTSDPKPRLRWTADLHDRFVDAVTQLGGPNKATPKAIMRTMNVKGLTLFHLKSHLQKYRLGKQSGKDMGEGPKDGMSASYLLESPGTNNSTINLSTADLNEGYEVREALRAQMEVQSKLHLQLEIRQDAERKYMAMLERACKMLADHFIGSGAVTETDNLEFGCKMLRNYSVDPLGFYSSQSAEVENACDQEEEMPSGLHSQRGDGSTESCLTSQESPGGLTMEGSPVEGKKKMVNMEATTGSVIWGDTRVNPQGLTGYGM >KJB68491 pep chromosome:Graimondii2_0_v6:10:61571979:61573216:-1 gene:B456_010G247200 transcript:KJB68491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMSASYLLESPGTNNSTINLSTADLNEGYEVREALRAQMEVQSKLHLQLEAEKHLQIRQDAERKYMAMLERACKMLADHFIGSGAVTETDNLEFGCKMLRNYSVDPLGFYSSQSAEVENACDQEEEMPSGLHSQRGDGSTESCLTSQESPGGLTMEGSPVEGKKKMVNMEATTGSVIWGDTRVNPQGLTGYGM >KJB68490 pep chromosome:Graimondii2_0_v6:10:61571979:61573031:-1 gene:B456_010G247200 transcript:KJB68490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIWVLIYKVICDKYLKLETEFSFSDFHKGMSASYLLESPGTNNSTINLSTADLNEGYEVREALRAQMEVQSKLHLQLEAEKHLQIRQDAERKYMAMLERACKMLADHFIGSGAVTETDNLEFGCKMLRNYSVDPLGFYSSQSAEVENACDQEEEMPSGLHSQRGDGSTESCLTSQESPGGLTMEGSPVEGKKKMVNMEATTGSVIWGDTRVNPQGLTGYGM >KJB68357 pep chromosome:Graimondii2_0_v6:10:60998770:61002428:1 gene:B456_010G240600 transcript:KJB68357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSNQNSGFDSRISSLSLTDDTVNRSSNSGFDNQGVNVYGEYDNGLSMPTNTMEDLNDEKEKEPRTSHQKMGKYFFYDSPLVEDTGVWIPVSVPPMLESDHEQWAKGFHSNGGYFPEGDMGWGEFLSEEKELTMWDVIVEMILAARGKVNALASGDIQRCGVSWLSSHLLEQAWQEMAQTLNEVNLGNVKEILDAEPPKWLADSAASSCMLCGVRFHPIMCSRHHCRFCGGIFCGECSKGRSLMPQKFRVTDPQRVCDVCCVRLESVQPYLMDQVSNAAQLPAHDLTDLSTLRSWVNFPWGQSMEHEIYKATNTIRGYITKVGTLKPEKSIPDSILRDAKGLAIISVMKVGVMVTYNIGTGLVIARREDDSWSPPSAISSFGLGWGAQAGGELTDFIIILRTNDAVKTFSGNAHLSIGAGVSAAVGIVGRTVEADVRAGDGGYAACYTYSCSKGAFVGCSLKGTVVTTRGRENARFYGSQSITATDILLGSMPRPPAAAILYRALSDLYNTLS >KJB68359 pep chromosome:Graimondii2_0_v6:10:60998781:61002265:1 gene:B456_010G240600 transcript:KJB68359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSNQNSGFDSRISSLSLTDDTVNRSSNSGFDNQGVNVYGEYDNGLSMPTNTMEDLNDEKEKEPRTSHQKMGKYFFYDSPLVEDTGVWIPVSVPPMLESDHEQWAKGFHSNGGYFPEGDMGWGEFLSEEKELTMWDVIVEMILAARGKVNALASGDIQRCGVSWLSSHLLEQAWQEMAQTLNEVNLGNVKEILDAEPPKWLADSAASSCMLCGVRFHPIMCSRHHCRFCGGIFCGECSKGRSLMPQKFRVTDPQRVCDVCCVRLESVQPYLMDQVSNAAQLPAHDLTDLSTLRSWVNFPWGQSMEHEIYKATNTIRGYITKVGTLKPEKSIPDSILRDAKGLAIISVMKVGVMVTYNIGTGLVIARREDDSWSPPSAISSFGLGWGAQAGGELTDFIIILRTNDAVKTFSGNAHLSIGAGVSAAVGIVGRTVEADVRAGDGGYAACYTYSCSKGLS >KJB68360 pep chromosome:Graimondii2_0_v6:10:60998781:61002265:1 gene:B456_010G240600 transcript:KJB68360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSNQNSGFDSRISSLSLTDDTVNRSSNSGFDNQGVNVYGEYDNGLSMPTNTMEDLNDEKEKEPRTSHQKMGKYFFYDSPLVEDTGVWIPVSVPPMLESDHEQWAKGFHSNGGYFPEGDMGWGEFLSEEKELTMWDVIVEMILAARGKVNALASGDIQRCGVSWLSSHLLEQAWQEMAQTLNEVNLGNVKEILDAEPPKWLADSAASSCMLCGVRFHPIMCSRHHCRFCGGIFCGECSKGRSLMPQKFRVTDPQRVCDVCCVRLESVQPYLMDQVSNAAQLPAHDLTDLSTLRSWVNFPWGQSMEHEIYKATNTIRGYITKVGTLKPEKSIPDSILRDAKGLAIISVMKVGVMVTYNIGTGLVIARREDDSWSPPSAISSFGLGWGAQAGGELTDFIIILRTNDAVKTFSGNAHLSIGAGVSAAVGIVGRTVEADVRAGDGGYAACYTYSCSKVEGAKFKLTLSTRTFIILLSTVDLNSFNLARLSKVQDQ >KJB68358 pep chromosome:Graimondii2_0_v6:10:60998781:61001427:1 gene:B456_010G240600 transcript:KJB68358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSNQNSGFDSRISSLSLTDDTVNRSSNSGFDNQGVNVYGEYDNGLSMPTNTMEDLNDEKEKEPRTSHQKMGKYFFYDSPLVEDTGVWIPVSVPPMLESDHEQWAKGFHSNGGYFPEGDMGWGEFLSEEKELTMWDVIVEMILAARGKVNALASGDIQRCGVSWLSSHLLEQAWQEMAQTLNEVNLGNVKEILDAEPPKWLADSAASSCMLCGVRFHPIMCSRHHCRFCGGIFCGECSKGRSLMPQKFRVTDPQRVCDVCCVRLESVQPYLMDQVSNAAQLPAHDLTDLSTLRSWVNFPWGQSMEHEIYKATNTIRGYITKVGTLKPEKSIPDSILRDAKGLAIISVMKVGVMVTYNIGTGLVIARREDDSWSPPSAISSFGLGWGAQAGGELTDFIIILRTNDAVKTFSGNAHLSIGAGVSAAVGIVGRTVEADVRAGDGGYAACYTYSCSKGIVSSSPFKHNRNWFGCIFVLVIF >KJB67083 pep chromosome:Graimondii2_0_v6:10:50658237:50659145:1 gene:B456_010G173900 transcript:KJB67083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YMEGAPVNVNEFQELPRKALPKMYYDYYSGGAEDEYTLKQNVEAFQGITIRPRILVDVSKINLSTTVLGYKISAPILVAPSAKHKWAHPEGEVATARAAAACDTIMILSYRSTFTIEEVDLSCNAVRFFQCYEYKRRDIYANLVQRAERCGYKAIFLMIDSPRLGRKEKDIKNKSHTNSLFIHFVSF >KJB66931 pep chromosome:Graimondii2_0_v6:10:48202371:48208400:1 gene:B456_010G166700 transcript:KJB66931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEPSLTRWSFLDFKLFYDAKFGRKRLPEPQNGQTADELDSNGTNSNVTSKENHPVRNTSDMAIYEQYRNQGTHSVHSNGVVSNGFDSRPQRSLLPAFESAEMRALGESLSRDIVRGNPDVKWESIKGLEDAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKLIKVLFDLARHHAPSTIFLDEIDAIISQRGGEGRSEHEASRRLKTELLIQMDGLTRSDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARKAMFEELLPQQHGEEALPYDILVERSEGYSGSDIRLLCKEAAMQPLRRLMAVLEDNQEVVPEDELPNVGPVTSDDIETALRNTRPSAHLHAHRYEKFNGDYGSQILR >KJB66930 pep chromosome:Graimondii2_0_v6:10:48202306:48208422:1 gene:B456_010G166700 transcript:KJB66930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEPSLTRWSFLFGRKRLPEPQNGQTADELDSNGTNSNVTSKENHPVRNTSDMAIYEQYRNQGTHSVHSNGVVSNGFDSRPQRSLLPAFESAEMRALGESLSRDIVRGNPDVKWESIKGLEDAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKLIKVLFDLARHHAPSTIFLDEIDAIISQRGGEGRSEHEASRRLKTELLIQMDGLTRSDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARKAMFEELLPQQHGEEALPYDILVERSEGYSGSDIRLLCKEAAMQPLRRLMAVLEDNQEVVPEDELPNVGPVTSDDIETALRNTRPSAHLHAHRYEKFNGDYGSQILR >KJB66932 pep chromosome:Graimondii2_0_v6:10:48202492:48206898:1 gene:B456_010G166700 transcript:KJB66932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEPSLTRWSFLDFKLFYDAKFGRKRLPEPQNGQTADELDSNGTNSNVTSKENHPVRNTSDMAIYEQYRNQGTHSVHSNGVVSNGFDSRPQRSLLPAFESAEMRALGESLSRDIVRGNPDVKWESIKGLEDAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKLIKVLFDLARHHAPSTIFLDEIDAIISQRGGEGRSEHEASRRLKTELLIQMDGLTRSDELVFVLAATNLPWELDAAMLRRLEKRVSRHLNLP >KJB68210 pep chromosome:Graimondii2_0_v6:10:60326034:60326228:-1 gene:B456_010G232000 transcript:KJB68210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPTVVLRAFLVGGVAIFAKVGGAMKAAGSAKLGAAAAAMTMAASAAMSKQDQNVVTKLPSK >KJB66749 pep chromosome:Graimondii2_0_v6:10:43235207:43236749:-1 gene:B456_010G155900 transcript:KJB66749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPREKTAAVKGTGNNNEVHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDAAAREFRGAKAKTNFPVPCENLSKGNNCKSNGNNNQHSPSQSSTVESSSREPALMVDSSPLDLNLVQGEAVTGYVPTAVRFPFQQASPVPYIAGRKVFYFEPFVRPGAVKGHPFQRFGFDHHDLHATFNGVQSDSDSSSVVDLNHHEVKSRPLLNIDLNQPAVPEIA >KJB63587 pep chromosome:Graimondii2_0_v6:10:441311:445367:-1 gene:B456_010G007300 transcript:KJB63587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METISVPKFIFFFITAMFMSFNLIHCTITYDKKSIVINGQRRILISGSIHYPRSTPDMWESLIKKAKNGGLDVIDTYVFWNGHEPSPGNYNFEGRYDLVRFIKTVQKMGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVQMMKNEKLFASHGGPIILSQIENEYGPESKALGAAGHGYVNWAAKMAVGLDTGVPWVMCKEDDAPDPVINTCNGFYCDAFSPNKPYKPMLWTEAWSGWFTEFGGPIHRRPVQDLAFAVARFIQKGGAFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHKAIKLCEHALVSSDPTVTSLGTFQQAHVFSSKGSCAAFLSNYDMKSAASVIFNNRHYKLPSWSISILPDCRNAVFNTAKVRVKTSHTKMSPTNSKMFSWETYDEDISSLGESSRITARGLLEQMNVTRDTSDYLWYTTSIDISPSESFLQGGKKPTLNVDSAGHALHVFINGQFSAFGTRKDRGFTFTGPVNLHAGANHIALVSVAVGLPNVGLHFETWKTGIVGVYLNGLDKGKKDLSSHKWSYQVGLKGEAMHLASPEEVSSVEWIQGSLATQSRQSMTWYKAYFDAPTGNEPLALDMRSMGKGQVWINGQSVGRYWMAYGNGECGKCSYSGTYRPTKCQSGCGHPTQRWYHVPRSWLKPKQNLLVVFEELGGDASKISLVRRSVLNRHHHNK >KJB63588 pep chromosome:Graimondii2_0_v6:10:441967:445535:-1 gene:B456_010G007300 transcript:KJB63588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METISVPKFIFFFITAMFMSFNLIHCTITYDKKSIVINGQRRILISGSIHYPRSTPDMWESLIKKAKNGGLDVIDTYVFWNGHEPSPGNYNFEGRYDLVRFIKTVQKMGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVQMMKNEKLFASHGGPIILSQIENEYGPESKALGAAGHGYVNWAAKMAVGLDTGVPWVMCKEDDAPDPVINTCNGFYCDAFSPNKPYKPMLWTEAWSGWFTEFGGPIHRRPVQDLAFAVARFIQKGGAFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHKAIKLCEHALVSSDPTVTSLGTFQQAHVFSSKGSCAAFLSNYDMKSAASVIFNNRHYKLPSWSISILPDCRNAVFNTAKVRVKTSHTKMSPTNSKMFSWETYDEDISSLGESSRITARGLLEQMNVTRDTSDYLWYTTSIDISPSESFLQGGKKPTLNVDSAGHALHVFINGQFSGSAFGTRKDRGFTFTGPVNLHAGANHIALVSVAVGLPNVGLHFETWKTGIVGVYLNGLDKGKKDLSSHKWSYQV >KJB63585 pep chromosome:Graimondii2_0_v6:10:441069:445616:-1 gene:B456_010G007300 transcript:KJB63585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METISVPKFIFFFITAMFMSFNLIHCTITYDKKSIVINGQRRILISGSIHYPRSTPDMWESLIKKAKNGGLDVIDTYVFWNGHEPSPGNYNFEGRYDLVRFIKTVQKMGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVQMMKNEKLFASHGGPIILSQIENEYGPESKALGAAGHGYVNWAAKMAVGLDTGVPWVMCKEDDAPDPVINTCNGFYCDAFSPNKPYKPMLWTEAWSGWFTEFGGPIHRRPVQDLAFAVARFIQKGGAFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHKAIKLCEHALVSSDPTVTSLGTFQQAHVFSSKGSCAAFLSNYDMKSAASVIFNNRHYKLPSWSISILPDCRNAVFNTAKVRVKTSHTKMSPTNSKMFSWETYDEDISSLGESSRITARGLLEQMNVTRDTSDYLWYTTSIDISPSESFLQGGKKPTLNVDSAGHALHVFINGQFSGSAFGTRKDRGFTFTGPVNLHAGANHIALVSVAVGLPNVGLHFETWKTGIVGVYLNGLDKGKKDLSSHKWSYQVGLKGEAMHLASPEEVSSVEWIQGSLATQSRQSMTWYKAYFDAPTGNEPLALDMRSMGKGQVWINGQSVGRYWMAYGNGECGKCSYSGTYRPTKCQSGCGHPTQRWYHVPRSWLKPKQNLLVVFEELGGDASKISLVRRSVLNRHHHNK >KJB63586 pep chromosome:Graimondii2_0_v6:10:441070:445535:-1 gene:B456_010G007300 transcript:KJB63586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METISVPKFIFFFITAMFMSFNLIHCTITYDKKSIVINGQRRILISGSIHYPRSTPDMWESLIKKAKNGGLDVIDTYVFWNGHEPSPGNYNFEGRYDLVRFIKTVQKMGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVQMMKNEKLFASHGGPIILSQIENEYGPESKALGAAGHGYVNWAAKMAVGLDTGVPWVMCKEDDAPDPVINTCNGFYCDAFSPNKPYKPMLWTEAWSGWFTEFGGPIHRRPVQDLAFAVARFIQKGGAFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHKAIKLCEHALVSSDPTNVGLHFETWKTGIVGVYLNGLDKGKKDLSSHKWSYQVGLKGEAMHLASPEEVSSVEWIQGSLATQSRQSMTWYKAYFDAPTGNEPLALDMRSMGKGQVWINGQSVGRYWMAYGNGECGKCSYSGTYRPTKCQSGCGHPTQRWYHVPRSWLKPKQNLLVVFEELGGDASKISLVRRSVLNRHHHNK >KJB66065 pep chromosome:Graimondii2_0_v6:10:26736040:26741082:1 gene:B456_010G126400 transcript:KJB66065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASEVVSIIKTHRITHVRLYDADSHMLKALADSGIEVTVGVTNEEVLGIGESASAAAAWVNKNVASYVPSTNITAIAVGSEVLTSIPHAAPILVTAMNNLHKALVASNLNFQVKVSSPQPLDIIPEPFPPCTAAFNSSPNSTVYQLLQFLNNTNSYFMLNAYPYYGYTNGNGIFPIDYALFKPLPSVKQIVDPNTLFHYNSMFDVMVDATYYSMDALNFSGIPIVVTETGWPWQGGPNEPDATVENAGTFINYLIRRVSNDSGPPSRPNIPINTYIYELFNEDKRPGPVSEKNWGVLYTNGTAVFPLSFSGSSQIMGNATTVFCVAKDDASEGKLQDGLNWACGQGQANCSVIQSGQPCYLPNNTKNHASYAYNDYYQRMHTVGATCDFGGTATITSNDPSYRSCIFTGSSNSSAREGLLPPGAFGPVSPLGESMKLLVSKVRYVISAACLLIILL >KJB66067 pep chromosome:Graimondii2_0_v6:10:26736399:26741082:1 gene:B456_010G126400 transcript:KJB66067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDRCFGGSLLVLIGMFTNVLGAFIGINIGTDVSSMPSASEVVSIIKTHRITHVRLYDADSHMLKALADSGIEVTVGVTNEEVLGIGESASAAAAWVNKNVASYVPSTNITAIAVGSEVLTSIPHAAPILVTAMNNLHKALVASNLNFQVKVSSPQPLDIIPEPFPPCTAAFNSSPNSTVYQLLQFLNNTNSYFMLNAYPYYGYTNGNGIFPIDYALFKPLPSVKQIVDPNTLFHYNSMFDVMVDATYYSMDALNFSGIPIVVTETGWPWQGGPNEPDATVENAGTFINYLIRRVSNDSGPPSRPNIPINTYIYELFNEDKRPGPVSEKNWGVLYTNGTAVFPLSFSGSSQIMGNATTVFCVAKDDASEGKLQDGLNWACGQGQANCSVIQSGQPCYLPNNTKNHASYAYNDYYQRMHTVGATCDFGGTATITSNDPSYRSCIFTGSSNSSAREGLLPPGAFGPVSPLGESMKLLVSKVRYVISAACLLIILL >KJB66066 pep chromosome:Graimondii2_0_v6:10:26736040:26741082:1 gene:B456_010G126400 transcript:KJB66066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDRCFGGSLLVLIGMFTNVLGAFIGINIGTDVSSMPSASEVVSIIKTHRITHVRLYDADSHMLKALADSGIEVTVGVTNEEVLGIGESASAAAAWVNKNVASYVPSTNITAIAVGSEVLTSIPHAAPILVTAMNNLHKALVASNLNFQVKVSSPQPLDIIPEPFPPCTAAFNSSPNSTVYQLLQFLNNTNSYFMLNAYPYYGYTNGNGIFPIDYALFKPLPSVKQIVDPNTLFHYNSMFDVMVDATYYSMDALNFSGIPIVVTETGWPWQGGPNEPDATVENAGTFINYLIRRVSNDSGPPSRPNIPINTYIYELFNEDKRPGPVSEKNWGVLYTNGTAVFPLSFSGSSQIMGNATTVFCVAKDDASEGKLQDGLNWACGQGQANCSVIQSGQPCYLPNNTKNHASYAYNDYYQRMHTVGATCDFGGTATITSNDPSYRSCIFTGSSNSSAREGLLPPGAFGPVSPLGESMKLLVSKVRYVISAACLLIILL >KJB66068 pep chromosome:Graimondii2_0_v6:10:26736100:26741082:1 gene:B456_010G126400 transcript:KJB66068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASEVVSIIKTHRITHVRLYDADSHMLKALADSGIEVTVGVTNEEVLGIGESASAAAAWVNKNVASYVPSTNITAIAVGSEVLTSIPHAAPILVTAMNNLHKALVASNLNFQVKVSSPQPLDIIPEPFPPCTAAFNSSPNSTVYQLLQFLNNTNSYFMLNAYPYYGYTNGNGIFPIDYALFKPLPSVKQIVDPNTLFHYNSMFDVMVDATYYSMDALNFSGIPIVVTETGWPWQGGPNEPDATVENAGTFINYLIRRVSNDSGPPSRPNIPINTYIYELFNEDKRPGPVSEKNWGVLYTNGTAVFPLSFSGSSQIMGNATTVFCVAKDDASEGKLQDGLNWACGQGQANCSVIQSGQPCYLPNNTKNHASYAYNDYYQRMHTVGATCDFGGTATITSNDPSYRSCIFTGSSNSSAREGLLPPGAFGPVSPLGESMKLLVSKVRYVISAACLLIILL >KJB66069 pep chromosome:Graimondii2_0_v6:10:26736040:26741082:1 gene:B456_010G126400 transcript:KJB66069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDRCFGGSLLVLIGMFTNVLGAFIGINIGTDVSSMPSASEVVSIIKTHRITHVRLYDADSHMLKALADSGIEVTVGVTNEEVLGIGESASAAAAWVNKNVASYVPSTNITAIAVGSEVLTSIPHAAPILVTAMNNLHKALVASNLNFQVKVSSPQPLDIIPEPFPPCTAAFNSSPNSTVYQLLQFLNNTNSYFMLNAYPYYGYTNGNGIFPIDYALFKPLPSVKQIVDPNTLFHYNSMFDVMVDATYYSMDALNFSGIPIVVTETGWPWQGGPNEPDATVENAGTFINYLIRRVSNDSGPPSRPNIPINTYIYELFNEDKRPGPVSEKNWGVLYTNGTAVFPLSFSGSSQIMGNATTVFCVAKDDASEGKLQDGLNWACGQGQANCSVIQSGQPCYLPNNTKNHASYAYNDYYQRMHTVGATCDFGGTATITSNDPSYRSCIFTGSSNSSAREGLLPPGAFGPVSPLGESMKLLVSKVRYVISAACLLIILL >KJB64391 pep chromosome:Graimondii2_0_v6:10:5092059:5094633:1 gene:B456_010G047100 transcript:KJB64391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGELWAIFGPGVAGAVFGTGWWFWVDAVVCSSVNVSFVHYLPAAVMFNCVKKDDIDYSPYDDGEWRLKLWLFVAYVVSFVSLAASVGLLIQDSLVKSGPSVWTGTAGVLQCVFVLISGLIYWTSHSE >KJB64390 pep chromosome:Graimondii2_0_v6:10:5091979:5094749:1 gene:B456_010G047100 transcript:KJB64390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGELWAIFGPGVAGAVFGTGWWFWVDAVVCSSVNVSFVHYLPGIFASLAAVMFNCVKKDDIDYSPYDDGEWRLKLWLFVAYVVSFVSLAASVGLLIQDSLVKSGPSVWTGTAGVLQCVFVLISGLIYWTSHSE >KJB64392 pep chromosome:Graimondii2_0_v6:10:5092172:5094079:1 gene:B456_010G047100 transcript:KJB64392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGELWAIFGPGVAGAVFGTGWWFWVDAVVCSSVNVSFVHYLPGIFASLAAVMFNCVKKDDIDYSPYDDGEWRLKLWLFVAYVVSFVSLAASVGLLIQDSLVKSGPSVWTGTAGVLQCVFVLIR >KJB64389 pep chromosome:Graimondii2_0_v6:10:5092059:5094633:1 gene:B456_010G047100 transcript:KJB64389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGELWAIFGPGVAGAVFGTGWWFWVDAVVCSSVNVSFVHYLPGIFASLAAVMFNCVKKDDIDYSPYDDGEWRLKLWLFVAYVVSFVSLAASVGLLIQDSLVKSGPSVWTGTAGVLQCVFVLISGLIYWTSHSE >KJB66431 pep chromosome:Graimondii2_0_v6:10:33494050:33498338:1 gene:B456_010G139700 transcript:KJB66431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGKAKSAKFEIEENESGPLDSKPLMVLSSSDDEEANEDLSLKIVEKALLVKATRFSEGYHGVSDDPGVVSVVGLASSSRGGSDVAGTSGGREEADLDLESKKIVKRKKKKTKTEKVTVAEDRNKAEMIEKVETVEEIIMAKDVETVESLDSNTLDKSDNIVLRKLLRGARYFDPPDSGWETCYNCGEEGHMAVNCKSASKRKKPCFLCGSLDHGARQCSKTQDCFICKKSGHRAKDCPDKHNSGSKHGRFCLRCGGSGHDMFSCRNDYSHDDIKEIQCYVCKSFGHLCCVNSVDTNAREVSCYRCGQVGHTGLSCGRSRGETKETTDNGSPSVCYKCGEGGHVARECITSIPSSSLCYKCRGGGHFARECSSAKVGKRNREPFTPSERPRRENREFLGYKSAPHDHGKVHKRKKIKSEEKGFCTPRKEKQRGGWIIEDAGDFSNRKYTRNHWNSPSTPSTEGRKKSSGRILGSQSSKPKNSHRYSASRFSSFGNDEPRTYNWW >KJB67454 pep chromosome:Graimondii2_0_v6:10:54782624:54786708:1 gene:B456_010G191400 transcript:KJB67454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRIVFSRIQNLEPENASKIMGLLLIQDHGEKEMIRLAFGPEASLQSVILKAKKELGLPSNSPSTPSTPSSPSPFLANNPNPVNIPRQSSAASRLLPNGGINLPSSLTIPTNLSTSSGTSSWSPLSELPNPDELISPSSGSLNPSSLPFYGNGGATDMVDEFQLQDQLAFLNESSPQLNPKNHDFFYPQPADLSSSSATAAACGSTDAMGFPSYWGTSFHRRSSSVSDIVGAEDPASGFGWRPCLYFARGYCKNGNNCRFIHCGLGESGSVIGGADGATMVGSPNKIELDQCHELLRSKSAQQQRLAAASQLMGSASFPYSPKGMNSFLQQQQNDTQRAAAAAALMMGDDMNKFNRSRLERNGFSMNGEAGMVNPASRQIYLTFPADSTFREEDVSNYFSTYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGSRMFYNTQDLLWRRKLEEQADLQQALELQNRRLMGLQLLNVKKHHHHRALSSGSPIPSPTHSPNPFSQSLVLPQFHNSQEAPQENSSNPVLVVSATASEKQAASTTAVAKESASVEENGTSKESPNCEDANLPESLEHNLPDNPFASPTKASGEFLSAFSNAEADRDVSVSASSVNNNWVSSTLLPANNALEIASFNSFNCQMPRFSSGHGTIGMYAGTGGPTCPVGI >KJB67459 pep chromosome:Graimondii2_0_v6:10:54782692:54786708:1 gene:B456_010G191400 transcript:KJB67459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRIVFSRIQNLEPENASKIMGLLLIQDHGEKEMIRLAFGPEASLQSVILKAKKELGLPSNSPSTPSTPSSPSPFLANNPNPVNIPRQSSAASRLLPNGGINLPSSLTIPTNLSTSSGTSSWSPLSELPNPDELISPSSGSLNPSSLPFYGNGGATDMVDEFQLQDQLAFLNESSPQLNPKNHDFFYPQPADLSSSSATAAACGSTDAMGFPSYWGTSFHRRSSSVSDIVGAEDPASGFGWRPCLYFARGYCKNGNNCRFIHCGLGESGSVIGGADGATMVGSPNKIELDQCHELLRSKSAQQQRLAAASQLMGSASFPYSPKGMNSFLQQQQNDTQRAAAAAALMMGDDMNKFNRSRLERNGFSMNGEAGMVNPASRQIYLTFPADSTFREEDVSNYFSTYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGSRMFYNTQDLLWRRKLEEQADLQQALELQNRRLMGLQLLNVKKHHHHRALSSGSPIPSPTHSPNPFSQSLVLPQFHNSQEAPQVWSTTFLIILSHLLPKHPGNSCLHSPMLKQIGMFQSRLLLSTITGSLQLYFLQIML >KJB67452 pep chromosome:Graimondii2_0_v6:10:54782692:54786708:1 gene:B456_010G191400 transcript:KJB67452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRIVFSRIQNLEPENASKIMGLLLIQDHGEKEMIRLAFGPEASLQSVILKAKKELGLPSNSPSTPSTPSSPSPFLANNPNPVNIPRQSSAASRLLPNGGINLPSSLTIPTNLSTSSGTSSWSPLSELPNPDELISPSSGSLNPSSLPFYGNGGATDMVDEFQLQDQLAFLNESSPQLNPKNHDFFYPQPADLSSSSATAAACGSTDAMGFPSYWGTSFHRRSSSVSDIVGAEDPASGFGWRPCLYFARGYCKNGNNCRFIHCGLGESGSVIGGADGATMVGSPNKIELDQCHELLRSKSAQQQRLAAASQLMGSASFPYSPKGMNSFLQQQQNDTQRAAAAAALMMGDDMNKFNRSRLERNGFSMNGEAGMVNPASRQIYLTFPADSTFREEDVSNYFSTYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGSRMFYNTQDLLWRRKLEEQADLQQALELQNRRLMGLQLLNVKKHHHHRALSSGSPIPSPTHSPNPFSQSLVLPQFHNSQEAPQENSSNPVLVVSATASEKQAASTTAVAKESASVEENGTSKESPNCEDANLPESLEHNLPDNPFASPTKASGEFLSAFSNAEADRDVSVSASSVNNNWVSSTLLPANNALEIASFNSFNCQMPRFSSGHGTIGMYAGTGGPTCPVGI >KJB67455 pep chromosome:Graimondii2_0_v6:10:54782939:54785438:1 gene:B456_010G191400 transcript:KJB67455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRIVFSRIQNLEPENASKIMGLLLIQDHGEKEMIRLAFGPEASLQSVILKAKKELGLPSNSPSTPSTPSSPSPFLANNPNPVNIPRQSSAASRLLPNGGINLPSSLTIPTNLSTSSGTSSWSPLSELPNPDELISPSSGSLNPSSLPFYGNGGATDMVDEFQLQDQLAFLNESSPQLNPKNHDFFYPQPADLSSSSATAAACGSTDAMGFPSYWGTSFHRRSSSVSDIVGAEDPASGFGWRPCLYFARGYCKNGNNCRFIHCGLGESGSVIGGADGATMVGSPNKIELDQCHELLRSKSAQQQRLAAASQLMGSASFPYSPKGMNSFLQQQQNDTQRAAAAAALMMGDDMNKFNRSRLERNGFSMNGEAGMVNPASRQIYLTFPADSTFREEDVSNYFSTYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGSRMFYNTQDLLWRRKLEEQADLQQALELQNRRLMGLQLLNVKKHHHHRALSSGSPIPSPTHSPNPFSQSLVLPQFHNSQEAPQENSSNPVLVVSATASEKQAASTTAVAKESASVEENGTSKESPNCEDANLPERYIRNGTLSFQIEIRTKSMLVNRILLLKFAFGIYLVHFYDIYGLISSLGVIE >KJB67457 pep chromosome:Graimondii2_0_v6:10:54782692:54786708:1 gene:B456_010G191400 transcript:KJB67457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRIVFSRIQNLEPENASKIMGLLLIQDHGEKEMIRLAFGPEASLQSVILKAKKELGLPSNSPSTPSTPSSPSPFLANNPNPVNIPRQSSAASRLLPNGGINLPSSLTIPTNLSTSSGTSSWSPLSELPNPDELISPSSGSLNPSSLPFYGNGGATDMVDEFQLQDQLAFLNESSPQLNPKNHDFFYPQPADLSSSSATAAACGSTDAMGFPSYWGTSFHRRSSSVSDIVGAEDPASGFGWRPCLYFARGYCKNGNNCRFIHCGLGESGSVIGGADGATMVGSPNKIELDQCHELLRSKSAQQQRLAAASQLMGSASFPYSPKGMNSFLQQQQNDTQRAAAAAALMMGDDMNKFNRSRLERNGFSMNGEAGMVNPASRQIYLTFPADSTFREEDVSNYFSTYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGSRMFYNTQDLLWRRKLEEQADLQQALELQNRRLMGLQLLNVKKHHHHRALSSGSPIPSPTHSPNPFSQSLVLPQFHNSQEAPQENSSNPVLVVSATASEKQAASTTAVAKESASVEENGTSKESPNCEDANLPESLEHNLPDNPFASPTKASGEFLSAFSNAEADRDVSVSASSVNNNWVSSTLLPANNALEIASFNSFNCQMPRYFVFLNNMVISGISVFVT >KJB67451 pep chromosome:Graimondii2_0_v6:10:54781819:54786708:1 gene:B456_010G191400 transcript:KJB67451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRIVFSRIQNLEPENASKIMGLLLIQDHGEKEMIRLAFGPEASLQSVILKAKKELGLPSNSPSTPSTPSSPSPFLANNPNPVNIPRQSSAASRLLPNGGINLPSSLTIPTNLSTSSGTSSWSPLSELPNPDELISPSSGSLNPSSLPFYGNGGATDMVDEFQLQDQLAFLNESSPQLNPKNHDFFYPQPADLSSSSATAAACGSTDAMGFPSYWGTSFHRRSSSVSDIVGAEDPASGFGWRPCLYFARGYCKNGNNCRFIHCGLGESGSVIGGADGATMVGSPNKIELDQCHELLRSKSAQQQRLAAASQLMGSASFPYSPKGMNSFLQQQQNDTQRAAAAAALMMGDDMNKFNRSRLERNGFSMNGEAGMVNPASRQIYLTFPADSTFREEDVSNYFSTYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGSRMFYNTQDLLWRRKLEEQADLQQALELQNRRLMGLQLLNVKKHHHHRALSSGSPIPSPTHSPNPFSQSLVLPQFHNSQEAPQENSSNPVLVVSATASEKQAASTTAVAKESASVEENGTSKESPNCEDANLPESLEHNLPDNPFASPTKASGEFLSAFSNAEADRDVSVSASSVNNNWVSSTLLPANNALEIASFNSFNCQMPRFSSGHGTIGMYAGTGGPTCPVGI >KJB67453 pep chromosome:Graimondii2_0_v6:10:54782939:54785752:1 gene:B456_010G191400 transcript:KJB67453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRIVFSRIQNLEPENASKIMGLLLIQDHGEKEMIRLAFGPEASLQSVILKAKKELGLPSNSPSTPSTPSSPSPFLANNPNPVNIPRQSSAASRLLPNGGINLPSSLTIPTNLSTSSGTSSWSPLSELPNPDELISPSSGSLNPSSLPFYGNGGATDMVDEFQLQDQLAFLNESSPQLNPKNHDFFYPQPADLSSSSATAAACGSTDAMGFPSYWGTSFHRRSSSVSDIVGAEDPASGFGWRPCLYFARGYCKNGNNCRFIHCGLGESGSVIGGADGATMVGSPNKIELDQCHELLRSKSAQQQRLAAASQLMGSASFPYSPKGMNSFLQQQQNDTQRAAAAAALMMGDDMNKFNRSRLERNGFSMNGEAGMVNPASRQIYLTFPADSTFREEDVSNYFSTYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGSRMFYNTQDLLWRRKLEEQADLQQALELQNRRLMGLQLLNVKKHHHHRALSSGSPIPSPTHSPNPFSQSLVLPQFHNSQEAPQENSSNPVLVVSATASEKQAASTTAVAKESASVEENGTSKESPNCEDANLPESLEHNLPDNPFASPTKASGEFLSAFSNAEADRDVSVSASSVNNNWVSSTLLPANNALEIASFNSFNCQMPRYFVFLNNMVISGISVFVT >KJB67458 pep chromosome:Graimondii2_0_v6:10:54782624:54786708:1 gene:B456_010G191400 transcript:KJB67458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRIVFSRIQNLEPENASKIMGLLLIQDHGEKEMIRLAFGPEASLQSVILKAKKELGLPSNSPSTPSTPSSPSPFLANNPNPVNIPRQSSAASRLLPNGGINLPSSLTIPTNLSTSSGTSSWSPLSELPNPDELISPSSGSLNPSSLPFYGNGGATDMVDEFQLQDQLAFLNESSPQLNPKNHDFFYPQPADLSSSSATAAACGSTDAMGFPSYWGTSFHRRSSSVSDIVGAEDPASGFGWRPCLYFARGYCKNGNNCRFIHCGLGESGSVIGGADGATMVGSPNKIELDQCHELLRSKSAQQQRLAAASQLMGSASFPYSPKGMNSFLQQQQNDTQRAAAAAALMMGDDMNKFNRSRLERNGFSMNGEAGMVNPASRQIYLTFPADSTFREEDVSNYFSTYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGSRMFYNTQDLLWRRKLEEQADLQQALELQNRRLMGLQLLNVKKHHHHRALSSGSPIPSPTHSPNPFSQSLVLPQFHNSQEAPQENSSNPVLVVSATASEKQAASTTAVAKESASVEENGTSKESPNCEDANLPESLEHNLPDNPFASPTKASGEFLSAFSNAEADRDVSVSASSVNNNWVSSTLLPANNALEIASFNSFNCQMPRFSSGHGTIGMYAGTGGPTCPVGI >KJB67456 pep chromosome:Graimondii2_0_v6:10:54782692:54786708:1 gene:B456_010G191400 transcript:KJB67456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRIVFSRIQNLEPENASKIMGLLLIQDHGEKEMIRLAFGPEASLQSVILKAKKELGLPSNSPSTPSTPSSPSPFLANNPNPVNIPRQSSAASRLLPNGGINLPSSLTIPTNLSTSSGTSSWSPLSELPNPDELISPSSGSLNPSSLPFYGNGGATDMVDEFQLQDQLAFLNESSPQLNPKNHDFFYPQPADLSSSSATAAACGSTDAMGFPSYWGTSFHRRSSSVSDIVGAEDPASGFGWRPCLYFARGYCKNGNNCRFIHCGLGESGSVIGGADGATMVGSPNKIELDQCHELLRSKSAQQQRLAAASQLMGSASFPYSPKGMNSFLQQQQNDTQRAAAAAALMMGDDMNKFNRSRLERNGFSMNGEAGMVNPASRQIYLTFPADSTFREEDVSNYFSTYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGSRMFYNTQDLLWRRKLEEQADLQQALELQNRRLMGLQLLNVKKHHHHRALSSGSPIPSPTHSPNPFSQSLVLPQFHNSQEAPQENSSNPVLVVSATASEKQAASTTAVAKESASVEENGTSKESPNCEDANLPESLEHNLPDNPFASPTKASGEFLSAFSNAEADRDVSVSASSVNNNWVSSTLLPANNALEIASFNSFNCQMPRYFVFLNNMVISGISVFVT >KJB68048 pep chromosome:Graimondii2_0_v6:10:59487461:59492361:-1 gene:B456_010G2233001 transcript:KJB68048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVTLIMLARELESGFSIVGVSLPFPLGARFGFQYWVFMNGQAVTQCLQNFGSFLLIFPLIQLRQELHTEPYDEIDWSEKRHLCAKEDLHYPHSLPPILLWDSLYLFSEPLLNRWPFNKLRKKALKVAMDLIHYEDESSRYITIACVIKILCMLACWVEDPNGIYFKKHLARIADYFWVGEDGMKMQGIASQIWDASLLLQALIATNLYDEIGLTLMKGHNFLKNSQVRNNPSGDFKRMFRHISKGSWTYSNGDHGWQVSDCTAESLKCCVSFAMMAPEMVGEKMEEQQFYDAVNVLLSLQSKNGGYPAWEPAGGAFWWEWLNPVEFLEDLTKEYEYVECTSSSIQALANFKKLYPGHRKIEIENCIRKAAKFLEDVQYPDGS >KJB68047 pep chromosome:Graimondii2_0_v6:10:59487461:59492225:-1 gene:B456_010G2233001 transcript:KJB68047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIGEGGNDPYLYSTNNFLGRQTWEFDPNAGTAEERAEVEEARLNFYNNRYNVQPSSDLLWQMQFLKEKKMQQTIPQPKIEDGEEVTYEVRTAAVKRSVHLFSALQTSQYYIFCRASQRNFPFYLLSSERGWRVGIICRGHSTMLCTTLNYICLRLLGVGHDGDLNNACERARKWILDRGGVTAISSWGKIWLSILGVYEWSGCNPMPPEFWLFPSYFPINPARMLCYCRLTYLPMSYLYGRKFVGPITPLILQLRQELHTEPYDEIDWSEKRHLCAKEDLHYPHSLPPILLWDSLYLFSEPLLNRWPFNKLRKKALKVAMDLIHYEDESSRYITIACVIKILCMLACWVEDPNGIYFKKHLARIADYFWVGEDGMKMQGIASQIWDASLLLQALIATNLYDEIGLTLMKGHNFLKNSQVRNNPSGDFKRMFRHISKGSWTYSNGDHGWQVSDCTAESLKCCVSFAMMAPEMVGEKMEEQQFYDAVNVLLSLQSKNGGYPAWEPAGGAFWWEWLNPVEFLEDLTKEYEYVECTSSSIQALANFKKLYPGHRKIEIENCIRKAAKFLEDVQYPDGS >KJB68049 pep chromosome:Graimondii2_0_v6:10:59487461:59491517:-1 gene:B456_010G2233001 transcript:KJB68049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTTLNYICLRLLGVGHDGDLNNACERARKWILDRGGVTAISSWGKIWLSILGVYEWSGCNPMPPEFWLFPSYFPINPARMLCYCRLTYLPMSYLYGRKFVGPITPLILQLRQELHTEPYDEIDWSEKRHLCAKEDLHYPHSLPPILLWDSLYLFSEPLLNRWPFNKLRKKALKVAMDLIHYEDESSRYITIACVIKILCMLACWVEDPNGIYFKKHLARIADYFWVGEDGMKMQGIASQIWDASLLLQALIATNLYDEIGLTLMKGHNFLKNSQVRNNPSGDFKRMFRHISKGSWTYSNGDHGWQVSDCTAESLKCCVSFAMMAPEMVGEKMEEQQFYDAVNVLLSLQSKNGGYPAWEPAGGAFWWEWLNPVEFLEDLTKEYEYVECTSSSIQALANFKKLYPGHRKIEIENCIRKAAKFLEDVQYPDGS >KJB66479 pep chromosome:Graimondii2_0_v6:10:34836014:34847336:1 gene:B456_010G141300 transcript:KJB66479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQISEAIRDGAEGFFKTQYGTISKMAVLLALVILSIYLFRNTTPQQESSGLGRATSACITVAAFLLGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQHCKIEDPSGFILFPLVVHSFDLVVSSIGILSIRGTRDSNVKVPLEDPMTILQKGYSVTIILAVLTFFGSTRWLLYTEQAPSAWLNFSLCGLVGIITAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTAIPVLVISVSIISAFWLGHTSGLVDETGSPTGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVSSFAREPFKQVDIAIPEVFIGGLLGSMLIFLFSAWACAAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIVSPIVVGFLFRVLGHYTGHPLLGAKVVAALLMFATVSGILMALFLNTAGGAWDNAKKFIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >KJB66478 pep chromosome:Graimondii2_0_v6:10:34835764:34847411:1 gene:B456_010G141300 transcript:KJB66478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGDDVENGNLGPYLDRPRTFPNMRSKPYTPLIFRILRGINVRVLVILLFLGFGYVFYIGASTSPIIVFVFSVCIVSFLLSIYLTKWVLSKDEGPPEMAQISEAIRDGAEGFFKTQYGTISKMAVLLALVILSIYLFRNTTPQQESSGLGRATSACITVAAFLLGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQHCKIEDPSGFILFPLVVHSFDLVVSSIGILSIRGTRDSNVKVPLEDPMTILQKGYSVTIILAVLTFFGSTRWLLYTEQAPSAWLNFSLCGLVGIITAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTAIPVLVISVSIISAFWLGHTSGLVDETGSPTGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVSSFAREPFKQVDIAIPEVFIGGLLGSMLIFLFSAWACAAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIVSPIVVGFLFRVLGHYTGHPLLGAKVVAALLMFATVSGILMALFLNTAGGAWDNAKKFIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >KJB66482 pep chromosome:Graimondii2_0_v6:10:34836014:34847336:1 gene:B456_010G141300 transcript:KJB66482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGDDVENGNLGPYLDRPRTFPNMRSKPYTPLIFRILRGINVRVLVILLFLGFGYVFYIGASTSPIIVFVFSVCIVSFLLSIYLTKWVLSKDEGPPEMAQISEAIRDGAEGFFKTQYGTISKMAVLLALVILSIYLFRNTTPQQESSGLGRATSACITVAAFLLGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQHCKIEDPSGFILFPLVVHSFDLVVSSIGILSIRGTRDSNVKVPLEDPMTILQKGYSVTIILAVLTFFGSTRWLLYTEQAPSAWLNFSLCGLVGIITAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTAIPVLVISVSIISAFWLGHTSGLVDETGSPTGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVSSFAREPFKQVDIAIPEVFIGGLLGSMLIFLFSAWACAAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIVSPIVVGFLFRVLGHYTGHPLLGAKVVAALLMFATVSGILMALFLNTAGGAWDNAKKFIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >KJB66477 pep chromosome:Graimondii2_0_v6:10:34837052:34847336:1 gene:B456_010G141300 transcript:KJB66477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGDDVENGNLGPYLDRPRTFPNMRSKPYTPLIFRILRGINVRVLVILLFLGFGYVFYIGASTSPIIVFVFSVCIVSFLLSIYLTKWVLSKDEGPPEMAQISEAIRDGAEGFFKTQYGTISKMAVLLALVILSIYLFRNTTPQQESSGLGRATSACITVAAFLLGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQHCKIEDPSGFILFPLVVHSFDLVVSSIGILSIRGTRDSNVKVPLEDPMTILQKGYSVTIILAVLTFFGSTRWLLYTEQAPSAWLNFSLCGLVGIITAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTAIPVLVISVSIISAFWLGHTSGLVDETGSPTGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVSSFAREPFKQVDIAIPEVFIGGLLGSMLIFLFSAWACAAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIVSPIVVGFLFRVLGHYTGHPLLGAKVVAALLMFATVSGILMALFLNTAGGAWDNAKKFIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >KJB66483 pep chromosome:Graimondii2_0_v6:10:34841669:34847380:1 gene:B456_010G141300 transcript:KJB66483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGGTMAQHCKIEDPSGFILFPLVVHSFDLVVSSIGILSIRGTRDSNVKVPLEDPMTILQKGYSVTIILAVLTFFGSTRWLLYTEQAPSAWLNFSLCGLVGIITAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTAIPVLVISVSIISAFWLGHTSGLVDETGSPTGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVSSFAREPFKQVDIAIPEVFIGGLLGSMLIFLFSAWACAAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIVSPIVVGFLFRVLGHYTGHPLLGAKVVAALLMFATVSGILMALFLNTAGGAWDNAKKFIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >KJB66481 pep chromosome:Graimondii2_0_v6:10:34837052:34847411:1 gene:B456_010G141300 transcript:KJB66481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGDDVENGNLGPYLDRPRTFPNMRSKPYTPLIFRILRGINVRVLVILLFLGFGYVFYIGASTSPIIVFVFSVCIVSFLLSIYLTKWVLSKDEGPPEMAQISEAIRDGAEGFFKTQYGTISKMAVLLALVILSIYLFRNTTPQQESSGLGRATSACITVAAFLLGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQHCKIEDPSGFILFPLVVHSFDLVVSSIGILSIRGTRDSNVKVPLEDPMTILQKGYSVTIILAVLTFFGSTRWLLYTEQAPSAWLNFSLCGLVGIITAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTAIPVLVISVSIISAFWLGHTSGLVDETGSPTGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVSSFAREPFKQVDIAIPEVFIGGLLGSMLIFLFSAWACAAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIVSPIVVGFLFRVLGHYTGHPLLGAKVVAALLMFATVSGILMALFLNTAGGAWDNAKKFIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >KJB66480 pep chromosome:Graimondii2_0_v6:10:34837052:34845340:1 gene:B456_010G141300 transcript:KJB66480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGDDVENGNLGPYLDRPRTFPNMRSKPYTPLIFRILRGINVRVLVILLFLGFGYVFYIGASTSPIIVFVFSVCIVSFLLSIYLTKWVLSKDEGPPEMAQISEAIRDGAEGFFKTQYGTISKMAVLLALVILSIYLFRNTTPQQESSGLGRATSACITVAAFLLGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQHCKIEDPSGFILFPLVVHSFDLVVSSIGILSIRGTRDSNVKVPLEDPMTILQKGYSVTIILAVLTFFGSTRWLLYTEQAPSAWLNFSLCGLVGIITAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTAIPVLVISVSIISAFWLGHTSGLVDETGSPTGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQVLYG >KJB67042 pep chromosome:Graimondii2_0_v6:10:49833882:49836058:1 gene:B456_010G171300 transcript:KJB67042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDEIRRAYIKAGPYQPILSEYPAFNSKKNPRYFQASWFKQFSYNPSSRFRSTSFTHNGFNLMNYAQHIEVSLHRQTTQQILANRLRLKTSIDVVRWLSFQGCAFKGHDESSRSKNRGNFLELLLLLASYDEKVEDILKSALQNASYTSSTIQKEILQIHANRVRNVIREEIGDRKFSIIVDEARDESKKEQMTIILRFIDKQGQVKERFFDIVHVKDTASLTLKNVIFNVLLQHSFDIQNIRGQGYDGTSNMCGEFNGLQALILNDCRYAYHVHCFAHPTGTRINQIGTLQHPGETQWSSHLNSVTSLLKMYNATSTVLENLKNTTSNYSQRGNAHNAYNRLRSFELYLILHMMNEVLGIKILCQALQRRSQDILNAISLVLTTKYLIQKLRNDGWNELLKNVISFCETWELDFPDMNAQYIVGRSRNKKEDVIVEHHYQVDIFFATIDTQLQELKSRFNENFYLEDFSQQEKERLPYELKHYELDVCKHPYLRKILTLSKLCRSLVESGKSVMYPLVDRLIRLILTLPVSTASSEHTFSAMKIVKTRLRSKMEDDFLRSSLVVYIEKEIVEKFDINEIIFL >KJB65178 pep chromosome:Graimondii2_0_v6:10:12308984:12313611:-1 gene:B456_010G083200 transcript:KJB65178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRILLCGDVLGRLNQLFKRVNSVNKSAGPFDALFCVGQFFPDEPDRLDELMDYVEGRAQVPLPTYFIGDYGVGAPKVLSAVSRNSANQGFKMDGFKVCDNLFWLKGSGMFTFHGLSVAYLSGRQLSNGQQFGTYSQIDIDTLRAFAEEPGIVDFFLSNEWPSGITNRATASDIPAGISDSSGGDSTVSQLVAEIKPRYHIAGTKGAYYAREPYCNVDAVHVTRFLGLASVGNKEKQKFLHALSPTPSSTMAAAEISAKPPNTTLSPYTLVDQVDRPKETTKRASDIELDSQYWRYDVSSKRQKHGNGDTNKVCFKFISSGTCPRGEKCNFPHDADAREQFLRGVCLDFIIKGKCEKGPDCNFKHSLQDDGENHSRKRPGSSNANANRSKECWFCLSSPNVESHLVTSIGENFYCALAKGPLVQDHVLIIPVEHMPNTLSLPADSEIELGKFQNSLKVYYKNQGKEAVFFEWISIRGTHANLQAVPVPSSKAAILKDIFTLAAEKLGFEFVTKKFSNNSEGRKWLRTQFDKNFSCFYVELPEGSILLHLIEENEKFPAQFGREETENKEGSDEKLSIGGKQKTISLI >KJB65177 pep chromosome:Graimondii2_0_v6:10:12308151:12313701:-1 gene:B456_010G083200 transcript:KJB65177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRILLCGDVLGRLNQLFKRVNSVNKSAGPFDALFCVGQFFPDEPDRLDELMDYVEGRAQVPLPTYFIGDYGVGAPKVLSAVSRNSANQGFKMDGFKVCDNLFWLKGSGMFTFHGLSVAYLSGRQLSNGQQFGTYSQIDIDTLRAFAEEPGIVDFFLSNEWPSGITNRATASDIPAGISDSSGGDSTVSQLVAEIKPRYHIAGTKGAYYAREPYCNVDAVHVTRFLGLASVGNKEKQKFLHALSPTPSSTMAAAEISAKPPNTTLSPYTLVDQVDRPKETTKRASDIELDSQYWRYDVSSKRQKHGNGDTNKVCFKFISSGTCPRGEKCNFPHDADAREQFLRGVCLDFIIKGKCEKGPDCNFKHSLQDDGENHSRKRPGSSNANANRSKECWFCLSSPNVESHLVTSIGENFYCALAKGPLVQDHVLIIPVEHMPNTLSLPADSEIELGKFQNSLKVYYKNQGKEAVFFEWISIRGTHANLQAVPVPSSKAAILKDIFTLAAEKLGFEFVTKKFSNNSEGRKWLRTQFDKNFSCFYVELPEGSILLHLIEENEKFPAQFGREVVAGLLNVADRADWRNCTIGKVEETKLAENFKKRFQVFDPSQ >KJB67510 pep chromosome:Graimondii2_0_v6:10:55212590:55213776:1 gene:B456_010G1942002 transcript:KJB67510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIQATKVKRLCKTHNTITVNGMFPGPTLEIKNGDTLEVQVVNKARYNVTIHWHGVRQMGTGWADGPEFVTQCPIRPGGSYTYRFTVQGQEGTLWWHAHSSWLRATVYGALIIRPREGKSYPFPKPKRETPILLGEWWDANPIDVVREATRTGAAPNVSDAYTINAQPGDLYKCSSKETTVVSVDSGETNLLRVINAALNQPLFFKVANHKLTVVGADASYTKPFTTSVLMLGPGQTTDVLIRGDQPPSRYYMAARAYQSAQNAPFDNTTTTAILEY >KJB66371 pep chromosome:Graimondii2_0_v6:10:42895012:42896638:-1 gene:B456_010G155600 transcript:KJB66371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLEKLWDDVVAGPQPERGLGRLRKITTTPPLSTKDEASSMAMPTSPTTPGTPSTPVSARRDNVWRSVFNPGSNLATKGFTAGRPGASITADWAMADGGGG >KJB66370 pep chromosome:Graimondii2_0_v6:10:42895647:42896530:-1 gene:B456_010G155600 transcript:KJB66370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLEKLWDDVVAGPQPERGLGRLRKITTTPPLSTKDEASSMAMPTSPTTPGTPSTPVSARRDNVWRSVFNPGSNLATKGIGAEVFDKPQPNSPTVYD >KJB66369 pep chromosome:Graimondii2_0_v6:10:42896046:42896530:-1 gene:B456_010G155600 transcript:KJB66369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLEKLWDDVVAGPQPERGLGRLRKITTTPPLSTKDEASSMAMPTSPTTPGTPSTPVSARRDNVWRSVFNPGSNLATKGIGAEVFDKPQPNSPTVYDWFVQIFFSCSVICMHACLDNWIYISGTLILLFGCL >KJB66368 pep chromosome:Graimondii2_0_v6:10:42895644:42896530:-1 gene:B456_010G155600 transcript:KJB66368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLEKLWDDVVAGPQPERGLGRLRKITTTPPLSTKDEASSMAMPTSPTTPGTPSTPVSARRDNVWRSVFNPGSNLATKGIGAEVFDKPQPNSPTVYD >KJB66373 pep chromosome:Graimondii2_0_v6:10:42895012:42896624:-1 gene:B456_010G155600 transcript:KJB66373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLEKLWDDVVAGPQPERGLGRLRKITTTPPLSTKDEASSMAMPTSPTTPGTPSTPVSARRDNVWRSVFNPGSNLATKGIGAEVFDKPQPNSPTVYDCNQTEAQRQIMQENLALCLVAAWK >KJB66372 pep chromosome:Graimondii2_0_v6:10:42896046:42896530:-1 gene:B456_010G155600 transcript:KJB66372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLEKLWDDVVAGPQPERGLGRLRKITTTPPLSTKDEASSMAMPTSPTTPGTPSTPVSARRDNVWRSVFNPGSNLATKGIGAEVFDKPQPNSPTVYDWFVQIFFSCSVICMHACLDNWIYISGTLILLFGCL >KJB66374 pep chromosome:Graimondii2_0_v6:10:42895012:42896624:-1 gene:B456_010G155600 transcript:KJB66374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLEKLWDDVVAGPQPERGLGRLRKITTTPPLSTKDEASSMAMPTSPTTPGTPSTPVSARRDNVWRSVFNPGSNLATKGIGAEVFDKPQPNSPTVYDWLYSGETRSKHHR >KJB64293 pep chromosome:Graimondii2_0_v6:10:3851423:3853304:-1 gene:B456_010G041000 transcript:KJB64293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAHHQTGVWLPVIGIPHAERRLGIPYRFLFSIPYSTLIDFCFFHRYCTAGLAAAILMQNLSRISMAATAYSNGVKPDVVTYTILMKALIRVDKFHKVPAVYEEMILSGCTPDRKARAMLRSALRYIKQAVKS >KJB64292 pep chromosome:Graimondii2_0_v6:10:3850073:3853304:-1 gene:B456_010G041000 transcript:KJB64292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAHHQTGVWLPVIGIPHAERRLGIPYRFLFSIPYSTLIDFCFFHRYCTGLAAAILMQNLSRISMAATAYSNGVKPDVVTYTILMKALIRVDKFHKVPAVYEEMILSGCTPDRKARAMLRSALRYIKQAVKS >KJB65102 pep chromosome:Graimondii2_0_v6:10:11779879:11782148:-1 gene:B456_010G081200 transcript:KJB65102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLQQLQSKACQASKFVAKNGTAYYKQLLEQNKQYIQEPPTVEKCNELSKQLFYTRLASIPGRNEAFWKELDYVKNLWKNRQELKVEDAGIAALFGLECFAWFCAGEIVGRGFTFTGYYP >KJB65103 pep chromosome:Graimondii2_0_v6:10:11779860:11782471:-1 gene:B456_010G081200 transcript:KJB65103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLQQLQSKACQASKFVAKNGTAYYKQLLEQNKQYIQEPPTVEKCNELSKQLFYTRLASIPGRNEAFWKELDYVKNLWKNRQELKVEDAGIAALFGLECFAWFCAGEIVGRGFTFTGYYP >KJB65104 pep chromosome:Graimondii2_0_v6:10:11779879:11782355:-1 gene:B456_010G081200 transcript:KJB65104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLQQLQSKACQASKFVAKNGTAYYKQLLEQNKQYIQEPPTVEKCNELSKQLFYTRLASIPGRNEAFWKELDYVKNLWKNRQELKVEDAGIAALFGLECFAWFCAGEIVGRGFTFTGYYP >KJB65847 pep chromosome:Graimondii2_0_v6:10:22565006:22567019:-1 gene:B456_010G116000 transcript:KJB65847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAARKARPPAEPVTEIHTIKLYRTAAMDAVSNGFSNVSEREGIHQPDHSKHLELKEGDAYAVYNFFCRMKLTNPNFFYLMDFDDDGPLKNVFWADARSRAAYGYFSDTVAIDTTCLTNKYELPLISFVGVNHHGQSVLLGCGFLGHKSVEYFVWIFRAWLKCMLGHQPQVIVTDQCKSLQIAVSEVFPKARHCFCLWYIMQRVPEKLGGLRGFEEIKRQLNKAVYNSLKIIEFETSWTEMIKKHGLGDNKWLQTLYEERKQWVPVYLKDTFFAGMIPIRENESLNAFFDGYVHKHTSFKEFVDKYDLALYRKHLKEATADVQSKNSSFELKTRCNFEVQLSKAYTKEIFDKFQSEVEGMYSCFNTRQVTANGPIITYIVKERVEIEGNEKEVRSYEVLFETNQVDIRCICSLFNYKGYLCRHALNVLNYNGVEEVPSRYILRRWCKDFKFQHVDLGSTDIDVYGPVYRRSHFHNCAVPIVEGGAQSEEQYKIALHELQELLNKFNLVDDS >KJB65848 pep chromosome:Graimondii2_0_v6:10:22564778:22568429:-1 gene:B456_010G116000 transcript:KJB65848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAARKARPPAEPVTEIHTIKLYRTAAMDAVSNGFSNVSEREGIHQPDHSKHLELKEGDAYAVYNFFCRMKLTNPNFFYLMDFDDDGPLKNVFWADARSRAAYGYFSDTVAIDTTCLTNKYELPLISFVGVNHHGQSVLLGCGFLGHKSVEYFVWIFRAWLKCMLGHQPQVIVTDQCKSLQIAVSEVFPKARHCFCLWYIMQRVPEKLGGLRGFEEIKRQLNKAVYNSLKIIEFETSWTEMIKKHGLGDNKWLQTLYEERKQWVPVYLKDTFFAGMIPIRENESLNAFFDGYVHKHTSFKEFVDKYDLALYRKHLKEATADVQSKNSSFELKTRCNFEVQLSKAYTKEIFDKFQSEVEGMYSCFNTRQVTANGPIITYIVKERVEIEGNEKEVRSYEVLFETNQVDIRCICSLFNYKGYLCRHALNVLNYNGVEEVPSRYILRRWCKDFKFQHVDLGSTDIDVYGPVYRRSHFHNCAVPIVEGGAQSEEQYKIALHELQELLNKFNLVDDS >KJB65846 pep chromosome:Graimondii2_0_v6:10:22565006:22566544:-1 gene:B456_010G116000 transcript:KJB65846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAARKARPPAEPVTEIHTIKLYRTAAMDAVSNGFSNVSEREGIHQPDHSKHLELKEGDAYAVYNFFCRMKLTNPNFFYLMDFDDDGPLKNVFWADARSRAAYGYFSDTVAIDTTCLTNKYELPLISFVGVNHHGQSVLLGCGFLGHKSVEYFVWIFRAWLKCMLGHQPQVIVTDQCKSLQIAVSEVFPKARHCFCLWYIMQRVPEKLGGLRGFEEIKRQLNKAVYNSLKIIEFETSWTEMIKKHGLGDNKWLQTLYEERKQWVPVYLKDTFFAGMIPIRENESLNAFFDGYVHKHTSFKEFVDKYDLALYRKHLKEATADVQSKNSSFELKTRCNFEVQLSKAYTKEIFDKFQSEVEGMYSCFNTRQVTANGPIITYIVKERVEIEGNEKEVRSYEVLFETNQVDIRCICSLFNYKGYLCRHALNVLNYNGVEEVPSRYILRRWCKDFKFQHVDLGSTDIDVYGPVYRRSHFHNCAVPIVEGGAQSEEQYKIALHELQELLNKFNLVDDS >KJB65849 pep chromosome:Graimondii2_0_v6:10:22564778:22569387:-1 gene:B456_010G116000 transcript:KJB65849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVCLNSEPVFDMVDEYEGDGEGEGDCSAVEHDDETGSKHSKKEPPLPTIGLEFDSFDEAYDFYNIYAKEQGFGIRVSNSWFRTKKKERYRAKLSCSSAGFKKKSEANNPRPETRTGCPAMVVIRLVDSKRWRIVEVELEHNHQVKMILAARKARPPAEPVTEIHTIKLYRTAAMDAVSNGFSNVSEREGIHQPDHSKHLELKEGDAYAVYNFFCRMKLTNPNFFYLMDFDDDGPLKNVFWADARSRAAYGYFSDTVAIDTTCLTNKYELPLISFVGVNHHGQSVLLGCGFLGHKSVEYFVWIFRAWLKCMLGHQPQVIVTDQCKSLQIAVSEVFPKARHCFCLWYIMQRVPEKLGGLRGFEEIKRQLNKAVYNSLKIIEFETSWTEMIKKHGLGDNKWLQTLYEERKQWVPVYLKDTFFAGMIPIRENESLNAFFDGYVHKHTSFKEFVDKYDLALYRKHLKEATADVQSKNSSFELKTRCNFEVQLSKAYTKEIFDKFQSEVEGMYSCFNTRQVTANGPIITYIVKERVEIEGNEKEVRSYEVLFETNQVDIRCICSLFNYKGYLCRHALNVLNYNGVEEVPSRYILRRWCKDFKFQHVDLGSTDIDVYGPVYRRSHFHNCAVPIVEGGAQSEEQYKIALHELQELLNKFNLVDDS >KJB67314 pep chromosome:Graimondii2_0_v6:10:53642139:53644398:1 gene:B456_010G185300 transcript:KJB67314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAKLRSHVPKFGDWDNGDLPYTTYFENARKEKAGIRMNPNDPEENPEALMYTRGGPESNYDGRSVPVTADKHHQNAANKDGSSYDHQKSARRQRNTALESENNTGSDRSILPSNHRRRNSGQKNGRPGGSAFSASVSGQSQRTGNHQLDGNKVKHHQFQNLGNGMKQIPHREKGLQ >KJB67309 pep chromosome:Graimondii2_0_v6:10:53642139:53644398:1 gene:B456_010G185300 transcript:KJB67309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNDPEENPEALMYTRGGPESNYDGRSVPVTADKHHQNAANKDGSSYDHQKSARRQRNTALESENNTGSDRSILPSNHRRRNSGQKNGRPGGSAFSASVSGQSQRTGNHQLDGNKVKHHQFQNLGNGMKQIPHREKGLQ >KJB67313 pep chromosome:Graimondii2_0_v6:10:53642139:53644605:1 gene:B456_010G185300 transcript:KJB67313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAKLRSHVPKFGDWDNGDLPYTTYFENARKEKAGIRMNPNDPEENPEALMYTRGGPESNYDGRSVPVTADKHHQNAANKDGSSYDHQKSARRQRNTALESENNTGSDRSILPSNHRRRNSGQKNGRPGGSAFSASVSGQSQRTGNHQLDGNKVIPKFGEWDETDPTSGEGFTVIFNRLKEKQAAPSSNYQTVAPEVKTMNYSKLKICCCLFSRGNE >KJB67312 pep chromosome:Graimondii2_0_v6:10:53642139:53644398:1 gene:B456_010G185300 transcript:KJB67312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNDPEENPEALMYTRGGPESNYDGRSVPVTADKHHQNAANKDGSSYDHQKSARRQRNTALESENNTGSDRSILPSNHRRRNSGQKNGRPGGSAFSASVSGQSQRTGNHQLDGNKHHRTPSVPKFGEWDETDPTSGEGFTVIFNRLKEKQAAPSSNYQTVAPEVKTMNYSKLKICCCLFSRGNE >KJB67311 pep chromosome:Graimondii2_0_v6:10:53642395:53642937:1 gene:B456_010G185300 transcript:KJB67311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNDPEENPEALMYTRGGPESNYDGRSVPVTADKHHQNAANKDGSSYDHQKSARRQRNTALESENNTGSDRSILPSNHRRRNSGQKNGRPGGSAFSASVSGQSQRTGNHQLDGNKVKHHQFQNLGNGMKQIPHREKGLQ >KJB67308 pep chromosome:Graimondii2_0_v6:10:53642024:53644469:1 gene:B456_010G185300 transcript:KJB67308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAKLRSHVPKFGDWDNGDLPYTTYFENARKEKAGIRMNPNDPEENPEALMYTRGGPESNYDGRSVPVTADKHHQNAANKDGSSYDHQKSARRQRNTALESENNTGSDRSILPSNHRRRNSGQKNGRPGGSAFSASVSGQSQRTGNHQLDGNKHHRTPSVPKFGEWDETDPTSGEGFTVIFNRLKEKQAAPSSNYQTVAPEVKTMNYSKLKICCCLFSRGNE >KJB67310 pep chromosome:Graimondii2_0_v6:10:53642139:53644398:1 gene:B456_010G185300 transcript:KJB67310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAKLRSHVPKFGDWDNGDLPYTTYFENARKEKAGIRMNPNDPEENPEALMYTRGGPESNYDGRSVPVTADKHHQNAANKDGSSYDHQKSARRQRNTALESENNTGSDRSILPSNHRRRNSGQKNGRPGGSAFSASVSGQSQRTGNHQLDGNKVSMPDT >KJB67627 pep chromosome:Graimondii2_0_v6:10:56359909:56363443:1 gene:B456_010G201000 transcript:KJB67627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLQGLYSSVDRFRVNDDTLLAISSQNFNNGFKNETFAGIPPLQPATIPINLRPSASVNEPEDYDFSDLVLKYISEMLMEEDMEDKTCMFKESSAALQAAEKSFYEVLGEQYPPSLEPELKYQNHESSNELHGHSCCSCSSADGNLLNLPSNYDLGMQMSLNYSSQANSSHSSGNSAGSLVDGFTDSPVSTPRLPEIFGDSESVIQFRKGFEEASRFLPNRGNLFVDVESDGLFLKEVKEEAKSVVEKVENELLQDGSRGKKKPYPEDVNLYNGRSNKQSLVYTESTVSPEMFDTVLLNCQSVADLQKVLQHETNKNVQQNGQSKGPTGGKARAKKGKRNVVDLRTLLTLCAQAVATDDRRSANELLKQIRQHSSPMGDGMQRLAHYFVDGLEARLAGSGTQSYNPVVTRPTSAANILKAYHLFLAACPFRKLSNFFSDTTIMNLAENAARLHIIDFGILYGFQWPCLIRRLSSRPGGPPKLKITGIDLPQPGFRPAERVEETGRRLANYAETFKVPFEFDAIAQKWDTIQIEDIKIESGEVLIVNCIYRLRNLLDETVVVESPRNKVLNLMRKLHPDVFILGIVNGAYSAPFFITRFREALFHYSTLFDMLETNVPREIPERMLIEREIFGWEAMNVIACEGAERIERPETYKQWQVRNTRAGFRQLPLDKEMMKTAKERVNTNYHKDFVIDEDNHWLLQGWKGRIVYAHSCWVPVS >KJB67625 pep chromosome:Graimondii2_0_v6:10:56360071:56363443:1 gene:B456_010G201000 transcript:KJB67625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLQGLYSSVDRFRVNDDTLLAISSQNFNNGFKNETFAGIPPLQPATIPINLRPSASVNEPEDYDFSDLVLKYISEMLMEEDMEDKTCMFKESSAALQAAEKSFYEVLGEQYPPSLEPELKYQNHESSNELHGHSCCSCSSADGNLLNLPSNYDLGMQMSLNYSSQANSSHSSGNSAGSLVDGFTDSPVSTPRLPEIFGDSESVIQFRKGFEEASRFLPNRGNLFVDVESDGLFLKEVKEEAKSVVEKVENELLQDGSRGKKKPYPEDVNLYNGRSNKQSLVYTESTVSPEMFDTVLLNCQSVADLQKVLQHETNKNVQQNGQSKGPTGGKARAKKGKRNVVDLRTLLTLCAQAVATDDRRSANELLKQIRQHSSPMGDGMQRLAHYFVDGLEARLAGSGTQSYNPVVTRPTSAANILKAYHLFLAACPFRKLSNFFSDTTIMNLAENAARLHIIDFGILYGFQWPCLIRRLSSRPGGPPKLKITGIDLPQPGFRPAERVEETGRRLANYAETFKVPFEFDAIAQKWDTIQIEDIKIESGEVLIVNCIYRLRNLLDETVVVESPRNKVLNLMRKLHPDVFILGIVNGAYSAPFFITRFREALFHYSTLFDMLETNVPREIPERMLIEREIFGWEAMNVIACEGAERIERPETYKQWQVRNTRAGFRQLPLDKEMMKTAKERVNTNYHKDFVIDEDNHWLLQGWKGRIVYAHSCWVPVS >KJB67626 pep chromosome:Graimondii2_0_v6:10:56360621:56363095:1 gene:B456_010G201000 transcript:KJB67626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLQGLYSSVDRFRVNDDTLLAISSQNFNNGFKNETFAGIPPLQPATIPINLRPSASVNEPEDYDFSDLVLKYISEMLMEEDMEDKTCMFKESSAALQAAEKSFYEVLGEQYPPSLEPELKYQNHESSNELHGHSCCSCSSADGNLLNLPSNYDLGMQMSLNYSSQANSSHSSGNSAGSLVDGFTDSPVSTPRLPEIFGDSESVIQFRKGFEEASRFLPNRGNLFVDVESDGLFLKEVKEEAKSVVEKVENELLQDGSRGKKKPYPEDVNLYNGRSNKQSLVYTESTVSPEMFDTVLLNCQSVADLQKVLQHETNKNVQQNGQSKGPTGGKARAKKGKRNVVDLRTLLTLCAQAVATDDRRSANELLKQIRQHSSPMGDGMQRLAHYFVDGLEARLAGSGTQSYNPVVTRPTSAANILKAYHLFLAACPFRKLSNFFSDTTIMNLAENAARLHIIDFGILYGFQWPCLIRRLSSRPGGPPKLKITGIDLPQPGFRPAERVEETGRRLANYAETFKVPFEFDAIAQKWDTIQIEDIKIESGEVLIVNCIYRLRNLLDETVVVESPRNKVLNLMRKLHPDVFILGIVNGAYSAPFFITRFREALFHYSTLFDMLETNVPREIPERMLIEREIFGWEAMNVIACEGAERIERPETYKQWQVRNTRAGFRQLPLDKEMMKTAKERVNTNYHKDFVIDEDNHWLLQGWKGRIVYAHSCWVPVS >KJB65453 pep chromosome:Graimondii2_0_v6:10:15908177:15910756:1 gene:B456_010G096000 transcript:KJB65453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGCDVVSTDQIEVVPLLRRNVERNTSRILQMNSCSDSFGSIKVAELDWGNEDHIKAVGPPFDYVIGTDVVYAEHLVGPLLQTIIALSGPKTTIMLGHEIRSTSVHEQMLLTWKNFFEVKIVSKAKMDSKYQHPSIQLFIMGLKPPPVTADSAVSTEKQEKTDWGIDDQVNETESSSSVDDLLVTRLPDGKLNEWHARRYGSMAARLLRDIKLT >KJB65449 pep chromosome:Graimondii2_0_v6:10:15908514:15910629:1 gene:B456_010G096000 transcript:KJB65449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCVRLNSPSTSVITFEVLGHQLHFSQDPNSKHLGTTVWDTSMVFAKFLEKNCRKGRFCPSKLKGKRVIELGAGCGVAGFGMALLGCDVVSTDQIEVVPLLRRNVERNTSRILQMNSCSDSFGSIKVAELDWGNEDHIKAVGPPFDYVIGTDVVYAEHLVGPLLQTIIALSGPKTTIMLGHEIRSTSVHEQMLLTWKNFFEVKIVSKAKMDSKYQHPSIQLFIMGLKPPPVTADSAVSTEKQEKTDWGIDDQVNETESSSSVDDLLVTRLPDGKLNEWHARRYGSMAARLLRDIKLT >KJB65446 pep chromosome:Graimondii2_0_v6:10:15908092:15910843:1 gene:B456_010G096000 transcript:KJB65446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERLNSPSTSVITFEVLGHQLHFSQDPNSKHLGTTVWDTSMVFAKFLEKNCRKGRFCPSKLKGKRVIELGAGCGVAGFGMALLGCDVVSTDQIEVVPLLRRNVERNTSRILQMNSCSDSFGSIKVAELDWGNEDHIKAVGPPFDYVIGTDVVYAEHLVGPLLQTIIALSGPKTTIMLGHEIRSTSVHEQMLLTWKNFFEVKIVSKAKMDSKYQHPSIQLFIMGLKPPPVTADSAVSTEKQEKTDWGIDDQVNETESSSSVDDLLVTRLPDGKLNEWHARRYGSMAARLLRDIKLT >KJB65448 pep chromosome:Graimondii2_0_v6:10:15908177:15910756:1 gene:B456_010G096000 transcript:KJB65448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERLNSPSTSVITFEVLGHQLHFSQDPNSKHLGTTVWDTSMVFAKFLEKNCRKGRFCPSKLKGKRVIELGAGCGVAGFGMALLGCDVVSTDQIEVVPLLRRNVERNTSRILQMNSCSDSFGSIKVAELDWGNEDHIKAVGPPFDYVIGLRGASRGTFIADNNRIIRPQNHNYVGS >KJB65451 pep chromosome:Graimondii2_0_v6:10:15908411:15909763:1 gene:B456_010G096000 transcript:KJB65451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERLNSPSTSVITFEVLGHQLHFSQDPNSKHLGTTVWDTSMVFAKFLEKNCRKGRFCPSKLKGKRVIELGAGCGVAGFGMALLGCDVVSTDQIEVVPLLRRNVERNTSRILQMNSCSDSFGSIKVAELDWGNEDHIKAVGPPFDYVIGTDVVSSPDAYILVVT >KJB65447 pep chromosome:Graimondii2_0_v6:10:15908411:15909763:1 gene:B456_010G096000 transcript:KJB65447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERLNSPSTSVITFEVLGHQLHFSQDPNSKHLGTTVWDTSMVFAKFLEKNCRKGRFCPSKLKGKRVIELGAGCGVAGFGMALLGCDVVSTDQIEVVPLLRRNVERNTSRILQMNSCSDSFGSIKVAELDWGNEDHIKAVGPPFDYVIGTDVVSSPDAYILVVT >KJB65450 pep chromosome:Graimondii2_0_v6:10:15908177:15910756:1 gene:B456_010G096000 transcript:KJB65450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERLNSPSTSVITFEVLGHQLHFSQDPNSKHLGTTVWDTSMVFAKFLNCRKGRFCPSKLKGKRVIELGAGCGVAGFGMALLGCDVVSTDQIEVVPLLRRNVERNTSRILQMNSCSDSFGSIKVAELDWGNEDHIKAVGPPFDYVIGTDVVYAEHLVGPLLQTIIALSGPKTTIMLGHEIRSTSVHEQMLLTWKNFFEVKIVSKAKMDSKYQHPSIQLFIMGLKPPPVTADSAVSTEKQEKTDWGIDDQVNETESSSSVDDLLVTRLPDGKLNEWHARRYGSMAARLLRDIKLT >KJB65452 pep chromosome:Graimondii2_0_v6:10:15908177:15910756:1 gene:B456_010G096000 transcript:KJB65452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERLNSPSTSVITFEVLGHQLHFSQDPNSKHLGTTVWDTSMVFAKFLEKNCRKGRFCPSKLKGKRVIELGAGCGVAGFGMALLGCDVVSTDQIEVVPLLRRNVERNTSRILQMNSCSDSFGSIKVAELDWGNEDHIKAVGPPFDYVIGTDVVYAEHLVGPLLQTIIALSGPKTTIMLGHEIRSTSVHEQMLLTWKNFFEVKIVSKAKVHNLSTF >KJB68613 pep chromosome:Graimondii2_0_v6:10:61998783:62002202:-1 gene:B456_010G254800 transcript:KJB68613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWVMIPQLAEIQEQMASSKKVIMREEWEKRLNHIRIRKEDMNKLVMNFLVTEGYAEAVAKFRMETGTEPDMDVAMIMDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPELFFHLQQQRLIELIRNEKIEEALEFAQEELAPRGEENQSFLEELERTVSLLVFKDVSNCPVRELLDISQRLKTANEVNAAILTSQSHEKGLNTINNIRSLSLEIVRKLVYILALAQNIILASYLFNK >KJB68612 pep chromosome:Graimondii2_0_v6:10:61998239:62002202:-1 gene:B456_010G254800 transcript:KJB68612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAMIMDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPELFFHLQQQRLIELIRNEKIEEALEFAQEELAPRGEENQSFLEELERTVSLLVFKDVSNCPVRELLDISQRLKTANEVNAAILTSQSHEKDPKLHSLLKMLIWAQNQLDEKATYPRIKDFLKATLENPAV >KJB68610 pep chromosome:Graimondii2_0_v6:10:61997898:62002159:-1 gene:B456_010G254800 transcript:KJB68610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWVMIPQLAEIQEQMASSKKVIMREEWEKRLNHIRIRKEDMNKLVMNFLVTEGYAEAVAKFRMETGTEPDMDVAMIMDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPELFFHLQQQRLIELIRNEKIEEALEFAQEELAPRGEENQSFLEELERTVSLLVFKDVSNCPVRELLDISQRLKTANEVNAAILTSQSHEKDPKLHSLLKMLIWAQNQLDEKATYPRIKDFLKATLENPAV >KJB68611 pep chromosome:Graimondii2_0_v6:10:61997958:62002202:-1 gene:B456_010G254800 transcript:KJB68611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWVMIPQLAEIQEQMASSKKVIMREEWEKRLNHIRIRKEDMNKLVMNFLVTEGYAEAVAKFRMETGTEPDMDVAMIMDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPELFFHLQQQRLIELIRNEKIEEALEFAQEELAPRGEENQSFLEELERTVSLLVFKDVSNCPVRELLDISQRLKTANEVNAAILTSQSHEKDPKLHSLLKMLIWAQNQLDEKATYPRIKDFLKATLENPAV >KJB64337 pep chromosome:Graimondii2_0_v6:10:4349981:4354213:-1 gene:B456_010G043600 transcript:KJB64337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPIKAKCHLSLSALRFLLLTCCLSRMANAMLQQTVGGTLQLLSNSGTHCNVGNRSVRLLPKGFKLEVEFPKRAIYSSGKRKFNVIQPSTSQTSVVGPLLAPSSSDTLDSHMKSNEVALILIRHGESLWNEKNLFTGCVDVPLTNKGVEEAIEAGHRISNIPVDMIYTSSLIRAQMTAMLAMTQHRRKKVPIILHNEDERARAWSKIYSEDTIEQSIPVITSWKLNERMYGELQGLNKQETADKFGHEKVHEWRRSYDIPPPNGESLEMCAQRAVAYFRDNIEPQLLSGKNILISAHGNSLRSIIMYLDKLTSQEVITLELSTGIPMLYIFKEGKFIRRGSPVAPTEAGVYAYTRRLAQYRQKLDDMLT >KJB64338 pep chromosome:Graimondii2_0_v6:10:4351300:4353645:-1 gene:B456_010G043600 transcript:KJB64338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAMLQQTVGGTLQLLSNSGTHCNVGNRSVRLLPKGFKLEVEFPKRAIYSSGKRKFNVIQPSTSQTSVVGPLLAPSSSDTLDSHMKSNEVALILIRHGESLWNEKNLFTGCVDVPLTNKGVEEAIEAGHRISNIPVDMIYTSSLIRAQMTAMLAMTQHRRKKVPIILHNEDERARAWSKIYSEDTIEQSIPVITSWKLNERMYGELQGLNKQETADKFGHEKVHEWRRSYDIPPPNGESLEMCAQRAVAYFRDNIEPQLLSGKNILISAHGNSLRSIIMYLDKLTSQEVITLELSTGIPMLYIFKEGKFIRRGSPVAPTEAGVYAYTRRLAQYRQKLDDMLT >KJB64335 pep chromosome:Graimondii2_0_v6:10:4349981:4353817:-1 gene:B456_010G043600 transcript:KJB64335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAMLQQTVGGTLQLLSNSGTHCNVGNRSVRLLPKGFKLEVEFPKRAIYSSGKRKFNVIQPSTSQTSVVGPLLAPSSSDTLDSHMKSNEVALILIRHGESLWNEKNLFTGCVDVPLTNKGVEEAIEAGHRISNIPVDMIYTSSLIRAQMTAMLAMTQHRRKKVPIILHNEDERARAWSKIYSEDTIEQSIPVITSWKLNERMYGELQGLNKQETADKFGHEKVHEWRRSYDIPPPNGESLEMCAQRAVAYFRDNIEPQLLSGKNILISAHGNSLRSIIMYLDKLTSQEVITLELSTGIPMLYIFKEGKFIRRGSPVAPTEAGVYAYTRRLAQYRQKLDDMLT >KJB64339 pep chromosome:Graimondii2_0_v6:10:4351300:4353645:-1 gene:B456_010G043600 transcript:KJB64339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAMLQQTVGGTLQLLSNSGTHCNVGNRSVRLLPKGFKLEVEFPKRAIYSSGKRKFNVIQPSTSQTSVVGPLLAPSSSDTLDSHMKSNEVALILIRHGESLWNEKNLFTGCVDVPLTNKGVEEAIEAGHRISNIPVDMIYTSSLIRAQMTAMLAMTQHRRKKVPIILHNEDERARAWSKIYSEDTIEQSIPVITSWKLNERMYGELQGLNKQETADKFGHEKVHEWRRSYDIPPPNGESLEMCAQRAVAYFRDNIEPQLLSGKNILISAHGNSLRSIIMYLDKLTSQEVITLELSTGIPMLYIFKEGKFIRRGSPVAPTEAGVYAYTRRLAQYRQKLDDMLT >KJB64336 pep chromosome:Graimondii2_0_v6:10:4349981:4354382:-1 gene:B456_010G043600 transcript:KJB64336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPIKAKCHLSLSALRFLLLTCCLSRMANAMLQQTVGGTLQLLSNSGTHCNVGNRSVRLLPKGFKLEVEFPKRAIYSSGKRKFNVIQPSTSQTSVVGPLLAPSSSDTLDSHMKSNEVALILIRHGESLWNEKNLFTGCVDVPLTNKGVEEAIEAGHRISNIPVDMIYTSSLIRAQMTAMLAMTQHRRKKVPIILHNEDERARAWSKIYSEDTIEQSIPVITSWKLNERMYGELQGLNKQETADKFGHEKVHEWRRSYDIPPPNGESLEMCAQRAVAYFRDNIEPQLLSGKNILISAHGNSLRSIIMYLDKLTSQEVITLELSTGIPMLYIFKEGKFIRRGSPVAPTEAGVYAYTRRLAQYRQKLDDMLT >KJB67197 pep chromosome:Graimondii2_0_v6:10:52627684:52630596:1 gene:B456_010G180200 transcript:KJB67197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWVSIFLWFFCSFLSKRSCFFNRGLHLGKQFSCPNSEDIVFRAICVNLKHRRWKFLDQVFPSLTNALVSRVVGEFQNSPELALEFYDWFGEKKGFPLSLTSRCALIHVLVKSRRFDDALSIMEKLIHVDGMTPMEVLDGLLDSYEICKSCPAVFDALVRACTRCGATEGAYLVIKKLRMEGRLVTIHAWNNFLSQLLKLNEIVRFWNMYKEIISYGYIENLNTFNLVVYALCKECKLLEAISTFYRMLKSGILPNIVTFNMIIDGACRVGGIELALKLVEKMGVMSENFVSPNTVTYNSIINGFCKLGKVAFAEEVLNDMIKAGVKPDVRSYAAMIDGYARGGCLEEALRLCDEMAERGLMPNTVVYNSILHWLYSEGDIGGSSLVLSDMIDKHVFPDQFTYSTVIQGLCRNGYVMQAFKFHCQIMEKNVIEDTFSHNILINYLCKSNDLSGAMQLVGSMFIRGLIPDLVTYGALIDGYCKEGKLGSAVWVYEKMKVEKKPNIVIYNSILNGLCKEMSMDAARRMIDSLKSLGLPDAITYNTLINGYFSCGNMDEAFSLLMEMRKVGRFVNTVTYNTLINFLCKCGCIQQAKELTKRMVLEGLIPDFITYTMLITCSAKNCSADEVIELHDSMVLNGVVPYRQTYQAIVRPLLGEENEETRV >KJB66012 pep chromosome:Graimondii2_0_v6:10:25475089:25477730:-1 gene:B456_010G124200 transcript:KJB66012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSASELALEEFSRNTMSTTTIPAPSAFPDFALHPPIAHLSNSFHFTEFSGDAAELSPQTHVLLSQTLTSHLDSQSSFCGNESWGSTVCEGNNSNYRSRENEVRGATTLSSDHDPSDDDDDDVEEEEDDDDDEDEEGGEGEGEVEEADAGQSEQSLDPSHLKRLRRKLSNRESARRSRKRKQEQLAELEFQAEQLRGENDSLFKQLTNAHQLIRDVGTNNRVLTSNVQALRHKVKLAEDMLAGGSFTCGLNQLVQSHLTSQQPIATNNHNLGRVVNVSPTITVQGDSSSYAGFTDMGNSPNLGLPTLDFTNSNQNNIGIGGDAMSWP >KJB66011 pep chromosome:Graimondii2_0_v6:10:25475089:25477819:-1 gene:B456_010G124200 transcript:KJB66011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSASELALEEFSRNTMSTTTIPAPSAFPDFALHPPIAHLSNSFHFTEFSGDAAELSPQTHVLLSQTLTSHLDSQSSFCGNESWGSTVCEGNNSNYRSRENEVRGATTLSSDHDPSDDDDDDVEEEEDDDDDEDEEGGEGEGEVEEADAGQSEQSLDPSHLKRLRRKLSNRESARRSRKRKQEQLAELEFQAEQLRGENDSLFKQLTNAHQLIRDVGTNNRVLTSNVQALRHKVKLAEDMLAGGSFTCGLNQLVQSHLTSQQPIATNNHNLGRVVQVDNFEHLLNLSHIPPIVQPQALQHPLQLYCVNKSVAILVKYFKGLP >KJB66010 pep chromosome:Graimondii2_0_v6:10:25476804:25477622:-1 gene:B456_010G124200 transcript:KJB66010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSASELALEEFSRNTMSTTTIPAPSAFPDFALHPPIAHLSNSFHFTEFSGDAAELSPQTHVLLSQTLTSHLDSQSSFCGNESWGSTVCEGNNSNYRSRENEVRGATTLSSDHDPSDDDDDDVEEEEDDDDDEDEEGGEGEGEVEEADAGQSEQSLDPSHLKRLRRKLSNRESARRSRKRKQEQLAELEFQVTTIYSYYFCKPYRSTILNYFS >KJB63328 pep chromosome:Graimondii2_0_v6:10:59514854:59515002:-1 gene:B456_010G2235002 transcript:KJB63328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYTPIEGKESNLVNTAQALMGLIISGQVCFTFNCLFIL >KJB63327 pep chromosome:Graimondii2_0_v6:10:59513707:59514357:-1 gene:B456_010G2235002 transcript:KJB63327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AERDPTPLHRAAKLLINSQLPNGDFPQQGLGGSFFKNCMLHYPLYRNFFNCN >KJB63799 pep chromosome:Graimondii2_0_v6:10:1284434:1289168:-1 gene:B456_010G017000 transcript:KJB63799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKAKKVPLKHEKEKADMQGTKSMVTTKAMKNKRASINERKMALQQDVDKLKKKLRQEENIHRALERAFNRPLGALPRLPPYLPPSTLELLAEVAVLEEEIVRLEEQVVHLRQDLYQEAVYISSSKRNMESSVDLNEPCLDNPKYQQPKTLTRCTSMASYSRSFSDDKRGKENQSWTNSTKSNKGPLLKRPLIDSKSSEKRLDPQKLQIECRVRDQSNAETRNISIPDERTSGDDGPNKVSEDLIRCLSSIFLRMNSIKKTFPSLSMLGSQETGFRDPYGICSDYGRRDIGPYKHLVSISDDSINLNRTSNSLFLLHRLKLLLARLASLDLQNLNHQEKLAFWINIYNSCMMNAFLEQGVPESPEMVVELMRKATINVGGHLLNAITIEHFILRLPYHSKFAFPKGTKNDEMTARSMFGLELSEPLVTFALACGSWSSPAVRVYTSSQVENELEVAKREYLQAAVGISSTKFAIPKLLDWDLPDTLTHY >KJB63802 pep chromosome:Graimondii2_0_v6:10:1285143:1289062:-1 gene:B456_010G017000 transcript:KJB63802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKAKKVPLKHEKEKADMQGTKSMVTTKAMKNKRASINERKMALQQDVDKLKKKLRQEENIHRALERAFNRPLGALPRLPPYLPPSTLELLAEVAVLEEEIVRLEEQVVHLRQDLYQEAVYISSSKRNMESSVDLNEPCLDNPKYQQPKTLTRCTSMASYSRSFSDDKRGKENQSWTNSTKSNKGPLLKRPLIDSKSSEKRLDPQKLQIECRVRDQSNAETRNISIPDERTSGDDGPNKVSEDLIRCLSSIFLRMNSIKKTFPSLSMLGSQETGFRDPYGICSDYGRRDIGPYKHLVSISDDSINLNRTSNSLFLLHRLKLLLARLASLDLQNLNHQEKLAFWINIYNSCMMNAFLEQGVPESPEMVVELMRKATINVGGHLLNAITIEHFILRLPYHSKFAFPKGTKNDEMTARSMFGLELSEPLVTFALACGSWSSPAVRVYTSSQVENELEVAKREYLQAAVGISSTKFAIPKLLDWYLLDFAKDLDSLLDWICLQLPNELGKEAIKYLERAQGESLVKFVQIIPYEFNFRYLLCT >KJB63801 pep chromosome:Graimondii2_0_v6:10:1285185:1289086:-1 gene:B456_010G017000 transcript:KJB63801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKAKKVPLKHEKEKADMQGTKSMVTTKAMKNKRASINERKMALQQDVDKLKKKLRQEENIHRALERAFNRPLGALPRLPPYLPPSTLELLAEVAVLEEEIVRLEEQVVHLRQDLYQEAVYISSSKRNMESSVDLNEPCLDNPKYQQPKTLTRCTSMASYSRSFSDDKRGKENQSWTNSTKSNKGPLLKRPLIDSKSSEKRLDPQKLQIECRVRDQSNAETRNISIPDERTSGDDGPNKVSEDLIRCLSSIFLRMNSIKKTFPSLSMLGSQETGFRDPYGICSDYGRRDIGPYKHLVSISDDSINLNRTSNSLFLLHRLKLLLARLASLDLQNLNHQEKLAFWINIYNSCMMNAFLEQGVPESPEMVVELMRKATINVGGHLLNAITIEHFILRLPYHSKFAFPKGTKNDEMTARSMFGLELSEPLVTFALACGSWSSPAVRVYTSSQVENELEVAKREYLQAAVGISSTKFAIPKLLDWYLLDFAKDLDSLLDWICLQLPNELGKEAIKYLERAQGESLVKFVQIIPYEFNFRYLLCT >KJB63800 pep chromosome:Graimondii2_0_v6:10:1284434:1289086:-1 gene:B456_010G017000 transcript:KJB63800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKAKKVPLKHEKEKADMQGTKSMVTTKAMKNKRASINERKMALQQDVDKLKKKLRQEENIHRALERAFNRPLGALPRLPPYLPPSTLELLAEVAVLEEEIVRLEEQVVHLRQDLYQEAVYISSSKRNMESSVDLNEPCLDNPKYQQPKTLTRCTSMASYSRSFSDDKRGKENQSWTNSTKSNKGPLLKRPLIDSKSSEKRLDPQKLQIECRVRDQSNAETRNISIPDERTSGDDGPNKVSEDLIRCLSSIFLRMNSIKKTFPSLSMLGSQETGFRDPYGICSDYGRRDIGPYKHLVSISDDSINLNRTSNSLFLLHRLKLLLARLASLDLQNLNHQEKLAFWINIYNSCMMNAFLEQGVPESPEMVVELMRKATINVGGHLLNAITIEHFILRLPYHSKFAFPKGTKNDEMTARSMFGLELSEPLVTFALACGSWSSPAVRVYTSSQVENELEVAKREYLQAAVGISSTKFAIPKLLDWDLPDTLTHY >KJB63991 pep chromosome:Graimondii2_0_v6:10:2310060:2313409:1 gene:B456_010G028200 transcript:KJB63991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH74 [Source:Projected from Arabidopsis thaliana (AT1G10120) UniProtKB/Swiss-Prot;Acc:Q6NKN9] MASDGNEDLGFKHHSLMNTNNPLCDKVDGMALSSMYNTKSSNSSDPFFGSGWDPIVSLNQSESLGGGSSMVSHSGFANSHYPLLMENQGICGTSHFSQYQSDPSFVELVPKIQGFGCGNLSEMVGPMSLPHCTQGYAMNHEGGIERASTNSTQSRDDRQISEEGVVGASPNRKCRKRVHEANQNADEELKKDPSGESCDVQKEHDSKKQKTEQNATANSRGKQVVKQAKDSSQTGEAPKENYIHVRARRGQATNSHSLAERVRREKISERMKLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDLERILSKEILHSRGGNAAVVGFNPGINLSHPFSSGIFPGTISGIPNTNPQFPPFPPQTVLDNELQNLFQMGFDSSSAMDSLGPIGRLKPGL >KJB63994 pep chromosome:Graimondii2_0_v6:10:2310060:2313409:1 gene:B456_010G028200 transcript:KJB63994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH74 [Source:Projected from Arabidopsis thaliana (AT1G10120) UniProtKB/Swiss-Prot;Acc:Q6NKN9] MNHEGGIERASTNSTQSRDDRQISEEGVVGASPNRKCRKRVHEANQNADEELKKDPSGESCDVQKEHDSKKQKTEQNATANSRGKQVVKQAKDSSQTGEAPKENYIHVRARRGQATNSHSLAERVRREKISERMKLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDLERILSKEILHSRGGNAAVVGFNPGINLSHPFSSGIFPGTISGIPNTNPQFPPFPPQTVLDNELQNLFQMGFDSSSAMDSLGPIGRLKPGL >KJB63995 pep chromosome:Graimondii2_0_v6:10:2310060:2313491:1 gene:B456_010G028200 transcript:KJB63995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH74 [Source:Projected from Arabidopsis thaliana (AT1G10120) UniProtKB/Swiss-Prot;Acc:Q6NKN9] MASDGNEDLGFKHHSLMNTNNPLCDKVDGMALSSMYNTKSSNSSDPFFGSGWDPIVSLNQSESLGGGSSMVSHSGFANSHYPLLMENQGICGTSHFSQYQSDPSFVELVPKIQGFGCGNLSEMVGPMSLPHCTQGYAMNHEGGIERASTNSTQSRDDRQISEEGVVGASPNRKCRKRVHEANQNADEELKKDPSGESCDVQKEHDSKKQKTEQNATANSRGKQVVKQAKDSSQTGEAPKENYIHVRARRGQATNSHSLAERVRREKISERMKLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDLERILSKEILHSRGGNAAVVGFNPGINLSHPFSSGIFPGTISGIPNTNPQFPPFPPQTVLDNELQNLFQMGFDSSSAMDSLGPIGRLKPGL >KJB63993 pep chromosome:Graimondii2_0_v6:10:2309834:2313448:1 gene:B456_010G028200 transcript:KJB63993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH74 [Source:Projected from Arabidopsis thaliana (AT1G10120) UniProtKB/Swiss-Prot;Acc:Q6NKN9] MASDGNEDLGFKHHSLMNTNNPLCDKVDGMALSSMYNTKSSNSSDPFFGSGWDPIVSLNQSESLGGGSSMVSHSGFANSHYPLLMENQGICGTSHFSQYQSDPSFVELVPKIQGFGCGNLSEMVGPMSLPHCTQGYAMNHEGGIERASTNSTQSRDDRQISEEGVVGASPNRKCRKRVHEANQNADEELKKDPSGESCDVQKEHDSKKQKTEQNATANSRGKQVVKQAKDSSQTGEAPKENYIHVRARRGQATNSHSLAERVRREKISERMKLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDLERILSKEILHSRGGNAAVVGFNPGINLSHPFSSGIFPGTISGIPNTNPQFPPFPPQTVLDNELQNLFQMGFDSSSAMDSLGPIGRLKPGL >KJB63992 pep chromosome:Graimondii2_0_v6:10:2310997:2312833:1 gene:B456_010G028200 transcript:KJB63992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH74 [Source:Projected from Arabidopsis thaliana (AT1G10120) UniProtKB/Swiss-Prot;Acc:Q6NKN9] MASDGNEDLGFKHHSLMNTNNPLCDKVDGMALSSMYNTKSSNSSDPFFGSGWDPIVSLNQSESLGGGSSMVSHSGFANSHYPLLMENQGICGTSHFSQYQSDPSFVELVPKIQGFGCGNLSEMVGPMSLPHCTQGYAMNHEGGIERASTNSTQSRDDRQISEEGVVGASPNRKCRKRVHEANQNADEELKKDPSGESCDVQKEHDSKKQKTEQNATANSRGKQVVKQAKDSSQTGEAPKENYIHVRARRGQATNSHSLAERVRREKISERMKLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDLERILSKEVNSSFARRKCSSCRVQSRDKLVSPFLLRYLSRNNLGHPKHKSAIPSLSTSDCTRQ >KJB65471 pep chromosome:Graimondii2_0_v6:10:16009147:16016682:-1 gene:B456_010G096300 transcript:KJB65471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALSFRLELVLLVSLLCLNLAFGDSEDGATLLEIKKFFRDVDNVLYDWSDTPSSDYCVWRGVTCDNVTFNVVALNLSDLNLDGEISPAIGDLRDLLSLDLRGNRLSGQIPDEMGDCSSLKSLDLSFNELYGDIPFSISKLKQLEFLILKSNQLIGPIPSTLSQIPNLKVLDLAQNRLSGEIPRLIYWNEVLQYLGLRGNKLVGGLSPDVCQLTGLWYFDVRNNSLTGSIPQNIGNCTAFQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNRLSGKIPSVIGLMQALAVLDLSSNKLSGHIPPILGNLTYTEKLYLHGNKLTGSIPPELGNMSKLHYLELNDNQLTGHIPPELGKLTELFDLNVANNNLEGPLPDNLSSCTNLNSLNVHGNKLNGTIPSAFERLESMTYLNLSSNNIKGSIPIELSRIGNLDTLDISNNEINGSIPSSLGDLEHLLKLNLNRNHLAGVIPAEFANLRSVMEIDLSNNHLSGVIPRELMQLQNLFSLRLENNNLSGDVMSLVNCLSLTILNVSYNNLAGDIPTSNNFSRFSPDSFIGNPGLCGYCLSSPCHVSHPAERVVISKAAILGIALGALVILLMILFVACRPHNPTPFPDGSLDKPITYLRPKLVILHMNMALHVYEDIMRMTENLSEKYVIGNGASSTVYKCVLKNCKPVAIKRLYSQYPQCLKEFETELETVGGIKHRNLVCLQGYSFSPSGNLLFYDYMENGSLWDLLHVSTKKKKLGWDMRLRIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHITDFGIAKSLCISKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKPVDNESNLHHLILSKTANNSVMETVDPEITDTCKDLGAVKKVFQLALLCTKRQPSDRPTMHEVTRVLGSLMLLDTPPTKQLASIQLSKVTCYKDEYVNLKTPHLVNCPSMSTSQGSWRGHIS >KJB65473 pep chromosome:Graimondii2_0_v6:10:16009189:16016064:-1 gene:B456_010G096300 transcript:KJB65473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALSFRLELVLLVSLLCLNLAFGDSEDGATLLEIKKFFRDVDNVLYDWSDTPSSDYCVWRGVTCDNVTFNVVALNLSDLNLDGEISPAIGDLRDLLSLDLRGNRLSGQIPDEMGDCSSLKSLDLSFNELYGDIPFSISKLKQLEFLILKSNQLIGPIPSTLSQIPNLKVLDLAQNRLSGEIPRLIYWNEVLQYLGLRGNKLVGGLSPDVCQLTGLWYFDVRNNSLTGSIPQNIGNCTAFQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNRLSGKIPSVIGLMQALAVLDLSSNKLSGHIPPILGNLTYTEKLYLHGNKLTGSIPPELGNMSKLHYLELNDNQLTGHIPPELGKLTELFDLNVANNNLEGPLPDNLSSCTNLNSLNVHGNKLNGTIPSAFERLESMTYLNLSSNNIKGSIPIELSRIGNLDTLDISNNEINGSIPSSLGDLEHLLKLNLNRNHLAGVIPAEFANLRSVMEIDLSNNHLSGVIPRELMQLQNLFSLRLENNNLSGDVMSLVNCLSLTILNVSYNNLAGDIPTSNNFSRFSPDSFIGNPGLCGYCLSSPCHVSHPAERVVISKAAILGIALGALVILLMILFVACRPHNPTPFPDGSLDKPTVTYLRPKLVILHMNMALHVYEDIMRMTENLSEKYVIGNGASSTVYKCVLKNCKPVAIKRLYSQYPQCLKEFETELETVGGIKHRNLVCLQGYSFSPSGNLLFYDYMENGSLWDLLHVSTKKKKLGWDMRLRIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHITDFGIAKSLCISKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKPVDNESNLHHLYLYAYQILSKTANNSVMETVDPEITDTCKDLGAVKKVFQLALLCTKRQPSDRPTMHEVTRVLGSLMLLDTPPTKQLASIQLSKVTCYKDEYVNLKTPHLVNCPSMSTSQGSWRGHIS >KJB65472 pep chromosome:Graimondii2_0_v6:10:16009603:16016064:-1 gene:B456_010G096300 transcript:KJB65472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALSFRLELVLLVSLLCLNLAFGDSEDGATLLEIKKFFRDVDNVLYDWSDTPSSDYCVWRGVTCDNVTFNVVALNLSDLNLDGEISPAIGDLRDLLSLDLRGNRLSGQIPDEMGDCSSLKSLDLSFNELYGDIPFSISKLKQLEFLILKSNQLIGPIPSTLSQIPNLKVLDLAQNRLSGEIPRLIYWNEVLQYLGLRGNKLVGGLSPDVCQLTGLWYFDVRNNSLTGSIPQNIGNCTAFQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNRLSGKIPSVIGLMQALAVLDLSSNKLSGHIPPILGNLTYTEKLYLHGNKLTGSIPPELGNMSKLHYLELNDNQLTGHIPPELGKLTELFDLNVANNNLEGPLPDNLSSCTNLNSLNVHGNKLNGTIPSAFERLESMTYLNLSSNNIKGSIPIELSRIGNLDTLDISNNEINGSIPSSLGDLEHLLKLNLNRNHLAGVIPAEFANLRSVMEIDLSNNHLSGVIPRELMQLQNLFSLRLENNNLSGDVMSLVNCLSLTILNVSYNNLAGDIPTSNNFSRFSPDSFIGNPGLCGYCLSSPCHVSHPAERVVISKAAILGIALGALVILLMILFVACRPHNPTPFPDGSLDKPTVTYLRPKLVILHMNMALHVYEDIMRMTENLSEKYVIGNGASSTVYKCVLKNCKPVAIKRLYSQYPQCLKEFETELETVGGIKHRNLVCLQGYSFSPSGNLLFYDYMENGSLWDLLHVSTKKKKLGWDMRLRIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHITDFGIAKSLCISKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKPVDNESNLHHLILSKTANNSVMETVDPEITDTCKDLGAVKKVFQLALLCTKRQPSDRPTMHEVTRVLGSLMLLDTPPTKQLASIQLSKVTCYKDEYVNLKTPHLVNCPSMSTSQGSWRGHIS >KJB65469 pep chromosome:Graimondii2_0_v6:10:16009147:16016682:-1 gene:B456_010G096300 transcript:KJB65469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTMSYMTGRIHHLQIIVFGEGSLATMSPSMSLHYLNLDGEISPAIGDLRDLLSLDLRGNRLSGQIPDEMGDCSSLKSLDLSFNELYGDIPFSISKLKQLEFLILKSNQLIGPIPSTLSQIPNLKVLDLAQNRLSGEIPRLIYWNEVLQYLGLRGNKLVGGLSPDVCQLTGLWYFDVRNNSLTGSIPQNIGNCTAFQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNRLSGKIPSVIGLMQALAVLDLSSNKLSGHIPPILGNLTYTEKLYLHGNKLTGSIPPELGNMSKLHYLELNDNQLTGHIPPELGKLTELFDLNVANNNLEGPLPDNLSSCTNLNSLNVHGNKLNGTIPSAFERLESMTYLNLSSNNIKGSIPIELSRIGNLDTLDISNNEINGSIPSSLGDLEHLLKLNLNRNHLAGVIPAEFANLRSVMEIDLSNNHLSGVIPRELMQLQNLFSLRLENNNLSGDVMSLVNCLSLTILNVSYNNLAGDIPTSNNFSRFSPDSFIGNPGLCGYCLSSPCHVSHPAERVVISKAAILGIALGALVILLMILFVACRPHNPTPFPDGSLDKPITYLRPKLVILHMNMALHVYEDIMRMTENLSEKYVIGNGASSTVYKCVLKNCKPVAIKRLYSQYPQCLKEFETELETVGGIKHRNLVCLQGYSFSPSGNLLFYDYMENGSLWDLLHVSTKKKKLGWDMRLRIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHITDFGIAKSLCISKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKPVDNESNLHHLILSKTANNSVMETVDPEITDTCKDLGAVKKVFQLALLCTKRQPSDRPTMHEVTRVLGSLMLLDTPPTKQLASIQLSKVTCYKDEYVNLKTPHLVNCPSMSTSQGSWRGHIS >KJB65470 pep chromosome:Graimondii2_0_v6:10:16009603:16015535:-1 gene:B456_010G096300 transcript:KJB65470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTMSYMTGRIHHLQIIVFGEGSLATMSPSMSLHYLNLDGEISPAIGDLRDLLSLDLRGNRLSGQIPDEMGDCSSLKSLDLSFNELYGDIPFSISKLKQLEFLILKSNQLIGPIPSTLSQIPNLKVLDLAQNRLSGEIPRLIYWNEVLQYLGLRGNKLVGGLSPDVCQLTGLWYFDVRNNSLTGSIPQNIGNCTAFQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNRLSGKIPSVIGLMQALAVLDLSSNKLSGHIPPILGNLTYTEKLYLHGNKLTGSIPPELGNMSKLHYLELNDNQLTGHIPPELGKLTELFDLNVANNNLEGPLPDNLSSCTNLNSLNVHGNKLNGTIPSAFERLESMTYLNLSSNNIKGSIPIELSRIGNLDTLDISNNEINGSIPSSLGDLEHLLKLNLNRNHLAGVIPAEFANLRSVMEIDLSNNHLSGVIPRELMQLQNLFSLRLENNNLSGDVMSLVNCLSLTILNVSYNNLAGDIPTSNNFSRFSPDSFIGNPGLCGYCLSSPCHVSHPAERVVISKAAILGIALGALVILLMILFVACRPHNPTPFPDGSLDKPTVTYLRPKLVILHMNMALHVYEDIMRMTENLSEKYVIGNGASSTVYKCVLKNCKPVAIKRLYSQYPQCLKEFETELETVGGIKHRNLVCLQGYSFSPSGNLLFYDYMENGSLWDLLHVSTKKKKLGWDMRLRIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHITDFGIAKSLCISKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKPVDNESNLHHLILSKTANNSVMETVDPEITDTCKDLGAVKKVFQLALLCTKRQPSDRPTMHEVTRVLGSLMLLDTPPTKQLASIQLSKVTCYKDEYVNLKTPHLVNCPSMSTSQGSWRGHIS >KJB68572 pep chromosome:Graimondii2_0_v6:10:61798285:61800498:-1 gene:B456_010G251200 transcript:KJB68572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFTSFLCLCSIIFQSVSLIDAQASLCRTTCGDIPINYPFGIDDGCGSPYYRHMLVCSDLGNLYLRTPSGRYPVHSISYSDPHILVTDPLMWNCRDGDHFRPTRPFSLDTSTPFTLSSQNDYLFFNCSEDYVIVEPKPIFCERFPDRCDSSCDSASYLCRHLPECADALSASSCCSYYPKATESLRLMLRYCASYTSVYWKTVGSTTNSPYSQVPEYGIRVDFDVPVTTHCLQCQDPSKGSGTCGFDTQTHIFLCLCKEGNVTSYCKGHDISRHKRAGVIAGTVTGISAAGAIGIGIGIWVVKKVRAKAPVTCGVQSNENRIF >KJB67738 pep chromosome:Graimondii2_0_v6:10:57298082:57301844:1 gene:B456_010G207300 transcript:KJB67738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLTTLDTGTADVAGDKLKGEIKENRCSMGNMSRKGLTDISNLQQQPKLLNQGAKLLLQTASLGTKDYIDKLQQENMMLMKVLADRNKVIELSGIELQKLRINLEKFQQQNMVLAQANSQMLAELNSSKDRLKALKHELGCKNALLKAIKLELGTNKCGKAGESHDEEDGENKPCNMNGCGETGESLKGEDEENKPCNMTRKRQSYDLGPCNIKPVQGKEGVKNKSRMYAKRQSARHKTQAETTEYVIEVDDTKSFDSTCDDKVHESGPSDIKPVQAKEGIDNKRVCSRRQSARFKAQEPETTEYMFEVDDTKSFDSTRDDNVHGSGPSDIKPVQTKEGIDNKRVCLKRQSARFKAQEPETTEYMCEVDAKSFAPICDDKVCKSGPSDSKSAQGNERGDSKRVCLRRQSAKIRAQEPEMSVDVSDVDDVRCLFSSTSDDKVHESGQLSSDSSVKSEQEEGNTSMSTRSEAQELRRVSVGRPLRRAVEKVQSYKEMKLNVKMRREV >KJB67737 pep chromosome:Graimondii2_0_v6:10:57298082:57301844:1 gene:B456_010G207300 transcript:KJB67737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLTTLDTGTADVAGDKLKGEIKENRCSMGNMSRKGLTDISNLQQQPKLLNQGAKLLLQTASLGTKDYIDKLQQENMMLMKVLADRNKVIELSGIELQKLRINLEKFQQQNMVLAQANSQMLAELNSSKDRLKALKHELGCKNALLKAIKLELGTNKCGKAGESHDEEDGENKPCNMNGCGETGESLKGEDEENKPCNMTRKRQSYDLGPCNIKPVQGKEGVKNKSRMYAKRQSARHKTQAETTEYVIEVDDTKSFDSTCDDKVHESGPSDIKPVQAKEGIDNKRVCLKRQSARFKAQEPETTEYMCEVDAKSFAPICDDKVCKSGPSDSKSAQGNERGDSKRVCLRRQSAKIRAQEPEMSVDVSDVDDVRCLFSSTSDDKVHESGQLSSDSSVKSEQEEGNTSMSTRSEAQELRRVSVGRPLRRAVEKVQSYKEMKLNVKMRREV >KJB67736 pep chromosome:Graimondii2_0_v6:10:57298069:57301856:1 gene:B456_010G207300 transcript:KJB67736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLTTLDTGTADVAGDKLKGEIKENRCSMGNMSRKGLTDISNLQQQPKLLNQGAKLLLQTASLGTKDYIDKLQQENMMLMKVLADRNKVIELSGIELQKLRINLEKFQQQNMVLAQANSQMLAELNSSKDRLKALKHELGCKNALLKAIKLELGTNKCGKAGESHDEEDGENKPCNMNGCGETGESLKGEDEENKPCNMTRKRQSYDLGPCNIKPVQGKEGVKNKRMYAKRQSARHKTQAETTEYVIEVDDTKSFDSTCDDKVHESGPSDIKPVQAKEGIDNKRVCSRRQSARFKAQEPETTEYMFEVDDTKSFDSTRDDNVHGSGPSDIKPVQTKEGIDNKRVCLKRQSARFKAQEPETTEYMCEVDAKSFAPICDDKVCKSGPSDSKSAQGNERGDSKRVCLRRQSAKIRAQEPEMSVDVSDVDDVRCLFSSTSDDKVHESGQLSSDSSVKSEQEEGNTSMSTRSEAQELRRVSVGRPLRRAVEKVQSYKEMKLNVKMRREV >KJB67075 pep chromosome:Graimondii2_0_v6:10:50596778:50597939:1 gene:B456_010G173600 transcript:KJB67075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMKVRRRFKQVEEDSEGEEGKKKNNPISANFCKSSTVVVGLRILTQIPQGKKSAALVKPPLKITLPTSTNPHRAHELQPNQYSCFLKSCYLCNKNLSLDKEVFMYRGDQGFCSIECRGRQIVLDEMRELELSSKQMIPSYRHCNAVSGRRHTRLLK >KJB67461 pep chromosome:Graimondii2_0_v6:10:54846782:54847228:-1 gene:B456_010G191600 transcript:KJB67461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKRELGDSVDGERDLKWQRVVDSPSSPLEESLVPYNDDEDDERRALNHIGSREEYGHRVESEEEDDEDEDEDEDDPYP >KJB68289 pep chromosome:Graimondii2_0_v6:10:60676697:60680847:-1 gene:B456_010G236500 transcript:KJB68289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLVVSWILREVAAPLMEKLPWINHFHKTPDREWFETDAVLRVSLGNFLFFTILSVSMVGVKNQRDPRDAVHHGGWMMKIICWFILVILMFFVPNEIISFYESASKFGAGLFLLVQVVLLLDFVHGWNDKWVGYDEQFWYVALLVVSLVCYLATFGFSGLLFHWFTPSGQDCGLNTFFIVMTLTLVLLFAIVALHPAVGGSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAISTGTLTLGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGAGKPLLPMDKADEHEEKEKNKPVSYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIITGWATAGLYVWSLVAPILFPEREF >KJB68287 pep chromosome:Graimondii2_0_v6:10:60676697:60680773:-1 gene:B456_010G236500 transcript:KJB68287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLVVSWILREVAAPLMEKLPWINHFHKTPDREWFETDAVLRVSLGNFLFFTILSVSMVGVKNQRDPRDAVHHGGWMMKIICWFILVILMFFVPNEIISFYASKFGAGLFLLVQVVLLLDFVHGWNDKWVGYDEQFWYVALLVVSLVCYLATFGFSGLLFHWFTPSGQDCGLNTFFIVMTLTLVLLFAIVALHPAVGGSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAISTGTLTLGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGAGKPLLPMDKADEHEEKEKNKPVSYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIITGWATAGLYVWSLVAPILFPEREF >KJB68288 pep chromosome:Graimondii2_0_v6:10:60676995:60680035:-1 gene:B456_010G236500 transcript:KJB68288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLVVSWILREVAAPLMEKLPWINHFHKTPDREWFETDAVLRVSLGNFLFFTILSVSMVGVKNQRDPRDAVHHGGWMMKIICWFILVILMFFVPNEIISFYESASKFGAGLFLLVQVVLLLDFVHGWNDKWVGYDEQFWYVALLVVSLVCYLATFGFSGLLFHWFTPSGQDCGLNTFFIVMTLTLVLLFAIVALHPAVGGSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAISTGTLTLGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGAGKPLLPMDKADEHEEKEKNKPVSYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIITGWATAGLYVWSLVAPILFPEREF >KJB68285 pep chromosome:Graimondii2_0_v6:10:60676995:60680035:-1 gene:B456_010G236500 transcript:KJB68285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLVVSWILREVAAPLMEKLPWINHFHKTPDREWFETDAVLRVSLGNFLFFTILSVSMVGVKNQRDPRDAVHHGGWMMKIICWFILVILMFFVPNEIISFYESASKFGAGLFLLVQVVLLLDFVHGWNDKWVGYDEQFWYVALLVVSLVCYLATFGFSGLLFHWFTPSGQDCGLNTFFIVMTLTLVLLFAIVALHPAVGGSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAISTGTLTLGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGAGKPLLPMDKADEHEEKEKNKPVSYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIITGWATAGLYVWSLVAPILFPEREF >KJB68286 pep chromosome:Graimondii2_0_v6:10:60676660:60680847:-1 gene:B456_010G236500 transcript:KJB68286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLVVSWILREVAAPLMEKLPWINHFHKTPDREWFETDAVLRVSLGNFLFFTILSVSMVGVKNQRDPRDAVHHGGWMMKIICWFILVILMFFVPNEIISFYESASKFGAGLFLLVQVVLLLDFVHGWNDKWVGYDEQFWYVALLVVSLVCYLATFGFSGLLFHWFTPSGQDCGLNTFFIVMTLTLVLLFAIVALHPAVGGSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAISTGTLTLGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGKPLLPMDKADEHEEKEKNKPVSYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIITGWATAGLYVWSLVAPILFPEREF >KJB67552 pep chromosome:Graimondii2_0_v6:10:55708133:55708957:1 gene:B456_010G196300 transcript:KJB67552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQERDELDRRARQGETVVPGGTGGKSVEAQENLAGGRSRGGQARRDQIGREGYQEMGRKGGLSTVEKSGGERAAEEGIPINEDKFATKQRG >KJB63668 pep chromosome:Graimondii2_0_v6:10:780416:784603:1 gene:B456_010G010300 transcript:KJB63668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDVPCCGAEFSLYLLIIVGLVCFAGLMAGLTLGLMSLGLVDLEVLIMSGRPQDRIHAAKIFPVVKNQHLLLCTLLIGNSLAMEALPIFLDKLVPPWAAILVSVTLILMFGEILPQAVCTRYGLKVGAAMAPFVRVLLFLFLPISYPISKVLDWMLGKGHAALLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALEMTEKTAKVAMTPISNAFSLDLDTSLDLETLNKVMTMGHSRVPVYYGNPQNIIGLVLVKNLLTIDSEGPVPLRKIIIRKIPRVSVDMPLYDILNEFQKGHSHIAVVYKDLNKSKEHLEFKDSCKKRRGEPEMSRKGEHVSVCHD >KJB63664 pep chromosome:Graimondii2_0_v6:10:780156:786323:1 gene:B456_010G010300 transcript:KJB63664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDVPCCGAEFSLYLLIIVGLVCFAGLMAGLTLGLMSLGLVDLEVLIMSGRPQDRIHAAKIFPVVKNQHLLLCTLLIGNSLAMEALPIFLDKLVPPWAAILVSVTLILMFGEILPQAVCTRYGLKVGAAMAPFVRVLLFLFLPISYPISKVLDWMLGKGHAALLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALEMTEKTAKVAMTPISNAFSLDLDTSLDLETLNKVMTMGHSRVPVYYGNPQNIIGLVLVKNLLTIDSEGPVPLRKIIIRKIPRVSVDMPLYDILNEFQKGHSHIAVVYKDLNKSKEHLEFKDSCKKRRGEPEMSRKDDRKIGVSAQKSGLNLDSQDVHTPVANNDGGQLTKKSPPATPAFKKRHRGCSYCILDIENFPIPEFPSNEEVVGVITMEDVIEELLQEEILDETDEYVNIHNRIKINMHAPYENASIPTPASSSPLAAPGPTPTLSVSTSTSNTGSPAATLFPI >KJB63672 pep chromosome:Graimondii2_0_v6:10:780226:786067:1 gene:B456_010G010300 transcript:KJB63672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDVPCCGAEFSLYLLIIVGLVCFAGLMAGLTLGLMSLGLVDLEVLIMSGRPQDRIHAAKIFPVVKNQHLLLCTLLIGNSLAMEALPIFLDKLVPPWAAILVSVTLILMFGEILPQAVCTRYGLKVGAAMAPFVRVLLFLFLPISYPISKVLDWMLGKGHAALLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALEMTEKTAKVAMTPISNAFSLDLDTSLDLETLNKVMTMGHSRVPVYYGNPQNIIGLVLVKNLLTIDSEGPVPLRKIIIRKIPRVSVDMPLYDILNEFQKGHSHIAVVYKDLNKSKEHLEFKDSCKKRRGEPEMSRKDDRKIGVSAQKSGLNLDSQDVHTPVANNDGGQLTKKSPPATPAFKKRHRGCSYCILDIENFPIPEFPSNEEVVGVITMEDVIEELLQEILDETDEYVNIHNRIKINMHAPYENASIPTPASSSPLAAPGPTPTLSVSTSTSNTGSPAATLFPI >KJB63673 pep chromosome:Graimondii2_0_v6:10:780416:784603:1 gene:B456_010G010300 transcript:KJB63673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDVPCCGAEFSLYLLIIVGLVCFAGLMAGLTLGLMSLGLVDLEVLIMSGRPQDRIHAAKIFPVVKNQHLLLCTLLIGNSLAMEALPIFLDKLVPPWAAILVSVTLILMFGEILPQAVCTRYGLKVGAAMAPFVRVLLFLFLPISYPISKVLDWMLGKGHAALLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALEMTEKTAKVAMTPISNAFSLDLDTSLDLETLNKVMTMGHSRVPVYYGNPQNIIGLVLVKNLLTIDSEGPVPLRKIIIRKIPRVSVDMPLYDILNEFQKGHSHIAVVYKDLNKSKEHLEFKDSCKKRRGEPEMSRKGEHVSVCHD >KJB63669 pep chromosome:Graimondii2_0_v6:10:780226:785165:1 gene:B456_010G010300 transcript:KJB63669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDVPCCGAEFSLYLLIIVGLVCFAGLMAGLTLGLMSLGLVDLEVLIMSGRPQDRIHAAKIFPVVKNQHLLLCTLLIGNSLAMEALPIFLDKLVPPWAAILVSVTLILMFGEILPQAVCTRYGLKVGAAMAPFVRVLLFLFLPISYPISKVLDWMLGKGHAALLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALEMTEKTAKVAMTPISNAFSLDLDTSLDLETLNKVMTMGHSRVPVYYGNPQNIIGLVLVKNLLTIDSEGPVPLRKIIIRKIPRVSVDMPLYDILNEFQKGHSHIAVVYKDLNKSKEHLEFKDSCKKRRGEPEMSRKDDRKIGVSAQKSGLNLDSQDVHTPVANNDGGQLTKKSPPATPAFKKRHRGCSYCILDIENFPIPEFPSNEEVVGVITMEDVIEELLQEEILDETDEYVNIHNR >KJB63670 pep chromosome:Graimondii2_0_v6:10:780226:784955:1 gene:B456_010G010300 transcript:KJB63670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDVPCCGAEFSLYLLIIVGLVCFAGLMAGLTLGLMSLGLVDLEVLIMSGRPQDRIHAAKIFPVVKNQHLLLCTLLIGNSLAMEALPIFLDKLVPPWAAILVSVTLILMFGEILPQAVCTRYGLKVGAAMAPFVRVLLFLFLPISYPISKVLDWMLGKGHAALLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALEMTEKTAKVAMTPISNAFSLDLDTSLDLETLNKVMTMGHSRVPVYYGNPQNIIGLVLVKNLLTIDSEGPVPLRKIIIRKIPRVSVDMPLYDILNEFQKGHSHIAVVYKDLNKSKEHLEFKDSCKKRRGEPEMSRKGEHMIVRLV >KJB63666 pep chromosome:Graimondii2_0_v6:10:780221:786067:1 gene:B456_010G010300 transcript:KJB63666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALPIFLDKLVPPWAAILVSVTLILMFGEILPQAVCTRYGLKVGAAMAPFVRVLLFLFLPISYPISKVLDWMLGKGHAALLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALEMTEKTAKVAMTPISNAFSLDLDTSLDLETLNKVMTMGHSRVPVYYGNPQNIIGLVLVKNLLTIDSEGPVPLRKIIIRKIPRVSVDMPLYDILNEFQKGHSHIAVVYKDLNKSKEHLEFKDSCKKRRGEPEMSRKDDRKIGVSAQKSGLNLDSQDVHTPVANNDGGQLTKKSPPATPAFKKRHRGCSYCILDIENFPIPEFPSNEEVVGVITMEDVIEELLQEEILDETDEYVNIHNRIKINMHAPYENASIPTPASSSPLAAPGPTPTLSVSTSTSNTGSPAATLFPI >KJB63671 pep chromosome:Graimondii2_0_v6:10:780226:786067:1 gene:B456_010G010300 transcript:KJB63671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDVPCCGAEFSLYLLIIVGLVCFAGLMAGLTLGLMSLGLVDLEVLIMSGRPQDRIHAAKIFPVVKNQHLLLCTLLIGNSLAMEALPIFLDKLVPPWAAILVSVTLILMFGEILPQAVCTRYGLKVGAAMAPFVRVLLFLFLPISYPISKVLDWMLGKGHAALLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALEMTEKTAKVAMTPISNAFSLDLDTSLDLETLNKVMTMGHSRVPVYYGNPQNIIGLVLVKNLLTIDSEGPVPLRKIIIRKIPRVSVDMPLYDILNEFQKGHSHIAVVYKDLNKSKEHLEFKDSCKKRRGEPEMSRKDDRKIGVSAQKSGLNLDSQDVHTPVANNDGGQLTKKSPPATPAFKKRHRGCSYCILDIENFPIPEFPSNEEEEILDETDEYVNIHNRIKINMHAPYENASIPTPASSSPLAAPGPTPTLSVSTSTSNTGSPAATLFPI >KJB63667 pep chromosome:Graimondii2_0_v6:10:780226:785002:1 gene:B456_010G010300 transcript:KJB63667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDVPCCGAEFSLYLLIIVGLVCFAGLMAGLTLGLMSLGLVDLEVLIMSGRPQDRIHAAKIFPVVKNQHLLLCTLLIGNSLAMEALPIFLDKLVPPWAAILVSVTLILMFGEILPQAVCTRYGLKVGAAMAPFVRVLLFLFLPISYPISKVLDWMLGKGHAALLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALEMTEKTAKVAMTPISNAFSLDLDTSLDLETLNKVMTMGHSRVPVYYGNPQNIIGLVLVKNLLTIDSEGPVPLRKIIIRKIPRVSVDMPLYDILNEFQKGHSHIAVVYKDLNKSKEHLEFKDSCKKRRGEPEMSRKGEHMIVRLV >KJB63665 pep chromosome:Graimondii2_0_v6:10:780159:786115:1 gene:B456_010G010300 transcript:KJB63665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRPQDRIHAAKIFPVVKNQHLLLCTLLIGNSLAMEALPIFLDKLVPPWAAILVSVTLILMFGEILPQAVCTRYGLKVGAAMAPFVRVLLFLFLPISYPISKVLDWMLGKGHAALLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALEMTEKTAKVAMTPISNAFSLDLDTSLDLETLNKVMTMGHSRVPVYYGNPQNIIGLVLVKNLLTIDSEGPVPLRKIIIRKIPRVSVDMPLYDILNEFQKGHSHIAVVYKDLNKSKEHLEFKDSCKKRRGEPEMSRKDDRKIGVSAQKSGLNLDSQDVHTPVANNDGGQLTKKSPPATPAFKKRHRGCSYCILDIENFPIPEFPSNEEVVGVITMEDVIEELLQEEILDETDEYVNIHNRIKINMHAPYENASIPTPASSSPLAAPGPTPTLSVSTSTSNTGSPAATLFPI >KJB66120 pep chromosome:Graimondii2_0_v6:10:27820656:27823997:1 gene:B456_010G128400 transcript:KJB66120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPPPQSVDFALKQTSPNIGAGAVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYKGVTKHFEKKSNPEWNQVFGFSKERIQASILEVFVKDKDVVVDDLIGRVVFDVNEIPKRVPPDSPLAPQWYRLEDRKGNKAKGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVTNIRSKVYLSPKLWYVRVNVIEAQDLVPSDKGRFPEVFVKAVLGNQALRTRISPSKTINPMWNEDLMFVVAEPFEEPLFLSVEDRVGSNKDETLGKCVIPLQVVQRRLDHKPVNSRWHNLEKHVIVDGEKKEMKFASRIHLRICLEGGYHVLDESTHCSSDLRPTAKQLWRPNIGILELGILSAHGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDNFMPRWNEQYTWEVFDPCTVITIGVFDNGHIHGGAGGAKDARIGKVRVRLSTLEADRVYTHSYPLLVLHSSGVKKTGEVQLAVRFTCSTLINMLHMYSHPLLPKMHYIHPLSVIQLDSLRHQAMQIVSVRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQLCNWRNPITTILIHILFIILVLYPELILPTVFLYLFLIGIWNYRWRPLHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIGGRVQTVIGDLATQGERLQSLLSWRDPRATTLFVTFCLIAAIVLYVTPFQVVALLIGLYALRHPRFRHKLPSVPLNFFRRLPARSDSML >KJB66122 pep chromosome:Graimondii2_0_v6:10:27821127:27824012:1 gene:B456_010G128400 transcript:KJB66122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPPPQSVDFALKQTSPNIGAGAVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYKGVTKHFEKKSNPEWNQVFGFSKERIQASILEVFVKDKDVVVDDLIGRVVFDVNEIPKRVPPDSPLAPQWYRLEDRKGNKAKGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVTNIRSKVYLSPKLWYVRVNVIEAQDLVPSDKGRFPEVFVKAVLGNQALRTRISPSKTINPMWNEDLMFVVAEPFEEPLFLSVEDRVGSNKDETLGKCVIPLQVVQRRLDHKPVNSRWHNLEKHVIVDGEKKEMKFASRIHLRICLEGGYHVLDESTHCSSDLRPTAKQLWRPNIGILELGILSAHGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDNFMPRWNEQYTWEVFDPCTVITIGVFDNGHIHGGAGGAKDARIGKVRVRLSTLEADRVYTHSYPLLVLHSSGVKKTGEVQLAVRFTCSTLINMLHMYSHPLLPKMHYIHPLSVIQLDSLRHQAMQIVSVRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQLCNWRNPITTILIHILFIILVLYPELILPTVFLYLFLIGIWNYRWRPLHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIGGRVQTVIGDLATQGERLQSLLSWRDPRATTLFVTFCLIAAIVLYVTPFQVVALLIGLYALRHPRFRHKLPSVPLNFFRRLPARSDSML >KJB66121 pep chromosome:Graimondii2_0_v6:10:27820669:27824012:1 gene:B456_010G128400 transcript:KJB66121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPPPQSVDFALKQTSPNIGAGAVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYKGVTKHFEKKSNPEWNQVFGFSKERIQASILEVFVKDKDVVVDDLIGRVVFDVNEIPKRVPPDSPLAPQWYRLEDRKGNKAKGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVTNIRSKVYLSPKLWYVRVNVIEAQDLVPSDKGRFPEVFVKAVLGNQALRTRISPSKTINPMWNEDLMFVVAEPFEEPLFLSVEDRVGSNKDETLGKCVIPLQVVQRRLDHKPVNSRWHNLEKHVIVDGEKKEMKFASRIHLRICLEGGYHVLDESTHCSSDLRPTAKQLWRPNIGILELGILSAHGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDNFMPRWNEQYTWEVFDPCTVITIGVFDNGHIHGGAGGAKDARIGKVRVRLSTLEADRVYTHSYPLLVLHSSGVKKTGEVQLAVRFTCSTLINMLHMYSHPLLPKMHYIHPLSVIQLDSLRHQAMQIVSVRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQLCNWRNPITTILIHILFIILVLYPELILPTVFLYLFLIGIWNYRWRPLHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIGGRVQTVIGDLATQGERLQSLLSWRDPRATTLFVTFCLIAAIVLYVTPFQVVALLIGLYALRHPRFRHKLPSVPLNFFRRLPARSDSML >KJB64990 pep chromosome:Graimondii2_0_v6:10:10628626:10631614:-1 gene:B456_010G074900 transcript:KJB64990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDENGLELSLGLSYSALSAKSKGKISSSSDTRTEEGDKGVEIVDDFKNFLQTRTQKQDPGVSSHRSDPVKPLENFFNDLSKAAGDAEASVNLNEDGSTAENEDVAESEVEGSPLRLVSRHDDGSKRFIGVSDSSEVPKEVKLGNLNYGNPCPVQSVNVLNVPFSLTMKHSNSPGTPSSSGHTLPGMIHSRPSGNGEGSVNPGNLPVMFGYSPVQLPLLDKDNPWGTVSHLPQFHPMSVGKGPPNSDKHSDGLKISQASVHTIVRNSSEAAQYNGGTFKQVKGEGKQHATEESSCTPVDEDVKGSSSMSLRANAPLDQPTAEGVVTLDFSIIKPGIAADLKFGGSGSFPNLPWVSTTGTGPHGRTISGVTYRFSANQIKIVCACHGTQMSPEEFVRHASEECTDPDNKNRLATFPSTNPAGSAQT >KJB64993 pep chromosome:Graimondii2_0_v6:10:10628626:10631633:-1 gene:B456_010G074900 transcript:KJB64993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDENGLELSLGLSYSALSAKSKGKISSSSDTRTEEGDKGVEIVDDFKNFLQTRTQKQDPGVSSHRSDPVKPLENFFNDLSKAAGDAEASVNLNEDGSTAENEDVAESEVEGSPLRLVSRHDDGSKRFIGVSDSSEVPKEVKLGNLNYGNPCPVQSVNVLNVPFSLTMKHSNSPGTPSSSGHTLPGMIHSRPSGNGEGSVNPGNLPVMFGYSPVQLPLLDKDNPWGTVSHLPQFHPMSVGKGPPNSDKHSDGLKISQASVHTIVRNSSEAAQYNGGTFKQVKGEGKQHATEESSCTPVDEDVKGSSSMSLRANAPLDQPTAEGVVTLDFSIIKPGIAADLKFGGSGSFPNLPWVSTTGTGPHGRTISGVTYRFSANQIKIVCACHGTQMSPEEFVRHASEECTDPDNKNRLATFPSTNPAGSAQT >KJB64989 pep chromosome:Graimondii2_0_v6:10:10628936:10630760:-1 gene:B456_010G074900 transcript:KJB64989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDENGLELSLGLSYSALSAKSKGKISSSSDTRTEEGDKGVEIVDDFKNFLQTRTQKQDPGVSSHRSDPVKPLENFFNDLSKAAGDAEASVNLNGKRKILFDEINNPKKLEREAHHVDLSEKPKTSHISMTTEDGSTAENEDVAESEVEGSPLRLVSRHDDGSKRFIGVSDSSEVPKEVKLGNLNYGNPCPVQSVNVLNVPFSLTMKHSNSPGTPSSSGHTLPGMIHSRPSGNGEGSVNPGNLPVMFGYSPVQLPLLDKDNPWGTVSHLPQFHPMSVGKGPPNSDKHSDGLKISQASVHTIVRNSSEAAQYNGGTFKQVKGEGKQHATEESSCTPVDEDVKGSSSMSLRANAPLDQPTAEGVVTLDFSIIKPGIAADLKFGGSGSFPNLPWVSTTGTGPHGRTISGVTYRFSANQIKIVCACHGTQMSPEEFVRHASEECTDPDNKNRLATFPSTNPAGSAQT >KJB64992 pep chromosome:Graimondii2_0_v6:10:10628936:10630760:-1 gene:B456_010G074900 transcript:KJB64992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDENGLELSLGLSYSALSAKSKGKISSSSDTRTEEGDKGVEIVDDFKNFLQTRTQKQDPGVSSHRSDPVKPLENFFNDLSKAAGDAEASVNLNGKRKILFDEINNPKKLEREAHHVDLSEKPKTSHISMTTEDGSTAENEDVAESEVEGSPLRLVSRHDDGSKRFIGVSDSSEVPKEVKLGNLNYGNPCPVQSVNVLNVPFSLTMKHSNSPGTPSSSGHTLPGMIHSRPSGNGEGSVNPGNLPVMFGYSPVQLPLLDKDNPWGTVSHLPQFHPMSVGKGPPNSDKHSDGLKISQASVHTIVRNSSEAAQYNGGTFKQVKGEGKQHATEESSCTPVDEDVKGSSSMSLRANAPLDQPTAEGVVTLDFSIIKPGIAADLKFGGSGSFPNLPWVSTTGTGPHGRTISGVTYRFSANQIKIVCACHGTQMSPEEFVRHASEECTDPDNKNRLATFPSTNPAGSAQT >KJB64991 pep chromosome:Graimondii2_0_v6:10:10628579:10631971:-1 gene:B456_010G074900 transcript:KJB64991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDENGLELSLGLSYSALSAKSKGKISSSSDTRTEEGDKGVEIVDDFKNFLQTRTQKQDPGVSSHRSDPVKPLENFFNDLSKAAGDAEASVNLNGKRKILFDEINNPKKLEREAHHVDLSEKPKTSHISMTTEDGSTAENEDVAESEVEGSPLRLVSRHDDGSKRFIGVSDSSEVPKEVKLGNLNYGNPCPVQSVNVLNVPFSLTMKHSNSPGTPSSSGHTLPGMIHSRPSGNGEGSVNPGNLPVMFGYSPVQLPLLDKDNPWGTVSHLPQFHPMSVGKGPPNSDKHSDGLKISQASVHTIVRNSSEAAQYNGGTFKQVKGEGKQHATEESSCTPVDEDVKGSSSMSLRANAPLDQPTAEGVVTLDFSIIKPGIAADLKFGGSGSFPNLPWVSTTGTGPHGRTISGVTYRFSANQIKIVCACHGTQMSPEEFVRHASEECTDPDNKNRLATFPSTNPAGSAQT >KJB66366 pep chromosome:Graimondii2_0_v6:10:32013995:32020025:-1 gene:B456_010G137900 transcript:KJB66366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSMDIATTFINFTTRCRRRSGIKTTVLPLFFKSSTINTRSLSSKPLPSSSLTVLSTSNFSKVNRCRRFSVAATATTTPQSEDSDVSTKIPPDTRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEVDIDGSLVAAKAAGAEDIVMLNNGCLCCTVRGDLVRMIAELVSKKKGKFDHIVIETTGLANPAPIIQTFYAEDQVFNDVKLDGVVTLVDAKHAGFHLDEVKPKGVVNEAVEQIAYADRIIVNKTDLVGEPEITSLVKRIRSINGMAHLKHTEFGKVDLEYVLGIGGFDLERIESSVNDGAKEDHAHHHDHDHHHHHHHEHEHKHDHHHDHTHDPGVSSVSIVCEGSLDLEKVG >KJB66363 pep chromosome:Graimondii2_0_v6:10:32013610:32020025:-1 gene:B456_010G137900 transcript:KJB66363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSMDIATTFINFTTRCRRRSGIKTTVLPLFFKSSTINTRSLSSKPLPSSSLTVLSTSNFSKVNRCRRFSVAATATTTPQSEDSDVSTKIPPDTRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEVDIDGSLVAAKAAGAEDIVMLNNGCLCCTVRGDLVRMIAELVSKKKGKFDHIVIETTGLANPAPIIQTFYAEDQVFNDVKLDGVVTLVDAKHAGFHLDEVKPKGVVNEAVEQIAYADRIIVNKTDLVGEPEITSLVKRIRSINGMAHLKHTEFGKVDLEYVLGIGGFDLERLIESSVNDGAKEDHAHHHDHDHHHHHHHEHEHKHDHHHDHTHDPGVSSVSIVCEGSLDLEKANIWLGTLLLERSEDIYRMKGLLSVQGMDERFVFQGVHDIFQGSPDRLWGADEPRINKIVFIGKNLNAEELEKGFKACLL >KJB66365 pep chromosome:Graimondii2_0_v6:10:32013376:32020179:-1 gene:B456_010G137900 transcript:KJB66365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSMDIATTFINFTTRCRRRSGIKTTVLPLFFKSSTINTRSLSSKPLPSSSLTVLSTSNFSKVNRCRRFSVAATATTTPQSEDSDVSTKIPPDTRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEVDIDGSLVAAKAAGAEDIVMLNNGCLCCTVRGDLVRMIAELVSKKKGKFDHIVIETTGLANPAPIIQTFYAEDQVFNDVKLDGVVTLVDAKHAGFHLDEVKPKGVVNEAVEQIAYADRIIVNKTDLVGEPEITSLVKRIRSINGMAHLKHTEFGKVDLEYVLGIGGFDLERPSS >KJB66367 pep chromosome:Graimondii2_0_v6:10:32017461:32020179:-1 gene:B456_010G137900 transcript:KJB66367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSMDIATTFINFTTRCRRRSGIKTTVLPLFFKSSTINTRSLSSKPLPSSSLTVLSTSNFSKVNRCRRFSVAATATTTPQSEDSDVSTKIPPDTRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEVDIDGSLVAAKAAGAEDIVMLNNGCLCCTVRGDLVRMIAELVSKKKGKFDHIVIETTGLANPAPIIQTFYAEDQVFNDVKLDGVVTLVDAKHAGFHLDEVKPKGVVNEAVEQIAYADRIIVNKTDLVGEPEITSLVKRIRSINGMAHLKHTEFGKVDLEYVLGIGGFDLERLFSALI >KJB66364 pep chromosome:Graimondii2_0_v6:10:32013376:32020179:-1 gene:B456_010G137900 transcript:KJB66364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSMDIATTFINFTTRCRRRSGIKTTVLPLFFKSSTINTRSLSSKPLPSSSLTVLSTSNFSKVNRCRRFSVAATATTTPQSEDSDVSTKIPPDTRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEVDIDGSLVAAKAAGAEDIVMLNNGCLCCTVRGDLVRMIAELVSKKKGKFDHIVIETTGLANPAPIIQTFYAEDQVFNDVKLDGVVTLVDAKHAGFHLDEVKPKGVVNEAVEQIAYADRIIVNKTDLVGEPEITSLVKRIRSINGMAHLKHTEFGKVDLEYVLGIGGFDLERIESSVNDGAKEDHAHHHDHDHHHHHHHEHEHKHDHHHDHTHDPGVSSVSIVCEGSLDLEKRGHLPDERSSFCSGYG >KJB66362 pep chromosome:Graimondii2_0_v6:10:32013304:32020192:-1 gene:B456_010G137900 transcript:KJB66362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSMDIATTFINFTTRCRRRSGIKTTVLPLFFKSSTINTRSLSSKPLPSSSLTVLSTSNFSKVNRCRRFSVAATATTTPQSEDSDVSTKIPPDTRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEVDIDGSLVAAKAAGAEDIVMLNNGCLCCTVRGDLVRMIAELVSKKKGKFDHIVIETTGLANPAPIIQTFYAEDQVFNDVKLDGVVTLVDAKHAGFHLDEVKPKGVVNEAVEQIAYADRIIVNKTDLVGEPEITSLVKRIRSINGMAHLKHTEFGKVDLEYVLGIGGFDLERIESSVNDGAKEDHAHHHDHDHHHHHHHEHEHKHDHHHDHTHDPGVSSVSIVCEGSLDLEKANIWLGTLLLERSEDIYRMKGLLSVQGMDERFVFQGVHDIFQGSPDRLWGADEPRINKIVFIGKNLNAEELEKGFKACLL >KJB63632 pep chromosome:Graimondii2_0_v6:10:672989:678977:-1 gene:B456_010G009000 transcript:KJB63632 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin-like 1 protein [Source:Projected from Arabidopsis thaliana (AT1G75310) TAIR;Acc:AT1G75310] MSYNKSSLGSKNGTNGTIHVAVPGFTCVVDENIAPPREDPVSSAVNEPYGTEKLGGGKGDDGVHCEKPASDVQACGVGKETLKGVDQFHSEPKSNGSDCNDVLFGTYDFGHGTPPSKVKRASSMSYNNGVNNRDSLKFGVPRSQSLDCDMGVSSPPYFDDELDVNSVAATSAAAVKKAIEEAQARLKVAKEIMERRKGYMGRVKPRSNGSSTSEERKKSKDTVKQNNFREDKAQETSEKIVASQQTSDGVKMQNVIKVHQVAAELEDEKRGFVAREAAGETCAKDFISLQADCRQDEVEKREAAKDGEREKDVTQDLNEHEGEEKTTFDKPEEYNEEPEVVEEATELKKKIDELREFFDNEECLHESVPDVEFHDTKEDETELRFGEQWEETEENVCNELEPCERKSEDPEQPIEDEKKVEMEEVKDTDDLERLTVAKEGLDMEEKHQHKIEQEENVSEKEKNEMLLEDVSVQEKFEEISEEAFEISELKEEVSAACRPEENNEEEDACDREDNEQMSDKMKEPVIIDPRDDAFDHEEEMRKLEENGDSLGNEEFMEAEENVELLEDAYQMEAADEGQMMASESVGTEEVQNQTNQEADEMTEAREDALDCYWEDLKVADNASNSNEINYLGETLEPSISEDSCETTPEFLCNEENEGIVEGCKAYSECKETEINSEADEMATNLEENLEFDKSDLAESNLKPDEIKWQAENTADASNFERSSIDVDPADVTFEKDHYEQHSEKSEKTFTMEKHVEELACKSEDVREAVVCLKQEENKYSFEHTDEVRFVDSQLHCEFGDKNEATQIGHEIEAGQSTEYEEENSPKTLMKEERETENASEEEVKLVREEQKRVDEAKERERKKEKVKEKEKERIAVERAIREARERAFAEARERAAAGRTNIEAQRKVKAETTQGESVKHSADTNDKTFMEAKLKAERAAVERATAEARQRALEKALSEKASLGARNQAEKFSDSKHSYQSYDSRYKGSCPPATSRYPNSSNQSASKYSEESDGAVGESAQRCKARLERHQRTAERAAKALAEKNERDLLAQKEQAERNRLAETLDAEVKRWLSGKQGNLRALLSTLQYILGPDSGWQPISLTDILGTAAVKKAYRKATLCVHPDKLQQRGANIQQKYICEKVFDLLKEAWNRFSAEER >KJB63631 pep chromosome:Graimondii2_0_v6:10:673149:678108:-1 gene:B456_010G009000 transcript:KJB63631 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin-like 1 protein [Source:Projected from Arabidopsis thaliana (AT1G75310) TAIR;Acc:AT1G75310] MSYNKSSLGSKNGTNGTIHVAVPGFTCVVDENIAPPREDPVSSAVNEPYGTEKLGGGKGDDGVHCEKPASDVQACGVGKETLKGVDQFHSEPKSNGSDCNDVLFGTYDFGHGTPPSKVKRASSMSYNNGVNNRDSLKFGVPRSQSLDCDMGVSSPPYFDDELDVNSVAATSAAAVKKAIEEAQARLKVAKEIMERRKGYMGRVKPRSNGSSTSEERKKSKDTVKQNNFREDKAQETSEKIVASQQTSDGVKMQNVIKVHQVAAELEDEKRGFVAREAAGETCAKDFISLQADCRQDEVEKREAAKDGEREKDVTQDLNEHEGEEKTTFDKPEEYNEEPEVVEEATELKKKIDELREFFDNEECLHESVPDVEFHDTKEDETELRFGEQWEETEENVCNELEPCERKSEDPEQPIEDEKKVEMEEVKDTDDLERLTVAKEGLDMEEKHQHKIEQEENVSEKEKNEMLLEDVSVQEKFEEISEEAFEISELKEEVSAACRPEENNEEEDACDREDNEQMSDKMKEPVIIDPRDDAFDHEEEMRKLEENGDSLGNEEFMEAEENVELLEDAYQMEAADEGQMMASESVGTEEVQNQTNQEADEMTEAREDALDCYWEDLKVADNASNSNEINYLGETLEPSISEDSCETTPEFLCNEENEGIVEGCKAYSECKETEINSEADEMATNLEENLEFDKSDLAESNLKPDEIKWQAENTADASNFERSSIDVDPADVTFEKDHYEQHSEKSEKTFTMEKHVEELACKSEDVREAVVCLKQEENKYSFEHTDEVRFVDSQLHCEFGDKNEATQIGHEIEAGQSTEYEEENSPKTLMKEERETENASEEEVKLVREEQKRVDEAKERERKKEKVKEKEKERIAVERAIREARERAFAEARERAAAGRTNIEAQRKVKAETTQGESVKHSADTNDKTFMEAKLKAERAAVERATAEARQRALEKALSEKASLGARNQAEKFSDSKHSYQSYDSRYKGSCPPATSRYPNSSNQSASKYSEESDGAVGESAQRCKARLERHQRTAERAAKALAEKNERDLLAQKEQAERNRLAETLDAEVKRWLSGKQGNLRALLSTLQYILGPDSGWQPISLTDILGTAAVKKAYRKATLCVHPDKLQQRGANIQQKYICEKVFDLLKEAWNRFSAEER >KJB63634 pep chromosome:Graimondii2_0_v6:10:672989:678977:-1 gene:B456_010G009000 transcript:KJB63634 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin-like 1 protein [Source:Projected from Arabidopsis thaliana (AT1G75310) TAIR;Acc:AT1G75310] MSYNKSSLGSKNGTNGTIHVAVPGFTCVVDENIAPPREDPVSSAVNEPYGTEKLGGGKGDDGVHCEKPASDVQACGVGKETLKGVDQFHSEPKSNGSDCNDVLFGTYDFGHGTPPSKVKRASSMSYNNGVNNRDSLKFGVPRSQSLDCDMGVSSPPYFDDELDVNSVAATSAAAVKKAIEEAQARLKVAKEIMERRKGYMGRVKPRSNGSSTSEERKKSKDTVKQNNFREDKAQETSEKIVASQQTSDGVKMQNVIKVHQVAAELEDEKRGFVAREAAGETCAKDFISLQADCRQDEVEKREAAKDGEREKDVTQDLNEHEGEEKTTFDKPEEYNEEPEVVEEATELKKKIDELREFFDNEECLHESVPDVEFHDTKEDETELRFGEQWEETEENVCNELEPCERKSEDPEQPIEDEKKVEMEEVKDTDDLERLTVAKEGLDMEEKHQHKIEQEENVSEKEKNEMLLEDVSVQEKFEEISEEAFEISELKEEVSAACRPEENNEEEDACDREDNEQMSDKMKEPVIIDPRDDAFDHEEEMRKLEENGDSLGNEEFMEAEENVELLEDAYQMEAADEGQMMASESVGTEEVQNQTNQEADEMTEAREDALDCYWEDLKVADNASNSNEINYLGETLEPSISEDSCETTPEFLCNEENEGIVEGCKAYSECKETEINSEADEMATNLEENLEFDKSDLAESNLKPDEIKWQAENTADASNFERSSIDVDPADVTFEKDHYEQHSEKSEKTFTMEKHVEELACKSEDVREAVVCLKQEENKYSFEHTDEVRFVDSQLHCEFGDKNEATQIGHEIEAGQSTEYEEENSPKTLMKEERETENASEEEVKLVREEQKRVDEAKERERKKEKVKEKEKERIAVERAIREARERAFAEARERAAAGRTNIEAQRKVKAETTQGESVKHSADTNDKTFMEAKLKAERAAVERATAEARQRALEKALSEKASLGARNQAEKFSDSKHSYQSYDSRYKGSCPPATSRYPNSSNQSASKYSEESDGAVGESAQRCKARLERHQRTAERAAKALAEKNERDLLAQKEQAERNRLAETLDAEVKRWLSGKQGNLRALLSTLQYILGPDSGWQPISLTDILGTAAVKKAYRKATLCVHPDKLQQRGANIQQKYICEKVFDLLKEAWNRFSAEER >KJB63630 pep chromosome:Graimondii2_0_v6:10:672923:679001:-1 gene:B456_010G009000 transcript:KJB63630 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin-like 1 protein [Source:Projected from Arabidopsis thaliana (AT1G75310) TAIR;Acc:AT1G75310] MEYQRASAATSFSKKLSNGHSFNGKSLYDGVFGGQTKVGSAVEDYAEIFGGGSGSSIPFLDVPELNQRKFSVEVSSSKLDYSNIFGGFGDVDFAVSHEEFVAKPTRDKKRPAKTKSHSEGSFSYPSSNRVVSNDEASHGSSNGVKQFKMSYNKSSLGSKNGTNGTIHVAVPGFTCVVDENIAPPREDPVSSAVNEPYGTEKLGGGKGDDGVHCEKPASDVQACGVGKETLKGVDQFHSEPKSNGSDCNDVLFGTYDFGHGTPPSKVKRASSMSYNNGVNNRDSLKFGVPRSQSLDCDMGVSSPPYFDDELDVNSVAATSAAAVKKAIEEAQARLKVAKEIMERRKGYMGRVKPRSNGSSTSEERKKSKDTVKQNNFREDKAQETSEKIVASQQTSDGVKMQNVIKVHQVAAELEDEKRGFVAREAAGETCAKDFISLQADCRQDEVEKREAAKDGEREKDVTQDLNEHEGEEKTTFDKPEEYNEEPEVVEEATELKKKIDELREFFDNEECLHESVPDVEFHDTKEDETELRFGEQWEETEENVCNELEPCERKSEDPEQPIEDEKKVEMEEVKDTDDLERLTVAKEGLDMEEKHQHKIEQEENVSEKEKNEMLLEDVSVQEKFEEISEEAFEISELKEEVSAACRPEENNEEEDACDREDNEQMSDKMKEPVIIDPRDDAFDHEEEMRKLEENGDSLGNEEFMEAEENVELLEDAYQMEAADEGQMMASESVGTEEVQNQTNQEADEMTEAREDALDCYWEDLKVADNASNSNEINYLGETLEPSISEDSCETTPEFLCNEENEGIVEGCKAYSECKETEINSEADEMATNLEENLEFDKSDLAESNLKPDEIKWQAENTADASNFERSSIDVDPADVTFEKDHYEQHSEKSEKTFTMEKHVEELACKSEDVREAVVCLKQEENKYSFEHTDEVRFVDSQLHCEFGDKNEATQIGHEIEAGQSTEYEEENSPKTLMKEERETENASEEEVKLVREEQKRVDEAKERERKKEKVKEKEKERIAVERAIREARERAFAEARERAAAGRTNIEAQRKVKAETTQGESVKHSADTNDKTFMEAKLKAERAAVERATAEARQRALEKALSEKASLGARNQAEKFSDSKHSYQSYDSRYKGSCPPATSRYPNSSNQSASKYSEESDGAVGESAQRCKARLERHQRTAERAAKALAEKNERDLLAQKEQAERNRLAETLDAEVKRWLSGKQGNLRALLSTLQYILGPDSGWQPISLTDILGTAAVKKAYRKATLCVHPDKLQQRGANIQQKYICEKVFDLLKEAWNRFSAEER >KJB63633 pep chromosome:Graimondii2_0_v6:10:674254:678858:-1 gene:B456_010G009000 transcript:KJB63633 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin-like 1 protein [Source:Projected from Arabidopsis thaliana (AT1G75310) TAIR;Acc:AT1G75310] MEYQRASAATSFSKKLSNGHSFNGKSLYDGVFGGQTKVGSAVEDYAEIFGGGSGSSIPFLDVPELNQRKFSVEVSSSKLDYSNIFGGFGDVDFAVSHEEFVAKPTRDKKRPAKTKSHSEGSFSYPSSNRVVSNDEASHGSSNGVKQFKMSYNKSSLGSKNGTNGTIHVAVPGFTCVVDENIAPPREDPVSSAVNEPYGTEKLGGGKGDDGVHCEKPASDVQACGVGKETLKGVDQFHSEPKSNGSDCNDVLFGTYDFGHGTPPSKVKRASSMSYNNGVNNRDSLKFGVPRSQSLDCDMGVSSPPYFDDELDVNSVAATSAAAVKKAIEEAQARLKVAKEIMERRKGYMGRVKPRSNGSSTSEERKKSKDTVKQNNFREDKAQETSEKIVASQQTSDGVKMQNVIKVHQVAAELEDEKRGFVAREAAGETCAKDFISLQADCRQDEVEKREAAKDGEREKDVTQDLNEHEGEEKTTFDKPEEYNEEPEVVEEATELKKKIDELREFFDNEECLHESVPDVEFHDTKEDETELRFGEQWEETEENVCNELEPCERKSEDPEQPIEDEKKVEMEEVKDTDDLERLTVAKEGLDMEEKHQHKIEQEENVSEKEKNEMLLEDVSVQEKFEEISEEAFEISELKEEVSAACRPEENNEEEDACDREDNEQMSDKMKEPVIIDPRDDAFDHEEEMRKLEENGDSLGNEEFMEAEENVELLEDAYQMEAADEGQMMASESVGTEEVQNQTNQEADEMTEAREDALDCYWEDLKVADNASNSNEINYLGETLEPSISEDSCETTPEFLCNEENEGIVEGCKAYSECKETEINSEADEMATNLEENLEFDKSDLAESNLKPDEIKWQAENTADASNFERSSIDVDPADVTFEKDHYEQHSEKSEKTFTMEKHVEELACKSEDVREAVVCLKQEENKYSFEHTDEVRFVDSQLHCEFGDKNEATQIGHEIEAGQSTEYEEENSPKTLMKEERETENASEEEVKLVREEQKRVDEAKERERKKEKVKEKEKERIAVERAIREARERAFAEARERAAAGRTNIEAQRKVKAETTQGESVKHSADTNDKTFMEAKLKAERAAVERATAEARQRALEKALSEKASLGARNQAEKFSDSKHSYQSYDSRYKGSCPPATSRYPNSSNQSASKYSEESDGAVGESAQRCKARLERHQRTAERAAKALAEKNERDLLAQKEQAERNRLAETLDAEVKRWLSGKQGNLRALLSTLQYVYPWP >KJB67978 pep chromosome:Graimondii2_0_v6:10:58922301:58934696:1 gene:B456_010G220200 transcript:KJB67978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSTAEKGSPGQEQQAAGVGILLQIMMLVLSFVVGHVLRRHKFYYLPEASASLLIGLIVGGLANISDTERSIRAWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFSNFGAIVTFAIFGTFIASVVTGVLVYLGGLMYLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDMNLYALVFGESVLNDAMAISLYRTMSVVRSNDPSGQNFFMVIVRFLETFVGSMSAGVGVGFTSALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTFSNLSENSQHFVSDFFHLISSLAETFIFIYMGFDIAMEKHSWSHVGFIFFSILFIAIARAVNVFSCAYLINLVRPAHRQIPSKHQKALCYSGLRGAMAFALALQSVHDLQEGHGQIIFTATTAIVVLTVLLIGGSTGTMLEALQVVGDGHDAHLGEGFEGNNGYVPTSHEEDETTGNKLRMKLKEFHRSAASFSEIDRNYLTPFFTSQNGDSEDEEDDPMPSSRRGVYHGHS >KJB67980 pep chromosome:Graimondii2_0_v6:10:58922301:58931802:1 gene:B456_010G220200 transcript:KJB67980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSTAEKGSPGQEQQAAGVGILLQIMMLVLSFVVGHVLRRHKFYYLPEASASLLIGLIVGGLANISDTERSIRAWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFSNFGAIVTFAIFGTFIASVVTGVLVYLGGLMYLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDMNLYALVFGESVLNDAMAISLYRTMSVVRSNDPSGQNFFMVIVRFLETFVGSMSAGVGVGFTSALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTFSNLSENSQHFVSDFFHLISSLAETFIFIYMGFDIAMEKHSWSHVGFIFFSILFIAIARAVNVFSCAYLINLVRPAHRQIPSKHQKALCYSGLRGAMAFALALQSVHDLQEGHGQIIFTATTAIVVLTVLLIGGSTGTMLEALQVVGDGHDAHLGEGFEGNNGYVPTSHEEDETTGNKLRMKLKEFHRSAASFSEIDRNYLTPFFTSQNGDSEDEEDDPMPSSRRGVYHGHS >KJB67973 pep chromosome:Graimondii2_0_v6:10:58922301:58931802:1 gene:B456_010G220200 transcript:KJB67973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSTAEKGSPGQEQQAAGVGILLQIMMLVLSFVVGHVLRRHKFYYLPEASASLLIGLIVGGLANISDTERSIRAWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFSNFGAIVTFAIFGTFIASVVTGVLVYLGGLMYLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDMNLYALVFGESVLNDAMAISLYRTMSVVRSNDPSGQNFFMVIVRFLETFVGSMSAGVGVGFTSALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTFSNLSENSQHFVSDFFHLISSLAETFIFIYMGFDIAMEKHSWSHVGFIFFSILFIAIARAVNVFSCAYLINLVRPAHRQIPSKHQKALCYSEGHGQIIFTATTAIVVLTVLLIGGSTGTMLEALQVVGDGHDAHLGEGFEGNNGYVPTSHEEDETTGNKLRMKLKEFHRSAASFSEIDRNYLTPFFTSQNGDSEDEEDDPMPSSRRGVYHGHS >KJB67977 pep chromosome:Graimondii2_0_v6:10:58922301:58931802:1 gene:B456_010G220200 transcript:KJB67977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSTAEKGSPGQEQQAAGVGILLQIMMLVLSFVVGHVLRRHKFYYLPEASASLLIGLIVGGLANISDTERSIRAWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFSNFGAIVTFAIFGTFIASVVTGVLVYLGGLMYLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDMNLYALVFGESVLNDAMAISLYRTMSVVRSNDPSGQNFFMVIVRFLETFVGSMSAGVGVGFTSNLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTFSNLSENSQHFVSDFFHLISSLAETFIFIYMGFDIAMEKHSWSHVGFIFFSILFIAIARAVNVFSCAYLINLVRPAHRQIPSKHQKALCYSGLRGAMAFALALQSVHDLQEGHGQIIFTATTAIVVLTVLLIGGSTGTMLEALQVVGDGHDAHLGEGFEGNNGYVPTSHEEDETTGNKLRMKLKEFHRSAASFSEIDRNYLTPFFTSQNGDSEDEEDDPMPSSRRGVYHGHS >KJB67974 pep chromosome:Graimondii2_0_v6:10:58922301:58931869:1 gene:B456_010G220200 transcript:KJB67974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSTAEKGSPGQEQQAAGVGILLQIMMLVLSFVVGHVLRRHKFYYLPEASASLLIGLIVGGLANISDTERSIRAWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFSNFGAIVTFAIFGTFIASVVTGVLVYLGGLMYLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDMNLYALVFGESVLNDAMAISLYRTMSVVRSNDPSGQNFFMVIVRFLETFVGSMSAGVGVGFTSALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTFSNLSENSQHFVSDFFHLISSLAETFIFIYMGFDIAMEKHSWSHVGFIFFSILFIAIARAVNVFSCAYLINLVRPAHRQIPSKHQKALCYSGLRGAMAFALALQSVHDLQEGHGQIIFTATTAIVVLTVLLIGGSTGTMLEALQVVGDGHDAHLGEGFEGNNGYVPTSHEEDETTGNKLRMKLKEFHRSAASFSEIDRNYLTPFFTSQNGDSEDEEDDPMPSSRRGVYHGHS >KJB67976 pep chromosome:Graimondii2_0_v6:10:58922301:58931802:1 gene:B456_010G220200 transcript:KJB67976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSTAEKGSPGQEQQAAGVGILLQIMMLVLSFVVGHVLRRHKFYYLPEASASLLIGLIVGGLANISDTERSIRAWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFSNFGAIVTFAIFGTFIASVVTGVLVYLGGLMYLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDMNLYALVFGESVLNDAMAISLYRTMSVVRSNDPSGQNFFMVIVRFLETFVGSMSAGVGVGFTSALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTFSNLSENSQHFVSDFFHLISSLAETFIFIYMGFDIAMEKHSWSHVGFIFFSILFIAIARAVNVFSCAYLINLVRPAHRQIPSKHQKALCYSGLRGAMAFALALQSVHDLQEGHGQIIFTATTAIVVLTVLLIGGSTGTMLEALQVVGDGHDAHLGEGFEGNNGYVPTSHEEDETTGNKLRMKLKEFHRR >KJB67979 pep chromosome:Graimondii2_0_v6:10:58922301:58931802:1 gene:B456_010G220200 transcript:KJB67979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSTAEKGSPGQEQQAAGVGILLQIMMLVLSFVVGHVLRRHKFYYLPEASASLLIGLIVGGLANISDTERSIRAWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFSNFGAIVTFAIFGTFIASVVTGVLVYLGGLMYLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDMNLYALVFGESVLNDAMAISLYRTMSVVRSNDPSGQNFFMVIVRFLETFVGSMSAGVGVGFTSALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTFSNLSENSQHFVSDFFHLISSLAETFIFIYMGFDIAMEKHSWSHVGFIFFSILFIAIARAVNVFSCAYLINLVRPAHRQIPSKHQKALCYSGLRGAMAFALALQSVHDLQEGHGQIIFTATTAIVVLTVLLIGGSTGTMLEALQVVGDGHDAHLGEGFEGNNGYVPTSHEEDETTGNKLRMKLKEFHRSAASFSEIDRNYLTPFFTSQNGDSEDEEDDPMPSSRRGVYHGHS >KJB67975 pep chromosome:Graimondii2_0_v6:10:58922301:58931802:1 gene:B456_010G220200 transcript:KJB67975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSTAEKGSPGQEQQAAGVGILLQIMMLVLSFVVGHVLRRHKFYYLPEASASLLIGLIVGGLANISDTERSIRAWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFSNFGAIVTFAIFGTFIASVVTGVLVYLGGLMYLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDMNLYALVFGESVLNDAMAISLYRTMSVVRSNDPSGQNFFMVIVRFLETFVGSMSAGVGVGFTSALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTFSNLSENSQHFVSDFFHLISSLAETFMAVNVFSCAYLINLVRPAHRQIPSKHQKALCYSGLRGAMAFALALQSVHDLQEGHGQIIFTATTAIVVLTVLLIGGSTGTMLEALQVVGDGHDAHLGEGFEGNNGYVPTSHEEDETTGNKLRMKLKEFHRSAASFSEIDRNYLTPFFTSQNGDSEDEEDDPMPSSRRGVYHGHS >KJB65700 pep chromosome:Graimondii2_0_v6:10:20243772:20247335:-1 gene:B456_010G109200 transcript:KJB65700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAQSRIENEESVVRCKDRKNLMKDAVVTRNAFAAGHSGYAISLKNTGAALSDYGHGEAEEPLEEQPRGIPPLDSTPPPPPPPPMMDNLPPPPPLPNFSPSPVAPIKRALSMPEMPIKSRKEFDSSLAIEEEEEENHLEKEVLEKNHNEGLRKDSRGPNGEDMTASTLENNNVTHHPPPMPEAKHMAWDYFFMVDNNMPGPSLDLDNNDNETEGRNAEPFANNVGGVGFNSHGGADSEIEPKTPERPEKVAVEDDVKGKQQVQMEHSKTAPADFRRMVKTVSSVNLMLVLNEIDDHFLKCSESAQEVSKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNSSFRGMTNIEDRKDEFDSEEHESHATVLDKLLAWEKKLYDEVKQGELMKLEYKRKVAWLNKQKKRGATAESLEKTKAAVSHLHTRYIVDMQSMDSTVSEVNRLRDEQLYPKLVMLVDGMANMWASMCIHHDRQLKVVEKLKSLDVALSSKETTKQHHDWTIQLHNVVQEWHSQFNKLVTNQKQYILALNNWLKLNLIPIESSLKEKISSPPRAQNPPIQALLHAWHDCLEKLPDEVAKSAISSFAAVIKTIIIHQDEEMKLKEKCEETRKEFLRKSQAFEEWYHKYKQRRSALDEIDAGEDANAKDPVSERQLVVESLKKRLEEEAEAHKKHCIQVREKSIGSLKIRLPEIFRALSDYSHACSEAYEKLRSVTQSQKTNGAPS >KJB65100 pep chromosome:Graimondii2_0_v6:10:11767562:11769390:-1 gene:B456_010G081000 transcript:KJB65100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEKKRSASFSKGLLQSGELYQYVLETNVYPREPELLKELRDMTATHPRAIMATAPDAGQLIAMLLKLTNAKRTIEVGVFTGYSLLLTAFTIPEDGKIVAIDMNREAYEIGLPVIRKAGVENKIEFIESEALPVLDQLLEDIRMKYFKILPGNENGFDFAFIDADKINYWKYHERLMKLVKVGGIVVYDNTLWAGSVAMPEECTPEILREGRERTLEFNKLLAADPLVEISLAPLGDGITISRNNEVKQRVTKATIFIQMFGLGLSAASSLGW >KJB65098 pep chromosome:Graimondii2_0_v6:10:11767562:11769839:-1 gene:B456_010G081000 transcript:KJB65098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEKKRSASFSKGLLQSGELYQYVLETNVYPREPELLKELRDMTATHPRAIMATAPDAGQLIAMLLKLTNAKRTIEVGVFTGYSLLLTAFTIPEDGKIVAIDMNREAYEIGLPVIRKAGVENKIEFIESEALPVLDQLLEDIRMKYFKILPGNENGFDFAFIDADKINYWKYHERLMKLVKVGGIVVYDNTLWAGSVAMPEECTPEILREGRERTLEFNKLLAADPLVEISLAPLGDGITISRNNEVKQRVTKATIFIQMFGLGLSAASSLGW >KJB65099 pep chromosome:Graimondii2_0_v6:10:11767562:11769868:-1 gene:B456_010G081000 transcript:KJB65099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEKKRSASFSKGLLQSGELYQYVLETNVYPREPELLKELRDMTATHPRAIMATAPDAGQLIAMLLKLTNAKRTIEVGVFTGYSLLLTAFTIPEDGKIVAIDMNREAYEIGLPVIRKAGVENKIEFIESEALPVLDQLLEDIRMKYFKILPGNENGFDFAFIDADKINYWKYHERLMKLVKVGGIVVYDNTLWAGSVAMPEECTPEILREGRERTLEFNKLLAADPLVEISLAPLGDGITISRNNEVKQRVTKATIFIQMFGLGLSAASSLGW >KJB67622 pep chromosome:Graimondii2_0_v6:10:56343932:56344655:-1 gene:B456_010G200700 transcript:KJB67622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKVLNFLYFQLSVSTTKTFLRRQAQESKILTIDVKPGWKKGTKITFPDKGNKQPNQLLADLVFVIDEKPYNLYKRDGNDLIVNKRVSLAEALGGTTINLTTLDGGGGDATIF >KJB64432 pep chromosome:Graimondii2_0_v6:10:5513145:5514163:1 gene:B456_010G049200 transcript:KJB64432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQMPCAALSNVWNKVKPFLAIISLQFGYAGMYIISLVSLKHGMSNFILCTYRHVVATIIIAPFAFVLERKIRPKMTLPIFLRIVVLGLLEPVLDQNLYYLGMMKTTATYSSAFVNMLPAVTFILAMIFRLEKINLKKIYSVAKIIGTAITVVGAMVMTLYKGPIVDFIKSGGVAHHGTTTESADKHWVTGTIMLLASILSWSSYFILQVSGNIFKVWFTLHACSPPNCIGYGLWFSPSR >KJB64431 pep chromosome:Graimondii2_0_v6:10:5513068:5515279:1 gene:B456_010G049200 transcript:KJB64431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQMPCAALSNVWNKVKPFLAIISLQFGYAGMYIISLVSLKHGMSNFILCTYRHVVATIIIAPFAFVLERKIRPKMTLPIFLRIVVLGLLEPVLDQNLYYLGMMKTTATYSSAFVNMLPAVTFILAMIFRLEKINLKKIYSVAKIIGTAITVVGAMVMTLYKGPIVDFIKSGGVAHHGTTTESADKHWVTGTIMLLASILSWSSYFILQSFTLKMYPAELSLTAWICLMGTIENAVASLIMVRGISAWKLGWDSRLLAASYSVSAPIKTKYNE >KJB64433 pep chromosome:Graimondii2_0_v6:10:5513068:5515279:1 gene:B456_010G049200 transcript:KJB64433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPIFLRIVVLGLLEPVLDQNLYYLGMMKTTATYSSAFVNMLPAVTFILAMIFRLEKINLKKIYSVAKIIGTAITVVGAMVMTLYKGPIVDFIKSGGVAHHGTTTESADKHWVTGTIMLLASILSWSSYFILQSFTLKMYPAELSLTAWICLMGTIENAVASLIMVRGISAWKLGWDSRLLAASYSGIVCSGIAYYVQGVVIRERGPVFLTSFSPLCMIITAALGTFVLSEQVHLGSILGAIIIVSGLYTVVWGKSKDGKSPESDEKSKGLQELPITNNAKSINVDESIDGDAKIVKIPASNRPFST >KJB64430 pep chromosome:Graimondii2_0_v6:10:5513068:5515279:1 gene:B456_010G049200 transcript:KJB64430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQMPCAALSNVWNKVKPFLAIISLQFGYAGMYIISLVSLKHGMSNFILCTYRHVVATIIIAPFAFVLERKIRPKMTLPIFLRIVVLGLLEPVLDQNLYYLGMMKTTATYSSAFVNMLPAVTFILAMIFRLEKINLKKIYSVAKIIGTAITVVGAMVMTLYKGPIVDFIKSGGVAHHGTTTESADKHWVTGTIMLLASILSWSSYFILQSFTLKMYPAELSLTAWICLMGTIENAVASLIMVRGISAWKLGWDSRLLAASYSVSAPIKTKYNE >KJB64434 pep chromosome:Graimondii2_0_v6:10:5513068:5515318:1 gene:B456_010G049200 transcript:KJB64434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQMPCAALSNVWNKVKPFLAIISLQFGYAGMYIISLVSLKHGMSNFILCTYRHVVATIIIAPFAFVLERKIRPKMTLPIFLRIVVLGLLEPVLDQNLYYLGMMKTTATYSSAFVNMLPAVTFILAMIFRLEKINLKKIYSVAKIIGTAITVVGAMVMTLYKGPIVDFIKSGGVAHHGTTTESADKHWVTGTIMLLASILSWSSYFILQSFTLKMYPAELSLTAWICLMGTIENAVASLIMVRGISAWKLGWDSRLLAASYSGIVCSGIAYYVQGVVIRERGPVFLTSFSPLCMIITAALGTFVLSEQVHLGSILGAIIIVSGLYTVVWGKSKDGKSPESDEKSKGLQELPITNNAKSINVDESIDGDAKIVKIPASNRPFST >KJB65834 pep chromosome:Graimondii2_0_v6:10:22318160:22318790:-1 gene:B456_010G115400 transcript:KJB65834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEVKLLKTWSSPFGLRPIWALKLKCIQYESIDEDLSNKSALLLQLNPIYQKIPVLVHNRKPISESLVILEYLDQTWTQNPIMPQHPLEKARQRFWAKFNDEKYFTNSLLHLLPSIWSVFTKEGKQREEAMEELVENLKLAEEELQGKRFFRGHKIGVADFDFGWLANLISVFEQVTGFKVIDGTFPLLSTWI >KJB68266 pep chromosome:Graimondii2_0_v6:10:60574396:60578309:-1 gene:B456_010G235200 transcript:KJB68266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKHLWQLISFYLSTTLALLRHLVLHILTLNQSPFIIKFMDLILSVYFRLCNLIPCAVDLDDQTTIHFWVTNHRRFDRPSLVMIHGYGGNPLWQFVYQVGPLSRRFNLYIPDLLFFGKSHSKSPFRSEFFQAKCLSDGLKRIGVDRFSVYAISYGGFVAYRMAEIRPDAVEKVAIVSSGLSFTDEQRDQQLRTIGRHPSEILVPKKPDDLRLLVNLSTFKQSTLKWVPDFLLRQFITLMYDHCRKEKIELADYLVKKKADPNLHVLTQENVGSSALKHIITLSMG >KJB68268 pep chromosome:Graimondii2_0_v6:10:60574630:60578244:-1 gene:B456_010G235200 transcript:KJB68268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKHLWQLISFYLSTTLALLRHLVLHILTLNQSPFIIKFMDLILSVYFRLCNLIPCAVDLDDQTTIHFWVTNHRRFDRPSLVMIHGYGGNPLWQFVYQVGPLSRRFNLYIPDLLFFGKSHSKSPFRSEFFQAKCLSDGLKRIGVDRFSVYAISYGGFVAYRMAEIRPDAVEKVAIVSSGLSFTDEQRDQQLRTIGRHPSEILVPKKPDDLRLLVNLSTFKQSTLKWVPDFLLRQFITLMYDHCRKEKIELADYLVKKKADPNLHVLTQALG >KJB68269 pep chromosome:Graimondii2_0_v6:10:60574630:60578244:-1 gene:B456_010G235200 transcript:KJB68269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKHLWQLISFYLSTTLALLRHLVLHILTLNQSPFIIKFMDLILSVYFRLCNLIPCAVDLDDQTTIHFWVTNHRRFDRPSLVMIHGYGGNPLWQFVYQAKCLSDGLKRIGVDRFSVYAISYGGFVAYRMAEIRPDAVEKVAIVSSGLSFTDEQRDQQLRTIGRHPSEILVPKKPDDLRLLVNLSTFKQSTLKWVPDFLLRQFITLMYDHCRKEKIELADYLVKKKADPNLHVLTQETLIIWGDQDKVFPLELAYNLQRHLGKNSRLEIIKNVGHAANLESPDELNKLIFSFVIGCS >KJB68267 pep chromosome:Graimondii2_0_v6:10:60574595:60578309:-1 gene:B456_010G235200 transcript:KJB68267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKHLWQLISFYLSTTLALLRHLVLHILTLNQSPFIIKFMDLILSVYFRLCNLIPCAVDLDDQTTIHFWVTNHRRFDRPSLVMIHGYGGNPLWQFVYQVGPLSRRFNLYIPDLLFFGKSHSKSPFRSEFFQAKCLSDGLKRIGVDRFSVYAISYGGFVAYRMAEIRPDAVEKVAIVSSGLSFTDEQRDQQLRTIGRHPSEILVPKKPDDLRLLVNLSTFKQSTLKWVPDFLLRQFITLMYDHCRKEKIELADYLVKKKADPNLHVLTQETLIIWGDQDKVFPLELAYNLQRHLGKNSRLEIIKNVGHAANLESPDELNKLIFSFVIGCS >KJB66797 pep chromosome:Graimondii2_0_v6:10:44849869:44854491:-1 gene:B456_010G158900 transcript:KJB66797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHFHCSSNPRPSQPSLLVFSGGTAFNGVVEELKKLTVRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLADESTSEALAVRRLLGHRLPINPQQAKSEWYKILEGEHSLWEGVSKPYRETIRAFLAYFQNEILRRPNESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTNIIGPVDKGRSSVPALPSRIKRVFYMSSEGTNSLHEVFPTVNQAVLDQLSNVDCIVYAMGSLFTSLCPSLVLLGIGEIISSRSCPKVLLLNGSHDRETSGFSASSFVTAITDALNRTYGNSHNCLRNLVSE >KJB66792 pep chromosome:Graimondii2_0_v6:10:44848719:44854718:-1 gene:B456_010G158900 transcript:KJB66792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTYSLIGGFYSKPSPPLPPFFLKTPKLPLHFPSKSMAAHFHCSSNPRPSQPSLLVFSGGTAFNGVVEELKKLTVRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLADESTSEALAVRRLLGHRLPINPQQAKSEWYKILEGEHSLWEGVSKPYRETIRAFLAYFQNEILRRPNESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTNIIGPVDKGRSSVPALPSRIKRVFYMSSEGTNSLHEVFPTVNQAVLDQLSNVDCIVYAMGSLFTSLCPSLVLLGIGEIISSRSCPKVLLLNGSHDRETSGFSASSFVTAITDALNRTYGNSHNCLRNLPSQYINTLLVPKDGEIPIDIQSLSSQGIFDVVIVNSMHDPKVGTIFDPVSLINALGNVVSRYMRTKD >KJB66795 pep chromosome:Graimondii2_0_v6:10:44849602:44854575:-1 gene:B456_010G158900 transcript:KJB66795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTYSLIGGFYSKPSPPLPPFFLKTPKLPLHFPSKSMAAHFHCSSNPRPSQPSLLVFSGGTAFNGVVEELKKLTVRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLADESTSEALAVRRLLGHRLPINPQQAKSEWYKILEGEHSLWEGVSKPYRETIRAFLAYFQNEILRRPNESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTNIIGPVDKGRSSVPALPSRIKRVFYMSSEGTNSLHEVFPTVNQAVLDQLSNVDCIVYAMGSLFTSLCPSLVLLGIGEIISSRSCPKVLLLNGSHDRETSGFSASSFVTAITDALNRTYGNSHNCLRNLPSQYINTLLVPKDGEIPIDIQSLSSQGIFDVSRFY >KJB66796 pep chromosome:Graimondii2_0_v6:10:44849354:44854718:-1 gene:B456_010G158900 transcript:KJB66796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTYSLIGGFYSKPSPPLPPFFLKTPKLPLHFPSKSMAAHFHCSSNPRPSQPSLLVFSGGTAFNGVVEELKKLTVRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLADESTSEALAVRRLLGHRLPINPQQAKSEWYKILEGEHSLWEGVSKPYRETIRAFLAYFQNEILRRPNESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTNIIGPVDKGRSSVPALPSRIKRVFYMSSEGTNSLHEVFPTVNQAVLDQLSNVDCIVYAMGSLFTSLCPSLVLLLNGSHDRETSGFSASSFVTAITDALNRTYGNSHNCLRNLPSQYINTLLVPKDGEIPIDIQSLSSQGIFDVSRFY >KJB66798 pep chromosome:Graimondii2_0_v6:10:44851627:44854491:-1 gene:B456_010G158900 transcript:KJB66798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHFHCSSNPRPSQPSLLVFSGGTAFNGVVEELKKLTVRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLADESTSEALAVRRLLGHRLPINPQQAKSEWYKILEGEHSLWEGVSKPYRETIRAFLAYFQNEILRRPNESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPAESLVLPVISTNDRLTLGCELWVHMTYLANYLSPTGPVVGSSLTRCDLI >KJB66793 pep chromosome:Graimondii2_0_v6:10:44848708:44854718:-1 gene:B456_010G158900 transcript:KJB66793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTYSLIGGFYSKPSPPLPPFFLKTPKLPLHFPSKSMAAHFHCSSNPRPSQPSLLVFSGGTAFNGVVEELKKLTVRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLADESTSEALAVRRLLGHRLPINPQQAKSEWYKILEGEHSLWEGVSKPYRETIRAFLAYFQNEILRRPNESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTNIIGPVDKGRSSVPALPSRIKRVFYMSSEGTNSLHEVFPTVNQAVLDQLSNVDCIVYAMGSLFTSLCPSLVLLLNGSHDRETSGFSASSFVTAITDALNRTYGNSHNCLRNLPSQYINTLLVPKDGEIPIDIQSLSSQGIFDVVIVNSMHDPKVGTIFDPVSLINALGNVVSRYMRTKD >KJB66794 pep chromosome:Graimondii2_0_v6:10:44848719:44854491:-1 gene:B456_010G158900 transcript:KJB66794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHFHCSSNPRPSQPSLLVFSGGTAFNGVVEELKKLTVRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLADESTSEALAVRRLLGHRLPINPQQAKSEWYKILEGEHSLWEGVSKPYRETIRAFLAYFQNEILRRPNESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTNIIGPVDKGRSSVPALPSRIKRVFYMSSEGTNSLHEVFPTVNQAVLDQLSNVDCIVYAMGSLFTSLCPSLVTFFNYLISNKDIYLPF >KJB64803 pep chromosome:Graimondii2_0_v6:10:8419674:8423239:1 gene:B456_010G065700 transcript:KJB64803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGTKVEVLSKKEVPSGSWHCAEIISGNGHNYKVRYEAYSNAVDKTIVEMVSRKAVRPCPPALVVSDNWVPGDVVEVFDNFSWKMATILAILEKKYVLVRLLGSSLEFRVSKFDIRVRQSWQDNEWVVIGKGSGSCVDVKHGENSTLRCKQNSSSQFQNTIRRTNRHVRDECGPVNTKVNYRDSVIASSKTLKRGCYSQVEAHAAAGQKLRAVERNERLYRLVAANPSVLEQG >KJB64808 pep chromosome:Graimondii2_0_v6:10:8419540:8423319:1 gene:B456_010G065700 transcript:KJB64808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGTKVEVLSKKEVPSGSWHCAEIISGNGHNYKVRYEAYSNAVDKTIVEMVSRKAVRPCPPALVVSDNWVPGDVVEVFDNFSWKMATILAILEKKYVLVRLLGSSLEFRVSKFDIRVRQSWQDNEWVVIGKGSGSCVDVKHGENSTLRCKQNSSSQFQNTIRRTNRHVRDECGPVNTKVNYRDSVIASSKTLKRGCYSQVEAHAAAGQKLRAVERNERLYRLVAANPSVLEQVDAVAFPRDMLGEKYVHSSLNDKTGLSEVHGDWRKPNGAVGCYFAETNDADSVTCSVGSCSVSSNNFCRLPHCVSTGPIEVVDGQCSDAESFCPRGDEGGNCLLPTKEELAALIHKIKLHAYRCTLEALHASGPLSWEQEALG >KJB64804 pep chromosome:Graimondii2_0_v6:10:8419674:8421134:1 gene:B456_010G065700 transcript:KJB64804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGTKVEVLSKKEVPSGSWHCAEIISGNGHNYKVRYEAYSNAVDKTIVEMVSRKAVRPCPPALVVSDNWVPGDVVEVFDNFSWKMATILAILEKKYVLVRLLGSSLEFRVSKFDIRVRQSWQDNEWVVIGKVLPNLCSSCI >KJB64805 pep chromosome:Graimondii2_0_v6:10:8419540:8424749:1 gene:B456_010G065700 transcript:KJB64805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGTKVEVLSKKEVPSGSWHCAEIISGNGHNYKVRYEAYSNAVDKTIVEMVSRKAVRPCPPALVVSDNWVPGDVVEVFDNFSWKMATILAILEKKYVLVRLLGSSLEFRVSKFDIRVRQSWQDNEWVVIGKGSGSCVDVKHGENSTLRCKQNSSSQFQNTIRRTNRHVRDECGPVNTKVNYRDSVIASSKTLKRGCYSQVEAHAAAGQKLRAVERNERLYRLVAANPSVLEQG >KJB64807 pep chromosome:Graimondii2_0_v6:10:8419674:8423008:1 gene:B456_010G065700 transcript:KJB64807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGTKVEVLSKKEVPSGSWHCAEIISGNGHNYKVRYEAYSNAVDKTIVEMVSRKAVRPCPPALVVSDNWVPGDVVEVFDNFSWKMATILAILEKKYVLVRLLGSSLEFRVSKFDIRVRQSWQDNEWVVIGKGSGSCVDVKHGENSTLRCKQNSSSQFQNTIRRTNRHVRDECGPVNTKVNYRDSVIASSKTLKRGCYSQVEAHAAAGQKLRAVERNERLYRLVAANPSVLEQG >KJB64802 pep chromosome:Graimondii2_0_v6:10:8419540:8424749:1 gene:B456_010G065700 transcript:KJB64802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGTKVEVLSKKEVPSGSWHCAEIISGNGHNYKVRYEAYSNAVDKTIVEMVSRKAVRPCPPALVVSDNWVPGDVVEVFDNFSWKMATILAILEKKYVLVRLLGSSLEFRVSKFDIRVRQSWQDNEWVVIGKGSGSCVDVKHGENSTLRCKQNSSSQFQNTIRRTNRHVRDECGPVNTKVNYRDSVIASSKTLKRGCYSQVEAHAAAGQKLRAVERNERLYRLVAANPSVLEQCMETGENQMVLLDVILQKPMMLIVLHALLVVVADAESFCPRGDEGGNCLLPTKEELAALIHKIKLHAYRCTLEALHASGPLSWEQEALG >KJB64801 pep chromosome:Graimondii2_0_v6:10:8419674:8425539:1 gene:B456_010G065700 transcript:KJB64801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGTKVEVLSKKEVPSGSWHCAEIISGNGHNYKVRYEAYSNAVDKTIVEMVSRKAVRPCPPALVVSDNWVPGDVVEVFDNFSWKMATILAILEKKYVLVRLLGSSLEFRVSKFDIRVRQSWQDNEWVVIGKGSGSCVDVKHGENSTLRCKQNSSSQFQNTIRRTNRHVRDECGPVNTKVNYRDSVIASSKTLKRGCYSQVEAHAAAGQKLRAVERNERLYRLVAANPSVLEQVDAVAFPRDMLGEKYVHSSLNDKTGLSEVHGDWRKPNGAVGCYFAETNDADSVTCSVGSCSVSSNNFCRLPHCVSTGPIEVVDGQCSDAESFCPRGDEGGNCLLPTKEELAALIHKIKLHAYRCTLEALHASGPLSWEQEALG >KJB64806 pep chromosome:Graimondii2_0_v6:10:8419674:8423239:1 gene:B456_010G065700 transcript:KJB64806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGTKVEVLSKKEVPSGSWHCAEIISGNGHNYKVRYEAYSNAVDKTIVEMVSRKAVRPCPPALVVSDNWVPGDVVEVFDNFSWKMATILAILEKKYVLVRLLGSSLEFRVSKFDIRVRQSWQDNEWVVIGKGSGSCVDVKHGENSTLRCKQNSSSQFQNTIRRTNRHVRDECGPVNTKVNYRDSVIASSKTLKRGCYSQVEAHAAAGQKLRAVERNERLYRLVAANPSVLEQVDAVAFPRDMLGEKYVHSSLNDKTGLSEVHGDWRKPNGAVGCYFAETNDADSVTCSVGSCSVSSNNFCRLPHCVSTGPIEVVDGQCSDAESFCPRGDEGGNCLLPTKEELAALIHKIKLHAYRCTLEALHASGPLSWEQEALVTNLRLSLHISNDEHLMELRNLISSDSNIPIR >KJB63380 pep chromosome:Graimondii2_0_v6:10:57549933:57550142:-1 gene:B456_010G2091001 transcript:KJB63380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIMMTGEVDRSVVGMKRNLEGENKKEMRLTINIEVVEGMRRSTMEAVGTEKGRKMIEGSK >KJB63379 pep chromosome:Graimondii2_0_v6:10:57549816:57550142:-1 gene:B456_010G2091001 transcript:KJB63379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIMMTGEVDRSVVGMKRNLEGENKKEMRLTINIEVVEGMRRSTMEAVGTEKGRKMIEGSKVMCGIDNWIILRKWHIMILGLVAEDRVEMADVDGCGSIL >KJB63381 pep chromosome:Graimondii2_0_v6:10:57549933:57550118:-1 gene:B456_010G2091001 transcript:KJB63381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIMMTGEVDRSVVGMKRNLEGENKKEMRLTINIEVVEGMRRSTMEAVGTEKGRKMIEGSK >KJB64760 pep chromosome:Graimondii2_0_v6:10:8087706:8091517:1 gene:B456_010G064000 transcript:KJB64760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFLLTAAPFLPSQRNEMNGLLGQMRSSFHGKVGYKQSFLRRECNSPRGGRLLVASVLGRKVQKKETVVPDPDYRIPFVLLGLAGGLVYTDNLLPAAPVGLLGLLLLFQTTRVRFVFDDEALEVKVGEQLQDSDENVFVGGKNRWKYSTFVNWELWWPSFPILVYFKETQTKPEGQVHFFPVIFNGKQLYDVMVERAGPSQTSGPK >KJB64761 pep chromosome:Graimondii2_0_v6:10:8087710:8090408:1 gene:B456_010G064000 transcript:KJB64761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFLLTAAPFLPSQRNEMNGLLGQMRSSFHGKVGYKQSFLRRECNSPRGGRLLVASVLGRKVQKKETVVPDPDYRIPFVLLGLAGGLVYTDNLLPAAPVGLLGLLLLFQTTRVRFVFDDEALEVKVGEQLQDSDENVFVGGKNRWKYSTFVNWELWWPSFPILVYFKETQTKPEGQVHFFPVIFNGKQLYDVMVERAGPSQTSGPK >KJB63273 pep chromosome:Graimondii2_0_v6:10:125278:126328:1 gene:B456_010G0030002 transcript:KJB63273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTSKLDIQIKLDKEKKILSLRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQSSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAQEYLEESKLK >KJB63271 pep chromosome:Graimondii2_0_v6:10:124969:126328:1 gene:B456_010G0030002 transcript:KJB63271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWAIPSALVLLCLLSLLSDHGRKVQVNAEEGAVDPPKVEEKIGAVPHGLQTDSDVAKRESESISSRSLRNNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTSKLDIQIKLDKEKKILSLRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQSSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAQEYLEESKLK >KJB63272 pep chromosome:Graimondii2_0_v6:10:124969:126637:1 gene:B456_010G0030002 transcript:KJB63272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWAIPSALVLLCLLSLLSDHGRKVQVNAEEGAVDPPKVEEKIGAVPHGLQTDSDVAKRESESISSRSLRNNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTSKLDIQIKLDKEKKILSLRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQSSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAQEYLEESKLKELVKKYSEFINFPIYIWASKAVDVEVPADEDESSDEEET >KJB63269 pep chromosome:Graimondii2_0_v6:10:124875:125035:1 gene:B456_010G0030002 transcript:KJB63269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWAIPSALVLLCLLSLLSDH >KJB63270 pep chromosome:Graimondii2_0_v6:10:124969:126317:1 gene:B456_010G0030002 transcript:KJB63270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWAIPSALVLLCLLSLLSDHGRKVQVNAEEGAVDPPKVEEKIGAVPHGLQTDSDVAKRESESISSRSLRNNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTSKLDIQIKLDKEKKILSLRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQSSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAQEYLEE >KJB63661 pep chromosome:Graimondii2_0_v6:10:758259:762846:-1 gene:B456_010G010200 transcript:KJB63661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNGVEGLHVWSSPEGGCKIDIGKQIFCNRSLNMKNILAVGFDMDYTLAQYKPETFESLAYEGTIRKLVYDLGYPPELLEWTFDPKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDYKDNNPGKVPEGADYSHMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTSIVPMFKMLRASGRSTFLVTNSLWDYTNIVMNFLCASHTLDGSLSCNFDWLQYFDVVVTGSAKPGFFHEENRANIFKVEPESGMLLNTDNGTPMPQVGSTSPEVLLKGLNKSCRVFQGGNVAHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWELRDMRKQLRLLRDERDLVEDQIHHLKWSLKFESFRDDEKQKMISALGELESQRDQARLAHQQAQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDFMPHEFHILPL >KJB63662 pep chromosome:Graimondii2_0_v6:10:757929:764222:-1 gene:B456_010G010200 transcript:KJB63662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPKKNVAFCLSLFSPKFPAPFSSRILRFSTGFPAKIRPIHTAGFNQSNSHYSKDDSAGTILGDKASQSHLMANSNGVEGLHVWSSPEGGCKIDIGKQIFCNRSLNMKNILAVGFDMDYTLAQYKPETFESLAYEGTIRKLVYDLGYPPELLEWTFDPKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDYKDNNPGKVPEGADYSHMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTSIVPMFKMLRASGRSTFLVTNSLWDYTNIVMNFLCASHTLDGSLSCNFDWLQYFDVVVTGSAKPGFFHEENRANIFKVEPESGMLLNTDNGTPMPQVGSTSPEVLLKGLNKSCRVFQGGNVAHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWELRDMRKQLRLLRDERDLVEDQIHHLKWSLKFESFRDDEKQKMISALGELESQRDQARLAHQQAQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDFMPHEFHILPL >KJB63663 pep chromosome:Graimondii2_0_v6:10:758025:763546:-1 gene:B456_010G010200 transcript:KJB63663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNGVEGLHVWSSPEGGCKIDIGKQIFCNRSLNMKNILAVGFDMDYTLAQYKPETFESLAYEGTIRKLVYDLGYPPELLEWTFDPKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDYKDNNPGKVPEGADYSHMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTSIVPMFKMLRASGRSTFLVTNSLWDYTNIVMNFLCASHTLDGSLSCNFDWLQYFDVVVTGSAKPGFFHEENRANIFKVEPESGMLLNTDNGTPMPQVGSTSPEVLLKGLNKSCRVFQGGNVAHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWELRDMRKQLRLLRDERDLVEDQIHHLKWSLKFESFRDDEKQKMISALGELESQRDQARLAHQQAQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDFMPHEFHILPL >KJB66139 pep chromosome:Graimondii2_0_v6:10:27966955:27971583:1 gene:B456_010G128800 transcript:KJB66139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase JMJ30 [Source:Projected from Arabidopsis thaliana (AT3G20810) UniProtKB/Swiss-Prot;Acc:Q8RWR1] MSSPAANIATAISTPTLDSESPALLQSITSHGGYAFTRMATLAAAGDQRAAEAACEMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKFHFSNGEFRDALRSLDMGIIMGGPLLRKDLDSAIEVVLAAKSRHYHNGVADDDKEGHGEAGSRLLISPQEFDKSEVLQILPIRSLSSKIVGKRSALSLEAFLCEYFLSGSPVIITDCMAHWPARTRWNDMDYLRRIAGDRTVPVEVGKNYLCSEWKQELVTFSEFLERVQSTGCSSKAPTYLAQHQLFDQVVLSSYFILCEI >KJB66133 pep chromosome:Graimondii2_0_v6:10:27966955:27973949:1 gene:B456_010G128800 transcript:KJB66133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase JMJ30 [Source:Projected from Arabidopsis thaliana (AT3G20810) UniProtKB/Swiss-Prot;Acc:Q8RWR1] MSSPAANIATAISTPTLDSESPALLQSITSHGGYAFTRMATLAAAGDQRAAEAACEMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKFHFSNGEFRDALRSLDMGIIMGGPLLRKDLDSAIEVVLAAKSRHYHNGVADDDKEGHGEAGSRLLISPQEFDKSEVLQILPIRSLSSKIVGKRSALSLEAFLCEYFLSGSPVIITDCMAHWPARTRWNDMDYLRRIAGDRTVPVEVGKNYLCSEWKQELVTFSEFLERVQSTGCSSKAPTYLAQHQLFDQINDLRKDISIPDYCCAGGGELRSLNAWFGPAGTVTPLHHDPHHNILAQVVGKKYVRLYSATYSEELYPYSETMLYNSSQVDLDNIDEVEFPKVRDLEFIDCILEEGEMLYIPPKWWHYVRSLTTSFSVSYWWSTDSGSSMVS >KJB66136 pep chromosome:Graimondii2_0_v6:10:27967072:27969719:1 gene:B456_010G128800 transcript:KJB66136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase JMJ30 [Source:Projected from Arabidopsis thaliana (AT3G20810) UniProtKB/Swiss-Prot;Acc:Q8RWR1] MSSPAANIATAISTPTLDSESPALLQSITSHGGYAFTRMATLAAAGDQRAAEAACEMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKFHFSNGEFRDALRSLDMGIIMGGPLLRKDLDSAIEVVLAAKSRHYHNGVADDDKEGHGEAGSRLLISPQEFDKSEVLQILPIRSLSSKIVGKRSALSLEAFLCEYFLSGSPVIITDCMAHWPARTRWNDMDYLRRIAGDRTVPVEVINLPVFFFFQNSVEIKSVTNALVHYLFLTYVLLPAYILFSLLNSNLSDLFYII >KJB66137 pep chromosome:Graimondii2_0_v6:10:27967072:27971364:1 gene:B456_010G128800 transcript:KJB66137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase JMJ30 [Source:Projected from Arabidopsis thaliana (AT3G20810) UniProtKB/Swiss-Prot;Acc:Q8RWR1] MSSPAANIATAISTPTLDSESPALLQSITSHGGYAFTRMATLAAAGDQRAAEAACEMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKFHFSNGEFRDALRSLDMGIIMGGPLLRKDLDSAIEVVLAAKSRHYHNGVADDDKEGHGEAGSRLLISPQEFDKSEVLQILPIRSLSSKIVGKRSALSLEAFLCEYFLSGSPVIITDCMAHWPARTRWNDMDYLRRIAGDRTVPVEVGKNYLCSEWKQELVTFSEFLERVQSTGCSSKAPTYLAQHQLFDQVVLSSYFILCEI >KJB66138 pep chromosome:Graimondii2_0_v6:10:27966945:27973954:1 gene:B456_010G128800 transcript:KJB66138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase JMJ30 [Source:Projected from Arabidopsis thaliana (AT3G20810) UniProtKB/Swiss-Prot;Acc:Q8RWR1] MSSPAANIATAISTPTLDSESPALLQSITSHGGYAFTRMATLAAAGDQRAAEAACEMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKFHFSNGEFRDALRSLDMGIIMGGPLLRKDLDSAIEVVLAAKSRHYHNGVADDDKEGHGEAGSRLLISPQEFDKSEVLQILPIRSLSSKIVGKRSALSLEAFLCEYFLSGSPVIITDCMAHWPARTRWNDMDYLRRIAGDRTVPVEVGKNYLCSEWKQELVTFSEFLERVQSTGCSSKAPTYLAQHQLFDQINDLRKDISIPDYCCAGGGELRSLNAWFGPAGTVTPLHHDPHHNILAQVVGKKYVRLYSATYSEELYPYSETMLYNSSQVDLDNIDEVEFPKSAIGGVLILEVQWCLRICKHLVFFLCLCCKILFGREVDFMYIKTFASKIYVQPA >KJB66134 pep chromosome:Graimondii2_0_v6:10:27966955:27970649:1 gene:B456_010G128800 transcript:KJB66134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase JMJ30 [Source:Projected from Arabidopsis thaliana (AT3G20810) UniProtKB/Swiss-Prot;Acc:Q8RWR1] MSSPAANIATAISTPTLDSESPALLQSITSHGGYAFTRMATLAAAGDQRAAEAACEMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKFHFSNGEFRDALRSLDMGIIMGGPLLRKDLDSAIEVVLAAKSRHYHNGVADDDKEGHGEAGSRLLISPQEFDKSEVLQILPIRSLSSKIVGKRSALSLEAFLCEYFLSGSPVIITDCMAHWPARTRWNDMDYLRRIAGDRTVPVEFSGNLVEQWNPSPGNRILNDHNGKKLQIFPLTDESFRERCSWVFGELNKLSSFIQQQNKKEFLYVHYYLKG >KJB66135 pep chromosome:Graimondii2_0_v6:10:27966955:27970649:1 gene:B456_010G128800 transcript:KJB66135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase JMJ30 [Source:Projected from Arabidopsis thaliana (AT3G20810) UniProtKB/Swiss-Prot;Acc:Q8RWR1] MSSPAANIATAISTPTLDSESPALLQSITSHGGYAFTRMATLAAAGDQRAAEAACEMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKFHFSNGEFRDALRSLDMGIIMGGPLLRKDLDSAIEVVLAAKSRHYHNGVADDDKEGHGEAGSRLLISPQEFDKSEVLQILPIRSLSSKIVGKRSALSLEAFLCEYFLSGSPVIITDCMAHWPARTRWNDMDYLRRIAGDRTVPVEVINLPVFFFFQNSVEIKSVTNALVHYLFLTYVLLPAYILFSLLNSNLSDLFYII >KJB66566 pep chromosome:Graimondii2_0_v6:10:37603213:37608135:-1 gene:B456_010G143800 transcript:KJB66566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLRCGGVFLSQLSDSNNKNGRLVIGGVGWGREPFLGRLRCSSNNNNSNCDAKKGVPDSNYVVPLEKSFSPSNSSCITRPLIEILRDLNKRIPHNIIKSPPNSSTFLPWYFC >KJB66569 pep chromosome:Graimondii2_0_v6:10:37606700:37608135:-1 gene:B456_010G143800 transcript:KJB66569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLRCGGVFLSQLSDSNNKNGRLVIGGVGWGREPFLGRLRCSSNNNNSNCDAKKGVPDSNYVVPLEKSFSPSNSSCITRPLIEILRDLNKRIPHNIIKSPPNSSTFLPWYHANRMLSFYAPGWCGEVRDVIFANNGTITVVYRLTIRGSDGEVG >KJB66565 pep chromosome:Graimondii2_0_v6:10:37603187:37608165:-1 gene:B456_010G143800 transcript:KJB66565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLRCGGVFLSQLSDSNNKNGRLVIGGVGWGREPFLGRLRCSSNNNNSNCDAKKGVPDSNYVVPLEKSFSPSNSSCITRPLIEILRDLNKRIPHNIIKSPPNSSTFLPWYHANRMLSFYAPGWCGEVRDVIFANNGTITVVYRLTIRGSDGEVHRESTGTVSSSKINMVDPVSAAEEIAFCRACARFGLGLYLYHEE >KJB66567 pep chromosome:Graimondii2_0_v6:10:37603213:37608135:-1 gene:B456_010G143800 transcript:KJB66567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLRCGGVFLSQLSDSNNKNGRLVIGGVGWGREPFLGRLRCSSNNNNSNCDAKKGVPDSNYVVPLEKSFSPSNSSCITRPLIEILRDLNKRIPHNIIKSPPNSSTFLPWYHANRMLSFYAPGWCGEVRDVIFANNGTITVVYRLTIRGSDGEIYTHTEVQ >KJB66568 pep chromosome:Graimondii2_0_v6:10:37603213:37608135:-1 gene:B456_010G143800 transcript:KJB66568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLRCGGVFLSQLSDSNNKNGRLVIGGVGWGREPFLGRLRCSSNNNNSNCDAKKGVPDSNYVVPLEKSFSPSNSSCITRPLIEILRDLNKRIPHNIIKSPPNSSTFLPWYHANRMLSFYAPGLPLPFLFSL >KJB67706 pep chromosome:Graimondii2_0_v6:10:57063481:57069216:1 gene:B456_010G204900 transcript:KJB67706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILLCAKTKVAKTRLAAYFADGTSLVGATSNRKAARSGTRKISKIYRALVTGILVDDKVIIDQPIGIVRYPGVAKGLYVASPSGKPALSKVEVLERDEKQNCTLVQVEIESGRPHQIRIHLSFIGHPLLGDPLYIAGGEPRCFDPELVDESFAQDGGYQRPTNPVPGDCGYYLHAHRLVLSHPTTNEMIYITAPLSTILQTSDEANGSPGSVSINSGN >KJB67704 pep chromosome:Graimondii2_0_v6:10:57061810:57069216:1 gene:B456_010G204900 transcript:KJB67704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHSRTQSLVFGIPWPELNDGLFYSDVVPHSDSELTLIDFYSRKYKNSAPLQGWLQRIQNGQISVDGRVVKDPNTILSGDSVLVYHRLPWKEPDVPHLLEVLYEDDDMVALNKPSGLQVLPGGLFQQRTVLTQLCWSVKKQNSTLNSQETHPVPVHRLGRGTSGILLCAKTKVAKTRLAAYFADGTSLVGATSNRKAARSGTRKISKIYRALVTGILVDDKVIIDQPIGIVRYPGVAKGLYVASPSGKPALSKVEVLERDEKQNCTLVQVEIESGRPHQIRIHLSFIGHPLLGDPLYIAGGEPRCFDPELVDESFAQDGGYQRPTNPVPGDCGYYLHAHRLVLSHPTTNEMIYITAPLSTILQTSDEANGSPGSVSINSGN >KJB67705 pep chromosome:Graimondii2_0_v6:10:57061810:57069216:1 gene:B456_010G204900 transcript:KJB67705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALNKPSGLQVLPGGLFQQRTVLTQLCWSVKKQNSTLNSQETHPVPVHRLGRGTSGILLCAKTKVAKTRLAAYFADGTSLVGATSNRKAARSGTRKISKIYRALVTGILVDDKVIIDQPIGIVRYPGVAKGLYVASPSGKPALSKVEVLERDEKQNCTLVQVEIESGRPHQIRIHLSFIGHPLLGDPLYIAGGEPRCFDPELVDESFAQDGGYQRPTNPVPGDCGYYLHAHRLVLSHPTTNEMIYITAPLSTILQTSDEANGSPGSVSINSGN >KJB66085 pep chromosome:Graimondii2_0_v6:10:26843010:26846176:1 gene:B456_010G126700 transcript:KJB66085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MIGGGRSSSSSSTATAAGGTWVSTTSVSASGKRIQREMSELNADPPPHCSAAPKGDNLFHWVATIIGPPGTPYQGGIFFLDITFPSDYPFQPPKVVFKTRIYHCNVDAAGNLSLDILKDSWSPALTISKVLTIVLSLLVLLACT >KJB66088 pep chromosome:Graimondii2_0_v6:10:26843010:26846176:1 gene:B456_010G126700 transcript:KJB66088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MIGGGRSSSSSSTATAAGGTWVSTTSVSASGKRIQREMSELNADPPPHCSAAPKGDNLFHWVATIIGPPGTPYQGGIFFLDITFPSDYPFQPPKVVFKTRIYHCNVDAAGNLSLDILKDSWSPALTISKIVLSLLVLLACT >KJB66082 pep chromosome:Graimondii2_0_v6:10:26843009:26846336:1 gene:B456_010G126700 transcript:KJB66082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MIGGGRSSSSSSTATAAGGTWVSTTSVSASGKRIQREMSELNADPPPHCSAAPKGDNLFHWVATIIGPPGTPYQGGIFFLDITFPSDYPFQPPKVVFKTRIYHCNVDAAGNLSLDILKDSWSPALTISKVLTAIRSIFSNPDPYSPLTSGVARLYLADKAKHDEIASEWTVRFAK >KJB66084 pep chromosome:Graimondii2_0_v6:10:26843239:26845243:1 gene:B456_010G126700 transcript:KJB66084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MIGGGRSSSSSSTATAAGGTWVSTTSVSASGKRIQREMSELNADPPPHCSAAPKGDNLFHWVATIIGPPGTPYQGGIFFLDITFPSDYPFQPPKVVFKTRIYHCNVDAAGNLSLDILKDSWSPALTISKVLTAIRSIFSNPDPCKFPNTS >KJB66087 pep chromosome:Graimondii2_0_v6:10:26843010:26846176:1 gene:B456_010G126700 transcript:KJB66087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MIGGGRSSSSSSTATAAGGTWVSTTSVSASGKRIQREMSELNADPPPHCSAAPKGTPYQGGIFFLDITFPSDYPFQPPKVVFKTRIYHCNVDAAGNLSLDILKDSWSPALTISKVLTAIRSIFSNPDPYSPLTSGVARLYLADKAKHDEIASEWTVRFAK >KJB66086 pep chromosome:Graimondii2_0_v6:10:26843239:26845278:1 gene:B456_010G126700 transcript:KJB66086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MIGGGRSSSSSSTATAAGGTWVSTTSVSASGKRIQREMSELNADPPPHCSAAPKGDNLFHWVATIIGPPGTPYQGGIFFLDITFPSDYPFQPPKVVFKTRIYHCNVDAAGNLSLDILKDSWSPALTISKVGW >KJB66083 pep chromosome:Graimondii2_0_v6:10:26843010:26846176:1 gene:B456_010G126700 transcript:KJB66083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MIGGGRSSSSSSTATAAGGTWVSTTSVSASGKRIQREMSELNADPPPHCSAAPKGDNLFHWVATIIGPPGTPYQGGIFFLDITFPSDYPFQPPKVVFKTRIYHCNVDAAGNLSLDILKDSWSPALTISKLIKQNMMK >KJB64857 pep chromosome:Graimondii2_0_v6:10:8868563:8871419:1 gene:B456_010G068100 transcript:KJB64857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIEYSHVPAIPDNVVPGTKIRVENKAMIKGGILCLNPKVVTLLGGVVQSLYEEWEMNIKYSGVSRSSLSSSQENGTGGPPPFEKLQIQAPSSSRSAHPGRSYNYSESTLNSAGPAMASSVGKTESRWSRRNQDVEVKPDNVDSGLKTAFIAEKTEENPSSSEARPKEVAESAPLQNQAASQKLLQKMSNSNLDSRHARGRKYRGKGKQEEPMVFTLDEWEKRKVGTKPQTRIEYPETSCDEDLARQLQAQLDLEDYHTQSMHDTEAENIKRSMFKYEREDGRDQQEGRGGRGRGRGRGRGRGRGRGRFG >KJB64858 pep chromosome:Graimondii2_0_v6:10:8868107:8871419:1 gene:B456_010G068100 transcript:KJB64858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVAATAPNEAVVEILRNRGWCLGDLDQVNALIVLYTALSDDVDACSIAAKVESELVNMDLRSISGKSLPEINLRKSSHILGPKVLQISSVRDISRSTIAEFSGNSSSSRLLRLGLTDGHSEMTAIEYSHVPAIPDNVVPGTKIRVENKAMIKGGILCLNPKVVTLLGGVVQSLYEEWEMNIKYSGVSRSSLSSSQENGTGGPPPFEKLQIQAPSSSRSAHPGRSYNYSESTLNSAGPAMASSVGKTESRWSRRNQDVEVKPDNVDSGLKTAFIAEKTEENPSSSEARPKEAESAPLQNQAASQKLLQKMSNSNLDSRHARGRKYRGKGKQEEPMVFTLDEWEKRKVGTKPQTRIEYPETSCDEDLARQLQAQLDLEDYHTQSMHDTEAENIKRSMFKYEREDGRDQQEGRGGRGRGRGRGRGRGRGRGRFG >KJB64856 pep chromosome:Graimondii2_0_v6:10:8867969:8872140:1 gene:B456_010G068100 transcript:KJB64856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVAATAPNEAVVEILRNRGWCLGDLDQVNALIVLYTALSDDVDACSIAAKVESELVNMDLRSISGKSLPEINLRKSSHILGPKVLQISSVRDISRSTIAEFSGNSSSSRLLRLGLTDGHSEMTAIEYSHVPAIPDNVVPGTKIRVENKAMIKGGILCLNPKVVTLLGGVVQSLYEEWEMNIKYSGVSRSSLSSSQENGTGGPPPFEKLQIQAPSSSRSAHPGRSYNYSESTLNSAGPAMASSVGKTESRWSRRNQDVEVKPDNVDSGLKTAFIAEKTEENPSSSEARPKEVAESAPLQNQAASQKLLQKMSNSNLDSRHARGRKYRGKGKQEEPMVFTLDEWEKRKVGTKPQTRIEYPETSCDEDLARQLQAQLDLEDYHTQSMHDTEAENIKRSMFKYEREDGRDQQEGRGGRGRGRGRGRGRGRGRGRFG >KJB66869 pep chromosome:Graimondii2_0_v6:10:46499296:46500070:-1 gene:B456_010G161900 transcript:KJB66869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSNYDYQHHRQLLPRNNDHQRKRSSILKRKYFSVISSIKKSIKKCHRRLTEFFSKLEVHSSPDPPPETYDSMIKPSIYGLRMNFYVWKIPRVDKFLEAISKKYEVVVVIAGLEPYASLLLDILDPKGLISHRLYRDSCKQLGRGRFIKDLLKIGRDLKQVVIVDDNPKSYTFQPANAIPIKRS >KJB67990 pep chromosome:Graimondii2_0_v6:10:58950416:58960590:1 gene:B456_010G220600 transcript:KJB67990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSWFLVGFVAGICAILSFEVLVVLFVLNLLNRKIKQRIKKETHAADAAVRNPQDSFDSICNLQGIVWVLEPGKIPTKEQKRKRETVEVSPARRHAKIQDGYLTLSNSDSSCTIIPLKGCIIQAVSATSLPTRKWAKRYPIKVESKTKVIYNASKIIYLYLDTAWEKESWCKGLRLASCEDNEKLNWFTKLNVDFQAYMALLNAGYSSFMKPSLGFSAEPIEKGSKSDGISKVKLFWRRLSRKSSKSGSEKKGNSGNQSTREERKSCEKQNQFQDSVSGKMSNSSTEENIPFTLPQGFPRSASQSCASVISDADSELDRLNYDEGILCWNLLISRIFFDIKGSADLKSSLQQRIQRTLSNMRTPNYIGEVVCTNIDTGNLPPYIHAMRLLGNDMNEVSAFEVDMEYSGGALLGVETRLEVRDQDFQKGIVDTTSESNSVEDMSSDLLEGFEHFGKHLNLPKEDMVDPKIDGVKGSKGTLMSRWKSAVNNVAKQVSQVPLTLSVRVASLRGTLRLYIRPPPSDQLWFGFTSMPDIEFVLVSSIGDHKITNGHIALFLINRFKAAIRETMVLPNCESAYIPWMMAEKDDWIPRNVAPYIWLNHDSSSSSDIKTPRETPGPHVTESRANENSGRERDSHYDLESKDLLQVGSMESSGRLSSSSCPTLSPCGSWRSLQDLGVSYPPSDELYESGQQNRAETSYPAFYSRSLKELESPTEDIDDNDWGPKMGRRAKLRDFRKKIGEKLGEKKRHMVEKMKHKGS >KJB64837 pep chromosome:Graimondii2_0_v6:10:8783351:8787641:1 gene:B456_010G067700 transcript:KJB64837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSRFVVKDCNFHKHHAVCRTSSSLVPSRTLRRAHLDVRAGISLPENGKGFAIQRKPSGPLVSLAAPASITPASRDPQVICNAAANVSGDIPTPSGMSKYERIIETLTTLFPVWVILGTIIGIYKPAAVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPFLGFVIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTVVGVLSNEFFPKFTSKIISVTPLIGVILTTLLCASPIGQVSDVLKAQGAQLILPVALLHAAAFALGYWVSKLSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSGLAVFWRNRPIPVDDKDDFME >KJB64838 pep chromosome:Graimondii2_0_v6:10:8783443:8787632:1 gene:B456_010G067700 transcript:KJB64838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSRFVVKDCNFHKHHAVCRTSSSLVPSRTLRRAHLDVRAGISLPENGKGFAIQRKPSGPLVSLAAPASITPASRDPQVICNAAANVSGDIPTPSGMSKYERIIETLTTLFPVWVILGTIIGIYKPAAVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCLRNPWTVRCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTVVGVLSNEFFPKFTSKIISVTPLIGVILTTLLCASPIGQVSDVLKAQGAQLILPVALLHAAAFALGYWVSKLSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSGLAVFWRNRPIPVDDKDDFME >KJB64830 pep chromosome:Graimondii2_0_v6:10:8617021:8621340:1 gene:B456_010G067000 transcript:KJB64830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSPADFSQLASDAILYNPSDLACWVDSLLTEFAEPPPTCSSDFIVDPVNNQTVVNSAWTAAEPHTPQVHQNITYDEQQSLDNQLTVVTAMEEDSGIRLVHMLMTCAECVQSGDLSLATSLIDDMQGLLTHVNTVCGIGKVAGHFIDALSRRIFQGMGGGSVNGGSAFENEILYHHFYEACPYLKFAHFTANQAILEAFDGHDCVHVVDFNLMHGLQWPALIQALALRPGGPPLLRLTGIGPPSPDGRDSLREIGLRLAELARSVNVRFAFRGVAASRLEDVKPWMLQVNPKEAVAVNSIMQLHRLLGCEQTRDSPIDTVLSWIRGLNPKIMTVVEQEANHNQPGFLDRFTEALYYYSTMFDSLEACRIQPEKALAEIYIQREIGNVVSCEGSARVERHEPLAKWRRRLSGAGFRALRLGSNAFKQASMLLTLFSAEGYSVEENEGCLSLGWHSSPLIAASAWQAVPAWDNHMSSS >KJB64828 pep chromosome:Graimondii2_0_v6:10:8617240:8621271:1 gene:B456_010G067000 transcript:KJB64828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSPADFSQLASDAILYNPSDLACWVDSLLTEFAEPPPTCSSDFIVDPVNNQTVVNSAWTAAEPHTPQVHQNITYDEQQSLDNQLTVVTAMEEDSGIRLVHMLMTCAECVQSGDLSLATSLIDDMQGLLTHVNTVCGIGKVAGHFIDALSRRIFQGMGGGSVNGGSAFENEILYHHFYEACPYLKFAHFTANQAILEAFDGHDCVHVVDFNLMHGLQWPALIQALALRPGGPPLLRLTGIGPPSPDGRDSLREIGLRLAELARSVNVRFAFRGVAASRLEDVKPWMLQVNPKEAVAVNSIMQLHRLLGCEQTRDSPIDTVLSWIRGLNPKIMTVVEQEANHNQPGFLDRFTEALYYYSTMFDSLEACRIQPEKALAEIYIQREIGNVVSCEGSARVERHEPLAKWRRRLSGAGFRALRLGSNAFKQASMLLTLFSAEGYSVEENEGCLSLGWHSSPLIAASAWQAVPAWDNHMSSS >KJB64829 pep chromosome:Graimondii2_0_v6:10:8617069:8621318:1 gene:B456_010G067000 transcript:KJB64829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSPADFSQLASDAILYNPSDLACWVDSLLTEFAEPPPTCSSDFIVDPVNNQTVVNSAWTAAEPHTPQVHQNITYDEQQSLDNQLTVVTAMEEDSGIRLVHMLMTCAECVQSGDLSLATSLIDDMQGLLTHVNTVCGIGKVAGHFIDALSRRIFQGMGGGSVNGGSAFENEILYHHFYEACPYLKFAHFTANQAILEAFDGHDCVHVVDFNLMHGLQWPALIQALALRPGGPPLLRLTGIGPPSPDGRDSLREIGLRLAELARSVNVRFAFRGVAASRLEDVKPWMLQVNPKEAVAVNSIMQLHRLLGCEQTRDSPIDTVLSWIRGLNPKIMTVVEQEANHNQPGFLDRFTEALYYYSTMFDSLEACRIQPEKALAEIYIQREIGNVVSCEGSARVERHEPLAKWRRRLSGAGFRALRLGSNAFKQASMLLTLFSAEGYSVEENEGCLSLGWHSSPLIAASAWQAVPAWDNHMSSS >KJB66020 pep chromosome:Graimondii2_0_v6:10:25591509:25601069:1 gene:B456_010G124600 transcript:KJB66020 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-arabinokinase [Source:Projected from Arabidopsis thaliana (AT4G16130) UniProtKB/Swiss-Prot;Acc:O23461] MRIEENGGESASVNHLIFAYYVTGHGFGHATRVVEVVRNLIAAGHDVHVVTGAPDFVYTSEIQSPRLFLRKLVLDCGAVQADALTVDRLASLQKYSETAVRPRDSILATEVEWLYSIKADLVVSDVVPVACRAAAEAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEVRKELRIGEDVKLVILNFGGQPAGWKLKEDYLPSGWLCLVCGASDTQELPPNFLKLPKDAYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEFYQSGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGVRRLRDAIVLGYQLQRVPGRDVSIPEWYTNAENELGLGTGSPTSEMSESNAITEFCTDDFEILHGDLQGLSDTRSFLNSLVELNNVSDSEKNNEKRQMRERKAAAGLFNWEEDIFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRNHPSKHRLWKHALARQNAKGQGPMPVLQIVSYGSELSNRGPTFDMDLSDFMEGEQPISYEKANKYFAQDPSQKWAAYVAGTILVLMKELGVRFEDSISMLVSSAVPEGKGVSSSAAVEVASMSAIAAAHGLSISPRELALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEIIGLVTIPSHIRFWGIDSGIRHSVGGADYGSVRIGAFMGRKIIKATASTRLSQSMSTANGASPDEVDNDGLELLEAEASLDYLCNLSPHR >KJB66018 pep chromosome:Graimondii2_0_v6:10:25591445:25603295:1 gene:B456_010G124600 transcript:KJB66018 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-arabinokinase [Source:Projected from Arabidopsis thaliana (AT4G16130) UniProtKB/Swiss-Prot;Acc:O23461] MRIEENGGESASVNHLIFAYYVTGHGFGHATRVVEVVRNLIAAGHDVHVVTGAPDFVYTSEIQSPRLFLRKLVLDCGAVQADALTVDRLASLQKYSETAVRPRDSILATEVEWLYSIKADLVVSDVVPVACRAAAEAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEVRKELRIGEDVKLVILNFGGQPAGWKLKEDYLPSGWLCLVCGASDTQELPPNFLKLPKDAYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEFYQSGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGVRRLRDAIVLGYQLQRVPGRDVSIPEWYTNAENELGLGTGSPTSEMSESNAITEFCTDDFEILHGDLQGLSDTRSFLNSLVELNNVSDSEKNNEKRQMRERKAAAGLFNWEEDIFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRNHPSKHRLWKHALARQNAKGQGPMPVLQIVSYGSELSNRGPTFDMDLSDFMEGEQPISYEKANKYFAQDPSQKWAAYVAGTILVLMKELGVRFEDSISMLVSSAVPEGKGVSSSAAVEVASMSAIAAAHGLSISPRELALLCQKPAEIIGLVTIPSHIRFWGIDSGIRHSVGGADYGSVRIGAFMGRKIIKATASTRLSQSMSTANGASPDEVDNDGLELLEAEASLDYLCNLSPHRYEALYANLLPQSMLGEVFLEKYVDHGDTVTVIDKKRTYSVTAAAKHPVYENFRVKAFKALLTSASSNEQLTALGELLYQCHYSYSACGLGSDGTDRLVQLVQEMQHGKASRVDDGTLYGAKITGGGSGGTVCVVGRNCLRSSQHILEIQQRYKKATGYLPFIFEGSSPGVGKFGYLKIRRSIAPKS >KJB66016 pep chromosome:Graimondii2_0_v6:10:25591235:25603295:1 gene:B456_010G124600 transcript:KJB66016 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-arabinokinase [Source:Projected from Arabidopsis thaliana (AT4G16130) UniProtKB/Swiss-Prot;Acc:O23461] MRIEENGGESASVNHLIFAYYVTGHGFGHATRVVEVVRNLIAAGHDVHVVTGAPDFVYTSEIQSPRLFLRKLVLDCGAVQADALTVDRLASLQKYSETAVRPRDSILATEVEWLYSIKADLVVSDVVPVACRAAAEAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEVRKELRIGEDVKLVILNFGGQPAGWKLKEDYLPSGWLCLVCGASDTQELPPNFLKLPKDAYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEFYQSGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGVRRLRDAIVLGYQLQRVPGRDVSIPEWYTNAENELGLGTGSPTSEMSESNAITEFCTDDFEILHGDLQGLSDTRSFLNSLVELNNVSDSEKNNEKRQMRERKAAAGLFNWEEDIFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRNHPSKHRLWKHALARQNAKGQGPMPVLQIVSYGSELSNRGPTFDMDLSDFMEGEQPISYEKANKYFAQDPSQKWAAYVAGTILVLMKELGVRFEDSISMLVSSAVPEGKGVSSSAAVEVASMSAIAAAHGLSISPRELALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEIIGLVTIPSHIRFWGIDSGIRHSVGGADYGSVRIGAFMGRKIIKATASTRLSQSMSTANGASPDEVDNDGLELLEAEASLDYLCNLSPHRYEALYANLLPQSMLGEVFLEKYVDHGDTVTVIDKKRTYSVTAAAKHPVYENFRVKAFKALLTSASSNEQLTALGELLYQCHYSYSACGLGSDGTDRLVQLVQEMQHGKASRVDDGTLYGAKITGGGSGGTVCVVGRNCLRSSQHILEIQQRYKKATGYLPFIFEGSSPGVGKFGYLKIRRSIAPKS >KJB66017 pep chromosome:Graimondii2_0_v6:10:25591445:25602570:1 gene:B456_010G124600 transcript:KJB66017 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-arabinokinase [Source:Projected from Arabidopsis thaliana (AT4G16130) UniProtKB/Swiss-Prot;Acc:O23461] MRIEENGGESASVNHLIFAYYVTGHGFGHATRVVEVVRNLIAAGHDVHVVTGAPDFVYTSEIQSPRLFLRKLVLDCGAVQADALTVDRLASLQKYSETAVRPRDSILATEVEWLYSIKADLVVSDVVPVACRAAAEAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEVRKELRIGEDVKLVILNFGGQPAGWKLKEDYLPSGWLCLVCGASDTQELPPNFLKLPKDAYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEFYQSGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGVRRLRDAIVLGYQLQRVPGRDVSIPEWYTNAENELGLGTGSPTSEMSESNAITEFCTDDFEILHGDLQGLSDTRSFLNSLVELNNVSDSEKNNEKRQMRERKAAAGLFNWEEDIFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRNHPSKHRLWKHALARQNAKGQGPMPVLQIVSYGSELSNRGPTFDMDLSDFMEGEQPISYEKANKYFAQDPSQKWAAYVAGTILVLMKELGVRFEDSISMLVSSAVPEGKGVSSSAAVEVASMSAIAAAHGLSISPRELALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEIIGLVTIPSHIRFWGIDSGIRHSVGGADYGSVRIGAFMGRKIIKATASTRLSQSMSTANGASPDEVDNDGLELLEAEASLDYLCNLSPHRYEALYANLLPQSMLGEVFLEKYVDHGDTVTVIDKKRTYSVTAAAKHPVYENFRVKVGF >KJB66021 pep chromosome:Graimondii2_0_v6:10:25591445:25603295:1 gene:B456_010G124600 transcript:KJB66021 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-arabinokinase [Source:Projected from Arabidopsis thaliana (AT4G16130) UniProtKB/Swiss-Prot;Acc:O23461] MRIEENGGESASVNHLIFAYYVTGHGFGHATRVVEVVRNLIAAGHDVHVVTGAPDFVYTSEIQSPRLFLRKLVLDCGAVQADALTVDRLASLQKYSETAVRPRDSILATEVEWLYSIKADLVVSDVVPVACRAAAEAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEVRKELRIGEDVKLVILNFGGQPAGWKLKEDYLPSGWLCLVCGASDTQELPPNFLKLPKDAYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEFYQSGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGVRRLRDAIVLGYQLQRVPGRDVSIPEWYTNAENELGLGTGSPTSEMSESNAITEFCTDDFEILHGDLQGLSDTRSFLNSLVELNNVSDSEKNNEKRQMRERKAAAGLFNWEEDIFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRNHPSKHRLWKHALARQNAKGQGPMPVLQIVSYGSELSNRGPTFDMDLSDFMEGEQPISYEKANKYFAQDPSQKWAAYVAGTILVLMKELGVRFEDSISMLVSSAVPEGKGVSSSAAVEVASMSAIAAAHGLSISPRELALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEIIGLVTIPSHIRFWGIDSGIRHSVGGADYGSVRIGAFMGRKIIKATASTRLSQSMSTANGASPDEVDNDGLELLEAEASLDYLCNLSPHRYEALYANLLPQSMLGEVFLEKYVDHGDTVTVIDKKRTYSVTAAAKHPVYENFRVKVGI >KJB66019 pep chromosome:Graimondii2_0_v6:10:25591509:25601422:1 gene:B456_010G124600 transcript:KJB66019 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-arabinokinase [Source:Projected from Arabidopsis thaliana (AT4G16130) UniProtKB/Swiss-Prot;Acc:O23461] MRIEENGGESASVNHLIFAYYVTGHGFGHATRVVEVVRNLIAAGHDVHVVTGAPDFVYTSEIQSPRLFLRKLVLDCGAVQADALTVDRLASLQKYSETAVRPRDSILATEVEWLYSIKADLVVSDVVPVACRAAAEAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEVRKELRIGEDVKLVILNFGGQPAGWKLKEDYLPSGWLCLVCGASDTQELPPNFLKLPKDAYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEFYQSGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGVRRLRDAIVLGYQLQRVPGRDVSIPEWYTNAENELGLGTGSPTSEMSESNAITEFCTDDFEILHGDLQGLSDTRSFLNSLVELNNVSDSEKNNEKRQMRERKAAAGLFNWEEDIFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRNHPSKHRLWKHALARQNAKGQGPMPVLQIVSYGSELSNRGPTFDMDLSDFMEGEQPISYEKANKYFAQDPSQKWAAYVAGTILVLMKELGVRFEDSISMLVSSAVPEGKGVSSSAAVEVASMSAIAAAHGLSISPRELALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEIIGLVTIPSHIRFWGIDSGIRHSVGGADYGSVRIGAFMGRKIIKATASTRLSQSMSTANGASPDEVDNDGLELLEAEASLDYLCNLSPHRYEALYANLLPQSMLGEVFLEKYVDHGDTVTVIDKKRTYSVTAAAKHPVYENFRVKVGF >KJB68153 pep chromosome:Graimondii2_0_v6:10:60034308:60037265:-1 gene:B456_010G228700 transcript:KJB68153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMELKWLAMVLVVLSLGAGLCDGCLEEERFALFQLKPFFEFIDYKFQDLDYGSRPEKESSSNCCEWERVECNPISGRVTHLFLNYSSNMKRDWYLNASLFLPFEKLQNLSLSGNFITGCIANQGFERLSSKLDKLENLDLSDNHFNNSILASLSELSSLKSLNLAKKEFTRSNPTNGIEMLSKLNNLETLDLSFNHLGNKILSQLDGFASLKSLCLKDCGLKGTLDIQESNNNWMNLKELYLEGNEIKSLGSLFHEKEGMKFNKLEVLSLSWNLFNNSVFSSLVELSNLKLLDLSFNKLKGAIYTKDLNALRNLEELILSGNEVNGFIPSQGLRLMNLKHLVLSGNGFNNSILSSLATLPNLKTL >KJB68152 pep chromosome:Graimondii2_0_v6:10:60034308:60037435:-1 gene:B456_010G228700 transcript:KJB68152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMELKWLAMVLVVLSLGAGLCDGCLEEERFALFQLKPFFEFIDYKFQDLDYGSRPEKESSSNCCEWERVECNPISGRVTHLFLNYSSNMKRDWYLNASLFLPFEKLQNLSLSGNFITGCIANQGFERLSSKLDKLENLDLSDNHFNNSILASLSELSSLKSLNLAKKEFTRSNPTNESNNNWMNLKELYLEGNEIKSLGSLFHEKEGMKFNKLEVLSLSWNLFNNSVFSSLVELSNLKLLDLSFNKLKGAIYTKDLNALRNLEELILSGNEVNGFIPSQGLRLMNLKHLVLSGNGFNNSILSSLATLPNLKTL >KJB65809 pep chromosome:Graimondii2_0_v6:10:21849899:21850598:-1 gene:B456_010G114000 transcript:KJB65809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRVFQWIIFIIVCFLSERAFISPSEAAVNDLKPLMKEKQLKDVLEWREKTIKGWHEALHLKMVQREKKVIIEQKKKGGKAGGSYGGGSLLRPRAKKSAANFLHPTTFLRFLLLGLIPAMFFF >KJB64662 pep chromosome:Graimondii2_0_v6:10:7182665:7187700:1 gene:B456_010G060100 transcript:KJB64662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLFQLILASFVLSNFDNNVNAGHTSVFVRKEWPSEDIPLDHEVFAVPTGHNAPQQVHITQGDYDGKAVIISWVTPDEPGSSKVQYGTLKGKYEFTAEGKMTNYTFYKYNSGYIHHVLVDGLEYDTNFYYKIGTGDSAREFWFQTPPKIGPDVPYKFGIIGDLGQTYNSLSTLEHYMQSGTQTVLFVGDLSYADRYQYNDVGIRWDSWGRFVEKSTAYHPWIWSAGNHEIEYMPYMDEVVPFKSYLQRYPTPHLSCKSSSPMWYAIRRASAHIIVLSSYSPFVKYTPQYEWLSEELKKVDREKTPWLIVLMHMPIYNSNEAHFMEGESMRAVFEEWFVHHKVDVIFAGHVHAYERSYRISNIRYNVSSGERYPVPDKSAPVYITVGDGGNQEGLAGRFLDPQPEYSAFREASYGHSTLEIQNRTHAFYHWNRNDDGKRVATDSFVLHNQYW >KJB64668 pep chromosome:Graimondii2_0_v6:10:7181711:7188358:1 gene:B456_010G060100 transcript:KJB64668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLFQLILASFVLSNFDNNVNAGHTSVFVRKEWPSEDIPLDHEVFAVPTGHNAPQQVHITQGDYDGKAVIISWVTPDEPGSSKVQYGTLKGKYEFTAEGKMTNYTFYKYNSGYIHHVLVDGLEYDTNFYYKIGTGDSAREFWFQTPPKIGPDVPYKFGIIGDLGQTYNSLSTLEHYMQSGTQTVLFVGDLSYADRYQYNDVGIRWDSWGRFVEKSTAYHPWIWSAGNHEIEYMPYMDEVVPFKSYLQRYPTPHLSCKSSSPMWYAIRRASAHIIVLSSYSPFVKYTPQYEWLSEELKKVDREKTPWLIVLMHMPIYNSNEAHFMEGESMRAVFEEWFVHHKVDVIFAGHVHAYERSYRISNIRYNVSSGERYPVPDKSAPVYITVGDGGNQEGLAGRFLDPQPEYSAFREASYGHSTLEIQNRTHAFYHWNRNDDGKRVATDSFVLHNQIDMVVFCCRSSNLRRRKLKKHHLSSVLKRIASY >KJB64670 pep chromosome:Graimondii2_0_v6:10:7181711:7188454:1 gene:B456_010G060100 transcript:KJB64670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLFQLILASFVLSNFDNNVNAGHTSVFVRKEWPSEDIPLDHEVFAVPTGHNAPQQVHITQGDYDGKAVIISWVTPDEPGSSKVQYGTLKGKYEFTAEGKMTNYTFYKYNSGYIHHVLVDGLEYDTNFYYKIGTGDSAREFWFQTPPKIGPDVPYKFGIIGDLGQTYNSLSTLEHYMQSGTQTVLFVGDLSYADRYQYNDVGIRWDSWGRFVEKSTAYHPWIWSAGNHEIEYMPYMDEVVPFKSYLQRYPTPHLSCKSSSPMWYAIRRASAHIIVLSSYSPFVKYTPQYEWLSEELKKVDREKTPWLIVLMHMPIYNSNEAHFMEGESMRAVFEEWFVHHKVDVIFAGHVHAYERSYRISNIRYNVSSGERYPVPDKSAPVYITVGDGGNQEGLAGRFLDPQPEYSAFREASYGHSTLEIQNRTHAFYHWNRNDDGKRVATDSFVLHNQYWSSNLRRRKLKKHHLSSVLKRIASY >KJB64669 pep chromosome:Graimondii2_0_v6:10:7182665:7187700:1 gene:B456_010G060100 transcript:KJB64669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLFQLILASFVLSNFDNNVNAGHTSVFVRKEWPSEDIPLDHEVFAVPTGHNAPQQVHITQGDYDGKAVIISWVTPDEPGSSKVQYGTLKGKYEFTAEGKMTNYTFYKYNSGYIHHVLVDGLEYDTNFYYKIGTGDSAREFWFQTPPKIGPDVPYKFGIIGDLGQTYNSLSTLEHYMQSGTQTVLFVGDLSYADRYQYNDVGIRWDSWGRFVEKSTAYHPWIWSAGNHEIEYMPYMDEVVPFKSYLQRYPTPHLSCKSSSPMWYAIRRASAHIIVLSSYSPFVKYTPQYEWLSEELKKVDREKTPWLIVLMHMPIYNSNEAHFMEGESMRAVFEEWFVHHKVDVIFAGHVHAYERSYRISNIRYNVSSGERYPVPDKSAPVYITVGDGGNQEGLAGRFLDPQPEYSAFREASYGHSTLEIQNRTHAFYHWNRNDDGKRVATDSFVLHNQYW >KJB64665 pep chromosome:Graimondii2_0_v6:10:7182665:7187700:1 gene:B456_010G060100 transcript:KJB64665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLFQLILASFVLSNFDNNVNAGHTSVFVRKEWPSEDIPLDHEVFAVPTGHNAPQQVHITQGDYDGKAVIISWVTPDEPGSSKVQYGTLKGKYEFTAEGKMTNYTFYKYNSGYIHHVLVDGLEYDTNFYYKIGTGDSAREFWFQTPPKIGPDVPYKFGIIGDLGQTYNSLSTLEHYMQSGTQTVLFVGDLSYADRYQYNDVGIRWDSWGRFVEKSTAYHPWIWSAGNHEIEYMPYMDEVVPFKSYLQRYPTPHLSCKSSSPMWYAIRRASAHIIVLSSYSPFVKYTPQYEWLSEELKKVDREKTPWLIVLMHMPIYNSNEAHFMEGESMRAVFEEWFVHHKVDVIFAGHVHAYERSYRISNIRYNVSSGERYPVPDKSAPVYITVGDGGNQEGLAGRFLDPQPEYSAFREASYGHSTLEIQNRTHAFYHWNRNDDGKRVATDSFVLHNQYW >KJB64664 pep chromosome:Graimondii2_0_v6:10:7181614:7188560:1 gene:B456_010G060100 transcript:KJB64664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLFQLILASFVLSNFDNNVNAGHTSVFVRKEWPSEDIPLDHEVFAVPTGHNAPQQVHITQGDYDGKAVIISWVTPDEPGSSKVQYGTLKGKYEFTAEGKMTNYTFYKYNSGYIHHVLVDGLEYDTNFYYKIGTGDSAREFWFQTPPKIGPDVPYKFGIIGDLGQTYNSLSTLEHYMQSGTQTVLFVGDLSYADRYQYNDVGIRWDSWGRFVEKSTAYHPWIWSAGNHEIEYMPYMDEVVPFKSYLQRYPTPHLSCKSSSPMWYAIRRASAHIIVLSSYSPFVKYTPQYEWLSEELKKVDREKTPWLIVLMHMPIYNSNEAHFMEGESMRAVFEEWFVHHKVDVIFAGHVHAYERSYRISNIRYNVSSGERYPVPDKSAPVYITVGDGGNQEGLAGRFLDPQPEYSAFREASYGHSTLEIQNRTHAFYHWNRNDDGKRVATDSFVLHNQYWSSNLRRRKLKKHHLSSVLKRIASY >KJB64666 pep chromosome:Graimondii2_0_v6:10:7181711:7188358:1 gene:B456_010G060100 transcript:KJB64666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLFQLILASFVLSNFDNNVNAGHTSVFVRKEWPSEDIPLDHEVFAVPTGHNAPQQVHITQGDYDGKAVIISWVTPDEPGSSKVQYGTLKGKYEFTAEGKMTNYTFYKYNSGYIHHVLVDGLEYDTNFYYKIGTGDSAREFWFQTPPKIGPDVPYKFGIIGDLGQTYNSLSTLEHYMQSGTQTVLFVGDLSYADRYQYNDVGIRWDSWGRFVEKSTAYHPWIWSAGNHEIEYMPYMVKLVPFKSYLQRYPTPHLSCKSSSPMWYAIRRASAHIIVLSSYSPFVKYTPQYEWLSEELKKVDREKTPWLIVLMHMPIYNSNEAHFMEGESMRAVFEEWFVHHKVDVIFAGHVHAYERSYRISNIRYNVSSGERYPVPDKSAPVYITVGDGGNQEGLAGRFLDPQPEYSAFREASYGHSTLEIQNRTHAFYHWNRNDDGKRVATDSFVLHNQYWSSNLRRRKLKKHHLSSVLKRIASY >KJB64663 pep chromosome:Graimondii2_0_v6:10:7181574:7188536:1 gene:B456_010G060100 transcript:KJB64663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLFQLILASFVLSNFDNNVNAGHTSVFVRKEWPSEDIPLDHEVFAVPTGHNAPQQVHITQGDYDGKAVIISWVTPDEPGSSKVQYGTLKGKYEFTAEGKMTNYTFYKYNSGYIHHVLVDGLEYDTNFYYKIGTGDSAREFWFQTPPKIGPDVPYKFGIIGDLGQTYNSLSTLEHYMQSGTQTVLFVGDLSYADRYQYNDVGIRWDSWGRFVEKSTAYHPWIWSAGNHEIEYMPYMDEVVPFKSYLQRYPTPHLSCKSSSPMWYAIRRASAHIIVLSSYSPFVKYTPQYEWLSEELKKVDREKTPWLIVLMHMPIYNSNEAHFMEGESMRAVFEEWFVHHKVDVIFAGHVHAYERSYRISNIRYNVSSGERYPVPDKSAPVYITVGDGGNQEGLAGRFLDPQPEYSAFREASYGHSTLEIQNRTHAFYHWNRNDDGKRVATDSFVLHNQYWSSNLRRRKLKKHHLSSVLKRIASY >KJB64671 pep chromosome:Graimondii2_0_v6:10:7181644:7188495:1 gene:B456_010G060100 transcript:KJB64671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLFQLILASFVLSNFDNNVNAGHTSVFVRKEWPSEDIPLDHEVFAVPTGHNAPQQVHITQGDYDGKAVIISWVTPDEPGSSKVQYGTLKGKYEFTAEGKMTNYTFYKYNSGYIHHVLVDGLEYDTNFYYKIGTGDSAREFWFQTPPKIGPDVPYKFGIIGDLGQTYNSLSTLEHYMQSGTQTVLFVGDLSYADRYQYNDVGIRWDSWGRFVEKSTAYHPWIWSAGNHEIEYMPYMDEVVPFKSYLQRYPTPHLSCKSSSPMWYAIRRASAHIIVLSSYSPFVKYTPQYEWLSEELKKVDREKTPWLIVLMHMPIYNSNEAHFMEGESMRAVFEEWFVHHKVDVIFAGHVHAYERSYRISNIRYNVSSGERYPVPDKSAPVYITVGDGGNQEGLAGRFLDPQPEYSAFREASYGHSTLEIQNRTHAFYHWNRNDDGKRVATDSFVLHNQYWSSNLRRRKLKKHHLSSVLKRIASY >KJB64667 pep chromosome:Graimondii2_0_v6:10:7181574:7188495:1 gene:B456_010G060100 transcript:KJB64667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLFQLILASFVLSNFDNNVNAGHTSVFVRKEWPSEDIPLDHEVFAVPTGHNAPQQVHITQGDYDGKAVIISWVTPDEPGSSKVQYGTLKGKYEFTAEGKMTNYTFYKYNSGYIHHVLVDGLEYDTNFYYKIGTGDSAREFWFQTPPKIGPDVPYKFGIIGDLGQTYNSLSTLEHYMQSGTQTVLFVGDLSYADRYQYNDVGIRWDSWGRFVEKSTAYHPWIWSAGNHEIEYMPYMDEVVPFKSYLQRYPTPHLSCKSSSPMWYAIRRASAHIIVLSSYSPFVKYTPQYEWLSEELKKVDREKTPWLIVLMHMPIYNSNEAHFMEGESMRAVFEEWFVHHKVDVIFAGHVHAYERSYRISNIRYNVSSGERYPVPDKSAPVYITVGDGGNQEGLAGRFLDPQPEYSAFREASYGHSTLEIQNRTHAFYHWNRNDDGKRVATDSFVLHNQYWSSNLRRRKLKKHHLSSVLKRIASY >KJB66389 pep chromosome:Graimondii2_0_v6:10:32917832:32920141:-1 gene:B456_010G138500 transcript:KJB66389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVESIATTSLLGHRPLCGRFSARDVSCKQKSLSNFLSPFKEFIGKTIVVPPSPRLRVERLVNSSIKALAMELTKEVYAYEGKAPRNWSYLLDTSVEQKPRLWLPENRADNPSLHNPLLRQERMGCGWLGALFEWEGVIIEDNPQLEKQAWLALAEEEGKSPPPAFILRRIEGMKNEQAISEVLCWSRDPAQLRRMAARREEVYQALQGGIYRLRNGSQEFLNALTRYKIPMALVSTRPRKVLETAIRAIGMEGFFSVIVAAEDVYRGKPDPEMFVYAAQLLKFIPERCVVFGNSNQTVEAAHDAWMKCVTIASKHPVYELGAADLVVNHLDELSIVDLKNLADIESAEFGSREPESELEIEEEESRPSTSIAIDDIFW >KJB66388 pep chromosome:Graimondii2_0_v6:10:32917808:32920160:-1 gene:B456_010G138500 transcript:KJB66388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVESIATTSLLGHRPLCGRFSARDVSCKQKSLSNFLSPFKEFIGKTIVVPPSPRLRVERLVNSSIKALAMELTKEVYAYEGKAPRNWSYLLDTSVEQKPRLWLPENRADNPSLHNPLLRQERMGCGWLGALFEWEGVIIEDNPQLEKQAWLALAEEEGKSPPPAFILRRIEGMKNEQAISEVLCWSRDPAQLRRMAARREEVYQALQGGIYRLRNGSQEFLNALTRYKIPMALVSTRPRKVLETAIRAIGMEGFFSVIVAAEDVYRGKPDPEMFVYAAQLLKFIPERCVVFGNSNQTVEAAHDAWMKCVTIASKHPVYELGAADLVVNHLDELSIVDLKNLADIESAEFGSREPESELEIEEEESRPSTSIAIDDIFW >KJB66387 pep chromosome:Graimondii2_0_v6:10:32917525:32920160:-1 gene:B456_010G138500 transcript:KJB66387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVESIATTSLLGHRPLCGRFSARDVSCKQKSLSNFLSPFKEFIGKTIVVPPSPRLRVERLVNSSIKALAMELTKEVYAYEGKAPRNWSYLLDTSVEQKPRLWLPENRADNPSLHNPLLRQERMGCGWLGALFEWEGVIIEDNPQLEKQAWLALAEEEGKSPPPAFILRRIEGMKNEQAISEVLCWSRDPAQLRRMAARREEVYQALQGGIYRLRNGSQEFLNALTRYKIPMALVSTRPRKVLETAIRAIGMEGFFSVIVAAEDVYRGKPDPEMFVYAAQLLKFIPERCVVFGNSNQTVEAAHDAWMKCVTIASKHPVYELGAADLVVNHLDELSIVDLKNLADIESAEFGSREPESELEIEEEESRPSTSIAIDDIFW >KJB64261 pep chromosome:Graimondii2_0_v6:10:3723355:3726197:1 gene:B456_010G039800 transcript:KJB64261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLPLHRGVSGMRNLSNSNDFWDSEVKDKTEKEDLDRNRASDQSYLSLRFPFRLLCPDNSPSKYGITENGFASDPFSVGSPRSRHKLTVLFLKLSLVVIVILALTGSFWWTLSISTLSRGHIFHGYRRLQEQLVLDLWDIGELSLGASRMKEIDFCPEESENHIPCFNISENLALGYSDGSEYDRQCGQGSRQSCLVLPPVNYKIPLRWPTGRDVIWVANVKITGQEVFTSGSLTKRMMMLEEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYESSGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMLHCARCGIDWDEKDGIFLIEVDRVLKPGGYFVWTSPLTNVQSFLRNKEKQKRWNFVRDFAENLCWELMSQQEETVLWKKTSKKNCYNSRKPGSGPPICSKGQDVESPYYRPLQNCIGGTHSRRWLPIEERPIWPSRSNLNKNELALYGLHSEELNEDTTDSRTAVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNARYGGLNAALLEAGKSVWVLNVVPTSGPNYLPLILDRGYVGVLHDWYVSSLAKLFKVLFYCYTLIVIVCILHYSYKT >KJB64257 pep chromosome:Graimondii2_0_v6:10:3721964:3727791:1 gene:B456_010G039800 transcript:KJB64257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLPLHRGVSGMRNLSNSNDFWDSEVKDKTEKEDLDRNRASDQSYLSLSVGSPRSRHKLTVLFLKLSLVVIVILALTGSFWWTLSISTLSRGHIFHGYRRLQEQLVLDLWDIGELSLGASRMKEIDFCPEESENHIPCFNISENLALGYSDGSEYDRQCGQGSRQSCLVLPPVNYKIPLRWPTGRDVIWVANVKITGQEVFTSGSLTKRMMMLEEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYESSGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMLHCARCGIDWDEKDGIFLIEVDRVLKPGGYFVWTSPLTNVQSFLRNKEKQKRWNFVRDFAENLCWELMSQQEETVLWKKTSKKNCYNSRKPGSGPPICSKGQDVESPYYRPLQNCIGGTHSRRWLPIEERPIWPSRSNLNKNELALYGLHSEELNEDTTDSRTAVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNARYGGLNAALLEAGKSVWVLNVVPTSGPNYLPLILDRGYVGVLHDWCEAFPTYPRTYDMVHADGLLSLETSQHRRCTMLDLFTEIDRLLRPEGWILIRDAAPLVESARALTTRLKWDARIIEIESNSDERLLICQKPFFKKQAM >KJB64258 pep chromosome:Graimondii2_0_v6:10:3723355:3726197:1 gene:B456_010G039800 transcript:KJB64258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLPLHRGVSGMRNLSNSNDFWDSEVKDKTEKEDLDRNRASDQSYLSLRFPFRLLCPDNSPSKYGITENGFASDPFSVGSPRSRHKLTVLFLKLSLVVIVILALTGSFWWTLSISTLSRGHIFHGYRRLQEQLVLDLWDIGELSLGASRMKEIDFCPEESENHIPCFNISENLALGYSDGSEYDRQCGQGSRQSCLVLPPVNYKIPLRWPTGRDVIWVANVKITGQEVFTSGSLTKRMMMLEEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYESSGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMLHCARCGIDWDEKDGIFLIEVDRVLKPGGYFVWTSPLTNVQSFLRNKEKQKRWNFVRDFAENLCWELMSQQEETVLWKKTSKKNCYNSRKPGSGPPICSKGQDVESPYYRPLQNCIGGTHSRRWLPIEERPIWPSRSNLNKNELALYGLHSEELNEDTTDSRTAVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNARYGGLNAALLEAGKSVWVLNVVPTSGPNYLPLILDRGYVGVLHDWYVSSLAKLFKVLFYCYTLIVIVCILHYSYKT >KJB64259 pep chromosome:Graimondii2_0_v6:10:3722421:3727791:1 gene:B456_010G039800 transcript:KJB64259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLPLHRGVSGMRNLSNSNDFWDSEVKDKTEKEDLDRNRASDQSYLSLRFPFRLLCPDNSPSKYGITENGFASDPFSVGSPRSRHKLTVLFLKLSLVVIVILALTGSFWWTLSISTLSRGHIFHGYRRLQEQLVLDLWDIGELSLGASRMKEIDFCPEESENHIPCFNISENLALGYSDGSEYDRQCGQGSRQSCLVLPPVNYKIPLRWPTGRDVIWVANVKITGQEVFTSGSLTKRMMMLEEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYESSGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMLHCARCGIDWDEKDGIFLIEVDRVLKPGGYFVWTSPLTNVQSFLRNKEKQKRWNFVRDFAENLCWELMSQQEETVLWKKTSKKNCYNSRKPGSGPPICSKGQDVESPYYRPLQNCIGGTHSRRWLPIEERPIWPSRSNLNKNELALYGLHSEELNEDTTDSRTAVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNARYGGLNAALLEAGKSVWVLNVVPTSGPNYLPLILDRGYVGVLHDWCEAFPTYPRTYDMVHADGLLSLETSQHRRCTMLDLFTEIDRLLRPEVLQLSCQLSIRAYFSSFYGYLTVSKPMTRPFKWFLSFCQLLLCSNM >KJB64260 pep chromosome:Graimondii2_0_v6:10:3722421:3727791:1 gene:B456_010G039800 transcript:KJB64260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLPLHRGVSGMRNLSNSNDFWDSEVKDKTEKEDLDRNRASDQSYLSLRFPFRLLCPDNSPSKYGITENGFASDPFSVGSPRSRHKLTVLFLKLSLVVIVILALTGSFWWTLSISTLSRGHIFHGYRRLQEQLVLDLWDIGELSLGASRMKEIDFCPEESENHIPCFNISENLALGYSDGSEYDRQCGQGSRQSCLVLPPVNYKIPLRWPTGRDVIWVANVKITGQEVFTSGSLTKRMMMLEEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYESSGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMLHCARCGIDWDEKDGIFLIEVDRVLKPGGYFVWTSPLTNVQSFLRNKEKQKRWNFVRDFAENLCWELMSQQEETVLWKKTSKKNCYNSRKPGSGPPICSKGQDVESPYYRPLQNCIGGTHSRRWLPIEERPIWPSRSNLNKNELALYGLHSEELNEDTTDSRTAVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNARYGGLNAALLEAGKSVWVLNVVPTSGPNYLPLILDRGYVGVLHDWCEAFPTYPRTYDMVHADGLLSLETSQHRRCTMLDLFTEIDRLLRPEGWILIRDAAPLVESARALTTRLKWDARIIEIESNSDERLLICQKPFFKKQAM >KJB64263 pep chromosome:Graimondii2_0_v6:10:3722358:3727791:1 gene:B456_010G039800 transcript:KJB64263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLPLHRGVSGMRNLSNSNDFWDSEVKDKTEKEDLDRNRASDQSYLSLSVGSPRSRHKLTVLFLKLSLVVIVILALTGSFWWTLSISTLSRGHIFHGYRRLQEQLVLDLWDIGELSLGASRMKEIDFCPEESENHIPCFNISENLALGYSDGSEYDRQCGQGSRQSCLVLPPVNYKIPLRWPTGRDVIWVANVKITGQEVFTSGSLTKRMMMLEEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYESSGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMLHCARCGIDWDEKDGIFLIEVDRVLKPGGYFVWTSPLTNVQSFLRNKEKQKRWNFVRDFAENLCWELMSQQEETVLWKKTSKKNCYNSRKPGSGPPICSKGQDVESPYYRPLQNCIGGTHSRRWLPIEERPIWPSRSNLNKNELALYGLHSEELNEDTTDSRTAVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNARYGGLNAALLEAGKSVWVLNVVPTSGPNYLPLILDRGYVGVLHDWCEAFPTYPRTYDMVHADGLLSLETSQHRRCTMLDLFTEIDRLLRPEGWILIRDAAPLVESARALTTRLKWDARIIEIESNSDERLLICQKPFFKKQAM >KJB64262 pep chromosome:Graimondii2_0_v6:10:3722421:3727791:1 gene:B456_010G039800 transcript:KJB64262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLPLHRGVSGMRNLSNSNDFWDSEVKDKTEKEDLDRNRASDQSYLSLSVGSPRSRHKLTVLFLKLSLVVIVILALTGSFWWTLSISTLSRGHIFHGYRRLQEQLVLDLWDIGELSLGASRMKEIDFCPEESENHIPCFNISENLALGYSDGSEYDRQCGQGSRQSCLVLPPVNYKIPLRWPTGRDVIWVANVKITGQEVFTSGSLTKRMMMLEEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYESSGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMLHCARCGIDWDEKDGIFLIEVDRVLKPGGYFVWTSPLTNVQSFLRNKEKQKRWNFVRDFAENLCWELMSQQEETVLWKKTSKKNCYNSRKPGSGPPICSKGQDVESPYYRPLQNCIGGTHSRRWLPIEERPIWPSRSNLNKNELALYGLHSEELNEDTTDSRTAVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNARYGGLNAALLEAGKSVWVLNVVPTSGPNYLPLILDRGYVGVLHDWCEAFPTYPRTYDMVHADGLLSLETSQHRRCTMLDLFTEIDRLLRPEGWILIRDAAPLVESARALTTRLKWDARIIEIESNSDERLLICQKPFFKKQAM >KJB64256 pep chromosome:Graimondii2_0_v6:10:3722421:3727791:1 gene:B456_010G039800 transcript:KJB64256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLPLHRGVSGMRNLSNSNDFWDSEVKDKTEKEDLDRNRASDQSYLSLSVGSPRSRHKLTVLFLKLSLVVIVILALTGSFWWTLSISTLSRGHIFHGYRRLQEQLVLDLWDIGELSLGASRMKEIDFCPEESENHIPCFNISENLALGYSDGSEYDRQCGQGSRQSCLVLPPVNYKIPLRWPTGRDVIWVANVKITGQEVFTSGSLTKRMMMLEEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYESSGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMLHCARCGIDWDEKDGIFLIEVDRVLKPGGYFVWTSPLTNVQSFLRNKEKQKRWNFVRDFAENLCWELMSQQEETVLWKKTSKKNCYNSRKPGSGPPICSKGQDVESPYYRPLQNCIGGTHSRRWLPIEERPIWPSRSNLNKNELALYGLHSEELNEDTTDSRTAVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNARYGGLNAALLEAGKSVWVLNVVPTSGPNYLPLILDRGYVGVLHDWCEAFPTYPRTYDMVHADGLLSLETSQHRRCTMLDLFTEIDRLLRPEGWILIRDAAPLVESARALTTRLKWDARIIEIESNSDERLLICQKPFFKKQAM >KJB63891 pep chromosome:Graimondii2_0_v6:10:1753923:1755362:-1 gene:B456_010G022100 transcript:KJB63891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGKKVVDVVFKASKNIDWEGMAKLLVSDEARKEFATLRRTFDEVNSTLQTKFSQPTCETVMNWLSSGGVTELLPEANVQPNERFMVMREVSPLPISLLSGFSMNLYLKLVFQMEESLFAGQVVPSIAMVETYTRLLLIAPHSLFCSHFSHLAQRNASLLSKPAVTLLVLEIVNYRLLPPYR >KJB63890 pep chromosome:Graimondii2_0_v6:10:1753774:1755631:-1 gene:B456_010G022100 transcript:KJB63890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMREVSPLPISLLSGFSMNLYLKLVFQMEESLFAGQVVPSIAMVETYTRLLLIAPHSLFCSHFSHLAQRNASLLSKPAVTLLVLEIVNYRLLPPYR >KJB63889 pep chromosome:Graimondii2_0_v6:10:1753774:1755180:-1 gene:B456_010G022100 transcript:KJB63889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWVVSYTMAQPTCETVMNWLSSGGVTELLPEANVQPNERFMVMREVSPLPISLLSGFSMNLYLKLVFQMEESLFAGQVVPSIAMVETYTRLLLIAPHSLFCSHFSHLAQRNASLLSKPAVTLLVLEIVNYRLLPPYR >KJB64530 pep chromosome:Graimondii2_0_v6:10:6093018:6096441:1 gene:B456_010G053100 transcript:KJB64530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAYSIQGPVREEFTFSTTSAPFKSCHASTIVEVDKDHFLAAYFGGSYEGAPDVKIWLQKYKDGCWYPPLVIDEQPNVPMWNPVLFKLPSEELLLFYKAGQEVQKWSGCMKRSYDKGVTWTKREQLPPGILGPSKNKPILLENGVLLCGSSVESWNSWGSWVEVTTDSGISWKKYGPIYVKNRSLSVIQPVPYVTSKGTLRVLMRSFEGIGRVCMSESHDGGHNWDYAKPTQLPNPNSGIDGVKLSDGRILLAYNTISRGVLKVAVSTDDGDSWNEVLTLEENLEMEFSYPAVIEASDGLVHITYTYNRTQIKHVILQPN >KJB64533 pep chromosome:Graimondii2_0_v6:10:6093018:6096441:1 gene:B456_010G053100 transcript:KJB64533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAYSIQGPVREEFTFSTTSAPFKSCHASTIVEVDKDHFLAAYFGGSYEGAPDVKIWLQKYKDGCWYPPLVIDEQPNVPMWNPVLFKLPSEELLLFYKAGQEVQKWSGCMKRSYDKGVTWTKREQLPPGILGPSKNKPILLENGVLLCGSSVESWNSWGSWVEVTTDSGISWKKYGPIYVKNRSLSVIQPVPYVTSKGTLRVLMRSFEGIGRVCMSESHDGGHNWDYAKPTQLPNPNSGIDGVKLSDGRILLAYNTISRGVLKVAVSTDDGDSWNEVLTLEENLEMEFSYPAVIEASDGLVHITYTYNRTQIKHVILQPN >KJB64538 pep chromosome:Graimondii2_0_v6:10:6092906:6096441:1 gene:B456_010G053100 transcript:KJB64538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAYSIQGPVREEFTFSTTSAPFKSCHASTIVEVDKDHFLAAYFGGSYEGAPDVKIWLQKYKDGCWYPPLVIDEQPNVPMWNPVLFKLPSEELLLFYKAGQEVQKWSGCMKRSYDKGVTWTKREQLPPGILGPSKNKPILLENGVLLCGSSVESWNSWGSWVEVTTDSGISWKKYGPIYVKNRSLSVIQPVPYVTSKGTLRVLMRSFEGIGRVCMSESHDGGHNWDYAKPTQLPNPNSGIDGVKLSDGRILLAYNTISRGVLKVAVSTDDGDSWNEVLTLEENLEMEFSYPAVIEASDGLVHITYTYNRTQIKHVILQPN >KJB64534 pep chromosome:Graimondii2_0_v6:10:6093827:6095585:1 gene:B456_010G053100 transcript:KJB64534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAYSIQGPVREEFTFSTTSAPFKSCHASTIVEVDKDHFLAAYFGGSYEGAPDVKIWLQKYKDGCWYPPLVIDEQPNVPMWNPVLFKLPSEELLLFYKAGQEVQKWSGCMKRSYDKGVTWTKREQLPPGILGPSKNKPILLENGVLLCGSSVESWNSWGSWVEVTTDSGISWKKYGPIYVKNRSLSVIQPVPYVTSKGTLRVLMRSFEGIGRVCMSESHDGGHNWDYAKPTQLPNPNSGWCVLVMPSNAIAALKFSKLELHIL >KJB64537 pep chromosome:Graimondii2_0_v6:10:6093018:6096441:1 gene:B456_010G053100 transcript:KJB64537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAYSIQGPVREEFTFSTTSAPFKSCHASTIVEVDKDHFLAAYFGGSYEGAPDVKIWLQKYKDGCWYPPLVIDEQPNVPMWNPVLFKLPSEELLLFYKAGQEVQKWSGCMKRSYDKGVTWTKREQLPPGILGPSKNKPILLENGVLLCGSSVESWNSWGSWVEVTTDSGISWKKYGPIYVKNRSLSVIQPVPYVTSKGTLRVLMRSFEGIGRVCMSESHDGGHNWDYAKPTQLPNPNSGIDGVKLSDGRILLAYNTISRGVLKVAVSTDDGDSWNEVLTLEENLEMEFSYPAVIEASDGLVHITYTYNRTQIKHVILQPN >KJB64535 pep chromosome:Graimondii2_0_v6:10:6093035:6096441:1 gene:B456_010G053100 transcript:KJB64535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAYSIQGPVREEFTFSTTSAPFKSCHASTIVEVDKDHFLAAYFGGSYEGAPDVKIWLQKYKDGCWYPPLVIDEQPNVPMWNPVLFKLPSEELLLFYKAGQEVQKWSGCMKRSYDKGVTWTKREQLPPGILGPSKNKPILLENGVLLCGSSVESWNSWGSWVEVTTDSGISWKKYGPIYVKNRSLSVIQPVPYVTSKGTLRVLMRSFEGIGRVCMSESHDGGHNWDYAKPTQLPNPNSGIDGVKLSDGRILLAYNTISRGVLKVAVSTDDGDSWNEVLTLEENLEMEFSYPAVIEASDGLVHITYTYNRTQIKHVILQPN >KJB64532 pep chromosome:Graimondii2_0_v6:10:6093018:6096441:1 gene:B456_010G053100 transcript:KJB64532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAYSIQGPVREEFTFSTTSAPFKSCHASTIVEVDKDHFLAAYFGGSYEGAPDVKIWLQKYKDGCWYPPLVIDEQPNVPMWNPVLFKLPSEELLLFYKAGQEVQKWSGCMKRSYDKGVTWTKREQLPPGILGPSKNKPILLENGVLLCGSSVESWNSWGSWVEVVTTDSGISWKKYGPIYVKNRSLSVIQPVPYVTSKGTLRVLMRSFEGIGRVCMSESHDGGHNWDYAKPTQLPNPNSGIDGVKLSDGRILLAYNTISRGVLKVAVSTDDGDSWNEVLTLEENLEMEFSYPAVIEASDGLVHITYTYNRTQIKHVILQPN >KJB64539 pep chromosome:Graimondii2_0_v6:10:6093018:6096441:1 gene:B456_010G053100 transcript:KJB64539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAYSIQGPVREEFTFSTTSAPFKSCHASTIVEVDKDHFLAAYFGGSYEGAPDVKIWLQKYKDGCWYPPLVIDEQPNVPMWNPVLFKLPSEELLLFYKAGQEVQKWSGCMKRSYDKGVTWTKREQLPPGILGPSKNKPILLENGVLLCGSSVESWNSWGSWVEVTTDSGISWKKYGPIYVKNRSLSVIQPVPYVTSKGTLRVLMRSFEGIGRVCMSESHDGGHNWDYAKPTQLPNPNSGIDGVKLSDGRILLAYNTISRGVLKVAVSTDDGDSWNEVLTLEENLEMEFSYPAVIEASDGLVHITYTYNRTQIKHVILQPN >KJB64531 pep chromosome:Graimondii2_0_v6:10:6093018:6096441:1 gene:B456_010G053100 transcript:KJB64531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAYSIQGPVREEFTFSTTSAPFKSCHASTIVEVDKDHFLAAYFGGSYEGAPDVKIWLQKYKDGCWYPPLVIDEQPNVPMWNPVLFKLPSEELLLFYKAGQEVQKWSGCMKRSYDKGVTWTKREQLPPGILGPSKNKVTTDSGISWKKYGPIYVKNRSLSVIQPVPYVTSKGTLRVLMRSFEGIGRVCMSESHDGGHNWDYAKPTQLPNPNSGIDGVKLSDGRILLAYNTISRGVLKVAVSTDDGDSWNEVLTLEENLEMEFSYPAVIEASDGLVHITYTYNRTQIKHVILQPN >KJB64536 pep chromosome:Graimondii2_0_v6:10:6093018:6096441:1 gene:B456_010G053100 transcript:KJB64536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAYSIQGPVREEFTFSTTSAPFKSCHASTIVEVDKDHFLAAYFGGSYEGAPDVKIWLQKYKDGCWYPPLVIDEQPNVPMWNPVLFKLPSEELLLFYKAGQEVQKWSGCMKRSYDKGVTWTKREQLPPGILGPSKNKPILLENGVLLCGSSVESWNSWGSWVEVTTDSGISWKKYGPIYVKNRSLSVIQPVPYVTSKGTLRVLMRSFEGIGRVCMSESHDGGHNWDYAKPTQLPNPNSGIDGVKLSDGRILLAYNTISRGVLKVAVSTDDGDSWNEVLTLEENLEMEFSYPAVIEASDGLVHITYTYNRTQIKHVILQPN >KJB65867 pep chromosome:Graimondii2_0_v6:10:22819910:22824616:-1 gene:B456_010G116900 transcript:KJB65867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPQLLQQQEEEQSQSISLQDCLKLLKGERDEQRLAGLLLVTKFCKSDDLVSLRAIYDAVGPHFLDRLLRTGLGKGVVSSGSGENRDAYLQLSVTVLSAFCRVSEIASSKEMVSKVPMIFEVMSMNRSGMPVLEECYEFLYLVSTASEDGLTALYESGGIKLLAHQMSALPDGSHLMELAMKLVQLMLKKLSQGIVENDHLSELSVIVTKIARQFALLQNALKFEALHLLSAIFSSEYSTLLHDALRVIQNENWSNHMRDGVATILQNRVAPAEKFEALILAESMVSIKGEGWLIGQINLPSVQDPIPADRCLLLVLESSRVEVAVLLNELAYSKYEASKSSSSTAETIISKQQKVTIVFSLVEKIIKLISNIGETEGHHLDENTFIKAINGLNETIGVVLEYLQDAKEHGQKVGNDLLASVRLVGSYLAEAPVACEDKITELLGYMLSVEGEHESSPFYSVCFLLPMLCQKTMKIEGCKLLASSGGYKAVVDCLMKLIEQNRNGVENNGCIFLACDTIMNLLKMEQITFSEDESTFISLLKALALWTEKTNDPSVVMMASSICTLIFDMTSENALLNHPSLSSSCLDSLSRLIARSLASWGQDMSDAAKADMDLLEIVTAGYSRWADRFPQIQKAVER >KJB65866 pep chromosome:Graimondii2_0_v6:10:22819859:22824616:-1 gene:B456_010G116900 transcript:KJB65866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPQLLQQQEEEQSQSISLQDCLKLLKGERDEQRLAGLLLVTKFCKSDDLVSLRAIYDAVGPHFLDRLLRTGLGKGVVSSGSGENRDAYLQLSVTVLSAFCRVSEIASSKEMVSKVPMIFEVMSMKSGMPVLEECYEFLYLVSTASEDGLTALYESGGIKLLAHQMSALPDGSHLMELAMKLVQLMLKKLSQGIVENDHLSELSVIVTKIARQFALLQNALKFEALHLLSAIFSSEYSTLLHDALRVIQNENWSNHMRDGVATILQNRVAPAEKFEALILAESMVSIKGEGWLIGQINLPSVQDPIPADRCLLLVLESSRVEVAVLLNELAYSKYEASKSSSSTAETIISKQQKVTIVFSLVEKIIKLISNIGETEGHHLDENTFIKAINGLNETIGVVLEYLQDAKEHGQKVGNDLLASVRLVGSYLAEAPVACEDKITELLGYMLSVEGEHESSPFYSVCFLLPMLCQKTMKIEGCKLLASSGGYKAVVDCLMKLIEQNRNGVENNGCIFLACDTIMNLLKMEQITFSEDESTFISLLKALALWTEKTNDPSVVMMASSICTLIFDMTSENALLNHPSLSSSCLDSLSRLIARSLASWGQDMSDAAKADMDLLEIVTAGYSRWADRFPQIQKAVER >KJB65868 pep chromosome:Graimondii2_0_v6:10:22819364:22824616:-1 gene:B456_010G116900 transcript:KJB65868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPQLLQQQEEEQSQSISLQDCLKLLKGERDEQRLAGLLLVTKFCKSDDLVSLRAIYDAVGPHFLDRLLRTGLGKGVVSSGSGENRDAYLQLSVTVLSAFCRVSEIASSKEMVSKVPMIFEVMSMKSGMPVLEECYEFLYLVSTASEDGLTALYESGGIKLLAHQMSALPDGSHLMELAMKLVQLMLKKLSQGIVENDHLSELSVIVTKIARQFALLQNALKFEALHLLSAIFSSEYSTLLHDALRVIQNENWSNHMRDGVATILQNRVAPAEKFEALILAESMVSIKGEGWLIGQINLPSVQDPIPADRCLLLVLESSRVEVAVLLNELAYSKYEASKSSSSTAETIISKQQKVTIVFSLVEKIIKLISNIGETEETQITGHHLDENTFIKAINGLNETIGVVLEYLQDAKEHGQKVGNDLLASVRLVGSYLAEAPVACEDKITELLGYMLSVEGEHESSPFYSVCFLLPMLCQKTMKIEGCKLLASSGGYKAVVDCLMKLIEQNRNGVENNGCIFLACDTIMNLLKMEQITFSEDESTFISLLKALALWTEKTNDPSVVMMASSICTLIFDMTSENALLNHPSLSSSCLDSLSRLIARSLASWGQDMSDAAKADMDLLEIVTAGYSRWADRFPQIQKAVER >KJB65870 pep chromosome:Graimondii2_0_v6:10:22820452:22824874:-1 gene:B456_010G116900 transcript:KJB65870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPQLLQQQEEEQSQSISLQDCLKLLKGERDEQRLAGLLLVTKFCKSDDLVSLRAIYDAVGPHFLDRLLRTGLGKGVVSSGSGENRDAYLQLSVTVLSAFCRVSEIASSKEMVSKVPMIFEVMSMKSGMPVLEECYEFLYLVSTASEDGLTALYESGGIKLLAHQMSALPDGSHLMELAMKLVQLMLKKLSQGIVENDHLSELSVIVTKIARQFALLQNALKFEALHLLSAIFSSEYSTLLHDALRVIQNENWSNHMRDGVATILQNRVAPAEKFEALILAESMVSIKGEGWLIGQINLPSVQDPIPADRCLLLVLESSRVEVAVLLNELAYSKYEASKSSSSTAETIISKQQKVTIVFSLVEKIIKLISNIGETEGHHLDENTFIKAINGLNETIGVVLEYLQDAKEHGQKVGNDLLASVRLVGSYLAEAPVACEDKITELLGYMLSVEGEHESSPFYSVCFLLPMLCQKTMKIEGCKLLASSGGYKAVVDCLMKLIEQNRNGVENNGCIFLACDTIMNLLKMEQITFSEDESTFISLLKALALWTV >KJB65869 pep chromosome:Graimondii2_0_v6:10:22818958:22824874:-1 gene:B456_010G116900 transcript:KJB65869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPQLLQQQEEEQSQSISLQDCLKLLKGERDEQRLAGLLLVTKFCKSDDLVSLRAIYDAVGPHFLDRLLRTGLGKGVVSSGSGENRDAYLQLSVTVLSAFCRVSEIASSKEMVSKVPMIFEVMSMKSGMPVLEECYEFLYLVSTASEDGLTALYESGGIKLLAHQMSALPDGSHLMELAMKLVQLMLKKLSQGIVENDHLSELSVIVTKIARQFALLQNALKFEALHLLSAIFSSEYSTLLHDALRVIQNENWSNHMRDGVATILQNRVAPAEKFEALILAESMVSIKGEGWLIGQINLPSVQDPIPADRCLLLVLESSRVEVAVLLNELAYSKYEASKSSSSTAETIISKQQKVTIVFSLVEKIIKLISNIGETEGHHLDENTFIKAINGLNETIGVVLEYLQDAKEHGQKVGNDLLASVRLVGSYLAEAPVACEDKITELLGYMLSVEGEHESSPFYSVCFLLPMLCQKTMKIEGCKLLASSGGYKAVVDCLMKLIEQNRNGVENNGCIFLACDTIMNLLKMEQITFSEDESTFISLLKALALWTEKTNDPSVVMMASSICTLIFDMTSENALLNHPSLSSSCLDSLSRLIARSLASWGQDMSDAAKADMDLLEIVTAGYSRWADRFPQIQKAVER >KJB65831 pep chromosome:Graimondii2_0_v6:10:24150857:24153555:1 gene:B456_010G120200 transcript:KJB65831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKGVLGAGRRWAVDFSDNSTAPSSRDIPDPPGFTRASVDQDDSAVSRQKKDAEANWKAQKAWEVAQAPFKNLLMMGFMMWMAGSTVHLFSIVFEPYKDNKVELLGPKLLFIALNLGGLALGVWKLNTLGLLPTHASDWVSSLSPAQEVEYSGGGIPL >KJB65830 pep chromosome:Graimondii2_0_v6:10:24150792:24153555:1 gene:B456_010G120200 transcript:KJB65830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKGVLGAGRRWAVDFSDNSTAPSSRDIPDPPGFTRASVDQDDSAVSRQKKDAEANWKAQKAWEVAQAPFKNLLMMGFMMWMAGSTVHLFSIGITFSALWQPISALQGVGKVFEPYKDNKVELLGPKLLFIALNLGGLALGVWKLNTLGLLPTHASDWVSSLSPAQEVEYSGGGIPL >KJB68183 pep chromosome:Graimondii2_0_v6:10:60226504:60234479:-1 gene:B456_010G230600 transcript:KJB68183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILPHQADWRALRGALVGCLALMRRKSSGGMVSGSDAKAVAESYLQNLQVQSLGQFERKLSFELMECLLERYPNAVASLGDTLIYGICESVDGEKDPHCLMLTFHIIEVLSRLFPDPSDALAGFAHELFEILGCYFPIHFTHQKDEDMTIKRDDLARALMLAFSSTPLFEPYAIPLLLEKLSSSLPSAKLDSLRYLTDCTMKYGADRMAKHIEAIWSSLKEAIFISLDSVLLFTPESLEGPDLPKNEIAAEALSLLQKLIVQNTKLFLDLIVGDEDISMIFNTISNYKNYHEIPLERKQRLNAVGRILFTTAKASQVSCNRVFECFFSRLMDILGLSARNSSGQPYFDESILISKRCNHGALYLSIEILSACRDMIASSETILAATSHTEETWKYLLQSFSPALTMGFCSAFICSSEGTHDAATYIGVKGLLILATFPGGYSLISKTVFEKILVMFVSIINEEYSKRLSWKLALKALGEIGSFIERYHESEKEPSYMDIVVEKILSLAFVGDFGIPFPLRLEALSDIGTSGRSYMLKVVQGLEEAIYANLYEVYVHGSTSSAEIVTHILKCYSDKVIPWVHCEKGFEEVLLQFAINIWNQIENSTHFNASQTNKKGVLDVMMKAMKLAVANCSEEKQNIIVQKSYNILSSSISFPLEELLLQERFQIAQEVDNSSSRDEWILSLFAAVTIAVHPQTHIPNTRSIVSLFMTTLLKGNVVAAQALGSMVNKLDLKSTRGQTSSDCTLEEAMDIILNLSLWIFDTNSSSSIQSKTISVHDTGLNDLSNGVGSCNSLQIHAILGLAWIGKGLLMRGHEKVNDITMVFLRCLQSSGRAGISHQEKSISENNYKLDLHNSVMKTAADAFQILIGDCEQCLNREFHAIIRPLYKQRFFSTIMPVLQSLVMKLEPLSRSFLFRASAHVIIDTPLIVVLSDTKKVIPMLLDGLSVLSNDVVDKDVLYGLLLVLSGILMDKNGQEAVSDSAHTVVNCLVDLTRYPHMTLVRETAMQCLIAISGLSHARIYPMRTQVLQAVIKALDDPKRAVRREAVRCRQAWASIASRSLHF >KJB68181 pep chromosome:Graimondii2_0_v6:10:60226774:60235164:-1 gene:B456_010G230600 transcript:KJB68181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYLTTVDNIIRARGILLLGEVLVRLASKPLGDATIHSLIGFFTDRLADWRALRGALVGCLALMRRKSSGGMVSGSDAKAVAESYLQNLQVQSLGQFERKLSFELMECLLERYPNAVASLGDTLIYGICESVDGEKDPHCLMLTFHIIEVLSRLFPDPSDALAGFAHELFEILGCYFPIHFTHQKDEDMTIKRDDLARALMLAFSSTPLFEPYAIPLLLEKLSSSLPSAKLDSLRYLTDCTMKYGADRMAKHIEAIWSSLKEAIFISLDSVLLFTPESLEGPDLPKNEIAAEALSLLQKLIVQNTKLFLDLIVGDEDISMIFNTISNYKNYHEIPLERKQRLNAVGRILFTTAKASQVSCNRVFECFFSRLMDILGLSARNSSGQPYFDESILISKRCNHGALYLSIEILSACRDMIASSETILAATSHTEETWKYLLQSFSPALTMGFCSAFICSSEGTHDAATYIGVKGLLILATFPGGYSLISKTVFEKILVMFVSIINEEYSKRLSWKLALKALGEIGSFIERYHESEKEPSYMDIVVEKILSLAFVGDFGIPFPLRLEALSDIGTSGRSYMLKVVQGLEEAIYANLYEVYVHGSTSSAEIVTHILKCYSDKVIPWVHCEKGFEEVLLQFAINIWNQIENSTHFNASQTNKKGVLDVMMKAMKLAVANCSEEKQNIIVQKSYNILSSSISFPLEELLLQERFQIAQEVDNSSSRDEWILSLFAAVTIAVHPQTHIPNTRSIVSLFMTTLLKGNVVAAQALGSMVNKLDLKSTRGQTSSDCTLEEAMDIILNLSLWIFDTNSSSSIQSKTISVHDTGLNDLSNGVGSCNSLQIHAILGLAWIGKGLLMRGHEKVNDITMVFLRCLQSSGRAGISHQEKSISENNYKLDLHNSVMKTAADAFQILIGDCEQCLNREFHAIIRPLYKQRFFSTIMPVLQSLVMKLEPLSRSFLFRASAHVIIDTPLIVVLSDTKKVIPMLLDGLSVLSNDVVDKDVLYGLLLVLSGILMDKNGQEAVSDSAHTVVNCLVDLTRYPHMTLVRETAMQCLIAISGLSHARIYPMRTQVLQAVIKALDDPKRAVRREAVRCRQAWASIASRSLHF >KJB68182 pep chromosome:Graimondii2_0_v6:10:60226442:60235785:-1 gene:B456_010G230600 transcript:KJB68182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPIQLSRYIESFVDSSRSPTQQAASLEAIASLLKNNQLTIETLVREMEGYLTTVDNIIRARGILLLGEVLVRLASKPLGDATIHSLIGFFTDRLADWRALRGALVGCLALMRRKSSGGMVSGSDAKAVAESYLQNLQVQSLGQFERKLSFELMECLLERYPNAVASLGDTLIYGICESVDGEKDPHCLMLTFHIIEVLSRLFPDPSDALAGFAHELFEILGCYFPIHFTHQKDEDMTIKRDDLARALMLAFSSTPLFEPYAIPLLLEKLSSSLPSAKLDSLRYLTDCTMKYGADRMAKHIEAIWSSLKEAIFISLDSVLLFTPESLEGPDLPKNEIAAEALSLLQKLIVQNTKLFLDLIVGDEDISMIFNTISNYKNYHEIPLERKQRLNAVGRILFTTAKASQVSCNRVFECFFSRLMDILGLSARNSSGQPYFDESILISKRCNHGALYLSIEILSACRDMIASSETILAATSHTEETWKYLLQSFSPALTMGFCSAFICSSEGTHDAATYIGVKGLLILATFPGGYSLISKTVFEKILVMFVSIINEEYSKRLSWKLALKALGEIGSFIERYHESEKEPSYMDIVVEKILSLAFVGDFGIPFPLRLEALSDIGTSGRSYMLKVVQGLEEAIYANLYEVYVHGSTSSAEIVTHILKCYSDKVIPWVHCEKGFEEVLLQFAINIWNQIENSTHFNASQTNKKGVLDVMMKAMKLAVANCSEEKQNIIVQKSYNILSSSISFPLEELLLQERFQIAQEVDNSSSRDEWILSLFAAVTIAVHPQTHIPNTRSIVSLFMTTLLKGNVVAAQALGSMVNKLDLKSTRGQTSSDCTLEEAMDIILNLSLWIFDTNSSSSIQSKTISVHDTGLNDLSNGVGSCNSLQIHAILGLAWIGKGLLMRGHEKVNDITMVFLRCLQSSGRAGISHQEKSISENNYKLDLHNSVMKTAADAFQILIGDCEQCLNREFHAIIRPLYKQRFFSTIMPVLQSLVMKLEPLSRSFLFRASAHVIIDTPLIVVLSDTKKVIPMLLDGLSVLSNDVVDKDVLYGLLLVLSGILMDKNGQEAVSDSAHTVVNCLVDLTRYPHMTLVRETAMQCLIAISGLSHARIYPMRTQVLQAVIKALDDPKRAVRREAVRCRQAWASIASRSLHF >KJB64949 pep chromosome:Graimondii2_0_v6:10:10332733:10338078:1 gene:B456_010G073100 transcript:KJB64949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKPIMSEEGKLLGLIDEIATSEELVRVSRLHALEISDGRKPWVRSLHRTDKIGSLSEAQQLLRTAREQAKRTAPNMPQHLACLDAVEEGLLLGGYKGLLKEAAVSKELVQSNTTKALTHLFFAQRATAKVPNITDVGLKPNHVKKVGIIGGGIMGSGIATSLMLSNITVFLKEINSEYLLKGMKTVEANIQSLVARGKVTQDKARKALSMLEGVSDYSEFKEMDMVIEAVIEDIPLKQEIFSELEKVCSSCCILATNTSSIDLSVIGEKTKSQDRIIGAHFFSPAHIMPLLEIIRTEKTSPQVILDLLTVGKIMKKVPIVVLNCTGFAVNRSFFPYMQIPHLLVHLGVDLFRIDRAIRNFGFPLGPFQLQDLGGFRLGKASGKEYEIAFPDRTFRSPLTELLLKNGRNGKSNGKGFYVHEKGSKPKPDPSVLPIVDESRKLMNIMPGGKPISVTDEEITEMVLFPTINEACRVLDEGVVARASDLDVASVLGMSFPSYCGGIMFWADTVGSKHIYLSLKKWSEMYGSYFKPSRYLEERAMKGIPLSVAVAAKLSLKSNSKL >KJB64948 pep chromosome:Graimondii2_0_v6:10:10330691:10338078:1 gene:B456_010G073100 transcript:KJB64948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVTMEVGNDGVAVISISNPPLNIINAAMLAALKEKFGEATRRRDVKAIVLTGKGGRFSGGFDINAFQNPSGDTSDRTIESIDLVLNTIEDCKKPVVAAIEGLALGGGLELAMGCHARIAAPKTQLGLPELTLGVIPGLGGTQSLPRLVGVSKAIEMMLFSKPIMSEEGKLLGLIDEIATSEELVRVSRLHALEISDGRKPWVRSLHRTDKIGSLSEAQQLLRTAREQAKRTAPNMPQHLACLDAVEEGLLLGGYKGLLKEAAVSKELVQSNTTKALTHLFFAQRATAKVPNITDVGLKPNHVKKVGIIGGGIMGSGIATSLMLSNITVFLKEINSEYLLKGMKTVEANIQSLVARGKVTQDKARKALSMLEGVSDYSEFKEMDMVIEAVIEDIPLKQEIFSELEKVCSSCCILATNTSSIDLSVIGEKTKSQDRIIGAHFFSPAHIMPLLEIIRTEKTSPQVILDLLTVGKIMKKVPIVVLNCTGFAVNRSFFPYMQIPHLLVHLGVDLFRIDRAIRNFGFPLGPFQLQDLGGFRLGKASGKEYEIAFPDRTFRSPLTELLLKNGRNGKSNGKGFYVHEKGSKPKPDPSVLPIVDESRKLMNIMPGGKPISVTDEEITEMVLFPTINEACRVLDEGVVARASDLDVASVLGMSFPSYCGGIMFWADTVGSKHIYLSLKKWSEMYGSYFKPSRYLEERAMKGIPLSVAVAAKLSLKSNSKL >KJB64950 pep chromosome:Graimondii2_0_v6:10:10332922:10337898:1 gene:B456_010G073100 transcript:KJB64950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEGKLLGLIDEIATSEELVRVSRLHALEISDGRKPWVRSLHRTDKIGSLSEAQQLLRTAREQAKRTAPNMPQHLACLDAVEEGLLLGGYKGLLKEAAVSKELVQSNTTKALTHLFFAQRATAKVPNITDVGLKPNHVKKVGIIGGGIMGSGIATSLMLSNITVFLKEINSEYLLKGMKTVEANIQSLVARGKVTQDKARKALSMLEGVSDYSEFKEMDMVIEAVIEDIPLKQEIFSELEKVCSSCCILATNTSSIDLSVIGEKTKSQDRIIGAHFFSPAHIMPLLEIIRTEKTSPQVILDLLTVGKIMKKVPIVVLNCTGFAVNRSFFPYMQIPHLLVHLGVDLFRIDRAIRNFGFPLGPFQLQDLGGFRLGKASGKEYEIAFPDRTFRSPLTELLLKNGRNGKSNGKGFYVHEKGSKPKPDPSVLPIVDESRKLMNIMPGGKPISVTDEEITEMVLFPTINEACRVLDEGVVARASDLDVASVLGMSFPSYCGGIMFWADTVGSKHIYLSLKKWSEMYGSYFKPSRYLEERAMKGIPLSVAVAAKLSLKSNSKL >KJB67794 pep chromosome:Graimondii2_0_v6:10:57785373:57787087:-1 gene:B456_010G211500 transcript:KJB67794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPIEAESSSFNTYFCLLLANNILQEKGVENGSNTVISPFSFHLLLSLIAVGSKGRTLEQLFHCLGSKSLEDINSLASQMVDLASPFNESNGASIGEPDLSFVNAAWVAQSLKLKPSFQEIVEGVYHAIAKEVDFANKAEQVVAEVNAWAETATRGLIRNLLTAEALKVINEYTALILANALYFKGTWAQLFDTSKTKQRVFHLLDGEKVHVPFMTSNRFERYLYNEFEDFKILKLPYKTSQPIRKFAMHFFLPDAKDGLKNLLQVFKSNPEYFNKRFDLVNRKISDFWVPRFKFEFEFEASEAMIKMGLDLPFNRRKAEITEMVDSVTEPLFVRKMFHKCFIEVNEEGTEAAASTAVIIEQQQQSVYPNPSFVADHPFMFMIKEEISGVVFFVGAVLNPSLDS >KJB66078 pep chromosome:Graimondii2_0_v6:10:28039556:28043301:-1 gene:B456_010G129100 transcript:KJB66078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQDDEEQGQVFFDESDIIHEVDVDEEDLPDADDEDDIENIEDPDDSIHIFTGHTGELYAVACSPTDPVLVATGGGDDKGFLWKIGHADWASELQGHTDSVSTLAFSSDGQLLASGGFDGLVKVWDTFGNFKRTLEGPGGGIEWIRWHPKGHLILAGSEDCTAWMWNADNGNCLNVFSGHDAIVTCGDFTPDGKTICTGSEDATLRIWNPKSGESIHVVRGHPYHTEGLTCLSISSDSTLAITGSKDGSVHIVNITTGKVVSSCSSQTGSTDGNPESIECVLFAPKKE >KJB66077 pep chromosome:Graimondii2_0_v6:10:28039863:28043097:-1 gene:B456_010G129100 transcript:KJB66077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQDDEEQGQVFFDESDIIHEVDVDEEDLPDADDEDDIENIEDPDDSIHIFTGHTGELYAVACSPTDPVLVATGGGDDKGFLWKIGHADWASELQGHTDSVSTLAFSSDGQLLASGGFDGLVKVWDTFGNFKRTLEGPGGGIEWIRWHPKGHLILAGSEDCTAWMWNADNGNCLNVFSGHDAIVTCGDFTPDGKTICTGSEDATLRIWNPKSGESIHVVRGHPYHTEGLTCLSISSDSTLAITGSKDGSVHIVNITTGKVVSSCSSQTGSTDGNPESIECVLFAPNFPWAVFGGMDQNLTIWDLQNSSPRFICNHEEGVTCLAWLGASKFLATGCCDGRICIWNCLSGECIETFKGHEHAIQSLAVSSNLEFLVSVSMDGTARVFAIRDFC >KJB66079 pep chromosome:Graimondii2_0_v6:10:28040602:28043175:-1 gene:B456_010G129100 transcript:KJB66079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQDDEEQGQVFFDESDIIHEVDVDEEDLPDADDEDDIENIEDPDDSIHIFTGHTGELYAVACSPTDPVLVATGGGDDKGFLWKIGHADWASELQGHTDSVSTLAFSSDGQLLASGGFDGLVKVWDTFGNFKRTLEGPGGGIEWIRWHPKGHLILAGSEDCTAWMWNADNGNCLNVFSGHDAIVTCGDFTPDGKTICTGSEDATLRIWNPKSGESIHVVRGHPYHTEGLTCLSISSDSTLAITGSKDGSVHIVNITTGKVVSSCSSQTGSTDGNPESIECVLFAPKYVYDAVSLSIS >KJB64357 pep chromosome:Graimondii2_0_v6:10:4705197:4707824:1 gene:B456_010G044900 transcript:KJB64357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVLSLAAPRSSINDCNEVLQSGTCKKNKSSCLNEDNSRLIPCLPDELSLQILARLPRIHYFNLRLVSQKWKATVMSPQLFNLRKELGKTEEWLYVLTKLDEEKPLWYGLDPLSRKWQRLPSIPSAGFEGESRKSLWNMVGPSIKVAEFIKNWLGQKDGSDNAPFGGCGIGTVDGCLYVLGGFSRVSTMNNVWRYNPILNAWSEMTPMSIDRAYCKTSILNDKLYVVGGVKSRPRWIEPLQSAEVFDPHTSTWSQVPSMPCSRAHELPNAFLADVLKPIATGMTSYMGRLCVAQSLYSWPFIVDAGGEIYDPETNSWGDMPSGMGEGWPSKQAGTKLSVVLDGQLYACEASGSLDRGKIKVYDRTDDAWKVVIGNVPINDFSNSESPFLLAGFHGRLHVITKDPNRDIAVLQAEPQHKFSPLLSSSTYFSDGSLNQVPDSLTESETVNWKVIAARDFGSAELVSCLVLDI >KJB67393 pep chromosome:Graimondii2_0_v6:10:54110859:54113994:1 gene:B456_010G188400 transcript:KJB67393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g16470 [Source:Projected from Arabidopsis thaliana (AT4G16470) UniProtKB/Swiss-Prot;Acc:O23491] MFAGKTATLLRRIQAQTNNCSFQAKLLKEYTQLNKVLRGLCFTGRLREAVGLLWRTRLKADAATYALLLQECLFRKEHKSGRRIHAHMVVIGYVPNEYLKIKLLILYAKSGDLRTAYVLFDNLLEKTLISWNAMIAGFVQKGCGEFGLDLYYNMIKNGVSPDQYTFASVFRASASLASLEHGKRAHGVLIKSHIRENVVVSSALMDMYFKCSSLTDAHRVFNEVVNRNVFTWTSLISGYGQHGRVNEVLESFDKMINEGFRPNYVTFLAVLSACSHGGLVNEGWHYFLSMKRDYGIQPRGQHYSAMVDLLGRSGKLHEAYEFVLNSPFKEHPAIWGALLGACRIHGDMDLVKLVADKYLELEPENSGTYVLLSNTYATFGFWENLAALRRKMRNSGVIKEPAYSWIEIQGEVHFFLRGDVSHRCSAEIYELIKLMPSILKDPDYVPDIISS >KJB66779 pep chromosome:Graimondii2_0_v6:10:44367362:44370584:1 gene:B456_010G157800 transcript:KJB66779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSVVNNKALLQSPKGESLLIETDATRSHITIPRTIQWHEINLPDKWKLDGAIDIVAPTPIRNTLLNEISQHQDGTVELKFNRPQKMPPRYSFEIGTTSTAFRRLNLEEESNPETQIVDFKTARASISFIPTTFRTNLQGIDNSSNITNKGEVESRHPPLMEVQYLHKNTRIKANPLRMRAPGKQLYYIENLVESQPIRKEPIKEITEKSSKEPIYTPYEIPKHFQKSQNDFLTKIQNRLDALESYKSELIAPDTPIQAQHSINTLHKSSQSESDQSNGQQINKMAWKEPKRLYYPKTTAPDLSIEEKPIFQNKYNANTIYEWNIDGMSEYNILSLLQQMKMVSNVYKTQNQNGLISDHAIANLLVAGFTGDPSHLKDRNSELLSNLKCKKLTNFKWYKDVFMTRVMQRSDNQQPFWKEKFLAGLPTLLGEKVRNQIRENYKGIIPYENLTYGELISFTQKEGLKICQDLKLEKQLKIERYQCRKELGSFCHQFDIRNDPSSSKTSANGKKLKITYKIPNAEISNKGIKYQTCFLMVKDITQDVILGTPFISLLKPYKVTNNSISTKVLNTK >KJB66174 pep chromosome:Graimondii2_0_v6:10:28592653:28597183:1 gene:B456_010G131100 transcript:KJB66174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWEGYVSDEAMGTVAPVVVYWLYAGFYQLLPPLDKYRLHTRKEEEEKNAVPFVSVVRGVLFQQLVQATVAKLLFLLTSTADASGTTIQPSVPVQLAQFIVAMLVMDAWQYFVHRFMHQNKFLYRHVHSQHHRLVVPYAIGALYNHPLEGLLLDTFGGAISFLVSGMTARTAVVFFCFAVIKTVDDHCGLWLPGNIFHIFFWNNSAYHDIHHQLQGTKYNYSQPFFANWDKLLGTHMPYDLLKRPEGGFEVRLKKD >KJB66175 pep chromosome:Graimondii2_0_v6:10:28592789:28597183:1 gene:B456_010G131100 transcript:KJB66175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWEGYVSDEAMGTVAPVVVYWLYAGFYQLLPPLDKYRLHTRKEEEEKNAVPFVSVVRGVLFQQLVQATVAKLLFLLTSTADASGTTIQPSVPVQLAQFIVAMLVMDAWQYFVHRFMHQNKFLYRHVHSQHHRLVVPYAIGALYNHPLEGLLLDTFGGAISFLVSGMTARTAVVFFCFAVIKTVDDHCGLWLPGNIFHIFFWNNSAYHDIHHQLQGTKYNYSQPFFANWDKLLGTHMPYDLLKRPEGGFEVRLKKD >KJB66173 pep chromosome:Graimondii2_0_v6:10:28592765:28597183:1 gene:B456_010G131100 transcript:KJB66173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWEGYVSDEAMGTVAPVVVYWLYAGFYQLLPPLDKYRLHTRKEEEEKNAVPFVSVVRGVLFQQLVQATVAKLLFLLTSTADASGTTIQPSVPVQLAQFIVAMLVMDAWQYFVHRFMHQNKFLYRHVHSQHHRLVVPYAIGALYNHPLEGLLLDTFGGAISFLVSGMTARTAVVFFCFAVIKTVDDHCGLWLPGNIFHIFFWNNSAYHDIHHQLQGTKYNYSQPFFANWDKLLGTHMPYDLLKRPEGGFEVRLKKD >KJB66176 pep chromosome:Graimondii2_0_v6:10:28592969:28597183:1 gene:B456_010G131100 transcript:KJB66176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWEGYVSDEAMGTVAPVVVYWLYAGFYQLLPPLDKYRLHTRKEEEEKNAVPFVSVVRGVLFQQLVQATVAKLLFLLTSTADASGTTIQPSVPVQLAQFIVAMLVMDAWQYFVHRFMHQNKFLYRHVHSQHHRLVVPYAIGALYNHPLEGLLLDTFGGAISFLVSGMTARTAVVFFCFAVIKTVDDHCGLWLPGNIFHIFFWNNSAYHDIHHQLQGTKYNYSQPFFANWDKLLGTHMPYDLLKRPEGGFEVRLKKD >KJB64071 pep chromosome:Graimondii2_0_v6:10:2854476:2855964:1 gene:B456_010G032100 transcript:KJB64071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMKTTAAQFTYPWQLCKTVHLVRHGQAMHNVEGDINREALLSPHLFDAQLSPLGLHQVGKLREDVHASGLLKRIELVVTSPLYRTMQTAFGVFGSTESNEDAGVNHPQIMAVELCRDRMGVRPCDMRRRVSECQALFPSIDFSMMDGEDDSLWNPHVRESEEEMAARMVLFIKWLWTRPEQEIVIVSHGIMLQHILNVLENDCHPTVRTDLCKRFGNCELRSVLIVDKR >KJB65725 pep chromosome:Graimondii2_0_v6:10:20985639:20988026:1 gene:B456_010G111000 transcript:KJB65725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSVYLLINNERSIGGIASHGSPTDTNPNEIDLEVGPADQIQCRICLETDGRDFIAPGKCKGTSKYVHRECLDHWRAVKMLRMRLRSSHGLKKFIRRWLS >KJB65723 pep chromosome:Graimondii2_0_v6:10:20985522:20988927:1 gene:B456_010G111000 transcript:KJB65723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSVYLLINNERSIGGIASHGSPTDTNPNEIDLEVGPADQIQCRICLETDGRDFIAPGKCKGTSKYVHRECLDHWRAVKVQKLMGSTPLDLAKFNMVKSGEMSRNAPCPCGSKKRYKR >KJB65724 pep chromosome:Graimondii2_0_v6:10:20985933:20987230:1 gene:B456_010G111000 transcript:KJB65724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSVYLLINNERSIGGIASHGSPTDTNPNEIDLEVGPADQIQCRICLETDGRDFIAPGKCKGTSKYVHRECLDHWRAVKMLRMRLRSSHGLKKFIRRWLS >KJB65722 pep chromosome:Graimondii2_0_v6:10:20985639:20988296:1 gene:B456_010G111000 transcript:KJB65722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSVYLLINNERSIGGIASHGSPTDTNPNEIDLEVGPADQIQCRICLETDGRDFIAPGKCKGTSKYVHRECLDHWRAVKMLRMRLRSSHGLKKFIRRWLS >KJB64356 pep chromosome:Graimondii2_0_v6:10:4679207:4680598:1 gene:B456_010G044800 transcript:KJB64356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFGIEALTICPKKNQTEKHCNFCMMTSSFVSESAASWVIGDYIGMESCFDLYNNDQVCSGGGGGDGGRVFRESRVKRGQRCRKEFPPPIPSLARTENQPPHMPWVLQRYYTNDGRLILREEKVKHHEYFRAHRSNGRLTLHLIPLDNEDNDTDVEEEEAKEEDDQGNVVVETSIKDNNESEESMVKCPVGDNNDNGIAANGGKCMNYSSVRTSPTCFLGLPAIRPVHS >KJB67580 pep chromosome:Graimondii2_0_v6:10:55905712:55909458:-1 gene:B456_010G198000 transcript:KJB67580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C 6 [Source:Projected from Arabidopsis thaliana (AT2G40116) UniProtKB/Swiss-Prot;Acc:Q8GV43] MKKSKKSQKGVTSNDKNKGMGKEKEREKKSSSLESGSYNYKMFSFFNRKFKINEVEPPSDVNKAFSLFTDDGSTHMTAEQLRRFMSVHQCEVSTRLEDAQNIIEQVVNRRHHITKFARHTLNVEDFFHFLLSDDLNGPIRTQVHHDMSAPLSHYFIYTGHNSYLTGNQLSSDCSEVPIIKALQNGVRVIELDLWPSKDEILVLHGRTLTTPVSFIQCLTSIKEYAFVSSPYPVIITLEDHLTPELQAKAADMITQTFETMLYYPESDLTEFPSPESLKYRIMISTKPPKEYLEVRSKDASEDESSPKDDSDASESDQEDEDFKSLQAGVSGYKRLITIHAGKPKGSLKTALKEVTDQVRRLSLSEHQLEKLAGSHGLDIVRFTQRNILRVYPKGTRFTSSNYKPTIGWMHGAQMVAFNMQGYGKSLWLMHGMFRANGGCGYVIKPDILTRSADELFDPKATLLPVQKTLKVKIYMGDGWRLDFKHTHFDAYSPPDFYTKIFIVGVPADEAKKKTKIIEDDWCPVWDEEFSFPLTVPELALLRIEVREYDISEKDDFGGQTCLPVPELRTGFRSVPLHDKKGVKHKNVRLLMRFEFV >KJB67581 pep chromosome:Graimondii2_0_v6:10:55905948:55909320:-1 gene:B456_010G198000 transcript:KJB67581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C 6 [Source:Projected from Arabidopsis thaliana (AT2G40116) UniProtKB/Swiss-Prot;Acc:Q8GV43] MKKSKKSQKGVTSNDKNKGMGKEKEREKKSSSLESGSYNYKMFSFFNRKFKINEVEPPSDVNKAFSLFTDDGSTHMTAEQLRRFMSVHQCEVSTRLEDAQNIIEQVVNRRHHITKFARHTLNVEDFFHFLLSDDLNGPIRTQVHHDMSAPLSHYFIYTGHNSYLTGNQLSSDCSEVPIIKALQNGVRVIELDLWPSKDEILVLHGRTLTTPVSFIQCLTSIKEYAFVSSPYPVIITLEDHLTPELQAKAADMITQTFETMLYYPESDLTEFPSPESLKYRIMISTKPPKEYLEVRSKDASEDESSPKDDSDAVSRNLLCYSNSSSESDQEDEDFKSLQAGVSGYKRLITIHAGKPKGSLKTALKEVTDQVRRLSLSEHQLEKLAGSHGLDIVRFTQRNILRVYPKGTRFTSSNYKPTIGWMHGAQMVAFNMQGYGKSLWLMHGMFRANGGCGYVIKPDILTRSADELFDPKATLLPVQKTLKVKIYMGDGWRLDFKHTHFDAYSPPDFYTKIFIVGVPADEAKKKTKIIEDDWCPVWDEEFSFPLTVPELALLRIEVREYDISEKDDFGGQTCLPVPELRTGFRSVPLHDKKGVKHKNVRLLMRFEFV >KJB66691 pep chromosome:Graimondii2_0_v6:10:41652843:41654515:-1 gene:B456_010G152600 transcript:KJB66691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPRKQRLAFLYLANAILQNSRRKGSEFVGEFWKVLPDALRDVIESGDEFGRNDALRLISVWEKRKVFSSRGQILKEELFGRQSECNNRNGRHINPKLLKQPVGDTVDKIVLGYQFLYCSQMDEDVIFSKCRNAISCKNIFNIVTFNIIVRIF >KJB66690 pep chromosome:Graimondii2_0_v6:10:41652843:41654589:-1 gene:B456_010G152600 transcript:KJB66690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPRKQRLAFLYLANAILQNSRRKGSEFVGEFWKVLPDALRDVIESGDEFGRNDALRLISVWEKRKVFSSRGQILKEELFGRQSECNNRNGRHINPKLPVGDTVDKIVLGYQFLYCSQMDEDVIFSKCRNAISCKNIFNIVTFNIIVRIF >KJB64424 pep chromosome:Graimondii2_0_v6:10:5354182:5356959:-1 gene:B456_010G048700 transcript:KJB64424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQKSQGKLTRTQSSLLRSSPTVRSSIHSMSSITESDFSKDQEENQQRELLLKDEKKTKPPPKRTGSMSPRIIPVRFTPVFAMASISFFTLFSFIFFFGFYLKREEIPTSENLLLALIFVAITLFFASKNRALINQGIVCFKSRFYFSKPNSKPVEWFIGETQCNKNNINKEKERLLPTVREGVEFYSNGDFYEGEFHKGKCNGSGVYNYFVNGRYEGDWVEGRYDGYGVESWSRGSRYRGQYREGLRHGFGVYRFYTGDSYAGEWCNGQSHGVGIQTCADGSCYVGEFKSGVKHGLGYYHFRNGDKYAGDYFGDKMHGFGVYHFANGHCYEGSWHEGRKQGYGMYTFRSGDTRCGEWDSGTLKTPLPQLTDAVLRAVEAARRTAVNAVHLRRVDDQVKKAVLAANRAATAARVAAVRAVQNQMDAKFCDIDV >KJB66426 pep chromosome:Graimondii2_0_v6:10:35148372:35153536:-1 gene:B456_010G141800 transcript:KJB66426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNRDSDTLTLVGNSFGRLPDHLLIEIFIRLPVSEWAQISCVKKQWASLFLGECLWQAALMRTFPSASQAKRWPGPIPQGLSKRRFAALYVGKHIFALDNDIDEIVGHTYLFLKEQLELSNMPPPSGILHGTIIDQFITCGKSRDVAHELASQIWLAVLDNLEENQHTFLLLKRLALEGDVFLPFPYSRSIKVQWRVFEKLFTDFRNCFDQADYYDVLAIAKNKFQPIPSAWLGF >KJB66424 pep chromosome:Graimondii2_0_v6:10:35147405:35153597:-1 gene:B456_010G141800 transcript:KJB66424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFPSASQAKRWPGPIPQGLSKRRFAALYVGKHIFALDNDIDEIVGHTYLFLKEQLELSNMPPPSGILHGTIIDQFITCGKSRDVAHELASQIWLAVLDNLEENQHTFLLLKRLALEGDVFLPFPYSRSIKVQWRVFEKLFTDFRNCFDQADYYDVLAIAKNKFQPIPSAWFKVQRCTSNSL >KJB66427 pep chromosome:Graimondii2_0_v6:10:35148372:35153510:-1 gene:B456_010G141800 transcript:KJB66427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFPSASQAKRWPGPIPQGLSKRRFAALYVGKHIFALDNDIDEIVGHTYLFLKEQLELSNMPPPSGILHGTIIDQFITCGKSRDVAHELASQIWLAVLDNLEENQHTFLLLKRLALEGDVFLPFPYSRSIKVQWRVFEKLFTDFRNCFDQADYYDVLAIAKNKFQPIPSAWLGF >KJB66423 pep chromosome:Graimondii2_0_v6:10:35147713:35152140:-1 gene:B456_010G141800 transcript:KJB66423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRPSKLIFSFVSPGVDATIVLLCLQLLIFFPFYWLLTVMTDNRDSDTLTLVGNSFGRLPDHLLIEIFIRLPVSEWAQISCVKKQWASLFLGECLWQAALMRTFPSASQAKRWPGPIPQGLSKRRFAALYVGKHIFALDNDIDEIVGHTYLFLKEQLELSNMPPPSGILHGTIIDQFITCGKSRDVAHELASQIWLAVLDNLEENQHTFLLLKRLALEGDVFLPFPYSRSIKVQWRVFEKLFTDFRNCFDQADYYDVLAIAKNKFQPIPSAWFKVQRCTSNSL >KJB66428 pep chromosome:Graimondii2_0_v6:10:35148572:35152140:-1 gene:B456_010G141800 transcript:KJB66428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRPSKLIFSFVSPGVDATIVLLCLQLLIFFPFYWLLLPVSEWAQISCVKKQWASLFLGECLWQAALMRTFPSASQAKRWPGPIPQGLSKRRFAALYVGKHIFALDNDIDEIVGHTYLFLKEQLELSNMPPPSGILHGTIIDQFITCGKSRDVAHELASQIWLAVLDNLEENQHTFLLLKRLALEGDVFLPFPYSRSIKVQWRVFEKLFTDFRNCFDQADYYDVLAIAKNKFQPIPSAWLGF >KJB66429 pep chromosome:Graimondii2_0_v6:10:35148372:35152099:-1 gene:B456_010G141800 transcript:KJB66429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNRDSDTLTLVGNSFGRLPDHLLIEIFIRLPVSEWAQISCVKKQWASLFLGECLWQAALMRTFPSASQAKRWPGPIPQGLSKRRFAALYVGKHIFALDNDIDEIVGHTYLFLKEQLELSNMPPPSGILHGTIIDQFITCGKSRDVAHELASQIWLAVLDNLEENQHTFLLLKRLALEGDVFLPFPYSRSIKVQWRVFEKLFTDFRNCFDQADYYDVLAIAKNKFQPIPSAWLGF >KJB66425 pep chromosome:Graimondii2_0_v6:10:35147713:35151544:-1 gene:B456_010G141800 transcript:KJB66425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNRDSDTLTLVGNSFGRLPDHLLIEIFIRLPVSEWAQISCVKKQWASLFLGECLWQAALMRTFPSASQAKRWPGPIPQGLSKRRFAALYVGKHIFALDNDIDEIVGHTYLFLKEQLELSNMPPPSGILHGTIIDQFITCGKSRDVAHELASQIWLAVLDNLEENQHTFLLLKRLALEGDVFLPFPYSRSIKVQWRVFEKLFTDFRNCFDQADYYDVLAIAKNKFQPIPSAWFKVQRCTSNSL >KJB66422 pep chromosome:Graimondii2_0_v6:10:35147405:35153597:-1 gene:B456_010G141800 transcript:KJB66422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRPSKLIFSFVSPGVDATIVLLCLQLLIFFPFYWLLLPVSEWAQISCVKKQWASLFLGECLWQAALMRTFPSASQAKRWPGPIPQGLSKRRFAALYVGKHIFALDNDIDEIVGHTYLFLKEQLELSNMPPPSGILHGTIIDQFITCGKSRDVAHELASQIWLAVLDNLEENQHTFLLLKRLALEGDVFLPFPYSRSIKVQWRVFEKLFTDFRNCFDQADYYDVLAIAKNKFQPIPSAWFKVQRCTSNSL >KJB66430 pep chromosome:Graimondii2_0_v6:10:35148926:35153510:-1 gene:B456_010G141800 transcript:KJB66430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNRDSDTLTLVGNSFGRLPDHLLIEIFIRLPVSEWAQISCVKKQWASLFLGECLWQAALMRTFPSASQAKRWPGPIPQGLSKRRFAALYVGKHIFALDNDIDEIVGHTYLFLKEQLELSNMPPPSGILHGTIIDQFITCGKSRDVAHELASQIWLAVLDNLEENQHTFLLLKRLALEGDVSCHSAPFCVYCI >KJB63533 pep chromosome:Graimondii2_0_v6:10:214365:216391:-1 gene:B456_010G005000 transcript:KJB63533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMTPSLSRLHSPFLCCPLKHSTPSLSIKCGRSYRSPASYPCIRAVDLDQNTIVAVSVGLVSVAVGIGIPIFYETQIDNAASRENTQPCFPCNGSGAQRCRFCMGSGTITVELGGDEKEVSKCVNCEGIGSLTCTTCQGSGIQPRYLDRREFKDDD >KJB63534 pep chromosome:Graimondii2_0_v6:10:214768:216278:-1 gene:B456_010G005000 transcript:KJB63534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMTPSLSRLHSPFLCCPLKHSTPSLSIKCGRSYRSPASYPCIRAVDLDQNTIVAVSVGLVSVAVGIGIPIFYETQIDNAASRENTQPCFPCNGSGAQRCRFCMGSGTITVELGGDEKEVSKCVNCEGIGSLTCTTCQGSGIQPRYLDRRYVHSVT >KJB64776 pep chromosome:Graimondii2_0_v6:10:8195569:8197978:-1 gene:B456_010G064300 transcript:KJB64776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEPVNPKAYPLADAQLTTTILDLVQQAANYKQLKKGANEATKTLNRGISEFIVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKSQIQQLKDAIEKLLI >KJB64643 pep chromosome:Graimondii2_0_v6:10:7075982:7078963:1 gene:B456_010G059200 transcript:KJB64643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLNLTAIDERFPFDELLKWKHPGLGNKDVSDTKDDDEDKEDDNADEKDDDGADEDFSGEEGDPEDDPEANGDGGSGEEEDDNERGC >KJB67446 pep chromosome:Graimondii2_0_v6:10:54593366:54599184:1 gene:B456_010G191100 transcript:KJB67446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPGILTDWPWTPLGSFKYIILGPWVTETIYSIMVKDPKEWDLTNFSVIPFMLWRMLHNQLWISLSRYRTAKGTNRIVDKGIEFDQVDRERNWDDQILFNGILFFLANKYAPGASHLPLWRTDGVIITMLLHVGPVEFLYYWFHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHIVYFALFAIPMLTVVFTGTGSIIAIAGYITYIDLMNNMGHCNFELIPNWVFSIFPPLKYLMYTPSIYCRTFVVERNRFHQLRLQTWAIPKYGIQYRLKWQKESVNNMIEEAVLEAEEKGASVLSLGLMNQGEELNRYGEVYVKKHPQLKVKLVDGSSLAVAVLLNSIPKGTTQVLLRGNLTKVAFAVAFALCQKGIQVTVLREDEYEKLDKSLGTKSEGKLVTSKSYSSCKVWLVGDDLTEEEQRKANKGTLFIPFSQLPPKKLRKDCFYHTTPAMQTPTALENVDSCENWLPRRVMSVWRIAGILHALEGWEEHECGYTMSNIDKVWEACLKHGFQPLKVPTQSKS >KJB67445 pep chromosome:Graimondii2_0_v6:10:54593243:54599310:1 gene:B456_010G191100 transcript:KJB67445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPGILTDWPWTPLGSFKYIILGPWVTETIYSIMVKDPKEWDLTNFSVIPFMLWRMLHNQLWISLSRYRTAKGTNRIVDKGIEFDQVDRERNWDDQILFNGILFFLANKYAPGASHLPLWRTDGVIITMLLHVGPVEFLYYWFHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHIVYFALFAIPMLTVVFTGTGSIIAIAGYITYIDLMNNMGHCNFELIPNWVFSIFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYDTMDKSSDTLYENSLKRKEETPNVVHLTHLTTPESIYHLRFGFASLASKPYSSAWYLWLLWPVTLWSMVLTRIYCRTFVVERNRFHQLRLQTWAIPKYGIQYRLKWQKESVNNMIEEAVLEAEEKGASVLSLGLMNQGEELNRYGEVYVKKHPQLKVKLVDGSSLAVAVLLNSIPKGTTQVLLRGNLTKVAFAVAFALCQKGIQVTVLREDEYEKLDKSLGTKSEGKLVTSKSYSSCKVWLVGDDLTEEEQRKANKGTLFIPFSQLPPKKLRKDCFYHTTPAMQTPTALENVDSCENWLPRRVMSVWRIAGILHALEGWEEHECGYTMSNIDKVWEACLKHGFQPLKVPTQSKS >KJB67755 pep chromosome:Graimondii2_0_v6:10:57482740:57484825:1 gene:B456_010G208300 transcript:KJB67755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMYFEGFSEYMKSGGELAYQQLCSEITVEFNDCSKQVLEMESVFLNPDYCRVDLAELLRAIQTQEKQKLHLTATIQVLKKAGRPSERLMNHENCSFKKPMEHECVHLQEITEAAGTEEAEANAEYDNALKEAIRGVQDAVTAINEHLEEVRYEIAALEAE >KJB67757 pep chromosome:Graimondii2_0_v6:10:57483696:57484807:1 gene:B456_010G208300 transcript:KJB67757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFERILNVSFGINLIRGFSEYMKSGGELAYQQLCSEITVEFNDCSKQVLEMESVFLNPDYCRVDLAELLRAIQTQEKQKLHLVLKKAGRPSERLMNHENCSFKKPMEHECVHLQEITEAAGTEEAEANAEYDNALKEAIRGVQDAVTAINEHLEEVRYEIAALEAE >KJB67754 pep chromosome:Graimondii2_0_v6:10:57482725:57484814:1 gene:B456_010G208300 transcript:KJB67754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCMGFSEYMKSGGELAYQQLCSEITVEFNDCSKQVLEMESVFLNPDYCRVDLAELLRAIQTQEKQKLHLTATIQVLKKAGRPSERLMNHENCSFKKPMEHECVHLQEITEAAGTEEAEANAEYDNALKEAIRGVQDAVTAINEHLEEVRYEIAALEAE >KJB67756 pep chromosome:Graimondii2_0_v6:10:57483660:57484811:1 gene:B456_010G208300 transcript:KJB67756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFERILNVSFGINLIRGFSEYMKSGGELAYQQLCSEITVEFNDCSKQVLEMESVFLNPDYCRVDLAELLRAIQTQEKQKLHLTATIQVLKKAGRPSERLMNHENCSFKKPMEHECVHLQEITEAAGTEEAEANAEYDNALKEAIRGVQDAVTAINEHLEEVRYEIAALEAE >KJB66166 pep chromosome:Graimondii2_0_v6:10:28471407:28500180:1 gene:B456_010G130800 transcript:KJB66166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLLLPSSPIHSFPATPKLSFRSKPRPIIRAAAGLEVDSFTKKSGYLFQLSAFEAESLLDYSPSRIAAIYRRKPLILLRRLIQVGTTFGKWFGVRYIDNLMERSDQMFKVRAAELRKILVELGPAYIKIAQAVSSRPDLIPPSYLDELSLLQDRITPFSTEVALDTIEKELGLRIDELFSEISPEPVAAASLGQVYQARLRRSGQVVAVKVQRPGVQAAISLDILILRFLAGVVKKAGKFNTDLQAVIDEWASSLFREMDYRKEADNGLKFRRLYGGLKDVFVPNINMEHTTRRVLVMEWVEGQKLSEVKDLYLVEVGVYCSFNQLLENGFYHADPHPGNLFRTFDGKLAYIDFGMMGEFKQEFREGFIEACLHLVNRDFDALSKDFVTLGLLPPTAEKEAVTKALTGVFQDAVAKGVRNISFGDLLGNLGTTMYKFKFQIPSYFSLVIRSLAVLEGIAISSDPNYKVLGSTYPWIAKKVLTDSSPQLKSSLQALLYKDGAFRIDRLESLLTESLRARTEKALVKIQREEANSRVVFKEILYFTLTEKGSFVREILIEEFAKGLDALGLATLDSLTSVATTRIPFTGSSVSFMSKEDIINLRTFHRLMFLLAGLESNKKSFEEVNGVGNPNNNQSAYLEESSLLSYQPALVSEILAMLSIIPELPPELQQQLLRLPADLARRLISRASARTIQRIFL >KJB66172 pep chromosome:Graimondii2_0_v6:10:28471578:28500082:1 gene:B456_010G130800 transcript:KJB66172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLLLPSSPIHSFPATPKLSFRSKPRPIIRAAAGLEVDSFTKKSGYLFQLSAFEAESLLDYSPSRIAAIYRRKPLILLRRLIQVGTTFGKWFGVRYIDNLMERSDQMFKVRAAELRKILVELGPAYIKIAQAVSSRPDLIPPSYLDELSLLQDRITPFSTEVALDTIEKELGLRIDELFSEISPEPVAAASLGQVYQARLRRSGQVVAVKVQRPGVQAAISLDILILRFLAGVVKKAGKFNTDLQAVIDEWASSLFREMDYRKEADNGLKFSRRLYGGLKDVFVPNINMEHTTRRVLVMEWVEGQKLSEVKDLYLVEVGVYCSFNQLLENGFYHADPHPGNLFRTFDGKLAYIDFGMMGEFKQEFREGFIEACLHLVNRDFDALSKDFVTLGLLPPTAEKEAVTKALTGVFQDAVAKGVRNISFGDLLGNLGTTMYKFKFQIPSYFSLVIRSLAVLEGIAISSDPNYKVLGSTYPWIAKKVLTDSSPQLKSSLQALLYKDGAFRIDRLESLLTESLRARTEKALVKIQREEANSRVVFKEILYFTLTEKGSFVREILIEEFAKGLDALGLATLDSLTSVATTRIPFTGSSVSFMSKEDIINLRTFHRLMFLLAGLESNKKSFEEVNGVGNPNNNQSAYLEESSLLSYQPALVSEILAMLSIIPELPPELQQQLLRLPADLARRLISRASARTIQRIFL >KJB66165 pep chromosome:Graimondii2_0_v6:10:28471407:28500180:1 gene:B456_010G130800 transcript:KJB66165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLLLPSSPIHSFPATPKLSFRSKPRPIIRAAAGLEVDSFTKKSGYLFQLSAFEAESLLDYSPSRIAAIYRRKPLILLRRLIQVGTTFGKWFGVRYIDNLMERSDQMFKVRAAELRKILVELGPAYIKIAQAVSSRPDLIPPSYLDELSLLQDRITPFSTEVALDTIEKELGLRIDELFSEISPEPVAAASLGQVYQARLRRSGQVVAVKVQRPGVQAAISLDILILRFLAGVVKKAGKFNTDLQAVIDEWASSLFREMDYRKEADNGLKFRRLYGGLKDVFVPNINMEHTTRRVLVMEWVEGQKLSEVKDLYLVEVGVYCSFNQLLENGFYHADPHPGNLFRTFDGKLAYIDFGMMGEFKQEFREGFIEACLHLVNRDFDALSKDFVTLGLLPPTAEKEAVTKALTGVFQDAVAKGVRNISFGDLLGNLGTTMYKFKFQIPSYFSLVIRSLAVLEGIAISSDPNYKVLGSTYPWIAKKVLTDSSPQLKSSLQALLYKDGAFRIDRLESLLTESLRARTEKALVKIQREEANSRVVFKEILYFTLTEKGSFVREILIEEFAKEVNGVGNPNNNQSAYLEESSLLSYQPALVSEILAMLSIIPELPPELQQQLLRLPADLARRLISRASARTIQRIFL >KJB66168 pep chromosome:Graimondii2_0_v6:10:28471407:28500180:1 gene:B456_010G130800 transcript:KJB66168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLLLPSSPIHSFPATPKLSFRSKPRPIIRAAAGLEVDSFTKKSGYLFQLSAFEAESLLDYSPSRIAAIYRRKPLILLRRLIQVGTTFGKWFGVRYIDNLMERSDQMFKVRAAELRKILVELGPAYIKIAQAVSSRPDLIPPSYLDELSLLQDRITPFSTEVALDTIEKELGLRIDELFSEISPEPVAAASLGQVYQARLRRSGQVVAVKVQRPGVQAAISLDILILRFLAGVVKKAGKFNTDLQAVIDEWASSLFREMDYRKEADNGLKFRRLYGGLKDVFVPNINMEHTTRRVLVMEWVEGQKLSEVKDLYLVEVGVYCSFNQLLENGFYHADPHPGNLFRTFDGKLAYIDFGMMGEFKQEFREGFIEACLHLVNRDFDALSKDFVTLGLLPPTAEKEAVTKALTGVFQDAVAKGVRNISFGDLLGNLGTTILAVLEGIAISSDPNYKVLGSTYPWIAKKVLTDSSPQLKSSLQALLYKSLRARTEKALVKIQREEANSRVVFKEILYFTLTEKGSFVREILIEEFAKGLDALGLATLDSLTSVATTRIPFTGSSVSFMSKEDIINLRTFHRLMFLLAGLESNKKSFEEVNGVGNPNNNQSAYLEESSLLSYQPALVSEILAMLSIIPELPPELQQQLLRLPADLARRLISRASARTIQRIFL >KJB66170 pep chromosome:Graimondii2_0_v6:10:28471407:28500180:1 gene:B456_010G130800 transcript:KJB66170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLLLPSSPIHSFPATPKLSFRSKPRPIIRAAAGLEVDSFTKKSGYLFQLSAFEAESLLDYSPSRIAAIYRRKPLILLRRLIQVGTTFGKWFGVRYIDNLMERSDQMFKVRAAELRKILVELGPAYIKIAQAVSSRPDLIPPSYLDELSLLQDRITPFSTEVALDTIEKELGLRIDELFSEISPEPVAAASLGQVYQARLRRSGQVVAVKVQRPGVQAAISLDILILRFLAGVVKKAGKFNTDLQAVIDEWASSLFREMDYRKEADNGLKFRRLYGGLKDVFVPNINMEHTTRRVLVMEWVEGQKLSEVKDLYLVEVGVYCSFNQLLENGFYHADPHPGNLFRTFDGKLAYIDFGMMGEFKQEFREGFIEACLHLVNRDFDALSKDFVTLGLLPPTAEKEAVTKALTGVFQDAVAKGVRNISFGDLLGNLGTTILAVLEGIAISSDPNYKVLGSTYPWIAKKVLTDSSPQLKSSLQALLYKDGAFRIDRLESLLTESLRARTEKALVKIQREEANSRVVFKEILYFTLTEKGSFVREILIEEFAKGLDALGLATLDSLTSVATTRIPFTGSSVSFMSKEDIINLRTFHRLMFLLAGLESNKKSFEEVNGVGNPNNNQSAYLEESSLLSYQPALVSEILAMLSIIPELPPELQQQLLRLPADLARRLISRASARTIQRIFL >KJB66169 pep chromosome:Graimondii2_0_v6:10:28471407:28500180:1 gene:B456_010G130800 transcript:KJB66169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLLLPSSPIHSFPATPKLSFRSKPRPIIRAAAGLEVDSFTKKSGYLFQLSAFEAESLLDYSPSRIAAIYRRKPLILLRRLIQVGTTFGKWFGVRYIDNLMERSDQMFKVRAAELRKILVELGPAYIKIAQAVSSRPDLIPPSYLDELSLLQDRITPFSTEVALDTIEKELGLRIDELFSEISPEPVAAASLGQVYQARLRRSGQVVAVKVQRPGVQAAISLDILILRFLAGVVKKAGKFNTDLQAVIDEWASSLFREMDYRKEADNGLKFRRLYGGLKDVFVPNINMEHTTRRVLVMEWVEGQKLSEVKDLYLVEVGVYCSFNQLLENGFYHADPHPGNLFRTFDGKLAYIDFGMMGEFKQEFREGFIEACLHLVNRDFDALSKDFVTLGLLPPTAEKEAVTKALTGVFQDAVAKGVRNISFGDLLGNLGTTMYKFKFQIPSYFSLVIRSLAVLEGIAISSDPNYKVLGSTYPWIAKKVLTDSSPQLKSSLQALLYKSLRARTEKALVKIQREEANSRVVFKEILYFTLTEKGSFVREILIEEFAKGLDALGLATLDSLTSVATTRIPFTGSSVSFMSKEDIINLRTFHRLMFLLAGLESNKKSFEEVNGVGNPNNNQSAYLEESSLLSYQPALVSEILAMLSIIPELPPELQQQLLRLPADLARRLISRASARTIQRIFL >KJB66167 pep chromosome:Graimondii2_0_v6:10:28471407:28500180:1 gene:B456_010G130800 transcript:KJB66167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLLLPSSPIHSFPATPKLSFRSKPRPIIRAAAGLEVDSFTKKSGYLFQLSAFEAESLLDYSPSRIAAIYRRKPLILLRRLIQVGTTFGKWFGVRYIDNLMERSDQMFKVRAAELRKILVELGPAYIKIAQAVSSRPDLIPPSYLDELSLLQDRITPFSTEVALDTIEKELGLRIDELFSEISPEPVAAASLGQVYQARLRRSGQVVAVKVQRPGVQAAISLDILILRFLAGVVKKAGKFNTDLQAVIDEWASSLFREMDYRKEADNGLKFRRLYGGLKDVFVPNINMEHTTRRVLVMEWVEGQKLSEVKDLYLVEVGVYCSFNQLLENGFYHADPHPGNLFRTFDGKLAYIDFGMMGEFKQEFREGFIEACLHLVNRDFDALSKDFVTLGLLPPTAEKEAVTKALTGVFQDAVAKGVRNISFGDLLGNLGTTILAVLEGIAISSDPNYKVLGSTYPWIAKKVLTDSSPQLKSSLQALLYKDGAFRIDRLESLLTESLRARTEKALVKIQREEANSRVVFKEILYFTLTEKGSFVREILIEEFAKEVNGVGNPNNNQSAYLEESSLLSYQPALVSEILAMLSIIPELPPELQQQLLRLPADLARRLISRASARTIQRIFL >KJB66164 pep chromosome:Graimondii2_0_v6:10:28471407:28500180:1 gene:B456_010G130800 transcript:KJB66164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLLLPSSPIHSFPATPKLSFRSKPRPIIRAAAGLEVDSFTKKSGYLFQLSAFEAESLLDYSPSRIAAIYRRKPLILLRRLIQVGTTFGKWFGVRYIDNLMERSDQMFKVRAAELRKILVELGPAYIKIAQAVSSRPDLIPPSYLDELSLLQDRITPFSTEVALDTIEKELGLRIDELFSEISPEPVAAASLGQVYQARLRRSGQVVAVKVQRPGVQAAISLDILILRFLAGVVKKAGKFNTDLQAVIDEWASSLFREMDYRKEADNGLKFRRLYGGLKDVFVPNINMEHTTRRVLVMEWVEGQKLSEVKDLYLVEVGVYCSFNQLLENGFYHADPHPGNLFRTFDGKLAYIDFGMMGEFKQEFREGFIEACLHLVNRDFDALSKDFVTLGLLPPTAEKEAVTKALTGVFQDAVAKGVRNISFGDLLGNLGTTILAVLEGIAISSDPNYKVLGSTYPWIAKKVLTDSSPQLKSSLQALLYKSLRARTEKALVKIQREEANSRVVFKEILYFTLTEKGSFVREILIEEFAKEVNGVGNPNNNQSAYLEESSLLSYQPALVSEILAMLSIIPELPPELQQQLLRLPADLARRLISRASARTIQRIFL >KJB66171 pep chromosome:Graimondii2_0_v6:10:28471407:28500180:1 gene:B456_010G130800 transcript:KJB66171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLLLPSSPIHSFPATPKLSFRSKPRPIIRAAAGLEVDSFTKKSGYLFQLSAFEAESLLDYSPSRIAAIYRRKPLILLRRLIQVGTTFGKWFGVRYIDNLMERSDQMFKVRAAELRKILVELGPAYIKIAQAVSSRPDLIPPSYLDELSLLQDRITPFSTEVALDTIEKELGLRIDELFSEISPEPVAAASLGQVYQARLRRSGQVVAVKVQRPGVQAAISLDILILRFLAGVVKKAGKFNTDLQAVIDEWASSLFREMDYRKEADNGLKFRRLYGGLKDVFVPNINMEHTTRRVLVMEWVEGQKLSEVKDLYLVEVGVYCSFNQLLENGFYHADPHPGNLFRTFDGKLAYIDFGMMGEFKQEFREGFIEACLHLVNRDFDALSKDFVTLGLLPPTAEKEAVTKALTGVFQDAVAKGVRNISFGDLLGNLGTTMYKFKFQIPSYFSLVIRSLAVLEGIAISSDPNYKVLGSTYPWIAKKVLTDSSPQLKSSLQALLYKSLRARTEKALVKIQREEANSRVVFKEILYFTLTEKGSFVREILIEEFAKEVNGVGNPNNNQSAYLEESSLLSYQPALVSEILAMLSIIPELPPELQQQLLRLPADLARRLISRASARTIQRIFL >KJB65621 pep chromosome:Graimondii2_0_v6:10:18882128:18883026:1 gene:B456_010G1038001 transcript:KJB65621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPGLTSQTARAIPSIASDNVYCTLLAHSAVHGAMAGYTGFTVGPVNGRHAYIPFNRITEKQNKVVITDRMWARLLSSTNQPSFLNPKDIAEAKEEKQP >KJB68643 pep chromosome:Graimondii2_0_v6:10:62106975:62107526:-1 gene:B456_010G256000 transcript:KJB68643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKALLMFMPLALAMVANCSTTATTFNKTLAHFGTGDGALWTVNIEDIETETTMMMDSETNRWLRNGTKRRYISYEALKRNNVPCHQQGRSYYECSTGKPVNPYTRGCTYATRCRRYTA >KJB68177 pep chromosome:Graimondii2_0_v6:10:60190541:60191911:1 gene:B456_010G230200 transcript:KJB68177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRSFHIAMYPWFALGHITPYVHMANKLAERGHKISFFLPAKTQHKVEAFNLHPHLITFIPIEVPHVEGLPLGAETTNDVPFPLHPLIMTAMDLTEPDIEAYLRQLKPHFAFYDFTCWLPALTRRLGIKSVVYCIISSATIGYLLSPARKTLEKGMTGSDLLEPPQGFPSSSIRLRAHEAQALAAVTTMDYGSGLSFVERQLMSLSDCDIIGFKTCREIEGPYGEYIGSQFGKPVIFAGSVVPNPPKIALEKQWEKLLSKFQPKTVIFCAFGSECVLKKDRFQELVLGLELTGLPFLVALKPPMGAETIESALPEGFQERLEGRGILYGGWVPQQLILRHRSVGYFVTHCGSGSLAEAMVSDCQLVLLPHVGDQIINARLMAGDLKMGVEVEKGDEDGVFTKYDVCKAVRTLMDHANELGKEVRTNHAQWKEFLLKPGLENSYMDDFVMQLHALV >KJB64947 pep chromosome:Graimondii2_0_v6:10:10321674:10322966:1 gene:B456_010G073000 transcript:KJB64947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQISYLLLFNSLCSYEMSNLVRLFMDKSKYTGPTYSSACNLRIIERESPSISTSLSPRYNPRLSA >KJB65582 pep chromosome:Graimondii2_0_v6:10:18471791:18476437:1 gene:B456_010G102100 transcript:KJB65582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAQYSSGLDAGSNKRKYDDQPPLPSGARRPTGFSSPDSAPPSYNSVPPPLDGIEMAKQRAQEIAARLTASVASAGAEPKRPRFENGSGGGFDHDKGFSSAPSAGETIKYLQLQSGAKIQVQRDMDADPNSVTRPVELVGTAEQISKAEQLINDVLAEAETGGSGIVSRRLTGQAGSEHFEMKIPNNKVGLVIGKGGETIKNMQARTGARIQVIPLHLPPGDTSTERTLHIDGTSEQIKHARELVDEVTSENRMRNPSMVGGFPQQGYQARPASSWGQGAPPMQQPGYGYLQPGAYPGPSSQYNMLQPPYGGYPSQPSSGGYASGWDQSSVQPNQQTSAASGYDYYNQQPSQQQQQTSGDSVTPADNSGYNYSQPPASSYMQPGQGYSQYGYGGYHQSGYGQPSSYDQQQSYGSASSYGNVTNPTQGGQGDSGQAPTSTQPSATGQQGYNTSQQPNPNPGSYPPPGSTQPGYGMPPSQTGYGNQPPAQPGYGAGYGQPQTQKPLANPQMYGQAQQSPSTPGSYGQPGYHSQPPSSGYGQPETGSQLAQPPSYGAASAQPGYGAPTYGAGYGAPYNTSYGGSYSQPPTYPADNNAGGSTNGNYDAAPASKTVDQGGVAKASPKS >KJB65581 pep chromosome:Graimondii2_0_v6:10:18471727:18476545:1 gene:B456_010G102100 transcript:KJB65581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAQYSSGLDAGSNKRKYDDQPPLPSGARRPTGFSSPDSAPPSYNSVPPPLDGIEMAKQRAQEIAARLTASVASAGAEPKRPRFENGSGGGFDHDKGFSSAPSDVKPLSNSAPSAIPVSYGSYHGSSKKIDIPQNRVGVIIGKAGETIKYLQLQSGAKIQVQRDMDADPNSVTRPVELVGTAEQISKAEQLINDVLAEAETGGSGIVSRRLTGQAGSEHFEMKIPNNKVGLVIGKGGETIKNMQARTGARIQVIPLHLPPGDTSTERTLHIDGTSEQIKHARELVDEVTSENRMRNPSMVGGFPQQGYQARPASSWGQGAPPMQQPGYGYLQPGAYPGPSSQYNMLQPPYGGYPSQPSSGGYASGWDQSSVQPNQQTSAASGYDYYNQQPSQQQQQTSGDSVTPADNSGYNYSQPPASSYMQPGQGYSQYGYGGYHQSGYGQPSSYDQQQSYGSASSYGNVTNPTQGGQGDSGQAPTSTQPSATGQQGYNTSQQPNPNPGSYPPPGSTQPGYGMPPSQTGYGNQPPAQPGYGAGYGQPQTQKPLANPQMYGQAQQSPSTPGSYGQPGYHSQPPSSGYGQPETGSQLAQPPSYGAASAQPGYGAPTYGAGYGAPYNTSYGGSYSQPPTYPADNNAGGSTNGNYDAAPASKTVDQGGVAKASPKS >KJB67728 pep chromosome:Graimondii2_0_v6:10:57246378:57246710:-1 gene:B456_010G206600 transcript:KJB67728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISLFHYGWAPRIWRPWGFLFDCIERPNELGFPYWAGSFRGKRIIYDEKDELQENDSAFLQSGTMQYQARDRSSKEQGFFRISQFIWDPADPLFFLFKDQPFVSVFSHREFF >KJB67334 pep chromosome:Graimondii2_0_v6:10:53751088:53754083:1 gene:B456_010G186400 transcript:KJB67334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDWRDKKLYPLLGILLLSIFLYFNLGSNPITFPILWQSHMGFVSANSTHFIIVNPRAPLENQSTFYINGWNSYWLMQESVWAGPSRSRVSKMLKKGAEMGLTVCRTWAFNDGVGPNALQISPGVFNERVFRGLDYVIVEARKRGIRLILSLVNNLSNFGGKAQYVRWAQEAGHNVSSSTDSFFSHPMIKDYYKAYVKAILSRKNSLSGVKYADEPAIFAWELMNEPRCSSSSSAPILQAWLNEMAAFVKSLDQKHLVTVGLEGFYGLNTTKGLEVNPGEWAASLGSDFIQNSAIENIDFASIHAYPDSWLLNFTFCMI >KJB67333 pep chromosome:Graimondii2_0_v6:10:53751058:53754083:1 gene:B456_010G186400 transcript:KJB67333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDWRDKKLYPLLGILLLSIFLYFNLGSNPITFPILWQSHMGFVSANSTHFIIVNPRAPLENQSTFYINGWNSYWLMQESVWAGPSRSRVSKMLKKGAEMGLTVCRTWAFNDGVGPNALQISPGVFNERVFRGLDYVIVEARKRGIRLILSLVNNLSNFGGKAQYVRWAQEAGHNVSSSTDSFFSHPMIKDYYKAYVKAILSRKNSLSGVKYADEPAIFAWELMNEPRCSSSSSAPILQAWLNEMAAFVKSLDQKHLVTVGLEGFYGLNTTKGLEVNPGEWAASLGSDFIQNSAIENIDFASIHAYPDSWMPHDDMEAKARFLSRWVDSHISDGDHVLKKPVIFTEVGSLVHADNQGLADKDILLKTMYEKIYESAKKRQAGAGALIWQLLVEGVGEYSDRFSFVAWDNPSTYKLILKQSCRLKSIFAKSIQSRKLNKDPCSGNLP >KJB67335 pep chromosome:Graimondii2_0_v6:10:53751088:53754083:1 gene:B456_010G186400 transcript:KJB67335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDWRDKKLYPLLGILLLSIFLYFNLGSNPITFPILWQSHMGFVSANSTHFIIVNPRAPLENQSTFYINGWNSYWLMQESVWAGPSRSRVSKMLKKGAEMGLTVCRTWAFNDGVGPNALQISPGVFNERVFRGLDYVIVEARKRGIRLILSLVNNLSNFGGKAQYVRWAQEAGHNVSSSTDSFFSHPMIKDYYKAYVKAILSRKNSLSGVKYADEPAIFAWELMNEPRCSSSSSAPILQHGVQAWLNEMAAFVKSLDQKHLVTVGLEGFYGLNTTKGLEVNPGEWAASLGSDFIQNSAIENIDFASIHAYPDSWMPHDDMEAKARFLSRWVDSHISDGDHVLKKPVIFTEVGSLVHADNQGLADKDILLKTMYEKIYESAKKRQAGAGALIWQLLVEGVGEYSDRFSFVAWDNPSTYKLILKQSCRLKSIFAKSIQSRKLNKDPCSGNLP >KJB67336 pep chromosome:Graimondii2_0_v6:10:53751088:53754083:1 gene:B456_010G186400 transcript:KJB67336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDWRDKKLYPLLGILLLSIFLYFNLGSNPITFPILWQSHMGFVSANSTHFIIVNPRAPLENQSTFYINGWNSYWLMQESVWAGPSRSRVSKMLKKGAEMGLTVCRTWAFNDGVGPNALQISPGVFNERVFRGLDYVIVEARKRGIRLILSLVNNLSNFGGKAQYVRWAQEAGHNVSSSTDSFFSHPMIKDYYKAYVKAILSRKNSLSGVKYADEPAIFAWELMNEPRCSSSSSAPILQDAP >KJB64325 pep chromosome:Graimondii2_0_v6:10:7425313:7426184:1 gene:B456_010G061200 transcript:KJB64325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREPMVSPFFAWPMMPPEVRIIPFAFLEDIHKKFVKTFGRAVHSASAYAMNDEFSRVLCQQIDHFSRNPNVDRLDRLKGEMNQVQSVLIDNIEKALERGDRLALLVEKAITMQQPMQGNNSTVALKRKARIWWRDCKFTATLMLLFLLTIVYVSLAFVCNGLFLSSCFNHMTMASVVPHMF >KJB64324 pep chromosome:Graimondii2_0_v6:10:7424756:7426492:1 gene:B456_010G061200 transcript:KJB64324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILYGMVARGQVVLAEFSATQTNASVVARLILEKMKEVKNNCISSFSHHPYIFHVKGTDGLTVLCMADDASGRIIPFAFLEDIHKKFVKTFGRAVHSASAYAMNDEFSRVLCQQIDHFSRNPNVDRLDRLKGEMNQVQSVLIDNIEKALERGDRLALLVEKAITMQQPMQGNNSTVALKRKARIWWRDCKFTATLMLLFLLTIVYVSLAFVCNGLFLSSCFNHMTMASVVPHMF >KJB64328 pep chromosome:Graimondii2_0_v6:10:7425052:7426309:1 gene:B456_010G061200 transcript:KJB64328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDEFSRVLCQQIDHFSRNPNVDRLDRLKGEMNQVQSVLIDNIEKALERGDRLALLVEKAITMQQPMQGNNSTVALKRKARIWWRDCKFTATLMLLFLLTIVYVSLAFVCNGLFLSSCFNHMTMASVVPHMF >KJB64326 pep chromosome:Graimondii2_0_v6:10:7425052:7426309:1 gene:B456_010G061200 transcript:KJB64326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILYGMVARGQVVLAEFSATQTNASVVARLILEKMKEVKNNCISSFSHHPYIFHVKGTDGLTVLCMADDASGRIIPFAFLEDIHKKFVKTFGRAVHSASAYAMNDEFSRVLCQQIDHFSRNPNVDRLDRLKGEMNQSVLIDNIEKALERGDRLALLVEKAITMQQPMQGNNSTVALKRKARIWWRDCKFTATLMLLFLLTIVYVSLAFVCNGLFLSSCFNHMTMASVVPHMF >KJB64327 pep chromosome:Graimondii2_0_v6:10:7425052:7426309:1 gene:B456_010G061200 transcript:KJB64327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILYGMVARGQVVLAEFSATQTNASVVARLILEKMKEVKNNCISSFSHHPYIFHVKGTDGLTVLCMADDASGNIHKKFVKTFGRAVHSASAYAMNDEFSRVLCQQIDHFSRNPNVDRLDRLKGEMNQVQSVLIDNIEKALERGDRLALLVEKAITMQQPMQGNNSTVALKRKARIWWRDCKFTATLMLLFLLTIVYVSLAFVCNGLFLSSCFNHMTMASVVPHMF >KJB63795 pep chromosome:Graimondii2_0_v6:10:1261025:1261972:-1 gene:B456_010G016600 transcript:KJB63795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGSKQGLFCLKWPWDVNKQSKSSNLCTFEGPWLFKSIQNLGSFVLNSFNSVSGLRFSNLNPTQIAFGVSQNKPLSPDEQGEAEHRAFASALASRKSATVIEFYSPKCSLCNSLLKFVMEVENRNSDWLNIVLADAENEKWLPEVTFLSPGSLLYVQD >KJB68379 pep chromosome:Graimondii2_0_v6:10:61100706:61107713:-1 gene:B456_010G241800 transcript:KJB68379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSHCYHCNNGIKDPMKIKRRNLSYMQLSRRRVLGRGAFCMRKIWSFFVEVGCLPLQRLVYVAMGGKWNHIEFHFLFFFLIPCFIIQGSLAIITDDTGLALLEFRARIDSDPYGAFANWNSNDTTPCMWYGVHCVDGKVQMLDLSSLALKGTLAPELGKLSDLRSLVLYRNRFSGVIPKEFGELTKLELLDLRENDLSGMVPAEIGEMSSLKCLLLYDNKFEGSIPSEFGKLKLLSELQFDKNLASILATRIGCANRKFRLRIWQSSWRQFNGAGLLFIQIQGALIRSLNTVLIQWFKLQKDTLAECNDSCCGSSEQQMACNARNLVVPFERRGLLGSSKNLPAVPATAVPSTEQIIALPTTRSSGSFPAVPKETGTKSEPDDESSETNSEPADQSSHANSKPVDQSSENSKGETTAEKSSGELWKYFIIIPCILVFIAFVALAFMCRKRAAKGIGPWKTGLSGQLQKVFVTGVPKLNRSELETACEDFSNIVQAIDGCKVYKGTLSSGVEIAVTSICVSSSKEWPKSSETGFRRKIDRLSRINHKNFVNLIGYCMDDEPFSRMMVFEYAPNGTLFEHLHDLRASRF >KJB68377 pep chromosome:Graimondii2_0_v6:10:61100580:61108010:-1 gene:B456_010G241800 transcript:KJB68377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSHCYHCNNGIKDPMKIKRRNLSYMQLSRRRVLGRGAFCMRKIWSFFVEVGCLPLQRLVYVAMGGKWNHIEFHFLFFFLIPCFIIQGSLAIITDEFRARIDSDPYGAFANWNSNDTTPCMWYGVHCVDGKVQMLDLSSLALKGTLAPELGKLSDLRSLVLYRNRFSGVIPKEFGELTKLELLDLRENDLSGMVPAEIGEMSSLKCLLLYDNKFEGSIPSEFGKLKLLSELQFDKNLASILATRIGCANRKFRLRIWQSSWRQFNGAGLLFIQIQGALIRSLNTVLIQWFKLQKDTLAECNDSCCGSSEQQMACNARNLVVPFERRGLLGSSKNLPAVPATAVPSTEQIIALPTTRSSGSFPAVPKETGTKSEPDDESSETNSEPADQSSHANSKPVDQSSENSKGETTAEKSSGELWKYFIIIPCILVFIAFVALAFMCRKRAAKGIGPWKTGLSGQLQKVFVTGVPKLNRSELETACEDFSNIVQAIDGCKVYKGTLSSGVEIAVTSICVSSSKEWPKSSETGFRRKIDRLSRINHKNFVNLIGYCMDDEPFSRMMVFEYAPNGTLFEHLHVEDMEHLDWSGRVRIIMGVAYCLLYMHHDLNPPISHPNLSSSFIYLTDDYAAKISELLGFDSATKSNNSVDDGPQDSKLDPYADLETNVYSFGILLLEIISGKLVYSKEQGSIEEWASQYLNDKGSLNNLVDPTLKGFKAEELEVICEVIQECIQSEPRQRPTMKDVSSKLRQAFNITPEQAVPRLSPLWWAELEILSMETL >KJB68376 pep chromosome:Graimondii2_0_v6:10:61100580:61107713:-1 gene:B456_010G241800 transcript:KJB68376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSHCYHCNNGIKDPMKIKRRNLSYMQLSRRRVLGRGAFCMRKIWSFFVEVGCLPLQRLVYVAMGGKWNHIEFHFLFFFLIPCFIIQGSLAIITDDTGLALLEFRARIDSDPYGAFANWNSNDTTPCMWYGVHCVDGKVQMLDLSSLALKGTLAPELGKLSDLRSLVLYRNRFSGVIPKEFGELTKLELLDLRENDLSGMVPAEIGEMSSLKCLLLYDNKFEGSIPSEFGKLKLLSELQFDKNLASILATRIGCANRKFRLRIWQSSWRQFNGAGLLFIQIQGALIRSLNTVLIQWFKLQKDTLAECNDSCCGSSEQQMACNARNLVVPFERRGLLGSSKNLPAVPATAVPSTEQIIALPTTRSSGSFPAVPKETGTKSEPDDESSETNSEPADQSSHANSKPVDQSSENSKGETTAEKSSGELWKYFIIIPCILVFIAFVALAFMCRKRAAKGIGPWKTGLSGQLQKVFVTGVPKLNRSELETACEDFSNIVQAIDGCKVYKGTLSSGVEIAVTSICVSSSKEWPKSSETGFRRKIDRLSRINHKNFVNLIGYCMDDEPFSRMMVFEYAPNGTLFEHLHVEDMEHLDWSGRVRIIMGVAYCLLYMHHDLNPPISHPNLSSSFIYLTDDYAAKISELLGFDSATKSNNSVDDGPQDSKLDPYADLETNVYSFGILLLEIISGKLVYSKEQGSIEEWASQYLNDKGSLNNLVDPTLKGFKAEELEVICEVIQECIQSEPRQRPTMKDVSSKLRQAFNITPEQAVPRLSPLWWAELEILSMETL >KJB68378 pep chromosome:Graimondii2_0_v6:10:61100874:61107668:-1 gene:B456_010G241800 transcript:KJB68378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSHCYHCNNGIKDPMKIKRRNLSYMQLSRRRVLGRGAFCMRKIWSFFVEVGCLPLQRLVYVAMGGKWNHIEFHFLFFFLIPCFIIQGSLAIITDGLALLEFRARIDSDPYGAFANWNSNDTTPCMWYGVHCVDGKVQMLDLSSLALKGTLAPELGKLSDLRSLVLYRNRFSGVIPKEFGELTKLELLDLRENDLSGMVPAEIGEMSSLKCLLLYDNKFEGSIPSEFGKLKLLSELQFDKNLASILATRIGCANRKFRLRIWQSSWRQFNGAGLLFIQIQGALIRSLNTVLIQWFKLQKDTLAECNDSCCGSSEQQMACNARNLVVPFERRGLLGSSKNLPAVPATAVPSTEQIIALPTTRSSGSFPAVPKETGTKSEPDDESSETNSEPADQSSHANSKPVDQSSENSKGETTAEKSSGELWKYFIIIPCILVFIAFVALAFMCRKRAAKGIGPWKTGLSGQLQKVFVTGVPKLNRSELETACEDFSNIVQAIDGCKVYKGTLSSGVEIAVTSICVSSSKEWPKSSETGFRRKIDRLSRINHKNFVNLIGYCMDDEPFSRMMVFEYAPNGTLFEHLHVEDMEHLDWSGRVRIIMGVAYCLLYMHHDLNPPISHPNLSSSFIYLTDDYAAKISELLGFDSATKSNNSVDDGPQDSKLDPYADLETNVYSFGILLLEIISGKLVYSKEQGSIEEWASQYLNDKGSLNNLVDPTLKGFKAEELEVICEVIQECIQSEPRQRPTMKDVSSKLRQAFNITPEQAVPRLSPLWWAELEILSMETL >KJB64636 pep chromosome:Graimondii2_0_v6:10:7057035:7062981:1 gene:B456_010G059000 transcript:KJB64636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIEVVEETVPLKQLSGAVANGNEYGGGHHGVVGDVADDESLKNDVYTAAAYGDLEKLQRLVESEGCSLSEPDGLGYYALQWAALNNRTAAAQYIIEHGGDVHAVDHNGQTALHWSAVRGAVQVAEVLLQEGARVDAAEIYGYQITHVAAQYGQTSFLYHVVSKWNADPDLPDNDGRSPLHWAAYKGFSDCIRLLLFLDAHRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKMEDLTVTDNSGLTPEQLASEKNHRQVAFFLANARRLLEKRCDGNSRLGRFTRLRLAPFLWCIILLLLATYIQSVIMDANLPKLTAGFSFMAWFGVILASTGLVMFYRCSSKDPGYIKMNVHDPMNMKDDEPLLKIETNNPSLLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCVGKRNKWDFILFLVLEVSAMLITSGVTIARIVKDPSAPSSFFPWMNYAVSHHVGAISFLIVNFFLFFGVAALTVIQASQV >KJB64635 pep chromosome:Graimondii2_0_v6:10:7056953:7065147:1 gene:B456_010G059000 transcript:KJB64635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIEVVEETVPLKQLSGAVANGNEYGGGHHGVVGDVADDESLKNDVYTAAAYGDLEKLQRLVESEGCSLSEPDGLGYYALQWAALNNRTAAAQYIIEHGGDVHAVDHNGQTALHWSAVRGAVQVAEVLLQEGARVDAAEIYGYQITHVAAQYGQTSFLYHVVSKWNADPDLPDNDGRSPLHWAAYKGFSDCIRLLLFLDAHRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKMEDLTVTDNSGLTPEQLASEKNHRQVAFFLANARRLLEKRCDGNSRLGRFTRLRLAPFLWCIILLLLATYIQSVIMDANLPKLTAGFSFMAWFGVILASTGLVMFYRCSSKDPGYIKMNVHDPMNMKDDEPLLKIETNNPSLLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCVGKRNKWDFILFLVLEVSAMLITSGVTIARIVKDPSAPSSFFPWMNYAVSHHVGAISFLIVNFFLFFGVAALTVIQASQISRNITTNEMANVMRYSYLKGPGGRFRNPYDHGCWKNCSDFLIKGYNEDIQVIEDAAHSEGIGMVQMTRENGSLGNKNGHIAINVNSSKTNTHQGHLHSSQCTYSKSETESGTVGLGHGSGRSSAYSV >KJB64638 pep chromosome:Graimondii2_0_v6:10:7057070:7065147:1 gene:B456_010G059000 transcript:KJB64638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIEVVEETVPLKQLSGAVANGNEYGGGHHGVVGDVADDESLKNDVYTAAAYGDLEKLQRLVESEGCSLSEPDGLGYYALQWAALNNRTAAAQYIIEHGGDVHAVDHNGQTALHWSAVRGAVQVAEVLLQEGARVDAAEIYGYQFCNLMQITHVAAQYGQTSFLYHVVSKWNADPDLPDNDGRSPLHWAAYKGFSDCIRLLLFLDAHRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKMEDLTVTDNSGLTPEQLASEKNHRQVAFFLANARRLLEKRCDGNSRLGRFTRLRLAPFLWCIILLLLATYIQSVIMDANLPKLTAGFSFMAWFGVILASTGLVMFYRCSSKDPGYIKMNVHDPMNMKDDEPLLKIETNNPSLLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCVGKRNKWDFILFLVLEVSAMLITSGVTIARIVKDPSAPSSFFPWMNYAVSHHVGAISFLIVNFFLFFGVAALTVIQASQISRNITTNEMANVMRYSYLKGPGGRFRNPYDHGCWKNCSDFLIKGYNEDIQVIEDAAHSEGIGMVQMTRENGSLGNKNGHIAINVNSSKTNTHQGHLHSSQCTYSKSETESGTVGLGHGSGRSSAYSV >KJB64639 pep chromosome:Graimondii2_0_v6:10:7059453:7065147:1 gene:B456_010G059000 transcript:KJB64639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSRAAYKGFSDCIRLLLFLDAHRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKMEDLTVTDNSGLTPEQLASEKNHRQVAFFLANARRLLEKRCDGNSRLGRFTRLRLAPFLWCIILLLLATYIQSVIMDANLPKLTAGFSFMAWFGVILASTGLVMFYRCSSKDPGYIKMNVHDPMNMKDDEPLLKIETNNPSLLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCVGKRNKWDFILFLVLEVSAMLITSGVTIARIVKDPSAPSSFFPWMNYAVSHHVGAISFLIVNFFLFFGVAALTVIQASQISRNITTNEMANVMRYSYLKGPGGRFRNPYDHGCWKNCSDFLIKGYNEDIQVIEDAAHSEGIGMVQMTRENGSLGNKNGHIAINVNSSKTNTHQGHLHSSQCTYSKSETESGTVGLGHGSGRSSAYSV >KJB64637 pep chromosome:Graimondii2_0_v6:10:7057035:7065147:1 gene:B456_010G059000 transcript:KJB64637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIEVVEETVPLKQLSGAVANGNEYGGGHHGVVGDVADDESLKNDVYTAAAYGDLEKLQRLVESEGCSLSEPDGLGYYALQWAALNNRTAAAQYIIEHGGDVHAVDHNGQTALHWSAVRGAVQVAEVLLQEGARVDAAEIYGYQITHVAAQYGQTSFLYHVVSKWNADPDLPDNDGRSPLHWAAYKGFSDCIRLLLFLDAHRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKMEDLTVTDNSGLTPEQLASEKNHRQVAFFLANARRLLEKRCDGNSRLGRFTRLRLAPFLWCIILLLLATYIQSVIMDANLPKLTAGFSFMAWFGVILASTGLVMFYRCSSKDPGYIKMNVHDPMNMKDDEPLLKIETNNPSLLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCVGKVLYC >KJB64502 pep chromosome:Graimondii2_0_v6:10:5997576:5998447:-1 gene:B456_010G052000 transcript:KJB64502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEDAKQSSKRVPFTQLDQVNSDFAMAVALQEQERAFSMLESIESEDSEEYNSESSYEEGNVNDYEYFEGLEAGGDLEFLEGQGSNDDEDMEDDDFEDDDDDDDDDGIDPDDLSYEELIALGEIIGVEKRGLSPNEISSCLVRCNFRSDECKTGIDRCVICQVEYEEEEGVVALPNCEHPYHSECITKWLQVKKICPICSTEISSPKN >KJB66189 pep chromosome:Graimondii2_0_v6:10:61950727:61954072:-1 gene:B456_010G254000 transcript:KJB66189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEKKKSGGGGKKKEVKKETGLGLSFTKDENFGEWYSEVVVNGEMIEYYDISGCYILRPWAMSIWENMQTFFDAEIKKMKVKNCYFPLFVSPGVLQKEKDHIEGFAPEVAWVDKIW >KJB66188 pep chromosome:Graimondii2_0_v6:10:61952072:61954025:-1 gene:B456_010G254000 transcript:KJB66188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEKKKSGGGGKKKEVKKETGLGLSFTKDENFGEWYSEVVVNGEMIEYYDISGCYILRPWAMSIWENMQTFFDAEIKKMKVKNCYFPLFVSPGVLQKEKDHIEGFAPEVAWVDKIW >KJB66187 pep chromosome:Graimondii2_0_v6:10:61952158:61952999:-1 gene:B456_010G254000 transcript:KJB66187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEKKKSGGGGKKKEVKKETGLGLSFTKDENFGEWYSEVVVNGEMIEYYDISGCYILRPWAMSIWENMQTFFDAEIKKMKVKNCYFPLFVSPGVLQKEKDHIEGFAPEVAWVDKIW >KJB63757 pep chromosome:Graimondii2_0_v6:10:1076607:1079103:-1 gene:B456_010G014300 transcript:KJB63757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFHSVTPTSIVAIIAFPLLFLFSFLSISRRNTNSKKTAPEAGGAWPIIGHLRLLGGPQPPHISLGNMADQYGRMLTIKLGARRALVVSSWEIAKDCLTIHDKAFATRPKAVAQELLSYNHAMIATAPYGPYWRQMRKFATVELLSNHRLNLLKHVRESEVKTSLQQLYQLWNKKKSSNSDKVLVEMKRWFGDVTLNVILMIVVGKRIPNSYEGVETMEWKKSVDEFFELSGKIVVADALPFLRWFDIGGEEKRMKKVSKELDQLVEGWLREHKEKIAENEANSEEDFMGVMLSKLRDDVEEHDANTVNKATSLSLVLAAEDTTSITMTWALALLLNNRDALNKVQQELDIHVGKDKLLVTESDTKNLMYLQSIIKETLRLYPPAPLSATHEAIEDCTVDGYDVSAGTWLIFNLHKIHRDPHIWTNPSEFRPERFMTTHRDFDVRGQNFELIPFGSGRRMCPGISFALQVLQLTLANVLHWFEFETPSGEAVDMHEAPGLTSPKATPLEVYISPRLPAFVYTSTT >KJB67764 pep chromosome:Graimondii2_0_v6:10:57553708:57554262:1 gene:B456_010G209400 transcript:KJB67764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIWNCGKSGCNYVVHVNYVLEDDILYKVNEAGEATKIQHFIHQHCLVLADKMEEEIDRKCDGCMLSISTLFFYCSEYSFFLHKSCVELPRIKQHWFRQDNATLYLKHFQTCDFCYQDCSGFFYNIARYLRMCLRCAKVADTIKCEGYKHFLFFDFKYEM >KJB65674 pep chromosome:Graimondii2_0_v6:10:19851956:19856313:-1 gene:B456_010G107300 transcript:KJB65674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDLKSWFQLDARKLIWLICAIMVIITFQYLELSSENVLSAVVPSGKISVEGQSSFLASAPSSTEPDGTIRYVTHSIGLEYNGTYAGSETVHGNEVSEERDMDSNDDVISETDVDLNKSSTFEEGSESPKESLSEELVDLIKNSTVYDAESSKNMTTAEVEGGLSLELSKENNMATLDSLNQTSAVNEATNDFGTYEVNDKDQNSDSILDNDNNSGRKSSSEEFEDYPESYNNKTVAKEAPTTIADMNNLLYRSRVSYHSTTPRWASAADKVLLNVRSQIEKAPILENDQQLYAPLYRNVSMFKRSYELMESTLKVYIYKEGKKPIFHKPVLKGIYASEGWFMKQLQANRNFVTKKPREAQLFYLPFSSRMLEETLYVPDSHSIQNLVEYLKNYADTIAAKYPYWNRTQGADHFLVACHDWATSETKEYMANCIRALCNSDIREGYIFGKDVSLPETTIRNPQRPLRDLGGEPPSKRSILAFFAGGMHGYLRPILLQQWGNKDPDMKIFGTMHNGKGKLNYAQHMKRSKYCICPRGYEVNSPRVVEAIFYECVPVIISDNFIPPFFEVLNWESLAVFVLEKDIPNLKKILLSIPDERYRQMQLSVKKAQQHFLWHPRPVKYDIFHMILHSVWYNRVLQMEKPR >KJB65671 pep chromosome:Graimondii2_0_v6:10:19851999:19856313:-1 gene:B456_010G107300 transcript:KJB65671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDLKSWFQLDARKLIWLICAIMVIITFQYLELSSENVLSAVVPSGKISVEGQSSFLASAPSSTEPDGTIRYVTHSIGLEYNGTYAGSETVHGNEVSEERDMDSNDDVISETDVDLNKSSTFEEGSESPKESLSEELVDLIKNSTVYDAESSKNMTTAEVEGGLSLELSKENNMATLDSLNQTSAVNEATNDFGTYEVNDKDQNSDSILDNDNNSGRKSSSEEFEDYPESYNNKTVAKEAPTTIADMNNLLYRSRVSYHSTTPRWASAADKVLLNVRSQIEKAPILENDQQLYAPLYRNVSMFKRSYELMESTLKVYIYKEGKKPIFHKPVLKGIYASEGWFMKQLQANRNFVTKKPREAQLFYLPFSSRMLEETLYVPDSHSIQNLVEYLKNYADTIAAKYPYWNRTQGADHFLVACHDWATSETKEYMANCIRALCNSDIREGYIFGKDVSLPETTIRNPQRPLRDLGGEPPSKRSILAFFAGGMHGYLRPILLQQWGNKDPDMKIFGTMHNGKGKLNYAQHMKRSKYCICPRGYEVNSPRVVEAIFYECVPVIISDNFIPPFFEVLNWESLAVFVLEKDIPNLKKILLSIPDERYRQMQLSVKKAQQHFLWHPRPVKYDIFHMILHSVWYNRVLQMEKPR >KJB65672 pep chromosome:Graimondii2_0_v6:10:19852465:19855117:-1 gene:B456_010G107300 transcript:KJB65672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDLKSWFQLDARKLIWLICAIMVIITFQYLELSSENVLSAVVPSGKISVEGQSSFLASAPSSTEPDGTIRYVTHSIGLEYNGTYAGSETVHGNEVSEERDMDSNDDVISETDVDLNKSSTFEEGSESPKESLSEELVDLIKNSTVYDAESSKNMTTAEVEGGLSLELSKENNMATLDSLNQTSAVNEATNDFGTYEVNDKDQNSDSILDNDNNSGRKSSSEEFEDYPESYNNKTVAKEAPTTIADMNNLLYRSRVSYHSTTPRWASAADKVLLNVRSQIEKAPILENDQQLYAPLYRNVSMFKRSYELMESTLKVYIYKEGKKPIFHKPVLKGIYASEGWFMKQLQANRNFVTKKPREAQLFYLPFSSRMLEETLYVPDSHSIQNLVEYLKNYADTIAAKYPYWNRTQGADHFLVACHDWATSETKEYMANCIRALCNSDIREGYIFGKDVSLPETTIRNPQRPLRDLGGEPPSKRSILAFFAGGMHGYLRPILLQQWGNKDPDMKIFGTMHNGKGKLNYAQHMKRSKYCICPRGYEVNSPRVVEAIFYECVPVIISDNFIPPFFEVLNWESLAVFVLEKDIPNLKKILLSIPDERYRQMQLSVKKAQQHFLWHPRPVKYDIFHMILHSVWYNRVLQMEKPR >KJB65673 pep chromosome:Graimondii2_0_v6:10:19851999:19856313:-1 gene:B456_010G107300 transcript:KJB65673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDLKSWFQLDARKLIWLICAIMVIITFQYLELSSENVLSAVVPSGKISVEGQSSFLASAPSSTEPDGTIRYVTHSIGLEYNGTYAGSETVHGNEVSEERDMDSNDDVISETDVDLNKSSTFEEGSESPKESLSEELVDLIKNSTVYDAESSKNMTTAEVEGGLSLELSKENNMATLDSLNQTSAVNEATNDFGTYEVNDKDQNSDSILDNDNNSGRKSSSEEFEDYPESYNNKTVAKEAPTTIADMNNLLYRSRVSYHSTTPRWASAADKVLLNVRSQIEKAPILENDQQLYAPLYRNVSMFKRSYELMESTLKVYIYKEGKKPIFHKPVLKGIYASEGWFMKQLQANRNFVTKKPREAQLFYLPFSSRMLEETLYVPDSHSIQNLVEYLKNYADTIAAKYPYWNRTQGADHFLVACHDWATSETKEYMANCIRALCNSDIREGYIFGKDVSLPETTIRNPQRPLRDLGGEPPSKRSILAFFAGGMHGYLRPILLQQWGNKDPDMKIFGTMHNGKGKLNYAQHMKRSKYCICPRGYEVNSPRVVEAIFYECVPVIISDNFIPPFFEVLNWESLAVFVLEKDIPNLKKILLSIPDERYRQMQLSVKKAQQHFLWHPRPVKYDIFHMILHSVWYNRVLQMEKPR >KJB67394 pep chromosome:Graimondii2_0_v6:10:54122890:54123723:1 gene:B456_010G188500 transcript:KJB67394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRESMNDPIVTQSSIALLQERFRQLERMKEMREERELLRKLAEPKQCNNPTCYEPSGLLFFHLLTTPRPRSPPSPPPRQVPNMLSLWSGLRSGANWPPPPSSTFGYMETPLFGLLPTTTVTGKYSPTLHVSLNKSQDSDYNDDIDTSLHL >KJB63803 pep chromosome:Graimondii2_0_v6:10:1299366:1301065:-1 gene:B456_010G017100 transcript:KJB63803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLPLHLSTLHSRFSFSASMIGAFFAFVSVALASLSTSLTLWFELSSLRRQSHFNGATFSSSSAVFFFSSTVTPHFTADLFLSFHDRISFALVLFDLYISMALLSPLPPPCSSYRRQRHTSRLISFSPSMIGSPSPSS >KJB65049 pep chromosome:Graimondii2_0_v6:10:11417835:11422824:-1 gene:B456_010G078900 transcript:KJB65049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSNLGSVSSSDLIDAKLEEHQLCGSKHCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTTDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRTATAVEVSNANDPNSRRDSGSGSCSSKEVIPLRDEVTGAGVAAALSSYAAGAMDIQQLKSDHFSFTPFRKSFDEVGIGEASAAREAPVQGTCEEIPEHPRPHHMAHDHHQQQQQHQQQQQQQQQQQHHQHHAHHQIATTAFHISRPSHPISTIITPPPLHHTSIILDEDSFHVSRIMLQNESFQQQQQQQQHQQQQQQQQQQQHYKLAGRSASGLEELIMGCTSSDIKEESSITNPQEAEWLKYSSFWPDPDNQDHHG >KJB65046 pep chromosome:Graimondii2_0_v6:10:11418503:11422162:-1 gene:B456_010G078900 transcript:KJB65046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSNLGSVSSSDLIDAKLEEHQLCGSKHCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTTDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRTATAVEVSNANDPNSRRDSGSGSCSSKEVIPLRDEVTGAGVAAALSSYAAGAMDIQQLKSDHFSFTPFRKSFDEVGIGEASAAREAPVQGTCEEIPEHPRPHHMAHDHHQQQQQHQQQQQQQQQQQHHQHHAHHQIATTAFHISRPSHPISTIITPPPLHHTSIILDEDSFHVSRIMLQNESFQQQQQQQQHQQQQQQQQQQQHYKLAGRSASGLEELIMGCTSSDIKEESSITNPQEAEWLKYSSFWPDPDNQDHHG >KJB65050 pep chromosome:Graimondii2_0_v6:10:11419884:11423463:-1 gene:B456_010G078900 transcript:KJB65050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSNLGSVSSSDLIDAKLEEHQLCGSKHCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTTDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRTATAVEVSNANDPNSRRDSGSGSCSSKEVIPLRDEVTGAGVAAALSSYAAGAMDIQQLKSDHFSFTPFRKSFDEVGIGEASAAREAPVQGTCEEIPEHPRPHHMAHDHHQQQQQHQQQQQQQQQQQHHQHHAHHQIATTAFHISRPSHPISTIITPPPLHHTSIILDEDSFHVSRIMLQNESFQEQNDTVFYTCMPHLHIKYGTY >KJB65048 pep chromosome:Graimondii2_0_v6:10:11417835:11422824:-1 gene:B456_010G078900 transcript:KJB65048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSNLGSVSSSDLIDAKLEEHQLCGSKHCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTTDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRTATAVEVSNANDPNSRRDSGSGSCSSKEVIPLRDEVTGAGVAAALSSYAAGAMDIQQLKSDHFSFTPFRKSFDEVGIGEASAAREAPVQGTCEEIPEHPRPHHMAHDHHQQQQQHQQQQQQQQQQQHHQHHAHHQIATTAFHISRPSHPISTIITPPPLHHTSIILDEDSFHVSRIMLQNESFQQQQQQQHQQQQQQQQQQQHYKLAGRSASGLEELIMGCTSSDIKEESSITNPQEAEWLKYSSFWPDPDNQDHHG >KJB65045 pep chromosome:Graimondii2_0_v6:10:11417835:11422321:-1 gene:B456_010G078900 transcript:KJB65045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSNLGSVSSSDLIDAKLEEHQLCGSKHCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTTDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRTATAVEVSNANDPNSRRDSGSGSCSSKEVIPLRDEVTGAGVAAALSSYAAGAMDIQQLKSDHFSFTPFRKSFDEVGIGEASAAREAPVQGTCEEIPEHPRPHHMAHDHHQQQQQHQQQQQQQQQQQHHQHHAHHQIATTAFHISRPSHPISTIITPPPLHHTSIILDEDSFHVSRIMLQNESFQEQNDTVFYTCMPHLHINSSSSSINNSSNNNSSSNIINWQEGLHQVWRN >KJB65047 pep chromosome:Graimondii2_0_v6:10:11417835:11423463:-1 gene:B456_010G078900 transcript:KJB65047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSNLGSVSSSDLIDAKLEEHQLCGSKHCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTTDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRTATAVEVSNANDPNSRRDSGSGSCSSKEVIPLRDEVTGAGVAAALSSYAAGAMDIQQLKSDHFSFTPFRKSFDEVGIGEASAAREAPVQGTCEEIPEHPRPHHMAHDHHQQQQQHQQQQQQQQQQQHHQHHAHHQIATTAFHISRPSHPISTIITPPPLHHTSIILDEDSFHVSRIMLQNESFQQQQQQQQHQQQQQQQQQQQHYKLAGRSASGLEELIMGCTSSDIKEESSITNPQEAEWLKYSSFWPDPDNQDHHG >KJB67222 pep chromosome:Graimondii2_0_v6:10:58087608:58088732:-1 gene:B456_010G214000 transcript:KJB67222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLSVLIAMKATAWMLLYLFFSRFGFTVLAIPLLYASLISWLVSIASHPSIDLPMLLGKNPDGTFPILSTIMFSPYLYFNRAFSMARRFLTGDEPYSQICEGLYVGGWPASPRLLPPGNPAIIDCTSEFPRIKEFKGHSYLCVPTWDTRAPQPGQIESAVKWACRKRARNQPVYVHCAYVSKLKRLLDPGSIGLTGWSCLVFYSLHL >KJB67221 pep chromosome:Graimondii2_0_v6:10:58085211:58088975:-1 gene:B456_010G214000 transcript:KJB67221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLSVLIAMKATAWMLLYLFFSRFGFTVLAIPLLYASLISWLVSIASHPSIDLPMLLGKNPDGTFPILSTIMFSPYLYFNRAFSMARRFLTGDEPYSQICEGLYVGGWPASPRLLPPGNPAIIDCTSEFPRIKEFKGHSYLCVPTWDTRAPQPGQIESAVKWACRKRARNQPVYVHCAYGHGRSVAVMCALLVALGVVENWKAAEKYIRERRPCIKMNSLHYKALEEWSSSRLSSPKRNEELDVNSVSQSNSSGNTKASMVENKID >KJB67223 pep chromosome:Graimondii2_0_v6:10:58084833:58088975:-1 gene:B456_010G214000 transcript:KJB67223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLSVLIAMKATAWMLLYLFFSRFGFTVLAIPLLYASLISWLVSIASHPSIDLPMLLGKNPDGTFPILSTIMFSPYLYFNRAFSMARRFLTGDEPYSQICEGLYVGGWPASPRLLPPGNPAIIDCTSEFPRIKEFKGHSYLCVPTWDTRAPQPGQIESAVKWACRKRARNQPVYVHCAYGHGRSVAVMCALLVALGVVENWKAAEKYIRERRPCIKMNSLHYKALEEWSSSRLSSPKRNEELDVNSVSQSNSSGNTKASMVENKID >KJB67224 pep chromosome:Graimondii2_0_v6:10:58087608:58088732:-1 gene:B456_010G214000 transcript:KJB67224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLSVLIAMKATAWMLLYLFFSRFGFTVLAIPLLYASLISWLVSIASHPSIDLPMLLGKNPDGTFPILSTIMFSPYLYFNRAFSMARRFLTGDEPYSQICEGLYVGGWPASPRLLPPGNPAIIDCTSEFPRIKEFKGHSYLCVPTWDTRAPQPGQIESAVKWACRKRARNQPVYVHCAYVSKLKRLLDPGSIGLTGWSCLVFYSLHL >KJB67225 pep chromosome:Graimondii2_0_v6:10:58085264:58088966:-1 gene:B456_010G214000 transcript:KJB67225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLSVLIAMKATAWMLLYLFFSRFGFTVLAIPLLYASLISWLVSIASHPSIDLPMLLGKNPDGTFPILSTIMFSPYLYFNRAFSMARRFLTGDEPYSQICEGLYVGGWPASPRLLPPGNPAIIDCTSEFPRIKEFKGHSYLCVPTWDTRAPQPGQIESAVKWACRKRARNQPVYVHCAYVYILG >KJB63968 pep chromosome:Graimondii2_0_v6:10:2185983:2196585:-1 gene:B456_010G027200 transcript:KJB63968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A [Source:Projected from Arabidopsis thaliana (AT1G44910) UniProtKB/Swiss-Prot;Acc:B6EUA9] MANNSQPSSAQPHWPPAVGSMGPQSYGSPLSSQFRPVVPTQQGQHFVPAASQQFRPVGQVPSPNIGMPAVQNQQMQFSQPMQQFPPRPNQPGLSTPSQQPIQFAPSSFGLPQNNVSAPSQFHPISQVHAPVAPVAGQPWLSTGNQNVSLATPTQHTSQQPPPSSADTNANVPSLTPQSSDWQEHTSADGRRYYYNKRTRQSSWEKPLELMTPIERADASTVWKEFTTPEGRKYYHNKVTKESKWTIPEELKLAREQAQAASSQGTPSGSGVAPQAPVATAVSAAETPTTAIPVSSNTLQDSSPVSVTPVANPSPTSVSGPTTGPVAQSAAMSATGVQLPVVSVTPVPAVPSRGSTVSAPSVGATTAVTRSSETTATQDTMHFADGASAQDIEEAKKGMATAGKVNMTPVEEKVPDDEPLVYANKLEAKNAFKSLLESANVQSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERARDREDLFENYIVDLERKEREYAAEEKRRNIAEYRKFLESCDFIKANSQWRKVQDRLEDDERCSRLEKIDRLLIFQDYIHDLEKEEEEKKKMQKEQLRRAERKNRDAFRKLMEEHVADGTLTAKTYWRDYCLKVKELPQYLAVASNTSGSTPKDLFEDVAEELDKQYHEHKTRIKEAMKTGKVTMVYSWTFEDFKAAISEDVGSSSISDINLKLVYDELLERAKEKEEKEAKKRQRLADDFTRLLHTYKEITASSDWEESKPLFEESQEYRSIAEESFRREIFEEYITHLQEKAKEKERKREEEKAKKEKDREEKDKRKEKEKERKEKEREREREKGKDRSKKDETDSENLDISDSHGHKEEKKKEKEKDRKHRKRHAADDGSSDKDDREESKKSRRHGSDRKKSRKHAHSPDSDSESRHRKHKRDHRDGSRRNSGYEELEDGELGEDGEIQ >KJB63970 pep chromosome:Graimondii2_0_v6:10:2187818:2196505:-1 gene:B456_010G027200 transcript:KJB63970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A [Source:Projected from Arabidopsis thaliana (AT1G44910) UniProtKB/Swiss-Prot;Acc:B6EUA9] MANNSQPSSAQPHWPPAVGSMGPQSYGSPLSSQFRPVVPTQQGQHFVPAASQQFRPVGQVPSPNIGMPAVQNQQMQFSQPMQQFPPRPNQPGLSTPSQQPIQFAPSSFGLPQNNVSAPSQFHPISQVHAPVAPVAGQPWLSTGNQNVSLATPTQHTSQQPPPSSADTNANVPSLTPQSSDWQEHTSADGRRYYYNKRTRQSSWEKPLELMTPIERADASTVWKEFTTPEGRKYYHNKVTKESKWTIPEELKLAREQAQAASSQGTPSGSGVAPQAPVATAVSAAETPTTAIPVSSNTLQDSSPVSVTPVANPSPTSVSGPTTGPVAQSAAMSATGVQLPVVSVTPVPAVPSRGSTVSAPSVGATTAVTRSSETTATQDTMHFADGASAQDIEEAKKGMATAGKVNMTPVEEKVPDDEPLVYANKLEAKNAFKSLLESANVQSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERARDREDLFENYIVDLERKEREYAAEEKRRNIAEYRKFLESCDFIKANSQWRKVQDRLEDDERCSRLEKIDRLLIFQDYIHDLEKEEEEKKKMQKEQLRRAERKNRDAFRKLMEEHVADGTLTAKTYWRDYCLKVKELPQYLAVASNTSGSTPKDLFEDVAEELDKQYHEHKTRIKEAMKTGKVTMVYSWTFEDFKAAISEDVGSSSISDINLKVLLLQGNSCFHQQKKEGIGGKGKEGSPNLL >KJB63967 pep chromosome:Graimondii2_0_v6:10:2185983:2196505:-1 gene:B456_010G027200 transcript:KJB63967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A [Source:Projected from Arabidopsis thaliana (AT1G44910) UniProtKB/Swiss-Prot;Acc:B6EUA9] MANNSQPSSAQPHWPPAVGSMGPQSYGSPLSSQFRPVVPTQQGQHFVPAASQQFRPVGQVPSPNIGMPAVQNQQMQFSQPMQQFPPRPNQPGLSTPSQQPIQFAPSSFGLPQNNVSAPSQFHPISQVHAPVAPVAGQPWLSTGNQNVSLATPTQHTSQQPPPSSADTNANVPSLTPQSSDWQEHTSADGRRYYYNKRTRQSSWEKPLELMTPIERADASTVWKEFTTPEGRKYYHNKVTKESKWTIPEELKLAREQAQAASSQGTPSGSGVAPQAPVATAVSAAETPTTAIPVSSNTLQDSSPVSVTPVANPSPTSVSGPTTGPVAQSAAMSATGVQLPVVSVTPVPAVPSRGSTVSAPSVGATTAVTRSSETTATQDTMHFADGASAQDIEEAKKGMATAGKVNMTPVEEKVPDDEPLVYANKLEAKNAFKSLLESANVQSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERARDREDLFENYIVDLERKKEREREREKGKDRSKKDETDSENLDISDSHGHKEEKKKEKEKDRKHRKRHAADDGSSDKDDREESKKSRRHGSDRKKSRKHAHSPDSDSESRHRKHKRDHRDGSRRNSGYEELEDGELGEDGEIQ >KJB63964 pep chromosome:Graimondii2_0_v6:10:2185983:2192116:-1 gene:B456_010G027200 transcript:KJB63964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A [Source:Projected from Arabidopsis thaliana (AT1G44910) UniProtKB/Swiss-Prot;Acc:B6EUA9] MYNSFYFVYICSIFICLDALLATSLVITLTDMRADASTVWKEFTTPEGRKYYHNKVTKESKWTIPEELKLAREQAQAASSQGTPSGSGVAPQAPVATAVSAAETPTTAIPVSSNTLQDSSPVSVTPVANPSPTSVSGPTTGPVAQSAAMSATGVQLPVVSVTPVPAVPSRGSTVSAPSVGATTAVTRSSETTATQDTMHFADGASAQDIEEAKKGMATAGKVNMTPVEEKVPDDEPLVYANKLEAKNAFKSLLESANVQSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERARDREDLFENYIVDLERKEREYAAEEKRRNIAEYRKFLESCDFIKANSQWRKVQDRLEDDERCSRLEKIDRLLIFQDYIHDLEKEEEEKKKMQKEQLRRAERKNRDAFRKLMEEHVADGTLTAKTYWRDYCLKVKELPQYLAVASNTSGSTPKDLFEDVAEELDKQYHEHKTRIKEAMKTGKVTMVYSWTFEDFKAAISEDVGSSSISDINLKLVYDELLERAKEKEEKEAKKRQRLADDFTRLLHTYKEITASSDWEESKPLFEESQEYRSIAEESFRREIFEEYITHLQEKAKEKERKREEEKAKKEKDREEKDKRKEKEKERKEKEREREREKGKDRSKKDETDSENLDISDSHGHKEEKKKEKEKDRKHRKRHAADDGSSDKDDREESKKSRRHGSDRKKSRKHAHSPDSDSESRHRKHKRDHRDGSRRNSGYEELEDGELGEDGEIQ >KJB63963 pep chromosome:Graimondii2_0_v6:10:2185983:2192065:-1 gene:B456_010G027200 transcript:KJB63963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A [Source:Projected from Arabidopsis thaliana (AT1G44910) UniProtKB/Swiss-Prot;Acc:B6EUA9] MSATGVQLPVVSVTPVPAVPSRGSTVSAPSVGATTAVTRSSETTATQDTMHFADGASAQDIEEAKKGMATAGKVNMTPVEEKVPDDEPLVYANKLEAKNAFKSLLESANVQSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERARDREDLFENYIVDLERKEREYAAEEKRRNIAEYRKFLESCDFIKANSQWRKVQDRLEDDERCSRLEKIDRLLIFQDYIHDLEKEEEEKKKMQKEQLRRAERKNRDAFRKLMEEHVADGTLTAKTYWRDYCLKVKELPQYLAVASNTSGSTPKDLFEDVAEELDKQYHEHKTRIKEAMKTGKVTMVYSWTFEDFKAAISEDVGSSSISDINLKLVYDELLERAKEKEEKEAKKRQRLADDFTRLLHTYKEITASSDWEESKPLFEESQEYRSIAEESFRREIFEEYITHLQEKAKEKERKREEEKAKKEKDREEKDKRKEKEKERKEKEREREREKGKDRSKKDETDSENLDISDSHGHKEEKKKEKEKDRKHRKRHAADDGSSDKDDREESKKSRRHGSDRKKSRKHAHSPDSDSESRHRKHKRDHRDGSRRNSGYEELEDGELGEDGEIQ >KJB63965 pep chromosome:Graimondii2_0_v6:10:2186799:2196184:-1 gene:B456_010G027200 transcript:KJB63965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A [Source:Projected from Arabidopsis thaliana (AT1G44910) UniProtKB/Swiss-Prot;Acc:B6EUA9] MANNSQPSSAQPHWPPAVGSMGPQSYGSPLSSQFRPVVPTQQGQHFVPAASQQFRPVGQVPSPNIGMPAVQNQQMQFSQPMQQFPPRPNQPGLSTPSQQPIQFAPSSFGLPQNNVSAPSQFHPISQVHAPVAPVAGQPWLSTGNQNVSLATPTQHTSQQPPPSSADTNANVPSLTPQSSDWQEHTSADGRRYYYNKRTRQSSWEKPLELMTPIERADASTVWKEFTTPEGRKYYHNKVTKESKWTIPEELKLAREQAQAASSQGTPSGSGVAPQAPVATAVSAAETPTTAIPVSSNTLQDSSPVSVTPVANPSPTSVSGPTTGPVAQSAAMSATGVQLPVVSVTPVPAVPSRGSTVSAPSVGATTAVTRSSETTATQDTMHFADGASAQDIEEAKKGMATAGKVNMTPVEEKVPDDEPLVYANKLEAKNAFKSLLESANVQSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERARDREDLFENYIVDLERKEREYAAEEKRRNIAEYRKFLESCDFIKANSQWRKVQDRLEDDERCSRLEKIDRLLIFQDYIHDLEKEEEEKKKMQKEQLRRAERKNRDAFRKLMEEHVADGTLTAKTYWRDYCLKVKELPQYLAVASNTSGSTPKDLFEDVAEELDKQYHEHKTRIKEAMKTGKVTMVYSWTFEDFKAAISEDVGSSSISDINLKLVYDELLERAKEKEEKEAKKRQRLADDFTRLLHTYKEITASSDWEESKPLFEESQEYRYFTLIFALKINNRLFIIFLTFMILSSFDGFLPCFLLDQLRKKALGERSLRNTLHTYRRKLKKRSVNERRKRLKRRKIEKRKINGKRRRRRERRRKENGRGKKVKTEVKKMKQIVRI >KJB63966 pep chromosome:Graimondii2_0_v6:10:2185983:2196505:-1 gene:B456_010G027200 transcript:KJB63966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A [Source:Projected from Arabidopsis thaliana (AT1G44910) UniProtKB/Swiss-Prot;Acc:B6EUA9] MANNSQPSSAQPHWPPAVGSMGPQSYGSPLSSQFRPVVPTQQGQHFVPAASQQFRPVGQVPSPNIGMPAVQNQQMQFSQPMQQFPPRPNQPGLSTPSQQPIQFAPSSFGLPQNNVSAPSQFHPISQVHAPVAPVAGQPWLSTGNQNVSLATPTQHTSQQPPPSSADTNANVPSLTPQSSDWQEHTSADGRRYYYNKRTRQSSWEKPLELMTPIERADASTVWKEFTTPEGRKYYHNKVTKESKWTIPEELKLAREQAQAASSQGTPSGSGVAPQAPVATAVSAAETPTTAIPVSSNTLQDSSPVSVTPVANPSPTSVSGPTTGPVAQSAAMSATGVQLPVVSVTPVPAVPSRGSTVSAPSVGATTAVTSSETTATQDTMHFADGASAQDIEEAKKGMATAGKVNMTPVEEKVPDDEPLVYANKLEAKNAFKSLLESANVQSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERARDREDLFENYIVDLERKEREYAAEEKRRNIAEYRKFLESCDFIKANSQWRKVQDRLEDDERCSRLEKIDRLLIFQDYIHDLEKEEEEKKKMQKEQLRRAERKNRDAFRKLMEEHVADGTLTAKTYWRDYCLKVKELPQYLAVASNTSGSTPKDLFEDVAEELDKQYHEHKTRIKEAMKTGKVTMVYSWTFEDFKAAISEDVGSSSISDINLKLVYDELLERAKEKEEKEAKKRQRLADDFTRLLHTYKEITASSDWEESKPLFEESQEYRSIAEESFRREIFEEYITHLQEKAKEKERKREEEKAKKEKDREEKDKRKEKEKERKEKEREREREKGKDRSKKDETDSENLDISDSHGHKEEKKKEKEKDRKHRKRHAADDGSSDKDDREESKKSRRHGSDRKKSRKHAHSPDSDSESRHRKHKRDHRDGSRRNSGYEELEDGELGEDGEIQ >KJB63969 pep chromosome:Graimondii2_0_v6:10:2186407:2196184:-1 gene:B456_010G027200 transcript:KJB63969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A [Source:Projected from Arabidopsis thaliana (AT1G44910) UniProtKB/Swiss-Prot;Acc:B6EUA9] MANNSQPSSAQPHWPPAVGSMGPQSYGSPLSSQFRPVVPTQQGQHFVPAASQQFRPVGQVPSPNIGMPAVQNQQMQFSQPMQQFPPRPNQPGLSTPSQQPIQVQYGQTNRPLTSEPPQSHQSAPPLNSHMPGVGVPGVPPSSYGFAPSSFGLPQNNVSAPSQFHPISQVHAPVAPVAGQPWLSTGNQNVSLATPTQHTSQQPPPSSADTNANVPSLTPQSSDWQEHTSADGRRYYYNKRTRQSSWEKPLELMTPIERADASTVWKEFTTPEGRKYYHNKVTKESKWTIPEELKLAREQAQAASSQGTPSGSGVAPQAPVATAVSAAETPTTAIPVSSNTLQDSSPVSVTPVANPSPTSVSGPTTGPVAQSAAMSATGVQLPVVSVTPVPAVPSRGSTVSAPSVGATTAVTRSSETTATQDTMHFADGASAQDIEEAKKGMATAGKVNMTPVEEKVPDDEPLVYANKLEAKNAFKSLLESANVQSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERARDREDLFENYIVDLERKEREYAAEEKRRNIAEYRKFLESCDFIKANSQWRKVQDRLEDDERCSRLEKIDRLLIFQDYIHDLEKEEEEKKKMQKEQLRRAERKNRDAFRKLMEEHVADGTLTAKTYWRDYCLKVKELPQYLAVASNTSGSTPKDLFEDVAEELDKQYHEHKTRIKEAMKTGKVTMVYSWTFEDFKAAISEDVGSSSISDINLKLVYDELLERAKEKEEKEAKKRQRLADDFTRLLHTYKEITASSDWEESKPLFEESQEYRSIAEESFRREIFEEYITHLQEKAKEKERKREEEKAKKEKDREEKDKRKEKEKERKEKEREREREKGKDRSKKDETDSENLDISDSHGHKEEKKKEKEKDRKHRKRHAADDGSSDKDDREESKKSRRHGSDRKKSRKHAHSPDSDSESRHRKHKRDHRDGSRRNSGYEELEDGELGEDGEIQ >KJB65785 pep chromosome:Graimondii2_0_v6:10:22242278:22245425:-1 gene:B456_010G115200 transcript:KJB65785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSATLSSSSFSSTSALSSSKFRFKFPSFSRTSSLSSRAQPFTASSSTTTHNISGEIRHCSLSRRRGLAFTATLPFLLPLHEFVDSVAAKAVESGSGQSEYLRIKEEIIKVVTKGKAAGVLRLVFHDAGTYEMDENSGGMNGSIVYELERPENVGLKKSLKAPSMYLYVLEKAKKEIEAIQSVSWADMIAVGGAEAVSICGGPKIPVTLGRLDSGESDPEGKMPEESLDASGLKQCFRRKGFSTQELVALSGAHTLGSKGFGSPVAFDNSYFKILLEKPWNSSAGMTSMIGLPSDRAIVEDDECLRWITKYADDQNMFFEDFKNAYMKLVNCGAKWKSM >KJB65780 pep chromosome:Graimondii2_0_v6:10:22242069:22244835:-1 gene:B456_010G115200 transcript:KJB65780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENSGGMNGSIVYELERPENVGLKKSLKVLEKAKKEIEAIQSVSWADMIAVGGAEAVSICGGPKIPVTLGRLDSGESDPEGKMPEESLDASGLKQCFRRKGFSTQELVALSGAHTLGSKGFGSPVAFDNSYFKILLEKPWNSSAGMTSMIGLPSDRAIVEDDECLRWITKYADDQNMFFEDFKNAYMKLVNCGAKWKSM >KJB65782 pep chromosome:Graimondii2_0_v6:10:22242278:22245407:-1 gene:B456_010G115200 transcript:KJB65782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENSGGMNGSIVYELERPENVGLKKSLKVLEKAKKEIEAIQSVSWADMIAVGGAEAVSICGGPKIPVTLGRLDSGESDPEGKMPEESLDASGLKQCFRRKGFSTQELVALSGAHTLGSKGFGSPVAFDNSYFKILLEKPWNSSAGMTSMIGLPSDRAIVEDDECLRWITKYADDQNMFFEDFKNAYMKLVNCGAKWKSM >KJB65781 pep chromosome:Graimondii2_0_v6:10:22242069:22245501:-1 gene:B456_010G115200 transcript:KJB65781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSATLSSSSFSSTSALSSSKFRFKFPSFSRTSSLSSRAQPFTASSSTTTHNISGEIRHCSLSRRRGLAFTATLPFLLPLHEFVDSVAAKAVESGSGQSEYLRIKEEIIKVVTKGKAAGVLRLVFHDAGTYEMDENSGGMNGSIVYELERPENVGLKKSLKVLEKAKKEIEAIQSVSWADMIAVGGAEAVSICGGPKIPVTLGRLDSGESDPEGKMPEESLDASGLKQCFRRKGFSTQELVALSGAHTLGSKGFGSPVAFDNSYFKILLEKPWNSSAGMTSMIGLPSDRAIVEDDECLRWITKYADDQNMFFEDFKNAYMKLVNCGAKWKSM >KJB65779 pep chromosome:Graimondii2_0_v6:10:22241712:22245480:-1 gene:B456_010G115200 transcript:KJB65779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSATLSSSSFSSTSALSSSKFRFKFPSFSRTSSLSSRAQPFTASSSTTTHNISGEIRHCSLSRRRGLAFTATLPFLLPLHEFVDSVAAKAVESGSGQSEYLRIKEEIIKVVTKGKAAGVLRLVFHDAGTYEMDENSGGMNGSIVYELERPENVGLKKSLKVLEKAKKEIEAIQSVSWADMIAVGGAEAVSICGGPKIPVTLGRLDSGESDPEGKMPEESLDASGLKQCFRRKGFSTQELVALSGAHTLGSKGFGSPVAFDNSYFKILLEKPWNSSAGMTSMIGLPSDRAIVEDDECLRWITKYADDQNMFFEDFKNAYMKLVNCGAKWKSM >KJB65784 pep chromosome:Graimondii2_0_v6:10:22242278:22245414:-1 gene:B456_010G115200 transcript:KJB65784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENSGGMNGSIVYELERPENVGLKKSLKVLEKAKKEIEAIQSVSWADMIAVGGAEAVSICGGPKIPVTLGRLDSGESDPEGKMPEESLDASGLKQCFRRKGFSTQELVALSGAHTLGSKGFGSPVAFDNSYFKILLEKPWNSSAGMTSMIGLPSDRAIVEDDECLRWITKYADDQNMFFEDFKNAYMKLVNCGAKWKSM >KJB65783 pep chromosome:Graimondii2_0_v6:10:22242278:22244986:-1 gene:B456_010G115200 transcript:KJB65783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENSGGMNGSIVYELERPENVGLKKSLKVLEKAKKEIEAIQSVSWADMIAVGGAEAVSICGGPKIPVTLGRLDSGESDPEGKMPEESLDASGLKQCFRRKGFSTQELVALSGAHTLGSKGFGSPVAFDNSYFKILLEKPWNSSAGMTSMIGLPSDRAIVEDDECLRWITKYADDQNMFFEDFKNAYMKLVNCGAKWKSM >KJB68510 pep chromosome:Graimondii2_0_v6:10:61610163:61615173:1 gene:B456_010G247900 transcript:KJB68510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVSMEATNPNPSSMSGVAAASAAELLCCANARALLDSVDAFLFDCDGVIWKGDKLIDGVPQTLDMLRSKGKKLVFVTNNSTKSRIQYANKFQSLGLSVNQDEIFSSSFAAAMYLKVNQFPPHKKEDAEKRAQWKSNCLFEHDKYVGAVVVGLDPDINYYKLQYATLCIRENPGCLFIATNRDSVGHMTDLQEWPGAGCMVAAVCGSTEQEPIVVGKPSTFMMDFLLQKFNVSTSRMCMVGDRLDTDILFGQNAGCKTLLVLSGVTTQSTLDDPSNSIKPDYYTNKVSDILSLLGE >KJB68512 pep chromosome:Graimondii2_0_v6:10:61610401:61614644:1 gene:B456_010G247900 transcript:KJB68512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVSMEATNPNPSSMSGVAAASAAELLCCANARALLDSVDAFLFDCDGVIWKGDKLIDGVPQTLDMLRSKGKKLVFVTNNSTKSRIQYANKFQSLGLSVNQDEIFSSSFAAAMYLKVNQFPPHKKVYVIGGEGIVQELQLAGFTALGGPEDAEKRAQWKSNCLFEHDKYVGAVVVGLDPDINYYKLQYATLCIRENPGCLFIATNRDSVGHMTDLQEWPVHICRCGMHGCCRLWVNGTRAYCSWKTFNLHDGLFTAEI >KJB68515 pep chromosome:Graimondii2_0_v6:10:61610154:61615201:1 gene:B456_010G247900 transcript:KJB68515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVSMEATNPNPSSMSGVAAASAAELLCCANARALLDSVDAFLFDCDGVIWKGDKLIDGVPQTLDMLRSKGKKLVFVTNNSTKSRIQYANKFQSLGLSVNQDEIFSSSFAAAMYLKVNQFPPHKKVYVIGGEGIVQELQLAGFTALGGPEDAEKRAQWKSNCLFEHDKYVGAVVVGLDPDINYYKLQYATLCIRENPGCLFIATNRDSVGHMTDLQEWPGAGCMVAAVCGSTEQEPIVVGKPSTFMMDFLLQKFNVSTSRMCMVGDRLDTDILFGQNAGCKTLLVLSGVTTQSTLDDPSNSIKPDYYTNKVSDILSLLGE >KJB68514 pep chromosome:Graimondii2_0_v6:10:61610182:61615173:1 gene:B456_010G247900 transcript:KJB68514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVSMEATNPNPSSMSGVAAASAAELLCCANARALLDSVDAFLFDCDGDKLIDGVPQTLDMLRSKGKKLVFVTNNSTKSRIQYANKFQSLGLSVNQDEIFSSSFAAAMYLKVNQFPPHKKVYVIGGEGIVQELQLAGFTALGGPEDAEKRAQWKSNCLFEHDKYVGAVVVGLDPDINYYKLQYATLCIRENPGCLFIATNRDSVGHMTDLQEWPGAGCMVAAVCGSTEQEPIVVGKPSTFMMDFLLQKFNVSTSRMCMVGDRLDTDILFGQNAGCKTLLVLSGVTTQSTLDDPSNSIKPDYYTNKVSDILSLLGE >KJB68509 pep chromosome:Graimondii2_0_v6:10:61610163:61615173:1 gene:B456_010G247900 transcript:KJB68509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVSMEATNPNPSSMSGVAAASAAELLCCANARALLDSVDAFLFDCDGVIWKGDKLIDGVPQTLDMLRSKGKKLVFVTNNSTKSRIQYANKFQSLGLSVNQDEIFSSSFAAAMYLKVNQFPPHKKVYVIGGEGIVQELQLAGFTALGGPEDAEKRAQWKSNCLFEHDKYVGAVVVGLDPDINYYKLQYATLCIRENPGCLFIATNRDSVGHMTDLQEWPGAGCMVAAVCGSTEQEPIVVGKPSTFMMDFLLQKFNVSTSRMCMVGDRLDTDILFGQNAGCKTLLVLSGVTTQSTLDDPSNSIKPDYYTNKVSDILSLLGE >KJB68513 pep chromosome:Graimondii2_0_v6:10:61610163:61615173:1 gene:B456_010G247900 transcript:KJB68513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVSMEATNPNPSSMSGVAAASAAELLCCANARALLDSVDAFLFDCDGVIWKGDKLIDGVPQTLDMLRSKGKKLVFVTNNSTKSRIQYANKFQSLGLSVNQDEIFSSSFAAAMYLKVNQFPPHKKVYVIGGEGIVQELQLAGFTALGGPEDAEKRAQWKSNCLFEHDKYVGAVVVGLDPDINYYKLQYATLCIRENPGCLFIATNRDSVGHMTDLQEWPGAGCMVAAVCGSTEQEPIVVGKPSTFMMDFLLQKFNVSTSRMCMVGDRLDTDILFGQNAGCKTLLVLSGVTTQSTLDDPSNSIKPDYYTNKVSDILSLLGE >KJB68511 pep chromosome:Graimondii2_0_v6:10:61610163:61615173:1 gene:B456_010G247900 transcript:KJB68511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVSMEATNPNPSSMSGVAAASAAELLCCANARALLDSVDAFLFDCDGVIWKGDKLIDGVPQTLDMLRSKGKKLVFVTNNSTKSRIQYANKFQSLGLSVNQDEIFSSSFAAAMYLKVNQFPPHKKVYVIGGEGIVQELQLAGFTALGGPVGAVVVGLDPDINYYKLQYATLCIRENPGCLFIATNRDSVGHMTDLQEWPGAGCMVAAVCGSTEQEPIVVGKPSTFMMDFLLQKFNVSTSRMCMVGDRLDTDILFGQNAGCKTLLVLSGVTTQSTLDDPSNSIKPDYYTNKVSDILSLLGE >KJB66842 pep chromosome:Graimondii2_0_v6:10:45547231:45550177:1 gene:B456_010G160100 transcript:KJB66842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIGA >KJB66843 pep chromosome:Graimondii2_0_v6:10:45547227:45549688:1 gene:B456_010G160100 transcript:KJB66843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIGA >KJB63656 pep chromosome:Graimondii2_0_v6:10:2436831:2439553:-1 gene:B456_010G029400 transcript:KJB63656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALGWWLMLVGSLRLASVWFGFFDIWALRLAVFSSTSMTEVHGRTFGVWTLLTCTLCFVCAFNLENKPLYLVTFLSFIYAFGHFLTEYLFYHTMALSNLTTVGIFAGILFMRLMRVNMPRHCFYIKFLIKVPWRPQY >KJB63654 pep chromosome:Graimondii2_0_v6:10:2436159:2437404:-1 gene:B456_010G029400 transcript:KJB63654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYAVTEVHGRTFGVWTLLTCTLCFVCAFNLENKPLYLVTFLSFIYAFGHFLTEYLFYHTMALSNLTTVGIFAGTSIIWMLLQWNAHQQRHVKHP >KJB63655 pep chromosome:Graimondii2_0_v6:10:2436159:2439628:-1 gene:B456_010G029400 transcript:KJB63655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALGWWLMLVGSLRLASVWFGFFDIWALRLAVFSSTSMTEVHGRTFGVWTLLTCTLCFVCAFNLENKPLYLVTFLSFIYAFGHFLTEYLFYHTMALSNLTTVGIFAGTSIIWMLLQWNAHQQRHVKHP >KJB64593 pep chromosome:Graimondii2_0_v6:10:11762328:11764332:-1 gene:B456_010G080800 transcript:KJB64593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLIWEIVKRNNCFLVKEFGRGTAGIQFSKESNNLYNLNSYKHSGLANKKTVTIQPGGKDRSVLLATSKTKKQNKPASVLHKSVMRKEFPRMAKAVVNQVVYNHYRPDLKKAALARLSAVNRSLKVAKSGVKKRNRQAVRIRGRK >KJB64596 pep chromosome:Graimondii2_0_v6:10:11762328:11764332:-1 gene:B456_010G080800 transcript:KJB64596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLIWEIVKRNNCFLVKEFGRGTAGIQFSKESNNLYNLNSYKHSDVNFYVVIGLANKKTVTIQPGGKDRSVLLATSKTKKQNKPASVLHKSVMRKEFPRMAKAVVNQVVYNHYRPDLKKAALARLSAVNRSLKVAKSGVKKRNRQAVRIRGRK >KJB64598 pep chromosome:Graimondii2_0_v6:10:11762911:11764332:-1 gene:B456_010G080800 transcript:KJB64598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLIWEIVKRNNCFLVKEFGRGTAGIQFSKESNNLYNLNSYKHSGLANKKTVTIQPGGKDRSVLLATSKTKKQNKPASVLHKSVMRKEFPRMAKAVVNQVFHEFVLLLNALMCSFSFYSIYVLDKD >KJB64594 pep chromosome:Graimondii2_0_v6:10:11762309:11764373:-1 gene:B456_010G080800 transcript:KJB64594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLIWEIVKRNNCFLVKEFGRGTAGIQFSKESNNLYNLNSYKHSGLANKKTVTIQPGGKDRSVLLATSKTKKQNKPASVLHKSVMRKEFPRMAKAVVNQVVYNHYRPDLKKAALARLSAVNRSLKVAKSGVKKRNRQAVRIRGRK >KJB64595 pep chromosome:Graimondii2_0_v6:10:11762276:11764373:-1 gene:B456_010G080800 transcript:KJB64595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLIWEIVKRNNCFLVKEFGRGTAGIQFSKESNNLYNLNSYKHSGLANKKTVTIQPGGKDRSVLLATSKTKKQNKPASVLHKSVMRKEFPRMAKAVVNQVVYNHYRPDLKKAALARLSAVNRSLKVAKSGVKKRNRQAVRIRGRK >KJB64597 pep chromosome:Graimondii2_0_v6:10:11762355:11764332:-1 gene:B456_010G080800 transcript:KJB64597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLIWEIVKRNNCFLVKEFGRGTAGIQFSKESNNLYNLNSYKHSGLANKKTVTIQPGGKDRSVLLATSKTKKQNKPASVLHKSVMRKEFPRMAKAVVNQVFHEFVLLLNALMCSFSFYSIYVLDKD >KJB63910 pep chromosome:Graimondii2_0_v6:10:1863674:1865585:1 gene:B456_010G023500 transcript:KJB63910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRKDMDRIKGPWSPEEDEALQRLVQTYGPRNWTLISKSIPGRSGKSCRLRWCNQLSPEVEHRPFTPEEDDTIIRAHARVGNKWATIARLLNGRTDNAIKNHWNSTLKRKCLSMTEGFNDDSPQPLKRSASVGTGNPSSPSGSDLSDSSLPAPSPVFKTGSVVPSSQHVETASSATDPPTLLSLSLPGSDFSEPVSPPGFNPTQVTTQAPAPFPAPVEKQFFSAEFFAVMKEMIRKEVRSYMAGIEQNELCLRTEAIRNAVVKRIGISKIE >KJB66861 pep chromosome:Graimondii2_0_v6:10:46092235:46098277:-1 gene:B456_010G161400 transcript:KJB66861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCFSTTISSSSSPFSIFSIQGSSSNVGRKFSKSCMHPQLLSCQLPKPFLQLNGRPKYRLNQRRSAYLSSATYKDPISAEKQGWDLGRFFKTLYFFNGPPSPAKIVEFIIEKLSGSSPEESEKKMGTSDYVLVVGATGGVGRRVVNNLRKKGLPVKALVRNEEKARKMLGPDIELIVGDITKESTLVAQYFKGVKKVINAASVIIGPKEGDTPDRAKYSQGVKFFEPEIKGDSPEMVEYIGMKNLINAVRESVGLRTGKLLFGIEDQLSKELDWGALDDVVMGGVSESTFQIDRTGGEGGKPTGLFKGIVSTANNGGFTSIRTKNFSSPVDLKAYDGFELRLKGDGRRYKLIVRTSTDWDTIRLPFSSLRPVFRARTVSDAPPFNPSNVVSFQLMFSKFEYDGKLNPTFVEGPFELPLSTIKAYIKDPITPRFVHVSSAGVTRPDRPGIDLSKQPPAVRLNKELDFVLTFKLKGEDLIRESGIPYTIVRPCALTEEPAGADLVFDQGDNITGKISREEIALICIAALESPYACDKTFEVKSVVPFSEPFTVDPENPPPEKDYNIYFQTLKDGITGKESLGQSAIAV >KJB66862 pep chromosome:Graimondii2_0_v6:10:46092558:46098142:-1 gene:B456_010G161400 transcript:KJB66862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCFSTTISSSSSPFSIFSIQGSSSNVGRKFSKSCMHPQLLSCQLPKPFLQLNGRPKYRLNQRRSAYLSSATYKDPISAEKQGWDLGRFFKTLYFFNGPPSPAKIVEFIIEKLSGSSPEESEKKMGTSDYVLVVGATGGVGRRVVNNLRKKGLPVKALVRNEEKARKMLGPDIELIVGDITKESTLVAQYFKGVKKVINAASVIIGPKEGDTPDRAKYSQGVKFFEPEIKGDSPEMVEYIGMKNLINAVRESVGLRTGKLLFGIEDQLSKELDWGALDDVVMGGVSESTFQIDRTGGEGGKPTGLFKGIVSTANNGGFTSIRTKNFSSPVDLKAYDGFELRLKGDGRRYKLIVRTSTDWDTVGYTASFDTIGGQWQSIRLPFSSLRPVFRARTVSDAPPFNPSNVVSFQLMFSKFEYDGKLNPTFVEGPFELPLSTIKAYIKDPITPRFVHVSSAGVTRPDRPGIDLSKQPPAVRLNKELDFVLTFKLKGEDLIRESGIPYTIVRPCALTEEPAGADLVFDQGDNITGKISREEIALICIAALESPYACDKTFEVKSVVPFSEPFTVDPENPPPEKDYNIYFQTLKDGITGKESLGQSAIAV >KJB66858 pep chromosome:Graimondii2_0_v6:10:46092558:46098142:-1 gene:B456_010G161400 transcript:KJB66858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCFSTTISSSSSPFSIFSIQGSSSNVGRKFSKSCMHPQLLSCQLPKPFLQLNGRPKYRLNQRRSAYLSSATYKDPISAEKQGWDLGRFFKTLYFFNGPPSPAKIVEFIIEKLSGSSPEESEKKMGTSDYVLVVGATGGVGRRVVNNLRKKGLPVKALVRNEEKARKMLGPDIELIVGDITKESTLVAQYFKGVKKVINAASVIIGPKEGDTPDRAKYSQGVKFFEPEIKGDSPEMVEYIGMKNLINAVRESVGLRTGKLLFGIEDQLSKELDWGALDDVVMGGVSESTFQIDRTGGEGGKPTGLFKGIVSTANNGGFTSIRTKNFSSPVDLKAYDGFELRLKGDGRRYKLIVRTSTDWDTVGYTASFDTIGGQWQSIRLPFSSLRPVFRARTVSDAPPFNPSNVVSFQLMFSKFEYDGKLNPTFVEGPFELPLSTIKAYIKDPITPRFVHVSSAGVTRPDRPGIDLSKQPPAVRLNKELDFVLTFKLKGEDLIRESGIPYTIVRPCALTEEPAGADLVFDQGDNITGKISREEIALICIAALESPYACDKTFEVKSVVPFSEPFTVDPENPPPEKDYNIYFQTLKDGITGKESLGQSAIAV >KJB66860 pep chromosome:Graimondii2_0_v6:10:46092235:46098277:-1 gene:B456_010G161400 transcript:KJB66860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSDYVLVVGATGGVGRRVVNNLRKKGLPVKALVRNEEKARKMLGPDIELIVGDITKESTLVAQYFKGVKKVINAASVIIGPKEGDTPDRAKYSQGVKFFEPEIKGDSPEMVEYIGMKNLINAVRESVGLRTGKLLFGIEDQLSKELDWGALDDVVMGGVSESTFQIDRTGGEGGKPTGLFKGIVSTANNGGFTSIRTKNFSSPVDLKAYDGFELRLKGDGRRYKLIVRTSTDWDTVGYTASFDTIGGQWQSIRLPFSSLRPVFRARTVSDAPPFNPSNVVSFQLMFSKFEYDGKLNPTFVEGPFELPLSTIKAYIKDPITPRFVHVSSAGVTRPDRPGIDLSKQPPAVRLNKELDFVLTFKLKGEDLIRESGIPYTIVRPCALTEEPAGADLVFDQGDNITGKISREEIALICIAALESPYACDKTFEVKSVVPFSEPFTVDPENPPPEKDYNIYFQTLKDGITGKESLGQSAIAV >KJB66859 pep chromosome:Graimondii2_0_v6:10:46091394:46098283:-1 gene:B456_010G161400 transcript:KJB66859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSDYVLVVGATGGVGRRVVNNLRKKGLPVKALVRNEEKARKMLGPDIELIVGDITKESTLVAQYFKGVKKVINAASVIIGPKEGDTPDRAKYSQGVKFFEPEIKGDSPEMVEYIGMKNLINAVRESVGLRTGKLLFGIEDQLSKELDWGALDDVVMGGVSESTFQIDRTGGEGGKPTGLFKGIVSTANNGGFTSIRTKNFSSPVDLKAYDGFELRLKGDGRRYKLIVRTSTDWDTVGYTASFDTIGGQWQSIRLPFSSLRPVFRARTVSDAPPFNPSNVVSFQLMFSKFEYDGKLNPTFVEGPFELPLSTIKAYIKDPITPRFVHVSSAGVTRPDRPGIDLSKQPPAVRLNKELDFVLTFKLKGEDLIRESGIPYTIVRPCALTEEPAGADLVFDQGDNITGKISREEIALICIAALESPYACDKTFEVKSVVPFSEPFTVDPENPPPEKDYNIYFQTLKDGITGKESLGQSAIAV >KJB64092 pep chromosome:Graimondii2_0_v6:10:2916295:2917178:-1 gene:B456_010G032700 transcript:KJB64092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVTIFSSTGKLYDFASTRSF >KJB64738 pep chromosome:Graimondii2_0_v6:10:7755285:7757382:1 gene:B456_010G062400 transcript:KJB64738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYECVRRAWHSERHQPMRGSIIQQILRLAIETHSTATKKNKEWQDKIITVIVKAEEIMYSKANSEAEYTNPETLWDRVNDAINTIIRRDESTETGELLPPCVEAALNLGCYPVRASRSQRHSNPRTYLTPRAPETVPATPRILDNGSEDRCPQLSPVQSGSQLARIATNVNSNTSVSQANRHNYPFLPQNCPSGHDQLMRMETNSPSNSGQVYPLYYGIHYQNAESQTGSPVQENLVSDTIIVGRPIGSSVLEPAEMGSLQNFFSSSNVDIGGKRIGLQDIRHTDEKLLGKECDLSLRLGLFSDPCMQVEKKSLCETTDVGPSNTQDGGKLSDVFQQKSKEIPFFPERTVNDHFESFSRKCFMENEGHNVGAATRKRKATFGGNSEDEQFCKQPGSSSNNRRHGPGL >KJB64735 pep chromosome:Graimondii2_0_v6:10:7755137:7758474:1 gene:B456_010G062400 transcript:KJB64735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYECVRRAWHSERHQPMRGSIIQQILRLAIETHSTATKKNKEWQDKIITVIVKAEEIMYSKANSEAEYTNPETLWDRVNDAINTIIRRDESTETGELLPPCVEAALNLGCYPVRASRSQRHSNPRTYLTPRAPETVPATPRILDNGSEDRCPQLSPVQSGSQLARIATNVNSNTSVSQANRHNYPFLPQNCPSGHDQLMRMETNSPSNSGQVYPLYYGIHYQNAESQTGSPVQENLVSDTIIVGRPIGSSVLEPAEMGSLQNFFSSSNVDIGGKRIGLQDIRHTDEKLLGKECDLSLRLGLFSDPCMQVEKKSLCETTDVGPSNTQDGGKLSDVFQQKSKEIPFFPERTVNDHFESFSRKCFMENEGHNVGAATRKRKATFGGNSEDEQFCKQPGSSSNNRRHGPDKHLLYHFSEIRMARGI >KJB64737 pep chromosome:Graimondii2_0_v6:10:7755285:7757382:1 gene:B456_010G062400 transcript:KJB64737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKANSEAEYTNPETLWDRVNDAINTIIRRDESTETGELLPPCVEAALNLGCYPVRASRSQRHSNPRTYLTPRAPETVPATPRILDNGSEDRCPQLSPVQSGSQLARIATNVNSNTSVSQANRHNYPFLPQNCPSGHDQLMRMETNSPSNSGQVYPLYYGIHYQNAESQTGSPVQENLVSDTIIVGRPIGSSVLEPAEMGSLQNFFSSSNVDIGGKRIGLQDIRHTDEKLLGKECDLSLRLGLFSDPCMQVEKKSLCETTDVGPSNTQDGGKLSDVFQQKSKEIPFFPERTVNDHFESFSRKCFMENEGHNVGAATRKRKATFGGNSEDEQFCKQPGSSSNNRRHGPGL >KJB64736 pep chromosome:Graimondii2_0_v6:10:7755047:7758581:1 gene:B456_010G062400 transcript:KJB64736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYECVRRAWHSERHQPMRGSIIQQILRLAIETHSTATKKNKEWQDKIITVIVKAEEIMYSKANSEAEYTNPETLWDRVNDAINTIIRRDESTETGELLPPCVEAALNLGCYPVRASRSQRHSNPRTYLTPRAPETVPATPRILDNGSEDRCPQLSPVQSGSQLARIATNVNSNTSVSQANRHNYPFLPQNCPSGHDQLMRMETNSPSNSGQVYPLYYGIHYQNAESQTGSPVQENLVSDTIIVGRPIGSSVLEPAEMGSLQNFFSSSNVDIGGKRIGLQDIRHTDEKLLGKECDLSLRLGLFSDPCMQVEKKSLCETTDVGPSNTQDGGKLSDVFQQKSKEIPFFPERTVNDHFESFSRKCFMENEGHNVGAATRKRKATFGGNSEDEQFCKQPGSSSNNRRHGPDKHLLYHFSEIRMARGI >KJB64739 pep chromosome:Graimondii2_0_v6:10:7755285:7759035:1 gene:B456_010G062400 transcript:KJB64739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYECVRRAWHSERHQPMRGSIIQQILRLAIETHSTATKKNKEWQDKIITVIVKAEEIMYSKANSEAEYTNPETLWDRVNDAINTIIRRDESTETGELLPPCVEAALNLGCYPVRASRSQRHSNPRTYLTPRAPETVPATPRILDNGSEDRCPQLSPVQSGSQLARIATNVNSNTSVSQANRHNYPFLPQNCPSGHDQLMRMETNSPSNSGQVYPLYYGIHYQNAESQTGSPVQENLVSDTIIVGRPIGSSVLEPAEMGSLQNFFSSSNVDIGGKRIGLQDIRHTDEKLLGKECDLSLRLGLFSDPCMQVEKKSLCETTDVGPSNTQDGGKLSDVFQQKSKEIPFFPERTVNDHFESFSRKCFMENEGHNVGAATRKRKATFGGNSEDEQFCKQPGSSSNNRRHGPVTSAN >KJB66587 pep chromosome:Graimondii2_0_v6:10:38206603:38209100:-1 gene:B456_010G145200 transcript:KJB66587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPKVCLVGCNRHSLPSAPIRDSFSTVFLIFHFLPSAFSPTFPFFFLLIAEVDLLLRFFSHFIMNGKLFA >KJB64446 pep chromosome:Graimondii2_0_v6:10:5591639:5594578:-1 gene:B456_010G049700 transcript:KJB64446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPKPNFLSFYKLLCFLLLTDVALVASKSTIEPCSNSDSCNALLGYTLYTDLKVAEVASLFQVDPISVLTANAIDISYPDVENHILPSQLFVKIPILCSCVDGIRKSVSTHYKTRPQDTLSSIADSIYAGLVSADQIREANSIDDPSVLDVGQNLVVPLPCTCFNGTDNGLPAIYLSYVVKPVDTLAGIAASYSTTITDLMNVNAMGGTSITPGDILAVPLSACASNFPKYASDHGLIVPNGSYAITASHCVQCSCGPGSRNLYCMPASLAVSCSSMQCKSSNLMLGNVTVQQSSAGCNVTSCAYSGYANGTIITSLSSSLQPRCPGPQQFPPLVAPPTYVTRDSVFAPAPAPQSDGATTATVPKTSTVPSTGSLPGFPPAGAPFGSLSNASTLMNSAAALPAALMMYLLAKLISPLSL >KJB65326 pep chromosome:Graimondii2_0_v6:10:13842206:13844406:-1 gene:B456_010G090300 transcript:KJB65326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTVVNRILLTKNTGGGRRCLLRFPDLRTAVSSSRFSTTTEPQTFPNRPLNPADSSTSSSSTSFQTSSAAGDIPRQERKNPRAEYQGEQARVLQASLRHVLRLGWSEEAMIAGAKEVGISPSIVGSFPRKEAALVEFFMDDCLQRLIDRIDSGEELQDLIPSQCIYKLVRFRLEMQAPYISKWPQALSIQAHPLNVSTSFKQRAMLVDEIWHAAGDEASDLDWYVKRTVLGGIYSTTEIYMLTDSSPEFRDTWLFLDNRVKDAFDLKKTIQEVFSPSIPFPLFF >KJB65328 pep chromosome:Graimondii2_0_v6:10:13841698:13844463:-1 gene:B456_010G090300 transcript:KJB65328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTVVNRILLTKNTGGGRRCLLRFPDLRTAVSSSRFSTTTEPQTFPNRPLNPADSSTSSSSTSFQTSSAAGDIPRQERKNPRAEYQGEQARVLQASLRHVLRLGWSEEAMIAGAKEVGISPSIVGSFPRKEAALVEFFMDDCLQRLIDRIDSGEELQDLIPSQCIYKLVRFRLEMQAPYISKWPQALSIQAHPLNVSTSFKQRAMLVDEIWHAAGDEASDLDWYVKRTVLGGIYSTTEIYMLTDSSPEFRDTWLFLDNRVKDAFDLKKTIQEKL >KJB65325 pep chromosome:Graimondii2_0_v6:10:13841675:13844588:-1 gene:B456_010G090300 transcript:KJB65325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTVVNRILLTKNTGGGRRCLLRFPDLRTAVSSSRFSTTTEPQTFPNRPLNPADSSTSSSSTSFQTSSAAGDIPRQERKNPRAEYQGEQARVLQASLRHVLRLGWSEEAMIAGAKEVGISPSIVGSFPRKEAALVEFFMDDCLQRLIDRIDSGEELQDLIPSQCIYKLVRFRLEMQAPYISKWPQALSIQAHPLNVSTSFKQRAMLVDEIWHAAGDEASDLDWYVKRTVLGGIYSTTEIYMLTDSSPEFRDTWLFLDNRVKDAFDLKKTIQEATYLAEAVGAGMGSSLQGFVGKVIQR >KJB65327 pep chromosome:Graimondii2_0_v6:10:13841698:13844463:-1 gene:B456_010G090300 transcript:KJB65327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTVVNRILLTKNTGGGRRCLLRFPDLRTAVSSSRFSTTTEPQTFPNRPLNPADSSTSSSSTSFQTSSAAGDIPRQERKNPRAEYQGEQARVLQASLRHVLRLGWSEEAMIAGAKEVGISPSIVGSFPRKEAALVEVLLGLNKFFMDDCLQRLIDRIDSGEELQDLIPSQCIYKLVRFRLEMQAPYISKWPQALSIQAHPLNVSTSFKQRAMLVDEIWHAAGDEASDLDWYVKRTVLGGIYSTTEIYMLTDSSPEFRDTWLFLDNRVKDAFDLKKTIQEATYLAEAVGAGMGSSLQGFVGKVIQR >KJB67434 pep chromosome:Graimondii2_0_v6:10:54461112:54464716:1 gene:B456_010G190700 transcript:KJB67434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGGRMFSLPTKTTPKSSLKSTAGKDDNSAKSKRGRKVQFGTEGSPDVNFSSPKSDGKFATPFGKGGKGEKAANGGKTHVAKESQSLELRVEQELPENVKCLMDCEAASILEGIQDQMVILSSDPTIKLPESFGSGLQYAKTGSYYTNPQSVRKVLQSLTKYGVSNSEICVIANTCPETVDEVFALVRSLEAKRSKLTGPLKDVLEELAKLKTST >KJB67435 pep chromosome:Graimondii2_0_v6:10:54461112:54464716:1 gene:B456_010G190700 transcript:KJB67435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGGRMFSLPTKTTPKSSLKSTAGKDDNSAKSKRGRKVQFGTEGSPDVNFSSPKSDGKFATPFGKGGKGEKAANGGKTHVAKESQSLELRVEQELPENVKCLMDCEAASILEGIQDQMVILSSDPTIKLPESFGSGLQYAKTGSYYTNPQSVRKVLQSLTKYGVSNSEICVIANTCPETVDEVFALVRSLEAKRSKLTGPLKDVLEELAKLKTST >KJB67433 pep chromosome:Graimondii2_0_v6:10:54460964:54464716:1 gene:B456_010G190700 transcript:KJB67433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGGRMFSLPTKTTPKSSLKSTAGKDDNSAKSKRGRKVQFGTEGSPDVNFSSPKSDGKFATPFGKGGKGEKAANGGKTHVAKESQSLELRVEQELPENVKCLMDCEAASILEGIQDQMVILSSDPTIKLPESFGSGLQYAKTGSYYTNPQSVRKVLQSLTKYGVSNSEICVIANTCPETVDEVFALVRSLEAKRSKLTGPLKDVLEELAKLKTST >KJB67430 pep chromosome:Graimondii2_0_v6:10:54461112:54464716:1 gene:B456_010G190700 transcript:KJB67430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGGRMFSLPTKTTPKSSLKSTAGKDDNSAKSKRGRKVQFGTEGSPDVNFSSPKSDGKFATPFGKGGKGEKAANGGKTHVAKESQSLELRVEQELPENVKCLMDCEAASILEGIQDQMVILSSDPTIKLPESFGSGLQYAKTGSYYTNPQSVRKVLQSLTKYGVSNSEICVIANTCPETVDEVFALVRSLEAKRSKLTGPLKDVLEELAKLKTST >KJB67431 pep chromosome:Graimondii2_0_v6:10:54461112:54464716:1 gene:B456_010G190700 transcript:KJB67431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGGRMFSLPTKTTPKSSLKSTAGKDDNSAKSKRGRKVQFGTEGSPDVNFSSPKSDGKFATPFGKGGKGEKAANGGKTHVAKESQSLELRVEQELPENVKCLMDCEAASILEGIQDQMVILSSDPTIKLPESFGSGLQYAKTGSYYTNPQSVRKVLQSLTKYGVSNSEICVIANTCPETVDEVFALVRSLEAKRSKLTGPLKDVLEELAKLKTST >KJB67432 pep chromosome:Graimondii2_0_v6:10:54461101:54464834:1 gene:B456_010G190700 transcript:KJB67432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGGRMFSLPTKTTPKSSLKSTAGKDDNSAKSKRGRKVQFGTEGSPDVNFSSPKSDGKFATPFGKGGKGEKAANGGKTHVAKESQSLELRVEQELPENVKCLMDCEAASILEGIQDQMVILSSDPTIKLPESFGSGLQYAKTGSYYTNPQSVRKVLQSLTKYGVSNSEICVIANTCPETVDEVFALVRSLEAKRSKLTGPLKDVLEELAKLKTST >KJB67436 pep chromosome:Graimondii2_0_v6:10:54461112:54464716:1 gene:B456_010G190700 transcript:KJB67436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGGRMFSLPTKTTPKSSLKSTAGKDDNSAKSKRGRKVQFGTEGSPDVNFSSPKSDGKFATPFGKGGKGEKAANGGKTHVAKESQSLELRVEQELPENVKCLMDCEAASILEGIQDQMVILSSDPTIKLPESFGSGLQYAKTGSYYTNPQSVRKVLQSLTKYGVSNSEICVIANTCPETVDEVFALVRSLEAKRSKLTGPLKDVLEELAKLKTST >KJB63700 pep chromosome:Graimondii2_0_v6:10:883937:887370:-1 gene:B456_010G011800 transcript:KJB63700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELKDLNSKPAKIQQPDPTHDDTSSKDDRPLLKPDSSSTAAANIHELEKKFAAYVRNDVYGTMGRGELPLKEKIMLGISLVTLLPLRIVFGMSLVVVYYMICRICTMFSAPNQEEEEEGYAHLGGWRRAVIVRSGRFLSRSLLFVVGFYWITETHKDSANTKENSKTEGTHQLEEQEKPGAIVSNHVSYLDILYHMSSSFPSFVAKRSVAKIPLVGLISKCLGCVYVQRESKSSDFKGVAGVVTDRVREAHRNGSAPMMLLFPEGTTTNGDYLLSFKTGAFLAGAPVVPVILRYPYQRFSPAWDSITGVRHVVFLLCQFVNHMEVMHLPTYYPSQQEKDDPKLYANNVRRLMATEGNLIMSDIGLAEKRIYHAALNGNNRLPSVLHQKDD >KJB63703 pep chromosome:Graimondii2_0_v6:10:884161:887148:-1 gene:B456_010G011800 transcript:KJB63703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELKDLNSKPAKIQQPDPTHDDTSSKDDRPLLKPDSSSTAAANIHELEKKFAAYVRNDVYGTMGRGELPLKEKIMLGISLVTLLPLRIVFGMSLVVVYYMICRICTMFSAPNQEEEEEGYAHLGGWRRAVIVRSGRFLSRSLLFVVGFYWITETHKDSANTKENSKTEGTHQLEEQEKPGAIVSNHVSYLDILYHMSSSFPSFVAKRSVAKIPLVGLISKCLGCVYVQRESKSSDFKGVAGVVTDRVREAHRNGSAPMMLLFPEGTTTNGDYLLSFKTGAFLAGAPVVPVILRYPYQRFSPAWDSITGVRHVVFLLCQFVNHMEVMHLPTYYPSQQEKDDPKLYANNVRRLMATEGNLIMSDIGLAEKRIYHAALNGNNRLPSVLHQKDD >KJB63702 pep chromosome:Graimondii2_0_v6:10:883665:887370:-1 gene:B456_010G011800 transcript:KJB63702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELKDLNSKPAKIQQPDPTHDDTSSKDDRPLLKPDSSSTAAANIHELEKKFAAYVRNDVYGTMGRGELPLKEKIMLGISLVTLLPLRIVFGMSLVVVYYMICRICTMFSAPNQEEEEEGYAHLGGWRRAVIVRSGRFLSRSLLFVVGFYWITETHKDSANTKENSKTEGTHQLEEQEKPGAIVSNHVSYLDILYHMSSSFPSFVAKRSVAKIPLVGLISKCLGCVYVQRESKSSDFKGVAGVVTDRVREAHRNGSAPMMLLFPEGTTTNGDYLLSFKTGAFLAGAPVVPVILRYPYQRFSPAWDSITGVRHVVFLLCQFVNHMEVMHLPTYYPSQQEKDDPKLYANNVRRLMATEGNLIMSDIGLAEKRIYHAALNGNNRLPSVLHQKDD >KJB63701 pep chromosome:Graimondii2_0_v6:10:883665:887550:-1 gene:B456_010G011800 transcript:KJB63701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELKDLNSKPAKIQQPDPTHDDTSSKDDRPLLKPDSSSTAAANIHELEKKFAAYVRNDVYGTMGRGELPLKEKIMLGISLVTLLPLRIVFGMSLVVVYYMICRICTMFSAPNQEEEEEGYAHLGGWRRAVIVRSGRFLSRSLLFVVGFYWITETHKDSANTKENSKTEGTHQLEEQEKPGAIVSNHVSYLDILYHMSSSFPSFVAKRSVAKIPLVGLISKCLGCVYVQRESKSSDFKGVAGVVTDRVREAHRNGSAPMMLLFPEGTTTNGDYLLSFKTGAFLAGAPVVPVILRYPYQRFSPAWDSITGVRHVVFLLCQFVNHMEVMHLPTYYPSQQEKDDPKLYANNVRRLMATEGNLIMSDIGLAEKRIYHAALNAAIDPGS >KJB68463 pep chromosome:Graimondii2_0_v6:10:61549612:61553944:1 gene:B456_010G246900 transcript:KJB68463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQLICTGCRSILLYPRGATSVCCALCNTITPPGMEMAQLICGGCRTLLMYTRGAASIRCSCCHTINVAPASNQISHITCGHCRTTLMYPYGAPSVKCAVCQYVTNVGMGNVRVPLPVNRPNGKAATGSMATPSASPSQTVVVENPMSVDESGKLVSNVVVGITTGKK >KJB68468 pep chromosome:Graimondii2_0_v6:10:61549842:61553880:1 gene:B456_010G246900 transcript:KJB68468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQLICTGCRSILLYPRGATSVCCALCNTITPPGMEMAQLICGGCRTLLMYTRGAASIRCSCCHTINVAPASNQISHITCGHCRTTLMYPYGAPSVKCAVCQYVTNVGMGNVRVPLPVNRPNGKAATGSMATPSASPSQTVVVENPMSVDESGKLVSNVVVGITTGKK >KJB68467 pep chromosome:Graimondii2_0_v6:10:61549673:61553880:1 gene:B456_010G246900 transcript:KJB68467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQLICTGCRSILLYPRGATSVCCALCNTITPPGMEMAQLICGGCRTLLMYTRGAASIRCSCCHTINVAPASNQISHITCGHCRTTLMYPYGAPSVKCAVCQYVTNVGMGNVRVPLPVNRPNGKAATGSMATPSASPSQTVVVENPMSVDESGKLVSNVVVGITTGKK >KJB68464 pep chromosome:Graimondii2_0_v6:10:61549673:61553880:1 gene:B456_010G246900 transcript:KJB68464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQLICTGCRSILLYPRGATSVCCALCNTITPPGMEMAQLICGGCRTLLMYTRGAASIRCSCCHTINVAPACLLSFSEHGVASNQISHITCGHCRTTLMYPYGAPSVKCAVCQYVTNVGMGNVRVPLPVNRPNGKAATGSMATPSASPSQTVVVENPMSVDESGKLVSNVVVGITTGKK >KJB68462 pep chromosome:Graimondii2_0_v6:10:61549673:61553880:1 gene:B456_010G246900 transcript:KJB68462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQLICTGCRSILLYPRGATSVCCALCNTITPPGMEMAQLICGGCRTLLMYTRGAASIRCSCCHTINVAPASNQISHITCGHCRTTLMYPYGAPSVKCAVCQYVTNVGMGNVRVPLPVNRPNGKAATGSMATPSASPSQTVVVENPMSVDESGKLVSNVVVGITTGKK >KJB68469 pep chromosome:Graimondii2_0_v6:10:61550564:61553880:1 gene:B456_010G246900 transcript:KJB68469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADMQSQLICTGCRSILLYPRGATSVCCALCNTITPPGMEMAQLICGGCRTLLMYTRGAASIRCSCCHTINVAPASNQISHITCGHCRTTLMYPYGAPSVKCAVCQYVTNVGMGNVRVPLPVNRPNGKAATGSMATPSASPSQTVVVENPMSVDESGKLVSNVVVGITTGKK >KJB68465 pep chromosome:Graimondii2_0_v6:10:61549673:61553880:1 gene:B456_010G246900 transcript:KJB68465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQISHITCGHCRTTLMYPYGAPSVKCAVCQYVTNVGMGNVRVPLPVNRPNGKAATGSMATPSASPSQTVVVENPMSVDESGKLVSNVVVGITTGKK >KJB68466 pep chromosome:Graimondii2_0_v6:10:61550356:61553880:1 gene:B456_010G246900 transcript:KJB68466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAQLICGGCRTLLMYTRGAASIRCSCCHTINVAPASNQISHITCGHCRTTLMYPYGAPSVKCAVCQYVTNVGMGNVRVPLPVNRPNGKAATGSMATPSASPSQTVVVENPMSVDESGKLVSNVVVGITTGKK >KJB66621 pep chromosome:Graimondii2_0_v6:10:38837602:38841775:-1 gene:B456_010G147500 transcript:KJB66621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPVSSKIGVSNPYRSWKSATDPSPGNYSMGVDPNGGQQIVIWGKEGRRWRSGQWNLQFFIGIPYMSNNVSSFHGFKISLPDETGTMYITYKPPTQDLFRFVISWEGKERQLKWDDDKKKWLPLQSEPDPDNKCELYNYCGNYATCDRSNSRRICNCLEGFKPKFKDQWDQENWSAGCERKIQLQCQSTNRTSRENGKPDGFKKLKCTKLPDLATLLPSEENTEACGKRCLENCQCKAYAFVTGIRCMVWTGDLIDMQHFQQFQQAGNFLFFYRLHHSELDGGRKISNLVIVIICVVVASFLVASLWLLWRYKKKVKVSSMPCCKDKDVAIFDVSKSKRKEFSADLSGPSDILIDENQDNGPELPIFNFGVVAAATKNFYEGNKLGQGGFGAVYKGELPGGKEIAVKRLSGNSGQGLEEFKTEIILIARLQHRNLVRLLGCSIQGEEKLLIYEYMPNKSLDNLLFDATKKAELGWRSRLDIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDAEMNPKISDFGMARMFQGNQNEANTVRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVSGQRNTTFRSSDHTSLLSYAWRLWSEDKAMDLVDPSIRDTCSPNEVLKCIHIGMLCVQDSAVHRPTMAAVVLLLESETPTLPMPTKPTYTFLRSAIEEEYIGDAQEIVSSNDLTVTLQY >KJB66622 pep chromosome:Graimondii2_0_v6:10:38838095:38841007:-1 gene:B456_010G147500 transcript:KJB66622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPVSSKIGVSNPYRSWKSATDPSPGNYSMGVDPNGGQQIVIWGKEGRRWRSGQWNLQFFIGIPYMSNNVSSFHGFKISLPDETGTMYITYKPPTQDLFRFVISWEGKERQLKWDDDKKKWLPLQSEPDPDNKCELYNYCGNYATCDRSNSRRICNCLEGFKPKFKDQWDQENWSAGCERKIQLQCQSTNRTSRENGKPDGFKKLKCTKLPDLATLLPSEENTEACGKRCLENCQCKAYAFVTGIRCMVWTGDLIDMQHFQQFQQAGNFLFFYRLHHSELDGGRKISNLVIVIICVVVASFLVASLWLLWRYKKKVKVSSMPCCKDKDVAIFDVSKSKRKEFSADLSGPSDILIDENQDNGPELPIFNFGVVAAATKNFYEGNKLGQGGFGAVYKGELPGGKEIAVKRLSGNSGQGLEEFKTEIILIARLQHRNLVRLLGCSIQGEEKLLIYEYMPNKSLDNLLFDATKKAELGWRSRLDIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDAEMNPKISDFGMARMFQGNQNEANTVRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVSGQRNTTFRSSDHTSLLSYAWRLWSEDKAMDLVDPSIRDTCSPNEVLKCIHIGMLCVQDSAVHRPTMAAVVLLLESETPTLPMPTKPTYTFLRSAIEEEYIGDAQEIVSSNDLTVTVIVGR >KJB64472 pep chromosome:Graimondii2_0_v6:10:5699910:5703975:1 gene:B456_010G050400 transcript:KJB64472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKSWLWKRKSSERSPGETESSGSISSQSERFSDDQEAFKASSPNDCTKSPEVSSKASAVPEEVNDSIRSLTEKLSAALVNVSAKEDLVKQHAKVAEEAIAGWEKAENEVVVLKQKLETTVQQNSALEDRVTHLDGALKECVRQLRQAREEQEQKINEAVAKTTRDWETTQFELESQLLELQNKAESVKSEPPPPFSPDLLHKIEALKKENSALKLELSSQLEELQIRTIERDLSTQAAETASKQHLESIKRATKLEAECRRLKAIGSKSSFTNDCKSPAASSIYVESFMGSQSDSGERLHVVDTDTQKMSGLEANKGEPSCSDSWASALIAELDQFKNEKVINRNVPSSSIEIDLMDDFLEMEQLAALPDTKNENQCLESKATVKQSNDGDSSLKAELEAMILRTTELEEKLEKIEAEKAELEIALAKSKESLEASELELRDSELKLEELQRELSKANEAKQHLESQLSIMETDAETMSAKIDALGAEIEKERALSVQISADANESKQLLESQLVSIEAEARMMSAKVGSLETEVEKEKALSAQITVKCQELEEELSRTRQEAELQQTANSNVEVKIKQEDLAVAAGKLAECQKTIASLGQQLKSLATLEDFLIDTTSIPEFSRGVSLITKSSEPWKLHSNETYSPKADPESKRVGGDDSSPQGNKNDGNGNTPPSSSSSSIVSSTHASSEKNRNGFAKFFTRSKNGIQIEI >KJB64470 pep chromosome:Graimondii2_0_v6:10:5699692:5703975:1 gene:B456_010G050400 transcript:KJB64470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKSWLWKRKSSERSPGETESSGSISSQSERFSDDQEAFKASSPNDCTKSPEVSSKASAVPEEVNDSIRSLTEKLSAALVNVSAKEDLVKQHAKVAEEAIAGWEKAENEVVVLKQKLETTVQQNSALEDRVTHLDGALKECVRQLRQAREEQEQKINEAVAKTTRDWETTQFELESQLLELQNKAESVKSEPPPPFSPDLLHKIEALKKENSALKLELSSQLEELQIRTIERDLSTQAAETASKQHLESIKRATKLEAECRRLKAIGSKSSFTNDCKSPAASSIYVESFMGSQSDSGERLHVVDTDTQKMSGLEANKGEPSCSDSWASALIAELDQFKNEKVINRNVPSSSIEIDLMDDFLEMEQLAALPDTKNENQCLESKATVKQSNDGDSSLKAELEAMILRTTELEEKLEKIEAEKAELEIALAKSKESLEASELELRDSELKLEELQRELSKANEAKQHLESQLSIMETDAETMSAKIDALGAEIEKERALSVQISADANESKQLLESQLVSIEAEARMMSAKVGSLETEVEKEKALSAQITVKCQELEEELSRTRQEAELQQTANSNVEVKIKQEDLAVAAGKLAECQKTIASLGQQLKSLATLEDFLIDTTSIPEFSRGVSLITKSSEPWKLHSNETYSPKADPESKRVGGDDSSPQGNKNDGNGNTPPSSSSSSIVSSTHASSEKNRNGFAKFFTRSKNGIQIEI >KJB64468 pep chromosome:Graimondii2_0_v6:10:5698878:5704021:1 gene:B456_010G050400 transcript:KJB64468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKSWLWKRKSSERSPGETESSGSISSQSERFSDDQEAFKASSPNDCTKSPEVSSKASAVPEEVNDSIRSLTEKLSAALVNVSAKEDLVKQHAKVAEEAIAGWEKAENEVVVLKQKLETTVQQNSALEDRVTHLDGALKECVRQLRQAREEQEQKINEAVAKTTRDWETTQFELESQLLELQNKAESVKSEPPPPFSPDLLHKIEALKKENSALKLELSSQLEELQIRTIERDLSTQAAETASKQHLESIKRATKLEAECRRLKAIGSKSSFTNDCKSPAASSIYVESFMGSQSDSGERLHVVDTDTQKMSGLEANKGEPSCSDSWASALIAELDQFKNEKVINRNVPSSSIEIDLMDDFLEMEQLAALPDTKNENQCLESKATVKQSNDGDSSLKAELEAMILRTTELEEKLEKIEAEKAELEIALAKSKESLEASELELRDSELKLEELQRELSKANEAKQHLESQLSIMETDAETMSAKIDALGAEIEKERALSVQISADANESKQLLESQLVSIEAEARMMSAKVGSLETEVEKEKALSAQITVKCQELEEELSRTRQEAELQQTANSNVEVKIKQEDLAVAAGKLAECQKTIASLGQQLKSLATLEDFLIDTTSIPEFSRGVSLITKSSEPWKLHSNETYSPKADPESKRVGGDDSSPQGNKNDGNGNTPPSSSSSSIVSSTHASSEKNRNGFAKFFTRSKNGIQIEI >KJB64469 pep chromosome:Graimondii2_0_v6:10:5699822:5703975:1 gene:B456_010G050400 transcript:KJB64469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKSWLWKRKSSERSPGETESSGSISSQSERFSDDQEAFKASSPNDCTKSPEVSSKASAVPEEVNDSIRSLTEKLSAALVNVSAKEDLVKQHAKVAEEAIAGWEKAENEVVVLKQKLETTVQQNSALEDRVTHLDGALKECVRQLRQAREEQEQKINEAVAKTTRDWETTQFELESQLLELQNKAESVKSEPPPPFSPDLLHKIEALKKENSALKLELSSQLEELQIRTIERDLSTQAAETASKQHLESIKRATKLEAECRRLKAIGSKSSFTNDCKSPAASSIYVESFMGSQSDSGERLHVVDTDTQKMSGLEANKGEPSCSDSWASALIAELDQFKNEKVINRNVPSSSIEIDLMDDFLEMEQLAALPDTKNENQCLESKATVKQSNDGDSSLKAELEAMILRTTELEEKLEKIEAEKAELEIALAKSKESLEASELELRDSELKLEELQRELSKANEAKQHLESQLSIMETDAETMSAKIDALGAEIEKERALSVQISADANESKQLLESQLVSIEAEARMMSAKVGSLETEVEKEKALSAQITVKCQELEEELSRTRQEAELQQTANSNVEVKIKQEDLAVAAGKLAECQKTIASLGQQLKSLATLEDFLIDTTSIPEFSRGVSLITKSSEPWKLHSNETYSPKADPESKRVGGDDSSPQGNKNDGNGNTPPSSSSSSIVSSTHASSEKNRNGFAKFFTRSKNGIQIEI >KJB64471 pep chromosome:Graimondii2_0_v6:10:5699632:5704021:1 gene:B456_010G050400 transcript:KJB64471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKSWLWKRKSSERSPGETESSGSISSQSERFSDDQEAFKASSPNDCTKSPEVSSKASAVPEEVNDSIRSLTEKLSAALVNVSAKEDLVKQHAKVAEEAIAGWEKAENEVVVLKQKLETTVQQNSALEDRVTHLDGALKECVRQLRQAREEQEQKINEAVAKTTRDWETTQFELESQLLELQNKAESVKSEPPPPFSPDLLHKIEALKKENSALKLELSSQLEELQIRTIERDLSTQAAETASKQHLESIKRATKLEAECRRLKAIGSKSSFTNDCKSPAASSIYVESFMGSQSDSGERLHVVDTDTQKMSGLEANKGEPSCSDSWASALIAELDQFKNEKVINRNVPSSSIEIDLMDDFLEMEQLAALPDTKNENQCLESKATVKQSNDGDSSLKAELEAMILRTTELEEKLEKIEAEKAELEIALAKSKESLEASELELRDSELKLEELQRELSKANEAKQHLESQLSIMETDAETMSAKIDALGAEIEKERALSVQISADANESKQLLESQLVSIEAEARMMSAKVGSLETEVEKEKALSAQITVKCQELEEELSRTRQEAELQQTANSNVEVKIKQEDLAVAAGKLAECQKTIASLGQQLKSLATLEDFLIDTTSIPEFSRGVSLITKSSEPWKLHSNETYSPKADPESKRVGGDDSSPQGNKNDGNGNTPPSSSSSSIVSSTHASSEKNRNGFAKFFTRSKNGIQIEI >KJB66495 pep chromosome:Graimondii2_0_v6:10:36660649:36667908:1 gene:B456_010G143200 transcript:KJB66495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MGGNGEEILMLEAPPETARPWASASAAQTLDALPYIDDDYGNPMVKEEVDRLVEEEMRKSIKKPADFLKDLPPLPSFNFQHHPMIGKEYERVRAGRPPVTLDFSSRYQVESPPMNKRNDETAWKQALQRAQRSLQHQVIRLENLELMLKYGPDVWRQSNQRLEGFLASRMQKLAQQQNEKIETVNRERKFHQQNSAYELNALSTQWKDLCLKNIEIHAACSHIEKHIAELRREAAERGWNLEANLENGALSPST >KJB66497 pep chromosome:Graimondii2_0_v6:10:36660649:36668022:1 gene:B456_010G143200 transcript:KJB66497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MGGNGEEILMLEAPPETARPWASASAAQTLDALPYIDDDYGNPMVKEEVDRLVEEEMRKSIKKPADFLKDLPPLPSFNFQHHPMIGKEYERVRAGRPPVTLDFSSRYQVESPPMNKRNDETAWKQALQRAQRSLQHQVIRLENLELMLKYGPDVWRQSNQRLEGFLARMQKLAQQQNEKIETVNRERKFHQQNSAYELNALSTQWKDLCLKNIEIHAACSHIEKHIAELRREAAERGWNLEANLENGALSPST >KJB66496 pep chromosome:Graimondii2_0_v6:10:36660649:36667908:1 gene:B456_010G143200 transcript:KJB66496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MGGNGEEILMLEAPPETARPWASASAAQTLDALPYIDDDYGNPMVKEEVDRLVEEEMRKSIKKPADFLKDLPPLPSFNFQHHPMIGKEYERVRAGRPPVTLDFSSRYQVESPPMNKRNDETAWKQALQRAQRSLQHQVIRLENLELMLKYGPDVWRQSNQRLEGFLARMQKLAQQQNEKIETVNRERKFHQQNSAYELNALSTQWKDLCLKNIEIHAACSHIEKHIAELRREAAERGWNLEANLENGALSPST >KJB66494 pep chromosome:Graimondii2_0_v6:10:36660649:36667908:1 gene:B456_010G143200 transcript:KJB66494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MGGNGEEILMLEAPPETARPWASASAAQTLDALPYIDDDYGNPMVKEEVDRLVEEEMRKSIKKPADFLKDLPPLPSFNFQHHPMIGKEYERVRAGRPPVTLDFSSRYQVESPLENLELMLKYGPDVWRQSNQRLEGFLARMQKLAQQQNEKIETVNRERKFHQQNSAYELNALSTQWKDLCLKNIEIHAACSHIEKHIAELRREAAERGWNLEANLENGALSPST >KJB66493 pep chromosome:Graimondii2_0_v6:10:36660582:36668018:1 gene:B456_010G143200 transcript:KJB66493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MGGNGEEILMLEAPPETARPWASASAAQTLDALPYIDDDYGNPMVKEEVDRLVEEEMRKSIKKPADFLKDLPPLPSFNFQHHPMIGKEYERVRAGRPPVTLDFSSRYQVESPPMNKRNDETAWKQALQRAQRSLQHQVIRLENLELMLKYGPDVWRQSNQRLEGFLARMQKLAQQQNEKIETVNRERKFHQQNSAYELNALSTQWKDLCLKNIEIHAACSHIEKHIAELRREAAERGWNLEANLENGALSPST >KJB65145 pep chromosome:Graimondii2_0_v6:10:12136155:12142102:-1 gene:B456_010G082600 transcript:KJB65145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITNRVKQKQIYLLLQRQLLEVMTNHQCFPVVALSVKVLDSIPEYQQRMENSNLMILKKIKKRIEDKAVSKQFIHAESLWSHRKDSSQMPGTIQVSVLDFKGLHSSSSSSSSSSSKVSIKVSKGKIEYQTWDKGEFSFPLTTLRDNLIITIQDAEGNEISHAGLETRLVVEKGVWDDIFHLEGGGHVHMKLQFFLNEEERQRIRIMRESALKKKHEELCNSGHGSPKNASVSFSEASQLSVPLNNPKDAKFDIDNRDRSYSIQKQKSTDSVPSELEKHNNSKNQHEELRDSGHGSPNKASVSYSEVSGSKESSFRSGLLANEANQVSVPLNNAKDANFDITSRNRSSIQKQKSTDSVPSELEKRNNSKKPRPAEKGHSNVKNMINAFEGSLYQDVRPSIKPPPKISQTRKIGANSFLVNSHLNEAETEKIKPPKVTLGTINREKVQTFGSVEPIYGAAPSKESEQLKDKFKVKQRESVVKEEKKYSKDFKRASITEKGEFSQRIFDKYSKGNQSWNLFSAKQHSRRKSVTKEGREENFQKDPREAEGTSNGKRKSVAIWSNHHCSIGSSGLWIFPGEAKCSCITTGAKQTMDQMGGFCDEANTHQINLSSCDPKSTGEANDADAGTAVVENEDGKTSEKLVRRVETSMNPEESIGPFGKVIKVVVMAGFATLVLLTRTYRYSCFV >KJB65146 pep chromosome:Graimondii2_0_v6:10:12138057:12142102:-1 gene:B456_010G082600 transcript:KJB65146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITNRVKQKQIYLLLQRQLLEVMTNHQCFPVVALSVKVLDSIPEYQQRMENSNLMILKKIKKRIEDKAVSKQFIHAESLWSHRKDSSQMPGTIQVSVLDFKGLHSSSSSSSSSSSKVSIKVSKGKIEYQTWDKGEFSFPLTTLRDNLIITIQDAEGNEISHAGLETRLVVEKGVWDDIFHLEGGGHVHMKLQFFLNEEERQRIRIMRESALKKKHEELCNSGHGSPKNASVSFSEASQLSVPLNNPKDAKFDIDNRDRSYSIQKQKSTDSVPSELEKHNNSKNQHEELRDSGHGSPNKASVSYSEVSGSKESSFRSGLLANEANQVSVPLNNAKDANFDITSRNRSSIQKQKSTDSVPSELEKRNNSKKPRPAEKGHSNVKNMINAFEGSLYQDVRPSIKPPPKISQTRKIGANSFLVNSHLNEAETEKIKPPKVTLGTINREKVQTFGSVEPIYGAAPSKESEQLKDKFKVKQRESVVKEEKKYSKDFKRASITEKGEFSQRIFDKYSKGNQSWNLFSAKQHSRRKSVTKEGREENFQKDPREAEGTSNGKRKSVAIWSNHHCSIGSSGLWIFPGEAKCSCITTGAKQTMDQMGGFCDEANTHQINLSSCDPKSTGEVCKISHIFLRFY >KJB65143 pep chromosome:Graimondii2_0_v6:10:12136155:12142102:-1 gene:B456_010G082600 transcript:KJB65143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITNRVKQKQIYLLLQRQLLEVMTNHQCFPVVALSVKVLDSIPEYQQRMENSNLMILKKIKKRIEDKAVSKQFIHAESLWSHRKDSSQMPGTIQVSVLDFKGLHSSSSSSSSSSSKVSIKVSKGKIEYQTWDKGEFSFPLTTLRDNLIITIQDAEGNEISHAGLETRLVVEKGVWDDIFHLEGGGHVHMKLQFFLNEEERQRIRIMRESALKKKHEELCNSGHGSPKNASVSFSEASQLSVPLNNPKDAKFDIDNRDRSYSIQKQKSTDSVPSELEKHNNSKNQHEELRDSGHGSPNKASVSYSEVSGSKESSFRSGLLANEANQVSVPLNNAKDANFDITSRNRSSIQKQKSTDSVPSELEKRNNSKKPRPAEKGHSNVKNMINAFEGMEPIYGAAPSKESEQLKDKFKVKQRESVVKEEKKYSKDFKRASITEKGEFSQRIFDKYSKGNQSWNLFSAKQHSRRKSVTKEGREENFQKDPREAEGTSNGKRKSVAIWSNHHCSIGSSGLWIFPGEAKCSCITTGAKQTMDQMGGFCDEANTHQINLSSCDPKSTGEANDADAGTAVVENEDGKTSEKLVRRVETSMNPEESIGPFGKVIKVVVMAGFATLVLLTRTYRYSCFV >KJB65139 pep chromosome:Graimondii2_0_v6:10:12136155:12141125:-1 gene:B456_010G082600 transcript:KJB65139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQFFLNEEERQRIRIMRESALKKKHEELCNSGHGSPKNASVSFSEASQLSVPLNNPKDAKFDIDNRDRSYSIQKQKSTDSVPSELEKHNNSKNQHEELRDSGHGSPNKASVSYSEVSGSKESSFRSGLLANEANQVSVPLNNAKDANFDITSRNRSSIQKQKSTDSVPSELEKRNNSKKPRPAEKGHSNVKNMINAFEGSLYQDVRPSIKPPPKISQTRKIGANSFLVNSHLNEAETEKIKPPKVTLGTINREKVQTFGSVEPIYGAAPSKESEQLKDKFKVKQRESVVKEEKKYSKDFKRASITEKGEFSQRIFDKYSKGNQSWNLFSAKQHSRRKSVTKEGREENFQKDPREAEGTSNGKRKSVAIWSNHHCSIGSSGLWIFPGEAKCSCITTGAKQTMDQMGGFCDEANTHQINLSSCDPKSTGEANDADAGTAVVENEDGKTSEKLVRRVETSMNPEESIGPFGKVIKVVVMAGFATLVLLTRTYRYSCFV >KJB65142 pep chromosome:Graimondii2_0_v6:10:12136155:12141476:-1 gene:B456_010G082600 transcript:KJB65142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTIQVSVLDFKGLHSSSSSSSSSSSKVSIKVSKGKIEYQTWDKGEFSFPLTTLRDNLIITIQDAEGNEISHAGLETRLVVEKGVWDDIFHLEGGGHVHMKLQFFLNEEERQRIRIMRESALKKKHEELCNSGHGSPKNASVSFSEASQLSVPLNNPKDAKFDIDNRDRSYSIQKQKSTDSVPSELEKHNNSKNQHEELRDSGHGSPNKASVSYSEVSGSKESSFRSGLLANEANQVSVPLNNAKDANFDITSRNRSSIQKQKSTDSVPSELEKRNNSKKPRPAEKGHSNVKNMINAFEGSLYQDVRPSIKPPPKISQTRKIGANSFLVNSHLNEAETEKIKPPKVTLGTINREKVQTFGSVEPIYGAAPSKESEQLKDKFKVKQRESVVKEEKKYSKDFKRASITEKGEFSQRIFDKYSKGNQSWNLFSAKQHSRRKSVTKEGREENFQKDPREAEGTSNGKRKSVAIWSNHHCSIGSSGLWIFPGEAKCSCITTGAKQTMDQMGGFCDEANTHQINLSSCDPKSTGEANDADAGTAVVENEDGKTSEKLVRRVETSMNPEESIGPFGKVIKVVVMAGFATLVLLTRTYR >KJB65144 pep chromosome:Graimondii2_0_v6:10:12136925:12141894:-1 gene:B456_010G082600 transcript:KJB65144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITNRVKQKQIYLLLQRQLLEVMTNHQCFPVVALSVKVLDSIPEYQQRMENSNLMILKKIKKRIEDKAVSKQFIHAESLWSHRKDSSQMPGTIQVSVLDFKGLHSSSSSSSSSSSKVSIKVSKGKIEYQTWDKGEFSFPLTTLRDNLIITIQDAEGNEISHAGLETRLVVEKGVWDDIFHLEGGGHVHMKLQFFLNEEERQRIRIMRESALKKKHEELCNSGHGSPKNASVSFSEASQLSVPLNNPKDAKFDIDNRDRSYSIQKQKSTDSVPSELEKRNNSKKPRPAEKGHSNVKNMINAFEGSLYQDVRPSIKPPPKISQTRKIGANSFLVNSHLNEAETEKIKPPKVTLGTINREKVQTFGSVEPIYGAAPSKESEQLKDKFKVKQRESVVKEEKKYSKDFKRASITEKGEFSQRIFDKYSKGNQSWNLFSAKQHSRRKSVTKEGREENFQKDPREAEGTSNGKRKSVAIWSNHHCSIGSSGLWIFPGEAKCSCITTGAKQTMDQMGGFCDEANTHQINLSSCDPKSTGEANDADAGTAVVENEDGKTSEKLVRRVETSMNPEESIGPFGKVIKVVVMAGFATLVLLTRTYRYSCFV >KJB65141 pep chromosome:Graimondii2_0_v6:10:12136155:12142102:-1 gene:B456_010G082600 transcript:KJB65141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTIQVSVLDFKGLHSSSSSSSSSSSKVSIKVSKGKIEYQTWDKGEFSFPLTTLRDNLIITIQDAEGNEISHAGLETRLVVEKGVWDDIFHLEGGGHVHMKLQFFLNEEERQRIRIMRESALKKKHEELCNSGHGSPKNASVSFSEASQLSVPLNNPKDAKFDIDNRDRSYSIQKQKSTDSVPSELEKRNNSKKPRPAEKGHSNVKNMINAFEGSLYQDVRPSIKPPPKISQTRKIGANSFLVNSHLNEAETEKIKPPKVTLGTINREKVQTFGSVEPIYGAAPSKESEQLKDKFKVKQRESVVKEEKKYSKDFKRASITEKGEFSQRIFDKYSKGNQSWNLFSAKQHSRRKSVTKEGREENFQKDPREAEGTSNGKRKSVAIWSNHHCSIGSSGLWIFPGEAKCSCITTGAKQTMDQMGGFCDEANTHQINLSSCDPKSTGEANDADAGTAVVENEDGKTSEKLVRRVETSMNPEESIGPFGKVIKVVVMAGFATLVLLTRTYR >KJB65140 pep chromosome:Graimondii2_0_v6:10:12136155:12141274:-1 gene:B456_010G082600 transcript:KJB65140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTIQVSVLDFKGLHSSSSSSSSSSSKVSIKVSKGKIEYQTWDKGEFSFPLTTLRDNLIITIQDAEGNEISHAGLETRLVVEKGVWDDIFHLEGGGHVHMKLQFFLNEEERQRIRIMRESALKKKHEELCNSGHGSPKNASVSFSEASQLSVPLNNPKDAKFDIDNRDRSYSIQKQKSTDSVPSELEKHNNSKNQHEELRDSGHGSPNKASVSYSEVSGSKESSFRSGLLANEANQVSVPLNNAKDANFDITSRNRSSIQKQKSTDSVPSELEKRNNSKKPRPAEKGHSNVKNMINAFEGSLYQDVRPSIKPPPKISQTRKIGANSFLVNSHLNEAETEKIKPPKVTLGTINREKVQTFGSVEPIYGAAPSKESEQLKDKFKVKQRESVVKEEKKYSKDFKRASITEKGEFSQRIFDKYSKGNQSWNLFSAKQHSRRKSVTKEGREENFQKDPREAEGTSNGKRKSVAIWSNHHCSIGSSGLWIFPGEAKCSCITTGAKQTMDQMGGFCDEANTHQINLSSCDPKSTGEANDADAGTAVVENEDGKTSEKLVRRVETSMNPEESIGPFGKVIKVVVMAGFATLVLLTRTYRYSCFV >KJB67404 pep chromosome:Graimondii2_0_v6:10:54214749:54215463:1 gene:B456_010G189300 transcript:KJB67404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRWFLHSACHVLGYLHESDDMQYCKNMASEQSGGVTQSSKVSSNGELTVVKAKMHPGSGFQMPLHYPRYAKADYEKMEEWKVDMLLREYGLSLEGNLSLDEKRAYAMGTFLWPHQY >KJB66598 pep chromosome:Graimondii2_0_v6:10:38496627:38500890:1 gene:B456_010G146100 transcript:KJB66598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSILLLLFYLIIPLFLAIHGLGADPFFQHSCISTAGNYSANSTYQANLNTIFSQLTSQSDFNYGFYNLSAGRDPNQVNAIALCRGDRNQEDCNSCLNESISELSQRCPFSKEVVGWSEFCTLRYAHRTLFGDMETSPGSCLLNTQNVTNVDEFNQALDNLLNNLSSRAAAEGPLRKYAADNTTVGVFQRVYALVQCSPDLSEQECGDCLSVAKEGIGSCCFGKRGCRILKPSCLLRYESGPFYQTPLPLPSPPPSVASPPPASPSTEGNGNNTTRIIIIVVASVVGIPILIASSICIIRRARKTQQLLRTDDDEVIRADSLQFDFATVRAATNNFSDANKLGQGGFGAVYKGQLPNGEEVAVKRLARDSGQGDLEFKNEVLLVAKLQHRNLVRLLGFCLEGHERLLIYEFVPNTSLDHFLFDRVKRAQLDWERRYKIIGGVARGILYLHEDSRLRIVHRDLKASNVLLDAEMIPKIADFGMARLVVRDETQGNTSRIVGTYGYMAPEYAMHGQFSVKSDVYSYGVLVLEIVSGQRNNCFRNGETVEDLISCAWKNWRQGTAMNIVDPTLRDGSRNEMMRCIHIGLLCVQENVGDRPTMATVILMLNSFSVTLPMPSQPAFFMHTNIESDMSSSLVSESCQSRSEELPLSQNEASITDPYPR >KJB66597 pep chromosome:Graimondii2_0_v6:10:38496283:38500890:1 gene:B456_010G146100 transcript:KJB66597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSPGSCLLNTQNVTNVDEFNQALDNLLNNLSSRAAAEGPLRKYAADNTTVGVFQRVYALVQCSPDLSEQECGDCLSVAKEGIGSCCFGKRGCRILKPSCLLRYESGPFYQTPLPLPSPPPSVASPPPASPSTEGNGNNTTRIIIIVVASVVGIPILIASSICIIRRARKTQQLLRTDDDEVIRADSLQFDFATVRAATNNFSDANKLGQGGFGAVYKGQLPNGEEVAVKRLARDSGQGDLEFKNEVLLVAKLQHRNLVRLLGFCLEGHERLLIYEFVPNTSLDHFLFDRVKRAQLDWERRYKIIGGVARGILYLHEDSRLRIVHRDLKASNVLLDAEMIPKIADFGMARLVVRDETQGNTSRIVGTYGYMAPEYAMHGQFSVKSDVYSYGVLVLEIVSGQRNNCFRNGETVEDLISCAWKNWRQGTAMNIVDPTLRDGSRNEMMRCIHIGLLCVQENVGDRPTMATVILMLNSFSVTLPMPSQPAFFMHTNIESDMSSSLVSESCQSRSEELPLSQNEASITDPYPR >KJB64212 pep chromosome:Graimondii2_0_v6:10:3478834:3480353:1 gene:B456_010G037400 transcript:KJB64212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVGMACLGLVLCMVVVPSLATVYNVGDASGWATGVDYSSWASDKTFKVGDSLVFNYPTSHTVEEVSSSDYSACTVGKAISTDSTGATTINLKTAGTHYFICGVAGHCENGMKLAVKVESSSSSSSTDKPSTTSPSTTTTTKIPDSSSSWSLSPVLAFVTTWVALCVMMVVSQAFGG >KJB65907 pep chromosome:Graimondii2_0_v6:10:23673720:23676313:1 gene:B456_010G118600 transcript:KJB65907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCSGLNCSAPRRIAARLFSALKRRKVKGRVNLGQRKEDDDDVAPPNHVTRRPDSSSERSGREANYNVVIGCFLLRLVAVTEKELQKMAELRIQIAAVLENVKDELRNKDLFITAKEIESNNGIDEDLESEFNGDLISNKEIFDQPLKCENVPKEEKYLEGMDRLEAELEAELERLQLHLDASKLSSTHPQSTGNSSARSYSMSCGEVIDPTIYGEEEECAQLHCGVPPYELERKLHELLESRQEEQIRELEAALASAQQELVDKEREISWWKDTARLMSIHVQQPSPFGS >KJB65908 pep chromosome:Graimondii2_0_v6:10:23673747:23676205:1 gene:B456_010G118600 transcript:KJB65908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCSGLNCSAPRRIAARLFSALKRRKVKGRVNLGQRKEDDDDVAPPNHVTRRPDSSSERSGREANYNVVIGCFLLRLVAVTEKELQKMAELRIQIAAVLENVKDELRNKDLFITAKEIESNNGIDEDLESEFNGDLISNKEIFDQPLKCENVPKEEKYLEGMDRLEAELEAELERLQLHLDASKLSSTHPQQSTGNSSARSYSMSCGEVIDPTIYGEEEECAQLHCGVPPYELERKLHELLESRQEEQIRELEAALASAQQELVDKEREISWWKDTARLMSIHVQQPSPFGS >KJB63735 pep chromosome:Graimondii2_0_v6:10:995117:997917:1 gene:B456_010G013300 transcript:KJB63735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNECQCFWNLSGCLKLLPAEELEHVELPLTEESSSNLVKRLVYLSDQGTPYGAGDSTDSWQRINDTRFNLFTGHQTMDEREKSFKVNETAVIHCGFYSENGGFKISDEDKSYMQSCKVAVSTCAFGGGDDLYQPIGMSEASLKKVCYVAFWDEITLAAQELQGNKIGDDRFIGKWRIVIVKNLPFVDQRLNGKIPKMLPHRLFPHAKYSIWVDSKSQFRRDPLGVIEALLLRTNSVLAISEHGARSSVYDEAKAVVRKHKATPEEVEVQITQYRKDGLPADKRFNGRKALNEASVIVREHTTLTNMLMCLWFNEVVRFTSRDQLSFPYVLWRLKVVKNINTFPVCTRKDLVNSMGHIRKAKPLTN >KJB63733 pep chromosome:Graimondii2_0_v6:10:994466:997917:1 gene:B456_010G013300 transcript:KJB63733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIFNNNSISISVSDDDPDEQGRMRVRVRRKRKKHGDQRGQTECTQLLIRWFVKYWILLVFLPAAALLIFEATRIGKKPGLAVNSGVNEMKKPGSLGNKEPISKVKELSNLNRLDPTTHVVGGVRERCLKLLPAEELEHVELPLTEESSSNLVKRLVYLSDQGTPYGAGDSTDSWQRINDTRFNLFTGHQTMDEREKSFKVNETAVIHCGFYSENGGFKISDEDKSYMQSCKVAVSTCAFGGGDDLYQPIGMSEASLKKVCYVAFWDEITLAAQELQGNKIGDDRFIGKWRIVIVKNLPFVDQRLNGKIPKYSIWVDSKSQFRRDPLGVIEALLLRTNSVLAISEHGARSSVYDEAKAVVRKHKATPEEVEVQITQYRKDGLPADKRFNGRKALNEASVIVREHTTLTNMLMCLWFNEVVRFTSRDQLSFPYVLWRLKVVKNINTFPVCTRKDLVNSMGHIRKAKPLTN >KJB63734 pep chromosome:Graimondii2_0_v6:10:994466:997917:1 gene:B456_010G013300 transcript:KJB63734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIFNNNSISISVSDDDPDEQGRMRVRVRRKRKKHGDQRGQTECTQLLIRWFVKYWILLVFLPAAALLIFEATRIGKKPGLAVNSGVNEMKKPGSLGNKEPISKVKELSNLNRLDPTTHVVGGVRERCLKLLPAEELEHVELPLTEESSSNLVKRLVYLSDQGTPYGAGDSTDSWQRINDTRFNLFTGHQTMDEREKSFKVNETAVIHCGFYSENGGFKISDEDKSYMQSCKVAVSTCAFGGGDDLYQPIGMSEASLKKVCYVAFWDEITLAAQELQGNKIGDDRFIGKWRIVIVKNLPFVDQRLNGKIPKMLPHRLFPHAKYSIWVDSKSQFRRDPLGVIEALLLRTNSVLAISEHGARSSVYDEAKAVVRKHKATPEEVEVQITQYRKDGLPADKRFNGRKALNEASVIVREHTTLTNMLMCLWFNEVVRFTSRDQLSFPYVLWRLKVVKNINTFPVCTRKDLVNSMGHIRKAKPLTN >KJB66983 pep chromosome:Graimondii2_0_v6:10:48958329:48959030:-1 gene:B456_010G168700 transcript:KJB66983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45040) UniProtKB/Swiss-Prot;Acc:Q93VA3] MFPPLVAAFVALSPICNTPAIAQSVDIQRGATLFGQACIGCHDGGGNIIQPGATLFTKDLERNGVVTEDDIYRITYYGKGRMPGFGESCTPRGQCTFGPRLKEDEIKLLAEFVKLQADQGWPNVASNGD >KJB66981 pep chromosome:Graimondii2_0_v6:10:48958329:48959265:-1 gene:B456_010G168700 transcript:KJB66981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45040) UniProtKB/Swiss-Prot;Acc:Q93VA3] MEILSAVSPCSYVPRCLLVKGNANQAPSKLKHVEQVKIPHFKNMFPPLVAAFVALSPICNTPAIAQSVDIQRGATLFGQACIGCHDGGGNIIQPGATLFTKDLERNGVVTEDDIYRITYYGKGRMPGFGESCTPRGQCTFGPRLKEDEIKLLAEFVKLQADQGWPNVASNGD >KJB66982 pep chromosome:Graimondii2_0_v6:10:48958209:48959181:-1 gene:B456_010G168700 transcript:KJB66982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45040) UniProtKB/Swiss-Prot;Acc:Q93VA3] MFPPLVAAFVALSPICNTPAIAQSVDIQRGATLFGQACIGCHDGGGNIIQPGATLFTKDLERRYIPYHILWQGKNAWVWRELHAEGTMHIWTTFEGR >KJB66980 pep chromosome:Graimondii2_0_v6:10:48958166:48959359:-1 gene:B456_010G168700 transcript:KJB66980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45040) UniProtKB/Swiss-Prot;Acc:Q93VA3] MEILSAVSPCSYVPRCLLVKGNANQAPSKLKHVEQVKIPHFKNMFPPLVAAFVALSPICNTPAIAQSVDIQRGATLFGQACIGCHDGGGNIIQPGATLFTKDLERGLARAARRGDNAHLDHV >KJB63287 pep chromosome:Graimondii2_0_v6:10:19863749:19864473:-1 gene:B456_010G107400 transcript:KJB63287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLFQKRKIVLQMHQRKIYDEECLKIDNSEKYTLNKQLFESISKSLNEAETKEEIDGVDTKYTLNKAVIWVQHNLHHLRFMLFVFIRL >KJB68413 pep chromosome:Graimondii2_0_v6:10:61335391:61337373:-1 gene:B456_010G244200 transcript:KJB68413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAIIALILAVLLGAFILVPRHGKLAHKNKVKSTVANSKASYSKTEVMLHNKRTDCWIIIKDKVYDVTSYVEEHPGGDAILAHAGDDSTEGFYGPQHATRVFDMIDDFYIGDLQK >KJB68414 pep chromosome:Graimondii2_0_v6:10:61335391:61338604:-1 gene:B456_010G244200 transcript:KJB68414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAIIALILAVLLGAFILVPRHGKLAHKNKVKSTVANSKASYSKTEVMLHNKRTDCWIIIKDKVYDVTSYVEEHPGGDAILAHAGDDSTEGFYGPQHATRVFDMIDDFYIGDLQK >KJB67470 pep chromosome:Graimondii2_0_v6:10:54913198:54916775:1 gene:B456_010G192200 transcript:KJB67470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKSVPLPAKPSKPYLFYGHRKPSQNRPVVYGGLFSNRQVLKPPQSPLPPSPPFDLRKWDPHHLSQNPSPPPIPTPHQHSKLSPIARFIIDAFRKSQYTWGPSVVFELNKLRRVTASLVAEVLKVQDDPILASKFFHWAGKVDEMLEVLGRMREMFCKPDVFAYTAMIKILVSKGNLDGCLRVWEEMRRDGVEPDVMAYVTLVAGLCKGGRVQRGYELFKEMKTKGILIERVTYGVLIEGFVKDGKLGSACGLLKDLIDSGYRADLGIYNPLIEGMCDVKLIDRAYKLFQVTVQEGLEPGFATVKPMLLAFAEMRRMSDFCKLLEQMQKLGFSVNDDLSKFFSFVVEKGERTIMAVRVFNELKVKGYGSVRIYSILMGALHKTGKVKQALSLFQEMKDLNFEPDSSTYSNAIICYVEDENIKDACICHNKIIEMSCVPSIDAYYSLTNGLCKIGEIDAAMMLVRDCLGNVTNGPMEFKYALTVLHACKSGAEKVMEVLNEMMQEGLPPDNIICSAIISGMCKYRTIEEARKVFANLRTRKLLTEANIIIYDELLIEYMEKKAADLVLSGLKFFGLESKLKAKGSTLLSR >KJB67471 pep chromosome:Graimondii2_0_v6:10:54913198:54916775:1 gene:B456_010G192200 transcript:KJB67471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKSVPLPAKPSKPYLFYGHRKPSQNRPVVYGGLFSNRQVLKPPQSPLPPSPPFDLRKWDPHHLSQNPSPPPIPTPHQHSKLSPIARFIIDAFRKSQYTWGPSVVFELNKLRRVTASLVAEVLKVQDDPILASKFFHWAGKQKGFKHNFASYNALAYCLNRNGRFRVADQLPELMDSQGKPPTEKQFEILIRMHADKNRGQRVYYVYQKMKNFGIKPRVFLYNRIMDALVKTGYLDLALSVYEDFRGDGLAEESITFMILIKGLCKAGKVDEMLEVLGRMREMFCKPDVFAYTAMIKILVSKGNLDGCLRVWEEMRRDGVEPDVMAYVTLVAGLCKGGRVQRGYELFKEMKTKGILIERVTYGVLIEGFVKDGKLGSACGLLKDLIDSGYRADLGIYNPLIEGMCDVKLIDRAYKLFQVTVQEGLEPGFATVKPMLLAFAEMRRMSDFCKLLEQMQKLGFSVNDDLSKFFSFVVEKGERTIMAVRVFNELKVKGYGSVRIYSILMGALHKTGKVKQALSLFQEMKDLNFEPDSSTYSNAIICYVEDENIKDACICHNKIIEMSCVPSIDAYYSLTNGLCKIGEIDAAMMLVRDCLGNVTNGPMEFKYALTVLHACKSGAEKVMEVLNEMMQEGLPPDNIICSAIISGMCKYRTIEEARKVFANLRTRKLLTEANIIIYDELLIEYMEKKAADLVLSGLKFFGLESKLKAKGSTLLSR >KJB66310 pep chromosome:Graimondii2_0_v6:10:30193850:30196806:-1 gene:B456_010G134600 transcript:KJB66310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRAKQDIEIGDDITPPLLPLSFSLHDSFLSSHCSSCFSPLSFPPSPHHYGSLYCSAPCSSSHSPISSSSAESFLPLTCPLSSDLRTALRLLLSLPSTCPHLHRFTNGLLTNYLKLTSSPEFAAQIRQGAIAMAAARKLRKGLSLDQSDDVLLEEAVLCLVVTNAVEVQDESGRSLGIAVYDPSFSWINHSCSPNACYRFIVSPPNATSFGEDSASALRIVPSVSEENFGVCSCSEYNKGTEGYKYGPKIMVRSIKRIKKGEEVCVSYTDLLQPKEILASNPSFSSAGLDLNLYRDEANKKLSHYVDETNTEFLSVGDPESCCKKLESVLEGGFHVEQLESEDGKSRLNCKFHPFNHIALNSYMTLASAYRIRSSDFLAFQSKTDESQLKAFEMSRISAGGGIFINHCWKFCLELIGVA >KJB66313 pep chromosome:Graimondii2_0_v6:10:30193915:30196774:-1 gene:B456_010G134600 transcript:KJB66313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRAKQDIEIGDDITPPLLPLSFSLHDSFLSSHCSSCFSPLSFPPSPHHYGSLYCSAPCSSSHSPISSSSAESFLPLTCPLSSDLRTALRLLLSLPSTCPHLHRFTNGLLTNYLKLTSSPEFAAQIRQGAIAMAAARKLRKGLSLDQSDDVLLEEAVLCLVVTNAVEVQDESGRSLGIAVYDPSFSWINHSCSPNACYRFIVSPPNATSFGEDSASALRIVPSVSEENFGVCSCSEYNKGTEGYKYGPKIMVRSIKRIKKGEEVCVSYTDLLQPKAMRQSYLWFNHQFTCSCSRCTVFPSTFVDHALEEILASNPSFSSAGLDLNLYRDEANKKLSHYVDETNTEFLSVGDPESCCKKLESVLEGGFHVEQLESEDGKSRLNCKFHPFNHIALNSYMTLASAYRIRSSDFLAFQSKTDESQLKAFEMSRISAGYSLLLAGATHYLFCSESSLIVSAVNFWKQAGESLLTIAGSSVWNLLGLPKSELSTVVKYKCSECSLMDIFGAKSILNQAERTNFENISSDFLACVRSASPKFWRFLIHGCHYLETFKDPFDFRWLAHAHCVAEDVDFIKEDSNCEHHAEWYTNARTHIYKVGMHCLVYGVILAHICYGQNSHLTTHVLNHVENFVH >KJB66312 pep chromosome:Graimondii2_0_v6:10:30193871:30196802:-1 gene:B456_010G134600 transcript:KJB66312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRAKQDIEIGDDITPPLLPLSFSLHDSFLSSHCSSCFSPLSFPPSPHHYGSLYCSAPCSSSHSPISSSSAESFLPLTCPLSSDLRTALRLLLSLPSTCPHLHRFTNGLLTNYLKLTSSPEFAAQIRQGAIAMAAARKLRKGLSLDQSDDVLLEEAVLCLVVTNAVEVQDESGRSLGIAVYDPSFSWINHSCSPNACYRFIVSPPNATSFGEDSASALRIVPSVSEENFGVCSCSEYNKGTEGYKYGPKIMVRSIKRIKKGEEVCVSYTDLLQPKEILASNPSFSSAGLDLNLYRDEANKKLSHYVDETNTEFLSVGDPESCCKKLESVLEGGFHVEQLESEDGKSRLNCKFHPFNHIALNSYMTLASAYRIRSSDFLAFQSKTDESQLKAFEMSRISAGYSLLLAGATHYLFCSESSLIVSAVNFWKQAGESLLTIAGSSVWNLLGLPKSELSTVVKYKCSECSLMDIFGAKSILNQAERTNFENISSDFLACVRSASPKFWRFLIHGCHYLETFKDPFDFRWLAHAHCVAEDVDFIKEDSNCEHHAEWYTNARTHIYKVGMHCLVYGVILAHICYGQNSHLTTHVLNHVENFVH >KJB66309 pep chromosome:Graimondii2_0_v6:10:30193849:30196851:-1 gene:B456_010G134600 transcript:KJB66309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRAKQDIEIGDDITPPLLPLSFSLHDSFLSSHCSSCFSPLSFPPSPHHYGSLYCSAPCSSSHSPISSSSAESFLPLTCPLSSDLRTALRLLLSLPSTCPHLHRFTNGLLTNYLKLTSSPEFAAQIRQGAIAMAAARKLRKGLSLDQSDDVLLEEAVLCLVVTNAVEVQDESGRSLGIAVYDPSFSWINHSCSPNACYRFIVSPPNATSFGEDSASALRIVPSVSEENFGVCSCSEYNKEGYKYGPKIMVRSIKRIKKGEEVCVSYTDLLQPKEILASNPSFSSAGLDLNLYRDEANKKLSHYVDETNTEFLSVGDPESCCKKLESVLEGGFHVEQLESEDGKSRLNCKFHPFNHIALNSYMTLASAYRIRSSDFLAFQSKTDESQLKAFEMSRISAGYSLLLAGATHYLFCSESSLIVSAVNFWKQAGESLLTIAGSSVWNLLGLPKSELSTVVKYKCSECSLMDIFGAKSILNQAERTNFENISSDFLACVRSASPKFWRFLIHGCHYLETFKDPFDFRWLAHAHCVAEDVDFIKEDSNCEHHAEWYTNARTHIYKVGMHCLVYGVILAHICYGQNSHLTTHVLNHVENFVH >KJB66308 pep chromosome:Graimondii2_0_v6:10:30193915:30196774:-1 gene:B456_010G134600 transcript:KJB66308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRAKQDIEIGDDITPPLLPLSFSLHDSFLSSHCSSCFSPLSFPPSPHHYGSLYCSAPCSSSHSPISSSSAESFLPLTCPLSSDLRTALRLLLSLPSTCPHLHRFTNGLLTNYLKLTSSPEFAAQIRQGAIAMAAARKLRKGLSLDQSDDVLLEEAVLCLVVTNAVEVQDESGRSLGIAVYDPSFSWINHSCSPNACYRFIVSPPNATSFGEDSASALRIVPSVSEENFGVCSCSEYNKEGYKYGPKIMVRSIKRIKKGEEVCVSYTDLLQPKAMRQSYLWFNHQFTCSCSRCTVFPSTFVDHALEEILASNPSFSSAGLDLNLYRDEANKKLSHYVDETNTEFLSVGDPESCCKKLESVLEGGFHVEQLESEDGKSRLNCKFHPFNHIALNSYMTLASAYRIRSSDFLAFQSKTDESQLKAFEMSRISAGYSLLLAGATHYLFCSESSLIVSAVNFWKQAGESLLTIAGSSVWNLLGLPKSELSTVVKYKCSECSLMDIFGAKSILNQAERTNFENISSDFLACVRSASPKFWRFLIHGCHYLETFKDPFDFRWLAHAHCVAEDVDFIKEDSNCEHHAEWYTNARTHIYKVGMHCLVYGVILAHICYGQNSHLTTHVLNHVENFVH >KJB66311 pep chromosome:Graimondii2_0_v6:10:30194357:30196774:-1 gene:B456_010G134600 transcript:KJB66311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRAKQDIEIGDDITPPLLPLSFSLHDSFLSSHCSSCFSPLSFPPSPHHYGSLYCSAPCSSSHSPISSSSAESFLPLTCPLSSDLRTALRLLLSLPSTCPHLHRFTNGLLTNYLKLTSSPEFAAQIRQGAIAMAAARKLRKGLSLDQSDDVLLEEAVLCLVVTNAVEVQDESGRSLGIAVYDPSFSWINHSCSPNACYRFIVSPPNATSFGEDSASALRIVPSVSEENFGVCSCSEYNKGTEGYKYGPKIMVRSIKRIKKGEEVCVSYTDLLQPKAMRQSYLWFNHQFTCSCSRCTVFPSTFVDHALEEILASNPSFSSAGLDLNLYRDEANKKLSHYVDETNTEFLSVGDPESCCKKLESVLEGGFHVEQLESEDGKSRLNCKFHPFNHIALNSYMTLASAYRIRSSDFLAFQSKTDESQLKAFEMSRISAGGGIFINHCWKFCLELIGVA >KJB64399 pep chromosome:Graimondii2_0_v6:10:5139465:5141688:1 gene:B456_010G047600 transcript:KJB64399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ribosomal subunit protein S13, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G77750) UniProtKB/Swiss-Prot;Acc:Q9CA19] MLGLRCSVGTLSGVGHRLLQTTTFHGIRVQGIRVGSAEIPDHKRIAVSLQSIYGIGRSRARQILSELNIDNKLTRELTGRELMALREHVSSTYVIGEDLRRCINADITRLKGLQCYKGIRHEDKLPCRGQRTKTNSRTAKKGITAVSERHRASYA >KJB64398 pep chromosome:Graimondii2_0_v6:10:5139546:5140992:1 gene:B456_010G047600 transcript:KJB64398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ribosomal subunit protein S13, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G77750) UniProtKB/Swiss-Prot;Acc:Q9CA19] MLGLRCSVGTLSGVGHRLLQTTTFHGIRVQGIRVGSAEIPDHKRIAVSLQSIYGIGRSRARQILSELNIDNKLTRELTGRELMALREHVSSTYVIGEDLRRCINADITRLKGLQCYKGIRHEDKLPCRGQRTKTNSRTAKKGITAVSERHRASYA >KJB64734 pep chromosome:Graimondii2_0_v6:10:7729296:7731950:1 gene:B456_010G062300 transcript:KJB64734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVVVLDNGGGLIKAGQGGERDPAVVIPNCLYRPLTSKKFLHPTTTTEEDLTSAAIRRPIDRGYLINPDLQRDIWSHLFNSLLHVSPSSSSLLLTEPLFSLPSIQRSTDELIFEDFGFNSLFVADSPSLVHLYESSRRPDSLLSEAQCSLVVDCGFSFTHVAPVFQNYTLNYGVKRIDLGGKALTNYLKELVSYRSINVMDETFLMDDVKEKLCFVSLDVETDLQIARKQGKDNLFRCTYVLPDGVTHKKGYVEDPEAAQRHLRASPSAAMEPNKEAYQLETIAKTEERKRVDLTKNEFDLMNERFLVPEMIFQPADLGMNEAGLAECIVRAVNACHPYLRPVLYQSIILTGGSTLFPRFAERLQKDLRPLVPHEYQVKITTQNDPILDVWRGGSLLASSPNFESTCVTKAEYEEHGSARCRRRFFH >KJB64732 pep chromosome:Graimondii2_0_v6:10:7729441:7731107:1 gene:B456_010G062300 transcript:KJB64732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVVVLDNGGGLIKAGQGGERDPAVVIPNCLYRPLTSKKFLHPTTTTEEDLTSAAIRRPIDRGYLINPDLQRDIWSHLFNSLLHVSPSSSSLLLTEPLFSLPSIQRSTDELIFEDFGFNSLFVADSPSLVHLYESSRRPDSLLSEAQCSLVVDCGFSFTHVAPVFQNYTLNYGVKRIDLGGKALTNYLKELVSYRSINVMDETFLMDDVKEKLCFVSLDVETDLQIARKQGKDNLFRCTYVLPDGVTHKKGYVEDPEAAQRHLRASPSAAMEPNKEAYQLETIAKTEERKRVDLTKNEFDLMNERFLVPEMIFQPADLGMNEAGLAECIVRAVNACHPYLRPVLYQSIILTGGSTLFPRFAERLQKDLRPLVPHEYQVKITTQNE >KJB64733 pep chromosome:Graimondii2_0_v6:10:7729356:7731950:1 gene:B456_010G062300 transcript:KJB64733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVVVLDNGGGLIKAGQGGERDPAVVIPNCLYRPLTSKKFLHPTTTTEEDLTSAAIRRPIDRGYLINPDLQRDIWSHLFNSLLHVSPSSSSLLLTEPLFSLPSIQRSTDELIFEDFGFNSLFVADSPSLVHLYESSRRPDSLLSEAQCSLVVDCGFSFTHVAPVFQNYTLNYGVKRIDLGGKALTNYLKELVSYRSINVMDETFLMDDVKEKLCFVSLDVETDLQIARKQGKDNLFRCTYVLPDGVTHKKGYVEDPEAAQRHLRASPSAAMEPNKEAYQLETIAKTEERKRVDLTKNEFDLMNERFLVPEMIFQPADLGMNEAGLAECIVRAVNACHPYLRPVLYQSIILTGGSTLFPRFAERLQKDLRPLVPHEYQVKITTQNDPILDVWRGGSLLASSPNFESTCVTKAEYEEHGSARCRRRFFH >KJB64731 pep chromosome:Graimondii2_0_v6:10:7729356:7731950:1 gene:B456_010G062300 transcript:KJB64731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVVVLDNGGGLIKAGQGGERDPAVVIPNCLYRPLTSKKFLHPTTTTEEDLTSAAIRRPIDRGYLINPDLQRDIWSHLFNSLLHVSPSSSSLLLTEPLFSLPSIQRSTDELIFEDFGFNSLFVADSPSLVHLYESSRRPDSLLSEAQCSLVVDCGFSFTHVAPVFQNYTLNYGVKRIDLGGKALTNYLKELVSYRSINVMDETFLMDDVKEKLCFVSLDVETDLQIARKQGKDNLFRCTYVLPDGVTHKKGYVEDPEAAQRHLRASPSAAMEPNKEAYQLETIAKTEERKRVDLTKNEFDLMNERFLVPEMIFQPADLGMNEAGLAECIVRAVNACHPYLRPVLYQSIILTGGSTLFPRFAERLQKDLRPLVPHEYQVKITTQNDPILDVWRGGSLLASSPNFESTCVTKAEYEEHGSARCRRRFFH >KJB66608 pep chromosome:Graimondii2_0_v6:10:38676819:38679609:1 gene:B456_010G147100 transcript:KJB66608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFHAGICRGTWWNSTKPMLTGCSLPPSTEVVDMGNLGYDTDMVDIRARTRYYEESTKNPVYGVSSTVFQGHPHHQADSDSGVTSSSLLIDSTLQMMGFGLPSSTTSDWNLSLLRSNGRTEFYNSILQEDIDSRLNHRQETGMGSAQILNYWSPKSHSGPGKDSSITVFEPIDQDISLNSVTSSGNSTPTCQGLSARFPMASSSYGYPSTLLHSLFQSDPQTSEQQSLFNNRSINYMSSAKSSWPKQQPSSLRFSNNTPFWNASATGINDVKTGFLPSPASQFLVQTFEEKANCPSSTTKTNSTEVGKSASGMKRPKLESPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVNVLSTPYMKQAVDSIQQENIDNLKDPDEPKQDLKSLGLCLVPISSTFPVTNETTADIWTPTFGGTLR >KJB66609 pep chromosome:Graimondii2_0_v6:10:38676934:38679299:1 gene:B456_010G147100 transcript:KJB66609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFHAGICRGTWWNSTKPMLTGCSLPPSTEVVDMGNLGYDTDMVDIRARTRYYEESTKNPVYGVSSTVFQGHPHHQADSDSGVTSSSLLIDSTLQMMGFGLPSSTTSDWNLSLLRSNGRTEFYNSILQEDIDSRLNHRQETGMGSAQILNYWSPKSHSGPGKDSSITVFEPIDQDISLNSVTSSGNSTPTCQGLSARFPMASSSYGYPSTLLHSLFQSDPQTSEQQSLFNNRSINYMSSAKSSWPKQQPSSLRFSNNTPFWNASATGINDVKTGFLPSPASQFLVQTFEEKANCPSSTTKTNSTEVGKSASGMKRPKLESPSPLPTFKVRKEKLGDRITALQQLVSPFGKVNFWNFLFYFSKCI >KJB64512 pep chromosome:Graimondii2_0_v6:10:6039950:6041296:-1 gene:B456_010G052600 transcript:KJB64512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPTKPLQRSSDGIVHQVMSMMVQNRPFDAQLASSTISNPWTTDSVSDILRSVPKFFFQSLRSIGRQKGFRHRAPLKQRNLKQEKFKISHNVLLLGPAAYRDPERVALGLDKAMEFYLWVEKFFGFSHNEKTCKEMAFVLAKGNNLKGLWDFLKEMSRVDNGSLVTTTSITCLIKVLGEEGLVNEALACFYRMKQYHCKPDVFAYNMIIRALCRVGNFNKARFLLEQMELPGFRCPPDVYTYTILISSYCKFSMQTGCRKAIRRRLYEANHLFREMLFKGFVPDVVTYNCLIDGCCKTNRIERALELFEDMNKRNCVPNRITYNSFIRYYCAVNEIDKGIEMMRRMQRMNHGVATNSSYTPIIHALCEAERVLEAKGFLFELIRGGSIPREYTYKLVCDALNSVGAANLIDDEMHKRIRDGVGSRCRQVMKVKHITSHIRTTRMVEEV >KJB64511 pep chromosome:Graimondii2_0_v6:10:6038846:6041509:-1 gene:B456_010G052600 transcript:KJB64511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPTKPLQRSSDGIVHQVMSMMVQNRPFDAQLASSTISNPWTTDSVSDILRSVPKFFFQSLRSIGRQKGFRHRAPLKQRNLKQEKFKISHNVLLLGPAAYRDPERVALGLDKAMEFYLWVEKFFGFSHNEKTCKEMAFVLAKGNNLKGLWDFLKEMSRVDNGSLVTTTSITCLIKVLGEEGLVNEALACFYRMKQYHCKPDVFAYNMIIRALCRVGNFNKARFLLEQMELPGFRCPPDVYTYTILISSYCKFSMQTGCRKAIRRRLYEANHLFREMLFKGFVPDVVTYNCLIDGCCKTNRIERALELFEDMNKRNCVPNRITYNSFIRYYCAVNEIDKGIEMMRRMQRMNHGVATNSSYTPIIHALCEAERVLEAKGFLFELIRGGSIPREYTYKLVCDALNSVGAANLIDDEMHKRIRDGVGSRCRQVMKVKHITSHIRTTRMVEEV >KJB66890 pep chromosome:Graimondii2_0_v6:10:47076573:47078717:1 gene:B456_010G163200 transcript:KJB66890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSIKIWCFGLKHQFFYVRLVDLFDFPFRRLLCSIYVDVIYLKFLSGFKIYFDYYVRLGNKVNNIDDYYFDYLWCEDYKYIKVIFSDCRETSTSQPRLVSIDLNCLLVPLLAKTIWSLIF >KJB64196 pep chromosome:Graimondii2_0_v6:10:3382964:3386478:1 gene:B456_010G036400 transcript:KJB64196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQMSDDDERQQSPPSDLDFASNKARTCIVTGSVSGHTEFQSPYPDQVLENVLENVLHFLTSRRDRNTASLVCKSWYRVEALTRSELFIGNCYAVSPARATARFTRVRALHLKGRPRFADFNLMPPDWGAHFNPWVLAFAKACPWLEKVYLKRMSVTDDDLATLAESFLGFKELVLVCCDGFGTSGLSIFVSKCRQLKVLDLIESEVSDDETDWILCFPEGESHLESLIFDCVEYPINFEALERLVARSPSLKKLKLNRYVSIGQLYRLMVQAPQLTHLGTGSFSPSEIAGQGDQEPDYVTAFAACKSLVCLSGFREIIPDYLPAIYPICANLTSLNFSYANITAEQLKPIISNCHKLQVFWVLDSICDEGLQAVAATCKELRELRVFPVDAREDSDGPVSEVGFQAISEGCRKLQSILYFCQRMTNAAVIAMSRNCPDLVVFRLCIMGRHRPDHVTGEPMDDGFGAIVMNCKKLTRLAVSGLLTDKAFDYIGRYGKLVRTLSVAFAGDSDMALKYVLEGCPQLQKLEIRDSPFGDAALCSGLHHYYNMRFLWMSSCRLTHQGCQQIARAMPRLVVEVIRSADEEEMDVCVQTLYMYRSLEGPRNDAPKFVTIL >KJB68224 pep chromosome:Graimondii2_0_v6:10:60420919:60420932:1 gene:B456_010G2333002 transcript:KJB68224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGG >KJB68115 pep chromosome:Graimondii2_0_v6:10:59796125:59797492:1 gene:B456_010G226400 transcript:KJB68115 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 5 [Source:Projected from Arabidopsis thaliana (AT1G52580) UniProtKB/Swiss-Prot;Acc:Q9SSR0] MGRPSRPSPSPSPPVEPTPSDDDIEKGSKRARHKSKVPPPPPPSKLWKPWLMPLMFVANISVFIYTMYVNDCPHTSGTSKCILYEYLGRYSFQSFKENPLLGPSYITLQTLGGLDWTQVVEGKEYWRLFSCMWLHAGLIHLLINMLSLLGLGIRLEREFGFVRIGPLYMISGFGGSLTSVLSLARKRIVSVGASGALFGLLGSMLSELITNWSNYTNKCSALSTMLLIICLNLAIGFLPRVDNSAHIGGFVSGLLAGFVLLMRPQYGYISSKHVPEGYQIKHKVAKHQVHQYVLFGISLVLLITG >KJB67172 pep chromosome:Graimondii2_0_v6:10:52525323:52533216:1 gene:B456_010G179100 transcript:KJB67172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGLGWKRPSEIFRLSLNYGYEKSAEDLDHASPVSSSLSSPEPSTPQDQKELGFRIDLDWVAGDDEDQVAIRLQSKLMVALPEPQDTVSVELRETEEKLVGVEMKVEKRREPLRAVTMAKAAGSGQQSDGVGVLVRLLRSNLVPSGDSGLVSCGDHWRSVTLLSLCGCGLTTLPVELTQLPVLEKLYLDNNKLSVLPPELGALKTLKVLRVDNNMLVSVPAELRQCVGLVELSLEHNKLVRPLLDFRAMAELQILRLFGNPLEFLPEILPLHKLRHLSLANIRIVADENLRSVNVQIEMENSSYFGASRHKLSAFFSLIFRFSSCHHPLLASALVKIITQDQGNRVVIGKDENAVRQLISMISSEDRHVVEQACSALSTLGGDVSVAMQLMKCDIMQPIETVMKSPDPVELVSVLQVVVTLAIGSDIVAQKMLTKDVLRSLKLLCAHKNPEVQRLALLAVGNLAFCLENRRILVTSESLKDLLMRLTVGPEPRVNKAAARALAILGENENLRRAIRGRQIPKQGLRILSMDGGGMKGLATVQILKEIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLLTLDQCEEIYKNLGKLVFAEPVPKDNEAATWREKLDMLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVSVMPAQPFIFRNYQYPVGTPEVPLSISESAGITTLGSPTTGAQVGYKRSAFIGSCKHHIWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFSIREAQLLWPDTKIDCIVSIGCGSVPTKARKGGWRYLDTGQVLIESACSVDRTEEALSTLVPMLPEIQYFRFNPVDERCEMELDETDPTVWLKLEACVKDYIENNSESFKNACERLILPFAHDEKWTENLKSQHFAKAKASDADENSPSLGWRRNVLLVEALHSPDSGRIVHHARALESFCARNGIRLSLLHDISGLSKALPATTFPTPFTSPLITGSFPSSPLLFSTDTGLQRLGRIDTVPPLSLDGLQSVKTAISPPTSPSAPRQLSLPVRSLHEKLQNLPQVGIIHLALQNDSVGSVLSWQNDVFVVAEPGELADKFLQSVKLSMLSVLQSQRQKCVSPFANITTIADLVRCKPYFQVGKIGHRYIGRQTQVMEDDIEIGAYMFRRTVPSLHLTPDDVRWMVGAWRDRIIICTGAYGPNANLIKAFLDSGAKAVICPAAEPHDVSVNISGEYNVVENGKFEIGEEDAEDEEVEVETISPVSDWDSDMEKNEEHCTGFGDEEEELSRFVCQLYDLIFREGARVDVALKNALASNRKLRYCCHLPNVK >KJB67171 pep chromosome:Graimondii2_0_v6:10:52525323:52533171:1 gene:B456_010G179100 transcript:KJB67171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGLGWKRPSEIFRLSLNYGYEKSAEDLDHASPVSSSLSSPEPSTPQDQKELGFRIDLDWVAGDDEDQVAIRLQSKLMVALPEPQDTVSVELRETEEKLVGVEMKVEKRREPLRAVTMAKAAGSGQQSDGVGVLVRLLRSNLVPSGDSGLVSCGDHWRSVTLLSLCGCGLTTLPVELTQLPVLEKLYLDNNKLSVLPPELGALKTLKVLRVDNNMLVSVPELRQCVGLVELSLEHNKLVRPLLDFRAMAELQILRLFGNPLEFLPEILPLHKLRHLSLANIRIVADENLRSVNVQIEMENSSYFGASRHKLSAFFSLIFRFSSCHHPLLASALVKIITQDQGNRVVIGKDENAVRQLISMISSEDRHVVEQACSALSTLGGDVSVAMQLMKCDIMQPIETVMKSPDPVELVSVLQVVVTLAIGSDIVAQKMLTKDVLRSLKLLCAHKNPEVQRLALLAVGNLAFCLENRRILVTSESLKDLLMRLTVGPEPRVNKAAARALAILGENENLRRAIRGRQIPKQGLRILSMDGGGMKGLATVQILKEIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLLTLDQCEEIYKNLGKLVFAEPVPKDNEAATWREKLDMLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVSVMPAQPFIFRNYQYPVGTPEVPLSISESAGITTLGSPTTGAQVGYKRSAFIGSCKHHIWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFSIREAQLLWPDTKIDCIVSIGCGSVPTKARKGGWRYLDTGQVLIESACSVDRTEEALSTLVPMLPEIQYFRFNPVDERCEMELDETDPTVWLKLEACVKDYIENNSESFKNACERLILPFAHDEKWTENLKSQHFAKAKASDADENSPSLGWRRNVLLVEALHSPDSGRIVHHARALESFCARNGIRLSLLHDISGLSKALPATTFPTPFTSPLITGSFPSSPLLFSTDTGLQRLGRIDTVPPLSLDGLQSVKTAISPPTSPSAPRQLSLPVRSLHEKLQNLPQVGIIHLALQNDSVGSVLSWQNDVFVVAEPGELADKFLQSVKLSMLSVLQSQRQKCVSPFANITTIADLVRCKPYFQVGKIGHRYIGRQTQVMEDDIEIGAYMFRRTVPSLHLTPDDVRWMVGAWRDRIIICTGAYGPNANLIKAFLDSGAKAVICPAAEPHDVSVNISGEYNVVENGKFEIGEEDAEDEEVEVETISPVSDWDSDMEKNEEHCTGFGDEEEELSRFVCQLYDLIFREGARVDVALKNALASNRKLRYCCHLPNVK >KJB65686 pep chromosome:Graimondii2_0_v6:10:20088141:20094247:1 gene:B456_010G108300 transcript:KJB65686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGQGETVSDFTPKKLARQLDFTAGCRASGNATLPDHPMQLQSQPQPQWQSQTPLPTKPQQQPQELQLRLCLQPQTVQSPQSPPVPLVQIRPPPPPSQPVTVMQRVPHPVQKLPPQTYQLSKQESPRSRPRVHADAKDGTPKKQKQCNCKNSRCLKLYCECFSSGFYCNGCNCLNCHNNVENEAARQEAVGTTLERNPNAFRPKIASSPHRPQDTRDDAHDVQLVGKHNKGCHCKKSSCLKKYCECFQANILCSENCKCMDCKNFEGSEERRALVHGDHNSMAYMQQANAAISGAVGSSGFGIPVTSKKRKSEEIIFGLAVKDQSVHKIVQQQQQQENHLRDLLATCSPLSTPLSGTANKESLGSSKFTYRSPLANILQPQYVKELCSVLVLVSSEARRALAAERSGKKDQQAKGGSIETTVSSNQMHEMSQNGNGVLSSATDDCESENHGDANKSGDCGANEDSVQNGKPLSPRTRALMCDEEDTMFMAVGSPDLLTDRSQNITQKSLNGHECTEVYAEQESLVLTVFRDFLNQLITRGSIKETRCFPSPLSEKRSEQEPAENGAIKSGNQAVGQKQPYPNGIVKSPNPAPAEISQTLSAASSVLNTDLPLKHESPIGKEDRKNVGIEGSN >KJB66036 pep chromosome:Graimondii2_0_v6:10:25862783:25862950:1 gene:B456_010G1250001 transcript:KJB66036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EHSHNKNRNMALIKTGTWPSFVQPA >KJB65992 pep chromosome:Graimondii2_0_v6:10:25281928:25287400:-1 gene:B456_010G123300 transcript:KJB65992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKGTRRGILHLHHPHPRPHSGARTMPRKVNYGLDYDDDYDDNYDEYDYGYEVNENETPPQKETIKHSVWRCSICTYDNDETMSSCDICGVLHGPLLNNSIYDKKRTANIFDLKSSRVSSTVVGRDEAVKVSSMRSDSSNQSTEKGKHNRLDEINILKNIEVGPPAGSRTSDNCSASVQEGWLGHVDESSVAVNLRSSGKSSLSLMPKERNVMVDDSSSSRNGGEANSLTSNLENISFAAKSGHSNDANPGRAISRAQYKPEKWMLPEKAEQSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADASILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQLIVAVNKMDAVDYSKERFDLIISQLGAFLRSCGFKDSSVSWIPLSAVENQNLVSAPSDFRLFWYLGPNLLDAIDSFQPPTREFSKPLIMPICDVIKSPSQGQVSACGKLEAGAVRSGSKVLVMPSATIATVRSLERDSEACPIGRAGDNIAVSLNGIDGNHVMAGGVLCHPDFPIAFAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVARISLLLDSKTGKVVKKAPRCILAKQSAVVEVILQEAVCVEEFSKCKVLGRVFLRTLGRTVAVGIVTRIVEEQQ >KJB65993 pep chromosome:Graimondii2_0_v6:10:25281928:25287400:-1 gene:B456_010G123300 transcript:KJB65993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKGTRRGILHLHHPHPRPHSGARTMPRKVNYGLDYDDDYDDNYDEYDYGYEVNENAETPPQKETIKHSVWRCSICTYDNDETMSSCDICGVLHGPLLNNSIYDKKRTANIFDLKSSRVSSTVVGRDEAVKVSSMRSDSSNQSTEKGKHNRLDEINILKNIEVGPPAGSRTSDNCSASVQEGWLGHVDESSVAVNLRSSGKSSLSLMPKERNVMVDDSSSSRNGGEANSLTSNLENISFAAKSGHSNDANPGRAISRAQYKPEKWMLPEKAEQSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADASILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQLIVAVNKMDAVDYSKERFDLIISQLGAFLRSCGFKDSSVSWIPLSAVENQNLVSAPSDFRLFWYLGPNLLDAIDSFQPPTREFSKPLIMPICDVIKSPSQGQVSACGKLEAGAVRSGSKVLVMPSATIATVRSLERDSEACPIGRAGDNIAVSLNGIDGNHVMAGGVLCHPDFPIAFAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVARISLLLDSKTGKVVKKAPRCILAKQSAVVEVILQEAVCVEEFSKCKVLGRVFLRTLGRTVAVGIVTRIVEEQQ >KJB65985 pep chromosome:Graimondii2_0_v6:10:25281544:25287005:-1 gene:B456_010G123300 transcript:KJB65985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNYGLDYDDDYDDNYDEYDYGYEVNENAETPPQKETIKHSVWRCSICTYDNDETMSSCDICGVLHGPLLNNSIYDKKRTANIFDLKSSRVSSTVVGRDEAVKVSSMRSDSSNQSTEKVQEGWLGHVDESSVAVNLRSSGKSSLSLMPKERNVMVDDSSSSRNGGEANSLTSNLENISFAAKSGHSNDANPGRAISRAQYKPEKWMLPEKAEQSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADASILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQLIVAVNKMDAVDYSKERFDLIISQLGAFLRSCGFKDSSVSWIPLSAVENQNLVSAPSDFRLFWYLGPNLLDAIDSFQPPTREFSKPLIMPICDVIKSPSQGQVSACGKLEAGAVRSGSKVLVMPSATIATVRSLERDSEACPIGRAGDNIAVSLNGIDGNHVMAGGVLCHPDFPIAFAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVARISLLLDSKTGKVVKKAPRCILAKQSAVVEVILQEAVCVEEFSKCKVLGRVFLRTLGRTVAVGIVTRIVEEQQ >KJB65981 pep chromosome:Graimondii2_0_v6:10:25281544:25285924:-1 gene:B456_010G123300 transcript:KJB65981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKERNVMVDDSSSSRNGGEANSLTSNLENISFAAKSGHSNDANPGRAISRAQYKPEKWMLPEKAEQSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADASILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQLIVAVNKMDAVDYSKERFDLIISQLGAFLRSCGFKDSSVSWIPLSAVENQNLVSAPSDFRLFWYLGPNLLDAIDSFQPPTREFSKPLIMPICDVIKSPSQGQVSACGKLEAGAVRSGSKVLVMPSATIATVRSLERDSEACPIGRAGDNIAVSLNGIDGNHVMAGGVLCHPDFPIAFAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVARISLLLDSKTGKVVKKAPRCILAKQSAVVEVILQEAVCVEEFSKCKVLGRVFLRTLGRTVAVGIVTRIVEEQQ >KJB65991 pep chromosome:Graimondii2_0_v6:10:25281544:25287400:-1 gene:B456_010G123300 transcript:KJB65991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKGTRRGILHLHHPHPRPHSGARTMPRKVNYGLDYDDDYDDNYDEYDYGYEVNENANIFDLKSSRVSSTVVGRDEAVKVSSMRSDSSNQSTEKGKHNRLDEINILKNIEVGPPAGSRTSDNCSASVQEGWLGHVDESSVAVNLRSSGKSSLSLMPKERNVMVDDSSSSRNGGEANSLTSNLENISFAAKSGHSNDANPGRAISRAQYKPEKWMLPEKAEQSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADASILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQLIVAVNKMDAVDYSKERFDLIISQLGAFLRSCGFKDSSVSWIPLSAVENQNLVSAPSDFRLFWYLGPNLLDAIDSFQPPTREFSKPLIMPICDVIKSPSQGQVSACGKLEAGAVRSGSKVLVMPSATIATVRSLERDSEACPIGRAGDNIAVSLNGIDGNHVMAGGVLCHPDFPIAFAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVARISLLLDSKTGKVVKKAPRCILAKQSAVVEVILQEAVCVEEFSKCKVLGRVFLRTLGRTVAVGIVTRIVEEQQ >KJB65984 pep chromosome:Graimondii2_0_v6:10:25281928:25286551:-1 gene:B456_010G123300 transcript:KJB65984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGVNWLTALMHVSFCLPTECYLFHKTAETPPQKETIKHSVWRCSICTYDNDETMSSCDICGVLHGPLLNNSIYDKKRTANIFDLKSSRVSSTVVGRDEAVKVSSMRSDSSNQSTEKGKHNRLDEINILKNIEVGPPAGSRTSDNCSASVQEGWLGHVDESSVAVNLRSSGKSSLSLMPKERNVMVDDSSSSRNGGEANSLTSNLENISFAAKSGHSNDANPGRAISRAQYKPEKWMLPEKAEQSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADASILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQLIVAVNKMDAVDYSKERFDLIISQLGAFLRSCGFKDSSVSWIPLSAVENQNLVSAPSDFRLFWYLGPNLLDAIDSFQPPTREFSKPLIMPICDVIKSPSQGQVSACGKLEAGAVRSGSKVLVMPSATIATVRSLERDSEACPIGRAGDNIAVSLNGIDGNHVMAGGVLCHPDFPIAFAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVARISLLLDSKTGKVVKKAPRCILAKQSAVVEVILQEAVCVEEFSKCKVLGRVFLRTLGRTVAVGIVTRIVEEQQ >KJB65986 pep chromosome:Graimondii2_0_v6:10:25281544:25286889:-1 gene:B456_010G123300 transcript:KJB65986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNYGLDYDDDYDDNYDEYDYGYEVNENAETPPQKETIKHSVWRCSICTYDNDETMSSCDICGVLHGPLLNNSIYDKKRTANIFDLKSSRVSSTVVGRDEAVKVSSMRSDSSNQSTEKGKHNRLDEINILKNIEVGPPAGSRTSDNCSASVQEGWLGHVDESSVAVNLRSSGKSSLSLMPKERNVMVDDSSSSRNGGEANSLTSNLENISFAAKSGHSNDANPGRAISRAQYKPEKWMLPEKAEQSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADASILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQLIVAVNKMDAVDYSKERFDLIISQLGAFLRSCGFKDSSVSWIPLSAVENQNLVSAPSDFRLFWYLGPNLLDAIDSFQPPTREFSKPLIMPICDVIKSPSQGQVSACGKLEAGAVRSGSKVLVMPSATIATVRSLERDSEACPIGRAGDNIAVSLNGIDGNHVMAGGVLCHPDFPIAFAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVARISLLLDSKTGKVVKKAPRCILAKQSAVVEVILQEAVCVEEFSKCKVLGRVFLRTLGRTVAVGIVTRIVEEQQ >KJB65983 pep chromosome:Graimondii2_0_v6:10:25281928:25286378:-1 gene:B456_010G123300 transcript:KJB65983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSFCLPTECYLFHKTAETPPQKETIKHSVWRCSICTYDNDETMSSCDICGVLHGPLLNNSIYDKKRTANIFDLKSSRVSSTVVGRDEAVKVSSMRSDSSNQSTEKGKHNRLDEINILKNIEVGPPAGSRTSDNCSASVQEGWLGHVDESSVAVNLRSSGKSSLSLMPKERNVMVDDSSSSRNGGEANSLTSNLENISFAAKSGHSNDANPGRAISRAQYKPEKWMLPEKAEQSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADASILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQLIVAVNKMDAVDYSKERFDLIISQLGAFLRSCGFKDSSVSWIPLSAVENQNLVSAPSDFRLFWYLGPNLLDAIDSFQPPTREFSKPLIMPICDVIKSPSQGQVSACGKLEAGAVRSGSKVLVMPSATIATVRSLERDSEACPIGRAGDNIAVSLNGIDGNHVMAGGVLCHPDFPIAFAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVARISLLLDSKTGKVVKKAPRCILAKQSAVVEVILQEAVCVEEFSKCKVLGRVFLRTLGRTVAVGIVTRIVEEQQ >KJB65990 pep chromosome:Graimondii2_0_v6:10:25281544:25287366:-1 gene:B456_010G123300 transcript:KJB65990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNYGLDYDDDYDDNYDEYDYGYEVNENETPPQKETIKHSVWRCSICTYDNDETMSSCDICGVLHGPLLNNSIYDKKRTANIFDLKSSRVSSTVVGRDEAVKVSSMRSDSSNQSTEKGKHNRLDEINILKNIEVGPPAGSRTSDNCSASVQEGWLGHVDESSVAVNLRSSGKSSLSLMPKERNVMVDDSSSSRNGGEANSLTSNLENISFAAKSGHSNDANPGRAISRAQYKPEKWMLPEKAEQSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADASILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQLIVAVNKMDAVDYSKERFDLIISQLGAFLRSCGFKDSSVSWIPLSAVENQNLVSAPSDFRLFWYLGPNLLDAIDSFQPPTREFSKPLIMPICDVIKSPSQGQVSACGKLEAGAVRSGSKVLVMPSATIATVRSLERDSEACPIGRAGDNIAVSLNGIDGNHVMAGGVLCHPDFPIAFAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVARISLLLDSKTGKVVKKAPRCILAKQSAVVEVILQEAVCVEEFSKCKVLGRVFLRTLGRTVAVGIVTRIVEEQQ >KJB65987 pep chromosome:Graimondii2_0_v6:10:25281544:25287366:-1 gene:B456_010G123300 transcript:KJB65987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNYGLDYDDDYDDNYDEYDYGYEVNENETPPQKETIKHSVWRCSICTYDNDETMSSCDICGVLHGPLLNNSIYDKKRTANIFDLKSSRVSSTVVGRDEAVKVSSMRSDSSNQSTEKGKHNRLDEINILKNIEVGPPAGSRTSDNCSASVQEGWLGHVDESSVAVNLRSSGKSSLSLMPKERNVMVDDSSSSRNGGEANSLTSNLENISFAAKSGHSNDANPGRAISRAQYKPEKWMLPEKAEQSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADASILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQLIVAVNKMDAVDYSKERFDLIISQLGAFLRSCGFKDSSVSWIPLSAVENQNLVSAPSDFRLFWYLGPNLLDAIDSFQPPTREFSKPLIMPICDVIKSPSQGQVSACGKLEAGAVRSGSKVLVMPSATIATVRSLERDSEACPIGRAGDNIAVSLNGIDGNHVMAGGVLCHPDFPIAFAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVARISLLLDSKTGKVVKKAPRCILAKQSAVVEVILQEAVCVEEFSKCKVLGRVFLRTLGRTVAVGIVTRIVEEQQ >KJB65988 pep chromosome:Graimondii2_0_v6:10:25281928:25286636:-1 gene:B456_010G123300 transcript:KJB65988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNYGLDYDDDYDDNYDEYDYGYEVNENAETPPQKETIKHSVWRCSICTYDNDETMSSCDICGVLHGPLLNNSIYDKKRTANIFDLKSSRVSSTVVGRDEAVKVSSMRSDSSNQSTEKGKHNRLDEINILKNIEVGPPAGSRTSDNCSASVQEGWLGHVDESSVAVNLRSSGKSSLSLMPKERNVMVDDSSSSRNGGEANSLTSNLENISFAAKSGHSNDANPGRAISRAQYKPEKWMLPEKAEQSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADASILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQLIVAVNKMDAVDYSKERFDLIISQLGAFLRSCGFKDSSVSWIPLSAVENQNLVSAPSDFRLFWYLGPNLLDAIDSFQPPTREFSKPLIMPICDVIKSPSQGQVSACGKLEAGAVRSGSKVLVMPSATIATVRSLERDSEACPIGRAGDNIAVSLNGIDGNHVMAGGVLCHPDFPIAFAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVARISLLLDSKTGKVVKKAPRCILAKQSAVVEVILQEAVCVEEFSKCKVLGRVFLRTLGRTVAVGIVTRIVEEQQ >KJB65989 pep chromosome:Graimondii2_0_v6:10:25281544:25286829:-1 gene:B456_010G123300 transcript:KJB65989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNYGLDYDDDYDDNYDEYDYGYEVNENAETPPQKETIKHSVWRCSICTYDNDETMSSCDICGVLHGPLLNNSIYDKKRTANIFDLKSSRVSSTVVGRDEAVKVSSMRSDSSNQSTEKGKHNRLDEINILKNIEVGPPAGSRTSDNCSASVQEGWLGHVDESSVAVNLRSSGKSSLSLMPKERNVMVDDSSSSRNGGEANSLTSNLENISFAAKSGHSNDANPGRAISRAQYKPEKWMLPEKAEQSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADASILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQLIVAVNKMDAVDYSKERFDLIISQLGAFLRSCGFKDSSVSWIPLSAVENQNLVSAPSDFRLFWYLGPNLLDAIDSFQPPTREFSKPLIMPICDVIKSPSQGQVSACGKLEAGAVRSGSKVLVMPSATIATVRSLERDSEACPIGRAGDNIAVSLNGIDGNHVMAGGVLCHPDFPIAFAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVARISLLLDSKTGKVVKKAPRCILAKQSAVVEVILQEAVCVEEFSKCKVLGRVFLRTLGRTVAVGIVTRIVEEQQ >KJB65982 pep chromosome:Graimondii2_0_v6:10:25281928:25285000:-1 gene:B456_010G123300 transcript:KJB65982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSDSSNQSTEKGKHNRLDEINILKNIEVGPPAGSRTSDNCSASVQEGWLGHVDESSVAVNLRSSGKSSLSLMPKERNVMVDDSSSSRNGGEANSLTSNLENISFAAKSGHSNDANPGRAISRAQYKPEKWMLPEKAEQSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADASILVIDASIGSFEAGMDGTKGQTREHAQLIRSFGVDQLIVAVNKMDAVDYSKERFDLIISQLGAFLRSCGFKDSSVSWIPLSAVENQNLVSAPSDFRLFWYLGPNLLDAIDSFQPPTREFSKPLIMPICDVIKSPSQGQVSACGKLEAGAVRSGSKVLVMPSATIATVRSLERDSEACPIGRAGDNIAVSLNGIDGNHVMAGGVLCHPDFPIAFAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVARISLLLDSKTGKVVKKAPRCILAKQSAVVEVILQEAVCVEEFSKCKVLGRVFLRTLGRTVAVGIVTRIVEEQQ >KJB66848 pep chromosome:Graimondii2_0_v6:10:45741865:45742462:-1 gene:B456_010G160600 transcript:KJB66848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSAKVMESLDSLWFFNNVLTLPTLKHHIDRGVALNEDTVEEEEVPSKSVPRCPKCGEIAAGLEQHIMQPIIEDEFKKPTQKPEKMKRSKRKVLGELDLGFHGKLTSDSSISVETVKYPIYYTKMPPLDDGLAMKEHLKSWAYAVACITTK >KJB64366 pep chromosome:Graimondii2_0_v6:10:4755766:4758333:1 gene:B456_010G045400 transcript:KJB64366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKSLRAGRYVHQFWSKSTLFLDCICGLGYSVISSSMKEIKVKDASPMCTLAPDTEPRIQPSSSVSEDRKCSSISVKSTPEIEKKYVHHVYDAIAPHFSSTRFAKWPKVAAFLESLPTGSLILDAGCGNGKYLGLNSSCYFIGCDISPSLIKICADRGHEVLVADAVNLPYRTNFGDAAISIAVLHHLSTENRRKKAIEELVRVVKKGGLVLITVWAVEQEDKSLLTKWTPLTERYLEEWIGPGSPRVRGPSSFTLESIPETEENGLGGHPKDSRVSLTENMQQSSCSTPQNEDDSMVSRDGKSQQEYFVPWHLPYHRAEVSGASAGALANGLAKKDDKKGAVVYNRYYHVFGEGELERLVSGMDNAVIVDKFYDKSNWCIILEKTLEG >KJB64367 pep chromosome:Graimondii2_0_v6:10:4755452:4758403:1 gene:B456_010G045400 transcript:KJB64367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKSLRAGRYVHQFWSKSTLFLDCICGLGYSVISSSMKEIKVKDASPMCTLAPDTEPRIQPSSSVSEDRKCSSISVKSTPEIEKKYVHHVYDAIAPHFSSTRFAKWPKVAAFLESLPTGSLILDAGCGNGKYLGLNSSCYFIGCDISPSLIKICADRGHEVLVADAVNLPYRTNFGDAAISIAVLHHLSTENRRKKAIEELVRVVKKGGLVLITVWAVEQEDKSLLTKWTPLTERYLEEWIGPGSPRVRGPSSFTLESIPETEENGLGGHPKDSRVSLTENMQQSSCSTPQNEDDSMVSRDGKSQQEYFVPWHLPYHRAEVSGASAGALANGLAKKDDKKGAVVYNRYYHVFGEGELERLVSGMDNAVIVDKFYDKSNWCIILEKTLEG >KJB66714 pep chromosome:Graimondii2_0_v6:10:42061295:42069030:1 gene:B456_010G153600 transcript:KJB66714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFGSQSSTIAPMIVTSTPSTDLALTNFAYCSPSDLQSFAVPGSKLFLANVGDAFVLSVSSHESIRNGYIALNAIHRRLAKVSNGDNVSVSRFVPPEDFNLALLRVELEFVKKGTKNEQVDAVLLANQLRKRFINQVMTAGQKVTFEYHGNNYIFTISQAQLEGQAAANAPERGMISSDTYFIFDAQNSSGIKVVNQREAASSNIFRHKEFNLQSLGIGGLSAEFADIFRRAFASRVFPPHVTNKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGKEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRTRGDESDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDENGRFQILQIHTNKMKENSFLAPDVNLQELAARTKNYSGAELEGVVKSAVSFALNRQVSMDDLTKPVDEENIKVTMDDFLNALLEIVPAFGASTDDLEQCRLNGMVDCGDRHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGSSGSGKTALAATVGIDSDFPYVKIVSAESMIGLHESTKCAQIVKVFEDAYKSPLSIIILDDIERLLEYVAIGPRFSNIISQTLLVLLKRLPPKVWEKTSGVWDNQ >KJB66715 pep chromosome:Graimondii2_0_v6:10:42061119:42070485:1 gene:B456_010G153600 transcript:KJB66715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFGSQSSTIAPMIVTSTPSTDLALTNFAYCSPSDLQSFAVPGSKLFLANVGDAFVLSVSSHESIRNGYIALNAIHRRLAKVSNGDNVSVSRFVPPEDFNLALLRVELEFVKKGTKNEQVDAVLLANQLRKRFINQVMTAGQKVTFEYHGNNYIFTISQAQLEGQAAANAPERGMISSDTYFIFDAQNSSGIKVVNQREAASSNIFRHKEFNLQSLGIGGLSAEFADIFRRAFASRVFPPHVTNKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGKEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRTRGDESDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDENGRFQILQIHTNKMKENSFLAPDVNLQELAARTKNYSGAELEGVVKSAVSFALNRQVSMDDLTKPVDEENIKVTMDDFLNALLEIVPAFGASTDDLEQCRLNGMVDCGDRHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGSSGSGKTALAATVGIDSDFPYVKIVSAESMIGLHESTKCAQIVKVFEDAYKSPLSIIILDDIERLLEYVAIGPRFSNIISQTLLVLLKRLPPKGKKLLVFGTTSEITFLDSVGICDAFSVTYHLPTLKTADAKKMPIKKLYMLIEMAAQGEQGGAAEAIYSGKEKIKISHFYDCLQDVVRV >KJB66713 pep chromosome:Graimondii2_0_v6:10:42061046:42070485:1 gene:B456_010G153600 transcript:KJB66713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFGSQSSTIAPMIVTSTPSTDLALTNFAYCSPSDLQSFAVPGSKLFLANVGDAFVLSVSSHESIRNGYIALNAIHRRLAKVSNGDNVSVSRFVPPEDFNLALLRVELEFVKKGTKNEQVDAVLLANQLRKRFINQVMTAGQKVTFEYHGNNYIFTISQAQLEGQAAANAPERGMISSDTYFIFDAQNSSGIKVVNQREAASSNIFRHKEFNLQSLGIGGLSAEFADIFRRAFASRVFPPHVTNKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGKEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRTRGDESDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDENGRFQILQIHTNKMKENSFLAPDVNLQELAARTKNYSGAELEGVVKSAVSFALNRQVSMDDLTKPVDEENIKVTMDDFLNALLEIVPAFGASTDDLEQCRLNGMVDCGDRHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGSSGSGKTALAATVGIDSDFPYVKIVSAESMIGLHESTKCAQIVKVFEDAYKSPLSIIILDDIERLLEYVAIGPRFSNIISQTLLVLLKRLPPKGKKLLVFGTTSEITFLDSVGICDAFSVTYHLPTLKTADAKKVLKQLNVFAEGDVDAAAEALNDMPIKKLYMLIEMAAQGEQGGAAEAIYSGKEKIKISHFYDCLQDVVRV >KJB66350 pep chromosome:Graimondii2_0_v6:10:31593471:31593875:1 gene:B456_010G137100 transcript:KJB66350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSSSTPILNSWWPNSIVCSISLPEPEFGALQRTSSISFHSPSSNDDYKLSKPLNLADNSIQNDVPKPRKNMGKAIIATPHSRHKQAKQETNGDTTPKQAFSGAFLGL >KJB64250 pep chromosome:Graimondii2_0_v6:10:4314662:4321253:-1 gene:B456_010G043300 transcript:KJB64250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFQNDDLEYIVDDYYDFDDFEHDDLFAEPEPRRDTADFDSFDSDFEDDFESKPKTDTSAVEARNGKDIQGIPWERLNFTRDKYRETRLRQYKNYESLSGSREGMEKECLQVEKGNAFYDFQFNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLRKGKEVLNVAKPIVPNLKRQSQQLSRVQISTMAVKENLMVAGGFQGELICKYINQPGVAFSTKVTTDDNAITNAVDVYLNPSGAMRVMAANNDAQIRVFDAETFSTLNRFSFDWSVNNTSVSPDGKLLAVLGDSTDCLIADAQSGKVTGTLEGHLDYSFASAWHPDGNILATGNQDTTCRLWDVRKPSQSLAVLKGRMGAIRALKFTSDGRFLAMAEPADFVHVFDTKSGYVKCQEIDFFGEVAGISFSPDTESLFVGVADRTYGSLLEFNRRRHNQYLYSIL >KJB64249 pep chromosome:Graimondii2_0_v6:10:4314641:4321253:-1 gene:B456_010G043300 transcript:KJB64249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFQNDDLEYIVDDYYDFDDFEHDDLFAEPEPRRDTADFDSFDSDFEDDFESKKPKTDTSAVEARNGKDIQGIPWERLNFTRDKYRETRLRQYKNYESLSGSREGMEKECLQVEKGNAFYDFQFNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLRKGKEVLNVAKPIVPNLKRQSQQLSRVQISTMAVKENLMVAGGFQGELICKYINQPGVAFSTKVTTDDNAITNAVDVYLNPSGAMRVMAANNDAQIRVFDAETFSTLNRFSFDWSVNNTSVSPDGKLLAVLGDSTDCLIADAQSGKVTGTLEGHLDYSFASAWHPDGNILATGNQDTTCRLWDVRKPSQSLAVLKGRMGAIRALKFTSDGRFLAMAEPADFVHVFDTKSGYVKCQEIDFFGEVAGISFSPDTESLFVGVADRTYGSLLEFNRRRHNQYLYSIL >KJB64251 pep chromosome:Graimondii2_0_v6:10:4315514:4320506:-1 gene:B456_010G043300 transcript:KJB64251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFQNDDLEYIVDDYYDFDDFEHDDLFAEPEPRRDTADFDSFDSDFEDDFESKKPKTDTSAVEARNGKDIQGIPWERLNFTRDKYRETRLRQYKNYESLSGSREGMEKECLQVEKGNAFYDFQFNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLRKGKEVLNVAKPIVPNLKRQSQQLSRVQISTMAVKENLMVAGGFQGELICKYINQPGVAFSTKVTTDDNAITNAVDVYLNPSGAMRVMAANNDAQIRVFDAETFSTLNRFSFDWSVNVSASLQILAVEFHFFGCFSYFYGCLLLTEYLC >KJB64248 pep chromosome:Graimondii2_0_v6:10:4314641:4321245:-1 gene:B456_010G043300 transcript:KJB64248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFQNDDLEYIVDDYYDFDDFEHDDLFAEPEPRRDTADFDSFDSDFEDDFESKKPKTDTSAVEARNGKDIQGIPWERLNFTRDKYRETRLRQYKNYESLSGSREGMEKECLQVEKGNAFYDFQFNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLRKGKEVLNVAKPIVPNLKRQSQQLSRVQISTMAVKENLMVAGGFQGELICKYINQPGVAFSTKVTTDDNAITNAVDVYLNPSGAMRVMAANNDAQIRVFDAETFSTLNRFSFDWSVNNTSVSPDGKLLAVLGDSTDCLIADAQSGKVTGTLEGHLDYSFASAWHPDGNILATGNQDTTCRLWDVRKPSQSLAVLKGRMGAIRALKFTSDGRFLAMAEPADFVHVFDTKSGYVKCQEIDFFGEVAGISFSPDTESLFVGVADRTYGSLLEFNRRRHNQYLYSIL >KJB64371 pep chromosome:Graimondii2_0_v6:10:4880821:4882407:1 gene:B456_010G045900 transcript:KJB64371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSTTSVNGFYTFLTRGIDDLERVFLSNNFMSIQFLQRVLSLLRSFHSQLLLLVQKLHLPVGDKWLDEYMDESSKLWEACHVLKTGLSSMENYFSAGFNITSSLDNHRHLNPQVSRQIIRAISGCRREALGLEEENKALMQTRIQPLSLRFDERVSIESKLNGFNGFRGVLYAMRNVSSLLLMILLYGLVYCWPESSFLRGGYEGCLFFGSTFMISTARLQQRVAEQINQMNGRGGILMYEYRGAKMAMEEVKEEMERKGGENREEGIRERVEKMKGCFGVLRCGTESIIAQIDDFFDEIVEGRKKLLDFCSHR >KJB65853 pep chromosome:Graimondii2_0_v6:10:24137090:24139760:-1 gene:B456_010G120100 transcript:KJB65853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKDGESISQTPQGVFKPLASYSSMLNQIEELLSARDYKAAIQLSENLRSLALKGLHYFQTFEDSLRLSLLFLLL >KJB65580 pep chromosome:Graimondii2_0_v6:10:18461551:18464286:1 gene:B456_010G102000 transcript:KJB65580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPELRIVTESKLSQISKTHRPMSLITRATANRLPHLLFAQRALSSSSATPTTYVRPSPPPSASGPPPGISKTAEYVISKVDDLLNWARRGSIWPMTFGLACCAVEMMHTGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWSK >KJB68622 pep chromosome:Graimondii2_0_v6:10:62030758:62033265:1 gene:B456_010G255300 transcript:KJB68622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFDAYGMEGEEIHAAPNNHPFAVGDESYSNYGSYSNFTDDQLFTGDGGDVAVDDVTTSADIFGFGSSDPTPAYLQSPFATAIPVENGNGTNGFYLGNDGVFASDGPVLPPPTEMEPEEGFVLREWRRQNAILLEEKEKKEKELRSQIVEEAEVFKRAFYEKREKTIETNKTNYREREKQLYVANQEKFHKTADKQYWTAIAELIPREVPNIEKKRGKKDKENKPSITVIQGPKPGKPTDLSRMRHILVKLKHTPPPHMLPPPPAKEGKEGKDGKNDPKNGKEAASNGTASAEKMATEKDATANGSAPEHDATTATKDQSGAEAEPEAKSTA >KJB68621 pep chromosome:Graimondii2_0_v6:10:62030678:62033273:1 gene:B456_010G255300 transcript:KJB68621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFDAYGMEGEEIHAAPNNHPFAVGDESYSNYGSYSNFTDDQLFTGDGGDVAVDDVTTSADIFGFGSSDPTPAYLQSPFATAIPVENGNGTNGFYLGNDGVFASDGPVLPPPTEMEPEEGFVLREWRRQNAILLEEKEKKEKELRSQIVEEAEVFKRAFYEKREKTIETNKTNYREREKLYVANQEKFHKTADKQYWTAIAELIPREVPNIEKKRGKKDKENKPSITVIQGPKPGKPTDLSRMRHILVKLKHTPPPHMLPPPPAKEGKEGKDGKNDPKNGKEAASNGTASAEKMATEKDATANGSAPEHDATTATKDQSGAEAEPEAKSTA >KJB66555 pep chromosome:Graimondii2_0_v6:10:37104028:37109984:1 gene:B456_010G143600 transcript:KJB66555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPSTWRYINRTRVPHPSLFLTYIVYRVLRVLFNSQASLSYTSYVHVSRHLCLPHLRRQLTQRSKTAILLSYEMKPPLSLLNPSMLSGSGSLMWFRKGLRVHDNPALEYASRGSTCVYPLFVIDPHYMVLDPNAYSPGSTRAGINRIRFLLESLADLDSSLKKLGSRLLVLKGEPSEVLIRCLNEWDVRKLCFEYDTDPYYQALDDKAKNYASAAGIEVFSPVSHTIFDPADIIEKNGGRPPLSYQSFLKLAGEPSFSLSVELSWMPPVGDVGRCEILQVPTLKELGYVEKHQDEFTPFRGGESEALRRLRESLSDKEWVANFEKPKGDPSAYIKPATTVLSPYLKFGCLSSRYFYQCLKDVYKNVKRHTSPPVSLVGQLLWREFFYTVAFGTPNFDEMKGNKICKQIPWNDDDKLLAAWREARTGYPWIDAIMVQLRKWGWMHHLARHSVACFLTRGDLFVHWEKGRDIFERLLIDSDWAINNGNWLWLSCSSFFYQYNRIYSPISFGRKYDPNGNYIRHFLPILKDMPKEYIYEPWTAPLSVQSKAKCIIGRDYPKPVVLHDTASNECRKKMGQAYALNQRLNGRVSEEDLRKLRRKFDEDEDKQEPKPRRQRQKLIK >KJB66557 pep chromosome:Graimondii2_0_v6:10:37104290:37108327:1 gene:B456_010G143600 transcript:KJB66557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLSLLNPSMLSGSGSLMWFRKGLRVHDNPALEYASRGSTCVYPLFVIDPHYMVLDPNAYSPGSTRAGINRIRFLLESLADLDSSLKKLGSRLLVLKGEPSEVLIRCLNEWDVRKLCFEYDTDPYYQALDDKAKNYASAAGIEVFSPVSHTIFDPADIIEKNGGRPPLSYQSFLKLAGEPSFSLSVELSWMPPVGDVGRCEILQVPTLKELGYVEKHQDEFTPFRGGESEALRRLRESLSDKEWVANFEKPKGDPSAYIKPATTVLSPYLKFGCLSSRYFYQCLKDVYKNVKRHTSPPVSLVGQLLWREFFYTVAFGTPNFDEMKGNKICKQIPWNDDDKLLAAWREARTGYPWIDAIMVQLRKWGWMHHLARHSVACFLTRGDLFVHWEKGRDIFERLLIDSDWAINNGNWLWLSCSSFFYQV >KJB66559 pep chromosome:Graimondii2_0_v6:10:37104187:37109938:1 gene:B456_010G143600 transcript:KJB66559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLSLLNPSMLSGSGSLMWFRKGLRVHDNPALEYASRGSTCVYPLFVIDPHYMVLDPNAYSPGSTRAGINRIRFLLESLADLDSSLKKLGSRLLVLKGEPSEVLIRCLNEWDVRKLCFEYDTDPYYQALDDKAKNYASAAGIEVFSPVSHTIFDPADIIEKNGGRPPLSYQSFLKLAGEPSFSLSVELSWMPPVGDVGRCEILQVPTLKELGYVEKHQDEFTPFRGGESEALRRLRESLSDKEWVANFEKPKGDPSAYIKPATTVLSPYLKFGCLSSRYFYQCLKDVYKNVKRHTSPPVSLVGQLLWREFFYTVAFGTPNFDEMKGNKICKQIPWNDDDKLLAAWREARTGYPWIDAIMVQLRKWGWMHHLARHSVACFLTRGDLFVHWEKGRDIFERLLIDSDWAINNGNWLWLSCSSFFYQNCCQICCLHNSLFNMSDCCFQQYNRIYSPISFGRKYDPNGNYIRHFLPILKDMPKEYIYEPWTAPLSVQSKAKCIIGRDYPKPVVLHDTASNECRKKMGQAYALNQRLNGRVSEEDLRKLRRKFDEDEDKQEPKPRRQRQKLIK >KJB66556 pep chromosome:Graimondii2_0_v6:10:37104290:37108327:1 gene:B456_010G143600 transcript:KJB66556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLSLLNPSMLSGSGSLMWFRKGLRVHDNPALEYASRGSTCVYPLFVIDPHYMVLDPNAYSPGSTRAGINRIRFLLESLADLDSSLKKLGSRLLVLKGEPSEVLIRCLNEWDVRKLCFEYDTDPYYQALDDKAKNYASAAGIEVFSPVSHTIFDPADIIEKNGGRPPLSYQSFLKLAGEPSFSLSVELSWMPPVGDVGRCEILQVPTLKELGYVEKHQDEFTPFRGGESEALRRLRESLSDKEWVANFEKPKGDPSAYIKPATTVLSPYLKFGCLSSRYFYQCLKDVYKNVKRHTSPPVSLVGQLLWREFFYTVAFGTPNFDEMKGNKICKQIPWNDDDKLLAAWREARTGYPWIDAIMVQLRKWGWMHHLARHSVACFLTRGDLFVHWEKGRDIFERLLIDSDWAINNGNWLWLSCSSFFYQV >KJB66558 pep chromosome:Graimondii2_0_v6:10:37104187:37109938:1 gene:B456_010G143600 transcript:KJB66558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLSLLNPSMLSGSGSLMWFRKGLRVHDNPALEYASRGSTCVYPLFVIDPHYMVLDPNAYSPGSTRAGINRIRFLLESLADLDSSLKKLGSRLLVLKGEPSEVLIRCLNEWDVRKLCFEYDTDPYYQALDDKAKNYASAAGIEVFSPVSHTIFDPADIIEKNGGRPPLSYQSFLKLAGEPSFSLSVELSWMPPVGDVGRCEILQVPTLKELGYVEKHQDEFTPFRGGESEALRRLRESLSDKEWVANFEKPKGDPSAYIKPATTVLSPYLKFGCLSSRYFYQCLKDVYKNVKRHTSPPVSLVGQLLWREFFYTVAFGTPNFDEMKGNKICKQIPWNDDDKLLAAWREARTGYPWIDAIMVQLRKWGWMHHLARHSVACFLTRGDLFVHWEKGRDIFERLLIDSDWAINNGNWLWLSCSSFFYQAFSPHTKRHAKGIHI >KJB67306 pep chromosome:Graimondii2_0_v6:10:53592314:53593760:1 gene:B456_010G185000 transcript:KJB67306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAFPIIDLSTINGEERGATMDMIKDACENWGFFELMNHGISHELMDTVEKLTNEHYKKCMKERFKEMVTSKGLKVVQSEITGMDWESTFFLRHLPESNLYEILDLEDDYRKVMKQFAVELEKLPEKLLKILCENLGLEQGYLKKVFYGSKGPTFGTKVSNYPLCLKPNLIKGLRAHTDAGGIILLFQDDKVISNIKYKSVMHRVLAQTDRTRMSIASCYNRESDVVLYPAPALVDKEAEKPIVYPKFMFEDYMKVYPSLKFENNEPRIEAMKTMESIVSLGPIATV >KJB67305 pep chromosome:Graimondii2_0_v6:10:53592397:53593179:1 gene:B456_010G185000 transcript:KJB67305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAFPIIDLSTINGEERGATMDMIKDACENWGFFELMNHGISHELMDTVEKLTNEHYKKCMKERFKEMVTSKGLKVVQSEITGMDWESTFFLRHLPESNLYEILDLEDDYRKVMKQFAVELEKLPEKLLKILCENLGLEQGYLKKVFYGSKGPTFGTKVSNYPLCLKPNLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDDQ >KJB64796 pep chromosome:Graimondii2_0_v6:10:8388543:8389563:-1 gene:B456_010G065300 transcript:KJB64796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSEQYCKLCLWLYSFLMKRGLNFMFNFLTCILSLFCFLTIRQLMAVILSMLRMNFSHKRLYYMKKKLR >KJB68488 pep chromosome:Graimondii2_0_v6:10:61645482:61654664:-1 gene:B456_010G248500 transcript:KJB68488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQKQRLQLEMQRYLSLRQMHQDSDAPEIQKKVLYSFQNMSRTFSDPVKAEESFQILDQLKDANIWKILSNLLDPNTSFHQASSGRDELLKMLAEGHELYDFLSTLSLKCSYLLFNKEHVKEILLEVTVQKSAGNTLYIQSCMNILVILARFSPSLLGGAEEELITFLEDDNEIIKEGILHVLAKAGSTIREQLAVSSSSVDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKSLVDMLEEKTHLPAVLQSLGCIAQTAMPVFETREGEIEEFIKTKILRCNNDADGSANGCWDDKSELCMLKVFGIKTLVKSYLPVKDAHLRPAIDDLLGLLRNILSFGEISEDIKSSLVDKAHLRLAAAKAVVRLSRYWDHKVPVDVFYLTLRTAEISFPQARKQFLNKVHQYIKDRLLDAKYACAFLFNIPGSKPLQFEEDKQNLADIFQMYQQAKVRQVAIQSDTNSSTSYPEYILAYLVHALAHHSCPNTDECKDVKAFELIFRQLYLTISMLVNKDEDAKSEADANKEKENISMIFSIFKSIKHSEDIVDATKSKNSHAICDLGLSIMKLLGYKEDAGLIQSVSLPPILYKPYERKEGEDSQAGGRQTWLADESILSHFESVKLECDRMVHAEIVKEAFKDSETDRNEVPLRKMIKQLKSKEPKGGKAKKNKSLPVEAKDAENDVDILKMVREINLDSLERSSKFESTNGHKHFPTKKEKVEQEHQKGNKRKLSVAASVPVPKRKRSLPAHSAFKLSRSVSKVPSGHDWHEVKDSSFLPMKMDIDKFYDSKDKKPTNRKRNENNELDHLVSCSRKRRSVPLKAKGKGSDSDEADEDEADDENLEKLDTPKSAAGSSKKQKRSIAGLAKSSTKEDKIDVADLIGYRIKVWWPLDKQFYEGTIKSYDAIKKKHVVLYDDGDVEVLRLDRERWELIGTGRKSGKKSNSSKVSNSPLKEVSPGQKSKSSGASRQNISSVKTLKGKRTPKKNLKHAQRSMLKDDEENAGVSESKSTAAKKIPKMNSDESEGSDTEMVDENLTDREESGKKTASVSQGRCSEDTKGSPNNAEESDEVKSDADGNLSGHIDSTSENARKVDEEEKAADELSEESREPASKATASEPEEAEESDHVESKTPISKKTSKGSSSTSDVADSGISDDEPLSKWKHKVGKSGSKKLQ >KJB68482 pep chromosome:Graimondii2_0_v6:10:61645966:61658960:-1 gene:B456_010G248500 transcript:KJB68482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKLEEQLKELGSKLDPPPTTKDALLKLLEQAATCLSELDQSPTSSIMESMQPLLNAIVKPELLKHQDKDAKLLVATCICEITRITAPEAPYSDDVLKDIFNLIVGTFNGLSDTDGPSFRRIVVILETLAKYRSCVVMLDLECDDLVNEMFSTFFSVVRDDHPESVLSSMQTIIIVVLEESEDVRDDLLLVILSALGRNKSADQLDTRLRAVRLVGSLFALPGANICEAFQPIFSEFLKRLTDRVVDVRMSILEHVKICLLSDPSRPEVHQIISALCDRLLDYDENVRKQVVDVICDVACHSLVSIPVRAVKLVAERIRDKSLLVKKYTMERVAEIFRVYCAGCSDGSINQNEFDWIPGKIFRCFYDKDFRSDTIESILCESLFPTEFSIRDKVKCWIRVFSGFDKIEVKALERMLEQKQRLQLEMQRYLSLRQMHQDSDAPEIQKKVLYSFQNMSRTFSDPVKAEESFQILDQLKDANIWKILSNLLDPNTSFHQASSGRDELLKMLAEGHELYDFLSTLSLKCSYLLFNKEHVKEILLEVTVQKSAGNTLYIQSCMNILVILARFSPSLLGGAEEELITFLEDDNEIIKEGILHVLAKAGSTIREQLAVSSSSVDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKSLVDMLEEKTHLPAVLQSLGCIAQTAMPVFETREGEIEEFIKTKILRCNNDADGSANGCWDDKSELCMLKVFGIKTLVKSYLPVKDAHLRPAIDDLLGLLRNILSFGEISEDIKSSLVDKAHLRLAAAKAVVRLSRYWDHKVPVDVFYLTLRTAEISFPQARKQFLNKVHQYIKDRLLDAKYACAFLFNIPGSKPLQFEEQDKQNLADIFQMYQQAKVRQVAIQSDTNSSTSYPEYILAYLVHALAHHSCPNTDECKDVKAFELIFRQLYLTISMLVNKDEDAKSEADANKEKENISMIFSIFKSIKHSEDIVDATKSKNSHAICDLGLSIMKLLGYKEDAGLIQSVSLPPILYKPYERKEGEDSQAGGRQTWLADESILSHFESVKLECDRMVHAEIVKEAFKDSETDRNEVPLRKMIKQLKSKEPKGGKAKKNKSLPVEAKDAENDVDILKMVREINLDSLERSSKFESTNGHKHFPTKKEKVEQEHQKGNKRKLSVAASVPVPKRKRSLPAHSAFKLSRSVSKVPSGHDWHEVKDSSFLPMKMDIDKFYDSKDKKPTNRKRNENNELDHLVSCSRKRRSVPLKAKGKGSDSDEADEDEADDENLEKLDTPKSAAGSSKKQKRSIAGLAKSSTKEDKIDVADLIGYRIKVWWPLDKQFYEGTIKSYDAIKKKHVVLYDDGDVEVLRLDRERWELIGTGRKSGKKSNSSKVSNSPLKEVSPGQKSKSSGASRQNISSVKTLKGKRTPKKNLKHAQRSMLKDDEENAGVSESKSTAAKKIPKMNSDESEGSDTEMVDENLTDREESGKKTASVSQGRCSEDTKGSPNNAEESDEVKSDADGNLSGHIDSTSENARKVDEEEKAADELSEESREPASKATASEPEEAEESDHVESKTPISKKTSKGSSSTSDVADSGISDDEPLSKWKHKVGKSGSKKLQ >KJB68487 pep chromosome:Graimondii2_0_v6:10:61647062:61654532:-1 gene:B456_010G248500 transcript:KJB68487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQKQRLQLEMQRYLSLRQMHQDSDAPEIQKKVLYSFQNMSRTFSDPVKAEESFQILDQLKDANIWKILSNLLDPNTSFHQASSGRDELLKMLAEGHELYDFLSTLSLKCSYLLFNKEHVKEILLEVTVQKSAGNTLYIQSCMNILVILARFSPSLLGGAEEELITFLEDDNEIIKEGILHVLAKAGSTIREQLAVSSSSVDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKSLVDMLEEKTHLPAVLQSLGCIAQTAMPVFETREGEIEEFIKTKILRCNNDADGSANGCWDDKSELCMLKVFGIKTLVKSYLPVKDAHLRPAIDDLLGLLRNILSFGEISEDIKSSLVDKAHLRLAAAKAVVRLSRYWDHKVPVDVFYLTLRTAEISFPQARKQFLNKVHQYIKDRLLDAKYACAFLFNIPGSKPLQFEEDKQNLADIFQMYQQAKVRQVAIQSDTNSSTSYPEYILAYLVHALAHHSCPNTDECKDVKAFELIFRQLYLTISMLVNKDEDAKSEADANKEKENISMIFSIFKSIKHSEDIVDATKSKNSHAICDLGLSIMKLLGYKEDAGLIQSVSLPPILYKPYERKEGEDSQAGGRQTWLADESILSHFESVKLECDRMVHAEIVKEAFKDSETDRNEVPLRKMIKQLKSKEPKGGKAKKNKSLPVEAKDAENDVDILKMVREINLDSLERSSKFESTNGHKHFPTKKEKVEQEHQKGNKRKLSVAASVPVPKRKRSLPAHSAFKLSRSVSKVPSGHDWHEVKDSSFLPMKMDIDKFYDSKDKKPTNRKRNENNELDHLVSCSRKRRSVPLKAKGKGSDSDEADEDEADDENLEKLDTPKSAAGSSKKQKRSIAGLAKSSTKEDKIDVADLIGYRIKVWWPLDKQFYEGTIKSYDAIKKKHVVLYDDGDVEVLRLDRERWELIGTGRKSGKKSNSSKVSNSPLKEVYVSWAEK >KJB68484 pep chromosome:Graimondii2_0_v6:10:61645440:61659226:-1 gene:B456_010G248500 transcript:KJB68484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKLEEQLKELGSKLDPPPTTKDALLKLLEQAATCLSELDQSPTSSIMESMQPLLNAIVKPELLKHQDKDAKLLVATCICEITRITAPEAPYSDDVLKDIFNLIVGTFNGLSDTDGPSFRRIVVILETLAKYRSCVVMLDLECDDLVNEMFSTFFSVVRDDHPESVLSSMQTIIIVVLEESEDVRDDLLLVILSALGRNKSGVTQAARRLAMNVIEQCSEKLEAGIKQILISLMSGDNQLIKSEIDYHEVIYGIYHCAPQILSGVVPYLTGELLADQLDTRLRAVRLVGSLFALPGANICEAFQPIFSEFLKRLTDRVVDVRMSILEHVKICLLSDPSRPEVHQIISALCDRLLDYDENVRKQVVDVICDVACHSLVSIPVRAVKLVAERIRDKSLLVKKYTMERVAEIFRVYCAGCSDGSINQNEFDWIPGKIFRCFYDKDFRSDTIESILCESLFPTEFSIRDKVKCWIRVFSGFDKIEVKALERMLEQKQRLQLEMQRYLSLRQMHQDSDAPEIQKKVLYSFQNMSRTFSDPVKAEESFQILDQLKDANIWKILSNLLDPNTSFHQASSGRDELLKMLAEGHELYDFLSTLSLKCSYLLFNKEHVKEILLEVTVQKSAGNTLYIQSCMNILVILARFSPSLLGGAEEELITFLEDDNEIIKEGILHVLAKAGSTIREQLAVSSSSVDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKSLVDMLEEKTHLPAVLQSLGCIAQTAMPVFETREGEIEEFIKTKILRCNNDADGSANGCWDDKSELCMLKVFGIKTLVKSYLPVKDAHLRPAIDDLLGLLRNILSFGEISEDIKSSLVDKAHLRLAAAKAVVRLSRYWDHKVPVDVFYLTLRTAEISFPQARKQFLNKVHQYIKDRLLDAKYACAFLFNIPGSKPLQFEEDKQNLADIFQMYQQAKVRQVAIQSDTNSSTSYPEYILAYLVHALAHHSCPNTDECKDVKAFELIFRQLYLTISMLVNKDEDAKSEADANKEKENISMIFSIFKSIKHSEDIVDATKSKNSHAICDLGLSIMKLLGYKEDAGLIQSVSLPPILYKPYERKEGEDSQAGGRQTWLADESILSHFESVKLECDRMVHAEIVKEAFKDSETDRNEVPLRKMIKQLKSKEPKGGKAKKNKSLPVEAKDAENDVDILKMVREINLDSLERSSKFESTNGHKHFPTKKEKVEQEHQKGNKRKLSVAASVPVPKRKRSLPAHSAFKLSRSVSKVPSGHDWHEVKDSSFLPMKMDIDKFYDSKDKKPTNRKRNENNELDHLVSCSRKRRSVPLKAKGKGSDSDEADEDEADDENLEKLDTPKSAAGSSKKQKRSIAGLAKSSTKEDKIDVADLIGYRIKVWWPLDKQFYEGTIKSYDAIKKKHVVLYDDGDVEVLRLDRERWELIGTGRKSGKKSNSSKVSNSPLKEVSPGQKSKSSGASRQNISSVKTLKGKRTPKKNLKHAQRSMLKDDEENAGVSESKSTAAKKIPKMNSDESEGSDTEMVDENLTDREESGKKTASVSQGRCSEDTKGSPNNAEESDEVKSDADGNLSGHIDSTSENARKVDEEEKAADELSEESREPASKATASEPEEAEESDHVESKTPISKKTSKGSSSTSDVADSGISDDEPLSKWKHKVGKSGSKKLQ >KJB68486 pep chromosome:Graimondii2_0_v6:10:61645482:61647978:-1 gene:B456_010G248500 transcript:KJB68486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDDEENAGVSESKSTAAKKIPKMNSDESEGSDTEMVDENLTDREESGKKTASVSQGRCSEDTKGSPNNAEESDEVKSDADGNLSGHIDSTSENARKVDEEEKAADELSEESREPASKATASEPEEAEESDHVESKTPISKKTSKGSSSTSDVADSGISDDEPLSKWKHKVGKSGSKKLQ >KJB68483 pep chromosome:Graimondii2_0_v6:10:61645385:61659226:-1 gene:B456_010G248500 transcript:KJB68483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKLEEQLKELGSKLDPPPTTKDALLKLLEQAATCLSELDQSPTSSIMESMQPLLNAIVKPELLKHQDKDAKLLVATCICEITRITAPEAPYSDDVLKDIFNLIVGTFNGLSDTDGPSFRRIVVILETLAKYRSCVVMLDLECDDLVNEMFSTFFSVVRDDHPESVLSSMQTIIIVVLEESEDVRDDLLLVILSALGRNKSADQLDTRLRAVRLVGSLFALPGANICEAFQPIFSEFLKRLTDRVVDVRMSILEHVKICLLSDPSRPEVHQIISALCDRLLDYDENVRKQVVDVICDVACHSLVSIPVRAVKLVAERIRDKSLLVKKYTMERVAEIFRVYCAGCSDGSINQNEFDWIPGKIFRCFYDKDFRSDTIESILCESLFPTEFSIRDKVKCWIRVFSGFDKIEVKALERMLEQKQRLQLEMQRYLSLRQMHQDSDAPEIQKKVLYSFQNMSRTFSDPVKAEESFQILDQLKDANIWKILSNLLDPNTSFHQASSGRDELLKMLAEGHELYDFLSTLSLKCSYLLFNKEHVKEILLEVTVQKSAGNTLYIQSCMNILVILARFSPSLLGGAEEELITFLEDDNEIIKEGILHVLAKAGSTIREQLAVSSSSVDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKSLVDMLEEKTHLPAVLQSLGCIAQTAMPVFETREGEIEEFIKTKILRCNNDADGSANGCWDDKSELCMLKVFGIKTLVKSYLPVKDAHLRPAIDDLLGLLRNILSFGEISEDIKSSLVDKAHLRLAAAKAVVRLSRYWDHKVPVDVFYLTLRTAEISFPQARKQFLNKVHQYIKDRLLDAKYACAFLFNIPGSKPLQFEEDKQNLADIFQMYQQAKVRQVAIQSDTNSSTSYPEYILAYLVHALAHHSCPNTDECKDVKAFELIFRQLYLTISMLVNKDEDAKSEADANKEKENISMIFSIFKSIKHSEDIVDATKSKNSHAICDLGLSIMKLLGYKEDAGLIQSVSLPPILYKPYERKEGEDSQAGGRQTWLADESILSHFESVKLECDRMVHAEIVKEAFKDSETDRNEVPLRKMIKQLKSKEPKGGKAKKNKSLPVEAKDAENDVDILKMVREINLDSLERSSKFESTNGHKHFPTKKEKVEQEHQKGNKRKLSVAASVPVPKRKRSLPAHSAFKLSRSVSKVPSGHDWHEVKDSSFLPMKMDIDKFYDSKDKKPTNRKRNENNELDHLVSCSRKRRSVPLKAKGKGSDSDEADEDEADDENLEKLDTPKSAAGSSKKQKRSIAGLAKSSTKEDKIDVADLIGYRIKVWWPLDKQFYEGTIKSYDAIKKKHVVLYDDGDVEVLRLDRERWELIGTGRKSGKKSNSSKVSNSPLKEVSPGQKSKSSGASRQNISSVKTLKGKRTPKKNLKHAQRSMLKDDEENAGVSESKSTAAKKIPKMNSDESEGSDTEMVDENLTDREESGKKTASVSQGRCSEDTKGSPNNAEESDEVKSDADGNLSGHIDSTSENARKVDEEEKAADELSEESREPASKATASEPEEAEESDHVESKTPISKKTSKGSSSTSDVADSGISDDEPLSKWKHKVGKSGSKKLQ >KJB68485 pep chromosome:Graimondii2_0_v6:10:61645482:61647191:-1 gene:B456_010G248500 transcript:KJB68485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDDEENAGVSESKSTAAKKIPKMNSDESEGSDTEMVDENLTDREESGKKTASVSQGRCSEDTKGSPNNAEESDEVKSDADGNLSGHIDSTSENARKVDEEEKAADELSEESREPASKATASEPEEAEESDHVESKTPISKKTSKGSSSTSDVADSGISDDEPLSKWKHKVGKSGSKKLQ >KJB65390 pep chromosome:Graimondii2_0_v6:10:15019434:15020434:-1 gene:B456_010G092900 transcript:KJB65390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFLSFVSLYGIYLRRCFATSGLTSQFIDIDDHTTIHLWAPNPDHQTPQQQKPSLLLLHGFGPSAMWQWRRQAQFFSPHFHVYVPDLVFFGDSTTKSKERSEVFQAVSMGKLMEKLQVKKYHVMGTSYGGFVAYHMAKLWPEKVEKVVIASSGVNMRKADNMELLQRANVENVEEIMLPETATQLRTLTRLAISRRFTMVPDFFWKDVINS >KJB65389 pep chromosome:Graimondii2_0_v6:10:15018597:15020586:-1 gene:B456_010G092900 transcript:KJB65389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFLSFVSLYGIYLRRCFATSGLTSQFIDIDDHTTIHLWAPNPDHQTPQQQKPSLLLLHGFGPSAMWQWRRQAQFFSPHFHVYVPDLVFFGDSTTKSKERSEVFQAVSMGKLMEKLQVKKYHVMGTSYGGFVAYHMAKLWPEKVEKVVIASSGVNMRKADNMELLQRANVENVEEIMLPETATQLRTLTRLAISRRFTMVPDFFWKDVINQLYSENRNEKLQLLKGITLLAGDDSCKLPPLQQDVLIVWGDKDQIFPLKMAYELKELTGKKTRLEIIQNTSHVPQIENSTRFNNIVKNFLCGSS >KJB65392 pep chromosome:Graimondii2_0_v6:10:15018692:15020576:-1 gene:B456_010G092900 transcript:KJB65392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFLSFVSLYGIYLRRCFATSGLTSQFIDIDDHTTIHLWAPNPDHQTPQQQKPSLLLLHGFGPSAMWQWRRQAQFFSPHFHVYVPDLVFFGDSTTKSKERSEVFQAVSMGKLMEKLQVKKYHVMGTSYGGFVAYHMAKLWPEKVEKVVIASSGVNMRKADNMELLQRANVENVEEIMLPETATQLRTLTRLAISRRFTMVPDFFWKDVINLISCKMQQLYSENRNEKLQLLKGITLLAGDDSCKLPPLQQDVLIVWGDKDQIFPLKMAYELKELTGKKTRLEIIQNTSHVPQIENSTRFNNIVKNFLCGSS >KJB65393 pep chromosome:Graimondii2_0_v6:10:15018692:15020576:-1 gene:B456_010G092900 transcript:KJB65393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFLSFVSLYGIYLRRCFATSGLTSQFIDIDDHTTIHLWAPNPDHQTPQQQKPSLLLLHGFGPSAMWQWRRQAQFFSPHFHVYVPDLVFFGDSTTKSKERSEVFQAVSMGKLMEKLQVKKYHVMGTSYGGFVAYHMAKLWPEKVEKVVIASSGVNMRKADNMELLQRANVENVEEIMLPETATQLRTLTRLAISRRFTMVPDFFWKDVINQLYSENRNEKLQLLKGITLLAGDDSCKLPPLQQVYINIHIITS >KJB65391 pep chromosome:Graimondii2_0_v6:10:15019434:15020434:-1 gene:B456_010G092900 transcript:KJB65391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFLSFVSLYGIYLRRCFATSGLTSQFIDIDDHTTIHLWAPNPDHQTPQQQKPSLLLLHGFGPSAMWQWRRQAQFFSPHFHVYVPDLVFFGDSTTKSKERSEVFQAVSMGKLMEKLQVKKYHVMGTSYGGFVAYHMAKLWPEKVEKVVIASSGVNMRKADNMELLQRANVENVEEIMLPETATQLRTLTRLAISRRFTMVPDFFWKDVINS >KJB65547 pep chromosome:Graimondii2_0_v6:10:17700061:17703666:-1 gene:B456_010G100000 transcript:KJB65547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMIYFLFFSLGQCSVDFNALLSFKDSVFDPSNSLSSWVNSSNPCIDSWYGVTCNPSTLRVTRLVLENLNLSGSTHSLHHLSYLRLLSLKNNRFFSSSAVNLSSWRYLRHLYLRQNLFSGTFPVGISTLRRLHRLDLSHNNFHGEIPMTELTQLPFLLTLRLESNSFTGPLNSIASFSSMSDFNVSDNKLSGEIPAWMSRFPVSSFEGNRDLCGQPLQSDCFNRTALPATIKKRIRHPQSKRLSNGVVLMIVAVDVVAVMAALVTITWCCYKYKCFSDGTQAEAMETKSGSTTKLQRRSGSREVEIEAEELVMFEGCKGFRKVGDLLKSSAELLGKGNVGTTYKVVTDGGDMVVVKRVRQRRRRRDVDGWLRIMGGLRHANIVGLIAYYNSKDELLLVYEFLSNGSLHSLLHGNRGPGRTPLSWSSRLKIASEAAQGLAFIHKKDKIFHGYLSSSNILVDQQGNARISEPGLHQVLHSPSSPNDDYKAPELMLNNGDDTGLKKYTQKCDVYSFGVILLEILSGKTASGESGMSLVRWVQSVGKEEWGWEVFDFEMLGDKVMEGEMAGLMQVALLCVATLPKDRPKMSVVHGMIEDIRGQGRRNGGNRMVSILNDFSSDSSPSHSERNLGLR >KJB66910 pep chromosome:Graimondii2_0_v6:10:47632352:47636095:1 gene:B456_010G164600 transcript:KJB66910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDPLLLYASPRKKPTPPSPLFPLPEHNEVSLPVSLTPSELKDRLIFGPSPPPSLSPIDSSPIFDALTSSLQSPRPSSSSPPPQDTVVNLQDPLLQPTQLNSPTPAPASWLIDPDFIWEKSNLHRSKTAPAMAVLNDVIRSSIPKPQLGSQSIESYLLRTVEHEGQKKDSARSYIIDVKKGRMRIRMKVGLALGVVVLCIGIGVGVMHFVERLGWLDAFYLSVMSVTTVGYGDRAFKTLPGRIFAAIWLLVSTLAVARAFLYLAEARVDKRHRTMAKWVLGQDMTVSEFLAADIDNNGFVSKSEFVIYKLKEMGKVSEKDIMQISEKFDRLDAGNCGKITLADLMEHHH >KJB66912 pep chromosome:Graimondii2_0_v6:10:47632694:47636074:1 gene:B456_010G164600 transcript:KJB66912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDPLLLYASPRKKPTPPSPLFPLPEHNEVSLPVSLTPSELKDRLIFGPSPPPSLSPIDSSPIFDALTSSLQSPRPSSSSPPPQDTVVNLQDPLLQPTQLNSPTPAPASWLIDPDFIWEKSNLHRSKTAPAMAVLNDVIRSSIPKPQLGSQSIVRQAFVLLVLYLTLGVVIFWFNRHNFLGNETHPVVDALYFCIVTMCTIGYGDIIPNSVATKLFSILFVLVGFGFIDILLSGMVSYVLDLQESYLLRTVEHEGQKKDSARSYIIDVKKGRMRIRMKVGLALGVVVLCIGIGVGVMHFVERLGWLDAFYLSVMSVTTVGYGDRAFKTLPGRIFAAIWLLVSTLAVARAFLYLAEARVDKRHRTMAKWVLGQDMTVSEFLAADIDNNGFVSKSEFVIYKLKEMGKVSEKDIMQISEKFDRLDAGNCGKITLADLMEHHH >KJB66911 pep chromosome:Graimondii2_0_v6:10:47632694:47633884:1 gene:B456_010G164600 transcript:KJB66911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDPLLLYASPRKKPTPPSPLFPLPEHNEVSLPVSLTPSELKDRLIFGPSPPPSLSPIDSSPIFDALTSSLQSPRPSSSSPPPQDTVVNLQDPLLQPTQLNSPTPAPASWLIDPDFIWEKSNLHRSKTAPAMAVLNDVIRSSIPKPQLGSQSIVRQAFVLLVLYLTLGVVIFWFNRHNFLGNETHPVVDALYFCIVTMCTIGYGDIIPNSVATKLFSILFVLVGFGFIDILLSGMVSYVLDLQESYLLRTVEHEGQKKDSARSYIIDVKKGRMRIRMKVGLALGVVVLCIGIGVGVMHFVERLGWLDAFYLSVMSVTTVGYGDRAFKTLPGRIFAAIWLLVSTLAVARAFLYLAEARVDKRHRTMAKWVLGQDMTVSEFLAADIDNNGFVRYAVL >KJB64827 pep chromosome:Graimondii2_0_v6:10:8591234:8592523:1 gene:B456_010G066900 transcript:KJB64827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYHSSDTNALGFCLLPSELIQSILFSLALPEILRMKLVNKFLSYLISDQDFIRQCNLRSRSATWLFVYKKRWRRDAILHGFSDQSNRWFKICIEDLIKQVVFYPDEDIYLLTASGNIFLFASNSQKAVIAVNLVSKAVKKIPPCPLGPRGTSSWRRSGMKLVPESSDSGHFRFLFVELVGNSPVVFEYNSETEKWQCREAREGKGNFAGNDCMFLNAHNAPQESLVVAIGSEYIPPLILRPRFGNREQQASWVNVSDRRHVYGDGHVMIMRSRDQRRAKVLCSIEVWGISLRSGNWEYVSNVPSEIVEQIGKPYGVMIGCLEARNGRIRVVLMSNYEGSWDIIWLTYHQQSGVWNWVLLPDCKMKGANLAGITFSSGLSLT >KJB67889 pep chromosome:Graimondii2_0_v6:10:58464347:58476920:-1 gene:B456_010G216600 transcript:KJB67889 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MEENSSSVILDGEIVGIKFCLATPKEILTASVSGFPINHVSQLSNSYLGLPLEFGKCSACGTSEPGQCEGHFGYIELPIPIYHPSHISELKRLLSLLCLKCLKLKNKFQMKSGSVAERLLSSCCENTPQVSIKEVKTTDGACYLELKQPSRQSKTNWNFLERYGFRYGDHHTRTLLPCEVVEILKRIPSQTRRKLSGKGFFPQEGYILQYLPVPPNCLSVPDVSDGVSVMSSDLSTSMLRKVLKQVEIIKSSRSGTPNFESHEVEANDLQSAVEQYLQVRGTVKASRSIDARYGVNKDASDSSTKAWLEKMRTLFIRKGSGFSSRSVITGDPYKKVNEIGIPSEIAQRITFEERVNMHNMRYLQNLVDNKLCLTYRDGGSTYSLREGSKGHTFLRPGQVVHRRIMDGDIVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCIHLFYPQSLSAKAEVYELFSVEKQLLSSHSGNLNLQLATDSLLSLRVMLKTFLFKKADAQQLSMFLSSALPEPAFLKCNHVAPCWTAFQILQTAFPACLDCSSDRYLIGKSDILKVDFNKDLMQSVINEVVASIFYEKGPKEVLNFFDSLQPLLMENIFAEGFTVCLEDFSVSREVIENIQKDIQVISPLLYQLRSTYNELVELQMENHIRVAKEPIADFILKTSSLGNLIDSRSDSAVNKVVQQIGFLGMQLSDKGKFYSKTLVEDVAHQFQSIYPSDAIDYPSAEFGLIKSCFFRGLDPYEGIVHSISTREVMVRSTRGLSEPGTLFKNLMAILRDVVICYDGTVRNVSSNSIIQFQYGLSARTRPQFPAGEPVGVLAATAMSNPAYKAVLDSSPSSNSSWELMKEILLCKVSFKNDPIDRRVILYLKDCDCGRKYCQENAAYLVKNHLRRVKLKDIAVDFIIEYQQQQIVSEIEAGLVGHILLNKDLLKELSVSVQEIHMKCQETINSFRKKKKTAETFKRTDLFVSESCSIQQSCVDKWLDMPCLMFFFRNTNDDNLDSAIQILADIIYPVLLQTVIKGDPRICSAKIIWVNPDATTWIRNPSKTQKGELALEVVLEKSAVKQNGDAWRTVIDCCLPVLNIIDTHRSIPYAIKQVEQLLGISCAFEQAVQRLSTSVSMVTRGVLKEHLMLLANSMTCCGNLIGFNSGGYKTLSRSLNIQVPFTEATLFTPRKCFERAAEKCYDDSLSSIVASCSWGKRVAVGTGSRFDLLWNQESGSDQMSGMDVYNFLHMVSGAGGSNSNTACLGEEVDDLMDEWCLSPDHSTGLDKPVFEDAAEFENNLDGQSASANWEKDVSLDKVSDVGWDASGWNKNAEDVDKFAAAATSSEKQNEWSGWGASKSNSQDVGWDALSGWNKNAEDGDKFAAAATSSKKQNECSDWGASKSKSQDVVSPKVDVGWDALSAWHKNAEDGDNFAAAASSSKKQSEWSDWGMSKSKSQDVSPKTDGTCMKEQTELSGWEMKKFDTRDVLPVEERASKPNGWDSGASWGIMSKESEELDASAAAPWSGWGTKDSSPKKSLDKTSKSSSWDTVSSWGRKTAAVHESGFIGNDNQPGQGRIENEWDNKASQVKPVQSASGWNNKVTEEMVVQSTSSWDEKASWEKPVQSMSGWVSSSAAGSDESPTKGCSKNSNWDQQKAQTMNNAWDQQKSPATNSSWDRQKSSTANNSWDQQKPPANSSWDQEKSPTINNSWDQQKSPECSQGWGTLNDLNQPASSSGWDMPQGEDNTQSEKQHQWGQSKGSRRWASDANKKSRPLKPARMMNDDSSMAAMYTVTRQRLDMFTSEEKDILLDVEPLMQSIRKIMHQTGYNDGDPLSADDQTFVLDNVLAHHPDKAVKMGAGIDYVMRRKERINQLFVVHHHLMQNR >KJB67888 pep chromosome:Graimondii2_0_v6:10:58463811:58476920:-1 gene:B456_010G216600 transcript:KJB67888 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MEENSSSVILDGEIVGIKFCLATPKEILTASVSGFPINHVSQLSNSYLGLPLEFGKCSACGTSEPGQCEGHFGYIELPIPIYHPSHISELKRLLSLLCLKCLKLKNKFQMKSGSVAERLLSSCCENTPQVSIKEVKTTDGACYLELKQPSRQSKTNWNFLERYGFRYGDHHTRTLLPCEVVEILKRIPSQTRRKLSGKGFFPQEGYILQYLPVPPNCLSVPDVSDGVSVMSSDLSTSMLRKVLKQVEIIKSSRSGTPNFESHEVEANDLQSAVEQYLQVRGTVKASRSIDARYGVNKDASDSSTKAWLEKMRTLFIRKGSGFSSRSVITGDPYKKVNEIGIPSEIAQRITFEERVNMHNMRYLQNLVDNKLCLTYRDGGSTYSLREGSKGHTFLRPGQVVHRRIMDGDIVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCIHLFYPQSLSAKAEVYELFSVEKQLLSSHSGNLNLQLATDSLLSLRVMLKTFLFKKADAQQLSMFLSSALPEPAFLKCNHVAPCWTAFQILQTAFPACLDCSSDRYLIGKSDILKVDFNKDLMQSVINEVVASIFYEKGPKEVLNFFDSLQPLLMENIFAEGFTVCLEDFSVSREVIENIQKDIQVISPLLYQLRSTYNELVELQMENHIRVAKEPIADFILKTSSLGNLIDSRSDSAVNKVVQQIGFLGMQLSDKGKFYSKTLVEDVAHQFQSIYPSDAIDYPSAEFGLIKSCFFRGLDPYEGIVHSISTREVMVRSTRGLSEPGTLFKNLMAILRDVVICYDGTVRNVSSNSIIQFQYGLSARTRPQFPAGEPVGVLAATAMSNPAYKAVLDSSPSSNSSWELMKEILLCKVSFKNDPIDRRVILYLKDCDCGRKYCQENAAYLVKNHLRRVKLKDIAVDFIIEYQQQQIVSEIEAGLVGHILLNKDLLKELSVSVQEIHMKCQETINSFRKKKKTAETFKRTDLFVSESCSIQQSCVDKWLDMPCLMFFFRNTNDDNLDSAIQILADIIYPVLLQTVIKGDPRICSAKIIWVNPDATTWIRNPSKTQKGELALEVVLEKSAVKQNGDAWRTVIDCCLPVLNIIDTHRSIPYAIKQVEQLLGISCAFEQAVQRLSTSVSMVTRGVLKEHLMLLANSMTCCGNLIGFNSGGYKTLSRSLNIQVPFTEATLFTPRKCFERAAEKCYDDSLSSIVASCSWGKRVAVGTGSRFDLLWNQESGSDQMSGMDVYNFLHMVSGAGGSNSNTACLGEEVDDLMDEWCLSPDHSTGLDKPVFEDAAEFENNLDGQSASANWEKDVSLDKVSDVGWDASGWNKNAEDVDKFAAAATSSEKQNEWSGWGASKSNSQDMLSLQKWMLVGMPCLHGIKMQRMVTILQQLHPVQRSKASGLIGG >KJB67890 pep chromosome:Graimondii2_0_v6:10:58463811:58479289:-1 gene:B456_010G216600 transcript:KJB67890 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MEENSSSVILDGEIVGIKFCLATPKEILTASVSGFPINHVSQLSNSYLGLPLEFGKCSACGTSEPGQCEGHFGYIELPIPIYHPSHISELKRLLSLLCLKCLKLKNKFQMKSGSVAERLLSSCCENTPQVSIKEVKTTDGACYLELKQPSRQSKTNWNFLERYGFRYGDHHTRTLLPCEVVEILKRIPSQTRRKLSGKGFFPQEGYILQYLPVPPNCLSVPDVSDGVSVMSSVLKQVEIIKSSRSGTPNFESHEVEANDLQSAVEQYLQVRGTVKASRSIDARYGVNKDASDSSTKAWLEKMRTLFIRKGSGFSSRSVITGDPYKKVNEIGIPSEIAQRITFEERVNMHNMRYLQNLVDNKLCLTYRDGGSTYSLREGSKGHTFLRPGQVVHRRIMDGDIVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCIHLFYPQSLSAKAEVYELFSVEKQLLSSHSGNLNLQLATDSLLSLRVMLKTFLFKKADAQQLSMFLSSALPEPAFLKCNHVAPCWTAFQILQTAFPACLDCSSDRYLIGKSDILKVDFNKDLMQSVINEVVASIFYEKGPKEVLNFFDSLQPLLMENIFAEGFTVCLEDFSVSREVIENIQKDIQVISPLLYQLRSTYNELVELQMENHIRVAKEPIADFILKTSSLGNLIDSRSDSAVNKVVQQIGFLGMQLSDKGKFYSKTLVEDVAHQFQSIYPSDAIDYPSAEFGLIKSCFFRGLDPYEGIVHSISTREVMVRSTRGLSEPGTLFKNLMAILRDVVICYDGTVRNVSSNSIIQFQYGLSARTRPQFPAGEPVGVLAATAMSNPAYKAVLDSSPSSNSSWELMKEILLCKVSFKNDPIDRRVILYLKDCDCGRKYCQENAAYLVKNHLRRVKLKDIAVDFIIEYQQQQIVSEIEAGLVGHILLNKDLLKELSVSVQEIHMKCQETINSFRKKKKTAETFKRTDLFVSESCSIQQSCVDKWLDMPCLMFFFRNTNDDNLDSAIQILADIIYPVLLQTVIKGDPRICSAKIIWVNPDATTWIRNPSKTQKGELALEVVLEKSAVKQNGDAWRTVIDCCLPVLNIIDTHRSIPYAIKQVEQLLGISCAFEQAVQRLSTSVSMVTRGVLKEHLMLLANSMTCCGNLIGFNSGGYKTLSRSLNIQVPFTEATLFTPRKCFERAAEKCYDDSLSSIVASCSWGKRVAVGTGSRFDLLWNQESGSDQMSGMDVYNFLHMVSGAGGSNSNTACLGEEVDDLMDEWCLSPDHSTGLDKPVFEDAAEFENNLDGQSASANWEKDVSLDKVSDVGWDASGWNKNAEDVDKFAAAATSSEKQNEWSGWGASKSNSQDVGWDALSGWNKNAEDGDKFAAAATSSKKQNECSDWGASKSKSQDVVSPKVDVGWDALSAWHKNAEDGDNFAAAASSSKKQSEWSDWGMSKSKSQDVSPKTDGTCMKEQTELSGWEMKKFDTRDVLPVEERASKPNGWDSGASWGIMSKESEELDASAAAPWSGWGTKDSSPKKSLDKTSKSSSWDTVSSWGRKTAAVHESGFIGNDNQPGQGRIENEWDNKASQVKPVQSASGWNNKVTEEMVVQSTSSWDEKASWEKPVQSMSGWVSSSAAGSDESPTKGCSKNSNWDQQKAQTMNNAWDQQKSPATNSSWDRQKSSTANNSWDQQKPPANSSWDQEKSPTINNSWDQQKSPECSQGWGTLNDLNQPASSSGWDMPQGEDNTQSEKQHQWGQSKGSRRWASDANKKSRPLKPARMMNDDSSMAAMYTVTRQRLDMFTSEEKDILLDVEPLMQSIRKIMHQTGKFIFEGTTMGIHCLPMIRRLYLIMF >KJB67887 pep chromosome:Graimondii2_0_v6:10:58463706:58479289:-1 gene:B456_010G216600 transcript:KJB67887 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MEENSSSVILDGEIVGIKFCLATPKEILTASVSGFPINHVSQLSNSYLGLPLEFGKCSACGTSEPGQCEGHFGYIELPIPIYHPSHISELKRLLSLLCLKCLKLKNKFQMKSGSVAERLLSSCCENTPQVSIKEVKTTDGACYLELKQPSRQSKTNWNFLERYGFRYGDHHTRTLLPCEVVEILKRIPSQTRRKLSGKGFFPQEGYILQYLPVPPNCLSVPDVSDGVSVMSSDLSTSMLRKVLKQVEIIKSSRSGTPNFESHEVEANDLQSAVEQYLQVRGTVKASRSIDARYGVNKDASDSSTKAWLEKMRTLFIRKGSGFSSRSVITGDPYKKVNEIGIPSEIAQRITFEERVNMHNMRYLQNLVDNKLCLTYRDGGSTYSLREGSKGHTFLRPGQVVHRRIMDGDIVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCIHLFYPQSLSAKAEVYELFSVEKQLLSSHSGNLNLQLATDSLLSLRVMLKTFLFKKADAQQLSMFLSSALPEPAFLKCNHVAPCWTAFQILQTAFPACLDCSSDRYLIGKSDILKVDFNKDLMQSVINEVVASIFYEKGPKEVLNFFDSLQPLLMENIFAEGFTVCLEDFSVSREVIENIQKDIQVISPLLYQLRSTYNELVELQMENHIRVAKEPIADFILKTSSLGNLIDSRSDSAVNKVVQQIGFLGMQLSDKGKFYSKTLVEDVAHQFQSIYPSDAIDYPSAEFGLIKSCFFRGLDPYEGIVHSISTREVMVRSTRGLSEPGTLFKNLMAILRDVVICYDGTVRNVSSNSIIQFQYGLSARTRPQFPAGEPVGVLAATAMSNPAYKAVLDSSPSSNSSWELMKEILLCKVSFKNDPIDRRVILYLKDCDCGRKYCQENAAYLVKNHLRRVKLKDIAVDFIIEYQQQQIVSEIEAGLVGHILLNKDLLKELSVSVQEIHMKCQETINSFRKKKKTAETFKRTDLFVSESCSIQQSCVDKWLDMPCLMFFFRNTNDDNLDSAIQILADIIYPVLLQTVIKGDPRICSAKIIWVNPDATTWIRNPSKTQKGELALEVVLEKSAVKQNGDAWRTVIDCCLPVLNIIDTHRSIPYAIKQVEQLLGISCAFEQAVQRLSTSVSMVTRGVLKEHLMLLANSMTCCGNLIGFNSGGYKTLSRSLNIQVPFTEATLFTPRKCFERAAEKCYDDSLSSIVASCSWGKRVAVGTGSRFDLLWNQESGSDQMSGMDVYNFLHMVSGAGGSNSNTACLGEEVDDLMDEWCLSPDHSTGLDKPVFEDAAEFENNLDGQSASANWEKDVSLDKVSDVGWDASGWNKNAEDVDKFAAAATSSEKQNEWSGWGASKSNSQDVGWDALSGWNKNAEDGDKFAAAATSSKKQNECSDWGASKSKSQDVVSPKVDVGWDALSAWHKNAEDGDNFAAAASSSKKQSEWSDWGMSKSKSQDVSPKTDGTCMKEQTELSGWEMKKFDTRDVLPVEERASKPNGWDSGASWGIMSKESEELDASAAAPWSGWGTKDSSPKKSLDKTSKSSSWDTVSSWGRKTAAVHESGFIGNDNQPGQGRIENEWDNKASQVKPVQSASGWNNKVTEEMVVQSTSSWDEKASWEKPVQSMSGWVSSSAAGSDESPTKGCSKNSNWDQQKAQTMNNAWDQQKSPATNSSWDRQKSSTANNSWDQQKPPANSSWDQEKSPTINNSWDQQKSPECSQGWGTLNDLNQPASSSGWDMPQGEDNTQSEKQHQWGQSKGSRRWASDANKKSRPLKPARMMNDDSSMAAMYTVTRQRLDMFTSEEKDILLDVEPLMQSIRKIMHQTGYNDGDPLSADDQTFVLDNVLAHHPDKAVKMGAGIDYVMVNKHSLFLDTRCLYVVTTDGHKQDFSYLKCLENMIKGKYPDLAEAFVAKYFRKSRGNRERGVAPENSQGNRDQSVTQDDNSQGNRDRSDRSVAQDDNEGEVKQ >KJB67809 pep chromosome:Graimondii2_0_v6:10:57848874:57852229:1 gene:B456_010G212300 transcript:KJB67809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 10 [Source:Projected from Arabidopsis thaliana (AT5G04980) UniProtKB/Swiss-Prot;Acc:A8MR21] MIYHINLCFREKKARKLLVRIYLSGGKSPDSKLNLDDILQVREESDIYVLGFQEIVPLNAGNVLVIEDNEPAVKWLTLINRSLNKANYVPLRGPRPVGSPEGSLVFPKIKLRKASKALRTENKRKLKSCNCPIGLERKNSKEFCFRCPQSQTNENDISSEDDEDGPNGPSSANSIKYSLVASKQMVGIFLTVWMRKELVQYVSHLRISCVGRGILGCLGNKGCISVSMLFHKTALCFLCSHLASGEKEGDELRRNSDVIEILRNTQFPRICKTSNSRVPEKILDHDRVIWLGDLNYRIALSYSDTGKLLKERAWDTLYNNDQLKIEREAGRVFKGWKEGKIYFAPTYKYSLNSDIYAGETVETKNKRRTPAWCDRILWRGSEIYQLSYERKESRFSDHRPVCATFWVSVEAMEDGSKRR >KJB67808 pep chromosome:Graimondii2_0_v6:10:57847873:57852415:1 gene:B456_010G212300 transcript:KJB67808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 10 [Source:Projected from Arabidopsis thaliana (AT5G04980) UniProtKB/Swiss-Prot;Acc:A8MR21] MIGVGFSGITLMNQDRTNQQASSLIGKILSVKGRNQSNKEIEVRFDSSEEQYDLPHQPLLSREKSTKAASENISFRVFVATWNVGGKSPDSKLNLDDILQVREESDIYVLGFQEIVPLNAGNVLVIEDNEPAVKWLTLINRSLNKANYVPLRGPRPVGSPEGSLVFPKIKLRKASKALRTENKRKLKSCNCPIGLERKNSKEFCFRCPQSQTNENDISSEDDEDGPNGPSSANSIKYSLVASKQMVGIFLTVWMRKELVQYVSHLRISCVGRGILGCLGNKGCISVSMLFHKTALCFLCSHLASGEKEGDELRRNSDVIEILRNTQFPRICKTSNSRVPEKILDHDRVIWLGDLNYRIALSYSDTGKLLKERAWDTLYNNDQLKIEREAGRVFKGWKEGKIYFAPTYKYSLNSDIYAGETVETKNKRRTPAWCDRILWRGSEIYQLSYERKESRFSDHRPVCATFWVSVEAMEDGSKRR >KJB67807 pep chromosome:Graimondii2_0_v6:10:57847515:57852392:1 gene:B456_010G212300 transcript:KJB67807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 10 [Source:Projected from Arabidopsis thaliana (AT5G04980) UniProtKB/Swiss-Prot;Acc:A8MR21] MIGVGFSGITLMNQDRTNQQASSLIGKILSVKGRNQSNKEIEVRFDSSEEQYDLPHQPLLSREKSTKAASENISFRVFVATWNVGGKSPDSKLNLDDILQVREESDIYVLGFQEIVPLNAGNVLVIEDNEPAVKWLTLINRSLNKANYVPLRGPRPVGSPEGSLVFPKIKLRKASKALRTENKRKLKSCNCPIGLERKNSKEFCFRCPQSQTNENDISSEDDEDGPNGPSSANSIKYSLVASKQMVGIFLTVWMRKELVQYVSHLRISCVGRGILGCLGNKGCISVSMLFHKTALCFLCSHLASGEKEGDELRRNSDVIEILRNTQFPRICKTSNSRVPEKILDHDRVIWLGDLNYRIALSYSDTGKLLKERAWDTLYNNDQLKIEREAGRVFKGWKEGKIYFAPTYKYSLNSDIYAGETVETKNKRRTPAWCDRILWRGSEIYQLSYERKESRFSDHRPVCATFWVSVEAMEDGSKRR >KJB67892 pep chromosome:Graimondii2_0_v6:10:58834103:58838588:1 gene:B456_010G219500 transcript:KJB67892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSHLSSLNGSRLRKGFQNQLLFPTGQPDTPTSDHLEFDFSDVFGPASVQASTEISTEITKNLIVATESNELLYDDPAVICSRSHSLVGPSTYVSHSLKHSKLTLREIGDSLELVEGARDEAQEELRKPSIDDVIPENPQGLVESQNQSVGLKDFEVLKVVGQGAFGRVYQVRRNDTSDIYAMKVMRKDKIMEKNHAEYMKSERDILTKVDHPFIVQLRCSFQTKYRLYLVLDFVNGGHLFFQLYRQGLFREDLARIYTAEIVSAVSHLHSNGIMHRDLKPENILLDAEGHVMLTDFGLAKEFNESTRSNSMCGTLEYMSPEIVLGKGHDKAADWWSVGILLYEMLTGKPPFSGGNRQKIQDKIIKEKIKLPAFLSSEAHSILKGLLQKDASKRLGSGQGGSEEIKRHKWFKSINWKKLEARQIRPSFLPEVAGNQCIANFEECWTNMPVHDSPVASPTFGENPFKGFTYVRPAASFLNRNA >KJB67894 pep chromosome:Graimondii2_0_v6:10:58835089:58838557:1 gene:B456_010G219500 transcript:KJB67894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSHLSSLNGSRLRKGFQNQLLFPTGQPDTPTSDHLEFDFSDVFGPASVQASTEISTEITKNLIVATESNELLYDDPAVICSRSHSLVGPSTYVSHSLKHSKLTLREIGDSLELVEGARDEAQEELRKPSIDDVIPENPQGLVESQNQSVGLKDFEVLKVVGQGAFGRVYQVRRNDTSDIYAMKVMRKDKIMEKNHAEYMKSERDILTKVDHPFIVQLRCSFQTKYRLYLVLDFVNGGHLFFQLYRQGLFREDLARIYTAEIVSAVSHLHSNGIMHRDLKPENILLDAEGHVMLTDFGLAKEFNESTRSNSMCGTLEYMSPEIVLGKGHDKAADWWSVGILLYEMLTGKPPFSGGNRQKIQDKIIKEKIKLPAFLSSEAHSILKGLLQKDASKRLGSGQGGSEEIKRHKWFKSINWKKLEARQIRPSFLPEVAGNQCIANFEECWTNMPVHDSPVASPTFGENPFKGFTYVRPAASFLNRNA >KJB67896 pep chromosome:Graimondii2_0_v6:10:58834693:58838588:1 gene:B456_010G219500 transcript:KJB67896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSHLSSLNGSRLRKGFQNQLLFPTGQPDTPTSDHLEFDFSDVFGPASVQASTEISTEITKNLIVATESNELLYDDPAVICSRSHSLVGPSTYVSHSLKHSKLTLREIGDSLELVEGARDEAQEELRKPSIDDVIPENPQGLVESQNQSVGLKDFEVLKVVGQGAFGRVYQVRRNDTSDIYAMKVMRKDKIMEKNHAEYMKSERDILTKVDHPFIVQLRCSFQTKYRLYLVLDFVNGGHLFFQLYRQGLFREDLARIYTAEIVSAVSHLHSNGIMHRDLKPENILLDAEGHVMLTDFGLAKEFNESTRSNSMCGTLEYMSPEIVLGKGHDKAADWWSVGILLYEMLTGKPPFSGGNRQKIQDKIIKEKIKLPAFLSSEAHSILKGLLQKDASKRLGSGQGGSEEIKRHKWFKSINWKKLEARQIRPSFLPEVAGNQCIANFEECWTNMPVHDSPVASPTFGENPFKGFTYVRPAASFLNRNA >KJB67893 pep chromosome:Graimondii2_0_v6:10:58834073:58838581:1 gene:B456_010G219500 transcript:KJB67893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSHLSSLNGSRLRKGFQNQLLFPTGQPDTPTSDHLEFDFSDVFGPASVQASTEISTEITKNLIVATESNELLYDDPAVICSRSHSLVGPSTYVSHSLKHSKLTLREIGDSLELVEGARDEAQEELRKPSIDDVIPENPQGLVESQNQSVGLKDFEVLKVVGQGAFGRVYQVRRNDTSDIYAMKVMRKDKIMEKNHAEYMKSERDILTKVDHPFIVQLRCSFQTKYRLYLVLDFVNGGHLFFQLYRQGLFREDLARIYTAEIVSAVSHLHSNGIMHRDLKPENILLDAEGHVMLTDFGLAKEFNESTRSNSMCGTLEYMSPEIVLGKGHDKAADWWSVGILLYEMLTGKPPFSGGNRQKIQDKIIKEKIKLPAFLSSEAHSILKGLLQKDASKRLGSGQGGSEEIKRHKWFKSINWKKLEARQIRPSFLPEVAGNQCIANFEECWTNMPVHDSPVASPTFGENPFKGFTYVRPAASFLNRNA >KJB67891 pep chromosome:Graimondii2_0_v6:10:58834693:58838588:1 gene:B456_010G219500 transcript:KJB67891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSHLSSLNGSRLRKGFQNQLLFPTGQPDTPTSDHLEFDFSDVFGPASVQASTEISTEITKNLIVATESNELLYDDPAVICSRSHSLVGPSTYVSHSLKHSKLTLREIGDSLELVEGARDEAQEELRKPSIDDVIPENPQGLVESQNQSVGLKDFEVLKVVGQGAFGRVYQVRRNDTSDIYAMKVMRKDKIMEKNHAEYMKSERDILTKVDHPFIVQLRCSFQTKYRLYLVLDFVNGGHLFFQLYRQGLFREDLARIYTAEIVSAVSHLHSNGIMHRDLKPENILLDAEGHVMLTDFGLAKEFNESTRSNSMCGTLEYMSPEIVLGKGHDKAADWWSVGILLYEMLTGKPPFSGGNRQKIQDKIIKEKIKLPAFLSSEAHSILKGLLQKDASKRLGSGQGGSEEIKRHKWFKSINWKKLEARQIRPSFLPEVAGNQCIANFEECWTNMPVHDSPVASPTFGENPFKGFTYVRPAASFLNRNA >KJB67895 pep chromosome:Graimondii2_0_v6:10:58835089:58838557:1 gene:B456_010G219500 transcript:KJB67895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSHLSSLNGSRLRKGFQNQLLFPTGQPDTPTSDHLEFDFSDVFGPASVQASTEISTEITKNLIVATESNELLYDDPAVICSRSHSLVGPSTYVSHSLKHSKLTLREIGDSLELVEGARDEAQEELRKPSIDDVIPENPQGLVESQNQSVGLKDFEVLKVVGQGAFGRVYQVRRNDTSDIYAMKVMRKDKIMEKNHAEYMKSERDILTKVDHPFIVQLRCSFQTKYRLYLVLDFVNGGHLFFQLYRQGLFREDLARIYTAEIVSAVSHLHSNGIMHRDLKPENILLDAEGHVMLTDFGLAKEFNESTRSNSMCGTLEYMSPEIVLGKGHDKAADWWSVGILLYEMLTGKPPFSGGNRQKIQDKIIKEKIKLPAFLSSEAHSILKGVISLSYAFILLQKDASKRLGSGQGGSEEIKRHKWFKSINWKKLEARQIRPSFLPEVAGNQCIANFEECWTNMPVHDSPVASPTFGENPFKGFTYVRPAASFLNRNA >KJB63628 pep chromosome:Graimondii2_0_v6:10:666275:670672:1 gene:B456_010G008900 transcript:KJB63628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESQVVCVTGASGFIGSWLVMRLLEHGYTVRATVRDPDNQKKVKHLLDLPKANTHLTLWKADLSEEGSFDAAINGCCGVFHVATPMDFESKDPENEVIKPTINGVLDIMKACIKAKTVKKLIFTSSAGTVNVEEHQGTVYDESNWSDLEFVYAKKMTGWMYFVSKTLAEQAAWKFAKENNLDLITIIPTLVIGPFLMPSMPPSLITGLSPLTGNSLWYH >KJB63629 pep chromosome:Graimondii2_0_v6:10:666275:670672:1 gene:B456_010G008900 transcript:KJB63629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESQVVCVTGASGFIGSWLVMRLLEHGYTVRATVRDPAINGCCGVFHVATPMDFESKDPENEVIKPTINGVLDIMKACIKAKTVKKLIFTSSAGTVNVEEHQGTVYDESNWSDLEFVYAKKMTGWMYFVSKTLAEQAAWKFAKENNLDLITIIPTLVIGPFLMPSMPPSLITGLSPLTGNEAHYGIIRQGQFVHLDDLCMSHIFLFENPKAEGRYICSSHEATIVELAKMLREKYPDYNIPTEFKDADENLGNVVFNSKKLLDLGFQFKYSLEDMFVGAVETCHEKGLIAPPTKIENAINRDTIASIETPTSVKC >KJB63626 pep chromosome:Graimondii2_0_v6:10:666275:669479:1 gene:B456_010G008900 transcript:KJB63626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESQVVCVTGASGFIGSWLVMRLLEHGYTVRATVRDPDNQKKVKHLLDLPKANTHLTLWKADLSEEGSFDAAINGCCGVFHVATPMDFESKDPENEVIKPTINGVLDIMKACIKAKTVKKLIFTSSAGTVNVEEHQGTVYDESNWSDLEFVYAKKMTGWMYFVSKTLAEQAAWKFAKENNLDLITIIPTLVIGPFLMPSMPPSLITGLSPLTGNEAHYGIIRQGQFVHLDDLCMSHIFLFENPKAEGRYICSSHEATIVELAKMLREKYPDYNIPTE >KJB63627 pep chromosome:Graimondii2_0_v6:10:666379:669158:1 gene:B456_010G008900 transcript:KJB63627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESQVVCVTGASGFIGSWLVMRLLEHGYTVRATVRDPDNQKKVKHLLDLPKANTHLTLWKADLSEEGSFDAAINGCCGVFHVATPMDFESKDPENEVIKPTINGVLDIMKACIKAKTVKKLIFTSSAGTVNVEEHQGTVYDESNWSDLEFVYAKKMTGWMYFVSKTLAEQAAWKFAKENNLDLITIIPTLVIGPFLMPSMPPSLITGLSPLTGKE >KJB63625 pep chromosome:Graimondii2_0_v6:10:666209:670672:1 gene:B456_010G008900 transcript:KJB63625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESQVVCVTGASGFIGSWLVMRLLEHGYTVRATVRDPDNQKKVKHLLDLPKANTHLTLWKADLSEEGSFDAAINGCCGVFHVATPMDFESKDPENEVIKPTINGVLDIMKACIKAKTVKKLIFTSSAGTVNVEEHQGTVYDESNWSDLEFVYAKKMTGWMYFVSKTLAEQAAWKFAKENNLDLITIIPTLVIGPFLMPSMPPSLITGLSPLTGNEAHYGIIRQGQFVHLDDLCMSHIFLFENPKAEGRYICSSHEATIVELAKMLREKYPDYNIPTEFKDADENLGNVVFNSKKLLDLGFQFKYSLEDMFVGAVETCHEKGLIAPPTKIENAINRDTIASIETPTSVKC >KJB68259 pep chromosome:Graimondii2_0_v6:10:60558519:60560565:1 gene:B456_010G235000 transcript:KJB68259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSVKRWSMIRPAQDTPKERQWISNLDLVMPTDHVPLLFFYKPNGSSDFFKPQVLQEALSKTLVQFYPMAGRLGRDENGRLEILCNAEGVLWIEAETTSAMDDLDGFTPCSKLRKLVPTADYSGDISSYPLIMAQVTTLKCGGVCLGIATHHTLTDGTTAFHFISSWSEMARGLPQISMPPLIDRTLLRARVPPIPRFHHLECDPPPSLNTSTSLGPNNHKPSTVSVFKITQNQLNTLKAKSWEHGNKTNYSTNTILAAYIWRCATKARGLSYDQPTKLDMPINGRPRLNPPLPSTYVGNATFAGSLITLSGNLQSELFVNTLEQVHGTLKRINNEYLRSTLDYLETLPDITVAKRKPDTY >KJB63762 pep chromosome:Graimondii2_0_v6:10:1113155:1114953:-1 gene:B456_010G014800 transcript:KJB63762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFHSVTATSTVAIIAFPLLFLFSFLWISRRNTNLKKTAPEAGGAWPIIGHLRLLGGPQPPHISLGNLADKYGGIFAIRLGVNRALVVSNWEIAKECLTINDKAFASRPKLASSEILGYNCAMIALAPYGPYWRQVRKFATIELLSNHRLELLKPVRESEVKASLQQLYQLWNKKKSSNCGKVMVEMKRWFRDVTLNVVLRIVVGKRIPNSYEGDETVKWKKSLDDLFELSGKFLISDALPYLRWLDIGGDEKSMKKVAKELDQVVEEWLREHKEKRAENEANSGEDFMGVMLSILRDAEEHDADTINKAVSLGLTLAVEDTTSITMTWALSLLLNNRDALKKVKQELDIHVGKDRLLVTESDTKNLVYLQSVIKETLRLYPAAPLAVIHEAIEDCSVHGYDISTGTWLILNLQKIQRDPQIWEDPLKFQPERFMTTHKDIDVKGHDFELIPFSSGRRMCPGVSFALKILELTLANLLHWFEIETLTNEIVDMREGPGVTSPKATPLEVQISPRLPTFVYQSRN >KJB65813 pep chromosome:Graimondii2_0_v6:10:22017845:22021362:1 gene:B456_010G114300 transcript:KJB65813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVICQAKSGMGKTAVFVLSSLQQIEPTPGQVIALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNVKVHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KJB65812 pep chromosome:Graimondii2_0_v6:10:22016635:22018884:1 gene:B456_010G114300 transcript:KJB65812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRDNDAYEEELLDYEEEEEKAPDSVTAKVNGEAGKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIEPTPGQVIALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNVKVHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDVIFRGQYYLNLESIFLIHSQLSTRVM >KJB65811 pep chromosome:Graimondii2_0_v6:10:22016499:22022004:1 gene:B456_010G114300 transcript:KJB65811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRDNDAYEEELLDYEEEEEKAPDSVTAKVNGEAGKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIEPTPGQVIALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNVKVHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KJB67842 pep chromosome:Graimondii2_0_v6:10:58131671:58133613:-1 gene:B456_010G214500 transcript:KJB67842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPSSTPPPNPQQIQQFLSSVLSQRGPSALPYSEDTKWLIRQQLVSLISNYPSLEPKTATFTHNDGRSVNLLQADGTIPMPFQGVTYNIPIVIWLMESYPRYAPAVYVNPTRDMIIKRPHPHVSPSGLVSIPYLHNWIYPSSNLVDLVLHLSSAFSRDPPLYSQRRPNPNPSPSPSPNPSINSSMTSTYGQHPPPPGPRVAATAAAAGYPPSPYGRVQHPQHSQARPTSTDDAAEVYKRNAMNKLVEMVHGDIIGMRKAREVEMEGMFSAQAVLRRREEEINKGLKEMQDEKEGLEQQLQVVLMNADVLDSWIRDNEGKIKNLGKKNNNVDVDEAIHCVDVLSKQVLDSTAADLAIEDVVYSLDKAVQDGVVPFDQYLRNVRLLSREQFFHRATASKVKEAQMQAQVANMAARISHFVS >KJB66660 pep chromosome:Graimondii2_0_v6:10:40520969:40523919:-1 gene:B456_010G150900 transcript:KJB66660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEESYLYSWSPIGAPLNIQRGEDHWRQFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPNSSPTGPNYGDLESQLSFNGKLRHPSPNMTVYTNGVSVLMPGDEIPTFIAHPAPVPCPPECSSLVQHQHYTSGNPVANSSGNIHVSAVEEN >KJB66661 pep chromosome:Graimondii2_0_v6:10:40521122:40523743:-1 gene:B456_010G150900 transcript:KJB66661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEESYLYSWSPIGAPLNIQRGEDHWRQFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPNSSPTGPNYGDLESQLSFNGKLRHPSPNAVSLWMYDSKVHASLPRILSWKLSAGWICLVALMKKLFLVFL >KJB63450 pep chromosome:Graimondii2_0_v6:10:47600376:47602826:1 gene:B456_010G164400 transcript:KJB63450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARMLRTPFLSLSKFQVPLVSLPVLLGSHGRCGTQVIENNRDPTGISSNKISVYGFRMFFTATAKDLSAKKCVPCNTKDLRAMTEETASKLIPKVDGWNLANEGGTLKLKRSWKVKSFTKGLEMFQLISDIAEAEGHHPDLHLVGWNNVTVEIWTHAVGVIDRAGTFYLSVLELKLMLRKRE >KJB63448 pep chromosome:Graimondii2_0_v6:10:47600324:47603353:1 gene:B456_010G164400 transcript:KJB63448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLSAKKCVPCNTKDLRAMTEETASKLIPKVDGWNLANEGGTLKLKRSWKVKSFTKGLEMFQLISDIAEAEGHHPDLHLVGWNNVTVEIWTHAVGGLTENDFILAAKINTLDLHHLLRKKVSA >KJB63451 pep chromosome:Graimondii2_0_v6:10:47601021:47602969:1 gene:B456_010G164400 transcript:KJB63451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTATAKDLSAKKCVPCNTKDLRAMTEETASKLIPKVDGWNLANEGGTLKLKRSWKVKSFTKGLEMFQLISDIAEAEGHHPDLHLVGWNNVTVEIWTHAVGGLTENDFILAAKINTLDLHHLLRKKVSA >KJB63453 pep chromosome:Graimondii2_0_v6:10:47600376:47603353:1 gene:B456_010G164400 transcript:KJB63453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARMLRTPFLSLSKFQVPLVSLPVLLGSHGRCGTQVIENNRDPTGISSNKISVYGFRMFFTATAKAKKCVPCNTKDLRAMTEETASKLIPKVDGWNLANEGGTLKLKRSWKVKSFTKGLEMFQLISDIAEAEGHHPDLHLVGWNNVTVEIWTHAVGGLTENDFILAAKINTLDLHHLLRKKVSA >KJB63454 pep chromosome:Graimondii2_0_v6:10:47600825:47603353:1 gene:B456_010G164400 transcript:KJB63454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMHFVFICSCGTQVIENNRDPTGISSNKISVYGFRMFFTATAKDLSAKKCVPCNTKDLRAMTEETASKLIPKVDGWNLANEGGTLKLKRSWKVKSFTKGLEMFQLISDIAEAEGHHPDLHLVGWNNVTVEIWTHAVGGLTENDFILAAKINTLDLHHLLRKKVSA >KJB63449 pep chromosome:Graimondii2_0_v6:10:47600324:47603353:1 gene:B456_010G164400 transcript:KJB63449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARMLRTPFLSLSKFQVPLVSLPVLLGSHGRCGTQVIENNRDPTGISSNKISVYGFRMFFTATAKDLSAKKCVPCNTKDLRAMTEETASKLIPKVDGWNLANEGGTLKLKRSWKVKSFTKGLEMFQLISDIAEAEGHHPDLHLVGWNNVTVEIWTHAVGGLTENDFILAAKINTLDLHHLLRKKVSA >KJB63452 pep chromosome:Graimondii2_0_v6:10:47600376:47603353:1 gene:B456_010G164400 transcript:KJB63452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARMLRTPFLSLSKFQVPLVSLPVLLGSHGRCGTQVIENNRDPTGISSNKISVYGFRMFFTATAKDLSAKKCVPCNTKDLRAMTEETASKLIPKVDGWNLANEGGTLKLKRSWKVKSFTKGLEMFQLISDIAEAEGTLCYVFYSIQVSNERE >KJB64923 pep chromosome:Graimondii2_0_v6:10:10224324:10227952:-1 gene:B456_010G072300 transcript:KJB64923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKSMIVMASRDRESRDRELLIPVADAVHDDSSKPSPSSSSHHAGRETFYKVFRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGIEIFGLGFVTSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVTLQSYTGEEELCCVYVPTNHLYIGDIFLINTNDVIRPNLSVREGIGEGFIFFCCHNFCIV >KJB64918 pep chromosome:Graimondii2_0_v6:10:10223851:10228402:-1 gene:B456_010G072300 transcript:KJB64918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKSMIVMASRDRESRDRELLIPVADAVHDDSSKPSPSSSSHHAGRETFYKVFRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGIEIFGLGFVTSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVTLQSYTGEEELCCVYVPTNHLYIGDIFLINTNDVIRPNLSVREGIEIVVSGGMSMPQILSTLDSRLPLERSRSNRS >KJB64921 pep chromosome:Graimondii2_0_v6:10:10223893:10228156:-1 gene:B456_010G072300 transcript:KJB64921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVTLQSYTGEEELCCVYVPTNHLYIGDIFLINTNDVIRPNLSVREGIEIVVSGGMSMPQILSTLDSRLPLERSRSNRS >KJB64920 pep chromosome:Graimondii2_0_v6:10:10223893:10227783:-1 gene:B456_010G072300 transcript:KJB64920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVHYLLKLSEKLCLMQTFYKVFRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGIEIFGLGFVTSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVTLQSYTGEEELCCVYVPTNHLYIGDIFLINTNDVIRPNLSVREGIEIVVSGGMSMPQILSTLDSRLPLERSRSNRS >KJB64922 pep chromosome:Graimondii2_0_v6:10:10223893:10228156:-1 gene:B456_010G072300 transcript:KJB64922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGIEIFGLGFVTSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVTLQSYTGEEELCCVYVPTNHLYIGDIFLINTNDVIRPNLSVREGIEIVVSGGMSMPQILSTLDSRLPLERSRSNRS >KJB64919 pep chromosome:Graimondii2_0_v6:10:10223893:10227475:-1 gene:B456_010G072300 transcript:KJB64919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGIEIFGLGFVTSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVTLQSYTGEEELCCVYVPTNHLYIGDIFLINTNDVIRPNLSVREGIEIVVSGGMSMPQILSTLDSRLPLERSRSNRS >KJB66735 pep chromosome:Graimondii2_0_v6:10:43461558:43462846:-1 gene:B456_010G156100 transcript:KJB66735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKFFILVILFQTVDLALSTPKKYESIFNFGDSLSDTGNLLLSGALVFPVIGQLPYGETFFQHATGRCSDGRLIIDFIAEAFSLPYLQPYLAVKQGQSSQHGVNFAFSGATALDAEFFFQRNMGSILWTNNSLNVQLGWFRKLKSDLCSDNESCDDFFKKSLFLVGEIGGNDYNYAFFLGGSIKQLQSMVPSVVGAIANATSALIEEGAVELMVPGNFPIGCSAVYLTLFESPKKEDYDRHGCLKAFNGFAKYHNNQLKQALDTLRQKYPHARIFYADYYGAAMRYVHAPLHHVM >KJB66738 pep chromosome:Graimondii2_0_v6:10:43461111:43462963:-1 gene:B456_010G156100 transcript:KJB66738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKFFILVILFQTVDLALSTPKKYESIFNFGDSLSDTGNLLLSGALVFPVIGQLPYGETFFQHATGRCSDGRLIIDFIAEAFSLPYLQPYLAVKQGQSSQHGVNFAFSGATALDAEFFFQRNMGSILWTNNSLNVQLGWFRKLKSDLCSDNELLFSVVACDDFFKKSLFLVGEIGGNDYNYAFFLGGSIKQLQSMVPSVVGAIANATSALIEEGAVELMVPGNFPIGCSAVYLTLFESPKKEDYDRHGCLKAFNGFAKYHNNQLKQALDTLRQKYPHARIFYADYYGAAMRYVHAPLHHGFYGGTLKACCGGGGPYNFNISARCGHPGSEACKDPSVYSNWDGIHLTEAAYRHIALALINGSCSLPPLISSPLSD >KJB66736 pep chromosome:Graimondii2_0_v6:10:43461299:43462846:-1 gene:B456_010G156100 transcript:KJB66736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKFFILVILFQTVDLALSTPKKYESIFNFGDSLSDTGNLLLSGALVFPVIGQLPYGETFFQHATGRCSDGRLIIDFIAVKQGQSSQHGVNFAFSGATALDAEFFFQRNMGSILWTNNSLNVQLGWFRKLKSDLCSDNESCDDFFKKSLFLVGEIGGNDYNYAFFLGGSIKQLQSMVPSVVGAIANATSALIEEGAVELMVPGNFPIGCSAVYLTLFESPKKEDYDRHGCLKAFNGFAKYHNNQLKQALDTLRQKYPHARIFYADYYGAAMRYVHAPLHHGFYGGTLKACCGGGGPYNFNISARCGHPGSEACKDPSVYSNWDGIHLTEAAYRHIALALINGSCSLPPLISSPLSD >KJB66737 pep chromosome:Graimondii2_0_v6:10:43461111:43462963:-1 gene:B456_010G156100 transcript:KJB66737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKFFILVILFQTVDLALSTPKKYESIFNFGDSLSDTGNLLLSGALVFPVIGQLPYGETFFQHATGRCSDGRLIIDFIVKQGQSSQHGVNFAFSGATALDAEFFFQRNMGSILWTNNSLNVQLGWFRKLKSDLCSDNESCDDFFKKSLFLVGEIGGNDYNYAFFLGGSIKQLQSMVPSVVGAIANATSALIEEGAVELMVPGNFPIGCSAVYLTLFESPKKEDYDRHGCLKAFNGFAKYHNNQLKQALDTLRQKYPHARIFYADYYGAAMRYVHAPLHHGFYGGTLKACCGGGGPYNFNISARCGHPGSEACKDPSVYSNWDGIHLTEAAYRHIALALINGSCSLPPLISSPLSD >KJB66739 pep chromosome:Graimondii2_0_v6:10:43461111:43462973:-1 gene:B456_010G156100 transcript:KJB66739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKFFILVILFQTVDLALSTPKKYESIFNFGDSLSDTGNLLLSGALVFPVIGQLPYGETFFQHATGRCSDGRLIIDFIAEAFSLPYLQPYLAVKQGQSSQHGVNFAFSGATALDAEFFFQRNMGSILWTNNSLNVQLGWFRKLKSDLCSDNESCDDFFKKSLFLVGEIGGNDYNYAFFLGGSIKQLQSMVPSVVGAIANATSALIEEGAVELMVPGNFPIGCSAVYLTLFESPKKEDYDRHGCLKAFNGFAKYHNNQLKQALDTLRQKYPHARIFYADYYGAAMRYVHAPLHHGFYGGTLKACCGGGGPYNFNISARCGHPGSEACKDPSVYSNWDGIHLTEAAYRHIALALINGSCSLPPLISSPLSD >KJB67051 pep chromosome:Graimondii2_0_v6:10:50271179:50275476:-1 gene:B456_010G172800 transcript:KJB67051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHSSDEDDDRQTLIHQNDTKHLPRQIPTSPRASTAPRSGFHIEELESQIRRRFKLTFNKRYLFAIFLPLLLIPIFLSTDIRSLFSSNISSLKFNKVSDQLKESQLQALYLLNQQLTSLLSLWNHTFVNSNDNVSAVQFDDIKASLLNQITLNKHIQQTLLSPHKTGNTLQNATVLDPAYAGYSFDRCRKVDLKFSERRTVEWKPKPNKFLFAICLSGQMSNHLICLEKHMFFAAVLNRALVIPSSKLDYQYNRVLDTEHINDCVGKKAVIPFEDFMEMKKNHAHIDRFICYFSSPQPCYVDEEHLKKLRSLGISMGKLESAWKNEDIKKPSQKTIKDLEEKFASDDDVIAIGDVFFAEMERDWVTQPGGPIAHKCKTLIEPSKLILLTAQRFIQTFLGSNFIALHFRRHGFLKFCNAKKPSCFYPIPQAADCITRMVERANTPIIYLSTDAAESETGLLQSMVVLNGKTIPLVKRPPRNSAEKWDALLYRHGIEDDPQVEAMLDKTICAMASVFIGAPGSTFTEDILRLRKDWGTASLCDEYLCQGEGPNFIAGEE >KJB66542 pep chromosome:Graimondii2_0_v6:10:36484090:36491011:1 gene:B456_010G142900 transcript:KJB66542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTSDYEDKFPVGTKVQAVWSEDGEWYDATIEAVTPNGYYASFDGWGNKEEVDPANVRAIEYNALLEAEKVAEATKQAIKRKIAQAASVDFQSRSLPAKLRITSDDPEDVKAAKRKKIHAFKSKMRLEQLEVAQNKRQNAWQQFQTTKGKTKKVGFFSGRKRESIFKSPDDPQGKVGVTGSGKGLTDFQKREKHLHLKGGNVEISDD >KJB66543 pep chromosome:Graimondii2_0_v6:10:36483972:36491011:1 gene:B456_010G142900 transcript:KJB66543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTSDYEDKFPVGTKVQAVWSEDGEWYDATIEAVTPNGYYASFDGWGNKEEVDPANVRAIEYNALLEAEKVAEATKQAIKRKIAQAASVDFQSRSLPAKLRITSDDPEDVKAAKRKKIHAFKSKMRLEQLEVAQNKRQNAWQQFQTTKGKTKKVGFFSGRKRESIFKSPDDPQGKVGVTGSGKGLTDFQKREKHLHLKGGNVEISDD >KJB66539 pep chromosome:Graimondii2_0_v6:10:36483969:36491011:1 gene:B456_010G142900 transcript:KJB66539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTDELSIDDLASSLSTYKEQLQQVRQLLADDPGNAEYVDMEKELAEVIALTEELLATAKQNEISGSDIGTSVSAAAAQSKEMVKTSDYEDKFPVGTKVQAVWSEDGEWYDATIEAVTPNGYYASFDGWGNKEEVDPANVRAIEYNALLEAEKVAEATKQAIKRKIAQAASVDFQSRSLPAKLRITSDDPEDVQPNGRRYMLSSQRCDLNNLKWHKISGRMLGSSFRQPKARLKRLVFSRGVSVRAFSNHRMILKVRLV >KJB66541 pep chromosome:Graimondii2_0_v6:10:36483972:36491011:1 gene:B456_010G142900 transcript:KJB66541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTSDYEDKFPVGTKVQAVWSEDGEWYDATIEAVTPNGYYASFDGWGNKEEVDPANVRAIEYNALLEAEKVAEATKQAIKRKIAQAASVDFQSRSLPAKLRITSDDPEDVKAAKRKKIHAFKSKMRLEQLEVAQNKRQNAWQQFQTTKGKTKKVGFFSGRKRESIFKSPDDPQGKVGVTGSGKGLTDFQKREKHLHLKGGNVEISDD >KJB66536 pep chromosome:Graimondii2_0_v6:10:36483972:36491011:1 gene:B456_010G142900 transcript:KJB66536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTSDYEDKFPVGTKVQAVWSEDGEWYDATIEAVTPNGYYASFDGWGNKEEVDPANVRAIEYNALLEAEKVAEATKQAIKRKIAQAASVDFQSRSLPAKLRITSDDPEDVKAAKRKKIHAFKSKMRLEQLEVAQNKRQNAWQQFQTTKGKTKKVGFFSGRKRESIFKSPDDPQGKVGVTGSGKGLTDFQKREKHLHLKGGNVEISDD >KJB66538 pep chromosome:Graimondii2_0_v6:10:36484106:36488817:1 gene:B456_010G142900 transcript:KJB66538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTDELSIDDLASSLSTYKEQLQQVRQLLADDPGNAEYVDMEKELAEVIALTEELLATAKQNEISGSDIGTSVSAAAAQSKEMVKTSDYEDKFPVGTKVQAVWSEDGEWYDATIEAVTPNGYYASFDGWGNKEEVDPANVRAIEYNALLEAEKVAEATKQAIKRKIAQAASVDFQSRSLPAKLRITSDDPEDVTWVQSCVVVDSSIELNSRLGIWHERI >KJB66544 pep chromosome:Graimondii2_0_v6:10:36483972:36491011:1 gene:B456_010G142900 transcript:KJB66544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTSDYEDKFPVGTKVQAVWSEDGEWYDATIEAVTPNGYYASFDGWGNKEEVDPANVRAIEYNALLEAEKVAEATKQAIKRKIAQAASVDFQSRSLPAKLRITSDDPEDVKAAKRKKIHAFKSKMRLEQLEVAQNKRQNAWQQFQTTKGKTKKVGFFSGRKRESIFKSPDDPQGKVGVTGSGKGLTDFQKREKHLHLKGGNVEISDD >KJB66537 pep chromosome:Graimondii2_0_v6:10:36483969:36491011:1 gene:B456_010G142900 transcript:KJB66537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTDELSIDDLASSLSTYKEQLQQVRQLLADDPGNAEYVDMEKELAEVIALTEELLATAKQNEISGSDIGTSVSAAAAQSKEMVKTSDYEDKFPVGTKVQAVWSEDGEWYDATIEAVTPNGYYASFDGWGNKEEVDPANVRAIEYNALLEAEKVAEATKQAIKRKIAQAASVDFQSRSLPAKLRITSDDPEDVPNGRRYMLSSQRCDLNNLKWHKISGRMLGSSFRQPKARLKRLVFSRGVSVRAFSNHRMILKVRLV >KJB66545 pep chromosome:Graimondii2_0_v6:10:36483968:36491011:1 gene:B456_010G142900 transcript:KJB66545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTDELSIDDLASSLSTYKEQLQQLLADDPGNAEYVDMEKELAEVIALTEELLATAKQNEISGSDIGTSVSAAAAQSKEMVKTSDYEDKFPVGTKVQAVWSEDGEWYDATIEAVTPNGYYASFDGWGNKEEVDPANVRAIEYNALLEAEKVAEATKQAIKRKIAQAASVDFQSRSLPAKLRITSDDPEDVKAAKRKKIHAFKSKMRLEQLEVAQNKRQNAWQQFQTTKGKTKKVGFFSGRKRESIFKSPDDPQGKVGVTGSGKGLTDFQKREKHLHLKGGNVEISDD >KJB66535 pep chromosome:Graimondii2_0_v6:10:36483915:36491213:1 gene:B456_010G142900 transcript:KJB66535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTDELSIDDLASSLSTYKEQLQQVRQLLADDPGNAEYVDMEKELAEVIALTEELLATAKQNEISGSDIGTSVSAAAAQSKEMVKTSDYEDKFPVGTKVQAVWSEDGEWYDATIEAVTPNGYYASFDGWGNKEEVDPANVRAIEYNALLEAEKVAEATKQAIKRKIAQAASVDFQSRSLPAKLRITSDDPEDVKAAKRKKIHAFKSKMRLEQLEVAQNKRQNAWQQFQTTKGKTKKVGFFSGRKRESIFKSPDDPQGKVGVTGSGKGLTDFQKREKHLHLKGGNVEISDD >KJB66540 pep chromosome:Graimondii2_0_v6:10:36483972:36491011:1 gene:B456_010G142900 transcript:KJB66540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTSDYEDKFPVGTKVQAVWSEDGEWYDATIEAVTPNGYYASFDGWGNKEEVDPANVRAIEYNALLEAEKVAEATKQAIKRKIAQAASVDFQSRSLPAKLRITSDDPEDVKAAKRKKIHAFKSKMRLEQLEVAQNKRQNAWQQFQTTKGKTKKVGFFSGRKRESIFKSPDDPQGKVGVTGSGKGLTDFQKREKHLHLKGGNVEISDD >KJB67753 pep chromosome:Graimondii2_0_v6:10:57458526:57461236:-1 gene:B456_010G208200 transcript:KJB67753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRYDGVRYGNQASSDELNALYEESRAKRFGSEVKMRILMGTYALLAGYYDAYYKRAQQVRTIIRKSFKAALDENDILISPAAPSAAYKIGEKKNDPLAMYAGDIMTVNVNLAGLPALVLPCGFVEGGAVGLQIIGAAFDEDYWQPTIFDFSKIFVSENWAPKI >KJB63564 pep chromosome:Graimondii2_0_v6:10:317665:321270:1 gene:B456_010G006100 transcript:KJB63564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >KJB63565 pep chromosome:Graimondii2_0_v6:10:317675:321248:1 gene:B456_010G006100 transcript:KJB63565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >KJB63566 pep chromosome:Graimondii2_0_v6:10:317766:321248:1 gene:B456_010G006100 transcript:KJB63566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >KJB64915 pep chromosome:Graimondii2_0_v6:10:10141148:10146700:-1 gene:B456_010G072100 transcript:KJB64915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIWKWYQSCLSLHPVKTQIISSGFLWGIGDVAAQYITHSTAKKRLQYHKDDDNEFKVNWKRVAVTSMFGFGFVGPVGHFWYEGLDKFIKMRLLLRPKSASRKKHCSSEGRREERLPPSLDIGRWRLANRSDSAFLSWIEQQKDAPWKQRFTSFTSMKEGGGQGRL >KJB64914 pep chromosome:Graimondii2_0_v6:10:10141148:10146618:-1 gene:B456_010G072100 transcript:KJB64914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIWKWYQSCLSLHPVKTQIISSGFLWGIGDVAAQYITHSTAKKRLQYHKDDDNEFKVNWKRVAVTSMFGFGFVGPVGHFWYEGLDKFIKMRLLLRPKSARFVAAKVAMDGLIFGPFDLFVFFTYMGFSAGKSTAQVKEDVKRDFLPALILEGGVWPIVQVANFRYVPVRYQLLYVNIFCLLDSAFLSWIEQQKDAPWKQRFTSFTSMKEGGGQGRL >KJB64913 pep chromosome:Graimondii2_0_v6:10:10141140:10146694:-1 gene:B456_010G072100 transcript:KJB64913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIWKWYQSCLSLHPVKTQIISSGFLWGIGDVAAQYITHSTAKKRLQYHKDDDNEFKVNWKRVAVTSMFGFGFVGPVGHFWYEGLDKFIKMRLLLRPKSARFVAAKVAMDGLIFGPFDLFVFFTYMGFSAGKSTAQVKEDVKRDFLPALILEGGVWPIVQCLFVMD >KJB64912 pep chromosome:Graimondii2_0_v6:10:10141099:10146700:-1 gene:B456_010G072100 transcript:KJB64912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIWKWYQSCLSLHPVKTQIISSGFLWGIGDVAAQYITHSTAKKRLQYHKDDDNEFKVNWKRVAVTSMFGFGFVGPVGHFWYEGLDKFIKMRLLLRPKSASRKKHCSSEGRREERLPPSLDIGRWRLANRSVPFCHGLNNKRMLPGNKGLLLLPP >KJB66412 pep chromosome:Graimondii2_0_v6:10:33179874:33182535:1 gene:B456_010G139000 transcript:KJB66412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISLYRGNLHRTPEVSRRWLMPTPKISLRDFKSLLHRRDRALSRLRSSSSSNPNSNSALKYQIQSPVSCEPPIEPKLKAKLEPEPSEGLKTGPPLQEVKVDIVGGSDGRDCLVKSEDEQTEKDVNLQVDEKLPEEAKTNLEVSDKINDFNGKETRKRDVEEKLQVLNAKKHSLVQVLKQILNAEEELKRRNSTQGTVNRPAVPFQVETTNDSGSMTRLVTPRMGLDANLAGENDGVEADDVSNHNVHSRHVFRMSSTSPSSESPLRRPTYIQHNVASHPSRTSMGVTGSPSRFAPTGNQGHPGNPPTVSVSGTNFVASSPSPAASGGTSVLREAWQPSPWN >KJB63484 pep chromosome:Graimondii2_0_v6:10:70787:72577:1 gene:B456_010G001800 transcript:KJB63484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSIFALPILLGLCYLATDVSAFTASGWTKAHATFYGGSDASGTMGGACGYGNLYSAGYGTRTAALSTALFNDGASCGQCYKIVCDYLADPKWCIKGTSVTITATNFCPPNFALPNNAGGWCNPPLQHFDMAQPAWEKIGIYRGGIVPVLFQRVPCKKHGGVRFTINGRDYFELVLISNVGGAGSIQSVSVKGSKTGWMSMSRNWGANWQSNAYLNRQSLSFKVTTTDGVTRLFPDIVPASWGFGQTFSSKVQF >KJB63824 pep chromosome:Graimondii2_0_v6:10:1425213:1425506:-1 gene:B456_010G018900 transcript:KJB63824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKTRTYSVVDGKREMTIDEFKRWISRDELADAIRVSHGGWFTGRKSKRIIGLVDADRNGFIDDNEIKNLAEFAEKYLNVKILYL >KJB63282 pep chromosome:Graimondii2_0_v6:10:13836057:13838529:1 gene:B456_010G090100 transcript:KJB63282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MSWAGPDDIFLSTSLATYLDKKLLVLLRDGRKLLGLLRSFDQFANVILEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELDLEKEELPSRMNPVSEAEIKRLWKNCKLQK >KJB63283 pep chromosome:Graimondii2_0_v6:10:13836605:13838214:1 gene:B456_010G090100 transcript:KJB63283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MPLNVLVLHCKNCLVTYLCLRSLMVVKHASRDLSIFIEKLLVLLRDGRKLLGLLRSFDQFANVILEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELDLEKEELPSRMNPVSEAEIKRAQKAEREATDLKGSMRKRMEFLDFD >KJB63281 pep chromosome:Graimondii2_0_v6:10:13836005:13838214:1 gene:B456_010G090100 transcript:KJB63281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MSWAGPDDIFLSTSLATYLDTNVILEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELDLEKEELPSRMNPVSEAEIKRAQKAEREATDLKGSMRKRMEFLDFD >KJB63280 pep chromosome:Graimondii2_0_v6:10:13835946:13838214:1 gene:B456_010G090100 transcript:KJB63280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MSWAGPDDIFLSTSLATYLDKKLLVLLRDGRKLLGLLRSFDQFANVILEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELDLEKEELPSRMNPVSEAEIKRAQKAEREATDLKGSMRKRMEFLDFD >KJB67374 pep chromosome:Graimondii2_0_v6:10:53893034:53893726:1 gene:B456_010G187400 transcript:KJB67374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFIVFRNVFTISILLNVVLSNIFPSGLKPRFHTSRFHATGDEAWKDKEAAVLALGSVGEGCINGLYPHLSEVILLVLY >KJB65474 pep chromosome:Graimondii2_0_v6:10:16222504:16229147:-1 gene:B456_010G096600 transcript:KJB65474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAMRCGGNWVDAKWLPLVGCSRPSQGETSASASTAVEDSDYHDSHHKRAKVYTVYHESTSYSLAPREYNNNQCSSISSNKGVFYHNFMLNSGSDGHRFDGNGGKDEENEGDLRAEDSEIRMDLTDDLLHMVFSFLDHRNLCNSAMVCRQWRSASAHEDFWRCLNFENRSISLEKFEDMCQRYPNATEVNLSGTPISHLLVMKAVYLLRNLEALTLGKGQLGDAFFHALTECSMLRSLDVNDAILGDGVQEILINHDRLCDLKLTKCRVMHISIRCPLLKSLSLKRSNMAQAALNCPLLNLLDISACHKLTDAAIRLIVTSCPQLESLDMLNCSCVSDETLREIALTCSNLHVLNLSYCPNISLESVRLPMLKVLKLDNCEGITLASMAAIAYSYKLEELELDNCHMLTSVSLDLPRLQKIRLVHCRKFADLNLQSSTLSSITLSNCVALRRINISSNALQKLALQKTENLTILALRCQGLQEVDLTDCASLTDSICDVFSDGGGCPMLKSLVLDNCESLTALQLSSTSLVSLSLVGCRDITTLDLACPCLEKICLDGCDHLERASFCPVALRSLNLGICPKLNTLRINAPDMVSLELKGCGVLSDASINCPLLKSLDASFCSQLKDDCLSATTASCSLIKSLILMSCPSIGSDGLYSLRWLPNLTTLGLSYTFLTNLQPVFVSCFHLKVLKLQACKYLSDSSLEPLYKEGALRELQDLDLSYSTLCQSAIEELLAYCTHLTHLSLNGCINMHDLNWGSADSRIEFLSVHNESTMFYFENINEPVKQGNRLLQNLNCVGCPNIREVVIPPAARCFHMSSLNLSLSTNLKEVNLVCLSLSFLNLSNCCSLEVLKLRCPRLTSLFLQSCNIEEEAVESAISQCSMLETLDVRFCPKICSTSMGRLRAVCPSLKRIFSSLSPA >KJB65476 pep chromosome:Graimondii2_0_v6:10:16222751:16227082:-1 gene:B456_010G096600 transcript:KJB65476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAALNCPLLNLLDISACHKLTDAAIRLIVTSCPQLESLDMLNCSCVSDETLREIALTCSNLHVLNLSYCPNISLESVRLPMLKVLKLDNCEGITLASMAAIAYSYKLEELELDNCHMLTSVSLDLPRLQKIRLVHCRKFADLNLQSSTLSSITLSNCVALRRINISSNALQKLALQKTENLTILALRCQGLQEVDLTDCASLTDSICDVFSDGGGCPMLKSLVLDNCESLTALQLSSTSLVSLSLVGCRDITTLDLACPCLEKICLDGCDHLERASFCPVALRSLNLGICPKLNTLRINAPDMVSLELKGCGVLSDASINCPLLKSLDASFCSQLKDDCLSATTASCSLIKSLILMSCPSIGSDGLYSLRWLPNLTTLGLSYTFLTNLQPVFVSCFHLKVLKLQACKYLSDSSLEPLYKEGALRELQDLDLSYSTLCQSAIEELLAYCTHLTHLSLNGCINMHDLNWGSADSRIEFLSVHNESTMFYFENINEPVKQGNRLLQNLNCVGCPNIREVVIPPAARCFHMSSLNLSLSTNLKEVNLVCLSLSFLNLSNCCSLEVLKLRCPRLTSLFLQSCNIEEEAVESAISQCSMLETLDVRFCPKICSTSMGRLRAVCPSLKRIFSSLSPA >KJB65477 pep chromosome:Graimondii2_0_v6:10:16223522:16229147:-1 gene:B456_010G096600 transcript:KJB65477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAMRCGGNWVDAKWLPLVGCSRPSQGETSASASTAVEDSDYHDSHHKRAKVYTVYHESTSYSLAPREYNNNQCSSISSNKGVFYHNFMLNSGSDGHRFDGNGGKDEENEGDLRAEDSEIRMDLTDDLLHMVFSFLDHRNLCNSAMVCRQWRSASAHEDFWRCLNFENRSISLEKFEDMCQRYPNATEVNLSGTPISHLLVMKAVYLLRNLEALTLGKGQLGDAFFHALTECSMLRSLDVNDAILGDGVQEILINHDRLCDLKLTKCRVMHISIRCPLLKSLSLKRSNMAQAALNCPLLNLLDISACHKLTDAAIRLIVTSCPQLESLDMLNCSCVSDETLREIALTCSNLHVLNLSYCPNISLESVRLPMLKVLKLDNCEGITLASMAAIAYSYKLEELELDNCHMLTSVSLDLPRLQKIRLVHCRKFADLNLQSSTLSSITLSNCVALRRINISSNALQKLALQKTENLTILALRCQGLQEVDLTDCASLTDSICDVFSDGGGCPMLKSLVLDNCESLTALQLSSTSLVSLSLVGCRDITTLDLACPCLEKICLDGCDHLERASFCPVALRSLNLGICPKLNTLRINAPDMVSLELKGCGVLSDASINCPLLKSLDASFCSQLKDDCLSATTASCSLIKSLILMSCPSIGSDGLYSLRWLPNLTTLGLSYTFLTNLQPVFVSCFHLKVLKLQACKYLSDSSLEPLYKEGALRELQDLDLSYSTLCQSAIEELLAYCTHLTHLSLNGCINMHDLNWGSADSRIEFLSVHNESTMFYFENINEPVKQGNRLLQNLNCVGCPNIREVVIPPAARCFHMSSLNLSLSTNLKEVNLVCLSLSFLNLSNCCSLEVLKLRCPRLTSLFLQSICVLPLNPLRNSCFLHAKSF >KJB65478 pep chromosome:Graimondii2_0_v6:10:16223603:16228762:-1 gene:B456_010G096600 transcript:KJB65478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DNHEGFLANADDIEENIVNDGDEEAPASVTVAPDRFAFSLNRTLTSRRHRDETFLLFEEMSAAMRCGGNWVDAKWLPLVGCSRPSQGETSASASTAVEDSDYHDSHHKRAKVYTVYHESTSYSLAPREYNNNQCSSISSNKGVFYHNFMLNSGSDGHRFDGNGGKDEENEGDLRAEDSEIRMDLTDDLLHMVFSFLDHRNLCNSAMVCRQWRSASAHEDFWRCLNFENRSISLEKFEDMCQRYPNATEVNLSGTPISHLLVMKAVYLLRNLEALTLGKGQLGDAFFHALTECSMLRSLDVNDAILGDGVQEILINHDRLCDLKLTKCRVMHISIRCPLLKSLSLKRSNMAQAALNCPLLNLLDISACHKLTDAAIRLIVTSCPQLESLDMLNCSCVSDETLREIALTCSNLHVLNLSYCPNISLEELELDNCHMLTSVSLDLPRLQKIRLVHCRKFADLNLQSSTLSSITLSNCVALRRINISSNALQVFSQRTIWFLFLLSLLLIRKLALQKTENLTILALRCQGLQEVDLTDCASLTDSICDVFSDGGGCPMLKSLVLDNCESLTALQLSSTSLVSLSLVGCRDITTLDLACPCLEKICLDGCDHLERASFCPVALRSLNLGICPKLNTLRINAPDMVSLELKGCGVLSDASINCPLLKSLDASFCSQLKDDCLSATTASCSLIKSLILMSCPSIGSDGLYSLRWLPNLTTLGLSYTFLTNLQPVFVSCFHLKVLKLQACKYLSDSSLEPLYKEGALRELQDLDLSYSTLCQSAIEELLAYCTHLTHLSLNGCINMHDLNWGSADSRIEFLSVHNESTMFYFENINEPVKQGNRLLQNLNCVGCPNIREVVIPPAARCFHMSSLNLSLSTNLKEVNLVCLSLSFLNLSNCCSLEVLKLRCPRLTSLFLQSICVLPLNPLRNSCFLHAKSF >KJB65475 pep chromosome:Graimondii2_0_v6:10:16223238:16228585:-1 gene:B456_010G096600 transcript:KJB65475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAMRCGGNWVDAKWLPLVGCSRPSQGETSASASTAVEDSDYHDSHHKRAKVYTVYHESTSYSLAPREYNNNQCSSISSNKGVFYHNFMLNSGSDGHRFDGNGGKDEENEGDLRAEDSEIRMDLTDDLLHMVFSFLDHRNLCNSAMVCRQWRSASAHEDFWRCLNFENRSISLEKFEDMCQRYPNATEVNLSGTPISHLLVMKAVYLLRNLEALTLGKGQLGDAFFHALTECSMLRSLDVNDAILGDGVQEILINHDRLCDLKLTKCRVMHISIRCPLLKSLSLKRSNMAQAALNCPLLNLLDISACHKLTDAAIRLIVTSCPQLESLDMLNCSCVSDETLREIALTCSNLHVLNLSYCPNISLESVRLPMLKVLKLDNCEGITLASMAAIAYSYKLEELELDNCHMLTSVSLDLPRLQKIRLVHCRKFADLNLQSSTLSSITLSNCVALRRINISSNALQKLALQKTENLTILALRCQGLQEVDLTDCASLTDSICDVFSDGGGCPMLKSLVLDNCESLTALQLSSTSLVSLSLVGCRDITTLDLACPCLEKICLDGCDHLERASFCPVALRSLNLGICPKLNTLRINAPDMVSLELKGCGVLSDASINCPLLKSLDASFCSQLKDDCLSATTASCSLIKSLILMSCPSIGSDGLYSLRWLPNLTTLGLSYTFLTNLQPVFVSCFHLKVLKLQACKYLSDSSLEPLYKEGALRELQDLDLSYSTLCQSAIEELLAYCTHLTHLSLNGCINMHDLNWGSADSRIEFLSVHNESTMFYFENINEPVKQGNRLLQNLNCVGCPNIREVVIPPAARCFHMSSLNLSLSTNLKEVNLVCLSLSFLNLSNCCSLEVLKLRCPRLTSLFLQSCNIEEEAVESAISQCSMLETLDVRFCPKILLEKKNKEGNIIQFIDV >KJB65233 pep chromosome:Graimondii2_0_v6:10:12848362:12851958:-1 gene:B456_010G085300 transcript:KJB65233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGKGILSPNLKLSLPPPAETSFSKFLTESGTFKDGDLLVNKDGVRIVSQSEPEAVIQMNIEESYRKQIAKELKINQSSQCPYVVVCYQSFYNNGAISIILEYMDGGSLADFLKKVLKGLIYLHHERHIIHRDLKPSNLLINHRGEVKITDFGVSAIVTSTSGLANTFVGTYNYMSPERIIGGNYGNKSDIWSLGLVLLECATGQFPYSPPDQAAGWTSFYELMDQIVEQPPPCAPSDRFSPEFCSFISACLKKDPNERKSAQELLDLPFLNMYNDSDADLSSYFNDAGSLLATL >KJB65231 pep chromosome:Graimondii2_0_v6:10:12848335:12851989:-1 gene:B456_010G085300 transcript:KJB65231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGKGILSPNLKLSLPPPAETSFSKFLTESGTFKDGDLLVNKDGVRIVSQSEPEAPPPIKPSEADNDDQLSLEDIDAIKVIGKGNGGIVQLVQHKWTGQFFALKVIQMNIEESYRKQIAKELKINQSSQCPYVVVCYQSFYNNGAISIILEYMDGGSLADFLKKVKSIPEPYLAVICKQVLKGLIYLHHERHIIHRDLKPSNLLINHRGEVKITDFGVSAIVTSTSGLANTFVGTYNYMSPERIIGGNYGNKSDIWSLGLVLLECATGQFPYSPPDQAAGWTSFYELMDQIVEQPPPCAPSDRFSPEFCSFISACLKKDPNERKSAQELLDLPFLNMYNDSDADLSSYFNDAGSLLATL >KJB65232 pep chromosome:Graimondii2_0_v6:10:12848362:12850933:-1 gene:B456_010G085300 transcript:KJB65232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIEESYRKQIAKELKINQSSQCPYVVVCYQSFYNNGAISIILEYMDGGSLADFLKKVKSIPEPYLAVICKQVLKGLIYLHHERHIIHRDLKPSNLLINHRGEVKITDFGVSAIVTSTSGLANTFVGTYNYMSPERIIGGNYGNKSDIWSLGLVLLECATGQFPYSPPDQAAGWTSFYELMDQIVEQPPPCAPSDRFSPEFCSFISACLKKDPNERKSAQELLDLPFLNMYNDSDADLSSYFNDAGSLLATL >KJB65235 pep chromosome:Graimondii2_0_v6:10:12849313:12851275:-1 gene:B456_010G085300 transcript:KJB65235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKLSERVIQMNIEESYRKQIAKELKINQSSQCPYVVVCYQSFYNNGAISIILEYMDGGSLADFLKKVKSIPEPYLAVICKQVLKGLIYLHHERHIIHRDLKPSNLLINHRGEVKITDFGVSAIVTSTSGLANTFVGTYNYMSPERIIGGNYGNKSDIWSLGLVLLECATGQFPYSPPDQAAGWTSFYELMDQIVEQPPPCAPSDRFSPEFCSFISACLKKDPNERKSAQELLDLPFLNMYNDSDADLSSYFNDAGSLLATL >KJB65236 pep chromosome:Graimondii2_0_v6:10:12848362:12851958:-1 gene:B456_010G085300 transcript:KJB65236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGKGILSPNLKLSLPPPAETSFSKFLTESGTFKDGDLLVNKDGVRIVSQSEPEAPPPIKPSEADNDDQLSLEDIDAIKVIGKGNGGIVQLVQHKWTGQFFALKVIQMNIEESYRKQIAKELKINQSSQCPYVVVCYQSFYNNGAISIILEYMDGGSLADFLKKVLKGLIYLHHERHIIHRDLKPSNLLINHRGEVKITDFGVSAIVTSTSGLANTFVGTYNYMSPERIIGGNYGNKSDIWSLGLVLLECATGQFPYSPPDQAAGWTSFYELMDQIVEQPPPCAPSDRFSPEFCSFISACLKKDPNERKSAQELLDLPFLNMYNDSDADLSSYFNDAGSLLATL >KJB65230 pep chromosome:Graimondii2_0_v6:10:12848335:12851984:-1 gene:B456_010G085300 transcript:KJB65230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGKGILSPNLKLSLPPPAETSFSKFLTESGTFKDGDLLVNKDGVRIVSQSEPEAVIQMNIEESYRKQIAKELKINQSSQCPYVVVCYQSFYNNGAISIILEYMDGGSLADFLKKVKSIPEPYLAVICKQVLKGLIYLHHERHIIHRDLKPSNLLINHRGEVKITDFGVSAIVTSTSGLANTFVGTYNYMSPERIIGGNYGNKSDIWSLGLVLLECATGQFPYSPPDQAAGWTSFYELMDQIVEQPPPCAPSDRFSPEFCSFISACLKKDPNERKSAQELLDLPFLNMYNDSDADLSSYFNDAGSLLATL >KJB65237 pep chromosome:Graimondii2_0_v6:10:12849768:12851958:-1 gene:B456_010G085300 transcript:KJB65237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGKGILSPNLKLSLPPPAETSFSKFLTESGTFKDGDLLVNKDGVRIVSQSEPEAPPPIKPSEADNDDQLSLEDIDAIKVIGKGNGGIVQLVQHKWTGQFFALKVIQMNIEESYRKQIAKELKINQSSQCPYVVVCYQSFYNNGAISIILEYMDGGSLADFLKKVKSIPEPYLAVICKQVLKGLIYLHHERHIIHRDLKPSNLLINHRGEVKITDFGVSAIVTSTSGLANTFVGTYNYMSVSNLVYKNILLVAKLYVILICSKLISLLMSMYVSSSLRELLVAIMAIKVTFGAWDWCCLNVQLVNSHIPHQTRQQDGQVFMSSWIKLLNNHHLVHLQIDFLLSFARLFLHGKDYFLNYLIQLP >KJB65234 pep chromosome:Graimondii2_0_v6:10:12848362:12851958:-1 gene:B456_010G085300 transcript:KJB65234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGKGILSPNLKLSLPPPAETSFSKFLTESGTFKDGDLLVNKDGVRIVSQSEPEAPPPIKPSEADNDDQLSLEDIDAIKVIGKGNGGIVQLVQHKWTGQFFALKVIQMNIEESYRKQIAKELKINQSSQCPYVVVCYQSFYNNGAISIILEYMDGGSLADFLKKVKSIPEPYLAVICKQVLKGLIYLHHERHIIHRDLKPSNLLINHRGEVKITDFGVSAIVTSTSGLANTFVGTYNYMSPERIIGGNYGNKSDIWSLGLVLLECATGQFPYSPPDQAAGWTSFYELMDQIVEQPPPCAPSDRFSPEFCSFISACLKKDPNERKSAQELLDLPFLNMYNDSDADLSSYFNDAGSLLATL >KJB67564 pep chromosome:Graimondii2_0_v6:10:55768924:55769683:1 gene:B456_010G1969001 transcript:KJB67564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AQPPSTVMGPNAKVIPLGQMDGDAIRLVTARKVWIDHNTLYECQDGLLDVTRGSTNITVSNNWFRNQDKVTVIFNHFGPNCNQRMPRVRHGYAHVANNFYQGWEQYAIGGSMSPSIKSEANFFIAPNDVGNKEVTWRKGEKGLWKFYSVRDVFKNGASFSKQTCVGGAKPNYNQEQNFKVVDAGSVKELTSESGVLRCSRSLIC >KJB68059 pep chromosome:Graimondii2_0_v6:10:59569188:59577720:-1 gene:B456_010G223600 transcript:KJB68059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEIFEVLEVVLDSGGRWNDSDKLITITYNSRKKMWRLKIGEGGNDPYLHSTNNFLGRQTWKFDPNAGTDEERAEVEEARLNFYKNRFNVQPSSDLLWQLQFLREKKMKQTIPQPKIEDGEEVTYEATTAAVKRSVHLFSALQSIHGHWPAENAGPMFYFPPLVMSLYITGHLNTIFSAEHRKEILRYIYCHQNEDGGWGLYIGGHSTMFCTALNYICMRLLGVGPDGGLNNACERGRKWILDRGGVTTISSWGKTWLSILGVYEWSGCHPMPPEFWLFPSYFPVHPAKMFCYCRLTYMPMSYLYGRKFVGPITPLISQLREELHIEPYNEIDWSTKRHLCAKEDLHYPHTWLQILLWDSLYIFSEPLLNCWPFNKLREKALKVTMDLIHYEDESSQYITVGCVEKPLCMLACWVEDPNGIPFKKHLSRVDDYVWVGEDGIKMQSFGSQVWDASLVLQALLASNLSNEIGPTLMKGHNFLKNSQVRDDPPGDFKKMFRHISKGSWTFSDRDHGWQVSDCTAESLKCCLSFSMMAPEMVGEKMEREHVYDAVNVLLSLQSKNGGFSAWEPAGGGFWWEWLNPVEFLEDLVKEYEYVECTSSSIQALVLFKKLYPGHREQEIENCIRKAAKYIEDIRYPDGSWYGNWGICFFYGTWFALLGLKAAGKNFENCLAIRKGVDFLLRTQREDGGWGESYLSCPKKVYTPIEEKESNLVSTAQAMMSLIVSGQAERDPTPLHRAAKLLINSQLPNGGFPQQGLAGVFMRNCMLHYAIYRNVFPLWALAEYRNHVWPSKYICF >KJB68062 pep chromosome:Graimondii2_0_v6:10:59570420:59576842:-1 gene:B456_010G223600 transcript:KJB68062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIGEGGNDPYLHSTNNFLGRQTWKFDPNAGTDEERAEVEEARLNFYKNRFNVQPSSDLLWQLQFLREKKMKQTIPQPKIEDGEEVTYEATTAAVKRSVHLFSALQSIHGHWPAENAGPMFYFPPLVMSLYITGHLNTIFSAEHRKEILRYIYCHQNEDGGWGLYIGGHSTMFCTALNYICMRLLGVGPDGGLNNACERGRKWILDRGGVTTISSWGKTWLSILGVYEWSGCHPMPPEFWLFPSYFPVHPAKMFCYCRLTYMPMSYLYGRKFVGPITPLISQLREELHIEPYNEIDWSTKRHLCAKEDLHYPHTWLQILLWDSLYIFSEPLLNCWPFNKLREKALKVTMDLIHYEDESSQYITVGCVEKPLCMLACWVEDPNGIPFKKHLSRVDDYVWVGEDGIKMQSFGSQVWDASLVLQALLASNLSNEIGPTLMKGHNFLKNSQVRDDPPGDFKKMFRHISKGSWTFSDRDHGWQVSDCTAESLKCCLSFSMMAPEMVGEKMEREHVYDAVNVLLSLQSKNGGFSAWEPAGGGFWWEWLNPVEFLEDLVKEYEYVECTSSSIQALVLFKKLYPGHREQEIENCIRKAAKYIEDIRYPDGSWYGNWGICFFYGTWFALLGLKAAGKNFENCLAIRKGVDFLLRTQREDGGWGESYLSCPKKVINI >KJB68055 pep chromosome:Graimondii2_0_v6:10:59569188:59577720:-1 gene:B456_010G223600 transcript:KJB68055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEIFEVLEVVLDSGGRWNDSDKLITITYNSRKKMWRLKIGEGGNDPYLHSTNNFLGRQTWKFDPNAGTDEERAEVEEARLNFYKNRFNVQPSSDLLWQLQFLREKKMKQTIPQPKIEDGEEVTYEATTAAVKRSVHLFSALQSIHGHWPAENAGPMFYFPPLVMSLYITGHLNTIFSAEHRKEILRYIYCHQNEDGGWGLYIGGHSTMFCTALNYICMRLLGVGPDGGLNNACERGRKWILDRGGVTTISSWGKTWLSILGVYEWSGCHPMPPEFWLFPSYFPVHPAKMFCYCRLTYMPMSYLYGRKFVGPITPLISQLREELHIEPYNEIDWSTKRHLCAKEDLHYPHTWLQILLWDSLYIFSEPLLNCWPFNKLREKALKVTMDLIHYEDESSQYITVGCVEKPLCMLACWVEDPNGIPFKKHLSRVDDYVWVGEDGIKMQSFGSQVWDASLVLQALLASNLSNEIGPTLMKGHNFLKNSQVRDDPPGDFKKMFRHISKGSWTFSDRDHGWQVSDCTAESLKCCLSFSMMAPEMVGEKMEREHVYDAVNVLLSLQSKNGGFSAWEPAGGGFWWEWLNPVEFLEDLVKEYEYVECTSSSIQALVLFKKLYPGHREQEIENCIRKAAKYIEDIRYPDGSWYGNWGICFFYGTWFALLGLKAAGKNFENCLAIRKGVDFLLRTQREDGGWGESYLSCPKKVYTPIEEKESNLVSTAQAMMSLIVSGQAERDPTPLHRAAKLLINSQLPNGGFPQQGLAGVFMRNCMLHYAIYRNVFPLWALAEYRNHVWPSKYICF >KJB68061 pep chromosome:Graimondii2_0_v6:10:59568933:59580247:-1 gene:B456_010G223600 transcript:KJB68061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIGEGGNDPYLHSTNNFLGRQTWKFDPNAGTDEERAEVEEARLNFYKNRFNVQPSSDLLWQLQFLREKKMKQTIPQPKIEDGEEVTYEATTAAVKRSVHLFSALQSIHGHWPAENAGPMFYFPPLVMSLYITGHLNTIFSAEHRKEILRYIYCHQNEDGGWGLYIGGHSTMFCTALNYICMRLLGVGPDGGLNNACERGRKWILDRGGVTTISSWGKTWLSILGVYEWSGCHPMPPEFWLFPSYFPVHPAKMFCYCRLTYMPMSYLYGRKFVGPITPLISQLREELHIEPYNEIDWSTKRHLCAKEDLHYPHTWLQILLWDSLYIFSEPLLNCWPFNKLREKALKVTMDLIHYEDESSQYITVGCVEKPLCMLACWVEDPNGIPFKKHLSRVDDYVWVGEDGIKMQSFGSQVWDASLVLQALLASNLSNEIGPTLMKGHNFLKNSQVRDDPPGDFKKMFRHISKGSWTFSDRDHGWQVSDCTAESLKCCLSFSMMAPEMVGEKMEREHVYDAVNVLLSLQSKNGGFSAWEPAGGGFWWEWLNPVEFLEDLVKEYEYVECTSSSIQALVLFKKLYPGHREQEIENCIRKAAKYIEDIRYPDGSWYGNWGICFFYGTWFALLGLKAAGKNFENCLAIRKGVDFLLRTQREDGGWGESYLSCPKKVYTPIEEKESNLVSTAQAMMSLIVSGQAERDPTPLHRAAKLLINSQLPNGGFPQQGLAGVFMRNCMLHYAIYRNVFPLWALAEYRNHVWPSKYICF >KJB68054 pep chromosome:Graimondii2_0_v6:10:59568933:59580247:-1 gene:B456_010G223600 transcript:KJB68054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEIFEVLEVVLDSGGRWNDRKKMWRLKIGEGGNDPYLHSTNNFLGRQTWKFDPNAGTDEERAEVEEARLNFYKNRFNVQPSSDLLWQLQFLREKKMKQTIPQPKIEDGEEVTYEATTAAVKRSVHLFSALQSIHGHWPAENAGPMFYFPPLVMSLYITGHLNTIFSAEHRKEILRYIYCHQNEDGGWGLYIGGHSTMFCTALNYICMRLLGVGPDGGLNNACERGRKWILDRGGVTTISSWGKTWLSILGVYEWSGCHPMPPEFWLFPSYFPVHPAKMFCYCRLTYMPMSYLYGRKFVGPITPLISQLREELHIEPYNEIDWSTKRHLCAKEDLHYPHTWLQILLWDSLYIFSEPLLNCWPFNKLREKALKVTMDLIHYEDESSQYITVGCVEKPLCMLACWVEDPNGIPFKKHLSRVDDYVWVGEDGIKMQSFGSQVWDASLVLQALLASNLSNEIGPTLMKGHNFLKNSQVRDDPPGDFKKMFRHISKGSWTFSDRDHGWQVSDCTAESLKCCLSFSMMAPEMVGEKMEREHVYDAVNVLLSLQSKNGGFSAWEPAGGGFWWEWLNPVEFLEDLVKEYEYVECTSSSIQALVLFKKLYPGHREQEIENCIRKAAKYIEDIRYPDGSWYGNWGICFFYGTWFALLGLKAAGKNFENCLAIRKGVDFLLRTQREDGGWGESYLSCPKKVYTPIEEKESNLVSTAQAMMSLIVSGQAERDPTPLHRAAKLLINSQLPNGGFPQQGLAGVFMRNCMLHYAIYRNVFPLWALAEYRNHVWPSKYICF >KJB68058 pep chromosome:Graimondii2_0_v6:10:59568933:59578539:-1 gene:B456_010G223600 transcript:KJB68058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEIFEVLEVVLDSGGRWNDRKKMWRLKIGEGGNDPYLHSTNNFLGRQTWKFDPNAGTDEERAEVEEARLNFYKNRFNVQPSSDLLWQLQFLREKKMKQTIPQPKIEDGEEVTYEATTAAVKRSVHLFSALQSIHGHWPAENAGPMFYFPPLVMSLYITGHLNTIFSAEHRKEILRYIYCHQNEDGGWGLYIGGHSTMFCTALNYICMRLLGVGPDGGLNNACERGRKWILDRGGVTTISSWGKTWLSILGVYEWSGCHPMPPEFWLFPSYFPVHPAKMFCYCRLTYMPMSYLYGRKFVGPITPLISQLREELHIEPYNEIDWSTKRHLCAKEDLHYPHTWLQILLWDSLYIFSEPLLNCWPFNKLREKALKVTMDLIHYEDESSQYITVGCVEKPLCMLACWVEDPNGIPFKKHLSRVDDYVWVGEDGIKMQSFGSQVWDASLVLQALLASNLSNEIGPTLMKGHNFLKNSQVRDDPPGDFKKMFRHISKGSWTFSDRDHGWQVSDCTAESLKCCLSFSMMAPEMVGEKMEREHVYDAVNVLLSLQSKNGGFSAWEPAGGGFWWEWLNPVEFLEDLVKEYEYVECTSSSIQALVLFKKLYPGHREQEIENCIRKAAKYIEDIRYPDGSWYGNWGICFFYGTWFALLGLKAAGKNFENCLAIRKGVDFLLRTQREDGGWGESYLSCPKKVYTPIEEKESNLVSTAQAMMSLIVSGQAERDPTPLHRAAKLLINSQLPNGGFPQQGLAGVFMRNCMLHYAIYRNVFPLWALAEYRNHVWPSKYICF >KJB68060 pep chromosome:Graimondii2_0_v6:10:59568956:59576926:-1 gene:B456_010G223600 transcript:KJB68060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIGEGGNDPYLHSTNNFLGRQTWKFDPNAGTDEERAEVEEARLNFYKNRFNVQPSSDLLWQLQFLREKKMKQTIPQPKIEDGEEVTYEATTAAVKRSVHLFSALQSIHGHWPAENAGPMFYFPPLVMSLYITGHLNTIFSAEHRKEILRYIYCHQNEDGGWGLYIGGHSTMFCTALNYICMRLLGVGPDGGLNNACERGRKWILDRGGVTTISSWGKTWLSILGVYEWSGCHPMPPEFWLFPSYFPVHPAKMFCYCRLTYMPMSYLYGRKFVGPITPLISQLREELHIEPYNEIDWSTKRHLCAKEDLHYPHTWLQILLWDSLYIFSEPLLNCWPFNKLREKALKVTMDLIHYEDESSQYITVGCVEKPLCMLACWVEDPNGIPFKKHLSRVDDYVWVGEDGIKMQSFGSQVWDASLVLQALLASNLSNEIGPTLMKGHNFLKNSQVRDDPPGDFKKMFRHISKGSWTFSDRDHGWQVSDCTAESLKCCLSFSMMAPEMVGEKMEREHVYDAVNVLLSLQSKNGGFSAWEPAGGGFWWEALVLFKKLYPGHREQEIENCIRKAAKYIEDIRYPDGSWYGNWGICFFYGTWFALLGLKAAGKNFENCLAIRKGVDFLLRTQREDGGWGESYLSCPKKVYTPIEEKESNLVSTAQAMMSLIVSGQAERDPTPLHRAAKLLINSQLPNGGFPQQGLAGVFMRNCMLHYAIYRNVFPLWALAEYRNHVWPSKYICF >KJB68063 pep chromosome:Graimondii2_0_v6:10:59569619:59576842:-1 gene:B456_010G223600 transcript:KJB68063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIGEGGNDPYLHSTNNFLGRQTWKFDPNAGTDEERAEVEEARLNFYKNRFNVQPSSDLLWQLQFLREKKMKQTIPQPKIEDGEEVTYEATTAAVKRSVHLFSALQSIHGHWPAENAGPMFYFPPLVMSLYITGHLNTIFSAEHRKEILRYIYCHQNEDGGWGLYIGGHSTMFCTALNYICMRLLGVGPDGGLNNACERGRKWILDRGGVTTISSWGKTWLSILGVYEWSGCHPMPPEFWLFPSYFPVHPAKMFCYCRLTYMPMSYLYGRKFVGPITPLISQLREELHIEPYNEIDWSTKRHLCAKEDLHYPHTWLQILLWDSLYIFSEPLLNCWPFNKLREKALKVTMDLIHYEDESSQYITVGCVEKPLCMLACWVEDPNGIPFKKHLSRVDDYVWVGEDGIKMQSFGSQVWDASLVLQALLASNLSNEIGPTLMKGHNFLKNSQVRDDPPGDFKKMFRHISKGSWTFSDRDHGWQVSDCTAESLKCCLSFSMMAPEMVGEKMEREHVYDAVNVLLSLQSKNGGFSAWEPAGGGFWWEWLNPVEFLEDLVKEYEYVECTSSSIQALVLFKKLYPGHREQEIENCIRKAAKYIEDIRYPDGSWYGNWGICFFYGTWFALLGLKAAGKNFENCLAIRKGVDFLLRTQREDGGWGESYLSCPKKVYTPIEEKESNLVSTAQAMMSLIVSGQVCFTYN >KJB68057 pep chromosome:Graimondii2_0_v6:10:59569188:59577720:-1 gene:B456_010G223600 transcript:KJB68057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEIFEVLEVVLDSGGRWNDRKKMWRLKIGEGGNDPYLHSTNNFLGRQTWKFDPNAGTDEERAEVEEARLNFYKNRFNVQPSSDLLWQLQFLREKKMKQTIPQPKIEDGEEVTYEATTAAVKRSVHLFSALQSIHGHWPAENAGPMFYFPPLVMSLYITGHLNTIFSAEHRKEILRYIYCHQNEDGGWGLYIGGHSTMFCTALNYICMRLLGVGPDGGLNNACERGRKWILDRGGVTTISSWGKTWLSILGVYEWSGCHPMPPEFWLFPSYFPVHPAKMFCYCRLTYMPMSYLYGRKFVGPITPLISQLREELHIEPYNEIDWSTKRHLCAKEDLHYPHTWLQILLWDSLYIFSEPLLNCWPFNKLREKALKVTMDLIHYEDESSQYITVGCVEKPLCMLACWVEDPNGIPFKKHLSRVDDYVWVGEDGIKMQSFGSQVWDASLVLQALLASNLSNEIGPTLMKGHNFLKNSQVRDDPPGDFKKMFRHISKGSWTFSDRDHGWQVSDCTAESLKCCLSFSMMAPEMVGEKMEREHVYDAVNVLLSLQSKNGGFSAWEPAGGGFWWEWLNPVEFLEDLVKEYEYVECTSSSIQALVLFKKLYPGHREQEIENCIRKAAKYIEDIRYPDGSWYGNWGICFFYGTWFALLGLKAAGKNFENCLAIRKGVDFLLRTQREDGGWGESYLSCPKKVYTPIEEKESNLVSTAQAMMSLIVSGQAERDPTPLHRAAKLLINSQLPNGGFPQQGLAGVFMRNCMLHYAIYRNVFPLWALAEYRNHVWPSKYICF >KJB68056 pep chromosome:Graimondii2_0_v6:10:59568985:59576926:-1 gene:B456_010G223600 transcript:KJB68056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIGEGGNDPYLHSTNNFLGRQTWKFDPNAGTDEERAEVEEARLNFYKNRFNVQPSSDLLWQLQFLREKKMKQTIPQPKIEDGEEVTYEATTAAVKRSVHLFSALQSIHGHWPAENAGPMFYFPPLVMSLYITGHLNTIFSAEHRKEILRYIYCHQNEDGGWGLYIGGHSTMFCTALNYICMRLLGVGPDGGLNNACERGRKWILDRGGVTTISSWGKTWLSILGVYEWSGCHPMPPEFWLFPSYFPVHPAKMFCYCRLTYMPMSYLYGRKFVGPITPLISQLREELHIEPYNEIDWSTKRHLCAKEDLHYPHTWLQILLWDSLYIFSEPLLNCWPFNKLREKALKVTMDLIHYEDESSQYITVGCVEKPLCMLACWVEDPNGIPFKKHLSRVDDYVWVGEDGIKMQSFGSQVWDASLVLQALLASNLSNEIGPTLMKGHNFLKNSQVRDDPPGDFKKMFRHISKGSWTFSDRDHGWQVSDCTAESLKCCLSFSMMAPEMVGEKMEREHVYDAVNVLLSLQSKNGGFSAWEPAGGGFWWEWLNPVEFLEDLVKEYEYVECTSSSIQALVLFKKLYPGHREQEIENCIRKAAKYIEDIRYPDGSWYGNWGICFFYGTWFALLGLKAAGKNFENCLAIRKGVDFLLRTQREDGGWGESYLSCPKKVYTPIEEKESNLVSTAQAMMSLIVSGQAERDPTPLHRAAKLLINSQLPNGGFPQQGLAGVFMRNCMLHYAIYRNVFPLWALAEYRNHVWPSKYICF >KJB64204 pep chromosome:Graimondii2_0_v6:10:3426909:3433378:1 gene:B456_010G036800 transcript:KJB64204 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MGRFNWLRLCRRHWVSTMPVEWTIDGGDGFRKFSIIFQSLNRERALHSCSFGTGKPLDFMTPGMSLKERYFHSTGSHFSAKQDYYEILGLPENATRDEIKKAYHALAKKYHPDANKNNPSAKRKFQEITDAYETLQNPEKRREYDTMRAGSSEDMGYGANGAEGFGFYDASGAKGFRYTYQTNFSDSFSKIFSEIFQDEMDQFAPDIQTEILLSFSEAAKGCTKDLQFDAFVTCDSCDGRGYPPNAKVKVCSICRGSGTITIPPFTSTCHACKGSGRIIKEYCMSCQGSGVVEGVKEIKVTIPAGVDSGDTIRVPEAGNIRRQGSQLGNLFIKIKVADDPVFTRDGADVYVDSNISFTQAILGGAVEVPTLSGKIQVKIPKGCQHGQLLALRGKGLPKHGFLAYHGDQYVRFRVNLPIEINNRQRAILEELAEEEINNENESTDEGNWLYQQLSTG >KJB64205 pep chromosome:Graimondii2_0_v6:10:3426633:3433378:1 gene:B456_010G036800 transcript:KJB64205 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MGRFNWLRLCRRHWVSTMPVEWTIDGGDGFRKFSIIFQSLNRERALHSCSFGTGKPLDFMTPGMSLKERYFHSTGSHFSAKQDYYEILGLPENATRDEIKKAYHALAKKYHPDANKNNPSAKRKFQEITDAYETLQNPEKRREYDTMRAGSSEDMGYGANGAEGFGFYDASGAKGFRYTYQTNFSDSFSKIFSEIFQDEMDQFAPDIQTEILLSFSEAAKGCTKDLQFDAFVTCDSCDGRGYPPNAKVKVCSICRGSGTITIPPFTSTCHACKGSGRIIKEYCMSCQGSGVVEGVKEIKVTIPAGVDSGDTIRVPEAGNIRRQGSQLGNLFIKIKVADDPVFTRDGADVYVDSNISFTQAILGGAVEVPTLSGKIQVKIPKGCQHGQLLALRGKGLPKHGFLAYHGDQYVRFRVNLPIEINNRQRAILEELAEEEINNENESTDEGNWWERIVEHVTAPKFMIEISLLLLILLFLKKTLA >KJB64201 pep chromosome:Graimondii2_0_v6:10:3426909:3433378:1 gene:B456_010G036800 transcript:KJB64201 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MGRFNWLRLCRRHWVSTMPVEWTIDGGDGFRKFSIIFQSLNRERALHSCSFGTGKPLDFMTPGMSLKERYFHSTGSHFSAKQDYYEILGLPENATRDEIKKAYHALAKKYHPDANKNNPSAKRKFQEITDAYETLQNPEKRREYDTMRAGSSEDMGYGANGAEGFGFYDASGAKGFRYTYQTNFSDSFSKIFSEIFQDEMDQFAPDIQTEILLSFSEAAKGCTKDLQFDAFVTCDSCDGRGYPPNAKVKVCSICRGSGTITIPPFTSTCHACKGSGRIIKEYCMSCQGSGVVEGVKEIKVTIPAGVDSGDTIRVPEAGNIRRQGSQLGNLFIKIKVADDPVFTRDGADVYVDSNISFTQAILGGAVEVPTLSGKIQVKIPKGCQHGQLLALRGKGLPKHGFLAYHGDQYVRFRVNLPIEINNRQRAILEELAEEEINNENESTDEGNWWERIVEHVTAPKFMIEISLLLLILLFLKKTLA >KJB64202 pep chromosome:Graimondii2_0_v6:10:3426909:3430176:1 gene:B456_010G036800 transcript:KJB64202 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MGRFNWLRLCRRHWVSTMPVEWTIDGGDGFRKFSIIFQSLNRERALHSCSFGTGKPLDFMTPGMSLKERYFHSTGSHFSAKQDYYEILGLPENATRDEIKKAYHALAKKYHPDANKNNPSAKRKFQEITDAYETLQNPEKRREYDTMRAGSSEDMGYGANGAEGFGFYDASGAKGFRYTYQTNFSDSFSKIFSEIFQDEMDQFAPDIQTEILLSFSEAAKGCTKDLQFDAFVTCDSCDGRGYPPNAKVKVCSICRGSGTITIPPFTSTCHACKGSGRIIKEYCMSCQGSGVVEGVKEIKVTIPAGVDSGDTIRVPEAGNIRRQGSQLGNLFIKIKVELLLLPCISIKLT >KJB64203 pep chromosome:Graimondii2_0_v6:10:3427081:3432940:1 gene:B456_010G036800 transcript:KJB64203 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MGRFNWLRLCRRHWVSTMPVEWTIDGGDGFRKFSIIFQSLNRERALHSCSFGTGKPLDFMTPGMSLKERYFHSTGSHFSAKQDYYEILGLPENATRDEIKKAYHALAKKYHPDANKNNPSAKRKFQEITDAYETLQNPEKRREYDTMRAGSSEDMGYGANGAEGFGFYDASGAKGFRYTYQTNFSDSFSKIFSEIFQDEMDQFAPDIQTEILLSFSEAAKGCTKDLQFDAFVTCDSCDGRGYPPNAKVKVCSICRGSGTITIPPFTSTCHACKGSGRIIKEYCMSCQGSGVVEGVKEIKVTIPAGVDSGDTIRVPEAGNIRRQGSQLGNLFIKIKVADDPVFTRDGADVYVDSNISFTQAILGGAVEVPTLSGKIQVKIPKGCQHGQLLALRGKGLPKHGFLAYHGDQYVRFRVNLPIEINNRQRAILEELAEEEINNENESTDEGN >KJB64547 pep chromosome:Graimondii2_0_v6:10:6157433:6160325:-1 gene:B456_010G053700 transcript:KJB64547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGVSSLNPYAASYIPLAKREASDNVTAKDIKHGNENAWFKPSSHFAYNPQSSNAFLGSSAYGTEKHQVAEGSAVKSHPAHGSLMQNPGEMTDKQIVDEEFDMDLEYLRMTFPGLSNESLLDVYLANDGDFEATVDMLNQLEMHTVESSETLPDTLDIGDVSESGSSATCVGLKLKNMAGETSASSSGGAESAVAS >KJB64546 pep chromosome:Graimondii2_0_v6:10:6157754:6159287:-1 gene:B456_010G053700 transcript:KJB64546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMKPGVSSLNPYAASYIPLAKREASDNVTAKDIKHGNENAWFKPSSHFAYNPQSSNAFLGSSAYGTEKHQVAEGSAVKSHPAHGSLMQNPGEMTDKQIVDEEFDMDLEYLRMTFPGLSNESLLDVYLANDGDFEATVDMLNQLEMHTVESSETLPDTLDIGDVSESGSSATCVGLKLKNMAGETSASSSGGAESAVAS >KJB64548 pep chromosome:Graimondii2_0_v6:10:6157445:6160279:-1 gene:B456_010G053700 transcript:KJB64548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGVSSLNPYAASYIPLAKREASDNVTAKDIKHGNENAWFKPSSHFAYNPQSSNAFLGSSAYGTEKHQVAEGSAVKSHPAHGSLMQNPGEMTDKQIVDEEFDMDLEYLRMTFPGLSNESLLDVYLANDGDFEATVDMLNQLEPWEDECLSSPPAVLSCTSPSVPL >KJB64551 pep chromosome:Graimondii2_0_v6:10:6158670:6159287:-1 gene:B456_010G053700 transcript:KJB64551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMKPGVSSLNPYAASYIPLAKREASDNVTAKDIKHGNENAWFKPSSHFAYNPQSSNAFLGSSAYGTEKHQVAEGSAVKSHPAHGSLMQNPGEMTDKQIVDEEFDMDLEYLRMTFPGLSNESLLDVYLANDGDFEATVDMLNQLEVNLTFVYFIITNIIVSVILVDCFPSFLLIVSFSFLKPWEDECLSSPPAVLSCTSPSVPL >KJB64550 pep chromosome:Graimondii2_0_v6:10:6158016:6160279:-1 gene:B456_010G053700 transcript:KJB64550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMKPGVSSLNPYAASYIPLAKREASDNVTAKDIKHGNENAWFKPSSHFAYNPQSSNAFLGSSAYGTEKHQVAEGSAVKSHPAHGSLMQNPGEMTDKQIVDEEFDMDLEYLRMTFPGLSNESLLDVYLANDGDFEATVDMLNQLEVNLTFVYFIITNIIVSVILVDCFPSFLLIVSFSFLKPWEDECLSSPPAVLSCTSPSVPL >KJB64549 pep chromosome:Graimondii2_0_v6:10:6158670:6159287:-1 gene:B456_010G053700 transcript:KJB64549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMKPGVSSLNPYAASYIPLAKREASDNVTAKDIKHGNENAWFKPSSHFAYNPQSSNAFLGSSAYGTEKHQVAEGSAVKSHPAHGSLMQNPGEMTDKQIVDEEFDMDLEYLRMTFPGLSNESLLDVYLANDGDFEATVDMLNQLEPWEDECLSSPPAVLSCTSPSVPL >KJB65745 pep chromosome:Graimondii2_0_v6:10:24510307:24513576:-1 gene:B456_010G121100 transcript:KJB65745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDHRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGGGGGRGRSGGSDLKCYECGEAGHFARECRTRGGSGRRRSRSPRYRRSPSYGRSYSPRGRSPRRRSPSPRGRSYSRSPPYRGREELPYANGNGARERRRSRS >KJB65743 pep chromosome:Graimondii2_0_v6:10:24511991:24513314:-1 gene:B456_010G121100 transcript:KJB65743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDHRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGGGGGRGRSGGSDLKCYECGEAGHFARECRTRGGSGRRRSRSPRYRRSPSYGRRSYSPRGRSPRRRSPSPRGRSYSRSPPYRGREELPYANG >KJB65746 pep chromosome:Graimondii2_0_v6:10:24511746:24513314:-1 gene:B456_010G121100 transcript:KJB65746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDHRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGGGGGRGRSGGSDLKCYECGEAGHFARECRTRGGSGRRRSRSPRYRRSPSYGRRSYSPRGRSPRRRSPSPRGRSYSRSPPYRGREELPYANGNGARERRRSRS >KJB65740 pep chromosome:Graimondii2_0_v6:10:24510232:24513650:-1 gene:B456_010G121100 transcript:KJB65740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDHRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGGGGGRGRSGGSDLKCYECGEAGHFARECRTRGGSGRRRSRSPRYRRSPSYGRRSYSPRGRSPRRRSPSPRGRSYSRSPPYRGREELPYANGNGARERRRSRS >KJB65747 pep chromosome:Graimondii2_0_v6:10:24511746:24513314:-1 gene:B456_010G121100 transcript:KJB65747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDHRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGGGGGRGRSGGSDLKCYECGEAGHFARECRTRGGSGRRRSRSPRYRRSPSYGRRSYSPRGRSPRRRSPSPRGRSYSRSPPYRGREELPYANGNGARERRRSRS >KJB65741 pep chromosome:Graimondii2_0_v6:10:24510307:24513576:-1 gene:B456_010G121100 transcript:KJB65741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDHRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGGGGGRGRSGGSDLKCYECGEAGHFARECRTRGGSGRRRSRSPRYRRSPSYGRRSYSPRGRSPRRRSPSPRGRSYSRSPPYRGREELPYANGNGARERRRSRS >KJB65744 pep chromosome:Graimondii2_0_v6:10:24511746:24513314:-1 gene:B456_010G121100 transcript:KJB65744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDHRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGGGGGRGRSGGSDLKCYECGEAGHFARECRTRGGSGRRRSRSPRYRRSPSYGRRSYSPRGRSPRRRSPSPRGRSYSRSPPYRGREELPYANGNGARERRRSRS >KJB65742 pep chromosome:Graimondii2_0_v6:10:24511746:24513314:-1 gene:B456_010G121100 transcript:KJB65742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDHRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGGGGGRGRSGGSDLKCYECGEAGHFARECRTRGGSGRRRSRSPRYRRSPSYGRRSYSPRGRSPRRRSPSPRGRSYSRSPPYRGREELPYANGNGARERRRSRS >KJB67502 pep chromosome:Graimondii2_0_v6:10:60725327:60729148:1 gene:B456_010G236900 transcript:KJB67502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTVAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQASFERAKKWVQELQSQGNPNMVTALAGNKADLLDARKVTSEATNKYAQENGLFFMETSAKTASNINDIFHEIAKRLPRAQPAQNPAGMVLMDRPTERTASASCCS >KJB67500 pep chromosome:Graimondii2_0_v6:10:60725284:60729148:1 gene:B456_010G236900 transcript:KJB67500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTVAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQASFERAKKWVQELQSQGNPNMVTALAGNKADLLDARKVTSEEANKYAQENGLFFMETSAKTASNINDIFHEIAKRLPRAQPAQNPAGMVLMDRPTERTASASCCS >KJB67499 pep chromosome:Graimondii2_0_v6:10:60725327:60729148:1 gene:B456_010G236900 transcript:KJB67499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTVAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQASFERAKKWVQELQSQGNPNMVTALAGNKADLLDARKVTSEEANKYAQENGLFFMETSAKTASNINDIFHEIAKRLPRAQPAQNPAGMVLMDRPTERTASASCCS >KJB67501 pep chromosome:Graimondii2_0_v6:10:60725526:60728082:1 gene:B456_010G236900 transcript:KJB67501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTVAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQASFERAKKWVQELQSQGNPNMVTALAGNKADLLDARKVTSEARSK >KJB67240 pep chromosome:Graimondii2_0_v6:10:53022279:53024095:1 gene:B456_010G182000 transcript:KJB67240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLLFTVIFAEMAVIIVLCFKTPLRKLVLMVLDRAKRGRGPVIVKTVGGTVSVVMLSYIFSMMMLKKRWIVDGNASLTDEILMAKNLLETTLMGGLLFLSLIIDKLHHYIKELRIRRKTMETAKKQGQGFEDAGGSDKVTALEEEIATLRARIKQLESD >KJB66229 pep chromosome:Graimondii2_0_v6:10:29724100:29739973:-1 gene:B456_010G133700 transcript:KJB66229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66227 pep chromosome:Graimondii2_0_v6:10:29732667:29739548:-1 gene:B456_010G133700 transcript:KJB66227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66262 pep chromosome:Graimondii2_0_v6:10:29730226:29739973:-1 gene:B456_010G133700 transcript:KJB66262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66235 pep chromosome:Graimondii2_0_v6:10:29730226:29739973:-1 gene:B456_010G133700 transcript:KJB66235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66254 pep chromosome:Graimondii2_0_v6:10:29726727:29739665:-1 gene:B456_010G133700 transcript:KJB66254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66226 pep chromosome:Graimondii2_0_v6:10:29723096:29740064:-1 gene:B456_010G133700 transcript:KJB66226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66247 pep chromosome:Graimondii2_0_v6:10:29724100:29740064:-1 gene:B456_010G133700 transcript:KJB66247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66249 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66266 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66269 pep chromosome:Graimondii2_0_v6:10:29733041:29739781:-1 gene:B456_010G133700 transcript:KJB66269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66236 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66259 pep chromosome:Graimondii2_0_v6:10:29730226:29739973:-1 gene:B456_010G133700 transcript:KJB66259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66223 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66233 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66248 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66251 pep chromosome:Graimondii2_0_v6:10:29730395:29740064:-1 gene:B456_010G133700 transcript:KJB66251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66252 pep chromosome:Graimondii2_0_v6:10:29723096:29740064:-1 gene:B456_010G133700 transcript:KJB66252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66265 pep chromosome:Graimondii2_0_v6:10:29733041:29739781:-1 gene:B456_010G133700 transcript:KJB66265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66225 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66253 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66260 pep chromosome:Graimondii2_0_v6:10:29730395:29740064:-1 gene:B456_010G133700 transcript:KJB66260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66258 pep chromosome:Graimondii2_0_v6:10:29724100:29739973:-1 gene:B456_010G133700 transcript:KJB66258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66238 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66271 pep chromosome:Graimondii2_0_v6:10:29735458:29739665:-1 gene:B456_010G133700 transcript:KJB66271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKALRYSKGVNGRTH >KJB66237 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66255 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66256 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66244 pep chromosome:Graimondii2_0_v6:10:29724100:29740064:-1 gene:B456_010G133700 transcript:KJB66244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66234 pep chromosome:Graimondii2_0_v6:10:29730395:29740064:-1 gene:B456_010G133700 transcript:KJB66234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66224 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66243 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66263 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66239 pep chromosome:Graimondii2_0_v6:10:29724100:29739973:-1 gene:B456_010G133700 transcript:KJB66239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66230 pep chromosome:Graimondii2_0_v6:10:29733041:29739781:-1 gene:B456_010G133700 transcript:KJB66230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66261 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66270 pep chromosome:Graimondii2_0_v6:10:29724100:29739973:-1 gene:B456_010G133700 transcript:KJB66270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66250 pep chromosome:Graimondii2_0_v6:10:29724155:29739985:-1 gene:B456_010G133700 transcript:KJB66250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66231 pep chromosome:Graimondii2_0_v6:10:29724100:29740064:-1 gene:B456_010G133700 transcript:KJB66231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66240 pep chromosome:Graimondii2_0_v6:10:29724100:29739973:-1 gene:B456_010G133700 transcript:KJB66240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66232 pep chromosome:Graimondii2_0_v6:10:29724100:29739973:-1 gene:B456_010G133700 transcript:KJB66232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66241 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66267 pep chromosome:Graimondii2_0_v6:10:29724100:29739973:-1 gene:B456_010G133700 transcript:KJB66267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66245 pep chromosome:Graimondii2_0_v6:10:29724100:29739973:-1 gene:B456_010G133700 transcript:KJB66245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66246 pep chromosome:Graimondii2_0_v6:10:29730395:29740064:-1 gene:B456_010G133700 transcript:KJB66246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66264 pep chromosome:Graimondii2_0_v6:10:29730226:29739973:-1 gene:B456_010G133700 transcript:KJB66264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPERSWVEICCFGCSCLQLFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66242 pep chromosome:Graimondii2_0_v6:10:29733041:29739781:-1 gene:B456_010G133700 transcript:KJB66242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRFVAETIFSPFLINRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB66268 pep chromosome:Graimondii2_0_v6:10:29732667:29739781:-1 gene:B456_010G133700 transcript:KJB66268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66228 pep chromosome:Graimondii2_0_v6:10:29724100:29739665:-1 gene:B456_010G133700 transcript:KJB66228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQLVGELQKGRSFDGWSEGALNFAPTYKYELNSEKYYGEDPKAGRRTPAWCDRILSYGKGLRQLTYRRTELKLSDHRPVTAIYMAEVEVFCPKKLQRALNYTDAEIENEEVVAEVIAY >KJB66257 pep chromosome:Graimondii2_0_v6:10:29724100:29740064:-1 gene:B456_010G133700 transcript:KJB66257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGSKPRPELFWPRVVMRKLLNINPRDSDYSADTDDGDDIGSDSETEEFFDCGNGIGSRFRGIREEDPQPDLNDGLPRLRRRNSETFRAQYISTKELRICVCTWNVGGTVPPDDLDIDDWIDINEPADIYVFGFQEIVPLNAGNIFGAEDNRPVPKWENIIRETLDRIQPATTKVKCYSDPSSPTKFKPFDDVPNLEEIILESESDIGEEIYPLDEDANGFDEVDNSAGKNSVLRSYGVSYCNDVVELDAPLEQGLQRQFSSPKSLDGLNCLQMEDFSEDVGDSIRLQNRKFTRTLSGIAENRKLTRILSGTERIGLSWPEPPLNFLPQNVLERPGSFKPRKSFRAIKSFKTYNSFKSINDMGHMVSAIALLAELDLESLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTSGEKDGDELKRNANVHEILRRTHFHSLSAIGLPKRIHDHERIIWMGDLNYRINLPYDKVRDLISKEEWSKLIERDQESCRKVVHLMDGLREL >KJB64112 pep chromosome:Graimondii2_0_v6:10:3031148:3032969:1 gene:B456_010G033600 transcript:KJB64112 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 91C1 [Source:Projected from Arabidopsis thaliana (AT5G49690) UniProtKB/Swiss-Prot;Acc:Q9LTA3] MEKSKSLHIVINSMEKSKSLHIVMFPWLAMGHFIPFFRLSKLLALKGHKISFISTPRNLSKLPKIPPNLSSQITLISFSLPTVPNLPSLAESSMDITHNQQQPLKHALDLLQPQLASFLQSSKPDWIIYDYASHWLPSVAAQLGISRAFFAVFTAACLSFMGSPSTLIGGGDDGDNARSTAEDFTKIPNWVPFESNLAYRLHEITKYIERTDEDNFGPPDTVRFGVTIQQSDVVIVRSSTEFEPDWFNLLGELYEKPVIPVGFLPPILEESDEIQDDEKWVAVKTWLNKQRVNSVVYVAMGTEVHLSKEELSELAIGLEKSGLPFIWVLKNSPGTGESELEMLPNGFKERVKGRGFLYLGWVPQVKILSQESIGGFLTHCGWNSVIEALGLGRVLIMLPMLNDQGLNARLLNEKKVGLEIPRNELDGWFTSEAVAESVRLAVVEESGKVLRETAKAMEGYFGDFGKNDGYVDKFVSQLVDYRK >KJB65961 pep chromosome:Graimondii2_0_v6:10:25090493:25090757:-1 gene:B456_010G122400 transcript:KJB65961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQSGELEKNEEYRQMLIQAIHSCAIKFPEVASTVVHLMMDFLGDSNVASAIDVIVVFREIIETNPKLRASIITQLLDTFY >KJB68626 pep chromosome:Graimondii2_0_v6:10:62123417:62126291:1 gene:B456_010G256300 transcript:KJB68626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSEGQSTNFPINPTTFQWEQNLQLPVTATRHHGEGFSLVGVGCLPFKRKKETFCSHFSSDGKFLATAGPEKVVAFWHMKHRSKIGSKTGHSLPITDVRFVPNSHVVATSSYDKTVLIWEDPKTGRPPVRLEEHINQVLSLDFHPKRENLLCSSDGIDEIRFWDINRGSCIHIFQGASKQVRFQPLFGRFLATCSDNVVNLIDVETSKICARFEGHENEVQSICWDPNGIYIASISEDSARLWSVFEHNCLHELHATDNKFQCCTFHPKYWLHWVIGAEQSLELWNPLDTNKTWKFEAHMDAVSSLASSLDTEIIASTSHDELIKLWK >KJB65374 pep chromosome:Graimondii2_0_v6:10:14665491:14670139:-1 gene:B456_010G092300 transcript:KJB65374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVITRVHSLRDRLDDTFIAHRNEVLALLTRIEGKGKGILQHHQFILEFEAIPEETRKKLADGAFSEILRASQEAIVLPPWVALAVRPRPGVWEYIKVNVHALVVEELTVAEYLHFKEELVDGSANGNFVLELDFEPFNASFPRSTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLKVHCHKGKNMMLNDRIQNLNSLQHVLRKAEEYLGTLPAETPYAELEHKFQEIGLERGWGDTAGRVLEMIQLLLDLLEAPDPCTLEKFLGRVPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLNITPRILIITRLLPDAVGTTCGQRVEKVYGTEYSDILRVPFRTEKGIVRRWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLEDKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEEKRRLKHFHPEIEDLLYSKVENEEHLCVLNDRNKPILFTMARLDRVKNLTGLVEWYGKNAKLRELVNLVVVGGDRRKESKDLEEKAEMKKMFELIETYKLNGQFRWISSQMNRVRNGELYRYICDTRGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAAEILVDFFDKCKKDPSHWNDISEGGLKRIQEKYTWQIYSERLLTLTGVYGFWKHVSNLDRRESRRYLEMFYALKYRKLAESVPLAEEM >KJB65372 pep chromosome:Graimondii2_0_v6:10:14665900:14670130:-1 gene:B456_010G092300 transcript:KJB65372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVITRVHSLRDRLDDTFIAHRNEVLALLTRIEGKGKGILQHHQFILEFEAIPEETRKKLADGAFSEILRASQEAIVLPPWVALAVRPRPGVWEYIKVNVHALVVEELTVAEYLHFKEELVDGSANGNFVLELDFEPFNASFPRSTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLKVHCHKGKNMMLNDRIQNLNSLQHVLRKAEEYLGTLPAETPYAELEHKFQEIGLERGWGDTAGRVLEMIQLLLDLLEAPDPCTLEKFLGRVPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLNITPRILIITRLLPDAVGTTCGQRVEKVYGTEYSDILRVPFRTEKGIVRRWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLEDKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEEKRRLKHFHPEIEDLLYSKVENEEHLCVLNDRNKPILFTMARLDRVKNLTGLVEWYGKNAKLRELVNLVVVGGDRRKESKDLEEKAEMKKMFELIETYKLNGQFRWISSQMNRVRNGELYRYICDTRGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAAEILVDFFDKCKKDPSHWNDISEGGLKRIQEKYTWQIYSERLLTLTGVYGFWKHVSNLDRRESRRYLEMFYALKYRKLAESVPLAEEM >KJB65375 pep chromosome:Graimondii2_0_v6:10:14666293:14669412:-1 gene:B456_010G092300 transcript:KJB65375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVITRVHSLRDRLDDTFIAHRNEVLALLTRIEGKGKGILQHHQFILEFEAIPEETRKKLADGAFSEILRASQEAIVLPPWVALAVRPRPGVWEYIKVNVHALVVEELTVAEYLHFKEELVDGSANGNFVLELDFEPFNASFPRSTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLKVHCHKGKNMMLNDRIQNLNSLQHVLRKAEEYLGTLPAETPYAELEHKFQEIGLERGWGDTAGRVLEMIQLLLDLLEAPDPCTLEKFLGRVPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLNITPRILIITRLLPDAVGTTCGQRVEKVYGTEYSDILRVPFRTEKGIVRRWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLEDKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEEKRRLKHFHPEIEDLLYSKVENEEHLCVLNDRNKPILFTMARLDRVKNLTGLVEWYGKNAKLRELVNLVVVGGDRRKESKDLEEKAEMKKMFELIETYKLNGQFRWISSQMNRVRNGELYRYICDTRGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAAEILVDFFDKCKKDPSHWNDISEGGLKRIQEK >KJB65376 pep chromosome:Graimondii2_0_v6:10:14665936:14670130:-1 gene:B456_010G092300 transcript:KJB65376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVITRVHSLRDRLDDTFIAHRNEVLALLTRIEGKGKGILQHHQFILEFEAIPEETRKKLADGAFSEILRASQEAIVLPPWVALAVRPRPGVWEYIKVNVHALVVEELTVAEYLHFKEELVDGSANGNFVLELDFEPFNASFPRSTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLKVHCHKGKNMMLNDRIQNLNSLQHVLRKAEEYLGTLPAETPYAELEHKFQEIGLERGWGDTAGRVLEMIQLLLDLLEAPDPCTLEKFLGRVPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLNITPRILIITRLLPDAVGTTCGQRVEKVYGTEYSDILRVPFRTEKGIVRRWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLEDKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEEKRRLKHFHPEIEDLLYSKVENEEHLCVLNDRNKPILFTMARLDRVKNLTGLVEWYGKNAKLRELVNLVVVGGDRRKESKDLEEKAEMKKMFELIETYKLNGQFRWISSQMNRVRNGELYRYICDTRGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAAEILVDFFDKCKKDPSHWNDISEGGLKRIQEKYTWQIYSERLLTLTGVYGFWKHVSNLDRRESRRYLEMFYALKYRKLVDCIISISFVWFMSVQVKVANC >KJB65373 pep chromosome:Graimondii2_0_v6:10:14665577:14670130:-1 gene:B456_010G092300 transcript:KJB65373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVITRVHSLRDRLDDTFIAHRNEVLALLTRIEGKGKGILQHHQFILEFEAIPEETRKKLADGAFSEILRASQEAIVLPPWVALAVRPRPGVWEYIKVNVHALVVEELTVAEYLHFKEELVDGSANGNFVLELDFEPFNASFPRSTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLKVHCHKGKNMMLNDRIQNLNSLQHVLRKAEEYLGTLPAETPYAELEHKFQEIGLERGWGDTAGRVLEMIQLLLDLLEAPDPCTLEKFLGRVPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLNITPRILIITRLLPDAVGTTCGQRVEKVYGTEYSDILRVPFRTEKGIVRRWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLEDKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEEKRRLKHFHPEIEDLLYSKVENEEHLCVLNDRNKPILFTMARLDRVKNLTGLVEWYGKNAKLRELVNLVVVGGDRRKESKDLEEKAEMKKMFELIETYKLNGQFRWISSQMNRVRNGELYRYICDTRGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAAEILVDFFDKCKKDPSHWNDISEGGLKRIQEKYTWQIYSERLLTLTGVYGFWKHVSNLDRRESRRYLEMFYALKYRKLAESVPLAEEM >KJB67878 pep chromosome:Graimondii2_0_v6:10:59305125:59307916:-1 gene:B456_010G222300 transcript:KJB67878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNGATTSSTAGGGSTSKSSSKKHSRKPVYSRFTQQELPACKPILTPGLVIATFTIIGIIFIPLGLVSLSASEKVVEIVDRYDESCVPSNYSNDKLKFIQNPSINKSCVRTLTVPKLMKSPVFIYYQLDNYYQNHRRYVKSRSDKQLRSVSDEKSTTDCSPEDKTVDGPIVPCGLVAWSLFNDTYWFSVNSKTIEVNKHDIAWKSDKEHKFGSNVYPKNFQSGGLIGGAKLNSSVPLSKQEDLMVWMRTAALPTFRKLYGKIEQDLQANEKIQVVIQNNYNTYSFSGKKKLVLSTTSWIGGKNDFLGIAYIIVGGLCLFLAVCFILLYVIKPRPLGDPSYLSWNRSPAGHPN >KJB67879 pep chromosome:Graimondii2_0_v6:10:59305484:59307761:-1 gene:B456_010G222300 transcript:KJB67879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNGATTSSTAGGGSTSKSSSKKHSRKPVYSRFTQQELPACKPILTPGLVIATFTIIGIIFIPLGLVSLSASEKVVVEIVDRYDESCVPSNYSNDKLKFIQNPSINKSCVRTLTVPKLMKSPVFIYYQLDNYYQNHRRYVKSRSDKQLRSVSDEKSTTDCSPEDKTVDGPIVPCGLVAWSLFNDTYWFSVNSKTIEVNKHDIAWKSDKEHKFGSNVYPKNFQSGGLIGGAKLNSSVPLSKQEDLMVWMRTAALPTFRKLYGKIEQDLQANEKIQVVIQNNYNTYSFSGKKKLVLSTTSWIGGKNDFLGIAYIIVGGLCLFLAVCFILLYVIKPRPLGDPSYLSWNRSPAGHPN >KJB68088 pep chromosome:Graimondii2_0_v6:10:59707640:59711366:-1 gene:B456_010G225000 transcript:KJB68088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRIKAPKQVPSFEYELFEGDPDLLRTVVATPTQTAPWIDPSSLKLKHRIGRGPFGDIWLATHHQSGFDFQEYHEVAVKMLHPLKQEHMQKFIDKFEKLFLKCRELPGVCWLHGVSVVNGKISIAMRFYEGSVGDQMAQSKGGKLSLPDILRYGSQLAKGLIQLHSIGLLVLNMKPSNFLISDHDQLVLGDFGIPYLLLGIPLSDSDMALRLGTPNYMAPEQWDPEVRGPLSLETDVWGFGCSVVEMLTGVQPWFGKSSEEIYHSVVVRKEKPHVPSGLPPAVEHVINGCFEYGLRNRPLVSDLLLVFESSQHAIHGDGGWVGLGSRPIKEKSVGNGYTTWYLSKDHLQVGDTVRSRSPLNARRPHTVDVREGTIVGLDGDADKNSFALVKVPGMHNALRVQESTLERVTFGFAVGDWVRLKQETNTHSPVGILHAVQRDGAVSVGFLGLETLWVGKAYQLQMAEPYYVGQFVRLKPNVVTPRFEWPRKSGGTWCSGRISEILPNGCLVVEFPGRFVFGNEPNSFLADPNEVESVSFDTCPGIVEKYHHVEDFHWAVRPLAIAFGLFTAVKLTISMGRGISARLKKNRPNGQDSGVRKSGWRRIFRDASSTTK >KJB68089 pep chromosome:Graimondii2_0_v6:10:59707640:59711551:-1 gene:B456_010G225000 transcript:KJB68089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRIKAPKQVPSFEYELFEGDPDLLRTVVATPTQTAPWIDPSSLKLKHRIGRGPFGDIWLATHHQSGFDFQEYHEVAVKMLHPLKQEHMQKFIDKFEKLFLKCRELPGVCWLHGVSVVNGKISIAMRFYEGSVGDQMAQSKGGKLSLPDILRYGSQLAKGLIQLHSIGLLVLNMKPSNFLISDHDQLVLGDFGIPYLLLGIPLSDSDMALRLGTPNYMAPEQWDPEVRGPLSLETDVWGFGCSVVEMLTGVQPWFGKSSEEIYHSVVVRKEKPHVPSGLPPAVEHVINGCFEYGLRNRPLVSDLLLVFESSQHAIHGDGGWVGLGSRPIKEKSVGNGYTTWYLSKDHLQVGDTVRSRSPLNARRPHTVDVREGTIVGLDGDADKNSFALVKVPGMHNALRVQESTLERVTFGFAVGDWVRLKQETNTHSPVGILHAVQRDGAVSVGFLGLETLWVGKAYQLQMAEPYYVGQFVRLKPNVVTPRFEWPRKSGGTWCSGRISEILPNGCLVVEFPGRFVFGNEPNSFLADPNEVESVSFDTCPGIVEKYHHVEDFHWAVRPLAIAFGLFTAVKLTISMGRGISARLKKNRPNGQDSGVRKSGWRRIFRDASSTTK >KJB64672 pep chromosome:Graimondii2_0_v6:10:7187544:7192815:-1 gene:B456_010G060200 transcript:KJB64672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTIRDAIEDNIMWPVRKIIPILPGDYSDLELKPVGILEVKLVQARDLTNKDIIGKSDPYAVLYVRPLPDKTKKSKTINNELNPIWNEHYEFVIEDATTQHLVVRIYDDEGVQASELIGCAEVLLRDLEPGKVKDVWLNLVKDLEIQRDTKYRGQVHLELLYCPFGMENGFTNPFSSNFSMTSLEKVIKSGANGIDAIENEKAVTQKKKEVIIRGVLSVTVISAEDLPIVDLMGKADPYVVLTMKKSEAKHKTRVVNDSLNPVWNQTFDFVVEDGLHDMLILEVWDHDTFGKDYMGRCILTLTRVILEGEYKDTLQVEGAKSGKLNLHLKWMPQPILRDSGSEF >KJB64676 pep chromosome:Graimondii2_0_v6:10:7189412:7195830:-1 gene:B456_010G060200 transcript:KJB64676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIVGVVIGFVVGFAIIVLFVRNENTRSKLRNDLATTVAAFARMTVEDSRKILPADFYPSWVVFSQRQKLTWLNQHLTKIWPYVDEAASDLIKTSVEPVLEQYRPIILSSLKFSRFTLGTVAPQFTGVSIIEDEADSVTMELEMQWDANSSIILDIKTYLGVSLPVQVKDIGFTGVFRLIFKPLVNEFPCFGAVCFSLRKKKKLDFTLKVIGGDISTIPGLSDAIESTIRDAIEDNIMWPVRKIIPILPGDYSDLELKPVGILEVKLVQARDLTNKDIIGKSDPYAVLYVRPLPDKTKKSKTINNELNPIWNEHYEFVIEDATTQHLVVRIYDDEGVQASELIGCAEVLLRDLEPGKVKDVWLNLVKDLEIQRDTKYRGQVHLELLYCPFGMENGFTNPFSSNFSMTSLEKVIKSGANGIDAIENEKAVTQKKKEVIIRGVLSVTVISAEDLPIVDLMGKADPYVVLTMKKSEAKHKTRVVNDSLNPVWNQTFDFVVEDGLHDMLILEVWDHDTFGKVNSFSYGTVKSFLFLGHTNFVWFLNQTMQ >KJB64674 pep chromosome:Graimondii2_0_v6:10:7189227:7194671:-1 gene:B456_010G060200 transcript:KJB64674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEMQWDANSSIILDIKTYLGVSLPVQVKDIGFTGVFRLIFKPLVNEFPCFGAVCFSLRKKKKLDFTLKVIGGDISTIPGLSDAIESTIRDAIEDNIMWPVRKIIPILPGDYSDLELKPVGILEVKLVQARDLTNKDIIGKSDPYAVLYVRPLPDKTKKSKTINNELNPIWNEHYEFVIEDATTQHLVVRIYDDEGVQASELIGCAEVLLRDLEPGKVKDVWLNLVKDLEIQRDTKYRGQVHLELLYCPFGMENGFTNPFSSNFSMTSLEKVIKSGANGIDAIENEKAVTQKKKEVIIRGVLSVTVISAEDLPIVDLMGKADPYVVLTMKKSEAKHKTRVVNDSLNPVWNQTFDFVVEDGLHDMLILEVWDHDTFGKDYMGRCILTLTRVILEGEYKDTLQVEGAKSGKLNLHLKWMPQPILRDSGSEF >KJB64673 pep chromosome:Graimondii2_0_v6:10:7187544:7195830:-1 gene:B456_010G060200 transcript:KJB64673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIVGVVIGFVVGFAIIVLFVRNENTRSKLRNDLATTVAAFARMTVEDSRKILPADFYPSWVVFSQRQKLTWLNQHLTKIWPYVDEAASDLIKTSVEPVLEQYRPIILSSLKFSRFTLGTVAPQFTGVSIIEDEADSVTMELEMQWDANSSIILDIKTYLGVSLPVQVKDIGFTGVFRLIFKPLVNEFPCFGAVCFSLRKKKKLDFTLKVIGGDISTIPGLSDAIESTIRDAIEDNIMWPVRKIIPILPGDYSDLELKPVGILEVKLVQARDLTNKDIIGKSDPYAVLYVRPLPDKTKKSKTINNELNPIWNEHYEFVIEDATTQHLVVRIYDDEGVQASELIGCAEVLLRDLEPGKVKDVWLNLVKDLEIQRDTKYRGQVHLELLYCPFGMENGFTNPFSSNFSMTSLEKVIKSGANGIDAIENEKAVTQKKKEVIIRGVLSVTVISAEDLPIVDLMGKADPYVVLTMKKSEAKHKTRVVNDSLNPVWNQTFDFVVEDGLHDMLILEVWDHDTFGKDYMGRCILTLTRVILEGEYKDTLQVEGAKSGKLNLHLKWMPQPILRDSGSEF >KJB64675 pep chromosome:Graimondii2_0_v6:10:7188692:7195991:-1 gene:B456_010G060200 transcript:KJB64675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIVGVVIGFVVGFAIIVLFVRNENTRSKLRNDLATTVAAFARMTVEDSRKILPADFYPSWVVFSQRQKLTWLNQHLTKIWPYVDEAASDLIKTSVEPVLEQYRPIILSSLKFSRFTLGTVAPQFTGVSIIEDEADSVTMELEMQWDANSSIILDIKTYLGVSLPVQVKDIGFTGVFRLIFKPLVNEFPCFGAVCFSLRKKKKLDFTLKVIGGDISTIPGLSDAIESTIRDAIEDNIMWPVRKIIPILPGDYSDLELKPVGILEVKLVQARDLTNKDIIGKSDPYAVLYVRPLPDKTKKSKTINNELNPIWNEHYEFVIEDATTQHLVVRIYDDEGVQASELIGCAEVLLRDLEPGKVKDVWLNLVKDLEIQRDTKYRGQVHLELLYCPFGMENGFTNPFSSNFSMTSLEKVIKSGANGIDAIENEKAVTQKKKEVIIRGVLSVTVISAEDLPIVDLMGKADPYVVLTMKKSEAKHKTRVVNDSLNPVWNQTFDFVVEDGLHDMLILEVWDHDTFGKDYMGRCILTLTRVILEGEYKDTLQVEGAKSGKLNLHLKWMPQPILRDSGSEF >KJB66877 pep chromosome:Graimondii2_0_v6:10:46905906:46917925:1 gene:B456_010G162800 transcript:KJB66877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase RFK1 [Source:Projected from Arabidopsis thaliana (AT1G29750) UniProtKB/Swiss-Prot;Acc:Q9FXF2] MVTKKLFVFWIIAMGCFSLLRYSESKVPEEEVDALEEITTAMGSTYWKFIGDSCEIEMVGVTLVPPKNSEHEISCECEADGTVCHVVRIAIKGYNLPGILPPQLVKLPYLREIDFAYNYLNGTIPIEWASMKLTSISLLVNRLSGEIPKYLGNITTLTYLSLEANQFSGPVPPELGNLVNLTTLMLSSNQLTGNLPVTFSLLKNLTDLRINDNNFNGTIPGFILNWEQLSRLEMHASGLTGPIPVSLSPLRNLLVLRISDISGPSQDFPVLRDMKGLVTLVLRSCNISGEIPDYIWAMKNLEMLDLSFNKLVGKIPTRISSDRLRFVFLSGNMLSGDVPDSILKQGSSIDLSYNNFEWQGPEKPVCQENMNLNLNLFCSSSSRNNLRGALPCKKDFTCPQYSNCLHVNCGGKGTRIKEHKTNILYEGDGDVEGGAAKYYIKEDTYWGFSSTGDFMDDNDFQNTRYTVSKPLSNISELYTTARRAPISLTYFHYCLENGNYTITFNFAELQFTPDEAYNSLGRRIFDIYVQEKLVWKDFNIESEAKGSLKPLVKQISNVSVTTNFLAIRFFWDGKGTTRIPQRSAYGPLVSAISVISDSKPCSKRKNNGSSYAIVVGVLGSCLVLFTLGILWWKGHLLVKYWRKEDTKEDSSSGNFTLKQIKVATDDFDPANKIGEGGFGPVYKGQLSDGTRIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFGSENNQLELDWPTRLRICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDSDLNPKISDFGLARLDEEEKTHITTRVAGTIGYMAPEYALWGHLTHKADVYSFGVLTTELVSGKNTNNFMPSKKFVCLLDWACHLLQSGNFIALLDERLRSEVKKEEVQLMVKVALLCTNASASLRPTMSEVVNMLEGRTSVPDPIPEPSSFTEDLRFKAMRDLQQQKEDHSRSQTQNSTSVHSFYSSSTSNSSNEIKPDSGFCQ >KJB66881 pep chromosome:Graimondii2_0_v6:10:46906568:46917925:1 gene:B456_010G162800 transcript:KJB66881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase RFK1 [Source:Projected from Arabidopsis thaliana (AT1G29750) UniProtKB/Swiss-Prot;Acc:Q9FXF2] MGSTYWKFIGDSCEIEMVGVTLVPPKNSEHEISCECEADGTVCHVVRIAIKGYNLPGILPPQLVKLPYLREIDFAYNYLNGTIPIEWASMKLTSISLLVNRLSGEIPKYLGNITTLTYLSLEANQFSGPVPPELGNLVNLTTLMLSSNQLTGNLPVTFSLLKNLTDLRINDNNFNGTIPGFILNWEQLSRLEMHASGLTGPIPVSLSPLRNLLVLRISDISGPSQDFPVLRDMKGLVTLVLRSCNISGEIPDYIWAMKNLEMLDLSFNKLVGKIPTRISSDRLRFVFLSGNMLSGDVPDSILKQGSSIDLSYNNFEWQGPEKPVCQENMNLNLNLFCSSSSRNNLRGALPCKKDFTCPQYSNCLHVNCGGKGTRIKEHKTNILYEGDGDVEGGAAKYYIKEDTYWGFSSTGDFMDDNDFQNTRYTVSKPLSNISELYTTARRAPISLTYFHYCLENGNYTITFNFAELQFTPDEAYNSLGRRIFDIYVQEKLVWKDFNIESEAKGSLKPLVKQISNVSVTTNFLAIRFFWDGKGTTRIPQRSAYGPLVSAISVISDSKPCSKRKNNGSSYAIVVGVLGSCLVLFTLGILWWKGHLLVKYWRKEDTKEDSSSGNFTLKQIKVATDDFDPANKIGEGGFGPVYKGQLSDGTRIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFGSENNQLELDWPTRLRICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDSDLNPKISDFGLARLDEEEKTHITTRVAGTIGYMAPEYALWGHLTHKADVYSFGVLTTELVSGKNTNNFMPSKKFVCLLDWACHLLQSGNFIALLDERLRSEVKKEEVQLMVKVALLCTNASASLRPTMSEVVNMLEGRTSVPDPIPEPSSFTEDLRFKAMRDLQQQKEDHSRSQTQNSTSVHSFYSSSTSNSSNEIKPDSGFCQ >KJB66879 pep chromosome:Graimondii2_0_v6:10:46906071:46917849:1 gene:B456_010G162800 transcript:KJB66879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase RFK1 [Source:Projected from Arabidopsis thaliana (AT1G29750) UniProtKB/Swiss-Prot;Acc:Q9FXF2] MVTKKLFVFWIIAMGCFSLLRYSESKVPEEEVDALEEITTAMGSTYWKFIGDSCEIEMVGVTLVPPKNSEHEISCECEADGTVCHVVRIAIKGYNLPGILPPQLVKLPYLREIDFAYNYLNGTIPIEWASMKLTSISLLVNRLSGEIPKYLGNITTLTYLSLEANQFSGPVPPELGNLVNLTTLMLSSNQLTGNLPVTFSLLKNLTDLRINDNNFNGTIPGFILNWEQLSRLEMHASGLTGPIPVSLSPLRNLLVLRISDISGPSQDFPVLRDMKGLVTLVLRSCNISGEIPDYIWAMKNLEMLDLSFNKLVGKIPTRISSDRLRFVFLSGNMLSGDVPDSILKQGSSIDLSYNNFEWQGPEKPVCQENMNLNLNLFCSSSSRNNLRGALPCKKDFTCPQYSNCLHVNCGGKGTRIKEHKTNILYEGDGDVEGGAAKYYIKEDTYWGFSSTGDFMDDNDFQNTRYTVSKPLSNISELYTTARRAPISLTYFHYCLENGNYTITFNFAELQFTPDEAYNSLGRRIFDIYVQEKLVWKDFNIESEAKGSLKPLVKQISNVSVTTNFLAIRFFWDGKGTTRIPQRSAYGPLVSAISVISDSKPCSKRKNNGSSYAIVVGVLGSCLVLFTLGILWWKGHLLVKYWRKEDTKEDSSSGNFTLKQIKVATDDFDPANKIGEGGFGPVYKVPSQMLYDGPVITNIYFILIKFGIQGQLSDGTRIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFGSENNQLELDWPTRLRICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDSDLNPKISDFGLARLDEEEKTHITTRVAGTIGYMAPEYALWGHLTHKADVYSFGVLTTELVSGKNTNNFMPSKKFVCLLDWACHLLQSGNFIALLDERLRSEVKKEEVQLMVKVALLCTNASASLRPTMSEVVNMLEGRTSVPDPIPEPSSFTEDLRFKAMRDLQQQKEDHSRSQTQNSTSVHSFYSSSTSNSSNEIKPDSGFCQ >KJB66878 pep chromosome:Graimondii2_0_v6:10:46906071:46917849:1 gene:B456_010G162800 transcript:KJB66878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase RFK1 [Source:Projected from Arabidopsis thaliana (AT1G29750) UniProtKB/Swiss-Prot;Acc:Q9FXF2] MVTKKLFVFWIIAMGCFSLLRYSESKVPEEEVDALEEITTAMGSTYWKFIGDSCEIEMVGVTLVPPKNSEHEISCECEADGTVCHVVRIAIKGYNLPGILPPQLVKLPYLREIDFAYNYLNGTIPIEWASMKLTSISLLVNRLSGEIPKYLGNITTLTYLSLEANQFSGPVPPELGNLVNLTTLMLSSNQLTGNLPVTFSLLKNLTDLRINDNNFNGTIPGFILNWEQLSRLEMHASGLTGPIPVSLSPLRNLLVLRISDISGPSQDFPVLRDMKGLVTLVLRSCNISGEIPDYIWAMKNLEMLDLSFNKLVGKIPTRISSDRLRFVFLSGNMLSGDVPDSILKQGSSIDLSYNNFEWQGPEKPVCQENMNLNLNLFCSSSSRNNLRGALPCKKDFTCPQYSNCLHVNCGGKGTRIKEHKTNILYEGDGDVEGGAAKYYIKEDTYWGFSSTGDFMDDNDFQNTRYTVSKPLSNISELYTTARRAPISLTYFHYCLENGNYTITFNFAELQFTPDEAYNSLGRRIFDIYVQEKLVWKDFNIESEAKGSLKPLVKQISNVSVTTNFLAIRFFWDGKGTTRIPQRSAYGPLVSAISVISDSKPCSKRKNNGSSYAIVVGVLGSCLVLFTLGILWWKGHLLVKYWRKEDTKEDSSSGNFTLKQIKVATDDFDPANKIGEGGFGPVYKGQLSDGTRIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFGSENNQLELDWPTRLRICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDSDLNPKISDFGLARLDEEEKTHITTRVAGTM >KJB66882 pep chromosome:Graimondii2_0_v6:10:46906796:46917849:1 gene:B456_010G162800 transcript:KJB66882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase RFK1 [Source:Projected from Arabidopsis thaliana (AT1G29750) UniProtKB/Swiss-Prot;Acc:Q9FXF2] MLIQLRCFCSFLHSYILLRCLWNYECLLVDALEEITTAMGSTYWKFIGDSCEIEMVGVTLVPPKNSEHEISCECEADGTVCHVVRIAIKGYNLPGILPPQLVKLPYLREIDFAYNYLNGTIPIEWASMKLTSISLLVNRLSGEIPKYLGNITTLTYLSLEANQFSGPVPPELGNLVNLTTLMLSSNQLTGNLPVTFSLLKNLTDLRINDNNFNGTIPGFILNWEQLSRLEMHASGLTGPIPVSLSPLRNLLVLRISDISGPSQDFPVLRDMKGLVTLVLRSCNISGEIPDYIWAMKNLEMLDLSFNKLVGKIPTRISSDRLRFVFLSGNMLSGDVPDSILKQGSSIDLSYNNFEWQGPEKPVCQENMNLNLNLFCSSSSRNNLRGALPCKKDFTCPQYSNCLHVNCGGKGTRIKEHKTNILYEGDGDVEGGAAKYYIKEDTYWGFSSTGDFMDDNDFQNTRYTVSKPLSNISELYTTARRAPISLTYFHYCLENGNYTITFNFAELQFTPDEAYNSLGRRIFDIYVQEKLVWKDFNIESEAKGSLKPLVKQISNVSVTTNFLAIRFFWDGKGTTRIPQRSAYGPLVSAISVISDSKPCSKRKNNGSSYAIVVGVLGSCLVLFTLGILWWKGHLLVKYWRKEDTKEDSSSGNFTLKQIKVATDDFDPANKIGEGGFGPVYKGQLSDGTRIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFGSENNQLELDWPTRLRICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDSDLNPKISDFGLARLDEEEKTHITTRVAGTIGYMAPEYALWGHLTHKADVYSFGVLTTELVSGKNTNNFMPSKKFVCLLDWACHLLQSGNFIALLDERLRSEVKKEEVQLMVKVALLCTNASASLRPTMSEVVNMLEGRTSVPDPIPEPSSFTEDLRFKAMRDLQQQKEDHSRSQTQNSTSVHSFYSSSTSNSSNEIKPDSGFCQ >KJB66880 pep chromosome:Graimondii2_0_v6:10:46906163:46917849:1 gene:B456_010G162800 transcript:KJB66880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase RFK1 [Source:Projected from Arabidopsis thaliana (AT1G29750) UniProtKB/Swiss-Prot;Acc:Q9FXF2] MRSLANVRRMALFVMSAIKGYNLPGILPPQLVKLPYLREIDFAYNYLNGTIPIEWASMKLTSISLLVNRLSGEIPKYLGNITTLTYLSLEANQFSGPVPPELGNLVNLTTLMLSSNQLTGNLPVTFSLLKNLTDLRINDNNFNGTIPGFILNWEQLSRLEMHASGLTGPIPVSLSPLRNLLVLRISDISGPSQDFPVLRDMKGLVTLVLRSCNISGEIPDYIWAMKNLEMLDLSFNKLVGKIPTRISSDRLRFVFLSGNMLSGDVPDSILKQGSSIDLSYNNFEWQGPEKPVCQENMNLNLNLFCSSSSRNNLRGALPCKKDFTCPQYSNCLHVNCGGKGTRIKEHKTNILYEGDGDVEGGAAKYYIKEDTYWGFSSTGDFMDDNDFQNTRYTVSKPLSNISELYTTARRAPISLTYFHYCLENGNYTITFNFAELQFTPDEAYNSLGRRIFDIYVQEKLVWKDFNIESEAKGSLKPLVKQISNVSVTTNFLAIRFFWDGKGTTRIPQRSAYGPLVSAISVISDSKPCSKRKNNGSSYAIVVGVLGSCLVLFTLGILWWKGHLLVKYWRKEDTKEDSSSGNFTLKQIKVATDDFDPANKIGEGGFGPVYKGQLSDGTRIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFGSENNQLELDWPTRLRICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDSDLNPKISDFGLARLDEEEKTHITTRVAGTIGYMAPEYALWGHLTHKADVYSFGVLTTELVSGKNTNNFMPSKKFVCLLDWACHLLQSGNFIALLDERLRSEVKKEEVQLMVKVALLCTNASASLRPTMSEVVNMLEGRTSVPDPIPEPSSFTEDLRFKAMRDLQQQKEDHSRSQTQNSTSVHSFYSSSTSNSSNEIKPDSGFCQ >KJB65011 pep chromosome:Graimondii2_0_v6:10:10850991:10853548:1 gene:B456_010G076000 transcript:KJB65011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKDLLPDAKNSTSTYYDHANDPWFKQRFSTSEEEKSTVVKAKPVPPYLKRAGFVPRKVEDFGDGGAFPEIHIAQYPLDMGRVKGAKPGSKILPVTVDARGNLAYDAIVKQSENAKKIVYSQHKDLIPKILRNEEEGGDEGEEEEEMQKEIEETMQETKAALEKIVNVRLSAAQPKNVPKQSSDSKYIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMMKEKERKEQELRALAAKARSERTGTVAPSTAVPMSSDRSAMDTDMRVDYEPVRERERDMPKESKEEREERLQREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASTGAGRGGEVMYDQRLFNQEKGMDSGFATDDQYNIYDKGLFTAQPTLSTLYRPKKDVDSDMYGGADEQLEKIMKTERFKPDKAFAGTSEKSGPRDRPVEFEKEVEEADPFGLDQFLTEVKKGKKAMEKVGSGGTMKASAGSSMRDGYEGGSNRSRINFERGH >KJB65012 pep chromosome:Graimondii2_0_v6:10:10851155:10853548:1 gene:B456_010G076000 transcript:KJB65012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKDLLPDAKNSTSTYYDHANDPWFKQRFSTSEEEKSTVVKAKPVPPYLKRAGFVPRKVEDFGDGGAFPEIHIAQYPLDMGRVKGAKPGSKILPVTVDARGNLAYDAIVKQSENAKKIVYSQHKDLIPKILRNEEEGGDEGEEEEEMQKEIEETMQETKAALEKIVNVRLSAAQPKNVPKQSSDSKYIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMMKEKERKEQELRALAAKARSERTGTVAPSTAVPMSSDRSAMDTDMRVDYEPVRERERDMPKESKEEREERLQREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASTGAGRGGEVMYDQRLFNQEKGMDSGFATDDQYNIYDKGLFTAQPTLSTLYRPKKDVDSDMYGGADEQLEKIMKTERFKPDKAFAGTSEKSGPRDRPVEFEKEVEEADPFGLDQFLTEVKKGKKAMEKVGSGGTMKASAGSSMRDGYEGGSNRSRINFERGH >KJB63688 pep chromosome:Graimondii2_0_v6:10:818652:824557:-1 gene:B456_010G010800 transcript:KJB63688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSLTHFHFINAIKGGSVAKFMNVDFRGRPALKFKEIKEVYNLRDTENHDQVPTVHLRDDLESSPTECNGVEVETTAFSLVRGVKIKSEPEDCDLNWSNNGDERIGDLHDLSFVNMTLRQLKKSCKSKKRKCSNFVGLNKETMKTCSSVEHELPNFLPEDDGYDLEEPLISLKSKLSKNMKKRKRKSSGKSVSVSSPNGVSLAPVKVEVPEPCYSKCGTMFGVTSVPRFSHSKQVDSTVTVSNDVPETANVYSSETKVTYPTKEPQYDSLNEVSYEYRGNLESEYDVGISNWDIVKVDSPETVSYEYSDLSEFRKDDYISRPLSYDVPVELMSPTKDYNGYSLIRVPEIAIPCGLGGGSTLFPVEEPVRSVSNGVSCEYIDVIPQSGASSSGWEIVKVDSPEIISYQCSGSQVFGQESYTVYALPNDISPESMPPTKGCSPDLDDSSINNSADHQTACQTSSNDRTEVPETDNDDSLQCLENINEGSTCSHESRTAHGWPLNSAISPSSDDGLHRSSSCLNLTRHSVPVFGASSSAGKQSQLPASMATNDDASDKPMTSPGGPDYDQRNQQHHPERLLSYRKAISPTSQERLCRAMELTGLDEDERQQCRGKLYFGKQTNHRMLKAHGLDQLGRDGATIKPKPFMRSAKQDKKGSPPKGILKVHPSRTAPHVSSACTTLQRCSQSAIAFTQRQMRDIESLATKLTTELKSMKDIVLGQLQPELDTTAATENADEVRIAVESATKAEETVRKWLSMMARDCNRFCKIMKLTEDSDTAASERVIQKERKITFADEAGGKLCHIKVFKDDMDSSNTASLLQCGTVETEISG >KJB63689 pep chromosome:Graimondii2_0_v6:10:819052:824557:-1 gene:B456_010G010800 transcript:KJB63689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSLTHFHFINAIKGGSVAKFMNVDFRGRPALKFKEIKEVYNLRDTENHDQVPTVHLRDDLESSPTECNGVEVETTAFSLVRGVKIKSEPEDCDLNWSNNGDERIGDLHDLSFVNMTLRQLKKSCKSKKRKCSNFVGLNKETMKTCSSVEHELPNFLPEDDGYDLEEPLISLKSKLSKNMKKRKRKSSGKSVSVSSPNGVSLAPVKVEVPEPCYSKCGTMFGVTSVPRFSHSKQVDSTVTVSNDVPETANVYSSETKVTYPTKEPQYDSLNEVSYEYRGNLESEYDVGISNWDIVKVDSPETVSYEYSDLSEFRKDDYISRPLSYDVPVELMSPTKDYNGYSLIRVPEIAIPCGLGGGSTLFPVEEPVRSVSNGVSCEYIDVIPQSGASSSGWEIVKVDSPEIISYQCSGSQVFGQESYTVYALPNDISPESMPPTKGCSPDLDDSSINNSADHQTACQTSSNDRTEVPETDNDDSLQCLENINEGSTCSHESRTAHGWPLNSAISPSSDDGLHRSSSCLNLTRHSVPVFGASSSAGKQSQLPASMATNDDASDKPMTSPGGPDYDQRNQQHHPERLLSYRKAISPTSQERLCRAMELTGLDEDERQQCRGKLYFGKQTNHRMLKAHGLDQLGRDGATIKPKPFMRSAKQDKKGSPPKGILKVHPSRTAPHVSSACTTLQRCSQSAIAFTQRQMRDIESLATKLTTELKSMKDIVLGQLQPELDTTAATENADEVRIAVESATKAEETVRKWLSMMARDCNRFCKIMKLTEDSDTAASERVIQKERKITFADEAGGKLCHIKVFKDDMDSSNTASLLQCGTVETEISG >KJB63690 pep chromosome:Graimondii2_0_v6:10:819322:823218:-1 gene:B456_010G010800 transcript:KJB63690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSLTHFHFINAIKGGSVAKFMNVDFRGRPALKFKEIKEVYNLRDTENHDQVPTVHLRDDLESSPTECNGVEVETTAFSLVRGVKIKSEPEDCDLNWSNNGDERIGDLHDLSFVNMTLRQLKKSCKSKKRKCSNFVGLNKETMKTCSSVEHELPNFLPEDDGYDLEEPLISLKSKLSKNMKKRKRKSSGKSVSVSSPNGVSLAPVKVEVPEPCYSKCGTMFGVTSVPRFSHSKQVDSTVTVSNDVPETANVYSSETKVTYPTKEPQYDSLNEVSYEYRGNLESEYDVGISNWDIVKVDSPETVSYEYSDLSEFRKDDYISRPLSYDVPVELMSPTKDYNGYSLIRVPEIAIPCGLGGGSTLFPVEEPVRSVSNGVSCEYIDVIPQSGASSSGWEIVKVDSPEIISYQCSGSQVFGQESYTVYALPNDISPESMPPTKGCSPDLDDSSINNSADHQTACQTSSNDRTEVPETDNDDSLQCLENINEGSTCSHESRTAHGWPLNSAISPSSDDGLHRSSSCLNLTRHSVPVFGASSSAGKQSQLPASMATNDDASDKPMTSPGGPDYDQRNQQHHPERLLSYRKAISPTSQERLCRAMELTGLDEDERQQCRGKLYFGKQTNHRMLKAHGLDQLGRDGATIKPKPFMRSAKQDKKGSPPKGILKVHPSRTAPHVSSACTTLQRCSQSAIAFTQRQMRDIESLATKLTTELKSMKDIVLGQLQPELDTTAATENADEVRIAVESATKAEETVRKWLSMMARDCNRFCKIMKLTEDSDTAASERVIQKERKITFADEAGGKLCHIKVFKDDMDSSNTASLLQCGTVETEISG >KJB68477 pep chromosome:Graimondii2_0_v6:10:61566096:61568874:-1 gene:B456_010G247100 transcript:KJB68477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPKTVPGSSLVRSNSLVHGQHLDCGASSMDPVSGGNSSNPNLASKQRLRWTHELHDRFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKPDKKETGDMLSNLDGSSGMQITEALKLQMEVQKRLHEQLEACILNANLILICYYVCLALLAIWSSMVLSVNNIRAQYFSLYLLRNRCNLLYYCAVTHALSFWCFIYLSSQNTDFTSDIQQVQRQLQLRIEAQGKYLKKIIEEQRLGGVLAEAPGTGASVPALGDNGLESDKKTDPATPAPTSESPLQDKAAKEGTSAKSHSVDESFSSHHEPLTPDSGCHVGSPAGSPKGEMLKKKQRVSMAGAFAKPEMVLPHQILDSSINASYQQSQSVFMGEQFNPSSGISIRNENQSGKGSGTEL >KJB68480 pep chromosome:Graimondii2_0_v6:10:61566096:61568874:-1 gene:B456_010G247100 transcript:KJB68480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPKTVPGSSLVRSNSLVHGQHLDCGASSMDPVSGGNSSNPNLASKQRLRWTHELHDRFVDAVAQLGGPDRESRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKPDKKETGDMLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQRLGGVLAEAPGTGASVPALGDNGLESDKKTDPATPAPTSESPLQDKAAKEGTSAKSHSVDESFSSHHEPLTPDSGCHVGSPAGSPKGEMLKKKQRVSMAGAFAKPEMVLPHQILDSSINASYQQSQSVFMGEQFNPSSGISIRNENQSGKGSGTEL >KJB68479 pep chromosome:Graimondii2_0_v6:10:61566096:61568194:-1 gene:B456_010G247100 transcript:KJB68479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKPDKKETGDMLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQRLGGVLAEAPGTGASVPALGDNGLESDKKTDPATPAPTSESPLQDKAAKEGTSAKSHSVDESFSSHHEPLTPDSGCHVGSPAGSPKGEMLKKKQRVSMAGAFAKPEMVLPHQILDSSINASYQQSQSVFMGEQFNPSSGISIRNENQSGKGSGTEL >KJB68481 pep chromosome:Graimondii2_0_v6:10:61566096:61568874:-1 gene:B456_010G247100 transcript:KJB68481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPKTVPGSSLVRSNSLVHGQHLDCGASSMDPVSGGNSSNPNLASKQRLRWTHELHDRFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKPDKKETGDMLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQRLGGVLAEAPGTGASVPALGDNGLESDKKTDPATPAPTSESPLQDKAAKEGTSAKSHSVDESFSSHHEPLTPDSGCHVGSPAGSPKGEMLKKKQRVSMAGAFAKPEMVLPHQILDSSINASYQQSQSVFMGEQFNPSSGISIRNENQSGKGSGTEL >KJB68475 pep chromosome:Graimondii2_0_v6:10:61565728:61570607:-1 gene:B456_010G247100 transcript:KJB68475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPKTVPGSSLVRSNSLVHGQHLDCGASSMDPVSGGNSSNPNLASKQRLRWTHELHDRFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKPDKKETGDMLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQRLGGVLAEAPGTGASVPALGDNGLESDKKTDPATPAPTSESPLQDKAAKEGTSAKSHSVDESFSSHHEPLTPDSGCHVGSPAGSPKGEMLKKKQRVSMAGAFAKPEMVLPHQILDSSINASYQQSQSVFMGEQFNPSSGISIRNENQSGKGSGTEL >KJB68478 pep chromosome:Graimondii2_0_v6:10:61565728:61570607:-1 gene:B456_010G247100 transcript:KJB68478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPKTVPGSSLVRSNSLVHGQHLDCGASSMDPVSGGNSSNPNLASKQRLRWTHELHDRFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKPDKKETGDMLSNLDGSSGMQITEALKLQMEVQKRLHEQLERQLQLRIEAQGKYLKKIIEEQRLGGVLAEAPGTGASVPALGDNGLESDKKTDPATPAPTSESPLQDKAAKEGTSAKSHSVDESFSSHHEPLTPDSGCHVGSPAGSPKGEMLKKKQRVSMAGAFAKPEMVLPHQILDSSINASYQQSQSVFMGEQFNPSSGISIRNENQSGKGSGTEL >KJB68476 pep chromosome:Graimondii2_0_v6:10:61565647:61570669:-1 gene:B456_010G247100 transcript:KJB68476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPKTVPGSSLVRSNSLVHGQHLDCGASSMDPVSGGNSSNPNLASKQRLRWTHELHDRFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKPDKKETGDMLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQRLGGVLAEAPGTGASVPALGDNGLESDKKTDPATPAPTSESPLQDKAAKEGTSAKSHSVDESFSSHHEPLTPDSGCHVGSPAGSPKGEMLKKKQRVSMAGAFAKPEMVLPHQILDSSINASYQQSQSVFMGEQFNPSSGISIRNENQSGKGSGTEL >KJB64517 pep chromosome:Graimondii2_0_v6:10:6050516:6054206:-1 gene:B456_010G052800 transcript:KJB64517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Replication factor C subunit RFC4 [Source: Projected from Oryza sativa (Os12g0176500)] MAPVLQSSQPWVEKYRPKQVRDVAHQDEVVRVLTNTLETANCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSAQRQGGYPCPPFKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSDEIMSSRILHICDQEGLNLDSEALSTLSSISQGDLRRAITYLQGAARLFGSSISSKDLLSVSGVIPVEVVEALYAACKSGNFDLANKEVNNIIAEGYPVSQMLSQMMYQMSRKLEFARVWLKQISV >KJB64516 pep chromosome:Graimondii2_0_v6:10:6050489:6054262:-1 gene:B456_010G052800 transcript:KJB64516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Replication factor C subunit RFC4 [Source: Projected from Oryza sativa (Os12g0176500)] MAPVLQSSQPWVEKYRPKQVRDVAHQDEVVRVLTNTLETANCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSAQRQGGYPCPPFKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSDEIMSSRILHICDQEGLNLDSEALSTLSSISQGDLRRAITYLQGAARLFGSSISSKDLLSVSGVIPVEVVEALYAACKSGNFDLANKEVNNIIAEGYPVSQMLSQLFDVVVEADDVPDEQKARICKSLAEADKRLVDGADEYLQLLDVASNTMRALCNMPQEFSFET >KJB64514 pep chromosome:Graimondii2_0_v6:10:6050489:6052988:-1 gene:B456_010G052800 transcript:KJB64514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Replication factor C subunit RFC4 [Source: Projected from Oryza sativa (Os12g0176500)] MLTHVNFISLKTSLQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSDEIMSSRILHICDQEGLNLDSEALSTLSSISQGDLRRAITYLQGAARLFGSSISSKDLLSVSGVIPVEVVEALYAACKSGNFDLANKEVNNIIAEGYPVSQMLSQLFDVVVEADDVPDEQKARICKSLAEADKRLVDGADEYLQLLDVASNTMRALCNMPQEFSFET >KJB64515 pep chromosome:Graimondii2_0_v6:10:6051327:6054080:-1 gene:B456_010G052800 transcript:KJB64515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Replication factor C subunit RFC4 [Source: Projected from Oryza sativa (Os12g0176500)] MAPVLQSSQPWVEKYRPKQVRDVAHQDEVVRVLTNTLETANCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSAQRQGGYPCPPFKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSDEIMSSRILHICDQEGLNLDSEALSTLSSISQGDLRRAITYLQGAARLFGSSISSKDLLSVSGVIPVEVVEALYAACKSGNFDLANKEVNNIIAEGYPVSQMLSQLFDVVVEADDVPDEQKARICKSLAEADKVTFPT >KJB65628 pep chromosome:Graimondii2_0_v6:10:18925166:18931490:-1 gene:B456_010G104100 transcript:KJB65628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease YBEY, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G25870) UniProtKB/Swiss-Prot;Acc:Q8L5Z4] MLPRFSFLLRSLLLPHSSAMAFAISRAAATFSLERSPATFSISRRSFNLSPTRRTARFAVPSSSKSSLFGRRFHALCREDGRRLSKPMRVVSGWNKEYRKMRRRPGKSKEKQLELSVSICIEEELPDDPEISSIAELLRLNVPMAMKLAFDRITDSNYKTRDTSIRDLGGFDSVELSVLLCNDEFIRKLNKEWRDEDHATDVLSMSEHIPELNLPVLMLGDIVISIETAARQAEERGHTLIDEIRILMVHGLLHLLGFDHEISENAEEEMEEEEEFVLKSLGWKGKGLIRSAYDAETNANLHMENSNGSLLKDKKKEGSLRFYKPKFKYIFCDMDGTLLNSKSQVSLTNASALKEASSRGLKIVIATGKARPAAITVLQMVDLAGKDGIISEHSPGVFLQGLLVYGINGREIFKRNLDPNVCREAGHYSLEHKVPLVAFCQDRCLTLFNHPLVDTLHTVYHEPKAEIMPSVEHLVTAADIQKLIFFDTAEGIATNLRPYWSEATKDHASVVQAVPDILEIVPFGTSKGSGVKLLLDHLGVSSKEIMAIGDGENDMEMLELASLGVALSNGSDKTKAVADVIGVSNDEDGVADAIYRYAF >KJB65630 pep chromosome:Graimondii2_0_v6:10:18925202:18931332:-1 gene:B456_010G104100 transcript:KJB65630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease YBEY, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G25870) UniProtKB/Swiss-Prot;Acc:Q8L5Z4] MLPRFSFLLRSLLLPHSSAMAFAISRAAATFSLERSPATFSISRRSFNLSPTRRTARFAVPSSSKSSLFGRRFHALCREDGRRLSKPMRVVSGWNKEYRKMRRRPGKSKEKQLELSVSICIEEELPDDPEISSIAELLRLNVPMAMKLAFDRITDSNYKTRDTSIRDLGGFDSVELSVLLCNDEFIRKLNKEWRDEDHATDVLSMSEHIPELNLPVLMLGDIVISIETAARQAEERGHTLIDEIRILMVHGLLHLLGFDHEISENAEEEMEEEEEFVLKSLGWKGKGLIRSAYDAETNANLHMENSNGSLLKDKKKEGSLRFYKPKFKYIFCDMDGTLLNSKSQVSLTNASALKEASSRGLKIVIATGKARPAAITVLQMVDLAGKDGIISEHSPGVFLQGLLVYGINGREIFKRNLDPNVCREAGHYSLEHKVPLVAFCQDRCLTLFNHPLVDTLHTVYHEPKAEIMPSVEHLVTAADIQRRKKGAMLIFFDTAEGIATNLRPYWSEATKDHASVVQAVPDILEIVPFGTSKGSGVKLLLDHLGVSSKEIMAIGDGENDMEMLELASLGVALSNGSDKTKAVADVIGVSNDEDGVADAIYRYAF >KJB65631 pep chromosome:Graimondii2_0_v6:10:18925608:18930429:-1 gene:B456_010G104100 transcript:KJB65631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease YBEY, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G25870) UniProtKB/Swiss-Prot;Acc:Q8L5Z4] MKTMLPMSFLCPNIFLNLIFLFLCWVILLFPLRLLQGKQKKEGTRLLMKYASLWLVHGLLHLLGFDHEISENAEEEMEEEEEFVLKSLGWKGKGLIRSAYDAETNANLHMENSNGSLLKDKKKEGSLRFYKPKFKYIFCDMDGTLLNSKSQVSLTNASALKEASSRGLKIVIATGKARPAAITVLQMVDLAGKDGIISEHSPGVFLQGLLVYGINGREIFKRNLDPNVCREAGHYSLEHKVPLVAFCQDRCLTLFNHPLVDTLHTVYHEPKAEIMPSVEHLVTAADIQAHCFCLQQRRKKGAMLIFFDTAEGIATNLRPYWSEATKDHASVVQAVPDILEIVPFGTSKGSGVKLLLDHLGVSSKEIMAIGDGENDMEMLELASLGVALSNGSDKTKAVADVIGVSNDEDGVADAIYRYAF >KJB65634 pep chromosome:Graimondii2_0_v6:10:18925218:18931332:-1 gene:B456_010G104100 transcript:KJB65634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease YBEY, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G25870) UniProtKB/Swiss-Prot;Acc:Q8L5Z4] MKTMLPMSFLCPNIFLNLIFLFLCWVILLFPLRLLQGKQKKEGTRLLMKYASLWLVHGLLHLLGFDHEISENAEEEMEEEEEFVLKSLGWKGKGLIRSAYDAETNANLHMENSNGSLLKDKKKEGSLRFYKPKFKYIFCDMDGTLLNSKSQVSLTNASALKEASSRGLKIVIATGKARPAAITVLQMVDLAGKDGIISEHSPGVFLQGLLVYGINGREIFKRNLDPNVCREAGHYSLEHKVPLVAFCQDRCLTLFNHPLVDTLHTVYHEPKAEIMPSVEHLVTAADIQKLIFFDTAEGIATNLRPYWSEATKDHASVVQAVPDILEIVPFGTSKGSGVKLLLDHLGVSSKEIMAIGDGENDMEMLELASLGVALSNGSDKTKAVADVIGVSNDEDGVADAIYRYAF >KJB65632 pep chromosome:Graimondii2_0_v6:10:18925218:18931332:-1 gene:B456_010G104100 transcript:KJB65632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease YBEY, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G25870) UniProtKB/Swiss-Prot;Acc:Q8L5Z4] MLPRFSFLLRSLLLPHSSAMAFAISRAAATFSLERSPATFSISRRSFNLSPTRRTARFAVPSSSKSSLFGRRFHALCREDGRRLSKPMRVVSGWNKEYRKMRRRPGKSKEKQLELSVSICIEEELPDDPEISSIAELLRLNVPMAMKLAFDRITDSNYKTRDTSIRDLGGFDSVELSVLLCNDEFIRKLNKEWRDEDHATDVLSMSEHIPELNLPVLMLGDIVISIETAARQAEERGHTLIDEIRILMVHGLLHLLGFDHEISENAEEEMEEEEEFVLKSLGWKGKGLIRSAYDAETNANLHMENSNGSLLKDKKKEGSLRFYKPKFKYIFCDMDGTLLNSKSQVSLTNASALKEASSRGLKIVIATGKARPAAITVLQMVDLAGKDGIISEHSPGVFLQGLLVYGINGREIFKRNLDPNVCREAGHYSLEHKVPLVAFCQDRCLTLFNHPLVDTLHTVYHEPKAEIMPSVEHLVTAADIQAHCFCLQQRRKKGAMLIFFDTAEGIATNLRPYWSEATKDHASVVQAVPDILEIVPFGTSKGSGVKLLLDHLGVSSKEIMAIGDGENDMEMLELASLGVALSNGSDKTKAVADVIGVSNDEDGVADAIYRYAF >KJB65629 pep chromosome:Graimondii2_0_v6:10:18925202:18931303:-1 gene:B456_010G104100 transcript:KJB65629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease YBEY, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G25870) UniProtKB/Swiss-Prot;Acc:Q8L5Z4] MLPRFSFLLRSLLLPHSSAMAFAISRAAATFSLERSPATFSISRRSFNLSPTRRTARFAVPSSSKSSLFGRRFHALCREDGRRLSKPMRVVSGWNKEYRKMRRRPGKSKEKQLELSVSICIEEELPDDPEISSIAELLRLNVPMAMKLAFDRITDSNYKTRDTSIRDLGGFDSVELSVLLCNDEFIRKLNKEWRDEDHATDVLSMSEHIPELNLPVLMLGDIVISIETAARQAEERGHTLIDEIRILMVHGLLHLLGFDHEISENAEEEMEEEEEFVLKSLGWKGKGLIRSAYDAETNANLHMENSNGSLLKDKKKEGSLRFYKPKFKYIFCDMDGEIIPTVILFLINSSTISNAFVTLTLGTLLNSKSQVSLTNASALKEASSRGLKIVIATGKARPAAITVLQMVDLAGKDGIISEHSPGVFLQGLLVYGINGREIFKRNLDPNVCREAGHYSLEHKVPLVAFCQDRCLTLFNHPLVDTLHTVYHEPKAEIMPSVEHLVTAADIQKLIFFDTAEGIATNLRPYWSEATKDHASVVQAVPDILEIVPFGTSKGSGVKLLLDHLGVSSKEIMAIGDGENDMEMLELASLGVALSNGSDKTKAVADVIGVSNDEDGVADAIYRYAF >KJB65633 pep chromosome:Graimondii2_0_v6:10:18925218:18931332:-1 gene:B456_010G104100 transcript:KJB65633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease YBEY, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G25870) UniProtKB/Swiss-Prot;Acc:Q8L5Z4] MKTMLPMSFLCPNIFLNLIFLFLCWVILLFPLRLLQGKQKKEGTRLLMKYASLWLVHGLLHLLGFDHEISENAEEEMEEEEEFVLKSLGWKGKGLIRSAYDAETNANLHMENSNGSLLKDKKKEGSLRFYKPKFKYIFCDMDGTLLNSKSQVSLTNASALKEASSRGLKIVIATGKARPAAITVLQMVDLAGKDGIISEHSPGVFLQGLLVYGINGREIFKRNLDPNVCREAGHYSLEHKVPLVAFCQDRCLTLFNHPLVDTLHTVYHEPKAEIMPSVEHLVTAADIQRRKKGAMLIFFDTAEGIATNLRPYWSEATKDHASVVQAVPDILEIVPFGTSKGSGVKLLLDHLGVSSKEIMAIGDGENDMEMLELASLGVALSNGSDKTKAVADVIGVSNDEDGVADAIYRYAF >KJB64170 pep chromosome:Graimondii2_0_v6:10:3264425:3269102:-1 gene:B456_010G035600 transcript:KJB64170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSGLCSSFPSLKPNNRIGGELSPVRKDSLAVSTRSNSSFKHSVARELSADLSKTNDVAGLKQAKQDGLEKDPKALWRRYVDWLYQHKELGLYLDVSRIGFSDEFVSEMEPRFQAAFKAMEELERGAIANPDEGRMVGHYWLRNAKLAPNPFLQVQIDKTLAAVCDFADAVISGKIKPPSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLDFAKQGVAITQENSLLDNTARIEGWVARFPMFDWVGGRTSEMSAVGLLPAALQGVDIREMLIGASMMDEATRSTVLRKNPAALLALCWYWATDGIGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGISVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESITVTVQEVTPRSVGALIALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCEDPAESLTLQEIADRCHAPDDIEMIYKIIEHMAANDRALIAEGDCGSPYSLKVFLGECNVDELYA >KJB64173 pep chromosome:Graimondii2_0_v6:10:3265118:3269074:-1 gene:B456_010G035600 transcript:KJB64173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSGLCSSFPSLKPNNRIGGELSPVRKDSLAVSTRSNSSFKHSVARELSADLSKTNDVAGLKQAKQDGLEKDPKALWRRYVDWLYQHKELGLYLDVSRIGFSDEFVSEMEPRFQAAFKAMEELERGAIANPDEGRMVGHYWLRNAKLAPNPFLQVQIDKTLAAVCDFADAVISGKIKPPSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLDFAKQGVAITQENSLLDNTARIEGWVARFPMFDWVGGRTSEMSAVGLLPAALQGVDIREMLIGASMMDEATRSTVLRKNPAALLALCWYWATDGIGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGISVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQV >KJB64171 pep chromosome:Graimondii2_0_v6:10:3264437:3269074:-1 gene:B456_010G035600 transcript:KJB64171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSGLCSSFPSLKPNNRIGGELSPVRKDSLAVSTRSNSSFKHSVARELSADLSKTNDVAGLKQAKQDGLEKDPKALWRRYVDWLYQHKELGLYLDVSRIGFSDEFVSEMEPRFQAAFKAMEELERGAIANPDEGRMVGHYWLRNAKLAPNPFLQVQIDKTLAAVCDFADAVISGKIKPPSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLDFAKQGVAITQENSLLDNTARIEGWVARFPMFDWVGGRTSEMSAVGLLPAALQAFDIREMLIGASMMDEATRSTVLRKNPAALLALCWYWATDGIGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGISVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESITVTVQEVTPRSVGALIALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCEDPAESLTLQEIADRCHAPDDIEMIYKIIEHMAANDRALIAEGDCGSPYSLKVFLGECNVDELYA >KJB64172 pep chromosome:Graimondii2_0_v6:10:3265015:3269074:-1 gene:B456_010G035600 transcript:KJB64172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSGLCSSFPSLKPNNRIGGELSPVRKDSLAVSTRSNSSFKHSVARELSADLSKTNDVAGLKQAKQDGLEKDPKALWRRYVDWLYQHKELGLYLDVSRIGFSDEFVSEMEPRFQAAFKAMEELERGAIANPDEGRMVGHYWLRNAKLAPNPFLQVQIDKTLAAVCDFADAVISGKIKPPSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLDFAKQGVAITQENSLLDNTARIEGWVARFPMFDWVGGRTSEMSAVGLLPAALQGVDIREMLIGASMMDEATRSTVLRKNPAALLALCWYWATDGIGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGISVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESITVTVQEVTPRSVGALIALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEARYPMSNV >KJB67084 pep chromosome:Graimondii2_0_v6:10:50733220:50733991:1 gene:B456_010G174100 transcript:KJB67084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMLRRGITVKASYGNQKSWLVQVVGAVKGKVPVLFDGGIRGGTDVFKALALGAQAVLIGRPAVYGLAAKGEYGVKRVIEMLRDELELTMALSGCPTLNHISRKHVRTKHERPRSML >KJB64245 pep chromosome:Graimondii2_0_v6:10:3649672:3650653:1 gene:B456_010G039300 transcript:KJB64245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQSEFRHWDELLPDVLGLIFTNLSLQELLTIIPCVCKSWRKTVGDPHCWQDIDLDEWSCRWQPYQLDRMLRMLVRRSSGSLRRLHVSGLKNDSIFSFVTENAGSLQVLRLPHSKISDSIVEQTAPRLSTVTFLDLSYCPKIGAQAIEAIGKHCKLLVTLCRNMYSSDSAGKVEPEDEANAIAATMPRLKHLELGFHLISTECVLNILSSCPQLKHLVIDGCPQVKLDRKFLKEKYPKLKVVGPHL >KJB65216 pep chromosome:Graimondii2_0_v6:10:12724001:12725593:-1 gene:B456_010G084800 transcript:KJB65216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLSLSAAISLRHKLNRSSAVSANTPSKICHTFPGNGRCQRLSFKTKAAGSVEGHVLDKESASISDKIDYGVVGIHHVGILCENLERSLEFYQNILGLEINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPLIGRPEHGGRDRHACISIQDVSKLQAILDKAGKILFSLFQGHPCQAISHCLLIICLDGRFSCNSVGYKQKEDILVQYCSKLQVFPTHLADPGGQQYLHEIQMQMH >KJB65214 pep chromosome:Graimondii2_0_v6:10:12723802:12725731:-1 gene:B456_010G084800 transcript:KJB65214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLSLSAAISLRHKLNRSSAVSANTPSKICHTFPGNGRCQRLSFKTKAAGSVEGHVLDKESASISDKIDYGVVGIHHVGILCENLERSLEFYQNILGLEINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPLIGRPEHGGRDRHACISIQDVSKLQAILDKADSHAIL >KJB65213 pep chromosome:Graimondii2_0_v6:10:12723802:12725420:-1 gene:B456_010G084800 transcript:KJB65213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFEYPCSSISFSFSFGFQLNRSSAVSANTPSKICHTFPGNGRCQRLSFKTKAAGSVEGHVLDKESASISDKIDYGVVGIHHVGILCENLERSLEFYQNILGLEINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPLIGRPEHGGRDRHACISIQDVSKLQAILDKAGIPYTLSRSGRPAIFTRDPDANALEFTQV >KJB65215 pep chromosome:Graimondii2_0_v6:10:12723802:12725731:-1 gene:B456_010G084800 transcript:KJB65215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQFWMELHLSIVIDVDYGVVGIHHVGILCENLERSLEFYQNILGLEINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPLIGRPEHGGRDRHACISIQDVSKLQAILDKAGIPYTLSRSGRPAIFTRDPDANALEFTQV >KJB65217 pep chromosome:Graimondii2_0_v6:10:12723802:12726061:-1 gene:B456_010G084800 transcript:KJB65217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLSLSAAISLRHKLNRSSAVSANTPSKICHTFPGNGRCQRLSFKTKAAGSVEGHVLDKESASISDKIDYGVVGIHHVGILCENLERSLEFYQNILGLEINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPLIGRPEHGGRDRHACISIQDVSKLQAILDKAGIPYTLSRSGRPAIFTRDPDANALEFTQV >KJB64305 pep chromosome:Graimondii2_0_v6:10:3918617:3920529:-1 gene:B456_010G042000 transcript:KJB64305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTPVRKPHTSTADLLTWSETPQPDFTASAARSTRPHQPSDGIRKVVFGGQVTDEEFESLNKRKPCSGYKMKEMTGSGIFAADGENDESEPGSANPTPNNKTAIRMYQQSLAGISHISFAEEESITPKKPTTLPEVAKQRELSGTLQSEEAKLKKQLSDAKSKELGGHDIFAPPPEIVPRPTTVRALALKDNFDMGEPDTHKVSTC >KJB64302 pep chromosome:Graimondii2_0_v6:10:3917953:3920529:-1 gene:B456_010G042000 transcript:KJB64302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMTGSGIFAADGENDESEPGSANPTPNNKTAIRMYQQSLAGISHISFAEEESITPKKPTTLPEVAKQRELSGTLQSEEAKLKKQLSDAKSKELGGHDIFAPPPEIVPRPTTVRALALKDNFDMGEPDTHKPAGGSMPSEETVVKTAKKIHNQKFAELSGNDIFKGDVPPGSAEKPLSVAKLREMSGSNIFAEGKVESRVSSGRKPPGGESTIALV >KJB64303 pep chromosome:Graimondii2_0_v6:10:3918299:3919323:-1 gene:B456_010G042000 transcript:KJB64303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMTGSGIFAADGENDESEPGSANPTPNNKTAIRMYQQSLAGISHISFAEEESITPKKPTTLPEVAKQRELSGTLQSEEAKLKKQLSDAKSKELGGHDIFAPPPEIVPRPTTVRALALKDNFDMGEPDTHKPAGGSMPSEETVVKTAKKIHNQKFAELSGNDIFKGDVPPGSAEKPLSVAKLREMSGSNIFAEGKVESRVSSGRKPPGGESTIALV >KJB64301 pep chromosome:Graimondii2_0_v6:10:3917953:3919438:-1 gene:B456_010G042000 transcript:KJB64301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMTGSGIFAADGENDESEPGSANPTPNNKTAIRMYQQSLAGISHISFAEEESITPKKPTTLPEVAKQRELSGTLQSEEAKLKKQLSDAKSKELGGHDIFAPPPEIVPRPTTVRALALKDNFDMGEPDTHKPAGGSMPSEETVVKTAKKIHNQKFAELSGNDIFKGDVPPGSAEKPLSVAKLREMSGSNIFAEGKVESRVSSGRKPPGGESTIALV >KJB64304 pep chromosome:Graimondii2_0_v6:10:3917953:3920531:-1 gene:B456_010G042000 transcript:KJB64304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTPVRKPHTSTADLLTWSETPQPDFTASAARSTRPHQPSDGIRKVVFGGQVTDEEFESLNKRKPCSGYKMKEMTGSGIFAADGENDESEPGSANPTPNNKTAIRMYQQSLAGISHISFAEEESITPKKPTTLPEVAKQRELSGTLQSEEAKLKKQLSDAKSKELGGHDIFAPPPEIVPRPTTVRALALKDNFDMGEPDTHKPAGGSMPSEETVVKTAKKIHNQKFAELSGNDIFKGDVPPGSAEKPLSVAKLREMSGSNIFAEGKVESRVSSGRKPPGGESTIALV >KJB67686 pep chromosome:Graimondii2_0_v6:10:56896744:56909051:1 gene:B456_010G203700 transcript:KJB67686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQEDESRAGGEHHGKQDDEEAVARLEEIKKSIEGKVALRQTNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEELKEGLMEELRSVNLSKFVSEAVSAICDAKLKSSDIQAAVQICSLLHQRYKDFSPSLIQGLLKVFFPGKSGDDLDADRNLKAMKKRSTLKLLLELYFVGVIEDNGIFINIIKDLTSTEHLKDRDATQTNLTLLASFARQGRVFLGLPVSGQEIQEEFFKGLGITADQKKTFRKAFNLYYDAVTELLQSEHASLRQMEHENAKILNAKGELSEENASSYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDSHTTRVTTGDDASSTSGKESSNLEAIWDDDDTRAFYECLPDLRAFVPAVLLGESESKASEQTSKAQEQPTESSSEADQSTPVAQDAVDISADSGTLQEGKSVEKGKDKEEKDKEKTKDPDKEKGKEKEKEKEKEKEKDTDKKGENEKEKLKGSEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKRLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVPSMLLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKISSPGLVFSCLKTCLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFTDLDKSSIEHVLRQLRKLPWIECESYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYLILVFGHDTAEQDILDPPEDCFRIRMVITLLQTCGHYFDRGSSKRKLDRFLVHFQRYILSKGALPLDIEFDLQDLFAELRPNMTRYSSMEEVNAALVELEEHEHSASTDKTVNEKHSDTEKPSSRTTSHSISTDQPSILNGSEENGGVHEEIGDSYSESGSETIEPEGHNEDDLDEENHDDGCDTDEEDEDDGPASDEDDEVHVRQKVAEPDPLEVASFDQELRAVVQAKHGATQVGAAGPTYIKHDDTNECI >KJB67689 pep chromosome:Graimondii2_0_v6:10:56900008:56909051:1 gene:B456_010G203700 transcript:KJB67689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRSTLKLLLELYFVGVIEDNGIFINIIKDLTSTEHLKDRDATQTNLTLLASFARQGRVFLGLPVSGQEIQEEFFKGLGITADQKKTFRKAFNLYYDAVTELLQSEHASLRQMEHENAKILNAKGELSEENASSYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDSHTTRVTTGDDASSTSGKESSNLEAIWDDDDTRAFYECLPDLRAFVPAVLLGESESKASEQTSKAQEQPTESSSEADQSTPVAQDAVDISADSGTLQEGKSVEKGKDKEEKDKEKTKDPDKEKGKEKEKEKEKEKEKDTDKKGENEKEKLKGSEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKRLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVPSMLLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKISSPGLVFSCLKTCLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFTDLDKSSIEHVLRQLRKLPWIECESYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYLILVFGHDTAEQDILDPPEDCFRIRMVITLLQTCGHYFDRGSSKRKLDRFLVHFQRYILSKGALPLDIEFDLQDLFAELRPNMTRYSSMEEVNAALVELEEHEHSASTDKTVNEKHSDTEKPSSRTTSHSISTDQPSILNGSEENGGVHEEIGDSYSESGSETIEPEGHNEDDLDEENHDDGCDTDEEDEDDGPASDEDDEVHVRQKVAEPDPLEVASFDQELRAVVQESMEQRKLELRGRPTLNMMIPMNVFEGSIKDHHGRVVGGESGDEALDEEAGGSREVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAEFEEKQDIKRLVLEYNDRVEEENNGLGTQTLNWPSGNSRAYGRGNSWEGSSGRSGGSRHRHHSHSGSGSYYGRKK >KJB67687 pep chromosome:Graimondii2_0_v6:10:56897791:56909051:1 gene:B456_010G203700 transcript:KJB67687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQEDESRAGGEHHGKQDDEEAVARLEEIKKSIEGKVALRQTNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEELKEGLMEELRSVNLSKFVSEAVSAICDAKLKSSDIQAAVQICSLLHQRYKDFSPSLIQGLLKVFFPGKSGDDLDADRNLKAMKKRSTLKLLLELYFVGVIEDNGIFINIIKDLTSTEHLKDRDATQTNLTLLASFARQGRVFLGLPVSGQEIQEEFFKGLGITADQKKTFRKAFNLYYDAVTELLQSEHASLRQMEHENAKILNAKGELSEENASSYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDSHTTRVTTGDDASSTSGKESSNLEAIWDDDDTRAFYECLPDLRAFVPAVLLGESESKASEQTSKAQEQPTESSSEADQSTPVAQDAVDISADSGTLQEGKSVEKGKDKEEKDKEKTKDPDKEKGKEKEKEKEKEKEKDTDKKGENEKEKLKGSEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKRLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVPSMLLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKISSPGLVFSCLKTCLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFTDLDKSSIEHVLRQLRKLPWIECESYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYLILVFGHDTAEQDILDPPEDCFRIRMVITLLQTCGHYFDRGSSKRKLDRFLVHFQRYILSKGALPLDIEFDLQDLFAELRPNMTRYSSMEEVNAALVELEEHEHSASTDKTVNEKHSDTEKPSSRTTSHSISTDQPSILNGSEENGGVHEEIGDSYSESGSETIEPEGHNEDDLDEENHDDGCDTDEEDEDDGPASDEDDEVHVRQKVAEPDPLEVASFDQELRAVVQESMEQRKLELRGRPTLNMMIPMNVFEGSIKDHHGRVVGGESGDEALDEEAGGSREVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAEFEEKQDIKRLVLEYNDRVEEENNGLGTQTLNWPSGNSRAYGRGNSWEGSSGRSGGSRHRHHSHSGSGSYYGRKK >KJB67685 pep chromosome:Graimondii2_0_v6:10:56896702:56909114:1 gene:B456_010G203700 transcript:KJB67685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQEDESRAGGEHHGKQDDEEAVARLEEIKKSIEGKVALRQTNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEELKEGLMEELRSVNLSKFVSEAVSAICDAKLKSSDIQAAVQICSLLHQRYKDFSPSLIQGLLKVFFPGKSGDDLDADRNLKAMKKRSTLKLLLELYFVGVIEDNGIFINIIKDLTSTEHLKDRDATQTNLTLLASFARQGRVFLGLPVSGQEIQEEFFKGLGITADQKKTFRKAFNLYYDAVTELLQSEHASLRQMEHENAKILNAKGELSEENASSYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDSHTTRVTTGDDASSTSGKESSNLEAIWDDDDTRAFYECLPDLRAFVPAVLLGESESKASEQTSKAQEQPTESSSEADQSTPVAQDAVDISADSGTLQEGKSVEKGKDKEEKDKEKTKDPDKEKGKEKEKEKEKEKEKDTDKKGENEKEKLKGSEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKRLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVPSMLLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKISSPGLVFSCLKTCLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFTDLDKSSIEHVLRQLRKLPWIECESYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYLILVFGHDTAEQDILDPPEDCFRIRMVITLLQTCGHYFDRGSSKRKLDRFLVHFQRYILSKGALPLDIEFDLQDLFAELRPNMTRYSSMEEVNAALVELEEHEHSASTDKTVNEKHSDTEKPSSRTTSHSISTDQPSILNGSEENGGVHEEIGDSYSESGSETIEPEGHNEDDLDEENHDDGCDTDEEDEDDGPASDEDDEVHVRQKVAEPDPLEVASFDQELRAVVQESMEQRKLELRGRPTLNMMIPMNVFEGSIKDHHGRVVGGESGDEALDEEAGGSREVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAEFEEKQDIKRLVLEYNDRVEEENNGLGTQTLNWPSGNSRAYGRGNSWEGSSGRSGGSRHRHHSHSGSGSYYGRKK >KJB67688 pep chromosome:Graimondii2_0_v6:10:56898446:56909051:1 gene:B456_010G203700 transcript:KJB67688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFFFLLVLDSGFLRTLDSSIKRNTAVIKKLKQINEELKEGLMEELRSVNLSKFVSEAVSAICDAKLKSSDIQAAVQICSLLHQRYKDFSPSLIQGLLKVFFPGKSGDDLDADRNLKAMKKRSTLKLLLELYFVGVIEDNGIFINIIKDLTSTEHLKDRDATQTNLTLLASFARQGRVFLGLPVSGQEIQEEFFKGLGITADQKKTFRKAFNLYYDAVTELLQSEHASLRQMEHENAKILNAKGELSEENASSYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDSHTTRVTTGDDASSTSGKESSNLEAIWDDDDTRAFYECLPDLRAFVPAVLLGESESKASEQTSKAQEQPTESSSEADQSTPVAQDAVDISADSGTLQEGKSVEKGKDKEEKDKEKTKDPDKEKGKEKEKEKEKEKEKDTDKKGENEKEKLKGSEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKRLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVPSMLLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKISSPGLVFSCLKTCLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFTDLDKSSIEHVLRQLRKLPWIECESYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYLILVFGHDTAEQDILDPPEDCFRIRMVITLLQTCGHYFDRGSSKRKLDRFLVHFQRYILSKGALPLDIEFDLQDLFAELRPNMTRYSSMEEVNAALVELEEHEHSASTDKTVNEKHSDTEKPSSRTTSHSISTDQPSILNGSEENGGVHEEIGDSYSESGSETIEPEGHNEDDLDEENHDDGCDTDEEDEDDGPASDEDDEVHVRQKVAEPDPLEVASFDQELRAVVQESMEQRKLELRGRPTLNMMIPMNVFEGSIKDHHGRVVGGESGDEALDEEAGGSREVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAEFEEKQDIKRLVLEYNDRVEEENNGLGTQTLNWPSGNSRAYGRGNSWEGSSGRSGGSRHRHHSHSGSGSYYGRKK >KJB67949 pep chromosome:Graimondii2_0_v6:10:58908194:58915032:1 gene:B456_010G220100 transcript:KJB67949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRRTEEVVEKKKKNNNNERILRLGNYELGRTLGEGNFAKVKLAKHLHSSLPFAVKILDKSNLIQLKIADQIKREISTLKLLKHPNVVRLHEVLASKTKIYMVLEYATGGELFDKIASNGKLSEAEGRKFFQQLIDGVGYCHDKGVFHRDLKLENVLIDAKGNIKISDFGLSALPQHIGEDGLLHTTCGSPNYVAPEVLANRGYNGATSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDTRIPKWLSSGARNMIKRILDPNPNTRITMAGIKNDEWFKQDYSPAVPDDEEEDTYFDDEAFSMSEMAYDGNKSPESPTLINAFQLIGMSSYLDLSGFFEKEDVSERKIRFTSNHSAKDLVARIQDIATEMGFRVQMKNRRLKATREHRGQKCVGSLSIAAEVIEISPSLYVVELRKSYGDSTVYRQLCTKLSKDLGVPMGQGQGLISIEA >KJB67950 pep chromosome:Graimondii2_0_v6:10:58908543:58914866:1 gene:B456_010G220100 transcript:KJB67950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRRTEEVVEKKKKNNNNERILRLGNYELGRTLGEGNFAKVKLAKHLHSSLPFAVKILDKSNLIQLKIADQIKREISTLKLLKHPNVVRLHEVLASKTKIYMVLEYATGGELFDKIASNGKLSEAEGRKFFQQLIDGVGYCHDKGVFHRDLKLENVLIDAKGNIKISDFGLSALPQHIGEDGLLHTTCGSPNYVAPEVLANRGYNGATSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDTRIPKWLSSGARNMIKRILDPNPNTRITMAGIKNDEWFKQDYSPAVPDDEEEDTYFDDEAFSMSEMAYDGNKSPESPTLINAFQLIGMSSYLDLSGFFEKEDVSERKIRFTSNHSAKDLVARIQDIATEMGFRVQMKNRRLKATREHRGQKCVGSLSIAAEVIEISPSLYVVELRKSYGDSTVYRQLCTKLSKDLGVPMGQGQGLISIEA >KJB66836 pep chromosome:Graimondii2_0_v6:10:50713547:50716376:1 gene:B456_010G174000 transcript:KJB66836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEPVNVNEFQELARQALPKIYYDYYSGGAEDEYTLKQNVEAFQGITIRPRILVDISRIDLSTTVLGYKISAPILIAPSAKHKWAHPEGEVATARAAAILSYRSTCTIEEVASSCNAVRFFQCYVYKRRDISANLVQRAERCGYKAIVLTVDSPRLGRREKDIKNKMVNPQLKNFEGLVSTQVSTDDGSNIEAFDANTAFDASLSWKDIGWLRSITNLPVLLKGVLTHEDAIKAVEVGVDGIVVSNHGARQLDYVPPSISVLEEVKPLILAVGPACSSQLLDFST >KJB66830 pep chromosome:Graimondii2_0_v6:10:50713547:50715803:1 gene:B456_010G174000 transcript:KJB66830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEPVNVNEFQELARQALPKIYYDYYSGGAEDEYTLKQNVEAFQGITIRPRILVDISRIDLSTTVLGYKISAPILIAPSAKHKWAHPEGEVATARAAAACDTIMILSYRSTCTIEEVASSCNAVRFFQCYVLCCSNYSSESSFFVSYFKSQKGTEFIRD >KJB66829 pep chromosome:Graimondii2_0_v6:10:50713547:50716312:1 gene:B456_010G174000 transcript:KJB66829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEPVNVNEFQELARQALPKIYYDYYSGGAEDEYTLKQNVEAFQGITIRPRILVDISRIDLSTTVLGYKISAPILIAPSAKHKWAHPEGEVATARAAAACDTIMILSYRSTCTIEEVASSCNAVRFFQCYVYKRRDISANLVQRAERCGYKAIVLTVDSPRLGRREKDIKNKMVNPQLKNFEGLVSTQVSTVSDTLFFPIIAKYTSMQCLTTAILMERNMH >KJB66839 pep chromosome:Graimondii2_0_v6:10:50713875:50716376:1 gene:B456_010G174000 transcript:KJB66839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSYRSTCTIEEVASSCNAVRFFQCYVYKRRDISANLVQRAERCGYKAIVLTVDSPRLGRREKDIKNKMVNPQLKNFEGLVSTQVSTDDGSNIEAFDANTAFDASLSWKDIGWLRSITNLPVLLKGVLTHEDAIKAVEVGVDGIVVSNHGARQLDYVPPSISVLEEVKPLILAVGPACSSQLLDFST >KJB66833 pep chromosome:Graimondii2_0_v6:10:50713547:50716376:1 gene:B456_010G174000 transcript:KJB66833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPRILVDISRIDLSTTVLGYKISAPILIAPSAKHKWAHPEGEVATARAAAACDTIMILSYRSTCTIEEVASSCNAVRFFQCYVYKRRDISANLVQRAERCGYKAIVLTVDSPRLGRREKDIKNKMVNPQLKNFEGLVSTQVSTDDGSNIEAFDANTAFDASLSWKDIGWLRSITNLPVLLKGVLTHEDAIKAVEVGVDGIVVSNHGARQLDYVPPSISVLEEVKPLILAVGPACSSQLLDFST >KJB66831 pep chromosome:Graimondii2_0_v6:10:50713547:50716311:1 gene:B456_010G174000 transcript:KJB66831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEPVNVNEFQELARQALPKIYYDYYSGGAEDEYTLKQNVEAFQGITIRPRILVDISRIDLSTTVLGYKISAPILIAPSAKHKWAHPEGEVATARAAAACDTIMILSYRSTCTIEEVASSCNAVRFFQCYVYKRRDISANLVQRAERCGYKAIVLTVDSPRLGRREKDIKNKMVNPQLKNFEGLVSTQVSTDDGSNIEAFDANTAFDASLSWKDIGWLRSITNLPVLLKGVLTHEDACVLDIKRVA >KJB66828 pep chromosome:Graimondii2_0_v6:10:50713341:50716489:1 gene:B456_010G174000 transcript:KJB66828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEPVNVNEFQELARQALPKIYYDYYSGGAEDEYTLKQNVEAFQGITIRPRILVDISRIDLSTTVLGYKISAPILIAPSAKHKWAHPEGEVATARAAAACDTIMILSYRSTCTIEEVASSCNAVRFFQCYVYKRRDISANLVQRAERCGYKAIVLTVDSPRLGRREKDIKNKMVNPQLKNFEGLVSTQVSTDDGSNIEAFDANTAFDASLSWKDIGWLRSITNLPVLLKGVLTHEDAIKAVEVGVDGIVVSNHGARQLDYVPPSISVLEEVKPLILAVGPACSSQLLDFST >KJB66838 pep chromosome:Graimondii2_0_v6:10:50713547:50716376:1 gene:B456_010G174000 transcript:KJB66838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEPVNVNEFQELARQALPKIYYDYYSGGAEDEYTLKQNVEAFQGITIRPRILVDISRIDLSTTVLGYKISAPILIAPSAKHKWAHPEGEVATARAAAACDTIMILSYRSTCTIEEVASSCNAVRFFQCYVYKRRDISANLVQRAERCGYKAIVLTVDSPRLGRREKDIKNKMVNPQLKNFEGLVSTQVSTDDGSNIEAFDANTAFDASLSWKDIGWLRSITNLPVLLKGVLTHEDAIKAVEVGVDGIVVSNHGARQLDYVPPSISVLEEVKPLILAVGPACSSQLLDFST >KJB66832 pep chromosome:Graimondii2_0_v6:10:50714168:50714888:1 gene:B456_010G174000 transcript:KJB66832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEPVNVNEFQELARQALPKIYYDYYSGGAEDEYTLKQNVEAFQGITIRPRILVDISRIDLSTTVLGYKISAPILIAPSAKHKWAHPEGEVATARAAAACDTIMILSYRSTCTIEEVASSCNAVRFFQCYVLCCSNYSSESSFFVSYFKSQKGTEFIRD >KJB66834 pep chromosome:Graimondii2_0_v6:10:50713875:50716376:1 gene:B456_010G174000 transcript:KJB66834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSYRSTCTIEEVASSCNAVRFFQCYVYKRRDISANLVQRAERCGYKAIVLTVDSPRLGRREKDIKNKMVNPQLKNFEGLVSTQVSTDDGSNIEAFDANTAFDASLSWKDIGWLRSITNLPVLLKGVLTHEDAIKAVEVGVDGIVVSNHGARQLDYVPPSISVLEEVKPLILAVGPACSSQLLDFST >KJB66837 pep chromosome:Graimondii2_0_v6:10:50713547:50716489:1 gene:B456_010G174000 transcript:KJB66837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSYRSTCTIEEVASSCNAVRFFQCYVYKRRDISANLVQRAERCGYKAIVLTVDSPRLGRREKDIKNKMVNPQLKNFEGLVSTQVSTDDGSNIEAFDANTAFDASLSWKDIGWLRSITNLPVLLKGVLTHEDAIKAVEVGVDGIVVSNHGARQLDYVPPSISVLEEVKPLILAVGPACSSQLLDFST >KJB66835 pep chromosome:Graimondii2_0_v6:10:50713547:50716376:1 gene:B456_010G174000 transcript:KJB66835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEPVNVNEFQELARQALPKIYYDYYSGGAEDEYTLKQNVEAFQGITIRPRILVDISRIDLSTTVLGYKISAPILIAPSAKHKWAHPEGEVATARAAAACDTIMILSYRSTCTIEEVASSCNAVRFFQCYVYKRRDISANLVQRAERCGYKAIVLTVDSPRLGRREKDIKNKMVNPQLKNFEGLVSTQVSTDIGWLRSITNLPVLLKGVLTHEDAIKAVEVGVDGIVVSNHGARQLDYVPPSISVLEEVKPLILAVGPACSSQLLDFST >KJB66841 pep chromosome:Graimondii2_0_v6:10:50714715:50716376:1 gene:B456_010G174000 transcript:KJB66841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILSYRSTCTIEEVASSCNAVRFFQCYVYKRRDISANLVQRAERCGYKAIVLTVDSPRLGRREKDIKNKMVNPQLKNFEGLVSTQVSTDDGSNIEAFDANTAFDASLSWKDIGWLRSITNLPVLLKGVLTHEDAIKAVEVGVDGIVVSNHGARQLDYVPPSISVLEEVKPLILAVGPACSSQLLDFST >KJB66840 pep chromosome:Graimondii2_0_v6:10:50713678:50716489:1 gene:B456_010G174000 transcript:KJB66840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEPVNVNEFQELARQALPKIYYDYYSGGAEDEYTLKQNVEAFQGITIRPRILVDISRIDLSTTVLGYKISAPILIAPSAKHKWAHPEGEVATARAAAACDTIMILSYRSTCTIEEVASSCNAVRFFQCYVYKRRDISANLVQRAERCGYKAIVLTVDSPRLGRREKDIKNKMVNPQLKNFEGLVSTQVSTDDGSNIEAFDANTAFDASLSWKDIGWLRSITNLPVLLKGVLTHEDAIKAVEVGVDGIVVSNHGARQLDYVPPSISVLEEVKPLILAVGPACSSQLLDFST >KJB64206 pep chromosome:Graimondii2_0_v6:10:3433985:3435028:-1 gene:B456_010G036900 transcript:KJB64206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYYYSPPPPPSSPPSPPPYPVSPPTPTTVPVSPPHAPSHHFAPPKPTPSIPSSPTTPTTPPRKTTPPSIPSHPPSPGKAPTPPTAAAPPVIPSKGSPPHSSSPPTKPPKSSSPPHFPPPPKSSPGKVPPPAAAPGPYSSKTPPAGAKPPKSSSSPSYSPPPKSPPGKVPPPAAAPGPYISKTPPGAAKPPKSSSPSYSPPPKLSPGSLPLAPTPSFSSLSPSSESEVTVPPSLPGGGGGGSHTTIIAVCVSLGGAFFLAFLLVGLICLAKRKKKPVMAPAAAAASSSDEESEGTSASSPTTPHAAEQTVAVPMHQHGSNIQAVGAGGSHCEPGLSVDGSSHNRDC >KJB64619 pep chromosome:Graimondii2_0_v6:10:6644425:6645997:1 gene:B456_010G057600 transcript:KJB64619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSRRTSGPVLRSLSPSGRFCSHYASQSSSSSSSFAFSSSSFSSRSSTFFNQHRSISPPRVNMYNHSSSAPSVRFSLDNRPISPNRSIATIRKNTDAVQNLEKRQQKRTCMCSPTTHPGSFRCSLHKGFNNSNAVSSYAPSNRLNARRSAMTNSLVRIGGVEGDLVKRALSALIRPSSHQQRRRSAFQPRPSRLSVMSKAEDL >KJB64618 pep chromosome:Graimondii2_0_v6:10:6644425:6645569:1 gene:B456_010G057600 transcript:KJB64618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSRRTSGPVLRSLSPSGRFCSHYASQSSSSSSSFAFSSSSFSSRSSTFFNQHRSISPPRVNMYNHSSSAPSVRFSLDNRPISPNRSIATIRKNTDAVQNLEKRQQKRTCMCSPTTHPGSFRCIRFRRRGWRSGRRIRDGYDF >KJB68560 pep chromosome:Graimondii2_0_v6:10:61768987:61772768:1 gene:B456_010G250500 transcript:KJB68560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKENNIALSSAGTSRVRQRKRSNEVIPEPSKPNGGTLLVDDRNKYKSMWIRTYSTVWMIGGFALVVYMGHLYITAMVVVIQIFMAKELFNLLRKAHEDEHLPGFRLLNWHFFFTAMLFVYGRLLSQPLVNSVTPDKFLYQFVSSLIKYQMAICYFLYIAGFMWFILTLKKNMYKYQFRQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIFAYVVGFFFGRTPLIKLSPKKTWEGFIGASVTTMISAFVLANILGRFQWLTCPRKDLSTGWLQCDPGPLFKPEYYTLPGWISQWVSLHIIFI >KJB68559 pep chromosome:Graimondii2_0_v6:10:61768842:61774003:1 gene:B456_010G250500 transcript:KJB68559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKENNIALSSAGTSRVRQRKRSNEVIPEPSKPNGGTLLVDDRNKYKSMWIRTYSTVWMIGGFALVVYMGHLYITAMVVVIQIFMAKELFNLLRKAHEDEHLPGFRLLNWHFFFTAMLFVYGRLLSQPLVNSVTPDKFLYQFVSSLIKYQMAICYFLYIAGFMWFILTLKKNMYKYQFRQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIFAYVVGFFFGRTPLIKLSPKKTWEGFIGASVTTMISAFVLANILGRFQWLTCPRKDLSTGWLQCDPGPLFKPEYYTLPGWISQWFPWKEISILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFIVREGISVEMILDQVLTNLTFEEQQTLLAKLGQILQDRLGHS >KJB68561 pep chromosome:Graimondii2_0_v6:10:61768987:61773492:1 gene:B456_010G250500 transcript:KJB68561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKENNIALSSAGTSRVRQRKRSNEVIPEPSKPNGGTLLVDDRNKYKSMWIRTYSTVWMIGGFALVVYMGHLYITAMVVVIQIFMAKELFNLLRKAHEDEHLPGFRLLNWHFFFTAMLFVYGRLLSQPLVNSVTPDKFLYQFVSSLIKYQMAICYFLYIAGFMWFILTLKKNMYKYQFRQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIFAYVVGFFFGRTPLIKLSPKKTWEGFIGASVTTMISAFVLANILGRFQWLTCPRKDLSTGWLQCDPGPLFKPEYYTLPGWISQWFPWKEISILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQVRKLGLFILIEHLN >KJB67726 pep chromosome:Graimondii2_0_v6:10:57233095:57234580:1 gene:B456_010G206400 transcript:KJB67726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRACIIAVPIIWLNSQLNQFYCEVVFSTWPISSKVINATVSYTSSENLFMAMVGMHLSSVKFPIKDISATFCSPNLTSESLLRNIINKACDHSLAMMTNCLIFMKPVATRSEGEMFCCG >KJB67725 pep chromosome:Graimondii2_0_v6:10:57233095:57234580:1 gene:B456_010G206400 transcript:KJB67725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRACIIAVPIIWLNSQLNQFYCEVVFSTWPISSKVINATVSYTSSENLFMAMVGMHLSSVKFPIKDISATFCSPNLTSESLLRNIINKACDHSLAMMTNCLIFMKPVATRSEGEMFCCG >KJB67265 pep chromosome:Graimondii2_0_v6:10:53245061:53248431:-1 gene:B456_010G183000 transcript:KJB67265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKAAAVSPVLVRHVIWLGWKLVVFLSFALCFIALLRLHFYPDISSPTNSLSRTRWRSRIPRYNFDGPPKIAFLFLARLNLPLDFLWGSFFENADAANFSIYIHSAPGFVFDETTSRSHFFYNRQLTDSIQVAWGESSMIEAERLLLATALQDPANQRFVLLSDSCVPLYNFSYIYSYLMASSRSFVDSFLDLKDGRYHPKMAPVVPRDKWRKGSQWISLIRSHAEVVVDDEVVLPVFKKFCKRRPAVDTSKGKLNLKLQKQHNCIPDEHYVPTLIAMSELEGDIERRTLTYTLWNQSATKMDNKAWHPVTFNYADASPKRLKEIKDINHVHYESEARMEWCQTNSTFVPCFLFARKFSRGAAMRLLSEGVVGPFDASLLLGNS >KJB67264 pep chromosome:Graimondii2_0_v6:10:53245061:53248170:-1 gene:B456_010G183000 transcript:KJB67264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKAAAVSPVLVRHVIWLGWKLVVFLSFALCFIALLRLHFYPDISSPTNSLSRTRWRSRIPRYNFDGPPKIAFLFLARLNLPLDFLWGSFFENADAANFSIYIHSAPGFVFDETTSRSHFFYNRQLTDSIQVAWGESSMIEAERLLLATALQDPANQRFVLLSDSCVPLYNFSYIYSYLMASSRSFVDSFLDLKDGRYHPKMAPVVPRDKWRKGSQWISLIRSHAEVVVDDEVVLPVFKKFCKRRPAVDTSKGKLNLKLQKQHNCIPDEHYVPTLIACFLFLSCQMSELEGDIERRTLTYTLWNQSATKMDNKAWHPVTFNYADASPKRLKEIKDINHVHYESEARMEWCQTNSTFVPCFLFARKFSRGAAMRLLSEGVVGPFDASLLLGNS >KJB63347 pep chromosome:Graimondii2_0_v6:10:13707809:13708141:1 gene:B456_010G089400 transcript:KJB63347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVLQIVFILPISPHLLGTATGIQNSCCRDSSIKRLYRKGRDLAWVGTRTAKGGGFIVCDGQSL >KJB66305 pep chromosome:Graimondii2_0_v6:10:30330477:30334581:1 gene:B456_010G134800 transcript:KJB66305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTCVKMSEDVWLTCLTHALSTETEEIMGLLLGDIEYSKDGNVTALIWGASPQSRSDRRKDRVETNPEQLAAASAQADRMTASTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCFSEDTNKVGRIQVIAFQSSDGKQNHVTGPIALSPLNRSSVIDLESSLSSSENPLLTSGSAEVDSHMQDTSDSRPVQGSAKSGIRSVDLGGFFANADANYLGQEQGRGYYKTNNIQNAVVDIDPMDMSESMQEAMHRSNLDMSGAEYVRKEIPLHVLPTSSFVNLDSPLKSFTDLQRVLYEEERAAYNQAILQTMRDGKVHPLSFIHHTSTYQASMCKLIEYCLSPAISALQDRLKENEVRVRN >KJB66306 pep chromosome:Graimondii2_0_v6:10:30330508:30335753:1 gene:B456_010G134800 transcript:KJB66306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCFSEDTNKVGRIQVIAFQSSDGKQNHVTGPIALSPLNRSSVIDLESSLSSSENPLLTSGSAEVDSHMQDTSDSRPVQGSAKSGIRSVDLGGFFANADANYLGQEQGRGYYKTNNIQNAVVDIDPMDMSESMQEAMHRSNLDMSGAEYVRKEIPLHVLPTSSFVNLDSPLKSFTDLQRVLYEEERAAYNQAILQTMRDGKVHPLSFIHHTSTYQASMCKLIEYCLSPAISALQDRLKENEVRLAVLTDEAKMLETEASKGSGPSSPHTVSHGFRGSTSVGQRDLHSSTEPISMRTFSGPGSRSRKRS >KJB66307 pep chromosome:Graimondii2_0_v6:10:30332519:30335753:1 gene:B456_010G134800 transcript:KJB66307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQLLDSGFIGLIFSCFSEDTNKVGRIQVIAFQSSDGKQNHVTGPIALSPLNRSSVIDLESSLSSSENPLLTSGSAEVDSHMQDTSDSRPVQGSAKSGIRSVDLGGFFANADANYLGQEQGRGYYKTNNIQNAVVDIDPMDMSESMQEAMHRSNLDMSGAEYVRKEIPLHVLPTSSFVNLDSPLKSFTDLQRVLYEEERAAYNQAILQTMRDGKVHPLSFIHHTSTYQASMCKLIEYCLSPAISALQDRLKENEVRLAVLTDEAKMLETEASKGSGPSSPHTVSHGFRGSTSVGQRDLHSSTEPISMRTFSGPGSRSRKRS >KJB66304 pep chromosome:Graimondii2_0_v6:10:30330323:30335780:1 gene:B456_010G134800 transcript:KJB66304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTCVKMSEDVWLTCLTHALSTETEEIMGLLLGDIEYSKDGNVTALIWGASPQSRSDRRKDRVETNPEQLAAASAQADRMTASTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCFSEDTNKVGRIQVIAFQSSDGKQNHVTGPIALSPLNRSSVIDLESSLSSSENPLLTSGSAEVDSHMQDTSDSRPVQGSAKSGIRSVDLGGFFANADANYLGQEQGRGYYKTNNIQNAVVDIDPMDMSESMQEAMHRSNLDMSGAEYVRKEIPLHVLPTSSFVNLDSPLKSFTDLQRVLYEEERAAYNQAILQTMRDGKVHPLSFIHHTSTYQASMCKLIEYCLSPAISALQDRLKENEVRLAVLTDEAKMLETEASKGSGPSSPHTVSHGFRGSTSVGQRDLHSSTEPISMRTFSGPGSRSRKRS >KJB66398 pep chromosome:Graimondii2_0_v6:10:42999911:43000809:1 gene:B456_010G155700 transcript:KJB66398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQDFALTEGDVITEVVEGVPLITFFDRVQDFIERRMSRTVIVKMLGGRIGFNASLNKISLLWSPRCPIQLMDLKNDFFLVRGMYYSNYLFRVIGQTVGLVVKLDVHTDCARRGYLARLAVCIDLRKSLVSKVKINDSLQRVEYESLPNICFKCGHYGHGVDLCTGVKSTNFEKIGSGVGYARGRVSTLVTPKIGTELIV >KJB64724 pep chromosome:Graimondii2_0_v6:10:7652155:7653468:1 gene:B456_010G062000 transcript:KJB64724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMELQLGLALPGSDTWSRPLLKLGLYEDINVNRKKRGFDHGYLEDEFSAASFKNYEKGLVGWPPVETWRRKKLRCQVHNHMTAAENGYCGGRASKSTYVKVKMEGVVIARKIDISIHQSFETLTTTLMTMFDIFSHFPFIFGSVDENRRSFKLTYQDKEGDWLIAEDVPWRTFVRSLKCLKLIRSRG >KJB64723 pep chromosome:Graimondii2_0_v6:10:7652155:7653532:1 gene:B456_010G062000 transcript:KJB64723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMELQLGLALPGSDTWSRPLLKLGLYEDINVNRKKRGFDHGYLEDEFSAASFKNYEKGLVGWPPVETWRRKKLRCQVHNHMTAAENGYCGGRASKSTYVKVKMEGVVIARKIDISIHQSFETLTTTLMTMFDIFDENRRSFKLTYQDKEGDWLIAEDVPWRTFVRSLKCLKLIRSRG >KJB64725 pep chromosome:Graimondii2_0_v6:10:7652155:7653468:1 gene:B456_010G062000 transcript:KJB64725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMELQLGLALPGSDTWSRPLLKLGLYEDINVNRKKRGFDHGYLEDEFSAASFKNYEKGLVGWPPVETWRRKKLRCQVHNHMTAAENGYCGGRASKSTYVKVKMEGVVIARKIDISIHQSFETLTTTLMTMFDIFDENRRSFKLTYQDKEGDWLIAEDVPWRTFVRSLKCLKLIRSRG >KJB64722 pep chromosome:Graimondii2_0_v6:10:7652155:7652982:1 gene:B456_010G062000 transcript:KJB64722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMELQLGLALPGSDTWSRPLLKLGLYEDINVNRKKRGFDHGYLEDEFSAASFKNYEKGLVGWPPVETWRRKKLRCQVHNHMTAAENGYCGGRASKSTYVKVKMEGVVIARKIDISIHQSFETLTTTLMTMFDICKNLKWKILA >KJB64956 pep chromosome:Graimondii2_0_v6:10:10445056:10448293:1 gene:B456_010G073700 transcript:KJB64956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKQLETLLGDLQQFTTPKVELEQYPTGPHIASRLLYTAENSFDDISNKVVADFGCGCGTLGAAAALLGAEQVIGIDIDPQSLEIASMNAEDLELDIDFVQCDISNLGWRGQIVDTVVMNPPFGTRKKGIDMDFLFVALKVASQAVYSLHKTSTRDYIKRTALRDLNASSAEVLCELRFDVPQLYKFHKKKEVDIAVDLWRFVPKRSQVKDN >KJB64957 pep chromosome:Graimondii2_0_v6:10:10445123:10448280:1 gene:B456_010G073700 transcript:KJB64957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVELEQYPTGPHIASRLLYTAENSFDDISNKVVADFGCGCGTLGAAAALLGAEQVIGIDIDPQSLEIASMNAEDLELDIDFVQCDISNLGWRGQIVDTVVMNPPFGTRKKGIDMDFLFVALKVASQAVYSLHKTSTRDYIKRTALRDLNASSAEVLCELRFDVPQLYKFHKKKEVDIAVDLWRFVPKRSQVKDN >KJB63715 pep chromosome:Graimondii2_0_v6:10:917666:920324:1 gene:B456_010G012100 transcript:KJB63715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAMESSVVNGGGFTQLQQSYGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFGNMQWNGSDLASDDTQKSHRLRHKSHKSTGSSHKTTSRSLSCDSQSKSSVSTPQGSTVYIIYKTRFFAFYRFCQNMLVIYIFAYRKLTSAN >KJB63713 pep chromosome:Graimondii2_0_v6:10:917666:920324:1 gene:B456_010G012100 transcript:KJB63713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAMESSVVNGGGFTQLQQSYGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFGNMQWNGSDLASDDTQKSHRLRHKSHKSTGSSHKTTSRSLSCDSQSKSSVSTPQGSTKVDLSKLEMAALWRYWRHFNLVSPSVLGFTGTAF >KJB63712 pep chromosome:Graimondii2_0_v6:10:917545:920366:1 gene:B456_010G012100 transcript:KJB63712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAMESSVVNGGGFTQLQQSYGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFGNMQWNGSDLASDDTQKSHRLRHKSHKSTGSSHKTTSRSLSCDSQSKSSVSTPQGSTKVDLSKLEMAALWRYWRHFNLVDAIPNPSKEQLVDIVQRHFMSQQMDELQVIVGFVQAAKRLKTVCK >KJB63714 pep chromosome:Graimondii2_0_v6:10:917666:920324:1 gene:B456_010G012100 transcript:KJB63714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAMESSVVNGGGFTQLQQSYGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFGNMQWNGSDLASDDTQKSHRLRHKSHKSTGSSHKTTSRSLSCDSQSKSSVSTPQGSTVYIIYKTRFFAFYRFCQNMLVIYIFAYRKLTSAN >KJB66776 pep chromosome:Graimondii2_0_v6:10:47794817:47798968:1 gene:B456_010G165600 transcript:KJB66776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALQPSSLRPSSLKPLKNPKPNPHFPNVSTLPPRPAKKFSSITASSPTVSAPKREKDPKKRVVITGMGLVSVFGNDVDAYYEKLLAGESGIGIIDRFDASKFPTRFAGQIRGFTSESYIDGKNDRRLDDCLRYCIVAGKKALEDADLGADNLSKIDKERTGVLVGTGMGGLTVFSDGVQNLIEKGYRKITPFFIPYSITNMSSALLAIELGFMGPNYSISTACATSNYCFYAAANHIRRGEAELMIAGGTEAAIIPIGLGGFVACRALSQRNDDPRTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRGAPIIAEYLGGAVNCDAHHMTDPRADGFGVSSCIERSLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKNTSDIKINATKSMIGHCLGASGGLEAIATVKAITTGWLHPSINQFVCASCLLPCIADPQQWLSGSHLALSV >KJB66775 pep chromosome:Graimondii2_0_v6:10:47794817:47798968:1 gene:B456_010G165600 transcript:KJB66775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISAPITYPRSKAYSFIGFHNVQHLHQRIDKERTGVLVGTGMGGLTVFSDGVQNLIEKGYRKITPFFIPYSITNMSSALLAIELGFMGPNYSISTACATSNYCFYAAANHIRRGEAELMIAGGTEAAIIPIGLGGFVACRALSQRNDDPRTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRGAPIIAEYLGGAVNCDAHHMTDPRADGFGVSSCIERSLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKNTSDIKINATKSMIGHCLGASGGLEAIATVKAITTGWLHPSINQFNPEPSVEFDTVANQKKQHEVNVAISNSFGFGGHNSVVAFSAFKP >KJB66778 pep chromosome:Graimondii2_0_v6:10:47795586:47799074:1 gene:B456_010G165600 transcript:KJB66778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNIYTRELFLYSLEILSTIDKERTGVLVGTGMGGLTVFSDGVQNLIEKGYRKITPFFIPYSITNMSSALLAIELGFMGPNYSISTACATSNYCFYAAANHIRRGEAELMIAGGTEAAIIPIGLGGFVACRALSQRNDDPRTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRGAPIIAEYLGGAVNCDAHHMTDPRADGFGVSSCIERSLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKNTSDIKINATKSMIGHCLGASGGLEAIATVKAITTGWLHPSINQFNPEPSVEFDTVANQKKQHEVNVAISNSFGFGGHNSVVAFSAFKP >KJB66777 pep chromosome:Graimondii2_0_v6:10:47794817:47799074:1 gene:B456_010G165600 transcript:KJB66777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALQPSSLRPSSLKPLKNPKPNPHFPNVSTLPPRPAKKFSSITASSPTVSAPKREKDPKKRVVITGMGLVSVFGNDVDAYYEKLLAGESGIGIIDRFDASKFPTRFAGQIRGFTSESYIDGKNDRRLDDCLRYCIVAGKKALEDADLGADNLSKIDKERTGVLVGTGMGGLTVFSDGVQNLIEKGYRKITPFFIPYSITNMSSALLAIELGFMGPNYSISTACATSNYCFYAAANHIRRGEAELMIAGGTEAAIIPIGLGGFVACRALSQRNDDPRTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRGAPIIAEYLGGAVNCDAHHMTDPRADGFGVSSCIERSLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKNTSDIKINATKSMIGHCLGASGGLEAIATVKAITTGWLHPSINQFNPEPSVEFDTVANQKKQHEVNVAISNSFGFGGHNSVVAFSAFKP >KJB65121 pep chromosome:Graimondii2_0_v6:10:11875120:11879073:1 gene:B456_010G081700 transcript:KJB65121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MNSLLKFRRPLSSSLTSLSLQRLSTTLHYAFEEKKEGTSFIHPTAIVHPNAVIGQGVSVGPLCTIGPSAKLGNGCQMHPSSHIFGNTELGSHCVLMTGAVVGDDLPGHTVIGCNNIIGHHAVVGIKCQDMKYKLGDECFLDVGDNNEIREFTSIHRSSKSRDGTVIGDNNLIMGSCHIAHDCKIGNNNIFANTTLLAGHVIVEVSQDVPKYMMVSGDRAELRGLNFEGLRRCGFQVTEIKSLRTAYRKIFMPSNTNSMGFDERLSEVEHNEDLGSVPAVCSMLQSIRDSFTENRRGICKFRQWSSS >KJB65120 pep chromosome:Graimondii2_0_v6:10:11875592:11879073:1 gene:B456_010G081700 transcript:KJB65120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MHPSSHIFGNTELGSHCVLMTGAVVGDDLPGHTVIGCNNIIGHHAVVGIKCQDMKYKLGDECFLDVGDNNEIREFTSIHRSSKSRDGTVIGDNNLIMGSCHIAHDCKIGNNNIFANTTLLAGHVIVEDYVHTGGATVVHQFCYIGSFSFIGGGSVVSQDVPKYMMVSGDRAELRGLNFEGLRRCGFQVTEIKSLRTAYRKIFMPSNTNSMGFDERLSEVEHNEDLGSVPAVCSMLQSIRDSFTENRRGICKFRQWSSS >KJB65118 pep chromosome:Graimondii2_0_v6:10:11875081:11879131:1 gene:B456_010G081700 transcript:KJB65118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MNSLLKFRRPLSSSLTSLSLQRLSTTLHYAFEEKKEGTSFIHPTAIVHPNAVIGQGVSVGPLCTIGPSAKLGNGCQMHPSSHIFGNTELGSHCVLMTGAVVGDDLPGHTVIGCNNIIGHHAVVGIKCQDMKYKLGDECFLDVGDNNEIREFTSIHRSSKSRDGTVIGDNNLIMGSCHIAHDCKIGNNNIFANTTLLAGHVIVEDYVHTGGATVVHQFCYIGSFSFIGGGSVVSQDVPKYMMVSGDRAELRGLNFEGLRRCGFQVTEIKSLRTAYRKIFMPSNTNSMGFDERLSEVEHNEDLGSVPAVCSMLQSIRDSFTENRRGICKFRQWSSS >KJB65123 pep chromosome:Graimondii2_0_v6:10:11875416:11879073:1 gene:B456_010G081700 transcript:KJB65123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MHPSSHIFGNTELGSHCVLMTGAVVGDDLPGHTVIGCNNIIGHHAVVGIKCQDMKYKLGDECFLDVGDNNEIREFTSIHRSSKSRDGTVIGDNNLIMGSCHIAHDCKIGNNNIFANTTLLAGHVIVEDYVHTGGATVVHQFCYIGSFSFIGGGSVVSQDVPKYMMVSGDRAELRGLNFEGLRRCGFQVTEIKSLRTAYRKIFMPSNTNSMGFDERLSEVEHNEDLGSVPAVCSMLQSIRDSFTENRRGICKFRQWSSS >KJB65122 pep chromosome:Graimondii2_0_v6:10:11876186:11878253:1 gene:B456_010G081700 transcript:KJB65122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MKYKLGDECFLDVGDNNEIREFTSIHRSSKSRDGTVIGDNNLIMGSCHIAHDCKIGNNNIFANTTLLAGHVIVEDYVHTGGATVVHQFCYIGSFSFIGGGSVVSQDVPKYMMVSGDRAELRGLNFEGLRRCGFQVTEIKSLRTAYRKIFMPSNTNSMGFDERLSEVEHNEDLGSVPAVCSMLQSIRDSFTENRRGICKFRQWSSS >KJB65119 pep chromosome:Graimondii2_0_v6:10:11875120:11879131:1 gene:B456_010G081700 transcript:KJB65119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MNSLLKFRRPLSSSLTSLSLQRLSTTLHYAFEEKKEGTSFIHPTAIVHPNAVIGQGVSVGPLCTIGPSAKLGNGCQMHPSSHIFGNTELGSHCVLMTGAVVGDDLPGHTVIGCNNIIGHHAVVGIKCQDMKYKLGDECFLDVGDNNEIREFTSIHRSSKSRDGTVIGDNNLIMGSCHIAHDCKIGNNNIFANTTLLAGHVIVEDYVHTGGATVVHQFCYIGSFSFIGGGSVVSQDVPKYMMVSGDRAELRGLNFEGLRRCGFQVTEIKSLRTAYRKIFMPSNTNSMGFDERLSEVEHNEDLGSVPAVCSMLQSIRDSFTENRRGICKFRQWSSS >KJB67752 pep chromosome:Graimondii2_0_v6:10:57449636:57452039:1 gene:B456_010G208100 transcript:KJB67752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNENESMSHNESAQQITHRFHEEHPLVLVAEQSNEGLNAHCDGCGELLSAPCFTCIHCNFHLHKQCAEAPLEIPNHPLHPQHSNMGLFLQPRPGSYGWVCGCALCKERRNMFFYQCRWCYFSIDIKCAQLSSFKFSQLSKHDIHKHPLTFIESPMATDVPKRFNCSWCHEPLIDAIYLCPDCPFILHKKCFDHELPPEIDHLTHRLHPFILNRSDRHYLCNLCQKQHSGPFYGCSLCHFNINVECAWPRSTIEDKSRHQHPFTLLRTQGSFICDACGTEGNYILYICSTCSLMVHKDCTSLPRIIKFSRHDHCIFHKYFLKCLTRQYCKICFNEVKLDRRSYSCRKPGCNYVVHVNCVLEDERLYDVIEEEKQWEELYKKSMQSSIIRVIEVNKAREATKIQHFSHQHCLVLADKMEEEIDRKCDGCTLPISNIFYYCSECPFFLHKTCAELPRIKQHWFHQSNATLNFDSFKWCNFCNQNLSGFFYNIEGWKMCLRCAKVADIIECEGHQHFLFFDFKFNEKCNGCGGRCWNGAFRCANCRFAFDFGCLTLPHSAFHKIDEHKLKLTYRDDKEQSYCDICEQYRDPSLLYYSCSICDTSAHRSCVLGNFPFYKDESTLPNYEHTHDHDLQFFRKVEGFPECSECGKFCQEEILKCKESTCDYILHCECLRLFV >KJB68456 pep chromosome:Graimondii2_0_v6:10:61499007:61500920:1 gene:B456_010G246200 transcript:KJB68456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSFQILPLIFFMPGRIQRHYIVILSTVSVFGIFGLPWFISRQPLQPIPHSLFPSLNSTLAIDSQSYGSQAVQSVVSRAARKQCNQ >KJB68292 pep chromosome:Graimondii2_0_v6:10:60697087:60700561:-1 gene:B456_010G236700 transcript:KJB68292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVEMEKMVSCNTAAAAEEEEEDILLPGFRFHPTDEELVGFYLKRKVEKKLFTIDLIKHVDIYKFDPWDLPKVSKISSTVGEKEWYFFCKRGRKYKNSIRPNRVTVSGFWKATGIDKPIYGVGEYHNNSIIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPLDQNHAKDNFEEAEVWTVCRIFKRDVTCRKHALDWQNKKKNNNNKNNDNNININWNMQNISAISRTCSTEESENSLEFLGEKEIENFPGKLNQFSFTSDHHHHHHQGTSQVLSFSNPNNGDGLFFGQQQWNDEFKPVVDYNFGDSLLYSDW >KJB67632 pep chromosome:Graimondii2_0_v6:10:56385758:56392278:-1 gene:B456_010G201300 transcript:KJB67632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYEDRCYETLKKGRCIVKVSDKTYICPYCPPKKKQYYRYEDLLQHASGVGDSSSAKRRPIVKASHRALAKYLKKNHVPVVSSSKLSAQEDTPSGHDDDEKIVWPWTGIVVNIPIQKSENGQSVGKSGSKLKDELIRRGFNPITVHPLRNYHGHSGTAVVEFQKDWQGLHHALSFENAYKADHHGKKDWSANTEVKYGLYAWVARADDYKSSSIIGEHLRQTRDLKTIPKLMEEEARKQDRLVSYLTNITGTKNKIDLYTAVQKCPCFNVSLPMDISSIDGSNITESEMGMYVDRSYEKLRNGKYIVKVSGETYSCPFCPQKKKPNYRYMDLVQHASAVANSSSARRTPIVKANHLALSKYLEKDLAPLVSSLKPVTQEDPLSGCDHNENGKHIVKASDETYTCPYCPEKKIYRYLDLVQHASGVGNSSSARRTPIMKANHLALAKYLEKGLVPLVSSLKPAAQEDPLSGCDHDEKIVWPWTGIVVNIPTRKSEDGRTVGESGSKLRDELIRRGFNPIRVRPLWNNCDHSGIAVVEFHKDWSGLHNALSFENAYEADHRGKKDWGANNDVKYGLYAWIARADDHKSSSIIGEHLRKTSDIKTISKLMEEEARKQDRLVSYLTNILETKNKHLKEMEAMCSVTSESLKVLMEEKDNLLQAYNKEINKTQQSARLHYQKVFSDHEKVKSQLESHRKDLELREVELEKREALIEGERKKLAEELEENVVQNSALRLAALEQKRADENLMQLAEDQKRQKEELHNRIIQLEKQLDQKQALELEIEQLRGSMNVIRELGDEDDDIVLTIIEASFKELREKERELEDLEALNQTLIVSERKSNNELQEARKELINGLKEISSCANIGVKRMGELDNKPFLEAMKRRYNEELAEERAVELCSLWEEYLKDPNWHPFKRIKLEGEEYQEVIDNEDEKLKDLKDEMGNEVYKSVTSVINEINEYNPSGRYATSELWNYGEGRRASLQEGVEFLLNLWNATKGKRGMT >KJB67633 pep chromosome:Graimondii2_0_v6:10:56385832:56392125:-1 gene:B456_010G201300 transcript:KJB67633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSIDGSNITESEMGMYVDRSYEKLRNGKYIVKVSGETYSCPFCPQKKKPNYRYMDLVQHASAVANSSSARRTPIVKANHLALSKYLEKDLAPLVSSLKPVTQEDPLSGCDHNENGKHIVKASDETYTCPYCPEKKIYRYLDLVQHASGVGNSSSARRTPIMKANHLALAKYLEKGLVPLVSSLKPAAQEDPLSGCDHDEKIVWPWTGIVVNIPTRKSEDGRTVGESGSKLRDELIRRGFNPIRVRPLWNNCDHSGIAVVEFHKDWSGLHNALSFENAYEADHRGKKDWGANNDVKYGLYAWIARADDHKSSSIIGEHLRKTSDIKTISKLMEEEARKQDRLVSYLTNILETKNKHLKEMEAMCSVTSESLKVLMEEKDNLLQAYNKEINKTQQSARLHYQKVFSDHEKVKSQLESHRKDLELREVELEKREALIEGERKKLAEELEENVVQNSALRLAALEQKRADENLMQLAEDQKRQKEELHNRIIQLEKQLDQKQALELEIEQLRGSMNVIRELGDEDDDIVLTIIEASFKELREKERELEDLEALNQTLIVSERKSNNELQEARKELINGLKEISSCANIGVKRMGELDNKPFLEAMKRRYNEELAEERAVELCSLWEEYLKDPNWHPFKRIKLEGEEYQEVIDNEDEKLKDLKDEMGNEVYKSVTSVINEINEYNPSGRYATSELWNYGEGRRASLQEGVEFLLNLWNATKGKRGMT >KJB67004 pep chromosome:Graimondii2_0_v6:10:49309345:49310285:-1 gene:B456_010G169900 transcript:KJB67004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPMSSQAVAFLSSIFFVLSALAADAFNINKMLSAYPDFTNFNNLLIQTGIAGEINKKHRVTVLVVANSNMAAVNGVSKDAMKEVLGVHVILDYYDEAKLKQLQTKQATILTTLYQESGRAKNQQGFLNMTNTGNTPVVFASAAPGSKLDCTLVKQITAQPPKVSVLQVSNIINIASISNSASYLPDASAPKLRKALAPGPSRAEAPAVSQISPTKPPSANANANANANANANANAPAPASTSSVVSLPSRDYLASSILMIFSWAWLLLPMV >KJB68365 pep chromosome:Graimondii2_0_v6:10:61065593:61068850:-1 gene:B456_010G241200 transcript:KJB68365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRSYTNLLDLASGNFPVMGPVRERKRLTRVMTVPGVVSELDDDQANSVNSDVHSSVNQDRIIIVANQLPVKAKRGPDNKGWSFNWDDYSLLLQLKDGLPEEMEVLYVGSLKIDVDINEQDEVSSVLLEKFKCVPAFLPPEIFNKFYHGFCKQHLWSLFHYMLPYSANHGGRFDRSLWEAYVAANKIFSQRVIEVINPEDDYIWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTSPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTIGIKIMPVGVHMEQIESALRVADKESRIHEFKQKFDGKTVLLGVDDMDVFKGVNLKLLAMEQMLKQHPKWQGRAVLVQITNPARGRGKDLEDTQAEIQASCKRINEMFGKPGYEPIVFIDRPISLTERVAYYTIAECVVVTAVRDGMNLTPYEYIACRQGVSESASSSESNGPKKSKLVVSEFIGCSPSLSGAIRVNPWNFEATAEAMNEAISMADAETQLQHEKHYRYVSSHDVAFWSHSFFQDMERTCKDHFRRRCWGIGLSFGFRVVALDPNFRKLSIDHIESVYVRSKNRAILLDYDGTVMPQTSHNKTPNAEVISIVNRLLGDTKNTVFVVSGRGKESLDKWFSPCKKLGIAAEHGYFMRWSSDDKWEVCGPNSEFRWKQIAEPVMRLYTEATDGSSIETKESALVWHHRDADPSFGSSQAKEMLDHLESVLANEPVTVKSGQFIIDVKPQGVSKGVVAEKVFTTMAESGKQADFVLCIGDDRSDEEMFEIISSAISSGILSSNTSVFACTVGQKPSKAKYYLDDPAEVINMLEALADASDPGSFTDTESDISL >KJB68366 pep chromosome:Graimondii2_0_v6:10:61066612:61068684:-1 gene:B456_010G241200 transcript:KJB68366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRSYTNLLDLASGNFPVMGPVRERKRLTRVMTVPGVVSELDDDQANSVNSDVHSSVNQDRIIIVANQLPVKAKRGPDNKGWSFNWDDYSLLLQLKDGLPEEMEVLYVGSLKIDVDINEQDEVSSVLLEKFKCVPAFLPPEIFNKFYHGFCKQHLWSLFHYMLPYSANHGGRFDRSLWEAYVAANKIFSQRVIEVINPEDDYIWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTSPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTIGIKIMPVGVHMEQIESALRVADKESRIHEFKQKFDGKTVLLGVDDMDVFKGVNLKLLAMEQMLKQHPKWQGRAVLVQITNPARGRGKDLEDTQAEIQASCKRINEMFGKPGYEPIVFIDRPISLTERVAYYTIAECVVVTAVRDGMNLTPYEYIACRQGVSESASSSESNGPKKSKLVVSEFIGCSPSLSGAIRVNPWNFEATAEAMNEAISMADAETQLQHEKHYRYVSSHDVAFWSHSFFQDMERTCKDHFRRRCWGIGLSFGFRVVALDPNFRKLSIDHIESVYVRSKNRAILLDYDGTVMPQTSHNKTPNAEVISIVNRLLGDTKNTVFVVSGRGKESLDKWFSPCKKLGIAAEHGYFMRYDVLPVFAFPLLVKMVLTSELSVLQVV >KJB68367 pep chromosome:Graimondii2_0_v6:10:61065683:61068850:-1 gene:B456_010G241200 transcript:KJB68367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRSYTNLLDLASGNFPVMGPVRERKRLTRVMTVPGVVSELDDDQANSVNSDVHSSVNQDRIIIVANQLPVKAKRGPDNKGWSFNWDDYSLLLQLKDGLPEEMEVLYVGSLKIDVDINEQDEVSSVLLEKFKCVPAFLPPEIFNKFYHGFCKQHLWSLFHYMLPYSANHGGRFDRSLWEAYVAANKIFSQRVIEVINPEDDYIWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTSPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTIGIKIMPVGVHMEQIESALRVADKESRIHEFKQKFDGKTVLLGVDDMDVFKGVNLKLLAMEQMLKQHPKWQGRAVLVQITNPARGRGKDLEDTQAEIQASCKRINEMFGKPGYEPIVFIDRPISLTERVAYYTIAECVVVTAVRDGMNLTPYEYIACRQGVSESASSSESNGPKKSKLVVSEFIGCSPSLSGAIRVNPWNFEATAEAMNEAISMADAETQLQHEKHYRYVSSHDVAFWSHSFFQDMERTCKDHFRRRCWGIGLSFGFRVVALDPNFRKLSIDHIESVYVRSKNRAILLDYDGTVMPQTSHNKTPNAEVISIVNRLLGDTKNTVFVVSGRGKESLDKWFSPCKKLGIAAEHGYFMRWSSDDKWEVCGPNSEFRWKQIAEPVMRLYTEATDGSSIETKENVRPS >KJB67739 pep chromosome:Graimondii2_0_v6:10:57312861:57313805:1 gene:B456_010G207400 transcript:KJB67739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGDLHKVWESKALKRKPGEEEAKKILEKIAKQVQPIWRVKLLSEFCLNNPALLGLNVGASIHVKLRLRRPNRDWDFYPFNQVLDTMLHELCHNAHGPHNASFYKLWDEFRKVHFHFFR >KJB67006 pep chromosome:Graimondii2_0_v6:10:49402715:49406274:-1 gene:B456_010G170100 transcript:KJB67006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLFVSWILREVAAPLMEQLPWINHFHKTPNREWFETDAVLRVSLGNFLFFTILSFLMIGVKNQRDPRDGLHHGRWMMKIVCWFILVILMFFVPNEIISFYETISKFGSGLFLLIQVVLLLDFVHGWNDKWVGYDEQFWYVALFIVSLVCYLATFGFSGLLFHWFTPSGQDCGLNTFLIVMTLILVILFAIVALHPAVGSSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAISTGTVTVGLLTTILSVVYSAVRAGSSTTLLSPPSSPRAGGGKPLLPLDKADEEEKEKNKAVTYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWIRILTAWVTAALYMWSLLAPILFPDRDF >KJB67011 pep chromosome:Graimondii2_0_v6:10:49402715:49406156:-1 gene:B456_010G170100 transcript:KJB67011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLFVSWILREVAAPLMEQLPWINHFHKTPNREWFETDAVLRVSLGNFLFFTILSFLMIGVKNQRDPRDGLHHGRWMMKIVCWFILVILMFFVPNEIISFYETISKFGSGLFLLIQVVLLLDFVHGWNDKWVGYDEQFWYVALFIVSLVCYLATFGFSGLLFHWFTPSGQDCGLNTFLIVMTLILVILFAIVALHPAVGSSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAISTGTVTVGLLTTILSVVYSAVRAGSSTTLLSPPSSPRAGGGKPLLPLDKADEEEKEKNKAVTYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWIRILTAWVTAALYMWSLLAPILFPDRDF >KJB67013 pep chromosome:Graimondii2_0_v6:10:49402715:49406333:-1 gene:B456_010G170100 transcript:KJB67013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLFVSWILREVAAPLMEQLPWINHFHKTPNREWFETDAVLRVSLGNFLFFTILSFLMIGVKNQRDPRDGLHHGRWMMKIVCWFILVILMFFVPNEIISFYETISKFGSGLFLLIQVVLLLDFVHGWNDKWVGYDEQFWYVALFIVSLVCYLATFGFSGLLFHWFTPSGQDCGLNTFLIVMTLILVILFAIVALHPAVGSSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAISTGTVTVGLLTTILSVVYSAVRAGSSTTLLSPPSSPRAGGGKPLLPLDKADEEEKEKNKAVTYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWIRILTAWVTAALYMWSLLAPILFPDRDF >KJB67007 pep chromosome:Graimondii2_0_v6:10:49402715:49406278:-1 gene:B456_010G170100 transcript:KJB67007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLFVSWILREVAAPLMEQLPWINHFHKTPNREWFETDAVLRVSLGNFLFFTILSFLMIGVKNQRDPRDGLHHGRWMMKIVCWFILVILMFFVPNEIISFYETISKFGSGLFLLIQVVLLLDFVHGWNDKWVGYDEQFWYVALFIVSLVCYLATFGFSGLLFHWFTPSGQDCGLNTFLIVMTLILVILFAIVALHPAVGSSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAISTGTVTVGLLTTILSVVYSAVRAGSSTTLLSPPSSPRAGGGKPLLPLDKADEEEKEKNKAVTYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWIRILTAWVTAALYMWSLLAPILFPDRDF >KJB67008 pep chromosome:Graimondii2_0_v6:10:49403017:49406032:-1 gene:B456_010G170100 transcript:KJB67008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLFVSWILREVAAPLMEQLPWINHFHKTPNREWFETDAVLRVSLGNFLFFTILSFLMIGVKNQRDPRDGLHHGRWMMKIVCWFILVILMFFVPNEIISFYETISKFGSGLFLLIQVVLLLDFVHGWNDKWVGYDEQFWYVALFIVSLVCYLATFGFSGLLFHWFTPSGQDCGLNTFLIVMTLILVILFAIVALHPAVGSSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAISTGTVTVGLLTTILSVVYSAVRAGSSTTLLSPPSSPRAGGGKPLLPLDKADEEEKEKNKAVTYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWIRILTAWVTAALYMWSLLAPILFPDRDF >KJB67012 pep chromosome:Graimondii2_0_v6:10:49402715:49406376:-1 gene:B456_010G170100 transcript:KJB67012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLFVSWILREVAAPLMEQLPWINHFHKTPNREWFETDAVLRVSLGNFLFFTILSFLMIGVKNQRDPRDGLHHGRWMMKIVCWFILVILMFFVPNEIISFYETISKFGSGLFLLIQVVLLLDFVHGWNDKWVGYDEQFWYVALFIVSLVCYLATFGFSGLLFHWFTPSGQDCGLNTFLIVMTLILVILFAIVALHPAVGSSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAISTGTVTVGLLTTILSVVYSAVRAGSSTTLLSPPSSPRAGGGKPLLPLDKADEEEKEKNKAVTYSYAFFHIIFSLLSICGLSLLLFSSQTGTSKSL >KJB67010 pep chromosome:Graimondii2_0_v6:10:49402715:49406297:-1 gene:B456_010G170100 transcript:KJB67010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLFVSWILREVAAPLMEQLPWINHFHKTPNREWFETDAVLRVSLGNFLFFTILSFLMIGVKNQRDPRDGLHHGRWMMKIVCWFILVILMFFVPNEIISFYETISKFGSGLFLLIQVVLLLDFVHGWNDKWVGYDEQFWYVALFIVSLVCYLATFGFSGLLFHWFTPSGQDCGLNTFLIVMTLILVILFAIVALHPAVGSSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAISTGTVTVGLLTTILSVVYSAVRAGSSTTLLSPPSSPRAGGGKPLLPLDKADEEEKEKNKAVTYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWIRILTAWVTAALYMWSLLAPILFPDRDF >KJB67009 pep chromosome:Graimondii2_0_v6:10:49402715:49406296:-1 gene:B456_010G170100 transcript:KJB67009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLFVSWILREVAAPLMEQLPWINHFHKTPNREWFETDAVLRVSLGNFLFFTILSFLMIGVKNQRDPRDGLHHGRWMMKIVCWFILVILMFFVPNEIISFYETISKFGSGLFLLIQVVLLLDFVHGWNDKWVGYDEQFWYVALFIVSLVCYLATFGFSGLLFHWFTPSGQDCGLNTFLIVMTLILVILFAIVALHPAVGSSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAISTGTVTVGLLTTILSVVYSAVRAGSSTTLLSPPSSPRAGGGKPLLPLDKADEEEKEKNKAVTYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWIRILTAWVTAALYMWSLLAPILFPDRDF >KJB63409 pep chromosome:Graimondii2_0_v6:10:20146307:20146796:1 gene:B456_010G108700 transcript:KJB63409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISSKKLQALKPLCNSNSGSIFQKEKKKREEALMAGSTILSRLSPSRLKALPVKLKSSKPVLPTISPLKSSSQSQFSSASSSSSVKRISGISRNGNFPFI >KJB63410 pep chromosome:Graimondii2_0_v6:10:20146307:20147979:1 gene:B456_010G108700 transcript:KJB63410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISSKKLQALKPLCNSNSGSIFQKEKKKREEALMAGSTILSRLSPSRLKALPVKLKSSKPVLPTISPLKSSSQSQFSSASSSSSVKRISGISRLPVELSCLISMMPLHSAVASARLRSFLAIESQSWGLIPQGISMPL >KJB63411 pep chromosome:Graimondii2_0_v6:10:20146307:20147979:1 gene:B456_010G108700 transcript:KJB63411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTILSRLSPSRLKALPVKLKSSKPVLPTISPLKSSSQSQFSSASSSSSVKRISGISRLPVELSCLISMMPLHSAVASARLRSFLAIESQSWGLIPQGISMPL >KJB68314 pep chromosome:Graimondii2_0_v6:10:60803818:60807001:1 gene:B456_010G238200 transcript:KJB68314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFHGVGATVAALSSSSSSSSPSNSFGSKKLLSSPSRSFSVRKRASFTMVRSEGSMNFDLNSKGRRAQQLIANAVATKADSSPASSSSKPGHELLLFEALREGLEEEMERDPRVCVMGEDVGHYGGSYKVTKGLATKFGDLRVLDTPIAENSFTGMGIGAAMTGLRPVVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRTEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLIAAITENFNDYLDAPIMCLSSQDVPTPYAGTLEEWTVVQPAQIVAAVEQLCQ >KJB68312 pep chromosome:Graimondii2_0_v6:10:60803761:60807041:1 gene:B456_010G238200 transcript:KJB68312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFHGVGATVAALSSSSSSSSPSNSFGSKKLLSSPSRSFSVRKRASFTMVRSEGSMNFDLNSKGRRAQQLIANAVATKADSSPASSSSKPGHELLLFEALREGLEEEMERDPRVCVMGEDVGHYGGSYKVTKGLATKFGDLRVLDTPIAENSFTGMGIGAAMTGLRPVVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEDYICNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLIAAITENFNDYLDAPIMCLSSQDVPTPYAGTLEEWTVVQPAQIVAAVEQLCQ >KJB68313 pep chromosome:Graimondii2_0_v6:10:60803818:60807001:1 gene:B456_010G238200 transcript:KJB68313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFHGVGATVAALSSSSSSSSPSNSFGSKKLLSSPSRSFSVRKRASFTMVRSEGSMNFDLNSKGRRAQQLIANAVATKADSSPASSSSKPGHELLLFEALREGLEEEMERDPRVCVMGEDVGHYGGSYKVTKGLATKFGDLRVLDTPIAENSFTGMGIGAHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEDYICNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLIAAITENFNDYLDAPIMCLSSQDVPTPYAGTLEEWTVVQPAQIVAAVEQLCQ >KJB65185 pep chromosome:Graimondii2_0_v6:10:12394880:12397566:-1 gene:B456_010G083800 transcript:KJB65185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTHSAQSPVIQQQRMIIPNKHGEKLVGLLHETESKEIVILCHGFKSTKDYNTLTNLAAALEKEGISVFRFDFAGNGESEGSFQYGNYYREADDLHAVLQHFSGENRVVSAILGHSKGGIVVLLYASKYQDIHIVVNVSGRYDLKRGIAERLGEDFMEIIKKDGHIDVKNKTGGVEYRVTEEALMDSLRTDMHEACLKIDKECR >KJB65189 pep chromosome:Graimondii2_0_v6:10:12393979:12397675:-1 gene:B456_010G083800 transcript:KJB65189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTHSAQSPVIQQQRMIIPNKHGEKLVGLLHETESKEIVILCHGFKSTKDYNTLTNLAAALEKEGISVFRFDFAGNGESEGSFQYGNYYREADDLHAVLQHFSGENRVVSAILGHSKGGIVVLLYASKYQDIHIVVNVSGRYDLKRGIAERLGEDFMEIIKKDGHIDVKNKTGGVEYRVTEEALMDSLRTDMHEACLKIDKECRCQCQMNINSVEDALEFAKVIPNHQLHIIEGANHGYTSHQNELASTVVKFIKSALEQDKVSSK >KJB65184 pep chromosome:Graimondii2_0_v6:10:12393758:12397675:-1 gene:B456_010G083800 transcript:KJB65184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTHSAQSPVIQQQRMIIPNKHGEKLVGLLHETESKEIVILCHGFKSTKDYNTLTNLAAALEKEGISVFRFDFAGNGESEGSFQYGNYYREADDLHAVLQHFSGENRVVSAILGHSKGGIVVLLYASKYQDIHIVVNVSGRYDLKRGIAERLGEDFMEIIKKDGHIDVKNKTGGVEYRVTEEALMDSLRTDMHEACLKIDKECRVLTVDGPWIC >KJB65186 pep chromosome:Graimondii2_0_v6:10:12394118:12396803:-1 gene:B456_010G083800 transcript:KJB65186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPNKHGEKLVGLLHETESKEIVILCHGFKSTKDYNTLTNLAAALEKEGISVFRFDFAGNGESEGSFQYGNYYREADDLHAVLQHFSGENRVVSAILGHSKGGVEYRVTEEALMDSLRTDMHEACLKIDKECR >KJB65188 pep chromosome:Graimondii2_0_v6:10:12394118:12397675:-1 gene:B456_010G083800 transcript:KJB65188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTHSAQSPVIQQQRMIIPNKHGEKLVGLLHETESKEIVILCHGFKSTKDYNTLTNLAAALEKEGISVFRFDFAGNGESEGSFQYGNYYREADDLHAVLQHFSGENRVVSAILGHSKGGVEYRVTEEALMDSLRTDMHEACLKIDKECR >KJB65187 pep chromosome:Graimondii2_0_v6:10:12394880:12396753:-1 gene:B456_010G083800 transcript:KJB65187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPNKHGEKLVGLLHETESKEIVILCHGFKSTKDYNTLTNLAAALEKEGISVFRFDFAGNGESEGSFQYGNYYREADDLHAVLQHFSGENRVVSAILGHSKGGIVVLLYASKYQDIHIVVNVSGRYDLKRGIAERLGEDFMEIIKKDGHIDVKNKTGGVEYRVTEEALMDSLRTDMHEACLKIDKECR >KJB63837 pep chromosome:Graimondii2_0_v6:10:1510046:1513243:-1 gene:B456_010G020000 transcript:KJB63837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVKSDDNCIVLFPLHIIIIIISYLPFLIFSLKYFLFSLKKKKILSFYSIIFSTQWIFLFFCMEGFRGIESRKSSEASAPELTLQSSPELAHHEQNPTLDSMMTESTSTEWTDEKHSLYLKSMEASFVNQLYDSMSFLGCNSKEKSPGSKSSRKARCISSGQFKVLRGGSWKKINFERPVVQLNKRYCSHSFVASPWIQHFRSGSKSRVLASCSLQDNASSKEVSDQNFVDEEQGEKARKGCCSPKKLKTRVTDASCNDQVVP >KJB63838 pep chromosome:Graimondii2_0_v6:10:1510108:1513117:-1 gene:B456_010G020000 transcript:KJB63838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFRGIESRKSSEASAPELTLQSSPELAHHEQNPTLDSMMTESTSTEWTDEKHSLYLKSMEASFVNQLYDSMSFLGCNSKEKSPGSKSSRKARCISSGQFKVLRGGSWKKINFERPVVQLNKRYCSHSFVASPWIQHFRSGSKSRVLASCSLQDNASSKGKNKQCKCEESKFLSVSRTLLTHAILDIMNMHLRHFGLLM >KJB67098 pep chromosome:Graimondii2_0_v6:10:50947444:50953453:-1 gene:B456_010G174800 transcript:KJB67098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFFPFFCVCAIAEMGFSRKEYEFLSEIGLSAGNLGCFVNGTWKGSGPVVSTLNPAHNQKIAEVSEASIQDYEEGMQACSEAAKIWMQVPAPKRGDIVRQIGDALRSKLQQLGRLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSVIPSERPNHMMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLVTIATTKLVAEVLEKNNLPGAIFTSFCGGAEIGEAIAKDRRINLVSFTGSSKVGVKVQQTVNERFGKCLLELSGNNAIIVMDDADIKLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYRTVVDQLLDVYKQVKIGDPLEKGTLLGPLHTSSSRKNFEKGIEIIKSQGGKILTGGGIIESEGNFVQPTIVEISPDANVVKEELFAPVLYVMKFKTLKEAIEINNSVPQGLSSSIFTSKPEFIFKWIGPQGSDTGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >KJB67101 pep chromosome:Graimondii2_0_v6:10:50947444:50953666:-1 gene:B456_010G174800 transcript:KJB67101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSRKEYEFLSEIGLSAGNLGCFVNGTWKGSGPVVSTLNPAHNQKIAEVSEASIQDYEEGMQACSEAAKIWMQVPAPKRGDIVRQIGDALRSKLQQLGRLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSVIPSERPNHMMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLVTIATTKLVAEVLEKNNLPGAIFTSFCGGAEIGEAIAKDRRINLVSFTGSSKVGVKVQQTVNERFGKCLLELSGNNAIIVMDDADIKLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYRTVVDQLLDVYKQVKIGDPLEKGTLLGPLHTSSSRKNFEKGIEIIKSQGGKILTGGGIIESEGNFVQPTIVEISPDANVVKEELFAPVLYVMKFKTLKEAIEINNSVPQGLSSSIFTSKPEFIFKWIGPQGSDTGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >KJB67100 pep chromosome:Graimondii2_0_v6:10:50948121:50953665:-1 gene:B456_010G174800 transcript:KJB67100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DHRPKRPQSVSVLYLRYSSLSPSTSTSTFYFRSLPLVLKKKQEMGFSRKEYEFLSEIGLSAGNLGCFVNGTWKGSGPVVSTLNPAHNQKIAEVSEASIQDYEEGMQACSEAAKIWMQVPAPKRGDIVRQIGDALRSKLQQLGRLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSVIPSERPNHMMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLVTIATTKLVAEVLEKNNLPGAIFTSFCGGAEIGEAIAKDRRINLVSFTGSSKVGVKVQQTVNERFGKCLLELSGNNAIIVMDDADIKLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYRTVVDQLLDVYKQVKIGDPLEKGTLLGPLHTSSSRKNFEKGIEIIKSQGGKILTGGGIIESEGNFVQPTIVEISPDANVVKEELFAPVLYVMKFKTLKEAIEINNSVPQGLSSSIFTSKPEFIFKWIGPQGSDTGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >KJB67102 pep chromosome:Graimondii2_0_v6:10:50947444:50953666:-1 gene:B456_010G174800 transcript:KJB67102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSRKEYEFLSEIGLSAGNLGCFVNGTWKGSGPVVSTLNPAHNQKIAEVSEASIQDYEEGMQACSEAAKIWMQVPAPKRGDIVRQIGDALRSKLQQLGRLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSVIPSERPNHMMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLVTIATTKLVAEVLEKNNLPGAIFTSFCGGAEIGEAIAKDRRINLVSFTGSSKVGVKVQQTVNERFGKCLLELSGNNAIIVMDDADIKLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYRTVVDQLLDVYKQVKIGDPLEKGTLLGPLHTSSSRKNFEKGIEIIKSQGGKILTGGGIIESEGNFVQPTIVEISPDANVVKEELFAPVLYVMKFKTLKEAIEINNSVPQGLSSSIFTSKPEFIFKWIGPQGSDTGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >KJB67099 pep chromosome:Graimondii2_0_v6:10:50947444:50953665:-1 gene:B456_010G174800 transcript:KJB67099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DHRPKRPQSVSVLYLRYSSLSPSTSTSTFYFRSLPLVLKKKQEMGFSRKEYEFLSEIGLSAGNLGCFVNGTWKGSGPVVSTLNPAHNQKIAEVSEASIQDYEEGMQACSEAAKIWMQVPAPKRGDIVRQIGDALRSKLQQLGRLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSVIPSERPNHMMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLVTIATTKLVAEVLEKNNLPGAIFTSFCGGAEIGEAIAKDRRINLVSFTGSSKVGVKVQQTVNERFGKCLLELSGNNAIIVMDDADIKLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYRTVVDQLLDVYKQVKIGDPLEKGTLLGPLHTSSSRKNFEKGIEIIKSQGGKILTGGGIIESEGNFVQPTIVEISPDANVVKEELFAPVLYVMKFKTLKEAIEINNSVPQGLSSSIFTSKPEFIFKWIGPQGSDTGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >KJB64373 pep chromosome:Graimondii2_0_v6:10:4972561:4974835:1 gene:B456_010G046100 transcript:KJB64373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPSALAVASSNVPPAACRLPHSLPSRPLESFMHKNRLQEFAQRSSIQLPLYQTVNEGSVHTPQFRSSVLVDGVTYTSETTFSNIKAAEQDIAKHALECISKKLKDEGCPLICEDTVFCKSILNEFVVKMNLEMPTYNTIQSGGVLPLFVSTLIFNGATYRGETGRNKKEAEQLAARVVIQSLLADDRYGTVVLEIIKSKAKLYDALNKAKDSNFDTTLAGANRLNHNNTEVETNAVTNHVPNTTHPSSGAKNPRHDFKILVDLPIAFVLGSDVGESSSKIKRKMKKRAKGNTNTQ >KJB66027 pep chromosome:Graimondii2_0_v6:10:25846502:25851252:-1 gene:B456_010G124800 transcript:KJB66027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRIIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDPRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSAFPKWPAKNLATVVPNLESAGIDLLSKMLCMDPSKRITARSALEHEYLKDIGFVP >KJB66024 pep chromosome:Graimondii2_0_v6:10:25846773:25850224:-1 gene:B456_010G124800 transcript:KJB66024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRIIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDPRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSAFPKWPAKNLATVVPNLESAGIDLLSKMLCMDPSKRITARSALEHEYLKDIGFVP >KJB66033 pep chromosome:Graimondii2_0_v6:10:25846529:25851071:-1 gene:B456_010G124800 transcript:KJB66033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRIIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDPRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRKCCAWIQAKELRLEVLLSMNT >KJB66023 pep chromosome:Graimondii2_0_v6:10:25846502:25851071:-1 gene:B456_010G124800 transcript:KJB66023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRIIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDPRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSAFPKWPAKNLATVVPNLESAGIDLLSKMLCMDPSKRITARSALEHEYLKDIGFVP >KJB66022 pep chromosome:Graimondii2_0_v6:10:25846503:25851252:-1 gene:B456_010G124800 transcript:KJB66022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRIIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDPRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRKCCAWIQAKELRLEVLLSMNT >KJB66028 pep chromosome:Graimondii2_0_v6:10:25847550:25850471:-1 gene:B456_010G124800 transcript:KJB66028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRIIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDPRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRKYWCKISPQNLCILFRLMYYFYMKNLGYSK >KJB66032 pep chromosome:Graimondii2_0_v6:10:25846773:25850471:-1 gene:B456_010G124800 transcript:KJB66032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRIIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDPRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSAFPKWPAKKMLCMDPSKRITARSALEHEYLKDIGFVP >KJB66031 pep chromosome:Graimondii2_0_v6:10:25846491:25851252:-1 gene:B456_010G124800 transcript:KJB66031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRIIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDPRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRKCCAWIQAKELRLEVLLSMNT >KJB66029 pep chromosome:Graimondii2_0_v6:10:25846773:25849182:-1 gene:B456_010G124800 transcript:KJB66029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDPRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSAFPKWPAKNLATVVPNLESAGIDLLSKMLCMDPSKRITARSALEHEYLKDIGFVP >KJB66026 pep chromosome:Graimondii2_0_v6:10:25846502:25851071:-1 gene:B456_010G124800 transcript:KJB66026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRIIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDPRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSAFPKWPAKNLATVVPNLESAGIDLLSKMLCMDPSKRITARSALEHEYLKDIGFVP >KJB66030 pep chromosome:Graimondii2_0_v6:10:25846502:25851071:-1 gene:B456_010G124800 transcript:KJB66030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRIIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDPRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSAFPKWPAKNLATVVPNLESAGIDLLSKMLCMDPSKRITARSALEHEYLKDIGFVP >KJB66025 pep chromosome:Graimondii2_0_v6:10:25846773:25849683:-1 gene:B456_010G124800 transcript:KJB66025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCPEFGKDPRIIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDPRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSAFPKWPAKNLATVVPNLESAGIDLLSKMLCMDPSKRITARSALEHEYLKDIGFVP >KJB66034 pep chromosome:Graimondii2_0_v6:10:25848854:25851071:-1 gene:B456_010G124800 transcript:KJB66034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRIIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDPRTNALKLADFGLARAFGIPVRTFTHEVSTITKFEVFGCSLCNNA >KJB65619 pep chromosome:Graimondii2_0_v6:10:18820089:18832679:1 gene:B456_010G103600 transcript:KJB65619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHFRFLHFFVFLFDAAYVSASRSSFESDFSIIDSDSEGLLFHRDYSPPAPPPPPPHAPSVSCTDDLGGVGSLDSTCQIVADLNLTRDVYIQGKGNFYILPGVRFHCPILGCSITVNISGNFSLGENSTVVTGTFQLAAYNASFFDGSAVNTTGWAGDPPPQTSGTPQGVEGAGGGHGGRGACCLVDDRKLPEDIWGGDAYSWSSLQEPCSYGSKGGTTSKEVDYGGGGGGWVKMEIKELLEVNGSLLADGGDGGTKGGGGSGGSIYIKSHKMTGSGRISACGGDGFGGGGGGRVSVDIFSRHDEPKIYVHGGTSRGCPENAGAAGTLYDAVPRSLTVNNNNLSTDTDTLLLEFPYQPLWTNVYIQNRARASVPLLWSRVQVQGQISLLSGGMLSFGLAHYASSEFELLAEELLMSDSIIEVYGALRMTVKIFLMWNSKMVIDGGEDTTVATSWLEASNLVVLKESSVVHSNANLGVHGQGLLNLSGPGDTIQAQRLVLSLFYSIHVGPGSVLRGPLETASSDAVTPRLYCELQDCPTELLHPPEDCNVNSSLPFTLQICRVEDITVEGLIKGSVVHFHWARTISVQSSGVISASGTGCVGGAGRGNFLDNGIGSGGGHGGKGGLACYNGSCVEGGISYGNSELPCELGSGSGNESSADSSAGGGVIVMGSMEHPLPSLSVEGAVRADGESFEETVWQQEYSLSNGSSIAPGGGSGGTVLLFLQKLTLGKSASLSSVGGYGSSKGGGGGGGGRIHFHWSDIPTGDVYQPIASVKGNIYARGGLGRDQSGGGENGTVTGKTCPKGLYGTFCAECPVGTYKNVSGSDSSLCRPCPASQLPHRAVYVYVRGGVAETPCPFECISDRYHMPHCFTALEELIYTFGGPWLFGFLLLGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQRDHSFPFLESLNEVLETNRVEESQSHVHRMYFMGPNTFSEPWHLPHTPPEEIKEIVYEGAYNTFVDEINAIAAYQWWEGAIYTILSILAYPLALSWQQWRRRMRLQRLREFVRSEYDHACLRSCRSRALYEGLKVSATTDLMLAYVDFFLGGDEKRTDLPPRLPQRFPMAIIFGGDGSYMAPFSLQIDNVLTSLMSQLVAPTTWYRLVAGLNAQLRLVRRGRLRSTFRPVLRWLEMHANPALRIHGVRIALAWSQATPGNYRQYGLLVYSVEEENEPICLGNTNGGVKTETFSRVKTTDMPNESGSPREDVPLTQGHRSSEGFARRKRSYRGLIDANSLQKLEEKRDIFYLLSFILHNTKPGGHQDLVGLVISMLLLGDFSLVLLTFLQLYSISLVDVFLVLFILPLGILLPFPAGINALFSHGPRRSAGLARFYALWNLTSLINVGVAFLCGYVHYHHQLSSSKMIPNLQPWNINMDESEWWIFPVGLVMCKLFQSQLINWHIANLEIQDRSLYSNDFELFWQS >KJB68412 pep chromosome:Graimondii2_0_v6:10:61290970:61292281:1 gene:B456_010G243800 transcript:KJB68412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKSTCVLFLAMFCISVTKSEPYFSPGPAPEIFPPLPVSYVPTPAPGPTSYPPVPAVSPSPRGIKAAYWPSFESFPVSSIDTSFFTHIYYAFLLPEPNFFKLNVTSLDQQKLPEFMSGLSAKNPPVKTILSIGGGGNDPNVFAGMASTKCTRAVFINSTIEVARNYQFDGIDLDWEFPETVDDMANLALLFEEWSEALQNEAETSWKPRLLLTAAVYYSSEFTTYGVPRSYPARAMAKYLDWMNPMCFDYHGKWDNFTGMHSALFDPNTSASSSHGIGSWIRAGVPPGKLVMGLASYGHTWKLQDPNINGIGAPATGVGPGDDPGLFDYYAILDFNKENNATVKYDRTTVSYYSYVGDTWIGYDDVKSIKWKVWFARVKGLAGYFFWAVGYDKEWALSRQGDNILLLA >KJB65023 pep chromosome:Graimondii2_0_v6:10:10994743:10999644:-1 gene:B456_010G076500 transcript:KJB65023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGVKVIPRDEIDKEENENSDRQKKRYTSKMEKNKRKRKSSGYCGSSDDDIERIEKGSRRNKKWYSSEEYSSESESEGSSDTDKGRHSDRRKGKRNKKRSRSKKKRYSSSSVDYSSEDVDEDRRRNSGVGKKRNDGRESPLKEKEIERKEIGLEWMLRPAFKTDKKHLEPAAVEQLEEPPTEEIKTMHPRELNPYLKDNGTGYPEEAEEKRAGVDRLLSSSFVGDGGASWRLKALKRAEEQASREGRSIEEVVLERWGSLDILAAYGASRRAAAPRAHLHAIRNRKQGPDNEKQNVADNQRERKSERNSERNTTRDYLRDVSLRHSDMKTPKVRDSLSWGKRKNQNIPAKNAGAISTANKFTDDGNFMQEFLRKQGNDTIASGSHTDHDGNMNSKVVASETNKPSEAATMPKETLSINQLAAKALQLRLKGKHDEAEKLLLEVESMKAKQNTGDHASKQQNVDNNSRHVVHDASLRKGKDDDDTDKHLARRIMQNKQFSVSGQADDEYDYEDGPSRKPRKKGGESNWVSGNNHLAKRILTQQERCLFCFENPNRPKHLVVAIANFTYLMLPQWQPVVPGHCCILPMQHEPATRTIENNVWDEIRNFKKCLIMMFAKQDKEMVFLETVMGLAQQRRHCLIECIPLPREIAKQAPVYFKKAIDEAEDEWSQHNAKKLIDTSEKGLCGSIPKNFPYFHVEFGLNKGFVHVIDDESQFKSSLGLNVIRGMLQLPEEDMYRRRRYQSVEEQKQAVASFVRDWEAFDWTKQLD >KJB64002 pep chromosome:Graimondii2_0_v6:10:2357043:2361949:1 gene:B456_010G028700 transcript:KJB64002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSDLLTSAGINIAICVVLLSLYSILRKQPGNACVYFTRRLVSEPVRGTGAISCFERFVPSTGWIAKAWQATDEEILAVGGVDAVAFMRIVVFSIRVFIIAAVICLFIVLPVNYYGQDMQHKEIHSESLEVFTIGNVKEDSKWLWVHCLALYLISCSACVLLYFEYKNITKMRLAHITGSPPNPSHFTVLVRGVPWSPDNSYSNSVEQFFSTYYPESYLSHQMVYGSCTVDKLMKDAERMYRMLKSIDPRFLDRSLPYCIPGGAAHPFMVLNLRADSVSSSTSMDRLQPSQRRKECPAAFVFFRTRYAALVAAQVLQSSNPMLWVTELAPEPHDVYWSNLSIPYKQVWLRKITTLIASVVFMFLFLLPVTFVQGLTQLEHLDQLSRRVPFLKGILKQEFIHRVVTGYLPSVILMLFLYAVPPTMMLFSAMEGNISRSQRKRSACIKVLYFTIWNVFFVNVLSGSIIRQLTVFSSFKDAPKQLARAVPTQVTFPCVLNCWFNKTSTPPTSWQATFFTTYVLSSGWASLSCEIMQPFPLICNFFRKFILRTKVEPSSCALTFPHHTEIPRLLLFVHIGFTCSIMAPLILPFLLVFFFLAFLVYRNQILNVYVRKYESGGEFWPIVHNSAIFSLLLTQVIALGVFGIKQSPVASGFIIPLILLTLLFNEYCRQRFSPVFKRRPAQILIEMDQQDEQLGRKEEIHNQIRSAYFQNPIISHQVSINSNLPLSRNTSHHQGDEDNLHGLKSLKPFRYSTSSIR >KJB64004 pep chromosome:Graimondii2_0_v6:10:2357043:2361949:1 gene:B456_010G028700 transcript:KJB64004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSDLLTSAGINIAICVVLLSLYSILRKQPGNACVYFTRRLVSEPVRGTGAISCFERFVPSTGWIAKAWQATDEEILAVGGVDAVAFMRIVVFSIRVFIIAAVICLFIVLPVNYYGQDMQHKEIHSESLEVFTIGNVKEDSKWLWVHCLALYLISCSACVLLYFEYKNITKMRLAHITGSPPNPSHFTVLVRGVPWSPDNSYSNSVEQFFSTYYPESYLSHQMVYGSCTVDKLMKDAERMYRMLKSIDPRFLDRSLPYCIPGGAAHPFMVLNLRADSVSSSTSMDRLQPSQRRKECPAAFVFFRTRYAALVAAQVLQSSNPMLWVTELAPEPHDVYWSNLSIPYKQVWLRKITTLIASVVFMFLFLLPVTFVQGLTQLEHLDQLSRRVPFLKGILKQEFIHRVVTGYLPSVILMLFLYAVPPTMMLFSAMEGNISRSQRKRSACIKVLYFTIWNVFFVNVLSGSIIRQLTVFSSFKDAPKQLARAVPTQATFFTTYVLSSGWASLSCEIMQPFPLICNFFRKFILRTKVEPSSCALTFPHHTEIPRLLLFVHIGFTCSIMAPLILPFLLVFFFLAFLVYRNQILNVYVRKYESGGEFWPIVHNSAIFSLLLTQVIALGVFGIKQSPVASGFIIPLILLTLLFNEYCRQRFSPVFKRRPAQILIEMDQQDEQLGRKEEIHNQIRSAYFQNPIISHQVSINSNLPLSRNTSHHQGDEDNLHGLKSLKPFRYSTSSIR >KJB64003 pep chromosome:Graimondii2_0_v6:10:2357204:2360180:1 gene:B456_010G028700 transcript:KJB64003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSDLLTSAGINIAICVVLLSLYSILRKQPGNACVYFTRRLVSEPVRGTGAISCFERFVPSTGWIAKAWQATDEEILAVGGVDAVAFMRIVVFSIRVFIIAAVICLFIVLPVNYYGQDMQHKEIHSESLEVFTIGNVKEDSKWLWVHCLALYLISCSACVLLYFEYKNITKMRLAHITGSPPNPSHFTVLVRGVPWSPDNSYSNSVEQFFSTYYPESYLSHQMVYGSCTVDKLMKDAERMYRMLKSIDPRFLDRSLPYCIPGGAAHPFMVLNLRADSVSSSTSMDRLQPSQRRKECPAAFVFFRTRYAALVAAQVLQSSNPMLWVTELAPEPHDVYWSNLSIPYKQVWLRKITTLIASVVFMFLFLLPVTFVQGLTQLEHLDQLSRRVPFLKGILKQEFIHRVVTGYLPSVILMLFLYAVPPTMMLFSAMEGNISRSQRKRSACIKVLYFTIWNVFFVNVLSGSIIRQLTVFSSFKDAPKQLARAVPTQVTFPCVLNCWFNKTSTPPTSWLPSSRLTYYHQAGLACPVK >KJB65317 pep chromosome:Graimondii2_0_v6:10:13723023:13725702:1 gene:B456_010G089700 transcript:KJB65317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGSYMLSCRAKELSLIAIIFMAATIIMWTCDRSPVFTSSLPPNNQFLQLSSEPKRHVREDISMLTQRKVLHNEDEDHLDKTLSIDTAKSSSDFETIQIQKKVCNYAKGKWVVDDRRPLYSGFGCKQSLAPMWACSLMQRQDFAFEKLRWQPKGCEMEEFEGPKFLKRMQDKTLAFVGDSLGRQQFQSLMCMITAGKDRSDVLDVGNEYGLVLPPGSTSPNGWAYRFLSTNITVLYYWSSGLCELEPLDVKDPQTEYAMHLDRPPSFLRQFLHKIDVLVLNRGHHWNRGKLRANRWVMYVGGAPNTNKKIANMGRAKNFTIHNTVKWLDSQVPKHPHLNAFYRSISPRHFVNGDWNTGGSCNNTKPMSIGKEVLQEGSSDHAAVSAVRWTGVKLLDITALSQVRDEGHISRICITSSPGVQDCLHWCLPGVPDTWNEILFAQI >KJB64132 pep chromosome:Graimondii2_0_v6:10:3107718:3112018:-1 gene:B456_010G034200 transcript:KJB64132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRPRKAPKPEDEAASSAKAQKLRALQTQFFSFHHNKIYTKEAVELSAKLLEINPESYTAWNYRKLAVEHYLNLPDCNPDSIKSVLDDELRVVENALRQNFKSYGAWHHRKWVLSKGHSSIDNELRLLDKFQKADSRNFHAWNYRRFVAESMKRSEQDELKYTEDMIYANFSNYSAWHNRSVLLSALFDKKAEGFLLKEKVLPEEYEFIHQAIFTDPDDQSGWFYHLWLLDQTVTTDSPLLASSWPGHGSDVLLVGDRCYNGSAFSPFSALHSDSGSFPIVLYFNQPVRGVNSSTVTVECGFNKNEDLLWKPLSASNSQTARVWVAHLKVPSSDLHSTVEVSVGHTKGIISTRGFEYSHPSMLSFKVHVQPMERDSSQVSVAESISLREENFKVYGKQSEESIIDSFDQLIIKNGRETTASNWRFEALAKEIECFRELLSLVDCKIGKLTLARLLKAYDAMSYPFANKLVHSEEVLELYSDLMKLDRTHYQFYKDERSIVLLQKYKDSVSSAICGPICLRLNNLSLSRMGAFEKLLWVEMLDLSHNELQSIEGLEAMQLLSCLSLRNNKLRSLTALEPLRKLKLLRILDISYNQIGDHSIDTTRYVCSSPLSHSVGSELNRDETVTSDVALINNWEAFYIFKDFNLNQLDIVGNTIADEKFKSVLVKIMPKLKQLDGKLLD >KJB64131 pep chromosome:Graimondii2_0_v6:10:3108047:3111721:-1 gene:B456_010G034200 transcript:KJB64131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRPRKAPKPEDEAASSAKAQKLRALQTQFFSFHHNKIYTKEAVELSAKLLEINPESYTAWNYRKLAVEHYLNLPDCNPDSIKSVLDDELRVVENALRQNFKSYGAWHHRKWVLSKGHSSIDNELRLLDKFQKADSRNFHAWNYRRFVAESMKRSEQDELKYTEDMIYANFSNYSAWHNRSVLLSALFDKKAEGFLLKEKVLPEEYEFIHQAIFTDPDDQSGWFYHLWLLDQTVTTDSPLLASSWPGHGSDVLLVGDRCYNGSAFSPFSALHSDSGSFPIVLYFNQPVRGVNSSTVTVECGFNKNEDLLWKPLSASNSQTARVWVAHLKVPSSDLHSTVEVSVGHTKGIISTRGFEYSHPSMLSFKVHVQPMERDSSQVSVAESISLREENFKVYGKQSEESIIDSFDQLIIKNGRETTASNWRFEALAKEIECFRELLSLVDCKIGKLTLARLLKAYDAMSYPFANKLVHSEEVLELYSDLMKLDRTHYQFYKDERSIVLLQKVTSSKGSLLQHCFQYKDSVSSAICGPICLRLNNLSLSRMGAFEKLLWVEMLDLSHNELQSIEGLEAMQLLSCLSLRNNKLRSLTALEPLRKLKLLRILDISYNQIGDHSIDTTRYVCSSPLSHSVGSELNRDETVTSDVALINNWEAFYIFKDFNLNQLDIVGNTIADEKFKSVLVKIMPKLKQLDGKLLD >KJB63308 pep chromosome:Graimondii2_0_v6:10:38113748:38114082:1 gene:B456_010G144900 transcript:KJB63308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLGAFRLVCCASNKIQSQGRRWLELFPCLAGLILLYHHRNTLPSFSEQRQEEAPQEKRWDWIDQQGSSSAQQMMYLSPNFTLGSKLSPFF >KJB68215 pep chromosome:Graimondii2_0_v6:10:60359066:60363976:1 gene:B456_010G232500 transcript:KJB68215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMKKNMFPPGLVNNLQDVLSKKGANKNEQKQQKDDDSTETSTSSSSTAGNENDSSKPVVLVTNGEGVDSLGLVYLVQALVGLGLYNVNVCAPHSDKSASGHSLTVRETITVTQAEIEGATAYEVTGTTVDCVSLALSGALFSWTKPLLVISGINRGSSCGHHMFHSGVIAGAREALISGVPSLSISLNWKREESQESDFKDAVAVSLPLINAALRDIEKGVFPKSCFLSIEIPTSPKANKGFKLTKQSMWRSTPCWQAVPANRNPSAPHFMGNQQSLGLQLAQLGRDASAAGAARRGTTPRNNEEVESVGAAKPDTKAKKYFRLEFLDKEREATDEELDFKALGNGFIAVTPVSVSLQLESDIQTAASSWISGVLSGGVEE >KJB66847 pep chromosome:Graimondii2_0_v6:10:45667870:45668754:-1 gene:B456_010G160500 transcript:KJB66847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDTQRREGDCQIACFDSSMPQIVRMDYRRNRKDELRGIWQSWDIAKKMQFRNKYGDVAQLLFVKPDDALLKAMVRFWDPTYRCFMLNEVDMVPTIEEYSTLLHYDFKDPLRIYWKQNFDFRGPLANLMGLPIDVVKARLKDKNDPYISWSDISDAMGKACGNRHLALFTYSLYGLIVFPKALGYVSVQLANFLFQIEKGVNPAPAVLAETIISLNFIKKKGDEHFLRCAELLFVWIKSHFRCLYKHFHLVFVPSTRPIEEFLESEWPPNQSIEVWVQNLSTLIYQEIEWKAP >KJB65129 pep chromosome:Graimondii2_0_v6:10:12055461:12059316:-1 gene:B456_010G082100 transcript:KJB65129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILGLQLQQRFPVLTAVCYSRLISATIHAPAVASVSSLSCKLKKWNNGGRLPRRLVVGLGASFWAQYMNMAGNSKSFIASARLKGAVEQALQNVEWPEQFPFKDEDFQRFDETSDSLFYEAPRFVTHIDDAAIAALTKYYSEVFPPSNTPGVSILDMCSSWVSHFPKGYKQERVVGMGMNEEELKRNPAVDISPNPGRSDPMYIVYSRKLSTA >KJB65130 pep chromosome:Graimondii2_0_v6:10:12055772:12058553:-1 gene:B456_010G082100 transcript:KJB65130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSAWWSLIASSVSCFSVHALQNVEWPEQFPFKDEDFQRFDETSDSLFYEAPRFVTHIDDAAIAALTKYYSEVFPPSNTPGVSILDMCSSWVSHFPKGYKQERVVGMGMNEEELKRNPVLTEYVVQDLNLKPKLPFEDNSFDVITNVVSVDYLTKPLDVFKEMCRILKPGGLAIMSFSNRCFWTKAISIWTSTGDTDHALIVGSYFHYAGGFEPPQAVDISPNPGRSDPMYIVYSRKLSTA >KJB65132 pep chromosome:Graimondii2_0_v6:10:12055772:12059291:-1 gene:B456_010G082100 transcript:KJB65132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILGLQLQQRFPVLTAVCYSRLISATIHAPAVASVSSLSCKLKKWNNGGRLPRRLVVGLGASFWAQYMNMAGNSKSFIASARLKGAVEQALQNVEWPEQFPFKDEDFQRFDDFNSPFRTSDSLFYEAPRFVTHIDDAAIAALTKYYSEVFPPSNTPGVSILDMCSSWVSHFPKGYKQERVVGMGMNEEELKRNPVLTEYVVQDLNLKPKLPFEDNSFDVITNVVSVDYLTKPLDVFKEMCRILKPGGLAIMSFSNRCFWTKAISIWTSTGDTDHALIVGSYFHYAGGFEPPQAVDISPNPGRSDPMYIVYSRKLSTA >KJB65128 pep chromosome:Graimondii2_0_v6:10:12055452:12059316:-1 gene:B456_010G082100 transcript:KJB65128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILGLQLQQRFPVLTAVCYSRLISATIHAPAVASVSSLSCKLKKWNNGGRLPRRLVVGLGASFWAQYMNMAGNSKSFIASARLKGAVEQALQNVEWPEQFPFKDEDFQRFDETSDSLFYEAPRFVTHIDDAAIAALTKYYSEVFPPSNTPGVSILDMCSSWVSHFPKGYKQERVVGMGMNEEELKRNPVLTEYVVQDLNLKPKLPFEDNSFDVITNVVSVDYLTKPLDVFKEMCRILKPGGLAIMSFSNRCFWTKAISIWTSTGDTDHALIVGSYFHYAGGFEPPQAVDISPNPGRSDPMYIVYSRKLSTA >KJB65133 pep chromosome:Graimondii2_0_v6:10:12055772:12059371:-1 gene:B456_010G082100 transcript:KJB65133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILGLQLQQRFPVLTAVCYSRLISATIHAPAVASVSSLSCKLKKWNNGGRLPRRLVVGLGASFWAQYMNMAGNSKSFIASARLKGAVEQALQNVEWPEQFPFKDEDFQRFDETSDSLFYEAPRFVTHIDDAAIAALTKYYSEVFPPSNTPGVSILDMCSSWVSHFPKGYKQERVVGMGMNEEELKRNPVSVDYLTKPLDVFKEMCRILKPGGLAIMSFSNRCFWTKAISIWTSTGDTDHALIVGSYFHYAGGFEPPQAVDISPNPGRSDPMYIVYSRKLSTA >KJB65131 pep chromosome:Graimondii2_0_v6:10:12055772:12059291:-1 gene:B456_010G082100 transcript:KJB65131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILGLQLQQRFPVLTAVCYSRLISATIHAPAVASVSSLSCKLKKWNNGGRLPRRLVVGLGASFWAQYMNMAGNSKSFIASARLKGAVEQALQNVEWPEQFPFKDEDFQRFDETSDSLFYEAPRFVTHIDDAAIAALTKYYSEVFPPSNTPGVSILDMCSSWVSHFPKGYKQERVVGMGMNEEELKRNPVLTEYVVQDLNLKPKLPFEDNSFDVITNVVSVDYLTKPLDVFKEMCRILKPGGLAIMSFSNRCFWTKAISIWTSTGDTDHALIVGSYFHYAGGFEPPQVNNI >KJB66885 pep chromosome:Graimondii2_0_v6:10:47243807:47245759:-1 gene:B456_010G163600 transcript:KJB66885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHLAQVAILKPQTHIFSIFKNPYSISKSLQIPTNYSLTARSTSMGTPEAVVKKDVYSVWALPPEDVTARVKKLMEGLRSEFGGPQFEPHVTVVGAISLTADDALAKFRSSCDGRKAYNATVDRVATGTFFYQCVFLLLHPTPEVVETSAHCSSHFGYKSSTRKLLLLNMVAFLSNSLGNLSYV >KJB66886 pep chromosome:Graimondii2_0_v6:10:47243789:47245831:-1 gene:B456_010G163600 transcript:KJB66886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHLAQVAILKPQTHIFSIFKNPYSISKSLQIPTNYSLTARSTSMGTPEAVVKKDVYSVWALPPEDVTARVKKLMEGLRSEFGGPQFEPHVTVVGAISLTADDALAKFRSSCDGRKAYNATVDRVATGTFFYQCVFLLLHPTPEVVETSAHCSSHFGYKSSTQEEKKKAQEKANMLDESIGSLSFQISRLALYKTDTEDKTLKSWEKVAECNLSPN >KJB66884 pep chromosome:Graimondii2_0_v6:10:47243783:47245759:-1 gene:B456_010G163600 transcript:KJB66884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHLAQVAILKPQTHIFSIFKNPYSISKSLQIPTNYSLTARSTSMGTPEAVVKKDVYSVWALPPEDVTARVKKLMEGLRSEFGGPQFEPHVTVVGAISLTADDALAKFRSSCDGRKAYNATVDRVATGTFFYQCVFLLLHPTPEVVETSAHCSSHFGYKSSTPYMPHLSLLYADLTEEEKKKAQEKANMLDESIGSLSFQISRLALYKTDTEDKTLKSWEKVAECNLSPN >KJB66883 pep chromosome:Graimondii2_0_v6:10:47244963:47245652:-1 gene:B456_010G163600 transcript:KJB66883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHLAQVAILKPQTHIFSIFKNPYSISKSLQIPTNYSLTARSTSMGTPEAVVKKDVYSVWALPPEDVTARVKKLMEGLRSEFGGPQFEPHVTVVGAISLTADDALAKFRSSCDGRKAYNATVDRVATGTFFYQCVFLLLHPTPEVVETSAHCSSHFGYKSSTRKLLLLNMVAFLSNSLGNLSYV >KJB67613 pep chromosome:Graimondii2_0_v6:10:56202582:56205527:-1 gene:B456_010G2002002 transcript:KJB67613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CWSDGCQDADILAGFDDAISDGVDIISISLGGGRTRDYFEDAVDIASFHAMKSGILTVSSAGNEGPGRSTISNFSPWSLSVAASTIDRKFSTKVQLGNNKIYEGVSINTFDLKNKTYPMIYGGDAPNTTTASSRSSRLCFSNSLDKNLVKGKIVLCDTIRTNGIGALLAGAAGTVARDQDSIDYSSLFPLPASCFNLVDGRNIFQYVNSTSAPTATIFRSSEVNDSLAPYIISFSSRGPNPITPEIIKPDISAPGVHILAAWSSISPVSSVPGDNRFVTFNIISGTSMSCPHVSGAAAYVKSFHPTWSPAAIQSALMTTAAPMSSGINMEAEFAYGSGHLNPLKAANPGLVYDANETDYINFLCGQGYATRLIRLLSKDNSTCPKDTNGTVSELNYPSFGLSTSPLKPFSRTFKRTVTNVGSPTAVYRANLSFSTGTLKIRVNPDVLSFTSVGQKLSFEVIVEATMDKAMVSGALVWDDGEHKVRSPIVVFI >KJB65791 pep chromosome:Graimondii2_0_v6:10:21672532:21675034:-1 gene:B456_010G113100 transcript:KJB65791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGPPRLRSMNMADSEARPVLGPAGNKTGSLSARKPGSKPSRKIEKCSAEATLAEEKNGLQSSKVNSHSVSVVPSVLRRHEQLLHSNLSLNASCSSDASTDSFHSRASTGRLIWSNSVGTRRKPFPSTPRSVVSDGGLDSLPGDSHRRKRCAWVTPNTDPSYVAFHDEEWGVPVHDDKKLFELLVLAGALSELTWPAILSKRHIFREVFADFDPLAVSKLNEKKLIAPGSTASSLLSELKLRAIVENAHQISKVIDEFGSFDKYIWSFVNHKPIVSRFRYPRQVPVKTPKADVISKDLVRRGFRSVGPTVIYSFMQVSGITNDHLTSCFRFQDCITAAEGKEENGIKEIPEEKKKKDNMIESEPSISIDELSFS >KJB65787 pep chromosome:Graimondii2_0_v6:10:21671890:21676033:-1 gene:B456_010G113100 transcript:KJB65787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGPPRLRSMNMADSEARPVLGPAGNKTGSLSARKPGSKPSRKIEKCSAEATLAEEKNGLQSSKVNSHSVSVVPSVLRRHEQLLHSNLSLNASCSSDASTDSFHSRASTGRLIWSNSVGTRRKPFPSTPRSVVSDGGLDSLPGDSHRRKRCAWVTPNTDPSYVAFHDEEWGVPVHDDKKLFELLVLAGALSELTWPAILSKRHIFREVFADFDPLAVSKLNEKKLIAPGSTASSLLSELKLRAIVENAHQISKVIDEFGSFDKYIWSFVNHKPIVSRFRYPRQVPVKTPKADVISKDLVRRGFRSVGPTVIYSFMQVSGITNDHLTSCFRFQDCITAAEGKEENGIKEIPEEKKKKDNMIESEPSISIDELSFS >KJB65789 pep chromosome:Graimondii2_0_v6:10:21673279:21675034:-1 gene:B456_010G113100 transcript:KJB65789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGPPRLRSMNMADSEARPVLGPAGNKTGSLSARKPGSKPSRKIEKCSAEATLAEEKNGLQSSKVNSHSVSVVPSVLRRHEQLLHSNLSLNASCSSDASTDSFHSRASTGRLIWSNSVGTRRKPFPSTPRSVVSDGGLDSLPGDSHRRKRCAWVTPNTDPSYVAFHDEEWGVPVHDDKKLFELLVLAGALSELTWPAILSKRHIFRYCFD >KJB65790 pep chromosome:Graimondii2_0_v6:10:21671912:21675891:-1 gene:B456_010G113100 transcript:KJB65790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNHPKLIPILLVLFLQCYAAMNRTRRKPFPSTPRSVVSDGGLDSLPGDSHRRKRCAWVTPNTDPSYVAFHDEEWGVPVHDDKKLFELLVLAGALSELTWPAILSKRHIFREVFADFDPLAVSKLNEKKLIAPGSTASSLLSELKLRAIVENAHQISKVIDEFGSFDKYIWSFVNHKPIVSRFRYPRQVPVKTPKADVISKDLVRRGFRSVGPTVIYSFMQVSGITNDHLTSCFRFQDCITAAEGKEENGIKEIPEEKKKKDNMIESEPSISIDELSFS >KJB65788 pep chromosome:Graimondii2_0_v6:10:21672532:21673546:-1 gene:B456_010G113100 transcript:KJB65788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSMMKSGEFPYMMISIKLFELLVLAGALSELTWPAILSKRHIFREVFADFDPLAVSKLNEKKLIAPGSTASSLLSELKLRAIVENAHQISKVIDEFGSFDKYIWSFVNHKPIVSRFRYPRQVPVKTPKADVISKDLVRRGFRSVGPTVIYSFMQVSGITNDHLTSCFRFQDCITAAEGKEENGIKEIPEEKKKKDNMIESEPSISIDELSFS >KJB65792 pep chromosome:Graimondii2_0_v6:10:21671912:21675891:-1 gene:B456_010G113100 transcript:KJB65792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGPPRLRSMNMADSEARPVLGPAGNKTGSLSARKPGSKPSRKIEKCSAEATLAEEKNGLQSSKVNSHSVSVVPSVLRRHEQLLHSNLSLNASCSSDASTDSFHSRASTGRLIWSNSVGTRRKPFPSTPRSVVSDGGLDSLPGDSHRRKRCAWVTPNTDPSYVAFHDEEWGVPVHDDKKLFELLVLAGALSELTWPAILSKRHIFREVFADFDPLAVSKLNEKKLIAPGSTASSLLSELKLRAIVENAHQISKVIDEFGSFDKYIWSFVNHKPIVSRFRYPRQVPVKTPKADVISKDLIPGLYNSSRRKRRKRDQRNSRREKKKR >KJB65786 pep chromosome:Graimondii2_0_v6:10:21672830:21675034:-1 gene:B456_010G113100 transcript:KJB65786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGPPRLRSMNMADSEARPVLGPAGNKTGSLSARKPGSKPSRKIEKCSAEATLAEEKNGLQSSKVNSHSVSVVPSVLRRHEQLLHSNLSLNASCSSDASTDSFHSRASTGRLIWSNSVGTRRKPFPSTPRSVVSDGGLDSLPGDSHRRKRCAWVTPNTDPSYVAFHDEEWGVPVHDDKKLFELLVLAGALSELTWPAILSKRHIFREVFADFDPLAVSKLNEKKLIAPGSTASSLLSELKLRAIVENAHQISKVLMMPGYRRVWVIRQVYLEFREPQTYS >KJB63217 pep chromosome:Graimondii2_0_v6:10:1401768:1405823:1 gene:B456_010G0184002 transcript:KJB63217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGTFKTSPWYVRFGKFQGVLKTREKVVSICVNGIEANFHMFLDHKGEAYFLREVDGEEGESEGVPFPFPSGYDTDEPMSGNRMPMKSKSCNYDADRSNSAGEVDVSNGKILARTTSRRSRIFGLVFGRRSMKEDGYQEAIGAADVMRLDPLERAEIAADLLEVKWSTNLISNRSNTNGSGLPSTNDALDDKGSEEHTLITREENQFQSSVHDEEGKNNVEENQFQSSVHHEENGVGHQTLLEETECCNEQKVSSHSGLENVEYSVEESSIQVSYLGMEQQLDETSSLGEGFMEDKSKVIVNNSVSIDDLSVVNSDHNENDMGAVLDMSGPDLQCQLNFEEFTDKRFGEEPDGNERNVVLPVPGNSNKEVVPESLQSVVYSKMSESSVITSDGSSEQTHQIMSFSNVGNGKLRVHAETLVKMTALVPEVTVLKQTEDMELDSEGEITVSESCSQMASVDPVIGLEEMKLHSFHTSSPISDLGDQAEDEKNTDDVVHCSLESVDDSQNFYHDRDPKRCIPPSESSDDEQFLFSDLDELKLCEPDSVNKDLHPSACIENEGNGLCNVNNKSYLNPDNFVQENPSNDLEDSVGKSRTISNPISISRNHRVAGENNGLQVESLPNMWSLDAKFDANNHRPVSHSMDSSSGTMKWTSSTKDDMSCKRSDAEHGRSSSDESETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAACEVFDAEKLDSKKISSLGPPVVKSDKLVVRIGGRYFPWDEAAPIILGMVAFGSEKIFEPKGMIPVDRVEKSIEGDPSKDVSRSGSWRLWPFSLKRSRSRKAVQLASADTRDLDAEIAAEVTVAIDDDKNLLKSKQMKKMIRAITPTSEQLASLNLKDGMNHITFTFSTPMLGKQQVDARIYLWKWNTRVVISDVDGTIT >KJB63218 pep chromosome:Graimondii2_0_v6:10:1401595:1405823:1 gene:B456_010G0184002 transcript:KJB63218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGTFKTSPWYVRFGKFQGVLKTREKVVSICVNGIEANFHMFLDHKGEAYFLREVDGEEGESEGVPFPFPSGYDTDEPMSGNRMPMKSKSCNYDADRSNSAGEVDVSNGKILARTTSRRSRIFGLVFGRRSMKEDGYQEAIGAADVMRLDPLERAEIAADLLEVKWSTNLISNRSNTNGSGLPSTNDALDDKGSEEHTLITREENQFQSSVHDEEGKNNVEENQFQSSVHHEENGVGHQTLLEETECCNEQKVSSHSGLENVEYSVEESSIQVSYLGMEQQLDETSSLGEGFMEDKSKVIVNNSVSIDDLSVVNSDHNENDMGAVLDMSGPDLQCQLNFEEFTDKRFGEEPDGNERNVVLPVPGNSNKEVVPESLQSVVYSKMSESSVITSDGSSEQTHQIMSFSNVGNGKLRVHAETLVKMTALVPEVTVLKQTEDMELDSEGEITVSESCSQMASVDPVIGLEEMKLHSFHTSSPISDLGDQAEDEKNTDDVVHCSLESVDDSQNFYHDRDPKRCIPPSESSDDEQFLFSDLDELKLCEPDSVNKDLHPSACIENEGNGLCNVNNKSYLNPDNFVQENPSNDLEDSVGKSRTISNPISISRNHRVAGENNGLQVESLPNMWSLDAKFDANNHRPVSHSMDSSSGTMKWTSSTKDDMSCKRSDAEHGRSSSDESETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAACEVFDAEKLDSKKISSLGPPVVKSDKLVVRIGGRYFPWDEAAPIILGMVAFGSEKIFEPKGMIPVDRVEKSIEGDPSKDVSRSGSWRLWPFSLKRSRSRKAVQLASADTRDLDAEIAAEVTVAIDDDKNLLKSKQMKKMIRAITPTSEQLASLNLKDGMNHITFTFSTPMLGKQQVDARIYLWKWNTRVVISDVDGTIT >KJB63221 pep chromosome:Graimondii2_0_v6:10:1402379:1405823:1 gene:B456_010G0184002 transcript:KJB63221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGTFKTSPWYVRFGKFQGVLKTREKVVSICVNGIEANFHMFLDHKGEAYFLREVDGEEGESEGVPFPFPSGYDTDEPMSGNRMPMKSKSCNYDADRSNSAGEVDVSNGKILARTTSRRSRIFGLVFGRRSMKEDGYQEAIGAADVMRLDPLERAEIAADLLEVKWSTNLISNRSNTNGSGLPSTNDALDDKGSEEHTLITREENQFQSSVHDEEGKNNVEENQFQSSVHHEENGVGHQTLLEETECCNEQKVSSHSGLENVEYSVEESSIQVSYLGMEQQLDETSSLGEGFMEDKSKVIVNNSVSIDDLSVVNSDHNENDMGAVLDMSGPDLQCQLNFEEFTDKRFGEEPDGNERNVVLPVPGNSNKEVVPESLQSVVYSKMSESSVITSDGSSEQTHQIMSFSNVGNGKLRVHAETLVKMTALVPEVTVLKQTEDMELDSEGEITVSESCSQMASVDPVIGLEEMKLHSFHTSSPISDLGDQAEDEKNTDDVVHCSLESVDDSQNFYHDRDPKRCIPPSESSDDEQFLFSDLDELKLCEPDSVNKDLHPSACIENEGNGLCNVNNKSYLNPDNFVQENPSNDLEDSVGKSRTISNPISISRNHRVAGENNGLQVESLPNMWSLDAKFDANNHRPVSHSMDSSSGTMKWTSSTKDDMSCKRSDAEHGRSSSDESETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAACEVFDAEKLDSKKISSLGPPVVKSDKLVVRIGGRYFPWDEAAPIILGMVAFGSEKIFEPKGMIPVDRVEKSIEGDPSKDVSRSGSWRLWPFSLKRSRSRKAVQLASADTRDLDAEIAAEVTVAIDDDKNLLKSKQMKKMIRAITPTSEQLASLNLKDGMNHITFTFSTPMLGKQQVDARIYLWKWNTRVVISDVDGTIT >KJB63220 pep chromosome:Graimondii2_0_v6:10:1402039:1405823:1 gene:B456_010G0184002 transcript:KJB63220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGTFKTSPWYVRFGKFQGVLKTREKVVSICVNGIEANFHMFLDHKGEAYFLREVDGEEGESEGVPFPFPSGYDTDEPMSGNRMPMKSKSCNYDADRSNSAGEVDVSNGKILARTTSRRSRIFGLVFGRRSMKEDGYQEAIGAADVMRLDPLERAEIAADLLEVKWSTNLISNRSNTNGSGLPSTNDALDDKGSEEHTLITREENQFQSSVHDEEGKNNVEENQFQSSVHHEENGVGHQTLLEETECCNEQKVSSHSGLENVEYSVEESSIQVSYLGMEQQLDETSSLGEGFMEDKSKVIVNNSVSIDDLSVVNSDHNENDMGAVLDMSGPDLQCQLNFEEFTDKRFGEEPDGNERNVVLPVPGNSNKEVVPESLQSVVYSKMSESSVITSDGSSEQTHQIMSFSNVGNGKLRVHAETLVKMTALVPEVTVLKQTEDMELDSEGEITVSESCSQMASVDPVIGLEEMKLHSFHTSSPISDLGDQAEDEKNTDDVVHCSLESVDDSQNFYHDRDPKRCIPPSESSDDEQFLFSDLDELKLCEPDSVNKDLHPSACIENEGNGLCNVNNKSYLNPDNFVQENPSNDLEDSVGKSRTISNPISISRNHRVAGENNGLQVESLPNMWSLDAKFDANNHRPVSHSMDSSSGTMKWTSSTKDDMSCKRSDAEHGRSSSDESETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAACEVFDAEKLDSKKISSLGPPVVKSDKLVVRIGGRYFPWDEAAPIILGMVAFGSEKIFEPKGMIPVDRVEKSIEGDPSKDVSRSGSWRLWPFSLKRSRSRKAVQLASADTRDLDAEIAAEVTVAIDDDKNLLKSKQMKKMIRAITPTSEQLASLNLKDGMNHITFTFSTPMLGKQQVDARIYLWKWNTRVVISDVDGTIT >KJB63219 pep chromosome:Graimondii2_0_v6:10:1402039:1405730:1 gene:B456_010G0184002 transcript:KJB63219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGTFKTSPWYVRFGKFQGVLKTREKVVSICVNGIEANFHMFLDHKGEAYFLREVDGEEGESEGVPFPFPSGYDTDEPMSGNRMPMKSKSCNYDADRSNSAGEVDVSNGKILARTTSRRSRIFGLVFGRRSMKEDGYQEAIGAADVMRLDPLERAEIAADLLEVKWSTNLISNRSNTNGSGLPSTNDALDDKGSEEHTLITREENQFQSSVHDEEGKNNVEENQFQSSVHHEENGVGHQTLLEETECCNEQKVSSHSGLENVEYSVEESSIQVSYLGMEQQLDETSSLGEGFMEDKSKVIVNNSVSIDDLSVVNSDHNENDMGAVLDMSGPDLQCQLNFEEFTDKRFGEEPDGNERNVVLPVPGNSNKEVVPESLQSVVYSKMSESSVITSDGSSEQTHQIMSFSNVGNGKLRVHAETLVKMTALVPEVTVLKQTEDMELDSEGEITVSESCSQMASVDPVIGLEEMKLHSFHTSSPISDLGDQAEDEKNTDDVVHCSLESVDDSQNFYHDRDPKRCIPPSESSDDEQFLFSDLDELKLCEPDSVNKDLHPSACIENEGNGLCNVNNKSYLNPDNFVQENPSNDLEDSVGKSRTISNPISISRNHRVAGENNGLQVESLPNMWSLDAKFDANNHRPVSHSMDSSSGTMKWTSSTKDDMSCKRSDAEHGRSSSDESETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAACEVFDAEKLDSKKISSLGPPVVKSDKLVVRIGGRYFPWDEAAPIILGMVAFGSEKIFEPKGMIPVDRVEKSIEGDPSKDVSRSGSWRLWPFSLKRSRSRKAVQLASADTRDLDAEIAAEVTVAIDDDKNLLKSKQMKKMIRAITPTSEQLASLNLKDGMNHITFTFSTPMLGKQQVLFVESLLEVTYSF >KJB64150 pep chromosome:Graimondii2_0_v6:10:3180984:3189577:-1 gene:B456_010G034800 transcript:KJB64150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQSSRSHAIFTISMEQKKIASCLSGVNDDTADDILCAKLHLVDLAGSERAKRTGANGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVVNRDPMASQLQAMRSQIEQLQAELLFYRGDGNASFDELQILKHKVSLLEASNGELRQELQERRLTSEQLAQRALDAQVEKDKLIMKIELVRNGKSWDEIEFNQNQDFDLMKTYLLKIQELEGEMIRVKSLSSSKCKRISDCIDEDEDEDETSKDLLFSSGNDYFSSDEIEDNEKELEHSTLQEKLDLELMELDKRLEQKEAEMKRFTSSDTSVLRQHYEKKVNELELEKKALQKEIDQLRQNLANISSPSDVGAQKLREEYLHKLNVLESQVAELKKKQDAQAQLLRQKQRSDEAAKRLQEEIQRIKSQKVQLQQKIKQESEQFRLWKASREKEVHQLKKEGRRNEYEMHKLLAQNQRQKMVLQRKTEEAAMATKRLKELLESRKASSREASSAGNGNGPGVQTILQTVEHELEVTVRVHEVRSEYEHQVEERAKMAKEVSRLKEESEILKHSELRDYHETMSAEARNSRIFGLENMLAATSSTLVAMASQLSEAEERERTCSGRGRWNHVRSLGDARHIMNHLFNLASSSRCSLRDKEVHCREKDAEMKDLNEKVVVLSGLTRQLAAEKAALTREVKLKNAIIKHSIRETADSYIPHSNGETDELWKLEQGNSVNFTEDMDTSPSEHSDVNASDDDDWVKSQKEPDRRKAHRARDKALSMGIHKSDANDLENKKGDVNEVKGRTDGLLCCNCNNSLCKTSKCHCRANGSCCGQACDCSSAKCSNREIEVNMANDIGTNEEEKNLVAHGAVLLQNALESEKAVETNDNGDARKALTDIGNVLAKHNAPKPNKRKKWRKSVVQLVPVAPPSSQRENTATPPQSPKNVDPPKQSDGRPTSTIRSAASSISNKLLRVRNASQPHESTNKETTTILTPTTSEEKENCRR >KJB64147 pep chromosome:Graimondii2_0_v6:10:3181316:3189018:-1 gene:B456_010G034800 transcript:KJB64147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIFRRVETMKHSTEFLIRVSFIEIFKEEVFDLLDSHQASLSKVEGASLPKPTGLGRPPIQIREAGNGGITLSGVTEAEVRTKEEMASNLARGSLSRATGSTNMNSQSSRSHAIFTISMEQKKIASCLSGVNDDTADDILCAKLHLVDLAGSERAKRTGANGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVVNRDPMASQLQAMRSQIEQLQAELLFYRGDGNASFDELQILKHKVSLLEASNGELRQELQERRLTSEQLAQRALDAQVEKDKLIMKIELVRNGKSWDEIEFNQNQDFDLMKTYLLKIQELEGEMIRVKSLSSSKCKRISDCIDEDEDEDETSKDLLFSSGNDYFSSDEIEDNEKELEHSTLQEKLDLELMELDKRLEQKEAEMKRFTSSDTSVLRQHYEKKVNELELEKKALQKEIDQLRQNLANISSPSDVGAQKLREEYLHKLNVLESQVAELKKKQDAQAQLLRQKQRSDEAAKRLQEEIQRIKSQKVQLQQKIKQESEQFRLWKASREKEVHQLKKEGRRNEYEMHKLLAQNQRQKMVLQRKTEEAAMATKRLKELLESRKASSREASSAGNGNGPGVQTILQTVEHELEVTVRVHEVRSEYEHQVEERAKMAKEVSRLKEESEILKHSELSEGTFLLMLPKCSRDYHETMSAEARNSRIFGLENMLAATSSTLVAMASQLSEAEERERTCSGRGRWNHVRSLGDARHIMNHLFNLASSSRCSLRDKEVHCREKDAEMKDLNEKVVVLSGLTRQLAAEKAALTREVKLKNAIIKHSIRETADSYIPHSNGETDELWKLEQGNSVNFTEDMDTSPSEHSDVNASDDDDWVKSQKEPDRRKAHRARDKALSMGIHKSDANDLENKKGDVNEVKGRTDGLLCCNCNNSLCKTSKCHCRANGSCCGQACDCSSAKCSNREIEVNMANDIGTNEEEKNLVAHGAVLLQNALESEKAVETNDNGDARKALTDIGNVLAKHNAPKPNKRKKWRKSVVQLVPVAPPSSQRENTATPPQSPKNVDPPKQSDGRPTSTIRSAASSISNKLLRVRNASQPHESTNKETTTILTPTTSEEKENCRR >KJB64149 pep chromosome:Graimondii2_0_v6:10:3181316:3188619:-1 gene:B456_010G034800 transcript:KJB64149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQSSRSHAIFTISMEQKKIASCLSGVNDDTADDILCAKLHLVDLAGSERAKRTGANGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVVNRDPMASQLQAMRSQIEQLQAELLFYRGDGNASFDELQILKHKVSLLEASNGELRQELQERRLTSEQLAQRALDAQVEKDKLIMKIELVRNGKSWDEIEFNQNQDFDLMKTYLLKIQELEGEMIRVKSLSSSKCKRISDCIDEDEDEDETSKDLLFSSGNDYFSSDEIEDNEKELEHSTLQEKLDLELMELDKRLEQKEAEMKRFTSSDTSVLRQHYEKKVNELELEKKALQKEIDQLRQNLANISSPSDVGAQKLREEYLHKLNVLESQVAELKKKQDAQAQLLRQKQRSDEAAKRLQEEIQRIKSQKVQLQQKIKQESEQFRLWKASREKEVHQLKKEGRRNEYEMHKLLAQNQRQKMVLQRKTEEAAMATKRLKELLESRKASSREASSAGNGNGPGVQTILQTVEHELEVTVRVHEVRSEYEHQVEERAKMAKEVSRLKEESEILKHSELSRDYHETMSAEARNSRIFGLENMLAATSSTLVAMASQLSEAEERERTCSGRGRWNHVRSLGDARHIMNHLFNLASSSRCSLRDKEVHCREKDAEMKDLNEKVVVLSGLTRQLAAEKAALTREVKLKNAIIKHSIRETADSYIPHSNGETDELWKLEQGNSVNFTEDMDTSPSEHSDVNASDDDDWVKSQKEPDRRKAHRARDKALSMGIHKSDANDLENKKGDVNEVKGRTDGLLCCNCNNSLCKTSKCHCRANGSCCGQACDCSSAKCSNREIEVNMANDIGTNEEEKNLVAHGAVLLQNALESEKAVETNDNGDARKALTDIGNVLAKHNAPKPNKRKKWRKSVVQLVPVAPPSSQRENTATPPQSPKNVDPPKQSDGRPTSTIRSAASSISNKLLRVRNASQPHESTNKETTTILTPTTSEEKENCRR >KJB64148 pep chromosome:Graimondii2_0_v6:10:3180984:3189577:-1 gene:B456_010G034800 transcript:KJB64148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQSSRSHAIFTISMEQKKIASCLSGVNDDTADDILCAKLHLVDLAGSERAKRTGANGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVVNRDPMASQLQAMRSQIEQLQAELLFYRGDGNASFDELQILKHKVSLLEASNGELRQELQERRLTSEQLAQRALDAQVEKDKLIMKIELVRNGKSWDEIEFNQNQDFDLMKTYLLKIQELEGEMIRVKSLSSSKCKRISDCIDEDEDEDETSKDLLFSSGNDYFSSDEIEDNEKELEHSTLQEKLDLELMELDKRLEQKEAEMKRFTSSDTSVLRQHYEKKVNELELEKKALQKEIDQLRQNLANISSPSDVGAQKLREEYLHKLNVLESQVAELKKKQDAQAQLLRQKQRSDEAAKRLQEEIQRIKSQKVQLQQKIKQESEQFRLWKASREKEVHQLKKEGRRNEYEMHKLLAQNQRQKMVLQRKTEEAAMATKRLKELLESRKASSREASSAGNGNGPGVQTILQTVEHELEVTVRVHEVRSEYEHQVEERAKMAKEVSRLKEESEILKHSELSEGTFLLMLPKCSRDYHETMSAEARNSRIFGLENMLAATSSTLVAMASQLSEAEERERTCSGRGRWNHVRSLGDARHIMNHLFNLASSSRCSLRDKEVHCREKDAEMKDLNEKVVVLSGLTRQLAAEKAALTREVKLKEQGNSVNFTEDMDTSPSEHSDVNASDDDDWVKSQKEPDRRKAHRARDKALSMGIHKSDANDLENKKGDVNEVKGRTDGLLCCNCNNSLCKTSKCHCRANGSCCGQACDCSSAKCSNREIEVNMANDIGTNEEEKNLVAHGAVLLQNALESEKAVETNDNGDARKALTDIGNVLAKHNAPKPNKRKKWRKSVVQLVPVAPPSSQRENTATPPQSPKNVDPPKQSDGRPTSTIRSAASSISNKLLRVRNASQPHESTNKETTTILTPTTSEEKENCRR >KJB64146 pep chromosome:Graimondii2_0_v6:10:3180984:3189047:-1 gene:B456_010G034800 transcript:KJB64146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIFRRVETMKHSTEFLIRVSFIEIFKEEVFDLLDSHQASLSKVEGASLPKPTGLGRPPIQIREAGNGGITLSGVTEAEVRTKEEMASNLARGSLSRATGSTNMNSQSSRSHAIFTISMEQKKIASCLSGVNDDTADDILCAKLHLVDLAGSERAKRTGANGMRFKEGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVVNRDPMASQLQAMRSQIEQLQAELLFYRGDGNASFDELQILKHKVSLLEASNGELRQELQERRLTSEQLAQRALDAQVEKDKLIMKIELVRNGKSWDEIEFNQNQDFDLMKTYLLKIQELEGEMIRVKSLSSSKCKRISDCIDEDEDEDETSKDLLFSSGNDYFSSDEIEDNEKELEHSTLQEKLDLELMELDKRLEQKEAEMKRFTSSDTSVLRQHYEKKVNELELEKKALQKEIDQLRQNLANISSPSDVGAQKLREEYLHKLNVLESQVAELKKKQDAQAQLLRQKQRSDEAAKRLQEEIQRIKSQKVQLQQKIKQESEQFRLWKASREKEVHQLKKEGRRNEYEMHKLLAQNQRQKMVLQRKTEEAAMATKRLKELLESRKASSREASSAGNGNGPGVQTILQTVEHELEVTVRVHEVRSEYEHQVEERAKMAKEVSRLKEESEILKHSELSEGTFLLMLPKCSRDYHETMSAEARNSRIFGLENMLAATSSTLVAMASQLSEAEERERTCSGRGRWNHVRSLGDARHIMNHLFNLASSSRCSLRDKEVHCREKDAEMKDLNEKVVVLSGLTRQLAAEKAALTREVKLKNAIIKHSIRETADSYIPHSNGETDELWKLEQGNSVNFTEDMDTSPSEHSDVNASDDDDWVKSQKEPDRRKAHRARDKALSMGIHKSDANDLENKKGDVNEVKGRTDGLLCCNCNNSLCKTSKCHCRANGSCCGQACDCSSAKCSNREIEVNMANDIGTNEEEKNLVAHGAVLLQNALESEKAVETNDNGDARKALTDIGNVLAKHNAPKPNKRKKWRKSVVQLVPVAPPSSQRENTATPPQSPKNVDPPKQSDGRPTSTIRSAASSISNKLLRVRNASQPHESTNKETTTILTPTTSEEKENCRR >KJB64151 pep chromosome:Graimondii2_0_v6:10:3181316:3189577:-1 gene:B456_010G034800 transcript:KJB64151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQSSRSHAIFTISMEQKKIASCLSGVNDDTADDILCAKLHLVDLAGSERAKRTGANGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVVNRDPMASQLQAMRSQIEQLQAELLFYRGDGNASFDELQILKHKVSLLEASNGELRQELQERRLTSEQLAQRALDAQVEKDKLIMKIELVRNGKSWDEIEFNQNQDFDLMKTYLLKIQELEGEMIRVKSLSSSKCKRISDCIDEDEDEDETSKDLLFSSGNDYFSSDEIEDNEKELEHSTLQEKLDLELMELDKRLEQKEAEMKRFTSSDTSVLRQHYEKKVNELELEKKALQKEIDQLRQNLANISSPSDVGAQKLREEYLHKLNVLESQVAELKKKQDAQAQLLRQKQRSDEAAKRLQEEIQRIKSQKVQLQQKIKQESEQFRLWKASREKEVHQLKKEGRRNEYEMHKLLAQNQRQKMVLQRKTEEAAMATKRLKELLESRKASSREASSAGNGNGPGVQTILQTVEHELEVTVRVHEVRSEYEHQVEERAKMAKEVSRLKEESEILKHSELSEGTFLLMLPKCSRDYHETMSAEARNSRIFGLENMLAATSSTLVAMASQLSEAEERERTCSGRGRWNHVRSLGDARHIMNHLFNLASSSRCSLRDKEVHCREKDAEMKDLNEKVVVLSGLTRQLAAEKAALTREVKLKNAIIKHSIRETADSYIPHSNGETDELWKLEQGNSVNFTEDMDTSPSEHSDVNASDDDDWVKSQKEPDRRKAHRARDKALSMGIHKSDANDLENKKGDVNEVKGRTDGLLCCNCNNSLCKTSKCHCRANGSCCGQACDCSSAKCSNREIEVNMANDIGTNEEEKNLVAHGAVLLQNALESEKAVETNDNGDARKALTDIGNVLAKHNAPKPNKRKKWRKSVVQLVPVAPPSSQRENTATPPQSPKNVDPPKQSDGRPTSTIRSAASSISNKLLRVRNASQPHESTNKETTTILTPTTSEEKENCRR >KJB68381 pep chromosome:Graimondii2_0_v6:10:61115800:61119283:-1 gene:B456_010G241900 transcript:KJB68381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASLIQAGCGFSPIFSNNSNNCSFRTGFVGPVGPHSSSSSSSSCSWSSHNLNFSSGTNSNWKHQGLRAQAMSTTTQGGFAAAGRIGNGKNEPDHLLVLVHGILASPSDWTYAEAELKRRLGRNFLIYASSCNSHTKTFAGVDGAGKRLAEEVLQVVKKRESLKRISFLAHSLGGLFARYAVAVLYSESDSSGTQSNNVTDSSDGNLQTSAPSRQGMIAGLEPVSFITLATPHLGVRGRKQLPFLLGLPLLEKLAPPIAPFVVGRTGKQLFLTDGKPSRPPLLLRMASDCEEGKFLSALGAFRNRTVYANIWLVGVHPLSGGRRNLSSPHHNLWMVINTLSMSSTVHLFLLTALIFLWKQPKQKQRQRMRPT >KJB68382 pep chromosome:Graimondii2_0_v6:10:61117230:61119283:-1 gene:B456_010G241900 transcript:KJB68382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASLIQAGCGFSPIFSNNSNNCSFRTGFVGPVGPHSSSSSSSSCSWSSHNLNFSSGTNSNWKHQGLRAQAMSTTTQGGFAAAGRIGNGKNEPDHLLVLVHGILASPSDWTYAEAELKRRLGRNFLIYASSCNSHTKTFAGVDGAGKRLAEEVLQVVKKRESLKRISFLAHSLGGLFARYAVAVLYSESDSSGTQSNNVTDSSDGNLQTSAPSRQGMIAGLEPVSFITLATPHLGVRGRKQVCSRWCWLSSFIMFWSPIIPMLLLCFWYLIKCF >KJB68380 pep chromosome:Graimondii2_0_v6:10:61115729:61119403:-1 gene:B456_010G241900 transcript:KJB68380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASLIQAGCGFSPIFSNNSNNCSFRTGFVGPVGPHSSSSSSSSCSWSSHNLNFSSGTNSNWKHQGLRAQAMSTTTQGGFAAAGRIGNGKNEPDHLLVLVHGILASPSDWTYAEAELKRRLGRNFLIYASSCNSHTKTFAGVDGAGKRLAEEVLQVVKKRESLKRISFLAHSLGGLFARYAVAVLYSESDSSGTQSNNVTDSSDGNLQTSAPSRQGMIAGLEPVSFITLATPHLGVRGRKQLPFLLGLPLLEKLAPPIAPFVVGRTGKQLFLTDGKPSRPPLLLRMASDCEEGKFLSALGAFRNRTVYANVSYDHMVGWRTSSIRREKELVKPPSQSLDGYKHVVDVEYCPPVSSDGPHFPLEAAKAKAAAKNAPNIKNTVEYHELMEEEMIQGLQKLGWKKIDVSFHSSLWPFFAHNNIHVKNEWFHNAGAGVIAHVADTLKQQESSSSFISASL >KJB66896 pep chromosome:Graimondii2_0_v6:10:47207714:47212914:-1 gene:B456_010G163500 transcript:KJB66896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKAAVRFTLGKQSSMAPERDRGEADGKENEEGEEIDEGVRLMYLANEGDLDGIRELLDSGINVNFRDIDDRTALHIAACQGQTDVVSLLLQRGADVESTDRWGSTPLADAVYYKNHDVIKLLEKHGAKPFMAPMHVNHAREVPEYEIDPKELDFTNSVNITKGTFCIALWRGTQVAVKRVGDEVFTDEDKVRAFRDELALFQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRAFLKRKGALKPMTALRFALDIARGMNYLHENKPAPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVKEDKPLTCLDTSCRYVAPEVFKNDDYDTKVDVFSFALILQEMIEGYPPFSAKQDNEVPKVYAARERPPFKAPAKHYAHGLKE >KJB66893 pep chromosome:Graimondii2_0_v6:10:47206479:47212924:-1 gene:B456_010G163500 transcript:KJB66893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKAAVRFTLGKQSSMAPERDRGEADGKENEEGEEIDEGVRLMYLANEGDLDGIRELLDSGINVNFRDIDDRTALHIAACQGQTDVVSLLLQRGADVESTDRWGSTPLADAVYYKNHDVIKLLEKHGAKPFMAPMHVNHAREVPEYEIDPKELDFTNSVNITKGTFCIALWRGTQVAVKRVGDEVFTDEDKVRAFRDELALFQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRAFLKRKGALKPMTALRFALDIARGMNYLHENKPAPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVKEDKPLTCLDTSCRYVAPEVFKNDDYDTKVDVFSFALILQEMIEGYPPFSAKQDNEVPKVYAARERPPFKAPAKHYAHGLKELIEECWNEKPAKRPTFRRIITRLESIHNSFSHRKRWKVRPLKCFQNLEAMLKKDHSSPSSRSNSSRSTNSI >KJB66895 pep chromosome:Graimondii2_0_v6:10:47206567:47212914:-1 gene:B456_010G163500 transcript:KJB66895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKAAVRFTLGKQSSMAPERDRGEADGKENEEGEEIDEGVRLMYLANEGDLDGIRELLDSGINVNFRDIDDRTALHIAACQGQTDVVSLLLQRGADVESTDRWGSTPLADAVYYKNHDVIKLLEKHGAKPFMAPMHVNHAREVPEYEIDPKELDFTNSVNITKGTFCIALWRGTQVAVKRVGDEVFTDEDKVRAFRDELALFQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRAFLKRKGALKPMTALRFALDIARGMNYLHENKPAPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVKEDKPLTCLDTSCRYVAPEVFKNDDYDTKVDVFSFALILQEMIEGYPPFSAKQDNEVPKVYAARERPPFKAPAKHYAHGLKEICTHGTRGIPTCMYTSEMN >KJB66894 pep chromosome:Graimondii2_0_v6:10:47206567:47210987:-1 gene:B456_010G163500 transcript:KJB66894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLQGTFCIALWRGTQVAVKRVGDEVFTDEDKVRAFRDELALFQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRAFLKRKGALKPMTALRFALDIARGMNYLHENKPAPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVKEDKPLTCLDTSCRYVAPEVFKNDDYDTKVDVFSFALILQEMIEGYPPFSAKQDNEVPKVYAARERPPFKAPAKHYAHGLKELIEECWNEKPAKRPTFRRIITRLESIHNSFSHRKRWKVRPLKCFQNLEAMLKKDHSSPSSRSNSSRSTNSI >KJB63951 pep chromosome:Graimondii2_0_v6:10:2147693:2151548:1 gene:B456_010G026500 transcript:KJB63951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLARSLVNSLLLNEEDDNESASSVDEENDVATRQSQSSSLPLRQSLELEETQSLQQHSLSPQQIAEHQSRGVKEDLTELKQTLTRQLWGVASFLAPPPSPRTQSDCLLDDVSFSNLNRVEPSGSVAFEGAEIGGRLLKMAAAEDFPFGSGENEEENGRENESEDEEVDQVFNAVGLTDEVLTFARNIAHHPETWLDFPLDPDEDLDDFDMSVAQQEHTMAIEHLAPRLAALRFELCPCHINDGYFWKVYFVLLHSRLNKHDAEVLSTPQRGQDAICFLVKASTVMEARTLWMKELQKQTKRETDWYGRSTSHLGGSSSTLHNDLIPSSSSYFAFETTSPRTYTSEPTSSITTDYEEKHPLESTEMPVIDKSVIEEHPVSSTEDKASSKITIPTFEDDDIDWPEDDDSEFGGYGGATICVENEEDISFSDLEDVDYSITPTKSKMVSKGFETSKT >KJB63950 pep chromosome:Graimondii2_0_v6:10:2147642:2151608:1 gene:B456_010G026500 transcript:KJB63950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLARSLVNSLLLNEEDDNESASSVDEENDVATRQSQSSSLPLRQSLELEETQSLQQHSLSPQQIAEHQSRGVKEDLTELKQTLTRQLWGVASFLAPPPSPRTQSDCLLDDVSFSNLNRVEPSGSVAFEGAEIGGRLLKMAAAEDFPFGSGENEEENGRENESEDEEVDQVFNAVGLTDEVLTFARNIAHHPETWLDFPLDPDEDLDDFDMSVAQQEHTMAIEHLAPRLAALRFELCPCHINDGYFWKVYFVLLHSRLNKHDAEVLSTPQVMEARTLWMKELQKQTKRETDWYGRSTSHLGGSSSTLHNDLIPSSSSYFAFETTSPRTYTSEPTSSITTDYEEKHPLESTEMPVIDKSVIEEHPVSSTEDKASSKITIPTFEDDDIDWPEDDDSEFGGYGGATICVENEEDISFSDLEDVDYSITPTKSKMVSKGFETSKT >KJB66952 pep chromosome:Graimondii2_0_v6:10:48722202:48723963:-1 gene:B456_010G167900 transcript:KJB66952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLQFYINDNGDKVYTTKKESPVGMPTQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQQPPQKY >KJB66950 pep chromosome:Graimondii2_0_v6:10:48721754:48723899:-1 gene:B456_010G167900 transcript:KJB66950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHCIKVLIFFSFCSCCSFYSDKMYLQFYINDNGDKVYTTKKESPVGMPTQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQQPPQKY >KJB66951 pep chromosome:Graimondii2_0_v6:10:48722202:48724024:-1 gene:B456_010G167900 transcript:KJB66951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLQFYINDNGDKVYTTKKESPVGMPTQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQQPPQKY >KJB68426 pep chromosome:Graimondii2_0_v6:10:61388981:61391825:1 gene:B456_010G244800 transcript:KJB68426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTQKKKIKRKERNVPQLSLFITKSKPKLVVVELKTLFCSPPFLLVSGFSSIYLSMDLTPQQLIQFNGSDPSKPIYVAINGRIYDVTAGKSFYGPGGAYAMFAGKDASRALAKMSKNEEDVCPNLDGLSEKEMDVLNDWVKKFEAKYPVVGRVVS >KJB65886 pep chromosome:Graimondii2_0_v6:10:22914699:22922231:-1 gene:B456_010G117200 transcript:KJB65886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEFHLHTMMQDIAASLLMEFEKWVLQAESSGTLLKTPLDSQATLSSEEVIKAKKRRLARAQKTIGDYCLLAGSPVDANAHYTTALELARLTADYFWYAGALEGSVCAILVDQIGQKDTGVEDEVRYRYNNVITHYRKSFIQDNVQRVSPITFELEATLKLARFLCRRKLIKEVVELLTNAADGAKSLIDANDRLILYVEIARLFGTLGYQRKAAFFSRQVAQLYLQQENRFAATSAMQVLGMTTKAYRVQSRASIAKHSISNEIEPGHADSGKLHHLLVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLASALKSAAERLPSGTRCADPALPFIRVYSFPLHPSQMDIVKRNPAREDWWAGSAPSGPFIYTPFSKGEPTKNGKQDLVWIVGEPVQVFVELANPCGFDLKVDNIYLSVQSGNFDAFPLSVDLPTNSSEVIMLSGIPTSVGRVEIPGCTVHCFGVITEHLFRDVDNLLLGAAQGLVLSDPFRCCGSPRLKNVSVPNISVIPPLPLLVSHVVGGDGAIVLYEGEIRDVWINLANAGTIAVEQAHISLSGKNQDSVISIGYEKLKSALPLKPGAEVTVPLTLKAWQLGLGESDTAASKGASGSMGRTVKDGCCPSLLVHYAGSFGDARDLEKNKTSVPPGRRLIVPLQICVLQGLSFVKARLLSMEIPAYVGKGPSNPANVDGNPSNKAVGYGSKIERLVKIDPFRGSWGLRFLELEMSNPTDMVFEVSVSVLEKPSNEDNVSVDYAAEYGYPKTRIDREYFSRVLIPLEHFKLPFLDDSFFSKDLQLDESTSGKNSSLSERNTKSELNASIKSLVSRIKVRWQSGQNSCGELNITDAIRVALQSSIMDILLPDPLTFSFRLARNVSKNAANLDSPKESSINIQPSMSNSSVIEHDMTPMEVLVRNNTKETIKMNLSVTCRDVAGQNCVEGTKATVLWAGVLSGITIEVPPLEESKHSFFLYFLVPGEYTMVAAAVIDDANDVLRARAKCESPDEPIFCRGPPFHVRVIGTA >KJB65887 pep chromosome:Graimondii2_0_v6:10:22914968:22920851:-1 gene:B456_010G117200 transcript:KJB65887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVIKAKKRRLARAQKTIGDYCLLAGSPVDANAHYTTALELARLTADYFWYAGALEGSVCAILVDQIGQKDTGVEDEVRYRYNNVITHYRKSFIQDNVQRVSPITFELEATLKLARFLCRRKLIKEVVELLTNAADGAKSLIDANDRLILYVEIARLFGTLGYQRKAAFFSRQVAQLYLQQENRFAATSAMQVLGMTTKAYRVQSRASIAKHSISNEIEPGHADSGKLHHLLVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLASALKSAAERLPSGTRCADPALPFIRVYSFPLHPSQMDIVKRNPAREDWWAGSAPSGPFIYTPFSKGEPTKNGKQDLVWIVGEPVQVFVELANPCGFDLKVDNIYLSVQSGNFDAFPLSVDLPTNSSEVIMLSGIPTSVGRVEIPGCTVHCFGVITEHLFRDVDNLLLGAAQGLVLSDPFRCCGSPRLKNVSVPNISVIPPLPLLVSHVVGGDGAIVLYEGEIRDVWINLANAGTIAVEQAHISLSGKNQDSVISIGYEKLKSALPLKPGAEVTVPLTLKAWQLGLGESDTAASKGASGSMGRTVKDGCCPSLLVHYAGSFGDARDLEKNKTSVPPGRRLIVPLQICVLQGLSFVKARLLSMEIPAYVGKGPSNPANVDGNPSNKAVGYGSKIERLVKIDPFRGSWGLRFLELEMSNPTDMVFEVSVSVLEKPSNEDNVSVDYAAEYGYPKTRIDREYFSRVLIPLEHFKLPFLDDSFFSKDLQLDESTSGKNSSLSERNTKSELNASIKSLVSRIKVRWQSGQNSCGELNITDAIRVALQSSIMDILLPDPLTFSFRLARNVSKNAANLDSPKESSINIQPSMSNSSVIEHDMTPMEVLVRNNTKETIKMNLSVTCRDVAGQNCVEGTKATVLWAGVLSGITIEVPPLEESKHSFFLYFLVPGEYTMVAAAVIDDANDVLRARAKCESPDEPIFCRGPPFHVRVIGTA >KJB65888 pep chromosome:Graimondii2_0_v6:10:22914699:22922070:-1 gene:B456_010G117200 transcript:KJB65888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEFHLHTMMQDIAASLLMEFEKWVLQAESSGTLLKTPLDSQATLSSEEVIKAKKRRLARAQKTIGDYCLLAGSPVDANAHYTTALELARLTADYFWYAGALEGSVCAILVDQIGQKDTGVEDEVRYRYNNVITHYRKSFIQDNVQRRKLIKEVVELLTNAADGAKSLIDANDRLILYVEIARLFGTLGYQRKAAFFSRQVAQLYLQQENRFAATSAMQVLGMTTKAYRVQSRASIAKHSISNEIEPGHADSGKLHHLLVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLASALKSAAERLPSGTRCADPALPFIRVYSFPLHPSQMDIVKRNPAREDWWAGSAPSGPFIYTPFSKGEPTKNGKQDLVWIVGEPVQVFVELANPCGFDLKVDNIYLSVQSGNFDAFPLSVDLPTNSSEVIMLSGIPTSVGRVEIPGCTVHCFGVITEHLFRDVDNLLLGAAQGLVLSDPFRCCGSPRLKNVSVPNISVIPPLPLLVSHVVGGDGAIVLYEGEIRDVWINLANAGTIAVEQAHISLSGKNQDSVISIGYEKLKSALPLKPGAEVTVPLTLKAWQLGLGESDTAASKGASGSMGRTVKDGCCPSLLVHYAGSFGDARDLEKNKTSVPPGRRLIVPLQICVLQGLSFVKARLLSMEIPAYVGKGPSNPANVDGNPSNKAVGYGSKIERLVKIDPFRGSWGLRFLELEMSNPTDMVFEVSVSVLEKPSNEDNVSVDYAAEYGYPKTRIDREYFSRVLIPLEHFKLPFLDDSFFSKDLQLDESTSGKNSSLSERNTKSELNASIKSLVSRIKVRWQSGQNSCGELNITDAIRVALQSSIMDILLPDPLTFSFRLARNVSKNAANLDSPKESSINIQPSMSNSSVIEHDMTPMEVLVRNNTKETIKMNLSVTCRDVAGQNCVEGTKATVLWAGVLSGITIEVPPLEESKHSFFLYFLVPGEYTMVAAAVIDDANDVLRARAKCESPDEPIFCRGPPFHVRVIGTA >KJB66131 pep chromosome:Graimondii2_0_v6:10:27849476:27850978:1 gene:B456_010G128600 transcript:KJB66131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEAKALDESMEKNGDLMNLDSYNIWLLGLLRNSKLVEAQLVLKDMVDKGVEPNIYSYNIVMDGLCKNEMLSDARMVMGFIIRSGISPDTVTYSTLLHGYCRKGKLSEANDILNEMMRNEELLQKMNEKGYGVDTVTYNIVIDGLCKSGKLDKAMEIAHEMWTRGSAALGNLGNSFIGLVDDVSRSMRCIPDKGKISSAFQSVQTYNSLILDLGSKNQIFEIYGLVDEMRERGIIPNDTTSILDDMLQMGINSNISTFRMLVEAFCKGSDFGIAKELFEISLYVVTKSLHTVSSTLTEVGKKESGKAGVEERMRFSAEFGCQI >KJB67025 pep chromosome:Graimondii2_0_v6:10:49507364:49509731:1 gene:B456_010G170400 transcript:KJB67025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNRGSMSSIVDKHGVLSKRKLRSESAADDLSDINDAEVTGYLNNNKEMLFKKLIWEAMNKDYQKKKQRKPATRKKSSARKAVDSRMEKVTEEEVVEKKKGLSSKINYDALEKLTNEPEERDSEKAKKEGIDSNRDRQIEREHSKGISTLEDGGFEEDNFSDESEHENAYLCSYEEDEEYGYGEDYREDYDYEEF >KJB67023 pep chromosome:Graimondii2_0_v6:10:49507139:49509886:1 gene:B456_010G170400 transcript:KJB67023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENNRGSMSSIVDKHGVLSKRKLRSESAADDLSDINDAEVTGYLNNNKEMLFKKLIWEAMNKDYQKGLSSKINYDALEKLTNEPEERDSEKAKKEGIDSNRDRQIEREHSKGISTLEDGGFEEDNFSDESEHENAYLCSYEEDEEYGYGEDYREDYDYEEF >KJB67020 pep chromosome:Graimondii2_0_v6:10:49507187:49509731:1 gene:B456_010G170400 transcript:KJB67020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENNRGSMSSIVDKHGVLSKRKLRSESAADDLSDINDAEVTGYLNNNKEMLFKKLIWEAMNKDYQKKKQRKPATRKKSSARKAVDSRMEKVTEEEVVEKKKGLSSKINYDALEKLTNEPEERDSEKAKKEGIDSNRDRQIEREHSKGISTLEDGGFEEDNFSDESEHENAYLCSYEEDEEYGYGEDYREDYDYEEF >KJB67021 pep chromosome:Graimondii2_0_v6:10:49507081:49509731:1 gene:B456_010G170400 transcript:KJB67021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKKRANEFWRFKIDTVTQGLTRRRPLLRLNMMENNRGSMSSIVDKHGVLSKRKLRSESAADDLSDINDAEVTGYLNNNKEMLFKKLIWEAMNKDYQKKKQRKPATRKKSSARKAVDSRMEKVTEEEVVEKKKVSSRKVVGYFNCPIRCRIFLVEVMSFHASLRT >KJB67022 pep chromosome:Graimondii2_0_v6:10:49507078:49509886:1 gene:B456_010G170400 transcript:KJB67022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKKRANEFWRFKIDTVTQGLTRRRPLLRLNMMENNRGSMSSIVDKHGVLSKRKLRSESAADDLSDINDAEEMLFKKLIWEAMNKDYQKKKQRKPATRKKSSARKAVDSRMEKVTEEEVVEKKKGLSSKINYDALEKLTNEPEERDSEKAKKEGIDSNRDRQIEREHSKGISTLEDGGFEEDNFSDESEHENAYLCSYEEDEEYGYGEDYREDYDYEEF >KJB67024 pep chromosome:Graimondii2_0_v6:10:49507938:49509678:1 gene:B456_010G170400 transcript:KJB67024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVSSVLKKKQRKPATRKKSSARKAVDSRMEKVTEEEVVEKKKGLSSKINYDALEKLTNEPEERDSEKAKKEGIDSNRDRQIEREHSKGISTLEDGGFEEDNFSDESEHENAYLCSYEEDEEYGYGEDYREDYDYEEF >KJB64194 pep chromosome:Graimondii2_0_v6:10:4849643:4853926:1 gene:B456_010G045700 transcript:KJB64194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSTELTRRKAMYEQLHQINKWGDTFNGEDSPKTGSSTVLQVDVRLENKAEYISSEKTEPSRSDQGTNKPTDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLAQLEQELERARQQGLYISSASTGYFGLSAAANAGITAFEMEYGNWVEEQNKKICELRSALQAHITDIELRILVENSLNHYCNLFHIKADAAKADVFYLISGIWRTTAERFFHWIGGFRPSELLNVVMSQIEPLTDQQQLEVYNLQQSSLQAEDALSQGIDRLQQNLAESVATDLSSGNYRAQLAAAIDKLQALEGFVKQADHLRQQTLQQMARILTTRQAARGLLALGEYFHRLRALSSLWSARPREPA >KJB64193 pep chromosome:Graimondii2_0_v6:10:4849663:4853402:1 gene:B456_010G045700 transcript:KJB64193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSTELTRRKAMYEQLHQINKWGDTFNGEDSPKTGSSTVLQVDVRLENKAEYISSEKTEPSRSDQGTNKPTDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLAQLEQELERARQQGLYISSASTGYFGLSAAANAGITAFEMEYGNWVEEQNKKICELRSALQAHITDIELRILVENSLNHYCNLFHIKADAAKADVFYLISGIWRTTAERFFHWIGGFRPSELLNGIDRLQQNLAESVATDLSSGNYRAQLAAAIDKLQALEGFVKQADHLRQQTLQQMARILTTRQAARGLLALGEYFHRLRALSSLWSARPREPA >KJB64191 pep chromosome:Graimondii2_0_v6:10:4849663:4852378:1 gene:B456_010G045700 transcript:KJB64191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSTELTRRKAMYEQLHQINKWGDTFNGEDSPKTGSSTVLQVDVRLENKAEYISSEKTEPSRSDQGTNKPTDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLAQLEQELERARQQGLYISSASTGYFGLSAAANAGITAFEMEYGNWVEEQNKKICELRSALQAHITDIELRILVENSLNHYCNLFHIKADAAKADVFYLISGIWRTTAERFFHWIGGFRPSELLNVTHFSINYAIHPSISEHC >KJB64188 pep chromosome:Graimondii2_0_v6:10:4849643:4853926:1 gene:B456_010G045700 transcript:KJB64188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSTELTRRKAMYEQLHQINKWGDTFNGEDSPKTGSSTVLQVDVRLENKAEYISSEKTEPSRSDQGTNKPTDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLAQLEQELERARQQGLYISSASTGYFGLSAAANAGITAFEMEYGNWVEEQNKKICELRSALQAHITDIELRILVENSLNHYCNLFHIKADAAKADVFYLISGIWRTTAERFFHWIGGFRPSELLNVVMSQIEPLTDQQQLEVYNLQQSSLQAEDALSQGIDRLQQNLAESVATDLSSGNYRAQLAAAIDKLQALEGFVKQADHLRQQTLQQMARILTTRQAARGLLALGEYFHRLRALSSLWSARPREPA >KJB64192 pep chromosome:Graimondii2_0_v6:10:4850786:4852669:1 gene:B456_010G045700 transcript:KJB64192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSTELTRRKAMYEQLHQINKWGDTFNGEDSPKTGSSTVLQVDVRLENKAEYISSEKTEPSRSDQGTNKPTDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLAQLEQELERARQQGLYISSASTGYFGLSAAANAGITAFEMEYGNWVEEQNKKICELRSALQAHITDIELRILVENSLNHYCNLFHIKADAAKADVFYLISGIWRTTAERFFHWIGGFRPSELLNVVMSQIEPLTDQQQLEVYNLQQSSLQAEDALSQGIDRLQQNLAESVATDLSSGNYRAQLAAAIDKLQALEGFVKQV >KJB64190 pep chromosome:Graimondii2_0_v6:10:4849663:4853402:1 gene:B456_010G045700 transcript:KJB64190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSTELTRRKAMYEQLHQINKWGDTFNGEDSPKTGSSTVLQVDVRLENKAEYISSEKTEPSRSDQGTNKPTDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLAQLEQELERARQQGLYISSASTGYFGLSAAANAGITAFEMEYGNWVEEQNKKICELRSALQAHITDIELRILVENSLNHYCNLFHIKADAAKADVFYLISGIWRTTAERFFHWIGGFRPSELLNVVMSQIEPLTDQQQLEVYNLQQSSLQAEDALSQGIDRLQQNLAESVATDLSSGNYRAQLAAAIDKLQALEGFVKQADHLRQQTLQQMARILTTRQAARGLLALGEYFHRLRALSSLWSARPREPA >KJB64189 pep chromosome:Graimondii2_0_v6:10:4849643:4853801:1 gene:B456_010G045700 transcript:KJB64189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSTELTRRKAMYEQLHQINKWGDTFNGEDSPKTGSSTVLQVDVRLENKAEYISSEKTEPSRSDQGTNKPTDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLAQLEQELERARQQGLYISSASTGYFGLSAAANAGITAFEMEYGNWVEEQNKKICELRSALQAHITDIELRILVENSLNHYCNLFHIKADAAKADVFYLISGIWRTTAERFFHWIGGFRPSELLNVVMSQIEPLTDQQQLEVYNLQQSSLQAEDALSQGIDRLQQNLAESVATDLSSGNYRAQLAAAIDKLQALEGFVKQADHLRQQTLQQMARILTTRQAARGLLALGEYFHRLRALSSLWSARPREPA >KJB65409 pep chromosome:Graimondii2_0_v6:10:15106476:15111382:-1 gene:B456_010G093200 transcript:KJB65409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEERWCVVTGGRGFAARHLVEMLIKYDMFAVRIADLPSKIDLQSHEENGLLGQALRSGRAQYVSTDLRHKAQVLKALEGVEVVFHMAAPNSSINNYQLQHSVNVQGTKNVIEACTELKVKRLIYTSSPSVVFDGIHAIFNGNESLPYPDKHNDSYSATKALGEALVVKSNGVNGLLTCCIRPSSIFGPGDGLLVPSLVAAARAGKSKVFIIGDGNNVYDFTYVENVAHAHICAERALASGGEVAEKAAGKAYFITNLEPIKFWEFVSLILEGLGYQRPKIKIPAIVVMPIAHIVEWTYRLLGPYGMKVPQLTPSRVRILTCSRSFDCSRAKNQIGYTPIVSLEDGLRKTIESFSHLRAECQPKKGGPSKASIYLGRGRVADTLLWKNKRQTLTVLLVMVAIYYNFIARGATILTTVSKLLLFLLIFLFIHGSLPNKILGYTVEKIPASNFHLSEEKSRDVVMSMASSWNGLVNVLKSLCKGKDWILFLKVFFSLLLLSFIGALPFQTIFFIGLPLAFIAFYVYDKKEQEIDAFILETFSMGCKLKSGIARKLVASRKND >KJB65405 pep chromosome:Graimondii2_0_v6:10:15105556:15111612:-1 gene:B456_010G093200 transcript:KJB65405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEERWCVVTGGRGFAARHLVEMLIKYDMFAVRIADLPSKIDLQSHEENGLLGQALRSGRAQYVSTDLRHKAQVLKALEGVEVVFHMAAPNSSINNYQLQHSVNVQGTKNVIEACTELKVKRLIYTSSPSVVFDGIHAIFNGNESLPYPDKHNDSYSATKALGEALVVKSNGVNGLLTCCIRPSSIFGPGDGLLVPSLVAAARAGKSKFIIGDGNNVYDFTYVENVAHAHICAERALASGGEVAEKAAGKAYFITNLEPIKFWEFVSLILEGLGYQRPKIKIPAIVVMPIAHIVEWTYRLLGPYGMKVPQLTPSRVRILTCSRSFDCSRAKNQIGYTPIVSLEDGLRKTIESFSHLRAECQPKKGGPSKASIYLGRGRVADTLLWKNKRQTLTVLLVMVAIYYNFIARGATILTTVSKLLLFLLIFLFIHGSLPNKILGYTVEKIPASNFHLSEEKSRDVVMSMASSWNGLVNVLKSLCKGKDWILFLKVFFSLLLLSFIGALPFQTIFFIGSYQH >KJB65407 pep chromosome:Graimondii2_0_v6:10:15106196:15111563:-1 gene:B456_010G093200 transcript:KJB65407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEERWCVVTGGRGFAARHLVEMLIKYDMFAVRIADLPSKIDLQSHEENGLLGQALRSGRAQYVSTDLRHKAQVLKALEGVEVVFHMAAPNSSINNYQLQHSVNVQGTKNVIEACTELKVKRLIYTSSPSVVFDGIHAIFNGNESLPYPDKHNDSYSATKALGEALVVKSNGVNGLLTCCIRPSSIFGPGDGLLVPSLVAAARAGKSKFIIGDGNNVYDFTYVENVAHAHICAERALASGGEVAEKAAGKAYFITNLEPIKFWEFVSLILEGLGYQRPKIKIPAIVVMPIAHIVEWTYRLLGPYGMKVPQLTPSRVRILTCSRSFDCSRAKNQIGYTPIVSLEDGLRKTIESFSHLRAECQPKKGGPSKASIYLGRGRVADTLLWKNKRQTLTVLLVMVAIYYNFIARGATILTTVSKLLLFLLIFLFIHGSLPNKIENSSIKFPLIRREITGRCYVDGFILERSC >KJB65408 pep chromosome:Graimondii2_0_v6:10:15106196:15111563:-1 gene:B456_010G093200 transcript:KJB65408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEERWCVVTGGRGFAARHLVEMLIKYDMFAVRIADLPSKIDLQSHEENGLLGQALRSGRAQYVSTDLRHKAQVLKALEGVEVVFHMAAPNSSINNYQLQHSVNVQGTKNVIEACTELKVKRLIYTSSPSVVFDGIHAIFNGNESLPYPDKHNDSYSATKALGEALVVKSNGVNGLLTCCIRPSSIFGPGDGLLVPSLVAAARAGKSKFIIGDGNNVYDFTYVENVAHAHICAERALASGGEVAEKAAGKAYFITNLEPIKFWEFVSLILEGLGYQRSFDCSRAKNQIGYTPIVSLEDGLRKTIESFSHLRAECQPKKGGPSKASIYLGRGRVADTLLWKNKRQTLTVLLVMVAIYYNFIARGATILTTVSKLLLFLLIFLFIHGSLPNKILGYTVEKIPASNFHLSEEKSRDVVMSMASSWNGLVNVLKSLCKGKDWILFLKVFFSLLLLSFIGALPFQTIFFIGLPLAFIAFYVYDKKEQEIDAFILETFSMGCKLKSGIARKLVASRKND >KJB65410 pep chromosome:Graimondii2_0_v6:10:15107543:15111563:-1 gene:B456_010G093200 transcript:KJB65410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEERWCVVTGGRGFAARHLVEMLIKYDMFAVRIADLPSKIDLQSHEENGLLGQALRSGRAQYVSTDLRHKAQVLKALEGVEVVFHMAAPNSSINNYQLQHSVNVQGTKNVIEACTELKVKRLIYTSSPSVVFDGIHAIFNGNESLPYPDKHNDSYSATKALGEALVVKSNGVNGLLTCCIRPSSIFGPGDGLLVPSLVAAARAGKSKFIIGDGNNVYDFTYVENVAHAHICAERALASGGEVAEKAAGKAYFITNLEPIKFWEFVSLILEGLGYQRPKIKIPAIVVMPIAHIVEWTYRLLGPYGMKVPQLTPSRVRILTCSRSFDCSRAKNQIGYTPIVSLEDGLRKTIESFSHLRAECQPKKGGPSKASIYLGRGRVADTLLWKNKRQTLTVLLVMVAIYYNFIARGATILTTVSKLLLFLLIFLFIHGSLPNKM >KJB65406 pep chromosome:Graimondii2_0_v6:10:15106476:15111382:-1 gene:B456_010G093200 transcript:KJB65406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEERWCVVTGGRGFAARHLVEMLIKYDMFAVRIADLPSKIDLQSHEENGLLGQALRSGRAQYVSTDLRHKAQVLKALEGVEVVFHMAAPNSSINNYQLQHSVNVQGTKNVIEACTELKVKRLIYTSSPSVVFDGIHAIFNGNESLPYPDKHNDSYSATKALGEALVVKSNGVNGLLTCCIRPSSIFGPGDGLLVPSLVAAARAGKSKFIIGDGNNVYDFTYVENVAHAHICAERALASGGEVAEKAAGKAYFITNLEPIKFWEFVSLILEGLGYQRPKIKIPAIVVMPIAHIVEWTYRLLGPYGMKVPQLTPSRVRILTCSRSFDCSRAKNQIGYTPIVSLEDGLRKTIESFSHLRAECQPKKGGPSKASIYLGRGRVADTLLWKNKRQTLTVLLVMVAIYYNFIARGATILTTVSKLLLFLLIFLFIHGSLPNKILGYTVEKIPASNFHLSEEKSRDVVMSMASSWNGLVNVLKSLCKGKDWILFLKVFFSLLLLSFIGALPFQTIFFIGLPLAFIAFYVYDKKEQEIDAFILETFSMGCKLKSGIARKLVASRKND >KJB66116 pep chromosome:Graimondii2_0_v6:10:28044739:28046850:-1 gene:B456_010G129200 transcript:KJB66116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRFASCCIVPDPWLGAAEIYTQRRGPVTFQNFNQFWRLTLWPLIPEISTPELLAPYCAPKWAVEGLRRIVAKKMPDGFAVVALSPGVINTEMLQSCFENSTSGYQTPDAWSLKAVTMIILLQQTMVCPSPFDENRAVVFRFSLSRSYDKGL >KJB67766 pep chromosome:Graimondii2_0_v6:10:57557030:57558585:-1 gene:B456_010G2095002 transcript:KJB67766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALKRFNCSWCHEPLTNAISFCSECPFFILHKKCLDELPTKINHPSYHVHPIFLNRSDSGSFICDACGTEGNSISYICFTCNVMVHKKCTSLPRIIKFSQYDHCIFHKYFLEDLTRQDCKICFNEVKLDCRNYSCGKPGCNYIVHVNCVLEDDHLHKVIEEEKHCEELYKKSMQSSIIRVIEVNEAREATKIEHFSHQHCLVLVDKMEEEIDRKCNGCMLSISPLFYYCSECPFFLHKTCVELPRIKQHWFRQSSAILNFKGFLECNFCCRPCSGHQHFLFFDFKSKEKCNGCGIRCWRGAFRFGKCRFVLDFACLALPHSALHKIDEHMLNLTYDDDKEQSYCDVCEQERDPTHWYYSCSICDISAHPKCVLRQFSFLKDGITWAYADHSHTYHLKFFRKAEGYSECSRCGKLCQKEILKCEESTCNYIIHCKCRDYQV >KJB68161 pep chromosome:Graimondii2_0_v6:10:60118278:60120427:1 gene:B456_010G229500 transcript:KJB68161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSIQIGLENFLNRGWDSIVGHANYQLFKPFEQVQLWLSKAETMITEAEKFIEDGPQELQNLCLCGCASKKCLSSHKFGKKVAKMLQEINNHMSKGAFEKVAENQPTASVVVRPEEPPIALESSIEQVWSCIVDKDVMGIIGIYGLEGVGKTTLLTQINKKFSTTPNSFHVVIWVLVSKDYNVGKIQDSIGENIGFSNDSWKNKSVDQKATDIYSVLCNKKFVVLLDDLWERVDLSRVCGEMGARKKIKAECLDTEKAWELFQDNVGNETLNSHPNIETVNSHPNIQKLAKQVAERCGGLPLALITIGRAMACETTVGEWKYAIEMLKRCALPKMENEVFPLLKFSYDNLPNATMKCCLLYCCLYPEDYCNPRKRLVEYWFCEGLLNEFDRINEAQMQGNDIISYLRNACLLENGGVIDGKDCVKMHDVIRDMALWITREFEAIENKFFVKARAQLFEEQDVKAWESVKRMSVMKNKIEVLKGTPKCPNLRTLFLSQNWLDMIRD >KJB63934 pep chromosome:Graimondii2_0_v6:10:2023277:2026994:1 gene:B456_010G025300 transcript:KJB63934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQQSVRLPLSQLLCSLPQNPKSIPSESTRPRVEFHQRVTNSVTKSTKQKMASQDEQQQQQDPRIPKISSAIRVIPNFPKPGIMFQDITTLLLDTKAFSDTIDLFVERYKGQNISVVAGIEARGFIFGPPIALAIGAKFVPMRKPNKLPGEVISEEYSLEYGKDKMEMHVGAVQAGERALIIDDLVATGGTLSAAIRLLGKRVGVHIVECACVIELSGLKGRERLGDTPLFVLVNSA >KJB63935 pep chromosome:Graimondii2_0_v6:10:2023544:2026994:1 gene:B456_010G025300 transcript:KJB63935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDEQQQQQDPRIPKISSAIRVIPNFPKPGIMFQDITTLLLDTKAFSDTIDLFVERYKGQNISVVAGIEARGFIFGPPIALAIGAKFVPMRKPNKLPGEVISEEYSLEYGKDKMEMHVGAVQAGERALIIDDLVATGGTLSAAIRLLERVGVHIVECACVIELSGLKGRERLGDTPLFVLVNSA >KJB63933 pep chromosome:Graimondii2_0_v6:10:2023277:2026994:1 gene:B456_010G025300 transcript:KJB63933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQQSVRLPLSQLLCSLPQNPKSIPSESTRPRVEFHQRVTNSVTKSTKQKMASQDEQQQQQDPRIPKISSAIRVIPNFPKPGIMFQDITTLLLDTKAFSDTIDLFVERYKGQNISVVAGIEARGFIFGPPIALAIGAKFVPMRKPNKLPGEVISEEYSLEYGKDKMEMHVGAVQAGERALIIDDLVATGGTLSAAIRLLERVGVHIVECACVIELSGLKGRERLGDTPLFVLVNSA >KJB63912 pep chromosome:Graimondii2_0_v6:10:1877452:1886427:-1 gene:B456_010G023700 transcript:KJB63912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSSKSEKTQVFVKEPPSREEPKRKGKILNHKRNIRCRSKAHFSSKTNNKAAWITVYPKSIYINIRTISTQLTNQQSSFSLLSKKKEKKRNSPFTVTLSSQVLMAGNFKFFFFVFLLLSPLFFSSSSMARPLNDVGVGNSIAEGIEAFFDGLSLDGIKTSGPSPGGKGHAFSDSVTTNSGPSSGGKGHAHSDAVTTNSGPSPGGKGHAFADAVNPNSGPSEGGKGHAFTDAVNPNSGPSSGGKGH >KJB65495 pep chromosome:Graimondii2_0_v6:10:16892387:16896044:1 gene:B456_010G097500 transcript:KJB65495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGASYNPRTVEEVFRDFKGRRAAMIKALTTDVEEFYKQCDPEKENLCLYGYPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFNMINDLPTIFEVVTGATKKQTKEKSSVSNHSSNKSKSNSKRGSESQPKYSKAAASKDEVEDGMEDEDDEEHGETLCGACGENYAADEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >KJB65497 pep chromosome:Graimondii2_0_v6:10:16892504:16895692:1 gene:B456_010G097500 transcript:KJB65497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGASYNPRTVEEVFRDFKGRRAAMIKALTTDVEEFYKQCDPEKENLCLYGYPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFNMINDLPTIFEVVTGATKKQTKEKSSVSNHSSNKSKSNSKPKYSKAAASKDEVEDGMEDEDDEEHGETLCGACGENYAADEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >KJB65496 pep chromosome:Graimondii2_0_v6:10:16892502:16894805:1 gene:B456_010G097500 transcript:KJB65496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGASYNPRTVEEVFRDFKGRRAAMIKALTTDVEEFYKQCDPEKENLCLYGYPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFNMINDLPTIFEVVTGATKKQTKEKSSVSNHSSNKSKSNSKVRIHPFSCLAVPLNVNLCKF >KJB65498 pep chromosome:Graimondii2_0_v6:10:16892529:16895692:1 gene:B456_010G097500 transcript:KJB65498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGASYNPRTVEEVFRDFKGRRAAMIKALTTGISLLSLFSYSFVIFLKERPLSFLSYLSKFVCFFMLITFSGVVLLADVEEFYKQCDPEKENLCLYGYPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFNMINDLPTIFEVVTGATKKQTKEKSSVSNHSSNKSKSNSKRGSESQPKYSKAAASKDEVEDGMEDEDDEEHGETLCGACGENYAADEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >KJB67743 pep chromosome:Graimondii2_0_v6:10:57324571:57329551:-1 gene:B456_010G207600 transcript:KJB67743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTESSYVSSPEAARNRSPPPPKSPTSGNVEKPMYIRFLVSNATAGSVIGKGGSTITEFQSKSGARIQLSRNHETFPGTSDRIIMVSGAIDDILKAMELILAKLFDELNVEDNEDVGPRTKVRLIVPNSSCGSIIGKGGATIKSLIEDSQAGIKISPLDNNFYGLNDRLVTLTGTLDEQMQAIDLILSKLCEDPHYSQAMHAPFSYAATYYSMSYAPNGTGGKFPNHKEDRSNSITIGVPDEHIGLVLGRGGRNIMEISQVSGARIKISDRGDFMSGTTDRKVTITGSQRAIHQAECMIMQKVANATERMMD >KJB67745 pep chromosome:Graimondii2_0_v6:10:57324674:57327988:-1 gene:B456_010G207600 transcript:KJB67745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLPILLSGNVEKPMYIRFLVSNATAGSVIGKGGSTITEFQSKSGARIQLSRNHETFPGTSDRIIMVSGAIDDILKAMELILAKLFDELNVEDNEDVGPRTKVRLIVPNSSCGSIIGKGGATIKSLIEDSQAGIKISPLDNNFYGLNDRLVTLTGTLDEQMQAIDLILSKLCEDPHYSQAMHAPFSYAATYYSMSYAPNGTGGKFPNHKEDRSNSITIGVPDEHIGLVLGRGGRNIMEISQVSGARIKISDRGDFMSGTTDRKVTITGSQRAIHQAECMIMQKVANATERMMD >KJB67746 pep chromosome:Graimondii2_0_v6:10:57324674:57329434:-1 gene:B456_010G207600 transcript:KJB67746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTESSYVSSPEAARNRSPPPPKSPTSGNVEKPMYIRFLVSNATAGSVIGKGGSTITEFQSKSGARIQLSRNHETFPGTSDRIIMVSGAIDDILKAMELILAKLFDELNVEDNEDVGPRTKVRLIVPNSSCGSIIGKGGATIKSLIEDSQAGIKISPLDNNFYGLNDRLVTLTGTLDEQMQAIDLILSKLCEDPHYSQAMHAPFSYAATYYSMSYAPNGTGGKFPNHKVRS >KJB67744 pep chromosome:Graimondii2_0_v6:10:57325026:57327534:-1 gene:B456_010G207600 transcript:KJB67744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIFDSISSLSDNNICLFLPGQLNVEDNEDVGPRTKVRLIVPNSSCGSIIGKGGATIKSLIEDSQAGIKISPLDNNFYGLNDRLVTLTGTLDEQMQAIDLILSKLCEDPHYSQAMHAPFSYAATYYSMSYAPNGTGGKFPNHKEDRSNSITIGVPDEHIGLVLGRGGRNIMEISQVSGARIKISDRGDFMSGTTDRKVTITGSQRAIHQAECMIMQKVANATERMMD >KJB67742 pep chromosome:Graimondii2_0_v6:10:57324571:57329333:-1 gene:B456_010G207600 transcript:KJB67742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTESSYVSSPEAARNRSPPPPKSPTSGNVEKPMYIRFLVSNATAGSVIGKGGSTITEFQSKSGARIQLSRNHETFPGTSDRIIMVSGAIDDILKAMELILAKLFDELNVEDNEDVGPRTKVRLIVPNSSCGSIIGKGGATIKSLIEDSQAGIKISPLDNNFYGLNDRLVTLTGTLDEQMQAIDLILSKLCEDPHYSQAMHAPFSYAATYYSMSYAPNGTGGKFPNHKEDRSNSITIGVPDEHIGLVLGRGGRNIMEISQVSGARIKISDRGDFMSGTTDRKVTITGSQRAIHQAECMIMQKVANATERMMD >KJB68279 pep chromosome:Graimondii2_0_v6:10:60648102:60648806:1 gene:B456_010G236000 transcript:KJB68279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPNRWLGVGSRMWNSRYYDPSVADARFPLAGQNQSFCPVNEQAASHGYLPPLPLQESQADGNNRLNRAIQRKVLLAYIQYKNSAAVSGNDAPACFANYLHSTVCCRYACRCTKFFSLASHYDGCHDARCDICNTVGYSAVVDTFHPDFEHVEGGSGDSGQPTYGSSEAMQPLPKRLKLENPTAPVSYNSEVGVELLPKVLDDWTIANRMGMNMELKLNSYHILQKILQVLKK >KJB67679 pep chromosome:Graimondii2_0_v6:10:57027054:57027833:-1 gene:B456_010G204300 transcript:KJB67679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQIKDSWQDFGYESNEEETLSLCDLAMNGDDHSNQFWNDDEYLRNQQSNNNGDLFEFFSDDFPAPATIFPENSNNVIFCGKVMSYREDQPNVGNKSQRLKCKIKQENNEKKKDFGCLFPWKISSRRPFNKSRTFPSSKSTKESRIRKGFFNKSCSMPASVSKNKFGVENERSNDEKFNFSLKKESMLATPVKSRWYLFAFGVGRFPMEIELKDMKMRQSRKYKSMKVQSPVSSRDCGRRSERRSGKGLWRLLKVLGWK >KJB67961 pep chromosome:Graimondii2_0_v6:10:58856378:58864122:1 gene:B456_010G219800 transcript:KJB67961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETDFYDILGVSPSATESEIKKAYYMKARQVHPDKNPNDPQAAQNFQVLGEAYQVLSDPAQRQAYDAHGKAGISTEAIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDIFTEGEQFDAKKVQEKMKVVQKEREDKLAQLLIDRLNQYVQGNKADFVNHAEAEVSRLSSAAYGVGMLNTIGYIYARQAAKELGKKAIYLGVPFVAEWFRNKGHFIKSQVTAATGAIALLQLQEDMKKQLSAEGNYSEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLHDQKCKKEELRARAKGLKTLGKIFQSVKSTNGNESDPVLGNNARHKLDGVEPSYNSGSPNVSTKSSSREELSPSPLAPQSPYVEAPNFVNAQLPRPTAPPGAQRHP >KJB67964 pep chromosome:Graimondii2_0_v6:10:58856395:58863926:1 gene:B456_010G219800 transcript:KJB67964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETDFYDILGVSPSATESEIKKAYYMKARQVHPDKNPNDPQAAQNFQVLGEAYQVLSDPAQRQAYDAHGKAGISTEAIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDIFTEGEQFDAKKVQEKMKVVQKEREDKLAQLLIDRLNQYVQGNKADFVNHAEAEVSRLSSAAYGVGMLNTIGYIYARQAAKELGKKAIYLGVPFVAEWFRNKGHFIKSQVTAATGAIALLQLQEDMKKQLSAEGNYSEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVGSP >KJB67963 pep chromosome:Graimondii2_0_v6:10:58856395:58863926:1 gene:B456_010G219800 transcript:KJB67963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKQLRIFSELFEEYIGQLAMASMASLDIFTEGEQFDAKKVQEKMKVVQKEREDKLAQLLIDRLNQYVQGNKADFVNHAEAEVSRLSSAAYGVGMLNTIGYIYARQAAKELGKKAIYLGVPFVAEWFRNKGHFIKSQVTAATGAIALLQLQEDMKKQLSAEGNYSEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLHDQKCKKEELRARAKGLKTLGKIFQSVKSTNGNESDPVLGNNARHKLDGVEPSYNSGSPNVSTKSSSREELSPSPLAPQSPYVEAPNFVNAQLPRPTAPPGAQRHP >KJB67966 pep chromosome:Graimondii2_0_v6:10:58858838:58863700:1 gene:B456_010G219800 transcript:KJB67966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGSELFEEYIGQLAMASMASLDIFTEGEQFDAKKVQEKMKVVQKEREDKLAQLLIDRLNQYVQGNKADFVNHAEAEVSRLSSAAYGVGMLNTIGYIYARQAAKELGKKAIYLGVPFVAEWFRNKGHFIKSQVTAATGAIALLQLQEDMKKQLSAEGNYSEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLHDQKCKKEELRARAKGLKTLGKIFQSVKSTNGNESDPVLGNNARHKLDGVEPSYNSGSPNVSTKSSSREELSPSPLAPQSPYVEAPNFVNAQLPRPTAPPGAQRHP >KJB67967 pep chromosome:Graimondii2_0_v6:10:58856395:58863926:1 gene:B456_010G219800 transcript:KJB67967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNTIGYIYARQAAKELGKKAIYLGVPFVAEWFRNKGHFIKSQVTAATGAIALLQLQEDMKKQLSAEGNYSEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLHDQKCKKEELRARAKGLKTLGKIFQSVKSTNGNESDPVLGNNARHKLDGVEPSYNSGSPNVSTKSSSREELSPSPLAPQSPYVEAPNFVNAQLPRPTAPPGAQRHP >KJB67962 pep chromosome:Graimondii2_0_v6:10:58858564:58863926:1 gene:B456_010G219800 transcript:KJB67962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGSELFEEYIGQLAMASMASLDIFTEGEQFDAKKVQEKMKVVQKEREDKLAQLLIDRLNQYVQGNKADFVNHAEAEVSRLSSAAYGVGMLNTIGYIYARQAAKELGKKAIYLGVPFVAEWFRNKGHFIKSQVTAATGAIALLQLQEDMKKQLSAEGNYSEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLHDQKCKKEELRARAKGLKTLGKIFQSVKSTNGNESDPVLGNNARHKLDGVEPSYNSGSPNVSTKSSSREELSPSPLAPQSPYVEAPNFVNAQLPRPTAPPGAQRHP >KJB67965 pep chromosome:Graimondii2_0_v6:10:58856398:58863988:1 gene:B456_010G219800 transcript:KJB67965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETDFYDILGVSPSATESEIKKAYYMKARQVHPDKNPNDPQAAQNFQVLGEAYQVLSDPAQRQAYDAHGKAGISTEAIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDIFTEGEQFDAKKVQEKMKVVQKEREDKLAQLLIDRLNQYVQGNKADFVNHAEAEVSRLSSAAYGVGMLNTIGYIYARQAAKELGKKAIYLGVPFVAEWFRNKGHFIKSQVTAATGAIALLQLQEDMKKQLSAEGNYSEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVGSSVSYCS >KJB64527 pep chromosome:Graimondii2_0_v6:10:6365963:6366295:1 gene:B456_010G055600 transcript:KJB64527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAAEMMFRGVFEGSISMQDCLIERRPYHRNCQCALHNLKGICSSTCSSRTTNISFPKKQTWGDCSLSLSAPKFSSPSPLLPNASFTNTIQNIDSTPVLYEAEGQYRN >KJB64459 pep chromosome:Graimondii2_0_v6:10:5630189:5637603:1 gene:B456_010G050100 transcript:KJB64459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLKTDSPLSRRIVRSFLDFLNSVEPAPGVDFEGLEVARECLTEVFKLDSASINDVKSDSLVDIFSSLEASEDKNIKSDLSHRGTSDNDPCSSSACDVRSANSVEAAKLGDNWTRETQSSGVSKDELFGQFFAALEKIHFFRSMPDGNDDPAQLDKATCLFEDALHEMERSGCQAFDCRNLAETFKCQGNRAMQSKLYSDAIELYSLAVSLCDDHAVYYCNRAAAYTQICKYNEAIKDCLKSIEIDHNYCKAYSRLGLAYYAQGNYADAIEKGFKKALRLDPNNQSVIENIRVAEQKLKDGPQRADWDRSASSSHNNQGSNNHSTGSRSHGASPPFSMPFDISALPTNIANMFINMAGSAYQGQPSQNRQGEDTNVNGSEEAGIRMGGGNTNLNFGGQMNMPEELTGAFRSMMGMFSGTSPHGNNTPDTNGRSASN >KJB64458 pep chromosome:Graimondii2_0_v6:10:5630189:5637603:1 gene:B456_010G050100 transcript:KJB64458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLKTDSPLSRRIVRSFLDFLNSVEPAPGVDFEGLEVARECLTEVFKLDSASINDVKSDSLVDIFSSLEASEDKNIKSDLSHRGTSDNDPCSSSACDVRSANSVEAAKLGDNWTRETQSSGVSKDELFGQFFAALEKIHFFRSMPDGNDDPAQLDKATCLFEDALHEMERSGCQAFDCRNLAETFKCQGNRAMQSKLYSDAIELYSLAVSLCDDHAVYYCNRAAAYTQICKYNEAIKDCLKSIEIDHNYCKAYSRLGLAYYAQGNYADAIEKGFKKGS >KJB64460 pep chromosome:Graimondii2_0_v6:10:5630390:5637570:1 gene:B456_010G050100 transcript:KJB64460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLKTDSPLSRRIVRSFLDFLNSVEPAPGVDFEGLEVARECLTEVFKLDSASINDVKSDSLVDIFSSLEASEDKNIKSDLSHRGTSDNDPCSSSACDVRSANSVEAAKLGDNWTRETQSSGVSKDELFGQFFAALEKIHFFRSMPDGNDDPAQLDKATCLFEDALHEMERSGCQAFDCRNLAETFKCQGNRAMQSKLYSDAIELYSLAVSLCDDHAVYYCNRAAAYTQICKYNEAIKDCLKSIEIDHNYCKAYSRLGLAYYAQGNYADAIEKGFKKALRLDPNNQSVIENIRVAEQKLKDGPQRADWDRISLSCRVLVPAIIIKDLITIQLDQEVTVHHLHFRCLSISVPFPPILRTCL >KJB65555 pep chromosome:Graimondii2_0_v6:10:18118476:18121782:1 gene:B456_010G100900 transcript:KJB65555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G26100) UniProtKB/TrEMBL;Acc:W8PV11] MPLFSHRSSASSGSAGSAPLPSFYSAKPVKPSKPFSAFSSTRIPWPILVFSLLCLLIGLAGTLFALSAIRRNQPLPIFRCGTSEDTFRTFYSSSDSGKFGGDSYKGLVDRPKLLGFVGIQTGFESGERRSALRSTWFPSDPDGLLRLEQATGLGFRFVIGKSKDVKKMAMLEKEIEKYRDFMLIDVEEEYLKLPYKTLAFFKAAFKLFEADYYVKADDDIYLRPDRLATLLAKERSYSMTYIGCMKKGPVITDPKLKWYEKSGHLIGNEYFLHAYGPIYVLSAEVVVSLAAARNDSLRMFNNEDVTIGSWMLAMNVHHEDNRAICDPRCTPTSIAVWDIPRCSGLCNPASKLKELHKIGMCSKSPTLPPDDI >KJB65300 pep chromosome:Graimondii2_0_v6:10:13492727:13495199:-1 gene:B456_010G088300 transcript:KJB65300 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive protein 4 (ORG4) [Source:Projected from Arabidopsis thaliana (AT2G06010) UniProtKB/TrEMBL;Acc:Q8VY85] MEPPPNGEELTSWDELYNINLIPSELFLKFRKEIQGFRVGVNLEFFNAPMNDFQTKLVLKPLSPERRWKFAYEPIRQDVRLISKKIPVTKFLNLQVGIGHNFKMNAIGWKWKLTTCFGGDGISRIRNKTTLGLVPGLDFRFGWRADYVLPEVTGALGTDEALFNLNSGRLQASLDRVEAIVTAT >KJB65295 pep chromosome:Graimondii2_0_v6:10:13492727:13495366:-1 gene:B456_010G088300 transcript:KJB65295 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive protein 4 (ORG4) [Source:Projected from Arabidopsis thaliana (AT2G06010) UniProtKB/TrEMBL;Acc:Q8VY85] MEPPPNGEELTSWDELYNINLIPSELFLKFRKEIQGFRVGVNLEFFNAPMNDFQTKLVLKPLSPERRWKFAYEPIRQDVRLISKKIPVTKFLNLQVGIGHNFKMNAIGWKWKLTTCFGGDGISRIRNKTTLGLVPGLDFRFGWRADYVLPEVTGALGTDEALFNLNSGRLQASLDRVEAIVTAT >KJB65298 pep chromosome:Graimondii2_0_v6:10:13492801:13495366:-1 gene:B456_010G088300 transcript:KJB65298 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive protein 4 (ORG4) [Source:Projected from Arabidopsis thaliana (AT2G06010) UniProtKB/TrEMBL;Acc:Q8VY85] MEPPPNGEELTSWDELYNINLIPSELFLKFRKEIQGFRVGVNLEVGIGHNFKMNAIGWKWKLTTCFGGDGISRIRNKTTLGLVPGLDFRFGWRADYVLPEVTGALGTDEALFNLNSGRLQASLDRVEAIVTAT >KJB65297 pep chromosome:Graimondii2_0_v6:10:13493829:13495127:-1 gene:B456_010G088300 transcript:KJB65297 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive protein 4 (ORG4) [Source:Projected from Arabidopsis thaliana (AT2G06010) UniProtKB/TrEMBL;Acc:Q8VY85] MEPPPNGEELTSWDELYNINLIPSELFLKFRKEIQGFRVGVNLEFFNAPMNDFQTKLVLKPLSPERRWKFAYEPIRQDVRLISKKIPVTKFLNLQVGIGHNFKMNAIGWKWKLTTCFGGDGISRIRNKTTLGLVPGLDFRFGWRADYVLPEVTGALGTDEALFNLNSGRLQASLDRVEAIVTAT >KJB65299 pep chromosome:Graimondii2_0_v6:10:13493829:13495127:-1 gene:B456_010G088300 transcript:KJB65299 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive protein 4 (ORG4) [Source:Projected from Arabidopsis thaliana (AT2G06010) UniProtKB/TrEMBL;Acc:Q8VY85] MEPPPNGEELTSWDELYNINLIPSELFLKFRKEIQGFRVGVNLEVGIGHNFKMNAIGWKWKLTTCFGGDGISRIRNKTTLGLVPGLDFRFGWRADYVLPEVTGALGTDEALFNLNSGRLQASLDRVEAIVTAT >KJB65294 pep chromosome:Graimondii2_0_v6:10:13492727:13495365:-1 gene:B456_010G088300 transcript:KJB65294 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive protein 4 (ORG4) [Source:Projected from Arabidopsis thaliana (AT2G06010) UniProtKB/TrEMBL;Acc:Q8VY85] MEPPPNGEELTSWDELYNINLIPSELFLKFRKEIQGFRVGVNLEFFNAPMNDFQTKLVLKPLSPERRWKFAYEPIRQDVRLISKKIPVTKFLNLQVGIGHNFKMNAIGWKWKLTTCFGGDGISRIRNKTTLGLVPGLDFRFGWRADYVLPEVTGALGTDEALFNLNSGRLQASLDRVEAIVTAT >KJB65296 pep chromosome:Graimondii2_0_v6:10:13492727:13495365:-1 gene:B456_010G088300 transcript:KJB65296 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive protein 4 (ORG4) [Source:Projected from Arabidopsis thaliana (AT2G06010) UniProtKB/TrEMBL;Acc:Q8VY85] MEPPPNGEELTSWDELYNINLIPSELFLKFRKEIQGFRVGVNLEFFNAPMNDFQTKLVLKPLSPERRWKFAYEPIRQDVRLISKKIPVTKFLNLQVGIGHNFKMNAIGWKWKLTTCFGGDGISRIRNKTTLGLVPGLDFRFGWRADYVLPEVTGQLLVPMKHCST >KJB66935 pep chromosome:Graimondii2_0_v6:10:48273654:48281477:1 gene:B456_010G166800 transcript:KJB66935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPTKRSITNVTASSSSSSSPHFQPPMKKAKSQAVACSLDPNRNGLHHHHNNQDDNNVLFDPSSMPLHDDSKSADARTPAAANLSRKKATPPQPAKKLVIKLVKAKPTLPTNFEVETWATLKSAITAIFLKRPDSCDLEKLYQAVNDLCLHKMGGSLYQRIEKECEEHISTALRSLVGQSPDLVVFLSLVEKCWQDLCDQMLMIRSIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSMAPEVEHKTVTGLLRMIEGERLGEAVDRTLLNHLLKMFTALGIYSESFEKPFLECTSEFYAAEGMKYMQQSDVPDYLKHVEMRLHEEHERCVLYLDALTRKPLIATAEKQLLERHIPAILDKGFVMLMDGRRLEDLQRMYSLFSRVNALESLRQAISSYIRRTGQVIVMDEEKDKDMVPSLLEFKASLDSIWEESFSKNEAFGNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKALVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMGVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFPKGKKELAVSLFQTVVLMLFNDAQKLSFQDIKDSTGIEDKELRRTLQSLACGKVRVLQKLPKGRDVEDNDSFIFNDGFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >KJB66937 pep chromosome:Graimondii2_0_v6:10:48273793:48281442:1 gene:B456_010G166800 transcript:KJB66937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSLYQRIEKECEEHISTALRSLVGQSPDLVVFLSLVEKCWQDLCDQMLMIRSIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSMAPEVEHKTVTGLLRMIEGERLGEAVDRTLLNHLLKMFTALGIYSESFEKPFLECTSEFYAAEGMKYMQQSDVPDYLKHVEMRLHEEHERCVLYLDALTRKPLIATAEKQLLERHIPAILDKGFVMLMDGRRLEDLQRMYSLFSRVNALESLRQAISSYIRRTGQVIVMDEEKDKDMVPSLLEFKASLDSIWEESFSKNEAFGNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKALVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMGVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFPKGKKELAVSLFQTVVLMLFNDAQKLSFQDIKDSTGIEDKELRRTLQSLACGKVRVLQKLPKGRDVEDNDSFIFNDGFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >KJB66936 pep chromosome:Graimondii2_0_v6:10:48273793:48280690:1 gene:B456_010G166800 transcript:KJB66936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPTKRSITNVTASSSSSSSPHFQPPMKKAKSQAVACSLDPNRNGLHHHHNNQDDNNVLFDPSSMPLHDDSKSADARTPAAANLSRKKATPPQPAKKLVIKLVKAKPTLPTNFEVETWATLKSAITAIFLKRPDSCDLEKLYQAVNDLCLHKMGGSLYQRIEKECEEHISTALRSLVGQSPDLVVFLSLVEKCWQDLCDQMLMIRSIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSMAPEVEHKTVTGLLRMIEGERLGEAVDRTLLNHLLKMFTALGIYSESFEKPFLECTSEFYAAEGMKYMQQSDVPDYLKHVEMRLHEEHERCVLYLDALTRKPLIATAEKQLLERHIPAILDKGFVMLMDGRRLEDLQRMYSLFSRVNALESLRQAISSYIRRTGQVIVMDEEKDKDMVPSLLEFKASLDSIWEESFSKNEAFGNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKALVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMGVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFPKGKKELAVSLFQTVVLMLFNDAQKLSFQDIKDSTGIEDKELRRTLQSLACGKVRVLQKLPKGRDVEDNDSFIFNDGFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQVSIFLSFSF >KJB67293 pep chromosome:Graimondii2_0_v6:10:53393241:53401472:-1 gene:B456_010G184000 transcript:KJB67293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIETTYADADEVSSKPPLPSEPPTSHVNLEETAKKERQRIEQMLKDKGIRHGSYPRFTVAVKGQKVTIKFQIPPSCEVAQLVANLVSHLGLKVDERGGGSDVLLRAWDSSVAWQLTLNPLEKQKETGFNEGHSVYRSGDEGDLCILIFRSLISSDKAEIEFLKQGSLNQKELEAFVSALELAGGRFAQSSSLVNKPREGSAQIPAQKSISSLEAMGVRIYGLDAPHQNKPYNEISWDNIAGYDQQKQEIEDTILLALNSPEVYDDIARGTRQKFESNRPRAVLFEGPPGTGKTSCARVIANQAGVPLLYVPLEIVVSKYYGESERLLGQVFSLANQLPDGAIIFLDEVDSFATARGGEIHEATRRILSVLLRQIDGFEQDKKVVVIAATNRKQDLDPALISRFDSMIVFGLPDEHNRRQIAAQYAKHLTESELAELARITDKMSGRDIRDACQQAERSWASKLIRGQATNNKEQPSLPPLEEYIRSATNRRQALLSVAEQRNQNPSPRMKKPPLDLLSLQSTAL >KJB67292 pep chromosome:Graimondii2_0_v6:10:53393241:53396869:-1 gene:B456_010G184000 transcript:KJB67292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSFLQVSSKPPLPSEPPTSHVNLEETAKKERQRIEQMLKDKGIRHGSYPRFTVAVKGQKVTIKFQIPPSCEVAQLVANLVSHLGLKVDERGGGSDVLLRAWDSSVAWQLTLNPLEKQKETGFNEGHSVYRSGDEGDLCILIFRSLISSDKAEIEFLKQGSLNQKELEAFVSALELAGGRFAQSSSLVNKPREGSAQIPAQKSISSLEAMGVRIYGLDAPHQNKPYNEISWDNIAGYDQQKQEIEDTILLALNSPEVYDDIARGTRQKFESNRPRAVLFEGPPGTGKTSCARVIANQAGVPLLYVPLEIVVSKYYGESERLLGQVFSLANQLPDGAIIFLDEVDSFATARGGEIHEATRRILSVLLRQIDGFEQDKKVVVIAATNRKQDLDPALISRFDSMIVFGLPDEHNRRQIAAQYAKHLTESELAELARITDKMSGRDIRDACQQAERSWASKLIRGQATNNKEQPSLPPLEEYIRSATNRRQALLSVAEQRNQNPSPRMKKPPLDLLSLQSTAL >KJB67294 pep chromosome:Graimondii2_0_v6:10:53393851:53401333:-1 gene:B456_010G184000 transcript:KJB67294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFDRLVRTTARSWRRIVSPKTLALALTPLPSPSRQLPPPPLYLRFPGSSNFTIGSDVQQLRLVAAASGSYSILPAVLAGLLGAGMIETTYADADEVSSKPPLPSEPPTSHVNLEETAKKERQRIEQMLKDKGIRHGSYPRFTVAVKGQKVTIKFQIPPSCEVAQLVANLVSHLGLKVDERGGGSDVLLRAWDSSVAWQLTLNPLEKQKETGFNEGHSVYRSGDEGDLCILIFRSLISSDKAEIEFLKQGSLNQKELEAFVSALELAGGRFAQSSSLVNKPREGSAQIPAQKSISSLEAMGVRIYGLDAPHQNKPYNEISWDNIAGYDQQKQEIEDTILLALNSPEVYDDIARGTRQKFESNRPRAVLFEGPPGTGKTSCARVIANQAGVPLLYVPLEIVVSKYYGESERLLGQVFSLANQLPDGAIIFLDEVDSFATARGGEIHEATRRILSVLLRQIDGFEQDKKVVVIAATNRKQDLDPALISRFDSMIVFGLPDEHNRRQIAAQYAKHLTESELAELARITDK >KJB67295 pep chromosome:Graimondii2_0_v6:10:53393241:53401549:-1 gene:B456_010G184000 transcript:KJB67295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFDRLVRTTARSWRRIVSPKTLALALTPLPSPSRQLPPPPLYLRFPGSSNFTIGSDVQQLRLVAAASGSYSILPAVLAGLLGAGMIETTYADADEVSSKPPLPSEPPTSHVNLEETAKKERQRIEQMLKDKGIRHGSYPRFTVAVKGQKVTIKFQIPPSCEVAQLVANLVSHLGLKVDERGGGSDVLLRAWDSSVAWQLTLNPLEKQKETGFNEGHSVYRSGDEGDLCILIFRSLISSDKAEIEFLKQGSLNQKELEAFVSALELAGGRFAQSSSLVNKPREGSAQIPAQKSISSLEAMGVRIYGLDAPHQNKPYNEISWDNIAGYDQQKQEIEDTILLALNSPEVYDDIARGTRQKFESNRPRAVLFEGPPGTGKTSCARVIANQAGVPLLYVPLEIVVSKYYGESERLLGQVFSLANQLPDGAIIFLDEVDSFATARGGEIHEATRRILSVLLRQIDGFEQDKKVVVIAATNRKQDLDPALISRFDSMIVFGLPDEHNRRQIAAQYAKHLTESELAELARITDKMSGRDIRDACQQAERSWASKLIRGQATNNKEQPSLPPLEEYIRSATNRRQALLSVAEQRNQNPSPRMKKPPLDLLSLQSTAL >KJB67912 pep chromosome:Graimondii2_0_v6:10:58622039:58624616:1 gene:B456_010G217700 transcript:KJB67912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLQEHQGGDVAVIPQSIGGGSNPIAALNVEPGTTLPESSSNNNSDPNHRNFLSFIGPAFLVSLAYLNPGNFFALIIKSLAANLGISTGKHLSEKCKAEYPRMVKYCLWILAEIVVIAADIPEVIGTAFGLNILFNIPVWVRVSLTGLSTLLLLGLQRYGVRKLEILIAMLVFVMAECFFREMSYVKLQASGVVKGMFVPKLNGQGAIGDAIALLGALVMPHNIFLHSTLVLSRKVPNSVRGVNDACRYFLIESGIALFVAFLINVVVVSVSAAVCTVDNISSANQKRCNDLNLNSASFLLWNMLGKSSKALYVVALLASGQSSTITGTSARQFIMQGFLNLHMKKWVRNIMTRCIAITPSLIVSIIGGSQGVGRLIIIASVRIY >KJB65249 pep chromosome:Graimondii2_0_v6:10:13006584:13007888:-1 gene:B456_010G086300 transcript:KJB65249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPINNPNTVFSPSNPNYNLSMASAQYPYADVCIGGLFTPYGQPAIIQAQMAGGSAPARLPLPLDLAEDGPVYVNAKQYHGILRRRRYRAKLEAQNKLVKSRKPYLHESRHLHAVNRVRGSGGRFLSKKKLQQPHHPTSNPSSRSISDASCLDRKNSGSELESHLHGGGCSSSSTSCSDISSASNNNGSFQLRKHGFMDISPGVSSMCNGLQNCASVGL >KJB65246 pep chromosome:Graimondii2_0_v6:10:13006335:13010690:-1 gene:B456_010G086300 transcript:KJB65246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMAVRVQSLSKKNFDERFSILSLPHMSVGFQPWWNSNEQKIAHSLPQNISLKVETPSKLHHNAKHLDHQLPDQESTSAQAICQSRPEMGVTRGSNPSFYSSDFGQDESCGKDIEGQMKPINNPNTVFSPSNPNYNLSMASAQYPYADVCIGGLFTPYGQPAIIQAQMAGGSAPARLPLPLDLAEDGPVYVNAKQYHGILRRRRYRAKLEAQNKLVKSRKPYLHESRHLHAVNRVRGSGGRFLSKKKLQQPHHPTSNPSSRSISDASCLDRKNSGSELESHLHGGGCSSSSTSCSDISSASNNNGSFQLRKHGFMDISPGVSSMCNGLQNCASVGL >KJB65250 pep chromosome:Graimondii2_0_v6:10:13006342:13010666:-1 gene:B456_010G086300 transcript:KJB65250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLHIQASQDPIGQDESCGKDIEGQMKPINNPNTVFSPSNPNYNLSMASAQYPYADVCIGGLFTPYGQPAIIQAQMAGGSAPARLPLPLDLAEDGPVYVNAKQYHGILRRRRYRAKLEAQNKLVKSRKPYLHESRHLHAVNRVRGSGGRFLSKKKLQQPHHPTSNPSSRSISDASCLDRKNSGSELESHLHGGGCSSSSTSCSDISSASNNNGSFQLRKHGFMDISPGVSSMCNGLQNCASVGL >KJB65254 pep chromosome:Graimondii2_0_v6:10:13006342:13010526:-1 gene:B456_010G086300 transcript:KJB65254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPINNPNTVFSPSNPNYNLSMASAQYPYADVCIGGLFTPYGQPAIIQAQMAGGSAPARLPLPLDLAEDGPVYVNAKQYHGILRRRRYRAKLEAQNKLVKSRKPYLHESRHLHAVNRVRGSGGRFLSKKKLQQPHHPTSNPSSRSISDASCLDRKNSGSELESHLHGGGCSSSSTSCSDISSASNNNGSFQLRKHGFMDISPGVSSMCNGLQNCASVGL >KJB65251 pep chromosome:Graimondii2_0_v6:10:13006335:13010438:-1 gene:B456_010G086300 transcript:KJB65251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPINNPNTVFSPSNPNYNLSMASAQYPYADVCIGGLFTPYGQPAIIQAQMAGGSAPARLPLPLDLAEDGPVYVNAKQYHGILRRRRYRAKLEAQNKLVKSRKPYLHESRHLHAVNRVRGSGGRFLSKKKLQQPHHPTSNPSSRSISDASCLDRKNSGSELESHLHGGGCSSSSTSCSDISSASNNNGSFQLRKHGFMDISPGVSSMCNGLQNCASVGL >KJB65252 pep chromosome:Graimondii2_0_v6:10:13006335:13010228:-1 gene:B456_010G086300 transcript:KJB65252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMAVRVQSLSKKNFDERFSILSLPHMSVGFQPWWNSNEQKIAHSLPQNISLKVETPSKLHHNAKHLDHQLPDQESTSAQAICQSRPEMGVTRGSNPSFYSSDFGQDESCGKDIEGQMKPINNPNTVFSPSNPNYNLSMASAQYPYADVCIGGLFTPYGQPAIIQAQMAGGSAPARLPLPLDLAEDGPVYVNAKQYHGILRRRRYRAKLEAQNKLVKSRKPYLHESRHLHAVNRVRGSGGRFLSKKKLQQPHHPTSNPSSRSISDASCLDRKNSGSELESHLHGGGCSSSSTSCSDISSASNNNGSFQLRKHGFMDISPGVSSMCNGLQNCASVGL >KJB65248 pep chromosome:Graimondii2_0_v6:10:13006342:13010592:-1 gene:B456_010G086300 transcript:KJB65248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPINNPNTVFSPSNPNYNLSMASAQYPYADVCIGGLFTPYGQPAIIQAQMAGGSAPARLPLPLDLAEDGPVYVNAKQYHGILRRRRYRAKLEAQNKLVKSRKPYLHESRHLHAVNRVRGSGGRFLSKKKLQQPHHPTSNPSSRSISDASCLDRKNSGSELESHLHGGGCSSSSTSCSDISSASNNNGSFQLRKHGFMDISPGVSSMCNGLQNCASVGL >KJB65253 pep chromosome:Graimondii2_0_v6:10:13006342:13010658:-1 gene:B456_010G086300 transcript:KJB65253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSAPARLPLPLDLAEDGPVYVNAKQYHGILRRRRYRAKLEAQNKLVKSRKPYLHESRHLHAVNRVRGSGGRFLSKKKLQQPHHPTSNPSSRSISDASCLDRKNSGSELESHLHGGGCSSSSTSCSDISSASNNNGSFQLRKHGFMDISPGVSSMCNGLQNCASVGL >KJB65247 pep chromosome:Graimondii2_0_v6:10:13006335:13010777:-1 gene:B456_010G086300 transcript:KJB65247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPINNPNTVFSPSNPNYNLSMASAQYPYADVCIGGLFTPYGQPAIIQAQMAGGSAPARLPLPLDLAEDGPVYVNAKQYHGILRRRRYRAKLEAQNKLVKSRKPYLHESRHLHAVNRVRGSGGRFLSKKKLQQPHHPTSNPSSRSISDASCLDRKNSGSELESHLHGGGCSSSSTSCSDISSASNNNGSFQLRKHGFMDISPGVSSMCNGLQNCASVGL >KJB65882 pep chromosome:Graimondii2_0_v6:10:22826266:22827328:1 gene:B456_010G117000 transcript:KJB65882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVFVALDVSFYNPCIPLCSQNSFFMAAAPQENPTHAKETWPLRNDGNEDYSQDFEDPDSSFGCRCFRGLFRWRFRLQGQGYLLQQEEAKESWLKRKAKELREVSEVLAGPKWKNFIRRFSVYGANKKRRSTMKMQYDIQSYELNFDEGIHREADAAFLDFSARFAAPCGINKG >KJB67368 pep chromosome:Graimondii2_0_v6:10:54078253:54080563:1 gene:B456_010G188100 transcript:KJB67368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSEEEKTEDYLFKIVLVGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELQTHSDMNVITILVGNKSDLQDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSHELKKQDAPLDGKTVVLQGDENQEPATEAPKSGGCCSS >KJB67364 pep chromosome:Graimondii2_0_v6:10:54078253:54080563:1 gene:B456_010G188100 transcript:KJB67364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSEEEKTEDYLFKIVLVGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELQTHSDMNVITILVGNKSDLQDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSHELKKQDAPLDGKTVVLQGDENQEPATEAPKSGGCCSS >KJB67365 pep chromosome:Graimondii2_0_v6:10:54078253:54080680:1 gene:B456_010G188100 transcript:KJB67365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSEEEKTEDYLFKIVLVGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELQTHSDMNVITILVGNKSDLQDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSHELKKQDAPLDGKTVVLQGDENQEPATEAPKSGGCCSS >KJB67370 pep chromosome:Graimondii2_0_v6:10:54078253:54080563:1 gene:B456_010G188100 transcript:KJB67370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSEEEKTEDYLFKIVLVGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELQTHSDMNVITILVGNKSDLQDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSHELKKQDAPLDGKTVVLQGDENQEPATEAPKSGGCCSS >KJB67363 pep chromosome:Graimondii2_0_v6:10:54078438:54080563:1 gene:B456_010G188100 transcript:KJB67363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSEEEKTEDYLFKIVLVGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELQTHSDMNVITILVGNKSDLQDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSHELKKQDAPLDGKTVVLQGDENQEPATEAPKSGGCCSS >KJB67369 pep chromosome:Graimondii2_0_v6:10:54078253:54080680:1 gene:B456_010G188100 transcript:KJB67369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSEEEKTEDYLFKIVLVGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELQTHSDMNVITILVGNKSDLQDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSHELKKQDAPLDGKTVVLQGDENQEPATEAPKSGGCCSS >KJB67366 pep chromosome:Graimondii2_0_v6:10:54077196:54080680:1 gene:B456_010G188100 transcript:KJB67366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSEEEKTEDYLFKIVLVGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELQTHSDMNVITILVGNKSDLQDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSHELKKQDAPLDGKTVVLQGDENQEPATEAPKSGGCCSS >KJB67360 pep chromosome:Graimondii2_0_v6:10:54078803:54080563:1 gene:B456_010G188100 transcript:KJB67360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSEEEKTEDYLFKIVLVGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELQTHSDMNVITILVGNKSDLQDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSHELKKQDAPLDGKTVVLQGDENQEPATEAPKSGGCCSS >KJB67371 pep chromosome:Graimondii2_0_v6:10:54078253:54080680:1 gene:B456_010G188100 transcript:KJB67371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSEEEKTEDYLFKIVLVGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELQTHSDMNVITILVGNKSDLQDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSHELKKQDAPLDGKTVVLQGDENQEPATEAPKSGGCCSS >KJB67359 pep chromosome:Graimondii2_0_v6:10:54076990:54080680:1 gene:B456_010G188100 transcript:KJB67359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSEEEKTEDYLFKIVLVGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELQTHSDMNVITILVGNKSDLQDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSHELKKQDAPLDGKTVVLQGDENQEPATEAPKSGGCCSS >KJB67362 pep chromosome:Graimondii2_0_v6:10:54078253:54080563:1 gene:B456_010G188100 transcript:KJB67362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSEEEKTEDYLFKIVLVGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELQTHSDMNVITILVGNKSDLQDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSHELKKQDAPLDGKTVVLQGDENQEPATEAPKSGGCCSS >KJB67367 pep chromosome:Graimondii2_0_v6:10:54077189:54080563:1 gene:B456_010G188100 transcript:KJB67367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSEEEKTEDYLFKIVLVGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELQTHSDMNVITILVGNKSDLQDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSHELKKQDAPLDGKTVVLQGDENQEPATEAPKSGGCCSS >KJB67372 pep chromosome:Graimondii2_0_v6:10:54077261:54080563:1 gene:B456_010G188100 transcript:KJB67372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSEEEKTEDYLFKIVLVGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELQTHSDMNVITILVGNKSDLQDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSHELKKQDAPLDGKTVVLQGDENQEPATEAPKSGGCCSS >KJB67361 pep chromosome:Graimondii2_0_v6:10:54079080:54080718:1 gene:B456_010G188100 transcript:KJB67361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSEEEKTEDYLFKIVLVGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELQTHSDMNVITILVGNKSDLQDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSHELKKQDAPLDGKTVVLQGDENQEPATEAPKSGGCCSS >KJB66375 pep chromosome:Graimondii2_0_v6:10:32059665:32063112:1 gene:B456_010G138000 transcript:KJB66375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEQTFIASIDQGTTSTRFFIYDKSARIIGSHHVEFTQFYPEAGWVEHDPMEILESVRSCMTKALDKATADGYNVDSGLKAIGLTNQRETVVVWSKSTGSPLYNAIVWMDVRTSSICRKLEKELPGGRTHFVESCGLPLSTYFSAVKLLWLMENKEEVRAAIKKGDALFGTIDTWLIWNLTGGVNGGIHVTDVSNASRTMLMNLQTLDWDKATLKTLGIPAEILPKIVSNAEVIGKIAKGWPLFGVPIAGCLGDQHAAMVGQACRRGEAKCTYGTGAFILLNTGTAPVKSTHGLLTTLAFKLGPKAQTNYALEGSIAIAGAAVQWLRDSLGIISSASEIESLASKVDCTGGVYFVPAFNGLFAPWWRDDARGICIGITRFTSKSHIARAVLESMCFQVKDVLDSMHKDAGEKGEVKNEKEEFLLRVDGGATVNNLLMQIQADLLGSSVVRPADIETTALGAAYAAGIAVGVWKEEELFASGQIIKTASIFSPKSSVEWRKKKVDSWCKAVERTFGLADLEM >KJB66376 pep chromosome:Graimondii2_0_v6:10:32060714:32063093:1 gene:B456_010G138000 transcript:KJB66376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKEEVRAAIKKGDALFGTIDTWLIWNLTGGVNGGIHVTDVSNASRTMLMNLQTLDWDKATLKTLGIPAEILPKIVSNAEVIGKIAKGWPLFGVPIAGCLGDQHAAMVGQACRRGEAKCTYGTGAFILLNTGTAPVKSTHGLLTTLAFKLGPKAQTNYALEGSIAIAGAAVQWLRDSLGIISSASEIESLASKVDCTGGVYFVPAFNGLFAPWWRDDARGICIGITRFTSKSHIARAVLESMCFQVKDVLDSMHKDAGEKGEVKNEKEEFLLRVDGGATVNNLLMQIQADLLGSSVVRPADIETTALGAAYAAGIAVGVWKEEELFASGQIIKTASIFSPKSSVEWRKKKVDSWCKAVERTFGLADLEM >KJB68024 pep chromosome:Graimondii2_0_v6:10:59312845:59314358:-1 gene:B456_010G222400 transcript:KJB68024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILDLGLCLKIEKKAMNSSSSSWVDSLDLNASSTKSLQLETPSGALVEELNRVNAENKKLTEMLKAMCESYNALQSQLVDLMNKNTEKELSPTKKRKSETSNNNNGNIIGNSESSSTDEEESCKKPREEIIKAKISRAYVRTELSDTSLVVKDGYQWRKYGQKVTRDNPCPRAYFKCSFAPSCPVKKKVQRSVDDQSVLVATYEGEHNHLPPSQIEATSGSSRLGSVPVGSTPVKSSGPTITLDLTNSIKSSDEARNSKPKLDSPEATQYLVEHMASSLTKDPNFTAALAAAISGRMFSTNSN >KJB68025 pep chromosome:Graimondii2_0_v6:10:59312845:59314358:-1 gene:B456_010G222400 transcript:KJB68025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAMCESYNALQSQLVDLMNKNTEKELSPTKKRKSETSNNNNGNIIGNSESSSTDEEESCKKPREEIIKAKISRAYVRTELSDTSLVVKDGYQWRKYGQKVTRDNPCPRAYFKCSFAPSCPVKKKVQRSVDDQSVLVATYEGEHNHLPPSQIEATSGSSRLGSVPVGSTPVKSSGPTITLDLTNSIKSSDEARNSKPKLDSPEATQYLVEHMASSLTKDPNFTAALAAAISGRMFSTNSN >KJB68021 pep chromosome:Graimondii2_0_v6:10:59312770:59314535:-1 gene:B456_010G222400 transcript:KJB68021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILDLGLCLKIEKKAMNSSSSSWVDSLDLNASSTKSLQLETPVSHPNTSNSLIVFGRKLSVKEESGALVEELNRVNAENKKLTEMLKAMCESYNALQSQLVDLMNKNTEKELSPTKKRKSETSNNNNGNIIGNSESSSTDEEESCKKPREEIIKAKISRAYVRTELSDTSLVVKDGYQWRKYGQKVTRDNPCPRAYFKCSFAPSCPVKKKVQRSVDDQSVLVATYEGEHNHLPPSQIEATSGSSRLGSVPVGSTPVKSSGPTITLDLTNSIKSSDEARNSKPKLDSPEATQYLVEHMASSLTKDPNFTAALAAAISGRMFSTNSN >KJB68022 pep chromosome:Graimondii2_0_v6:10:59313382:59314278:-1 gene:B456_010G222400 transcript:KJB68022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILDLGLCLKIEKKAMNSSSSSWVDSLDLNASSTKSLQLETPVSHPNTSNSLIVFGRKLSVKEESGALVEELNRVNAENKKLTEMLKAMCESYNALQSQLVDLMNKNTEKELSPTKKRKSETSNNNNGNIIGNSESSSTDEEESCKKPREEIIKAKISRAYVRTELSDTSLVVKDGYQWRKYGQKVTRDNPCPRAYFKCSFAPSCPVKKKVS >KJB68023 pep chromosome:Graimondii2_0_v6:10:59312950:59313929:-1 gene:B456_010G222400 transcript:KJB68023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAMCESYNALQSQLVDLMNKNTEKELSPTKKRKSETSNNNNGNIIGNSESSSTDEEESCKKPREEIIKAKISRAYVRTELSDTSLVVKDGYQWRKYGQKVTRDNPCPRAYFKCSFAPSCPVKKKVQRSVDDQSVLVATYEGEHNHLPPSQIEATSGSSRLGSVPVGSTPVKSSGPTITLDLTNSIKSSDEARNSKPKLDSPEATQYLVEHMASSLTKDPNFTAALAAAISGRMFSTNSN >KJB66206 pep chromosome:Graimondii2_0_v6:10:29370304:29370831:1 gene:B456_010G132900 transcript:KJB66206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRKLKESKKIEIKIIENADERLITFSKRRTGIYKKISELSTLCGGEILFIIFSPAGKPYSFSHPSDESVAKRFSNTSQYLEETTDAPVETYRKERINLLVQDFNDVQDQLDVIKVKQKEIALAKWSNGTKIRYWWKAPIDQLNLRELYEQDERFAEFNNLITITRDKKNAAISSM >KJB67079 pep chromosome:Graimondii2_0_v6:10:50606514:50609166:-1 gene:B456_010G173700 transcript:KJB67079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRLVKKTFDMIQEISESENKKLGCIENSGNHKRITPLLRFYTLKSEEELTILDTYVENMSKNQKAIYYLATDSLKSAKTTPFLEKLVQKDIEVLYLIEPVDEVAIQNLQTYKEKKFVDISKEDLELGDEVEVKERETKQEYNLLYDWVKQQLGDKVAKVQISKRLSSSPCVLISGKFGWSANMEKLMKAKALGDTASLEFMRGRRILEINPDHPIIKDLNVRPC >KJB67077 pep chromosome:Graimondii2_0_v6:10:50606514:50608294:-1 gene:B456_010G173700 transcript:KJB67077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNQKAIYYLATDSLKSAKTTPFLEKLVQKDIEVLYLIEPVDEVAIQNLQTYKEKKFVDISKEDLELGDEVEVKERETKQEYNLLYDWVKQQLGDKVAKVQISKRLSSSPCVLISGKFGWSANMEKLMKAKALGDTASLEFMRGRRILEINPDHPIIKDLNVRPC >KJB67078 pep chromosome:Graimondii2_0_v6:10:50606651:50607689:-1 gene:B456_010G173700 transcript:KJB67078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNQKAIYYLATDSLKSAKTTPFLEKLVQKDIEVLYLIEPVDEVAIQNLQTYKEKKFVDISKEDLELGDEVEVKERETKQEYNLLYDWVKQQLGDKVAKVQISKRLSSSPCVLISGKFGWSANMEKLMKAKALGDTASLEFMRGRRILEINPDHPIIKDLNVRPC >KJB67076 pep chromosome:Graimondii2_0_v6:10:50606651:50608002:-1 gene:B456_010G173700 transcript:KJB67076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNQKAIYYLATDSLKSAKTTPFLEKLVQKDIEVLYLIEPVDEVAIQNLQTYKEKKFVDISKEDLELGDEVEVKERETKQEYNLLYDWVKQQLGDKVAKVQISKRLSSSPCVLISGKFGWSANMEKLMKAKALGDTASLEFMRGRRILEINPDHPIIKDLNVRPC >KJB67883 pep chromosome:Graimondii2_0_v6:10:58434806:58438214:-1 gene:B456_010G216300 transcript:KJB67883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLNPFPTQTYLKPLIFMPKQVHSLSLVKLRGGLEFPRRNLASLGKNSSISTGNSVNDDEMEDWELEFVGEIDPFSYQAPKKRKKQEKSRVLNDEEGMDWCLKARKMALKSIESRGLAHKVEDLIKKKKKKKKRLAKKDKISKEVEEIEEGFDFEEDNGNEDFDNQINDNVSRLRDTVSSMGDGMFLEKKEKAMEELVQKLAQISGPSDRRKEVNLNKDIIQSQTAEEILEITSEMIIAVGKGLSPSPLSPLNIATALHRIAKNMEKVSMPSTRRLAFTRQREMSMLIGIAMTALPECSAQGVSNIAWALSKIGGDMLFLSEMDRVAEVALAKVTEFNSQNVANIAGAFATMRHSAPDLFEGLAKRAAAIIHSFHEQELAQMLWAFASLYEPADTLLQAIDTVFNNANQIKCCLSHETVKDDEETGVENSRDIEFGGIPDPPVLALSRYQLGNIAWSYAVFGQLDRTFFSHVWKTLSSFEEQRISEQYRGDVMFASQVHLVNQCLKLEYSHLQLSIDGELESKIVRAGKTKRFNQKTTSSFQKEVGRLLVSTGLDWVREHPTDCYTIDAVLVDQKVALEIDGPTHFSRNTGTPLGHTILKRRHIAASGWRFVSLSYQEWEELEGEVEQLEYLRKILKDHLG >KJB64465 pep chromosome:Graimondii2_0_v6:10:5659887:5662198:-1 gene:B456_010G050300 transcript:KJB64465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVFVKDVQQLNGNLHHSFSSVSQRDVTYSCGSCGYELNLSSSSRNTATIGSKYGKLIKRGMISFFNIDETRFTQVDEFQCRPYFSKHSWGLFRHRTKLLCRKCGNHIGDAYDDKSSGYPHVLDGSDSSSGTEPSNHRKYDVRIRALQPSTAEGLGSPLFA >KJB64466 pep chromosome:Graimondii2_0_v6:10:5660180:5660659:-1 gene:B456_010G050300 transcript:KJB64466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMPHKFQTLFILAYSNKMIETILSCSCGSCGYELNLSSSSRNTATIGSKYGKLIKRGMISFFNIDETRFTQVDEFQCRPYFSKHSWGLFRHRTKLLCRKCGNHIGDAYDDKSSGYPHVLDGSDSSSGTEPSNHRKYDVRIRALQPSTAEGLGSPLFA >KJB64467 pep chromosome:Graimondii2_0_v6:10:5659914:5662172:-1 gene:B456_010G050300 transcript:KJB64467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVFVKDVQQLNGNLHHSFSSVSQRDVTYRNTATIGSKYGKLIKRGMISFFNIDETRFTQVDEFQCRPYFSKHSWGLFRHRTKLLCRKCGNHIGDAYDDKSSGYPHVLDGSDSSSGTEPSNHRKYDVRIRALQPSTAEGLGSPLFA >KJB65601 pep chromosome:Graimondii2_0_v6:10:19275155:19276913:1 gene:B456_010G105800 transcript:KJB65601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRHLRKVVSDVTLEMERYIKTASSSTEIDDEVKQAECECCGLEEDCTADYIRRVKGRHCGKWVCGLCSEAVTEFCQRFNSTTRLNPKLSLTCAMRDIAKRSNQNRSSNTPNKMGRSNSCVPKINLNNRSF >KJB66405 pep chromosome:Graimondii2_0_v6:10:33030994:33038693:-1 gene:B456_010G138700 transcript:KJB66405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 6 [Source:Projected from Arabidopsis thaliana (AT1G19100) UniProtKB/Swiss-Prot;Acc:Q56Y74] MNCIDVVDLSSDDELGEVDLKPVKLEPGTIGSMIQQQHNHSVQSVKLFSSKTQARKQASEENKSSNNALSTGQSSTSVLEQCQSPGDDTGVCSTSAVSPAPICRQFWKAGSYEGGLGSKVTLQNSTNYLHVHPLFLHSNATSHKWAFGAVAELLDNAIDEIQNGATFVIVDKTSNPRDGSPALLVQDDGGGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSSMRLGADVIVFSRHLDDRSLTQSIGLLSYSFLTRTCHDRIVVPMVDYELNLSSGTLDVLHGREHFASNLSVLLKWSPFSTEAELLKQFDDIGSHGTKIIIYNLWLNNDGNSELDFDSDEKDICISGDTKKVDTIPAWKAVNEQLIARRYHHSLRVYLSILYLRIPETFRIILRGEIVEHHNIADDLKYIEYILYKPQSVGSVEGAVVSTIGFLKEAPRVNIHGFCVYHKNRLILPFWQVVSYSDSRGRGVVGVLEANFVEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYQIKKKSQPPASHYSSHFTGQSSVQESVGLNKTYMVSDRVKGRLDVAEQSIPISSHGRSMQGLPMKRKEHVDLVKIEKVKRQAGTGGKTSLQPLNGIGNHLRDEETQNLLQENKKLRAK >KJB66407 pep chromosome:Graimondii2_0_v6:10:33030579:33038693:-1 gene:B456_010G138700 transcript:KJB66407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 6 [Source:Projected from Arabidopsis thaliana (AT1G19100) UniProtKB/Swiss-Prot;Acc:Q56Y74] MNCIDVVDLSSDDELGEVDLKPVKLEPGTIGSMIQQQHNHSVQSVKLFSSKTQARKQASEENKSSNNALSTGQSSTSVLEQCQSPGDDTGVCSTSAVSPAPICRQFWKAGSYEGGLGSKVTLQNSTNYLHVHPLFLHSNATSHKWAFGAVAELLDNAIDEIQNGATFVIVDKTSNPRDGSPALLVQDDGGGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSSMRLGADVIVFSRHLDDRSLTQSIGLLSYSFLTRTCHDRIVVPMVDYELNLSSGTLDVLHGREHFASNLSVLLKWSPFSTEAELLKQFDDIGSHGTKIIIYNLWLNNDGNSELDFDSDEKDICISGDTKKVDTIPAWKAVNEQLIARRYHHSLRVYLSILYLRIPETFRIILRGEIVEHHNIADDLKYIEYILYKPQSVGSVEGAVVSTIGFLKEAPRVNIHGFCVYHKNRLILPFWQVVSYSDSRGRGVVGVLEANFVEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYQIKKKSQPPASHYSSHFTGQSSVQESVGLNKTYMVSDRVKGRLDVAEQSIPISSHGRSMQGLPMKRKEHVDLVKIEKVKRQAGTGGKTSLQPLNGIGNHLRDEETQNLLQENKKLRAKCLEYDKRGEELTIKVEELKNEMREVQREYGQLLAELKSLDAVKDEKDVNV >KJB66400 pep chromosome:Graimondii2_0_v6:10:33030271:33039093:-1 gene:B456_010G138700 transcript:KJB66400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 6 [Source:Projected from Arabidopsis thaliana (AT1G19100) UniProtKB/Swiss-Prot;Acc:Q56Y74] MNCIDVVDLSSDDELGEVDLKPVKLEPGTIGSMIQQQHNHSVQSVKLFSSKTQARKQASEENKSSNNALSTGQSSTSVLEQCQSPGDDTGVCSTSAVSPAPICRQFWKAGSYEGGLGSKVTLQNSTNYLHVHPLFLHSNATSHKWAFGAVAELLDNAIDEIQNGATFVIVDKTSNPRDGSPALLVQDDGGGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSSMRLGADVIVFSRHLDDRSLTQSIGLLSYSFLTRTCHDRIVVPMVDYELNLSSGTLDVLHGREHFASNLSVLLKWSPFSTEAELLKQFDDIGSHGTKIIIYNLWLNNDGNSELDFDSDEKDICISGDTKKVDTIPAWKAVNEQLIARRYHHSLRVYLSILYLRIPETFRIILRGEIVEHHNIADDLKYIEYILYKPQSVGSVEGAVVSTIGFLKEAPRVNIHGFCVYHKNRLILPFWQVVSYSDSRGRGVVGVLEANFVEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYQIKKKSQPPASHYSSHFTGQSSVQESVGLNKTYMVSDRVKGRLDVAEQSIPISSHGRSMQGLPMKRKEHVDLVKIEKVKRQAGTGGKTSLQPLNGIGNHLRDEETQNLLQENKKLRAKCLEYDKRGEELTIKVEELKNEMREVQREYGQLLAELKSLDAVKDEKDVNGRD >KJB66399 pep chromosome:Graimondii2_0_v6:10:33030267:33039093:-1 gene:B456_010G138700 transcript:KJB66399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 6 [Source:Projected from Arabidopsis thaliana (AT1G19100) UniProtKB/Swiss-Prot;Acc:Q56Y74] MNCIDVVDLSSDDELGEVDLKPVKLEPGTIGSMIQQQHNHSVQSVKLFSSKTQARKQASEENKSSNNALSTGQSSTSVLEQCQSPGDDTGVCSTSAVSPAPICRQFWKAGSYEGGLGSKVTLQNSTNYLHVHPLFLHSNATSHKWAFGAVAELLDNAIDEIQNGATFVIVDKTSNPRDGSPALLVQDDGGGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSSMRLGADVIVFSRHLDDRSLTQSIGLLSYSFLTRTCHDRIVVPMVDYELNLSSGTLDVLHGREHFASNLSVLLKWSPFSTEAELLKQFDDIGSHGTKIIIYNLWLNNDGNSELDFDSDEKDICISGDTKKVDTIPAWKAVNEQLIARRYHHSLRVYLSILYLRIPETFRIILRGEIVEHHNIADDLKYIEYILYKPQSVGSVEGAVVSTIGFLKEAPRVNIHGFCVYHKNRLILPFWQVVSYSDSRGRGVVGVLEANFVEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYQIKKKSQPPASHYSSHFTGQSSVQESVGLNKTYMVSDRVKGRLDVAEQSIPISSHGRSMQGLPMKRKEHVDLVKIEKVKRQAGTGGKTSLQPLNGIGNHLRDEETQNLLQENKKLRAKCLEYDKRGEELTIKVEELKNEMREVQREYGQLLAELKSLDAVKDEKDGRD >KJB66406 pep chromosome:Graimondii2_0_v6:10:33030873:33038693:-1 gene:B456_010G138700 transcript:KJB66406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 6 [Source:Projected from Arabidopsis thaliana (AT1G19100) UniProtKB/Swiss-Prot;Acc:Q56Y74] MNCIDVVDLSSDDELGEVDLKPVKLEPGTIGSMIQQQHNHSVQSVKLFSSKTQARKQASEENKSSNNALSTGQSSTSVLEQCQSPGDDTGVCSTSAVSPAPICRQFWKAGSYEGGLGSKVTLQNSTNYLHVHPLFLHSNATSHKWAFGAVAELLDNAIDEIQNGATFVIVDKTSNPRDGSPALLVQDDGGGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSSMRLGADVIVFSRHLDDRSLTQSIGLLSYSFLTRTCHDRIVVPMVDYELNLSSGTLDVLHGREHFASNLSVLLKWSPFSTEAELLKQFDDIGSHGTKIIIYNLWLNNDGNSELDFDSDEKDICISGDTKKVDTIPAWKAVNEQLIARRYHHSLRVYLSILYLRIPETFRIILRGEIVEHHNIADDLKYIEYILYKPQSVGSVEGAVVSTIGFLKEAPRVNIHGFCVYHKNRLILPFWQVVSYSDSRGRGVVGVLEANFVEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYQIKKKSQPPASHYSSHFTGQSSVQESVGLNKTYMVSDRVKGRLDVAEQSIPISSHGRSMQGLPMKRKEHVDLVKIEKVKRQAGTGGKTSLQPLNGIGNHLRDEETQNLLQENKKLRANL >KJB66403 pep chromosome:Graimondii2_0_v6:10:33030994:33038693:-1 gene:B456_010G138700 transcript:KJB66403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 6 [Source:Projected from Arabidopsis thaliana (AT1G19100) UniProtKB/Swiss-Prot;Acc:Q56Y74] MNCIDVVDLSSDDELGEVDLKPVKLEPGTIGSMIQQQHNHSVQSVKLFSSKTQARKQASEENKSSNNALSTGQSSTSVLEQCQSPGDDTGVCSTSAVSPAPICRQFWKAGSYEGGLGSKVTLQNSTNYLHVHPLFLHSNATSHKWAFGAVAELLDNAIDEIQNGATFVIVDKTSNPRDGSPALLVQDDGGGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSSMRLGADVIVFSRHLDDRSLTQSIGLLSYSFLTRTCHDRIVVPMVDYELNLSSGTLDVLHGREHFASNLSVLLKWSPFSTEAELLKQFDDIGSHGTKIIIYNLWLNNDGNSELDFDSDEKDICISGDTKKVDTIPAWKAVNEQLIARRYHHSLRVYLSILYLRIPETFRIILRGEIVEHHNIADDLKYIEYILYKPQSVGSVEGAVVSTIGFLKEAPRVNIHGFCVYHKNRLILPFWQVVSYSDSRGRGVVGVLEANFVEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYQIKKKSQPPASHYSSHFTGQSSVQESVGLNKTYMVSDRVKGRLDVAEQSIPISSHGRSMQGLPMKRKEHVDLVKIEKVKRQAGTGGKTSLQPLNGIGNHLRDEETQNLLQENKKLRAK >KJB66402 pep chromosome:Graimondii2_0_v6:10:33030264:33039508:-1 gene:B456_010G138700 transcript:KJB66402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 6 [Source:Projected from Arabidopsis thaliana (AT1G19100) UniProtKB/Swiss-Prot;Acc:Q56Y74] MNCIDVVDLSSDDELGEVDLKPVKLEPGTIGSMIQQQHNHSVQSVKLFSSKTQARKQASEENKSSNNALSTGQSSTSVLEQCQSPGDDTGVCSTSAVSPAPICRQFWKAGSYEGGLGSKVTLQNSTNYLHVHPLFLHSNATSHKWAFGAVAELLDNAIDEIQNGATFVIVDKTSNPRDGSPALLVQDDGGGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSSMRLGADVIVFSRHLDDRSLTQSIGLLSYSFLTRTCHDRIVVPMVDYELNLSSGTLDVLHGREHFASNLSVLLKWSPFSTEAELLKQFDDIGSHGTKIIIYNLWLNNDGNSELDFDSDEKDICISGDTKKVDTIPAWKAVNEQLIARRYHHSLRVYLSILYLRIPETFRIILRGEIVEHHNIADDLKYIEYILYKPQSVGSVEGAVVSTIGFLKEAPRVNIHGFCVYHKNRLILPFWQVVSYSDSRGRGVVGVLEANFVEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYQIKKKSQPPASHYSSHFTGQSSVQESVGLNKTYMVSDRVKGRLDVAEQSIPISSHGRSMQGLPMKRKEHVDLVKIEKVKRQAGTGGKTSLQPLNGIGNHLRDEETQNLLQENKKLRAKCLEYDKRGEELTIKVEELKNEMREVQREYGQLLAELKSLDAVKDEKDGRD >KJB66401 pep chromosome:Graimondii2_0_v6:10:33030579:33038693:-1 gene:B456_010G138700 transcript:KJB66401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 6 [Source:Projected from Arabidopsis thaliana (AT1G19100) UniProtKB/Swiss-Prot;Acc:Q56Y74] MNCIDVVDLSSDDELGEVDLKPVKLEPGTIGSMIQQQHNHSVQSVKLFSSKTQARKQASEENKSSNNALSTGQSSTSVLEQCQSPGDDTGVCSTSAVSPAPICRQFWKAGSYEGGLGSKVTLQNSTNYLHVHPLFLHSNATSHKWAFGAVAELLDNAIDEIQNGATFVIVDKTSNPRDGSPALLVQDDGGGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSSMRLGADVIVFSRHLDDRSLTQSIGLLSYSFLTRTCHDRIVVPMVDYELNLSSGTLDVLHGREHFASNLSVLLKWSPFSTEAELLKQFDDIGSHGTKIIIYNLWLNNDGNSELDFDSDEKDICISGDTKKVDTIPAWKAVNEQLIARRYHHSLRVYLSILYLRIPETFRIILRGEIVEHHNIADDLKYIEYILYKPQSVGSVEGAVVSTIGFLKEAPRVNIHGFCVYHKNRLILPFWQVVSYSDSRGRGVVGVLEANFVEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYQIKKKSQPPASHYSSHFTGQSSVQESVGLNKTYMVSDRVKGRLDVAEQSIPISSHGRSMQGLPMKRKEHVDLVKIEKVKRQAGTGGKTSLQPLNGIGNHLRDEETQNLLQENKKLRAKCLEYDKRGEELTIKVEELKNEMREVQREYGQLLAELKSLDAVKDEKDVNV >KJB66404 pep chromosome:Graimondii2_0_v6:10:33030579:33038693:-1 gene:B456_010G138700 transcript:KJB66404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 6 [Source:Projected from Arabidopsis thaliana (AT1G19100) UniProtKB/Swiss-Prot;Acc:Q56Y74] MNCIDVVDLSSDDELGEVDLKPVKLEPGTIGSMIQQQHNHSVQSVKLFSSKTQARKQASEENKSSNNALSTGQSSTSVLEQCQSPGDDTGVCSTSAVSPAPICRQFWKAGSYEGGLGSKVTLQNSTNYLHVHPLFLHSNATSHKWAFGAVAELLDNAIDEIQNGATFVIVDKTSNPRDGSPALLVQDDGGGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSSMRLGADVIVFSRHLDDRSLTQSIGLLSYSFLTRTCHDRIVVPMVDYELNLSSGTLDVLHGREHFASNLSVLLKWSPFSTEAELLKQFDDIGSHGTKIIIYNLWLNNDGNSELDFDSDEKDICISGDTKKVDTIPAWKAVNEQLIARRYHHSLRVYLSILYLRIPETFRIILRGEIVEHHNIADDLKYIEYILYKPQSVGSVEGAVVSTIGFLKEAPRVNIHGFCVYHKNRLILPFWQVVSYSDSRGRGVVGVLEANFVEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYQIKKKSQPPASHYSSHFTGQSSVQESVGLNKTYMVSDRVKGRLDVAEQSIPISSHGRSMQGLPMKRKEHVDLVKIEKVKRQAGTGGKTSLQPLNGIGNHLRDEETQNLLQENKKLRAKCLEYDKRGEELTIKVEELKNEMREVQREYGQLLAELKSLDAVKDEKDVNV >KJB66408 pep chromosome:Graimondii2_0_v6:10:33030271:33039395:-1 gene:B456_010G138700 transcript:KJB66408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 6 [Source:Projected from Arabidopsis thaliana (AT1G19100) UniProtKB/Swiss-Prot;Acc:Q56Y74] MNCIDVVDLSSDDELGEVDLKPVKLEPGTIGSMIQQQHNHSVQSVKLFSSKTQARKQASEENKSSNNALSTGQSSTSVLEQCQSPGDDTGVCSTSAVSPAPICRQFWKAGSYEGGLGSKVTLQNSTNYLHVHPLFLHSNATSHKWAFGAVAELLDNAIDEIQNGATFVIVDKTSNPRDGSPALLVQDDGGGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSSMRLGADVIVFSRHLDDRSLTQSIGLLSYSFLTRTCHDRIVVPMVDYELNLSSGTLDVLHGREHFASNLSVLLKWSPFSTEAELLKQFDDIGSHGTKIIIYNLWLNNDGNSELDFDSDEKDICISGDTKKVDTIPAWKAVNEQLIARRYHHSLRVYLSILYLRIPETFRIILRGEIVEHHNIADDLKYIEYILYKPQSVGSVEGAVVSTIGFLKEAPRVNIHGFCVYHKNRLILPFWQVVSYSDSRGRGVVGVLEANFVEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYQIKKKSQPPASHYSSHFTGQSSVQESVGLNKTYMVSDRVKGRLDVAEQSIPISSHGRSMQGLPMKRKEHVDLVKIEKVKRQAGTGGKTSLQPLNGIGNHLRDEETQNLLQENKKLRAKCLEYDKRGEELTIKVEELKNEMREVQREYGQLLAELKSLDAVKDEKDVNVVEIDIPYQLQSGS >KJB63819 pep chromosome:Graimondii2_0_v6:10:1362975:1367694:-1 gene:B456_010G018100 transcript:KJB63819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESRPKALGSGQCSYKRITLIVCFVNIVIALFVLRSLYSSLYIYSNKDNAVKYTPDQIRKMEESDKIRRASEPIELVKLVKRIKHELSSDESLAELPRAVKHKITDEILQRLRSLRPNASISDQQEAVETWRKEKLKEAKTLALGGEGLNSTLLQEEAGMLVKALESNWAALSEEIGLWIPTEVINQEHDDKPEGVEDTEEVDQILAGRPLPPQCHAELHTDYDGAAVRWGLTHHKESAADCCQACLDQAKNAKPGEKKCNIWVYCPLENGCYSPDIYQHKHMECWLKFSEKPRLNFKNRYSEQYRDRHPKAPVMVPWVSGIISE >KJB63820 pep chromosome:Graimondii2_0_v6:10:1363053:1367542:-1 gene:B456_010G018100 transcript:KJB63820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVKYTPDQIRKMEESDKIRRASEPIELVKLVKRIKHELSSDESLAELPRAVKHKITDEILQRLRSLRPNASISDQQEAVETWRKEKLKEAKTLALGGEGLNSTLLQEEAGMLVKALESNWAALSEEIGLWIPTEVINQEHDDKPEGVEDTEEVDQILAGRPLPPQCHAELHTDYDGAAVRWGLTHHKESAADCCQACLDQAKNAKPGEKKCNIWVYCPLENGCYSPDIYQHKHMECWLKFSEKPRLNFKNRYSEQYRDRHPKAPVMVPWVSGIISE >KJB63821 pep chromosome:Graimondii2_0_v6:10:1363053:1367542:-1 gene:B456_010G018100 transcript:KJB63821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESRPKALGSGQCSYKRITLIVCFVNIVIALFVLRSLYSSLYIYSNKDNAVKYTPDQIRKMEESDKIRRASEPIELVKLVKRIKHELSSDESLAELPRAVKHKITDEILQRLRSLRPNASISDQQGERNAIMTLHFLSMQSWYYPSFEAVETWRKEKLKEAKTLALGGEGLNSTLLQEEAGMLVKALESNWAALSEEIGLWIPTEVINQEHDDKPEGVEDTEEVDQILAGRPLPPQCHAELHTDYDGAAVRWGLTHHKESAADCCQACLDQAKNAKPGEKKCNIWVYCPLENGCYSPDIYQHKHMECWLKFSEKPRLNFKNRYSEQYRDRHPKAPVMVPWVSGIISE >KJB66297 pep chromosome:Graimondii2_0_v6:10:29889940:29893075:-1 gene:B456_010G134300 transcript:KJB66297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G71750) UniProtKB/TrEMBL;Acc:F4IA25] MSMSLDSHIEKVLWTQDQILDRVAQIASQITLDFKAAPDPPLFVGVANGAFLFLADLVKRVQFPLFVDLVRAQSYGSDTLSNGAPSISLDLKLDVKGKHVILVEDIVDTGCTLSCLIEHLEAKGVSSVSICAFLDKPTRRKVHIKLVGDGKFYKGFECPDYFVVGYGMDFAELYRNLPYIGVLKSEFYK >KJB66298 pep chromosome:Graimondii2_0_v6:10:29889940:29893019:-1 gene:B456_010G134300 transcript:KJB66298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G71750) UniProtKB/TrEMBL;Acc:F4IA25] MSMSLDSHIEKVLWTQDQILDRVAQIASQITLDFKAAPDPPLFVGVANGAFLFLADLVKRVQFPLFVDLVRAQSYGSDTLSNGAPSISLDLKLDVKGKHVILVEDIVDTGCTLSCLIEHLEAKGVSSVSICAFLDKPTRRKVHIKLVGDGKFYKGFEVKIYSQTFYAHML >KJB66299 pep chromosome:Graimondii2_0_v6:10:29889921:29893159:-1 gene:B456_010G134300 transcript:KJB66299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G71750) UniProtKB/TrEMBL;Acc:F4IA25] MSMSLDSHIEKVLWTQDQILDRVAQIASQITLDFKAAPDPPLFVGVANGAFLFLADLVKRVQFPLFVDLVRAQSYGSDTLSNGAPSISLDLKLDVKGKHVILVEDIVDTGCTLSCLIEHLEAKGVSSVSICAFLDKPTRRKVHIKLVGDGKFYKGFECPDYFVVGYGMDFAELYRNLPYIGVLKSEFYK >KJB65759 pep chromosome:Graimondii2_0_v6:10:21424461:21427877:1 gene:B456_010G112200 transcript:KJB65759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTELLSIFSKSKPQSKKKKKEKLVPSSSISFIIKAKKKTLIMQPCSREMQAMNSLLNPTQQIPLQDLQINGNRHHHQQIHVPSSSQFQYPTPTSTTHHDDSFLEQILSSTTSFPWSDETGPPNPEDNNNAGFNYDEMVLASKLRQHQINGGAAGNPFAAMKMMLMMQQQQQQQQMMLAGRPTVASAAAGGGITTNHQGGEGSMQALYNVFGDGSLHGTMQAKSFVGANSATEMTQSQGSGPTAGEAVTAPEKPKQRVRARRGQATDPHSIAERLRRVRIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVSEGGGDCIQTSANGGSHPRNSNGDQTPSANDRLTMTEHQVAKLMEEDMGSAMQYLQEKGLCLMPISLATAISSATSRSRNPMINHENPTNGSHLLIQSSGGDGPSSPSMSVLTVQSATMGNGGLEGGAASVSKP >KJB65757 pep chromosome:Graimondii2_0_v6:10:21424461:21427819:1 gene:B456_010G112200 transcript:KJB65757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTELLSIFSKSKPQSKKKKKEKLVPSSSISFIIKAKKKTLIMQPCSREMQAMNSLLNPTQQIPLQDLQINGNRHHHQQIHVPSSSQFQYPTPTSTTHHDDSFLEQILSSTTSFPWSDETGPPNPEDNNNAGFNYDEMVLASKLRQHQINGGAAGNPFAAMKMMLMMQQQQQQQQMMLAGRPTVASAAAGGGITTNHQGGEGSMQALYNVFGDGSLHGTMQAKSFVGANSATEMTQSQGSGPTAGEAVTAPEKPKQRVRARRGQATDPHSIAERLRRVRIAERMKALQELVPNANKVLSMSRLGGAAAVAPLVSEGGGDCIQTSANGGSHPRNSNGDQTPSANDRLTMTEHQVAKLMEEDMGSAMQYLQEKGLCLMPISLATAISSATSRSRNPMINHENPTNGSHLLIQSSGGDGPSSPSMSVLTVQSATMGNGGLEGGAASVSKP >KJB65758 pep chromosome:Graimondii2_0_v6:10:21424461:21427819:1 gene:B456_010G112200 transcript:KJB65758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTELLSIFSKSKPQSKKKKKEKLVPSSSISFIIKAKKKTLIMQPCSREMQAMNSLLNPTQQIPLQDLQINGNRHHHQQIHVPSSSQFQYPTPTSTTHHDDSFLEQILSSTTSFPWSDETGPPNPEDNNNAGFNYDEMVLASKLRQHQINGGAAGNPFAAMKMMLMMQQQQQQQQMMLAGRPTVASAAAGGGITTNHQGGEGSMQALYNVFGDGSLHGTMQAKSFVGANSATEMTQSQGSGPTAGEAVTAPEKPKQRVRARRGQATDPHSIAERLRRVRIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVSELYDFLFLLKGGGDCIQTSANGGSHPRNSNGDQTPSANDRLTMTEHQVAKLMEEDMGSAMQYLQEKGLCLMPISLATAISSATSRSRNPMINHENPTNGSHLLIQSSGGDGPSSPSMSVLTVQSATMGNGGLEGGAASVSKP >KJB65197 pep chromosome:Graimondii2_0_v6:10:12582324:12585293:1 gene:B456_010G084200 transcript:KJB65197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVKNNSLPPSLISNLNQVLLSRQQHTIDHQSTNSSSLPSHSRNVDEPDCSKPLLLITNCEGIDSPGLIFLVQALLSDARFTLHVCAPQSDKSVAGHSLTIRETVAVCSVEMSGATAFEVSGTPVDCVSLALSGALFSWSKPVLAISGINRGSSCGRNMFYSGAVAAAREALICGVPSLCLSFDWKKDVSGESDLKNAADLCLPLISAAVRDIERGKFPESCLLNIEIPSSPLANKGFKLTRQSLWRSPLSWKAVSANRHPAAGQYLSNQQSLGIKLAQLSRDASAAGAARRLNSHRKNVEIESVGIAGKFNGQQTVKKYFRLELLEKETEKADDNLDFKAVEDGYVAVTPLSLSSTDQSKIETEVSSWISAALGREQRS >KJB65198 pep chromosome:Graimondii2_0_v6:10:12582388:12585229:1 gene:B456_010G084200 transcript:KJB65198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVKNNSLPPSLISNLNQVLLSRQQHTIDHQSTNSSSLPSHSRNVDEPDCSKPLLLITNCEGIDSPGLIFLVQALLSDARFTLHVCAPQSDKSVAGHSLTIRETVAVCSVEMSGATAFEVSGTPVDCVSLALSGALFSWSKPVLAISGINRGSSCGRNMFYSGAVAAAREALICGVPSLCLSFDWKKDVSGESDLKNAADLCLPLISAAVRDIERGKFPESCLLNIEIPSSPLANKGFKLTRQSLWRSPLSWKAVSANRHPAAGQYLSNQQSLGIKLAQLSRDASAAGAARRLNSHRKNVEIESVGIAGKFNGQQTVKKYFRLELLEKETEKADDNLDFKAVEDGYVAVTPLSLSSTDQSKIETEVSSWISAALGREQRS >KJB65200 pep chromosome:Graimondii2_0_v6:10:12582388:12585229:1 gene:B456_010G084200 transcript:KJB65200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVKNNSLPPSLISNLNQVLLSRQQHTIDHQSTNSSSLPSHSRNVDEPDCSKPLLLITNCEGIDSPGLIFLVQALLSDARFTLHVCAPQSDKSVAGHSLTIRETVAVCSVEMSGATAFEVSGTPVDCVSLALSGALFSWSKPVLAISGINRGSSCGRNMFYSGAVAAAREALICGVPSLCLSFDWKKDVSGESDLKNAADLCLPLISAAVRDIERGKFPESCLLNIEIPSSPLANKGFKLTRQSLWRSPLSWKAVSANRHPAAGQYLSNQQSLGIKLAQLSRDASAAGAARRLNSHRKNVEIESVGIAGKFNGQQTVKKYFRLEQLLEKETEKADDNLDFKAVEDGYVAVTPLSLSSTDQSKIETEVSSWISAALGREQRS >KJB65199 pep chromosome:Graimondii2_0_v6:10:12582458:12584888:1 gene:B456_010G084200 transcript:KJB65199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVKNNSLPPSLISNLNQVLLSRQQHTIDHQSTNSSSLPSHSRNVDEPDCSKPLLLITNCEGIDSPGLIFLVQALLSDARFTLHVCAPQSDKSVAGHSLTIRETVAVCSVEMSGATAFEVSGTPVDCVSLALSGALFSWSKPVLAISGINRGSSCGRNMFYSGAVAAAREALICGVPSLCLSFDWKKDVSGESDLKNAADLCLPLISAAVRDIERGKFPESCLLNIEIPSSPLANKGFKLTRQSLWRSPLSWKAVSANRHPAAGQYLSNQQSLGIKLAQLSRDASAAGAARRLNSHRKNVEIESVGIAGKFNGQQTVKKYFRLELLEKETEKADDNLDFKAVEDGYVSCCNSFIFIFNRSIQD >KJB68664 pep chromosome:Graimondii2_0_v6:10:1260209:1260777:1 gene:B456_010G016500 transcript:KJB68664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ANAEGFSGTPQSTMKENAAKKSSNNNNNEDEEAPQLVFERMIARILASVGVPMATGLALLHLFGLAKERNLWDVPLWLPFLTTFITFGESMLRITYGTLSASWDPKKKGSLLGLEEAQCNWVKIWKSKI >KJB65341 pep chromosome:Graimondii2_0_v6:10:13993633:13997719:1 gene:B456_010G090700 transcript:KJB65341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQRLKQQHALMQQALYHNPSLMSGPQIEPILSGNLPPGFDAATCRSVYVGNIHPQVTEPLLQEVFLSTGPIEGCKLIKKDKSSYGFVDYFDRRSAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSGHHNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQSAINDLNGKWLGSRQIRCNWAAKGATSNDDKSGSDAKGVVELTNGTSEEGQEKTNDDAPENNPQYTTVYVGNLAPEVTSVDLHRLFHTLGAGTIEDVRVQRDKGFGFVRYSLHTEAALAIQMGNARILCGKPIKPTAPGTSSAPLPPPAAAHMPGFSAADLAAYERHMAMSKYGGAQVMGMMHPQGQHALKQVAMGMGAAAAGQAIYDGGYQNVATTQQLMYYQ >KJB65336 pep chromosome:Graimondii2_0_v6:10:13993633:13996516:1 gene:B456_010G090700 transcript:KJB65336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQRLKQQHALMQQALYHNPSLMSGPQIEPILSGNLPPGFDAATCRSVYVGNIHPQVTEPLLQEVFLSTGPIEGCKLIKKDKSSYGFVDYFDRRSAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSGHHNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQSAINDLNGKWLGSRQIRCNWAAKGATSNDDKSGSDAKGVVELTNGTSEEGQEKTNDDAPENNPQYTTVYVGNLAPEVSSFFQSKVCLFSP >KJB65338 pep chromosome:Graimondii2_0_v6:10:13993633:13997719:1 gene:B456_010G090700 transcript:KJB65338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQRLKQQHALMQQALYHNPSLMSGPQIEPILSGNLPPGFDAATCRSVYVGNIHPQVTEPLLQEVFLSTGPIEGCKLIKKDKSSYGFVDYFDRRSAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSGHHNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQSAINDLNGKWLGSRQIRCNWAAKGATSNDDKSGSDAKGVVELTNGTSEEGQEKTNDDAPENNPQYTTVYVGNLAPEVTSVDLHRLFHTLGAGTIEDVRVQRDKGFGFVRYSLHTEAALAIQMGNARILCGKPIKCSWGSKPTAPGTSSAPLPPPAAAHMPGFSAADLAAYERHMAMSKYGGAQVMGMMHPQAGQAIYDGGYQNVATTQQLMYYQ >KJB65340 pep chromosome:Graimondii2_0_v6:10:13993633:13997719:1 gene:B456_010G090700 transcript:KJB65340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQRLKQQHALMQQALYHNPSLMSGPQIEPILSGNLPPGFDAATCRSVYVGNIHPQVTEPLLQEVFLSTGPIEGCKLIKKDKSSYGFVDYFDRRSAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSGHHNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQSAINDLNGKWLGSRQIRCNWAAKGATSNDDKSGSDAKGVVELTNGTSEEGQEKTNDDAPENNPQYTTVYVGNLAPEVTSVDLHRLFHTLGAGTIEDVRVQRDKGFGFVRYSLHTEAALAIQMGNARILCGKPIKCSWGSKPTAPGTSSAPLPPPAAAHMPGFSAADLAAYERHMAMSKYGGAQVMGMMHPQGQHALKQVAMGMGAAAAGQAIYDGGYQNVATTQQLMYYQ >KJB65339 pep chromosome:Graimondii2_0_v6:10:13993756:13997294:1 gene:B456_010G090700 transcript:KJB65339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQRLKQQHALMQQALYHNPSLMSGPQIEPILSGNLPPGFDAATCRSVYVGNIHPQVTEPLLQEVFLSTGPIEGCKLIKKDKSSYGFVDYFDRRSAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSGHHNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQSAINDLNGKWLGSRQIRCNWAAKGATSNDDKSGSDAKGVVELTNGTSEEGQEKTNDDAPENNPQYTTVYVGNLAPEVTSVDLHRLFHTLGAGTIEDVRVQRDKGFGFVRYSLHTEAALAIQMGNARILCGKPIKQAYCTRNKLCPFASTGCCTYAWFFSCRPGCL >KJB65342 pep chromosome:Graimondii2_0_v6:10:13993633:13997719:1 gene:B456_010G090700 transcript:KJB65342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQRLKQQHALMQQALYHNPSLMSGPQIEPILSGNLPPGFDAATCRSVYVGNIHPQVTEPLLQEVFLSTGPIEGCKLIKKDKSSYGFVDYFDRRSAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSGHHNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQSAINDLNGKWLGSRQIRCNWAAKGATSNDDKSGSDAKGVVELTNGTSEGQEKTNDDAPENNPQYTTVYVGNLAPEVTSVDLHRLFHTLGAGTIEDVRVQRDKGFGFVRYSLHTEAALAIQMGNARILCGKPIKCSWGSKPTAPGTSSAPLPPPAAAHMPGFSAADLAAYERHMAMSKYGGAQVMGMMHPQGQHALKQVAMGMGAAAAGQAIYDGGYQNVATTQQLMYYQ >KJB65337 pep chromosome:Graimondii2_0_v6:10:13993756:13997311:1 gene:B456_010G090700 transcript:KJB65337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQRLKQQHALMQQALYHNPSLMSGPQIEPILSGNLPPGFDAATCRSVYVGNIHPQVTEPLLQEVFLSTGPIEGCKLIKKDKSSYGFVDYFDRRSAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSGHHNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQSAINDLNGKWLGSRQIRCNWAAKGATSNDDKSGSDAKGVVELTNGTSEEGQEKTNDDAPENNPQYTTVYVGNLAPEVTSVDLHRLFHTLGAGTIEDVRVQRDKGFGFVRYSLHTEAALAIQMGNARILCGKPIKHHSSCVHGVASLLHQEQALPLCLHRLLHICLVFQLPTWLPMNDIWQ >KJB65170 pep chromosome:Graimondii2_0_v6:10:12266666:12272222:1 gene:B456_010G082900 transcript:KJB65170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWDKNMLSKVVNMFMLWLLFSSWVFSLVSATVSYDSKAIIINGRRRILLSGSIHYPRSTPQMWPDLIAKAKEGGLDVIQTYVFWNGHEPSPGNYYFEDRYDLVRFIKLVQQAGLYVHLRIGPYICAEWNFGGFPVWLKYVPGIAFRTDNEPFKAAMQKFTEKIVSMMKAEKLFETQGGPIIMSQIENEFGPVEWEIGDPGKAYIKWAAQMAVGLDTGVPWIMCKQDDAPDPVINTCNGFYCENFTPNAKYKPKMWTENWTGWYTEFGGAVPTRPAEDIAFSVARFIQNGGSFVNYYMYHGGTNFGRTASGLFIATSYDYDAPIDEYGLPREPKWGHLRDLHRAIKLSEPALVSADPTVTSLGSNQEAHVFKSKSGACAAFLANYDTKYSVKVTFGSAHYELPRWSITILPDCKTAVFNTARLGAQSSEKKMVLANTAFSWQSYNEESPSADDQDVTVHDGLWEQIYITRDATDYLWYMTDVQIDSDEGFLRSGQDPLLTIWSAGHALHVFINGQLSGTVYGGLENPKLTFSNNVKLRAGINKVTLLSVAVGLSNVGTHFETWNVGVLGPVTLKGLNEGTRDLSKQKWSYKIGLKGEALKLHTVAGSSSVEWVEGSQLVKKQPMTWYKTTFDAPGGNEPLGLDMSSMGKGQLWINGQSIGRHWPGYIAHGNCYACDYAGTYSDQKCRTNCGEPSQRWYHVPRSWLKPSGNFLVVYEEWGGDPNGIALAKRTTASVCADIFEGQPTMKKRGMLIAGRISRPKAHLWCPPGQKISKINFASYGMPEGSCGNFREGSCHAHKSYDAFQKNCIGKQSCSVTVAPEVFGGDPCPGSRKKLSVEAACK >KJB65171 pep chromosome:Graimondii2_0_v6:10:12266666:12272108:1 gene:B456_010G082900 transcript:KJB65171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWDKNMLSKVVNMFMLWLLFSSWVFSLVSATVSYDSKAIIINGRRRILLSGSIHYPRSTPQMWPDLIAKAKEGGLDVIQTYVFWNGHEPSPGNYYFEDRYDLVRFIKLVQQAGLYVHLRIGPYICAEWNFGGFPVWLKYVPGIAFRTDNEPFKAAMQKFTEKIVSMMKAEKLFETQGGPIIMSQIENEFGPVEWEIGDPGKAYIKWAAQMAVGLDTGVPWIMCKQDDAPDPVINTCNGFYCENFTPNAKYKPKMWTENWTGWYTEFGGAVPTRPAEDIAFSVARFIQNGGSFVNYYMYHGGTNFGRTASGLFIATSYDYDAPIDEYGLPREPKWGHLRDLHRAIKLSEPALVSADPTVTSLGSNQEAHVFKSKSGACAAFLANYDTKYSVKVTFGSAHYELPRWSITILPDCKTAVFNTARLGAQSSEKKMVLANTAFSWQSYNEESPSADDQDVTVHDGLWEQIYITRDATDYLWYMTDVQIDSDEGFLRSGQDPLLTIWSAGHALHVFINGQLSGTVYGGLENPKLTFSNNVKLRAGINKVTLLSVAVGLSNVGTHFETWNVGVLGPVTLKGLNEGTRDLSKQKWSYKIGLKGEALKLHTVAGSSSVEWVEGSQLVKKQPMTWYKTTFDAPGGNEPLGLDMSSMGKGQLWINGQSIGRHWPGYIAHGNCYACDYAGTYSDQKCRTNCGEPSQRWYHVPRSWLKPSGNFLVVYEEWGGDPNGIALAKRTTASVCADIFEGQPTMKKRGMLIAGRISRPKAHLWCPPGQKISKINFASYGMPEGSCGNFREGSCHAHKSYDAFQKNCIGKQSCSVTVAPEVFGGDPCPGSRKKLSVEAACK >KJB65168 pep chromosome:Graimondii2_0_v6:10:12266666:12272108:1 gene:B456_010G082900 transcript:KJB65168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWDKNMLSKVVNMFMLWLLFSSWVFSLVSATVSYDSKAIIINGRRRILLSGSIHYPRSTPQMWPDLIAKAKEGGLDVIQTYVFWNGHEPSPGNYYFEDRYDLVRFIKLVQQAGLYVHLRIGPYICAEWNFGGFPVWLKYVPGIAFRTDNEPFKAAMQKFTEKIVSMMKAEKLFETQGGPIIMSQIENEFGPVEWEIGDPGKAYIKWAAQMAVGLDTGVPWIMCKQDDAPDPVINTCNGFYCENFTPNAKYKPKMWTENWTGWYTEFGGAVPTRPAEDIAFSVARFIQNGGSFVNYYMYHGGTNFGRTASGLFIATSYDYDAPIDEYGLPREPKWGHLRDLHRAIKLSEPALVSADPTVTSLGSNQEAHVFKSKSGACAAFLANYDTKYSVKVTFGSAHYELPRWSITILPDCKTAVFNTARLGAQSSEKKMVLANTAFSWQSYNEESPSADDQDVTVHDGLWEQIYITRDATDYLWYMTDVQIDSDEGFLRSGQDPLLTIWSAGHALHVFINGQLSGTVYGGLENPKLTFSNNVKLRAGINKVTLLSVAVGLSNVGTHFETWNVGVLGPVTLKGLNEGTRDLSKQKWSYKIGLKGEALKLHTVAGSSSVEWVEGSQLVKKQPMTWYKVRKIPC >KJB65165 pep chromosome:Graimondii2_0_v6:10:12266860:12270494:1 gene:B456_010G082900 transcript:KJB65165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWDKNMLSKVVNMFMLWLLFSSWVFSLVSATVSYDSKAIIINGRRRILLSGSIHYPRSTPQMWPDLIAKAKEGGLDVIQTYVFWNGHEPSPGNYYFEDRYDLVRFIKLVQQAGLYVHLRIGPYICAEWNFGGFPVWLKYVPGIAFRTDNEPFKAAMQKFTEKIVSMMKAEKLFETQGGPIIMSQIENEFGPVEWEIGDPGKAYIKWAAQMAVGLDTGVPWIMCKQDDAPDPVINTCNGFYCENFTPNAKYKPKMWTENWTGWYTEFGGAVPTRPAEDIAFSVARFIQNGGSFVNYYMYHGGTNFGRTASGLFIATSYDYDAPIDEYGLPREPKWGHLRDLHRAIKLSEPALVSADPTVTSLGSNQEAHVFKSKSGACAAFLANYDTKYSVKVTFGSAHYELPRWSITILPDCKTAVFNTARLGAQSSEKKMVLANTAFSWQSYNEESPSADDQDVTVHDGLWEQIYITRDATDYLWYMTDVQIDSDEGFLRSGQDPLLTIWSAGHALHVFINGQLSGTVYGGLENPKLTFSNNVKLRAGINKVTLLSVAVGLSNVGTHFETWNVGVLGPVTLKGLNEGTRDLSKQKWSYKIGLKGEALKLHTVAGSSSVEWVEGSQLVKKQPMTWYKVRKIPC >KJB65166 pep chromosome:Graimondii2_0_v6:10:12266666:12272108:1 gene:B456_010G082900 transcript:KJB65166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWDKNMLSKVVNMFMLWLLFSSWVFSLVSATVSYDSKAIIINGRRRILLSGSIHYPRSTPQMWPDLIAKAKEGGLDVIQTYVFWNGHEPSPGNYYFEDRYDLVRFIKLVQQAGLYVHLRIGPYICAEWNFGGFPVWLKYVPGIAFRTDNEPFKAAMQKFTEKIVSMMKAEKLFETQGGPIIMSQIENEFGPVEWEIGDPGKAYIKWAAQMAVGLDTGVPWIMCKQDDAPDPVINTCNGFYCENFTPNAKYKPKMWTENWTGWYTEFGGAVPTRPAEDIAFSVARFIQNGGSFVNYYMYHGGTNFGRTASGLFIATSYDYDAPIDEYGLPREPKWGHLRDLHRAIKLSEPALVSADPTVTSLGSNQEAHVFKSKSGACAAFLANYDTKYSVKVTFGSAHYELPRWSITILPDCKTAVFNTARLGAQSSEKKMVLANTAFSWQSYNEESPSADDQDVTVHDGLWEQIYITRDATDYLWYMTDVQIDSDEGFLRSGQDPLLTIWSAGHALHVFINGQLSGTVYGGLENPKLTFSNNVKLRAGINKVTLLSVAVGLSNVGTHFETWNVGVLGPVTLKGLNEGTRDLSKQKWSYKIGLKGEALKLHTVAGSSSVEWVEGSQLVKKQPMTWYKTTFDAPGGNEPLGLDMSSMGKGQLWINGQSIGRHWPGYIAHGNCYACDYAGTYSDQKCRTNCGEPSQRW >KJB65169 pep chromosome:Graimondii2_0_v6:10:12266666:12272108:1 gene:B456_010G082900 transcript:KJB65169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWDKNMLSKVVNMFMLWLLFSSWVFSLVSATVSYDSKAIIINGRRRILLSGSIHYPRSTPQMWPDLIAKAKEGGLDVIQTYVFWNGHEPSPGNYYFEDRYDLVRFIKLVQQAGLYVHLRIGPYICAEWNFGGFPVWLKYVPGIAFRTDNEPFKAAMQKFTEKIVSMMKAEKLFETQGGPIIMSQIENEFGPVEWEIGDPGKAYIKWAAQMAVGLDTGVPWIMCKQDDAPDPVINTCNGFYCENFTPNAKYKPKMWTENWTGWYTEFGGAVPTRPAEDIAFSVARFIQNGGSFVNYYMYHGGTNFGRTASGLFIATSYDYDAPIDEYGLPREPKWGHLRDLHRAIKLSEPALVSADPTVTSLGSNQEAHVFKSKSGACAAFLANYDTKYSVKVTFGSAHYELPRWSITILPDCKTAVFNTARLGAQSSEKKMVLANTAFSWQSYNEESPSADDQDVTVHDGLWEQIYITRDATDYLWYMTDVQIDSDEGFLRSGQDPLLTIWSAGHALHVFINGQLSGTVYGGLENPKLTFSNNVKLRAGINKVTLLSVAVGLSNVGTHFETWNVGVLGPVTLKGLNEGTRDLSKQKWSYKIGLKGEALKLHTVAGSSSVEWVEGSQLVKKQPMTWYKTTFDAPGGNEPLGLDMSSMGKGQLWINGQSIGRHWPGYIAHGNCYACDYAGTYSDQKCRTNCGEPSQRWYHVPRSWLKPSGNFLVVYEEWGGDPNGIALAKRTTASVCADIFEGQPTMKKRGMLIAGRISRPKAHLWCPPGQKISKINFASYGMPEGSCGNFREGSCHAHKSYDAFQKVCLNL >KJB65167 pep chromosome:Graimondii2_0_v6:10:12266666:12272108:1 gene:B456_010G082900 transcript:KJB65167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWDKNMLSKVVNMFMLWLLFSSWVFSLVSATVSYDSKAIIINGRRRILLSGSIHYPRSTPQMWPDLIAKAKEGGLDVIQTYVFWNGHEPSPGNYYFEDRYDLVRFIKLVQQAGLYVHLRIGPYICAEWNFGGFPVWLKYVPGIAFRTDNEPFKAAMQKFTEKIVSMMKAEKLFETQGGPIIMSQIENEFGPVEWEIGDPGKAYIKWAAQMAVGLDTGVPWIMCKQDDAPDPVINTCNGFYCENFTPNAKYKPKMWTENWTGWYTEFGGAVPTRPAEDIAFSVARFIQNGGSFVNYYMYHGGTNFGRTASGLFIATSYDYDAPIDEYGLPREPKWGHLRDLHRAIKLSEPALVSADPTVTSLGSNQEAHVFKSKSGACAAFLANYDTKYSVKVTFGSAHYELPRWSITILPDCKTAVFNTARLGAQSSEKKMVLANTAFSWQSYNEESPSADDQDVTVHDGLWEQIYITRDATDYLWYMTDVQIDSDEGFLRSGQDPLLTIWSAGHALHVFINGQLSGTVYGGLENPKLTFSNNVKLRAGINKVTLLSVAVGLSNVGTHFETWNVGVLGPVTLKGLNEGTRDLSKQKWSYKQIGLKGEALKLHTVAGSSSVEWVEGSQLVKKQPMTWYKTTFDAPGGNEPLGLDMSSMGKGQLWINGQSIGRHWPGYIAHGNCYACDYAGTYSDQKCRTNCGEPSQRWYHVPRSWLKPSGNFLVVYEEWGGDPNGIALAKRTTASVCADIFEGQPTMKKRGMLIAGRISRPKAHLWCPPGQKISKINFASYGMPEGSCGNFREGSCHAHKSYDAFQKNCIGKQSCSVTVAPEVFGGDPCPGSRKKLSVEAACK >KJB66147 pep chromosome:Graimondii2_0_v6:10:27983582:28005803:1 gene:B456_010G128900 transcript:KJB66147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVKLKFRIPYFTEWGQSLLVCGSEPMLGSWNVKKGLLLSPVHQDDQLIWTATIAVPCQFSCGYRYYVVDDAKNVLRWEMGNERRLSIPHLLPEGHTLELHDLWQTGADALLFRSAFKDVIFCKNSTFNIDRPEAILHDELVQQESILVRFKICCPNVQEGTSVYVIGSSTKLGNWKVQDGLKLHYTGEYIWEAYCVIPRGDFPIRYKYCKYGKNGCFSLEVGSTRELSVNSSKSQSQYIFLSDGMLREMPWRGCGVAVPMFSVRSEDDIGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHRMWWDSYPYSSLSVFALHPLYLRLQALSKNLPEDVKSEIRNAKERLDGKDVDYEATMATKFSIAKKVFMQEKDLILNSSSFHNFFSENEDWLKPYAAFCFLRDFFETSDHSQWGCFSNYSKDKLEKLVSKDALHYDTICFHYYIQFHLHLQLSEAAEYARAKGVVLKGDLPIGVDRNSVDTWVYPTLFRMNTSTGAPPDYFAKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHAMTGLIGKFRPSIPLSQEELEREGIWDFDRLTRPYVRKEFLQENFGDSWILIAANFFKEYLDRYEFKEECNTEKKIAAKVHSCAEKSLLPESEDKIRCDLFDLLKNIVLIRDPEHDKSFYPRFNLEDTSSFRDLDDHSKNVLKRLYYDYYFHRQDKLWQQNALKTLPALLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRHRFFKSVIGSDDLPPSQCVPDLAHLIIRQHIESPSMWAIFPLQDLLALKEEYMTRPATEETINDPTNPKHYWRYRVHVTMESLIKDKELKTTIKDLIQGSGRSYPHIGEAERQLSRETAALALGKQ >KJB66144 pep chromosome:Graimondii2_0_v6:10:27983814:28005110:1 gene:B456_010G128900 transcript:KJB66144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGLFSAAKSMKSVKLKFRIPYFTEWGQSLLVCGSEPMLGSWNVKKGLLLSPVHQDDQLIWTATIAVPCQFSCGYRYYVVDDAKNVLRWEMGNERRLSIPHLLPEGHTLELHDLWQTGADALLFRSAFKDVIFCKNSTFNIDRPEAILHDELVQQESILVRFKICCPNVQEGTSVYVIGSSTKLGNWKVQDGLKLHYTGEYIWEAYCVIPRGDFPIRYKYCKYGKNGCFSLEVGSTRELSVNSSKSQSQYIFLSDGMLREMPWRGCGVAVPMFSVRSEDDIGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHRMWWDSYPYSSLSVFALHPLYLRLQALSKNLPEDVKSEIRNAKERLDGKDVDYEATMATKFSIAKKVFMQEKDLILNSSSFHNFFSENEDWLKPYAAFCFLRDFFETSDHSQWGCFSNYSKDKLEKLVSKDALHYDTICFHYYIQFHLHLQLSEAAEYARAKGVVLKGDLPIGVDRNSVDTWVYPTLFRMNTSTGAPPDYFAKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHAMTGLIGKFRPSIPLSQEELEREGIWDFDRLTRPYVRKEFLQENFGDSWILIAANFFKEYLDRYEFKEECNTEKKIAAKVHSCAEKSLLPESEDKIRCDLFDLLKNIVLIRDPEHDKSFYPRFNLEDTSSFRDLDDHSKNVLKRLYYDYYFHRQDKLWQQNALKTLPALLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRHRFFKSVIGSDDLPPSQCVPDLAHLIIRQHIESPSMWAIFPLQWV >KJB66143 pep chromosome:Graimondii2_0_v6:10:27983295:28005803:1 gene:B456_010G128900 transcript:KJB66143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGLFSAAKSMKSVKLKFRIPYFTEWGQSLLVCGSEPMLGSWNVKKGLLLSPVHQDDQLIWTATIAVPCQFSCGYRYYVVDDAKNVLRWEMGNERRLSIPHLLPEGHTLELHDLWQTGADALLFRSAFKDVIFCKNSTFNIDRPEAILHDELVQQESILVRFKICCPNVQEGTSVYVIGSSTKLGNWKVQDGLKLHYTGEYIWEAYCVIPRGDFPIRYKYCKYGKNGCFSLEVGSTRELSVNSSKSQSQYIFLSDGMLREMPWRGCGVAVPMFSVRSEDDIGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHRMWWDSYPYSSLSVFALHPLYLRLQALSKNLPEDVKSEIRNAKERLDGKDVDYEATMATKFSIAKKVFMQEKDLILNSSSFHNFFSENEDWLKPYAAFCFLRDFFETSDHSQWGCFSNYSKDKLEKLVSKDALHYDTICFHYYIQFHLHLQLSEAAEYARAKGVVLKGDLPIGVDRNSVDTWVYPTLFRMNTSTGAPPDYFAKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHAMTGLIGKFRPSIPLSQEELEREGIWDFDRLTRPYVRKEFLQENFGDSWILIAANFFKEYLDRYEFKEECNTEKKIAAKVHSCAEKSLLPESEDKIRCDLFDLLKNIVLIRDPEHDKSFYPRFNLEDTSSFRDLDDHSKNVLKRLYYDYYFHRQDKLWQQNALKTLPALLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRHRFFKSVIGSDDLPPSQCVPDLAHLIIRQHIESPSMWAIFPLQDLLALKEEYMTRPATEETINDPTNPKHYWRYRVHVTMESLIKDKELKTTIKDLIQGSGRSYPHIGEAERQLSRETAALALGKQ >KJB66141 pep chromosome:Graimondii2_0_v6:10:27983295:27996358:1 gene:B456_010G128900 transcript:KJB66141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGLFSAAKSMKSVKLKFRIPYFTEWGQSLLVCGSEPMLGSWNVKKGLLLSPVHQDDQLIWTATIAVPCQFSCGYRYYVVDDAKNVLRWEMGNERRLSIPHLLPEGHTLELHDLWQTGADALLFRSAFKDVIFCKNSTFNIDRPEAILHDELVQQESILVRFKICCPNVQEGTSVYVIGSSTKLGNWKVQDGLKLHYTGEYIWEAYCVIPRGDFPIRYKYCKYGKNGCFSLEVGSTRELSVNSSKSQSQYIFLSDGMLREMPWRGCGVAVPMFSVRSEDDIGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHRMWWDSYPYSSLSVFALHPLYLRLQALSKNLPEDVKSEIRNAKERLDGKDVDYEATMATKFSIAKKVFMQEKDLILNSSSFHNFFSENEDWLKPYAAFCFLRDFFETSDHSQWGCFSNYSKDKLEKLVSKDALHYDTICFHYYIQFHLHLQLSEAAEYARAKGVVLKGDLPIGVDRNSVDTWVYPTLFRMNTSTGAPPDYFAKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHAMTGLIGKFRPSIPLSQEELEREGIWDFDRLTRPYVRKEFLQVGESHLLISHEEY >KJB66146 pep chromosome:Graimondii2_0_v6:10:27983572:28005932:1 gene:B456_010G128900 transcript:KJB66146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGLFSAAKSMKSVKLKFRIPYFTEWGQSLLVCGSEPMLGSWNVKKGLLLSPVHQDDQLIWTATIAVPCQFSCGYRYYVVDDAKNVLRWEMGNERRLSIPHLLPEGHTLELHDLWQTGADALLFRSAFKDVIFCKNSTFNIDRPEAILHDELVQQESILVRFKICCPNVQEGTSVYVIGSSTKLGNWKVQDGLKLHYTGEYIWEAYCVIPRGDFPIRYKYCKYGKNGCFSLEVGSTRELSVNSSKSQSQYIFLSDGMLREMPWRGCGVAVPMFSVRSEDDIGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHRMWWDSYPYSSLSVFALHPLYLRLQALSKNLPEDVKSEIRNAKERLDGKDVDYEATMATKFSIAKKVFMQEKDLILNSSSFHNFFSENEDWLKPYAAFCFLRDFFETSDHSQWGCFSNYSKDKLEKLVSKDALHYDTICFHYYIQFHLHLQLSEAAEYARAKGVVLKGDLPIGVDRNSVDTWVYPTLFRMNTSTGAPPDYFAKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHAMTGLIGKFRPSIPLSQEELEREGIWDFDRLTRPYVRKEFLQENFGDSWILIAANFFKEYLDRYEFKEECNTEKKIAAKVHSCAEKSLLPESEDKIRCDLFDLLKNIVLIRDPEHDKSFYPRFNLEDTSSFRDLDDHSKNVLKRLYYDYYFHRQDKLWQQNALKTLPALLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRHRFFKSVIGSDDLPPSQCVPDLAHLIIRQHIESPSMWAIFPLQDLLALKEEYMTRPATEETINDPTNPKHYWRYRVHVTMESLIKDKELKTTIKDLIQGSGRSYPHIGEAERQLSRETAALALGKQ >KJB66145 pep chromosome:Graimondii2_0_v6:10:27983295:28005803:1 gene:B456_010G128900 transcript:KJB66145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGLFSAAKSMKSVKLKFRIPYFTEWGQSLLVCGSEPMLGSWNVKKGLLLSPVHQDDQLIWTATIAVPCQFSCGYRYYVVDDAKNVLRWEMGNERRLSIPHLLPEGHTLELHDLWQTGADALLFRSAFKDVIFCKNSTFNIDRPEAILHDELVQQESILVRFKICCPNVQEGTSVYVIGSSTKLGNWKVQDGLKLHYTGEYIWEAYCVIPRGDFPIRYKYCKYGKNGCFSLEVGSTRELSVNSSKSQSQYIFLSDGMLREMPWRGCGVAVPMFSVRSEDDIGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHRMWWDSYPYSSLSVFALHPLYLRLQALSKNLPEDVKSEIRNAKERLDGKDVDYEATMATKFSIAKKVFMQEKDLILNSSSFHNFFSENEDWLKPYAAFCFLRDFFETSDHSQWGCFSNYSKDKLEKLVSKDALHYDTICFHYYIQFHLHLQLSEAAEYARAKGVVLKGDLPIGVDRNSVDTWVYPTLFRMNTSTGAPPDYFAKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHAMTGLIGKFRPSIPLSQEELEREGIWDFDRLTRPYVRKEFLQENFGDSWILIAANFFKEYLDRYEFKEECNTEKKIAAKVHSCAEKSLLPESEDKIRCDLFDLLKNIVLIRDPEHDKSFYPRFNLEDTSSFRDLDDHSKNVLKRLYYDYYFHRQDKLWQQNALKTLPALLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRHRFFKSVIGSDDLPPSQCVPDLAHLIIRQHIESPSMWAIFPLQDLLALKEEYMTRPATEETINDPTNPKHYWRYRVHVTMESLIKDKELKTTIKDLIQGSGRSYPHIGEAERQLSRETAALALGKQ >KJB66142 pep chromosome:Graimondii2_0_v6:10:27983295:27997538:1 gene:B456_010G128900 transcript:KJB66142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGLFSAAKSMKSVKLKFRIPYFTEWGQSLLVCGSEPMLGSWNVKKGLLLSPVHQDDQLIWTATIAVPCQFSCGYRYYVVDDAKNVLRWEMGNERRLSIPHLLPEGHTLELHDLWQTGADALLFRSAFKDVIFCKNSTFNIDRPEAILHDELVQQESILVRFKICCPNVQEGTSVYVIGSSTKLGNWKVQDGLKLHYTGEYIWEAYCVIPRGDFPIRYKYCKYGKNGCFSLEVGSTRELSVNSSKSQSQYIFLSDGMLREMPWRGCGVAVPMFSVRSEDDIGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHRMWWDSYPYSSLSVFALHPLYLRLQALSKNLPEDVKSEIRNAKERLDGKDVDYEATMATKFSIAKKVFMQEKDLILNSSSFHNFFSENEDWLKPYAAFCFLRDFFETSDHSQWGCFSNYSKDKLEKLVSKDALHYDTICFHYYIQFHLHLQLSEAAEYARAKGVVLKGDLPIGVDRNSVDTWVYPTLFRMNTSTGAPPDYFAKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHAMTGLIGKFRPSIPLSQEELEREGIWDFDRLTRPYVRKEFLQENFGDSWILIAANFFKEYLDRYEVMFILHFL >KJB67031 pep chromosome:Graimondii2_0_v6:10:49698704:49699967:-1 gene:B456_010G171100 transcript:KJB67031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKVVKEIVRSIEMEVLEKMISEDEDVLLPGFRFHPTDEELVGFYLRRKVEKKLFSIDIIKHVDIYKHDPWDLPKVSKLSSGTEKEWYFFCRRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSVGGFHDCIGLKKSLVYYKGSAGKGTKTDWMMHEFRLPPPTTTTLSSTNKDNLPEAEVWTLCRIFKRDVSSRKFASDWQNKKNKQNTNTSATSSRACSVESENSLKVENFGVLDDKGIERKLQNDHFLGGNQLFAATTQVLPSYLSFSNPNAADEYFGQEHWDELRPEVDYTIAKSLLYSDW >KJB66867 pep chromosome:Graimondii2_0_v6:10:46374500:46377094:1 gene:B456_010G161700 transcript:KJB66867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAIFSSLRRRRSPSLEAFLAPVDLTQVALLQTLAAVSSELISCFSDKPFFFQRRNSRSLIRKIEIFLVMLEYLRDSGSRSMPNLPSTAILCFKELYLLLYRSKILLDYCAQSSKLWLLLQNHSISGHFHDLNQEISTLLDVFPINDVGLSDDVREQVELLQKHARKAKLYVDKSDENLRLRFFSFLNEFDNGRIPNHVDLRLFFVERLGIRDAKSCRSEIEFLEEQIVNHEGDIEPTACVLNGFVAITRYCRFLLFGFEEDEVQLPSRKQKKPRKGLITREIADTFVTIPKDFCCPISLDLMTDPVIISTGQTYDRSSIVRWLEEGHCTCPKTGQMLIHTRLVPNRALRNLIMQWCTAHSVPYDPPEAADAPAESFAEALPIKAATEANRATTTLLVQHLANGSQGAQAIAAREIRLLAKTGKKNRAFIAEAGAIPHLCKLLSSSNPVAQENSVTAMLNLSIYDKNKSLIMDEDGCLRSIVEVLRLGLTTEARENAAATLFSLSAVHDYKKRIADQGGAIEALAGLLRVGTPRGKKDAVTALFNLSTHSDNYARMIEVGAVTALVGALGNEGVAEEAAGALALIVRQPIGAEAVGKEEMAVAGLITMMRCGTARGARMHPCILVDWVLDMHLQATQLLLTGIHDSRMTSQCLCLSLCKYCKGKHHSAVLSSSLIYKLSFLLVIYLQILSLKISDNEKKENYRNEIIQSSVVGE >KJB66866 pep chromosome:Graimondii2_0_v6:10:46374500:46377094:1 gene:B456_010G161700 transcript:KJB66866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAIFSSLRRRRSPSLEAFLAPVDLTQVALLQTLAAVSSELISCFSDKPFFFQRRNSRSLIRKIEIFLVMLEYLRDSGSRSMPNLPSTAILCFKELYLLLYRSKILLDYCAQSSKLWLLLQNHSISGHFHDLNQEISTLLDVFPINDVGLSDDVREQVELLQKHARKAKLYVDKSDENLRLRFFSFLNEFDNGRIPNHVDLRLFFVERLGIRDAKSCRSEIEFLEEQIVNHEGDIEPTACVLNGFVAITRYCRFLLFGFEEDEVQLPSRKQKKPRKGLITREIADTFVTIPKDFCCPISLDLMTDPVIISTGQTYDRSSIVRWLEEGHCTCPKTGQMLIHTRLVPNRALRNLIMQWCTAHSVPYDPPEAADAPAESFAEALPIKAATEANRATTTLLVQHLANGSQGAQAIAAREIRLLAKTGKKNRAFIAEAGAIPHLCKLLSSSNPVAQENSVTAMLNLSIYDKNKSLIMDEDGCLRSIVEVLRLGLTTEARENAAATLFSLSAVHDYKKRIADQGGAIEALAGLLRVGTPRGKKDAVTALFNLSTHSDNYARMIEVGAVTALVGALGNEGVAEEAAGALALIVRQPIGAEAVGKEEMAVAGLITMMRCGTARGKENANASLHFGGLGVGYAFAGNSTPPNRDPRFTDDVSMPMSISVQVL >KJB66865 pep chromosome:Graimondii2_0_v6:10:46374250:46377257:1 gene:B456_010G161700 transcript:KJB66865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAIFSSLRRRRSPSLEAFLAPVDLTQVALLQTLAAVSSELISCFSDKPFFFQRRNSRSLIRKIEIFLVMLEYLRDSGSRSMPNLPSTAILCFKELYLLLYRSKILLDYCAQSSKLWLLLQNHSISGHFHDLNQEISTLLDVFPINDVGLSDDVREQVELLQKHARKAKLYVDKSDENLRLRFFSFLNEFDNGRIPNHVDLRLFFVERLGIRDAKSCRSEIEFLEEQIVNHEGDIEPTACVLNGFVAITRYCRFLLFGFEEDEVQLPSRKQKKPRKGLITREIADTFVTIPKDFCCPISLDLMTDPVIISTGQTYDRSSIVRWLEEGHCTCPKTGQMLIHTRLVPNRALRNLIMQWCTAHSVPYDPPEAADAPAESFAEALPIKAATEANRATTTLLVQHLANGSQGAQAIAAREIRLLAKTGKKNRAFIAEAGAIPHLCKLLSSSNPVAQENSVTAMLNLSIYDKNKSLIMDEDGCLRSIVEVLRLGLTTEARENAAATLFSLSAVHDYKKRIADQGGAIEALAGLLRVGTPRGKKDAVTALFNLSTHSDNYARMIEVGAVTALVGALGNEGVAEEAAGALALIVRQPIGAEAVGKEEMAVAGLITMMRCGTARGKENAVAALLELCRSGGAAATERVLGAPALAGLLQTLLFTGTKRARRKAASLARVFQRCENASLHFGGLGVGYAFAGNSTPPNRDPRFTDDVSMPMSISVQVL >KJB67543 pep chromosome:Graimondii2_0_v6:10:55794567:55795346:1 gene:B456_010G197100 transcript:KJB67543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINNIGKDIVKYKVIDPSDDALSPKSGTLRYGTTMIKGKVWITFKNSMTITLQRPLLLSSFTAIDGHGVDVHMNGAGCLLVFQATDIIIHGLRIHHCKAQPPSTVMGPNAKVIPLGQMDGDAIRLVTARTVWIDHNTFLLDVTRGSTNITVSNNWFRNQDKVMLLGHDDGHLRDKNMKVTVIFNHFEPNCNQRMPRVRHGYAHVANNFYQGWEQKTLRQCPL >KJB66500 pep chromosome:Graimondii2_0_v6:10:39290890:39295103:1 gene:B456_010G148500 transcript:KJB66500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLTDGSRILGLGDLGVHGIGIPIGKLDMSVAAAGLNPQRTVFENSLMQHHVALPPNAMGKITYIASPGQYSLKYTVLELEFQGVKKQFTMLQVGCVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWDNFVSC >KJB66503 pep chromosome:Graimondii2_0_v6:10:39290890:39295103:1 gene:B456_010G148500 transcript:KJB66503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLTDGSRILGLGDLGVHGIGIPIGKLDMSVAAAGLNPQRTVFENSLMQHHVALPPNAMGKITYIASPGQYSLKYTVLELEFQGVKKQFTMLQVGCVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWDNFVSC >KJB66501 pep chromosome:Graimondii2_0_v6:10:39290890:39295103:1 gene:B456_010G148500 transcript:KJB66501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAAAGLNPQRTVFENSLMQHHVALPPNAMGKITYIASPGQYSLKYTVLELEFQGVKKQFTMLQVGCVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWDNFVSC >KJB66505 pep chromosome:Graimondii2_0_v6:10:39290890:39295103:1 gene:B456_010G148500 transcript:KJB66505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAAAGLNPQRTVFENSLMQHHVALPPNAMGKITYIASPGQYSLKYTVLELEFQGVKKQFTMLQVGCVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWDNFVSACVQLLRKLQGQEGDIAGEEASMTANKIFQLFTQIIESLSAIPSPELALRL >KJB66504 pep chromosome:Graimondii2_0_v6:10:39290890:39294666:1 gene:B456_010G148500 transcript:KJB66504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLTDGSRILGLGDLGVHGIGIPIGKLDMSVAAAGLNPQRTVFENSLMQHHVALPPNAMGKITYIASPGQYSLKYTVLELEFQGVKKQFTMLQVGCVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWDNFVSC >KJB66502 pep chromosome:Graimondii2_0_v6:10:39290890:39295103:1 gene:B456_010G148500 transcript:KJB66502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLTDGSRILGLGDLGVHGIGIPIGKLDMSVAAAGLNPQRTVFENSLMQHHVALPPNAMGKITYIASPGQYSLKYTVLELEFQGVKKQFTMLQVGCVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWDNFVSC >KJB63768 pep chromosome:Graimondii2_0_v6:10:1184944:1187915:-1 gene:B456_010G015500 transcript:KJB63768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTTALPDLSLQISPPSVKEMADDGLSMKSIYSDRSSTADSGSSGSDLSQENGCFNNPIEPTLSLGFEMVHHHHHQHHHHQYQPQIYGRNFKRSSRTNINGAKRSIRAPRMRWTTTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDKGSGQMQADMKLNQRSGIVDLDGRLISPQPTPQRTQSGSWLPSMEVKNLSISSNGNGLTLHPNDAKVDGDNKVLHLSNPDMLLNLEFTLGRPSFAHESSNDLTLLKC >KJB66845 pep chromosome:Graimondii2_0_v6:10:45550558:45551417:1 gene:B456_010G160300 transcript:KJB66845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLQKFQIPNAIGSTSYILYATDKVSWTLIVVGHGMPVFANEGIAATFNEIRDAAWSLMKDPSFHFYIAC >KJB64314 pep chromosome:Graimondii2_0_v6:10:4054502:4056819:-1 gene:B456_010G042600 transcript:KJB64314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQQNVVVVDVKPHGGVKPPLSLPVAKSFSPQDLKLLLMKKRFETENAWVLDSMRASSPTRISNPTTTSLPETDVKSSWIVHHPSALNMFEQIVTASKGKQIAMFLDYDGTLSPIVEDPDRAFMPREMRAAVRDVSRYFPTAILTGRCRDKVYSFVKLSGLYYAGSHGMDIKGPSKSCKDNNNGKQGVLFQPASEFLPMIDEVYKALAEKTKSISGAKVENNKFCVSVHYRCVDEKSWATIAEQVRSVLNHYPKLKLTQGRKVLEIRPTIKWDKGRALEFLLEALGYNNPNDVLPIYIGDDRTDEDAFKVLRDRGLGFGILVSKIPKETNASYSLQEPSEVKEFLRRLVEWKKLSLQTPIIG >KJB64315 pep chromosome:Graimondii2_0_v6:10:4054518:4056819:-1 gene:B456_010G042600 transcript:KJB64315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQQNVVVVDVKPHGGVKPPLSLPVAKSFSPQDLKLLLMKKRFETENAWVLDSMRASSPTRISNPTTTSLPETDVKSSWIVHHPSALNMFEQIVTASKGKQIAMFLDYDGTLSPIVEDPDRAFMPREMRAAVRDVSRYFPTAILTGRCRDKVYSFVKLSGLYYAGSHGMDIKGPSKSCKDNNNGKQGVLFQPASEFLPMIDEVYKALAEKTKSISGAKVENNKFCVSVHYRCVDEKSWATIAEQVRSVLNHYPKLKLTQGRKVLEIRPTIKWDKGRALEFLLEALGYNNPNDVLPIYIGDDRTDEDAFKVLRDRGLGFGILVSKIPKETNASYSLQEPSEVKEFLRRLVEWKKLSLQTPIIG >KJB67207 pep chromosome:Graimondii2_0_v6:10:52689860:52691374:-1 gene:B456_010G180500 transcript:KJB67207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSSSASATAPFPAIKPEDYKHSPVHYAVVLRDHTTLNRLVSTLPKLADPAQIHTECDSLSQERVADQISAVLDRRDVPFRETPLHLAVRLNDVVAARTLGIAGADVSLQNAAGWNPLQEALCRRSSDIALVLLKLHHRSAWCKWRRRLPRVIAVLRRMRDFYMEISFHFESSVIPFVGKIAPSDTYKIWKRDGNLRADTSLAGFDGLKIQRADQSFLFLGDGDHLHNIPCGSLLVLNREDRKIFDAFENAGAPMSESDIAGFCSQTSVYRPGMDVTRAELVGRTNWRRQEKTESVGEWKARVYEVHNVIFSFRSRKVAGSENDVAGSEQVLPLELDEDEDGFLVCENPNFAMPDRRRHSSFVREDREWISVGRKSVDVFPSSAAAMPPRRSTSFATAKTVVPPPQTKEKEYVRSLRPSVWLTEQFPLKTEELLPLLDILANKVKAVRRMRELLTTKFPPGTFPVKVRRRVIKSINICFFCCLRTVGSTWIPVSLEGCLVWVF >KJB67208 pep chromosome:Graimondii2_0_v6:10:52688736:52691933:-1 gene:B456_010G180500 transcript:KJB67208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSSSASATAPFPAIKPEDYKHSPVHYAVVLRDHTTLNRLVSTLPKLADPAQIHTECDSLSQERVADQISAVLDRRDVPFRETPLHLAVRLNDVVAARTLGIAGADVSLQNAAGWNPLQEALCRRSSDIALVLLKLHHRSAWCKWRRRLPRVIAVLRRMRDFYMEISFHFESSVIPFVGKIAPSDTYKIWKRDGNLRADTSLAGFDGLKIQRADQSFLFLGDGDHLHNIPCGSLLVLNREDRKIFDAFENAGAPMSESDIAGFCSQTSVYRPGMDVTRAELVGRTNWRRQEKTESVGEWKARVYEVHNVIFSFRSRKVAGSENDVAGSEQVLPLELDEDEDGFLVCENPNFAMPDRRRHSSFVREDREWISVGRKSVDVFPSSAAAMPPRRSTSFATAKTVVPPPQTKEKEYVRSLRPSVWLTEQFPLKTEELLPLLDILANKVKAVRRMRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVELQSTEQFFTPLSSPRYFSNGRGQTEDDQNSDKHNSSLPSTSWSSSTTAWLRRSNSQSVSANKQQQQQRSSSMAQQADPFSIPSGYTWTSVDDKSGKLKKSKSTRKSK >KJB67206 pep chromosome:Graimondii2_0_v6:10:52689860:52691374:-1 gene:B456_010G180500 transcript:KJB67206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSSSASATAPFPAIKPEDYKHSPVHYAVVLRDHTTLNRLVSTLPKLADPAQIHTECDSLSQERVADQISAVLDRRDVPFRETPLHLAVRLNDVVAARTLGIAGADVSLQNAAGWNPLQEALCRRSSDIALVLLKLHHRSAWCKWRRRLPRVIAVLRRMRDFYMEISFHFESSVIPFVGKIAPSDTYKIWKRDGNLRADTSLAGFDGLKIQRADQSFLFLGDGDHLHNIPCGSLLVLNREDRKIFDAFENAGAPMSESDIAGFCSQTSVYRPGMDVTRAELVGRTNWRRQEKTESVGEWKARVYEVHNVIFSFRSRKVAGSENDVAGSEQVLPLELDEDEDGFLVCENPNFAMPDRRRHSSFVREDREWISVGRKSVDVFPSSAAAMPPRRSTSFATAKTVVPPPQTKEKEYVRSLRPSVWLTEQFPLKTEELLPLLDILANKVKAVRRMRELLTTKFPPGTFPVKVRRRVIKSINICFFCCLRTVGSTWIPVSLEGCLVWVF >KJB67518 pep chromosome:Graimondii2_0_v6:10:55411458:55417281:1 gene:B456_010G194700 transcript:KJB67518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRHLYHSEHPFDEYPFEVFVQDSWQAVELIRIKNGFMTLHLIDGQYLIEKKPFSDLRVKSRKATLPDCTCFLRPGIDICILSRPQETESLDEETPEPVWVDAKISSIERKPHDSECSCQFYINLYDNRAPLGSEKGTLSKETEVIGINDICILQKLEKNACEDQHYRWNFSEDCSTLKRTKIFLGKFSSDISWLLVTSVLKQIAFDVRSVQNKIVYQILDQDDDSPLKYNNYFHAVNIKSDNGISVSNVVQFDPLGNNEADAVYSVDETNQWPVYDAMNLRRSKRRFVQPERFVGCDHPGGTDTSWHLTAACRTSNWREEGEVQEADMNMPLSHLLCINASPTEELTLSEKRDTMSKSKNVSKEVKSDVTTPRKPSVGNPSRSGVKYPRRPRVKNPRKDENTLAIVPVSSETGDPAFGNCHMQRTPTNLSEEIEQLSLHYYNMKRYTANKKKKIPALEYLDYGTGWKGRPQPTKAQSKSYRSSQTRMEDFDELKTYKKTTLSAGAYNKLINSYMKNIDSTSVKDESHIIDRWNQFKEATSAEMNKKTEPEEPPVEEEEEMSETEMLWREMEICMASSYFDDDEARVSAESLRKASENCQHEFKLDDEVGVLCRICGFVSTEIKHVSAPFLEHKTWVADGNSCYRDETENKADGEEGLNLFCDYTTIQARLSEESDNVWALIPELRKKLHFHQKRAFEFLWLNIAGSLIPALMEPLSKKTGGCVVSHSPGSGKTFLIIAFLASYLKLFPGKRPLVLAPKTTLYTWYKEFIKWEIPVPVHLIHGRRSYRVFKKNAVKFHGVPRPSQDVMHVLDCLDKIQKWHAQPSVLVMGYTSFLTLMREDSKFEHRKFMAKVLRESPGLLVLDEGHNPRSTKSRLRKVLMKVETDLRILLSGTLFQNNFCEYFNTLCLARPKFVFEVLRRLDPKYKRKKRFDKARNLLENRARKLFLDKIARKIDASVGEERMEGLNMLRKITNGFIDVYEGGNFDCLPGLQIYTLMMNSTDIQHEILVKLHKIMSTYTGYPLELELLITLASIHPSLVRTSNCSAKFFSTEELLELEKIKFDFRKGSKVMFVLNLVYRIIKNEKVLIFCHNIAPIYLLAEMFERIFRWRKGREILVLTGDLELFERGRVMDKFEEPGGASRVLLASITACAEGISLTAASRVILLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLATGTLEEDKYRRTTWKEWVSSMIFSEAFVEDPSQWQAEKIEDDVLREIVAEDKVKSFHMIMKNEKASTG >KJB67517 pep chromosome:Graimondii2_0_v6:10:55411136:55418053:1 gene:B456_010G194700 transcript:KJB67517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRHLYHSEHPFDEYPFEVFVQDSWQAVELIRIKNGFMTLHLIDGQYLIEKKPFSDLRVKSRKATLPDCTCFLRPGIDICILSRPQETESLDEETPEPVWVDAKISSIERKPHDSECSCQFYINLYDNRAPLGSEKGTLSKETEVIGINDICILQKLEKNACEDQHYRWNFSEDCSTLKRTKIFLGKFSSDISWLLVTSVLKQIAFDVRSVQNKIVYQILDQDDDSPLKYNNYFHAVNIKSDNGISVSNVVQFDPLGNNEADAVYSVDETNQWPVYDAMNLRRSKRRFVQPERFVGCDHPGGTDTSWHLTAACRTSNWREEGEVQEADMNMPLSHLLCINASPTEELTLSEKRDTMSKSKNVSKEVKSDVTTPRKPSVGNPSRSGVKYPRRPRVKNPRKDENTLAIVPVSSETGDPAFGNCHMQRTPTNLSEEIEQLSLHYYNMKRYTANKKKKIPALEYLDYGTGWKGRPQPTKAQSKSYRSSQTRMEDFDELKTYKKTTLSAGAYNKLINSYMKNIDSTSVKDESHIIDRWNQFKEATSAEMNKKTEPEEPPVEEEEEMSETEMLWREMEICMASSYFDDDEARVSAESLRKASENCQHEFKLDDEVGVLCRICGFVSTEIKHVSAPFLEHKTWVADGNSCYRDETENKADGEEGLNLFCDYTTIQARLSEESDNVWALIPELRKKLHFHQKRAFEFLWLNIAGSLIPALMEPLSKKTGGCVVSHSPGSGKTFLIIAFLASYLKLFPGKRPLVLAPKTTLYTWYKEFIKWEIPVPVHLIHGRRSYRVFKKNAVKFHGVPRPSQDVMHVLDCLDKIQKWHAQPSVLVMGYTSFLTLMREDSKFEHRKFMAKVLRESPGLLVLDEGHNPRSTKSRLRKVLMKVETDLRILLSGTLFQNNFCEYFNTLCLARPKFVFEVLRRLDPKYKRKKRFDKARNLLENRARKLFLDKIARKIDASVGEERMEGLNMLRKITNGFIDVYEGGNFDCLPGLQIYTLMMNSTDIQHEILVKLHKIMSTYTGYPLELELLITLASIHPSLVRTSNCSAKFFSTEELLELEKIKFDFRKGSKVMFVLNLVYRIIKNEKVLIFCHNIAPIYLLAEMFERIFRWRKGREILVLTGDLELFERGRVMDKFEEPGGASRVLLASITACAEGISLTAASRVILLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLATGTLEEDKYRRTTWKEWVSSMIFSEAFVEDPSQWQAEKIEDDVLREIVAEDKVKSFHMIMKNEKASTG >KJB65885 pep chromosome:Graimondii2_0_v6:10:22836453:22840816:-1 gene:B456_010G117100 transcript:KJB65885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHHNHLSSQDLPLHPHFADHHHHQRQPAQFPETGGPNWLNAALLRSEQTQPPPQPHGDHFSDHPSFLNLHIAETVSDSTAAAHQAPNRWLSRSSSSLLQRNHSDVIQDVAATAAAAAGGGGGDSMVAVESGELKNSNSETMNKSEGVVVQSGGDAAVNWQNARCKAEILAHPLYEQLLSAHVSCLRIATPVDQLPRIDAQLDQSQHVVAKYSALGGAAQGLVADDKELDQFMTQYVVLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVESDANMFDASFDGPDSMGFGPLIPTETERSLMERVRRELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHTNPSTSTALKTKRKR >KJB65883 pep chromosome:Graimondii2_0_v6:10:22836405:22840816:-1 gene:B456_010G117100 transcript:KJB65883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHHNHLSSQDLPLHPHFADHHHHQRQPAQFPETGGPNWLNAALLRSEQTQPPPQPHGDHFSDHPSFLNLHIAETVSDSTAAAHQAPNRWLSRSSSSLLQRNHSDVIQDVAATAAAAAGGGGGDSMVAVESGELKNSNSETMNKSEGVVVQSGGDAAVNWQNARCKAEILAHPLYEQLLSAHVSCLRIATPVDQLPRIDAQLDQSQHVVAKYSALGGAAQGLVADDKELDQFMTQYVVLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVESDANMFDASFDGPDSMGFGPLIPTETERSLMERVRRELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHTNPSTSTALKTKRKSIAGEN >KJB65884 pep chromosome:Graimondii2_0_v6:10:22836438:22840712:-1 gene:B456_010G117100 transcript:KJB65884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHHNHLSSQDLPLHPHFADHHHHQRQPAQFPETGGPNWLNAALLRSEQTQPPPQPHGDHFSDHPSFLNLHIAETVSDSTAAAHQAPNRWLSRSSSSLLQRNHSDVIQDVAATAAAAAGGGGGDSMVAVESGELKNSNSETMNKSEGVVVQSGGDAAVNWQNARCKAEILAHPLYEQLLSAHVSCLRIATPVDQLPRIDAQLDQSQHVVAKYSALGGAAQGLVADDKELDQFMTQYVVLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVESDANMFDASFDGPDSMGFGPLIPTETERSLMERVRRELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQAHSKWPYPTYCR >KJB66454 pep chromosome:Graimondii2_0_v6:10:33893139:33907130:-1 gene:B456_010G140700 transcript:KJB66454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLFFISLFLILVLSALLFKFAISDGDFTLLSRRHVKREEIEDKVVWITGASRGIGEILAKQLASLGAKLILSARNETELERVRKQLTGKYAPEQVKILPLDLTSGEVTLKEAVEKAESFFPGVGVDYMIHNAAYERPKITALDVTEESLKATFNVNVFGTICLTRLLTPFMLRRGRGHFVVMSSAAGKTPAPGQAVYSASKYALNGYFHSLRSELCQKGIKVTVVCPGPIETSNGSGATTSGTKVSSEKRVSAERCVELTVIAASHGLKEVWISYQVGGRRVEAAAQKGNTYSLGLLFGKKKAA >KJB66451 pep chromosome:Graimondii2_0_v6:10:33893095:33907273:-1 gene:B456_010G140700 transcript:KJB66451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLFFISLFLILVLSALLFKFAISDGDFTLLSRRHVKREEIEDKVVWITGASRGIGEILAKQLASLGAKLILSARNETELERVRKQLTGKYAPEQVKILPLDLTSGEVTLKEAVEKAESFFPGVGVDYMIHNAAYERPKITALDVTEESLKATFNVNVFGTICLTRLLTPFMLRRGRGHFVVMSSAAGKTPAPGQAVYSASKYALNGYFHSLRSELCQKGIKVTVVCPGPIETSNGSGATTSGTKVSSEKRVSAERCVELTVIAASHGLKEVWISYQPVLAVMYLVQYMPTIGYWLMDKVGGRRVEAAAQKGNTYSLGLLFGKKKAA >KJB66455 pep chromosome:Graimondii2_0_v6:10:33893338:33907109:-1 gene:B456_010G140700 transcript:KJB66455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLFFISLFLILVLSALLFKFAISDGDFTLLSRRHVKREEIEDKVVWITGASRGIGEILAKQLASLGAKLILSARNETELERVRKQLTGKYAPEQVKILPLDLTSGEVTLKEAVEKAESFFPGVGVDYMIHNAAYERPKITALDVTEESLKATFNVNVFGTICLTRLLTPFMLRRGRGHFVVMLHAMSSAAGKTPAPGQAVYSASKYALNGYFHSLRSELCQKGIKVTVVCPGPIETSNGSGATTSGTKVSSEKRVSAERCVELTVIAASHGLKEVWISYQPVLAVMYLVQYMPTIGYWLMDKVGGRRVEAAAQKGNTYSLGLLFGKKKAA >KJB66453 pep chromosome:Graimondii2_0_v6:10:33893109:33907224:-1 gene:B456_010G140700 transcript:KJB66453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLFFISLFLILVLSALLFKFAISDGDFTLLSRRHVKREEIEDKVVWITGASRGIGEILAKQLASLGAKLILSARNETELERVRKQLTGKYAPEQVKILPLDLTSGEVTLKEAVEKAESFFPGVGVDYMIHNAAYERPKITALDVTEESLKATFNVNVFGTICLTRLLTPFMLRRGRGHFVVMSSAAGKTPAPGQAVYSASKYALNGYFHSLRSEEWKEWEGGNLKEIAIESLLCQKGIKVTVVCPGPIETSNGSGATTSGTKVSSEKRVSAERCVELTVIAASHGLKEVWISYQPVLAVMYLVQYMPTIGYWLMDKVGGRRVEAAAQKGNTYSLGLLFGKKKAA >KJB66456 pep chromosome:Graimondii2_0_v6:10:33893139:33907130:-1 gene:B456_010G140700 transcript:KJB66456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLFFISLFLILVLSALLFKFAISDGDFTLLSRRHVKREEIEDKVVWITGASRGIEILAKQLASLGAKLILSARNETELERVRKQLTGKYAPEQVKILPLDLTSGEVTLKEAVEKAESFFPGVGVDYMIHNAAYERPKITALDVTEESLKATFNVNVFGTICLTRLLTPFMLRRGRGHFVVMSSAAGKTPAPGQAVYSASKYALNGYFHSLRSELCQKGIKVTVVCPGPIETSNGSGATTSGTKVSSEKRVSAERCVELTVIAASHGLKEVWISYQPVLAVMYLVQYMPTIGYWLMDKVGGRRVEAAAQKGNTYSLGLLFGKKKAA >KJB66459 pep chromosome:Graimondii2_0_v6:10:33903354:33907130:-1 gene:B456_010G140700 transcript:KJB66459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLFFISLFLILVLSALLFKFAISDGDFTLLSRRHVKREEIEDKVVWITGASRGIGEILAKQLASLGAKLILSARNETELERVRKQLTGKYAPEQVKILPLDLTSGEVTLKEAVEKAESFFPGVGVDYMIHNAAYERPKITALDVTEESLKATFNVNVFGTICLTRLLTPFMLRRGRGHFVVMSSAAGKTPAPGQAVYSASKYALNGYFHSLRSEVCRESFLMRKGIISLPCFRF >KJB66449 pep chromosome:Graimondii2_0_v6:10:33899447:33907109:-1 gene:B456_010G140700 transcript:KJB66449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLFFISLFLILVLSALLFKFAISDGDFTLLSRRHVKREEIEDKVVWITGASRGIGEILAKQLASLGAKLILSARNETELERVRKQLTGKYAPEQVKILPLDLTSGEVTLKEAVEKAESFFPGVGVDYMIHNAAYERPKITALDVTEESLKATFNVNVFGTICLTRLLTPFMLRRGRGHFVVMSSAAGKTPAPGQAVYSASKYALNGYFHSLRSELCQKGIKVTVVCPGPIETSNGSGATTSGTKVSSEKRVSAERCVELTVIAASHGLKEVWISYQGGEPPARQPNC >KJB66448 pep chromosome:Graimondii2_0_v6:10:33893095:33907273:-1 gene:B456_010G140700 transcript:KJB66448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLFFISLFLILVLSALLFKFAISDGDFTLLSRRHVKREEIEDKVVWITGASRGIGEILAKQLASLGAKLILSARNETELERVRKQLTGKYAPEQVKILPLDLTSGEVTLKEAVEKAESFFPGVGVDYMIHNAAYERPKITALDVTEESLKATFNVNVFGTICLTRLLTPFMLRRGRGHFVVMSSAAGKTPAPGQAVYSASKYALNGYFHSLRSEKRVSAERCVELTVIAASHGLKEVWISYQPVLAVMYLVQYMPTIGYWLMDKVGGRRVEAAAQKGNTYSLGLLFGKKKAA >KJB66452 pep chromosome:Graimondii2_0_v6:10:33899447:33907109:-1 gene:B456_010G140700 transcript:KJB66452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLFFISLFLILVLSALLFKFAISDGDFTLLSRRHVKREEIEDKVVWITGASRGIGEILAKQLASLGAKLILSARNETELERVRKQLTGKYAPEQVKILPLDLTSGEVTLKEAVEKAESFFPGVGVDYMIHNAAYERPKITALDVTEESLKATFNVNVFGTICLTRLLTPFMLRRGRGHFVVMSSAAGKTPAPGQAVYSASKYALNGYFHSLRSEKRVSAERCVELTVIAASHGLKEVWISYQGGEPPARQPNC >KJB66457 pep chromosome:Graimondii2_0_v6:10:33893139:33907130:-1 gene:B456_010G140700 transcript:KJB66457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLFFISLFLILVLSALLFKFAISDGDFTLLSRRHVKREEIEDKVVWITGASRGIGEILAKQLASLGAKLILSARNETELERVRKQLTGKYAPEQVKILPLDLTSGEVTLKEAVEKAESFFPGVGVDYMIHNAAYERPKITALDVTEESLKATFNVNVFGTICLTRLLTPFMLRRGRGHFVVMSSAAGKTPAPGQAVYSASKYALNGYFHSLRSELCQKGIKVTVVCPGPIETSNGSGATTSGTKVSSERVSAERCVELTVIAASHGLKEVWISYQPVLAVMYLVQYMPTIGYWLMDKVGGRRVEAAAQKGNTYSLGLLFGKKKAA >KJB66458 pep chromosome:Graimondii2_0_v6:10:33902247:33907273:-1 gene:B456_010G140700 transcript:KJB66458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLFFISLFLILVLSALLFKFAISDGDFTLLSRRHVKREEIEDKVVWITGASRGIGEILAKQLASLGAKLILSARNETELERVRKQLTGKYAPEQVKILPLDLTSGEVTLKEAVEKAESFFPGVGVDYMIHNAAYERPKITALDVTEESLKATFNVNVFGTICLTRLLTPFMLRRGRGHFVVMSSAAGKTPAPGQAVYSASKYALNGYFHSLRSEEWKEWEGGNLKEIAIESLVMHRSPTSQRVQL >KJB66450 pep chromosome:Graimondii2_0_v6:10:33899447:33907109:-1 gene:B456_010G140700 transcript:KJB66450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLFFISLFLILVLSALLFKFAISDGDFTLLSRRHVKREEIEDKVVWITGASRGIGEILAKQLASLGAKLILSARNETELERVRKQLTGKYAPEQVKILPLDLTSGEVTLKEAVEKAESFFPGVGVDYMIHNAAYERPKITALDVTEESLKATFNVNVFGTICLTRLLTPFMLRRGRGHFVVMSSAAGKTPAPGQAVYSASKYALNGYFHSLRSEEWKEWEGGNLKEIAIESLLCQKGIKVTVVCPGPIETSNGSGATTSGTKVSSEKRVSAERCVELTVIAASHGLKEVWISYQGGEPPARQPNC >KJB63336 pep chromosome:Graimondii2_0_v6:10:3351545:3353156:-1 gene:B456_010G036200 transcript:KJB63336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEFLRGEPSNEKSDVYSFGVILWELITVQQPWNGISPAQVVGAVAFQNRKLAIPPNTSPKLVSLMESCWADDPAQRPSFGNIVITLKKLLKSPL >KJB66089 pep chromosome:Graimondii2_0_v6:10:26945346:26946767:-1 gene:B456_010G126800 transcript:KJB66089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSNYSQQLLDHQETLPTTKAASASQNHKKLSIIPFIFLIYFEVYGGAYGAEAAVGAAGPLCSILGFLIFPFLWSIPEALITAELATTFPGNGGYVIWAHQAFGPFWGLLIGSWKFVSGVINLASCSVLCIEYIKLVLPLFSCGASRYFAVVSLALVLSFLNYTGLVVVGYTAILLGIFSLLPFILLALISIPNIDPSRWISLNEDRVKTDWSLFFNTLFWNLNFWDNASSLAGKWLMIFLESGAVLSSIGFYEAQLTSCAYQLLGMADLGVLPQCFSVRSKWFNTPWLGILVSTLITVAVSSMNFADLISSINFLYSLAMLLEFASFLWLRRKLPMMERPFRVPLEFPGLTMMCLIPSGFLVYIMSLANGTVLLVSSVVSALTTLWYFMTNHFCKSNMWTHFKNVGPKLMDQDLE >KJB63510 pep chromosome:Graimondii2_0_v6:10:154614:156612:-1 gene:B456_010G003400 transcript:KJB63510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAIMHSTMLLLLCALLMAIFKTTDAQVGVCYGMMGNNLPPAAEVIDIYKNVSNPRLEYLAASQANADRWVQDNIRRYSTVNFRYVATIGNEVKPQDPFARFLFPAMQNVHKAIVKAGLGNQIKVSTATFFGAMEVSYPPSQGKLRGDYQQLLDVDYALFTAPSVVVTDGPYLYQNLFDAMLDAFYAALERAGGGSLDIVVSESGWPSAGGTDTSVNNAKTYKTNLVRHKNKEPAYEKHWGLFFPSKQEKYPISFN >KJB68165 pep chromosome:Graimondii2_0_v6:10:60597511:60601168:-1 gene:B456_010G235500 transcript:KJB68165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMIIHCSCFLTPPSLFTKPQFHGKELSSFICKVENFGSSFKDVNEPVEIHRLFRETGERYLPHLQESVGDRVSDRISLHYNEFAQHLAALEGGKQLRVPVTAFLATNIFIYTAPFKAVAEACEADNSIFNMPLLLFVALIGATVGGLLARQRKGELRRLNEQLRQINAALKRQAKIESYAPTLSYAPVVGRISEEHIIVDPRKEELISCLKSGKNFLRNQEHEKAFLKFQTALELAKSLKDPIEEKKAARGLGASLQRQGKYRDAIKYHSMVLAISDREREESGNTEAYGAIADCYTELGDLEKAGKFYDKYIARLEKD >KJB68166 pep chromosome:Graimondii2_0_v6:10:60598253:60600990:-1 gene:B456_010G235500 transcript:KJB68166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGKQLRVPVTAFLATNIFIYTAPFKAVAEACEADNSIFNMPLLLFVALIGATVGGLLARQRKGELRRLNEQLRQINAALKRQAKIESYAPTLSYAPVVGRISEEHIIVDPRKEELISCLKSGKNFLRNQEHEKAFLKFQTALELAKSLKDPIEEKKAARGLGASLQRQGKYRDAIKYHSMVLAISDREREESGNTEAYGAIADCYTELGDLEKAGKFYDKYIARLEKD >KJB68167 pep chromosome:Graimondii2_0_v6:10:60598253:60600352:-1 gene:B456_010G235500 transcript:KJB68167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGKQLRVPVTAFLATNIFIYTAPFKAVAEACEADNSIFNMPLLLFVALIGATVGGLLARQRKGELRRLNEQLRQINAALKRQAKIESYAPTLSYAPVVGRISEEHIIVDPRKEELISCLKSGKNFLRNQEHEKAFLKFQTALELAKSLKDPIEEKKAARGLGASLQRQGKYRDAIKYHSMVLAISDREREESGNTEAYGAIADCYTELGDLEKAGKFYDKYIARLEKD >KJB63402 pep chromosome:Graimondii2_0_v6:10:11369256:11371269:-1 gene:B456_010G078400 transcript:KJB63402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQAYVDDHLLCDIEGNHLSAAAIIGQDGSVWAQSSNFPQFKQEEINGIMNDFAEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKGPGGVTVKKTNQALIIGIYDEPMTPGQCNMVVERLGDYLIDQGL >KJB63405 pep chromosome:Graimondii2_0_v6:10:11369287:11371229:-1 gene:B456_010G078400 transcript:KJB63405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQAYVDDHLLCDIEGNHLSAAAIIGQDGSVWAQSSNFPQFKQEEINGIMNDFAEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKSDFNGTWRCYC >KJB63403 pep chromosome:Graimondii2_0_v6:10:11369287:11371229:-1 gene:B456_010G078400 transcript:KJB63403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQAYVDDHLLCDIEGNHLSAAAIIGQDGSVWAQSSNFPQFKQEEINGIMNDFAEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKVTCRF >KJB63404 pep chromosome:Graimondii2_0_v6:10:11370190:11371065:-1 gene:B456_010G078400 transcript:KJB63404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQAYVDDHLLCDIEGNHLSAAAIIGQDGSVWAQSSNFPQFKQEEINGIMNDFAEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKVTCRF >KJB67697 pep chromosome:Graimondii2_0_v6:10:56968201:56973761:-1 gene:B456_010G203900 transcript:KJB67697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPKSDPLESNPIRIRFIKEVFSPLELGIKKAAKDLESCWGVSNDKRKKFELIAPLSGSDSDWNSKVQIFSVKNRIGVNNRSNHVRSEERMKGLSIKVPMDVFIGMFSPENELNDKKVEAVRKRLKGKYVDTVEGTCMNRLLFAANWSVLVNRFVEAIPSSFKSGKKWIRKMDKNKGCLSSCTRDMESKALFEFKPGESRALVISRNEDLEHNHGRENVLFECFIGFAFDQLTQNLQKLDYLKAVASIWDGRKVDVNGFLGNLKFARVGGVPSGLVGVGTGGREETGGNSSQKLASLIRNIPLSDVEHLRLTLSIISPTELTELPLPSGQSFLDHPDKKKLFSVQEFFRYTKSEGRRFFEELDRDGDGQVTLEDLEVAMKKRKLPVKYSREFIRQTRRNAFSKSFGWKQFLSLMERKEPTILEAYTSLCLSESGTLQKSEILASLKNVGLPATEDNAVAMMRFLNAGTEESVSYGHFRNFMLLLPPDRLRQDDPRNSWFKAASVVAVTPPAEVPATSVLKSAIAGGLSCGLSSALMYPIDTVKTQLQASTTLTFLELMSNIPQIGLRGLYKGSIPAILGQFSSNGLRTGISEVSKLVLINVAPNLPDIQVKSMASLLGTVLGTMARLPSEVLKQRLQANLYDNVGEALIGTWKQDGPQAFFRGAGATLCRELPFYVAGMGLYTESKKFTQRLIRRELEPWEAVVVGAISGGLVSVISTPFDVIKTRMMTASGGQNVSMSAVTISILRHEGPLALFKGLIPRFFWIAPFGAMNFAGYELLRKAMDGNKDIATDQVSGNKDISMDQVSENEIS >KJB67698 pep chromosome:Graimondii2_0_v6:10:56968163:56973902:-1 gene:B456_010G203900 transcript:KJB67698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QYNALNQFLQQIIHQEKMMPKSDPLESNPIRIRFIKEVFSPLELGIKKAAKDLESCWGVSNDKRKKFELIAPLSGSDSDWNSKVQIFSVKNRIGVNNRSNHVRSEERMKGLSIKVPMDVFIGMFSPENELNDKKVEAVRKRLKGKYVDTVEGTCMNRLLFAANWSVLVNRFVEAIPSSFKSGKKWIRKMDKNKGCLSSCTRDMESKALFEFKPGESRALVISRNEDLEHNHGRENVLFECFIGFAFDQLTQNLQKLDYLKAVASIWDGRKVDVNGFLGNLKFARVGGVPSGLVGVGTGGREETGGNSSQKLASLIRNIPLSDVEHLRLTLSIISPTELTELPLPSGQSFLDHPDKKKLFSVQEFFRYTKSEGRRFFEELDRDGDGQVTLEDLEVAMKKRKLPVKYSREFIRQTRRNAFSKSFGWKQFLSLMERKEPTILEAYTSLCLSESGTLQKSEILASLKNVGLPATEDNAVAMMRFLNAGTEESVSYGHFRNFMLLLPPDRLRQDDPRNSWFKAASVVAVTPPAEVPATSVLKSAIAGGLSCGLSSALMYPIDTVKTQLQASTTLTFLELMSNIPQIGLRGLYKGSIPAILGQFSSNGLRTGISEVSKLVLINVAPNLPDIQVKSMASLLGTVLGTMARLPSEVLKQRLQANLYDNVGEALIGTWKQDGPQAFFRGAGATLCRELPFYVAGMGLYTESKKFTQRLIRRELEPWEAVVVGAISGGLVSVISTPFDVIKTRMMTASGGQNVSMSAVTISILRHEGPLALFKGLIPRFFWIAPFGAMNFAGYELLRKAMDGNKDIATDQVSGNKDISMDQVSENEIS >KJB65726 pep chromosome:Graimondii2_0_v6:10:21035528:21035658:-1 gene:B456_010G1111001 transcript:KJB65726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQKLTEYERKRLENIKRNAEMVAALNIHSKAATLSAANKRQ >KJB65367 pep chromosome:Graimondii2_0_v6:10:14599784:14605861:1 gene:B456_010G092100 transcript:KJB65367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSVLQSQLKSIKMRQRKNGSSIKHPNLSDAEAKPQKKSPYSSKDVFLTCLGFRMLNALLIQTYFNPDEHWQALEVAHRIAFGYGHLTWEWEEGIRSYLHPMMFALFYKLLALLRLDTPCVMIKAPRLLQSIFSAVGDLYLYKLSFALFGDGVAKWALFSQLANWFMFFCFNRTFSNSLETTLTLVGLYYWPSVRSSLNKAPSGSRKWGLALAALACAIRPTSAVTWVYVGLLELYSTHDRLRFIFVELIPIGSVVLGITCLLDRLMYDSWVLVPLNFLKFNFLSSGGDYYGTHKWHWYFTQGFTVMVFTFLPFCVAGIIKSKYWKLSGLILWVLGLYSILGHKEFRFVLPVLPISLIFAGYSLAALEERGSRNGEKKRSSCICNKWPSRKQLAIFFLLASNIPMALYMNLIHQRGAEDAMNYLSKEAAKGKVKSIVFLMPCHATPYYSTLHNNLPMRFLDCAPSKGMPAESDRFMMDPVSFAVDFAKNWSRPSHIVLFDSEERHLKDFLVSHSFREVRRFFHAHFKVDRDLQASVVVYAMTDS >KJB65364 pep chromosome:Graimondii2_0_v6:10:14599784:14605861:1 gene:B456_010G092100 transcript:KJB65364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRKNGSSIKHPNLSDAEAKPQKKSPYSSKDVFLTCLGFRMLNALLIQTYFNPDEHWQALEVAHRIAFGRYGHLTWEWEEGIRSYLHPMMFALFYKLLALLRLDTPCVMIKAPRLLQSIFSAVGDLYLYKLSFALFGDGVAKWALFSQLANWFMFFCFNRTFSNSLETTLTLVGLYYWPSVRSSLNKAPSGSRKWGLALAALACAIRPTSAVTWVYVGLLELYSTHDRLRFIFVELIPIGSVVLGITCLLDRLMYDSWVLVPLNFLKFNFLSSGGDYYGTHKWHWYFTQGFTVMVFTFLPFCVAGIIKSKYWKLSGLILWVLGLYSILGHKEFRFVLPVLPISLIFAGYSLAALEERGSRNGEKKRSSCICNKWPSRKQLAIFFLLASNIPMALYMNLIHQRGAEDAMNYLSKEAAKGKVKSIVFLMPCHATPYYSTLHNNLPMRFLDCAPSKGMPAESDRFMMDPVSFAVDFAKNWSRPSHIVLFDSEERHLKDFLVSHSFREVRRFFHAHFKVDRDLQASVVVYAMTDS >KJB65366 pep chromosome:Graimondii2_0_v6:10:14599784:14605861:1 gene:B456_010G092100 transcript:KJB65366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSVLQSQLKSIKMRQRKNGSSIKHPNLSDAEAKPQKKSPYSSKDVFLTCLGFRMLNALLIQTYFNPDEHWQALEVAHRIAFGYGHLTWEWEEGIRSYLHPMMFALFYKLLALLRLDTPCVMIKAPRLLQSIFSAVGDLYLYKLSFALFGDGVAKWALFSQLANWFMFFCFNRTFSNSLETTLTLVGLYYWPSVRSSLNKAPSGSRKWGLALAALACAIRPTSAVTWVYVGLLELYSTHDRLRFIFVELIPIGSVVLGITCLLDRLMYDSWVLVPLNFLKFNFLSSGGDYYGTHKWHWYFTQGFTVMVFTFLPFCVAGIIKSKYWKLSGLILWVLGLYSILGHKEFRNSASSVNCRFVLPVLPISLIFAGYSLAALEERGSRNGEKKRSSCICNKWPSRKQLAIFFLLASNIPMALYMNLIHQRGAEDAMNYLSKEAAKGKVKSIVFLMPCHATPYYSTLHNNLPMRFLDCAPSKGMPAESDRFMMDPVSFAVDFAKNWSRPSHIVLFDSEERHLKDFLVSHSFREVRRFFHAHFKVDRDLQASVVVYAMTDS >KJB65362 pep chromosome:Graimondii2_0_v6:10:14599561:14605861:1 gene:B456_010G092100 transcript:KJB65362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRKNGSSIKHPNLSDAEAKPQKKSPYSSKDVFLTCLGFRMLNALLIQTYFNPDEHWQALEVAHRIAFGYGHLTWEWEEGIRSYLHPMMFALFYKLLALLRLDTPCVMIKAPRLLQSIFSAVGDLYLYKLSFALFGDGVAKWALFSQLANWFMFFCFNRTFSNSLETTLTLVGLYYWPSVRSSLNKAPSGSRKWGLALAALACAIRPTSAVTWVYVGLLELYSTHDRLRFIFVELIPIGSVVLGITCLLDRLMYDSWVLVPLNFLKFNFLSSGGDYYGTHKWHWYFTQGFTVMVFTFLPFCVAGIIKSKYWKLSGLILWVLGLYSILGHKEFRFVLPVLPISLIFAGYSLAALEERGSRNGEKKRSSCICNKWPSRKQLAIFFLLASNIPMALYMNLIHQRGAEDAMNYLSKEAAKGKVKSIVFLMPCHATPYYSTLHNNLPMRFLDCAPSKGMPAESDRFMMDPVSFAVDFAKNWSRPSHIVLFDSEERHLKDFLVSHSFREVRRFFHAHFKVDRDLQASVVVYAMTDS >KJB65363 pep chromosome:Graimondii2_0_v6:10:14599784:14605861:1 gene:B456_010G092100 transcript:KJB65363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRKNGSSIKHPNLSDAEAKPQKKSPYSSKDVFLTCLGFRMLNALLIQTYFNPDEHWQALEVAHRIAFGYGHLTWEWEEGIRSYLHPMMFALFYKLLALLRLDTPCVMIKAPRLLQSIFSAVGDLYLYKLSFALFGDGVAKWALFSQLANWFMFFCFNRTFSNSLETTLTLVGLYYWPSVRSSLNKAPSGSRKWGLALAALACAIRPTSAVTWVYVGLLELYSTHDRLRFIFVELIPIGSVVLGITCLLDRLMYDSWVLVPLNFLKFNFLSSGGDYYGTHKWHWYFTQGFTVMVFTFLPFCVAGIIKSKYWKLSGLILWVLGLYSILGHKEFRFVLPVLPISLIFAGYSLAALEERGSRNGEKKRSSCICNKWPSRKQLAIFFLLASNIPMALYMNLIHQRGAEDAMNYLSKEAAKGKVKSIVFLMPCHATPYYSTLHNNLPMRFLDCAPSKGMPAESDRFMMDPVSFAVDFAKNWSRPSHIVLFDSEERHLKDFLVSHSFREVRRFFHAHFKVDRDLQASVVVYAMTDS >KJB65365 pep chromosome:Graimondii2_0_v6:10:14599784:14605861:1 gene:B456_010G092100 transcript:KJB65365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSVLQSQLKSIKMRQRKNGSSIKHPNLSDAEAKPQKKSPYSSKDVFLTCLGFRMLNALLIQTYFNPDEHWQALEVAHRIAFGYGHLTWEWEEGIRSYLHPMMFALFYKLLALLRLDTPCVMIKAPRLLQSIFSAVGDLYLYKLSFALFGDGVAKWALFSQLANWFMFFCFNRTFSNSLETTLTLVGLYYWPSVRSSLNKAPSGSRKWGLALAALACAIRPTSAVTWVYVGLLELYSTHDRLRFIFVELIPIGSVVLGITCLLDRLMYDSWVLVPLNFLKFNFLSSGGDYYGTHKWHWYFTQGFTVMVFTFLPFCVAGIIKSKYWKLSGLILWVLGLYSILGHKEFRFVLPVLPISLIFAGYSLAALEERGSRNGEKKRSSCICNKWPSRKQLAIFFLLASNIPMALYMNLIHQRGAEDAMNYLSKEAAKGKVKSIVFLMPCHATPYYSTLHNNLPMRFLDCAPSKGMPAESDRFMMDPVSFAVDFAKNWSRPSHIVLFDSEERHLKDFLVSHSFREVRRFFHAHFKVDRDLQASVVVYAMTDS >KJB65368 pep chromosome:Graimondii2_0_v6:10:14599784:14605861:1 gene:B456_010G092100 transcript:KJB65368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRKNGSSIKHPNLSDAEAKPQKKSPYSSKDVFLTCLGFRMLNALLIQTYFNPDEHWQALEVAHRIAFGYGHLTWEWEEGIRSYLHPMMFALFYKLLALLRLDTPCVMIKAPRLLQSIFSAVGDLYLYKLSFALFGDGVAKWALFSQLANWFMFFCFNRTFSNSLETTLTLVGLYYWPSVRSSLNKAPSGSRKWGLALAALACAIRPTSAVTWVYVGLLELYSTHDRLRFIFVELIPIGSVVLGITCLLDRLMYDSWVLVPLNFLKFNFLSSGGDYYGTHKWHWYFTQGFTVMVFTFLPFCVAGIIKSKYWKLSGLILWVLGLYSILGHKEFRFVLPVLPISLIFAGYSLAALEERGSRNGEKKRSSCICNKWPSRKQLAIFFLLASNIPMALYMNLIHQRGAEDAMNYLSKEAAKGKVKSIVFLMPCHATPYYSTLHNNLPMRFLDCAPSKGMPAESDRFMMDPVSFAVDFAKNWSRPSHIVLFDSEERHLKDFLVSHSFREVRRFFHAHFKVDRDLQASVVVYAMTDS >KJB65369 pep chromosome:Graimondii2_0_v6:10:14603079:14605880:1 gene:B456_010G092100 transcript:KJB65369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDSWVLVPLNFLKFNFLSSGGDYYGTHKWHWYFTQGFTVMVFTFLPFCVAGIIKSKYWKLSGLILWVLGLYSILGHKEFRFVLPVLPISLIFAGYSLAALEERGSRNGEKKRSSCICNKWPSRKQLAIFFLLASNIPMALYMNLIHQRGAEDAMNYLSKEAAKGKVKSIVFLMPCHATPYYSTLHNNLPMRFLDCAPSKGMPAESDRFMMDPVSFAVDFAKNWSRPSHIVLFDSEERHLKDFLVSHSFREVRRFFHAHFKVDRDLQASVVVYAMTDS >KJB67081 pep chromosome:Graimondii2_0_v6:10:50622667:50625482:-1 gene:B456_010G173800 transcript:KJB67081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTVPAAARQLSPKEADIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGCHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIAPGQKWDVMFSYFSPCAISVFSRFKYHLPKINAQYSC >KJB67082 pep chromosome:Graimondii2_0_v6:10:50622954:50625345:-1 gene:B456_010G173800 transcript:KJB67082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTVPAAARQLSPKEADIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGCHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIAPGQKWDVMVDLFFYREPEEAKQQEEEEAVAAPDYGLPAADFGMSALGTDQWPSQMGDQWSADVVQPPISGVPAINWGDQVAVSADVWDPASAPPQIPGPGIDVSAPAPTGWE >KJB67080 pep chromosome:Graimondii2_0_v6:10:50622667:50625442:-1 gene:B456_010G173800 transcript:KJB67080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTVPAAARQLSPKEADIQMMLAAEVHLGTKNCDFQMERYVFKRRNDALVICIGFCFSNGIIFLSSIGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGCHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIAPGQKWDVMVDLFFYREPEEAKQQEEEEAVAAPDYGLPAADFGMSALGTDQWPSQMGDQWSADVVQPPISGVPAINWGDQVAVSADVWDPASAPPQIPGPGIDVSAPAPTGWE >KJB67330 pep chromosome:Graimondii2_0_v6:10:53744400:53749124:-1 gene:B456_010G186300 transcript:KJB67330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIF subunit alpha [Source:Projected from Arabidopsis thaliana (AT4G12610) UniProtKB/Swiss-Prot;Acc:Q9SU25] MSFDLMLKPSCSGCGSSQDLYGSNCKHMTLCVSCGKTMAENQGKCFECGAIVTRLIREYNVRASPSTDKNYFIGRFMTGLPNFSKKKNAENRWSLQKDGLQGRQVTDALREKYKNKPWLLEDETGQAQYQGQLEGSQSATYYLLMMQGKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYERWMMKAANNGAAAFGEVEKIDEKETAAAGGRGRKKSTGEEEEGHVSDRGEEDEEEEAARKNRLGLNKKSGDDDEEGPRGGDLDMDDDDIEKEIFTDDDEAVGNDPEERDLAPEVPAPPEIKEDEDEEDEEQEGGLSKSGKELKKLLGKASGLNDSDADEDDDDEDVDDDMNFNPTPAPKQKDAPKEEPADNSPMKPAPSASARGTPAASKSSKGKRKGDDTKASNGTPLKKVKSEPESKTSVKEENTSASKGSAPSKGTSSSAKAGSTSAASASSSKAGSASTAGPVTEEEIRAVLLQKAPVTTSDLVAKFKARLKSPEDKKAFADILRRISKIQKTNGPNNYVVLREK >KJB67331 pep chromosome:Graimondii2_0_v6:10:53744517:53749028:-1 gene:B456_010G186300 transcript:KJB67331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIF subunit alpha [Source:Projected from Arabidopsis thaliana (AT4G12610) UniProtKB/Swiss-Prot;Acc:Q9SU25] MSFDLMLKPSCSGCGSSQDLYGSNCKHMTLCVSCGKTMAENQGKCFECGAIVTRLIREYNVRASPSTDKNYFIGRFMTGLPNFSKKKNAENRWSLQKDGLQGRQVTDALREKYKNKPWLLEDETGQAQYQGQLEGSQSATYYLLMMQGKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYERWMMKAANNGAAAFGEVEKIDEKETAAAGGRGRKKSTGEEEEGHVSDRGEEDEEEEAARKNRLGLNKKSGDDDEEGPRGGDLDMDDDDIEKAVGNDPEERDLAPEVPAPPEIKEDEDEEDEEQEGGLSKSGKELKKLLGKASGLNDSDADEDDDDEDVDDDMNFNPTPAPKQKDAPKEEPADNSPMKPAPSASARGTPAASKSSKGKRKGDDTKASNGTPLKKVKSEPESKTSVKEENTSASKGSAPSKGTSSSAKAGSTSAASASSSKAGSASTAGPVTEEEIRAVLLQKAPVTTSDLVAKFKARLKSPEDKKAFADILRRISKIQKTNGPNNYVVLREK >KJB67332 pep chromosome:Graimondii2_0_v6:10:53745002:53748490:-1 gene:B456_010G186300 transcript:KJB67332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIF subunit alpha [Source:Projected from Arabidopsis thaliana (AT4G12610) UniProtKB/Swiss-Prot;Acc:Q9SU25] MTGLPNFSKKKNAENRWSLQKDGLQGRQVTDALREKYKNKPWLLEDETGQAQYQGQLEGSQSATYYLLMMQGKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYERWMMKAANNGAAAFGEVEKIDEKETAAAGGRGRKKSTGEEEEGHVSDRGEEDEEEEAARKNRLGLNKKSGDDDEEGPRGGDLDMDDDDIEKGDDWEHEEIFTDDDEAVGNDPEERDLAPEVPAPPEIKEDEDEEDEEQEGGLSKSGKELKKLLGKASGLNDSDADEDDDDEDVDDDMNFNPTPAPKQKDAPKEEPADNSPMKPAPSASARGTPAASKSSKGKRKGDDTKASNGTPLKKVKSEPESKTSVKEENTSASKGSAPSKGTSSSAKAGSTSAASASSSKAGSASTAGPVTEEEIRAVLLQKAPVTTSDLVAKFKARLKSPEDKKAFADILRRISKIQKTNGPNNYVVLREK >KJB67329 pep chromosome:Graimondii2_0_v6:10:53744367:53749139:-1 gene:B456_010G186300 transcript:KJB67329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIF subunit alpha [Source:Projected from Arabidopsis thaliana (AT4G12610) UniProtKB/Swiss-Prot;Acc:Q9SU25] MSFDLMLKPSCSGCGSSQDLYGSNCKHMTLCVSCGKTMAENQGKCFECGAIVTRLIREYNVRASPSTDKNYFIGRFMTGLPNFSKKKNAENRWSLQKDGLQGRQVTDALREKYKNKPWLLEDETGQAQYQGQLEGSQSATYYLLMMQGKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYERWMMKAANNGAAAFGEVEKIDEKETAAAGGRGRKKSTGEEEEGHVSDRGEEDEEEEAARKNRLGLNKKSGDDDEEGPRGGDLDMDDDDIEKGDDWEHEEIFTDDDEAVGNDPEERDLAPEVPAPPEIKEDEDEEDEEQEGGLSKSGKELKKLLGKASGLNDSDADEDDDDEDVDDDMNFNPTPAPKQKDAPKEEPADNSPMKPAPSASARGTPAASKSSKGKRKGDDTKASNGTPLKKVKSEPESKTSVKEENTSASKGSAPSKGTSSSAKAGSTSAASASSSKAGSASTAGPVTEEEIRAVLLQKAPVTTSDLVAKFKARLKSPEDKKAFADILRRISKIQKTNGPNNYVVLREK >KJB67328 pep chromosome:Graimondii2_0_v6:10:53744400:53749112:-1 gene:B456_010G186300 transcript:KJB67328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIF subunit alpha [Source:Projected from Arabidopsis thaliana (AT4G12610) UniProtKB/Swiss-Prot;Acc:Q9SU25] MSFDLMLKPSCSGCGSSQDLYGSNCKHMTLCVSCGKTMAENQGKCFECGAIVTRLIREYNVRASPSTDKNYFIGRFMTGLPNFSKKKNAENRWSLQKDGLQGRQVTDALREKYKNKPWLLEDETGQAQYQGQLEGSQSATYYLLMMQGKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYERWMMKAANNGAAAFGEVEKIDEKETAAAGGRGRKKSTGEEEEGHVSDRGEEDEEEEAARKNRLGLNKKSGDDDEEGPRGGDLDMDDDDIEKGDDWEHEEIFTDDDEAVGNDPEERDLAPEVPAPPEIKEDEDEEDEEQEGGLSKSGKELKKLLGKASGLNDSDADEDDDDEDVDDDMNFNPTPAPKQKDAPKEEPADNSPMKPAPSASARGTPAASKSSKGKRKGDDTKASNGTPLKKVKSEPESKTSVKEENTSASKGSAPSKGTSSSAKAGSTSAASASSSKAGSASTAGPVTEEEIRAVLLQKAPVTTSDLVAKFKARLKSPEDKKAFADILRRISKIQKTNGPNNYVVLREK >KJB64442 pep chromosome:Graimondii2_0_v6:10:5573377:5579073:-1 gene:B456_010G049600 transcript:KJB64442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEAHGSLASDADAIEATAEDIESRITTAMHSRVGHFKEQADSLTFEGVRRLLEKDLGLETFALDVQKRFVKQCLLKCLDGANEDGSKSSCETVEKNVSTTTEGTESPEEREPKKEVKEPCSEDEEKLDGSPVLGLLAGHKTTKVKNKETKKVSESTIQKAIRKRASYLEANSDKVTMAGLRRLLEEDLTLDKFTLDPYKKFISGKLDEVLKSREVSAAASEVKKKKLNKKSLIKPSKKVNKKMNSASSGSENDEEEDDEVEQEEGKEEEEEEDEEVKPKKKITPKGKTKNSEGLKKRKIPKIEAEMPSKKRSKHTESNSDDNSDEEDSGSVSDNGCSRSSAAKAVKRKETSAPVHGKRVELLKSVIKLCGMSVPPSIYKRVKQVPENKREAQLIKELEDILSKEGLSANPSEKEVKDVRKRKERAKELEGIDTGNIVISSRRRPTTSFTPPPKPKIPDVSSDDESEESDEDDGDDDDEDAGDDGNSQSEESDEEEDEDSD >KJB64444 pep chromosome:Graimondii2_0_v6:10:5573377:5578725:-1 gene:B456_010G049600 transcript:KJB64444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRRLLEEDLTLDKFTLDPYKKFISGKLDEVLKSREVSAAASEVKKKKLNKKSLIKPSKKVNKKMNSASSGSENDEEEDDEVEQEEGKEEEEEEDEEVKPKKKITPKGKTKNSEGLKKRKIPKIEAEMPSKKRSKHTESNSDDNSDEEDSGSVSDNGCSRSSAAKAVKRKETSAPVHGKRVELLKSVIKLCGMSVPPSIYKRVKQVPENKREAQLIKELEDILSKEGLSANPSEKEVKDVRKRKERAKELEGIDTGNIVISSRRRPTTSFTPPPKPKIPDVSSDDESEESDEDDGDDDDEDAGDDGNSQSEESDEEEDEDSD >KJB64441 pep chromosome:Graimondii2_0_v6:10:5573276:5579112:-1 gene:B456_010G049600 transcript:KJB64441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEAHGSLASDADAIEATAEDIESRITTAMHSRVGHFKEQADSLTFEGVRRLLEKDLGLETFALDVQKRFVKQCLLKCLDGANEDGSKSSCETVEKNVSTTTEGTESPEEREPKKEVKEPCSEDEEKLDGSPVLGLLAGHKTTKVKNKETKKVSESTIQKAIRKRASYLEANSDKVTMAGLRRLLEEDLTLDKFTLDPYKKFISGKLDEVLKSREVSAAASEVKKKKLNKKSLIKPSKKVNKKMNSASSGSENDEEEDDEVEQEEGKEEEEEEDEEVKPKKKITPKGKTKNSEGLKKRKIPKIEAEMPSKKRSKHTESNSDDNSDEEDSGSVSDNGCSRSSAAKAVKRKETSAPVHGKRVELLKSVINVPPSIYKRVKQVPENKREAQLIKELEDILSKEGLSANPSEKEVKDVRKRKERAKELEGIDTGNIVISSRRRPTTSFTPPPKPKIPDVSSDDESEESDEDDGDDDDEDAGDDGNSQSEESDEEEDEDSD >KJB64443 pep chromosome:Graimondii2_0_v6:10:5573377:5576773:-1 gene:B456_010G049600 transcript:KJB64443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEWMHCGMSRLTGKCLDGANEDGSKSSCETVEKNVSTTTEGTESPEEREPKKEVKEPCSEDEEKLDGSPVLGLLAGHKTTKVKNKETKKVSESTIQKAIRKRASYLEANSDKVTMAGLRRLLEEDLTLDKFTLDPYKKFISGKLDEVLKSREVSAAASEVKKKKLNKKSLIKPSKKVNKKMNSASSGSENDEEEDDEVEQEEGKEEEEEEDEEVKPKKKITPKGKTKNSEGLKKRKIPKIEAEMPSKKRSKHTESNSDDNSDEEDSGSVSDNGCSRSSAAKAVKRKETSAPVHGKRVELLKSVIKLCGMSVPPSIYKRVKQVPENKREAQLIKELEDILSKEGLSANPSEKEVKDVRKRKERAKELEGIDTGNIVISSRRRPTTSFTPPPKPKIPDVSSDDESEESDEDDGDDDDEDAGDDGNSQSEESDEEEDEDSD >KJB64445 pep chromosome:Graimondii2_0_v6:10:5575203:5578856:-1 gene:B456_010G049600 transcript:KJB64445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEAHGSLASDADAIEATAEDIESRITTAMHSRVGHFKEQADSLTFEGVRRLLEKDLGLETFALDVQKRFVKQCLLKCLDGANEDGSKSSCETVEKNVSTTTEGTESPEEREPKKEVKEPCSEDEEKLDGSPVLGLLAGHKTTKVKNKETKKVSESTIQKAIRKRASYLEANSDKVTMAGLRRLLEEDLTLDKFTLDPYKKFISGKLDEVLKSREVSAAASEVKKKKLNKKSLIKPSKKVNKKMNSASSGSENDEEEDDEVEQEEGKEEEEEEDEEVKPKKKITPKGKTKNSEGLKKRKIPKIEAEMPSKKRSKHTESNSDDNSDEEDSGSVSDNGCSRSSAAKAVKRKETSAPVHGKRVELLKSVIKLCGMRFHFDRLFYEL >KJB66070 pep chromosome:Graimondii2_0_v6:10:27239826:27241689:-1 gene:B456_010G127400 transcript:KJB66070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEMPSLRCIIATLFFALLLPPGSFPATTLSPSFYDETCPTVFSIIRGIIEQALLSDPRIGASLLRLHFHDCFVNGCDASILLDNSATIESEKEAAPNNNSARGFEVVDAMKIALEFECPGIVSCADILAIAAQEAVNLAGGPSWLVLLGRRDSTTANRTLANLAIPAAFETLDILKSKFAAVGLNTSTDLVALSGAHTFGRAQCTIVIERLYNFNGTGKADPTLNATYLETLRKVCPQGGNGSVLVNLDPTTPNTFDSNYYTNLQAQEGLLQSDQELFSTSGADTIEIVERFSSNQIAFFESFVVSMLKMGNISPVTGTEGEIRLSCRRVHMDYTSSNKWSSS >KJB65669 pep chromosome:Graimondii2_0_v6:10:19371427:19375492:-1 gene:B456_010G106400 transcript:KJB65669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLWFSDICGHHVSPFTMLDFDQSCHRKHGFSLENKLVFGRKLYKQALTIARVVSPSQLAIVLSPEKEPVDPDQNHVAWTSVKQERWEGELVVQGEIPLWLKGTYLRNGPGLWHIEDYNFRHLFDGYATLVKLHFEDGRLIAGHRQIESEAYKAAKKNKKICFREFSEVPKHENFMAYVGDLSKLLSGSSLTDNANTGVVKLGDGRVVCLTETQKGSLVIDPNSLETLGRFEYSDSLGGLIHSAHPIVTDAEFLTLLPDLLKPGYLVVRMEPGTNERKVIGRVNCSCGPAPGWVHSFPVTQHYVVVPEMPLRYCAQNLLRAEPTPLYKFQWHPQSKAFLHVMCKASGKVVTSVEVPLFVTFHFINAYEEEDEDGRVTSIIADCCEHHADTSILDQLRLKNLRFFKGEDVLPDARVGRFKIPLDGSRNGKLEAALDADEHGRGMDMCSINPAYLGKKYRYAYACGAQRPCHFPNTLTKLDFVEKKAKNWYDEGAVPSEPFFVARPGATEEDDGVVISLISEKNGGGYALLLDGSTFKEIARAKLPYGLPYGLHGCWVPKK >KJB66970 pep chromosome:Graimondii2_0_v6:10:48781634:48783640:1 gene:B456_010G168300 transcript:KJB66970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNPLQNGALEGAAGNGGRSGDGSALIFLGTGCSSAVPNAMCLIQPSDPPCQVCSQSLSIPPDRNPNYRCNTSLLIDYCSSDGIRNYIIIDVGKTFREQVLRWFTFHKIPRVDSIVLTHEHADAILGLDDIRAIQPHSPTNDIDPTAIYLTRHSMDSIATKFPYLVQKKLREGQEVRRVAQLDWKIIEEHYDKPFVASGLKFFPLPVMHGEDYLCLGYLFGEKCKVAYISDVSRFPSNTEYGRCCIFSFSCVLTYIYTFKHFHFIGDVKCRGETSICYFVILMSL >KJB66972 pep chromosome:Graimondii2_0_v6:10:48781572:48787110:1 gene:B456_010G168300 transcript:KJB66972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNPLQNGALEGAAGNGGRSGDGSALIFLGTGCSSAVPNAMCLIQPSDPPCQVCSQSLSIPPDRNPNYSIATKFPYLVQKKLREGQEVRRVAQLDWKIIEEHYDKPFVASGLKFFPLPVMHGEDYLCLGYLFGEKCKVAYISDVSRFPSNTEYVISKNGAGQLDLLILDCLYKKGSFNVHLCLPQTLEAIKRICPKRALLIGMTHEFDHHKDNEFLMEWSEREGIHVQLARDGLRVPIDL >KJB66967 pep chromosome:Graimondii2_0_v6:10:48781572:48787137:1 gene:B456_010G168300 transcript:KJB66967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNPLQNGALEGAAGNGGRSGDGSALIFLGTGCSSAVPNAMCLIQPSDPPCQVCSQSLSIPPDRNPNYRCNTSLLIDYCSSDGIRNYIIIDVGKTFREQVLRWFTFHKIPRVDSIVLTHEHADAILGLDDIRAIQPHSPTNDIDPTAIYLTRHSMDSIATKFPYLVQKKLREGQEVRRVAQLDWKIIEEHYDKPFVASGLKFFPLPVMHGEDYLCLGYLFGEKCKVAYISDVSRFPSNTEYVISKNGAGQLDLLILDCLYKKGSFNVHLCLPQTLEAIKRICPKRALLIGMTHEFDHHKDNEFLMEWSEREGIHVQLARDGLRVPIDL >KJB66965 pep chromosome:Graimondii2_0_v6:10:48781634:48785824:1 gene:B456_010G168300 transcript:KJB66965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNPLQNGALEGAAGNGGRSGDGSALIFLGTGCSSAVPNAMCLIQPSDPPCQVCSQSLSIPPDRNPNYSIATKFPYLVQKKLREGQEVRRVAQLDWKIIEEHYDKPFVASGLKFFPLPVMHGEDYLCLGYLFGEKCKVAYISDVSRFPSNTEYVISKNGAGQLDLLILDCLYKKGSFNVHLCLPQTLEAIKRICPKRALLIGMTHEFDHHKDNEFLMEWSEREGIHVQLARDGLRVPIDL >KJB66973 pep chromosome:Graimondii2_0_v6:10:48781634:48785824:1 gene:B456_010G168300 transcript:KJB66973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNPLQNGALEGAAGNGGRSGDGSALIFLGTGCSSAVPNAMCLIQPSDPPCQVCSQSLSIPPDRNPNYRCNTSLLIDYCSSDGIRNYIIIDVGKTFREQVLRWFTFHKIPRVDSIVLTHEHADAILGLDDIRAIQPHSPTNDIDPTAIYLTRHSMDSIATKFPYLVQKKLREGQEVRRVAQLDWKIIEEHYDKPFVASGLKFFPLPVMHGEDYLCLGYLFGEKCKVAYISDVSRFPSNTEYVISKNGAGQLDLLILDCLYK >KJB66966 pep chromosome:Graimondii2_0_v6:10:48781572:48786266:1 gene:B456_010G168300 transcript:KJB66966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNPLQNGALEGAAGNGGRSGDGSALIFLGTGCSSAVPNAMCLIQPSDPPCQVCSQSLSIPPDRNPNYSIATKFPYLVQKKLREGQEVRRVAQLDWKIIEEHYDKPFVASGLKFFPLPVMHGEDYLCLGYLFGEKCKVAYISDVSRFPSNTEYVISKNGAGQLDLLILDCLYKKGSFNVHLCLPQTLEAIKRICPKRALLIGMTHEFDHHKDNEFLMEWSEREGIHVQLARDGLRVPIDL >KJB66971 pep chromosome:Graimondii2_0_v6:10:48781634:48784613:1 gene:B456_010G168300 transcript:KJB66971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNPLQNGALEGAAGNGGRSGDGSALIFLGTGCSSAVPNAMCLIQPSDPPCQVCSQSLSIPPDRNPNYRCNTSLLIDYCSSDGIRNYIIIDVGKTFREQVLRWFTFHKIPRVDSIVLTHEHADAILGLDDIRAIQPHSPTNDIDPTAIYLTRHSMDSIATKFPYLVQKKLREGQEVRRVAQLDWKIIEEHYDKPFVASGLKFFPLPVMHGEDYLCLGYLFGEKCKVAYISDVSRFPSNTEYVISKNGAGQLDLLILDCLYKVCLSLDSFLFIMLTACILLVNCLGLL >KJB66969 pep chromosome:Graimondii2_0_v6:10:48781617:48786266:1 gene:B456_010G168300 transcript:KJB66969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNPLQNGALEGAAGNGGRSGDGSALIFLGTGCSSAVPNAMCLIQPSDPPCQVCSQSLSIPPDRNPNYRCNTSLLIDYCSSDGIRNYIIIDVGKTFREQVLRWFTFHKIPRVDSIVLTHEHADAILGLDDIRAIQPHSPTNDIDPTAIYLTRHSMDSIATKFPYLVQKKLREGQEVRRVAQLDWKIIEEHYDKPFVASGLKFFPLPVMHGEDYLCLGYLFGEKCKVAYISDVSRFPSNTEYVISKNGAGQLDLLILDCLYKKGSFNVHLCLPQTLEAIKRICPKRALLIGMTHEFDHHKDNEFLMEWSEREGIHVQLARDGLRVPIDL >KJB66968 pep chromosome:Graimondii2_0_v6:10:48781572:48787137:1 gene:B456_010G168300 transcript:KJB66968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNPLQNGALEGAAGNGGRSGDGSALIFLGTGCSSAVPNAMCLIQPSDPPCQVCSQSLSIPPDRNPNYRCNTSLLIDYCSSDGIRNYIIIDVGKTFREQVLRWFTFHKIPRVDSIVLTHEHADAILGLDDIRAIQPHSPTNDIDPTAIYLTRHSMDSIATKFPYLVQKKLREGQEVRRVAQLDWKIIEEHYDKPFVASGLKFFPLPVMHGEDYLCLGYLFGEKCKVAYISDVSRFPSNTEYVISKNGAGQLDLLILDCLYKKGSFNVHLCLPQTLEAIKRICPKRALLIGMTHEFDHHKDNEFLMEWSEREGIHVQLARDGLRVPIDL >KJB68383 pep chromosome:Graimondii2_0_v6:10:61123691:61124220:1 gene:B456_010G242000 transcript:KJB68383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEGGVVKKGHEEGMEMAIALLQEFDLPLGLLPLVDVIEVGFVRDTGYMWIEQKKKVEHKFKMISKLVSYDTQITGIVDKKRIKKLKGVKAKELMLWPPVNEIIVDDPPTGKIHFKSLAGVTKTFPVDAFAAGQ >KJB64487 pep chromosome:Graimondii2_0_v6:10:5762349:5766763:-1 gene:B456_010G051000 transcript:KJB64487 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MAPYDLGRASCVCRKWRYTIRNPVFWRTACLKAWQLSGLVENYKILQSKYEGSWRKMWLLRPRVRTDGLYVSRNTYIRAGVAEWKITNPVHIVCYFRYLRFFPSGRFLYKNSSQKIKDAAKFMNFRASKADCVFGGHYTLSDNKVEAAVLYPGMRPTVLRIRLRLRGTTAGANNRMDLLSLVTSGVDDNEASGPEEDILGVVEGWQDDETHNPDVPAVSHKRGLTPFVFVPFEEVETSDLNLPVEKMDYYVPG >KJB64492 pep chromosome:Graimondii2_0_v6:10:5762796:5766977:-1 gene:B456_010G051000 transcript:KJB64492 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MNPVPSEVELESALRLKTVQYFVTQRPWLDLYGKHVRPVAPFGSASRRSYVDPALIHRSLPDELLFEVFVRMAPYDLGRASCVCRKWRYTIRNPVFWRTACLKAWQLSGLVENYKILQSKYEGSWRKMWLLRPRVRTDGLYVSRNTYIRAGVAEWKITNPVHIVCYFRYLRFFPSGRFLYKNSSQKIKDAAKFMNFRASKADCVFGGHYTLSDNKVEAAVLYPGMRPTVLRIRLRLRGTTAGANNRMDLLSLVTSGVDDNEASGPEEDILGVVEGWQDDETHNPDVPAVSHKRGLTPFVFVPFEEVTLLLPFDPLNNEPAD >KJB64489 pep chromosome:Graimondii2_0_v6:10:5762349:5766977:-1 gene:B456_010G051000 transcript:KJB64489 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MAPYDLGRASCVCRKWRYTIRNPVFWRTACLKAWQLSGLVENYKILQSKYEGSWRKMWLLRPRVRTDGLYVSRNTYIRAGVAEWKITNPVHIVCYFRYLRFFPSGRFLYKNSSQKIKDAAKFMNFRASKADCVFGGHYTLSDNKVEAAVLYPGMRPTVLRIRLRLRGTTAGANNRMDLLSLVTSGVDDNEASGPEEDILGVVEGWQDDETHNPDVPAVSHKRGLTPFVFVPFEEVETSDLNLPVEKMDYYVPG >KJB64488 pep chromosome:Graimondii2_0_v6:10:5762305:5766757:-1 gene:B456_010G051000 transcript:KJB64488 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MAPYDLGRASCVCRKWRYTIRNPVFWRTACLKAWQLSGLVENYKILQSKYEGSWRKMWLLRPRVRTDGLYVSRNTYIRAGVAEWKITNPVHIVCYFRYLRFFPSGRFLYKNSSQKIKDAAKFMNFRASKADCVFGGHYTLSDNKVEAAVLYPGMRPTVLRIRLRLRGTTAGANNRMDLLSLVTSGVDDNEASGPEEDILGVVEGWQDDETHNPDVPAVSHKRGLTPFVFVPFEEVETSDLNLPVEKMDYYVPG >KJB64490 pep chromosome:Graimondii2_0_v6:10:5762349:5766625:-1 gene:B456_010G051000 transcript:KJB64490 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MAPYDLGRASCVCRKWRYTIRNPVFWRTACLKAWQLSGLVENYKILQSKYEGSWRKMWLLRPRVRTDGLYVSRNTYIRAGVAEWKITNPVHIVCYFRYLRFFPSGRFLYKNSSQKIKDAAKFMNFRASKADCVFGGHYTLSDNKVEAAVLYPGMRPTVLRIRLRLRGTTAGANNRMDLLSLVTSGVDDNEASGPEEDILGVVEGWQDDETHNPDVPAVSHKRGLTPFVFVPFEEVETSDLNLPVEKMDYYVPG >KJB64491 pep chromosome:Graimondii2_0_v6:10:5763573:5766860:-1 gene:B456_010G051000 transcript:KJB64491 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MNPVPSEVELESALRLKTVQYFVTQRPWLDLYGKHVRPVAPFGSASRRSYVDPALIHRSLPDELLFEVFVRMAPYDLGRASCVCRKWRYTIRNPVFWRTACLKAWQLSGLVENYKILQSKYEGSWRKMWLLRPRVRTDGLYVSRNTYIRAGVAEWKITNPVHIVCYFRYLRFFPSGRFLYKNSSQKIKDAAKFMNFRASKADCVFGGHYTLSDNKDFVIILLCRLKLPSCTLACVLLC >KJB64486 pep chromosome:Graimondii2_0_v6:10:5762305:5767122:-1 gene:B456_010G051000 transcript:KJB64486 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MNPVPSEVELESALRLKTVQYFVTQRPWLDLYGKHVRPVAPFGSASRRSYVDPALIHRSLPDELLFEVFVRMAPYDLGRASCVCRKWRYTIRNPVFWRTACLKAWQLSGLVENYKILQSKYEGSWRKMWLLRPRVRTDGLYVSRNTYIRAGVAEWKITNPVHIVCYFRYLRFFPSGRFLYKNSSQKIKDAAKFMNFRASKADCVFGGHYTLSDNKVEAAVLYPGMRPTVLRIRLRLRGTTAGANNRMDLLSLVTSGVDDNEASGPEEDILGVVEGWQDDETHNPDVPAVSHKRGLTPFVFVPFEEVETSDLNLPVEKMDYYVPG >KJB64485 pep chromosome:Graimondii2_0_v6:10:5762349:5766977:-1 gene:B456_010G051000 transcript:KJB64485 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MAPYDLGRASCVCRKWRYTIRNPVFWRTACLKAWQLSGLVENYKILQSKYEGSWRKMWLLRPRVRTDGLYVSRNTYIRAGVAEWKITNPVHIVCYFRYLRFFPSGRFLYKNSSQKIKDAAKFMNFRASKADCVFGGHYTLSDNKVEAAVLYPGMRPTVLRIRLRLRGTTAGANNRMDLLSLVTSGVDDNEASGPEEDILGVVEGWQDDETHNPDVPAVSHKRGLTPFVFVPFEEVETSDLNLPVEKMDYYVPG >KJB64281 pep chromosome:Graimondii2_0_v6:10:3869160:3870378:-1 gene:B456_010G041300 transcript:KJB64281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMKFERDPDGFAFSFGDVSSYHAEKYGSYDGFNKGFQSSPQHVSMCLDGGDVFSSTFLGLQGTGYEKGDSFGSYSIGYNQSNDLSSVPSWHDNQRNYLLEQRMEQSRGLDNRGMLLQGAFTTRPYIGNPFVCSQQCGIDGNGGRAAIDSLSSPGFLHSKIPLEENVMEDHSVIIQGRGLKYDIENKGFDSFKCFEFQGCIYYMAKDQKGCRFQRRIFDEGSCLDVQIIFNEVTDNIVELMMDPFGNYLVRKTEHNYEKGFVVVVTLLRKKCTSPGDAQKRLGFHQRDCCEKGLASPSSANFEVPRESPLKACCDSNLDETA >KJB63840 pep chromosome:Graimondii2_0_v6:10:1516496:1520317:-1 gene:B456_010G020100 transcript:KJB63840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDGTVRLGALNLKAGRGLDLDPDVSVSSPVTRQKAAAAKQFIENHYKNYLQGLQERKERRRALQRRAEEAKVSSEEQEEMMRNLERRETEYMRLQRRKVGIDDFEQLTVIGKGAFGEVRLCRAKSTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYVAESILAIHSIHQHNYIHRDIKPDNLILDKNGHLKLSDFGLCKPLDDKYSTILLEDENLTSQDTNEAEVQSGSERPPWLMPKEQLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFCSDDPRITCRKIVNWRTCLKFPEEPKISPEAKDLICHLLCDVETRLGTRGVEELKAHPWFKGVPWEKLYEIEAAYKPTVTGDLDTQNFEKFPEIDGPPSSIPEVGPWRKMLTSKDNNFIGFTFKKSDVVKSLESSGTDMRSNGPSKVPSIVSLLGMIIFIFMNDP >KJB63839 pep chromosome:Graimondii2_0_v6:10:1515312:1520759:-1 gene:B456_010G020100 transcript:KJB63839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDGTVRLGALNLKAGRGLDLDPDVSVSSPVTRQKAAAAKQFIENHYKNYLQGLQERKERRRALQRRAEEAKVSSEEQEEMMRNLERRETEYMRLQRRKVGIDDFEQLTVIGKGAFGEVRLCRAKSTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYVAESILAIHSIHQHNYIHRDIKPDNLILDKNGHLKLSDFGLCKPLDDKYSTILLEDENLTSQDTNEAEVQSGSERPPWLMPKEQLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFCSDDPRITCRKIVNWRTCLKFPEEPKISPEAKDLICHLLCDVETRLGTRGVEELKAHPWFKGVPWEKLYEIEAAYKPTVTGDLDTQNFEKFPEIDGPPSSIPEVGPWRKMLTSKDNNFIGFTFKKSDVVKSLESSGTDMRSNGPSKVPSIVSLLGRIDLQETVMPEGEQEQET >KJB64995 pep chromosome:Graimondii2_0_v6:10:10639992:10642623:1 gene:B456_010G075100 transcript:KJB64995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFGNEGGNLKRAHLYEYKITNYFIFACLVAATGGSLFGYDLGVSGGVTSMDDFLKEFFPKIYRRKQEHLHETDYCKYDNQLLTLFTSSLYFAGLVSTFGASYVTRNKGRRASILVGAVSFFLGGAINAGAVNITMLIIGRILLGAGIGFGNQAVPLYLSEMAPAKHRGAVNQLFQFTTCMGILIANLINYKTDKIHKWGWRLSLGLATVPATLMFVGGLALPETPNSLVEQGRLEEAKTVLVKVRGTTNVDAEFADLIEASDAARAIKHPFRNLLQRKNRPQLVLGALGIPAFQQLTGMNSILFYAPILFQTLGFGSGASLISSVLTSGMLVLATLVSMALVDRFGRRAFFLEAGFEMFCYMIAVAITLAFKFGEGETLPKAIGWFLVVIICLFVFAYGRSWGPLGWLVPSELFPLETRSAGQSMVVCVNLLFTALIAQCFLVSLCHLKYGIFLLFAGLIFIMSSFIFFLLPETKRVPIEEVYLLWKDHWFWKKYVEDDDEKDIKKPTT >KJB67767 pep chromosome:Graimondii2_0_v6:10:57560340:57561874:1 gene:B456_010G209600 transcript:KJB67767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVMGGNTIHPNSINKSEVLDVKPVRTLVPIFPEPSEGPPFVCVPPNEPFPSGFSPFFPFSGSNPNPNPTQNDINPTPAPVRPFTAETSNGQNVSPMGTHVNQGQKPAPSYPVKKRGRGRPRTQFP >KJB68444 pep chromosome:Graimondii2_0_v6:10:61514251:61516101:1 gene:B456_010G246400 transcript:KJB68444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVFLHAFSTLKIPGCNFGDLSSSSCPPPLLKIWAHSDKGPSPSPYVATLRALVQAAFCMAMFLYLSPSHPLSWFIDPAYQEWGFWRKLSYQYMSGFTMRWKYYFIWSISEAAMIISGLGFSGWTESSPPKPKWDRAKVVDILGFELAKSSVLLPLVWNIQVSTWLRHYVYERLVKKGKKPGFFQLLATQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYQWEKAIPTNMALVKKAFAVMNFAYTLLVLNYSCVGFMVLSKHETLASYGSVYYIGTIIPIALIILGYIIPAKPARFKARKEQ >KJB68447 pep chromosome:Graimondii2_0_v6:10:61512292:61516293:1 gene:B456_010G246400 transcript:KJB68447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDMVTMAASIGVSVPVIRFLLCFVTTIPVSFLWRLVPGPLAKHLYSAFTGALLSYLSFGFSSNLHFLVPMLLGYAAMVLYRPKCGIITFFLGFGFLIGCHVYYMSGDAWKQGGIDATGALMVLTLKVISCAMNYNDGLLKEEDLREAQKKNRLIKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLDWTEGKGIWAHSDKGPSPSPYVATLRALVQAAFCMAMFLYLSPSHPLSWFIDPAYQEWGFWRKLSYQYMSGFTMRWKYYFIWSISEAAMIISGLGFSGWTESSPPKPKWDRAKVVDILGFELAKSSVLLPLVWNIQVSTWLRHWTVSWVHHILCSISFDDCWFKSYLPMGKSYTHKYGSCEESICRDELCLHTFGSELLLCRLYGIKQARDTCIIWECVLYWNHHSDSIDYLGLHNTCKTCQV >KJB68445 pep chromosome:Graimondii2_0_v6:10:61512292:61516293:1 gene:B456_010G246400 transcript:KJB68445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDMVTMAASIGVSVPVIRFLLCFVTTIPVSFLWRLVPGPLAKHLYSAFTGALLSYLSFGFSSNLHFLVPMLLGYAAMVLYRPKCGIITFFLGFGFLIGCHVYYMSGDAWKQGGIDATGALMVLTLKVISCAMNYNDGLLKEEDLREAQKKNRLIKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLDWTEGKGIWAHSDKGPSPSPYVATLRALVQAAFCMAMFLYLSPSHPLSWFIDPAYQEWGFWRKLSYQYMSGFTMRWKYYFIWSISEAAMIISGLGFSGWTESSPPKPKWDRAKVVDILGFELAKSSVLLPLVWNIQVSTWLRHYVYERLVKKGKKPGFFQLLATQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYQWEKAIPTNMALVKKAFAVMNFAYTLLVLNYSCVGFMVTPSLSSKVKPLIF >KJB68448 pep chromosome:Graimondii2_0_v6:10:61512292:61516293:1 gene:B456_010G246400 transcript:KJB68448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDAWKQGGIDATGALMVLTLKVISCAMNYNDGLLKEEDLREAQKKNRLIKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLDWTEGKGIWAHSDKGPSPSPYVATLRALVQAAFCMAMFLYLSPSHPLSWFIDPAYQEWGFWRKLSYQYMSGFTMRWKYYFIWSISEAAMIISGLGFSGWTESSPPKPKWDRAKVVDILGFELAKSSVLLPLVWNIQVSTWLRHYVYERLVKKGKKPGFFQLLATQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYQWEKAIPTNMALVKKAFAVMNFAYTLLVLNYSCVGFMVLSKHETLASYGSVYYIGTIIPIALIILGYIIPAKPARFKARKEQ >KJB68446 pep chromosome:Graimondii2_0_v6:10:61512062:61516338:1 gene:B456_010G246400 transcript:KJB68446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDMVTMAASIGVSVPVIRFLLCFVTTIPVSFLWRLVPGPLAKHLYSAFTGALLSYLSFGFSSNLHFLVPMLLGYAAMVLYRPKCGIITFFLGFGFLIGCHVYYMSGDAWKQGGIDATGALMVLTLKVISCAMNYNDGLLKEEDLREAQKKNRLIKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLDWTEGKGIWAHSDKGPSPSPYVATLRALVQAAFCMAMFLYLSPSHPLSWFIDPAYQEWGFWRKLSYQYMSGFTMRWKYYFIWSISEAAMIISGLGFSGWTESSPPKPKWDRAKVVDILGFELAKSSVLLPLVWNIQVSTWLRHYVYERLVKKGKKPGFFQLLATQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYQWEKAIPTNMALVKKAFAVMNFAYTLLVLNYSCVGFMVLSKHETLASYGSVYYIGTIIPIALIILGYIIPAKPARFKARKEQ >KJB68442 pep chromosome:Graimondii2_0_v6:10:61512292:61516293:1 gene:B456_010G246400 transcript:KJB68442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDMVTMAASIGVSVPVIRFLLCFVTTIPVSFLWRLVPGPLAKHLYSAFTGALLSYLSFGFSSNLHFLVPMLLGYAAMVLYRPKCGIITFFLGFGFLIGCHVYYMSGDAWKQGGIDATGALMVLTLKVISCAMNYNDGLLKEEDLREAQKKNRLIKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLDWTEGKGIWAHSDKGPSPSPYVATLRALVQAAFCMAMFLYLSPSHPLSWFIDPAYQEWGFWRKLSYQYMSGFTMRWKYYFIWSISEAAMIISGLGFSGWTESSPPKPKWDRAKVVDILGFELAKSSVLLPLVWNIQVSTWLRHYVYERLVKKGKKPGFFQLLATQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYQWEKAIPTNMALVKKAFAVMNFAYTLLVLNYSCVGFMVLSKHETLASYGSVYYIGTIIPIALIILGYIIPAKPARFKARKEQ >KJB68443 pep chromosome:Graimondii2_0_v6:10:61512292:61514897:1 gene:B456_010G246400 transcript:KJB68443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDMVTMAASIGVSVPVIRFLLCFVTTIPVSFLWRLVPGPLAKHLYSAFTGALLSYLSFGFSSNLHFLVPMLLGYAAMVLYRPKCGIITFFLGFGFLIGCHVYYMSGDAWKQGGIDATGALMVLTLKVISCAMNYNDGLLKEEDLREAQKKNRLIKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLDWTEGKGIWAHSDKGPSPSPYVATLRALVQAAFCMAMFLYLSPSHPLSWFIDPAYQEWGFWRKLSYQYMSGFTMRWKYYFIWSISEAAMIISGLGFSGWTESSPPKPKWDRAKVVDILGFELAKSSVLLPLVWNIQVSTWLRHCECF >KJB63686 pep chromosome:Graimondii2_0_v6:10:810932:813772:-1 gene:B456_010G010700 transcript:KJB63686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNSPTSFSISIHHSQQQATALAPLKKYSSSTSAIAGFFGKNGYKFWVLTAIILLAFWSMFTGSVSLKWSSGNLTSFSYDFDFSIREDLDVLELEEREKVVRKMWDVYTHSTSIRLPRFWLDAFEAAYENLSSDVNGVRDNAISEIAKLSMQSLNLVPPSVQSKISSSQGRKKTNKEAYKKKEKVISNGNLQS >KJB63685 pep chromosome:Graimondii2_0_v6:10:811739:813665:-1 gene:B456_010G010700 transcript:KJB63685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNSPTSFSISIHHSQQQATALAPLKKYSSSTSAIAGFFGKNGYKFWVLTAIILLAFWSMFTGSVSLKWSSGNLTSFSYDFDFSIREDLDVLELEEREKVVRKMWDVYTHSTSIRLPRFWLDAFEAAYENLSSDVNGVRDNAISEIAKLSMQSLNLVPPSVQSKVSLVFFRFNVSFDI >KJB63687 pep chromosome:Graimondii2_0_v6:10:810808:813772:-1 gene:B456_010G010700 transcript:KJB63687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNSPTSFSISIHHSQQQATALAPLKKYSSSTSAIAGFFGKNGYKFWVLTAIILLAFWSMFTGSVSLKWSSGNLTSFSYDFDFSIREDLDVLELEEREKVVRKMWDVYTHSTSIRLPRFWLDAFEAAYENLSSDVNGVRDNAISEIAKLSMQSLNLVPPSVQSKISSSQGRKKTNKEAYKKKEKVISNGNLQS >KJB63684 pep chromosome:Graimondii2_0_v6:10:810776:817074:-1 gene:B456_010G010700 transcript:KJB63684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNSPTSFSISIHHSQQQATALAPLKKYSSSTSAIAGFFGKNGYKFWVLTAIILLAFWSMFTGSVSLKWSSGNLTSFSYDFDFSIREDLDVLELEEREKVVRKMWDVYTHSTSIRLPRFWLDAFEAAYENLSSDVNGVRDNAISEIAKLSMQSLNLVPPSVQSKISSSQGRKKTNKEAYKKKEKVISNGNLQS >KJB65064 pep chromosome:Graimondii2_0_v6:10:11516558:11519917:-1 gene:B456_010G079400 transcript:KJB65064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHNMEAPLLDEKNNNRNIIRNTALCFFFLLLTLSSLIFRDDFSYLLVKGGNKYNERVEVGGPDSVESDQGVVAADDARCSKIGALMLKKGGHAVDAAVATALCVGVVNPMASGIGGGAFMVVRSSSTSQVQAFDARETAPLAASQNMYENDMRTKYYGPLSMGVPGEIAGLHEAWLRYGRLDWKTLFEPAIKLAKEGFMTAPYLGLSIAEHELLIMNDPGLKQVFAPEGKLLQAGEKCYNVELAHTLEEVAEQGPWVLYNGTIGEKLVKDVRQVGGILTMEDLRNYKVEVTDAMAANVMNYTIYGMPPPSSGTLGLSLVLNIFDSYGSADAAKGDLGVHRLIEALKHMFAERMNLGDPDFVDITKYVSEMLSVTFAKQIQEKIIDNTTFPANYYMYRWSQLRDHGTSHFCVVDAERNAVSMTTTVNYPFGAGVLSPSTGIIVNNEMGDFSAPTEISPDMLPPAPANFIRPNKRPLSSMTPLIITKDNQLAGVIGGSGGMNIIPAVTQVFLNHFVLGMEPLAAVQHPRIYHKLIPNLFVVQALQKDIERGRKFGKDLYIFHGTLTAVSDPRKDGKPAAV >KJB65065 pep chromosome:Graimondii2_0_v6:10:11516558:11519925:-1 gene:B456_010G079400 transcript:KJB65065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCVSVVGLIFRDDFSYLLVKGGNKYNERVEVGGPDSVESDQGVVAADDARCSKIGALMLKKGGHAVDAAVATALCVGVVNPMASGIGGGAFMVVRSSSTSQVQAFDARETAPLAASQNMYENDMRTKYYGPLSMGVPGEIAGLHEAWLRYGRLDWKTLFEPAIKLAKEGFMTAPYLGLSIAEHELLIMNDPGLKQVFAPEGKLLQAGEKCYNVELAHTLEEVAEQGPWVLYNGTIGEKLVKDVRQVGGILTMEDLRNYKVEVTDAMAANVMNYTIYGMPPPSSGTLGLSLVLNIFDSYGSADAAKGDLGVHRLIEALKHMFAERMNLGDPDFVDITKYVSEMLSVTFAKQIQEKIIDNTTFPANYYMYRWSQLRDHGTSHFCVVDAERNAVSMTTTVNYPFGAGVLSPSTGIIVNNEMGDFSAPTEISPDMLPPAPANFIRPNKRPLSSMTPLIITKDNQLAGVIGGSGGMNIIPAVTQVFLNHFVLGMEPLAAVQHPRIYHKLIPNLVYYENWTVIDGDHIELADETKIFLREKGHELRAKSGGAIVQFVVQALQKDIERGRKFGKDLYIFHGTLTAVSDPRKDGKPAAV >KJB65063 pep chromosome:Graimondii2_0_v6:10:11516523:11519988:-1 gene:B456_010G079400 transcript:KJB65063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHNMEAPLLDEKNNNRNIIRNTALCFFFLLLTLSSLIFRDDFSYLLVKGGNKYNERVEVGGPDSVESDQGVVAADDARCSKIGALMLKKGGHAVDAAVATALCVGVVNPMASGIGGGAFMVVRSSSTSQVQAFDARETAPLAASQNMYENDMRTKYYGPLSMGVPGEIAGLHEAWLRYGRLDWKTLFEPAIKLAKEGFMTAPYLGLSIAEHELLIMNDPGLKQVFAPEGKLLQAGEKCYNVELAHTLEEVAEQGPWVLYNGTIGEKLVKDVRQVGGILTMEDLRNYKVEVTDAMAANVMNYTIYGMPPPSSGTLGLSLVLNIFDSYGSADAAKGDLGVHRLIEALKHMFAERMNLGDPDFVDITKYVSEMLSVTFAKQIQEKIIDNTTFPANYYMYRWSQLRDHGTSHFCVVDAERNAVSMTTTVNYPFGAGVLSPSTGIIVNNEMGDFSAPTEISPDMLPPAPANFIRPNKRPLSSMTPLIITKDNQLAGVIGGSGGMNIIPAVTQVFLNHFVLGMEPLAAVQHPRIYHKLIPNLVYYENWTVIDGDHIELADETKIFLREKGHELRAKSGGAIVQFVVQALQKDIERGRKFGKDLYIFHGTLTAVSDPRKDGKPAAV >KJB63478 pep chromosome:Graimondii2_0_v6:10:46397:47167:1 gene:B456_010G001200 transcript:KJB63478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYLQRCPSLTTVCGNNVVSLQRFLHSGPDTVEELLNRHLVKKEKSLDDEEEESLNRQRLTSTRGEALGLYRDILRATRFFMWPDSRGVQWRDILRENARKEFEEARFEKDPEVVTRLLIGGRDAVESALEKLAEKQRHQIQKERGDGR >KJB65773 pep chromosome:Graimondii2_0_v6:10:21628100:21629931:-1 gene:B456_010G112900 transcript:KJB65773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELLLQEEEKMEQESTGSGSSKFSSLQWVFKVKNMAWEAYKSKPFSHWVLLFLSSLGMLVAFPASSLLSRVYFANGGTSKWIISWVAVAGWPIPALILFPMYLFHGTSPTPLSLQLFCSYVFLGFLSAADNLMYAYAYAYLPASTAALLASSSLAFSALFGFWIVNNKLNASVINAIVIITAAMTIIALDSDSDRYPYVSNNQYIWGFVWDILGSALHGLIFALSEWVFIKFLGRRSFHVVLEQQVMVSSCAFLFTTIGVIINKDFQGMTSEAKTFKGGVTAYNLVLIWSIITFQLGVLGATGILFLASTVMAGVLNAVRVPVTSIAAVILLNDPMSGFKILSLVITFWGFASYIYGTSTGRLSVVG >KJB65774 pep chromosome:Graimondii2_0_v6:10:21627954:21630129:-1 gene:B456_010G112900 transcript:KJB65774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELLLQEEKMEQESTGSGSSKFSSLQWVFKVKNMAWEAYKSKPFSHWVLLFLSSLGMLVAFPASSLLSRVYFANGGTSKWIISWVAVAGWPIPALILFPMYLFHGTSPTPLSLQLFCSYVFLGFLSAADNLMYAYAYAYLPASTAALLASSSLAFSALFGFWIVNNKLNASVINAIVIITAAMTIIALDSDSDRYPYVSNNQYIWGFVWDILGSALHGLIFALSEWVFIKFLGRRSFHVVLEQQVMVSSCAFLFTTIGVIINKDFQGMTSEAKTFKGGVTAYNLVLIWSIITFQLGVLGATGILFLASTVMAGVLNAVRVPVTSIAAVILLNDPMSGFKILSLVITFWGFASYIYGTSTGRLSVVG >KJB65664 pep chromosome:Graimondii2_0_v6:10:19283078:19288788:-1 gene:B456_010G105900 transcript:KJB65664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAATSACKEGTKIAMDNGKYVRYTPEQVEALERLYHECPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSYFRQQTQNTTLATTDTSCDSAVTSGQHHLTPQHPPKDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLDPTRVAEILKDRPSWYRDCRAVDVINMLSTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVMEDGSLVVCERSLNNTQNGPSMPPAVNFVRAELLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQISQEISQPNVTGWGRRPAALRALSHKLSKGFNEAVNGFTDEGWSMLESDGIDDVTLLVNSSPGKMMDINFSYSNGFPSMGNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYSAAAVKAGPCSLPVPQGGSFGGQVILPLAHTIEHEEFMEVVKLENVGHYQDMIMPSDIFLLQLCTGVDENAVSTCAELIFAPIDGSFSEDSPIIPSGFRIIPLDSGMDASSPNRTLDLASTLEVGAAGNRATGDKSSCGSTKSVMTIAFQFVHEMQLQENVAIMARQYVRSIIASVQRVALALSPSFFGSHAGLGSPPGTPEAQTLARWICQSYRCYMGDELLKHEGSEFILKVLWHHTDAVLCCSLKALPVFTFANQAGLDMLETTLVSLQDISLEKIFDENGRKTLFAEFPQVMQQGFMCLQGGICLSSMGRPVSYERAVAWKVVNDEENAHCICFTFINWSFV >KJB65662 pep chromosome:Graimondii2_0_v6:10:19282557:19288934:-1 gene:B456_010G105900 transcript:KJB65662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAATSACKEGTKIAMDNGKYVRYTPEQVEALERLYHECPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSYFRQQTQNTTLATTDTSCDSAVTSGQHHLTPQHPPKDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLDPTRVAEILKDRPSWYRDCRAVDVINMLSTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVMEDGSLVVCERSLNNTQNGPSMPPAVNFVRAELLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQISQEISQPNVTGWGRRPAALRALSHKLSKGFNEAVNGFTDEGWSMLESDGIDDVTLLVNSSPGKMMDINFSYSNGFPSMGNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYSAAAVKAGPCSLPVPQGGSFGGQVILPLAHTIEHEEFMEVVKLENVGHYQDMIMPSDIFLLQLCTGVDENAVSTCAELIFAPIDGSFSEDSPIIPSGFRIIPLDSGMDASSPNRTLDLASTLEVGAAGNRATGDKSSCGSTKSVMTIAFQFVHEMQLQENVAIMARQYVRSIIASVQRVALALSPSFFGSHAGLGSPPGTPEAQTLARWICQSYRCYMGDELLKHEGSEFILKVLWHHTDAVLCCSLKALPVFTFANQAGLDMLETTLVSLQDISLEKIFDENGRKTLFAEFPQVMQQGFMCLQGGICLSSMGRPVSYERAVAWKVE >KJB65663 pep chromosome:Graimondii2_0_v6:10:19283078:19288717:-1 gene:B456_010G105900 transcript:KJB65663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAATSACKEGTKIAMDNGKYVRYTPEQVEALERLYHECPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSYFRQQTQNTTLATTDTSCDSAVTSGQHHLTPQHPPKDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLDPTRVAEILKDRPSWYRDCRAVDVINMLSTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVMEDGSLVVCERSLNNTQNGPSMPPAVNFVRAELLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQISQEISQPNVTGWGRRPAALRALSHKLSKGFNEAVNGFTDEGWSMLESDGIDDVTLLVNSSPGKMMDINFSYSNGFPSMGNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYSAAAVKAGPCSLPVPQGGSFGGQVILPLAHTIEHEEFMEVVKLENVGHYQDMIMPSDIFLLQLCTGVDENAVSTCAELIFAPIDGSFSEDSPIIPSGFRIIPLDSGMDASSPNRTLDLASTLEVGAAGNRATGDKSSCGSTKSVMTIAFQFVHEMQLQENVAIMARQYVRSIIASVQRVALALSPSFFGSHAGLGSPPGTPEAQTLARWICQSYRCYMGDELLKHEGSEFILKVLWHHTDAVLCCSLKALPVFTFANQAGLDMLETTLVSLQDISLEKIFDENGRKTLFAEFPQGFMCLQGGICLSSMGRPVSYERAVAWKVVNDEENAHCICFTFINWSFV >KJB66117 pep chromosome:Graimondii2_0_v6:10:27456911:27461195:1 gene:B456_010G127900 transcript:KJB66117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGENKSSARPWGTLEELLLVCAVNRHGTKSWDSIASELQNRRLTSSFLPSFTPQLCKDKFFDLKRRFISTNGASSSSSLVDQLRRIRVEELRREVQERDVSIVSLELKVKRLEEERERSSKEETDLDDRQNILSPDTVAGTPAGGDGSGDLDDRSFNESNSTSQKPELTTSATIIVKDEQNNAGEVVGERNAQVITEPIEPRGENEIDPVRTGEGPVNERLNGEEHDNKKQVSDVQSSASLSKKKRCNGSSKGGSSSREEREGDEVSPAMKRAPAVKPESMVRLLGIIRSNRLGSALDRRQRSQESARYKNLIRQHMDLQRIQTRLDKGVYSECSTKFLRDLLLLFNNLIVFHHKSSPERIAAQQLRALVLKEMTHMLPKQSETDDVAKPNKSSTIVACGKRRFSKAVTKNTSTSTRRGDEKERGVEEKKVDGSCPIATDDMGIRKKRSKERVVSGRRNSLRTSSTSEETKHEYGGNELSSHDALEMKVDIKKENNNHNNNNKARKKQGAASFLKRMKQNSPSEVTEKDEDDDEDDDSEDHSKDEKEKGRVERVTRSSGGRGARAKRGVGRPPKVVAESTGKRGRENVENEVGLGGTGRARKRGRR >KJB65590 pep chromosome:Graimondii2_0_v6:10:18595863:18597829:-1 gene:B456_010G102600 transcript:KJB65590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACNGAAMMGSLQQPIWVKGNRPIFPLKGYGLKLNSVKPCRAQLESSLVTGKPPSSDSFPVAETRFVDHGLSEADPEVRAIINKEKERQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQKRALAAFHLDENKWGVNVQPLSGSPANFEVYTAILNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGNSSLLLRLNWFSGWYAYLVVDLICLKFGTGLVDYDMLEKTATLFRPKLIICGASAYPRDFDYPRMRKSLRGPRGGMIFFKKDPVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLQHAQSPEFKAYQNQVLSFKDE >KJB65591 pep chromosome:Graimondii2_0_v6:10:18594544:18598258:-1 gene:B456_010G102600 transcript:KJB65591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACNGAAMMGSLQQPIWVKGNRPIFPLKGYGLKLNSVKPCRAQLESSLVTGKPPSSDSFPVAETRFVDHGLSEADPEVRAIINKEKERQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQKRALAAFHLDENKWGVNVQPLSGSPANFEVYTAILNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGLVDYDMLEKTATLFRPKLIICGASAYPRDFDYPRMRKSLRGPRGGMIFFKKDPVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLQHAQSPEFKAYQNQVVSNCRALARRLVELGYTLVSGGSDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTEKEFTAIAEFIHEGVQITIEAKGLASGSKVQEFLKFVSSPDFPLTDKVSNLCSRVEALTTQFPIPGV >KJB65595 pep chromosome:Graimondii2_0_v6:10:18594544:18598432:-1 gene:B456_010G102600 transcript:KJB65595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACNGAAMMGSLQQPIWVKGNRPIFPLKGYGLKLNSVKPCRAQLESSLVTGKPPSSDSFPVAETRFVDHGLSEADPEVRAIINKEKERQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQKRALAAFHLDENKWGVNVQPLSGSPANFEVYTAILNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGLVDYDMLEKTATLFRPKLIICGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVVADPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLQHAQSPEFKAYQNQVVSNCRALARRLVELGYTLVSGGSDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTEKEFTAIAEFIHEGVQITIEAKGLASGSKVQEFLKFVSSPDFPLTDKVSNLCSRVEALTTQFPIPGV >KJB65593 pep chromosome:Graimondii2_0_v6:10:18594833:18597829:-1 gene:B456_010G102600 transcript:KJB65593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACNGAAMMGSLQQPIWVKGNRPIFPLKGYGLKLNSVKPCRAQLESSLVTGKPPSSDSFPVAETRFVDHGLSEADPEVRAIINKEKERQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQKRALAAFHLDENKWGVNVQPLSGSPANFEVYTAILNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGNSSLLLRLNWFSGWYAYLVVDLICLKFGTGLVDYDMLEKTATLFRPKLIICGASAYPRDFDYPRMRKSLRGPRGGMIFFKKDPVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLQHAQSPEFKAYQNQVVSNCRALARRLVELGYTLVSGGSDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTEKEFTAIAEFIHEGVQITIEAKGLASGSKVQEFLKFVSSPDFPLTDKVSNLCSRVEALTTQFPIPGV >KJB65594 pep chromosome:Graimondii2_0_v6:10:18594833:18597829:-1 gene:B456_010G102600 transcript:KJB65594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACNGAAMMGSLQQPIWVKGNRPIFPLKGYGLKLNSVKPCRAQLESSLVTGKPPSSDSFPVAETRFVDHGLSEADPEVRAIINKEKERQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQKRALAAFHLDENKWGVNVQPLSGSPANFEVYTAILNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGNSSLLLRLNWFSGWYAYLVVDLICLKFGTGLVDYDMLEKTATLFRPKLIICGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVVADPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLQHAQSPEFKAYQNQVVSNCRALARRLVELGYTLVSGGSDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTEKEFTAIAEFIHEGVQITIEAKGLASGSKVQEFLKFVSSPDFPLTDKVSNLCSRVEALTTQFPIPGV >KJB65592 pep chromosome:Graimondii2_0_v6:10:18594544:18598258:-1 gene:B456_010G102600 transcript:KJB65592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACNGAAMMGSLQQPIWVKGNRPIFPLKGYGLKLNSVKPCRAQLESSLVTGKPPSSDSFPVAETRFVDHGLSEADPEVRAIINKEKERQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQKRALAAFHLDENKWGVNVQPLSGSPANFEVYTAILNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGLVDYDMLEKTATLFRPKLIICGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVVADPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLQHAQSPEFKAYQNQGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTEKEFTAIAEFIHEGVQITIEAKGLASGSKVQEFLKFVSSPDFPLTDKVSNLCSRVEALTTQFPIPGV >KJB68663 pep chromosome:Graimondii2_0_v6:10:61834429:61834868:1 gene:B456_010G2520003 transcript:KJB68663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVLALDKAYPLPLLGVMLEKFPASLEPAVWWPTKQSPNSNKALTNNNGWTRELEMEMREVVEVIKRKDSEDYERLGNKALNMNKVLATSGPLLTGIAALGSAFMVSSNSPWAATVAAVAGALASAVNTFEHGGQVGMVFEMYRNNA >KJB63364 pep chromosome:Graimondii2_0_v6:10:38309624:38311375:-1 gene:B456_010G145600 transcript:KJB63364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEASKVVKLSECKIYSYNPDSDADPFFFYNRKLKRVVSLSFCCLSNLVVDGFAADYLSYEEDCEIFDNMDI >KJB64164 pep chromosome:Graimondii2_0_v6:10:3230914:3234851:1 gene:B456_010G035200 transcript:KJB64164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTSTEVLKSYIFNEPIVVDAARLQPLGPAAIFMQGTKRMPGTAITKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRGGESANDYRSSYGSGAVILDDCNFHESVRLDSFDMDRTLALVPPDGEFPVMNYRMTQEFKPPFHINCLIEEAGHLKAEVILKVRAEFPSNITANTVVLEMPLPKYTTRASFELEPGVTGQRTDFKGANKKLEWDLEKFVGGVEHTLRAKLTFSQELHANIIKEAGPVSMTFTIPMYNVSRLQVKYLQIAKKSSSYNPYRWVRYVTQANSYVARI >KJB64161 pep chromosome:Graimondii2_0_v6:10:3229863:3234851:1 gene:B456_010G035200 transcript:KJB64161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQFFVLSQRGDNIVFRDYRGEVAKGSAEIFFRKVKFWKEDGQEEAPPVFNVDGVNYFHVKVVGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGVLSEDSLRKNFVLVYELLDEVIDFGYMQTTSTEVLKSYIFNEPIVVDAARLQPLGPAAIFMQGTKRMPGTAITKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRGGESANDYRSSYGSGAVILDDCNFHESVRLDSFDMDRTLALVPPDGEFPVMNYRMTQEFKPPFHINCLIEEAGHLKAEVILKVRAEFPSNITANTVVLEMPLPKYTTRASFELEPGVTGQRTDFKGANKKLEWDLEKFVGGVEHTLRAKLTFSQELHANIIKEAGPVSMTFTIPMYNVSRLQVKYLQIAKKSSSYNPYRWVRYVTQANSYVARI >KJB64163 pep chromosome:Graimondii2_0_v6:10:3229914:3234851:1 gene:B456_010G035200 transcript:KJB64163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQFFVLSQRGDNIVFRDYRGEVAKGSAEIFFRKVKFWKEDGQEEAPPVFNVDGVNYFHVKVVGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGVLSEDSLRKNFVLVYELLDEVIDFGYMQTTSTEVLKSYIFNEPIVVDAARLQPLGPAAIFMQGTKRMPGTAITKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRGGESANDYRSSYGSGAVILDDCNFHESVRLDSFDMDRTLALVPPDGEFPVMNYRMTQEFKPPFHINCLIEEAGHLKAEVILKVRAEFPSNITANTVVLEMPLPKYTTRASFELEPGVTGQRTDFKGANKKLEWDLEKFVGGVEHTLRAKLTFSQELHGMHCPLFNFWRE >KJB64162 pep chromosome:Graimondii2_0_v6:10:3229914:3234851:1 gene:B456_010G035200 transcript:KJB64162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQFFVLSQRGDNIVFRDYRGEVAKGSAEIFFRKVKFWKEDGQEEAPPVFNVDGVNYFHVKVVGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGVLSEDSLRKNFVLVYELLDEVIDFGYMQTTSTEVLKSYIFNEPIVVDAARLQPLGPAAIFMQGTKRMPGTAITKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRGGESANDYRSSYGSGAVILDDCNFHESVRLDSFDMDRTLALVPPDGEFPVMNYRMTQEFKPPFHINCLIEEAGHLKAEVILKVRAEFPSNITANTVVLEMPLPKYTTRASFELEPGVTGQRTDFKGANKKLEWDLEKFVGGVEHTLRAKLTFSQELHGEILADSKEI >KJB67321 pep chromosome:Graimondii2_0_v6:10:53707141:53707587:-1 gene:B456_010G185800 transcript:KJB67321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED11 MDELANPTGPRKEFINNHCREFMKMIKDIQVTLRDEIKSACEYRPFEKCDYSSRISNEICCKKLEYVLFQLDAMKQTIDEYQATV >KJB67322 pep chromosome:Graimondii2_0_v6:10:53706670:53708109:-1 gene:B456_010G185800 transcript:KJB67322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED11 MDSPTQNTSLRRLQNVEKRIVRVLELAGGVMDELANPTGPRKEFINNHCREFMKMIKDIQVTLRDEIKSACEYRPFEKCDYSSRISNEICCKKLEYVLFQLDAMKQTIDEYQATV >KJB63433 pep chromosome:Graimondii2_0_v6:10:2745775:2746661:-1 gene:B456_010G031700 transcript:KJB63433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEESSSSRPSIGFPLGLALLLILLFCISAVLLCYLNWQKLRALILRSSDQDQDDIESDIGRSPDLEVSSPVMKPKGKIVGHSMPVLMPGDKVPRFMAMASPCEPPRTETITITVPKPPALSVPFYYS >KJB68395 pep chromosome:Graimondii2_0_v6:10:61184637:61189101:1 gene:B456_010G242900 transcript:KJB68395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTSRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRASYENVHKKWIPELRHYAPNVPIVLVGTKLDLRDDKQFLSDNPGAISITTSQGEELKKMIGAVTYIECSSKTQQNVKAVFDVAIKIALRPPKPKRKPIKRRSCAFL >KJB64358 pep chromosome:Graimondii2_0_v6:10:4718185:4720404:1 gene:B456_010G045000 transcript:KJB64358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDLVELKFRLADGTDIGPTKYTPDTTIASLKEKILAQWPKDKENCPKTIQDVKLINAGKILENNKTLAESTLPVGELPGGVITMHVVLRLPLSDKINEKQQDDSQKKSSCSCTIL >KJB64904 pep chromosome:Graimondii2_0_v6:10:10083250:10087153:1 gene:B456_010G071700 transcript:KJB64904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVLDLSVNFFNNTILSFLALLSNLKFLNIKSNRLEGAIHIKDSEIWKSYSCKVLGHLTSLKRLVLYKCEINGSLTLQGFCGMTNLQELDFTNSNLKGGLPNCFSNLTSLRKLDLISYNILALHSLKSLETLALSFNTSVFQ >KJB64905 pep chromosome:Graimondii2_0_v6:10:10083829:10087153:1 gene:B456_010G071700 transcript:KJB64905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVQQKQGELRAMKLEVLDLSVNFFNNTILSFLALLSNLKFLNIKSNRLEGAIHIKDSEIWKSYSCKVLGHLTSLKRLVLYKCEINGSLTLQGFCGMTNLQELDFTNSNLKGGLPNCFSNLTSLRKLDLISYNILALHSLKSLETLALSFNTSVFQ >KJB63724 pep chromosome:Graimondii2_0_v6:10:964984:966492:1 gene:B456_010G012500 transcript:KJB63724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEFGESASHSPQNPSCSSNNGNGDAGNFECNICFELAQDPIVTLCGHLFCWPCLYKWLHIHSRSRECPICKALIEEEQLVPLYGRGKSSTDPRSKSIPGVNIPNRPAGQRPETAPPPEPNQFPQNGFGFMGGLGGFAPMATARFGNFTLSAAFGGLIPSLFNLQVHGFPDAAMFGHAAGFPYGFSNLYHGGHAHGYHHHHHHHHHRQRTAQGQQDHYLKMLFLFIIVCVIFAMIYQ >KJB63723 pep chromosome:Graimondii2_0_v6:10:964815:966492:1 gene:B456_010G012500 transcript:KJB63723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEFGESASHSPQNPSCSSNNGNGDAGNFECNICFELAQDPIVTLCGHLFCWPCLYKWLHIHSRSRECPICKALIEEEQLVPLYGRGKSSTDPRSKSIPGVNIPNRPAGQRPETAPPPEPNQFPQNGFGFMGGLGGFAPMATARFGNFTLSAAFGGLIPSLFNLQVHGFPDAAMFGHAAGFPYGFSNLYHGGHAHGYHHHHHHHHHRQRTAQGQQDHYLKMLFLFIIVCVIFAMIYQ >KJB64621 pep chromosome:Graimondii2_0_v6:10:6691019:6691709:1 gene:B456_010G057800 transcript:KJB64621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTVGSSPTCLKRGQFFLCRFIPLSDLLFLTENFAVGPKQIQEAEFLVHEPMHDGTVGQKIEQVPGVTNSGELAPAPAKAPVLPCFPKGDGTARCLTKSLHQVPHLGTPG >KJB64622 pep chromosome:Graimondii2_0_v6:10:6690990:6691470:1 gene:B456_010G057800 transcript:KJB64622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTVGSSPTCLKRGQFFLCRFIPLSDLLFLTENFAVGPKQIQEAEFLVHEPMHDGTVGQKIEQVPGVTNSGELAPAPAKAPVLPCFPKGDGTARCLTKSLHQVPHLGTPG >KJB66516 pep chromosome:Graimondii2_0_v6:10:35347931:35355225:1 gene:B456_010G142300 transcript:KJB66516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFARGRSQPRSTRSMPLSGMEYPDPKRKSNFVGKILVAATLTALCIIMLKQSPNFNTRSRFSEHEEGVIHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNMGAVKVLQKLFPEPGQLQFVYADLGDLKAVNKIFSENAFDAVMHFAAVAYVGESTVDPLKYYHNITSNTLVILESMAAHDVRTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDYSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGVIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALKKAKPGEVGIYNVGTGRGRSVKEFVEACKKATGVEIKVDYLARRPGDYAEVFSDPTKIRHELNWTAQFTDLQESLQIAWRWQKAHRDGYAAAS >KJB66519 pep chromosome:Graimondii2_0_v6:10:35349578:35355225:1 gene:B456_010G142300 transcript:KJB66519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLPAAGLVSSNCSLDGGFVPCPSNVFSEHEEGVIHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNMGAVKVLQKLFPEPGQLQFVYADLGDLKAVNKIFSENAFDAVMHFAAVAYVGESTVDPLKYYHNITSNTLVILESMAAHDVRTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDYSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGVIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALKKAKPGEVGIYNVGTGRGRSVKEFVEACKKATGVEIKVDYLARRPGDYAEVFSDPTKIRHELNWTAQFTDLQESLQIAWRWQKAHRDGYAAAS >KJB66517 pep chromosome:Graimondii2_0_v6:10:35347682:35355313:1 gene:B456_010G142300 transcript:KJB66517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFARGRSQPRSTRSMPLSGMEYPDPKRKSNFVGKILVAATLTALCIIMLKQSPNFNTRSRFSEHEEGVIHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNMGAVKVLQKLFPEPGQLQFVYADLGDLKAVNKIFSENAFDAVMHFAAVAYVGESTVDPLKYYHNITSNTLVILESMAAHDVRTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDYSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGVIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALKKAKPGEVGIYNVGTGRGRSVKEFVEACKKATGVEIKVDYLARRPGDYAEVFSDPTKIRHELNWTAQFTDLQESLQIAWRWQKAHRDGYAAAS >KJB66514 pep chromosome:Graimondii2_0_v6:10:35347904:35355313:1 gene:B456_010G142300 transcript:KJB66514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFARGRSQPRSTRSMPLSGMEYPDPKRKSNFVGKILVAATLTALCIIMLKQSPNFNTRSRFSEHEEGVIHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNMGAVKVLQKLFPEPGQLQFVYADLGDLKAVNKIFSENAFDAVMHFAAVAYVGESTVDPLKYYHNITSNTLVILESMAAHDVRTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDYSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGVIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALKKAKPGEVGIYNVGTGRGRSVKEFVEACKKATGVEIKVDYLARRPGDYAEVFSDPTKIRHELNWTAQFTDLQESLQIAWRWQKAHRDGYAAAS >KJB66518 pep chromosome:Graimondii2_0_v6:10:35347944:35355225:1 gene:B456_010G142300 transcript:KJB66518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFARGRSQPRSTRSMPLSGMEYPDPKRKSNFVGKILVAATLTALCIIMLKQSPNFNTRSRFSEHEEGVIHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNMGAVKVLQKLFPEPGQLQFVYADLGDLKAVNKIFSENAFDAVMHFAAVAYVGESTVDPLKYYHNITSNTLVILESMAAHDVRTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDYSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGVIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALKKAKPGEVGIYNVGTGRGRSVKEFVEACKKATGVEIKVDYLARRPGDYAEVFSDPTKIRHELNWTAQFTDLQESLQIAWRWQKAHRDGYAAAS >KJB66515 pep chromosome:Graimondii2_0_v6:10:35347755:35355225:1 gene:B456_010G142300 transcript:KJB66515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFARGRSQPRSTRSMPLSGKILVAATLTALCIIMLKQSPNFNTRSRFSEHEEGVIHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNMGAVKVLQKLFPEPGQLQFVYADLGDLKAVNKIFSENAFDAVMHFAAVAYVGESTVDPLKYYHNITSNTLVILESMAAHDVRTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDYSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGVIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALKKAKPGEVGIYNVGTGRGRSVKEFVEACKKATGVEIKVDYLARRPGDYAEVFSDPTKIRHELNWTAQFTDLQESLQIAWRWQKAHRDGYAAAS >KJB66444 pep chromosome:Graimondii2_0_v6:10:33822070:33824510:1 gene:B456_010G140300 transcript:KJB66444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQLGVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFSTSLITKLLGRIYYFDALFKKPELCLLVLQLLLMVWPFVEL >KJB64630 pep chromosome:Graimondii2_0_v6:10:6889837:6892018:-1 gene:B456_010G058500 transcript:KJB64630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGPPSPLVENYLREAGFWHVATVGRGCKLEPKLISALIERWRPETHTFHLPCGKCTITLEDVHLHLGLPVDGHPVSGSAQSSDWEAVCYELLGAVPDKMDGGKVEMGWLRATFPNLNENSTEIERIRYARSYNLQVIGGYLMADTSRSRVHLRWLLKLVDFRAAGELSWGPAVLATLYREMCGATKPRRAKIRGCLSLFQTWAWFRFPFLRPRVNHPYTFPLVTRWNHPASYRGLPSELEDIRLLLEQRSEAEFQWIPYEDPAVRAVIPEEFLQNPNAWHVKVVLINYATVEPHQTNRVLRQFGCRQPIPANPEVFDEHHKIDLRLLGTDWTRYWLEYTEMWENRHEYLPTREQIIVPELACVPEYMPWFRIHGKPYLLMPEERQRQIRVGREMREPLNLRGQDYEGSPSTRPRHLPGSSSAAMQSPSPTRASTQSPDTAIQQMVPTHSPFRMMPGMFPSPYMYPNPYMYPFPNPMPGWSQMPGSAPFPVMPSGPPITRPATQEGSQGGPSGSSPFYQSLATHGFQVSSPFMMQTPPHTLFFEGGSSSQVRQPDAEPEEQQSPPEEEQQPSEVRGRRNPARNRRRPLCGTESPSHRH >KJB67475 pep chromosome:Graimondii2_0_v6:10:54921528:54923658:-1 gene:B456_010G192400 transcript:KJB67475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIQAISFVIFSCICLTNANANGNSFQRKKSSFERKKQEMNCNMYQGKWVYDDSYPLYNSTDCPFIRKEFDCLKYGRPDHLYLKYRWQPTNCHLPRFNGEYFLKRFKGKKIMFIGDSLSLNIWQSLICMLHAAVPNSRIIKQGLNNNTISAVTFQDYKISVMLFHSLYLVDVDEERIGRVLKLNSMRNGDHWKNNDVLVFNTWLWWYRRGLKQQWDYVNDEGRITKDIDRMTAFRTALTTWAKWVDSDVDTNRTKVIFQGISPSHYNGTEWNEPGVRNCFKEMEPFNGSSAYPVGLPEAAYVVKDVISNIKKPVHLLDITALSQLRKDAHPSSYNAFKGMDCTHWCVAGLTDTWNQLLYAALLI >KJB65514 pep chromosome:Graimondii2_0_v6:10:17146619:17149615:-1 gene:B456_010G098500 transcript:KJB65514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAPDGSQYDARQYDSKMNELLADDGNDFFTSYDEVYESFDKMGLQENLLRGIYAYGFEKPSAIQQKGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILAAGVHVVVGTPGRVFDMLRRQSLRADYIKMFVLDEADEMLSRGFKDQIYDIFQQLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDQMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRGGRFGRKGVAINFTTRDDERMLADIQRFYNVVIEELPANVADLI >KJB65515 pep chromosome:Graimondii2_0_v6:10:17146843:17148472:-1 gene:B456_010G098500 transcript:KJB65515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQIDLIILNIGFEKPSAIQQKGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILAAGVHVVVGTPGRVFDMLRRQSLRADYIKMFVLDEADEMLSRGFKDQIYDIFQQLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDQMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRGGRFGRKGVAINFTTRDDERMLADIQRFYNVVIEELPANVADLI >KJB65513 pep chromosome:Graimondii2_0_v6:10:17146600:17149845:-1 gene:B456_010G098500 transcript:KJB65513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAPDGSQYDARQYDSKMNELLADDGNDFFTSYDEVYESFDKMGLQENLLRGIYAYGFEKPSAIQQKGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILAAGVHVVVGTPGRVFDMLRRQSLRADYIKMFVLDEADEMLSRGFKDQIYDIFQQLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDQMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRGGRFGRKGVAINFTTRDDERMLADIQRFYNVVIEELPANVADLI >KJB65517 pep chromosome:Graimondii2_0_v6:10:17146620:17149337:-1 gene:B456_010G098500 transcript:KJB65517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAPDGSQYDARQYDSKMNELLADDGNDFFTSYDEVYESFDKMGLQENLLRGIYAYGFEKPSAIQQKGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILAAGVHVVVGTPGRVFDMLRRQSLRADYIKMFVLDEADEMLSRGFKDQIYDIFQQLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDQMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRGGRFGRKGVAINFTTRDDERMLADIQRFYNVVIEELPANVADLI >KJB65516 pep chromosome:Graimondii2_0_v6:10:17146620:17149552:-1 gene:B456_010G098500 transcript:KJB65516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAPDGSQYDARQYDSKMNELLADDGNDFFTSYDEVYESFDKMGLQENLLRGIYAYGFEKPSAIQQKGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILAAGVHVVVGTPGRVFDMLRRQSLRADYIKMFVLDEADEMLSRGFKDQIYDIFQQLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDQMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRGGRFGRKGVAINFTTRDDERMLADIQRFYNVVIEELPANVADLI >KJB67001 pep chromosome:Graimondii2_0_v6:10:49245805:49247260:-1 gene:B456_010G169600 transcript:KJB67001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVEDYSGDESDDEREKKEILQRMECFNRLFVVASSSVQLYYGKYILRQPCMDSKQSGETWIREILDGHKSRCMINFRMSKMKLAVCDFNMCFTFFMAGWERSVHDTRIFLDAIRDPKYKVPHPPNGKYYLIDSGYPQMKGYLGPYRGQRYHLPDFRRENGHGQESDDDDDDDDDGDDDGESNNSSGFEMELTRDAIASSLMNSL >KJB66929 pep chromosome:Graimondii2_0_v6:10:48186597:48187996:1 gene:B456_010G166600 transcript:KJB66929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSQPPALEHCSLIKTCKPTCVFTGIPVIDLRDPEAKTLIVKACEEYGFFKLINHGVPMEFMTRLEAEALSFFNLPQSDKDKATPFGYGSNKIGPNGDVGWIEYLLVNTNPQLTSLKTLSENFCYAVNDYILAVKRLAFEVVEIMADGLEIEPRNVLSRLLRDEKSDSCFRLNHYPPCPQLQALSGRNLIGFGEHTDPQIISILRSNNTSGLQICLRDRTWVSVPPDQTSFFISVGDALQVMSNGRLRSVRHRVLTDSMKSRVSMIYFGGPPMSEKIVALPCLMAKGEESLYKEFTWWEYKTCAYNSRLGANRLGLFEKTANASL >KJB68203 pep chromosome:Graimondii2_0_v6:10:60305802:60307991:-1 gene:B456_010G231700 transcript:KJB68203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVGADGSKLALKSGSKTTFGRGSGFNTDDRTVSRRHVELELETLVDENGETRTEEPSVSFEVTGLNPVWVRRGTNGEIKVFNSSDKGRLENGDWICVSGRVPVWFVLKKTEENGKEERDLGSESGAESVDIEDIDPVKEFGFLVIGHEFDRYPNQRIRNIKNWEWFLEEHGNGSDDEDDVERKRGRSKGKKRKKGDNDEDDDWTGESEGEIEEVATKGRKARGAVYSTRSKDRDKSKKNGGKISSTSKKTVTAREESGVDDDDEDDETLGDFIVEDDDAELEEESESDEEEEEDFDDEDEDNND >KJB67053 pep chromosome:Graimondii2_0_v6:10:50081857:50085088:-1 gene:B456_010G171900 transcript:KJB67053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRNPSVRSCFPAGFSNSSLDDNGQASRNSSYGTSPATATPSWSQPNSSSRACRTDDNKPLTCAQMPTSGADLVINNPSKLPLPEYASALRVSYQTHATYHHPNNKDVNVSSATPVQVVDKFLPDPSLAIPRPSVLPNALPRVGSNRTSTRLTLYAPLHQAAMKGDWGKAKEFLNIHPGAANVRITNRLETALHIAVRAGHIGFVEEVVKLMSVSDLERRDKSSNTALFVAAASGITRIAEVMVKKNKLLPGLRGNKGVTPLCIAAQKGHRDMVWYLYNVTAAEYLNQEDYIGLLIATITTDLFDVALCLIQHNPELAILRDSNGETALHVLARKPTAFSSKNELGIWEMFIYPWIYVEPVTKCSYPSGMSKPCHCDTNQAFIGLAGRLWHAIQKTIPGHKAVCRKKLLHMQAIVLVKLLWDQILSLEDAQITDILRIPSQVLFVAAEFGVVDLITELFQSYPDLIWRVDEHGRSIFHMAVIHRQEKIFRLIHDIGAHKDMIAAYRDKNNHCILHLAGKIATPNRLNIVSGAALQMQRELLWFKEVEKNVQPLYKEMRDSNGRTPRMLFMEEHAKLMKEGEKWMKSTASSCMLVATLITTVMFAAIFTVPGGNDNEKGTPIFLEATSFVIFAVSDALALFSSVTTILMFLSILTSRYAEEDFLRLLPQRLIVGLTTLFLSIAAMLVAFGATFCIVLSQRLAWIAVPVAFIACIPVTLFAFLQFPLLLDIIQSSYGVGIFV >KJB67052 pep chromosome:Graimondii2_0_v6:10:50081857:50084519:-1 gene:B456_010G171900 transcript:KJB67052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDWGKAKEFLNIHPGAANVRITNRLETALHIAVRAGHIGFVEEVVKLMSVSDLERRDKSSNTALFVAAASGITRIAEVMVKKNKLLPGLRGNKGVTPLCIAAQKGHRDMVWYLYNVTAAEYLNQEDYIGLLIATITTDLFDVALCLIQHNPELAILRDSNGETALHVLARKPTAFSSKNELGIWEMFIYPWIYVEPVTKCSYPSGMSKPCHCDTNQAFIGLAGRLWHAIQKTIPGHKAVCRKKLLHMQAIVLVKLLWDQILSLEDAQITDILRIPSQVLFVAAEFGVVDLITELFQSYPDLIWRVDEHGRSIFHMAVIHRQEKIFRLIHDIGAHKDMIAAYRDKNNHCILHLAGKIATPNRLNIVSGAALQMQRELLWFKEVEKNVQPLYKEMRDSNGRTPRMLFMEEHAKLMKEGEKWMKSTASSCMLVATLITTVMFAAIFTVPGGNDNEKGTPIFLEATSFVIFAVSDALALFSSVTTILMFLSILTSRYAEEDFLRLLPQRLIVGLTTLFLSIAAMLVAFGATFCIVLSQRLAWIAVPVAFIACIPVTLFAFLQFPLLLDIIQSSYGVGIFV >KJB67054 pep chromosome:Graimondii2_0_v6:10:50081740:50085111:-1 gene:B456_010G171900 transcript:KJB67054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRNPSVRSCFPAGFSNSSLDDNGQASRNSSYGTSPATATPSWSQPNSSSRACRTDDNKPLTCAQMPTSGADLVINNPSKLPLPEYASALRVSYQTHATYHHPNNKDVNVSSATPVQVVDKFLPDPSLAIPRPSVLPNALPRVGSNRTSTRLTLYAPLHQAAMKGDWGKAKEFLNIHPGAANVRITNRLETALHIAVRAGHIGFVEEVVKLMSVSDLERRDKSSNTALFVAAASGITRIAEVMVKKNKLLPGLRGNKGVTPLCIAAQKGHRDMVWYLYNVTAAEYLNQEDYIGLLIATITTDLFALCLIQHNPELAILRDSNGETALHVLARKPTAFSSKNELGIWEMFIYPWIYVEPVTKCSYPSGMSKPCHCDTNQAFIGLAGRLWHAIQKTIPGHKAVCRKKLLHMQAIVLVKLLWDQILSLEDAQITDILRIPSQVLFVAAEFGVVDLITELFQSYPDLIWRVDEHGRSIFHMAVIHRQEKIFRLIHDIGAHKDMIAAYRDKNNHCILHLAGKIATPNRLNIVSGAALQMQRELLWFKEVEKNVQPLYKEMRDSNGRTPRMLFMEEHAKLMKEGEKWMKSTASSCMLVATLITTVMFAAIFTVPGGNDNEKGTPIFLEATSFVIFAVSDALALFSSVTTILMFLSILTSRYAEEDFLRLLPQRLIVGLTTLFLSIAAMLVAFGATFCIVLSQRLAWIAVPVAFIACIPVTLFAFLQFPLLLDIIQSSYGVGIFV >KJB67234 pep chromosome:Graimondii2_0_v6:10:52908913:52914029:-1 gene:B456_010G181600 transcript:KJB67234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAVSRRRVSSSSVLGQSFRNARPSRCYSSSSNEAYAKTLVSRKGTSQVAGIVGSLGFPVGSRPLRDVILFVQRYPPIFVHTRSFSADSGELVDAVVPFMGESITDGTLASFLKHPGDRVEVDEPIAQIETDKVTIDVSSPEAGVIEQLVAKVGDTVEPGTKIAVISKSGGVTHVAPSEDKPSKEASEPCPAKAKKVETKKPKAETPPSKEKPTAPSLPPPKPSAKEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTSLMKLRSDYKDAFFKKHGVKLGLMSGFVKAAVSALQNQPIVNAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNADRMNFAEIEKGINILAKKANDGSLSIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVSGEVVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >KJB67233 pep chromosome:Graimondii2_0_v6:10:52910599:52913507:-1 gene:B456_010G181600 transcript:KJB67233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAVSRRRVSSSSVLGQSFRNARPSRCYSSSSNEAYAKTLVSRKGTSQVAGIVGSLGFPVGSRPLRDVILFVQRYPPIFVHTRSFSADSGELVDAVVPFMGESITDGTLASFLKHPGDRVEVDEPIAQIETDKVTIDVSSPEAGVIEQLVAKVGDTVEPGTKIAVISKSGGVTHVAPSEDKPSKEASEPCPAKAKKVETKKPKAETPPSKEKPTAPSLPPPKPSAKEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTSLMKLRSDYKDAFFKKHGVKLGLMSGFVKAAVSALQNQPIVNAVIDGDDIIYRDYIDISIAVGTPKVCQFLSLYVK >KJB67235 pep chromosome:Graimondii2_0_v6:10:52908981:52912383:-1 gene:B456_010G181600 transcript:KJB67235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHVKALIHLIFCDLGADPGDRVEVDEPIAQIETDKVTIDVSSPEAGVIEQLVAKVGDTVEPGTKIAVISKSGGVTHVAPSEDKPSKEASEPCPAKAKKVETKKPKAETPPSKEKPTAPSLPPPKPSAKEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTSLMKLRSDYKDAFFKKHGVKLGLMSGFVKAAVSALQNQPIVNAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNADRMNFAEIEKGINILAKKANDGSLSIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVSGEVVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >KJB64936 pep chromosome:Graimondii2_0_v6:10:10946280:10947697:-1 gene:B456_010G076300 transcript:KJB64936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKQSGPEPPIKVSTINCIDLSNPDIGESVALLKQACLDCGFFYVVNHGISQEFMAEVFAQSKKFFYLPLNEKLKVLRNEKHRGYTPMFDEVLDPDNQVHGDYKEGYYIGVDVPENDPESEKPFNGPNVWPADGVLPGWRQTMEKFHHEALEVGKAVSRIIALALDLEVDFFDKPEVLGKPIATLRLLHYEGINFLIPQKEYMELEPILTSDLLHFWQPMMLWVYKFARIKMQNLRYGSM >KJB64931 pep chromosome:Graimondii2_0_v6:10:10946585:10947697:-1 gene:B456_010G076300 transcript:KJB64931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKQSGPEPPIKVSTINCIDLSNPDIGESVALLKQACLDCGFFYVVNHGISQEFMAEVFAQSKKFFYLPLNEKLKVLRNEKHRGYTPMFDEVLDPDNQVHGDYKEGYYIGVDVPENDPESEKPFNGPNVWPADGVLPGWRQTMEKFHHEALEVGKAVSRIIALALDLEVDFFDKPEVLGKPIATLRLLHYEGVRS >KJB64938 pep chromosome:Graimondii2_0_v6:10:10944454:10947697:-1 gene:B456_010G076300 transcript:KJB64938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKQSGPEPPIKVSTINCIDLSNPDIGESVALLKQACLDCGFFYVVNHGISQEFMAEVFAQSKKFFYLPLNEKLKVLRNEKHRGYTPMFDEVLDPDNQVHAGDYKEGYYIGVDVPENDPESEKPFNGPNVWPADGVLPGWRQTMEKFHHEALEVGKAVSRIIALALDLEVDFFDKPEVLGKPIATLRLLHYEDQFSDPAKGIYGAGAHSDFGFITLLATDDVMGLQICKDKDAKPQIWEYVTPIKGAFIVNLGDMLERWSNCPPCTEFWGMVKTDILLHTLWNLTMTVWLNVCLLAEQKNVLPSFPQSGAQHI >KJB64937 pep chromosome:Graimondii2_0_v6:10:10944195:10947753:-1 gene:B456_010G076300 transcript:KJB64937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKQSGPEPPIKVSTINCIDLSNPDIGESVALLKQACLDCGFFYVVNHGISQEFMAEVFAQSKKFFYLPLNEKLKVLRNEKHRGYTPMFDEVLDPDNQVHAGDYKEGYYIGVDVPENDPESEKPFNGPNVWPADGVLPGWRQTMEKFHHEALEVGKAVSRIIALALDLEVDFFDKPEVLGKPIATLRLLHYEDQFSDPAKGIYGAGAHSDFGFITLLATDDVMGLQICKDKDAKPQIWEYVTPIKGAFIVNLGDMLERWSNCMFKSTLHRVLGNGQDRYSIAYFVEPNHDSLVECLPTCRTEKCPPKFPPIRCSTYLSQRYKETHADLSVYDKHQA >KJB64930 pep chromosome:Graimondii2_0_v6:10:10946585:10947697:-1 gene:B456_010G076300 transcript:KJB64930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKQSGPEPPIKVSTINCIDLSNPDIGESVALLKQACLDCGFFYVVNHGISQEFMAEVFAQSKKFFYLPLNEKLKVLRNEKHRGYTPMFDEVLDPDNQVHGDYKEGYYIGVDVPENDPESEKPFNGPNVWPADGVLPGWRQTMEKFHHEALEVGKAVSRIIALALDLEVDFFDKPEVLGKPIATLRLLHYEGVRS >KJB64928 pep chromosome:Graimondii2_0_v6:10:10944395:10947271:-1 gene:B456_010G076300 transcript:KJB64928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIEATLPCLMRFLTLTIKCMVSNSFSLAFVFLYNCIICSIFHIHHWCNSLCATIVSSFFSPVGVAGDYKEGYYIGVDVPENDPESEKPFNGPNVWPADGVLPGWRQTMEKFHHEALEVGKAVSRIIALALDLEVDFFDKPEVLGKPIATLRLLHYEDQFSDPAKGIYGAGAHSDFGFITLLATDDVMGLQICKDKDAKPQIWEYVTPIKGAFIVNLGDMLERWSNCMFKSTLHRVLGNGQDRYSIAYFVEPNHDSLVECLPTCRTEKCPPKFPPIRCSTYLSQRYKETHADLSVYDKHQA >KJB64932 pep chromosome:Graimondii2_0_v6:10:10944195:10947753:-1 gene:B456_010G076300 transcript:KJB64932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKQSGPEPPIKVSTINCIDLSNPDIGESVALLKQACLDCGFFYVVNHGISQEFMAEVFAQSKKFFYLPLNEKLKVLRNEKHRGYTPMFDEVLDPDNQVHGDYKEGYYIGVDVPENDPESEKPFNGPNVWPADGVLPGWRQTMEKFHHEALEVGKAVSRIIALALDLEVDFFDKPEVLGKPIATLRLLHYEGINFLIPQKEYMELEPILTSDLLHFWQPMMLWVYKFARIKMQNLRYGSM >KJB64935 pep chromosome:Graimondii2_0_v6:10:10946280:10947697:-1 gene:B456_010G076300 transcript:KJB64935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKQSGPEPPIKVSTINCIDLSNPDIGESVALLKQACLDCGFFYVVNHGISQEFMAEVFAQSKKFFYLPLNEKLKVLRNEKHRGYTPMFDEVLDPDNQVHGDYKEGYYIGVDVPENDPESEKPFNGPNVWPADGVLPGWRQTMEKFHHEALEVGKAVSRIIALALDLEVDFFDKPEVLGKPIATLRLLHYEGINFLIPQKEYMELEPILTSDLLHFWQPMMLWVYKFARIKMQNLRYGSM >KJB64929 pep chromosome:Graimondii2_0_v6:10:10945917:10947697:-1 gene:B456_010G076300 transcript:KJB64929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKQSGPEPPIKVSTINCIDLSNPDIGESVALLKQACLDCGFFYVVNHGISQEFMAEVFAQSKKFFYLPLNEKLKVLRNEKHRGYTPMFDEVLDPDNQVHGDYKEGYYIGVDVPENDPESEKPFNGPNVWPADGVLPGWRQTMEKFHHEALEVGKAVSRIIALALDLEVDFFDKPEVLGKPIATLRLLHYEDQFSDPAKGIYGAGAHSDFGFITLLATDDVMGLQICKDKDAKPQIWEYVTPIKGAFIVNLGDMLERWSNCMFK >KJB64933 pep chromosome:Graimondii2_0_v6:10:10946585:10947697:-1 gene:B456_010G076300 transcript:KJB64933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKQSGPEPPIKVSTINCIDLSNPDIGESVALLKQACLDCGFFYVVNHGISQEFMAEVFAQSKKFFYLPLNEKLKVLRNEKHRGYTPMFDEVLDPDNQVHGDYKEGYYIGVDVPENDPESEKPFNGPNVWPADGVLPGWRQTMEKFHHEALEVGKAVSRIIALALDLEVDFFDKPEVLGKPIATLRLLHYEGVRS >KJB64934 pep chromosome:Graimondii2_0_v6:10:10944195:10947753:-1 gene:B456_010G076300 transcript:KJB64934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKQSGPEPPIKVSTINCIDLSNPDIGESVALLKQACLDCGFFYVVNHGISQEFMAEVFAQSKKFFYLPLNEKLKVLRNEKHRGYTPMFDEVLDPDNQVHGDYKEGYYIGVDVPENDPESEKPFNGPNVWPADGVLPGWRQTMEKFHHEALEVGKAVSRIIALALDLEVDFFDKPEVLGKPIATLRLLHYEDQFSDPAKGIYGAGAHSDFGFITLLATDDVMGLQICKDKDAKPQIWEYVTPIKGAFIVNLGDMLERWSNCMFKSTLHRVLGNGQDRYSVRPFFFNNPRKILLISVQGIEIYIIMSYISIKEKIMH >KJB64927 pep chromosome:Graimondii2_0_v6:10:10944195:10947753:-1 gene:B456_010G076300 transcript:KJB64927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKQSGPEPPIKVSTINCIDLSNPDIGESVALLKQACLDCGFFYVVNHGISQEFMAEVFAQSKKFFYLPLNEKLKVLRNEKHRGYTPMFDEVLDPDNQVHGDYKEGYYIGVDVPENDPESEKPFNGPNVWPADGVLPGWRQTMEKFHHEALEVGKAVSRIIALALDLEVDFFDKPEVLGKPIATLRLLHYEDQFSDPAKGIYGAGAHSDFGFITLLATDDVMGLQICKDKDAKPQIWEYVTPIKGAFIVNLGDMLERWSN >KJB64939 pep chromosome:Graimondii2_0_v6:10:10944195:10947761:-1 gene:B456_010G076300 transcript:KJB64939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKQSGPEPPIKVSTINCIDLSNPDIGESVALLKQACLDCGFFYVVNHGISQEFMAEVFAQSKKFFYLPLNEKLKVLRNEKHRGYTPMFDEVLDPDNQVHGDYKEGYYIGVDVPENDPESEKPFNGPNVWPADGVLPGWRQTMEKFHHEALEVGKAVSRIIALALDLEVDFFDKPEVLGKPIATLRLLHYEDQFSDPAKGIYGAGAHSDFGFITLLATDDVMGLQICKDKDAKPQIWEYVTPIKGAFIVNLGDMLERWSNCPPCTEFWGMVKTDILLHTLWNLTMTVWLNVCLLAEQKNVLPSFPQSGAQHI >KJB64926 pep chromosome:Graimondii2_0_v6:10:10944174:10947912:-1 gene:B456_010G076300 transcript:KJB64926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKQSGPEPPIKVSTINCIDLSNPDIGESVALLKQACLDCGFFYVVNHGISQEFMAEVFAQSKKFFYLPLNEKLKVLRNEKHRGYTPMFDEVLDPDNQVHGDYKEGYYIGVDVPENDPESEKPFNGPNVWPADGVLPGWRQTMEKFHHEALEVGKAVSRIIALALDLEVDFFDKPEVLGKPIATLRLLHYEDQFSDPAKGIYGAGAHSDFGFITLLATDDVMGLQICKDKDAKPQIWEYVTPIKGAFIVNLGDMLERWSNCMFKSTLHRVLGNGQDRYSIAYFVEPNHDSLVECLPTCRTEKCPPKFPPIRCSTYLSQRYKETHADLSVYDKHQA >KJB67278 pep chromosome:Graimondii2_0_v6:10:53281268:53287438:1 gene:B456_010G183400 transcript:KJB67278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSNRSFSLSMTRLLLNELVVLGLIFASTPAQCVTDSSDVQALQVMYTSLNSPSKLTNWKTNGGDPCGESWEGVTCEGSAVVSLDISGLGLSGTMGYLLSDLMSLKTLDLSNNNLHDTIPYQLPPNVTSVNLAGNNFSGNLPYSISAMITLTYLNVSHNMLSLSVGDYFANLARLGTLDLSFNNFSGDLPLSFSSLSNLSTLYMQNNQLTGSLNVLSGLSLTTLNVAKNHFNGSIPQELFSIPTFIYDGNSFTNEPSPPGRSHNKHNSGSGGHTSPGSDGQSSDSDNGLSAGIIVGIVLGSLLLLLVAVLAFVFCIRKNKRKVSGARASRANLSVSTGNVHTETQEQRVKNVAAVVDLKPLQAEPVMVERMSKNGSLNRMKSPITATSYTVASLQTATNSFSQEYLIGEGSLGRVYKAEFPNGKTMAIKKIDNAALSLQEEDNFLEAISSMSRLRHPNIVTLAGYCAEHGQRLLVYEYIGNGSLHDMLHFSDDGSKMLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALAKMVDPALNGMYPVKSLSRFADIIALCVQVKEFTKALDKLD >KJB67281 pep chromosome:Graimondii2_0_v6:10:53281568:53285304:1 gene:B456_010G183400 transcript:KJB67281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSNRSFSLSMTRLLLNELVVLGLIFASTPAQCVTDSSDVQALQVMYTSLNSPSKLTNWKTNGGDPCGESWEGVTCEGSAVVSLDISGLGLSGTMGYLLSDLMSLKTLDLSNNNLHDTIPYQLPPNVTSVNLAGNNFSGNLPYSISAMITLTYLNVSHNMLSLSVGDYFANLARLGTLDLSFNNFSGDLPLSFSSLSNLSTLYMQNNQLTGSLNVLSGLSLTTLNVAKNHFNGSIPQELFSIPTFIYDGNSFTNEPSPPGRSHNKHNSGSGGHTSPGSDGQSSDSDNGLSAGIIVGIVLGSLLLLLVAVLAFVFCIRKNKRKVSGARASRANLSVSTGNVHTETQEQRVKNVAAVVDLKPLQAEPVMVERMSKNGSLNRMKSPITATSYTVASLQTATNSFSQEYLIGEGSLGRVYKAEFPNGKTMAIKKIDNAALSLQEEDNFLEAISSMSRLRHPNIVTLAGYCAEHGQRLLVYEYIGNGSLHDMLHFSDDGSKMLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVKSFFQQ >KJB67276 pep chromosome:Graimondii2_0_v6:10:53281059:53287438:1 gene:B456_010G183400 transcript:KJB67276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSNRSFSLSMTRLLLNELVVLGLIFASTPAQCVTDSSDVQALQVMYTSLNSPSKLTNWKTNGGDPCGESWEGVTCEGSAVVSLDISGLGLSGTMGYLLSDLMSLKTLDLSNNNLHDTIPYQLPPNVTSVNLAGNNFSGNLPYSISAMITLTYLNVSHNMLSLSVGDYFANLARLGTLDLSFNNFSGDLPLSFSSLSNLSTLYMQNNQLTGSLNVLSGLSLTTLNVAKNHFNGSIPQELFSIPTFIYDGNSFTNEPSPPGRSHNKHNSGSGGHTSPGSDGQSSDSDNGLSAGIIVGIVLGSLLLLLVAVLAFVFCIRKNKRKVSGARASRANLSVSTGNVHTETQEQRVKNVAAVVDLKPLQAEPVMVERMSKNGSLNRMKSPITATSYTVASLQTATNSFSQEYLIGEGSLGRVYKAEFPNGKTMAIKKIDNAALSLQEEDNFLEAISSMSRLRHPNIVTLAGYCAEHGQRLLVYEYIGNGSLHDMLHFSDDGSKMLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALAKMVDPALNGMYPVKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRRSSDESGFSYRTPDHEIIDMSF >KJB67277 pep chromosome:Graimondii2_0_v6:10:53281268:53287438:1 gene:B456_010G183400 transcript:KJB67277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSNRSFSLSMTRLLLNELVVLGLIFASTPAQCVTDSSDVQALQVMYTSLNSPSKLTNWKTNGGDPCGESWEGVTCEGSAVVSLDISGLGLSGTMGYLLSDLMSLKTLDLSNNNLHDTIPYQLPPNVTSVNLAGNNFSGNLPYSISAMITLTYLNVSHNMLSLSVGDYFANLARLGTLDLSFNNFSGDLPLSFSSLSNLSTLYMQNNQLTGSLNVLSGLSLTTLNVAKNHFNGSIPQELFSIPTFIYDGNSFTNEPSPPGRSHNKHNSGSGGHTSPGSDGQSSDSDNGLSAGIIVGIVLGSLLLLLVAVLAFVFCIRKNKRKVSGARASRANLSVSTGNVHTETQEQRVKNVAAVVDLKPLQAEPVMVERMSKNGSLNRMKSPITATSYTVASLQTATNSFSQEYLIGEGSLGRVYKAEFPNGKTMAIKKIDNAALSLQEEDNFLEAISSMSRLRHPNIVTLAGYCAEHGQRLLVYEYIGNGSLHDMLHFSDDGSKMLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVKSFFQQ >KJB67280 pep chromosome:Graimondii2_0_v6:10:53281268:53287438:1 gene:B456_010G183400 transcript:KJB67280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSNRSFSLSMTRLLLNELVVLGLIFASTPAQCVTDSSDVQALQVMYTSLNSPSKLTNWKTNGGDPCGESWEGVTCEGSAVVSLDISGLGLSGTMGYLLSDLMSLKTLDLSNNNLHDTIPYQLPPNVTSVNLAGNNFSGNLPYSISAMITLTYLNVSHNMLSLSVGDYFANLARLGTLDLSFNNFSGDLPLSFSSLSNLSTLYMQNNQLTGSLNVLSGLSLTTLNVAKNHFNGSIPQELFSIPTFIYDGNSFTNEPSPPGRSHNKHNSGSGGHTSPGSDGQSSDSDNGLSAGIIVGIVLGSLLLLLVAVLAFVFCIRKNKRKVSGARASRANLSVSTGNVHTETQEQRVKNVAAVVDLKPLQAEPVMVERMSKNGSLNRMKSPITATSYTVASLQTATNSFSQEYLIGEGSLGRVYKAEFPNGKTMAIKKIDNAALSLQEEDNFLEAISSMSRLRHPNIVTLAGYCAEHGQRLLVYEYIGNGSLHDMLHFSDDGSKMLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDR >KJB67279 pep chromosome:Graimondii2_0_v6:10:53281268:53287438:1 gene:B456_010G183400 transcript:KJB67279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIHVENHGKGLPVRAQPSFLCRRFDISGLGLSGTMGYLLSDLMSLKTLDLSNNNLHDTIPYQLPPNVTSVNLAGNNFSGNLPYSISAMITLTYLNVSHNMLSLSVGDYFANLARLGTLDLSFNNFSGDLPLSFSSLSNLSTLYMQNNQLTGSLNVLSGLSLTTLNVAKNHFNGSIPQELFSIPTFIYDGNSFTNEPSPPGRSHNKHNSGSGGHTSPGSDGQSSDSDNGLSAGIIVGIVLGSLLLLLVAVLAFVFCIRKNKRKVSGARASRANLSVSTGNVHTETQEQRVKNVAAVVDLKPLQAEPVMVERMSKNGSLNRMKSPITATSYTVASLQTATNSFSQEYLIGEGSLGRVYKAEFPNGKTMAIKKIDNAALSLQEEDNFLEAISSMSRLRHPNIVTLAGYCAEHGQRLLVYEYIGNGSLHDMLHFSDDGSKMLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALAKMVDPALNGMYPVKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRRSSDESGFSYRTPDHEIIDMSF >KJB64121 pep chromosome:Graimondii2_0_v6:10:3603363:3606248:1 gene:B456_010G038400 transcript:KJB64121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQNRSGTAGKVIRCKGSAVCRNPGEPLVIEEIMVDPPKAWEIRIKILCTSLCHSDVTFWKISIGPFALFPRIFGHEAVGVVESVGEHVEEFQEGDMVVPVFRPNCRECRDCKSQKGNGCSIFGDKLVAEMPRDGTSRFKGMNGETLHHFLSVSSFSEYTVVDVVHVVKISSEFPAEKACLLSCGVSTGIGAAWKVADIEEGSTVAIFGLGAVGLAVAEGARLRGASKIIGVDLNQEKFEIGKKFGVSEFINPTTCGEKKVSEVIKEITDGGVDYSFECIGLASLMEEAFNSSRVNWGKTVILGVEMHHTPLPINTYFLLRGRTVTGCFFGGLKAKSDIPILAQKYLHKEINLDGFITHEVNFQDINKAFDLLLEGKSLRCIIWMD >KJB64125 pep chromosome:Graimondii2_0_v6:10:3604017:3606044:1 gene:B456_010G038400 transcript:KJB64125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPVFRPNCRECRDCKSQKGNGCSIFGDKLVAEMPRDGTSRFKGMNGETLHHFLSVSSFSEYTVVDVVHVVKISSEFPAEKACLLSCGVSTGIGAAWKVADIEEGSTVAIFGLGAVGLAVAEGARLRGASKIIGVDLNQEKFEIGKKFGVSEFINPTTCGEKKVSEVIKEITDGGVDYSFECIGLASLMEEAFNSSRVNWGKTVILGVEMHHTPLPINTYFLLRGRTVTGCFFGGLKAKSDIPILAQKYLHKEINLDGFITHEVNFQDINKAFDLLLEGKSLRCIIWMD >KJB64123 pep chromosome:Graimondii2_0_v6:10:3603363:3606248:1 gene:B456_010G038400 transcript:KJB64123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQNRSGTAGKVIRCKAAVCRNPGEPLVIEEIMVDPPKAWEIRIKILCTSLCHSDVTFWKISIGPFALFPRIFGHEAVGVVESVGEHVEEFQEGDMVVPVFRPNCRECRDCKSQKGNGCSIFGDKLVAEMPRDGTSRFKGMNGETLHHFLSVSSFSEYTVVDVVHVVKISSEFPAEKACLLSCGVSTGIGAAWKVADIEEGSTVAIFGLGAVGLAVAEGARLRGASKIIGVDLNQEKFEIGKKFGVMILGVEMHHTPLPINTYFLLRGRTVTGCFFGGLKAKSDIPILAQKYLHKEINLDGFITHEVNFQDINKAFDLLLEGKSLRCIIWMD >KJB64118 pep chromosome:Graimondii2_0_v6:10:3603363:3606248:1 gene:B456_010G038400 transcript:KJB64118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQNRSGTAGKVIRCKAAVCRNPGEPLVIEEIMVDPPKAWEIRIKILCTSLCHSDVTFWKISIGPFALFPRIFGHEAVGVVESVGEHVEEFQEGDMVVPVFRPNCRECRDCKSQKGNGCSIFGDKLVAEMPRDGTSRFKGMNGETLHHFLSVSSFSEYTVVDVVHVVKISSEFPAEKACLLSCGVSTGIGAAWKVADIEEGSTVAIFGLGAVGLAVAEGARLRGASKIIGVDLNQEKFEIGKKFGVSEFINPTTCGEKKVSEVIKEITDGGVDYSFECIGLASLMEEAFNSSRVVELGQDSDTRSGDASHTITYQHLFSSKR >KJB64116 pep chromosome:Graimondii2_0_v6:10:3604017:3606044:1 gene:B456_010G038400 transcript:KJB64116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPVFRPNCRECRDCKSQKGNGCSIFGDKLVAEMPRDGTSRFKGMNGETLHHFLSVSSFSEYTVVDVVHVVKISSEFPAEKACLLSCGVSTGIGAAWKVADIEEGSTVAIFGLGAVGLAVAEGARLRGASKIIGVDLNQEKFEIGKKFGVSEFINPTTCGEKKVSEVIKEITDGGVDYSFECIGLASLMEEAFNSSRVNWGKTVILGVEMHHTPLPINTYFLLRGRTVTGCFFGGLKAKSDIPILAQKYLHKEINLDGFITHEVNFQDINKAFDLLLEGKSLRCIIWMD >KJB64124 pep chromosome:Graimondii2_0_v6:10:3603363:3606248:1 gene:B456_010G038400 transcript:KJB64124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQNRSGTAGKVIRCKAAVCRNPGEPLVIEEIMVDPPKAWEIRIKILCTSLCHSDVTFWKISIGPFALFPRIFGHEAVGVVESVGEHVEEFQEGDMVVPVFRPNCRECRDCKSQKGNGCSIFGDKLVAEMPRDGTSRFKGMNGETLHHFLSVSSFSEYTVVDVVHVVKISSEFPAEKACLLSCGVSTGIGAAWKVADIEEGSTVAIFGLGAVGLAVAEGARLRGASKIIGVDLNQEKFEIGD >KJB64115 pep chromosome:Graimondii2_0_v6:10:3603363:3606248:1 gene:B456_010G038400 transcript:KJB64115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQNRSGTAGKVIRCKAAVCRNPGEPLVIEEIMVDPPKAWEIRIKILCTSLCHSDVTFWKISIGPFALFPRIFGHEAVGVVESVGEHVEEFQEGDMVVPVFRPNCRECRDCKSQKGNGCSIFGDKLVAEMPRDGTSRFKGMNGETLHHFLSVSSFSEYTVVDVVHVVKISSEFPAEKACLLSCGVSTGIGAAWKVADIEEGSTVAIFGLGAVGLAVAEGARLRGASKIIGVDLNQEKFEIVHWIGFTNGRSFQ >KJB64120 pep chromosome:Graimondii2_0_v6:10:3603363:3606248:1 gene:B456_010G038400 transcript:KJB64120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQNRSGTAGKVIRCKAAVCRNPGEPLVIEEIMVDPPKAWEIRIKILCTSLCHSDVTFWKISIGPFALFPRIFGHEAVGVVESVGEHVEEFQEGDMVVPVFRPNCRECRDCKSQKGNGCSIFGDKLVAEMPRDGTSRFKGMNGETLHHFLSVSSFSEYTVVDVVHVVKISSEFPAEKACLLSCGVSTGIGAAWKVADIEEGSTVAIFGLGAVGLAVAEGARLRGASKIIGVDLNQEKFEIGKKFGVSEFINPTTCGEKKVSEVIKEITDGGVDYSFECIGLASLMEEAFNSSRVNWGKTVILGVEMHHTPLPINTYFLLRGRTVTGCFFGGLKAKSDIPILAQKYLHKEINLDGFITHEVNFQDINKAFDLLLEGKSLRCIIWMD >KJB64122 pep chromosome:Graimondii2_0_v6:10:3603363:3606248:1 gene:B456_010G038400 transcript:KJB64122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQNRSGTAGKVIRCKAAVCRNPGEPLVIEEIMVDPPKAWEIRIKILCTSLCHSDVTFWKISIGPFALFPRIFGHEAVGVVESVGEHVEEFQEGDMVVPVFRPNCRECRDCKSQKGNGCSIFGDKLVAEMPRDGTSRFKGMNGETLHHFLSVSSFSEYTVVDVVHVVKISSEFPAEKACLLSCGVSTGIGAAWKVADIEEGSTVAIFGLGAVGLAVAEGARLRGASKIIGVDLNQEKFEIGKKFGVSEFINPTTCGEKKVIKEITDGGVDYSFECIGLASLMEEAFNSSRVNWGKTVILGVEMHHTPLPINTYFLLRGRTVTGCFFGGLKAKSDIPILAQKYLHKEINLDGFITHEVNFQDINKAFDLLLEGKSLRCIIWMD >KJB64119 pep chromosome:Graimondii2_0_v6:10:3603363:3606248:1 gene:B456_010G038400 transcript:KJB64119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQNRSGTAGKVIRCKAAVCRNPGEPLVIEEIMVDPPKAWEIRIKILCTSLCHSDVTFWKISIGPFALFPRIFGHEAVGVVESVGEHVEEFQEGDMVVPVFRPNCRECRDCKSQKGNGCSIFGDKLVAEMPRDGTSRFKGMNGETLHHFLSVSSFSEYTVVDVVHVVKISSEFPAEKACLLSCGVSTGIGAAWKVADIEEGSTVAIFGLGAVGLAVAEGARLRGASKIIGVDLNQEKFEIGKKFGVSEFINPTTCGEKKVSEVIKEITDGGVDYSFECIGLASLMEEAFNSSRVNWGKTVILGVEMHHTPLPINTYFLLRGRTVTGCFFGGLKAKSDIPILAQKYLHKV >KJB64117 pep chromosome:Graimondii2_0_v6:10:3604017:3606044:1 gene:B456_010G038400 transcript:KJB64117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPVFRPNCRECRDCKSQKGNGCSIFGDKLVAEMPRDGTSRFKGMNGETLHHFLSVSSFSEYTVVDVVHVVKISSEFPAEKACLLSCGVSTGIGAAWKVADIEEGSTVAIFGLGAVGLAVAEGARLRGASKIIGVDLNQEKFEIGKKFGVSEFINPTTCGEKKVSEVIKEITDGGVDYSFECIGLASLMEEAFNSSRVNWGKTVILGVEMHHTPLPINTYFLLRGRTVTGCFFGGLKAKSDIPILAQKYLHKEINLDGFITHEVNFQDINKAFDLLLEGKSLRCIIWMD >KJB64825 pep chromosome:Graimondii2_0_v6:10:10385459:10387311:-1 gene:B456_010G073300 transcript:KJB64825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPVVLVGTKLDLREDRAYLADQLGSNIITSAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKDVTRKKRRRRSGCSIASIICGGFDA >KJB64826 pep chromosome:Graimondii2_0_v6:10:10385009:10387644:-1 gene:B456_010G073300 transcript:KJB64826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPVVLVGTKLDLREDRAYLADQLGSNIITSAQGEELRKQIGAAAYIECSSKTQQPFSILPSRLFFSPQGGRM >KJB66997 pep chromosome:Graimondii2_0_v6:10:49655286:49658422:1 gene:B456_010G170800 transcript:KJB66997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQWLTWVFNNCSVLQRISFCCGLWILWIARNKFMHEHSAKSVVDISRFIQGYIKEIGVKENGVTRKVIYAKWKPLREHASHLILTQLLIRIFSNLGRGL >KJB66995 pep chromosome:Graimondii2_0_v6:10:49655286:49658471:1 gene:B456_010G170800 transcript:KJB66995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQWLTWVFNNCSVLQRISFCCGLWILWIARNKFMHEHSAKSVVDISRFIQGYIKEIGVKENGVTRKVIYAKWKPLREHASHLILTQLLIRIFSNLGRGL >KJB66996 pep chromosome:Graimondii2_0_v6:10:49655286:49658471:1 gene:B456_010G170800 transcript:KJB66996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQWLTWVFNNCSVLQRISFCCGLWILWIARNKFMHEHSAKSVVDISRFIQGYIKEIGVKENGVTRKVIYAKWKPLREHASHLILTQLLIRIFSNLGRGL >KJB66152 pep chromosome:Graimondii2_0_v6:10:28147942:28152636:-1 gene:B456_010G129400 transcript:KJB66152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVFSINTEPCFLNSLFSYFLGLNFCCDLIFLIMSVLDSLFNKGFKAAKCKTLLKLTIPRIKLLRNRREIQIKQMRRDIAKLLEIGQEATARIRVEHIIREENIMAAQEILELFCELIAVRLPIIETQRECPLDLKEAISSICFAAPRCADLPELLHVQMLFISKYGKEFVSAATELRPDCGVNRQLIELLSICAPSPELKLKLLKEIAEEHELEWDPAYTETEFSKPHEDLLNGPTEFVNGTKLPLPAEKHNETLISAADHAQIEQPDSDTDFDPLDLPEVPKVSLRPSTDAASAPVISSPSPAAPKPEIDYGPSRHSGASGYVLQMSPLEPDISMQEDSATRESEMSDDPAGAKENKQFVPFISPPSLTPASISARESDPPASLRPKSEVNVDLQDILAAAQAAAETAESAAAAARSAASLAQVRTAELTQKKNERVTESSSPENPIHTYIPRQQANTEKPNFDHQNFCIVPAVSLYSLDSHQVHEESVHQATEAADPSVDELKIGSVSPVSSNHAVITSHRDCLQWMMNSSHIQPFRETESGPRIQWVF >KJB67398 pep chromosome:Graimondii2_0_v6:10:54143350:54144612:-1 gene:B456_010G188900 transcript:KJB67398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRYKSYDSRSSTSSIFSDPSSSIDLNTSSSGKPKSSFSRALVKSNPLDVDHGCRSKSKATTTHYNFTSMVKRFVDNKSKTKAMGHAQLLIPSDVLAEDLKKTARKGAALTVLQRKLFGNGSAGKDRGKMEVKALTEVKGNTRTLAMVLRSERELLSANKELEMEIAELKLQLQDKNTEVEKLKDLCLKQREEIKSLKNAILFPDAMNSQLQELVQKQGSELTQAKQLIPTLQRQVTSLTEQLQCLALDLDQVKADKYCGNACHQQYGSSPMTPKSDPDGPFDSLEYSSGDPTAPGSPSDLVLEDLNPCLTPYYVKAKSKVENSLKNSY >KJB67399 pep chromosome:Graimondii2_0_v6:10:54142777:54144763:-1 gene:B456_010G188900 transcript:KJB67399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRYKSYDSRSSTSSIFSDPSSSIDLNTSSSGKPKSSFSRALVKSNPLDVDHGCRSKSKATTTHYNFTSMVKRFVDNKSKTKAMGHAQLLIPSDVLAEDLKKTARKGAALTVLQRKLFGNGSAGKDRGKMEVKALTEVKGNTRTLAMVLRSERELLSANKELEMEIAELKLQLQDKNTEVEKLKDLCLKQREEIKSLKNAILFPDAMNSQLQELVQKQGSELTQAKQLIPTLQRQVTSLTEQLQCLALDLDQVKADKYCGNACHQQYGSSPMTPKSDPDGPFDSLEYSSGDPTAPGSPSDLVLEDLNPCLTPYYVKAKSKEFDEIGYDSPHHETFYENNKQSFNGLGFSSRAKNLSHSYRMLLPGSNKGSSMHHKPSLIA >KJB63756 pep chromosome:Graimondii2_0_v6:10:1066723:1068155:-1 gene:B456_010G014200 transcript:KJB63756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFHSSPATSTIAIIAFPLLLLFLFSFLWISKHAPTNTNKKQTPPEAGGAWPIIGHLHLLAGPQPPHISLANMADKYGRMFTIKLGVHRTLVVNNWEIAKECLTINDKAFASRPKVVNMELLGYNNAMIVFAPYGPYWRQVRKCATIELLSTHRLDLFKHVRESEVKTSLEQLYQIWNKKKGTNCDKVLVEMKRWFRDVTLNVSMRIIVGKRISSSSEGGETMKCKELLEDFFEMAGKFVISDTLPFLKWLNVGGYEKSMKKIAKELDRIVEGWLREHKCKRGEDGANSEEDFMGVMLPILRDAEEHDADTINKATSLRQKIQHRLQ >KJB63753 pep chromosome:Graimondii2_0_v6:10:1066092:1068194:-1 gene:B456_010G014200 transcript:KJB63753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFHSSPATSTIAIIAFPLLLLFLFSFLWISKHAPTNTNKKQTPPEAGGAWPIIGHLHLLAGPQPPHISLANMADKYGRMFTIKLGVHRTLVVNNWEIAKECLTINDKAFASRPKVVNMELLGYNNAMIVFAPYGPYWRQVRKCATIELLSTHRLDLFKHVRESEVKTSLEQLYQIWNKKKGTNCDKVLVEMKRWFRDVTLNVSMRIIVGKRISSSSEGGETMKCKELLEDFFEMAGKFVISDTLPFLKWLNVGGYEKSMKKIAKELDRIVEGWLREHKCKRGEDGANSEEDFMGVMLPILRDAEEHDADTINKATSLSICVKQLE >KJB63755 pep chromosome:Graimondii2_0_v6:10:1066790:1068148:-1 gene:B456_010G014200 transcript:KJB63755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFHSSPATSTIAIIAFPLLLLFLFSFLWISKHAPTNTNKKQTPPEAGGAWPIIGHLHLLAGPQPPHISLANMADKYGRMFTIKLGVHRTLVVNNWEIAKECLTINDKAFASRPKVVNMELLGYNNAMIVFAPYGPYWRQVRKCATIELLSTHRLDLFKHVRESEVKTSLEQLYQIWNKKKGTNCDKVLVEMKRWFRDVTLNVSMRIIVGKRISSSSEGGETMKCKELLEDFFEMAGKFVISDTLPFLKWLNVGGYEKSMKKIAKELDRIVEGWLREHKCKRGEDGANSEEDFMGVMLPILRDAEEHDADTINKATSLLKIYDKLLNIYGT >KJB63754 pep chromosome:Graimondii2_0_v6:10:1066452:1068148:-1 gene:B456_010G014200 transcript:KJB63754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFHSSPATSTIAIIAFPLLLLFLFSFLWISKHAPTNTNKKQTPPEAGGAWPIIGHLHLLAGPQPPHISLANMADKYGRMFTIKLGVHRTLVVNNWEIAKECLTINDKAFASRPKVVNMELLGYNNAMIVFAPYGPYWRQVRKCATIELLSTHRLDLFKHVRESEVKTSLEQLYQIWNKKKGTNCDKVLVEMKRWFRDVTLNVSMRIIVGKRISSSSEGGETMKCKELLEDFFEMAGKFVISDTLPFLKWLNVGGYEKSMKKIAKELDRIVEGWLREHKCKRGEDGANSEEDFMGVMLPILRDAEEHDADTINKATSLALILAAEDTTSITMTWALSLLLNNRDALNKVQQELDIHVGNNRLLVKESDIKNLVYLQSVIKETLRLYPAAPLSVMRESIEDCTVNGYHVSIGTWLIINLQKIHRDPFI >KJB66282 pep chromosome:Graimondii2_0_v6:10:29802506:29803012:-1 gene:B456_010G133900 transcript:KJB66282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRSLVSLYKFLFRHPFQSSAKPTSPFLFKSLSPFQTQLAANSVPSPRPASFRFPVFQTSQVQHPIYHILRKYSSESPASKLDVNKEVDSINLKFAEAREEIEMAMESKETVYFNEEAECARAVVKEVLDMFEGLLGKLPEAEKAALQRSMGLKIEQLKAELQQLDD >KJB66278 pep chromosome:Graimondii2_0_v6:10:29802506:29803012:-1 gene:B456_010G133900 transcript:KJB66278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRSLVSLYKFLFRHPFQSSAKPTSPFLFKSLSPFQTQLAANSVPSPRPASFRFPVFQTSQVQHPIYHILRKYSSESPASKLDVNKEVDSINLKFAEAREEIEMAMESKETVYFNEEAECARAVVKEVLDMFEGLLGKLPEAEKAALQRSMGLKIEQLKAELQQLDD >KJB66280 pep chromosome:Graimondii2_0_v6:10:29799398:29803079:-1 gene:B456_010G133900 transcript:KJB66280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRSLVSLYKFLFRHPFQSSAKPTSPFLFKSLSPFQTQLAANSVPSPRPASFRFPVFQTSQVQHPIYHILRKYSSESPASKLDVNKEVDSINLKFAEAREEIEMAMESKETVYFNEEAECARAVVKEVLDMFEGLLGKLPEAEKAALQRSMGLKIEQLKAELQQLDD >KJB66281 pep chromosome:Graimondii2_0_v6:10:29798946:29803074:-1 gene:B456_010G133900 transcript:KJB66281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRSLVSLYKFLFRHPFQSSAKPTSPFLFKSLSPFQTQLAANSVPSPRPASFRFPVFQTSQVQHPIYHILRKYSSESPASKLDVNKEVDSINLKFAEAREEIEMAMESKETVYFNEEAECARAVVKEVLDMFEGLLGKLPEAEKAALQRSMGLKIEQLKAELQQLDD >KJB66279 pep chromosome:Graimondii2_0_v6:10:29798161:29803079:-1 gene:B456_010G133900 transcript:KJB66279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRSLVSLYKFLFRHPFQSSAKPTSPFLFKSLSPFQTQLAANSVPSPRPASFRFPVFQTSQVQHPIYHILRKYSSESPASKLDVNKEVDSINLKFAEAREEIEMAMESKETVYFNEEAECARAVVKEVLDMFEGLLGKLPEAEKAALQRSMGLKIEQLKAELQQLDD >KJB66277 pep chromosome:Graimondii2_0_v6:10:29802506:29803012:-1 gene:B456_010G133900 transcript:KJB66277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRSLVSLYKFLFRHPFQSSAKPTSPFLFKSLSPFQTQLAANSVPSPRPASFRFPVFQTSQVQHPIYHILRKYSSESPASKLDVNKEVDSINLKFAEAREEIEMAMESKETVYFNEEAECARAVVKEVLDMFEGLLGKLPEAEKAALQRSMGLKIEQLKAELQQLDD >KJB66283 pep chromosome:Graimondii2_0_v6:10:29798069:29803079:-1 gene:B456_010G133900 transcript:KJB66283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRSLVSLYKFLFRHPFQSSAKPTSPFLFKSLSPFQTQLAANSVPSPRPASFRFPVFQTSQVQHPIYHILRKYSSESPASKLDVNKEVDSINLKFAEAREEIEMAMESKETVYFNEEAECARAVVKEVLDMFEGLLGKLPEAEKAALQRSMGLKIEQLKAELQQLDD >KJB63447 pep chromosome:Graimondii2_0_v6:10:20899902:20900261:1 gene:B456_010G110600 transcript:KJB63447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSAYLYLGFCRIFYFDFAFLLFGILELKKLYFSCLGLSVFDCFVHLPGGFHWFHRSSTVSLFFILLKVQNSCFIYLRCFHYQCNGLNGLD >KJB66740 pep chromosome:Graimondii2_0_v6:10:42888133:42892520:1 gene:B456_010G155500 transcript:KJB66740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKPSSEAVFTPEGSDLLLLDQSINNHEQHPVETEDAADESDRCPCPCNENEQDYVIVKLSDVRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDSLIAALYPDIEKHEEEELTLHEEEKARNKQIQASITQTLHRQLEVLGRKRTVKANASATIRRSNCRYQRRKYRASEPQESDNNEDANENGSTGSSLADEDLTEVKPKRLKRWEGRCSQPSSAASADGVGDENDSEVNRESLGVSAALSPSERLHLGASGIRSHTAHGSLSGDENDSEVNRESLGLFAALNGLSERLHWGAGGMRSNTRHGSLSGGNGKKARNSRLPKLVDCLQNLEEKDDEVKFNFWL >KJB66744 pep chromosome:Graimondii2_0_v6:10:42888133:42893576:1 gene:B456_010G155500 transcript:KJB66744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKPSSEAVFTPEGSDLLLLDQSINNHEQHPVETEDAADESDRCPCPCNENEQDYVIVKLSDVRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDSLIAALYPDIEKHEEEELTLHEEEKARNKQIQASITQTLHRQLEVLGRKRTVKANASATIRRSNCRYQRRKYRASEPQESDNNEDANENGSTGSSLADEDLTEVKPKRLKRWEGRCSQPSSAASADGVGDENDSEVNRESLGVSAALSPSERLHLGASGIRSHTAHGSLSGDENDSEVNRESLGLFAALNGLSERLHWGAGGMRSNTRHGSLSGGNGKKARNSRLPKLVDCLQNLEEKDDELDIHLMLVSIDEQRIPCLQRPYLCCRPTLLVRHLCQYVALQTALQASEIEIYLVKELYSTANMSTYKITKPGLVESVRDKLEVLKEEETLGGLGRQTSSHSHLILAYQKKENRNGQCQV >KJB66745 pep chromosome:Graimondii2_0_v6:10:42888133:42893576:1 gene:B456_010G155500 transcript:KJB66745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKPSSEAVFTPEGSDLLLLDQSINNHEQHPVETEDAADESDRCPCPCNENEQDYVIVKLSDVRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDSLIAALYPDIEKHEEEELTLHEEEKARNKQIQASITQTLHRQLEVLGRKRTVKANASATIRRSNCRYQRRKYRASEPQESDNNEDANENGSTGSSLADEDLTEVKPKRLKRWEGRCSQPSSAASADGVGDENDSEVNRESLGVSAALSPSERLHLGASALNGLSERLHWGAGGMRSNTRHGSLSGGNGKKARNSRLPKLVDCLQNLEEKDDELDIHLMLVSIDEQRIPCLQRPYLCCRPTLLVRHLCQYVALQTALQASEIEIYLVKELYSTANMSTYKITKPGLVESVRDKLEVLKEEETLGGLGRQTSSHSHLILAYQKKENRNGQCQV >KJB66742 pep chromosome:Graimondii2_0_v6:10:42888133:42893558:1 gene:B456_010G155500 transcript:KJB66742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKPSSEAVFTPEGSDLLLLDQSINNHEQHPVETEDAADESDRCPCPCNENEQDYVIVKLSDVRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDSLIAALYPDIEKHEEEELTLHEEEKARNKQIQASITQTLHRQLEVLGRKRTVKANASATIRRSNCRYQRRKYRASEPQESDNNEDANENGSTGSSLADEDLTEVKPKRLKRWEGRCSQPSSAASADGVGDENDSEVNRESLGVSAALSPSERLHLGASGIRSHTAHGSLSGDENDSEVNRESLGLFAALNGLSERLHWGAGGMRSNTRHGSLSGGNGKKARNSRLPKLVDCLQNLEEKDDELDIHLMLVSIDEQRIPCLQRPYLCCRPTLLVRHLCQLFKLLYKPVKLKYTW >KJB66747 pep chromosome:Graimondii2_0_v6:10:42888784:42893605:1 gene:B456_010G155500 transcript:KJB66747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPTSFIFPDWLHFPCFIFRWVRLFFSKTAWSFDLSYVIVKLSDVRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDSLIAALYPDIEKHEEEELTLHEEEKARNKQIQASITQTLHRQLEVLGRKRTVKANASATIRRSNCRYQRRKYRASEPQESDNNEDANENGSTGSSLADEDLTEVKPKRLKRWEGRCSQPSSAASADGVGDENDSEVNRESLGVSAALSPSERLHLGASALNGLSERLHWGAGGMRSNTRHGSLSGGNGKKARNSRLPKLVDCLQNLEEKDDELDIHLMLVSIDEQRIPCLQRPYLCCRPTLLVRHLCQYVALQTALQASEIEIYLVKELYSTANMSTYKITKPGLVESVRDKLEVLKEEETLGGLGRQTSSHSHLILAYQKKENRNGQCQV >KJB66746 pep chromosome:Graimondii2_0_v6:10:42888823:42893576:1 gene:B456_010G155500 transcript:KJB66746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDSLIAALYPDIEKHEEEELTLHEEEKARNKQIQASITQTLHRQLEVLGRKRTVKANASATIRRSNCRYQRRKYRASEPQESDNNEDANENGSTGSSLADEDLTEVKPKRLKRWEGRCSQPSSAASADGVGDENDSEVNRESLGVSAALSPSERLHLGASALNGLSERLHWGAGGMRSNTRHGSLSGGNGKKARNSRLPKLVDCLQNLEEKDDELDIHLMLVSIDEQRIPCLQRPYLCCRPTLLVRHLCQYVALQTALQASEIEIYLVKELYSTANMSTYKITKPGLVESVRDKLEVLKEEETLGGLGRQTSSHSHLILAYQKKENRNGQCQV >KJB66741 pep chromosome:Graimondii2_0_v6:10:42888133:42893558:1 gene:B456_010G155500 transcript:KJB66741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMNLIAALVLVTRMNKRIIRKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDSLIAALYPDIEKHEEEELTLHEEEKARNKQIQASITQTLHRQLEVLGRKRTVKANASATIRRSNCRYQRRKYRASEPQESDNNEDANENGSTGSSLADEDLTEVKPKRLKRWEGRCSQPSSAASADGVGDENDSEVNRESLGVSAALSPSERLHLGASGIRSHTAHGSLSGDENDSEVNRESLGLFAALNGLSERLHWGAGGMRSNTRHGSLSGGNGKKARNSRLPKLVDCLQNLEEKDDELDIHLMLVSIDEQRIPCLQRPYLCCRPTLLVRHLCQYVALQTALQASEIEIYLVKELYSTANMSTYKITKPGLVESVRDKLEVLKEEETLGGLGRQTSSHSHLILAYQKKENRNGQCQV >KJB66743 pep chromosome:Graimondii2_0_v6:10:42888416:42892787:1 gene:B456_010G155500 transcript:KJB66743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKPSSEAVFTPEGSDLLLLDQSINNHEQHPVETEDAADESDRCPCPCNENEQDYVIVKLSDVRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDSLIAALYPDIEKHEEEELTLHEEEKARNKQIQASITQTLHRQLEVLGRKRTVKANASATIRRSNCRYQRRKYRASEPQESDNNEDANENGSTGSSLADEDLTEVKPKRLKRWEGRCSQPSSAASADGVGDENDSEVNRESLGVSAALSPSERLHLGASGIRSHTAHGSLSGDENDSEVNRESLGLFAALNGLSERLHWGAGGMRSNTRHGSLSGGNGKKARNSRLPKLVDCLQNLEEKDDELDIHLMLVSIDEQRIPCLQRPYLCCRPTLLVRHLCQVVILRSETSLLI >KJB68106 pep chromosome:Graimondii2_0_v6:10:59770477:59773609:-1 gene:B456_010G225800 transcript:KJB68106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEAERLVADGPQQMNNLYLGGCASKSCLSSYKFGKKVAKMLLEINDHMSRGAFEKVAEDQPAASVVVRPVEQTVALESTIQKVWSCIVEKNVGIIGLYGLGGVGKTTLLTKLNNKFSTTPNDFEVVIWALVSKDYSVEKIQDRIGENVGYSDGSWKNKSADQKAIDIYGILSNKRFVVLLDDLWQRVDLNQVGIPKPSQENGSKLIFTTRSLEICGEMGARRKIKVECLEPETAWELFQDKVGDETLNSHPDIPNLAKQVAERCGGLPLALITIGRAMACKTTLEEWNYAIEMLKRCALPKMENEVFPLLKFSYDNLPNATMKCCFLYCCLYPEDYCIPKKRLVEYWFCEGLLDKFDRINEAQMQGGDIISSLLNACLLERDGEDCVKMHDVIRDMALWITHKFEATENNFFVKAGAQLFEEPDVKAWETVKRMSVMKNEIQLLKETPKCPNLRTLFLSNNNLKVISDGFFQFTPHLTVLDLSENCYLRALPKGISQLVSLECIDLSWTGILELPMELKSLTKLKMLDLSYMSDLENIPQYLVSSFSKLQIFRLWFKRLLLQNKDYPNEDNVLNEGNEKLIEELKGLKRLNILSTPIKSMFCLERFLSFDLFRRRTQALQLIDFREPEMFDVLCLGNLERLETLQFLDCGIMEIKMEKLSTWVSSSTNSTSCFHALSTVQIFQCKKLRDMTWLILAPNLRNLDVTGCYGMEEILSEEKLGEVAGVIGISYPKPFLKLETLDLRHLPKLKSIYRDALPFPCLKHILIKYCEELKKLPLNSDNAKGNLLSIKGSKDWWARVEWENEVTRDAFLPSFKLLY >KJB65202 pep chromosome:Graimondii2_0_v6:10:12667866:12668632:1 gene:B456_010G084400 transcript:KJB65202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRMRCFLIAVQIITWVHVSTRFLASHHIAPQQGLLLYFFVLLVVESSGGVGYLGEKTNRRIMGRIGSMPPNCQRKCGGCTPCIATQIPATSKELRTQYTNYEPEGWKCKCHSTLFNP >KJB67546 pep chromosome:Graimondii2_0_v6:10:56055689:56060772:1 gene:B456_010G199000 transcript:KJB67546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTERDLKKEKRKLGTIEQMCQVVKQEGWERLYGGLTPSLKKGIGDGSVGMLSSLIVAALSGCVNVLLTNPIWVVVTRMQTHTNILRKDHSNRLATPALEETVLSAIEPFSYSTGHAIQEVYDEAGFWGFWKGVFPTLIMVSNPAMQFMLYETMLKKLKKQRSLSKPDNNGVTALEIFLLGALAKLGATVVTYPLNVVKARLQAKQVATGDRRHQYKGTMDAILKMIRYEGFYGFYKGMSTKIVQSVLAAAVLFMIKEELVKGARLLLVKDGIHTVKSKLP >KJB67548 pep chromosome:Graimondii2_0_v6:10:56055957:56060772:1 gene:B456_010G199000 transcript:KJB67548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQVVKQEGWERLYGGLTPSLVGTTASQGVYYYFYQIFRNKAESKALERQKKGIGDGSVGMLSSLIVAALSGCVNVLLTNPIWVVVTRMQIQEVYDEAGFWGFWKGVFPTLIMVSNPAMQFMLYETMLKKLKKQRSLSKPDNNGVTALEIFLLGALAKLGATVVTYPLNVVKARLQAKQVATGDRRHQYKGTMDAILKMIRYEGFYGFYKGMSTKIVQSVLAAAVLFMIKEELVKGARLLLVKDGIHTVKSKLP >KJB67549 pep chromosome:Graimondii2_0_v6:10:56055957:56060772:1 gene:B456_010G199000 transcript:KJB67549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLIVAALSGCVNVLLTNPIWVVVTRMQTHTNILRKDHSNRLATPALEETVLSAIEPFSYSTGHAIQEVYDEAGFWGFWKGVFPTLIMVSNPAMQFMLYETMLKKLKKQRSLSKPDNNGVTALEIFLLGALAKLGATVVTYPLNVVKARLQAKQVATGDRRHQYKGTMDAILKMIRYEGFYGFYKGMSTKIVQSVLAAAVLFMIKEELVKGARLLLVKDGIHTVKSKLP >KJB67547 pep chromosome:Graimondii2_0_v6:10:56055768:56060772:1 gene:B456_010G199000 transcript:KJB67547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTERDLKKEKRKLGTIEQMCQVVKQEGWERLYGGLTPSLVGTTASQGVYYYFYQIFRNKAESKALERQKKGIGDGSVGMLSSLIVAALSGCVNVLLTNPIWVVVTRMQTHTNILRKDHSNRLATPALEETVLSAIEPFSYSTGHAIQEVYDEAGFWGFWKGVFPTLIMVSNPAMQFMLYETMLKKLKKQRSLSKPDNNGVTALEIFLLGALAKLGATVVTYPLNVVKARLQAKQVATGDRRHQYKGTMDAILKMIRYEGFYGFYKGMSTKIVQSVLAAAVLFMIKEELVKGARLLLVKDGIHTVKSKLP >KJB67550 pep chromosome:Graimondii2_0_v6:10:56055957:56060772:1 gene:B456_010G199000 transcript:KJB67550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQVVKQEGWERLYGGLTPSLVGTTASQGVYYYFYQIFRNKAESKALERQKKGIGDGSVGMLSSLIVAALSGCVNVLLTNPIWVVVTRMQTHTNILRKDHSNRLATPALEETVLSAIEPFSYSTGHAIQEVYDEAGFWGFWKGVFPTLIMVSNPAMQFMLYETMLKKLKKQRSLSKPDNNGVTALEIFLLGALAKLGATVVTYPLNVVKARLQAKQVATGDRRHQYKGTMDAILKMIRYEGFYGFYKGMSTKIVQSVLAAAVLFMIKEELVKGARLLLVKDGIHTVKSKLP >KJB67998 pep chromosome:Graimondii2_0_v6:10:59095884:59096129:1 gene:B456_010G221200 transcript:KJB67998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKFNNLVESSVPNEVLKCIQIGLLCVQSDPADRPTMSTVVAMLGSDTITVPLPAKSAFYVGRFIAESILNIYAIRVKLK >KJB67026 pep chromosome:Graimondii2_0_v6:10:49524567:49524788:-1 gene:B456_010G170500 transcript:KJB67026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELSIPCKLVLNVVGIEETEALVVLKSIVCVSGFDSSSIFNLLKAVLVLSISKECLGGILCGLLNFNSTVPSWC >KJB63535 pep chromosome:Graimondii2_0_v6:10:220261:223428:1 gene:B456_010G005100 transcript:KJB63535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSFLSRGLVMVFGYAYPAYECYKTVELNRPEVEQLRFWCQYWILVAVLTVCERIGDAFISWVPMYSEAKLVFFIYLWYPKTRGTTYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRAASYGQTRIFDILQYVASQSTPRPRPAQNPQAQGPRARQPSGMPNRQPSNKAQPAQPEDEEPPSPTSSTSSTQHQKEVAEEVGPSKVPSQVGPSKVPRQVGPSKAPSQVSKPATPSASSNSQKADTASESTNQPAEIDAEATQIESVPLSAGNGSTDLPPKETLMEESIGIARGRIRKTRSTTR >KJB63536 pep chromosome:Graimondii2_0_v6:10:220336:223211:1 gene:B456_010G005100 transcript:KJB63536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSFLSRGLVMVFGYAYPAYECYKTVELNRPEVEQLRFWCQYWILVAVLTVCERIGDAFISWVPMYSEAKLVFFIYLWYPKTRGTTYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRAASYGQTRIFDILQYVASQSTPRPRPAQAQGPRARQPSGMPNRQPSNKAQPAQPEDEEPPSPTSSTSSTQHQKEVAEEVGPSKVPSQVGPSKVPRQVGPSKAPSQVSKPATPSASSNSQKADTASESTNQPAEIDAEATQIESVPLSAGNGSTDLPPKETLMEESIGIARGRIRKTRSTTR >KJB63538 pep chromosome:Graimondii2_0_v6:10:220336:223265:1 gene:B456_010G005100 transcript:KJB63538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSFLSRGLVMVFGYAYPAYECYKTVELNRPEVEQLRFWCQYWILVAVLTVCERIGDAFISWVPMYSEAKLVFFIYLWYPKTRGTTYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRAASYGQTRIFDILQYVASQSTPRPRPAQNPQAQGPRARQPSGMPNRQPSNKAQPAQPEDEEPPSPTSSTSSTQHQKEVAEEVGPSKVPSQVGPSKAPSQVSKPATPSASSNSQKADTASESTNQPAEIDAEATQIESVPLSAGNGSTDLPPKETLMEESIGIARGRIRKTRSTTR >KJB63537 pep chromosome:Graimondii2_0_v6:10:221005:223265:1 gene:B456_010G005100 transcript:KJB63537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSFLSRGLVMVFGYAYPAYECYKTVELNRPEVEQLRFWCQYWILVAVLTVCERIGDAFISWVPMYSEAKLVFFIYLWYPKTRGTTYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRAASYGQTRIFDILQYVASQSTPRPRPAQNPQAQGPRARQPSGMPNRQPSNKAQPAQPEDEEPPSPTSSTSSTQHQKEVAEEVGPSKVPSQVGPSKAPSQVSKPATPSASSNSQKADTASESTNQPAEIDAEATQIESVPLSAGNGSTDLPPKETLMEESIGIARGRIRKTRSTTR >KJB63539 pep chromosome:Graimondii2_0_v6:10:220336:223265:1 gene:B456_010G005100 transcript:KJB63539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSFLSRGLVMVFGYAYPAYECYKTVELNRPEVEQLRFWCQYWILVAVLTVCERIGDAFISWVPMYSEAKLVFFIYLWYPKTRGTTYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRAASYGQTRIFDILQYVASQSTPRPRPAQNPQAQGPRARQPSGMPNRQPSNKAQPAQPEDEEPPSPTSSTSSTQHQKEVAEEVGPSKVPSQVGPSKAPSQVSKPATPSASSNSQKADTASESTNQPAEIDAEATQIESVPLSAGNGSTDLPPKETLMEESIGIARGRIRKTRSTTR >KJB63807 pep chromosome:Graimondii2_0_v6:10:1321052:1328614:1 gene:B456_010G017500 transcript:KJB63807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDKRSAGLLPRMERVRTILTHKYRYPHEHSRHAIIAVVVGCLFFISSDNMHTLIEKLDNNIKWWSMYGCLLGFFYFFSSPFIGKTIKPSYSNFSRWYIAWILVAAVYHLPSFQSMGVDMRMNLSLFLSIYLSSILFLLVFHIIFFGLWYLGLISRVAGRRPEILTVVQNCAVISIACCVFYSHCGNRAMLKQKPSVRKNSIWFWEKGERNTWLANFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGEIACSGSCSGPSDEISPIYSLWATFIGLYIANYVVERSTGWALTHPLSVEEYEKLKKNQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQASMSRVHEGAQQYDLFYDHLSEREDLWFDFMADTGDGGNSSYAVARLLAQPSILLTKDDSLLTLPRGDLLLIGGDLAYPNPSRFTYERRLFCPFQYALQPPPWYKPDHIAVNKPELPEGVSELKEYNGPQCFIIPGNHDWFDGLNTFMRYICHKSWLGGWFMPQKKSYFALQLPKRWWVFGLDLSLHADIDVYQFQFFSELVKTKVGENDSVIIMTHEPHWLLDWYWNNVSGENVSHLICDYLKGRCKLRIAGDLHHYMRHSCVPSEGPVHVQHLLVNGCGGAFLHPTHVFSNFSQFYGKTYECKAAYPSFDDSSRIALGNILKFRKMNWQFDFIGGIIYFILVFSIFPQCQLDHILQDDSFSGHLRSFFGTVWNSFVYMLEHSFVSLAGVVLLLMLAFTFVPSKLALKKRAIIGILHVSAHLASAVILMLLLELGLETCIRHKLLATSGYHSLYQWYQSVETEHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVIAVTRSNICKYGIQSLSRGGAIIYYASVFLYFWVFSTPVVSLVFGSYLYICINWLHIHFDEAFSSLRIANYKSFTRFHINCDGDLEVFTLAIDKVPREWKLDPDWDGEAKQPQQWSHRIKHPSKWSASVSHQDPLNTVRIVDRFIIKQTDNQDFASSNGSINS >KJB67319 pep chromosome:Graimondii2_0_v6:10:53682922:53686271:1 gene:B456_010G185600 transcript:KJB67319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSCFHAFRLRKSRSKHLQLPSSSRSRLSDMENMEKKRFDSLESWSMILDSENVETWEVSKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPNQKEETRSKLEQQFKSEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQCRETKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALLPFQGKTPVQAAFAVAEKNERPPLPESCQPALAHLIKRCWAANPAKRPDFSDIVSALEKYDECVKEGLPLTSHSGLVNRNVLQRLKGCVSMSSSIPVHA >KJB67318 pep chromosome:Graimondii2_0_v6:10:53682922:53685290:1 gene:B456_010G185600 transcript:KJB67318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSCFHAFRLRKSRSKHLQLPSSSRSRLSDMENMEKKRFDSLESWSMILDSENVETWEVSKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPNQKEETRSKLEQQFKSEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQCRETKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALLPFQGKTPVQAAFAVAEKAL >KJB67631 pep chromosome:Graimondii2_0_v6:10:56376853:56378376:-1 gene:B456_010G201200 transcript:KJB67631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFHFLLHLTIFLLVFHQKFSFPSKQTTLFLPLKTTLGRPPLTFARNSKLSSAAATNKLGFHHNVTLTVSLSVGSPPQNVTMVLDTGSELSWLHCKKTPDLNSVFTPELSKSYIHIPCASPICKTRTRDLLVPASCEPNNKLCHVAVSYADASSIEGTLAYENFVIGSSVRPGFLFGCMDSGFSSNSDEDSKATGLMGMNRGSLSFVSQMGFPKFSYCISGFDSSGVLLLGDTRFSWLKTLNYTPLIQISDPLPYFDRVAYTVQLEGIKVGNKILDLPRSAFLPDHTGAGQTMVDSGTQFTFLMGPVYTSLRNEFLQQTREVLRVFEDPNFVFQEPMDLCYQVDESPGTIFSDLPRVSLMFQGAEMSVSGERLLYQVPELNKGSDSVYCFTFGNSDLLGIEAFVIGHHHQQNVWMEFDLVKSRVGFAEIRCILPVNN >KJB66341 pep chromosome:Graimondii2_0_v6:10:31454715:31458802:-1 gene:B456_010G136600 transcript:KJB66341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALFSLARRLQRIHSQILSPFFLQHPATVPSPFPSPSVHQLYLFSLAFHQTFFISSQTPVVTSNFSTLQSLSSQTLNYPFEITPPLNHDPGPQEPALLHLLKRVAHCSSETEAMASLDESGIKATLGLVCSVIWTLREEWRLAFLAFKWGEKWGSSSEKTYELMIWVLGNHRKFNMAWCLIRDLYRSSMDTRRAMFVMIDRYAAASDPCMAIQTFHTMEKFRMSPDEEGFSTLLKALCRYGNIEEAEEIMLVNKKLFPLDADGFNIILNGWCNVLVDVIEAKRVWREMSEYCIIPNATSYTHMISCFSKVGNLFDSLRLYDEMKKRGWDPGIEVYNSLVYVLACENCLNEAYNILKKMKKSGLPPDSATYNAMIRPLCESEKVEEARNILSIMKEENLSPTMETYHAFLHSVGIEGTLEILDCMKAGSLGPTGDTFLFILGKFLKVEQPEHALKIWAEMKLFEVLPDSRHYIILVKGLATSGWLVKAREYYDEMRSCGFLDDPKLKKLLEEPKQHSSSMRQRGQIEIKRSKQLNERKGKKSGQKKKVNADKR >KJB66340 pep chromosome:Graimondii2_0_v6:10:31454727:31458757:-1 gene:B456_010G136600 transcript:KJB66340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALFSLARRLQRIHSQILSPFFLQHPATVPSPFPSPSVHQLYLFSLAFHQTFFISSQTPVVTSNFSTLQSLSSQTLNYPFEITPPLNHDPGPQEPALLHLLKRVAHCSSETEAMASLDESGIKATLGLVCSVIWTLREEWRLAFLAFKWGEKWGSSSEKTYELMIWVLGNHRKFNMAWCLIRDLYRSSMDTRRAMFVMIDRYAAASDPCMAIQTFHTMEKFRMSPDEEGFSTLLKALCRYGNIEEAEEIMLVNKKLFPLDADGFNIILNGWCNVLVDVIEAKRVWREMSEYCIIPNATSYTHMISCFSKVGNLFDSLRLYDEMKKRGWDPGIEVYNSLVYVLACENCLNEAYNILKKMKKSGLPPDSATYNAMIRPLCESEKVEEARNILSIMKEENLSPTMETYHAFLHSVGIEGTLEILDCMKAGSLGPTGDTFLFILGKFLKVEQPEHALKIWAEMKLFEVLPDSRHYIILVKGLATSGWLVKAREYYDEMRSCGFLDDPKLKKLLEEPKQHSSSMRQRGQIEIKRSKQLNERKGKKSGQKKKVNADKR >KJB66339 pep chromosome:Graimondii2_0_v6:10:31454727:31458753:-1 gene:B456_010G136600 transcript:KJB66339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALFSLARRLQRIHSQILSPFFLQHPATVPSPFPSPSVHQLYLFSLAFHQTFFISSQTPVVTSNFSTLQSLSSQTLNYPFEITPPLNHDPGPQEPALLHLLKRVAHCSSETEAMASLDESGIKATLGLVCSVIWTLREEWRLAFLAFKWGEKWGSSSEKTYELMIWVLGNHRKFNMAWCLIRDLYRSSMDTRRAMFVMIDRYAAASDPCMAIQTFHTMEKFRMSPDEEGFSTLLKALCRYGNIEEAEEIMLVNKKLFPLDADGFNIILNGWCNVLVDVIEAKRVWREMSEYCIIPNATSYTHMISCFSKVGNLFDSLRLYDEMKKRGWDPGIEVYNSLVYVLACENCLNEAYNILKKMKKSGLPPDSATYNAMIRPLCESEKVEEARNILSIMKEENLSPTMETYHAFLHSVGIEGTLEILDCMKAGSLGPTGDTFLFILGKFLKVEQPEHALKIWAEMKLFEVLPDSRHYIILVKGLATSGWLVKAREYYDEMRSCGFLDDPKLKKLLEEPKQHSSSMRQRGQIEIKRSKQLNERKGKKSGQKKKVNADKR >KJB66615 pep chromosome:Graimondii2_0_v6:10:38735623:38739100:-1 gene:B456_010G147400 transcript:KJB66615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVKWLISVLLLLFLSHLSISTDTITLDHFIKDNQVIVSSGKIFALGFFSPGSSRNRYIGIWYHHIPEKTVVWVANRESPIKDNSGILRIDSQGNLALFQRNQTLPVWSTNVSITGTRNSIAQILDSGNLVLLQNDTRRAVLWESFDYPTNSMLPFMKLGLSFRTGVERVLTSWKSPDDPGIGNYSYRINPSGFPQLYLYKGSDPWWRTGSWTGQRWSGVPEMTSNYIFNVSFVNTPDEVSITYGVKKASFITRMITNETGIQQRFTWNNQARHWIGFWSAPKDQCDFYGHCGPNGYCNPDHLDDFECTCFPGFKPKSSEAWFIRDGAGGCVRKPGISTCQKGEGFVKVPRLKVPDTSAAHIDMSMGLKQCENECLRNCSCVAYASAYAEINGGIGCLTWHGDLIDARTYADAGQDLYIRVDASELARFTKKGLFRKKVVLAVTIVSAAVLFLILVPLLRCFVRRLRRAERKRKRKNAFRFTSSSLFEDSVGEKDIDKSRRNGDLPFFDFSTIAKATNNFSSDNKLGQGGFGAVYKGVLINGNEIAVKRLSKYSGQGVEEFKNEIVLIAKLQHRNLVKMLGCCIQGEEKMLIYEFLPNKSLDSIVFDESKSSTLDWKKRIEIICGIARGILYLHQDSRLRIIHRDLKASNVLLDAAMNPKISDFGMARIFGRDEIEGDTKRVVGTYGYMSPEYAMHGHFSIKSDVYSFGVLLLEIITGKKNSSYFPDSPSSSLVGYVWELWKEGRAIEIIDSVFGDSYSANEFLKCIQIGLLCVQEHATDRPMMSTVVFMLSNETALPSPKQPAFTVKTSHKGDDILNSEGTESINDVTLSMVQAR >KJB66618 pep chromosome:Graimondii2_0_v6:10:38736378:38739075:-1 gene:B456_010G147400 transcript:KJB66618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVKWLISVLLLLFLSHLSISTDTITLDHFIKDNQVIVSSGKIFALGFFSPGSSRNRYIGIWYHHIPEKTVVWVANRESPIKDNSGILRIDSQGNLALFQRNQTLPVWSTNVSITGTRNSIAQILDSGNLVLLQNDTRRAVLWESFDYPTNSMLPFMKLGLSFRTGVERVLTSWKSPDDPGIGNYSYRINPSGFPQLYLYKGSDPWWRTGSWTGQRWSGVPEMTSNYIFNVSFVNTPDEVSITYGVKKASFITRMITNETGIQQRFTWNNQARHWIGFWSAPKDQCDFYGHCGPNGYCNPDHLDDFECTCFPGFKPKSSEAWFIRDGAGGCVRKPGISTCQKGEGFVKVPRLKVPDTSAAHIDMSMGLKQCENECLRNCSCVAYASAYAEINGGIGCLTWHGDLIDARTYADAGQDLYIRVDASELARFTKKGLFRKKVVLAVTIVSAAVLFLILVPLLRCFVRRLRRAERKRKRKNAFRFTSSSLFEDSVGEKDIDKSRRNGDLPFFDFSTIAKATNNFSSDNKLGQGGFGAVYKGVLINGNEIAVKRLSKYSGQGVEEFKNEIVLIAKLQHRNLVKMLGCCIQGEEKMLIYEFLPNKSLDSIVFDESKSSTLDWKKRIEIICGIARGILYLHQDSRLRIIHRDLKASNVLLDAAMNPKISDFGMARIFGRDEIEGDTKRVVGT >KJB66616 pep chromosome:Graimondii2_0_v6:10:38736686:38739075:-1 gene:B456_010G147400 transcript:KJB66616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVKWLISVLLLLFLSHLSISTDTITLDHFIKDNQVIVSSGKIFALGFFSPGSSRNRYIGIWYHHIPEKTVVWVANRESPIKDNSGILRIDSQGNLALFQRNQTLPVWSTNVSITGTRNSIAQILDSGNLVLLQNDTRRAVLWESFDYPTNSMLPFMKLGLSFRTGVERVLTSWKSPDDPGIGNYSYRINPSGFPQLYLYKGSDPWWRTGSWTGQRWSGVPEMTSNYIFNVSFVNTPDEVSITYGVKKASFITRMITNETGIQQRFTWNNQARHWIGFWSAPKDQCDFYGHCGPNGYCNPDHLDDFECTCFPGFKPKSSEAWFIRDGAGGCVRKPGISTCQKGEGFVKVPRLKVPDTSAAHIDMSMGLKQCENECLRNCSCVAYASAYAEINGGIGCLTWHGDLIDARTYADAGQDLYIRVDASELARFTKKGLFRKKVVLAVTIVSAAVLFLILVPLLRCFVRRLRRAERKRKRKNAFRFTSSSLFEDSVGEKDIDKSRRNGDLPFFDFSTIAKATNNFSSDNKLGQGGFGAVYKGVLINGNEIAVKRLSKYSGQGVEEFKNEIVLIAKLQHRNLVKMLGCCIQGEEKMLIYEFLPNKSLDSIVFGTSFFLFHMLLETSLLNH >KJB66617 pep chromosome:Graimondii2_0_v6:10:38735623:38739100:-1 gene:B456_010G147400 transcript:KJB66617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVKWLISVLLLLFLSHLSISTDTITLDHFIKDNQVIVSSGKIFALGFFSPGSSRNRYIGIWYHHIPEKTVVWVANRESPIKDNSGILRIDSQGNLALFQRNQTLPVWSTNVSITGTRNSIAQILDSGNLVLLQNDTRRAVLWESFDYPTNSMLPFMKLGLSFRTGVERVLTSWKSPDDPGIGNYSYRINPSGFPQLYLYKGSDPWWRTGSWTGQRWSGVPEMTSNYIFNVSFVNTPDEVSITYGVKKASFITRMITNETGIQQRFTWNNQARHWIGFWSAPKDQCDFYGHCGPNGYCNPDHLDDFECTCFPGFKPKSSEAWFIRDGAGGCVRKPGISTCQKGEGFVKVPRLKVPDTSAAHIDMSMGLKQCENECLRNCSCVAYASAYAEINGGIGCLTWHGDLIDARTYADAGQDLYIRVDASELARFTKKGLFRKKVVLAVTIVSAAVLFLILVPLLRCFVRRLRRERKRKRKNAFRFTSSSLFEDSVGEKDIDKSRRNGDLPFFDFSTIAKATNNFSSDNKLGQGGFGAVYKGVLINGNEIAVKRLSKYSGQGVEEFKNEIVLIAKLQHRNLVKMLGCCIQGEEKMLIYEFLPNKSLDSIVFDESKSSTLDWKKRIEIICGIARGILYLHQDSRLRIIHRDLKASNVLLDAAMNPKISDFGMARIFGRDEIEGDTKRVVGTYGYMSPEYAMHGHFSIKSDVYSFGVLLLEIITGKKNSSYFPDSPSSSLVGYVWELWKEGRAIEIIDSVFGDSYSANEFLKCIQIGLLCVQEHATDRPMMSTVVFMLSNETALPSPKQPAFTVKTSHKGDDILNSEGTESINDVTLSMVQAR >KJB66728 pep chromosome:Graimondii2_0_v6:10:42714565:42720928:1 gene:B456_010G155000 transcript:KJB66728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSAVPLKDQKAKANFLLEIANTEKHLWVLIHTKGLLHSDVRDLYHKVCLNYESFFLDDHELTELQDVEYSLWKLHYKHIDEFRKRTKRSSANSESTMSAMGSIGSDNRYIDGFKSFLLKATEFYKKLIEKLRSHYGLPEESSSSKRGGINASIEPVKLRKCHFLCHRFLVCLGDLARYMEQVEQSSVLKHNWSVAAAYYLEAAMVWPDSGNPQNQLAVLATYVGDEFLALYHCIRSLAVKEPFPDAWNNLVLLFERNRSCDLPSLSSEEQFDFLQPFERSGSQVKLQSSEKVSDGVPLKGENDHSEGMNFWLLLIRMLSFFFLKSSLEDFPCAFASTMRVLDVMMALDDIKLRAMLESYQLMDSARTGPFRVLQAVSVFIFVFHNLNNNPELPGSKDGKNKKHLELIQFALNATFIFMGRVVYRCLRANSLNSCPLLPAILVFVEWLASMLDEVEAYGVDEKTKSSISYFFAAFMDLLKQLDVNVEIVSDVRIALWEDYELRGFAPLAQIHVSLDFSTSWNQIDSYQSGIECRIQRIINAAMTIASRSNGSYKWIIFDSLGKKFYPKDANEMPERLESENGESNSDVNVKGLNQHTYEAGKECKTQIASENQSSHLADGKSVAMEEEEVILLKPLTRHNSAPPYGKIHSEKDPASPNEMEETVPSDECLRRATSLLIAQNQANSDASDFQSDISNFRRSKPVKQHEPFVKDTTAFLFSEAPISAGPPSLSSWVLNQGSLSSTEKTRSDVSRPSLSPIAEVATSSLSDLSIHQTEDSVNSSRFDALTNYLYSPPPYSAPIPSAPLLPDDAAWFNGNQSSFSGVNGSEFINKPEHFYNASRISGYPNWSPDGERIYGSGIPGFIDKYPPFSGMTSSEWLRRYRESRNLDHANSHVQPINYYAPGNPIPTHDGSRVGLFNQYGVPSVTNPTIYTESSVLHQGFPCVYGMEEPRREKPFHGYQRPSHYGCGAMTELRDEPRPLLQYLKEKEWLLQQDPTLRNPTFMGN >KJB66725 pep chromosome:Graimondii2_0_v6:10:42714955:42720928:1 gene:B456_010G155000 transcript:KJB66725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSAVPLKDQKAKANFLLEIANTEKHLWVLIHTKGLLHSDVRDLYHKVCLNYESFFLDDHELTELQDVEYSLWKLHYKHIDEFRKRTKRSSANSESTMSAMGSIGSDNRYIDGFKSFLLKATEFYKKLIEKLRSHYGLPEESSSSKRGGINASIEPVKLRKCHFLCHRFLVCLGDLARYMEQVEQSSVLKHNWSVAAAYYLEAAMVWPDSGNPQNQLAVLATYVGDEFLALYHCIRSLAVKEPFPDAWNNLVLLFERNRSCDLPSLSSEEQFDFLQPFERSGSQVKLQSSEKVSDGVPLKGENDHSEGMNFWLLLIRMLSFFFLKSSLEDFPCAFASTMRVLDVMMALDDIKLRAMLESYQLMDSARTGPFRVLQAVSVFIFVFHNLNNNPELPGSKDGKNKKHLELIQFALNATFIFMGRVVYRCLRANSLNSCPLLPAILVFVEWLASMLDEVEAYGVDEKTKSSISYFFAAFMDLLKQLDVNVEIVSDVRIALWEDYELRGFAPLAQIHVSLDFSTSWNQIDSYQSGIECRIQRIINAAMTIASRSNGSYKWIIFDSLGKKFYPKDANEMPERLESENGESNSDVNVKGLNQHTYEAGKECKTQIASENQSSHLADGKSVAMEEEEVILLKPLTRHNSAPPYGKIHSEKDPASPNEMEETVPSDECLRRATSLLIAQNQANSDASDFQSDISNFRRSKPVKQHEPFVKDTTAFLFSEAPISAGPPSLSSWVLNQGSLSSTEKTRSDVSRPSLSPIAEVATSSLSDLSIHQTEDSVNSSRFDALTNYLYSPPPYSAPIPSAPLLPDDAAWFNGNQSSFSGVNGSEFINKPEHFYNASRISGYPNWSPDGERIYGSGIPGFIDKYPPFSGMTSSEWLRRYRESRNLDHANSHVQPINYYAPGNPIPTHDGSRVGLFNQYGVPSVTNPTIYTESSVLHQGFPCVYGMEEPRREKPFHGYQRPSHYGCGAMTELRDEPRPLLQYLKEKEWLLQQDPTLRNPTFMGN >KJB66726 pep chromosome:Graimondii2_0_v6:10:42714955:42720928:1 gene:B456_010G155000 transcript:KJB66726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSAVPLKDQKAKANFLLEIANTEKHLWVLIHTKGLLHSDVRDLYHKVCLNYESFFLDDHELTELQDVEYSLWKLHYKHIDEFRKRTKRSSANSESTMSAMGSIGSDNRYIDGFKSFLLKATEFYKKLIEKLRSHYGLPEESSSSKRGGINASIEPVKLRKCHFLCHRFLVCLGDLARYMEQVEQSSVLKHNWSVAAAYYLEAAMVWPDSGNPQNQLAVLATYVGDEFLALYHCIRSLAVKEPFPDAWNNLVLLFERNRSCDLPSLSSEEQFDFLQPFERSGSQVKLQSSEKVSDGVPLKGENDHSEGMNFWLLLIRMLSFFFLKSSLEDFPCAFASTMRVLDVMMALDDIKLRAMLESYQLMDSARTGPFRVLQAVSVFIFVFHNLNNNPELPGSKDGKNKKHLELIQFALNATFIFMGRVVYRCLRANSLNSCPLLPAILVFVEWLASMLDEVEAYGVDEKTKSSISYFFAAFMDLLKQLDVNVEIVSDVRIALWEDYELRGFAPLAQIHVSLDFSTSWNQIDSYQSGIECRIQRIINAAMTIASRSNGSYKWIIFDSLGKKFYPKDANEMPERLESENGESNSDVNVKGLNQHTYEAGKECKTQIASENQSSHLADGKSVAMEEEEVILLKPLTRHNSAPPYGKIHSEKDPASPNEMEETVPSDECLRRATSLLIAQNQANSDASDFQSDISNFRRSKPVKQHEPFVKDTTAFLFSEAPISAGPPSLSSWVLNQGSLSSTEKTRSDVSRPSLSPIAEVATSSLSDLSIHQTEDSVNSSRFDALTNYLYSPPPYSAPIPSAPLLPDDAAWFNGNQSSFSGVNGSEFINKPEHFYNASRISGYPNWSPDGERIYGSGIPGFIDKYPPFSGMTSSEWLRRYRESRNLDHANSHVQPINYYAPGNPIPTHDGSRVGLFNQYGVPSVTNPTIYTESSVLHQGFPCVYGMEEPRREKPFHGYQRPSHYGCGAMTELRDEPRPLLQYLKEKEWLLQQDPTLRNPTFMGN >KJB66727 pep chromosome:Graimondii2_0_v6:10:42714767:42720928:1 gene:B456_010G155000 transcript:KJB66727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSAVPLKDQKAKANFLLEIANTEKHLWVLIHTKGLLHSDVRDLYHKVCLNYESFFLDDHELTELQDVEYSLWKLHYKHIDEFRKRTKRSSANSESTMSAMGSIGSDNRYIDGFKSFLLKATEFYKKLIEKLRSHYGLPEESSSSKRGGINASIEPVKLRKCHFLCHRFLVCLGDLARYMEQVEQSSVLKHNWSVAAAYYLEAAMVWPDSGNPQNQLAVLATYVGDEFLALYHCIRSLAVKEPFPDAWNNLVLLFERNRSCDLPSLSSEEQFDFLQPFERSGSQVKLQSSEKVSDGVPLKGENDHSEGMNFWLLLIRMLSFFFLKSSLEDFPCAFASTMRVLDVMMALDDIKLRAMLESYQLMDSARTGPFRVLQAVSVFIFVFHNLNNNPELPGSKDGKNKKHLELIQFALNATFIFMGRVVYRCLRANSLNSCPLLPAILVFVEWLASMLDEVEAYGVDEKTKSSISYFFAAFMDLLKQLDVNVEIVSDVRIALWEDYELRGFAPLAQIHVSLDFSTSWNQIDSYQSGIECRIQRIINAAMTIASRSNGSYKWIIFDSLGKKFYPKDANEMPERLESENGESNSDVNVKGLNQHTYEAGKECKTQIASENQSSHLADGKSVAMEEEEVILLKPLTRHNSAPPYGKIHSEKDPASPNEMEETVPSDECLRRATSLLIAQNQANSDASDFQSDISNFRRSKPVKQHEPFVKDTTAFLFSEAPISAGPPSLSSWVLNQGSLSSTEKTRSDVSRPSLSPIAEVATSSLSDLSIHQTEDSVNSSRFDALTNYLYSPPPYSAPIPSAPLLPDDAAWFNGNQSSFSGVNGSEFINKPEHFYNASRISGYPNWSPDGERIYGSGIPGFIDKYPPFSGMTSSEWLRRYRESRNLDHANSHVQPINYYAPGNPIPTHDGSRVGLFNQYGVPSVTNPTIYTESSVLHQGFPCVYGMEEPRREKPFHGYQRPSHYGCGAMTELRDEPRPLLQYLKEKEWLLQQDPTLRNPTFMGN >KJB67814 pep chromosome:Graimondii2_0_v6:10:57860099:57864460:1 gene:B456_010G212500 transcript:KJB67814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTKNEREDGILSKDQTESSLIDDGNCGGGTGGGIVLKKGPWTSAEDAILIDYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVCLQALQDSHGTSAVNGGDEGPHDILQNNSYEIPDVIFDSLKTSQNVLPYVPELPDISTSSMLMKGLGSQYCSFMPPTIHRQKRLREATAFFPGYTGAVKNECPLFEQFQDDISDKAAQSFGLSFPIEPDPATKNSLQFGVFPGSHNLSNGNFSASEPPLEAVKLELPSLQYPETELGNWGTFSCPPPLLESVDAFIQSPPPTSIAESDSLSPRNSGLLDALLHEAKTLSSAKNHASEKSSYSATPGDIAESSTFNICETEWENCGEPLSPMGHSATSLLSECISASGSTLDEQPPAETFTESHVKSEPADYVFTPEIQNEAPIRLDSCHPDTLLASNWLEQDSGYDKDQTIMTDSIAALLGDDLSSEYKNMAAGTSISSQAWGLGSCAWNNMPAVCQMSELP >KJB67815 pep chromosome:Graimondii2_0_v6:10:57860099:57864460:1 gene:B456_010G212500 transcript:KJB67815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTKNEREDGILSKDQTESSLIDDGNCGGGTGGGIVLKKGPWTSAEDAILIDYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVCLQALQDSHGTSAVNGGDEGPHDILQNNSYEIPDVIFDSLKTSQNVLPYVPELPDISTSSMLMKGLGSQYCSFMPPTIHRQKRLREATAFFPGYTGAVKNECPLFEQFQDDISDKAAQSFGLSFPIEPDPATKNSLQFGVFPGSHNLSNGNFSASEPPLEAVKLELPSLQYPETELGNWGTFSCPPPLLESVDAFIQSPPPTSIAESDSLSPRNSGLLDALLHEAKTLSSAKNHASEKSSYSATPGDIAESSTFNICETEWENCGEPLSPMGHSATSLLSECISASGSTLDEQPPAETFTDCFSESHVKSEPADYVFTPEIQNEAPIRLDSCHPDTLLASNWLEQDSGYDKDQTIMTDSIAALLGDDLSSEYKNMAAGTSISSQAWGLGSCAWNNMPAVCQMSELP >KJB65999 pep chromosome:Graimondii2_0_v6:10:25303382:25303681:-1 gene:B456_010G123400 transcript:KJB65999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFNNGVYRLESSPKRRVLVHLPSGEAVSSYSSLENILRGLGWERYYGGDPDFYQYHKHSSIDLISLPKDFSKFGSVHMYDIVVKNPNVFHVRDM >KJB64208 pep chromosome:Graimondii2_0_v6:10:3464931:3466216:-1 gene:B456_010G037200 transcript:KJB64208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYHNRLRIVSGILKDKGSLIKTTLSTKRHKASARRVILRATTHGSSVPPSDHRIAAVIGLGHGSRFTACTCIQAVMDRLHRTKDSSVALKCLYTIHNIINKGSFILKDQLAIYPSSGGRNFLNLSTFRDGSDSETCEMSAWVRWYAGILEQNLMVSRVLGYHLNSPRSKNEIIGFLNSDLLKELDVLVNFADYLGNVPADSLYLQRKSLVHEIVRLVSEDYRSVQRETFVRVTELGARMVSLSWSECTEFLSCIDRFEGCKERIAVLLVNRNRNDDLWGLIKETKANLVAAIEKKENEGKMVVVATADNESNKLTRFSQLFRLAPSGRWVEF >KJB67429 pep chromosome:Graimondii2_0_v6:10:54423888:54427950:1 gene:B456_010G190600 transcript:KJB67429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIVGVIEARNIPAMDVNGFSDPYVKLQLGKQRHKTKVVKKTLNPSWGEEFSFKVEDLNEELLITVLDEDKYFNDDFVGQLKVSVSQVFDAHNKSLGTVWYSLHPRNKKLKNKECGEILLNIYFSQNNSYLDSTYNGDHASALRKHEDMDVKDISRSFSGSSSSSSPPRLDDNVSSKEEKSSAQKSLAGRITQMFNRNFDIGPTTSTRGNDLMEIPEISRADVFDNKSDDQSSSFEEAMEALCSRDQGSEIPSNLPGGVLLDQLYVIAPKELNFLLFSPDSSFPRSLAEVQGSTDPQIGPWKFENGGESLKRIYSYVRAPTKLIKAVKATEEQTYIKADGKTFAVLASVSTPDVMYGSTFKVEVLYCISPGPELPSGKESSHLVISWRMNFLQSTLMKSMIENGARQGLKESFEQFTTLLEQTIKPVDSKDFGLNKEQILGSLQAEPQSDWKLAVQYFANFTMVSTVFMSLYVIVHICLAAPSTIQGLEFLGLDLPDSIGEFIVCGILVLQGERVLQLISRFMRARVQKGSDHGVKAQGDGWLLTVALIEGSNLAAVDSSGYCDPYVVFTCNGKTRTSSIKYQKSAPQWNEIFEFDAMKEPPSVLDLEVFDFDGPFDEATSLGHAEVNFVRSNISDLADVWVPLHGKLAQACQSKLHLRIFVENTRGGNVVTEYLRKMEKEVGKKINVRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFLSPRIIGFHANLFGHKTKFFFLWEDIEDIQVLPPTLASMGSPVIVVTLRPGRGMDARHGAKTRDEEGRLKFHYQSFVSFNVAYRTIMALWKARALSPEQKVQIVEEESEAKSLQSDESGSFLGLEDVSMSEVYSCALPVPVSHLSLFL >KJB67426 pep chromosome:Graimondii2_0_v6:10:54423670:54429415:1 gene:B456_010G190600 transcript:KJB67426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIVGVIEARNIPAMDVNGFSDPYVKLQLGKQRHKTKVVKKTLNPSWGEEFSFKVEDLNEELLITVLDEDKYFNDDFVGQLKVSVSQVFDAHNKSLGTVWYSLHPRNKKLKNKECGEILLNIYFSQNNSYLDSTYNGDHASALRKHEDMDVKDISRSFSGSSSSSSPPRLDDNVSSKEEKSSAQKSLAGRITQMFNRNFDIGPTTSTRGNDLMEIPEISRADVFDNKSDDQSSSFEEAMEALCSRDQGSEIPSNLPGGVLLDQLYVIAPKELNFLLFSPDSSFPRSLAEVQGSTDPQIGPWKFENGGESLKRIYSYVRAPTKLIKAVKATEEQTYIKADGKTFAVLASVSTPDVMYGSTFKVEVLYCISPGPELPSGKESSHLVISWRMNFLQSTLMKSMIENGARQGLKESFEQFTTLLEQTIKPVDSKDFGLNKEQILGSLQAEPQSDWKLAVQYFANFTMVSTVFMSLYVIVHICLAAPSTIQGLEFLGLDLPDSIGEFIVCGILVLQGERVLQLISRFMRARVQKGSDHGVKAQGDGWLLTVALIEGSNLAAVDSSGYCDPYVVFTCNGKTRTSSIKYQKSAPQWNEIFEFDAMKEPPSVLDLEVFDFDGPFDEATSLGHAEVNFVRSNISDLADVWVPLHGKLAQACQSKLHLRIFVENTRGGNVVTEYLRKMEKEVGKKINVRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFLSPRIIGFHANLFGHKTKFFFLWEDIEDIQVLPPTLASMGSPVIVVTLRPGRGMDARHGAKTRDEEGRLKFHYQSFVSFNVAYRTIMALWKARALSPEQKVQIVEEESEAKSLQSDESGSFLGLEDVSMSEVYSCALPVPVSHLSLFL >KJB67425 pep chromosome:Graimondii2_0_v6:10:54423147:54429470:1 gene:B456_010G190600 transcript:KJB67425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIVGVIEARNIPAMDVNGFSDPYVKLQLGKQRHKTKVVKKTLNPSWGEEFSFKVEDLNEELLITVLDEDKYFNDDFVGQLKVSVSQVFDAHNKSLGTVWYSLHPRNKKLKNKECGEILLNIYFSQNNSYLDSTYNGDHASALRKHEDMDVKDISRSFSGSSSSSSPPRLDDNVSSKEEKSSAQKSLAGRITQMFNRNFDIGPTTSTRGNDLMEIPEISRADVFDNKSDDQSSSFEEAMEALCSRDQGSEIPSNLPGGVLLDQLYVIAPKELNFLLFSPDSSFPRSLAEVQGSTDPQIGPWKFENGGESLKRIYSYVRAPTKLIKAVKATEEQTYIKADGKTFAVLASVSTPDVMYGSTFKVEVLYCISPGPELPSGKESSHLVISWRMNFLQSTLMKSMIENGARQGLKESFEQFTTLLEQTIKPVDSKDFGLNKEQILGSLQAEPQSDWKLAVQYFANFTMVSTVFMSLYVIVHICLAAPSTIQGLEFLGLDLPDSIGEFIVCGILVLQGERVLQLISRFMRARVQKGSDHGVKAQGDGWLLTVALIEGSNLAAVDSSGYCDPYVVFTCNGKTRTSSIKYQKSAPQWNEIFEFDAMKEPPSVLDLEVFDFDGPFDEATSLGHAEVNFVRSNISDLADVWVPLHGKLAQACQSKLHLRIFVENTRGGNVVTEYLRKMEKEVGKKINVRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFLSPRIIGFHANLFGHKTKFFFLWEDIEDIQVLPPTLASMGSPVIVVTLRPGRGMDARHGAKTRDEEGRLKFHYQSFVSFNVAYRTIMALWKARALSPEQKVQIVEEESEAKSLQSDESGSFLGLEDVSMSEVYSCALPVPTGFCMELFSGGELEYKAMKKAGGLDYSCTPWEPENVDAYERQICYKFDKRVSRYRGEMTSTQQKSQLPNKNGWHIEEVMTLQGVPLGDYFNIHLRYQIEDLHSKAEGCQVRVFFGIAWLKSTWHQKRITKNIISNLQQRLKVIFGVVEKEFSRR >KJB67427 pep chromosome:Graimondii2_0_v6:10:54423670:54429415:1 gene:B456_010G190600 transcript:KJB67427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIVGVIEARNIPAMDVNGFSDPYVKLQLGKQRHKTKVVKKTLNPSWGEEFSFKVEDLNEELLITVLDEDKYFNDDFVGQLKVSVSQVFDAHNKSLGTVWYSLHPRNKKLKNKECGEILLNIYFSQNNSYLDSTYNGDHASALRKHEDMDVKDISRSFSGSSSSSSPPRLDDNVSSKEEKSSAQKSLAGRITQMFNRNFDIGPTTSTRGNDLMEIPEISRADVFDNKSDDQSSSFEEAMEALCSRDQGSEIPSNLPGGVLLDQLYVIAPKELNFLLFSPDSSFPRSLAEVQGSTDPQIGPWKFENGGESLKRIYSYVRAPTKLIKAVKATEEQTYIKADGKTFAVLASVSTPDVMYGSTFKVEVLYCISPGPELPSGKESSHLVISWRMNFLQSTLMKSMIENGARQGLKESFEQFTTLLEQTIKPVDSKDFGLNKEQILGSLQAEPQSDWKLAVQYFANFTMVSTVFMSLYVIVHICLAAPSTIQGLEFLGLDLPDSIGEFIVCGILVLQGERVLQLISRFMRARVQKGSDHGVKAQGDGWLLTVALIEGSNLAAVDSSGYCDPYVVFTCNGKTRTSSIKYQKSAPQWNEIFEFDAMKEPPSVLDLEVFDFDGPFDEATSLGHAEVNFVRSNISDLADVWVPLHGKLAQACQSKLHLRIFVENTRGGNVVTEYLRKMEKEVGKKINVRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFLSPRIIGFHANLFGHKTKFFFLWEDIEDIQVLPPTLASMGSPVIVVTLRPGRGMDARHGAKTRDEEGRLKFHYQSFVSFNVAYRTIMALWKARALSPEQKVQIVEEESEAKSLQSDESGSFLGLEDVSMSEVYSCALPVPTGFCMELFSGGELEYKAMKKAGGLDYSCTPWEPENVDAYERQICYKFDKRVSRYRGEMTSTQQKSQLPNKNGWHIEEVMTLQGVPLGDYFNV >KJB67428 pep chromosome:Graimondii2_0_v6:10:54423670:54429415:1 gene:B456_010G190600 transcript:KJB67428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIVGVIEARNIPAMDVNGFSDPYVKLQLGKQRHKTKVVKKTLNPSWGEEFSFKVEDLNEELLITVLDEDKYFNDDFVGQLKVSVSQVFDAHNKSLGTVWYSLHPRNKKLKNKECGEILLNIYFSQNNSYLDSTYNGDHASALRKHEDMDVKDISRSFSGSSSSSSPPRLDDNVSSKEEKSSAQKSLAGRITQMFNRNFDIGPTTSTRGNDLMEIPEISRADVFDNKSDDQSSSFEEAMEALCSRDQGSEIPSNLPGGVLLDQLYVIAPKELNFLLFSPDSSFPRSLAEVQGSTDPQIGPWKFENGGESLKRIYSYVRAPTKLIKAVKATEEQTYIKADGKTFAVLASVSTPDVMYGSTFKVEVLYCISPGPELPSGKESSHLVISWRMNFLQSTLMKSMIENGARQGLKESFEQFTTLLEQTIKPVDSKDFGLNKEQILGSLQAEPQSDWKLAVQYFANFTMVSTVFMSLYVIVHICLAAPSTIQGLEFLGLDLPDSIGEFIVCGILVLQGERVLQLISRFMRARVQKGSDHGVKAQGDGWLLTVALIEGSNLAAVDSSGYCDPYVVFTCNGKTRTSSIKYQKSAPQWNEIFEFDAMKEPPSVLDLEVFDFDGPFDEATSLGHAEVNFVRSNISDLADVWVPLHGKLAQACQSKLHLRIFVENTRGGNVVTEYLRKMEKEVGKKINVRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFLSPRIIGFHANLFGHKTKFFFLWEDIEDIQVLPPTLASMGSPVIVVTLRPGRGMDARHGAKTRDEEGRLKFHYQSFVSFNVAYRTIMALWKARALSPEQKVQIVEEESEAKSLQSDESGSFLGLEDVSMSEVYSCALPVPVSHLSLFL >KJB66126 pep chromosome:Graimondii2_0_v6:10:28659592:28671674:1 gene:B456_010G131400 transcript:KJB66126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLVNGLKALFLVLWCLMVTTLIYTISIDGLPFRWEILTPWMAATLVDFYINVVPFAVWVSYKESSLIAATLWVILLVCLGRDGTKPKGKHSSVAIARILFSVLGCLMLGTLIYTLLTDGSPFRKELLTPWMTATLIDFYINVVALSVWVAYKESNWTTAFFWIVLLISFGSITTCAYIFKELFKLAWQDPLYLILIRKDNRAEHRYESL >KJB66127 pep chromosome:Graimondii2_0_v6:10:28659592:28671609:1 gene:B456_010G131400 transcript:KJB66127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLVNGLKALFLVLWCLMVTTLIYTISIDGLPFRWEILTPWMAATLVDFYINVVPFAVWVSYKESSLIAATLWVILLVCLGSITTSGYLFIQFLNLSAQESLEDPIYHVLLNQANKDGTKPKGKHSSVAIARILFSVLGCLMLGTLIYTLLTDGSPFRKELLTPWMTATLIDFYINVVALSVWVAYKESNWTTAFFWIVLLISFGSITTCAYIFKELFKLAWQDPLYLILIRKDNRQVHEATL >KJB66128 pep chromosome:Graimondii2_0_v6:10:28659592:28671674:1 gene:B456_010G131400 transcript:KJB66128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLVNGLKALFLVLWCLMVTTLIYTISIDGLPFRWEILTPWMAATLVDFYINVVPFAVWVSYKESSLIAATLWVILLVCLGSITTSGYLFIQFLNLSAQESLEDPIYHVLLNQANKDGTKPKGKHSSVAIARILFSVLGCLMLGTLIYTLLTDGSPFRKELLTPWMTATLIDFYINVVALSVWVAYKESNWTTAFFWIVLLISFGSITTCAYIFKELFKLAWQDPLYLILIRKDNRGEQLLWFKR >KJB66123 pep chromosome:Graimondii2_0_v6:10:28659524:28671674:1 gene:B456_010G131400 transcript:KJB66123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLVNGLKALFLVLWCLMVTTLIYTISIDGLPFRWEILTPWMAATLVDFYINVVPFAVWVSYKESSLIAATLWVILLVCLGSITTSGYLFIQFLNLSAQESLEDPIYHVLLNQANKDGTKPKGKHSSVAIARILFSVLGCLMLGTLIYTLLTDGSPFRKELLTPWMTATLIDFYINVVALSVWVAYKESNWTTAFFWIVLLISFGSITTCAYIFKELFKLAWQDPLYLILIRKDNRAEHRYESL >KJB66125 pep chromosome:Graimondii2_0_v6:10:28659592:28671674:1 gene:B456_010G131400 transcript:KJB66125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLVNGLKALFLVLWCLMVTTLIYTISIDGLPFRWEILTPWMAATLVDFYINVVPFAVWVSYKESSLIAATLWVILLVCLGSITTSGYLFIQFLNLSAQESLEDPIYHVLLNQANKDGTKPKGKHSSVAIARILFSVLGCLMLGTLIYTLLTDGSPFRKELLTPWMTATLIDFYINVVALSVWVAYKESNWTTAFFWIVLLISFGSITTCAYIFKELFKLAWQDPLYLILIRKDNRQVHEATL >KJB66124 pep chromosome:Graimondii2_0_v6:10:28659553:28671674:1 gene:B456_010G131400 transcript:KJB66124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLVNGLKALFLVLWCLMVTTLIYTISIDGLPFRWEILTPWMAATLVDFYINVVPFAVWVSYKESSLIAATLWVILLVCLGSITTSGYLFIQFLNLSAQESLEDPIYHVLLNQANKDGTKPKGKHSSVAIARILFSVLGCLMLGTLIYTLLTDGSPFRKELLTPWMTATLIDFYINVVALSVWVAYKESNWTTAFFWIVLLISFGSITTCAYIFKELFKLAWQDPLYLILIRKDNRTQV >KJB64411 pep chromosome:Graimondii2_0_v6:10:5276099:5276620:-1 gene:B456_010G048300 transcript:KJB64411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTSTVKSIGTVKWFSARRGFGFITPDDGGDDLFVHQTSILSQGFRTLSDDQPVEFSLDLGEDGRAKAVDVTPLPRSRRGGGRGKGGRGGYRRGGYGGDGACYNCGKMGHLARDCYQDDGGITRYSGGREDDVGSKRYGRGGGGSGRGEGRPGGQCFICGEEGHLARDCSE >KJB65932 pep chromosome:Graimondii2_0_v6:10:24313384:24318491:1 gene:B456_010G120600 transcript:KJB65932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRPFRYNPSPEISVIEYASTLCCFLILILVITTGNFECLSCNMGYLNSVLQSSSQVHAEYGPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTKSAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAFAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDSSLEFLILASDGLWDVVSNEEAVAMVKPIQDPEQAAKWLMQEACQRGSADNITCVVVRFLANQGGSSHTVPA >KJB65928 pep chromosome:Graimondii2_0_v6:10:24313392:24317831:1 gene:B456_010G120600 transcript:KJB65928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRPFRYNPSPEISVIEYASTLCCFLILILVITTGNFECLSCNMGYLNSVLQSSSQVHAEYGPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTKSAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAFAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDSSLEFLILASDGLWDVVSNEEAVAMVKPIQDPEQAAKWLMQEACQRGSADNITCVVVRFLANQGGSSHTVPA >KJB65927 pep chromosome:Graimondii2_0_v6:10:24313451:24317793:1 gene:B456_010G120600 transcript:KJB65927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRPFRYNPSPEISVIEYASTLCCFLILILVITTGNFECLSCNMGYLNSVLQSSSQVHAEYGPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTKSAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAFAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDSSLEFLILASDGLWDVVSNEEAVAMVKPIQDPEQAAKWLMQEACQRGSADNITCVVVRFLANQGGSSHTVPA >KJB65930 pep chromosome:Graimondii2_0_v6:10:24313656:24317104:1 gene:B456_010G120600 transcript:KJB65930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRPFRYNPSPEISVIEYASTLCCFLILILVITTGNFECLSCNMGYLNSVLQSSSQVHAEYGPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTKSAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAFAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDSSLEFLILASDGLWDVVSNEVWESSHLTGTPESWRLWQW >KJB65931 pep chromosome:Graimondii2_0_v6:10:24313451:24318211:1 gene:B456_010G120600 transcript:KJB65931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRPFRYNPSPEISVIEYASTLCCFLILILVITTGNFECLSCNMGYLNSVLQSSSQVHAEYGPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTKSAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAFAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDSSLEFLILASDGLWDVVSNEEAVAMVKPIQDPEQAAKWLMQEACQRGSADNITCVVVRFLANQAY >KJB65929 pep chromosome:Graimondii2_0_v6:10:24313451:24317793:1 gene:B456_010G120600 transcript:KJB65929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRPFRYNPSPEISVIEYASTLCCFLILILVITTGNFECLSCNMGYLNSVLQSSSQVHAEYGPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTKSAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAFAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDSSLEFLILASDGLWDVVSNEVWESSHLTGTPESW >KJB68295 pep chromosome:Graimondii2_0_v6:10:60746865:60749002:1 gene:B456_010G237200 transcript:KJB68295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASQSHEDSTHPQDSKPNLENNRVELKNPESNISVRPTEKKTDFVDSRSSKTLEKTQVPYNYETILRDVDSRIDTSTMDKLISQLHYGVFLNQKRKKYWVDKNNKNCFMLFARDLSITWAENDRHWRWFYQKETSTSDVSIEVAELVAVCWLELVGKFPVSKLSPSTLYEVVFIVMLREASFGWETAINLKLTLPNGQKIERKETLMNKPRETWIEIPVGEFKASFDEQKTKNSGDLEIYIHEYDVGEWKRGLVVKGVAIRAKN >KJB65357 pep chromosome:Graimondii2_0_v6:10:14466643:14467200:-1 gene:B456_010G091500 transcript:KJB65357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLLESPLEALAFNYVSFGIFTVIHNLWTWIAILTAAVSFWRIRAVVGASVQSNDQKPSASISDRAHDESRPILGADEKPTPSASVSTPPPASVSETSGSQLVTKGGKFKLTVYYVDDDVDVDGGMTVTEWSDGGEGRCCGEWWESWERVLRLRKGEAGWYRYQDLTALNGNVVRLWDESCRRW >KJB67178 pep chromosome:Graimondii2_0_v6:10:52609029:52611604:-1 gene:B456_010G180100 transcript:KJB67178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVSLLTMLFLELFMLFACFHECLSSPVDQLKSYILTQRGADAFLPEITPSAAPQPFLPLLAPSPLSPFTNSTIPKLSGLCMLNFTASQSLMTMTSTDCWAAFAPLLANVICCPQLHATLVILVGQLSKETGVLALNRTLAKPCLSDIEQVLEGQGASDDLKQVCLIHPSNLTEASCPVKDVDEFENTVNSSELLASCEKIDPVKECCDQVCQGAISDAATRIALKSSDPLSMDGTHVLPEYSTRVNDCKTVVLRWLASKLNPYRAKEVLRGLTNCNVNKVCPLVFPNMRHVANSCGNGISSQTACCDAMDSYVSHLQKQTLITNLQALDCATALGLKLQTYNITKNVYSLCHISLKDFSLQVGSQVSGCLLPSLPSDATFDKFSGISFICDLNDNIPAPWPNPSLLPASSCNKTVRIPALPAATNAQSSKK >KJB67181 pep chromosome:Graimondii2_0_v6:10:52609468:52611790:-1 gene:B456_010G180100 transcript:KJB67181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVSLLTMLFLELFMLFACFHECLSSPVDQLKSYILTQRGADAFLPEITPSAAPQPFLPLLAPSPLSPFTNSTIPKLSGLCMLNFTASQSLMTMTSTDCWAAFAPLLANVICCPQLHATLVILVGQLSKETGVLALNRTLAKPCLSDIEQVLEGQGASDDLKQVCLIHPSNLTEASCPVKDVDEFENTVNSSELLASCEKIDPVKECCDQVCQGAISDAATRIALKSSDPLSMDGTHVLPEYSTRVNDCKTVVLRWLASKLNPYRAKEVLRGLTNCNVNKVCPLVFPNMRHVANSCGNGISSQTACCDAMDSYVSHLQKQTLITNLQALDCATALGLKLQTYNITKNVYSLCHISLKDFSLQG >KJB67179 pep chromosome:Graimondii2_0_v6:10:52608401:52611790:-1 gene:B456_010G180100 transcript:KJB67179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVSLLTMLFLELFMLFACFHECLSSPVDQLKSYILTQRGADAFLPEITPSAAPQPFLPLLAPSPLSPFTNSTIPKLSDIEQVLEGQGASDDLKQVCLIHPSNLTEASCPVKDVDEFENTVNSSELLASCEKIDPVKECCDQVCQGAISDAATRIALKSSDPLSMDGTHVLPEYSTRVNDCKTVVLRWLASKLNPYRAKEVLRGLTNCNVNKVCPLVFPNMRHVANSCGNGISSQTACCDAMDSYVSHLQKQTLITNLQALDCATALGLKLQTYNITKNVYSLCHISLKDFSLQVGSQVSGCLLPSLPSDATFDKFSGISFICDLNDNIPAPWPNPSLLPASSCNKTVRIPALPAATNAQSRLYNEYAAVYLLIASSLTMMIL >KJB67177 pep chromosome:Graimondii2_0_v6:10:52609175:52611604:-1 gene:B456_010G180100 transcript:KJB67177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVSLLTMLFLELFMLFACFHECLSSPVDQLKSYILTQRGADAFLPEITPSAAPQPFLPLLAPSPLSPFTNSTIPKLSGLCMLNFTASQSLMTMTSTDCWAAFAPLLANVICCPQLHATLVILVGQLSKETGVLALNRTLAKPCLSDIEQVLEGQGASDDLKQVCLIHPSNLTEASCPVKDVDEFENTVNSSELLASCEKIDPVKECCDQVCQGAISDAATRIALKSSDPLSMDGTHVLPEYSTRVNDCKTVVLRWLASKLNPYRAKEVLRGLTNCNVNKVCPLVFPNMRHVANSCGNGISSQTACCDAMDSYVSHLQKQTLITNLQALDCATALGLKLQTYNITKNVYSLCHISLKDFSLQVGSQVSGCLLPSLPSDATFDKFSGISFICDLNDNIPAPWPNPSLLPASSCNKSMYSLSLLLAAKI >KJB67175 pep chromosome:Graimondii2_0_v6:10:52608401:52611257:-1 gene:B456_010G180100 transcript:KJB67175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFTASQSLMTMTSTDCWAAFAPLLANVICCPQLHATLVILVGQLSKETGVLALNRTLAKPCLSDIEQVLEGQGASDDLKQVCLIHPSNLTEASCPVKDVDEFENTVNSSELLASCEKIDPVKECCDQVCQGAISDAATRIALKSSDPLSMDGTHVLPEYSTRVNDCKTVVLRWLASKLNPYRAKEVLRGLTNCNVNKVCPLVFPNMRHVANSCGNGISSQTACCDAMDSYVSHLQKQTLITNLQALDCATALGLKLQTYNITKNVYSLCHISLKDFSLQVGSQVSGCLLPSLPSDATFDKFSGISFICDLNDNIPAPWPNPSLLPASSCNKTVRIPALPAATNAQSRLYNEYAAVYLLIASSLTMMIL >KJB67173 pep chromosome:Graimondii2_0_v6:10:52608388:52611907:-1 gene:B456_010G180100 transcript:KJB67173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVSLLTMLFLELFMLFACFHECLSSPVDQLKSYILTQRGADAFLPEITPSAAPQPFLPLLAPSPLSPFTNSTIPKLSGLCMLNFTASQSLMTMTSTDCWAAFAPLLANVICCPQLHATLVILVGQLSKETGVLALNRTLAKPCLSDIEQVLEGQGASDDLKQVCLIHPSNLTEASCPVKDVDEFENTVNSSELLASCEKIDPVKECCDQVCQGAISDAATRIALKSSDPLSMDGTHVLPEYSTRVNDCKTVVLRWLASKLNPYRAKEVLRGLTNCNVNKVCPLVFPNMRHVANSCGNGISSQTACCDAMDSYVSHLQKQTLITNLQALDCATALGLKLQTYNITKNVYSLCHISLKDFSLQVGSQVSGCLLPSLPSDATFDKFSGISFICDLNDNIPAPWPNPSLLPASSCNKTVRIPALPAATNAQSRLYNEYAAVYLLIASSLTMMIL >KJB67176 pep chromosome:Graimondii2_0_v6:10:52609029:52611604:-1 gene:B456_010G180100 transcript:KJB67176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVSLLTMLFLELFMLFACFHECLSSPVDQLKSYILTQRGADAFLPEITPSAAPQPFLPLLAPSPLSPFTNSTIPKLSGLCMLNFTASQSLMTMTSTDCWAAFAPLLANVICCPQLHATLVILVGQLSKETGVLALNRTLAKPCLSDIEQVLEGQGASDDLKQVCLIHPSNLTEASCPVKDVDEFENTVNSSELLASCEKIDPVKECCDQVCQGAISDAATRIALKSSDPLSMDGTHVLPEYSTRVNDCKTVVLRWLASKLNPYRAKEVLRGLTNCNVNKVCPLVFPNMRHVANSCGNGISSQTACCDAMDSYVSHLQKQTLITNLQALDCATALGLKLQTYNITKNVYSLCHISLKDFSLQVGSQVSGCLLPSLPSDATFDKFSGISFICDLNDNIPAPWPNPSLLPASSCNKTVRIPALPAATNAQSSKK >KJB67180 pep chromosome:Graimondii2_0_v6:10:52609175:52611604:-1 gene:B456_010G180100 transcript:KJB67180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVSLLTMLFLELFMLFACFHECLSSPVDQLKSYILTQRGADAFLPEITPSAAPQPFLPLLAPSPLSPFTNSTIPKLSGLCMLNFTASQSLMTMTSTDCWAAFAPLLANVICCPQLHATLVILVGQLSKETGVLALNRTLAKPCLSDIEQVLEGQGASDDLKQVCLIHPSNLTEASCPVKDVDEFENTVNSSELLASCEKIDPVKECCDQVCQGAISDAATRIALKSSDPLSMDGTHVLPEYSTRVNDCKTVVLRWLASKLNPYRAKEVLRGLTNCNVNKVCPLVFPNMRHVANSCGNGISSQTACCDAMDSYVSHLQKQTLITNLQALDCATALGLKLQTYNITKNVYSLCHISLKDFSLQVGSQVSGCLLPSLPSDATFDKFSGISFICDLNDNIPAPWPNPSLLPASSCNKSMYSLSLLLAAKI >KJB67174 pep chromosome:Graimondii2_0_v6:10:52608401:52611790:-1 gene:B456_010G180100 transcript:KJB67174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFTASQSLMTMTSTDCWAAFAPLLANVICCPQLHATLVILVGQLSKETGVLALNRTLAKPCLSDIEQVLEGQGASDDLKQVCLIHPSNLTEASCPVKDVDEFENTVNSSELLASCEKIDPVKECCDQVCQGAISDAATRIALKSSDPLSMDGTHVLPEYSTRVNDCKTVVLRWLASKLNPYRAKEVLRGLTNCNVNKVCPLVFPNMRHVANSCGNGISSQTACCDAMDSYVSHLQKQTLITNLQALDCATALGLKLQTYNITKNVYSLCHISLKDFSLQVGSQVSGCLLPSLPSDATFDKFSGISFICDLNDNIPAPWPNPSLLPASSCNKTVRIPALPAATNAQSRLYNEYAAVYLLIASSLTMMIL >KJB66157 pep chromosome:Graimondii2_0_v6:10:28181736:28182795:1 gene:B456_010G129900 transcript:KJB66157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPGYRVDTIISNDPDRLLSVHIMHTTLVSSWASSMALYELAVFYPSDLVLDPMWRQGMETVTNPRIWSYEGVVGAHIVFSGFYFLAVIWHWVYWDLEIFCDEHTEKASLDLRKIFGIHLFLSRVACFGFGAFYVTGLYGLGIWVSDPYGLTGKVQPVNLTWGVEGFDPFVPRGIAFHHIVAGTLGILAGLVHLSVCPPRRLYKRLCMGNIEIVLSSSIVVVFFAAFVVAGTMWVSVGLAENQSLSEAWSKILEKLVFYDYIGNNPAKGGLFRIGSMDNGDGIVVGWLGHPIFRDKDGRELFT >KJB67270 pep chromosome:Graimondii2_0_v6:10:53477888:53482966:-1 gene:B456_010G184300 transcript:KJB67270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MAPSFVTNGDVAAVKTTPFAGRLASVYSEVQTSRIDHALPVPSVLRSPFKIVDGPASSAAGNPDEIAKLFPNLFGQPSSMLVPNGVDNIGSDQKLKIGVVLSGGQAPGGHNVISGIFDYLQERAPGSTLYGFKGGPAGIMKGKYVELTADYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAAKLDLDGLVVIGGDDSNTNACLLAENFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDLIAELNEILAHDVVDENGLWKKKLTDQSLKLFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQEGSYKANFKGQSHFFGYEGRCGLPTNFDASYCYALGYGAGALLHSGKTGLISSVGNLCAPVEEWTVGGTALTSMMDVERRHGKFKPVIKKAMVELDGAPFKKFASLREEWASKNCYISPGPIQFVGPASNAVNHTLLLELGAQA >KJB67271 pep chromosome:Graimondii2_0_v6:10:53478398:53482321:-1 gene:B456_010G184300 transcript:KJB67271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MLVPNGVDNIGSDQKLKIGVVLSGGQAPGGHNVISGIFDYLQERAPGSTLYGFKGGPAGIMKGKYVELTADYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAAKLDLDGLVVIGGDDSNTNACLLAENFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYMVDVICKRAELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDENGLWKKKLTDQSLKLFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQEGSYKANFKGQSHFFGYEGRCGLPTNFDASYCYALGYGAGALLHSGKTGLISSVGNLCAPVEEWTVGGTALTSMMDVERRHGKFKPVIKKAMVELDGAPFKKFASLREEWASKNCYISPGPIQFVGPASNAVNHTLLLELGAQA >KJB67274 pep chromosome:Graimondii2_0_v6:10:53477888:53482966:-1 gene:B456_010G184300 transcript:KJB67274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MAPSFVTNGDVAAVKTTPFAGRLASVYSEVQTSRIDHALPVPSVLRSPFKIVDGPASSAAGNPDEIAKLFPNLFGQPSSMLVPNGVDNIGSDQKLKIGVVLSGGQAPGGHNVISGIFGSTLYGFKGGPAGIMKGKYVELTADYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAAKLDLDGLVVIGGDDSNTNACLLAENFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYMVDVICKRAELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDENGLWKKKLTDQSLKLFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQEGSYKANFKGQSHFFGYEGRCGLPTNFDASYCYALGYGAGALLHSGKTGLISSVGNLCAPVEEWTVGGTALTSMMDVERRHGKFKPVIKKAMVELDGAPFKKFASLREEWASKNCYISPGPIQFVGPASNAVNHTLLLELGAQA >KJB67272 pep chromosome:Graimondii2_0_v6:10:53478398:53482132:-1 gene:B456_010G184300 transcript:KJB67272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MEINFFPFGMKDYLQERAPGSTLYGFKGGPAGIMKGKYVELTADYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAAKLDLDGLVVIGGDDSNTNACLLAENFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYMVDVICKRAELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDENGLWKKKLTDQSLKLFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQEGSYKANFKGQSHFFGYEGRCGLPTNFDASYCYALGYGAGALLHSGKTGLISSVGNLCAPVEEWTVGGTALTSMMDVERRHGKFKPVIKKAMVELDGAPFKKFASLREEWASKNCYISPGPIQFVGPASNAVNHTLLLELGAQA >KJB67275 pep chromosome:Graimondii2_0_v6:10:53477888:53482966:-1 gene:B456_010G184300 transcript:KJB67275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MAPSFVTNGDVAAVKTTPFAGRLASVYSEVQTSRIDHALPVPSVLRSPFKIVDGPASSAAGNPDEIAKLFPNLFGQPSSMLVPNGVDNIGSDQKLKIGVVLSGGQAPGGHNVISGIFDYLQERAPGSTLYGFKGGPAGIMKGKYVELTADYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAAKLDLDGLVVIGGDDSNTNACLLAENFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYMVDVICKRAELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDENGLWKKKLTDQSLKLFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQEGSYKANFKGQSHFFGYEGRCGLPTNFDASYCYALGYGAGALLHSGKTGLISSVGNLCAPVEEWTVGGTALTSMMDVERR >KJB67269 pep chromosome:Graimondii2_0_v6:10:53477489:53482966:-1 gene:B456_010G184300 transcript:KJB67269 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MAPSFVTNGDVAAVKTTPFAGRLASVYSEVQTSRIDHALPVPSVLRSPFKIVDGPASSAAGNPDEIAKLFPNLFGQPSSMLVPNGVDNIGSDQKLKIGVVLSGGQAPGGHNVISGIFDYLQERAPGSTLYGFKGGPAGIMKGKYVELTADYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAAKLDLDGLVVIGGDDSNTNACLLAENFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYMVDVICKRAELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDENGLWKKKLTDQSLKLFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQEGSYKANFKGQSHFFGYEGRCGLPTNFDASYCYALGYGAGALLHSGKTGLISSVGNLCAPVEEWTVGGTALTSMMDVERRHGKFKPVIKKAMVELDGAPFKKFASLREEWASKNCYISPGPIQFVGPASNAVNHTLLLELGAQA >KJB67273 pep chromosome:Graimondii2_0_v6:10:53478444:53482704:-1 gene:B456_010G184300 transcript:KJB67273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MAPSFVTNGDVAAVKTTPFAGRLASVYSEVQTSRIDHALPVPSVLRSPFKIVDGPASSAAGNPDEIAKLFPNLFGQPSSMLVPNGVDNIGSDQKLKIGVVLSGGQAPGGHNVISGIFDYLQERAPGSTLYGFKGGPAGIMKGKYVELTADYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAAKLDLDGLVVIGGDDSNTNACLLAENFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYMVDVICKRAELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDENGLWKKKLTDQSLKLFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQEGSYKANFKGQSHFFGYEGRCGLPTNFDASYCYALGYGAGALLHSGKTGLISSVGNLCAPVEEWTVGGTALTSMMDVERRHGKFKPVIKKAMVELDGAPFKKFASLREEWASKNCYISPGWCLILSYSICWASF >KJB63849 pep chromosome:Graimondii2_0_v6:10:1545534:1549855:1 gene:B456_010G020400 transcript:KJB63849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGFQEQSTIRSSYRARDASPDSVIFTPESNFSLFSSASASVDRCSFASDAHDHDSLASELSLHLAGHETGDQNESLSGPDSNTNKANAVLNHSRFSRKGEKVKVEKDENDTVHVKDENQLIDSARNSFSLATKASSPRLGTMKKSSVSTRKSGAFPSPGTPNYHHHNNLSAGMQKGSSSERVPLHNNGVKRQGNVAGMLPCNNGRTLPSKWENAERWILSPGNSGVKQSVMHPQRRPKSKSGPLGPPGAAYNSLYSPSMYILDGGNMGNFMAGSPFSAGIISANGLVAHSRSHGGGFAVRTEPCMARSVSVHGCSEVVSPPSLPSQDENLDMVKDAATDISRTVSRRDMATQMSPQGSTCSSTKESASFSLSTPSPLPIMELQSIHASKSEVRDVQIDERVTMTRWSKKHRAGNTAKSSEIVDDWRKKAADTRTPTWDVTETAKCVSTYEREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQRRAQEMRSSMLSNQSHQVTRTSRKAIPFHGTSLTDCFTCLTF >KJB63851 pep chromosome:Graimondii2_0_v6:10:1545534:1549855:1 gene:B456_010G020400 transcript:KJB63851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGFQEQSTIRSSYRARDASPDSVIFTPESNFSLFSSASASVDRCSFASDAHDHDSLASELSLHLAGHETGDQNESLSGPDSNTNKANAVLNHSRFSRKGEKVKVEKDENDTVHVKDENQLIDSARNSFSLATKDCKDRKIRSEASRIPTSLDLNNVSASSPRLGTMKKSSVSTRKSGAFPSPGTPNYHHHNNLSAGMQKGSSSERVPLHNNGVKRQGNVAGMLPCNNGRTLPSKWENAERWILSPGNSGVKQSVMHPQRRPKSKSGPLGPPGAAYNSLYSPSMYILDGGNMGNFMAGSPFSAGIISANGLVAHSRSHGGGFAVRTEPCMARSVSVHGCSEVVSPPSLPSQDADENLDMVKDAATDISRTVSRRDMATQMSPQGSTCSSTKESASFSLSTPSPLPIMELQSIHASKSEVRDVQIDERVTMTRWSKKHRAGNTAKSSEIVDDWRKKAADTRTPTWDVTETAKYEREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQRRAQEMRSSMLSNQSHQVTRTSRKAIPFHGTSLTDCFTCLTF >KJB63848 pep chromosome:Graimondii2_0_v6:10:1545534:1549855:1 gene:B456_010G020400 transcript:KJB63848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGFQEQSTIRSSYRARDASPDSVIFTPESNFSLFSSASASVDRCSFASDAHDHDSLASELSLHLAGHETGDQNESLSGPDSNTNKANAVLNHSRFSRKGEKVKVEKDENDTVHVKDENQLIDSARNSFSLATKASSPRLGTMKKSSVSTRKSGAFPSPGTPNYHHHNNLSAGMQKGSSSERVPLHNNGVKRQGNVAGMLPCNNGRTLPSKWENAERWILSPGNSGVKQSVMHPQRRPKSKSGPLGPPGAAYNSLYSPSMYILDGGNMGNFMAGSPFSAGIISANGLVAHSRSHGGGFAVRTEPCMARSVSVHGCSEVVSPPSLPSQDENLDMVKDAATDISRTVSRRDMATQMSPQGSTCSSTKESASFSLSTPSPLPIMELQSIHASKSEVRDVQIDERVTMTRWSKKHRAGNTAKSSEIVDDWRKKAADTRTPTWDVTETAKYEREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQRRAQEMRSSMLSNQSHQVTRTSRKAIPFHGTSLTDCFTCLTF >KJB63854 pep chromosome:Graimondii2_0_v6:10:1545534:1551106:1 gene:B456_010G020400 transcript:KJB63854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGFQEQSTIRSSYRARDASPDSVIFTPESNFSLFSSASASVDRCSFASDAHDHDSLASELSLHLAGHETGDQNESLSGPDSNTNKANAVLNHSRFSRKGEKVKVEKDENDTVHVKDENQLIDSARNSFSLATKDCKDRKIRSEASRIPTSLDLNNVSASSPRLGTMKKSSVSTRKSGAFPSPGTPNYHHHNNLSAGMQKGSSSERVPLHNNGVKRQGNVAGMLPCNNGRTLPSKWENAERWILSPGNSGVKQSVMHPQRRPKSKSGPLGPPGAAYNSLYSPSMYILDGGNMGNFMAGSPFSAGIISANGLVAHSRSHGGGFAVRTEPCMARSVSVHGCSEVVSPPSLPSQDENLDMVKDAATDISRTVSRRDMATQMSPQGSTCSSTKESASFSLSTPSPLPIMELQSIHASKSEVRDVQIDERVTMTRWSKKHRAGNTAKSSEIVDDWRKKAADTRTPTWDVTETAKYEREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQRRAQEMRSSMLSNQSHQVTRTSRKAIPFHGTSLTDCFTCLTF >KJB63845 pep chromosome:Graimondii2_0_v6:10:1545534:1549855:1 gene:B456_010G020400 transcript:KJB63845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGFQEQSTIRSSYRARDASPDSVIFTPESNFSLFSSASASVDRCSFASDAHDHDSLASELSLHLAGHETGDQNESLSGPDSNTNKANAVLNHSRFSRKGEKVKVEKDENDTVHVKDENQLIDSARNSFSLATKDCKDRKIRSEASRIPTSLDLNNVSASSPRLGTMKKSSVSTRKSGAFPSPGTPNYHHHNNLSAGMQKGSSSERVPLHNNGVKRQGNVAGMLPCNNGRTLPSKWENAERWILSPGNSGVKQSVMHPQRRPKSKSGPLGPPGAAYNSLYSPSMYILDGGNMGNFMAGSPFSAGIISANGLVAHSRSHGGGFAVRTEPCMARSVSVHGCSEVVSPPSLPSQDENLDMVKDAATDISRTVSRRDMATQMSPQGSTCSSTKESASFSLSTPSPLPIMELQSIHASKSEVRDVQIDERVTMTRWSKKHRAGNTAKSSEIVDDWRKKAADTRTPTWDVTETAKCVSTYEREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQRRAQEMRSSMLSNQSHQVTRTSRKAIPFHGTSLTDCFTCLTF >KJB63853 pep chromosome:Graimondii2_0_v6:10:1545534:1549855:1 gene:B456_010G020400 transcript:KJB63853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGFQEQSTIRSSYRARDASPDSVIFTPESNFSLFSSASASVDRCSFASDAHDHDSLASELSLHLAGHETGDQNESLSGPDSNTNKANAVLNHSRFSRKGEKVKVEKDENDTVHVKDENQLIDSARNSFSLATKDCKDRKIRSEASRIPTSLDLNNVSASSPRLGTMKKSSVSTRKSGAFPSPGTPNYHHHNNLSAGMQKGSSSERVPLHNNGVKRQGNVAGMLPCNNGRTLPSKWENAERWILSPGNSGVKQSVMHPQRRPKSKSGPLGPPGAAYNSLYSPSMYILDGGNMGNFMAGSPFSAGIISANGLVAHSRSHGGGFAVRTEPCMARSVSVHGCSEVVSPPSLPSQDENLDMVKDAATDISRTVSRRDMATQMSPQGSTCSSTKESASFSLSTPSPLPIMELQSIHASKSEVRDVQIDERVTMTRWSKKHRAGNTAKSSEIVDDWRKKAADTRTPTWDVTETAKCVSTYEREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQRRAQEMRSSMLSNQSHQVTRTSRKAIPFHGTSLTDCFTCLTF >KJB63847 pep chromosome:Graimondii2_0_v6:10:1547061:1548823:1 gene:B456_010G020400 transcript:KJB63847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSSVSTRKSGAFPSPGTPNYHHHNNLSAGMQKGSSSERVPLHNNGVKRQGNVAGMLPCNNGRTLPSKWENAERWILSPGNSGVKQSVMHPQRRPKSKSGPLGPPGAAYNSLYSPSMYILDGGNMGNFMAGSPFSAGIISANGLVAHSRSHGGGFAVRTEPCMARSVSVHGCSEVVSPPSLPSQDENLDMVKDAATDISRTVSRRDMATQMSPQGSTCSSTKESASFSLSTPSPLPIMELQSIHASKSEVRDVQIDERVTMTRWSKKHRAGNTAKSSEIVDDWRKKAADTRTPTWDVTETAKCVSTYEREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQRRAQEMRSSMLSNQSHQVTRTSRKAIPFHGTSLTDCFTCLTF >KJB63846 pep chromosome:Graimondii2_0_v6:10:1545517:1549855:1 gene:B456_010G020400 transcript:KJB63846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGFQEQSTIRSSYRARDASPDSVIFTPESNFSLFSSASASVDRCSFASDAHDHDSLASELSLHLAGHETGDQNESLSGPDSNTNKANAVLNHSRFSRKGEKVKVEKDENDTVHVKDENQLIDSARNSFSLATKDCKDRKIRSEASRIPTSLDLNNVSASSPRLGTMKKSSVSTRKSGAFPSPGTPNYHHHNNLSAGMQKGSSSERVPLHNNGVKRQGNVAGMLPCNNGRTLPSKWENAERWILSPGNSGVKQSVMHPQRRPKSKSGPLGPPGAAYNSLYSPSMYILDGGNMGNFMAGSPFSAGIISANGLVAHSRSHGGGFAVRTEPCMARSVSVHGCSEVVSPPSLPSQDENLDMVKDAATDISRTVSRRDMATQMSPQGSTCSSTKESASFSLSTPSPLPIMELQSIHASKSEVRDVQIDERVTMTRWSKKHRAGNTAKSSEIVDDWRKKAADTRTPTWDVTETAKCVSTYEREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQRRAQEMRSSMLSNQSHQVTRTSRKAIPFHGTSLTDCFTCLTF >KJB63843 pep chromosome:Graimondii2_0_v6:10:1545517:1549855:1 gene:B456_010G020400 transcript:KJB63843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGFQEQSTIRSSYRARDASPDSVIFTPESNFSLFSSASASVDRCSFASDAHDHDSLASELSLHLAGHETGDQNESLSGPDSNTNKANAVLNHSRFSRKGEKVKVEKDENDTVHVKDENQLIDSARNSFSLATKDCKDRKIRSEASRIPTSLDLNNVSASSPRLGTMKKSSVSTRKSGAFPSPGTPNYHHHNNLSAGMQKGSSSERVPLHNNGVKRQGNVAGMLPCNNGRTLPSKWENAERWILSPGNSGVKQSVMHPQRRPKSKSGPLGPPGAAYNSLYSPSMYILDGGNMGNFMAGSPFSAGIISANGLVAHSRSHGGGFAVRTEPCMARSVSVHGCSEVVSPPSLPSQDENLDMVKDAATDISRTVSRRDMATQMSPQGSTCSSTKESASFSLSTPSPLPIMELQSIHASKSEVRDVQIDERVTMTRWSKKHRAGNTAKSSEIVDDWRKKAADTRTPTWDVTETAKYEREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQRRAQEMRSSMLSNQSHQVTRTSRKAIPFHGTSLTDCFTCLTF >KJB63852 pep chromosome:Graimondii2_0_v6:10:1545534:1549855:1 gene:B456_010G020400 transcript:KJB63852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGFQEQSTIRSSYRARDASPDSVIFTPESNFSLFSSASASVDRCSFASDAHDHDSLASELSLHLAGHETGDQNESLSGPDSNTNKANAVLNHSRFSRKGEKVKVEKDENDTVHVKDENQLIDSARNSFSLATKDCKDRKIRSEASRIPTSLDLNNVSASSPRLGTMKKSSVSTRKSGAFPSPGTPNYHHHNNLSAGMQKGSSSERVPLHNNGVKRQGNVAGMLPCNNGRTLPSKWENAERWILSPGNSGVKQSVMHPQRRPKSKSGPLGPPGAAYNSLYSPSMYILDGGNMGNFMAGSPFSAGIISANGLVAHSRSHGGGFAVRTEPCMARSVSVHGCSEVVSPPSLPSQDADENLDMVKDAATDISRTVSRRDMATQMSPQGSTCSSTKESASFSLSTPSPLPIMELQSIHASKSEVRDVQIDERVTMTRWSKKHRAGNTAKSSEIVDDWRKKAADTRTPTWDVTETAKCVSTYEREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQRRAQEMRSSMLSNQSHQVTRTSRKAIPFHGTSLTDCFTCLTF >KJB63850 pep chromosome:Graimondii2_0_v6:10:1545534:1549311:1 gene:B456_010G020400 transcript:KJB63850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSSVSTRKSGAFPSPGTPNYHHHNNLSAGMQKGSSSERVPLHNNGVKRQGNVAGMLPCNNGRTLPSKWENAERWILSPGNSGVKQSVMHPQRRPKSKSGPLGPPGAAYNSLYSPSMYILDGGNMGNFMAGSPFSAGIISANGLVAHSRSHGGGFAVRTEPCMARSVSVHGCSEVVSPPSLPSQDENLDMVKDAATDISRTVSRRDMATQMSPQGSTCSSTKESASFSLSTPSPLPIMELQSIHASKSEVRDVQIDERVTMTRWSKKHRAGNTAKSSEIVDDWRKKAADTRTPTWDVTETAKCVSTYEREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQRRAQEMRSSMLSNQSHQVTRTSRKAIPFHGTSLTDCFTCLTF >KJB63844 pep chromosome:Graimondii2_0_v6:10:1545534:1551106:1 gene:B456_010G020400 transcript:KJB63844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGFQEQSTIRSSYRARDASPDSVIFTPESNFSLFSSASASVDRCSFASDAHDHDSLASELSLHLAGHETGDQNESLSGPDSNTNKANAVLNHSRFSRKGEKVKVEKDENDTVHVKDENQLIDSARNSFSLATKDCKDRKIRSEASRIPTSLDLNNVSASSPRLGTMKKSSVSTRKSGAFPSPGTPNYHHHNNLSAGMQKGSSSERVPLHNNGVKRQGNVAGMLPCNNGRTLPSKWENAERWILSPGNSGVKQSVMHPQRRPKSKSGPLGPPGAAYNSLYSPSMYILDGGNMGNFMAGSPFSAGIISANGLVAHSRSHGGGFAVRTEPCMARSVSVHGCSEVVSPPSLPSQDENLDMVKDAATDISRTVSRRDMATQMSPQGSTCSSTKESASFSLSTPSPLPIMELQSIHASKSEVRDVQIDERVTMTRWSKKHRAGNTAKSSEIVDDWRKKAADTRTPTWDVTETAKCVSTYEREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQRRAQEMRSSMLSNQSHQVTRTSRKAIPFHGTSLTDCFTCLTF >KJB66956 pep chromosome:Graimondii2_0_v6:10:48747401:48753076:1 gene:B456_010G168100 transcript:KJB66956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRTSAASKTRVGKYELGRTLGEGSFAKVKFAKNIEAGENVAIKIVDKEKVLKHKMIGQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVLEFVTGGELFNKIASRGRFKEDEARKYFQQLIHAVDYCHSRGVYHRDLKPENLLLDANGVLKVSDFGLSALPQQVGDDGLLHTTCGTPNYVAPEVINNKGYHGAKADLWSCGVILFVLMAGYLPFEHPNLMGLYKKIFKADFDCPPWFSSSAKKLIKRILDPNPLTRITVAEIIENEWFKKGYIPPRFERADVSLDDVDAIFNESRDSRNLVEQCEEGSVVPATMNAFELISTSQGLNLSSLFEKQMGLIKRETRFTSKCPANEIISKIEKTAMPMGFGVKKNNYKMKLLGEKTGRKGHLAVTTEIFQVAPSLFMVELRKSEGDTLEFHKFYNNLSTGLKDIVWTTTDRRKVEEKDG >KJB66955 pep chromosome:Graimondii2_0_v6:10:48747300:48753729:1 gene:B456_010G168100 transcript:KJB66955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRTSAASKTRVGKYELGRTLGEGSFAKVKFAKNIEAGENVAIKIVDKEKVLKHKMIGQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVLEFVTGGELFNKIASRGRFKEDEARKYFQQLIHAVDYCHSRGVYHRDLKPENLLLDANGVLKVSDFGLSALPQQVGDDGLLHTTCGTPNYVAPEVINNKGYHGAKADLWSCGVILFVLMAGYLPFEHPNLMGLYKKIFKADFDCPPWFSSSAKKLIKRILDPNPLTRITVAEIIENEWFKKGYIPPRFERADVSLDDVDAIFNESRDSRNLVEQCEEGSVVPATMNAFELISTSQGLNLSSLFEKQMMKLLGEKTGRKGHLAVTTEIFQVAPSLFMVELRKSEGDTLEFHKFYNNLSTGLKDIVWTTTDRRKVEEKDGCAAGSSPPNDGHSQSQCAIR >KJB66957 pep chromosome:Graimondii2_0_v6:10:48747401:48753729:1 gene:B456_010G168100 transcript:KJB66957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRTSAASKTRVGKYELGRTLGEGSFAKVKFAKNIEAGENVAIKIVDKEKVLKHKMIGQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVLEFVTGGELFNKIASRGRFKEDEARKYFQQLIHAVDYCHSRGVYHRDLKPENLLLDANGVLKVSDFGLSALPQQVGDDGLLHTTCGTPNYVAPEVINNKGYHGAKADLWSCGVILFVLMAGYLPFEHPNLMGLYKKADFDCPPWFSSSAKKLIKRILDPNPLTRITVAEIIENEWFKKGYIPPRFERADVSLDDVDAIFNESRDSRNLVEQCEEGSVVPATMNAFELISTSQGLNLSSLFEKQMGLIKRETRFTSKCPANEIISKIEKTAMPMGFGVKKNNYKMKLLGEKTGRKGHLAVTTEIFQVAPSLFMVELRKSEGDTLEFHKFYNNLSTGLKDIVWTTTDRRKVEEKDGCAAGSSPPNDGHSQSQCAIR >KJB66958 pep chromosome:Graimondii2_0_v6:10:48747401:48753729:1 gene:B456_010G168100 transcript:KJB66958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRTSAASKTRVGKYELGRTLGEGSFAKVKFAKNIEAGENVAIKIVDKEKVLKHKMIGQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVLEFVTGGELFNKIASRGRFKEDEARKYFQQLIHAVDYCHSRGVYHRDLKPENLLLDANGVLKVSDFGLSALPQQVGDDGLLHTTCGTPNYVAPEVINNKGYHGAKADLWSCGVILFVLMAGYLPFEHPNLMGLYKKIFKADFDCPPWFSSSAKKLIKRILDPNPLTRITVAEIIENEWFKKGYIPPRFERADVSLDDVDAIFNESRDSRNLVEQCEEGSVVPATMNAFELISTSQGLNLSSLFEKQMGLIKRETRFTSKCPANEIISKIEKTAMPMGFGVKKNNYKMKLLGEKTGRKGHLAVTTEIFQVAPSLFMVELRKSEGDTLEFHKFYNNLSTGLKDIVWTTTDRRKVEEKDVIAGCAAGSSPPNDGHSQSQCAIR >KJB66954 pep chromosome:Graimondii2_0_v6:10:48747258:48753750:1 gene:B456_010G168100 transcript:KJB66954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRTSAASKTRVGKYELGRTLGEGSFAKVKFAKNIEAGENVAIKIVDKEKVLKHKMIGQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVLEFVTGGELFNKIASRGRFKEDEARKYFQQLIHAVDYCHSRGVYHRDLKPENLLLDANGVLKVSDFGLSALPQQVGDDGLLHTTCGTPNYVAPEVINNKGYHGAKADLWSCGVILFVLMAGYLPFEHPNLMGLYKKIFKADFDCPPWFSSSAKKLIKRILDPNPLTRITVAEIIENEWFKKGYIPPRFERADVSLDDVDAIFNESRDSRNLVEQCEEGSVVPATMNAFELISTSQGLNLSSLFEKQMGLIKRETRFTSKCPANEIISKIEKTAMPMGFGVKKNNYKMKLLGEKTGRKGHLAVTTEIFQVAPSLFMVELRKSEGDTLEFHKFYNNLSTGLKDIVWTTTDRRKVEEKDGCAAGSSPPNDGHSQSQCAIR >KJB63902 pep chromosome:Graimondii2_0_v6:10:1801425:1803497:1 gene:B456_010G022800 transcript:KJB63902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQGLPFEVTVVGCYNLEDKEWISKQDPYVCVEYGSAKYGTRTCTDGGKNPTFQEKFVFTLIEGLKELNVVVWNSNTIVADDHIGTGRVQLHKVLSQGFDDCTWPLQSKYGRHAGEVRLILHYSNAKAPQPQKSKCKTKSIEEYVPSAPFSQVSPYGYPPAPSAAPYPTMSYAAPSHYKSCPTAAPAAVGYPHHAPLAPYPPQTYPPPPPQASTYYPPAPTGIYPPPPY >KJB65458 pep chromosome:Graimondii2_0_v6:10:15844304:15845279:1 gene:B456_010G095500 transcript:KJB65458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIFTIWVFAESRAIIRYYAEKYKSQGTNLLGKTVEEMGQVENWLEVEAHNFNPPIYALTLHLMFASKMGFHLDENLIKESKEKLGKVLDIYEERLSKNKYLAGDFFSLADLSHLPFTQYFVGQMGKEYMITNRKHVSA >KJB65457 pep chromosome:Graimondii2_0_v6:10:15841473:15845195:1 gene:B456_010G095500 transcript:KJB65457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVENWLEVEAHNFNPPIYALTLHLMFASKMGFHLDENLIKESKEKLGKVLDIYEERLSKNKYLAGDFFSLADLSHLPFTQYFVGQMGKEYMITNRKHVSA >KJB64898 pep chromosome:Graimondii2_0_v6:10:9676819:9679458:1 gene:B456_010G071100 transcript:KJB64898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYCKEVEGKGVHDPFNKNMTTLSRCVWVPYPVIIGAGPSGLATAACLKQRGISSLILERENCIASLWKLKTYDRLRLHLPKHFCQLPFMPFPESFPTYPTKKQFLSYLESYKNHFGLDPVFNKTVVSAEFDHRCGFWRIKTLGLKHEETEYVSRWLIVATGENAEEVVPKIEGMDDFSGPILHTSSYKSGRLFRGKDVLVVGCGNSGMEVCLDLCNCEARPSLVVRASVHVLPQEMLGRSTFGLSMWLLKWFPVRIVDRLLLLLSRFTIGDTGKFGLHRPQLGPLELKSRSGKTPVLDVGTLDKIKSGNIKVYPGIKRITYNAAEFVDGTKENFDAVILATGYKSNVPQWLKDRDLFSEKDGLPREPFPNGWKGECGLYAVGFTKRGLLGSSLDAKRIAEDIALQWKA >KJB66194 pep chromosome:Graimondii2_0_v6:10:28832644:28838929:1 gene:B456_010G131600 transcript:KJB66194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSIAGLFCQVYVWDPENEDLPQYEHIFQNEFSYRKHKKQKEEDIAICECKFDFSDPDSTCGERCLNVLTSTECTPGYCPCGVYCKNQKFQKCQYARVTLFKTEGCGWGLLAAEYIKTGQFIVEYCGEVISWKEAKRRSQAYENQGLKDAFIISLNGSESIDATKKGNLARFINHSCQPNCETRKWTVLGEIRVGIFAKEDIPIGTELAYDYNFEWYGGAKVRCLCGALNCSGFLGAKSRGFQEDTYLWEDDDERYSVEKIPLYDSAEDEPATKLLKAVNLNSENDVNTKSEQSITMDVNLKSKHQLESTIDTVPMEGVDVNTLKIESPKDINLYSQDAQQAFSQKNAMISRIRSNSACRNYHIRSGPMLKKKSQHYSNGKLKHLSKKQIDLKHLAKLLASKEAQEEVFRYEEMKNEAASQLASLYNDIRPAIEEHERDNQDSVSTSVAEKWIEASCTKLKIEFDFHSSILRNIVCTPQKACEQVKPCEPEGHGGNNDTEVKLEF >KJB66195 pep chromosome:Graimondii2_0_v6:10:28832770:28838990:1 gene:B456_010G131600 transcript:KJB66195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPENEDLPQYEHIFQNEFSYRKHKKQKEEDIAICECKFDFSDPDSTCGERCLNVLTSTECTPGYCPCGVYCKNQKFQKCQYARVTLFKTEGCGWGLLAAEYIKTGQFIVEYCGEVISWKEAKRRSQAYENQGLKDAFIISLNGSESIDATKKGNLARFINHSCQPNCETRKWTVLGEIRVGIFAKEDIPIGTELAYDYNFEWYGGAKVRCLCGALNCSGFLGAKSRGFQEDTYLWEDDDERYSVEKIPLYDSAEDEPATKLLKAVNLNSENDVNTKSEQSITMDVNLKSKHQLESTIDTVPMEGVDVNTLKIESPKDINLYSQDAQQAFSQKNAMISRIRSNSACRNYHIRSGPMLKKKSQHYSNGKLKHLSKKQIDLKHLAKLLASKEAQEEVFRYEEMKNEAASQLASLYNDIRPAIEEHERDNQDSVSTSVAEKWIEASCTKLKIEFDFHSSILRNIVCTPQKACEQVKPCEPEGHGGNNDTEVKLEF >KJB67213 pep chromosome:Graimondii2_0_v6:10:52778245:52781121:-1 gene:B456_010G180800 transcript:KJB67213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSAAVPSTELLEWPKKDKRRFLHAVYRVGDLDRTIKFYTECFGMKLLRKRDIPEEKYSNAFLGFGPEESHFVVELTYNYGVTSYDIGTGFGHFAIATPDVYKMVEDIRAKGGNITREPGPVKGGSSVIAFVKDPDGYIFELIQRASTPEPLCQVMLRVGDLDRSVKFYEKALGMKVVKKVDRPEYKYSIAMMGYAEEHETTVLELTYNYGVTEYTKGNAYAQVAISTDDVYKSGEVVEHVIQELGGKVTRKPGPIPGINTKITSFLDPDGWKTVLVDNEDFLKELK >KJB67214 pep chromosome:Graimondii2_0_v6:10:52778305:52780970:-1 gene:B456_010G180800 transcript:KJB67214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSAAVPSTELLEWPKKDKRRFLHAVYRVGDLDRTIKFYTECFGMKLLRKRDIPEEKYSNAFLGFGPEESHFVVELTYNYGVTSYDIGTGFGHFAIATPDVYKMVEDIRAKGGNITREPGPVKGGSSVIAFVKDPDGYIFELIQRASTPEPLCQVMLRVGDLDRSVKFYEKALGMKVVKKVDRPEYKYSIAMMGYAEEHETTVLELTYNYGVTEYTKGNAYAQVCF >KJB64050 pep chromosome:Graimondii2_0_v6:10:2610362:2613876:-1 gene:B456_010G030600 transcript:KJB64050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTCSYHHQKTCLYWFLTLVFCFFSPFSVKSNELQILLNLKSALNKSTTTAFNSWQTPNSICTFNGITCNHEGFITELDLSTQNLTGILPFDSLCKLPSLQKLSFGYNSLHGPITGELNNCVKLQYLDLGNNFFTGIFPDISSLIQLKFLHLNKSGFSGEFPWKSLENFTNLTVLSIGDNPFDRFQFPDQIFKLKKLNWLYMANCSIEGKIPSAIGDLIELRNLELEYNYLSGEIPMEISKLHNLWQLELYCNNLTGKLPVGLRNLTKLEFFDASANKLEGNISEMGYLNNLVNLHLYQNKFTGEIPPEFGQFRKLVNLSLYENMLTGPLPENLGSWANFDYIDVSENSLTGPIPPYMCKQGTMRGLLLVQNRFTGELPASYGNCKTLKRFRVNNNSLSGVVPAGIWGLPVVDIIDIAYNRFEGPITSDITNAKVMSILSVGFNRLSGELPQEISKAISLVKIEVNDNKFSGKIPHGIGELKRLNVLKFHNNMLSGSIPESLCSCVSLSDINMAVNSLSGKIPSCLGSLATLNSLNLSLNELSGKIPESLSSLKLNLFDLSYNRLAGPIPESLSIEAYNGSLVGNPGLCSSTDRSFKRCQLGSGMSKDVHTIIVCFVIGVMVLLVSIGCFLYLKRTEKDINDDGHSLKEESWDVKSFHVLTFTEDEILDSIKQENLIGKGRSGNVYKVMLSNRVELAVKHIWNTESNSRRKTRSSAPMLTKHDGKAKEFEAEVRTLSSIRHVNVVKLYCSITSEDSSLLVYEYLPNGSLWDRLHTSKKMELDWDTRYEIAIGAAKGLEYLHHGCEKPVLHRDVKSSNILLDEYLKPKISDFGLAKIVQANSSIGNDSTHVIAGTHGYIAPEYGYTCKVDEKSDVYSFGVVLLELMTGKKPIEPEYGENKDIVSWVGSNLKGKESVLSIVDPKIPHAFKEDAMKVLKIAILCTTTLPALRPTMRRVVQMLKEAEPYRLAGLVIGKDSDPKEKENH >KJB67854 pep chromosome:Graimondii2_0_v6:10:58253029:58254226:1 gene:B456_010G215000 transcript:KJB67854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVNKRLAVLVGCNYPNTQYELHGCINDVVAMKDVLVKRFGFDPTNIELLTDASAATGEGPSLMVLPTGENIKAALSKMVSQAEAGDVLYFHYSGPGTRIPKPAHLFGHDEAIVPCDFNLITDVDFRQLINQLTKGASFTILSDSCHSGGLIDKEKEQIGPSTYRAASPLSYKAKNVPFESILEHLTTLTGINTSDVGTHLLESFGANSSLKFLTPQLEPELFDFLKADEGILLSGCQADETSADMNPMESGRKAYGAFSNAVQMVLKENSGGLSNKEVMMMARKVLEAQGFDQHPCLYCSDKNADATFLCQLEAEPY >KJB63931 pep chromosome:Graimondii2_0_v6:10:2000920:2002057:-1 gene:B456_010G025100 transcript:KJB63931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSETCVLRSSLRWIESAEAQGNATLFLAKFFGRSDLLSLISSVPESQRPALFQSLLFEACGRTVNPVNGAVGLLSSGNWHICQAALETVLQGGALRPISAGSWTPSCDESSDRFCVNSCNFESKPLTTSMMMTGNQSVLTAPSDLSLSVTAKLGGEMRNKTVSFYSEESEITTLGSNGNEETQDTKILNLFV >KJB63930 pep chromosome:Graimondii2_0_v6:10:2000703:2002307:-1 gene:B456_010G025100 transcript:KJB63930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEFYEKDAARHVCYDLVYGGLNRLKLKATLPCFSLSFLAGVISFRLSPPSLNLNVPSLLFEACGRTVNPVNGAVGLLSSGNWHICQAALETVLQGGALRPISAGSWTPSCDESSDRFCVNSCNFESKPLTTSMMMTGNQSVLTAPSDLSLSVTAKLGGEMRNKTVSFYSEESEITTLGSNGNEETQDTKILNLFV >KJB64508 pep chromosome:Graimondii2_0_v6:10:6347818:6348138:1 gene:B456_010G055300 transcript:KJB64508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAQQKPISPHLHKRKREETEMAAEIMFRCVFEGSISMKDCLIERRPYHRNCQCALHNLKGVCSSTCSSQATNLSFSKKLQTWGDCSLSLSASKFSSPSPPSPKP >KJB66760 pep chromosome:Graimondii2_0_v6:10:43796073:43798116:1 gene:B456_010G156900 transcript:KJB66760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLGLRQPRLEGEEYLSIIDEFIEAVLTRWPKAIVQFEDFQMKWAFKTLKRYRERFCMFNDDVQVTAGVALAGLLGTVREQG >KJB66759 pep chromosome:Graimondii2_0_v6:10:43796073:43798116:1 gene:B456_010G156900 transcript:KJB66759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLGLRQPRLEGEEYLSIIDEFIEAVLTRWPKAIVQFEDFQMKWAFKTLKRYRERFCMFNDDVQEL >KJB67903 pep chromosome:Graimondii2_0_v6:10:58555713:58563585:-1 gene:B456_010G217300 transcript:KJB67903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNETWLMTPKCDGTSQSGCKEAEDSCLPFPKTGSQQSSVSMMFESPMPILVYRRKKRWGCSSSASAVVANFCAQELVNSKRSVDCFSVVSSNALSEAVMEQKGVSRVEHETATKTSLCDWMSGNETWQMTPKCDGTSQAGCKEAEDSCLPLPRTGSQQPSVNVMFESPMPISVYSRGKKRWGSSSSASSVVANFYAQEHVNSKRSADCLSVVSSDALSEAVKRKGVSRVEHETATKISLCDWMSGNETCKMTPKCDGTSQSGCKEAEDSCLPLPKIGSQQSSVSMRFESPIPNLIYRRKKRWGRSSSANAVVANFCAQELVNSKRSVDCLSVVSSDALSEAVMERKGVSQVEHETATKISLCDWMSDNETFRMTPKCDGTSQPGCKEAEDSCLPLPETGSHWLSASVMFESPMPILVYSRRKKRWGSSSIASAVVANFCAQEPVNSKRSTDCLSVVSSDVLSEAVMGQKGVPRVEHEIATVRAPVMPLACSRGPHISKYEIANGCSGVYDHISDDVHKTVVQKTIDVDSINDSCSSSKSNMELALASTKDEMDENGECSSSSVIAAEVAMEDLSEKDACHHILWNQGNVDEVGPSSNFVNEETGTTSGGSCSRFCKSCSRSGTVQKMLICDSCEEAFHVRCCTPRIKKLPVDEWYCIMCMKQKRIMLKETTASKASSITGVMGRSRDKSPKGEFSPIELMLRDTEPYRTSVRIGKGFQAEIPDWSGPIDNDVDNIGEPLELDPSEFTDFHGSNCNKSSKLSLIGNWLQCREFIEGVGGTKGTICGKWRRAPLFEVQTDNWECFCCVQWDPSLADCSVPQELETEEVLKQLKYLEMLRPRLSADRRKSDRTNNCTSQDRKCDTRNAKS >KJB67904 pep chromosome:Graimondii2_0_v6:10:58555713:58563508:-1 gene:B456_010G217300 transcript:KJB67904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNETWLMTPKCDGTSQSGCKEAEDSCLPFPKTGSQQSSVSMMFESPMPILVYRRKKRWGCSSSASAVVANFCAQELVNSKRSVDCFSVVSSNALSEAVMEQKGVSRVEHETATKTSLCDWMSGNETWQMTPKCDGTSQAGCKEAEDSCLPLPRTGSQQPSVNVMFESPMPISVYSRGKKRWGSSSSASSVVANFYAQEHVNSKRSADCLSVVSSDALSEAVKRKGVSRVEHETATKISLCDWMSGNETCKMTPKCDGTSQSGCKEAEDSCLPLPKIGSQQSSVSMRFESPIPNLIYRRKKRWGRSSSANAVVANFCAQELVNSKRSVDCLSVVSSDALSEAVMERKGVSQVEHETATKISLCDWMSDNETFRMTPKCDGTSQPGCKEAEDSCLPLPETGSHWLSASVMFESPMPILVYSRRKKRWGSSSIASAVVANFCAQEPVNSKRSTDCLSVVSSDVLSEAVMGQKGVPRVEHEIATVRAPVMPLACSRGPHISKYEIANGCSGVYDHISDDVHKTVVQKTIDVDSINDSCSSSKSNMELALASTKDEMDENGECSSSSVIAAEVAMEDLSEKDACHHILWNQGNVDEVGPSSNFVNEETGTTSGGSCSRFCKSCSRSGTVQKMLICDSCEEAFHVRCCTPRIKKLPVDEWYCIMCMKQKRIMLKETTASKASSITGVMGRSRDKSPKGEFSPIELMLRDTEPYRTSVRIGKGFQAEIPDWSGPIDNDVDNIGEPLELDPSEFTDFHGSNCNKSSKLSLIGNWLQCREFIEGVGGTKGTICGKWRRAPLFEVQTDNWECFCCVQWDPSLADCSVPQELETEEVLKQLKYLEMLRPRLSADRRKSDRTNNCTSQDRKCDTRNAKS >KJB67907 pep chromosome:Graimondii2_0_v6:10:58558566:58562748:-1 gene:B456_010G217300 transcript:KJB67907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNETWLMTPKCDGTSQSGCKEAEDSCLPFPKTGSQQSSVSMMFESPMPILVYRRKKRWGCSSSASAVVANFCAQELVNSKRSVDCFSVVSSNALSEAVMEQKGVSRVEHETATKTSLCDWMSGNETWQMTPKCDGTSQAGCKEAEDSCLPLPRTGSQQPSVNVMFESPMPISVYSRGKKRWGSSSSASSVVANFYAQEHVNSKRSADCLSVVSSDALSEAVKRKGVSRVEHETATKISLCDWMSGNETCKMTPKCDGTSQSGCKEAEDSCLPLPKIGSQQSSVSMRFESPIPNLIYRRKKRWGRSSSANAVVANFCAQELVNSKRSVDCLSVVSSDALSEAVMERKGVSQVEHETATKISLCDWMSDNETFRMTPKCDGTSQPGCKEAEDSCLPLPETGSHWLSASVMFESPMPILVYSRRKKRWGSSSIASAVVANFCAQEPVNSKRSTDCLSVVSSDVLSEAVMGQKGVPRVEHEIATVRAPVMPLACSRGPHISKYEIANGCSGVYDHISDDVHKTVVQKTIDVDSINDSCSSSKSNMELALASTKDEMDENGECSSSSVIAAEVAMEDLSEKDACHHILWNQGNVDEVGPSSNFVNEETGTTSGGSCSRFCKSCSRSGTVQKMLICDSCEEAFHVRCCTPRIKKLPVDEWYCIMCMKQKRIMLKETTASKASSITGVMGRSRDKSPKGEFSPIELMLRDTEPYRTSVRIGKGFQAEIPDWSGPIDNDVDNIGEPLELDPSEFTDFHGSNCNKSSKLSLIGNWLQCREFIEGVGGTKGTICGKWRRAPLFEVQTDNWECFCCVQWDPSLADCSVPQVVTLLTTSFYLMLLFSDFNLQVMVLDLGF >KJB67905 pep chromosome:Graimondii2_0_v6:10:58558316:58563585:-1 gene:B456_010G217300 transcript:KJB67905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNETWLMTPKCDGTSQSGCKEAEDSCLPFPKTGSQQSSVSMMFESPMPILVYRRKKRWGCSSSASAVVANFCAQELVNSKRSVDCFSVVSSNALSEAVMEQKGVSRVEHETATKTSLCDWMSGNETWQMTPKCDGTSQAGCKEAEDSCLPLPRTGSQQPSVNVMFESPMPISVYSRGKKRWGSSSSASSVVANFYAQEHVNSKRSADCLSVVSSDALSEAVKRKGVSRVEHETATKISLCDWMSGNETCKMTPKCDGTSQSGCKEAEDSCLPLPKIGSQQSSVSMRFESPIPNLIYRRKKRWGRSSSANAVVANFCAQELVNSKRSVDCLSVVSSDALSEAVMERKGVSQVEHETATKISLCDWMSDNETFRMTPKCDGTSQPGCKEAEDSCLPLPETGSHWLSASVMFESPMPILVYSRRKKRWGSSSIASAVVANFCAQEPVNSKRSTDCLSVVSSDVLSEAVMGQKGVPRVEHEIATVRAPVMPLACSRGPHISKYEIANGCSGVYDHISDDVHKTVVQKTIDVDSINDSCSSSKSNMELALASTKDEMDENGECSSSSVIAAEVAMEDLSEKDACHHILWNQGNVDEVGPSSNFVNEETGTTSGGSCSRFCKSCSRSGTVQKMLICDSCEEAFHVRCCTPRIKKLPVDEWYCIMCMKQKRIMLKETTASKASSITGVMGRSRDKSPKGEFSPIELMLRDTEPYRTSVRIGKGFQAEIPDWSGPIDNDVDNIGEPLELDPSEFTDFHGSNCNKSSKLSLIGNWLQCREFIEGVGGTKGTICGKWRRAPLFEVQTDNWECFCCVQWDPSLADCSVPQMSSGDPN >KJB67906 pep chromosome:Graimondii2_0_v6:10:58559484:58562748:-1 gene:B456_010G217300 transcript:KJB67906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNETWLMTPKCDGTSQSGCKEAEDSCLPFPKTGSQQSSVSMMFESPMPILVYRRKKRWGCSSSASAVVANFCAQELVNSKRSVDCFSVVSSNALSEAVMEQKGVSRVEHETATKTSLCDWMSGNETWQMTPKCDGTSQAGCKEAEDSCLPLPRTGSQQPSVNVMFESPMPISVYSRGKKRWGSSSSASSVVANFYAQEHVNSKRSADCLSVVSSDALSEAVKRKGVSRVEHETATKISLCDWMSGNETCKMTPKCDGTSQSGCKEAEDSCLPLPKIGSQQSSVSMRFESPIPNLIYRRKKRWGRSSSANAVVANFCAQELVNSKRSVDCLSVVSSDALSEAVMERKGVSQVEHETATKISLCDWMSDNETFRMTPKCDGTSQPGCKEAEDSCLPLPETGSHWLSASVMFESPMPILVYSRRKKRWGSSSIASAVVANFCAQEPVNSKRSTDCLSVVSSDVLSEAVMGQKGVPRVEHEIATVRAPVMPLACSRGPHISKYEIANGCSGVYDHISDDVHKTVVQKTIDVDSINDSCSSSKSNMELALASTKDEMDENGECSSSSVIAAEVAMEDLSEKDACHHILWNQGNVDEVGPSSNFVNEETGTTSGGSCSRFCKSCSRSGTVQKMLICDSCEEAFHVRCCTPRIKKLPVDEWYCIMCMKQKRIMLKETTASKASSITGVMGRSRDKSPKGEFSPIELMLRDTEPYRTSVRIGKGFQAEIPDWSGPIDNDVDNIGEPLELDPSEFTDFHGSNCNKSSKLSLIGNWLQCREFIEGVGGTKGTICGKWRRYSLLVLILYFLSCYFCLQKLVFGKILCILCLGNSNQFTPVDL >KJB64602 pep chromosome:Graimondii2_0_v6:10:6432456:6435011:1 gene:B456_010G056500 transcript:KJB64602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSYTPTYYSTIHDSITSLCKTILPFSFKKKRLPAAELRLSKLQSDNLKWQQDSFHQILNLMGLHKEGILGEAEVTAFRTHLLDTLIASPPELEQPVILRDKLLFLQELLYAKCISEEEYHSSKRPLLQRLAVQGAEIETRDLVVANPKDSKENQEEEWSVIDLKDDRDNVLHSNNKSKNNSAMNQIKGAASVFGFGSSQKPSKNRSEKSIFDVDSKPSSSAFMEGKESETKSILMQEEAFPTESVKENGSAKRKPFKTLFHREQREGHNNGPGSEEKASKSAKKQWGFDGFKKWRRNDSEDETAPLPLNERSDSEAFMGSCQLVATPIGEGPDTKQIKRKLLANGAPSDFFIDKVVGDKIKKELSRIQSELSTTNPNLKFSDDQIEAISTTLPVDKADLKKFFPKSWCDRYGEVVLDVVKKEFREHVGEMENMRNVTRQKLKNNSRRWTTFDDDNENCHPNLFDNKNPNPESAVFQDHNPFWNQRRGSSSFLG >KJB64601 pep chromosome:Graimondii2_0_v6:10:6432378:6435074:1 gene:B456_010G056500 transcript:KJB64601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSYTPTYYSTIHDSITSLCKTILPFSFKKKRLPAAELRLSKLQSDNLKWQQDSFHQILNLMGLHKEGILGEAEVTAFRTHLLDTLIASPPELEQPVILRDKLLFLQELLYAKCISEEEYHSSKRPLLQRLAVQGAEIETRDLVVANPKDSKENQEEEWSVIDLKDDRDNVLHSNNKSKNNSAMNQIKGAASVFGFGSSQKPSKNRSEKSIFDVDSKPSSSAFMEGKESETKSILMQEEAFPTESVKENGSAKRKPFKTLFHREQREGHNNGPGSEEKASKSAKKQWGFDGFKKWRRNDSEDETAPLPLNERSDSEAFMGSCQLVATPIGEGPDTKQIKRKLLANGAPSDFFIDKVVGDKIKKELSRIQSELSTTNPNLKFSDDQIEAISTTLPVDKADLKKFFPKSWCDRYGEVVLDVVKKEFREHVGEMENMRNVTRQKLKNNSRRWTTFDDDNENCHPNLFDNKNPNPESAVFQDHNPFWNQRRGSSSFLG >KJB65856 pep chromosome:Graimondii2_0_v6:10:22675678:22680836:-1 gene:B456_010G116200 transcript:KJB65856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGLWFTLFELLLKLFLILAFVKKGSAGITSTFTRTKWQSFDIPLDHKVLAIPNGYNAPQQVHITQGDYNGKAVMVSWVTADKPGSSRVQYGTSEKKYDFKADGTVANYTFYNYKSGYIHHCLVDGLEYETKYYYKIGEGHSSREFWFQTPPKIDPDSPFTFGIIGDLGQTYNSLSTLEHYMQSGGQTVLFLGDLSYADRYQYNDVGIRWDSWGRFIERSAAYQPWIWSAGNHEIEYMPDVGEVLPFKSFLHRFSTPHLASRSNNPLWYAIRRVSAHIIVLSSYSPHVKYTPQWLWLRDELKRVDRKKTPWLIILMHVPIYNSNQAHFMEGESMRAVFERWFVRHRVDFIFAGHVHAYERSYRISNIRYNVSSGYRYPVPNKSAPVYVTVGDGGNQEGLAGRFQDPQPEYSAFREASYGHSTLELKNRTHAFLNWHRNEDGQKVHADSVIFLNRHWASNLRRRRLKKDRLKARGKIDF >KJB65854 pep chromosome:Graimondii2_0_v6:10:22675678:22680849:-1 gene:B456_010G116200 transcript:KJB65854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGLWFTLFELLLKLFLILAFVKKGSAGITSTFTRTKWQSFDIPLDHKVLAIPNGYNAPQQVHITQGDYNGKAVMVSWVTADKPGSSRVQYGTSEKKYDFKADGTVANYTFYNYKSGYIHHCLVDGLEYETKYYYKIGEGHSSREFWFQTPPKIDPDSPFTFGIIGDLGQTYNSLSTLEHYMQSGGQTVLFLGDLSYADRYQYNDVGIRWDSWGRFIERSAAYQPWIWSAGNHEIEYMPDVGEVLPFKSFLHRFSTPHLASRSNNPLWYAIRRVSAHIIVLSSYSPHVKYTPQWLWLRDELKRVDRKKTPWLIILMHVPIYNSNQAHFMEGESMRAVFERWFVRHRVDFIFAGHVHAYERSYRISNIRYNVSSGYRYPVPNKSAPVYVTVGDGGNQEGLAGRFQDPQPEYSAFREASYGHSTLELKNRTHAFLNWHRNEDGQKVHADSVIFLNRHWASNLRRRRLKKDRLKARGKIDF >KJB65857 pep chromosome:Graimondii2_0_v6:10:22677285:22680748:-1 gene:B456_010G116200 transcript:KJB65857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGLWFTLFELLLKLFLILAFVKKGSAGITSTFTRTKWQSFDIPLDHKVLAIPNGYNAPQQVHITQGDYNGKAVMVSWVTADKPGSSRVQYGTSEKKYDFKADGTVANYTFYNYKSGYIHHCLVDGLEYETKYYYKIGEGHSSREFWFQTPPKIDPDSPFTFGIIGDLGQTYNSLSTLEHYMQSGGQTVLFLGDLSYADRYQYNDVGIRWDSWGRFIERSAAYQPWIWSAGNHEIEYMPDVGEVLPFKSFLHRFSTPHLASRSNNPLWYAIRRVSAHIIVLSSYSPHVKYTPQWLWLRDELKRVDRKKTPWLIILMHVPIYNSNQAHFMEGESMRAVFERWFVRHRVDFIFAGHVHAYERSVCTFFLFNVAFSHWGKDKKELDHRIDWNQLLTSD >KJB65855 pep chromosome:Graimondii2_0_v6:10:22675678:22680849:-1 gene:B456_010G116200 transcript:KJB65855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSWVTADKPGSSRVQYGTSEKKYDFKADGTVANYTFYNYKSGYIHHCLVDGLEYETKYYYKIGEGHSSREFWFQTPPKIDPDSPFTFGIIGDLGQTYNSLSTLEHYMQSGGQTVLFLGDLSYADRYQYNDVGIRWDSWGRFIERSAAYQPWIWSAGNHEIEYMPDVGEVLPFKSFLHRFSTPHLASRSNNPLWYAIRRVSAHIIVLSSYSPHVKYTPQWLWLRDELKRVDRKKTPWLIILMHVPIYNSNQAHFMEGESMRAVFERWFVRHRVDFIFAGHVHAYERSYRISNIRYNVSSGYRYPVPNKSAPVYVTVGDGGNQEGLAGRFQDPQPEYSAFREASYGHSTLELKNRTHAFLNWHRNEDGQKVHADSVIFLNRHWASNLRRRRLKKDRLKARGKIDF >KJB63826 pep chromosome:Graimondii2_0_v6:10:1410144:1410437:-1 gene:B456_010G018600 transcript:KJB63826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKTRTYSVVDGKRQMTMDEFKRWLKKFDDDKDGRISRDELADAIRVSRGEWFTGRKSKRIIGSVDADRNGFIDDNEIKNLAEFAEKYLNIKILYL >KJB67986 pep chromosome:Graimondii2_0_v6:10:58947107:58949042:1 gene:B456_010G220500 transcript:KJB67986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMSSDDQKPGLVITVTESIRLFLVSASNDRRLSEELRELALTLSSAANAPYKQIRSIWMESAFDSRPGLISFFSGSNFIFTSPKPREKSEELKERLRKLKELAERKEYQELVKDITPKKDLNEPFSTYKDQIGFGLHVALTMFTGYLLGYFAFRALFNHSPIMNSAGGILGLVFGMLLETFLFIIRTSEPNLKSLSSTSRFKKNQ >KJB67983 pep chromosome:Graimondii2_0_v6:10:58946690:58949173:1 gene:B456_010G220500 transcript:KJB67983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMSSDDQKPGLVITVTESIRLFLVSASNDRRLSEELRELALTLSSAANAPYKQIRSIWMESAFDSRPGLISFFSGSNFIFTSPKPREKSEELKERLRKLKELAERKEYQELVKDITPKKDLNEPFSTYKDQIGFGLHVALTMFTGYLLGYFAFRALFNHSPIMNSAGGILGLVFGMLLETFLFIIRTSEPNLKSLSSTSRFKKNQ >KJB67984 pep chromosome:Graimondii2_0_v6:10:58947809:58948728:1 gene:B456_010G220500 transcript:KJB67984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMSSDDQKPGLVITVTESIRLFLVSASNDRRLSEELRELALTLSSAANAPYKQIRSIWMESAFDSRPGLISFFSGSNFIFTSPKPREKSEELKERLRKLKELAERKEYQELVKDITPKKDLNEPFSTYKDQIGFEFCWRYSWIGFWHAS >KJB67982 pep chromosome:Graimondii2_0_v6:10:58946690:58949071:1 gene:B456_010G220500 transcript:KJB67982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAFDSRPGLISFFSGSNFIFTSPKPREKSEELKERLRKLKELAERKEYQELVKDITPKKDLNEPFSTYKDQIGFGLHVALTMFTGYLLGYFAFRALFNHSPIMNSAGGILGLVFGMLLETFLFIIRTSEPNLKSLSSTSRFKKNQ >KJB67985 pep chromosome:Graimondii2_0_v6:10:58946690:58949173:1 gene:B456_010G220500 transcript:KJB67985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMSSDDQKPGLVITVTESIRLFLVSASNDRRLSEELRELALTLSSAANAPYKQIRSIWMESAFDSRPGLISFFSGSNFIFTSPKPREKSEELKERLRKLKELAERKEYQELVKDITPKKDLNEPFSTYKDQIGFEPNLKSLSSTSRFKKNQ >KJB64288 pep chromosome:Graimondii2_0_v6:10:3837858:3838746:1 gene:B456_010G040700 transcript:KJB64288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTLLAPLLLCGTLPCSSLNVASDSAAAAALAILKWKASLQSQNHSVLLYFVYLLFKVIIVLINIGIFTCGIHHRQKDIILLNLHLPIGNDLALIF >KJB65319 pep chromosome:Graimondii2_0_v6:10:13726914:13732129:1 gene:B456_010G089800 transcript:KJB65319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDFARAVEDGLKLGKRIYMGKDRAVQPPKPLPLMERSMHFYLPSAPMVYAVISDPRIVDNPDIPSYQPHVHGRLDPPALIPLQMNGVNLDVDCYGDSAFVQVSGMWRVHCVMRSRSCDCRIAVPMGDEGSILGVEIDLPTKSYSTELIGVEESNGIQNIARPEDGQFLKPHIFTLTIPKIDGGTYISMKLHWSQKLSYNDGKFTLTVPFNFPEFVTPAIRQIPKKERIQLNVNSGIASGIVYQAVSHPFQESKRNGGHIGLLYEANVMTWSHTDLSFSYGVSSGNIFGGALLQSPSLYDIDQRDMFCICLFPGSQQGKKVFRKEVIFVVDISSSMRGRSLESTKNAINTALSKLSPEDSFNIIAFSDETFLYCTSMVLASEESIENASEWMSKEHSEGNGTNMLTPLQKAVEMLSSTPGSIPMVFLVTDGTVEDERKICEWMDKRMKNGGSLCPRIHTLGIGKFCNHHFLRMLAMLSRGEYGAACDLDTIDSQMQKLFSKGLSTVLANITIDAFDDHEQIEVYSSCIPDLSSESPLTICGRCQGSFPDTLKAKGILGDLSHVIIDLKIEKAMNISLDKISARQQIDLLTAQAWFSENKQLEEKVAKLSLRTCNISEYTRMILLEKGKIERDTDTTEARKKLGVL >KJB65318 pep chromosome:Graimondii2_0_v6:10:13726754:13732725:1 gene:B456_010G089800 transcript:KJB65318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDFARAVEDGLKLGKRIYMGKDRAVQPPKPLPLMERSMHFYLPSAPMVYAVISDPRIVDNPDIPSYQPHVHGRLDPPALIPLQMNGVNLDVDCYGDSAFVQVSGMWRVHCVMRSRSCDCRIAVPMGDEGSILGVEIDLPTKSYSTELIGVEESNGIQNIARPEDGQFLKPHIFTLTIPKIDGGTYISMKLHWSQKLSYNDGKFTLTVPFNFPEFVTPAIRQIPKKERIQLNVNSGIASGIVYQAVSHPFQESKRNGGHIGLLYEANVMTWSHTDLSFSYGVSSGNIFGGALLQSPSLYDIDQRDMFCICLFPGSQQGKKVFRKEVIFVVDISSSMRGRSLESTKNAINTALSKLSPEDSFNIIAFSDETFLYCTSMVLASEESIENASEWMSKEHSEGNGTNMLTPLQKAVEMLSSTPGSIPMVFLVTDGTVEDERKICEWMDKRMKNGGSLCPRIHTLGIGKFCNHHFLRMLAMLSRGEYGAACDLDTIDSQMQKLFSKGLSTVLANITIDAFDDHEQIEVYSSCIPDLSSESPLTICGRCQGSFPDTLKAKGILGDLSHVIIDLKIEKAMNISLDKISARQQIDLLTAQAWFSENKQLEEKVAKLSLRTCNISEYTRMILLEKGKIERDTDTTEARKVPKKGDACKLLDSAEPKKILLQILTVGFGDLLATAENICPGSEEEILPEVAEMLNKATSNCCGRLCRHCCCLCCIRCCSKMSNQCSILLTQLCGAVACVGCSQLCALCCPQEG >KJB65320 pep chromosome:Graimondii2_0_v6:10:13726754:13732725:1 gene:B456_010G089800 transcript:KJB65320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDFARAVEDGLKLGKRIYMGKDRAVQPPKPLPLMERSMHFYLPSAPMVYAVISDPRIVDNPDIPSYQPHVHGRLDPPALIPLQMNGVNLDVDCYGDSAFVQVSGMWRVHCVMRSRSCDCRIAVPMGDEGSILGVEIDLPTKSYSTELIGVEESNGIQNIARPEDGQFLKPHIFTLTIPKIDGGTYISMKLHWSQKLSYNDGKFTLTVPFNFPEFVTPAIRQIPKKERIQLNVNSGIASGIVYQAVSHPFQESKRNGGHIGLLYEANVMTWSHTDLSFSYGVSSGNIFGGALLQSPSLYDIDQRDMFCICLFPGSQQGKKVFRKEVIFVVDISSSMRGRSLESTKNAINTALSKLSPEDSFNIIAFSDETFLYCTSMVLASEESIENASEWMSKEHSEGNGTNMLTPLQKAVEMLSSTPGSIPMVFLVTDGTVEDERKICEWMDKRMKNGGSLCPRIHTLGIGKFCNHHFLRMLAMLSRGEYGAACDLDTIDSQMQKLFSKGLSTVLANITIDAFDDHEQIEVYSSCIPDLSSESPLTICGRCQGSFPDTLKAKGILGDLSHVIIDLKIEKAMNISLDKQGSRLIYSQRRHGFQKINNLRRRLQS >KJB65322 pep chromosome:Graimondii2_0_v6:10:13726754:13732725:1 gene:B456_010G089800 transcript:KJB65322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDFARAVEDGLKLGKRIYMGKDRAVQPPKPLPLMERSMHFYLPSAPMVYAVISDPRIVDNPDIPSYQPHVHGRLDPPALIPLQMNGVNLDVDCYGDSAFVQVSGMWRVHCVMRSRSCDCRIAVPMGDEGSILGVEIDLPTKSYSTELIGVEESNGIQNIARPEDGQFLKPHIFTLTIPKIDGGTYISMKLHWSQKLSYNDGKFTLTVPFNFPEFVTPAIRQIPKKERIQLNVNSGIASGIVYQAVSHPFQESKRNGGHIGLLYEANVMTWSHTDLSFSYGVSSGNIFGGALLQSPSLYDIDQRDMFCICLFPGSQQGKKVFRKEVIFVVDISSSMRGRSLESTKNAINTALSKLSPEDSFNIIAFSDETFLYCTSMVLASEESIENASEWMSKEHSEGNGTNMLTPLQKAVEMLSSTPGSIPMVFLVTDGTVEDERKICEWMDKRMKNGGSLCPRIHTLGIDTIDSQMQKLFSKGLSTVLANITIDAFDDHEQIEVYSSCIPDLSSESPLTICGRCQGSFPDTLKAKGILGDLSHVIIDLKIEKAMNISLDKISARQQIDLLTAQAWFSENKQLEEKVAKLSLRTCNISEYTRMILLEKGKIERDTDTTEARKVPKKGDACKLLDSAEPKKILLQILTVGFGDLLATAENICPGSEEEILPEVAEMLNKATSNCCGRLCRHCCCLCCIRCCSKMSNQCSILLTQLCGAVACVGCSQLCALCCPQEG >KJB65321 pep chromosome:Graimondii2_0_v6:10:13726754:13732725:1 gene:B456_010G089800 transcript:KJB65321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHWSQKLSYNDGKFTLTVPFNFPEFVTPAIRQIPKKERIQLNVNSGIASGIVYQAVSHPFQESKRNGGHIGLLYEANVMTWSHTDLSFSYGVSSGNIFGGALLQSPSLYDIDQRDMFCICLFPGSQQGKKVFRKEVIFVVDISSSMRGRSLESTKNAINTALSKLSPEDSFNIIAFSDETFLYCTSMVLASEESIENASEWMSKEHSEGNGTNMLTPLQKAVEMLSSTPGSIPMVFLVTDGTVEDERKICEWMDKRMKNGGSLCPRIHTLGIGKFCNHHFLRMLAMLSRGEYGAACDLDTIDSQMQKLFSKGLSTVLANITIDAFDDHEQIEVYSSCIPDLSSESPLTICGRCQGSFPDTLKAKGILGDLSHVIIDLKIEKAMNISLDKISARQQIDLLTAQAWFSENKQLEEKVAKLSLRTCNISEYTRMILLEKGKIERDTDTTEARKVPKKGDACKLLDSAEPKKILLQILTVGFGDLLATAENICPGSEEEILPEVAEMLNKATSNCCGRLCRHCCCLCCIRCCSKMSNQCSILLTQLCGAVACVGCSQLCALCCPQEG >KJB65435 pep chromosome:Graimondii2_0_v6:10:15728176:15728721:1 gene:B456_010G094900 transcript:KJB65435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAILERHESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATFVFIIAFIATPPVDIDDIREPVSRSPLYRNNIIFGAIVRTSFSFTDILFRPVYEFISLIKDILCFKK >KJB65276 pep chromosome:Graimondii2_0_v6:10:13387696:13389609:1 gene:B456_010G087400 transcript:KJB65276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRCRALNGIPRPALAEYYTQRSTPGGSLITEGTLVSDTAAGFPHVPGIYNDQQVEAWKKIVDAVHAKGSIIFCQLWHVGRASHSLYQPGGAAPISSTSKPLSNRWRILMPDGSYGIYPKPRALQISEIPEVVQHYRTAALNAIRAGFDGIEIHGAHGYLIDQFLKDGINDRRDEYGGSLANRCKFLMQIVGSVAEAVGADRIAVRISPAIDHLDATDSNPLNLGLAVIERLNELQLKLGSKLAYLHVTQPRYHAYGQTESGRHGSEDEEAHLMRTLKRSYEGTFMCSGGFTRELGMQAVAEGDADLVSYGRLFISNPDLVFRLRINAPLNRYIRKTFYTQDPVVGYTDYPFLVVQSRL >KJB65275 pep chromosome:Graimondii2_0_v6:10:13387114:13389609:1 gene:B456_010G087400 transcript:KJB65275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETQSQGTPTLFSPYKMGKFNLSHRVVLAPMTRCRALNGIPRPALAEYYTQRSTPGGSLITEGTLVSDTAAGFPHVPGIYNDQQVEAWKKIVDAVHAKGSIIFCQLWHVGRASHSRNFHHPMHFPFLSPFIEIFYLLILTNEIVYQPGGAAPISSTSKPLSNRWRILMPDGSYGIYPKPRALQISEIPEVVQHYRTAALNAIRAGFDGIEIHGAHGYLIDQFLKDGINDRRDEYGGSLANRCKFLMQIVGSVAEAVGADRIAVRISPAIDHLDATDSNPLNLGLAVIERLNELQLKLGSKLAYLHVTQPRYHAYGQTESGRHGSEDEEAHLMRTLKRSYEGTFMCSGGFTRELGMQAVAEGDADLVSYGRLFISNPDLVFRLRINAPLNRYIRKTFYTQDPVVGYTDYPFLVVQSRL >KJB65274 pep chromosome:Graimondii2_0_v6:10:13386980:13389650:1 gene:B456_010G087400 transcript:KJB65274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETQSQGTPTLFSPYKMGKFNLSHRVVLAPMTRCRALNGIPRPALAEYYTQRSTPGGSLITEGTLVSDTAAGFPHVPGIYNDQQVEAWKKIVDAVHAKGSIIFCQLWHVGRASHSLYQPGGAAPISSTSKPLSNRWRILMPDGSYGIYPKPRALQISEIPEVVQHYRTAALNAIRAGFDGIEIHGAHGYLIDQFLKDGINDRRDEYGGSLANRCKFLMQIVGSVAEAVGADRIAVRISPAIDHLDATDSNPLNLGLAVIERLNELQLKLGSKLAYLHVTQPRYHAYGQTESGRHGSEDEEAHLMRTLKRSYEGTFMCSGGFTRELGMQAVAEGDADLVSYGRLFISNPDLVFRLRINAPLNRYIRKTFYTQDPVVGYTDYPFLVVQSRL >KJB68390 pep chromosome:Graimondii2_0_v6:10:61168897:61174909:-1 gene:B456_010G242700 transcript:KJB68390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSSDEAKQIEKLYEFGERLNEAKDKSQNVKDYEGVIDATKTSLKAKQLAAQLIPRFFKFFPNLSSRALNAHFDLIEEEDLAVRVQAIRGLPLFCKDTKEYISKIVDILGQLLTADEIVERDAVHKALMSVLRQDVKESLTALFKHIWNVEEPSQDDTIRDKVLCFIRDKVFPLKAELLRPPEEMERHITDLIKKSLGDVTGAEFRMFMDFLKSLSIFGEKAPPERLKELIGIIEGQADLDAQFDVSDADHIDRLISCLFMAIPFFVRGAPGSKFLNYLNKHIIPVFDKLPEERKLDLLKALAEISPYTTPQDSRQVLPSVVQLLKKYMPRRKTGEETNFTYVECLLFSFHHLAHKAPNASNSLCGYKIVTGQPSDRLGEDFSEYYKDFTERLSSVEDLTRATIKKLTQGMAEHNKAMAAAKSDEAKDNIKTLKQNTTTGLRTCNNILVMTKPLHLKTPAFIGDKSVNLSWKEAIKPSALSTTTATGVKRPAAGSGSNNLAIKKGRGAGNMQNQLVNRALEGISYGGSGGRGRGRGWGRRGRGRGYR >KJB68393 pep chromosome:Graimondii2_0_v6:10:61169059:61174832:-1 gene:B456_010G242700 transcript:KJB68393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSSDEAKQIEKLYEFGERLNEAKDKSQNVKDYEGVIDATKTSLKAKQLAAQLIPRFFKFFPNLSSRALNAHFDLIEEEDLAVRVQAIRGLPLFCKDTKEYISKIVDILGQLLTADEIVERDAVHKALMSVLRQDVKESLTALFKHIWNVEEPSQDDTIRDKVLCFIRDKVFPLKAELLRPPEEMERHITDLIKKSLGDVTGAEFRMFMDFLKSLSIFGEKAPPERLKELIGIIEGQADLDAQFDVSDADHIDRLISCLFMAIPFFVRGAPGSKFLNYLNKHIIPVFDKLPEERKLDLLKALAEISPYTTPQDSRQVLPSVVQLLKKYMPRRKTGEETNFTYVECLLFSFHHLAHKAPNASNSLCGYKIVTGQPSDRLGEDFSEYYKDFTERLSSVEDLTRATIKKLTQGMAEHNKAMAAAKSDEAKDNIKTLKQNTTTGLRTCNNILVMTKPLHLKTPAFIGDKSVNLSWKEAIKPSALSTTTATG >KJB68391 pep chromosome:Graimondii2_0_v6:10:61168955:61174873:-1 gene:B456_010G242700 transcript:KJB68391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSSDEAKQIEKLYEFGERLNEAKDKSQNVKDYEGVIDATKTSLKAKQLAAQLIPRFFKFFPNLSSRALNAHFDLIEEEDLAVRVQAIRGLPLFCKDTKEYISKIVDILGQLLTADEIVERDAVHKALMSVLRQDVKESLTALFKHIWNVEEPSQDDTIRDKVLCFIRDKVFPLKAELLRPPEEMERHITDLIKKSLGDVTGAEFRMFMDFLKSLSIFGEKAPPERLKELIGIIEGQADLDAQFDVSDADHIDRLISCLFMAIPFFVRGAPGSKFLNYLNKHIIPVFDKLPEERKLDLLKALAEISPYTTPQDSRQVLPSVVQLLKKYMPRRKTGEETNFTYVECLLFSFHHLAHKAPNASNSLCGYKIVTGQPSDRLGEDFSEYYKDFTERLSSVEDLTRATIKKLTQGMAEHNKAMAAAKSDEAKDNIKTLKQNTTTGLRTCNNILVMTKPLHLKTPAFIGDKSVNLSWKEAIKPSALSTTTATGVKRPAAGSGSNNLAIKKGRGAGNMQNQLVNRALEGISYGGSGGRGRGRGWGRRGRGRGYR >KJB68392 pep chromosome:Graimondii2_0_v6:10:61169059:61173300:-1 gene:B456_010G242700 transcript:KJB68392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHITDLIKKSLGDVTGAEFRMFMDFLKSLSIFGEKAPPERLKELIGIIEGQADLDAQFDVSDADHIDRLISCLFMAIPFFVRGAPGSKFLNYLNKHIIPVFDKLPEERKLDLLKALAEISPYTTPQDSRQVLPSVVQLLKKYMPRRKTGEETNFTYVECLLFSFHHLAHKAPNASNSLCGYKIVTGQPSDRLGEDFSEYYKDFTERLSSVEDLTRATIKKLTQGMAEHNKAMAAAKSDEAKDNIKTLKQNTTTGLRTCNNILVMTKPLHLKTPAFIGDKSVNLSWKEAIKPSALSTTTATGVKRPAAGSGSNNLAIKKGRGAGNMQNQLVNRALEGISYGGSGGRGRGRGWGRRGRGRGYR >KJB64017 pep chromosome:Graimondii2_0_v6:10:2457932:2461171:-1 gene:B456_010G029600 transcript:KJB64017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKDDNDEEEGPKTMSHCSIGHLQPEKSFVIVGYALTSKKVESFLKPKLEGLARNKGILFVAIDQNRPLSDQGPFDIVLHKLTGKEWRQILEEYRRTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSDTYGKVGVPRQLVIKRDSSSIPDAVTKAGLILPLVAKPLVADGSAKSHELSLAYDEYSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVTKRELSKNAGVFRFPRVSCAAASADDADLDPSVAELPPRPLLERLAKELRRRLVVF >KJB64016 pep chromosome:Graimondii2_0_v6:10:2457932:2461171:-1 gene:B456_010G029600 transcript:KJB64016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKDDNDEEEGPKTMSHCSIGHLQPEKSFVIVGYALTSKKVESFLKPKLEGLARNKGILFVAIDQNRPLSDQGPFDIVLHKLTGKEWRQILEEYRRTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSDTYGKVGVPRQLVIKRDSSSIPDAVTKAGLILPLVAKPLVADGSAKSHELSLAYDEYSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVTKRELSKNAGVFRFPRVSCAAASADDADLDPSVAELPPRPLLERLAKELRRRLGLRLFNLDIIREHGRRDHFYVIDINYFPGKVRENAWVRTYIYGLPVKPRAKPIQEKIDIISKLLETLKNRNVEKGWHQMVFQNR >KJB64013 pep chromosome:Graimondii2_0_v6:10:2457876:2461367:-1 gene:B456_010G029600 transcript:KJB64013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKDDNDEEEGPKTMSHCSIGHLQPEKSFVIVGYALTSKKVESFLKPKLEGLARNKGILFVAIDQNRPLSDQGPFDIVLHKLTGKEWRQILEEYRRTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSDTYGKVGVPRQLVIKRDSSSIPDAVTKAGLILPLVAKPLVADGSAKSHELSLAYDEYSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVTKRELSKNAGVFRFPRVSCAAASADDADLDPSVAELPPRPLLERLAKELRRRLGLRLFNLDIIREHGRRDHFYVIDINYFPGYGKMPGYEHIFTDFLLSLAQSRYKKRSI >KJB64015 pep chromosome:Graimondii2_0_v6:10:2457932:2461171:-1 gene:B456_010G029600 transcript:KJB64015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKDDNDEEEGPKTMSHCSIGHLQPEKSFVIVGYALTSKKVESFLKPKLEGLARNKGILFVAIDQNRPLSDQGPFDIVLHKLTGKEWRQILEEYRRTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSDTYGKVGVPRQLVIKRDSSSIPDAVTKAGLILPLVAKPLVADGSAKSHELSLAYDEYSLQKLEPPLVLQEFVNHGKQWQFLYGFITAVLFSNFNVRVGGVLFKVYIVGEAIKVVRRFSLPDVTKRELSKNAGVFRFPRVSCAAASADDADLDPSVAELPPRPLLERLAKELRRRLGLRLFNLDIIREHGRRDHFYVIDINYFPGYGKMPGYEHIFTDFLLSLAQSRYKKRSI >KJB64014 pep chromosome:Graimondii2_0_v6:10:2457932:2459435:-1 gene:B456_010G029600 transcript:KJB64014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYHAGKVGVPRQLVIKRDSSSIPDAVTKAGLILPLVAKPLVADGSAKSHELSLAYDEYSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVTKRELSKNAGVFRFPRVSCAAASADDADLDPSVAELPPRPLLERLAKELRRRLGLRLFNLDIIREHGRRDHFYVIDINYFPGYGKMPGYEHIFTDFLLSLAQSRYKKRSI >KJB66815 pep chromosome:Graimondii2_0_v6:10:45152957:45155637:1 gene:B456_010G159600 transcript:KJB66815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQRNILGFLFLILASLTSLSSSLPSEYSIVEHEIDAFLSEERVLEIFQQWKEKHRKVYRHAEEAEKRFENFKGNLKYILERNAKRKANKWEHHVGLNKFADMSNEEFRKAYLSKVKKPINKGTTLSRNMRRKVQSCDAPSSLDWRNYGVVTAVKDQGSCGSCWAFSSTGAMEGINALVTGDLISLSEQELVDCDTSNYGCEGGYMDYAFEWVINNGGIDSESDYPYTGVDGTCNTTKETKVVSIDGYQDVEQSDSALLCAVAQQPVSVGIDGSAIDFQLYTGGIYDGSCSDDPDDIDHAVLIVGYGSEDSEEYWIVKNSWGTSWGIDGYFYLKRDTDLPYGVCAVNAMASYPTKQSSSPSPYPSPSVPPPPPPSTPPPPPPPSPSPSDCGDFSYCSSDETCCCLFEFYDYCLIYGCCEYENAVCCTGTEYCCPSDYPICDVQEGLCLKNTEDYLGVAARKRKVAKHKLPWTKIEETEKTYQPLQWKRNPFAAMR >KJB66816 pep chromosome:Graimondii2_0_v6:10:45153133:45154739:1 gene:B456_010G159600 transcript:KJB66816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQRNILGFLFLILASLTSLSSSLPSEYSIVEHEIDAFLSEERVLEIFQQWKEKHRKVYRHAEEAEKRFENFKGNLKYILERNAKRKANKWEHHVGLNKFADMSNEEFRKAYLSKVKKPINKGTTLSRNMRRKVQSCDAPSSLDWRNYGVVTAVKDQGSCGSCWAFSSTGAMEGINALVTGDLISLSEQELVDCDTSNYGCEGGYMDYAFEWVINNGGIDSESDYPYTGVDGTCNTTKEETKVVSIDGYQDVEQSDSALLCAVAQQPVSVGIDGSAIDFQLYTGGIYDGSCSDDPDDIDHAVLIVGYGSEDSEEYWIVKNSWGTSWGIDGYFYLKRDTDLPYGVCAVNAMASYPTKQSSSPSPYPSPSVPPPPPPSTPPPPPPPSPSPSDCGDFSYCSSDETCCCLFEFYDYCLIYGCCEYENAVCCTGTEYCCPSDYPICDVQEGLCLKVPKSDL >KJB66817 pep chromosome:Graimondii2_0_v6:10:45152957:45155637:1 gene:B456_010G159600 transcript:KJB66817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQRNILGFLFLILASLTSLSSSLPSEYSIVEHEIDAFLSEERVLEIFQQWKEKHRKVYRHAEEAEKRFENFKGNLKYILERNAKRKANKWEHHVGLNKFADMSNEEFRKAYLSKVKKPINKGTTLSRNMRRKVQSCDAPSSLDWRNYGVVTAVKDQGSCGINALVTGDLISLSEQELVDCDTSNYGCEGGYMDYAFEWVINNGGIDSESDYPYTGVDGTCNTTKEETKVVSIDGYQDVEQSDSALLCAVAQQPVSVGIDGSAIDFQLYTGGIYDGSCSDDPDDIDHAVLIVGYGSEDSEEYWIVKNSWGTSWGIDGYFYLKRDTDLPYGVCAVNAMASYPTKQSSSPSPYPSPSVPPPPPPSTPPPPPPPSPSPSDCGDFSYCSSDETCCCLFEFYDYCLIYGCCEYENAVCCTGTEYCCPSDYPICDVQEGLCLKNTEDYLGVAARKRKVAKHKLPWTKIEETEKTYQPLQWKRNPFAAMR >KJB66820 pep chromosome:Graimondii2_0_v6:10:45153654:45155637:1 gene:B456_010G159600 transcript:KJB66820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGSCWAFSSTGAMEGINALVTGDLISLSEQELVDCDTSNYGCEGGYMDYAFEWVINNGGIDSESDYPYTGVDGTCNTTKEETKVVSIDGYQDVEQSDSALLCAVAQQPVSVGIDGSAIDFQLYTGGIYDGSCSDDPDDIDHAVLIVGYGSEDSEEYWIVKNSWGTSWGIDGYFYLKRDTDLPYGVCAVNAMASYPTKQSSSPSPYPSPSVPPPPPPSTPPPPPPPSPSPSDCGDFSYCSSDETCCCLFEFYDYCLIYGCCEYENAVCCTGTEYCCPSDYPICDVQEGLCLKNTEDYLGVAARKRKVAKHKLPWTKIEETEKTYQPLQWKRNPFAAMR >KJB66818 pep chromosome:Graimondii2_0_v6:10:45152957:45155637:1 gene:B456_010G159600 transcript:KJB66818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQRNILGFLFLILASLTSLSSSLPSEYSIVEHEIDAFLSEERVLEIFQQWKEKHRKVYRHAEEAEKRFENFKGNLKYILERNAKRKANKWEHHVGLNKFADMSNEEFRKAYLSKVKKPINKGTTLSRNMRRKVQSCDAPSSLDWRNYGVVTAVKDQGSCGSCWAFSSTGAMEGINALVTGDLISLSEQELVDCDTSNYGCEGGYMDYAFEWVINNGGIDSESDYPYTGVDGTCNTTKEETKVVSIDGYQDVEQSDSALLCAVAQQPVSVGIDGSAIDFQLYTGGIYDGSCSDDPDDIDHAVLIVGYGSEDSEEYWIVKNSWGTSWGIDGYFYLKRDTDLPYGVCAVNAMASYPTKQSSSPSPYPSPSVPPPPPPSTPPPPPPPSPSPSDCGDFSYCSSDETCCCLFEFYDYCLIYGCCEYENAVCCTGTEYCCPSDYPICDVQEGLCLKNTEDYLGVAARKRKVAKHKLPWTKIEETEKTYQPLQWKRNPFAAMR >KJB66819 pep chromosome:Graimondii2_0_v6:10:45152957:45156768:1 gene:B456_010G159600 transcript:KJB66819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQRNILGFLFLILASLTSLSSSLPSEYSIVEHEIDAFLSEERVLEIFQQWKEKHRKVYRHAEEAEKRFENFKGNLKYILERNAKRKANKWEHHVGLNKFADMSNEEFRKAYLSKVKKPINKGTTLSRNMRRKVQSCDAPSSLDWRNYGVVTAVKDQGSCGSCWAFSSTGAMEGINALVTGDLISLSEQELVDCDTSNYGCEGGYMDYAFEWVINNGGIDSESDYPYTGVDGTCNTTKEETKVVSIDGYQDVEQSDSALLCAVAQQPVSVGIDGSAIDFQLYTGGIYDGSCSDDPDDIDHAVLIVGYGSEDSEEYWIVKNSWGTSWGIDGYFYLKRDTDLPYGVCAVNAMASYPTKQSSSPSPYPSPSVPPPPPPSTPPPPPPPSPSPSDCGDFSYCSSDETCCCLFEFYDYCLIYGCCEYENAVCCTGTEYCCPSDYPICDVQEGLCLKNTEDYLGVAARKRKVAKHKLPWTKIEETEKTYQPLQWKRNPFAAMR >KJB67944 pep chromosome:Graimondii2_0_v6:10:58778208:58780418:1 gene:B456_010G218900 transcript:KJB67944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAATATTSALTNLILKSTNRQSLIKSLICPTIHWTPLLVNTILKHLWNHGPKALQFFDILLQNHPTYVHSASSFDHAIDVAARLRDYATVFTLLHRMRSLRLHPTPKTFAIIAERYVAAGKPDKALKIFLSMHQHGCFQDLRSFNTILDVLCKAKRVEKAWNFFKILRGRFQADVVSYNTIANGWCLIKRTNKALDTLKEMVEKGLSPNLTSYNIMLKGYFRAGQIEEGWKFFLEMKKRRCEIDVVTYTTVVHGLGVVGEVKRARKVFNEMVDKGVLPSVATYNALIQVLCKKDSVENAVSVFEEMLRKGYVPNSITFNVVIRGLCHKEQMEKAMEYMDMMRDNDCGPNVQTYNIVIRYFCDAGEIGKGLELLEKMSSENCLPNLDTYNILISSMFVRKKSDDMVVAGKLLIEMVDRGFMPRRLTFNRILDGLLLTGNQGFAKEILRLQTKCSRLPRQFKL >KJB67943 pep chromosome:Graimondii2_0_v6:10:58778208:58781991:1 gene:B456_010G218900 transcript:KJB67943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAATATTSALTNLILKSTNRQSLIKSLICPTIHWTPLLVNTILKHLWNHGPKALQFFDILLQNHPTYVHSASSFDHAIDVAARLRDYATVFTLLHRMRSLRLHPTPKTFAIIAERYVAAGKPDKALKIFLSMHQHGCFQDLRSFNTILDVLCKAKRVEKAWNFFKILRGRFQADVVSYNTIANGWCLIKRTNKALDTLKEMVEKGLSPNLTSYNIMLKGYFRAGQIEEGWKFFLEMKKRRCEIDVVTYTTVVHGLGVVGEVKRARKVFNEMVDKGVLPSVATYNALIQVLCKKDSVENAVSVFEEMLRKGYVPNSITFNVVIRGLCHKEQMEKAMEYMDMMRDNDCGPNVQTYNIVIRYFCDAGEIGKGLELLEKMSSENCLPNLDTYNILISSMFVRKKSDDMVVAGKLLIEMVDRGFMPRRLTFNRILDGLLLTGNQGFAKEILRLQTKCSRLPRQFKL >KJB66592 pep chromosome:Graimondii2_0_v6:10:38316861:38319038:-1 gene:B456_010G1457001 transcript:KJB66592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFAGINLTYQSFRCYNDSGSFTTSSTYGKNLDYILDSLPHKVSENGGFFEATGGQDSNKAYALGMCRGDLIKDDCYICVSSSVNDLRVKCPHWKEAVSLAVLRPCIVHYANRPFFGNLEVEPTDAAHNNESIKSDVLTEFEMAWDRLVVSMRTNASNGSSKFKYATEEAKFTESQTIYALMQCTPDLSRQHCWTCLKRATETHDDCCRAKQGGFVQKPNCYFRWDLHRFYTLGQGGFGPVYKGTLADGKEIAVKRLSRTSGQGLLEFKNEVMLIAKLQHRNLVRLLGCCLEKNEKLLVYEFMPNKSLDVFLFDSSLPAQLVWQKRFNIIKGTARGIMYLHEDSRLRIIHRDLKA >KJB64299 pep chromosome:Graimondii2_0_v6:10:3908150:3911385:1 gene:B456_010G041800 transcript:KJB64299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTLLAPLLLCVTFLCSSLNVASDSAAAALAILKWKASLQSQNHSVLLSWNTSNNPNTKTSPCAWFGIHCNHADSVIKINLTGYGVKGTLHLFPFLSLPNLAELDLSTNELYGIIPPKISQLSKLTYLDLSFNQFSGKIPPEISHLVHLQTLHLAGNQLNGSIPREIGQLKFLTELALCSNKLNGCIPASLGKLSRLLSLILFDNSLSVYLDTNRLTGPIPSTFGNLKKLTVLQMFNNSLSGPIPSELGNMESLSEISLYHNNVSGLIPTSFGDLRLLTLAHLYENQLSGPIPEEIGNLNSLVDLELSENQLNGSIPASLGNLSNLEILFLRDNRLSGSIPEEIGNLMKLTVLELDHNNLTGNLPQGLCRGGSLEYFTANDNQLTGPIPQGLKNCTSLKRVYLERNRLRGNISEDLSVYPNLKFIELSDNEFYGEVSSNWGLCKSLEILSIARNNLSGAIPLELGLMSKLLYLDLSANQLSKSIPETIGNLSMSFYLNLSINQFSQNIPIQVGKLTRLFHLDLSHNMLSGEIPGELQSLQSLETLNLSHNNLSGEIPASFEHLRGLYTVDISFNELQGPIPNCQAFVNASVQELRGNKALCGNAIIFPLLLVSGLSISSIALLFAFKRKKDTDEERQSNATDEIFFTVTPFNGRILYKEIIRATKDFDAQYCIGKAGYGNVYKAELSSGDVVAVKKFHPLHTGEMADQRQFLNKVRALVETRHRNIVKFYGFCSSAGHSFLVYKYLERGSLASVLCNDEESKKLDWNKGVNIVKGVANALSYLHHDCSPPIVHRDITSNNILLDLEYEAHLADSGTAKLLNPDSSNWSNIAGTYGYIAPELSYTMQVSEKCDVFCFGVLALELIVGAYPGEFLSNLSILTAESIPLNNVLDQRLAPPLPEVVNKLVLILKLAVSCLNINSKSRPTMHTISQLLSCLRSVCNSSSYVLFHGIK >KJB64145 pep chromosome:Graimondii2_0_v6:10:3169444:3173528:-1 gene:B456_010G034700 transcript:KJB64145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPNRRSKQKSGSADSSTSPLIVSGEKGNPANRIRDLELENETLKRAIEELRSKVADVTPNSCVGVKKLDQGHSRNSETSPKIVELRKLGIQSQLSAKKPKSDEMSEQFQDEIQRLKVQKVQLQCKMKLESMQFRLCKASLEKEILQLKKEQRRNKYEKHVLSTLIQRQKHVLQQKTKEAFVAAKRLKQLTESRKATSGKIAGARIGINIGTQGIENDFEAKLKVDEVCSKYEHRIEKMVDEIKKLKLELEMLRDEKSSSSCGTGDNETSIDDSELTDLREEVAKLSCMVSQMKMSKAQLVPADRSQVSSVSCSIADLVQTSVSIGSNIHASGTDASESECSQGNTSMIEKPSGVCCSCSKKSLCKTLKCGCRAAGSSCGASCGCALAKCTNNKKVPFKLDDIPQPKMPEDIVEELKGTIHDTILIQNKTVKNIPDTNEDYGPRKQPLREIGNTMVKPTAVKLDPRKKGQKTVIQPDTKDQPLPVMENTRGTRRTNKISG >KJB64144 pep chromosome:Graimondii2_0_v6:10:3169444:3173474:-1 gene:B456_010G034700 transcript:KJB64144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPNRRSKQKSGSADSSTSPLIVSGEKGNPANRIRDLELENETLKRAIEELRSKVADVTPNSCVGVKKLDQGHSRNSETSPKIVELRKLGIQSQLSAKKPKSDEMSEQFQDEIQRLKLQCKMKLESMQFRLCKASLEKEILQLKKEQRRNKYEKHVLSTLIQRQKHVLQQKTKEAFVAAKRLKQLTESRKATSGKIAGARIGINIGTQGIENDFEAKLKVDEVCSKYEHRIEKMVDEIKKLKLELEMLRDEKSSSSCGTGDNETSIDDSELTDLREEVAKLSCMVSQMKMSKAQLVPADRSQADLVQTSVSIGSNIHASGTDASESECSQGNTSMIEKPSGVCCSCSKKSLCKTLKCGCRAAGSSCGASCGCALAKCTNNKKVPFKLDDIPQPKMPEDIVEELKGTIHDTILIQNKTVKNIPDTNEDYGPRKQPLREIGNTMVKPTAVKLDPRKKGQKTVIQPDTKDQPLPVMENTRGTRRTNKISG >KJB64143 pep chromosome:Graimondii2_0_v6:10:3169374:3173608:-1 gene:B456_010G034700 transcript:KJB64143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPNRRSKQKSGSADSSTSPLIVSGEKGNPANRIRDLELENETLKRAIEELRSKVADVTPNSCVGVKKLDQGHSRNSETSPKIVELRKLGIQSQLSAKKPKSDEMSEQFQDEIQRLKVQKVQLQCKMKLESMQFRLCKASLEKEILQLKKEQRRNKYEKHVLSTLIQRQKHVLQQKTKEAFVAAKRLKQLTESRKATSGKIAGARIGINIGTQGIENDFEAKLKVDEVCSKYEHRIEKMVDEIKKLKLELEMLRDEKSSSSCGTGDNETSIDDSELTDLREEVAKLSCMVSQMKMSKAQLVPADRSQADLVQTSVSIGSNIHASGTDASESECSQGNTSMIEKPSGVCCSCSKKSLCKTLKCGCRAAGSSCGASCGCALAKCTNNKKVPFKLDDIPQPKMPEDIVEELKGTIHDTILIQNKTVKNIPDTNEDYGPRKQPLREIGNTMVKPTAVKLDPRKKGQKTVIQPDTKDQPLPVMENTRGTRRTNKISG >KJB64817 pep chromosome:Graimondii2_0_v6:10:8503310:8503690:-1 gene:B456_010G066300 transcript:KJB64817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHLFTFRPARIHASAIPAHLKPDSDRRRTTTLSTPTNWWAPLFGLPSDPDYIDSDNKTELKQRRQGESLTDVGQKWARTKFSPARFTEDKARQLRMMTTTTSSFHDIMYHSAIASRLASDFKDR >KJB63776 pep chromosome:Graimondii2_0_v6:10:1208776:1211565:-1 gene:B456_010G015800 transcript:KJB63776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSLWKPISHCAALIAEKKKKKKTKRRNNGGGSSLSVLRQLQENKLKEALEEASEDGSLSKSQNIDSSSLNQDGSNGRSRSLARLRAQNEFLNATSLVADRIFCSQDSIPSFNDAFSKFITVYPKFQGTEKVDHLRMEEYGHLSESSVKVCLDYCGFGLFSYNQTQLNWDSTMFNLSEVTANLSNHALYGGAEIGTMEHDIKTRILEHLNIPCNEYGLVFTVSRGSAFKLLAEVYPFQTNKKLLTMFDHESQSVIWMAQCAKEKGAKVYNAWFKWPSLKLCSRELRKQISNKKKRKKGHANGLFVFPVQSRVTGAKYSYQWMALAQQNHWHTLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRLFGYDPTGFGCLLIKKSVMASLQIPYGHTGSGMVKILPVYPQYLSDSIDGLDVLVGLDDEGGKHEEEGLPERNGGSQMPAFSGVFTSNQVRDVFETEMDHDNSSDRDGASTIFEEAENLSVGDLMKSPIFSEDESLDNSYWIDLGQSPLGSDNSDQLTRLKTDSTLLPSWISGKRNNKRLSPIMTSKLSTSPVCDDRKINMRSRGDTVLSFDAAVLSVSHEPDQITEIPEEQPAEMDPASGDDGKPKDSQYGEIEEESGISIESELVNSTLSSKVNGFKPKNGMFEKESAIRRETEGEFRLLGGREGSRFANGRLFGFDDDDQVASLGRKVSFSMEDSRIEIPSRLEPGEVSLTTLPDDESGTDEDYDYDDQECSRKEPEIICRHLDHVNMLGLNKTTLRLRYLINWLVTSLLQLRLPSSDESKGVHLVQIYGPKIKYERGAAVAFNVRDSNGGRLIDPEIVQQLAEKSGISLGIGILSHVRVVDNVKQQCKEIELEDSALCQPMANNCRDGKSLFFRVKVITASLGFLTNFEDVYKTWAFVAKFLNPSFIEENDLSAISEGSGT >KJB63777 pep chromosome:Graimondii2_0_v6:10:1208421:1211889:-1 gene:B456_010G015800 transcript:KJB63777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSLWKPISHCAALIAEKKKKKKTKRRNNGGGSSLSVLRQLQENKLKEALEEASEDGSLSKSQNIDSSSLNQDGSNGRSRSLARLRAQNEFLNATSLVADRIFCSQDSIPSFNDAFSKFITVYPKFQGTEKVDHLRMEEYGHLSESSVKVCLDYCGFGLFSYNQTQLNWDSTMFNLSEVTANLSNHALYGGAEIGTMEHDIKTRILEHLNIPCNEYGLVFTVSRGSAFKLLAEVYPFQTNKKLLTMFDHESQSVIWMAQCAKEKGAKVYNAWFKWPSLKLCSRELRKQISNKKKRKKGHANGLFVFPVQSRVTGAKYSYQWMALAQQNHWHTLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRLFGYDPTGFGCLLIKKSVMASLQIPYGHTGSGMVKILPVYPQYLSDSIDGLDVLVGLDDEGGKHEEEGLPERNGGSQMPAFSGVFTSNQVRDVFETEMDHDNSSDRDGASTIFEEAENLSVGDLMKSPIFSEDESLDNSYWIDLGQSPLGSDNSDQLTRLKTDSTLLPSWISGKRNNKRLSPIMTSKLSTSPVCDDRKINMRSRGDTVLSFDAAVLSVSHEPDQITEIPEEQPAEMDPASGDDGKPKDSQYGEIEEESGISIESELVNSTLSSKVNGFKPKNGMFEKESAIRRETEGEFRLLGGREGSRFANGRLFGFDDDDQVASLGRKVSFSMEDSRIEIPSRLEPGEVSLTTLPDDESGTDEDYDYDDQECSRKEPEIICRHLDHVNISNMKEVQP >KJB64711 pep chromosome:Graimondii2_0_v6:10:7433575:7437108:1 gene:B456_010G061400 transcript:KJB64711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDIKWSELVEVKGKVRLEAFEKYIQELARSRNRGLMVVSLCWKEGSSRSGLVGLNEIAKGYKKGERVGFAQLSPGIDLYICPRSDAIITILAKHGFFKGMAAVEDKKNSLIGCVVWRRNHGLSSSVTKKLEKKHSSSTEQPLSSQSDEKVSAKDLPCTQSAKESPRITCTSIESAVINRNEGDNAGSSDSQLKLHTSPASADLPLKTSALSHLSDTSGPEETKSVLGHQNPVISLPSVIIKELPAIDDDDLPEFDFGAECGISKTPRSKVFDTAVFDKNVVVEGLKKVVVSVPLISPTIQSLPARCKRRAEDILSPQFAFNSSLNLPPGNKACKLDPVLQAKHTVKSSSVFTPVITSIVAPQKNIFDDDDDDDDMPEWCPPNVKHTFLESAMVARTSIHPTLSNSKLVCSFPGQPSPKFPSSACQLPPLQRSIYANQSPMTASAEPPQPRQTDRYLQRAPSSLMGSNSSHLLRPPIHPPQWKGKGR >KJB64709 pep chromosome:Graimondii2_0_v6:10:7432841:7437108:1 gene:B456_010G061400 transcript:KJB64709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEGSGSGNLKLSRPTVAEKFWDGSLKLNSSVTVSVVAFFKSGEKMPDIKWSELVEVKGKVRLEAFEKYIQELARSRNRGLMIAKGYKKGERVGFAQLSPGIDLYICPRSDAIITILAKHGFFKGMAAVEDKKNSLIGCVVWRRNHGLSSSVTKKLEKKHSSSTEQPLSSQSDEKVSAKDLPCTQSAKESPRITCTSIESAVINRNEGDNAGSSDSQLKLHTSPASADLPLKTSALSHLSDTSGPEETKSVLGHQNPVISLPSVIIKELPAIDDDDLPEFDFGAECGISKTPRSKVFDTAVFDKNVVVEGLKKVVVSVPLISPTIQSLPARCKRRAEDILSPQFAFNSSLNLPPGNKACKLDPVLQAKHTVKSSSVFTPVITSIVAPQKNIFDDDDDDDDMPEWCPPNVKHTFLESAMVARTSIHPTLSNSKLVCSFPGQPSPKFPSSACQLPPLQRSIYANQSPMTASAEPPQPRQTDRYLQRAPSSLMGSNSSHLLRPPIHPPQWKGKGR >KJB64714 pep chromosome:Graimondii2_0_v6:10:7434459:7437086:1 gene:B456_010G061400 transcript:KJB64714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILLCSGEKMPDIKWSELVEVKGKVRLEAFEKYIQELARSRNRGLMVVSLCWKEGSSRSGLVGLNEIAKGYKKGERVGFAQLSPGIDLYICPRSDAIITILAKHGFFKGMAAVEDKKNSLIGCVVWRRNHGLSSSVTKKLEKKHSSSTEQPLSSQSDEKVSAKDLPCTQSAKESPRITCTSIESAVINRNEGDNAGSSDSQLKLHTSPASADLPLKTSALSHLSDTSGPEETKSVLGHQNPVISLPSVIIKELPAIDDDDLPEFDFGAECGISKTPRSKVFDTAVFDKNVVVEGLKKVVVSVPLISPTIQSLPARCKRRAEDILSPQFAFNSSLNLPPGNKACKLDPVLQAKHTVKSSSVFTPVITSIVAPQKNIFDDDDDDDDMPEWCPPNVKHTFLESAMVARTSIHPTLSNSKLVCSFPGQPSPKFPSSACQLPPLQRSIYANQSPMTASAEPPQPRQTDRYLQRAPSSLMGSNSSHLLRPPIHPPQWKGKGR >KJB64712 pep chromosome:Graimondii2_0_v6:10:7433773:7437108:1 gene:B456_010G061400 transcript:KJB64712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDIKWSELVEVKGKVRLEAFEKYIQELARSRNRGLMVVSLCWKEGSSRSGLVGLNEIAKGYKKGERVGFAQLSPGIDLYICPRSDAIITILAKHGFFKGMAAVEDKKNSLIGCVVWRRNHGLSSSVTKKLEKKHSSSTEQPLSSQSDEKVSAKDLPCTQSAKESPRITCTSIESAVINRNEGDNAGSSDSQLKLHTSPASADLPLKTSALSHLSDTSGPEETKSVLGHQNPVISLPSVIIKELPAIDDDDLPEFDFGAECGISKTPRSKVFDTAVFDKNVVVEGLKKVVVSVPLISPTIQSLPARCKRRAEDILSPQFAFNSSLNLPPGNKACKLDPVLQAKHTVKSSSVFTPVITSIVAPQKNIFDDDDDDDDMPEWCPPNVKHTFLESAMVARTSIHPTLSNSKLVCSFPGQPSPKFPSSACQLPPLQRSIYANQSPMTASAEPPQPRQTDRYLQRAPSSLMGSNSSHLLRPPIHPPQWKGKGR >KJB64710 pep chromosome:Graimondii2_0_v6:10:7432903:7437086:1 gene:B456_010G061400 transcript:KJB64710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEGSGSGNLKLSRPTVAEKFWDGSLKLNSSVTVSVVAFFKSGEKMPDIKWSELVEVKGKVRLEAFEKYIQELARSRNRGLMVVSLCWKEGSSRSGLVGLNEIAKGYKKGERVGFAQLSPGIDLYICPRSDAIITILAKHGFFKGMAAVEDKKNSLIGCVVWRRNHGLSSSVTKKLEKKHSSSTEQPLSSQSDEKVSAKDLPCTQSAKESPRITCTSIESAVINRNEGDNAGSSDSQLKLHTSPASADLPLKTSALSHLSGISLGLQTSSYSDSVPSLRPKGQSSLNEMPHVDTSGPEETKSVLGHQNPVISLPSVIIKELPAIDDDDLPEFDFGAECGISKTPRSKVFDTAVFDKNVVVEGLKKVVVSVPLISPTIQSLPARCKRRAEDILSPQFAFNSSLNLPPGNKACKLDPVLQAKHTVKSSSVFTPVITSIVAPQKNIFDDDDDDDDMPEWCPPNVKHTFLESAMVARTSIHPTLSNSKLVCSFPGQPSPKFPSSACQLPPLQRSIYANQSPMTASAEPPQPRQTDRYLQRAPSSLMGSNSSHLLRPPIHPPQWKGKGR >KJB64704 pep chromosome:Graimondii2_0_v6:10:7434683:7436750:1 gene:B456_010G061400 transcript:KJB64704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDIKWSELVEVKGKVRLEAFEKYIQELARSRNRGLMVVSLCWKEGSSRSGLVGLNEIAKGYKKGERVGFAQLSPGIDLYICPRSDAIITILAKHGFFKGMAAVEDKKNSLIGCVVWRRNHGLSSSVTKKLEKKHSSSTEQPLSSQSDEKVSAKDLPCTQSAKESPRITCTSIESAVINRNEGDNAGSSDSQLKLHTSPASADLPLKTSALSHLSDTSGPEETKSVLGHQNPVISLPSVIIKELPAIDDDDLPEFDFGAECGISKTPRSKVFDTAVFDKNVVVEGLKKVVVSVPLISPTIQSLPARCKRRAEDILSPQFAFNSSLNLPPGNKACKLDPVLQAKHTVKSSSVFTPVITSIVAPQKNIFDDDDDDDDMPEWCPPNVKHTFLESAMVARTSIHPTLSNSKLVCSFPGQPSPKFPSSACQLPPLQRSIYANQSPMTASAEPPQPRQTDRYLQRAPSSLMGSNSSHLLRPPIHPPQWKGKGR >KJB64713 pep chromosome:Graimondii2_0_v6:10:7433985:7437146:1 gene:B456_010G061400 transcript:KJB64713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDIKWSELVEVKGKVRLEAFEKYIQELARSRNRGLMVVSLCWKEGSSRSGLVGLNEIAKGYKKGERVGFAQLSPGIDLYICPRSDAIITILAKHGFFKGMAAVEDKKNSLIGCVVWRRNHGLSSSVTKKLEKKHSSSTEQPLSSQSDEKVSAKDLPCTQSAKESPRITCTSIESAVINRNEGDNAGSSDSQLKLHTSPASADLPLKTSALSHLSDTSGPEETKSVLGHQNPVISLPSVIIKELPAIDDDDLPEFDFGAECGISKTPRSKVFDTAVFDKNVVVEGLKKVVVSVPLISPTIQSLPARCKRRAEDILSPQFAFNSSLNLPPGNKACKLDPVLQAKHTVKSSSVFTPVITSIVAPQKNIFDDDDDDDDMPEWCPPNVKHTFLESAMVARTSIHPTLSNSKLVCSFPEPPQPRQTDRYLQRAPSSLMGSNSSHLLRPPIHPPQWKGKGR >KJB64707 pep chromosome:Graimondii2_0_v6:10:7432841:7437146:1 gene:B456_010G061400 transcript:KJB64707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEGSGSGNLKLSRPTVAEKFWDGSLKLNSSVTVSVVAFFKSGEKMPDIKWSELVEVKGKVRLEAFEKYIQELARSRNRGLMVVSLCWKEGSSRSGLVGLNEIAKGYKKGERVGFAQLSPGIDLYICPRSDAIITILAKHGFFKGMAAVEDKKNSLIGCVVWRRNHGLSSSVTKKLEKKHSSSTEQPLSSQSDEKVSAKDLPCTQSAKESPRITCTSIESAVINRNEGDNAGSSDSQLKLHTSPASADLPLKTSALSHLSDTSGPEETKSVLGHQNPVISLPSVIIKELPAIDDDDLPEFDFGAECGISKTPRSKVFDTAVFDKNVVVEGLKKVVVSVPLISPTIQSLPARCKRRAEDILSPQFAFNSSLNLPPGNKACKLDPVLQAKHTVKSSSVFTPVITSIVAPQKNIFDDDDDDDDMPEWCPPNVKHTFLESAMVARTSIHPTLSNSKLVCSFPEPPQPRQTDRYLQRAPSSLMGSNSSHLLRPPIHPPQWKGKGR >KJB64708 pep chromosome:Graimondii2_0_v6:10:7432903:7437086:1 gene:B456_010G061400 transcript:KJB64708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEGSGSGNLKLSRPTVAEKFWDGSLKLNSSVTVSVVAFFKSGEKMPDIKWSELVEVKGKVRLEAFEKYIQELARSRNRGLMVVSLCWKEGSSRSGLVGLNEIAKGYKKGERVGFAQLSPGIDLYICPRSDAIITILAKHGFFKGMAAVEDKKNSLIGCVVWRRNHGLSSSVTKKLEKKHSSSTEQPLSSQSDEKVSAKDLPCTQSAKESPRITCTSIESAVINRNEGDNAGSSDSQLKLHTSPASADLPLKTSALSHLSDTSGPEETKSVLGHQNPVISLPSVIIKELPAIDDDDLPEFDFGAECGISKTPRSKVFDTAVFDKNVVVEGLKKVVVSVPLISPTIQSLPARCKRRAEDILSPQFAFNSSLNLPPGNKACKLDPVLQAKHTVKSSSVFTPVITSIVAPQKNIFDDDDDDDDMPEWCPPNVSQVPNSLLQLVNCLLSKDPFMQISLQ >KJB64705 pep chromosome:Graimondii2_0_v6:10:7432841:7437108:1 gene:B456_010G061400 transcript:KJB64705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEGSGSGNLKLSRPTVAEKFWDGSLKLNSSVTVSVVAFFKSGEKMPDIKWSELVEVKGKVRLEAFEKYIQELARSRNRGLMVVSLCWKEGSSRSGLVGLNEIAKGYKKGERVGFAQLSPGIDLYICPRSDAIITILAKHGFFKGMAAVEDKKNSLIGCVVWRRNHGLSSSVTKKLEKKHSSSTEQPLSSQSDEKVSAKDLPCTQSAKESPRITCTSIESAVINRNEGDNAGSSDSQLKLHTSPASADLPLKTSALSHLSDTSGPEETKSVLGHQNPVISLPSVIIKELPAIDDDDLPEFDFGAECGISKTPRSKVFDTAVFDKNVVVEGLKKVVVSVPLISPTIQSLPARCKRRAEDILSPQFAFNSSLNLPPGNKACKLDPVLQAKHTVKSSSVFTPVITSIVAPQKNIFDDDDDDDDMPEWCPPNVKHTFLESAMVARTSIHPTLSNSKLVCSFPGQPSPKFPSSACQLPPLQRSIYANQSPMTASAEPPQPRQTDRYLQRAPSSLMGSNSSHLLRPPIHPPQWKGKGR >KJB64706 pep chromosome:Graimondii2_0_v6:10:7432841:7437146:1 gene:B456_010G061400 transcript:KJB64706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDIKWSELVEVKGKVRLEAFEKYIQELARSRNRGLMVVSLCWKEGSSRSGLVGLNEIAKGYKKGERVGFAQLSPGIDLYICPRSDAIITILAKHGFFKGMAAVEDKKNSLIGCVVWRRNHGLSSSVTKKLEKKHSSSTEQPLSSQSDEKVSAKDLPCTQSAKESPRITCTSIESAVINRNEGDNAGSSDSQLKLHTSPASADLPLKTSALSHLSDTSGPEETKSVLGHQNPVISLPSVIIKELPAIDDDDLPEFDFGAECGISKTPRSKVFDTAVFDKNVVVEGLKKVVVSVPLISPTIQSLPARCKRRAEDILSPQFAFNSSLNLPPGNKACKLDPVLQAKHTVKSSSVFTPVITSIVAPQKNIFDDDDDDDDMPEWCPPNVKHTFLESAMVARTSIHPTLSNSKLVCSFPEPPQPRQTDRYLQRAPSSLMGSNSSHLLRPPIHPPQWKGKGR >KJB65648 pep chromosome:Graimondii2_0_v6:10:19120127:19124147:-1 gene:B456_010G104800 transcript:KJB65648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQLDYIENLVESQPIRKEPVKEITEKSSKEPIFTPYEIPKTFQKSQNDFLTEIQNRLNALESYKSELIAPDTPIQAQYSVNTLYQSSQSESDQSDEQQINKMAWKEPKRLYYPKITAPDLNIEEKLVFQNKYNANTIYEWNIDGMSKYNILIATLIFSIFKHFIGDPSHLKDRNSELLSNLKCKKLTDFKWYKDVFMTRVMQRSDNQQPFWKEKFLAGLPTLLGEKVRNQIRENYKGIIPYEKLTYGQMSKYCKIKRKINNLNLDEEIEQKLNEILLETTSSENDTSTEIDELQIDELHTTSQSSSDENEPSINMLSKDQEFMIEVIDKIQDLELKREYTLKLKSSLKEKPEKEKEIISSQSQMQITNSELYLETKHVKLELSQLKTEQQEMKEQMRTLKHETSEKSSSETEPEEKTQEYMMILTEVSIQRYLIKINIVINNEFQLETIALFDTGADQNCLREGIIPTKYYNKTSESLKPANEWWNWYGPSSFEILPQKNQKFWPKFFDKFHPEPDQKHTIYFFLKLCISWIVSWNYSYEQDQHTKIPLLVGNYRTKWWDKFNDEKYDSKDLDYFFNKNARLCKSAAPDQTITKFLQAKSTASATLAQAKTNKEYKKTHG >KJB68223 pep chromosome:Graimondii2_0_v6:10:60424338:60424526:1 gene:B456_010G2333001 transcript:KJB68223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSDEVVDCSHGKQLWELCKEKYEPLWLKGGNHCDLEQYPEYIRHLKKFISTVEKSPSQRYSS >KJB64400 pep chromosome:Graimondii2_0_v6:10:5154872:5156731:-1 gene:B456_010G047700 transcript:KJB64400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDASSSMGKTSNHKPLDPFAKSYQPQGPQPLNIYFPPESPYYFHCHPPPSFHYLNIYNSGKQPLPWIEVFNAPKHINYGSERIIGNRNKSKWRLLPPRLKWAKEFPMRRKEVWVKKVKAGSNHAEEQAKFDGKTSLMIKNRLLDDHCGTENRKAQPGSYFCKSEYDFLYLPMDFGFHLNLGFAFVNFTSPVAALRFYKDFNNREWSSRLGRKKTCEISVAKFQGKDALKENFEHSYFACHTNKYLPVVYTPPRDGFNRSNPTVVGRRIQADFMAVVGVESLG >KJB68341 pep chromosome:Graimondii2_0_v6:10:60943590:60946430:1 gene:B456_010G239800 transcript:KJB68341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCPTIKNILLLDSEGKRIAVKYYSDDWPTNAAKEAFEKAVFTKTQKTNARTEAEITMFDNYIVVYKFVQDLHFFVTGGENENEIILATVLQGFFDAVGLLLRGTEDKKEALENLDLILLCLDEIVDGGIILETDANVIAGKVDSHSMDAGAPLSEQVNLLLFHSSDYPLAKSIATILTYLVLQQTITQALATAREHLTRSLLK >KJB68340 pep chromosome:Graimondii2_0_v6:10:60943589:60946945:1 gene:B456_010G239800 transcript:KJB68340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCPTIKNILLLDSEGKRIAVKYYSDDWPTNAAKEAFEKAVFTKTQKTNARTEAEITMFDNYIVVYKFVQDLHFFVTGGENENEIILATVLQGFFDAVGLLLRGTEDKKEALENLDLILLCLDEIVDGGIILETDANVIAGKVDSHSMDAGAPLSEQTITQALATAREHLTRSLLK >KJB63456 pep chromosome:Graimondii2_0_v6:10:51965482:51966351:1 gene:B456_010G177600 transcript:KJB63456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKSTISPHLPKVVKEREMEIGNPTDVKHVAHIGLDSSSDTAPSWMNEFKTGLDSTAKPIRKSRVSNPTFISTCSSQGVDLSVGSQPATEMTRDRSCTDLSNITKKQKRKKKNPSISKSPSLKSSRISKTKYTQLAPTTDFEM >KJB65712 pep chromosome:Graimondii2_0_v6:10:20769422:20770393:1 gene:B456_010G109900 transcript:KJB65712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKAVIEDTDMPLKMQAGALASASRALDLFEGFDCISIAAYIKKEFDKMYGGGWQCVVGTNFSCFITHFKGTFIYFALGTLNFLIFNPTHSFSC >KJB64247 pep chromosome:Graimondii2_0_v6:10:3873260:3874204:-1 gene:B456_010G041400 transcript:KJB64247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDTSDSSSYFDGREPDELIRALVQKWPGTDGKITVGLHFLDLESNEVFIKAMPPDAPFCSTAVACGDHVYVIGGIRQNDATLFDNDGVNDVFQLDLKDLERGWRKTTSMLFPRVLPRVVAAEGKIFVFEYMGSESFGEVYDISGDIWEPLSPPPEDIDLCVPVLDSSRSRILVHCNANDTLYAYYYDRKSWVCLEQKFCYWSDAATIVDDVLYTAIYNYYDKFRSLEAYNLLDKKHLPVKWSSEFSVDPHGTLYRLGNGKCIFVWFNRLDKSFEYIRFHIWCNEQGGIHAAAEHQSAISVPYPKDISDIKLF >KJB64644 pep chromosome:Graimondii2_0_v6:10:7077643:7080334:-1 gene:B456_010G059300 transcript:KJB64644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triphosphate tunel metalloenzyme 3 [Source:Projected from Arabidopsis thaliana (AT2G11890) UniProtKB/Swiss-Prot;Acc:Q9SIY3] MEVEVKLRLQDASAYRQLTSILSPFHSKTLHQENLFFDTPTNTLSSQLSVLRLRFLNKDARCIVSLKSKPTLVDGVSRVAEDEEELDPCSARACVEDPARLGKIESRILKRVKEEFGVGEEVGFVCLGGFENKREVFNWKNLKLEVDETKYGFGICYELECESEDPDGVKKLLEEFLKENGIGYSYSKMTKFAVFRSGKLP >KJB64645 pep chromosome:Graimondii2_0_v6:10:7077643:7080299:-1 gene:B456_010G059300 transcript:KJB64645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triphosphate tunel metalloenzyme 3 [Source:Projected from Arabidopsis thaliana (AT2G11890) UniProtKB/Swiss-Prot;Acc:Q9SIY3] MEVEVKLRLQDASAYRQLTSILSPFHSKTLHQENLFFDTPTNTLSSQLSVLRLRFLNKDARCIVSLKSKPTLVDGVSRVAEDEEELDPCSARACVEDPARLGKIESRILKRVKEEFGVGEEVGFVCLGGFENKREVFNWKNLKLEVDETKYGFGICYELECESEDPDGVKKLLEEFLKENGIGYSYSKMTKFAVFRSGKLP >KJB64429 pep chromosome:Graimondii2_0_v6:10:5480730:5481846:-1 gene:B456_010G049100 transcript:KJB64429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKSNIVIPNPSPREARQTRTRHSWVPPPSRIRVHSKAPPNTFHRIAQDSTADSTTQHNHTLVEHSNALLIALKFLYISSLLHLQEEAASRRLVVVRVKRWKRRADNWE >KJB64563 pep chromosome:Graimondii2_0_v6:10:6195321:6199103:-1 gene:B456_010G054000 transcript:KJB64563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKLAQSSFRDRTQEFQSVAERLRKSFSSGPGQNGPSSSTSRAEEQRSVVAHQSEFNRRASKIGFGIHQTSQKLSKLAKLAKRTSVFDDPTMEIQELTAVIKQDITALNSAVVDLQLFCNSRNEGGVSSDTSSHSTTVVDDLKNRLMSTTKEFKDVLTMRTENLKVHENRRQLFSSNATKDSPNPFVRQRPLAVKSAAGAANNLPKWANGSASSSQLFPRKQTDGESQPLLQHQQQQQQQMVPLQDSYMQSRAEALQNVESTIHELGTIFNQLATLVSQQGELAIRIDENMDDALANVEGAQGALLKYLNSISSNRWLMIKIFFVLIVFLMVFLFFVA >KJB64562 pep chromosome:Graimondii2_0_v6:10:6195251:6199124:-1 gene:B456_010G054000 transcript:KJB64562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKLAQSSFRDRTQEFQSVAERLRKSFSSGPGQNGPSSSTSRAEEQRSVVAHQSEFNRRASKIGFGIHQTSQKLSKLAKLAKRTSVFDDPTMEIQELTAVIKQDITALNSAVVDLQLFCNSRNEGGVSSDTSSHSTTVVDDLKNRLMSTTKEFKDVLTMRTENLKVHENRRQLFSSNATKDSPNPFVRQRPLAVKSAAGAANNLPKWANGSASSSQLFPRKQTDGESQPLLQHQQQQQQQMVPLQDSYMQSRAEALQNVESTIHELGTIFNQLATLVSQQGELAIRIDENMDDALANVEGAQGALLKYLNSISSNRWLMIKIFFVLIVFLMVFLFFVA >KJB64564 pep chromosome:Graimondii2_0_v6:10:6196401:6199103:-1 gene:B456_010G054000 transcript:KJB64564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKLAQSSFRDRTQEFQSVAERLRKSFSSGPGQNGPSSSTSRAEEQRSVVAHQSEFNRRASKIGFGIHQTSQKLSKLAKLAKRTSVFDDPTMEIQELTAVIKQDITALNSAVVDLQLFCNSRNEGGVSSDTSSHSTTVVDDLKNRLMSTTKEFKDVLTMRTENLKVHENRRQLFSSNATKDSPNPFVRQRPLAVKSAAGAANNLPKWANGSASSSQLFPRKQTDGESQPLLQHQQQQQQQMVPLQDSYMQSRAEALQNVESTIHELGTIFNQLATLVSQQGELAIRFYSFTFLK >KJB63291 pep chromosome:Graimondii2_0_v6:10:22435690:22438416:1 gene:B456_010G115700 transcript:KJB63291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHLCLQRNNLKSNGMINILMTLISRNHGRMKMNLLRLRLVEEADYKSTIELFSKKGDNKTFDNFIPKFESDFVEYVELISHKLRPYEVSLTIFLSLHCHYIEEVLTFPKYIL >KJB63290 pep chromosome:Graimondii2_0_v6:10:22435557:22438426:1 gene:B456_010G115700 transcript:KJB63290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHLCLQRNNLKSNGMINILMTLISRNHGRMKMNLLRLRLVEEADYKSTIELFSKKGDNKTFDNFIPKFESDFVEYVELISHKLRPYELSRYCTNQGCDETIIGFFGSS >KJB63289 pep chromosome:Graimondii2_0_v6:10:22435557:22438426:1 gene:B456_010G115700 transcript:KJB63289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHLCLQRNNLKSNGMINILMTLISRNHGRMKMNLLRLRLVEEADYKSTIELFSKKGDNKTFDNFIPKFESDFVEYVELISHKLRPYENGKIALGRRRVLRRGQED >KJB66992 pep chromosome:Graimondii2_0_v6:10:49113573:49115125:1 gene:B456_010G169200 transcript:KJB66992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNTQMDSCVPLIDSNSMHEVSAPRKRSRDSFTNGFDSYSLPQNNNLSGASSDVDDDVFSQIQQQHDIDRFISDHTEKLRLEVEERRKRQSRMLITAIQERVMKKLKEKDEEIQRIGKLNWVLQERVKSLYVENQLWRDLAQTNEATANSLRNNLEQVLAHAGDSGGGGAALADDAESSCGSSDQGWREVVTPQAQGSCGAQDNNKALVVGNNNRKCRKCGEKESSVLLLPCRHLCLCTMCGSTMVGTCPVCLSLSNASVHVNML >KJB66991 pep chromosome:Graimondii2_0_v6:10:49113343:49115233:1 gene:B456_010G169200 transcript:KJB66991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEARHLNIFSSQFITNSHNRDFIKSNQGIGDMYNTQMDSCVPLIDSNSMHEGSQLLPLYQPLLCHPNISANKADSGLTTYNMSIPVSAPRKRSRDSFTNGFDSYSLPQNNNLSGASSDVDDDVFSQIQQQHDIDRFISDHTEKLRLEVEERRKRQSRMLITAIQERVMKKLKEKDEEIQRIGKLNWVLQERVKSLYVENQLWRDLAQTNEATANSLRNNLEQVLAHAGDSGGGGAALADDAESSCGSSDQGWREVVTPQAQGSCGAQDNNKALVVGNNNRKCRKCGEKESSVLLLPCRHLCLCTMCGSTMVGTCPVCLSLSNASVHVNML >KJB66993 pep chromosome:Graimondii2_0_v6:10:49113573:49115125:1 gene:B456_010G169200 transcript:KJB66993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNTQMDSCVPLIDSNSMHEGSQLLPLYQPLLCHPNISANKADSGLTTYNMSIPVSAPRKRSRDSFTNGFDSYSLPQNNNLSGASSDVDDDVFSQIQQQHDIDRFISDHTEKLRLEVEERRKRQSRMLITAIQERVMKKLKEKDEEIQRIGKLNWVLQERVKSLYVENQLWRDLAQTNEATANSLRNNLEQVLAHAGDSGGGGAALADDAESSCGSSDQGWREVVTPQAQGSCGAQDNNKALVVGNNNRKCRKCGEKESSVLLLPCRHLCLCTMCGSTMVGTCPVCLSLSNASVHVNML >KJB66994 pep chromosome:Graimondii2_0_v6:10:49113624:49115125:1 gene:B456_010G169200 transcript:KJB66994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEARHLNIFSSQFITNSHNSRDFIKSNQGIGDMYNTQMDSCVPLIDSNSMHEGSQLLPLYQPLLCHPNISANKADSGLTTYNMSIPVSAPRKRSRDSFTNGFDSYSLPQNNNLSGASSDVDDDVFSQIQQQHDIDRFISDHTEKLRLEVEERRKRQSRMLITAIQERVMKKLKEKDEEIQRIGKLNWVLQERVKSLYVENQLWRDLAQTNEATANSLRNNLEQVLAHAGDSGGGGAALADDAESSCGSSDQGWREVVTPQAQGSCGAQDNNKALVVGNNNRKCRKCGEKESSVLLLPCRHLCLCTMCGSTMVGTCPVCLSLSNASVHVNML >KJB67582 pep chromosome:Graimondii2_0_v6:10:55912073:55912411:-1 gene:B456_010G198100 transcript:KJB67582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNPTNSSSNKKKLKQQAKQDSEDVNGDPEIWASFDQSFKQVQSVLDRNRVLIQQVNDNHQSKIPHNMVENVALIQELNGNISKVVSLYSDLSSNFSTAFHNDDEQPKNSD >KJB67291 pep chromosome:Graimondii2_0_v6:10:53392317:53393240:1 gene:B456_010G183900 transcript:KJB67291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPTAPPNPTLTKDDNCLKYTCDAGFFSNPHPSDTPNLDEEDSNSPPTFDSDDCDSDCDSTHFTDLSAGLRVFSDSILRMELAGMEMVKAMEACRCEAEKRRAESEAELTRMMLRTQSQIALFVAGDADTRKRKRGAEDEPRDSSVRQGALLLSLLQCNLIF >KJB67074 pep chromosome:Graimondii2_0_v6:10:50563768:50564110:-1 gene:B456_010G173500 transcript:KJB67074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQASIKNFQLLRLLRSYAVGAEKMKMQAVASEALRVQVLAHDKEKEAFWMRDPKTGNWIPENHFGDIDVAELREKLLSRNTNVNRN >KJB67073 pep chromosome:Graimondii2_0_v6:10:50563347:50564187:-1 gene:B456_010G173500 transcript:KJB67073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQASIKNFQLLRRSYAVGAEKMKMQAVASEALRVQVLAHDKEKEAFWMRDPKTGNWIPENHFGDIDVAELREKLLSRNTNVNRN >KJB67519 pep chromosome:Graimondii2_0_v6:10:55438621:55439695:1 gene:B456_010G194800 transcript:KJB67519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLQLHRTTTAVGTCHHQKQQPPPPTTLTTFFPLPTGVSFPDMVSAYHFHTLGPNQCCSAVVQTINAPVETVWSVVRRFDNPQAYKHFLKSCHVIVGDGNVGSLREVHVISGLPAAFSTERLEILDDEHHVLSFSVVGGVHRLNNYKSVTTLHASNKGNGTVVVESFVVDIPPGNTREDTCTFVDTIVRCNLQSLAQMAENMATKESLSSSP >KJB63883 pep chromosome:Graimondii2_0_v6:10:1725988:1730186:-1 gene:B456_010G021800 transcript:KJB63883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIEVQRQPAISVPNAVAPQTGGVQFIPTSLYVGDLDVTVTDEQLFQLFGQVARVASVRICRDLATGRSLGYGYVNYSNPRDAARALDLLNFTPLNNKPIRIMYSQRDPSLRKSGTANIFIKNLDKSIDHKALHDTFSAFGNILSCKISTDGFGQSKGYGFVQFDSEESAQNAIDKLNGMLINDKQVYVGHFLRKQERETTSSKTKFNNVYVKNLSESTTDEDLKTIFGEYGEITSAVIMRDADGKSKCFGFVNFEIADDAAKAVEALNGKKFEEKEWYVGKAQKKSEREHELKSRFEQTVKEAADKLKGMNLYVKNLDDSIDDEKLKELFSEYGKIISCKVMRDPSGVSKGSGFVAFSTPEEASRALAEMNHKLVVSKPLYVALAQKQEERRASLQAQFSQMRPLAIPSVAPRMPMYPSGAPGLGQQFLYGQAPPAIIPQAGFGYQQQLQVHFNRLNNQ >KJB63885 pep chromosome:Graimondii2_0_v6:10:1725988:1730186:-1 gene:B456_010G021800 transcript:KJB63885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIEVQRQPAISVPNAVAPQTGGVQFIPTSLYVGDLDVTVTDEQLFQLFGQVARVASVRICRDLATGRSLGYGYVNYSNPRDAARALDLLNFTPLNNKPIRIMYSQRDPSLRKSGTANIFIKNLDKSIDHKALHDTFSAFGNILSCKISTDGFGQSKGYGFVQFDSEESAQNAIDKLNGMLINDKQVYVGHFLRKQERETTSNGKSKCFGFVNFEIADDAAKAVEALNGKKFEEKEWYVGKAQKKSEREHELKSRFEQTVKEAADKLKGMNLYVKNLDDSIDDEKLKELFSEYGKIISCKVMRDPSGVSKGSGFVAFSTPEEASRALAEMNHKLVVSKPLYVALAQKQEERRASLQAQFSQMRPLAIPSVAPRMPMYPSGAPGLGQQFLYGQAPPAIIPQAGFGYQQQLVPGVRPGGPPVPNFFVPMVQQGQRPGGRRGAGPFQQAQQPMMPRGRANRYPPGCNVPGPLQDAGTGQPMPLQPLATALANSTPEQQRTMLGESLYPLVEHLECDAAAKVTGMLLEMDQTEVLHLIESPEALKAKVAEALEVLKSVAAKPQVNNPADQLASLSLNDNHVS >KJB63884 pep chromosome:Graimondii2_0_v6:10:1725988:1730186:-1 gene:B456_010G021800 transcript:KJB63884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIEVQRQPAISVPNAVAPQTGGVQFIPTSLYVGDLDVTVTDEQLFQLFGQVARVASVRICRDLATGRSLGYGYVNYSNPRDAARALDLLNFTPLNNKPIRIMYSQRDPSLRKSGTANIFIKNLDKSIDHKALHDTFSAFGNILSCKISTDGFGQSKGYGFVQFDSEESAQNAIDKLNGMLINDKQVYVGHFLRKQERETTSSKTKFNNVYVKNLSESTTDEDLKTIFGEYGEITSAVIMRDADGKSKCFGFVNFEIADDAAKAVEALNGKKFEEKEWYVGKAQKKSEREHELKSRFEQTVKEAADKLKGMNLYVKNLDDSIDDEKLKELFSEYGKIISCKVMRDPSGVSKGSGFVAFSTPEEASRALAEMNHKLVVSKPLYVALAQKQEERRASLQAQFSQMRPLAIPSVAPRMPMYPSGAPGLGQQFLYGQAPPAIIPQAGFGYQQQLVPGVRPGGPPVPNFFVPMVQQGQRPGGRRGAGPFQQAQQPGTCQSIPTWL >KJB63886 pep chromosome:Graimondii2_0_v6:10:1725988:1730279:-1 gene:B456_010G021800 transcript:KJB63886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIEVQRQPAISVPNAVAPQTGGVQFIPTSLYVGDLDVTVTDEQLFQLFGQVARVASVRICRDLATGRSLGYGYVNYSNPRDAARALDLLNFTPLNNKPIRIMYSQRDPSLRKSGTANIFIKNLDKSIDHKALHDTFSAFGNILSCKISTDGFGQSKGYGFVQFDSEESAQNAIDKLNGMLINDKQVYVGHFLRKQERETTSSKTKFNNVYVKNLSESTTDEDLKTIFGEYGEITSAVIMRDADGKSKCFGFVNFEIADDAAKAVEALNGKKFEEKEWYVGKAQKKSEREHELKSRFEQTVKEAADKLKGMNLYVKNLDDSIDDEKLKELFSEYGKIISCKVMRDPSGVSKGSGFVAFSTPEEASRALAEMNHKLVVSKPLYVALAQKQEERRASLQAQFSQMRPLAIPSVAPRMPMYPSGAPGLGQQFLYGQAPPAIIPQAGFGYQQQLVPGVRPGGPPVPNFFVPMVQQGQRPGGRRGAGPFQQAQQPMMPRGRANRYPPGCNVPGPLQDAGTGQPMPLQPLATALANSTPEQQRTMLGESLYPLVEHLECDAAAKVTGMLLEMDQTEVLHLIESPEALKAKVAEALEVLKSVAAKPQVNNPADQLASLSLNDNHVS >KJB67820 pep chromosome:Graimondii2_0_v6:10:57886133:57895385:1 gene:B456_010G212900 transcript:KJB67820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVVSDEEELELDEDGEPIEGDTLNGRDPDDDDEEEDDEGQDEYENDGFIVDDVEDEEVDEAEEREDSDEERRKKKKKRKKKEAEDLDEDDYELLRENDVNVPKGSKKFKRLKKAQRDLDGIRYGLSDDEFDGSMKGGATAEEKLKRTLFGDDDGQPLEDLPEDEEQIEEEEDGDMGEEDEMADFIVEEDDVPGASVRRKKMKNKKSRHAFDVSSSALKEAQDIFGDVDELLQLRKQGLDYSDQKERRLEDQFEPTVLSEKYMTVKDDEIRTIDLPERMQISEESTGSPPMDEESIIEESNWMHKQLTSGAVPLFGKERMDLSINKDDIMRFLDLTHVQKLDIPFIAMYRKEQCLSLLQDPGPHEVDDVDQEKSDKKPTIKWHKVLWAIQDLDRKWLLLQKRKSGLQSHYSKRFEEESRRIYDETRLNLNQQLFESILKDLKDAESEREVDDVDAKFNLHFPPGEVGADEGQYKRPKRRSQYSICYKAGLWEVASKFGYSAQQLGSQLSLEKLPVDELEDPKEIPEEMASNFTCAMFETPQSVLKGARHMAALEISCEPSVKKYVRNIYMENAVVSTSPTSDGKIAIDSFHQFAGVKWLREKPLSKFEDAQWLLIQKAEEEKLLQVTFKLPEKIMDDLIKECNERYLSNGVSKSAQQWNEQRKQILNDALFGFLLPSMEKEARSLLASGAKNWLLLEYGKDLWNKVSVGPYQKKENDINSEEEAAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYTGSLTLRSQNVNDQQRKKNDQQRVLKFMTDHQPHVVVLGAVNLSCTRLKDDIYEIIFKMVEENPRDVGHEMDELSIVYGDESLPRLYENSRISADQLPGTSGIVKRAVALGRYLQNPLAMVATLCGPEKEILSWKLNPMENFLTADEKYSMIEQVLVDVANQVGLDVNLAASHEWLFAPLQFISGIGSRKAASLQRSLVRVGTIFSRKDFLQAHGLGKKVFVNAVAFLRVRRSGLAANSSQFIDLLDDTRIHPESYLVAQELAKDVYDEDFKGDNDEDDALEMAIEHVRDRPSLLKSLRLDNYLESKKRGNKRETFFDIRRELIQGFQDWRKPYKEPSQDEEFYMISGETEDTLAEGRVVQATVRRVQGGRAICALESGLTGMIMKEDYADDSRDIVELSDRLHEGDILTCKIKSIQKNRYQVFLVCKDSEMRSRHQHVQNLDPYYHEERSSIQSDQEKARKEREMAKKHFKPRMIVHPRFQNITADEAIEYLSDKDPGESIIRPSSRGPSFLTLTLKVYNGVYAHKDIVEGGKEHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVSHLKAMLSYRKFRKGTKVEVDELLKIEKSEYPMRIVYCFGISHEHPGTFILTYIRTSNPHHEYIGLYPKGFKFRKRMFEDIDRLVAYFQKHIDDPQHESAQSIRSVAAMVPMRSPAADGSSGGSMGGWKGHSHDRGQSSTPGSRTGRGRDGHQSGAPRGGRGRGRGGSYNSNRGRNNGQDSSYDDTPKWDSGSSKKGDDGGWGSFPGAKVQNSPGTDAFPGGWGASGTESGGGSGSGWGASGTESGNGNGSGSGWGGSGGGSGWGASGTESGGGNGSGSGWGGGSGGWDQASGGTDNGGSGWGSAPKSGNSGGW >KJB67821 pep chromosome:Graimondii2_0_v6:10:57886275:57894111:1 gene:B456_010G212900 transcript:KJB67821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVVSDEEELELDEDGEPIEGDTLNGRDPDDDDEEEDDEGQDEYENDGFIVDDVEDEEVDEAEEREDSDEERRKKKKKRKKKEAEDLDEDDYELLRENDVNVPKGSKKFKRLKKAQRDLDGIRYGLSDDEFDGSMKGGATAEEKLKRTLFGDDDGQPLEDLPEDEEQIEEEEDGDMGEEDEMADFIVEEDDVPGASVRRKKMKNKKSRHAFDVSSSALKEAQDIFGDVDELLQLRKQGLDYSDQKERRLEDQFEPTVLSEKYMTVKDDEIRTIDLPERMQISEESTGSPPMDEESIIEESNWMHKQLTSGAVPLFGKERMDLSINKDDIMRFLDLTHVQKLDIPFIAMYRKEQCLSLLQDPGPHEVDDVDQEKSDKKPTIKWHKVLWAIQDLDRKWLLLQKRKSGLQSHYSKRFEEESRRIYDETRLNLNQQLFESILKDLKDAESEREVDDVDAKFNLHFPPGEVGADEGQYKRPKRRSQYSICYKAGLWEVASKFGYSAQQLGSQLSLEKLPVDELEDPKEIPEEMASNFTCAMFETPQSVLKGARHMAALEISCEPSVKKYVRNIYMENAVVSTSPTSDGKIAIDSFHQFAGVKWLREKPLSKFEDAQWLLIQKAEEEKLLQVTFKLPEKIMDDLIKECNERYLSNGVSKSAQQWNEQRKQILNDALFGFLLPSMEKEARSLLASGAKNWLLLEYGKDLWNKVSVGPYQKKENDINSEEEAAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYTGSLTLRSQNVNDQQRKKNDQQRVLKFMTDHQPHVVVLGAVNLSCTRLKDDIYEIIFKMVEENPRDVGHEMDELSIVYGDESLPRLYENSRISADQLPGTSGIVKRAVALGRYLQNPLAMVATLCGPEKEILSWKLNPMENFLTADEKYSMIEQVLVDVANQVGLDVNLAASHEWLFAPLQFISGIGSRKAASLQRSLVRVGTIFSRKDFLQAHGLGKKVFVNAVAFLRVRRSGLAANSSQFIDLLDDTRIHPESYLVAQELAKDVYDEDFKGDNDEDDALEMAIEHVRDRPSLLKSLRLDNYLESKKRGNKRETFFDIRRELIQGFQDWRKPYKEPSQDEEFYMISGETEDTLAEGRVVQATVRRVQGGRAICALESGLTGMIMKEDYADDSRDIVELSDRLHEGDILTCKIKSIQKNRYQVFLVCKDSEMRSRHQHVQNLDPYYHEERSSIQSDQEKARKEREMAKKHFKPRMIVHPRFQNITADEAIEYLSDKDPGESIIRPSSRGPSFLTLTLKVYNGVYAHKDIVEGGKEHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVSHLKAMLSYRKFRKGTKVEVDELLKIEKSEYPMRIVYCFGISHEHPGTFILTYIRTSNPHHEYIGLYPKGFKFRKRMFEDIDRLVAYFQKHIDDPQHESAQSIRSVAAMVPMRSPAADGSSGGSMGGWKGHSHDRGQSSTPGSRTGKH >KJB67822 pep chromosome:Graimondii2_0_v6:10:57886601:57895020:1 gene:B456_010G212900 transcript:KJB67822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGATAEEKLKRTLFGDDDGQPLEDLPEDEEQIEEEEDGDMGEEDEMADFIVEEDDVPGASVRRKKMKNKKSRHAFDVSSSALKEAQDIFGDVDELLQLRKQGLDYSDQKERRLEDQFEPTVLSEKYMTVKDDEIRTIDLPERMQISEESTGSPPMDEESIIEESNWMHKQLTSGAVPLFGKERMDLSINKDDIMRFLDLTHVQKLDIPFIAMYRKEQCLSLLQDPGPHEVDDVDQEKSDKKPTIKWHKVLWAIQDLDRKWLLLQKRKSGLQSHYSKRFEEESRRIYDETRLNLNQQLFESILKDLKDAESEREVDDVDAKFNLHFPPGEVGADEGQYKRPKRRSQYSICYKAGLWEVASKFGYSAQQLGSQLSLEKLPVDELEDPKEIPEEMASNFTCAMFETPQSVLKGARHMAALEISCEPSVKKYVRNIYMENAVVSTSPTSDGKIAIDSFHQFAGVKWLREKPLSKFEDAQWLLIQKAEEEKLLQVTFKLPEKIMDDLIKECNERYLSNGVSKSAQQWNEQRKQILNDALFGFLLPSMEKEARSLLASGAKNWLLLEYGKDLWNKVSVGPYQKKENDINSEEEAAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYTGSLTLRSQNVNDQQRKKNDQQRVLKFMTDHQPHVVVLGAVNLSCTRLKDDIYEIIFKMVEENPRDVGHEMDELSIVYGDESLPRLYENSRISADQLPGTSGIVKRAVALGRYLQNPLAMVATLCGPEKEILSWKLNPMENFLTADEKYSMIEQVLVDVANQVGLDVNLAASHEWLFAPLQFISGIGSRKAASLQRSLVRVGTIFSRKDFLQAHGLGKKVFVNAVAFLRVRRSGLAANSSQFIDLLDDTRIHPESYLVAQELAKDVYDEDFKGDNDEDDALEMAIEHVRDRPSLLKSLRLDNYLESKKRGNKRETFFDIRRELIQGFQDWRKPYKEPSQDEEFYMISGETEDTLAEGRVVQATVRRVQGGRAICALESGLTGMIMKEDYADDSRDIVELSDRLHEGDILTCKIKSIQKNRYQVFLVCKDSEMRSRHQHVQNLDPYYHEERSSIQSDQEKARKEREMAKKHFKPRMIVHPRFQNITADEAIEYLSDKDPGESIIRPSSRGPSFLTLTLKVYNGVYAHKDIVEGGKEHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVSHLKAMLSYRKFRKGTKVEVDELLKIEKSEYPMRIVYCFGISHEHPGTFILTYIRTSNPHHEYIGLYPKGFKFRKRMFEDIDRLVAYFQKHIDDPQHESAQSIRSVAAMVPMRSPAADGSSGGSMGGWKGHSHDRGQSSTPGSRTGRGRDGHQSGAPRGGRGRGRGGSYNSNRGRNNGQDSSYDDTPKWDSGSSKKGDDGGWGSFPGAKVQNSPGTDAFPGGWGASGTESGGGSGSGWGASGTESGNGNGSGSGWGGSGGGSGWGASGTESGGGNGSGSGWGGGSGGWDQASGGTDNGGSGWGSAPKSGNSGGW >KJB66207 pep chromosome:Graimondii2_0_v6:10:29372403:29373425:1 gene:B456_010G133000 transcript:KJB66207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKIIENADDRLIAFSKRRTGIYKKISKLSTLCAGENLFIIFSPAGKPYSFGHPSVESVAKRFSNASQHLEETTDAPIETYHKERINLLVQYFKDVQDQLDVIKVKQKEIALAKRSNGTKIRYWWKAPIDQLNLRELYEQDERST >KJB67955 pep chromosome:Graimondii2_0_v6:10:61905018:61906045:1 gene:B456_010G253200 transcript:KJB67955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSDRLPIRYTLQDYPNQPTPQAQAQAQAQPQPIKRHHTARYYAHRVRESFTNRVIKILCTIFLSLLLFVGIVLFILWLSLRPHRPRFHIVDFIVPGLSQPSGFENAQITFNVTDRNSNPHIGIYYDSMVGSVFYKDQQIGSAPLMDPFYQEPKTTTIVYSTFGAATLTVNSNRWKEFMDARQQGTVIFRLEITSVIRFKVTTWDTKHHKLHVNCDVAVGPDGTILPTWRNKKCPVYFS >KJB65006 pep chromosome:Graimondii2_0_v6:10:10791140:10794307:1 gene:B456_010G075600 transcript:KJB65006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYVYQLGRRIESVVLPLEMLQQLKPSDFPNQGEYEAWQRRNLKLLEAGLLLHPLLPLDKTDTAPQRLRQIIRGALEKPLETGKNNESMQALRSIVLSLACRTFDGSASETIHWADGFPLNLRIYQMLLEACFDVNDETSVIEEVDEVLELIKKTWVVLGMNQMLHNLCFLWILFNRYVATGEVEGDLLFAANNLLMEVEKDSKSMKDPNYSKILSSTLSAILGWAEKRLLAYHNYFHSDNTELLECVVSVGVLSAKIMVEDISHEYRKKRKEFDVAHERVDTYIRSSLRTAFFQIMEKVKSSKRSSNKNQQNQLPFLSILAQDVSTLAFSEKAIFSPILKRWHPLSAGVAVATLHSCYGNELKQFVSSIGELTPDILQVLRAAEKLEKDLVQIAVENSVDSEDGGKSIIREMPPYEAESVVSNLVKSWIKTRLDRLKEWVDRNLQQEVWDPRANKERFAPSSVEVLRIVDEALEAFFLLPISIHAALLPDLTNGIDRCLQHYISKAKSGCGTRSTFVPSMPALTRCSSRSKVSGVFKKKEKFQKEQSRKSQVATTNGNGSFGIPQLYCRINTLQHIRTELDVLAKRTIVHLRSSESHNNNIANGTGKAFELSAAACLEGIQQLCEATAYKVIFQDLSHVLWDGLYVGEVSSSRIEPFLQELEHYLEVLSLTVHDRVRTRVITEVMKASFDGFLLVLLAGGPARAFSLQDYETIAEDFKFLTDLFWSNGDGLPADLIQKFSITVKAILPLFHTETDSLIEQFKYMTAESYGSSAKSKLPLPPTSGQWSPTEPNTLLRVLCYRSDETAAKFLKKTYNLPKKL >KJB65003 pep chromosome:Graimondii2_0_v6:10:10790198:10794728:1 gene:B456_010G075600 transcript:KJB65003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRLRDRSSSQAKRHSHHQTRTTVAAAAAMPNFLTETLPCPFGELATNLSDSALRETAYEILVGACRSTGGKPLTYISQSERNSERTATPTLTSTASLQRSLTSTAASKVKKALGLRSSGRKKVSGESDSERVKKAVTIGEMLRVQMGISEQTDSRVRRALLRVAAAQLGRRIESVVLPLEMLQQLKPSDFPNQGEYEAWQRRNLKLLEAGLLLHPLLPLDKTDTAPQRLRQIIRGALEKPLETGKNNESMQALRSIVLSLACRTFDGSASETIHWADGFPLNLRIYQMLLEACFDVNDETSVIEEVDEVLELIKKTWVVLGMNQMLHNLCFLWILFNRYVATGEVEGDLLFAANNLLMEVEKDSKSMKDPNYSKILSSTLSAILGWAEKRLLAYHNYFHSDNTELLECVVSVGVLSAKIMVEDISHEYRKKRKEFDVAHERVDTYIRSSLRTAFFQIMEKVKSSKRSSNKNQQNQLPFLSILAQDVSTLAFSEKAIFSPILKRWHPLSAGVAVATLHSCYGNELKQFVSSIGELTPDILQVLRAAEKLEKDLVQIAVENSVDSEDGGKSIIREMPPYEAESVVSNLVKSWIKTRLDRLKEWVDRNLQQEVWDPRANKERFAPSSVEVLRIVDEALEAFFLLPISIHAALLPDLTNGIDRCLQHYISKAKSGCDILIYRDPEYFCSLNACFDSMFITVKSFGCI >KJB65004 pep chromosome:Graimondii2_0_v6:10:10790198:10794728:1 gene:B456_010G075600 transcript:KJB65004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRLRDRSSSQAKRHSHHQTRTTVAAAAAMPNFLTETLPCPFGELATNLSDSALRETAYEILVGACRSTGGKPLTYISQSERNSERTATPTLTSTASLQRSLTSTAASKVKKALGLRSSGRKKVSGESDSERVKKAVTIGEMLRVQMGISEQTDSRVRRALLRVAAAQLGRRIESVVLPLEMLQQLKPSDFPNQGEYEAWQRRNLKLLEAGLLLHPLLPLDKTDTAPQRLRQIIRGALEKPLETGKNNESMQALRSIVLSLACRTFDGSASETIHWADGFPLNLRIYQMLLEACFDVNDETSVIEEVDEVLELIKKTWVVLGMNQMLHNLCFLWILFNRYVATGEVEGDLLFAANNLLMEVEKDSKSMKDPNYSKILSSTLSAILGWAEKRLLAYHNYFHSDNTELLECVVSVGVLSAKIMVEDISHEYRKKRKEFDVAHERVDTYIRSSLRTAFFQIMEKVKSSKRSSNKNQQNQLPFLSILAQDVSTLAFSEKAIFSPILKRWHPLSAGVAVATLHSCYGNELKQFVSSIGELTPDILQVLRAAEKLEKDLVQIAVENSVDSEDGGKSIIREMPPYEAESVVSNLVKSWIKTRLDRLKEWVDRNLQQEVHGFCSFSLII >KJB65002 pep chromosome:Graimondii2_0_v6:10:10789924:10794757:1 gene:B456_010G075600 transcript:KJB65002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRLRDRSSSQAKRHSHHQTRTTVAAAAAMPNFLTETLPCPFGELATNLSDSALRETAYEILVGACRSTGGKPLTYISQSERNSERTATPTLTSTASLQRSLTSTAASKVKKALGLRSSGRKKVSGESDSERVKKAVTIGEMLRVQMGISEQTDSRVRRALLRVAAAQLGRRIESVVLPLEMLQQLKPSDFPNQGEYEAWQRRNLKLLEAGLLLHPLLPLDKTDTAPQRLRQIIRGALEKPLETGKNNESMQALRSIVLSLACRTFDGSASETIHWADGFPLNLRIYQMLLEACFDVNDETSVIEEVDEVLELIKKTWVVLGMNQMLHNLCFLWILFNRYVATGEVEGDLLFAANNLLMEVEKDSKSMKDPNYSKILSSTLSAILGWAEKRLLAYHNYFHSDNTELLECVVSVGVLSAKIMVEDISHEYRKKRKEFDVAHERVDTYIRSSLRTAFFQIMEKVKSSKRSSNKNQQNQLPFLSILAQDVSTLAFSEKAIFSPILKRWHPLSAGVAVATLHSCYGNELKQFVSSIGELTPDILQVLRAAEKLEKDLVQIAVENSVDSEDGGKSIIREMPPYEAESVVSNLVKSWIKTRLDRLKEWVDRNLQQEVWDPRANKERFAPSSVEVLRIVDEALEAFFLLPISIHAALLPDLTNGIDRCLQHYISKAKSGCGTRSTFVPSMPALTRCSSRSKVSGVFKKKEKFQKEQSRKSQVATTNGNGSFGIPQLYCRINTLQHIRTELDVLAKRTIVHLRSSESHNNNIANGTGKAFELSAAACLEGIQQLCEATAYKVIFQDLSHVLWDGLYVGEVSSSRIEPFLQELEHYLEVLSLTVHDRVRTRVITEVMKASFDGFLLVLLAGGPARAFSLQDYETIAEDFKFLTDLFWSNGDGLPADLIQKFSITVKAILPLFHTETDSLIEQFKYMTAESYGSSAKSKLPLPPTSGQWSPTEPNTLLRVLCYRSDETAAKFLKKTYNLPKKL >KJB65005 pep chromosome:Graimondii2_0_v6:10:10790198:10794728:1 gene:B456_010G075600 transcript:KJB65005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRLRDRSSSQAKRHSHHQTRTTVAAAAAMPNFLTETLPCPFGELATNLSDSALRETAYEILVGACRSTGGKPLTYISQSERNSERTATPTLTSTASLQRSLTSTAASKVKKALGLRSSGRKKVSGESDSERVKKAVTIGEMLRVQMGISEQTDSRVRRALLRVAAAQLGRRIESVVLPLEMLQQLKPSDFPNQGEYEAWQRRNLKLLEAGLLLHPLLPLDKTDTAPQRLRQIIRGALEKPLETGKNNESMQALRSIVLSLACRTFDGSASETIHWADGFPLNLRIYQMLLEACFDVNDETSVIEEVDEVLELIKKTWVVLGMNQMLHNLCFLWILFNRYVATGEVEGDLLFAANNLLMEVEKDSKSMKDPNYSKILSSTLSAILGWAEKRLLAYHNYFHSDNTELLECVVSVGVLSAKIMVEDISHEYRKKRKEFDVAHERVDTYIRSSLRTAFFQVWDPRANKERFAPSSVEVLRIVDEALEAFFLLPISIHAALLPDLTNGIDRCLQHYISKAKSGCGTRSTFVPSMPALTRCSSRSKVSGVFKKKEKFQKEQSRKSQVATTNGNGSFGIPQLYCRINTLQHIRTELDVLAKRTIVHLRSSESHNNNIANGTGKAFELSAAACLEGIQQLCEATAYKVIFQDLSHVLWDGLYVGEVSSSRIEPFLQELEHYLEVLSLTVHDRVRTRVITEVMKASFDGFLLVLLAGGPARAFSLQDYETIAEDFKFLTDLFWSNGDGLPADLIQKFSITVKAILPLFHTETDSLIEQFKYMTAESYGSSAKSKLPLPPTSGQWSPTEPNTLLRVLCYRSDETAAKFLKKTYNLPKKL >KJB66509 pep chromosome:Graimondii2_0_v6:10:35310721:35313536:-1 gene:B456_010G142200 transcript:KJB66509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREENSFENRAKASKFGDQNQPPKSLHTKTITNQSKPKSSWGSHIVKGFTGTADKKTKVQTITVQTKKPPVSNTDVPTTNPSLHSHSRVKRSLISDLSCSVSATQVHPQVYPTHRRQSSGSRDLFIELDHVRSLLQDSKERELKLQAELAEWKTNAKVLDLQTQLETRNIEVDNLSHRVGLLESEKTSLCGQLATLTSILERNEENLEISKEPQSIRDLEMEVVELRRLNKELQMQKRNLACKLSSLESQLASLAKANESDVVAKVKAEASMLRHTNENLSKQVEGLQMSRLNEVEELAYLRWVNSCLRDELRNSCSTMNSDKALSPVQSKVDYGGSPNSASSKSSERSSASIKRLNLIKKMKKWPITSQEPSSVEYAATVVDKEWVHIEELRSPGRRHSISGSKCYIQELVPNKRRQSDGFMCTKEMEKEAEPVSSQKPAASASLDFERRALRIPNPPPRPSCSVPSGPKEESCPQIPPPPPPPPPKFSVRSSSGVVKRAPQVVEFYHSLMKRESRKDSTNGGICDVPDVANVRSSMIGEIENRSSHLLAIKADVETQGEFVNSLIREVNNAVYQNIEDVVAFVKWLDDELCYLVDERAVLKHFAWPEKKADTLREAAFGYQDLKKLESEVLYYKDDSRMPCDIALKKMVALSEKMERTVYNLLRTRESLMRNCREFQIPTDWMLDNGIMSKVLGVVIFHMLFYI >KJB66510 pep chromosome:Graimondii2_0_v6:10:35309888:35313881:-1 gene:B456_010G142200 transcript:KJB66510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREENSFENRAKASKFGDQNQPPKSLHTKTITNQSKPKSSWGSHIVKGFTGTADKKTKVQTITVQTKKPPVSNTDVPTTNPSLHSHSRVKRSLISDLSCSVSATQVHPQVYPTHRRQSSGSRDLFIELDHVRSLLQDSKERELKLQAELAEWKTNAKVLDLQTQLETRNIEVDNLSHRVGLLESEKTSLCGQLATLTSILERNEENLEISKEPQSIRDLEMEVVELRRLNKELQMQKRNLACKLSSLESQLASLAKANESDVVAKVKAEASMLRHTNENLSKQVEGLQMSRLNEVEELAYLRWVNSCLRDELRNSCSTMNSDKALSPVQSKVDYGGSPNSASSKSSERSSASIKRLNLIKKMKKWPITSQEPSSVEYAATVVDKEWVHIEELRSPGRRHSISGSKCYIQELVPNKRRQSDGFMCTKEMEKEAEPVSSQKPAASASLDFERRALRIPNPPPRPSCSVPSGPKEESCPQIPPPPPPPPPKFSVRSSSGVVKRAPQVVEFYHSLMKRESRKDSTNGGICDVPDVANVRSSMIGEIENRSSHLLAIKADVETQGEFVNSLIREVNNAVYQNIEDVVAFVKWLDDELCYLVDERAVLKHFAWPEKKADTLREAAFGYQDLKKLESEVLYYKDDSRMPCDIALKKMVALSEKMERTVYNLLRTRESLMRNCREFQIPTDWMLDNGIMSKIKLGSVKLAKRYMKRVAIELQVKATLEKDPSMDYMLLQGVRFAFRIHQFAGGFDSETMHAFEELRNLANLLNKK >KJB66512 pep chromosome:Graimondii2_0_v6:10:35310260:35313536:-1 gene:B456_010G142200 transcript:KJB66512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREENSFENRAKASKFGDQNQPPKSLHTKTITNQSKPKSSWGSHIVKGFTGTADKKTKVQTITVQTKKPPVSNTDVPTTNPSLHSHSRVKRSLISDLSCSVSATQVHPQVYPTHRRQSSGSRDLFIELDHVRSLLQDSKERELKLQAELAEWKTNAKVLDLQTQLETRNIEVDNLSHRVGLLESEKTSLCGQLATLTSILERNEENLEISKEPQSIRDLEMEVVELRRLNKELQMQKRNLACKLSSLESQLASLAKANESDVVAKVKAEASMLRHTNENLSKQVEGLQMSRLNEVEELAYLRWVNSCLRDELRNSCSTMNSDKALSPVQSKVDYGGSPNSASSKSSERSSASIKRLNLIKKMKKWPITSQEPSSVEYAATVVDKEWVHIEELRSPGRRHSISGSKCYIQELVPNKRRQSDGFMCTKEMEKEAEPVSSQKPAASASLDFERRALRIPNPPPRPSCSVPSGPKEESCPQIPPPPPPPPPKFSVRSSSGVVKRAPQVVEFYHSLMKRESRKDSTNGGICDVPDVANVRSSMIGEIENRSSHLLAIKADVETQGEFVNSLIREVNNAVYQNIEDVVAFVKWLDDELCYLVDERAVLKHFAWPEKKADTLREAAFGYQDLKKLESEVLYYKDDSRMPCDIALKKMVALSEKMERTVYNLLRTRESLMRNCREFQIPTDWMLDNGIMSKIKLGSVKLAKRYMKRVAIELQVKATLEKDPSMDYMLLQGVRFAFRIHQFAGGFDSETMHAFEELRNLANLLNKK >KJB66513 pep chromosome:Graimondii2_0_v6:10:35309888:35313536:-1 gene:B456_010G142200 transcript:KJB66513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREENSFENRAKASKFGDQNQPPKSLHTKTITNQSKPKSSWGSHIVKGFTGTADKKTKVQTITVQTKKPPVSNTDVPTTNPSLHSHSRVKRSLISDLSCSVSATQVHPQVYPTHRRQSSGSRDLFIELDHVRSLLQDSKERELKLQAELAEWKTNAKVLDLQTQLETRNIEVDNLSHRVGLLESEKTSLCGQLATLTSILERNEENLEISKEPQSIRDLEMEVVELRRLNKELQMQKRNLACKLSSLESQLASLAKANESDVVAKVKAEASMLRHTNENLSKQVEGLQMSRLNEVEELAYLRWVNSCLRDELRNSCSTMNSDKALSPVQSKVDYGGSPNSASSKSSERSSASIKRLNLIKKMKKWPITSQEPSSVEYAATVVDKEWVHIEELRSPGRRHSISGSKCYIQELVPNKRRQSDGFMCTKEMEKEAEPVSSQKPAASASLDFERRALRIPNPPPRPSCSVPSGPKEESCPQIPPPPPPPPPKFSVRSSSGVVKRAPQVVEFYHSLMKRESRKDSTNGGICDVPDVANVRSSMIGEIENRSSHLLAIKADVETQGEFVNSLIREVNNAVYQNIEDVVAFVKWLDDELCYLVDERAVLKHFAWPEKKADTLREAAFGYQDLKKLESEVLYYKDDSRMPCDIALKKMVALSEKMERTVYNLLRTRESLMRNCREFQIPTDWMLDNGIMSKIKLGSVKLAKRYMKRVAIELQVKATLEKDPSMDYMLLQGVRFAFRIHQFAGGFDSETMHAFEELRNLANLLNKK >KJB66511 pep chromosome:Graimondii2_0_v6:10:35309888:35313536:-1 gene:B456_010G142200 transcript:KJB66511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREENSFENRAKASKFGDQNQPPKSLHTKTITNQSKPKSSWGSHIVKGFTGTADKKTKVQTITVQTKKPPVSNTDVPTTNPSLHSHSRVKRSLISDLSCSVSATQVHPQVYPTHRRQSSGSRDLFIELDHVRSLLQDSKERELKLQAELAEWKTNAKVLDLQTQLETRNIEVDNLSHRVGLLESEKTSLCGQLATLTSILERNEENLEISKEPQSIRDLEMEVVELRRLNKELQMQKRNLACKLSSLESQLASLAKANESDVVAKVKAEASMLRHTNENLSKQVEGLQMSRLNEVEELAYLRWVNSCLRDELRNSCSTMNSDKALSPVQSKVDYGGSPNSASSKSSERSSASIKRLNLIKKMKKWPITSQEPSSVEYAATVVDKEWVHIEELRSPGRRHSISGSKCYIQELVPNKRRQSDGFMCTKEMEKEAEPVSSQKPAASASLDFERRALRIPNPPPRPSCSVPSGPKEESCPQIPPPPPPPPPKFSVRSSSGVVKRAPQVVEFYHSLMKRESRKDSTNGGICDVPDVANVRSSMIGEIENRSSHLLAIKADVETQGEFVNSLIREVNNAVYQNIEDVVAFVKWLDDELCYLVDERAVLKHFAWPEKKADTLREAAFGYQDLKKLESEVLYYKDDSRMPCDIALKKMVALSEKMERTVYNLLRTRESLMRNCREFQIPTDWMLDNGIMSKIKLGSVKLAKRYMKRVAIELQVKATLEKDPSMDYMLLQGVRFAFRIHQFAGGFDSETMHAFEELRNLANLLNKK >KJB63653 pep chromosome:Graimondii2_0_v6:10:727740:731676:1 gene:B456_010G009900 transcript:KJB63653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMTEDFETSLQVLRGFDADISIEVNEIKRSVASTTRRTTIRFADLKERRYWFPLMVGIGLLMLQQLSGINGVLFYSSTIFEAAGVKSSNAATFGVGAIQVIATAVTTWLVDKAGRRLLLIVSSFGMTLSLLVVAVSFFLKDVVSSDSSVYSIMGILSVVGVVAMVVTFSLGMGAIPWVIMSEILPINIKGLAGSIATLSNWFFAWVVTMTANLLLDWSSGGTFTIYMVVSAFTIVFVTLWVPETKGRTLEEIQWSFR >KJB63650 pep chromosome:Graimondii2_0_v6:10:725976:731676:1 gene:B456_010G009900 transcript:KJB63650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDAEDGRGDLRKPFLHTGSWYRMGSRMGSSMLGSSQALRDKSISVVACVLIVALGPIQFGFTSGYSSPTQSAIIEDLGLTVSEDSSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGSLGSVNQLSVTLGIMLAYLLGLFVEWRILAVLGILPCTILIPGLFFIPESPRWLAKMGMTEDFETSLQVLRGFDADISIEVNEIKRSVASTTRRTTIRFADLKERRYWFPLMVGIGLLMLQQLSGINGVLFYSSTIFEAAGVKSSNAATFGVGAIQVIATAVTTWLVDKAGRRLLLIVSSFGMTLSLLVVAVSFFLKDVVSSDSSVYSIMGILSVVGVVAMVVTFSLGMGAIPWVIMSEILPINIKGLAGSIATLSNWFFAWVVTMTANLLLDWSSGGTFTIYMVVSAFTIVFVTLWVPETKGRTLEEIQWSFR >KJB63647 pep chromosome:Graimondii2_0_v6:10:725683:731794:1 gene:B456_010G009900 transcript:KJB63647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDAEDGRGDLRKPFLHTGSWYRMGSRMGSSMLGSSQALRDKSISVVACVLIVALGPIQFGFTSGYSSPTQSAIIEDLGLTVSEFSLFGSLSNVGAMVGAIASGQIAECIGRKGSLMIAAIPNIIGWLAISFARDSSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGSLGSVNQLSVTLGIMLAYLLGLFVEWRILAVLGILPCTILIPGLFFIPESPRWLAKMGMTEDFETSLQVLRGFDADISIEVNEIKRSVASTTRRTTIRFADLKERRYWFPLMVGIGLLMLQQLSGINGVLFYSSTIFEAAGVKSSNAATFGVGAIQVIATAVTTWLVDKAGRRLLLIVSSFGMTLSLLVVAVSFFLKDVVSSDSSVYSIMGILSVVGVVAMVVTFSLGMGAIPWVIMSEILPINIKGLAGSIATLSNWFFAWVVTMTANLLLDWSSGGTFTIYMVVSAFTIVFVTLWVPETKGRTLEEIQWSFR >KJB63652 pep chromosome:Graimondii2_0_v6:10:726658:731676:1 gene:B456_010G009900 transcript:KJB63652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAIPNIIGWLAISFARDSSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGSLGSVNQLSVTLGIMLAYLLGLFVEWRILAVLGILPCTILIPGLFFIPESPRWLAKMGMTEDFETSLQVLRGFDADISIEVNEIKRSVASTTRRTTIRFADLKERRYWFPLMVGIGLLMLQQLSGINGVLFYSSTIFEAAGVKSSNAATFGVGAIQVIATAVTTWLVDKAGRRLLLIVSSFGMTLSLLVVAVSFFLKDVVSSDSSVYSIMGILSVVGVVAMVVTFSLGMGAIPWVIMSEILPINIKGLAGSIATLSNWFFAWVVTMTANLLLDWSSGGTFTIYMVVSAFTIVFVTLWVPETKGRTLEEIQWSFR >KJB63648 pep chromosome:Graimondii2_0_v6:10:725976:730160:1 gene:B456_010G009900 transcript:KJB63648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDAEDGRGDLRKPFLHTGSWYRMGSRMGSSMLGSSQALRDKSISVVACVLIVALGPIQFGFTSGYSSPTQSAIIEDLGLTVSEFSLFGSLSNVGAMVGAIASGQIAECIGRKGSLMIAAIPNIIGWLAISFARDSSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGSLGSVNQLSVTLGIMLAYLLGLFVEWRILAVLGILPCTILIPGLFFIPESPRWLAKMGMTEDFETSLQVLRGFDADISIEVNEIKRSVASTTRRTTIRFADLKERRYWFPLMVGIGLLMLQQLSGINGVLFYSSTIFEAAGVKSSNAATFGVGAIQVIATAVTTWLVDKAGRRLLLIVSSFGMTLSLLVVAVSFFLKDVVSSDSSVYSIMGILSVVGVVAMVVTFSLGMGAIPWVIMSEVSTFYIIRHHL >KJB63651 pep chromosome:Graimondii2_0_v6:10:725976:731676:1 gene:B456_010G009900 transcript:KJB63651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDAEDGRGDLRKPFLHTGSWYRMGSRMGSSMLGSSQALRDKSISVVACVLIVALGPIQFGFTSGYSSPTQSAIIEDLGLTVSESLMIAAIPNIIGWLAISFARDSSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGSLGSVNQLSVTLGIMLAYLLGLFVEWRILAVLGILPCTILIPGLFFIPESPRWLAKMGMTEDFETSLQVLRGFDADISIEVNEIKRSVASTTRRTTIRFADLKERRYWFPLMVGIGLLMLQQLSGINGVLFYSSTIFEAAGVKSSNAATFGVGAIQVIATAVTTWLVDKAGRRLLLIVSSFGMTLSLLVVAVSFFLKDVVSSDSSVYSIMGILSVVGVVAMVVTFSLGMGAIPWVIMSEILPINIKGLAGSIATLSNWFFAWVVTMTANLLLDWSSGGTFTIYMVVSAFTIVFVTLWVPETKGRTLEEIQWSFR >KJB63646 pep chromosome:Graimondii2_0_v6:10:725931:731830:1 gene:B456_010G009900 transcript:KJB63646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDAEDGRGDLRKPFLHTGSWYRMGSRMGSSMLGSSQALRDKSISVVACVLIVALGPIQFGFTSGYSSPTQSAIIEDLGLTVSEFSLFGSLSNVGAMVGAIASGQIAECIGRKGSLMIAAIPNIIGWLAISFARDSSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGSLGSVNQLSVTLGIMLAYLLGLFVEWRILAVLGILPCTILIPGLFFIPESPRWLAKMGMTEDFETSLQVLRGFDADISIEVNEIKRSVASTTRRTTIRFADLKERRYWFPLMVGIGLLMLQQLSGINGVLFYSSTIFEAAGVKSSNAATFGVGAIQVIATAVTTWLVDKAGRRLLLIVSSFGMTLSLLVVAVSFFLKDVVSSDSSVYSIMGILSVVGVVAMVVTFSLGMGAIPWVIMSEILPINIKGLAGSIATLSNWFFAWVVTMTANLLLDWSSGGTFTIYMVVSAFTIVFVTLWVPETKGRTLEEIQWSFR >KJB63649 pep chromosome:Graimondii2_0_v6:10:725976:731676:1 gene:B456_010G009900 transcript:KJB63649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDAEDGRGDLRKPFLHTGSWYRMGSRMGSSMLGSSQALRDKSISVVACVLIVALGPIQFGFTSGYSSPTQSAIIEDLGLTVSEDSSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGSLGSVNQLSVTLGIMLAYLLGLFVEWRILAVLGILPCTILIPGLFFIPESPRWLAKMGMTEDFETSLQVLRGFDADISIEVNEIKGVKRNLTSTTRASMRSVASTTRRTTIRFADLKERRYWFPLMVGIGLLMLQQLSGINGVLFYSSTIFEAAGVKSSNAATFGVGAIQVIATAVTTWLVDKAGRRLLLIVSSFGMTLSLLVVAVSFFLKDVVSSDSSVYSIMGILSVVGVVAMVVTFSLGMGAIPWVIMSEILPINIKGLAGSIATLSNWFFAWVVTMTANLLLDWSSGGTFTIYMVVSAFTIVFVTLWVPETKGRTLEEIQWSFR >KJB68236 pep chromosome:Graimondii2_0_v6:10:60719396:60721819:1 gene:B456_010G236800 transcript:KJB68236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein (SRP19) [Source: Projected from Oryza sativa (Os06g0342100)] MNGEVPNIKKWVVFYPVYINSKKTVAEGRRISLAKACENPTCVEIADCCNHLKVPNAIEIDKAYPRDFMQRGRVRVQLKKEDGTLWNPAISSSNS >KJB68233 pep chromosome:Graimondii2_0_v6:10:60718661:60722228:1 gene:B456_010G236800 transcript:KJB68233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein (SRP19) [Source: Projected from Oryza sativa (Os06g0342100)] MNGEVPNIKKWVVFYPVYINSKKTVAEGRRISLAKACENPTCVEIADCCNHLKVPNAIEIDKAYPRDFMQRGRVRVQLKKEDGTLWNPAISSRKQLMLQVAELVPRHPGRTKKQEAPSTSSAAAGSSKPGKGGRKKR >KJB68235 pep chromosome:Graimondii2_0_v6:10:60718712:60721590:1 gene:B456_010G236800 transcript:KJB68235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein (SRP19) [Source: Projected from Oryza sativa (Os06g0342100)] MNGEVPNIKKWVVFYPVYINSKKTVAEGRRISLAKACENPTCVEIADCCNHLKVPNAIEIDKAYPRDFMQRGRVRVQLKKEDGTLWNPAISSSKF >KJB68237 pep chromosome:Graimondii2_0_v6:10:60718712:60722228:1 gene:B456_010G236800 transcript:KJB68237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein (SRP19) [Source: Projected from Oryza sativa (Os06g0342100)] MNGEVPNIKKWVVFYPVYINSKKTVAEGRRISLAKACENPTCVEIADCCNHLKVPNAIEIDKAYPRDFMQRGRVRVQLKKEDGTLWNPAISSTDAPSCRVGT >KJB68234 pep chromosome:Graimondii2_0_v6:10:60718712:60719722:1 gene:B456_010G236800 transcript:KJB68234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein (SRP19) [Source: Projected from Oryza sativa (Os06g0342100)] MNGEVPNIKKWVVFYPVYINSKKTVAEGRRISLAKACENPTCVEIADCCNHLKVPNAIEVCSCVICDAIVEMWRRMRPYLV >KJB64063 pep chromosome:Graimondii2_0_v6:10:2685806:2687991:1 gene:B456_010G031300 transcript:KJB64063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWDPNTKSTLTQIPLLTTKAGPRDGAAWTQRLKEEYRALIAYTQMNKSNDNDWFRISAANPEGTRWTGKCWYVHNLLKYEFDLQFHIPVTYPATAPELELPELDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSGMIKHKDDATTSNES >KJB64061 pep chromosome:Graimondii2_0_v6:10:2685844:2688054:1 gene:B456_010G031300 transcript:KJB64061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWDPNTKSTLTQIPLLTTKAGPRDGAAWTQRLKEEYRALIAYTQMNKSNDNDWFRISAANPEGTRWTGKCWYVHNLLKYEFDLQFHIPVTYPATAPELELPELDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSGMIKHKDDATTSNES >KJB64062 pep chromosome:Graimondii2_0_v6:10:2685844:2688054:1 gene:B456_010G031300 transcript:KJB64062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWDPNTKSTLTQIPLLTTKAGPRDGAAWTQRLKEEYRALIAYTQMNKSNDNDWFRISAANPEGTRWTGKCWYVHNLLKYEFDLQFHIPVTYPATAPELELPELDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSGMIKHKDDATTSNES >KJB64578 pep chromosome:Graimondii2_0_v6:10:6261778:6271009:-1 gene:B456_010G054600 transcript:KJB64578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATSSSSSSSTAISLHPLSNYNASATPSLNPSSLSFSSSYSYLSPLSFRLHSSKTHLRITPKKGLRVSCSTNEPLKVMISGAPASGKGTQCELIVQKFGLVHISTGDLLRAEVSSGTEIGNKAKEFMNSGRLVPDEIVTAMVTVRLSHQDAKEKGWLLDGYPRSFAQAQSLEELNIRPDIYIVLDVPDEILIDRCVGRRLDPVTGKIYHLKNFPPESEEIKARLVTRADDTEEKVKSRLEIYKQNAAAISSTYSSITNKIDGNRPKEMIFKDIDSLLSQLLKDKTVKSMKPVLQTESRLDQASSNQEKWRGIPTRLNNIPHSREIRNYFYDDVLQATQRAVNDGRTRLKVEINIPELNPEMDVYRIGTLMELVRVIALSFADDGKRVKVCVQGSMGEGALAGMPLQLAGTRKILEFMDWGNYGAMGTFIKIGSIGAKEVDEEDDMFILVAPQNAVGNCIVDDLRAMTDAAGKRPVILINPRLKDLPGSSGIMQTMGRDKRLEYAASFESCYFFRLLYYAGTQYPIMGAIRMTYPYDYELYKRVDEPSGKEKYVSLSTFKERPTIDEINDAFLGKPRNKDKKASGIWGFLSGVF >KJB64577 pep chromosome:Graimondii2_0_v6:10:6261365:6271175:-1 gene:B456_010G054600 transcript:KJB64577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGRLVPDEIVTAMVTVRLSHQDAKEKGWLLDGYPRSFAQAQSLEELNIRPDIYIVLDVPDEILIDRCVGRRLDPVTGKIYHLKNFPPESEEIKARLVTRADDTEEKVKSRLEIYKQNAAAISSTYSSITNKIDGNRPKEMIFKDIDSLLSQLLKDKTVKSMKPVLQTESRLDQASSNQEKWRGIPTRLNNIPHSREIRNYFYDDVLQATQRAVNDGRTRLKVEINIPELNPEMDVYRIGTLMELVRVIALSFADDGKRVKVCVQGSMGEGALAGMPLQLAGTRKILEFMDWGNYGAMGTFIKIGSIGAKEVDEEDDMFILVAPQNAVGNCIVDDLRAMTDAAGKRPVILINPRLKDLPGSSGIMQTMGRDKRLEYAASFESCYFFRLLYYAGTQYPIMGAIRMTYPYDYELYKRVDEPSGKEKYVSLSTFKERPTIDEINDAFLGKPRNKDKKASGIWGFLSGVF >KJB67112 pep chromosome:Graimondii2_0_v6:10:51185381:51196529:1 gene:B456_010G175200 transcript:KJB67112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRTPPPKKQRAEPILHESPSPQVASEGRLVIYEDNPLAAPPPESPHQPSDHLLCTYQCRQMVKADFIDALSNAEKQIRDYQSKLEILNENLSKAERKKFRDQFLYAEQELAAAKGREQMLHDQLLKEVNDSQERFKKQLETNNELKGKLQNELNLRKKAESSAASAEEKAADVEGKLTQFSQSIEREKKQLQNELAQLKGESKLSISRISADLESTEFRANSAQKESELLKEQLEDLKKQLNECLNQKSEVEKKMSSFTFQEVTSSESSILIKHLQEELRNYESEVREARKLKSSYEDIELLKAKLLEEKGRRERAESELSKLQEQQISLSNLENELSLWKLIMKDIPGVSCPEDIPVKFATLQKEVIESTMKIGETNARLKQIEVGLDAAHLAKQNAEAEAALDKEKAEILKSEVKRIELMLSMVTEERNKLSNAINELKRHKNEEAGDEVASGTVVQELESSLAKKESCIKELERSLHEQKEINDRQHNEIKMLHDRLNNEARRIKSLDRESDRLRSEISLLESKLGHGDYSASNTKVLRMVNTLAVDNEAKQTIEALQTELQKTKEKVQALEELKSQSGDTGKLVDSYISEKITKLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHQRPNGIPVTHFTLQSIYAQNDDEKLEFEYESGNTNILANDYTAQPEISHQVDIFVRKLNSIPAFTANLTVESFNRRTLS >KJB67110 pep chromosome:Graimondii2_0_v6:10:51185381:51196529:1 gene:B456_010G175200 transcript:KJB67110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHDQLLKEVNDSQERFKKQLETNNELKGKLQNELNLRKKAESSAASAEEKAADVEGKLTQFSQSIEREKKQLQNELAQLKGESKLSISRISADLESTEFRANSAQKESELLKEQLEDLKKQLNECLNQKSEVEKKMSSFTFQEVTSSESSILIKHLQEELRNYESEVREARKLKSSYEDIELLKAKLLEEKGRRERAESELSKLQEQQISLSNLENELSLWKLIMKDIPGVSCPEDIPVKFATLQKEVIESTMKIGETNARLKQIEVGLDAAHLAKQNAEAEAALDKEKAEILKSEVKRIELMLSMVTEERNKLSNAINELKRHKNEEAGDEVASGTVVQELESSLAKKESCIKELERSLHEQKEINDRQHNEIKMLHDRLNNEARRIKSLDRESDRLRSEISLLESKLGHGDYSASNTKVLRMVNTLAVDNEAKQTIEALQTELQKTKEKVQALEELKSQSGDTGKLVDSYISEKITKLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHQRPNGIPVTHFTLQSIYAQNDDEKLEFEYESGNTNILANDYTAQPEISHQVDIFVRKLNSIPAFTANLTVESFNRRTLS >KJB67107 pep chromosome:Graimondii2_0_v6:10:51185348:51196587:1 gene:B456_010G175200 transcript:KJB67107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRTPPPKKQRAEPILHESPSPQVASEGRLVIYEDNPLAAPPPESPHQPSDHLLCTYQCRQMVKADFIDALSNAEKQIRDYQSKLEILNENLSKAEAERKKFRDQFLYAEQELAAAKGREQMLHDQLLKEVNDSQERFKKQLETNNELKGKLQNELNLRKKAESSAASAEEKAADVEGKLTQFSQSIEREKKQLQNELAQLKGESKLSISRISADLESTEFRANSAQKESELLKEQLEDLKKQLNECLNQKSEVEKKMSSFTFQEVTSSESSILIKHLQEELRNYESEVREARKLKSSYEDIELLKAKLLEEKGRRERAESELSKLQEQQISLSNLENELSLWKLIMKDIPGVSCPEDIPVKFATLQKEVIESTMKIGETNARLKQIEVGLDAAHLAKQNAEAEAALDKEKAEILKSEVKRIELMLSMVTEERNKLSNAINELKRHKNEEAGDEVASGTVVQELESSLAKKESCIKELERSLHEQKEINDRQHNEIKMLHDRLNNEARRIKSLDRESDRLRSEISLLESKLGHGDYSASNTKVLRMVNTLAVDNEAKQTIEALQTELQKTKEKVQALEELKSQSGDTGKLVDSYISEKITKLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHQRPNGIPVTHFTLQSIYAQNDDEKLEFEYESGNTNILANDYTAQPEISHQVDIFVRKLNSIPAFTANLTVESFNRRTLS >KJB67113 pep chromosome:Graimondii2_0_v6:10:51185381:51196529:1 gene:B456_010G175200 transcript:KJB67113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRTPPPKKQRAEPILHESPSPQVASEGRLVIYEDNPLAAPPPESPHQPSDHLLCTYQCRQMVKADFIDALSNAEKQIRDYQSKLEILNENLSKAAERKKFRDQFLYAEQELAAAKGREQMLHDQLLKEVNDSQERFKKQLETNNELKGKLQNELNLRKKAESSAASAEEKAADVEGKLTQFSQSIEREKKQLQNELAQLKGESKLSISRISADLESTEFRANSAQKESELLKEQLEDLKKQLNECLNQKSEVEKKMSSFTFQEVTSSESSILIKHLQEELRNYESEVREARKLKSSYEDIELLKAKLLEEKGRRERAESELSKLQEQQISLSNLENELSLWKLIMKDIPGVSCPEDIPVKFATLQKEVIESTMKIGETNARLKQIEVGLDAAHLAKQNAEAEAALDKEKAEILKSEVKRIELMLSMVTEERNKLSNAINELKRHKNEEAGDEVASGTVVQELESSLAKKESCIKELERSLHEQKEINDRQHNEIKMLHDRLNNEARRIKSLDRESDRLRSEISLLESKLGHGDYSASNTKVLRMVNTLAVDNEAKQTIEALQTELQKTKEKVQALEELKSQSGDTGKLVDSYISEKITKLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHQRPNGIPVTHFTLQSIYAQNDDEKLEFEYESGNTNILANDYTAQPEISHQVDIFVRKLNSIPAFTANLTVESFNRRTLS >KJB67109 pep chromosome:Graimondii2_0_v6:10:51185354:51196529:1 gene:B456_010G175200 transcript:KJB67109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTDEAERKKFRDQFLYAEQELAAAKGREQMLHDQLLKEVNDSQERFKKQLETNNELKLESTEFRANSAQKESELLKEQLEDLKKQLNECLNQKSEVEKKMSSFTFQEVTSSESSILIKHLQEELRNYESEVREARKLKSSYEDIELLKAKLLEEKGRRERAESELSKLQEQQISLSNLENELSLWKLIMKDIPGVSCPEDIPVKFATLQKEVIESTMKIGETNARLKQIEVGLDAAHLAKQNAEAEAALDKEKAEILKSEVKRIELMLSMVTEERNKLSNAINELKRHKNEEAGDEVASGTVVQELESSLAKKESCIKELERSLHEQKEINDRQHNEIKMLHDRLNNEARRIKSLDRESDRLRSEISLLESKLGHGDYSASNTKVLRMVNTLAVDNEAKQTIEALQTELQKTKEKVQALEELKSQSGDTGKLVDSYISEKITKLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHQRPNGIPVTHFTLQSIYAQNDDEKLEFEYESGNTNILANDYTAQPEISHQVDIFVRKLNSIPAFTANLTVESFNRRTLS >KJB67108 pep chromosome:Graimondii2_0_v6:10:51185354:51196529:1 gene:B456_010G175200 transcript:KJB67108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTDEAERKKFRDQFLYAEQELAAAKGREQMLHDQLLKEVNDSQERFKKQLETNNELKGKLQNELNLRKKAESSAASAEEKAADVEGKLTQFSQSIEREKKQLQNELAQLKGESKLSISRISADLESTEFRANSAQKESELLKEQLEDLKKQLNECLNQKSEVEKKMSSFTFQEVTSSESSILIKHLQEELRNYESEVREARKLKSSYEDIELLKAKLLEEKGRRERAESELSKLQEQQISLSNLENELSLWKLIMKDIPGVSCPEDIPVKFATLQKEVIESTMKIGETNARLKQIEVGLDAAHLAKQNAEAEAALDKEKAEILKSEVKRIELMLSMVTEERNKLSNAINELKRHKNEEAGDEVASGTVVQELESSLAKKESCIKELERSLHEQKEINDRQHNEIKMLHDRLNNEARRIKSLDRESDRLRSEISLLESKLGHGDYSASNTKVLRMVNTLAVDNEAKQTIEALQTELQKTKEKVQALEELKSQSGDTGKLVDSYISEKITKLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHQRPNGIPVTHFTLQSIYAQNDDEKLEFEYESGNTNILANDYTAQPEISHQVDIFVRKLNSIPAFTANLTVESFNRRTLS >KJB67111 pep chromosome:Graimondii2_0_v6:10:51185381:51196529:1 gene:B456_010G175200 transcript:KJB67111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRTPPPKKQRAEPILHESPSPQVASEGRLVIYEDNPLAAPPPESPHQPSDHLLCTYQCRQMVVKADFIDALSNAEKQIRDYQSKLEILNENLSKAEAERKKFRDQFLYAEQELAAAKGREQMLHDQLLKEVNDSQERFKKQLETNNELKGKLQNELNLRKKAESSAASAEEKAADVEGKLTQFSQSIEREKKQLQNELAQLKGESKLSISRISADLESTEFRANSAQKESELLKEQLEDLKKQLNECLNQKSEVEKKMSSFTFQEVTSSESSILIKHLQEELRNYESEVREARKLKSSYEDIELLKAKLLEEKGRRERAESELSKLQEQQISLSNLENELSLWKLIMKDIPGVSCPEDIPVKFATLQKEVIESTMKIGETNARLKQIEVGLDAAHLAKQNAEAEAALDKEKAEILKSEVKRIELMLSMVTEERNKLSNAINELKRHKNEEAGDEVASGTVVQELESSLAKKESCIKELERSLHEQKEINDRQHNEIKMLHDRLNNEARRIKSLDRESDRLRSEISLLESKLGHGDYSASNTKVLRMVNTLAVDNEAKQTIEALQTELQKTKEKVQALEELKSQSGDTGKLVDSYISEKITKLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHQRPNGIPVTHFTLQSIYAQNDDEKLEFEYESGNTNILANDYTAQPEISHQVDIFVRKLNSIPAFTANLTVESFNRRTLS >KJB65483 pep chromosome:Graimondii2_0_v6:10:16475249:16477587:1 gene:B456_010G096900 transcript:KJB65483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPVKYGAQNLQERLMEEDGYSSVFSISNPLHCQQLFQQNMGPLTHQLLLHQHQFQQPNSAVGFKLGLDEISMKKEAALALNHPQQNGNDQHSLLAPHCWHPQEDSPIKQPFWKSLNRCDEDSIRQCTSERSKHLDNRYRFFGELEAIYGLGGETTQAGSGSALTGENYSPANVGIPMPSAEFQGHNVGANGRGDNVTTGVDHGSEGSIGEQASLRKIQKKKRKKKMKEQLCSMLVFFETLVKQVMDHQEGLHKRFLEVIETMDKERSAKEESWRQREAEKRKNEAIARANEQALASNREAVIVSYLEKLTGQSINLPPRTPLLVQPESAIVPLNDNNSRWPRAEVEALIQVRCDLEAKFREPGLKGPVWEEVSSFMASLGYQRSAKRCKEKWENINKYFRKSKENGKKRCRHSKTCTYFHQLDQLYSRMLPTTCPTSPPSPLINNDIDLPETELGAA >KJB64105 pep chromosome:Graimondii2_0_v6:10:2999143:3001526:1 gene:B456_010G033300 transcript:KJB64105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRSSGGRSAPRPAARAPSSNVPSKPASSAPPPAPVQRGNGLGAAIVDGIGWGAGTAMAHRAVDAVLGPRVIKHEAVASSEPAAAASAPAPNTNSMNMDACGVQSKALSDVCIFLPLYSSATSFHIEGCS >KJB64104 pep chromosome:Graimondii2_0_v6:10:2999143:3001526:1 gene:B456_010G033300 transcript:KJB64104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRSSGGRSAPRPAARAPSSNVPSKPASSAPPPAPVQRGNGLGAAIVDGIGWGAGTAMAHRAVDAVLGPRVIKHEAVASSEPAAAASAPAPNTNSMNMDACGVQSKALSDSNFPGCCAFEINAH >KJB64103 pep chromosome:Graimondii2_0_v6:10:2999108:3001526:1 gene:B456_010G033300 transcript:KJB64103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRSSGGRSAPRPAARAPSSNVPSKPASSAPPPAPVQRGNGLGAAIVDGIGWGAGTAMAHRAVDAVLGPRVIKHEAVASSEPAAAASAPAPNTNSMNMDACGVQSKALSDCLTNFGSDISKCQFYMDMLQECRKSSGALGA >KJB64106 pep chromosome:Graimondii2_0_v6:10:3000368:3001526:1 gene:B456_010G033300 transcript:KJB64106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNELNWVLIVAASSAPPPAPVQRGNGLGAAIVDGIGWGAGTAMAHRAVDAVLGPRVIKHEAVASSEPAAAASAPAPNTNSMNMDACGVQSKALSDVCIFLPLYSSATSFHIEGCS >KJB65370 pep chromosome:Graimondii2_0_v6:10:19363903:19364980:1 gene:B456_010G106200 transcript:KJB65370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSSSSSSSSSSSSSTPRPNNHHHHLHYTRLHYRFKPTQPVAERIARALRHPLFLLHRSDSDFFVLGATGNVYTVTLSSTPSCTCPDRTTPCKHILFVLIRALGVSVDDACLRRRTLRPCRLSRLLGTLTSPEALAEAGVRERFHQLFSQRKTQGESGGVKEIEEGTVCPVCLEEMEKGEKVAACSTCRNLIHEECLMRWKRSRGRRSANCVICRARWSSDQEKYLNLAAYIGRDDVGDGGSSLCAG >KJB63952 pep chromosome:Graimondii2_0_v6:10:2154868:2156725:1 gene:B456_010G026600 transcript:KJB63952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMELVEKALTFSRRKKKWLILLAICGVSGYGVYKVYNLPSLVKKRKRLLKLFGALVSLAEMVSESAETINIVCKDLREFLQSESDQIPNSLKQISKIVRSDEFSQSFIRVNEGLTIGVLRGYKLESRNESELGKGIMENSSLTDKVLDRVFSNAGTGFVSVVVGSFAKNLVMGFYSSGGEIDGESSDVPKWVNLLYDDKSKELMAEFIQRFVSTAVAVYLDKTMDINTYDEMFAGMTNPKHEHNVRDILVSVCNGAVETLVRTSHQVLTNSRSDTCSIVEQSENTKEEASLKGVKEGKGSSFDKVSSTLAVPSNRKFVVDVTGRVTFGTIKTIMEFLVWKLLEGMKRSIHVVRDEVVERGLDVIRYVGAKSSIIVTICVSLYLHIVGGSRVLLQA >KJB67960 pep chromosome:Graimondii2_0_v6:10:59022542:59023393:1 gene:B456_010G220900 transcript:KJB67960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDRVTTCPFCHLEPEMTEHLFFVLFGSSSPCGLKVPYRSSFCAFPRCVIEMCWVTFFAIIWSIWIFRNKMMFTGKVLDQQEFFESILIRLGRWFRAKWGSTGVSLDYFLRAPQNFRVPNTHKNDTKRVIWTPPPCGAVKFNEDAGVVGSNGTMGIGGILSSLVIFSKSAGLTDPALVEVLAIKEALTIYSACKWSCTHKLIEESDCAVAVAGSDHRGMPKAVGQSRDIIEILREANGTADRLAKAGINRKVNLLSVVVIFIYVCYVCQL >KJB64320 pep chromosome:Graimondii2_0_v6:10:4092692:4095584:1 gene:B456_010G043000 transcript:KJB64320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNDFPVYPSPSEQSAADGAQKQKLDDADKKIVVFFFHNSIPFSAAKSMYLHVEFYISKLSDQFTNAGKKQMSCAERGRRKRTVHAVRKDNKQRFSVLEENGELLIRTNQGHTTVESERLLKQILSADEVQFCVHGTYKRNLESILESGLKRMKRLHVHFSSGLPTDGEVTSGMRRDVNVLIYLDVRKALEGFDGVVPVKCFEKIESWPDRKPIPFLNV >KJB64321 pep chromosome:Graimondii2_0_v6:10:4094491:4095592:1 gene:B456_010G043000 transcript:KJB64321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWQTVESERLLKQILSADEVQFCVHGTYKRNLESILESGLKRMKRLHVHFSSGLPTDGEVTSGMRRDVNVLIYLDVRKALEEGMKLYISDNKVILT >KJB63621 pep chromosome:Graimondii2_0_v6:10:630097:633085:1 gene:B456_010G008700 transcript:KJB63621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHFISLNANANPYNLIKGRSYGGSVFLGERISWSIKSRDYGRVLWRSLRIENDVRKAKCGVVHCVQTPTNENDDPTMFESPQADPKEVASIVLGGGAGTRLFPLTSMRAKPAVPIGGCYRLIDVPMSNCINSGIKKIFILTQFNSFSLNRHLARTYNFGNGVNFGDGFMEVLAATQTSGEAGKKWFQGTADAVRQFIWVFEDAKVKDVQHVLILSGDHLYRADYMDFVQKHIDSNADITVSCLPMDNSRASDFGLMKIDVTGRIIQFAEKPKGKDLNAMQVDTSILGLSAEDAAKYSYIASMGVYVFKKDILLKLLTQSYPSCNDFGSEIIPSAVKEHNVQAYLFNDYWEDIGTIKSFFDANLALTDQPPKFEFYDPKTPFYTSPRFLPPSKIDQCRIVNAIISHGCFLRNCSVKRSVVGVRSRLESGVELEDTMMMGADFYQTEMEIMSLLKEGKVPIGVGQNTKIKNCIIDKNAKIGKNVIISNSDGVEEAERPEQGFYIRSGITVIMKNATIKDGSVI >KJB63623 pep chromosome:Graimondii2_0_v6:10:630110:633085:1 gene:B456_010G008700 transcript:KJB63623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHFISLNANANPYNLIKGRSYGGSVFLGERISWSIKSRDYGRVLWRSLRIENDVRKAKCGVVHCVQTPTNENDDPTMFESPQADPKEVASIVLGGGAGTRLFPLTSMRAKPAVPIGGCYRLIDVPMSNCINSGIKKIFILTQFNSFSLNRHLARTYNFGNGVNFGDGFMEVLAATQTSGEAGKKWFQGTADAVRQFIWVFEDAKVKDVQHVLILSGDHLYRADYMDFVQKHIDSNADITVSCLPMDNSRASDFGLMKIDVTGRIIQFAEKPKGKDLNAMQVDTSILGLSAEDAAKYSYIASMGVYVFKKDILLKLLTQSYPSCNDFGSEIIPSAVKEHNVQAYLFNDYWEDIGTIKSFFDANLALTDQPPKFEFYDPKTPFYTSPRFLPPSKIDQCRIVNAIISHGCFLRNCSVKRSVVGVRSRLESGVELEDTMMMGADFYQTEMEIMSLLKEGKVPIGVGQNTKINCVFWKELHNRQECQDRKKCDHIK >KJB63622 pep chromosome:Graimondii2_0_v6:10:630110:632556:1 gene:B456_010G008700 transcript:KJB63622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHFISLNANANPYNLIKGRSYGGSVFLGERISWSIKSRDYGRVLWRSLRIENDVRKAKCGVVHCVQTPTNENDDPTMFESPQADPKEVASIVLGGGAGTRLFPLTSMRAKPAVPIGGCYRLIDVPMSNCINSGIKKIFILTQFNSFSLNRHLARTYNFGNGVNFGDGFMEVLAATQTSGEAGKKWFQGTADAVRQFIWVFEDAKVKDVQHVLILSGDHLYRADYMDFVQKHIDSNADITVSCLPMDNSRASDFGLMKIDVTGRIIQFAEKPKGKDLNAMQVDTSILGLSAEDAAKYSYIASMGVYVFKKDILLKLLTQSYPSCNDFGSEIIPSAVKEHNVQAYLFNDYWEDIGTIKSFFDANLALTDQPPKFEFYDPKTPFYTSPRFLPPSKIDQCRIVNAIISHGCFLRNCSVKRSVVGVRSRLESGVELEDTMMMGADFYQTEMEIMSLLKEGKVPIGVGQNTKIK >KJB64885 pep chromosome:Graimondii2_0_v6:10:9138911:9139277:1 gene:B456_010G070000 transcript:KJB64885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTCTYLFYHPPMQSWLQLLVKSNYCSFLEILVTVKQLYWAQNSIYPFKSKKQHQNQVIRNGTTKLKTQPHPKCSAVTRIIFFSKHPLVRCDGIQNMPVTKKQYAL >KJB64425 pep chromosome:Graimondii2_0_v6:10:5398430:5400078:-1 gene:B456_010G048800 transcript:KJB64425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDNAFEVDFYSNSTTTSITDDSPACTWNHWGSPVVDWDSFSSDPDDFQDLIESMMDDGTGFELARVAHETSNSVSTDTMVVDEETNGDEDSKGLRLIHLLMAAAEALAGDNKSRELARVILVRLKELVSHNDGTNMERLAAYFTEALHGLLEGSGGGHGKHLITNGPHHHGDEHHHTDMLAAFQLLQDMSPYVKFGHFTANQAILEATTHDRRIHIVDYDVMEGIQWASLMQALVSRKDGPQAPHLRITAISRTGSGRRSIGTIQETGRRLVAFAASIGQPFSFHQCRLDSDETFRPSALKLVRGEALIINCMLHLPHFSYRAPDSVASFLSGAKTLNPRLVTLVEEEVGPIGDGGFVGQFMDSLHHYSAVYDSLEAGFPMQNRARALVERVFLGPRIAGSLARIYRTGGEEESCGWSKWLATMGFKPVNISFANHCQAKLLLGLFNDGYRVEELANNRLVLGWKSRRLLSASIWTSPHSDL >KJB63356 pep chromosome:Graimondii2_0_v6:10:23471784:23472451:-1 gene:B456_010G118300 transcript:KJB63356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAWVDTTLDLNINPCFRTNKAMKREFEGDVVESAPVKYENGVLVEELNRVSAENKKLSKMLTVLCEQYYSLQHQFMEFVNKNPEIETAAAATTSSSKKRKAECVDYGANMIGFSGNTETSSSDDGSPKTPKDCIKPKVYRVQVRTNPSDNSLVGYS >KJB63353 pep chromosome:Graimondii2_0_v6:10:23470804:23472932:-1 gene:B456_010G118300 transcript:KJB63353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAWVDTTLDLNINPCFRTNKAMKREFEGDVVESAPVKYENGVLVEELNRVSAENKKLSKMLTVLCEQYYSLQHQFMEFVNKNPEIETAAAATTSSSKKRKAECVDYGANMIGFSGNTETSSSDDGSPKTPKDCIKPKVYRVQVRTNPSDNSLVVRDGYQWRKYGQKVTRDNPCPRAYFKCSFAPSCPVKKKVQRSAEDPSILVATYEGEHNHHEHHHSPPAEISLSSNNNTPSSNTGSGPVSSAPTKASAPTVTLELLQPGLGGDETERAALQIDAPAIQQILVHQMAASLSRDPNFTAALAAAISGRAV >KJB63357 pep chromosome:Graimondii2_0_v6:10:23470806:23472727:-1 gene:B456_010G118300 transcript:KJB63357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVLCEQYYSLQHQFMEFVNKNPEIETAAAATTSSSKKRKAECVDYGANMIGFSGNTETSSSDDGSPKTPKDCIKPKVYRVQVRTNPSDNSLVVRDGYQWRKYGQKVTRDNPCPRAYFKCSFAPSCPVKKKVQRSAEDPSILVATYEGEHNHHEHHHSPPAEISLSSNNNTPSSNTGSGPVSSAPTKASAPTVTLELLQPGLGGDETERAALQIDAPAIQQILVHQMAASLSRDPNFTAALAAAISGRAV >KJB63355 pep chromosome:Graimondii2_0_v6:10:23470806:23472727:-1 gene:B456_010G118300 transcript:KJB63355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVLCEQYYSLQHQFMEFVNKNPEIETAAAATTSSSKKRKAECVDYGANMIGFSGNTETSSSDDGSPKTPKDCIKPKVYRVQVRTNPSDNSLTVRDGYQWRKYGQKVTRDNPCPRAYFKCSFAPSCPVKKKVQRSAEDPSILVATYEGEHNHHEHHHSPPAEISLSSNNNTPSSNTGSGPVSSAPTKASAPTVTLELLQPGLGGDETERAALQIDAPAIQQILVHQMAASLSRDPNFTAALAAAISGRAV >KJB63352 pep chromosome:Graimondii2_0_v6:10:23470798:23472904:-1 gene:B456_010G118300 transcript:KJB63352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAWVDTTLDLNINPCFRTNKAMKREFEGDVVESAPVKYENGVLVEELNRVSAENKKLSKMLTVLCEQYYSLQHQFMEFVNKNPEIETAAAATTSSSKKRKAECVDYGANMIGFSGNTETSSSDDGSPKTPKDCIKPKVYRVQVRTNPSDNSLTVRDGYQWRKYGQKVTRDNPCPRAYFKCSFAPSCPVKKKVQRSAEDPSILVATYEGEHNHHEHHHSPPAEISLSSNNNTPSSNTGSGPVSSAPTKASAPTVTLELLQPGLGGDETERAALQIDAPAIQQILVHQMAASLSRDPNFTAALAAAISGRAV >KJB63354 pep chromosome:Graimondii2_0_v6:10:23471496:23472451:-1 gene:B456_010G118300 transcript:KJB63354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAWVDTTLDLNINPCFRTNKAMKREFEGDVVESAPVKYENGVLVEELNRVSAENKKLSKMLTVLCEQYYSLQHQFMEFVNKNPEIETAAAATTSSSKKRKAECVDYGANMIGFSGNTETSSSDDGSPKTPKDCIKPKVYRVQVRTNPSDNSLVVRDGYQWRKYGQKVTRDNPCPRAYFKCSFAPSCPVKKKVSVASSPRAIFVFKVIFWLRPGSKSIICF >KJB65916 pep chromosome:Graimondii2_0_v6:10:23814581:23820046:-1 gene:B456_010G119300 transcript:KJB65916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTEKFSEDGENDRVRYGLASMQGWRSTMEDAHAAYPDLDASTSFFGVYDGHGGKVVAKFCAKYLHQQVLKNEAYAAGDIGTSVQRAFFRMDEMMRGQRGWRELAILGDKFNKFSGMIEGLIWSPRSGNSNDQVDNWAFEEGPHSDFSGPTSGSTACVAVLRNNQLFVANAGDSRCVISRKGQAYNLSRDHKPDLEVEKERILKAGGFIHAGRVNGCLNLARAIGDVEFKQNKFLPAEKQIVTANPDINTIELCDDDDFLVLACDGIWDCMSSQKLVDFIHEQLQYESKLSVVCERVLDRCLAPSTATGEGCDNMTMVLVQFKKPIKCASSSEEQSSQSKSADNELEIKGTQD >KJB65914 pep chromosome:Graimondii2_0_v6:10:23814585:23820019:-1 gene:B456_010G119300 transcript:KJB65914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTEKFSEDGENDRVRYGLASMQGWRSTMEDAHAAYPDLDASTSFFGVYDGHGGKVVAKFCAKYLHQQVLKNEAYAAGDIGTSVQRAFFRMDEMMRGQRGWRELAILGDKFNKFSGMIEGLIWSPRSGNSNDQVDNWAFEEGPHSDFSGPTSGSTACVAVLRNNQLFVANAGDSRCVISRKGQAYNLSRDHKPDLEVEKERILKAGGFIHAGRVNGCLNLARAIGDVEFKQNKFLPAEKQIVTANPDINTIELCDDDDFLVLACDGIWDCMSSQKLVDFIHEQLQYESKLSVVCERVLDRCLAPSTATGEGCDNMTMVLVQFKKPIKCASSSEEQSSQSKSADNELEIKGTQD >KJB65915 pep chromosome:Graimondii2_0_v6:10:23814585:23819972:-1 gene:B456_010G119300 transcript:KJB65915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTEKFSEDGENDRVRYGLASMQGWRSTMEDAHAAYPDLDASTSFFGVYDGHGGKVVAKFCAKYLHQQVLKNEAYAAGDIGTSVQRAFFRMDEMMRGQRGWRELAILGDKFNKFSGMIEGLIWSPRSGNSNDQVDNWAFEEGPHSDFSGPTSGSTACVAVLRNNQLFVANAGDSRCVISRKGQAYNLSRDHKPDLEVEKERILKAGGFIHAGRVNGCLNLARAIGDVEFKQNKFLPAEKQIVTANPDINTIELCDDDDFLVLACDGIWDCMSSQKLVDFIHEQLQYVHKPLLVYANLIGQFELKGHSNPFFLMIIRLYELSHEMQESKLSVVCERVLDRCLAPSTATGEGCDNMTMVLVQFKKPIKCASSSEEQSSQSKSADNELEIKGTQD >KJB66999 pep chromosome:Graimondii2_0_v6:10:49201527:49204200:-1 gene:B456_010G169400 transcript:KJB66999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRTLLMPSLSASLFYKEIDLLHPISFDQVFQYERFNSLCNRFVRLGRYSDLGNQTRKYDLRKGSGRKWTVERDLEQLKQSSRGPIDKYQVISMAGKNPFLWHDHWPVKDYARVFECLVLVDEIAKEADKVVSKIRRIGRKLRSKTNFGAEGSSLSHAPYVAIHMRVEIDWMIHCKKLEQRSRISQICSSKQEIMERVGNIVGLGSTAIVYLAVADSLLNDSSILDGWKRGLVPFEKKKLGVDGIYKKHSYLIQSAIDYEVCSRADIFVGNSFSTFSSLIVLERTQRVIRRGITSSCGIDVRWPSYAYNIPGESNGPQKWMTNMSDSNLKAISYGSNAISC >KJB67000 pep chromosome:Graimondii2_0_v6:10:49202082:49203410:-1 gene:B456_010G169400 transcript:KJB67000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSTCKLSRLFGCFFTPLTCKCCVALVTIALFFRAIFLHSFSGYGVIKSNNLRLILSPSLHLESHEGIRGDKFLEVPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEIDLLHPISFDQVFQYERFNSLCNRFVRLGRYSDLGNQTRKYDLRKGSGRKWTVERDLEQLKQSSRGPIDKYQVISMAGKNPFLWHDHWPVKDYARVFECLVLVDEIAKEADKVVSKIRRIGRKLRSKTNFGAEGSSLSHAPYVAIHMRVEIDWMIHCKKLEQRSRISQICSSKQEIMERVGNIVGLGSTAIVYLAVADSLLNDSSILDGWKRGLVPFEKKKLGVDGIYKKHSYLIQSAIDYEVCSRADIFVGNSFSTFSSLIVLERTQRVIRRGITSSCGIDVRWPSYAYNIPGESNGPQKWMTNMSDSNLKAISYGSNAISC >KJB65242 pep chromosome:Graimondii2_0_v6:10:12989051:12991668:-1 gene:B456_010G086100 transcript:KJB65242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGGNYSIRIRTVEDVFSDFKSRRAALIKALTTDAEELYERCDPKKDNLCLFGYPNRRWEVNQPVAYLPPEIPEPTLGISFCRNGMQRKDWFSLVSVQSDAWLLAVAYFWGARFDQADRDRLFTMINDLPTLYDIVTESAWAQAKEKSSVSSNSSNKPLSTANARGSESAEFSISMQTFYEERVSEVEDDDKEHGETLCTACGQYGSDEFWICCDICERWYHCKCVKITPTKAVQIKLYKCPSFGNRRGRPSH >KJB65244 pep chromosome:Graimondii2_0_v6:10:12989051:12991707:-1 gene:B456_010G086100 transcript:KJB65244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YANILVFSFLPPVNGGNYSIRIRTVEDVFSDFKSRRAALIKALTTEKDNLCLFGYPNRRWEVNQPVAYLPPEIPEPTLGISFCRNGMQRKDWFSLVSVQSDAWLLAVAYFWGARFDQADRDRLFTMINDLPTLYDIVTESAWAQAKEKSSVSSNSSNKPLSTANARGSESAEFSISMQTFYEERVSEVEDDDKEHGETLCTACGQYGSDEFWICCDICERWYHCKCVKITPTKAVQIKLYKCPSFGNRRGRPSH >KJB65243 pep chromosome:Graimondii2_0_v6:10:12989051:12991707:-1 gene:B456_010G086100 transcript:KJB65243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YANILVFSFLPPVNGGNYSIRIRTVEDVFSDFKSRRAALIKALTTDAEELYERCDPKKDNLCLFGYPNRRWEVNQPVAYLPPEIPEPTLGISFCRNGMQRKDWFSLVSVQSDAWLLAVAYFWGARFDQADRDRLFTMINDLPTLYDIVTESAWAQAKEKSSVSSNSSNKPLSTANARGSESAEFSISMQTFYEERVSEVEDDDKEHGETLCTACGQYGSDEFWICCDICERWYHCKCVKITPTKAVQIKLYKCLHIKILSSLNLFLYAFGSEHCS >KJB65280 pep chromosome:Graimondii2_0_v6:10:13429589:13433289:1 gene:B456_010G087700 transcript:KJB65280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLRSREILPVQPKPRKAQANIDPPATPTQGREQPATRSSPPSLTPSPKTLGSVSGSAPLLRRSLRLSTKASSNAGQDSVLEAEERIERRNGDVSRKRKLSVDNDVGFESGEDDESGEDEGVLSLRSGKRVTKKGPFGDGNGSGGDVEFEIDRKGKSMLVEESEDTEKPERSKERVNVKGKRRYSREEKGKGKLLVESALDSKDESLVDGSVSDVELLAQEVNLSDEKPSKKNNRRTSQGRMERFRDTARQNASRFAHFNTQEEDDNILSMEAESEIPSEELEEKGVEDWPGPFSTAMKIIRDRAANLNVRQGSSSSDKVQSLQIKWVPQKGKRKDWSKRLPPSLLDLSLRVLVDNADAIASLAHVPDALRHKLCHMLCDSRRMNSNFFDLLLNGSPTEIRLKDCSWLTEEQFTQCFEKCDTTNLTILQLDYCGHCFADYNLPSTLARSPNSLPALTTLSLTGAYRLSDAGLSALVSSAPALRSVNLSQCSFLTHSAIDILATSLASVLLELFIDDCQSIDAMLVLPSLKKLEHLEVLSVAGLESVTDSFIKELLIARGDGIKELILMDCWKLTDSSLKIIAETCSNLRALDIGNISKLTDTSFGYLASGCRSLQSLKLCHNAFSDDAIAAFLEMSGEVLKELALNNVGKVGLNTALSLARRSRNLVSLDLSWCRNLTDEAMGLIVDSCLSLRVLKLFGCSQITDVFLYGHSNAKVEIIGLKLSPFLEHIKTPAQGPFQYSSV >KJB65281 pep chromosome:Graimondii2_0_v6:10:13429733:13432154:1 gene:B456_010G087700 transcript:KJB65281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLRSREILPVQPKPRKAQANIDPPATPTQGREQPATRSSPPSLTPSPKTLGSVSGSAPLLRRSLRLSTKASSNAGQDSVLEAEERIERRNGDVSRKRKLSVDNDVGFESGEDDESGEDEGVLSLRSGKRVTKKGPFGDGNGSGGDVEFEIDRKGKSMLVEESEDTEKPERSKERVNVKGKRRYSREEKGKGKLLVESALDSKDESLVDGSVSDVELLAQEVNLSDEKPSKKNNRRTSQGRMERFRDTARQNASRFAHFNTQEEDDNILSMEAESEIPSEELEEKGVEDWPGPFSTAMKIIRDRAANLNVRQGSSSSDKVQSLQIKWVPQKGKRKDWSKRLPPSLLDLSLRVLVDNADAIASLAHVPDALRHKLCHMLCDSRRMNSNFFDLLLNGSPTEIRLKDCSWLTEEQFTQCFEKCDTTNLTILQLDYCGHCFADYNLPSTLARSPNSLPALTTLSLTGAYRLSDAGLSALVSSAPALRSVNLSQCSFLTHSAIDILATSLASVLLELFIDDCQSIDAMLVLPSLKKLEHLEVLSVAGLESVTDSFIKELLIARGDGIKELILMDCWKLTDSSLKIIAETCSNLRALDIGNISKLTDTSFGYLASGCRSLQSLKLCHNAFRYLLYRLGGVIVTYYVI >KJB65279 pep chromosome:Graimondii2_0_v6:10:13429589:13433289:1 gene:B456_010G087700 transcript:KJB65279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLRSREILPVQPKPRKAQANIDPPATPTQGREQPATRSSPPSLTPSPKTLGSVSGSAPLLRRSLRLSTKASSNAGQDSVLEAEERIERRNGDVSRKRKLSVDNDVGFESGEDDESGEDEGVLSLRSGKRVTKKGPFGDGNGSGGDVEFEIDRKGKSMLVEESEDTEKPERSKERVNVKGKRRYSREEKGKGKLLVESALDSKDESLVDGSVSDVELLAQEVNLSDEKPSKKNNRRTSQGRMERFRDTARQNASRFAHFNTQEEDDNILSMEAESEIPSEELEEKGVEDWPGPFSTAMKIIRDRAANLNVRQGSSSSDKVQSLQIKWVPQKGKRKDWSKRLPPSLLDLSLRVLVDNADAIASLAHVPDALRHKLCHMLCDSRRMNSNFFDLLLNGSPTEIRLKDCSWLTEEQFTQCFEKCDTTNLTILQLDYCGHCFADYNLPSTLARSPNSLPALTTLSLTGAYRLSDAGLSALVSSAPALRSVNLSQCSFLTHSAIDILATSLASVLLELFIDDCQSIDAMLVLPSLKKLEHLEVLSVAGLESVTDSFIKELLIARGDGIKELILMDCWKLTDSSLKIIAETCSNLRALDIGNISKLTDTSFGYLASGCRSLQSLKLCHNAFRLVLIQPYHLRGVQEIWLVWIYLGAEI >KJB67114 pep chromosome:Graimondii2_0_v6:10:51737323:51740343:1 gene:B456_010G176200 transcript:KJB67114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLKRLSSIPPLIFRNFPLSTPSCFGSLYNFSVSSTDQPAFPNSMVNSETGADVDDGQEFLKWKNGGGHFHKSACIDPTVVIEIGAIVHPKSVLGANVHVGSGTAIGPCVKIGQFTKIGYNAALSNCTVGDSCVIHNGVCIGQDGFGFFVDEDGNMVKKPQILNVRIGNHVDIGANTCIDRGSWRDTVIGDHTKIDNLVQIGHNVVIGKSCMLCGQVGIAGSVIIGDYVVLGGRVAVRDHVSIISKVRLAANSCVTKDIREPGDYGGFPAVPIHVWRRQIAIQCRSSKKGKS >KJB67115 pep chromosome:Graimondii2_0_v6:10:51737431:51740088:1 gene:B456_010G176200 transcript:KJB67115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLKRLSSIPPLIFRNFPLSTPSCFGSLYNFSVSSTDQPAFPNSMVNSETGADVDDGQEFLKWKNGGGHFHKSACIDPTVVIEIGAIVHPKSVLGANVHVGSGTAIGPCVKIGQFTKIGNCTVGDSCVIHNGVCIGQDGFGFFVDEDGNMVKKPQILNVRIGNHVDIGANTCIDRGSWRDTVIGDHTKIDNLVQIGHNVVIGKSCMLCGQVGIAGSVIIGDYVVLGGRVAVRDHVSIISKVRLAANSCVTKDIREPGDYGGFPAVPIHVWRRQIAIQCRSSKKGKS >KJB67137 pep chromosome:Graimondii2_0_v6:10:51901018:51903517:-1 gene:B456_010G177100 transcript:KJB67137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGVMVMVHGDNKGLMLPPKVSALQVIVIPVPYHRADLRENYSPGWKYLNWKMKGVPLRIEEGPRDLANNQVRTVRRDNGEKTDISRVFLVEQVKGMLDKIQQNLFDVAKQKRDACIEVVKTWDEFVKALGQKKLILAPWYDKEEVEKDVKARTRGEMGAAKSLCTPFEQPELPEASFIIGRWRLWEKRR >KJB67339 pep chromosome:Graimondii2_0_v6:10:54080754:54084266:-1 gene:B456_010G188200 transcript:KJB67339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMASAANCGVYFSSNTNKLNFPVFDFKNHSWSAAFVTTPPNILEKRSVRLSFSMMGIIPRATSSATAVESDGSFQDTDTVPTPKVIIDQDSDPDATVVEITFGDRLGALLDTMNALKNLGLNVSKANVYLDSSGKHNKFAITKASTGRKVEEPELLEAIRLTIINNLLEYHPESSSQLAMGATFGVEPPKEKVDVDIATHIRVDDDGSDRSLLYVETADRPGLLVDLVKIITDINITVESGEFDTEGLLAKAKFHVSYKGKAIIRPLQQVLANSLRYFLRRPTTEEASF >KJB67341 pep chromosome:Graimondii2_0_v6:10:54080832:54084246:-1 gene:B456_010G188200 transcript:KJB67341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMASAANCGVYFSSNTNKLNFPVFDFKNHSWSAAFVTTPPNILEKRSVRLSFSMMGIIPRATSSATAVESDGSFQDTDTVPTPKVIIDQDSDPDATVVEITFGDRLGALLDTMNALKNLGLNVSKANVYLDSSGKHNKFAITKATGRKVEEPELLEAIRLTIINNLLEYHPESSSQLAMGATFGVEPPKEKVDVDIATHIRVDDDGSDRSLLYVETADRPGLLVDLVKIITDINITVESGEFDTEGLLAKAKFHVSYKGKAIIRPLQQVLANSLRYFLRRPTTEEASF >KJB67338 pep chromosome:Graimondii2_0_v6:10:54080754:54084246:-1 gene:B456_010G188200 transcript:KJB67338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMASAANCGVYFSSNTNKLNFPVFDFKNHSWSAAFVTTPPNILEKRSVRLSFSMMGIIPRATSSATAVESDGSFQDTDTVPTPKVIIDQDSDPDATVVEITFGDRLGALLDTMNALKNLGLNVSKANVYLDSSGKHNKFAITKASTGRKVEEPELLEAIRLTIINNLLEYHPESSSQLAMGATFGVEPPKEKLALCGDC >KJB67342 pep chromosome:Graimondii2_0_v6:10:54082119:54084032:-1 gene:B456_010G188200 transcript:KJB67342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMASAANCGVYFSSNTNKLNFPVFDFKNHSWSAAFVTTPPNILEKRSVRLSFSMMGIIPRATSSATAVESDGSFQDTDTVPTPKVIIDQDSDPDATVVEITFGDRLGALLDTMNALKNLGLNVSKANVYLDSSGKHNKFAITKASTGRKVEEPELLEAIRLTIINNLLEYHPVITYSFLLIPNEKSPSKSYQMLICGPGIKFPVSYGCNLRR >KJB67340 pep chromosome:Graimondii2_0_v6:10:54081312:54084032:-1 gene:B456_010G188200 transcript:KJB67340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMASAANCGVYFSSNTNKLNFPVFDFKNHSWSAAFVTTPPNILEKRSVRLSFSMMGIIPRATSSATAVESDGSFQDTDTVPTPKVIIDQDSDPDATVVEITFGDRLGALLDTMNALKNLGLNVSKANVYLDSSGKHNKFAITKASTGRKVEEPELLEAIRLTIINNLLEYHPESSSQLAMGATFGVEPPKEKVDVDIATHIRVDDDGSDRSLLYVETADRPGLLVDLVKIITDINITVESGEFDTEGLLAKAKFHVSYKGKAIIRPLQQVKSNEH >KJB68666 pep chromosome:Graimondii2_0_v6:10:58503635:58509208:-1 gene:B456_010G217000 transcript:KJB68666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase [Source:Projected from Arabidopsis thaliana (AT5G57655) UniProtKB/TrEMBL;Acc:A0A178UDJ9] MAGKISYIFLCMNVIPLLAAAASQACSAQSDAKCSQTGEWNGEFFPGIPKIKYEGPYTKNKFAYKSYNAEEVILGKKMKDWLRFSVAFWHTFRGTGVDPFGAPTIFWPWEDGTNSIAMAKRRMRANFEFINKLGVDRWCFHDRDIAPEGKTLQETNSNLDEVVKLAKTLQGNNIRPLWGTAQLFMHPRYMHGAATSSELGVYVYAAAQVKKAMEVTHYLGGENYVFWGGREGYQTLLNTDMEFELDHMAKFLEAAAAYKRKIGFTGTLLIEPKPQEPTKHQYDWDAATTTNFLRKYGLIGDFKLNIECNHATLSGHSCHHDVETARINGLLGNIDANSGDAQTGWDTDQFLTDTREATMIMLSVIENGH >KJB64835 pep chromosome:Graimondii2_0_v6:10:8757060:8757438:1 gene:B456_010G067500 transcript:KJB64835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CGWQAGLHFLVSIGLFTSVVSIYFYLKIIKLLMIGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVIASTIPGIPMNPIIAIAQVTLF >KJB66114 pep chromosome:Graimondii2_0_v6:10:27392856:27395336:-1 gene:B456_010G127700 transcript:KJB66114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YDYSGYGQSSGMPSEQSTYADIEAAYKCLEESYGTKQEDIILYGQSVGSGPTLDLAARLPRLRAVVLHSPILSGLRVMYPVKRIYWFDIYKNIDKIPLVNCPVLIIHGTSDEVVDCSHGKQLWELCKEKYEPLWLKGENHCDLEQYPEYIRHLKKFISTVEKSPSQRYSSRRSTDQFEQSRKSVDLLDRIRTG >KJB64791 pep chromosome:Graimondii2_0_v6:10:8340140:8345195:-1 gene:B456_010G064900 transcript:KJB64791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFFRRLSKATPATFNNAFRGQPKSGFAGFRFATIATVAGGISTYYCFSDSNLVHLDQVNEETGRKVALKSDKWLEFKLQDTARVSHNTHLFRFSFDPSAKLGLDVASCILTRAPLGQDAEGKTKYVIRPYTPISDPDAKGYFDLLIKVYPEGKMSQHFASLKPGDVVEVKGPIEKLRYSPNMKKHIGMIAGGTGITPMLQVIEAILKNPDDKTQVSLLYANVSPDDILLKQKLDILAASHPNLKVYYTVDNPTKTWKGGAGFISKDMVTKGLPGPAEDTLILVSHFLNYQPFFFYSVCQGME >KJB64790 pep chromosome:Graimondii2_0_v6:10:8340125:8345330:-1 gene:B456_010G064900 transcript:KJB64790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFFRRLSKATPATFNNAFRGQPKSGFAGFRFATIATVAGGISTYYCFSDSNLVHLDQVNEETGRKVALKSDKWLEFKLQDTARVSHNTHLFRFSFDPSAKLGLDVASCILTRAPLGQDAEGKTKYVIRPYTPISDPDAKGYFDLLIKVYPEGKMSQHFASLKPGDVVEVKGPIEKLRYSPNMKKHIGMIAGGTGITPMLQVIEAILKNPDDKTQVSLLYANVSPDDILLKQKLDILAASHPNLKVYYTVDNPTKTWKGGAGFISKDMVTKGLPGPAEDTLILVCGPPGMMEHISGGKAKDYSQGELTGILKELGYTEQMVYKF >KJB65795 pep chromosome:Graimondii2_0_v6:10:21706832:21710360:1 gene:B456_010G113200 transcript:KJB65795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQDNDTKMVVVSFVKNAGKIAFAAKEIDVGEWKGDMLAVGVTEKDMTKDENSKFQNSILKKLDRLLGGLLAEVSSEEDFTGKSGQSTVLRLPGLGSKRVGLIGLGQSASSPSAFRGLGEAVAAAAKTAQANSVAVVLASSEGLSNESKLGIASAIASGAVLGIHEDNRYKSESKKPQLKSLDILGLGTGPDLEKKLKYAEDVSSAIVFGRELVNSPANVLTPAALAEEASKIASSYSDVISANILTAEQCKELKMGSYLGVAAASDNPPYFIHLCYKPLSGPIKAKLALVGKGLTFDSGGYNIKTGPGCSIDVMKIDMGGSAAVLGSAKALGQIKPPGVEVHFIVASCENMISGTGMRPGDILTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACIVALGPSIAGVFTPSDELAKEVFEASEISGEKFWRMPLEESYWESMKSGVADMVNTGGRPGGAITAALFLKQFVDEKVQWMHIDLAGPVWNEKKRVATGFGVSTLVEWVLKNSS >KJB65794 pep chromosome:Graimondii2_0_v6:10:21706038:21710360:1 gene:B456_010G113200 transcript:KJB65794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATIVASLPSFLLASSVAHSSSTSSLIFNKLRSSSRLRFSFAVAPLCSRRAKFMAHTLASANLGLTQPASIENPKIAFAAKEIDVGEWKGDMLAVGVTEKDMTKDENSKFQNSILKKLDRLLGGLLAEVSSEEDFTGKSGQSTVLRLPGLGSKRVGLIGLGQSASSPSAFRGLGEAVAAAAKTAQANSVAVVLASSEGLSNESKLGIASAIASGAVLGIHEDNRYKSESKKPQLKSLDILGLGTGPDLEKKLKYAEDVSSAIVFGRELVNSPANVLTPAALAEEASKIASSYSDVISANILTAEQCKELKMGSYLGVAAASDNPPYFIHLCYKPLSGPIKAKLALVGKGLTFDSGGYNIKTGPGCSIDVMKIDMGGSAAVLGSAKALGQIKPPGVEVHFIVASCENMISGTGMRPGDILTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACIVALGPSIAGVFTPSDELAKEVFEASEISGEKFWRMPLEESYWESMKSGVADMVNTGGRPGGAITAALFLKQFVDEKVQWMHIDLAGPVWNEKKRVATGFGVSTLVEWVLKNSS >KJB65793 pep chromosome:Graimondii2_0_v6:10:21706111:21710360:1 gene:B456_010G113200 transcript:KJB65793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATIVASLPSFLLASSVAHSSSTSSLIFNKLRSSSRLRFSFAVAPLCSRRAKFMAHTLASANLGLTQPASIENPKIAFAAKEIDVGEWKGDMLAVGVTEKDMTKDENSKFQNSILKKLDRLLGGLLAEVSSEEDFTGKSGQSTVLRLPGLGSKRVGLIGLGQSASSPSAFRGLGEAVAAAAKTAQANSVAVVLASSEGLSNESKLGIASAIASGAVLGIHEDNRYKSESKKPQLKSLDILGLGTGPDLEKKLKYAEDVSSAIVFGRELVNSPANVLTPAALAEEASKIASSYSDVISANILTAEQCKELKMGSYLGVAAASDNPPYFIHLCYKPLSGPIKAKLALVGKGLTFDSGGYNIKTGPGCSIDVMKIDMGGSAAVLGSAKALGQIKPPGVEVHFIVASCENMISGTGMRPGDILTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACIVALGPSIAGVFTPSDELAKEVFEASEISGEKFWRMPLEESYWESMKSGVADMVNTGGRPGGAITAALFLKQFVDEKVQWMHIDLAGPVWNEKKRVATGFGVSTLVEWVLKNSS >KJB66975 pep chromosome:Graimondii2_0_v6:10:49664415:49666145:-1 gene:B456_010G170900 transcript:KJB66975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKPWLYWNVFEEINKQVEDIGVVSFVNAFRKASRMADTIAKAVFSFAEFFNQTQGNLCPKYSTPLLFNTRKKKLSKSIRFIHMGKEKEETLGNTNIEDANWLCSLSESELDLLISLKKLALKRASAIGHVQLAKKFDLKMLRALGTSRLFPSFIFVLQTCVNLVFCYDGLALFLWFSQIILVF >KJB66974 pep chromosome:Graimondii2_0_v6:10:49663354:49666284:-1 gene:B456_010G170900 transcript:KJB66974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKPWLYWNVFEEINKQVEDIGVVSFVNAFRKASRMADTIAKAVFSFAEFFNQTQGNLCPKYSTPLLFNTRKKKLSKSIRFIHMGKEKEETLGNTNIEDANWLCSLSESELDLLISLKKLALKRASAIGHVQLAKKFDLKMLRALGFVLMECVKEKVKDLSVIPGMDENVTFLDSSNLLKCKVDEVMSIEELNECIAIETRKEFRKRPRGKDALVRSSKRRKADDDEDEETAEDYYPAFTFQDDK >KJB67934 pep chromosome:Graimondii2_0_v6:10:58787716:58792858:1 gene:B456_010G219000 transcript:KJB67934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYIINVFHSSLFGPLAVLYKFLDYYSTFDWANYCISLTGPVLVSSLPAVVAESPENDGDELLLSRDFLNHCRVAYSGSMQPLEIGPNVFPVKYLNIIDPLKESNNLGRSVSKGSFHRIRCALSFGAQRLSEILMLPGENMGMALEKFFVNTLDRNGRGQRPDVQIPVHAFGTGKSEVCDLSGDYNSYYNGLLYSQWYHNYALNLPYHPTALSSSSSSQTHRYSAWDALRRLVRCKRNSYYRKGTNVFIPRPPYSHPSALQLPAATYGTTKSRGTGTFFPEMNHLSYRKMQANVSSNPVLVQNPLKETDPTGDNGGNGDSHDLDLSTEQFPHLPTTERTMITHQSIPPAPKNPQAKNPSQCLPVLKFGNIYESSSPTDTYSPPPVLTESRLCLPPIEKPKGFSEFDRTITEPFQLKDNNDFPPLVTM >KJB67931 pep chromosome:Graimondii2_0_v6:10:58784231:58792954:1 gene:B456_010G219000 transcript:KJB67931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENLARYICSILQDNQQTSEVLVQDVQYIRAQVKIVKCTVNDIPVDISFNQTAGLSALCFLEKVDQIIGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETMILYIINVFHSSLFGPLAVLYKFLDYYSTFDWANYCISLTGPVLVSSLPAVVAESPENDGDELLLSRDFLNHCRVAYSGSMQPLEIGPNVFPVKYLNIIDPLKESNNLGRSVSKGSFHRIRCALSFGAQRLSEILMLPGENMGMALEKFFVNTLDRNGRGQRPDVQIPVHAFGTGKSEVCDLSGDYNSYYNGLLYSQWYHNYALNLPYHPTALSSSSSSQTHRYSAWDALRRLVRCKRNSYYRKGTNVFIPRPPYSHPSALQLPAATYGTTKSRGTGTFFPEMNHLSYRKMQANVSSNPVLVQNPLKETDPTGDNGGNGDSHDLDLSTEQFPHLPTTERTMITHQSIPPAPKNPQAKNPSQCLPVLKFGNIYESSSPTDTYSPPPVLTESRLCLPPIEKPKGFSEFDRTITEPFQLKDNNDFPPLVTM >KJB67936 pep chromosome:Graimondii2_0_v6:10:58789086:58792858:1 gene:B456_010G219000 transcript:KJB67936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLEIGPNVFPVKYLNIIDPLKESNNLGRSVSKGSFHRIRCALSFGAQRLSEILMLPGENMGMALEKFFVNTLDRNGRGQRPDVQIPVHAFGTGKSEVCDLSGDYNSYYNGLLYSQWYHNYALNLPYHPTALSSSSSSQTHRYSAWDALRRLVRCKRNSYYRKGTNVFIPRPPYSHPSALQLPAATYGTTKSRGTGTFFPEMNHLSYRKMQANVSSNPVLVQNPLKETDPTGDNGGNGDSHDLDLSTEQFPHLPTTERTMITHQSIPPAPKNPQAKNPSQCLPVLKFGNIYESSSPTDTYSPPPVLTESRLCLPPIEKPKGFSEFDRTITEPFQLKDNNDFPPLVTM >KJB67935 pep chromosome:Graimondii2_0_v6:10:58784399:58792858:1 gene:B456_010G219000 transcript:KJB67935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENLARYICSILQDNQQTSEVLVQDVQYIRAQVKIVKCTVNDIPVDISFNQTAGLSALCFLEKVDQIIGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETMILYIINVFHSSLFGPLAVLYKFLDYYSTFDWANYCISLTGPVLVSSLPAVVAESPENDGDELLLSRDFLNHCRVAYSGSMQPLEIGPNVFPVKYLNIIDPLKESNNLGRSVSKGSFHRIRCALSFGAQRLSEILMLPGENMGMALEKFFVNTLDRNGRGQRPDVQIPVHAFGTGKSEVCDLSGDYNSYYNGLLYSQWYHNYALNLPYHPTALSSSSSSQTHRYSAWDALRRLVRCKRNSYYRKGTNVFIPRPPYSHPSALQLPAATYGTTKSRGTGTFFPEMNHLSYRKMQANVSSNPVLVQNPLKETDPTGDNGGNGDSHDLDLSTEQFPHLPTTERTMITHQSIPPAPKNPQAKNPSQCLPVLKFGNIYESSSPTDTYSPPPVLTESRLCLPPIEKPKGFSEFDRTITEPFQLKDNNDFPPLVTM >KJB67933 pep chromosome:Graimondii2_0_v6:10:58787063:58793009:1 gene:B456_010G219000 transcript:KJB67933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFQECVSPSSSLSLSSTSSSSPLPHPSSPSSSLSFSSSFSSSNPHPLSIDTELWLLSEQRTQEILCIIQPSLVSEQNRQNIIAYFQRLIKGYYGIEVFPFGSVPLKTFLPDGDIDLTALSHQNMEENLARYICSILQDNQQTSEVLVQDVQYIRAQVKIVKCTVNDIPVDISFNQTAGLSALCFLEKVDQIIGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETMILYIINVFHSSLFGPLAVLYKFLDYYSTFDWANYCISLTGPVLVSSLPAVVAESPENDGDELLLSRDFLNHCRVAYSGSMQPLEIGPNVFPVKYLNIIDPLKESNNLGRSVSKGSFHRIRCALSFGAQRLSEILMLPGENMGMALEKFFVNTLDRNGRGQRPDVQIPVHAFGTGKSEVCDLSGDYNSYYNGLLYSQWYHNYALNLPYHPTALSSSSSSQTHRYSAWDALRRLVRCKRNSYYRKGTNVFIPRPPYSHPSALQLPAATYGTTKSRGTGTFFPEMNHLSYRKMQANVSSNPVLVQNPLKETDPTGDNGGNGDSHDLDLSTEQFPHLPTTERTMITHQSIPPAPKNPQAKNPSQCLPVLKFGNIYESSSPTDTYSPPPVLTESRLCLPPIEKPKGFSEFDRTITEPFQLKDNNDFPPLVTM >KJB67932 pep chromosome:Graimondii2_0_v6:10:58789295:58792514:1 gene:B456_010G219000 transcript:KJB67932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENLARYICSILQDNQQTSEVLVQDVQYIRAQVKIVKCTVNDIPVDISFNQTAGLSALCFLEKVDQIIGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETMILYIINVFHSSLFGPLAVLYKFLDYYSTFDWANYCISLTGPVLVSSLPAVVAESPENDGDELLLSRDFLNHCRVAYSGSMQPLEIGPNVFPVKYLNIIDPLKESNNLGRSVSKGSFHRIRCALSFGAQRLSEILMLPGENMGMALEKFFVNTLDRNGRGQRPDVQIPVHAFGTGKSEVCDLSGDYNSYYNGLLYSQWYHNYALNLPYHPTALSSSSSSQTHRYSAWDALRRLVRCKRNSYYRKGTNVFIPRPPYSHPSALQLPAATYGTTKSRGTGTFFPEMNHLSYRKMQANVSSNPVLVQNPLKETDPTGDNGGNGDSHDLDLSTEQFPHLPTTERTMITHQSIPPAPKNPQAKNPSQCLPVLKFGNIYESSSPTDTYSPPPVLTESRLCLPPIEKPKGFSEFDR >KJB64291 pep chromosome:Graimondii2_0_v6:10:3841820:3845099:1 gene:B456_010G040900 transcript:KJB64291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTLLAPLLLCVTLLCSSLNVASDSAAEALAILKWKASLQSQNHSVLLSWNTSNDPYTKTSPCAWFGIHCNHADSVTKINLTGYGVKGTLHLFPFLSLPNLAELDLSTNELYGIIPPKISQLSKLTYLDLSFNQFSGKIPPEISHLVHLQTLHLAGNQLNGSIPREIGQLKFLTGLAYRLVSLLLYNNSLSGPIPPELGNLRNLVEVDLDTNRQTGPIPSTFGNLKKLTVLHMFSNSLSGPIPSELGNMESLSEISLYHNNLSGLIPTSFGDLRHLTLARLYGNQLSGPIPVEIGNLNSLVDLELSENQLNGSIPASLGNLSNLEILFLRDNLLSGSIPNEIGNLMKLSMLELDHNNLTGNLPQGIYRGGSLEYFTANDNQLTGPIPQGLKNCTRLKRVYLERNRLKGNLSEDLGVYPNLKFSELSDNEFYGEVSSNWGNNLSGKIPAEIGNSRQIQRLDLSSNHLVGEIPKEIAKLTSLLDLRLNGNQLSGSVPLELGLMSKLLYLDLSANQLSKSIPETIGNLFMSFYLNLSINQFSQRIPIQVGKLTRLVQLDLSHNMLSGEIPGEFQSLQSLETLNLSHNNLSGEIPASLEKLRGLYTVDISYNELQVQELGRNKGLCGNARGLPPCTPFSKKGHNNNKTVLVVMFSLLSVSCLLNSSIALLFAFKRKKDTDEERQSNASDEIFFSVTPFNGRILYKEITRTTKEFDAKYCIGKGGYGNVYKAELSSGDVVAVKKFHPLHTGEMADQRQFLNEVIPS >KJB64290 pep chromosome:Graimondii2_0_v6:10:3841820:3844973:1 gene:B456_010G040900 transcript:KJB64290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTLLAPLLLCVTLLCSSLNVASDSAAEALAILKWKASLQSQNHSVLLSWNTSNDPYTKTSPCAWFGIHCNHADSVTKINLTGYGVKGTLHLFPFLSLPNLAELDLSTNELYGIIPPKISQLSKLTYLDLSFNQFSGKIPPEISHLVHLQTLHLAGNQLNGSIPREIGQLKFLTGLAYRLVSLLLYNNSLSGPIPPELGNLRNLVEVDLDTNRQTGPIPSTFGNLKKLTVLHMFSNSLSGPIPSELGNMESLSEISLYHNNLSGLIPTSFGDLRHLTLARLYGNQLSGPIPVEIGNLNSLVDLELSENQLNGSIPASLGNLSNLEILFLRDNLLSGSIPNEIGNLMKLSMLELDHNNLTGNLPQGIYRGGSLEYFTANDNQLTGPIPQGLKNCTRLKRVYLERNRLKGNLSEDLGVYPNLKFSELSDNEFYGEVSSNWGNNLSGKIPAEIGNSRQIQRLDLSSNHLVGEIPKEIAKLTSLLDLRLNGNQLSGSVPLELGLMSKLLYLDLSANQLSKSIPETIGNLFMSFYLNLSINQFSQRIPIQVGKLTRLVQLDLSHNMLSGEIPGEFQSLQSLETLNLSHNNLSGEIPASLEKLRGLYTVDISYNELQVQELGRNKGLCGNARGLPPCTPFSKKGHNNNKTVLVVMFSLLSVSCLLNSSIALLFAFKRKKDTDEERQSNASDEIFFSVTPFNGRILYKEITRTTKEFDAKYCIGKGGYGNVYKAELSSGDVVAVKKFHPLHTGEMADQRQFLNEVRALVETRHRNIVKFYGFCSSAGHSFLVYKYLERGSLASVLSKNEESKKLDWNKRVNIVKGVVNALSYLHHDCSPPIVHRDITSNNILLDLEYEAHLSDFGTAKLLNPDSSNWSNIAGTYGYIAPELSYTMQVTEKCDVFSFGVLALELIVGAYPGEFLSNLSILTAESIPLNNVLDQSLSPPPPEVVNKLIFILKLAVSCLNINPKSRPTMHTVSQLVFDHI >KJB65832 pep chromosome:Graimondii2_0_v6:10:22300601:22302236:-1 gene:B456_010G115300 transcript:KJB65832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FWCFFRVVNEIHCSATKKNKEWQEKLPIVVLKAEEIMYSKANSEAEYMDLKTLWDRTNDAINTIIRRDESNETGELLQPCIEAALNLGCTPRRTLRSQRNCNPRCYLNPSTREVDDIARGNPLANSRSMTRCSGFMKPETVNVTHLGFEYQKHTNCTTDKFPFVFENGSLPINKPCLPIEKYPPSLYSVFPLFYGNRLRYEEMQHDLSVFPKLVSNTVEPSKTGYIHNLLFPNVDSSNKMKHTGVTNTSKPHELACDLSLRLGPLSTSSPSVGTSQPHEIGKTGSTFDLTPEIDKTKSSFLRSKRDDPLKSSSNGCSIEGEDVTMRKGKTGYCPAVDQQFCLPSNLPYSHLSGRMESAGS >KJB65833 pep chromosome:Graimondii2_0_v6:10:22300601:22302235:-1 gene:B456_010G115300 transcript:KJB65833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKTLWDRTNDAINTIIRRDESNETGELLQPCIEAALNLGCTPRRTLRSQRNCNPRCYLNPSTREVDDIARGNPLANSRSMTRCSGFMKPETVNVTHLGFEYQKHTNCTTDKFPFVFENGSLPINKPCLPIEKYPPSLYSVFPLFYGNRLRYEEMQHDLSVFPKLVSNTVEPSKTGYIHNLLFPNVDSSNKMKHTGVTNTSKPHELACDLSLRLGPLSTSSPSVGTSQPHEIGKTGSTFDLTPEIDKTKSSFLRSKRDDPLKSSSNGCSIEGEDVTMRKGKTGYCPAVDQQFCLPSNLPYSHLSGRMESAGS >KJB64653 pep chromosome:Graimondii2_0_v6:10:7791646:7792515:-1 gene:B456_010G062600 transcript:KJB64653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYQVSAEKLRQGILGGLKSEKVIKKMGRKSSMAMAAVFVVLASTLTTYASTTYTVGDSTGWQVPTSNSNLYDDWADNKTFVVGDDLLFKFITGEHDVAEVTEPGYDACTNTDTISTDNKGPVKITLKRTGDYYFICTYPGHCPAGQKLKVQVRSETGDTTPGVLSPPRNVASSLVVTISPVFVSIATFVLLC >KJB65708 pep chromosome:Graimondii2_0_v6:10:20596167:20598491:-1 gene:B456_010G109600 transcript:KJB65708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTQLPRFHYLVFKNPPPSFKFFPKPKVPIKSTASTLFSAPKSTLSTTEPIPITDFSLPDEPKLEISLDKLFIPPETQVSCDDSSLSTRILKGSNIVLSKYARDAQVVQAEFVKSSVRTEDCPSDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFRINDSWYLVDLPGYGNFERIGESLPKTILSTVQP >KJB65707 pep chromosome:Graimondii2_0_v6:10:20595351:20598595:-1 gene:B456_010G109600 transcript:KJB65707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTQLPRFHYLVFKNPPPSFKFFPKPKVPIKSTASTLFSAPKSTLSTTEPIPITDFSLPDEPKLEISLDKLFIPPETQVSCDDSSLSTRILKGSNIVLSKYARDAQVVQAEFVKSSVRTEDCPSDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFRINDSWYLVDLPGYGSQ >KJB65705 pep chromosome:Graimondii2_0_v6:10:20595351:20598595:-1 gene:B456_010G109600 transcript:KJB65705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTQLPRFHYLVFKNPPPSFKFFPKPKVPIKSTASTLFSAPKSTLSTTEPIPITDFSLPDEPKLEISLDKLFIPPETQVSCDDSSLSTRILKGSNIVLSKYARDAQVVQAEFVKSSVRTEDCPSDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGPNDADIYQM >KJB65703 pep chromosome:Graimondii2_0_v6:10:20595328:20598644:-1 gene:B456_010G109600 transcript:KJB65703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTQLPRFHYLVFKNPPPSFKFFPKPKVPIKSTASTLFSAPKSTLSTTEPIPITDFSLPDEPKLEISLDKLFIPPETQVSCDDSSLSTRILKGSNIVLSKYARDAQVVQAEFVKSSVRTEDCPSDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFRINDSWYLVDLPGYGYASAPQELRTDWGKFTKDYFINRSTLVSVFLLIDASIPAKKIDLEYASWLGQNQVPMTLIFTKCDKRKKKKNGGKRPEENVKDFQELICGFFQTVPPWIMTSSVTNQGRDEILLHMAQLRNYWLKH >KJB65706 pep chromosome:Graimondii2_0_v6:10:20596341:20598491:-1 gene:B456_010G109600 transcript:KJB65706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTQLPRFHYLVFKNPPPSFKFFPKPKVPIKSTASTLFSAPKSTLSTTEPIPITDFSLPDEPKLEISLDKLFIPPETQVSCDDSSLSTRILKGSNIVLSKYARDAQVVQAEFVKSSVRTEDCPSDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFRINDSWYLVDLPGYGWIDIDPLWHRFLGFVFCFVRPLGLLIRSEPLCSSVRGELCTFFLLNRCILLCFE >KJB65704 pep chromosome:Graimondii2_0_v6:10:20597005:20598491:-1 gene:B456_010G109600 transcript:KJB65704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTQLPRFHYLVFKNPPPSFKFFPKPKVPIKSTASTLFSAPKSTLSTTEPIPITDFSLPDEPKLEISLDKLFIPPETQVSCDDSSLSTRILKGSNIVLSKYARDAQVVQAEFVKSSVRTEDCPSDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPDAMHQPFPHQ >KJB68046 pep chromosome:Graimondii2_0_v6:10:61909057:61910121:1 gene:B456_010G253300 transcript:KJB68046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVQSEKYCQGFTKLLTIFLSILLLLLGTILLILWLSLRPHRPTFHIIDFTVPGFAQPSGFNDSRITFNVTARNTNKHNGIYYDSVAGLVFYRDQQIGWTPLMEPFLQGPKRTTMLYGKFCGVTLTVTGKRWPEFINARKQGMVVFRLQITSVIKYKIRTWDAKHHKMHVNCDVGVGPKGSILPAWKNKKCHAHFG >KJB65401 pep chromosome:Graimondii2_0_v6:10:15386836:15390007:1 gene:B456_010G094000 transcript:KJB65401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACEQISYRKSTRVGIIGAGISGIAAAKQLSHHNPIVFEATDSIGGVWKHCSFASTKLQTPPCDFEFSDYPWPQRDNSTFPSYLDILHYLHAYATHFDVLKFVRFNSRVVEIRYIGGGDGRETTNLDVAAGPGEFGPLLSGHPVWEVAVETHPPKTIERYGFELLVVCIGKYGDIPKMPVFPPNKGQEVYGGKVMHTLDYSKLDKEAARELLKGKKVAVVGYKKSAIDLATECAQANQGADGQPCTMVIRTLHWTVPAYWIWGLPFFLFYSTRSSQFLHQRPNQGLLRNLLFPLLSPVRKAVSKFIESYLVWKLPLVKYGLKPDHPFEEDYASCQMAILPENFFSEADNGKIMFKKTPRWWFWNGGLEFEDNTKLEADVVLLATGFEGKKKIQDLLPQPFSSLIVDSSGIMPLYRGTIHPLIPNMAFVGYIESVSNLQTAELRCKWLSRLADGLFKLPSIKKMLDQTSEEIEIMKKTTRFYKRHCISTFSINHSDEICEEMGWKCWRKNNWVLEAFAPYSSQDYGEED >KJB66575 pep chromosome:Graimondii2_0_v6:10:37716397:37717012:1 gene:B456_010G144200 transcript:KJB66575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHKKKNYLRFPINIVILNFKYFYYLMEPLLRNQQSNLHSVLFHSLLLGFSATMKENTFYSQFLPWSSVNNVREYVGYGTMISFHSFPSANLSIMSNWYSSSQQVSTFMVFNIYFKHF >KJB68282 pep chromosome:Graimondii2_0_v6:10:60666304:60671090:1 gene:B456_010G236300 transcript:KJB68282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARLVVFPIKGKIWCFSRSIDQSASAFNSANTPSTVKELWKKISSNSKPLNANAELLVDFISNKMNNAWVGLEKAPEGSFKNKLHGFGLQLLARVKPSEILLKSISKEVTNVRITYPPSLNSRLVRRRLRHIAMRGTVIHRKYFYGSVTLLPLTTALAVLPLPNIPFFWVLFRTYSHWRALQGSEKLLELVSDYSRAQNPSAEMMEASKELEELLRKGYENGSVNEKAISDICIQFKLNKIDVLKWRDLV >KJB68283 pep chromosome:Graimondii2_0_v6:10:60666525:60669792:1 gene:B456_010G236300 transcript:KJB68283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARLVVFPIKGKIWCFSRSIDQSASAFNSANTPSTVKELWKKISSNSKPLNANAELLVDFISNKMNNAWVGLEKAPEGSFKNKLHGFGLQLLARVKPSEILLKSISKEVTNVRITYPPSLNSRLVRRRLRHIAMRGTVIHRKYFYGSVTLLPLTTALAVLPLPNIPFFWVLFRTYSHWRALQVRITVPRDTLGWFKRYCSREVC >KJB64232 pep chromosome:Graimondii2_0_v6:10:3562306:3565998:1 gene:B456_010G038200 transcript:KJB64232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSFLSTTPSLVSLVPVSDPSLNSPSIAATRYLTRPVAIRCSNNNTQSVDVCGEYGFHRRDVLKCIGASVGMELLASSGSWVEMASAADLIQRRQRSEFLSSIKETLAKAMKVCLHILFRASKVKTSICVCRHNLRFRIEFVMLGNPDLIPSMLTLALNDAMTYDKATKSGGSNGSIRFSSELSRPENKGLAAAMSLLDEAKKEIDSYSKGGPISYADLIQYAAQAAVKSTFLAAAIRKCGGNEDKGRTLYAAYGSSGQWGLFDRQFGRSDAEEPDPEGRVPIWEKASVQEMKDKFKEIGFGPRQLAVMSAFLGPEQSATEALLVNDPEVIPWVQKYQRSRETVSQTDYEVDLITTLTKLSCLGQQINYEAYTYPVKKIELSKLKL >KJB64229 pep chromosome:Graimondii2_0_v6:10:3562306:3565774:1 gene:B456_010G038200 transcript:KJB64229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSFLSTTPSLVSLVPVSDPSLNSPSIAATRYLTRPVAIRCSNNNTQSVDVCGEYGFHRRDVLKCIGASVGMELLASSGSWVEMASAADLIQRRQRSEFLSSIKETLAKAMKGNPDLIPSMLTLALNDAMTYDKATKSGGSNGSIRFSSELSRPENKGLAAAMSLLDEAKKEIDSYSKGGPISYADLIQYAAQAAVKSTFLAAAIRKCGGNEDKGRTLYAAYGSSGQWGLFDRQFGRSDAEEPDPEGRVPIWEKASVQEMKDKFKEIGFGPRQVSLFSLLG >KJB64224 pep chromosome:Graimondii2_0_v6:10:3562306:3565364:1 gene:B456_010G038200 transcript:KJB64224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSFLSTTPSLVSLVPVSDPSLNSPSIAATRYLTRPVAIRCSNNNTQSVDVCGEYGFHRRDVLKCIGASVGMELLASSGSWVEMASAADLIQRRQRSEFLSSIKETLAKAMKGNPDLIPSMLTLALNDAMTYDKATKSGGSNGSIRFSSELSRPENKGLAAAMSLLDEAKKEIDSYSKGGPISYADLIQYAAQAAVKSTFLAAAIRKCGGNEDKGRTLYAAYGSSGQWGLFDRQFGRSDAEEPDPEGRVPIWEKASVQEMKDKFKEIGFGPRQLAVMSAFLGPEQSATEALLVNDPEVIPWVQKYQRSRETVSQTDYEVRSLFLLLYFNVARA >KJB64225 pep chromosome:Graimondii2_0_v6:10:3562306:3565774:1 gene:B456_010G038200 transcript:KJB64225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSFLSTTPSLVSLVPVSDPSLNSPSIAATRYLTRPVAIRCSNNNTQSVDVCGEYGFHRRDVLKCIGASVGMELLASSGSWVEMASAADLIQRRQRSEFLSSIKETLAKAMKGNPDLIPSMLTLALNDAMTYDKATKSGGSNGSIRFSSELSRPENKGLAAAMSLLDEAKKEIDSYSKGGPISYADLIQYAAAIRKCGGNEDKGRTLYAAYGSSGQWGLFDRQFGRSDAEEPDPEGRVPIWEKASVQEMKDKFKEIGFGPRQLAVMSAFLGPEQSATEALLVNDPEVIPWVQKYQRSRETVSQTDYEVDLITTLTKLSCLGQQINYEAYTYPVKKIELSKLKL >KJB64226 pep chromosome:Graimondii2_0_v6:10:3562306:3565774:1 gene:B456_010G038200 transcript:KJB64226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSFLSTTPSLVSLVPVSDPSLNSPSIAATRYLTRPVAIRCSNNNTQSVDVCGEYGFHRRDVLKCIGASVGMELLASSGSWVEMASAADLIQRRQRSEFLSSIKETLAKAMKVCLHILFRASKVKTSICGNPDLIPSMLTLALNDAMTYDKATKSGGSNGSIRFSSELSRPENKGLAAAMSLLDEAKKEIDSYSKGGPISYADLIQYAAQAAVKSTFLAAAIRKCGGNEDKGRTLYAAYGSSGQWGLFDRQFGRSDAEEPDPEGRVPIWEKASVQEMKDKFKEIGFGPRQLAVMSAFLGPEQSATEALLVNDPEVIPWVQKYQRSRETVSQTDYEVDLITTLTKLSCLGQQINYEAYTYPVKKIELSKLKL >KJB64223 pep chromosome:Graimondii2_0_v6:10:3562182:3565998:1 gene:B456_010G038200 transcript:KJB64223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSFLSTTPSLVSLVPVSDPSLNSPSIAATRYLTRPVAIRCSNNNTQSVDVCGEYGFHRRDVLKCIGASVGMELLASSGSWVEMASAADLIQRRQRSEFLSSIKETLAKAMKGNPDLIPSMLTLALNDAMTYDKATKSGGSNGSIRFSSELSRPENKGLAAAMSLLDEAKKEIDSYSKGGPISYADLIQYAAQAAVKSTFLAAAIRKCGGNEDKGRTLYAAYGSSGQWGLFDRQFGRSDAEEPDPEGRVPIWEKASVQEMKDKFKEIGFGPRQLAVMSAFLGPEQSATEALLVNDPEVIPWVQKYQRSRETVSQTDYEVDLITTLTKLSCLGQQINYEAYTYPVKKIELSKLKL >KJB64230 pep chromosome:Graimondii2_0_v6:10:3562457:3565035:1 gene:B456_010G038200 transcript:KJB64230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSFLSTTPSLVSLVPVSDPSLNSPSIAATRYLTRPVAIRCSNNNTQSVDVCGEYGFHRRDVLKCIGASVGMELLASSGSWVEMASAADLIQRRQRSEFLSSIKETLAKAMKGNPDLIPSMLTLALNDAMTYDKATKSGGSNGSIRFSSELSRPENKGLAAAMSLLDEAKKEIDSYSKGGPISYADLIQYAAQAAVKSTFLAAAIRKCGGNEDKGRTLYAAYGSSGQVFSFLLAYYISLSQNSISSHLIRYRCFLLEFVVLNTVNVIEVGTSLLLILLLLYCADSGACLTGSLDEVMLKSQTQREECLFGRKLVCRK >KJB64227 pep chromosome:Graimondii2_0_v6:10:3562306:3565774:1 gene:B456_010G038200 transcript:KJB64227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSFLSTTPSLVSLVPVSDPSLNSPSIAATRYLTRPVAIRCSNNNTQSVDVCGEYGFHRRDVLKCIGASVGMELLASSGSWVEMASAADLIQRRQRSEFLSSIKETLAKAMKGNPDLIPSMLTLALNDAMTYDKATKSGGSNGSIRFSSELSRPENKGLAAAMSLLDEAKKEIDSYSKGGPISYADLIQYAAQAAVKSTFLAAAIRKCGGNEDKGRTLYAAYGSSGQVFSFLLAYYISLSQNSISSHLIRYRCFLLEFVVLNTVNVIEVGTSLLLILLLLYCADSGACLTGSLDEVMLKSQTQREECLFGRKLVCRK >KJB64228 pep chromosome:Graimondii2_0_v6:10:3562306:3565774:1 gene:B456_010G038200 transcript:KJB64228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSFLSTTPSLVSLVPVSDPSLNSPSIAATRYLTRPVAIRCSNNNTQSVDVCGEYGFHRRDVLKCIGASVGMELLASSGSWVEMASAADLIQRRQRSEFLSSIKETLAKAMKGNPDLIPSMLTLALNDAMTYDKATKSGGSNGSIRFSSELSRPENKGLAAAMSLLDEAKKEIDSYSKGGPISYADLIQYAAQAAVKSTFLAAAIRKCGGNEDKGRTLYAAYGSSGQWGLFDRQFGRSDAEEPDPEGRVPIWEKASVQEMKDKFKEIGFGPRQVVPHLCFPVTIMHAP >KJB64231 pep chromosome:Graimondii2_0_v6:10:3562306:3565774:1 gene:B456_010G038200 transcript:KJB64231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSFLSTTPSLVSLVPVSDPSLNSPSIAATRYLTRPVAIRCSNNNTQSVDVCGEYGFHRRDVLKCIGASVGMELLASSGSWVEMASAADLIQRRQRSEFLSSIKETLAKAMKGNPDLIPSMLTLALNDAMTYDKATKSGGSNGSIRFSSELSRPENKGLAAAMSLLDEAKKEIDSYSKGGPISYADLIQYAAQAAVKSTFLAAAIRKCGGNEDKGRTLYAAYGSMGLV >KJB65371 pep chromosome:Graimondii2_0_v6:10:14625769:14626573:-1 gene:B456_010G092200 transcript:KJB65371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGNKLMKLKSVLKKLNSFNHKQSRPASSSVVAASDTYEDVSSDVNLQPVYVGKSRRRYLISSDIVENPLFRELAEWSSGENDSDAVINVSCEVVLFEHLLWMLENADPHQPGSLAELVEFYAC >KJB68352 pep chromosome:Graimondii2_0_v6:10:61043610:61047592:1 gene:B456_010G241000 transcript:KJB68352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNSVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >KJB68356 pep chromosome:Graimondii2_0_v6:10:61043688:61047592:1 gene:B456_010G241000 transcript:KJB68356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >KJB68355 pep chromosome:Graimondii2_0_v6:10:61043677:61047592:1 gene:B456_010G241000 transcript:KJB68355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNSVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >KJB68354 pep chromosome:Graimondii2_0_v6:10:61043682:61047592:1 gene:B456_010G241000 transcript:KJB68354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNSVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >KJB68353 pep chromosome:Graimondii2_0_v6:10:61044958:61047592:1 gene:B456_010G241000 transcript:KJB68353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >KJB64365 pep chromosome:Graimondii2_0_v6:10:4747215:4748146:1 gene:B456_010G045300 transcript:KJB64365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGYQIAEIYVMRKLHKEKMKREEEERAKSEDVGFAGKKSSGCCFPSMFKKVHPGHASTLDHVRNEVKDDDKNKAC >KJB66770 pep chromosome:Graimondii2_0_v6:10:44235123:44241253:1 gene:B456_010G157500 transcript:KJB66770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLFGMGKDSAVDVSPQSFGHSASASASVSAAPVTGPARPVRLLYCDEKGKFRMDPEAVAALQLVKEPIGVVSVCGRARQGKSFILNQLLGRSSGFQVAPTHRPCTKGLWLWSAPLKRTALDGTEYNLLLLDSEGIDAYDQTGTYSTQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTQMTKHIRVKAGGRTTSASELGQFSPIFVWLLRDFYLDLVEDNRKITPRDYLELALRPVQGSGKDIAAKNEIRDSIRALFPDRECFTLVRPLNNENDLQRLDQISLDKLRPEFRAGLDALTKFVFERTRPKQVGGTVLTGPVLIGITQSYLDALNNGAVPTISSSWQSVEEAECRRAYDSAAEIYMSTFDRTKPPEEVALREAHDEAIQRALAAYNASAVGVGSMRKKYEELLQKFFRKAFEDYKRNAFMEADMRCSNAIETMGKRLRAACHASDASIDNVVKVLDALLSEYEASCHGPGKWQKLAVFLQQSMEGPVLDLTKRLIDQIGSEKSSLILKCRSIEDKMKLLSKQLEDSEKYKSEYLKRYDDAINDKKKLADEYANRMNNLQADNSSLKERCSSLMKAVDSAKQEMLDWRRKYDQVLSKQKAKEDQATSEIEVLKSRSTAAEARLAAAKEQAESAQEEAEEWKRKYDFAVREAKAALEKAAIVQERSSKETQLREDTLREEFSHTLADKEEEIKEKSAKIEHAEQSLTTLKLELKAAEAKIKSYDTEVSSLKVEIRELIDKVENTNAKALSFEREAKILEQEKTHLEQKYSSEFKRFAEVEERCRSAEKEAKKATELADRARAEAVAAQKEKSEIQRMAMERLAQIERAERQIENLERQKTDLEDELHRIRLSEMDAVSKVGLLEARVEEREKEIESLLKTNNDQRSSTVKVLQDLLDSERVAHADANKRAEVLSLQLQAAQAKLDSLQQELTSVHLNETALDSKLKTASHGKRLRADDVEMGVGSVQDIDMSDRILRANKKSRSTTSPVLYSQSGDGGSVFKGGDDNQNPQNNQEDYTKFTVQKLKQELTKHNFGAELLALRNPNKKEILALYEKCVLQKS >KJB66769 pep chromosome:Graimondii2_0_v6:10:44235123:44241220:1 gene:B456_010G157500 transcript:KJB66769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLFGMGKDSAVDVSPQSFGHSASASASVSAAPVTGPARPVRLLYCDEKGKFRMDPEAVAALQLVKEPIGVVSVCGRARQGKSFILNQLLGRSSGFQVAPTHRPCTKGLWLWSAPLKRTALDGTEYNLLLLDSEGIDAYDQTGTYSTQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTQMTKHIRVKAGGRTTSASELGQFSPIFVWLLRDFYLDLVEDNRKITPRDYLELALRPVQGSGKDIAAKNEIRDSIRALFPDRECFTLVRPLNNENDLQRLDQISLDKLRPEFRAGLDALTKFVFERTRPKQVGGTVLTGPVLIGITQSYLDALNNGAVPTISSSWQSVEEAECRRAYDSAAEIYMSTFDRTKPPEEVALREAHDEAIQRALAAYNASAVGVGSMRKKYEELLQKFFRKAFEDYKRNAFMEADMRCSNAIETMGKRLRAACHASDASIDNVVKVLDALLSEYEASCHGPGKWQKLAVFLQQSMEGPVLDLTKRLIDQIGSEKSSLILKCRSIEDKMKLLSKQLEDSEKYKSEYLKRYDDAINDKKKLADEYANRMNNLQADNSSLKERCSSLMKAVDSAKQEMLDWRRKYDQVLSKQKAKEDQATSEIEVLKSRSTAAEARLAAAKEQAESAQEEAEEWKRKYDFAVREAKAALEKAAIVQERSSKETQLREDTLREEFSHTLADKEEEIKEKSAKIEHAEQSLTTLKLELKAAEAKIKSYDTEVSSLKVEIRELIDKVENTNAKALSFEREAKILEQEKTHLEQKYSSEFKRFAEVEERCRSAEKEAKKATELADRARAEAVAAQKEKSEIQRMAMERLAQIERAERQIENLERQKTDLEDELHRIRLSEMDAVSKVGLLEARVEEREKEIESLLKTNNDQRSSTVKVLQDLLDSERVAHADANKRAEVLSLQLQAAQAKLDSLQQELTSVHLNETALDSKLKTASHGKRLRADDVEMGGVMTTRIRKTIRRIIRSSQYKNLSRN >KJB65901 pep chromosome:Graimondii2_0_v6:10:23406049:23411759:-1 gene:B456_010G118000 transcript:KJB65901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDKELELQLMEAGNMLVEPPSSVDELIPLLDQVESCLSRVEQSPSQAMQNALSPSLKALVAEQLLRHPDNDVKVAVAACVSEITRITAPEAPYDDDQMGEIFQLIVSSFEHLSDKSSRSFIKRISILETVAKVRSCVVMLDLECDALIIEMFQHFLKEIRDHHSEIVFTSMATIMTLVLEESEDIPVELLSPILVSVKRDNEEVLPVARRLAERVLENCASKLKPYLTQAVENFGISFDDYSSVVASICQVSPSAVDQSDTVAEKHVDDESKPAVSPLDKEDKEIPEEAVSTGQGLANEKSPKSVVSNGRVQTAEDNLLTDASTVKKQEDDHLCDKSKNDDTSTVAEPDRLEAEKIVNSDSRSEESTLETGKKSDSKSTKPSDNFHVDEKETETSLDLKNDSKDDAGSLRDNMSVDGAVSSENKRETDAQSSAPKPTEDESAVVASPTPSGSIPDESHSEKAAQPKSIPDESRSEKAAQPKSIPDESQSKKAAQPKTVPDESHSKKAAQPERKESLSEETTPSVDDVPKKVSEVMSDSEVKASKQSGKKVATVISNKVNTAVDVDESKKESGSASGSEAKSRTQSSKKVSSSSNNLDEPLSRQLEDKKKRARGKVPEKDGTKTSTMNDNEVLSFWLLSSSLTILSRLFI >KJB65899 pep chromosome:Graimondii2_0_v6:10:23403579:23411759:-1 gene:B456_010G118000 transcript:KJB65899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDKELELQLMEAGNMLVEPPSSVDELIPLLDQVESCLSRVEQSPSQAMQNALSPSLKALVAEQLLRHPDNDVKVAVAACVSEITRITAPEAPYDDDQMGEIFQLIVSSFEHLSDKSSRSFIKRISILETVAKVRSCVVMLDLECDALIIEMFQHFLKEIRDHHSEIVFTSMATIMTLVLEESEDIPVELLSPILVSVKRDNEEVLPVARRLAERVLENCASKLKPYLTQAVENFGISFDDYSSVVASICQVSPSAVDQSDTVAEKHVDDESKPAVSPLDKEDKEIPEEAVSTGQGLANEKSPKSVVSNGRVQTAEDNLLTDASTVKKQEDDHLCDKSKNDDTSTVAEPDRLEAEKIVNSDSRSEESTLETGKKSDSKSTKPSDNFHVDEKETETSLDLKNDSKDDAGSLRDNMSVDGAVSSENKRETDAQSSAPKPTEDESAVVASPTPSGSIPDESHSEKAAQPKSIPDESRSEKAAQPKSIPDESQSKKAAQPKTVPDESHSKKAAQPERKESLSEETTPSVDDVPKKVSEVMSDSEVKASKQSGKKVATVISNKVNTAVDVDESKKESGSASGSEAKSRTQSSKKVSSSSNNLDEPLSRQLEDKKKRARGKVPEKDGTKTSTMNDNEEVVASPKSVKPNKHDSHMEENSKTSTKRKYTTNKEKASGSTEYGENLVGLKVKVWWPKDRAFYEGVIHSYDTVKKKHKVNYDDGDQEILNLKREKWEVIEDESGPDEVGSALIYR >KJB65900 pep chromosome:Graimondii2_0_v6:10:23403579:23411944:-1 gene:B456_010G118000 transcript:KJB65900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIMTLVLEESEDIPVELLSPILVSVKRDNEEVLPVARRLAERVLENCASKLKPYLTQAVENFGISFDDYSSVVASICQVSPSAVDQSDTVAEKHVDDESKPAVSPLDKEDKEIPEEAVSTGQGLANEKSPKSVVSNGRVQTAEDNLLTDASTVKKQEDDHLCDKSKNDDTSTVAEPDRLEAEKIVNSDSRSEESTLETGKKSDSKSTKPSDNFHVDEKETETSLDLKNDSKDDAGSLRDNMSVDGAVSSENKRETDAQSSAPKPTEDESAVVASPTPSGSIPDESHSEKAAQPKSIPDESRSEKAAQPKSIPDESQSKKAAQPKTVPDESHSKKAAQPERKESLSEETTPSVDDVPKKVSEVMSDSEVKASKQSGKKVATVISNKVNTAVDVDESKKESGSASGSEAKSRTQSSKKVSSSSNNLDEPLSRQLEDKKKRARGKVPEKDGTKTSTMNDNEEVVASPKSVKPNKHDSHMEENSKTSTKRKYTTNKEKASGSTEYGENLVGLKVKVWWPKDRAFYEGVIHSYDTVKKKHKVNYDDGDQEILNLKREKWEVIEDESGPDEEEAADHPSPAGSSEMPQKKKAKTAEPPSKKTKMDASPKRGGGTSSGKSKGVAAKSFRKTKEDGKVDSKSKDAPKSVSKSDSDNVTKSKDHITKSGSKSVDTASKAGNKSKNEDGGDTPKSTKSKHDGSVTPKVSTKSKQDTSKTSKSKQETPRVSSNSKGKPVKSGAKSNTNGTGKSKSGSSKVEESESMKETSTDSAKLVESTKRKSPSSIKGHGNDSVSGKKRRR >KJB65898 pep chromosome:Graimondii2_0_v6:10:23403564:23412011:-1 gene:B456_010G118000 transcript:KJB65898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDKELELQLMEAGNMLVEPPSSVDELIPLLDQVESCLSRVEQSPSQAMQNALSPSLKALVAEQLLRHPDNDVKVAVAACVSEITRITAPEAPYDDDQMGEIFQLIVSSFEHLSDKSSRSFIKRISILETVAKVRSCVVMLDLECDALIIEMFQHFLKEIRDHHSEIVFTSMATIMTLVLEESEDIPVELLSPILVSVKRDNEEVLPVARRLAERVLENCASKLKPYLTQAVENFGISFDDYSSVVASICQVSPSAVDQSDTVAEKHVDDESKPAVSPLDKEDKEIPEEAVSTGQGLANEKSPKSVVSNGRVQTAEDNLLTDASTVKKQEDDHLCDKSKNDDTSTVAEPDRLEAEKIVNSDSRSEESTLETGKKSDSKSTKPSDNFHVDEKETETSLDLKNDSKDDAGSLRDNMSVDGAVSSENKRETDAQSSAPKPTEDESAVVASPTPSGSIPDESHSEKAAQPKSIPDESRSEKAAQPKSIPDESQSKKAAQPKTVPDESHSKKAAQPERKESLSEETTPSVDDVPKKVSEVMSDSEVKASKQSGKKVATVISNKVNTAVDVDESKKESGSASGSEAKSRTQSSKKVSSSSNNLDEPLSRQLEDKKKRARGKVPEKDGTKTSTMNDNEEVVASPKSVKPNKHDSHMEENSKTSTKRKYTTNKEKASGSTEYGENLVGLKVKVWWPKDRAFYEGVIHSYDTVKKKHKVNYDDGDQEILNLKREKWEVIEDESGPDEEEAADHPSPAGSSEMPQKKKAKTAEPPSKKTKMDASPKRGGGTSSGKSKGVAAKSFRKTKEDGKVDSKSKDAPKSVSKSDSDNVTKSKDHITKSGSKSVDTASKAGNKSKNEDGGDTPKSTKSKHDGSVTPKVSTKSKQDTSKTSKSKQETPRVSSNSKGKPVKSGAKSNTNGTGKSKSGSSKVEESESMKETSTDSAKLVESTKRKSPSSIKGHGNDSVSGKKRRR >KJB67801 pep chromosome:Graimondii2_0_v6:10:57823128:57824576:-1 gene:B456_010G212000 transcript:KJB67801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVMGGNTVHPNSINKSEVLDVKPVRTLVPIFPEPSEGPPFVCVPPNGPFPSGFSPFFPFSGSNPNPNPNQNDINPTPAPVRPFTAETSNGQNVSPMGTHVNQGQKPAPSYPVKKRGRGRPRTRFP >KJB67803 pep chromosome:Graimondii2_0_v6:10:57823056:57825013:-1 gene:B456_010G212000 transcript:KJB67803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVMGGNTVHPNSINKSEVLDVKPVRTLVPIFPEPSEGPPFVCVPPNGPFPSGFSPFFPFSGSNPNPNPNQNDINPTPAPVRPFTAETSNGQNVSPMGTHVNQGQKPAPSYPVKKRGRGRPRTRFP >KJB67802 pep chromosome:Graimondii2_0_v6:10:57823098:57824913:-1 gene:B456_010G212000 transcript:KJB67802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVMGGNTVHPNSINKSEVLDVKPVRTLVPIFPEPSEGPPFVCVPPNGPFPSGFSPFFPFSGSNPNPNPNQNDINPTPAPVRPFTAETSNGQNVSPMGTHVNQGQKPAPSYPVKKRGRGRPRTRFP >KJB67804 pep chromosome:Graimondii2_0_v6:10:57823132:57824576:-1 gene:B456_010G212000 transcript:KJB67804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVMGGNTVHPNSINKSEVLDVKPVRTLVPIFPEPSEGPPFVCVPPNGPFPSGFSPFFPFSGSNPNPNPNQNDINPTPAPVRPFTAETSNGQNVSPMGTHVNQGQKPAPSYPVKKRGRGRPRTRFP >KJB68435 pep chromosome:Graimondii2_0_v6:10:61433489:61437002:-1 gene:B456_010G245400 transcript:KJB68435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASHRTEYLKKSLKIKKPKQLISLPLLLLLLLWRSSPGFPLTLPPPKKRKTHTMSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDHRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGGGGGGGGRGRSGGSDLKCYECGEPGHFARECRTRGGSGRRRSRSPRYRRSPSYGRRSYSPRGRSPRRRSLSPRGRNYSKSPQYRGREEVPYANGNGVRDRHRSRS >KJB68433 pep chromosome:Graimondii2_0_v6:10:61433489:61436945:-1 gene:B456_010G245400 transcript:KJB68433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDHRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGGGGGGGGRGRSGGSDLKCYECGEPGHFARECRTRGGSGRRRSRSPRYRRSPSYGRSYSPRGRSPRRRSLSPRGRNYSKSPQYRGREEVPYANGNGVRDRHRSRS >KJB68434 pep chromosome:Graimondii2_0_v6:10:61433489:61436975:-1 gene:B456_010G245400 transcript:KJB68434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASHRTEYLKKSLKIKKPKQLISLPLLLLLLLWRSSPGFPLTLPPPKKRKTHTMSRVYVGNLDPRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDHRDAEDAIRELDGKNGWRVELSHNSRGRGGRGGGGGGGGGGRGRSGGSDLKCYECGEPGHFARECRTRGGSGRRRSRSPRYRRSPSYGRRSYSPRGRSPRRRSLSPRGRNYSKSPQYRGREEVPYANGNGVRDRHRSRS >KJB68099 pep chromosome:Graimondii2_0_v6:10:59739599:59742019:-1 gene:B456_010G225400 transcript:KJB68099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANASIFRSPAQPFQPFSATYRVRASSFMGASLPNKSPKKKRSANINGKVSATATVTASPMQEIKEYPLPSWAMFELGKAPVYWKTMNGLPPTSGEKLKLFYNPAASKLTPNEEFGIAFNGGFNQPIMCGGEPRQMLKKARGKVDNPIYTIQICIPKHGLCLFPEFHYLLSGNLTRSFLWQL >KJB68098 pep chromosome:Graimondii2_0_v6:10:59739594:59742019:-1 gene:B456_010G225400 transcript:KJB68098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNPSNTWKNFEKLIIPMSDMHPSLTSSFMGASLPNKSPKKKRSANINGKVSATATVTASPMQEIKEYPLPSWAMFELGKAPVYWKTMNGLPPTSGEKLKLFYNPAASKLTPNEEFGIAFNGGFNQPIMCGGEPRQMLKKARGKVDNPIYTIQICIPKHAVNLIFSFTNGVDWDGPYRLQFQVPKAWQNRPIEFFNQGLAEELSKEGACDRAIFPDTSIVVDRCAMIGNLSKEGGDRCSLDWVPGCMDPGSHLYNPLANVDDGTCPIDSDVEE >KJB68096 pep chromosome:Graimondii2_0_v6:10:59739594:59742019:-1 gene:B456_010G225400 transcript:KJB68096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANASIFRSPAQPFQPFSATYRVRASSFMGASLPNKSPKKKRSANINGKVSATATVTASPMQEIKEYPLPSWAMFELGKAPVYWKTMNGLPPTSGEKLKLFYNPAASKLTPNEEFGIAFNGGFNQPIMCGGEPRQMLKKARGKVDNPIYTIQICIPKHAVNLIFSFTNGVDWDGPYRLQFQVPKAWQNRPIEFFNQGLAEELSKEGACDRAIFPDTSIVVDRCAMIGNLSKEGGDRCSLDWVPGCMDPGSHLYNPLANVDDGTCPIDSDVEE >KJB68097 pep chromosome:Graimondii2_0_v6:10:59739599:59742019:-1 gene:B456_010G225400 transcript:KJB68097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANASIFRSPAQPFQPFSATYRVRAASSFMGASLPNKSPKKKRSANINGKVSATATVTASPMQEIKEYPLPSWAMFELGKAPVYWKTMNGLPPTSGEKLKLFYNPAASKLTPNEEFGIAFNGGFNQPIMCGGEPRQMLKKARGKVDNPIYTIQICIPKHAVNLIFSFTNGVDWDGPYRLQFQVPKAWQNRPIEFFNQGLAEELSKEGACDRAIFPDTSIVVDRCAMIGNLSKEGGDRCSLDWVPGCMDPGSHLYNPLANVDDGTCPIDSDVEE >KJB68100 pep chromosome:Graimondii2_0_v6:10:59739925:59741876:-1 gene:B456_010G225400 transcript:KJB68100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANASIFRSPAQPFQPFSATYRVRAASSFMGASLPNKSPKKKRSANINGKVSATATVTASPMQEIKEYPLPSWAMFELGKAPVYWKTMNGLPPTSGEKLKLFYNPAASKLTPNEEFGIAFNGGFNQPIMCGGEPRQMLKKARGKVDNPIYTIQICIPKHAVNLIFSFTNGVDWDGPYRLQFQVPKAWQNRPIEFFNQGLAEELSKEGACDRAIFPDTSIVVDRCAMIGNLSKEGVSFKKKKLFYGLFQCSIYA >KJB68095 pep chromosome:Graimondii2_0_v6:10:59740274:59741876:-1 gene:B456_010G225400 transcript:KJB68095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANASIFRSPAQPFQPFSATYRVRAASSFMGASLPNKSPKKKRSANINGKVSATATVTASPMQEIKEYPLPSWAMFELGKAPVYWKTMNGLPPTSGEKLKLFYNPAASKLTPNEEFGIAFNGGFNQPIMCGGEPRQMLKKARGKVDNPIYTIQICIPKHGLCLFPEFHYLLSGNLTRSFLWQL >KJB68030 pep chromosome:Graimondii2_0_v6:10:59435571:59438615:-1 gene:B456_010G223000 transcript:KJB68030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLCPLLLPMWQCFLSLLSLVNLARTTPVSTVSSFSSQMEAVSPGMAVIKVVHHQDLNKRILVTLIVASTLLCGVLLFLLYFWICRHKIMKNSNGEAKRNLVAVIEYRLLEAATNNFRESNVLGEGGRGRVYKACFDGKFLAVVKKLDGGGHNVEREFENEVDWLIKIQHQNIVSLLGYCIHGESKLLVYEMMQNGSLESQLHGLTRGSALTWQLRMKIAIDVARALEYLHEHCNPPVIHRDIKSSNILLDSDFNAKLSDFGLAVVTGSQNKNVKLSGTLGYVAPEYLLEGKLTDKSDVYAFGIVLLELLVRKKPLEQMSPSRCQSLVTWAMPQLTNRSELPNIVDPVIRDTMDLKNLYQVAAVAVLCIQPEPSYRPLITDVLHSLIPLVPMELRGSLRVT >KJB68032 pep chromosome:Graimondii2_0_v6:10:59436528:59438435:-1 gene:B456_010G223000 transcript:KJB68032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLCPLLLPMWQCFLSLLSLVNLARTTPVSTVSSFSSQMEAVSPGMAVIKVVHHQDLNKRILVTLIVASTLLCGVLLFLLYFWICRHKIMKNSNGEAKRNLEPTKTISLSPIADQFNSLPMACKKGSVAVIEYRLLEAATNNFRESNVLGEGGRGRVYKACFDGKFLAVVKKLDGGGHNVEREFENEVDWLIKIQHQNIVSLLGYCIHGESKLLVYEMMQNGSLESQLHGLTRGSALTWQLRMKIAIDVARALEYLHEHCNPPVIHRDIKSSNILLDSDFNAKLSDFGLAVVTGSQNKNVKLSGTLGYVAPEYLLEGKPKFHIVNA >KJB68033 pep chromosome:Graimondii2_0_v6:10:59436099:59438573:-1 gene:B456_010G223000 transcript:KJB68033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLCPLLLPMWQCFLSLLSLVNLARTTPVSTVSSFSSQMEAVSPGMAVIKVVHHQDLNKRILVTLIVASTLLCGVLLFLLYFWICRHKIMKNSNGEAKRNLEPTKTISLSPIADQFNSLPMACKKGSVAVIEYRLLEAATNNFRESNVLGEGGRGRVYKACFDGKFLAVVKKLDGGGHNVEREFENEVDWLIKIQHQNIVSLLGYCIHGESKLLVYEMMQNGSLESQLHGLTRGSALTWQLRMKIAIDVARALEYLHEHCNPPVIHRDIKSSNILLDSDFNAKLSDFGLAVVTGSQNKNVKLSGTLGYVAPEYLLEGKLTDKSDVYAFGIVLLELLVRKKPLEQMSPSRCQSLVTWVIFFQPKIYL >KJB68031 pep chromosome:Graimondii2_0_v6:10:59435571:59438627:-1 gene:B456_010G223000 transcript:KJB68031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLCPLLLPMWQCFLSLLSLVNLARTTPVSTVSSFSSQMEAVSPGMAVIKVVHHQDLNKRILVTLIVASTLLCGVLLFLLYFWICRHKIMKNSNGEAKRNLEPTKTISLSPIADQFNSLPMACKKGSVAVIEYRLLEAATNNFRESNVLGEGGRGRVYKACFDGKFLAVVKKLDGGGHNVEREFENEVDWLIKIQHQNIVSLLGYCIHGESKLLVYEMMQNGSLESQLHGLTRGSALTWQLRMKIAIDVARALEYLHEHCNPPVIHRDIKSSNILLDSDFNAKLSDFGLAVVTGSQNKNVKLSGTLGYVAPEYLLEGKLTDKSDVYAFGIVLLELLVRKKPLEQMSPSRCQSLVTWAMPQLTNRSELPNIVDPVIRDTMDLKNLYQVAAVAVLCIQPEPSYRPLITDVLHSLIPLVPMELRGSLRVT >KJB68037 pep chromosome:Graimondii2_0_v6:10:59458817:59464853:-1 gene:B456_010G223200 transcript:KJB68037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDFVREKLRKKMWRLKIGEGGNDPYLYSTNNFLGRQTWEFDPSAGTAEERAEVEEARLNFYNNRYNVQPSSDLLWQMQFLREKKTQQTIPQPKIEDGEEVTYEVTTAAVKRSVHLFSALQSRHGHWPAENSGPMYYIPPLVMSLYITGHLNTIFSREHRKEILRYIYCHQNEDGGWGLSIGVHSTMFCTTLNYICMRLLGVGPDGGLNNACERARKWILDRGAVTTISSWGKTWLSLRQELHTEPYDEIDWSKKRHLCAKEDLHYPHTLLQILLWDSLYLFSEPLLNRWPFNKLRKKALKVAMDLIHYEDENSRYITIGCVEKPLCMLACWVEDPNGIYFKKHLARVVDCLWVGEDGMKLQGVASQSWDASLLLQALLATNLYDEIGPTLMKGHNFLKNSQVRDNPPGDFKRMFRHISKGSWTFADQDHGWQVSDCTAESLKSKNGGYPAWEPAGGAFWWEWLNPIEFLEDLTKEYEHVECTSSSIQALAIFKKLYPGHRKIEIENCIRKAAKFIEDVQYPDGSWYGSWGICFFYGTWFALSGLKAAGKNFKNCLAIRKGVEFLLKTQREDGGWGESYLSCPTRFYLPC >KJB68038 pep chromosome:Graimondii2_0_v6:10:59458817:59464853:-1 gene:B456_010G223200 transcript:KJB68038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDFVREKLRKKMWRLKIGEGGNDPYLYSTNNFLGRQTWEFDPSAGTAEERAEVEEARLNFYNNRYNVQPSSDLLWQMQFLREKKTQQTIPQPKIEDGEEVTYEVTTAAVKRSVHLFSALQSRHGHWPAENSGPMYYIPPLVMSLYITGHLNTIFSREHRKEILRYIYCHQNEDGGWGLSIGVHSTMFCTTLNYICMRLLGVGPDGGLNNACERARKWILDRGAVTTISSWGKTWLSLRQELHTEPYDEIDWSKKRHLCAKEDLHYPHTLLQILLWDSLYLFSEPLLNRWPFNKLRKKALKVAMDLIHYEDENSRYITIGCVEKPLCMLACWVEDPNGIYFKKHLARVVDCLWVGEDGMKLQGVASQSWDASLLLQALLATNLYDEIGPTLMKGHNFLKNSQVRDNPPGDFKRMFRHISKGSWTFADQDHGWQVSDCTAESLKCCVNFAMMAPEMVGEKMEEEQFYDAVNVLLSLQSKNGGYPAWEPAGGAFWWEWLNPIEFLEDLTKEYEHVECTSSSIQALAIFKKLYPGHRKIEIENCIRKAAKFIEDVQYPDGSWYGSWGICFFYGTWFALSGLKAAGKNFKNCLAIRKGVEFLLKTQREDGGWGESYLSCPTRFYLPC >KJB68044 pep chromosome:Graimondii2_0_v6:10:59457875:59464853:-1 gene:B456_010G223200 transcript:KJB68044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDFVREKLRKKMWRLKIGEGGNDPYLYSTNNFLGRQTWEFDPSAGTAEERAEVEEARLNFYNNRYNVQPSSDLLWQMQFLREKKTQQTIPQPKIEDGEEVTYEVTTAAVKRSVHLFSALQSRHGHWPAENSGPMYYIPPLVMSLYITGHLNTIFSREHRKEILRYIYCHQNEDGGWGLSIGVHSTMFCTTLNYICMRLLGVGPDGGLNNACERARKWILDRGAVTTISSWGKTWLSILGVYEWSGCHPMPPEFWLFPSYFPINPAKMLCYCRLTYLPMSYLYGRKFVGPITPLILQLRQELHTEPYDEIDWSKKRHLCAKEDLHYPHTLLQILLWDSLYLFSEPLLNRWPFNKLRKKALKVAMDLIHYEDENSRYITIGCVEKPLCMLACWVEDPNGIYFKKHLARVVDCLWVGEDGMKLQGVASQSWDASLLLQALLATNLYDEIGPTLMKGHNFLKNSQVRDNPPGDFKRMFRHISKGSWTFADQDHGWQVSDCTAESLKCCVNFAMMAPEMVGEKMEEEQFYDAVNVLLSLQSKNGGYPAWEPAGGAFWWEWLNPIEFLEDLTKEYEHVECTSSSIQALAIFKKLYPGHRKIEIENCIRKAAKFIEDVQYPDGSWYGSWGICFFYGTWFALSGLKAAGKNFKNCLAIRKGVEFLLKTQREDGGWGESYLSCPTRVYTPIEGKESNLVNTAQALMGLIIGGQAERDPTPLHRAAKLLINSQLPNGDFPQQGLTAVFMRNCMLHFALYRSIFPLWALAEYRNHVWPSKYVCFCIIKNDLLEDYI >KJB68042 pep chromosome:Graimondii2_0_v6:10:59457875:59464853:-1 gene:B456_010G223200 transcript:KJB68042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDFVREKLRKKMWRLKIGEGGNDPYLYSTNNFLGRQTWEFDPSAGTAEERAEVEEARLNFYNNRYNVQPSSDLLWQMQFLREKKTQQTIPQPKIEDGEEVTYEVTTAAVKRSVHLFSALQSRHGHWPAENSGPMYYIPPLVMSLYITGHLNTIFSREHRKEILRYIYCHQNEDGGWGLSIGVHSTMFCTTLNYICMRLLGVGPDGGLNNACERARKWILDRGAVTTISSWGKTWLSILGVYEWSGCHPMPPEFWLFPSYFPINPAKMLCYCRLTYLPMSYLYGRKFVGPITPLILQLRQELHTEPYDEIDWSKKRHLCAKPLCMLACWVEDPNGIYFKKHLARVVDCLWVGEDGMKLQGVASQSWDASLLLQALLATNLYDEIGPTLMKGHNFLKNSQVRDNPPGDFKRMFRHISKGSWTFADQDHGWQVSDCTAESLKCCVNFAMMAPEMVGEKMEEEQFYDAVNVLLSLQSKNGGYPAWEPAGGAFWWEWLNPIEFLEDLTKEYEHVECTSSSIQALAIFKKLYPGHRKIEIENCIRKAAKFIEDVQYPDGSWYGSWGICFFYGTWFALSGLKAAGKNFKNCLAIRKGVEFLLKTQREDGGWGESYLSCPTRVYTPIEGKESNLVNTAQALMGLIIGGQAERDPTPLHRAAKLLINSQLPNGDFPQQGLTAVFMRNCMLHFALYRSIFPLWALAEYRNHVWPSKYVCFCIIKNDLLEDYI >KJB68041 pep chromosome:Graimondii2_0_v6:10:59457708:59464853:-1 gene:B456_010G223200 transcript:KJB68041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDFVREKLRKKMWRLKIGEGGNDPYLYSTNNFLGRQTWEFDPSAGTAEERAEVEEARLNFYNNRYNVQPSSDLLWQMQFLREKKTQQTIPQPKIEDGEEVTYEVTTAAVKRSVHLFSALQSRHGHWPAENSGPMYYIPPLVMSLYITGHLNTIFSREHRKEILRYIYCHQNEDGGWGLSIGVHSTMFCTTLNYICMRLLGVGPDGGLNNACERARKWILDRGAVTTISSWGKTWLSLRQELHTEPYDEIDWSKKRHLCAKEDLHYPHTLLQILLWDSLYLFSEPLLNRWPFNKLRKKALKVAMDLIHYEDENSRYITIGCVEKPLCMLACWVEDPNGIYFKKHLARVVDCLWVGEDGMKLQGVASQSWDASLLLQALLATNLYDEIGPTLMKGHNFLKNSQVRDNPPGDFKRMFRHISKGSWTFADQDHGWQVSDCTAESLKSKNGGYPAWEPAGGAFWWEWLNPIEFLEDLTKEYEHVECTSSSIQALAIFKKLYPGHRKIEIENCIRKAAKFIEDVQYPDGSWYGSWGICFFYGTWFALSGLKAAGKNFKNCLAIRKGVEFLLKTQREDGGWGESYLSCPTRVYTPIEGKESNLVNTAQALMGLIIGGQAERDPTPLHRAAKLLINSQLPNGDFPQQGLTAVFMRNCMLHFALYRSIFPLWALAEYRNHVWPSKYVCFCIIKNDLLEDYI >KJB68036 pep chromosome:Graimondii2_0_v6:10:59457875:59463301:-1 gene:B456_010G223200 transcript:KJB68036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCYCRLTYLPMSYLYGRKFVGPITPLILQLRQELHTEPYDEIDWSKKRHLCAKEDLHYPHTLLQILLWDSLYLFSEPLLNRWPFNKLRKKALKVAMDLIHYEDENSRYITIGCVEKPLCMLACWVEDPNGIYFKKHLARVVDCLWVGEDGMKLQGVASQSWDASLLLQALLATNLYDEIGPTLMKGHNFLKNSQVRDNPPGDFKRMFRHISKGSWTFADQDHGWQVSDCTAESLKCCVNFAMMAPEMVGEKMEEEQFYDAVNVLLSLQSKNGGYPAWEPAGGAFWWEWLNPIEFLEDLTKEYEHVECTSSSIQALAIFKKLYPGHRKIEIENCIRKAAKFIEDVQYPDGSWYGSWGICFFYGTWFALSGLKAAGKNFKNCLAIRKGVEFLLKTQREDGGWGESYLSCPTRVYTPIEGKESNLVNTAQALMGLIIGGQAERDPTPLHRAAKLLINSQLPNGDFPQQGLTAVFMRNCMLHFALYRSIFPLWALAEYRNHVWPSKYVCFCIIKNDLLEDYI >KJB68039 pep chromosome:Graimondii2_0_v6:10:59457708:59464853:-1 gene:B456_010G223200 transcript:KJB68039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDFVREKLRKKMWRLKIGEGGNDPYLYSTNNFLGRQTWEFDPSAGTAEERAEVEEARLNFYNNRYNVQPSSDLLWQMQFLREKKTQQTIPQPKIEDGEEVTYEVTTAAVKRSVHLFSALQSRHGHWPAENSGPMYYIPPLVMSLYITGHLNTIFSREHRKEILRYIYCHQNEDGGWGLSIGVHSTMFCTTLNYICMRLLGVGPDGGLNNACERARKWILDRGAVTTISSWGKTWLSLRQELHTEPYDEIDWSKKRHLCAKPLCMLACWVEDPNGIYFKKHLARVVDCLWVGEDGMKLQGVASQSWDASLLLQALLATNLYDEIGPTLMKGHNFLKNSQVRDNPPGDFKRMFRHISKGSWTFADQDHGWQVSDCTAESLKSKNGGYPAWEPAGGAFWWEWLNPIEFLEDLTKEYEHVECTSSSIQALAIFKKLYPGHRKIEIENCIRKAAKFIEDVQYPDGSWYGSWGICFFYGTWFALSGLKAAGKNFKNCLAIRKGVEFLLKTQREDGGWGESYLSCPTRVYTPIEGKESNLVNTAQALMGLIIGGQAERDPTPLHRAAKLLINSQLPNGDFPQQGLTAVFMRNCMLHFALYRSIFPLWALAEYRNHVWPSKYVCFCIIKNDLLEDYI >KJB68045 pep chromosome:Graimondii2_0_v6:10:59457708:59464853:-1 gene:B456_010G223200 transcript:KJB68045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDFVREKLRKKMWRLKIGEGGNDPYLYSTNNFLGRQTWEFDPSAGTAEERAEVEEARLNFYNNRYNVQPSSDLLWQMQFLREKKTQQTIPQPKIEDGEEVTYEVTTAAVKRSVHLFSALQSRHGHWPAENSGPMYYIPPLVMSLYITGHLNTIFSREHRKEILRYIYCHQNEDGGWGLSIGVHSTMFCTTLNYICMRLLGVGPDGGLNNACERARKWILDRGAVTTISSWGKTWLSILGVYEWSGCHPMPPEFWLFPSYFPINPAKMLCYCRLTYLPMSYLYGRKFVGPITPLILQLRQELHTEPYDEIDWSKKRHLCAKPLCMLACWVEDPNGIYFKKHLARVVDCLWVGEDGMKLQGVASQSWDASLLLQALLATNLYDEIGPTLMKGHNFLKNSQVRDNPPGDFKRMFRHISKGSWTFADQDHGWQVSDCTAESLKSKNGGYPAWEPAGGAFWWEWLNPIEFLEDLTKEYEHVECTSSSIQALAIFKKLYPGHRKIEIENCIRKAAKFIEDVQYPDGSWYGSWGICFFYGTWFALSGLKAAGKNFKNCLAIRKGVEFLLKTQREDGGWGESYLSCPTRVYTPIEGKESNLVNTAQALMGLIIGGQAERDPTPLHRAAKLLINSQLPNGDFPQQGLTAVFMRNCMLHFALYRSIFPLWALAEYRNHVWPSKYVCFCIIKNDLLEDYI >KJB68035 pep chromosome:Graimondii2_0_v6:10:59457708:59463614:-1 gene:B456_010G223200 transcript:KJB68035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCYCRLTYLPMSYLYGRKFVGPITPLILQLRQELHTEPYDEIDWSKKRHLCAKEDLHYPHTLLQILLWDSLYLFSEPLLNRWPFNKLRKKALKVAMDLIHYEDENSRYITIGCVEKPLCMLACWVEDPNGIYFKKHLARVVDCLWVGEDGMKLQGVASQSWDASLLLQALLATNLYDEIGPTLMKGHNFLKNSQVRDNPPGDFKRMFRHISKGSWTFADQDHGWQVSDCTAESLKSKNGGYPAWEPAGGAFWWEWLNPIEFLEDLTKEYEHVECTSSSIQALAIFKKLYPGHRKIEIENCIRKAAKFIEDVQYPDGSWYGSWGICFFYGTWFALSGLKAAGKNFKNCLAIRKGVEFLLKTQREDGGWGESYLSCPTRVYTPIEGKESNLVNTAQALMGLIIGGQAERDPTPLHRAAKLLINSQLPNGDFPQQGLTAVFMRNCMLHFALYRSIFPLWALAEYRNHVWPSKYVCFCIIKNDLLEDYI >KJB68043 pep chromosome:Graimondii2_0_v6:10:59457708:59464853:-1 gene:B456_010G223200 transcript:KJB68043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDFVREKLRKKMWRLKIGEGGNDPYLYSTNNFLGRQTWEFDPSAGTAEERAEVEEARLNFYNNRYNVQPSSDLLWQMQFLREKKTQQTIPQPKIEDGEEVTYEVTTAAVKRSVHLFSALQSRHGHWPAENSGPMYYIPPLVMSLYITGHLNTIFSREHRKEILRYIYCHQNEDGGWGLSIGVHSTMFCTTLNYICMRLLGVGPDGGLNNACERARKWILDRGAVTTISSWGKTWLSILGVYEWSGCHPMPPEFWLFPSYFPINPAKMLCYCRLTYLPMSYLYGRKFVGPITPLILQLRQELHTEPYDEIDWSKKRHLCAKEDLHYPHTLLQILLWDSLYLFSEPLLNRWPFNKLRKKALKVAMDLIHYEDENSRYITIGCVEKPLCMLACWVEDPNGIYFKKHLARVVDCLWVGEDGMKLQGVASQSWDASLLLQALLATNLYDEIGPTLMKGHNFLKNSQVRDNPPGDFKRMFRHISKGSWTFADQDHGWQVSDCTAESLKSKNGGYPAWEPAGGAFWWEWLNPIEFLEDLTKEYEHVECTSSSIQALAIFKKLYPGHRKIEIENCIRKAAKFIEDVQYPDGSWYGSWGICFFYGTWFALSGLKAAGKNFKNCLAIRKGVEFLLKTQREDGGWGESYLSCPTRVYTPIEGKESNLVNTAQALMGLIIGGQAERDPTPLHRAAKLLINSQLPNGDFPQQGLTAVFMRNCMLHFALYRSIFPLWALAEYRNHVWPSKYVCFCIIKNDLLEDYI >KJB68040 pep chromosome:Graimondii2_0_v6:10:59457875:59464853:-1 gene:B456_010G223200 transcript:KJB68040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDFVREKLRKKMWRLKIGEGGNDPYLYSTNNFLGRQTWEFDPSAGTAEERAEVEEARLNFYNNRYNVQPSSDLLWQMQFLREKKTQQTIPQPKIEDGEEVTYEVTTAAVKRSVHLFSALQSRHGHWPAENSGPMYYIPPLVMSLYITGHLNTIFSREHRKEILRYIYCHQNEDGGWGLSIGVHSTMFCTTLNYICMRLLGVGPDGGLNNACERARKWILDRGAVTTISSWGKTWLSLRQELHTEPYDEIDWSKKRHLCAKPLCMLACWVEDPNGIYFKKHLARVVDCLWVGEDGMKLQGVASQSWDASLLLQALLATNLYDEIGPTLMKGHNFLKNSQVRDNPPGDFKRMFRHISKGSWTFADQDHGWQVSDCTAESLKCCVNFAMMAPEMVGEKMEEEQFYDAVNVLLSLQSKNGGYPAWEPAGGAFWWEWLNPIEFLEDLTKEYEHVECTSSSIQALAIFKKLYPGHRKIEIENCIRKAAKFIEDVQYPDGSWYGSWGICFFYGTWFALSGLKAAGKNFKNCLAIRKGVEFLLKTQREDGGWGESYLSCPTRVYTPIEGKESNLVNTAQALMGLIIGGQAERDPTPLHRAAKLLINSQLPNGDFPQQGLTAVFMRNCMLHFALYRSIFPLWALAEYRNHVWPSKYVCFCIIKNDLLEDYI >KJB65351 pep chromosome:Graimondii2_0_v6:10:14043009:14044341:-1 gene:B456_010G091000 transcript:KJB65351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQLTASSVTASARNLASLQGLRLSTVKFSSFGTLKPGALTSRSFKRLVVKAATVVAPKYTSVKPLGDRVLVKIKETEEKTEGGILLPTTAQSKPQGGEVVAVGEGKTIGNTKLESSVKTGAQVIYSKYAGTEVEFNGANHLLLKEDDIVGLLETDDIKDLKPLNDRVFIKVRLLPKSVYSFCHCNVLLKF >KJB65348 pep chromosome:Graimondii2_0_v6:10:14043360:14044165:-1 gene:B456_010G091000 transcript:KJB65348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQLTASSVTASARNLASLQGLRLSTVKFSSFGTLKPGALTSRSFKRLVVKAATVVAPKYTSVKPLGDRVLVKIKETEEKTEGGILLPTTAQSKPQGGEVVAVGEGKTIGNTKLESSVKVNFHGLGILLSSFPFVAPLLYFNNAMVV >KJB65345 pep chromosome:Graimondii2_0_v6:10:14042502:14044341:-1 gene:B456_010G091000 transcript:KJB65345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQLTASSVTASARNLASLQGLRLSTVKFSSFGTLKPGALTSRSFKRLVVKAATVVAPKYTSVKPLGDRVLVKIKETEEKTEGGILLPTTAQSKPQGGEVVAVGEGKTIGNTKLESSVKTGAQVIYSKYAGTEVEFNGANHLLLKEDDIVGLLETDDIKDLKPLNDRVFIKVSEAEEKTAGGLLLTEASKEKPSIGTVIAAGPGTLDEEGNLKPLSVSPGNTVLYSKYAGNDFKGNDGSNYIALRASDVMAVLY >KJB65347 pep chromosome:Graimondii2_0_v6:10:14042416:14044590:-1 gene:B456_010G091000 transcript:KJB65347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQLTASSVTASARNLASLQGLRLSTVKFSSFGTLKPGALTSRSFKRLVVKAATVVAPKYTSVKPLGDRVLVKIKETEEKTEGGILLPTTAQSKPQGGEVVAVGEGKTIGNTKLESSVKTGAQVIYSKYAGTEVEFNGANHLLLKEDDIVGLLETDDIKDLKPLNDRVFIKVSEAEEKTAGGLLLTEASKEKPSIGTVIAAGPGTLDEEGNLKPLSVSPGNTVLYSKYAGNDFKGNDGSNYIALRASDVMAVLY >KJB65350 pep chromosome:Graimondii2_0_v6:10:14042901:14044165:-1 gene:B456_010G091000 transcript:KJB65350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQLTASSVTASARNLASLQGLRLSTVKFSSFGTLKPGALTSRSFKRLVVKAATVVAPKYTSVKPLGDRVLVKIKETEEKTEGGILLPTTAQSKPQGGEVVAVGEGKTIGNTKLESSVKTGAQVIYSKYAGTEVEFNGANHLLLKEDDIVGLLETDDIKDLKPLNDRVFIKVSEAEEKTAGGLLLTEASKEKPSIGTVSNS >KJB65346 pep chromosome:Graimondii2_0_v6:10:14042502:14044221:-1 gene:B456_010G091000 transcript:KJB65346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPCMYTASAYIKRSYCPMQYTSVKPLGDRVLVKIKETEEKTEGGILLPTTAQSKPQGGEVVAVGEGKTIGNTKLESSVKTGAQVIYSKYAGTEVEFNGANHLLLKEDDIVGLLETDDIKDLKPLNDRVFIKVSEAEEKTAGGLLLTEASKEKPSIGTVIAAGPGTLDEEGNLKPLSVSPGNTVLYSKYAGNDFKGNDGSNYIALRASDVMAVLY >KJB65349 pep chromosome:Graimondii2_0_v6:10:14042502:14044341:-1 gene:B456_010G091000 transcript:KJB65349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQLTASSVTASARNLASLQGLRLSTVKFSSFGTLKPGALTSRSFKRLVVKAATVVAPKYTSVKPLGDRVLVKIKETEEKTEGGILLPTTAQSKPQGGEVVAVGEGKTIGNTKLESSVKVNFHGLGILLSSFPFVAPLLYFNNAMVV >KJB65618 pep chromosome:Graimondii2_0_v6:10:19771885:19772409:-1 gene:B456_010G106700 transcript:KJB65618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FNSSIFEVRFKSIIRMPEDIKTKMMTRIVQKRKLCNRWKQTYGSLVKAKFDANKKDCIEWQLIWNGENGCELRKGSYQYIVDLSQRICSCRSWQISWIPSSHACATMYHLGLQPDEYLHEYYHIDTYKKAYSFLMQPIYRPHDWEKNSIQPMLPPIERKMPGRPKKIRRMAKDE >KJB63439 pep chromosome:Graimondii2_0_v6:10:13109625:13111163:1 gene:B456_010G0867002 transcript:KJB63439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFGKRKTPSELLRENKRMLDKSIREIERERQGLQTQEKKLIAEIKKSAKQGQMGAVKVMAKDLIRTRHQIEKFFKLKSQLQGISLRIQ >KJB63442 pep chromosome:Graimondii2_0_v6:10:13110748:13111163:1 gene:B456_010G0867002 transcript:KJB63442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDLIRTRHQIEKFFKLKSQLQGISLRIQ >KJB63441 pep chromosome:Graimondii2_0_v6:10:13109725:13111163:1 gene:B456_010G0867002 transcript:KJB63441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDLIRTRHQIEKFFKLKSQLQGISLRIQ >KJB63440 pep chromosome:Graimondii2_0_v6:10:13109655:13111163:1 gene:B456_010G0867002 transcript:KJB63440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFGKRKTPSDLIRTRHQIEKFFKLKSQLQGISLRIQ >KJB63443 pep chromosome:Graimondii2_0_v6:10:13109658:13111163:1 gene:B456_010G0867002 transcript:KJB63443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDLIRTRHQIEKFFKLKSQLQGISLRIQ >KJB63455 pep chromosome:Graimondii2_0_v6:10:50339316:50340357:1 gene:B456_010G172900 transcript:KJB63455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIILVFMAFLVVISCRRVYVKWFGNRCDFDCVVCLSKVSKGEKRWSLPICHHSFHVHCIDAWLKVRPNCPLCRINVAPRQDIFISSSLLSDFAKTLGKWIENPLSLELTSAVCESLVYI >KJB68208 pep chromosome:Graimondii2_0_v6:10:60319835:60325526:1 gene:B456_010G231900 transcript:KJB68208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKSKTLFFAFLLLLSTAHSFYLPGVAPRDFQRGDPLYVKVNKLSSTKTQLPYDFYYLKYCKPSKIVNNAENLGEVLRGDRIENSVYTFEMREDQPCKIVCRIKHDADSAKNFKEKIDDEYRVNMILDNLPVAVRRQRRDGSQSTTYEHGFRVGFKGNYAGSKEEKYFINNHLSFRVMFHRDTETDAARIVGFEVTPNSINHEYKEWDEKNPQVTTCNKDTKNLIQGSTVPQEVDAGKEVVFTYDVTFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLETQDEAQEETGWKLVHGDVFRAPINYGLLCVYVGTGVQIFAMSLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGIFAGYSSSRLYKMFKGTEWKRITLKTAFMFPGILFAIFFVLNALIWGEQSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQILEQAWYMKPIFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILIITCAEITIVLCYFQLCSEDYHWWWRSYLTAGSSALYLFLYSIFYFFTKLEITKLVSGILYFGYMVIVSYAFFVLTGTIGFYACFWFVRKIYSSVKID >KJB68209 pep chromosome:Graimondii2_0_v6:10:60319836:60325526:1 gene:B456_010G231900 transcript:KJB68209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILPRISRKKLMMNIELICKILDNLPVAVRRQRRDGSQSTTYEHGFRVGFKGNYAGSKEEKYFINNHLSFRVMFHRDTETDAARIVGFEVTPNSINHEYKEWDEKNPQVTTCNKDTKNLIQGSTVPQEVDAGKEVVFTYDVTFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLETQDEAQEETGWKLVHGDVFRAPINYGLLCVYVGTGVQIFAMSLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGIFAGYSSSRLYKMFKGTEWKRITLKTAFMFPGILFAIFFVLNALIWGEQSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQILEQAWYMKPIFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILIITCAEITIVLCYFQLCSEDYHWWWRSYLTAGSSALYLFLYSIFYFFTKLEITKLVSGILYFGYMVIVSYAFFVLTGTIGFYACFWFVRKIYSSVKID >KJB66382 pep chromosome:Graimondii2_0_v6:10:32200342:32201540:-1 gene:B456_010G138200 transcript:KJB66382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNKCVPCVITFNLIETQHPLVFFLPLNANNFQFKPSMITLLPNFHGLESKIPYLHFKDCDEVCATFNDPPCANEIDKLKLCHFSLKDKAKIWFNNLKPGLIGSYPHHSFENWQTLSFFYEGLTPETKQFVETMCNEEFLDKEPKEAFDYLDHLVENSQSWHIVNPSEGSIRSNLTNNKGKYHLSQEDDLSAKMASLTRKVEAMELRKETLQEFMQTKTNFNNQTSQDINEIKSTHSMLTTSLCIQGKG >KJB63611 pep chromosome:Graimondii2_0_v6:10:2103759:2106353:-1 gene:B456_010G026000 transcript:KJB63611 gene_biotype:protein_coding transcript_biotype:protein_coding description:QQT1 [Source:Projected from Arabidopsis thaliana (AT5G22370) UniProtKB/TrEMBL;Acc:A0A178UBM9] MACLSSSNLLEVAVINLDPANDSLPYECAINIEDLIKLSDVMTEHSLGPNGGLVYCMDYLEKNIDWLQSKLEPLLKDHYLLFDFPGQVELFFLHSNAKNVVMKLIKKLNLRLTAVHLVDAHLCSDPAKYISALILSLSTMLHLELPHINVLSKIDLIESYGKLSFNLDFYTDVQDLSYLQHHLDQDPRSAKFRKLTKELCDVIEDFSIVNFTTLDIQDKESVGNLVKLIDKSNGYIFAGIDASAVEFSKIAVRQVDWDYYRAAAVQEKYMKDDEDFNNDD >KJB63610 pep chromosome:Graimondii2_0_v6:10:2103444:2107428:-1 gene:B456_010G026000 transcript:KJB63610 gene_biotype:protein_coding transcript_biotype:protein_coding description:QQT1 [Source:Projected from Arabidopsis thaliana (AT5G22370) UniProtKB/TrEMBL;Acc:A0A178UBM9] MVFGQVVIGPPGSGKTTYCNGMSQFLKLIGRKVAVINLDPANDSLPYECAINIEDLIKLSDVMTEHSLGPNGGLVYCMDYLEKNIDWLQSKLEPLLKDHYLLFDFPGQVELFFLHSNAKNVVMKLIKKLNLRLTAVHLVDAHLCSDPAKYISALILSLSTMLHLELPHINVLSKIDLIESYGKLSFNLDFYTDVQDLSYLQHHLDQDPRSAKFRKLTKELCDVIEDFSIVNFTTLDIQDKESVGNLVKLIDKSNGYIFAGIDASAVEFSKIAVRQVDWDYYRAAAVQEKYMKDDEDFNNDD >KJB63617 pep chromosome:Graimondii2_0_v6:10:2103807:2107330:-1 gene:B456_010G026000 transcript:KJB63617 gene_biotype:protein_coding transcript_biotype:protein_coding description:QQT1 [Source:Projected from Arabidopsis thaliana (AT5G22370) UniProtKB/TrEMBL;Acc:A0A178UBM9] MVFGQVVIGPPGSGKTTYCNGMSQFLKLIGRKVAVINLDPANDSLPYECAINIEDLIKLSDVMTEHSLGPNGGLVYCMDYLEKNIDWLQSKLEPLLKDHYLLFDFPGQVELFFLHSNAKNVVMKLIKKLNLRLTAVHLVDAHLCSDPAKYISALILSLSTMLHLELPHINVLSKIDLIESYGKLSFNLDFYTDVQDLSYLQHHLDQDPRSAKFRKLTKELCDVIEDFSIVNFTTLDIQDKESVGNLVKLIDKSNGYIFAGIDASAVEFSKIAVRQVDWDYYRYPSHLSFNLLFIYITCDNLPNIVKYRIDTSASFS >KJB63612 pep chromosome:Graimondii2_0_v6:10:2103481:2107330:-1 gene:B456_010G026000 transcript:KJB63612 gene_biotype:protein_coding transcript_biotype:protein_coding description:QQT1 [Source:Projected from Arabidopsis thaliana (AT5G22370) UniProtKB/TrEMBL;Acc:A0A178UBM9] MVFGQVVIGPPGSGKTTYCNGMSQFLKLIGRKVAVINLDPANDSLPYECAINIEDLIKLSDVMTEHSLGPNGGLVYCMDYLEKNIDWLQSKLEPLLKDHYLLFDFPGQVELFFLHSNAKNVVMKLIKKLNLRLTAVHLVDAHLCSDPAKYISALILSLSTMLHLELPHINVLSKIDLIESYGKLSFNLDFYTDVQDLSYLQHHLDQDPRSAKFRIRRVLGIL >KJB63616 pep chromosome:Graimondii2_0_v6:10:2104340:2106411:-1 gene:B456_010G026000 transcript:KJB63616 gene_biotype:protein_coding transcript_biotype:protein_coding description:QQT1 [Source:Projected from Arabidopsis thaliana (AT5G22370) UniProtKB/TrEMBL;Acc:A0A178UBM9] MVFGQVVIGPPGSGKTTYCNGMSQFLKLIGRKVAVINLDPANDSLPYECAINIEDLIKLSDVMTEHSLGPNGGLVYCMDYLEKNIDWLQSKLEPLLKDHYLLFDFPGQVELFFLHSNAKNVVMKLIKKLNLRLTAVHLVDAHLCSDPAKYISALILSLSTMLHLELPHINVLSKIDLIESYGKLSFNLDFYTDVQDLSYLQHHLDQDPRSAKFRFVIETCLFLHFSLCMSHKCSSV >KJB63613 pep chromosome:Graimondii2_0_v6:10:2103481:2107330:-1 gene:B456_010G026000 transcript:KJB63613 gene_biotype:protein_coding transcript_biotype:protein_coding description:QQT1 [Source:Projected from Arabidopsis thaliana (AT5G22370) UniProtKB/TrEMBL;Acc:A0A178UBM9] MVFGQVVIGPPGSGKTTYCNGMSQFLKLIGRKVAVINLDPANDSLPYECAINIEDLIKLSDVMTEHSLGPNGGLVYCMDYLEKNIDWLQSKLEPLLKDHYLLFDFPGQGADSKVDAHLCSDPAKYISALILSLSTMLHLELPHINVLSKIDLIESYGKLSFNLDFYTDVQDLSYLQHHLDQDPRSAKFRKLTKELCDVIEDFSIVNFTTLDIQDKESVGNLVKLIDKSNGYIFAGIDASAVEFSKIAVRQVDWDYYRAAAVQEKYMKDDEDFNNDD >KJB63614 pep chromosome:Graimondii2_0_v6:10:2103481:2107330:-1 gene:B456_010G026000 transcript:KJB63614 gene_biotype:protein_coding transcript_biotype:protein_coding description:QQT1 [Source:Projected from Arabidopsis thaliana (AT5G22370) UniProtKB/TrEMBL;Acc:A0A178UBM9] MAFAVINLDPANDSLPYECAINIEDLIKLSDVMTEHSLGPNGGLVYCMDYLEKNIDWLQSKLEPLLKDHYLLFDFPGQVELFFLHSNAKNVVMKLIKKLNLRLTAVHLVDAHLCSDPAKYISALILSLSTMLHLELPHINVLSKIDLIESYGKLSFNLDFYTDVQDLSYLQHHLDQDPRSAKFRKLTKELCDVIEDFSIVNFTTLDIQDKESVGNLVKLIDKSNGYIFAGIDASAVEFSKIAVRQVDWDYYRAAAVQEKYMKDDEDFNNDD >KJB63615 pep chromosome:Graimondii2_0_v6:10:2104340:2106411:-1 gene:B456_010G026000 transcript:KJB63615 gene_biotype:protein_coding transcript_biotype:protein_coding description:QQT1 [Source:Projected from Arabidopsis thaliana (AT5G22370) UniProtKB/TrEMBL;Acc:A0A178UBM9] MVFGQVVIGPPGSGKTTYCNGMSQFLKLIGRKVAVINLDPANDSLPYECAINIEDLIKLSDVMTEHSLGPNGGLVYCMDYLEKNIDWLQSKLEPLLKDHYLLFDFPGQVELFFLHSNAKNVVMKLIKKLNLRLTAVHLVDAHLCSDPAKYISALILSLSTMLHLELPHINVLSKIDLIESYGKLSFNLDFYTDVQDLSYLQHHLDQDPRSAKFRFVIETCLFLHFSLCMSHKCSSV >KJB67864 pep chromosome:Graimondii2_0_v6:10:58282436:58286215:-1 gene:B456_010G215500 transcript:KJB67864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFLCFVLQAWNPSSCQVSSMETSRFLRDYAVWEINAFLWISLITITYFLSYKLFKLFKLWNQACKIPGPPSPSFYGYFTTLSKQNLTEVLSDSHEEYGSIVKLWLSPKQLLVSIKEPEIIKEMLLKAKDKLPLTGKAFNLAFGRSTLFASSFDKVESRRESLASELNVRLLDRANLIATKAVDHIMAELHQNMTKGSINCKMTSQHMAFTLLGATIFGDTFLAWLKSTIYEELLMMVAKDACFWASYSVIPFWKQGFWRYRRLCTELKWLTQDLVQQCSKYRQYRHMEPSANLGMEAGVFLQDNISLQEINGRHNVRDESCGNIMSLLFHGCLTTGGLINNMLMRLVTHPEIQHKIYSEIIMAKKGSEDKAQPVVEKMPLLWATIYESARVMPAGPLLQRCSLKHDLRLKSGVIVPAGAILVVPMQLVQTDDSSWGNDAGKFNPYRFLSKTEKTSASPNMDASIAGHAEELKDQRKCTFVLKDPNKNPAFLPFGSGARACVGQKFVTQGVATLFASLLEQYEVRLRSGSKTNSKPSTNYSMSQDFLSSELVFARRNN >KJB67861 pep chromosome:Graimondii2_0_v6:10:58282436:58286121:-1 gene:B456_010G215500 transcript:KJB67861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISLLSPNKISQVESRRESLASELNVRLLDRANLIATKAVDHIMAELHQNMTKGSINCKMTSQHMAFTLLGATIFGDTFLAWLKSTIYEELLMMVAKDACFWASYSVIPFWKQGFWRYRRLCTELKWLTQDLVQQCSKYRQYRHMEPSANLGMEAGVFLQDNISLQEINGRHNVRDESCGNIMSLLFHGCLTTGGLINNMLMRLVTHPEIQHKIYSEIIMAKKGSEDKAQPVVEKMPLLWATIYESARVMPAGPLLQRCSLKHDLRLKSGVIVPAGAILVVPMQLVQTDDSSWGNDAGKFNPYRFLSKTEKTSASPNMDASIAGHAEELKDQRKCTFVLKDPNKNPAFLPFGSGARACVGQKFVTQGVATLFASLLEQYEVRLRSGSKTNSKPSTNYSMSQDFLSSELVFARRNN >KJB67863 pep chromosome:Graimondii2_0_v6:10:58282436:58286121:-1 gene:B456_010G215500 transcript:KJB67863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFLCFVLQAWNPSSCQVSSMETSRFLRDYAVWEINAFLWISLITITYFLSYKLFKLFKLWNQACKIPGPPSPSFYGYFTTLSKQNLTEVLSDSHEEYGSIVKLWLSPKQLLVSIKEPEIIKEMLLKAKDKLPLTGKAFNLAFGRSTLFASSFDKVESRRESLASELNVRLLDRANLIATKAVDHIMAELHQNMTKGSINCKMTSQHMAFTLLGATIFGDTFLAWLKSTIYEELLMMVAKDACFWASYSVIPFWKQGFWRYRRLCTELKWLTQDLVQQCSKYRQYRHMEPSANLGMEAGVFLQDNISLQEINGRHNVRDESCGNIMSLLFHGCLTTGGLINNMLMRLVTHPEIQHKIYSEIIMAKKGSEDKAQPVVEKMPLLWATIYESARVMPAGPLLQRCSLKHDLRLKSGVIVPAGAILVVPMQLVQTDDSSWGNDAGKFNPYRFLSKTEKTSASPNMDASIAELKDQRKCTFVLKDPNKNPAFLPFGSGARACVGQKFVTQGVATLFASLLEQYEVRLRSGSKTNSKPSTNYSMSQDFLSSELVFARRNN >KJB67862 pep chromosome:Graimondii2_0_v6:10:58283523:58286004:-1 gene:B456_010G215500 transcript:KJB67862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFLCFVLQAWNPSSCQVSSMETSRFLRDYAVWEINAFLWISLITITYFLSYKLFKLFKLWNQACKIPGPPSPSFYGYFTTLSKQNLTEVLSDSHEEYGSIVKLWLSPKQLLVSIKEPEIIKEMLLKAKDKLPLTGKAFNLAFGRSTLFASSFDKVESRRESLASELNVRLLDRANLIATKAVDHIMAELHQNMTKGSINCKMTSQHMAFTLLGATIFGDTFLAWLKSTIYEELLMMVAKDACFWASYSVIPFWKQGFWRYRRLCTELKWLTQDLVQQCSKYRQYRHMEPSANLGMEAGVFLQDNISLQEINGRHNVRDESCGNIMSLLFHGCLTTGGLINNMLMRLVTHPEIQHKIYSEIIMAKKGSEDKAQPVVEKMPLLWATIYESARVMPAGPLLQRCSLKHDLRLKSGVIVPAGAILVVPMQLVQTDDSSWGNDAGKFNPYRFLSKTEKTSASPNMDASIAGFTLLFPKCLSIRSDLFMLCIVC >KJB67219 pep chromosome:Graimondii2_0_v6:10:52855690:52860222:1 gene:B456_010G181000 transcript:KJB67219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSKLILCCFIACILFLGVNGENPYRYITWKVTYGDIYPLGVKQQGILINGQFPGPHIDAVTNDNLIISVYNYLNEPFLISWNGVQQRRNSWQDGVSGTNCPIRPGKNFTYVLQVKDQIGSFYYFPSLLFHKAAGGYGAIRIWSRPKIPVPFPSPAGDFTVLAGDWYKTNHYVLRRLLDSGHNLPFPDGLLINGRGWNGYTFTVDPGRTYRFRVSNVGLTTSINFRIQGHKLKLIEVEGSHTLQNTYTSFDIHLGQSCSFLVTADQIPQDYYVVASTRFTRRVLTTTAVLHYSNSKRGVSGPVPGAPTIQIAPSVMQARSIRWNLTASGPRPNPQGSYHYGLITPSRTIMLSNSAPYINGKQRYAVNGVSYVPADTPLKIADYFKIPGVFNLGSIPTWPPSGNNAYFQTSVMAANFREYVEIVFQNWEDTVQSWHIDGYSFFVVGMNGGQWTPASRSSYNLRDTVARCTTQVMDCNLHGFRQRGNVEYTVRELGQAIFRPAVLSQGLLPCKFMER >KJB67220 pep chromosome:Graimondii2_0_v6:10:52855690:52860222:1 gene:B456_010G181000 transcript:KJB67220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSKLILCCFIACILFLGVNGENPYRYITWKVTYGDIYPLGVKQQGILINGQFPGPHIDAVTNDNLIISVYNYLNEPFLISWNGVQQRRNSWQDGVSGTNCPIRPGKNFTYVLQVKDQIGSFYYFPSLLFHKAAGGYGAIRIWSRPKIPVPFPSPAGDFTVLAGDWYKTNHYVLRRLLDSGSHTLQNTYTSFDIHLGQSCSFLVTADQIPQDYYVVASTRFTRRVLTTTAVLHYSNSKRGVSGPVPGAPTIQIAPSVMQARSIRWNLTASGPRPNPQGSYHYGLITPSRTIMLSNSAPYINGKQRYAVNGVSYVPADTPLKIADYFKIPGVFNLGSIPTWPPSGNNAYFQTSVMAANFREYVEIVFQNWEDTVQSWHIDGYSFFVVGMNGGQWTPASRSSYNLRDTVARCTTQVYPRSWTAIYMALDNVGMWNIRSENWARQYLGQQFYLRVYSPANSWRDELPIPKNALLCGRARGRHTRPF >KJB67218 pep chromosome:Graimondii2_0_v6:10:52854903:52860222:1 gene:B456_010G181000 transcript:KJB67218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSKLILCCFIACILFLGVNGENPYRYITWKVTYGDIYPLGVKQQGILINGQFPGPHIDAVTNDNLIISVYNYLNEPFLISWNGVQQRRNSWQDGVSGTNCPIRPGKNFTYVLQVKDQIGSFYYFPSLLFHKAAGGYGAIRIWSRPKIPVPFPSPAGDFTVLAGDWYKTNHYVLRRLLDSGHNLPFPDGLLINGRGWNGYTFTVDPGRTYRFRVSNVGLTTSINFRIQGHKLKLIEVEGSHTLQNTYTSFDIHLGQSCSFLVTADQIPQDYYVVASTRFTRRVLTTTAVLHYSNSKRGVSGPVPGAPTIQIAPSVMQARSIRWNLTASGPRPNPQGSYHYGLITPSRTIMLSNSAPYINGKQRYAVNGVSYVPADTPLKIADYFKIPGVFNLGSIPTWPPSGNNAYFQTSVMAANFREYVEIVFQNWEDTVQSWHIDGYSFFVVGMNGGQWTPASRSSYNLRDTVARCTTQVYPRSWTAIYMALDNVGMWNIRSENWARQYLGQQFYLRVYSPANSWRDELPIPKNALLCGRARGRHTRPF >KJB68316 pep chromosome:Graimondii2_0_v6:10:60807475:60814887:-1 gene:B456_010G238300 transcript:KJB68316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMIRSIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLAPEVDHKTVTGLLRMIESERLGEAVDRTLINHLLQMFTALGIYSGSFEKPFLECTSEFYAAEGTKYMQQYDVPDYLKHVETRLHEEHERCLLYLGDLTRKPLIATVERQLLERHIHAILDKGFMMLMDGHRIEDLQRMYSLFSRVNSLEPLRQAVSSYIRRTGQGIVMDEEKDKDMVPSLLEFKASLDSIWEESFSKNEGFCNHIRDAFEHLINIRQNQPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMVVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFTKGKKELAVSLFQTVVLMLFNDAEKLSFQDIKDSTAIEDKELRRTLQSLACGKVRVLQKLPKGREVEDDDSFVFNEGFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >KJB68315 pep chromosome:Graimondii2_0_v6:10:60808911:60814749:-1 gene:B456_010G238300 transcript:KJB68315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAKSHSVAGSIDPNKNGLHHHHQDGNDVVFDPSSMSLGDDSKSGVPRSPATANLSRKKATPPQPAKKLVIKFVKAKPTMPTNFEEETWAKLKSAINAIFLKQPDSCDLEKLYQDVNNLCLHKLGGSLYQRIEKECEEHISAALRSLVGQSPDLVVFLSLVEKCWQDLCDQMLMIRSIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLAPEVDHKTVTGLLRMIESERLGEAVDRTLINHLLQMFTALGIYSGSFEKPFLECTSEFYAAEGTKYMQQYDVPDYLKHVETRLHEEHERCLLYLGDLTRKPLIATVERQLLERHIHAILDKGFMMLMDGHRIEDLQRMYSLFSRVNSLEPLRQAVSSYIRRTGQGIVMDEEKDKDMVPSLLEFKASLDSIWEESFSKNEGFCNHIRDAFEHLINIRQNQPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMVVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFTKGKKELAVSLFQTVVLMLFNDAEKLSFQDIKDSTAIEDKELRRTLQSLACGKVRVLQKLPKGREVEDDDSFVFNEGFSAPLYRIKVHALVMFF >KJB68317 pep chromosome:Graimondii2_0_v6:10:60807475:60814887:-1 gene:B456_010G238300 transcript:KJB68317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTALGIYSGSFEKPFLECTSEFYAAEGTKYMQQYDVPDYLKHVETRLHEEHERCLLYLGDLTRKPLIATVERQLLERHIHAILDKGFMMLMDGHRIEDLQRMYSLFSRVNSLEPLRQAVSSYIRRTGQGIVMDEEKDKDMVPSLLEFKASLDSIWEESFSKNEGFCNHIRDAFEHLINIRQNQPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMVVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFTKGKKELAVSLFQTVVLMLFNDAEKLSFQDIKDSTAIEDKELRRTLQSLACGKVRVLQKLPKGREVEDDDSFVFNEGFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >KJB68318 pep chromosome:Graimondii2_0_v6:10:60807475:60814945:-1 gene:B456_010G238300 transcript:KJB68318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAKSHSVAGSIDPNKNGLHHHHQDGNDVVFDPSSMSLGDDSKSGVPRSPATANLSRKKATPPQPAKKLVIKFVKAKPTMPTNFEEETWAKLKSAINAIFLKQPDSCDLEKLYQDVNNLCLHKLGGSLYQRIEKECEEHISAALRSLVGQSPDLVVFLSLVEKCWQDLCDQMLMIRSIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLAPEVDHKTVTGLLRMIESERLGEAVDRTLINHLLQMFTALGIYSGSFEKPFLECTSEFYAAEGTKYMQQYDVPDYLKHVETRLHEEHERCLLYLGDLTRKPLIATVERQLLERHIHAILDKGFMMLMDGHRIEDLQRMYSLFSRVNSLEPLRQAVSSYIRRTGQGIVMDEEKDKDMVPSLLEFKASLDSIWEESFSKNEGFCNHIRDAFEHLINIRQNQPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMVVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFTKGKKELAVSLFQTVVLMLFNDAEKLSFQDIKDSTAIEDKELRRTLQSLACGKVRVLQKLPKGREVEDDDSFVFNEGFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >KJB68319 pep chromosome:Graimondii2_0_v6:10:60808275:60814887:-1 gene:B456_010G238300 transcript:KJB68319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAKSHSVAGSIDPNKNGLHHHHQDGNDVVFDPSSMSLGDDSKSGVPRSPATANLSRKKATPPQPAKKLVIKFVKAKPTMPTNFEEETWAKLKSAINAIFLKQPDSCDLEKLYQDVNNLCLHKLGGSLYQRIEKECEEHISAALRSLVGQSPDLVVFLSLVEKCWQDLCDQMLMIRSIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLAPEVDHKTVTGLLRMIESERLGEAVDRTLINHLLQMFTALGIYSGSFEKPFLECTSEFYAAEGTKYMQQYDVPDYLKHVETRLHEEHERCLLYLGDLTRKPLIATVERQLLERHIHAILDKGFMMLMDGHRIEDLQRMYSLFSRVNSLEPLRQAVSSYIRRTGQGIVMDEEKDKDMVPSLLEFKASLDSIWEESFSKNEGFCNHIRDAFEHLINIRQNQPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMVVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFTKGKKELAVSLFQTVVLMLFNDAEKLSFQDIKDSTAIEDKELRRTLQSLACGKVRVLQKLPKGREVEDDDSFVFNEGFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQVSVFLLFALG >KJB63953 pep chromosome:Graimondii2_0_v6:10:2158467:2159266:-1 gene:B456_010G026700 transcript:KJB63953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLCSLFIAALLFNFMLSYAARPEPTLSLTQHEGVEAEKVDADENCEGIGKEECLMRRTLAAHVDYIYTQNHKP >KJB65432 pep chromosome:Graimondii2_0_v6:10:16920942:16922529:1 gene:B456_010G097700 transcript:KJB65432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLYEAAAEGNVTIFLELIRQDHLLLDRVLVNYAIETHLHVAAMLGHTDFVREIIQRKPEFTRELDSRGSSPLHLASAKGYVDIVKALLFVNPDMCLAVDIEERNPLHLAAMKGQVHVLNELVRVRPQAARVTVSWGETILHLCVKYGQFASFKAVVEVMNNQEFVNAKDDYGMTVLHLAVAYKQIETIKFLLTSTSVEVNGLNANGLTALDVLARSRRGLKGFDIAESLRDAGALRAAEISHWRIETPPLIAQNVATNQPDREYQWVQKTYKKEDWLTRKRDSLMVVASLMATMSFQAGLTPPATERSHEAGTSIIADTNQRYYSQYLAANTISFMASMSIILLLITGLPFKRRLFMWILTVIVWIAISSMGFTYRISLLVFTPKSQKLTVTRVLEYAVIAWIGVMALLLLAHTIRLIVIVFNKVENVKEETQKPPPPLSYTKNINL >KJB68307 pep chromosome:Graimondii2_0_v6:10:60785520:60788981:-1 gene:B456_010G237900 transcript:KJB68307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDRERHRARETAFYLFTQQSLPACKPVLTPGWVIAIFLFMGVIFIPVGLVTLRASHCVVEIVDRYDIDCVPEPSRMDKVSYIQDDSIPKNCSRFMKVHKYMKAPIYIYYQLDNYYQNHRRYVKSRSDKQLLDGQKYHDTSYCQPVESNNNRPIVPCGLIAWSLFNDTFEFIREGAELKVNRKNIAWKSDRGHKFGKNVYPFNFQNGTLIGGGKLNPEIPLSDQEDLIVWMRTSALPSFRKLYGRIEEDLDVDDVVVVNLMNNYNTYSFGGKKKLVLSTSSWLGGKNDFLGHACVFVGCSSLTLAIIFVLLHVKYRR >KJB68304 pep chromosome:Graimondii2_0_v6:10:60785520:60788981:-1 gene:B456_010G237900 transcript:KJB68304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDRERHRARETAFYLFTQQSLPACKPVLTPGWVIAIFLFMGVIFIPVGLVTLRASHCVVEIVDRYDIDCVPEPSRMDKVSYIQDDSIPKNCSRFMKVHKYMKAPIYIYYQLDNYYQNHRRYVKSRSDKQLLDGQKYHDTSYCQPVESNNNRPIVPCGLIAWSLFNDTFEFIREGAELKVNRKNIAWKSDRGHKFGKNVYPFNFQNGTLIGGGKLNPEIPLSDQEDLIVWMRTSALPSFRKLYGRIEEDLDVDDVVVVNLMNNYNTYSFGGKKKLVLSTSSWLGGKNDFLGHACVFVGCSSLTLAIIFVLLHVKYRR >KJB68306 pep chromosome:Graimondii2_0_v6:10:60784978:60790827:-1 gene:B456_010G237900 transcript:KJB68306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIFIPVGLVTLRASHCVVEIVDRYDIDCVPEPSRMDKVSYIQDDSIPKNCSRFMKVHKYMKAPIYIYYQLDNYYQNHRRYVKSRSDKQLLDGQKYHDTSYCQPVESNNNRPIVPCGLIAWSLFNDTFEFIREGAELKVNRKNIAWKSDRGHKFGKNVYPFNFQNGTLIGGGKLNPEIPLSDQEDLIVWMRTSALPSFRKLYGRIEEDLDVDDVVVVNLMNNYNTYSFGGKKKLVLSTSSWLGGKNDFLGHACVFVGCSSLTLAIIFVLLHVKYRRPYGDMSYLPWNRKSFSG >KJB68308 pep chromosome:Graimondii2_0_v6:10:60784935:60790864:-1 gene:B456_010G237900 transcript:KJB68308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDRERHRARETAFYLFTQQSLPACKPVLTPGWVIAIFLFMGVIFIPVGLVTLRASHCVVEIVDRYDIDCVPEPSRMDKVSYIQDDSIPKNCSRFMKVHKYMKAPIYIYYQLDNYYQNHRRYVKSRSDKQLLDGQKYHDTSYCQPVESNNNRPIVPCGLIAWSLFNDTFEFIREGAELKVNRKNIAWKSDRGHKFGKNVYPFNFQNGTLIGGGKLNPEIPLSDQEDLIVWMRTSALPSFRKLYGRIEEDLDVDDVVVVNLMNNYNTYSFGGKKKLVLSTSSWLGGKNDFLGHACVFVGCSSLTLAIIFVLLHALWRHELFTLEQEKLFRVIRTRSTYI >KJB68305 pep chromosome:Graimondii2_0_v6:10:60785520:60787878:-1 gene:B456_010G237900 transcript:KJB68305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIFIPVGLVTLRASHCVVEIVDRYDIDCVPEPSRMDKVSYIQDDSIPKNCSRFMKVHKYMKAPIYIYYQLDNYYQNHRRYVKSRSDKQLLDGQKYHDTSYCQPVESNNNRPIVPCGLIAWSLFNDTFEFIREGAELKVNRKNIAWKSDRGHKFGKNVYPFNFQNGTLIGGGKLNPEIPLSDQEDLIVWMRTSALPSFRKLYGRIEEDLDVDDVVVVNLMNNYNTYSFGGKKKLVLSTSSWLGGKNDFLGHACVFVGCSSLTLAIIFVLLHVKYRR >KJB68303 pep chromosome:Graimondii2_0_v6:10:60785287:60789023:-1 gene:B456_010G237900 transcript:KJB68303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDRERHRARETAFYLFTQQSLPACKPVLTPGWVIAIFLFMGVIFIPVGLVTLRASHCVVEIVDRYDIDCVPEPSRMDKVSYIQDDSIPKNCSRFMKVHKYMKAPIYIYYQLDNYYQNHRRYVKSRSDKQLLDGQKYHDTSYCQPVESNNNRPIVPCGLIAWSLFNDTFEFIREGAELKVNRKNIAWKSDRGHKFGKNVYPFNFQNGTLIGGGKLNPEIPLSDQEDLIVWMRTSALPSFRKLYGRIEEDLDVDDVVVVNLMNNYNTYSFGGKKKLVLSTSSWLGGKNDFLGHACVFVGCSSLTLAIIFVLLHVKYRRPYGDMSYLPWNRKSFSG >KJB63393 pep chromosome:Graimondii2_0_v6:10:18681765:18682456:-1 gene:B456_010G103100 transcript:KJB63393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSMSTLMVVLVAVCALMGSAIAADAPAPSPTSGAGSISPPFVSVSVAAAAMALLFGSRLRI >KJB63394 pep chromosome:Graimondii2_0_v6:10:18681748:18682620:-1 gene:B456_010G103100 transcript:KJB63394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSMSTLMVVLVAVCALMGSAIAADAPAPSPTSGAGSISPPFVSVSVAAAAMALLFGSRLRI >KJB64362 pep chromosome:Graimondii2_0_v6:10:4721086:4731018:-1 gene:B456_010G045100 transcript:KJB64362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHIEFEQQRELRERGLQVGSDQNGPDQSSSGKVSVSEDIVNSNTVKVNPRVRFKEKSKEHSSLEEDVGLNEDYGDSAVGFDGSSNTSESLYAEKHDTSSTHEIDSIKSTVSGDLTGLGHSPQQEKGDPSDHRFLAQGTNNWVHGWSSDHSGDNDLTVVYEENSRLRGCLEVAESSIQELKREVSLLQNHASQIGAETEKFAQQLVTEISSGERLEKEVSALKLECSRLKDDLERMSSSTLYPSLTSKEAIKKDQDHLLQDLEVIFSKGLLVMEEKIRELQNKACLNYHERDQRFLQADLEALFGILQDLKQGTQKEIFILRSVPSDRCNMKSTREMSLTNSFIPATSFDAELYQPEPGMVPCITVPGLVSHEPDSISTSNAMKSKIFELLRELDESKAEWESLAKKMDQMECYYEALVQELEENQRQMMAELQSLRNEHSTCLYRVQSANAEMEAMRQDMNEQVLRFAEEKQDLESLSKELERRAIIAEAALKRARLNYSIAVGQLQKDLELLSSQVMSVFETNENLIRQAFVDSSQTNSRGYSEMVRNHGLDSEEFQPTKPLHSQNQYVGVKKQHLGGDILLEDLKRSLHLQETLYQKVEEEVCEMHYQNVYLDVFSNTLQDTLLEASDEMKTMKEKMDGLTWKLELSVESKELLMQRLQTATDDVHSLNEYKATCIAKYNDLALEKQALEANVENVTHENHLLSEKVTELECHLMEYQSYKSKFDACVMEKTELANLLKEGTLENDNLRNNNSSLQDELRMIKTEFDELNLVKEKLQNTVDFLRNKFLNLLSSYGKFFDEPSLSSDLVCQDRESMDLTSVIVEVEEAQNNAYEKFLHLLEEKKDLMDERDKAQVSLSAVESEMVLMKQKFERDIQSMVDKMDLSNVVVEKLQLEIEAVTEKLKDSSEVETYAQRQRDLLSDLQHFEAELQELTSKNKEIAEELLVLESVNEDLGSSKLIVAELVEENKTLVQSLQDKSEEAAELAFELNGLKESLHSVHDELQAERSTKNNLESMVTDLTSQMNEKHHQLLQFDQQNSELAHLKQMLLDLESEKSRVCSLLQQYDECLNNASKESSTITSLESELSEMHELSVAAGVSLIFLRTQYETWTTDLVCQLSSSERHLGELQEKHLNFESILNDCLAREAHCIEENRRLSVSLDSLKSELEASMAENKVLLNKNSSAISELQDYRSRIEKIEFAFFEDKHQHALEVERLKHLLGGSQEEIDDLMILKEGLELNVLVLKAKLDEQSTQISLLVGRKDEVLLLQNQCNELSQRLSEQILKTEEFKNLSIHLKELKDKADAESIQAREKRESEAPPTAMQESLRIAFIKEQYETRLQELKHQLAISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKIEELGVKILELEAELQSLVLDKREKMRAYDLMKAELDCSMISLECCKEEKEKLEASLQECKEEKSRISVELSIVKELLEASTSTMNVQKEKDGKLKDGCFSDELVVNNALTRDIDLKYLDQDTPKNSKDADDGSDCTSAPTNSQLEQDLISNDTHEVHSLALVNQCNLPNSDAKHLALINDRFKAQSLRSSMDHLTSELERMKNENLVLSKDAHHFDTKFPGLQQELMQLDKVNEELGSIFPMFNEYSETGNALERVLALELELAEALQTKKSSILFQSSFLKQHNDEEAVFKSFRDINELIKDMLEIKGRYGAVETELKEMHERYSQLSLQFAEVEGERQKLMMTLKNVRALRKGQNLIRSSSASPGDHS >KJB64363 pep chromosome:Graimondii2_0_v6:10:4721102:4730216:-1 gene:B456_010G045100 transcript:KJB64363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIPKWKIEKTKIKVVFRLQFHATHIPQNGWDKLYISFIPADSGKATAKTTKANVRNGTCKWADPIYETTRLLQDIKTKQFDEKLYKLVVAMGSSRSGLLGEATINLADYADASKPSVVPLPLIGCDSGAILHVTVQLLTSKTGFREFEQQRELRERGLQVGSDQNGPDQSSSGKVSVSEDIVNSNTVKVNPRVRFKEKSKEHSSLEEDVGLNEDYGDSAVGFDGSSNTSESLYAEKHDTSSTHEIDSIKSTVSGDLTGLGHSPQQEKGDPSDHRFLAQGTNNWVHGWSSDHSGDNDLTVVYEENSRLRGCLEVAESSIQELKREVSLLQNHASQIGAETEKFAQQLVTEISSGERLEKEVSALKLECSRLKDDLERMSSSTLYPSLTSKEAIKKDQDHLLQDLEVIFSKGLLVMEEKIRELQNKACLNYHERDQRFLQADLEALFGILQDLKQGTQKEIFILRSVPSDRCNMKSTREMSLTNSFIPATSFDAELYQPEPGMVPCITVPGLVSHEPDSISTSNAMKSKIFELLRELDESKAEWESLAKKMDQMECYYEALVQELEENQRQMMAELQSLRNEHSTCLYRVQSANAEMEAMRQDMNEQVLRFAEEKQDLESLSKELERRAIIAEAALKRARLNYSIAVGQLQKDLELLSSQVMSVFETNENLIRQAFVDSSQTNSRGYSEMVRNHGLDSEEFQPTKPLHSQNQYVGVKKQHLGGDILLEDLKRSLHLQETLYQKVEEEVCEMHYQNVYLDVFSNTLQDTLLEASDEMKTMKEKMDGLTWKLELSVESKELLMQRLQTATDDVHSLNEYKATCIAKYNDLALEKQALEANVENVTHENHLLSEKVTELECHLMEYQSYKSKFDACVMEKTELANLLKEGTLENDNLRNNNSSLQDELRMIKTEFDELNLVKEKLQNTVDFLRNKFLNLLSSYGKFFDEPSLSSDLVCQDRESMDLTSVIVEVEEAQNNAYEKFLHLLEEKKDLMDERDKAQVSLSAVESEMVLMKQKFERDIQSMVDKMDLSNVVVEKLQLEIEAVTEKLKDSSEVETYAQRQRDLLSDLQHFEAELQELTSKNKEIAEELLVLESVNEDLGSSKLIVAELVEENKTLVQSLQDKSEEAAELAFELNGLKESLHSVHDELQAERSTKNNLESMVTDLTSQMNEKHHQLLQFDQQNSELAHLKQMLLDLESEKSRVCSLLQQYDECLNNASKESSTITSLESELSEMHELSVAAGVSLIFLRTQYETWTTDLVCQLSSSERHLGELQEKHLNFESILNDCLAREAHCIEENRRLSVSLDSLKSELEASMAENKVLLNKNSSAISELQDYRSRIEKIEFAFFEDKHQHALEVERLKHLLGGSQEEIDDLMILKEGLELNVLVLKAKLDEQSTQISLLVGRKDEVLLLQNQCNELSQRLSEQILKTEEFKNLSIHLKELKDKADAESIQAREKRESEAPPTAMQESLRIAFIKEQYETRLQELKHQLAISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKIEELGVKILELEAELQSLVLDKREKMRAYDLMKAELDCSMISLECCKEEKEKLEASLQECKEEKSRISVELSIVKELLEASTSTMNVQKEKDGKLKDGCFSDELVVNNALTRDIDLKYLDQDTPKNSKDADDGSDCTSAPTNSQLEQDLISNDTHEVHSLALVNQCNLPNSDAKHLALINDRFKAQSLRSSMDHLTSELERMKNENLVLSKDAHHFDTKFPGLQQELMQLDKVNEELGSIFPMFNEYSETGNALERVLALELELAEALQTKKSSILFQSSFLKQHNDEEAVFKSFRDINELIKDMLEIKGRYGAVETELKEMHERYSQLSLQFAEVEGERQKLMMTLKNVRALRKGQNLIRSSSASPGDHS >KJB64360 pep chromosome:Graimondii2_0_v6:10:4720471:4731690:-1 gene:B456_010G045100 transcript:KJB64360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIPKWKIEKTKIKVVFRLQFHATHIPQNGWDKLYISFIPADSGKATAKTTKANVRNGTCKWADPIYETTRLLQDIKTKQFDEKLYKLVVAMGSSRSGLLGEATINLADYADASKPSVVPLPLIGCDSGAILHVTVQLLTSKTGFREFEQQRELRERGLQVGSDQNGPDQSSSGKVSVSEDIVNSNTVKVNPRVRFKEKSKEHSSLEEDVGLNEDYGDSAVGFDGSSNTSESLYAEKHDTSSTHEIDSIKSTVSGDLTGLGHSPQQEKGDPSDHRFLAQGTNNWVHGWSSDHSGDNDLTVVYEENSRLRGCLEVAESSIQELKREVSLLQNHASQIGAETEKFAQQLVTEISSGERLEKEVSALKLECSRLKDDLERMSSSTLYPSLTSKEAIKKDQDHLLQDLEVIFSKGLLVMEEKIRELQNKACLNYHERDQRFLQADLEALFGILQDLKQGTQKEIFILRSVPSDRCNMKSTREMSLTNSFIPATSFDAELYQPEPGMVPCITVPGLVSHEPDSISTSNAMKSKIFELLRELDESKAEWESLAKKMDQMECYYEALVQELEENQRQMMAELQSLRNEHSTCLYRVQSANAEMEAMRQDMNEQVLRFAEEKQDLESLSKELERRAIIAEAALKRARLNYSIAVGQLQKDLELLSSQVMSVFETNENLIRQAFVDSSQTNSRGYSEMVRNHGLDSEEFQPTKPLHSQNQYVGVKKQHLGGDILLEDLKRSLHLQETLYQKVEEEVCEMHYQNVYLDVFSNTLQDTLLEASDEMKTMKEKMDGLTWKLELSVESKELLMQRLQTATDDVHSLNEYKATCIAKYNDLALEKQALEANVENVTHENHLLSEKVTELECHLMEYQSYKSKFDACVMEKTELANLLKEGTLENDNLRNNNSSLQDELRMIKTEFDELNLVKEKLQNTVDFLRNKFLNLLSSYGKFFDEPSLSSDLVCQDRESMDLTSVIVEVEEAQNNAYEKFLHLLEEKKDLMDERDKAQVSLSAVESEMVLMKQKFERDIQSMVDKMDLSNVVVEKLQLEIEAVTEKLKDSSEVETYAQRQRDLLSDLQHFEAELQELTSKNKEIAEELLVLESVNEDLGSSKLIVAELVEENKTLVQSLQDKSEEAAELAFELNGLKESLHSVHDELQAERSTKNNLESMVTDLTSQMNEKHHQLLQFDQQNSELAHLKQMLLDLESEKSRVCSLLQQYDECLNNASKESSTITSLESELSEMHELSVAAGVSLIFLRTQYETWTTDLVCQLSSSERHLGELQEKHLNFESILNDCLAREAHCIEENRRLSVSLDSLKSELEASMAENKVLLNKNSSAISELQDYRSRIEKIEFAFFEDKHQHALEVERLKHLLGGSQEEIDDLMILKEGLELNVLVLKAKLDEQSTQISLLVGRKDEVLLLQNQCNELSQRLSEQILKTEEFKNLSIHLKELKDKADAESIQAREKRESEAPPTAMQESLRIAFIKEQYETRLQELKHQLAISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKIEELGVKILELEAELQSLVLDKREKMRAYDLMKAELDCSMISLECCKEEKEKLEASLQECKEEKSRISVELSIVKELLEASTSTMNVQKEKDGKLKDGCFSDELVVNNALTRDIDLKYLDQDTPKNSKDADDGSDCTSAPTNSQLEQDLISNDTHEVHSLALVNQCNLPNSDAKHLALINDRFKAQSLRSSMDHLTSELERMKNENLVLSKDAHHFDTKFPGLQQELMQLDKVNEELGSIFPMFNEYSETGNALERVLALELELAEALQTKKSSILFQSSFLKQHNDEEAVFKSFRDINELIKDMLEIKGRYGAVETELKEMHERYSQLSLQFAEVEGERQKLMMTLKNVRALRKGQNLIRSSSASPGDHS >KJB64361 pep chromosome:Graimondii2_0_v6:10:4721086:4730869:-1 gene:B456_010G045100 transcript:KJB64361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIPKWKIEKTKIKVVFRLQFHATHIPQNGWDKLYISFIPADSGKATAKTTKANVRNGTCKWADPIYETTRLLQDIKTKQFDEKLYKLVVAMGSSRSGLLGEATINLADYADASKPSVVPLPLIGCDSGAILHVTVQLLTSKTGFREFEQQRELRERGLQVGSDQNGPDQSSSGKVSVSEDIVNSNTVKVNPRVRFKEKSKEHSSLEEDVGLNEDYGDSAVGFDGSSNTSESLYAEKHDTSSTHEIDSIKSTVSGDLTGLGHSPQQEKGDPSDHRFLAQGTNNWVHGWSSDHSGDNDLTVVYEENSRLRGCLEVAESSIQELKREVSLLQNHASQIGAETEKFAQQLVTEISSGERLEKEVSALKLECSRLKDDLERMSSSTLYPSLTSKEAIKKDQDHLLQDLEVIFSKGLLVMEEKIRELQNKACLNYHERDQRFLQADLEALFGILQDLKQGTQKEIFILRSVPSDRCNMKSTREMSLTNSFIPATSFDAELYQPEPGMVPCITVPGLVSHEPDSISTSNAMKSKIFELLRELDESKAEWESLAKKMDQMECYYEALVQELEENQRQMMAELQSLRNEHSTCLYRVQSANAEMEAMRQDMNEQVLRFAEEKQDLESLSKELERRAIIAEAALKRARLNYSIAVGQLQKDLELLSSQVMSVFETNENLIRQAFVDSSQTNSRGYSEMVRNHGLDSEEFQPTKPLHSQNQYVGVKKQHLGGDILLEDLKRSLHLQETLYQKVEEEVCEMHYQNVYLDVFSNTLQDTLLEASDEMKTMKEKMDGLTWKLELSVESKELLMQRLQTATDDVHSLNEYKATCIAKYNDLALEKQALEANVENVTHENHLLSEKVTELECHLMEYQSYKSKFDACVMEKTELANLLKEGTLENDNLRNNNSSLQDELRMIKTEFDELNLVKEKLQNTVDFLRNKFLNLLSSYGKFFDEPSLSSDLVCQDRESMDLTSVIVEVEEAQNNAYEKFLHLLEEKKDLMDERDKAQVSLSAVESEMVLMKQKFERDIQSMVDKMDLSNVVVEKLQLEIEAVTEKLKDSSEVETYAQRQRDLLSDLQHFEAELQELTSKNKEIAEELLVLESVNEDLGSSKLIVAELVEENKTLVQSLQDKSEEAAELAFELNGLKESLHSVHDELQAERSTKNNLESMVTDLTSQMNEKHHQLLQFDQQNSELAHLKQMLLDLESEKSRVCSLLQQYDECLNNASKESSTITSLESELSEMHELSVAAGVSLIFLRTQYETWTTDLVCQLSSSERHLGELQEKHLNFESILNDCLAREAHCIEENRRLSVSLDSLKSELEASMAENKVLLNKNSSAISELQDYRSRIEKIEFAFFEDKHQHALEVERLKHLLGGSQEEIDDLMILKEGLELNVLVLKAKLDEQSTQISLLVGRKDEVLLLQNQCNELSQRLSEQILKTEEFKNLSIHLKELKDKADAESIQAREKRESEAPPTAMQESLRIAFIKEQYETRLQELKHQLAISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKIEELGVKILELEAELQSLVLDKREKMRAYDLMKAELDCSMISLECCKEEKEKLEASLQECKEEKSRISVELSIVKELLEASTSTMNVQKEKDGKLKDGCFSDELVVNNALTRDIDLKYLDQDTPKNSKDADDGSDCTSAPTNSQLEQDLISNDTHEVHSLALVNQCNLPNSDAKHLALINDRFKAQSLRSSMDHLTSELERMKNENLVLSKDAHHFDTKFPGE >KJB64359 pep chromosome:Graimondii2_0_v6:10:4721102:4730216:-1 gene:B456_010G045100 transcript:KJB64359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIPKWKIEKTKIKVVFRLQFHATHIPQNGWDKLYISFIPADSGKATAKTTKANVRNGTCKWADPIYETTRLLQDIKTKQFDEKLYKLVVAMGSSRSGLLGEATINLADYADASKPSVVPLPLIGCDSGAILHVTVQLLTSKTGFREFEQQRELRERGLQVGSDQNGPDQSSSGKVSVSEDIVNSNTVKVNPRVRFKEKSKEHSSLEEDVGLNEDYGDSAVGFDGSSNTSESLYAEKHDTSSTHEIDSIKSTVSGDLTGLGHSPQQEKGDPSDHRFLAQGTNNWVHGWSSDHSGDNDLTVVYEENSRLRGCLEVAESSIQELKREVSLLQNHASQIGAETEKFAQQLVTEISSGERLEKEVSALKLECSRLKDDLERMSSSTLYPSLTSKEAIKKDQDHLLQDLEVIFSKGLLVMEEKIRELQNKACLNYHERDQRFLQADLEALFGILQDLKQGTQKEIFILRSVPSDRCNMKSTREMSLTNSFIPATSFDAELYQPEPGMVPCITVPGLVSHEPDSISTSNAMKSKIFELLRELDESKAEWESLAKKMDQMECYYEALVQELEENQRQMMAELQSLRNEHSTCLYRVQSANAEMEAMRQDMNEQVLRFAEEKQDLESLSKELERRAIIAEAALKRARLNYSIAVGQLQKDLELLSSQVMSVFETNENLIRQAFVDSSQTNSRGYSEMVRNHGLDSEEFQPTKPLHSQNQYVGVKKQHLGGDILLEDLKRSLHLQETLYQKVEEEVCEMHYQNVYLDVFSNTLQDTLLEASDEMKTMKEKMDGLTWKLELSVESKELLMQRLQTATDDVHSLNEYKATCIAKYNDLALEKQALEANVENVTHENHLLSEKVTELECHLMEYQSYKSKFDACVMEKTELANLLKEGTLENDNLRNNNSSLQDELRMIKTEFDELNLVKEKLQNTVDFLRNKFLNLLSSYGKFFDEPSLSSDLVCQDRESMDLTSVIVEVEEAQNNAYEKFLHLLEEKKDLMDERDKAQVSLSAVESEMVLMKQKFERDIQSMVDKMDLSNVVVEKLQLEIEAVTEKLKDSSEVETYAQRQRDLLSDLQHFEAELQELTSKNKEIAEELLVLESVNEDLGSSKLIVAELVEENKTLVQSLQDKSEEAAELAFELNGLKESLHSVHDELQAERSTKNNLESMVTDLTSQMNEKHHQLLQFDQQNSELAHLKQMLLDLESEKSRVCSLLQQYDECLNNASKESSTITSLESELSEMHELSVAAGVSLIFLRTQYETWTTDLVCQLSSSERHLGELQEKHLNFESILNDCLAREAHCIEENRRLSVSLDSLKSELEASMAENKVLLNKNSSAISELQDYRSRIEKIEFAFFEDKHQHALEVERLKHLLGGSQEEIDDLMILKEGLELNVLVLKAKLDEQSTQISLLVGRKDEVLLLQNQCNELSQRLSEQILKTEEFKNLSIHLKELKDKADAESIQAREKRESEAPPTAMQESLRIAFIKEQYETRLQELKHQLAISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKIEELGVKILELEAELQSLVLDKREKMRAYDLMKAELDCSMISLECCKEEKEKLEASLQECKEEKSRISVELSIVKELLEASTSTMNVQKEKDGKLKDGCFSDELVVNNALTRDIDLKYLDQDTPKNSKDADDGSDCTSAPTNSQLEQDLISNDTHEVHSLALVNQCNLPNSDAKHLALINDRFKAQSLRSSMDHLTSELERMKNENLVLSKDAHHFDTKFPGLQQELMQLDKVNEELGSIFPMFNEYSETGNALERVLALELELAEALQTKKSSILFQSSFLKQHNDEEAVFKSFRDINELIKDMLEIKGRYGAVETELKEMHERYSQLSLQFAEVEGERQKLMMTLKNVRALRKGQNLIRSSSASPGDHS >KJB64906 pep chromosome:Graimondii2_0_v6:10:10090308:10090872:-1 gene:B456_010G0718001 transcript:KJB64906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEDEHEGFIDEVSAIRQATRESIQSQHEWHRREEFRRSTGGWDNIYEEGRSSHGSVREHNRERTSKSIPGEAVSKFIIYERLPFQLASSPWLYNLIQVATEVGQGIKLPTPYEVSDVYLESEYQRVHDWVNVLKTHWKELGAILMCDGWTN >KJB64585 pep chromosome:Graimondii2_0_v6:10:6413270:6415264:1 gene:B456_010G056100 transcript:KJB64585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGFVSHHSEHMQTLAFNQPSSPPNFDPAKCKAEIIGHPMYPQLLEGHVACLRVATPVDQLPKIDAQLARSQDVSAKYSSSPAAGAAHHELDHFMANYVLLLGFFKDQLQQHVRVHAMEAVMSCWDLEQSLQSLTGVSPGEGTGATMSDDEDEVVDNDHSLFDGSFDGIDSMGFGPLVPSETERSLMEHVRQELKHELKQRKLWTLERKFCAREELESYLVTPP >KJB64584 pep chromosome:Graimondii2_0_v6:10:6413149:6415264:1 gene:B456_010G056100 transcript:KJB64584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGFVSHHSEHMQTLAFNQPSSPPNFDPAKCKAEIIGHPMYPQLLEGHVACLRVATPVDQLPKIDAQLARSQDVSAKYSSSPAAGAAHHELDHFMANYVLLLGFFKDQLQQHVRVHAMEAVMSCWDLEQSLQSLTGVSPGEGTGATMSDDEDEVVDNDHSLFDGSFDGIDSMGFGPLVPSETERSLMEHVRQELKHELKQGYKEKIVDVREEILRKRRAGKLPGDTTLILKAWWQSHSKWPYPTEEDKARLAQKTGLQLKQINNWFINQRKRNWHNTPSTSLKSKREK >KJB68272 pep chromosome:Graimondii2_0_v6:10:60590451:60596262:1 gene:B456_010G235400 transcript:KJB68272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQRLKQQQQALMQQALIQQQSLYHPGILAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLLQEVFASTGPVEGCKLVRKEKSSYGFIHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDAMLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGVSSSDDKQSSDAKSVVELTNGSSEDGKETTNNEAPESNPQYTTVYVGNLAPEVTQVELHYHFHALGAGLIEEVRVQRDKGFGFVRYGTHAEAALAIQLGNTHSFLCGKQIKCSWGSKPTPPGTSSNPLPPPAATPLAGLSATDLLAYERQLAMNKMGGVHALMHPQGQHPLKQAAMGVGAAGASQAIYDGGFQNVAAAQQLMYYQ >KJB68273 pep chromosome:Graimondii2_0_v6:10:60590509:60596144:1 gene:B456_010G235400 transcript:KJB68273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQRLKQQQQALMQQALIQQQSLYHPGILAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLLQEVFASTGPVEGCKLVRKEKSSYGFIHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDAMLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGVSSSDDKQSSDAKSVVELTNGSSAWDAEDGKETTNNEAPESNPQYTTVYVGNLAPEVTQVELHYHFHALGAGLIEEVRVQRDKGFGFVRYGTHAEAALAIQLGNTHSFLCGKQIKCSWGSKPTPPGTSSNPLPPPAATPLAGLSATDLLAYERQLAMNKMGGVHALMHPQGQHPLKQAAMGVGAAGASQAIYDGGFQNVAAAQQLMYYQ >KJB68271 pep chromosome:Graimondii2_0_v6:10:60590492:60596298:1 gene:B456_010G235400 transcript:KJB68271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQRLKQQQQALMQQALIQQQSLYHPGILAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLLQEVFASTGPVEGCKLVRKEKSSYGFIHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDAMLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGVSSSDDKQSSDAKSVVELTNGSSEDGKETTNNEAPESNPQYTTVYVGNLAPEVTQVELHYHFHALGAGLIEEVRVQRDKGFGFVRYGTHAEAALAIQLGNTHSFLCGKQIKCSWGSKPTPPGTSSNPLPPPAATPLAGLSATDLLAYERQLAMNKMGGVHALMHPQGQHPLKQAAMGVGAAGASQAIYDGGFQNVAAAQQLMYYQ >KJB66591 pep chromosome:Graimondii2_0_v6:10:38287434:38289451:-1 gene:B456_010G145500 transcript:KJB66591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVKFTALSSILSHLLIGITLVEALLKCYDTGNFSTNSTYGKNRDLILASLPSNASAKGGFLTASIGQNSDTLAMCRGDSTPDDCYKCVNSTIHNLIANCPNQKEALSWEGQPCHVHYADRSFYGALELDPPEAVYNTGEITSNLTQFDTVWESLMDSVVQKASNGSSTLKYATGEAYFRFFQKIHAQMQCTPDLSQIICDFCLRQSVSTYQSCCHGKQGGYVQRPSCYFQWDLYPFYTPNASTTAPSLSPPPSPSVNTIKKVAILRKRIAKPKQDDQNAKTRVESLQFDFDAVRVATEDFSDANILGRGGFGPVYKLEDGRQVAIKRLSENSGQGQQEFKNEVMLLAKLQHRNLVRLLGFSLEQKERVLIYEFLPNSSLDNFIFGMTKRYKIINGIAKGLLYLHEYSQYRIIHRDVKTTNILLDEEMNPKISDFGMAKLFTVDQTRADTRKVVGT >KJB66780 pep chromosome:Graimondii2_0_v6:10:44369979:44370374:1 gene:B456_010G157900 transcript:KJB66780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTIQDIIFNKYEKIKPRQITNSELQLEIKQIKLELSQLKMEQQEIKEQMQTLKYKASEKSSLETKLEPEENTQEYMMVLTEVSIQRYLVKINIVINNELQLETIALFDTGADQNCIREGIIPTKYYNKTS >KJB67261 pep chromosome:Graimondii2_0_v6:10:53236207:53237538:-1 gene:B456_010G182700 transcript:KJB67261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTLISLPLLSLLFLNFAYPSQLPNNASASLLYNVSTISTVKFMNVIDSCWRTINWATNREALADCSVGFGKASIGGKYGPIYLVTTPYDDPVNPTPGTLRFGAIQSSPLWIIFATDMVITLKNELIVNSFKTIDGRGANVEIAYGPCITIHGVTDVIIHGISIHDCKPGVAGLVRSSPTYVGKRGGSDGDAVSVFSSSNIWIDHCYLARSEDGLIDVIHASTDVTISNNYFAQHDKVMLLGHSDDFAADEVMKVTLVFNRFGEGLIERMPRVRIGYAHVANNRYDEWKMYAIGGSANPTIFSEGNYFIAPDDPGSKEVTKRETNNWETWRWQSSKDVFINGAYFVPSGHGSSDPLYTMTQSFIVAPGYMVPDLTADAGPLHCLLDRPCML >KJB68374 pep chromosome:Graimondii2_0_v6:10:61087060:61090705:-1 gene:B456_010G241600 transcript:KJB68374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKEMSTPVVDRNDPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVLDHPNVISLKHCFFSTTTKNELFLNLVMEYVPESMYRVLKHYSSANQRMPLIYVKLYTYQIFRGLAYLHSVVGVCHRDLKPQNLLVDPLTHQAKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPPLFNFKQELSGASPELINKLIPDHVKRQIGLQHFMHPPGT >KJB68372 pep chromosome:Graimondii2_0_v6:10:61085419:61090069:-1 gene:B456_010G241600 transcript:KJB68372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPVVDRNDPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVLDHPNVISLKHCFFSTTTKNELFLNLVMEYVPESMYRVLKHYSSANQRMPLIYVKLYTYQIFRGLAYLHSVVGVCHRDLKPQNLLVDPLTHQAKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPPLFNFKQELSGASPELINKLIPDHVKRQIGLQHFMHPPGT >KJB68373 pep chromosome:Graimondii2_0_v6:10:61087060:61090577:-1 gene:B456_010G241600 transcript:KJB68373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKEMSTPVVDRNDPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVLDHPNVISLKHCFFSTTTKNELFLNLVMEYVPESMYRVLKHYSSANQRMPLIYVKLYTYQIFRGLAYLHSVVGVCHRDLKPQNLLVDPLTHQAKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPPLFNFKQEVCFLTKSSLNILDGIVFSVIHLYSFGYSYLGLHRSS >KJB68536 pep chromosome:Graimondii2_0_v6:10:61663936:61669744:1 gene:B456_010G248700 transcript:KJB68536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSVNSTPVNEKDEQVFQDFKLLNAVDDRYGGVIVEVREAMDSALFGSVLRASIAQWRHQGKKGVWIKLPIQHVNLVEAAVKEGFWFHHAEPNYLMLCYWIPEGTHTLPANASHRIGIGAFVMNEKREVLVVQESTGRFRGTGVWKFPTGVVNEGEDICAAAVREVKEETAVDTKFIEILAFRQSHKAFFDKSDIFFLCLLEPLSSEVQKQETELEAAKWMPFEEYEAQPFVQKHELLKYIVDICLAKKDRNYSGFSPVPTTSVFSDEKNYMYFNTTDLNGQ >KJB68535 pep chromosome:Graimondii2_0_v6:10:61663846:61669744:1 gene:B456_010G248700 transcript:KJB68535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRPAALLSFSITARNLWSLLGFRFAPKLASCTTLQLSSSSTASYRTRTRFRAMSTSVNSTPVNEKDEQVFQDFKLLNAVDDRYGGVIVEVREAMDSALFGSVLRASIAQWRHQGKKGVWIKLPIQHVNLVEAAVKEGFWFHHAEPNYLMLCYWIPEGTHTLPANASHRIGIGAFVMNEKREVLVVQESTGRFRGTGVWKFPTGVVNEGEDICAAAVREVKEETAVDTKFIEILAFRQSHKAFFDKSDIFFLCLLEPLSSEVQKQETELEAAKWMPFEEYEAQPFVQKHELLKYIVDICLAKKDRNYSGFSPVPTTSVFSDEKNYMYFNTTDLNGQ >KJB68178 pep chromosome:Graimondii2_0_v6:10:60201773:60203608:1 gene:B456_010G230300 transcript:KJB68178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSISFFQWVLLVQSVEPFVYDVNFAGAVGDGENDDTEAFKNAWNVICSSHTPLGIFRVPYGQKFLVQPLTFNGECRPKNITIQIDGILIAPSDPSSWKCNDANCNNWITFQHFDGLIIQGSGSLHGQGQKWWQMGCMQNKVGFAILDSKNVHISGLTSVDSRKWHISIERSSSVHASNLNIKAPEDSPNTDGIRIQHSTNVTISSSTIKTGDDCIGIGDGSKYININRILCGPGHGISIGSLGENGRRETVEYVTVRRARFYATENGVRIKTWQGGHGYARHIRLEHISFSRVIKPIIIDQYSCPPYQHCKNYSTAVEVSNILYNDLRGTTSGEIAVELSCSESVPCKNIRMKDIQLDYGIN >KJB67630 pep chromosome:Graimondii2_0_v6:10:56374029:56376711:1 gene:B456_010G201100 transcript:KJB67630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G55520) UniProtKB/TrEMBL;Acc:A0A178VDC9] MDDVTDLTGDGGVIKKIVTRAKAGALAPSEDLPMVDVHYEGTLAETGEVFDTTHEDNSVFSFELGKGTVIQAWDIALKTMKVGEVAKITCKPEYAYGAAGSPPDIPPNATLIFEVELLSCRPRKGSSLGSASAERARLEELKKQREIAASVKEEEKKKREEAKAAAAARIQAKLEAKKGQGKGKGKGK >KJB67629 pep chromosome:Graimondii2_0_v6:10:56374029:56376651:1 gene:B456_010G201100 transcript:KJB67629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G55520) UniProtKB/TrEMBL;Acc:A0A178VDC9] MDDVTDLTGDGGVIKKIVTRAKAGALAPSEDLPMVDVHYEGTLAETGEVFDTTHEDNSVFSFELGKGTVIQAWDIALKTMKVGEVAKITCKPEYAYGAAGSPPDIPPNATLIFEVELLSCRPRKGSSLGSASAERARLEELKKQREIAASVKEEEKKKREEAKAAAAARIQAKLEAKKGQGKGKGKGK >KJB67628 pep chromosome:Graimondii2_0_v6:10:56374916:56375636:1 gene:B456_010G201100 transcript:KJB67628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G55520) UniProtKB/TrEMBL;Acc:A0A178VDC9] MDDVTDLTGDGGVIKKIVTRAKAGALAPSEDLPMVDVHYEGTLAETGEVFDTTHEDNSVFSFELGKGTVIQAWDIALKTMKVGEVAKITCKPEYAYGAAGSPPDIPPKYLILIFIFYCYYNAIGLSMAMMAEI >KJB67144 pep chromosome:Graimondii2_0_v6:10:52037956:52040028:1 gene:B456_010G177700 transcript:KJB67144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSWKVVAFMLFLFHSTNHISLAQQQGNDEADDEAEKQPTIPKGQEHCKGIFIWYNFISRTKEFPRLKNTITQSWAFKSRVTILNMGTSELQAWKIFIGFQYKEILVSAKGAVLTSGVDFPAPVGNGTYLSGYPQTDLETSIDTANELDKIQVKVELRGTQFGLKPPGNPMPKTIKLANDGYKCPSPTRRTSMYVCCVKDPKLKANKTTKTKFFPRQKGDLLISYDVSQAYKNNYLAQVTIENRHPLGRLDHWNLTWEWMRGEFINSLKGAYVRKVDISGCLNGKVGEYYGDMDFSKVLNCEKKPILFDLPPEKADDAQMGKIPFCCRNGTLLPETMDSTKSKSAFVIEVFKIPPDLNRTTIYPPHKWKIVGDLNPDYRCGAPIRVEPAQSPDPTGVEAVKYAIASWQIVCNISRPTKGNSRCCVTFSAYYNKSAIPCDTCACGCEDTAKCNPNKPAMLLPPEALLVPFENRSVKAKAWAQIQHFKVPKPLPCGDNCGVSINWHVSSDYKQGWAARLTLFNWKSINFENWFTAVQFKKAGSGYERMFSFNATILKELNNTIFIQGIEGMNYLIGKKNGSDPENDPDVPGKQQSVVTFKKSGKTWPDIAKGDGFPTKVLFNGEECALPSRIPVSTGIRFSVSLSLLFLCKFVSFLLIQRLN >KJB65525 pep chromosome:Graimondii2_0_v6:10:17218733:17221466:-1 gene:B456_010G098800 transcript:KJB65525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEKARSKFKRLCVFCGSNSGHRQVFSDAALELGNELVKRKIDLVYGGGSVGLMGLISKTVYDGGCHVLGIIPKALMPLEISGETVGEVRTVLDMHERKAAMARESDAFVALPGGYGTMEELLEMITWSQLGIHKKTVGLLNVDGYYNNLLALFDNGVKEGFIKPSARHIIVSAPTAKELMEKMEQYTPCHEHVAPQESWQMEQLGDYPNQLNAQ >KJB65524 pep chromosome:Graimondii2_0_v6:10:17218671:17221426:-1 gene:B456_010G098800 transcript:KJB65524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEKARSKFKRLCVFCGSNSGHRQVFSDAALELGNELVKRKIDLVYGGGSVGLMGLISKTVYDGGCHVLGIIPKALMPLEISGETVGEVRTVLDMHERKAAMARESDAFVALPGGYGTMEELLEMITWSQLGIHKKTVGLLNVDGYYNNLLALFDNGVKEGFIKPSARHIIVSAPTAKELMEKMEQYTPCHEHVAPQESWQMEQLGDYPNQLNAQ >KJB67396 pep chromosome:Graimondii2_0_v6:10:54139951:54142130:1 gene:B456_010G188700 transcript:KJB67396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLGVGVSPGNVPVYHGTNLKVIDRRVRVAELVLRCLICGLSVLAAVLVGTDTQIKEIFTIQKKARFTDMKALVFLVAANGITAAYSLVQGVRCVVGMVRGSVLFSKPLAFAIFSGDQALAYLNVAAVGAAAQSAAFAKLGQTKLQWMVICNMYGKFCNQIGEGIAVSLLVSICMVGLSCISAFGLFRLYGSNKAKNNSGW >KJB67397 pep chromosome:Graimondii2_0_v6:10:54140035:54141955:1 gene:B456_010G188700 transcript:KJB67397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLGVGVSPGNVPVYHGTNLKVIDRRVRVAELVLRCLICGLSVLAAVLVGTDTQIKEIFTIQKKARFTDMKALVFLVAANGITAAYSLVQGVRCVVGMVRGSVLFSKPLAFAIFSGDQRKGKRNRGGKLSH >KJB64624 pep chromosome:Graimondii2_0_v6:10:6789135:6790544:1 gene:B456_010G058100 transcript:KJB64624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQEFFNLPMEEKRKVWQKPDEVEGYGQAFVVSEEQKLNWGDMFYMITLPTYLRKPHLFPNLPLTFRETLEAYSVELKHLAMRLLEVMGKALGMDPNDLRVLFEEGHQGMRMNYYPPCPQPELAIGLNSHSDATGLTILLQINDMEGLQIRKNGIWVPIKPLPNAFVINIGDIMEIVSNGIYRSIEHRATVNSVKERVSVATFYSPKLEGDMGPAPSLITPQTPPLFTKIGVADYFKGFFSRELVENPMWMSSDFKMRKSIQNNIKPK >KJB64625 pep chromosome:Graimondii2_0_v6:10:6789125:6790654:1 gene:B456_010G058100 transcript:KJB64625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNLEVPKLGSSLLVSSVQELAKNPLKEVPPRYLRTDEDSPIISHTNPFPQVPVIDMQKLSSEEELEPLHYACKEWGFFQMETQEFFNLPMEEKRKVWQKPDEVEGYGQAFVVSEEQKLNWGDMFYMITLPTYLRKPHLFPNLPLTFRETLEAYSVELKHLAMRLLEVMGKALGMDPNDLRVLFEEGHQGMRMNYYPPCPQPELAIGLNSHSDATGLTILLQINDMEGLQIRKNGIWVPIKPLPNAFVINIGDIMEIVSNGIYRSIEHRATVNSVKERVSVATFYSPKLEGDMGPAPSLITPQTPPLFTKIGVADYFKGFFSRELVENPMWMSSDFKMRKSIQNNIKPK >KJB68634 pep chromosome:Graimondii2_0_v6:10:62070183:62074144:1 gene:B456_010G255600 transcript:KJB68634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKIEISGPKSLFSNEFLCNSTSLLNSRKTRTVEVRKPVAATAALTAVQIVAKLIELDSVKVIPETPVQFTVSGQVTVEYDAMEQMKELMFNWWDSSKTTIDAQRGVFLQLVSSDIDPRTGKAKVSKEAILDWSRDLPINADKFVTHEVQFLVDGNFGVPGAILVTNKNSKELYLKSITLEGFLHFDCQSWVQPEKLHPEKRIFFTNKAYLPNETPMGLRELREKELRELRGNGEGVRVLSDRIYDYDVYNDLGNPDKGLEFARPVLGGQRRPYPRRCRTGRPSTKSDPKSESPVNETTPMYVPRDEAFGGEKKRSVDAGSWKGMMNNLFPFFKHSTESDSVNSFADINNLYKESRNHSNFPVESTADAFKFDPPNIVSRDASCCLRDDEFGRFTLAGMNPISIERLKVFPPVSKLDPSIYGPTQSALREEHLISHLDGMSVQTAIERKKLFTLDYHDAFLPFLNSINAHPDRKAYATRTIFLLTQMGTLKPIAIELSLPSTNPFKPSKQVVTPPVDATTCWQWQLAKAHVCSNDSGAHELIQHWLRTHACMEPFIIAARRHLSAMHPVLKLLHPHMRYTMDINARARELLVSAGGIIESLFSTKECSMEITSFAYKNWRFDMESLPADLIRRGVAELDPTEPHGIKLLIEDYPYANDGLLIYIRSDPELNTWYYESINVGHADLRHESWWPNLSTPKDLVSILTTLIWISSAKHAALNFGQYHYGGYVPVRPSYMRRLIPNEDDPEYQSFISDPEGYFLSSLPSLREMAFLMSILEILSTHSEDEEYLGDRKELSTWRGSPEIIEAFYRFSMEMKAIEKEIEKRNSETKLRNRCGVGVSPYQLLVPASEPGVSCRGVPNSISI >KJB68633 pep chromosome:Graimondii2_0_v6:10:62070256:62073355:1 gene:B456_010G255600 transcript:KJB68633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKIEISGPKSLFSNEFLCNSTSLLNSRKTRTVEVRKPVAATAALTAVQIVAKLIELDSVKVIPETPVQFTVSGQVTVEYDAMEQMKELMFNWWDSSKTTIDAQRGVFLQLVSSDIDPRTGKAKVSKEAILDWSRDLPINADKFVTHEVQFLVDGNFGVPGAILVTNKNSKELYLKSITLEGFLHFDCQSWVQPEKLHPEKRIFFTNKAYLPNETPMGLRELREKELRELRGNGEGVRVLSDRIYDYDVYNDLGNPDKGLEFARPVLGGQRRPYPRRCRTGRPSTKSDPKSESPVNETTPMYVPRDEAFGGEKKRSVDAGSWKGMMNNLFPFFKHSTESDSVNSFADINNLYKESRNHSNFPVESTADAFKFDPPNIVSRDASCCLRDDEFGRFTLAGMNPISIERLKVFPPVSKLDPSIYGPTQSALREEHLISHLDGMSVQTAIERKKLFTLDYHDAFLPFLNSINAHPDRKAYATRTIFLLTQMGTLKPIAIELSLPSTNPFKPSKQVVTPPVDATTCWQWQLAKAHVCSNDSGAHELIQHWLRTHACMEPFIIAARRHLSAMHPVLKLLHPHMRYTMDINARARELLVSAGGIIESLFSTKECSMEITSFAYKNWRFDMESLPADLIRRGVAELDPTEPHGIKLLIEDYPYANDGLLI >KJB63489 pep chromosome:Graimondii2_0_v6:10:80228:81920:-1 gene:B456_010G002100 transcript:KJB63489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGRKIILKSSDGENFEVDEAVALESQTIKHMIEDDCADNGIPLPNVTSKILSKVIEYCKKHVEASKSEDRSASLDDDLKAWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >KJB67731 pep chromosome:Graimondii2_0_v6:10:57253205:57253647:-1 gene:B456_010G2068002 transcript:KJB67731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGQISLLLSGNSKELKEKEPGTHCESILFVMLCREFMHRVVTGYLPSVILILFLYAVPPTMMLFSAMEGNISRSQRKRSACIKVLYNMGIPYFTLKLES >KJB68139 pep chromosome:Graimondii2_0_v6:10:59976401:59980325:1 gene:B456_010G227900 transcript:KJB68139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSLEECNQQTDHFPLLMERVESHSDRDHIINITRHDDDTSSSSSRDERPSGVNLTESEDRPSGSTQAATNPTPFSNRLHSRSSSFLRRSDGYGRRRRSPLNSGLWISVELVVTVSQIIASIVVLALSRNEKPQTPLFAWIVGYASGCIATLPILYWRFLNRNVGSDQDLTHSHQGSSNGNPSETTPYTAIAVTQASDEDNNRIIESATRNTRIAGTLSTRLNGLVDHFKMALDCFFAVWFVVGNVWIFGGHSSPSDAPKLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIVSILGIQEDFSPTRGASREIINALPTFKFKSKKTGNVNNQEISASEGGVLAAGTAKERVISGEDAVCCICLAKFADDDELRELPCLHVFHVECVDKWLKINASCPLCKTEISESSSGSPLAQDSH >KJB68140 pep chromosome:Graimondii2_0_v6:10:59975706:59980361:1 gene:B456_010G227900 transcript:KJB68140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSLEECNQQTDHFPLLMERVESHSDRDHIINITRHDDDTSSSSSRDERPSGVNLTESEDRPSGSTQAATNPTPFSNRLHSRSSSFLRRSDGYGRRRRSPLNSGLWISVELVVTVSQIIASIVVLALSRNEKPQTPLFAWIVGYASGCIATLPILYWRFLNRNVGSDQDLTHSHQGSSNGNPSETTPYTAIAVTQASDEDNNRIIESATRNTRIAGTLSTRLNGLVDHFKMALDCFFAVWFVVGNVWIFGGHSSPSDAPKLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIVSILGIQEDFSPTRGASREIINALPTFKFKSKKTGNVNNQEISASEGGVLAAGTAKERVISGEDAVCCICLAKFADDDELRELPCLHVFHVECVDKWLKINASCPLCKTEISESSSGSPLAQDSH >KJB63583 pep chromosome:Graimondii2_0_v6:10:436410:439490:-1 gene:B456_010G007200 transcript:KJB63583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQLIPSHSSFNHRASINPNSSNPSLSSPNLKPISKPSSLLQRRKFQRVTSLRCSASSFPEKHRMNSAKSDDVVELPLFPLPLVLFPGAVLPLQIFEFRYRIMMHTLLHTDLRFGVIYSDAETGISGVGCVGEIVRHERLADDRFFLICKGQERFRITDLVRTKPYIVAEVDWLEDRPSVDDEDLEGLANEVESCMKDVIRLSNRLNGKLEKEVKDLRKNHFPTPFSFFVGSTFEGAPREQQALLELECTAARLKREKETLRNTLNYLSAASALKDVFPSSRRWV >KJB63584 pep chromosome:Graimondii2_0_v6:10:438495:439358:-1 gene:B456_010G007200 transcript:KJB63584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQLIPSHSSFNHRASINPNSSNPSLSSPNLKPISKPSSLLQRRKFQRVTSLRCSASSFPEKHRMNSAKSDDVVELPLFPLPLVLFPGAVLPLQIFEFRYRIMMHTLLHTDLRFGVIYSDAETGISGVGCVGEIVRHERLADDRFFLICKGQERFRITDLVRTKPYIVAEVDWLEDRPSVDDEDLEGLANEVESCMKDVIRLSNRLNGKLEKEVKDLRKNHFPTPFSFFVGSTFEGAPREQQALLELECTAARLKREKETLRNTLNYLSAASALKDVFPSSRRWV >KJB66710 pep chromosome:Graimondii2_0_v6:10:41981165:41983740:-1 gene:B456_010G153400 transcript:KJB66710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLTDSDWESFSESGSSEHEEVDFLYGGQACTILSSLEESIGKIDEFLSFERGFLHGDIVRSITDPCGQMGRVTKINMVVNLENVHGKIVKNINSKQLSKLHSISVGDIVVNANWIGRVVKLVDCVSIIFDDGSKCEVTAVDHEKLVPVSPNLIDDPQYRYYPGQRVRVVPSNVSRSTRWLCGTWRGNQDEGTISGVDAGFVYVDWISCAHVDHESSASPPCLQKAKDLTLLSCFSHANWQLGDWCMLFSADFRGTTEEVLHASTQHITRDSWKQDKGFKSGNPDSRLEEIFTIIKTRTMVNVVWQDGTCGLGLDSQTLLPVGITNTHEFWPHQFVLEKGTIGNSQRWGVVCGMDANERTVKVQWRTIAVNQINGFDGKQVEETASAYELVEHPDYSYCFGDIVFKKVVQNQYGDQADKCIKIPENGFGTEAVSKGRNLNLDHYKDPSTYCLSCIGIVTGFNEGDVEVKWASGITTKVAPYEIYRIDKYEDSATTPVLFEENTEAYNQEMFVDEKQSDSHKGKLLNFNSAIESGEKFSLVPTSFFCPQAAIGYFTSIASSILGSLGPNSLISQDPSGCISRNAKEYDILLEKEVAETCHHCAEQDMSELQIFEMTNIRQEVEEIEENKVSMMPKPVETSDQFRQFDMVSDCSDHHFLGESKVLAVSQVKRSWLKKVQQEWSILEKNLPG >KJB66708 pep chromosome:Graimondii2_0_v6:10:41979697:41983740:-1 gene:B456_010G153400 transcript:KJB66708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLTDSDWESFSESGSSEHEEVDFLYGGQACTILSSLEESIGKIDEFLSFERGFLHGDIVRSITDPCGQMGRVTKINMVVNLENVHGKIVKNINSKQLSKLHSISVGDIVVNANWIGRVVKLVDCVSIIFDDGSKCEVTAVDHEKLVPVSPNLIDDPQYRYYPGQRVRVVPSNVSRSTRWLCGTWRGNQDEGTISGVDAGFVYVDWISCAHVDHESSASPPCLQKAKDLTLLSCFSHANWQLGDWCMLFSADFRGTTEEVLHASTQHITRDSWKQDKGFKSGNPDSRLEEIFTIIKTRTMVNVVWQDGTCGLGLDSQTLLPVGITNTHEFWPHQFVLEKGTIGNSQRWGVVCGMDANERTVKVQWRTIAVNQINGFDGKQVEETASAYELVEHPDYSYCFGDIVFKKVVQNQYGDQADKCIKIPENGFGTEAVSKGRNLNLDHYKDPSTYCLSCIGIVTGFNEGDVEVKWASGITTKVAPYEIYRIDKYEDSATTPVLFEENTEAYNQEMFVDEKQSDSHKGKELLNFNSAIESGEKFSLVPTSFFCPQAAIGYFTSIASSILGSLGPNSLISQDPSGCISRNAKEYDILLEKEVAETCHHCAEQDMSELQIFEMTNIRQEVEEIEENKVSMMPKPVETSDQFRQFDMVSDCSETEVWNSGKSTVLQVLLSLQALVLNEKPYFNEAGYDKQLGRAEGETNSVSYNENAFLVTCQSMLYILRHPPKHFEALVKEHFSRRAKTILSACNAYMEGAPVGFALECGKNGHNVNLKESSTGFKIMLAKLFPKLVDAFSDQGTNYSEFRGVEK >KJB66711 pep chromosome:Graimondii2_0_v6:10:41979697:41984356:-1 gene:B456_010G153400 transcript:KJB66711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLTDSDWESFSESGSSEHEEVDFLYGGQACTILSSLEESIGKIDEFLSFERGFLHGDIVRSITDPCGQMGRVTKINMVVNLENVHGKIVKNINSKQLSKLHSISVGDIVVNANWIGRVVKLVDCVSIIFDDGSKCEVTAVDHEKLVPVSPNLIDDPQYRYYPGQRVRVVPSNVSRSTRWLCGTWRGNQDEGTISGVDAGFVYVDWISCAHVDHESSASPPCLQKAKDLTLLSCFSHANWQLGDWCMLFSADFRGTTEEVLHASTQHITRDSWKQDKGFKSGNPDSRLEEIFTIIKTRTMVNVVWQDGTCGLGLDSQTLLPVGITNTHEFWPHQFVLEKGTIGNSQRWGVVCGMDANERTVKVQWRTIAVNQINGFDGKQVEETASAYELVEHPDYSYCFGDIVFKKVVQNQYGDQADKCIKIPENGFGTEAVSKGRNLNLDHYKDPSTYCLSCIGIVTGFNEGDVEVKWASGITTKVAPYEIYRIDKYEDSATTPVLFEENTEAYNQEMFVDEKQSDSHKGKELLNFNSAIESGEKFSLVPTSFFCPQAAIGYFTSIASSILGSLGPNSLISQDPSGCISRNAKEYDILLEKEVAETCHHCAEQDMSELQIFEMTNIRQEVEEIEENKVSMMPKPVETSDQFRQFDMVSDCSDHHFLGESKVLAVSQVKRSWLKKVQQEWSILEKNLPETIYVRVCEERINLLRAALVGGPGTPYHDGLFFFDISLPSDYPYEPPLVHYRSGGLRLNPNLYESGKVCLSLLNTWAGSETEVWNSGKSTVLQVLLSLQALVLNEKPYFNEAGYDKQLGRAEGETNSVSYNENAFLVTCQSMLYILRHPPKHFEALVKEHFSRRAKTILSACNAYMEGAPVGFALECGKNGHNVNLKESSTGFKIMLAKLFPKLVDAFSDQGTNYSEFRGVEK >KJB66707 pep chromosome:Graimondii2_0_v6:10:41979697:41983740:-1 gene:B456_010G153400 transcript:KJB66707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLTDSDWESFSESGSSEHEEVDFLYGGQACTILSSLEESIGKIDEFLSFERGFLHGDIVRSITDPCGQMGRVTKINMVVNLENVHGKIVKNINSKQLSKLHSISVGDIVVNANWIGRVVKLVDCVSIIFDDGSKCEVTAVDHEKLVPVSPNLIDDPQYRYYPGQRVRVVPSNVSRSTRWLCGTWRGNQDEGTISGVDAGFVYVDWISCAHVDHESSASPPCLQKAKDLTLLSCFSHANWQLGDWCMLFSADFRGTTEEVLHASTQHITRDSWKQDKGFKSGNPDSRLEEIFTIIKTRTMVNVVWQDGTCGLGLDSQTLLPVGITNTHEFWPHQFVLEKGTIGNSQRWGVVCGMDANERTVKVQWRTIAVNQINGFDGKQVEETASAYELVEHPDYSYCFGDIVFKKVVQNQYGDQADKCIKIPENGFGTEAVSKGRNLNLDHYKDPSTYCLSCIGIVTGFNEGDVEVKWASGITTKVAPYEIYRIDKYEDSATTPVLFEENTEAYNQEMFVDEKQSDSHKGKLLNFNSAIESGEKFSLVPTSFFCPQAAIGYFTSIASSILGSLGPNSLISQDPSGCISRNAKEYDILLEKEVAETCHHCAEQDMSELQIFEMTNIRQEVEEIEENKVSMMPKPVETSDQFRQFDMVSDCSDHHFLGESKVLAVSQVKRSWLKKVQQEWSILEKNLPETIYVRVCEERINLLRAALVGGPGTPYHDGLFFFDISLPSDYPYEPPVSSTSSLVTI >KJB66709 pep chromosome:Graimondii2_0_v6:10:41979697:41984356:-1 gene:B456_010G153400 transcript:KJB66709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLTDSDWESFSESGSSEHEEVDFLYGGQACTILSSLEESIGKIDEFLSFERGFLHGDIVRSITDPCGQMGRVTKINMVVNLENVHGKIVKNINSKQLSKLHSISVGDIVVNANWIGRVVKLVDCVSIIFDDGSKCEVTAVDHEKLVPVSPNLIDDPQYRYYPGQRVRVVPSNVSRSTRWLCGTWRGNQDEGTISGVDAGFVYVDWISCAHVDHESSASPPCLQKAKDLTLLSCFSHANWQLGDWCMLFSADFRGTTEEVLHASTQHITRDSWKQDKGFKSGNPDSRLEEIFTIIKTRTMVNVVWQDGTCGLGLDSQTLLPVGITNTHEFWPHQFVLEKGTIGNSQRWGVVCGMDANERTVKVQWRTIAVNQINGFDGKQVEETASAYELVEHPDYSYCFGDIVFKKVVQNQYGDQADKCIKIPENGFGTEAVSKGRNLNLDHYKDPSTYCLSCIGIVTGFNEGDVEVKWASGITTKVAPYEIYRIDKYEDSATTPVLFEENTEAYNQEMFVDEKQSDSHKGKLLNFNSAIESGEKFSLVPTSFFCPQAAIGYFTSIASSILGSLGPNSLISQDPSGCISRNAKEYDILLEKEVAETCHHCAEQDMSELQIFEMTNIRQEVEEIEENKVSMMPKPVETSDQFRQFDMVSDCSDHHFLGESKVLAVSQVKRSWLKKVQQEWSILEKNLPETIYVRVCEERINLLRAALVGGPGTPYHDGLFFFDISLPSDYPYEPPLVHYRSGGLRLNPNLYESGKVCLSLLNTWAGSETEVWNSGKSTVLQVLLSLQALVLNEKPYFNEAGYDKQLGRAEGETNSVSYNENAFLVTCQSMLYILRHPPKHFEALVKEHFSRRAKTILSACNAYMEGAPVGFALECGKNGHNVNLKESSTGFKIMLAKLFPKLVDAFSDQGTNYSEFRGVEK >KJB68635 pep chromosome:Graimondii2_0_v6:10:62131835:62135794:1 gene:B456_010G256500 transcript:KJB68635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTSAAVPRAGNDDEIRAASIMEREINSSDMEIDDDDENEEDLQSSSNRNDAGNVVESRGHDGQLGSDLSSTPGNCEPRILAKTLNVGEGSANFEFSSLPNESLATQKEGLCTNYVASKYSVSGKEPAKENGIQEYMGNGKDSRNMLERKASQDYPDQHTNGKGHFVVDESNSLPVGHHSGGVNNGLGFEEKNKQMDVQTDDDKRKIKSRSAAPQTSAGSLSPSSNSENKRPALICDFFARGWCIKGSSCRFLHIKDGGKNPGQLPQEDTAAADGKRAVELDEGFRSAAERSRSPASADALPSSVVNKTGLSSHFFSERILPLGHDENQRLHLFHEMNKFPLLQSKDKSLGTAPASQWFSASTDDFGPSKDVRQNGIGQNLPVSLSDRSTFGNGFLPEYKSSLSGSVISLGDIYSENQSHHVSTHLVSLPLNFSLSACSLGAQKMLDNDRGCHTSKLSSLLQGPFPFSSSKPEKFLVNDVASDPLHFSENRIKISSDDWEPSVPFRPSFFVTSGISSPRGEYDPLRDSIDVSSAGERPLKFSFSNQGPSLLNVADPPTYGNFASRRPLLPERNDDKRTASCHNGVHENLVSNNCNPSGKDSLTTDANDGTSAVDMQNGTLVKEEISSVASHVKDISKANKNDRDHDGRHRRGGSRCKRDLEVDRVREKNEIDVEVEHKADGDYKESKAMRHFHAALVDLIKQLLKPTWREGHLSKDAHNTIVKKAVDKVFGSIQPHQLPVTFESVKQYLSSSQPKITRLVEGYIEKYKKS >KJB68637 pep chromosome:Graimondii2_0_v6:10:62131882:62135766:1 gene:B456_010G256500 transcript:KJB68637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGKDSRNMLERKASQDYPDQHTNGKGHFVVDESNSLPVGHHSGGVNNGLGFEEKNKQMDVQTDDDKRKIKSRSAAPQTSAGSLSPSSNSENKRPALICDFFARGWCIKGSSCRFLHIKDGGKNPGQLPQEDTAAADGKRAVELDEGFRSAAERSRSPASADALPSSVVNKTGLSSHFFSERILPLGHDENQRLHLFHEMNKFPLLQSKDKSLGTAPASQWFSASTDDFGPSKDVRQNGIGQNLPVSLSDRSTFGNGFLPEYKSSLSGSVISLGDIYSENQSHHVSTHLVSLPLNFSLSACSLGAQKMLDNDRGCHTSKLSSLLQGPFPFSSSKPEKFLVNDVASDPLHFSENRIKISSDDWEPSVPFRPSFFVTSGISSPRGEYDPLRDSIDVSSAGERPLKFSFSNQGPSLLNVADPPTYGNFASRRPLLPERNDDKRTASCHNGVHENLVSNNCNPSGKDSLTTDANDGTSAVDMQNGTLVKEEISSVASHVKDISKANKNDRDHDGRHRRGGSRCKRDLEVDRVREKNEIDVEVEHKADGDYKESKAMRHFHAALVDLIKQLLKPTWREGHLSKDAHNTIVKKAVDKVFGSIQPHQLPVTFESVKQYLSSSQPKITRLVEGYIEKYKKS >KJB68639 pep chromosome:Graimondii2_0_v6:10:62132548:62135794:1 gene:B456_010G256500 transcript:KJB68639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSGDYKKTRYAGNVVESRGHDGQLGSDLSSTPGNCEPRILAKTLNVGEGSANFEFSSLPNESLATQKEGLCTNYVASKYSVSGKEPAKENGIQEYMGNGKDSRNMLERKASQDYPDQHTNGKGHFVVDESNSLPVGHHSGGVNNGLGFEEKNKQMDVQTDDDKRKIKSRSAAPQTSAGSLSPSSNSENKRPALICDFFARGWCIKGSSCRFLHIKDGGKNPGQLPQEDTAAADGKRAVELDEGFRSAAERSRSPASADALPSSVVNKTGLSSHFFSERILPLGHDENQRLHLFHEMNKFPLLQSKDKSLGTAPASQWFSASTDDFGPSKDVRQNGIGQNLPVSLSDRSTFGNGFLPEYKSSLSGSVISLGDIYSENQSHHVSTHLVSLPLNFSLSACSLGAQKMLDNDRGCHTSKLSSLLQGPFPFSSSKPEKFLVNDVASDPLHFSENRIKISSDDWEPSVPFRPSFFVTSGISSPRGEYDPLRDSIDVSSAGERPLKFSFSNQGPSLLNVADPPTYGNFASRRPLLPERNDDKRTASCHNGVHENLVSNNCNPSGKDSLTTDANDGTSAVDMQNGTLVKEEISSVASHVKDISKANKNDRDHDGRHRRGGSRCKRDLEVDRVREKNEIDVEVEHKADGDYKESKAMRHFHAALVDLIKQLLKPTWREGHLSKDAHNTIVKKAVDKVFGSIQPHQLPVTFESVKQYLSSSQPKITRLVEGYIEKYKKS >KJB68636 pep chromosome:Graimondii2_0_v6:10:62131835:62137954:1 gene:B456_010G256500 transcript:KJB68636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTSAAVPRAGNDDEIRAASIMEREINSSDMEIDDDDENEEDLQSSSNRNDAGNVVESRGHDGQLGSDLSSTPGNCEPRILAKTLNVGEGSANFEFSSLPNESLATQKEGLCTNYVASKYSVSGKEPAKENGIQEYMGNGKDSRNMLERKASQDYPDQHTNGKGHFVVDESNSLPVGHHSGGVNNGLGFEEKNKQMDVQTDDDKRKIKSRSAAPQTSAGSLSPSSNSENKRPALICDFFARGWCIKGSSCRFLHIKDGGKNPGQLPQEDTAAADGKRAVELDEGFRSAAERSRSPASADALPSSVVNKTGLSSHFFSERILPLGHDENQRLHLFHEMNKFPLLQSKDKSLGTAPASQWFSASTDDFGPSKDVRQNGIGQNLPVSLSDRSTFGNGFLPEYKSSLSGSVISLGDIYSENQSHHVSTHLVSLPLNFSLSACSLGAQKMLDNDRGCHTSKLSSLLQGPFPFSSSKPEKFLVNDVASDPLHFSENRIKISSDDWEPSVPFRPSFFVTSGISSPRGEYDPLRDSIDVSSAGERPLKFSFSNQGPSLLNVADPPTYGNFASRRPLLPERNDDKRTASCHNGVHENLVSNNCNPSGKDSLTTDANDGTSAVDMQNGTLVKEEISSVASHVKDISKANKNDRDHDGRHRRGGSRCKRDLEVDRVREKNEIDVEVEHKADGDYKESKAMRHFHAALVDLIKQLLKPTWREGHLSKDAHNTIVKKAVDKVFGSIQPHQLPVTFESVKQYLSSSQPKITRLVEGYIEKYKKS >KJB68638 pep chromosome:Graimondii2_0_v6:10:62131882:62135766:1 gene:B456_010G256500 transcript:KJB68638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTSAAVPRAGNDDEIRAASIMEREINSSDMEIDDDDENEEDLQSSSNRNDAGNVVESRGHDGQLGSDLSSTPGNCEPRILAKTLNVGEGSANFEFSSLPNESLATQKEGLCTNYVASKYSVSGKEPAKENGIQEYMGNGKDSRNMLERKASQDYPDQHTNGKGHFVVDESNSLPVGHHSGGVNNGLGFEEKNKQMDVQTDDDKRKIKSRFHRSAAPQTSAGSLSPSSNSENKRPALICDFFARGWCIKGSSCRFLHIKDGGKNPGQLPQEDTAAADGKRAVELDEGFRSAAERSRSPASADALPSSVVNKTGLSSHFFSERILPLGHDENQRLHLFHEMNKFPLLQSKDKSLGTAPASQWFSASTDDFGPSKDVRQNGIGQNLPVSLSDRSTFGNGFLPEYKSSLSGSVISLGDIYSENQSHHVSTHLVSLPLNFSLSACSLGAQKMLDNDRGCHTSKLSSLLQGPFPFSSSKPEKFLVNDVASDPLHFSENRIKISSDDWEPSVPFRPSFFVTSGISSPRGEYDPLRDSIDVSSAGERPLKFSFSNQGPSLLNVADPPTYGNFASRRPLLPERNDDKRTASCHNGVHENLVSNNCNPSGKDSLTTDANDGTSAVDMQNGTLVKEEISSVASHVKDISKANKNDRDHDGRHRRGGSRCKRDLEVDRVREKNEIDVEVEHKADGDYKESKAMRHFHAALVDLIKQLLKPTWREGHLSKDAHNTIVKKAVDKVFGSIQPHQLPVTFESVKQYLSSSQPKITRLVEGYIEKYKKS >KJB67245 pep chromosome:Graimondii2_0_v6:10:53173096:53176430:-1 gene:B456_010G182400 transcript:KJB67245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTVKGVVLLLFISSLLCSVVLASNDGLVRIGLKKMKLDPNNRLAAQLDSKDREALRASIARKYRFRNDLGDSEETDIVALKNYMDAQYYGEIGIGTPPQKFTVIFDTGSSNLWVPSTKCYFSVACFFHSKYKASESSTYKKNGKSASIQYGTGAISGFFSNDNVKVGNLVVKDQEFIEATKEPGVTFMAAKFDGILGLGFKEISVGDAVPVWYNMVEQGLIKDQEFSFWLNRNVGEEMGGEIVFGGVDPNHYKGKHTYVPITQKGYWQFDMGDVLIGDKPTGYCAGGCAAIADSGTSLLAGPTTVITMINQAIGASGVASQECKAVVQQYGQTIIDLLVSQAEPMKICSQIGLCAFDGSHGVSMGIENVVDESNGKSSGILHSAMCPACEMAVVWMQNQLMENQTQDRILDYANQLCDRVPNPMGESTVDCGSLSSMPTISFTIGGKAFELTPEEYILKVGEGAEAQCISGFTALDVPPPRGPLWILGDVFMGRYHTVFDFGKLRVGFAEAA >KJB67244 pep chromosome:Graimondii2_0_v6:10:53172751:53177747:-1 gene:B456_010G182400 transcript:KJB67244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTVKGVVLLLFISSLLCSVVLASNDGLVRIGLKKMKLDPNNRLAAQLDSKDREALRASIARKYRFRNDLGDSEETDIVALKNYMDAQYYGEIGIGTPPQKFTVIFDTGSSNLWVPSTKCYFSVACFFHSKYKASESSTYKKNGKSASIQYGTGAISGFFSNDNVKVGNLVVKDQEFIEATKEPGVTFMAAKFDGILGLGFKEISVGDAVPVWYNMVEQGLIKDQEFSFWLNRNVGEEMGGEIVFGGVDPNHYKGKHTYVPITQKGYWQFDMGDVLIGDKPTGYCAGGCAAIADSGTSLLAGPTTVITMINQAIGASGVASQECKAVVQQYGQTIIDLLVSQAEPMKICSQIGLCAFDGSHGVSMGIENVVDESNGKSSGILHSAMCPACEMAVVWMQNQLMENQTQDRILDYANQLCDRVPNPMGESTVDCGSLSSMPTISFTIGGKAFELTPEEYILKVGEGAEAQCISGFTALDVPPPRGPLWILGDVFMGRYHTVFDFGKLRVGFAEAA >KJB67250 pep chromosome:Graimondii2_0_v6:10:53173231:53177747:-1 gene:B456_010G182400 transcript:KJB67250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTVKGVVLLLFISSLLCSVVLASNDGLVRIGLKKMKLDPNNRLAAQLDSKDREALRASIARKYRFRNDLGDSEETDIVALKNYMDAQYYGEIGIGTPPQKFTVIFDTGSSNLWVPSTKCYFSVACFFHSKYKASESSTYKKNGKSASIQYGTGAISGFFSNDNVKVGNLVVKDQEFIEATKEPGVTFMAAKFDGILGLGFKEISVGDAVPVWYNMVEQGLIKDQEFSFWLNRNVGEEMGGEIVFGGVDPNHYKGKHTYVPITQKGYWQFDMGDVLIGDKPTGYCAGGCAAIADSGTSLLAGPTTVITMINQAIGASGVASQECKAVVQQYGQTIIDLLVSQAEPMKICSQIGLCAFDGSHGVSMGIENVVDESNGKSSGILHSAMCPACEMAVVWMQNQLMENQTQDRILDYANQLCDRVPNPMGESTVDCGSLSSMPTISFTIGGKAFELTPEEVLPILIFY >KJB67247 pep chromosome:Graimondii2_0_v6:10:53172870:53177743:-1 gene:B456_010G182400 transcript:KJB67247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTVKGVVLLLFISSLLCSVVLASNDGLVRIGLKKMKLDPNNRLAAQLDSKDREALRASIARKYRFRNDLGDSEETDIVALKNYMDAQYYGEIGIGTPPQKFTVIFDTGSSNLWVPSTKCYFSVACFFHSKYKASESSTYKKNGKSASIQYGTGAISGFFSNDNVKVGNLVVKDQEFIEATKEPGVTFMAAKFDGILGLGFKEISVGDAVPVWYNMVEQGLIKDQEFSFWLNRNVGEEMGGEIVFGGVDPNHYKGKHTYVPITQKGYWQFDMGDVLIGDKPTGYCAGGCAAIADSGTSLLAGPTTVITMINQAIGASGVASQECKAVVQQYGQTIIDLLVSQAEPMKICSQIGLCAFDGSHGVSMGIENVVDESNGKSSGILHSAMCPACEMAVVWMQNQLMENQTQDRILDYANQLCDRVPNPMGESTVDCGSLSSMPTISFTIGGKAFELTPEEVGEGAEAQCISGFTALDVPPPRGPLWILGDVFMGRYHTVFDFGKLRVGFAEAA >KJB67251 pep chromosome:Graimondii2_0_v6:10:53173914:53177743:-1 gene:B456_010G182400 transcript:KJB67251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTVKGVVLLLFISSLLCSVVLASNDGLVRIGLKKMKLDPNNRLAAQLDSKDREALRASIARKYRFRNDLGDSEETDIVALKNYMDAQYYGEIGIGTPPQKFTVIFDTGSSNLWVPSTKCYFSVACFFHSKYKASESSTYKKNGKSASIQYGTGAISGFFSNDNVKVGNLVVKDQEFIEATKEPGVTFMAAKFDGILGLGFKEISVGDAVPVWYNMVEQGLIKDQEFSFWLNRNVGEEMGGEIVFGGVDPNHYKGKHTYVPITQKGYWQFDMGDVLIGDKPTGYCAGGCAAIADSGTSLLAGPTTVITMINQAIGASGVASQECKAVVQQYGQTIIDLLVSQAEPMKICSQIGLCAFDGSHGVRSGSI >KJB67248 pep chromosome:Graimondii2_0_v6:10:53172870:53177743:-1 gene:B456_010G182400 transcript:KJB67248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTVKGVVLLLFISSLLCSVVLASNDGLVRIGLKKMKLDPNNRLAAQLDSKDREALRASIARKYRFRNDLGDSEETDIVALKNYMDAQYYGEIGIGTPPQKFTVIFDTGSSNLWVPSTKCYFSVACFFHSKYKASESSTYKKNGKSASIQYGTGAISGFFSNDNVKVGNLVVKDQEFIEATKEPGVTFMAAKFDGILGLGFKEISVGDAVPVWYNMVEQGLIKDQEFSFWLNRNVGEEMGGEIVFGGVDPNHYKGKHTYVPITQKGYWQFDMGDVLIGDKPTAIADSGTSLLAGPTTVITMINQAIGASGVASQECKAVVQQYGQTIIDLLVSQAEPMKICSQIGLCAFDGSHGVSMGIENVVDESNGKSSGILHSAMCPACEMAVVWMQNQLMENQTQDRILDYANQLCDRVPNPMGESTVDCGSLSSMPTISFTIGGKAFELTPEEYILKVGEGAEAQCISGFTALDVPPPRGPLWILGDVFMGRYHTVFDFGKLRVGFAEAA >KJB67249 pep chromosome:Graimondii2_0_v6:10:53173997:53176430:-1 gene:B456_010G182400 transcript:KJB67249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTVKGVVLLLFISSLLCSVVLASNDGLVRIGLKKMKLDPNNRLAAQLDSKDREALRASIARKYRFRNDLGDSEETDIVALKNYMDAQYYGEIGIGTPPQKFTVIFDTGSSNLWVPSTKCYFSVACFFHSKYKASESSTYKKNGKSASIQYGTGAISGFFSNDNVKVGNLVVKDQEFIEATKEPGVTFMAAKFDGILGLGFKEISVGDAVPVWYNMVEQGLIKDQEFSFWLNRNVGEEMGGEIVFGGVDPNHYKGKHTYVPITQKGYWQFDMGDVLIGDKPTGYCAGGCAAIADSGTSLLAGPTTVITMINQAIGASGVASQECKAVVQQYGQTIIDLLVSQVKAHFTVLRKVTSGLYSFVVTSVVTLFLHYYFHLVTSLFLIVSNRQNP >KJB67246 pep chromosome:Graimondii2_0_v6:10:53172870:53177695:-1 gene:B456_010G182400 transcript:KJB67246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTVKGVVLLLFISSLLCSVVLASNDGLVRIGLKKMKLDPNNRLAAQLDSKDREALRASIARKYRFRNDLGDSEETDIVALKNYMDAQYYGEIGIGTPPQKFTVIFDTGSSNLWVPSTKCYFSVACFFHSKYKASESSTYKKNGKSASIQYGTGAISGFFSNDNVKVGNLVVKDQEFIEATKEPGVTFMAAKFDGILGLGFKEISVGDAVPVWYNMVEQGLIKDQEFSFWLNRNVGEEMGGEIVFGGVDPNHYKGKHTYVPITQKGYWQFDMGDVLIGDKPTGYCAGGCAAIADSGTSLLAGPTTVITMINQAIGASGVASQECKAVVQQYGQTIIDLLVSQAEPMKICSQIGLCAFDGSHGVSMGIENVVDESNGKSSGILHSAMCPACEMAVVWMQNQLMENQTQDRILDYANQLCDRVPNPMGESTVDCGSLSSMPTISFTIGGKAFELTPEEYILKVGEGAEAQCISGFTALDVPPPRGPLWILGDVFMGRYHTVFDFGKLRVGFAEAA >KJB64607 pep chromosome:Graimondii2_0_v6:10:6455047:6456840:1 gene:B456_010G056800 transcript:KJB64607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKSIGLGFKTPREATEGIYIDKKCPFTGTVSIRGRILAGTCHSAKMIRTIIVRRNYLHYIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTGM >KJB66583 pep chromosome:Graimondii2_0_v6:10:38056160:38058087:-1 gene:B456_010G144700 transcript:KJB66583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIKLKALSSILSHLLIGIALIDANLRCYDTGNFTLNSTYGKNRDLILASLLPNVSANGGFFTASIGQNSIKIYALGMCRGDSTPNSCYTCLNASIQDLIASCPNRKEALSWGGDPPCLARYANHPFFGILELQPTDAGYNTADITSNLTQFDTIWESLMDRVVSKASSGSSTKQSVSNYESCCRGKQGGYVQKPNCWFRWDLYPFYKRNASTAASLSPPPSPASPPPLSVNSTSKKGDGGSHSSHTIDIIIVPIVILVAVLIILAVAVLLKRIKKTKRDDQNNKTHGKALQFDFNAVRVATDNFSDANLLGRGGFGSVYKGQLEDGRKVAVKRLFESSGQGEQEFKNEVMLLAKLQHRNLVRLLGLSIEQKERILIYEFLPNSSLDNFIFDGISILSNYTY >KJB64246 pep chromosome:Graimondii2_0_v6:10:3650895:3651935:-1 gene:B456_010G039400 transcript:KJB64246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQSEFRHWDELLPDVLGLIFTNLSLQELLTIIPCVCKSWRKTVGDPHCWQDIDLDEWSCRWQPHQLDRMLRMLVRRSSGSLRRLHVSGLKNDSIFSFVTENAGSLQVLRLPHSKISDSIVEQTAPRLSTVTFLDLSYCPKIGAQAIEAIGKHCKLLVTLCRNMYSSDSAGKVEPEDEANAIAATMPRLKHLELGFHLISTECVLNILSSCPQLKHLVIDGCPQVKLDRKFLKEKYPKLKTGEALHLWSSTVPSQ >KJB66319 pep chromosome:Graimondii2_0_v6:10:30499026:30514000:1 gene:B456_010G135100 transcript:KJB66319 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] MELDEWELSVEELDFLERDALQKISQQRHSSSSSSLSTNQQQPVIHSLSQKGEVPSRTLPSSIAPKANPADQCSKEQLPKVSLKFILHATGNLAAKFPYNQVLVDAFRKIPKATWNAKERLWMFPQSSLSLAEKVPCEVPGCNIEVENLHPLVQRAIAAASAQPDLQELYHRIPKSIESKLLPFQREGVRFVLQHGGRALLADEMGLGKTLQAIAVAACVRDSWPVLILAPSSLRLHWASMIEQWLNIPPPDIVVVFSQMGGSNRSGFTILSSNSKGDIHLDGLFNIISYDLVAKLENVLMASEFKVVIADESHFLKNAQAKRTTASLPIIKKAQYAILLTGTPALSRPIELFKQLEALYPTVYRKVYEYGERYCKGGVFGTYQGASNHEELHNLMKATVMIRRLKKDVLYQLPMKRRQQVFLELTEKDMKRISCLFCELEVVKGKITMCKSEEEVKSLKLVQKNLINKIYTDSAEAKIPAVLDYLGTIVEADCKFIIFAHHQPMIEAIHQFLLKKKVGCIRIDGTTPASSRQALVNDFQEKDAIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQNKLENLGQMLDGHENSLEVSSSQEHRSPTKQKTVDLIHQGSPGKQKTLDSFMKRCINMDDAEHQSKLKYPRNM >KJB66320 pep chromosome:Graimondii2_0_v6:10:30499191:30512614:1 gene:B456_010G135100 transcript:KJB66320 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] MELDEWELSVEELDFLERDALQKISQQRHSSSSSSLSTNQQQPVIHSLSQKGEVPSRTLPSSIAPKANPADQCSKEQLPKVSLKFILHATGNLAAKFPYNQVLVDAFRKIPKATWNAKERLWMFPQSSLSLAEKVPCEVPGCNIEVENLHPLVQRAIAAASAQPDLQELYHRIPKSIESKLLPFQREGVRFVLQHGGRALLADEMGLGKTLQAIAVAACVRDSWPVLILAPSSLRLHWASMIEQWLNIPPPDIVVVFSQMGGSNRSGFTILSSNSKGDIHLDGLFNIISYDLVAKLENVLMASEFKVVIADESHFLKNAQAKRTTASLPIIKKAQYAILLTGTPALSRPIELFKQLEALYPTVYRKVYEYGERYCKGGVFGTYQGASNHEELHNLMKATVMIRRLKKDVLYQLPMKRRQQVFLELTEKDMKRISCLFCELEVVKGKITMCKSEEEVKSLKLVQKNLINKIYTDSAEAKIPAVLDYLGTIVEADCKFIIFAHHQPMIEAIHQFLLKKKVGCIRIDGTTPASSRQALVNDFQEKDAIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQIDMLVKRGAIEIAIVPDN >KJB66318 pep chromosome:Graimondii2_0_v6:10:30499026:30514000:1 gene:B456_010G135100 transcript:KJB66318 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] MELDEWELSVEELDFLERDALQKISQQRHSSSSSSLSTNQQQPVIHSLSQKGEVPSRTLPSSIAPKANPADQCSKEQLPKVSLKFILHATGNLAAKFPYNQVLVDAFRKIPKATWNAKERLWMFPQSSLSLAEKVPCEVPGCNIEVENLHPLVQRAIAAASAQPDLQELYHRIPKSIESKLLPFQREGVRFVLQHGGRALLADEMGLGKTLQAIAVAACVRDSWPVLILAPSSLRLHWASMIEQWLNIPPPDIVVVFSQMGGSNRSGFTILSSNSKGDIHLDGLFNIISYDLVAKLENVLMASEFKVVIADESHFLKNAQAKRTTASLPIIKLEALYPTVYRKVYEYGERYCKGGVFGTYQGASNHEELHNLMKATVMIRRLKKDVLYQLPMKRRQQVFLELTEKDMKRISCLFCELEVVKGKITMCKSEEEVKSLKLVQKNLINKIYTDSAEAKIPAVLDYLGTIVEADCKFIIFAHHQPMIEAIHQFLLKKKVGCIRIDGTTPASSRQALVNDFQEKDAIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQNKLENLGQMLDGHENSLEVSSSQEHRSPTKQKTVDLIHQGSPGKQKTLDSFMKRCINMDDAEHQSKLKYPRNM >KJB63568 pep chromosome:Graimondii2_0_v6:10:333686:334175:-1 gene:B456_010G0063001 transcript:KJB63568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDKETCFYEDGSILWWDILYPGVPLTSVKFHSEPVLSLCIDGSCNGGISGAADDKIVLYNLDYPT >KJB64571 pep chromosome:Graimondii2_0_v6:10:6239984:6245563:1 gene:B456_010G054400 transcript:KJB64571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHESKMARMEDILNLPVQDPPCAEFSAAHITWVKVEGGRQGGDDIALIPFSRVDDFVKGESSNAECPASFRIESRRKRSEGSISKPRVDGYLEYTLYWCSYGPEDYRDCESGLGDGSNFKPATGKGSRPGRRHMMRGCLCHFTVKRLYTRPLLALIIYNQRKHVDKTGSPCHGILDQDAVGTRAMYAPRISEELRQKVMSMLYVGISLDNIIQHHMEVVQGHGGPHNRDDFLTRNDVRNIERVIRNTSHELHIDDACSVKIWVQRHQKHVFYFQDASASEPFILGIQTDWQLQQMLRHGQNGSVASHSTFGSKKLKYPLSTLLVFDSSRNAIPVAWVITSSLTGQDIHKWVGSLAERLRTKDSRWRINAFLVDDPSFGFSAIRDAFQCRVLLCVWHVRRSWIRSLLKTCCNIDVQREMFKHLGWILYSSRSVPNAMDAVQEFMEVFVDQSAFMDYFKSQWSPHIESWVSCIRSLPVAGPEPHAAIESYHRRLKYKLFNDQYANFWPRIDWLIHTLTTEFHSLYWLDQYIVETGYFSNLRDESFSTNAWYQALHIPDIDVILDEQNLQVAKVISQTDRNLAYTIWNPGSEFSLCDCHWSNLGNLCKHVIKVAMMCKNRQVARPLLAAQIYRQTLLSLLHNPPDDPVVLDHAILCTTRLQQDIKGWEDLSNSGLLQQLPPELNSQMADNTLLFARSH >KJB64570 pep chromosome:Graimondii2_0_v6:10:6239984:6245563:1 gene:B456_010G054400 transcript:KJB64570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHESKMARMEDILNLPVQDPPCAEFSAAHITWVKVEGGRQGGDDIALIPFSRVDDFVKGESSNAECPASFRIESRRKRSEGSISKPRVDGYLEYTLYWCSYGPEDYRDCESGLGDGSNFKPATGKGSRPGRRHMMRGCLCHFTVKRLYTRPLLALIIYNQRKHVDKTGSPCHGILDQDAVGTRAMYAPRISEELRQKVMSMLYVGISLDNIIQHHMEVVQGHGGPHNRDDFLTRNDVRNIERVIRNTSHELHIDDACSVKIWVQRHQKHVFYFQDASASEPFILGIQTDWQLQQMLRHGQNGSVASHSTFGSKKLKYPLSTLLVFDSSRNAIPVAWVITSSLTGQDIHKWVGSLAERLRTKDSRWRINAFLVDDPSFGFSAIRDAFQCRVLLCVWHVRRSWIRSLLKTCCNIDVQREMFKHLGWILYSSRSVPNAMDAVQEFMEVFVDQSAFMDYFKSQWSPHIESWVSCIRSLPVAGPEPHAAIESYHRRLKYKLFNDQYANFWPRIDWLIHTLTTEFHSLYWLDQYIVETGYFSNLRDESFSTNAWYQALHIPDIDVILDEQNLQVAKVISQTDRNLAYTIWNPGSEFSLCDCHWSNLGNLCKHVIKVAMMCKNRQVARPLLAAQIYRQTLLSLLHNPPDDPVVLDHAILCTTRLQQDIKGWEDLSNSGLLQQLPPELNSQMADNTLLFARSH >KJB66771 pep chromosome:Graimondii2_0_v6:10:44733628:44734997:-1 gene:B456_010G158800 transcript:KJB66771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFALKTKFLIFMLTVSGALASAMSRILDEAFVAEKYKQWMVEHGRTYEMQEEETMRFQIFKNNLEFIENFNKMGNQTYKLSTNEFADLTNEEFLTNLAGYMFSSKNVSHKIKRFRYENLINVPDSIDWRKKGAVTEIKDQGSCASCWAFSTVAAVEGVIKIKTGKLVSLSEQQLVDCARTESTRGCDAGWMDDGFEYIGRNQGLAKESKYPYTGKDGKCSRRKETFRAAQITGYEDVPRDNEEALLKAASRQPVAVALDCSGYGFQFYSGGVYGGPCRTRLNHAVSVVGYGASEDGIKYWLLKNSWGKSWGESGYMRIKRDVHSKKGLCGIAKKPSYPVA >KJB64741 pep chromosome:Graimondii2_0_v6:10:7856135:7862915:-1 gene:B456_010G063000 transcript:KJB64741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETTETTPSYSLQDQATTERGAGGKLRRQPPRRTPTTPYARPQQNQSLRGRLLSKLVDPACRLIASGASRILPSLFLKPLNNDSLPPPEPQAHGELDEDIEEHTNEEDQSCYSTLVVSRTAGTIRTTDGPKAGSGIAEHRKGNQGDIRDDGLSEIEKLMKGKTFSRDEINRLIGIINSKAVDVPQVDQEDRNLTLSTGGAKGPIVSQNLRWPTEEKQDDLNIATTTRDLATPLPKPTLLNDTAPSPIEIAKAYMANRTSESNLGSKSIISEDERPTMLANDFASEPFVPFASPKPSTCWPGSMVHDQRSYLTPQSQRGRFGLHNIPRTPYSRTIYSKSKSKMAHVRGEGDAFLNGSFSPLQQSQTPAYGQLRSNMVDKGYGSVGPIRRICHKGSAEIPSRGSIYSHSSLNDPFPVGNSNVSKSQFSSIKKNLEQGGAISSSDIQSVDGNRSSEMGIPPVHPHSSQMARTILEHLERTLVTPKKKSEELKIATSWKKSQSSDVNAAVSAEHNDLPNLGLDSSKSRDKLNNRSSAPWNDNPISVASPESTIEAKNVTKTSASNLKVDSTVTMLGNNAGSLLDCGKTQDSQMKTAHKDLPKFTGAAVSEAPCEGLLKASSNSSGNKPVLASISVTKPEQRWMFTSDNSTGFTFPVSASSGVSSEPPTPTIMPFLSGSSQHQPKEELTEPSYSFGLNRSSPALVFSFPSTSGATNHVDASDISFNFGSDRSSRICFSPIGKNTICH >KJB64744 pep chromosome:Graimondii2_0_v6:10:7856458:7861880:-1 gene:B456_010G063000 transcript:KJB64744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKTFSRDEINRLIGIINSKAVDVPQVDQEDRNLTLSTGGAKGPIVSQNLRWPTEEKQDDLNIATTTRDLATPLPKPTLLNDTAPSPIEIAKAYMANRTSESNLGSKSIISEDERPTMLANDFASEPFVPFASPKPSTCWPGSMVHDQRSYLTPQSQRGRFGLHNIPRTPYSRTIYSKSKSKMAHVRGEGDAFLNGSFSPLQQSQTPAYGQLRSNMVDKGYGSVGPIRRICHKGSAEIPSRGSIYSHSSLNDPFPVGNSNVSKSQFSSIKKNLEQGGAISSSDIQSVDGNRSSEMGIPPVHPHSSQMARTILEHLERTLVTPKKKSEELKIATSWKKSQSSDVNAAVSAEHNDLPNLGLDSSKSRDKLNNRSSAPWNDNPISVASPESTIEAKNVTKTSASNLKVDSTVTMLGNNAGSLLDCGKTQDSQMKTAHKDLPKFTGAAVSEAPCEGLLKASSNSSGNKPVLASISVTKPEQRWMFTSDNSTGFTFPVSASSGVSSEPPTPTIMPFLSGSSQHQPKEELTEPSYSFGLNRSSPALVFSFPSTSGATNHVDASDISFNFGSDRSSRICFSPIGKNTICH >KJB64742 pep chromosome:Graimondii2_0_v6:10:7856236:7862816:-1 gene:B456_010G063000 transcript:KJB64742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKTFSRDEINRLIGIINSKAVDVPQVDQEDRNLTLSTGGAKGPIVSQNLRWPTEEKQDDLNIATTTRDLATPLPKPTLLNDTAPSPIEIAKAYMANRTSESNLGSKSIISEDERPTMLANDFASEPFVPFASPKPSTCWPGSMVHDQRSYLTPQSQRGRFGLHNIPRTPYSRTIYSKSKSKMAHVRGEGDAFLNGSFSPLQQSQTPAYGQLRSNMVDKGYGSVGPIRRICHKGSAEIPSRGSIYSHSSLNDPFPVGNSNVSKSQFSSIKKNLEQGGAISSSDIQSVDGNRSSEMGIPPVHPHSSQMARTILEHLERTLVTPKKKSEELKIATSWKKSQSSDVNAAVSAEHNDLPNLGLDSSKSRDKLNNRSSAPWNDNPISVASPESTIEAKNVTKTSASNLKVDSTVTMLGNNAGSLLDCGKTQDSQMKTAHKDLPKFTGAAVSEAPCEGLLKASSNSSGNKPVLASISVTKPEQRWMFTSDNSTGFTFPVSASSGVSSEPPTPTIMPFLSGSSQHQPKEELTEPSYSFGLNRSSPALVFSFPSTSGATNHVDASDISFNFGSDRSSRICFSPIGKNTICH >KJB64743 pep chromosome:Graimondii2_0_v6:10:7856236:7862800:-1 gene:B456_010G063000 transcript:KJB64743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETTETTPSYSLQDQATTERGAGGKLRRQPPRRTPTTPYARPQQNQSLRGRLLSKLVDPACRLIASGASRILPSLFLKPLNNDSLPPPEPQAHGELDEDIEEHTNEEDQSCYSTLVVSRTAGTIRTTDGPKAGSGIAEHRKGNQGDIRDDGLSEIEKLMKGKTFSRDEINRLIGIINSKAVDVPQVDQEDRNLTLSTGGAKGPIVSQNLRWPTEEKQDDLNIATTTRDLATPLPKPTLLNDTAPSPIEIAKAYMANRTSESNLGSKSIISEDERPTMLANDFASEPFVPFASPKPSTCWPGSMVHDQRSYLTPQSQRGRFGLHNIPRTPYSRTIYSKSKSKMAHVRGEGDAFLNGSFSPLQQSQTPAYGQLRSNMVDKGYGSVGPIRRICHKGSAEIPSRGSIYSHSSLNDPFPVGNSNVSKSQFSSIKKNLEQGGAISSSDIQSVDGNRSSEMGIPPVHPHSSQMARTILEHLERTLVTPKKKSEELKIATSWKKSQSSDVNAAVSAEHNDLPNLGLDSSKSRDKLNNRSSAPWNDNPISVASPESTIEAKNVTKTSASNLKVDSTVTMLGNNAGSLLDCGKTQDSQMKTAHKFTGAAVSEAPCEGLLKASSNSSGNKPVLASISVTKPEQRWMFTSDNSTGFTFPVSASSGVSSEPPTPTIMPFLSGSSQHQPKEELTEPSYSFGLNRSSPALVFSFPSTSGATNHVDASDISFNFGSDRSSRICFSPIGKNTICH >KJB64727 pep chromosome:Graimondii2_0_v6:10:7710108:7719320:1 gene:B456_010G062200 transcript:KJB64727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFFFNLRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRTKAVEILVKDLKVFSAFNEELFKEITHLLTLENFRENEQLSKYGDTKSARGIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMSAVPKAGGFPPLGAHGPFQPTPAALPTSLAGWMANPSPVPHPVASAGPIGLTAPNNAAAILKRPRTPPTNNPALDYQSADSEHVLKRSRPFAMPDEVNNLPVTILPVPYAGQTHGQSSYSLDDLPKTVVMTLSQGSAVKSMDFHPAQQILLLVGTNIGDIMVWEVGSGEKIAHKAFKVWDLSACSMPLQASLANDYTVSVNRVVWSPDGALFGVAYSKHIVHIYSYHGGDDLRNRLEIEAHAGSVNDLAFSYPNKQLSVVTCGEDRVIKVWDAASGAKQHTFEGHEAPVYSICPHHKENIQFIFSTATDGKIKAWLYDNMGSRVDYNAPGQSSTTMQYSADGTRLFSCGTNKEGESFLVEWNESEGAVKRTYFGLGKRSVGVVQFDTTKNRFLAAGDEFSVKFWDMDNLNLLTSTPADGGLPPSPCIRFNKEGTLLAVSTDDNGVKILANSDGVRFLRTVENRSFDASRVAPAAIVKTPSVGPFGSNNATIGTTIGDRAAPVAAIVGTNNDARTLADIKPRITDESAEKSRIWKLTEINEPSQCRSLRLPDSLTAMRVSRLIYTNSGVAILALASNAVHKLWKWQRNDRNVTGKATTSVAPQLWLPSSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHTLNVLVSSGADSQLCVWSTDGWEKQASKFLQIPNGRAASPHAETRVQFHLDQIHLLAVHETQIAIFEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRISPAAYLPPNPSLRVFPLVIAAHPSDPNQFALGLTDGGVQIVEPLESEGKWGTSPPAENGAGPSTTSGVTGSEPPQR >KJB64728 pep chromosome:Graimondii2_0_v6:10:7709863:7719320:1 gene:B456_010G062200 transcript:KJB64728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFFFNLRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRTKAVEILVKDLKVFSAFNEELFKEITHLLTLENFRENEQLSKYGDTKSARGIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMSAVPKAGGFPPLGAHGPFQPTPAALPTSLAGWMANPSPVPHPVASAGPIGLTAPNNAAAILKRPRTPPTNNPALDYQSADSEHVLKRSRPFAMPDEVNNLPVTILPVPYAGQTHGQSSYSLDDLPKTVVMTLSQGSAVKSMDFHPAQQILLLVGTNIGDIMVWEVGSGEKIAHKAFKVWDLSACSMPLQASLANDYTVSVNRVVWSPDGALFGVAYSKHIVHIYSYHGGDDLRNRLEIEAHAGSVNDLAFSYPNKQLSVVTCGEDRVIKVWDAASGAKQHTFEGHEAPVYSICPHHKENIQFIFSTATDGKIKAWLYDNMGSRVDYNAPGQSSTTMQYSADGTRLFSCGTNKEGESFLVEWNESEGAVKRTYFGLGKRSVGVVQFDTTKNRFLAAGDEFSVKFWDMDNLNLLTSTPADGGLPPSPCIRFNKEGTLLAVSTDDNGVKILANSDGVRFLRTVENRSFDASRVAPAAIVKTPSVGPFGSNNATIGTTIGDRAAPVAAIVGTNNDARTLADIKPRITDESAEKSRIWKLTEINEPSQCRSLRLPDSLTAMRVSRLIYTNSGVAILALASNAVHKLWKWQRNDRNVTGKATTSVAPQLWLPSSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHTLNVLVSSGADSQLCVWSTDGWEKQASKFLQIPNGRAASPHAETRVQFHLDQIHLLAVHETQIAIFEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRISPAAYLPPNPSLRVFPLVIAAHPSDPNQFALGLTDGGVQIVEPLESEGKWGTSPPAENGAGPSTTSGVTGSEPPQR >KJB64730 pep chromosome:Graimondii2_0_v6:10:7710039:7719356:1 gene:B456_010G062200 transcript:KJB64730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFFFNLRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRTKAVEILVKDLKVFSAFNEELFKEITHLLTLENFRENEQLSKYGDTKSARGIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMSAVPKAGGFPPLGAHGPFQPTPAALPTSLAGWMANPSPVPHPVASAGPIGLTAPNNAAAILKRPRTPPTNNPALDYQSADSEHVLKRSRPFAMPDEVNNLPVTILPVPYAGQTHGQSSYSLDDLPKTVVMTLSQGSAVKSMDFHPAQQILLLVGTNIGDIMVWEVGSGEKIAHKAFKVWDLSACSMPLQASLANDYTVSVNRVVWSPDGALFGVAYSKHIVHIYSYHGGDDLRNRLEIEAHAGSVNDLAFSYPNKQLSVVTCGEDRVIKVWDAASGAKQHTFEGHEAPVYSICPHHKENIQFIFSTATDGKIKAWLYDNMGSRVDYNAPGQSSTTMQYSADGTRLFSCGTNKEGESFLVEWNESEGAVKRTYFGLGKRSVGVVQFDTTKNRFLAAGDEFSVKFWDMDNLNLLTSTPADGGLPPSPCIRFNKEGTLLAVSTDDNGVKILANSDGVRFLRTVENRSFDASRVAPAAIVKTPSVGPFGSNNATIGTTIGDRAAPVAAIVGTNNDARTLADIKPRITDESAEKSRIWKLTEINEPSQCRSLRLPDSLTAMRVSRLIYTNSGVAILALASNAVHKLWKWQRNDRNVTGKATTSVAPQLWLPSSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHTLNVLVSSGADSQLCVWSTDGWEKQASKFLQIPNGRAASPHAETRVQFHLDQIHLLAVHETQIAIFEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRISPAAYLPPNPSLRVFPLVIAAHPSDPNQFALGLTDGGVQIVEPLESEGKWGTSPPAENGAGPSTTSGVTGSEPPQR >KJB64729 pep chromosome:Graimondii2_0_v6:10:7710108:7719320:1 gene:B456_010G062200 transcript:KJB64729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFFFNLRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRTKAVEILVKDLKVFSAFNEELFKEITHLLTLENFRENEQLSKYGDTKSARGIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMSAVPKAGGFPPLGAHGPFQPTPAALPTSLAGWMANPSPVPHPVASAGPIGLTAPNNAAAILKRPRTPPTNNPALDYQSADSEHVLKRSRPFAMPDEQVNNLPVTILPVPYAGQTHGQSSYSLDDLPKTVVMTLSQGSAVKSMDFHPAQQILLLVGTNIGDIMVWEVGSGEKIAHKAFKVWDLSACSMPLQASLANDYTVSVNRVVWSPDGALFGVAYSKHIVHIYSYHGGDDLRNRLEIEAHAGSVNDLAFSYPNKQLSVVTCGEDRVIKVWDAASGAKQHTFEGHEAPVYSICPHHKENIQFIFSTATDGKIKAWLYDNMGSRVDYNAPGQSSTTMQYSADGTRLFSCGTNKEGESFLVEWNESEGAVKRTYFGLGKRSVGVVQFDTTKNRFLAAGDEFSVKFWDMDNLNLLTSTPADGGLPPSPCIRFNKEGTLLAVSTDDNGVKILANSDGVRFLRTVENRSFDASRVAPAAIVKTPSVGPFGSNNATIGTTIGDRAAPVAAIVGTNNDARTLADIKPRITDESAEKSRIWKLTEINEPSQCRSLRLPDSLTAMRVSRLIYTNSGVAILALASNAVHKLWKWQRNDRNVTGKATTSVAPQLWLPSSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHTLNVLVSSGADSQLCVWSTDGWEKQASKFLQIPNGRAASPHAETRVQFHLDQIHLLAVHETQIAIFEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRISPAAYLPPNPSLRVFPLVIAAHPSDPNQFALGLTDGGVQIVEPLESEGKWGTSPPAENGAGPSTTSGVTGSEPPQR >KJB65667 pep chromosome:Graimondii2_0_v6:10:19366006:19369984:1 gene:B456_010G106300 transcript:KJB65667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MPGVSSDSARGALVPLSPESPAAAVYPLRHGLKSPISRLSISWSRGNSLRVSVFAAPSSDGSVNDGDGGKVVEVKLGGGDGEISEAHWRRIAYGSVSPFALLQSRKNAVFSLSKFSMNSSPYDGDWWDYIMEYSKDINALLVNQKSASVPVLDDPKSVITKGEEPTSLKAAWELLEMFYAEKPSHSWLPERLVDWLADYDSLFSGTHPTVHSKLVDFQKELVNLQVVEDDPKYWEVMSSALAVGWLNIVVKMLRLHGSYRLDQLSNRETENGLVEAVAVLISKMPRMRLEHEAGKLGECYKAKPDFVKAWEKWRGQINKLDSSAFWFQCAHQQTREGLRSMLQIMLGNANSLCSATYNWIELYISHFLYMRPLTVGLESMYSLAQKSIQLKPMASAHRLMGLIIGILGENTEVVLAECLKGFGPWMVAHAIELLTAGSDHAEMLLHEERQNLGGISIEELHRLVYAQVLSSFPLTWQIAPIYLISCMKHGMVLLQRLLSKQPVQDNQLLLKSIEICRLYELHSVTSNIMKIAGVHHWKHGRKGSGVFWLQQARDEYRLNRIALQLFDSVGKTISDESFKQWEGLIQLLGSDSKTAGGLEFLHKYRDFKKSLRQIHDGKATDSARQAVESLISVHIISYNLLLQVTIILSKIITRANIVM >KJB65666 pep chromosome:Graimondii2_0_v6:10:19365857:19370651:1 gene:B456_010G106300 transcript:KJB65666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MPGVSSDSARGALVPLSPESPAAAVYPLRHGLKSPISRLSISWSRGNSLRVSVFAAPSSDGSVNDGDGGKVVEVKLGGGDGEISEAHWRRIAYGSVSPFALLQSRKNAVFSLSKFSMNSSPYDGDWWDYIMEYSKDINALLVNQKSASVPVLDDPKSVITKGEEPTSLKAAWELLEMFYAEKPSHSWLPERLVDWLADYDSLFSGTHPTVHSKLVDFQKELVNLQVVEDDPKYWEVMSSALAVGWLNIVVKMLRLHGSYRLDQLSNRETENGLVEAVAVLISKMPRMRLEHEAGKLGECYKAKPDFVKAWEKWRGQINKLDSSAFWFQCAHQQTREGLRSMLQIMLGNANSLCSATYNWIELYISHFLYMRPLTVGLESMYSLAQKSIQLKPMASAHRLMGLIIGILGENTEVVLAECLKGFGPWMVAHAIELLTAGSDHAEMLLHEERQNLGGISIEELHRLVYAQVLSSFPLTWQIAPIYLISCMKHGMVLLQRLLSKQPVQDNQLLLKSIEICRLYELHSVTSNIMKIAGVHHWKHGRKGSGVFWLQQARDEYRLNRIALQLFDSVGKTISDESFKQWEGLIQLLGSDSKTAGGLEFLHKYRDFKKSLRQIHDGKATDSARQAVESLISLMKNPSTPQRFWLPLLYDSLKLLNWKERPLLNVSQTNLLLNKLQELSMARLRPDFIESELPPQALNSVRLALGTNLGRAILEE >KJB65668 pep chromosome:Graimondii2_0_v6:10:19365904:19370556:1 gene:B456_010G106300 transcript:KJB65668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MPGVSSDSARGALVPLSPESPAAAVYPLRHGLKSPISRLSISWSRGNSLRVSVFAAPSSDGSVNDGDGGKVVEVKLGGGDGEISEAHWRRIAYGSVSPFALLQSRKNAVFSLSKFSMNSSPYDGDWWDYIMEYSKDINALLVNQKSASVPVLDDPKSVITKGEEPTSLKAAWELLEMFYAEKPSHSWLPERLVDWLADYDSLFSGTHPTVHSKLVDFQKELVNLQVVEDDPKYWEVMSSALAVGWLNIVVKMLRLHGSYRLDQLSNRETENGLVEAVAVLISKMPRMRLEHEAGKLGECYKAKPDFAWEKWRGQINKLDSSAFWFQCAHQQTREGLRSMLQIMLGNANSLCSATYNWIELYISHFLYMRPLTVGLESMYSLAQKSIQLKPMASAHRLMGLIIGILGENTEVVLAECLKGFGPWMVAHAIELLTAGSDHAEMLLHEERQNLGGISIEELHRLVYAQVLSSFPLTWQIAPIYLISCMKHGMVLLQRLLSKQPVQDNQLLLKSIEICRLYELHSVTSNIMKIAGVHHWKHGRKGSGVFWLQQARDEYRLNRIALQLFDSVGKTISDESFKQWEGLIQLLGSDSKTAGGLEFLHKYRDFKKSLRQIHDGKATDSARQAVESLISLMKNPSTPQRFWLPLLYDSLKLLNWKERPLLNVSQTNLLLNKLQELSMARLRPDFIESELPPQALNSVRLALGTNLGRAILEE >KJB63372 pep chromosome:Graimondii2_0_v6:10:61200863:61203572:1 gene:B456_010G243200 transcript:KJB63372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHFSHFTPIGINLWDLRMGKRKSRAKPAPKKRMDKLDTVFSCPFCNHGTGVECRLDMKNLIGEASCRICQESFSTVITALTEAIDIYSEWVDECERVNNLEDDDGDQDEGFMPRKRVSTCDWD >KJB63371 pep chromosome:Graimondii2_0_v6:10:61200863:61204055:1 gene:B456_010G243200 transcript:KJB63371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHFSHFTPIGINLWDLRMGKRKSRAKPAPKKRMDKLDTVFSCPFCNHGTGVECRLDMKNLIGEASCRICQESFSTVITALTEAIDIYSEWVDECERVNNLEDDDGDQDEGFMPRKRVSTCDWD >KJB63375 pep chromosome:Graimondii2_0_v6:10:61201433:61204055:1 gene:B456_010G243200 transcript:KJB63375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSRAKPAPKKRMDKLDTVFSCPFCNHGTGVECRLDMKNLIGEASCRICQESFSTVITALTEAIDIYSEWVDECERVNNLEDDDGDQDEGFMPRKRVSTCDWD >KJB63373 pep chromosome:Graimondii2_0_v6:10:61200934:61204055:1 gene:B456_010G243200 transcript:KJB63373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSRAKPAPKKRMDKLDTVFSCPFCNHGTGVECRLDMKNLIGEASCRICQESFSTVITALTEAIDIYSEWVDECERVNNLEDDDGDQDEGFMPRKRVSTCDWD >KJB63374 pep chromosome:Graimondii2_0_v6:10:61201433:61203572:1 gene:B456_010G243200 transcript:KJB63374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSRAKPAPKKRMDKLDTVFSCPFCNHGTGVECRLDMKNLIGEASCRICQESFSTVITALTEAIDIEWVDECERVNNLEDDDGDQDEGFMPRKRVSTCDWD >KJB63779 pep chromosome:Graimondii2_0_v6:10:1249093:1251290:1 gene:B456_010G016200 transcript:KJB63779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGINTLLQTLIGSRLPTVMGVSFAYTLPLLSIINDYTDEAFGTEHDRFVRGIRTIQGSLIVASFVNIILGYSRAWGELTRFFTPIVMVPVVCLVGLGLFARGFPLLGNCVEIGLPMLILLIISQQYLKRVHSRAHLILERFALLICIGIVWAFAAILTVSGAYNNVKTATKLSCRTDRSYLMSSAPWIKIPYPFQWGTPIFRASHVFGMIGAALVSSAESTATFFAAARLSGATAPPAHVLSRSIGLQGIGMLLEGLFGSLVGTTASVENVGLLGLTHIGSRRVVQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILLGIVGK >KJB63783 pep chromosome:Graimondii2_0_v6:10:1248171:1251132:1 gene:B456_010G016200 transcript:KJB63783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETENHQHHHPPPPQVVAPPAAPPPNFALSRGPTWTPAEQLHQLQYCIHSNPSWPQALLLAFQHFIVNLGTTVLIASTMVPRMGGNHGDKARVIQVLLFMSGINTLLQTLIGSRLPTVMGVSFAYTLPLLSIINDYTDEAFGTEHDRFVRGIRTIQGSLIVASFVNIILGYSRAWGELTRFFTPIVMVPVVCLVGLGLFARGFPLLGNCVEIGLPMLILLIISQQYLKRVHSRAHLILERFALLICIGIVWAFAAILTVSGAYNNVKTATKLSCRTDRSYLMSSAPWIKIPYPFQWGTPIFRASHVFGMIGAALVSSAESTATFFAAARLSGATAPPAHVLSRSIGLQGIGMLLEGLFGSLVGTTASVENVGLLGLTHIGSRRVVQISTGFMIFFSIFG >KJB63781 pep chromosome:Graimondii2_0_v6:10:1247792:1252119:1 gene:B456_010G016200 transcript:KJB63781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETENHQHHHPPPPQVVAPPAAPPPNFALSRGPTWTPAEQLHQLQYCIHSNPSWPQALLLAFQHFIVNLGTTVLIASTMVPRMGGNHGDKARVIQVLLFMSGINTLLQTLIGSRLPTVMGVSFAYTLPLLSIINDYTDEAFGTEHDRFVRGIRTIQGSLIVASFVNIILGYSRAWGELTRFFTPIVMVPVVCLVGLGLFARGFPLYLKRVHSRAHLILERFALLICIGIVWAFAAILTVSGAYNNVKTATKLSCRTDRSYLMSSAPWIKIPYPFQWGTPIFRASHVFGMIGAALVSSAESTATFFAAARLSGATAPPAHVLSRSIGLQGIGMLLEGLFGSLVGTTASVENVGLLGLTHIGSRRVVQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILLGIVAACGITFIQFANSNSMRNIYVLGVSLFLGLSIPQYFVMNTTGDGHGPVRTNAGWFNSILNTFFSSPATVATIVGTVLDNTLEARQVDDRGIPWWKPFQHSKGDARTEEFYSYPLRINEYIPTRFL >KJB63778 pep chromosome:Graimondii2_0_v6:10:1247792:1252119:1 gene:B456_010G016200 transcript:KJB63778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETENHQHHHPPPPQVVAPPAAPPPNFALSRGPTWTPAEQLHQLQYCIHSNPSWPQALLLAFQHFIVNLGTTVLIASTMVPRMGGNHGDKARVIQVLLFMSGINTLLQTLIGSRLPTVMGVSFAYTLPLLSIINDYTDEAFGTEHDRFVRGIRTIQGSLIVASFVNIILGYSRAWGELTRFFTPIVMVPVVCLVGLGLFARGFPLLGNCVEIGLPMLILLIISQQYLKRVHSRAHLILERFALLICIGIVWAFAAILTVSGAYNNVKTATKLSCRTDRSYLMSSAPWIKIPYPFQWGTPIFRASHVFGMIGAALVSSAESTATFFAAARLSGATAPPAHVLSRSIGLQGIGMLLEGLFGSLVGTTASVENVGLLGLTHIGSRRVVQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILLGIVAACGITFIQFANSNSMRNIYVLGVSLFLGLSIPQYFVMNTTGDGHGPVRTNAGWFNSILNTFFSSPATVATIVGTVLDNTLEARQVDDRGIPWWKPFQHSKGDARTEEFYSYPLRINEYIPTRFL >KJB63782 pep chromosome:Graimondii2_0_v6:10:1247765:1252168:1 gene:B456_010G016200 transcript:KJB63782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETENHQHHHPPPPQVVAPPAAPPPNFALSRGPTWTPAEQLHQLQYCIHSNPSWPQALLLAFQHFIVNLGTTVLIASTMVPRMGGNHGDKARVIQVLLFMSGINTLLQTLIGSRLPTVMGVSFAYTLPLLSIINDYTDEAFGTEHDRFVRGIRTIQGSLIVASFVNIILGYSRAWGELTRFFTPIVMVPVVCLVGLGLFARGFPLLGNCVEIGLPMLILLIISQQYLKRVHSRAHLILERFALLICIGIVWAFAAILTVSGAYNNVKTATKLSCRTDRSYLMSSAPWIKIPYPFQWGTPIFRASHVFGMIGAALVSSAESTATFFAAARLSGATAPPAHVLSRSIGLQGIGMLLEGLFGSLVGTTASVENVGLLGLTHIGSRRVVQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILLGIVAACGITFIQFANSNSMRNIYVLGVSLFLGLSIPQYFVMNTTGDGHGPVRTNAGWFNSILNTFFSSPATVATIVGTVLDNTLEARQVDDRGIPWWKPFQHSKGDARTEEFYSYPLRINEYIPTRFL >KJB63780 pep chromosome:Graimondii2_0_v6:10:1247792:1252119:1 gene:B456_010G016200 transcript:KJB63780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETENHQHHHPPPPQVVAPPAAPPPNFALSRGPTWTPAEQLHQLQYCIHSNPSWPQALLLAFQHFIVNLGTTVLIASTMVPRMGGNHGDKARVIQVLLFMSGINTLLQTLIGSRLPTVMGVSFAYTLPLLSIINDYTDEAFGTEHDRFVRGIRTIQGSLIVASFVNIILGYSRAWGELTRFFTPIVMVPVVCLVGLGLFARGFPLLGNCVEIGLPMLILLIISQQYLKRVHSRAHLILERFALLICIGIVWAFAAILTVSGAYNNVKTATKLSCRTDRSYLMSSAPWIKIPYPFQWGTPIFRASHVFGMIGAALVSSAESTATFFAAARLSGATAPPAHVLSRSIGLQGIGMLLEGLFGSLVGTTASVENVGLLGLTHIGSRRVVQISTGFMIFFSIFAACGITFIQFANSNSMRNIYVLGVSLFLGLSIPQYFVMNTTGDGHGPVRTNAGWFNSILNTFFSSPATVATIVGTVLDNTLEARQVDDRGIPWWKPFQHSKGDARTEEFYSYPLRINEYIPTRFL >KJB67574 pep chromosome:Graimondii2_0_v6:10:55940897:55945621:-1 gene:B456_010G198500 transcript:KJB67574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFVHYLSILLISAVIFLLLRAYRLRLLRLPPGNLGLPFVGETLQLISAYKTENPEPFIDERAKRHGSVFTTHVFGEPTVFSADPETNRFILQNEGKLFECSYPGSISNLLGKHSLLLMKGNLHKRMHSLTMSFANSSIIKDQLLVDIDRLIRLNLDSWTDRILLMEAAKKITFELAVKQLMSFDPGEWSESLRKHYVLVIEGFFTVPLPLFSATYRRAIKARREVAGALSKIVKERREEYEKGERKNDMLGALLGGEWEEDQLSNEQIVDFMVALLVAGYETTSTIMTLAVKFLTQTPLALAQLKEEHDGIRLKKSGSEGLQWSDYKSMPFTQCVINETLRVANIISGVFRRTVTDVNIKGYTIPKGWKVFASFRAVHLDHDHFKDARTFNPWRWKNNNLGTSCSGNFYTPFGGGPRLCPGYELARVEISVFLHHLVTQFSWVPAEEDKLVFFPTTRTQKRFPINLQRRR >KJB67575 pep chromosome:Graimondii2_0_v6:10:55942831:55945565:-1 gene:B456_010G198500 transcript:KJB67575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFVHYLSILLISAVIFLLLRAYRLRLLRLPPGNLGLPFVGETLQLISAYKTENPEPFIDERAKRHGSVFTTHVFGEPTVFSADPETNRFILQNEGKLFECSYPGSISNLLGKHSLLLMKGNLHKRMHSLTMSFANSSIIKDQLLVDIDRLIRLNLDSWTDRILLMEAAKKITFELAVKQLMSFDPGEWSESLRKHYVLVIEGFFTVPLPLFSATYRRAIKARREVAGALSKIVKERREEYEKGERKNDMLGALLGGEWEEDQLSNEQIVDFMVALLVAGYETTSTIMTLAVKFLTQTPLALAQLKVKSRGKKYKQNTKVKVGTGNFV >KJB65946 pep chromosome:Graimondii2_0_v6:10:24980841:24983704:-1 gene:B456_010G121900 transcript:KJB65946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLNLNSGFISGVTTKGNKIAILAFEVANTIVKGANLMQSLSNENIRHLKEVVLPSEGVQNLISRDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELTPEKQLKDEAEAIMQQLMSYVQYTAELYHELHALDRFEQDYRRKLQEEDNSTAVQRGDSLLDCDKIFVSIHKDIHCCLRNTCPILSWIFPCSCRNTSPMPYFRLRTAKEILKLKAD >KJB65942 pep chromosome:Graimondii2_0_v6:10:24980555:24984885:-1 gene:B456_010G121900 transcript:KJB65942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLNLNSGFISGVTTKGNKIAILAFEVANTIVKGANLMQSLSNENIRHLKEVVLPSEGVQNLISRDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELTPEKQLKDEAEAIMQQLMSYVQYTAELYHELHALDRFEQDYRRKLQEEDNSTAVQRGTHPLCPIFV >KJB65944 pep chromosome:Graimondii2_0_v6:10:24980845:24983704:-1 gene:B456_010G121900 transcript:KJB65944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLNLNSGFISGVTTKGNKIAILAFEVANTIVKGANLMQSLSNENIRHLKEVVLPSEGVQNLISRDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELTPEKQLKDEAEAIMQQLMSYVQYTAELYHELHALDRFEQDYRRKLQEEDNSTAVQRGDSLLDCDKEHIPYALFSFKDRQGNSETES >KJB65941 pep chromosome:Graimondii2_0_v6:10:24980555:24984885:-1 gene:B456_010G121900 transcript:KJB65941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLNLNSGFISGVTTKGNKIAILAFEVANTIVKGANLMQSLSNENIRHLKEVVLPSEGVQNLISRDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELTPEKQLKDEAEAIMQQLMSYVQYTAELYHELHALDRFEQDYRRKLQEEDNSTAVQRGTHPLCPIFV >KJB65945 pep chromosome:Graimondii2_0_v6:10:24980841:24983704:-1 gene:B456_010G121900 transcript:KJB65945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLNLNSGFISGVTTKGNKIAILAFEVANTIVKGANLMQSLSNENIRHLKEVVLPSEGVQNLISRDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELTPEKQLKDEAEAIMQQLMSYVQYTAELYHELHALDRFEQDYRRKLQEEDNSTAVQRGDSLLDCDKIFVSIHKDIHCCLRNTCPILSWIFPCSCRNTSPMPYFRLRTAKEILKLKAD >KJB65943 pep chromosome:Graimondii2_0_v6:10:24980845:24983704:-1 gene:B456_010G121900 transcript:KJB65943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLNLNSGFISGVTTKGNKIAILAFEVANTIVKGANLMQSLSNENIRHLKEVVLPSEGVQNLISRDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELTPEKQLKDEAEAIMQQLMSYVQYTAELYHELHALDRFEQDYRRKLQEEDNSTAVQRGDSLLDCDKEHIPYALFSFKDRQGNSETES >KJB65329 pep chromosome:Graimondii2_0_v6:10:13885403:13889201:1 gene:B456_010G090400 transcript:KJB65329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLPVGGFPAFLSSHKTLFIVLWISAFGSVFLWQRDIVGAGFSVFGKAGPGRSMSGSRPFEFNITDFGGVGDGVTDDTAAFERAISAISKLGKRGGGQLNVPPGKWLTAPFNLTSHMTLFLAEDAEILGIQDEKRWPLMPPLPSYGYGREHRGPRYGSLIHGQNLEDVVITGHNGSINGQGQSWWIKYRQKLLNHTRGPLVQIMWSNDIVISNITLRDSPFWTFHPYDCKNVTVRNVTILAPVFGAPNTDGIDPDSCEDVVIEDCYISVGDDAIAIKSGWDQYGIVYGRPSRNILIRNLIVRSMVSAGVSIGSEMSGGVSNVTVENVTVWSSRRAVRIKTAVGRGGYVRHITYRNLTFDDAQVGIVIKTDYNEHPDMDFDKNAFPILENISFTGIHGQGVRVPVRIHGSEEIPVRNVTFRDMNVGITYKKKHIFQCAFVQGRVIGTIFPAPCENLDIYDEEERPVKLSTAQNVTDIDYRV >KJB65330 pep chromosome:Graimondii2_0_v6:10:13885431:13889171:1 gene:B456_010G090400 transcript:KJB65330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFSDNFDPFHANMTVFLISLCYPAVVFKTLDFGGFNLVVCCFPAIIQDEKRWPLMPPLPSYGYGREHRGPRYGSLIHGQNLEDVVITGHNGSINGQGQSWWIKYRQKLLNHTRGPLVQIMWSNDIVISNITLRDSPFWTFHPYDCKNVTVRNVTILAPVFGAPNTDGIDPDSCEDVVIEDCYISVGDDAIAIKSGWDQYGIVYGRPSRNILIRNLIVRSMVSAGVSIGSEMSGGVSNVTVENVTVWSSRRAVRIKTAVGRGGYVRHITYRNLTFDDAQVGIVIKTDYNEHPDMDFDKNAFPILENISFTGIHGQGVRVPVRIHGSEEIPVRNVTFRDMNVGITYKKKHIFQCAFVQGRVIGTIFPAPCENLDIYDEEERPVKLSTAQNVTDIDYRV >KJB66528 pep chromosome:Graimondii2_0_v6:10:36017997:36026609:-1 gene:B456_010G142700 transcript:KJB66528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMIQDPSQPASQPQQQNQAVVGAERLNQALQQQLNLESVKTRAISLFKAITRILEDFDAYSRTNTTPKWQDILGQYSMVNLELFNIVDEIKKVSKAFVVHPKNVNADNAPILPVMLSSKLLPEMEVEDNLKREQLLLGMQNLPIPSQIDKLKARIDMIAAACESAEKVLADTRKAYCFFSRQGPAILPTLDKGQAAKIQEQENLLRTAVNFGEGLRLPADQKLITPSLPLHLVDIMPAADGVQSFADPSGMYMKNTPLMSNNIGSQGSLLQATGAQLIGRSAASPSAATSATSYDNTTTSPLPYANSPRSATTMMNTPSPQQQTQQLQQQQQHQQQQQQQQQRQKMMQLPQHQQQLLAQQQFRQSTMHGLGQNQLPLHDLQGQTQQKFQSLHGQMQFSQPLGHQQFQGRQLPPGHVQHGIGQSQLNQGNQLSRHLGQFSSAANTALFNAAQGTPSTQMIPNMSATMSSQSLLPRMQFVPGSNPQRTHASQILSDQMFNMGSNPGGLMAMQPQPQQQQQQSQQQHGSQAAFGNMGTAQNLQSNMAALQNNPNFAQQRQQNQQ >KJB66531 pep chromosome:Graimondii2_0_v6:10:36017997:36026609:-1 gene:B456_010G142700 transcript:KJB66531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMIQDPSQPASQPQQQNQAVVGAERLNQALQQQLNLESVKTRAISLFKAITRILEDFDAYSRTNTTPKWQDILGQYSMVNLELFNIVDEIKKVSKAFVVHPKNVNADNAPILPVMLSSKLLPEMEVEDNLKREQLLLGMQNLPIPSQIDKLKARIDMIAAACESAEKVLADTRKAYCFFSRQGPAILPTLDKGQAAKIQEQENLLRTAVNFGEGLRLPADQKLITPSLPLHLVDIMPAADGVQSFADPSGMYMKNTPLMSNNIGSQGSLLQATGAQLIGRSAASPSAATSATSYDNTTTSPLPYANSPRSATTMMNTPSPQQQTQQLQQQQQHQQQQQQQQQRQKMMQLPQHQQQLLAQQQFRQSTMHGLGQNQLPLHDLQGQTQQKFQSQFQGRQLPPGHVQHGIGQSQLNQGNQLSRHLGQFSSAANTALFNAAQGTPSTQMIPNMSATMSSQSLLPRMQFVPGSNPQRTHASQILSDQMFNMGSNPGGLMAMQPQPQQQQQQSQQQHGSQAAFGNMGTAQNLQSNMAALQNNPNFAQQRQQNQQ >KJB66530 pep chromosome:Graimondii2_0_v6:10:36018531:36025142:-1 gene:B456_010G142700 transcript:KJB66530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMIQDPSQPASQPQQQNQAVVGAERLNQALQQQLNLESVKTRAISLFKAITRILEDFDAYSRTNTTPKWQDILGQYSMVNLELFNIVDEIKKVSKAFVVHPKNVNADNAPILPVMLSSKLLPEMEVEDNLKREQLLLGMQNLPIPSQIDKLKARIDMIAAACESAEKVLADTRKAYCFFSRQGPAILPTLDKGQAAKIQEQENLLRTAVNFGEGLRLPADQKLITPSLPLHLVDIMPAADGVQSFADPSGMYMKNTPLMSNNIGSQGSLLQATGAQLIGRSAASPSAATSATSYDNTTTSPLPYANSPRSATTMMNTPSPQQQTQQLQQQQQHQQQQQQQQQRQKMMQLPQHQQQLLAQQQFRQSTMHGLGQNQLPLHDLQGQTQQKFQSLHGQMQFSQPLGHQQFQGRQLPPGHVQHGIGQSQLNQGNQLSRHLGQFSSAANTALFNAAQGTPSTQMIPNMSATMSSQSLLPRMQFVPGSNPQRTHASQILSDQSMNNGLDILSCMHIHIHIHVLISISSGAQLLLPSFDFQFLPQPNKTKIWRN >KJB66529 pep chromosome:Graimondii2_0_v6:10:36017927:36025376:-1 gene:B456_010G142700 transcript:KJB66529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMIQDPSQPASQPQQQNQAVVGAERLNQALQQQLNLESVKTRAISLFKAITRILEDFDAYSRTNTTPKWQDILGQYSMVNLELFNIVDEIKKVSKAFVVHPKNVNADNAPILPVMLSSKLLPEMEVEDNLKREQLLLGMQNLPIPSQIDKLKARIDMIAAACESAEKVLADTRKAYCFFSRQGPAILPTLDKGQAAKIQEQENLLRTAVNFGEGLRLPADQKLITPSLPLHLVDIMPAADGVQSFADPSGMYMKNTPLMSNNIGSQGSLLQATGAQLIGRSAASPSAATSATSYDNTTTSPLPYANSPRSATTMMNTPSPQQQTQQLQQQQQHQQQQQQQQQRQKMMQLPQHQQQLLAQQQFRQSTMHGLGQNQLPLHDLQGQTQQKFQSLHGQMQFSQPLGHQQFQGRQLPPGHVQHGIGQSQLNQGNQLSRHLGQFSSAANTALFNAAQGTPSTQMIPNMSATMSSQSLLPRMQFVPGSNPQRTHASQILSDQMFNMGSNPGGLMAMQPQPQQQQQQSQQQHGSQAAFGNMGTAQNLQSNMAALQNNPNFAQQRQQNQQ >KJB66532 pep chromosome:Graimondii2_0_v6:10:36018234:36024808:-1 gene:B456_010G142700 transcript:KJB66532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLELFNIVDEIKKVSKAFVVHPKNVNADNAPILPVMLSSKLLPEMEVEDNLKREQLLLGMQNLPIPSQIDKLKARIDMIAAACESAEKVLADTRKAYCFFSRQGPAILPTLDKGQAAKIQEQENLLRTAVNFGEGLRLPADQKLITPSLPLHLVDIMPAADGVQSFADPSGMYMKNTPLMSNNIGSQGSLLQATGAQLIGRSAASPSAATSATSYDNTTTSPLPYANSPRSATTMMNTPSPQQQTQQLQQQQQHQQQQQQQQQRQKMMQLPQHQQQLLAQQQFRQSTMHGLGQNQLPLHDLQGQTQQKFQSLHGQMQFSQPLGHQQFQGRQLPPGHVQHGIGQSQLNQGNQLSRHLGQFSSAANTALFNAAQGTPSTQMIPNMSATMSSQSLLPRMQFVPGSNPQRTHASQILSDQMFNMGSNPGGLMAMQPQPQQQQQQSQQQHGSQAAFGNMGTAQNLQSNMAALQNNPNFAQQRQQNQQ >KJB66533 pep chromosome:Graimondii2_0_v6:10:36020091:36026609:-1 gene:B456_010G142700 transcript:KJB66533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMIQDPSQPASQPQQQNQAVVGAERLNQALQQQLNLESVKTRAISLFKAITRILEDFDAYSRTNTTPKWQDILGQYSMVNLELFNIVDEIKKVSKAFVVHPKNVNADNAPILPVMLSSKLLPEMEVEDNLKREQLLLGMQNLPIPSQIDKLKARIDMIAAACESAEKVLADTRKAYCFFSRQGPAILPTLDKGQAAKIQEQENLLRTAVNFGEGLRLPADQKLITPSLPLHLVDIMPAADGVQSFADPSGMYMKNTPLMSNNIGSQGSLLQATGAQLIGRSAASPSAATSATSYDNTTTSPLPYANSPRSATTMMNTPSPQQQTQQLQQQQQHQQQQQQQQQRQKMMQLPQHQQQLLAQQQFRQSTMHGLGQNQLPLHDLQGQTQQKFQSLHGQMQFSQPLGHQQFQGRQLPPGHVQHGIGQSQLNQGNQLSRHLGQFSSAANTALFNAAQGTPSTQMVSYITLQSVLCSVFLHLFFQNIIYDVLFSP >KJB63727 pep chromosome:Graimondii2_0_v6:10:975006:975844:1 gene:B456_010G012800 transcript:KJB63727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFMGIPTISKPTKWFSNKGLSLNFPPFLSKSKSSTSLPLSPPAVPLPPLNKTTKKEELRQVFHRFDHDGDGKISSEELSAYFASIGDNVSSNVVRRVIKDFDNDGDELLGFEDFVELMEGGDDGDDIKGAFEMYEGDKGCGCITPVGLQQMLGRLGDVRSYEECKAMIGVFDLDGNGVLDFNEFQHMMKGVEANRNEIVSVK >KJB64109 pep chromosome:Graimondii2_0_v6:10:3058401:3069371:-1 gene:B456_010G033800 transcript:KJB64109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRSSSGHTGESPGSQGERLSPPTPRIRFSEVDDEAVLSSLWERYENTADKLPEAAPTAIQPGENSTGGNDIVGCFAGHPSEIILTLTEEIMQLTTLVSELNTSAGRTTTDLPAATISFIITSEGLPVLYALKIIARSLHNCRVFGYYDGIQKLTALMKGAVIQLKTMTGSLSVDENISNFLTEKIGFLQRLLVYVVSIMCSLIDLDSYIYEKAQMYNITEGSYEIGASSSINSSNSLKGSLSETRLHWHQKAIVSVMEAGGLNWLVELMRVMRRLSMKEQWTDMPLQCLTLRTLCVALSNNSRGQNHFKSIGGLEVLLDGLALPPINMLLLKSDSNADGPRYFVKLFRVFTCVLIFY >KJB64107 pep chromosome:Graimondii2_0_v6:10:3058399:3069372:-1 gene:B456_010G033800 transcript:KJB64107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRSSSGHTGESPGSQGERLSPPTPRIRFSEVDDEAVLSSLWERYENTADKEEKKRLFHVFLKQFLIVFKNWEPVNYGQLPEAAPTAIQPGENSTGGNDIVGCFAGHPSEIILTLTEEIMQLTTLVSELNTSAGRTTTDLPAATISFIITSEGLPVLYALKIIARSLHNCRVFGYYDGIQKLTALMKGAVIQLKTMTGSLSVDENISNFLTEKIGFLQRLLVYVVSIMCSLIDLDSYIYEKAQMYNITEGSYEIGASSSINSSNSLKGSLSETRLHWHQKAIVSVMEAGGLNWLVELMRVMRRLSMKEQWTDMPLQCLTLRTLCVALSNNSRGQNHFKSIGGLEVLLDGLALPPINMLLLKSDSNADGPRYFVKLFRVFTCVLIFY >KJB64110 pep chromosome:Graimondii2_0_v6:10:3059676:3068773:-1 gene:B456_010G033800 transcript:KJB64110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRSSSGHTGESPGSQGERLSPPTPRIRFSEVDDEAVLSSLWERYENTADKEEKKRLFHVFLKQFLIVFKNWEPVNYGQLPEAAPTAIQPGENSTGGNDIVGCFAGHPSEIILTLTEEIMQLTTLVSELNTSAGRTTTDLPAATISFIITSEGLPVLYALKIIARSLHNCRVFGYYDGIQKLTALMKGAVIQLKTMTGSLSVDENISNFLTEKIGFLQRLLVYVVSIMCSLIDLDSYIYEKAQMYNITEGSYEIGASSSINSSNSLKGSLSETRLHWHQKAIVSVMEAGGLNWLVGKLGSSVYHLMQLGNMREPFAMAAKTILL >KJB64108 pep chromosome:Graimondii2_0_v6:10:3058401:3062812:-1 gene:B456_010G033800 transcript:KJB64108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTTLVSELNTSAGRTTTDLPAATISFIITSEGLPVLYALKIIARSLHNCRVFGYYDGIQKLTALMKGAVIQLKTMTGSLSVDENISNFLTEKIGFLQRLLVYVVSIMCSLIDLDSYIYEKAQMYNITEGSYEIGASSSINSSNSLKGSLSETRLHWHQKAIVSVMEAGGLNWLVELMRVMRRLSMKEQWTDMPLQCLTLRTLCVALSNNSRGQNHFKSIGGLEVLLDGLALPPINMLLLKSDSNADGPRYFVKLFRVFTCVLIFY >KJB63682 pep chromosome:Graimondii2_0_v6:10:802237:807438:1 gene:B456_010G010600 transcript:KJB63682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSDFAQKLLDDLRLRKERMAASQNTKGSNAMVADAYAYSKSTYKSSREPKAVKASGFRAGSTQNRPSGGKKSVTTGQTSNQIVPFGGGHKAEQMGDLSMALTFALENGGKIRTESSRNSSIFSFLQNIGRRQMDYGKMERGNRVVSRHQPSSSQLPTLSHIHIEEISRGAQKLNQILRACSNGLNFDRYSIEIGQELLKGAMDLQESLKLLVDMQEASDYLITPQRKSRLTLLEEDEDDDENTITIADQKQLSRPRFSFDRPSRKYNDIQEVAKTELKLRLAALTYSTDVPNSKHEKKGLGASNLRSHKRSVSCGTDVKTLSVFSEQNHSSSSQSKQEKSRIPNVIARLMGIEELPGNVDSKVSTKKESGNQKLEGTTTKKPAKGSTKKAEQREKDSTTSVLPPAKQKATLPSKIPLVQDTVTSQAGKTLATRNGSTRVDVHDKLPPRKDLEDVKPVISLRKGMINVDKRQSDSAQLNHNSGSRKEIQERNHDSIKHREQKYTERSEIKEPVFKDEMQQMIPYMHKRSESTLTLLEKPEYGESMLHGENSSANKLRLGNQQKLQNNHGFQQVHMLQKSEPQEKKRQPEEREQQKQKLQEKKQKRPESVSSNISKPMSGATDLQKKQLQLNQAATSRKGSTEHTDATQLNGLVNGRHQENPAGERSSRNLNFKIKDSLSRNSSQHSTRGDVESESAKARIPFAVDEKPVQVQTTINGRRAKGHKLEVPRNIDEAKTKKSANVYNMPRTMKNQSSNLQERKQTRQEKPAISREADHEASRFEEAETQIIRPNVSVASPKSSRVAQELQTEAQKDSILQSRLEDECQGQNEEQVLATKHSCQNTVPTFTKEQQNQEPGFGRDDEHEVKDSVSDPLQGTREESTENSCIPQPQKQRTSMAKKPEPLTESENHLKQILLKSQLFMNTAEALFKLNIPISILHSNSYDHHIDQDSKLVLDCGYEVMKRKGRRQELSVHPFLKVPITSNKAKSLDELVKQMCKDFDKLKLYGKDGREDSPFEDYQPKMLEADVNNKEPDLNCMWDLGWNNAMFGFLEKDDVIKDVEKYVLNGLLDEITRELFTSITVTV >KJB63683 pep chromosome:Graimondii2_0_v6:10:803066:807458:1 gene:B456_010G010600 transcript:KJB63683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLSMALTFALENGGKIRTESSRNSSIFSFLQNIGRRQMDYGKMERGNRVVSRHQPSSSQLPTLSHIHIEEISRGAQKLNQILRACSNGLNFDRYSIEIGQELLKGAMDLQESLKLLVDMQEASDYLITPQRKSRLTLLEEDEDDDENTITIADQKQLSRPRFSFDRPSRKYNDIQEVAKTELKLRLAALTYSTDVPNSKHEKKGLGASNLRSHKRSVSCGTDVKTLSVFSEQNHSSSSQSKQEKSRIPNVIARLMGIEELPGNVDSKVSTKKESGNQKLEGTTTKKPAKGSTKKAEQREKDSTTSVLPPAKQKATLPSKIPLVQDTVTSQAGKTLATRNGSTRVDVHDKLPPRKDLEDVKPVISLRKGMINVDKRQSDSAQLNHNSGSRKEIQERNHDSIKHREQKYTERSEIKEPVFKDEMQQMIPYMHKRSESTLTLLEKPEYGESMLHGENSSANKLRLGNQQKLQNNHGFQQVHMLQKSEPQEKKRQPEEREQQKQKLQEKKQKRPESVSSNISKPMSGATDLQKKQLQLNQAATSRKGSTEHTDATQLNGLVNGRHQENPAGERSSRNLNFKIKDSLSRNSSQHSTRGDVESESAKARIPFAVDEKPVQVQTTINGRRAKGHKLEVPRNIDEAKTKKSANVYNMPRTMKNQSSNLQERKQTRQEKPAISREADHEASRFEEAETQIIRPNVSVASPKSSRVAQELQTEAQKDSILQSRLEDECQGQNEEQVLATKHSCQNTVPTFTKEQQNQEPGFGRDDEHEVKDSVSDPLQGTREESTENSCIPQPQKQRTSMAKKPEPLTESENHLKQILLKSQLFMNTAEALFKLNIPISILHSNSYDHHIDQDSKLVLDCGYEVMKRKGRRQELSVHPFLKVPITSNKAKSLDELVKQMCKDFDKLKLYGKDGREDSPFEDYQPKMLEADVNNKEPDLNCMWDLGWNNAMFGFLEKDDVIKDVEKYVLNGLLDEITRELFTSITVTV >KJB64276 pep chromosome:Graimondii2_0_v6:10:3756556:3759837:-1 gene:B456_010G040100 transcript:KJB64276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQQHELGLFAIYDGHLGDTIPSYLQKHLFANILKEEEFWVDPSRAISKAYEKTDQAILSQSSDLGRGGSTAVTAILINGIKLWVANVGDSRAVLSRGGQAIQMTTDHEPNTERGSIENRGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQWTDIDNDTDILILASDGLWKVMSNQEAVDIAKKFKDPQKASKQLIAEAVKRDSKDDISCVVVRFRG >KJB64279 pep chromosome:Graimondii2_0_v6:10:3757200:3759092:-1 gene:B456_010G040100 transcript:KJB64279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLCCFSSSQLGEGRPYSSSGKGKSYEGVIKFGFSLVKGKANHPMEDYHVAKFMQIQQHELGLFAIYDGHLGDTIPSYLQKHLFANILKEEEFWVDPSRAISKAYEKTDQAILSQSSDLGRGGSTAVTAILINGIKLWVANVGDSRAVLSRGGQAIQMTTDHEPNTERGSIENRGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQWTDIDNDTDILILASDGLWKVKTFYLDVSLLSWK >KJB64277 pep chromosome:Graimondii2_0_v6:10:3756556:3759837:-1 gene:B456_010G040100 transcript:KJB64277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYHVAKFMQIQQHELGLFAIYDGHLGDTIPSYLQKHLFANILKEEEFWVDPSRAISKAYEKTDQAILSQSSDLGRGGSTAVTAILINGIKLWVANVGDSRAVLSRGGQAIQMTTDHEPNTERGSIENRGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQWTDIDNDTDILILASDGLWKVMSNQEAVDIAKKFKDPQKASKQLIAEAVKRDSKDDISCVVVRFRG >KJB64278 pep chromosome:Graimondii2_0_v6:10:3756638:3759521:-1 gene:B456_010G040100 transcript:KJB64278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLCCFSSSQLGEGRPYSSSGKGKSYEGVIKFGFSLVKGKANHPMEDYHVAKFMQIQQHELGLFAIYDGHLGDTIPSYLQKHLFANILKEEEFWVDPSRAISKAYEKTDQAILSQSSDLGRGGSTAVTAILINGIKLWVANVGDSRAVLSRGGQAIQMTTDHEPNTERGSIENRGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQWTDIDNDTDILILASDGLWKVMSNQEAVDIAKKFKDPQKASKQLIAEAVKRDSKDDISCVVVRFRG >KJB66576 pep chromosome:Graimondii2_0_v6:10:37861073:37865564:-1 gene:B456_010G144300 transcript:KJB66576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDVSRKEAQQPAPPTTGHNGNVVAVLPSMSTVTANRLRLNPNTEHKPESYEGLQLEFSPLLFSSLERYLPPPMLSLPRDSKLHYMRDIILRYSPDGERIRVQRHREYRQKIISHYQPLHRELYTMHASNFFAPSFLKAINENKEEGFRSIMAEPTLGVFTFEMFQPRFCELLLSEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGLETMLGKLMEDFIRPISKVFFSDVGGSTLDSHHGFVVEYGIDRDVELGNFGLFTCSGTCCSSSWAP >KJB66577 pep chromosome:Graimondii2_0_v6:10:37861073:37865582:-1 gene:B456_010G144300 transcript:KJB66577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDVSRKEAQQPAPPTTGHNGNVVAVLPSMSTVTANRLRLNPNTEHKPESYEGLQLEFSPLLFSSLERYLPPPMLSLPRDSKLHYMRDIILRYSPDGERIRVQRHREYRQKIISHYQPLHRELYTMHASNFFAPSFLKAINENKEEGFRSIMAEPTLGVFTFEMFQPRFCELLLSEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGLETMLGKLMEDFIRPISKVFFSDVGGSTLDSHHGFVVEYGIDRDVELGFHVDDSEVTLNVCLGKQFSGGDLFFRGVRCDKHVNTETQSDEILDYSHVPGRAVLHHGRHRHGARATTSGERFNLLLWCRSSVFRELRKYQKDFSIWCGECQREKKERQRVSISATKQELLKREGKPAT >KJB67872 pep chromosome:Graimondii2_0_v6:10:58405183:58408901:-1 gene:B456_010G216000 transcript:KJB67872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRKNMGSASPLLLITLVLGVCFATYNLVTMVMHNRSISKLTIYNSDGGIFVDPIIEMPESVRKLKRAKMPFHVALTATDAPYSKWQCRIMYYWYKKQKDLPGSEMGGFTRILHSGNPDNLMNEIPTVIVDPLPAGLDRGYIVLNRPWAFVQWLEKATIEEEYVLMAEPDHIFVTPLPNLAHGGYPAAFPFFYIKPDQNEKLLRKFFPEEMGPVTNIDPIGNSPVIIKKELLEKIAPTWMNVSLKMKDDPETDKTFGWVLEMYAYAVASALHGVQHVLQKDFMLQPPWDLEIGKKYIIHYTYGCDYNMKGELTYGKIGEWRFDKRSFLRGPPPRNLPLPPPGVPESVVTLVKMVNEATANIPNWDAE >KJB67871 pep chromosome:Graimondii2_0_v6:10:58405257:58408901:-1 gene:B456_010G216000 transcript:KJB67871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYASPLLLITLVLGVCFATYNLVTMVMHNRSISKLTIYNSDGGIFVDPIIEMPESVRKLKRAKMPFHVALTATDAPYSKWQCRIMYYWYKKQKDLPGSEMGGFTRILHSGNPDNLMNEIPTVIVDPLPAGLDRGYIVLNRPWAFVQWLEKATIEEEYVLMAEPDHIFVTPLPNLAHGGYPAAFPFFYIKPDQNEKLLRKFFPEEMGPVTNIDPIGNSPVIIKKELLEKIAPTWMNVSLKMKDDPETDKTFGWVLEMYAYAVASALHGVQHVLQKDFMLQPPWDLEIGKKYIIHYTYGCDYNMKGELTYGKIGEWRFDKRSFLRGPPPRNLPLPPPGVPESVVTLVKMVNEATANIPNWDAE >KJB67873 pep chromosome:Graimondii2_0_v6:10:58405790:58409320:-1 gene:B456_010G216000 transcript:KJB67873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRKNMGSASPLLLITLVLGVCFATYNLVTMVMHNRSISKLTIYNSDGGIFVDPIIEMPESVRKLKRAKMPFHVALTATDAPYSKWQCRIMYYWYKKQKDLPGSEMGGFTRILHSGNPDNLMNEIPTVIVDPLPAGLDRGYIVLNRPWAFVQWLEKATIEEEYVLMAEPDHIFVTPLPNLAHGGYPAAFPFFYIKPDQNEKLLRKFFPEEMGPVTNIDPIGNSPVIIKKELLEKIAPTWMNVSLKMKDDPETDKTFGWVLEMYAYAVASALHGVQHVLQKDFMLQPPWDLEIGKKYIIHYTYGCDYNMKGELTYGKIGEWRFDKRSFLRGPPPRNLPLPPPGVPESVVRIYKYKY >KJB67870 pep chromosome:Graimondii2_0_v6:10:58405257:58409320:-1 gene:B456_010G216000 transcript:KJB67870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRKNMGSASPLLLITLVLGVCFATYNLVTMVMHNRSISKLTIYNSDGGIFVDPIIEMPESVRKLKRAKMPFHVALTATDAPYSKWQCRIMYYWYKKQKDLPGSEMGGFTRILHSGNPDNLMNEIPTVIVDPLPAGLDRGYIVLNRPWAFVQWLEKATIEEEYVLMAEPDHIFVTPLPNLAHGGYPAAFPFFYIKPDQNEKLLRKFFPEEMGPVTNIDPIGNSPVIIKKELLEKIAPTWMNVSLKMKDDPETDKTFGWVLEMYAYAVASALHGVQHVLQKDFMLQPPWDLEIGKKYIIHYTYGCDYNMKGELTYGKIGEWRFDKRSFLRGPPPRNLPLPPPGVPESVVTLVKMVNEATANIPNWDAE >KJB65162 pep chromosome:Graimondii2_0_v6:10:14496367:14498408:1 gene:B456_010G091700 transcript:KJB65162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQGGSKPTGIRQIVRLKEILQKWQTITLCTMPSTDTPHSEENHGVFCPPINQRLKNIMSFDSDEDSCHGSEPPPDVPKGYLAVYVGPELRRFIIPTSYLSHPVFTILLEKAEEEFGYDHNGGLTLPCEIETFKYLLKCIENHPNNHPVGSSVSEQLEIH >KJB65155 pep chromosome:Graimondii2_0_v6:10:14496288:14498454:1 gene:B456_010G091700 transcript:KJB65155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQGGSKPTGIRQIVRLKEILQKWQTITLCTMPSTDTPHSEENHGVFCPPINQRLKNIMSFDSDEDSCHGSEPPPDVPKGYLAVYVGPELRRFIIPTSYLSHPVFTILLEKAEEEFGYDHNGGLTLPCEIETFKYLLKCIENHPNNHPVGSSVSEQLEIH >KJB65156 pep chromosome:Graimondii2_0_v6:10:14496345:14498408:1 gene:B456_010G091700 transcript:KJB65156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQGGSKPTGIRQIVRLKEILQKWQTITLCTMPSTDTPHSEENHGVFCPPINQRLKNIMSFDSDEDSCHGSEPPPDVPKGYLAVYVGPELRRFIIPTSYLSHPVFTILLEKAEEEFGYDHNGGLTLPCEIETFKYLLKCIENHPNNHPVGSSVSEQLEIH >KJB65159 pep chromosome:Graimondii2_0_v6:10:14496338:14498454:1 gene:B456_010G091700 transcript:KJB65159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQGGSKPTGIRQIVRLKEILQKWQTITLCTMPSTDTPHSEENHGVFCPPINQRLKNIMSFDSDEDSCHGSEPPPDVPKGYLAVYVGPELRRFIIPTSYLSHPVFTILLEKAEEEFGYDHNGGLTLPCEIETFKYLLKCIENHPNNHPVGSSVSEQLEIH >KJB65157 pep chromosome:Graimondii2_0_v6:10:14496730:14498408:1 gene:B456_010G091700 transcript:KJB65157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQGGSKPTGIRQIVRLKEILQKWQTITLCTMPSTDTPHSEENHGVFCPPINQRLKNIMSFDSDEDSCHGSEPPPDVPKGYLAVYVGPELRRFIIPTSYLSHPVFTILLEKAEEEFGYDHNGGLTLPCEIETFKYLLKCIENHPNNHPVGSSVSEQLEIH >KJB65158 pep chromosome:Graimondii2_0_v6:10:14496367:14498408:1 gene:B456_010G091700 transcript:KJB65158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQGGSKPTGIRQIVRLKEILQKWQTITLCTMPSTDTPHSEENHGVFCPPINQRLKNIMSFDSDEDSCHGSEPPPDVPKGYLAVYVGPELRRFIIPTSYLSHPVFTILLEKAEEEFGYDHNGGLTLPCEIETFKYLLKCIENHPNNHPVGSSVSEQLEIH >KJB65154 pep chromosome:Graimondii2_0_v6:10:14495966:14498408:1 gene:B456_010G091700 transcript:KJB65154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSNGFSSSGSLLTPTIFAFRSQSWISSHYYTEDSIMDDQGGSKPTGIRQIVRLKEILQKWQTITLCTMPSTDTPHSEENHGVFCPPINQRLKNIMSFDSDEDSCHGSEPPPDVPKGYLAVYVGPELRRFIIPTSYLSHPVFTILLEKAEEEFGYDHNGGLTLPCEIETFKYLLKCIENHPNNHPVGSSVSEQLEIH >KJB65161 pep chromosome:Graimondii2_0_v6:10:14497040:14498408:1 gene:B456_010G091700 transcript:KJB65161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQGGSKPTGIRQIVRLKEILQKWQTITLCTMPSTDTPHSEENHGVFCPPINQRLKNIMSFDSDEDSCHGSEPPPDVPKGYLAVYVGPELRRFIIPTSYLSHPVFTILLEKAEEEFGYDHNGGLTLPCEIETFKYLLKCIENHPNNHPVGSSVSEQLEIH >KJB65160 pep chromosome:Graimondii2_0_v6:10:14496598:14498408:1 gene:B456_010G091700 transcript:KJB65160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQGGSKPTGIRQIVRLKEILQKWQTITLCTMPSTDTPHSEENHGVFCPPINQRLKNIMSFDSDEDSCHGSEPPPDVPKGYLAVYVGPELRRFIIPTSYLSHPVFTILLEKAEEEFGYDHNGGLTLPCEIETFKYLLKCIENHPNNHPVGSSVSEQLEIH >KJB67851 pep chromosome:Graimondii2_0_v6:10:58151490:58152882:1 gene:B456_010G2147002 transcript:KJB67851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLEQFASLLFRVVNGLGLLNLFLLLLIKAKLLLGSYKLHSLQSKFLFLHPCQVDDGVVLPDEASLYLTAIEDAEYKDDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQKQIVTNCHLLKTMDISKMVPGDASFTAPFKLIAERDDYIHAFVAYFDVSFTKC >KJB67848 pep chromosome:Graimondii2_0_v6:10:58150231:58152882:1 gene:B456_010G2147002 transcript:KJB67848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMLLNAPIWLTWLNKLLKQMACLMVDDGVVLPDEASLYLTAIEDAEYKDDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQKQIVTNCHLLKTMDISKMVPGDASFTAPFKLIAERDDYIHAFVAYFDVSFTKC >KJB67850 pep chromosome:Graimondii2_0_v6:10:58150545:58152882:1 gene:B456_010G2147002 transcript:KJB67850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNNFEGANIRFRDADGKEIASNSSNFDDSIVAVDKASEYVSMGEPDVSFFSCDSEDDKTSADYYFDSYSHFGIHEVSVQQLGHLNLVSFLSTYLWNGSASEMLKDVVRTKTYQNVIYRNKFLFQNKVVLDVGAGTGILSLFCAKAGAAHVYAVECSHMADMAKQIVETNGLPDGEVDDGVVLPDEASLYLTAIEDAEYKDDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQKQIVTNCHLLKTMDISKMVPGDASFTAPFKLIAERDDYIHAFVAYFDVSFTKC >KJB67849 pep chromosome:Graimondii2_0_v6:10:58150231:58152882:1 gene:B456_010G2147002 transcript:KJB67849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMLLNAPIWLTWLNKLLKQMACLMVDDGVVLPDEASLYLTAIEDAEYKDDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQKQIVTNCHLLKTMDISKMVPGDASFTAPFKLIAERDDYIHAFVAYFDVSFTKC >KJB67818 pep chromosome:Graimondii2_0_v6:10:57871839:57877941:-1 gene:B456_010G212700 transcript:KJB67818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSSRRLQQRRNQPSFSSSSSTGPTRFRSQNFSTNPTKTTQSSNFVPRSNSTTATTPNNTRNPGHIRNRKSETYDGINQQQEEQEAREDVGSIIGTCPFMCPDGERAQRERLRDLAVFERLHGDPRKTSPSLAVKKFCRTISVKHVQASDVRPLAVLEDTLNYLLNLLDSSEHPFEVVHDFIFDRTRSIRQDLSMQHIVNDRAICMYEKMEESLSYWFRNVPSPVMKSKEMHFARQVLRFYRMGNYKRFLCTVSSEASYLQYCIIEPNVNEELDVESLCHACGLKITSDDGENKSLPTKQTTFSIPKESLQSYELVGLQQ >KJB67817 pep chromosome:Graimondii2_0_v6:10:57871774:57877963:-1 gene:B456_010G212700 transcript:KJB67817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSSRRLQQRRNQPSFSSSSSTGPTRFRSQNFSTNPTKTTQSSNFVPRSNSTTATTPNNTRNPGHIRNRKSETYDGINQQQEEQEAREDVGSIIGTCPFMCPDGERAQRERLRDLAVFERLHGDPRKTSPSLAVKKFCRTISVKHVQASDVRPLAVLEDTLNYLLNLLDSSEHPFEVVHDFIFDRTRSIRQDLSMQHIVNDRAICMYEKMVKFHVISHQRLRNCTSSSISSLQYLNMEQLTKALASLYVLYAANRNNNFVYKNEAQFRSFYVLLHLDSKNQQMEESLSYWFRNVPSPVMKSKEMHFARQVLRFYRMGNYKRFLCTVSSEASYLQYCIIEPNVNEVRALAISYINNCCYKLHPYPLEQLSKLLMMKELDVESLCHACGLKITSDDGENKSLPTKQTTFSIPKESLQSYELVGLQQ >KJB64764 pep chromosome:Graimondii2_0_v6:10:7977865:7980193:-1 gene:B456_010G063500 transcript:KJB64764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRASVKKMCEFCRTVKRRGRVYVLCTSNPKHKQRQGISTFASEGTLPPATAETNVKHPIIPSYRMRPGLASLIPKMQEPSSSIIGWRANLASLIFKRGN >KJB64765 pep chromosome:Graimondii2_0_v6:10:7977865:7979941:-1 gene:B456_010G063500 transcript:KJB64765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRASVKKMCEFCRTVKRRGRVYVLCTSNPKHKQRQGISTFASEGTLPPATAETNVKHPIIPSYRMRPGLASLIPKMQEPSSSIIGWRANLASLIFKRGN >KJB64763 pep chromosome:Graimondii2_0_v6:10:7977865:7979957:-1 gene:B456_010G063500 transcript:KJB64763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRASVKKMCEFCRTVKRRGRVYVLCTSNPKHKQRQGISTFASEGTLPPATAETNVKHPIIPSYRMRPGLASLIPKMQEPSSSIIGWRANLASLIFKRGN >KJB66627 pep chromosome:Graimondii2_0_v6:10:39173021:39173747:1 gene:B456_010G147900 transcript:KJB66627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQNSVVFEDFFPAMVEKLGAEGFMKELCNGFRLLVDGDKGVITFESLKKNSALLGLQDMSDEEAICMLREGDLDGDGALNEMEFCTLMLRLSPELMNSSMKLLVEAIVNF >KJB66377 pep chromosome:Graimondii2_0_v6:10:32123972:32139095:-1 gene:B456_010G138100 transcript:KJB66377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLKPCLLKMVEEKYAEEAEAVAHVRRLVDIVACTSRFSRTKRPRSQSLSAPSDSKAEKVNRPNNSALPPAPSNGETTPIPENLDMAAIHPTPKLSEFYDFFSFSHLSPPILNLRKCDPKDVEGWRDGDYFVMQIKICNGKLIQVVASVKGFFTVGKHFFQSHSLLDLLQNLSQAFANAYESLMKAFVGHNKFGNLPYGFRANTWLVPPPVAECPANFPSFPSEDEEWGGNGGGQGRNGEYDLRPWATDFSILASLPCKTEEERIIRDRKAFLLHSQFIDVSIFKAVAAIQHVMNSRLNVKGHPDSVLHEDRTGDLSILVKHDSKDVKLECGVKVAGHQSSDMTTNEIARRNLLKGITADENVVVHDTSALGTVIVRHCGYTAIVSVVGDVKKEKSGAPDIEIDDQPDGGANALNTNSLRVLLHKSSPAEVTGGGQSNQSNLIDSKSSRCLVQRVIKENLTKLEENSVAPERTIRWELGFCWVQYLQKQETSTDATSKGPANDQEAEVAVKGLGKQFKFLMKRDKKPSNISSTVEKEDNGSELCSEDVKSNLGQESNVELSSEMELKHLISKEAFSHLEESGTGLHLKSAEELIKMACKYYDDIALPKLVTDFGSLELSPVDGRTLTDFMHLRGLQMHSLGRVVELSEKLPHIQSLCIHEMITRAFKQVVKAVVASVEKIEDLPVVIASTLNFLLGSCRVDVNAPSANDDYLLKLMWLRKFLAAKFGWKLKDEFQHLRKLSILRGLCYKIGLELVPRDYDMECQEPFRSCDIISMYPICKHVGCSSADGRTLLESSKIALDKGKLEEAVNYGTKALAKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQAAVYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHMEMALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNQRLLGAAHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGPDDLRTQDATAWLEYFESKALEQQEAARTGTPKPDASIASKGHLSVSDLLDYISPDQGSKGSDVQRKQRRSKVLQISDKTHDTHHNLQTDGAVFIDATDKATATVDINEIGTLTSIHPEEPEETDNISSIKATVTTEVVEDTTLDEGWQEANSKGRSGNATGKKYGRKKPVFAKLKVNGCEYSNGRESGSRRDIISPAGKTVPKNIIREMQTVKQSKSSSLNPRGTSIGLPASVSRGSSPSANLSAIASKSLSYKEVVAAPPGTVLKPLSEPSEGKMEQSMCAETTNVEHGNNISVVDDVVDDNGETEGTQDTESQSEETTPEIDKVSSCSQEKGLEAKGSKLSASAEPFNPGALYHPLNSVSVTCVYDVTASQGMLAEPVVPPVAARVPCGPRSPLFYRNNNSYGSFLRYQTPILEHNGFGSPRVMNPHAPEFVPSKIWQMTGTGDLSGSEEAMNTEVKEVDKKSSREVNGSNPKKSSAEEKSELARQILLSFIVRSAKQNMDGECEALINDKRLNHSQNSSDAVTSDTAIIKILYGNEGKDLDSQSSSNKEPKALDINNKKPGDGEGFTVVKKRRKNRQQLTNEVTGLYNQQSICASVR >KJB66381 pep chromosome:Graimondii2_0_v6:10:32124060:32129623:-1 gene:B456_010G138100 transcript:KJB66381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQLQAKVILDLLDYISPDQGSKGSDVQRKQRRSKVLQISDKTHDTHHNLQTDGAVFIDATDKATATVDINEIGTLTSIHPEEPEETDNISSIKATVTTEVVEDTTLDEGWQEANSKGRSGNATGKKYGRKKPVFAKLKVNGCEYSNGRESGSRRDIISPAGKTVPKNIIREMQTVKQSKSSSLNPRGTSIGLPASVSRGSSPSANLSAIASKSLSYKEVVAAPPGTVLKPLSEPSEGKMEQSMCAETTNVEHGNNISVVDDVVDDNGETEGTQDTESQSEETTPEIDKVSSCSQEKGLEAKGSKLSASAEPFNPGALYHPLNSVSVTCVYDVTASQGMLAEPVVPPVAARVPCGPRSPLFYRNNNSYGSFLRYQTPILEHNGFGSPRVMNPHAPEFVPSKIWQMTGTGDLSGSEEAMNTEVKEVDKKSSREVNGSNPKKSSAEEKSELARQILLSFIVRSAKQNMDGECEALINDKRLNHSQNSSDAVTSDTAIIKILYGNEGKDLDSQSSSNKEPKALDINNKKPGDGEGFTVVKKRRKNRQQLTNEVTGLYNQQSICASVR >KJB66378 pep chromosome:Graimondii2_0_v6:10:32123972:32139813:-1 gene:B456_010G138100 transcript:KJB66378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTSLSLLLMKLKLCSRILDVRRLLASHVETCHLTNYSFAHEVKGKRLSDKVEMVTLKPCLLKMVEEKYAEEAEAVAHVRRLVDIVACTSRFSRTKRPRSQSLSAPSDSKAEKVNRPNNSALPPAPSNGETTPIPENLDMAAIHPTPKLSEFYDFFSFSHLSPPILNLRKCDPKDVEGWRDGDYFVMQIKICNGKLIQVVASVKGFFTVGKHFFQSHSLLDLLQNLSQAFANAYESLMKAFVGHNKFGNLPYGFRANTWLVPPPVAECPANFPSFPSEDEEWGGNGGGQGRNGEYDLRPWATDFSILASLPCKTEEERIIRDRKAFLLHSQFIDVSIFKAVAAIQHVMNSRLNVKGHPDSVLHEDRTGDLSILVKHDSKDVKLECGVKVAGHQSSDMTTNEIARRNLLKGITADENVVVHDTSALGTVIVRHCGYTAIVSVVGDVKKEKSGAPDIEIDDQPDGGANALNTNSLRVLLHKSSPAEVTGGGQSNQSNLIDSKSSRCLVQRVIKENLTKLEENSVAPERTIRWELGFCWVQYLQKQETSTDATSKGPANDQEAEVAVKGLGKQFKFLMKRDKKPSNISSTVEKEDNGSELCSEDVKSNLGQESNVELSSEMELKHLISKEAFSHLEESGTGLHLKSAEELIKMACKYYDDIALPKLVTDFGSLELSPVDGRTLTDFMHLRGLQMHSLGRVVELSEKLPHIQSLCIHEMITRAFKQVVKAVVASVEKIEDLPVVIASTLNFLLGSCRVDVNAPSANDDYLLKLMWLRKFLAAKFGWKLKDEFQHLRKLSILRGLCYKIGLELVPRDYDMECQEPFRSCDIISMYPICKHVGCSSADGRTLLESSKIALDKGKLEEAVNYGTKALAKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQAAVYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHMEMALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNQRLLGAAHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGPDDLRTQDATAWLEYFESKALEQQEAARTGTPKPDASIASKGHLSVSDLLDYISPDQGSKGSDVQRKQRRSKVLQISDKTHDTHHNLQTDGAVFIDATDKATATVDINEIGTLTSIHPEEPEETDNISSIKATVTTEVVEDTTLDEGWQEANSKGRSGNATGKKYGRKKPVFAKLKVNGCEYSNGRESGSRRDIISPAGKTVPKNIIREMQTVKQSKSSSLNPRGTSIGLPASVSRGSSPSANLSAIASKSLSYKEVVAAPPGTVLKPLSEPSEGKMEQSMCAETTNVEHGNNISVVDDVVDDNGETEGTQDTESQSEETTPEIDKVSSCSQEKGLEAKGSKLSASAEPFNPGALYHPLNSVSVTCVYDVTASQGMLAEPVVPPVAARVPCGPRSPLFYRNNNSYGSFLRYQTPILEHNGFGSPRVMNPHAPEFVPSKIWQMTGTGDLSGSEEAMNTEVKEVDKKSSREVNGSNPKKSSAEEKSELARQILLSFIVRSAKQNMDGECEALINDKRLNHSQNSSDAVTSDTAIIKILYGNEGKDLDSQSSSNKEPKALDINNKKPGDGEGFTVVKKRRKNRQQLTNEVTGLYNQQSICASVR >KJB66380 pep chromosome:Graimondii2_0_v6:10:32123987:32128714:-1 gene:B456_010G138100 transcript:KJB66380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVCGPYHRTTASAYSLLAVVLYHTGDFNQAAVYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHMEMALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNQRLLGAAHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGPDDLRTQDATAWLEYFESKALEQQEAARTGTPKPDASIASKGHLSVSDLLDYISPDQGSKGSDVQRKQRRSKVLQISDKTHDTHHNLQTDGAVFIDATDKATATVDINEIGTLTSIHPEEPEETDNISSIKATVTTEVVEDTTLDEGWQEANSKGRSGNATGKKYGRKKPVFAKLKVNGCEYSNGRESGSRRDIISPAGKTVPKNIIREMQTVKQSKSSSLNPRGTSIGLPASVSRGSSPSANLSAIASKSLSYKEVVAAPPGTVLKPLSEPSEGKMEQSMCAETTNVEHGNNISVVDDVVDDNGETEGTQDTESQSEETTPEIDKVSSCSQEKGLEAKGSKLSASAEPFNPGALYHPLNSVSVTCVYDVTASQGMLAEPVVPPVAARVPCGPRSPLFYRNNNSYGSFLRYQTPILEHNGFGSPRVMNPHAPEFVPSKIWQMTGTGDLSGSEEAMNTEVKEVDKKSSREVNGSNPKKSSAEEKSELARQILLSFIVRSAKQNMDGECEALINDKRLNHSQNSSDAVTSDTAIIKILYGNEGKDLDSQSSSNKEPKALDINNKKPGDGEGFTVVKKRRKNRQQLTNEVTGLYNQQSICASVR >KJB66379 pep chromosome:Graimondii2_0_v6:10:32124293:32139473:-1 gene:B456_010G138100 transcript:KJB66379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSGKSKSSKAKAEKKRKEEKIVPYVLDITVTTPYETQVVLKGISTDRILDVRRLLASHVETCHLTNYSFAHEVKGKRLSDKVEMVTLKPCLLKMVEEKYAEEAEAVAHVRRLVDIVACTSRFSRTKRPRSQSLSAPSDSKAEKVNRPNNSALPPAPSNGETTPIPENLDMAAIHPTPKLSEFYDFFSFSHLSPPILNLRKCDPKDVEGWRDGDYFVMQIKICNGKLIQVVASVKGFFTVGKHFFQSHSLLDLLQNLSQAFANAYESLMKAFVGHNKFGNLPYGFRANTWLVPPPVAECPANFPSFPSEDEEWGGNGGGQGRNGEYDLRPWATDFSILASLPCKTEEERIIRDRKAFLLHSQFIDVSIFKAVAAIQHVMNSRLNVKGHPDSVLHEDRTGDLSILVKHDSKDVKLECGVKVAGHQSSDMTTNEIARRNLLKGITADENVVVHDTSALGTVIVRHCGYTAIVSVVGDVKKEKSGAPDIEIDDQPDGGANALNTNSLRVLLHKSSPAEVTGGGQSNQSNLIDSKSSRCLVQRVIKENLTKLEENSVAPERTIRWELGFCWVQYLQKQETSTDATSKGPANDQEAEVAVKGLGKQFKFLMKRDKKPSNISSTVEKEDNGSELCSEDVKSNLGQESNVELSSEMELKHLISKEAFSHLEESGTGLHLKSAEELIKMACKYYDDIALPKLVTDFGSLELSPVDGRTLTDFMHLRGLQMHSLGRVVELSEKLPHIQSLCIHEMITRAFKQVVKAVVASVEKIEDLPVVIASTLNFLLGSCRVDVNAPSANDDYLLKLMWLRKFLAAKFGWKLKDEFQHLRKLSILRGLCYKIGLELVPRDYDMECQEPFRSCDIISMYPICKHVGCSSADGRTLLESSKIALDKGKLEEAVNYGTKALAKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQAAVYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHMEMALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNQRLLGAAHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGPDDLRTQDATAWLEYFESKALEQQEAARTGTPKPDASIASKGHLSVSDLLDYISPDQGSKGSDVQRKQRRSKVLQISDKTHDTHHNLQTDGAVFIDATDKATATVDINEIGTLTSIHPEEPEETDNISSIKATVTTEVVEDTTLDEGWQEANSKGRSGNATGKKYGRKKPVFAKLKVNGCEYSNGRESGSRRDIISPAGKTVPKNIIREMQTVKQSKSSSLNPRGTSIGLPASVSRGSSPSANLSAIASKSLSYKEVVAAPPGTVLKPLSEPSEGKMEQSMCAETTNVEHGNNISVVDDVVDDNGETEGTQDTESQSEETTPEIDKVSSCSQEKGLEAKGSKLSASAEPFNPGALYHPLNSVSVTCVYDVTASQGMLAEPVVPPVAARVPCGPRSPLFYRNNNSYGSFLRYQTPILEHNGFGSPRVMNPHAPEFVPSKIWQMTGTGDLSGSEEAMNTEVKEVDKKSSREVNGSNPKKSSAEEKSELARQILLSFIVRSAKQNMDGECEALINDKRLNHSQNSSDAVTSDTAIIKILYGNEGKDLDSQSSSNKEPKALDINNKKPGDGEGFTVVKKRRKNRQQLTNEVTGLYNQQSICASVR >KJB67476 pep chromosome:Graimondii2_0_v6:10:54932656:54935750:-1 gene:B456_010G192500 transcript:KJB67476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRNIRLRREYLYRKSLEGKERLLYEKKRKIKEALEEGKPIPTELRNEEAALRQEIDLEDNYTSIPKTHIDDEYANATVRDPKILLTTSRDPSAPLIQFVKELKFVFPNAERMNRGGQVISEIIESCRAHEFTDVILVHEHRGIPDGLIISHLPFGPTAYFGLLNVVTRHDIKDKKAIGTMPEAYPHLILDNFKTKLGERTANILKHLFPVPKPDTKRIVTFANRSDYISFRHHIYEKPGGPKSVELKEIGPRFELRLYQVKLGTMEQSEAQIEWVIRPYMNTTKKRSFIGNEPEPDDKRKRNKD >KJB65239 pep chromosome:Graimondii2_0_v6:10:12963616:12967915:1 gene:B456_010G085800 transcript:KJB65239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSKVKTRKNGGKPGKLLNEIEVTSKTLYLDKNPPMTSFCASNPRFKSVMKTHSLEPKRNLKNSNEDPSRKDKKSIWNWKPLKAFSNDKSRRFTCCFLLQVHSIDGLPVSFKELSLCVHWKRRDEDLVTQPVKVFDGTAKFEEKLTHTCSVYGTKSGSHNSAKYDAKHFLLYASVFGAPDLDLGKHRVDLTRLLPLTLEELEEEKSSGKWTTSFKLSGKARGAIMNVSFDYLVLSDMKQNRKAGTEFGHDDQQAAMKHVESLPCLVNTTSLSCFLREIKDLHEIFPISKSDTDVVDQKFDEYKSDDASITSKPEPNMLIEHFEPTRPPSSVASKLNEYIEKETEDNDFSVVEKGKELSSQGQIRLEEVSMADADSKVESYQVVEINPGKGANSEESYELHPLNEVTCVNQEDALLVQDSDSKEDDQFSKESLMRELELALDGITNLEAALDSPDTEDLEDYTEHIANYETNRKSESISLDGVTESVASEFLSMLGIDHSPFSLTSESEPESPRERLLRQFEKETLASGCSLFDFEMADGEELECGFDISTSGSLTEGLDMSSVVQDAVQDYQMETNGRIKVRAKVLEDLETEALMHEWGLNEKAFQHSPPASSFGLGSPVKLPPGEPLELPPLGDDLGPLLQTKNGGFLRSMNPSLFRNSKSGGNLIMQVSSPVVVPAEMGSDIMDILKQLASIGIEKLSMQANKLMPLEDITGKTMQQVAWEAASTLEVSERQYRLQHDFEVGQNMSSRQKRIKRSPGRSSNKLSSSSVNKMDLDYVSLEDLAPLAMDKIEALMMEGLRIQSGMSDEDAPSNICAQSIGEIFALKGKGFDITGCLGLEGTAGLQLLDISGEDVDGLMGLSLTLDEWIRLDCGDIGDEDQPSQQTSKILAAHRATSLGLISRGSKAEKGRGKKCGLFGNNFTVAFMVQLRNPIRNYEPVGAPMLALIQVERLFFPPKPKIKIYCTVSSALKNNNQEDDDSESALKGAKMEDMKEEKASQKEVIPQFRITEVHVAGVKTEPGRTKLWGSTTQQKSGSRWLLANGMGKSNKHPFLKSNAASKSSTPSTTKVHSGDTLWSISSGIHGTGAKWKDLAAPNRHIRNPDVIIHNETIRLR >KJB64620 pep chromosome:Graimondii2_0_v6:10:6656794:6658902:1 gene:B456_010G057700 transcript:KJB64620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFFFCKTFFNFFPLHIPSKEKPSFPSLSPFFAIPTQCLVLANNASQYLSPTFIFDLPPFRRRRSSSCLEVFSLVFY >KJB65266 pep chromosome:Graimondii2_0_v6:10:13124127:13127435:1 gene:B456_010G086800 transcript:KJB65266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGKGLMEKKGTNDVVLHISSPEESLVFKTENDSKGSYELTEFENLRVRVSTTPTPIPSPSHPSEVARMSPVPNKPPKIPIDKKLTPRKSLARSAFSKPKSRLVEPSYLNDAKLVEENSAQILNPSSSPYRRSPAAAAATPKESLRSAPITPKTPLISPGLEEEDEEEEVYKTADLKESIFHQYVLRALSGPPVMEMAENVRSSRSIGQLSFKNLMKEKGGEKQEVIDVDRLKKMKQEKVSAWTMKGLINVISGSGLSTIANYIEDVEDEENEQMDKEITSEWEAKAAAYRVFKNVAKPGSKYIEEDDLLRFMKREEVDNVLPLFEGALETGKIKRSTFKNWVVNVYLERKSLAHSLNDTKTAIEELNKLVSAVVIVVTIIVWLLLMGFLTTQILVFISSQLLLVAFMFGNTAKTVFEAIIFVFVMHPFDVGDRCVIDGIQMIVEEMNILTTVFLRYDNEKIFYPNSVLATKPISNFYRSPEMSDSVEFTVDVSTSIEQIGELKAKIKEYLESKPQHWRPGHSVQVKDIENVNKMKMGLYVTHTINFQNYGDKSSRRSELVLELKRIFEALNIKYHLLPQEVQVTYVGSLASPLQQPAP >KJB65267 pep chromosome:Graimondii2_0_v6:10:13124110:13127471:1 gene:B456_010G086800 transcript:KJB65267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGKGLMEKKGTNDVVLHISSPEESLVFKTENDSKGSYELTEFENLRVRVSTTPTPIPSPSHPSEVARMSPVPNKPPKIPIDKKLTPRKSLARSAFSKPKSRLVEPSYLNDAKLVEENSAQILNPSSSPYRRSPAAAAATPKESLRSAPITPKTPLISPGLEEEDEEEEVYKTADLKVSAKSGKKWKIFLWFEIMTFVCTMGLLVASLTVDKLEETRIWGLELWKWCVLVLVIFCGRLFTEWMMNIVKKVLYFVFGLKGSVRLFVWLGLVLLAWGLLFNRGVKRSKEANRVLNYITRALASCLIGSAIWLAKTLFVKLLASSFQCNRFFDRIQESIFHQYVLRALSGPPVMEMAENVRSSRSIGQLSFKNLMKEKGGEKQEVIDVDRLKKMKQEKVSAWTMKGLINVISGSGLSTIANYIEDVEDEENEQMDKEITSEWEAKAAAYRVFKNVAKPGSKYIEEDDLLRFMKREEVDNVLPLFEGALETGKIKRSTFKNWVNVYLERKSLAHSLNDTKTAIEELNKLVSAVVIVVTIIVWLLLMGFLTTQILVFISSQLLLVAFMFGNTAKTVFEAIIFVFVMHPFDVGDRCVIDGIQMIVEEMNILTTVFLRYDNEKIFYPNSVLATKPISNFYRSPEMSDSVEFTVDVSTSIEQIGELKAKIKEYLESKPQHWRPGHSVQVKDIENVNKMKMGLYVTHTINFQNYGDKSSRRSELVLELKRIFEALNIKYHLLPQEVQVTYVGSLASPLQQPAP >KJB65265 pep chromosome:Graimondii2_0_v6:10:13125675:13127140:1 gene:B456_010G086800 transcript:KJB65265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAENVRSSRSIGQLSFKNLMKEKGGEKQEVIDVDRLKKMKQEKVSAWTMKGLINVISGSGLSTIANYIEDVEDEENEQMDKEITSEWEAKAAAYRVFKNVAKPGSKYIEEDDLLRFMKREEVDNVLPLFEGALETGKIKRSTFKNWVVNVYLERKSLAHSLNDTKTAIEELNKLVSAVVIVVTIIVWLLLMGFLTTQILVFISSQLLLVAFMFGNTAKTVFEAIIFVFVMHPFDVGDRCVIDGIQMIVEEMNILTTVFLRYDNEKIFYPNSVLATKPISNFYRSPEMSDSVEFTVDVSTSIEQIGELKAKIKEYLESKPQHWRPGHSVQVKDIENVNKMKMGLYVTHTINFQNYGDKSSRRSELVLELKRIFEALNIKYHLLPQEVQVTYVGSLASPLQQPAP >KJB66812 pep chromosome:Graimondii2_0_v6:10:45118941:45119522:-1 gene:B456_010G159400 transcript:KJB66812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTIRCCISCILPCGALDVIRIVHSNGRVEEISGSIKASDIMKAYPKHVLKKPSSSSDDGMVPKIVIVPPDAELQRGKIYFLIPLPSTPDKTRSKTSSTTKKKKRDRLDTTNNNNGGGASSNGRNRQHNHSRSMSNANKNNNTISMSNLLISDQYLSEILSEKLSTQRDRRRGRVGVWRPHLESISESPNDG >KJB63222 pep chromosome:Graimondii2_0_v6:10:8556965:8558230:-1 gene:B456_010G0665001 transcript:KJB63222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIHIYESSHDILFTCFLSVSKMDIKKRLLLPFVCYFLSVSQFFHVCHGDVGTAAQYSPPYLPTACFGEDQTQFPSSNLFAAAGDGIWDNGASCGRQYLVRCISASQPGTCVPDQTIQVKIVDYAPTALSPPSAQSTTIVLSETAFGGITNIPMDSINIEFQQV >KJB63223 pep chromosome:Graimondii2_0_v6:10:8557521:8558230:-1 gene:B456_010G0665001 transcript:KJB63223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIHIYESSHDILFTCFLSVSKMDIKKRLLLPFVCYFLSVSQFFHVCHGDVGTAAQYSPPYLPTACFGEDQTQFPSSNLFAAAGDGIWDNGASCGRQYLVRCISASQPGTCVPDQTIQVKIVDYAPTALSPPSAQSTTIVLSETAFGGITNIPMDSINIEFQQSVSLV >KJB63224 pep chromosome:Graimondii2_0_v6:10:8555225:8558230:-1 gene:B456_010G0665001 transcript:KJB63224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIHIYESSHDILFTCFLSVSKMDIKKRLLLPFVCYFLSVSQFFHVCHGDVGTAAQYSPPYLPTACFGEDQTQFPSSNLFAAAGDGIWDNGASCGRQYLVRCISASQPGTCVPDQTIQVKIVDYAPTALSPPSAQSTTIVLSETAFGGITNIPMDSINIEFQQV >KJB67154 pep chromosome:Graimondii2_0_v6:10:52269216:52270407:1 gene:B456_010G178000 transcript:KJB67154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFFEELTTALRDYVRRETLLYFAQWLTYHYKNSKGEGPEIYLKREDPNHGSTYKINNAIAQAMIAKWMGWNSILAGTRAVQHEVVIATTFVKLSLDCTIFMQSTDMEKQASNVLLMKHLRAEVESIDGTFKDGSSEAIRALMGNLETKYYLAEKTVGLHPCPSMGGRLDILVACIGSGSNALGLFHEFINDEDVRLIGVEAAGFELNNVGVYHGVMSYLLQDEEGQILGPHSIGVGLKYPGVGPNVRFLKEIGRAEFHTTTSEEAVATYRQLCQLEGIFQALEASHALAFLEKLCPTLPNGTKVVVNLSSRRDKDATIVFQYQPDQLID >KJB63385 pep chromosome:Graimondii2_0_v6:10:39310345:39311493:-1 gene:B456_010G148700 transcript:KJB63385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILNGINTDAWNPATDNFLKVQYSANDVQGKAENKAAMRRNLGLSSADDQRPVVGCITRLVPQKGVNVDFLS >KJB68668 pep chromosome:Graimondii2_0_v6:10:56205796:56206488:-1 gene:B456_010G2002001 transcript:KJB68668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDVFGSESKTVLYSYKKSFNGFVVDLTEEEAQKMA >KJB67645 pep chromosome:Graimondii2_0_v6:10:56504845:56505192:-1 gene:B456_010G201800 transcript:KJB67645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERGESSSRKRKWERPPPGATTHEQQAKEQSPLTLATLKAVKEQVEKRGSRISHGVIVKYFDNSDAPYDWLLPGWVAEERYVPSGRKGLGRIYKVYQTTIVSFILHTCMQILR >KJB67646 pep chromosome:Graimondii2_0_v6:10:56504462:56505326:-1 gene:B456_010G201800 transcript:KJB67646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERGESSSRKRKWERPPPGATTHEQQAKEQSPLTLATLKAVKEQVEKRGSRISHGVIVKYFDNSDAPYDWLLPGWVAEERYVPSGRKGLGRIYKYYCDPTGHMYYSKHVVLFAWKKMNIICLDA >KJB66013 pep chromosome:Graimondii2_0_v6:10:25501875:25502818:-1 gene:B456_010G124300 transcript:KJB66013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSGEKVKAMWDKTLTEKFCDICIKEILKGNRPDTYFTKDGWLKIMTNFEKETGKSFSQRQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDDWWERKLDQMFMGIVATSDKAWAPSSGTLRTDFFEDVTNEIPEENEEENMRNDVHISNDVQIDGNEKTLRKIEKLCNAADNMSQATSSLTLVMDPYGIPQAVKVLDSMSEEDPEASPLYFFALKLLLNKDKRIMFLSINPKIRALWLKSEMEDS >KJB64286 pep chromosome:Graimondii2_0_v6:10:3809357:3812786:1 gene:B456_010G040500 transcript:KJB64286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKKHALLVFQPLLQLELNFDTVFVLLICFYKLFNVTKLLAGSTLNIWSVPTVFLKMSSLLAMDTRWKLLSSRPRLQHLSANQLSNSIPETIGNLSMSFYLNFSVNRFSQRIPIQVGKLTRLVQLDLSHNMLLGEIPGKFQSLQSLETLNLSHNNLSGEIPASLEKLRGLYTVDISYNELQGPIPNCHAFLNASVRESGQNKGLCGNARGLPPCTSFSKKGHNNNKTFLVVMFSLLSVSCLLISSIALLFAFKKRKKDADEGRQSNASDEIFFSLSPFNGRKLFEEIIRATKDFDAQFCIGKGGYGNVYKAELSSGDVVAVKKFHLLHFFLNEVRALVETRHRNIVKFYGFCSSAGHSFLVYKYLERGSLASVLSVVNALSYLLHDCSPPIVHRDITSNSILLDLEYEAHLSDFGIAKLLNPDSSNWSNIAGTYGYIAPELSYTMQVTEKCDVFSFGVLALELIPGAYPGEFLSNLSIVTAENIPLNNVLDQRLSSPPPEVVNKLVFILELAVSCLDNNPKSRPTMHTSSPL >KJB65727 pep chromosome:Graimondii2_0_v6:10:21028563:21030380:-1 gene:B456_010G1111002 transcript:KJB65727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKQVKKPKMENPIVTRRSPRTRVKPPDSKGLSDKFLKPIIMIDAFIGYEKESNKLLVDTILSIAEETQAGFPENEEFNGAKDVKDGKFSGFSEKGTLDSCKSEAFESPVKEEFDEHLDTKKSDPWLKSMDLKPENVAWPMPGRIMEVKFFPCTGISMIAVGNKVGNIAFFNVDSEDEIDDGIYIYCPHTGAISGISIQRYSMSKEVFDVVHYCDKTIHCLSQQPNDLWSLYFSEGRGVLIEWDVRTGKSSGNWMLHEDTINTISFNPQNANIMATSSTDGTACIWDLRSTRSWKLKTMKTASHGRAVNSAYFSPSGTSLATTSLDNNVGIISGFNYEDTSMIYHDNSTGTLNLSFRGIWGWDDSCIFIGNMRKGIDVISPVQRTSVMTLQSPQLPAIPWRFDAHPYEVGMLAAATNGSGVCLWTP >KJB66875 pep chromosome:Graimondii2_0_v6:10:46898497:46902795:1 gene:B456_010G162700 transcript:KJB66875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKTLILLSYLLALSWIGTHKVEAATLPEDEVTVLNQIARTMGAINWNFDGNVCQENDTATVDIGFVPERNVTCHCENDTCHVTHLIFKRQNLPGKLPSELVNLPNLKEIDFAYNYLNGSIPTEWGSMQLEKISVFGNRLFGSIPSSLGNISTLKYLDLEVNNFSGQVPPELRQLVNLETLRLSSNRLSGNLPSELADLRNLRDFRINDNNFNDSIPDFFQNWNNLTRLEIQGSGLEGPIPSSISALENLTILIISDINGANQPFPDVRKMTRIKRIILKKCSISGEIPEYVWKMTALRVLDLSFNSINGELEGVIPPGELKFLFLTGNNLTGNIPQSILKTGTTVDLSYNSFAWQSPQQPACQPLSNVNLFHSSSSSNLEEVFQCENDFKCEEYSHSLYVNCGGDDVSINGKTYIGDRTFGSGGAATLYRNGDNWGFSNTGDFRDDDDEANSQLRFTKAVQSTNLSELYTTARLSPLSLTYYHYCLENGNYNVTLHFAEIQFSNNETYASLGRRLFDIYIQDEVKEQDFDIEAESKAALVPFAKSYNVSVTNGRLEIRFYWAGKGTQAIPDRGTHGPLISAISLENPAFDQSKNNVVPIVVGIVGAFLVVFASGILLWRYHFKAKNQREKDPEGLDVQIISFTLKQIKVATNNFDFANKIGEGGFGPVYKGQLADGTVIAVKQLSSKSTQGNREFMNEIGMVSCSQHPNLVKLYGCCIEGNQLLLVYEYLENNCLSRALFGKFINHLPGSENKNLSKWTTVCLICSGIFV >KJB66876 pep chromosome:Graimondii2_0_v6:10:46898497:46904154:1 gene:B456_010G162700 transcript:KJB66876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKTLILLSYLLALSWIGTHKVEAATLPEDEVTVLNQIARTMGAINWNFDGNVCQENDTATVDIGFVPERNVTCHCENDTCHVTHLIFKRQNLPGKLPSELVNLPNLKEIDFAYNYLNGSIPTEWGSMQLEKISVFGNRLFGSIPSSLGNISTLKYLDLEVNNFSGQVPPELRQLVNLETLRLSSNRLSGNLPSELADLRNLRDFRINDNNFNDSIPDFFQNWNNLTRLEIQGSGLEGPIPSSISALENLTILIISDINGANQPFPDVRKMTRIKRIILKKCSISGEIPEYVWKMTALRVLDLSFNSINGELEGVIPPGELKFLFLTGNNLTGNIPQSILKTGTTVDLSYNSFAWQSPQQPACQPLSNVNLFHSSSSSNLEEVFQCENDFKCEEYSHSLYVNCGGDDVSINGKTYIGDRTFGSGGAATLYRNGDNWGFSNTGDFRDDDDEANSQLRFTKAVQSTNLSELYTTARLSPLSLTYYHYCLENGNYNVTLHFAEIQFSNNETYASLGRRLFDIYIQDEVKEQDFDIEAESKAALVPFAKSYNVSVTNGRLEIRFYWAGKGTQAIPDRGTHGPLISAISLENPAFDQSKNNVVPIVVGIVGAFLVVFASGILLWRYHFKAKNQREKDPEGLDVQIISFTLKQIKVATNNFDFANKIGEGGFGPVYKGQLADGTVIAVKQLSSKSTQGNREFMNEIGMVSCSQHPNLVKLYGCCIEGNQLLLVYEYLENNCLSRALFGPENSKINLDWPTRHKICTGIAKGLAFLHEESRLKIVHRDIKGTNVLLDRDLNPKISDFGLAKLHEEEKTHISTRVAGTIGYIAPEYALWGYLTYKADVYSFGILALEIASGKHNMSYGPENKYTCLLDWASNLQQKGKLLELVDEELGGEYNKVEAEGMIKIGLLCTNGSPSLRPTMSEVVSMLEGRSGIPEMVPDPGSYNQDLRFKAIRDHHKSMNNNNSKWSSSSASGNEIEESYLRFKAMETQTSMSAASWTASSTMSEWQHS >KJB66960 pep chromosome:Graimondii2_0_v6:10:48753973:48762592:1 gene:B456_010G168200 transcript:KJB66960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTMDPTPAASSAGASSPAVPTNVGSVDWSGHGQNSKAASQSCVGSQAQWISLYSTAGGSALGSSRTSCRPWERGDLLRRLATFKPVNWFGKPKVASSLSCARRGWINIDVDKIACETCGACLNFASSPSWATSEAEDAGQAFSKQLDVGHKVACPWRGNSCPESLVQFPPTPQSALIAGYKDRCDGLMQFQSLPVVAASAVEHMRVSRGPQLDRLLYQLQNHMAEFESRSESILEADSARDGAFCLYSRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPNTTKVHRSQDPGPSKNALIASGKDIGKNKLIVVEARSEYRSPLLDCSLCGATVRILDFLTVPRPARVAPNIDIPDTSKKMGLTRGVSAASGISGWVAIDDPEKEPTEDRDEVGTTDERNLMQKTDVELNLTMAGSLSFSQLGRAATSRNMNDADMGRDLMIGQPSDSEVGDRAASYESRGPSSRKRSLEIGASSEDRPQLRPQQADSVEGTVIDRDGDEVTNARQYSAGPSKRARDSDIFDTYCSPYPRDSSDAGPSHAMGCETSVDGNKVALFRQGSSHVIGIPSARDSTRASSVIAMDTVCHSADDDSMESVENYRGDVDDIHFPSSSIYGHLDVNDTSELNYSNQAQQSICFQQTAEAVPGEVGISSTNDGDEIFNAETVTAHARDGLSFGISGGSVGMCASHEADIHGADVSVHRTDSVVGDIEPRIEDAENQGQTGESAPDPGLMDEVVPDEIDREDPLGDCREMLSRSLGRDDSGSKVDGSAKAESIESGEKISQSCKVIPDNNALPSLSCNANVYSGNETTKEIKNAGKSSSINNCTYPDPDSDLAVATGIGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSGYSSSSSCSNADVVALCGWQLTLDALDALRSLGHIPVQTVQSESAASLHKDDQQTPGKRLLQRHSVNKSHGQH >KJB66959 pep chromosome:Graimondii2_0_v6:10:48753973:48760098:1 gene:B456_010G168200 transcript:KJB66959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTMDPTPAASSAGASSPAVPTNVGSVDWSGHGQNSKAASQSCVGSQAQWISLYSTAGGSALGSSRTSCRPWERGDLLRRLATFKPVNWFGKPKVASSLSCARRGWINIDVDKIACETCGACLNFASSPSWATSEAEDAGQAFSKQLDVGHKVACPWRGNSCPESLVQFPPTPQSALIAGYKDRCDGLMQFQSLPVVAASAVEHMRVSRGPQLDRLLYQLQNHMAEFESRSESILEADSARDGAFCLYSRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPNTTKVHRSQDPGPSKNALIASGKDIGKNKLIVVEARSEYRSPLLDCSLCGATVRILDFLTVPRPARVAPNIDIPDTSKKMGLTRGVSAASGISGWVAIDDPEKEPTEDRDEVGTTDERNLMQKTDVELNLTMAGSLSFSQLGRAATSRNMNDADMGRDLMIGQPSDSEVGDRAASYESRGPSSRKRSLEIGASSEDRPQLRPQQADSVEGTVIDRDGDEVTNARQYSAGPSKRARDSDIFDTYCSPYPRDSSDAGPSHAMGCETSVDGNKVALFRQGSSHVIGIPSARDSTRASSVIAMDTVCHSADDDSMESVENYRGDVDDIHFPSSSIYGHLDVNDTSELNYSNQAQQSICFQQTAEAVPGEVGISSTNDGDEIFNAETVTAHARDGLSFGISGGSVGMCASHEADIHGADVSVHRTDSVVGDIEPRIEDAENQGQTGESAPDPGLMDEVVPDEIDREDPLGDCREMLSRSLGRDDSGSKVDGSAKAESIESGEKISQSCKVIPDNNALPSLSCNANVYSGNETTKEIKNAGKSSSINNCTYPDPDSDLAVATGIGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSGYSSSSSCSNADVVALCGWQLTLDALDALRSLGHIPVQTVQSESAASLHKVSCVKTKIMVDLGHKVSCCCQVLNLVPFLAWFCESY >KJB66963 pep chromosome:Graimondii2_0_v6:10:48753973:48762848:1 gene:B456_010G168200 transcript:KJB66963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTMDPTPAASSAGASSPAVPTNVGSVDWSGHGQNSKAASQSCVGSQAQWISLYSTAGGSALGSSRTSCRPWERGDLLRRLATFKPVNWFGKPKVASSLSCARRGWINIDVDKIACETCGACLNFASSPSWATSEAEDAGQAFSKQLDVGHKVACPWRGNSCPESLVQFPPTPQSALIAGYKDRCDGLMQFQSLPVVAASAVEHMRVSRGPQLDRLLYQLQNHMAEFESRSESILEADSARDGAFCLYSRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPNTTKVHRSQDPGPSKNALIASGKDIGKNKLIVVEARSEYRSPLLDCSLCGATVRILDFLTVPRPARVAPNIDIPDTSKKMGLTRGVSAASGISGWVAIDDPEKEPTEDRDEVGTTDERNLMQKTDVELNLTMAGSLSFSQLGRAATSRNMNDADMGRDLMIGQPSDSEVGDRAASYESRGPSSRKRSLEIGASSEDRPQLRPQQADSVEGTVIDRDGDEVTNARQYSAGPSKRARDSDIFDTYCSPYPRDSSDAGPSHAMGCETSVDGNKVALFRQGSSHVIGIPSARDSTRASSVIAMDTVCHSADDDSMESVENYRGDVDDIHFPSSSIYGHLDVNDTSELNYSNQAQQSICFQQTAEAVPGEVGISSTNDGDEIFNAETVTAHARDGLSFGISGGSVGMCASHEADIHGADVSVHRTDSVVGDIEPRIEDAENQGQTGESAPDPGLMDEVVPDEIDREDPLGDCREMLSRSLGRDDSGSKVDGSAKAESIESGEKISQSCKVIPDNNALPSLSCNANVYSGNETTKEIKNAGKSSSINNCTYPDPDSDLAVATGIGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSGYSSSSSCSNADVVALCGWQLTLDALDALRSLGHIPVQTVQSESAASLHKDDQQTPGKRLLQRHSVNKSHGQH >KJB66962 pep chromosome:Graimondii2_0_v6:10:48753973:48762865:1 gene:B456_010G168200 transcript:KJB66962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTMDPTPAASSAGASSPAVPTNVGSVDWSGHGQNSKAASQSCVGSQAQWISLYSTAGGSALGSSRTSCRPWERGDLLRRLATFKPVNWFGKPKVASSLSCARRGWINIDVDKIACETCGACLNFASSPSWATSEAEDAGQAFSKQLDVGHKVACPWRGNSCPESLVQFPPTPQSALIAGYKDRCDGLMQFQSLPVVAASAVEHMRVSRGPQLDRLLYQLQNHMAEFESRSESILEADSARDGAFCLYSRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPNTTKVHRSQDPGPSKNALIASGKDIGKNKLIVVEARSEYRSPLLDCSLCGATVRILDFLTVPRPARVAPNIDIPDTSKKMGLTRGVSAASGISGWVAIDDPEKEPTEDRDEVGTTDERNLMQKTDVELNLTMAGSLSFSQLGRAATSRNMNDADMGRDLMIGQPSDSEVGDRAASYESRGPSSRKRSLEIGASSEDRPQLRPQQADSVEGTVIDRDGDEVTNARQYSAGPSKRARDSDIFDTYCSPYPRDSSDAGPSHAMGCETSVDGNKVALFRQGSSHVIGIPSARDSTRASSVIAMDTVCHSADDDSMESVENYRGDVDDIHFPSSSIYGHLDVNDTSELNYSNQAQQSICFQQTAEAVPGEVGISSTNDGDEIFNAETVTAHARDGLSFGISGGSVGMCASHEADIHGADVSVHRTDSVVGDIEPRIEDAENQGQTGESAPDPGLMDEVVPDEIDREDPLGDCREMLSRSLGRDDSGSKVDGSAKAESIESGEKISQSCKVIPDNNALPSLSCNANVYSGNETTKEIKNAGKSSSINNCTYPDPDSDLAVATGIGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSGYSSSSSCSNADVVALCGWQLTLDALDALRSLGHIPVQTVQSESAASLHKDDQQTPGKRLLQRHSVNKSHGQH >KJB66961 pep chromosome:Graimondii2_0_v6:10:48753973:48762848:1 gene:B456_010G168200 transcript:KJB66961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTMDPTPAASSAGASSPAVPTNVGSVDWSGHGQNSKAASQSCVGSQAQWISLYSTAGGSALGSSRTSCRPWERGDLLRRLATFKPVNWFGKPKVASSLSCARRGWINIDVDKIACETCGACLNFASSPSWATSEAEDAGQAFSKQLDVGHKVACPWRGNSCPESLVQFPPTPQSALIAGYKDRCDGLMQFQSLPVVAASAVEHMRVSRGPQLDRLLYQLQNHMAEFESRSESILEADSARDGAFCLYSRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPNTTKVHRSQDPGPSKNALIASGKDIGKNKLIVVEARSEYRSPLLDCSLCGATVRILDFLTVPRPARVAPNIDIPDTSKKMGLTRGVSAASGISGWVAIDDPEKEPTEDRDEVGTTDERNLMQKTDVELNLTMAGSLSFSQLGRAATSRNMNDADMGRDLMIGQPSDSEVGDRAASYESRGPSSRKRSLEIGASSEDRPQLRPQQADSVEGTVIDRDGDEVTNARQYSAGPSKRARDSDIFDTYCSPYPRDSSDAGPSHAMGCETSVDGNKVALFRQGSSHVIGIPSARDSTRASSVIAMDTVCHSADDDSMESVENYRGDVDDIHFPSSSIYGHLDVNDTSELNYSNQAQQSICFQQTAEAVPGEVGISSTNDGDEIFNAETVTAHARDGLSFGISGGSVGMCASHEADIHGADVSVHRTDSVVGDIEPRIEDAENQGQTGESAPDPGLMDEVVPDEIDREDPLGDCREMLSRSLGRDDSGSKVDGSAKAESIESGEKISQSCKVIPDNNALPSLSCNANVYSGNETTKEIKNAGKSSSINNCTYPDPDSDLAVATGIGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSGYSSSSSCSNADVVALCGWQLTLDALDALRSLGHIPVQTVQSESAASLHKDDQQTPGKRLLQRHSVNKSHGQH >KJB66964 pep chromosome:Graimondii2_0_v6:10:48753973:48762757:1 gene:B456_010G168200 transcript:KJB66964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTMDPTPAASSAGASSPAVPTNVGSVDWSGHGQNSKAASQSCVGSQAQWISLYSTAGGSALGSSRTSCRPWERGDLLRRLATFKPVNWFGKPKVASSLSCARRGWINIDVDKIACETCGACLNFASSPSWATSEAEDAGQAFSKQLDVGHKVACPWRGNSCPESLVQFPPTPQSALIAGYKDRCDGLMQFQSLPVVAASAVEHMRVSRGPQLDRLLYQLQNHMAEFESRSESILEADSARDGAFCLYSRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPNTTKVHRSQDPGPSKNALIASGKDIGKNKLIVVEARSEYRSPLLDCSLCGATVRILDFLTVPRPARVAPNIDIPDTSKKMGLTRGVSAASGISGWVAIDDPEKEPTEDRDEVGTTDERNLMQKTDVELNLTMAGSLSFSQLGRAATSRNMNDADMGRDLMIGQPSDSEVGDRAASYESRGPSSRKRSLEIGASSEDRPQLRPQQADSVEGTVIDRDGDEVTNARQYSAGPSKRARDSDIFDTYCSPYPRDSSDAGPSHAMGCETSVDGNKVALFRQGSSHVIGIPSARDSTRASSVIAMDTVCHSADDDSMESVENYRGDVDDIHFPSSSIYGHLDVNDTSELNYSNQAQQSICFQQTAEAVPGEVGISSTNDGDEIFNAETVTAHARDGLSFGISGGSVGMCASHEADIHGADVSVHRTDSVVGDIEPRIEDAENQGQTGESAPDPGLMDEVVPDEIDREDPLGDCREMLSRSLGRDDSGSKVDGSAKAESIESGEKISQSCKVIPDNNALPSLSCNANVYSGNETTKEIKNAGKSSSINNCTYPDPDSDLAVATGIGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSGYSSSSSCSNADVVALCGWQLTLDALDALRSLGHIPVQTVQSESAASLHKDDQQTPGKRLLQRHSVNKSHGQH >KJB67881 pep chromosome:Graimondii2_0_v6:10:58426155:58433914:-1 gene:B456_010G216200 transcript:KJB67881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LONG AFTER FAR-RED 3 [Source:Projected from Arabidopsis thaliana (AT3G55850) UniProtKB/Swiss-Prot;Acc:A0A1I9LN01] MNLSIVNLASIAVFLSVFLFPSFNSTYWLKWRASLIPSTKFGADLIVKNGVIFTSDPSFPFANSMAIRDGRILRIGNYSSLQDLSGYGTKELNLEGKVVVPGFIDSHVHLISAGLQMARVQLEGVNRKDEVVRRVKEAVLNVKRGSWILGGGWNNDLWGGELPMASWIDEVTPDNPVWLTRMDGHMGLANSVALKLAGVTNLTKDPNGGKIMQTADGEPTGLLIDAAMELILSWIPEASVDERREAMLRASSFALTRGVTTVVDVGRYFPGSSVEHSWQDFSDVYQWADSSGKMKIRVCLFFPMETWSRLHGVIHRAGRALSNWIYLGGVKAFADGSLGSNSALFHEPYFDDPHNYGLQVLEFESLFNMTIASDMSGLQVAIHAIGDKANDLILDMYESVALKNGKRDRRFRIEHAQHLAPGTADRFGQQGIVASVQPDHLLDDADAAIRKLGVDRAQKGSYLFRSLLSSNALLALGSDWPVTSTYPLHAIRTAMNRIPPGWDTAWIPSERLSLNDALIAHTISAARACFLENEIGSLSTGKLADFVILSSDSWDEFATGGSTSVNATYVGGIQAYP >KJB67882 pep chromosome:Graimondii2_0_v6:10:58428022:58433816:-1 gene:B456_010G216200 transcript:KJB67882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LONG AFTER FAR-RED 3 [Source:Projected from Arabidopsis thaliana (AT3G55850) UniProtKB/Swiss-Prot;Acc:A0A1I9LN01] MNLSIVNLASIAVFLSVFLFPSFNSTYWLKWRASLIPSTKFGADLIVKNGVIFTSDPSFPFANSMAIRDGRILRIGNYSSLQDLSGYGTKELNLEGKVVVPGFIDSHVHLISAGLQMARVQLEGVNRKDEVVRRVKEAVLNVKRGSWILGGGWNNDLWGGELPMASWIDEVTPDNPVWLTRMDGHMGLANSVALKLAGVTNLTKDPNGGKIMQTADGEPTGLLIDAAMELILSWIPEASVDERREAMLRASSFALTRGVTTVVDVGRYFPGSSVEHSWQDFSDVYQWADSSGKMKIRVCLFFPMETWSRLHGVIHRAGRALSNWIYLGGVKAFADGSLGSNSALFHEPYFDDPHNYGLQVLEFESLFNMTIASDMSGLQVAIHAIGDKANDLILDMYESVALKNGKRDRRFRVMVGILRIVLPTCVFISSA >KJB67880 pep chromosome:Graimondii2_0_v6:10:58426155:58433816:-1 gene:B456_010G216200 transcript:KJB67880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LONG AFTER FAR-RED 3 [Source:Projected from Arabidopsis thaliana (AT3G55850) UniProtKB/Swiss-Prot;Acc:A0A1I9LN01] MNLSIVNLASIAVFLSVFLFPSFNSTYWLKWRASLIPSTKFGADLIVKNGVIFTSDPSFPFANSMAIRDGRILRIGNYSSLQDLSGYGTKELNLEGKVVVPGFIDSHVHLISAGLQMARVQLEGVNRKDEVVRRVKEAVLNVKRGSWILGGGWNNDLWGGELPMASWIDEVTPDNPVWLTRMDGHMGLANSVALKLAGVTNLTKDPNGGKIMQTADGEPTGLLIDAAMELILSWIPEASVDERREAMLRASSFALTRGVTTVVDVGRYFPGSSVEHSWQDFSDVYQWADSSGKMKIRVCLFFPMETWSRLHGVIHRAGRALSNWIYLGGVKAFADGSLGSNSALFHEPYFDDPHNYGLQVLEFESLFNMTIASDMSGLQVAIHAIGDKANDLILDMYESVALKNGKRDRRFRVMIEHAQHLAPGTADRFGQQGIVASVQPDHLLDDADAAIRKLGVDRAQKGSYLFRSLLSSNALLALGSDWPVTSTYPLHAIRTAMNRIPPGWDTAWIPSERLSLNDALIAHTISAARACFLENEIGSLSTGKLADFVILSSDSWDEFATGGSTSVNATYVGGIQAYP >KJB64611 pep chromosome:Graimondii2_0_v6:10:6467882:6477798:-1 gene:B456_010G057100 transcript:KJB64611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSAHKVPSDTEMEGSEATIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPVPPSSDGSPYHSANDPASGTSRGHSNHAPSFVIETFNVPDQGDGVPPEISRIVSAVLGSFGFANMASGNTGSDARERTSGGSGMPDSSQAQTELASMTSQSDRAHSAFGLPAAVSLGSMQPPVIPDSLATLSQYLSHIRNEFDALGRAGGNDSQTAPMSRTGSRDSNSASNSGTVHEGLPTPASLAEVLLSTRQMLIEQAGESVQQLARQLEDQVNVTDPSARLIAQTNALRTGALLHNLGSLLLELGRTTMTLRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSFGAIPVGTVQPGSGLVNGLGTGFVPRRIDIQIRRGSSMATPNTREEHPPNQSGQSNQSMVSDSENRSSQTTSRVSDTPSFAGESGVRVVPIRTMVAAVPAPLGRLPSESSGNSVGVYYPLLGRLQNIAPGHVSGERGPQASGEHPSSGAQPELLRIPESAVQHQSSEESARDVWNFPCELTKKLKAKGGKMTMEKER >KJB64613 pep chromosome:Graimondii2_0_v6:10:6469152:6477798:-1 gene:B456_010G057100 transcript:KJB64613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSAHKVPSDTEMEGSEATIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPVPPSSDGSPYHSANDPASGTSRGHSNHAPSFVIETFNVPDQGDGVPPEISRIVSAVLGSFGFANMASGNTGSDARERTSGGSGMPDSSQAQTELASMTSQSDRAHSAFGLPAAVSLGSMQPPVIPDSLATLSQYLSHIRNEFDALGRAGGNDSQTAPMSRTGSRDSNSASNSGTVHEGLPTPASLAEVLLSTRQMLIEQAGESVQQLARQLEDQVNVTDPSARLIAQTNALRTGALLHNLGSLLLELGRTTMTLRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSFGAIPVGTVQPGSGLVNGLGTGFVPRRIDIQIRRGSSMATPNTREEHPPNQSGQSNQSMVSDSENRSSQTTSRVSDTPSFAGESGVRVVPIRTMVAAVPAPLGRLPSESSGNSVGVYYPLLGRLQNIAPGHVSGERGPQASGEHPSSGAQPELLRIPESAVQHQSSEESARDGSLPNANSRQQERPNTRSVNISILAAGRTENNQDSERQSPSNVLQFLRTIFPGGEIQVEEASSQGTARDSVRGQAEASNVAPAAETSITNQGVFLSNLLHQIMPYISQHAGSQRSTPEEATTSAPVSMLLVLSYFRSG >KJB64610 pep chromosome:Graimondii2_0_v6:10:6467872:6477848:-1 gene:B456_010G057100 transcript:KJB64610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSAHKVPSDTEMEGSEATIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPVPPSSDGSPYHSANDPASGTSRGHSNHAPSFVIETFNVPDQGDGVPPEISRIVSAVLGSFGFANMASGNTGSDARDHGSQRQERTSGGSGMPDSSQAQTELASMTSQSDRAHSAFGLPAAVSLGSMQPPVIPDSLATLSQYLSHIRNEFDALGRAGGNDSQTAPMSRTGSRDSNSASNSGTVHEGLPTPASLAEVLLSTRQMLIEQAGESVQQLARQLEDQVNVTDPSARLIAQTNALRTGALLHNLGSLLLELGRTTMTLRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSFGAIPVGTVQPGSGLVNGLGTGFVPRRIDIQIRRGSSMATPNTREEHPPNQSGQSNQSMVSDSENRSSQTTSRVSDTPSFAGESGVRVVPIRTMVAAVPAPLGRLPSESSGNSVGVYYPLLGRLQNIAPGHVSGERGPQASGEHPSSGAQPELLRIPESAVQHQSSEESARDGSLPNANSRQQERPNTRSVNISILAAGRTENNQDSERQSPSNVLQFLRTIFPGGEIQVEEASSQGTARDSVRGQAEASNVAPAAETSITNQGVFLSNLLHQIMPYISQHAGSQRSTPEEATTSAPADLSSTGNSRRPNDTEQNPPNSKRQKTE >KJB64612 pep chromosome:Graimondii2_0_v6:10:6468771:6477798:-1 gene:B456_010G057100 transcript:KJB64612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSAHKVPSDTEMEGSEATIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPVPPSSDGSPYHSANDPASGTSRGHSNHAPSFVIETFNVPDQGDGVPPEISRIVSAVLGSFGFANMASGNTGSDARERTSGGSGMPDSSQAQTELASMTSQSDRAHSAFGLPAAVSLGSMQPPVIPDSLATLSQYLSHIRNEFDALGRAGGNDSQTAPMSRTGSRDSNSASNSGTVHEGLPTPASLAEVLLSTRQMLIEQAGESVQQLARQLEDQVNVTDPSARLIAQTNALRTGALLHNLGSLLLELGRTTMTLRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSFGAIPVGTVQPGSGLVNGLGTGFVPRRIDIQIRRGSSMATPNTREEHPPNQSGQSNQSMVSDSENRSSQTTSRVSDTPSFAGESGVRVVPIRTMVAAVPAPLGRLPSESSGNSVGVYYPLLGRLQNIAPGHVSGERGPQASGEHPSSGAQPELLRIPESAVQHQSSEESARDGSLPNANSRQQERPNTRSVNISILAAGRTENNQDSERQSPSNVLQFLRTIFPGGEIQVEEASSQGTARDSVRGQAEASNVAPAAETSITNQGVFLSNLLHQIMPYISQHAGSQRSTPEEATTSAPADLSSTGNSRRPNDTEQNPPNSKRQKVLV >KJB64609 pep chromosome:Graimondii2_0_v6:10:6467764:6477848:-1 gene:B456_010G057100 transcript:KJB64609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSAHKVPSDTEMEGSEATIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPVPPSSDGSPYHSANDPASGTSRGHSNHAPSFVIETFNVPDQGDGVPPEISRIVSAVLGSFGFANMASGNTGSDARERTSGGSGMPDSSQAQTELASMTSQSDRAHSAFGLPAAVSLGSMQPPVIPDSLATLSQYLSHIRNEFDALGRAGGNDSQTAPMSRTGSRDSNSASNSGTVHEGLPTPASLAEVLLSTRQMLIEQAGESVQQLARQLEDQVNVTDPSARLIAQTNALRTGALLHNLGSLLLELGRTTMTLRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSFGAIPVGTVQPGSGLVNGLGTGFVPRRIDIQIRRGSSMATPNTREEHPPNQSGQSNQSMVSDSENRSSQTTSRVSDTPSFAGESGVRVVPIRTMVAAVPAPLGRLPSESSGNSVGVYYPLLGRLQNIAPGHVSGERGPQASGEHPSSGAQPELLRIPESAVQHQSSEESARDGSLPNANSRQQERPNTRSVNISILAAGRTENNQDSERQSPSNVLQFLRTIFPGGEIQVEEASSQGTARDSVRGQAEASNVAPAAETSITNQGVFLSNLLHQIMPYISQHAGSQRSTPEEATTSAPADLSSTGNSRRPNDTEQNPPNSKRQKTE >KJB64266 pep chromosome:Graimondii2_0_v6:10:3744136:3747999:1 gene:B456_010G039900 transcript:KJB64266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEAWQTGTLILLLWWMMLPCAVSGPVRVPFCLKESISDAIFDFRDSYCPINSDFTESIDFVGVTEGDEVSLQKVLNMVHKNSHDYVAVLFYASWCPFSRSFRPTFSILSSSYPSIPHFAIEESAVRPSILSKYGVHGFPTLFLLNSTMHVRYLGNRTFESLGAFYCDVTGIRKKSLDKASINKIGHLSNHEKHNSTEPESCPFPWARSPENLLRQETYLALATTFVLLRSLYLLYPTLLVFAHFTWRLLIRNLKLGSLLEHPLAYLKRAIQLFNSLKEPCKRSNLQGAMNARAWASKSLATVSIGDANTSRAVPVTGCR >KJB64270 pep chromosome:Graimondii2_0_v6:10:3744144:3748107:1 gene:B456_010G039900 transcript:KJB64270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEAWQTGTLILLLWWMMLPCAVSGPVRVPFCLKESISDAIFDFRDSYCPINSDFTESIDFVGVTEGDEVSLQKVLNMVHKNSHDYVAVLFYASWCPFSRSFRPTFSILSSSYPSIPHFAIEESAVRPSILSKYGVHGFPTLFLLNSTMHVRYLGNRTFESLGAFYCDVTGIRKKSLDKASINKIGHLSNHEKHNSTEPESCPFPWARSPENLLRQETYLALATTFVLLRSLYLLYPTLLVFAHFTWRLLIRNLKLGSLLEHPLAYLKRAIQLFNSLKEPCKRSNLQGAMNARAWASKSLATVSIGDANTSRAVPVTGCR >KJB64269 pep chromosome:Graimondii2_0_v6:10:3744136:3747999:1 gene:B456_010G039900 transcript:KJB64269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEAWQTGTLILLLWWMMLPCAVSGPVRVPFCLKESISDAIFDFRDSYCPINSDFTESIDFVGVTEGDEVSLQKVLNMVHKNSHDYVAVLFYASWCPFSRSFRPTFSILSSSYPSIPHFAIEESAVRPSILSKYGVHGFPTLFLLNSTMHVRYLGNRTFESLGAFYCDVTGIRKKSLDKASINKIGHLSNHEKHNSTEPESCPFPWARSPENLLRQETYLALATTFVLLRSLYLLYPTLLVFAHFTWRLLIRNLKLGSLLEHPLAYLKRAIQLFNSLKEPCKRSNLQGAMNARAWASKSLATVSIGDANTSRAVPVTGCR >KJB64267 pep chromosome:Graimondii2_0_v6:10:3744178:3747999:1 gene:B456_010G039900 transcript:KJB64267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEAWQTGTLILLLWWMMLPCAVSGPVRVPFCLKESISDAIFDFRDSYCPINSDFTESIDFVGVTEGDEVSLQKVLNMVHKNSHDYVAVLFYASWCPFSRSFRPTFSILSSSYPSIPHFAIEESAVRPSILSKYGVHGFPTLFLLNSTMHVRYLGNRTFESLGAFYCDVTGIRKKSLDKASINKIGHLSNHEKHNSTEPESCPFPWARSPENLLRQETYLALATTFVLLRSLYLLYPTLLVFAHFTWRLLIRNLKLGSLLEHPLAYLKRAIQLFNSLKEPCKRSNLQGAMNARAWASKSLATVSIGDANTSRAVPVTGCR >KJB64268 pep chromosome:Graimondii2_0_v6:10:3744144:3748107:1 gene:B456_010G039900 transcript:KJB64268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEAWQTGTLILLLWWMMLPCAVSGPVRVPFCLKESISDAIFDFRDSYCPINSDFTESIDFVGVTEGDEVSLQKVLNMVHKNSHDYVAVLFYASWCPFSRSFRPTFSILSSSYPSIPHFAIEESAVRPSILSKYGVHGFPTLFLLNSTMHVRYLGNRTFESLGAFYCDVTGIRKKSLDKASINKIGHLSNHEKHNSTEPESCPFPWARSPENLLRQETYLALATTFVLLRSLYLLYPTLLVFAHFTWRLLIRNLKLGSLLEHPLAYLKRAIQLFNSLKEPCKRSNLQGAMNARAWASKSLATVSIGDANTSRAVPVTGCR >KJB64265 pep chromosome:Graimondii2_0_v6:10:3744136:3748095:1 gene:B456_010G039900 transcript:KJB64265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEAWQTGTLILLLWWMMLPCAVSGPVRVPFCLKESISDAIFDFRDSYCPINSDFTESIDFVGVTEGDEVSLQKVLNMVHKNSHDYVAVLFYASWCPFSRSFRPTFSILSSSYPSIPHFAIEESAVRPSILSKYGVHGFPTLFLLNSTMHVRYLGNRTFESLGAFYCDVTGIRKKSLDKASINKIGHLSNHEKHNSTEPESCPFPWARSPENLLRQETYLALATTFVLLRSLYLLYPTLLVFAHFTWRLLIRNLKLGSLLEHPLAYLKRAIQLFNSLKEPCKRSNLQGAMNARAWASKSLATVSIGDANTSRAVPVTGCR >KJB64715 pep chromosome:Graimondii2_0_v6:10:7479873:7483903:1 gene:B456_010G061500 transcript:KJB64715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMTEITDMETIPGESNNQLALTTPEAQPIKRRKKKSMVWEYFTIENVSAGCRRAYCKRCKQSFAYSTGSKVAGTSHLKRHIAKGTCRALLRGQGQDNNQFITPYNPKMGGSEPPKRRYRSPSSPFIPFDQDRCRHEIARMIIMHEYPLHIVEHPGFIAFVQNLQPQFDKMSFNTVQGDCVATYLREKQSLMNFIEGIPGRFCLTLDMWSSNQTLGYVFITGHFVDSDWKLHRRVFNVVMEPYPDSRSALSHAIAACLSDWSLEGKLFSLTFNHPLSEAGLENLRPLLCVKNPLILNGQLLIRNCIARTMSSMAKDVLGAGQEIIKKIRDSVKYVKMSESHDDKFIQVKNQLQVPSEKSLFLDNQTQWNTTYQMLAAASELKEVFDCLDTYDPDYKLAPSMEDWKLAETLCSFLKPLFDAASILTTTTLPTVITFFYEVWKIHVDLGRSVTSEDPFISNLAKSMQEKIDKYWKDCSLVLAMAVVMDPRFKMKLVEFSFTKIYGEDAPTYIKTVDDGIHELFLEYVALPLPLTPTYVEEVNGANNGKTNESHQGNLLSDHGLADFDVYIMETNSQQMKSELDQYLEESLLPRVQEFDVLGWWKLNKMKYPTLSKMARDILSIPVSAAATESIFDITDKQLDEYRSSLRPETVEALICAKDWLHYGSSDVSNALVKMEF >KJB67190 pep chromosome:Graimondii2_0_v6:10:52571340:52573960:1 gene:B456_010G179400 transcript:KJB67190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MGIYRSLQTLITKNPKKARTFLTAASTNSTATTTTYVIPPLSSPLFHTRVSPFSPSLSKWIAPFNGPLFLASPPWKLSQSATPFWGNVTVLRKVQALNLDLIRGGGAKFPVKLPFGSVLSNPTGLDRVETQKQSNKEREAVLESFVNLPNFISMSRLVSGPLLGWMIVNEMYGLAFVGLAISGATDWLDGYIARKMRINSVVLIGCVALSMVHNHLLHTGLVGLVVFRDVALVSAAVYQRAGSLGWQWKSWLDFFNLDGTSPQKVEPLFISKVNTVFQLILVASALLQPEFGTLETQSCITYLSWLVAVTTVGSTFAYGAQHMRKRPGSMTRKH >KJB67189 pep chromosome:Graimondii2_0_v6:10:52571437:52573137:1 gene:B456_010G179400 transcript:KJB67189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MGIYRSLQTLITKNPKKARTFLTAASTNSTATTTTYVIPPLSSPLFHTRVSPFSPSLSKWIAPFNGPLFLASPPWKLSQSATPFWGNVTVLRKVQALNLDLIRGGGAKFPVKLPFGSVLSNPTGLDRVETQKQSNKEREAVLESFVNLPNFISMSRLVSGPLLGWMIVNEMYGLAFVGLAISGATDWLDGYIARKMRINSVVGSYLDPLADKVLIGCVALSMVHNHLLHTGLVGLVVFRDVALVSAAVYQRAGSLGWQWKSWLDFFNLDGTSPQKVEPLFISKVSIIFSFPN >KJB67187 pep chromosome:Graimondii2_0_v6:10:52571334:52574094:1 gene:B456_010G179400 transcript:KJB67187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MGIYRSLQTLITKNPKKARTFLTAASTNSTATTTTYVIPPLSSPLFHTRVSPFSPSLSKWIAPFNGPLFLASPPWKLSQSATPFWGNVTVLRKVQALNLDLIRGGGAKFPVKLPFGSVLSNPTGLDRVETQKQSNKEREAVLESFVNLPNFISMSRLVSGPLLGWMIVNEMYGLAFVGLAISGATDWVLIGCVALSMVHNHLLHTGLVGLVVFRDVALVSAAVYQRAGSLGWQWKSWLDFFNLDGTSPQKVEPLFISKVNTVFQLILVASALLQPEFGTLETQSCITYLSWLVAVTTVGSTFAYGAQHMRKRPGSMTRKH >KJB67188 pep chromosome:Graimondii2_0_v6:10:52571437:52573048:1 gene:B456_010G179400 transcript:KJB67188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MGIYRSLQTLITKNPKKARTFLTAASTNSTATTTTYVIPPLSSPLFHTRVSPFSPSLSKWIAPFNGPLFLASPPWKLSQSATPFWGNVTVLRKVQALNLDLIRGGGAKFPVKLPFGSVLSNPTGLDRVETQKQSNKEREAVLESFVNLPNFISMSRLVSGPLLGWMIVNEMYGLAFVGLAISGATDWLDGYIARKMRINSVVGSYLDPLADKVLIGCVALSMVHNHLLHIFCDVKLDLSGLLSFEMLPLLVLLCTKEPVAWVGSGKVG >KJB67186 pep chromosome:Graimondii2_0_v6:10:52571299:52574094:1 gene:B456_010G179400 transcript:KJB67186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MGIYRSLQTLITKNPKKARTFLTAASTNSTATTTTYVIPPLSSPLFHTRVSPFSPSLSKWIAPFNGPLFLASPPWKLSQSATPFWGNVTVLRKVQALNLDLIRGGGAKFPVKLPFGSVLSNPTGLDRVETQKQSNKEREAVLESFVNLPNFISMSRLVSGPLLGWMIVNEMYGLAFVGLAISGATDWLDGYIARKMRINSVVGSYLDPLADKVLIGCVALSMVHNHLLHTGLVGLVVFRDVALVSAAVYQRAGSLGWQWKSWLDFFNLDGTSPQKVEPLFISKVNTVFQLILVASALLQPEFGTLETQSCITYLSWLVAVTTVGSTFAYGAQHMRKRPGSMTRKH >KJB67191 pep chromosome:Graimondii2_0_v6:10:52571340:52573960:1 gene:B456_010G179400 transcript:KJB67191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MGIYRSLQTLITKNPKKARTFLTAASTNSTATTTTYVIPPLSSPLFHTRVSPFSPSLSKWIAPFNGPLFLASPPWKLSQSATPFWGNVTVLRKVQALNLDLIRGGGAKFPVKLPFGSVLSNPTGLDRVETQKQSNKEREAVLESFVNLPNFISMSRLVSGPLLGWMIVNEMYGLAFVGLAISGATDWLDGYIARKMRINSVVGSYLDPLADKVLIGCVALSMVHNHLLHTGLVGLVVFRDVALVSAAVYQRAGSLGWQWKSWLDFFNLDGTSPQKVNTVFQLILVASALLQPEFGTLETQSCITYLSWLVAVTTVGSTFAYGAQHMRKRPGSMTRKH >KJB63457 pep chromosome:Graimondii2_0_v6:10:38223025:38223352:-1 gene:B456_010G1453003 transcript:KJB63457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFGRMPLKSCYRDSVKRSLLSWTKRYKIINGIAKGLLYLHEDSQYRIIHRDLKTANILLDEEMNPKISDFGMAKLFTVDQTRADTSKVVGT >KJB63903 pep chromosome:Graimondii2_0_v6:10:1808160:1810456:-1 gene:B456_010G022900 transcript:KJB63903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSVSSAKLTARRDCNHSQRSYSKSAVLISPMKTEEAEVIDELSDFISDLPDECLACVFQSLTPADRKRCSLVCRRWLRIEGQSRHRLSLNAQSDLHPLIPSIFSRFDAVTKLALKCDRRSVGIGDEALASISERCRNLTRLKLRACRDLTDAGMLAFAKNCRGLKKLSCGSCTFGAKGMNAVLDNCPALEELSVKRLRGIIDGSAAEPIGPGLAAASLKTICLKELYNGQCFGPLIIGARNLRSLKLFRCSGDWDKLFPLIMDQVTSIIEIHMERIQVSDVGLEAISNSLNLEILHLVKTPVCTNAGLGAVAEKCKLLRKLHIDGWKANRIGDHGLIAVAKSCSNLQELVLIGVNPTKLSLEMLASNCQNLERLALCGSDTVGDAEICCIALKCIALKKLCIKSCPISDHGMGALASGCPNLVKVKVKKCRGVTPEGADWLRANRGSLSVNLDMGEHLDVTANDGVVQDNGDGIPPVVAGQIGAPGIASSSTARSTSFKLSGILGGRSFMACTLRRLASSNGSSRS >KJB66824 pep chromosome:Graimondii2_0_v6:10:46592756:46593709:-1 gene:B456_010G162100 transcript:KJB66824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKMEKKMQKKSIMRDSNYDYQHHRQLLPRNNNHQRKRSSILKRKYFSVISFITKSIKKCHRGLIEFFSKLEGGKHRGKGFVILHREEAGRCQTNLSARLQLEFKDDVDLPNILALGEKNWTRLLPPLASDKMRTIVLDLDETLVHSSPDPPPETYDFMIKPSIYGLMMNFYVLKRPGVDEFLDAISKKYEVVVFTAGLEPYASLLLDILDPKGLISHRLYRDSCKQLGRGRFIKDLSKIGRDLKQVVIVDDNPKSYTLQPANAIPIKRFEDDIEDRELEKLMVFFERNCDGFKDMRDAVKQYLDGNDTMRQPLSL >KJB68144 pep chromosome:Graimondii2_0_v6:10:59997619:60009571:1 gene:B456_010G228200 transcript:KJB68144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPTYKLRGIDVDFPFEAYDCQLVYMEKVIEALQKRCNALLESPTGTGKTLCLLCATLAWRKSLGGFSTGSSQRKSEFHGSQSDVGSSESQSSNHPTIVYTSRTHSQLRQVVQELRRSNYRPKMVVLGSREQLCIHEEVSLLRGKAQTNACRFLCRKRQKRSCTHFSRVADYMKSNPHIGDEPVDIEDLVNIGRKYGPCPYYVARELHKAVDILFAPYNYLIDREYRGSLNFEWHNSILIFDEAHNLESICADAASFDLSSGLLTACISEVKHCVDLAVGRREESNDKSGNPDNFAILRALLLKLQKRIDEVPIESKELGFTKPGPYIYELLADLNITHETASKLIEIIEDATVLLEEDKKHDAKGNGCRLESIGNILKSIYRDKGNGHADSYRVHVQEAEVNATDIFKGKSSRILSWWCFNPGIAMQEFSERGVGSIILTSGTLSPLDSFAQEFKLDFPIQLENPHVISSNQIWAGVVPLGPSGRPFNSSFRFRDSPEYKQELGNAIVNFARIVPDGLLVFFPSYYLLDQCISCWKNMGNTNSSTIWERICKFKKPVIEPRQSSLFPMAIEDYMTKLKDTSTSGAVFFAVCRGKVSEGLDFADHAGRAVVITGMPFATRTDPKVRLKREYLDLQAQSPREGCKMIKLLSGEEWYNQQASRAVNQSVGRVIRHRHDYGAIIFCDERFAQSSRQSQISVWIQPHIKCYSKFGDAVFTLTRFFRDGGSRTPAKPELADQKTLDPSPSSTKPVPDEIKTAQALDEPYTEKLPVLSTSMDLPHSKHKLSSLLDVKSSNNSSHLRDIPPANLSCFTTCMDLKKLKQSSGPMSKEKKLLITGRGKMQYQNHDIIGLTAHLPSDKQQSKEQQLCSMKKRKALLIEHDKSSNINASDAQRSVPRDLPFTDDGGAEVDAKFLNHKKNHVCGSAEQLGAEETKGSFFLIQVKEKLSPAEYKDFVGYMKEIKSKVINIGNPLQCIVELFSGPERLPLLERFKDYVPARYHSLYEQYLERSKRNA >KJB68145 pep chromosome:Graimondii2_0_v6:10:59997688:60013893:1 gene:B456_010G228200 transcript:KJB68145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPTYKLRGIDVDFPFEAYDCQLVYMEKVIEALQKRCNALLESPTGTGKTLCLLCATLAWRKSLGGFSTGSSQRKSEFHGSQSDVGSSESQSSNHPTIVYTSRTHSQLRQVVQELRRSNYRPKMVVLGSREQLCIHEEVSLLRGKAQTNACRFLCRKRQKRSCTHFSRVADYMKSNPHIGDEPVDIEDLVNIGRKYGPCPYYVARELHKAVDILFAPYNYLIDREYRGSLNFEWHNSILIFDEAHNLESICADAASFDLSSGLLTACISEVKHCVDLAVGRREESNDKSGNPDNFAILRALLLKLQKRIDEVPIESKELGFTKPGPYIYELLADLNITHETASKLIEIIEDATVLLEEDKKHDAKGNGCRLESIGNILKSIYRDKGNGHADSYRVHVQEAEVNATDIFKGKSSRILSWWCFNPGIAMQEFSERGVGSIILTSGTLSPLDSFAQEFKLDFPIQLENPHVISSNQIWAGVVPLGPSGRPFNSSFRFRDSPEYKQELGNAIVNFARIVPDGLLVFFPSYYLLDQCISCWKNMGNTNSSTIWERICKFKKPVIEPRQSSLFPMAIEDYMTKLKDTSTSGAVFFAVCRGKVSEGLDFADHAGRAVVITGMPFATRTDPKVRLKREYLDLQAQSPREGCKMIKLLSGEEWYNQQASRAVNQSVGRVIRHRHDYGAIIFCDERFAQSSRQSQISVWIQPHIKCYSKFGDAVFTLTRFFRDGGSRTPAKPELADQKTLDPSPSSTKPVPDEIKTAQALDEPYTEKLPVLSTSMDLPHSKHKLSSLLDVKSSNNSSHLRDIPPANLSCFTTCMDLKKLKQSSGPMSKEKKLLITGRGKMQYQNHDIIGLTAHLPSDKQQSKEQQLCSMKKRKALLIEHDKSSNINASDAQRSVPRDLPFTRWMPSFSIIKRTMFVEVLNNLVQRKQKAPFF >KJB68146 pep chromosome:Graimondii2_0_v6:10:59997688:60013893:1 gene:B456_010G228200 transcript:KJB68146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPTYKLRGIDVDFPFEAYDCQLVYMEKVIEALQKRCNALLESPTGTGKTLCLLCATLAWRKSLGGFSTGSSQRKSEFHGSQSDVGSSESQSSNHPTIVYTSRTHSQLRQVVQELRRSNYRPKMVVLGSREQLCIHEEVSLLRGKAQTNACRFLCRKRQKRSCTHFSRVADYMKSNPHIGDEPVDIEDLVNIGRKYGPCPYYVARELHKAVDILFAPYNYLIDREYRGSLNFEWHNSILIFDEAHNLESICADAASFDLSSGLLTACISEVKHCVDLAVGRREESNDKSGNPDNFAILRALLLKLQKRIDEVPIESKELGFTKPGPYIYELLADLNITHETASKLIEIIEDATVLLEEDKKHDAKGNGCRLESIGNILKSIYRDKGNGHADSYRVHVQEAEVNATDIFKGKSSRILSWWCFNPGIAMQEFSERGVGSIILTSGTLSPLDSFAQEFKLDFPIQLENPHVISSNQIWAGVVPLGPSGRPFNSSFRFRDSPEYKQELGNAIVNFARIVPDGLLVFFPSYYLLDQCISCWKNMGNTNSSTIWERICKFKKPVIEPRQSSLFPMAIEDYMTKLKDTSTSGAVFFAVCRGKVSEGLDFADHAGRAVVITGMPFATRTDPKVRLKREYLDLQAQSPREGCKVMTDADISRMIKLLSGEEWYNQQASRAVNQSVGRVIRHRHDYGAIIFCDERFAQSSRQSQISVWIQPHIKCYSKFGDAVFTLTRFFRDGGSRTPAKPELADQKTLDPSPSSTKPVPDEIKTAQALDEPYTEKLPVLSTSMDLPHSKHKLSSLLDVKSSNNSSHLRDIPPANLSCFTTCMDLKKLKQSSGPMSKEKKLLITGRGKMQYQNHDIIGLTAHLPSDKQQSKEQQLCSMKKRKALLIEHDKSSNINASDAQRSVPRDLPFTDDGGAEVDAKFLNHKKNHVCGSAEQLGAEETKGSFFLIQVKEKLSPAEYKDFVGYMKEIKSKVINIGNPLQCIVELFSGPERLPLLERFKGYMPARYHSLYEQYLETSKRNA >KJB68147 pep chromosome:Graimondii2_0_v6:10:60000390:60014041:1 gene:B456_010G228200 transcript:KJB68147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MVVIFAPMCPYYVARELHKAVDILFAPYNYLIDREYRGSLNFEWHNSILIFDEAHNLESICADAASFDLSSGLLTACISEVKHCVDLAVGRREESNDKSGNPDNFAILRALLLKLQKRIDEVPIESKELGFTKPGPYIYELLADLNITHETASKLIEIIEDATVLLEEDKKHDAKGNGCRLESIGNILKSIYRDKGNGHADSYRVHVQEAEVNATDIFKGKSSRILSWWCFNPGIAMQEFSERGVGSIILTSGTLSPLDSFAQEFKLDFPIQLENPHVISSNQIWAGVVPLGPSGRPFNSSFRFRDSPEYKQELGNAIVNFARIVPDGLLVFFPSYYLLDQCISCWKNMGNTNSSTIWERICKFKKPVIEPRQSSLFPMAIEDYMTKLKDTSTSGAVFFAVCRGKVSEGLDFADHAGRAVVITGMPFATRTDPKVRLKREYLDLQAQSPREGCKMIKLLSGEEWYNQQASRAVNQSVGRVIRHRHDYGAIIFCDERFAQSSRQSQISVWIQPHIKCYSKFGDAVFTLTRFFRDGGSRTPAKPELADQKTLDPSPSSTKPVPDEIKTAQALDEPYTEKLPVLSTSMDLPHSKHKLSSLLDVKSSNNSSHLRDIPPANLSCFTTCMDLKKLKQSSGPMSKEKKLLITGRGKMQYQNHDIIGLTAHLPSDKQQSKEQQLCSMKKRKALLIEHDKSSNINASDAQRSVPRDLPFTDDGGAEVDAKFLNHKKNHVCGSAEQLGAEETKGSFFLIQVKEKLSPAEYKDFVGYMKEIKSKVINIGNPLQCIVELFSGPERLPLLERFKGYMPARYHSLYEQYLETSKRNA >KJB66676 pep chromosome:Graimondii2_0_v6:10:41094611:41096845:1 gene:B456_010G151700 transcript:KJB66676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKQETSWNILCLSPLVKCHIVKVLLYILCLTVETRNKLEYFMFMYFFLGNRSLQFYLGIWYDELQTMEDDLSKIPEDQEDVSRLSTVFEAQEKLKRFYNGF >KJB66675 pep chromosome:Graimondii2_0_v6:10:41094611:41096819:1 gene:B456_010G151700 transcript:KJB66675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKQETSWNILCLSPLVKCHIVKVLLYILCLTVETRNKLEYFMFMYFFLGNRSLQFYLGIWYDELQTMEDDLSKIPEDQEDVSRLSTVFEAQEKLKRFYNGF >KJB63367 pep chromosome:Graimondii2_0_v6:10:52606090:52606419:1 gene:B456_010G180000 transcript:KJB63367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMRRSNGYSKVNKEDPEDIMHRRAQFLIYKVMEQADCRRKPSFLGMRLCRLKVKIGARLKKLRKCGGVYKQVVDGLKTWRRLFNNNNKKKRGGGGTINATLPRPLFT >KJB63888 pep chromosome:Graimondii2_0_v6:10:1749059:1750279:-1 gene:B456_010G022000 transcript:KJB63888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLCNSLKSLHVNPTFFPTKPELPFSFSLSPISAPPFKSKSSPTRCRLTVKAEANAVEIDMVRNKQGVYAPKQKKVVVLWDLDNKPPRGPPYEAALALKRVAEKFGEVVDMSAYANRHAFVHLPQWVLQERRERRSLDILERKGIVKPDEPYICGVCGRKCKTNLDLKKHFKQLHERERQKKLNRMKSLKGKKRQRFKERFISGNHKYNEAARSIIKPKIGYGLASELRRAGVYVKTVEDKPQAADWALKRQMQHSMSRGIDWLFLVSDDKDFVEMLRRAREADLGTVVVGDWDRGLGRHADLWVSWVEVENGEVSEKDLVPKRKRMSSDDGLFSVSEFDGENISIGELDGVANELLVGRNEYGGMMISVFSEDEDEWDIEEVGDEDYLLDGSEDEMIFEEDGYY >KJB67048 pep chromosome:Graimondii2_0_v6:10:49944907:49946352:-1 gene:B456_010G171700 transcript:KJB67048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSYSTTTTTTTILLLLVIAFFSFYQTQAGNINPNSVVLGLTRSSTTFTIPKPSKYSRKRLSQVSDMIEPLRAVRDGYLLTLIIGTPGQVIQVYMDTGSDLTWVPCGNLSFDCLDCDDYRNNKLIGTFSPSNSSSSFRDTCGSSFCIDIHSSDNSFDTCIEAGCSLSTLLKATCSRPCPSFAYSYGEGGLVAGTLTRDNLRVHGSSPYITKDVPRFSFGCVGTTYREPIGIAGFGRGVLSLPSQLGFLQKGFSHCFLAFKYANNQNISSPLFMGDTATSSSDNMQFTPMLKSPMFPNYYYIGLEAITVGNVKSAEVPLNLREFDSQGNGGMLIDSGTTYTHLPEPFYSQLLSMLQPMIAYPRATDVERRTGFDLCYEVPCPNNRFTNDPFPSITFHFMNNVSLVLPQANYFYAMSAPTNSTGVKCLLFQSMDDSSYGPAGVFGNFQQQDVKVVYDLEKERIGFQPMDCAAAAISQGLHKN >KJB67063 pep chromosome:Graimondii2_0_v6:10:50207023:50210826:-1 gene:B456_010G172600 transcript:KJB67063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARLVVFPIKGKNWCFSRSIDLSTSESSAASTPSTVKELCKKISSNSKPLSANAELLVDFISNKMNKAWIGLEKAAEGSFKNKLHGLGLRLLARVKPSEILLKSITKDVTNVQITYPTSLNARLVRRRLRQIALRGTVLHRKYFYGSVSLLPLTTAFTVLPLPNIPFFWVLFRTYSHWRAVQGSEKLLQLVSDYSLVQNSIILSGKGNESEHNDSKCGTKNSPSSQWVLEPSKELEDLINRGHEENYLSEQAISDICNSFSLNKNDVLKCRDLV >KJB67066 pep chromosome:Graimondii2_0_v6:10:50207099:50210789:-1 gene:B456_010G172600 transcript:KJB67066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARLVVFPIKGKNWCFSRSIDLSTSESSAASTPSTVKELCKKISSNSKPLSANAELLVDFISNKMNKAWIGLEKAAEGSFKNKLHGLGLRLLARVKPSEILLKSITKDVTNVQITYPTSLNARLVRRRLRQIALREVRSSFS >KJB67065 pep chromosome:Graimondii2_0_v6:10:50207056:50210826:-1 gene:B456_010G172600 transcript:KJB67065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARLVVFPIKGKNWCFSRSIDLSTSESSAASTPSTVKELCKKISSNSKPLSANAELLVDFISNKMNKAWIGLEKAAEGSFKNKLHGLGLRLLARVKPSEILLKSITKDVTNVQITYPTSLNARLVRRRLRQIALRFCLCLTFHSFGSCFAHIRIGGLSREVRSSFS >KJB67064 pep chromosome:Graimondii2_0_v6:10:50208674:50210615:-1 gene:B456_010G172600 transcript:KJB67064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARLVVFPIKGKNWCFSRSIDLSTSESSAASTPSTVKELCKKISSNSKPLSANAELLVDFISNKMNKAWIGLEKAAEGSFKNKLHGLGLRLLARVKPSEILLKSITKDVTNVQITYPTSLNARLVRRRLRQIALRGTVLHRKYFYGSASA >KJB67677 pep chromosome:Graimondii2_0_v6:10:56860432:56863748:1 gene:B456_010G203200 transcript:KJB67677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCLVLVVLQLSWSMSSSVPPSSSHLCLPHQRDALLHFKTTISVDCDFYHDGGYRDDPYPRIDIESWNKSIDCCSWEGVKCNNVTGDVIGMDLSHSCLVGSLFASNSLFQLHNLQWLDLSSNNLRGSLLENTSSLFHFHGLQRLNLADNGFDGIISSKLFSQLVSLTHLNLSYNGFFDDTESYLRFDGQGFDMLARNLTKLRNLVLDTVDMSDVALTSFLNLSSSLEHLSLSWCQLHGEFATQAFQLPNLKVLDLSGNENLAGYLPNTNWSSGLELLDLSECGFRGSIPASFGNLTQIISVGLEGNSLEGQIPDVFGNLRKLTSLSFSSCNLSGPLPITIFNLTKITQLDLSYNHLEGPFPNHVGELQFLEELWLSYNSISGGVPSWQFTLPSLLQLDLSYNKLVGPIDRIQKPSPIQEVYLSYNNIGGSIPCSIFDLVNLTSLDLSSNNLSGPIPDSIFDLVNLTSLDLSSNNLSGVIKSDMLSKLTSLEFLDVSSNSLLSLSTSGNDVNSSFPQLTTVIFSGCSVRQFPNFFRTSKLTFLNLSNNLISGGISKWEAEGWEGLISLDLSHNFLTALEQFPGNNLGYLNLRSNLLQGPILSTCLDPQIPSSKELSVIIISKNKLTGNIPSSICNLSSLDVLDLSENSLSGTISDCFGNLRSLEFLNLQMNNFYGKIPDSFVNNSELSHLLLNDNQLEGLVPPSLANSISLEVLNLGKNKLTDRFPYWLASLPKLQILILRFNRFHGSLPHSLASSSFSALRIIDLSVNEFTSTLPIKLFQNLRAMKGKQEWFYSTTFRNMMIYGSGIYEIPVNVTTKRLELELTEAVAIFVSMDLSKNQFCGKIPEEVGQLVYLQMLNFSQNNFIGPIPASFGNLVALESLDLSSNKLGGRIPSEMTNLTFLEVLNLSENNLVGPIPHGNQFNTFSNDSYSGNLGLCGLPLSKQCVNHGGDELPSPLVVEHKGSEIPFFWQVVMMGYVSGVVLGLSMGYIIFTTGRPWWFVRKVERDWQYNFKRWVQRNRVRSN >KJB65033 pep chromosome:Graimondii2_0_v6:10:11183914:11186369:1 gene:B456_010G077300 transcript:KJB65033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLSYLLGSLSRYFPHSQSSSTPHLTFLLRSMATSTAAGPINPSNTRLGWIGTGVMGRSMCAHLINAGYSLTVFNRTLSKAQHLVDMGARLAQTPHDLAAQSDVVFSIVGYPSDVLQVLLDPVNGALSGLRPGGILVDMTTSEPSLAVEISTAASSKNCSSIDAPVSGGDRGAKNGTLAIFAGGDEAIVNRLNPIFTLMGKVNYMGPSGKGQFAKLANQITIASTMVGLVEGIIYAHKAGLNVASFLDAISTGAAGSKSLDLYGSRILKRDFDPGFFVNHFVKDLGICLKECQNMGLALPGLALAQQLYLSLKAHGEGNLGTQALILALERLNNATLGS >KJB65032 pep chromosome:Graimondii2_0_v6:10:11183961:11188620:1 gene:B456_010G077300 transcript:KJB65032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLSYLLGSLSRYFPHSQSSSTPHLTFLLRSMATSTAAGPINPSNTRLGWIGTGVMGRSMCAHLINAGYSLTVFNRTLSKAQHLVDMGARLAQTPHDLAAQSDVVFSIVGYPSDVLQVLLDPVNGALSGLRPGGILVDMTTSEPSLAVEISTAASSKNCSSIDAPVSGGDRGAKNGTLAIFAGGDEAIVNRLNPIFTLMGKVNYMGPSGKGQFAKLANQITIASTMVGLVEGIIYAHKAGLNVASFLDAISTGAAGSKSLDLYGSRILKRDFDPGFFVNHFVKDLGICLKECQNMGLALPGLALAQQLYLSLKAHGEGNLGTQALILALERLNNATLGS >KJB66191 pep chromosome:Graimondii2_0_v6:10:28630558:28632381:1 gene:B456_010G131300 transcript:KJB66191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITSHTHHLHLDDHFLVDPEQSNCKETSTFISSHGKTSADGSCWEVPGCNSFAYQNYDDKGSANTEDINGDGLRFKIAFRTKSDIEIMDDGYRWRKYGKKKVKNNPNPRNYYQCSSEGCKVKKRVEREREDPRFVITTYVGKHNHETLSPSPLSSAFDISPSSHSTTSLPHTLQILHQP >KJB66151 pep chromosome:Graimondii2_0_v6:10:28140963:28147933:1 gene:B456_010G129300 transcript:KJB66151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKKVKSLVLVCLISVLLHPFWLISANVEGDALHSLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQLGLLKNLQYLELYSNNISGPIPSDLGNLTSLVSLDLYLNSFSGPIPESLGRLSKLRFLRLNNNTLMGPIPMSLTNITSLQVLDLSNNHLSGEVPDNGSFSLFTPISFANNLDLCGPVTGRPCPGSPPFSPPPPFVPPPPISSPSGNSVTGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSHKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLELLVDPDLQTNYVETEVEQLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWDEWQKVEVLRQEVELAPHPNSDWIVDSTDNLHAVELSGPR >KJB66150 pep chromosome:Graimondii2_0_v6:10:28140961:28147878:1 gene:B456_010G129300 transcript:KJB66150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKKVKSLVLVCLISVLLHPFWLISANVEGDALHSLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQLGLLKNLQYLELYSNNISGPIPSDLGNLTSLVSLDLYLNSFSGPIPESLGRLSKLRFLRLNNNTLMGPIPMSLTNITSLQVLDLSNNHLSGEVPDNGSFSLFTPISFANNLDLCGPVTGRPCPGSPPFSPPPPFVPPPPISSPSGNSVTGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSHKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLELLVDPDLQTNYVETEVEQLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWDEWQKVEVLRQEVELAPHPNSDWIVDSTDNLHAVELSGPR >KJB67241 pep chromosome:Graimondii2_0_v6:10:53024282:53025816:-1 gene:B456_010G182100 transcript:KJB67241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEIECKPPTQLIVEASISSTDHPEKNNSGEALSPSCSNILTKIHAGYFRISLSLGCQALLWKILTQPNGVSRDVLHVFRKLPSTACLLLWCLAALTQISLTLVYALRCYFQFDLVKAEFSHHIGVNYLYAPWISWLALLQSAPILLQNSSLLHMILCWTFIVPLAMLDIKIYGQWFTTEKRFLSVMANPTSLISVIGNLVAARAAAQMGWKESAVCMWSLGMVHYLVLFVTLYQRLSGQNSLPTILRPTFFLFFAAPSMGSLAWNSITGTFDTTSKMLFFFSLFLFVSLACRPFLFKKSMRKFNVAWWAYSFPLTFLAMAAVEYSREVKCHLATLLMLLLSVVSVLVFLGLMMLTAANIDRLLGGTDDPILAFCKNKKPNAGATTK >KJB66177 pep chromosome:Graimondii2_0_v6:10:28530820:28535518:-1 gene:B456_010G131000 transcript:KJB66177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQGRGGPGIAANIAILGESVQHQPIGLHLSITAAALMAGALGGAQAGLQPNQNVLPNQSAPASDPLTLHLAKMSRSQLNEIMSELKKMATRNKELARELLLSKPQLLKAIFQAQIMLGMVTTEVLQMPNIQLPPGQLAEISIQDCQHSKQPIAQTLHQKAQTGLIPKVLEASTIFLQSCSTTSCFTVFCSPTSFPKPPCQTTNSGDKILIFEPASADIIATLGTACNC >KJB66186 pep chromosome:Graimondii2_0_v6:10:28528420:28535836:-1 gene:B456_010G131000 transcript:KJB66186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQGRGGPGIAANIAILGESVQHQPIGLHLSITAAALMAGALGGAQAGLQPNQNVLPNQSAPASDPLTLHLAKMSRSQLNEIMSELKKMATRNKELARELLLSKPQLLKAIFQAQIMLGMVTTEVLQMPNIQLPPGQLAEISIQDCQHSKQPIAQTLHQKAQTGLIPKVLEGQMSAMPLNSLAHYQFSATVQSTLHPRTQLPQYSCNHVLPPAASQSFVPQLPSQNPLVRPQIRVTKSSSLNQHLQTSLPHSGQLATANLSRNNLMVSPNAVMQLTPLPTPLPDSGFQPGPSITPVFAEKSSAVHCPSEAINRPSKMVKLDDGRSSSSSTGALNISNASGSRISQTFGVDSTLFNKITRSEEVQYAEKPVSQPQLAPDMESVLLQQVLSLTPEQLSSLPPEQRQQVIQLQQALRQDQMQAS >KJB66185 pep chromosome:Graimondii2_0_v6:10:28528380:28535894:-1 gene:B456_010G131000 transcript:KJB66185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQQGRGGPGIAANIAILGESVQHQPIGLHLSITAAALMAGALGGAQAGLQPNQNVLPNQSAPASDPLTLHLAKMSRSQLNEIMSELKKMATRNKELARELLLSKPQLLKAIFQAQIMLGMVTTEVLQMPNIQLPPGQLAEISIQDCQHSKQPIAQTLHQKAQTGLIPKVLEASTIFLQSCSTTSCFTVFCSPTSFPKPPCQTTNSGDKILIFEPASADIIATLGTACNC >KJB66178 pep chromosome:Graimondii2_0_v6:10:28530820:28535518:-1 gene:B456_010G131000 transcript:KJB66178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQQGRGGPGIAANIAILGESVQHQPIGLHLSITAAALMAGALGGAQAGLQPNQNVLPNQSAPASDPLTLHLAKMSRSQLNEIMSELKKMATRNKELARELLLSKPQLLKAIFQAQIMLGMVTTEVLQMPNIQLPPGQLAEISIQDCQHSKQPIAQTLHQKAQTGLIPKVLEASTIFLQSCSTTSCFTVFCSPTSFPKPPCQTTNSGDKILIFEPASADIIATLGTACNC >KJB66183 pep chromosome:Graimondii2_0_v6:10:28528856:28535518:-1 gene:B456_010G131000 transcript:KJB66183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQQGRGGPGIAANIAILGESVQHQPIGLHLSITAAALMAGALGGAQAGLQPNQNVLPNQSAPASDPLTLHLAKMSRSQLNEIMSELKKMATRNKELARELLLSKPQLLKAIFQAQIMLGMVTTEVLQMPNIQLPPGQLAEISIQDCQHSKQPIAQTLHQKAQTGLIPKVLEGQMSAMPLNSLAHYQFSATVQSTLHPRTQLPQYSCNHVLPPAASQSFVPQLPSQNPLVRPQIRVTKSSSLNQHLQTSLPHSGQLATANLSRNNLMVSPNAVMQLTPLPTPLPDSGFQPGPSITPVFAEKSSAVHCPSEAINRPSKMVKLDDGRSSSSSTGALNISNASGSRISQTFGVDSTLFNKITRSEEVQYAEKPVSQQVLSLTPEQLSSLPPEQRQQVIQLQQALRQDQMQAS >KJB66182 pep chromosome:Graimondii2_0_v6:10:28528856:28535518:-1 gene:B456_010G131000 transcript:KJB66182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQQGRGGPGIAANIAILGESVQHQPIGLHLSITAAALMAGALGGAQAGLQPNQNVLPNQSAPASDPLTLHLAKMSRSQLNEIMSELKKMATRNKELARELLLSKPQLLKAIFQAQIMLGMVTTEVLQMPNIQLPPGQLAEISIQDCQHSKQPIAQTLHQKAQTGLIPKVLEGQMSAMPLNSLAHYQFSATVQSTLHPRTQLPQYSCNHVLPPAASQSFVPQLPSQNPLVRPQIRVTKSSSLNQHLQTSLPHSGQLATANLSRNNLMVSPNAVMQLTPLPTPLPDSGFQPGPSITPVFAEKSSAVHCPSEAINRPSKMVKLDDGRSSSSSTGALNISNASGSRISQTFGVDSTLFNKITRSEEVQYAEKPVSQPQLAPDMESVLLQQVLSLTPEQLSSLPPEQRQQVIQLQQALRQDQMQAS >KJB66184 pep chromosome:Graimondii2_0_v6:10:28530820:28535518:-1 gene:B456_010G131000 transcript:KJB66184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQGRGGPGIAANIVGGPAILGESVQHQPIGLHLSITAAALMAGALGGAQAGLQPNQNVLPNQSAPASDPLTLHLAKMSRSQLNEIMSELKKMATRNKELARELLLSKPQLLKAIFQAQIMLGMVTTEVLQMPNIQLPPGQLAEISIQDCQHSKQPIAQTLHQKAQTGLIPKVLEASTIFLQSCSTTSCFTVFCSPTSFPKPPCQTTNSGDKILIFEPASADIIATLGTACNC >KJB66180 pep chromosome:Graimondii2_0_v6:10:28528380:28535894:-1 gene:B456_010G131000 transcript:KJB66180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQGRGGPGIAANIAILGESVQHQPIGLHLSITAAALMAGALGGAQAGLQPNQNVLPNQSAPASDPLTLHLAKMSRSQLNEIMSELKKMATRNKELARELLLSKPQLLKAIFQAQIMLGMVTTEVLQMPNIQLPPGQLAEISIQDCQHSKQPIAQTLHQKAQTGLIPKVLEGQMSAMPLNSLAHYQFSATVQSTLHPRTQLPQYSCNHVLPPAASQSFVPQLPSQNPLVRPQIRVTKSSSLNQHLQTSLPHSGQLATANLSRNNLMVSPNAVMQLTPLPTPLPDSGFQPGPSITPVFAEKSSAVHCPSEAINRPSKMVKLDDGRSSSSSTGALNISNASGSRISQTFGVDSTLFNKITRSEEVQYAEKPVSQQVLSLTPEQLSSLPPEQRQQVIQLQQALRQDQMQAS >KJB66179 pep chromosome:Graimondii2_0_v6:10:28528380:28535894:-1 gene:B456_010G131000 transcript:KJB66179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQGRGGPGIAANIVGGPAILGESVQHQPIGLHLSITAAALMAGALGGAQAGLQPNQNVLPNQSAPASDPLTLHLAKMSRSQLNEIMSELKKMATRNKELARELLLSKPQLLKAIFQAQIMLGMVTTEVLQMPNIQLPPGQLAEISIQDCQHSKQPIAQTLHQKAQTGLIPKVLEASTIFLQSCSTTSCFTVFCSPTSFPKPPCQTTNSGDKILIFEPASADIIATLGTACNC >KJB66181 pep chromosome:Graimondii2_0_v6:10:28528380:28535894:-1 gene:B456_010G131000 transcript:KJB66181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQGRGGPGIAANIAILGESVQHQPIGLHLSITAAALMAGALGGAQAGLQPNQNVLPNQSAPASDPLTLHLAKMSRSQLNEIMSELKKMATRNKELARELLLSKPQLLKAIFQAQIMLGMVTTEVLQMPNIQLPPGQLAEISIQDCQHSKQPIAQTLHQKAQTGLIPKVLEASTIFLQSCSTTSCFTVFCSPTSFPKPPCQTTNSGDKILIFEPASADIIATLGTACNC >KJB65559 pep chromosome:Graimondii2_0_v6:10:18226693:18232150:1 gene:B456_010G101200 transcript:KJB65559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARRLASRAILKRLVNETKQSSNGQMSCRSPVLYTPSRYVSSLSPFGSKYYSRSDLLGGRNVPNSFGSQQVRSISVEALKSSDTFPRRHNSATPEEQAKMAESCGFDSLDSLIDATVPKAIRIDSMKFSKFDEGLTESQMIEHMKMLESKNKIFKSFIGMGYYNTHVPPVILRNIMENPAWYTQYTPYQAEISQGRLESLLNFQTMITDLTGLPMSNASLLDEGTAAAEAMAMCNNILKGKKKTFIIANNCHPQTIDICKTRADGFDLKVVTADLKDIDYSSGDVCGVLVQYPGTEGEVLDYGEFIKNAHAHGVKVVMATDLLALTVLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIAQRVHGLAGVFASGLKKLGTVEVQGLPFFDTVKVTCADAHSIADAAYRSEINLRVLDAKTITVSFDETTTLEDVDKLFKVFSGGKPVSFTAASLASEVETAIPSGLERQSPYLTHSIFNMYHTEHELLRYIHRLQSKDLSLCHSMIPLGSCTMKLNATAEMMPVTWPGFTDIHPFAPQEQAQGYQEMFNNLGELLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHMSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIVSVGTDSKGNINIEELRKAAEANKDKLSALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVPTGGIPAPEKSDPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLEKLLKSRMERLISTTMFLRELLILHLCLWQMHGQSRILGNTLPSLLPGFELLSSGLLQDVLTTYMVIATSSAPFFRYRRWLKRLLQPMRRPRPHLCCH >KJB65558 pep chromosome:Graimondii2_0_v6:10:18227325:18231399:1 gene:B456_010G101200 transcript:KJB65558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARRLASRAILKRLVNETKQSSNGQMSCRSPVLYTPSRYVSSLSPFGSKYYSRSDLLGGRNVPNSFGSQQVRSISVEALKSSDTFPRRHNSATPEEQAKMAESCGFDSLDSLIDATVPKAIRIDSMKFSKFDEGLTESQMIEHMKMLESKNKIFKSFIGMGYYNTHVPPVILRNIMENPAWYTQYTPYQAEISQGRLESLLNFQTMITDLTGLPMSNASLLDEGTAAAEAMAMCNNILKGKKKTFIIANNCHPQTIDICKTRADGFDLKVVTADLKDIDYSSGDVCGVLVQYPGTEGEVLDYGEFIKNAHAHGVKVVMATDLLALTVLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIAQRVHGLAGVFASGLKKLGTVEVQGLPFFDTVKVTCADAHSIADAAYRSEINLRVLDAKTITVSFDETTTLEDVDKLFKVFSGGKPVSFTAASLASEVETAIPSGLERQSPYLTHSIFNMYHTEHELLRYIHRLQSKDLSLCHSMIPLGSCTMKLNATAEMMPVTWPGFTDIHPFAPQEQAQGYQEMFNNLGELLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHMSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIVSVGTDSKGNINIEELRKAAEANKDKLSALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVPTGGIPAPEKSDPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKNTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKV >KJB65560 pep chromosome:Graimondii2_0_v6:10:18226693:18232299:1 gene:B456_010G101200 transcript:KJB65560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARRLASRAILKRLVNETKQSSNGQMSCRSPVLYTPSRYVSSLSPFGSKYYSRSDLLGGRNVPNSFGSQQVRSISVEALKSSDTFPRRHNSATPEEQAKMAESCGFDSLDSLIDATVPKAIRIDSMKFSKFDEGLTESQMIEHMKMLESKNKIFKSFIGMGYYNTHVPPVILRNIMENPAWYTQYTPYQAEISQGRLESLLNFQTMITDLTGLPMSNASLLDEGTAAAEAMAMCNNILKGKKKTFIIANNCHPQTIDICKTRADGFDLKVVTADLKDIDYSSGDVCGVLVQYPGTEGEVLDYGEFIKNAHAHGVKVVMATDLLALTVLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIAQRVHGLAGVFASGLKKLGTVEVQGLPFFDTVKVTCADAHSIADAAYRSEINLRVLDAKTITVSFDETTTLEDVDKLFKVFSGGKPVSFTAASLASEVETAIPSGLERQSPYLTHSIFNMYHTEHELLRYIHRLQSKDLSLCHSMIPLGSCTMKLNATAEMMPVTWPGFTDIHPFAPQEQAQGYQEMFNNLGELLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHMSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIVSVGTDSKGNINIEELRKAAEANKDKLSALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVPTGGIPAPEKSDPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKNTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDTLISIREEIAEIENGKADIHNNVLKGAPHPPSLLMADAWTKPYSREYAAFPASWLRTAKFWPTTGRVDNVYGDRNVICTLLPVSQMVEEAAAANA >KJB65720 pep chromosome:Graimondii2_0_v6:10:20942728:20946889:1 gene:B456_010G110800 transcript:KJB65720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISPWSLPFNLLFTSMLIVVVLAADPYSKALLSLKSQIIDGSNSLDDWKVPPGETPSGKVHACSWSGVKCNKNSTIVVGLNLCMKNLAGELLGNQLSVFTELVELNVSQNAFSGELPVEIFNLSKLTTLDISGNNFSGRFPNGITGLKKLVVLDALSNSFSGPLPVEVTELESLKVLNLAGSYFDGEIPSSYGSFKSLEYLHLAGNSLTGKIPPELGKLKTVTHMEIGYNFYEGSIPWQLSNMTELQYLDIAGANLSGSIPKQLCNLTKLESLFLFRNQLMGSIPWEFSQMVSLINLDLSDNLISGPIPESFGELKKLKLLSLMFNEMNGTVPDTIAELPSMETLFIWNNFFTGSLPENLGRHSRLRWLDVSNNSFIGSIPPGVCADGKLFKLMLFSNNFTGNLSALSNCSSLVRIRVEDNSFSGEIPLNFSHLHGIRYIDLSGNRFTGGIPADISKALKLQFFSVSNNPELGGTIPWKTWSLPFLQNFSASFCNISGNLPPFRSCKSLVVVELQKNTISGSIPNSISNCQSLEIINLARNHLSDHIPKELASLPALGVVDLSHNDFSGSIPAEFGKSSSLVLLNVSFNDISGTIPSHKLLQSMGSSAYAGNPKLCGAPLQSCSTAIDGKKLRLILLISAGVVILIAATVSLLIRLQKGSKGQWKMNSFIGLPQFTANDVLRSFNATDSMEELPPFSGAFCKAVLPTGITVLVKKLEWEPKRVKIASEFITQLGNSRHMNLIRLLGFCYNNQLTYLLYDCLPNGNLAEKLRMQRDWGMKCRIIIGIAKGLCFLHHDCNPAIPHGDLKSSNVMFDDNFEPRLADFGFKHLIQLIKGTVPATSSTLGTGEFNDAIKDELYKDIYDFGELILEILTNGKVIKGGASIQSKPKDVVLREMYDENEAGSTNSLQEDEMKQVVDVALLCTKSRAVDRPSIEDALKLLSGLKANGK >KJB65878 pep chromosome:Graimondii2_0_v6:10:22761880:22764235:-1 gene:B456_010G116600 transcript:KJB65878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIRRASHAGSWYTDNPNKLSEELDGWIRAAGLPKSSDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNITRVFLLGPSHHYYTPKCALSTATVYKTPIGDLPIDLEVIEELKATGKFELMNLRVDEAEHSMEMHLPYLSKVFEGHPVKIIPILVGALSAENEAMYGKLLAKYVDDPHNFFSVSSDFCHWGSRYV >KJB65876 pep chromosome:Graimondii2_0_v6:10:22760751:22764322:-1 gene:B456_010G116600 transcript:KJB65876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIRRASHAGSWYTDNPNKLSEELDGWIRAAGLPKSSDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNITRVFLLGPSHHYYTPKCALSTATVYKTPIGDLPIDLEVIEELKATGKFELMNLRVDEAEHSMEMHLPYLSKVFEGHPVKIIPILVGALSAENEAMYGKLLAKYVDDPHNFFSVSSDFCHWGSRFNYMYYDKKYGAIHKSIEALDKMGMDIIETGDADAFKQYLSEYDNTICGRHPISVFLHMLSNSSTKIKIKFLRYEQSSQCKSTRDSSVSYASAAAKVDA >KJB65875 pep chromosome:Graimondii2_0_v6:10:22760751:22764235:-1 gene:B456_010G116600 transcript:KJB65875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIRRASHAGSWYTDNPNKLSEELDGWIRAAGLPKSSDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNITRVFLLGPSHHYYTPKCALSTATVYKTPIGDLPIDLEVIEELKATGKFELMNLRVDEAEHSMEMHLPYLSKVFEGHPVKIIPILVGALSAENEAMYGKLLAKYVDDPHNFFSVSSDFCHWGSRFNYMYYDKKYGAIHKSIEALDKMGMDIIETGDADAFKQYLSEYDNTICGRHPISVFLHVSQQLDENKDKILTVRAIESVQKYTRQ >KJB65877 pep chromosome:Graimondii2_0_v6:10:22761128:22764322:-1 gene:B456_010G116600 transcript:KJB65877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIRRASHAGSWYTDNPNKLSEELDGWIRAAGLPKSSDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNITRVFLLGPSHHYYTPKCALSTATVYKTPIGDLPIDLEVIEELKATGKFELMNLRVDEAEHSMEMHLPYLSKVFEGHPVKIIPILVGALSAENEAMYGKLLAKYVDDPHNFFSVSSDFCHWGSRFNYMYYDKKYGAIHKSIEALDKMGMDIIETGDADAFKQYLSEYDNTICGRHPISVFLHVSVLSFLESQVFNPFNNNKNCPNYLLYQFY >KJB63678 pep chromosome:Graimondii2_0_v6:10:790991:795209:-1 gene:B456_010G010500 transcript:KJB63678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRWSHSHHNQQNDSLQHESKVKELRAALRPLSDRGLKYCTDACLRRYLEARNWNVDKSKKMLEETLKWRSTYKPEEIRWHEIAVEGETGKVYRANFHDRDGRTVLILRPGKQNTTSLDNQLRHLVYMIENAILNLPEGQEQMVWLIDFTGWSLSTSVPIKSARDTINVLQNHYPERLAMAVLYNPPRIFEAFWKIVKYFLDAKTFQKVKFVYPKNKESVELMRSYFDEENLPTDFGGKAILEYNHEEFSKQMVQDDIKSATLWGFDNKLQSTGTDQSGPEITPEPICLAPAAS >KJB63679 pep chromosome:Graimondii2_0_v6:10:790991:803075:-1 gene:B456_010G010500 transcript:KJB63679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRWSHSHHNQQNDSLQHESKVKELRAALRPLSDRGLKYCTDACLRRYLEARNWNVDKSKKMLEETLKWRSTYKPEEIRWHEIAVEGETGKVYRANFHDRDGRTVLILRPGKQNTTSLDNQLRHLVYMIENAILNLPEGQEQMVWLIDFTGWSLSTSVPIKSARDTINVLQNHYPERLAMAVLYNPPRIFEAFWKIVKYFLDAKTFQKVKFVYPKNKESVELMRSYFDEENLPTDFGGKAILEYNHEEFSKQMVQDDIKSATLWGFDNKLQSTGTDQSGPEITPEPICLAPAAS >KJB63675 pep chromosome:Graimondii2_0_v6:10:791180:793162:-1 gene:B456_010G010500 transcript:KJB63675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRWSHSHHNQQNDSLQHESKVKELRAALRPLSDRGLKYCTDACLRRYLEARNWNVDKSKKMLEETLKWRSTYKPEEIRWHEIAVEGETGKVYRANFHDRDGRTVLILRPGKQNTTSLDNQLRHLVYMIENAILNLPEGQEQMVWLIDFTGWSLSTSVPIKSARDTINVLQNHYPERLAMAVLYNPPRIFEAFWKIVKYFLDAKTFQKVKFVYPKNKESVELMRSYFDEENLPTDFGGKAILEYNHEEFSKQMVQDDIKSATLWGFDNKLQSTGTDQSGPEITPEPICLAPAAS >KJB63680 pep chromosome:Graimondii2_0_v6:10:790492:794285:-1 gene:B456_010G010500 transcript:KJB63680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRWSHSHHNQQNDSLQHESKVKELRAALRPLSDRGLKYCTDACLRRYLEARNWNVDKSKKMLEETLKWRSTYKPEEIRWHEIAVEGETGKVYRANFHDRDGRTVLILRPGKQNTTSLDNQLRHLVYMIENAILNLPEGQEQMVWLIDFTGWSLSTSVPIKSARDTINVLQNHYPERLAMAVLYNPPRIFEAFWKIVKYFLDAKTFQKVKFVYPKNKESVELMRSYFDEENLPTDFGGKAILEYNHEEFSKQMVQDDIKSATLWGFDNKLQSTGTDQSGPEITPEPICLAPAAS >KJB63677 pep chromosome:Graimondii2_0_v6:10:790991:794914:-1 gene:B456_010G010500 transcript:KJB63677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRWSHSHHNQQNDSLQHESKVKELRAALRPLSDRGLKYCTDACLRRYLEARNWNVDKSKKMLEETLKWRSTYKPEEIRWHEIAVEGETGKVYRANFHDRDGRTVLILRPGKQNTTSLDNQLRHLVYMIENAILNLPEGQEQMVWLIDFTGWSLSTSVPIKSARDTINVLQNHYPERLAMAVLYNPPRIFEAFWKIVKYFLDAKTFQKVKFVYPKNKESVELMRSYFDEENLPTDFGGKAILEYNHEEFSKQMVQDDIKSATLWGFDNKLQSTGTDQSGPEITPEPICLAPAAS >KJB63676 pep chromosome:Graimondii2_0_v6:10:790492:795734:-1 gene:B456_010G010500 transcript:KJB63676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRWSHSHHNQQNDSLQHESKVKELRAALRPLSDRGLKYCTDACLRRYLEARNWNVDKSKKMLEETLKWRSTYKPEEIRWHEIAVEGETGKVYRANFHDRDGRTVLILRPGKQNTTSLDNQLRHLVYMIENAILNLPEGQEQMVWLIDFTGWSLSTSVPIKSARDTINVLQNHYPERLAMAVLYNPPRIFEAFWKIVKYFLDAKTFQKVKFVYPKNKESVELMRSYFDEENLPTDFGGKAILEYNHEEFSKQMVQDDIKSATLWGFDNKLQSTGTDQSGPEITPEPICLAPAAS >KJB68202 pep chromosome:Graimondii2_0_v6:10:60300184:60302470:-1 gene:B456_010G231600 transcript:KJB68202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLIWEVVKKNNCFLVKQFGRGTAGVRFSKEPNNLYNVNSYKHSGLANKKTVTIQPAGKDQSVLLATTKSKKQNKPSSLLHKSVMKKEFPRMAKAVKNQVTDNYYRPDLTKAALARLSAVNRSLKVAKSGVKKRNRQASRIHGRK >KJB68201 pep chromosome:Graimondii2_0_v6:10:60300184:60302628:-1 gene:B456_010G231600 transcript:KJB68201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLIWEVVKKNNCFLVKQFGRGTAGVRFSKEPNNLYNVNSYKHSGLANKKTVTIQPAGKDQSVLLATTKSKKQNKPSSLLHKSVMKKEFPRMAKAVKNQVTDNYYRPDLTKAALARLSAVNRSLKVAKSGVKKRNRQASRIHGRK >KJB64091 pep chromosome:Graimondii2_0_v6:10:2899449:2904274:-1 gene:B456_010G032600 transcript:KJB64091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIIERYNKAKEEHQQLGSPNSEVKFWQREAAILRQKLQNLQENHRQMMGEELSGLGVKELQNLESQLEMSLRGVRIKKDQILMNEIQELNRKGNIIHQENVELYKKVYGTRDVDGANKDSLLTNGLGIGEDSQVPVCLQLCQPQQQSYETPTRATNMGRLQLQ >KJB65754 pep chromosome:Graimondii2_0_v6:10:21301819:21304160:1 gene:B456_010G112000 transcript:KJB65754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEVAVAQPEAAPALGEPMDINTALPLVVRKSRAHGGLARGLHEAAKAIEKHNAHLCVIADDCDQPDYVKLVKALCADHNVKVLRAPSAKSLGEWAGLCKIDSEGKAQNVVGCSCVVVKDYGEQHEAVEVVQQHKD >KJB65753 pep chromosome:Graimondii2_0_v6:10:21301758:21304325:1 gene:B456_010G112000 transcript:KJB65753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEVAVAQPEAAPALGEPMDINTALPLVVRKSRAHGGLARGLHEAAKAIEKHNAHLCVIADDCDQPDYVKLVKALCADHNVKVLRAPSAKSLGEWAGLCKIDSEGKAQNVVGCSCVVVKDYGEQHEAVEVVQQHKD >KJB65752 pep chromosome:Graimondii2_0_v6:10:21301912:21304160:1 gene:B456_010G112000 transcript:KJB65752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEVAVAQPEAAPALGEPMDINTALPLVVRKSRAHGGLARGLHEAAKAIEKHNAHLCVIADDCDQPDYVKLVKALCADHNVKVLRAPSAKSLGEWAGLCKIDSEGKAQNVVGCSCVVVKDYGEQHEAVEVVQQHKD >KJB65755 pep chromosome:Graimondii2_0_v6:10:21301819:21304160:1 gene:B456_010G112000 transcript:KJB65755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEVAVAQPEAAPALGEPMDINTALPLVVRKSRAHGGLARGLHEAAKAIEKHNAHLCVIADDCDQPDYVKLVKALCADHNVKVLRAPSAKSLGEWAGLCKIDSEGKAQNVVGCSCVVVKDYGEQHEAVEVVQQHKD >KJB65842 pep chromosome:Graimondii2_0_v6:10:22473984:22480816:-1 gene:B456_010G115900 transcript:KJB65842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIDEGLFMGQAILEQLEDFPRHRTLAINMNSTSEKDIYNGNGSRASFTTGNGLELFSELEPAKVAGHARKLSTESFGSDVSFLRGSDMSISGIPNSSVNGFVDLPGTSEVLYTRGSLGNSDPQSSGDTKIVLPLDQRHKMNRVLLTMQRKLATAKTDMEDLVARLNQEIAVKGYLTTKVKDLEVELESTKQKSKENLQQAILIERERFTQMQWEMEELRQKSLEMELKLNPNQDEKQITETTNQLAAEEKDAMLQELNATKEQLNSISKRYEELELKSKADIKVLVKEVKSLRKSQKELKQEVGQSLSEKAEAEVQLVQERQIIKHVRNAREKLLNKCRLLHNRLLECSVNLSTDDENLIKDSSFVQEALDLLTTSDNKIILLLDEVQLLAKEEGSATGNDDIQLNNHYDSRTDDELRKIIAGIFTENANLKKQVNSHLRHKLKCDNHVKE >KJB65844 pep chromosome:Graimondii2_0_v6:10:22475339:22480766:-1 gene:B456_010G115900 transcript:KJB65844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRRSPPKHRHDGTSPLPLGMDWSPPPRKWNGRETVWPHDPRTGWSYCVTIPSWVVLPKSRDSDPVVFYRVHVGVQSPDGITTTRGVLRRFNDFLKLFNELKKAYPKKSLPPSPPKGLLRLKSRALLEERRCSLEEWMTKLLSDIDMSRSVSVASFLELEAAARSSFQELNECSSEANIAGNSTISSKVPSSSSISHFAGGSSITSDYGSDTAYETSELGTPRLGRDDSSEIGSGDLTLDEDLTGSIEKLVKYGMSNIDEGLFMGQAILEQLEDFPRHRTLAINMNSTSEKDIYNGNGSRASFTTGNGLELFSELEPAKVAGHARKLSTESFGSDVSFLRGSDMSISGIPNSSVNGFVDLPGTSEVLYTRGSLGNSDPQSSGDTKIVLPLDQRHKMNRVLLTMQRKLATAKTDMEDLVARLNQEIAVKGYLTTKVKDLEVELESTKQKSKENLQQAILIERERFTQMQWEMEELRQKSLEMELKLNPNQDEKQITETTNQLAAEEKDAMLQELNATKEQLNSISKRYEELELKSKADIKVLVKEVKSLRKSQKELKQEVGQSLSEKAEAEVTLYTFNENKTK >KJB65843 pep chromosome:Graimondii2_0_v6:10:22473969:22480766:-1 gene:B456_010G115900 transcript:KJB65843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRRSPPKHRHDGTSPLPLGMDWSPPPRKWNGRETVWPHDPRTGWSYCVTIPSWVVLPKSRDSDPVVFYRVHVGVQSPDGITTTRGVLRRFNDFLKLFNELKKAYPKKSLPPSPPKGLLRLKSRALLEERRCSLEEWMTKLLSDIDMSRSVSVASFLELEAAARSSFQELNECSSEANIAGNSTISSKVPSSSSISHFAGGSSITSDYGSDTAYETSELGTPRLGRDDSSEIGSGDLTLDEDLTGSIEKLVKYGMSNIDEGLFMGQAILEQLEDFPRHRTLAINMNSTSEKDIYNGNGSRASFTTGNGLELFSELEPAKVAGHARKLSTESFGSDVSFLRGSDMSISGIPNSSVNGFVDLPGTSEVLYTRGSLGNSDPQSSGDTKIVLPLDQRHKMNRVLLTMQRKLATAKTDMEDLVARLNQEIAVKGYLTTKVKDLEVELESTKQKSKENLQQAILIERERFTQMQWEMEELRQKSLEMELKLNPNQDEKQITETTNQLAAEEKDAMLQELNATKEQLNSISKRYEELELKSKADIKVLVKEVKSLRKSQKELKQEVGQSLSEKAEAEVQLVQERQIIKHVRNAREKLLNKCRLLHNRLLECSVNLSTDDENLIKDSSFVQEALDLLTTSDNKIILLLDEVQLLAKEEGSATGNDDIQLNNHYDSRTDDELRKIIAGIFTENANLKKQVNSHLRHKLKCDNHVKE >KJB65841 pep chromosome:Graimondii2_0_v6:10:22474501:22478075:-1 gene:B456_010G115900 transcript:KJB65841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKGFVLLQRRCSLEEWMTKLLSDIDMSRSVSVASFLELEAAARSSFQELNECSSEANIAGNSTISSKVPSSSSISHFAGGSSITSDYGSDTAYETSELGTPRLGRDDSSEIGSGDLTLDEDLTGSIEKLVKYGMSNIDEGLFMGQAILEQLEDFPRHRTLAINMNSTSEKDIYNGNGSRASFTTGNGLELFSELEPAKVAGHARKLSTESFGSDVSFLRGSDMSISGIPNSSVNGFVDLPGTSEVLYTRGSLGNSDPQSSGDTKIVLPLDQRHKMNRVLLTMQRKLATAKTDMEDLVARLNQEIAVKGYLTTKVKDLEVELESTKQKSKENLQQAILIERERFTQMQWEMEELRQKSLEMELKLNPNQDEKQITETTNQLAAEEKDAMLQELNATKEQLNSISKRYEELELKSKADIKVLVKEVKSLRKSQKELKQEVGQSLSEKAEAEVQLVQERQIIKHVRNAREKLLNKCRLLHNRLLECSVNLSTDDENLIKDSSFVQEALDLLTTSDNKIILLLDEVQLLAKEEGSATGNDDIQLNNHYDSRTDDELRKIIAGIFTENANLKKQVNSHLRHKLKCDNHVKE >KJB65845 pep chromosome:Graimondii2_0_v6:10:22476615:22480766:-1 gene:B456_010G115900 transcript:KJB65845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRRSPPKHRHDGTSPLPLGMDWSPPPRKWNGRETVWPHDPRTGWSYCVTIPSWVVLPKSRDSDPVVFYRVHVGVQSPDGITTTRGVLRRFNDFLKLFNELKKAYPKKSLPPSPPKGLLRLKSRALLEERRCSLEEWMTKLLSDIDMSRSVSVASFLELEAAARSSFQELNECSSEANIAGNSTISSKVPSSSSISHFAGGSSITSDYGSDTAYETSELGTPRLGRDDSSEIGSGDLTLDEDLTGSIEKLVKYGMSNIDEGLFMGQAILEQLEDFPRHRTLAINMNSTSEKDIYNGNGSRASFTTGNGLELFSELEPAKVAGHARKLSTESFGSDVSFLRGSDMSISGIPNSSVNGFVDLPGTSEVLYTRGSLGNSDPQSSGDTKIVLPLDQRHKMNRVLLTMQRKLATAKTDMEDLVARLNQEIAVKGYLTTKVSGSSLTFFLR >KJB67779 pep chromosome:Graimondii2_0_v6:10:57637416:57638766:-1 gene:B456_010G210200 transcript:KJB67779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSFFDRMITHLRSSCKYYTGYPKDLGPSRVIHFKSEREFVQLLQQGHPVVVAFTIRGNYTKHLDKVLEEAAVEFYPNVKFMRVECPKYPGFCITRQKNEYPFIEIFHSPEQVCNFSLFLFSPSTAPFACFGLL >KJB67778 pep chromosome:Graimondii2_0_v6:10:57635871:57638735:-1 gene:B456_010G210200 transcript:KJB67778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSFFDRMITHLRSSCKYYTGYPKDLGPSRVIHFKSEREFVQLLQQGHPVVVAFTIRGNYTKHLDKVLEEAAVEFYPNVKFMRVECPKYPGFCITRQKNEYPFIEIFHSPEQAADQGRVVDPSTTRYSVKVLPFNYDLSAFNILGLWAFCTLNPLYA >KJB67775 pep chromosome:Graimondii2_0_v6:10:57635230:57638908:-1 gene:B456_010G210200 transcript:KJB67775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSFFDRMITHLRSSCKYYTGYPKDLGPSRVIHFKSEREFVQLLQQGHPVVVAFTIRGNYTKHLDKVLEEAAVEFYPNVKFMRVECPKYPGFCITRQKNEYPFIEIFHSPEQAADQGRVVDPSTTRYSVKVLPFNYDLSAYGFREFFKRHGIQTSDRK >KJB67776 pep chromosome:Graimondii2_0_v6:10:57635230:57639042:-1 gene:B456_010G210200 transcript:KJB67776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSFFDRMITHLRSSCKYYTGYPKDLGPSRVIHFKSEREFVQLLQQGHPVVVAFTIRGNYTKHLDKVLEEAAVEFYPNVKFMRVECPKYPGFCITRQKNEYPFIEIFHSPEQAADQGRVVDPSTTRYSVKVLPFNYDLSAYGFREFFKRHGIQTSDRK >KJB67777 pep chromosome:Graimondii2_0_v6:10:57635778:57639042:-1 gene:B456_010G210200 transcript:KJB67777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSFFDRMITHLRSSCKYYTGYPKDLGPSRVIHFKSEREFVQLLQQGHPVVVAFTIRGNYTKHLDKVLEEAAVEFYPNVKFMRVECPKYPGFCITRQKNEYPFIEIFHSPEQAADQGRVVDPSTTRYSVKVLPFNYDLSAFNILGLWAFCTLNPLYA >KJB66872 pep chromosome:Graimondii2_0_v6:10:46693565:46694518:-1 gene:B456_010G162300 transcript:KJB66872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKMERRMQKKFIMRDSNYDYQHRRQLLPRNNDHQRKRSSILKRKYFSVISSIKKSIKKCHRRLIEFFSKLDGAKHRGKGFVILHREEAGRCQTNLSPRLQLEFKDDVDLPNILALREKNWTRLLPPLGLDKTRTIVLDLDETLVHSSPDPPPETYDFMIKPSIYGLRMNFYVLKRPGVDEFLEAISKKYEVVVFTAGLEPYASLLLDILDPKGLISHRLYRDSCKQLGRGRFIKDLSKIGRDLKQVVIVDDNPKSYTLQPENAIPIKRFEDDIEDRELEKLMVFFERNCDAFKDMRDAVKQYLDGNDTMRQPPSL >KJB64852 pep chromosome:Graimondii2_0_v6:10:8840046:8848594:-1 gene:B456_010G067900 transcript:KJB64852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNSAENVFSRSASFREEEDDEEALRWAALERLPTYSRVRRGIFKDIVGDSKEVDVSELESTDQRLLLERLVNSVDDDPERFFDRMRKRFDAVDLEFPKIEVRFQNLTVESFVHVGSRALPTIPNFIFNMVEAFLRRLRIYQGRRSKLTILDGCSGIIRPSRLTLLLGPPSSGKTTFLLALAGRLGSHLQMSGKITYNGHGLKEFVPPRTSAYVSQQDWHVAEMTVRETLEFAGRCQGVGVKYDMLLELARREKNAGIKPDEDLDIFMKSLALGGKETSLVVEYIMKILGLDICSDTLVGDEMLKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTYQIVKYMRHSTRALDGTTVISLLQPAPETYELFDDVILLCEGQILYQGPRDAALDFFAFMGFRCPERKNVADFLQEVLSKKDQEQYWSLPFHPYRYIPPGKFAEAFRSYQIGKNLHEELNIPFDSRYNHPLALSTSRYGVKKSELLKTSFDWQMLLMKRNSFIYIFKFIQLFIVALITMSVFMRTALHHNTIDDGGLYLGALYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPSWAYTLPSWLLSIPTSLYESGFWVAISYYVIGYDPDITRFLRQFLLYFCLHQMSIALFRVIGSLGRNMIVANTFGSFAMLVVMALGGYIISRDRIPSWWIWGYWVSPLMYAQNAASVNEFLGNSWHKRAGNYTNFSLGEALLRARSYFPESYWYWIGVGALLGYTVLLNLLFTFFLANLNSLGKQQAVFSKEELQERDRRRKGENVVTELRHYLQNSGSFNGKYFKQRGMVLPFQPLSMSFSNINYFVDIPVELKQQGITEDRLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGLIEGSIHISGYPKRQETFARISGYCEQNDIHSPCLTVLESLLFSAWLRLPSDVGLETQRAFVEEVMELVELTPLSGALIGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPKSCELIKYFEAVEGVPKIRPGYNPAAWMLEVTSTAEENRLDVDFAEIYRRSNLFQRNRELVENLSKPSGNSKELNFPSKYSQSFFEQFLACLWKQNLSYWRNPQYTAVKFFYTVVISLMLGTICWKFGSKRESQQDLFNAMGSMYAAVLFIGITNATAVQPVVSIERFVSYRERAAGMYSGLAFAFAQVDLRFSAVAHEQNCVPF >KJB64851 pep chromosome:Graimondii2_0_v6:10:8839937:8848670:-1 gene:B456_010G067900 transcript:KJB64851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNSAENVFSRSASFREEEDDEEALRWAALERLPTYSRVRRGIFKDIVGDSKEVDVSELESTDQRLLLERLVNSVDDDPERFFDRMRKRFDAVDLEFPKIEVRFQNLTVESFVHVGSRALPTIPNFIFNMVEAFLRRLRIYQGRRSKLTILDGCSGIIRPSRLTLLLGPPSSGKTTFLLALAGRLGSHLQMSGKITYNGHGLKEFVPPRTSAYVSQQDWHVAEMTVRETLEFAGRCQGVGVKYDMLLELARREKNAGIKPDEDLDIFMKSLALGGKETSLVVEYIMKILGLDICSDTLVGDEMLKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTYQIVKYMRHSTRALDGTTVISLLQPAPETYELFDDVILLCEGQILYQGPRDAALDFFAFMGFRCPERKNVADFLQEVLSKKDQEQYWSLPFHPYRYIPPGKFAEAFRSYQIGKNLHEELNIPFDSRYNHPLALSTSRYGVKKSELLKTSFDWQMLLMKRNSFIYIFKFIQLFIVALITMSVFMRTALHHNTIDDGGLYLGALYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPSWAYTLPSWLLSIPTSLYESGFWVAISYYVIGYDPDITRFLRQFLLYFCLHQMSIALFRVIGSLGRNMIVANTFGSFAMLVVMALGGYIISRDRIPSWWIWGYWVSPLMYAQNAASVNEFLGNSWHKRAGNYTNFSLGEALLRARSYFPESYWYWIGVGALLGYTVLLNLLFTFFLANLNSLGKQQAVFSKEELQERDRRRKGENVVTELRHYLQNSGSFNGKYFKQRGMVLPFQPLSMSFSNINYFVDIPVELKQQGITEDRLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGLIEGSIHISGYPKRQETFARISGYCEQNDIHSPCLTVLESLLFSAWLRLPSDVGLETQRAFVEEVMELVELTPLSGALIGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPKSCELIKYFEAVEGVPKIRPGYNPAAWMLEVTSTAEENRLDVDFAEIYRRSNLFQRNRELVENLSKPSGNSKELNFPSKYSQSFFEQFLACLWKQNLSYWRNPQYTAVKFFYTVVISLMLGTICWKFGSKRESQQDLFNAMGSMYAAVLFIGITNATAVQPVVSIERFVSYRERAAGMYSGLAFAFAQVAIELPYVFAQSVIYCSIFYSMASFEWTALKFIWYTYFMYSTLLYFTFYGMMTTAVTPNHNVAAIIAAPFYMLWNLFCGFMIPHKRIPIWWRWYYWANPIAWSLYGLVISQYGDDDKLVALSNGADSMPTRVLLKEVFGYRHDFLCVTAVMVGFFVIFFAVIFGFAIKAFNFQRR >KJB64853 pep chromosome:Graimondii2_0_v6:10:8840046:8848594:-1 gene:B456_010G067900 transcript:KJB64853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNSAENVFSRSASFREEEDDEEALRWAALERLPTYSRVRRGIFKDIVGDSKEVDVSELESTDQRLLLERLVNSVDDDPERFFDRMRKRFDAVDLEFPKIEVRFQNLTVESFVHVGSRALPTIPNFIFNMVEAFLRRLRIYQGRRSKLTILDGCSGIIRPSRLTLLLGPPSSGKTTFLLALAGRLGSHLQMSGKITYNGHGLKEFVPPRTSAYVSQQDWHVAEMTVRETLEFAGRCQGVGVKYDMLLELARREKNAGIKPDEDLDIFMKSLALGGKETSLVVEYIMKILGLDICSDTLVGDEMLKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTYQIVKYMRHSTRALDGTTVISLLQPAPETYELFDDVILLCEGQILYQGPRDAALDFFAFMGFRCPERKNVADFLQEVLSKKDQEQYWSLPFHPYRYIPPGKFAEAFRSYQIGKNLHEELNIPFDSRYNHPLALSTSRYGVKKSELLKTSFDWQMLLMKRNSFIYIFKFIQLFIVALITMSVFMRTALHHNTIDDGGLYLGALYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPSWAYTLPSWLLSIPTSLYESGFWVAISYYVIGYDPDITRFLRQFLLYFCLHQMSIALFRVIGSLGRNMIVANTFGSFAMLVVMALGGYIISRDRIPSWWIWGYWVSPLMYAQNAASVNEFLGNSWHKRAGNYTNFSLGEALLRARSYFPESYWYWIGVGALLGYTVLLNLLFTFFLANLNSLGKQQAVFSKEELQERDRRRKGENVVTELRHYLQNSGSFNGKYFKQRGMVLPFQPLSMSFSNINYFVDIPVELKQQGITEDRLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGLIEGSIHISGYPKRQETFARISGYCEQNDIHSPCLTVLESLLFSAWLRLPSDVGLETQRAFVEEVMELVELTPLSGALIGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPKSCELIKYFEAVEGVPKIRPGYNPAAWMLEVTSTAEENRLDVDFAEIYRRSNLFQRNRELVENLSKPSGNSKELNFPSKYSQSFFEQFLACLWKQNLSYWRNPQYTAVKFFYTVVISLMLGTICWKFGSKRESQQDLFNAMGSMYAAVLFIGITNATAVQPVVSIERFVSYRERAAGMYSGLAFAFAQVAIELPYVFAQSVIYCSIFYSMASFEWTALKFIWYTYFMYSTLLYFTFYGMMTTAVTPNHNVAAIIAAPFYMLWNLFCGFMIPHKVMNKSAVFSRFLHCHAWFYL >KJB66669 pep chromosome:Graimondii2_0_v6:10:41820025:41821856:1 gene:B456_010G152900 transcript:KJB66669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLAREVSDLCLGKPALRSLSVSATVGDALTTLKRSRDNYISFWNCNHGHFSEADKVDAVAALDDCRCVGKVCVADIIGFLCKEENLSNPGIALQAPVSVLIPKTGDFVRHLESNASLVEAMDLILEGVQNIVIPMEIGGKNSRKKLLQNNLSKSTFHNGRQYCWLTQEDMVRYLVNSISLFSSSAVNPINSLDIINVQDIPAVHYDDPASSALPLLAKCLEMQTSVAIIDGDGKLIGEISPYKLNSCDEDVAAAIATLSAGELMAYIDFGGPPEDLIQLVKERLQERKLVKALELMEDDSGNSSVSSSCSSSSDDEFGVGRSVVKSVGNSAMSVKRSEAIVCHPWSSLVAVMIQALAHRINYVWVVEEDRTLFGIVTFAGMMKIFRETIEDHDLGKALKKSPT >KJB68248 pep chromosome:Graimondii2_0_v6:10:60492210:60496618:-1 gene:B456_010G234300 transcript:KJB68248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDNETGMDPCGIRVRPISGLVTADGLGSGCFVWTTLVSNLARIGYEEKTMFMAAYDWRLSFQNTQVRDQTLSRIKKNIELMVATSGGQKAVIIPHSAGALFFLHFMKWVEAPPPMGGGGGPYWCSKYIKAVVNIAAPFLGVPKAIPLFLSAESKDISLVRAIAPGFLENDIFQPQILQHVMRLSHSWDSTVSMIPRGGDTIWGSLDWSPEEGYSCDQKREMKNSTRVTNPARAKSAISLTRSANFGRIVSFGKDVAEAPSNDIDRIDFKGAVKGHRAENRTCRDLWAEYHGMGFEGTKAVADYKTYTAESIVELLHFVAPKMMARSTAHFSHGIADNMDDPKYKHYKYWSNPLETTLPIAPEMEIFSFYGTGLPTERSYVYKLSPTAECHIPFQIDSSANDEETCLKGGAYSVDGDGTVPVLSAGFMCAKAWHGKTKFNPSGIRTYVREYRHSPPTTLLEGCGTLNCAHVDIMRNFAVIEDVIRIAAGASGEELGGNRVHSNIFKWSEKINLQL >KJB68249 pep chromosome:Graimondii2_0_v6:10:60492210:60497630:-1 gene:B456_010G234300 transcript:KJB68249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLRRRKHPFNESFRKPEKEHENGDFSYESKRKKIPYEHEEERPLSKWSCLDTWCWLIGCVCVTWWFLLFLYNAMPASFPKYVTEVITGPLLDPPGVKLRKLGLTAKHPVVFVPAAVTGGLELWEGRKCAQGLFRKRLWGGTFGDVYKRPQCWVEHMSLDNETGCFVWTTLVSNLARIGYEEKTMFMAAYDWRLSFQNTQVRDQTLSRIKKNIELMVATSGGQKAVIIPHSAGALFFLHFMKWVEAPPPMGGGGGPYWCSKYIKAVVNIAAPFLGVPKAIPLFLSAESKDISLVRAIAPGFLENDIFQPQILQHVMRLSHSWDSTVSMIPRGGDTIWGSLDWSPEEGYSCDQKREMKNSTRVTNPARAKSAISLTRSANFGRIVSFGKDVAEAPSNDIDRIDFKGAVKGHRAENRTCRDLWAEYHGMGFEGTKAVADYKTYTAESIVELLHFVAPKMMARSTAHFSHGIADNMDDPKYKHYKYWSNPLETTLPIAPEMEIFSFYGTGLPTERSYVYKLSPTAECHIPFQIDSSANDEETCLKGGAYSVDGDGTVPVLSAGFMCAKAWHGKTKFNPSGIRTYVREYRHSPPTTLLEGCGTLNCAHVDIMRNFAVIEDVIRIAAGASGEELGGNRVHSNIFKWSEKINLQL >KJB68250 pep chromosome:Graimondii2_0_v6:10:60492210:60497630:-1 gene:B456_010G234300 transcript:KJB68250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLRRRKHPFNESFRKPEKEHENGDFSYESKRKKIPYEHEEERPLSKWSCLDTWCWLIGCVCVTWWFLLFLYNAMPASFPKYVTEVITGPLLDPPGVKLRKLGLTAKHPVVFVPAAVTGGLELWEGRKCAQGLFRKRLWGGTFGDVYKRPQCWVEHMSLDNETGMDPCGIRVRPISGLVTADGLGSGCFVWTTLVSNLARIGYEEKTMFMAAYDWRLSFQNTQVRDQTLSRIKKNIELMVATSGGQKAVIIPHSAGALFFLHFMKWVEAPPPMGGGGGPYWCSKYIKAVVNIAAPFLGVPKAIPLFLSAESKDISLVRAIAPGFLENDIFQPQILQHVMRLSHSWDSTVSMIPRGGDTIWGSLDWSPEEGYSCDQKREMKNSTRVTNPARAKSAISLTRSANFGRIVSFGKDVAEAPSNDIDRIDFKGAVKGHRAENRTCRDLWAEYHGMGFEVLLISPMGLPIIWMTLSINTTSIGRTPWKRRCQLLRRWKSFLSTEPAYRLKDHTFISYPLLLSVIFRFKLIHPPTMKRPA >KJB68247 pep chromosome:Graimondii2_0_v6:10:60491901:60497914:-1 gene:B456_010G234300 transcript:KJB68247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCVFFNIKISLETNNSVLLDILSNISRAFKKSTNFLGKHQSMSLLRRRKHPFNESFRKPEKEHENGDFSYESKRKKIPYEHEEERPLSKWSCLDTWCWLIGCVCVTWWFLLFLYNAMPASFPKYVTEVITGPLLDPPGVKLRKLGLTAKHPVVFVPAAVTGGLELWEGRKCAQGLFRKRLWGGTFGDVYKRPQCWVEHMSLDNETGMDPCGIRVRPISGLVTADGLGSGCFVWTTLVSNLARIGYEEKTMFMAAYDWRLSFQNTQVRDQTLSRIKKNIELMVATSGGQKAVIIPHSAGALFFLHFMKWVEAPPPMGGGGGPYWCSKYIKAVVNIAAPFLGVPKAIPLFLSAESKDISLVRAIAPGFLENDIFQPQILQHVMRLSHSWDSTVSMIPRGGDTIWGSLDWSPEEGYSCDQKREMKNSTRVTNPARAKSAISLTRSANFGRIVSFGKDVAEAPSNDIDRIDFKGAVKGHRAENRTCRDLWAEYHGMGFEGTKAVADYKTYTAESIVELLHFVAPKMMARSTAHFSHGIADNMDDPKYKHYKYWSNPLETTLPIAPEMEIFSFYGTGLPTERSYVYKLSPTAECHIPFQIDSSANDEETCLKGGAYSVDGDGTVPVLSAGFMCAKAWHGKTKFNPSGIRTYVREYRHSPPTTLLEGCGTLNCAHVDIMRNFAVIEDVIRIAAGASGEELGGNRVHSNIFKWSEKINLQL >KJB67554 pep chromosome:Graimondii2_0_v6:10:55709529:55711732:-1 gene:B456_010G196400 transcript:KJB67554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFFSLVILKGLTTGLLSEITSESDFPTVNLKVFTYAELDKATRNFALANRLGDGGPSHVFMGYIDERACRAASLATGTPVAVKRLRTGSNLTHDEMLSVVNDLGRHVHSNLVKLIGYCLEEEHKLLVCEYLPNGSLEDHLFIPERLPLSWETRVRIAMDVARGLSFLHGHRVIFRDLKAANVLLDSAFNAKLSDFGYAKIIPGVDPSRTDPIFRTRASGIEGYLAPEYLATDQLTSAMDVYSFGVLLLELVSGRRAVQQTESGIEDNIVEWAQPNIGSRHLLDKIMDTKLREYSHEEAYEVVLIASECVGEKAQRPRMRKVLSALEQLRPSLVPATASSSSAPVASSSTTHELSFRTLPQLQCPPSTIVSSREALSPPGCCCQVI >KJB67555 pep chromosome:Graimondii2_0_v6:10:55709529:55711511:-1 gene:B456_010G196400 transcript:KJB67555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIDERACRAASLATGTPVAVKRLRTGSNLTHDEMLSVVNDLGRHVHSNLVKLIGYCLEEEHKLLVCEYLPNGSLEDHLFIPERLPLSWETRVRIAMDVARGLSFLHGHRVIFRDLKAANVLLDSAFNAKLSDFGYAKIIPGVDPSRTDPIFRTRASGIEGYLAPEYLATDQLTSAMDVYSFGVLLLELVSGRRAVQQTESGIEDNIVEWAQPNIGSRHLLDKIMDTKLREYSHEEAYEVVLIASECVGEKAQRPRMRKVLSALEQLRPSLVPATASSSSAPVASSSTTHELSFRTLPQLQCPPSTIVSSREALSPPGCCCQVI >KJB67553 pep chromosome:Graimondii2_0_v6:10:55709529:55711780:-1 gene:B456_010G196400 transcript:KJB67553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIDERACRAASLATGTPVAVKRLRTGSNLTHDEMLSVVNDLGRHVHSNLVKLIGYCLEEEHKLLVCEYLPNGSLEDHLFIPERLPLSWETRVRIAMDVARGLSFLHGHRVIFRDLKAANVLLDSAFNAKLSDFGYAKIIPGVDPSRTDPIFRTRASGIEGYLAPEYLATDQLTSAMDVYSFGVLLLELVSGRRAVQQTESGIEDNIVEWAQPNIGSRHLLDKIMDTKLREYSHEEAYEVVLIASECVGEKAQRPRMRKVLSALEQLRPSLVPATASSSSAPVASSSTTHELSFRTLPQLQCPPSTIVSSREALSPPGCCCQVI >KJB67556 pep chromosome:Graimondii2_0_v6:10:55709529:55712295:-1 gene:B456_010G196400 transcript:KJB67556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLQYFWPTAQNNPDTSGLTTGLLSEITSESDFPTVNLKVFTYAELDKATRNFALANRLGDGGPSHVFMGYIDERACRAASLATGTPVAVKRLRTGSNLTHDEMLSVVNDLGRHVHSNLVKLIGYCLEEEHKLLVCEYLPNGSLEDHLFIPERLPLSWETRVRIAMDVARGLSFLHGHRVIFRDLKAANVLLDSAFNAKLSDFGYAKIIPGVDPSRTDPIFRTRASGIEGYLAPEYLATDQLTSAMDVYSFGVLLLELVSGRRAVQQTESGIEDNIVEWAQPNIGSRHLLDKIMDTKLREYSHEEAYEVVLIASECVGEKAQRPRMRKVLSALEQLRPSLVPATASSSSAPVASSSTTHELSFRTLPQLQCPPSTIVSSREALSPPGCCCQVI >KJB64340 pep chromosome:Graimondii2_0_v6:10:4395087:4396412:1 gene:B456_010G043700 transcript:KJB64340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLYNATKNGDKNELLCLLHENAQLLDRFTKGRYPETPLHIAAMLGHSEFVDELLIRMPELAKELDSRRRSALHLAVGKGHGQIVIRLLQVNPDMCLICDIDGRNPLHVAAMKGRLAVLRELFHARPWPVRSPMAQGDTILHACLEALKLLVTEGISDRLNNEGNTILQMAITANQTQDELLSDTIRSKILVSTQEPDQSNAILEARRSNVDWLKRKRHNLILVASLLANMAFHAAVNPPGGVWHDNDPSHRAGHSVFADTYPNTYTQFLMSNTFGFMASLIIIQLLISGLPIRRKLFKRVLIAVMSVAIAAMGFAYAVSLVPLTSDPAYSWLFIRFWTIFMILLFTARAVRQMIKIFKFVGNLIMRFIN >KJB67444 pep chromosome:Graimondii2_0_v6:10:54521222:54526790:1 gene:B456_010G191000 transcript:KJB67444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNEEVYTLDGTVDRHGQPAIRGRTGTWVSGILLLVNQGLATLAFFGVGVNLVLFLTRVLGQDSAAAANSVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQAVFVVGLVLLSISTTLFLLKPSGCGDEETPCGSHSTFHIVFFYLAIYLVALGNGGYQPNIATFGADQFDEEDPKEGHSKIAFFSYFYLALNLGSLFSNTILGYFEDQGMWALGFWASTSSAVGALVLFLIGTPRYRHFEPKGNPLSRFCQVLVASTRKWKVERTQGEENLFEVDNKESALNGDRKILHTDSFRFLDKAAIITPKDYAKQGKKIYGRNPWRLCTVTQVEEVKCILRLLPIWLCTILFSVVFTQMASLFVEQGAAMKTKISNFNIPAAGMSSFDILSVAAFIFLYRLVLDPVIARIKSKPKGLTELQRMGIGLFIAILAMVAAGVVEVLRLKDAKKDCPNCVNASSLSIFWQVPQYMLIGASEVFMYVGQLEFFNGQAPDGLKSFGSALCMTSISLGNYVSSLLVSIVMRISTRDDMPGWIPGNLNKGHLDRFFFMLAALTTADLVVYIICAKWYKYIKFEGRKGADDNSDDGQAEAAAEHRV >KJB63582 pep chromosome:Graimondii2_0_v6:10:2434351:2435968:1 gene:B456_010G029300 transcript:KJB63582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQAPSRNQRYKGFKVKHVLQICALLAFCIWLFNQARNSYDNKGSGIFQSVTTEHSHAIVKLGRKDLDPQGEGSSSADINEEMEEEVEEGKVEESEEDGRGGGDDEIDGHDQEKTEGEESEEVEDFIDEEDKDKENQLEDLSLLEDQSMRS >KJB63581 pep chromosome:Graimondii2_0_v6:10:2433972:2436257:1 gene:B456_010G029300 transcript:KJB63581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQAPSRNQRYKGFKVKHVLQICALLAFCIWLFNQARNSYDNKGSGIFQSVTTEHSHAIVKLGRKDLDPQGEGSSSADINEEMEEEVEEGKVEESEEDGRGGGDDEIDGHDQEKTEGEESEEVEDFIDEEDKDKENQLEDLSLLEDQSMRS >KJB67593 pep chromosome:Graimondii2_0_v6:10:56065082:56070056:1 gene:B456_010G199100 transcript:KJB67593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGNREFVDDHVDQNGDESIDSSSDGVIETSSSGGSGAASRQNSETMNEIGLTERLTDIFVDENDGDLLLQQSNREDRVLQWLQALDMQLIGACRNDERLKPLLKVNVSNGVAEDRLLAHLSQHFEPSEVGMLARCFCIPLVSVRVGKINKQGTHFHPCAIRGNLILMLLPTSDLRLSFVGDDGQTERLFTLSDKPQCAAVSIDEIPADNSGRSFLVKIPDGEVFYYWCSEKSKLLGIELLSKVKDLIKRKPSIAELTGISESRLGCFATQLRAYLFGSTVSNTQASYSGPPSPNSVLDTIDVHNGQTSSTSKSLRSRHSSSQVLKVNALYQGSLSPRSSSFKEGLTRNLSSLRSNTRDKLPDVKSCPFTPNFLESLGKLSLPPTLSSVSQPSLVSPVFSPYYCWCPPGSSTLQHSAASELPSSSIESLKLPPLSSILPMNSASSLLKPSPPLNLADVPSLDFPAFLPEPLVRLPMASSQQIPTFTPLICDSIVHIPVIDVCSSGQGYLVSAGPTLSTAIPPLHPNLVNTETMVEKGARETLRLLISGSTQSNPPLIDVLPAVLTNADEKKGIFVAGSRGLYSGTRDVSAIAAVSLVTLTSSSVVDSIIKQCSSSSNSHDDPDTKKLMGSSGSDESCLSSNGAKLSGSREEKVE >KJB67592 pep chromosome:Graimondii2_0_v6:10:56065027:56070120:1 gene:B456_010G199100 transcript:KJB67592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGNREFVDDHVDQNGDESIDSSSDGVIETSSSGGSGAASRQNSETMNEIGLTERLTDIFVDENDGDLLLQQSNREDRVLQWLQALDMQLIGACRNDERLKPLLKVNVSNGVAEDRLLAHLSQHFEPSEVGMLARCFCIPLVSVRVGKINKQGTHFHPCAIRGNLILMLLPTSDLRLSFVGDDGQTERLFTLSDKPQCAAVSIDEIPADNSGRSFLVKIPDGEVFYYWCSEKSKLLGIELLSKVKDLIKRKPSIAELTGISESRLGCFATQLRAYLFGSTVSNTQASYSGPPSPNSVLDTIDVHNGQTSSTSKSLRSRHSSSQVLKVNALYQGSLSPRSSSFKEGLTRNLSSLRSNTRDKVRRRGDNHLSEAESLTIASPVSKVSSDGNQAETNKLPDVKSCPFTPNFLESLGKLSLPPTLSSVSQPSLVSPVFSPYYCWCPPGSSTLQHSAASELPSSSIESLKLPPLSSILPMNSASSLLKPSPPLNLADVPSLDFPAFLPEPLVRLPMASSQQIPTFTPLICDSIVHIPVIDVCSSGQGYLVSAGPTLSTAIPPLHPNLVNTETMVEKGARETLRLLISGSTQSNPPLIDVLPAVLTNADEKKGIFVAGSRGLYSGTRDVSAIAAVSLVTLTSSSVVDSIIKQCSSSSNSHDDPDTKKLMGSSGSDESCLSSNGAKLSGSREEKVE >KJB64788 pep chromosome:Graimondii2_0_v6:10:8231851:8235672:-1 gene:B456_010G064700 transcript:KJB64788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMIQPPLVDTTACLCRVDAGLKTVAGAKRYVPGTKLCLQPDIKPSIHPTRSKATRGDRSRNQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLSGNFFYSLRKSLGIAEEWIYVIKRDRDGKISWHAFDPVYQLWQPLPPVPKEYSEALGFGCAVLSGCHLYLFGGKDPLKGSMRRVIFYSARTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGENEGVHRSLRSAEVYDPNKNRWSYISDMSTAMVPFIGVVYEGKWFLKGLGPHQQVMSEVYQPETDNWYPVYNGMVAGWRNPCTSLNGHLYALECKDGCKLRVYDEVTDSWGKHIDSRIHLGNSRALEAAALVPLNGKLCIIRNNMSVSLVDVTKSDDLRGATAEHLWETIAGKGQFKTLFTNLWSSLAGRNRLKSHIVHCQVLQA >KJB64789 pep chromosome:Graimondii2_0_v6:10:8231803:8235672:-1 gene:B456_010G064700 transcript:KJB64789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMIQPPLVDTTACLCRVDAGLKTVAGAKRYVPGTKLCLQPDIKPSIHPTRSKATRGDRSRNQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLSGNFFYSLRKSLGIAEEWIYVIKRDRDGKISWHAFDPVYQLWQPLPPVPKEYSEALGFGCAVLSGCHLYLFGGKDPLKGSMRRVIFYSARTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGENEGVHRSLRSAEVYDPNKNRWSYISDMSTAMVPFIGVVYEGKWFLKGLGPHQQVMSEVYQPETDNWYPVYNGMVAGWRNPCTSLNGHLYALECKDGCKLRVYDEVTDSWGKHIDSRIHLGNSRALEAAALVPLNGKLCIIRNNMSVSLVDVTKSDDLRGATAEHLWETIAGKGQFKTLFTNLWSSLAGRNRLKSHIVHCQVLQA >KJB68211 pep chromosome:Graimondii2_0_v6:10:60330863:60331375:-1 gene:B456_010G232100 transcript:KJB68211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPTSGNTAIVTEDCLACKYQRRRCPAYCPFAPYFPHGHEQQLKNMHKLFGSGMVNVIKLVRTIEDPSKKDIAIRTIMVQSDMRAKDPVGGCYRVIQELQHQIECTQAELDQIYYTIAICRTQNAHRLQMQKIDDGDRCQMMNKLPLIGFETEKLANLSIKSDDNIGEK >KJB64699 pep chromosome:Graimondii2_0_v6:10:8084603:8087695:-1 gene:B456_010G063900 transcript:KJB64699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLSFTSVCSFNTCNKPGIIIDNSFPRKVLVVNEVFKSSRSARMCSLEAKAAEKNQSTKPTSIVCADCKGNGAKQCTQCKGTGVNSVDHFNGQFKAGGLCWLCSCRNWHILGSKESTKVIQGYRQW >KJB64697 pep chromosome:Graimondii2_0_v6:10:8085714:8087429:-1 gene:B456_010G063900 transcript:KJB64697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLSFTSVCSFNTCNKPGIIIDNSFPRKVLVVNEVFKSSRSARMCSLEAKAAEKNQSTKPTSIVCADCKGNGNENFGCKTMYSMQRNWG >KJB64696 pep chromosome:Graimondii2_0_v6:10:8084569:8087695:-1 gene:B456_010G063900 transcript:KJB64696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLSFTSVCSFNTCNKPGIIIDNSFPRKVLVVNEVFKSSRSARMCSLEAKAAEKNQSTKPTSIVCADCKGNGAKQCTQCKGTGVNSVDHFNGQFKAGGLCWLCRGKREILCGNCNGAGFTGGFMSTLDD >KJB64698 pep chromosome:Graimondii2_0_v6:10:8085714:8087429:-1 gene:B456_010G063900 transcript:KJB64698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLSFTSVCSFNTCNKPGIIIDNSFPRKVLVVNEVFKSSRSARMCSLEAKAAEKNQSTKPTSIVCADCKGNGNENFGCKTMYSMQRNWG >KJB65030 pep chromosome:Graimondii2_0_v6:10:11145238:11150982:-1 gene:B456_010G077100 transcript:KJB65030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAALDPVDWLLFSLSRAFRSPLSVFVQIQGCVICLTLAIGWAFAAYVRNREINRMKDAMKCGNSFAFLCHDINELEHTNQVNLPRVTVVMPLKGFGEHNLHNWKSQITSLYGGPLEFLFVVESTEDPAYHAVSRLIRDFKGASFECLLCGFTSCLPDFIACFSAQDDVDAKIILAGLSTTCSQKIHNQLVGVERMHKDTKYVLFLDDDVRLHPGSIGALTAEMEKKPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRRDNYGVVSGLRDGGYSDDMTLAAIAGAHKRLITSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYISRVNWLMNRGLFSFHCYLSWGFVAPYFMAAVHIAAALQIYIKGYSYEETTCTTSGLLLASCLAICTLTELLSMWNLTRIEVQLCNMLSPEAPKLSLDYYNWSLIFVALLVDNFLYPISAFRSHFSQSINWSGIRYHLKNGKINKIERNRGRGPKFTDLGGKHLYGKKGAPPKASFLSSLARSLCQWHQPKKYEV >KJB65031 pep chromosome:Graimondii2_0_v6:10:11145238:11151265:-1 gene:B456_010G077100 transcript:KJB65031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAALDPVDWLLFSLSRAFRSPLSVFVQIQGCVICLTLAIGWAFAAYVRNREINRMKDAMKCGNSFAFLCHDINELEHTNQVNLPRVTVVMPLKGFGEHNLHNWKSQITSLYGGPLEFLFVVESTEDPAYHAVSRLIRDFKDDVDAKIILAGLSTTCSQKIHNQLVGVERMHKDTKYVLFLDDDVRLHPGSIGALTAEMEKKPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRRDNYGVVSGLRDGGYSDDMTLAAIAGAHKRLITSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYISRVNWLMNRGLFSFHCYLSWGFVAPYFMAAVHIAAALQIYIKGYSYEETTCTTSGLLLASCLAICTLTELLSMWNLTRIEVQLCNMLSPEAPKLSLDYYNWSLIFVALLVDNFLYPISAFRSHFSQSINWSGIRYHLKNGKINKIERNRGRGPKFTDLGGKHLYGKKGAPPKASFLSSLARSLCQWHQPKKYEV >KJB68408 pep chromosome:Graimondii2_0_v6:10:61262678:61265137:-1 gene:B456_010G243600 transcript:KJB68408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRMVLMPRKKKVGSVPVYLNVYDLTAINGYAYWFGLGIYHSGVQVHGVEYGFGAHEHSTTGIFEVEPKQCPGFTFRKSILIGRTDLGPKDVRAFMEKLAKEYSGNSYHLITKNCNHFCNDVCIQLVGKPIPRWVNRLARLGFLCNCVLPAGLNETKVRQVRSESIGQEVEKKKLRSHSNRYIPSSTTPLPPSLTCPPDSTTTTTGRQKRRLRSSSQSSLIHTSSTSSLSLKV >KJB68409 pep chromosome:Graimondii2_0_v6:10:61262382:61265175:-1 gene:B456_010G243600 transcript:KJB68409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRMVLMPRKKKVGSVPVYLNVYDLTAINGYAYWFGLGIYHSGVQVHGVEYGFGAHEHSTTGIFEVEPKQCPGFTFRKSILIGRTDLGPKDVRAFMEKLAKEYSGNSYHLITKNCNHFCNDVCIQLVGKPIPRWVNRLARLGFLCNCVLPAGLNETKVRQVRSESIGQEVEKKKLRSHSNRYIPSSTTPLPPSLTCPPDSTTTTTGRQKRRLRSSSQSSLIHTSSTSSLSLKV >KJB68410 pep chromosome:Graimondii2_0_v6:10:61262678:61265137:-1 gene:B456_010G243600 transcript:KJB68410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRMVLMPRKKKVGSVPVYLNVYDLTAINGYAYWFGLGIYHSGVQVHGVEYGFGAHEHSTTGIFEVEPKQCPGFTFRKSILIGRTDLGPKDVRAFMEKLAKEYSGNSYHLITKNCNHFCNDVCIQLVGKPIPRWVNRLARLAGLNETKVRQVRSESIGQEVEKKKLRSHSNRYIPSSTTPLPPSLTCPPDSTTTTTGRQKRRLRSSSQSSLIHTSSTSSLSLKV >KJB63408 pep chromosome:Graimondii2_0_v6:10:27769158:27770893:-1 gene:B456_010G128300 transcript:KJB63408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRILHVTNSHCFDNHGIRGSPTSTVIGPSKHQHSTLGDPNFVENYFKSGKDIFDESVSFILRYMLCFSTLYLIVSCIERHTMRITNLLRKM >KJB68411 pep chromosome:Graimondii2_0_v6:10:61284520:61285523:-1 gene:B456_010G243700 transcript:KJB68411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLRKEPSPSESSSCILSTPDDQEQEAKPSLQLDLNLATSDCDRVFNQEELNLIDSLKNSTGSSDSTTTQPTDGEQRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGQRMGAHIAAFGHPYFHHHHHHNHYSSLASLPLNGAYNRSLGIQVHSMIHKPSHVSSSTGFYGRHSWSRPPIEQQPAIGKLSMENPHTTNIVSPAGKFNVMRTMMGGSQADEVIGNCWRSSGTSLNVNQEDQIHKVDLSLKL >KJB63376 pep chromosome:Graimondii2_0_v6:10:61755748:61757604:1 gene:B456_010G250100 transcript:KJB63376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKKVHNLALGMAVLVILLSWMAFPALACSYNGGGSNNKGCKDCIAEQMKYGCPRCVPLLRCMARCLWGGSSRSKCMRRCDCDGGKPTLSDCCG >KJB63377 pep chromosome:Graimondii2_0_v6:10:61755865:61756400:1 gene:B456_010G250100 transcript:KJB63377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKKVHNLALGMAVLVILLSWMAFPALACSYNGGGSNNKGCKDCIAEQMKYGCPRCVPLLRCMARCLWGGSSRSKCMRRCDCDGGKPTLSDCKKCMSRCKCSCVA >KJB65683 pep chromosome:Graimondii2_0_v6:10:20076326:20082178:1 gene:B456_010G108200 transcript:KJB65683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSFFLFILFFSSSLSPVFSLYEDQVGLMDWHQQYIGKVKQAVFSSQRAGRKRVVVSTEENVIASLDLRHGEIFWRHLLGADDVIDGIDIGLGKYVITLSSGGSILRSWNLPDGQMVWESSLQGPKHSKSFLLVPTNLKFDKDNVLVVFSNGRLHAISCIDGEVLWKKDFEAESFEVQQVIQPPGSDLIYVVGFAASYQFEMYQINAKNGELLKHESASFSSGFSGEVSLVSTETVVALDSTGSILLTISFQDGKISSQQTPISNLVEESLGPAVIIPSSVSGIFAIKTDAVTVFIRVIGEGKLEVVEKTTHEIAVSDALSISEDQEAFALIQHASSEIHLTVKLAHDWDGNLLKESVKMDRQRGLVHKVFINNYIRTDRSYGFRVLIVMEDHSLLLLQQGEIVWSREDGLASIIDVTTSELPVERNGVSVAKVEHNLFEWLKGHMLKLKGTLMLASPEDMAAIQSMRLKSSEKSKMTRDHNGFRKLLIVLTRAGKLFALHTGDGRIVWSHLLRSLHKSEACQSPIGLNLYQWQVPHHHAMDENPSVLVVSRCGPSSDAPGVLSFVDTYTGKELSSLSLDHAVVQVIPLPYTDSTEQRLHLLINADKHAHLYPKTSEALSIFKREFLNIYWYSVEDQNGIIKGHALKCKCTGEVADEFCFDTRDLWSVVFPSESEKIVATVTRKLNEVVHTQAKVVADQDVMYKYISRNLLFVATVAPKGSGEIGSVTPEESWLVAYLIDTVFSENWVVYHYFNLRAHRYEMSVIEIYDQSRADNKDVWKLVLGKHNLTSPISLFSRPEVITKSQSYFFTHSLKAIAVTSTAKGITSKQLLLGTIGDQVLALDKRFLDPRRTVNPTQAEKEEGIIPLTDSLPIIPQSYVTHALRVEGLRGIITVPAKLESTTLVFAHGVDLFFTQLAPSRTYDSLTEDFSYALLLITIVALVAAIFVTWRLSERKELQEKWR >KJB65684 pep chromosome:Graimondii2_0_v6:10:20076401:20080724:1 gene:B456_010G108200 transcript:KJB65684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSFFLFILFFSSSLSPVFSLYEDQVGLMDWHQQYIGKVKQAVFSSQRAGRKRVVVSTEENVIASLDLRHGEIFWRHLLGADDVIDGIDIGLGKYVITLSSGGSILRSWNLPDGQMVWESSLQGPKHSKSFLLVPTNLKFDKDNVLVVFSNGRLHAISCIDGEVLWKKDFEAESFEVQQVIQPPGSDLIYVVGFAASYQFEMYQINAKNGELLKHESASFSSGFSGEVSLVSTETVVALDSTGSILLTISFQDGKISSQQTPISNLVEESLGPAVIIPSSVSGIFAIKTDAVTVFIRVIGEGKLEVVEKTTHEIAVSDALSISEDQEAFALIQHASSEIHLTVKLAHDWDGNLLKESVKMDRQRGLVHKVFINNYIRTDRSYGFRVLIVMEDHSLLLLQQGEIVWSREDGLASIIDVTTSELPVERNGVSVAKVEHNLFEWLKGHMLKLKGTLMLASPEDMAAIQSMRLKSSEKSKMTRDHNGFRKLLIVLTRAGKLFALHTGDGRIVWSHLLRSLHKSEACQSPIGLNLYQWQVPHHHAMDENPSVLVVSRCGPSSDAPGVLSFVDTYTGKELSSLSLDHAVVQVIPLPYTDSTEQRLHLLINADKHAHLYPKTSEALSIFKREFLNIYWYSVEDQNGIIKGHALKCKCTGEVADEFCFDTRDLWSVVFPSESEKIVATVTRKLNEVVHTQAKVVADQDVMYKYISRNLLFVATVAPKGSGEIGSVTPEESWLVAYLIDTVTGRILHRVTHHGLQGPVHAVFSENWVVYHYFNLRAHRYEMSVIEIYDQSRAVRQQRRLEACSWKAQPYFTNFFIFST >KJB65685 pep chromosome:Graimondii2_0_v6:10:20076326:20082946:1 gene:B456_010G108200 transcript:KJB65685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSFFLFILFFSSSLSPVFSLYEDQVGLMDWHQQYIGKVKQAVFSSQRAGRKRVVVSTEENVIASLDLRHGEIFWRHLLGADDVIDGIDIGLGKYVITLSSGGSILRSWNLPDGQMVWESSLQGPKHSKSFLLVPTNLKFDKDNVLVVFSNGRLHAISCIDGEVLWKKDFEAESFEVQQVIQPPGSDLIYVVGFAASYQFEMYQINAKNGELLKHESASFSSGFSGEVSLVSTETVVALDSTGSILLTISFQDGKISSQQTPISNLVEESLGPAVIIPSSVSGIFAIKTDAVTVFIRVIGEGKLEVVEKTTHEIAVSDALSISEDQEAFALIQHASSEIHLTVKLAHDWDGNLLKESVKMDRQRGLVHKVFINNYIRTDRSYGFRVLIVMEDHSLLLLQQGEIVWSREDGLASIIDVTTSELPVERNGVSVAKVEHNLFEWLKGHMLKLKGTLMLASPEDMAAIQSMRLKSSEKSKMTRDHNGFRKLLIVLTRAGKLFALHTGDGRIVWSHLLRSLHKSEACQSPIGLNLYQWQVPHHHAMDENPSVLVVSRCGPSSDAPGVLSFVDTYTGKELSSLSLDHAVVQVIPLPYTDSTEQRLHLLINADKHAHLYPKTSEALSIFKREFLNIYWYSVEDQNGIIKGHALKCKCTGEVADEFCFDTRDLWSVVFPSESEKIVATVTRKLNEVVHTQAKVVADQDVMYKYISRNLLFVATVAPKGSGEIGSVTPEESWLVAYLIDTVTGRILHRVTHHGLQGPVHAVFSENWVVYHYFNLRAHRYEMSVIEIYDQSRADNKDVWKLVLGKHNLTSPISLFSRPEVITKSQSYFFTHSLKAIAVTSTAKGITSKQLLLGTIGDQVLALDKRFLDPRRTVNPTQAEKEEGIIPLTDSLPIIPQSYVTHALRVEGLRGIITVPAKLESTTLVFAHGVDLFFTQLAPSRTYDSLTEDFSYALLLITIVALVAAIFVTWRLSERKELQEKWSFYSAFASQSTFSSLFLCDN >KJB65682 pep chromosome:Graimondii2_0_v6:10:20076276:20082206:1 gene:B456_010G108200 transcript:KJB65682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSFFLFILFFSSSLSPVFSLYEDQVGLMDWHQQYIGKVKQAVFSSQRAGRKRVVVSTEENVIASLDLRHGEIFWRHLLGADDVIDGIDIGLGKYVITLSSGGSILRSWNLPDGQMVWESSLQGPKHSKSFLLVPTNLKFDKDNVLVVFSNGRLHAISCIDGEVLWKKDFEAESFEVQQVIQPPGSDLIYVVGFAASYQFEMYQINAKNGELLKHESASFSSGFSGEVSLVSTETVVALDSTGSILLTISFQDGKISSQQTPISNLVEESLGPAVIIPSSVSGIFAIKTDAVTVFIRVIGEGKLEVVEKTTHEIAVSDALSISEDQEAFALIQHASSEIHLTVKLAHDWDGNLLKESVKMDRQRGLVHKVFINNYIRTDRSYGFRVLIVMEDHSLLLLQQGEIVWSREDGLASIIDVTTSELPVERNGVSVAKVEHNLFEWLKGHMLKLKGTLMLASPEDMAAIQSMRLKSSEKSKMTRDHNGFRKLLIVLTRAGKLFALHTGDGRIVWSHLLRSLHKSEACQSPIGLNLYQWQVPHHHAMDENPSVLVVSRCGPSSDAPGVLSFVDTYTGKELSSLSLDHAVVQVIPLPYTDSTEQRLHLLINADKHAHLYPKTSEALSIFKREFLNIYWYSVEDQNGIIKGHALKCKCTGEVADEFCFDTRDLWSVVFPSESEKIVATVTRKLNEVVHTQAKVVADQDVMYKYISRNLLFVATVAPKGSGEIGSVTPEESWLVAYLIDTVTGRILHRVTHHGLQGPVHAVFSENWVVYHYFNLRAHRYEMSVIEIYDQSRADNKDVWKLVLGKHNLTSPISLFSRPEVITKSQSYFFTHSLKAIAVTSTAKGITSKQLLLGTIGDQVLALDKRFLDPRRTVNPTQAEKEEGIIPLTDSLPIIPQSYVTHALRVEGLRGIITVPAKLESTTLVFAHGVDLFFTQLAPSRTYDSLTEDFSYALLLITIVALVAAIFVTWRLSERKELQEKWR >KJB68606 pep chromosome:Graimondii2_0_v6:10:61988423:61994744:-1 gene:B456_010G254700 transcript:KJB68606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGLTAHRSNCTAMEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIQFTPDGRWVVSGGFDNVVKVWDLTAGKLLNEFKFHEGHIRSIDFHPLEFLLATGSADGTVKFWDLETFELIGSSRPEATGVCSITFHPDGKTLFCGLDDGLKVYSWEPVVCHDSLDIRWSTLGDLCINEGKLLGFSYYRNSVGVWGADVSHIEPYGRNDHSEKKFNLEGSYSSEKAGSGMRSTLGSRPLSLDYETKEIKNIYVDTGSNTIAAPKDGSLSSTEVVLPLDAGEISNPEAEEQSPGTGVNAKSNGQSGNNPVVKETTNSGKESITFSRTKPGMLLRPVHARKPSVNKFEVEKLSAVVESGRLDTAMDKNSRTSLVLEDGAKKPCDEKDSNIETVTEKPEKMLSPQTPSNQETRNESLDHNKGSNSVKFVNGVAVVRGRTRSLVERFERRDLLNCSGDLATDSIAPAVLEADKTPAIIEGGTKISKTQPISANDVSTAESQSSRTEPASSCEGGITASPIPTRESTPTSIGIISGDQISRRESTFTPGRMIARNQNSRKESTFASDRIVTINRISRRESTSTSDRVITKNQITRNELTSISDGIISRNQHSRKEAAAANDMSGPNGLHTENQVSRRGFNSGNDRNVTNIESQISKDESISTNDGNLTESLMQTHDTFLSTLRSRLTKLQVVRHFWEKNDIKGSIGALRKLPDHSVQADVIGVLMEKMEILNLDLFSGLLPVLMGLLDSKMERHTNISLEMLLKLVAVFGPMIRSTVSARRSVGVDLHAEQRRECCNQCFMQLQKIQKLLPPLVRRGGTIARGAQELNLALQE >KJB68609 pep chromosome:Graimondii2_0_v6:10:61988739:61994643:-1 gene:B456_010G254700 transcript:KJB68609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGYKLQEFVAHTANVNCLSMGKKTRRLFITGGDDHKVNVWSIGKPTSLMSLCGHTSPVESLAFDLAEVFVLAGASTGVIKLWDLEETKMVRGLTAHRSNCTAMEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIQFTPDGRWVVSGGFDNVVKVWDLTAGKLLNEFKFHEGHIRSIDFHPLEFLLATGSADGTVKFWDLETFELIGSSRPEATGVCSITFHPDGKTLFCGLDDGLKVYSWEPVVCHDSLDIRWSTLGDLCINEGKLLGFSYYRNSVGVWGADVSHIEPYGRNDHSEKKFNLEGSYSSEKAGSGMRSTLGSRPLSLDYETKEIKNIYVDTAGSNTIAAPKDGSLSSTEVVLPLDAGEISNPEAEEQSPGTGVNAKSNGQSGNNPVVKETTNSGKESITFSRTKPGMLLRPVHARKPSVNKFEVEKLSAVVESGRLDTAMDKNSRTSLVLEDGAKKPCDEKDSNIETVTEKPEKMLSPQTPSNQETRNESLDHNKGSNSVKFVNGVAVVRGRTRSLVERFERRDLLNCSGDLATDSIAPAVLEADKTPAIIEGGTKISKTQPISANDVSTAESQSSRTEPASSCEGGITASPIPTRESTPTSIGIISGDQISRRESTFTPGRMIARNQNSRKESTFASDRIVTINRISRRESTSTSDRVITKNQITRNELTSISDGIISRNQHSRKEAAAANDMSGPNGLHTENQVSRRGFNSGNDRNVTNIESQISKDESISTNDGNLTESLMQTHDTFLSTLRSRLTKLQVVRHFWEKNDIKGSIGALRKLPDHSVQADVIGVLMEKMEILNLDLFSGLLPVLMGLLDSKMERHTNISLEMLLKLVAVFGPMIRSTVSARRSVGVDLHAEQRRECCNQCFMQLQKIQKLLPPLVRRGGTIARGAQELNLALQE >KJB68608 pep chromosome:Graimondii2_0_v6:10:61988423:61995236:-1 gene:B456_010G254700 transcript:KJB68608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGYKLQEFVAHTANVNCLSMGKKTRRLFITGGDDHKVNVWSIGKPTSLMSLCGHTSPVESLAFDLAEVFVLAGASTGVIKLWDLEETKMVRGLTAHRSNCTAMEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIQFTPDGRWVVSGGFDNVVKVWDLTAGKLLNEFKFHEGHIRSIDFHPLEFLLATGSADGTVKFWDLETFELIGSSRPEATGVCSITFHPDGKTLFCGLDDGLKVYSWEPVVCHDSLDIRWSTLGDLCINEGKLLGFSYYRNSVGVWGADVSHIEPYGRNDHSEKKFNLEGSYSSEKAGSGMRSTLGSRPLSLDYETKEIKNIYVDTGSNTIAAPKDGSLSSTEVVLPLDAGEISNPEAEEQSPGTGVNAKSNGQSGNNPVVKETTNSGKESITFSRTKPGMLLRPVHARKPSVNKFEVEKLSAVVESGRLDTAMDKNSRTSLVLEDGAKKPCDEKDSNIETVTEKPEKMLSPQTPSNQETRNESLDHNKGSNSVKFVNGVAVVRGRTRSLVERFERRDLLNCSGDLATDSIAPAVLEADKTPAIIEGGTKISKTQPISANDVSTAESQSSRTEPASSCEGGITASPIPTRESTPTSIGIISGDQISRRESTFTPGRMIARNQNSRKESTFASDRIVTINRISRRESTSTSDRVITKNQITRNELTSISDGIISRNQHSRKEAAAANDMSGPNGLHTENQVSRRGFNSGNDRNVTNIESQISKDESISTNDGNLTESLMQTHDTFLSTLRSRLTKLQVVRHFWEKNDIKGSIGALRKLPDHSVQADVIGVLMEKMEILNLDLFSGLLPVLMGLLDSKMERHTNISLEMLLKLVAVFGPMIRSTVSARRSVGVDLHAEQRRECCNQCFMQLQKIQKLLPPLVRRGGTIARGAQELNLALQE >KJB68607 pep chromosome:Graimondii2_0_v6:10:61988423:61993901:-1 gene:B456_010G254700 transcript:KJB68607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIQFTPDGRWVVSGGFDNVVKVWDLTAGKLLNEFKFHEGHIRSIDFHPLEFLLATGSADGTVKFWDLETFELIGSSRPEATGVCSITFHPDGKTLFCGLDDGLKVYSWEPVVCHDSLDIRWSTLGDLCINEGKLLGFSYYRNSVGVWGADVSHIEPYGRNDHSEKKFNLEGSYSSEKAGSGMRSTLGSRPLSLDYETKEIKNIYVDTGSNTIAAPKDGSLSSTEVVLPLDAGEISNPEAEEQSPGTGVNAKSNGQSGNNPVVKETTNSGKESITFSRTKPGMLLRPVHARKPSVNKFEVEKLSAVVESGRLDTAMDKNSRTSLVLEDGAKKPCDEKDSNIETVTEKPEKMLSPQTPSNQETRNESLDHNKGSNSVKFVNGVAVVRGRTRSLVERFERRDLLNCSGDLATDSIAPAVLEADKTPAIIEGGTKISKTQPISANDVSTAESQSSRTEPASSCEGGITASPIPTRESTPTSIGIISGDQISRRESTFTPGRMIARNQNSRKESTFASDRIVTINRISRRESTSTSDRVITKNQITRNELTSISDGIISRNQHSRKEAAAANDMSGPNGLHTENQVSRRGFNSGNDRNVTNIESQISKDESISTNDGNLTESLMQTHDTFLSTLRSRLTKLQVVRHFWEKNDIKGSIGALRKLPDHSVQADVIGVLMEKMEILNLDLFSGLLPVLMGLLDSKMERHTNISLEMLLKLVAVFGPMIRSTVSARRSVGVDLHAEQRRECCNQCFMQLQKIQKLLPPLVRRGGTIARGAQELNLALQE >KJB63503 pep chromosome:Graimondii2_0_v6:10:132897:135800:1 gene:B456_010G0030001 transcript:KJB63503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELVKKYSEFINFPIYIWASKEVDVEVPADEDEPSDEEETSDSSSSEEGEDEDAEKSEDDDAEKKSKTKKVKETTYKWELLNDVKAIWLRSPKEVTDEEYTKFYHSLAKDFSDEKPLAWSHFTAEGDVEFKAVLFVPPKAPQDLYESYYNTNKANLKLYVRRVFISEEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQAHSSLKTIKKKLIRKALDMIRKIAEEDPDESSGKDEKEVETSGDDDEKKGQYTKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLTSLDQYISRMKSGQKDIFYITGTSKEQLEKSPFLERLKKKNYEVIFFTDPVDEYLMQYLMDYEGKQFQNVSKEGLKIGKEKNKELKESFKELTKWWKNALASDNVDEVKISNRLDNTPCVVVTSKYGWSANMERIMQSQTLSDSSKQAYMRGKRILEINPRHPIIKELRERVAKDSEDEGVKQTAQLIYQTALMESGFNLPEPKDFASRIYSSVKSSLNISPDATIEDDDDVEETETESETKDSAVKDEL >KJB63502 pep chromosome:Graimondii2_0_v6:10:131493:135837:1 gene:B456_010G0030001 transcript:KJB63502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKVQANAEEGAVDPPKVEEKIGAVPHGLQTDSDVVKRESESISSRSLRNNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTSKLDIQIKLDKEKKILSLRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQSSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAQEYLEESKLKELVKKYSEFINFPIYIWASKEVDVEVPADEDEPSDEEETSDSSSSEEGEDEDAEKSEDDDAEKKSKTKKVKETTYKWELLNDVKAIWLRSPKEVTDEEYTKFYHSLAKDFSDEKPLAWSHFTAEGDVEFKAVLFVPPKAPQDLYESYYNTNKANLKLYVRRVFISEEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQAHSSLKTIKKKLIRKALDMIRKIAEEDPDESSGKDEKEVETSGDDDEKKGQYTKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLTSLDQYISRMKSGQKDIFYITGTSKEQLEKSPFLERLKKKNYEVIFFTDPVDEYLMQYLMDYEGKQFQNVSKEGLKIGKEKNKELKESFKELTKWWKNALASDNVDEVKISNRLDNTPCVVVTSKYGWSANMERIMQSQTLSDSSKQAYMRGKRILEINPRHPIIKELRERVAKDSEDEGVKQTAQLIYQTALMESGFNLPEPKDFASRIYSSVKSSLNISPDATIEDDDDVEETETESETKDSAVKDEL >KJB63505 pep chromosome:Graimondii2_0_v6:10:133142:135837:1 gene:B456_010G0030001 transcript:KJB63505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSSSSEEGEDEDAEKSEDDDAEKKSKTKKVKETTYKWELLNDVKAIWLRSPKEVTDEEYTKFYHSLAKDFSDEKPLAWSHFTAEGDVEFKAVLFVPPKAPQDLYESYYNTNKANLKLYVRRVFISEEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQAHSSLKTIKKKLIRKALDMIRKIAEEDPDESSGKDEKEVETSGDDDEKKGQYTKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLTSLDQYISRMKSGQKDIFYITGTSKEQLEKSPFLERLKKKNYEVIFFTDPVDEYLMQYLMDYEGKQFQNVSKEGLKIGKEKNKELKESFKELTKWWKNALASDNVDEVKISNRLDNTPCVVVTSKYGWSANMERIMQSQTLSDSSKQAYMRGKRILEINPRHPIIKELRERVAKDSEDEGVKQTAQLIYQTALMESGFNLPEPKDFASRIYSSVKSSLNISPDATIEDDDDVEETETESETKDSAVKDEL >KJB63504 pep chromosome:Graimondii2_0_v6:10:132897:135837:1 gene:B456_010G0030001 transcript:KJB63504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELVKKYSEFINFPIYIWASKEVDVEVPADEDEPSDEEETSDSSSSEEGEDEDAEKSEDDDAEKKSKTKKVKETTYKWELLNDVKAIWLRSPKEVTDEEYTKFYHSLAKDFSDEKPLAWSHFTAEGDVEFKAVLFVPPKAPQDLYESYYNTNKANLKLYVRRVFISEEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQAHSSLKTIKKKLIRKALDMIRKIAEEDPDESSGKDEKEVETSGDDDEKKGQYTKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLTSLDQYISRMKSGQKDIFYITGTSKEQLEKSPFLERLKKKNYEVIFFTDPVDEYLMQYLMDYEGKQFQNVSKEGLKIGKEKNKELKESFKELTKWWKNALASDNVDEVKISNRLDNTPCVVVTSKYGWSANMERIMQSQTLSDSSKQAYMRGKRILEINPRHPIIKELRERVAKDSEDEGVKQTAQLIYQTALMESGFNLPEPKDFASRIYSSVKSSLNISPDATIEDDDDVEETETESETKDSAVKDEL >KJB63501 pep chromosome:Graimondii2_0_v6:10:131287:135837:1 gene:B456_010G0030001 transcript:KJB63501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRFQKKKKKKNKQRWPEQTMRKWAIPSALVLLCLLSLLSDHGRKVQANAEEGAVDPPKVEEKIGAVPHGLQTDSDVVKRESESISSRSLRNNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTSKLDIQIKLDKEKKILSLRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQSSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAQEYLEESKLKELVKKYSEFINFPIYIWASKEVDVEVPADEDEPSDEEETSDSSSSEEGEDEDAEKSEDDDAEKKSKTKKVKETTYKWELLNDVKAIWLRSPKEVTDEEYTKFYHSLAKDFSDEKPLAWSHFTAEGDVEFKAVLFVPPKAPQDLYESYYNTNKANLKLYVRRVFISEEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQAHSSLKTIKKKLIRKALDMIRKIAEEDPDESSGKDEKEVETSGDDDEKKGQYTKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLTSLDQYISRMKSGQKDIFYITGTSKEQLEKSPFLERLKKKNYEVIFFTDPVDEYLMQYLMDYEGKQFQNVSKEGLKIGKEKNKELKESFKELTKWWKNALASDNVDEVKISNRLDNTPCVVVTSKYGWSANMERIMQSQTLSDSSKQAYMRGKRILEINPRHPIIKELRERVAKDSEDEGVKQTAQLIYQTALMESGFNLPEPKDFASRIYSSVKSSLNISPDATIEDDDDVEETETESETKDSAVKDEL >KJB66385 pep chromosome:Graimondii2_0_v6:10:32247304:32249135:1 gene:B456_010G138400 transcript:KJB66385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKAFLTEHGVNLLGRRFLPALDKMGKICHLFLTRDHAIFLHNLLNGDGVQCIAQFRKEALFDDYRISSQNEDRIAFAIDVSLLLRAVRSSVSICTEFGNGPSANRLQIKLVKKLPLNCTQPMPFLTFETKGYKSAVIQDVPISKPLSRAQVLELQTALELAQDIPQTLVRVPDLNQLQNFVERMKQVGDLLNVSISKYGDLHVQISTTLITLGAEFRKLLVIGEQAEAPSDDRNQSAQTRSERAISRGDAQRVQVSVKHFSRSLHCHLAKPDCTFYGIAPHGSCLTVIFQFFIPGTHQTDKSISLHCRLPVLDPGSS >KJB66386 pep chromosome:Graimondii2_0_v6:10:32247269:32249290:1 gene:B456_010G138400 transcript:KJB66386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKAFLTEHGVNLLGRRFLPALDKMGKICHLFLTRDHAIFLHNLLNGDGVQCIAQFRKEALFDDYRISSQNEDRIAFAIDVSLLLRAVRSSVSICTEFGNGPSANRLQIKLVKKLPLNCTQPMPFLTFETKGYKSAVIQDVPISKPLSRAQVLELQTALELAQDIPQTLVRVPDLNQLQNFVERMKQVGDLLNVSISKYGDLHVQISTTLITLGAEFRKLLVIGEQAEAPSDDRNQSAQTRSERAISRGDAQRVQVSVKHFSRSLHCHLAKPDCTFYGIAPHGSCLTVIFQFFIPGTHQTDKSISLHCRLPVLDPGSS >KJB66785 pep chromosome:Graimondii2_0_v6:10:44596485:44599988:1 gene:B456_010G158300 transcript:KJB66785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGKVRLAMGFQKSSANSKHDTPSKPPSPSPSSGNKNTTSAKAVFSRSFGVYFPRSSAQVQPRPPDVTELLRLVEELRERESRLRTELLEHKLLKESVAIVPVLENEIVVKNAELGRALEEIEGLRNKNAMLKTEVEEMKGKIEDEREEKEKKVREMEEEIAELKETVSSSSSGRNSKAEITAGTDELISSSQQFQGFVEVSVKSNLIKNFKRNNSNNKCTNAIVFSAFSNEKVESSEFKREEIENERPRHSRSKSEELVESTSVNIRSRVPRVPKPPPRPSSSSSSSSTSSNSSSDSTEKQTSPPPPPPPPPPPPPQAAVKQVAPPPPPPPPIKAIAPPPPPPPQKGMRTIAAKVRRVPEVVEFYHSLMRRESKREAGGCSVPEVLPATANSRDMIGEIENRSTHLLAIKTDVETQGDFIRFLIKEVENAAFRDIEDVVPFVKWLDDELSYLVDERAVLKHFEWPEQKADALREAAFGYCDLKKLESEASLFRDDPRQPCAPALKKMQALLEKYIILMLFVAFIFLMNLF >KJB66784 pep chromosome:Graimondii2_0_v6:10:44596344:44600153:1 gene:B456_010G158300 transcript:KJB66784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGKVRLAMGFQKSSANSKHDTPSKPPSPSPSSGNKNTTSAKAVFSRSFGVYFPRSSAQVQPRPPDVTELLRLVEELRERESRLRTELLEHKLLKESVAIVPVLENEIVVKNAELGRALEEIEGLRNKNAMLKTEVEEMKGKIEDEREEKEKKVREMEEEIAELKETVSSSSSGRNSKAEITAGTDELISSSQQFQGFVEVSVKSNLIKNFKRNNSNNKCTNAIVFSAFSNEKVESSEFKREEIENERPRHSRSKSEELVESTSVNIRSRVPRVPKPPPRPSSSSSSSSTSSNSSSDSTEKQTSPPPPPPPPPPPPPQAAVKQVAPPPPPPPPIKAIAPPPPPPPQKGMRTIAAKVRRVPEVVEFYHSLMRRESKREAGGCSVPEVLPATANSRDMIGEIENRSTHLLAIKTDVETQGDFIRFLIKEVENAAFRDIEDVVPFVKWLDDELSYLVDERAVLKHFEWPEQKADALREAAFGYCDLKKLESEASLFRDDPRQPCAPALKKMQALLEKLEHGVYNLSRMRESATSRYKGFQIPMDWMLETGIVCQIKLASVKLAMKYMRRVSAELEAVGGGPEEKELIVQGVRFAFRVHQFAGGFDVETMRAFQELRDKVSSCHVQCQNQEQNPICRSTSC >KJB63962 pep chromosome:Graimondii2_0_v6:10:2182772:2184706:1 gene:B456_010G027100 transcript:KJB63962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTIPSKPARQLGELLEEQQEPFILEVYLSEKGCGAKNLTPIHGGSTKNKTKKGIPKHIPKVLKLIPCNKFFTSKGLRRTNNPNDEDGKTDTFSCSALCNSSSDSDIDEEEASSSSMSSKMAEKAVVDTNMEDTKQHSPQSVLEAMSTSTGSNTMQKSFILPKLITEESILSATLWNLLLQTTDSEQSSGSSPFSMSKRVLQQTKQLLLDCVRELVDHNNGCMKEGKGKRYLGSEEIGNVICEKIKGWGKQRGDETNIQEMLELDVIETKQEWEGFEIESYKKEMGICIGNAIVEELIGDVVMDMIDGLTLLDNIT >KJB67117 pep chromosome:Graimondii2_0_v6:10:51325236:51329126:1 gene:B456_010G175400 transcript:KJB67117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERRGNIKAENEMNINMKEEQSTLDWRGRPSNPNKHGDFQAASFVLGLQAFEIMAIAAVGNNLITYVINEMHFSLSNSANIVTNFVGTIFLLALLGGYLSDSFLGSFWTMLIFGFVELSGLILLSVQAHVPQLKPPKCNMVTDGEKCEEAKGVKGLIFFVELYLVALGSGCVKPNMIAHGADQFNIQTKKLSTYFNAAYFAFSVGELFALTLLIWIQTHAGMYVGFGLSAAAMAMGLITLVSGTLYYRNKPPQGSIFTPVAQVLVAAILKRKQICPSNPNSNCSMLQTQRFRFLDKACIKNEDGNNRRKESPWRLCSVSQVEKLKILIAVIPIFGCTIVFNTILAQLQTFSVQQGSAMDTQLTNSFHIPPASLQSIPYIMLIFILPLYHKFFVPFATNITGHESGISPFQRIGSGLFLATFSMISAALLEKKRRESALHSGKTISIFWITPQFLIFGLSEMLTAVGLIEFFYKQSLNGMQATFTAITYCSYSFGFYLSSVLVSLVNKITSASSNAGGWLSYNDLNKNRLDLFYWLLAVLSFLNFLNYLFWARWHSHHSSPPPQPTALSKDGGYENIP >KJB64323 pep chromosome:Graimondii2_0_v6:10:4283063:4285209:-1 gene:B456_010G043200 transcript:KJB64323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMDFCSSSSDIYGGELMEALEPFMKSASSSSSSSPSPSPSPSSYSPSPSPSPSPSTSYLSFSSSQTQPNFYADGCCYSPAVDQFLGVQQPQLGSTIGLNNLTQAQINQIQAQFLFQNNQPSYLYQNPQLNANPNTNHMLSFLGPKPVPMKQMGSPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLRHQGSHIGGDFGEYKPLHSSVDAKLQAICESLELNQKQGNNNKKKKSSKENKVQLAEPEEKTVKVENSPSSLSPVLSENEGSTESSPLSDLTFSDFNEQPWPEVVTSSESFMLSKYPSYEIDWDSILKA >KJB66487 pep chromosome:Graimondii2_0_v6:10:34914524:34940903:-1 gene:B456_010G141500 transcript:KJB66487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFAQNAAVNCHQGKSVNPPEEAAVIDKKLSREQKAILETRLAQFEATLSTSPEDETALEGAAVTLTELGDYARATSLLQELVKVKTSDPEVFRLLGEVKYELKDYDGSAAAYKLSAAVSKDVDFEVLRGYTNALLAAKRPAEAVQFLLSCRERLNMERSTGPDPMAESSKMETEPQNLDRIQVDLLLGKAYSDWGHVSDAVAIYDQLISSNPNDFRGYLAKGIILKENGNVGDAERMFIQAKRWCCAGSIFCPGES >KJB66486 pep chromosome:Graimondii2_0_v6:10:34914138:34949059:-1 gene:B456_010G141500 transcript:KJB66486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIAATSTSSSTLSFFQIQCSDSNRKRGFGPRKSNQKATSKEEKGFNLQQRKLASKQSGPSPAAAPGLSVQFDGKSNSRSLDIDFEERLKAIRRAALEQKKVEEQKEFGPIDYDAPVESEKKTIGLGTKIGVGIAVAVFGLVFSLGDFLPGSVNPPEEAAVIDKKLSREQKAILETRLAQFEATLSTSPEDETALEGAAVTLTELGDYARATSLLQELVKVKTSDPEVFRLLGEVKYELKDYDGSAAAYKLSAAVSKDVDFEVLRGYTNALLAAKRPAEAVQFLLSCRERLNMERSTGPDPMAESSKMETEPQNLDRIQVDLLLGKAYSDWGHVSDAVAIYDQLISSNPNDFRGYLAKGIILKENGNVGDAERMFIQARFFAPEKAKALVDRYSRQRKFM >KJB66488 pep chromosome:Graimondii2_0_v6:10:34914189:34940955:-1 gene:B456_010G141500 transcript:KJB66488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFAQNAAVNCHQGKSVNPPEEAAVIDKKLSREQKAILETRLAQFEATLSTSPEDETALEGAAVTLTELGDYARATSLLQELVKVKTSDPEVFRLLGEVKYELKDYDGSAAAYKLSAAVSKDVDFEVLRGYTNALLAAKRPAEAVQFLLSCRERLNMERSTGPDPMAESSKMETEPQNLDRIQVDLLLGKAYSDWGHVSDAVAIYDQLISSNPNDFRGYLAKGIILKENGNVGDAERMFIQARFFAPEKAKALVDRYSRQRKFM >KJB66489 pep chromosome:Graimondii2_0_v6:10:34914189:34948961:-1 gene:B456_010G141500 transcript:KJB66489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIAATSTSSSTLSFFQIQCSDSNRKRGFGPRKSNQKATSKEEKGFNLQQRKLASKQSGPSPAAAPGLSVQFDGKSNSRSLDIDFEERLKAIRRAALEQKKVEEQKEFGPIDYDAPVESEKKTIGLGTKIGVGIAVAVFGLVFSLGDFLPGSVNPPEEAAVIDKKLSREQKAILETRLAQFEATLSTSPEDETALEGAAVTLTELGDYARATSLLQELVKVKTSDPEVFRLLGEVKYELKDYDGSAAAYKLSAAVSKDVDFEVLRGYTNALLAAKRPAEAVQFLLSCRERLNMERSTGPDPMAESSKMETEPQNLDRIQVDLLLGKAYSDWGHVSDAVAIYDQLISSNPNDFRGYLAKGIILKENGNVGDAERMFIQAKRWCCAGSIFCPGES >KJB66490 pep chromosome:Graimondii2_0_v6:10:34914246:34948961:-1 gene:B456_010G141500 transcript:KJB66490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIAATSTSSSTLSFFQIQCSDSNRKRGFGPRKSNQKATSKEEKGFNLQQRKLASKQSGPSPAAAPGLSVQFDGKSNSRSLDIDFEERLKAIRRAALEQKKVEEQKEFGPIDYDAPVESEKKTIGLGTKIGVGIAVAVFGLVFSLGDFLPGSVNPPEEAAVIDKKLSREQKAILETRLAQFEATLSTSPEDETALEGAAVTLTELGDYARATSLLQELVKVKTSDPEVFRLLGEVKYELKDYDGSAAAYKLSAAVSKDVDFEVLRGYTNALLAAKRPAEAVQFLLSCRERLNMERSTGPDPMAESSKMETEPQNLDRIQVDLLLGKAYSDWGHVSDAVAIYDQLISSNPNDFRGNYFERKWKCW >KJB66485 pep chromosome:Graimondii2_0_v6:10:34914481:34948735:-1 gene:B456_010G141500 transcript:KJB66485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIAATSTSSSTLSFFQIQCSDSNRKRGFGPRKSNQKATSKEEKGFNLQQRKLASKQSGPSPAAAPGLSVQFDGKSNSRSLDIDFEERLKAIRRAALEQKKVEEQKEFGPIDYDAPVESEKKTIGLGTKIGVGIAVAVFGLVFSLGDFLPGSVNPPEEAAVIDKKLSREQKAILETRLAQFEATLSTSPEDETALEGAAVTLTELGDYARATSLLQELVKVKTSDPEVFRLLGEVKYELKDYDGSAAAYKLSAAVSKDVDFEVLRGYTNALLAAKRPAEHCKLIQKLNYKAVQFLLSCRERLNMERSTGPDPMAESSKMETEPQNLDRIQVDLLLGKAYSDWGHVSDAVAIYDQLISSNPNDFRGYLAKGIILKENGNVGDAERMFIQARFFAPEKAKALVDRYSRQRKFM >KJB64060 pep chromosome:Graimondii2_0_v6:10:2675179:2680912:-1 gene:B456_010G031200 transcript:KJB64060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVIVSPLLQVVFEKLANPLINEIANRLGLKKEVKKLQRILFIIQAVLADAEEQQLTNKALTIWLTELKEVAYEMEDLLDEFSLQSIQYRDHSTIAQQLRLQELLLGKRYLLVLDDVWNEDDVEWEKLRMSLRNGVEGSRIVVTTRSKKVALIMESVYTHQLEGLSDDDCWGLFKQRAFGSNGKEHHNLFPIGKQIVKKCGGVPLAAKTLGSLMRFKRNEREWLIVQESDLWDVSQTEHGILPALRLSYSHLPSHLKACFAYCAIFPRNYIIKREKLIQLWIAAGVIQSPEGRRSLEYLGNEYFEDLVWMFFFQDVQRSGSGYITHCKMHDLIHDLAQSIVGHEFKRLEHDNMTEDLSEVRHSTVVCNFNLYTVPEALYAAKKLRSLLLLLPKGDLGEVPSEIFSSFRHLRVLDLSGSGIKKLHDSISSTIFLRYLDISNTHIENLPEGICNLRNLQVLNLSDCYNLTALPCDIVKLYKLRHLMINGCERLITMPPWIGKLEYLRTLHTFIVGNGEGQHLNQLQNLNLGGELNIRQLQNVRDATEAMEANLIGKRNLQSLSLCWESDVNSLNDSISNDDWLEVLNHLQPHQFLEKLSIRGYQGIYLPRWMTVQKPNIIELKLINCHRCKYLPLLGELPRLKVLYLQGMEAVKNIGAEFYGESTGRPFPSLEVLTLIDFPSLEFWWGFNRREEFPSLVKLTIKKCSKLQNMPWMPSLQHLELHSCNDMVLRSASNLTSLSTLVVADFVEHLIFLEKLLQNNPLLMSLKISSCPKLHSIPPSLGKLTSLKSLAICWCEQLHSLPRGLQNLTLLESLEIIECPSLVSLSENIQGLRSLRSLSIEMCSNLKSLPIELQFLTALEHLTIMYCPNLASLPDSFQHLSSFKSLSILNCPELKCLPNGLQYVSSMQNLEIRSCPGLLALPEWISELPSLRSLALSDCHNLSSLPSGLQSFVSLQHLSILECPALEERCRKDIGEDWPKLSHVAHVYIGSRESQGSSSH >KJB66080 pep chromosome:Graimondii2_0_v6:10:26748234:26751573:1 gene:B456_010G126500 transcript:KJB66080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEEAKSINLSLSALGKCINALSENSAHVPIRDSKLTRLLRDSFGGTAKTSLIVTIGPSPRHRGETASTILFGQRAMKVENMLRIKEEFDYKSLARRLEIQLDKLIAENERQ >KJB66275 pep chromosome:Graimondii2_0_v6:10:30241499:30247092:-1 gene:B456_010G134700 transcript:KJB66275 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 4-phosphate cytidylyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02500) UniProtKB/Swiss-Prot;Acc:P69834] MGLLLFNNAPTCTISTQFFPTKSTHVTANSFPSFSHFHVSFPSSGQKVGLRRRARVRTYGIKCSAKVDYVKGSAVVNEKSVSVILLAGGKGKRMGASMPKQYLPLLGQPIALYSLYTFSRMIEVKEIVVVCDPSYKDIFEEAKDKINVDLKFTLPGKERQDSVYSGLQAVDLNSELVCIHDSARPLVRSGDVEKVLKDGWLIGAAVLGVPVKATIKEANSDSYVVKTLDRKTLWEMQTPQVIKPELLRKGFELVHSETGLHAGLAQNRLGHGFFLQRGLSLNPDRPMDSSSPNYLLTIFF >KJB66272 pep chromosome:Graimondii2_0_v6:10:30239748:30247101:-1 gene:B456_010G134700 transcript:KJB66272 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 4-phosphate cytidylyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02500) UniProtKB/Swiss-Prot;Acc:P69834] MGLLLFNNAPTCTISTQFFPTKSTHVTANSFPSFSHFHVSFPSSGQKVGLRRRARVRTYGIKCSAKGSAVVNEKSVSVILLAGGKGKRMGASMPKQYLPLLGQPIALYSLYTFSRMIEVKEIVVVCDPSYKDIFEEAKDKINVDLKFTLPGKERQDSVYSGLQAVDLNSELVCIHDSARPLVRSGDVEKVLKDGWLIGAAVLGVPVKATIKEANSDSYVVKTLDRKTLWEMQTPQVIKPELLRKGFELVHRGGLEVTDDVSIVEHLKHPVYVTEGCYTNIKVTTPDDLLLAERILNMSSAEPPK >KJB66276 pep chromosome:Graimondii2_0_v6:10:30241460:30247174:-1 gene:B456_010G134700 transcript:KJB66276 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 4-phosphate cytidylyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02500) UniProtKB/Swiss-Prot;Acc:P69834] MGLLLFNNAPTCTISTQFFPTKSTHVTANSFPSFSHFHVSFPSSGQKVGLRRRARVRTYGIKCSAKVDYGSAVVNEKSVSVILLAGGKGKRMGASMPKQYLPLLGQPIALYSLYTFSRMIEVKEIVVVCDPSYKDIFEEAKDKINVDLKFTLPGKERQDSVYSGLQAVDLNSELVCIHDSARPLVRSGDVEKVLKDGWLIGAAVLGVPVKATIKEANSDSYVVKTLDRKTLWEMQTPQVIKPELLRKGFELVHSETGLHAGLAQNRLGHGFFLQRGLSLNPDRPMDSSSPNYLLTIFF >KJB66273 pep chromosome:Graimondii2_0_v6:10:30239748:30247119:-1 gene:B456_010G134700 transcript:KJB66273 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 4-phosphate cytidylyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02500) UniProtKB/Swiss-Prot;Acc:P69834] MGLLLFNNAPTCTISTQFFPTKSTHVTANSFPSFSHFHVSFPSSGQKVGLRRRARVRTYGIKCSAKVDYVKGSAVVNEKSVSVILLAGGKGKRMGASMPKQYLPLLGQPIALYSLYTFSRMIEVKEIVVVCDPSYKDIFEEAKDKINVDLKFTLPGKERQDSVYSGLQAVDLNSELVCIHDSARPLVRSGDVEKVLKDGWLIGAAVLGVPVKATIKEANSDSYVVKTLDRKTLWEMQTPQVIKPELLRKGFELVHRGGLEVTDDVSIVEHLKHPVYVTEGCYTNIKVTTPDDLLLAERILNMSSAEPPK >KJB66274 pep chromosome:Graimondii2_0_v6:10:30239748:30247174:-1 gene:B456_010G134700 transcript:KJB66274 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 4-phosphate cytidylyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02500) UniProtKB/Swiss-Prot;Acc:P69834] MGLLLFNNAPTCTISTQFFPTKSTHVTANSFPSFSHFHVSFPSSGQKVGLRRRARVRTYGIKCSAKVDYGSAVVNEKSVSVILLAGGKGKRMGASMPKQYLPLLGQPIALYSLYTFSRMIEVKEIVVVCDPSYKDIFEEAKDKINVDLKFTLPGKERQDSVYSGLQAVDLNSELVCIHDSARPLVRSGDVEKVLKDGWLIGAAVLGVPVKATIKEANSDSYVVKTLDRKTLWEMQTPQVIKPELLRKGFELVHRGGLEVTDDVSIVEHLKHPVYVTEGCYTNIKVTTPDDLLLAERILNMSSAEPPK >KJB64581 pep chromosome:Graimondii2_0_v6:10:6284221:6290898:-1 gene:B456_010G054900 transcript:KJB64581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGNKRRSNNNKNKNSNSNSNSNSSSQNKTRSGRGRSKSSSTRIRNSLFVEGGLLSDWQLDSQGRKRNGNRISGLGSDRAKASTSKKGPSTNIGGSAIRYEYPSLDLQDPESDILAHEGDNKKDELHPIILLSKESQIVAYMDQTTPSKPSLVNYTYGYGSDFVLGDKSHTGLGFDDESEATPSGIESCSKKMEEQEGACSNLSSSETEADAGHDNNNNNNNNNNNNNNNNNSSSKVDAGVAEEFIFNELSQKKNAGFLSIGGVKLYTQDMSDAETDEDYDGNSLGDESSGTTDQEEQDGVYESDDSVVSSDDDSDIDEEVAEDYLEGIGGEDSVLDTKWLVGQALNDSDDDSSSNTSFDETLEKLGGIALQDASREYGMQKNQSRNKYSGGAKDAWSPALDDLMLLKDPRTMSAKKEHVAKLPRSWPLQEQKSKNSRKFPGEKKKHRKEMIAVKRRERMLRRGVDLEKINSKLEQIVLDQVDMFAFQPMHPRDCSQVRRLAAIYRLSSGCQGSGKKRFVTVTRTQYTSMPSSSDKLRLEKLIGTGDEDADFPVNEGFNIKALDSGRARAQKVAKGSGLKKVGSSNIGESGEKRRSGKKVSYVSQPVSFISSGVMVSETDEIRTTDPEGTSESYEHKGIIRSAQFGAFEVHTKGFGSKMMAKMGFVEGGGLGKDGQGMAQPIEVVQRPKSLGLGVNFTSTSSDSDRVHKSGGASENHSKRFGDSSKDQHKSFGAFEKHTKGFGSKMMAKMGFVEGMGLGKDSQGIVNPLVASRLPKSRGLGANH >KJB66637 pep chromosome:Graimondii2_0_v6:10:39861881:39866299:1 gene:B456_010G149100 transcript:KJB66637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVYEGESPSRLEGKLSAMIVCWILGFGSLISWNSMLTIGDYYYNLFPDYHPSRVLTLVYQPFAFGTMSILAYNESKINTRRRNIFGYSLFVASTFMLLVLDLATSGRGGLGSFIGICVIVAFFGVADACVQGGIVGDLSFMLPDFIQSFFAGLAASGALTSALRLITKATFEKSNNGLRKGAMLFLAISTLFEFLCVLLYTYFFPKLPIVKYFRSKAALEGSKTVQADLAAAGIQTKEDHNEQNERLSNKQLFIQNIDYALDLFLIYVLTLSIFPGFLYENTGEHKLGTWYSLVLIASYNVWDLISRYLPLVKFLKIESRKGLTIAILSRFLLIPAFYFTAKYGDQGWMILLVSFLGLTNGHLTVCVMTAAPKGYKGPEQNALGNILVLCLLLGIFAGVSLDWLWLIGKKNAF >KJB66638 pep chromosome:Graimondii2_0_v6:10:39862037:39865639:1 gene:B456_010G149100 transcript:KJB66638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVYEGESPSRLEGKLSAMIVCWILGFGSLISWNSMLTIGDYYYNLFPDYHPSRVLTLVYQPFAFGTMSILAYNESKINTRRRNIFGYSLFVASTFMLLVLDLATSGRGGLGSFIGICVIVAFFGVADACVQGGIVGDLSFMLPDFIQSFFAGLAASGALTSALRLITKATFEKSNNGLRKGAMLFLAISTLFEFLCVLLYTYFFPKLPIVKYFRSKAALEGSKTVQADLAAAGIQTKEDHNEQNERLSNKQLFIQNIDYALDLFLIYVLTLSIFPGFLYENTGEHKLGTW >KJB66636 pep chromosome:Graimondii2_0_v6:10:39861876:39866282:1 gene:B456_010G149100 transcript:KJB66636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVYEGESPSRLEGKLSAMIVCWILGFGSLISWNSMLTIGDYYYNLFPDYHPSRVLTLVYQPFAFGTMSILAYNESKINTRRRNIFGYSLFVASTFMLLVLDLATSGRGGLGSFIGICVIVAFFGVADACVQGGIVGDLSFMLPDFIQSFFAGLAASGALTSALRLITKATFEKSNNGLRKGAMLFLAISTLFEFLCVLLYTYFFPKLPIVKYFRSKAALEGSKTVQADLAAAGIQTKEDHNEQNERLSNKQLFIQNIDYALDLFLIYVLTLSIFPGFLYENTGEHKLGTWYSLVLIASYNVWDLISRYLPLVKFLKIESRKGLTIAILSRFLLIPAFYFTAKYGDQGWMILLVSFLGLTNGHLTVCVMTAAPKGYKGPEQNALGNILVLCLLLGIFAGVSLDWLWLIGKKNAF >KJB64065 pep chromosome:Graimondii2_0_v6:10:2688135:2689468:-1 gene:B456_010G031400 transcript:KJB64065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDVVIRVIRKVWCMLVAHAMLRVPLMLWRLRQWPLLKSLGWPETSDFRCIIIVKLNCLVTINRLNSNCLDLSIYGRIIKEHCILHDWFAYIYFCYAYPSTNGVAHCLAH >KJB64064 pep chromosome:Graimondii2_0_v6:10:2688135:2688470:-1 gene:B456_010G031400 transcript:KJB64064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDVVIRVIRKVWCMLVAHAMLRVPLMLWRLRQWPLLKSLGWPETSDFRCIIIVKLNCLVTINRLNSNCLDLSIYGRIIKEHCILHDWFAYIYFCYAYPSTNGVAHCLAH >KJB64946 pep chromosome:Graimondii2_0_v6:10:10275755:10279832:-1 gene:B456_010G072900 transcript:KJB64946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVFASIVQAPEDPILGVTAAYNKDPSPNKLNLGVGAYRTEEGKPLVLNVVRKAEQLLVNDQSRVKEYLPILGLAEFNKLSAKLILGDDSPAIQENRVATAQCLSGTGSLRVGAEFLAKHYHQRTIYIPQPSWGNHVKVFTMAGLSVKNYRYYDPTTRGLNFQGLLEDLGAAPAGAIVLLHACAHNPTGVDPTVEQWEQIRQSMRSKGLLPFFDSAYQGFASGSLDVDAQPVRTFVADGGECFIAQSYAKNMGLYGERVGALSIVCKAADVASRVESQLKLVIRPMYSNPPIHGASIAMTILKNSDMYNEWKIELKAMADRIISMRKQLFDALSAKGFA >KJB64944 pep chromosome:Graimondii2_0_v6:10:10275487:10279864:-1 gene:B456_010G072900 transcript:KJB64944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVFASIVQAPEDPILGVTAAYNKDPSPNKLNLGVGAYRTEEGKPLVLNVVRKAEQLLVNDQSRVKEYLPILGLAEFNKLSAKLILGDDSPAIQENRVATAQCLSGTGSLRVGAEFLAKHYHQRTIYIPQPSWGNHVKVFTMAGLSVKNYRYYDPTTRGLNFQGLLEDLGAAPAGAIVLLHACAHNPTGVDPTVEQWEQIRQSMRSKGLLPFFDSAYQGFASGSLDVDAQPVRTFVADGGECFIAQSYAKNMGLYGERVGALSIVCKAADVASRVESQLKLVIRPMYSNPPIHGASIAMTILKNSDMYNEWKIELKAMADRIISMRKQLFDALSAKGTPGNWSHIIKQIGMFTFTGLNSDQVAFMTKEYHIYMTSDGRISMAGLSSKTVPHLADAIHAAVTRCC >KJB64945 pep chromosome:Graimondii2_0_v6:10:10275682:10279832:-1 gene:B456_010G072900 transcript:KJB64945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVFASIVQAPEDPILGVTAAYNKDPSPNKLNLGVGAYRTEEGKPLVLNVVRKAEQLLVNDQSRVKEYLPILGLAEFNKLSAKLILGDDSPAIQENRVATAQCLSGTGSLRVGAEFLAKHYHQRTIYIPQPSWGNHVKVFTMAGLSVKNYRYYDPTTRGLNFQGLLEDLGAAPAGAIVLLHACAHNPTGVDPTVEQWEQIRQSMRSKGLLPFFDSAYQGFASGSLDVDAQPVRTFVADGGECFIAQSYAKNMGLYGERVGALSIVCKAADVASRVESQLKLVIRPMYSNPPIHGASIAMTILKNSDMYNEWKIELKAMADRIISMRKQLFDALSAKGTPGNWSHIIKQIGMFTFTGLNSDQVAFMTKEYHIYMTSDGISMAGLSSKTVPHLADAIHAAVTRCC >KJB67307 pep chromosome:Graimondii2_0_v6:10:53604033:53605157:1 gene:B456_010G185100 transcript:KJB67307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVFPVIDLSKINGEERGATMDMIKDACESWGFFEVMKQFAVELKKLAEKLLDILCENLRLEQGYLKKVFYGSKWPNFGTKVSGLQLLKDDQWIDVAPLKHSIIINLGDQLEVITNGKYKSVMHRVLAQTDGTQMSIASFYNPGSDDVIYPTPPLVDKEVEKPIAHPKFMFEDYMKVYPALKFEDNEPRFEAMKIMESTISFGPVATV >KJB68470 pep chromosome:Graimondii2_0_v6:10:61558749:61564411:-1 gene:B456_010G247000 transcript:KJB68470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDPNKLISKADKLTKLSLTRWSADWKSATLLYEQAANGFRVSKDYEKAKLAFEKASKGQEMLASPWDAAKHMESAAALAKELRNWTEVIDFYRRASELYMQCDRPQPASDSLAKAARALEDALPDDAVQLYTDACVILEDDGKEQMAFDLFRAAASVYVKLEKFTDAATFLLRLGLAADKCNARNSQCKAYLSAIIVYLYAHDLKQAEKCYNDCSQIDAFLRSDQNRCASKLLSAYTEGDVEEIKRVAQSSTISNLDNVIIKLARKLPTGDVAELKEEAAKGEEEALDENDLT >KJB68472 pep chromosome:Graimondii2_0_v6:10:61558290:61564549:-1 gene:B456_010G247000 transcript:KJB68472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDPNKLISKADKLTKLSLTRWSADWKSATLLYEQAANGFRVSKDYEKAKLAFEKASKGQEMLASPWDAAKHMESAAALAKELRNWTEVIDFYRRASELYMQCDRPQPASDSLAKAARALEDALPDDAVQLYTDACVILEDDGKEQMAFDLFRAAASVYVKLEKFTDAATFLLRLGLAADKCNARNSQCKAYLSAIIVYLYAHDLKQAEKCYNDCSQIDAFLRSDQNRCASKLLSAYTEGDVEEIKRVAQSSTISNLDNVIIKLARKLPTGDVAELKEEAAKGEEEALDENDLT >KJB68471 pep chromosome:Graimondii2_0_v6:10:61558290:61564491:-1 gene:B456_010G247000 transcript:KJB68471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDPNKLISKADKLTKLSLTRWSADWKSATLLYEQAANGFRVSKDYEKAKLAFEKASKGQEMLASPWDAAKHMESAAALAKELRNWTEVIDFYRRASELYMQCDRPQPASDSLAKAARALEDALPDDAVQLYTDACVILEDDGKEQMAFDLFRAAASVYVKLEKFTDAATFLLRLGLAADKCNARNSQCKAYLSAIIVYLYAHDLKQAEKCYNDCSQIDAFLRSDQNRCASKLLSAYTEGDVEEIKRVAQS >KJB68474 pep chromosome:Graimondii2_0_v6:10:61562286:61564491:-1 gene:B456_010G247000 transcript:KJB68474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDPNKLISKADKLTKLSLTRWSADWKSATLLYEQAANGFRVSKDYEKAKLAFEKASKGQEMLASPWDAAKHMESAAALAKELRNWTEVIDFYRRASELYMQCDRPQPASDSLAKAARALEDALPDDAVQLYTDACVILEDDGKEQMAFDLFRAAASVYVKLEK >KJB68473 pep chromosome:Graimondii2_0_v6:10:61558521:61564491:-1 gene:B456_010G247000 transcript:KJB68473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASPWDAAKHMESAAALAKELRNWTEVIDFYRRASELYMQCDRPQPASDSLAKAARALEDALPDDAVQLYTDACVILEDDGKEQMAFDLFRAAASVYVKLEKFTDAATFLLRLGLAADKCNARNSQCKAYLSAIIVYLYAHDLKQAEKCYNDCSQIDAFLRSDQNRCASKLLSAYTEGDVEEIKRVAQSSTISNLDNVIIKLARKLPTGDVAELKEEAAKGEEEALDENDLT >KJB66008 pep chromosome:Graimondii2_0_v6:10:25398680:25402395:1 gene:B456_010G124000 transcript:KJB66008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNIILPPSSLSLWHTKKLIYSTQIRFRIMSSASKSQPQSVASSAVYQVPGLDSHEMDSTADKTFERYSSNTAKRNGKGVSIVWFRNDLRVLDNEALFKAWVSSQAVLPVYCIDPRLFQTTYYFGFPKTGALRAQFIIECLADLKKNLMKKGLNLLIQHGKPEDILPSLAKAFGAHTVYAHKETCSEELQVERSVARGLRQVELSRAQGNSSRSSSTHSPKLQLIWGSTLYHLDDLPFSVGSLPDVYTQFRKSVEAKCTIRGCIRLPTSLGPPPSVDDWGIIPSVEQLGLHSEKVVKGMRFLGGETAALSRVTEYFWNKDLLKIYKETRNGMLGPDYSTKFSPWLASGSLSPRFIYEEVKRYEKERLANDSTYWVLFELIWRDYFRFISIKYGNSFFHLGGPRKVEKRWHQDQKLFETWRNGCTGYPLIDANMKELSASGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAQTYDPDGEHVAFWVPELLTLPKERRNFPGKSYIEQVVPLKFGSSNKHHSQRSKYGGRHSGLRNR >KJB66007 pep chromosome:Graimondii2_0_v6:10:25398688:25402395:1 gene:B456_010G124000 transcript:KJB66007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNIILPPSSLSLWHTKKLIYSTQIRFRIMSSASKSQPQSVASSAVYQVPGLDSHEMDSTADKTFERYSSNTAKRNGKGVSIVWFRNDLRVLDNEALFKAWVSSQAVLPVYCIDPRLFQTTYYFGFPKTGALRAQFIIECLADLKKNLMKKGLNLLIQHGKPEDILPSLAKAFGAHTVYAHKETCSEELQVERSVARGLRQVELSRAQGNSSRSSSTHSPKLQLIWGSTLYHLDDLPFSVGSLPDVYTQFRKSVEAKCTIRGCIRLPTSLGPPPSVDDWGIIPSVEQLGLHSEKVVKGMRFLGGETAALSRVTEYFWNKDLLKIYKETRNGMLGPDYSTKFSPWLASGSLSPRFIYEEVKRYEKERLANDSTYWVLFELIWRDYFRFISIKYGNSFFHLGGPRKVEKRWHQDQKLFETWRNGCTGYPLIDANMKELSASGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAQTYDPDGEHVAFWVPELLTLPKERRNFPGKSYIEQVVPLKFGSSNKHHSQRSKYGGRHSGLRNR >KJB64418 pep chromosome:Graimondii2_0_v6:10:5854434:5857403:1 gene:B456_010G051300 transcript:KJB64418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMKSSDNIIQDRTQQQHKQEPEMQAQEGQQEQGHHFHVLAVDDSVIDRKLLEKLLKVSSYQVTCVDSGDKALEYLGLLNNLDSDSTASSSSSSSSSSNSSSCSQSSQREGLKVNLIMTDFCMPGMSGYDLLKRLKGSSWKDVPVVVMSSENVPSRISMCLEGGAEEFMLKPLQLSDLEKIEAYLLKSLHLSCTNIDKDDDDNNADHNSDNNNDKVVNNDSNIDKGNNSTSMNNNFSKRKALSSEDTESRRPKIKGLAVAI >KJB64420 pep chromosome:Graimondii2_0_v6:10:5854744:5856644:1 gene:B456_010G051300 transcript:KJB64420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMKSSDNIIQDRTQQQHKQEPEMQAQEGQQEQGHHFHVLAVDDSVIDRKLLEKLLKVSSYQVTCVDSGDKALEYLGLLNNLDSDSTASSSSSSSSSSNSSSCSQSSQREGLKVNLIMTDFCMPGMSGYDLLKRLKGSSWKDVPVVVMSSENVPSRISMYILFIYLFI >KJB64419 pep chromosome:Graimondii2_0_v6:10:5854503:5857394:1 gene:B456_010G051300 transcript:KJB64419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMKSSDNIIQDRTQQQHKQEPEMQAQEGQQEQGHHFHVLAVDDSVIDRKLLEKLLKVSSYQVTCVDSGDKALEYLGLLNNLDSDSTASSSSSSSSSSNSSSCSQSSQREGLKVNLIMTDFCMPGMSGYDLLKRLKGSSWKDVPVVVMSSENVPSRISIRCLEGGAEEFMLKPLQLSDLEKIEAYLLKSLHLSCTNIDKDDDDNNADHNSDNNNDKVVNNDSNIDKGNNSTSMNNNFSKRKALSSEDTESRRPKIKGLAVAI >KJB65436 pep chromosome:Graimondii2_0_v6:10:15738072:15740750:1 gene:B456_010G095000 transcript:KJB65436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISKLSIILHFTLFLSSALALNSISTTPLSSNLSSIRNFCQSTPYPDACFDSLKLSVSINISPSIINYLIQSLNSALSEAGKLTNLFSNGGNAKIVETQRGTMQDCKELHEITLSSLKKSVSRIQSGDSKKLGDARSYLSAALTNKNTCLEGLDSASGTLKPVLVKSLTSTYKHVSNSLSMIPKSGGGKKGHRNRRLLGFPSWLGRRARRILQSSDDEYDPSDVLTVAADGTGNFSTINDAINFAPNNSYDRIIIYVREGVYEENVEIPSYKTNIVLLGDGNDVTFITGSRSVRDGWTTFRSATVAVSGEGFLARDITIDNSAGPEKHQAVALRVNADFTALYRSSINGFQDTLYVHSFRQFYRECDISGTIDYIFGNAAVVFQACNIITRMPMPGQFTVITAQSRDSPDETTGISIQNCSILATVELYGNSSRFKSYLGRPWRMYSTTVYIESYIDDFINPSGWTKWSNDEGLDTLYYGEYDNYGPGSGTDSRVTWPGYHVMEYDVAYNFSVSELITGEAWLDSTAFPYDDGI >KJB65583 pep chromosome:Graimondii2_0_v6:10:18477465:18480015:-1 gene:B456_010G102200 transcript:KJB65583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASLSGVLSYTKLSSSNQCYSDDRFSRRIASFSRHSSNGFNGVNKPSSKALIKGPFLSDGLIQRRNLHLQAICGVGVYTLGENDDVESHHSHAMEEKIGVLLLNLRGPETLKDVQPFLYNLFADPDIIWLPGLFKLLQRPLAKLISFLRAPKSEEGYAAVGGGSPLRKITDEQANALRMALKAKNVNVSVYVGMRYWYPLTEEAIEQLVVLPLYPQFSISTTGSSIRVLQHIFREDVYLSRLPVSVILSWYQRQGYICSMADLIKEELERFAKPEEVMIFFSAHGVPDSYVEDAGDPYKDQMEECVYLIMLELKSRGIGNDHTLAYHIRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPIETLEEIDMEYKHLALESGIENWGRVPALGCTSSFITDLADAVVEALPSAKALSASREAAEETNYEPVRYLVKLFFCSILAFVLLLLRPKVVFAFRNHLH >KJB65655 pep chromosome:Graimondii2_0_v6:10:19212224:19216242:1 gene:B456_010G105400 transcript:KJB65655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRLRQRQRQRAAFSGFTKPEIKKMEKFLMKSRELLLSKEFCKKMARNFSSSAGRAGKPIIKWTEVQSWFLARLQESASKVPSLTDTSKTESRISETCPLDDGPQIPQILKVVSKMGEKIPDLSGLEFEARSSKDGAWYDVDTFLTQRHPGSGEPEVLVRFVGFGADEDEWVNVKKAVRLRSIPFQHSECNKVMVGDLVLCLQEKRDQLIYYDVHVNEVERKTHDIRGCRCIFLIRYDHDGSEERVRLRRLFYIRGQEI >KJB65656 pep chromosome:Graimondii2_0_v6:10:19211917:19216189:1 gene:B456_010G105400 transcript:KJB65656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRLRQRQRQRAAFSGFTKPEIKKMEKFLMKSRELLLSKEFCKKMARNFSSSAGRAGKPIIKWTEVQSWFLARLQESASKVPSLTDTSKTESRISETCPLDDGPQIPQILKVVSKMGEKIPDLSGLEFEARSSKDGAWYDVDTFLTQRHPGSGEPEVLVRFVGFGADEDEWVNVKKAVRLRSIPFQHSECNKVMVGDLVLCLQEKRDQLIYYDVHVNEVERKTHDIRGCRCIFLIRYDHDGSEERVRLRRLFYIRGQEI >KJB65657 pep chromosome:Graimondii2_0_v6:10:19212282:19216189:1 gene:B456_010G105400 transcript:KJB65657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRLRQRQRQRAAFSGFTKPEIKKMEKFLMKSRELLLSKEFCKKMARNFSSSAGRAGKPIIKWTEVQSWFLARLQESASKVPSLTDTSKTESRISETCPLDDGPQIPQILKVVSKMGEKIPDLSGLEFEARSSKDGAWYDVDTFLTQRHPGSGEPEVLVRFVGFGADEDEWVNVKKAVRLRSIPFQHSECNKVMVGDLVLCLQEKRDQLIYYDVHVNEVERKTHDIRGCRCIFLIRYDHDGSEERVRLRRLFYIRGQEI >KJB65658 pep chromosome:Graimondii2_0_v6:10:19212282:19216189:1 gene:B456_010G105400 transcript:KJB65658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRLRQRQRQRAAFSGFTKPEIKKMEKFLMKSRELLLSKEFCKKMARNFSSSAGRAGKPIIKWTEVQSWFLARLQESASKVPSLTDTSKTESRISETCPLDDGPQIPQILKVVSKMGEKIPDLSGLEFEARSSKDGAWYDVDTFLTQRHPGSGEPEVLVRFVGFGADEDEWVNVKKAVRLRSIPFQHSECNKVMVGDLVLCLQEKRDQLIYYDVHVNEVERKTHDIRGCRCIFLIRYDHDGSEAKSSIEKTILYSRPRNLRVFVILLNLCSSPNHIFALSSV >KJB68064 pep chromosome:Graimondii2_0_v6:10:59695792:59701695:-1 gene:B456_010G224800 transcript:KJB68064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFDYPILSRSDIISILAESQIAAVTDNDFKNIKPDFVSNLYTRLLIYLDALNEEDQGQVEFSALEQIENPDLLIGSFQVMNLYCRLREVMASLNCPMQFNLRDLIKPDPRRTEHFLSGILNFCLYKETKMNLLRPIVEELALLDDQRKEWEAKISQLNEEIAGYSEARERELPLVQEIESKVKELREMIAGLNSNQMSLRTSFRNLKEKTGQMDEKISKAEFDLVQSVQENANLRSKIVQSPDKLQRALEEKKLARDEAKYAERSAMQSFQEKTATVEVYSKALKKMSKHFALMQAIHEQVNSAKSVEKECKGLKAKLSDDVVLDKSLEAKLIERQGKVVQLEEHKRQLQKESDLKFEESTKHLNSVKSEVLSKRCELEARQKKVEDVVAEVDSITLKTSMVRESGAAKVQQLISKCEEIVKQFQQYSSSIGLLLPVDGNGTNTTFD >KJB68067 pep chromosome:Graimondii2_0_v6:10:59696670:59701695:-1 gene:B456_010G224800 transcript:KJB68067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFDYPILSRSDIISILAESQIAAVTDNDFKNIKPDFVSNLYTRLLIYLDALNEEDQGQVEFSALEQIENPDLLIGSFQVMNLYCRLREVMASLNCPMQFNLRDLIKPDPRRTEHFLSGILNFCLYKETKMNLLRPIVEELALLDDQRKEWEAKISQLNEEIAGYSEARERELPLVQEIESKVKELREMIAGLNSNQMSLRTSFRNLKEKTGQMDEKISKAEFDLVQSVQENANLRSKIVQSPDKLQRALEEKKLARDEAKYAERSAMQSFQEKTATVEVYSKALKKMSKHFALMQAIHEQVNSAKSVEKECKGLKAKLSDDVVLDKSLEAKLIERQGKVVQLEEHKRQLQKESDLKFEESTKHLNSVKSEVLSKRCELEARQKKVEDVVAEVDSITLKTSMVRESGAAKVQQLISKCEEIVKQVLYRLC >KJB68065 pep chromosome:Graimondii2_0_v6:10:59695791:59701894:-1 gene:B456_010G224800 transcript:KJB68065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLRPIVEELALLDDQRKEWEAKISQLNEEIAGYSEARERELPLVQEIESKVKELREMIAGLNSNQMSLRTSFRNLKEKTGQMDEKISKAEFDLVQSVQENANLRSKIVQSPDKLQRALEEKKLARDEAKYAERSAMQSFQEKTATVEVYSKALKKMSKHFALMQAIHEQVNSAKSVEKECKGLKAKLSDDVVLDKSLEAKLIERQGKVVQLEEHKRQLQKESDLKFEESTKHLNSVKSEVLSKRCELEARQKKVEDVVAEVDSITLKTSMVRESGAAKVQQLISKCEEIVKQFQQYSSSIGLLLPVDGNGTNTTFD >KJB68068 pep chromosome:Graimondii2_0_v6:10:59697018:59701469:-1 gene:B456_010G224800 transcript:KJB68068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFDYPILSRSDIISILAESQIAAVTDNDFKNIKPDFVSNLYTRLLIYLDALNEEDQGQVEFSALEQIENPDLLIGSFQVMNLYCRLREVMASLNCPMQFNLRDLIKPDPRRTEHFLSGILNFCLYKETKMNLLRPIVEELALLDDQRKEWEAKISQLNEEIAGYSEARERELPLVQEIESKVKELREMIAGLNSNQMSLRTSFRNLKEKTGQMDEKISKAEFDLVQSVQENANLRSKIVQSPDKLQRALEEKKLARDEAKYAERSAMQSFQEKTATVEVYSKALKKMSKHFALMQAIHEQVNSAKSVEKECKGLKAKLSDDVVLDKSLEAKLIERQGKGSCTIGRTQKAVTKRKRSQV >KJB68066 pep chromosome:Graimondii2_0_v6:10:59696231:59701469:-1 gene:B456_010G224800 transcript:KJB68066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFDYPILSRSDIISILAESQIAAVTDNDFKNIKPDFVSNLYTRLLIYLDALNEEDQGQVEFSALEQIENPDLLIGSFQVMNLYCRLREVMASLNCPMQFNLRDLIKPDPRRTEHFLSGILNFCLYKETKMNLLRPIVEELALLDDQRKEWEAKISQLNEEIAGYSEARERELPLVQEIESKVKELREMIAGLNSNQMSLRTSFRNLKEKTGQMDEKISKAEFDLVQSVQENANLRSKIVQSPDKLQRALEEKKLARDEAKYAERSAMQSFQEKTATVEVYSKALKKMSKHFALMQAIHEQVNSAKSVEKECKGLKAKLSDDVVLDKSLEAKLIERQGKVVQLEEHKRQLQKESDLKFEESTKHLNSVKSEVLSKRCELEARQKKVEDVVAEVDSITLKTSMVRESGAAKVQQLISKCEEIVKQFQQYSSSIGLLLPVDGNGTNTTFD >KJB64137 pep chromosome:Graimondii2_0_v6:10:3225414:3227118:1 gene:B456_010G035100 transcript:KJB64137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENGSRAEALRLLGIAEKLLQNRDFNGSREFAILAQETEPLLDGSDQVLAIADVLLAADKKINGQHDWYSILQVDRRSEDNDLIKKQYRRLALLLHPDKNKYPFADHAFKFVADAWSVLSNSSKKSQFDKELSFFTRIDFSNAGDRSNQSGKLPVTRRGKNQDGVQHRSPNSTTQNENQRPRSSTFWTTCPYCYRLFEYPKFYEGCCLKCQNCRRSFHAVSIPTLPPLVPGKEAYYCCWGFFPLGFMSGNQEDGAKPPTGFPSWMPSTMPGVQQENERNGGNVPAPSQPPLQAAVPPAPAAAVPKTTVKKVVERNTPAAVSGGNAPNSTPRKRGRPRKNPL >KJB65484 pep chromosome:Graimondii2_0_v6:10:17649499:17652241:-1 gene:B456_010G099800 transcript:KJB65484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPQPVKVLYCPICSLPAEYCEFGPDFEKCKPWLISNAPDLYPHLLKEANEKEGEKVSEQLQSVGISSAAEGAASSAPPGSTVSSKQEDVKRLPGGKIKKKEKQEVVIEKVVRNRRKCITIVKGLDLFGIKLSDASKKLGKKFATGASVVKGPTDKEQIDVQGDISYDIVEYITETWPAVPETAIFFIEDGKKVPAA >KJB65486 pep chromosome:Graimondii2_0_v6:10:17649603:17652227:-1 gene:B456_010G099800 transcript:KJB65486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPQPVKVLYCPICSLPAEYCEFGPDFEKCKPWLISNAPDLYPHLLKEANEKEGEKVSEQLQSVGISSAAEGAASSAPPGSTVSSKQEDVKRLPGGKIKKKEKQEVVIEKVVRNRRKCITIVKGLDLFGIKLSDASKKLGKKFATGASVVKGPTDKEQIDVQGDISYDIVEYITETWPAVMFSIISLYISFNMKMLHMRNMEQCFFYFLCLYY >KJB65485 pep chromosome:Graimondii2_0_v6:10:17649603:17652227:-1 gene:B456_010G099800 transcript:KJB65485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPQPVKVLYCPICSLPAEYCEFGPDFEKCKPWLISNAPDLYPHLLKEANEKEGEKVSEQLQSVGISSAAEGAASSAPPGSTVSSKQEDVKRLPGGKIKKKEKQEVVIEKVVRNRRKCITIVKGLDLFGELHSHIFIFFIYLLVFFKTS >KJB65028 pep chromosome:Graimondii2_0_v6:10:11063841:11069912:-1 gene:B456_010G076800 transcript:KJB65028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAEKTELEKNEFPRERERRHNICIIFRYADWVDILLMVLGTIGAIGDGMSTNWLILFVSRIMNSLGYGSNSQQNNNNFMGEVEKCTLYFTYLGLGSMVVAFMEGYCWSKTSERQVLKIRYKYLEAILRQEVGFFDSQEATTSEVINSISKDTSLIQEVLSEKVPLFMMNTTAFFSGLAFSAYLSWRLALVVFPTILLLIIPGILYGKYLLYISKKASKEYGKANTIVEQALSSIKTVYSFTAERRIVERYTAILDRAVKLGLKQGIAKGLAVGSTGISFAIWAFVAWYGSHLVMYKGESGGRVYTAGLCFILGGLALGVALADLKYFTEATVAAASIFSRIDRTPLIQSEDTKGIVLDTIRGDIEFDNVNFVYPSRSDSLVLKHFNLKVEAGKTVALVGASGSGKSTAIALVQRFYDANDGVVKIDGVDIRTLQLKWIRGKMGLVSQEHALFGTSIKENITFGKLDATMDEVMTAAMAANAHNFIRQLPQGYETKIGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESETLVQNALDQASMGRTTLVVAHKLSTIRNADLIAVVNNGSIIEMGSHNDLINMKDGHYAQLAKLQRQFSCDDHEQNPETRLPSAGRMSGGRLSTSKSSPSPSPSFFATPLPNIESPKPVSHPPPSFRRLLSLNSPEWKHGLMGSLSAIVFGAVQPVYALTIGGMISAFFAKSHQEMQARIRTYAFIFSLLTLLSVILNLIQHYNFAYMGERLTRRIRSRMLEKLLSFEAAWFDEEKNSTGALCSSLSNQASMVKTLVADRISLLVQTTSAVTIAMIIGLVVAWKLAIVMIAVQPLAIMCFYTRKVLLSSISTNFVKAQNQSTQIAVEAVYNHRIVTSFGSLGKVLQLFDEAQEEPRKEARKISWLAGIGMGSAQSLTFMSWALDFWYGGTLVQKGEISAGDVFKTFFILVSTGKVIAEAGSMTSDLAKGSTAVASVFEILDRQSSITPGSQVCRFL >KJB65027 pep chromosome:Graimondii2_0_v6:10:11063764:11070027:-1 gene:B456_010G076800 transcript:KJB65027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAEKTELEKNEFPRERERRHNICIIFRYADWVDILLMVLGTIGAIGDGMSTNWLILFVSRIMNSLGYGSNSQQNNNNFMGEVEKCTLYFTYLGLGSMVVAFMEGYCWSKTSERQVLKIRYKYLEAILRQEVGFFDSQEATTSEVINSISKDTSLIQEVLSEKVPLFMMNTTAFFSGLAFSAYLSWRLALVVFPTILLLIIPGILYGKYLLYISKKASKEYGKANTIVEQALSSIKTVYSFTAERRIVERYTAILDRAVKLGLKQGIAKGLAVGSTGISFAIWAFVAWYGSHLVMYKGESGGRVYTAGLCFILGGLALGVALADLKYFTEATVAAASIFSRIDRTPLIQSEDTKGIVLDTIRGDIEFDNVNFVYPSRSDSLVLKHFNLKVEAGKTVALVGASGSGKSTAIALVQRFYDANDGVVKIDGVDIRTLQLKWIRGKMGLVSQEHALFGTSIKENITFGKLDATMDEVMTAAMAANAHNFIRQLPQGYETKIGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESETLVQNALDQASMGRTTLVVAHKLSTIRNADLIAVVNNGSIIEMGSHNDLINMKDGHYAQLAKLQRQFSCDDHEQNPETRLPSAGRMSGGRLSTSKSSPSPSPSFFATPLPNIESPKPVSHPPPSFRRLLSLNSPEWKHGLMGSLSAIVFGAVQPVYALTIGGMISAFFAKSHQEMQARIRTYAFIFSLLTLLSVILNLIQHYNFAYMGERLTRRIRSRMLEKLLSFEAAWFDEEKNSTGALCSSLSNQASMVKTLVADRISLLVQTTSAVTIAMIIGLVVAWKLAIVMIAVQPLAIMCFYTRKVLLSSISTNFVKAQNQSTQIAVEAVYNHRIVTSFGSLGKVLQLFDEAQEEPRKEARKISWLAGIGMGSAQSLTFMSWALDFWYGGTLVQKGEISAGDVFKTFFILVSTGKVIAEAGSMTSDLAKGSTAVASVFEILDRQSSITPGSQGDGTNGIKLERMSGKIELKKVDFAYPSRPETLVLRQFSLEVKPGRSVGLVGKSGCGKSTVVGLIQRFYDVEMGSVRVDGIDIRGLDVQWYRRQMALVSQEPVIFSGTIRDNIVFGKLDASENEVVEAARAANAHEFISALKEGYETECGERGVQLSGGQKQRIAIARAIIRNPKILLLDEATSALDVQSEQVVQEALDRIMVGRTTVVIAHRLNTIKKVDSIAFVADGKVVEQGTYAQLKNQHGAFSKLASLQT >KJB65060 pep chromosome:Graimondii2_0_v6:10:11493681:11498075:1 gene:B456_010G079100 transcript:KJB65060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MDSLLAPSSTSSFPSLNNNSNSRIKHNSLPSSLGFFNLRKFSTVSFGYSRRSCRLRLSSSRRSKIKSSTSPTMSSSASPEIPQPKIVNGPGGYVLEDVPHLSDYIPDLPVYSNPLQDNPAYSVVKQYFVHVDDTVPQKIVVHKNSPRGVHFRRAGPRQKIYFDSDDVHACIVTCGGLCPGLNTVIREIVCGLFHMYGVKKVSGIDVRYRGFYAKNTVHLDPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQRGASVIFEEIRRRGLKVSVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAESFENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLFEYIERRLKENGHMVLVIAEGAGQELLSESLQSMTQKDASGNRLLQDVALWISQRIKDHFSKERKMTINLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGFTSGLVNGRQTYIPFYRITETQNKVVITDRMWARLLSSTNQPSFLCPKEILEDQGHEESSNELLDNGKCSPN >KJB65059 pep chromosome:Graimondii2_0_v6:10:11493546:11498094:1 gene:B456_010G079100 transcript:KJB65059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MDSLLAPSSTSSFPSLNNNSNSRIKHNSLPSSLGFFNLRKFSTVSFGYSRRSCRLRLSSSRRSKIKSSTSPTMSSSASPEIPQPKIVNGPGGYVLEDVPHLSDYIPDLPVYSNPLQDNPAYSVVKQYFVHVDDTVPQKIVVHKNSPRGVHFRRAGPRQKIYFDSDDVHACIVTCGGLCPGLNTVIREIVCGLFHMYGVKKVSGIDGGYRGFYAKNTVHLDPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQRGASVIFEEIRRRGLKVSVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAESFENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLFEYIERRLKENGHMVLVIAEGAGQELLSESLQSMTQKDASGNRLLQDVALWISQRIKDHFSKERKMTINLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGFTSGLVNGRQTYIPFYRITETQNKVVITDRMWARLLSSTNQPSFLCPKEILEDQGHEESSNELLDNGKCSPN >KJB63310 pep chromosome:Graimondii2_0_v6:10:43761911:43761966:1 gene:B456_010G1567002 transcript:KJB63310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGYNWHANSIICTQYEI >KJB63816 pep chromosome:Graimondii2_0_v6:10:1355568:1356594:-1 gene:B456_010G017900 transcript:KJB63816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFYQEEHSHHSKRCKFIATLLKEAFSHCHSFNGRFSSSGHDDDEHSTSDIDDESEVVVSEIRTRAMEKMNRKTSSMTGSFSWVLSPSTGEIYISSNHFKRRDNDNEDDEFFSVGSCFSLCSSGVSREAFMSAKSNFSRCSSLKNVDFPEIWKFDSEDFRRRSIIREFCHCEGWPFGLCRKVVLLPPLPKSPSESWSWRKGTRLAKTPYI >KJB64543 pep chromosome:Graimondii2_0_v6:10:6142990:6144354:-1 gene:B456_010G053500 transcript:KJB64543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPPILHRSTSGRPWTTTPPISPFPVSPPPQIPNPWLHPFPKSNGKRLMSDHMNEAVNYIKHLEKKVKDLDAKRHELKRVSDLASVGSRTKPAAASISHHCFIIRPCLIGIEIMFRCGVEDQDLSSSRVLVVLVDEGLHVVSCFSTKSEEFLFHSTIQTEVNDPTSVNISRLQQKLPQSSEDCTASSYKTQNESAKGSNLVVKVNGKLIYRYCIFLFKSIYA >KJB66716 pep chromosome:Graimondii2_0_v6:10:42072068:42073174:-1 gene:B456_010G153700 transcript:KJB66716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAAAFRLICLLHSVIALSSGALMMFYMKEMYTFTHGIETATKLLGSTPHDQLLIRTSDSFAGLLLFAVGFLLFMVSFVKDREFQSFFAKGCTVLHGSVAVWRFWFERRVEDLAWDWLRQTVGDILLAFSWVFFLVYSWREKYD >KJB68087 pep chromosome:Graimondii2_0_v6:10:59703969:59706998:-1 gene:B456_010G224900 transcript:KJB68087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVCGSKRSFFEDIPSPPSASSSKKLRRCSPSSPSSVRLSPSPSALNHLQSIFPHMDPQLLEQALLECGNDIDTAIKKLEDLCLGAAEARGGKTCTVEELGTTAEQGILTNNGEAVAAAVTIQNPSASENMPADGAEWVDLFVREMMSATSVDDAKSHVSKLLAVLENSISKRAAEETAQNFQKENLMLKGQIEAMIQENTVLKRAVAIQHERQKEYQDKNQELEHLKQLVSQYQEQMRTLEVNNYALMMHLRQAQESNSIPGRFNPDVF >KJB68086 pep chromosome:Graimondii2_0_v6:10:59703969:59706991:-1 gene:B456_010G224900 transcript:KJB68086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVCGSKRSFFEDIPSPPSASSSKKLRRCSPSSPSSVRLSPSPSALNHLQSIFPHMDPQLLEQALLECGNDIDTAIKKLEDLCLGAAEARGGKTCTVEELGTTAEQAGILTNNGEAVAAAVTIQNPSASENMPADGAEWVDLFVREMMSATSVDDAKSHVSKLLAVLENSISKRAAEETAQNFQKENLMLKGQIEAMIQENTVLKRAVAIQHERQKEYQDKNQELEHLKQLVSQYQEQMRTLEVNNYALMMHLRQAQESNSIPGRFNPDVF >KJB63658 pep chromosome:Graimondii2_0_v6:10:752100:757065:1 gene:B456_010G010100 transcript:KJB63658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQRNVLPILFLALISFLTHSVLTQRVTINSQTERRALLDLRSSLGLRGKDWPIKTDPCTTWTGIRCQNGTVTNITVSGLRRTRIGRLYPRFNVDSLVNLTRLISFNASGFHLPGSIPDLFGNRLVHLEVLDLRSCNISGSIPSSLGNSFRLSSLYLSNNNLAGSIPSVLGELKNLKSLDLSINSLTGSIPSSFGSLINIEKLQLSSNYLSGSIPLSLSSLKALKVLNVSDNNLSGSIPVQFSNLSKLLELDLSKNSLYGSLPVEFTMLKSLQKMIIENNELDGRLPVDLFSNLVELQVVDLSGNKLDGNVNGSFWSMPNLTFFDVSNNNFSGHLPAPSSNHSVAPGAVFNLSNNQFYGTLDFSLGMFKFIDVSGNYLQGIVNDGERNASVNMNCFYRPNQRSLDDCRIFYNERGLHFDNSGAPPSTAAKSSSGSRRWIFIFAGVLGGLGFILVLVLVLVLLLRRYNTGLMNQRRSTDIGPVRESDSAPQLPKDPTNVSGSGDPFTCEQLLRATGNFSDTNLIKHGHSGDLFRGILEGGIPVVIKKVNSSSFKKESYTTELELFRKLSHTRFIPLLGHCLEHETDKLLVYKYMPNGDLANSFYRAINSDDDSLKSLDWITRLKVATGAAEGLCYLHHECNPPLVHRDIQASSILLDDKFEVRLGSLSEVHSQEGDTHQKVLTRLLRKPGTSEPGPSGSGSTSATCAYDVYCFGKVLLELITGKLGISKVEDTSTTEWLEQTLPCISIYDKEMVTKIMDPSLIVDEDLLEEVWAMAIIARSCLNPKPSKRPSMKHILRALENPLKVVREESFSSMKLRTTSSRRSWNTAFFGSWRQSSSENTNISGQQNREGFSGIRQASRVGSHSSGNIDHSSSNKRSSNEIFPEPIDIQDTERLDEN >KJB63660 pep chromosome:Graimondii2_0_v6:10:751818:757264:1 gene:B456_010G010100 transcript:KJB63660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQRNVLPILFLALISFLTHSVLTQRVTINSQTERRALLDLRSSLGLRGKDWPIKTDPCTTWTGIRCQNGTVTNITVSGLRRTRIGRLYPRFNVDSLVNLTRLISFNASGFHLPGSIPDLFGNRLVHLEVLDLRSCNISGSIPSSLGNSFRLSSLYLSNNNLAGSIPSVLGELKNLKSLDLSINSLTGSIPSSFGSLINIEKLQLSSNYLSGSIPLSLSSLKALKVLNVSDNNLSGSIPVQFSNLSKLLELDLSKNSLYGSLPVEFTMLKSLQKMIIENNELDGRLPVDLFSNLVELQVVDLSGNKLDGNVNGSFWSMPNLTFFDVSNNNFSGHLPAPSSNHSVAPGAVFNLSNNQFYGTLDFSLGMFKFIDVSGNYLQGIVNDGERNASVNMNCFYRPNQRSLDDCRIFYNERGLHFDNSGAPPSTAAKSSSGSRRWIFIFAGVLGGLGFILVLVLVLVLLLRRYNTGLMNQRRSTDIGPVRESDSAPQLPKDPTNVSGSGDPFTCEQLLRATGNFSDTNLIKHGHSGDLFRGILEGGIPVVIKKVNSSSFKKESYTTELELFRKLSHTRFIPLLGHCLEHETDKLLVYKYMPNGDLANSFYRAINSDDDSLKSLDWITRLKVATGAAEGLCYLHHECNPPLVHRDIQASSILLDDKFEVRLGSLSEVHSQEGDTHQKVLTRLLRKPGTSEPGPSGSGSTSATCAYDVYCFGKVLLELITGKLGISKVEDTSTTEWLEQTLPCISIYDKEMVTKIMDPSLIVDEDLLEEVWAMAIIARSCLNPKPSKRPSMKHILRALENPLKVVREESFSSMKLRTTSSRRSWNTAFFGSWRQSSSENTNISGQQNREGFSGIRQASRVGSHSSGNIDHSSSNKRSSNEIFPEPIDIQDTERLDEN >KJB63659 pep chromosome:Graimondii2_0_v6:10:752100:757065:1 gene:B456_010G010100 transcript:KJB63659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQRNVLPILFLALISFLTHSVLTQRVTINSQTERRALLDLRSSLGLRGKDWPIKTDPCTTWTGIRCQNGTVTNITVSGLRRTRIGRLYPRFNVDSLVNLTRLISFNASGFHLPGSIPDLFGNRLVHLEVLDLRSCNISGSIPSSLGNSFRLSSLYLSNNNLAGSIPSVLGELKNLKSLDLSINSLTGSIPSSFGSLINIEKLQLSSNYLSGSIPLSLSSLKALKVLNVSDNNLSGSIPVQFSNLSKLLELDLSKNSLYGSLPVEFTMLKSLQKMIIENNELDGRLPVDLFSNLVELQVVDLSGNKLDGNVNGSFWSMPNLTFFDVSNNNFSGHLPAPSSNHSVAPGAVFNLSNNQFYGTLDFSLGMFKFIDVSGNYLQGIVNDGERNASVNMNCFYRPNQRSLDDCRIFYNERGLHFDNSGAPPSTAAKSSSGSRRWIFIFAGVLGGLGFILVLVLVLVLLLRRYNTGLMNQRRSTDIGPVRESDSAPQLPKDPTNVSGSGDPFTCEQLLRATGNFSDTNLIKHGHSGDLFRGILEGGIPVVIKKVNSSSFKKESYTTELELFRKLSHTRFIPLLGHCLEHETDKLLVYKYMPNGDLANSFYRAINSDDDSLKSLDWITRLKVATGAAEGLCYLHHECNPPLVHRDIQASSILLDDKFEVRLGSLSEVHSQEGDTHQKVLTRLLRKPGTSEPGPSGSGSTSATCAYDVYCFGKVLLELITGKLGISKVEDTSTTEWLEQTLPCISIYDKEMVTKIMDPSLIVDEDLLEEVWAMAIIARSCLNPKPSKRPSMKHILRALENPLKVVREESFSSMKLRTTSSRRSWNTAFFGSWRQSSSENTNISGQQNREGFSGIRQASRVGSHSSGNIDHSSSNKRSSNEIFPEPIDIQDTERLDEN >KJB66670 pep chromosome:Graimondii2_0_v6:10:40680831:40681781:-1 gene:B456_010G151200 transcript:KJB66670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQEENLHYWGDISEEEYYRLQGIKGSKSFYTSPRGLSLFTRSWLPLSGPPRGIIFGIHGYGNDISWTFQSTSIFLAQKGFACFALDMEGHGRSQGLRGYVPHVDLVVQDCLSFFNLIKQDPNFGALPCFLYGESMGGALCLLVHFADPNGFQGAVLVAPMCKISDKVRPRWPIPQALTFISYFLPTLAIVPTEDLLHKSIKVEEKKIVGNKNPVRYRGKPRLGTVVELLRVTQLLSEKLRDVSIPFLVVHGSADVVTDPEVSRTLYKEASSQDKTLKIYEGMWHSLLFGEPDENIEIVRTDILSWLNDRCNTKI >KJB63822 pep chromosome:Graimondii2_0_v6:10:1377308:1383383:1 gene:B456_010G018200 transcript:KJB63822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRGEHQTVPLSVLLKRELASEKIEDPEIVHGLASHSKKGEDFTLLKTECQRALGDGFTTFSVFGLFDGHNGSAAAIYTKENLLNNVLSAIPADLNRDEWVAALPRALVAGFVKTDKDFQQRAKTSGTTVTFVILEGWVVTVASVGDSCCIFESAEGGIYHLSADHRLECNEEERHRITASGGEVGRLNAGGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSTAGGRMIISSDGVWDALTAEEALDCCRGMPPDAAAAQIVKEAVKPKGLRDDTTCIVVDILPQEKPAALPPPKKPVKGMLKAMFRKKNSGSSSQDKDYMEPDVVEELFEEGSAWLSERLDTKYPLCNMFKLFMCAVCQLEMKPGEGISIHVGTSNPVKLRPWDGPFLCSSCNEKKEAMEGKRPSGNRHGSDSD >KJB63823 pep chromosome:Graimondii2_0_v6:10:1377316:1383383:1 gene:B456_010G018200 transcript:KJB63823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRGEHQTVPLSVLLKRELASEKIEDPEIVHGLASHSKKGEDFTLLKTECQRALGDGFTTFSVFGLFDGHNGSAAAIYTKENLLNNVLSAIPADLNRDEWVAALPRALVAGFVKTDKDFQQRAKTSGTTVTFVILEGWVVTVASVGDSCCIFESAEGGIYHLSADHRLECNEEERHRITASGGEVGRLNAGGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSTAGGRMIISSDGVWDALTAEEALDCCRGMPPDAAAAQIVKEAVKPKGLRDDTTCIVVDILPQEKPAALPPPKKPVKGMLKAMFRKKNSGSSSQDKDYMEPDVVEELFEEGSAWLSERLDTKYPLCNMFKLFMCAVCQLEMKPGEGISIHVGTSNPVKLRPWDGPFLCSSCNEKKEAMEGKRPSGNRHGSDSD >KJB64978 pep chromosome:Graimondii2_0_v6:10:10570870:10574209:-1 gene:B456_010G074500 transcript:KJB64978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIIPMASSIRPSLSSFRFSGGVSTSRFGLSLSPLRLPPRLHFSHLTSTVPQSHFFGLKASKLLKTAGTRVVMRPVGNVVHASTATAQETALEWVKKDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGTAFGHFGIAVEDVAKAVELIKAKGGKVTREPGPVKGGSTVIAFIEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRSITFYEKAFGMELLRTRDNPEYKYTIAMMGYGPEDKNAVLELTYNYGVTEYDKGNGYAQIAIGTDDVYKTAEGIKLFGGKIMREPGPLPGINTKITACLDPDGWKTVFVDNIDFLKELE >KJB64979 pep chromosome:Graimondii2_0_v6:10:10570856:10574287:-1 gene:B456_010G074500 transcript:KJB64979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIIPMASSIRPSLSSFRFSGGVSTSRFGLSLSPLRLPPRLHFSHLTSTVPQSHFFGLKASKLLKTAGTRVVMRPVGNVVHASTATAQETALEWVKKDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGTAFGHFGIAVEDVAKAVELIKAKGGKVTREPGPVKGGSTVIAFIEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRSITFYEKAFGMELLRTRDNPEYKYTIAMMGYGPEDKNAVLELTYNYGVTEYDKGNGYAQIAIGTDDVYKTAEGIKLFGGKIMREPGPLPGINTKITACLDPDGWKTVFVDNIDFLKELE >KJB64980 pep chromosome:Graimondii2_0_v6:10:10571214:10574205:-1 gene:B456_010G074500 transcript:KJB64980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIIPMASSIRPSLSSFRFSGGVSTSRFGLSLSPLRLPPRLHFSHLTSTVPQSHFFGLKASKLLKTAGTRVVMRPVGNVVHASTATAQETALEWVKKDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGTAFGHFGIAVEDVAKAVELIKAKGGKVTREPGPVKGGSTVIAFIEDPDGYKFELIERGPTPEPLCQAFGMELLRTRDNPEYKYTIAMMGYGPEDKNAVLELTYNYGVTEYDKGNGYAQIAIGTDDVYKTAEGIKLFGGKIMREPGPLPGINTKITACLDPDGWKTVFVDNIDFLKELE >KJB67401 pep chromosome:Graimondii2_0_v6:10:54160849:54162694:-1 gene:B456_010G189100 transcript:KJB67401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALGSLQQKRLGNALTFMALLLILFFVEESIQGEETVSQGSIKNLVFSKKNNTSAVARKLLQSTEDGDANRIGTACTKDDIVIFQGSTAPLPNGIPSYTVQIMNVCLSGCSISNIHVSCGWFSSVRLINPTVFRRLYYDDCLVNDGEPLGPGECLSFDYANTFSYPLSVSSAASC >KJB67669 pep chromosome:Graimondii2_0_v6:10:56849505:56853824:1 gene:B456_010G203100 transcript:KJB67669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRRGGTRLYVGHLSSRTRSRDLEDMFSRYGRVRDVDMKRDYAFVEFSDPRDADDARYSLNGRDLDGCRIIVEFAKGVPRGPGGSRDYAGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERDCQNSPKKLRPRSYSRSPSARRGRSRSRSYSRGRSDRSRSPVKRERSFERDDRRSRSPKRHRRSPSPVRGRKHSPARDERSPQERRSPSPRDRRHANGSDYSGSPRGRSRTPDREADAGDRDYKSSAKENGNSRSPSPIPKDDRSPIYDEEDDDNHASPRRSESN >KJB67673 pep chromosome:Graimondii2_0_v6:10:56849505:56853824:1 gene:B456_010G203100 transcript:KJB67673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRRGGTRLYVGHLSSRTRSRDLEDMFSRYGRVRDVDMKRDYAFVEFSDPRDADDARYSLNGRDLDGCRIIVEFAKGVPRGPGGSRDYAGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERDCQNSPKKLSRRPRSYSRSPSARRGRSRSRSYSRGRSDRSRSPVKRERSFERDDRRSRSPKRHRRSPSPVRGRKHSPARDERSPQERRSPSPRDRRHANGSDYSGSPRGRSRTPDREADAGDRDYKSSAKENGNSRSPSPIPKDDRSPIYDEEDDDNHASPRRSESN >KJB67670 pep chromosome:Graimondii2_0_v6:10:56849505:56853824:1 gene:B456_010G203100 transcript:KJB67670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRRGGTRLYVGHLSSRTRSRDLEDMFSRYGRVRDVDMKRDYAFVEFSDPRDADDARYSLNGRDLDGCRIIVEFAKGVPRGPGGSRDYAGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERDCQNSPKKLRPRSYSRSPSARRGRSRSRSYSRGRSDSRSRSPVKRERSFERDDRRSRSPKRHRRSPSPVRGRKHSPARDERSPQERRSPSPRDRRHANGSDYSGSPRGRSRTPDREADAGDRDYKSSAKENGNSRSPSPIPKDDRSPIYDEEDDDNHASPRRSESN >KJB67668 pep chromosome:Graimondii2_0_v6:10:56849619:56853824:1 gene:B456_010G203100 transcript:KJB67668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRRGGTRLYVGHLSSRTRSRDLEDMFSRYGRVRDVDMKRDYAFVEFSDPRDADDARYSLNGRDLDGCRIIVEFAKGVPRGPGGSRDYAGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERDCQNSPKKLSRRPRSYSRSPSARRGRSRSRSYSRGRSDRSRSPVKRERSFERDDRRSRSPKRHRRSPSPVRGRKHSPARDERSPQERRSPSPRDRRHANGSDYSGSPRGRSRTPDREADAGDRDYKSSAKENGNSRSPSPIPKDDRSPIYDEEDDDNHASPRRSESN >KJB67672 pep chromosome:Graimondii2_0_v6:10:56849616:56853824:1 gene:B456_010G203100 transcript:KJB67672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRRGGTRLYVGHLSSRTRSRDLEDMFSRYGRVRDVDMKRDYAFVEFSDPRDADDARYSLNGRDLDGCRIIVEFAKGVPRGPGGSRDYAGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERDCQNSPKKLSRRPRSYSRSPSARRGRSRSRSYSRGRSDSRSRSPVKRERSFERDDRRSRSPKRHRRSPSPVRGRKHSPARDERSPQERRSPSPRDRRHANGSDYSGSPRGRSRTPDREADAGDRDYKSSAKENGNSRSPSPIPKDDRSPIYDEEDDDNHASPRRSESN >KJB67671 pep chromosome:Graimondii2_0_v6:10:56849642:56853824:1 gene:B456_010G203100 transcript:KJB67671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRRGGTRLYVGHLSSRTRSRDLEDMFSRYGRVRDVDMKRDYAFVEFSDPRDADDARYSLNGRDLDGCRIIVEFAKGVPRGPGGSRDYAGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERDCQNSPKKLSRRPRSYSRSPSARRGRSRSRSYSRGRSDSRSRSPVKRERSFERDDRRSRSPKRHRRSPSPVRGRKHSPARDERSPQERRSPSPRDRRHANGSDYSGSPRGRSRTPDREADAGDRDYKSSAKENGNSRSPSPIPKDDRSPIYDEEDDDNHASPRRSESN >KJB67674 pep chromosome:Graimondii2_0_v6:10:56849505:56853841:1 gene:B456_010G203100 transcript:KJB67674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRRGGTRLYVGHLSSRTRSRDLEDMFSRYGRVRDVDMKRDYAFVEFSDPRDADDARYSLNGRDLDGCRIIVEFAKGVPRGPGGSRDYAGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERDCQNSPKKLSRRPRSYSRSPSARRGRSRSRSYSRGRSDSRSRSPVKRERSFERDDRRSRSPKRHRRSPSPVRGRKHSPARDERSPQERRSPSPRDRRHANGSDYSGSPRGRSRTPDREADAGDRDYKSSAKENGNSRSPSPIPKDDRSPIYDEEDDDNHASPRRSESN >KJB67675 pep chromosome:Graimondii2_0_v6:10:56851551:56853824:1 gene:B456_010G203100 transcript:KJB67675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDYAFVEFSDPRDADDARYSLNGRDLDGCRIIVEFAKGVPRGPGGSRDYAGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERDCQNSPKKLSRRPRSYSRSPSARRGRSRSRSYSRGRSDSRSRSPVKRERSFERDDRRSRSPKRHRRSPSPVRGRKHSPARDERSPQERRSPSPRDRRHANGSDYSGSPRGRSRTPDREADAGDRDYKSSAKENGNSRSPSPIPKDDRSPIYDEEDDDNHASPRRSESN >KJB68596 pep chromosome:Graimondii2_0_v6:10:61923246:61928196:1 gene:B456_010G253600 transcript:KJB68596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKIGLPAKPSLRGNNWVVDASHCQGCSSQFTFINRKHHCRRCGGLFCGSCTQKRMVLRGQGDSPVRICEPCKTLEEAARFELRHGHKSRAGRGSSKPAAKNEDDILNQILGADVKESSSLRVTSNNDMTPSVARANSSSSSSSVHDRGGEIHRSQSVDQRMQNDMASSSPEELRQQAVEEKRKYKILKGEGKPEEALKAFKRGKELERQAESLEIYIRKNRKKSLSSSNMSETQNKDAVSRKNKASTQVGKDDLAAELRELGWSDMDPHNEGKGSAAMSLEGELSSLLGEMPKKSGKHGTDKTEVVAIKKKALMLKREGKLAEAKEELKRAKVLEKQLEEQELLAGADDSDDELSAIINSMGNDKQDDMLVQYEHTEGFDFGKLLGTGDDIGIDDNFEVTDNDMDDPEIAAALKSLGWAEDSNPSEDIMPRSTPVNREALLNEILSLKREALSQKRAGNVAEAMVQLKKAKLLEKDLESYDSQAGNFTVHQNGPTPESADISKKSVKLGDDNDLKPPRKSRLAVQKELLGLKKKALALRREGRLDEAEEELKKGKILEQQLEEMDNTSSTKAAQVTLKDEHDSLSETLPVEGDVTDQDLHDPTYLSILKNLGWNENDDELSNSLPKHSKQKDSEKVIQSSSTQSPPMIPVKATRRTKAEIQRELLGLKRKALSLRRQGNTDEAEEVLETAKALEAEIAEMEAPQKVVEPKWPNEKGVVEEADENVTENDMTDPAMLSMLKNLGWKGDEVEPVTKHEKHSSESLHSGCPSVIQPSLGMSASPPRSKREIERELLNLKRKALTLRRNGQAEEAEDLLEKAKLLESELAELEAPKEELVADLSKDSKPTNAESFANHEKQGLSKNEVKGDFVSHISSLAKTDAPLSSLDLRTNDEGTDAKGRVVNREQKTHAIDASLGEGFASENNQDSLRQAVLSHKKKALALKRDGKLAEAREELRLAKLLEKSPTEDGTPPKADTNDGSISASSFPSDATKEKAASTLAPKPLSGRDRFKLQQESLSHKRQALKLRREGKLQEAEAEFEIAKSLEAQLEESSGQDSTNTGGGKADDVAVEDLLDPQLLSALKAIGLDGSSTIEHSPQRPEPVKHHIAKTDNVNQERILLEERIKAEKVKAVNLKRSGKQTEALDALRKAKMLEKKLNSLS >KJB67839 pep chromosome:Graimondii2_0_v6:10:58125577:58128228:1 gene:B456_010G214300 transcript:KJB67839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQADKEIEEILAAHLDQQKIDSEIPEQPVVEDDDEEDDDDDDDEDDAEGHHEGEGDGTGRSKQSRSEKKSRKAMLKLGMKPIPGVSRVTVKKSKNILFVISKPDVFKSPASDTYVIFGEAKIEDLSSQLQTRAAEQFKAPDLSQVISKPESSTAPKDIELVMTQAGVSRSKAVKALKAADGDIVSAIMELTA >KJB67840 pep chromosome:Graimondii2_0_v6:10:58125577:58128228:1 gene:B456_010G214300 transcript:KJB67840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQADKEIEEILAAHLDQQKIDSEIPEQPVVEDDDEEDDDDDDDEDDAEGHHEGEGDGTGRSKQSRSEKKSRKAMLKLGMKPIPGVSRVTVKKSKNILFVISKPDVFKSPASDTYVIFGEAKIEDLSSQLQTRAAEQFKAPDLSQVISKPESSTAVQDDEEVDETGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVSAIMELTA >KJB68451 pep chromosome:Graimondii2_0_v6:10:61472948:61474317:-1 gene:B456_010G245800 transcript:KJB68451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRLKTLLNPLSDPHLRLIATESTQNQLSNPSNCLNLITPINQPTLLKVCTILYQQQNSPDSRLHSSRSSYNPSFNPEFFLQEMGKLGLVNDKTFRIALKTLALARELKKCVGFFHLMNGFGVGYKLETLNTVVESLCEDKLVEEAKFVTFKLKECVEPNGASKIWNLMVDEGFEPDVEVVETMMEALFKTNKYDEAMKVFQMMRVKRMHDLGLSSYRLVIKWMCKRGKIEQANGMFEEMCQRGIQADNLTLASIIYGLLTRGRIREAYRIVEGIENPDISIYHGLIKGLLRLRKAGEATQVFREMIKRGCHLGKKGRKGHDPLVNFDSIFFGGLIKAGKTVEATKYVERTMKRGMEVPRFDYNKCLTWH >KJB67170 pep chromosome:Graimondii2_0_v6:10:52522179:52524769:1 gene:B456_010G179000 transcript:KJB67170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREELEWCVSVPKVELHAHLNGSVRNSTLFELARVLGDKGVIVFSDVENVIMKDDRTLHEVFKLFDLIHILTTDHSTVTRITKEVIEDFAAENVVYLELRTTPKRNDSIGMSKRSYMDAVMEGLRAVSSVDVDYSPAGLKTNTFNGSMRKKMYVRFLLSIDRRESTEAAMETVKLALEMRDLGVVGIDLSGNPIVGNWSTFLPALKFAKEQGLYITLHCGEVPNQEEIKAMIDFLPHRIGHACCFDEENWRKLKSAKIPVEICLTSNIRTETISSIDIHHFGQAFLLVFQLF >KJB67167 pep chromosome:Graimondii2_0_v6:10:52522179:52524769:1 gene:B456_010G179000 transcript:KJB67167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREELEWCVSVPKVELHAHLNGSVRNSTLFELARVLGDKGVIVFSDVENVIMKDDRTLHEVFKLFDLIHILTTDHSTVTRITKEVIEDFAAENVVYLELRTTPKRNDSIGMSKRSYMDAVMEGLRAVSSVDVDYSPAGLKTNTFNGSMRKKMYVRFLLSIDRRESTEAAMETVKLALEMRDLGVVGIDLSGNPIVGNWSTFLPALKFAKEQGLYITLHCGEVPNQEEIKAMIDFLPHRIGHACCFDEENWRKLKSAKIPVEICLTSNIRTETISSIDIHHFGQAFLLVFQLF >KJB67168 pep chromosome:Graimondii2_0_v6:10:52522266:52523717:1 gene:B456_010G179000 transcript:KJB67168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREELEWCVSVPKVELHAHLNGSVRNSTLFELARVLGDKGVIVFSDVENVIMKDDRTLHEVFKLFDLIHILTTDHSTVTRITKEVIEDFAAENVVYLELRTTPKRNDSIGMSKRSYMDAVMEGLRAVSSVDVDYSPAGLKTNTFNGSMRKKMYVRFLLSIDRRESTEAAMETVKLALEMRDLGVVGIDLSGNPIVGNWSTFLPALKFAKEQGLYITLHCGECL >KJB67166 pep chromosome:Graimondii2_0_v6:10:52522107:52524821:1 gene:B456_010G179000 transcript:KJB67166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREELEWCVSVPKVELHAHLNGSVRNSTLFELARVLGDKGVIVFSDVENVIMKDDRTLHEVFKLFDLIHILTTDHSTVTRITKEVIEDFAAENVVYLELRTTPKRNDSIGMSKRSYMDAVMEGLRAVSSVDVDYSPAGLKTNTFNGSMRKKMYVRFLLSIDRRESTEAAMETVKLALEMRDLGVVGIDLSGNPIVGNWSTFLPALKFAKEQGLYITLHCGEVPNQEEIKAMIDFLPHRIGHACCFDEENWRKLKSAKIPVEICLTSNIRTETISSIDIHHFVDLYKAKHPLVLCTDDSGVFSTSLSREYNLASSSFGLGKTEMFQLAEIAINFIFADDGVKTDLRATFEEAVKKLNL >KJB67169 pep chromosome:Graimondii2_0_v6:10:52522179:52524769:1 gene:B456_010G179000 transcript:KJB67169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREELEWCVSVPKVELHAHLNGSVRNSTLFELARVLGDKGVIVFSDVENVIMKDDRTLHEVFKLFDLIHILTTDHSTVTRITKEVIEDFAAENVVYLELRTTPKRNDSIGMSKRSYMDAVMEGLRAVSSVDVDYSPAGLKTNTFNGSMRKKMYVRFLLSIDRRESTEAAMETVKLALEMRDLGVVGIDLSGNPIVGNWSTFLPALKFAKEQGLYITLHCGECL >KJB63274 pep chromosome:Graimondii2_0_v6:10:1652119:1652247:-1 gene:B456_010G021100 transcript:KJB63274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADIAKHLYVYWWVSFCVLFVFIKLAKSDNYIVHMDISAMPK >KJB68454 pep chromosome:Graimondii2_0_v6:10:61488199:61490230:-1 gene:B456_010G246000 transcript:KJB68454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CRSERSYIVLFTQIVEYPQHMSELSNRFWVFSPRKYCFGSSFQIYGCLCGCSCWMLWERKKLWLCKISPAMASLLQSISITLILIPNRHAIPFIVLHGLHVFGGLLEDHISMPMSEHVLEMNSDTVVI >KJB64781 pep chromosome:Graimondii2_0_v6:10:8204054:8207537:1 gene:B456_010G064400 transcript:KJB64781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIEENMKSTHIRQIVSQAISLGMVISSALIIWKGLICITGSESLVVVVLSGSMEPGFKRGDILFLYMNKDPIRAGQIVVFNDGRDVPIVHRVIEVHEQRDSKEADILTKGDANLYDDRMLYTSSNRWLQQKYIMGRAVGFLPYVGWLTIIMTDKPIIKYILLGALGLLVITSKE >KJB64777 pep chromosome:Graimondii2_0_v6:10:8203967:8207647:1 gene:B456_010G064400 transcript:KJB64777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIEENMKSTHIRQIVSQAISLGMVISSALIIWKGLICITGSESLVVVVLSGSMEPGFKRVHEQRDSKEADILTKGDANLYDDRMLYTSSNRWLQQKYIMGRAVGFLPYVGWLTIIMTDKPIIKYILLGALGLLVITSKE >KJB64782 pep chromosome:Graimondii2_0_v6:10:8203967:8207647:1 gene:B456_010G064400 transcript:KJB64782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIEENMKSTHIRQIVSQAISLGMVISSALIIWKGLICITGSESLVVVVLSGSMEPGFKRGDILFLYMNKDPIRAGQIVVFNDGRDVPIVHRVIEVHEQRDSKEADILTKGDANLYDDRMLYTSSNRWLQQKYIMGRAVGFLPYVGWLTIIMTDKPIIKYILLGALGLLVITSKE >KJB64780 pep chromosome:Graimondii2_0_v6:10:8204054:8206527:1 gene:B456_010G064400 transcript:KJB64780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIEENMKSTHIRQIVSQAISLGMVISSALIIWKGLICITGSESLVVVVLSGSMEPGFKRGDILFLYMNKDPIRAGQIVVFNDGRDVPIVHRVIEVHEQRDSKEADILTKGDANLYDDRMLYTSSNRWLQQKYIMGRAVG >KJB64778 pep chromosome:Graimondii2_0_v6:10:8204126:8207537:1 gene:B456_010G064400 transcript:KJB64778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIEENMKSTHIRQIVSQAISLGMVISSALIIWKGLICITGSESLVVVVLSGSMEPGFKRGDILFLYMNKDPIRAGQIVVFNDGRDVPIVHRVIEVHEQRDSKEADILTKGDANLYDDRMLYTSSNRWLQQKYIMGRAVGFLPYVGWLTIIMTDKPIIKYILLGALGLLVITSKE >KJB64779 pep chromosome:Graimondii2_0_v6:10:8204054:8205533:1 gene:B456_010G064400 transcript:KJB64779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIEENMKSTHIRQIVSQAISLGMVISSALIIWKGLICITGSESLVVVVLSGSMEPGFKRGDILFLYMNKDPIRAGQIVVFNDGRDVPIVHRVIEVHEQRDSKEADILTKGSISLISS >KJB64955 pep chromosome:Graimondii2_0_v6:10:10414043:10417176:-1 gene:B456_010G073600 transcript:KJB64955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGVLQSSPLQQMTAGNLNWWNINSTMRPPPTTHLQPPSHFLPPSPPTLFPQFTPTPTSSSSSSWNDNNQELPQSWSQLLFCGLMGEEEKGGIGQFQVQPKKLENWEEQALQQTSNAISAVDVKQENPNNYVYGHANEDFHHQASKPAWSHQIMATSSALSPKSGVTSFSSKMLEFSGNKADARQPHPDRSSECNSSASGGAWKKARVQPSATQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGNGSGNIRQQQPVQGERNCIFPEDPGQLLNENSMKRKGGPDRQECDEEPKKDLRSRGLCLVPVSCTLQVGSDNGADYWAPAL >KJB64952 pep chromosome:Graimondii2_0_v6:10:10414322:10416830:-1 gene:B456_010G073600 transcript:KJB64952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGVLQSSPLQQMTAGNLNWWNINSTMRPPPTTHLQPPSHFLPPSPPTLFPQFTPTPTSSSSSSWNDNNQELPQSWSQLLFCGLMGEEEKGGIGQFQVQPKKLENWEEQALQQTSNAISAVDVKQENPNNYVYGHANEDFHHQASKPAWSHQIMATSSALSPKSGVTSFSSKMLEFSGNKADARQPHPDRSSECNSSASGGAWKKARVQPSATQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIELLNENSMKRKGGPDRQKECDEEPKKDLRSRGLCLVPVSCTLQVGSDNGADYWAPAL >KJB64953 pep chromosome:Graimondii2_0_v6:10:10414322:10416830:-1 gene:B456_010G073600 transcript:KJB64953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGVLQSSPLQQMTAGNLNWWNINSTMRPPPTTHLQPPSHFLPPSPPTLFPQFTPTPTSSSSSSWNDNNQELPQSWSQLLFCGLMGEEEKGGIGQFQVQPKKLENWEEQALQQTSNAISAVDVKQENPNNYVYGHANEDFHHQASKPAWSHQIMATSSALSPKSGVTSFSSKMLEFSGNKADARQPHPDRSSECNSSASGGAWKKARVQPSATQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGNGSGNIRQQQPVQGERNCIFPEDPGQLLNENSMKRKGGPDRQKECDEEPKKDLRSRGLCLVPVSCTLQVGSDNGADYWAPAL >KJB64954 pep chromosome:Graimondii2_0_v6:10:10414009:10417176:-1 gene:B456_010G073600 transcript:KJB64954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGVLQSSPLQQMTAGNLNWWNINSTMRPPPTTHLQPPSHFLPPSPPTLFPQFTPTPTSSSSSSWNDNNQELPQSWSQLLFCGLMGEEEKGGIGQFQVQPKKLENWEEQALQQTSNAISAVDVKQENPNNYVYGHANEDFHHQASKPAWSHQIMATSSALSPKSGVTSFSSKMLEFSGNKADARQPHPDRSSECNSSASGGAWKKARVQPSATQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIELLNENSMKRKGGPDRQECDEEPKKDLRSRGLCLVPVSCTLQVGSDNGADYWAPAL >KJB64525 pep chromosome:Graimondii2_0_v6:10:6083193:6091536:1 gene:B456_010G053000 transcript:KJB64525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQPQQPQHQHQHQQQQQQQQLQMQQLLMQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQSPQQPQQPQQRRDGSHLLNGSTNGLVGNDSLMRQPAGTANAMATKMYEERLKLPLQRDSLDDAAMKQRYGENVGQLLDPNHASILKPAAATSQPSGQVLHGTAGGMSPQVQARSQQLPGTTPQDIKSEINPVLNPRATGPDGSLIGIPGSNQGGNNLTLKGWPLTGLDQLRSGILQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQTLTSPAGSDENRRLRMLLNNNRTMGLSNSAGDVVPNVSPLQAGSPLMHRGDADVLMKLKLAQLQQQQQQQQNSSSQHALLNQQPQTSNPSLLQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGADGAGTLTSPSNQLWDDKDLELQADMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCVDVTKGVTFMELNSVRASSSKVTCCHFSSDGKLLASGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRFATSSFDKTVRVWDADSPGYSLRTFSGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINHGSCARVFKGGTAPGTAQLRFQPRFGKYLALAAENVVSILDAETQTCRHSLQGHTKLIHSVCWDLSGELLASVSEDSVRVWSFRSGSEGECVHELSCNGNKFHSCVFHPTSPSLLVIGCYQSLELWNMTEGKTKTLSAHEGLIAALAVSPVTGLVSSASHDKFIKLWKSYNC >KJB64524 pep chromosome:Graimondii2_0_v6:10:6083193:6091536:1 gene:B456_010G053000 transcript:KJB64524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQPQQPQHQHQHQQQQQQQQLQMQQLLMQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQSPQQPQQPQQRRDGSHLLNGSTNGLVGNDSLMRQPAGTANAMATKMYEERLKLPLQRDSLDDAAMKQRYGENVGQLLDPNHASILKPAAATSQPSGQVLHGTAGGMSPQVQARSQQLPGTTPDIKSEINPVLNPRATGPDGSLIGIPGSNQGGNNLTLKGWPLTGLDQLRSGILQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQTLTSPAGSDENRRLRMLLNNNRTMGLSNSAGDVVPNVSPLQAGSPLMHRGDADVLMKLKLAQLQQQQQQQQNSSSQHALLNQQPQTSNPSLLQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGADGAGTLTSPSNQLWDDKDLELQADMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCVDVTKGVTFMELNSVRASSSKVTCCHFSSDGKLLASGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRFATSSFDKTVRVWDADSPGYSLRTFSGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINHGSCARVFKGGTAPGTAQLRFQPRFGKYLALAAENVVSILDAETQTCRHSLQGHTKLIHSVCWDLSGELLASVSEDSVRVWSFRSGSEGECVHELSCNGNKFHSCVFHPTSPSLLVIGCYQAIFGAMEYDGG >KJB64523 pep chromosome:Graimondii2_0_v6:10:6083171:6091558:1 gene:B456_010G053000 transcript:KJB64523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQPQQPQHQHQHQQQQQQQQLQMQQLLMQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQSPQQPQQPQQRRDGSHLLNGSTNGLVGNDSLMRQPAGTANAMATKMYEERLKLPLQRDSLDDAAMKQRYGENVGQLLDPNHASILKPAAATSQPSGQVLHGTAGGMSPQVQARSQQLPGTTPDIKSEINPVLNPRATGPDGSLIGIPGSNQGGNNLTLKGWPLTGLDQLRSGILQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQTLTSPAGSDENRRLRMLLNNNRTMGLSNSAGDVVPNVSPLQAGSPLMHRGDADVLMKLKLAQLQQQQQQQQNSSSQHALLNQQPQTSNPSLLQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGADGAGTLTSPSNQLWDDKDLELQADMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCVDVTKGVTFMELNSVRASSSKVTCCHFSSDGKLLASGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRFATSSFDKTVRVWDADSPGYSLRTFSGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINHGSCARVFKGGTAPGTAQLRFQPRFGKYLALAAENVVSILDAETQTCRHSLQGHTKLIHSVCWDLSGELLASVSEDSVRVWSFRSGSEGECVHELSCNGNKFHSCVFHPTSPSLLVIGCYQSLELWNMTEGKTKTLSAHEGLIAALAVSPVTGLVSSASHDKFIKLWKSYNC >KJB64522 pep chromosome:Graimondii2_0_v6:10:6083162:6091540:1 gene:B456_010G053000 transcript:KJB64522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQPQQPQHQHQHQQQQQQQQLQMQQLLMQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQSPQQPQQPQQRRDGSHLLNGSTNGLVGNDSLMRQPAGTANAMATKMYEERLKLPLQRDSLDDAAMKQRYGENVGQLLDPNHASILKPAAATSQPSGQVLHGTAGGMSPQVQARSQQLPGTTPDIKSEINPVLNPRATGPDGSLIGIPGSNQGGNNLTLKGWPLTGLDQLRSGILQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQTLTSPAGSDENRRLRMLLNNNRTMGLSNSAGDVVPNVSPLQAGSPLMHRGDADVLMKLKLAQLQQQQQQQQNSSSQHALLNQQPQTSNPSLLQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGADGAGTLTSPSNQLADMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCVDVTKGVTFMELNSVRASSSKVTCCHFSSDGKLLASGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRFATSSFDKTVRVWDADSPGYSLRTFSGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINHGSCARVFKGGTAPGTAQLRFQPRFGKYLALAAENVVSILDAETQTCRHSLQGHTKLIHSVCWDLSGELLASVSEDSVRVWSFRSGSEGECVHELSCNGNKFHSCVFHPTSPSLLVIGCYQSLELWNMTEGKTKTLSAHEGLIAALAVSPVTGLVSSASHDKFIKLWKSYNC >KJB63531 pep chromosome:Graimondii2_0_v6:10:203303:205990:-1 gene:B456_010G004700 transcript:KJB63531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTATPSAIGSFRTRRSTNGVSRFKMSVRSGSVSMAAAPMLTKLQQDCATPLPVLRNVAASMSDDIRAGLAVDGGSDLKMILSYVDSLPTGDEKGLFYALDLGGTNFRVLRVELGGKDKRVIAIESDQVSIPQELMSATSEELFDFIASALANFAHKDGNFPGKKGEIGFTFSFPVKQTSIDSGILIKWTKGFAVSGTAGKDVVACLNEAMDRKGIDMRVSALVNDTVATLAGARYWDDDVMVSVILGTGTNACYVERMDCIPKLRGEFSPSGKTIVNLEWGAFSKGLPLTVFDRDMDAASINPGEQIFEKTISGMYLGEIARRALLHMAEEGSLFGKSMPEKLSMPFVLGTPDLSTMQQDGTEDLHTVGSVLYNVAGVKLFHHYSTLLNFSFYVNKSCIFQSYDETSSLMLQNRSSPT >KJB63530 pep chromosome:Graimondii2_0_v6:10:204164:205903:-1 gene:B456_010G004700 transcript:KJB63530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTATPSAIGSFRTRRSTNGVSRFKMSVRSGSVSMAAAPMLTKLQQDCATPLPVLRNVAASMSDDIRAGLAVDGGSDLKMILSYVDSLPTGDEKGLFYALDLGGTNFRVLRVELGGKDKRVIAIESDQVSIPQELMSATSEELFDFIASALANFAHKDGNFPGKKGEIGFTFSFPVKQTSIDSGILIKWTKGFAVSGTAGKDVVACLNEAMDRKGIDMRVSALVNDTVATLAGARYWDDDVMVSVILGTGTNACYVERMDCIPKLRGEFSPSGKTIVNLEWGAFSKGLPLTVFDRDMDAASINPGEQIFEKTISGMYLGEIARRALLHMAEEGSLFGKSMPEKLSMPFVLG >KJB63532 pep chromosome:Graimondii2_0_v6:10:203303:205990:-1 gene:B456_010G004700 transcript:KJB63532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTATPSAIGSFRTRRSTNGVSRFKMSVRSGSVSMAAAPMLTKLQQDCATPLPVLRNVAASMSDDIRAGLAVDGGSDLKMILSYVDSLPTGDEKGLFYALDLGGTNFRVLRVELGGKDKRVIAIESDQVSIPQELMSATSEELFDFIASALANFAHKDGNFPGKKGEIGFTFSFPVKQTSIDSGILIKWTKGFAVSGTAGKDVVACLNEAMDRKGIDMRVSALVNDTVATLAGARYWDDDVMVSVILGTGTNACYVERMDCIPKLRGEFSPSGKTIVNLEWGAFSKGLPLTVFDRDMDAASINPGEQIFEKTISGMYLGEIARRALLHMAEEGSLFGKSMPEKLSMPFVLG >KJB63529 pep chromosome:Graimondii2_0_v6:10:203280:206018:-1 gene:B456_010G004700 transcript:KJB63529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTATPSAIGSFRTRRSTNGVSRFKMSVRSGSVSMAAAPMLTKLQQDCATPLPVLRNVAASMSDDIRAGLAVDGGSDLKMILSYVDSLPTGDEKGLFYALDLGGTNFRVLRVELGGKDKRVIAIESDQVSIPQELMSATSEELFDFIASALANFAHKDGNFPGKKGEIGFTFSFPVKQTSIDSGILIKWTKGFAVSGTAGKDVVACLNEAMDRKGIDMRVSALVNDTVATLAGARYWDDDVMVSVILGTGTNACYVERMDCIPKLRGEFSPSGKTIVNLEWGAFSKGLPLTVFDRDMDAASINPGEQIFEKTISGMYLGEIARRALLHMAEEGSLFGKSMPEKLSMPFVLGTPDLSTMQQDGTEDLHTVGSVLYNVAGVESNLSSRKIVLEVCDTVVKRAARLAGAGIVGILQKIEKDTKDAIFGKRTVVAMDGGLYERYPQYRRYLKEAVAELLGPETSQNIVIEHSKDGSGIGAALLAATNSKCGQNL >KJB67142 pep chromosome:Graimondii2_0_v6:10:51932997:51936204:1 gene:B456_010G177400 transcript:KJB67142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSSSAYLFLHSFFTLSLFLAISTAESESELELVADLRALQSESKSGVIHLDDRTIAKFLTSPKTPRPYSILIFFDATQLHDKTELHLRELRQEFALVASSFITNHNNSNTKLFFADIEFRESQSSFHLFGVNSLPHIRLVGPTAKSLKDESEQMDQGDFSRLAESMAEFVESRTKLTVGPIHRPPILSKTQMGLIVALLLISSPFIAKKIFAGETLLHDPKIWLSGAVFIYFFSVSGAMHNIIRKMPMFLVDRNDPNKLIFFYQGSGMQLGAEGFAVATVKALKCNLNG >KJB67141 pep chromosome:Graimondii2_0_v6:10:51932997:51934902:1 gene:B456_010G177400 transcript:KJB67141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSSSAYLFLHSFFTLSLFLAISTAESESELELVADLRALQSESKSGVIHLDDRTIAKFLTSPKTPRPYSILIFFDATQLHDKTELHLRELRQEFALVASSFITNHNNSNTKLFFADIEFRESQSSFHLFGVNSLPHIRLVGPTAKSLKDESEQMDQGDFSRLAESMAEFVESRTKLTIWLSGAVFIYFFSVSGAMHNIIRKMPMFLVDRNDPNKLIFFYQGSGMQLGAEGFAVGFLYTIVGLLLAFVTHLLVYVKNAKAKRVAMVFAICVSFWAVQKVIFLDNWKTGYGIHGFWPSSWN >KJB67620 pep chromosome:Graimondii2_0_v6:10:56336329:56339400:1 gene:B456_010G200600 transcript:KJB67620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAISTVAAAAAANRALGVSVPTSAFMGKKVSSRFNSTRQVPSGSFKVMAAEKEIDEETQTEKDRWKGLAYDISDDQQDITRGKGMVDSLFQAPMNDGTHYAVMSSYEYLSQGLKTYNLDNNMDGFYIAPAFMDKLVVHITKNFMSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRVGVCKGIFRTDGIPDEDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWISDVGVAGVGKKLVNSRDGPPTFEQPKMTIEKLLEYGNMLVAEQENVKRVQLADKYLSEAALGEANEDSINRGTFYG >KJB67621 pep chromosome:Graimondii2_0_v6:10:56336329:56339765:1 gene:B456_010G200600 transcript:KJB67621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAISTVAAAAAANRALGVSVPTSAFMGKKVSSRFNSTRQVPSGSFKVMAAEKEIDEETQTEKDRWKGLAYDISDDQQDITRGKGMVDSLFQAPMNDGTHYAVMSSYEYLSQGLKTYNLDNNMDGFYIAPAFMDKLVVHITKNFMSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRVGVCKGIFRTDGIPDEDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWISDVGVAGVGKKLVNSRDGPPTFEQPKMTIEKLLEYGNMLVAEQENVKRVQLADKYLSEAALGEANEDSINRGTFYGKAAQQVGVPVPEGCTDPNADNFDPTARSDDGTCTYQF >KJB66346 pep chromosome:Graimondii2_0_v6:10:31512156:31516303:-1 gene:B456_010G136800 transcript:KJB66346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKERLLPPKPQSAINIRDASYRPSASGRQPFQGMDLSGLKKRGQGLRSWIRVDTSGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTSGVGEVWQSEGPELNRRRSSRGFDYVYGSTSPDYLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKSRMESSFYGDQSLMGFRSNDGLSASAPVSPVASPPELRRLEKSLSIARSRHESMRSSESATENIEELEMLLEAYFVLIDSTLNKLTSVCRALIAV >KJB66345 pep chromosome:Graimondii2_0_v6:10:31512019:31516303:-1 gene:B456_010G136800 transcript:KJB66345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKERLLPPKPQSAINIRDASYRPSASGRQPFQGMDLSGLKKRGQGLRSWIRVDTSGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTSGVGEVWQSEGPELNRRRSSRGFDYVYGSTSPDYLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKSRMESSFYGDQSLMGFRSNDGLSASAPVSPVASPPELRRLEKSLSIARSRHESMRSSESATENIEELEMLLEAYFVLIDSTLNKLTSLKEYIDDTEDFINIQLVSYFPSLIE >KJB66347 pep chromosome:Graimondii2_0_v6:10:31511664:31517097:-1 gene:B456_010G136800 transcript:KJB66347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKERLLPPKPQSAINIRDASYRPSASGRQPFQGMDLSGLKKRGQGLRSWIRVDTSGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTSGVGEVWQSEGPELNRRRSSRGFDYVYGSTSPDYLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKSRMESSFYGDQSLMGFRSNDGLSASAPVSPVASPPELRRLEKSLSIARSRHESMRSSESATENIEELEMLLEAYFVLIDSTLNKLTSLKEYIDDTEDFINIQLGAIVV >KJB66344 pep chromosome:Graimondii2_0_v6:10:31510725:31517305:-1 gene:B456_010G136800 transcript:KJB66344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKERLLPPKPQSAINIRDASYRPSASGRQPFQGMDLSGLKKRGQGLRSWIRVDTSGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTSGVGEVWQSEGPELNRRRSSRGFDYVYGSTSPDYLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKSRMESSFYGDQSLMGFRSNDGLSASAPVSPVASPPELRRLEKSLSIARSRHESMRSSESATENIEELEMLLEAYFVLIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFAIPMFDDPGAFKWVLIITGVCGIIIFCAFVWFFKYRRLMPL >KJB67408 pep chromosome:Graimondii2_0_v6:10:54311160:54311958:-1 gene:B456_010G189800 transcript:KJB67408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPMGIQQKLNQYRFHFILAIFVSSIIAFLSYVAPSMLTILAYFWPLFASTTVLLVAIMAFGGVSQLATEAHGEKAGEGLLDYVAAARLEHIDQEPQKFE >KJB66433 pep chromosome:Graimondii2_0_v6:10:33607885:33611446:-1 gene:B456_010G139900 transcript:KJB66433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSVKVGNVSLGASEKDLKEFFSFSGEIAYVEMNGDNEQSQVAYITFKDSKGAETAVLLSGATIVDQSVTIELAPDYKPPASATLATENKGSANAESAVQKAEDVVSDMLARGFILGKDALNKAKTFDEKHGLTSTATATVASLDEKIGFTEKINVGTTIMNEKVREMDQKYQVSEKTKSALTAAEQTVSNASSALMKNQYVLTGATWAMGAFNKVAKAAGDVGQKTREKVLAEEQAKNPEGNAQVNEAESVKAAEPAEGGKQPSNPPSTQDKVVGEQQAQKPEGNAEIKEAESIKVTLL >KJB66640 pep chromosome:Graimondii2_0_v6:10:40064323:40065625:-1 gene:B456_010G149300 transcript:KJB66640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRALCGDKNGLKKGPWTPEEDKKLIDYIQKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKILLRMGIDPVTHTPRLDLLGLSSLHNQYLIQSFANPELLRNVDQYQPLTQSNHCHAQVEETQTCSVPFYNEEQQLVMEPNVNQFPSNFNDYVMPSILTEDYVPLPPQYNYYGSDYQTVMDPSIETSNFHPINSNQSLGLGSVVSTPSSSPAPLNSNSAYLNSSSTTEDETESYCTNILKFEIPDILDVNDFM >KJB65314 pep chromosome:Graimondii2_0_v6:10:13634124:13637137:-1 gene:B456_010G088900 transcript:KJB65314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSGGSSFVQPLHPPSPKSPPKYPDLYGKRRERAKVQMLEREISFLEEELKSVEGFQPASRYCKEVTDFVMANSDPLIQLKNQKSCGFWKWLCGLPCFNMACTSCCCNSGCCCYLKCPECWKCSLCDCSLCNCMSCKCCTSSDCIACKCSSCDCSSCNCGSCFSCCKVPKWQCCCRKMCCCNFQPPSCTNCCSCKWKCYCPKCPKLCNCFSCTKTCCNPCC >KJB65313 pep chromosome:Graimondii2_0_v6:10:13634124:13636390:-1 gene:B456_010G088900 transcript:KJB65313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSGGSSFVQPLHPPSPKSPPKYPDLYGKRRERAKVQMLEREISFLEEELKSVEGFQPASRYCKEVTDFVMANSDPLIQLGLPCFNMACTSCCCNSGCCCYLKCPECWKCSLCDCSLCNCMSCKCCTSSDCIACKCSSCDCSSCNCGSCFSCCKVPKWQCCCRKMCCCNFQPPSCTNCCSCKWKCYCPKCPKLCNCFSCTKTCCNPCC >KJB65315 pep chromosome:Graimondii2_0_v6:10:13634285:13636150:-1 gene:B456_010G088900 transcript:KJB65315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSGGSSFVQPLHPPSPKSPPKYPDLYGKRRERAKVQMLEREISFLEEELKSVEGFQPASRYCKEVTDFVMANSDPLIQLRKNQKSCGFWKWLCGLPCFNMACTSCCCNSGCCCYLKCPECWKCSLCDCSLCNCMSCKCCTSSDCIACKCSSCDCSSCNCGSCFSCCKVPKWQCCCRKMCCCNFQPPSCTNCCSCKWKCYCPKCPKLCNCFSCTKTCCNPCC >KJB66948 pep chromosome:Graimondii2_0_v6:10:48699765:48700514:1 gene:B456_010G167700 transcript:KJB66948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEVVYMQNWGAVAPSPLINPRKSSTYPRLETIHEEGCENHSVFSKKFLLVLPLVLSTGVYFLVNKDLTLCA >KJB68615 pep chromosome:Graimondii2_0_v6:10:62004848:62006551:-1 gene:B456_010G254900 transcript:KJB68615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISPSITSSFLPKKSKYSIIKNPKFKNPKSIPCKAINGEQEHPKHKFDRRDVLIGLGGLYYGAASLNDAMAAPISAPEMEKCGRADVPEGVQTTDCCPPVSKRILDFKPPFSNSPVRVRPAAHLVDDEYIRKYSKAIELMKALPHDDPRSFMQQANVHCAYCEGAYDQVGFPNLELQVHNSWLFFPFHRYYLYFFERILGHLIDDPTFGLPFWNWDSPAGMWMPDMFMDTNSSLYDKFRNSRHYPPTMVDLDYNGEDEHETKMNKDQVKSNLSIMYRQMVSNAKTPRLFLGGPFRAGDEPYQGSGSIENVPHGPVHVWCGDDKQPNGEDMGTFYSAARDPIFYAHHSNVDRMWSVWKTIENINRKDFDDPDWLNASFLFYDENANLVRVKVRDCLDHRNLGYVYQFVDLPWLKEKPTPRKLTKKNKAPPPPVSSTEFVLDKPVRVNVERPRKSRSKTEKEEKEEILVIDIELKGDLFVKFDVYVNDEDDAGSNIGSENTEFAGSYVNVPNKHKQGKTLKTRLRLGLTDLLEDLGADDDDGIVVTLVPKYGKGNIKISGIKIEFDN >KJB66302 pep chromosome:Graimondii2_0_v6:10:30018107:30024294:-1 gene:B456_010G134500 transcript:KJB66302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSARLNINRGSQPHVSGISTPAEFDAASVATEIPLLTYGEEDAGISSDKHALIVPPFMSCGKRVHPMPVPDPSLTLPPRPMDPKKDLADYGYGTVAWKERMEDWKRKQNEKLQVVKHEGYNRDEFEDPDLPVMDEGRQPLSRKLPIPSSKINPYRLIILLRLVVLVLFFHYRILHPVNDAYVLWLLSVICEIWFAVSWILDQFPKWCPIERETYLDRLSLRYEKEGKSSELASVDIFVSTVDPLKEPPLITANTVLSILSVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFSIEPRAPEWYFSQKVDYLRDKVDPAFVRERRAMKREYEEFKVRINGLVSTAQKVPEEGWTMQDGTPWPGNNIRDHPGMIQVFLGHDGVRDIEGNELPRLIYVSREKRPGFDHHKKAGAMNALVRVSAIISNAPFLLNVDCDHYINNSKALREAMCFMMDPISGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPVKKKPPRRTCNCLPKWCCCCCCRSKKKNKKSKSNDKKNNKEVTKQIYALENIEEGIEGIDNEKSSLMPQIKFEKKFGQSPVFIASTLMEDGGVPKGATTASLLKEAIHVISCGYEDKTDWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGCGLKPLERFSYIASVVYPLTSIPLLIYCTLPAVCLLTGKFIVPEISNYASLLFMSLFVVIAVTSILEMQWGGVGIHDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVNTNFTVTSKGGDDGEFSELYLFKWTSLLIPPMTLLIINVIGVIVGVSDAISNGYDSWGPLFGRLFFAIWVIVHLYPFLKGLMGKQDRLPTIIVVWSILLASILSLLWARVNPFVSKGDIVLEVCGLDCD >KJB66303 pep chromosome:Graimondii2_0_v6:10:30018107:30025574:-1 gene:B456_010G134500 transcript:KJB66303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKGRLVAGSHNRNEFVLINADEIARVTSVKELSGQICQICGDEIEISLDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGCPRVEGDEEEDGADDLENEFDIASQDRRDSHHIAAAMLSARLNINRGSQPHVSGISTPAEFDAASVATEIPLLTYGEEDAGISSDKHALIVPPFMSCGKRVHPMPVPDPSLTLPPRPMDPKKDLADYGYGTVAWKERMEDWKRKQNEKLQVVKHEGYNRDEFEDPDLPVMDEGRQPLSRKLPIPSSKINPYRLIILLRLVVLVLFFHYRILHPVNDAYVLWLLSVICEIWFAVSWILDQFPKWCPIERETYLDRLSLRYEKEGKSSELASVDIFVSTVDPLKEPPLITANTVLSILSVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFSIEPRAPEWYFSQKVDYLRDKVDPAFVRERRAMKREYEEFKVRINGLVSTAQKVPEEGWTMQDGTPWPGNNIRDHPGMIQVFLGHDGVRDIEGNELPRLIYVSREKRPGFDHHKKAGAMNALVRVSAIISNAPFLLNVDCDHYINNSKALREAMCFMMDPISGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPVKKKPPRRTCNCLPKWCCCCCCRSKKKNKKSKSNDKKNNKEVTKQIYALENIEEGIEGIDNEKSSLMPQIKFEKKFGQSPVFIASTLMEDGGVPKGATTASLLKEAIHVISCGYEDKTDWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGCGLKPLERFSYIASVVYPLTSIPLLIYCTLPAVCLLTGKFIVPEISNYASLLFMSLFVVIAVTSILEMQWGGVGIHDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVNTNFTVTSKGGDDGEFSELYLFKWTSLLIPPMTLLIINVIGVIVGVSDAISNGYDSWGPLFGRLFFAIWVIVHLYPFLKGLMGKQDRLPTIIVVWSILLASILSLLWARVNPFVSKGDIVLEVCGLDCD >KJB65333 pep chromosome:Graimondii2_0_v6:10:13926408:13928227:1 gene:B456_010G090600 transcript:KJB65333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSCSPEFMVQKPARSPEKTSFTQTCNLLSQYLKEKGSFGDLSLGMTCNVEANETPEMLRPTMNLFPVNGKSGDDCHAAPPPRKLRSMDLFPNQAAFSSPKDDALKSSMNKLGSSVEPQTAQMTIFYGGQVIVFNDFPADKAKEIMLLAGKGSSQNNSFNPNPPHINAPFTSTIATSPVESGIGVPPTPNFSTTVTQECIRSAQRPIPGDLPIARRASLHRFLEKRKDR >KJB65335 pep chromosome:Graimondii2_0_v6:10:13927210:13928976:1 gene:B456_010G090600 transcript:KJB65335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFIYGYVAETPEMLRPTMNLFPVNGKSGDDCHAAPPPRKLRSMDLFPNQAAFSSPKDDALKSSMNKLGSSVEPQTAQMTIFYGGQVIVFNDFPADKAKEIMLLAGKGSSQNNSFNPNPPHINAPFTSTIATSPVESGIGVPPTPNFSTTVTQECIRSAQRPIPGDLPIARRASLHRFLEKRKDRMTTSAPYQISNSTASSSKPGNDKSWLGLAAQSP >KJB65332 pep chromosome:Graimondii2_0_v6:10:13926370:13929049:1 gene:B456_010G090600 transcript:KJB65332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSCSPEFMVQKPARSPEKTSFTQTCNLLSQYLKEKGSFGDLSLGMTCNVEANETPEMLRPTMNLFPVNGKSGDDCHAAPPPRKLRSMDLFPNQAAFSSPKDDALKSSMNKLGSSVEPQTAQMTIFYGGQVIVFNDFPADKAKEIMLLAGKGSSQNNSFNPNPPHINAPFTSTIATSPVESGIGVPPTPNFSTTVTQECIRSAQRPIPGDLPIARRASLHRFLEKRKDRMTTSAPYQISNSTASSSKPGNDKSWLGLAAQSP >KJB65334 pep chromosome:Graimondii2_0_v6:10:13926645:13927792:1 gene:B456_010G090600 transcript:KJB65334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSCSPEFMVQKPARSPEKTSFTQTCNLLSQYLKEKGSFGDLSLGMTCNVEANETPEMLRPTMNLFPVNGKSGDDCHAAPPPRKLRSMDLFPNQAAFSSPKDDALKSSMNKLGSSVEPQTAQMTIFYGGQVIVFNDFPADKAKEIMLLAGKGSSQNNSFNPNPPHINAPFTSTIATSPVESGIGVPPTPNFSTTVTQECIRSAQRPIPGGKPH >KJB64078 pep chromosome:Graimondii2_0_v6:10:2879342:2881129:-1 gene:B456_010G032500 transcript:KJB64078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMIVYTNHAHEGFCYFHREGLRNSRFLEARVKAKAVAKASMGCKLGGFQMRRRCKVLAVSKIESAGVNGRLQGYESSQQGGFGNGHVLSSPSSSIIEESGSNNQLRKFVRNGELEEGFKLLEGMVYHGEIPDIIACTSLIRGFCKRGKTRKATRVMEIIEDSGAVPDVITYNVLISGYCRAGEIDNALQVLDRMSVAPDVVTYNTILRSLCDSGKLKQAMEVLDRQLKKECYPDVITYTILIEATCKDSGVAQAMKLLDEMRSRGCKPDVVTYNVLVNGICKEGRLDEAIKFLNSMPSYGCQPNVITHNIILRSMCSTGRWMDAEKLLADMLRKGCSPSVVTFNILINFLCRKGLLGRAIDVLEKMHKHGCTPNSLSYNPLLHGFCKEKKMERAIEYLEIMVSRGCYPDIVTYNTLLTALCRDGKVDVAVEILNQLSTKGCSPVLITYNTVIDGLSKVGKTDQAIKLLDEMRAKGLKPDIITYSSLVGGLSREGKVDDAIKFFHDFERMGVRPNAITYNSIMLGLCKARQTDRAIDFLAYMVMRGCKPTESTYTILIEGIAYEGLANEALELLNELCYRGVVKKSSAEQVAVKM >KJB64077 pep chromosome:Graimondii2_0_v6:10:2878999:2881356:-1 gene:B456_010G032500 transcript:KJB64077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMIVYTNHAHEGFCYFHREGLRNSRFLEARVKAKAVAKASMGCKLGGFQMRRRCKVLAVSKIESAGVNGRLQGYESSQQGGFGNGHVLSSPSSSIIEESGSNNQLRKFVRNGELEEGFKLLEGMVYHGEIPDIIACTSLIRGFCKRGKTRKATRVMEIIEDSGAVPDVITYNVLISGYCRAGEIDNALQVLDRMSVAPDVVTYNTILRSLCDSGKLKQAMEVLDRQLKKECYPDVITYTILIEATCKDSGVAQAMKLLDEMRSRGCKPDVVTYNVLVNGICKEGRLDEAIKFLNSMPSYGCQPNVITHNIILRSMCSTGRWMDAEKLLADMLRKGCSPSVVTFNILINFLCRKGLLGRAIDVLEKMHKHGCTPNSLSYNPLLHGFCKEKKMERAIEYLEIMVSRGCYPDIVTYNTLLTALCRDGKVDVAVEILNQLSTKGCSPVLITYNTVIDGLSKVGKTDQAIKLLDEMRAKGLKPDIITYSSLVGGLSREGKVDDAIKFFHDFERMGVRPNAITYNSIMLGLCKARQTDRAIDFLAYMVMRGCKPTESTYTILIEGIAYEGLANEALELLNELCYRGVVKKSSAEQVAVKM >KJB64079 pep chromosome:Graimondii2_0_v6:10:2878999:2881623:-1 gene:B456_010G032500 transcript:KJB64079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKLGGFQMRRRCKVLAVSKIESAGVNGRLQGYESSQQGGFGNGHVLSSPSSSIIEESGSNNQLRKFVRNGELEEGFKLLEGMVYHGEIPDIIACTSLIRGFCKRGKTRKATRVMEIIEDSGAVPDVITYNVLISGYCRAGEIDNALQVLDRMSVAPDVVTYNTILRSLCDSGKLKQAMEVLDRQLKKECYPDVITYTILIEATCKDSGVAQAMKLLDEMRSRGCKPDVVTYNVLVNGICKEGRLDEAIKFLNSMPSYGCQPNVITHNIILRSMCSTGRWMDAEKLLADMLRKGCSPSVVTFNILINFLCRKGLLGRAIDVLEKMHKHGCTPNSLSYNPLLHGFCKEKKMERAIEYLEIMVSRGCYPDIVTYNTLLTALCRDGKVDVAVEILNQLSTKGCSPVLITYNTVIDGLSKVGKTDQAIKLLDEMRAKGLKPDIITYSSLVGGLSREGKVDDAIKFFHDFERMGVRPNAITYNSIMLGLCKARQTDRAIDFLAYMVMRGCKPTESTYTILIEGIAYEGLANEALELLNELCYRGVVKKSSAEQVAVKM >KJB66923 pep chromosome:Graimondii2_0_v6:10:47991900:47993411:-1 gene:B456_010G166000 transcript:KJB66923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSFLSLLVPLLVTLLLLILLLEQIWYLRRKRNVPGPNILFPFLGNVIPLISNPTKFWQLQAQLSASVGFSVNYVFGRFIVFIRNTELSHLIFANVKPDAFSLIVHPFGKKLFGQRNMIYMFGQDHKDLRRHIAPNFTLKALSTYTQLQQLIILEHLKSWERLWSTVSPAEPIPLRLLVRDLYLETSQTVFVGTYLSSEARERFKVDYDLFNTGLMKLPFDLPGFAFRKAKLGIERMLKTLTHCAAESKKRMLQGEDPSCLIDFWMQEMVRVTAESKTPPPHSTDEEIGNYLFDFLFAAQDASTSSLLWVVTLLDSHPDVLRKVREEVSRIWSPESDVLMTAEQLREMKYTQAVALEVVRYRPPATLVPHIAVEDFPLTEWYTIPKGSIVFPSVYESSFQGFREADRFKPERFSEERHVDVIFRRNYLAFGAGPHQCVGQRYALNHLVLFIAMFVTLLDFKRHRTDGCDEIMYTPTISPKDGCMVFLTWRCPRYPNFTLN >KJB64377 pep chromosome:Graimondii2_0_v6:10:4995745:4997324:-1 gene:B456_010G046400 transcript:KJB64377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KIVAIENYTKGKMLTKATGFVDFNFPEDLNGHDTACASIAAGALIELKWLKDISGIFNSKIQRANPFAKIASYKVFGDKVIVGKSVEVLKNSLLDAMKKVTLDKVDVIMVSLNTDDLSNISSYLCDLVNMGGYLEMKENVVVCTSSCNHGHDYYTLLGGFITQVELGGGTQIKGFGSFMDKDVDYCELIHWSDAVKAVGTSKGKEVESKAETSQLQKKRMEIRKDVKGKIIYGDGEIKGRIKELLNANVAGILCSSQIHDYQSYELHRPVVYVTKKDRIKIQKYIDNSNRSLISGKGPNPYDPYVLKVMSDTSMVNAVVASMLSYIKTFHKDWGIARIKVAIITSDN >KJB67088 pep chromosome:Graimondii2_0_v6:10:51465369:51480704:1 gene:B456_010G175600 transcript:KJB67088 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MGTGKEDTAVFLDRASRSTRGRRMTKLLDEEFEEDELFWNQEAFKEEENDVNYEEEPEVADVFDSDFDEDEPEPEEEVENEADERVRTKKRLIFPGKPSMKKKKKKKVLSNLDGDSKDENLTQKTSSTQHHDAPDDAEGERIIRKSTRTSVIVRQAERDAIRAALQATMKPIKRKKEGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKKRAIIHKAVYSGPQIKYVSKEGSSYLEFSKGLTFHSELSTTPLPYPEKAMCAVTGLPARYRDPKTGLCYATKEAFKIIRERFRDEHKSAPKKMDMGVLLDSLSGQGLMPRRKRSHVSNRSQTSRFQYLGNFRRTPPDDDESSD >KJB67085 pep chromosome:Graimondii2_0_v6:10:51465333:51480848:1 gene:B456_010G175600 transcript:KJB67085 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MGTGKEDTAVFLDRASRSTRGRRMTKLLDEEFEEDELFWNQEAFKEEENDVNYEEEPEVADVFDSDFDEDEPEPEEEVENEADERVRTKKRLIFPGKPSMKKKKKKKVLSNLDGDSKDENLTQKTSSTQHHDAPDDAEGERIIRKSTRTSVIVRQAERDAIRAALQATMKPIKRKKEGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKKRAIIHKAVYSGPQIKYVSKEGSSYLEFSKGLTFHSELSTTPLPYPEKAMCAVTGLPARYRDPKTGLCYATKEAFKIIRERFRDEHKSAPKKMDMGVLLDSLSGQGLMPRRKRSHVSNRSQTSRFQYLGNFRRTPPDDDESSD >KJB67087 pep chromosome:Graimondii2_0_v6:10:51465369:51480633:1 gene:B456_010G175600 transcript:KJB67087 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MGTGKEDTAVFLDRASRSTRGRRMTKLLDEEFEEDELFWNQEAFKEEENDVNYEEEPEVADVFDSDFDEDEPEPEEEVENEADERVRTKKRLIFPGKPSMKKKKKKKVLSNLDGDSKDENLTQKTSSTQHHDAPDDAEGERIIRKSTRTSVIVRQAERDAIRAALQATMKPIKRKKEGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKKRAIIHKAVYSGPQIKYVSKEGSSYLEFSKGLTFHSELSTTPLPYPEKAMCAVTGLPARYRDPKTGLCYATKEAFKIIRERFRDEHKSAPKKMDMGVLLDSLSGQGLMPRRKRSHVSNRSQTSRFQYLGNFRRTPPDDDESSD >KJB67090 pep chromosome:Graimondii2_0_v6:10:51466322:51480633:1 gene:B456_010G175600 transcript:KJB67090 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MKKKKKKKVLSNLDGDSKDENLTQKTSSTQHHDAPDDAEGERIIRKSTRTSVIVRQAERDAIRAALQATMKPIKRKKEGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKKRAIIHKAVYSGPQIKYVSKEGSSYLEFSKGLTFHSELSTTPLPYPEKAMCAVTGLPARYRDPKTGLCYATKEAFKIIRERFRDEHKSAPKKMDMGVLLDSLSGQGLMPRRKRSHVSNRSQTSRFQYLGNFRRTPPDDDESSD >KJB67089 pep chromosome:Graimondii2_0_v6:10:51466268:51480848:1 gene:B456_010G175600 transcript:KJB67089 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MKKKKKKKVLSNLDGDSKDENLTQKTSSTQHHDAPDDAEGERIIRKSTRTSVIVRQAERDAIRAALQATMKPIKRKKEGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKKRAIIHKAVYSGPQIKYVSKEGSSYLEFSKGLTFHSELSTTPLPYPEKAMCAVTGLPARYRDPKTGLCYATKEAFKIIRERFRDEHKSAPKKMDMGVLLDSLSGQGLMPRRKRSHVSNRSQTSRFQYLGNFRRTPPDDDESSD >KJB67086 pep chromosome:Graimondii2_0_v6:10:51465333:51480848:1 gene:B456_010G175600 transcript:KJB67086 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MGTGKEDTAVFLDRASRSTRGRRMTKLLDEEFEEDELFWNQEAFKEEENDVNYEEEPEVADVFDSDFDEDEPEPEEEVENEADERVRTKKRLIFPGKPSMKKKKKKKVLSNLDGDSKDENLTQKTSSTQHHDAPDDAEGERIIRKSTRTSVIVRQAERDAIRAALQATMKPIKRKKEGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKKRAIIHKAVYSGPQIKYVSKEGSSYLEFSKGLTFHSELSTTPLPYPEKAMCAVTGLPARYRDPKTGLCYATKEAFKIIRERFRDEHKSAPKKMDMGVLLDSLSGQGLMPRRKRSHVSNRSQTSRFQYLGNFRRTPPDDDESSD >KJB65009 pep chromosome:Graimondii2_0_v6:10:10821033:10828561:-1 gene:B456_010G075800 transcript:KJB65009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPETSTAAVPTLYTNNTLRNAFGGVLSFFILLLIGVMAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLAKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGTGAGLTAAALLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNSIAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRFSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIIIHVVAFVYYIKGILSPKMFKVAVTLVVSVGLAVCCAVIAVLIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFVVLYIATSVYFSGVMVRLMLVLAPAACITSGIALSQAFDVFTGSIKFQLTGASSNTEVDAAETSSSTAESQTDAVKTEKPEETAKNRPSRKSKKKEREHGEKPSTEAKAEKKRLLALPLESSVHCVWAAAEAYSAPSIVLTSRSHDGLHVFDDFREAYAWLRHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPERAAWEIFHSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLVSSLC >KJB65008 pep chromosome:Graimondii2_0_v6:10:10820244:10826735:-1 gene:B456_010G075800 transcript:KJB65008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSWGGYTFIINLIPMHVLLCIVTGRFSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIIIHVVAFVYYIKGILSPKMFKVAVTLVVSVGLAVCCAVIAVLIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFVVLYIATSVYFSGVMVRLMLVLAPAACITSGIALSQAFDVFTGSIKFQLTGASSNTEVDAAETSSSTAESQTDAVKTEKPEETAKNRPSRKSKKKEREHGEKPSTEAKAEKKRLLALPLESSVISLLLLVLLGAFYVVHCVWAAAEAYSAPSIVLTSRSHDGLHVFDDFREAYAWLRHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPERAAWEIFHSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNCLMYKLSYYRFVETDGKGFDRVRQTEIGKKYFKLTHFEEAFTTHHWMVRIYKLKPPKNRIRGKTKKSKSKTSSTTSSPKRSGTKKKNPWQ >KJB65007 pep chromosome:Graimondii2_0_v6:10:10820208:10828667:-1 gene:B456_010G075800 transcript:KJB65007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPETSTAAVPTLYTNNTLRNAFGGVLSFFILLLIGVMAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLAKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGTGAGLTAAALLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNSIAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRFSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIIIHVVAFVYYIKGILSPKMFKVAVTLVVSVGLAVCCAVIAVLIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFVVLYIATSVYFSGVMVRLMLVLAPAACITSGIALSQAFDVFTGSIKFQLTGASSNTEVDAAETSSSTAESQTDAVKTEKPEETAKNRPSRKSKKKEREHGEKPSTEAKAEKKRLLALPLESSVISLLLLVLLGAFYVVHCVWAAAEAYSAPSIVLTSRSHDGLHVFDDFREAYAWLRHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPERAAWEIFHSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNCLMYKLSYYRFVETDGKGFDRVRQTEIGKKYFKLTHFEEAFTTHHWMVRIYKLKPPKNRIRGKTKKSKSKTSSTTSSPKRSGTKKKNPWQ >KJB68599 pep chromosome:Graimondii2_0_v6:10:61947447:61948997:-1 gene:B456_010G253900 transcript:KJB68599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHHLYELDSATDSVASSPRSEHHASQDGHIRVRFMCSFGGKILPRPHDNQLRYVGGDTRIVAFHRFTSFSSFITKLSKLSGIGNVSVKYQFPNEDLDALISVTTDEDLENMMEEYDRLAQNQNPRQLARLRLFLFSNGDDESRASSISSLLDGSVNRENWFLDALNGGAAADASRLERLRSEASSIVSEVPDYLFGLENSDEIQPRDPKLRTRQLLHDNNSVSDPGSPAPVVSSSPFCSASSATVVPSVTKPDNPEPVLKSKQSQTDSFVEQPVSQPTTYSGTPMWHHYVPDSHYSAPAVQQIPVYYVPGHVQPGNHQGQPQPVQIRTQYVQQYPNSSGQVPVGYHQQVHGAGQTYGQAPPVDPYDPTWSVAHDEKQPVYYGVRNSGPMQVYPGMVVPGGKELGRSRSDMAQGRISRSGQ >KJB63492 pep chromosome:Graimondii2_0_v6:10:86069:88912:-1 gene:B456_010G002300 transcript:KJB63492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGNTKQFLFGFLPFSFFHKQKFLKEIKKRKAYYIMETIVFPFSSKFLLAKYLLVFALFISAKALIKLSINETIPAVIVFGDSIVDAGNNNDLNTVIRCDFLPYGQDFAGGVPTGRFCNGKVPSDLIAEELGIKDIVPAYLDPKLKPQDLLTGVTFASGGTGYDPLTPKLASVISLGEQLNYFKEYIGKLKAIAGEEKTNFILAKSMFLVVAGSDDIANTYFVLRARQLQYDVPAYTDLMVNSAAEFVKELYGLGARKIGVFSTPPIGCVPSQRTLGGGIERECAEDYNVAAILFNKKLSSVLNSFKTSMPDGRFVYIDVYNPLLGLIQNPQKNGFEVVDNGCCGTGNIEVAILCNKLSPSTCTDVSKYIFWDSYHPTEKAYRALVTPILQNIIGDFF >KJB66325 pep chromosome:Graimondii2_0_v6:10:30747664:30748006:1 gene:B456_010G135600 transcript:KJB66325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPGSKTFPLQTTFKLFVAPVNRRGNQKNSSCSDCNKTRKPLIRPSSSLDNPLSSNPDSAFTDGRLPQPLSYPCQRAFRPPSGLPLPNTWGNHTVCLPSPTHVSSH >KJB67410 pep chromosome:Graimondii2_0_v6:10:54316142:54318914:1 gene:B456_010G189900 transcript:KJB67410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNIIPERDRELGAAQAEIKALRGTEALKDKAIHELQGEVQKLDEKHRVAENILQHKNLEIKKLTNEKKDAMAAQFAAEATLRRVHANQKDDDDVSIESVVAPLEAEIKMYKNEIAMLHEDKRALERLTKSKELALLEAEKILRSALERALIVEEVQNQNFELRRQIEICQEEHKILEKTNRQKVLEIEKLSQTIQELEEAILAGGAAANSVRDYQRQISQLNEEKRTLERELARVKVSANRVATVVANEWKDENDKVMPVKQWLEDRRLLQAEVQRLKDKLAVSERTAKAEAQLKEKLKLRLKILEDGLKQASSLSVNASYGSPKPDKSSNILGLLTGSSGPRKRSTSQPRASTISATLLQRPSVETETANTRIRQGSSLRRRPVSEENMVRKNLWASRCKVADSSEKENAENKVNEQTEKKNNEENENRGNAEDMVSGFLYDKLQKEVINLRKFCEAKDNSLNAKDEEIKMLMKKVEAFSRAMEMESKRVKKETQLVKEKKNGSPKMEDTKKVKNNNCRRS >KJB67409 pep chromosome:Graimondii2_0_v6:10:54315734:54318914:1 gene:B456_010G189900 transcript:KJB67409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCPEESFGGNESSFAYLHPNPVVLELTRLQNQLKERDRELGAAQAEIKALRGTEALKDKAIHELQGEVQKLDEKHRVAENILQHKNLEIKKLTNEKKDAMAAQFAAEATLRRVHANQKDDDDVSIESVVAPLEAEIKMYKNEIAMLHEDKRALERLTKSKELALLEAEKILRSALERALIVEEVQNQNFELRRQIEICQEEHKILEKTNRQKVLEIEKLSQTIQELEEAILAGGAAANSVRDYQRQISQLNEEKRTLERELARVKVSANRVATVVANEWKDENDKVMPVKQWLEDRRLLQAEVQRLKDKLAVSERTAKAEAQLKEKLKLRLKILEDGLKQASSLSVNASYGSPKPDKSSNILGLLTGSSGPRKRSTSQPRASTISATLLQRPSVETETANTRIRQGSSLRRRPVSEENMVRKNLWASRCKVADSSEKENAENKVNEQTEKKNNEENENRGNAEDMVSGFLYDKLQKEVINLRKFCEAKDNSLNAKDEEIKMLMKKVEAFSRAMEMESKRVKKETQLVKEKKNGSPKMEDTKKVKNNNCRRS >KJB68460 pep chromosome:Graimondii2_0_v6:10:61538196:61539570:-1 gene:B456_010G246700 transcript:KJB68460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHPIHEANESCPYGDLTREEFYQKHQILHREGFMLNSRKMRIFTQSWRLNSTHNLRGVVAVVHGYASDSGWLTELTAVSIAKCGFLVCALDLQGHGLSDGFPGHIPSIKHVIQDCIHFFDSVKQEYRKLPAFLYGESLGGAVSILICLKQKNEWDGLILNGAMCGVSAKFKPAWPLEKLLSVVAFLAPTWTVAAAKPVASKSYKEEWKRRLAAKNPNRRASGKPPAATALEFLRVCKYIRRHSQELQVPMLMVHGEDDKVCDCRSARLAYESASSQDKTLKIFPGMDHMLIGEGKDNVDLVFGTILAWLGERADQIRPV >KJB64435 pep chromosome:Graimondii2_0_v6:10:5545624:5551025:1 gene:B456_010G049400 transcript:KJB64435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSFICSLFFLTQFRLPFSLSQYCLTKFLCCGSSRNSNHIIKMAMWVFGYGSLIWKAGFNYDDRLVGFIKDYRRVFYQGSTDHRGTPEYPGRTVTLEPAPGEVCWGAAYKISKEEDKEAAITYLEVREKQYDKKAYVDLFTDPLATTPAISGVMVYIASPDKKLNPNYLGPASIEDIAKQIVRAEGPSGPNREYLFQLEKALLQIGCKDKHLMDLANEARRILSESEHTAS >KJB64436 pep chromosome:Graimondii2_0_v6:10:5545682:5548748:1 gene:B456_010G049400 transcript:KJB64436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSFICSLFFLTQFRLPFSLSQYCLTKFLCCGSSRNSNHIIKMAMWVFGYGSLIWKAGFNYDDRLVGFIKDYRRVFYQGSTDHRGTPEYPGRTVTLEPAPGEVCWGAAYKISKEEDKEAAITYLEVREKQYDKKAYVDLFTVSFECRYIC >KJB64439 pep chromosome:Graimondii2_0_v6:10:5545746:5550149:1 gene:B456_010G049400 transcript:KJB64439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSFICSLFFLTQFRLPFSLSQYCLTKFLCCGSSRNSNHIIKMAMWVFGYGSLIWKAGFNYDDRLVGFIKDYRRVFYQGSTDHRGTPEYPGRTVTLEPAPGEVCWGAAYKISKEEDKEAAITYLEVREKQYDKKAYVDLFTDPLATTPAISGVMVYIASPDKKLNPNYLGPASIEDIAKQIVRAEGPSGPNREYLFQLEKALLQIGELLFTTL >KJB64438 pep chromosome:Graimondii2_0_v6:10:5545682:5551025:1 gene:B456_010G049400 transcript:KJB64438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSFICSLFFLTQFRLPFSLSQYCLTKFLCCGSSRNSNHIIKMAMWVFGYGSLIWKAGFNYDDRLVGFIKDYRRVFYQGSTDHRGTPEYPGRTVTLEPAPGEVCWGAAYKISKEEDKEAAITYLEVREKQYDKKAYVDLFTDPLATTPAISGVMVYIASPDKKLNPNYLGPASIEDIAKQIVRAEGPSGPNREYLFQLEKALLQIGEL >KJB64437 pep chromosome:Graimondii2_0_v6:10:5545682:5551025:1 gene:B456_010G049400 transcript:KJB64437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSFICSLFFLTQFRLPFSLSQYCLTKFLCCGSSRNSNHIIKMAMWVFGYGSLIWKAGFNYDDRLVGFIKDYRRVFYQGSTDHRGTPEYPGRTVTLEPAPGEVCWGAAYKISKEEDKEAAITYLEVREKQYDKKAYVDLFTDPLATTPAISGVMVYIASPDKKLNPNYLGPASIEDIAKQIVRAEGPSGPNREYLFQLEKALLQIDKHLMDLANEARRILSESEHTAS >KJB66076 pep chromosome:Graimondii2_0_v6:10:26693560:26696882:1 gene:B456_010G126300 transcript:KJB66076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYTPSPPRGYGRRGRSPSPRGRYGGRARDLPTSLLVRNLRHDCRPEDLRRPFERFGPLKDIYLPKDYYTGEPRGFGFVQYVDPADAAEAKYQMDGQVLLGRELTVVFAEENRKKPAEMRHRERARGGRYRDRRRSPPRYSRSPRYSRSPPRHGRYRSRSRDYSPPKDRHYSRSVSPQDGHYSRDRYSRSPPYNVSRSCSRSLERGQGPSQGRSRSPRRSRSHSPREAGSPIRSRSCSRSPYHEEYKRELNGERSPSQ >KJB67227 pep chromosome:Graimondii2_0_v6:10:52864330:52866105:1 gene:B456_010G181200 transcript:KJB67227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTIQADCSIPKHLKSKTLQQPVRVSSHQSASIIYWNVFFQKPLRLFRILTLLYLKRPKNSSSNYFLPIFQNGEPISDVAYTMWLSSIYFNNIECIGNNIAHKISCFISFKTLCSQSISSIVYMILYMVENTVLENHT >KJB68386 pep chromosome:Graimondii2_0_v6:10:61154798:61157472:1 gene:B456_010G242400 transcript:KJB68386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILGPSSAAISASCGSLIYPSSKLVIPSISINTGSCSWKKFYGGIGIQGKKGKPQFHIAVTNVASEINSVQQAQKLGAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVFGDPYNSDPEDPESMGIEVREKVTKGEELMITMIKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKNPKEFRDSYKAEQEKLQQQIASARSSLSSVQIDQDLKVKISRVCAELNVDGLRGDIVTNRAAKALAALKGRDCVTAEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >KJB68387 pep chromosome:Graimondii2_0_v6:10:61154914:61157472:1 gene:B456_010G242400 transcript:KJB68387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILGPSSAAISASCGSLIYPSSKLVIPSISINTGSCSWKKFYGGIGIQGKKGKPQFHIAVTNVASEINSVQQAQKLGAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVFGDPYNSDPEDPESMGIEVREKVTKGEELMITMIKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKNPKEFRDSYKAEQEKLQQQIASARSSLSSVQIDQDLKVKISRVCAELNVDGLRGDIVTNRAAKALAALKGRDCVTAEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >KJB63636 pep chromosome:Graimondii2_0_v6:10:693165:694957:1 gene:B456_010G009200 transcript:KJB63636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGDEVAAVVAPIAKWKSDFSRTFQYFLDRSTPHLMERWLGSLAIAAVYVLRVYLLQGFYIVSYGIGIYILNLLIGFLSPKVDPELEHLDGASLPTKGSDEFRPFIRRLPEFKFWYSITKAFCIGFLMTFFSIFDVPVFWPILLFYWIALFVLTMKRQIMHMIKYKYVPFSIGKQRYTGKKTSPTGAGLVTD >KJB63259 pep chromosome:Graimondii2_0_v6:10:58510759:58516366:-1 gene:B456_010G2171002 transcript:KJB63259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TCLSKGGGFHFPPCHMLSVSGFRILLDCPLDLSSLAIFSPVPIALEAHESLETDSVVRKKQKIEKTLDANDLVFAEPWYKTVKSLHLWDASFIDVILISSPTGMLGLPFLTRTKDFSAKIYVTEAAARIGQLMMEDLVSIHMELRQFYGPEDSSSLQWMRWEGLEVLPSELKKIALGADCEELGAWMPLYSAVDVKDCMRKVQTLKYAEEACYNGTLVIKAFSSGLEIGTCNWTINGPKRNIAYISSSIFVSTHAMDFNFLGLQGNDLIIFSDFSTLDTTENMENDNTYCDPAASSNASDDLYNLEEIAASLLKDDESMEEMEKLAFICTCAFDSVRAGGSVLVPIDRLGIVLCLLEQMSLLLESSSLKVPIYIISSVAEELLAFTNIIPEWLCKQRQEKLFSGEPLFAHVKLIKERKIHVFPAVHSVELLTNWQEPCVVFCPHWSLRLGPVVHLLRYWCSDPNSLLILESGDDANLALLPFKPMAMKVLQCSFLSGISLQKVQPLLKALQPKLLLFPKDLRCKIQISEANTIIHYSENETLCMPSSKESTEIYIATDLASQFHWKTLKQETVTRLDGELFMDQGKHRLLSGFRQADSKQHRPLLHWGSPDLKRLLTELSKMGITGTLKKNMDSAESKNAAGIIDIDDPEKALIDVRETGTVIITADENLASHIFKAIDIVLDGI >KJB63257 pep chromosome:Graimondii2_0_v6:10:58509621:58516366:-1 gene:B456_010G2171002 transcript:KJB63257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TCLSKGGGFHFPPCHMLSVSGFRILLDCPLDLSSLAIFSPVPIALEAHESLETDSVVRKKQKIEKTLDANDLVFAEPWYKTVKSLHLWDASFIDVILISSPTGMLGLPFLTRTKDFSAKIYVTEAAARIGQLMMEDLVSIHMELRQFYGPEDSSSLQWMRWEGLEVLPSELKKIALGADCEELGAWMPLYSAVDVKDCMRKVQTLKYAEEACYNGTLVIKAFSSGLEIGTCNWTINGPKRNIAYISSSIFVSTHAMDFNFLGLQGNDLIIFSDFSTLDTTENMENDNTYCDPAASSNASDDLYNLEEIAASLLKDDESMEEMEKLAFICTCAFDSVRAGGSVLVPIDRLGIVLCLLEQMSLLLESSSLKVPIYIISSVAEELLAFTNIIPEWLCKQRQEKLFSGEPLFAHVKLIKERKIHVFPAVHSVELLTNWQEPCVVFCPHWSLRLGPVVHLLRYWCSDPNSLLILESGDDANLALLPFKPMAMKVLQCSFLSGISLQKVQPLLKALQPKLLLFPKDLRCKIQISEANTIIHYSENETLCMPSSKESTEIYIATDLASQFHWKTLKQETVTRLDGELFMDQGKHRLLSGFRQADSKQHRPLLHWGSPDLKRLLTELSKMGITGTLKKNMDSAESKNAAGIIDIDDPEKALIDVRETVQSP >KJB63258 pep chromosome:Graimondii2_0_v6:10:58510759:58515907:-1 gene:B456_010G2171002 transcript:KJB63258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDLVSIHMELRQFYGPEDSSSLQWMRWEGLEVLPSELKKIALGADCEELGAWMPLYSAVDVKDCMRKVQTLKYAEEACYNGTLVIKAFSSGLEIGTCNWTINGPKRNIAYISSSIFVSTHAMDFNFLGLQGNDLIIFSDFSTLDTTENMENDNTYCDPAASSNASDDLYNLEEIAASLLKDDESMEEMEKLAFICTCAFDSVRAGGSVLVPIDRLGIVLCLLEQMSLLLESSSLKVPIYIISSVAEELLAFTNIIPEWLCKQRQEKLFSGEPLFAHVKLIKERKIHVFPAVHSVELLTNWQEPCVVFCPHWSLRLGPVVHLLRYWCSDPNSLLILESGDDANLALLPFKPMAMKVLQCSFLSGISLQKVQPLLKALQPKLLLFPKDLRCKIQISEANTIIHYSENETLCMPSSKESTEIYIATDLASQFHWKTLKQETVTRLDGELFMDQGKHRLLSGFRQADSKQHRPLLHWGSPDLKRLLTELSKMGITGTLKKNMDSAESKNAAGIIDIDDPEKALIDVRETGTVIITADENLASHIFKAIDIVLDGI >KJB63260 pep chromosome:Graimondii2_0_v6:10:58511344:58516366:-1 gene:B456_010G2171002 transcript:KJB63260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TCLSKGGGFHFPPCHMLSVSGFRILLDCPLDLSSLAIFSPVPIALEAHESLETDSVVRKKQKIEKTLDANDLVFAEPWYKTVKSLHLWDASFIDVILISSPTGMLGLPFLTRTKDFSAKIYVTEAAARIGQLMMEDLVSIHMELRQFYGPEDSSSLQWMRWEGLEVLPSELKKIALGADCEELGAWMPLYSAVDVKDCMRKVQTLKYAEEACYNGTLVIKAFSSGLEIGTCNWTINGPKRNIAYISSSIFVSTHAMDFNFLGLQGNDLIIFSDFSTLDTTENMENDNTYCDPAASSNASDDLYNLEEIAASLLKDDESMEEMEKLAFICTCAFDSVRAGGSVLVPIDRLGIVLCLLEQMSLLLESSSLKVPIYIISSVAEELLAFTNIIPEWLCKQRQEKLFSGEPLFAHVKLIKERKIHVFPAVHSVELLTNWQEPCVVFCPHWSLRLGPVVHLLRYWCSDPNSLLILESGDDANLALLPFKPMAMKVLQCSFLSGISLQKVQPLLKALQPKLLLTVSEGFEVQDPNFRSKHDYSLL >KJB66107 pep chromosome:Graimondii2_0_v6:10:27209848:27211091:-1 gene:B456_010G127100 transcript:KJB66107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEFKHQTSTLSLIFLLMMPGFALSDVNQDKAECSNQLVGLAPCLPYVGGEAKTPTIDCCSGLKQVLDKSKKCLCVLIKDKDDPTSASMAECISLLHLAPNSQEAKLFEGYQKLTEGHGSTPAATGNSTSRPSSAAEKSDGGQGKRWVGVEMATGISLLIFYSIYRNIGV >KJB66105 pep chromosome:Graimondii2_0_v6:10:27209848:27211091:-1 gene:B456_010G127100 transcript:KJB66105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEFKHQTSTLSLIFLLMMPGFALSDVNQDKAECSNQLVGLAPCLPYVGGEAKTPTIDCCSGLKQVLDKSKKCLCVLIKDKDDPSLGLKINGNSTSRPSSAAEKSDGGQGKRWVGVEMATGISLLIFYSIYRNIGV >KJB66104 pep chromosome:Graimondii2_0_v6:10:27209803:27211104:-1 gene:B456_010G127100 transcript:KJB66104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEFKHQTSTLSLIFLLMMPGFALSDVNQDKAECSNQLVGLAPCLPYVGGEAKTPTIDCCSGLKQVLDKSKKCLCVLIKDKDDPSLGLKINGTLAGTLPSTCRASASMAECISLLHLAPNSQEAKLFEGYQKLTEGHGSTPAATGNSTSRPSSAAEKSDGGQGKRWVGVEMATGISLLIFYSIYRNIGV >KJB66106 pep chromosome:Graimondii2_0_v6:10:27210403:27210998:-1 gene:B456_010G127100 transcript:KJB66106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEFKHQTSTLSLIFLLMMPGFALSDVNQDKAECSNQLVGLAPCLPYVGGEAKTPTIDCCSGLKQVLDKSKKCLCVLIKDKDDPSLGLKINGTLAGTLPSTCRASASMAECISLLHLAPNSQEAKLFEGYQKLTEGHGSTPAATGNIYIYIYIYIHDPFTKIF >KJB67587 pep chromosome:Graimondii2_0_v6:10:55934632:55936741:1 gene:B456_010G198400 transcript:KJB67587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKEEKSRRIWRVLKTVFFLITMAISFLVFSAPVFLVLADALLPSALLSASLSPSYLSLQSLSSHFDNYDFRSSLIDIPLISIIRSAVIIVVYSFCDGPKLSRGPYLGITMICSISSLVFVSIKASFVFGSRIPKEGTYVTAMETALFISSLALAIAHMIVAYRTSCRERRKLLVYKIDIEAI >KJB67586 pep chromosome:Graimondii2_0_v6:10:55934466:55941803:1 gene:B456_010G198400 transcript:KJB67586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKEEKSRRIWRVLKTVFFLITMAISFLVFSAPVFLVLADALLPSALLSASLSPSYLSLQSLSSHFDNYDFRSSLIDIPLISIIRSAVIIVVYSFCDGPKLSRGPYLGITMICSISSLVFVSIKASFVFGSRIPKEGTYVTAMETALFISSLALAIAHMIVAYRTSCRERRKLLVYKIDIEAISACKNGFPRYHKILQQERVK >KJB67585 pep chromosome:Graimondii2_0_v6:10:55934466:55936813:1 gene:B456_010G198400 transcript:KJB67585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKEEKSRRIWRVLKTVFFLITMAISFLVFSAPVFLVLADALLPSALLSASLSPSYLSLQSLSSHFDNYDFRSSLIDIPLISIIRSAVIIVVYSFCDGPKLSRGPYLGITMICSISSLVFVSIKASFVFGSRIPKEGTYVTAMETALFISSLALAIAHMIVAYRTSCRERRKLLVYKIDIEAISACKNGFPRYHKILQQERVK >KJB66874 pep chromosome:Graimondii2_0_v6:10:46882248:46882678:-1 gene:B456_010G162600 transcript:KJB66874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNNYAQGVVIREQGPVFVTAFSPLCMIITAALGYFILAEKFHLGSIIGTVIIIFGLYTVLWGKSKDQKNSTATENGKVQELPITDGSKSTKLEDSMWLVYVGWHDCVLSAVQMH >KJB66730 pep chromosome:Graimondii2_0_v6:10:42721524:42722431:1 gene:B456_010G155100 transcript:KJB66730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFGFQGPTWSTLKLTFLMLGLCLALMLGLAFSSTDSSLILHVTFLVLIAITLFLLLNWFLEQTGLVSVERQMQEMDLIPNNRKRE >KJB66731 pep chromosome:Graimondii2_0_v6:10:42721091:42722970:1 gene:B456_010G155100 transcript:KJB66731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEQSAGGDISSAQAVLLGALAPGVNGPTWSTLKLTFLMLGLCLALMLGLAFSSTDSSLILHVTFLVLIAITLFLLLNWFLEQTGLVSVERQMQEMDLIPNNRKRE >KJB66729 pep chromosome:Graimondii2_0_v6:10:42721039:42722998:1 gene:B456_010G155100 transcript:KJB66729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEQSAGGDISSAQAVLLGALAPGVNGPTWSTLKLTFLMLGLCLALMLGLAFSSTDSSLILHVTFLVLIAITLFLLLNWFLEQTGLVSVERQMQEMDLIPNNHVIKHKERWRI >KJB66523 pep chromosome:Graimondii2_0_v6:10:35876584:35884707:1 gene:B456_010G142500 transcript:KJB66523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVSNDSRKEDISEREPILCQPDISQTSEECEIAAVGGDCIVVSEDLEEINVDETSHLVNADHPQCRICLDNEGDDLIAPCHCRGTQKYVHRSCLDNWRSTKEGFAFAHCTECRALFILRANVPPDRWWLRLKFQFLVARDHAFIFVIVQLIVAFLGVLVYKFYGEELREMFGYDEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREDNKNTPELDPSHVTELRILGLY >KJB66524 pep chromosome:Graimondii2_0_v6:10:35876659:35884707:1 gene:B456_010G142500 transcript:KJB66524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVSNDSRKEDISEREPILCQPDISQTSEECEIAAVGGDCIVVSEDLEEINVDETSHLVNADHPQCRICLDNEGDDLIAPCHCRGTQKYVHRSCLDNWRSTKEGFAFAHCTECRALFILRANVPPDRWWLRLKFQFLVARDHAFIFVIVQLIVAFLGVLVYKFYGEELREMFGYDEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKCQSRIYLAYHVP >KJB66525 pep chromosome:Graimondii2_0_v6:10:35876584:35884707:1 gene:B456_010G142500 transcript:KJB66525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVSNDSRKEDISEREPILCQPDISQTSEECEIAAVGGDCIVVSEDLEEINVDETSHLVNADHPQCRICLDNEGDDLIAPCHCRGTQKYVHRSCLDNWRSTKEGFAFAHCTECRALFILRANVPPDRWWLRLKFQFLVARDHAFIFVIVQLIVAFLGVLVYKFYGEELREMFGYDEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREDNKNTPELDPSHVTELRILGLY >KJB66521 pep chromosome:Graimondii2_0_v6:10:35876584:35884707:1 gene:B456_010G142500 transcript:KJB66521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVSNDSRKEDISEREPILCQPDISQTSEECEIAAVGGDCIVVSEDLEEINVDETSHLVNADHPQCRICLDNEGDDLIAPCHCRGTQKYVHRSCLDNWRSTKEGFAFAHCTECRALFILRANVPPDRWWLRLKFQFLVARDHAFIFVIVQLIVAFLGVLVYKFYGEELREMFGYDEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREDNKNTPELDPSHVTELRILGLY >KJB66522 pep chromosome:Graimondii2_0_v6:10:35876584:35884707:1 gene:B456_010G142500 transcript:KJB66522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVSNDSRKEDISEREPILCQPDISQTSEECEIAAVGGDCIVVSEDLEEINVDETSHLVNADHPQCRICLDNEGDDLIAPCHCRGTQKYVHRSCLDNWRSTKEGFAFAHCTECRALFILRANVPPDRWWLRLKFQFLVARDHAFIFVIVQLIVAFLGVLVYKFYGEELREMFGYDEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREDNKNTPELDPSHVTELRILGLY >KJB66526 pep chromosome:Graimondii2_0_v6:10:35877553:35883812:1 gene:B456_010G142500 transcript:KJB66526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVSNDSRKEDISEREPILCQPDISQTSEECEIAAVGGDCIVVSEDLEEINVDETSHLVNADHPQCRICLDNEGDDLIAPCHCRGTQKYVHRSCLDNWRSTKEGFAFAHCTECRALFILRANVPPDRWWLRLKFQFLVARDHAFIFVIVQLIVAFLGVLVYKFYGEELREMFGYDEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKCQSRIYLAYHVP >KJB66527 pep chromosome:Graimondii2_0_v6:10:35876584:35884915:1 gene:B456_010G142500 transcript:KJB66527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVSNDSRKEDISEREPILCQPDISQTSEECEIAAVGGDCIVVSEDLEEINVDETSHLVNADHPQCRICLDNEGDDLIAPCHCRGTQKYVHRSCLDNWRSTKEGFAFAHCTECRALFILRANVPPDRWWLRLKFQFLVARDHAFIFVIVQLIVAFLGVLVYKFYGEELREMFGYDEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREDNKNTPELDPSHVTELRILGLY >KJB67193 pep chromosome:Graimondii2_0_v6:10:52578218:52580216:-1 gene:B456_010G179500 transcript:KJB67193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAIEEWYKQMPVITRSYLTAAVAITIGCSLEIISPYHLYLNPKLVVKHYQFWRLITNFLYFRKMDLDFMFHMFFLARYCKLLEENTFRGRTADFFYMLLFGASVLTGIVLIGGMIPYLSASFARIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLVE >KJB67195 pep chromosome:Graimondii2_0_v6:10:52577686:52580395:-1 gene:B456_010G179500 transcript:KJB67195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAIEEWYKQMPVITRSYLTAAVAITIGCSLEIISPYHLYLNPKLVVKHYQFWRLITNFLYFRKMDLDFMFHMFFLARYCKLLEENTFRGRTADFFYMLLFGASVLTGIVLIGGMIPYLSASFARIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWGMIAGHAYYFLEDVYPRMTGRRPLKTPSLIKALFADEDVVVARPENVRFAPPPAEELHRD >KJB67192 pep chromosome:Graimondii2_0_v6:10:52577612:52580454:-1 gene:B456_010G179500 transcript:KJB67192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAIEEWYKQMPVITRSYLTAAVAITIGCSLEIISPYHLYLNPKLVVKHYQFWRLITNFLYFRKMDLDFMFHMFFLARYCKLLEENTFRGRTADFFYMLLFGASVLTGIVLIGGMIPYLSASFARIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLKTPSLIKALFADEDVVVARPENVRFAPPPAEELHRD >KJB67194 pep chromosome:Graimondii2_0_v6:10:52578586:52580216:-1 gene:B456_010G179500 transcript:KJB67194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAIEEWYKQMPVITRSYLTAAVAITIGCSLEIISPYHLYLNPKLVVKHYQFWRLITNFLYFRKMDLDFMFHMFFLARYCKLLEENTFRGRTADFFYMLLFGASVLTGIVLIGGMIPYLSASFARIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLVCSYFQSLL >KJB65292 pep chromosome:Graimondii2_0_v6:10:13472913:13476129:-1 gene:B456_010G088100 transcript:KJB65292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTISIYRTEFLTFSTNGSRPRHKFQRLSLSPLMKMDSKSTEKVPKEESSIKLQTSSIPQLENPRPSNLRFDRLQPSDQELNQDSRLEFGKFVAREAVLDEELWTAAWLRAETHWEDRPGERYVDNFKRKFAEQEFNAIKRRYSGQHGQTYTCVVTVRKEERNVKRTVLKSVVGTLDFSIRHLLHGESFPGEREKHLFCSISRTNLNRYIYVSNLCVAKSARRQSIASNMLYFVIESARSEGVKLVYVHVHRNNEPALELYQKIGFELQQLKGKRKHTSTRGT >KJB65287 pep chromosome:Graimondii2_0_v6:10:13473728:13475871:-1 gene:B456_010G088100 transcript:KJB65287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTISIYRTEFLTFSTNGSRPRHKFQRLSLSPLMKMDSKSTEKVPKEESSIKLQTSSIPQLENPRPSNLRFDRLQPSDQELNQDSRLEFGKFVAREAVLDEELWTAAWLRAETHWEDRPGERYVDNFKRKFAEQEFNAIKRRYSGQHGQTYTCVVTVRKEERNVKRTVLKSVVGTLDFSIRHLLHGESFPGEREKHLFCSISRTNLNRYIYVSNLCVAKSARRQSIASNMLYFVIESARSEGVKLVYVHVHRNNEPALELYQKIGFEMVEMASSQLLKQQMYLLCYKT >KJB65291 pep chromosome:Graimondii2_0_v6:10:13473786:13475871:-1 gene:B456_010G088100 transcript:KJB65291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTISIYRTEFLTFSTNGSRPRHKFQRLSLSPLMKMDSKSTEKVPKEESSIKLQTSSIPQLENPRPSNLRFDRLQPSDQELNQDSRLEFGKFVAREAVLDEELWTAAWLRAETHWEDRPGERYVDNFKRKFAEQEFNAIKRRYSGQHGQTYTCVVTVRKEERNVKRTVLKSVVGTLDFSIRHLLHGESFPGEREKHLFCSISRTNLNRYIYVSNLCVAKSARRQSIASNMLYFVIESARSEGVKLVYVHVHRNNEPALELYQKIGFEVIPFYPLFFYSFITFCAPVSFHMGLICTFLNLSSDG >KJB65289 pep chromosome:Graimondii2_0_v6:10:13472944:13476068:-1 gene:B456_010G088100 transcript:KJB65289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTISIYRTEFLTFSTNGSRPRHKFQRLSLSPLMKMDSKSTEKVPKEESSIKLQTSSIPQLENPRPSNLRFDRLQPSDQELNQDSRLEFGKFVAREAVLDEELWTAAWLRAETHWEDRPGERYVDNFKRKFAEQEFNAIKRRYSGQHGQTYTCVVTVRKEERNVKRTVLKSVVGTLDFSIRHLLHGESFPGEREKHLFCSISRTNLNRYIYVSNLCVAKSARRQSIASNMLYFVIESARSEGVKLVYVHVHRNNEPALELYQKIGFEF >KJB65288 pep chromosome:Graimondii2_0_v6:10:13474266:13475871:-1 gene:B456_010G088100 transcript:KJB65288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTISIYRTEFLTFSTNGSRPRHKFQRLSLSPLMKMDSKSTEKVPKEESSIKLQTSSIPQLENPRPSNLRFDRLQPSDQELNQDSRLEFGKFVAREAVLDEELWTAAWLRAETHWEDRPGERYVDNFKRKFAEQEFNAIKRRYSGQHGQTYTCVVTVRKEERNVKRTVLKSVVGTLDFSIRHLLHGESFPGVQCTFFLYLLFLH >KJB65290 pep chromosome:Graimondii2_0_v6:10:13473413:13475871:-1 gene:B456_010G088100 transcript:KJB65290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTISIYRTEFLTFSTNGSRPRHKFQRLSLSPLMKMDSKSTEKVPKEESSIKLQTSSIPQLENPRPSNLRFDRLQPSDQELNQDSRLEFGKFVAREAVLDEELWTAAWLRAETHWEDRPGERYVDNFKRKFAEQEFNAIKRRYSGQHGQTYTCVVTVRKEERNVKRTVLKSVVGTLDFSIRHLLHGESFPGEREKHLFCSISRTNLNRYIYVSNLCVAKSARRQSIASNMLYFVIESARSEGVKLVYVHVHRNNEPALELYQKIGFEEIHHSFLATWIWF >KJB68291 pep chromosome:Graimondii2_0_v6:10:60682573:60685155:1 gene:B456_010G236600 transcript:KJB68291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPEPVTYICGDCGQENTLKHGDVIQCRECGYRILYKKRTRRIVQYEAR >KJB64129 pep chromosome:Graimondii2_0_v6:10:3104344:3104814:1 gene:B456_010G034000 transcript:KJB64129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLKNMNQAMIFHALLGLLVGIIARTYFHGSQNNEIGSYLDELAGSTVIRSIKDGFVRLAVKFLHFFIAKWLQFRYLGSLLFAPSPMSLLFWVAFTRSISSMADNPFPL >KJB65544 pep chromosome:Graimondii2_0_v6:10:17625205:17628467:1 gene:B456_010G099600 transcript:KJB65544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESMSNLTSTSGEFSASSGTVLYPPQQSFASTNQAPAAAKKKRNLPGNPDPDAEVIALSPKTLLATNRFMCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVVRKKVYVCPETTCVHHDPARALGDLTGIKKHFCRKHGEKKWKCEKCSKTYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAITGPAQQVPGGSSVSHMNNLQSQLHSHDFHGLSVKREEHPFNARPTTEDSLNPPWPGPPSINLLDHPLSQNENPSQNTGSNTLLPPFQTQTASPHMSATALLQKAAQMGVTMSQPLQSPVAAMASMQRPYMSGGTSGSTSFALSTAGSGLGLFPREDLGSGSPHGLASLGNKAAAAITSRFMEQSPTAAPNSLLHDMMCSLSTGFDGSSLFEQSFNRVWNTKGNPIDFQEPTTDHLSKAKESHSQGNNMGGNDGMTRDFLGLKAFPHRDFPNLAALNHRLNPSSRFGQQNQHSQTPWQG >KJB65316 pep chromosome:Graimondii2_0_v6:10:13641920:13642552:1 gene:B456_010G089000 transcript:KJB65316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFREPTPFDPFPLLLWQNQELHLVGIDVSLVLILQQPTDGQHHSNIFILSKMIKYLSFHSYLLVVVRNFGSQNSQRATSRGLLFCLKDQTVTKMKKHASYLLKNLSKFGSFRCSCRWVVVLFFVFCLSV >KJB66112 pep chromosome:Graimondii2_0_v6:10:27278959:27285061:1 gene:B456_010G127500 transcript:KJB66112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLEELLAEEGFRGRKSVTKSRSSNRLESSSKTDSPFSYRVKTERTRSDASRYSLKGELSTSDSTTHRRPRDYLVRREKLNAELKKENKGRLEGRDFEIVEEETERVKDVFAKVGRHSLGRRDKRNDNASTKHLLSRRSYSDKQQNSLKQQGAAYGISNRGSQNRKSYKDGQPEKCDDLVPTVPQPALDEVAVQAIVSILSVYIERFLQDEEYQTALHLKCFSSFNFIRLQDYDIEEKVIVNLEQAIQIVEKAVRESVSARELKKASLQLSVITGLNSNDLKDGFVHGAPYAMLSSCAHLYLSVRYKLQKKDRISARHLLQVFCDSPTQARMNLLPELWEDVFFPHLSHLKGWYNQEASSLSDAPNRERKLKLLEKVYNEIMDSSTYQIAAYYKDWLSEGVEAPPFPSIHVPSISVGNIQHEDSLAHSPDLASPTSPCSAQPMVSKKLYDAVFGRSSKPGLEETEDNESHYYDTCGRSSDGSTIYVKQTLTCSSETVKYPYQNNGEASSKSPQDDASFLEDGSSSTAEEEWRLPGPSILQVKEAYNTLQSTAQDYDKLHAPVLLTANELMLKRLAQPAFELQQTRITYDLTLSGPPNLSEDPHHNSIANPTTVRPTFEELHETYRRFHEESSFSSIHNDFICPLTGKFFEDPVTLETGQTFERVAIKEWFDQGNRTCPVTGKLLEYLSVPLTNIILKHVIDSWKLEICRKNLALAFLIVGNSRENGLPGREEAAIFMLDQFLTTLSKEERTRNTKHLISLGGLPFLLQRFKAGNVEEKIRIAAVLSCCIEADSVCRYHIARDVNIRCLFELVCSNQVNLRTNAVLLLTELICLSRRKYVPLLLSELQSEEIMNIMHALHDHLLNSPPIQRPLVATLLLNIDLLVDPRKYGLYRQEAVDAITEALDSSLIDGEVGEKCCKALLMLGGRFSLSGKLLIEDWILKIAGFNDGPEVNSIEKEEDLDIGDSIILEDEECAIAEWLRNLSASLVGSGKVSFLEAISKCLGSGNRDLVTACLTSVAWLTSALPLQTDAELQRTLCSLISHLKQSLENGARLQHKILASMSLLNLSKISECRVLLMAIAEEMLVPLRSLADITWTAKELHGIISGADL >KJB66109 pep chromosome:Graimondii2_0_v6:10:27278959:27285061:1 gene:B456_010G127500 transcript:KJB66109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLEELLAEEGFRGRKSVTKSRSSNRLESSSKTDSPFSYRVKTERTRSDASRYSLKGELSTSDSTTHRRPRDYLVRREKLNAELKKENKGRLEGRDFEIVEEETERVKDVFAKVGRHSLGRRDKRNDNASTKHLLSRRSYSDKQQNSLKQQGAAYGISNRGSQNRKSYKDGQPEKCDDLVPTVPQPALDEVAVQAIVSILSVYIERFLQDEEYQTALHLKCFSSFNFIRLQDYDIEEKVIVNLEQAIQIVEKAVRESVSARELKKASLQLSVITGLNSNDLKDGFVHGAPYAMLSSCAHLYLSVRYKLQKKDRISARHLLQVFCDSPTQARMNLLPELWEDVFFPHLSHLKGWYNQEASSLSDAPNRERKLKLLEKVYNEIMDSSTYQIAAYYKDWLSEGVEAPPFPSIHVPSISVGNIQHEDSLAHSPDLASPTSPCSAQPMVSKKLYDAVFGRSSKPGLEETEDNESHYYDTCGRSSDGSTIYVKQTLTCSSETVKYPYQNNGEASSKSPQDDASFLEDGSSSTAEEEWRLPGPSILQVKEAYNTLQSTAQDYDKLHAPVLLTANELMLKRLAQPAFELQQTRITYDLTLSGPPNLSEDPHHNSIANPTTVRPTFEELHETYRRFHEESSFSSIHNDFICPLTGKFFEDPVTLETGQTFERVAIKEWFDQGNRTCPVTGKLLEYLSVPLTNIILKHVIDSWKLEICRKNLALAFLIVGNSRENGLPGREEAAIFMLDQFLTTLSKEERTRNTKHLISLGGLPFLLQRFKAGNVEEKIRIAAVLSCCIEADSVCRYHIARDVNIRCLFELVCSNQVNLRTNAVLLLTELICLSRRKYVPLLLSELQSEEIMNIMHALHDHLLNSPPIQRPLVATLLLNIDLLVDPRKYGLYRQEAVDAITEALDSSLIDGEVGEKCCKALLMLGGRFSLSGKLLIEDWILKIAGFNDGPEVNSIEKEEDLDIGDSIILEDEECAIAEWLRNLSASLVGSGKVSFLEAISKCLGSGNRDLVTACLTSVAWLTSALPLQTDAELQRTLCSLISHLKQSLENGARLQHKILASMSLLNLSKISECRVLLMAIAEEMLVPLRSLADITWTAKELHGIISGADL >KJB66111 pep chromosome:Graimondii2_0_v6:10:27278959:27285061:1 gene:B456_010G127500 transcript:KJB66111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLEELLAEEGFRGRKSVTKSRSSNRLESSSKTDSPFSYRVKTERTRSDASRYSLKGELSTSDSTTHRRPRDYLVRREKLNAELKKENKGRLEGRDFEIVEEETERVKDVFAKVGRHSLGRRDKRNDNASTKHLLSRRSYSDKQQNSLKQQGAAYGISNRGSQNRKSYKDGQPEKCDDLVPTVPQPALDEVAVQAIVSILSVYIERFLQDEEYQTALHLKCFSSFNFIRLQDYDIEEKVIVNLEQAIQIVEKAVRESVSARELKKASLQLSVITGLNSNDLKDGFVHGAPYAMLSSCAHLYLSVRYKLQKKDRISARHLLQVFCDSPTQARMNLLPELWEDVFFPHLSHLKGWYNQEASSLSDAPNRERKLKLLEKVYNEIMDSSTYQIAAYYKDWLSEGVEAPPFPSIHVPSISVGNIQHEDSLAHSPDLASPTSPCSAQPMVSKKLYDAVFGRSSKPGLEETEDNESHYYDTCGRSSDGSTIYVKQTLTCSSETVKYPYQNNGEASSKSPQDDASFLNLNMLQEDGSSSTAEEEWRLPGPSILQVKEAYNTLQSTAQDYDKLHAPVLLTANELMLKRLAQPAFELQQTRITYDLTLSGPPNLSEDPHHNSIANPTTVRPTFEELHETYRRFHEESSFSSIHNDFICPLTGKFFEDPVTLETGQTFERVAIKEWFDQGNRTCPVTGKLLEYLSVPLTNIILKHVIDSWKLEICRKNLALAFLIVGNSRENGLPGREEAAIFMLDQFLTTLSKEERTRNTKHLISLGGLPFLLQRFKAGNVEEKIRIAAVLSCCIEADSVCRYHIARDVNIRCLFELVCSNQVNLRTNAVLLLTELICLSRRKYVPLLLSELQSEEIMNIMHALHDHLLNSPPIQRPLVATLLLNIDLLVDPRKYGLYRQEAVDAITEALDSSLIDGEVGEKCCKALLMLGGRFSLSGKLLIEDWILKIAGFNDGPEVNSIEKEEDLDIGDSIILEDEECAIAEWLRNLSASLVGSGKVSFLEAISKCLGSGNRDLVTACLTSVAWLTSALPLQTDAELQRTLCSLISHLKQSLENGARLQHKILASMSLLNLSKISECRVLLMAIAEEMLVPLRSLADITWTAKELHGIISGADL >KJB66108 pep chromosome:Graimondii2_0_v6:10:27278959:27285061:1 gene:B456_010G127500 transcript:KJB66108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLEELLAEEGFRGRKSVTKSRSSNRLESSSKTDSPFSYRVKTERTRSDASRYSLKGELSTSDSTTHRRPRDYLVRREKLNAELKKENKGRLEGRDFEIVEEETERVKDVFAKVGRHSLGRRDKRNDNASTKHLLSRRSYSDKQQNSLKQQGAAYGISNRGSQNRKSYKDGQPEKCDDLVPTVPQPALDEVAVQAIVSILSVYIERFLQDEEYQTALHLKCFSSFNFIRLQDYDIEEKVIVNLEQAIQIVEKAVRESVSARELKKASLQLSVITGLNSNDLKDGFVHGAPYAMLSSCAHLYLSVRYKLQKKDRISARHLLQVFCDSPTQARMNLLPELWEDVFFPHLSHLKGWYNQEASSLSDAPNRERKLKLLEKVYNEIMDSSTYQIAAYYKDWLSEGVEAPPFPSIHVPSISVGNIQHEDSLAHSPDLASPTSPCSAQPMVSKKLYDAVFGRSSKPGLEETEDNESHYYDTCGRSSDGSTIYVKQTLTCSSETVKYPYQNNGEASSKSPQDDASFLEDGSSSTAEEEWRLPGPSILQVKEAYNTLQSTAQDYDKLHAPVLLTANELMLKRLAQPAFELQQTRITYDLTLSGPPNLSEDPHHNSIANPTTVRPTFEELHETYRRFHEESSFSSIHNDFICPLTGKFFEDPVTLETGQTFERVAIKEWFDQGNRTCPVTGKLLEYLSVPLTNIILKHVIDSWKLEICRKNLALAFLIVGNSRENGLPGREEAAIFMLDQFLTTLSKEERTRNTKHLISLGGLPFLLQRFKAGNVEEKIRIAAVLSCCIEADSVCRYHIARDVNIRCLFELVCSNQVNLRTNAVLLLTELICLSR >KJB66110 pep chromosome:Graimondii2_0_v6:10:27279870:27285003:1 gene:B456_010G127500 transcript:KJB66110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLEELLAEEGFRGRKSVTKSRSSNRLESSSKTDSPFSYRVKTERTRSDASRYSLKGELSTSDSTTHRRPRDYLVRREKLNAELKKENKGRLEGRDFEIVEEETERVKDVFAKVGRHSLGRRDKRNDNASTKHLLSRRSYSDKQQNSLKQQGAAYGISNRGSQNRKSYKDGQPEKCDDLVPTVPQPALDEVAVQAIVSILSVYIERFLQDEEYQTALHLKCFSSFNFIRLQDYDIEEKVIVNLEQAIQIVEKAVRESVSARELKKASLQLSVITGLNSNDLKDGFVHGAPYAMLSSCAHLYLSVRYKLQKKDRISARHLLQVFCDSPTQARMNLLPELWEDVFFPHLSHLKGWYNQEASSLSDAPNRERKLKLLEKVYNEIMDSSTYQIAAYYKDWLSEGVEAPPFPSIHVPSISVGNIQHEDSLAHSPDLASPTSPCSAQPMVSKKLYDAVFGRSSKPGLEETEDNESHYYDTCGRSSDGSTIYVKQTLTCSSETVKYPYQNNGEASSKSPQDDASFLEDGSSSTAEEEWRLPGPSILQVKEAYNTLQSTAQDYDKLHAPVLLTANELMLKRLAQPAFELQQTRITYDLTLSGPPNLSEDPHHNSIANPTTVRPTFEELHETYRRFHEESSFSSIHNDFICPLTGKFFEDPVTLETGQTFERVAIKEWFDQGNRTCPVTGKLLEYLSVPLTNIILKHVIDSWKLEICRKNLALAFLIVGNSRENGLPGREEAAIFMLDQFLTTLSKEERTRNTKHLISLGGLPFLLQRFKAGNVEEKIRIAAVLSCCIEADSVCRYHIARDVNIRCLFELVCSNQVNLRTNAVLLLTELICLSRRKYVPLLLSELQSEEIMNIMHALHDHLLNSPPIQRPLVATLLLNIDLLVDPRKYGLYRQEAVDAITEALDSSLIDGEVGEKCCKALLMLGGRFSLSGKLLIEDWILKIAGFNDGPEVNSIEKEEDLDIGDSIILEDEECAIAEWLRNLSASLVGSGKVSFLEAISKCLGSGNRDLVTACLTSVAWLTSALPLQTDAELQRTLCSLISHLKQSLENGARLQHKILASMSLLNLSKISECRVLLMAIAEEMLVPLRSLADITWTAKELHGIISGADL >KJB68632 pep chromosome:Graimondii2_0_v6:10:62045123:62056172:1 gene:B456_010G255500 transcript:KJB68632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWFGSQSYTVATMTVTSTPSPDLAFTNLAYCSPSDLQSFAVPGSRLFLVNVGDAFVLSISPHESIRSKYIALNAIHRRLAKVSNGDTVSVSRFVPPENFNLALLRVELEFVKKGTKNEQVDAVHLANQLRKRFVNQVMTAGQKVTFEYHGNNYIFTINQAQLEGQETSIVPERGMISNDTYFIFEAQNSSGIKIVNQREAASSSIFRQKEFNLQSLGIGGLSAEFADIFRRAFASRVFPPHVTNKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGKEPKIINGPEVLSKFVGETEKNVRDLFADAENDQRTRGDESDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFLAPDVNLQELAARTKNYSGAELEGVVKSAVSFALNRQVSMDDLTKPVDEENIKVTMDDFLSALLEIVPAFGASTDDLERCRLNGMVYCGDRHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGSSGSGKTALAATVGIDSDFPYVKIVSAESMIGLHESTKCAQIVKVFEDAYKSPLSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRLPPKGKKLLVIGTTSEVGFLDSVGICDAFSVTYHLPSLKTDDAKKVLEQLNVFAEEDISEAAEALSDMPIKKLYMLIEMAAQGEQGGAAEAIFSGREKIKLAHFYDCLQDVIRFSLHPLCHQTKAVKWQGHTPFLKTWWLKPHLMIGWLVILSFFLLISMVVYVACLFLVAMEVVSLNKTMGFSRMVLDGSSSIQDQVSSELKILCKGFKFIWTRFRFS >KJB68631 pep chromosome:Graimondii2_0_v6:10:62045094:62053706:1 gene:B456_010G255500 transcript:KJB68631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWFGSQSYTVATMTVTSTPSPDLAFTNLAYCSPSDLQSFAVPGSRLFLVNVGDAFVLSISPHESIRSKYIALNAIHRRLAKVSNGDTVSVSRFVPPENFNLALLRVELEFVKKGTKNEQVDAVHLANQLRKRFVNQVMTAGQKVTFEYHGNNYIFTINQAQLEGQETSIVPERGMISNDTYFIFEAQNSSGIKIVNQREAASSSIFRQKEFNLQSLGIGGLSAEFADIFRRAFASRVFPPHVTNKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGKEPKIINGPEVLSKFVGETEKNVRDLFADAENDQRTRGDESDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFLAPDVNLQELAARTKNYSGAELEGVVKSAVSFALNRQVSMDDLTKPVDEENIKVTMDDFLSALLEIVPAFGASTDDLERCRLNGMVYCGDRHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGSSGSGKTALAATVGIDSDFPYVKIVSAESMIGLHESTKCAQIVKVFEDAYKSPLSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRLPPKGKKLLVIGTTSEVGFLDSVGICDAFSVTYHLPSLKTDDAKKVLEQLNVFAEEDISEAAEALSDMPIKKLYMLIEMAAQGEQGGAAEAIFSGREKIKLAHFYDCLQDVIRY >KJB65124 pep chromosome:Graimondii2_0_v6:10:11929060:11930667:1 gene:B456_010G081800 transcript:KJB65124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKCSHCGNIGHNSRTCTTFRSSAAGMGSGLRLFGFQLQLDVSSPSVVSNLMMKKSFSMDCLSSSPSPSPSPSPSSLSSSRVSIDENSDKTSMGYLSDGLMGRSPDRKKGVPWTEEEHRIFLIGLEKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRQATLNKKNRRSSLFDMVRSNSMGGPPSLTKIPQLDLHHRHPMPVDCSDSQTNVAPDLELTLAAPRPALEENKSSPTTTTLLIRPISVT >KJB65125 pep chromosome:Graimondii2_0_v6:10:11929232:11930594:1 gene:B456_010G081800 transcript:KJB65125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKCSHCGNIGHNSRTCTTFRSSAAGMGSGLRLFGFQLQLDVSSPSVVSNLMMKKSFSMDCLSSSPSPSPSPSPSSLSSSRVSIDENSDKTSMGYLSDGLMGRSPDRKKGVPWTEEEHRIFLIGLEKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRQATLNKKNRRSSLFDMVPSFFNSMVLNETICLRLISCSKTMAG >KJB66190 pep chromosome:Graimondii2_0_v6:10:28597219:28599095:-1 gene:B456_010G131200 transcript:KJB66190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-DYW protein, RNA editing in mitochondri [Source: Projected from Oryza sativa (Os12g0270200)] MEWPILESLVQRCASFSHIKQLQAYFITTGTFNCRIRSKLLDLCAIAPFGSLSFAISVFHQIPTPFTNDFNAIIRGLMQSPQPSTAFAWYRAMLRGSFRVDALTCSFTLKACARVLAATESLQLHANIIRFGFMADALLGTTLLDLYAKVGNLSYARKVFDEMPQRDIASWNSLIFGLAQGSQASEALDLFKRMGVEGLKPNEVTVIGVLSACSHMGDFKEGLKIHGFIRNQKFDMNLQVCNALIDMYANCGFVDKAYGVFDDMDCRKCLVTWNTMIMAFAMDGDGCKALELFQRMDGAGVQPDAVTYLTVLCACNHAGLVEDGVRLFNMMGKHGVEPNVKHYGCMVDLLGRAGRLKEAYDIINSMPMVPDVVLWQSLLGACRIYKDVEMAEIASRNLVEMGSTNCGDFVLLSNIYAAHERWNDVGKVRDAMKNRDVKKVPGFSYIEVDGLRHKFFTDDKSNARWKEIYAKLDEIRFKIKELGYVAETIGSGLWLD >KJB66800 pep chromosome:Graimondii2_0_v6:10:44855603:44856219:-1 gene:B456_010G159000 transcript:KJB66800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFNMDSKFKGIVWVGNIYQRFEALCLEMDDMVCQETFQYVEDQLQTVGANVRQFCTELMQEVLPSSPTNSKEELNLSLLQNAAVTTNKDSNINVNKDCIQKELIHVKYIKNIHLGSSSGQSTENERGLAQAWKNEAHDTDSTLDDALLES >KJB66802 pep chromosome:Graimondii2_0_v6:10:44854838:44857428:-1 gene:B456_010G159000 transcript:KJB66802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFNMDSKFKGIVWVGNIYQRFEALCLEMDDMVCQETFQYVEDQLQTVGANVRQFCTELMQEVLPSSPTNSKEELNLSLLQNAAVTTNKDSNINVNKDCIQKELIHVKYIKNIHLGSSSGQSTENERGLAQAWKNEAHDTDSTLDDALLES >KJB66803 pep chromosome:Graimondii2_0_v6:10:44854838:44857554:-1 gene:B456_010G159000 transcript:KJB66803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFNMDSKFKGIVWVGNIYQRFEALCLEMDDMVCQETFQYVEDQLQTVGANVRQFCTELMQEVLPSSPTNSKEELNLSLLQNAAVTTNKDSNINVNKDCIQKELIHVKYIKNIHLGSSSGQSTENERGLAQAWKNEAHDTDSTLDDALLES >KJB66801 pep chromosome:Graimondii2_0_v6:10:44854831:44857428:-1 gene:B456_010G159000 transcript:KJB66801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFNMDSKFKGIVWVGNIYQRFEALCLEMDDMVCQETFQYVEDQLQTVGANVRQFCTELMQEVLPSSPTNSKEELNLSLLQNAAVTTNKDSNINVNKDCIQKELIHVKYIKNIHLGSSSGQSTENERGLAQAWKNEAHDTDSTLDDALLES >KJB66804 pep chromosome:Graimondii2_0_v6:10:44855603:44856219:-1 gene:B456_010G159000 transcript:KJB66804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFNMDSKFKGIVWVGNIYQRFEALCLEMDDMVCQETFQYVEDQLQTVGANVRQFCTELMQEVLPSSPTNSKEELNLSLLQNAAVTTNKDSNINVNKDCIQKELIHVKYIKNIHLGSSSGQSTENERGLAQAWKNEAHDTDSTLDDALLES >KJB67385 pep chromosome:Graimondii2_0_v6:10:54039921:54042199:1 gene:B456_010G188000 transcript:KJB67385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLEDSHSNSNRFPLGRNFYTPGSASTTKIHRHVGRSMRTIRSNLYQNDNSSCSFTSSVPERSAFVSENLTESVIDMRLGELAAKSNAKSVTSESENEAFLEISQAFSDFSACSSDISGELQRLASLPSPENSLMNERNNGVQSEPEPEPCHGFLQRENFSTEIIESISPEDLQPTVKICIDGLESPSVAVKRSAAAKLRLLAKNRVDNRALIGESGAIPALIPLLRNSDPWTQEHAVTALLNLSLYEGNKTLVINAGAIKSLVYVLKTGTETSKQNAACALLSLALIEENKTSIGACGAIPPLVSLLMNGSNRGKKDALTTLYKLCSARQNKERAVTAGAVRPLVGMVGEQGTGMSEKAMVVLSSLAGIKEGKEAIVVEGGIAALVEAIEDGSVKGKEFAVLTLLQLCADNIRNRGLLVREGGIPPLVALSQTGSVRAKHKAETLLGYLREPRQDASSSSP >KJB67386 pep chromosome:Graimondii2_0_v6:10:54039848:54042902:1 gene:B456_010G188000 transcript:KJB67386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLEDSHSNSNRFPLGRNFYTPGSASTTKIHRHVGRSMRTIRSNLYQNDNSSCSFTSSVPERSAFVSENLTESVIDMRLGELAAKSNAKSVTSESENEAFLEISQAFSDFSACSSDISGELQRLASLPSPENSLMNERNNGVQSEPEPEPCHGFLQRENFSTEIIESISPEDLQPTVKICIDGLESPSVAVKRSAAAKLRLLAKNRVDNRALIGESGAIPALIPLLRNSDPWTQEHAVTALLNLSLYEGNKTLVINAGAIKSLVYVLKTGTETSKQNAACALLSLALIEENKTSIGACGAIPPLVSLLMNGSNRGKKDALTTLYKLCSARQNKERAVTAGAVRPLVGMVGEQGTGMSEKAMVVLSSLAGIKEGKEAIVVEGGIAALVEAIEDGSVKGKEFAVLTLLQLCADNIRNRGLLVREGGIPPLVALSQTGSVRAKHKAETLLGYLREPRQDASSSSP >KJB68134 pep chromosome:Graimondii2_0_v6:10:60144634:60149667:1 gene:B456_010G229800 transcript:KJB68134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRRAVESYWRSRMIDAATSNEDKVTPVYKLDEIAELLRSSHVSIVKEVAEFISKRLDHKSPIVKQKALRLIKYVVGRCGVEFRREMQRNSIAVRQLLHYKGEPDPLKGDALNKAVRDNAQETISAIFAEDNNSSKPSPADDLNKRIQGFGNTNFETPVDDKKSILSEFVGIGCASIKQGISSLTQVHSFRKNDNGKNKGPTLRRSLTTEIDNSDRYEPVVLPNDTQGVSMNTASGPWGQDLGELKTETKNGEPSSNHSVTKTREERLLETIVTSGGVRLQPTRDAIQSFLVEAAKLDALALSHALESKLLSAIWQVRMKAVCVLESILRKKHEHFLIVASYFTENKDAVLRCSESPQTSLREKANKVLILLNGEQIGGLASHSEKSLNAETTPVQMPDLIDTGDQDDCNELDNSTKNQHDQKTPNLTVNPLMDELLVDDLGAGLSTREQKNDDDPFADVSFHTGEGRESVGDLFSGITINDKSVVDGNSMAANRKSEVIDIFGTNSEALPETQKNSVSAIKLLVML >KJB68133 pep chromosome:Graimondii2_0_v6:10:60144634:60149631:1 gene:B456_010G229800 transcript:KJB68133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRRAVESYWRSRMIDAATSNEDKVTPVYKLDEIAELLRSSHVSIVKEVAEFISKRLDHKSPIVKQKALRLIKYVVGRCGVEFRREMQRNSIAVRQLLHYKGEPDPLKGDALNKAVRDNAQETISAIFAEDNNSSKPSPADDLNKRIQGFGNTNFETPVDDKKSILSEFVGIGCASIKQGISSLTQVHSFRKNDNGKNKGPTLRRSLTTEIDNSDRYEPVVLPNDTQGVSMNTASGPWGQDLGELKTETKNGEPSSNHSVTKTREERLLETIVTSGGVRLQPTRDAIQSFLVEAAKLDALALSHALESKLLSAIWQVRMKAVCVLESILRKKHEHFLIVASYFTENKDAVLRCSESPQTSLREKANKVLILLNGEQIGGLASHSEKSLNAETTPVQMPDLIDTGDQDDCNELDNSTKNQHDQKTPNLTVNPLMDELLVDDLGAGLSTREQKNDDDPFADVSFHTGEGRESVGDLFSGITINDKSVVDGNSMAANRKSEVIDIFGTNSEALPETQKNSVSGIMTGLSMNENSSKLKQKGISSETRPENIFSNFSNQASSDALSGFHGSQAAGMNANMMLPLGTMPYAVPPGIIVNPAFSSQPMNYGAMGSFIAQQQLLATMSNLQHFGNCNAKKAGINNVSSGSNGGPPLPDIFQSNFTTQMPSSMINSSKNEDIRAFDFISDHLAAARDPKRTV >KJB68135 pep chromosome:Graimondii2_0_v6:10:60144634:60149667:1 gene:B456_010G229800 transcript:KJB68135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRRAVESYWRSRMIDAATSNEDKVTPVYKLDEIAELLRSSHVSIVKEVAEFISKRLDHKSPIVKQKALRLIKYVVGRCGVEFRREMQRNSIAVRQLLHYKGEPDPLKGDALNKAVRDNAQETISAIFAEDNNSSKPSPADDLNKRIQGFGNTNFETPVDDKKSILSEFVGIGCASIKQGISSLTQVHSFRKNDNGKNKGPTLRRSLTTEIDNSDRYEPVVLPNDTQGVSMNTASGPWGQDLGELKTETKNGEPSSNHSVTKTREERLLETIVTSGGVRLQPTRDAIQSFLVEAAKLDALALSHALESKLLSAIWQVRMKAVCVLESILRKKHEHFLIVASYFTENKDAVLRCSESPQTSLREKANKDHLAAARDPKRTV >KJB68564 pep chromosome:Graimondii2_0_v6:10:61781004:61782716:1 gene:B456_010G250700 transcript:KJB68564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIPVRFNKIAAAFDEAARASTVVARQCESSSGSDHSSEDLSDLSDLVNSFIETGDHEGNKTAPEKEDDEHDDGSESEPEGYWSETKDALKQLVKNKGDNVKVKIVGLVELACRNVLDMSSEGFKRRIMSDLRDNGFDAGLCKSRWEKFGRHPAGRHEYIDVNVNRTRYIIELNLGGEFEIARPTTSYDSLIQIFPRIFAGKPEELKQITRLMCKSMRESMKSNGLKVPPWRRLGYMQAKWFAHYKRTTNDENLDKNASKNVATATKRSVGFEELPAVSFYCRDGFVANSKIGLKVGYLSAAFMQS >KJB63866 pep chromosome:Graimondii2_0_v6:10:1588959:1590888:-1 gene:B456_010G020700 transcript:KJB63866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMLDNCEGILLSLDSHKPVPAPFLTKTYQLVDDPTTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFGFRKIVPDRWEFANEYFKKGEKHLLCEIHRRKTAQPQVTAALNHYNHHHRHLHPQSPMVNNTHYGYLPFPSRVSISPPDSDEQTTNWCDSPPLSSTTGGGGSYNNSSVTALSEDNERLRRSNNLLISELAHMKKLYNDIIYFVQNHVKPVTPSNSYSPSLLLCAPNQHHFGYYPNSPTPQVQVLNEEPNNNKTKLFGVPLQSKKRLHPEYGGSTAANMETHKARLVLEKDDIGLNLMPSST >KJB63865 pep chromosome:Graimondii2_0_v6:10:1588703:1590888:-1 gene:B456_010G020700 transcript:KJB63865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMLDNCEGILLSLDSHKPVPAPFLTKTYQLVDDPTTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEYFKKGEKHLLCEIHRRKTAQPQVTAALNHYNHHHRHLHPQSPMVNNTHYGYLPFPSRVSISPPDSDEQTTNWCDSPPLSSTTGGGGSYNNSSVTALSEDNERLRRSNNLLISELAHMKKLYNDIIYFVQNHVKPVTPSNSYSPSLLLCAPNQHHFGYYPNSPTPQVQVLNEEPNNNKTKLFGVPLQSKKRLHPEYGGSTAANMETHKARLVLEKDDIGLNLMPSST >KJB63867 pep chromosome:Graimondii2_0_v6:10:1589520:1590923:-1 gene:B456_010G020700 transcript:KJB63867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMLDNCEGILLSLDSHKPVPAPFLTKTYQLVDDPTTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEYFKKGEKHLLCEIHRRKTAQPQVTAALNHYNHHHRHLHPQSPMVNNTHYGYLPFPSRVSISPPDSDEQTTNWCDSPPLSSTTGGGGSYNNSSVTALSEDNERLRRSNNLLISELAHMKKLYNDIIYFVQNHVKPVTPSNSYSPSLLLCAPNQHHFGYYPNSPTPQVQVLNEEPNNNKTKLFGVPLQSKKRLHPEYGGSTAANMETHKARLVLEKDDIGLNLMPSST >KJB65919 pep chromosome:Graimondii2_0_v6:10:23855136:23862595:1 gene:B456_010G119500 transcript:KJB65919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFSITRKKTPFQKHREEEEAKKKRAEDETARLYEEFVASFQGDNNPGSKAFVRGGTINPNERLKSDSEGEKSKDGVSVPKKGSRYVPSFIPPPLAAKEKESEKKEEERMKEKEKVKSRNIDHFMEELKHEQEMRERRNLEREHWRDGRHSDSSAPSSRFDELPDDFDPSGKLPGSLDDADPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVIVYEYELKIGWGKSVALPSQALPAPPPGQMAIRSKEGSSIILSGPSGPPVTSLPNQNSELVLTPNIPDIMVTAPEDGHLHHVIDTMALYVLDGGCDFEQAIMERGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPPLPVSKSPEHEKDSSATYAAGRSRRVDPERPLTDPQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEVVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSVTGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSGNSGVAPFHSICGDAPEIEKKTSSEDAVDGIKANQDTALAMGKSAAMKELMDLPLAELERRCRHNGLSLVGGREIMVARLLSLEDAEKQRGYELDDELKLRSSYSRYSSGQRGANVETEVAGLSGRTRYAEDEIPSQRKGSVPLAETLPIPQPELKAFTKKEKTDPVLPASKWAREDNDSDDEEKRSSKGLGLSYSSSGSENAGDGHGKADELEFGTEVNILVPSESAMNEEQRQKLRRLEVALIEYRESLEEQGIKNAEDIEKRVAVHRKRLESEYGLSDSGEGRKRTSRERRDRRDDVHDSSRKRLRSQSQSESPPRKQSNRGRDRENDSEKDRERHRERERYHDLQNERGRERERDRWEKSGSRERDDHDRDRGRDRDSRRRMK >KJB68216 pep chromosome:Graimondii2_0_v6:10:60365200:60367531:1 gene:B456_010G232600 transcript:KJB68216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSLIRNGAMLMNRVLVKQALNPNPITNNRVLNQGIQITPQLFPSLSKPKLEIPHLPRNDVDSLSEVSSTGFLYPFGLPSLRFFLPDGDSSSEPMLLFPKRTYQPSTIRRKRNHGFFARKATKGGRRVIARRIAKGRSRITA >KJB68130 pep chromosome:Graimondii2_0_v6:10:59852335:59854959:-1 gene:B456_010G227300 transcript:KJB68130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLLTSQLKTLATAPCRSASKTVPLPFKSNVSSSPFSYLLTRHFSASSAASAVKKTVEDVMPIATGHEREELEVELQGKKILEDVNNPVGPFGTKEAPAVVKSYYDKRIVGCPGGEGEDEHDIVWFWLEKGKPHECSVCSQYFVVSRSI >KJB68129 pep chromosome:Graimondii2_0_v6:10:59851445:59854959:-1 gene:B456_010G227300 transcript:KJB68129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLLTSQLKTLATAPCRSASKTVPLPFKSNVSSSPFSYLLTRHFSASSAASAVKKTVEDVMPIATGHEREELEVELQGKKILEDVNNPVGPFGTKEAPAVVKSYYDKRIVGCPGGEGEDEHDIVWFWLEKGKPHECSVCSQYFVVTRSCGPWRTSRRTW >KJB68128 pep chromosome:Graimondii2_0_v6:10:59851341:59854991:-1 gene:B456_010G227300 transcript:KJB68128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLLTSQLKTLATAPCRSASKTVPLPFKSNVSSSPFSYLLTRHFSASSAASAVKKTVEDVMPIATGHEREELEVELQGKKILEDVNNPVGPFGTKEAPAVVKSYYDKRIVGCPGGEGEDEHDIVWFWLEKGKPHECSVCSQYFVLEVVGPGGPPDGHGDDDHHH >KJB68439 pep chromosome:Graimondii2_0_v6:10:61448075:61451714:-1 gene:B456_010G245600 transcript:KJB68439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCTLLIHFDKGTPAIANEIKEALEGNDVSAKVDAMKKAIMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIEKTDAKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAILAVMSIYKLPQGDQLLVDAPDMIEKVLSTEQDPSAKRNAFLMLFTCAQERATNYLLTHVDRVSEWGELLQMVVLELIRKVCRTNRGEKAKYIKIIIALLNSPSTAVIYECAGTLVSLSSAPSAIKAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHRDVMVDLIMDVLRALLSPNLDIQRKTLDIVLELITPRNINEVVLLLKKEVVKTQTGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESAIATIKQCLGDLPFYSASEEGEATDASKKTPQASSITISSRRPAVLADGTYATQSAASETAFSPPTIVQGSLTSGNLRSLLLTGDFFLGAVVACTMTKLVLRLEEVQPSKVEVNKATTQTLLILVSMLQLGQSHVLPHPIDNDSYDRIVLCMRLLCNTGDEIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFVKDADDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCSDTAFRTMWAEFEWENKVAVNTVIQDEKEFLNHIIKSINMKCLTAPSALDGECGFQAANLYAKSVFGEDALVNLSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >KJB68441 pep chromosome:Graimondii2_0_v6:10:61448362:61452850:-1 gene:B456_010G245600 transcript:KJB68441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCTLLIHFDKGTPAIANEIKEALEGNDVSAKVDAMKKAIMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIEKTDAKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAILAVMSIYKLPQGDQLLVDAPDMIEKVLSTEQDPSAKRNAFLMLFTCAQERATNYLLTHVDRVSEWGELLQMVVLELIRKVCRTNRGEKAKYIKIIIALLNSPSTAVIYECAGTLVSLSSAPSAIKAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHRDVMVDLIMDVLRALLSPNLDIQRKTLDIVLELITPRNINEVVLLLKKEVVKTQTGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESAIATIKQCLGDLPFYSASEEGEATDASKKTPQASSITISSRRPAVLADGTYATQSAASETAFSPPTIVQGSLTSGNLRSLLLTGDFFLGAVVACTMTKLVLRLEEVQPSKVEVNKATTQTLLILVSMLQLGQSHVLPHPIDNDSYDRIVLCMRLLCNTGDEIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFVKDADDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCSDTAFRTMWAEFEWENKVAVNTVIQDEKEFLNHIIKSINMKCLTAP >KJB68440 pep chromosome:Graimondii2_0_v6:10:61447981:61452850:-1 gene:B456_010G245600 transcript:KJB68440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCTLLIHFDKGTPAIANEIKEALEGNDVSAKVDAMKKAIMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIEKTDAKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAILAVMSIYKLPQGDQLLVDAPDMIEKVLSTEQDPSAKRNAFLMLFTCAQERATNYLLTHVDRVSEWGELLQMVVLELIRKVCRTNRGEKAKYIKIIIALLNSPSTAVIYECAGTLVSLSSAPSAIKAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHRDVMVDLIMDVLRALLSPNLDIQRKTLDIVLELITPRNINEVVLLLKKEVVKTQTGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESAIATIKQCLGDLPFYSASEEGEATDASKKTPQASSITISSRRPAVLADGTYATQSAASETAFSPPTIVQGSLTSGNLRSLLLTGDFFLGAVVACTMTKLVLRLEEVQPSKVEVNKATTQTLLILVSMLQLGQSHVLPHPIDNDSYDRIVLCMRLLCNTGDEIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFVKDADDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCSDTAFRTMWAEFEWENKVAVNTVIQDEKEFLNHIIKSINMKCLTAPSALDGECGFQAANLYAKSVFGEDALVNLSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >KJB68438 pep chromosome:Graimondii2_0_v6:10:61447573:61452921:-1 gene:B456_010G245600 transcript:KJB68438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCTLLIHFDKGTPAIANEIKEALEGNDVSAKVDAMKKAIMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIEKTDAKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAILAVMSIYKLPQGDQLLVDAPDMIEKVLSTEQDPSAKRNAFLMLFTCAQERATNYLLTHVDRVSEWGELLQMVVLELIRKVCRTNRGEKAKYIKIIIALLNSPSTAVIYECAGTLVSLSSAPSAIKAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHRDVMVDLIMDVLRALLSPNLDIQRKTLDIVLELITPRNINEVVLLLKKEVVKTQTGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESAIATIKQCLGDLPFYSASEEGEATDASKKTPQASSITISSRRPAVLADGTYATQSAASETAFSPPTIVQGSLTSGNLRSLLLTGDFFLGAVVACTMTKLVLRLEEVQPSKVEVNKATTQTLLILVSMLQLGQSHVLPHPIDNDSYDRIVLCMRLLCNTGDEIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFVKDADDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCSDTAFRTMWAEFEWENKVAVNTVIQDEKEFLNHIIKSINMKCLTAPSALDGECGFQAANLYAKSVFGEDALVNLSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >KJB67200 pep chromosome:Graimondii2_0_v6:10:52598093:52599481:-1 gene:B456_010G179800 transcript:KJB67200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIISQLQEQVNSIASLTFNTFGTLQRDAPPVRLSPNYPEPPPNPTEDSANFADQPKLMSAALVKAAKQFDALVAALPPSEGGEEAQLRRIAELQAENDAVGQELQKQLEAAEKELKQVQELFSQAADNCLNLKKPD >KJB67201 pep chromosome:Graimondii2_0_v6:10:52597664:52599651:-1 gene:B456_010G179800 transcript:KJB67201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIISQLQEQVNSIASLTFNTFGTLQRDAPPVRLSPNYPEPPPNPTEDSANFADQPKLMSAALVKAAKQAENDAVGQELQKQLEAAEKELKQVQELFSQAADNCLNLKKPD >KJB64216 pep chromosome:Graimondii2_0_v6:10:3524548:3526213:1 gene:B456_010G037800 transcript:KJB64216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSPSPSLDAFMSISQPKEEKRSKKHQIYSKEFQAMLDGLDEEDSLEEGGQATEKKRRLSMHQVKALEKNFDVGNKLEPERKVKLAEELGLQPRQVAIWFQNRRARWKTKVLEKDYAMLKANYDALKLDHDNLEKEKLGLIAKLRDLKSKLKEECPSFSVRNKEQGCCENDDDDDCNGIVKEESNVMNGSSSSSDSSNNHKWFKPFESRMDMGYTYDEPELVELEERSMFSAEESCGFFSVDQAPSLQWYFTGQ >KJB64217 pep chromosome:Graimondii2_0_v6:10:3524553:3526211:1 gene:B456_010G037800 transcript:KJB64217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLSPSPSLDAFMSISQPKVDYAEEKRSKKHQIYSKEFQAMLDGLDEEDSLEEGGQATEKKRRLSMHQVKALEKNFDVGNKLEPERKVKLAEELGLQPRQVAIWFQNRRARWKTKVLEKDYAMLKANYDALKLDHDNLEKEKLGLIAKLRDLKSKLKEECPSFSVRNKEQGCCENDDDDDCNGIVKEESNVMNGSSSSSDSSNNHKWFKPFESRMDMGYTYDEPELVELEERSMFSAEESCGFFSVDQAPSLQWYFTGQ >KJB68121 pep chromosome:Graimondii2_0_v6:10:59800404:59803332:1 gene:B456_010G226600 transcript:KJB68121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYQNMLFLRQIRRFFRIAAHSKQFPKTIPLEESALSKLKSERDPDKLFNLFKANAHNKLVVENRFAFEDTVSRLAGAGRFDHIEHLLEHQKTLPQGRREGFIMRIIMLYGKAGMVKHAIDTFRDMHLYGCKRTVKSLNATLKVLSQTHDLRAIEAFLAEVPQELVVELDAYTVNIVVKAFCEMDFLDSAFLYMVQMERLGIKPDVITYTTLISASYQKNRCEIGNGLWNLMVYKGCKPNLTTFNVRVQYLINRRLAWKANDVMRLMKKIGIEPDEVTYNLVIKGFCQAGYLEMAKRVYSSLEFHSTYKPNAKIYQTMIHYLCKGGEYNLAYTRCIDCMRKNWFPSVDTIHSLVQGLMRNGELKKAKRIMRLVRNHRPPFSSSQFDSLRSICRRSDGLKPNQFD >KJB68122 pep chromosome:Graimondii2_0_v6:10:59800404:59803332:1 gene:B456_010G226600 transcript:KJB68122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIIMLYGKAGMVKHAIDTFRDMHLYGCKRTVKSLNATLKVLSQTHDLRAIEAFLAEVPQELVVELDAYTVNIVVKAFCEMDFLDSAFLYMVQMERLGIKPDVITYTTLISASYQKNRCEIGNGLWNLMVYKGCKPNLTTFNVRVQYLINRRLAWKANDVMRLMKKIGIEPDEVTYNLVIKGFCQAGYLEMAKRVYSSLEFHSTYKPNAKIYQTMIHYLCKGGEYNLAYTRCIDCMRKNWFPSVDTIHSLVQGLMRNGELKKAKRIMRLVRNHRPPFSSSQFDSLRSICRRSDGLKPNQFD >KJB67057 pep chromosome:Graimondii2_0_v6:10:50090377:50091540:1 gene:B456_010G172100 transcript:KJB67057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSGEKVKAIWDKRLTEIFCDICIKEILKGNRPGTHFTKDGWLKIMTNFEKETGKGFSQRQLKNRWVVPEAKKIRTSGIDPEFEGKLDQMFMGIVATGDKAWTPFFGTLCSDFFKDVNNEIPKENEEENMGNDIHILKDVHISNDVQIDGNGQKRKNPEISSSHFKTERKKSSKQIGGAVRLSSQIDKSCNVADNMSQATSSLTPVMDPYGIPQAVKMLNSMSEEVQEASPLYFFALKLLLNKDKLIMFLSINSKIRALWLKTEMEDS >KJB63601 pep chromosome:Graimondii2_0_v6:10:588407:590383:1 gene:B456_010G008300 transcript:KJB63601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLQGPVICPAVDSKQVGVYTLPFNGPFPRTRLHRSDIWGYRGIVDGKGKPRGISGHLKRRRRFNTTVQCIFSSSSDGNGSMAENFNENNEDYVNSSVVEAVEVRSGADGFMIKMRDGKHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSALLMAALRNVQIARPTMYQVVKNMIDKMGYTVKLVRVTKRVHEAYFAQLYLTKVGDETESVCFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIEPGKLSTQSPASDGLLFAELDQLDCFCSFIKIIEHAYTCSFQTVRM >KJB63597 pep chromosome:Graimondii2_0_v6:10:588017:591062:1 gene:B456_010G008300 transcript:KJB63597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLQGPVICPAVDSKQVGVYTLPFNGPFPRTRLHRSDIWGYRGIVDGKGKPRGISGHLKRRRRFNTTVQCIFSSSSDGNGSMAENFNENNEDYVNSSVVEAVEVRSGADGFMIKMRDGKHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSALLMAALRNVQIARPTMYQVVKNMIDKMGYTVKLVRVTKRVHEAYFAQLYLTKVGDETESVCFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIEPGKLSTQSPASDGLLFAELDQPSGQHCLDTEEFNIVCNLNKAINQERYKDAADLRDKLGQFRAQRNLKKYT >KJB63602 pep chromosome:Graimondii2_0_v6:10:587986:591030:1 gene:B456_010G008300 transcript:KJB63602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLQGPVICPAVDSKQVGVYTLPFNGPFPRTRLHRSDIWGYRGIVDGKGKPRGISGHLKRRRRFNTTVQCIFSSSSDGNGSMAENFNENNEDYVNSSVVEAVEVRSGADGFMIKMRDGKHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSALLMAALRNVQIARPTMYQVVKNMIDKMGYTVKLVRVTKRVHEAYFAQLYLTKVGDETESVCFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIEPGKLSTQSPASDGLLFAELDQPSGQHCLDTEEFNIVCNLNKAINQERYKDAADLRDKLGQFRAQRNLKKYT >KJB63600 pep chromosome:Graimondii2_0_v6:10:588017:591030:1 gene:B456_010G008300 transcript:KJB63600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLQGPVICPAVDSKQVGVYTLPFNGPFPRTRLHRSDIWGYRGIVDGKGKPRGISGHLKRRRRFNTTVQCIFSSSSDGNGSMAENFNENNEDYVNSSVVEAVEVRSGADGFMIKMRDGKHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSALLMAALRNVQIARPTMYQVVKNMIDKMGYTVKLVRVTKRVHEAYFAQLYLTKVGDETESVCFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIEPGKLSTQSPASDGLLFAELDQLDCFCSFIKIIEHAYTCSFQTVRM >KJB63599 pep chromosome:Graimondii2_0_v6:10:588017:591030:1 gene:B456_010G008300 transcript:KJB63599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLQGPVICPAVDSKQVGVYTLPFNGPFPRTRLHRSDIWGYRGIVDGKGKPRGISGHLKRRRRFNTTVQCIFSSSSDGNGSMAENFNENNEDYVNSSVVEAVEVRSGADGFMIKMRDGKHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSALLMAALRNVQIARPTMYQVVKNMIDKMGYTVKLVRVTKRVHEAYFAQLYLTKVGDETESVCFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIEPGKLSTQSPASDGLLFAELDQPSGQHCLDTEEFNIVCNLNKAINQERYKDAGNILSLILPYLFNCSLRLLTYIIVILSDSRLERQARSVSSSKELEEIYVTVVPLATPIVNRNYCWKPINSAGQWQHTVAKQSVRLYICLSSTKYVRGEVCKYMCRYIHLRKMGCNMLD >KJB63598 pep chromosome:Graimondii2_0_v6:10:587956:591062:1 gene:B456_010G008300 transcript:KJB63598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLQGPVICPAVDSKQVGVYTLPFNGPFPRTRLHRSDIWGYRGIVDGKGKPRGISGHLKRRRRFNTTVQCIFSSSSDGNGSMAENFNENNEDYVNSSVVEAVEVRSGADGFMIKMRDGKHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSALLMAALRNVQIARPTMYQVVKNMIDKMGYTVKLVRVTKRVHEAYFAQLYLTKVGDETESVCFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIEPGKLSTQSPASDGLLFAELDQPSGQHCLDTEEFNIVCNLNKAINQERYKDAADLRDKLGQFRAQRNLKKYT >KJB64306 pep chromosome:Graimondii2_0_v6:10:3933298:3934107:1 gene:B456_010G042100 transcript:KJB64306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLFCFSHYFSVSHSSFSLQNLNSLTFSHPPPLTSSLRPAAAGQHHHILPLIFPPPAATVSESFCGLSSFSPTYLHHIK >KJB64307 pep chromosome:Graimondii2_0_v6:10:3933298:3934895:1 gene:B456_010G042100 transcript:KJB64307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLFCFSHYFSVSHSSFSLQNLNSLTFSHPPPLTSSLRPAAAGQHHHILPLIFPPPAATVSESFCGLSSFSPTYLHRKEKGWQCSLIHII >KJB67352 pep chromosome:Graimondii2_0_v6:10:53811449:53813483:1 gene:B456_010G187000 transcript:KJB67352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGGIAKPDKTKFSQCWKTTWKTPYIMRLSLSAGIGGLLFGYDTGVISGALLYIREDFQQVEKKTWLQEVIVSMAVAGAIFGAAFGGWINDSFGRKPSILVADILFFVGAIVMALAPAPWMIIIGRIFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTHAPGTWRWMLGVAGLPAVVQFFFMLSLPESPRWLYRRNKVEEARSILEKIYPANEVESELIALRNSVEAEKADEQAIGDNFIAKMKGALSNVVVRRGLYAGVTVQVAQQFSGINTVMYYSPTIVQFAGFASNKTALALSLVTSGLNAVGSIVSMAFVDRYGRRRMMIVSMFGIISCLVILSIVFFQAASHAPKISQLESTHFATNATCPSFLSASNPTSWNCMSCLKAECAFCANGANEVSILTRL >KJB67354 pep chromosome:Graimondii2_0_v6:10:53811992:53814482:1 gene:B456_010G187000 transcript:KJB67354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGAIFGAAFGGWINDSFGRKPSILVADILFFVGAIVMALAPAPWMIIIGRIFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTHAPGTWRWMLGVAGLPAVVQFFFMLSLPESPRWLYRRNKVEEARSILEKIYPANEVESELIALRNSVEAEKADEQAIGDNFIAKMKGALSNVVVRRGLYAGVTVQVAQQFSGINTVMYYSPTIVQFAGFASNKTALALSLVTSGLNAVGSIVSMAFVDRYGRRRMMIVSMFGIISCLVILSIVFFQAASHAPKISQLESTHFATNATCPSFLSASNPTSWNCMSCLKAECAFCANGANEYSPGACLAKTSDLETLCHGQHRTWFKDGCPSKFGFLAVVFLGLYIISYSPGMGTVPWIVNSEIYPLKYRGIGGGIAAVFNWVCNLIVSLTFLTLTKALGSSGTFLLFAGYCVIGLVFIYWFVPETKGLQFEEVEKMLKSGYKPLAFKTKSTRGENQSA >KJB67353 pep chromosome:Graimondii2_0_v6:10:53811449:53814482:1 gene:B456_010G187000 transcript:KJB67353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGGIAKPDKTKFSQCWKTTWKTPYIMRLSLSAGIGGLLFGYDTGVISGALLYIREDFQQVEKKTWLQEVIVSMAVAGAIFGAAFGGWINDSFGRKPSILVADILFFVGAIVMALAPAPWMIIIGRIFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTHAPGTWRWMLGVAGLPAVVQFFFMLSLPESPRWLYRRNKVEEARSILEKIYPANEVESELIALRNSVEAEKADEQAIGDNFIAKMKGALSNVVVRRGLYAGVTVQVAQQFSGINTVMYYSPTIVQFAGFASNKTALALSLVTSGLNAVGSIVSMAFVDRYGRRRMMIVSMFGIISCLVILSIVFFQAASHAPKISQLESTHFATNATCPSFLSASNPTSWNCMSCLKAECAFCANGANEYSPGACLAKTSDLETLCHGQHRTWFKDGCPSKFGFLAVVFLGLYIISYSPGMGTVPWIVNSEIYPLKYRGIGGGIAAVFNWVCNLIVSLTFLTLTKALGSSGTFLLFAGYCVIGLVFIYWFVPETKGLQFEEVEKMLKSGYKPLAFKTKSTRGENQSA >KJB64867 pep chromosome:Graimondii2_0_v6:10:8956936:8960837:-1 gene:B456_010G068800 transcript:KJB64867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDESKFDVNFKLLALRIPRELCKTATRLLNGYLLDKPRVKPITEDPTSEKTRYMILSEKVQNPDLSDIPSQKLDELKKLCEIEVVPYSLTLGYSYWTADHILKQILPLGVEVPSSFETIKNYPRIKTVVNKVGTITNEFRVPKFEILAGESDMVTEVKQYGATFKLDYSLVYWNSRLEHEHIRLVSQFCPGETICDMFAGIGPFAIPAAQKGCLVYANDLNPDSIHYLKINAKINKVDDCVVAYNMDARKFISHLMAKPICAIDLESDNSMVKAHDTCSTKAIDDAKAEVWEKAVAGNDVKSEAEDVQNSTRTIDGSVVAESGNAHSGTKKKGNAHKRMKGSILQAKPWEHVDHVIMNLPASALQFLDAFRAIISRKNWRGPLPLVHCYCFIRANETQEFITSEAESALNAKIKDPVFHKVRDVAPNKAMFCLSFRLPEACFIEDVANSTCHNTGGL >KJB64866 pep chromosome:Graimondii2_0_v6:10:8952467:8960743:-1 gene:B456_010G068800 transcript:KJB64866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDESKFDVNFKLLALRIPRELCKTATRLLNGYLLDKPRVKPITEDPTSEKTRYMILSEKVQNPDLSDIPSQKLDELKKLCEIEVVPYSLTLGYSYWTADHILKQILPLGVEVPSSFETIVKGECQIRYLSNVGMLNYFLLVLSYNWLIIWSYSCHVAHLNIHDELLRFKDVIAKVIYDKNYPRIKTVVNKVGTITNEFRVPKFEILAGESDMVTEVKQYGATFKLDYSLVYWNSRLEHEHIRLVSQFCPGETICDMFAGIGPFAIPAAQKGCLVYANDLNPDSIHYLKINAKINKVDDCVVAYNMDARKFISHLMAKPICAIDLESDNSMKAVAGNDVKSEAEDVQNSTRTIDGSVVAGKRPPDCFTEESGNAHSGTKKKGNAHKRMKGSILQAKPWEHVDHVIMNLPASALQFLDAFRAIISRKNWRGPLPLVHCYCFIRANETQEFITSEAESALNAKIKDPVFHKVRDVAPNKAMFCLSFRLPEACFIEDVANSTCHNTGRQFYNHLLALLLLAIMTLVPNGNGIGVNWGTMSTHQLPPGKVVKMLRDNGVHKLKLFEYNEEIMTALTGTDIEVMVGIPNSMLKLMSVDPAAAASWVYNNVTGYCYDGGVNIKYVAVGNEPFLQTYNGTYLQYTLPALKNIQRALDESGVKCRYKTTVPFNADIYDSPESNPVPSAGDFRPEVKDLTIEIVQFLYLHDAPFTVNIYPYLSLYGNDYFPIEFAFFDGLSKPLRDGNNVYKNAFDANLDTLIYALSKAGFPDMEVIVGEVGWPTDGDKNANTRNAKRFNQGLIKHALSGNGTPARKGNIEVYLFSLIDENAKSIEPGGFERHWGIFEFDGKPKYQLDLTGLELEKGLAPVEDVKYQTKRWCVLDATATDLDELPESVSYACSLSDCTALGYGSSCNQLTAKGNASYAFNMYYQVNNQHVWDCDFSGLAIVTDDNPSEEGCQFPVMIAFAHSSLLLHNNGGLLDVLLRIIGGFIVLISFLI >KJB63390 pep chromosome:Graimondii2_0_v6:10:11167451:11169334:1 gene:B456_010G077200 transcript:KJB63390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESDKIRRASEPIELVKLVKRIKHELSSDESLAELPRAVKHKITDKILQRLRSLRPNASISDQQGAIS >KJB63392 pep chromosome:Graimondii2_0_v6:10:11167235:11169334:1 gene:B456_010G077200 transcript:KJB63392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESDKIRRASEPIELVKLVKRIKHELSSDESLAELPRAVKHKITDKILQRLRSLRPNASISDQQGAIS >KJB63391 pep chromosome:Graimondii2_0_v6:10:11167200:11169334:1 gene:B456_010G077200 transcript:KJB63391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESDKIRRASEPIELVKLVKRIKHELSSDESLAELPRAVKHKITDKILQRLRSLRPNASISDQQGAIS >KJB67830 pep chromosome:Graimondii2_0_v6:10:57964590:57965065:-1 gene:B456_010G2136002 transcript:KJB67830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ANVIIATQDLFPTRALGNAINTGTDNMTGIGSLLTTIFGNNVSLKFLPHYERDILNLRSLTEDEGILLSGCQANELSIDMPASEKTGGKAFGAFTYSVLKVIKESELGALTNRQLVVKARNEIIKLGIGQQHPCLYCSDENADAAFLGHQPNTTTGA >KJB67566 pep chromosome:Graimondii2_0_v6:10:55802506:55803573:1 gene:B456_010G1973002 transcript:KJB67566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLSCCFTLALFMVILMASPSSSLANLNVIDKCWRGNPLWRSQRQQLAKCSVGFVGKMINNIGKDVVKYKVIDPSDDPLSPKSGTLRYGTTMIKGKLWITFKNSMTITLQRPLLLSSFTAIDGRGVDVHITGAGCLLVYQATDIIIHGLRIHHFKAQPPSTVMGTNAKVIPLGQMDGDAIRLVTARKVWIDHNTLYECQDGLLDVTRGSTSITVLNNWFRNQDKVMLLGHDDGHLRDKNMKATVIFNHFGPNCNQRMPRVHHGYAHVANNFYQGWEQYAIGGSMSPSIKSEANFFVAPTDVGNKEVTWRKGEKGLWKFYLVRD >KJB66004 pep chromosome:Graimondii2_0_v6:10:25387627:25388622:-1 gene:B456_010G123800 transcript:KJB66004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ICVVYLQMSSYQNVAGGRLRLKGKPLHVFKAKAEAADPISKKKRKKHKHRYAPHSQGENRRLSTDTTDDNHEAGKDGDEATAFEDHLTPAERKFLEQTRQLELQRLAKMATKSHHDRIQEFNRYLANLTEHYDIPKVGPG >KJB66005 pep chromosome:Graimondii2_0_v6:10:25387627:25388937:-1 gene:B456_010G123800 transcript:KJB66005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYQNVAGGRLRLKGKPLHVFKAKAEAADPISKKKRKKHKHRYAPHSQGENRRLSTDTTDDNHEAGKDGDEATAFEDHLTPAERKFLEQTRQLELQRLAKMATKSHHDRIQEFNRYLANLTEHYDIPKVGPG >KJB68113 pep chromosome:Graimondii2_0_v6:10:59788170:59790869:-1 gene:B456_010G226300 transcript:KJB68113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFCSVQCSFENFLLRGWDFIVGHANYVCKLKQTLPTLSAALQELRALRNDVQREVDVADQRLLKPFERVQLWLSTADTMITEAENLVSNGPQQMNNLCLGGCISENCLSSYKFGKRVAEMLQEISDHKSKGAFEKVAEDQPAASVVVRPVEQPVALESTIQNLWSCIEDKDVGIIGLYGLGGVGKTTLLTQINNKFSTTRNDFKVVIWALVSKDYDVGKIQDRIGESIGFLETWKNKSVDQKAVDIYGILSDKRFVVLLDDLWERVDFNQVGIPKPSQENGSKLIFTTRYLEVCGEMGARKKIKVECLEPVKAWELFQDKVGDETLNSHPDIPNLAKQVAERCGGLPLALITIGRAMACKTTLGEWKYAIEMLKRCALPKMENEVFPLLKFSYDNLPDATMKCCLLYCCLHPEDYCIPKKRLVEYWFCEGLLNQFDRISDAQMQGDYIVNSLLSACLLERDGEYFVKMHDVIRDMTLWIIREFEVTENNFFVKAGAQLCEVPDVKAWERVKRMSVMKNNIKVLKETPECPNLRTLFLGQNELKVISNGFFQFIPHLTVLDLSRNFGLRVLPKGISELISLECLDLSATFIEELPIELKSLTKLKMLDLSYMHNLSKIPRQLISNFVKLQIFRIGRLRSGGYGVDNVLSWGMEKLIEELKGLQHLNILSIPIKGMSSLERFLSFNLFRCCTQALELSDFGVKVFNVLCLENMEHLETLEFLNCESMKEIKMEKLHPWVFSSTNYTSRFHTLSTVRIFECNKLRDMTWLILAPNLSYIGIFGCKKMEEILSERKLDEVTSVIGISYPKPFLKLETLHLFRLPKLKSIYWNALPFPRMKLIHIFGCRWLKKLPLNSDSAKGNLLTIEGSKHWWARVEWENEATQYAFLPSFKPLASFKPA >KJB68112 pep chromosome:Graimondii2_0_v6:10:59788170:59790869:-1 gene:B456_010G226300 transcript:KJB68112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFCSVQCSFENFLLRGWDFIVGHANYVCKLKQTLPTLSAALQELRALRNDVQREVDVADQRLLKPFERVQLWLSTADTMITEAENLVSNGPQQMNNLCLGGCISENCLSSYKFGKRVAEMLQEISDHKSKGAFEKVAEDQPAASVVVRPVEQPVALESTIQNLWSCIEDKDVGIIGLYGLGGVGKTTLLTQINNKFSTTRNDFKVVIWALVSKDYDVGKIQDRIGESIGFLETWKNKSVDQKAVDIYGILSDKRFVVLLDDLWERVDFNQVGIPKPSQENGSKLIFTTRYLEVCGEMGARKKIKVECLEPVKAWELFQDKVGDETLNSHPDIPNLAKQVAERCGGLPLALITIGRAMACKTTLGEWKYAIEMLKRCALPKMENEVFPLLKFSYDNLPDATMKCCLLYCCLHPEDYCIPKKRLVEYWFCEGLLNQFDRISDAQMQGDYIVNSLLSACLLERDGEYFVKMHDVIRDMTLWIIREFEVTENNFFVKAGAQLCEVPDVKAWERVKRMSVMKNNIKVLKETPECPNLRTLFLGQNELKVISNGFFQFIPHLTVLDLSRNFGLRVLPKGISELISLECLDLSATFIEELPIELKSLTKLKMLDLSYMHNLSKIPRQLISNFVKLQIFRIGRLRSGGYGVDNVLSWGMEKLIEELKGLQHLNILSIPIKGMSSLERFLSFNLFRCCTQALELSDFGVKVFNVLCLENMEHLETLEFLNCESMKEIKMEKLHPWVFSSTNYTSRFHTLSTVRIFECNKLRDMTWLILAPNLSYIGIFGCKKMEEILSERKLDEVTSVIGISYPKPFLKLETLHLFRLPKLKSIYWNALPFPRMKLIHIFGCRWLKKLPLNSDSAKGNLLTIEGSKHWWARVEWENEATQYAFLPSFKPLASFKPA >KJB68114 pep chromosome:Graimondii2_0_v6:10:59787919:59791373:-1 gene:B456_010G226300 transcript:KJB68114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEISDHKSKGAFEKVAEDQPAASVVVRPVEQPVALESTIQNLWSCIEDKDVGIIGLYGLGGVGKTTLLTQINNKFSTTRNDFKVVIWALVSKDYDVGKIQDRIGESIGFLETWKNKSVDQKAVDIYGILSDKRFVVLLDDLWERVDFNQVGIPKPSQENGSKLIFTTRYLEVCGEMGARKKIKVECLEPVKAWELFQDKVGDETLNSHPDIPNLAKQVAERCGGLPLALITIGRAMACKTTLGEWKYAIEMLKRCALPKMENEVFPLLKFSYDNLPDATMKCCLLYCCLHPEDYCIPKKRLVEYWFCEGLLNQFDRISDAQMQGDYIVNSLLSACLLERDGEYFVKMHDVIRDMTLWIIREFEVTENNFFVKAGAQLCEVPDVKAWERVKRMSVMKNNIKVLKETPECPNLRTLFLGQNELKVISNGFFQFIPHLTVLDLSRNFGLRVLPKGISELISLECLDLSATFIEELPIELKSLTKLKMLDLSYMHNLSKIPRQLISNFVKLQIFRIGRLRSGGYGVDNVLSWGMEKLIEELKGLQHLNILSIPIKGMSSLERFLSFNLFRCCTQALELSDFGVKVFNVLCLENMEHLETLEFLNCESMKEIKMEKLHPWVFSSTNYTSRFHTLSTVRIFECNKLRDMTWLILAPNLSYIGIFGCKKMEEILSERKLDEVTSVIGISYPKPFLKLETLHLFRLPKLKSIYWNALPFPRMKLIHIFGCRWLKKLPLNSDSAKGNLLTIEGSKHWWARVEWENEATQYAFLPSFKPLASFKPA >KJB65750 pep chromosome:Graimondii2_0_v6:10:21251347:21251622:-1 gene:B456_010G111800 transcript:KJB65750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWGFLFDCIEKPNELGFPYWAESFRGKQIIYDEKDELQGNDSALLQSGTMQYQARDRSSKEQGFFRISQFIWDKTTPYACLNKIFVVRF >KJB65903 pep chromosome:Graimondii2_0_v6:10:23429979:23435803:-1 gene:B456_010G118200 transcript:KJB65903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLTRSAFSAIKPSKASTPYLFRARAISAAACTLRNSLISPHRSQNFNAVAANNGGFFSLTRSYHSSPPRYSSATSPAQINQSDYTDMAWEGLVGAVQAAKDSKQQMVESEHLMKALLEQKDGLARRIFTKAGLDNTSVLQATDDFISKQPKVMDTSNPIMGSNLSSLLDNSRKHKKEMGDNFVSVEHFVLAFTSDKRFGQQLFKNLQLSEQALKDAIKAVRGNQRVTDQNPEGKYEALEKYGNDLTELARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLLNRKLISLDMGSLLAGAKFRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGATGGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVYCGQPSVEDTVSILRGLRERYELHHGVKISDSALVSAAVLADRYITERFLPDKAIDLVDEAAAKLKMEITSKPTELDEIDRAVLKLEMEKLSLKNDTDKASKERLSKLENDLNSLKQKQKELTEQWDHEKALMTRIRSVKEEIDRVNQEMEAAEREYDLSRAAELKYGTLMSLQRQLEEAEKNLAEFQKSGKSLLREEVTDLDIAEIVSKWTGIPLSNLQQSERDKLVLLEKELHKRIIGQDIAVKSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAGFLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYSVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNCVVIMTSNIGSHYILETLQSTYDSKDAVYNVMKKQVVELARQTFRPEFMNRIDEYIVFQPLDSKEISKIVELQMVRLKDRLRQKKIYLHYTKEAVELLGTLGFDPNFGARPVKRVIQQLVENEVAMGVLRGDFKEEDSIIVDAESLPSVKDLPPQDKLCIKKLESSSPLDVMVAND >KJB65904 pep chromosome:Graimondii2_0_v6:10:23429996:23435701:-1 gene:B456_010G118200 transcript:KJB65904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLTRSAFSAIKPSKASTPYLFRARAISAAACTLRNSLISPHRSQNFNAVAANNGGFFSLTRSYHSSPPRYSSATSPAQINQSDYTDMAWEGLVGAVQAAKDSKQQMVESEHLMKALLEQKDGLARRIFTKAGLDNTSVLQATDDFISKQPKVMDTSNPIMGSNLSSLLDNSRKHKKEMGDNFVSVEHFVLAFTSDKRFGQQLFKNLQLSEQALKDAIKAVRGNQRVTDQNPEGKYEALEKYGNDLTELARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLLNRKLISLDMGSLLAGAKFRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGATGGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVYCGQPSVEDTVSILRGLRERYELHHGVKISDSALVSAAVLADRYITERFLPDKAAAKLKMEITSKPTELDEIDRAVLKLEMEKLSLKNDTDKASKERLSKLENDLNSLKQKQKELTEQWDHEKALMTRIRSVKEEIDRVNQEMEAAEREYDLSRAAELKYGTLMSLQRQLEEAEKNLAEFQKSGKSLLREEVTDLDIAEIVSKWTGIPLSNLQQSERDKLVLLEKELHKRIIGQDIAVKSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAGFLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYSVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNCVVIMTSNIGSHYILETLQSTYDSKDAVYNVMKKQVVELARQTFRPEFMNRIDEYIVFQPLDSKEISKIVELQMVRLKDRLRQKKIYLHYTKEAVELLGTLGFDPNFGARPVKRVIQQLVENEVAMGVLRGDFKEEDSIIVDAESLPSVKDLPPQDKLCIKKLESSSPLDVMVAND >KJB67524 pep chromosome:Graimondii2_0_v6:10:55535164:55535855:-1 gene:B456_010G195100 transcript:KJB67524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNSPCASCKLLRRRCAKDCIFAPYFPSDDPHKFAIVHKVFGASNVSKMLQELPVQQRADAVSSLVYEANARVRDPVYGCVGAISFLQNQVSELQMQLAVAQAEILCIQMQHQVQDPMLQQLPNPTPTTAHGGATASQLLDDQLHYDKPFLLHNHHQFINSTTNVIHDQYSVKRETIFGDMVS >KJB67205 pep chromosome:Graimondii2_0_v6:10:52646567:52647615:-1 gene:B456_010G180400 transcript:KJB67205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAFFVHYFLSSVDVEGGLKNPDKNHRRGQFQGGLNIIILLSCQLAIKLSQSQISCREISTITWL >KJB64684 pep chromosome:Graimondii2_0_v6:10:7239035:7241384:1 gene:B456_010G060500 transcript:KJB64684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLLELPQVADLITFLTRSFLINGHTNVSYDSEDLFLGITNLVNLRQITTPESDVTLPVTPVTRWPFYVFLGGSLFCLLSSSVCHLFSCHSHHLNLTLLRLDYAGITTMIITSFFPPIYYIFQCDPQWHFVYLGGITALGLFTILTLLSPTLSTSKFRAFRALLFTSMGLFGVVPGIHASIVNWSNPRRNVTLAYESAMAIFYLTGTMFYVTRIPERLKPGWFDIAGHSHQIFHVLVVMGALAHYGASLVFLEWRDRNGC >KJB64686 pep chromosome:Graimondii2_0_v6:10:7239035:7241450:1 gene:B456_010G060500 transcript:KJB64686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTLDSKLISNEAVDMKIMKKLGDDDRRQGLTKAKKRSYALVSYKELPDYMKDNEFILNYYRANWSLKEALFSVFRWHNETLNVWTHLLGFLLFLGLTMANLLELPQVADLITFLTRSFLINGHTNVSYDSEDLFLGITNLVNLRQITTPESDVTLPVTPVTRWPFYVFLGGSLFCLLSSSVCHLFSCHSHHLNLTLLRLDYAGITTMIITSFFPPIYYIFQCDPQWHFVYLGGITALGLFTILTLLSPTLSTSKFRAFRALLFTSMGLFGVVPGIHASIVNWSNPRRNVTLAYESAMAIFYLTGTMFYVTRIPERLKPGWFDIAGHSHQIFHVLVVMGALAHYGASLVFLEWRDRNGC >KJB64685 pep chromosome:Graimondii2_0_v6:10:7239035:7241384:1 gene:B456_010G060500 transcript:KJB64685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLMFGRMHLLGFLLFLGLTMANLLELPQVADLITFLTRSFLINGHTNVSYDSEDLFLGITNLVNLRQITTPESDVTLPVTPVTRWPFYVFLGGSLFCLLSSSVCHLFSCHSHHLNLTLLRLDYAGITTMIITSFFPPIYYIFQCDPQWHFVYLGGITALGLFTILTLLSPTLSTSKFRAFRALLFTSMGLFGVVPGIHASIVNWSNPRRNVTLAYESAMAIFYLTGTMFYVTRIPERLKPGWFDIAGHSHQIFHVLVVMGALAHYGASLVFLEWRDRNGC >KJB64591 pep chromosome:Graimondii2_0_v6:10:6416782:6421928:-1 gene:B456_010G056300 transcript:KJB64591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSIQEDLRPLNVARIVTEEPQIADAVAATNNTRGTTIDGFLPNSPRELETYGGAMPVLYPATVTDAGFASLGYGNVVPLTPGVPAWRPHMPLPGPVGNSSMNLVGGFGYSPNLGNMVAANAVHQVSNDVVAGHGYSPSLGNRISGNRSDQLSNDRVTVGLAHSCNMGNRGSRNGNELIGGVGYKSNSGVGSNGNGADQVNDEGGDDSVSGKKVKFLCSFGGKILPRPSDGVLRYVGGQTRIVSVRRDVSFNEFVQKMVDTYEQPVVIKYQLPDEDLDALVSVSCSDDLVNMMDEYEKVIERSSDGAAKLRVFLISASELDPSGTVQFGDLHDNGQKYVEAVNGIVKGAAGGIARKQSIASATSTPNSDISGSEAVDSSGAVQGDVGGPPSTNLLSPRGNLPISGVHLGSPAVNIGPQTLSSQLEFESGRTVQLASTQQQLGYDLQQHYASTHVDPRYEVTSHTEYMQFAPQMEFSNPKFLGNTGSVFNQQQPQGNAPHQYIPAFNMTMTPSISHVVVRPAAFQPLLQHQQTPLEHYPDQNAFGTRVIQHPVYQSHNIYQAEIPSAMVAGGYTFHHTPQTEHVVFSDGSLQQIKVTTPAKIPSIEDCFMCQKALPHVHSDPLVQDQRDGDVTPMVNADSSYHGLHQEDSMRISSVNKVVVTAPTGDVIAHQQAGVRQLGHVDHQVGGLKSEAVGCSQDPDAPYGHEGNISPITDNSDHPRIPASLGLMVLAGEFQSPYVLPTQYQFQKEVPHVEAIGTHALEQPVHETSRVPAVPKEDSVDPNHLIQIDRMMETLQMSNEQSSLPIDKTRKEDILDEKSPHIAGEELLLDNLVTHAHPKVGVEVQYGNPPFSGVVSAHTLGFDEVSLMQQKIVQCDTEAVPSNANSQAYFSPSNRGGDVLDSSNSLFSNQDPWNLQQHTYFPPQPNKIQTKKELLALREDRDPFGENQAVIGGESNIELEDEVYQPLSHLNENLSSDHTQSMKGSGEELIREELQAVAEGVAASVFRSSTHSNPDVPAETNASGNAGYQDTNVLPSDIKLQHKAKFEELKTKQPDRTNFGLRVSDGIGGLQVIKDRDLEELWELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVSGSLRDALQKSERNLDKCKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSNSLVSEKVDVFSFGIVMWELLTREEPYADLHYGAIIGGIVSNTLRPAVPESCDPDWRSLMERCWSSEPSERPNFTEIANELRSMAAKIPPRKGQSP >KJB64590 pep chromosome:Graimondii2_0_v6:10:6417006:6421928:-1 gene:B456_010G056300 transcript:KJB64590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSIQEDLRPLNVARIVTEEPQIADAVAATNNTRGTTIDGFLPNSPRELETYGGAMPVLYPATVTDAGFASLGYGNVVPLTPGVPAWRPHMPLPGPVGNSSMNLVGGFGYSPNLGNMVAANAVHQVSNDVVAGHGYSPSLGNRISGNRSDQLSNDRVTVGLAHSCNMGNRGSRNGNELIGGVGYKSNSGVGSNGNGADQVNDEGGDDSVSGKKVKFLCSFGGKILPRPSDGVLRYVGGQTRIVSVRRDVSFNEFVQKMVDTYEQPVVIKYQLPDEDLDALVSVSCSDDLVNMMDEYEKVIERSSDGAAKLRVFLISASELDPSGTVQFGDLHDNGQKYVEAVNGIVKGAAGGIARKQSIASATSTPNSDISGSEAVDSSGAVQGDVGGPPSTNLLSPRGNLPISGVHLGSPAVNIGPQTLSSQLEFESGRTVQLASTQQQLGYDLQQHYASTHVDPRYEVTSHTEYMQFAPQMEFSNPKFLGNTGSVFNQQQPQGNAPHQYIPAFNMTMTPSISHVVVRPAAFQPLLQHQQTPLEHYPDQNAFGTRVIQHPVYQSHNIYQAEIPSAMVAGGYTFHHTPQTEHVVFSDGSLQQIKVTTPAKIPSIEDCFMCQKALPHVHSDPLVQDQRDGDVTPMVNADSSYHGLHQEDSMRISSVNKVVVTAPTGDVIAHQQAGVRQLGHVDHQVGGLKSEAVGCSQDPDAPYGHEGNISPITDNSDHPRIPASLGLMVLAGEFQSPYVLPTQYQFQKEVPHVEAIGTHALEQPVHETSRVPAVPKEDSVDPNHLIQIDRMMETLQMSNEQSSLPIDKTRKEDILDEKSPHIAGEELLLDNLVTHAHPKVGVEVQYGNPPFSGVVSAHTLGFDEVSLMQQKIVQCDTEAVPSNANSQAYFSPSNRGGDVLDSSNSLFSNQDPWNLQQHTYFPPQPNKIQTKKELLALREDRDPFGENQAVIGGESNIELEDEVYQPLSHLNENLSSDHTQSMKGEELIREELQAVAEGVAASVFRSSTHSNPDVPAETNASGNAGYQDTNVLPSDIKLQHKAKFEELKTKQPDRTNFGLRVSDGIGGLQVIKDRDLEELWELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVSGSLRDALQKSERNLDKCKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSNSLVSEKVDVFSFGIVMWELLTREEPYADLHYGAIIGGIVSNTLRPAVPESCDPDWRSLMERCWSSEPSERPNFTEIANELRSMAAKIPPRKGQSP >KJB64587 pep chromosome:Graimondii2_0_v6:10:6416978:6421928:-1 gene:B456_010G056300 transcript:KJB64587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSIQEDLRPLNVARIVTEEPQIADAVAATNNTRGTTIDGFLPNSPRELETYGGAMPVLYPATVTDAGFASLGYGNVVPLTPGVPAWRPHMPLPGPVGNSSMNLVGGFGYSPNLGNMVAANAVHQVSNDVVAGHGYSPSLGNRISGNRSDQLSNDRVTVGLAHSCNMGNRGSRNGNELIGGVGYKSNSGVGSNGNGADQVNDEGGDDSVSGKKVKFLCSFGGKILPRPSDGVLRYVGGQTRIVSVRRDVSFNEFVQKMVDTYEQPVVIKYQLPDEDLDALVSVSCSDDLVNMMDEYEKVIERSSDGAAKLRVFLISASELDPSGTVQFGDLHDNGQKYVEAVNGIVKGAAGGIARKQSIASATSTPNSDISGSEAVDSSGAVQGDVGGPPSTNLLSPRGNLPISGVHLGSPAVNIGPQTLSSQLEFESGRTVQLASTQQQLGYDLQQHYASTHVDPRYEVTSHTEYMQFAPQMEFSNPKFLGNTGSVFNQQQPQGNAPHQYIPAFNMTMTPSISHVVVRPAAFQPLLQHQQTPLEHYPDQNAFGTRVIQHPVYQSHNIYQAEIPSAMVAGGYTFHHTPQTEHVVFSDGSLQQIKVTTPAKIPSIEDCFMCQKALPHVHSDPLVQDQRDGDVTPMVNADSSYHGLHQEDSMRISSVNKVVVTAPTGDVIAHQQAGVRQLGHVDHQVGGLKSEAVGCSQDPDAPYGHEGNISPITDNSDHPRIPASLGLMVLAGEFQSPYVLPTQYQFQKEVPHVEAIGTHALEQPVHETSRVPAVPKEDSVDPNHLIQIDRMMETLQMSNEQSSLPIDKTRKEDILDEKSPHIAGEELLLDNLVTHAHPKVGVEVQYGNPPFSGVVSAHTLGFDEVSLMQQKIVQCDTEAVPSNANSQAYFSPSNRGGDVLDSSNSLFSNQDPWNLQQHTYFPPQPNKIQTKKELLALREDRDPFGENQAVIGGESNIELEDEVYQPLSHLNENLSSDHTQSMKGSGEELIREELQAVAEGVAASVFRSSTHSNPDVPAETNASGNAGYQDTNVLPSDIKLQHKAKFEELKTKQPDRTNFGLRVSDGIGGLQVIKDRDLEELWELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVSGSLRDALQKSERNLDKCKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKFPLPGW >KJB64588 pep chromosome:Graimondii2_0_v6:10:6416782:6422116:-1 gene:B456_010G056300 transcript:KJB64588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSIQEDLRPLNVARIVTEEPQIADAVAATNNTRGTTIDGFLPNSPRELETYGGAMPVLYPATVTDAGFASLGYGNVVPLTPGVPAWRPHMPLPGPVGNSSMNLVGGFGYSPNLGNMVAANAVHQVSNDVVAGHGYSPSLGNRISGNRSDQLSNDRVTVGLAHSCNMGNRGSRNGNELIGGVGYKSNSGVGSNGNGADQVNDEGGDDSVSGKKVKFLCSFGGKILPRPSDGVLRYVGGQTRIVSVRRDVSFNEFVQKMVDTYEQPVVIKYQLPDEDLDALVSVSCSDDLVNMMDEYEKVIERSSDGAAKLRVFLISASELDPSGTVQFGDLHDNGQKYVEAVNGIVKGAAGGIARKQSIASATSTPNSDISGSEAVDSSGAVQGDVGGPPSTNLLSPRGNLPISGVHLGSPAVNIGPQTLSSQLEFESGRTVQLASTQQQLGYDLQQHYASTHVDPRYEVTSHTEYMQFAPQMEFSNPKFLGNTGSVFNQQQPQGNAPHQYIPAFNMTMTPSISHVVVRPAAFQPLLQHQQTPLEHYPDQNAFGTRVIQHPVYQSHNIYQAEIPSAMVAGGYTFHHTPQTEHVVFSDGSLQQIKVTTPAKIPSIEDCFMCQKALPHVHSDPLVQDQRDGDVTPMVNADSSYHGLHQEDSMRISSVNKVVVTAPTGDVIAHQQAGVRQLGHVDHQVGGLKSEAVGCSQDPDAPYGHEGNISPITDNSDHPRIPASLGLMVLAGEFQSPYVLPTQYQFQKEVPHVEAIGTHALEQPVHETSRVPAVPKEDSVDPNHLIQIDRMMETLQMSNEQSSLPIDKTRKEDILDEKSPHIAGEELLLDNLVTHAHPKVGVEVQYGNPPFSGVVSAHTLGFDEVSLMQQKIVQCDTEAVPSNANSQAYFSPSNRGGDVLDSSNSLFSNQDPWNLQQHTYFPPQPNKIQTKKELLALREDRDPFGENQAVIGGESNIELEDEVYQPLSHLNENLSSDHTQSMKGEELIREELQAVAEGVAASVFRSSTHSNPDVPAETNASGNAGYQDTNVLPSDIKLQHKAKFEELKTKQPDRTNFGLRVSDGIGGLQVIKDRDLEELWELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVSGSLRDALQKSERNLDKCKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSNSLVSEKVVL >KJB64592 pep chromosome:Graimondii2_0_v6:10:6417574:6421928:-1 gene:B456_010G056300 transcript:KJB64592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSIQEDLRPLNVARIVTEEPQIADAVAATNNTRGTTIDGFLPNSPRELETYGGAMPVLYPATVTDAGFASLGYGNVVPLTPGVPAWRPHMPLPGPVGNSSMNLVGGFGYSPNLGNMVAANAVHQVSNDVVAGHGYSPSLGNRISGNRSDQLSNDRVTVGLAHSCNMGNRGSRNGNELIGGVGYKSNSGVGSNGNGADQVNDEGGDDSVSGKKVKFLCSFGGKILPRPSDGVLRYVGGQTRIVSVRRDVSFNEFVQKMVDTYEQPVVIKYQLPDEDLDALVSVSCSDDLVNMMDEYEKVIERSSDGAAKLRVFLISASELDPSGTVQFGDLHDNGQKYVEAVNGIVKGAAGGIARKQSIASATSTPNSDISGSEAVDSSGAVQGDVGGPPSTNLLSPRGNLPISGVHLGSPAVNIGPQTLSSQLEFESGRTVQLASTQQQLGYDLQQHYASTHVDPRYEVTSHTEYMQFAPQMEFSNPKFLGNTGSVFNQQQPQGNAPHQYIPAFNMTMTPSISHVVVRPAAFQPLLQHQQTPLEHYPDQNAFGTRVIQHPVYQSHNIYQAEIPSAMVAGGYTFHHTPQTEHVVFSDGSLQQIKVTTPAKIPSIEDCFMCQKALPHVHSDPLVQDQRDGDVTPMVNADSSYHGLHQEDSMRISSVNKVVVTAPTGDVIAHQQAGVRQLGHVDHQVGGLKSEAVGCSQDPDAPYGHEGNISPITDNSDHPRIPASLGLMVLAGEFQSPYVLPTQYQFQKEVPHVEAIGTHALEQPVHETSRVPAVPKEDSVDPNHLIQIDRMMETLQMSNEQSSLPIDKTRKEDILDEKSPHIAGEELLLDNLVTHAHPKVGVEVQYGNPPFSGVVSAHTLGFDEVSLMQQKIVQCDTEAVPSNANSQAYFSPSNRGGDVLDSSNSLFSNQDPWNLQQHTYFPPQPNKIQTKKELLALREDRDPFGENQAVIGGESNIELEDEVYQPLSHLNENLSSDHTQSMKGSGEELIREELQAVAEGVAASVFRSSTHSNPDVPAETNASGNAGYQDTNVLPSDIKLQHKAKFEELKTKQPDRTNFGLRVSDGIGGLQVIKDRDLEELWELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVSGSLRDALQKSERNLDKCKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKFPLPGW >KJB64589 pep chromosome:Graimondii2_0_v6:10:6416782:6422116:-1 gene:B456_010G056300 transcript:KJB64589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSIQEDLRPLNVARIVTEEPQIADAVAATNNTRGTTIDGFLPNSPRELETYGGAMPVLYPATVTDAGFASLGYGNVVPLTPGVPAWRPHMPLPGPVGNSSMNLVGGFGYSPNLGNMVAANAVHQVSNDVVAGHGYSPSLGNRISGNRSDQLSNDRVTVGLAHSCNMGNRGSRNGNELIGGVGYKSNSGVGSNGNGADQVNDEGGDDSVSGKKVKFLCSFGGKILPRPSDGVLRYVGGQTRIVSVRRDVSFNEFVQKMVDTYEQPVVIKYQLPDEDLDALVSVSCSDDLVNMMDEYEKVIERSSDGAAKLRVFLISASELDPSGTVQFGDLHDNGQKYVEAVNGIVKGAAGGIARKQSIASATSTPNSDISGSEAVDSSGAVQGDVGGPPSTNLLSPRGNLPISGVHLGSPAVNIGPQTLSSQLEFESGRTVQLASTQQQLGYDLQQHYASTHVDPRYEVTSHTEYMQFAPQMEFSNPKFLGNTGSVFNQQQPQGNAPHQYIPAFNMTMTPSISHVVVRPAAFQPLLQHQQTPLEHYPDQNAFGTRVIQHPVYQSHNIYQAEIPSAMVAGGYTFHHTPQTEHVVFSDGSLQQIKVTTPAKIPSIEDCFMCQKALPHVHSDPLVQDQRDGDVTPMVNADSSYHGLHQEDSMRISSVNKVVVTAPTGDVIAHQQAGVRQLGHVDHQVGGLKSEAVGCSQDPDAPYGHEGNISPITDNSDHPRIPASLGLMVLAGEFQSPYVLPTQYQFQKEVPHVEAIGTHALEQPVHETSRVPAVPKEDSVDPNHLIQIDRMMETLQMSNEQSSLPIDKTRKEDILDEKSPHIAGEELLLDNLVTHAHPKVGVEVQYGNPPFSGVVSAHTLGFDEVSLMQQKIVQCDTEAVPSNANSQAYFSPSNRGGDVLDSSNSLFSNQDPWNLQQHTYFPPQPNKIQTKKELLALREDRDPFGENQAVIGGESNIELEDEVYQPLSHLNENLSSDHTQSMKGSGEELIREELQAVAEGVAASVFRSSTHSNPDVPAETNASGNAGYQDTNVLPSDIKLQHKAKFEELKTKQPDRTNFGLRVSDGIGGLQVIKDRDLEELWELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVSGSLRDALQKSERNLDKCKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSNSLVSEKVVL >KJB64941 pep chromosome:Graimondii2_0_v6:10:10264095:10269016:-1 gene:B456_010G072700 transcript:KJB64941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDSLRSIVYRSFVVCDDPKGVVESGMIRRSESDSRKMEHKNEGRKAQNRLDVCVASKAGREETVSKGPMEELHSSSSCQLLEVSRGAHKLNQVTNSWSGGIWCDRHSKDLAKDLLKGALELQDSLHMLGKLQEASHLARLKKKEKEKSDKVRNDQVIQGMHLSSVEERNYRKAIQNPHLSAGVSSRDCIEELREVIRDSLARQNLLPNINAEEKRCFSSRYPLDSASDIPSTSSSRSSSVQTDNFTSMESSIWSAAEEKKARGPSLIAKLMGLEEMPSKSWKTNSQKDTENKKIFSQQRPAFEIDTPKVRKPQYALRTEDPEKPLKDVLETMHFKVLLKSNSIKEIKPDSYQSSDFFSGSRLINNSPPIVLIKPRHDPYLQPEEKFAQVLQEEGSSNRETMLKKPKAKEDSPSKIIDSNNRGLNFSKTSRRLETKETPVKRHIQQEGAKDSRENKTRPARKEVKTKQSLSTRVKSSGSITQSSLKKVATEKNIDMIPKPMISSRKPFEKEVPKPKNLLRSKVQAKVAPQKSSKPENASNVMKSKVSHQPSATANSNSARKPQTIVRGPVGLKKSSTKKATEKIECKADQVVLEGNNINLTSETDTILEEKRIDLASIDNTLLEERRIDLTSNNDTLLEEKRIDLEEYSSATSDQLPREEGAEHIDIQIGEHCSESSVFDVTLVTFGDQNSRKSIEVDDDLITSIGTDCESFMTGTSLKALLLSSPAFINNVEELFDLRENVPTTLQKIGISGFSDADTRLSLDCANEIVRRRSNPDSQMIHPPWFSLVGNAKRHISLDHLLKETCDRVEALRSYSEVAGKNYPADSLYSMLERDINYSEVLSGIWDLGWRKGFSVDDTIQVVEDIEKQLLSGLIAEICA >KJB64940 pep chromosome:Graimondii2_0_v6:10:10264508:10267943:-1 gene:B456_010G072700 transcript:KJB64940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDSLRSIVYRSFVVCDDPKGVVESGMIRRSESDSRKMEHKNEGRKAQNRLDVCVASKAGREETVSKGPMEELHSSSSCQLLEVSRGAHKLNQVTNSWSGGIWCDRHSKDLAKDLLKGALELQDSLHMLGKLQEASHLARLKKKEKEKSDKVRNDQVIQGMHLSSVEERNYRKAIQNPHLSAGVSSRDCIEELREVIRDSLARQNLLPNINAEEKRCFSSRYPLDSASDIPSTSSSRSSSVQTDNFTSMESSIWSAAEEKKARGPSLIAKLMGLEEMPSKSWKTNSQKDTENKKIFSQQRPAFEIDTPKVRKPQYALRTEDPEKPLKDVLETMHFKVLLKSNSIKEIKPDSYQSSDFFSGSRLINNSPPIVLIKPRHDPYLQPEEKFAQVLQEEGSSNRETMLKKPKAKEDSPSKIIDSNNRGLNFSKTSRRLETKETPVKRHIQQEGAKDSRENKTRPARKEVKTKQSLSTRVKSSGSITQSSLKKVATEKNIDMIPKPMISSRKPFEKEVPKPKNLLRSKVQAKVAPQKSSKPENASNVMKSKVSHQPSATANSNSARKPQTIVRGPVGLKKSSTKKATEKIECKADQVVLEGNNINLTSETDTILEEKRIDLASIDNTLLEERRIDLTSNNDTLLEEKRIDLEEYSSATSDQLPREEGAEHIDIQIGEHCSESSVFDVTLVTFGDQNSRKSIEVDDDLITSIGTDCESFMTGTSLKALLLSSPAFINNVEELFDLRENVPTTLQKIGISGFSDADTRLSLDCANEIVRRRSNPDSQMIHPPWFSLVGNAKRHISLDHLLKETCDRVEALRSYSEVAGKNYPADSLYSMLERDINYSEVLSGIWDLGWRKGFSVDDTIQVVEDIEKQLLSGLIAEICA >KJB68156 pep chromosome:Graimondii2_0_v6:10:60080003:60080223:1 gene:B456_010G229000 transcript:KJB68156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHILEENKKPLKLEIVGLYPEGSPSRNSRRPRLNPGLRGGLEIVFNQQ >KJB66324 pep chromosome:Graimondii2_0_v6:10:30742016:30745719:1 gene:B456_010G135500 transcript:KJB66324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAFTRRRRSPPPSSLFLDDDKMSGRKGFTPLHSQELGAESYAGILKEPKDFKSMDPGNGDIKSLLKSPSMGLRRSVSGRVSFSENEFANRLKGRRSTSVQAVPYSLADLQKSTDNFAPGRLLGEGSIGRVYRAKYPDGKVLAVKKIDSSHFQGQKPEEFSKLVTNISKLHHPNIAELVGYCSEQGHNMLIHDYFRNGSLHEFLHLSDDFSKPLTWNTRVRIALGVSRAIEYLHDVCSPSIVHKNIKSSNILLDLELNPHLSDYGMASFHLRTSQNLGMGYNAPECAQPSAYTLKSDVYSFGVVMLELLTGRMPLDNQRPRSEQCLVKWARPQLHDNDALAGMVDPALRGLYPPKSLPPFADIVALCIQLDPNLRSSIAEVVQALVRLVQQSTINMREDLSASCRTNDSEY >KJB66323 pep chromosome:Graimondii2_0_v6:10:30741251:30745789:1 gene:B456_010G135500 transcript:KJB66323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLEDINLSHNQLKGQLSDMFGKVQKLKSFDVSNNQLSDKLPNSFANLTNLNTLHLQNNQFTGSINVLTDLPLNDLNVENNEFTGWIPNELKGIDNLKTGGNSWSTGPAPPPPPGVQHRRRPATEEKKSEGPKKSLQNGLIIAFSCLGGLALLLLLLLMFAFTRRRRSPPPSSLFLDDDKMSGRKGFTPLHSQELGAESYAGILKEPKDFKSMDPGNGDIKSLLKSPSMGLRRSVSGRVSFSENEFANRLKGRRSTSVQAVPYSLADLQKSTDNFAPGRLLGEGSIGRVYRAKYPDGKVLAVKKIDSSHFQGQKPEEFSKLVTNISKLHHPNIAELVGYCSEQGHNMLIHDYFRNGSLHEFLHLSDDFSKPLTWNTRVRIALGVSRAIEYLHDVCSPSIVHKNIKSSNILLDLELNPHLSDYGMASFHLRTSQNLGMGYNAPECAQPSAYTLKSDVYSFGVVMLELLTGRMPLDNQRPRSEQCLVKWARPQLHDNDALAGMVDPALRGLYPPKSLPPFADIVALCIQLDPNLRSSIAEVVQALVRLVQQSTINMREDLSASCRTNDSEY >KJB66988 pep chromosome:Graimondii2_0_v6:10:49045482:49046901:1 gene:B456_010G169100 transcript:KJB66988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLKSKQKGEIKNEKREKKNYSKSLASFIIFLYIHLQTHSSHLIPSPFIPNLKSKHICTADSGLVCNLQQYLHFMAKTSKNEEKKFQ >KJB66990 pep chromosome:Graimondii2_0_v6:10:49045820:49046901:1 gene:B456_010G169100 transcript:KJB66990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLKSKQKGEIKNEKREKKNYSKSLASFIIFLYIHLQTHSSHLIPSPFIPNLKSKHICTADSGLVCNLQQYLHFMAKTSKNEEKKFQVREYIPFCLIVFMLIFV >KJB66989 pep chromosome:Graimondii2_0_v6:10:49045482:49046901:1 gene:B456_010G169100 transcript:KJB66989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLKSKQKGEIKNEKREKKNYSKSLASFIIFLYIHLQTHSSHLIPSPFIPNLKSKHICTADSGLVCNLQQYLHFMAKTSKNEEKKFQ >KJB63316 pep chromosome:Graimondii2_0_v6:10:49233709:49235783:-1 gene:B456_010G169500 transcript:KJB63316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGGNSSLSFDTIHKIIQYTDAYDNCLSWEQTEESDNINNVMDELYREAGTTLLEEPDSSMELMDTHREDEDHIRKIKEFQDYLQMVKIMIKPGCSEEVLKVALNSMSSLVGILAFMSYPKHRSSL >KJB63313 pep chromosome:Graimondii2_0_v6:10:49233677:49235905:-1 gene:B456_010G169500 transcript:KJB63313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELYREAGTTLLEEPDSSMELMDTHREDEDHIRKIKEFQDYLQMVKIMIKPGCSEEVLKVALNSMSSLVGILAFMSYPKHRSSL >KJB63315 pep chromosome:Graimondii2_0_v6:10:49234101:49235663:-1 gene:B456_010G169500 transcript:KJB63315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRRKNPNAFSYFIWLDYKSCYFIIIIFCIQEESDNINNVMDELYREAGTTLLEEPDSSMELMDTHREDEDHIRKIKEFQDYLQMVKIMIKPGCSEEVLKVALNSMSSLVGILAFMSYPKHRSSL >KJB63311 pep chromosome:Graimondii2_0_v6:10:49233634:49235912:-1 gene:B456_010G169500 transcript:KJB63311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLDTLGSSINNKHMKFGGNSSLSFDTIHKIIQYTDAYDNCLSWEQTEESDNINNVMDELYREAGTTLLEEPDSSMELMDTHREDEDHIRKIKEFQDYLQMVKIMIKPGCSEEVLKVALNSMSSLVGILAFMSYPKHRSSL >KJB63314 pep chromosome:Graimondii2_0_v6:10:49234101:49235779:-1 gene:B456_010G169500 transcript:KJB63314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLDTLGSSKESDNINNVMDELYREAGTTLLEEPDSSMELMDTHREDEDHIRKIKEFQDYLQMVKIMIKPGCSEEVLKVALNSMSSLVGILAFMSYPKHRSSL >KJB63312 pep chromosome:Graimondii2_0_v6:10:49234101:49235663:-1 gene:B456_010G169500 transcript:KJB63312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRRKNPNAFSYFIWLDYKSCYFIIIIFCIQVNNKHMKFGGNSSLSFDTIHKIIQYTDAYDNCLSWEQTEESDNINNVMDELYREAGTTLLEEPDSSMELMDTHREDEDHIRKIKEFQDYLQMVKIMIKPGCSEEVLKVALNSMSSLVGILAFMSYPKHRSSL >KJB64766 pep chromosome:Graimondii2_0_v6:10:8004144:8006349:1 gene:B456_010G063600 transcript:KJB64766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HTTTLVLCFHPKSTLLPSLFIAGSLFLCRFFVPLLYHRDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHAKLQLVYRF >KJB65966 pep chromosome:Graimondii2_0_v6:10:25220905:25222317:1 gene:B456_010G122800 transcript:KJB65966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYTGKRAVDGPVVHGKGSGRILKDHVNNRERNAQFCNRIGCSGRLNSSKGTPNGCSDKAKSARSSYHSSSSGKEVIGNSSRVSSVISNTRKSSTNPQKKLPSHLETDSSETSSIQDEPEVSELIPPPGKIQRGLHHEAEDADSRDVTVMEVGSSSVVSNARPRRKFIQRAGLGNQETLASPSVTSASQSASQASRSNTSKYGLRNLRCSSISDVVLTGCSSSDSSLSKKKDMVKKRNSDEEASSSARGKKLSGSSLEGRNNSSGHGVSISDSRRARNWPPNRDSTVASSVRTRRSNSSYVRGRPPNQTNGNSLSLNQSPVVMPLVPQSDIPNDLNAPVSTETVSTLVSPYSQAGSISEGLHSIMQSSPSEVGVNRTLVNQDSFRRYNMDGIAEVLLALQRIEQDEELTYEVPSLEPSCFAWIFC >KJB65969 pep chromosome:Graimondii2_0_v6:10:25218777:25223552:1 gene:B456_010G122800 transcript:KJB65969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYTGKRAVDGPVVHGKGSGRILKDHVNNRERNAQFCNRIGCSGRLNSSKGTPNGCSDKAKSARSSYHSSSSGKEVIGNSSRVSSVISNTRKSSTNPQKKLPSHLETDSSETSSIQDEPEVSELIPPPGKIQRGLHHEAEDADSRDVTVMEVGSSSVVSNARPRRKFIQRAGLGNQETLASPSVTSASQSASQASRSNTSKYGLRNLRCSSISDVVLTGCSSSDSSLSKKKDMVKKRNSDEEASSSARGKKLSGSSLEGRNNSSGHGVSISDSRRARNWPPNRDSTVASSVRTRRSNSSYVRGRPPNQTNGNSLSLNQSPVVMPLVPQSDIPNDLNAPVSTETVSTLVSPYSQAGSISEGLHSIMQSSPSEVGVNRTLVNQDSFRRYNMDGIAEVLLALQRIEQDEELTYEQLLVLETSLFLNGLDFYDQHRDMRLDIDNMSYEELLALEERMGNVSTGLSEEALSKCLKKSIYDTASSEFANVSYEGEKDDVKCSICQEEYVNGDEVGRLQCEHRYHVACVQQWLRVKNWCPICKASSEKPHNLLHSPHD >KJB65967 pep chromosome:Graimondii2_0_v6:10:25219177:25223216:1 gene:B456_010G122800 transcript:KJB65967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYTGKRAVDGPVVHGKGSGRILKDHVNNRERNAQFCNRIGCSGRLNSSKGTPNGCSDKAKSARSSYHSSSSGKEVIGNSSRVSSVISNTRKSSTNPQKKLPSHLETDSSETSSIQDEPEVSELIPPPGKIQRGLHHEAEDADSRDVTVMEVGSSSVVSNARPRRKFIQRAGLGNQETLASPSVTSASQSASQASRSNTSKYGLRNLRCSSISDVVLTGCSSSDSSLSKKKDMVKKRNSDEEASSSARGKKLSGSSLEGRNNSSGHGVSISDSRRARNWPPNRDSTVASSVRTRRSNSSYVRGRPPNQTNGNSLSLNQSPVVMPLVPQSDIPNDLNAPVSTETVSTLVSPYSQAGSISEGLHSIMQSSPSEVGVNRTLVNQDSFRRYNMDGIAEVLLALQRIEQDEELTYEQLLVLETSLFLNGLDFYDQHRDMRLDIDNMSYEELLALEERMGNVSTGLSEEALSKCLKKSIYDTASSEFANVSYEGEKDDVKCSICQEEYVNGDEVGRLQCEHRYHVACVQQWLRVKNWCPICKASSEKPHNLLHSPHD >KJB65965 pep chromosome:Graimondii2_0_v6:10:25219542:25223216:1 gene:B456_010G122800 transcript:KJB65965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYTGKRAVDGPVVHGKGSGRILKDHVNNRERNAQFCNRIGCSGRLNSSKGTPNGCSDKAKSARSSYHSSSSGKEVIGNSSRVSSVISNTRKSSTNPQKKLPSHLETDSSETSSIQDEPEVSELIPPPGKIQRGLHHEAEDADSRDVTVMEVGSSSVVSNARPRRKFIQRAGLGNQETLASPSVTSASQSASQASRSNTSKYGLRNLRCSSISDVVLTGCSSSDSSLSKKKDMVKKRNSDEEASSSARGKKLSGSSLEGRNNSSGHGVSISDSRRARNWPPNRDSTVASSVRTRRSNSSYVRGRPPNQTNGNSLSLNQSPVVMPLVPQSDIPNDLNAPVSTETVSTLVSPYSQAGSISEGLHSIMQSSPSEVGVNRTLVNQDSFRRYNMDGIAEVLLALQRIEQDEELTYEQLLVLETSLFLNGLDFYDQHRDMRLDIDNMSYEELLALEERMGNVSTGLSEEALSKCLKKSIYDTASSEFANVSYEGEKDDVKCSICQEEYVNGDEVGRLQCEHRYHVACVQQWLRVKNWCPICKASSEKPHNLLHSPHD >KJB65968 pep chromosome:Graimondii2_0_v6:10:25218942:25223216:1 gene:B456_010G122800 transcript:KJB65968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYTGKRAVDGPVVHGKGSGRILKDHVNNRERNAQFCNRIGCSGRLNSSKGTPNGCSDKAKSARSSYHSSSSGKEVIGNSSRVSSVISNTRKSSTNPQKKLPSHLETDSSETSSIQDEPEVSELIPPPGKIQRGLHHEAEDADSRDVTVMEVGSSSVVSNARPRRKFIQRAGLGNQETLASPSVTSASQSASQASRSNTSKYGLRNLRCSSISDVVLTGCSSSDSSLSKKKDMVKKRNSDEEASSSARGKKLSGSSLEGRNNSSGHGVSISDSRRARNWPPNRDSTVASSVRTRRSNSSYVRGRPPNQTNGNSLSLNQSPVVMPLVPQSDIPNDLNAPVSTETVSTLVSPYSQAGSISEGLHSIMQSSPSEVGVNRTLVNQDSFRRYNMDGIAEVLLALQRIEQDEELTYEQLLVLETSLFLNGLDFYDQHRDMRLDIDNMSYEELLALEERMGNVSTGLSEEALSKCLKKSIYDTASSEFANVSYEGEKDDVKCSICQEEYVNGDEVGRLQCEHRYHVACVQQWLRVKNWCPICKASSEKPHNLLHSPHD >KJB66052 pep chromosome:Graimondii2_0_v6:10:26156427:26157264:1 gene:B456_010G1256001 transcript:KJB66052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLDTMNPILIIDKKVKLSEYEETIGTCFVFSEDEASHVVHEETGPSEANLFSGKYILDPNQAPRKQVKPVARLQKILKFRLLLDEDVQVETNSQNNSIL >KJB66857 pep chromosome:Graimondii2_0_v6:10:46598604:46599952:1 gene:B456_010G162200 transcript:KJB66857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLMHALQYNSYGGGAVALKHVEVPIPTPNKENQLPFFPILPENLGTARLVVDFDRWWTGEESVNVLLEAAGQDNRIFFFYFPHLGMIMVALELRFSHRKR >KJB67258 pep chromosome:Graimondii2_0_v6:10:53223679:53226173:1 gene:B456_010G182500 transcript:KJB67258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGCGLALHSLSNLFPDNTSSSSNSSTRLNQNPNMFHFPVSLPCSRDDPHDSGTGTSSDENRLPVDELDFFSAATVNVKKESFHADCARLDVNGLNLLTANAGSDQSTVDDGVSSDVDDKRVKNEVAQLQVELKRMNAENQKLKDMVNDVSNNYSALQMHLVTLMQQHQRHEINEVKEQEGSLIVPRQFMDLRPSGIAETDHEPSHSSSEERTPSGSPPNNVQVTSPKDCLKTKNPVSQLDQESSSFRDGKRTGREESPESENLGRNKLQKMNPSKPIDQATEATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTASAATMLLSGSMHSGDGMMNPNLFPRATLPCSSMATISASAPFPTVTLDLTHSPNALQFQRPNTQFHVSFPGQPQNSTSTSATQFPQVFGQALYNQSKFSGLQLSQDIVSSSQLQQPQQSTLADTVSAATAAIANDPSFTAALAAAITSIIGGAHPNSSSNTSNNNNNNGNTANSRQ >KJB66212 pep chromosome:Graimondii2_0_v6:10:29587948:29591457:1 gene:B456_010G133400 transcript:KJB66212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKKNGLIPSSAPEELKNVLKAVASEWGDKIQDMEEFLVIPLKGAMTNEVFQINWPTIYDDLHQKVLVRIYGEGVELFFNRDDEIRTFECMSKHGQGPRLLGRFPDGRIEEFIHARTLSAADLRDPEISVLIAAKLREFHNLDMPGPKDVLLWKRLRTWLGHAKKFCSPKDVKDFCLNVLGDEINVLEKELAKDHQEIGFCHNDLQYGNIMMDEETRAITLIDYEYASYNPVAYDLANHFCELAANYHTETPHLLDYIIYPDMEERWRFICAYLRSSGNEPSDAEVEQLLIDAEKYTLANNLFWGLWGIISGHVNKIDFDYLEYARQRFQQYWLSKPLLLGS >KJB66215 pep chromosome:Graimondii2_0_v6:10:29587885:29591457:1 gene:B456_010G133400 transcript:KJB66215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKKNGLIPSSAPEELKNVLKAVASEWGDKIQDMEEFLVIPLKGAMTNEVFQINWPTIYDDLHQKVLVRIYGEGVELFFNRDDEIRTFECMSKHGQGPRLLGRFPDGRIEEFIHARTLSAADLRDPEISVLIAAKLREFHNLDMPGPKDVLLWKRLRTWLGHAKKFCSPKDVKDFCLNVLGDEINVLEKELAKDHQEIGFCHNDLQYGNIMMDEETRAITLIDYEYASYNPVAYDLANHFCELAANYHTETPHLLDYIIYPDMEERWRFICAYLRSSGNEPSDAEVEQLLIDAEKYTLANNLFWGLWGIISGHVNKIDFDYLEYARQRFQQYWLSKPLLLGS >KJB66211 pep chromosome:Graimondii2_0_v6:10:29587956:29591457:1 gene:B456_010G133400 transcript:KJB66211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKKNGLIPSSAPEELKNVLKAVASEWGDKIQDMEEFLVIPLKGAMTNEVFQINWPTIYDDLHQKVLVRIYGEGVELFFNRDDEIRTFECMSKHGQGPRLLGRFPDGRIEEFIHARTLSAADLRDPEISVLIAAKLREFHNLDMPGPKDVLLWKRLRTWLGHAKKFCSPKDVKDFCLNVLGDEINVLEKELAKDHQEIGFCHNDLQYGNIMMDEETRAITLIDYEYASYNPVAYDLANHFCELAANYHTETPHLLDYIIYPDMEERWRFICAYLRSSGNEPSDAEVEQLLIDAEKYTLANNLFWGLWGIISGHVNKIDFDYLEYARQRFQQYWLSKPLLLGS >KJB66214 pep chromosome:Graimondii2_0_v6:10:29588058:29591457:1 gene:B456_010G133400 transcript:KJB66214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKKNGLIPSSAPEELKNVLKAVASEWGDKIQDMEEFLVIPLKGAMTNEVFQINWPTIYDDLHQKVLVRIYGEGVELFFNRDDEIRTFECMSKHGQGPRLLGRFPDGRIEEFIHARTLSAADLRDPEISVLIAAKLREFHNLDMPGPKDVLLWKRLRTWLGHAKKFCSPKDVKDFCLNVLGDEINVLEKELAKDHQEIGFCHNDLQYGNIMMDEETRAITLIDYEYASYNPVAYDLANHFCELAANYHTETPHLLDYIIYPDMEERWRFICAYLRSSGNEPSDAEVEQLLIDAEKYTLANNLFWGLWGIISGHVNKIDFDYLEYARQRFQQYWLSKPLLLGS >KJB66213 pep chromosome:Graimondii2_0_v6:10:29587920:29591457:1 gene:B456_010G133400 transcript:KJB66213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKKNGLIPSSAPEELKNVLKAVASEWGDKIQDMEEFLVIPLKGAMTNEVFQINWPTIYDDLHQKVLVRIYGEGVELFFNRDDEIRTFECMSKHGQGPRLLGRFPDGRIEEFIHARTLSAADLRDPEISVLIAAKLREFHNLDMPGPKDVLLWKRLRTWLGHAKKFCSPKDVKDFCLNVLGDEINVLEKELAKDHQEIGFCHNDLQYGNIMMDEETRAITLIDYEYASYNPVAYDLANHFCELAANYHTETPHLLDYIIYPDMEERWRFICAYLRSSGNEPSDAEVEQLLIDAEKYTLANNLFWGLWGIISGHVNKIDFDYLEYARQRFQQYWLSKPLLLGS >KJB65642 pep chromosome:Graimondii2_0_v6:10:19772860:19774474:-1 gene:B456_010G106800 transcript:KJB65642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDDEYYIILHVGGHFVKDPYVRYIGGKVIRFKEDPDTISYFELYKIVKIELEFNTVILIYFHEPSTVGLQNNLRVIYDDTSTIAMLDFWVKFKEIELYVEHKVDNPIIVDEIFLLTTREGDVEGVEVDGEGDLEKVESGEESEVGEVQIDGEGVTATGIEVDEDISMESGGHISLGSTVGEDNDSEVAADEYASDFATSDGVDNVADEYAGDFATSDGLDNVAAVCSGKEEDGNETEVWDSDEHGSLVGSDEDEEHEDGERRRSKFPLYNDKLKFSLGMLFKDGKQRQLKFIKNEPKRVVVRCIAFPNRPWRIRASYSPVVKCLQIKTIIKVAVQRVTVDSLPHFKRYYLCFDALKRGWKAGCRPLIGLDGCFLKGLFKSEFLTVIGRDTKNRMFLIAWAVSPIN >KJB64659 pep chromosome:Graimondii2_0_v6:10:7157076:7159016:-1 gene:B456_010G059900 transcript:KJB64659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNPSSDQESRKRLKIQDLEDHERLLLHVDRFHQSESYPRIHDKNEIPPGWLDCPSLGQQILGCILPSKVPLDESFQTNIPPGKTYSFKQVIQRVENSDGKLSLVVDLTNTRRYYSVPDLKQKGIEYVKIRCKGGDAMPDNFSVNIFVYELSKFFSRQANESKNYVLVHCTHGHNRTGFMIVHYLMRFQPLMPVTRAMEIFALARPPGIYKPNYIDALYAFYNEGKPVMFAYPSPPQWKNTSNASLNENHKVDAGPTTQNDDILGDKIPFNQMKSLRESCYKMLNLNVERARRFPGSHPVSLNRDNLQLLRQHYYYATWKADGTRYMMLITVDGCYLIDRHFNFRRVQIRFPCSYRNSTHHYTLLDGEMVIGTLPCSQNKERRFLVFDIIACNGNNLMEKPFSERWNLVEKEVIEPRNRDQKNISQSKHSCYRYELESFRVGRKDFWSLSTVPKILKELIPKLCHEADGLIFQGRDDPYMPYTHEGLLKWKYAEMNSVDFLFQMGVNDDHKLFLHENGRKKVMEGHRVEFRDGSNPSSYSGMIIECSWDSKDAVWVYMRKRNDKRSPNDLNTYKKVMRSIRDNITADILLQEIDHIICLPIYKHPPTHRLSFKYLCMFMSILIVIFKCHITVLPLAAKDSMQIIN >KJB68074 pep chromosome:Graimondii2_0_v6:10:59620273:59624659:1 gene:B456_010G223900 transcript:KJB68074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEWVKEALTDSMLAAKVLLSLYQASSPPPRSDSASSQALQVEWSVRQRRSKQSLKKKSEPARASPTTPLSWSGGISVSGGGSADGSEESSRPPLKPVDNARSKVAATSEISPPKRSRRKKLDSHRATETSTACIEPKINATSEPPQQREVASQTSAACNENKRHPPNECSEGQDMTKASCDDASFALPDLNLPIEDDSGL >KJB68073 pep chromosome:Graimondii2_0_v6:10:59620273:59624659:1 gene:B456_010G223900 transcript:KJB68073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEWVKEALTDSMLAAKVLLSLYQASSPPPRSDSASSQALQVEWSVRQRRSKQSLKKKSEPARASPTTPLSWSGGISVSGGGSADGSEESSRPPLKPVDNARSKVAATSEISPPKRSRRKKTLAELKEEISSHLKENRSLKNLDSHRATETSTACIEPKINATSEPPQQREVASQTSAACNENKRHPPNECSEGQDMTKASCDDASFALPDLNLPIEDDSGL >KJB68072 pep chromosome:Graimondii2_0_v6:10:59620226:59624730:1 gene:B456_010G223900 transcript:KJB68072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEWVKEALTDSMLAAKVLLSLYQASSPPPRSDSASSQALQVEWSVRQRRSKQSLKKKSEPARASPTTPLSWSGGISVSGGGSADGSEESSRPPLKPVDNARSKVAATSEISPPKRSRRKKTLAELKEEISSHLKENRSLKNELEIVKLKFENIKTKNETLSRKLQFEKERTKNESSKRMKLDSHRATETSTACIEPKINATSEPPQQREVASQTSAACNENKRHPPNECSEGQDMTKASCDDASFALPDLNLPIEDDSGL >KJB68667 pep chromosome:Graimondii2_0_v6:10:50801738:50802933:-1 gene:B456_010G174400 transcript:KJB68667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEKPNREAMYKVLRSLWLTKYEVNFVALNEEMIMVKFGYVEDRNRILNMMSWLFDNCLFAMFPFVKDKELETYEFNFSSFWLQIYNIPLEYMERQIAMDVGKAIGELVAIDWKDRNGGWTEVMRLKVKINVSNLLRRVVRKQIGYEGIRWKKVYKKEREKSTLKIRCLTLRWKKEPQNRHDVGLSGGKFKRAKWRFGHAVEGWD >KJB65635 pep chromosome:Graimondii2_0_v6:10:19802159:19804101:-1 gene:B456_010G107100 transcript:KJB65635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTETKANLVKMKPSGQDGSSKGKFDAAMNKRKLESSSKQPVGAKQKSATTKAEVKSKTSSSSSKTSTSSSKTSTTTKAKVREKKVYTLPGQKHDPPEEFREPLRIFYESLSKQIPKSEMAEFWMMEHGLLSPEKDRKAYEKKQRRQKQLRTGTPIKSWKPSGKPESSQKQQLASRNGDVKAKKRINNDIDDDDDDDDDDDFILSPKRRKG >KJB68169 pep chromosome:Graimondii2_0_v6:10:60134735:60139682:-1 gene:B456_010G229700 transcript:KJB68169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein [Source:Projected from Arabidopsis thaliana (AT1G33980) TAIR;Acc:AT1G33980] MKEPLRKTKVVIRHLPPSVTEPFIISQIDDRFSDRYNWFSFRLGKSSHKQQSYSRAYINFNRPEDVFEFAEFFDGHIFVNEKGTQFKAVVEYAPSQRVPKSSSKKDGREGTIFKDPDYLEFLKLIAKPVENLPSAEIQLERKEAELSGAPKEAPVVTPLMEFVRQKRAAKSGTQGSITIRKVGRKISAVSTGKPGSSGKGSEKKKYIQKDSAKGGKKSNYFVASKQEDQLVTSVAKEMRENGTVCGIDGPVTGITFSADSGKKKILLLKPKDREAPNVPEGASEQQGASSPVVNSPGSSASRQGQRRKAGGKLIRSILLRNEAGQNQSSAAVQPQQKTQSPDTVKRPPQPTNTRSGMNGHVPNEMPALKSDGDTKRVSDDKFIKKGLHGSGSGSEKHEKRTRNKDRPDRGVWAPLHRSDVSQSSEERLIQSVQASRSMEGSNRQFGRGPAADGSVVSSEVKPSKRGGATSSGAHEKQVWVQKSSSGS >KJB68172 pep chromosome:Graimondii2_0_v6:10:60134044:60139771:-1 gene:B456_010G229700 transcript:KJB68172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein [Source:Projected from Arabidopsis thaliana (AT1G33980) TAIR;Acc:AT1G33980] MKEPLRKTKVVIRHLPPSVTEPFIISQIDDRFSDRYNWFSFRLGKSSHKQQSYSRAYINFNRPEDVFEFAEFFDGHIFVNEKGTQFKAVVEYAPSQRVPKSSSKKDGREGTIFKDPDYLEFLKLIAKPVENLPSAEIQLERKEAELSGAPKEAPVVTPLMEFVRQKRAAKSGTQGSITIRKVGRKISAVSTGKPGSSGKGSEKKKYIQKDSAKGGKKSNYFVASKQEDQLVTSVAKEMRENGTVCGIDGPVTGITFSADSGKKKILLLKPKDREAPNVPEGASEQQGASSPVVNSPGSSASRQGQRRKAGGKLIRSILLRNEAGQNQSSAAVQPQQKTQSPDTVKRPPQPTNTRSDDKFIKKGLHGSGSGSEKHEKRTRNKDRPDRGVWAPLHRSDVSQSSEERLIQSVQASRSMEGSNRQFGRGPAADGSVVSSEVKPSKRGGATSSGAHEKQVWVQKSSSGS >KJB68170 pep chromosome:Graimondii2_0_v6:10:60135210:60139682:-1 gene:B456_010G229700 transcript:KJB68170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein [Source:Projected from Arabidopsis thaliana (AT1G33980) TAIR;Acc:AT1G33980] MKEPLRKTKVVIRHLPPSVTEPFIISQIDDRFSDRYNWFSFRLGKSSHKQQSYSRAYINFNRPEDVFEFAEFFDGHIFVNEKGTQFKAVVEYAPSQRVPKSSSKKDGREGTIFKDPDYLEFLKLIAKPVENLPSAEIQLERKEAELSGAPKEAPVVTPLMEFVRQKRAAKSGTQGSITIRKVGRKISAVSTGKPGSSGKGSEKKKYIQKDSAKGGKKSNYFVASKQEDQLVTSVAKEMRENGTVCGIDGPVTGITFSADSGKKKILLLKPKDREAPNVPEGASEQQGASSPVVNSPGSSASRQGQRRKAGGKLIRSILLRNEAGQNQSSAAVQPQQKTQSPDTVKRPPQPTNTRSGMNGHVPNEMPALKSDGDTKRVSDDKFIKKGLHGSGSGSEKHEKRTRNKDRPDRGVWAPLHRSDVSQSSEERLIQSVQASRSMEGINASAHEYLVFASVDEFGI >KJB68168 pep chromosome:Graimondii2_0_v6:10:60134003:60139796:-1 gene:B456_010G229700 transcript:KJB68168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein [Source:Projected from Arabidopsis thaliana (AT1G33980) TAIR;Acc:AT1G33980] MKEPLRKTKVVIRHLPPSVTEPFIISQIDDRFSDRYNWFSFRLGKSSHKQQSYSRAYINFNRPEDVFEFAEFFDGHIFVNEKGTQFKAVVEYAPSQRVPKSSSKKDGREGTIFKDPDYLEFLKLIAKPVENLPSAEIQLERKEAELSGAPKEAPVVTPLMEFVRQKRAAKSGTQGSITIRKVGRKISAVSTGKPGSSGKGSEKKKYIQKDSAKGGKKSNYFVASKQEDQLVTSVAKEMRENGTVCGIDGPVTGITFSADSGKKKILLLKPKDREAPNVPEGASEQQGASSPVVNSPGSSASRQGQRRKAGGKLIRSILLRNEAGQNQSSAAVQPQQKTQSPDTVKRPPQPTNTRSGSGSEKHEKRTRNKDRPDRGVWAPLHRSDVSQSSEERLIQSVQASRSMEGSNRQFGRGPAADGSVVSSEVKPSKRGGATSSGAHEKQVWVQKSSSGS >KJB68171 pep chromosome:Graimondii2_0_v6:10:60134044:60139771:-1 gene:B456_010G229700 transcript:KJB68171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein [Source:Projected from Arabidopsis thaliana (AT1G33980) TAIR;Acc:AT1G33980] MKEPLRKTKVVIRHLPPSVTEPFIISQIDDRFSDRYNWFSFRLGKSSHKQQSYSRAYINFNRPEDVFEFAEFFDGHIFVNEKGTQFKAVVEYAPSQRVPKSSSKKDGREGTIFKDPDYLEFLKLIAKPVENLPSAEIQLERKEAELSGAPKEAPVVTPLMEFVRQKRAAKSGTQGSITIRKVGRKISAVSTGKPGSSGKGSEKKKYIQKDSAKGGKKSNYFVASKQEDQLVTSVAKEMRENGTVCGIDGPVTGITFSADSGKKKILLLKPKDREAPNVPEGASEQQGASSPVVNSPGSSASRQGQRRKAGGKLIRSILLRNEAGQNQSSAAVQPQQKTQSPDTVKRPPQPTNTRSGMNGHVPNEMPALKSDGDTKRVSDDKFIKKGLHGSGSGSEKHEKRTRNKDRPDRGVWAPLHRSDVSQSSEERLIQSVQASRSMEGSNRQFGRGPAADGSVVSSEVKPSKRGGATSSGAHEKQVWVQKSSSGS >KJB63681 pep chromosome:Graimondii2_0_v6:10:841535:843976:1 gene:B456_010G011200 transcript:KJB63681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFENSSSMAVSLIEAFQQTDGVQSKKKRNLPGMPDPEAEVISLSPKSLLATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRTDGNETRKKRVYVCPETSCVHHNAARALGDLTGIKKHYCRKHCEKKWRCERCSKTYAVKSDWTAHLKSCGTKEYKCNCGTVFSRRDSFYTHRAFCDALAEENARAQAATFSPPPLTQSTTTMVSPGLSTQSSEMLEIPMGLSPPDPPPPTTSISASNGDVLVTIFASTPPLETTSLSLSSPLYLSNNSSSSIFTAPSLQPAMSATALLQKAAQMGAAATSSPSLLRGLSFAVPSSSSTTPSTGHKPTSTLDLLGLGICGGGGGGRGGTSNGLSALFTQFGGGFNGEPAATTSYGAPNSSSLL >KJB67658 pep chromosome:Graimondii2_0_v6:10:56816841:56818496:1 gene:B456_010G202800 transcript:KJB67658 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS15 MDLFMAISLLFLCFIFFIYKSYLQKRNQNCYLLGYECYKASDDLKLDTETCGKLILRNKNLGSDQYKFLLRTMVNAGIGEETYGPRNVIDGTEETPNLSGSLSEVDDIVFGTLDKLFDNNGVSPSEIDILVITISMITSVPSLPARVINRYKMRDDVKVFNLSGMGCSASVIAVDLVHHLFKTYMNSFAVIVSSESLIPNWYRGNERSMMLPNILFRLGGCSLLLTNKSSMKHKSLMKLKLSVRFHGGASDEAYQSCTRVEDSQGYCGFSLSKSLPQAAAKAVTMNFRVLLPKTLPLRELVRYATVSFLHSKTNNNKGKTPSLNMKSGFQHFCIHPGGRAVIDAMGRSLGLNEYDLEPTRMALHRFGNTSAAGIWF >KJB67659 pep chromosome:Graimondii2_0_v6:10:56816841:56818496:1 gene:B456_010G202800 transcript:KJB67659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS15 MDLFMAISLLFLCFIFFIYKSYLQKRNQNCYLLGYECYKASDDLKLDTETCGKLILRNKNLGSDQYKFLLRTMVNAGIGEETYGPRNVIDGTEETPNLSGSLSEVDDIVFGTLDKLFDNNGVSPSEIDILVITISMITSVPSLPARVINRYKMRDDVKVFNLSGMGCSASVIAVDLVHHLFKTYMNSFAVIVSSESLIPNWYRGNERSMMLPNILFRLGGCSLLLTNKSSMKHKSLMKLKLSVRFHGGASDEAYQSCTRVEDSQGYCGFSLSKSLPQAAAKAVTMNFRVLLPKTLPLRELVRYATVSFLHSKTNNNKGKTPSLNMKSGFQHFCIHPGGRAVIDAMGRSLGLNEYDLEPTRMALHRFGNTSAAGIWYVLSYMEAKKRLKKGDRILMISLGAGFKCNNCVWEVMKDAMDDVNVWKDCISRYPVKSTANTSFLEKYSWVNELEPQPNKKIEKIKN >KJB63809 pep chromosome:Graimondii2_0_v6:10:1341437:1343705:1 gene:B456_010G0176001 transcript:KJB63809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLVAMGEVSKEIIKGNPDFFPTKPMDYGKFLVISLGTGSRKDEKRYNAKQSAKWGILGWLTSGGSTPLVDVFTQASGDMVDLHLSVVFEALHSDKYLRIQDDGLIGDVSSVDIATENNLNELVKIGEGLLKKKVSRVNLETGIFEPFKEETNEEALKRFAKLLSQERHRRHLRSPQGKAEAHKYEVKI >KJB63808 pep chromosome:Graimondii2_0_v6:10:1340651:1343705:1 gene:B456_010G0176001 transcript:KJB63808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKKKPTLDALLSDICIATSAAPTYLPAHYFKIQTDDGDVREYNLIDGGVAANNPTLVAMGEVSKEIIKGNPDFFPTKPMDYGKFLVISLGTGSRKDEKRYNAKQSAKWGILGWLTSGGSTPLVDVFTQASGDMVDLHLSVVFEALHSDKYLRIQDDGLIGDVSSVDIATENNLNELVKIGEGLLKKKVSRVNLETGIFEPFKEETNEEALKRFAKLLSQERHRRHLRSPQGKAEAHKYEVKI >KJB65043 pep chromosome:Graimondii2_0_v6:10:11310909:11311136:-1 gene:B456_010G078100 transcript:KJB65043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSGKNSKGNGKQSDVRKDRKSGTGMNGSPKKGGHGGKFTWVGDGLSPAEIGVETELVDVTDPNFEDPYEILAD >KJB63943 pep chromosome:Graimondii2_0_v6:10:2077911:2080255:-1 gene:B456_010G025700 transcript:KJB63943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METENAVNYKFMGRSFNDLSINDDSSAFSDCNSDRSGEFSTASSQSRRLFLACTNSDNSDEFIRQLVSDLGSCSIDEQKNAALELRLLAKNKPENRIKIAKAGAIKPLISLITSTDPYLQENGVTAILNLSLCDENKELIASSGAIKPLVRALRTGTSTSKENAACALLRLSQVEENKVAIGRSGAIPLLVNLLENGGIRGKKDASTALYSLCTVKENKIKAVEAGIMKPLVELMADFGSNMVDKSAFVLSVLVSVPEAKTALVDEGGIPVLVEIIEVGSQRQKEIAAAILLQICEDSVVYRTKVAREGAIPPLVALSQSGTNRAKQKAEKLIELLRQPRSANAAASPSDL >KJB66723 pep chromosome:Graimondii2_0_v6:10:42276263:42279802:1 gene:B456_010G154200 transcript:KJB66723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVFEVGAVPFNPDGWGPPDSTTATVPSTTTTLPPHVPFAPFSRSEKLGRIADFTRSFANANPSNRPSSGKPGAASSESPFDFSLDLDTFPLAYPEDDSSFRLVDAKPPTRPKFGPKWRFNQHRPQLPQRRDEEVEARKREAEKERARRDRLYNLNRSNQNQQRREAAIFKSSVDIQPEWNMLDQIPFSTFSKLSFSVPEPEDLLLCGALEYYDRSFDRITPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILATLMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAYSLSVEAAYINQNFSQQVLVRDGNKVSFDEPNPFANEGDEVASVAYRYRRWKLDNDMYLIARCEVQSVVEVNNQKSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQSILASADLMKLGYVSRVHPRDHFNHVILGVVGYKPRDFAAQINLNTANMWGIVKSIVDLCMKLNEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLPEEEQVQPPAEDTEAGEPNGTTNDVEAKETETQT >KJB66722 pep chromosome:Graimondii2_0_v6:10:42276208:42279802:1 gene:B456_010G154200 transcript:KJB66722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVFEVGAVPFNPDGWGPPDSTTATVPSTTTTLPPHVPFAPFSRSEKLGRIADFTRSFANANPSNRPSSGKPGAASSESPFDFSLDLDTFPLAYPEDDSSFRLVDAKPPTRPKFGPKWRFNQHRPQLPQRRDEEVEARKREAEKERARRDRLYNLNRSNQNQQRREAAIFKSSVDIQPEWNMLDQIPFSTFSKLSFSVPEPEDLLLCGALEYYDRSFDRITPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILATLMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAYSLSVEAAYINQNFSQQVLVRDGNKVSFDEPNPFANEGDEVASVAYRYRRWKLDNDMYLIARCEVQSVVEVNNQKSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQSILASADLMKLGYVSRVHPRDHFNHVILGVVGYKPRDFAAQINLNTANMWGIVKSIVDLCMKLNEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLPEEEQVQPPAEDTEAGEPNGTTNDVEAKETETQT >KJB66724 pep chromosome:Graimondii2_0_v6:10:42276263:42279802:1 gene:B456_010G154200 transcript:KJB66724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVFEVGAVPFNPDGWGPPDSTTATVPSTTTTLPPHVPFAPFSRSEKLGRIADFTRSFANANPSNRPSSGKPGAASSESPFDFSLDLDTFPLAYPEDDSSFRLVDAKPPTRPKFGPKWRFNQHRPQLPQRRDEEVEARKREAEKERARRDRLYNLNRSNQNQQRREAAIFKSSVDIQPEWNMLDQIPFSTFSKLSFSVPEPEDLLLCGALEYYDRSFDRITPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILATLMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAYSLSVEAAYINQNFSQQVLVRDGNKVSFDEPNPFANEGDEVASVAYRYRRWKLDNDMYLIARCEVQSVVEVNNQKSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQSILASADLMKLGYVSRVHPRDHFNHVILGVVGYKPRDFAAQINLNTANMWGIVKSIVDLCMKLNEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLPEEEQVQPPAEDTEAGEPNGTTNDVEAKETETQT >KJB64795 pep chromosome:Graimondii2_0_v6:10:8373702:8378395:-1 gene:B456_010G065200 transcript:KJB64795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFLVTFGRIYGVIKLGIGGFSMGAATSLYCATCFIHGKYENGDLYPTNLSAVVGLSGWLPCSKNLKAKIERHDEVARRVASLPILLCHGKGDDVVPYKLGEKSALALSSNGFENMTFKSYNGLGHYTIPEEMEDVCTWLTSKLGLDSR >KJB65402 pep chromosome:Graimondii2_0_v6:10:20352960:20355431:1 gene:B456_010G109300 transcript:KJB65402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFLSSISVCNSVDQPSIMANSVNSNDFLMNSKSSSSRKQKLQFNLVRICIVFIIWQYVLDKKVFPHFYILGWYSTRSDAQESAMQIHRALMDINESPLYVLLNPAINPLQKDLLVTIYESVLGSFNNLYSSSLFFGTWKLAI >KJB65403 pep chromosome:Graimondii2_0_v6:10:20352960:20354761:1 gene:B456_010G109300 transcript:KJB65403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFLSSISVCNSVDQPSIMANSVNSNDFLMNSKSSSSRKQKLQFNLVRICIVFIIWQYVLDKKVFPHFYILGWYSTRSDAQESAMQIHRALMDINESPLYVLLNPAINPLQKDLLVTIYESVLGSFNNLYSSSLFFGTWKLAI >KJB65404 pep chromosome:Graimondii2_0_v6:10:20352960:20355431:1 gene:B456_010G109300 transcript:KJB65404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFLSSISVCNSVDQPSIMANSVNSNDFLMNSKSSSSRKQKLQFNLVRICIVFIIWQYVLDKKVFPHFYILGWYSTRSDAQESAMQIHRALMDINESPLYVLLNPAINPLQKDLLVTIYESG >KJB66466 pep chromosome:Graimondii2_0_v6:10:34247077:34255733:-1 gene:B456_010G140900 transcript:KJB66466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSLSLLPSSLGFPNERPSSTSQSSSYSCSCSVFFNAGTRLRSHDSFACVTFPSSSSTCSWRFNNRAGTHRFGTTVVAASGDYYATLGVPKSASGKEIKAAYRRLARQYHPDVNKEPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVKSAVGGQSSAYTTNPFDLFETFFGPSMGGFPGMDQTGFGTSRRSTVSKGDDIRYDITLEFSEAIFGAEKEFELSHLETCEVCLGTGAKVGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEVISENCRKCSGKGRERVKKNIKVKVPPGVSAGSILRVAGEGDAGPKGGPPGDLYAYLDVQEVPGIQRDGINLLSTVSISYLDAILGSVVKVKTVEGVTDLQIPPGTQPGDVLVLARKGAPKLNKPSIRGDHLFTIKVNIPNRISAKERELLEELSSLSNTNGSRSRTRPRTQPATATFTYSCSQN >KJB66467 pep chromosome:Graimondii2_0_v6:10:34248603:34255513:-1 gene:B456_010G140900 transcript:KJB66467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSLSLLPSSLGFPNERPSSTSQSSSYSCSCSVFFNAGTRLRSHDSFACVTFPSSSSTCSWRFNNRAGTHRFGTTVVAASGDYYATLGVPKSASGKEIKAAYRRLARQYHPDVNKEPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVKSAVGGQSSAYTTNPFDLFETFFGPSMGGFPGMDQTGFGTSRRSTVSKGDDIRYDITLEFSEAIFGAEKEFELSHLETCEVCLGTGAKVGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEVISENCRKCSGKGRERVKKNIKVKVPPGVSAGSILRVAGEGDAGPKGGPPGDLYAYLDVQEVPGIQRDGINLLSTVSISYLDAILGSVVKVKTVEGVTDLQIPPGTQPGDVLVLARKGAPKLNKPSIRGDHLFTIKVNIPNRISAKERELLEELSSLSNTNGSRSRTRPRTQPATASKQLLMPCESDDFLFII >KJB66468 pep chromosome:Graimondii2_0_v6:10:34250705:34255733:-1 gene:B456_010G140900 transcript:KJB66468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSLSLLPSSLGFPNERPSSTSQSSSYSCSCSVFFNAGTRLRSHDSFACVTFPSSSSTCSWRFNNRAGTHRFGTTVVAASGDYYATLGVPKSASGKEIKAAYRRLARQYHPDVNKEPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVKSAVGGQSSAYTTNPFDLFETFFGPSMGGFPGMDQTGFGTSRRSTVSKGDDIRYDITLEFSEAIFGAEKEFELSHLETCEVCLGTGAKVGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEVISENCRKCSGKGRERVKKNIKVKVPPGVSAGSILRVAGEGDAGPKGYVDGLFIVYLILMRYSGIKCQLHSEVTFLIFSYTR >KJB66465 pep chromosome:Graimondii2_0_v6:10:34247057:34255786:-1 gene:B456_010G140900 transcript:KJB66465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSLSLLPSSLGFPNERPSSTSQSSSYSCSCSVFFNAGTRLRSHDSFACVTFPSSSSTCSWRFNNRAGTHRFGTTVVAASGDYYATLGVPKSASGKEIKAAYRRLARQYHPDVNKEPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVKSAVGGQSSAYTTNPFDLFETFFGPSMGGFPGMDQTGFGTSRRSTVSKGDDIRYDITLEFSEAIFGAEKEFELSHLETCEVCLGTGAKVGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEVISENCRKCSGKGRERVKKNIKVKVPPGVSAGSILRVAGEGDAGPKGGPPGDLYAYLDVQEVPGIQRDGINLLSTVSISYLDAILGSVVKVKTVEGVTDLQIPPGTQPGDVLVLARKGAPKLNKPSIRGDHLFTIKVNIPNRISAKERELLEELSSLSNTNGSRSRTRPRTQPATATKTSGSQVSTDGEKTEEAAADENDTWTKLKKFAGSIANGAAKWLKDNL >KJB63744 pep chromosome:Graimondii2_0_v6:10:1053405:1055429:-1 gene:B456_010G014100 transcript:KJB63744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELHCNIVVMKGSQAKVLRLNLQCANEPRTPYYSAASSPVMDVGDHLGHRMKHSTPVTSPEEPSTSYSRTSQERLLPSSDSATSLFLVYQENPLFVDDKNELGNQLTVLDSVGEKLINLSANSTSSVKNNDKSIFWIPQNHNDEKPRKTRSGRNIVIPPSSRTLLDKFAQYDQDAKEGRLVNTDYMVNSDIRDAVALGRASSVPPPLCSFCQHKAPVFGKPPRRFSYEELEEATDGFAEVNFLAEGGFGVVYRGILRDGQVVAVKLLKFVGCQADIDFCREVQVLSCAQHRNVVLLIGFCIDGNKRVLVYEYICNGSLDFHLHGSNRASLDWQSRLRIAIGAARGLRYLHEDCRVGCIVHRDMRPKNILLTHDFEPQVTDFGLARWHSDQWTVGNEERTVGTSGYLAPEYSDGRRITQKVDVYAFGVVLLELLTGQRISDLQYYKGRNFLSDWFHPLAALDLNQIMTNIYQLLDPCLASGRVRDYTHQLQAMARAAFLCLSHDPESRPPMSKILRILEGGDTNVPLSLDLNSIGNRSGHLRGLKTQTQPESTRRHSRKLSH >KJB63748 pep chromosome:Graimondii2_0_v6:10:1053175:1057241:-1 gene:B456_010G014100 transcript:KJB63748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLRQRSTTIRLEKVVVAVKAERVISKTALAWALTHVVRPGDCVTLLAIFPSEKKGKRFWNFPILTGDCGSNMKEELPEKICQISESCSQMVLQFHNQIEVTVRIKVVSCTTGSSVVAEANNNGANWVILDKKLKQELKHCMDELHCNIVVMKGSQAKVLRLNLQCANEPRTPYYSAASSPVMDVGDHLGHRMKHSTPVTSPEEPSTSYSRTSQERLLPSSDSATSLFLVYQENPLFVDDKNELGNQLTVLDSVGEKLINLSANSTSSVKNNDKSIFWIPQNHNDEKPRKTRSGRNIVIPPSSRTLLDKFAQYDQDAKEGRLVNTDYMVNSDIRDAVALGRASSVPPPLCSFCQHKAPVFGKPPRRFSYEELEEATDGFAEVNFLAEGGFGVVYRGILRDGQVVAVKLLKFVGCQADIDFCREVQVLSCAQHRNVVLLIGFCIDGNKRVLVYEYICNGSLDFHLHGSNRASLDWQSRLRIAIGAARGLRYLHEDCRVGCIVHRDMRPKNILLTHDFEPQVTDFGLARWHSDQWTVGNEERTVGTSGYLAPEYSDGRRITQKVDVYAFGVVLLELLTGQRISDLQYYKGRNFLSDWFHPLAALDLNQIMTNIYQLLDPCLASGRVRDYTHQLQAMARAAFLCLSHDPESRPPMSKEEIPTFL >KJB63747 pep chromosome:Graimondii2_0_v6:10:1053891:1056816:-1 gene:B456_010G014100 transcript:KJB63747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEELPEKICQISESCSQMVLQFHNQIEVTVRIKVVSCTTGSSVVAEANNNGANWVILDKKLKQELKHCMDELHCNIVVMKGSQAKVLRLNLQCANEPRTPYYSAASSPVMDVGDHLGHRMKHSTPVTSPEEPSTSYSRTSQERLLPSSDSATSLFLVYQENPLFVDDKNELGNQLTVLDSVGEKLINLSANSTSSVKNNDKSIFWIPQNHNDEKPRKTRSGRNIVIPPSSRTLLDKFAQYDQDAKEGRLVNTDYMVNSDIRDAVALGRASSVPPPLCSFCQHKAPVFGKPPRRFSYEELEEATDGFAEVNFLAEGGFGVVYRGILRDGQVVAVKLLKFVGCQADIDFCREVQVLSCAQHRNVVLLIGFCIDGNKRVLVYEYICNGSLDFHLHGSNRASLDWQSRLRIAIGAARGLRYLHEDCRVGCIVHRDMRPKNILLTHDFEPQVLSIYFWTFLKVLDSMRIHYDRLTILSLRLLISASLDGIPINGQLETKNEPLELQGTLPLNIQMAEGLRKRSMFTHSE >KJB63750 pep chromosome:Graimondii2_0_v6:10:1053175:1057287:-1 gene:B456_010G014100 transcript:KJB63750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLRQRSTTIRLEKVVVAVKAERVISKTALAWALTHVVRPGDCVTLLAIFPSEKKGKRFWNFPILTGDCGSNMKEELPEKICQISESCSQMVLQFHNQIEVTVRIKVVSCTTGSSVVAEANNNGANWVILDKKLKQELKHCMDELHCNIVVMKGSQAKVLRLNLQCANEPRTPYYSAASSPVMDVGDHLGHRMKHSTPVTSPEEPSTSYSRTSQERLLPSSDSATSLFLVYQENPLFVDDKNELGNQLTVLDSVGEKLINLSANSTSSVKNNDKSIFWIPQNHNDEKPRKTRSGRNIVIPPSSRTLLDKFAQYDQDAKEGRLVNTDYMVNSDIRDAVALGRASSVPPPLCSFCQHKAPVFGKPPRRFSYEELEEATDGFAEVNFLAEGGFGVVYRGILRDGQVVAVKLLKFVGCQADIDFCREVQVLSCAQHRNVVLLIGFCIDGNKRVLVYEYICNGSLDFHLHGSNRASLDWQSRLRIAIGAARGLRYLHEDCRVGCIVHRDMRPKNILLTHDFEPQVTDFGLARWHSDQWTVGNEERTVGTSGYLAPEYSDGRRITQKVDVYAFGVVLLELLTGQRISDLQYYKGRNFLSDWFHPLAALDLNQIMTNIYQLLDPCLASGRVRDYTHQLQAMARAAFLCLSHDPESRPPMSKILRILEGGDTNVPLSLDLNSIGNRSGHLRGLKTQTQPESTRRHSRKLSH >KJB63749 pep chromosome:Graimondii2_0_v6:10:1053175:1057241:-1 gene:B456_010G014100 transcript:KJB63749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLRQRSTTIRLEKVVVAVKAERVISKTALAWALTHVVRPGDCVTLLAIFPSEKKGKRFWNFPILTGDCGSNMKEELPEKICQISESCSQMVLQFHNQIEVTVRIKVVSCTTGSSVVAEANNNGANWVILDKKLKQELKHCMDELHCNIVVMKGSQAKVLRLNLQCANEPRTPYYSAASSPVMDVGDHLGHRMKHSTPVTSPEEPSTSYSRTSQERLLPSSDSATSLFLVYQENPLFVDDKNELGNQLTVLDSVGEKLINLSANSTSSVKNNDKSIFWIPQNHNDEKPRKTRSGRNIVIPPSSRTLLDKFAQYDQDAKEGRLVNTDYMVNSDIRDAVALGRASSVPPPLCSFCQHKAPVFGKPPRRFSYEELEEATDGFAEVNFLAEGGFGVVYRGILRDGQVVAVKLLKFVGCQADIDFCREVQVLSCAQHRNVVLLIGFCIDGNKRVLVYEYICNGSLDFHLHGSNRASLDWQSRLRIAIGAARGLRYLHEDCRVGCIVHRDMRPKNILLTHDFEPQVTDFGLARWHSDQWTVGNEERTVGTSGWQKDYAKGRCLRIRSSVIRVTNRSKNQ >KJB63746 pep chromosome:Graimondii2_0_v6:10:1053175:1057219:-1 gene:B456_010G014100 transcript:KJB63746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEELPEKICQISESCSQMVLQFHNQIEVTVRIKVVSCTTGSSVVAEANNNGANWVILDKKLKQELKHCMDELHCNIVVMKGSQAKVLRLNLQCANEPRTPYYSAASSPVMDVGDHLGHRMKHSTPVTSPEEPSTSYSRTSQERLLPSSDSATSLFLVYQENPLFVDDKNELGNQLTVLDSVGEKLINLSANSTSSVKNNDKSIFWIPQNHNDEKPRKTRSGRNIVIPPSSRTLLDKFAQYDQDAKEGRLVNTDYMVNSDIRDAVALGRASSVPPPLCSFCQHKAPVFGKPPRRFSYEELEEATDGFAEVNFLAEGGFGVVYRGILRDGQVVAVKLLKFVGCQADIDFCREVQVLSCAQHRNVVLLIGFCIDGNKRVLVYEYICNGSLDFHLHGSNRASLDWQSRLRIAIGAARGLRYLHEDCRVGCIVHRDMRPKNILLTHDFEPQVTDFGLARWHSDQWTVGNEERTVGTSGWQKDYAKGRCLRIRSSVIRVTNRSKNQ >KJB63745 pep chromosome:Graimondii2_0_v6:10:1053175:1057219:-1 gene:B456_010G014100 transcript:KJB63745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEELPEKICQISESCSQMVLQFHNQIEVTVRIKVVSCTTGSSVVAEANNNGANWVILDKKLKQELKHCMDELHCNIVVMKGSQAKVLRLNLQCANEPRTPYYSAASSPVMDVGDHLGHRMKHSTPVTSPEEPSTSYSRTSQERLLPSSDSATSLFLVYQENPLFVDDKNELGNQLTVLDSVGEKLINLSANSTSSVKNNDKSIFWIPQNHNDEKPRKTRSGRNIVIPPSSRTLLDKFAQYDQDAKEGRLVNTDYMVNSDIRDAVALGRASSVPPPLCSFCQHKAPVFGKPPRRFSYEELEEATDGFAEVNFLAEGGFGVVYRGILRDGQVVAVKLLKFVGCQADIDFCREVQVLSCAQHRNVVLLIGFCIDGNKRVLVYEYICNGSLDFHLHGSNRASLDWQSRLRIAIGAARGLRYLHEDCRVGCIVHRDMRPKNILLTHDFEPQVTDFGLARWHSDQWTVGNEERTVGTSGYLAPEYSDGRRITQKVDVYAFGVVLLELLTGQRISDLQYYKGRNFLSDWFHPLAALDLNQIMTNIYQLLDPCLASGRVRDYTHQLQAMARAAFLCLSHDPESRPPMSKILRILEGGDTNVPLSLDLNSIGNRSGHLRGLKTQTQPESTRRHSRKLSH >KJB63887 pep chromosome:Graimondii2_0_v6:10:1744289:1745522:1 gene:B456_010G021900 transcript:KJB63887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKFVFKYIRSTWRPCPDDKLAAKWETILQFISPPTLCLWFWFFGRVPAMEGDCCSSSTSSSTSGEKPKAERTQQKEKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYTTPVAAARAYDIAVFYLRGPSARLNFPDLIEKDNKLRDISATSIRKKAAEVGAKVDALQTSSVYHHGGSSSDSPNNTRRVLLKPDLNKYPEISDED >KJB67921 pep chromosome:Graimondii2_0_v6:10:58940059:58945727:-1 gene:B456_010G220300 transcript:KJB67921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATKKSSDCSHIGYAFNKLMKQIGHPVEFELPDCFNKWKDTPYTVIRRNIYLTKRIKRRLEDDGIFCSCTSSSPGSSVCGRDCHCGMLLSSCSSGCSCGSSCLNKPFQHRPVKKLKLIKTEKCGEGIVADEDIKHGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFAMRDIKKGEHLTYDYQFVQFGADQDCHCGAAGCRQKLGVKPSKSKMSSDATLKLVACQVAVSSPTLKAVLFGNENGGLPVGTSQHDYNQRQLRFRCCIGEVIKISRPINDSGITHLIHTYLFIHGAMTIGIFSQITYVGSIFLGA >KJB67919 pep chromosome:Graimondii2_0_v6:10:58934343:58946325:-1 gene:B456_010G220300 transcript:KJB67919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIGHPVEFELPDCFNKWKDTPYTVIRRNIYLTKRIKRRLEDDGIFCSCTSSSPGSSVCGRDCHCGMLLSSCSSGCSCGSSCLNKPFQHRPVKKLKLIKTEKCGEGIVADEDIKHGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFAMRDIKKGEHLTYDYQFVQFGADQDCHCGAAGCRQKLGVKPSKSKMSSDATLKLVACQVAVSSPTLKAVLFGNENGGLPVGTSQHDYNQRQLRFRCCIGEVIKISRPINDRSCLKMVMLNFWICRKRIGRLSLCKVNFLGTVVKEEMLAKSPIDQENDRI >KJB67917 pep chromosome:Graimondii2_0_v6:10:58934497:58945732:-1 gene:B456_010G220300 transcript:KJB67917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATKKSSDCSHIGYAFNKLMKQIGHPVEFELPDCFNKWKDTPYTVIRRNIYLTKRIKRRLEDDGIFCSCTSSSPGSSVCGRDCHCGMLLSSCSSGCSCGSSCLNKPFQHRPVKKLKLIKTEKCGEGIVADEDIKHGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFAMRDIKKGEHLTYDYQFVQFGADQDCHCGAAGCRQKLGVKPSKSKMSSDATLKLVACQVAVSSPTLKAVLFGNENGGLPVGTSQHDYNQRQLRFRCCIGEVIKISRPINDSYFGIIKRFDKYSKKHLVMFEDGDVEFLDLSKEDWEIITL >KJB67920 pep chromosome:Graimondii2_0_v6:10:58940059:58944944:-1 gene:B456_010G220300 transcript:KJB67920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIGHPVEFELPDCFNKWKDTPYTVIRRNIYLTKRIKRRLEDDGIFCSCTSSSPGSSVCGRDCHCGMLLSSCSSGCSCGSSCLNKPFQHRPVKKLKLIKTEKCGEGIVADEDIKHGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFAMRDIKKGEHLTYDYQFVQFGADQDCHCGAAGCRQKLGVKPSKSKMSSDATLKLVACQVAVSSPTLKAVLFGNENGGLPVGTSQHDYNQRQLRFRCCIGEVIKISRPINDSGITHLIHTYLFIHGAMTIGIFSQITYVGSIFLGA >KJB67923 pep chromosome:Graimondii2_0_v6:10:58941976:58946314:-1 gene:B456_010G220300 transcript:KJB67923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIGHPVEFELPDCFNKWKDTPYTVIRRNIYLTKRIKRRLEDDGIFCSCTSSSPGSSVCGRDCHCGMLLSSCSSGCSCGSSCLNKPFQHRPVKKLKLIKTEKCGEGIVADEDIKHGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFAMRDIKKGEHLTYDYQFVQFGADQDCHCGAAGCRQKLGVKPSKSKMSSDATLKLVACQVAVSSPTLKAVLFGNEVCVKRLFDTIKNKRCVLKMPFLFTLWL >KJB67918 pep chromosome:Graimondii2_0_v6:10:58936195:58943524:-1 gene:B456_010G220300 transcript:KJB67918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSCSSGCSCGSSCLNKPFQHRPVKKLKLIKTEKCGEGIVADEDIKHGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFAMRDIKKGEHLTYDYQFVQFGADQDCHCGAAGCRQKLGVKPSKSKMSSDATLKLVACQVAVSSPTLKAVLFGNENGGLPVGTSQHDYNQRQLRFRCCIGEVIKISRPINDSYFGIIKRFDKYSKKHLVMFEDGDVEFLDLSKEDWEIITL >KJB67916 pep chromosome:Graimondii2_0_v6:10:58936195:58944944:-1 gene:B456_010G220300 transcript:KJB67916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIGHPVEFELPDCFNKWKDTPYTVIRRNIYLTKRIKRRLEDDGIFCSCTSSSPGSSVCGRDCHCGMLLSSCSSGCSCGSSCLNKPFQHRPVKKLKLIKTEKCGEGIVADEDIKHGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFAMRDIKKGEHLTYDYQFVQFGADQDCHCGAAGCRQKLGVKPSKSKMSSDATLKLVACQVAVSSPTLKAVLFGNENGGLPVGTSQHDYNQRQLRFRCCIGEVIKISRPINDSYFGIIKRFDKYSKKHLVMFEDGDVEFLDLSKEDWEIITL >KJB67915 pep chromosome:Graimondii2_0_v6:10:58934373:58945804:-1 gene:B456_010G220300 transcript:KJB67915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATKKSSDCSHIGYAFNKLMKQIGHPVEFELPDCFNKWKDTPYTVIRRNIYLTKRIKRRLEDDGIFCSCTSSSPGSSVCGRDCHCGMLLSSCSSGCSCGSSCLNKPFQHRPVKKLKLIKTEKCGEGIVADEDIKHGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFAMRDIKKGEHLTYDYQFVQFGADQDCHCGAAGCRQKLGVKPSKSKMSSDATLKLVACQVAVSSPTLKAVLFGNENGGLPVGTSQHDYNQRQLRFRCCIGEVIKISRPINDSYFGIIKRFDKYSKKHLVMFEDGDVEFLDLSKEDWEIITL >KJB67922 pep chromosome:Graimondii2_0_v6:10:58939840:58946325:-1 gene:B456_010G220300 transcript:KJB67922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIGHPVEFELPDCFNKWKDTPYTVIRRNIYLTKRIKRRLEDDGIFCSCTSSSPGSSVCGRDCHCGMLLSSCSSGCSCGSSCLNKPFQHRPVKKLKLIKTEKCGEGIVADEDIKHGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFAMRDIKKGEHLTYDYQFVQFGADQDCHCGAAGCRQKLGVKPSKSKMSSDATLKLVACQVAVSSPTLKAVLFGNENGGLPVGTSQHDYNQRQLRFRCCIGEVIKISRPINDSGITHLIHTYLFIHGAMTIGIFSQITYVGSIFLGA >KJB67914 pep chromosome:Graimondii2_0_v6:10:58936195:58944944:-1 gene:B456_010G220300 transcript:KJB67914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIGHPVEFELPDCFNKWKDTPYTVIRRNIYLTKRIKRRLEDDGIFCSCTSSSPGSSVCGRDCHCGMLLSSCSSGCSCGSSCLNKPFQHRPVKKLKLIKTEKCGEGIVADEDIKHGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFAMRDIKKGEHLTYDYQFVQFGADQDCHCGAAGCRQKLGVKPSKSKMSSDATLKLVACQVAVSSPTLKAVLFGNENGGLPVGTSQHDYNQRQLRFRCCIGEVIKISRPINDSYFGIIKRFDKYSKKHLVMFEDGDVEFLDLSKEDWEIITL >KJB67034 pep chromosome:Graimondii2_0_v6:10:49822713:49831622:-1 gene:B456_010G171200 transcript:KJB67034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKEARWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVVRDKTKTPLEYLEPILSEDIQKIWNAVSKPESHKDTPLSEFFNVEVTALSSFEEKEDLFKEQVAQLRQRFFNSISPGGLAGDRQGVVPAAGFSFSAQQIWRVIKENKDLDLPAHKVMVATVRCEEIANEKLHHLSSNEDWLALEEAVQCGPVSGFGRRLSSILETYFSGYDIETFYFDHGVRNAKRKQLESKSLDFVHPAYLNLLGHFRFKALEDFKSRLEQMLNIGEGFAASICTSTESCMLEFDQGYADAAIKQANWDASKVKEKLCRDINAHALSVQDAKLSELVVSYEKQLGQSLSEPVESLFDNAGRDTWASIRKLLTRETGIAVSEFSAAISSFELDQSTVEKMLQDLKDYARNVVEKKAREEAGKVLIRMKDRFSAVFSHDNDSMPRVWTGKEDIKTITKGARTASLSLLSVMVAIRLDGKPGEIENILFSSLMEGTVTAVSSVDRSITTSDPLASSSWEEVSPKSILITPVQCKSLWRQFKAETEYTVTQAISAQEAYKQSNNWLPPPWAIVAMVVLGFNEFMLLLSDVGSDGHPRAVSTWHSGWANLYLVEVSSHHHESSKATCRRGSRASN >KJB67037 pep chromosome:Graimondii2_0_v6:10:49823152:49831374:-1 gene:B456_010G171200 transcript:KJB67037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIDHCCSTQLIDGEGEFNVVGLDNFIRTTKLTNCGLSYAVLAIMGPQSSGKSTLLNHLFQTNFREMDAFRGRSQTTKGIWIANCVGIDPFTVAMDLEGTDGRERGEDDTTFEKQSALFALAIADIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVVRDKTKTPLEYLEPILSEDIQKIWNAVSKPESHKDTPLSEFFNVEVTALSSFEEKEDLFKEQVAQLRQRFFNSISPGGLAGDRQGVVPAAGFSFSAQQIWRVIKENKDLDLPAHKVMVATVRCEEIANEKLHHLSSNEDWLALEEAVQCGPVSGFGRRLSSILETYFSGYDIETFYFDHGVRNAKRKQLESKSLDFVHPAYLNLLGHFRFKALEDFKSRLEQMLNIGEGFAASICTSTESCMLEFDQGYADAAIKQANWDASKVKEKLCRDINAHALSVQDAKLSELVVSYEKQLGQSLSEPVESLFDNAGRDTWASIRKLLTRETGIAVSEFSAAISSFELDQSTVEKMLQDLKDYARNVVEKKAREEAGKVLIRMKDRFSAVFSHDNDSMPRVWTGKEDIKTITKGARTASLSLLSVMVAIRLDGKPGEIENILFSSLMEGTVTAVSSVDRSITTSDPLASSSWEEVSPKSILITPVQCKSLWRQFKAETEYTVTQAISAQEAYKQSNNWLPPPWAIVAMVVLGFNEFMLLLRNPLYLMGLFVVYLLSKVMWVQMDIPGQFQHGTLAGQISISSRFLPTIMNLLRRLAEEAQGHQTDEPPRQQCSLVFQS >KJB67040 pep chromosome:Graimondii2_0_v6:10:49822713:49831622:-1 gene:B456_010G171200 transcript:KJB67040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIDHCCSTQLIDGEGEFNVVGLDNFIRTTKLTNCGLSYAVLAIMGPQSSGKSTLLNHLFQTNFREMDAFRGRSQTTKGIWIANCVGIDPFTVAMDLEGTDGRERGEDDTTFEKQSALFALAIADIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVVRDKTKTPLEYLEPILSEDIQKIWNAVSKPESHKDTPLSEFFNVEVTALSSFEEKEDLFKEQVAQLRQRFFNSISPGGLAGDRQGVVPAAGFSFSAQQIWRVIKENKDLDLPAHKVMVATVRCEEIANEKLHHLSSNEDWLALEEAVQCGPVSGFGRRLSSILETYFSGYDIETFYFDHGVRNAKRKQLESKSLDFVHPAYLNLLGHFRFKALEDFKSRLEQMLNIGEGFAASICTSTESCMLEFDQGYADAAIKQANWDASKVKEKLCRDINAHALSVQDAKLSELVVSYEKQLGQSLSEPVESLFDNAGRDTWASIRKLLTRETGIAVSEFSAAISSFELDQSTVEKMLQDLKDYARNVVEKKAREEAGKVLIRMKDRFSAVFSHDNDSMPRVWTGKEDIKTITKGARTASLSLLSVMVAIRLDGKPGEIENILFSSLMEGTVTAVSSVDRSITTSDPLASSSWEEVSPKSILITPVQCKSLWRQFKAETEYTVTQAISAQEAYKQSNNWLPPPWAIVAMVVLGFNEFMLLLSDVGSDGHPRAVSTWHSGWANLYLVEVSSHHHESSKATCRRGSRASN >KJB67035 pep chromosome:Graimondii2_0_v6:10:49822713:49831622:-1 gene:B456_010G171200 transcript:KJB67035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKEARWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVVRDKTKTPLEYLEPILSEDIQKIWNAVSKPESHKDTPLSEFFNVEVTALSSFEEKEDLFKEQVAQLRQRFFNSISPGGLAGDRQGVVPAAGFSFSAQQIWRVIKENKDLDLPAHKVMVATVRCEEIANEKLHHLSSNEDWLALEEAVQCGPVSGFGRRLSSILETYFSGYDIETFYFDHGVRNAKRKQLESKSLDFVHPAYLNLLGHFRFKALEDFKSRLEQMLNIGEGFAASICTSTESCMLEFDQGYADAAIKQANWDASKVKEKLCRDINAHALSVQDAKLSELVVSYEKQLGQSLSEPVESLFDNAGRDTWASIRKLLTRETGIAVSEFSAAISSFELDQSTVEKMLQDLKDYARNVVEKKAREEAGKVLIRMKDRFSAVFSHDNDSMPRVWTGKEDIKTITKGARTASLSLLSVMVAIRLDGKPGEIENILFSSLMEGTVTAVSSVDRSITTSDPLASSSWEEVMVSPKSILITPVQCKSLWRQFKAETEYTVTQAISAQEAYKQSNNWLPPPWAIVAMVVLGFNEFMLLLSDVGSDGHPRAVSTWHSGWANLYLVEVSSHHHESSKATCRRGSRASN >KJB67033 pep chromosome:Graimondii2_0_v6:10:49823861:49830322:-1 gene:B456_010G171200 transcript:KJB67033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKEARWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVVRDKTKTPLEYLEPILSEDIQKIWNAVSKPESHKDTPLSEFFNVEVTALSSFEEKEDLFKEQVAQLRQRFFNSISPGGLAGDRQGVVPAAGFSFSAQQIWRVIKENKDLDLPAHKVMVATVRCEEIANEKLHHLSSNEDWLALEEAVQCGPVSGFGRRLSSILETYFSGYDIETFYFDHGVRNAKRKQLESKSLDFVHPAYLNLLGHFRFKALEDFKSRLEQMLNIGEGFAASICTSTESCMLEFDQGYADAAIKQANWDASKVKEKLCRDINAHALSVQDAKLSELVVSYEKQLGQSLSEPVESLFDNAGRDTWASIRKLLTRETGIAVSEFSAAISSFELDQSTVEKMLQDLKDYARNVVEKKAREEAGKVLIRMKDRFSAVFSHDNDSMPRVWTGKEDIKTITKGARTASLSLLSVMVAIRLDGKPGEIENILFSSLMEGTVTAVSSVDRSITTSDPLASSSWEEVMVSPKSILITPVQCKSLWRQFKAETEYTVTQAISAQEAYKQSNNWLPPPWAIVAMVVLGFNEFMLLLRSWG >KJB67032 pep chromosome:Graimondii2_0_v6:10:49823861:49830322:-1 gene:B456_010G171200 transcript:KJB67032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKEARWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVVRDKTKTPLEYLEPILSEDIQKIWNAVSKPESHKDTPLSEFFNVEVTALSSFEEKEDLFKEQVAQLRQRFFNSISPGGLAGDRQGVVPAAGFSFSAQQIWRVIKENKDLDLPAHKVMVATVRCEEIANEKLHHLSSNEDWLALEEAVQCGPVSGFGRRLSSILETYFSGYDIETFYFDHGVRNAKRKQLESKSLDFVHPAYLNLLGHFRFKALEDFKSRLEQMLNIGEGFAASICTSTESCMLEFDQGYADAAIKQANWDASKVKEKLCRDINAHALSVQDAKLSELVVSYEKQLGQSLSEPVESLFDNAGRDTWASIRKLLTRETGIAVSEFSAAISSFELDQSTVEKMLQDLKDYARNVVEKKAREEAGKVLIRMKDRFSAVFSHDNDSMPRVWTGKEDIKTITKGARTASLSLLSVMVAIRLDGKPGEIENILFSSLMEGTVTAVSSVDRSITTSDPLASSSWEEVSPKSILITPVQCKSLWRQFKAETEYTVTQAISAQEAYKQSNNWLPPPWAIVAMVVLGFNEFMLLLRSWG >KJB67041 pep chromosome:Graimondii2_0_v6:10:49823152:49830322:-1 gene:B456_010G171200 transcript:KJB67041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKEARWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVVRDKTKTPLEYLEPILSEDIQKIWNAVSKPESHKDTPLSEFFNVEVTALSSFEEKEDLFKEQVAQLRQRFFNSISPGGLAGDRQGVVPAAGFSFSAQQIWRVIKENKDLDLPAHKVMVATVRCEEIANEKLHHLSSNEDWLALEEAVQCGPVSGFGRRLSSILETYFSGYDIETFYFDHGVRNAKRKQLESKSLDFVHPAYLNLLGHFRFKALEDFKSRLEQMLNIGEGFAASICTSTESCMLEFDQGYADAAIKQANWDASKVKEKLCRDINAHALSVQDAKLSELVVSYEKQLGQSLSEPVESLFDNAGRDTWASIRKLLTRETGIAVSEFSAAISSFELDQSTVEKMLQDLKDYARNVVEKKAREEAGKVLIRMKDRFSAVFSHDNDSMPRVWTGKEDIKTITKGARTASLSLLSVMVAIRLDGKPGEIENILFSSLMEGTVTAVSSVDRSITTSDPLASSSWEEVMVSPKSILITPVQCKSLWRQFKAETEYTVTQAISAQEAYKQSNNWLPPPWAIVAMVVLGFNEFMLLLRNPLYLMGLFVVYLLSKVMWVQMDIPGQFQHGTLAGQISISSRFLPTIMNLLRRLAEEAQGHQTDEPPRQQCSLVFQS >KJB67039 pep chromosome:Graimondii2_0_v6:10:49822713:49831622:-1 gene:B456_010G171200 transcript:KJB67039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIDHCCSTQLIDGEGEFNVVGLDNFIRTTKLTNCGLSYAVLAIMGPQSSGKSTLLNHLFQTNFREMDAFRGRSQTTKGIWIANCVGIDPFTVAMDLEGTDGRERGEDDTTFEKQSALFALAIADIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVVRDKTKTPLEYLEPILSEDIQKIWNAVSKPESHKDTPLSEFFNVEVTALSSFEEKEDLFKEQVAQLRQRFFNSISPGGLAGDRQGVVPAAGFSFSAQQIWRVIKENKDLDLPAHKVMVATVRCEEIANEKLHHLSSNEDWLALEEAVQCGPVSGFGRRLSSILETYFSGYDIETFYFDHGVRNAKRKQLESKSLDFVHPAYLNLLGHFRFKALEDFKSRLEQMLNIGEGFAASICTSTESCMLEFDQGYADAAIKQANWDASKVKEKLCRDINAHALSVQDAKLSELVVSYEKQLGQSLSEPVESLFDNAGRDTWASIRKLLTRETGIAVSEFSAAISSFELDQSTVEKMLQDLKDYARNVVEKKAREEAGKVLIRMKDRFSAVFSHDNDSMPRVWTGKEDIKTITKGARTASLSLLSVMVAIRLDGKPGEIENILFSSLMEGTVTAVSSVDRSITTSDPLASSSWEEVMVSPKSILITPVQCKSLWRQFKAETEYTVTQAISAQEAYKQSNNWLPPPWAIVAMVVLGFNEFMLLLSDVGSDGHPRAVSTWHSGWANLYLVEVSSHHHESSKATCRRGSRASN >KJB67036 pep chromosome:Graimondii2_0_v6:10:49823152:49830322:-1 gene:B456_010G171200 transcript:KJB67036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKEARWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVVRDKTKTPLEYLEPILSEDIQKIWNAVSKPESHKDTPLSEFFNVEVTALSSFEEKEDLFKEQVAQLRQRFFNSISPGGLAGDRQGVVPAAGFSFSAQQIWRVIKENKDLDLPAHKVMVATVRCEEIANEKLHHLSSNEDWLALEEAVQCGPVSGFGRRLSSILETYFSGYDIETFYFDHGVRNAKRKQLESKSLDFVHPAYLNLLGHFRFKALEDFKSRLEQMLNIGEGFAASICTSTESCMLEFDQGYADAAIKQANWDASKVKEKLCRDINAHALSVQDAKLSELVVSYEKQLGQSLSEPVESLFDNAGRDTWASIRKLLTRETGIAVSEFSAAISSFELDQSTVEKMLQDLKDYARNVVEKKAREEAGKVLIRMKDRFSAVFSHDNDSMPRVWTGKEDIKTITKGARTASLSLLSVMVAIRLDGKPGEIENILFSSLMEGTVTAVSSVDRSITTSDPLASSSWEEVSPKSILITPVQCKSLWRQFKAETEYTVTQAISAQEAYKQSNNWLPPPWAIVAMVVLGFNEFMLLLRNPLYLMGLFVVYLLSKVMWVQMDIPGQFQHGTLAGQISISSRFLPTIMNLLRRLAEEAQGHQTDEPPRQQCSLVFQS >KJB67038 pep chromosome:Graimondii2_0_v6:10:49823152:49831374:-1 gene:B456_010G171200 transcript:KJB67038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIDHCCSTQLIDGEGEFNVVGLDNFIRTTKLTNCGLSYAVLAIMGPQSSGKSTLLNHLFQTNFREMDAFRGRSQTTKGIWIANCVGIDPFTVAMDLEGTDGRERGEDDTTFEKQSALFALAIADIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVVRDKTKTPLEYLEPILSEDIQKIWNAVSKPESHKDTPLSEFFNVEVTALSSFEEKEDLFKEQVAQLRQRFFNSISPGGLAGDRQGVVPAAGFSFSAQQIWRVIKENKDLDLPAHKVMVATVRCEEIANEKLHHLSSNEDWLALEEAVQCGPVSGFGRRLSSILETYFSGYDIETFYFDHGVRNAKRKQLESKSLDFVHPAYLNLLGHFRFKALEDFKSRLEQMLNIGEGFAASICTSTESCMLEFDQGYADAAIKQANWDASKVKEKLCRDINAHALSVQDAKLSELVVSYEKQLGQSLSEPVESLFDNAGRDTWASIRKLLTRETGIAVSEFSAAISSFELDQSTVEKMLQDLKDYARNVVEKKAREEAGKVLIRMKDRFSAVFSHDNDSMPRVWTGKEDIKTITKGARTASLSLLSVMVAIRLDGKPGEIENILFSSLMEGTVTAVSSVDRSITTSDPLASSSWEEVMVSPKSILITPVQCKSLWRQFKAETEYTVTQAISAQEAYKQSNNWLPPPWAIVAMVVLGFNEFMLLLRNPLYLMGLFVVYLLSKVMWVQMDIPGQFQHGTLAGQISISSRFLPTIMNLLRRLAEEAQGHQTDEPPRQQCSLVFQS >KJB68415 pep chromosome:Graimondii2_0_v6:10:61313039:61315391:-1 gene:B456_010G244000 transcript:KJB68415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKHDDHENYPTVVVTKTVTVHPKPLLHHPQQILHLSNLDRQCPMLMYVVFFYKPCFAYQNLALGSIFNSLKSGLEETLSIWYPAAGRLSLNQADGKLNLWCNNGGAVLAEAVTTAKIIQLGDLSQYKEFFERLAYKPVFHGNFSQMPLIVAQVTKFGCGGYSISIGASHSLFDGPATYDFLRAWASNSAILKEKRSTLQIYKPVHERGPLLVGTQHGQQQLTKLPESGSSAPTRGAAAIDHLHQLIKQALAGPDMKFGGSNFSNTGNSNLVLKTFHLSGAMIESLKIKVFGGESRGSFSCSSFELIAAHLWKARTKALGVRKGAMVCLQFAVDVRNKMVPPLPKGFSGNAFVLASIALTAEQLEGSSHEATIEKIKQAKNSITNDYVIAYNKALDGGAAQGSLPPINELTLVSDWTRMPFHTIDFLHGEAAYVSPLLSPIPQVAYFMQNPNDLRGIDVRIGLPPQFLNAFSHYFLTNLQ >KJB65174 pep chromosome:Graimondii2_0_v6:10:12272335:12273089:-1 gene:B456_010G083000 transcript:KJB65174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEGRKAETDDVTGAESPEFKRQRDAGAAELSDEVLGWLSVEGSEADSMSELLKLLDDSAETTMSASLYTYSPASYGMRVRFSDNPYSSALIFQSSSSYITINGNEESCGSSFSESESSVMASVDMGGIVRSKVKGLEGIREWLEAEEGGAWGGKRGRGAWVGGGVGMEMGMGRRAADEVAGGGVSALFEICE >KJB63572 pep chromosome:Graimondii2_0_v6:10:348744:350747:-1 gene:B456_010G006600 transcript:KJB63572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCYKEEATVFCTADEAALCDACDHRVHHANKLASKHQRFTLLHPCSSSKQVPLCDICQEKRAFLFCQQDRAILCVKLSSRSATYNTTSSSSNGGDSVPEFKVNNPISSISPPDFNPSSNEVDGSTSSISEYLIEMLPGWHVEDLLDSSPSLSPIGFCKDNQRNNQSKALLVGRD >KJB63574 pep chromosome:Graimondii2_0_v6:10:349166:350747:-1 gene:B456_010G006600 transcript:KJB63574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCYKEEATVFCTADEAALCDACDHRVHHANKLASKHQRFTLLHPCSSSKQVPLCDICQEKRAFLFCQQDRAILCVKLSSRSATYNTTSSSSNGGDSVPEFKVNNPISSISPPDFNPSSNEVDGSTSSISEYLIEMLPGWHVEDLLDSSPSLSPIGFCKNDDGMLPFSDPSQSLYHLPQMEGPIGLKETTMKGNKR >KJB63576 pep chromosome:Graimondii2_0_v6:10:349395:350624:-1 gene:B456_010G006600 transcript:KJB63576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCYKEEATVFCTADEAALCDACDHRVHHANKLASKHQRFTLLHPCSSSKQVPLCDICQEKRAFLFCQQDRAILCRDCDVPIHSANEHTQRHNRFLLTGVKLSSRSATYNTTSSSSNGGDSVPEFKVNNPISSISPPDFNPSSNEVDGSTSSISEYLIEMLPGWHVEDLLDSSPSLSPIGFCKVCIHSPPFDLFD >KJB63575 pep chromosome:Graimondii2_0_v6:10:349166:350624:-1 gene:B456_010G006600 transcript:KJB63575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCYKEEATVFCTADEAALCDACDHRVHHANKLASKHQRFTLLHPCSSSKQVPLCDICQEKRAFLFCQQDRAILCRDCDVPIHSANEHTQRHNRFLLTGVKLSSRSATYNTTSSSSNGGDSVPEFKVNNPISSISPPDFNPSSNEVDGSTSSISEYLIEMLPGWHVEDLLDSSPSLSPIGFCKNDDGMLPFSDPSQSLYHLPQMEGPIGLKETTMKGNKR >KJB63573 pep chromosome:Graimondii2_0_v6:10:349166:350638:-1 gene:B456_010G006600 transcript:KJB63573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCYKEEATVFCTADEAALCDACDHRVHHANKLASKHQRFTLLHPCSSSKQVPLCDICQEKRAFLFCQQDRAILCRDCDVPIHSANEHTQRHNRFLLTDFNPSSNEVDGSTSSISEYLIEMLPGWHVEDLLDSSPSLSPIGFCKNDDGMLPFSDPSQSLYHLPQMEGPIGLKETTMKGNKR >KJB63944 pep chromosome:Graimondii2_0_v6:10:2093886:2095001:-1 gene:B456_010G025800 transcript:KJB63944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKSSKSKPSSTAMDPVIWSKLPQELLEHILCFLPLKTFLSLRSTCKHFNSLVFSPTFITKHSSGSGLCSFLLLSHQQFYSHFPLYDTIIGSWRDLALPFSLFPPFGSSQFNLISSSNGLLCFALPSCCSFLVCNMLAKSSRVIELPFFPFSFELLTLVSTPKGYKIFTLCTKFSSNRAFVYDSVVHSWTEHDGYQPLLFENFHQEGAFYDGSLCFTTPEPFSVVCFDLGTGKWGNLNVEMPRELTFVRLVSGVSDRKKLYMLGGVGRNGISRSMRLWELKEGKKWEEIERLPELMCRKFVSVCYHNYEHVYCFWHRGMICVCCHTWPEILYYKVERRSWHWLPKCPWLPDKWSCGFRWFSFVPQLHALA >KJB64382 pep chromosome:Graimondii2_0_v6:10:5037965:5042773:1 gene:B456_010G046700 transcript:KJB64382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENADVFLGLQDFLERMRQPSAADFVKSIKSFIVSFSNNAPDPERDSAAVQAFFANMEAAFRAHPLWAGCSEEELDSAGEGLEKYVMTKLFTRVFASLPDDVKLDEQLSQKMALVQQFVRPENLDIKPAFQNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADDFLPVLIYVTIKANPPQLHSNLLYIQRYRRQSRLVSEAAYFFTNMLSAAAFISNIDAKALSLEETEFEKNMEFARALLSGLSTDLDGLSSQINQLAGQDQREPTESRHHTLKEDHANRPKSSDGKSSSKDQSSISKVPSISELENRGATILLKEDQVSKVFQECPYMFAHAGDLTVKDVEELLNNYKQLVFKYVCLLKGSDGASASFPLSKSQAQAQQHVETVKESQDHRAIAQNIGLQSNTDKEEDGPTRTSNQENTNSDLLKEEAVGSSEYSHDDTSKQ >KJB64383 pep chromosome:Graimondii2_0_v6:10:5037962:5042424:1 gene:B456_010G046700 transcript:KJB64383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENADVFLGLQDFLERMRQPSAADFVKSIKSFIVSFSNNAPDPERDSAAVQAFFANMEAAFRAHPLWAGCSEEELDSAGEGLEKYVMTKLFTRVFASLPDDVKLDEQLSQKMALVQQFVRPENLDIKPAFQNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADDFLPVLIYVTIKANPPQLHSNLLYIQRYRRQSRLVSEAAYFFTNMLSAAAFISNIDAKALSLEETEFEKNMEFARALLSGLSTDLDGLSSQINQLAGQDQREPTESRHHTLKEDHANRPKSSDGKSSSKDQSSISKVPSISELENRGATILLKEDQVSKVFQECPYMFAHAGDLTVKDVEELLNNYKQLVFKYVCLLKGSDGASASFPLSKSQAQAQQHVETVKESQDHRAIAQNIGLQSNTDKEEDGPTRTSNQENTNSDLLKEEAVGSSEYSHDDTSKQ >KJB64312 pep chromosome:Graimondii2_0_v6:10:4002056:4003497:-1 gene:B456_010G042400 transcript:KJB64312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRKTKGAPSADLLVCFPSRSRLTLMPKPISSPARPSEPDIRHHNHRHHHHPLIKRSRTRNGSGVGLASPLLWANNKQMRPEITEPSSPKVTCAGQIKVRSKTTSCKTWQSVMEEIERIHNSRRHKKRPGWIESLGFKKEVMQFFTCLRSIRFDFRCFRSFPQSDITTEDDDDEDEESQENHNHIHDDDKEASRTVFSKWFMVLQENQNDGLLKEEKGKSHDVDVVDDDAQTAVPPPNALLLMRCRSAPAKSWLKENVKDEENIQNDDEEEECKKKCEKKTKNLRSLMEEENRKTTTQSLVVMKYDLGFYKTCSSDTAKETWVVGGFKDPLSRSRSWKR >KJB65137 pep chromosome:Graimondii2_0_v6:10:12132482:12136353:1 gene:B456_010G082500 transcript:KJB65137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced ethylene response protein 5 [Source:Projected from Arabidopsis thaliana (AT2G19560) UniProtKB/Swiss-Prot;Acc:Q8GWE6] MAYPSMGEAHRRITDYLNKFCDAVSYQDVASLAQLFSFSSNSPSLLSLADALNFFQDANRLIKQSDKFSQFGEILAPLFRSLQSYRLGNLVEAYHAFEKFANAFIQEFRNWESAWALEALYAIAYEIRVLAERADRELSSNGKSPEKLKGAGSFLMKVFGVLAGKGPKRVGALYVTCQLFKIYFKLGTVHLCRSVIRSIETARIFDFEEFPRRDKVTYMYYTGRLEVFNENFPAADHKLSYALTHCNPLREANIRMILKYLIPVKLSLGILPKTCLLEKYNLLEYNDVVKALKRGDLRLLRHALQEHEDQFLRSGVYLVLEKLELQVYQRLVKKIYFIQKQKDPSKAHQLKLEVIVKALKWLEMDMDLDEVECIMTILIYKNLVKGYFAHKSKVVVLSKQDPFPKLNGKPVNS >KJB65138 pep chromosome:Graimondii2_0_v6:10:12132566:12136087:1 gene:B456_010G082500 transcript:KJB65138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced ethylene response protein 5 [Source:Projected from Arabidopsis thaliana (AT2G19560) UniProtKB/Swiss-Prot;Acc:Q8GWE6] MRLKNLVLAERADRELSSNGKSPEKLKGAGSFLMKVFGVLAGKGPKRVGALYVTCQLFKIYFKLGTVHLCRSVIRSIETARIFDFEEFPRRDKVTYMYYTGRLEVFNENFPAADHKLSYALTHCNPLREANIRMILKYLIPVKLSLGILPKTCLLEKYNLLEYNDVVKALKRGDLRLLRHALQEHEDQFLRSGVYLVLEKLELQVYQRLVKKIYFIQKQKDPSKAHQLKLEVIVKALKWLEMDMDLDEVECIMTILIYKNLVKGYFAHKSKVVVLSKQDPFPKLNGKPVNS >KJB67560 pep chromosome:Graimondii2_0_v6:10:56088605:56090241:-1 gene:B456_010G199500 transcript:KJB67560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVTTKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMGDRVRVFMYPSNI >KJB67559 pep chromosome:Graimondii2_0_v6:10:56087145:56090314:-1 gene:B456_010G199500 transcript:KJB67559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVTTKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMGDRVRVFMYPSNI >KJB65225 pep chromosome:Graimondii2_0_v6:10:13708608:13712320:-1 gene:B456_010G089600 transcript:KJB65225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKFLGLLATGMTADARTLVQQARNEAAEFRFRYGYEMPVDVLSKWIADKSQVYTQHAYMRPLGVVAMVLGIDEEKGPQLYKCDPAGHFYGHKVATSAGSKEQEAINFLEKKMKNDPAFTYEETVQTAISALQSVLQEDFKATEIEVGVVKADNPAFRVLSTEEIDEHLTAISERD >KJB65226 pep chromosome:Graimondii2_0_v6:10:13708608:13712425:-1 gene:B456_010G089600 transcript:KJB65226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKFLGLLATGMTADARTLVQQARNEAAEFRFRYGYEMPVDVLSKWIADKSQVYTQHAYMRPLGVVAMVLGIDEEKGPQLYKCDPAGHFYGHKATSAGSKEQEAINFLEKKMKNDPAFTYEETVQTAISALQSVLQEDFKATEIEVGVVKADNPAFRVLSTEEIDEHLTAISERD >KJB65489 pep chromosome:Graimondii2_0_v6:10:16606463:16608883:1 gene:B456_010G097100 transcript:KJB65489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVEIFYPNGFSKICNGTTKNQPPVDETRQFDSWYEEEIDEDLKWSFALKSVLYKGSSEYQDIALLDTKRFGKVLVIDGKMQSAEVDEFIYHECLIHPALLFHSNPKTVFIMGGGEGSAAREALRHKFIDEVVMCDIDEEVVNFCRRYLTVNRDAFCNKKLNLVINDAKAELEKRTEKFDIIVGDLADPVEGGPCYQLYTKSFYEKILKPKLNENGIFVTQAGPAGIFTHKEVFSSIYHTIRQVFKYVLAYTAHVPSFADTWGWVMASDQPFSIGAEEIDKRIAERVDGELLYLNGSSFLSSATMNKTVYLSLLNETHVYTEENARFIPGHGLGNHL >KJB65224 pep chromosome:Graimondii2_0_v6:10:12934531:12938006:-1 gene:B456_010G085700 transcript:KJB65224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSDEPGEDIIVNGDTPGTGKPRFRKVAKTKEMLSKQAVQTKKILSKHAVKIAKQAEEHERFINKVTHLLGVLGFGGFCFLLGARPQDIPYVYCLFYVIFVPLRWIYYRFKKWHYYLLDFCYYANTIFLFDLLLYPNNEKLFLVCFSFAEGPLAWALIVWRCSLVFSSVDKIISVLIHLLPGIVFFTIRWWNPVTFEDMQPEGTSHRISWPYVEDKAYLWTWLFGVPLAAYTLWQVLYFLIVNVLRRQRLLRDPEVMTSYRELSKKAKKANNIWWRLGGLLGDQNRFLMYILCQAIFTVATMALTVPIFLSYKFHVIFQILKVSASVWNGGSFLLEVMPRQVIVKEKKKSDIQMQPVQSQQDQPSDLVGNSSEIHQP >KJB68124 pep chromosome:Graimondii2_0_v6:10:59822292:59826579:1 gene:B456_010G226800 transcript:KJB68124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNCFSVGVALENFIIRSWGSIIGHANYVCKLKQTLPTLTEALQDLRAQRDDVQRMRLERVQLRLSKAETMITEAEKLVANGPQQMNNLCLGGFASKNCLSTYKFGKKVAKMLQAINDLISKGVFDKVAESQPAASVVVRPEERPIALQPTIEKVWNCIVDKDVGIIGLYGLGGVGKTTLLTQINNKFSTTPNGFDVVIWALVSNGYDIGKIQNKIGGNIGFSAESWKNKSVEEKAVDIYGVLRIKRFVVLLDDFQDNGSKLICTTRCLEVCGEMEAREKIKVEYLEPKEAWKLFQDKVGDETLNSHPDIRKLAKQVDERCGGLPLALITIGRAFQQHVEAQCVLYCCKPKWQNEGKQLYSGTVCARRNKLSTKVVKEEKTPFGEQIFGTKDWDSQVCKSDLLFVNEAKVENELCSNSAMACKTTPGDWKYAIEMVKRCTSIYDNLSNVTMKCFLLYCCLYPEDYCIPRKRLVEYWFCEEMLNEFDRISEAEMDGEDFVKMHDVIRDMVLWIVCELEAKENNFFVKAGAQLFEEPDVKIWECAKRMSVMKNNIEENKLKVINDGFLQFIPHLTVLNLSNNSPLQVLPKGISQLISLECLDLSHTAIRELPIELKSLRKLKMSDLSCIPYLRRIPRHLISKEDNVLNGGNENLIEELKRVQHLNILSMLPFTSMFALERFLSFNLFRCCTQELRLSGFKESNVFNVLCLENVECLQTLNLRNCENVEEIKMEKLHTWVSPGTSYTSPFHTLTSVSFQDCHKLTDVTWLILVPNLSLWKLKSVYWDVLPFPCLKCIFVGICPELKKLPLNSDSAKGNRITIDGNEDWWAEIEWENEATRQTFLPFFRSYS >KJB66945 pep chromosome:Graimondii2_0_v6:10:48599638:48600371:-1 gene:B456_010G167300 transcript:KJB66945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVLVGMAISSMIGPVFRIDARTDTTVRGRFARLVISVNLKKPLISKIRVNYRIQRVKHECLPNVFFSCELYGHSSTLCFRGKPDTMLDVAVAYDSG >KJB64520 pep chromosome:Graimondii2_0_v6:10:6055032:6059294:-1 gene:B456_010G052900 transcript:KJB64520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRNRRLASSSSSSTAKKPSITGNQSKKMGAAKTQFSKKRVALSDITNQRNGFPSGSRAMVTHSKPMVPCTSKVAKKKETSTLPTTPSLESFDGVSCINTICTRDDHPTTKVSALPLPSSMDISPCRSPCASVSLDETMSTCDSLESPKFEYLENEDVSAIESIETKANDNLYISELTQKEGKISKTNIHLEMGPNDDAFVVDVSSTDPQFFSPSVHVIYKNSRASEAKKRLSTDFMDMVQKDIDANMRAILIDWLVEVTEEYRLVPETLFLTVNYIDRYLSGNSINRQQLQLLGVACMMIASKYEEVSAPQVEEFCYVTDNTYCKDEILQMESSVLNYLKFEMTVPTTKFFLRQFVCAAEMINQVQLLQFECLANYIAELSLLEYTMLHYAPSLIAASAAFLARFILSPSRKPWDSMLGHYTLYQPSDFGNCVKALHHLCRNGGGANLPAIREKYSQHKYKFVAKKYCPASIPEEFFQDV >KJB64521 pep chromosome:Graimondii2_0_v6:10:6054749:6059566:-1 gene:B456_010G052900 transcript:KJB64521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRNRRLASSSSSSTAKKPSITGNQSKKMGAAKTQFSKKRVALSDITNQRNGFPSGSRAMVTHSKPMVPCTSKVAKKKETSTLPTTPSLESFDGVSCINTICTRDDHPTTKVSALPLPSSMDISPCRSPCASVSLDETMSTCDSLESPKFEYLENEDVSAIESIETKANDNLYISELTQKEGKISKTNIHLEMGPNDDAFVVDVSSTDPQFFSPSVHVIYKNSRASEAKKRLSTDFMDMVQKDIDANMRAILIDWLVEVTEEYRLVPETLFLTVNYIDRYLSGNSINRQQLQLLGVACMMIASKYEEVSAPQVEEFCYVTDNTYCKDEILQMESSVLNYLKFEMTVPTTKFFLRFNCCSLNVWPTTLQSYHFLNTLCFTMLHL >KJB64518 pep chromosome:Graimondii2_0_v6:10:6055032:6059294:-1 gene:B456_010G052900 transcript:KJB64518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRNRRLASSSSSSTAKKPSITGNQSKKMGAAKTQFSKKRVALSDITNQRNGFPSGSRAMVTHSKPMVPCTSKVAKKKETSTLPTTPSLESFDGVSCINTICTRDDHPTTKVSALPLPSSMDISPCRSPCASVSLDETMSTCDSLESPKFEYLENEDVSAIESIETKANDNLYISELTQKEGKISKTNIHLEMGPNDDAFVVDVSSTDPQFFSPSVHVIYKNSRASEAKKRLSTDFMDMVQKDIDANMRAILIDWLVEVTEEYRLVPETLFLTVNYIDRYLSGNSINRQQLQLLGVACMMIASKYEEVSAPQVEEFCYVTDNTYCKDEILQMESSVLNYLKFEMTVPTTKFFLRQFVCAAEMINQVQLLQFECLANYIAELSLLEYTMLHYAPSLIAASAAFLARFILSPSRKPWDSMLGHYTLYQPSDFGNCVKALHHLCRNGGGANLPAIREKYSQHKYKFVAKKYCPASIPEEFFQDV >KJB64519 pep chromosome:Graimondii2_0_v6:10:6054749:6059566:-1 gene:B456_010G052900 transcript:KJB64519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRNRRLASSSSSSTAKKPSITGNQSKKMGAAKTQFSKKRVALSDITNQRNGFPSGSRAMVTHSKPMVPCTSKVAKKKETSTLPTTPSLESFDGVSCINTICTRDDHPTTKVSALPLPSSMDISPCRSPCASVSLDETMSTCDSLESPKFEYLENEDVSAIESIETKANDNLYISELTQKEGKISKTNIHLEMGPNDDAFVVDVSSTDPQFFSPSVHVIYKNSRASEAKKRLSTDFMDMVQKDIDANMRAILIDWLVEVTEEYRLVPETLFLTVNYIDRYLSGNSINRQQLQLLGVACMMIASKYEEVSAPQVEEFCYVTDNTYCKDEILQMESSVLNYLKFEMTVPTTKFFLRFNCCSLNVWPTTLQSYHFLNTLCFTMLHL >KJB67717 pep chromosome:Graimondii2_0_v6:10:57183952:57184257:-1 gene:B456_010G205800 transcript:KJB67717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase sulfur carrier subunit [Source:Projected from Arabidopsis thaliana (AT4G10100) UniProtKB/Swiss-Prot;Acc:Q9S7A3] MKTKEDNLPAVQSVVKDGSFVQIKVLFFAKARDITGLTELSMEVSSGSTTQDCLNKLVAKFPNLDEIRQCIVLALNEEYTTESAVVKDKDELAIIPPISGG >KJB67716 pep chromosome:Graimondii2_0_v6:10:57183246:57185516:-1 gene:B456_010G205800 transcript:KJB67716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase sulfur carrier subunit [Source:Projected from Arabidopsis thaliana (AT4G10100) UniProtKB/Swiss-Prot;Acc:Q9S7A3] MKTKEDNLPAVQSVVKDGSFVQIKVLFFAKARDITGLTELSMEVSSGSTTQDCLNKLVAKFPNLDEIRQCIVLALNEEYTTESAVVKDKDELAIIPPISGG >KJB68264 pep chromosome:Graimondii2_0_v6:10:60564616:60571710:1 gene:B456_010G235100 transcript:KJB68264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTSSTSSPKHLQSPNPLLMDPSSSVPTPPPPSAAASNINPTQTATPLTAPSPTTTPTTNPSIDPSLQNLQQQQQISQISSPPLSNLAQQQQQQQILQQQTQQGVGGLSNFQMQQSLQRSPSISRINQLQQQQQQQQSQQQQQQQSQYGNVLRQQAGLYGQMNFGGSASIQANQQQNQQMGNPNLSRSALIGQSGHLPMLGGAAAAAAAQYNYQSQLLASPRQKAGLVQGSQFHAGNPTGQSLHGMQAMGMMNLSSHLRANGALYAQQQMNQGQMRQQLSQQTQLTSPQAFINPQLSGLAQNGQPGMMQNSLLQQQLLKQIPSISGPGSTPFRLQRQSQVLLQQQLPFSSPQLQNSMGLNPQQLSQLVQQQSQMGHPQMQQPQQQLQQQLQQQPQQQLQPQQSPLHQQQQQSPRMPGPAGQKTLSLTGSQPNATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSQGKLEPEVEDLLLEIADDFIDSVTTFACSLAKHRKSSTLESKDILLHLEKNWRLTIPGFSSEERNQTRPLSSDLHKKRLEMIRALMESSHPEINANNPKEMIRQGLGNPVGANNLMRPSPSSEQLVSQAAGSQMLQQITRY >KJB68263 pep chromosome:Graimondii2_0_v6:10:60564616:60571000:1 gene:B456_010G235100 transcript:KJB68263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTSSTSSPKHLQSPNPLLMDPSSSVPTPPPPSAAASNINPTQTATPLTAPSPTTTPTTNPSIDPSLQNLQQQQQISQISSPPLSNLAQQQQQQQILQQQTQQGVGGLSNFQMQQSLQRSPSISRINQLQQQQQQQQSQQQQQQQSQYGNVLRQQAGLYGQMNFGGSASIQANQQQNQQMGNPNLSRSALIGQSGHLPMLGGAAAAAAAQYNYQSQLLASPRQKAGLVQGSQFHAGNPTGQSLHGMQAMGMMNLSSHLRANGALYAQQQMNQGQMRQQLSQQTQLTSPQVQSLQRTSSQAFINPQLSGLAQNGQPGMMQNSLLQQQLLKQIPSISGPGSTPFRLQRQSQVLLQQQLPFSSPQLQNSMGLNPQQLSQLVQQQSQMGHPQMQQPQQQLQQQLQQQPQQQLQPQQSPLHQQQQQSPRMPGPAGQKTLSLTGSQPNATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSQGKLEPEVEDLLLEIADDFIDSVTTFACSLAKHRKSSTLESKDILLHLEKNWRLTIPGFSSEERNQTRPLSSDLHKKRLEMVTEIFSTKIRALMESSHPEINANNPKEMIRQGLGNPVGANNLMRPSPSSEQLVSQAAGSQMLQQITRY >KJB68260 pep chromosome:Graimondii2_0_v6:10:60564587:60572167:1 gene:B456_010G235100 transcript:KJB68260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTSSTSSPKHLQSPNPLLMDPSSSVPTPPPPSAAASNINPTQTATPLTAPSPTTTPTTNPSIDPSLQNLQQQQQISQISSPPLSNLAQQQQQQQILQQQTQQGVGGLSNFQMQQSLQRSPSISRINQLQQQQQQQQSQQQQQQQSQYGNVLRQQAGLYGQMNFGGSASIQANQQQNQQMGNPNLSRSALIGQSGHLPMLGGAAAAAAAQYNYQSQLLASPRQKAGLVQGSQFHAGNPTGQSLHGMQAMGMMNLSSHLRANGALYAQQQMNQGQMRQQLSQQTQLTSPQVQSLQRTSSQAFINPQLSGLAQNGQPGMMQNSLLQQQLLKQIPSISGPGSTPFRLQRQSQVLLQQQLPFSSPQLQNSMGLNPQQLSQLVQQQSQMGHPQMQQPQQQLQQQLQQQPQQQLQPQQSPLHQQQQQSPRMPGPAGQKTLSLTGSQPNATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSQGKLEPEVEDLLLEIADDFIDSVTTFACSLAKHRKSSTLESKDILLHLEKNWRLTIPGFSSEERNQTRPLSSDLHKKRLEMIRALMESSHPEINANNPKEMIRQGLGNPVGANNLMRPSPSSEQLVSQAAGSQMLQQITRY >KJB68265 pep chromosome:Graimondii2_0_v6:10:60564616:60571710:1 gene:B456_010G235100 transcript:KJB68265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTSSTSSPKHLQSPNPLLMDPSSSVPTPPPPSAAASNINPTQTATPLTAPSPTTTPTTNPSIDPSLQNLQQQQQISQISSPPLSNLAQQQQQQQILQQQTQQGVGGLSNFQMQQSLQRSPSISRINQLQQQQQQQQSQQQQQQQSQYGNVLRQQAGLYGQMNFGGSASIQANQQQNQQMGNPNLSRSALIGQSGHLPMLGGAAAAAAAQYNYQSQLLASKAGLVQGSQFHAGNPTGQSLHGMQAMGMMNLSSHLRANGALYAQQQMNQGQMRQQLSQQTQLTSPQVQSLQRTSSQAFINPQLSGLAQNGQPGMMQNSLLQQQLLKQIPSISGPGSTPFRLQRQSQVLLQQQLPFSSPQLQNSMGLNPQQLSQLVQQQSQMGHPQMQQPQQQLQQQLQQQPQQQLQPQQSPLHQQQQQSPRMPGPAGQKTLSLTGSQPNATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSQGKLEPEVEDLLLEIADDFIDSVTTFACSLAKHRKSSTLESKDILLHLEKNWRLTIPGFSSEERNQTRPLSSDLHKKRLEMIRALMESSHPEINANNPKEMIRQGLGNPVGANNLMRPSPSSEQLVSQAAGSQMLQQITRY >KJB68262 pep chromosome:Graimondii2_0_v6:10:60564616:60571000:1 gene:B456_010G235100 transcript:KJB68262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTSSTSSPKHLQSPNPLLMDPSSSVPTPPPPSAAASNINPTQTATPLTAPSPTTTPTTNPSIDPSLQNLQQQQQISQISSPPLSNLAQQQQQQQILQQQTQQGVGGLSNFQMQQSLQRSPSISRINQLQQQQQQQQSQQQQQQQSQYGNVLRQQAGLYGQMNFGGSASIQANQQQNQQMGNPNLSRSALIGQSGHLPMLGGAAAAAAAQYNYQSQLLASPRQKAGLVQGSQFHAGNPTGQSLHGMQAMGMMNLSSHLRANGALYAQQQMNQGQMRQQLSQQTQLTSPQVQSLQRTSSQAFINPQLSGLAQNGQPGMMQNSLLQQQLLKQIPSISGPGSTPFRLQRQSQVLLQQQLPFSSPQLQNSMGLNPQQLSQLVQQQSQMGHPQMQQPQQQLQQQLQQQPQQQLQPQQSPLHQQQQQSPRMPGPAGQKTLSLTGSQPNATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSQGKLEPEVEDLLLEIADDFIDSVTTFACSLAKHRKSSTLESKDILLHLEKNWRLTIPGFSSEERNQTRPLSSDLHKKRLEMIRALMESSHPEINANNPKEMIRQGLGNPVGANNLMRPSPSSEQLVSQAAGSQMLQQITRY >KJB68261 pep chromosome:Graimondii2_0_v6:10:60564683:60570301:1 gene:B456_010G235100 transcript:KJB68261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTSSTSSPKHLQSPNPLLMDPSSSVPTPPPPSAAASNINPTQTATPLTAPSPTTTPTTNPSIDPSLQNLQQQQQISQISSPPLSNLAQQQQQQQILQQQTQQGVGGLSNFQMQQSLQRSPSISRINQLQQQQQQQQSQQQQQQQSQYGNVLRQQAGLYGQMNFGGSASIQANQQQNQQMGNPNLSRSALIGQSGHLPMLGGAAAAAAAQYNYQSQLLASPRQKAGLVQGSQFHAGNPTGQSLHGMQAMGMMNLSSHLRANGALYAQQQMNQGQMRQQLSQQTQLTSPQVQSLQRTSSQAFINPQLSGLAQNGQPGMMQNSLLQQQLLKQIPSISGPGSTPFRLQRQSQVLLQQQLPFSSPQLQNSMGLNPQQLSQLVQQQSQMGHPQMQQPQQQLQQQLQQQPQQQLQPQQSPLHQQQQQSPRMPGPAGQKTLSLTGSQPNATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSQGKLEPEVEDLLLEIADDFIDSVTTFACSLAKHRKSSTLESKDILLHLEKNWRLTIPGFSSEERNQTRPLSSDLHKKRLEMVTEIFSTKV >KJB68541 pep chromosome:Graimondii2_0_v6:10:61697444:61702051:-1 gene:B456_010G249200 transcript:KJB68541 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAR1 [Source:Projected from Arabidopsis thaliana (AT4G16440) UniProtKB/TrEMBL;Acc:A0A178V110] MSEKFSPTLRIGDLSDFIAPSQACVVSLKGLKATPKKPEPQVLAGKSQQTEPVKISLKDCLACSGCITSAETVMLEKQSLDEFLSNINKGKAVIVSLSPQSRASLAAHFGIPPLKVFKKLTTFLKSLGVKAVLDTCCIRDLTLIETCHEFIARYKQGQATDDEKSKSSLPMLSSSCPGWICYAEKQLGSYILPYISSVKSPQQSMGAAIKHHICQTMGFRPEEIYHVTVMPCYDKKLEAAREDFVFQAESNDESHADQGVCIPEVDSVLTSGEVLDLIQLKEVDFDALEESPIDRMLANLDEQGHLYGVSGGSGGYAETVFRYAAKVLFGREIDSPLDFRIIRNSDFRELSLEVEGKTVLKFALCYGFQNLQNIVRKVKTRKCDYQFVEVMACPSGCLNGGGQIKPKPGQSPKELIKSLEAIYMENVLEADPFKNPLVKRLYDEWLGHPGSEKAKRHMHTGYHPVVKSVTAQLHNW >KJB68540 pep chromosome:Graimondii2_0_v6:10:61697444:61701932:-1 gene:B456_010G249200 transcript:KJB68540 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAR1 [Source:Projected from Arabidopsis thaliana (AT4G16440) UniProtKB/TrEMBL;Acc:A0A178V110] MSEKFSPTLRIGDLSDFIAPSQACVVSLKGLKATPKKPEPQVFKKLTTFLKSLGVKAVLDTCCIRDLTLIETCHEFIARYKQGQATDDEKSKSSLPMLSSSCPGWICYAEKQLGSYILPYISSVKSPQQSMGAAIKHHICQTMGFRPEEIYHVTVMPCYDKKLEAAREDFVFQAESNDESHADQGVCIPEVDSVLTSGEVLDLIQLKEVDFDALEESPIDRMLANLDEQGHLYGVSGGSGGYAETVFRYAAKVLFGREIDSPLDFRIIRNSDFRELSLEVEGKTVLKFALCYGFQNLQNIVRKVKTRKCDYQFVEVMACPSGCLNGGGQIKPKPGQSPKELIKSLEAIYMENVLEADPFKNPLVKRLYDEWLGHPGSEKAKRHMHTGYHPVVKSVTAQLHNW >KJB63699 pep chromosome:Graimondii2_0_v6:10:881504:883664:1 gene:B456_010G011700 transcript:KJB63699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEKKKRWKLVVPRILKNKSASRCFFQKVKPTNFNPGCAPVYLNVYDLTPMNGYFYWAGLGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFQFRKSIFIGTTSLDPIKVREFMERNSTRYHGDTYHLIVKNCNHFCKDICHRLTGKHIPKWVNRLARIGSMCSCILPEALKTSVVRHDPNYQPFDSEKRRLRCAFSCLSSVSMRQKSSLLLQSPLRGCFPPWDSKKSNNVSC >KJB63698 pep chromosome:Graimondii2_0_v6:10:880390:883664:1 gene:B456_010G011700 transcript:KJB63698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEKKKRWKLVVPRILKNKSASRCFFQKVKPTNFNPGCAPVYLNVYDLTPMNGYFYWAGLGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFQFRKSIFIGTTSLDPIKVREFMERNSTRYHGDTYHLIVKNCNHFCKDICHRLTGKHIPKWVNRLARIGSMCSCILPEALKTSVVRHDPNYQPFDSEKRRLRCAFSCLSSVSMRQKSSLLLQSPLRGCFPPWDSKKSNNVSC >KJB66198 pep chromosome:Graimondii2_0_v6:10:28981956:28985381:1 gene:B456_010G131800 transcript:KJB66198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKATSFTAFLLMSSLFLSSHFSVSKADNSAPIVSGLSWTFYKTSCPKVESIIRKQLQKVFKKDIGQAAGLLRLHFHDCFVQGCDASVLLDGSASGPSEQDAPPNLTLRGFEIINDLRARVHKECGRVVSCADIAALAARDSVYLSGGPDYDVPLGRRDGLSFATRNATLQNLPAPFANATAILSSLATKNFDPTDVVALSGGHTIGISHCSSFTGRLYPTQDTTMDQTFAKNLKQVCPTANSSNTTVLDIRTPNKFDNKYYVDLMNRQGLFTSDQDLYTNSRTRGIVTSFAVNQTLFFEKFVAAMVKMSQLSVLTGKAGEIRANCSVRNANNNSLLASVVEEEARSEY >KJB66418 pep chromosome:Graimondii2_0_v6:10:33437232:33438535:1 gene:B456_010G139600 transcript:KJB66418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRRNSYSTNQSVDGSFQSQTQSSSSLSSLKHLLKKPHALPFLLLLLLLLTWVSLRLQYSSRFRHQQLGQDGHGDDDSKANLVRFKSGLPSAIVKDKRGWLLDPVSLALQFGVRGGAVSCSQVHIGEIRRGDIRGNHRHYSCNETFVIWGAKTKFRVFSFFMEHYFDSSSYVRKAKAKNID >KJB66421 pep chromosome:Graimondii2_0_v6:10:33437232:33440066:1 gene:B456_010G139600 transcript:KJB66421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRRNSYSTNQSVDGSFQSQTQSSSSLSSLKHLLKKPHALPFLLLLLLLLTWVSLRLQYSSRFRHQQLGQDGHGDDDSKANLVRFKSGLPSAIVKDKRGWLLDPVSLALQFGVRGGAVSCSQVHIGEIRRGDIRGNHRHYSCNETFVIWGAKTKFRLENNQMDGRGYAEVTIGEDEVVVAASPSGTAHALVNVDALRNTYFVGCQDDAEVF >KJB66420 pep chromosome:Graimondii2_0_v6:10:33437232:33440027:1 gene:B456_010G139600 transcript:KJB66420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRRNSYSTNQSVDGSFQSQTQSSSSLSSLKHLLKKPHALPFLLLLLLLLTWVSLRLQYSSRFRHQQLGQDGHGDDDSKANLVRFKSGLPSAIVKDKRGWLLDPVSLALQFGVRGLMLTILGGAVSCSQVHIGEIRRGDIRGNHRHYSCNETFVIWGAKTKFRLENNQMDGRGYAEVTIGEDEVVVAASPSGTAHALVNVDALRNTYFVGCQDGMVKSNSSNTDFNVWKNL >KJB66419 pep chromosome:Graimondii2_0_v6:10:33437232:33440027:1 gene:B456_010G139600 transcript:KJB66419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRRNSYSTNQSVDGSFQSQTQSSSSLSSLKHLLKKPHALPFLLLLLLLLTWVSLRLQYSSRFRHQQLGQDGHGDDDSKANLVRFKSGLPSAIVKDKRGWLLDPVSLALQFGVRGGAVSCSQVHIGEIRRGDIRGNHRHYSCNETFVIWGAKTKFRNRNIGIMQ >KJB66417 pep chromosome:Graimondii2_0_v6:10:33437222:33440027:1 gene:B456_010G139600 transcript:KJB66417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRRNSYSTNQSVDGSFQSQTQSSSSLSSLKHLLKKPHALPFLLLLLLLLTWVSLRLQYSSRFRHQQLGQDGHGDDDSKANLVRFKSGLPSAIVKDKRGWLLDPVSLALQFGVRGGAVSCSQVHIGEIRRGDIRGNHRHYSCNETFVIWGAKTKFRLENNQMDGRGYAEVTIGEDEVVVAASPSGTAHALVNVDALRNTYFVGCQDGMVKSNSSNTDFNVWKNL >KJB67747 pep chromosome:Graimondii2_0_v6:10:57357231:57365574:1 gene:B456_010G207700 transcript:KJB67747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSLHKKFLFILFGDLNWIFFKDLNAKKVTMENRISVLPDINLEVGGGNLGGWWRFWEATSKVKALLQRTHNHLLLLHHLFFLKRKRIA >KJB64970 pep chromosome:Graimondii2_0_v6:10:10564892:10570624:1 gene:B456_010G074400 transcript:KJB64970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIIGGKFKLGRKIGSGSFGEIYLATHIDTFEIVAVKIENSRTKHPQLLYEAKLYNILQGGSGIPSIKWSGVDGEENVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSITNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKVSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYIFDWTIIKYQQAQKSRSQHQLSPIPGGSSSRPLPVDVDNHQGGFNATYSTDIIRSNNAPGQGVRMQFKPATGKNLISDTTIDKNIFDPHTPSSSFAPAGTSKRNGQKSTAPPDAANSGHGQGNKIRSSSSLFSSLQRISSAK >KJB64969 pep chromosome:Graimondii2_0_v6:10:10564892:10570654:1 gene:B456_010G074400 transcript:KJB64969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIIGGKFKLGRKIGSGSFGEIYLATHIDTFEIVAVKIENSRTKHPQLLYEAKLYNILQGGSGIPSIKWSGVDGEENVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSITNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKVSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYIFDWTIIKYQQAQKSRSQHQLSPIPGGSSSRPLPVDVDNHQGQGVRMQFKPATGKNLISDTTIDKNIFDPHTPSSSFAPAGTSKRNGQKSTAPPDAANSGHGQGNKIRSSSSLFSSLQRISSAK >KJB64966 pep chromosome:Graimondii2_0_v6:10:10564834:10570646:1 gene:B456_010G074400 transcript:KJB64966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIIGGKFKLGRKIGSGSFGEIYLATHIDTFEIVAVKIENSRTKHPQLLYEAKLYNILQGGSGIPSIKWSGVDGEENVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSITNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKVSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYIFDWTIIKYQQAQKSRSQHQLSPIPGGSSSRPLPVDVDNHQGGFNATYSTDIIRSNNAPGQGVRMQFKPATGKNLISDTTIDKNIFDPHTPSSSFAPAGTSKRNGQKSTAPPDAANSGHGQGNKIRSSSSLFSSLQRISSAK >KJB64968 pep chromosome:Graimondii2_0_v6:10:10564892:10569486:1 gene:B456_010G074400 transcript:KJB64968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIIGGKFKLGRKIGSGSFGEIYLATHIDTFEIVAVKIENSRTKHPQLLYEAKLYNILQGGSGIPSIKWSGVDGEENVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSITNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKVSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYIFDWTIIKYQQAQKSRSQHQLSPIPGGSSSRPLPVDVDNHQGGFNATYSTDIIRSNNAPGQGVRMQFKPATGKNLISDTTIDKNIFDPHTPSSSFAPAGTSKRNGQKSTAPPDAANSGHGQGNKIRSSSSLFSSLQRISSAK >KJB64964 pep chromosome:Graimondii2_0_v6:10:10564836:10570654:1 gene:B456_010G074400 transcript:KJB64964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIIGGKFKLGRKIGSGSFGEIYLATHIDTFEIVAVKIENSRTKHPQLLYEAKLYNILQGGSGIPSIKWSGVDGEENVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSITNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKVSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYIFDWTIIKYQQAQKSRSQHQLSPIPGGSSSRPLPVDVDNHQGGFNATYSTDIIRSNNAPGQGVRMQFKPATGKNLISDTTIDKNIFDPHTPSSSFAPAGTSKRNGQKSTAPPDAANSGHGQGNKIRSSSSLFSSLQRISSAK >KJB64967 pep chromosome:Graimondii2_0_v6:10:10564892:10569486:1 gene:B456_010G074400 transcript:KJB64967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIIGGKFKLGRKIGSGSFGEIYLATHIDTFEIVAVKIENSRTKHPQLLYEAKLYNILQGGSGIPSIKWSGVDGEENVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSITNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKVSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYIFDWTIIKYQQAQKSRSQHQLSPIPGGSSSRPLPVDVDNHQGQGVRMQFKPATGKNLISDTTIDKNIFDPHTPSSSFAPAGTSKRNGQKSTAPPDAANSGHGQGNKIRSSSSLFSSLQRISSAK >KJB64965 pep chromosome:Graimondii2_0_v6:10:10564892:10571779:1 gene:B456_010G074400 transcript:KJB64965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIIGGKFKLGRKIGSGSFGEIYLATHIDTFEIVAVKIENSRTKHPQLLYEAKLYNILQGGSGIPSIKWSGVDGEENVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSITNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKVSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYIFDWTIIKYQQAQKSRSQHQLSPIPGGSSSRPLPVDVDNHQGGFNATYSTDIIRSNNAPGQGVRMQFKPATGKNLISDTTIDKNIFDPHTPSSSFAPAGTSKRNGQKSTAPPDAANSGHGQGNKIRSSSSLFSSLQRISSAK >KJB64048 pep chromosome:Graimondii2_0_v6:10:2754067:2756235:-1 gene:B456_010G031800 transcript:KJB64048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINEESFLEELLALRRENWDTVPTQMNEVFSNGWNFDCFDDMNLSTSLFPTTFCHEFSTALPLEQDLGYFNGVYCPFGDEFSAPQLTDSSNNTFDTPPPFPNMLEEEESGFLADELNKLDVQVPCKAEPFQSPEAPVFNNEAAACLDRKNRGKKMEGQQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYTKELLERIKSLQQETETDSNELNMAHIFKGGKSNEIFVRNTPKFEVERRDGETRIEICCSGKPGLLLSTVTTLEALGLEIQQCVISCFNDFALQASCSQDLEQRTLISCEDIKQALFRNAGYGGRCL >KJB64047 pep chromosome:Graimondii2_0_v6:10:2755289:2756183:-1 gene:B456_010G031800 transcript:KJB64047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINEESFLEELLALRRENWDTVPTQMNEVFSNGWNFDCFDDMNLSTSLFPTTFCHEFSTALPLEQDLGYFNGVYCPFGDEFSAPQLTDSSNNTFDTPPPFPNMLEEEESGFLADELNKLDVQVPCKAEPFQSPEAPVFNNEAAACLDRKNRGKKMEGQQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYTKELLERIKSLQQETETDSNELNMAHIFKGGKSNEIFVRNTPK >KJB68645 pep chromosome:Graimondii2_0_v6:10:62140660:62141346:-1 gene:B456_010G256600 transcript:KJB68645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRDKTAAVNGNGSCKEVYFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDAAAREFRGAKAKTNFPLPFENFNNGNKINSKSPSQVSTVESSSREPTLVIESSPLDLNLGRGTSTGFGSPAFRFPSQKVSPVSGIFTAGGGVPVVASNQVFYLDAFVRPGLVKGQQYQWMRFDHQDFNTASFNGGVQSDSDSSSVVDVNPRPLLNIDLNKPALPEIA >KJB63256 pep chromosome:Graimondii2_0_v6:10:57543944:57546351:-1 gene:B456_010G2090001 transcript:KJB63256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSKLTAMDVGNCFQLHASLVFVAIITFTSNVQRHPLKFLITLSIPSTQAKVFFFDKGHTSMIASDSDYLCNPCQKQHSGPFYSCSLCHFSINVECAWPRSTVEDRNCHQHPFTLLSRQDSFNCDACGTEGNYISYICSTCSLMVHKDCTSLPRIIKFSRHDHCIFHKHFLQTRELTRHDCKICFNEVKLDRGSYSCRKPGCNYVVHVNCVLEDERLYKVIEEEKQWEELEEKSMQSSIIRVIEVNEAGEATKIQHFNHQHCLVLIYKMEEEIDRKCDGCMLPISNIFYYCSECPFFLHKTCAELPRIKQHWFRQSNATLNFNSFKQCDFCYRDCSGFFYKIEKIWNMCSRCAKVADFIECEGHQHFLFFDFKYREKCNGCGADIYRGAFRCGKCRFALDFGCLTLPHSALHKIDEHKLKLTYHDDKEQSYCDICEQYRDPSLWYYSCSICDTSAHPECVLGQFPFLKDGSTVAFYKHSHGHHLKFFRKVEGFPECSKCDKFCQEEIFKCKESTCNYIAHCKCLDFYI >KJB68219 pep chromosome:Graimondii2_0_v6:10:60390112:60390756:-1 gene:B456_010G233000 transcript:KJB68219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRITVSTFKLTVDQLNALKAKAAANSSGTKYSSYNILAAHIWRCVSKARGLSDDQPTKMYFPVDARSKLNPPLPPGYFGNAIFINALVTQAGDLNTESFLDTIKRIHEGLKKINDEYLRSALDYIETVSDLSTLVRGPHTFRCPNLAVNSWLWLPMYEADFGWGRPIHTGPADVSHEGKVLILPTPINDGSLLVATRLGISHMSCFEKLLYEF >KJB63797 pep chromosome:Graimondii2_0_v6:10:1269361:1270773:-1 gene:B456_010G016800 transcript:KJB63797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGCSSSTSSSDTSSSESSRIKGPWSAEEDRVLTRLVERYGARNWSLISRYIKGRSGKSCRLRWCNQLSPDVEHRPFTKAEDETILAAHGVYGNRWATIAMLLPGRTDNAVKNHWNSTLKRRAREQKKEQKGSVVVDEEEVLTALTLSPPGSGGGLTVEGRREEGVTAEFWDAMKGVIASEVREYMSSTLSSNTSKLH >KJB66647 pep chromosome:Graimondii2_0_v6:10:40336229:40340305:-1 gene:B456_010G150000 transcript:KJB66647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFNFFRRLSRAFDDYPSLSKIIVVSTISGGSLIAYAEANAFNGSKGHIAHADAVASNDDRRIASSEQVPKKKKVVLLGTGWGGMSFLKSLNNPNYEVQVVSPRNFFVFTPLLPSVTCGKVEARSIVEPIRNIIRKKNVNISYSEAECVKIDPNNKKIYCRATIDSHSKGEEVFAVDYDYLIIAVGAQVNTFNTPGVMENCHFLKEIDDAQKIRKNVIDSFEKASLPNLSDEERKKILHFVVVGGGPTGVEFAAELHDFVNEDVVKLYPNVQDLVKITLLEATDHILNMFDKRITNFAEQKFGRDGIDVKLGSMVTGINENEISTKVRGNGEKTSTPYGMVLWSTGIGPRPLIKEFMKQIGQGNRRALATDEWLRVEGFGNIYALGDCATVNQRKVMEDISEIFRKADKDNSGTLTVKEFQEIIDDICERYPQVELYLKNKQVRNMVDLLKEAKGDAAKESMELNIEEFKSALSEVDSQMKNLPATAQVANQQGAYLAKCFNRMEECEKNPEGPPRFRGTGRHRFHPFRYRHLGQFAPLGGEQTAAQLPGDWVSIGHSEQWLWYSVYASKQVSWRTRALVVSDWIRRFIFGRDTSGI >KJB66719 pep chromosome:Graimondii2_0_v6:10:42177033:42179715:1 gene:B456_010G153900 transcript:KJB66719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKHQPKPVLFILVFIILSSHSVLSLDFFFNGFNSTNLFLYGIAQIDSRILTLTNETSFAIGRALYSSKIPTKTPNSSHVLPFSTSFIFSMAPSKNKDTLPGHGLVFIFTPNTGINGTTASQNLGLFNLSNNGDPTNHVFGVEFDVFANQEFDDIDDNHVGIDINSLTSTTSHTAGYYSDFNEDGDQGFEKLKLNNGKNYQVWIDYEDSVINVTMAPVGVKRPKRPLLNVPLNLSDVLEDEMYVGFTSSTGRLVQSHRILSWSFSNSNFSLNERLITTGLPSFVIPKTPFHKRRSFIAGVTVGSFVVLVLIALFSLFLIKRERRRARERAEMEDWEFEYWPHRMTYQEIDAATKGFSDDNVIGFGGNGKVYKGVLPGGIEIAVKRISHENDGMKEFLAEISSLGRLKHRSLVGLKGWCKKEKGTFMLIYDYMENGSLDKRVYYDCDETNILTCEERIRILKDVASALLYLHEGWEAKVLHRDIKASNVLLDKDMNGRLGDFGLARMHGHSQVATTTRVVGTVGYLAPEVVRNGRASTQTDVFGFGVLILEVMCGRRPIQDGKPPLVDWVWQLMMQGELLTAVDARLKGNEGFNEEEVKKVLHLGLLCSYPNPDSRPTMRQVVIVFEGKNEGLESETEDMEAHLLAKVKSRDMWANYSQNFGYASHPTFDDIRQSNSSSMSLSWNNTIVDGR >KJB67182 pep chromosome:Graimondii2_0_v6:10:52631026:52633019:1 gene:B456_010G180300 transcript:KJB67182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSNLTMWVSSKPSLSDTSSLSFRSFISPFQLPSQSSTPGNPSRSSSVTPVHCGLRELRDRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVNGRPFSESLVEVLYNINEQLQTEDIDAPLTNVRPVKKVALVVVTGDRGLCGGFNNAIIKKAEARIAELKQLGLDYTIISVGKKGNSYFIRRPYIPVDRFLDGSSLPTAKEAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDVNGVCVDAAEDEFFRLTTREGKLTVEREVMRTQTADFSPILQFEQDPVQILDALLPLYLNSQVLRALQESLASELAARMSAMSNATDNAQELKKTLSIVYNRQRQAKITGEILEIVAGANALV >KJB67183 pep chromosome:Graimondii2_0_v6:10:52631076:52632582:1 gene:B456_010G180300 transcript:KJB67183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSNLTMWVSSKPSLSDTSSLSFRSFISPFQLPSQSSTPGNPSRSSSVTPVHCGLRELRDRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVNGRPFSESLVEVLYNINEQLQTEDIDAPLTNVRPVKKVALVVVTGDRGLCGGFNNAIIKKAEARIAELKQLGLDYTIISVGKKGNSYFIRRPYIPVDRFLDGSSLPTAKEAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDVNGVCVDAAEDEFFRLTTREGKLTVEREVMRTQTADFSPILQFEQDPVQILDALLPLYLNSQVLRALQESLASELAARMSAMSNATDNAQELKKTLSIVYNRQRQAKITGEILEIVAGANALV >KJB64298 pep chromosome:Graimondii2_0_v6:10:3896572:3901080:-1 gene:B456_010G041700 transcript:KJB64298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDTSDSSSYFDGREPDELIRALVQNWPGTDGKMTVGLHFLDLESNKVFIKAMPPDAPFCSTAVACGDHVYVIGGIRQNDATLFDYDGVNDVFQLDLKDLERGWRKTTSMLFPRFLPRVVAAEGKIFVFEYMGSESFGEVYDISGDIWEPLSPPPEDIDLCVPVLDSSRSRILVHCNANDTLYAYYYDPTIVDDVLYTAIYNYSDKFRSLEAYNLLDKKHLPVKWSSEFSVDPHGTLYRLGNGKCIFVWFNHLDKSFEYIRFNIWCNEQGGIHAAAEHQSAISVPYPKDISDINDVYLVTTLEPIPREAFTLQQPSTVSVPPLDNLSQFQ >KJB64604 pep chromosome:Graimondii2_0_v6:10:6434138:6439536:-1 gene:B456_010G056600 transcript:KJB64604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCSSRQGSNPSWGRLNRRPKRRSRLSSFFICGTSSSHAPLEMEDYPAEILVKSADHSGPVSNVVQSPLDESALICSMGTRFSRIDSETGIPAESSSAASQNSSVEGGSRDVETSHCRKCLTENTELVASRVDAGYNRGESHKESSTSASTPFIEQQSSDPVSENLSTNEGAVRFENANKGVSEVCPEPSILSPRGLEDSNLHRIPVENESGEVTTVTNSGFASAPHASEPETSHSIGGESIIEVMPSGLGFLLSNRERSQGDGSVLHVDLVSLSSNILSGGTADTSNRESRRNSRRMFSDAFSRRSSRRVNNSQSIFLSTDDNNDPGFDNRWLLDFDGDFFYDGAAGDSGYLSSRIHRLNERRRHSRLENWLRLHGGHDENHRRTTFCPSGIHPDGTCSCDSSLMTDEPSARVSISRIVMLAEALFEVLHRQPVSLSLSMVSPPAPESVVDSFPLRSHNRKANVAEDGDAVEQCHICLGEYEEGDQIRILPCQHEFHMSCVDKWLKEIHGVCPLCRGDVRHGGGESSVSNSEIPSL >KJB64603 pep chromosome:Graimondii2_0_v6:10:6434138:6438151:-1 gene:B456_010G056600 transcript:KJB64603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYPAEILVKSADHSGPVSNVVQSPLDESALICSMGTRFSRIDSETGIPAESSSAASQNSSVEGGSRDVETSHCRKCLTENTELVASRVDAGYNRGESHKESSTSASTPFIEQQSSDPVSENLSTNEGAVRFENANKGVSEVCPEPSILSPRGLEDSNLHRIPVENESGEVTTVTNSGFASAPHASEPETSHSIGGESIIEVMPSGLGFLLSNRERSQGDGSVLHVDLVSLSSNILSGGTADTSNRESRRNSRRMFSDAFSRRSSRRVNNSQSIFLSTDDNNDPGFDNRWLLDFDGDFFYDGAAGDSGYLSSRIHRLNERRRHSRLENWLRLHGGHDENHRRTTFCPSGIHPDGTCSCDSSLMTDEPSARVSISRIVMLAEALFEVLHRQPVSLSLSMVSPPAPESVVDSFPLRSHNRKANVAEDGDAVEQCHICLGEYEEGDQIRILPCQHEFHMSCVDKWLKEIHGVCPLCRGDVRHGGGESSVSNSEIPSL >KJB64605 pep chromosome:Graimondii2_0_v6:10:6434163:6437778:-1 gene:B456_010G056600 transcript:KJB64605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYPAEILVKSADHSGPVSNVVQSPLDESALICSMGTRFSRIDSETGIPAESSSAASQNSSVEGGSRDVETSHCRKCLTENTELVASRVDAGYNRGESHKESSTSASTPFIEQQSSDPVSENLSTNEGAVRFENANKGVSEVCPEPSILSPRGLEDSNLHRIPVENESGEVTTVTNSGFASAPHASEPETSHSIGGESIIEVMPSGLGFLLSNRERSQGDGSVLHVDLVSLSSNILSGGTADTSNRESRRNSRRMFSDAFSRRSSRRVNNSQSIFLSTDDNNDPGFDNRWLLDFDGDFFYDGAAGDSGYLSSRIHRLNERRRHSRLENWLRLHGGHDENHRRTTFCPSGIHPDGTCSCDSSLMTDEPSARVSISRIVMLAEALFEVLHRQPVSLSLSMVSPPAPESVVDSFPLRSHNRKANVAEDGDAVEQCHICLGEYEEGDQIRILPCQHEFHMSCVDKWLKEIHGYCYTFPAPCVVFLSPSLSGTYFFFSSFLLLVCRVCPLCRGDVRHGGGESSVSNSEIPSL >KJB64378 pep chromosome:Graimondii2_0_v6:10:5006168:5009507:-1 gene:B456_010G046500 transcript:KJB64378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVEIAKALARPASYPVKYFGCELGAQSKFDEKTGTSLVNGAHETAKLAGLLENFIKKYVQCYGCGNPETEIVITKTQMITLKCAACGFISDVDMRDKLTTFILKNPPEAKKSSKDKKAMRRAEKERLKEGEAADEELKKIKKETKKKGSTTTTKVAAAKGGVTKKKGKHSDEDHSPEHSQADENERVASDDDDDDVQWQTDTSLAAAQQRIQEQLSAVTADMVMLSTDEEKKKSVKKSPEPETKVHENGVGAHDKLVDEIKEYFKKGSSPNQLKSFLGSLTGTSKEVMDAQFIALFNDVGKGFAKEVTKKKNYIAAAVAAIKEERSQLVLLNSIESFCSKASPEAAKEVALVLKVLYDDDILEEEFIMEWYQKGIAGSNKGSQIWKNLKPFIEWLQTAESESEDE >KJB63918 pep chromosome:Graimondii2_0_v6:10:1930381:1931196:-1 gene:B456_010G024200 transcript:KJB63918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVLVLFLLVSLALSSCFFEVSIAGSDFCDSKCAVRCSKAGVQDRCLKYCGICCEKCHCVPSGTFGHKDECPCYRDMKNSKGKSKCP >KJB63870 pep chromosome:Graimondii2_0_v6:10:1658251:1659517:-1 gene:B456_010G021200 transcript:KJB63870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVHYIQKGLLFTKPASGDYCEQNLINNVLVRLKRSLSITLVHFYPLAGRFATKIEQNPKSHFVCVDCNNSPGAKFIHAAVDMSVYDIVSPTYVPLVVQAFFDHDRAINYEGHTRPLLSIQVTELIDEVFIGCSMNHVIADGTTFWHFFNTFSPVLERWFPEGNNGPLLILPFTHQDEFITRFETPHVLERILHFSAESIAKLKEKANTESNTTKTRRLPCEIVTRCTLAINNRSRLEPPLSPNYFANSFQTVTAMTTAGELLEHGLGWAAWKLNQAVVNHTDKSVRGFVKDWLQSPFVYQSSPHLYARSLIIGSSPRFNMYGNEFGLGKALTLRSGYGNKFDGKISPYPGRE >KJB66659 pep chromosome:Graimondii2_0_v6:10:40517773:40519184:1 gene:B456_010G150800 transcript:KJB66659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCVSTNTGSLRAKESHLQVGVESKAPPPSAEEETVKEVLSETPKPKAPIFTPQQGESKQTQIEKPVFVKIQEKESLNFNVKTKPKSPVLEESASEEVSEICSVSLSESLSTVTDRRDEEDEVRQLKVFRSAARPGSRNRVVGRKLDQSPNKRNGVVNGGSSVRLVQSKGGSPVKRGSRPDPPRKDPDESSGRRSRSPAVNRAVMGRSSSGGRTIHSPGRVRRDPGEQQQHVTTTTMEGEWPSSNNNGATTSAPNESLENPLVSLECFIFL >KJB66593 pep chromosome:Graimondii2_0_v6:10:38315762:38316689:-1 gene:B456_010G1457002 transcript:KJB66593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNEANTNRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVLLLEIISGKRNNGFHLSESLLTFAWKLWSKGEGMELLDKNLVESSVPNEVLKCIQIGLLCVQSDPADRPTMSTVVAMLGSETITVPLPAKPAFYVGRFIAESVQPNSSDKICSVNEVTISNMSPR >KJB64207 pep chromosome:Graimondii2_0_v6:10:3455469:3461205:1 gene:B456_010G037100 transcript:KJB64207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAHRNSDEYHQPLLTEPSSNDEETILRDEDGVEGKDLRTRLWIETKKLWAIVGPSIISRVAGYSMNIITQAFAGHLGDVELAAISIANTVIVGFNFGLLLGMASALETLCGQAFGAKEYHMLGIYMQRSWIVLLLCCFLLLPFYVFATPVLKLLGQPDDVAEMSGVAAIWMIPLHFSFAFQFPLQRFLQSQSKTAVLAYVSFVALGVNVLTSWVFVNVLDWGVIGASLALDISWWVGALGLYSYTVLGGCPLSWTGYSMEAFTGLWEFLKLSAASGVMLCLENWYYRILILMTGYLSNATLAVDALSVCMSINGWELMIPLAFLAATGVRVANELGAGNWEAAKFATKVSIVQSTIVGLFFCVIVLVLRDKVALIFTSSNDVLEEVDKLSYLLGVTILLNSVQPVLSGVAIGSGWQATVAYINLGCYYIIGLPLGILMGWVFKLGVPGIWGGMILGGTAIQTVILAIFTIQRDWEKEAEKAKQRVKRWAK >KJB68104 pep chromosome:Graimondii2_0_v6:10:59756229:59759663:-1 gene:B456_010G225700 transcript:KJB68104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSVQCSFENILLRGWDFIVGHANYVCKLKQTLPTLSSALQELRAQRNDVRRQVDLAEQRLLKPFEQIQLWLSKAETMITEAEELVSNGPQQMNNLYLGGCISKNCLSSYKFGKKVAKMLQEISDHKSKGAFEKVAENQPAASVVVRPIEQPVALESTIQKVWSCIVETDVGIIGLYGLGGVGKTTLLTKLNNKFSTTPNGFDVVIWALVSKDYNVGKIQERIGGNLGFSDDSWKNKSVDQKVTDIYGVLRNKRFVVLLDDLWDRVDLNQVGIPKPSQENGSKLIFTARSLEVCGEMGAQKKIKVECLEPGKAWELFQDKVGDEALNSHPDIPNLAKQVTERCGGLPLALITIGRAMACKTTLGEWNYAIEMLKRCALPKMENEVFPLLKFSYDNLPNATMKCCLLYCCLYPEDYCIPKKRLVEYWFCEGLLNGFDRISEAQMQGDHIIYSLISACLLENVGEIDGEDCVKMHDVIRDMALWITREFEATENNFFGKIGAQVFEEPNVKAWENIKRISMMENKIEVLKETPKCPNLRTLFLSQNELQVISDGFFQFIPHLTVLDLSGNLRLRALPVGISQLVCLECFNLSYTGIEELPAELKSLTKLKMLDLSYMHNLKKIPQHVISNFTKLQIFRMWLVQNRDYPNEDNVLYGGNEKLMEELRGLQHLNILSIPIKSMFCLERFLNINLFLCWTQALQLCDFRDSKIYNILCLKNLECLQTLSFSNCENMEEMKMEKLLINASCHHTLSTVEIYECDKLKDMSWLILAPNLRNLKIYLCDKMEEILNEGKLGEVAGVIGNPYAKSFFKLETPCLFWLPKLKSIYWDVLLFPCLKLIRINACRELKKLPLHSDSAKGNQLSIEGSKDWWATVEWENEATRDAFLPSFKSVPT >KJB68105 pep chromosome:Graimondii2_0_v6:10:59756419:59759106:-1 gene:B456_010G225700 transcript:KJB68105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSVQCSFENILLRGWDFIVGHANYVCKLKQTLPTLSSALQELRAQRNDVRRQVDLAEQRLLKPFEQIQLWLSKAETMITEAEELVSNGPQQMNNLYLGGCISKNCLSSYKFGKKVAKMLQEISDHKSKGAFEKVAENQPAASVVVRPIEQPVALESTIQKVWSCIVETDVGIIGLYGLGGVGKTTLLTKLNNKFSTTPNGFDVVIWALVSKDYNVGKIQERIGGNLGFSDDSWKNKSVDQKVTDIYGVLRNKRFVVLLDDLWDRVDLNQVGIPKPSQENGSKLIFTARSLEVCGEMGAQKKIKVECLEPGKAWELFQDKVGDEALNSHPDIPNLAKQVTERCGGLPLALITIGRAMACKTTLGEWNYAIEMLKRCALPKMENEVFPLLKFSYDNLPNATMKCCLLYCCLYPEDYCIPKKRLVEYWFCEGLLNGFDRISEAQMQGDHIIYSLISACLLENVGEIDGEDCVKMHDVIRDMALWITREFEATENNFFGKIGAQVFEEPNVKAWENIKRISMMENKIEVLKETPKCPNLRTLFLSQNELQVISDGFFQFIPHLTVLDLSGNLRLRALPVGISQLVCLECFNLSYTGIEELPAELKSLTKLKMLDLSYMHNLKKIPQHVISNFTKLQIFRMWLVQNRDYPNEDNVLYGGNEKLMEELRGLQHLNILSIPIKSMFCLERFLNINLFLCWTQALQLCDFRDSKIYNILCLKNLECLQTLSFSNCENMEEMKMEKLLINASCHHTLSTVEIYECDKLKDMSWLILAPNLRNLKIYLCDKMEEILNEGKLGEVAGVIGNPYAKSFFKLETPCLFWLPKLKSIYWDVLLFPCLKLIRINACRELKKLPLHSDSAKGNQLSIEGSKDWWATVEWENEATRDAFLPSFKSVPT >KJB65839 pep chromosome:Graimondii2_0_v6:10:22469858:22473618:1 gene:B456_010G115800 transcript:KJB65839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMFAAENGLKGDPRLQAISNAIRVVPHFPKQGVEARGFMFGPTIALAIGAKFVPLRKPKKLPGEVIAEAYELEYGSDCLEMHVGAVEAGERAIVIDDLVATGGTLSAAISLLERVGAEVVECACVIGLREVKGQRRLNGKPLYILVEPREIDSC >KJB65838 pep chromosome:Graimondii2_0_v6:10:22469821:22473618:1 gene:B456_010G115800 transcript:KJB65838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMFAAENGLKGDPRLQAISNAIRVVPHFPKQGIMFQDITTLLLDHKAFKNTVDIFVDRYKDMNISVVAGEVIAEAYELEYGSDCLEMHVGAVEAGERAIVIDDLVATGGTLSAAISLLERVGAEVVECACVIGLREVKGQRRLNGKPLYILVEPREIDSC >KJB65837 pep chromosome:Graimondii2_0_v6:10:22469786:22473805:1 gene:B456_010G115800 transcript:KJB65837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMFAAENGLKGDPRLQAISNAIRVVPHFPKQGIMFQDITTLLLDHKAFKNTVDIFVDRYKDMNISVVAGVEARGFMFGPTIALAIGAKFVPLRKPKKLPGEVIAEAYELEYGSDCLEMHVGAVEAGERAIVIDDLVATGGTLSAAISLLERVGAEVVECACVIGLREVKGQRRLNGKPLYILVEPREIDSC >KJB65893 pep chromosome:Graimondii2_0_v6:10:23207195:23209147:1 gene:B456_010G117700 transcript:KJB65893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQRYRHFPPFPSCFRPSAAADNRHMPSPQSAAKTSLATSLYDTNIGLFSLTWSRTFLGHSLHLHQHHHRLPSSPLSVLSSSALHFHLNIKSFAFWKKKGCKKLTSATVPNVKIFWDLSRAKFGSGPEPDSGFFVGVVVDGEMTLLVGDATKEAYTRTRAQNPGSRGSQTLVLRKEHVLGNRVYNTKARFGGKLRDISIDCRVNEDARLCLSVDNKRVLQIKRLKWKFRGNERIEVDGVWIGVSWDVYSWLSDKDGNNGRPAVFIFKFENQGTETMEDPFKEGVVLGQQSPCSDGIEWKKKRRSLLRSARSSSSSSISMSSASSGCSSSVMEWESVEESELCAPMGFSLLVYAWRN >KJB66337 pep chromosome:Graimondii2_0_v6:10:31416892:31419536:-1 gene:B456_010G136500 transcript:KJB66337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILAGIGVLLPFPFYYLLWTYPQSWVNLCGKGRDPSKVMALVSHFIKLIQFVSLFSVSSFSWPPPLYFWPLFAFGQFLNFRVYQLLGESGTYYGVRFGKNIPWVREFPFGFIKDPQYVGSIMSLVACLSWVPFQYILLWSLGYLFMIHIESTEDVTTRAKPFS >KJB66338 pep chromosome:Graimondii2_0_v6:10:31416679:31419611:-1 gene:B456_010G136500 transcript:KJB66338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILAGIGVLLPFPFYYLLWTYPQSWVNLCGKGRDPSKVMALVSHFIKLIQFVSLFSVSSFSWPPPLYFWPLFAFGQFLNFRVYQLLGESGTYYGVRFGKNIPWVREFPFGFIKDPQYVGSIMSLVACLSWVPFQYILLWSLGYLFMIHIESTEDVTTRAKPFS >KJB66357 pep chromosome:Graimondii2_0_v6:10:31944132:31946661:-1 gene:B456_010G137700 transcript:KJB66357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCYPPLPVVTSRSSPLRRGLLAFVIVGSVGGFMGICSIIYCLWTGVCCGRKKVHNSVQPRITRVGSNGGPGSNNSSLSRSFTIRRYSSRAMKRQRSGTSIKHANRAEEFTLSELAAATNDFSPENKISAGSFGIIYKGKLWDGRKVTIKRGETSQKIKKFQEKETAFESELAFLSRLHHKHLVRLVGYCDEKDQRLLVYEYTKNGAVHDHLHDKNNVVKTRIKYLHNYVVPPIIRRDIKSSNILLDMNWTAKVSDFRHSLMDPESDQNYKPMKAVGTVGYIDPEYYGLNVLTTKSDVYGLGVVMLELLTGKRAIFKNDDNGGTPISLVDYAVPAIMAGELVKSKILVVNGFWVDWGVREGSKSFGGKWEGIKVLREKVGPPEFNETEALELMAYIAMHCVNCEGKERPIIGDIVSNLERAFNVGDGSHGSISSGAFSFVSD >KJB64346 pep chromosome:Graimondii2_0_v6:10:5054084:5058891:-1 gene:B456_010G046900 transcript:KJB64346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIDTPTITENGSTQLQAAAPSGGDHKPPASANGAQVEVSPEPEATKKRRSSMLPLEVGTRVMCRWRDGKYHPVKVIERRKMPYAVPYDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVEVGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDSLKLYFCEFCLNFMKRKEQLQRHMEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQS >KJB64345 pep chromosome:Graimondii2_0_v6:10:5053956:5058937:-1 gene:B456_010G046900 transcript:KJB64345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIDTPTITENGSTQLQAAAPSGGDHKPPASANGAQVEVSPEPEATKKRRSSMLPLEVGTRVMCRWRDGKYHPVKVIERRKMPYAVPYDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVEVGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDSLKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQS >KJB64347 pep chromosome:Graimondii2_0_v6:10:5054155:5058857:-1 gene:B456_010G046900 transcript:KJB64347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIDTPTITENGSTQLQAAAPSGGDHKPPASANGAQVEVSPEPEATKKRRSSMLPLEVGTRVMCRWRDGKYHPVKVIERRKMPYAVPYDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVEVGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDSLKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAF >KJB67692 pep chromosome:Graimondii2_0_v6:10:57283800:57287338:-1 gene:B456_010G207200 transcript:KJB67692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKLKHELIFNSFKTIDGRGVNVHITGNGCLTLQYVSHIIIHNIHVHHCKPSGNTDIASSPTHVGRRGRSDGDGISIFGSQKIWVDHCSLSYCTDGLVDAVMGSTGITISNSYFAHHDEVMLLGHDDRYLPDSGMQVTIAFNVFGVGLVQRMPRCRRGYIHVVNNDFTSWQMYAIGGSGNPTINSQGNRYSAPGDPSAKEVTKRVDTDEKDWTGWNWRTDGDIMVNGAYFVPSGAGVMTQYVKASSVEPKSATLVEQLTSNAGVFGETREETGSYSYPGYTGTTNAGSGGRGGSSGNDGDFFGMIFGSGAPPLPPSRLIASIFLSFLIILFLHSTTHQGVLPLLLL >KJB67694 pep chromosome:Graimondii2_0_v6:10:57283723:57288412:-1 gene:B456_010G207200 transcript:KJB67694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFTCILFMCILASVSRATFNLSLPHQHPHPESVVLDVQWSLNASLSRRQTLSENTKDQCRTGNPIDDCWRCDPNWFNNRQRLADCSIGFARGTLGGKGGRIYTVTDSSDHDTVNPKPGTLRHAVIQDEPLWIVFSTNMVIKLKHELIFNSFKTIDGRGVNVHITGNGCLTLQYVSHIIIHNIHVHHCKPSGNTDIASSPTHVGRRGRSDGDGISIFGSQKIWVDHCSLSYCTDGLVDAVMGSTGITISNSYFAHHDEVMLLGHDDR >KJB67691 pep chromosome:Graimondii2_0_v6:10:57283723:57288412:-1 gene:B456_010G207200 transcript:KJB67691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFTCILFMCILASVSRATFNLSLPHQHPHPESVVLDVQWSLNASLSRRQTLSENTKDQCRTGNPIDDCWRCDPNWFNNRQRLADCSIGFARGTLGGKGGRIYTVTDSSDHDTVNPKPGTLRHAVIQDEPLWIVFSTNMVIKLKHELIFNSFKTIDGRGVNVHITGNGCLTLQYVSHIIIHNIHVHHCKPSGNTDIASSPTHVGRRGRSDGDGISIFGSQKIWVDHCSLSYCTDGLVDAVMGSTGITISNSYFAHHDEVMLLGHDDRYLPDSGMQVTIAFNVFGVGLVQRMPRCRRGYIHVVNNDFTSWQMYAIGGSGNPTINSQGNRYSAPGDPSAKEVGTGEQMGT >KJB67690 pep chromosome:Graimondii2_0_v6:10:57283703:57288526:-1 gene:B456_010G207200 transcript:KJB67690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFTCILFMCILASVSRATFNLSLPHQHPHPESVVLDVQWSLNASLSRRQTLSENTKDQCRTGNPIDDCWRCDPNWFNNRQRLADCSIGFARGTLGGKGGRIYTVTDSSDHDTVNPKPGTLRHAVIQDEPLWIVFSTNMVIKLKHELIFNSFKTIDGRGVNVHITGNGCLTLQYVSHIIIHNIHVHHCKPSGNTDIASSPTHVGRRGRSDGDGISIFGSQKIWVDHCSLSYCTDGLVDAVMGSTGITISNSYFAHHDEVMLLGHDDRYLPDSGMQVTIAFNVFGVGLVQRMPRCRRGYIHVVNNDFTSWQMYAIGGSGNPTINSQGNRYSAPGDPSAKEVTKRVDTDEKDWTGWNWRTDGDIMVNGAYFVPSGAGVMTQYVKASSVEPKSATLVEQLTSNAGVFGETREETGSYSYPGYTGTTNAGSGGRGGSSGNDGDFFGMIFGSGAPPLPPSRLIASIFLSFLIILFLHSTTHQGVLPLLLL >KJB67693 pep chromosome:Graimondii2_0_v6:10:57283723:57288412:-1 gene:B456_010G207200 transcript:KJB67693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFTCILFMCILASVSRATFNLSLPHQHPHPESVVLDVQWSLNASLSRRQTLSENTKDQCRTGNPIDDCWRCDPNWFNNRQRLADCSIGFARGTLGGKGGRIYTVTDSSDHDTVNPKPGTLRHAVIQDEPLWIVFSTNMVIKLKHELIFNSFKTIDGRGVNVHITGNGCLTLQRGRSDGDGISIFGSQKIWVDHCSLSYCTDGLVDAVMGSTGITISNSYFAHHDEVMLLGHDDRYLPDSGMQVTIAFNVFGVGLVQRMPRCRRGYIHVVNNDFTSWQMYAIGGSGNPTINSQGNRYSAPGDPSAKEVTKRVDTDEKDWTGWNWRTDGDIMVNGAYFVPSGAGVMTQYVKASSVEPKSATLVEQLTSNAGVFGETREETGSYSYPGYTGTTNAGSGGRGGSSGNDGDFFGMIFGSGAPPLPPSRLIASIFLSFLIILFLHSTTHQGVLPLLLL >KJB67695 pep chromosome:Graimondii2_0_v6:10:57286219:57288412:-1 gene:B456_010G207200 transcript:KJB67695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFTCILFMCILASVSRATFNLSLPHQHPHPESVVLDVQWSLNASLSRRQTLSENTKDQCRTGNPIDDCWRCDPNWFNNRQRLADCSIGFARGTLGGKGGRIYTVTDSSDHDTVNPKPGTLRHAVIQDEPLWIVFSTNMVIKLKHELIFNSFKTIDGRGVNVHITGNGCLTLQYVSHIIIHNIHVHHCKPSGNTDIASSPTHVGRRGRSDGDGISIFGSQKIWVDHCSLSYCTDGLVDAVMGSTGITISNSYFAHHDEVMLLGHDDRYIFPFPLA >KJB63265 pep chromosome:Graimondii2_0_v6:10:61379541:61381988:1 gene:B456_010G2446001 transcript:KJB63265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FKMREPHMCTVLCRITLDAKTAKQFKEKIDDEYRVNMILDNLPLVVPVRRLDQDSSTVYQLGYHVGLKGQYSGSKEEKYFIHNHLAFTVKYHRDPQTDSARIVGFEVKPYSIKHEYEGKWNEKSRLTTCDPHNKRTVVNSNTPQEVEAKKEIIFTYDVEYQESDVKWASRWDAYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFLGMVLVTMIFAVFGFLSPSNRGGLMTAMLLLWVFMGIFAGYASARLYKMFKGAEWKKLAFRTACMFPGIVFAIFFVLNAIIWGQKSSGAVPFGTMFALVLMWFGISVPLVFVGGYVGFKKPAIEDPVKTNKIPRQIPEQAWYMNPTFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILLITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGLLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >KJB63267 pep chromosome:Graimondii2_0_v6:10:61380568:61382011:1 gene:B456_010G2446001 transcript:KJB63267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESDVKWASRWDAYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFLGMVLVTMIFAVFGFLSPSNRGGLMTAMLLLWVFMGIFAGYASARLYKMFKGAEWKKLAFRTACMFPGIVFAIFFVLNAIIWGQKSSGAVPFGTMFALVLMWFGISVPLVFVGGYVGFKKPAIEDPVKTNKIPRQIPEQAWYMNPTFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILLITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGLLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >KJB63264 pep chromosome:Graimondii2_0_v6:10:61378348:61382011:1 gene:B456_010G2446001 transcript:KJB63264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSRSMNRLTATIIWPILFLFMIHAAHCFYLPGVSPEDFQKGDPLKVKVNKLTSIKTQLPYSYYSLPFCPPKKIVDSAENLGEVLRGDRIENSPYVFKMREPHMCTVLCRITLDAKTAKQFKEKIDDEYRVNMILDNLPLVVPVRRLDQDSSTVYQLGYHVGLKGQYSGSKEEKYFIHNHLAFTVKYHRDPQTDSARIVGFEVKPYSIKHEYEGKWNEKSRLTTCDPHNKRTVVNSNTPQEVEAKKEIIFTYDVEYQESDVKWASRWDAYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFLGMVLVTMIFAVFGFLSPSNRGGLMTAMLLLWVFMGIFAGYASARLYKMFKGAEWKKLAFRTACMFPGIVFAIFFVLNAIIWGQKSSGAVPFGTMFALVLMWFGISVPLVFVGGYVGFKKPAIEDPVKTNKIPRQIPEQAWYMNPTFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILLITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGLLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >KJB63268 pep chromosome:Graimondii2_0_v6:10:61380568:61381988:1 gene:B456_010G2446001 transcript:KJB63268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESDVKWASRWDAYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFLGMVLVTMIFAVFGFLSPSNRGGLMTAMLLLWVFMGIFAGYASARLYKMFKGAEWKKLAFRTACMFPGIVFAIFFVLNAIIWGQKSSGAVPFGTMFALVLMWFGISVPLVFVGGYVGFKKPAIEDPVKTNKIPRQIPEQAWYMNPTFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILLITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGLLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >KJB63266 pep chromosome:Graimondii2_0_v6:10:61380182:61381988:1 gene:B456_010G2446001 transcript:KJB63266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKHEYEGKWNEKSRLTTCDPHNKRTVVNSNTPQEVEAKKEIIFTYDVEYQESDVKWASRWDAYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFLGMVLVTMIFAVFGFLSPSNRGGLMTAMLLLWVFMGIFAGYASARLYKMFKGAEWKKLAFRTACMFPGIVFAIFFVLNAIIWGQKSSGAVPFGTMFALVLMWFGISVPLVFVGGYVGFKKPAIEDPVKTNKIPRQIPEQAWYMNPTFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILLITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGLLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >KJB66873 pep chromosome:Graimondii2_0_v6:10:46840413:46842617:1 gene:B456_010G162400 transcript:KJB66873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENNGNHGVVLNVNAEANQNPPPSTSKTKDSDVGFTVPFMQKLMAEVLGTYFLIFAGCASVVVNVNNEKVVSLTGISIVWGLAVMVLVYSVGHISGAHFNPAVTIAFATCKRFPLKQVPAYISAQVLGSTMAAGTLRLLFSGPHDVFAGTSPQGSDFQAFVIEFIITFYLMFIISGVATDNRAIGELAGLAVGATVLLNVLFAGPITGASMNPARSLGPAIVWNHYKGIWVYLTSPIIGAVSGAWVYNMVRYTDKPLREITKTASFLNSSRNCG >KJB67722 pep chromosome:Graimondii2_0_v6:10:57215582:57218046:1 gene:B456_010G206100 transcript:KJB67722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKNENENESHDESVQQIQHPFHKEHPLVLVAEQSNKGLKAHCHGCGELLSAPCFTCIHCNYHLHKQCAEAPIKIHNHPLHPKHSGIGLFLRQRPISSSRVYGCALCKEKRNIQLSKHDIHQHPLTFIESPMAIDVLKRLNCCWCHEPLTDAIFFCFDCPSFIIHKKCLDELPTEIDHPTHRLHPLILNRSNSDYLCNLCQKQQSGPFYSCSLCHFNINVECAWPRSTVEDKSCHQHLFSLLWRQGSFICDACGTEGNYISCICLKCCIEVHKKCTSLPHIIKFSRHDHCIFHKYFLQTQELTKQDCKICFNEVRLERGSYSCVKQGCNYVVHVNCVLEDEELYELIEDEKQCEELEEKSMQSSIIRVIEVNEAGEATKIQHFSHQHCLVLADKMEEEIDRKFDGCMLPISNIFYYCSECPFFLHKTCAELPRIKQHWFHQSNATLNFDSFKKCNFCSQYSSVADIIECEGHQHFLFFDFKYKEKCNGCGIRCWRGAFRCGKCRFALDFGCLTLPHSALQKIDEHKLKLTYHDDNEQSYCDICEQYRDPRLWYYSCSICDTSAHPECVLGQFPFLKDGSTIAFYKHSHGHHLKFFRKVEGFPKCSKCSKFCQEEIFKCKESTCDYIVHCKCLDFYV >KJB66091 pep chromosome:Graimondii2_0_v6:10:26981829:26983602:1 gene:B456_010G126900 transcript:KJB66091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCITLSLSSKPCIGVCNGSLQSPKSMVTTARTEVITAPKRPNTFPGLTKQGISDIDLRVQEVVRRQSWTNSLVGEVNCRKPRFEPMFIDDAYDKCRNICAEYAKTFYLGTLLMTKERQKAIWAIYVWCRRTDELVDGLNAEYMSPAVLERWEERLQDIFEGRPYDMLDAALSDTVCNFPLDTKPFRDMIEGMRMDTRKSRYESFQELYLYCYYVAGTVGLMSVPVMGIAPESSASAYTIYNAALYLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLTDKDVFSRKVWSSLMLYRKILDAIEDNDYDNLTKRAYVGRTKKLLTLPLAYTRALSKPSLYLR >KJB66090 pep chromosome:Graimondii2_0_v6:10:26981829:26983602:1 gene:B456_010G126900 transcript:KJB66090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCITLSLSSKPCIGVCNGSLQSPKSMVTTARTEVITAPKRPNTFPGLTKQGISDIDLRVQEVVRRQSWTNSLVGEVNCRKPRFEPMFIDDAYDKCRNICAEYAKTFYLGTLLMTKERQKAIWAIYVWCRRTDELVDGLNAEYMSPAVLERWEERLQDIFEGRPYDMLDAALSDTVCNFPLDTKPFRDMIEGMRMDTRKSRYESFQELYLYCYYVAGTVGLMSVPVMGIAPESSASAYTIYNAALYLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLTDKDVFSRKVTDAWRDFMKEQIIRARSFFNLAEEGASQLDKDSRWPVWSSLMLYRKILDAIEDNDYDNLTKRAYVGRTKKLLTLPLAYTRALSKPSLYLR >KJB63251 pep chromosome:Graimondii2_0_v6:10:51827124:51827551:1 gene:B456_010G1767003 transcript:KJB63251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFSHGGFNKDVNPNSRITKILSRKGSQRGDNKTIVPNHTNSNDMDSLVSTSSPR >KJB63248 pep chromosome:Graimondii2_0_v6:10:51826913:51827551:1 gene:B456_010G1767003 transcript:KJB63248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDMTENPKLDLASCCGDLVSEEKTSTQKISVLDHTNGFHYTTDQSDSFVINMESFSHGGFNKDVNPNSRITKILSRKGSQRGDNKTIVPNHTNSNDMDSLVSTSSPR >KJB63250 pep chromosome:Graimondii2_0_v6:10:51826587:51827551:1 gene:B456_010G1767003 transcript:KJB63250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDMTENPKLDLASCCGDLVSEEKTSTQKISVLDHTNGFHYTTDQSDSFVINMESFSHGGFNKDVNPNSRITKILSRKGSQRGDNKTIVPNHTNSNDMDSLVSTSSPR >KJB63249 pep chromosome:Graimondii2_0_v6:10:51826832:51827551:1 gene:B456_010G1767003 transcript:KJB63249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDMTENPKLDLASCCGDLVSEEKTSTQKISVLDHTNGFHYTTDQSDSFVINMESFSHGGFNKDVNPNSRITKILSRKGSQRGDNKTIVPNHTNSNDMDSLVSTSSPR >KJB63235 pep chromosome:Graimondii2_0_v6:10:30969625:30971038:1 gene:B456_010G1358001 transcript:KJB63235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVIGSARSMTEKYAKAGAGESSCCYQSTETKVDSTMSLLRGRFLYERPVEEERPPSMAVSELNQLSYYSEVKYPLACYFIRMCYISSTMSNLSL >KJB63236 pep chromosome:Graimondii2_0_v6:10:30969453:30971038:1 gene:B456_010G1358001 transcript:KJB63236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVIGSARSMTEKYAKAGAGESSCCYQSTETKVDSTMSLLRGRFLYERPVEEERPPSMAVSELNQLSYYSEVKYPLACYFIRMCYISSTMSNLSL >KJB67824 pep chromosome:Graimondii2_0_v6:10:57917180:57919392:1 gene:B456_010G213100 transcript:KJB67824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTNSPSTLLTLPNFSIQTSTFLPKTSQGSIFKKKKPFNFNSKRVVSCKASNGKQNDADHTSFLNRFDRRDILLGLGGSLYGATSLVRDPFALAVVPIEPDLSSCGESTVDAGTTCMNVPCCPPKLKDSKIIDFEPPLGCKIRYRPAAHLVDRDYLYKFESAMERMKALPVDDPRNFMQQANIHCAYCNGAYSQVGFPDQKLEVHYSWLFFPFHRMYLYFFERILGKLIGDPDFAMPFWNWDSPCGMTMPQIYLDPYSPLYDENRNLEHQPDVLVNLNDGKSFVKPKKKDDFYELAKREQINSNLYVMYQQMVRSAKTASCFHGAAYLGGCVSETDIGCTPEPRGGTIENGAHIAVHKFVGAKNPPYNEDMGNFYSAGRDPLFYAHHGNVDRMWNIWKTLPGKKRHDFNENVWLNSSFLFYDENANMVRVKVRDCLDSKTLGYDYQSVDIPWLRSKPTPRRSRPGVGRGQDRGQDVAARRKSKNRRGFPIVLDKVAVRIEIPRPKKSKKKDDEEVLVLQNIQLDRNDSVQFDVSINDDEDDDNPCKPEDAEFVGSFSNLPHGDNCHSETMLSTNLYLPLSEALEDLKINPFEDSIVVTLVPKEGEVSIGNIKIDYVC >KJB64275 pep chromosome:Graimondii2_0_v6:10:3752604:3755403:1 gene:B456_010G040000 transcript:KJB64275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREQQVYLARLAEQAERYDEMVEAMKNVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKEYRQRVEDELSKICDDILSVIDKHLIPSSSTGESTVFYYKMKGDYFRYLAEFKAGDDRKEAADQSLKAYEAATTTANSDLPPTHPIRLGLALNFSVFYYEILNSAERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGGEQSKVDEPQAES >KJB64272 pep chromosome:Graimondii2_0_v6:10:3752530:3755619:1 gene:B456_010G040000 transcript:KJB64272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREQQVYLARLAEQAERYDEMVEAMKNVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKEYRQRVEDELSKICDDILSVIDKHLIPSSSTGESTVFYYKMKGDYFRYLAEFKAGDDRKEAADQSLKAYEAATTTANSDLPPTHPIRLGLALNFSVFYYEILNSAERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGGEQSKVDEPQAES >KJB64274 pep chromosome:Graimondii2_0_v6:10:3752604:3755403:1 gene:B456_010G040000 transcript:KJB64274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREQQVYLARLAEQAERYDEMVEAMKNVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKEYRQRVEDELSKICDDILSVIDKHLIPSSSTGESTVFYYKMKGDYFRYLAEFKAGDDRKEAADQSLKAYEAATTTANSDLPPTHPIRLGLALNFSVFYYEILNSAERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGGEQSKVDEPQAEVRKS >KJB64271 pep chromosome:Graimondii2_0_v6:10:3752604:3755403:1 gene:B456_010G040000 transcript:KJB64271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREQQVYLARLAEQAERYDEMVEAMKNVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKEYRQRVEDELSKICDDILSVIDKHLIPSSSTGESTVFYYKMKGDYFRYLAEFKAGDDRKEAADQSLKAYEAATTTANSDLPPTHPIRLGLALNFSVFYYEILNSAERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGGEQSKVDEPQAES >KJB64273 pep chromosome:Graimondii2_0_v6:10:3752604:3755403:1 gene:B456_010G040000 transcript:KJB64273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREQQVYLARLAEQAERYDEMVEAMKNVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKEYRQRVEDELSKICDDILSVIDKHLIPSSSTGESTVFYYKMKGDYFRYLAEFKAGDDRKEAADQSLKAYEAATTTANSDLPPTHPIRLGLALNFSVFYYEILNSAESRACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGGEQSKVDEPQAES >KJB66596 pep chromosome:Graimondii2_0_v6:10:38452440:38455591:-1 gene:B456_010G146000 transcript:KJB66596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVNVLVFLLTHLLTGMSIIFNQDYVCITESGSFTTNSTYGKNLDHILDSLPDNVSKSGGFFTATAGQDSNTAYALGLCSGDLNPHDCYGLVKSAVRDLRDKCPDQKEAISWSGDPACIVRYANRPFFGILELEPTTAGTITHDIGSNLPRFDTIWESLTDRLVRNASNGSSSRKYATGEAYFTVSQNIDAQMQCTPDISQEECDSCLRAAKSSFKACCHGKQGGYVQKPNCMFTFDLIPTITQDQKPEKNQNKSIWVPLGESLSAILGLALVSACGIFLWKRTNIQGDKEDSQEVQLLDLVIGSGNHENSSENVETSQEFPSIKLDILQAATTNFCDENKLGQGGFGPVYKGTLADGNEIAVKRLSRASSQGLLEFKNEVMLIAKLQHRNLRFNIIKGVARGVMYLHEDSHIRVIHRDLKASNVLLDHEMNPKISDFGMAKIFCGDQNEANTNRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVILLEIISSKKNNGFHLSEHGESLLTFAWKLWSKGEGMELIDEHLAGSSVPTEVLKCIQIGLLCVQVDPANRPTMSTVVAMLGSETITLPLPIEPTFYVGHFVAEPIQLSFTDRIFSVNEVTISNISTR >KJB65115 pep chromosome:Graimondii2_0_v6:10:12059596:12063259:-1 gene:B456_010G082200 transcript:KJB65115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVNRLSALPFRSSSASLLTSFASTLSSRQLGLPPNFNPERKSCSLSSSSPSVAGVSSLPSTSRKQLLSFKVHATITETNQPKWWERNAGPNMIDIHSTQEFLSALSEAGDRLVIVEFYGTWCASCKALFPKLCRTAQENPEILFLKVNFDENKSMCKSLNVKVLPYFHFYRGAHGQLESFSCSLAKFQKIKDAIQTHRQPHCNIGPSKAVGDLNLESVSAPIEKPAVSTQTSDSL >KJB65117 pep chromosome:Graimondii2_0_v6:10:12059605:12063191:-1 gene:B456_010G082200 transcript:KJB65117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVNRLSALPFRSSSASLLTSFASTLSSRQLGLPPNFNPERKSCSLSSSSPSVAGVSSLPSTSRKQLLSFKVHATITETNQPKWWERNAGPNMIDIHSTQEFLSALSEAGDRLVIVEFYGTWCASCKALFPKLCRTAQENPEILFLKVNFDENKSMCKSLNVKFQKIKDAIQTHRQPHCNIGPSKAVGDLNLESVSAPIEKPAVSTQTSDSL >KJB65116 pep chromosome:Graimondii2_0_v6:10:12059862:12062044:-1 gene:B456_010G082200 transcript:KJB65116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHATITETNQPKWWERNAGPNMIDIHSTQEFLSALSEAGDRLVIVEFYGTWCASCKALFPKLCRTAQENPEILFLKVNFDENKSMCKSLNVKVLPYFHFYRGAHGQLESFSCSLAKFQKIKDAIQTHRQPHCNIGPSKAVGDLNLESVSAPIEKPAVSTQTSDSL >KJB64222 pep chromosome:Graimondii2_0_v6:10:3557034:3561370:1 gene:B456_010G038100 transcript:KJB64222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKMQWPWPWRRRKVVVVVVLRKLLTCAICVIALMGLLSVRVQVFPSSKVSDLSDPYKLPTVTQHELNYQKLSAEKKWTQELTPPHLSKEQAPPHLSKAPLSSHKLNGANGSLDFEKLWKQPSNRDFVPCVQPGSNYTAPDESRGYLLVHTNGGLNQMRAGICDMVAVARIINATLVVPELDKRSFWQDTSNFSDVFDEDHFINALANDVKVIKKLPKDLSSATKVVMHFRSWSGLEYYRDEIASLWEEFQVIRAAKSDSRLANNHLPPDIQKLRCRACYKALRFSPKIEAMGKLLVDRMRAYGPFIALHLRYEKDMLAFSGCTHGLSDVEAEELRTIRENTAYWKIKDINATEQRSRGYCPLTPTEVGIFLTGLGYPSNTPIYIAAGEIYGGDTHMADLRSRYPILMSKEKLASVEELEPFTNHASQMAALDYIVSVESDVFIPSYSGNMARAVEGHRRFLGHRKTISPDRKALVRLFDKIEKGLLMEGRKVSNRISEIHKKLQGSPRRRRGPVSGTKGMDRFRSEEAFYVNPLPDCLCKRVSENVNASTASMR >KJB64221 pep chromosome:Graimondii2_0_v6:10:3558278:3560967:1 gene:B456_010G038100 transcript:KJB64221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLCTCLFIFNLWFVCSAPDESRGYLLVHTNGGLNQMRAGICDMVAVARIINATLVVPELDKRSFWQDTSNFSDVFDEDHFINALANDVKVIKKLPKDLSSATKVVMHFRSWSGLEYYRDEIASLWEEFQVIRAAKSDSRLANNHLPPDIQKLRCRACYKALRFSPKIEAMGKLLVDRMRAYGPFIALHLRYEKDMLAFSGCTHGLSDVEAEELRTIRENTAYWKIKDINATEQRSRGYCPLTPTEVGIFLTGLGYPSNTPIYIAAGEIYGGDTHMADLRSRYPILMSKEKLASVEELEPFTNHASQMAALDYIVSVESDVFIPSYSGNMARAVEGHRRFLGHRKTISPDRKALVRLFDKIEKGLLMEGRKVSNRISEIHKKLQGSPRRRRGPVSGTKGMDRFRSEEAFYVNPLPDCLCKRVSENVNASTASMR >KJB64220 pep chromosome:Graimondii2_0_v6:10:3556935:3561719:1 gene:B456_010G038100 transcript:KJB64220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKMQWPWPWRRRKVVVVVVLRKLLTCAICVIALMGLLSVRVQVFPSSKVSDLSDPYKLPTVTQQHELNYQKLSAEKKWTQELTPPHLSKEQAPPHLSKAPLSSHKLNGANGSLDFEKLWKQPSNRDFVPCVQPGSNYTAPDESRGYLLVHTNGGLNQMRAGICDMVAVARIINATLVVPELDKRSFWQDTSNFSDVFDEDHFINALANDVKVIKKLPKDLSSATKVVMHFRSWSGLEYYRDEIASLWEEFQVIRAAKSDSRLANNHLPPDIQKLRCRACYKALRFSPKIEAMGKLLVDRMRAYGPFIALHLRYEKDMLAFSGCTHGLSDVEAEELRTIRENTAYWKIKDINATEQRSRGYCPLTPTEVGIFLTGLGYPSNTPIYIAAGEIYGGDTHMADLRSRYPILMSKEKLASVEELEPFTNHASQMAALDYIVSVESDVFIPSYSGNMARAVEGHRRFLGHRKTISPDRKALVRLFDKIEKGLLMEGRKVSNRISEIHKKLQGSPRRRRGPVSGTKGMDRFRSEEAFYVNPLPDCLCKRVSENVNASTASMR >KJB67735 pep chromosome:Graimondii2_0_v6:10:57274250:57277262:1 gene:B456_010G207100 transcript:KJB67735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSDTPVTKTATSPPQQQEPDPKRLKMSTTTTSEDEDAAATTTDTKKPRYKRRKIAMFFAYCGVGYQGMQKNPGAKTIEGDLEEALFHAGAVPEQDRGNPKRYDWARSARTDKGVSAVGQVVSGRFYIDPPGLVERLNQILPTQIRIFGYNRATASFSAKKFCDRRRYVYLIPVFALDPSCHRDRESVLSSLGSGNELVKCLECSERGRKVIGVMGKRSSFEPKSTIVQHGISSNNLDAENVMKEDNLTSQLKEEVTEMDDSGVVEVKVNVAKSTIVQPDISSNIGDAEGAIKEDNLTSELKEEVTEMDDSGVVADKVNVAKWTIVQCGISSNIGDAENAIKEDNLTSELKEEVTERVDSLVVEDKVNVEKKEERRFFYGEEEKKRFNKILNYYVGSHNFHNFTTRTKADDPAARRYIVSFHANTVVTVEGIDFVKCEVVGQSFMLHQIRKMIGMAVAVMRGCAPESLIETALRKDVHINIPTAPEVGLYLDECLFSSYNQKWKDSHEELSMKAYEEEAEEFKMKFIYSHIASTEREEGVVGLWLHSLNHRNYPDLRAGSGDSVEGKKSPKVDDKADTVEEESNVVEKNSDTAEMRSAEE >KJB64113 pep chromosome:Graimondii2_0_v6:10:3033955:3054450:-1 gene:B456_010G033700 transcript:KJB64113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKSTLLKIFELHVLSLEVLREAVYPIYHFSLISFENVSNLQFLCENERVHKFANGFCSPAFMLQEYKQQMKDSFMQQDGNQAAILYLTNEDNANSSLMEPSIPLIDKASCIQLWNDCIVKLSKALCSFLLAPEDVKSHHEQISSIYGELSIKWVIKVLLVVFPSIKTCAYKNELPRHLWVFINTLQHCVLKAFKKILTSSPTLLEVFRKAGIWDLIFSENFFYLGSASEEPFKEISTYNEGSLEKLEKYSSCWSNVVQLKDNGVGTIQREVITFVELAATSNGSLNNLPELSALIKALEQCACNPEIASVLAKSLLQILQQSVEKTIASFNSLNAVSRVLKVACILAQESKQSTNISLVTDNNYLEGFPSNGLQRFDSREIFIIEGTETCMEIFKEFFSVADDAKSLILHDSTCIDCLFELFWEEGYKNHVLKYILDLLKVVSLAGEDRKAMLYVCSKYLETFNLIKEKEKRFAELSINLLNGMMDILQTDLVHYQALFRDGGCFLHVVSLLNGTLDEARGEMLVLVVLQTLTSLLARNDVSKEAFRALVGKGYQTLQSLLLDFCQWHPSEALLNALLDMLVDGNFDIKDNPHIKVLDVQVRTHLFFEFNIFVQSSCCLFKFDVITCYFVIAQSSESLRHYGLGVFQQLVRDSLSNRASCVVAGMLNFLLDWFVQEGDDSIILKIAQLIQVIGGYSISGKDIRKIFALLRSEKVGTQLQYCSLLLTTVLSMLNEKGPTSFFDLNGNDSGIIIKTPVHWPLYKGFSFSCWLRVEDLPKNGTMGLFKFFTENRRGFLAAVAKDKLIYKSINLKQYSIQTHVNLVKRKWHFLCITHTIGRAFSGGSLFRCYLDGNLVSSERCRYAKVSELLTNCSIGTKMVWPQSEDNTRNSNPDFLPFFGQIGPLYLFADVISSEQVKAVHSLGPSYMYSFLDNEATAFGGNPLPSGILEAKDGLASKIVFGLNAQASDGKKLFNVSPVLDHALDKNLFEATIKVGTQLCSRRLFKEIIYCVGGVSVFFPLISQSDRYENDEIQVLESTLVLPVAKERLTAEVIELVASVLDENLANQQQMHLLSGFSILGFLLQSVPPQQLNMETLSALKNLFNVLSSCGLAELLIEEIISSIFLNPLTWMYTVYKVQRELYMFLIEQFDNDPRLLKSLCQFPRVIDIIRQCYWDNVKSRFAIGGQPLLHPITKQVIGERPSREEIQKIRLLLLSLGEMSLRQSIAPADIKALIAFFETSHDMTCIEDILNMVIRAVSQKPLLMSFLEQVNLIGGCRIFVNLLQREYEPIRLLSLQFIGRLLVGFPSEKKATRFFNLAVGRTKPLSENNKKVSSRLQPLFSVISDRLFKFPQTDNLCATLFDVLLGGASLKEVLQKNSTTDKQRSRGKNSHFFLPQMLVLIFRFLSGCKDASARMKIISDLLELLDSNTLNIEALMGGISCQDLLYDIYDNLIQRLVDLSSEENIFSSQPCRDNTLYFLRLVDEMLISEAGIKLLFPAISSESFLDSLMVEGQKDYTTLLHEVLRGEFDDKVSGNALASEESITSEDDIINDKWWNLFDNLWIVISEMNGKGPSKMLPRITVSVGPSFGQRARDLVESLNIPATEMAAVVISGGFGNALSGKQNKVADKAMALRGERCPRIVFRLLIIYLCRASLERASRCVQQFISLLPSFLGTDDEQSKSRLQLFIWSLLLVRSRYGKLDDGARFHVIAHVIRETVNSGKSMLATSMIGRDDLFNTSSLSRETGSLHNLIQKDRVLSAVTDESKYVKMLESDRSRHLQELRAKMDENSSLEINTQKTFEDEIQSSLRSILASDESRRAAFLLAHEEEQQNVAEKWMHMFRTLIDERGPWSANPFPNGAVIHWKLDKTEDIRRRRPKLRRNYHFDEKLCHPPSTSPCNEATLPNSESKPSFVGHIPEQMKKFLLKGVHRITDDGSSEPAESGAGPDDPSDIHSAEIIKSSSDQIDIVPDRKELSSPSQETETSEVLRSVPCVLVAPKRKLAGRLAVMKDVLHFFGEFLVEGTVGSSVFKNLNESSLSESARADHKPKSFHWSFHLGMFSEKGTSPDNMEAEDLHKKELRTVKRHRRWNISKIKAVHWTRYLLRYNAVEIFFSDSMAPIFINFASQKNAKEIGTLIVSTRNELLFPRGSSRDKSGIISFVDRRVAVEMAETARERWKRRDITNFDYLMILNTLSGRSYNDLSQYPVFPWVLADYSSEVLDFNKSATFRDLSKPVGALDSKRFEVFEDRYQNFCDPDIPSFHYGSHYSSIGIVLYYLLRMEPFSSLHRNFQGGKFDHADRLFQCIEGTYKNCLSNTSDVKELIPEFFYMPEFLINSNSYHLGVKQDGELISDVSLPSWAKGSPELFVSKNREALESEYVSSNLHHWIDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLDTMDDDLQRSAIEDQIANFGQTPIQIFCKRHPRRGPPIPIAHPLSFAPASISLTSILSCMSNPPSAVLYVGLLDSNIVIVNQGLTLSVKMWLTTQLQFGGNFTFSGSQDPFFGVGSDILSPRKIGSPLAENVELGAQCFATMQTPSENFLISCGNWENSFQVISLSDGRMVQSIRQHKDVVSCVAVTADGSILATGSYDTTVMVREVLRVRSPEKRAPRKDCIIAETPFHILCGHDDIITCLYVSVELDIVISGSKDGTCVFHTLRDGRYVRSLKHPSGSALSKLAASQHGRIVLYADGDLSLNLYSINGKHLASSESYGRLNCVELSGCGEFLVCAGDQGQVVVRSMNALEVVKRYNGVGKVITSLTVTPEECFLAGTKDGNLLVYSIENPQRKAIVLRNPRTRVMIPS >KJB64114 pep chromosome:Graimondii2_0_v6:10:3033988:3054450:-1 gene:B456_010G033700 transcript:KJB64114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKSTLLKIFELHVLSLEVLREAVYPIYHFSLISFENVSNLQFLCENERVHKFANGFCSPAFMLQEYKQQMKDSFMQQDGNQAAILYLTNEDNANSSLMEPSIPLIDKASCIQLWNDCIVKLSKALCSFLLAPEDVKSHHEQISSIYGELSIKWVIKVLLVVFPSIKTCAYKNELPRHLWVFINTLQHCVLKAFKKILTSSPTLLEVFRKAGIWDLIFSENFFYLGSASEEPFKEISTYNEGSLEKLEKYSSCWSNVVQLKDNGVGTIQREVITFVELAATSNGSLNNLPELSALIKALEQCACNPEIASVLAKSLLQILQQSVEKTIASFNSLNAVSRVLKVACILAQESKQSTNISLVTDNNYLEGFPSNGLQRFDSREIFIIEGTETCMEIFKEFFSVADDAKSLILHDSTCIDCLFELFWEEGYKNHVLKYILDLLKVVSLAGEDRKAMLYVCSKYLETFNLIKEKEKRFAELSINLLNGMMDILQTDLVHYQALFRDGGCFLHVVSLLNGTLDEARGEMLVLVVLQTLTSLLARNDVSKEAFRALVGKGYQTLQSLLLDFCQWHPSEALLNALLDMLVDGNFDIKDNPHIKVLDVQVRTHLFFEFNIFVQSSCCLFKFDVITCYFVIAQSSESLRHYGLGVFQQLVRDSLSNRASCVVAGMLNFLLDWFVQEGDDSIILKIAQLIQVIGGYSISGKDIRKIFALLRSEKVGTQLQYCSLLLTTVLSMLNEKGPTSFFDLNGNDSGIIIKTPVHWPLYKGFSFSCWLRVEDLPKNGTMGLFKFFTENRRGFLAAVAKDKLIYKSINLKQYSIQTHVNLVKRKWHFLCITHTIGRAFSGGSLFRCYLDGNLVSSERCRYAKVSELLTNCSIGTKMVWPQSEDNTRNSNPDFLPFFGQIGPLYLFADVISSEQVKAVHSLGPSYMYSFLDNEATAFGGNPLPSGILEAKDGLASKIVFGLNAQASDGKKLFNVSPVLDHALDKNLFEATIKVGTQLCSRRLFKEIIYCVGGVSVFFPLISQSDRYENDEIQVLESTLVLPVAKERLTAEVIELVASVLDENLANQQQMHLLSGFSILGFLLQSVPPQQLNMETLSALKNLFNVLSSCGLAELLIEEIISSIFLNPLTWMYTVYKVQRELYMFLIEQFDNDPRLLKSLCQFPRVIDIIRQCYWDNVKSRFAIGGQPLLHPITKQVIGERPSREEIQKIRLLLLSLGEMSLRQSIAPADIKALIAFFETSHDMTCIEDILNMVIRAVSQKPLLMSFLEQVNLIGGCRIFVNLLQREYEPIRLLSLQFIGRLLVGFPSEKKATRFFNLAVGRTKPLSENNKKVSSRLQPLFSVISDRLFKFPQTDNLCATLFDVLLGGASLKEVLQKNSTTDKQRSRGKNSHFFLPQMLVLIFRFLSGCKDASARMKIISDLLELLDSNTLNIEALMEYGWNAWLTASVTLDIVKPYRPDSRYCGDYEANEKNLVMKVFSVVLCHYIQFVKSGWQRLEETVNFLLVHCEQVCQIFLPCYKGGISCQDLLYDIYDNLIQRLVDLSSEENIFSSQPCRDNTLYFLRLVDEMLISEAGIKLLFPAISSESFLDSLMVEGQKDYTTLLHEVLRGEFDDKVSGNALASEESITSEDDIINDKWWNLFDNLWIVISEMNGKGPSKMLPRITVSVGPSFGQRARDLVESLNIPATEMAAVVISGGFGNALSGKQNKVADKAMALRGERCPRIVFRLLIIYLCRASLERASRCVQQFISLLPSFLGTDDEQSKSRLQLFIWSLLLVRSRYGKLDDGARFHVIAHVIRETVNSGKSMLATSMIGRDDLFNTSSLSRETGSLHNLIQKDRVLSAVTDESKYVKMLESDRSRHLQELRAKMDENSSLEINTQKTFEDEIQSSLRSILASDESRRAAFLLAHEEEQQNVAEKWMHMFRTLIDERGPWSANPFPNGAVIHWKLDKTEDIRRRRPKLRRNYHFDEKLCHPPSTSPCNEATLPNSESKPSFVGHIPEQMKKFLLKGVHRITDDGSSEPAESGAGPDDPSDIHSAEIIKSSSDQIDIVPDRKELSSPSQETETSEVLRSVPCVLVAPKRKLAGRLAVMKDVLHFFGEFLVEGTVGSSVFKNLNESSLSESARADHKPKSFHWSFHLGMFSEKGTSPDNMEAEDLHKKELRTVKRHRRWNISKIKAVHWTRYLLRYNAVEIFFSDSMAPIFINFASQKNAKEIGTLIVSTRNELLFPRGSSRDKSGIISFVDRRVAVEMAETARERWKRRDITNFDYLMILNTLSGRSYNDLSQYPVFPWVLADYSSEVLDFNKSATFRDLSKPVGALDSKRFEVFEDRYQNFCDPDIPSFHYGSHYSSIGIVLYYLLRMEPFSSLHRNFQGGKFDHADRLFQCIEGTYKNCLSNTSDVKELIPEFFYMPEFLINSNSYHLGVKQDGELISDVSLPSWAKGSPELFVSKNREALESEYVSSNLHHWIDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLDTMDDDLQRSAIEDQIANFGQTPIQIFCKRHPRRGPPIPIAHPLSFAPASISLTSILSCMSNPPSAVLYVGLLDSNIVIVNQGLTLSVKMWLTTQLQFGGNFTFSGSQDPFFGVGSDILSPRKIGSPLAENVELGAQCFATMQTPSENFLISCGNWENSFQVISLSDGRMVQSIRQHKDVVSCVAVTADGSILATGSYDTTVMVREVLRVRSPEKRAPLWSLT >KJB68327 pep chromosome:Graimondii2_0_v6:10:60865289:60869315:1 gene:B456_010G238800 transcript:KJB68327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDGKNRGGVTPNLNPQSQYQYGTFQGVANYYHPHFPQQPPPQPFVGLPHAIPPPGCAANPYVHGYQTVTGFPVEEPVPLRQPRLPVCGLGMGWLLFFLGFFFGGIPWYVGTFILLCVQVDYREKAGYLACAIASVIAMIAITFGLTKGGTHAW >KJB68328 pep chromosome:Graimondii2_0_v6:10:60865386:60868298:1 gene:B456_010G238800 transcript:KJB68328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDGKNRGGVTPNLNPQSQYQYGTFQGVANYYHPHFPQQPPPQPFVGLPHAIPPPGCAANPYVHGYQTVTGFPVEEPVPLRQPRLPVCGLGMGWLLFFLGFFFGGIPWYVGTFILLCVQVDYREKAGYLACAIAVSFSFSFLFAYGFFECLSCLALLSIVLDIDTNPSAFCKKKKKTFRNS >KJB64496 pep chromosome:Graimondii2_0_v6:10:5910734:5912760:-1 gene:B456_010G051600 transcript:KJB64496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNHLNQEPVPIFNQKKKGFSLRGITRVLRSWRFRLKKSSSSDQPIYQPSQGSPDENGGGQKILRVSSWTSDSDGSRSEGRSSKGFFKYRSMDACFSRQDSTNSKGASKRSRSPSPSHSPSPKPSSQNKEGSLRRSTTTDRNGLFEPLSGSTSRPNGNPIMFSNSTGVVKPPPIEKQLECTLEDLCYGCTEKIKIKRDVITESGQRVEHKEMLSINVEPGWKKGTKITFEGMGNEVPRLYAADVTFVIAEKQHPVFGRDGDDLELTIEIPLVKALTGCCLPIPLLGGEKMELKIDEIIHPGYEKIITGQGMPTTKEAASRGNLKVRFLINFPTELTDEQRATAVRILGDSSS >KJB64341 pep chromosome:Graimondii2_0_v6:10:4407170:4408491:1 gene:B456_010G043800 transcript:KJB64341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLYNATKNGDKNELLYLLQEDAQLLNRFINGRYPETPLHVAIMLGHSKFVDELLTRMPELANELNSRRQSPLHLATAKGHQQIVTRNPLHIAAMKGYLDVLLELFYVKPWATRSLMAQGDTILHGCVRCNQLEALKFLATEGISDQEFVNCLNYEGNTILHMAIVANQTQAIKFLIRREEVDKNCKNKDGFKALDLLSQNQTYEFANDESLSDVIRNNILSSKQEPDESNAIPKSKRSNVDWLERKCHNLILAASLLANMAFHAAVNPPSGVWQDNDTSHRAGHSVFADTNPNTYTQFLISNTFGFMASLIIIQLLISGLPIRRKLFKWVLMVVMSVAIAAMVFAYAVSLVPLTSDPAYSWLLIRLWTIFMILLFAAQEVRLMIKFFKF >KJB67469 pep chromosome:Graimondii2_0_v6:10:54909370:54912510:1 gene:B456_010G192100 transcript:KJB67469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFRVVVLILGCLCLTEAERDTLNPGDKLSSNSSDNLVSPNGNVTLGFFRQEYGQTWNDKSFGYYLAMWYAQGTSYNHSIWLANRDDPIADDSGVLVLDDTGLKITRIGGNPIQLFSLQSTSITINTSDMKAVLQDSGNLVLQGTNEENGENVVLWQSFDYPTDSFLPGMKLGVSNERSFSLTSWLTGSIPASGSFTMEWDPARNRLVVWLRERILWTSGENFENFGTLDPVNMNYVFTNVSNPNEKYVYYTLIISQYTPEERRKNGRIVLQDDGDLLLGEIYTKNLLLCDGNSTENGCERWEGPKCRKKGDKYELRTIRPYHKDSLNDTLYGNNNLSLNDCKDICWEDCKCLGVAVQDLPCRFLLGYYYEGVSYGSSYAVINRNRPKEYLLDLMTSEDANDVSELQTGNNGRNLNIYTAALIMSATNGFSPDNLLGRGGFGPVFKGALDDGQEVAIKRLSSGSSQGLVEFKNELILIAKLQHTNLVRLLGFCVQGEDKMLVYEYMPNKSLDTFIFDDSKRKLLNWDKRFSIIEGIAQGLLYLHKYSRLRIIHRDLKLSNILLDENMNPKISDFGLARIYKTGEAGSNTNRIVGTYGYMSPEYAMEGIFSEKSDVYSFGVMVLEVVSGRKNSSHFEFDRPLNLVGYAWELWKHGGALELMDPTLSDSCFKQYQVLRCITLGLLCVEDNPLDRPTMSDVISVLNGEMQLALPKQPAFSTGRRIVETNIENKEVEIYSLNGLTMSTMDAR >KJB64579 pep chromosome:Graimondii2_0_v6:10:6278509:6281149:-1 gene:B456_010G054800 transcript:KJB64579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFGIWVLTICLIFQSGYGFYLPGSYPLKHVVGNYLSVKVNSLTSIDTEMPFSYYSLPFCNPVEGVKDSAENLGELLMGDRIENSPYRFKMHTNETEIFLCQTNKLSSDDFKLLTKRIDEMYQVNLILDNLPAIRYTRKEGFMLRWTGYPIGVKIKDGYYVFNHLKFKVLVHKYEETNVARVMGTGDAVEGFPSVGNKGSDVPGYMVVGFEVVPCSVVHNGDLVKNLKMYEKYPSPIKCEPTTVSMPIKEGEPIVFTYEVTFEESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAMVNEELSGWKLVVGDVFRAPSNPALLCIMVGDGVQILGMAIVTILFAALGFMSPASRGTLITGMLFSYMILGIAAGYVAVRLWRTIGFGDHKGWVSVAWKAACFFPAFGWDECTMSLGFCSLFWSYLLWFALKYLWC >KJB64580 pep chromosome:Graimondii2_0_v6:10:6278520:6281149:-1 gene:B456_010G054800 transcript:KJB64580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFGIWVLTICLIFQSGYGFYLPGSYPLKHVVGNYLSVKVNSLTSIDTEMPFSYYSLPFCNPVEGVKDSAENLGELLMGDRIENSPYRFKMHTNETEIFLCQTNKLSSDDFKLLTKRIDEMYQVNLILDNLPAIRYTRKEGFMLRWTGYPIGVKIKDGYYVFNHLKFKVLVHKYEETNVARVMGTGDAVEGFPSVGNKGSDVPGYMVVGFEVVPCSVVHNGDLVKNLKMYEKYPSPIKCEPTTVSMPIKEGEPIVFTYEVTFEESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAMVNEELSGWKLVVGDVFRAPSNPALLCIMVGDGVQILGMAIVTILFAALGFMSPASRGTLITGMLFSYMILGIAAGYVAVRLWRTIGFGDHKGWVSVAWKAACFFPGIAFFILTILNFLLWGSRSTGAIPFSLFVILLLLWFCISVPLTLVGGYFGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLVLLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSINYLIFDLKSLSGPVSATLYLGYSLFMVLTIMFATGTVCTQKMAH >KJB67971 pep chromosome:Graimondii2_0_v6:10:58883843:58888609:-1 gene:B456_010G219900 transcript:KJB67971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIGISPRPIIPLILPQLEHRYKISRLNHRLPLLHLDGAGRSLLLMASLNNHNHNHNHHFRHADSTASPPSSAVAKISHLKAVVLGDAPASEEDDVVLPSHDFSRQALVPSQQKYLEMYKASIENPAGFWSGIASSEFYWKQRWGDQVYSENLDVRRGNIKIEWFKGGITNVCYNCLDRNIDAGLADKIALYWESNEPGFDATLTYSQLLQRVCQLANYLKSVGVRKGDAVVVYLPMLMELPITMLACSRIGAVHSVVFAGFSSESLAQRIVDCKPKVVITCNAVKRGPKTVFLKDIVDAALIDSANKGISVDVCLTYENQLALKREKTKWKEGRDIWWEDVVPKYPTSSDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKDSDVYWCTADCGWITGHSYVTYGPMLNGATVLLYEGAPSYPDPGRCWDIVDKYKVTIFYTAPTLVRSLMRDGDEYVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGGSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGIQPVIVDEKGVELGGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFTGDGCSRDKDGYHWLTGRVDDVINVRYSI >KJB67969 pep chromosome:Graimondii2_0_v6:10:58881872:58888609:-1 gene:B456_010G219900 transcript:KJB67969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIGISPRPIIPLILPQLEHRYKISRLNHRLPLLHLDGAGRSLLLMASLNNHNHNHNHHFRHADSTASPPSSAVAKISHLKAVVLGDAPASEEDDVVLPSHDFSRQALVPSQQKYLEMYKASIENPAGFWSGIASSEFYWKQRWGDQVYSENLDVRRGNIKIEWFKGGITNVCYNCLDRNIDAGLADKIALYWESNEPGFDATLTYSQLLQRVCQLANYLKSVGVRKGDAVVVYLPMLMELPITMLACSRIGAVHSVVFAGFSSESLAQRIVDCKPKVVITCNAVKRGPKTVFLKDIVDAALIDSANKGISVDVCLTYENQLALKREKTKWKEGRDIWWEDVVPKYPTSSDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKDSDVYWCTADCGWITGHSYVTYGPMLNGATVLLYEGAPSYPDPGRCWDIVDKYKVTIFYTAPTLVRSLMRDGDEYVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGGSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGIQPVIVDEKGVELGGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFTGDGCSRDKDGYHWLTGRVDDVINVSVQKLLWLVLSTRLKGRAYMPL >KJB67970 pep chromosome:Graimondii2_0_v6:10:58883211:58888609:-1 gene:B456_010G219900 transcript:KJB67970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIGISPRPIIPLILPQLEHRYKISRLNHRLPLLHLDGAGRSLLLMASLNNHNHNHNHHFRHADSTASPPSSAVAKISHLKAVVLGDAPASEEDDVVLPSHDFSRQALVPSQQKYLEMYKASIENPAGFWSGIASSEFYWKQRWGDQVYSENLDVRRGNIKIEWFKGGITNVCYNCLDRNIDAGLADKIALYWESNEPGFDATLTYSQLLQRVCQLANYLKSVGVRKGDAVVVYLPMLMELPITMLACSRIGAVHSVVFAGFSSESLAQRIVDCKPKVVITCNAVKRGPKTVFLKDIVDAALIDSANKGISVDVCLTYENQLALKREKTKWKEGRDIWWEDVVPKYPTSSDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKDSDVYWCTADCGWITGHSYVTYGPMLNGATVLLYEGAPSYPDPGRCWDIVDKYKVTIFYTAPTLVRSLMRDGDEYVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGGSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGIQPVIVDEKGVELGGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHQVKGQGIYAFVTPVEGISYSDELRKSLILTVRTQV >KJB67968 pep chromosome:Graimondii2_0_v6:10:58881854:58888668:-1 gene:B456_010G219900 transcript:KJB67968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIGISPRPIIPLILPQLEHRYKISRLNHRLPLLHLDGAGRSLLLMASLNNHNHNHNHHFRHADSTASPPSSAVAKISHLKAVVLGDAPASEEDDVVLPSHDFSRQALVPSQQKYLEMYKASIENPAGFWSGIASSEFYWKQRWGDQVYSENLDVRRGNIKIEWFKGGITNVCYNCLDRNIDAGLADKIALYWESNEPGFDATLTYSQLLQRVCQLANYLKSVGVRKGDAVVVYLPMLMELPITMLACSRIGAVHSVVFAGFSSESLAQRIVDCKPKVVITCNAVKRGPKTVFLKDIVDAALIDSANKGISVDVCLTYENQLALKREKTKWKEGRDIWWEDVVPKYPTSSDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKDSDVYWCTADCGWITGHSYVTYGPMLNGATVLLYEGAPSYPDPGRCWDIVDKYKVTIFYTAPTLVRSLMRDGDEYVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGGSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGIQPVIVDEKGVELGGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHQVKGQGIYAFVTPVEGISYSDELRKSLILTVRTQIGAFAAPDKIHWAPALPKTRSGKIMRRILRKIAARQLDELGDTSTLADPGVVDQLIKLADT >KJB66678 pep chromosome:Graimondii2_0_v6:10:41296638:41297471:-1 gene:B456_010G152100 transcript:KJB66678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSCKQVSSDEVEWIQGQSLLQAPTMERPKASSMKRRHHQENQLQQLEPPLKCPRCDSSNTKFCYYNNYNKSQPRYFCKTCKRHWTKGGNLRNVPVGGGRKNKRHQTSAAASASKTTTTTIKSSTSSAIQPHQLPPADQKYIPNIKFHSPLQQNSVNCRNSEREIFSTNNGVCLDSTMSQGLQTLFPLPFPFSSSSSYSLETFPSSISASFQSSSLYNYSGETREDPTGSLTWKEPITSNGIEMANYWNWDDIDALVSTDLNIPWDDSEIKPWKDL >KJB68640 pep chromosome:Graimondii2_0_v6:10:62075953:62080427:-1 gene:B456_010G255700 transcript:KJB68640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKIETSGPKSLFSNEFLCNSTSLLISRKRRTVKVRMPVAATAAFTAVQMEAKLIELDSMKVIPETPVQFAVSGQVKVEYDAMEQMKELMFNWWDSSKTAVDAQRGVFLQLVSSDIDPRTGKAKVSKEAILDWSRDLPINADKFATHEVQFLVDGNFGVPGAILVTNKNSKELYLKSITLEGFLHFDCQSWVQPEKLHPEKRIFFTNKAYLPNETPMGLRELREKELREMRGNGEGVRVLSDRIYDYDVYNDLGNPDKGLEFARPDLGGQRRPYPRRCRTGRPSTNLDPKSESPANEMMPMYVPRDEAFSDGKRRTVDAGSRKGMMKNLFPFFKHSIEGDPINSFADINNLYKESRNRSNFPVESTADAFKFDPPNIVSREASCCLRDDEFGRFTLAGMNPISIERLKVFPPVSKLDPSIYGPTQSALREEHLISHLDGMSVQQAIEKKKLFTLDYHDVFLPFLSSINAHPNRKAYATRTIFLLTQFGTLKPIAIELSLPSMNPFKPSKQVITPPVDATSNWQWQLAKAHVCSNDSGAHELIQHWLRTHACMEPFIIAARRHLSVMHPIHKLLHPHMRYTMDINARARELLINADGIIESFFSTKECSMEITSLAYKNWRFDMESLPADLIRRGIAEQDPTEPHGIKLLIEDYPYANDGLLIWSAIERLVKDYVNHYYPDSNSIRSDSELNTWYYESINVGHADLRHETWWPKLSTPDDLVSVLATLIWISSAKHAALNFGQYHYGGYVPVRPPYMRRLIPNEDDPEYSNFVSDPEGYFLSSLPSLKEMTSLLSVLDMLSTHSDDEEYLGDRKELSTWRGNPEIIEAFYRFSMEMKAIEKEIDRRNSDPKLRNRCGAGVSPYQLLVPFSGPGVSCRGVPNSISI >KJB65575 pep chromosome:Graimondii2_0_v6:10:18445085:18451955:1 gene:B456_010G101800 transcript:KJB65575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGGAEAAVAPVGGPQPLEWKFSQVFGERTAGEEIQDVDIISAIEFNRTGDHLATGDRGGRVVLFERTDTQDHVGHRRDLEKMDYPINRHPLFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSAYGALSLLSTNDKTIKFWKVQEKQVKKVCDLNVDSTKAMGNDPIVGSSISTSSKQYMANGGCTSNDFSLLSGGLPSLRLPMVTTLETNLMARCRRIYSHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPIHCNMLAYSSSKGSIRLIDMRQSALCDTHSKLFEEQEAPGSRSFFTDIIASISDIKFAKDGRHILSRDYLTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCSEGSKEATTLEANKNPMRRQGLTASRPSRSLGSPSGVVRRGKGADNSALDANGNTFDFTTKLLHLAWHPTENSIACAASNSLYMYYA >KJB65576 pep chromosome:Graimondii2_0_v6:10:18445026:18452083:1 gene:B456_010G101800 transcript:KJB65576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGGAEAAVAPVGGPQPLEWKFSQVFGERTAGEEIQDVDIISAIEFNRTGDHLATGDRGGRVVLFERTDTQDHVGHRRDLEKMDYPINRHPLFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSAYGALSLLSTNDKTIKFWKVQEKQVKKVCDLNVDSTKAMGNDPIVGSSISTSSKQYMANGGCTSNDFSLLSGGLPSLRLPMVTTLETNLMARCRRIYSHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPIHCNMLAYSSSKGSIRLIDMRQSALCDTHSKLFEEQEAPGSRSFFTDIIASISDIKFAKDGRHILSRDYLTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCSEGSKEATTLEANKNPMRRQGLTASRPSRSLGSPSGVVRRGKGADNSALDANGNTFDFTTKLLHLAWHPTENSIACAASNSLYMYYA >KJB65577 pep chromosome:Graimondii2_0_v6:10:18446406:18452039:1 gene:B456_010G101800 transcript:KJB65577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIISAIEFNRTGDHLATGDRGGRVVLFERTDTQDHVGHRRDLEKMDYPINRHPLFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSAYGALSLLSTNDKTIKFWKVQEKQVKKVCDLNVDSTKAMGNDPIVGSSISTSSKQYMANGGCTSNDFSLLSGGLPSLRLPMVTTLETNLMARCRRIYSHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPIHCNMLAYSSSKGSIRLIDMRQSALCDTHSKLFEEQEAPGSRSFFTDIIASISDIKFAKDGRHILSRDYLTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCSEGSKEATTLEANKNPMRRQGLTASRPSRSLGSPSGVVRRGKGADNSALDANGNTFDFTTKLLHLAWHPTENSIACAASNSLYMYYA >KJB66113 pep chromosome:Graimondii2_0_v6:10:27379992:27383319:1 gene:B456_010G127600 transcript:KJB66113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTCRPFHSCRLEFRTKMETQSLLRRTPLAFSITSLKSNPSSPNFNNNQPLSRKIIWRWKREGRILRRDNLVDCASLVQTLARKRMPHIAHQLLLELNSQGLIPNTATLSALMLCYADNGLFTQAEAIWEEMLNISSFMPAIPLVSKFLDAYGNMGQFHRVQKILDHVILHRFNLLPRVYPVAISCFGKHGQLDLMENTLKEMVSKGLSIDSATGNAFVRYYSIFGSLTEMESAYARFKRSRHLIDEEGIRAMSFAYIKEGKFYKLGEFLTDVGLGRTDLGNLLWNLLLLSYAANFKMKTMQRQFLKMLNSGFFPDLTTFNIRALAFSRMSMFWDLHLSLEHMKHESIVPDLATYGCVVDAYLDRRLGRNLDFILSNMNADESPIILTDPLVFEALGKGDFQSSSEAFMELKSQKKWTYRQLIAVYLKKQFRRNQIFWNY >KJB64888 pep chromosome:Graimondii2_0_v6:10:9161976:9162803:-1 gene:B456_010G070300 transcript:KJB64888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGASKVIMGATLVMVVTLAFVLALILVLLAELYCSLLLRRRRRHLKHSPTSGSTVTDTTTVATTPTVTTSSFPESTSPLSSFYAQGVLHAPRDFLFSSALPNKVENDNHVTFLHHVVQIHSRESNTRADQFGILPPTSPSTSFAASPNPVEEISVQVSPESATICKENLVYISNPIYDNDAGSRPEPDTPFETPDTSPSRLEKSGSSGDDEKGQFRIYSPPMTPPLSPMKKLPAQACSVSLRDVGSLATSASESNCNNGLSSSSSGSPCTSPSW >KJB64099 pep chromosome:Graimondii2_0_v6:10:2951786:2958614:1 gene:B456_010G032900 transcript:KJB64099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDNGLLQLTLLNPDGIVTGIRYNGIDNLLEVLNGEDNRGYWDLVWNSPGTPGTTGSFDVIKGTSFKVIVENEDQVEVSFTRTWDSSQEGKLVPLNIDKRFIVLRGCSGFYTYAIYEHLKDWPGFNLAETRIAFKLRKDKFHYMAMADNRQRYMPLPDDRLSGRGQALAYPEAVLLVNPVEPDFKGEVDDKYQYSCDNKDSQVHGWICTTDQPAVGFWMVTPSNEFRSGGPVKQNLTSHVGPTTLAVFLSAHYTGEDLVPKFSAGEAWKKVFGPVFIYLNCTMDGDEPLSLWEDAKQQMIIEVQSWPYTFPASDDFPKSNQRGNVNGRLLVNDRYASDDNIPANGAYIGLVPPGNVGSWQRECKDYQFWTKTDINGYFLINDIRPGDYNLYAWVPGFIGDYQYSAAITITPGSEIEVGDLVYKPPRNGPTLWEIGIPDRSAAEFYVPDPNPKYINKVYVNHPDRFRQYGLWERYAELYPNEDLVYTVGTSDYKKDWFFAQVTRKIDTNKYQGTTWQIRFKLDNVDQGSSYKLRVAIASATFSELQVQINDPKTNPLFSSGLIGRDNSIARHGIHGLYWLYNVDVPGKLLVQGDNTIFLTQPRSSSPFQGIMYDYIRLEGPSKLSSNEEYMSSTL >KJB64098 pep chromosome:Graimondii2_0_v6:10:2951474:2958584:1 gene:B456_010G032900 transcript:KJB64098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFIGVQLHTQDTHVMIDNGLLQLTLLNPDGIVTGIRYNGIDNLLEVLNGEDNRGYWDLVWNSPGTPGTTGSFDVIKGTSFKVIVENEDQVEVSFTRTWDSSQEGKLVPLNIDKRFIVLRGCSGFYTYAIYEHLKDWPGFNLAETRIAFKLRKDKFHYMAMADNRQRYMPLPDDRLSGRGQALAYPEAVLLVNPVEPDFKGEVDDKYQYSCDNKDSQVHGWICTTDQPAVGFWMVTPSNEFRSGGPVKQNLTSHVGPTTLAVFLSAHYTGEDLVPKFSAGEAWKKVFGPVFIYLNCTMDGDEPLSLWEDAKQQMIIEVQSWPYTFPASDDFPKSNQRGNVNGRLLVNDRYASDDNIPANGAYIGLVPPGNVGSWQRECKDYQFWTKTDINGYFLINDIRPGDYNLYAWVPGFIGDYQYSAAITITPGSEIEVGDLVYKPPRNGPTLWEIGIPDRSAAEFYVPDPNPKYINKVYVNHPDRFRQYGLWERYAELYPNEDLVYTVGTSDYKKDWFFAQVTRKIDTNKYQGTTWQIRFKLDNVDQGSSYKLRVAIASATFSELQVQINDPKTNPLFSSGLIGRDNSIARHGIHGLYWLYNVDVPGKLLVQGDNTIFLTQPRSSSPFQGIMYDYIRLEGPSKLSSNEEYMSSTL >KJB63424 pep chromosome:Graimondii2_0_v6:10:55051284:55054334:-1 gene:B456_010G193500 transcript:KJB63424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIQFAKKDRGSALAELVTVSGIGNNWCESFSMYPRTYDLIHANGVFSLYQDKYFSYPYFSSVLCFIRNQSCHSAYNSIHTSTVAMLHHLSLLKLRNNKW >KJB63425 pep chromosome:Graimondii2_0_v6:10:55051833:55053728:-1 gene:B456_010G193500 transcript:KJB63425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQSLWKTRPALWSFSKFSPIARKENKILHSRIEAMHIQFAKKDRGSALAELVTVSGIGNNWCESFSMYPRTYDLIHANGVFSLYQDKYFSYPYFSSVLCFIRNQSCHSAYNSIHTSTVAMLHHLSLLKLRNNKW >KJB63426 pep chromosome:Graimondii2_0_v6:10:55051833:55052238:-1 gene:B456_010G193500 transcript:KJB63426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIQFAKKDRGSALAELVTVSGIGNNWCESFSMYPRTYDLIHANGVFSLYQDKYFSYPYFSSVLCFIRNQSCHSAYNSIHTSTVAMLHHLSLLKLRNNKW >KJB63366 pep chromosome:Graimondii2_0_v6:10:47748079:47748890:-1 gene:B456_010G164900 transcript:KJB63366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLWVVLLRFSYSCLEWDFCYSLKARPSYCALAYQQVQPTVVQTVVAPVASWCRPQVVHFKCNVDTAFSEDGQ >KJB67836 pep chromosome:Graimondii2_0_v6:10:58111292:58112414:-1 gene:B456_010G214100 transcript:KJB67836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLSVLIAMKATAWMLLYLFFSRFGFTVLAIPLLYASLISWLVSIASHPSIDLPMLLGKNPDGTFPILSTIMFSPYLYFARAFSMARRFLTGEEPYSQICEGLYVGGWPASPRLLPPGNPAIIDCTSEFPRIKEFKRHSYLCVPTWDTRAPQPGQIESAVKWACRKRARNQPVYVHCAYVSKLKRLLDPGSIGLTRWSSLVFYSLLL >KJB67837 pep chromosome:Graimondii2_0_v6:10:58110450:58112621:-1 gene:B456_010G214100 transcript:KJB67837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLSVLIAMKATAWMLLYLFFSRFGFTVLAIPLLYASLISWLVSIASHPSIDLPMLLGKNPDGTFPILSTIMFSPYLYFARAFSMARRFLTGEEPYSQICEGLYVGGWPASPRLLPPGNPAIIDCTSEFPRIKEFKRHSYLCVPTWDTRAPQPGQIESAVKWACRKRARNQPVYVHCAYVYILG >KJB67835 pep chromosome:Graimondii2_0_v6:10:58109065:58112653:-1 gene:B456_010G214100 transcript:KJB67835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLSVLIAMKATAWMLLYLFFSRFGFTVLAIPLLYASLISWLVSIASHPSIDLPMLLGKNPDGTFPILSTIMFSPYLYFARAFSMARRFLTGEEPYSQICEGLYVGGWPASPRLLPPGNPAIIDCTSEFPRIKEFKRHSYLCVPTWDTRAPQPGQIESAVKWACRKRARNQPVYVHCAYGHGRSVAVMCALLVALGVVENWKAAEKYIRERRPCIKMNSLHYKALEEWSSSRLSSPKRNEELDVNSVSQSNSSGNTKASMVENKID >KJB63499 pep chromosome:Graimondii2_0_v6:10:118517:124081:1 gene:B456_010G002900 transcript:KJB63499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEDFFTLTEMKDGLTSPSRVEELLTVMKKEKDVVGKNVSDVTRQWTAVASTIAATENKDCLDLFVQLDGLCFLDGWLKDAQDCGNESSGNFVEESITALLRALEKLHRNNERSISSEIRITVKNLLGHNSPRVQDGARLLFDNLKQDKVADGDIDTGGHDYGISDSAKVTIENSGPESSVRGGPSGANAHEEIDGTDAAKVENLPSNLDGVQSESDKDFHIESTNDQLESNINSDHANLENRSQSHMASSFMPNPIQEKSSMKEEPLATTVEETASVEVCSLPESKQEHVEVSDAQKLNGLPIDENQKLDMTVSSSSTSEHVLVSSGVLVRSAQEAIAEPNLQNESEANKSDVLKFVAIGDDKAPVSEPKKAMDDSGVMNHLGNGSQQFKTTGKGSEPHLGKWSSSENEFKYRKPGNLDTIFSRTELTGAADEGKENYGMEDSRRGANYVSPDVINRRMSDMELEYGIVDALEVARQVAQEVEREVVDDREASCSSSEKISGGGIEQPSTPDSLNAKQDLPAQVIPSGVSTGHNQSTEAYNEGEGCMVSSDNADNEKENGLHHMESSQVTVAQEPEPNTKCLCEFDLNQEICSDDVEQTVNSISTPVSVVSASRAAAALGFPVAPLQFEGALGWKGSAATSAFRPASACRNSDGEKTLSLGGTSSSTKQRMDCIDFDLNVAEAGDEKGAELMSGKQVTALSSLNSIESSLEVSPRKSKRLKLDLNCINDDVDASSLDSKVEGRFLYNMNGHHNPSPALSSSSMQPSMRNIDLNDRPYSHNDASEQRPYHGICSQNVNAYGGGPKPNDPVISLMGTRVEVNRKDSIPQVVSLLHGKAFEPARDANITRTGGFLGLSPNMPYSHSPAFSYNGVAMAPTISFSSAIYGASGSIPYMVDSRDSRATVVPQTMGSTYAVPPAYTQPQFIMGTNNPNVGLNGLVPSRPNFDLNSGLAIEGGNRDFMSLRQPFMPVEHLSVNTQPSSSSGVGAKREGTR >KJB65859 pep chromosome:Graimondii2_0_v6:10:22742800:22748436:1 gene:B456_010G116400 transcript:KJB65859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLPTTTKGDKRWRSKNLQTSKPSLLMAFFSCIAWLYVAGRLWQDAENRTLLANLLKKNMEQRPKVLTVEDKLMVLGCKDLEKRIVEAEMDLTLAKNQGYLKNQLRQSESFSEKKLLAVIGVYTGFGSYLKRQTSRGSWMPRGDALKKLEERGVVVRFVIGRSANRGDSLDRHIDEENHRTKDFFILEGHEEAQEELPKKVKFFFSTAVQNWDAEFYVKVNDNIDIDLEGLIGLLEHRRGQDSAYVGCMKSGEVVSEEGKDWHEPDWWKFGDEKSYFQHASGSLFILSKNLAQYINVNSASLKTYAYDDTSVGSWMMGVKATYIDDNRLCCGSIRQDKVCSLA >KJB64001 pep chromosome:Graimondii2_0_v6:10:2332656:2336273:-1 gene:B456_010G028400 transcript:KJB64001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLPSSDRKYRRSPPRSPLLPQKLDDDQSFDAHGSGFDGASTSGAVFNLSTTIVGAGIMGLPAAVNQLGLIPGLLTIMFVSMLTESSIDMILRFSRASKSKTYSGVATNAFGGAGSNILQACIVINNLGMLVVYMIIIGDVLSGTSVDGFHHGGVIEEWFGQHWWTTRSALLLFTTFFVFAPLISFKRVDSLRYTSALSVALAVVFVAITAGVVIVKLMEGKIGMPRLMPKLVNQASFWKLFTTVPVVVTAYICHHNILPIENELKDPTQMKSIVRKSLTLCTSVYIATSFFGVVLFGDHTMDDVLANFDGDLGIPYSSLLDDLVRVSYGLHLMLVFPIVFFSLRLNVDGLLFPYAIPIAFDNKRFFSVTIALMGFILMGASFVPSIWDAFQFTGATAAVCVGFIFPAAITLRNIPGIATKNDRLISWMMIFLAVSTSTVAVTSDIYSIFYVDEGITS >KJB64000 pep chromosome:Graimondii2_0_v6:10:2332204:2336457:-1 gene:B456_010G028400 transcript:KJB64000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLPSSDRKYRRSPPRSPLLPQKLDDDQSFDAHGSGFDGASTSGAVFNLSTTIVGAGIMGLPAAVNQLGLIPGLLTIMFVSMLTESSIDMILRFSRASKSKTYSGVATNAFGGAGSNILQACIVINNLGMLVVYMIIIGDVLSGTSVDGFHHGGVIEEWFGQHWWTTRSALLLFTTFFVFAPLISFKRVDSLRYTSALSVALAVVFVAITAGVVIVKLMEGKIGMPRLMPKLVNQASFWKLFTTVPVVVTAYICHHNILPIENELKDPTQMKSIVRKSLTLCTSVYIATSFFGVVLFGDHTMDDVLANFDGDLGIPYSSLLDDLVRVSYGLHLMLVFPIVFFSLRLNVDGLLFPYAIPIAFDNKRFFSVTIALMGFILMGASFVPSIWDAFQFTGATAAVCVGFIFPAAITLRSILIRFLLCIDIVGNST >KJB63999 pep chromosome:Graimondii2_0_v6:10:2332204:2336381:-1 gene:B456_010G028400 transcript:KJB63999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLPSSDRKYRRSPPRSPLLPQKLDDDQSFDAHGSGFDGASTSGAVFNLSTTIVGAGIMGLPAAVNQLGLIPGLLTIMFVSMLTESSIDMILRFSRASKSKTYSGVATNAFGGAGSNILQACIVINNLGMLVVYMIIIGDVLSGTSVDGFHHGGVIEEWFGQHWWTTRSALLLFTTFFVFAPLISFKRVDSLRYTSALSVALAVVFVAITAGVVIVKLMEGKIGMPRLMPKLVNQASFWKLFTTVPVVVTAYICHHNILPIENELKDPTQMKSIVRKSLTLCTSVYIATSFFGVVLFGDHTMDDVLANFDGDLGIPYSSLLDDLVRVSYGLHLMLVFPIVFFSLRLNVDGLLFPYAIPIAFDNKRFFSVTIALMGFILMGASFVPSIWDAFQFTGATAAVCVGFIFPAAITLRMID >KJB67607 pep chromosome:Graimondii2_0_v6:10:56133845:56134247:-1 gene:B456_010G1999002 transcript:KJB67607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PDISAPGVHILAAWSSISPVSSVPGDNRFVTFNIISGTSMSCPHVSGAAAYVKSFHPTWSPAAIQSALMTTAAPMSSGINMEAEFAYGSGHLNPLKAANPGLV >KJB67608 pep chromosome:Graimondii2_0_v6:10:56133321:56134247:-1 gene:B456_010G1999002 transcript:KJB67608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PDISAPGVHILAAWSSISPVSSVPGDNRFVTFNIISGTSMSCPHVSGAAAYVKSFHPTWSPAAIQSALMTTAAPMSSGINMEAEFAYGSGHLNPLKAANPGLV >KJB66475 pep chromosome:Graimondii2_0_v6:10:34540698:34544942:-1 gene:B456_010G141100 transcript:KJB66475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLRQVVAGILTLTMFVMLGQMIKRDHFDSLQDKLPGEAQDVQVGNANVIEKDGLVKLSKGSKGPWMKDSQELKPCWSRTTFDGIEQSRGYVTFSLTNGPEYHVSQMADAVIVARYLAAALVLPDIRGSRPGDERNFKDIYDVEKFMKSLDGVVKVVKQLPNEISIRDLAAVKVPNRVTEDHIVENVQPIFKSKGNIRLATYFPTVNMRKTTQKSSVDSVSCLGMFGTLELQPEVSEVVDSMIERLRTLSRKSDGLFIAVDLRVDVLENKNCHGSGTNVAKSCYNAQEIALFLRKVGFNTDTTIYLTQSKWDNSLSVLKDIFPKTYTKENIMPEEKKGKFLEFEGSELEKVIDFYICSKSDVFVPALSGLFYANVAGKRIALGKPQILVPADIPGTSATVTNYLSPYVAKKNHLAYSCFC >KJB66473 pep chromosome:Graimondii2_0_v6:10:34540698:34542897:-1 gene:B456_010G141100 transcript:KJB66473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVIVARYLAAALVLPDIRGSRPGDERNFKDIYDVEKFMKSLDGVVKVVKQLPNEISIRDLAAVKVPNRVTEDHIVENVQPIFKSKGNIRLATYFPTVNMRKTTQKSSVDSVSCLGMFGTLELQPEVSEVVDSMIERLRTLSRKSDGLFIAVDLRVDVLENKNCHGSGTNVAKSCYNAQEIALFLRKVGFNTDTTIYLTQSKWDNSLSVLKDIFPKTYTKENIMPEEKKGKFLEFEGSELEKVIDFYICSKSDVFVPALSGLFYANVAGKRIALGKPQILVPADIPGTSATVTNYLSPYVAKKNHLAYSCFC >KJB66474 pep chromosome:Graimondii2_0_v6:10:34540698:34544870:-1 gene:B456_010G141100 transcript:KJB66474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLRQVVAGILTLTMFVMLGQMIKRDHFDSLQDKLPGEAQDVQVGNANVIEKDGLVKLSKGSKGPWMKDSQELKPLPLQMADAVIVARYLAAALVLPDIRGSRPGDERNFKDIYDVEKFMKSLDGVVKVVKQLPNEISIRDLAAVKVPNRVTEDHIVENVQPIFKSKGNIRLATYFPTVNMRKTTQKSSVDSVSCLGMFGTLELQPEVSEVVDSMIERLRTLSRKSDGLFIAVDLRVDVLENKNCHGSGTNVAKSCYNAQEIALFLRKVGFNTDTTIYLTQSKWDNSLSVLKDIFPKTYTKENIMPEEKKGKFLEFEGSELEKVIDFYICSKSDVFVPALSGLFYANVAGKRIALGKPQILVPADIPGTSATVTNYLSPYVAKKNHLAYSCFC >KJB66472 pep chromosome:Graimondii2_0_v6:10:34540698:34542463:-1 gene:B456_010G141100 transcript:KJB66472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLDGVVKVVKQLPNEISIRDLAAVKVPNRVTEDHIVENVQPIFKSKGNIRLATYFPTVNMRKTTQKSSVDSVSCLGMFGTLELQPEVSEVVDSMIERLRTLSRKSDGLFIAVDLRVDVLENKNCHGSGTNVAKSCYNAQEIALFLRKVGFNTDTTIYLTQSKWDNSLSVLKDIFPKTYTKENIMPEEKKGKFLEFEGSELEKVIDFYICSKSDVFVPALSGLFYANVAGKRIALGKPQILVPADIPGTSATVTNYLSPYVAKKNHLAYSCFC >KJB66476 pep chromosome:Graimondii2_0_v6:10:34540698:34544977:-1 gene:B456_010G141100 transcript:KJB66476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLRQVVAGILTLTMFVMLGQMIKRDHFDSLQDKLPGEAQDVQVGNANVIEKDGLVKLSKGSKGPWMKDSQELKPCWSRTTFDGIEQSRGYVTFSLTNGPEYHVSQMADAVIVARYLAAALVLPDIRGSRPGDERNFKDIYDVEKFMKSLDGVVKVVKQLPNEISIRDLAAVKVPNRVTEDHIVENVQPIFKSKGNIRLATYFPTVNMRKTTQKSSVDSVSCLGMFGTLELQPEVSEVVDSMIERLRTLSRKSDGLFIAVDLRVDVLENKNCHGSGTNVAKSCYNAQEIALFLRKVGFNTDTTIYLTQSKWDNSLSVLKDIFPKTYTKENIMPEEKKGKFLEFEGSELEKVIDFYICSKSDVFVPALSGLFYANVAGKRIALGKPQILVPADIPGTSATVTNYLSPYVAKKNHLAYSCFC >KJB67992 pep chromosome:Graimondii2_0_v6:10:58963977:58970423:-1 gene:B456_010G220700 transcript:KJB67992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFARGRSQTRSTRSMPFAGMEYHDPKRKSNFVGKILMAAALTAVCIIMLKQSPTFGTPSQFSRHEEGVTHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNIGAVKLLQELFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVIHFAAVAYVGESTLDPLKYYHNITSNTLVVLEAMAAHGVNTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIMPGLKVKGTDYNTHDGTCIRDYIDVTDLVDAHVKALQKAQPSKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYLPRRPGDYAEVFSDPTKIRRELNWTAQHTDLEESLKTAWRWQKAHRDGYGAS >KJB67993 pep chromosome:Graimondii2_0_v6:10:58964422:58969342:-1 gene:B456_010G220700 transcript:KJB67993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFARGRSQTRSTRSMPFAGMEYHDPKRKSNFVGKILMAAALTAVCIIMLKQSPTFGTPSQFSRHEEGVTHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNIGAVKLLQELFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVIHFAAVAYVGESTLDPLKYYHNITSNTLVVLEAMAAHGVNTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIMPGLKVKGTDYNTHDGTCIRDYIDVTDLVDAHVKALQKAQPSKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYLPRRPGDYAEVFSDPTKIRRELNWTAQHTDLEESLKTAWRWQKAHRDGYGAS >KJB67991 pep chromosome:Graimondii2_0_v6:10:58963977:58970163:-1 gene:B456_010G220700 transcript:KJB67991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFARGRSQTRSTRSMPFAGMEYHDPKRKSNFVGKILMAAALTAVCIIMLKQSPTFGTPSQFSRHEEGVTHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNIGAVKLLQELFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVIHFAAVAYVGESTLDPLKYYHNITSNTLVVLEAMAAHGVNTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIMPGLKVKGTDYNTHDGTCIRDYIDVTDLVDAHVKALQKAQPSKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYLPRRPGDYAEVFSDPTKIRRELNWTAQHTDLEESLKTAWRWQKAHRDGYGAS >KJB65263 pep chromosome:Graimondii2_0_v6:10:13061334:13066413:1 gene:B456_010G086500 transcript:KJB65263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIARSKLPGDDSVSQQEEMDSSTTPNTKHNQAIKSLTSQLKDMALKASGAYRHCNPCTAQSRLRNMGESDADSDRFRWSYRRTGSSSSATPRTWGKEMEARLKGMSSWSGEGTPKSLSGRRVDTVVFVEESEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSREMFNKWQAQRWWAENYDRVMELYNVQRFNRQAFPLPTPPRSEDESFKMESAEESPVTPPLTKERLPCNFHRPTGMGIGYSSSDSFDQHAMQARHYCDSGLTSTPKLSSISGAKTEISSMDASMRSSSSREADRSGELSISNASDLETEWVEQDEPGVYITIRALPGGKRELRRVRFSRERFGEMHARLWWEENRDRIHEQYL >KJB65692 pep chromosome:Graimondii2_0_v6:10:20148919:20153961:-1 gene:B456_010G108800 transcript:KJB65692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQNCGEIVHMHVADRNILPEMVKIFKKRPDYSVKEKILILVDTWQEAFGGARARYPQYFSAYQELLRAGAMFPQRTEKPPPQNKHKSDQQETAESSEEPDFPTLSLTELQNARSIMNVLTEMLSAIDPANKEGLKQDVVLDLVEQCRTFKQRVVHLVNSTSDESLLCQGLALNDDLQRVLAKHQSLATGTSQADKNKPEPAKEPAKAGGPLVDTGHISNQSNDRSISSTAASSQHTPPAAASPKIDLLSSDDYKSPEVDNSLAIVPFGEPQQPTPAPEQNAIVLYDMFSDGNTSYDSSRTQGFGGQTNPLTPQIQHQQNFHANGNAANVGSPGYDTHGLTGQTDPWTTQFQQQQNFHVNGTATNMGFPYEKSYAQGTGPSWNSQLDQHAADPFYGTQYSGSLPPPPWEAQGADSSPVAGAQWQPQGSQHMGSDQAVGMYIQPITPGHYSTINNQANQGNQFTDFNPQQSPGTQYMGMVPQQMPGGQMTSYPQHTQQMYGNPQMGASGFGQQQYLDEQMHGLSIRDDNGLRNSSYQVSTSSYVPPSRPSNPEDRMFGDLVDMAKVKSTPTPP >KJB64040 pep chromosome:Graimondii2_0_v6:10:2587832:2592508:1 gene:B456_010G030400 transcript:KJB64040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFDLPIPENEGLPSSAVILKFELLYAPVLVNGSDFQASPDYCPAAIHEFRIPPKALLGLHSYCPVYFDAFHAVLVDVSVHTTLLKTVSCKVHTKVPSIAYSTTNDVSGESIDGSTQALDQVASTDLKQVMLVKALLNARDTLLAELQKLSDAINHAVDLTEFTSKMNDMKLFDSFLQEPAAADADDSAQGKPQNGLERVNGRLEFLSDRLLHNLSKDDVLKIFNLSGDQVFYLWNTFLNFHRDSKTRILDFLRDEWAKDRRAEWSIWMVYSKVDMPHRYINGSFDESSHQIVHKRGSSLWKLTDDPAQMAAMRAELHRRSIAQMRMNSRSIQDMQIFGDPSGIPIVIIEHVMNAPQRTSSDNLYMRNLVIRDSITTSTVPSSEAVKKLSSASAAQSGRDLKIVIFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEVNEEKTSGDFREMGLRLAHEVISFVKKKMDKASRSGHLRDIKLSFVGHSIGNVIIRTALAESAMEPYLRFLHTFVSLSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFADDPDIRNTFFYKLCEQRSLENFKHIILLSSPQFTH >KJB64043 pep chromosome:Graimondii2_0_v6:10:2587035:2592563:1 gene:B456_010G030400 transcript:KJB64043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLGWLIGLNNRSVQAKKLPDAKPHPAEVQPVVMLDSVQEIAIYIHRFHNLDLFQQGWYQLKLTVRWDNDEYAPVGTPARVVQYEAPSLVSDEVFGVWRIDDTDNSFATQPFRIKYARQDVYLSIMVAFDLPIPENEGLPSSAVILKFELLYAPVLVNGSDFQASPDYCPAAIHEFRIPPKALLGLHSYCPVYFDAFHAVLVDVSVHTTLLKTVSCKVHTKVPSIAYSTTNDVSGESIDGSTQALDQVASTDLKQVMLVKALLNARDTLLAELQKLSDAINHAVDLTEFTSKMNDMKLFDSFLQEPAAADADDSAQGKPQNGLERVNGRLEFLSDRLLHNLSKDDVLKIFNLSGDQVFYLWNTFLNFHRDSKTRILDFLRDEWAKDRRAEWSIWMVYSKVDMPHRYINGSFDESSHQIVHKRGSSLWKLTDDPAQMAAMRAELHRRSIAQMRMNSRSIQDMQIFGDPSGIPIVIIEHVMNAPQRTSSDNLYMRNLVIRDSITTSTVPSSEAVKKLSSASAAQSGRDLKIVIFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEVNEEKTSGDFREMGLRLAHEVISFVKKKMDKASRSGHLRDIKLSFVGHSIGNVIIRTALAESAMEPYLRFLHTFVSLSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFADDPDIRNTFFYKLCEQRSLENFKHIILLSSPQAIDR >KJB64039 pep chromosome:Graimondii2_0_v6:10:2582035:2591498:1 gene:B456_010G030400 transcript:KJB64039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFDLPIPENEGLPSSAVILKFELLYAPVLVNGSDFQASPDYCPAAIHEFRIPPKALLGLHSYCPVYFDAFHAVLVDVSVHTTLLKTVSCKVHTKVPSIAYSTTNDVSGESIDGSTQALDQVASTDLKQVMLVKALLNARDTLLAELQKLSDAINHAVDLTEFTSKMNDMKLFDSFLQEPAAADADDSAQGKPQNGLERVNGRLEFLSDRLLHNLSKDDVLKIFNLSGDQVFYLWNTFLNFHRDSKTRILDFLRDEWAKDRRAEWSIWMVYSKVDMPHRYINGSFDESSHQIVHKRGSSLWKLTDDPAQMAAMRAELHRRSIAQMRMNSRSIQDMQIFGDPSGIPIVIIEHVMNAPQRTSSDNLYMRNLVIRDSITTSTVPSSEAVKKLSSASAAQSGRDLKIVIFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEVNEEKTSGDFREMGLRLAHEVISFVKKKMDKASRSGHLRDIKLSFVGHSIGNVIIRTALAESAMEPYLRFLHTFVSLSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFADDPDIRNTFFYKLCEVLRTKMQNGLEFAVVR >KJB64042 pep chromosome:Graimondii2_0_v6:10:2586252:2593298:1 gene:B456_010G030400 transcript:KJB64042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLGWLIGLNNRSVQAKKLPDAKPHPAEVQPVVMLDSVQEIAIYIHRFHNLDLFQQGWYQLKLTVRWDNDEYAPVGTPARVVQYEAPSLVSDEVFGVWRIDDTDNSFATQPFRIKYARQDVYLSIMVAFDLPIPENEGLPSSAVILKFELLYAPVLVNGSDFQASPDYCPAAIHEFRIPPKALLGLHSYCPVYFDAFHAVLVDVSVHTTLLKTVSCKVHTKVPSIAYSTTNDVSGESIDGSTQALDQVASTDLKQVMLVKALLNARDTLLAELQKLSDAINHAVDLTEFTSKMNDMKLFDSFLQEPAAADADDSAQGKPQNGLERVNGRLEFLSDRLLHNLSKDDVLKIFNLSGDQVFYLWNTFLNFHRDSKTRILDFLRDEWAKDRRAEWSIWMVYSKVDMPHRYINGSFDESSHQIVHKRGSSLWKLTDDPAQMAAMRAELHRRSIAQMRMNSRSIQDMQIFGDPSGIPIVIIEHVMNAPQRTSSDNLYMRNLVIRDSITTSTVPSSEAVKKLSSASAAQSGRDLKIVIFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEVNEEKTSGDFREMGLRLAHEVISFVKKKMDKASRSGHLRDIKLSFVGHSIGNVIIRTALAESAMEPYLRFLHTFVSLSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFADDPDIRNTFFYKLCEQRSLENFKHIILLSSPQDGYVPYHSARIESCRAASMDNSKKGKAFLEMLNNCLDQIRAPTAENRVFVRCDINFDTSSHGRNLNTLIGRAAHIEFLESDIFARFIMWSFPDLFQ >KJB64044 pep chromosome:Graimondii2_0_v6:10:2586438:2593285:1 gene:B456_010G030400 transcript:KJB64044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLGWLIGLNNRSVQAKKLPDAKPHPAEVQPVVMLDSVQEIAIYIHRFHNLDLFQQGWYQLKLTVRWDNDEYAPVGTPARVVQYEAPSLVSDEVFGVWRIDDTDNSFATQPFRIKYARQDVYLSIMVAFDLPIPENEGLPSSAVILKFELLYAPVLVNGSDFQASPDYCPAAIHEFRIPPKALLGLHSYCPVYFDAFHAVLVDVSVHTTLLKTVSCKVHTKVPSIAYSTTNDVSGESIDGSTQALDQVASTDLKQVMLVKALLNARDTLLAELQKLSDAINHAVDLTEFTSKMNDMKLFDSFLQEPAAADADDSAQGKPQNGLERVNGRLEFLSDRLLHNLSKDDVLKIFNLSGDQVFYLWNTFLNFHRDSKTRILDFLRDEWAKDRRAEWSIWMVYSKVDMPHRYINGSFDESSHQIVHKRGSSLWKLTDDPAQMAAMRAELHRRSIAQMRMNSRSIQDMQIFGDPSGIPIVIIEHVMNAPQRTSSDNLYMRNLVIRDSITTSTVPSSEAVKKLSSASAAQSGRDLKIVIFVHGFQGHHLDLRLIEFLMSEVNEEKTSGDFREMGLRLAHEVISFVKKKMDKASRSGHLRDIKLSFVGHSIGNVIIRTALAESAMEPYLRFLHTFVSLSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFADDPDIRNTFFYKLCEQRSLENFKHIILLSSPQDGYVPYHSARIESCRAASMDNSKKGKAFLEMLNNCLDQIRAPTAENRVFVRCDINFDTSSHGRNLNTLIGRAAHIEFLESDIFARFIMWSFPDLFQ >KJB64046 pep chromosome:Graimondii2_0_v6:10:2588148:2593285:1 gene:B456_010G030400 transcript:KJB64046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSISSDFQASPDYCPAAIHEFRIPPKALLGLHSYCPVYFDAFHAVLVDVSVHTTLLKTVSCKVHTKVPSIAYSTTNDVSGESIDGSTQALDQVASTDLKQVMLVKALLNARDTLLAELQKLSDAINHAVDLTEFTSKMNDMKLFDSFLQEPAAADADDSAQGKPQNGLERVNGRLEFLSDRLLHNLSKDDVLKIFNLSGDQVFYLWNTFLNFHRDSKTRILDFLRDEWAKDRRAEWSIWMVYSKVDMPHRYINGSFDESSHQIVHKRGSSLWKLTDDPAQMAAMRAELHRRSIAQMRMNSRSIQDMQIFGDPSGIPIVIIEHVMNAPQRTSSDNLYMRNLVIRDSITTSTVPSSEAVKKLSSASAAQSGRDLKIVIFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEVNEEKTSGDFREMGLRLAHEVISFVKKKMDKASRSGHLRDIKLSFVGHSIGNVIIRTALAESAMEPYLRFLHTFVSLSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFADDPDIRNTFFYKLCEQRSLENFKHIILLSSPQDGYVPYHSARIESCRAASMDNSKKGKAFLEMLNNCLDQIRAPTAENRVFVRCDINFDTSSHGRNLNTLIGRAAHIEFLESDIFARFIMWSFPDLFQ >KJB64041 pep chromosome:Graimondii2_0_v6:10:2582035:2593285:1 gene:B456_010G030400 transcript:KJB64041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFDLPIPENEGLPSSAVILKFELLYAPVLVNGSDFQASPDYCPAAIHEFRIPPKALLGLHSYCPVYFDAFHAVLVDVSVHTTLLKTVSCKVHTKVPSIAYSTTNDVSGESIDGSTQALDQVASTDLKQVMLVKALLNARDTLLAELQKLSDAINHAVDLTEFTSKMNDMKLFDSFLQEPAAADADDSAQGKPQNGLERVNGRLEFLSDRLLHNLSKDDVLKIFNLSGDQVFYLWNTFLNFHRDSKTRILDFLRDEWAKDRRAEWSIWMVYSKVDMPHRYINGSFDESSHQIVHKRGSSLWKLTDDPAQMAAMRAELHRRSIAQMRMNSRSIQDMQIFGDPSGIPIVIIEHVMNAPQRTSSDNLYMRNLVIRDSITTSTVPSSEAVKKLSSASAAQSGRDLKIVIFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEVNEEKTSGDFREMGLRLAHEVISFVKKKMDKASRSGHLRDIKLSFVGHSIGNVIIRTALAESAMEPYLRFLHTFVSLSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFADDPDIRNTFFYKLCEQRSLENFKHIILLSSPQDGYVPYHSARIESCRAASMDNSKKGKAFLEMLNNCLDQIRAPTAENRVFVRCDINFDTSSHGRNLNTLIGRAAHIEFLESDIFARFIMWSFPDLFQ >KJB64045 pep chromosome:Graimondii2_0_v6:10:2586438:2593285:1 gene:B456_010G030400 transcript:KJB64045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLGWLIGLNNRSVQAKKLPDAKPHPAEVQPVVMLDSVQEIAIYIHRFHNLDLFQQGWYQLKLTVRWDNDEYAPVGTPARVVQYEAPSLVSDEVFGVWRIDDTDNSFATQPFRIKYARQDVYLSIMVAFDLPIPENEGLPSSAVILKFELLYAPVLVNGSDFQASPDYCPAAIHEFRIPPKALLGLHSYCPVYFDAFHAVLVDVSVHTTLLKTVSCKVHTKVPSIAYSTTNDVSGESIDGSTQALDQVASTDLKQVMLVKALLNARDTLLAELQKLSDAINHAVDLTEFTSKMNDMKLFDSFLQEPAAADADDSAQGKPQNGLERVNGRLEFLSDRLLHNLSKDDVLKIFNLSGDQVFYLWNTFLNFHRDSKTRILDFLRDEWAKDRRAEWSIWMVYSKVDMPHRYINGSFDESSHQIVHKRGSSLWKLTDDPAQMAAMRAELHRRSIAQMRMNSRSIQDMQIFGDPSGIPIVIIEHVMNAPQRTSSDNLYMRNLVIRDSITTSTVPSSEAVKKLSSASAAQSGRDLKIVIFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEVNEEKTSGDFREMGLRLAHEVISFVKKKMDKASRSGHLRDIKLSFVGHSIGNVIIRTALAESAMEPYLRFLHTFVSLSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFADDPDIRNTFFYKLCEQRSLENFKHIILLSSPQIASLSGWLCSVSFRQNRVMPGSVNGQLQKGKSISRDVE >KJB63739 pep chromosome:Graimondii2_0_v6:10:1017459:1019294:1 gene:B456_010G013700 transcript:KJB63739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSATLSSRRANVRSRLSVTVSELEKPELPLKSIPGDYGLPFIGAIKDRLDYFYNQGRDEFFKFKIQKYQSTVLRTNMPPGPFISSNPKVVALLDGKSFPILFDISKVEKKDLFTGTYMSSTYLTGGYRILSYLDPSEPKHAKLKQLLFFLLKSSRDRVLPEFKACYTELFKTVEYELAEKGKCSFQTPSEQAAFNFLARAFFDSNPVDSKLGSDGPSLANKWVLFQLGPIFTLGVPKYIEDLLLHTFPLPSFLVKKDYKKLYDFFYESAGLVLDEAEKMGISRDEACHNLVFATCFNSFGGIKVFFPIMLKWIGLAGENLHLSLAKEIRSVIKSNGGELSLSAMEQMPLMKSVVYESFRIDPPVQFQYGKAKKDLLIGSHHAVYEVKEGEMLFGYQPFATKDPNIFDRAEEFVPDRFMGVDEEKLLKYVLWSNGPETEHPTVADKQCAGKDFVMLVSRLFVVEFFRRYDTFEVEVGPAPVGVAVTITSLKAASF >KJB66891 pep chromosome:Graimondii2_0_v6:10:47200979:47203116:1 gene:B456_010G163300 transcript:KJB66891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLELVDGKVNWKGETAVKHKHGGLRAALFVLLAFAFENLANLHLAVNLTTYFNGILHFEVSDAANALTNFMGTGYILSIIFAVFADTYIGRFKSILISGSVEFLGLALLTAQAHYSSLRPPPCNVFDPTSRCEKLESSDTVFLYVALYLVAAGMAGIKASIPSHGADQFDEKDPREAKTMSSFFNGLLFALCIGGAVSLTLYVWLDDHKGWDVGFGVSAIAMFLALIVAVLGWPLYRIHVVQGSSVLLELIQVFAAAIRNRNLKLPENPLELYEIDKDKEAALEDDFLPHRDVYRFLDKAAIKTASEKPNPWKLCRVTQVENAKILLGMTPVFACTIIMTLCLAQLQTFSVQQGLTMDTTIVGSFHIPPASLPIIPVVFLIFIIPFYDQIAVPLLRKVTGHVTGISHLQRIGVGLILSSISMATASIMEVKRKSVARDHNMLDALPVLQPLPISVFWLSFQYFIFGIADMFTYVGLLEFFYSEAPQGLKTVSTCFLWTSMALGYYLSTILVQIVNRATKHITNSGGWLAGNNINKNHLNLFYLLLALLSLVNFCVYLFVSSRYKYRSKN >KJB64694 pep chromosome:Graimondii2_0_v6:10:7348830:7354919:1 gene:B456_010G060800 transcript:KJB64694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGTQNSLRKALGAIKDTTTVSLAKVNSDYKELDIAIVKATNHYERPAREKNIRAIFAAISATRPRADVAYCIHALARRLSRTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRNHMLNMSHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDIEMDRPRTKDLDTAELLEQLPALQQLLFRVLGCLPQGAAVHNFVIRFALSMVASESIKIYQAISDGTVNLVDKFFEMQRPDALKALDIYRRSGQQAERLSEFYEVCKSLDVGRGERFIKIEQPPASFLQAMEEYVREAPRSSAVRKDQADKPKEVLAIEYKKTPEEQEKRSPSPPPPEPEKVEKVEEPIVEPPDLLGLNDPVPVASELDEKNALALAIVPVAEQTTAAAAPIPANGTTGWELALVTAPSSNENATAASKLAGGLDKLTLDSLYDDAIRRSNQNVSYNPWEPAPMSGAMMQQPMHDPFYASNMVAAPHSVQIAAMANQQQAFMFQQQQQQQQMMMAAAPQQQPSNPFGNYGAPVHPYSSGMPVQTYNPYTGGLM >KJB64692 pep chromosome:Graimondii2_0_v6:10:7348934:7354898:1 gene:B456_010G060800 transcript:KJB64692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGTQNSLRKALGAIKDTTTVSLAKVNSDYKELDIAIVKATNHYERPAREKNIRAIFAAISATRPRADVAYCIHALARRLSRTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRNHMLNMSHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDIEMDRPRTKDLDTAELLEQLPALQQLLFRVLGCLPQGAAVHNFVIRFALSMVASESIKIYQAISDGTVNLVDKFFEMQRPDALKALDIYRRSGQQAERLSEFYEVCKSLDVGRGERFIKIEQPPASFLQAMEEYVREAPRSSAVRKDQADKPKEVLAIEYKKTPEEQEKRSPSPPPPEPEKVEKAGGLDKLTLDSLYDDAIRRSNQNVSYNPWEPAPMSGAMMQQPMHDPFYASNMVAAPHSVQIAAMANQQQAFMFQQQQQQQQMMMAAAPQQQPSNPFGNYGAPVHPYSSGMPVQTYNPYTGGLM >KJB64690 pep chromosome:Graimondii2_0_v6:10:7349890:7354556:1 gene:B456_010G060800 transcript:KJB64690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAQQGRKTLEQFSPPFQLLGLGLMLPTASMLLQGGYQGHIIGRYVALKTLIVIHRALREVDPTFHEELINYGRSRNHMLNMSHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDIEMDRPRTKDLDTAELLEQLPALQQLLFRVLGCLPQGAAVHNFVIRFALSMVASESIKIYQAISDGTVNLVDKFFEMQRPDALKALDIYRRSGQQAERLSEFYEVCKSLDVGRGERFIKIEQPPASFLQAMEEYVREAPRSSAVRKDQADKPKEVLAIEYKKTPEEQEKRSPSPPPPEPEKVEKVEEPIVEPPDLLGLNDPVPVASELDEKNALALAIVPVAEQTTAAAAPIPANGTTGWELALVTAPSSNENATAASKLAGGLDKLTLDSLYDDAIRRSNQNVSYNPWEPAPMSGAMMQQPMHDPFYASNMVAAPHSVQIAAMANQQQAFMFQQQQQQQQMMMAAAPQQQPSNPFGNYGAPVHPYSSGMPVQTYNPYTGGLM >KJB64691 pep chromosome:Graimondii2_0_v6:10:7349394:7354898:1 gene:B456_010G060800 transcript:KJB64691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGTQNSLRKALGAIKDTTTVSLAKVNSDYKELDIAIVKATNHYERPAREKNIRAIFAAISATRPRADVAYCIHALARRLSRTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRNHMLNMSHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDIEMDRPRTKDLDTAELLEQLPALQQLLFRVLGCLPQGAAVHNFVIRFALSMVASESIKIYQAISDGTVNLVDKFFEMQRPDALKALDIYRRSGQQAERLSEFYEVCKSLDVGRGERFIKIEQPPASFLQAMEEYVREAPRSSAVRKDQADKPKEVLAIEYKKTPEEQEKRSPSPPPPEPEKVEKVEEPIVEPPDLLGLNDPVPVASELDEKNALALAIVPVGWRTR >KJB64693 pep chromosome:Graimondii2_0_v6:10:7348934:7354898:1 gene:B456_010G060800 transcript:KJB64693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGTQNSLRKALGAIKDTTTVSLAKVNSDYKELDIAIVKATNHYERPAREKNIRAIFAAISATRPRADVAYCIHALARRLSRTHNWAVALKTLIVIHRALREVDPTFHEELINYERFIKIEQPPASFLQAMEEYVREAPRSSAVRKDQADKPKEVLAIEYKKTPEEQEKRSPSPPPPEPEKVEKVEEPIVEPPDLLGLNDPVPVASELDEKNALALAIVPVAEQTTAAAAPIPANGTTGWELALVTAPSSNENATAASKLAGGLDKLTLDSLYDDAIRRSNQNVSYNPWEPAPMSGAMMQQPMHDPFYASNMVAAPHSVQIAAMANQQQAFMFQQQQQQQQMMMAAAPQQQPSNPFGNYGAPVHPYSSGMPVQTYNPYTGGLM >KJB64695 pep chromosome:Graimondii2_0_v6:10:7350728:7354913:1 gene:B456_010G060800 transcript:KJB64695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMSHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDIEMDRPRTKDLDTAELLEQLPALQQLLFRVLGCLPQGAAVHNFVIRFALSMVASESIKIYQAISDGTVNLVDKFFEMQRPDALKALDIYRRSGQQAERLSEFYEVCKSLDVGRGERFIKIEQPPASFLQAMEEYVREAPRSSAVRKDQADKPKEVLAIEYKKTPEEQEKRSPSPPPPEPEKVEKVEEPIVEPPDLLGLNDPVPVASELDEKNALALAIVPVAEQTTAAAAPIPANGTTGWELALVTAPSSNENATAASKLAGGLDKLTLDSLYDDAIRRSNQNVSYNPWEPAPMSGAMMQQPMHDPFYASNMVAAPHSVQIAAMANQQQAFMFQQQQQQQQMMMAAAPQQQPSNPFGNYGAPVHPYSSGMPVQTYNPYTGGLM >KJB64689 pep chromosome:Graimondii2_0_v6:10:7348934:7354898:1 gene:B456_010G060800 transcript:KJB64689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGTQNSLRKALGAIKDTTTVSLAKVNSDYKELDIAIVKATNHYERPAREKNIRAIFAAISATRPRADVAYCIHALARRLSRTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRNHMLNMSHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDIEMDRPRTKDLDTAELLEQLPALQQLLFRVLGCLPQGAAVHNFVIRFALSMVASESIKIYQAISDGTVNLVDKFFEMQRPDALKALDIYRRSGQQAERLSEFYEVCKSLDVGRGERFIKIEQPPASFLQAMEEYVREAPRSSAVRKDQADKPKEVLAIEYKKTPEEQEKRSPSPPPPEPEKVEKVEEPIVEPPDLLGLNDPVPVASELDEKNALALAIVPVAEQTTAAAAPIPANGTTGWELALVTAPSSNENATAASKLAGGLDKLTLDSLYDDAIRRSNQNVSYNPWEPAPMSGAMMQQPMHDPFYASNMVAAPHSVQIAAMANQQQAFMFQQQQQQQQMMMAAAPQQQPSNPFGNYGAPVHPYSSGMPVQTYNPYTGGLM >KJB68221 pep chromosome:Graimondii2_0_v6:10:60409197:60410628:-1 gene:B456_010G2332001 transcript:KJB68221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSDENSIVKWGKMGASLNRLYKQQAIGCKPPFLVPFFGMFGYGGPIASMNLGSCVEVSSKTKQSKKVYKLRLARKALLGNSGSECSWSTDGGIRDPLDEEIKESPHGSFTKVVILNPVVRNLDISKLQRKLKDIYFPYIQ >KJB65689 pep chromosome:Graimondii2_0_v6:10:20112586:20116537:1 gene:B456_010G108500 transcript:KJB65689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTIFITQLMEKLVKNLPSLHHCVIQVLPFASSHSIASLQFAYITSLSIHYKSLASSKLKYPTNFSIFRTHFPSKNMTNIISSSSISPLICFFVFFFWLGHGGPQGIQHSAAAINGADKIRSQFLHLLHTRRSPQVPWTVEPSKPVRHPLFQQVPTPTFSEAMESCPKADIKNLKERLKEENFYLHTEAGEQGRLPVLILSLKDSKQKRRPAVVFLHSTNKNKEWVRPLLEAYASREYIAIAIDSRYHGERAHNLTTYRDALVSSWIKGDTMPFLFDTVWDLIKLADYLTQRKDIDPKRIGITGESLGGMHAWFAAFVDTRYAVAAPIIGVQGFRWAIDNDKWQARVDSIKAVFEEARVDLGKSAIDKEVVKKAWDRIAPGLASIFDSPYSIPAIAPRPLLILNGADDPRCPLDGIKTPTKRARKAYAKAHSSKNFKLIVQPGIGHEMTSLMVKEASDWMDRFLKQ >KJB67449 pep chromosome:Graimondii2_0_v6:10:54654712:54660018:1 gene:B456_010G191200 transcript:KJB67449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPGILTDWPWKPLGSFKGFSHALIHCVVGWIYLRYIILAPWITESIYTAIVKDAKQWDVLNLAILPLMLWRMLHSQLWISFSRYRTAKGTNRIVDKGIEFDQVDRERNWDDQILFNAILLYLINKYLPGASHSPVWRLDGVIITMLLHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIATEPITSVIHPFAEHIAYYALFSIPGLTTILTGTGSIISFAGYITYIDSMNNMGHFNFELIPNWVFSIFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPIYDYIYGTVDKNSNTLYENSVERKEESPNVVHLTHLTTPESIYHLRLGFAYLASKLYSSVWYLWLLWPVTLWSMVLTKIYRRNFVYCLKRQKESINNMIEEAVLEAEEKGASALSLGLMNQGEELNRYGEVYVKKHPQLKVKDGSSLAVAVLLNSIPKGTTQVLLRGNLTEVAFAVTFALCQKGIQVIVLREDEYEKLDKSFGTKSEDNLVISKSYSSCKVWLVGDDLTEEEQRKATEGTLFIPFSQFPPKKLRKDCFYHTTPAMQTPMALENVDSCENWLPRRVMSVWRIAGILHALEGWEEHECGYTMCNIDKVWEACLKHGFQPLTVPIQSKS >KJB66921 pep chromosome:Graimondii2_0_v6:10:47791830:47792381:1 gene:B456_010G165500 transcript:KJB66921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKIEMKMVKDSGSRQVTFSKRRSGLFKKANELATLCAAQVAIVVFSPGGKPYSFGHPSVEAVAERFLTLNLRSRVSIPRQLVAQPQREAKVERLSRRLNAILNKLQAEMKRGEMLDEAVKAACKRSKFRKPINEINLYELIEMRKAMGQLRERVKQRMSEIEASSSLLLLSKMATKQAES >KJB68362 pep chromosome:Graimondii2_0_v6:10:61004475:61008384:-1 gene:B456_010G240700 transcript:KJB68362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTRMPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCDEAGWTVEEDGTTYKKGCKPVDRMDILGGSASASPCSSYHPSPCASYNPSPGSSSFPSPASSHYRANGNGNADANSLIPWLKNLSSGSSSASSKLAHHLYFAGGSISAPVTPPLSSPTSRTPRTRNDWDETTGGSARTGQRHCYLPSSTPPSPNHQVFPDPEWLYQPEVHECGLQAKVEHVHQHSQLVLIKHRMFRCPMSLLPNLPLVATSLG >KJB68361 pep chromosome:Graimondii2_0_v6:10:61004462:61008499:-1 gene:B456_010G240700 transcript:KJB68361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTRMPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCDEAGWTVEEDGTTYKKGCKPVDRMDILGGSASASPCSSYHPSPCASYNPSPGSSSFPSPASSHYRANGNGNADANSLIPWLKNLSSGSSSASSKLAHHLYFAGGSISAPVTPPLSSPTSRTPRTRNDWDETTGGSARTGQRHCYLPSSTPPSPNHQVFPDPEWLSSGPTSPTFSLVSRNPFGFKDEALSAGGSRMWTPGQSGTCSPAFPAGVDQTSDVPMSDVIAAEFAFGSNFTGLVKPWEGEMIHEECVADDDLELTLGNSKTR >KJB67565 pep chromosome:Graimondii2_0_v6:10:55765814:55766323:1 gene:B456_010G1969002 transcript:KJB67565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLSCCFTVALFMVFLMESPSSCLANMNVIDKCWRGNLLWRSQRQQLAKCSVGFVGKMINNIGKDVVKYKVIDPSDDPMSPKSGTLRYGTTMIKGKVCITFKNSMTITLQRPLLLSSFTAIDGRGVDVHINGAGCLLVYQATDIIIHGLRIHHCKAQPPSTVMGPNVK >KJB66914 pep chromosome:Graimondii2_0_v6:10:47710203:47712003:1 gene:B456_010G164800 transcript:KJB66914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPEIQLQVLSEDEKLNEDSVEQQTNGNQSTTITQQRTYKWWLLMTIYTFFLLSGQSVATLLGRLYYDKGGNSKWLATIVQVVGFPILIPLYYLPSYKSSHTSNITTNPPSLLVLSGMYFGLGLLLAAGCLLYSVGLLYLPVSTYSLICASQLAFNALFSFFFNSQKFTPFIINSLVLLTISSTLLVFQNDSSGSTPVPRAKYVIGFVCTVAASAGYGLMLSLTQLCFQKILKKQSFKAVLDMIIYQSSAATLMISVGLFASGEWKSLGEEMEGFKLGKSAYVNVLVWIAVGWQVFSIGAVGLIFEASSLFSNVISTLGLPIVPVFAMVFFHDPMTGIKVISMLLAIWGFVSYVYQHYLDDRNSDTGKL >KJB68601 pep chromosome:Graimondii2_0_v6:10:61963686:61968239:-1 gene:B456_010G254300 transcript:KJB68601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKYIERSNNVASEKRCLDSSSGDEGPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAKLTTKSSPKQIEGPNGRNLRLHFRSGLSLPLFTGGKVEGQQGAAIDIVLIDANTGHVVTSGPESSLKLDVVVLEGDFNNEDDDNWTEEEFDSHVVKERDGKRPLLTGDLQVVLNEGVGTLGELSFTDNSSWIRSRKFRLGLKVASDCCEGFRIREAKTDAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLNKAGIFTVEDFLRLVVRDSQRLRNILGSGMSNKMWDVLVEHAKTCVLSEKLYVYYPDDVRSVGIVFNNIHELNGLIANGQYYASDSLSDDQKVYVDILVKKAYENWIHVIEYDGKSLLGCNEDDSLDTCRAKLPMDLHTSSTSQQQILPTLSVPVPTGQPSMDSYLVVRGYDDSMAGRLANESQNVHLNATILLNGASFPLQNPLVNDNELVHGPSQSTVRGFNNVGTSNIPEGVEDFFSEDEEMRMRSNNEMLANEDMQHLIRIFNKGNQDHIPFNASDDSHPYSSTYMTPTLALNYGFDNETSPSSGKAVVGWLKLKAALRWGIFTRKKAAERHAHLIELDDL >KJB67701 pep chromosome:Graimondii2_0_v6:10:57008109:57009477:-1 gene:B456_010G204100 transcript:KJB67701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKFTPTKNLQMSIFKTPQKSPSLISSIRCGNQVKCKQCLDYGRLHRSSHFSSRKLKADCCSSFNGFPHENVVHKRIKLNPSKQLLPSKVEFLDPTSLGICPEPPNWPERDEIQRFTIEQKANNVGISHSLRFIKRKQQGKLGSFVDNATEFAHSSVKNAISSLVLIIREIQNYTLMIRDSLYSEDLVVVLSKIQQDMTLTFVWLFTSVFRNTDTHDKESEKKEEEDQCAKVRQQLVSPLHVGIEPDNYEVHSKRDLVYQMRIAEEPNNALLLSNYAQFLHLVVKDYDRAEECFKRAIEVEPQDAEALGLYADFLWQVRNNEWEAEEMYIQAAEADTKNPFQASKYANFLLSTGCQGICFLFDESIVSSK >KJB67941 pep chromosome:Graimondii2_0_v6:10:58768166:58773212:1 gene:B456_010G218700 transcript:KJB67941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYPPLLNPNPLPPIPSKPNASSTTQTALFFPNSTPFCGSHHRRAHSEVQFRIPDELDLGSDTFEGLGSEDDLFFNYVDIEKLGGSSKGPEEGGGSGSSSGGSGQNQKGEEISGGSGKGEKNDGKGRHRYSNSVDGCSTMELIEAKKAMPPDKLAELWNIDPKRAKRIMANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQA >KJB67940 pep chromosome:Graimondii2_0_v6:10:58768166:58772411:1 gene:B456_010G218700 transcript:KJB67940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYPPLLNPNPLPPIPSKPNASSTTQTALFFPNSTPFCGSHHRRAHSEVQFRIPDELDLGSDTFEGLGSEDDLFFNYVDIEKLGGSSKGPEEGGGSGSSSGGSGQNQKGEEISGGSGKGEKNDGKGRHRYSNSVDGCSTMELIEAKKAMPPDKLAELWNIDPKRAKRIMANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDVTGLTTENTELKLRLQAMDQQARLRDGISHPDSFIYPILSKIACFL >KJB67939 pep chromosome:Graimondii2_0_v6:10:58768149:58773218:1 gene:B456_010G218700 transcript:KJB67939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYPPLLNPNPLPPIPSKPNASSTTQTALFFPNSTPFCGSHHRRAHSEVQFRIPDELDLGSDTFEGLGSEDDLFFNYVDIEKLGGSSKGPEEGGGSGSSSGGSGQNQKGEEISGGSGKGEKNDGKGRHRYSNSVDGCSTMELIEAKKAMPPDKLAELWNIDPKRAKRIMANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDVTGLTTENTELKLRLQAMDQQARLRDALNEALKKEVEGLKIATGEATTPTNTFNLGMHHIPYTQSSFLPPQPQHMQIDTQNIQMPPFQPLQSNTLTTNPSVVGATNSYAFADMMQQDPLGQLQGLDISSGGSHSVKSEGPSISTSESSGTL >KJB63552 pep chromosome:Graimondii2_0_v6:10:483436:486632:-1 gene:B456_010G007600 transcript:KJB63552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRLPSTAVSLATAALSRRSTSYLLSSQRRLQSFNSISFYPTLSFRSFCSTSEISPEFTDLITDQSSKRGPLKPGLYLVGTPIGNLEDITLRALRVLKSADVILSEDTRHSGKLLHYYNIKTPLLSYHKYNESQREHTVLKRLKQGEIVALISDAGMPGISDPGTELAKICVAENIPVIPIPGPSAFLTALSASGLSTDEFTFVGFLPKHAGSRKERLIASASETTTQIFYVPPHKLGQFLEESSLIFGDSRQCVIARELTKIHEEFWRGTLGTAKEAFSTRQPKGEITLLIEGNSNSSVETPSESQLENDLRELISNGHSLSSAVKLVAEGTSMKKKTIYSLALRKFVKKTKADDSD >KJB63555 pep chromosome:Graimondii2_0_v6:10:483436:486632:-1 gene:B456_010G007600 transcript:KJB63555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRLPSTAVSLATAALSRRSTSYLLSSQRRLQSFNSISFYPTLSFRSFCSTSEISPEFTDLITDQSSKRGPLKPGLYLVGTPIGNLEDITLRALRVLKSADVILSEDTRHSGKLLHYYNIKTPLLSYHKYNESQREHTVLKRLKQGEIVALISDAGMPGISDPGTELAKICVAENIPVIPIPGPSAFLTALSASGLSTDEFTFVGFLPKHAGSRKERLIASASETTTQIFYVPPHKLGQFLEESSLIFGDSRQCVIARELTKIHEEFWRGTLGTAKEAFSTRQPKGEITLLIEGNSNSSVETPSESQLENDLRELISNGHSLSSAVKLVAEGTSMKKKTIYSLALRKFVKKTKADDSD >KJB63553 pep chromosome:Graimondii2_0_v6:10:482439:486710:-1 gene:B456_010G007600 transcript:KJB63553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRLPSTAVSLATAALSRRSTSYLLSSQRRLQSFNSISFYPTLSFRSFCSTSEISPEFTDLITDQSSKRGPLKPGLYLVGTPIGNLEDITLRALRVLKSADVILSEDTRHSGKLLHYYNIKTPLLSYHKYNESQREHTVLKRLKQGEIVALISDAGMPGISDPGTELAKICVAENIPVIPIPGPSAFLTALSASGLSTDEFTFVGFLPKHAGSRKERLIASASETTTQIFYVPPHKLGQFLEESSLIFGDSRQCVIARELTKIHEEFWRGTLGTAKEAFSTRQPKGEITLLIEGNSNSSVETPSESQLENDLRELISNGHSLSSAVKLVAEGTSMKKKTIYSLALRKFVKKTKADDSD >KJB63554 pep chromosome:Graimondii2_0_v6:10:483003:486710:-1 gene:B456_010G007600 transcript:KJB63554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRLPSTAVSLATAALSRRSTSYLLSSQRRLQSFNSISFYPTLSFRSFCSTSEISPEFTDLITDQSSKRGPLKPGLYLVGTPIGNLEDITLRALRVLKSADVILSEDTRHSGKLLHYYNIKTPLLSYHKYNESQREHTVLKRLKQGEIVALISDAGMPGISDPGTELAKICVAENIPVIPIPGPSAFLTALSASGLSTDEFTFVGFLPKHAGSRKERLIASASETTTQIFYVPPHKLGQFLEESSLIFGDSSSGGAL >KJB65933 pep chromosome:Graimondii2_0_v6:10:24328688:24329188:-1 gene:B456_010G120700 transcript:KJB65933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIGHVNTMKEAPKPLMAQPRSPWHSPVPYLFGGLAAMLGLIAFALFILACSYRRTPDNDGGEETDVESGDSVKEVKVYEEKILVIMAGELKPTFLATPVSNKAPSFGDKNGGFVGEQGSEKGGSGEKVKPNMSSDDNHQRLPTTITENSADPVNNETGQIQHQN >KJB63916 pep chromosome:Graimondii2_0_v6:10:1925524:1928038:-1 gene:B456_010G024100 transcript:KJB63916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQENCVRLTRSTVAKKRTAELAGITDENVTNKKRVVLGELSNNVAGSVSKVQGKKPPTTTTAQKLQCKGKVKAKSKVVRRVLLEGGDEKKEDFDAMSNDPQMCGYYASDIYGYLHQMEVDPKRRPLPDYIEKVQKDVRMSMRGVLVDWLVEVAEEYKLVSDTLYMTVSYIDRFLSLNPLNRQRLQLLGVSSMLIASKYEEITPPNVEDFCNITDDTYTKDEVVKMEADILKSLKFELGNPTVKTFLRRFTRVAQEYYKASSLQLEFLGCYLAELSLLDYGCVKFLPSMVAASVIFLTRFIIRPKCHPWSSALQRNLGYKASDLKECVLILHDLYLSRRGDSLHAVRVKYKQHKFKYVATIPASPEIPASYFKDVQEVEIIDVEDVQVVEV >KJB63917 pep chromosome:Graimondii2_0_v6:10:1925679:1927885:-1 gene:B456_010G024100 transcript:KJB63917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQENCVRLTRSTVAKKRTAELAGITDENVTNKKRVVLGELSNNVAGSVSKVQGKKPPTTTTAQKLQCKGKVKAKSKVVRRVLLEGGDEKKEDFDAMSNDPQMCGYYASDIYGYLHQMEVDPKRRPLPDYIEKVQKDVRMSMRGVLVDWLVEVAEEYKLVSDTLYMTVSYIDRFLSLNPLNRQRLQLLGVSSMLIASKYEEITPPNVEDFCNITDDTYTKDEVVKMEADILKSLKFELGNPTVKTFLRRFTRVAQEYYKASSLQLEFLGCYLAELSLLDYGCVKFLPSMVAASVIFLTRFIIRPKCHPWSSALQRNLGYKASDLKECVLILHDLYLSRRGDSLHAVRVKYKQHKVSNMWQQFRLLRRFQLLISKMFKKLRLLMLKMFKWWKFDT >KJB67478 pep chromosome:Graimondii2_0_v6:10:54939582:54942007:-1 gene:B456_010G192600 transcript:KJB67478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAGVKAPLLPGSSKSAPAKPPSVSGAVFNVSTSIIGAGIMSIPATLKVLGVVPAFVMIVVIAWLADISVEFLMRYTHAGDTTTYAGVMGESFGRVGSILVQICVMITNLGCLIIFLIIIGDVLSGNQLEGSVHLGVLQQWFGIHWWNTRAFALLFTLIFVLLPLVVFKRVESLRYSSAISVFLAVVFVGISSVMAIMALLEGKTNSPRMVPELDNKAAFFDLFTAVPVIVTAFTFHFNAVRISMVLCAAIYFAIGLFGYLLFGDSIMADILVNFDQNSGSTIGSVLNDIVRLSYALHLMLVFPLLNFSLRANMDEFLFPNKTLLAKDNTRFWSLTLVILAFCYLAAIAIPNIWYFFQFMGSTSAVSLAFIFPGAIALRDAWGISTARDRILAAVMIVLAVVTSTIAISSNIYSLITENQS >KJB67477 pep chromosome:Graimondii2_0_v6:10:54939099:54942041:-1 gene:B456_010G192600 transcript:KJB67477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAGVKAPLLPGSSKSAPAKPPSVSGAVFNVSTSIIGAGIMSIPATLKVLGVVPAFVMIVVIAWLADISVEFLMRYTHAGDTTTYAGVMGESFGRVGSILVQICVMITNLGCLIIFLIIIGDVLSGNQLEGSVHLGVLQQWFGIHWWNTRAFALLFTLIFVLLPLVVFKRVESLRYSSAISVFLAVVFVGISSVMAIMALLEGKTNSPRMVPELDNKAAFFDLFTAVPVIVTAFTFHFNVHPIGFEMGKPSDMISAVRISMVLCAAIYFAIGLFGYLLFGDSIMADILVNFDQNSGSTIGSVLNDIVRLSYALHLMLVFPLLNFSLRANMDEFLFPNKTLLAKDNTRFWSLTLVILAFCYLAAIAIPNIWYFFQFMGSTSAVSLAFIFPGAIALRDAWGISTARDRILAAVMIVLAVVTSTIAISSNIYSLITENQS >KJB64963 pep chromosome:Graimondii2_0_v6:10:10448300:10453950:-1 gene:B456_010G073800 transcript:KJB64963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MTPVIPCSVSNITFIPGAACTVRKNTSLTGCSSSRKHTRYALPSQRFILPLSTSVTSFRKYGTGYALHGKLGICLSTAGTDVAVEESDSSVTKVSSGGSEIPSDAVETSENTTSQPDSTPPTQSKRARPVRKSEMPPVKNEELIPGAMFTGKVRSIQPFGAFVDFGAFTDGLVHVSRLSNSFVKDVASFVSVGQEVQVRLVEVNTESGRISLSMRENDDASKRLPRKDGPASTDKARSSRKNASKSSSKKDFKSSKFVKGQELDGTVKNLTRSGAFISLPEGEEGFLPQSEEADDGLMSMMGNSSLQIGQEVKVRVLRITRGQVTLTMKKEEDDDKLDSQLSQGVVYAATNPFMLAFRKNKEIAAFLDQREKAEKVEVQPAANVETTTVSTAVDETVVKETDAIAEIANKDEETAEKEIDDSFEELSPESGGQVPLAGVVESDEIAGSSGEVVDQVTSEYSVDEESTQKDVVQEEAPLAEDETSVAASVQEEEIGSIPEEQAETPLAEDKTPSAASVQEEEIGAVPDENGNVASSVVQPDVTDPKDAEDTVENEASPDPPQESADDLIKSSGSEAVEEVENQPKDTKDEVQIETPVSKDEIPSTSEVEEADSAPQKNDEVTDSNGSMSKENVTTATISPALVKQLREETGAGMMDCKKALAETGGDIVKAQEFLRKKGLASAEKKSSRVTAEGRIGSYIHDSRIGILVEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYLVPEDVPEEIVNKEREIEMQKEDLLSKPEQIRSKIVEGRIQKRIDELALLEQPYIKNDKMVVKDWVKQTIATIGENIKVKRFVRFNLGEGLEKKSQDFAAEVAAQTATKPVTTAGKEQSTSVEVMETDEKPKPAVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVASPQVQFVSIEDIPEGIVSKEKELEMQRDDLASKPENIREKIVEGRVSKRLGELALLEQPFIKDDSLLVKDLVKQTVAALGENIKVRRFVRFTLGEKTEDTKTGN >KJB64961 pep chromosome:Graimondii2_0_v6:10:10449287:10452765:-1 gene:B456_010G073800 transcript:KJB64961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MTPVIPCSVSNITFIPGAACTVRKNTSLTGCSSSRKHTRYALPSQRFILPLSTSVTSFRKYGTGYALHGKLGICLSTAGTDVAVEESDSSVTKVSSGGSEIPSDAVETSENTTSQPDSTPPTQSKRARPVRKSEMPPVKNEELIPGAMFTGKVRSIQPFGAFVDFGAFTDGLVHVSRLSNSFVKDVASFVSVGQEVQVRLVEVNTESGRISLSMRENDDASKRLPRKDGPASTDKARSSRKNASKSSSKKDFKSSKFVKGQELDGTVKNLTRSGAFISLPEGEEGFLPQSEEADDGLMSMMGNSSLQIGQEVKVRVLRITRGQVTLTMKKEEDDDKLDSQLSQGVVYAATNPFMLAFRKNKEIAAFLDQREKAEKVEVQPAANVETTTVSTAVDETVVKETDAIAEIANKDEETAEKEIDDSFEELSPESGGQVPLAGVVESDEIAGSSGEVVDQVTSEYSVDEESTQKDVVQEEAPLAEDETSVAASVQEEEIGSIPEEQAETPLAEDKTPSAASVQEEEIGAVPDENGNVASSVVQPDVTDPKDAEDTVENEASPDPPQESADDLIKSSGSEAVEEVENQPKDTKDEVQIETPVSKDEIPSTSEVEEADSAPQKNDEVTDSNGSMSKENVTTAATISPALVKQLREETGAGMMDCKKALAETGGDIVKAQEFLRKKGLASAEKKSSRVTAEGRIGSYIHDSRIGILVEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYLVPEDVPEEIVNKEREIEMQKEDLLSKPEQIRSKIVEGRIQKRIDELALLEQPYIKNDKMVVKDWVKQTIATIGENIKVKRFVRFNLGEGLEKKSQDFAAEVAAQTATKPVTTAGKEQSTSVEVMETDEK >KJB64958 pep chromosome:Graimondii2_0_v6:10:10448575:10452765:-1 gene:B456_010G073800 transcript:KJB64958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MTPVIPCSVSNITFIPGAACTVRKNTSLTGCSSSRKHTRYALPSQRFILPLSTSVTSFRKYGTGYALHGKLGICLSTAGTDVAVEESDSSVTKVSSGGSEIPSDAVETSENTTSQPDSTPPTQSKRARPVRKSEMPPVKNEELIPGAMFTGKVRSIQPFGAFVDFGAFTDGLVHVSRLSNSFVKDVASFVSVGQEVQVRLVEVNTESGRISLSMRENDDASKRLPRKDGPASTDKARSSRKNASKSSSKKDFKSSKFVKGQELDGTVKNLTRSGAFISLPEGEEGFLPQSEEADDGLMSMMGNSSLQIGQEVKVRVLRITRGQVTLTMKKEEDDDKLDSQLSQGVVYAATNPFMLAFRKNKEIAAFLDQREKAEKVEVQPAANVETTTVSTAVDETVVKETDAIAEIANKDEETAEKEIDDSFEELSPESGGQVPLAGVVESDEIAGSSGEVVDQVTSEYSVDEESTQKDVVQEEAPLAEDETSVAASVQEEEIGSIPEEQAETPLAEDKTPSAASVQEEEIGAVPDENGNVASSVVQPDVTDPKDAEDTVENEASPDPPQESADDLIKSSGSEAVEEVENQPKDTKDEVQIETPVSKDEIPSTSEVEEADSAPQKNDEVTDSNGSMSKENVTTAATISPALVKQLREETGAGMMDCKKALAETGGDIVKAQEFLRKKGLASAEKKSSRVTAEGRIGSYIHDSRIGILVEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYLVPEDVPEEIVNKEREIEMQKEDLLSKPEQIRSKIVEGRIQKRIDELALLEQPYIKNDKMVVKDWVKQTIATIGENIKVKRFVRFNLGEGLEKKSQDFAAEVAAQTATKPVTTAGKEQSTSVEVMETDEKPKPAVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVASPQVQFVSIEDIPEGIVSKEKELEMQRDDLASKPENIREKIVEGRVSKRLGELALLEQPFIKDDSLLVKDLVKQTVAALGENIKVRRFVRFTLGEKTEDTKTGN >KJB64959 pep chromosome:Graimondii2_0_v6:10:10448300:10453767:-1 gene:B456_010G073800 transcript:KJB64959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MTPVIPCSVSNITFIPGAACTVRKNTSLTGCSSSRKHTRYALPSQRFILPLSTSVTSFRKYGTGYALHGKLGICLSTAGTDVAVEESDSSVTKVSSGGSEIPSDAVETSENTTSQPDSTPPTQSKRARPVRKSEMPPVKNEELIPGAMFTGKVRSIQPFGAFVDFGAFTDGLVHVSRLSNSFVKDVASFVSVGQEVQVRLVEVNTESGRISLSMRENDDASKRLPRKDGPASTDKARSSRKNASKSSSKKDFKSSKFVKGQELDGTVKNLTRSGAFISLPEGEEGFLPQSEEADDGLMSMMGNSSLQIGQEVKVRVLRITRGQVTLTMKKEEDDDKLDSQLSQGVVYAATNPFMLAFRKNKEIAAFLDQREKAEKVEVQPAANVETTTVSTAVDETVVKETDAIAEIANKDEETAEKEIDDSFEELSPESGGQVPLAGVVESDEIAGSSGEVVDQVTSEYSVDEESTQKDVVQEEAPLAEDETSVAASVQEEEIGSIPEEQAETPLAEDKTPSAASVQEEEIGAVPDENGNVASSVVQPDVTDPKDAEDTVENEASPDPPQESADDLIKSSGSEAVEEVENQPKDTKDEVQIETPVSKDEIPSTSEVEEADSAPQKNDEVTDSNGSMSKENVTTAATISPALVKQLREETGAGMMDCKKALAETGGDIVKAQEFLRKKGLASAEKKSSRVTAEGRIGSYIHDSRIGILVEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYLVPEDVPEEIVNKEREIEMQKEDLLSKPEQIRSKIVEGRIQKRIDELALLEQPYIKNDKMVVKDWVKQTIATIGENIKVKRFVRFNLGEGLEKKSQDFAAEVAAQTATKPVTTAGKEQSTSVEVMETDEKPKPAVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVASPQVQFVSIEDIPEGIVSKEKELEMQRDDLASKPENIREKIVEGRVSKRLGELALLEQPFIKDDSLLVKDLVKQTVAALGENIKVRRFVRFTLGEKTEDTKTGN >KJB64960 pep chromosome:Graimondii2_0_v6:10:10448300:10452911:-1 gene:B456_010G073800 transcript:KJB64960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MTPVIPCSVSNITFIPGAACTVRKNTSLTGCSSSRKHTRYALPSQRFILPLSTSVTSFRKYGTGYALHGKLGICLSTAGTDVAVEESDSSVTKVSSGGSEIPSDAVETSENTTSQPDSTPPTQSKRARPVRKSEMPPVKNEELIPGAMFTGKVRSIQPFGAFVDFGAFTDGLVHVSRLSNSFVKDVASFVSVGQEVQVRLVEVNTESGRISLSMRENDDASKRLPRKDGPASTDKARSSRKNASKSSSKKDFKSSKFVKGQELDGTVKNLTRSGAFISLPEGEEGFLPQSEEADDGLMSMMGNSSLQIGQEVKVRVLRITRGQVTLTMKKEEDDDKLDSQLSQGVVYAATNPFMLAFRKNKEIAAFLDQREKAEKVEVQPAANVETTTVSTAVDETVVKETDAIAEIANKDEETAEKEIDDSFEELSPESGGQVPLAGVVESDEIAGSSGEVVDQVTSEYSVDEESTQKDVVQEEAPLAEDETSVAASVQEEEIGSIPEEQAETPLAEDKTPSAASVQEEEIGAVPDENGNVASSVVQPDVTDPKDAEDTVENEASPDPPQESADDLIKSSGSEAVEEVENQPKDTKDEVQIETPVSKDEIPSTSEVEEADSAPQKNDEVTDSNGSMSKENVTTATISPALVKQLREETGAGMMDCKKALAETGGDIVKAQEFLRKKGLASAEKKSSRVTAEGRIGSYIHDSRIGILVEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYLVPEDVPEEIVNKEREIEMQKEDLLSKPEQIRSKIVEGRIQKRIDELALLEQPYIKNDKMVVKDWVKQTIATIGENIKVKRFVRFNLGEGLEKKSQDFAAEVAAQTATKPVTTAGKEQSTSVEVMETDEKPKPAVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVASPQVQFVSIEDIPEGIVSKEKELEMQRDDLASKPENIREKIVEGRVSKRLGELALLEQPFIKDDSLLVKDLVKQTVAALGENIKVRRFVRFTLGEKTEDTKTGN >KJB64962 pep chromosome:Graimondii2_0_v6:10:10448248:10454183:-1 gene:B456_010G073800 transcript:KJB64962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MTPVIPCSVSNITFIPGAACTVRKNTSLTGCSSSRKHTRYALPSQRFILPLSTSVTSFRKYGTGYALHGKLGICLSTAGTDVAVEESDSSVTKVSSGGSEIPSDAVETSENTTSQPDSTPPTQSKRARPVRKSEMPPVKNEELIPGAMFTGKVRSIQPFGAFVDFGAFTDGLVHVSRLSNSFVKDVASFVSVGQEVQVRLVEVNTESGRISLSMRENDDASKRLPRKDGPASTDKARSSRKNASKSSSKKDFKSSKFVKGQELDGTVKNLTRSGAFISLPEGEEGFLPQSEEADDGLMSMMGNSSLQIGQEVKVRVLRITRGQVTLTMKKEEDDDKLDSQLSQGVVYAATNPFMLAFRKNKEIAAFLDQREKAEKVEVQPAANVETTTVSTAVDETVVKETDAIAEIANKDEETAEKEIDDSFEELSPESGGQVPLAGVVESDEIAGSSGEVVDQVTSEYSVDEESTQKDVVQEEAPLAEDETSVAASVQEEEIGSIPEEQAETPLAEDKTPSAASVQEEEIGAVPDENGNVASSVVQPDVTDPKDAEDTVENEASPDPPQESADDLIKSSGSEAVEEVENQPKDTKDEVQIETPVSKDEIPSTSEVEEADSAPQKNDEVTDSNGSMSKENVTTAATISPALVKQLREETGAGMMDCKKALAETGGDIVKAQEFLRKKGLASAEKKSSRVTAEGRIGSYIHDSRIGILVEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYLVPEDVPEEIVNKEREIEMQKEDLLSKPEQIRSKIVEGRIQKRIDELALLEQPYIKNDKMVVKDWVKQTIATIGENIKVKRFVRFNLGEGLEKKSQDFAAEVAAQTATKPVTTAGKEQSTSVEVMETDEKPKPAVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVASPQVQFVSIEDIPEGIVSKEKELEMQRDDLASKPENIREKIVEGRVSKRLGELALLEQPFIKDDSLLVKDLVKQTVAALGENIKVRRFVRFTLGEKTEDTKTGN >KJB66689 pep chromosome:Graimondii2_0_v6:10:41620902:41624672:-1 gene:B456_010G152500 transcript:KJB66689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGCFGGSVVKRRQSTARATGGIDEQLIRTVNHFSYDQLKLATDDFHSSNKIGRGGFGTVYKGILKDGTEVAVKTLAAQSKQGAHEFLTEINTISNVKHPNLVELIGCCIQGANRILVYEYVENKSLDKVLLDQRSTNIQLDWSKRSAICMGIARGLAFLHEELVPRIVHRDIKSSNILLDKDFNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTMKADVYSFGVLVLEIISGRSSSKTNWGGTMKLLLEWAWQLHEEGKLIEFVDPELGEFPEEEVLRYMKIAFFCTQAAANRRPLMSQVIEMLGRNVRLNEKELTAPGFFQDGEASSSKKSLESSAATSYQMSSVPVTITEVIPR >KJB63231 pep chromosome:Graimondii2_0_v6:10:25852750:25853998:1 gene:B456_010G1250002 transcript:KJB63231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIEGNNTNANDAIMLDKDDYVSETNATNIFLVKKGRVLTPHADYCLLGITRATIMELVVNEKFELVERRISLSEFHAADENMATIKTGTWP >KJB63230 pep chromosome:Graimondii2_0_v6:10:25852750:25853673:1 gene:B456_010G1250002 transcript:KJB63230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIEGNNTNANDAIMLDKDDYVSETNATNIFLVKKGRVLTPHADYCLLGITRATIMELVVNEKFELVERRISLSEFHAADE >KJB63232 pep chromosome:Graimondii2_0_v6:10:25852750:25854684:1 gene:B456_010G1250002 transcript:KJB63232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIEGNNTNANDAIMLDKDDYVSETNATNIFLVKKGRVLTPHADYCLLGITRATIMELVVNEKFELVERRISLSEFHAADEVLDC >KJB66063 pep chromosome:Graimondii2_0_v6:10:26396434:26407185:1 gene:B456_010G125900 transcript:KJB66063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQSQLALILGPDPIPFETLVSHLMSSSNEQRSHAEALFNLCKQSDPDALCLRLAHLLQVCTQPEIRAMAAILLRKLLTRDDSYIWPRLNISTQSSLKSVLLSQIQVETTKNLSKKLCDTVAELASSILPENGWPELLPFMFQCVSSDSPKLQESAFLIFAQLSQYIGDVLTPFIKDLHTVFLRCLSESSNADVKIAALNAVINFIQCLTSSSDRDRFQDLLPAMMRTLTEALNNGNEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAESLEEGTRHLAIEFVVTLAEARERAPGMMRKLPQFISRLFAILMRMLLDIEDDAAWHTAESEDEDAGETSNYSVGQECLDRLAISLGGNTIVPVASEQLPAYLAASEWQKHHAALIALAQIAEGCAKVMIKNLEQVVSMVLNTFHDSHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAGAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILVNATDKSNRMLRAKSLECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNDIEDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGMAQGRNETYVKQLSDYIIPALVEALHKEPDTEICASMLDALNECIQISGPLLDESQVRSIVDEIKQVITASASRKRERAERAKAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLVKTFKASFLPFFDELSSYLTPMWGKDKTAEERRIAICIFDDVAEQCREAAIKYYDTYLPFILEACNDENPDVRQAAVYGLGVCTEFGGPVFKPLVGEALSRLNAVIRHPNALQPENVMAYDNAVSALGKICLFHRDSIDAAQIVPAWLNCLPIKGDLIEAKVVHEQLCSMVERQ >KJB66061 pep chromosome:Graimondii2_0_v6:10:26396434:26404764:1 gene:B456_010G125900 transcript:KJB66061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQSQLALILGPDPIPFETLVSHLMSSSNEQRSHAEALFNLCKQSDPDALCLRLAHLLQVCTQPEIRAMAAILLRKLLTRDDSYIWPRLNISTQSSLKSVLLSQIQVETTKNLSKKLCDTVAELASSILPENGWPELLPFMFQCVSSDSPKLQESAFLIFAQLSQYIGDVLTPFIKDLHTVFLRCLSESSNADVKIAALNAVINFIQCLTSSSDRDRFQDLLPAMMRTLTEALNNGNEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAESLEEGTRHLAIEFVVTLAEARERAPGMMRKLPQFISRLFAILMRMLLDIEDDAAWHTAESEDEDAGETSNYSVGQECLDRLAISLGGNTIVPVASEQLPAYLAASEWQKHHAALIALAQIAEGCAKVMIKNLEQVVSMVLNTFHDSHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAGAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILVNATDKSNRMLRAKSLECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNDIEDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGMAQGRNETYVKQLSDYIIPALVEALHKEPDTEICASMLDALNECIQISGPLLDESQVRSIVDEIKQVITASASRKRERAERAKAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLVKTFKASFLPFFDELSSYLTPMWGKDKTAEERRIAICIFDDVAEQCREAAIKYYDTYLPFILEACNDENPDVRQAAVYGLGVCTEFGGPVFKPLVGEALSRLNAVIRHPNALQPENVMAYDNAVSALGKICLFHRDSIDAAQIVPAWLNCLPIKGDLIEAKVVHEQLCSMVER >KJB66060 pep chromosome:Graimondii2_0_v6:10:26396434:26402006:1 gene:B456_010G125900 transcript:KJB66060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQSQLALILGPDPIPFETLVSHLMSSSNEQRSHAEALFNLCKQSDPDALCLRLAHLLQVCTQPEIRAMAAILLRKLLTRDDSYIWPRLNISTQSSLKSVLLSQIQVETTKNLSKKLCDTVAELASSILPENGWPELLPFMFQCVSSDSPKLQESAFLIFAQLSQYIGDVLTPFIKDLHTVFLRCLSESSNADVKIAALNAVINFIQCLTSSSDRDRFQDLLPAMMRTLTEALNNGNEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAESLEEGTRHLAIEFVVTLAEARERAPGMMRKLPQFISRLFAILMRMLLDIEDDAAWHTAESEDEDAGETSNYSVGQECLDRLAISLGGNTIVPVASEQLPAYLAASEWQKHHAALIALAQIAEGCAKVMIKNLEQVVSMVLNTFHDSHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAGAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILVNATDKSNRMLRAKSLECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNDIEDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGMAQGRNETYVKQLSDYIIPALVEALHKEPDTEICASMLDALNECIQVKLIT >KJB66064 pep chromosome:Graimondii2_0_v6:10:26396541:26403875:1 gene:B456_010G125900 transcript:KJB66064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQSQLALILGPDPIPFETLVSHLMSSSNEQRSHAEALFNLCKQSDPDALCLRLAHLLQVCTQPEIRAMAAILLRKLLTRDDSYIWPRLNISTQSSLKSVLLSQIQVETTKNLSKKLCDTVAELASSILPENGWPELLPFMFQCVSSDSPKLQESAFLIFAQLSQYIGDVLTPFIKDLHTVFLRCLSESSNADVKIAALNAVINFIQCLTSSSDRDRFQDLLPAMMRTLTEALNNGNEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAESLEEGTRHLAIEFVVTLAEARERAPGMMRKLPQFISRLFAILMRMLLDIEDDAAWHTAESEDEDAGETSNYSVGQECLDRLAISLGGNTIVPVASEQLPAYLAASEWQKHHAALIALAQIAEGCAKVMIKNLEQVVSMVLNTFHDSHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAGAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILVNATDKSNRMLRAKSLECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNDIEDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGMAQGRNETYVKQLSDYIIPALVEALHKEPDTEICASMLDALNECIQISGPLLDESQVRSIVDEIKQVITASASRKRERAERAKAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLVKTFKASFLPFFDELSSYLTPMWGKDKTAEERRIAICIFDDVAEQCREAAIKYYDTYLPFILEACNDENPDVRQAAVYGLGVCTEFGGPVFKPLVGEALSRLNAVIRHPNALQPENVMAYDNAVSALGKICLFHRDSIDAAQIVPAWLNCLPIKGDLIEAKVVHEQLCSMVER >KJB66059 pep chromosome:Graimondii2_0_v6:10:26396315:26407242:1 gene:B456_010G125900 transcript:KJB66059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQSQLALILGPDPIPFETLVSHLMSSSNEQRSHAEALFNLCKQSDPDALCLRLAHLLQVCTQPEIRAMAAILLRKLLTRDDSYIWPRLNISTQSSLKSVLLSQIQVETTKNLSKKLCDTVAELASSILPENGWPELLPFMFQCVSSDSPKLQESAFLIFAQLSQYIGDVLTPFIKDLHTVFLRCLSESSNADVKIAALNAVINFIQCLTSSSDRDRFQDLLPAMMRTLTEALNNGNEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAESLEEGTRHLAIEFVVTLAEARERAPGMMRKLPQFISRLFAILMRMLLDIEDDAAWHTAESEDEDAGETSNYSVGQECLDRLAISLGGNTIVPVASEQLPAYLAASEWQKHHAALIALAQIAEGCAKVMIKNLEQVVSMVLNTFHDSHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAGAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILVNATDKSNRMLRAKSLECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNDIEDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGMAQGRNETYVKQLSDYIIPALVEALHKEPDTEICASMLDALNECIQISGPLLDESQVRSIVDEIKQVITASASRKRERAERAKAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLVKTFKASFLPFFDELSSYLTPMWGKDKTAEERRIAICIFDDVAEQCREAAIKYYDTYLPFILEACNDENPDVRQAAVYGLGVCTEFGGPVFKPLVGEALSRLNAVIRHPNALQPENVMAYDNAVSALGKICLFHRDSIDAAQIVPAWLNCLPIKGDLIEAKVVHEQLCSMVERSDSEVLGPNHQYLPKIVAVFAEVLCGKDLATEQTASRMLNLLRQLQQTLPAATLASTWSSLQPQQQLALQSMLSS >KJB66062 pep chromosome:Graimondii2_0_v6:10:26396434:26404964:1 gene:B456_010G125900 transcript:KJB66062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQSQLALILGPDPIPFETLVSHLMSSSNEQRSHAEALFNLCKQSDPDALCLRLAHLLQVCTQPEIRAMAAILLRKLLTRDDSYIWPRLNISTQSSLKSVLLSQIQVETTKNLSKKLCDTVAELASSILPENGWPELLPFMFQCVSSDSPKLQESAFLIFAQLSQYIGDVLTPFIKDLHTVFLRCLSESSNADVKIAALNAVINFIQCLTSSSDRDRFQDLLPAMMRTLTEALNNGNEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAESLEEGTRHLAIEFVVTLAEARERAPGMMRKLPQFISRLFAILMRMLLDIEDDAAWHTAESEDEDAGETSNYSVGQECLDRLAISLGGNTIVPVASEQLPAYLAASEWQKHHAALIALAQIAEGCAKVMIKNLEQVVSMVLNTFHDSHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAGAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILVNATDKSNRMLRAKSLECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNDIEDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGMAQGRNETYVKQLSDYIIPALVEALHKEPDTEICASMLDALNECIQISGPLLDESQVRSIVDEIKQVITASASRKRERAERAKAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLVKTFKASFLPFFDELSSYLTPMWGKDKTAEERRIAICIFDDVAEQCREAAIKYYDTYLPFILEACNDENPDVRQAAVYGLGVCTEFGGPVFKPLVGEALSRLNAVIRHPNALQPENVMAYDNAVSALGKICLFHRDSIDAAQIVPAWLNCLPIKGDLIEAKVVHEQLCSMVERSDSEVLGPNHQYLPKIVAVFAEVKFSSCSIKFVLTNCCLCLNCLF >KJB67424 pep chromosome:Graimondii2_0_v6:10:54413033:54416419:-1 gene:B456_010G190500 transcript:KJB67424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSQEAILELQALMDSVEEPLNITFQNVHQGFRAETLVRFLKARDWNVAKAHKMLVDCLHWRVQNTIDDILAKPIVPIELYGAVRDSQLIGMSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRVILPSASKKHGRPITTCIKVLDMTGLKLSALSKIKLLTIISTIDDLNYPEKTNTYYIVNAPYIFSACWKVVKPLLQERTRKKIQVLPGCGRDELLKVWCFSHYVLKHLKTWVLTVFLFKCLATENIIIPVSIFPHPPLSVISK >KJB67422 pep chromosome:Graimondii2_0_v6:10:54412651:54416306:-1 gene:B456_010G190500 transcript:KJB67422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSQEAILELQALMDSVEEPLNITFQNVHQGFRAETLVRFLKARDWNVAKAHKMCVMFQLVDCLHWRVQNTIDDILAKPIVPIELYGAVRDSQLIGMSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRVILPSASKKHGRPITTCIKVLDMTGLKLSALSKIKLLTIISTIDDLNYPEKTNTYYIVNAPYIFSACWKVVKPLLQERTRKKIQVLPGCGRDELLKIMDIASLPHFCRKDGSGSSRNSENENCFSLDHPFHQQLYNYIKQQSLISEPAQPIKQGSFHVDLPEPAAEETEIAKSLESELQKFEKGNMLSKSTDGIKIDDD >KJB67420 pep chromosome:Graimondii2_0_v6:10:54412263:54416181:-1 gene:B456_010G190500 transcript:KJB67420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEPLNITFQNVHQGFRAETLVRFLKARDWNVAKAHKMLVDCLHWRVQNTIDDILAKPIVPIELYGAVRDSQLIGMSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRVILPSASKKHGRPITTCIKVLDMTGLKLSALSKIKLLTIISTIDDLNYPEKTNTYYIVNAPYIFSACWKVVKPLLQERTRKKIQVLPGCGRDELLKIMDIASLPHFCRKDGSGSSRNSENENCFSLDHPFHQQLYNYIKQQSLISEPAQPIKQGSFHVDLPEPAAEETEIAKSLESELQKFEKGNMLSKSTDGIKIDDD >KJB67419 pep chromosome:Graimondii2_0_v6:10:54412257:54416559:-1 gene:B456_010G190500 transcript:KJB67419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSQEAILELQALMDSVEEPLNITFQNVHQGFRAETLVRFLKARDWNVAKAHKMLVDCLHWRVQNTIDDILAKPIVPIELYGAVRDSQLIGMSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRVILPSASKKHGRPITTCIKVLDMTGLKLSALSKIKLLTIISTIDDLNYPEKTNTYYIVNAPYIFSACWKVVKPLLQERTRKKIQVLPGCGRDELLKIMDIASLPHFCRKDGSGSSRNSENENCFSLDHPFHQQLYNYIKQQSLISEPAQPIKQGSFHVDLPEPAAEETEIAKSLESELQKFEKGNMLSKSTDGIKIDDD >KJB67423 pep chromosome:Graimondii2_0_v6:10:54412263:54416559:-1 gene:B456_010G190500 transcript:KJB67423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSQEAILELQALMDSVEEPLNITFQNVHQGFRAETLVRFLKARDWNVAKAHKMLVDCLHWRVQNTIDDILAKPIVPIELYGAVRDSQLIGMSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRVILLLTIISTIDDLNYPEKTNTYYIVNAPYIFSACWKVVKPLLQERTRKKIQVLPGCGRDELLKIMDIASLPHFCRKDGSGSSRNSENENCFSLDHPFHQQLYNYIKQQSLISEPAQPIKQGSFHVDLPEPAAEETEIAKSLESELQKFEKGNMLSKSTDGIKIDDD >KJB67421 pep chromosome:Graimondii2_0_v6:10:54412263:54416419:-1 gene:B456_010G190500 transcript:KJB67421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSQEAILELQALMDSVEEPLNITFQNVHQGFRAETLVRFLKARDWNVAKAHKMLVDCLHWRVQNTIDDILAKPIVPIELYGAVRDSQLIGMSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRVILPSASKKHGRPITTCIKVLDMTGLKLSALSKIKLLTIISTIDDLNYPEKTNTYYIVNAPYIFSACWKVVKPLLQERTRKKIQVLPGCGRDELLKGSFHVDLPEPAAEETEIAKSLESELQKFEKGNMLSKSTDGIKIDDD >KJB64721 pep chromosome:Graimondii2_0_v6:10:7635811:7645469:1 gene:B456_010G061900 transcript:KJB64721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAELHDLSDDADYAASQQQGSPSIMRCDSGKKSSSSEPEGAQIVYLKDNVTIHPTQFASERISGRLKLIKQGSSLFMTWIPYKGQSTNAKLSEKDRNLYTIRAVPFADVRSIRRHTPALGWQYIIVVLSFGLAFPPLYFYNGGVREFLATVKQHVLLMRSAEDANVFLVNDFQNPLQRTLSSLELPRAVSIASVPSTPVSVGESSSQQNEERTDVSASVPQYNGRQRQKGHDPARDISIQVLEKFSLVTKFARETTSQLFRETHSNGFGPLERRNSNQSALDYSHKSSEYKEDVPVQSPVDPDPLEFDKLSLVWGKPRQPPLGLEEWATFLDSEGRVVDPKALRKRIFYGGVEHKFRKEVWAILLGYHSYESTYAEREYQKSIKKTEYETIKNQWQSISPEQAKRFTKFRERKGLIEKDVVRTDRSLSFYDGDDNPNVNLLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESESFWCFVALMERLGPNFNRDQNGMHSQLFALSKLVELLDRPLHKYFEQNDCLNYFFCFRWILIQFKRSGSWT >KJB64719 pep chromosome:Graimondii2_0_v6:10:7635660:7646618:1 gene:B456_010G061900 transcript:KJB64719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAELHDLSDDADYAASQQQGSPSIMRCDSGKKSSSSEPEGAQIVYLKDNVTIHPTQFASERISGRLKLIKQGSSLFMTWIPYKGQSTNAKLSEKDRNLYTIRAVPFADVRSIRRHTPALGWQYIIVVLSFGLAFPPLYFYNGGVREFLATVKQHVLLMRSAEDANVFLVNDFQNPLQRTLSSLELPRAVSIASVPSTPVSVGESSSQQNEERTDVSASVPQYNGRQRQKGHDPARDISIQVLEKFSLVTKFARETTSQLFRETHSNGFGPLERRNSNQSALDYSHKSSEYKEDVPVQSPVDPDPLEFDKLSLVWGKPRQPPLGLEEWATFLDSEGRVVDPKALRKRIFYGGVEHKFRKEVWAILLGYHSYESTYAEREYQKSIKKTEYETIKNQWQSISPEQAKRFTKFRERKGLIEKDVVRTDRSLSFYDGDDNPNVNLLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESESFWCFVALMERLGPNFNRDQNGMHSQLFALSKLVELLDRPLHKYFEQNDCLNYFFCFRWILIQFKRELEYEQTMRLWEVLWTHYLSEHLHLYVCVAILKRYRGKIMGEQMDFDTLLKFINELSGQIELDAILRDAEALCICAGENGAACIPPGTVPSLPVDNGLFYSQQDDEVL >KJB64720 pep chromosome:Graimondii2_0_v6:10:7635811:7644843:1 gene:B456_010G061900 transcript:KJB64720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAELHDLSDDADYAASQQQGSPSIMRCDSGKKSSSSEPEGAQIVYLKDNVTIHPTQFASERISGRLKLIKQGSSLFMTWIPYKGQSTNAKLSEKDRNLYTIRAVPFADVRSIRRHTPALGWQYIIVVLSFGLAFPPLYFYNGGVREFLATVKQHVLLMRSAEDANVFLVNDFQNPLQRTLSSLELPRAVSIASVPSTPVSVGESSSQQNEERTDVSASVPQYNGRQRQKGHDPARDISIQVLEKFSLVTKFARETTSQLFRETHSNGFGPLERRNSNQSALDYSHKSSEYKEDVPVQSPVDPDPLEFDKLSLVWGKPRQPPLGLEEWATFLDSEGRVVDPKALRKRIFYGGVEHKFRKEVWAILLGYHSYESTYAEREYQKSIKKTEYETIKNQWQSISPEQAKRFTKFRERKGLIEKDVVRTDRSLSFYDGDDNPNVNLLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESESFWCFVALMERLGPNFNRDQNGMHSQLFALSKVSISLSQTHTHTHKHT >KJB68420 pep chromosome:Graimondii2_0_v6:10:61375233:61376415:1 gene:B456_010G244500 transcript:KJB68420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVTMIFAVFGFLSPSNRGGLMTAMLLLWVFMGIFAGYASARLYKMFKGAEWKKLAFRTACMFPGIVFAIFFVLNAIIWGQKSSGAVPFGTMFALVLMWFGISVPLVFVGGYVGFKKPAIEDPVRTNKIPRQIPEQAWYMNPTFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILLITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGLLYFGYMLIASYAFFVLTGSIGFYACFWFTRLIYSSVKID >KJB67542 pep chromosome:Graimondii2_0_v6:10:56082790:56084628:-1 gene:B456_010G199400 transcript:KJB67542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQSKMFTIGLVSAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSRVQFFKITTLSLVFCVSVVFGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTLKREGWLTYITLVPVVTGVIIASGGEPSFHLFGFIMCISATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEENVVGITLALARDDVKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVWHASAF >KJB67541 pep chromosome:Graimondii2_0_v6:10:56082030:56084628:-1 gene:B456_010G199400 transcript:KJB67541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQSKMFTIGLVSAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSRVQFFKITTLSLVFCVSVVFGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTLKREGWLTYITLVPVVTGVIIASGGEPSFHLFGFIMCISATAARALKEKLNSMNLLLYMAPIAVVFLLPATLIMEENVVGITLALARDDVKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVFGVILYSEAKKRSK >KJB67540 pep chromosome:Graimondii2_0_v6:10:56081950:56084628:-1 gene:B456_010G199400 transcript:KJB67540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQSKMFTIGLVSAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSRVQFFKITTLSLVFCVSVVFGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTLKREGWLTYITLVPVVTGVIIASGGEPSFHLFGFIMCISATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEENVVGITLALARDDVKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVFGVILYSEAKKRSK >KJB67568 pep chromosome:Graimondii2_0_v6:10:55843025:55843078:1 gene:B456_010G1975001 transcript:KJB67568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELTSESGVLRCSRSLIC >KJB68351 pep chromosome:Graimondii2_0_v6:10:60996407:60996739:-1 gene:B456_010G240500 transcript:KJB68351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKPSSKIPLFFPIIFLLLSTLTQPSLSSSIDTIIINGKDPSISLRRRIVLASQRNKKMNQIPNCGEMVSRSQCLQNPKCRWCRSEALDDMCFKKAEAWRLPQQVFLCN >KJB65010 pep chromosome:Graimondii2_0_v6:10:10832089:10833384:-1 gene:B456_010G075900 transcript:KJB65010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIPLMKDQPLGRLSLWVILKLPLIRGEIERRHQLCRRNWSLLNLLMGLKKCSVITIRLNLSRTRMVQLHNIKCI >KJB68242 pep chromosome:Graimondii2_0_v6:10:60459210:60466040:1 gene:B456_010G233900 transcript:KJB68242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYASRIINHSKKLRNVPNLLRHDHAVLVRCFSNGAQSSVCKSEAAERERVSKFVACNHVSSGLPRNNCSRTTMRLGIPTGGVAYSRELTCSQVQSRRGFASDAGLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVNPGEVLCEVETDKATVEMECMEEGYLAKIIKGDGSKEIKVGEIIAITVEEEEDIAKFKDYSPSTSDSGAPAAKEPAAPPPKQEPVQQPVSSPEAKSKPSSPPSEDRLFASPLARKMAEDHKVPLSSIKGTGPDGRIVKADIEDYLASRGKDVSAPTPKTKDGKLEALDYVDIPHSQIRKVTASRLLISKQTIPHYYLTVDTCVDKLLDLRSQLNSLLEASGGKRISVNDLVIKAAALALRKVPQCNSSWTDDYIRQYNNVNINVAVQTENGLYVPVIRNADKKGLSSISSEVKQLAQKAKENSPKPEDYEVNNYG >KJB68243 pep chromosome:Graimondii2_0_v6:10:60459210:60466040:1 gene:B456_010G233900 transcript:KJB68243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYASRIINHSKKLRNVPNLLRHDHAVLVRCFSNGAQSSVCKSEAAERERVSKFVACNHVSSGLPRNNCSRTTMRLGIPTGGVAYSRELTCSQVQSRRGFASDAVSGLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVNPGEVLCEVETDKATVEMECMEEGYLAKIIKGDGSKEIKVGEIIAITVEEEEDIAKFKDYSPSTSDSGAPAAKEPAAPPPKQEPVQQPVSSPEAKSKPSSPPSEDRLFASPLARKMAEDHKVPLSSIKGTGPDGRIVKADIEDYLASRGKDVSAPTPKTKDGKLEALDYVDIPHSQIRKVTASRLLISKQTIPHYYLTVDTCVDKLLDLRSQLNSLLEASGGKRISVNDLVIKAAALALRKVPQCNSSWTDDYIRQYNNVNINVAVQTENGLYVPVIRNADKKGLSSISSEVKQLAQKAKENSPKPEDYEGGTFTVSNLGGPFGIKQFCAIINPPQSGILAVGSAEKRVIPSSGPEQFQFASFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >KJB68240 pep chromosome:Graimondii2_0_v6:10:60459113:60466040:1 gene:B456_010G233900 transcript:KJB68240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYASRIINHSKKLRNVPNLLRHDHAVLVRCFSNGAQSSVCKSEAAERERVSKFVACNHVSSGLPRNNCSRTTMRLGIPTGGVAYSRELTCSQVQSRRGFASDAGLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVNPGEVLCEVETDKATVEMECMEEGYLAKIIKGDGSKEIKVGEIIAITVEEEEDIAKFKDYSPSTSDSGAPAAKEPAAPPPKQEPVQQPVSSPEAKSKPSSPPSEDRLFASPLARKMAEDHKVPLSSIKGTGPDGRIVKADIEDYLASRGKDVSAPTPKTKDGKLEALDYVDIPHSQIRKVTASRLLISKQTIPHYYLTVDTCVDKLLDLRSQLNSLLEASGGKRISVNDLVIKAAALALRKVPQCNSSWTDDYIRQYNNVNINVAVQTENGLYVPVIRNADKKGLSSISSEVKQLAQKAKENSPKPEDYEGGTFTVSNLGGPFGIKQFCAIINPPQSGILAVGSAEKRVIPSSGPEQFQFASFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >KJB68241 pep chromosome:Graimondii2_0_v6:10:60459210:60466040:1 gene:B456_010G233900 transcript:KJB68241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYASRIINHSKKLRNVPNLLRHDHAVLVRCFSNGAQSSVCKSEAAERERVSKFVACNHVSSGLPRNNCSRTTMRLGIPTGGVAYSRELTCSQVQSRRGFASDAGLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVNPGEVLCEVETDKATVEMECMEEGYLAKIIKGDGSKEIKVGEIIAITVEEEEDIAKFKDYSPSTSDSGAPAAKEPAAPPPKQEPVQQPVSSPEAKSKPSSPPSEDRLFASPLARKMAEDHKVPLSSIKGTGPDGRIVKADIEDYLASRGKDVSAPTPKTKDGKLEALDYVDIPHSQIRKVTASRLLISKQTIPHYYLTVDTCVDKLLDLRSQLNSLLEASGGKRISVNDLVIKAAALALRKVPQCNSSWTDDYIRQYNNVNINVAFQFASFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >KJB64374 pep chromosome:Graimondii2_0_v6:10:4982033:4984385:1 gene:B456_010G046200 transcript:KJB64374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPLSICILSVRLFPCAVQDTHSIGGGHIFSGGGGVCYGRLRPQNHQALECPRCDSLNTKFCYYNSYNLSRPRYFCKSCRRYWTKGGVLRNFPVGGGCRKARHSKIKPSATITVAATAVQPQLLQQQHCNQLKENTHSSSESSSLTATNFNVPATNNNNDSGGSTAEAAPAVTSHSSLINMESFTSLITWSNNKTVPFGFGNVFTKNGEHGLTGVEELTMGLLDPTVEIELANLDNRSKGGDCGGFGPLDWEGSGGQGTTLLDLSNGDQTYWDQN >KJB68592 pep chromosome:Graimondii2_0_v6:10:61877831:61879456:-1 gene:B456_010G253000 transcript:KJB68592 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CPC MADSQHSSSGKTYVNSQDFSSEEETNEESKLKFSEDEETLIIRMFNLVGERWALIAGRIPGRTAEEIEEYWNTRYSTSE >KJB63526 pep chromosome:Graimondii2_0_v6:10:198627:199418:1 gene:B456_010G004500 transcript:KJB63526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISNTLLATLLISLLLLLGFVESSSDPMVITNMVEQSFTDDKIDCDEACKERCKLSSRPNLCKRACGTCCDRCNCVPPGTSGNYDVCPCYRDMTTHGGKRKCP >KJB67683 pep chromosome:Graimondii2_0_v6:10:56884731:56887334:1 gene:B456_010G203600 transcript:KJB67683 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/TrEMBL;Acc:A0A178V2M9] MNQRSSNLLDEALGLDQVIEPWPLRGRVVAIEDQVETSGSFVLHHLLKRSLSPNSSNVTIFIAFSQPFSHYDRILRKLGCNLVSQRDNSRFFFFDMLKLQCPDGDEGITPEGGLIALYGKIHKTISALPEISWKNVSIIIDDLSLMEVAANGSSDYVLDFLHYCRTLTSEFDCSLITLNHEDIYSSEDRPTFFIQMEYLADILIKAEPLATGLATDVHGQLTVSNKGRNKVSNFHFKVKENVVECFYPGRS >KJB67684 pep chromosome:Graimondii2_0_v6:10:56884788:56886790:1 gene:B456_010G203600 transcript:KJB67684 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/TrEMBL;Acc:A0A178V2M9] MNQRSSNLLDEALGLDQVIEPWPLRGRVVAIEDQVETSGSFVLHHLLKRSLSPNSSNVTIFIAFSQPFSHYDRILRKLGCNLVSQRDNSRFFFFDMLKLQCPDGDEGITPEGGLIALYGKIHKTISALPEISWKNVSIIIDDLSLMEVAANGSSDYVLDFLHYCRTLTSEFDCSLITLNHEDIYSSEDRPTFFIQMEYLADILIKAEPLATGLATDVHGQVPKCYSCFIVG >KJB63518 pep chromosome:Graimondii2_0_v6:10:176116:177508:-1 gene:B456_010G004000 transcript:KJB63518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSFDSLFTMEDTEVANILLELPRLILRPPRSSCTWGCRRKRSVFTSSPPLLPSSAVVGPVENVVSSSPDTPLSFCPSEADEKPLPLPPKKKASSVDILKRKKEQLLEMVEDFTHRNELLKRDIEIKRKLLDQQLAENLELKSKKQKLNQRVLTPESTQISVGQHQQGIPSRVHQQPLTMDQMVEMMSMLPSSKGIPDLNVSAEEAFMDVDIVSKSRAAAARFKRKQICRAKNLKGV >KJB67030 pep chromosome:Graimondii2_0_v6:10:49669394:49670063:-1 gene:B456_010G171000 transcript:KJB67030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQTSKQFRLNANPIGQHSSHTDQDFNNLTAVDKIHPIYASKIFYFRLIFSYQIVLQMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB65609 pep chromosome:Graimondii2_0_v6:10:18746566:18749708:-1 gene:B456_010G103300 transcript:KJB65609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRFGSVGSLEEGKEPAVTTRSKTPPLRVLQLFGLFLALCMAFFMISIYTIRHFGTYSVIATVKSNIVPCDEDETTSLDHWRKPPSNLLHSMSDEELLWRASFMPRIKKYPFNRVPKIAFMFLTKGPLPLSPVWERFFDGHQGLYSVYVHSLPSFDAEFPPSSVFYRRQIPSQVSEWGKMSMCDAERRLLANALLDISNEWFILLSESCIPLYNFSVIYHYIKKSKYSFIGAFDDPGPHGQGRYNESMAPEVNLTQWRKGSQWFEINRKLAVNIVEDITYYPKFEQFCRPACYVDEHYFPTMLTIQASNLLANRSITWVDWSRGGAHPATFGSADITEEFFTRIYEGHECRYNDQPSSICFLFARKFAPSALEPLLQIAPKVLGF >KJB66552 pep chromosome:Graimondii2_0_v6:10:36827781:36831132:-1 gene:B456_010G143400 transcript:KJB66552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIPHPIPRTVEEVFNDFKGRRSGLIKALTTDVDKFYQQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERYIKWKQRKTKEIEGKFNQEKTLPDDK >KJB66550 pep chromosome:Graimondii2_0_v6:10:36827094:36831215:-1 gene:B456_010G143400 transcript:KJB66550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIPHPIPRTVEEVFNDFKGRRSGLIKALTTDVDKFYQQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNVKQLKDQSANHNGSSKSKSSAKSRQSEPQSKMVKMSPPSKDEDESGEEDEEDDEQGATCGACGDSYGTDEFWICCDICERWFHGKCVKITPAKAEHIKQYKCPSCSSKRARV >KJB66551 pep chromosome:Graimondii2_0_v6:10:36827228:36831132:-1 gene:B456_010G143400 transcript:KJB66551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIPHPIPRTVEEVFNDFKGRRSGLIKALTTDVDKFYQQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNVKQLKDQSANHNGSSKSKSSAKVVFILLCLYSFYMHLSYLMSN >KJB63461 pep chromosome:Graimondii2_0_v6:10:15910:19202:-1 gene:B456_010G000300 transcript:KJB63461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIQFRLTLSFSTSSMADLPSFSTEEFYWLASFFWGHHHLQTNNWFGSAEWIWFNRNITLRCWSTTLL >KJB64241 pep chromosome:Graimondii2_0_v6:10:3632758:3639414:-1 gene:B456_010G038900 transcript:KJB64241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQSEFRHWDELLPDVLGLIFTNLPIQELLTVIPCVCKSWSKVVTGSHCWQYIDLDERSCRRLSHQLDRMLRMLITRSNGSLRSLHVSGLQNDSIFSFITENVGSLQVLRLPRSLISDSIVKQTARRLSIVTFLDLSYCHKIGAQAIEAIGKHCKHLVVLCRNMYSPDSADKVEAEDEANAIASTMPRLKQLEFAYRCISTECVLNLLSCCPQLVHLKIDEFLSEKLDHKFLKEKYPKLEILLLYLVILFESDESDDDEYLDM >KJB67867 pep chromosome:Graimondii2_0_v6:10:58387263:58388635:-1 gene:B456_010G215800 transcript:KJB67867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKQFIGYALAVLASLVVSAQGSDFAFYKLSLIWPTSACYPLANCKTPIPTFFTIHGLWPTFANDTAVPAYGPNNRCHANPVGPDAAVAKLTPIQDRLNQRWPNLRAGVDNSVFWRHEWQNHGMCSDYPQDPLSYFNDTLNLATSTKFDPFKALGVQPSNTPYLLNTLLQNVYKNVGAYPQISCSQRTGGALYLREIRFCLTRTNKTPPSVVQSCPTRVAGGCRDPLTNNVHFPPAS >KJB67014 pep chromosome:Graimondii2_0_v6:10:49414893:49416681:1 gene:B456_010G170200 transcript:KJB67014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDGIVSSPHRRSGSMRKQFPKDELGSWSTLVQRHRFLLTALGLLAFLCTIYLYFAVTLGATDTCSGLEGTERATCKLQHARSTLSHGKLKLF >KJB67017 pep chromosome:Graimondii2_0_v6:10:49414893:49416681:1 gene:B456_010G170200 transcript:KJB67017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDGIVSSPHRRSGSMRKQFPKDELGSWSTLVQRHRFLLTALGLLAFLCTIYLYFAVTLGATDTCSGLEGTERATCKLQHARSTLSHGKLKLF >KJB67015 pep chromosome:Graimondii2_0_v6:10:49414970:49416681:1 gene:B456_010G170200 transcript:KJB67015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDGIVSSPHRRSGSMRKQFPKDELGSWSTLVQRHRFLLTALGLLAFLCTIYLYFAVTLGATDTCSGLEGTERATCKLQHARSTLSHGKLKLF >KJB67018 pep chromosome:Graimondii2_0_v6:10:49414893:49416681:1 gene:B456_010G170200 transcript:KJB67018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDGIVSSPHRRSGSMRKQFPKDELGSWSTLVQRHRFLLTALGLLAFLCTIYLYFAVTLGATDTCSGLEGTERATCKLQHARSTLSHGKLKLF >KJB67016 pep chromosome:Graimondii2_0_v6:10:49414893:49416681:1 gene:B456_010G170200 transcript:KJB67016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDGIVSSPHRRSGSMRKQFPKDELGSWSTLVQRHRFLLTALGLLAFLCTIYLYFAVTLGATDTCSGLEGTERATCKLQHARSTLSHGKLKLF >KJB68660 pep chromosome:Graimondii2_0_v6:10:60422137:60422697:1 gene:B456_010G2333003 transcript:KJB68660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YEYSGYGQSSGKPSEQSTYADIEAAYKCLEESYGTKQEDIILYGQSVGSGPTLDLAARLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVNCPVLIIH >KJB65504 pep chromosome:Graimondii2_0_v6:10:17033565:17037262:-1 gene:B456_010G098100 transcript:KJB65504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNNGVADSNDGQLLRDIEEISKALYLQKPSSTALITTSNVRSKSAGKAHLSESKSKQNSRNLYNDVMHKEKKSSSSSLWNWKKPFKALTHIRHHRFDICFFLHVHSIDDLPAFLNDLSLCVHWKMKDEVLSTRAVRVVDGIAEFEETLMCQCCVYGSKSGPRNSAKYEARLFVIYASIVGALGNDIGEHWIDLTRLLPLTLEDLVGEKRSGKWTTSFKLSGKAKGATLNVSFSFLATGDDLVESSGKTNASSFLNLTEKGSSAMGHSGILNPDKGNGILQHFGTVPSNVAHMSYLSPLSVDLQFGTELLPSVGLKLSKSLGFLYQKLNEGNLHRVSSLDKLSEHVEPPKHYSDFDEVIDEYENIEFSVIEQGVEMCHNDPSKLEQSAIQTIDGSTIGIINVEEILKDCDTDIDEEAEQLLDVYCSSVCTEEVGVDECIQEKSAIHSKPMTLGGLESDFDDILITESSSALDEFIEHEKYMEVKSHYTPSNSIKKSLSLDEIADSVASDFLKMLEIEHGPFSLNSDNAVESPRERLLREFENEALASSDFILNFGAGGEEEEVGSTTPGPCHGVNYDDFAFSSVILPRKEQEESQSLANRRSIKMLEYLETEALMCEWGLDENAFQSSPCVQTDGFGSPIEFSSEQSELPPLGEGFGHFIPTKDGGVLRSMNPLHFINCKNVGCPAIQVSRAAVFPARLGTDVMEILQNLASLGIENLSLHVNKLMPLEDITGKTLQQVALEAASRAIMLERWDELQQELLCERDSFDQRNEVEGFQFCWTCDNLSSGLVCGQIDPGCVSMENLVPSVMSRIEVLALEGLRIQCGMSDEDAPSSISPFSSSNMPFIVGKNSNFN >KJB65505 pep chromosome:Graimondii2_0_v6:10:17033565:17037626:-1 gene:B456_010G098100 transcript:KJB65505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNNGVADSNDGQLLRDIEEISKALYLQKPSSTALITTSNVRSKSAGKAHLSESKSKQNSRNLYNDVMHKEKKSSSSSLWNWKKPFKALTHIRHHRFDICFFLHVHSIDDLPAFLNDLSLCVHWKMKDEVLSTRAVRVVDGIAEFEETLMCQCCVYGSKSGPRNSAKYEARLFVIYASIVGALGNDIGEHWIDLTRLLPLTLEDLVGEKRSGKWTTSFKLSGKAKGATLNVSFSFLATGDDLVESSGKTNASSFLNLTEKGSSAMGHSGILNPDKGNGILQHFGTVPSNVAHMSYLSPLSVDLQFGTELLPSVGLKLSKSLGFLYQKLNEGNLHRVSSLDKLSEHVEPPKHYSDFDEVIDEYENIEFSVIEQGVEMCHNDPSKLEQSAIQTIDGSTIGIINVEEILKDCDTDIDEEAEQLLDVYCSSVCTEEVGVDECIQEKSAIHSKPMTLGGLESDFDDILITESSSALDEFIEHEKYMEVKSHYTPSNSIKKSLSLDEIADSVASDFLKMLEIEHGPFSLNSDNAVESPRERLLREFENEALASSDFILNFGAGGEEEEVGSTTPGPCHGVNYDDFAFSSVILPRKEQEESQSLANRRSIKMLEYLETEALMCEWGLDENAFQSSPCVQTDGFGSPIEFSSEQSELPPLGEGFGHFIPTKDGGVLRSMNPLHFINCKNVGCPAIQVSRAAVFPARLGTDVMEILQNLASLGIENLSLHVNKLMPLEDITGKTLQQVALEAASRAIMLERWDELQQELLCERDSFDQRNEVEGFQFCWTCDNLSSGLVCGQIDPGCVSMENLVPSVMSRIEVLALEGLRIQCGMSDEDAPSSISPFSSSNMPFIVGKNSNFSKLLSFEGAASSHSLDLDFGYDVDYVNRLMSLSITLDEWLRLDAGIIDHGDHISDHKIQILEAHQAKCLDSVSGKLIKRVNLGKASGREHGLLGNNFTLAVMVLLRDPLRNYEPIGTSMIALIQVERVSLAIEPERDEQESPESEVAEEKDGAPFFLITEVHLAGLNTEPHKQHLWGSKAQQQSGTRWLLASGIANSNKKTFSKSKAMVRYYPSMMRKMQPGNVLWSLTSNVHETGTNWNELADFGPHSRNPNVILPN >KJB66790 pep chromosome:Graimondii2_0_v6:10:44676964:44679333:1 gene:B456_010G158600 transcript:KJB66790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICFRWVSNLSSSTTETLSTCKTYGSLPLKPPLFSSILHLPPRPRFQLLIRATAAMETDQSAVTSTTANSTNPPMELLFVEMGVGYDQHGQDITSAAMRACRDAISSNSIPAFRRGSIPGVSFEQMKLLIKLGVPHSLQHSLDIERVKSVFPYGKILNVEVVDGGLVCSSGVYVEEMGDKNDDCYIVNAAVYVGY >KJB66791 pep chromosome:Graimondii2_0_v6:10:44676964:44679415:1 gene:B456_010G158600 transcript:KJB66791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICFRWVSNLSSSTTETLSTCKTYGSLPLKPPLFSSILHLPPRPRFQLLIRATAAMETDQSAVTSTTANSTNPPMELLFVEMGVGYDQHGQDITSAAMRACRDAISSNSIPAFRRGSIPGVSFEQMKLLIKLGVPHSLQHSLDIERVKSVFPYGKILNVEVVDGGLVCSSGVYVEEMGDKNDDCYIVNAAVYVGY >KJB66898 pep chromosome:Graimondii2_0_v6:10:47293227:47301388:1 gene:B456_010G163700 transcript:KJB66898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLLSVFPSHGKHSVQTTRSWEFVGLDEGDSYSWEKLKMGGEDLLSKAKHGKNVIIGVMDSGVWPESPSFSDQGMDPTPKSWKGICQGGVAFNSSNCNKKIIGARYYVKGFQNAYGPVNATEDYLSPRDIDGHGTHTASTAAGRQVSDVAAFGGFAKGTASGGAPLARLAIYKACWAIPNQPKADGNTCFDEDMLAALDDAIADGVHILSVSIGTAKPLPFEHDVIGLAALEAAKRNILVVCSAGNSGPAPGTLSNPAPWIITVGASSLDRAFFAPVKLGNGLKLIGQSVTPYKMKKMSPLVYAGDVVVPGVPQNVTGQCLPGSLDPTKVKGKIVVCTRGAGLRVGKGLEVKRAGGVGFVLANAKANGNEIACDAHLLPATALTYDSGIKILEYINSTKNPMAVVSPGRTVLKYKPAPFMAGFTSRGPNVVDPNILKPDITAPGLNILAAWSEASSPSKLPEDKRSVKYNIYSGTSMSCPHVSGAAALLKAIHPDWSIAAIKSALMTTAAIANSLGRAITDAGGNNATPFQFGAGHFRPIKAADPGLIYDASYDDYLLYLCTAGPKSLIELSSPFKCPPNPPSTLNLNYPSFAIPNLNTTVTITRTVTNIGRPKSTYFFSAKPPPGVHVKVSPSILQFKRIGEKLSFNITVSPIYDPTVKKSEYGFGWYSWDDRYYHVRSPMAVYLP >KJB66899 pep chromosome:Graimondii2_0_v6:10:47293486:47301356:1 gene:B456_010G163700 transcript:KJB66899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFSFFLTGMDGLLSVFPSHGKHSVQTTRSWEFVGLDEGDSYSWEKLKMGGEDLLSKAKHGKNVIIGVMDSGVWPESPSFSDQGMDPTPKSWKGICQGGVAFNSSNCNKKIIGARYYVKGFQNAYGPVNATEDYLSPRDIDGHGTHTASTAAGRQVSDVAAFGGFAKGTASGGAPLARLAIYKACWAIPNQPKADGNTCFDEDMLAALDDAIADGVHILSVSIGTAKPLPFEHDVIGLAALEAAKRNILVVCSAGNSGPAPGTLSNPAPWIITVGASSLDRAFFAPVKLGNGLKLIGQSVTPYKMKKMSPLVYAGDVVVPGVPQNVTGQCLPGSLDPTKVKGKIVVCTRGAGLRVGKGLEVKRAGGVGFVLANAKANGNEIACDAHLLPATALTYDSGIKILEYINSTKNPMAVVSPGRTVLKYKPAPFMAGFTSRGPNVVDPNILKPDITAPGLNILAAWSEASSPSKLPEDKRSVKYNIYSGTSMSCPHVSGAAALLKAIHPDWSIAAIKSALMTTAAIANSLGRAITDAGGNNATPFQFGAGHFRPIKAADPGLIYDASYDDYLLYLCTAGPKSLIELSSPFKCPPNPPSTLNLNYPSFAIPNLNTTVTITRTVTNIGRPKSTYFFSAKPPPGVHVKVSPSILQFKRIGEKLSFNITVSPIYDPTVKKSEYGFGWYSWDDRYYHVRSPMAVYLP >KJB66897 pep chromosome:Graimondii2_0_v6:10:47293227:47301391:1 gene:B456_010G163700 transcript:KJB66897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTISCFLLLLIVVPILASSVEKKVYIVYLGQHNNGEKVLHEIEKTHISYLLSVKESEEDAKSSLLYSYKHSINGFAAKLTPDEASKLSGMDGLLSVFPSHGKHSVQTTRSWEFVGLDEGDSYSWEKLKMGGEDLLSKAKHGKNVIIGVMDSGVWPESPSFSDQGMDPTPKSWKGICQGGVAFNSSNCNKKIIGARYYVKGFQNAYGPVNATEDYLSPRDIDGHGTHTASTAAGRQVSDVAAFGGFAKGTASGGAPLARLAIYKACWAIPNQPKADGNTCFDEDMLAALDDAIADGVHILSVSIGTAKPLPFEHDVIGLAALEAAKRNILVVCSAGNSGPAPGTLSNPAPWIITVGASSLDRAFFAPVKLGNGLKLIGQSVTPYKMKKMSPLVYAGDVVVPGVPQNVTGQCLPGSLDPTKVKGKIVVCTRGAGLRVGKGLEVKRAGGVGFVLANAKANGNEIACDAHLLPATALTYDSGIKILEYINSTKNPMAVVSPGRTVLKYKPAPFMAGFTSRGPNVVDPNILKPDITAPGLNILAAWSEASSPSKLPEDKRSVKYNIYSGTSMSCPHVSGAAALLKAIHPDWSIAAIKSALMTTAAIANSLGRAITDAGGNNATPFQFGAGHFRPIKAADPGLIYDASYDDYLLYLCTAGPKSLIELSSPFKCPPNPPSTLNLNYPSFAIPNLNTTVTITRTVTNIGRPKSTYFFSAKPPPGVHVKVSPSILQFKRIGEKLSFNITVSPIYDPTVKKSEYGFGWYSWDDRYYHVRSPMAVYLP >KJB67507 pep chromosome:Graimondii2_0_v6:10:55148160:55151024:1 gene:B456_010G194100 transcript:KJB67507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQKQDCCSHYTKEKWKGISLFTHIFFLVCFFIQPVSSDKGGWDGVVVTAADFHALQAFKQELIDPKGFLKSWNDSGYGACSGGWAGIKCARGQVIVIQLPWKGLGGRITEKIGQLQALRKLSLHDNLIEGSIPRALGIIPDLRGVQLFNNRLSGSVPATLGSCPLLQTLDLSNNSLTGVIPESLVNSTKLYRLNLSFNSFSGSIPVSFTRSTSLIFLALQHNNLSGSIPDSWGSNATQKKRFCPLRYLTLDHNFLSGTMPASLGMLSELQEVSLSHNQITGPLPNDLARLSRLRNLDLSNNAINGSLPVSLSNLSSLVVLNLQGNGLEDQIPESISGLHNLSVLVLKRNKLSGPIPASVGNISSLTQLDLSENKLSGQIPFSIANLKGLNTLNVSYNNLSGPVPAPLSQKFNSSSFVGNLELCGYSGSSPCPSPAPSQNLPSSPSEEPRHKHGKLSTKDIILIAAGALLIVLLVVCLILLCFLIRRRATSKAKEGQTTTRAAAASAAARGEKGIPPSAGEVEAGGEAGGKLVHFDGPMVFTADDLLCATAEIMGKSTYGTVYKATLEDGNQVAVKRLREKITKGQKEFESEVNVLGKIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLATFLHARGPETPIDWPTRMRIAKGVTRGLMYLHTQENIIHGNLTSSNVLLDEDTSAKISDFGLSRLMTAAANANVIATAGALGYRAPELSKLKKANTKTDAYSLGVIILELLTGKSPGEAMNGVDLPQWVASIVKEEWTNEVFDLELMRDASSIGDELLNTLKLGLHCVDPSASARPEEFLQQASEKRGVFMY >KJB67506 pep chromosome:Graimondii2_0_v6:10:55147930:55151157:1 gene:B456_010G194100 transcript:KJB67506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQKQDCCSHYTKEKWKGISLFTHIFFLVCFFIQPVSSDKGGWDGVVVTAADFHALQAFKQELIDPKGFLKSWNDSGYGACSGGWAGIKCARGQVIVIQLPWKGLGGRITEKIGQLQALRKLSLHDNLIEGSIPRALGIIPDLRGVQLFNNRLSGSVPATLGSCPLLQTLDLSNNSLTGVIPESLVNSTKLYRLNLSFNSFSGSIPVSFTRSTSLIFLALQHNNLSGSIPDSWGSNATQKKRFCPLRYLTLDHNFLSGTMPASLGMLSELQEVSLSHNQITGPLPNDLARLSRLRNLDLSNNAINGSLPVSLSNLSSLVVLNLQGNGLEDQIPESISGLHNLSVLVLKRNKLSGPIPASVGNISSLTQLDLSENKLSGQIPFSIANLKGLNTLNVSYNNLSGPVPAPLSQKFNSSSFVGNLELCGYSGSSPCPSPAPSQNLPSSPSEEPRHKHGKLSTKDIILIAAGALLIVLLVVCLILLCFLIRRRATSKAKEGQTTTRAAAASAAARGEKGIPPSAGEVEAGGEAGGKLVHFDGPMVFTADDLLCATAEIMGKSTYGTVYKATLEDGNQVAVKRLREKITKGQKEFESEVNVLGKIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLATFLHARGPETPIDWPTRMRIAKGVTRGLMYLHTQENIIHGNLTSSNVLLDEDTSAKISDFGLSRLMTAAANANVIATAGALGYRAPELSKLKKANTKTDAYSLGVIILELLTGKSPGEAMNGVDLPQWVASIVKEEWTNEVFDLELMRDASSIGDELLNTLKLGLHCVDPSASARPEVHQVLQQLEEIRPESETPASSAPSGDDGAGGVPSTSE >KJB63229 pep chromosome:Graimondii2_0_v6:10:21570189:21574870:-1 gene:B456_010G1126002 transcript:KJB63229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPPAPRPPPTPPTKVSGIHLEASPSLVENLRATTPATPIPPPPPSPAPIRARSPVTPPPPPPPPMPLLKVNSASKSAPPPPPPPPLHARQVASSVPPPPPPPPPTPALASKSQVPAAPPPPAPFGKKTNGNFPEPQAVGRSGSSAPGRPPFTSPTNSKNRLLSRTISSKSHQTKKLKPLHWLKLPRAVQGSLWAEAQKLGEASKAPEIDMSELENLFSAAAPNTGHGDKSNSHTAHAPKSEKVTLIDHRRAYNCEIMLSKVKVPLPDLMSSVLALNESALDVDQVDNLIKVCPTKEEMELLKGYTGENEKLGKCEQFFLELMKIPRVESKLRVFSFKKQFRSQVSDLRHNLNIVNSTAEEIRNSVKLKRIMQTILSLGNALNQGTARGSAIGFRLDSLLKLTDTRARNSKMTLMHYLCKVLAEKLPEVLDFSKDISSLEPASKIQLKFLAEEMQAISKGLEKVLQELSSSENDGPVSEKFRENLKEFLSFAEAEVRSLASLYSTVGRNVDALILYFGEDPARCPFEQGIQ >KJB63226 pep chromosome:Graimondii2_0_v6:10:21569812:21574676:-1 gene:B456_010G1126002 transcript:KJB63226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLKVNSASKSAPPPPPPPPLHARQVASSVPPPPPPPPPTPALASKSQVPAAPPPPAPFGKKTNGNFPEPQAVGRSGSSAPGRPPFTSPTNSKNRLLSRTISSKSHQTKKLKPLHWLKLPRAVQGSLWAEAQKLGEASKAPEIDMSELENLFSAAAPNTGHGDKSNSHTAHAPKSEKVTLIDHRRAYNCEIMLSKVKVPLPDLMSSVLALNESALDVDQVDNLIKVCPTKEEMELLKGYTGENEKLGKCEQFFLELMKIPRVESKLRVFSFKKQFRSQVSDLRHNLNIVNSTAEEIRNSVKLKRIMQTILSLGNALNQGTARGSAIGFRLDSLLKLTDTRARNSKMTLMHYLCKVLAEKLPEVLDFSKDISSLEPASKIQLKFLAEEMQAISKGLEKVLQELSSSENDGPVSEKFRENLKEFLSFAEAEVRSLASLYSTVGRNVDALILYFGEDPARCPFEQVTSTLLNFVRLFNKAHEENCKQLENEMKKLSESEKLKMNASQKNESEKLLSSSIRTSNV >KJB63227 pep chromosome:Graimondii2_0_v6:10:21569080:21574904:-1 gene:B456_010G1126002 transcript:KJB63227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPPAPRPPPTPPTKVSGIHLEASPSLVENLRATTPATPIPPPPPSPAPIRARSPVTPPPPPPPPMPLLKVNSASKSAPPPPPPPPLHARQVASSVPPPPPPPPPTPALASKSQVPAAPPPPAPFGKKTNGNFPEPQAVGRSGSSAPGRPPFTSPTNSKNRLLSRTISSKSHQTKKLKPLHWLKLPRAVQGSLWAEAQKLGEASKAPEIDMSELENLFSAAAPNTGHGDKSNSHTAHAPKSEKVTLIDHRRAYNCEIMLSKVKVPLPDLMSSVLALNESALDVDQVDNLIKVCPTKEEMELLKGYTGENEKLGKCEQFFLELMKIPRVESKLRVFSFKKQFRSQVSDLRHNLNIVNSTAEEIRNSVKLKRIMQTILSLGNALNQGTARGSAIGFRLDSLLKLTDTRARNSKMTLMHYLCKVLAEKLPEVLDFSKDISSLEPASKIQLKFLAEEMQAISKGLEKVLQELSSSENDGPVSEKFRENLKEFLSFAEAEVRSLASLYSTVGRNVDALILYFGEDPARCPFEQVTSTLLNFVRLFNKAHEENCKQLENEMKKLSESEKLKMNASQKNESEKLLSSSIRTSNV >KJB63228 pep chromosome:Graimondii2_0_v6:10:21569244:21574870:-1 gene:B456_010G1126002 transcript:KJB63228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPPAPRPPPTPPTKVSGIHLEASPSLVENLRATTPATPIPPPPPSPAPIRARSPVTPPPPPPPPMPLLKVNSASKSAPPPPPPPPLHARQVASSVPPPPPPPPPTPALASKSQVPAAPPPPAPFGKKTNGNFPEPQAVGRSGSSAPGRPPFTSPTNSKNRLLSRTISSKSHQTKKLKPLHWLKLPRAVQGSLWAEAQKLGEASKAPEIDMSELENLFSAAAPNTGHGDKSNSHTAHAPKSEKVTLIDHRRAYNCEIMLSKVKVPLPDLMSSVLALNESALDVDQVDNLIKVCPTKEEMELLKGYTGENEKLGKCEQFFLELMKIPRVESKLRVFSFKKQFRSQVSDLRHNLNIVNSTAEEIRNSVKLKRIMQTILSLGNALNQGTARGSAIGFRLDSLLKLTDTRARNSKMTLMHYLCKVLAEKLPEVLDFSKDISSLEPASKIQLKFLAEEMQAISKGLEKVLQELSSSENDGPVSEKFRENLKEFLSFAEAEVRSLASLYSTVGRNVDALILYFGEDPARCPFEQVTSTLLNFVRLFNKAHEENCKQLENEMKKLSESEKLKMNASQKNESEKLLSSSIRTSNV >KJB63567 pep chromosome:Graimondii2_0_v6:10:325477:328833:-1 gene:B456_010G006200 transcript:KJB63567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITANAIIFFFLTLFTFNLVIPFCSAQHHSTVTLSEIQALTSFKRSVFSDPFGVLDGWDESTPSAPCDWRGVVCYNRRVFELRLPRLQLGGRLTDRLCELRELRKLSLHSNNFNGSIPDALSQCTLLRAVYFQYNSFTGNLPASVFNLTNLQILNVAHNYLSGKIAAVIQPSLRYVDLSSNDFSGEMPSNFSADSQLRLINFSYNRFSGEVPASIGNFQHLQYLWLDSNQLYGTLPSAIANCYSLIHLSVEDNMLKGLLPGSIVAVPNLQVLALSRNGLSGPVPETVFCKPSGNKTVSLKIVELGFNEFTGVIKPRNNGSCFAAPAMEVLDLHENHIHGGFPSWLTSLTTLKILDISGNFFTGSLPVEIGNLLNLQELRVANNSLSGSVPSQLLQCRSLKVVDFEGNRFSGNLPVFLTQIKTLKSLSLAGNLFSGSIPVGFGNISGLGTLNLSGNNLTGTVPEDILRLGNLSILNLSHNKFSGQIPNGVSDLSSLVVLNLSACGFSGKIPGNIGSLMKLTSLDLSKQQLSGELPIELFGLPNLQIVALNENKLSGDIPEGFSSLVGLQYLNLSCNVFTGQIPETFGFLHSLMVLSLSYNRISGLVPSELGNCSQLQVIQLRSNRLIGNVTADFSRLSRLKELDLGSNKLNGEIPEEISNCSLMITLLLDGNRLTGNIPDSFSNMSNLKYLNLSRNNLEGEIPSGLGSLFNDSSIFSMNENLCGKPLNNGCKNVRKKKQRKLILLIAMVVGGIGLFVMFCCGYIYSLLRWWKRWMTGEKKRSTGSASSGADRSRGSGENGGPKLVMFNNKITLAETLEATRQFDEENVLSRGRYGLVFKATFQDGMVLSIRRLVDGTIDEANFKKEAEMLGKVKHRNITVLRGYYAGPPDLRLLVYDYMPNGNLATLLQEASHQDGHMLNWPMLHLIALGIARGLSFLHSLSILHGDIKPQNVLFDADFEAHLSEFGLEKLIMATPTEASTSSTAVGSLGYVAPEAALTGRPSKEADVYSFGIVLLEILTGRKPVMFSQDEEDIVKWVKKQLEKGQISELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPEPLDRPAMADIVFMLEGCRVGAEVPSSADPTSLHSPA >KJB64076 pep chromosome:Graimondii2_0_v6:10:2874661:2875050:-1 gene:B456_010G032400 transcript:KJB64076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPKSPFINKKTFLLSSSFRINKVDFKKGSKTMERSSSSLSPNEEQLLSCWGRLKLKLPWTKRKIRSLGHSITAAFRAKRPRPVGGFKYDPLSYAQNFDDGYGDDDPEGALYHGFSSRYAAPSSRSVTDK >KJB66718 pep chromosome:Graimondii2_0_v6:10:42108495:42111743:-1 gene:B456_010G153800 transcript:KJB66718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGIRSGSYGSLDKQLQNSVLLPIQVPLATRSKPSKVFKEKETLVHWICKLAGRKKVGMLLLSVISAAVFVWVVYVGKGEYQDSDNVRKVNDSLPINNSGFPLIYEVQRVKIDNWTSLVASDSVEGTEVRVMPPPPPSYFLGYTLPPGHPCHTFSLPPPPADKKRTGPRPCPVCYLPVEEAIALMPKVPSFSPILKNLTYIYEENLNKDKEFGGSDFGGYPTLKQRDDSYDIKESMNVRCGFVKGSKPGHGTGFDINDDDLLEMEQCRGVVVASAIFGAFDIIQQPKNISEYSKQTICFYMFVDEETEADLKLNGGLDASKKIGVWRIVVAHNLPYTDGRRNGKIPKLLTHRLFPNARFSLWIDGKLELIVDPFQILERFLWRKNATFAISRHYKRFDVFDEAEANKAAGKYDNASIDFQVNFYKKEGLTPYSEAKLPITSDVPEGCVIIREHVPISNLFTCLWFNEVDLFTSRDQISFSTARDKIAAKTNWTLNMFLDCERRNFVVQKYHKDVLAHMAPPAVYPPPQPLRSANIPPGKFAVETSGENIILKAPFRDVLPRRGRDRRSGSRRQRKVSKEIISS >KJB66717 pep chromosome:Graimondii2_0_v6:10:42108089:42112110:-1 gene:B456_010G153800 transcript:KJB66717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPSYFLGYTLPPGHPCHTFSLPPPPADKKRTGPRPCPVCYLPVEEAIALMPKVPSFSPILKNLTYIYEENLNKDKEFGGSDFGGYPTLKQRDDSYDIKESMNVRCGFVKGSKPGHGTGFDINDDDLLEMEQCRGVVVASAIFGAFDIIQQPKNISEYSKQTICFYMFVDEETEADLKLNGGLDASKKIGVWRIVVAHNLPYTDGRRNGKIPKLLTHRLFPNARFSLWIDGKLELIVDPFQILERFLWRKNATFAISRHYKRFDVFDEAEANKAAGKYDNASIDFQVNFYKKEGLTPYSEAKLPITSDVPEGCVIIREHVPISNLFTCLWFNEVDLFTSRDQISFSTARDKIAAKTNWTLNMFLDCERRNFVVQKYHKDVLAHMAPPAVYPPPQPLRSANIPPGKFAVETSGENIILKAPFRDVLPRRGRDRRSGSRRQRKVSKEIISS >KJB66772 pep chromosome:Graimondii2_0_v6:10:44335375:44337617:-1 gene:B456_010G157600 transcript:KJB66772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWCNNTVDGRALHIATKEPTVTVATSAAAASTISCPSCGHNIPYQDQAGIHDLPGLPAGVKFDPTDQEILEHLEAKVILDKCNLHPLIDEFIPTLEGENGICYTHPERLPGVSKDGQIRHFFHRPSKAYTTGTRKRRKVHTGDEDGSETRWHKTGKTRPVLVGGTVKGYKKILVLYTNYGRQKKPEKTNWVMHQYHLGNNEDEKDGELVVSKVFYQTQPRQCGTSSSIKETLNRKSKNIIQTPNSSSGGVVEYYNQPFVSFDHGHGSHHYRENPPQIIPNFGVQGDESSFYRLASDTRKGKGRSL >KJB66773 pep chromosome:Graimondii2_0_v6:10:44335794:44337222:-1 gene:B456_010G157600 transcript:KJB66773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWCNNTVDGRALHIATKEPTVTVATSAAAASTISCPSCGHNIPYQDQAGIHDLPGLPAGVKFDPTDQEILEHLEAKVILDKCNLHPLIDEFIPTLEGENGICYTHPERLPGVSKDGQIRHFFHRPSKAYTTGTRKRRKVHTGDEDGSETRWHKTGKTRPVLVGGTVKGYKKILVLYTNYGRQKKPEKTNWVMHQYHLGNNEDEKDGELVVSKVFYQTQPRQCGTSSSIKETLNRKSKNIIQTPNSSSGGVVEYYNQPFVSFDHGHGSHHYRENPPQIIPNFGVQGDESSFYRLASDTRKGKGRSL >KJB63278 pep chromosome:Graimondii2_0_v6:10:8923736:8938335:-1 gene:B456_010G068600 transcript:KJB63278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHHHSANPPPQEEPEYLARYIVIKHSWRGRYKRILCISNVAIITLDPSTLSVTNSYDVSTDFEAATPIIGRDEISTEFNLSVRTDGKGKYKAIKFSSQYRASILTELHRIRWNRLGAVAEFPVLHLRRRRAEWSPFKLKVTCCGVELIDLTSGDPRWCLDFRDMSSPAIVLLADAYGKKNVDHGSFVLCPLYGRKSKAFQAAPGTTNSAIISNLTKTAKSMVGVALSVDNSQSLTVTEYINQRAKEAVGAEETPCGGWSVTRLRSAAHGTLNIPGLNFTVGPKGGLGDHGDAVSRQLILTKASLVERRPDNYEAVIVRPLSAVSSLVRFSEEPQMFAIEFNDGCSIHVYASTSRDSLLAAICDVLQTEGQCPVPILPRLTMPGHRINPPCGRVALQFGKQRTFADVESASMHLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNACIPYSGVPPNIEVPEVTLMALITMLPATPNLPPESPPLPPPSPKAAATVMGFVSCLRRLLASKNAASHVVSFPAAVGRIMGLLRNGSEGVAAEAARLVAALIGGGPGDTNVLTDSKGEQHATIMHTKSILFSQHGYVIILVNRLKPMSVSPLLSMAVVEVLEAMICDPHGETTQYTVFVELLRQVAGLKRRLFALFAHPAESVRETVAVLMRTIAEEDAIAAESMRDAALRDGALLRHLLHAFFLPAGERREVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDGAAEESIQEGSLTSKRHKRLLQQRRGRTGQTITSQEQSLPSVNNFEAGDAVRQMNSGFHRVADNYHKSIADPNSSQVLNQSSAAHTVESSTTDAYSTGISQNGHSVISASADAPSTNVHGVSETNPSNSVDSGGNAVGSHNTGLPAPAQVVVENTPVGSGRLLCNWPEFWRAFSLDHNRADLIWNERTRQELREALQAEVHKLDVEKERTEDIVPGDATVESMSGQDSVPRISWNYSEFFVSYPSLSKEVCVGQYYLRLLLESGSSGRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDEMGSSDDWCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHCNTIGPFEGTAHITVLLDRTDDRALRHRLLLLLKVLMKVLANVESCVLVGGCVLAVDLLTVVHEASERTAIPLQSNLIAATAFMEPLKEWMYIDKDGVQVGPLEKDAVRRLWSKKAIDWTTRCWASGMLDWKRLRDIRELRWALSVRVPVLTPTQVGEAALSVLHSMVSAHSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQAMLSGEPSIVEAAAALLKAIVTRNPKAMVRLYSTGAFFFALAYPGSNLLSIAQLFSATHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPASLLYVLERSGPLAFAAAMVSESDTPEIIWTHKMRAENLIRQVLQHLGDFPQKLSQHCHSLYEYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEISLEDVSSDDADQKCSPNETGDISIISKQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGDVLEPFKYAGYPMLLNAVTVDKEDNNFLSSDRAPLLVAASELVWLTCASSSLNGEELVRDGGMQLLATLLSRCMCVVQPTTPANEPSAIIVTNVMRTFSVLSQFETARLEILELSGLVDDIVHCTELEVVPAAVDSALQTIAHVSVSPDLQEALIKAGVLWYLLPLLLQYDSTAEESDTAESHGVGASVQIAKNMHAVRAAQALSRLSGLCCDENGTPYNESVVNVLRALLTPKLASMLRDQVPKDLLSKLNTNLESPEIIWNSSTRAELLKFVDQQRSSQGPDGSYDLKDSHIFAYEALSKELFVGNVYLRVYNDQPDFEISEPEAFCVALIDFIASLVHNHSVDYDVQEKLNISNSTLESEHQSDATGASVEEQQVHDDSLAASDNKVKDKEENVLIKNLQSGLTSLQNLLTTYPNLASIFSTKERLLPLFECFSVPVASESNIPQLCLNVLSLLTTYAPCLEAMVADGSSLLLLLQMLHFAPACREGALHVLYALASTPELAWAAAKHGGVVYILELLLPLQEEIPLQQRAAAASLLGKLVAQPMHGPRVAITLARFLPDGLVSVIRDGPGEAVVSALEQNTETPELVWTPAMAASLSAQIATMVSDLYREQVKGRIVDWDVPEQASAQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYESESVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEGRREAMSSGDMKDGGNMADRKYESDEQPAQTPQERVRLSCLRVLHQLAASTICAEAMAATSVGTTQGWSA >KJB63277 pep chromosome:Graimondii2_0_v6:10:8923736:8938335:-1 gene:B456_010G068600 transcript:KJB63277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHHHSANPPPQEEPEYLARYIVIKHSWRGRYKRILCISNVAIITLDPSTLSVTNSYDVSTDFEAATPIIGRDEISTEFNLSVRTDGKGKYKAIKFSSQYRASILTELHRIRWNRLGAVAEFPVLHLRRRRAEWSPFKLKVTCCGVELIDLTSGDPRWCLDFRDMSSPAIVLLADAYGKKNVDHGSFVLCPLYGRKSKAFQAAPGTTNSAIISNLTKTAKSMVGVALSVDNSQSLTVTEYINQRAKEAVGAEETPCGGWSVTRLRSAAHGTLNIPGLNFTVGPKGGLGDHGDAVSRQLILTKASLVERRPDNYEAVIVRPLSAVSSLVRFSEEPQMFAIEFNDGCSIHVYASTSRDSLLAAICDVLQTEGQCPVPILPRLTMPGHRINPPCGRVALQFGKQRTFADVESASMHLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNACIPYSGVPPNIEVPEVTLMALITMLPATPNLPPESPPLPPPSPKAAATVMGFVSCLRRLLASKNAASHVVSFPAAVGRIMGLLRNGSEGVAAEAARLVAALIGGGPGDTNVLTDSKGEQHATIMHTKSILFSQHGYVIILVNRLKPMSVSPLLSMAVVEVLEAMICDPHGETTQYTVFVELLRQVAGLKRRLFALFAHPAESVRETVAVLMRTIAEEDAIAAESMRDAALRDGALLRHLLHAFFLPAGERREVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDGAAEESIQEGSLTSKRHKRLLQQRRGRTGQTITSQEQSLPSVNNFEAGDAVRQMNSGFHRVADNYHKSIADPNSSQVLNQSSAAHTVESSTTDAYSTGISQNGHSVISASADAPSTNVHGVSETNPSNSVDSGGNAVGSHNTGLPAPAQVVVENTPVGSGRLLCNWPEFWRAFSLDHNRADLIWNERTRQELREALQAEVHKLDVEKERTEDIVPGDATVESMSGQDSVPRISWNYSEFFVSYPSLSKEVCVGQYYLRLLLESGSSGRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDEMGSSDDWCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHCNTIGPFEGTAHITVLLDRTDDRALRHRLLLLLKVLMKVLANVESCVLVGGCVLAVDLLTVVHEASERTAIPLQSNLIAATAFMEPLKEWMYIDKDGVQVGPLEKDAVRRLWSKKAIDWTTRCWASGMLDWKRLRDIRELRWALSVRVPVLTPTQVGEAALSVLHSMVSAHSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQAMLSGEPSIVEAAAALLKAIVTRNPKAMVRLYSTGAFFFALAYPGSNLLSIAQLFSATHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPASLLYVLERSGPLAFAAAMVSESDTPEIIWTHKMRAENLIRQVLQHLGDFPQKLSQHCHSLYEYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEISLEDVSSDDADQKCSPNETGDISIISKQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGDVLEPFKYAGYPMLLNAVTVDKEDNNFLSSDRAPLLVAASELVWLTCASSSLNGEELVRDGGMQLLATLLSRCMCVVQPTTPANEPSAIIVTNVMRTFSVLSQFETARLEILELSGLVDDIVHCTELEVVPAAVDSALQTIAHVSVSPDLQEALIKAGVLWYLLPLLLQYDSTAEESDTAESHGVGASVQIAKNMHAVRAAQALSRLSGLCCDENGTPYNESVVNVLRALLTPKLASMLRDQVPKDLLSKLNTNLESPEIIWNSSTRAELLKFVDQQRSSQGPDGSYDLKDSHIFAYEALSKELFVGNVYLRVYNDQPDFEISEPEAFCVALIDFIASLVHNHSVDYDVQEKLNISNSTLESEHQSDATGASVEEQQVHDDSLAASDNKVKDKEENVLIKNLQSGLTSLQNLLTTYPNLASIFSTKERLLPLFECFSVPVASESNIPQLCLNVLSLLTTYAPCLEAMVADGSSLLLLLQMLHFAPACREGALHVLYALASTPELAWAAAKHGGVVYILELLLPLQEEIPLQQRAAAASLLGKLVAQPMHGPRVAITLARFLPDGLVSVIRDGPGEAVVSALEQNTETPELVWTPAMAASLSAQIATMVSDLYREQVKGRIVDWDVPEQASAQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYESESVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEGRREAMSSGDMKDGGNMADRKYESDEQPAQTPQERVRLSCLRVLHQLAASTICAEAMAATSVGTTQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLLEVLLGLLDWRAGGRNGLCSQMKWNESEASIGRVLAIEVWSAYKDQKHDLFLPSNAQSAAAGVAGLIESSSSRLVYAITAPPQTTQSRIPASTVSDSNGSQDQLS >KJB63276 pep chromosome:Graimondii2_0_v6:10:8923724:8938373:-1 gene:B456_010G068600 transcript:KJB63276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHHHSANPPPQEEPEYLARYIVIKHSWRGRYKRILCISNVAIITLDPSTLSVTNSYDVSTDFEAATPIIGRDEISTEFNLSVRTDGKGKYKAIKFSSQYRASILTELHRIRWNRLGAVAEFPVLHLRRRRAEWSPFKLKVTCCGVELIDLTSGDPRWCLDFRDMSSPAIVLLADAYGKKNVDHGSFVLCPLYGRKSKAFQAAPGTTNSAIISNLTKTAKSMVGVALSVDNSQSLTVTEYINQRAKEAVGAEETPCGGWSVTRLRSAAHGTLNIPGLNFTVGPKGGLGDHGDAVSRQLILTKASLVERRPDNYEAVIVRPLSAVSSLVRFSEEPQMFAIEFNDGCSIHVYASTSRDSLLAAICDVLQTEGQCPVPILPRLTMPGHRINPPCGRVALQFGKQRTFADVESASMHLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNACIPYSGVPPNIEVPEVTLMALITMLPATPNLPPESPPLPPPSPKAAATVMGFVSCLRRLLASKNAASHVVSFPAAVGRIMGLLRNGSEGVAAEAARLVAALIGGGPGDTNVLTDSKGEQHATIMHTKSILFSQHGYVIILVNRLKPMSVSPLLSMAVVEVLEAMICDPHGETTQYTVFVELLRQVAGLKRRLFALFAHPAESVRETVAVLMRTIAEEDAIAAESMRDAALRDGALLRHLLHAFFLPAGERREVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDGAAEESIQEGSLTSKRHKRLLQQRRGRTGQTITSQEQSLPSVNNFEAGDAVRQMNSGFHRVADNYHKSIADPNSSQVLNQSSAAHTVESSTTDAYSTGISQNGHSVISASADAPSTNVHGVSETNPSNSVDSGGNAVGSHNTGLPAPAQVVVENTPVGSGRLLCNWPEFWRAFSLDHNRADLIWNERTRQELREALQAEVHKLDVEKERTEDIVPGDATVESMSGQDSVPRISWNYSEFFVSYPSLSKEVCVGQYYLRLLLESGSSGRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDEMGSSDDWCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHCNTIGPFEGTAHITVLLDRTDDRALRHRLLLLLKVLMKVLANVESCVLVGGCVLAVDLLTVVHEASERTAIPLQSNLIAATAFMEPLKEWMYIDKDGVQVGPLEKDAVRRLWSKKAIDWTTRCWASGMLDWKRLRDIRELRWALSVRVPVLTPTQVGEAALSVLHSMVSAHSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQAMLSGEPSIVEAAAALLKAIVTRNPKAMVRLYSTGAFFFALAYPGSNLLSIAQLFSATHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPASLLYVLERSGPLAFAAAMVSESDTPEIIWTHKMRAENLIRQVLQHLGDFPQKLSQHCHSLYEYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEISLEDVSSDDADQKCSPNETGDISIISKQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGDVLEPFKYAGYPMLLNAVTVDKEDNNFLSSDRAPLLVAASELVWLTCASSSLNGEELVRDGGMQLLATLLSRCMCVVQPTTPANEPSAIIVTNVMRTFSVLSQFETARLEILELSGLVDDIVHCTELEVVPAAVDSALQTIAHVSVSPDLQEALIKAGVLWYLLPLLLQYDSTAEESDTAESHGVGASVQIAKNMHAVRAAQALSRLSGLCCDENGTPYNESVVNVLRALLTPKLASMLRDQVPKDLLSKLNTNLESPEIIWNSSTRAELLKFVDQQRSSQGPDGSYDLKDSHIFAYEALSKELFVGNVYLRVYNDQPDFEISEPEAFCVALIDFIASLVHNHSVDYDVQEKLNISNSTLESEHQSDATGASVEEQQVHDDSLAASDNKVKDKEENVLIKNLQSGLTSLQNLLTTYPNLASIFSTKERLLPLFECFSVPVASESNIPQLCLNVLSLLTTYAPCLEAMVADGSSLLLLLQMLHFAPACREGALHVLYALASTPELAWAAAKHGGVVYILELLLPLQEEIPLQQRAAAASLLGKLVAQPMHGPRVAITLARFLPDGLVSVIRDGPGEAVVSALEQNTETPELVWTPAMAASLSAQIATMVSDLYREQVKGRIVDWDVPEQASAQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYESESVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEGRREAMSSGDMKDGGNMADRKYESDEQPAQTPQERVRLSCLRVLHQLAASTICAEAMAATSVGTTQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLLEVLLGLLDWRAGGRNGLCSQMKWNESEASIGRVLAIEVLHAFAAEGAHCIKVREILNASDVWSAYKDQKHDLFLPSNAQSAAAGVAGLIESSSSRLVYAITAPPQTTQSRIPASTVSDSNGSQDQLS >KJB68501 pep chromosome:Graimondii2_0_v6:10:61587893:61591057:-1 gene:B456_010G247500 transcript:KJB68501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKIGTKPDTFYTEEATRTVISDIPSDLTIRINNVCFLLHKIPLVPKCGLLQRLCSDADDSDIVTIDLHDVPGGEDAFELCAKFCYGITINLGAHNFVPAFCAAKFLRMTESIEKGNFVLKLEAFFNSCILEGWKDSIVALQTTIKLPEWSENLGIIRRCIDSIVEKILTPTSKVSWSYTYTRPGYIKKQHQSVPKDWWTEDVSDLDIDLFRCIITAVRSTYIPPPQLIGEALHVYACRWLPDTTKSRPLHSSGSQTEESREKSRRILESIVSMIPSDRGSVSIGFLLKLLSIANYLGASPVTKTELIRKAGLQFEEATLNDMLFPSQLPTDQHFYDIDLVAAVLESYLVLWRRQSPLPTENSHMVRSIRKIGKLIDSYLQVVARDVNMPISKLVSLAEALPDAAREDHDGLYKAINIYLKEHPDVSKADKKRMCRMLDCQKLSPEVRAHAVKNERLPLRTVVQVLFFDQESGSRSTTHKPESITRARQAPIEAEAKEECDKKVQHEVTKSSSRVTETSEREIQKRMEAKMVRGDSEKGKERKGETNSTAKMMEPKQVFQRRSSR >KJB68503 pep chromosome:Graimondii2_0_v6:10:61587877:61591266:-1 gene:B456_010G247500 transcript:KJB68503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKIGTKPDTFYTEEATRTVISDIPSDLTIRINNVCFLLHKIPLVPKCGLLQRLCSDADDSDIVTIDLHDVPGGEDAFELCAKFCYGITINLGAHNFVPAFCAAKFLRMTESIEKGNFVLKLEAFFNSCILEGWKDSIVALQTTIKLPEWSENLGIIRRCIDSIVEKILTPTSKVSWSYTYTRPGYIKKQHQSVPKDWWTEDVSDLDIDLFRCIITAVRSTYIPPPQLIGEALHVYACRWLPDTTKSRPLHSSGSQTEESREKSRRILESIVSMIPSDRGSVSIGFLLKLLSIANYLGASPVTKTELIRKAGLQFEEATLNDMLFPSQLPTDQHFYDIDLVAAVLESYLVLWRRQSPLPTENSHMVRSIRKIGKLIDSYLQVVARDVNMPISKLVSLAEALPDAAREDHDGLYKAINIYLKEHPDVSKADKKRMCRMLDCQKLSPEVRAHAVKNERLPLRTVVQVLFFDQESGSRSTTHKPESITRARQAPIEAEAKEECDKKVQHEVTKSSSRVTETSEREIQKRMEAKMVRGDSEKGKERKGETNSTAKMMEPKQVFQRRSSR >KJB68500 pep chromosome:Graimondii2_0_v6:10:61587877:61593832:-1 gene:B456_010G247500 transcript:KJB68500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKIGTKPDTFYTEEATRTVISDIPSDLTIRINNVCFLLHKIPLVPKCGLLQRLCSDADDSDIVTIDLHDVPGGEDAFELCAKFCYGITINLGAHNFVPAFCAAKFLRMTESIEKGNFVLKLEAFFNSCILEGWKDSIVALQTTIKLPEWSENLGIIRRCIDSIVEKILTPTSKVSWSYTYTRPGYIKKQHQSVPKDWWTEDVSDLDIDLFRCIITAVRSTYIPPPQLIGEALHVYACRWLPDTTKSRPLHSSGSQTEESREKSRRILESIVSMIPSDRGSVSIGFLLKLLSIANYLGASPVTKTELIRKAGLQFEEATLNDMLFPSQLPTDQHFYDIDLVAAVLESYLVLWRRQSPLPTENSHMVRSIRKIGKLIDSYLQVVARDVNMPISKLVSLAEALPDAAREDHDGLYKAINIYLKEHPDVSKADKKRMCRMLDCQKLSPEVRAHAVKNERLPLRTVVQVLFFDQESGSRSTTHKPESITRARQAPIEAEAKEECDKKVQHEVTKSSSRVTETSEREIQKRMEAKMVRGDSEKGKERKGETNSTAKMMEPKQVFQRRSSR >KJB68502 pep chromosome:Graimondii2_0_v6:10:61587893:61590756:-1 gene:B456_010G247500 transcript:KJB68502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESIEKGNFVLKLEAFFNSCILEGWKDSIVALQTTIKLPEWSENLGIIRRCIDSIVEKILTPTSKVSWSYTYTRPGYIKKQHQSVPKDWWTEDVSDLDIDLFRCIITAVRSTYIPPPQLIGEALHVYACRWLPDTTKSRPLHSSGSQTEESREKSRRILESIVSMIPSDRGSVSIGFLLKLLSIANYLGASPVTKTELIRKAGLQFEEATLNDMLFPSQLPTDQHFYDIDLVAAVLESYLVLWRRQSPLPTENSHMVRSIRKIGKLIDSYLQVVARDVNMPISKLVSLAEALPDAAREDHDGLYKAINIYLKEHPDVSKADKKRMCRMLDCQKLSPEVRAHAVKNERLPLRTVVQVLFFDQESGSRSTTHKPESITRARQAPIEAEAKEECDKKVQHEVTKSSSRVTETSEREIQKRMEAKMVRGDSEKGKERKGETNSTAKMMEPKQVFQRRSSR >KJB67723 pep chromosome:Graimondii2_0_v6:10:57225961:57227306:1 gene:B456_010G206200 transcript:KJB67723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCLDELPTEIDHPTHRLHPLILNRSDSDYLCNLCQKQHSGPFYSCSLCHFNINVKCAWPRSTVEDKSCHQHLFSLLWRQGSFICDACGTEGNYISCICLKCCIEVHKKCTSLPHIIKFSRHDHCIFHKHFLQTRELTRQDCKICFSEVKLDRGSYSCRKPGCNYVVYVNCVLEDYTLYEVIEDEKQFEELEEKSIQSSIIRVIEVNEAGEATKIQHFSHQHCLVLADKMEEEIDRKCEGMPLFLHKTCAELPRIKQHWFHQSNATLKYFDRYKWCNFRRRVCTGLLYDIRGYWNMCLRCAKVSDIIECKGHQHFLFFYFECKEKCNGCGTNSISNGAFRCGKCRFALDFGCLTLPHSALHKIDEHKLKLTYHDDNEQSYCDFCKQYRDPSLWYYSCSICDASAHIKCVLGRFPFIKDGGTFPFFFFIYKIIIMIL >KJB67260 pep chromosome:Graimondii2_0_v6:10:53234773:53236567:1 gene:B456_010G182600 transcript:KJB67260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLICLCSCIILFIFPSLSLIEAQASLCRSSCGDIPINYPFGIDDGCGNPYYRHMLACSDPGKLELRTPSGRYPVRSISYFDPHILVIDPFMWNCRDGNNFRPVRPFSLDTGTHLSLSPENDYLFFNCSKDHVIVEPKPIFCQRFPEQCGSSCDSGSYLCKHLPECATALGGSSCCSYYPKAAESLRLMLKYCASYTSVYWTTVGATAGSPYNQVPEYGIRVDFDVPVTTRCLQCQDPSMGAGTCGFDTKTGNFLCLCKKGNVTSYCKDHGISWHSRAGVIAVSAAGAIGIGVSIWLLKKHAWSVKKTM >KJB67259 pep chromosome:Graimondii2_0_v6:10:53234773:53235890:1 gene:B456_010G182600 transcript:KJB67259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLICLCSCIILFIFPSLSLIEAQASLCRSSCGDIPINYPFGIDDGCGNPYYRHMLACSDPGKLELRTPSGRYPVRSISYFDPHILVIDPFMWNCRDGNNFRPVRPFSLDTGTHLSLSPENDYLFFNCSKDHVIVEPKPIFCQRFPEQCGSSCDSGSYLCKHLPECATALGGSSCCSYYPKAAESLRLMLKYCASYTSVYWTTVGATAGSPYNQVPEYGIRVDFDVPVTTRCLQCQDPSMGAGTCGFDTKTGNFLCLCKKGNVTSYCKDHGISWHSRAGVIAG >KJB63895 pep chromosome:Graimondii2_0_v6:10:1774710:1779945:1 gene:B456_010G022400 transcript:KJB63895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTPVSEDCCVKVAVHVRPLIVDEKLQGCNDCVTVTPGKPQVQIGTHSFTFDHVYGSTMGSPASSMFDECIVPLVNGLFQGYNATVLAYGQTGSGKTYTMGTGFKDSCHIGITPQVMNALFNKIDSLKHQIQFQLHVSFIEILKEEVHDLLDPTSPNKSDTASTGKVHVPGKPPIQIRESSNGVITLAGSTEVSVTTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFIITLQQMPITGDGSTNDVMNEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGIHVPYRDSKLTRLLQDSLGGNNRTVMIACISPADSNAEETLNTLKYANRVRNIQNKPVVNRDPMSNEMLKMRQQLQYLQAELCARGDSDEVQVLEERIAWLEATNEDLCQKLHEYRKKCNITEQCEIDSRNFDEEAAKEWEHKLLPNTMDKELHKLNRRLEEKESEMKLFRGDTVALKQHFGKKIQELEDEKRAVQQERDRLLAEIENLSAGSEGQKQRVQDIHAQKLKSLEAQILDFKRKQENQVQVLKLKHKSDEATKQLQDEIQFIKAQKVQLQHRIKQEAEQFRQWKACREKELLQLRKEGWRNEYERHKLQAINQRQKMFLQRKTEEAAMATKRLKELLKARKSSAQDNSGMLLQTNEKALQQWLEHELEVVVNVHEVRSEYESQSQVRAAMAEELAVLKNRFARASSMTLNARMTRIASLENMLNISSDSLIAMASQLSEAEERERSLTNRGRWNQLRSMGDAKNLLQYMFNSLGDARCQLWEKDMEIKEMKEQLKELVSQLRQSELQREDVENELKLREQAVAIALATSPNSLKHIADDMNGSLSPMSVPVQKQLKYSPGIVNVPVRELVSFIDQTRKMVPLSQLPMKKLVAIGRPGNGKLWRWKRWHNKWHVQYKWKWQKPWRLSEWIRHSDENIIKAKPRSRSFLY >KJB63896 pep chromosome:Graimondii2_0_v6:10:1774710:1779945:1 gene:B456_010G022400 transcript:KJB63896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTPVSEDCCVKVAVHVRPLIVDEKLQGCNDCVTVTPGKPQVQIGTHSFTFDHVYGSTMGSPASSMFDECIVPLVNGLFQGYNATVLAYGQTGSGKTYTMGTGFKDSCHIGITPQVMNALFNKIDSLKHQIQFQLHVSFIEILKEEVHDLLDPTSPNKSDTASTGKVHVPGKPPIQIRESSNGVITLAGSTEVSVTTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFIITLQQMPITGDGSTNDVMNEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGIHVPYRDSKLTRLLQDSLGGNNRTVMIACISPADSNAEETLNTLKYANRVRNIQNKPVVNRDPMSNEMLKMRQQLQYLQAELCARGDSDEVQVLEERIAWLEATNEDLCQKLHEYRKKCNITEQCEIDSRNFDEEAAKEWEHKLLPNTMDKELHKLNRRLEEKESEMKLFRGDTVALKQHFGKKIQELEDEKRAVQQERDRLLAEIENLSAGSEGQKQRVQDIHAQKLKSLEAQILDFKRKQENQVQVLKLKHKSDEATKQLQDEIQFIKAQKVQLQHRIKQEAEQFRQWKACREKELLQLRKEGWRNEYERHKLQAINQRQKMFLQRKTEEAAMATKRLKELLKARKSSAQDNSGMLLQTNEKALQQWLEHELEVVVNVHEVRSEYESQSQVRAAMAEELAVLKNRFARASSMTLNARMTRIASLENMLNISSDSLIAMASQLSEAEERERSLTNRGRWNQLRSMGDAKNLLQYMFNSLGDARCQLWEKDMEIKEMKEQLKELVSQLRQSELQREDVENELKLREQAVAIALATSPNSLKHIADDMNGSLSPMSVPVQKQLKYSPGIVNVPVRELVSFIDQTRKMVPLSQLPMKKLVAIGRPGNGKLWRWKRWHNKWHVQYKWKWQKPWRLSEWIRHSDENIIKAKPRSRSFLY >KJB63894 pep chromosome:Graimondii2_0_v6:10:1774665:1780015:1 gene:B456_010G022400 transcript:KJB63894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTPVSEDCCVKVAVHVRPLIVDEKLQGCNDCVTVTPGKPQVQIGTHSFTFDHVYGSTMGSPASSMFDECIVPLVNGLFQGYNATVLAYGQTGSGKTYTMGTGFKDSCHIGITPQVMNALFNKIDSLKHQIQFQLHVSFIEILKEEVHDLLDPTSPNKSDTASTGKVHVPGKPPIQIRESSNGVITLAGSTEVSVTTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFIITLQQMPITGDGSTNDVMNEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGIHVPYRDSKLTRLLQDSLGGNNRTVMIACISPADSNAEETLNTLKYANRVRNIQNKPVVNRDPMSNEMLKMRQQLQYLQAELCARGDSDEVQVLEERIAWLEATNEDLCQKLHEYRKKCNITEQCEIDSRNFDEEAAKEWEHKLLPNTMDKELHKLNRRLEEKESEMKLFRGDTVALKQHFGKKIQELEDEKRAVQQERDRLLAEIENLSAGSEGQKQRVQDIHAQKLKSLEAQILDFKRKQENQVQVLKLKHKSDEATKQLQDEIQFIKAQKVQLQHRIKQEAEQFRQWKACREKELLQLRKEGWRNEYERHKLQAINQRQKMFLQRKTEEAAMATKRLKELLKARKSSAQDNSGMLLQTNEKALQQWLEHELEVVVNVHEVRSEYESQSQVRAAMAEELAVLKNRFARASSMTLNARMTRIASLENMLNISSDSLIAMASQLSEAEERERSLTNRGRWNQLRSMGDAKNLLQYMFNSLGDARCQLWEKDMEIKEMKEQLKELVSQLRQSELQREDVENELKLREQAVAIALATSPNSLKHIADDMNGSLSPMSVPVQKQLKYSPGIVNVPVRELVSFIDQTRKMVPLSQLPMKKLVAIGRPGNGKLWRWKRWHNKWHVQYKWKWQKPWRLSEWIRHSDENIIKAKPRSRSFLY >KJB66864 pep chromosome:Graimondii2_0_v6:10:46327992:46330071:1 gene:B456_010G161600 transcript:KJB66864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGQGLKVKVALQRCHQRSFSDPNHGIDQNFPLALSFLMCFHRELLEIPLGFSFADHLALMPSPLVSMSSPIGEVPNDFHFSLLLLLLFFYFIFAFKASGHHFTVAALRRQIEPPPLNNHGTLIVASSHCVQCLDHLDLSTVA >KJB65732 pep chromosome:Graimondii2_0_v6:10:21068747:21072583:-1 gene:B456_010G111300 transcript:KJB65732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISHWTQSPNSPPPSKKKNPSSSLHSCCKVLLSIMASQKLTDYERQRLENIKRNAEMVAALKLHSKAATLSAATAKRHRMKTFKASPEKKPKTETPIVIRRSLRTRGMPPDSKGLPDDFSDNFDKTPKSVSVIKPQSPRVLGPISMGDAFSGDDETESNKMLVGTILSIAKETQVGVSVKDVKDEIFSEKGALGSCKSEGFESLGTEKVDESLSGKRKLVKGVVKNEYLDGLVKIEKSDQWLESLDLKPENVARLLPGRIMVVKFFPCSSVRMIAAGNKFGNIAFWNVDSNNEKEDGIYLYRPHTGPISGILIHQHSMSKIYSSCYDGFIRLMDAEKEVFDLVHSCDDTIFSLSQQPNNSETLYFAEGRGGLKVWDIRTGKSSKNWMLHEDRINTINFNSQNPNIMATSSTDGTACIWDLRSMSAHKLKTLKTVSHSRAVHSAYFSPSGSSLATTSLDNKVGIISGVNFEDACMIYHDNWTGRWLSSFSRGIWGWDDSYIFIGNMKRGVDVISPSQKRSVMTLQSPEMSAIPCRFDAHPYKIGMLAGATSGGQVYLWTPC >KJB65733 pep chromosome:Graimondii2_0_v6:10:21069393:21072583:-1 gene:B456_010G111300 transcript:KJB65733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISHWTQSPNSPPPSKKKNPSSSLHSCCKVLLSIMASQKLTDYERQRLENIKRNAEMVAALKLHSKAATLSAATAKRHRMKTFKASPEKKPKTETPIVIRRSLRTRGMPPDSKGLPDDFSDNFDKTPKSVSVIKPQSPRVLGPISMGDAFSGDDETESNKMLVGTILSIAKETQVGVSVKDVKDEIFSEKGALGSCKSEGFESLGTEKVDESLSGKRKLVKGVVKNEYLDGLVKIEKSDQWLESLDLKPENVARLLPGRIMVVKFFPCSSVRMIAAGNKFGNIAFWNVDSNNEKEDGIYLYRPHTGPISGILIHQHSMSKIYSSCYDGFIRLMDAEKEVFDLVHSCDDTIFSLSQQPNNSETLYFAEGRGGLKVWDIRTGKSSKNWMLHEDRINTINFNSQNPNIMATSSTDGTACIWDLRSMSAHKLKTLKTVSHSRAVHSAYFSPSGSSLATTSLDNKVGIISGVNFEDACMIYHDNWTGRWLSSFRLAFFACLSCPTLCSSQVICLMV >KJB65731 pep chromosome:Graimondii2_0_v6:10:21068733:21072634:-1 gene:B456_010G111300 transcript:KJB65731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISHWTQSPNSPPPSKKKNPSSSLHSCCKVLLSIMASQKLTDYERQRLENIKRNAEMVAALKLHSKAATLSAATAKRHRMKTFKASPEKKPKTETPIVIRRSLRTRGMPPDSKGLPDDFSDNFDKTPKSVSVIKPQSPRVLGPISMGDAFSGDDETESNKMLVGTILSIAKETQVGVSVKDVKDEIFSEKGALGSCKSEGFESLGTEKVDESLSGKRKLVKGVVKNEYLDGLVKIEKSDQWLESLDLKPENVARLLPGRIMVVKFFPCSSVRMIAAGNKFGNIAFWNVDSNNEKEDGIYLYRPHTGPISGILIHQHSMSKIYSSCYDGFIRLMDAEKEVFDLVHSCDDTIFSLSQQPNNSETLYFAEGRGGLKVWDIRTGKSSKNWMLHEDRINTINFNSQNPNIMATSSTDGTACIWDLRSMSAHKLKTLKTVSHSRAVHSAYFSPSGSSLATTSLDNKVGIISGVNFEDACMIYHDNWTGRWLSSFRGIWGWDDSYIFIGNMKRGVDVISPSQKRSVMTLQSPEMSAIPCRFDAHPYKIGMLAGATSGGQVYLWTPC >KJB63261 pep chromosome:Graimondii2_0_v6:10:59523105:59530012:-1 gene:B456_010G2235001 transcript:KJB63261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIGEGGNDPYLQSTNNFLGRQTWEFDPNAGTDEERAEVEEARLNFYNNRFNVQPSSDLLWRLQFLKEKKMKQTIPQPKIEDGEEVTHEATTAAVNRSVHLFSALQSIHGHWPAENSGPMFFSAPLVMSLYITGHLNTKFSSEYRKEILRYIYCHQNEDGGWGLYIGGHSTMFCTALNYICMRLLGVGPDGGLNNACERGRKWILDRGGVTTISSWGKTWLSILGVYEWSGCQPMPPEFWLFPSYFPIHPAKMFCYCRLTYMPMSYLYGRKFVGPITPLISQLREELHIEPYNEIDWSTKRHLCAKEDLYYPHTRLQILLWDSLYIVSEPLLNCWPFNKLREKALKITMDLIHYEDESSQYITIGCVEKPLCMLACWVEDPNGIHFKKHLSRVDDYVWVGEDGIKMQSFGSQVWDASFILQALLASNLSNEIGPTLMKGHNFLKNSQVRDNPPGDFKKMFRHISKGSWTFSNRDHGWQLSDCTAESLKCCLYFSMMAPEMVGEKMEREHVYDAVNVLLSLQSKNGGFSAWEPAGGGFWWEWLNPVEFLEDIVKEHEYVECSSASIQALVLFNKLYPGHREQEIESCIRKAAKYIEDMQYPDGSWYGNWGVCFFYGTWFALSGLKAVGKNFKNCLAIRKGVDFLLKTQREDGGWGESHLSCPKKVYTPIEGKESNLVNTAQALMGLIISGQVCFTFNCLFIL >KJB63262 pep chromosome:Graimondii2_0_v6:10:59523175:59530012:-1 gene:B456_010G2235001 transcript:KJB63262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIGEGGNDPYLQSTNNFLGRQTWEFDPNAGTDEERAEVEEARLNFYNNRFNVQPSSDLLWRLQFLKEKKMKQTIPQPKIEDGEEVTHEATTAAVNRSVHLFSALQSIHGHWPAENSGPMFFSAPLVMSLYITGHLNTKFSSEYRKEILRYIYCHQNEDGGWGLYIGGHSTMFCTALNYICMRLLGVGPDGGLNNACERGRKWILDRGGVTTISSWGKTWLSILGVYEWSGCQPMPPEFWLFPSYFPIHPAKMFCYCRLTYMPMSYLYGRKFVGPITPLISQLREELHIEPYNEIDWSTKRHLCAKEDLYYPHTRLQILLWDSLYIVSEPLLNCWPFNKLREKALKITMDLIHYEDESSQYITIGCVEKPLCMLACWVEDPNGIHFKKHLSRVDDYVWVGEDGIKMQSFGSQVWDASFILQALLASNLSNEIGPTLMKGHNFLKNSQVRDNPPGDFKKMFRHISKGSWTFSNRDHGWQLSDCTAESLKCCLYFSMMAPEMVGEKMEREHVYDAVNVLLSLQSKNGGFSAWEPAGGGFWWEWLNPVEFLEDIVKEHEYVECSSASIQALVLFNKLYPGHREQEIESCIRKAAKYIEDMQYPDGSWYGNWGVCFFYGTWFALSGLKAVGKNFKNCLAIRKGVDFLLKTQREDGGWGESHLSCPKKVYTPIEGKESNLVNTAQALMGLIISGQ >KJB63263 pep chromosome:Graimondii2_0_v6:10:59523703:59530012:-1 gene:B456_010G2235001 transcript:KJB63263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIGEGGNDPYLQSTNNFLGRQTWEFDPNAGTDEERAEVEEARLNFYNNRFNVQPSSDLLWRLQFLKEKKMKQTIPQPKIEDGEEVTHEATTAAVNRSVHLFSALQSIHGHWPAENSGPMFFSAPLVMSLYITGHLNTKFSSEYRKEILRYIYCHQNEDGGWGLYIGGHSTMFCTALNYICMRLLGVGPDGGLNNACERGRKWILDRGGVTTISSWGKTWLSILGVYEWSGCQPMPPEFWLFPSYFPIHPAKMFCYCRLTYMPMSYLYGRKFVGPITPLISQLREELHIEPYNEIDWSTKRHLCAKEDLYYPHTRLQILLWDSLYIVSEPLLNCWPFNKLREKALKITMDLIHYEDESSQYITIGCVEKPLCMLACWVEDPNGIHFKKHLSRVDDYVWVGEDGIKMQSFGSQVWDASFILQALLASNLSNEIGPTLMKGHNFLKNSQVRDNPPGDFKKMFRHISKGSWTFSNRDHGWQLSDCTAESLKCCLYFSMMAPEMVGEKMEREHVYDAVNVLLSLQSKNGGFSAWEPAGGGFWWEWLNPVEFLEDIVKEHEYVECSSASIQALVLFNKLYPGHREQEIESCIRKAAKYIEDMQYPDGSWYGNWGVCFFYGTWFALSGLKAVGKNFKNCLAIRKGVDFLLKTQREDGGWGESHLSCPKK >KJB65479 pep chromosome:Graimondii2_0_v6:10:16325484:16330068:-1 gene:B456_010G096700 transcript:KJB65479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACLGRIVHDSDAESKLDDDVSTIFNSLNLQGSIENGDVSGIASKDFGGLYSFKPLYLIRPSGAEDISRIVKAAAGTPHLTVAARGNGHSINGQAMADGGYVIDMRSTGENHFKLLTVNGSPCIDVSGGALWEDVLRRCVSRFGLAPRSWTDYLSLTVGGTLSNAGVSGQAFRYGPQTSNVTELEVVTGKGDITVCSETQNPELFFGALGGLGQFGIITRARVKLQPAPDMVRWIRVVYAEFEEFTRDAELLVSQKEDESFDYVEGFVFCNNDDPVNGWPSVQLNPDQEFNPAHLPQTAGPVLYCLELGFHYRNSDQPSTVDMAVSRLVRGLGFVDGLISQVDVSYMGFLLRVKRAEQDAKANGVWDNPHPWLNLFVSKSDIVDFDRTVFKKMVKNGIGGPMLIYPLLRSKWDSRTSVALPEGEIFYIVALLRFVPKGPSVEKKVAENREIVKWCIKEGLDFKLYLPHYRAKEDWKRHFGNQWTRLEKRKANFDPMAILAPGQRIFKRTNQ >KJB65481 pep chromosome:Graimondii2_0_v6:10:16328055:16329854:-1 gene:B456_010G096700 transcript:KJB65481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACLGRIVHDSDAESKLDDDVSTIFNSLNLQGSIENGDVSGIASKDFGGLYSFKPLYLIRPSGAEDISRIVKAAAGTPHLTVAARGNGHSINGQAMADGGYVIDMRSTGENHFKLLTVNGSPCIDVSGGALWEDVLRRCVSRFGLAPRSWTDYLSLTVGGTLSNAGVSGQAFRYGPQTSNVTELEVVTGKGDITVCSETQNPELFFGALGGLGQFGIITRARVKLQPAPDMVRWIRVVYAEFEEFTRDAELLVSQKEDESFDYVEGFVFCNNDDPVNGWPSVQLNPDQEFNPAHLPQTAGPVLYCLELGFHYRNSDQPSTVDMVTFFFLTFDLTVNNRLRRILR >KJB65480 pep chromosome:Graimondii2_0_v6:10:16326208:16329854:-1 gene:B456_010G096700 transcript:KJB65480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACLGRIVHDSDAESKLDDDVSTIFNSLNLQGSIENGDVSGIASKDFGGLYSFKPLYLIRPSGAEDISRIVKAAAGTPHLTVAARGNGHSINGQAMADGGYVIDMRSTGENHFKLLTVNGSPCIDVSGGALWEDVLRRCVSRFGLAPRSWTDYLSLTVGGTLSNAGVSGQAFRYGPQTSNVTELEVVTGKGDITVCSETQNPELFFGALGGLGQFGIITRARVKLQPAPDMVRWIRVVYAEFEEFTRDAELLVSQKEDESFDYVEGFVFCNNDDPVNGWPSVQLNPDQEFNPAHLPQTAGPVLYCLELGFHYRNSDQPSTVDMAVSRLVRGLGFVDGLISQVDVSYMGFLLRVKRAEQDAKANGVWDNPHPWLNLFVSKSDIVDFDRTVFKKMVKNGIGGPMLIYPLLRSK >KJB68092 pep chromosome:Graimondii2_0_v6:10:59726603:59731773:-1 gene:B456_010G225200 transcript:KJB68092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQRNDVRRQVDLAEQRLLKPFEQVQLWLLKAETMITEAQELVSNGPQQMNNLCLGGCASKSCLSSYKFGKKVAKMLQEISHHKSKGAFEKVAVNQPAASVVVRPVEQAVALESTIQKVWSCIVETDVGIVGLYGLGGVGKTTILTKLNNKFSTTPNNFDVVIWALVSKDYDVGKIQDRIGGNLGFSDDSWKHKSVEEKAVDIYGVLRNKKFVVLLDDLWERVNLNQVGIPKPSQENGSKLIFTTRSLEVCGEMGARKKIKVECLESEKAWELFQNEVGYETLNSHPDIPNLAKQVAERCGGLPLALITIGRAMACKTTLGDWKYAIEMLKRCALSKMENEVFPLLKFSYDNLPNATMRSCLLYCCLHPEDYCIPKKRLVEYWFCEGLLNEFDRISEAQMQGDNIISSLLNACLLENGGVIDGEDCVKMHDVIRDMALWIIREFEATENNFFVKVGAQLFEEPDVKAWESAKRMSVMENKIAVLKETPNCPNLQTLFLSRNKLKAISGGFFQCMPHLSVLDLSTNYELRALPKGISELISLECLDLSWTGIEELPIELKSLTKLKLLDLSCMFNLRKIPQHLISCFSKLQIFRILAYSKDYPDEDNVLSGGNEKLIEELKGLQRLDILRIQIKSMFCLERFLSFNLFRCWTQALELGDFRESEVLNVLCLENLERLQTLHISNCKSIKEIKMEKLHSLISSSTNYTSYFRTLSQVRIFCCDNLRDITWLILAPNLRTLSVIACGKMEEILSGGKLGEVAGVIGISDPKPFLKLETLSLQLLLKLKSIYWDALPFPCLKLIHILKCKELKKLPLDSNSAKGNQLRIEGSKGWWSTVEWENKATRDVFLPSFKSPYE >KJB68091 pep chromosome:Graimondii2_0_v6:10:59726603:59731249:-1 gene:B456_010G225200 transcript:KJB68091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCCSVQWSFENFLLRGWDFIVSHANYVCKLKQTLPALSAALQEMTAQRNDVRRQVDLAEQRLLKPFEQVQLWLLKAETMITEAQELVSNGPQQMNNLCLGGCASKSCLSSYKFGKKVAKMLQEISHHKSKGAFEKVAVNQPAASVVVRPVEQAVALESTIQKVWSCIVETDVGIVGLYGLGGVGKTTILTKLNNKFSTTPNNFDVVIWALVSKDYDVGKIQDRIGGNLGFSDDSWKHKSVEEKAVDIYGVLRNKKFVVLLDDLWERVNLNQVGIPKPSQENGSKLIFTTRSLEVCGEMGARKKIKVECLESEKAWELFQNEVGYETLNSHPDIPNLAKQVAERCGGLPLALITIGRAMACKTTLGDWKYAIEMLKRCALSKMENEVFPLLKFSYDNLPNATMRSCLLYCCLHPEDYCIPKKRLVEYWFCEGLLNEFDRISEAQMQGDNIISSLLNACLLENGGVIDGEDCVKMHDVIRDMALWIIREFEATENNFFVKVGAQLFEEPDVKAWESAKRMSVMENKIAVLKETPNCPNLQTLFLSRNKLKAISGGFFQCMPHLSVLDLSTNYELRALPKGISELISLECLDLSWTGIEELPIELKSLTKLKLLDLSCMFNLRKIPQHLISCFSKLQIFRILAYSKDYPDEDNVLSGGNEKLIEELKGLQRLDILRIQIKSMFCLERFLSFNLFRCWTQALELGDFRESEVLNVLCLENLERLQTLHISNCKSIKEIKMEKLHSLISSSTNYTSYFRTLSQVRIFCCDNLRDITWLILAPNLRTLSVIACGKMEEILSGGKLGEVAGVIGISDPKPFLKLETLSLQLLLKLKSIYWDALPFPCLKLIHILKCKELKKLPLDSNSAKGNQLRIEGSKGWWSTVEWENKATRDVFLPSFKSPYE >KJB68093 pep chromosome:Graimondii2_0_v6:10:59728480:59731185:-1 gene:B456_010G225200 transcript:KJB68093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCCSVQWSFENFLLRGWDFIVSHANYVCKLKQTLPALSAALQEMTAQRNDVRRQVDLAEQRLLKPFEQVQLWLLKAETMITEAQELVSNGPQQMNNLCLGGCASKSCLSSYKFGKKVAKMLQEISHHKSKGAFEKVAVNQPAASVVVRPVEQAVALESTIQKVWSCIVETDVGIVGLYGLGGVGKTTILTKLNNKFSTTPNNFDVVIWALVSKDYDVGKIQDRIGGNLGFSDDSWKHKSVEEKAVDIYGVLRNKKFVVLLDDLWERVNLNQVGIPKPSQENGSKLIFTTRSLEVCGEMGARKKIKVECLESEKAWELFQNEVGYETLNSHPDIPNLAKQVAERCGGLPLALITIGRAMACKTTLGDWKYAIEMLKRCALSKMENEVFPLLKFSYDNLPNATMRSCLLYCCLHPEDYCIPKKRLVEYWFCEGLLNEFDRISEAQMQGDNIISSLLNACLLENGGVIDGEDCVKMHDVIRDMALWIIREFEATENNFFVKVGAQLFEEPDVKAWESAKRMSVMENKIAVLKETPNCPNLQTLFLSRNKLKAISGGFFQCMPHLSVLDLSTNYELRALPKGISELISLECLDLSWTGIEELPIELKSLTKLKLLDLSCMFNLRKIPQHLISCFSKLQIFRILAYSKDYPDEDNVLSGGNEKLIEELKGLQRLDILRIQIKSMFCLERFLSFNLFRCWTQALELGDFRESEVLNVLCLENLERLQTLHISNCKSIKEIKMEKLHSLISSSTNYTSYFRTLSQVRIFCCDNLRDITWLILAPNLRTLSVIACGKMEEILSGGKLGEVAGVIGISDPKPFLKLETLSLQLLLKLKSIYWDALPFPCLKLIHILKCKELKKLPLDSNSAKGNQLRIEGSKGWWSTVEWENKATRDVFLPSFKSPYE >KJB63361 pep chromosome:Graimondii2_0_v6:10:34673499:34674505:1 gene:B456_010G141200 transcript:KJB63361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCRYALALVTKRLESVKINQRPRNTVVCAAKGPRPRYPWVWKSRNRIGTVSKSAKFISCVKQLSNVKEEVYGALDSFIAWELEFPLIIVKKALKILQNEQE >KJB66984 pep chromosome:Graimondii2_0_v6:10:48962805:48963909:-1 gene:B456_010G168800 transcript:KJB66984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSHQEADPNAIIANEGGFIFKPKAFNIVWGSDTRYWRIPRSPIPDEQEAAELIQVSWLEVTGSVKLEPSTRYEISFKLSFRRDSFGWSGAPIFLMAKVGKKGKYKWKRLKELDNLPKDPIMVPTDDSFTIEPIQDIPDKRLYFGLYEVWSGKWKGGLKVHEAIVKKLG >KJB68422 pep chromosome:Graimondii2_0_v6:10:61372868:61374416:1 gene:B456_010G2446002 transcript:KJB68422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRSRSMHKLTAKICSFLFLFLAHAAHCFYLPGVAPEDFQKGDLLKVKVNKLTSIKTQLPYSYYSLPFCPPKKIVDSTENLGEVLRGDRIENSPYVFKMRDPQMCTVLCRITLDAKTAKQFKEKIDDEYRVNMILDNLPLVVPIRRSDQDSSTVYQLGYHVGLKGQYSGSKEDRYFIHNHLAFTVKYHRDPQTDSARIVGFQVKPY >KJB68421 pep chromosome:Graimondii2_0_v6:10:61372868:61373801:1 gene:B456_010G2446002 transcript:KJB68421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRSRSMHKLTAKICSFLFLFLAHAAHCFYLPGVAPEDFQKGDLLKVKVNKLTSIKTQLPYSYYSLPFCPPKKIVDSTENLGEVLRGDRIENSPYV >KJB68423 pep chromosome:Graimondii2_0_v6:10:61372868:61374683:1 gene:B456_010G2446002 transcript:KJB68423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRSRSMHKLTAKICSFLFLFLAHAAHCFYLPGVAPEDFQKGDLLKVKVNKLTSIKTQLPYSYYSLPFCPPKKIVDSTENLGEVLRGDRIENSPYVFKMRDPQMCTVLCRITLDAKTAKQFKEKIDDEYRVNMILDNLPLVVPIRRSDQDSSTVYQLGYHVGLKGQYSGSKEDRYFIHNHLAFTVKYHRDPQTDSARIVGFQVKPYSIKHEYEGKWNEKSRLTTCDPHNKRTVVSSNTPQEVEAKKEIIFTYDVEYQ >KJB68424 pep chromosome:Graimondii2_0_v6:10:61373891:61374683:1 gene:B456_010G2446002 transcript:KJB68424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDPQMCTVLCRITLDAKTAKQFKEKIDDEYRVNMILDNLPLVVPIRRSDQDSSTVYQLGYHVGLKGQYSGSKEDRYFIHNHLAFTVKYHRDPQTDSARIVGFQVKPYSIKHEYEGKWNEKSRLTTCDPHNKRTVVSSNTPQEVEAKKEIIFTYDVEYQ >KJB64165 pep chromosome:Graimondii2_0_v6:10:3236872:3238319:1 gene:B456_010G035300 transcript:KJB64165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEFLEPQNEGENPNNNMFSKLHHPFSHHFQLSHDSDDTPTPKRPTRGSGGGGSDGASIEVSRRPRGRPPGSKNKPKPPLVVTPDPHPPMSPYILEIPSGNDVVEALSRFSRLKNIGLCVLTGSGAVSDVTLRQPTTTTPSPATVTFHGRFDILSLCATFLPQTASCHVPNTFSISLAGPQGQIVGGFVAGSLVAAATVFIVAATVNNPSYHRLPGEDDETRNTVSSSGGDDGGKGQSPSSGGGGDGSRGGGADSCGVSMYSCHMGSGSDVIWAPTARPPPPPPPY >KJB65861 pep chromosome:Graimondii2_0_v6:10:22751196:22760428:1 gene:B456_010G116500 transcript:KJB65861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKRSALNKELLFLILQFCNDEGYKRTAHIFERESGCYFDMEFFEDLVLNGKWNKVEKYLSGFASINDNKYSTKIYFEIRKLNFLEALDKNDRAKALDILMKDLKVFAQDNEELYREMTQLLTLDNFREHELLSMYEDAESSRKILMDELKKLIEVNPIFHGKLKFPVIKSQRLRRLINQGLNWQHIQCKYPQPNPDIETLFEDHVCQWPHDHLFMQSTDNPQLDASLPVFPSSWFCGPSTVTQAVSREDICVSGPITSVATTSVNMRDSNTMSQNSLLGAEYEVASTVLHSGRNHSPESSVSDDLPIISMNNGMLQNFEPVSHTDLPKTVARILNESSSPMSMDFHPVQQTFLLVGTDIGDIGLWDVNLGVKMLSRNFTVWNIGACSTMFKTAMMKDPCMSVNCISWSPNGSFFGIAYSKHIVQLYSYHGVTDVQQKLEIDAHVGGVNDLAFATPSKQQLVITGGDDKLIKVWDVITGVQMHNLEGHEAPVYSLCPHCKDGIHFIFSTSVDGKIKAWLYDNMGARVHIDAPGLACTTIAYSADNKRLFSCGTNKNGESFLVEWNESEGDAKRTYQGLCENSSAVVQFSPIKENFLAAADDHVIKIWDMDKVEQLTIIDAGDLPANPHIRFNKDGTLLAVIASENKIKILATAYGLQLLNASVTGFVNSSSDVSDGPRKHVINPSVANSGEADAHVPTNCDEERIKDAKQKIIDNANNKSGVCKIIQISMPSQCKSLQLNGYGEADKISRLIYTNAGNAILALASNGTHLLWKWPQNDLNLSGKATTDVPPQLWQPRSCSRMMTNDLTDSTPEEAVPCFALSKNDSYLLSASGGIISLFNMLTFKRMMSFMSPSPAATSLAFHPQDNNIVAIGMDDSTILIYHVRFTKVKSKLKGHSGRVTGLAFSTAMDLLVSSGEDAQIFTWNLGGWGKCKSKQLQFPDERIPVRGSNTMVQFHQDQVNFLVVHETQLSIYEAKELGCVQQWIPEDSTRISQATLSCDSQMVFACFLGGIVSIFGASDLQLKCQILPISYLPYTPRFSEYNEWWVQRKCAPPCRSCKST >KJB65865 pep chromosome:Graimondii2_0_v6:10:22751229:22760446:1 gene:B456_010G116500 transcript:KJB65865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKRSALNKELLFLILQFCNDEGYKRTAHIFERESGCYFDMEFFEDLVLNGKWNKVEKYLSGFASINDNKYSTKIYFEIRKLNFLEALDKNDRAKALDILMKDLKVFAQDNEELYREMTQLLTLDNFREHELLSMYEDAESSRKILMDELKKLIEVNPIFHGKLKFPVIKSQRLRRLINQGLNWQHIQCKYPQPNPDIETLFEDHVCQWPHDHLFMQSTDNPQLDASLPVFPSSWFCGPSTVTQAVSREDICVSGPITSVATTSVNMRDSNTMSQNSLLGAEYEVASTVLHSGRNHSPESSVSDDLPIISMNNGMLQNFEPVSHTDLPKTVARILNESSSPMSMDFHPVQQTFLLVGTDIGDIGLWDVNLGVKMLSRNFTVWNIGACSTMFKTAMMKDPCMSVNCISWSPNGSFFGIAYSKHIVQLYSYHGVTDVQQKLEIDAHVGGVNDLAFATPSKQQLVITGGDDKLIKVWDVITGVQMHNLEGHEAPVYSLCPHCKDGIHFIFSTSVDGKIKAWLYDNMGARVHIDAPGLACTTIAYSADNKRLFSCGTNKNGESFLVEWNESEGDAKRTYQGLCENSSAVVQFSPIKENFLAAADDHVIKIWDMDKVEQLTIIDAGDLPANPHIRFNKDGTLLAVIASENKIKILATAYGLQLLNASVTGFVNSSSDVSDGPRKHVINPSVANFGEADAHVPTHVINPSVANSGEADAHVPTNCDEERIKDAKQKIIDNANNKSGVCKIIQISMPSQCKSLQLNGYGEADKISRLIYTNAGNAILALASNGTHLLWKWPQNDLNLSGKATTDVPPQLWQPRSCSRMMTNDLTDSTPEEAVPCFALSKNDSYLLSASGGIISLFNMLTFKRMMSFMSPSPAATSLAFHPQDNNIVAIGMDDSTILIYHVRFTKVKSKLKGHSGRVTGLAFSTAMDLLVSSGEDAQIFTWNLGGWGKCKSKQLQFPDERIPVRGSNTMVQFHQDQVNFLVVHETQLSIYEAKELGCVQQWIPEDSTRISQATLSCDSQMVFACFLGGIVSIFGASDLQLKCQILPISYLPYTPRGNVHPLAVAANPHKPTQFAVGLTDGAAIVFEPQKPGNSWYLAEYEPATASSCLLQDD >KJB65864 pep chromosome:Graimondii2_0_v6:10:22751229:22760446:1 gene:B456_010G116500 transcript:KJB65864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKRSALNKELLFLILQFCNDEGYKRTAHIFERESGCYFDMEFFEDLVLNGKWNKVEKYLSGFASINDNKYSTKIYFEIRKLNFLEALDKNDRAKALDILMKDLKVFAQDNEELYREMTQLLTLDNFREHELLSMYEDAESSRKILMDELKKLIEVNPIFHGKLKFPVIKSQRLRRLINQGLNWQHIQCKYPQPNPDIETLFEDHVCQWPHDHLFMQSTDNPQLDASLPVFPSSWFCGPSTVTQAVSREDICVSGPITSVATTSVNMRDSNTMSQNSLLGAEYEVASTVLHSGRNHSPESSVSDDLPIISMNNGMLQNFEPVSHTDLPKTVARILNESSSPMSMDFHPVQQTFLLVGTDIGDIGLWDVNLGVKMLSRNFTVWNIGACSTMFKTAMMKDPCMSVNCISWSPNGSFFGIAYSKHIVQLYSYHGVTDVQQKLEIDAHVGGVNDLAFATPSKQQLVITGGDDKLIKVWDVITGVQMHNLEGHEAPVYSLCPHCKDGIHFIFSTSVDGKIKAWLYDNMGARVHIDAPGLACTTIAYSADNKRLFSCGTNKNGESFLVEWNESEGDAKRTYQGLCENSSAVVQFSPIKENFLAAADDHVIKIWDMDKVEQLTIIDAGDLPANPHIRFNKDGTLLAVIASENKIKILATAYGLQLLNASVTGFVNSSSDVSDGPRKHVINPSVANFGEADAHVPTNCDEERIKDAKQKIIDNANNKSGVCKIIQISMPSQCKSLQLNGYGEADKISRLIYTNAGNAILALASNGTHLLWKWPQNDLNLSGKATTDVPPQLWQPRSCSRMMTNDLTDSTPEEAVPCFALSKNDSYLLSASGGIISLFNMLTFKRMMSFMSPSPAATSLAFHPQDNNIVAIGMDDSTILIYHVRFTKVKSKLKGHSGRVTGLAFSTAMDLLVSSGEDAQIFTWNLGGWGKCKSKQLQFPDERIPVRGSNTMVQFHQDQVNFLVVHETQLSIYEAKELGCVQQWIPEDSTRISQATLSCDSQMVFACFLGGIVSIFGASDLQLKCQILPISYLPYTPRGNVHPLAVAANPHKPTQFAVGLTDGAAIVFEPQKPGNSWYLAEYEPATASSCLLQDD >KJB65860 pep chromosome:Graimondii2_0_v6:10:22751196:22758454:1 gene:B456_010G116500 transcript:KJB65860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKRSALNKELLFLILQFCNDEGYKRTAHIFERESGCYFDMEFFEDLVLNGKWNKVEKYLSGFASINDNKYSTKIYFEIRKLNFLEALDKNDRAKALDILMKDLKVFAQDNEELYREMTQLLTLDNFREHELLSMYEDAESSRKILMDELKKLIEVNPIFHGKLKFPVIKSQRLRRLINQGLNWQHIQCKYPQPNPDIETLFEDHVCQWPHDHLFMQSTDNPQLDASLPVFPSSWFCGPSTVTQAVSREDICVSGPITSVATTSVNMRDSNTMSQNSLLGAEYEVASTVLHSGRNHSPESSVSDDLPIISMNNGMLQNFEPVSHTDLPKTVARILNESSSPMSMDFHPVQQTFLLVGTDIGDIGLWDVNLGVKMLSRNFTVWNIGACSTMFKTAMMKDPCMSVNCISWSPNGSFFGIAYSKHIVQLYSYHGVTDVQQKLEIDAHVGGVNDLAFATPSKQQLVITGGDDKLIKVWDVITGVQMHNLEGHEAPVYSLCPHCKDGIHFIFSTSVDGKIKAWLYDNMGARVHIDAPGLACTTIAYSADNKRLFSCGTNKNGESFLVEWNESEGDAKRTYQGLCENSSAVVQFSPIKENFLAAADDHVIKIWDMDKVEQLTIIDAGDLPANPHIRFNKDGTLLAVIASENKIKILATAYGLQLLNASVTGFVNSSSDVSDGPRKHVINPSVANSGEADAHVPTNCDEERIKDAKQKIIDNANNKSGVCKIIQISMPSQCKSLQLNGYGEADKISRLIYTNAGNAILALASNGTHLLWKWPQNDLNLSGKATTDVPPQLWQPRSCSRMMTNDLTDSTPEEAVPCFALSKNDSYLLSASGGIISLFNMLTFKRMMSFMSPSPAATSLAFHPQDNNIVAIGMDDSTILIYHVRFTKVKSKLKGHSGRVTGLAFSTAMDLLVSSGEDAQIFTWNLGGWGKCKSKQLQFPDERIPVRGSNTMVQFHQDQVNFLVVHETQLSIYEAKELGCVQQVCLSPSH >KJB65863 pep chromosome:Graimondii2_0_v6:10:22752071:22757886:1 gene:B456_010G116500 transcript:KJB65863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKRSALNKELLFLILQFCNDEGYKRTAHIFERESGCYFDMEFFEDLVLNGKWNKVEKYLSGFASINDNKYSTKIYFEIRKLNFLEALDKNDRAKALDILMKDLKVFAQDNEELYREMTQLLTLDNFREHELLSMYEDAESSRKILMDELKKLIEVNPIFHGKLKFPVIKSQRLRRLINQGLNWQHIQCKYPQPNPDIETLFEDHVCQWPHDHLFMQSTDNPQLDASLPVFPSSWFCGPSTVTQAVSREDICVSGPITSVATTSVNMRDSNTMSQNSLLGAEYEVASTVLHSGRNHSPESSVSDDLPIISMNNGMLQNFEPVSHTDLPKTVARILNESSSPMSMDFHPVQQTFLLVGTDIGDIGLWDVNLGVKMLSRNFTVWNIGACSTMFKTAMMKDPCMSVNCISWSPNGSFFGIAYSKHIVQLYSYHGVTDVQQKLEIDAHVGGVNDLAFATPSKQQLVITGGDDKLIKVWDVITGVQMHNLEGHEAPVYSLCPHCKDGIHFIFSTSVDGKIKAWLYDNMGARVHIDAPGLACTTIAYSADNKRLFSCGTNKNGESFLVEWNESEGDAKRTYQGLCENSSAVVQFSPIKENFLAAADDHVIKIWDMDKVEQLTIIDAGDLPANPHIRFNKDGTLLAVIASENKIKILATAYGLQLLNASVTGFVNSSSDVSDGPRKHVINPSVANSGEADAHVPTNCDEERIKDAKQKIIDNANNKSGVCKIIQISMPSQCKSLQLNGYGEADKISRLIYTNAGNAILALASNGTHLLWKWPQNDLNLSGKATTDVPPQLWQPRSCSRMMTNDLTDSTPEEAVPCFALSKNDSYLLSASGGIISLFNMLTFKRMMSFMSPSPAATSLAFHPQDNNIVAIGMDDSTILIYHVRFTKVKSKLKGHSGRVTGLAFSTAMDLLVSSGEDAQVGFSLYLKSDL >KJB65862 pep chromosome:Graimondii2_0_v6:10:22751196:22760428:1 gene:B456_010G116500 transcript:KJB65862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKRSALNKELLFLILQFCNDEGYKRTAHIFERESGCYFDMEFFEDLVLNGKWNKVEKYLSGFASINDNKYSTKIYFEIRKLNFLEALDKNDRAKALDILMKDLKVFAQDNEELYREMTQLLTLDNFREHELLSMYEDAESSRKILMDELKKLIEVNPIFHGKLKFPVIKSQRLRRLINQGLNWQHIQCKYPQPNPDIETLFEDHVCQWPHDHLFMQSTDNPQLDASLPVFPSSWFCGPSTVTQAVSREDICVSGPITSVATTSVNMRDSNTMSQNSLLGAEYEVASTVLHSGRNHSPESSVSDDLPIISMNNGMLQNFEPVSHTDLPKTVARILNESSSPMSMDFHPVQQTFLLVGTDIGDIGLWDVNLGVKMLSRNFTVWNIGACSTMFKTAMMKDPCMSVNCISWSPNGSFFGIAYSKHIVQLYSYHGVTDVQQKLEIDAHVGGVNDLAFATPSKQQLVITGGDDKLIKVWDVITGVQMHNLEGHEAPVYSLCPHCKDGIHFIFSTSVDGKIKAWLYDNMGARVHIDAPGLACTTIAYSADNKRLFSCGTNKNGESFLVEWNESEGDAKRTYQGLCENSSAVVQFSPIKENFLAAADDHVIKIWDMDKVEQLTIIDAGDLPANPHIRFNKDGTLLAVIASENKIKILATAYGLQLLNASVTGFVNSSSDVSDGPRKHVINPSVANSGEADAHVPTNCDEERIKDAKQKIIDNANNKSGVCKIIQISMPSQCKSLQLNGYGEADKISRLIYTNAGNAILALASNGTHLLWKWPQNDLNLSGKATTDVPPQLWQPRSCSRMMTNDLTDSTPEEAVPCFALSKNDSYLLSASGGIISLFNMLTFKRMMSFMSPSPAATSLAFHPQDNNIVAIGMDDSTILIYHVRFTKVKSKLKGHSGRVTGLAFSTAMDLLVSSGEDAQIFTWNLGGWGKCKSKQLQFPDERIPVRGSNTMVQFHQDQVNFLVVHETQLSIYEAKELGCVQQWIPEDSTRISQATLSCDSQMVFACFLGGIVSIFGASDLQLKCQILPISYLPYTPRGNVHPLAVAANPHKPTQFAVGLTDGAAIVFEPQKPGNSWYLAEYEPATASSCLLQDD >KJB68180 pep chromosome:Graimondii2_0_v6:10:60218366:60220236:-1 gene:B456_010G230500 transcript:KJB68180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGDLFVGGYYGGGATGDFSPEKRMSMVEEKLGENFIVDDLLDFSNEDAVIGDGLLFDNVAGNSTDLSTVTCNSSVSGGENHFSSANFTHSSQFSGELGVPYDELAELEWLSNFVEDSFSTVPNLQSNHQIFALPTPESSSSSTRSDSLPRSSTDPIFQHGAPLPGKARSKRSMTAPCDWSTRVLHLNPKPTGHKNRDNPNGNTEYSGRKCLHCASEKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPASSPTFVSTEHSNSHRKVLEIRRQKDMQRGGQGTRFLSQTSVFPISNGGDVADDFLIHHHGGPRF >KJB64408 pep chromosome:Graimondii2_0_v6:10:5225456:5227388:1 gene:B456_010G048000 transcript:KJB64408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPTHQRKPSKDTYHNQKKKRRPQPQPQPQKNDKPPSNWSLVKGLFFGKPQQQHQQQQQQQQQQQQQQREHVVVDETGKKCKKMRCSGSLCSNTKIMERPVTASPELNKKRASLGSVKAPVQHEPNGVVVSSSNVGSFRGLPFTRFSGCYECRMVVDPVLGMAKDPSLRTTIRSCPECGEIFMKAENLELHQAVRHAVSELGPEDTSKNIVEIIFQSSWLKKQAPICQIDRILKVHNTPKTISKFEEYRDSIKSKATKHPKKHPRCIADGNELLRFHCTTFACSLGLNGSSNLCNSSPNCNVCSIIKNGFKVAQELGNGGGPNGKGILTTATSGKAHDMAAGVEEDNGKQENRAMLVCRVIAGRVKKNMEGSLEDYDSVTVAGGDVGAYSNLDELYVFNPKAILPCFVVIYRGF >KJB67302 pep chromosome:Graimondii2_0_v6:10:53563365:53565357:1 gene:B456_010G184700 transcript:KJB67302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAFGRNGDMGSALFFFDRMSEKDVVSWTSVINGFVRSKQFAKAIWVFEKMIEFSVKRSEATYVNVLSCCANLEEQSGFYQGRQIHGYIFRNEGVMTVFMGTALIDFYGKRGHLEFAFRVINQMLDREVCTWNAMISSFACNGREKEALDLFEKMKVDGMCPNEVTFVAVLTACARTKRVQLGSQFFQSTRCQYGIVPIMEHYRCMVDLLGRAGLLTQATEFVNTMPFQPDASVLGALLGACKIHGAIELGNEVGRRLLELQPRHCGLYLALSTINADKERWDRAADLRKAIVEAGIRKVPAYSFIDSV >KJB67303 pep chromosome:Graimondii2_0_v6:10:53563365:53565459:1 gene:B456_010G184700 transcript:KJB67303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAFGRNGDMGSALFFFDRMSEKDVVSWTSVINGFVRSKQFAKAIWVFEKMIEFSVKRSEATYVNVLSCCANLEEQSGFYQGRQIHGYIFRNEGVMTVFMGTALIDFYGKRGHLEFAFRVINQMLDREVCTWNAMISSFACNGREKEALDLFEKMKVDGMCPNEVTFVAVLTACARTKRVQLGSQFFQSTRCQYGIVPIMEHYRCMVDLLGRAGLLTQATEFVNTMPFQPDASVLGALLGACKIHGAIELGNEVGRRLLELQPRHCGLYLALSTINADKERWDRAADLRKAIVEAGKNTKIGIEGTLLLLVAWTD >KJB64454 pep chromosome:Graimondii2_0_v6:10:5627492:5629505:-1 gene:B456_010G050000 transcript:KJB64454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFANKLVGLASRAANNNVVIDVCLVTSFAVLGIRSLNQQNVIESLEAEKDSLTKSNKALKKVMWDWKQQLFAEASSPSPLVPLDSLKAIYGESPTPPTGDAAKGVKSPPAKFVV >KJB64457 pep chromosome:Graimondii2_0_v6:10:5627931:5629476:-1 gene:B456_010G050000 transcript:KJB64457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFANKLVGLASRAANNNVVIDVCLVTSFAVLGIRSLNQQNVIESLEAEKDSLTKSNKALKKVMWDWKQQLFAEASSPSPLVPLDSLKAIYGESPTPPTDAAKGVKSPPAKFVV >KJB64453 pep chromosome:Graimondii2_0_v6:10:5627931:5629476:-1 gene:B456_010G050000 transcript:KJB64453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFANKLVGLASRAANNNVVIDVCLVTSFAVLGIRSLNQQNVIESLEAEKDSLTKSNKALKKVMWDWKQQLFAEASSPSPLVPLDSLKAIYGESPTPPTGDAAKGVKSPPAKFVV >KJB64455 pep chromosome:Graimondii2_0_v6:10:5627931:5629476:-1 gene:B456_010G050000 transcript:KJB64455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFANKLVGLASRAANNNVVIDVCLVTSFAVLGIRSLNQQNVIESLEAEKDSLTKSNKALKKVMWDWKQQLFAEASSPSPLVPLDSLKAIYGESPTPPTGDAAKGVKSPPAKFVV >KJB64456 pep chromosome:Graimondii2_0_v6:10:5628928:5629425:-1 gene:B456_010G050000 transcript:KJB64456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFANKLVGLASRAANNNVVIDVCLVTSFAVLGIRSLNQQNVIESLEAEKDSLTKSNKALKKVMWDWKQQLFAEASSPSPLVPLDSLKAIYGESPTPPTGDAAKGVKSPPAKFVV >KJB64770 pep chromosome:Graimondii2_0_v6:10:8072775:8076366:1 gene:B456_010G063800 transcript:KJB64770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPISHSHSHSLLKRANVRPPPPPSFFSSFILKDFPYSKTLSLKLPRMLTRNVVVSATTAEKPRKRYPGEAKGFVEEMRFVAMKLHTKEQAKEGEKEVKQPEERPVQRWEPSVDGYLKFLVDSKLVYDTLEGIIDKAPFPSYAEFRDTGLERSEKLAKDLQWFKEQGCTIPEPSSPGVTYAEYLKELSEKDPQAFICHFYNIYFAHSAGGRMIGKKVAEKILDKKELEFYKWDGDLSQLLQNVRDKLNKVAESWTREEKNHCLEETEKSFKYSGDILRLILS >KJB67785 pep chromosome:Graimondii2_0_v6:10:57702593:57703016:1 gene:B456_010G210600 transcript:KJB67785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVRMTLKLMVGGKKNRGEVQKQKFEGSESDSDADRARRHKKELINEGRQMHDSSEGDSDSDEQEVIEKKWLRKVVIGTTPVRTTLILMVRGKRREVKFKRKD >KJB66594 pep chromosome:Graimondii2_0_v6:10:38328480:38329292:-1 gene:B456_010G145800 transcript:KJB66594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIKLKALSSILSHLLIGIALVDANLRCYDTGNFTLNSTYGKNRDLILASLLPNVSANGGFFTASISQDSNKIYALGMCRGDSTPNGCYTCLNASIQDLIASCPNRKEALSWGGDPPCLARYANRPFFGILELQPTDAGYNTADITSNLTQFDTIWESLMDRVVSKASSGSSTKYATGEANFTAFQTIHALMQCTPDLSHKDSDSCLRQSVSNYESCCRGKQGGYVQKPNCWFRWDLYPFYKRNASTAAAPSLSPPSLSPPPPPPDIKGM >KJB65548 pep chromosome:Graimondii2_0_v6:10:17746826:17747856:-1 gene:B456_010G100100 transcript:KJB65548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKVFPSSKPTATAAPGGAAAPPPTTTSTTTNGGTTKSHLINPTARLPYRPQPHNRRRNYRPRRNYCCCCCFWIILIILVLALLVAITGTVLYVLYRPHRPSFTLASLRIHRLKLKTAADSSSSHLSTLFNLTLSSKNPNSHLSFSYDPFVISCVTSNGDVLIGNGTLPAFVSDGKDESTFRGVTVASSSDLDAETVNNLRPELKKGNGVSLKVEMDTKVTAKMGGLKSKKVGIRVTCDGIKGVVPKGKSPAAANVSGAKCKVDLRIKIWKWTF >KJB65756 pep chromosome:Graimondii2_0_v6:10:21342878:21343447:-1 gene:B456_010G112100 transcript:KJB65756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKCYKGRCYHIEPIPGEEGQYICYEAYCLDLFEECSVTNMFTSIVGNVFGFKALRALHKLNKYGCPLLRCTIKPKLGLLAKNYGRAVYECLCGGLDFTKDDENVNSQPFMRCRDRFLFCTDAIYKSQAETSEIKGHYLNATTGTCEKKIKMIKRVVCTKTNDL >KJB64498 pep chromosome:Graimondii2_0_v6:10:5923021:5931775:-1 gene:B456_010G051700 transcript:KJB64498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETEEESYGITAADDLDDTVGTVARFIEQLHANMSSPSEKELITAQMLGIARARKEARALIGSHGQAMPLFISILRSGTPIAKLNVAAILTVLCKDEDLRLKVLLGGCIPPLLSLLKSESTETRKAAAEAIFEVSSGGLSDDHVGMKIFVTEGVVSTLWEQLSPTNKQDKVVEGFVTGALRNLCGEKDGYWRATLEAGGVDIIVGLLSSDNAAAQSNAASLLARLMLAFSDSIPKVIDSGVVKVLLHLVGQNNDTSVRASAADALKALSLKSSAAKKAIVDANGVHILIGAVVAPSKECMQGEHAQALQGHATHALANICRGMSDLILYLGELSRSSCLAAPVADIVGALAYALMVFEQTSGLDEEPFDVAQVEDVLVMLLKPCDNKLVQDRILEAMASLYGNTYLSRWLNHAEAKRVLIGLITMAAADVHEHLILYLTSLCCDKVGVWEAIGNREGIQLLISLLGLSSEQHQEYAVQLLAILTNQAVDCKWAITAAGGIPPLVQLLETGSQKAREDAAHILWNLCCHSEDICACVESAGAVPAFLWLLRIGGPKGQEASAKALTKLVRTADSATINQLLALLLGDIPSSKAHIIRVLGHVLTMALHEDLVHKGSAANKGLKSLVQVLNSTNEETQEYAASVLADLFSTRQDICDSLATDEIVHPCMKLLTSKTQDVATQSARALGALSRPTKSKIANKMAYIAAADVKPLIKLTKTSLIGAAETAVSALANLLSDSHIAAEALAEDIVLALTRVLGDGTSEGKKNASRALHQLLKYFPVGDVLMGNSECRFAVLSLVDSLNAMTMDTTDAADALEVVALLCRTKKGINLSYTPWSALAESPSSLEPLVRCLAEGPPALQDKSIEILSRLCREQPVLLSDLLVARSSSIGSLANRTMNSTSLEVRIGGAALLTCSVKEHKQQSLDVLDQSGCLTPLVEALVEMVKRNSRCTSLEVEVSAPRDFIERTAFQEGKEFDVPDPATILGGTVALWLLSILSSCLSKNRITIMEAGGLEVLSGKLASYASNPQAELEDTEGIWISALLLAILFQEENVVLSPETMRIIPSLALLLRSEEVIDRYFAAQAMASLVCSGSKGINLIIANSGAIAGLITLIGYMESDMPNLFALSKEFSLGQNPGQVVLETLFEIEDVRVGSAARKSIPLLVDLLKPIPDRPGAPPIAVQLLTRIADGSDTNKLIMGEAGALDALTKYLSLSPQDSTEADICELLRILFGHQDLIRYEASLSSLNQLIAVLRLGSKNARFSAARALHQIFDAETVRDSELARQAVQPLVDMLSATSESEQEAALVSLIKLTSGNTSKAAIMTDVEGNPLESLYKILLSASSLELKRNAAQLCFILFGISKFRSNPLASECIQPLISLMQSDSCAALESGICAFERLLDDEQHVELAAAYDIVDLLVGLISGRNHLIIEASVCALIKLGKDCTPRKLDMVKAGVIDNCLEILPLASSSLCSSIAELFRILTNNNAIARSSDAAKIVEPLFMVLLRPDFILWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLTHLLAQEHFQQDITTKSAVVPLVQLAGVGILNLQQTAIKALEKISSSWPKAVADAGGIFELAKVIIQDDPLPPHVLWESAALVLSNVLRFNAEYYFKVPIIVLVKMLHSTLESTITVALNALIVHERSDPSSVEQMTEAGAIDALLDLLRSHQCEEASGRLLEALFNNVRVREMKVSKYAIAPLAQYLLDPQTRSESGRLLATLALGDLSQHEGHARASDSVSACRALVSLLEDQPTEDMKMVAICALQNFVMRSRTNRRAVAEAGGILVIQELLLSPNADVAVQAALLIKFLFSNHTLQEYVSNELIRSLTGDITLQQFVLAFTICWFHFETFYICLKLGSIQICLKFLSSCYTMTILHFKDFSQQTISSSSSYFSLCTNSCLGSQHCFLILKYIVTLLCSCPRERFVVCCNY >KJB64497 pep chromosome:Graimondii2_0_v6:10:5922918:5931775:-1 gene:B456_010G051700 transcript:KJB64497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETEEESYGITAADDLDDTVGTVARFIEQLHANMSSPSEKELITAQMLGIARARKEARALIGSHGQAMPLFISILRSGTPIAKLNVAAILTVLCKDEDLRLKVLLGGCIPPLLSLLKSESTETRKAAAEAIFEVSSGGLSDDHVGMKIFVTEGVVSTLWEQLSPTNKQDKVVEGFVTGALRNLCGEKDGYWRATLEAGGVDIIVGLLSSDNAAAQSNAASLLARLMLAFSDSIPKVIDSGVVKVLLHLVGQNNDTSVRASAADALKALSLKSSAAKKAIVDANGVHILIGAVVAPSKECMQGEHAQALQGHATHALANICRGMSDLILYLGELSRSSCLAAPVADIVGALAYALMVFEQTSGLDEEPFDVAQVEDVLVMLLKPCDNKLVQDRILEAMASLYGNTYLSRWLNHAEAKRVLIGLITMAAADVHEHLILYLTSLCCDKVGVWEAIGNREGIQLLISLLGLSSEQHQEYAVQLLAILTNQAVDCKWAITAAGGIPPLVQLLETGSQKAREDAAHILWNLCCHSEDICACVESAGAVPAFLWLLRIGGPKGQEASAKALTKLVRTADSATINQLLALLLGDIPSSKAHIIRVLGHVLTMALHEDLVHKGSAANKGLKSLVQVLNSTNEETQEYAASVLADLFSTRQDICDSLATDEIVHPCMKLLTSKTQDVATQSARALGALSRPTKSKIANKMAYIAAADVKPLIKLTKTSLIGAAETAVSALANLLSDSHIAAEALAEDIVLALTRVLGDGTSEGKKNASRALHQLLKYFPVGDVLMGNSECRFAVLSLVDSLNAMTMDTTDAADALEVVALLCRTKKGINLSYTPWSALAESPSSLEPLVRCLAEGPPALQDKSIEILSRLCREQPVLLSDLLVARSSSIGSLANRTMNSTSLEVRIGGAALLTCSVKEHKQQSLDVLDQSGCLTPLVEALVEMVKRNSRCTSLEVEVSAPRDFIERTAFQEGKEFDVPDPATILGGTVALWLLSILSSCLSKNRITIMEAGGLEVLSGKLASYASNPQAELEDTEGIWISALLLAILFQEENVVLSPETMRIIPSLALLLRSEEVIDRYFAAQAMASLVCSGSKGINLIIANSGAIAGLITLIGYMESDMPNLFALSKEFSLGQNPGQVVLETLFEIEDVRVGSAARKSIPLLVDLLKPIPDRPGAPPIAVQLLTRIADGSDTNKLIMGEAGALDALTKYLSLSPQDSTEADICELLRILFGHQDLIRYEASLSSLNQLIAVLRLGSKNARFSAARALHQIFDAETVRDSELARQAVQPLVDMLSATSESEQEAALVSLIKLTSGNTSKAAIMTDVEGNPLESLYKILLSASSLELKRNAAQLCFILFGISKFRSNPLASECIQPLISLMQSDSCAALESGICAFERLLDDEQHVELAAAYDIVDLLVGLISGRNHLIIEASVCALIKLGKDCTPRKLDMVKAGVIDNCLEILPLASSSLCSSIAELFRILTNNNAIARSSDAAKIVEPLFMVLLRPDFILWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLTHLLAQEHFQQDITTKSAVVPLVQLAGVGILNLQQTAIKALEKISSSWPKAVADAGGIFELAKVIIQDDPLPPHVLWESAALVLSNVLRFNAEYYFKVPIIVLVKMLHSTLESTITVALNALIVHERSDPSSVEQMTEAGAIDALLDLLRSHQCEEASGRLLEALFNNVRVREMKVSKYAIAPLAQYLLDPQTRSESGRLLATLALGDLSQHEGHARASDSVSACRALVSLLEDQPTEDMKMVAICALQNFVMRSRTNRRAVAEAGGILVIQELLLSPNADVAVQAALLIKFLFSNHTLQEYVSNELIRSLTAALERDLWSAATINEEVLRTLNVIFANFPKLHISEAATLCIPHLIGALKSGSEGAQEAVLDTLCLLKHSWSTMPIEIAKSQSMIAAEAIPILQMLMKTCPPSFHERADNLLHCLPGCLTVTIKRGNNLKQTMGATNAFCRLTIGSGPSRQTKVVSHSTSPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKTTLGRVTIQIDKVVTEGVYSGLFSLNHDSNKDGSSRTLEIEIIWSNMISNEDSI >KJB65084 pep chromosome:Graimondii2_0_v6:10:11607138:11608670:1 gene:B456_010G079900 transcript:KJB65084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKRGPWSPDEDDTLRNYLAKHGTGGNWIALPRKAGLKRCGKSCRLRWLNYLRPDIKHGGFTDEEDNIICSLYSSIGSRWSLIAAQLPGRTDNDIKNHWNTKLKKKLFAAKTGVDQNSNNHESTITDSSTTSVPIEAEALVNGSTTTTTSSSYMINMKYQQNYDYPGLVLDQIDQFTLPGLMEYSITSTANDNYSMSSSSQEVSILCNSSSFAPENNSTAWFIDGGAEDQGILLDQLDFEGPHYLFTASGQQI >KJB68336 pep chromosome:Graimondii2_0_v6:10:60925265:60931279:-1 gene:B456_010G239500 transcript:KJB68336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSGPITPGQVSFLLGILPVFVAWIYSEFLEYKRSASHSKVHADNNLVESGDEKIKEDDRALLLEGGLTRSTSAKVHSSTIKTNLIRFLTMEDSFLLENRAILRAMAEFGAILVYFYICDRTNLLGESAKKYNRDLFLFLYAILIIVSAMTSLTKHNDKSAFSGKTMQYLSRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFTQVIFSLWVIMPYQHSSRVVYISVNQRSLYNFDLMQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFNKYNEKPSVMAVKFLACFLVVIFIWEIPGVFEIFWSPLAFLLGYTDPAKPDLPRLHEWQFRSGLDRYIWIIGMIYAYYHPNIEKWMEKLEECEPKRKFSIKASVISVSLFVGYLWYEYIYKLDKVTYNKFHPYTSWIPITVYICLRNSTQQLRNYSLTLFAWLGKITLETYISQFHIWLRSDIPNGQPKWLLSFIPEYPLLNFMLTTAIYVLVSHRLFELTNTLKSAFIPTKENRRLLYNVIAGVAISVCLYCTSLILLQIPRSTA >KJB68337 pep chromosome:Graimondii2_0_v6:10:60927973:60931279:-1 gene:B456_010G239500 transcript:KJB68337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSGPITPGQVSFLLGILPVFVAWIYSEFLEYKRSASHSKVHADNNLVESGDEKIKEDDRALLLEGGLTRSTSAKVHSSTIKTNLIRFLTMEDSFLLENRAILRAMAEFGAILVYFYICDRTNLLGESAKKYNRDLFLFLYAILIIVSAMTSLTKHNDKSAFSGKTMQYLSRHQTEEWKGWMQVSVYC >KJB68335 pep chromosome:Graimondii2_0_v6:10:60925259:60931459:-1 gene:B456_010G239500 transcript:KJB68335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSGPITPGQVSFLLGILPVFVAWIYSEFLEYKRSASHSKVHADNNLVESGDEKIKEDDRALLLEGGLTRSTSAKVHSSTIKTNLIRFLTMEDSFLLENRAILRAMAEFGAILVYFYICDRTNLLGESAKKYNRDLFLFLYAILIIVSAMTSLTKHNDKSAFSGKTMQYLSRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFTQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFNKYNEKPSVMAVKFLACFLVVIFIWEIPGVFEIFWSPLAFLLGYTDPAKPDLPRLHEWQFRSGLDRYIWIIGMIYAYYHPNIEKWMEKLEECEPKRKFSIKASVISVSLFVGYLWYEYIYKLDKVTYNKFHPYTSWIPITVYICLRNSTQQLRNYSLTLFAWLGKITLETYISQFHIWLRSDIPNGQPKWLLSFIPEYPLLNFMLTTAIYVLVSHRLFELTNTLKSAFIPTKENRRLLYNVIAGVAISVCLYCTSLILLQIPRSTA >KJB64818 pep chromosome:Graimondii2_0_v6:10:8522490:8528464:1 gene:B456_010G066400 transcript:KJB64818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLIRNKGVFRVSVCGQDEVEHLSRESSHYSLSTGILPSLGARSNRRVKLRSSIVSPYDRRYRVWETFLIILVVYTAWVSPFEFGFIRKPEAPLSIIDNVVNGFFALDIVLTFFVAYLDKATYLLIDDPKKIAWKYASSWLAFDIISTIPSELAQKFFPRRLHSYGLFNMLRLWRLRRVSALFSRLEKDKNYNYFWVRCAKLVCVTLFAVHCAGCFYYLIAAQYHDPERTWIGASLGENFHEQSLSIRYVTSMYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDTIQAATSFAQRNQLPPRLQDQMLAHLCLKFRTDSEGLQQQETLDALPKAIRSSISHYLFYSLMDKVYLFRGVSNDLLFQLVSEMKAEYFPPKEDIILQNEAPTDFYILVTGAVDLVILKNGVEQVVGEANTGDICGEIGVLCYRPQLFTIRTKRLCQLLRLNRTTFLNIIQANVGDGTIIMNNLLQHLKDMNDPIMQGVLIETENMLARGRMDLPLNLCFAALRGDDLLLHQLLKRGLDPNESDNSGRTALHIAASKGSENCVLLLLDYGADPNSKDSEGSVPLWEAMLAGHDKVAQLLKDNGGNINAGDVGHFACTAAEQNNLYLLKEIVRYGGDVTCPRNNGYTALHVAVCEDNIEIVKFLLEQGADIDQPDVHHWTPRDLAEQQGHEEIKMIFESSKEKKPQSVMPVPEKQQTRYLGRFTSEPVILPAETTETDGSWSQSRPRRRTSNFHNSLFGVMSSARNVESDLLLSVNQPKAVKHSVVNSARVVISCPEKGETTGKLILLPGSFQELLDIGAKKFGIFGAKIMCYGAEIDDVEVITDGDHLVFVSDGEMLQETNNQSS >KJB68082 pep chromosome:Graimondii2_0_v6:10:59676656:59677072:1 gene:B456_010G224400 transcript:KJB68082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLVRPELYGSTVDLFELVHGFEGFYKREGGCKKRSSEALLLSSEDQLISKNPHLRKSAKIITAPAMHQPGIEPGSVPWQGTILPLDHWCLLLVSNSPYKYYLIGFTRN >KJB64688 pep chromosome:Graimondii2_0_v6:10:7320458:7321308:1 gene:B456_010G060700 transcript:KJB64688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPLSSRRVTRSQTLAASNNGIPVSKVKTKGSEKSASKARIRNGKQQQQEERSALIGITNDSPIVGVVMKTPSSAVVVKGKTNMMTMMTPGFGEALRGQVKTLLQKVEEEAEVSKVPNLSEDGGLGSMLMDLPVVEEELNISEMVSANIEGQKCAITRSLLLETILT >KJB66409 pep chromosome:Graimondii2_0_v6:10:33063308:33066855:-1 gene:B456_010G138800 transcript:KJB66409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCFPCFSTQKGKKENGKRDHDSSADELIRARILASMEENQAEHAKIAAQRFSFRDLAAATKNFRQECLLGEGGFGRVYKGTLQANGQVVAVKQLDRNVMKGCKEFLVEVARLSLLQHANLVNLIGYCADGDQRLLVYDFMPGGSLEDNLLAPKDEEKPQLDWLTRMKIAYGAAQALEYLHDKANPPVIYRDLKSSNVLLDEEFNPKLSDIGLDKLDHSSEKMPMQSRGMGTYGYSAPEYSRSGRLTTVADVYSFGVVLLELITGRRAIDTTKPVEEQNLVAWAQPLFREPKKFPDMADPKLKKRFPERGLNQAVAIAAMCLQDEAAARPLMSDVVTALSYLSVASEENKIPPALPPSISSKLHCISAKLSRECGGGNQEMVDEQGDHSQSDAELDVNDRSEKESVSSPSSSSSNAKPQPHEKKRL >KJB66410 pep chromosome:Graimondii2_0_v6:10:33063360:33066822:-1 gene:B456_010G138800 transcript:KJB66410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCFPCFSTQKGKKENGKRDHDSSADELIRARILASMEENQAEHAKIAAQRFSFRDLAAATKNFRQECLLGEGGFGRVYKGTLQANGQVVAVKQLDRNVMKGCKEFLVEVARLSLLQHANLVNLIGYCADGDQRLLVYDFMPGGSLEDNLLAPKDEEKPQLDWLTRMKIAYGAAQALEYLHDKANPPVIYRDLKSSNVLLDEEFNPKLSDIGLDKLDHSSEKMPMQSRGMGTYGYSAPEYSRSGRLTTVADVYSFGVVLLELITGRRAIDTTKPVEEQNLVAWVSKRSTIVQGTKKVPRHGRS >KJB66846 pep chromosome:Graimondii2_0_v6:10:45634625:45635920:-1 gene:B456_010G160400 transcript:KJB66846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPPLFLLLLHLLLLLFIATNATKLTALSPTPSPTPPTTSKSSPSALDPKQIRALQSLNIPTTRDPCIQPSPHNATICDNSKPFRHLISLHLFNCSSDLSLSFTALKSLSSLHALSFTNCRASPIRFPSHLSLSLTSFSCIHSLRRLTGVWLSRFVNLTDLTVSYTSINTHGLYVILGNMHKLKTITICHANLTGSLPRHLHLNLTYIDLSNNKLIGKIPTSLTLLEDLEFLNLSSNGLNGVIPTEFGDLISLKNVSLASNSFSGSIPDSMSAIPGLVHVDLSNNQLNGTVPRFFSELKELKVLNLENNKLHGVLPFNASFIKRLDVLKVGGNGNLCYNHSVLSSKIKLGIAPCDKHGMAMLPPPSKESSSGDSESESSDYDYDDDGAEGANEKKGHHHGPNKVVLGVAIGLSSIVFLIVFLVLLSKWCR >KJB68575 pep chromosome:Graimondii2_0_v6:10:61817447:61817524:-1 gene:B456_010G2515001 transcript:KJB68575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAIIEFEFERVYLHTELGLRGKE >KJB64634 pep chromosome:Graimondii2_0_v6:10:7037335:7038721:1 gene:B456_010G058900 transcript:KJB64634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLALMVVLILASALRASADISSLISQDMFNEMLKHRNDGNCPGKGFYTYDAFIAAANSFGAFGTTGDDTTRKREIAAFLAQTSHETTGGWPTAPDGPYAWGYCYVQEQGNPGDYCVPNQQWPCAPGKKYFGRGPIQISYNYNYGPAGEAIKANILNDPDLVAKDPTISFKTGLWFWMTPQSPKPSSHDVITGQWKPSAEDTAAGRVPGYGVITNIINGGIECGKGSNPQVEDRIGFYKRYCDILKVSYGDNLDCYNQRPFA >KJB65108 pep chromosome:Graimondii2_0_v6:10:11806801:11812150:1 gene:B456_010G081300 transcript:KJB65108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSREAISMASTSPSSLSPPKVPMELHVSNRQKLLKSLRQHLSNSSRPHHGFVLLQGGEEQTRYCTDHIELFRQESYFAYLFGVREPGFYGAIDIATGKSILFAPRLPANYAVWLGEIKPVSYFQERYMVSMVYYTDEIVQLLVDQYKGSGKPLLFLLHGLNTDSNNFSKPAEFKGIENFERDLTTLHPVLTECRVCKSDLELALIQIANNISSEAHVEVMRKTKAGMKEYQLESMFLHHTYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDRILEDGDMALLDMGAEYSFYGSDITCTFPVNGKFTSDQSLIYNAVLDAHNAVIAAMKPGVSWVDMHKLAEKIILESLEKGNILVGA >KJB65105 pep chromosome:Graimondii2_0_v6:10:11806703:11812206:1 gene:B456_010G081300 transcript:KJB65105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSREAISMASTSPSSLSPPKVPMELHVSNRQKLLKSLRQHLSNSSRPHHGFVLLQGGEEQTRYCTDHIELFRQESYFAYLFGVREPGFYGAIDIATGKSILFAPRLPANYAVWLGEIKPVSYFQERYMVSMVYYTDEIVQLLVDQYKGSGKPLLFLLHGLNTDSNNFSKPAEFKGIENFERDLTTLHPVLTECRVCKSDLELALIQIANNISSEAHVEVMRKTKAGMKEYQLESMFLHHTYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDRILEDGDMALLDMGAEYSFYGSDITCTFPVNGKFTSDQSLIYNAVLDAHNAVIAAMKPGVSWVDMHKLAEKIILESLEKGNILVGNLDDMMVERVGAVFMPHGLGHLLGIDTHDPGGYPKGIERPKEPGLKSLRTARQLLEGMVITVEPGCYFIDALLVPAMKSANTSKFFNREIVDKFKNFGGVRIESDVLVTANGSKNMTKVPRETWEIEAVMAGGPWPLNKASG >KJB65107 pep chromosome:Graimondii2_0_v6:10:11806801:11810350:1 gene:B456_010G081300 transcript:KJB65107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSREAISMASTSPSSLSPPKVPMELHVSNRQKLLKSLRQHLSNSSRPHHGFVLLQGGEEQTRYCTDHIELFRQESYFAYLFGVREPGFYGAIDIATGKSILFAPRLPANYAVWLGEIKPVSYFQERYMVSMVYYTDEIVQLLVDQYKGSGKPLLFLLHGLNTDSNNFSKPAEFKGIENFERDLTTLHPVLTECRVCKSDLELALIQIANNISSEAHVEVMRKTKAGMKEYQLESMFLHHTYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDRILEDGDMALLDMGAEYSFYGSDITCTFPVNGKFTSDQSLIYNAVLDAHNAVIAAMKPGVSWVDMHKLAEKIILESLEKGNILVG >KJB65109 pep chromosome:Graimondii2_0_v6:10:11806801:11812150:1 gene:B456_010G081300 transcript:KJB65109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSREAISMASTSPSSLSPPKVPMELHVSNRQKLLKSLRQHLSNSSRPHHGFVLLQGGEEQTRYCTDHIELFRQESYFAYLFGVREPGFYGAIDIATGKSILFAPRLPANYAVWLGEIKPVSYFQERYMVSMVYYTDEIVQLLVDQYKGSGKPLLFLLHGLNTDSNNFSKPAEFKGIENFERDLTTLHPVLTECRVCKSDLELALIQIANNISSEAHVEVMRKTKAGMKEYQLESMFLHHTYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDRILEDGDMALLDMGAEYSFYGSDITCTFPVNGKFTSDQSLIYNAVLDAHNAVIAAMKPGVSWVDMHKLAEKIILESLEKGNILVGNLDDMMVERVGAVFMPHGLGHLLGHRKTKRTWFEVFAYC >KJB65106 pep chromosome:Graimondii2_0_v6:10:11806771:11810488:1 gene:B456_010G081300 transcript:KJB65106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSREAISMASTSPSSLSPPKVPMELHVSNRQKLLKSLRQHLSNSSRPHHGFVLLQGGEEQTRYCTDHIELFRQESYFAYLFGVREPGFYGAIDIATGKSILFAPRLPANYAVWLGEIKPVSYFQERYMVSMVYYTDEIVQLLVDQYKGSGKPLLFLLHGLNTDSNNFSKPAEFKGIENFERDLTTLHPVLTECRVCKSDLELALIQIANNISSEAHVEVMRKTKAGMKEYQLESMFLHHTYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDRILEDGDMALLDMGAEYSFYGSDITCTFPVNGKFTSDQSLIYNAVLDAHNAVIAAMKPGVSWVDMHKLAEKIILESLEKGNILVGNLDDMMVERVGAVFMPHGLGHLLGIDTHDPGGYPKVFVTNLSAYRFFSLW >KJB68188 pep chromosome:Graimondii2_0_v6:10:60265057:60266965:1 gene:B456_010G231000 transcript:KJB68188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKYPEFVDRLEAHGLLYTRVLGEDDDPSSPIGRGWKSTFLTSNKAVAEERAAKLGMKLEWLSDGVKTVMGPIPAIKYDKSRQRKIWFNSMVAAYTGWEDSRNDPVKAVTFGDGQPLPADIIYDCLKILEDECVPIPWKKGDVMLIDNLATLHSRRSFDPPRRVLASLCK >KJB67050 pep chromosome:Graimondii2_0_v6:10:49984599:49989678:-1 gene:B456_010G171800 transcript:KJB67050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGGGYRERGYGGRGRYYGGGRGRARGRGRDGSADHQPPYQAPIGQGQSGANWNPTNSQVGPYQDGDARGGQAGGGRGRRGGWVPRLQPERPNYSYEAESASGRGGGTGRGRGRRPFRPVAAPTLEPEDNIPALPPSPPPPPPPQSVPDSVVMNVSEQLASTSLSSENQNRHNPIMRPDKGGRTALATVRLNVNHFRVKFNPESIIRHYDVDVKQLESPRNGRPAYISKPLLSNIRKKLFTDNPQLPLLMTAYDGEKNIFSAVRLPEGEFKVESSEGEDVMARTYIFSIKLVNELKLCKLRDYLSRKAYSIPRDILQGMDVVMKENPVMHMVPVGRSFHPYESCPEDDLGYGITASRGIRYSLKPTYQGLALCLDYSVLAFRKKMPVLDFLAEHIPDFNVNSFRRFRRHVDQALKNLKVNVTHRRTKQKYVIVGLTRDDTRNVSFPDANDPQVRVRLVDYFREKYDNNIRYLDIPCLDLSRNNRMNYVPMEYCVLAEGQIYPKDDLDREAAFMLKNISLAKPYERQSKICGMVQSKDGPCGGNIIQNFGIEVDTRMTPVEGRVIGPPVLKLAAPTGKLLKITVDRNTCQWNLVGKAVVVGKAIQCWAVIDFTQADRLKLNCDSFIPKLRNRCRNLGMTMEDPILYEPARMQIFSDGNGLLQLLENVTRRVHKLGKGNLQFLLCVMSRKDDGYKCLKWISETKIGVVTQCCLSNHANKGQDQYLANLALKINAKLGGSNVELNDRLPHFCGEGHVMFIGADVNHPGSYNNTSPSIAAVVATMNWPEANRYAARVRPQIHRKEQILEFGEMCLELVESYARLNNVKPEKIVLFRDGVSEGQFDMVLNEELMDLKSAFQRINYFPTITLIVAQKRHQTRFFPEGRGDGGPTGNISPGTVVDTKIVHPFEFDFYLCSHHGSLGTSKPTHYHILWDEHGFSSDQLQKLIYNMCFTFARCTKPVSLVPPVYYADLVAYRGWLYYQAMIGRQSPISTSSLSSSMTSSSSMSSAASFHDWFKLHANLENMMFFV >KJB67049 pep chromosome:Graimondii2_0_v6:10:49985023:49989285:-1 gene:B456_010G171800 transcript:KJB67049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGGGYRERGYGGRGRYYGGGRGRARGRGRDGSADHQPPYQAPIGQGQSGANWNPTNSQVGPYQDGDARGGQAGGGRGRRGGWVPRLQPERPNYSYEAESASGRGGGTGRGRGRRPFRPVAAPTLEPEDNIPALPPSPPPPPPPQSVPDSVVMNVSEQLASTSLSSENQNRHNPIMRPDKGGRTALATVRLNVNHFRVKFNPESIIRHYDVDVKQLESPRNGRPAYISKPLLSNIRKKLFTDNPQLPLLMTAYDGEKNIFSAVRLPEGEFKVESSEGEDVMARTYIFSIKLVNELKLCKLRDYLSRKAYSIPRDILQGMDVVMKENPVMHMVPVGRSFHPYESCPEDDLGYGITASRGIRYSLKPTYQGLALCLDYSVLAFRKKMPVLDFLAEHIPDFNVNSFRRFRRHVDQALKNLKVNVTHRRTKQKYVIVGLTRDDTRNVSFPDANDPQVRVRLVDYFREKYDNNIRYLDIPCLDLSRNNRMNYVPMEYCVLAEGQIYPKDDLDREAAFMLKNISLAKPYERQSKICGMVQSKDGPCGGNIIQNFGIEVDTRMTPVEGRVIGPPVLKLAAPTGKLLKITVDRNTCQWNLVGKAVVVGKAIQCWAVIDFTQADRLKLNCDSFIPKLRNRCRNLGMTMEDPILYEPARMQIFSDGNGLLQLLENVTRRVHKLGKGNLQFLLCVMSRKDDGYKCLKWISETKIGVVTQCCLSNHANKGQDQYLANLALKINAKLGGSNVELNDRLPHFCGEGHVMFIGADVNHPGSYNNTSPSIAAVVATMNWPEANRYAARVRPQIHRKEQILEFGEMCLELVESYARLNNVKPEKIVLFRDGVSEGQFDMVLNEELMDLKSAFQRINYFPTITLIVAQKRHQTRFFPEGRGDGGPTGNISPGTVVDTKIVHPFEFDFYLCSHHGSLGTSKPTHYHILWDEHGFSSDQLQKLIYNMCFTFARCTKPVSLVPPVYYADLVAYRGWLYYQAMIGRQSPISTSSLSSSMTSSSSMSSAASFHDWFKLHANLENMMFFV >KJB67044 pep chromosome:Graimondii2_0_v6:10:49861250:49862913:-1 gene:B456_010G171500 transcript:KJB67044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQGGNNIGMREYRKGNWTVSETMVLIEAKKMDDERRMKKSWEGEGRGKPTELRWKWVEDYCWRRGCLRSQNQCNDKWDNLMRDYKKVREYQRRTAGAEDNQGSYWEMEKNERKEKNLPTNMLRQIYECLEEVVEKKGGQRVVLPATATASGSLPIPNIPDVMDRPIASVQLPPILQHQLPPIPAAVPLPLTALPQLPQPAAAPTPLLQPPFSYAQPLPTVDSDTSEYSDSLAKRRRRSGGNWEGTSSGAATANNSNEAGTAISKSASIIAEAIQASEENEERRHRDLVSLHERILKIEESKTEINKRGIDGLVDAINKLANSILAFASHKNQSAPK >KJB67046 pep chromosome:Graimondii2_0_v6:10:49861439:49863428:-1 gene:B456_010G171500 transcript:KJB67046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQGGNNIGMREYRKGNWTVSETMVLIEAKKMDDERRMKKSWEGEGRGKPTELRWKWVEDYCWRRGCLRSQNQCNDKWDNLMRDYKKVREYQRRTAGAEDNQGSYWEMEKNERKEKNLPTNMLRQIYECLEEVVEKKGGQRVVLPATATASGSLPIPNIPDVMDRPIASVQLPPILQHQLPPIPAAVPLPLTALPQLPQPAAAPTPLLQPPFSYAQPLPTVDSDTSEYSDSLAKRRRRSGGNWEGTSSGAATANNSNEAGTAISKSASIIAEAIQASEENEERRHRDLVSLHERILKIEESKTEINKRGIDGLVDAINKLANSILAFASHKNQSAPK >KJB67045 pep chromosome:Graimondii2_0_v6:10:49861439:49863125:-1 gene:B456_010G171500 transcript:KJB67045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGTLTMADQGGNNIGMREYRKGNWTVSETMVLIEAKKMDDERRMKKSWEGEGRGKPTELRWKWVEDYCWRRGCLRSQNQCNDKWDNLMRDYKKVREYQRRTAGAEDNQGSYWEMEKNERKEKNLPTNMLRQIYECLEEVVEKKGGQRVVLPATATASGSLPIPNIPDVMDRPIASVQLPPILQHQLPPIPAAVPLPLTALPQLPQPAAAPTPLLQPPFSYAQPLPTVDSDTSEYSDSLAKRRRRSGGNWEGTSSGAATANNSNEAGTAISKSASIIAEAIQASEENEERRHRDLVSLHERILKIEESKTEINKRGIDGLVDAINKLANSILAFASHKNQSAPK >KJB67487 pep chromosome:Graimondii2_0_v6:10:55023767:55026291:1 gene:B456_010G193400 transcript:KJB67487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSLSDLKPEYRVDGSCWKFVPVISEGFFNQRPGDIFRHHLILLQLQLAVIFTLAAIIHFFLGRFYLPRLISEVLAGVILGPTVLGRFFPDISAVLFSEQSVKVLATLTRFGYLFFMFLIGVKMDVNLIKKSGRREWTIGTMVMLFPLLVTVMIGRHISIVVDKVDAQSAQYEVSFFTGVLMLTSFPVVAILLMQLKIINSELGHLTLSSALISDMVSLVMVNIGNYRVIMLLASLRVALKSLFLVAVLIVFILTVLQQMLYWIIRKTPEGKPVKDEYIFFVVIALLVVAIVGENVGLQYMYGPFILGLTVPTGHPLASTLIEKLDTIVSGWMLPLMSTYCGYRSNLWNLNRLPPYWIMFAVTFGFFLKVACGFIPAFCFKVPCKDATALALMLAAKGIVELGTFATNAEKQSTVTQEFTWAVIIVFIFAALVPILTRKLYDPSKTYAGYQKRTVLNSSINEGVRMLACAHRQDDALSAIKLLQLSNPSTGSPLSVYGLYLEELVGGASPLLLNHQLGQRSSSDGGRWQPIIDVFNYFKSQSSKPTQVHVYTAISPSSLMHEDVCWVAFDNLVALIILPFHRKWNRKGKLISDSKDLRDFNTKVMNKAPCSVSILIDRDRGRNSSIIDESSTYNICVVFLGGKDDREALSIARRMKGWPSVFLTVIRLKETKDMITGWEGMMDDECLRDIKHPSNENGNVLYKEEIVRHGADTSALIGSILDENFDLICVGRHSQCDSPLIEGLSAWTDLPELGTIGDLLVSAEISKPISILVVQQQVIEK >KJB67718 pep chromosome:Graimondii2_0_v6:10:57195618:57200167:1 gene:B456_010G205900 transcript:KJB67718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATATRLRRVAAVATAVAVTACGGAVLLPPSVSSNDRGVGPVVESMRRTISDPNAIVPSRSVQESTLIGASSTSPLDILVVGGGATGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNVDYGQLKLVFHALEERKQVIENAPHLCHALPCMTPCFNWFEVVYYWMGLKMYDLVAGRHILHLSRYYSVQESIELFPTLARKGKDKSLRGTVVYNDGQMNDSRLNVGLACSAALAGAAVLNHAEVVSFLKDEGSERIIGAKIRDNLSGQEFETYAEVVVNAAGPFCDSVRKMANKDAQPMICPSSGVHVVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITALPEPHEDEIQFILDAICDYLNVKVRRTDVLSAWSGIRPLAMDPTAKNTESISRDHVVCEDFPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLSPRNECITGNLRLVGGDGWESSSFTVLAQQYIRMKKTHGGKVVPGVMDTASAKHLSHAYGTLAERVATIAQNETLGKRLAHGYPYLEAEVAYCARNEYCESAIDFIARRSRLAFLDTDAAGRALPRIIEILAKEHNWDRSRRKQEMQKAREFLETFKSSKNAQFHDGKHQ >KJB67719 pep chromosome:Graimondii2_0_v6:10:57195618:57199680:1 gene:B456_010G205900 transcript:KJB67719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATATRLRRVAAVATAVAVTACGGAVLLPPSVSSNDRGVGPVVESMRRTISDPNAIVPSRSVQESTLIGASSTSPLDILVVGGGATGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNVDYGQLKLVFHALEERKQVIENAPHLCHALPCMTPCFNWFEVVYYWMGLKMYDLVAGRHILHLSRYYSVQESIELFPTLARKGKDKSLRGTVVYNDGQMNDSRLNVGLACSAALAGAAVLNHAEVVSFLKDEGSERIIGAKIRDNLSGQEFETYAEVVVNAAGPFCDSVRKMANKDAQPMICPSSGVHVVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITALPEPHEDEIQFILDAICDYLNVKVRRTDVLSAWSGIRPLAMDPTAKNTESISRDHVVCEDFPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLSPRNECITGNLRLVGGDGWESSSFTVLAQQYIRMKKTHGGKVVPGVMDTASAKHLSHAYGTLAERVATIAQVGLLCSHKS >KJB67720 pep chromosome:Graimondii2_0_v6:10:57195504:57200512:1 gene:B456_010G205900 transcript:KJB67720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATATRLRRVAAVATAVAVTACGGAVLLPPSVSSNDRGVGPVVESMRRTISDPNAIVPSRSVQESTLIGASSTSPLDILVVGGGATGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNVDYGQLKLVFHALEERKQVIENAPHLCHALPCMTPCFNWFEVVYYWMGLKMYDLVAGRHILHLSRYYSVQESIELFPTLARKGKDKSLRGTVVYNDGQMNDSRLNVGLACSAALAGAAVLNHAEVVSFLKDEGSERIIGAKIRDNLSGQEFETYAEVVVNAAGPFCDSVRKMANKDAQPMICPSSGVHVVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITALPEPHEDEIQFILDAICDYLNVKVRRTDVLSAWSGIRPLAMDPTAKNTESISRDHVVCEDFPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLSPRNECITGNLRLVGGDGWESSSFTVLAQQYIRMKKTHGGKVVPGVMDTASAKHLSHAYGTLAERVATIAQNETLGKRLAHGYPYLEAEVAYCARNEYCESAIDFIARRSRLAFLDTDAAGRALPRIIEILAKEHNWDRSRRKQEMQKAREFLETFKSSKNAQFHDGKHQ >KJB68311 pep chromosome:Graimondii2_0_v6:10:60795430:60797990:-1 gene:B456_010G238100 transcript:KJB68311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVYPDRDQPEPVDHFDRLPDSVLLLVFNKIGDVKALGRCCVVSRRFHSLVPQVENVVVRVDCVISDDDCSSSSSSSDKSRALVGPFSNLFRLVFGGIVKPLQALGQFLGPKRSVLNETLNSLSSSSLFVAPGVGDDGEMDHGGVTHHSPTQVLRNFNEIRFLRIELPSGELGIDDGVLLKWRADFGSTLDNCVILGAASVINNGISPEFGFGNGDDNGSIPETFYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHKTLDSLVLTDADGQGVLCMNRDQLEELRVKPLSASSASKRTLVPALNMRLWYAPYLELPDGVVLKGATLVAIRPSEQSASKNEVSDSSWLSNAFEEPYGTAAKMLVKRRTYCLEMNSF >KJB63817 pep chromosome:Graimondii2_0_v6:10:1360492:1362032:-1 gene:B456_010G018000 transcript:KJB63817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTDFDGKYLKTKQMGSGEGNPHFSVFDGVKTFSLTPEALMADINTAITNLEYARATAFLDSPSSSLSRNKSCDSSEYDAQMADQAYKAGCAALAAGKLDEAFHSLNVSLSKCPPEKASAVAKLQSLISLTSQQLNKSPVSD >KJB63818 pep chromosome:Graimondii2_0_v6:10:1358611:1361851:-1 gene:B456_010G018000 transcript:KJB63818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTDFDGKYLKTKQMGSGEGNPHFSVFDGVKTFSLTPEALMADINTAITNLEYARATAFLDSPSSSLSRNKSCDSSEYDAQMADQAYKAGCAALAAGKLDEAFHSLNVSLSKCPPEKASAVAKLQSLISLTSQQLNKSPVSD >KJB66491 pep chromosome:Graimondii2_0_v6:10:39736066:39736821:1 gene:B456_010G148900 transcript:KJB66491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKINPLGLRLGTTQSHHSLWFAQRKKYFEGLQEDKKIIDCIKNYVQKNLRLSSDVEGITRIEIQKRLDLIQVIIYMGFPKLLIEDKPRKLEELQMNVQKELNCMNQKLNIAITRIGNPYGHPNILAEFIAEQLKNLVSFRKAMKKAIELTEQVDTKGNQIQIAGRIDGKEITRVEWI >KJB68458 pep chromosome:Graimondii2_0_v6:10:61521603:61526072:1 gene:B456_010G246500 transcript:KJB68458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVQRITSANGRLKNLMNQTDNCICADCGAPDPKWASANIGVFICLKCCSVHRSLGARISKVRNSSANAIYEAYIPEGYSKPGPDSTHDERSRFIRSKYELQEFLKSSLRITSRKSSASIQSSFSTKILDSFRIKSSKEPETVVEYIGLLKVKVVKGINLAIRDMLTSDPYVVLTLGKQTIQTAVINSNLNPVWNEELMLSVPQNFGPVKLQVYDYDTFSADDIMGEAEIDIQPLITSAMVYGDPEMFSNMQIGKWLKSQDNALIEDSIVNIIDGKVKQQVSLKLQNVECGEIYLQLEWLPLDQ >KJB64794 pep chromosome:Graimondii2_0_v6:10:8363256:8364267:-1 gene:B456_010G065100 transcript:KJB64794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVAQSSSTLASLDQKVDLAKRCSHEGVVAGAKAAIAARIATAIPTMASVRMLPWARANLNHTAQALIITTVAGAAYFIVADKTVLAIARKNSFKHVPNMKP >KJB64821 pep chromosome:Graimondii2_0_v6:10:8570805:8573636:-1 gene:B456_010G066800 transcript:KJB64821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS11 MYLFLSPLVVVITAQLSTFSILDLYDLWEHLQYNLISVIICSTLLVFLSTLYFLTRPRPVYLVNFACYKPDESRKCPKRIFMDRSQLAGTFTEENLQFQRKILERSGLGEETYLPEAVLNVPPNPSMTEARKEAEIVMFGAIDELLAKTSVKPKDIGILVVNCSLFNPTPSLSAMVINHYKLRGNIQSYNLGGMGCSAGLLSIDLAKNLLQVHPNSYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNKRSDRRRSKYQLVHTVRTHKGADDKCFACVTQEEDSAGKIGVTLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSEWHMEPSRMTLFRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWKALRTVNPAKEKNPWMDEIQNFPVDVPKVSSI >KJB64822 pep chromosome:Graimondii2_0_v6:10:8571155:8572687:-1 gene:B456_010G066800 transcript:KJB64822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS11 MTDSKPEQPLIPSSSRKLPDFKKSVKLKYVKLGYHYLITHGMYLFLSPLVVVITAQLSTFSILDLYDLWEHLQYNLISVIICSTLLVFLSTLYFLTRPRPVYLVNFACYKPDESRKCPKRIFMDRSQLAGTFTEENLQFQRKILERSGLGEETYLPEAVLNVPPNPSMTEARKEAEIVMFGAIDELLAKTSVKPKDIGILVVNCSLFNPTPSLSAMVINHYKLRGNIQSYNLGGMGCSAGLLSIDLAKNLLQVHPNSYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNKRSDRRRSKYQLVHTVRTHKGADDKCFACVTQEEDSAGKIGVTLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSEWHMEPSRMTLFRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWKALRTVNPAKEKNPWMDEIQNFPVDVPKVSSI >KJB64824 pep chromosome:Graimondii2_0_v6:10:8570835:8573373:-1 gene:B456_010G066800 transcript:KJB64824 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS11 MTDSKPEQPLIPSSSRKLPDFKKSVKLKYVKLGYHYLITHGMYLFLSPLVVVITAQLSTFSILDLYDLWEHLQYNLISVIICSTLLVFLSTLYFLTRPRPVYLVNFACYKPDESRKCPKRIFMDRSQLAGTFTEENLQFQRKILERSGLGEETYLPEAVLNVPPNPSMTEARKEAEIVMFGAIDELLAKTSVKPKDIGILVVNCSLFNPTPSLSAMVINHYKLRGNIQSYNLGGMGCSAGLLSIDLAKNLLQVHPNSYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNKRSDRRRSKYQLVHTVRTHKGADDKCFACVTQEEDSAGKIGVTLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSEWHMEPSRMTLFRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWKALRTVNPAKEKNPWMDEIQNFPVDVPKVSSI >KJB64823 pep chromosome:Graimondii2_0_v6:10:8571155:8572687:-1 gene:B456_010G066800 transcript:KJB64823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS11 MTDSKPEQPLIPSSSRKLPDFKKSVKLKYVKLGYHYLITHGMYLFLSPLVVVITAQLSTFSILDLYDLWEHLQYNLISVIICSTLLVFLSTLYFLTRPRPVYLVNFACYKPDESRKCPKRIFMDRSQLAGTFTEENLQFQRKILERSGLGEETYLPEAVLNVPPNPSMTEARKEAEIVMFGAIDELLAKTSVKPKDIGILVVNCSLFNPTPSLSAMVINHYKLRGNIQSYNLGGMGCSAGLLSIDLAKNLLQVHPNSYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNKRSDRRRSKYQLVHTVRTHKGADDKCFACVTQEEDSAGKIGVTLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSEWHMEPSRMTLFRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWKALRTVNPAKEKNPWMDEIQNFPVDVPKVSSI >KJB63705 pep chromosome:Graimondii2_0_v6:10:891995:894550:-1 gene:B456_010G011900 transcript:KJB63705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFYMSDLGQIRNIIPAESDSGFNLMVIDPPWENGSARQKSVYPTLPNRYFLSLPIKQLTHRGGALVALWVTNREKLRSFIEKELFPAWGVRYVSTIYWLKVKGDGSLISDLDLFHHRPYECLLLGYCQGKEMDSNCHSVFRSIKDEHIIISIPGGYSRKPPIGELLLDHVPGVKPARCIELFAREMLGGWVSWGNEPLHFQDSRYFETVNT >KJB63707 pep chromosome:Graimondii2_0_v6:10:890121:895489:-1 gene:B456_010G011900 transcript:KJB63707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIESKPSDELSRFLDSGIYELPNSNAVFIDPVRILNRSYTRFRVSPSSYYSRFFESKHSAQEPNLPSNSKKRKRKPKKQPHSLNEKEQAADKRHQEVRPLLLKAHESLLVSADFPAIMSKIRSDFCSSTELSGGDEEHSFVELGRVWQAPLYDITLDFKFSRLKSETDNGENGINERRVLPVFNNLVVNDTPEEVEAEFLNRRYVLPSMSCFYMSDLGQIRNIIPAESDSGFNLMVIDPPWENGSARQKSVYPTLPNRYFLSLPIKQLTHRGGALVALWVTNREKLRSFIEKELFPAWGVRYVSTIYWLKVKGDGSLISDLDLFHHRPYECLLLGYCQGKEMDSNCHSVFRSIKDEHIIISIPGGYSRKPPIGELLLDHVPGVKPARCIELFAREMLGGWVSWGNEPLHFQDSRYFETVNT >KJB63708 pep chromosome:Graimondii2_0_v6:10:890321:895338:-1 gene:B456_010G011900 transcript:KJB63708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIESKPSDELSRFLDSGIYELPNSNAVFIDPVRILNRSYTRFRVSPSSYYSRFFESKHSAQEPNLPSNSKKRKRKPKKQPHSLNEKEQAADKRHQEVRPLLLKAHESLLVSADFPAIMSKIRSDFCSSTELSGGDEEHSFVELGRVWQAPLYDITLDFKFSRLKSETDNGENGINERRVLPVFNNLVVNDTPEEVEAEFLNRRYVLPSMSCFYMSDLGQIRNIIPAESDSGFNLMVIDPPWENGSARQKSVYPTLPNRYFLSLPIKQLTHRGGALVALWVTNREKLRSFIEKELFPAWGVRYVSTIYWLKVKGDGSLISDLDLFHHRPYECLLLGYCQGKEMDSNCHSVFRSIKDEHIIISIPGGYSRKPPIGELLLDHVPGVKPARCIELFAREMLGGWVSWGNEPLHFQDSRYFETVNT >KJB63704 pep chromosome:Graimondii2_0_v6:10:890321:895338:-1 gene:B456_010G011900 transcript:KJB63704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIESKPSDELSRFLDSGIYELPNSNAVFIDPVRILNRSYTRFRVSPSSYYSRFFESKHSAQEPNLPSNSKKRKRKPKKQPHSLNEKEQAADKRHQEVRPLLLKAHESLLVSADFPAIMSKIRSDFCSSTELSGGDEEHSFVELGRVWQAPLYDITLDFKFSRLKSETDNGENGINERRVLPVFNNLVVNDTPEEVEAEFLNRRYVLPSMSCFYMSDLGQIRNIIPAESDSGFNLMVIDPPWENGSARQKSVYPTLPNRYFLSLPIKQLTHRGGALVALWVTNREKLRSFIEKELFPAWGVRYVSTIYWLKVKGDGSLISDLDLFHHRPYECLLLGYCQGKEMDSNCHSVFRSIKDEHIIISIPGGYSRKPPIGELLLDHVPGVKPARCIELFAREMLGGWVSWGNEPLHFQDSRYFETVNT >KJB63706 pep chromosome:Graimondii2_0_v6:10:890123:895448:-1 gene:B456_010G011900 transcript:KJB63706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIRSDFCSSTELSGGDEEHSFVELGRVWQAPLYDITLDFKFSRLKSETDNGENGINERRVLPVFNNLVVNDTPEEVEAEFLNRRYVLPSMSCFYMSDLGQIRNIIPAESDSGFNLMVIDPPWENGSARQKSVYPTLPNRYFLSLPIKQLTHRGGALVALWVTNREKLRSFIEKELFPAWGVRYVSTIYWLKVKGDGSLISDLDLFHHRPYECLLLGYCQGKEMDSNCHSVFRSIKDEHIIISIPGGYSRKPPIGELLLDHVPGVKPARCIELFAREMLGGWVSWGNEPLHFQDSRYFETVNT >KJB66208 pep chromosome:Graimondii2_0_v6:10:29377533:29378221:1 gene:B456_010G133100 transcript:KJB66208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFIQEEFHSKPSERLTRKLKERKKIEIKIIENVDDRLIAFSKRRTGIYKKISELSTLCGGEILFIILSPAGKPYSVGHPSVESVAKHFSNASQHLEETTDAPVGTYQIRHGSKDPIDQLNLKELYEQDERFTEFNNLISIMGNKKIAAISSMQAPMDEDVPFFLSP >KJB67588 pep chromosome:Graimondii2_0_v6:10:55989491:55991499:1 gene:B456_010G198600 transcript:KJB67588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTSAAATASHFFGTRIYNPNLISSGRIQARFGFSFGTKKAPPPPPPQPKKAAPKPPSDRLVWFPNASAPEWLDGTMIGDRGFDPFGFAKPAEYLQFDLDSLDQNLAKNEAGEIIGVITETAELKPTPFQPYTEVFGLQRFRECELIHGRWAMLGTLGAIAVEALTGVAWQDAGKVELVEGSSYLGQPLPFSLTTLIWIEVLVIGYIEFQRNSVLEPEKRLYPGGYFDPLGLASDPDKIDNLKLAEIKHSRLAMVAFLIFGLQAAITGKGPISFIASFSS >KJB67348 pep chromosome:Graimondii2_0_v6:10:53778269:53779856:-1 gene:B456_010G186900 transcript:KJB67348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSLVHETLVSYRVNRGQRKLQLLSLFLHSYQSDSLQLKPNKEMKANLSLDLIADILSRLPVKHLLCLRCVSKLWRSLIDDPDFIHLHLRHSLDSRTNHTLILKNTDLHTADLASLGPFAKLEHPLMSYNHGVEIQGSCNGLLCIRNIVEDMAIWNPSTRKYQVLPFLNSCKGYVCGFGHDPIADDYKVVKIIQLGGADGKPLESEVKVCSLKRNRWRKIQDIPCVSSFPVANGVFSSGALHWVLTQKLDLSEENTIVALDLATESFREVPQPEYERMINQLNVGVLGGCLCVVANHGDARVDLWVMKEYGVKESWTILFSLVSEDVIGSLRFLKPLAYSRCGNQVLLEHDKINLFWYDLEKKKADDVWVPGMPFSYETEVCLQSLVSLNVKRRQQNGEDNRDFKKMDDFLSEGFKLVL >KJB67351 pep chromosome:Graimondii2_0_v6:10:53777801:53779856:-1 gene:B456_010G186900 transcript:KJB67351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSLVHETLVSYRVNRGQRKLQLLSLFLHSYQSDSLQLKPNKEMKANLSLDLIADILSRLPVKHLLCLRCVSKLWRSLIDDPDFIHLHLRHSLDSRTNHTLILKNTDLHTADLASLGPFAKLEHPLMSYNHGVEIQGSCNGLLCIRNIVEDMAIWNPSTRKYQVLPFLNSCKGYVCGFGHDPIADDYKVVKIIQLGGADGKPLESEVKVCSLKRNRWRKIQDIPCVSSFPVANGVFSSGALHWVLTQKLDLSEENTIVALDLATESFREVPQPEYERMINQLNVGVLGGCLCVVANHGDARVDLWVMKEYGVKESWTILFSLVSEDVIGSLRFLKPLAYSRCGNQVLLEHDKINLFWYDLEKKKADDVWVPGMPFSYETEVCLQSLVSLNVKRRQQNGEDNRDFKKMDDFLSEGFKLVL >KJB67349 pep chromosome:Graimondii2_0_v6:10:53777196:53779856:-1 gene:B456_010G186900 transcript:KJB67349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSLVHETLVSYRVNRGQRKLQLLSLFLHSYQSDSLQLKPNKEMKANLSLDLIADILSRLPVKHLLCLRCVSKLWRSLIDDPDFIHLHLRHSLDSRTNHTLILKNTDLHTADLASLGPFAKLEHPLMSYNHGVEIQGSCNGLLCIRNIVEDMAIWNPSTRKYQVLPFLNSCKGYVCGFGHDPIADDYKVVKIIQLGGADGKPLESEVKVCSLKRNRWRKIQDIPCVSSFPVANGVFSSGALHWVLTQKLDLSEENTIVALDLATESFREVPQPEYERMINQLNVGVLGGCLCVVANHGDARVDLWVMKEYGVKESWTILFSLVSEDVIGSLRFLKPLAYSRCGNQVLLEHDKINLFWYDLEKKKADDVWVPGMPFSYETEVCLQSLVSLNVKRRQQNGEDNRDFKKMDDFLSEGFKLVL >KJB67350 pep chromosome:Graimondii2_0_v6:10:53778636:53779856:-1 gene:B456_010G186900 transcript:KJB67350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSLVHETLVSYRVNRGQRKLQLLSLFLHSYQSDSLQLKPNKEMKANLSLDLIADILSRLPVKHLLCLRCVSKLWRSLIDDPDFIHLHLRHSLDSRTNHTLILKNTDLHTADLASLGPFAKLEHPLMSYNHGVEIQGSCNGLLCIRNIVEDMAIWNPSTRKYQVLPFLNSCKGYVCGFGHDPIADDYKVVKIIQLGGADGKPLESEVKVCSLKRNRWRKIQDIPCVSSFPVANGVFSSGALHWVLTQKLDLSEENTIVALDLATESFREVPQPEYERMINQLNVGVLGGCLCVVANHGDARVDLWVMKEYGVKESWTILFSLVSEDVIGSLRFLKPLAYSRCGNQVLLEHDKINLFWYDLEKKKADDVWVPGMPFSYETEVCLQSLVSLNVKRRQQNGEDNRDFKKM >KJB67347 pep chromosome:Graimondii2_0_v6:10:53777131:53779856:-1 gene:B456_010G186900 transcript:KJB67347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSLVHETLVSYRVNRGQRKLQLLSLFLHSYQSDSLQLKPNKEMKANLSLDLIADILSRLPVKHLLCLRCVSKLWRSLIDDPDFIHLHLRHSLDSRTNHTLILKNTDLHTADLASLGPFAKLEHPLMSYNHGVEIQGSCNGLLCIRNIVEDMAIWNPSTRKYQVLPFLNSCKGYVCGFGHDPIADDYKVVKIIQLGGADGKPLESEVKVCSLKRNRWRKIQDIPCVSSFPVANGVFSSGALHWVLTQKLDLSEENTIVALDLATESFREVPQPEYERMINQLNVGVLGGCLCVVANHGDARVDLWVMKEYGVKESWTILFSLVSEDVIGSLRFLKPLAYSRCGNQVLLEHDKINLFWYDLEKKKADDVWVPGMPFSYETEVCLQSLVSLNVKRRQQNGEDNRDFKKMDDFLSEGFKLVL >KJB64799 pep chromosome:Graimondii2_0_v6:10:8394808:8396935:-1 gene:B456_010G065500 transcript:KJB64799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGPSIGSGGRREAHEFGRTHVVRPKGRHQATVVWLHDLGDNGSSWSQLLETLPLPNIKWICPTAPTRPITLFGGFPATAWFDVGELSEDAPDDVEGLEAAAAHVANLLSIEPADSMFPHNFFYNVFIMLFFPFSFTLGLRFFF >KJB64798 pep chromosome:Graimondii2_0_v6:10:8394781:8396933:-1 gene:B456_010G065500 transcript:KJB64798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGPSIGSGGRREAHEFGRTHVVRPKGRHQATVVWLHDLGDNGSSWSQLLETLPLPNIKWICPTAPTRPITLFGGFPATAWFDVGELSEDAPDDVEGLEAAAAHVANLLSIEPADSTIAL >KJB68026 pep chromosome:Graimondii2_0_v6:10:59347357:59349628:1 gene:B456_010G222500 transcript:KJB68026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPKSDSIHIREVWDDNVEEEFALIREIVDDYPYVAMDTEFPGIVLRPVGYFKSSYDYHYQTLKDNVDMLKLVQLGLTFSDEKGNLPTCGTDKYCIWQFNFREFNVNEDVFANDSIELLRQSGIDFKKNNEKGIDAMRFGELLMSSGIVLNDNVHWVTFHSGYDFGYLLKLLTCQNLPDTQVGFFSLINIYFPTLYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTACTFRKLKENFFSGSLEKYSGVLYGLGVENGQSTY >KJB67529 pep chromosome:Graimondii2_0_v6:10:55568689:55569839:1 gene:B456_010G195600 transcript:KJB67529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPHWLLRSPPNTATSTPKSPSHFSSSSLKDINAILQEDQPFQSYSKSPQKPSIFHRVTLSTSVLRAWAAAAASAAQTHYHAPLSPQPSVTLPYADHRVVLYFTSLRVVRRTFEDCKAVRSILRGFGVPIDERDLSMDSDFIGELQGISGQNEIKSFTLPLVFIGGKYVGGAEEIKRLHECGELKNLIGGSPVAVGSSVCDLCQGMRFVVCRQCNGSHKIYFEKSGFRSCNDCNANGLVRCPSCSPGHRRVSYSFS >KJB66047 pep chromosome:Graimondii2_0_v6:10:26110760:26122162:1 gene:B456_010G1255001 transcript:KJB66047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISDEAVKDIRSLVMERYGSRFTPENACKYYKKVKNAQEAHEAIRPTNIRRLPSMLVGALDEDSLKLYTLIWSRTMACQMEPSILEQIQLETGNCDESIIFRSSCSRVVFLGYQSAYQDVESETIRFKDNEASHNAVAFGILSSLKKGDQLSIGEVEIKQHHTQAPPRYSEGSLVKKLEELGIGRPSTYASTLKVLQDRSYVTVKSRVLYPEFRGRMVSAFLSHHFSEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSSYCSRAENVHIHQVEKMLEKTFGDFLFASLPNKSRTCPSCMGGTLIFKVSRFGAGYFIGCNQHPKCKYIAKTLYGDEEEEESPQKSNTVEEPKLLGLNPGSNEKVLLKNGPYGYYVQLGEDRTGYLPKRSSVSHIKNVDTITLEDAVELLRYPVTLGEHPKDGHPVILKLAKVGFSVRHRRTTASVPKSMKPKDVTLEKALDLLSSKDVRRSGRPRNKPKVEEAIESSNAVN >KJB66046 pep chromosome:Graimondii2_0_v6:10:26110760:26121357:1 gene:B456_010G1255001 transcript:KJB66046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISDEAVKDIRSLVMERYGSRFTPENACKYYKKVKNAQEAHEAIRPTNIRRLPSMLVGALDEDSLKLYTLIWSRTMACQMEPSILEQIQLETGNCDESIIFRSSCSRVVFLGYQSAYQDVESETIRFKDNEASHNAVAFGILSSLKKGDQLSIGEVEIKQHHTQAPPRYSEGSLVKKLEELGIGRPSTYASTLKVLQDRSYVTVKSRVLYPEFRGRMVSAFLSHHFSEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSSYCSRAENVHIHQVEKMLEKTFGDFLFASLPNKSRTCPSCMGGTLIFKVSRFGAGYFIGCNQHPKCKYIAKTLYGDEEEEESPQKSNTVEEPKLLGLNPGSNEKVLLKNGPYGYYVQLGEDRTGYLPKRSSVSHIKNVDTITLEDAVELLRYPVTLGEHPKDGHPVILKLAKVGFSVRHRRTTASVPKVNGDGKLFLSS >KJB66048 pep chromosome:Graimondii2_0_v6:10:26110760:26121951:1 gene:B456_010G1255001 transcript:KJB66048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISDEAVKDIRSLVMERYGSRFTPENACKYYKKVKNAQEAHEAIRPTNIRRLPSMLVGALDEDSLKLYTLIWSRTMACQMEPSILEQIQLETGNCDESIIFRSSCSRVVFLGYQSAYQDVESETIRFKDNEASHNAVAFGILSSLKKGDQLSIGEVEIKQHHTQAPPRYSEGSLVKKLEELGIGRPSTYASTLKVLQDRSYVTVKSRVLYPEFRGRMVSAFLSHHFSEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSSYCSRAENVHIHQVEKMLEKTFGDFLFASLPNKSRTCPSCMGGTLIFKVSRFGAGYFIGCNQHPKCKYIAKTLYGDEEEEESPQKSNTVEEPKLLGLNPGSNEKVLLKNGPYGYYVQLGEDRTGYLPKRSSVSHIKNVDTITLEDAVELLRYPVTLGEHPKDGHPVILKLAKVGFSVRHRRTTASVPKSMKPKDVTLEKALDLLSSKDVRRSGRPRNKPKVEEAIESSNAVN >KJB63523 pep chromosome:Graimondii2_0_v6:10:184488:187457:1 gene:B456_010G004300 transcript:KJB63523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKATKNPIFAFTTWIRRQPPKMKAFLVTISGMAALLFLRMVVEDHNNLFVAAETVHALGTFVLIYKLTKEKACAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTLLDTATLGTTLWIIYMIRFKLRSTYTHDKDNLGIQYVVIPCAVLSLFIHPTTSHLLFNRICWAFCVYLEAISVLPQLRLMQNIQVRQQAISARRSQNRHLFEHNHLSFLCLRFRSSNRLPHITCLRWVLRGSLVVHIGLSRYSTLEGAY >KJB63522 pep chromosome:Graimondii2_0_v6:10:184362:187457:1 gene:B456_010G004300 transcript:KJB63522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKATKNPIFAFTTWIRRQPPKMKAFLVTISGMAALLFLRMVVEDHNNLFVAAETVHALGTFVLIYKLTKEKACAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTLLDTATLGTTLWIIYMIRFKLRSTYTHDKDNLGIQYVVIPCAVLSLFIHPTTSHLLFNRICWAFCVYLEAISVLPQLRLMQNIQIIEPFTAHYVFALGVARFFSCAHWIIQVFDTRGRLLTALGYGLWPCFVLLSEIVQTSILADFCYYYVKNILGGQLVVRLPSGVV >KJB63524 pep chromosome:Graimondii2_0_v6:10:184488:187457:1 gene:B456_010G004300 transcript:KJB63524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKATKNPIFAFTTWIRRQPPKMKAFLVTISGMAALLFLRMVVEDHNNLFVAAETVHALGTFVLIYKLTKEKACAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTLLDTATLGTTLWIIYMIRFKLRSTYTHDKDNLGIQYVVIPCAVLSLFIHPTTSHLLFNRICWAFCVYLEAISVLPQLRLMQNIQIIEPFTAHYVFALGVARFFSCAHWIIQVFDTRGRLLTALGYGLWPCFVLLSEIVQTSILADFCYYYVKK >KJB66288 pep chromosome:Graimondii2_0_v6:10:30554134:30557144:1 gene:B456_010G135200 transcript:KJB66288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGAPTAEAKDAKTSSDVSPPAMATEESIAEFLNQVSSLVKLVDSRDIVELQLKQLDCELVIRKKEALPQPPSAAPVVMMQSPSQPPVMPPVPSVPALPPGQASAAPTPAPSLAASKSAKSSLPPFKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVLCIIEAMKLMNEIEADQSGTIVEILAEDGKAVSVDMPLFVIEP >KJB66285 pep chromosome:Graimondii2_0_v6:10:30546370:30554705:1 gene:B456_010G135200 transcript:KJB66285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSTTPSAAFASVAKTTTALPNSTNLQLSTVSFRFSSRLNLRFFSKSLQHGQNSKTVVKAQLNEVAIDGSSNASAAPTMKSGAPTAEAKDAKTSSDVSPPAMATEESIAEFLNQVSSLVKLVDSRDIVELQLKQLDCELVIRKKEALPQPPSAAPVVMMQSPSQPPVMPPVPSVPALPPGQASAAPTPAPSLAASKSAKSSLPPFKCPMAGTFYRSPAPGEPPFVKVCIPC >KJB66286 pep chromosome:Graimondii2_0_v6:10:30546370:30557469:1 gene:B456_010G135200 transcript:KJB66286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSTTPSAAFASVAKTTTALPNSTNLQLSTVSFRFSSRLNLRFFSKSLQHGQNSKTVVKAQLNEVAIDGSSNASAAPTMKSGAPTAEAKDAKTSSDVSPPAMATEESIAEFLNQVSSLVKLVDSRDIVELQLKQLDCELVIRKKEALPQPPSAAPVVMMQSPSQPPVMPPVPSVPALPPGQASAAPTPAPSLAASKSAKSSLPPFKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVLCIIEAMKLMNEIEADQSGTIVEILAEDGKAVSVDMVSILDFLDSK >KJB66289 pep chromosome:Graimondii2_0_v6:10:30546370:30557475:1 gene:B456_010G135200 transcript:KJB66289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSTTPSAAFASVAKTTTALPNSTNLQLSTVSFRFSSRLNLRFFSKSLQHGQNSKTVVKAQLNELKQLDCELVIRKKEALPQPPSAAPVVMMQSPSQPPVMPPVPSVPALPPGQASAAPTPAPSLAASKSAKSSLPPFKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVLCIIEAMKLMNEIEADQSGTIVEILAEDGKAVSVDMPLFVIEP >KJB66284 pep chromosome:Graimondii2_0_v6:10:30546338:30557556:1 gene:B456_010G135200 transcript:KJB66284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSTTPSAAFASVAKTTTALPNSTNLQLSTVSFRFSSRLNLRFFSKSLQHGQNSKTVVKAQLNEVAIDGSSNASAAPTMKSGAPTAEAKDAKTSSDVSPPAMATEESIAEFLNQVSSLVKLVDSRDIVELQLKQLDCELVIRKKEALPQPPSAAPVVMMQSPSQPPVMPPVPSVPALPPGQASAAPTPAPSLAASKSAKSSLPPFKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVLCIIEAMKLMNEIEADQSGTIVEILAEDGKAVSVDMPLFVIEP >KJB66287 pep chromosome:Graimondii2_0_v6:10:30546370:30557475:1 gene:B456_010G135200 transcript:KJB66287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVDSRDIVELQLKQLDCELVIRKKEALPQPPSAAPVVMMQSPSQPPVMPPVPSVPALPPGQASAAPTPAPSLAASKSAKSSLPPFKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVLCIIEAMKLMNEIEADQSGTIVEILAEDGKAVSVDMPLFVIEP >KJB67913 pep chromosome:Graimondii2_0_v6:10:58629295:58630232:1 gene:B456_010G217800 transcript:KJB67913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMQSTWKAWLQLGKTRAFSSFSNSDKQTAQVSGYVRVNKGGDCFIDRKVQTFGSSSSGGWRGNGGGNGEQVLSTTPAEVAATAVPEEERSVVMEAEEDEQD >KJB68120 pep chromosome:Graimondii2_0_v6:10:60485140:60486066:-1 gene:B456_010G234200 transcript:KJB68120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCYMTRFRAVHHKAMVPWSMDRWRKGCQRTLSTSSTHFSRNTSLTVRAPTSIVLAVNLTPFDAPQRSDEWFALRRNKLTTSTFTTALGFWKGKRRSKLWHEKVFAAETQVLKSSIRCAMEWGVLNEVTAIERYKRITGREVSSLGFAIHSKEKFDWLGASPDGLGCFPGGGILEVKCPYNKGKPQTALPWSTMPFYYMPQVQGQMEIMDREWVDLYCWTPNRSTIFRVCRERSYWDLMHGILQEFWWGNVMPAKEALSLGKEEDAKRYEPSSRHKQTGLVISKSIKLASKAKMICREIAGRIEFYR >KJB68117 pep chromosome:Graimondii2_0_v6:10:60484767:60487937:-1 gene:B456_010G234200 transcript:KJB68117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCYMTRFRAVHHKAMVPWSMDRWRKGCQRTLSTSSTHFSRNTSLTVRAPTSIVLAVNLTPFDAPQRSDEWFALRRNKLTTSTFTTALGFWKGKRRSKLWHEKVFAAETQVLKSSIRCAMEWGVLNEVTAIERYKRITGREVSSLGFAIHSKEKFDWLGASPDGLGCFPGGGILEVKCPYNKGKPQTALPWSTMPFYYMPQVQGQMEIMDREWVDLYCWTPNRSTIFRVCRERSYWDLMHGILQEFWWGNVMPAKEALSLGKEEDAKRYEPSSRHKQTGLVISKSIKLASKAKMICREIAGRIEFYR >KJB68118 pep chromosome:Graimondii2_0_v6:10:60485140:60486066:-1 gene:B456_010G234200 transcript:KJB68118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCYMTRFRAVHHKAMVPWSMDRWRKGCQRTLSTSSTHFSRNTSLTVRAPTSIVLAVNLTPFDAPQRSDEWFALRRNKLTTSTFTTALGFWKGKRRSKLWHEKVFAAETQVLKSSIRCAMEWGVLNEVTAIERYKRITGREVSSLGFAIHSKEKFDWLGASPDGLGCFPGGGILEVKCPYNKGKPQTALPWSTMPFYYMPQVQGQMEIMDREWVDLYCWTPNRSTIFRVCRERSYWDLMHGILQEFWWGNVMPAKEALSLGKEEDAKRYEPSSRHKQTGLVISKSIKLASKAKMICREIAGRIEFYR >KJB68119 pep chromosome:Graimondii2_0_v6:10:60484767:60487937:-1 gene:B456_010G234200 transcript:KJB68119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCYMTRFRAVHHKAMVPWSMDRWRKGCQRTLSTSSTHFSRNTSLTVRAPTSIVLAVNLTPFDAPQRSDEWFALRRNKLTTSTFTTALGFWKGKRRSKLWHEKVFAAETQVLKSSIRCAMEWGVLNEVTAIERYKRITGREVSSLGFAIHSKEKFDWLGASPDGLGCFPGGGILEVKCPYNKGKPQTALPWSTMPFYYMPQVQGQMEIMDREWVDLYCWTPNRSTIFRVCRERSYWDLMHGILQEFWWGNVMPAKEALSLGKEEDAKRYEPSSRHKQTGLVISKSIKLASKAKMICREIAGRIEFYR >KJB68625 pep chromosome:Graimondii2_0_v6:10:62034899:62042571:-1 gene:B456_010G255400 transcript:KJB68625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDSEYDPQLMEDEDDEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETIYKISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVTKRVIKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAESQNRPPSTMFVRMLSRTSAKGGSSGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGCWVGVHRNPDMLVTTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVEKQRLLIKKKDIHALQQRETPEDGGWHDLVAKGFIEYIDTEEEETTMISMTINDLVQARINPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRATTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTTPISQEEAQGQASRYSKRDHSISLRHSETGIVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVSCNLLILGC >KJB68624 pep chromosome:Graimondii2_0_v6:10:62034815:62042572:-1 gene:B456_010G255400 transcript:KJB68624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDSEYDPQLMEDEDDEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETIYKISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVTKRVIKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAESQNRPPSTMFVRMLSRTSAKGGSSGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGCWVGVHRNPDMLVTTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVEKQRLLIKKKDIHALQQRETPEDGGWHDLVAKGFIEYIDTEEEETTMISMTINDLVQARINPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRATTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTTPISQEEAQGQASRYSKRDHSISLRHSETGIVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISRALHKCGYQMRGFETMYNGHTGRRLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCERCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTKEPPKDQKKKGA >KJB68623 pep chromosome:Graimondii2_0_v6:10:62034290:62042583:-1 gene:B456_010G255400 transcript:KJB68623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDSEYDPQLMEDEDDEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETIYKISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVTKRVIKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAESQNRPPSTMFVRMLSRTSAKGGSSGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGCWVGVHRNPDMLVTTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVEKQRLLIKKKDIHALQQRETPEDGGWHDLVAKGFIEYIDTEEEETTMISMTINDLVQARINPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRATTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTTPISQEEAQGQASRYSKRDHSISLRHSETGIVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISRALHKCGYQMRGFETMYNGHTGRRLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCERCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTKEPPKDQKKKGA >KJB66006 pep chromosome:Graimondii2_0_v6:10:25388921:25391781:1 gene:B456_010G123900 transcript:KJB66006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTMATAMGISACGVSLQWTNPNSYSSGKGCKYAGQSVRALPIRTLTVGKKRLPGVQLLVDEYIAKLKSYCHVDDVQIRSNPKNARNVMAQVHDEDIAVINLITSNDWVVMLDERGLDLSSEQLAELLGDAGNTAASRLSFCIGGPYGHGQRVRKRANVSIKLSSMVLNHQIALVVLMEQIYRSWTILKGQKYHH >KJB63785 pep chromosome:Graimondii2_0_v6:10:1223385:1224656:1 gene:B456_010G015900 transcript:KJB63785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIENISSKLHDTLKKDPIRPINFREVFESELFGLAMKQALGKDVESIYVEEIGTLSRKEMHKVLVMDMMEGAIDVDWRDFFPYLRWIPNKSFELNIQRKHLRRMALMNALIKDQMKRIDAGEEVNCYLDYLLSEAKTLTMDQLAMLLWETIIETADTTLVTTEWAMYQLAKDTIRQDRLYHELQKVCGSNKVKEENFSQIRYLDAVFHETLRKHSPAPLVPLRYVHEDTQIGGHHIPAGSEIAVNIYGCNMDKNYWENPEEWNPERFLDEKYDPLDLQKTMAFGAGKRACAGSLQAMLLACGAIGRLVQEFEWQLKDGEEEKVDTVGLTSQKLHPLLAVLKPRN >KJB63786 pep chromosome:Graimondii2_0_v6:10:1221801:1224847:1 gene:B456_010G015900 transcript:KJB63786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSLNSVAVAAVPFFIFFYFLKRFLPFGRKMGSNTLPTVPEVPGLPIIGNLLQLKEKKPHKTFTKWAETHGPVYSIRTGASTVIVINSPETAKEAMVTRFSSISTRKLSNALKILTFDKCMVATSDYDEFHKLAKRCLLTNTLGSNAQRRHRHHRETMIENISSKLHDTLKKDPIRPINFREVFESELFGLAMKQALGKDVESIYVEEIGTLSRKEMHKVLVMDMMEGAIDVDWRDFFPYLRWIPNKSFELNIQRKHLRRMALMNALIKDQMKRIDAGETLTMDQLAMLLWETIIETADTTLVTTEWAMYQLAKDTIRQDRLYHELQKVCGSNKVKEENFSQIRYLDAVFHETLRKHSPAPLVPLRYVHEDTQIGGHHIPAGSEIAVNIYGCNMDKNYWENPEEWNPERFLDEKYDPLDLQKTMAFGAGKRACAGSLQAMLLACGAIGRLVQEFEWQLKDGEEEKVDTVGLTSQKLHPLLAVLKPRN >KJB63784 pep chromosome:Graimondii2_0_v6:10:1221707:1224905:1 gene:B456_010G015900 transcript:KJB63784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSLNSVAVAAVPFFIFFYFLKRFLPFGRKMGSNTLPTVPEVPGLPIIGNLLQLKEKKPHKTFTKWAETHGPVYSIRTGASTVIVINSPETAKEAMVTRFSSISTRKLSNALKILTFDKCMVATSDYDEFHKLAKRCLLTNTLGSNAQRRHRHHRETMIENISSKLHDTLKKDPIRPINFREVFESELFGLAMKQALGKDVESIYVEEIGTLSRKEMHKVLVMDMMEGAIDVDWRDFFPYLRWIPNKSFELNIQRKHLRRMALMNALIKDQMKRIDAGEEVNCYLDYLLSEAKTLTMDQLAMLLWETIIETADTTLVTTEWAMYQLAKDTIRQDRLYHELQKVCGSNKVKEENFSQIRYLDAVFHETLRKHSPAPLVPLRYVHEDTQIGGHHIPAGSEIAVNIYGCNMDKNYWENPEEWNPERFLDEKYDPLDLQKTMAFGAGKRACAGSLQAMLLACGAIGRLVQEFEWQLKDGEEEKVDTVGLTSQKLHPLLAVLKPRN >KJB66916 pep chromosome:Graimondii2_0_v6:10:47754494:47755593:1 gene:B456_010G165100 transcript:KJB66916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATMALSSPSFAGKAVKFSPSTPKIQGNGRVSMRKTATKPAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEVTDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >KJB66416 pep chromosome:Graimondii2_0_v6:10:33409368:33410197:-1 gene:B456_010G139500 transcript:KJB66416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYYECEAWQMEGEDGEVVMQVEEDSSLCPVFSIEIFANIVSDHETIIQQLLLPQDQLTQASSTSWSAISSKLSQMEIPFSLHARVMLKIIECARSAMKETQNKKRKNIPIIVTLGPAAATPSKDEAVDKRKGSLKKVKVEEGKFTVVQCVICLEEILDGFKVIQMPCSHIYHLHCILSWLEKSNLCPLCRFQMPT >KJB67123 pep chromosome:Graimondii2_0_v6:10:51517640:51529163:-1 gene:B456_010G175700 transcript:KJB67123 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH9 [Source:Projected from Arabidopsis thaliana (AT1G59760) UniProtKB/Swiss-Prot;Acc:Q9XIF2] MESLKRKSGEAISSESPPPPQKKKHHGEDVSPLAEEAFGCVHDVSYPEGYVPSASSLQFLADSKPAKEFPFTLDPFQSEAINCLNRGESVLVSAHTSAGKTVVALYAIAMSLRNNQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYKGSEIIREVAWVVFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYIFPAGGDGLFLVVDEKGKFREDSFQKALNALVPTSESDKKRNNGKSQNGLVMGKVSEQSDIFKLVKMIIQRQYDPVIIFSFSKRECEFLAMQMAKMDLNDDDEKGNIETVFWSAMDMLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWISSGEYIQMSGRAGRRGIDARGICILMVDERMEPSTAKMMLKGNADSLNSAFHLSYNMLLNQLRCEEADPESMLRNSFYQFQADRSIPDLEKQVKALEEERDSMIIEEEDSLRNYYSLTQQYKSLKNDIRDIVFSPKYCLPYMKSGRPICIQCIDDETSPSFSVEDHVTWGVLMDFHRVKTVTEDDACKRPEDASYALDILTRCNVSKEGVGKKKIKIVPLKEPGEPLVVSIPLSQVTSLSSARLNIPKDLLPLEARENALKKLSEFISRYATGMPLDPEEMDIQSNSYKKAVRRLEALENLFEKHEIAKSPLIEQKLKLLNRKEELTARIRSIKKTMRSSTALAFKDELKARKRVLRRLGYITSDDVVELKGKVACEISSADELTLTELMFSGVLKDVKAEEMVSLLSCFVWREKLQDAAKPRDELEAL >KJB67122 pep chromosome:Graimondii2_0_v6:10:51517626:51529268:-1 gene:B456_010G175700 transcript:KJB67122 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH9 [Source:Projected from Arabidopsis thaliana (AT1G59760) UniProtKB/Swiss-Prot;Acc:Q9XIF2] MESLKRKSGEAISSESPPPPQKKKHHGEDVSPLAEEAFGCVHDVSYPEGYVPSASSLQFLADSKPAKEFPFTLDPFQSEAINCLNRGESVLVSAHTSAGKTVVALYAIAMSLRNNQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYKGSEIIREVAWVVFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYIFPAGGDGLFLVVDEKGKFREDSFQKALNALVPTSESDKKRNNGKSQNGLVMGKVSEQSDIFKLVKMIIQRQYDPVIIFSFSKRECEFLAMQMAKMDLNDDDEKGNIETVFWSAMDMLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWISSGEYIQMSGRAGRRGIDARGICILMVDERMEPSTAKMMLKGNADSLNSAFHLSYNMLLNQLRCEEADPESMLRNSFYQFQADRSIPDLEKQVKALEEERDSMIIEEEDSLRNYYSLTQQYKSLKNDIRDIVFSPKYCLPYMKSGRPICIQCIDDETSPSFSVEDHVTWGVLMDFHRVKTVTEDDACKRPEDASYALDILTRCNVSKEGVGKKKIKIVPLKEPGEPLVVSIPLSQVTSLSSARLNIPKDLLPLEARENALKKLSEFISRYATGMPLDPEEMDIQSNSYKKAVRRLEALENLFEKHEIAKSPLIEQKLKLLNRKEELTARIRSIKKTMRSSTALAFKDELKARKRVLRRLGYITSDDVVELKGKVACEISSADELTLTELMFSGVLKDVKAEEMVSLLSCFVWREKLQDAAKPRDELELLFTQLQDTARRIAKVQLECKVQIDVESFVSSFRPDIMEAVYAWAKGSKFYEIMEITQVFEGSLIRAIRRLEEVLQQLILAARSIGETALETKFEEAVSKIKRDIVFAASLYL >KJB68142 pep chromosome:Graimondii2_0_v6:10:59992161:59994206:-1 gene:B456_010G228100 transcript:KJB68142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIMLPAFALRRCISTSACLCQRIKQTDNEIVQMFRLPVPMRDWAFNRNFPKKNAYARTLDKRFIRILKIFKWGPDAEKALEVLKLKVDHQLVREVLMIDVEINVKIQFFKWAGKRRNFEHDSTTYLALIHCLDEAGLVGEMWKTIQDMVRSTCVVGPAELSEIVRILGKAKMVNKALSIFYQIKSRKCKPTASTYNAIILMLMQEGHHEKVHELYNEMCNEGNCLPDTITYTALMSTFSKLGRHDSAIRLFEEMKENGLQPTPKIYTTLIEIYFKLGRTESALGLVQEMTGNGCSPTVFTYTELIKGLGKAGRVEDAYGIFMNMLKEGGKPDVVLINNMINILGKVGRLEDALKLFNEMKSWQCAPNVVTYNTIIKALFDNKAPISETSSWFEKMKADGVVPSSFTYSILIDGFCKANRVEKALLFLEEMDEKGFPPCPAAYCSLINSLGKAKRYEAASELFQELKENCGHSSARVYAVMIKLFGKCGHLSKAVDLFNEMKKLGCNPDVYTYNALMSGMIRAGMIDEAHSLLRTMEENGCTPDLNSHNIILNGLARTGGPKRAIEMLTKMKNLKIKPDAVSYNTVLGCLSRAGMFEEAAKLMKEMKASGFEYDLITYSSILEAVGKADEDNNLTAF >KJB68143 pep chromosome:Graimondii2_0_v6:10:59990469:59994685:-1 gene:B456_010G228100 transcript:KJB68143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIMLPAFALRRCISTSACLCQRIKQTDNEIVQMFRLPVPMRDWAFNRNFPKKNAYARTLDKRFIRILKIFKWGPDAEKALEVLKLKVDHQLVREVLMIDVEINVKIQFFKWAGKRRNFEHDSTTYLALIHCLDEAGLVGEMWKTIQDMVRSTCVVGPAELSEIVRILGKAKMVNKALSIFYQIKSRKCKPTASTYNAIILMLMQEGHHEKVHELYNEMCNEGNCLPDTITYTALMSTFSKLGRHDSAIRLFEEMKENGLQPTPKIYTTLIEIYFKLGRTESALGLVQEMTGNGCSPTVFTYTELIKGLGKAGRVEDAYGIFMNMLKEGGKPDVVLINNMINILGKVGRLEDALKLFNEMKSWQCAPNVVTYNTIIKALFDNKAPISETSSWFEKMKADGVVPSSFTYSILIDGFCKANRVEKALLFLEEMDEKGFPPCPAAYCSLINSLGKAKRYEAASELFQELKENCGHSSARVYAVMIKLFGKCGHLSKAVDLFNEMKKLGCNPDVYTYNALMSGMIRAGMIDEAHSLLRTMEENGCTPDLNSHNIILNGLARTGGPKRAIEMLTKMKNLKIKPDAVSYNTVLGCLSRAGMFEEAAKLMKEMKASGFEYDLITYSSILEAVGKADEDNNLTAF >KJB65801 pep chromosome:Graimondii2_0_v6:10:21742460:21742848:-1 gene:B456_010G1135002 transcript:KJB65801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPKPGKVTATLKPKGGVAKEGNCFHCGVTGHWKRNCPIYLEEVKKAKISGTSASGTAKE >KJB67709 pep chromosome:Graimondii2_0_v6:10:57122229:57126119:-1 gene:B456_010G205300 transcript:KJB67709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHILNLIEVDSANSSIKVSITESTMMQLLHKSMDKAYRKVKSKTGVIERLNEISKFYQLAVIQLEGCLKFVQQERGNYDLETSQELLLDDLTEIKDRLQGRLKEVESAISDKDKELSERLGSELKLKKALERSEKKRDSLYADLEEHRKNEGIDELFLGSQASIEAGDREGEFCELKHSVDQQVWNIQQQLEPNYQIQDEERNQGIDNKKIEQMGSDIGILKGTLDLAFCKMQNAIFLSELGPIEHQWMWNIERSISAVVIKDLLKGFRENFEDEVKKQELQASLGLRKHLSEVIQEINVLIHELQFVSNPDEAQVKKPKEILKGRRFSEGQDLGKLGIIKQHGEEDSGNDHGSYVVKMIKNHESIIRGKSEELNLLTRELLGEKSSPPTWKEKDSVNPKQRIQELMVRLESLINSSPEIDDVFYDNKYDCELQKPLKTRPFMDDRTNIKKCGAHSMEEIWEQVNKSSVPETRNEAPWSEIKLVKQEMEDSNLQTRLMEDIQLTLFKSLVDEFHVEMLNHHMHCLIKEGMYENFIEEMKIEWNKEIESVKYDTLFPKATSPESHQANSGLDHSEGTVPLLYSENMNLEELIHPDHFFRELWHDIYTFLLREIHGEWNENLETFESVSCLSEEICLLVFGEIFRDIINTSSSSLIKLREIKANDNFFETVAMSIKDNVLKVFLADIIKELHMKIYAFSFESFIREDVFQLVIVEAVKQGCIVKETEDRTNRDQNPNNSISTNNLRHTLDKLVKFFEDEESVILTACSETKQQIIRLQHVISRFNLDQHEHCPGFLTYDGNSTNSADIELEKALLQLDYGKASLNELGSQLGITINNLDPIVTTRNCRSPSIDEYLETSFQDIAQMLKSFEFESCMELGRIEEMEGEVNLVAELIASLIQKESLYRKAFIRRCENLQMAEAEVDLLGDQVEQLTELLKKIYAKLHQHSPVLHHYFEVSEMLKLIEREIGGR >KJB64652 pep chromosome:Graimondii2_0_v6:10:7124633:7128641:-1 gene:B456_010G059700 transcript:KJB64652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITHPCLHPSLSKPSLSFLSSSSPPFLQHLSFLPTSPSVTKPVFVHSVKPLHVKCSSTNPTSQSHPDHAPPISTLKIAIIGFGNYGQFLAKTLVSQGHIVLAHSRSDYSHVAKKLGVSFFLNPHDLCEQHPEVILLCTSIISTEQVLKTLPLQRLKRSTLFVDVLSVKEFARNLLLDALPLDFDIICSHPMFGPQSAKQSWKDLFFVYEKVRIGNESSRVQRCEQFLEIFQSEGCKMVEMSCQEHDKLAAGSQFMTHTVGRVLDMLGLESTPINTKGYETLLDLVENTCRDSFDLYYGLFLYNKSALEMVERLDLAFDALRNELFGRLHHVVRKQFFENGEKVKSVHDSSYQNGAASAFSSNALRSQDVTIPYEFKGKILESVDDSSKLKVAIVGFGNFGQFLAKTLARQGHSVLAYSRTDYRDAAQKLGVSFFSDANDLCEEHPEVILLCTSILSTEKVLKSLPFQRLKRSTLFVDVLSVKEFPRNLFLQHLPPEFDILCTHPMFGPESGKNGWNELPFVFDKVRIGNDERRVARCNSFLDIFAREGCRMVEMTCAEHDWHAAGSQFITHTMGRVLEKLQLESTPINTKGYETLLNLVENTAGDSFDLYYGLFMYNVNAMEQLERMDFAFESLKKQLFGRLHGVLRKELFGDSEKLEVLQEKSVRKNGAALSSSPESVKIS >KJB66917 pep chromosome:Graimondii2_0_v6:10:47755728:47756511:1 gene:B456_010G165200 transcript:KJB66917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPSLHCNFFSSLKQVEKRLKLEEDQPDSGQPHVPTQSLSTPFYLHLTHPSNTNITNSEPPQAFMSSSPQSVSTNETQPQINPPHSPTTSKDTDDIEYLMQLLGLSDNLGETQKREKHKTVVGGGNSCGCECGFYEKIVGVKGPKCDKEVERLEGWIRYFSRNGSEPLRLAFLLMAKAAFESADDSGFQTLEFPSIIDEFLKIDPPKD >KJB67831 pep chromosome:Graimondii2_0_v6:10:57978097:57979168:-1 gene:B456_010G213700 transcript:KJB67831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNAKAGDVLFFYFSGHGTRIPIFQPGQPFKQDEAIVACDLNLVTDVDFSRLVNRLPEGASFTILSDSCHSGGLIEKEKEQFGVEHMTTPVNPNMPKPSKVKVKSLPFDIIHGAIDTAAGLLHDAVNIGQKIFGIFGKDVRLKFHPHYVDGLMVLDPLKEDDGILLRGCEAYETSYDYLGMRWLTYSWFLIFTTSNQN >KJB64951 pep chromosome:Graimondii2_0_v6:10:10370579:10377317:-1 gene:B456_010G073200 transcript:KJB64951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGEGGEFPPKKVQSDTAGLPAKKLARQLDFTAGFGGGSSGGVVLPEHPQSTQEMAVASSSAVAAAVTQQQQQQQIKPQMVATSPVAAAQPPPLTTASSRVIKPESPKVKPRPTELKDGTPKKQKQCNCKHSRCLKLYCECFASGVYCDGCNCVNCYNNVENEAARRDAIEATLERNPNAFRPKIASSPHGVRDSREEAGEVLVLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHANNMAYIQQAANAAITGAVGSSGFASIPVSKKRKGQELFFGSTAKDPSVLRLGHFPQATHIRTSAPSPSMPVSCATTTAAVGTSKFTYRSLLADIIQKQDLKELCSVLVVLSGEAAKTLADQRSLTEKQAEDQTETSLVSSTQDTLQSQKDFDAEKTVADDCSSANQADKVVPEDSSSDGADTPKESPMSPGTLALMCDEQDTMFMKAPSNGLTEHGCSTSSQLPYGQGMTEIYAEQERIVLTKFRDCLNRLITFGEIKETQCSSLARIEIGSQRSLGNGTEVVRTESGNRQGSFTNGVPKNASPPPIKTSQTAAVVITTSNNDLQRPPSLPENGDAKLKNEKQM >KJB65021 pep chromosome:Graimondii2_0_v6:10:10898138:10907774:1 gene:B456_010G076200 transcript:KJB65021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWFKVAEDLFEVVDRRAKLVASELSEEQSFSQPQGSSVEKTKTRKKAQKKLPTTKSPKTSDAVREETSSEVLQSEVTLYKDKGTLSSKNEGNPSATSMVQISSELYTTSEKDNPGFPSPEPLDTNVVKHDTDQEEVSAIVSNTEASLSTSNGKLLNEDASNVLVEHPSSPLAAKDIQVASEDHLADGCQNIESQNADVISKTDQERSQPLFADSPVNIEAQLKEDDIKVGTPVNQKKPREQNADTPPVQDHLEEAQGLLKTTNSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLIAERELSKSYEAHIKQLQKDLSLSKSEVTKVEANMVEALAAKNSEIEVLVNSMDALKKQAALSEGNLASLQASMESVMRNRELTETRMLQALREELASAERRAEEERAAHNATKMAAMEREVELEHQAVEASTALARIQRVADERTTKAAEFEQKLALLEVECASLNQELQNLEARARRGQKKSPEEANQMVQAWQEEVERARRGQRDAESKLSLLEAELQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYNKQTQLETMASEKAAAQFQLEKEIKRLQEAQVEVERSRVPRRASMSWEEDTEIKALEPLPLHHRHMAVASIQLQKVVKLLDSVSVRATRFLWRYPAARIILLCYLVFVHLFLMYLLHRLQEQADNFAARELAESMGLKNSNLP >KJB65017 pep chromosome:Graimondii2_0_v6:10:10898138:10905873:1 gene:B456_010G076200 transcript:KJB65017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWFKVAEDLFEVVDRRAKLVASELSEEQSFSQPQGSSVEKTKTRKKAQKKLPTTKSPKTSDAVREETSSEVLQSEVTLYKDKGTLSSKNEGNPSATSMVQISSELYTTSEKDNPGFPSPEPLDTNVVKHDTDQEEVSAIVSNTEASLSTSNGKLLNEDASNVLVEHPSSPLAAKDIQVASEDHLADGCQNIESQNADVISKTDQERSQPLFADSPVNIEAQLKEDDIKVGTPVNQKKPREQNADTPPVQDHLEEAQGLLKTTNSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLIAERELSKSYEAHIKQLQKDLSLSKSEVTKVEANMVEALAAKNSEIEVLVNSMDALKKQAALSEGNLASLQASMESVMRNRELTETRMLQALREELASAERRAEEERAAHNATKMAAMEREVELEHQAVEASTALARIQRVADERTTKAAEFEQKLALLEVECASLNQELQNLEARARRGQKKSPEEANQMVQAWQEEVERARRGQRDAESKLSLLEAELQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYNKQTQLETMASEKAAAQFQLEKEIKRLQEAQV >KJB65014 pep chromosome:Graimondii2_0_v6:10:10898138:10907774:1 gene:B456_010G076200 transcript:KJB65014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWFKVAEDLFEVVDRRAKLVASELSEEQSFSQPQGSSVEKTKTRKKAQKKLPTTKSPKTSDAVREETSSEVLQSEVTLYKDKGTLSSKNEGNPSATSMVQISSELYTTSEKDNPGFPSPEPLDTNVVKHDTDQEEVSAIVSNTEASLSTSNGKLLNEDASNVLVEHPSSPLAAKDIQVASEDHLADGCQNIESQNADVISKTDQERSQPLFADSPVNIEAQLKEDDIKVGTPVNQKKPREQNADTPPVQDHLEEAQGLLKTTNSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLIAERELSKSYEAHIKQLQKDLSLSKSEVTKVEANMVEALAAKNSEIEVLVNSMDALKKQAALSEGNLASLQASMESVMRNRELTETRMLQALREELASAERRAEEERAAHNATKMAAMEREVELEHQAVEASTALARIQRVADERTTKAAEFEQKLALLEVECASLNQELQNLEARARRGQKKSPEEANQMVQMQAWQEEVERARRGQRDAESKLSLLEAELQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYNKQTQLETMASEKAAAQFQLEKEIKRLQEAQVEVERSRVPRRASMSWEEDTEIKALEPLPLHHRHMAVASIQLQKVVKLLDSVSVRATRFLWRYPAARIILLCYLVFVHLFLMYLLHRLQEQADNFAARELAESMGLKNSNLP >KJB65020 pep chromosome:Graimondii2_0_v6:10:10898138:10907774:1 gene:B456_010G076200 transcript:KJB65020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWFKVAEDLFEVVDRRAKLVASELSEEQSFSQPQGSSVEKTKTRKKAQKKLPTTKSPKTSDAVREETSSEVLQSEVTLYKDKGTLSSKNEGNPSATSMVQISSELYTTSEKDNPGFPSPEPLDTNVVKHDTDQEEVSAIVSNTEASLSTSNGKLLNEDASNVLVEHPSSPLAAKDIQVASEDHLADGCQNIESQNADVISKTDQERSQPLFADSPVNIEAQLKEDDIKVGTPVNQKKPREQNADTPPVQDHLEEAQGLLKTTNSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLIAERELSKSYEAHIKQLQKDLSLSKSEVTKVEANMVEALAAKNSEIEVLVNSMDALKKQAALSEGNLASLQASMESVMRNRELTETRMLQALREELASAERRAEEERAAHNATKMAAMEREVELEHQAVEASTALARIQRVADERTTKAAEFEQKLALLEVECASLNQELQNLEARARRGQKKSPEEANQMVQMQAWQEEVERARRGQRDAESKLSLLEAELQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLVIETEVYFFTCSCKTYLFGLTSWGYTIIQYNKQTQLETMASEKAAAQFQLEKEIKRLQEAQVEVERSRVPRRASMSWEEDTEIKALEPLPLHHRHMAVASIQLQKVVKLLDSVSVRATRFLWRYPAARIILLCYLVFVHLFLMYLLHRLQEQADNFAARELAESMGLKNSNLP >KJB65018 pep chromosome:Graimondii2_0_v6:10:10897914:10908506:1 gene:B456_010G076200 transcript:KJB65018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWFKVAEDLFEVVDRRAKLVASELSEEQSFSQPQGSSVEKTKTRKKAQKKLPTTKSPKTSDAVREETSSEVLQSEVTLYKDKGTLSSKNEGNPSATSMVQISSELYTTSEKDNPGFPSPEPLDTNVVKHDTDQEEVSAIVSNTEASLSTSNGKLLNEDASNVLVEHPSSPLAAKDIQVASEDHLADGCQNIESQNADVISKTDQERSQPLFADSPVNIEAQLKEDDIKVGTPVNQKKPREQNADTPPVQDHLEEAQGLLKTTNSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLIAERELSKSYEAHIKQLQKDLSLSKSEVTKVEANMVEALAAKNSEIEVLVNSMDALKKQAALSEGNLASLQASMESVMRNRELTETRMLQALREELASAERRAEEERAAHNATKMAAMEREVELEHQAVEASTALARIQRVADERTTKAAEFEQKLALLEVECASLNQELQNLEARARRGQKKSPEEANQMVQMQAWQEEVERARRGQRDAESKLSLLEAELQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYNKQTQLETMASEKAAAQFQLEKEIKRLQEAQVEVERSRVPRRASMSWEEDTEIKALEPLPLHHRHMAVASIQLQKVVKLLDSVSVRATRFLWRYPAARIILLCYLVFVHLFLMYLLHRLQEQADNFAARELAESMGLKNSNLP >KJB65019 pep chromosome:Graimondii2_0_v6:10:10898138:10907843:1 gene:B456_010G076200 transcript:KJB65019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWFKVAEDLFEVVDRRAKLVASELSEEQSFSQPQGSSVEKTKTRKKAQKKLPTTKSPKTSDAVREETSSEVLQSEVTLYKDKGTLSSKNEGNPSATSMVQISSELYTTSEKDNPGFPSPEPLDTNVVKHDTDQEEVSAIVSNTEASLSTSNGKLLNEDASNVLVEHPSSPLAAKDIQVASEDHLADGCQNIESQNADVISKTDQERSQPLFADSPVNIEAQLKEDDIKVGTPVNQKKPREQNADTPPVQDHLEEAQGLLKTTNSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLIAERELSKSYEAHIKQLQKDLSLSKSEVTKVEANMVEALAAKNSEIEVLVNSMDALKKQAALSEGNLASLQASMESVMRNRELTETRMLQALREELASAERRAEEERAAHNATKMAAMEREVELEHQAVEASTALARIQRVADERTTKAAEFEQKLALLEVECASLNQELQNLEARARRGQKKSPEEANQMVQAWQEEVERARRGQRDAESKLSLLEAELQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYNKQTQLETMASEKAAAQFQLEKEIKRLQEAQVEVERSRVPRRASMSWEEDTEIKALEPLPLHHRHMAVASIQLQKVVKLLDSVSVRATRFLWRYPAARIILLCYLVFVHLFLMYLLHRLQEQADNFAARELAESMGLKNSNLP >KJB65016 pep chromosome:Graimondii2_0_v6:10:10898138:10905873:1 gene:B456_010G076200 transcript:KJB65016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWFKVAEDLFEVVDRRAKLVASELSEEQSFSQPQGSSVEKTKTRKKAQKKLPTTKSPKTSDAVREETSSEVLQSEVTLYKDKGTLSSKNEGNPSATSMVQISSELYTTSEKDNPGFPSPEPLDTNVVKHDTDQEEVSAIVSNTEASLSTSNGKLLNEDASNVLVEHPSSPLAAKDIQVASEDHLADGCQNIESQNADVISKTDQERSQPLFADSPVNIEAQLKEDDIKVGTPVNQKKPREQNADTPPVQDHLEEAQGLLKTTNSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLIAERELSKSYEAHIKQLQKDLSLSKSEVTKVEANMVEALAAKNSEIEVLVNSMDALKKQAALSEGNLASLQASMESVMRNRELTETRMLQALREELASAERRAEEERAAHNATKMAAMEREVELEHQAVEASTALARIQRVADERTTKAAEFEQKLALLEVECASLNQELQNLEARARRGQKKSPEEANQMVQMQAWQEEVERARRGQRDAESKLSLLEAELQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLVIETEVYFFTCSCKTYLFGLTSWGYTIIQYNKQTQLETMASEKAAAQFQLEKEIKRLQEAQV >KJB65015 pep chromosome:Graimondii2_0_v6:10:10897914:10907852:1 gene:B456_010G076200 transcript:KJB65015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWFKVAEDLFEVVDRRAKLVASELSEEQSFSQPQGSSVEKTKTRKKAQKKLPTTKSPKTSDAVREETSSEVLQSEVTLYKDKGTLSSKNEGNPSATSMVQISSELYTTSEKDNPGFPSPEPLDTNVVKHDTDQEEVSAIVSNTEASLSTSNGKLLNEDASNVLVEHPSSPLAAKDIQVASEDHLADGCQNIESQNADVISKTDQERSQPLFADSPVNIEAQLKEDDIKVGTPVNQKKPREQNADTPPVQDHLEEAQGLLKTTNSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLIAERELSKSYEAHIKQLQKDLSLSKSEVTKVEANMVEALAAKNSEIEVLVNSMDALKKQAALSEGNLASLQASMESVMRNRELTETRMLQALREELASAERRAEEERAAHNATKMAAMEREVELEHQAVEASTALARIQRVADERTTKAAEFEQKLALLEVECASLNQELQNLEARARRGQKKSPEEANQMVQMQAWQEEVERARRGQRDAESKLSLLEAELQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYNKQTQLETMASEKAAAQFQLEKEIKRLQEAQVEVERSRVPRRASMSWEEDTEIKALEPLPLHHRHMAVASIQLQKVVKLLDSVSVRATRFLWRYPAARIILLCYLVFVHLFLMYLLHRLQEQADNFAARELAESMGLKNSNLP >KJB66130 pep chromosome:Graimondii2_0_v6:10:27824707:27839215:-1 gene:B456_010G128500 transcript:KJB66130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQMMNMPQNLSMNAPFGLPSTSNPTSAGVPATKDRKMASAEHLVLDLSNPDLRENALLELSKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLTPVQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLRNMVAAIAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSTCLREDQTTRRWLQQLLHNVGVSRVPSLQAGAGFDHMLVS >KJB66129 pep chromosome:Graimondii2_0_v6:10:27824609:27839234:-1 gene:B456_010G128500 transcript:KJB66129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQMMNMPQNLSMNAPFGLPSTSNPTSAGVPATKDRKMASAEHLVLDLSNPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLTPVQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLRNMVAAIAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSTCLREDQTTRRWLQQLLHNVGVSRVPSLQAGAGFDHMLVS >KJB67266 pep chromosome:Graimondii2_0_v6:10:53248505:53255590:-1 gene:B456_010G183100 transcript:KJB67266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04350) UniProtKB/Swiss-Prot;Acc:Q9XEA0] MNLTHTKLQPFPHSPPLTHPTFLFSSRSLLPFPRNLKPAHVRPSFLYKKHTLLRYGCFGVSRSRTRSSISEVEEEQKQKPVLVKRAYPFDEIEPKWQRYWEQNRTFRTPNDVDTSKPKFYVLDMFPYPSGAGLHVGHPLGYTATDILARFKRMQGYNVLHPMGWDAFGLPAEQYAIETGTHPKLTTLRNINRFRSQLKSLGFSYDWDREISTIEPEYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGHPVIRKPMRQWMLKITAYADRLLEDLDELDWPESIKEMQRNWIGRSEGAEVEFCVLDSDGMETDMKITVYTTRPDTIFGATYLVVAPEYTLLSSIVSTEQRESVEGYQDIASRKSDLERTELQKEKTGVFSGCYAKNPTSGEPIPIWVADYVLGSYGTGAIMAVPAHDARDHEFASKFNIPIKWVVTPNNGSCVESGKAYSGEGIIVNSSNLKVGLDINGLSSKEAAYKVIEWAEKVGKGKKKVNYKLRDWLFARQRYWGEPIPVIFLEDSGESLPVLESELPLTLPELDDFTPSGTGEPPLSKAVSWIKTIDPSSGKPATRETNTMPQWAGSCWYYLRFMDPKNSNELVDKAKEKYWSPVDVYVGGAEHAVLHLLYSRFWHKVLYDIGVVSTKEPFKCVINQGIILGEVQYTACKDTDGNYISADSADDLGEYLQEIIPEERVVKSGEFFVLKDNPNIRLIARAHKMSKSRGNVVNPDDVVSEYGADSLRLYEMFMGPFRDSKTWNTSGIEGVHRFLGRTWRLIVGSPLHHGAFRDGTLVTDEEPTTEQLRALHKCIAKVTEEIEGTRFNTGISAMMEFINAAYKWDKQPKAIIEAFVLLLSPYAPHMAEELWSRLGHPDSIAYKAFPKADPAYLKESTVVLPVQINGKTRGTIQVEKGCSEEDAFTLASQDEKLSKYLNGKPIKKRIFVPGKILNVILDRQNVKAGSIQ >KJB67268 pep chromosome:Graimondii2_0_v6:10:53248505:53255578:-1 gene:B456_010G183100 transcript:KJB67268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04350) UniProtKB/Swiss-Prot;Acc:Q9XEA0] MNLTHTKLQPFPHSPPLTHPTFLFSSRSLLPFPRNLKPAHVRPSFLYKKHTLLRYGCFGVSRSRTRSSISEVEEEQKQKPVLVKRAYPFDEIEPKWQRYWEQNRTFRTPNDVDTSKPKFYVLDMFPYPSGAGLHVGHPLGYTATDILARFKRMQGYNVLHPMGWDAFGLPAEQYAIETGTHPKLTTLRNINRFRSQLKSLGFSYDWDREISTIEPEYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGHPVIRKPMRQWMLKITAYADRLLEDLDELDWPESIKEMQRNWIGRSEGAEVEFCVLDSDGMETDMKITVYTTRPDTIFGATYLVVAPEYTLLSSIVSTEQRESVEGYQDIASRKSDLERTELQKEKTGVFSGCYAKNPTSGEPIPIWVADYVLGSYGTGAIMAVPAHDARDHEFASKFNIPIKWVVTPNNGSCVESGKAYSGEGIIVNSSNLKVGLDINGLSSKEAAYKVIEWAEKVGKGKKKVNYKLRDWLFARQRYWGEPIPVIFLEDSGESLPVLESELPLTLPELDDFTPSGTGEPPLSKAVSWIKTIDPSSGKPATRETNTMPQWAGSCWYYLRFMDPKNSNELVDKAKEKYWSPVDVYVGGAEHAVLHLLYSRFWHKVLYDIGVVSTKEPFKCVINQGIILGEVQYTACKDTDGNYISADSADDLGEYLQEIIPEERVVKSGEFFVLKDNPNIRLIARAHKMSKSRGNVVNPDDVVSEYGADSLRLYEMFMGPFRDSKTWNTSGIEGVHRFLGRTWRLIVGSPLHHGAFRDGTLVTDEEPTTEQLRALHKCIAKVTEEIEGTRFNTGISAMMEFINAAYKWDKQPKAIIEAFVLLLSPYAPHMAEELWSRLGHPDSIAYKAFPKADPAYLKESTVVLPVQINGKTRGTIQVEKGCSEEDAFTLASQDEKLSKYLNGKPIKKRIFVPGKILNVILDRQNVKAGSIQ >KJB67267 pep chromosome:Graimondii2_0_v6:10:53248497:53255590:-1 gene:B456_010G183100 transcript:KJB67267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04350) UniProtKB/Swiss-Prot;Acc:Q9XEA0] MNLTHTKLQPFPHSPPLTHPTFLFSSRSLLPFPRNLKPAHVRPSFLYKKHTLLRYGCFGVSRSRTRSSISEVEEEQKQKPVLVKRAYPFDEIEPKWQRYWEQNRTFRTPNDVDTSKPKFYVLDMFPYPSGAGLHVGHPLGYTATDILARFKRMQGYNVLHPMGWDAFGLPAEQYAIETGTHPKLTTLRNINRFRSQLKSLGFSYDWDREISTIEPEYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGHPVIRKPMRQWMLKITAYADRLLEDLDELDWPESIKEMQRNWIGRSEGAEVEFCVLDSDGMETDMKITVYTTRPDTIFGATYLVVAPEYTLLSSIVSTEQRESVEGYQDIASRKSDLERTELQKEKTGVFSGCYAKNPTSGEPIPIWVADYVLGSYGTGAIMAVPAHDARDHEFASKFNIPIKWVVTPNNGSCVESGKAYSGEGIIVNSSNLKVGLDINGLSSKEAAYKVIEWAEKVGKGKKKVNYKLRDWLFARQRYWGEPIPVIFLEDSGESLPVLESELPLTLPELDDFTPSGTGEPPLSKAVSWIKTIDPSSGKPATRETNTMPQWAGSCWYYLRFMDPKNSNELVDKAKEKYWSPVDVYVGGAEHAVLHLLYSRFWHKVLYDIGVVSTKEPFKCVINQGIILGEVQYTACKDTDGNYISADSADDLGEYLQEIIPEERVVKSGEFFVLKDNPNIRLIARAHKMSKSRGNVVNPDDVVSEYGADSLRLYEMFMGPFRDSKTWNTSGIEGVHRFLGRTWRLIVGSPLHHGAFRDGTLVTDEEPTTEQLRALHKCIAKVTEEIEGTRFNTGISAMMEFINAAYKWDKQPKAIIEAFVLLLSPYAPHMAEELWSRLGHPDSIAYKAFPKADPAYLKESTVVLPVQINGKTRGTIQVEKGCSEEDAFTLASQDEKLSKYLNGKPIKKRIFVPGKILNVILDRQNVKAGSIQ >KJB68298 pep chromosome:Graimondii2_0_v6:10:60762924:60766896:-1 gene:B456_010G237500 transcript:KJB68298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKPLQNGNNVAGDDRRRVGDGSALIFLGTGCSSAVPNAMCLIQPSDPPCHVCSQSLSIPPEHNPNYRCNTSLLIDYCSTNGMHNYIIIDVGKTFKEQVLRWFTFHKIPRIDSIVLTHEHADAVLGLDDIRVVQPHSPTNDIDPTAIYLTQYAMDSVAAKFPYLVQKKLREGQEVRRVAQLDWRIIEEDYDKPFVASGLKFVPLPVMHGEDYICLGFLFGEKSKVAYISDVSRFPSNTEYVISKSGSGQLDLLIVDCLYKKGSHNVHLCLPQTLDALKRICPKRALLIGMTHDFDQHKDNKVLMEWSEREGIHVQLARDGLKVPIDL >KJB68299 pep chromosome:Graimondii2_0_v6:10:60763391:60766896:-1 gene:B456_010G237500 transcript:KJB68299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQKPLQNGNNVAGDDRRRVGDGSALIFLGTGCSSAVPNAMCLIQPSDPPCHVCSQSLSIPPEHNPNYRCNTSLLIDYCSTNGMHNYIIIDVGKTFKEQVLRWFTFHKIPRIDSIVLTHEHADAVLGLDDIRVVQPHSPTNDIDPTAIYLTQYAMDSVAAKFPYLVQKKLREGQEVRRVAQLDWRIIEEDYDKPFVASGLKFVPLPVMHGEDYICLGFLFGEKSKVAYISDVSRFPSNTEYVISKSGSGQLDLLIVDCLYKKGSHNVHLCLPQTLDALKRICPKRALLIGMTHDFDQHKDNKVLMEWSEREGIHVQLARDGLKVPIDL >KJB67527 pep chromosome:Graimondii2_0_v6:10:55547204:55553006:1 gene:B456_010G195300 transcript:KJB67527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAILLLFLTLFSNSKADRDFDVRQHLCTVTRYGAVKDIVDNSFIPSDIPQGCTPIHLNLVARHGTRSPTKKRVREMEKLASHIKELIEDAKQRNLSLQKVPAWFHNWESPWKGKLKGGELDIKGEEELYQLGIRVRERFPDIFNEEYHPDVYPIKTTQIPRASASAVAFGMGLFSGKGSLGPGRHRAFAVTSESRASDTILRFFECCQTYKDFRKNQEPSFNKLKEPILTEITSALAKRYEFNFTRQDISSLWFLCKQESSLLDITDQACSLFSPTEVALLEWTDDIQMFMVKGYGKSLNYRMGVPLLKDVLQSMSEAINADEDNQVPGSYEKARLRFAHAETVVPFSCLLGLFLEGSDFERIQKEEPLDLPPKPPQKRNWRGSIVAPFAGNNMLVLYSCPANSSSKYFVQVLHNEHPIPMPGCGSTDFCPFQVFKDKIVEPHFMHDYDTLCNAHLDESKHKPETSKLSQLFHWIFRLGGNDDTPSHGVEL >KJB67526 pep chromosome:Graimondii2_0_v6:10:55547204:55552230:1 gene:B456_010G195300 transcript:KJB67526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAILLLFLTLFSNSKADRDFDVRQHLCTVTRYGAVKDIVDNSFIPSDIPQGCTPIHLNLVARHGTRSPTKKRVREMEKLASHIKELIEDAKQRNLSLQKVPAWFHNWESPWKGKLKGGELDIKGEEELYQLGIRVRERFPDIFNEEYHPDVYPIKTTQIPRASASAVAFGMGLFSGKGSLGPGRHRAFAVTSESRASDTILRFFECCQTYKDFRKNQEPSFNKLKEPILTEITSALAKRYEFNFTRQDISSLWFLCKQESSLLDITDQACSLFSPTEVALLEWTDDIQMFMVKGYGKSLNYRMGVPLLKDVLQSMSEAINADEDNQVPGSYEKARLRFAHAETVVPFSCLLGLFLEGSDFERIQKEEPLDLPPKPPQKRNWRGSIVAPFAGNNMLVLYSCPANSSSKYFVQVLHNEHPIPMPGCGSTDFCPFQVFKDKIVEPHFMHDYDTLCNAHLDESKHKPETSKLSQLFHWIFRLGGNDDTPSHGVEL >KJB65676 pep chromosome:Graimondii2_0_v6:10:19928757:19934759:1 gene:B456_010G107700 transcript:KJB65676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGLPIHIHCSAQKPSVSSSANFDHLKSTLSNAFKPFLKQTERLPLRIDVAPQTLNDTCLKLVDAAVDSVFEFADQPLLPSQSNFGPVDEMKEAVQVTNIQGEIPRDFAEGVYIRNGPNPIFGALTSTSSMFGRSSYTWIEGEGMLHALYFCKGVEGDWTVLYKNRYVETETLKLEKQRNKPSFLPAIEGDSPAILSAYLLNMLRYGKVNKEISNTNVFEHGGKMYSIAENHKPQEINILTLETLNDWDVNGGWNRPFSSHPKKAPGTGELVILGIDATKPFAELGVISADGNKLLHRADLKLNRCSLCHEIGVTQRYNVFMDHPLLIDLNRLVRGSSLMKYEKEGYARIGIMPRYGSADSIQWFKVKPNCTFHLFNCFEDGDEVVMWGCRALDSIIPGPDKGQNKFDWFSRKLRPIKPIEGSMDAVSEDQLVFPRPYEWRMNKQTGDVKERNLTGTEYSMDFPFINEGFVGVQNNYGYCQVRDSIASSASGMAKYGGLAKLYFGEQNPGFSLKENEVEGLTKVEYHMFEKNTFGTGAAFVPKQGGVEEDDGWVVTFVHNEDTNISQVLIIEAKSFTSKPVARITLPFRVPYGFHGTFRPMQLQNDILSIIPSLNSKIVKSISPSCSQIHE >KJB66642 pep chromosome:Graimondii2_0_v6:10:40100141:40101030:1 gene:B456_010G149500 transcript:KJB66642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKSTPTSRFNLPVCSSSPSPPHKLHNNPNSTSFSSSDLLLFETESMILHLPCTTLYQTPLLSNPRNLQQLAKTQTSFSPANKLSIARKLVTRGCSNSQGGEGEKKTERRSFLSLEEAGLVEISGLSSHEKFLCRLTISSLNLLRVISEQEGCSIEEMNAGRVCDWFVKDKLKREQNIDSAVLQWDESEFPF >KJB64330 pep chromosome:Graimondii2_0_v6:10:4347155:4351266:1 gene:B456_010G043500 transcript:KJB64330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADLKKSSSNGSEKSLINEEQQAKISEIRRLIGPLPEKLAIYCSDAAIARYLRARNWNVKKATKMLKETLKWRAEYKPEEIRWEEVAHEAETGKIYRSNYIDKHGRTVLVMRPSCQNSKSTKGQIRYLVYCMENAILNLPADQEQMVWLIDFNGFNLSHISLKVTRETAHVLQEHYPERLGVAILYNPPKFFEPFWTVAKPFLEPKTQNKVKFVYTDDPNTKKIMEDLFEMEKLESAFGGNDDSGFDINKYAERMREEDKRIPAFWTKSEAPPEPMPTSSVDLNSFDSDASDSGKVDGSASHVSDSESLISDKSVLVTKGSDNGNGSKELLYR >KJB64333 pep chromosome:Graimondii2_0_v6:10:4347197:4351266:1 gene:B456_010G043500 transcript:KJB64333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADLKKSSSNGSEKSLINEEQQAKISEIRRLIGPLPEKLAIYCSDAAIARYLRARNWNVKKATKMLKETLKWRAEYKPEEIRWEEVAHEAETGKIYRSNYIDKHGRTVLVMRPSCQNSKSTKGQIRYLVYCMENAILNLPADQEQMVWLIDFNGFNLSHISLKVTRETAHVLQEHYPERLGVAILYNPPKFFEPFWTVAKPFLEPKTQNKVKFVYTDDPNTKKIMEDLFEMEKLESAFGGNDDSGFDINKYAERMREEDKRIPAFWTKSEAPPEPMPTSSVDLNSFDSDASDSGKVDGSASHVSDSESLISDKSVLVTKGSDNGNGSKELLYR >KJB64334 pep chromosome:Graimondii2_0_v6:10:4347155:4351266:1 gene:B456_010G043500 transcript:KJB64334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADLKKSSSNGSEKSLINEEQQAKISEIRRLIGPLPEKLAIYCSDAAIARYLRARNWNVKKATKMLKETLKWRAEYKPEEIRWEEVAHEAETGKIYRSNYIDKHGRTVLVMRPSCQNSKSTKGQIRYLVYCMENAILNLPADQEQMVWLIDFNGFNLSHISLKVTRETAHVLQEHYPERLGVAILYNPPKFFEPFWTVAKPFLEPKTQNKVKFVYTDDPNTKKIMEDLFEMEKLESAFGGNDDSGFDINKYAERMREEDKRIPAFWTKSEAPPEPMPTSSVDLNSFDSDASDSGKVDGSASHVSDSESLISDKSVLVTKGSDNGNGSKELLYR >KJB64331 pep chromosome:Graimondii2_0_v6:10:4348262:4349894:1 gene:B456_010G043500 transcript:KJB64331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADLKKSSSNGSEKSLINEEQQAKISEIRRLIGPLPEKLAIYCSDAAIARYLRARNWNVKKATKMLKETLKWRAEYKPEEIRWEEVAHEAETGKIYRSNYIDKHGRTVLVMRPSCQNSKSTKGQIRYLVYCMENAILNLPADQEQMVWLIDFNGFNLSHISLKVTRETAHVLQEHYPERLGVAILYNPPKFFEPFWTVTILIFVFYVLFFQEIKLSLRFLRMSLKIAQMIIYAQYTKIYLSV >KJB64332 pep chromosome:Graimondii2_0_v6:10:4348262:4349894:1 gene:B456_010G043500 transcript:KJB64332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADLKKSSSNGSEKSLINEEQQAKISEIRRLIGPLPEKLAIYCSDAAIARYLRARNWNVKKATKMLKETLKWRAEYKPEEIRWEEVAHEAETGKIYRSNYIDKHGRTVLVMRPSCQNSKSTKGQIRYLVYCMENAILNLPADQEQMVWLIDFNGFNLSHISLKVTRETAHVLQEHYPERLGVAILYNPPKFFEPFWTVTILIFVFYVLFFQEIKLSLRFLRMSLKIAQMIIYAQYTKIYLSV >KJB64369 pep chromosome:Graimondii2_0_v6:10:4822489:4825033:-1 gene:B456_010G045600 transcript:KJB64369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRFMMSPNRSEGQHFPPLASQISSLYNLTFDEVQSQLGKIGKPLNDMNLDELLRSLIAVEEGGLVQNPSSFSSSSSSAASFFLGNINLNGTLSKKTVDEVWKEIVNNGGGNVNAMDNRFVQHQLTLGETTLEDFLVRAGVINGGIQDGVIHPQQFMAIDPMAVVSQQADWLQFQMAAVQQQHHHHQQQQMTMMDSNFNVPESVYENQVVDVGYHENQLAITMPMPAMSATSSDSQPTAARKHRYSDVMEKTIERRQKRMIKNRESAARSRARKQAYTNQLELEVDQLKKMNSWLKRQKEVEMVLSANTNDTVPKYQLRRTSSASF >KJB66649 pep chromosome:Graimondii2_0_v6:10:40373865:40377947:1 gene:B456_010G150100 transcript:KJB66649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARVARNGLRRSGGVGGGNTTERNIFFERLSGSLEKINVHSNLTSLSTIKGLNHMNFWSRGIRTTPPYQFPLAERLVETESESEHDEPRYPGLEATKPGEKPRVVVLGTGWAACRFLNGLDTKAYDAVCISPRNHMVFTPLLASTCVGTLEFRSVSEPVSRIQSALATSPNSYFYLASCIGVDTNKHEVYCETMSNGGLPHEPYQFKVAYDKLVIAAGAEPLTFGIKGVKENAYFLREVNHAQEIRKKLLLNLMLSENPGISEEEKSRLLHCVIIGGGPTGVEFSGELSDFIMRDVRERYSQVKDYIKVTLIEANEILSSFDVGLRQYATNHLRKSGVQLMRGVVKEVHPKKIILSDGTDVPYGLLVWSTGVGPSQFIKSLNLPKSPGGRIGIDEWLRVPSVEDVFALGDCAGFLEQTGKPVLPALAQVAERQGKYLVEVFNRMAKEDGGKAFSVKEMSLGDPFVYKHLGSMASVGRYKALVDLRQSKDAQGISLAGFISWLIWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDDSRIG >KJB66648 pep chromosome:Graimondii2_0_v6:10:40374774:40376530:1 gene:B456_010G150100 transcript:KJB66648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARVARNGLRRSGGVGGGNTTERNIFFERLSGSLEKINVHSNLTSLSTIKGLNHMNFWSRGIRTTPPYQFPLAERLVETESESEHDEPRYPGLEATKPGEKPRVVVLGTGWAACRFLNGLDTKAYDAVCISPRNHMVFTPLLASTCVGTLEFRSVSEPVSRIQSALATSPNSYFYLASCIGVDTNKHEVYCETMSNGGLPHEPYQFKVAYDKLVIAAGAEPLTFGIKGVKENAYFLREVNHAQEIRKKLLLNLMLSENPGISEEEKSRLLHCVIIGGGPTGVEFSGELSDFIMRDVRERYSQVKDYIKVTLIEANEILSSFDVGLRQYATNHLRKSGVQLMRGVVKEVHPKKIILSDGTDVPYGLLVWSTGVGPSQFIKSLNLPKSPGGRYYKQHNLLSFH >KJB64615 pep chromosome:Graimondii2_0_v6:10:6535084:6536551:1 gene:B456_010G057300 transcript:KJB64615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMGIQKPAWLEALYTQKFFVGCCYHETAKKNEKNVYCLDCCVSICPHCIPSHRFHRLLQVRRYVYHDVVRLEDLQKLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGAVNYCTSCDRSLQEPFIHCSLGCKVDFLLKHYKDLSPFLRKCKTLTLSPDFLIPQETGEEEMANETAQSTVVDSDEPMSWSSSGSESMSMSMAYSSDQIVRKKRSGLYLWRSSANRVSDEEIASSMSRRKGIPHRSPLC >KJB65729 pep chromosome:Graimondii2_0_v6:10:21037394:21039105:1 gene:B456_010G111200 transcript:KJB65729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKSGLTVWSTLMEKRESRTVTVAVTPQTAAFKSNSSSHFNTMYEGLLEVSVKLKEGVLNHSNHSAVTFKENMHPNPGSVMGVAQQNFLGREGVAADLRIDGEKVGGGHRGRKLSKTIRDRGNLFKSSTSRIPLPDSVNNLVEFITSQIEKETAQEGPKKAGK >KJB65728 pep chromosome:Graimondii2_0_v6:10:21037394:21039105:1 gene:B456_010G111200 transcript:KJB65728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKSGLTVWSTLMEKRESRTVTVAVTPQTAAFKSNSSSHFNTMYEGLLEVSVKLKEGVLNHSNHSAVTFKENMHPNPGSVMGVAQQNFLGREGVAADLRIDGEKVGGGHRGRKLSKTIRDRGNLFKSSTSRIPLPDSVNNLVEFITSQIEKETAQEGPKKAGK >KJB65730 pep chromosome:Graimondii2_0_v6:10:21037394:21038996:1 gene:B456_010G111200 transcript:KJB65730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKSGLTVWSTLMEKRESRTVTVAVTPQTAAFKSNSSSHFNTMYEGLLEVSVKLKEGVLNHSNHSAVTFKENMHPNPGSVMGVAQQNFLGREGVAADLRIDGEKVGGGHRGRKLSKTIRDRGNLFKSSTSRIPLPDSVNNLVEFITSQIEKETAQEGPKKAGK >KJB67999 pep chromosome:Graimondii2_0_v6:10:59099647:59102759:1 gene:B456_010G221300 transcript:KJB67999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVVQKASNGSSTLKYATGEAYSRFFQKIHAQMQCTPDLSQINCDFCLRQSVSTYQSCCHGKQGGVVQRPSCYFRWDLYPFYTPSASTTAPSLSPPPSPVSSPPPAASPPPQSVNTIKKEEGGHRSSQTLVIIIVPIVILVAVLVILAVAILRKRIAKPKQDNRNAKTSEESLQFDFDAVRVATEDFSDANILGRGGFGPVYKGKLEDGRQVAIKRLSENSGQGQQEFKNEVMLLAKLQHRNLVRLLGFSLEQKERVLIYEFLPNSSLDNFIFDSVKRLLLSWAKRYKIIKGIAKGLLYLHEDSQYRIIHRDLKTANILLDEEMNPKISDFGLAKLFTVDQTRADTSKVVGTYGYMAPEYAWHGQYSVKSDVYSFGVLVLEIISGKKISSFSNQEVGDSLLTHAWRNWSEGTALEVVDPILRDCSRIEIMRCIHLGLLCVQDNIAYRPTMASVVLMLGSYSMSLPVPSRPAFSMHSTMETETKSQSSSLSNQSKRETVQVSVNEASISELDPR >KJB68000 pep chromosome:Graimondii2_0_v6:10:59099647:59102759:1 gene:B456_010G221300 transcript:KJB68000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVKFTALSSILSHLLTGITLVEALLKCYDTGNFSTNSTYGKNRDLILASLPSNVSAKGGFLTASISQHSDKVYTLAMCRGDSTPDDCYKCVNSTIHNLIANCPNQKEALSWEGQPCHVHYADRSFYGALELDPPEAVYNTGEITSNLTQFDTVWESLMDSVVQKASNGSSTLKYATGEAYSRFFQKIHAQMQCTPDLSQINCDFCLRQSVSTYQSCCHGKQGGVVQRPSCYFRWDLYPFYTPSASTTAPSLSPPPSPVSSPPPAASPPPQSVNTIKKEEGGHRSSQTLVIIIVPIVILVAVLVILAVAILRKRIAKPKQDNRNAKTSEESLQFDFDAVRVATEDFSDANILGRGGFGPVYKGKLEDGRQVAIKRLSENSGQGQQEFKNEVMLLAKLQHRNLVRLLGFSLEQKERVLIYEFLPNSSLDNFIFDSVKRLLLSWAKRYKIIKGIAKGLLYLHEDSQYRIIHRDLKTANILLDEEMNPKISDFGLAKLFTVDQTRADTSKVVGTYGYMAPEYAWHGQYSVKSDVYSFGVLVLEIISGKKISSFSNQEVGDSLLTHAWRNWSEGTALEVVDPILRDCSRIEIMRCIHLGLLCVQDNIAYRPTMASVVLMLGSYSMSLPVPSRPAFSMHSTMETETKSQSSSLSNQSKRETVQVSVNEASISELDPR >KJB66014 pep chromosome:Graimondii2_0_v6:10:25535801:25538448:-1 gene:B456_010G124400 transcript:KJB66014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNEREMEWRPCYLDMILVPLGFLTIIFYHCWLWYKVKTQPLSTIFGTNASGRRFWVSDMIKDNEKKSIVAVQTLRNTIMGSTLMATTSILLCAGLAAVISSTYSVKKPLNDSIFGAHGELMVSLKYVTILCIFLFSFFCHSSSIRFLNQVGILISSPPHPSSSVSPHYVWELLEKGFLLNTVGNRLFYSALPLMLWIFGPLLAFLCSLTMVPVLYNLDFVFGFNKQLALGKDYQHNGCRDCESV >KJB64572 pep chromosome:Graimondii2_0_v6:10:6250083:6252903:-1 gene:B456_010G054500 transcript:KJB64572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGGLVPTGVAKERAELYQGRVTLYVVIACIVAAVGGSIFGYDIGISGGVTSMDGFLREFFHSVYISKQHAHENNYCKYDNQGLAAFTSSLYFAGLVASLVASPITRKYGRRTSIICGGFSFLVGATVNAAAQNLAMLLFGRIMLGVGIGFGNQAVPLYLSEMAPTHLRGALNMMFQLATTLGIFTANMVNYGTQKLEPWGWRLSLGLAAIPATLMTVGGCLLPETPNSLIEMGSKERGRKVLERIRGTDKVDAEFEDMVDASELANSIKHPFRNILKKRNRPQLVMAICMPTFQILTGINSILFYAPVLFQSMGFGGNASLYSSAVTGAVLAGSTFISIAAVDRLGRRVLLISGGIQMIICQVIVAIILGVKFGDNQELSKGYSILVVIVICLFVLAFGWSWGPLGWTVPSEIFPLEIRSAGQSITVAVNLLFTFIIAQCFLAMLCHFKFGIFLFFASWITVMTIFVYFFLPETKGVPIEEIIFLWRKHWFWKKIIPEYPQVDDSHNV >KJB64573 pep chromosome:Graimondii2_0_v6:10:6250297:6252229:-1 gene:B456_010G054500 transcript:KJB64573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFLREFFHSVYISKQHAHENNYCKYDNQGLAAFTSSLYFAGLVASLVASPITRKYGRRTSIICGGFSFLVGATVNAAAQNLAMLLFGRIMLGVGIGFGNQAVPLYLSEMAPTHLRGALNMMFQLATTLGIFTANMVNYGTQKLEPWGWRLSLGLAAIPATLMTVGGCLLPETPNSLIEMGSKERGRKVLERIRGTDKVDAEFEDMVDASELANSIKHPFRNILKKRNRPQLVMAICMPTFQILTGINSILFYAPVLFQSMGFGGNASLYSSAVTGAVLAGSTFISIAAVDRLGRRVLLISGGIQMIICQVIVAIILGVKFGDNQELSKGYSILVVIVICLFVLAFGWSWGPLGWTVPSEIFPLEIRSAGQSITVAVNLLFTFIIAQCFLAMLCHFKFGIFLFFASWITVMTIFVYFFLPETKGVPIEEIIFLWRKHWFWKKIIPEYPQVDDSHNV >KJB64575 pep chromosome:Graimondii2_0_v6:10:6250297:6252831:-1 gene:B456_010G054500 transcript:KJB64575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGGLVPTGVAKERAELYQGRVTLYVVIACIVAAVGGSIFGYDIGISGGVTSMDGFLREFFHSVYISKQHAHENNYCKYDNQGLAAFTSSLYFAGLVASLVASPITRKYGRRTSIICGGFSFLVGATVNAAAQNLAMLLFGRIMLGVGIGFGNQAVPLYLSEMAPTHLRGALNMMFQLATTLGIFTANMVNYGTQKLEPWGWRLSLGLAAIPATLMTVGGCLLPETPNSLIEMGSKERGRKVLERIRGTDKVDAEFEDMVDASELANSIKHPFRNILKKRNRPQLVMAICMPTFQILTGINSILFYAPVLFQSMGFGGNASLYSSAVTGAVLAGSTFISIAAVDRLGRRVLLISGGIQMIICYSCHNLGGEIWGQPRTIKRVLNIGCDSDMSICFGIWMVMGSIRVDCTK >KJB64576 pep chromosome:Graimondii2_0_v6:10:6251015:6252554:-1 gene:B456_010G054500 transcript:KJB64576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGGLVPTGVAKERAELYQGRVTLYVVIACIVAAVGGSIFGYDIGISGGVTSMDGFLREFFHSVYISKQHAHENNYCKYDNQGLAAFTSSLYFAGLVASLVASPITRKYGRRTSIICGGFSFLVGATVNAAAQNLAMLLFGRIMLGVGIGFGNQAVPLYLSEMAPTHLRGALNMMFQLATTLGIFTANMVNYGTQKLEPWGWRLSLGLAAIPATLMTVGGCLLPETPNSLIEMGSKERGRKVLERIRGTDKVDAEFEDMVDASELANSIKHPFRNILKKRNRPQLVMAICMPTFQILTGINSILFYAPVLFQSMGFGGNASLYSSAVTGAVLAGSTFISIAAVDRLGRRVLLISGGIQMIICQVQYNKHSFSQHIITH >KJB64574 pep chromosome:Graimondii2_0_v6:10:6250297:6252831:-1 gene:B456_010G054500 transcript:KJB64574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTHLRGALNMMFQLATTLGIFTANMVNYGTQKLEPWGWRLSLGLAAIPATLMTVGGCLLPETPNSLIEMGSKERGRKVLERIRGTDKVDAEFEDMVDASELANSIKHPFRNILKKRNRPQLVMAICMPTFQILTGINSILFYAPVLFQSMGFGGNASLYSSAVTGAVLAGSTFISIAAVDRLGRRVLLISGGIQMIICQVIVAIILGVKFGDNQELSKGYSILVVIVICLFVLAFGWSWGPLGWTVPSEIFPLEIRSAGQSITVAVNLLFTFIIAQCFLAMLCHFKFGIFLFFASWITVMTIFVYFFLPETKGVPIEEIIFLWRKHWFWKKIIPEYPQVDDSHNV >KJB66595 pep chromosome:Graimondii2_0_v6:10:38341103:38341947:-1 gene:B456_010G145900 transcript:KJB66595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSEARSSYSEPQLLVGVGFAWFLCTKSSYYCSMFFSTTRTWYFSHYNKSLSAALGLALLSAYYFFL >KJB65126 pep chromosome:Graimondii2_0_v6:10:11996068:11997974:-1 gene:B456_010G081900 transcript:KJB65126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWVPLFDIFMNSPTPEIEASLWLQQCFNATTLSSSTTTPITASSFLSLLTKPCNLFVDDSSFSSPLTPKRIMFIETLPGMVQSRVLSFLALENERFNGKELSKLARSLLSESQGLDFWVKKAARDLLDRVSEPNHINKWISGFSLESGEEEVGQEFESLPDWLKDMAAGNDPLLYWLPLSAADCGPRFYDDSLENDESLFSQVEENGENGLKEVGDEIEMDRVLNVPLEPEIENMAASLRDRVISLESSFKAVALGNEIRELCLDKGMDPFQVLCLIEPWKAEDEVASVLISHLSSGDEDELAWPSQVLCSIVLPKFLVLEEPASRLLLTSTIEYSKLHQRAAVHALLFPLVLRKEGINNPICDVITRILRECLHPAHVSAFCQTLLCRGEEERRFILLPCHEYLVSKELVWTDSLFNLLHNILNHNVHLTRDSVDRLVYHVRNIAERFSKSLKFGNFVLCLVTKCSSLLNSHKNVLTEAVECTNTLVTKSILLRIASL >KJB68574 pep chromosome:Graimondii2_0_v6:10:61810614:61811507:1 gene:B456_010G251400 transcript:KJB68574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMDAKLWYVGLVFLSIAGTLSLVVGGGDVGYNGDNGDDNHCLYRSWRSCGSFFGGGGRGGGGGGGGGGGASSNGIGYGEGHGVGGGVGGSVGGGGFGGGGGGGSGSGYGRFGEGFGHGSGFGAGASIGGEGGGGGGGGGGGGGGVSKGSSGGYGHGNGYGAGVGVGGASGSGSDGTSSGCGGGGGGGSGGGSGGGSGHGNGFGAGFGIAGIEGGGGGGGEGRGGGGGNGGEGYGHGEGMGMGMGGGSNVEKGRGGGKGNMGFGMGMGMGMGMGIGVGFGPGTSGVKDTSTEHGHP >KJB63253 pep chromosome:Graimondii2_0_v6:10:57249426:57249859:-1 gene:B456_010G2067002 transcript:KJB63253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWTSAANKLEQAGFQNIACITPGPQTVKPGTFDSVGTTKLQDAGKAGLVTIQGKNSTVLGTAHICAYLFITLFPDQAEKLLQMSLAR >KJB63254 pep chromosome:Graimondii2_0_v6:10:57248295:57250100:-1 gene:B456_010G2067002 transcript:KJB63254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWTSAANKLEQAGFQNIACITPGPQTVKPGTFDSVGTTKLQDAGKAGLVTIQGAYLFITLFPDQAEKLLQMSLAR >KJB63255 pep chromosome:Graimondii2_0_v6:10:57249177:57249679:-1 gene:B456_010G2067002 transcript:KJB63255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFDSVGTTKLQDAGKAGLVTIQGAYLFITLFPDQAEKLLQMSLAR >KJB64342 pep chromosome:Graimondii2_0_v6:10:4447740:4448421:-1 gene:B456_010G043900 transcript:KJB64342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLVNLRFKQVVDDTVCPCCRYGEEDVYHVFRFCPTTIEIWKMLDLSWVNNSMIQSFWDWLTWIFKRSTHKQCRLFCCGMWFLWRLRNKLMHERKIELGKELSMKVLRYLVEIDGLTERRNTLNTVRSANQRD >KJB64793 pep chromosome:Graimondii2_0_v6:10:8355549:8356190:-1 gene:B456_010G065000 transcript:KJB64793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSGGDWMCAACQHQNFKKREACQRCGYPKYGGPDVSTYLYNRTEVMAGDWYCTAISCGAHNYASRTNCYRCGTVKNDYGGYSMMGSETSVPPGWKTGDWMCTRYGCGVHNYASRLECFKCKTPRDFGDA >KJB64792 pep chromosome:Graimondii2_0_v6:10:8355331:8356433:-1 gene:B456_010G065000 transcript:KJB64792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSGGDWMCAACQHQNFKKREACQRCGYPKYGGPDVSTYLYNRTEVMAGDWYCTAISCGAHNYASRTNCYRCGTVKNDYGGYSMMGSETSVPPGWKTGDWMCTRYGCGVHNYASRLECFKCKTPRDFGDA >KJB65542 pep chromosome:Graimondii2_0_v6:10:17557761:17559376:-1 gene:B456_010G099400 transcript:KJB65542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLKLEVTMEKSVVLVIGFWLLLLGNGVQSHRQDKKHQSLPRDQPYRTGYHFQPPKNWMNDPNGPMYYKGVYHLFYQYNPYAAVWGNITWAHSISYDLVNWINLDIALSPGDPFDINGCWSGSTTFLSGEKPVILYTGSDTMNRQVQNLAEPKNLSDPLLREWVKSSHNPLMSPVDGIDPKNFRDPTTAWQGPDGLWRVLVGNEMDGHGRALLYRSQDFITWSQSKEPIHSSTRTGMWECPDFYPVSIDGKNGVETSSLDKFTKQVLKASFDNSDHYVLGNYTAVTDNFLVDTDFLDNGSDLRYDYGNFYASKTFFDSGKKRRILWGWILESDNTTDDVKKGWSGLQSIPRTILLSKTGKQLIQWPLEEIEKQRIIKVSFENKELKGGSVLEVSGITASQVLLFFSIVLDAQESLTGESIS >KJB65539 pep chromosome:Graimondii2_0_v6:10:17556774:17559376:-1 gene:B456_010G099400 transcript:KJB65539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYKGVYHLFYQYNPYAAVWGNITWAHSISYDLVNWINLDIALSPGDPFDINGCWSGSTTFLSGEKPVILYTGSDTMNRQVQNLAEPKNLSDPLLREWVKSSHNPLMSPVDGIDPKNFRDPTTAWQGPDGLWRVLVGNEMDGHGRALLYRSQDFITWSQSKEPIHSSTRTGMWECPDFYPVSIDGKNGVETSSLDKFTKQVLKASFDNSDHYVLGNYTAVTDNFLVDTDFLDNGSDLRYDYGNFYASKTFFDSGKKRRILWGWILESDNTTDDVKKGWSGLQSIPRTILLSKTGKQLIQWPLEEIEKQRIIKVSFENKELKGGSVLEVSGITASQADVEVSFSLSSFKEAELMDPSWVDPKLLCSQKTASVRSNVGPFGILVLASKDLTEQTAIFFRVFRSKDNYVVLMCSDQSRSSVEEGPQKTIYGAFIDIDPLNEKISLRSLIDHSIVESFGGEGRACITARVYPKLAIDNQAYLYAFNNGTLDVNIPTLNAWSMKNAQIVSSTKRRKPNLN >KJB65540 pep chromosome:Graimondii2_0_v6:10:17558075:17559376:-1 gene:B456_010G099400 transcript:KJB65540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLKLEVTMEKSVVLVIGFWLLLLGNGVQSHRQDKKHQSLPRDQPYRTGYHFQPPKNWMNDPNGPMYYKGVYHLFYQYNPYAAVWGNITWAHSISYDLVNWINLDIALSPGDPFDINGCWSGSTTFLSGEKPVILYTGSDTMNRQVQNLAEPKNLSDPLLREWVKSSHNPLMSPVDGIDPKNFRDPTTAWQGPDGLWRVLVGNEMDGHGRALLYRSQDFITWSQSKEPIHSSTRTGMWECPDFYPVSIDGKNGVETSSLDKFTKQVLKASFDNSDHYVLGNYTAVTDNFLVDTDFLDNGSDLRYDYGNFYASKTFFDSGKKRRILWGWILESDNTTDDVKKGWSGLQVI >KJB65543 pep chromosome:Graimondii2_0_v6:10:17557346:17559376:-1 gene:B456_010G099400 transcript:KJB65543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLKLEVTMEKSVVLVIGFWLLLLGNGVQSHRQDKKHQSLPRDQPYRTGYHFQPPKNWMNDPNGPMYYKGVYHLFYQYNPYAAVWGNITWAHSISYDLVNWINLDIALSPGDPFDINGCWSGSTTFLSGEKPVILYTGSDTMNRQVQNLAEPKNLSDPLLREWVKSSHNPLMSPVDGIDPKNFRDPTTAWQGPDGLWRVLVGNEMDGHGRALLYRSQDFITWSQSKEPIHSSTRTGMWECPDFYPVSIDGKNGVETSSLDKFTKQVLKASFDNSDHYVLGNYTAVTDNFLVDTDFLDNGSDLRYDYGNFYASKTFFDSGKKRRILWGWILESDNTTDDVKKGWSGLQSIPRTILLSKTGKQLIQWPLEEIEKQRIIKVSFENKELKGGSVLEVSGITASQADVEVSFSLSSFKEAELMDPSWVDPKLLCSQKTASVRSNVGPFGILVLASKDLTEQTAIFFRVFRSKDNYVVLMCSDQSRSSVEEGPQKTIYGAFIDIDPLNEKISLRSLVCYICFNTSIITFAKFSID >KJB65538 pep chromosome:Graimondii2_0_v6:10:17556748:17559548:-1 gene:B456_010G099400 transcript:KJB65538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLKLEVTMEKSVVLVIGFWLLLLGNGVQSHRQDKKHQSLPRDQPYRTGYHFQPPKNWMNDPNGPMYYKGVYHLFYQYNPYAAVWGNITWAHSISYDLVNWINLDIALSPGDPFDINGCWSGSTTFLSGEKPVILYTGSDTMNRQVQNLAEPKNLSDPLLREWVKSSHNPLMSPVDGIDPKNFRDPTTAWQGPDGLWRVLVGNEMDGHGRALLYRSQDFITWSQSKEPIHSSTRTGMWECPDFYPVSIDGKNGVETSSLDKFTKQVLKASFDNSDHYVLGNYTAVTDNFLVDTDFLDNGSDLRYDYGNFYASKTFFDSGKKRRILWGWILESDNTTDDVKKGWSGLQSIPRTILLSKTGKQLIQWPLEEIEKQRIIKVSFENKELKGGSVLEVSGITASQADVEVSFSLSSFKEAELMDPSWVDPKLLCSQKTASVRSNVGPFGILVLASKDLTEQTAIFFRVFRSKDNYVVLMCSDQSRSSVEEGPQKTIYGAFIDIDPLNEKISLRSLIDHSIVESFGGEGRACITARVYPKLAIDNQAYLYAFNNGTLDVNIPTLNAWSMKNAQIVSSTKRRKPNLN >KJB65541 pep chromosome:Graimondii2_0_v6:10:17556774:17559376:-1 gene:B456_010G099400 transcript:KJB65541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLKLEVTMEKSVVLVIGFWLLLLGNGVQSHRQDKKHQSLPRDQPYRTGYHFQPPKNWMNDPNGPMYYKGVYHLFYQYNPYAAVWGNITWAHSISYDLVNWINLDIALSPGDPFDINGCWSGSTTFLSGEKPVILYTGSDTMNRQVQNLAEPKNLSDPLLREWVKSSHNPLMSPVDGIDPKNFRDPTTAWQGPDGLWRVLVGNEMDGHGRALLYRSQDFITWSQSKEPIHSSTRTGMWECPDFYPVSIDGKNGVETSSLDKFTKQVLKASFDNSDHYVLGNYTAVTDNFLVDTDFLDNGSDLRYDYGNFYASKTFFDSGKKRRILWGWILESDNTTDDVKKGWSGLQSIPRTILLSKTGKQLIQWPLEEIEKQRIIKVSFENKELKGGSVLEVSGITASQFVQL >KJB65311 pep chromosome:Graimondii2_0_v6:10:13565665:13568062:-1 gene:B456_010G088700 transcript:KJB65311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPSWLVLSIAWLASLAFLSKIFIGWRCRQLKFPPGPNPWPIIGNLNLIGSLPHQSLHKLSQLYGPLMQLKFGSYPVVVASSAEMAKEFLKKHDHIFASRPQTAAGKYTTYNYCNITWAPYGPYWRQGRKIYLHELFSSKRLESFVYIRVEEMQAFVSRLYRLSGKPIGVKEQLSRVTLSIISRIVLGKKYFSEKSDDRLGSNSIVSLQEFQEILDELFLLNGVLNIGDWIPWLDFLDLQGYVKRMKALKKKLDPFHDHVFDEHKQKKKEFSKDFVPQDMVDLLLQLADDPDSEVKLTYDGVRGFTQDLIAGGTDTSATTVEWAISELIRQPQLIRQATEELDRVIGRERWVEERDIPQLPYIDAIMKETMRKHPVSVLLAPHLALEDCNVAGYHIRKGTRVFVNTWSIGRDPLLWEQPEEFRPERFIGRKIDVKGQNFELLPFGSGRRMCPGYSLGLKMIQSSLANLLHGFNWKLPDNTKAEDLSMDEVYGLATPRKFPLVAVIEPRLPLHLYI >KJB65310 pep chromosome:Graimondii2_0_v6:10:13558244:13560048:1 gene:B456_010G088600 transcript:KJB65310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTEVIFLAQLRHPHLVKLIGYCCEEEHRLLVYEYMPRGSLENQLFRRYSVSLPWATRMKIALGAAKGLAYLHEAEKPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGDDTHVSTRVMGTEGYAAPEYVMTGHLTVMSDVYSFGVVLLELLTGRRSLDKKRSPREQNLVEWARPILNDARKLGRIMDPRLEGQYSEMGARKAAALAYQCLSQRPKQRPKMCDVVGILEPLQDYEDIPIGSFVYTVPNQSGSPKEEEKECESKKKETGHHQRNHKPHHHGHGHQNRSPKMSPIHSKNDTLESKLNSPLHHTARGA >KJB65309 pep chromosome:Graimondii2_0_v6:10:13558243:13560173:1 gene:B456_010G088600 transcript:KJB65309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMKITWRSIIPSCSKGVVKPEGKPKKDVSKQGSFTRFAMLDLSYPSSMLSEDLSTSLAGSNLHVFTLGELRLITNSFSSANFLGQGGFGPVHKGFIDDKLRPGLKAQSVAVKLLDLEGLQGHREWLTEVIFLAQLRHPHLVKLIGYCCEEEHRLLVYEYMPRGSLENQLFRRYSVSLPWATRMKIALGAAKGLAYLHEAEKPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGDDTHVSTRVMGTEGYAAPEYVMTGHLTVMSDVYSFGVVLLELLTGRRSLDKKRSPREQNLVEWARPILNDARKLGRIMDPRLEGQYSEMGARKAAALAYQCLSQRPKQRPKMCDVVGILEPLQDYEDIPIGSFVYTVPNQSGSPKEEEKECESKKKETGHHQRNHKPHHHGHGHQNRSPKMSPIHSKNDTLESKLNSPLHHTARGA >KJB63245 pep chromosome:Graimondii2_0_v6:10:51828234:51828446:1 gene:B456_010G1767001 transcript:KJB63245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SCMPEKPRTVVAGSTDPATNQQVHHQIAITTGNITAATESRFSLRRNSFRRYPPPWLLDPKRILMLFATL >KJB63246 pep chromosome:Graimondii2_0_v6:10:51828232:51828783:1 gene:B456_010G1767001 transcript:KJB63246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SCMPEKPRTVVAGSTDPATNQQVHHQIAITTGNITAATESRFSLRRNSFRRYPPPWLLDPKRILMLFATLSSMGTILLIYFTLSIRKTNGDENGLD >KJB63244 pep chromosome:Graimondii2_0_v6:10:51828232:51828750:1 gene:B456_010G1767001 transcript:KJB63244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SCMPEKPRTVVAGSTDPATNQQVHHQIAITTGNITAATESRFSLRRNSFRRYPPPWLLDPKRILMLFATL >KJB63247 pep chromosome:Graimondii2_0_v6:10:51828247:51828750:1 gene:B456_010G1767001 transcript:KJB63247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPRTVVAGSTDPATNQQVHHQIAITTGNITAATESRFSLRRNSFRRYPPPWLLDPKRILMLFATLSSMGTILLIYFTLSIRKTNGDENGLD >KJB68363 pep chromosome:Graimondii2_0_v6:10:61024857:61030098:-1 gene:B456_010G240900 transcript:KJB68363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAVRVLKDANGDNISDHLKNHIHLTNCIHLKNHMRHKHSPIMSDRSLVRDLMILQRSRSLRDPSASPPYWHSPLVADLLSKKVDKDGFYREGRRSVGVERPRSGRRFSVSSPALPNFATSKVVPGEGSGSDHSSKSGDRDNQRSRRDHRTDVLGENNEPVLEQDGNCLSPDAISGGSGLNDRSKNRKGKQTQGIRVKTLSEQLNDLPVDSDDVLSSKGDFHGRHEKTSEKPEVSVRGHSSGLDKVKRRRFRGARRSRAAPSQEVGGRNELSVASNLFARGSMRTKYGTEEEANEYDPQNITKAPRNGCGVPFNWSRIHQRGKTFLDMAGRSFSCGLSDSRLRKRGAGFHGRNSSEIPVESDQSSSSAKFNAEALPLLIEASGSQDSTDNACWVHDYSGELGIFADNLLKGNIDSDLASEARSSDQLKLVRNGQCRHQNITQKYMPRTFRDLVGQNLVSQALSNAVMKRKVGLLYVFYGPHGTGKTSCSRIFARALNCQSLEQPKPCGFCDSCISHDMGKSCNIKEVGPVSNFDFESIMDLLDNMNNSQLPSQYRVFIFDDCDTLSPDCWSAILKVIDRAPRHVVFILVSSSLDILPHIIMSRCQKFFFPKLKDADIIYTLQWIASRENIRIEKDALKLIASRSDGSLRDAEMTLEQLSLLGQKISVLLVQELVGLISDEKLVDLLDLALSADTVNTVKSLRVIIETGVEPLALMSQLATVITDILASSYDFTKERHRRKFFRRQPLSKEDMEKLRQALKTLSKAEKQLRMSNDKLTWLTAALLQLAPDQQYILPISSGDTSSHHSPLPLSVTDGTDIPEKGLELVLLRNNTRDLSTNVRSENLYARSPGDFKTSTGKRHQKLVKDHTRIEKIWLEVLDNIQVSSLKEFLYQEGKLISVSFGAAPTIQLMFSSHKTKSKAEKFRGHILQAFESILGSPLTIEIRCEMNKDATAGFHGLLVLPSSRDGPSHMIMDLESNSRNRIHEAGFHDTSKRVMRERDSGMHRKSPEAGRSEIVEIPVSPGEAKDNEHAAYQELMLASSSGRRKPGELTPSQSIVRSKVSIAHVIHHGDGCTQENRWSNHKAVSIAEKLEQENLRLEPRSRSLLCWKTSKATRRKRLKIRTRRPALLLKLVSCGRCLSSKSPR >KJB67700 pep chromosome:Graimondii2_0_v6:10:57000582:57005252:-1 gene:B456_010G204000 transcript:KJB67700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSDPNKSNLNWIWFVKEAFSPIELGIKKAAKDLESCWGVSNGKRKKIELIAPLNGSDSDWNGTVQIFGVKNRIGVNNRSSRVGSEERMKGLSIKVPMDVFIGMFLPENELNDKKVKAVRKGLKGKDVDTVEGTCMNPLLFAANWSVFVNRFVQAIPSSFESGKEWIRKMDENKGRLSSCIHDMESKAPFEFKPRESRAQVFTRNEGLEHNHGQKDVSFECFIGFAFDQLTQNLQKLDYLKAVASILDGRKVDVNGFLGNLKFARVGGVPSGIAGVASSVNAEGEDGVSIGSSEETGGNSSQKLADQMLSVPLSNVERLRTTLPAVTLTELTELLPPLSQSSLDHPDKKKLFSVQEFFRYTEFEGRRFFEELDRDGDGQVTLEDLEVAMRKRKLPLTYSREFMRRARRNPFSKSFGWKQFLSLMERKEPTILRAYTSLCLSESGTLHKSEILVSLKNAGLPANEHNAVAMMQCLNMDTEESVSYGHFRNFMLLLPSDRLLQDDPRNIWFKAATAVAVAPAAEIQRESVLKSAFAGGLSCAFSSAVMHPVDTVKTQVQASTTLTFPEIMSKIPQIGLRGLYKGSIPAILGQFSSHGLRTGICEVSKLVLINVAPNLPDIQVESMASFFSTVLGTVARLPCEVLKQRLQAGLYDNVGEALIGTWQQDGLKGFFRGTGATLCRELPFYVAGMGLYTESKKFVQRLIRRELEPWETVVVGAISGGLASVTTTPFDVIKTRMVTTSGARNVSMSAVAFSILRHEGPLALFKGAIPRFFWIAPLGAMNFAGYELLRKAMDGNKDVARDQVSEEKSVSSR >KJB67699 pep chromosome:Graimondii2_0_v6:10:57000315:57005975:-1 gene:B456_010G204000 transcript:KJB67699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSDPNKSNLNWIWFVKEAFSPIELGIKKAAKDLESCWGVSNGKRKKIELIAPLNGSDSDWNGTVQIFGVKNRIGVNNRSSRVGSEERMKGLSIKVPMDVFIGMFLPENELNDKKVKAVRKGLKGKDVDTVEGTCMNPLLFAANWSVFVNRFVQAIPSSFESGKEWIRKMDENKGRLSSCIHDMESKAPFEFKPRESRAQVFTRNEGLEHNHGQKDVSFECFIGFAFDQLTQNLQKLDYLKAVASILDGRKVDVNGFLGNLKFARVGGVPSGIAGVASSVNAEGEDGVSIGSSEETGGNSSQKLADQMLSVPLSNVERLRTTLPAVTLTELTELLPPLSQSSLDHPDKKKLFSVQEFFRYTEFEGRRFFEELDRDGDGQVTLEDLEVAMRKRKLPLTYSREFMRRARRNPFSKSFGWKQFLSLMERKEPTILRAYTSLCLSESGTLHKSEILVSLKNAGLPANEHNAVAMMQCLNMDTEESVSYGHFRNFMLLLPSDRLLQDDPRNIWFKAATAVAVAPAAEIQRESVLKSAFAGGLSCAFSSAVMHPVDTVKVQASTTLTFPEIMSKIPQIGLRGLYKGSIPAILGQFSSHGLRTGICEVSKLVLINVAPNLPDIQVESMASFFSTVLGTVARLPCEVLKQRLQAGLYDNVGEALIGTWQQDGLKGFFRGTGATLCRELPFYVAGMGLYTESKKFVQRLIRRELEPWETVVVGAISGGLASVTTTPFDVIKTRMVTTSGARNVSMSAVAFSILRHEGPLALFKGAIPRFFWIAPLGAMNFAGYELLRKAMDGNKDVARDQVSEEKSVSSR >KJB65710 pep chromosome:Graimondii2_0_v6:10:20647313:20649613:1 gene:B456_010G109700 transcript:KJB65710 gene_biotype:protein_coding transcript_biotype:protein_coding description:PERK14 [Source:Projected from Arabidopsis thaliana (AT4G32710) UniProtKB/TrEMBL;Acc:A0A178V367] MWELWARHYNMLLTPSLSPSSPVDSPPPLPPPPLPVVLPPLPPVPPPTPASASPPPLLASHLAPISTPPPIPAAVAISPVTTPTPAVVTSAPPPSPTPPAAATKITPLASPPLPPPPLVIIPSPLLPKSSPPPPQQPSKPLPSTPLPTAPPRPPSVVLPPPPAASRSTRVPKTPTPFRAFPLPPPLPLPLPLPPPTSTPPPLPPAASHSIGAPKTPGFFQAFPPPAPPPPPPALALTASKGGLAATKHRPQSPIGLIVASVGVAVLLFVVFALVCICFKGRRRKHKPSDTKIQQCSSVTKDGPSAASMQQKQQNVTNSATVAADTALNPSSGIFTYEELVIATNGFSESNLIGQGGFGYVYKGRLLTGQDVAVKKLKAGSRQGEREFRAEVETISRVHHKHLVSLVGYCINGAERLLVYEFVPNRTLEFHLHENRQPVIVWESRLKIAIGSAKGLAYLHEDCSPTIIHRDIKAANILLDMRFEAKVSDFGLAKIFFDESPSITHISTRVMGTFGLGPFFSHPIPFLFLIL >KJB65709 pep chromosome:Graimondii2_0_v6:10:20647142:20653840:1 gene:B456_010G109700 transcript:KJB65709 gene_biotype:protein_coding transcript_biotype:protein_coding description:PERK14 [Source:Projected from Arabidopsis thaliana (AT4G32710) UniProtKB/TrEMBL;Acc:A0A178V367] MVYVSKNGFINSATKTKNKFSKTLSSYEIHHSEDKTFYCTLICMWELWARHYNMLLTPSLSPSSPVDSPPPLPPPPLPVVLPPLPPVPPPTPASASPPPLLASHLAPISTPPPIPAAVAISPVTTPTPAVVTSAPPPSPTPPAAATKITPLASPPLPPPPLVIIPSPLLPKSSPPPPQQPSKPLPSTPLPTAPPRPPSVVLPPPPAASRSTRVPKTPTPFRAFPLPPPLPLPLPLPPPTSTPPPLPPAASHSIGAPKTPGFFQAFPPPAPPPPPPALALTASKGGLAATKHRPQSPIGLIVASVGVAVLLFVVFALVCICFKGRRRKHKPSDTKIQQCSSVTKDGPSAASMQQKQQNVTNSATVAADTALNPSSGIFTYEELVIATNGFSESNLIGQGGFGYVYKGRLLTGQDVAVKKLKAGSRQGEREFRAEVETISRVHHKHLVSLVGYCINGAERLLVYEFVPNRTLEFHLHENRQPVIVWESRLKIAIGSAKGLAYLHEDCSPTIIHRDIKAANILLDMRFEAKVSDFGLAKIFFDESPSITHISTRVMGTFGYLAPEYVLTGKLTDKSDVYSYGVMLLELITGRPPIIQQSSSTNHSLVDWARPLLGGAIEANEYESLVDKRLNGAYNKSQMANMVTCAAACLRQSAWLRPRMIQVLFVHNGKDYQQYVLIIILCNYNKMVFAYTSHISMFHQSAQLDYSEERP >KJB65802 pep chromosome:Graimondii2_0_v6:10:21749124:21753654:-1 gene:B456_010G113600 transcript:KJB65802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQLLIFTRGGLILWTCKELGNALKGSPIDTLIRSCLLEERSGAASYSYDAPGASYTLKWTFHNELGLVFVAVYQRILHLLYVDELLAMVRREFSEIYDPKRTVYNDFDETFRQLRREAEARAEELKKSKQTDKPVNNKKKQGQVQKAGSDKGSKKSEGSLATDGGDGDKAKGHKLENGHSNGNHVEMEEPRAGVVNGKENTSSNISAFDVSKLQKRTKGGKKTDTVVNKGSKPDPKKKATKKNRVWDDAPPETKLDFTDPVDGNGSGNDNIEVVAANQGESMMDVEEIISSDSEGEVDDNVQKDSKPEAKKKGWFSSMFQSIAGKANLEKEDLEPALKALKDGLMTKNVAEEIAEKLCESVAASLEGKKLASFTRVSSTVQAAMEDALVRILTPRRSIDILRDVHAAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHNVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSPTPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >KJB66397 pep chromosome:Graimondii2_0_v6:10:32928476:32931391:1 gene:B456_010G138600 transcript:KJB66397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNIVLLYYHVPFLTIYGCCFQNFGSRTDTVFCGVFDGHGPYGHMVAKKVRDHLPLKLSAHWEVNISSEDVLREISLNTAGSVNSEETALISADKDSRASVDLDVTEKNPDIFKTLKESFLKAFKVMDRELRLHTNIDCFCSGTTAVTLVKQGPYLVVGNVGDSRAVLATRDKDNSLKAVQLTVDLKPNLPAEAERIRNCKGCVFALHDEPTVARVWLPNNDAPGLAMARAFGDFFLKDFGLISVPEISCRCLSEEDEFIVLATDGIWDVLSNKEVIDIIEYAPACSSAARTLVQTAVRAWRYKYLTTKVDDCAVVCLFLDSNLSNLSYASNT >KJB66392 pep chromosome:Graimondii2_0_v6:10:32926796:32929718:1 gene:B456_010G138600 transcript:KJB66392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSAESRSPLSGSPLSPHPAFDYRKKRNSRKKPGSVDFRKEEQLHRIPGRLFLNGSSGVASLYTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKKVRDHLPLKLSAHWEVNISSEDVLREISLNTAGSVNSEETALISADKDSRASVDLDVTEKNPDIFKTLKESFLKAFKVMDRELRLHTNIDCFCSGTTAVTLVKQGPYLVVGNVGDSRAVLATRDKDNSLKAVQLTVDLKPNLPGVFVCFSLSTLHPYVQLYSCSLFYCFVLSFKLKLMIGKRNSDSHFGTFDDLDFLC >KJB66394 pep chromosome:Graimondii2_0_v6:10:32927611:32930509:1 gene:B456_010G138600 transcript:KJB66394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSAESRSPLSGSPLSPHPAFDYRKKRNSRKKPGSVDFRKEEQLHRIPGRLFLNGSSGVASLYTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKKVRDHLPLKLSAHWEVNISSEDVLREISLNTAGSVNSEETALISADKDSRASVDLDVTEKNPDIFKTLKESFLKAFKVMDRELRLHTNIDCFCSGTTAVTLVKQGPYLVVGNVGDSRAVLATRDKDNSLKAVQLTVDLKPNLPAEAERIRNCKGCVFALHDEPTVARVWLPNNDAPGLAMARAFGDFFLKDFGLISVPEISCRCLSEEDEFIVLATDGVKEPTWILIQNTDI >KJB66391 pep chromosome:Graimondii2_0_v6:10:32926757:32931406:1 gene:B456_010G138600 transcript:KJB66391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSAESRSPLSGSPLSPHPAFDYRKKRNSRKKPGSVDFRKEEQLHRIPGRLFLNGSSGVASLYTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKKVRDHLPLKLSAHWEVNISSEDVLREISLNTAGSVNSEETALISADKDSRASVDLDVTEKNPDIFKTLKESFLKAFKVMDRELRLHTNIDCFCSGTTAVTLVKQGPYLVVGNVGDSRAVLATRDKDNSLKAVQLTVDLKPNLPAEAERIRNCKGCVFALHDEPTVARVWLPNNDAPGLAMARAFGDFFLKDFGLISVPEISCRCLSEEDEFIVLATDGIWDVLSNKEVIDIIEYAPACSSAARTLVQTAVRAWRYKYLTTKVDDCAVVCLFLDSNLSNLSYASNT >KJB66395 pep chromosome:Graimondii2_0_v6:10:32926796:32931391:1 gene:B456_010G138600 transcript:KJB66395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSAESRSPLSGSPLSPHPAFDYRKKRNSRKKPGSVDFRKEEQLHRIPGRLFLNGSSGVASLYTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKKVRDHLPLKLSAHWEVNISSEDVLREISLNTAGSVNSEETALISADKDSRASVDLDVTEKNPDIFKTLKESFLKAFKVMDRELRLHTNIDCFCSGTTAVTLVKQGPYLVVGNVGDSRAVLATRDKDNSLKAVQLTVDLKPNLPAEAERIRNCKGCVFALHDEPTVARVWLPNNDAPGLAMARAFGDFFLKDFGLISVPEISCRCLSEEDEFIVLATDGVKEPTWILIQNTDI >KJB66396 pep chromosome:Graimondii2_0_v6:10:32926791:32931406:1 gene:B456_010G138600 transcript:KJB66396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGYWGCGCLAVLECILSIIRALQMGSCFSAESRSPLSGSPLSPHPAFDYRKKRNSRKKPGSVDFRKEEQLHRIPGRLFLNGSSGVASLYTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKKVRDHLPLKLSAHWEVNISSEDVLREISLNTAGSVNSEETALISADKDSRASVDLDVTEKNPDIFKTLKESFLKAFKVMDRELRLHTNIDCFCSGTTAVTLVKQGPYLVVGNVGDSRAVLATRDKDNSLKAVQLTVDLKPNLPAEAERIRNCKGCVFALHDEPTVARVWLPNNDAPGLAMARAFGDFFLKDFGLISVPEISCRCLSEEDEFIVLATDGIWDVLSNKEVIDIIEYAPACSSAARTLVQTAVRAWRYKYLTTKVDDCAVVCLFLDSNLSNLSYASNT >KJB66393 pep chromosome:Graimondii2_0_v6:10:32926796:32931391:1 gene:B456_010G138600 transcript:KJB66393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSAESRSPLSGSPLSPHPAFDYRKKRNSRKKPGSVDFRKEEQLHRIPGRLFLNGSSGVASLYTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKKVRDHLPLKLSAHWEVNISSEDVLREISLNTAGSVNSEETALISADKDSRASVDLDVTEKNPDIFKTLKESFLKAFKVMDRELRLHTNIDCFCSGTTAVTLVKQGPYLVVGNVGDSRAVLATRDKDNSLKAVQLTVDLKPNLPAEAERIRNCKGCVFALHDEPTVARVWLPNNDAPGLAMARAFGDFFLKDFGLISVPEISCRCLSEEDEFIVLATDGIWDVLSNKEVIDIIEYAPACSSAARTLVQTAVRAWRYKYLTTKVDDCAVVCLFLDSNLSNLSYASNT >KJB66390 pep chromosome:Graimondii2_0_v6:10:32926796:32931391:1 gene:B456_010G138600 transcript:KJB66390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSAESRSPLSGSPLSPHPAFDYRKKRNSRKKPGSVDFRKEEQLHRIPGRLFLNGSSGVASLYTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKKVRDHLPLKLSAHWEVNISSEDVLREISLNTAGSVNSEETALISADKDSRASVDLDVTEKNPDIFKTLKESFLKAFKVMDRELRLHTNIDCFCSGTTAVTLVKQGPYLVVGNVGDSRAVLATRDKDNSLKAVQLTVDLKPNLPAEAERIRNCKGCVFALHDEPTVARVWLPNNDAPGLAMARAFGDFFLKDFGLISVPEISCRCLSEEDEFIVLATDGIWDVLSNKEVIDIIEYAPACSSAARTLVQTAVRAWRYKYLTTKVDDCAVVCLFLDSNLSNLSYASNT >KJB68649 pep chromosome:Graimondii2_0_v6:10:62156394:62165277:-1 gene:B456_010G256900 transcript:KJB68649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGSEGENNQISNPNKNISSSNEGNAKPKRQMKTPFQLEALEKAYALETYPSEATRAELSEKLGLSDRQLQMWFCHRRLKEKKDNPTKKQRKGAALPPESPVDELRAVPGPDYGSGSGSGSSPYMDTRKLGGSSSRGMMEDAPTVRRYYESQQSIMELRAIACVEAQLGEPLRDDGPMLGIEFDPLPPDAFGAIPEPHNRTGHPYESKVYERHDGRSSKAAARAFHEYQFLPEHSSIRSDAYGLVIQSHFHESPVSGSRGRATSFVHGEEPLSRIHGIQERESFTNGRNAPTICNPVLGSEDSYMLSAEQTLNNDAEPRIDRKRKVSSLSDENRIAREVEAHENRIRKELEKLEHKRRKSEERMRKEMERHERERRKEEERLMREKQREEERTQREQKREMERRQKFLQKEYLRAEKKRQKEELRREREEERRRVAREKATARKIAKESMDLLEDEQLELMELAAARKGMHSIIHLDHDTLQNLESFRDSLSVFPPKSVQLKRPFDIQPWIDSEENVGNLLMAWRFLITFADILRLWPFTLDEFVQAFHDYDSRLLGEIHVALLRSIIKDIEDVARTPATGLGMNQYCAANPEGGHLQIVEGAYSWGFDIRNWQHHLNPLTWPEIFRQLAISAGFGPQLKKQNATWTYTGDNDEGKGCTDVVSTLRNGSAAENAFALMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDAKLFERIAPSTYCVRPAYRKDPADAEAILATARKKIRQFENGFLGAEDADEVERDEVERDDVERDEDSECDVDEDPEVDDIATPSNANKDADYPKDEVNTCLGSKKVLASADDDLDVPAEFDKDFPSFPSNTVKVDNDPSNTGQHVAGEENGTGNPDQQNIEIDESKSGESWIQGLSEGEYSHLSVEERLNALVALIGIANEGNSIRAVLEDRLEAANALKKQMWAEAQLDKSRLKEECIIKMDFPPVMGIKTEAQLPNSAVEGSRSPFPVADNKIDEASPSIPEDQKPLLCSQNVQNDLNSYPAERALVLQDASMGPDNFSAQQHGYASKRSRSQLKSYIAHRAEEMYVYRSLPLGQDRRRNRYWQFVASASKNDPCSGRIFVELHDGNWRLIDSEEAFDALLASLDVRGIRESHLRIMLQKIESSFKENVRRNLHSARAMGQSGSSSENEVSEIDSSPDFTGSYDSPRSGICGLNSDALETLPAFKIQLGTNENERKSAMKRYQDFQRWMWNECCNSSTLCAMKYEKKRSTQLLAVCDTCLGSHMPEDVHCSYCHQTFRAFNNNFSFYEHEILCKENRKLDNKDKHTLDSSLPMGINLLKSFCALVEVSIPPEALDSMWTEGQRKMWGRKLNASSSANELLKLLTQLESAIKRDYLSSNFETTRELLGSSFQSENDSSTDSVLPWIPQITPAVALRLLELDSSIMYVKQEKVEPPENREARASYIKLPSRTTLFIKNKELELKELDQDGPMKEENFADLSNSKRSSYKRGRGAREQGSGRKWQRKASGSKSDIGKQSARENNNLSFHLKQQSQRTGQSSGRGRRTVRKRAERRVANSTIVTQMGDMVKPKSNTVSLRDLDEEWRTERFGMVETVNPPDSNSTEEESDDNGQGEGYEQGNWELDLNGASNGWNREPIEASYEDDDAYEDDNGFEQMVEEESEGDLEMSDASDDVPNETRNDDGSDSADSEDYSD >KJB68651 pep chromosome:Graimondii2_0_v6:10:62156195:62165576:-1 gene:B456_010G256900 transcript:KJB68651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGSEGENNQISNPNKNISSSNEGNAKPKRQMKTPFQLEALEKAYALETYPSEATRAELSEKLGLSDRQLQMWFCHRRLKEKKDNPTKKQRKGAALPPESPVDELRAVPGPDYGSGSGSGSSPYMDTRKLGGSSSRGMMEDAPTVRRYYESQQSIMELRAIACVEAQLGEPLRDDGPMLGIEFDPLPPDAFGAIPEPHNRTGHPYESKVYERHDGRSSKAAARAFHEYQFLPEHSSIRSDAYGLVIQSHFHESPVSGSRGRATSFVHGEEPLSRIHGIQERESFTNGRNAPTICNPVLGSEDSYMLSAEQTLNNDAEPRIDRKRKSDENRIAREVEAHENRIRKELEKLEHKRRKSEERMRKEMERHERERRKEEERLMREKQREEERTQREQKREMERRQKFLQKEYLRAEKKRQKEELRREREEERRRVAREKATARKIAKESMDLLEDEQLELMELAAARKGMHSIIHLDHDTLQNLESFRDSLSVFPPKSVQLKRPFDIQPWIDSEENVGNLLMAWRFLITFADILRLWPFTLDEFVQAFHDYDSRLLGEIHVALLRSIIKDIEDVARTPATGLGMNQYCAANPEGGHLQIVEGAYSWGFDIRNWQHHLNPLTWPEIFRQLAISAGFGPQLKKQNATWTYTGDNDEGKGCTDVVSTLRNGSAAENAFALMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDAKLFERIAPSTYCVRPAYRKDPADAEAILATARKKIRQFENGFLGAEDADEVERDEVERDDVERDEDSECDVDEDPEVDDIATPSNANKDADYPKDEVNTCLGSKKVLASADDDLDVPAEFDKDFPSFPSNTVKVDNDPSNTGQHVAGEENGTGNPDQQNIEIDESKSGESWIQGLSEGEYSHLSVEERLNALVALIGIANEGNSIRAVLEDRLEAANALKKQMWAEAQLDKSRLKEECIIKMDFPPVMGIKTEAQLPNSAVEGSRSPFPVADNKIDEASPSIPEDQKPLLCSQNVQNDLNSYPAERALVLQDASMGPDNFSAQQHGYASKRSRSQLKSYIAHRAEEMYVYRSLPLGQDRRRNRYWQFVASASKNDPCSGRIFVELHDGNWRLIDSEEAFDALLASLDVRGIRESHLRIMLQKIESSFKENVRRNLHSARAMGQSGSSSENEVSEIDSSPDFTGSYDSPRSGICGLNSDALETLPAFKIQLGTNENERKSAMKRYQDFQRWMWNECCNSSTLCAMKYEKKRSTQLLAVCDTCLGSHMPEDVHCSYCHQTFRAFNNNFSFYEHEILCKENRKLDNKDKHTLDSSLPMGINLLKSFCALVEVSIPPEALDSMWTEGQRKMWGRKLNASSSANELLKLLTQLESAIKRDYLSSNFETTRELLGSSFQSENDSSTDSVLPWIPQITPAVALRLLELDSSIMYVKQEKVEPPENREARASYIKLPSRTTLFIKNKELELKELDQDGPMKEENFADLSNSKRSSYKRGRGAREQGSGRKWQRKASGSKSDIGKQSARENNNLSFHLKQQSQRTGQSSGRGRRTVRKRAERRVANSTIVTQMGDMVKPKSNTVSLRDLDEEWRTERFGMVETVNPPDSNSTEEESDDNGQGEGYEQGNWELDLNGASNGWNREPIEASYEDDDAYEDDNGFEQMVEEESEGDLEMSDASDDVPNETRNDDGSDSADSEDYSD >KJB68650 pep chromosome:Graimondii2_0_v6:10:62156078:62165567:-1 gene:B456_010G256900 transcript:KJB68650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGSEGENNQISNPNKNISSSNEGNAKPKRQMKTPFQLEALEKAYALETYPSEATRAELSEKLGLSDRQLQMWFCHRRLKEKKDNPTKKQRKGAALPPESPVDELRAVPGPDYGSGSGSGSSPYMDTRKLGGSSSRGMMEDAPTVRRYYESQQSIMELRAIACVEAQLGEPLRDDGPMLGIEFDPLPPDAFGAIPEPHNRTGHPYESKVYERHDGRSSKAAARAFHEYQFLPEHSSIRSDAYGLVIQSHFHESPVSGSRGRATSFVHGEEPLSRIHGIQERESFTNGRNAPTICNPVLGSEDSYMLSAEQTLNNDAEPRIDRKRKVSSLSDENRIAREVEAHENRIRKELEKLEHKRRKSEERMRKEMERHERERRKEEERLMREKQREEERTQREQKREMERRQKFLQKEYLRAEKKRQKEELRREREEERRRVAREKATARKIAKESMDLLEDEQLELMELAAARKGMHSIIHLDHDTLQNLESFRDSLSVFPPKSVQLKRPFDIQPWIDSEENVGNLLMAWRFLITFADILRLWPFTLDEFVQAFHDYDSRLLGEIHVALLRSIIKDIEDVARTPATGLGMNQYCAANPEGGHLQIVEGAYSWGFDIRNWQHHLNPLTWPEIFRQLAISAGFGPQLKKQNATWTYTGDNDEGKGCTDVVSTLRNGSAAENAFALMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDAKLFERIAPSTYCVRPAYRKDPADAEAILATARKKIRQFENGFLGAEDADEVERDEVERDDVERDEDSECDVDEDPEVDDIATPSNANKDADYPKDEVNTCLGSKKVLASADDDLDVPAEFDKDFPSFPSNTVKVDNDPSNTGQHVAGEENGTGNPDQQNIEIDESKSGESWIQGLSEGEYSHLSVEERLNALVALIGIANEGNSIRAVLEDRLEAANALKKQMWAEAQLDKSRLKEECIIKMDFPPVMGIKTEAQLPNSAVEGSRSPFPVADNKIDEASPSIPEDQKPLLCSQNVQNDLNSYPAERALVLQDASMGPDNFSAQQHGYASKRSRSQLKSYIAHRAEEMYVYRSLPLGQDRRRNRYWQFVASASKNDPCSGRIFVELHDGNWRLIDSEEAFDALLASLDVRGIRESHLRIMLQKIESSFKENVRRNLHSARAMGQSGSSSENEVSEIDSSPDFTGSYDSPRSGICGLNSDALETLPAFKIQLGTNENERKSAMKRYQDFQRWMWNECCNSSTLCAMKYEKKRSTQLLAVCDTCLGSHMPEDVHCSYCHQTFRAFNNNFSFYEHEILCKENRKLDNKDKHTLDSSLPMGINLLKSFCALVEVSIPPEALDSMWTEGQRKMWGRKLNASSSANELLKLLTQLESAIKRDYLSSNFETTRELLGSSFQSENDSSTDSVLPWIPQITPAVALRLLELDSSIMYVKQEKVEPPENREARASYIKLPSRTTLFIKNKELELKELDQDGPMKEENFADLSNSKRSSYKRGRGAREQGSGRKWQRKASGSKSDIGKQSARENNNLSFHLKQQSQRTGQSSGRGRRTVRKRAERRVANSTIVTQMGDMVKPKSNTVSLRDLDEEWRTERFGMVETVNPPDSNSTEEESDDNGQGEGYEQGNWELDLNGASNGWNREPIEASYEDDDAYEDDNGFEQMVEEESEGDLEMSDASDDVPNETRNDDGSDSADSEDYSD >KJB68653 pep chromosome:Graimondii2_0_v6:10:62156204:62165510:-1 gene:B456_010G256900 transcript:KJB68653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGSEGENNQISNPNKNISSSNEGNAKPKRQMKTPFQLEALEKAYALETYPSEATRAELSEKLGLSDRQLQMWFCHRRLKEKKDNPTKKQRKGAALPPESPVDELRAVPGPDYGSGSGSGSSPYMDTRKLGGSSSRGMMEDAPTVRRYYESQQSIMELRAIACVEAQLGEPLRDDGPMLGIEFDPLPPDAFGAIPEPHNRTGHPYESKVYERHDGRSSKAAARAFHEYQFLPEHSSIRSDAYGLVIQSHFHESPVSGSRGRATSFVHGEEPLSRIHGIQERESFTNGRNAPTICNPVLGSEDSYMLSAEQTLNNDAEPRIDRKRKSDENRIAREVEAHENRIRKELEKLEHKRRKSEERMRKEMERHERERRKEEERLMREKQREEERTQREQKREMERRQKFLQKEYLRAEKKRQKEELRREREEERRRVAREKATARKIAKESMDLLEDEQLELMELAAARKGMHSIIHLDHDTLQNLESFRDSLSVFPPKSVQLKRPFDIQPWIDSEENVGNLLMAWRFLITFADILRLWPFTLDEFVQAFHDYDSRLLGEIHVALLRSIIKDIEDVARTPATGLGMNQYCAANPEGGHLQIVEGAYSWGFDIRNWQHHLNPLTWPEIFRQLAISAGFGPQLKKQNATWTYTGDNDEGKGCTDVVSTLRNGSAAENAFALMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDAKLFERIAPSTYCVRPAYRKDPADAEAILATARKKIRQFENGFLGAEDADEVERDEVERDDVERDEDSECDVDEDPEVDDIATPSNANKDADYPKDEVNTCLGSKKVLASADDDLDVPAEFDKDFPSFPSNTVKVDNDPSNTGQHVAGEENGTGNPDQQNIEIDESKSGESWIQGLSEGEYSHLSVEERLNALVALIGIANEGNSIRAVLEDRLEAANALKKQMWAEAQLDKSRLKEECIIKMDFPPVMGIKTEAQLPNSAVEGSRSPFPVADNKIDEASPSIPEDQKPLLCSQNVQNDLNSYPAERALVLQDASMGPDNFSAQQHGYASKRSRSQLKSYIAHRAEEMYVYRSLPLGQDRRRNRYWQFVASASKNDPCSGRIFVELHDGNWRLIDSEEAFDALLASLDVRGIRESHLRIMLQKIESSFKENVRRNLHSARAMGQSGSSSENEVSEIDSSPDFTGSYDSPRSGICGLNSDALETLPAFKIQLGTNENERKSAMKRYQDFQRWMWNECCNSSTLCAMKYEKKRSTQLLAVCDTCLGSHMPEDVHCSYCHQTFRAFNNNFSFYEHEILCKENRKLDNKDKHTLDSSLPMGINLLKSFCALVEVSIPPEALDSMWTEGQRKMWGRKLNASSSANELLKLLTQLESAIKRDYLSSNFETTRELLGSSFQSENDSSTDSVLPWIPQITPAVALRLLELDSSIMYVKQEKVEPPENREARASYIKLPSRTTLFIKNKELELKELDQDGPMKEENFADLSNSKRSSYKRGRGAREQGSGRKWQRKASGSKSDIGKQSARENNNLSFHLKQQSQRTGQSSGRGRRTVRKRAERRVANSTIVTQMGDMVKPKSNTVSLRDLDEEWRTERFGMVETVNPPDSNSTEEESDDNGQGEGYEQGNWELDLNGASNGWNREPIEASYEDDDAYEDDNGFEQMVEEESEGDLEMSDASDDVPNETRNDDGSDSADSEDYSD >KJB68648 pep chromosome:Graimondii2_0_v6:10:62156078:62165454:-1 gene:B456_010G256900 transcript:KJB68648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGSEGENNQISNPNKNISSSNEGNAKPKRQMKTPFQLEALEKAYALETYPSEATRAELSEKLGLSDRQLQMWFCHRRLKEKKDNPTKKQRKGAALPPESPVDELRAVPGPDYGSGSGSGSSPYMDTRKLGGSSSRGMMEDAPTVRRYYESQQSIMELRAIACVEAQLGEPLRDDGPMLGIEFDPLPPDAFGAIPEPHNRTGHPYESKVYERHDGRSSKAAARAFHEYQFLPEHSSIRSDAYGLVIQSHFHESPVSGSRGRATSFVHGEEPLSRIHGIQERESFTNGRNAPTICNPVLGSEDSYMLSAEQTLNNDAEPRIDRKRKVSSLSDENRIAREVEAHENRIRKELEKLEHKRRKSEERMRKEMERHERERRKEEERLMREKQREEERTQREQKREMERRQKFLQKEYLRAEKKRQKEELRREREEERRRVAREKATARKIAKESMDLLEDEQLELMELAAARKGMHSIIHLDHDTLQNLESFRDSLSVFPPKSVQLKRPFDIQPWIDSEENVGNLLMAWRFLITFADILRLWPFTLDEFVQAFHDYDSRLLGEIHVALLRSIIKDIEDVARTPATGLGMNQYCAANPEGGHLQIVEGAYSWGFDIRNWQHHLNPLTWPEIFRQLAISAGFGPQLKKQNATWTYTGDNDEGKGCTDVVSTLRNGSAAENAFALMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDAKLFERIAPSTYCVRPAYRKDPADAEAILATARKKIRQFENGFLGAEDADEVERDEVERDDVERDEDSECDVDEDPEVDDIATPSNANKDADYPKDEVNTCLGSKKVLASADDDLDVPAEFDKDFPSFPSNTVKVDNDPSNTGQHVAGEENGTGNPDQQNIEIDESKSGESWIQGLSEGEYSHLSVEERLNALVALIGIANEGNSIRAVLEDRLEAANALKKQMWAEAQLDKSRLKEECIIKMDFPPVMGIKTEAQLPNSAVEGSRSPFPVADNKIDEASPSIPEDQKPLLCSQNVQNDLNSYPAERALVLQDASMGPDNFSAQQHGYASKRSRSQLKSYIAHRAEEMYVYRSLPLGQDRRRNRYWQFVASASKNDPCSGRIFVELHDGNWRLIDSEEAFDALLASLDVRGIRESHLRIMLQKIESSFKENVRRNLHSARAMGQSGSSSENEVSEIDSSPDFTGSYDSPRSGICGLNSDALETLPAFKIQLGTNENERKSAMKRYQDFQRWMWNECCNSSTLCAMKYEKKRSTQLLAVCDTCLGSHMPEDVHCSYCHQTFRAFNNNFSFYEHEILCKENRKLDNKDKHTLDSSLPMGINLLKSFCALVEVSIPPEALDSMWTEGQRKMWGRKLNASSSANELLKLLTQLESAIKRDYLSSNFETTRELLGSSFQSENDSSTDSVLPWIPQITPAVALRLLELDSSIMYVKQEKVEPPENREARASYILPSRTTLFIKNKELELKELDQDGPMKEENFADLSNSKRSSYKRGRGAREQGSGRKWQRKASGSKSDIGKQSARENNNLSFHLKQQSQRTGQSSGRGRRTVRKRAERRVANSTIVTQMGDMVKPKSNTVSLRDLDEEWRTERFGMVETVNPPDSNSTEEESDDNGQGEGYEQGNWELDLNGASNGWNREPIEASYEDDDAYEDDNGFEQMVEEESEGDLEMSDASDDVPNETRNDDGSDSADSEDYSD >KJB68652 pep chromosome:Graimondii2_0_v6:10:62156204:62165454:-1 gene:B456_010G256900 transcript:KJB68652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGSEGENNQISNPNKNISSSNEGNAKPKRQMKTPFQLEALEKAYALETYPSEATRAELSEKLGLSDRQLQMWFCHRRLKEKKDNPTKKQRKGAALPPESPVDELRAVPGPDYGSGSGSGSSPYMDTRKLGGSSSRGMMEDAPTVRRYYESQQSIMELRAIACVEAQLGEPLRDDGPMLGIEFDPLPPDAFGAIPEPHNRTGHPYESKVYERHDGRSSKAAARAFHEYQFLPEHSSIRSDAYGLVIQSHFHESPVSGSRGRATSFVHGEEPLSRIHGIQGQGSRALVLPQQGIIPSSSHVADDSFAERESFTNGRNAPTICNPVLGSEDSYMLSAEQTLNNDAEPRIDRKRKSDENRIAREVEAHENRIRKELEKLEHKRRKSEERMRKEMERHERERRKEEERLMREKQREEERTQREQKREMERRQKFLQKEYLRAEKKRQKEELRREREEERRRVAREKATARKIAKESMDLLEDEQLELMELAAARKGMHSIIHLDHDTLQNLESFRDSLSVFPPKSVQLKRPFDIQPWIDSEENVGNLLMAWRFLITFADILRLWPFTLDEFVQAFHDYDSRLLGEIHVALLRSIIKDIEDVARTPATGLGMNQYCAANPEGGHLQIVEGAYSWGFDIRNWQHHLNPLTWPEIFRQLAISAGFGPQLKKQNATWTYTGDNDEGKGCTDVVSTLRNGSAAENAFALMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDAKLFERIAPSTYCVRPAYRKDPADAEAILATARKKIRQFENGFLGAEDADEVERDEVERDDVERDEDSECDVDEDPEVDDIATPSNANKDADYPKDEVNTCLGSKKVLASADDDLDVPAEFDKDFPSFPSNTVKVDNDPSNTGQHVAGEENGTGNPDQQNIEIDESKSGESWIQGLSEGEYSHLSVEERLNALVALIGIANEGNSIRAVLEDRLEAANALKKQMWAEAQLDKSRLKEECIIKMDFPPVMGIKTEAQLPNSAVEGSRSPFPVADNKIDEASPSIPEDQKPLLCSQNVQNDLNSYPAERALVLQDASMGPDNFSAQQHGYASKRSRSQLKSYIAHRAEEMYVYRSLPLGQDRRRNRYWQFVASASKNDPCSGRIFVELHDGNWRLIDSEEAFDALLASLDVRGIRESHLRIMLQKIESSFKENVRRNLHSARAMGQSGSSSENEVSEIDSSPDFTGSYDSPRSGICGLNSDALETLPAFKIQLGTNENERKSAMKRYQDFQRWMWNECCNSSTLCAMKYEKKRSTQLLAVCDTCLGSHMPEDVHCSYCHQTFRAFNNNFSFYEHEILCKENRKLDNKDKHTLDSSLPMGINLLKSFCALVEVSIPPEALDSMWTEGQRKMWGRKLNASSSANELLKLLTQLESAIKRDYLSSNFETTRELLGSSFQSENDSSTDSVLPWIPQITPAVALRLLELDSSIMYVKQEKVEPPENREARASYIKLPSRTTLFIKNKELELKELDQDGPMKEENFADLSNSKRSSYKRGRGAREQGSGRKWQRKASGSKSDIGKQSARENNNLSFHLKQQSQRTGQSSGRGRRTVRKRAERRVANSTIVTQMGDMVKPKSNTVSLRDLDEEWRTERFGMVETVNPPDSNSTEEESDDNGQGEGYEQGNWELDLNGASNGWNREPIEASYEDDDAYEDDNGFEQMVEEESEGDLEMSDASDDVPNETRNDDGSDSADSEDYSD >KJB66218 pep chromosome:Graimondii2_0_v6:10:29661495:29665493:-1 gene:B456_010G133600 transcript:KJB66218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRIEGKNCVVTGANSGIGFATAEALASCGATVYMVCRNKEKGETALSKIQSSTGNPNVHLEVCDLSSISEIKSFASRFSSKDVPVHVLVNNAGLMEQKRVTTSEGFELNFAVNVLGTYATTELMLPLLEIASPDARVITVSSGGMYTTPLTSDLQFSDGKFSGAEQYARNKRVQVALTEKWAEMYKNKGIGFYSMHPGWAETPGVAKSLPDFNKWLSGKLRTSEEGADTVIWLALQPKEKLVSGGFYFDRAEAPKHLKFAATRQSHAIIDSIVDTLRSLV >KJB66220 pep chromosome:Graimondii2_0_v6:10:29661495:29665576:-1 gene:B456_010G133600 transcript:KJB66220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNATSHFEFHISITALIMFLLKTWRASAFGVYGYLNFTRNGFLEHSKKFKPEDMEIRIEGKNCVVTGANSGIGFATAEALASCGATVYMVCRNKEKGETALSKIQSSTGNPNVHLEVCDLSSISEIKSFASRFSSKDVPVHVLVNNAGLMEQKRVTTSEGCAFHFNYKNIRYIMCSVPSLKLRKKNRKKYLMSCLSYFQCASRFELNFAVNVLGTYATTELMLPLLEIASPDARVITVSSGGMYTTPLTSDLQFSDGKFSGAEQYARNKRVQVALTEKWAEMYKNKGIGFYSMHPGWAETPGVAKSLPDFNKWLSGKLRTSEEGADTVIWLALQPKEKLVSGGFYFDRAEAPKHLKFAATRQSHAIIDSIVDTLRSLV >KJB66222 pep chromosome:Graimondii2_0_v6:10:29661885:29664713:-1 gene:B456_010G133600 transcript:KJB66222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGATVYMVCRNKEKGETALSKIQSSTGNPNVHLEVCDLSSISEIKSFASRFSSKDVPVHVLVNNAGLMEQKRVTTSEGCAFHFNYKNIRYIMCSVPSLKLRKKNRKKYLMSCLSYFQCASRFELNFAVNVLGTYATTELMLPLLEIASPDARVITVSSGGMYTTPLTSDLQFSDGKFSGAEQYARNKRVQVALTEKWAEMYKNKGIGFYSMHPGWAETPGVAKSLPDFNKWLSGKLRTSEEGADTVIWLALQPKEKLVSGGFYFDRAEAPKHLKFAATRQSHAIIDSIVDTLRSLV >KJB66221 pep chromosome:Graimondii2_0_v6:10:29661885:29664713:-1 gene:B456_010G133600 transcript:KJB66221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGATVYMVCRNKEKGETALSKIQSSTGNPNVHLEVCDLSSISEIKSFASRFSSKDVPVHVLVNNAGLMEQKRVTTSEGFELNFAVNVLGTYATTELMLPLLEIASPDARVITVSSGGMYTTPLTSDLQFSDGKFSGAEQYARNKRVQVALTEKWAEMYKNKGIGFYSMHPGWAETPGVAKSLPDFNKWLSGKLRTSEEGADTVIWLALQPKEKLVSGGFYFDRAEAPKHLKFAATRQSHAIIDSIVDTLRSLV >KJB66217 pep chromosome:Graimondii2_0_v6:10:29661454:29665665:-1 gene:B456_010G133600 transcript:KJB66217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNATSHFEFHISITALIMFLLKTWRASAFGVYGYLNFTRNGFLEHSKKFKPEDMEIRIEGKNCVVTGANSGIGFATAEALASCGATVYMVCRNKEKGETALSKIQSSTGNPNVHLEVCDLSSISEIKSFASRFSSKDVPVHVLVNNAGLMEQKRVTTSEGFELNFAVNVLGTYATTELMLPLLEIASPDARVITVSSGGMYTTPLTSDLQFSDGKFSGAEQYARNKRVQVALTEKWAEMYKNKGIGFYSMHPGWAETPGVAKSLPDFNKWLSGKLRTSEEGADTVIWLALQPKEKLVSGGFYFDRAEAPKHLKFAATRQSHAIIDSIVDTLRSLV >KJB66219 pep chromosome:Graimondii2_0_v6:10:29661495:29665461:-1 gene:B456_010G133600 transcript:KJB66219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNATSHFEFHISITALIMFLLKTWRASAFGVYGYLNFTRNGFLEHSKKFKPEDMEIRIEGKNCVVTGANSGIGFATAEALASCGATVYMVCRNKEKGETALSKIQSSTGNPNVHLEVNNAGLMEQKRVTTSEGCAFHFNYKNIRYIMCSVPSLKLRKKNRKKYLMSCLSYFQCASRFELNFAVNVLGTYATTELMLPLLEIASPDARVITVSSGGMYTTPLTSDLQVFSDGKFSGAEQYARNKRVQVALTEKWAEMYKNKGIGFYSMHPGWAETPGVAKSLPDFNKWLSGKLRTSEEGADTVIWLALQPKEKLVSGGFYFDRAEAPKHLKFAATRQSHAIIDSIVDTLRSLV >KJB66322 pep chromosome:Graimondii2_0_v6:10:30704060:30705571:1 gene:B456_010G135400 transcript:KJB66322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPNSPIIDFYPTDFEVDMNGKRYSWQGLAKLPFIDEEQLLAEVAKIEHTLTDEEAQRNSTVCDMLFVAASHRLSEQIFSLDSRKQLPERQQIEVKEEVKPNLRWDIF >KJB63619 pep chromosome:Graimondii2_0_v6:10:607175:610628:1 gene:B456_010G008500 transcript:KJB63619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLHMNKGNGDTSYAKNSTVQSKIISVGKPIMKEAVLEMLCNNVIESMGIADLGCSSGPNSLSVISEIMDTVEAANRLLGRRLVSEFRVFLNDLYSNDFNNIFMSLPAFYDRLKEEKGLEFESCFILGAPGSFYGRLFPINSLHFVHSSSSLHWLSQVPAGLESNALKRLNKGKLYISKSSPQSVVDAYLLQFRNDFSLFIKSRSRELVAGGRMVLSFMGRSSTDPTTENSCYQWELLAQAIMSLAREGRIEEEKLDSFNAPYYAPCAEEIKDEIQKEGSFTIDRLEAFEIDWDGGAVTDIHTPHGKLSVGRRVAKAIRAVVESMLESHFGVEPDVMDDLFIRYGDIVGTHLSKSRTKYINLVMALTKKW >KJB64870 pep chromosome:Graimondii2_0_v6:10:8965099:8971176:-1 gene:B456_010G068900 transcript:KJB64870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLYKSLTDYNSPLFRTQFLNVSAASPPSPPPPNWNLSCRITSQSMSCSSETPLPTLRTVTIPYYELKDKDADLSLKIEEGFGPNGLGILSITDVPEFPSLRRNLLCLSSRLAALPEDVKKELEDPQSRYNFGWSHGKEQLESGKPDLLKGSFYANPLIDVPTNDTYLIQRYPSYCGANIWPLAALPELEVAFKALGKLIFDVGLMVAYHCDQYVSRGMKMRKDEGLEQILLRSRCHKGRLLYYFPAQLRMYQVKYDVTCSNHEENGDSMSSWCGWHTDHGSLTGLTCAMFKRNDVEISCPDSAAGLYIRTRSGEIVKATFGENEIAYQIGETTEILSQGYLCATPHGVRAPKGKEASGVDRSTFALFMQPDWDEKLNFSEDVYIHKELIPSNDSLTFGEYSEMLLDKYYHKKT >KJB64868 pep chromosome:Graimondii2_0_v6:10:8965019:8971414:-1 gene:B456_010G068900 transcript:KJB64868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLYKSLTDYNSPLFRTQFLNVSAASPPSPPPPNWNLSCRITSQSMSCSSETPLPTLRTVTIPYYELKDKDADLSLKIEEGFGPNGLGILSITDVPEFPSLRRNLLCLSSRLAALPEDVKKELEDPQSRYNFGWSHGKEQLESGKPDLLKGSFYANPLIDVPTNDTYLIQRYPSYCGANIWPLAALPELEVAFKALGKLIFDVGLMVAYHCDQYVSRGMKMRKDEGLEQILLRSRCHKGRLLYYFPAQLSNHEENGDSMSSWCGWHTDHGSLTGLTCAMFKRNDVEISCPDSAAGLYIRTRSGEIVKATFGENEIAYQIGETTEILSQGYLCATPHGVRAPKGKEASGVDRSTFALFMQPDWDEKLNFSEDVYIHKELIPSNDSLTFGEYSEMLLDKYYHKKT >KJB64869 pep chromosome:Graimondii2_0_v6:10:8965245:8971176:-1 gene:B456_010G068900 transcript:KJB64869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLYKSLTDYNSPLFRTQFLNVSAASPPSPPPPNWNLSCRITSQSMSCSSETPLPTLRTVTIPYYELKDKDADLSLKIEEGFGPNGLGILSITDVPEFPSLRRNLLCLSSRLAALPEDVKKELEDPQSRYNFGWSHGKEQLESGKPDLLKGSFYANPLIDVPTNDTYLIQRYPSYCGANIWPLAALPELEVAFKALGKLIFDVGLMVAYHCDQYVSRGMKMRKDEGLEQILLRSRCHKGRLLYYFPAQLSNHEENGDSMSSWCGWHTDHGSLTGLTCAMFKRNDVEISCPDSAAGLYIRTRSGEIVKATFGENEIAYQIGETTEILSQGYLCATPHGVRAPKGKEASGVDRSTFALFMQPDWDEKLNFLFNCRDEKLNFSEDVYIHKELIPSNDSLTFGEYSEMLLDKYYHKKT >KJB64404 pep chromosome:Graimondii2_0_v6:10:5177503:5184883:-1 gene:B456_010G047800 transcript:KJB64404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFCCVSTVSEHSPVKPLADQVISMRPPTVTTVTTTTSSSAPTTRSNSARSTAQIQCQSNQNHHRSNSVDLNRVVQRNGIPSGREATTATAPPPQVDVKINDIVGNGISGVLYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIVVSQETEKGSKVIGEESHRIISRHRNSISHNSATRRKPFGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRAETRDDRVAWMEALQAVKDMFPRMSNSELMAPTDNVVVSTEKLRKRLMLEGISESAIQDSEQIMKSEFAELQKQLVLLKQKQWLLIDTLRQLETEKVDLENTVVDESQNKLNDQGASSMIRQDKSSEGSVTDTDEDNERVDAAEEETDEDEHNFFDTRDFLSSSSFKSNGSDFRTSSFSSDDGLNGFDSEDDIDPYIKSVGSNFPYIKRRKKLPDPVEREKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEWGKRGNNLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGLRFFSEKGTGWKLWGDSNLKSKFWGRSIQLDPVGVLTLEFEDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNREYSCKLKFKEQSIIDRNPHQVHGIVQDRNGRTTASLLGKWDESMHYVNGDYSAKGKGQESLSESHLLWRRSKPPKYPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYEMANSEKLRLEQRQRQARKMQESGWKPRWFAKDKNSGTYHYIGGYWEAREKRKWDSCPDIFGQIPCDQILE >KJB64402 pep chromosome:Graimondii2_0_v6:10:5177876:5184600:-1 gene:B456_010G047800 transcript:KJB64402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFCCVSTVSEHSPVKPLADQVISMRPPTVTTVTTTTSSSAPTTRSNSARSTAQIQCQSNQNHHRSNSVDLNRVVQRNGIPSGREATTATAPPPQVDVKINDIVGNGISGVLYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIVVSQETEKGSKVIGEESHRIISRHRNSISHNSATRRKPFGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRAETRDDRVAWMEALQAVKDMFPRMSNSELMAPTDNVVVSTEKLRKRLMLEGISESAIQDSEQIMKSEFAELQKQLVLLKQKQWLLIDTLRQLETEKVDLENTVVDESQNKLNDQGASSMIRQDKSSEGSVTDTDEDNERVDAAEEETDEDEHNFFDTRDFLSSSSFKSNGSDFRTSSFSSDDGLNGFDSEDDIDPYIKSVGSNFPYIKRRKKLPDPVEREKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEWGKRGNNLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGLRFFSEKVSHHPMIVACHCQGTGWKLWGDSNLKSKFWGRSIQLDPVGVLTLEFEDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNREYSCKLKFKEQSIIDRNPHQVHGIVQDRNGRTTASLLGKWDESMHYVNGDYSAKGKGQESLSESHLLWRRSKPPKYPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYEMANSEKLRLEQRQRQARKMQESGWKPRWFAKDKNSGTYHYIGGYWEAREKRKWDSCPDIFGQIPCDQILE >KJB64401 pep chromosome:Graimondii2_0_v6:10:5177455:5185147:-1 gene:B456_010G047800 transcript:KJB64401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFCCVSTVSEHSPVKPLADQVISMRPPTVTTVTTTTSSSAPTTRSNSARSTAQIQCQSNQNHHRSNSVDLNRVVQRNGIPSGREATTATAPPPQVDVKINDIVGNGISGVLYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIVVSQETEKGSKVIGEESHRIISRHRNSISHNSATRRKPFGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRAETRDDRVAWMEALQAVKDMFPRMSNSELMAPTDNVVVSTEKLRKRLMLEGISESAIQDSEQIMKSEFAELQKQLVLLKQKQWLLIDTLRQLETEKVDLENTVVDESQNKLNDQGASSMIRQDKSSGSVTDTDEDNERVDAAEEETDEDEHNFFDTRDFLSSSSFKSNGSDFRTSSFSSDDGLNGFDSEDDIDPYIKSVGSNFPYIKRRKKLPDPVEREKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEWGKRGNNLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGLRFFSEKVSHHPMIVACHCQGTGWKLWGDSNLKSKFWGRSIQLDPVGVLTLEFEDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNREYSCKLKFKEQSIIDRNPHQVHGIVQDRNGRTTASLLGKWDESMHYVNGDYSAKGKGQESLSESHLLWRRSKPPKYPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYEMANSEKLRLEQRQRQARKMQESGWKPRWFAKDKNSGTYHYIGGYWEAREKRKWDSCPDIFGQIPCDQILE >KJB64403 pep chromosome:Graimondii2_0_v6:10:5177503:5184883:-1 gene:B456_010G047800 transcript:KJB64403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFCCVSTVSEHSPVKPLADQVISMRPPTVTTVTTTTSSSAPTTRSNSARSTAQIQCQSNQNHHRSNSVDLNRVVQRNGIPSGREATTATAPPPQVDVKINDIVGNGISGVLYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIVVSQETEKGSKVIGEESHRIISRHRNSISHNSATRRKPFGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRAETRDDRVAWMEALQAVKDMFPRMSNSELMAPTDNVVVSTEKLRKRLMLEGISESAIQDSEQIMKSEFAELQKQLVLLKQKQWLLIDTLRQLETEKVDLENTVVDESQNKLNDQGASSMIRQDKSSEGSVTDTDEDNERVDAAEEETDEDEHNFFDTRDFLSSSSFKSNGSDFRTSSFSSDDGLNGFDSEDDIDPYIKSVGSNFPYIKRRKKLPDPVEREKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEWGKRGNNLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGLRFFSEKVSHHPMIVACHCQGTGWKLWGDSNLKSKFWGRSIQLDPVGVLTLEFEDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNREYSCKLKFKEQSIIDRNPHQVHGIVQDRNGRTTASLLGKWDESMHYVNGDYSAKGKGQESLSESHLLWRRSKPPKYPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYEMANSEKLRLEQRQRQVNARERLEAKVVCQG >KJB64405 pep chromosome:Graimondii2_0_v6:10:5178141:5184883:-1 gene:B456_010G047800 transcript:KJB64405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFCCVSTVSEHSPVKPLADQVISMRPPTVTTVTTTTSSSAPTTRSNSARSTAQIQCQSNQNHHRSNSVDLNRVVQRNGIPSGREATTATAPPPQVDVKINDIVGNGISGVLYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIVVSQETEKGSKVIGEESHRIISRHRNSISHNSATRRKPFGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRAETRDDRVAWMEALQAVKDMFPRMSNSELMAPTDNVVVSTEKLRKRLMLEGISESAIQDSEQIMKSEFAELQKQLVLLKQKQWLLIDTLRQLETEKVDLENTVVDESQNKLNDQGASSMIRQDKSSEGSVTDTDEDNERVDAAEEETDEDEHNFFDTRDFLSSSSFKSNGSDFRTSSFSSDDGLNGFDSEDDIDPYIKSVGSNFPYIKRRKKLPDPVEREKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEWGKRGNNLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGLRFFSEKVSHHPMIVACHCQGTGWKLWGDSNLKSKFWGRSIQLDPVGVLTLEFEDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNREYSCKLKFKEQSIIDRNPHQVHGIVQDRNGRTTASLLGKWDESMHYVNGDYSAKGKGQESLSESHLLWRRSKPPKYPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYEMANSEKLRLEQRQRQVSFMFLFLHCCQLHVSVVQFVFIRFSH >KJB68603 pep chromosome:Graimondii2_0_v6:10:61976477:61977475:-1 gene:B456_010G254500 transcript:KJB68603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLWNDKSERETYENFAEIYAIIKTTEKLEKAYIRDIISSSTYEPECQKLIAHFKTLASALKDTIPSIERFADTYKMDCPAALYRLVTSGVPATVEHRRVSTEGTAAFVAECVQNFITAMDSLKLNMVAVDQLHPLLTDLSLSLNKLSILPVDFEGKMKVNQWILMLSKMEAADELKEEQARQLHFDLESSYNSFMAALPNRSIG >KJB64642 pep chromosome:Graimondii2_0_v6:10:7067125:7069701:-1 gene:B456_010G0591001 transcript:KJB64642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEFIQQNSRLTHNILQSIGALTSLKSLILSNSSLTGPLPPKGWCGLRKLEELDLSGNALDGSIPSCFRNLTSLDLLDISSNPLLIDQNILVSDPSFEPQTLNPEFLQLKLLSVSNCRAKGIPNFLHYQTHLRYLDLSHNNLGNSFKGPLQLPKHVNVNMFQVDMSGNKMQGQIPMNIGSMFPHLQILNLSRNVFEGNVPPCLGGLKSLSSLDLSYNNFSGGILEELVKSGSLLTLRLSSNNFEWGNTTYNLPKWIWNVPNLEALDFSNNNFYGHIPLEFCNLGLLRFLDLSQNNLCGSVPSCINLGQNNLTRKIPYWIANLSALRILVLRGNHFEGEIPSQICQLNLLSIVDLSQNKLSGHIPSCLIIDIPVAMWRNKYCLRQRKDSTHIQATFLSMSGIDVSCNMLTGPIPPELGNLSELHSLNLSHNSLIGFKPSSFSKLEQIESLDLSRNKLSGIIPIQLMELNSLAVFNVLYN >KJB64848 pep chromosome:Graimondii2_0_v6:10:8818029:8826420:1 gene:B456_010G067800 transcript:KJB64848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINEVSILFIDFFFFFLFKFIFWAFCFFENASEFKSWLVEISSIIWLDVYIYDYLMKRKLNATAKAFQAEGKVSTDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAASYIETQMVKARELQQQQHYQKPQQTQMQQLLLQRHAQQQQHQQQQQQQQRQQQQQPQHQQQQQQREGTQLINDAASGLVGEPLMKESSASAIAATRKMYDETFRFPRQRDSLDDAAAKQLGDNLGQLLDPNQATMMKAASVVGQPPGQTLHGTPGNISGSLQPMQNRGQQISVPTQDSRSEINPMLTPRAAGTDGSLIGVHGSNQAGGNLTLKGWPLTGLDQLRSGLLQQQKTLIQTSQPFSQLQLHQQLLLQAQQNLSSPSANDFESRKMRMLLNNQIMGLGKDVPLNSLGDVVPKVGSPMQIGCPVLPRGDSDISVKQQQLHQNNQQSQQIGQNPLSSQQSQNSNHQLQQQDKMASKNQVGRKRKQPGSSSGPVNSSGTANTTGPAPSSPSSPSTHTPGDAISMPTLPHNSGSSKSLLMFGSDGLGSLTSASNQLADIDRFVDDGSLDDNVESFLSHDDPEPRDRVGRCSDVSKGLTFMEVQLIPASTSKVECCHFSADGKLLATGGHDKKAVLWCTESFALKSTLEEHSQWITDVRFSSSMSRLATSSADETVRVWDADNPAYSLRTFVGHSTTVTSLDFHPTKDDLICSCDNNSEIRYWSIKNGSCAGVLKGGATQIRFQPRVGRFLAAATDNSVSLLDVEAQVCRAKLQGHKSVIHSVCWDATVMTW >KJB64847 pep chromosome:Graimondii2_0_v6:10:8818029:8826420:1 gene:B456_010G067800 transcript:KJB64847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINEVSILFIDFFFFFLFKFIFWAFCFFENASEFKSWLVEISSIIWLDVYIYDYLMKRKLNATAKAFQAEGKVSTDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAASYIETQMVKARELQQQQHYQKPQQTQMQQLLLQRHAQQQQHQQQQQQQQRQQQQQPQHQQQQQQREGTQLINDAASGLVGEPLMKESSASAIAATRKMYDETFRFPRQRDSLDDAAAKQLGDNLGQLLDPNQATMMKAASVVGQPPGQTLHGTPGNISGSLQPMQNRGQQISVPTQDSRSEINPMLTPRAAGTDGSLIGVHGSNQAGGNLTLKGWPLTGLDQLRSGLLQQQKTLIQTSQPFSQLQLHQQLLLQAQQNLSSPSANDFESRKMRMLLNNQIMGLGKDVPLNSLGDVVPKVGSPMQIGCPVLPRGDSDISVKQQQLHQNNQQSQQIGQNPLSSQQSQNSNHQLQQQDKMASKNQVGRKRKQPGSSSGPVNSSGTANTTGPAPSSPSSPSTHTPGDAISMPTLPHNSGSSKSLLMFGSDGLGSLTSASNQLADIDRFVDDGSLDDNVESFLSHDDPEPRDRVGRCSDVSKGLTFMEVQLIPASTSKVECCHFSADGKLLATGGHDKKAVLWCTESFALKSTLEEHSQWITDVRFSSSMSRLATSSADETVRVWDADNPAYSLRTFVGHSTTVTSLDFHPTKDDLICSCDNNSEIRYWSIKNGSCAGVLKGGATQIRFQPRVGRFLAAATDNSVSLLDVEAQVCRAKLQGHKSVIHSVCWDATGEVWTVGSSGKGECVHELNRTGNKFNTCVFHPSYSSLLVIGCYETLELWNMLENKIMTRHAHENLVSALAASNSTRMVASASHDKCVKLWK >KJB64845 pep chromosome:Graimondii2_0_v6:10:8817842:8826433:1 gene:B456_010G067800 transcript:KJB64845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIYDYLMKRKLNATAKAFQAEGKVSTDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAASYIETQMVKARELQQQQHYQKPQQTQMQQLLLQRHAQQQQHQQQQQQQQRQQQQQPQHQQQQQQREGTQLINDAASGLVGEPLMKESSASAIAATRKMYDETFRFPRQRDSLDDAAAKQLGDNLGQLLDPNQATMMKAASVVGQPPGQTLHGTPGNISGSLQPMQNRGQQISVPTQDSRSEINPMLTPRAAGTDGSLIGVHGSNQAGGNLTLKGWPLTGLDQLRSGLLQQQKTLIQTSQPFSQLQLHQQLLLQAQQNLSSPSANDFESRKMRMLLNNQIMGLGKDVPLNSLGDVVPKVGSPMQIGCPVLPRGDSDISVKQQQLHQNNQQSQQIGQNPLSSQQSQNSNHQLQQQDKMASKNQVGRKRKQPGSSSGPVNSSGTANTTGPAPSSPSSPSTHTPGDAISMPTLPHNSGSSKSLLMFGSDGLGSLTSASNQLADIDRFVDDGSLDDNVESFLSHDDPEPRDRVGRCSDVSKGLTFMEVQLIPASTSKVECCHFSADGKLLATGGHDKKAVLWCTESFALKSTLEEHSQWITDVRFSSSMSRLATSSADETVRVWDADNPAYSLRTFVGHSTTVTSLDFHPTKDDLICSCDNNSEIRYWSIKNGSCAGVLKGGATQIRFQPRVGRFLAAATDNSVSLLDVEAQVCRAKLQGHKSVIHSVCWDATGEFLASVSDDLVRVWTVGSSGKGECVHELNRTGNKFNTCVFHPSYSSLLVIGCYETLELWNMLENKIMTRHAHENLVSALAASNSTRMVASASHDKCVKLWK >KJB64850 pep chromosome:Graimondii2_0_v6:10:8818390:8826433:1 gene:B456_010G067800 transcript:KJB64850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKLNATAKAFQAEGKVSTDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAASYIETQMVKARELQQQQHYQKPQQTQMQQLLLQRHAQQQQHQQQQQQQQRQQQQQPQHQQQQQQREGTQLINDAASGLVGEPLMKESSASAIAATRKMYDETFRFPRQRDSLDDAAAKQLGDNLGQLLDPNQATMMKAASVVGQPPGQTLHGTPGNISGSLQPMQNRGQQISVPTQDSRSEINPMLTPRAAGTDGSLIGVHGSNQAGGNLTLKGWPLTGLDQLRSGLLQQQKTLIQTSQPFSQLQLHQQLLLQAQQNLSSPSANDFESRKMRMLLNNQIMGLGKDVPLNSLGDVVPKVGSPMQIGCPVLPRGDSDISVKQQQLHQNNQQSQQIGQNPLSSQQSQNSNHQLQQQDKMVGACSMMPDSSISNTFNGNDQASKNQVGRKRKQPGSSSGPVNSSGTANTTGPAPSSPSSPSTHTPGDAISMPTLPHNSGSSKSLLMFGSDGLGSLTSASNQLADIDRFVDDGSLDDNVESFLSHDDPEPRDRVGRCSDVSKGLTFMEVQLIPASTSKVECCHFSADGKLLATGGHDKKAVLWCTESFALKSTLEEHSQWITDVRFSSSMSRLATSSADETVRVWDADNPAYSLRTFVGHSTTVTSLDFHPTKDDLICSCDNNSEIRYWSIKNGSCAGVLKGGATQIRFQPRVGRFLAAATDNSVSLLDVEAQVCRAKLQGHKSVIHSVCWDATGEFLASVSDDLVRVWTVGSSGKGECVHELNRTGNKFNTCVFHPSYSSLLVIGCYETLELWNMLENKIMTRHAHENLVSALAASNSTRMVASASHDKCVKLWK >KJB64846 pep chromosome:Graimondii2_0_v6:10:8817842:8826441:1 gene:B456_010G067800 transcript:KJB64846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIYDYLMKRKLNATAKAFQAEGKVSTDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAASYIETQMVKARELQQQQHYQKPQQTQMQQLLLQRHAQQQQHQQQQQQQQRQQQQQPQHQQQQQQREGTQLINDAASGLVGEPLMKESSASAIAATRKMYDETFRFPRQRDSLDDAAAKQLGDNLGQLLDPNQATMMKAASVVGQPPGQTLHGTPGNISGSLQPMQNRGQQISVPTQDSRSEINPMLTPRAAGTDGSLIGVHGSNQAGGNLTLKGWPLTGLDQLRSGLLQQQKTLIQTSQPFSQLQLHQQLLLQAQQNLSSPSANDFESRKMRMLLNNQIMGLGKDVPLNSLGDVVPKVGSPMQIGCPVLPRGDSDISVKQQQLHQNNQQSQQIGQNPLSSQQSQNSNHQLQQQDKMVGACSMMPDSSISNTFNGNDQASKNQVGRKRKQPGSSSGPVNSSGTANTTGPAPSSPSSPSTHTPGDAISMPTLPHNSGSSKSLLMFGSDGLGSLTSASNQLADIDRFVDDGSLDDNVESFLSHDDPEPRDRVGRCSDVSKGLTFMEVQLIPASTSKVECCHFSADGKLLATGGHDKKAVLWCTESFALKSTLEEHSQWITDVRFSSSMSRLATSSADETVRVWDADNPAYSLRTFVGHSTTVTSLDFHPTKDDLICSCDNNSEIRYWSIKNGSCAGVLKGGATQIRFQPRVGRFLAAATDNSVSLLDVEAQVCRAKLQGHKSVIHSVCWDATGEFLASVSDDLVRVWTVGSSGKGECVHELNRTGNKFNTCVFHPSYSSLLVIGCYETLELWNMLENKIMTRHAHENLVSALAASNSTRMVASASHDKCVKLWK >KJB64849 pep chromosome:Graimondii2_0_v6:10:8818029:8826430:1 gene:B456_010G067800 transcript:KJB64849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINEVSILFIDFFFFFLFKFIFWAFCFFENASEFKSWLVEISSIIWLDVYIYDYLMKRKLNATAKAFQAEGKVSTDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAASYIETQMVKARELQQQQHYQKPQQTQMQQLLLQRHAQQQQHQQQQQQQQRQQQQQPQHQQQQQQREGTQLINDAASGLVGEPLMKESSASAIAATRKMYDETFRFPRQRDSLDDAAAKQLGDNLGQLLDPNQATMMKAASVVGQPPGQTLHGTPGNISGSLQPMQNRGQQISVPTQDSRSEINPMLTPRAAGTDGSLIGVHGSNQAGGNLTLKGWPLTGLDQLRSGLLQQQKTLIQTSQPFSQLQLHQQLLLQAQQNLSSPSANDFESRKMRMLLNNQIMGLGKDVPLNSLGDVVPKVGSPMQIGCPVLPRGDSDISVKQQQLHQNNQQSQQIGQNPLSSQQSQNSNHQLQQQDKMASKNQVGRKRKQPGSSSGPVNSSGTANTTGPAPSSPSSPSTHTPGDAISMPTLPHNSGSSKSLLMFGSDGLGSLTSASNQLADIDRFVDDGSLDDNVESFLSHDDPEPRDRVGRCSDVSKGLTFMEVQLIPASTSKVECCHFSADGKLLATGGHDKKAVLWCTESFALKSTLEEHSQWITDVRFSSSMSRLATSSADETVRVWDADNPAYSLRTFVGHSTTVTSLDFHPTKDDLICSCDNNSEIRYWSIKNGSCAGVLKGGATQIRFQPRVGRFLAAATDNSVSLLDVEAQVCRAKLQGHKSVIHSVCWDATGEFLASVSDDLVRVWTVGSSGKGECVHELNRTGNKFNTCVFHPSYSSLLVIGCYETLELWNMLENKIMTRHAHENLVSALAASNSTRMVASASHDKCVKLWK >KJB67283 pep chromosome:Graimondii2_0_v6:10:53286398:53289550:-1 gene:B456_010G183500 transcript:KJB67283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT2.4 [Source:Projected from Arabidopsis thaliana (AT1G62340) UniProtKB/Swiss-Prot;Acc:F4HYR6] MATGVDVSAASSYAVLLLHLLAISTIASVVEERSIYLILMEGEPVAFHGDVLSSQQGRRFDPKSEAYEVHANKLVDSHDQVLESTLDKGSYNKLYSFKHVLNGFAVHTTPSQAKKLQLAQGVKLVERDRRAKLMTTYTPEFLGLPQTVWTQEGGDRNAGDGIVMGFVDTGINPFHPSFAYDILNPLTSNLSHFSGACETGPLFPPFSCNGKIVSARFFIAGAQAATSLNATIDIPSPADAVGHGSHVASIAAGNAGVPVTVNGFYYGRASGMAPRARVAVYKAVYPTVGTLADVVAAIDQAVADGVDILTLSIGPEEPPQDTVTFLSIFDIAMLFARRAGVFVVQAAGNSGPGPSTVLSYSPWVVGAAASRTDRRYTASLLLGNGLNVSGVGLSAPTFGNGSLLYRLVLAKDAINLSGAFPRTPEYVEECQHPEAFDPSVVRGSIVICSFSAGFYNETSTLTAIFDTARVLGFMGFVLVANPSYGDFIGQPIPFSVSGALIPKVADAKIVSEYYEQQTLRDARGIVRQFNARAAIQDGRVASFGVQAPIVSRFSSRGPGFIDINRNPSDVLKPDILAPGNEIWAAWSPLSALDPILTGYNFALLSGSSMAAPHVAGIAALIKQEYPSWNPSMIASAMSTTATKFDNNGGIIMAEGFNIGSLYSSNNFDFGAGFVNPTHAMDPGLVLSSEFEDYISFLCSMPFIDRIAIRAATRVWCGQSVGHPANLNIPSVTISALRRSLTVRRSFKNVATKPETYVSLAIPPNGTTITLRPPWFTIAPEGTQDLDIEINVIKSTNEFSFGEIILTGSLNHIVRMPVTIRPVSIV >KJB67284 pep chromosome:Graimondii2_0_v6:10:53284770:53289685:-1 gene:B456_010G183500 transcript:KJB67284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT2.4 [Source:Projected from Arabidopsis thaliana (AT1G62340) UniProtKB/Swiss-Prot;Acc:F4HYR6] MVMFYLVNKEEDSTPKAYEVHANKLVDSHDQVLESTLDKGSYNKLYSFKHVLNGFAVHTTPSQAKKLQLAQGVKLVERDRRAKLMTTYTPEFLGLPQTVWTQEGGDRNAGDGIVMGFVDTGINPFHPSFAYDILNPLTSNLSHFSGACETGPLFPPFSCNGKIVSARFFIAGAQAATSLNATIDIPSPADAVGHGSHVASIAAGNAGVPVTVNGFYYGRASGMAPRARVAVYKAVYPTVGTLADVVAAIDQAVADGVDILTLSIGPEEPPQDTVTFLSIFDIAMLFARRAGVFVVQAAGNSGPGPSTVLSYSPWVVGAAASRTDRRYTASLLLGNGLNVSGVGLSAPTFGNGSLLYRLVLAKDAINLSGAFPRTPEYVEECQHPEAFDPSVVRGSIVICSFSAGFYNETSTLTAIFDTARVLGFMGFVLVANPSYGDFIGQPIPFSVSGALIPKVADAKIVSEYYEQQTLRDARGIVRQFNARAAIQDGRVASFGVQAPIVSRFSSRGPGFIDINRNPSDVLKPDILAPGNEIWAAWSPLSALDPILTGYNFALLSGSSMAAPHVAGIAALIKQEYPSWNPSMIASAMSTTATKFDNNGGIIMAEGFNIGSLYSSNNFDFGAGFVNPTHAMDPGLVLSSEFEDYISFLCSMPFIDRIAIRAATRVWCGQSVGHPANLNIPSVTISALRRSLTVRRSFKNVATKPETYVSLAIPPNGTTITLRPPWFTIAPEGTQDLDIEINVIKSTNEFSFGEIILTGSLNHIVRMPVTIRPVSIV >KJB67230 pep chromosome:Graimondii2_0_v6:10:52902701:52904995:-1 gene:B456_010G181400 transcript:KJB67230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVGNPPGTINQTQTFLGNTLLHSKLPSVSKPAIHHSLPGIHSNNVVHIRSSLPSWKDSSKLTTYLVPHRVAPKRWLCQSHGSASSDDEYKSSRNIAISLFRRYRNVIDRGGGDNLKEFISAGVNAYALGCTDEGLRKELIAMKESGIEIDVMHSYGGSTSVKSKICAEEVNECILWLSIIFITILCTPQPTIVRWSSTPPVSDDVLHQWKGFCALIANAYFIRGMAWLPVKTLQLEQMAVAGRAEEPSVVASRMRLVFSTLEVVSPQWPRV >KJB67231 pep chromosome:Graimondii2_0_v6:10:52903091:52905421:-1 gene:B456_010G181400 transcript:KJB67231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVGNPPGTINQTQTFLGNTLLHSKLPSVSKPAIHHSLPGIHSNNVVHIRSSLPSWKDSSKLTTYLVPHRVAPKRWLCQSHGSASSDDEYKSSRNIAISLFRRYRNVIDRGGGDNLKEFISAGVNAYALGCTDEGLRKELIAMKESGIEIDVMHSYGGSTSVKSKICAEEVNECILWLSIIFITILCTPQPTIVRWSSTPPVSDDVLHQWKGFCALIANAYFIRGMAWLPVKTLQLEQMAVAGRAEEPSVVASRMRLVFSTLEVSELLLRWMI >KJB67229 pep chromosome:Graimondii2_0_v6:10:52902219:52905481:-1 gene:B456_010G181400 transcript:KJB67229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVGNPPGTINQTQTFLGNTLLHSKLPSVSKPAIHHSLPGIHSNNVVHIRSSLPSWKDSSKLTTYLVPHRVAPKRWLCQSHGSASSDDEYKSSRNIAISLFRRYRNVIDRGGGDNLKEFISAGVNAYALGCTDEGLRKELIAMKESGIEIDVMHSYGGSTSVKSKICAEEVNECILWLSIIFITILCTPQPTIVRWSSTPPVSDDVLHQWKGFCALIANAYFIRGMAWLPVKTLQLEQMAVAGRAEEPSVVASRMRLVFSTLEVVSPQWPRV >KJB65092 pep chromosome:Graimondii2_0_v6:10:11734756:11736538:-1 gene:B456_010G080500 transcript:KJB65092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTRGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAEKAMGTKDVRVDVKLNKHIWSRGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKPIDDDDE >KJB65093 pep chromosome:Graimondii2_0_v6:10:11734756:11736386:-1 gene:B456_010G080500 transcript:KJB65093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTRGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAEKAMGTKDVRVDVKLNKHIWSRGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKPIDDDDE >KJB64199 pep chromosome:Graimondii2_0_v6:10:3412485:3415804:-1 gene:B456_010G036700 transcript:KJB64199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRKGSETNVSPEVTNNFAPTCDSRSSNIRSHYSLEDYARIKKRCKEDVDAHPIGSCKSRLAGVATAPPCGASSLVPPGRGLKRKIGCIEVITRTGRKKKIEDDYVKGDPIGQGKFGLVWLCRSKTSGVEFACKTLHKGEETVHREVEIMQHLSGHPGVVTLQAVYEEPDCFHLVMELCSGGRLIDQMAEGQYSEQRAANIFKDVMLVIKYCHEMGVVHRDIKPENILLTTSGKIKLADFGLAMRISNGQTLSGLAGSPAYVAPEVLLGNYSEKVDIWSAGVLLHALLVGVLPFQGDSLKEVFEAIKNVKLDFHSGKWESVSKPARDLLARMLTRDVSSRITADEVLRHPWILFYTEHSLKTLYTKSKLKNPVEPSVQKFNSPRSESSATSIDSGSCSQRPHLVLSSNSSSCKSEELDENGVVDVLAVAISHVRISEPKRSRLCSPPGPIEQQCSSNLTANNLCRAF >KJB64200 pep chromosome:Graimondii2_0_v6:10:3412492:3415803:-1 gene:B456_010G036700 transcript:KJB64200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRKGSETNVSPEVTNNFAPTCDSRSSNIRSHYSLEDYARIKKRCKEDVDAHPIGSCKSRLAGVATAPPCGASSLVPPGRGLKRKIGCIEVITRTGRKKKIEDDYVKGDPIGQGKFGLVWLCRSKTSGVEFACKTLHKGEETVHREVEIMQHLSGHPGVVTLQAVYEEPDCFHLVMELCSGGRLIDQMAEGQYSEQRAANIFKDVMLVIKYCHEMGVVHRDIKPENILLTTSGKIKLADFGLAMRISNGQTLSGLAGSPAYVAPEVLLGNYSEKVDIWSAGVLLHALLVGVLPFQGDSLKEVFEAIKNNPVEPSVQKFNSPRSESSATSIDSGSCSQRPHLVLSSNSSSCKSEELDENGVVDVLAVAISHVRISEPKRSRLCSPPGPIEQQCSSNLTANNLCRAF >KJB65001 pep chromosome:Graimondii2_0_v6:10:10786845:10787306:-1 gene:B456_010G075500 transcript:KJB65001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITSYGFVENDSDLVHGLLEVEGALVGSSRTEKDCGQFDNDRVTLLLRSEPGNPLYMIQNGSCSIVDKRNLYEKYKLEFEEGEGEGVLDPQQIEEDLFNHIVWAPRIWRPWGFLFDCIERPNELGFPYWVGSFRSKQIIYDEKNELQENDSTFL >KJB65502 pep chromosome:Graimondii2_0_v6:10:17001522:17002533:1 gene:B456_010G097900 transcript:KJB65502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDIPEEQEKSKNNPSRAYVRDAKAMAATPADVMEYPTSYVFIADMPGISPSEIKVHVEDENVLVVCGERKREKEKDEKEGVKYVRMERRVGKFMRKFQLPDNANMDKISAVCQDGVLRVTVEKLPPPEPKKSKTIEVKVA >KJB65971 pep chromosome:Graimondii2_0_v6:10:25228191:25234465:-1 gene:B456_010G123000 transcript:KJB65971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEKGISLEEIKNESVDLERIPIEEVFEQLKCTREGLSTEEGNNRLQVFGPNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWSEQEAAILVPGDIITIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPSDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIIVELIVMYPIQHRKYRDGIDNMLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRNLIEVFTKGVEKEHVILYATRASRTENQDAIDAAIVGMLADPKEARAGVREIHFLPFNPVDKRTALTYIDSDGNWLRASKGAPEQIIDLCKCKDDVRKKVHSVIDKFAERGLRSLAVARQEIPEKTKESPGSPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQDKDASIAALPIDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLKEIFSTGIVLGGYLALMTVIFFWAMKDTNFFSNTFNVRSLRHSIDGEREMMAALYLQVSIVSQALIFVTRSRSWSYFERPGLLLVSAFLVAQLVATLIAVYADWGFARIKGMGWGWAGVIWLYSVVTYIPLDFIKFAIRYVLSGKAWDNLLENKTAFTTKKDYGKEEREAQWAAAQRTLHGLQPPETTSIFNERSSYRELSEIAEQAKRRAEVARLRELNTLKGHVESVVKLKGLDIDNIQQHYTV >KJB67649 pep chromosome:Graimondii2_0_v6:10:57053331:57053893:1 gene:B456_010G204600 transcript:KJB67649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHEYDFQSYKTLIEHWKEKFTTSNDQLERVEYLKLIEELYEKHKDLFSMFNYHYMLKYDDSSSSSNSEKTELYKKQSDEEKTSTDQDEDIKVSTYNSNEETTSSEEVDEEINIPELMDTEPPDPYRKRKIESDSQTDDYLIKDISKVQYTTSMLTSNIKNFT >KJB63871 pep chromosome:Graimondii2_0_v6:10:1667049:1668545:1 gene:B456_010G021300 transcript:KJB63871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSTVRIISECYVTPPHVSDQSKQPYYLTTWDLVMLSVQYIQKGLLYTKPENSCEENLINNVLDRLKQSLSIALVHFYPLSGRLVTKIEENPKSHFVFVDCNSSPGAKFIHAAVDLSVSDIVSPTYVPLVVQSFFDHDRAINYDGHTRPLLSIQVTELVDGVFIGCSMNHVLGDGATFWHFFNALSEIFQAQGDTKMKISRPPVLEKWFPEGHGPLLNLPFTNQDEFITRFEAPELLERMFHFSAKSIAKLKERANTESNTIEISSFQSLSAFVWRTITKARRFPNETVTGCRLAINNRSRLEPALPLDYFGNSIQSVRAVTTAGELLHHNLGWAAWKLHQAVVNHTDKQVRGFVNGWLDSPFIYKIAQLFDPQSVMFGSSPRFNMYENEFGLGKALMLRSGYAHKFDGKVSSYPGREGGGSVDLEICLPPSSMKALELDEEFMSVVSSGGIDI >KJB65273 pep chromosome:Graimondii2_0_v6:10:13381181:13381825:-1 gene:B456_010G087300 transcript:KJB65273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFRGIWLCWKNFVNLDIILNHPQFIHCWIDGQGVCKSFATFIYNSPNRSKRRFLWQGLTHVIAQTRLPWILVGDLIPTCHLMRREEDDQLPMDVHSL >KJB65905 pep chromosome:Graimondii2_0_v6:10:23481212:23483887:-1 gene:B456_010G118400 transcript:KJB65905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSGTGWRTGGRPEVDRWRLYVRGTILGYKRSKSNQYPNTSLIQIEGVTTKEEVAWYAGKRMAYIYKDKVKKNSSHYRCIWGKVTRPHGNSGVIRAKFKSNLPPKSMGDRVRVFMYPSNI >KJB63998 pep chromosome:Graimondii2_0_v6:10:2317088:2320215:-1 gene:B456_010G028300 transcript:KJB63998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSFNWWGKGSHKGTPVVVTMENPNWSMVELEAPSESDFQTSTVTQEKARGKNAKQLTWVLLLKAHRAAGCLTSVASTLFSLGSTIRRRVISGQTDNNDSTPSTENKTVKTRFYNCIKAFLWLSLLLLGFEIAAYFKGWHFGAPKLQLQYIFTAPFGVRDLFDGLYTRWVLIRVGYLAPPLQFLANVCIILFIVQSLDRLVLCLGCFWIRFKKIKPIPKQDAIVDLEENGFFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSKILIQILDDSDEPTTQLLIKEEVHKWQQEGARIVYRHRVIRDGYKAGNLKSGMSYSYVKEYEFVAIFDADFQPAPDFLKRTIPHFKDNEDVGLVQARWSFVNKDENLLTRLQNVNLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLLGWKFVFINDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRAKISMWKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEAELPAWVVCYIPATMSFLNILPTPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVEKETKQQRGESEPNLDETKTDILREQKSRQKKHNRMYTKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVL >KJB63997 pep chromosome:Graimondii2_0_v6:10:2317088:2320038:-1 gene:B456_010G028300 transcript:KJB63997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSFNWWGKGSHKGTPVVVTMENPNWSMVELEAPSESDFQTSTVTQEKARGKNAKQLTWVLLLKAHRAAGCLTSVASTLFSLGSTIRRRVISGQTDNNDSTPSTENKTVKTRFYNCIKAFLWLSLLLLGFEIAAYFKGWHFGAPKLQLQYIFTAPFGVRDLFDGLYTRWVLIRVGYLAPPLQFLANVCIILFIVQSLDRLVLCLGCFWIRFKKIKPIPKQDAIVDLEENGFFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSKILIQILDDSDEPTTQLLIKEEVHKWQQEGARIVYRHRVIRDGYKAGNLKSGMSYSYVKEYEFVAIFDADFQPAPDFLKRTIPHFKDNEDVGLVQARWSFVNKDENLLTRLQNVNLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLLGWKFVFINDVEV >KJB63996 pep chromosome:Graimondii2_0_v6:10:2317072:2320038:-1 gene:B456_010G028300 transcript:KJB63996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSFNWWGKGSHKGTPVVVTMENPNWSMVELEAPSESDFQTSTVTQEKARGKNAKQLTWVLLLKAHRAAGCLTSVASTLFSLGSTIRRRVISGQTDNNDSTPSTENKTVKTRFYNCIKAFLWLSLLLLGFEIAAYFKGWHFGAPKLQLQYIFTAPFGVRDLFDGLYTRWVLIRVGYLAPPLQFLANVCIILFIVQSLDRLVLCLGCFWIRFKKIKPIPKQDAIVDLEENGFFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSKILIQILDDSDEPTTQLLIKEEVHKWQQEGARIVYRHRVIRDGYKAGNLKSGMSYSYVKEYEFVAIFDADFQPAPDFLKRTIPHFKDNEDVGLVQARWSFVNKDENLLTRLQNVNLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLLGWKFVFINDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRAKISMWKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEAELPAWVVCYIPATMSFLNILPTPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVEKETKQQRGESEPNLDETKTDILREQKSRQKKHNRMYTKELALAFLLLTASARSLLSAQGGLELGWLPNENFGRRGLAESSVHRLLYSRFRF >KJB66907 pep chromosome:Graimondii2_0_v6:10:47589700:47594415:1 gene:B456_010G164300 transcript:KJB66907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLRMKTKSSTVSLRDKNSLRVCQRSSMICKRPCCHVRVSQQGAEFSTCIQNSHDDPSNVEVASPIFKTDGASAQQLILDEDGSELRKQLPAFVDSATLGGMESAHTCGSNLETIFSPYLEPIQIHSELNVDNDAGSNNGLELPEFGADDSDDNKSLFGSQTWTYSFPDFKCSEPSMLFDVAEQCMILPFLEDTVKANDTNDFHLHEESMMAQDNTGLCLAIDQMRSCLQESDVNSDTDQADDFDPQAFIKNLPELSDVVSSFRPANVLTEAWKRKPITLVLDLDETLVHSTLEHCDDADFTFTVFFNMKEHTVYVKQRPHLHTFLEKVAEMFEVIIFTASQSIYAEQLLDILDPDRKFISRRVYRESCIFSDGNYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFDDPSDCALISLLPFLETLVDVDDVRPIIAKKFGNKE >KJB66908 pep chromosome:Graimondii2_0_v6:10:47589700:47594415:1 gene:B456_010G164300 transcript:KJB66908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLRMKTKSSTVSLRDKNSLRVCQRSSMICKRPCCHVRVSQQGAEFSTCIQNSHDDPSNVEVASPIFKTDGASAQQLILDEDGSELRKQLPAFVDSATLGGMESAHTCGSNLETIFSPYLEPIQIHSELNVDNDAGSNNGLELPEFGADDSDDNKSLFGSQTCNVSDFFISDMIIASIPFDGNAVDSNFTGTYSFPDFKCSEPSMLFDVAEQCMILPFLEDTVKANDTNDFHLHEESMMAQDNTGLCLAIDQMRSCLQESDVNSDTDQADDFDPQAFIKNLPELSDVVSSFRPANVLTEAWKRKPITLVLDLDETLVHSTLEHCDDADFTFTVFFNMKEHTVYVKQRPHLHTFLEKVAEMFEVIIFTASQSIYAEQLLDILDPDRKFISRRVYRESCIFSDGNYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFDDPSDCALISLLPFLETLVDVDDVRPIIAKKFGNKE >KJB66906 pep chromosome:Graimondii2_0_v6:10:47589564:47597256:1 gene:B456_010G164300 transcript:KJB66906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLRMKTKSSTVSLRDKNSLRVCQRSSMICKRPCCHVRVSQQGAEFSTCIQNSHDDPSNVEVASPIFKTDGASAQQLILDEDGSELRKQLPAFVDSATLGGMESAHTCGSNLETIFSPYLEPIQIHSELNVDNDAGSNNGLELPEFGADDSDDNKSLFGSQTCNVSDFFISDMIIASIPFDGNAVDSNFTGTYSFPDFKCSEPSMLFDVAEQCMILPFLEDTVKANDTNDFHLHEESMMAQDNTGLCLAIDQMRSCLQESDVNSDTDQADDFDPQAFIKNLPELSDVVSSFRPANVLTEAWKRKPITLVLDLDETLVHSTLEHCDDADFTFTVFFNMKEHTVYVKQRPHLHTFLEKVAEMFEVIIFTASQSIYAEQLLDILDPDRKFISRRVYRESCIFSDGNYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFDDPSDCALISLLPFLETLVDVDDVRPIIAKKFGLMMNITRLSANGKIIGTRNSISVQPFFGMYLI >KJB66581 pep chromosome:Graimondii2_0_v6:10:38052901:38054193:1 gene:B456_010G144600 transcript:KJB66581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLAQCTPDISDVACNLCLESAITEFSDCCRQKEKATRASSLLPSCNVQYGLTLFYNKTAGEVSRSKPSPLPPRDSGKGKSSSQKIIYIIVPTVGFLVLLSTFCYCILRRKARMKPYLLKDQKDKSKARTMNSLQYDMSTIEAATDNFSDANMIGVGGFGSVYKGTLANGQQIAVKRLSSSKQGAEEFKNEVALVAKLQHRNLVRLLGFCVEREERMLIYEFVPKKILDCFLFGLPLFNLKLSPKDTYMLWIKLLLMDIDTEKQKQLDWPTRLKIVKGTASGLLYLHTDLRLKIVHRDLKPSNILLDEDMNPKISDFGMARIVEENHNLEYTKKIVG >KJB66582 pep chromosome:Graimondii2_0_v6:10:38052901:38054193:1 gene:B456_010G144600 transcript:KJB66582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLAQCTPDISDVACNLCLESAITEFSDCCRQKEKATRASSLLPSCNVQYGLTLFYNKTAGEVSRSKPSPLPPRDSGKGKSSSQKIIYIIVPTVGFLVLLSTFCYCILRRKARMKPYLLKDQKDKSKARTMNSLQYDMSTIEAATDNFSDANMIGVGGFGSVYKGTLANGQQIAVKRLSSSKQGAEEFKNEVALVAKLQHRNLVRLLGFCVEREERMLIYEFVPKKILDCFLFDTEKQKQLDWPTRLKIVKGTASGLLYLHTDLRLKIVHRDLKPSNILLDEDMNPKISDFGMARIVEENHNLEYTKKIVG >KJB66580 pep chromosome:Graimondii2_0_v6:10:38052901:38053919:1 gene:B456_010G144600 transcript:KJB66580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLAQCTPDISDVACNLCLESAITEFSDCCRQKEKATRASSLLPSCNVQYGLTLFYNKTAGEVSRSKPSPLPPRDSGKGKSSSQKIIYIIVPTVGFLVLLSTFCYCILRRKARMKPYLLKDQKDKSKARTMNSLQYDMSTIEAATDNFSDANMIGVGGFGSVYKGTLANGQQIAVKRLSSSKQGAEEFKNEVALVAKLQHRNLVRLLGFCVEREERMLIYEFVPKKILDCFLFGLPLFNLKLSPKDTYMLWIKLLLMDIGISYFEQHLI >KJB66667 pep chromosome:Graimondii2_0_v6:10:41097418:41099771:-1 gene:B456_010G151800 transcript:KJB66667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPVVNSPPSNPVILPSSSIPTSCLPKTSQLFIFKMKKPFSKKLVSCKASNGNQNNEGSSSLNSFDRRDILLGLGSLYGATNLVSDPFALAAPIAAPDLSLCENSTVTSSSSGTSISVPCCPPKTTNILDFKPPRFSTIRLRPAAHLVDANYLEKFTKAMELMKALPDDDPRSFKQQANVHCAYCNGAYDQVGFPDQQLQVHFSWLFFPFHRLYLYFYERILGKLIGDPNFAMPFWNWDSPPGMAIPKIYVDPNSPLYDEKRNVNHQPPNMLDLDYAGTEEELSKRDQIKSNLSVMYRQMVTYKTASLFLGAAYRAGDDPSPGMGSIENNPHTAVHRWVGDKRQPFSEDMGNFYSAGRDPLFFAHHSNVDRLWSIWRTLPGKKRTDFTDTDWLDSSFLFYDENANLVRVKVRDSLNMKTFGYDYQKVNIPWLKNKPNPRKSGRGKSGGQAVAAETKNITPISNAFPIALDKLVRVEVPRPKKSRTKLEKEDEEEVLVLQNIQLDRDAAVKFDVYINDEDDETPTEPEDSEFAGSFTNLPHNHHKTGMKLNTNLTLPLTDLLEDLNVEGDESILVTLVPKEGKGLVSIGNIKIDYIRD >KJB63348 pep chromosome:Graimondii2_0_v6:10:18112562:18113316:-1 gene:B456_010G100800 transcript:KJB63348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDSKFLRNQRYARKLNKKSGDSATKEE >KJB64300 pep chromosome:Graimondii2_0_v6:10:3911547:3916541:-1 gene:B456_010G041900 transcript:KJB64300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLGEKKEQKMMTRDMKRNSELETLFSSEISEAPPPLLNLQHHSTKGRVSPSPSNSFTNGFPSSPEDTPPFEDQQQHHHHHHPKFHHCGLWLDSKRLPDSHYRNKNVNENKLVDDGFGLCENLYQMHIRDDERDGDTSGQMRKFERDPDGFAFSFGDVSSYHAEKYGSYDGFNKGFQSSPQQVSMCLDGGDVFRSTFLGLQGTGYEKGDSFGSYSIGYNQSNDLSSVPSWHDNQRNYLLEQRMEQGRGLDNRGMLLQGAFTTRPYIGNPFVCSQQCGIDGNGGRAAIDSLSSPGFLHSKIPLEENVMEDHSVIIQGRGLKYDIENKGFDSFKCCKKKTLKEFGLQNLQEKNSKLDKRHGENVILMPSPYSLVEFQGCIYYMAKDQKGCRFLQRIFDEGSCLDVQIIFNEVIENIVELMMDPFGNYLVQKLLDVCNEDQRLQIILTVTKETGQLVRISLNTYGTRVVQKLIETLKSRQQISLIKSALKPGILDLIKDLNGNHVLQRCLQCLNNEDNKIIFDAAAKFCVDIATHRHGCCVLQRCIAHSNGPHRDKLITQISRNGLLLAQDPFGNYVVQYIIELKVNSGNLLSQFKGHYVHLSMQKFSSHVVEKCLKHFAESRSQIIRELTSVVHFEQLLQDPFANYVIQSALVVTKGPLHASLVDAVRPHTILRTSPYCKRIFSRNLLKK >KJB68591 pep chromosome:Graimondii2_0_v6:10:61874285:61876216:1 gene:B456_010G252900 transcript:KJB68591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEKCQKKLSKVIVPDKWKEGASNTTESGGRKVNENKLLSKKHRWTPYGNTKCIICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >KJB66056 pep chromosome:Graimondii2_0_v6:10:27227229:27227817:1 gene:B456_010G127300 transcript:KJB66056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ENKFLKFLSFMWNPLSWVMEAATVIAIVLANGGGEGPDWQDFVGIICLLIINSTISFIEENNAGNVAAALMARLASKTKVLRDGQWQETDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQASALLDSDKSQLNN >KJB68457 pep chromosome:Graimondii2_0_v6:10:61508643:61511017:1 gene:B456_010G246300 transcript:KJB68457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKHTCYSRTLKSPSSSDCNFSLLKVGSECHFDYGITHIPDRVWPSTKGDVESDETPPYVSRPAAAPLHMPSRISSIRLCEKQSFSTNDDDSKFQSFWEVNVNDVIR >KJB67161 pep chromosome:Graimondii2_0_v6:10:52433474:52436979:1 gene:B456_010G178500 transcript:KJB67161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSLFVLEMCFTITALLCYPVCLQGNPNASPFPSNFLFGTASSSYQFEGAFLSDGKGLNNWDVFTHKPGNIMDGSNGDTTVDHYHLYQEDLDLMQDIGVNSYRFSISWARILPKGRFGSVNKAGIHHYNKLINSLLLKGIQPFVSLTHYDIPQELENRYGAWLSSQVQEDFKYHADICFKYFGDRVKYWTTFNEPNVAVIRGYRSGIYPPSRCSSSFGNCSNGDSEKEPFIAAHNIILSHAAAVDVYRTKYQKQQGGSIGIVMNAIWYEPISNSLKDKQAAERAQAFYMNWFLDPIIMGKYPKEMQEILDSNLPSFSNHDQEKLNSTGLDFIGINHYTSFYTKDCMFSVCEQGPGNTKTEGFALRTATKNGVFIGESTALDWLFVHPQGMEKIITYVKERYNNIPMFITENGFCENDKSDSLTEETVNDVNRVEYISGYMVALGAALRKGADVRGYFLWSLLDNFEWTLGFTIRFGLHHVDFSTLKRTPRGSATWYKQFIANHTAEKILMPQHYPGNQLGQHFNTI >KJB67563 pep chromosome:Graimondii2_0_v6:10:55753348:55754129:1 gene:B456_010G1968002 transcript:KJB67563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLSCCFTVALFMVILMESPSSCLANMNVIDKCWRGNLLWQSQRQQLAKCSVGFVSKMINNIGKDVVKYKVIDPFDDPLSPKSGTLRYGTTMIKGKVCITFKNSMTITLQRPLLLSSFTAIDGRGVDVHITGAGCLLVYQATDIIIHGLRIHHCKAQPPSTVMGPNAKVIPLGLMDGDALRLVTARTVWIDHNTLYECQDGLLDVTHSSTNITVSNNWFRNQEKVMLLGHDDGHLRDKNMKVTIIFNHFGPNCNQRMP >KJB67157 pep chromosome:Graimondii2_0_v6:10:52324144:52329744:-1 gene:B456_010G178200 transcript:KJB67157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylation and cleavage factor homolog 4 [Source:Projected from Arabidopsis thaliana (AT4G04885) UniProtKB/Swiss-Prot;Acc:Q0WPF2] MAISNELAQKQLPSISERFKALLKQREDELRVSGGVADDDGATPTTEEIVQLYEVVLSELTFNSKPIITDLTIIAGEQREHGEGIADAICARIIEVPVEQKLPSLYLLDSIVKNIGREYVRYFSSRLPEVFCEAYRQVNPNLHPAMRHLFGTWSTVFPPSVLRKIEMQLQFSQTGNQQSSGVTSLQSSESPRPTHGIHVNPKYLRQFEQQSGADSNTQHVRGMSAGQKLYGQKHTITYDEFDSDHTEVPSSHVGVQRLSSTGNVGCTSLAIGANKSQLSSASRVSRPFSPSRIGSDRLLSSEVDDLPSDDSPRRFAEVASPSRPPVFDFGRGRGTIRDEETREWPRKHFYGDYRNCSEGSLNSYKLSNGNERQTLRALIDAYGNDRGQGMSNSKPVQVERLDVNGMGNKVTPRSWQNTEEEEFDWEDMSPTLADRRSNEFSVSSVATFGSIGARPAGLESNRSSRSNQTQLALDESSTIPEDAVPSLSSGHGLNQIQRPRYPQDAWSNSYPFSQSSHQLHAKGRGRDFWIPFSASGISSLGGEKNVPLIEKLPEGGSQFVRPPALVPRSGSSSLDTVTVVTQPAMLPLTAGAWPPVNVPKSQPPNAHTNYSLQQHGRSHFDSLNPINAAMNQGQNKHPYMPEQFDNFESKEQSLKTVPQLPGQRPALQQRNSLHGSLQPHFPPNDARDSFLSSATGPLPPRLLAPSMNHGYSPQMHGAGISMVPSNPIPVAQPPLSIPNMPTGSLHLQGGAMPPLPPGPRPTSQMMPAAQNAGPLLPNQPQGGPFTGLISSLMAQGLISLTKPTPIQVLISMMFFCSYIYLYFLSNKIVSQ >KJB67159 pep chromosome:Graimondii2_0_v6:10:52324144:52330020:-1 gene:B456_010G178200 transcript:KJB67159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylation and cleavage factor homolog 4 [Source:Projected from Arabidopsis thaliana (AT4G04885) UniProtKB/Swiss-Prot;Acc:Q0WPF2] MAISNELAQKQLPSISERFKALLKQREDELRVSGGVADDDGATPTTEEIVQLYEREHGEGIADAICARIIEVPVEQKLPSLYLLDSIVKNIGREYVRYFSSRLPEVFCEAYRQVNPNLHPAMRHLFGTWSTVFPPSVLRKIEMQLQFSQTGNQQSSGVTSLQSSESPRPTHGIHVNPKYLRQFEQQSGADSNTQHVRGMSAGQKLYGQKHTITYDEFDSDHTEVPSSHVGVQRLSSTGNVGCTSLAIGANKSQLSSASRVSRPFSPSRIGSDRLLSSEVDDLPSDDSPRRFAEVASPSRPPVFDFGRGRGTIRDEETREWPRKHFYGDYRNCSEGSLNSYKLSNGNERQTLRALIDAYGNDRGQGMSNSKPVQVERLDVNGMGNKVTPRSWQNTEEEEFDWEDMSPTLADRRSNEFSVSSVATFGSIGARPAGLESNRSSRSNQTQLALDESSTIPEDAVPSLSSGHGLNQIQRPRYPQDAWSNSYPFSQSSHQLHAKGRGRDFWIPFSASGISSLGGEKNVPLIEKLPEGGSQFVRPPALVPRSGSSSLDTVTVVTQPAMLPLTAGAWPPVNVPKSQPPNAHTNYSLQQHGRSHFDSLNPINAAMNQGQNKHPYMPEQFDNFESKEQSLKTVPQLPGQRPALQQRNSLHGSLQPHFPPNDARDSFLSSATGPLPPRLLAPSMNHGYSPQMHGAGISMVPSNPIPVAQPPLSIPNMPTGSLHLQGGAMPPLPPGPRPTSQMMPAAQNAGPLLPNQPQGGPFTGLISSLMAQGLISLTKPTPIQDSVGLEFDADLLKVRHESAISALYADLPRQCTTCGLRFKFQEEHSTHMDWHVTRNRMSKNRKQKPSRKWFVSASMWLSGAEALGTDAVPGFLPTEDIVEKKDDEELAVPADEDQNLCALCGEPFDDFYSDETEEWMYRGAVYMNAPNGSVEGIDRSQLGPIVHAKCRSESSVVPPEDFVRYDGGNPEDSSQRKRLRS >KJB67156 pep chromosome:Graimondii2_0_v6:10:52324138:52330027:-1 gene:B456_010G178200 transcript:KJB67156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylation and cleavage factor homolog 4 [Source:Projected from Arabidopsis thaliana (AT4G04885) UniProtKB/Swiss-Prot;Acc:Q0WPF2] MAISNELAQKQLPSISERFKALLKQREDELRVSGGVADDDGATPTTEEIVQLYEVVLSELTFNSKPIITDLTIIAGEQREHGEGIADAICARIIEVPVEQKLPSLYLLDSIVKNIGREYVRYFSSRLPEVFCEAYRQVNPNLHPAMRHLFGTWSTVFPPSVLRKIEMQLQFSQTGNQQSSGVTSLQSSESPRPTHGIHVNPKYLRQFEQQSGADSNTQHVRGMSAGQKLYGQKHTITYDEFDSDHTEVPSSHVGVQRLSSTGNVGCTSLAIGANKSQLSSASRVSRPFSPSRIGSDRLLSSEVDDLPSDDSPRRFAEVASPSRPPVFDFGRGRGTIRDEETREWPRKHFYGDYRNCSEGSLNSYKLSNGNERQTLRALIDAYGNDRGQGMSNSKPVQVERLDVNGMGNKVTPRSWQNTEEEEFDWEDMSPTLADRRSNEFSVSSVATFGSIGARPAGLESNRSSRSNQTQLALDESSTIPEDAVPSLSSGHGLNQIQRPRYPQDAWSNSYPFSQSSHQLHAKGRGRDFWIPFSASGISSLGGEKNVPLIEKLPEGGSQFVRPPALVPRSGSSSLDTVTVVTQPAMLPLTAGAWPPVNVPKSQPPNAHTNYSLQQHGRSHFDSLNPINAAMNQGQNKHPYMPEQFDNFESKEQSLKTVPQLPGQRPALQQRNSLHGSLQPHFPPNDARDSFLSSATGPLPPRLLAPSMNHGYSPQMHGAGISMVPSNPIPVAQPPLSIPNMPTGSLHLQGGAMPPLPPGPRPTSQMMPAAQNAGPLLPNQPQGGPFTGLISSLMAQGLISLTKPTPIQDSVGLEFDADLLKVRHESAISALYADLPRQCTTCGLRFKFQEEHSTHMDWHVTRNRMSKNRKQKPSRKWFVSASMWLSGAEALGTDAVPGFLPTEDIVEKKDDEELAVPADEDQNLCALCGEPFDDFYSDETEEWMYRGAVYMNAPNGSVEGIDRSQLGPIVHAKCRSESSVVPPEDFVRYDGGNPEDSSQRKRLRS >KJB67158 pep chromosome:Graimondii2_0_v6:10:52324144:52330000:-1 gene:B456_010G178200 transcript:KJB67158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylation and cleavage factor homolog 4 [Source:Projected from Arabidopsis thaliana (AT4G04885) UniProtKB/Swiss-Prot;Acc:Q0WPF2] MAISNELAQKQLPSISERFKALLKQREDELRVSGGVADDDGATPTTEEIVQLYEVVLSELTFNSKPIITDLTIIAGEQREHGEGIADAICARIIEVPVEQKLPSLYLLDSIVKNIGREYVRYFSSRLPEVFCEAYRQVNPNLHPAMRHLFGTWSTVFPPSVLRKIEMQLQFSQTGNQQSSGVTSLQSSESPRPTHGIHVNPKYLRQFEQQSGADSNTQHVRGMSAGQKLYGQKHTITYDEFDSDHTEVPSSHVGVQRLSSTGNVGCTSLAIGANKSQLSSASRVSRPFSPSRIGSDRLLSSEVDDLPSDDSPRRFAEVASPSRPPVFDFGRGRGTIRDEETREWPRKHFYGDYRNCSEGSLNSYKLSNGNERQTLRALIDAYGNDRGQGMSNSKPVQVERLDVNGMGNKVTPRSWQNTEEEEFDWEDMSPTLADRRSNEFSVSSVATFGSIGARPAGLESNRSSRSNQTQLALDESSTIPEDAVPSLSSGHGLNQIQRPRYPQDAWSNSYPFSQSSHQLHAKGRGRDFWIPFSASGISSLGGEKNVPLIEKLPEGGSQFVRPPALVPRSGSSSLDTVTVVTQPAMLPLTAGAWPPVNVPKSQPPNAHTNYSLQQHGRSHFDSLNPINAAMNQGQNKHPYMPEQFDNFESKEQSLKTVPQLPGQRPALQQRNSLHGSLQPHFPPNDARDSFLSSATGPLPPRLLAPSMNHGYSPQMHGAGISMVPSNPIPVAQPPLSIPNMPTGSLHLQGGAMPPLPPGPRPTSQMMPAAQNAGPLLPNQPQGGPFTGLISSLMAQGLISLTKPTPIQDSVGLEFDADLLKVRHESAISALYADLPRQCTTCGLRFKFQEEHSTHMDWHVTRNRMSKNRKQKPSRKWFVSASMWLSGAEALGTDAVPGFLPTEDIVEKKDDEELAVPADEDQNLCALCGEPFDDFYSDETEEWMYRGAVYMNAPNGSVEGIDRSQLGPIVHAKCRSESSVVPPEDFVRYDGVCIFNFASKLHCHSNIVFNRPFMLFHHLIRFHSV >KJB64616 pep chromosome:Graimondii2_0_v6:10:6555807:6559174:1 gene:B456_010G057400 transcript:KJB64616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFRANPPTLFLLFLLSLFLFRPEVVSEASIFPTSHIQGYHKSLVFGEKEQSEVLPWRKIERRNLVEGSEGANNSSLILAEKRTQRKDPLNNFNKYTGGWNISNQHYWASVGFTAAPFFVIAGIWFVLFALCLFVICIRHCCCQLDSYGYSRIAYALSLIFLILFTIAAIVGCIVLYIGQGKFHASTTNTLDYAVHKADVTAENLRNVSDYLSAAKTISVDSTILSPDIQKSIDDVDKKINASASTLSTQTGDNKDRIQHGLDRMRLALVIVAAVMLFLAFLGFLFSILGLQFLVYTLVIFGWILVAGTFILCGVFNLLHNVAGDSCVAMDQWVQNPTAHTALDDILPCVDNATAQETLLQTKNVTHQLVNVVNGIINTVANRNFPPQLAPLYYNQSGPLVPVLCNPFHSNLSERMCASGEVSLHNSSEVWKKYTCNVSTPSGICTTPGRLTPQFYSQMSAAVNISYGLYRYGPFLVNLQDCTFVRDTFTDISHDHCPGLRRYSQWIYIGLVIVSAAVMLSLMFWVIYARERRHRVYTKQHDARVEGVNKGH >KJB65950 pep chromosome:Graimondii2_0_v6:10:25275774:25278030:-1 gene:B456_010G123100 transcript:KJB65950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIAQKTWELENNILAVEAPDPSSDFIFYYDEVAQAMSLKAKPWGNDPHFFKRVKISALALLKMVIHARTGGPIEVMGLMQGKTGGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVDIGAFRTYPEGYKPTDDPISEYQTIPLNKIEDFGVHCKQYYALNITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQLSDLAEKLEQAENHLTHSRIGPLGTSRKKIPGRITSW >KJB65953 pep chromosome:Graimondii2_0_v6:10:25276255:25277463:-1 gene:B456_010G123100 transcript:KJB65953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIAQKTWELENNILAVEAPDPSSDFIFYYDEVAQAMSLKAKPWGNDPHFFKRVKISALALLKMVIHARTGGPIEVMGLMQGKTGGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVDIGAFRTYPEGYKPTDDPISEYQTIPLNKIEDFGVHCKQYYALNITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQLSDLAEKLEQAENHLTHSRIGPLGTSRKKVGIIFVISTFCQSISRTND >KJB65955 pep chromosome:Graimondii2_0_v6:10:25275774:25278030:-1 gene:B456_010G123100 transcript:KJB65955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIAQKTWELENNILAVEAPDPSSDFIFYYDEVAQAMSLKAKPWGNDPHFFKRVKISALALLKMVIHARTGGPIEVMGLMQGKTGGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVDIGAFRTYPEGYKPTDDPISEYQTIPLNKIEDFGVHCKQYYALNITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQLSDLGISFLCT >KJB65952 pep chromosome:Graimondii2_0_v6:10:25276255:25277463:-1 gene:B456_010G123100 transcript:KJB65952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIAQKTWELENNILAVEAPDPSSDFIFYYDEVAQAMSLKAKPWGNDPHFFKRVKISALALLKMVIHARTGGPIEVMGLMQGKTGGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVDIGAFRTYPEGYKPTDDPISEYQTIPLNKIEDFGVHCKQYYALNITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQLSDLAEKLEQAENHLTHSRIGPLGTSRKKVGIIFVISTFCQSISRTND >KJB65951 pep chromosome:Graimondii2_0_v6:10:25275694:25278030:-1 gene:B456_010G123100 transcript:KJB65951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIAQKTWELENNILAVEAPDPSSDFIFYYDEVAQAMSLKAKPWGNDPHFFKRVKISALALLKMVIHARTGGPIEVMGLMQGKTGGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVDIGAFRTYPEGYKPTDDPISEYQTIPLNKIEDFGVHCKQYYALNITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQLSDLAEKLEQAENHLTHSRIGPLGTSRKKEESHLGKITRDSSKITVEQAHGLMSQVIKDILFNSVRQSK >KJB65954 pep chromosome:Graimondii2_0_v6:10:25276278:25278030:-1 gene:B456_010G123100 transcript:KJB65954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIAQKTWELENNILAVEAPDPSSDFIFYYDEVAQAMSLKAKPWGNDPHFFKRVKISALALLKMVIHARTGGPIEVMGLMQGKTGGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVDIGAFRTYPEGYKPTDDPISEYQTIPLNKIEDFGVHCKQYYALNITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQLSDLGISFLCT >KJB64393 pep chromosome:Graimondii2_0_v6:10:5523810:5526222:1 gene:B456_010G049300 transcript:KJB64393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQSALSNVWDKVKPFLAIVSLQFGYAGMYIISLVSLKQGMSNFILCTYRHVVATIVISPFAFVLERKIRPKMTLPIFLRIVVLGFLEPVLDQNLYYLGMMKTTATYSSAFVNMLPAVTFILAMIFRLEKINLKKIYSVAKIIGTAITVVGAMVMTLYKGPIVDFIKSGGVAHHGNTTESADKHWVTGTIMLLGSILSWSSYFILQSFTLKMYPAELSLTAWICLMGTVENAGLSLIMVRGLSAWKLGWDSRLLAAAYSGIVCSGIAYYVQGVVIRERGPVFLTSFSPLCMIITAALGTFVLAEKVHLGSILGAIIIVSGLYTVVWGKSKDGKNLESDEKSKGLQELPITNNAKSINVNDSIDGAARIVRVPASNSPFSAQRT >KJB65552 pep chromosome:Graimondii2_0_v6:10:17862543:17863149:1 gene:B456_010G100500 transcript:KJB65552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVTELPIMCGVEGGLIVYCLDEQKPMVWPSHEEVQSLLKKFYQILEIERNKKSMKLETYYKEKGSKSRDQLKKQTRKTKDVKLVLLKEMFLYYHNPKALHLQ >KJB67902 pep chromosome:Graimondii2_0_v6:10:58552927:58555487:1 gene:B456_010G217200 transcript:KJB67902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMLTTAVSLKSLKPTCTNGICNKASTSQVAFFYISLYTIALGAGGTKPNISTFGADQFDDFNPHEKELKVSFFNWWMFSSFLGALFATLGLVYIQENLGWGLGYGVPTVGLLVSLVVFYLGTPIYRHKVRKTKSPARDLIQVPVTAFKNRKLQLPQNPCQLHEHEPQYYINSGKRQVHYTPIFRFLDKAAVKDGNSGRPPCTVTQVEGTKLVLGMMLIWLVTLVPSTIWAQINTLFVKQGTTMDRSLGSSFQIPAASLGSFVTLSMLISVPMYDRYFVPFMRSKTGNPRGITLLQRLGIGFVIQVIAIAIAYAVEVRRMHVIRVHQITGPKQIVPMNIFWLLPQYVLLGIADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGIGNFLNSFLVTMVDKLTDRGEHKSWIGDNLNDSHLDYYYGFLLVISTLNLGAFIWASSKYVYKRETKEVNEGCIEMESKALEISPLGLQV >KJB67901 pep chromosome:Graimondii2_0_v6:10:58551385:58555487:1 gene:B456_010G217200 transcript:KJB67901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKGFTQDGTVDLRGRPVLASRTGKWNACAFLVGYEAFERMAFYGIASNLVNYLTTQLHEDTVSSVRNVNSWSGSVWITPILGAYIADTYLGRFWTFTVSSLIYVMGMIMLTTAVSLKSLKPTCTNGICNKASTSQVAFFYISLYTIALGAGGTKPNISTFGADQFDDFNPHEKELKVSFFNWWMFSSFLGALFATLGLVYIQENLGWGLGYGVPTVGLLVSLVVFYLGTPIYRHKVRKTKSPARDLIQVPVTAFKNRKLQLPQNPCQLHEHEPQYYINSGKRQVHYTPIFRFLDKAAVKDGNSGRPPCTVTQVEGTKLVLGMMLIWLVTLVPSTIWAQINTLFVKQGTTMDRSLGSSFQIPAASLGSFVTLSMLISVPMYDRYFVPFMRSKTGNPRGITLLQRLGIGFVIQVIAIAIAYAVEVRRMHVIRVHQITGPKQIVPMNIFWLLPQYVLLGIADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGIGNFLNSFLVTMVDKLTDRGEHKSWIGDNLNDSHLDYYYGFLLVISTLNLGAFIWASSKYVYKRETKEVNEGCIEMESKALEISPLGLQV >KJB65501 pep chromosome:Graimondii2_0_v6:10:16999442:17001112:1 gene:B456_010G097800 transcript:KJB65501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEILESKLYEAAAAGNVTIFLELIRQDHLLLDRVLVNYAIETPLHVAAILGHTDFVKEIIQRKPEFTRELDSRGSSPLHLASAKGYVDIVKALLFVNPDMCLAVDIEERNPLHLAAMKGQVHVLNELVRLRPQAARVTVSWGETILHLCVKYGQLESFKALVEVMNNQEFVNAKDDYGMTVLHLAVAYKQIETIKFLLTSTSVEVNGLNANGLTAMDVLAQSRRGLKDFDIAESLRDAGAIRAAEILHWKTETTPLIAQNVATNQPDTQHQWVQKTYKKEDWLTRKRDSLMVVASLMATMSFQAGLTPPGGLWQDDFTGTATERSHETGHSIIADTNQSYYTQYLAANTISFMASMSIILLLVTGLPFQRRFFMWILTVIVWIAITSMAITYRISLLFITPKSQELDVTQVLDYALRAWLAVMSFLLLAHTIRLTLNIFKKVEYVLGKKERPPPPLPYPNNIDF >KJB63858 pep chromosome:Graimondii2_0_v6:10:1530884:1536348:1 gene:B456_010G020300 transcript:KJB63858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NWSSRRAIKVQSQPEKLSSCKKSRRFWASKMDTIENQCEAPAIKALGSLFKLTQVHLWDYGSKETREFSFLLSDTTKSCTKNDKNCSSISHGSCDFSTSVEDIELAKEMDALGLPLSFHTNKQTSSKMTVSRKKGTRPKHSNRHKDIEEVMEFSKVSEMEIESPIFHDNSSSSFCESSYHDVAVGVDGSLCLGHKQEDSTSLVGMEQNNDGISDLVTNDAWECNLARQSDVVSKDDDINMVSSTSLGAELLQEYCLMEPGINHCNNKEDGSSMEHEKVEKVCTCNGTQQLLVPKSFSTNSEGLDSDCNDHTYGGDFGDWRVYWDSFYSRNYFYNIKTQASMWDPPPGMENLSDEMAIDSIEKSVHDGGLEKLLSDELSNGTELAAASNLTIPSVSKSFELAGEHCETSDFCDGELTSELISDVQDNLESVTKTPTETISDADKIVLETVALAKGQVDTELEAVTRKGKKKTRKRSQRKLSRDDEELQFQGMSEEHSAIIGKYWCQRYLLFSRFDDGIKMDEEGWFSVTPESIARHHASRCGSGIVVDSFTGVGGNAIQLAQRSAHVIAIDIDPKKIDYAYQNATVYGVNDQIDFVTGDFFTIAPKLKADTVFLSPPWGGPDYAKVEIYDLKTMLKPHDGYFLFNVAKKISCRIVMFLPRNVDLNQLAELSLSAQPPWSLEVEKNFLNGKLKGITAYFTDTAFEGI >KJB63861 pep chromosome:Graimondii2_0_v6:10:1532099:1536392:1 gene:B456_010G020300 transcript:KJB63861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDGSLCLGHKQEDSTSLVGMEQNNDGISDLVTNDAWECNLARQSDVVSKDDDINMVSSTSLGAELLQEYCLMEPGINHCNNKEDGSSMEHEKVEKVCTCNGTQQLLVPKSFSTNSEGLDSDCNDHTYGGDFGDWRVYWDSFYSRNYFYNIKTQASMWDPPPGMENLVFANLDNKSDEMAIDSIEKSVHDGGLEKLLSDELSNGTELAAASNLTIPSVSKSFELAGEHCETSDFCDGELTSELISDVQDNLESVTKTPTETISDADKIVLETVALAKGQVDTELEAVTRKGKKKTRKRSQRKLSRDDEELQFQGMSEEHSAIIGKYWCQRYLLFSRFDDGIKMDEEGWFSVTPESIARHHASRCGSGIVVDSFTGVGGNAIQLAQRSAHVIAIDIDPKKIDYAYQNATVYGVNDQIDFVTGDFFTIAPKLKADTVFLSPPWGGPDYAKVEIYDLKTMLKPHDGYFLFNVAKKISCRIVMFLPRNVDLNQLAELSLSAQPPWSLEVEKNFLNGKLKGITAYFTDTAFEGI >KJB63860 pep chromosome:Graimondii2_0_v6:10:1531497:1536348:1 gene:B456_010G020300 transcript:KJB63860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DQMPSYVSSDFSTSVEDIELAKEMDALGLPLSFHTNKQTSSKMTVSRKKGTRPKHSNRHKDIEEVMEFSKVSEMEIESPIFHDNSSSSFCESSYHDVAVGVDGSLCLGHKQEDSTSLVGMEQNNDGISDLVTNDAWECNLARQSDVVSKDDDINMVSSTSLGAELLQEYCLMEPGINHCNNKEDGSSMEHEKVEKVCTCNGTQQLLVPKSFSTNSEGLDSDCNDHTYGGDFGDWRVYWDSFYSRNYFYNIKTQASMWDPPPGMENLSDEMAIDSIEKSVHDGGLEKLLSDELSNGTELAAASNLTIPSVSKSFELAGEHCETSDFCDGELTSELISDVQDNLESVTKTPTETISDADKIVLETVALAKGQVDTELEAVTRKGKKKTRKRSQRKLSRDDEELQFQGMSEEHSAIIGKYWCQRYLLFSRFDDGIKMDEEGWFSVTPESIARHHASRCGSGIVVDSFTGVGGNAIQLAQRSAHVIAIDIDPKKIDYAYQNATVYGVNDQIDFVTGDFFTIAPKLKADTVFLSPPWGGPDYAKVEIYDLKTMLKPHDGYFLFNVAKKISCRIVMFLPRNVDLNQLAELSLSAQPPWSLEVEKNFLNGKLKGITAYFTDTAFEGI >KJB63856 pep chromosome:Graimondii2_0_v6:10:1530884:1535233:1 gene:B456_010G020300 transcript:KJB63856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NWSSRRAIKVQSQPEKLSSCKKSRRFWASKMDTIENQCEAPAIKALGSLFKLTQVHLWDYGSKETREFSFLLSDTTKSCTKNDKNCSSISHGSCDFSTSVEDIELAKEMDALGLPLSFHTNKQTSSKMTVSRKKGTRPKHSNRHKDIEEVMEFSKVSEMEIESPIFHDNSSSSFCESSYHDVAVGVDGSLCLGHKQEDSTSLVGMEQNNDGISDLVTNDAWECNLARQSDVVSKDDDINMVSSTSLGAELLQEYCLMEPGINHCNNKEDGSSMEHEKVEKVCTCNGTQQLLVPKSFSTNSEGLDSDCNDHTYGGDFGDWRVYWDSFYSRNYFYNIKTQASMWDPPPGMENLSDEMAIDSIEKSVHDGGLEKLLSDELSNGTELAAASNLTIPSVSKSFELAGEHCETSDFCDGELTSELISDVQDNLESVTKTPTETISDADKIVLETVALAKGQVDTELEAVTRKGKKKTRKRSQRKLSRDDEELQFQGMSEEHSAIIGKYWCQRYLLFSRFDDGIKMDEEGWFSVTPESIARHHASRCGSGIVVDSFTGVGGNAIQLAQRSAHVIAIDIDPKKIDYAYQNATVYGVNDQIDFVTGDFFTIAPKLKADTVFLSPPWGGPDYAKVEIYDLKTMLKPHDGYFLFNVAKKISCRIVMFLPRNVDLNQLAELSLSAQPPWSLEVTVNFIH >KJB63859 pep chromosome:Graimondii2_0_v6:10:1530932:1536365:1 gene:B456_010G020300 transcript:KJB63859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSSCKKSRRFWASKMDTIENQCEAPAIKALGSLFKLTQVHLWDYGSKETREFSFLLSDTTKSCTKNDKNCSSISHGSCDFSTSVEDIELAKEMDALGLPLSFHTNKQTSSKMTVSRKKGTRPKHSNRHKDIEEVMEFSKVSEMEIESPIFHDNSSSSFCESSYHDVAVGVDGSLCLGHKQEDSTSLVGMEQNNDGISDLVTNDAWECNLARQSDVVSKDDDINMVSSTSLGAELLQEYCLMEPGINHCNNKEDGSSMEHEKVEKVCTCNGTQQLLVPKSFSTNSEGLDSDCNDHTYGGDFGDWRVYWDSFYSRNYFYNIKTQASMWDPPPGMENLVFANLDNKSDEMAIDSIEKSVHDGGLEKLLSDELSNGTELAAASNLTIPSVSKSFELAGEHCETSDFCDGELTSELISDVQDNLESVTKTPTETISDADKIVLETVALAKGQVDTELEAVTRKGKKKTRKRSQRKLSRDDEELQFQGMSEEHSAIIGKYWCQRYLLFSRFDDGIKMDEEGWFSVTPESIARHHASRCGSGIVVDSFTGVGGNAIQLAQRSAHVIAIDIDPKKIDYAYQNATVYGVNDQIDFVTGDFFTIAPKLKADTVFLSPPWGGPDYAKVEIYDLKTMLKPHDGIVMFLPRNVDLNQLAELSLSAQPPWSLEVEKNFLNGKLKGITAYFTDTAFEGI >KJB63857 pep chromosome:Graimondii2_0_v6:10:1530884:1536348:1 gene:B456_010G020300 transcript:KJB63857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NWSSRRAIKVQSQPEKLSSCKKSRRFWASKMDTIENQCEAPAIKALGSLFKLTQVHLWDYGSKETREFSFLLSDTTKSCTKNDKNCSSISHGSCDFSTSVEDIELAKEMDALGLPLSFHTNKQTSSKMTVSRKKGTRPKHSNRHKDIEEVMEFSKVSEMEIESPIFHDNSSSSFCESSYHDVAVGVDGSLCLGHKQEDSTSLVGMEQNNDGISDLVTNDAWECNLARQSDVVSKDDDINMVSSTSLGAELLQEYCLMEPGINHCNNKEDGSSMEHEKVEKVCTCNGTQQLLVPKSFSTNSEGLDSDCNDHTYGGDFGDWRVYWDSFYSRNYFYNIKTQASMWDPPPGMENLSDEMAIDSIEKSVHDGGLEKLLSDELSNGTELAAASNLTIPSVSKSFELAGEHCETSDFCDGELTSELISDVQDNLESVTKTPTETISDADKIVLETVALAKGQVDTELEAVTRKGKKKTRKRSQRKLSRDDEELQFQGMSEEHSAIIGKYWCQRYLLFSRFDDGIKMDEEGWFSVTPESIARHHASRCGSGIVVDSFTGVGGNAIQLAQRSAHVIAIDIDPKKIDYAYQNATVYGVNDQIDFVTGDFFTIAPKLKADTVFLSPPWGGPDYAKVEIYDLKTMLKPHDGIVMFLPRNVDLNQLAELSLSAQPPWSLEVEKNFLNGKLKGITAYFTDTAFEGI >KJB63855 pep chromosome:Graimondii2_0_v6:10:1530881:1536348:1 gene:B456_010G020300 transcript:KJB63855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CNWSSRRAIKVQSQPEKLSSCKKSRRFWASKMDTIENQCEAPAIKALGSLFKLTQVHLWDYGSKETREFSFLLSDTTKSCTKNDKNCSSISHGSCDFSTSVEDIELAKEMDALGLPLSFHTNKQTSSKMTVSRKKGTRPKHSNRHKDIEEVMEFSKVSEMEIESPIFHDNSSSSFCESSYHDVAVGVDGSLCLGHKQEDSTSLVGMEQNNDGISDLVTNDAWECNLARQSDVVSKDDDINMVSSTSLGAELLQEYCLMEPGINHCNNKEDGSSMEHEKVEKVCTCNGTQQLLVPKSFSTNSEGLDSDCNDHTYGGDFGDWRVYWDSFYSRNYFYNIKTQASMWDPPPGMENLVFANLDNKSDEMAIDSIEKSVHDGGLEKLLSDELSNGTELAAASNLTIPSVSKSFELAGEHCETSDFCDGELTSELISDVQDNLESVTKTPTETISDADKIVLETVALAKGQVDTELEAVTRKGKKKTRKRSQRKLSRDDEELQFQGMSEEHSAIIGKYWCQRYLLFSRFDDGIKMDEEGWFSVTPESIARHHASRCGSGIVVDSFTGVGGNAIQLAQRSAHVIAIDIDPKKIDYAYQNATVYGVNDQIDFVTGDFFTIAPKLKADTVFLSPPWGGPDYAKVEIYDLKTMLKPHDGYFLFNVAKKISCRIVMFLPRNVDLNQLAELSLSAQPPWSLEVEKNFLNGKLKGITAYFTDTAFEGI >KJB65441 pep chromosome:Graimondii2_0_v6:10:15804133:15812225:-1 gene:B456_010G095400 transcript:KJB65441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDNKLSDLIDIVKSWIPRRSEPPNVSRDFWMPDQSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPAPSDEPRAELLRTKAPSPGLSPSPSATSLASSKSSCTGVSSSSTVGSTPYSTGPYHSVNFNSVVSPCGSAQMNGSAEQNNETFATSTNPSSAIVDSSLNHFGFCGNRSDDDYDDYAADRLDSESRLDAHSKEYFGVINIDEIDCAYGSVKVHPNGENLYAKSLSGSPLAENSNEQIVDGIKKFEEVNGQENAEEGEALDYEVDGTNAKPVDFENEMLLWLPPDPEDEEDEREAALFDDDDEGATGEWGYLRSSNSFGSGDYRSRDKSTEEHRQAMKNVVEGHFRALVAQLLQVENLFVDNEDGAQSWLDIIISLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGHRSESIYIITKFQCQVGNFRYIKWFILFLILLIDKPRFLILGGALEYQRISNHLSSFDTLLQQEMDHLKMAVAKIDAHHPKVLLVEKSVSRYAQEYLLDKGISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKFLEEHGSAGQGGKKLTKTLMFFEGCPKPLGYTILLKGASGDELKRVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPLTVALPDKPSSIDRSISVIPGFTISSSGKPIVSQPIDEVQKSNKAISVRPSSDNIDPPGKCTGVSSSCLSKGTHAQITFKGSPSDLIKIIGSLSDSGAYISYNDVPSLNHAFSKVDGVDPKESVETPASIGEALMGDQFLSKAPEQGGANNHADSNMLPANHVGGTELASSKRETINSNEEVMSSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLLRIKYYGSFDKPLGRFLRDNLFDQNTHCRSCEMPSEAHVHCYTHRQGSLTISVEKLQDLPLPGEREGKIWMWHRCLQCPRIKGFPPATQRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASIDVHSIYLPPSKLEFNYENQEWMQAEANEVSNRAEFLFNEVYNALQRISEKLLGSESQNSGIKAPERRICIEELEAMLQKDRKEFQVVDLRFIFTLPVTYERNLLSDSSQHAEVICKEVKVGQPVTDILEINKLRRQILFLSYVWDQRLIHVHSSTNNNVQEIMSSSIPKLGLKPVSSVEKFVEMNVTPKPSKAFSSFSSAVVETKPDIDINHGGNADEISKPGGDHKERGMDQDCQEKEAEPSLSSSATNSLESAKVVLPEGEFPVMANLSDTLEAAWTGKSHPASVVPKENGYSVPDSVITDVSAAVNSDLGICASDSNEVEVAHSPQSALPAKGLENKKSMSQASMPFPNVHNSFNKKSLINAQKLSIGEYNPVYVSSLRELERQSGARLLLPIGVNDTVVPVYDDEPTSIISYALVSSDYHSQMSELEKPKDASDSAVSSSFFDSVNSLT >KJB65444 pep chromosome:Graimondii2_0_v6:10:15801938:15813011:-1 gene:B456_010G095400 transcript:KJB65444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSAEQNNETFATSTNPSSAIVDSSLNHFGFCGNSDDDYDDYAADRLDSESRLDAHSKEYFGVINIDEIDCAYGSVKVHPNGENLYAKSLSGSPLAENSNEQIVDGIKKFEEVNGQENAEEGEALDYEVDGTNAKPVDFENEMLLWLPPDPEDEEDEREAALFDDDDEGATGEWGYLRSSNSFGSGDYRSRDKSTEEHRQAMKNVVEGHFRALVAQLLQVENLFVDNEDGAQSWLDIIISLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGHRSESIYIITKFQCQVGNFRYIKWFILFLILLIDKPRFLILGGALEYQRISNHLSSFDTLLQQEMDHLKMAVAKIDAHHPKVLLVEKSVSRYAQEYLLDKGISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKFLEEHGSAGQGGKKLTKTLMFFEGCPKPLGYTILLKGASGDELKRVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPLTVALPDKPSSIDRSISVIPGFTISSSGKPIVSQPIDEVQKSNKAISVRPSSDNIDPPGKCTGVSSSCLSKGTHAQITFKGSPSDLIKIIGSLSDSGAYISYNDVPSLNHAFSKVDGVDPKESVETPASIGEALMGDQFLSKAPEQGGANNHADSNMLPANHVGGTELASSKRETINSNEEVMSSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLLRIKYYGSFDKPLGRFLRDNLFDQNTHCRSCEMPSEAHVHCYTHRQGSLTISVEKLQDLPLPGEREGKIWMWHRCLQCPRIKGFPPATQRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASIDVHSIYLPPSKLEFNYENQEWMQAEANEVSNRAEFLFNEVYNALQRISEKLLGSESQNSGIKAPERRICIEELEAMLQKDRKEFQVVDLRFIFTLPVTYERNLLSDSSQHAEVICKEVKVGQPVTDILEINKLRRQILFLSYVWDQRLIHVHSSTNNNVQEIMSSSIPKLGLKPVSSVEKFVEMNVTPKPSKAFSSFSSAVVETKPDIDINHGGNADEISKPGGDHKERGMDQDCQEKEAEPSLSSSATNSLESAKVVLPEGEFPVMANLSDTLEAAWTGKSHPASVVPKENGYSVPDSVITDVSAAVNSDLGICASDSNEVEVAHSPQSALPAKGLENKKSMSQASMPFPNVHNSFNKKSLINAQKLSIGEYNPVYVSSLRELERQSGARLLLPIGVNDTVVPVYDDEPTSIISYALVSSDYHSQMSELEKPKDASDSAVSSSFFDSVNSLT >KJB65442 pep chromosome:Graimondii2_0_v6:10:15801938:15812225:-1 gene:B456_010G095400 transcript:KJB65442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDNKLSDLIDIVKSWIPRRSEPPNVSRDFWMPDQSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPAPSDEPRAELLRTKAPSPGLSPSPSATSLASSKSSCTGVSSSSTVGSTPYSTGPYHSVNFNSVVSPCGSAQMNGSAEQNNETFATSTNPSSAIVDSSLNHFGFCGNRSDDDYDDYAADRLDSESRLDAHSKEYFGVINIDEIDCAYGSVKVHPNGENLYAKSLSGSPLAENSNEQIVDGIKKFEEVNGQENAEEGEALDYEVDGTNAKPVDFENEMLLWLPPDPEDEEDEREAALFDDDDEGATGEWGYLRSSNSFGSGDYRSRDKSTEEHRQAMKNVVEGHFRALVAQLLQVENLFVDNEDGAQSWLDIIISLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGHRSESIYIITKFQCQVGNFRYIKWFILFLILLIDKPRFLILGGALEYQRISNHLSSFDTLLQQEMDHLKMAVAKIDAHHPKVLLVEKSVSRYAQEYLLDKGISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKFLEEHGSAGQGGKKLTKTLMFFEGCPKPLGYTILLKGASGDELKRVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPLTVALPDKPSSIDRSISVIPGFTISSSGKPIVSQPIDEVQKSNKAISVRPSSDNIDPPGKCTGVSSSCLSKGAYISYNDVPSLNHAFSKVDGVDPKESVETPASIGEALMGDQFLSKAPEQGGANNHADSNMLPANHVGGTELASSKRETINSNEEVMSSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLLRIKYYGSFDKPLGRFLRDNLFDQNTHCRSCEMPSEAHVHCYTHRQGSLTISVEKLQDLPLPGEREGKIWMWHRCLQCPRIKGFPPATQRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASIDVHSIYLPPSKLEFNYENQEWMQAEANEVSNRAEFLFNEVYNALQRISEKLLGSESQNSGIKAPERRICIEELEAMLQKDRKEFQVVDLRFIFTLPVTYERNLLSDSSQHAEVICKEVKVGQPVTDILEINKLRRQILFLSYVWDQRLIHVHSSTNNNVQEIMSSSIPKLGLKPVSSVEKFVEMNVTPKPSKAFSSFSSAVVETKPDIDINHGGNADEISKPGGDHKERGMDQDCQEKEAEPSLSSSATNSLESAKVVLPEGEFPVMANLSDTLEAAWTGKSHPASVVPKENGYSVPDSVITDVSAAVNSDLGICASDSNEVEVAHSPQSALPAKGLENKKSMSQASMPFPNVHNSFNKKSLINAQKLSIGEYNPVYVSSLRELERQSGARLLLPIGVNDTVVPVYDDEPTSIISYALVSSDYHSQMSELEKPKDASDSAVSSSFFDSVNSLT >KJB65445 pep chromosome:Graimondii2_0_v6:10:15801938:15812993:-1 gene:B456_010G095400 transcript:KJB65445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDNKLSDLIDIVKSWIPRRSEPPNVSRDFWMPDQSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPAPSDEPRAELLRTKAPSPGLSPSPSATSLASSKSSCTGVSSSSTVGSTPYSTGPYHSVNFNSVVSPCGSAQMNGSAEQNNETFATSTNPSSAIVDSSLNHFGFCGNRSDDDYDDYAADRLDSESRLDAHSKEYFGVINIDEIDCAYGSVKVHPNGENLYAKSLSGSPLAENSNEQIVDGIKKFEEVNGQENAEEGEALDYEVDGTNAKPVDFENEMLLWLPPDPEDEEDEREAALFDDDDEGATGEWGYLRSSNSFGSGDYRSRDKSTEEHRQAMKNVVEGHFRALVAQLLQVENLFVDNEDGAQSWLDIIISLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGHRSESIYIITKFQCQVGNFRYIKWFILFLILLIDKPRFLILGGALEYQRISNHLSSFDTLLQQEMDHLKMAVAKIDAHHPKVLLVEKSVSRYAQEYLLDKGISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKFLEEHGSAGQGGKKLTKTLMFFEGCPKPLGYTILLKGASGDELKRVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPLTVALPDKPSSIDRSISVIPGFTISSSGKPIVSQPIDEVQKSNKAISVRPSSDNIDPPGKCTGVSSSCLSKGTHAQITFKGSPSDLIKIIGSLSDSGAYISYNDVPSLNHAFSKVDGVDPKESVETPASIGEALMGDQFLSKAPEQGGANNHADSNMLPANHVGGTELASSKRETINSNEEVMSSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLLRIKYYGSFDKPLGRFLRDNLFDQNTHCRSCEMPSEAHVHCYTHRQGSLTISVEKLQDLPLPGEREGKIWMWHRCLQCPRIKGFPPATQRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASIDVHSIYLPPSKLEFNYENQEWMQAEANEVSNRAEFLFNEVYNALQRISEKLLGSESQNSGIKAPERRICIEELEAMLQKDRKEFQVVDLRFIFTLPVTYERNLLSDSSQHAEVICKEVKVGQPVTDILEINKLRRQILFLSYVWDQRLIHVHSSTNNNVQEIMSSSIPKLGLKPVSSVEKFVEMNVTPKPSKAFSSFSSAVVETKPDIDINHGGNADEISKPGGDHKERGMDQDCQEKEAEPSLSSSATNSLESAKVVLPEGEFPVMANLSDTLEAAWTGKSHPASVVPKENGYSVPDSVITDVSAAVNSDLGICASDSNEVEVAHSPQSALPAKGLENKKSMSQASMPFPNVHNSFNKKSLINAQKLSIGEYNPVYVSSLRELERQSGARLLLPIGVNDTVVPVYDDEPTSIISYALVSSDYHSQMSELEKPKDASDSAVSSSFGSGDDSILSLSGSFSSLVSDPISYTQDLHARVSFTDDGPLGKVKYSVTCYYAKRFESLRRTCCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTSDDRFIIKQVTKTELESFIKFGPAYFEYLSDSISTRSPTCLAKILGIYQVSSKHLKGGKESKMDVLVMENLLFRRNITRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGSKAKRLLERAVWNDTSFLALIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKTTGILGGPKNESPTVISPQQYKKRFRKAMTAYFLMVPDQWPSPTVVPSGPQTDVCEEITQGGTCSC >KJB65443 pep chromosome:Graimondii2_0_v6:10:15804133:15811720:-1 gene:B456_010G095400 transcript:KJB65443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSAEQNNETFATSTNPSSAIVDSSLNHFGFCGNRSDDDYDDYAADRLDSESRLDAHSKEYFGVINIDEIDCAYGSVKVHPNGENLYAKSLSGSPLAENSNEQIVDGIKKFEEVNGQENAEEGEALDYEVDGTNAKPVDFENEMLLWLPPDPEDEEDEREAALFDDDDEGATGEWGYLRSSNSFGSGDYRSRDKSTEEHRQAMKNVVEGHFRALVAQLLQVENLFVDNEDGAQSWLDIIISLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGHRSESIYIITKFQCQVGNFRYIKWFILFLILLIDKPRFLILGGALEYQRISNHLSSFDTLLQQEMDHLKMAVAKIDAHHPKVLLVEKSVSRYAQEYLLDKGISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKFLEEHGSAGQGGKKLTKTLMFFEGCPKPLGYTILLKGASGDELKRVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPLTVALPDKPSSIDRSISVIPGFTISSSGKPIVSQPIDEVQKSNKAISVRPSSDNIDPPGKCTGVSSSCLSKGTHAQITFKGSPSDLIKIIGSLSDSGAYISYNDVPSLNHAFSKVDGVDPKESVETPASIGEALMGDQFLSKAPEQGGANNHADSNMLPANHVGGTELASSKRETINSNEEVMSSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLLRIKYYGSFDKPLGRFLRDNLFDQNTHCRSCEMPSEAHVHCYTHRQGSLTISVEKLQDLPLPGEREGKIWMWHRCLQCPRIKGFPPATQRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASIDVHSIYLPPSKLEFNYENQEWMQAEANEVSNRAEFLFNEVYNALQRISEKLLGSESQNSGIKAPERRICIEELEAMLQKDRKEFQVVDLRFIFTLPVTYERNLLSDSSQHAEVICKEVKVGQPVTDILEINKLRRQILFLSYVWDQRLIHVHSSTNNNVQEIMSSSIPKLGLKPVSSVEKFVEMNVTPKPSKAFSSFSSAVVETKPDIDINHGGNADEISKPGGDHKERGMDQDCQEKEAEPSLSSSATNSLESAKVVLPEGEFPVMANLSDTLEAAWTGKSHPASVVPKENGYSVPDSVITDVSAAVNSDLGICASDSNEVEVAHSPQSALPAKGLENKKSMSQASMPFPNVHNSFNKKSLINAQKLSIGEYNPVYVSSLRELERQSGARLLLPIGVNDTVVPVYDDEPTSIISYALVSSDYHSQMSELEKPKDASDSAVSSSFFDSVNSLT >KJB64820 pep chromosome:Graimondii2_0_v6:10:8566667:8570597:1 gene:B456_010G066700 transcript:KJB64820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKKIAVPLVCHGHSRPVVDLFYSPVTLDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSVCLDTNALRAASGSADFTAKVWNALTGDILHSFEHKHIVRACAFSEDTRLLLTGGIEKVLRIYDLNHPEAPLREVDKSPGSVRTVSWMHSDQTLLSSCTDMGGVRLWDVRSGKIVQTLETKSPVTSAEVSQDGRYITTADGATVKFWDADHFGLVKSYNMPCTVESASLEPKYGNKFIAGGEDMWVRVFDFHTGDETACNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPLTDHEETKSHAANGSVGKVKVSVEEVSRKIGGFHIAEEGKTKEKE >KJB65938 pep chromosome:Graimondii2_0_v6:10:24716500:24717732:-1 gene:B456_010G121600 transcript:KJB65938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSDNEFDVIVVGAGVMGSSTAYQLAKRGQKTLLLEQFDFLHHRGSSHGESRTIRATYPEDYYYGLVDESYRLWEQAQSEIGFKVYFKAQQFDMGPSDAKSLLSVISTCRKNGIPYQVLDHRQVAERFSGRIDIPEDWIGVSCELGGIIKPTKAVSMFQMLAFKNGACLKDNIKVVSINKDGDRGLKVAASNGEIFWGKKCVVTVGGWMRNLVKMVCGIELPIQPLETNVCYWRIKDGHEVEYAIGNDFPTFASYGHPYIYGTPSLEYPGLIKVAVHGGYQCNPDKRPWGPGLVPDSLKQWVEQRFKGKVDSSKPAMTQLCVYSMTPDEDFVIDFLGGEFGKDVVIGGGFSGHGFKMSPAIGRILADLALIGEAKGVELKQFRIARFEENPRGNIKEYEDQVELLKSTL >KJB67068 pep chromosome:Graimondii2_0_v6:10:50362858:50367239:-1 gene:B456_010G173000 transcript:KJB67068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSCVKRLQKEYRALCKEPVSHVVARPSPNDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGITMITPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVNTTVTEKLRLAKTSLAFNCKNPTFRKLFPEYVDKHNKQQQLVSEHLSPESPEGENSKPETEKVVNSSGEDVKKVDTLRNTRRIQKQSFPTWMMLLLVSIFGIVMALPLLQL >KJB68163 pep chromosome:Graimondii2_0_v6:10:60128524:60130591:-1 gene:B456_010G229600 transcript:KJB68163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYCIAAVGGQYIWARLQSFSAFRRWGDSEQRPLARRVWGLMQRIEGLYKAASFGNLLLFLYTGRYRNLIERALRARLVYESPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSTVKSVLHPFSKNKSSSSTEDDSTCPICRASPTTPYLALPCQHRYCYYCLRTRCAAAPSFRCSRCSEPVVAMQRHGSIVEHKTHNQ >KJB68164 pep chromosome:Graimondii2_0_v6:10:60128524:60132901:-1 gene:B456_010G229600 transcript:KJB68164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQYEPELDAFLEFLIWRFSIWVDKPTPGIALMNLRYRDERAVEIRENVRTGLEGPGLTVAQKMWYCIAAVGGQYIWARLQSFSAFRRWGDSEQRPLARRVWGLMQRIEGLYKAASFGNLLLFLYTGRYRNLIERALRARLVYESPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSTVKSVLHPFSKNKSSSSTEDDSTCPICRASPTTPYLALPCQHRYCYYCLRTRCAAAPSFRCSRCSEPVVAMQRHGSIVEHKTHNQ >KJB68162 pep chromosome:Graimondii2_0_v6:10:60128504:60132920:-1 gene:B456_010G229600 transcript:KJB68162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFRSNPSTAPPPREDAWITSYQKLLPHWHSVSLSHQHSTLPISISRVNQFDAARLDIEMSAMLKEQLVKVFSLMKPGMLFQYEPELDAFLEFLIWRFSIWVDKPTPGIALMNLRYRDERAVEIRENVRTGLEGPGLTVAQKMWYCIAAVGGQYIWARLQSFSAFRRWGDSEQRPLARRVWGLMQRIEGLYKAASFGNLLLFLYTGRYRNLIERALRARLVYESPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSTVKSVLHPFSKNKSSSSTEDDSTCPICRASPTTPYLALPCQHRYCYYCLRTRCAAAPSFRCSRCSEPVVAMQRHGSIVEHKTHNQ >KJB67569 pep chromosome:Graimondii2_0_v6:10:55841865:55842887:1 gene:B456_010G1975002 transcript:KJB67569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVIDKCWRGNPLWRSQRQQLAKCSVDFVGKMINNIRKDIAKYKVIHPSDDPLSPKLGTLHYGTTMIKGKVWITFKNSMTITLQRPLLLSSFTAIDGRDIDVHITGAGCLLVYQATDIIIHGLRIHHCKAQPPSTVMGLNAKVIPLGQMDGDAIRLVTTRKVWIDHNTLYECQDGLLDVTRGSTNITVSNNWFRNQDKVMLLRHDDGHLRDKNMKVTVIFNHFGPKCNQRMPRVRHGYAHVANNFFQGWEQYAIGGSMSPSIKSEANFFIAPNDVGNKEVTWRKGDKVLWKFYSVRDVFKNGASFSKQTG >KJB66721 pep chromosome:Graimondii2_0_v6:10:42268384:42269231:1 gene:B456_010G154100 transcript:KJB66721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINNPNPSKMDRKFIERNRRIQMKALYSKLNSLVPHHTSREAISLPDQLDEATNYIKSLKANLERMKEMKDGLRGLVERANTSRSYGSKSPQIQIQEIGSSLAIALNTGFNSQFIFNETIRIVHEERVEVVNANFSVVDDDTVFHTIHLTIGEPSAAARISQRLNKFVHDVDASNKY >KJB67589 pep chromosome:Graimondii2_0_v6:10:56000338:56002106:1 gene:B456_010G198700 transcript:KJB67589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKFTPTKNLQMSIFRTPQESPSLISSIRSADQVKCNQSLAYGYLHRSSRFSSRKLRAHCCSSFNVFPRENSVHKSIELNPSKRPLPSKVEFLDPTSLGICSEPSNWPERDEIRRFTIEQKASNVGIPHSLRLRKQRGKLDSFVDNASGFAYSSVKNAISSLVFIIREIQNYTLIIRESLYSEDLVDVMSKIQQDMTLTFVWLFQQVFSETPTLLVYVMLLLANFSVQPMAENASFDVIQRQGFTQNVVTAIVLVSDKESEKEEQEDQCPKANDEVRLWEPMVEEASRIRGESVHDKALEYQIRQQLVSPLHEEVEPDNHEPNNSLFLTNYAQFLHLVVKDYDRAEEYFKRAIEVEPQDAEALGLYADFLWKVRNDQWGAEEMYIQAVEADTKNPFQVSKYANFLWSTGGEGTCFVFDESK >KJB67953 pep chromosome:Graimondii2_0_v6:10:58825991:58827775:1 gene:B456_010G219300 transcript:KJB67953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGERALFKFLKPGQRLQPADVQAAAMWGVAATTGALWLIQPFDWLKKTFLEKPESE >KJB66750 pep chromosome:Graimondii2_0_v6:10:43398895:43400556:1 gene:B456_010G156000 transcript:KJB66750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPVRFSSCRGVAFEIKPHADPFAVANLTQNDPHGSSKKSWFPWYLGSSSKVFPNSSSMQRSVSRASSHFCDLDLDEDDEDENEDDIIFESLEEGQDEEKDDKPVLPSASKREQIPKPARKQESRLSVILLDQGLFTVYKRLFVVCFTLNIIGLVLAAAGHFPYARNRAALFSIANILSLTLCRSEAFLRVVFWLAVKVLGKSWVPLPIKTATTSLLQSLGGIHSSCGISSVAWLIYALILTLKDRENTSPEIIGVSSTILSLLCLTCLAPFPLVRHLHHNVFERIHRFAGWTALALLWAFIVLTISYDPVTKSYSKELGSRLVQRQEFWFTIAITILIITPWLTVRRVPVNISAPSGHASIIKFEGGIKSGILGRISPSPLLEWHAFGIISDGKTEHMMLAGAVGDFTKSLVSNPPTHLWVRTVHFAGLPYLVNMYNRVLVVATGSGICVFLSFLLQPCSADVCVLWVAKGIAQNFGKEIKEMMNGHPKEKVIVHDTAVLGRPNVSQMSVDTAKRWRAEVVIVTSNPEGSRDVVNACKAAGIPAFGPIWDS >KJB68405 pep chromosome:Graimondii2_0_v6:10:61318853:61330930:-1 gene:B456_010G244100 transcript:KJB68405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVERLRIRSDRRPVYNLDESDDDADFVSGKSGKTEGNLEKIVRTDAKENSCQACGEGENLFSCATCTYAYHPKCLLPPLKAPLPDNWRCPECFSPLNDIDKILDCEMRPTVADDNDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFVKAFKSNPRLRTKVNNFHRQVASNNSSEDDFVAIRPEWTTVDRILACRGDGDDEKEYLVKYKELPYDECYWEFESDISAFEPEIERFNKIQSRSRKSSASKQKSSLQDAVVSKKSKEFQPYEHSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEENIAPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGTSQARAIIREYEFYHPKNHKKIKKKKSGQIVSESKQDRIKFDVLLTSYEMINLDTTSLKPIKWECMIVDEGHRLKNKDSKLFLSLKQYTTNHRTLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEQFKDISQEMQISRLHDMLAPHLLRRLKKDVMKQLPPKKELILRVDLSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIEDANEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKKWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRRSIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSGQIHYDDAAIDRLLDREQADSEDASVDDEADDEILKAFKVANYEVIKESETVAEEETQNVAVENKDTTSNSERTSYWEELLRDRYEVHKVEEFNALGKGKRSRKQMVSVEDDDLAGLEDVSSDGEDFEAEVTDADTSAVNQPGRKPYRKRIRVDNTEPLPLMEGEGKSFRVLGFNQSQRAAFVQILMRFGVGDFDWKEFASRLKQKSHDEIKDYGTLFLTHIAEDITDSPTFSDGVPKEGLRIQDVLVRIAVLLLVSKKVKDASEKPGTRLFTDDIMMRYPTLKGGKFWKEEHDLLLLRAVLKHGYGRWQAIVDDKDLRIQEVICQELNLPIINLPIPGQAGSQVQNGANTTNIEPTGTQTQGNGSGNDVGGEVAQGVADAVNQARVYHDPSILYHFRDMQRRQVEYVKKRVLLLEKGLNAEYQKEFYGEMKTNEVASEQQENGKRVADMPNARTTETPSQDSECLPPIELQKKFQQLLATTMQIDWNCLSITIRFARYWRTMFMKQFSQASI >KJB68404 pep chromosome:Graimondii2_0_v6:10:61318853:61330567:-1 gene:B456_010G244100 transcript:KJB68404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTVADDNDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFVKAFKSNPRLRTKVNNFHRQVASNNSSEDDFVAIRPEWTTVDRILACRGDGDDEKEYLVKYKELPYDECYWEFESDISAFEPEIERFNKIQSRSRKSSASKQKSSLQDAVVSKKSKEFQPYEHSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEENIAPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGTSQARAIIREYEFYHPKNHKKIKKKKSGQIVSESKQDRIKFDVLLTSYEMINLDTTSLKPIKWECMIVDEGHRLKNKDSKLFLSLKQYTTNHRTLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEQFKDISQEMQISRLHDMLAPHLLRRLKKDVMKQLPPKKELILRVDLSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIEDANEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKKWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRRSIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSGQIHYDDAAIDRLLDREQADSEDASVDDEADDEILKAFKVANYEVIKESETVAEEETQNVAVENKDTTSNSERTSYWEELLRDRYEVHKVEEFNALGKGKRSRKQMVSVEDDDLAGLEDVSSDGEDFEAEVTDADTSAVNQPGRKPYRKRIRVDNTEPLPLMEGEGKSFRVLGFNQSQRAAFVQILMRFGVGDFDWKEFASRLKQKSHDEIKDYGTLFLTHIAEDITDSPTFSDGVPKEGLRIQDVLVRIAVLLLVSKKVKDASEKPGTRLFTDDIMMRYPTLKGGKFWKEEHDLLLLRAVLKHGYGRWQAIVDDKDLRIQEVICQELNLPIINLPIPGQAGSQVQNGANTTNIEPTGTQTQGNGSGNDVGGEVAQGVADAVNQARVYHDPSILYHFRDMQRRQVEYVKKRVLLLEKGLNAEYQKEFYGEMKTNEVASEQQENGKRVADMPNARTTETPSQDSECLPPIEVIASEEISAAACNNHADRLELPQHYNKICKILEDNVHEAVQSSLNLKKNLHTLEEICGDISRILSPAEQPVVSTAAGSQSCAARSISPPNQQPAIVTEIEMEDSQRESEPEKPAIVEVTDIDLTSSDPLKDSSKIEDQDSSLDPMEKAADSSQTQTTGNDVMMEETGKEYGARTQSEAISSENERESAEKSEAGVIVLDD >KJB68407 pep chromosome:Graimondii2_0_v6:10:61321664:61330930:-1 gene:B456_010G244100 transcript:KJB68407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVERLRIRSDRRPVYNLDESDDDADFVSGKSGKTEGNLEKIVRTDAKENSCQACGEGENLFSCATCTYAYHPKCLLPPLKAPLPDNWRCPECFSPLNDIDKILDCEMRPTVADDNDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFVKAFKSNPRLRTKVNNFHRQVASNNSSEDDFVAIRPEWTTVDRILACRGDGDDEKEYLVKYKELPYDECYWEFESDISAFEPEIERFNKIQSRSRKSSASKQKSSLQDAVVSKKSKEFQPYEHSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEENIAPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGTSQARAIIREYEFYHPKNHKKIKKKKSGQIVSESKQDRIKFDVLLTSYEMINLDTTSLKPIKWECMIVDEGHRLKNKDSKLFLSLKQYTTNHRTLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEQFKDISQEMQISRLHDMLAPHLLRRLKKDVMKQLPPKKELILRVDLSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIEDANEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKKWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRRSIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSGQIHYDDAAIDRLLDREQADSEDASVDDEADDEILKAFKVANYEVIKESETVAEEETQNVAVENKDTTSNSERTSYWEELLRDRYEVHKVEEFNALGKGKRSRKQMVSVEDDDLAGLEDVSSDGEDFEAEVTDADTSAVNQPGRKPYRKRIRVDNTEPLPLMEGEGKSFRVLGFNQSQRAAFVQILMRFGVGDFDWKEFASRLKQKSHDEIKDYGTLFLTHIAEDITDSPTFSDGVPKEGLRIQDVLVRIAVLLLVSKKVKDASEKPGTRLFTDDIMMRYPTLKGGKFWKEEHDLLLLRAVLNQQ >KJB68403 pep chromosome:Graimondii2_0_v6:10:61318853:61329474:-1 gene:B456_010G244100 transcript:KJB68403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTKFFRGDGDDEKEYLVKYKELPYDECYWEFESDISAFEPEIERFNKIQSRSRKSSASKQKSSLQDAVVSKKSKEFQPYEHSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEENIAPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGTSQARAIIREYEFYHPKNHKKIKKKKSGQIVSESKQDRIKFDVLLTSYEMINLDTTSLKPIKWECMIVDEGHRLKNKDSKLFLSLKQYTTNHRTLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEQFKDISQEMQISRLHDMLAPHLLRRLKKDVMKQLPPKKELILRVDLSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIEDANEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKKWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRRSIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSGQIHYDDAAIDRLLDREQADSEDASVDDEADDEILKAFKVANYEVIKESETVAEEETQNVAVENKDTTSNSERTSYWEELLRDRYEVHKVEEFNALGKGKRSRKQMVSVEDDDLAGLEDVSSDGEDFEAEVTDADTSAVNQPGRKPYRKRIRVDNTEPLPLMEGEGKSFRVLGFNQSQRAAFVQILMRFGVGDFDWKEFASRLKQKSHDEIKDYGTLFLTHIAEDITDSPTFSDGVPKEGLRIQDVLVRIAVLLLVSKKVKDASEKPGTRLFTDDIMMRYPTLKGGKFWKEEHDLLLLRAVLKHGYGRWQAIVDDKDLRIQEVICQELNLPIINLPIPGQAGSQVQNGANTTNIEPTGTQTQGNGSGNDVGGEVAQGVADAVNQARVYHDPSILYHFRDMQRRQVEYVKKRVLLLEKGLNAEYQKEFYGEMKTNEVASEQQENGKRVADMPNARTTETPSQDSECLPPIEVIASEEISAAACNNHADRLELPQHYNKICKILEDNVHEAVQSSLNLKKNLHTLEEICGDISRILSPAEQPVVSTAAGSQSCAARSISPPNQQPAIVTEIEMEDSQRESEPEKPAIVEVTDIDLTSSDPLKDSSKIEDQDSSLDPMEKAADSSQTQTTGNDVMMEETGKEYGARTQSEAISSENERESAEKSEAGVIVLDD >KJB68406 pep chromosome:Graimondii2_0_v6:10:61318853:61332614:-1 gene:B456_010G244100 transcript:KJB68406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVERLRIRSDRRPVYNLDESDDDADFVSGKSGKTEGNLEKIVRTDAKENSCQACGEGENLFSCATCTYAYHPKCLLPPLKAPLPDNWRCPECFSPLNDIDKILDCEMRPTVADDNDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFVKAFKSNPRLRTKVNNFHRQVASNNSSEDDFVAIRPEWTTVDRILACRGDGDDEKEYLVKYKELPYDECYWEFESDISAFEPEIERFNKIQSRSRKSSASKQKSSLQDAVVSKKSKEFQPYEHSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEENIAPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGTSQARAIIREYEFYHPKNHKKIKKKKSGQIVSESKQDRIKFDVLLTSYEMINLDTTSLKPIKWECMIVDEGHRLKNKDSKLFLSLKQYTTNHRTLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEQFKDISQEMQISRLHDMLAPHLLRRLKKDVMKQLPPKKELILRVDLSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIEDANEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKKWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRRSIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSGQIHYDDAAIDRLLDREQADSEDASVDDEADDEILKAFKVANYEVIKESETVAEEETQNVAVENKDTTSNSERTSYWEELLRDRYEVHKVEEFNALGKGKRSRKQMVSVEDDDLAGLEDVSSDGEDFEAEVTDADTSAVNQPGRKPYRKRIRVDNTEPLPLMEGEGKSFRVLGFNQSQRAAFVQILMRFGVGDFDWKEFASRLKQKSHDEIKDYGTLFLTHIAEDITDSPTFSDGVPKEGLRIQDVLVRIAVLLLVSKKVKDASEKPGTRLFTDDIMMRYPTLKGGKFWKEEHDLLLLRAVLKHGYGRWQAIVDDKDLRIQEVICQELNLPIINLPIPGQAGSQVQNGANTTNIEPTGTQTQGNGSGNDVGGEVAQGVADAVNQARVYHDPSILYHFRDMQRRQVEYVKKRVLLLEKGLNAEYQKEFYGEMKTNEVASEQQENGKRVADMPNARTTETPSQDSECLPPIEVIASEEISAAACNNHADRLELPQHYNKICKILEDNVHEAVQSSLNLKKNLHTLEEICGDISRILSPAEQPVVSTAAGSQSCAARSISPPNQQPAIVTEIEMEDSQRESEPEKPAIVEVTDIDLTSSDPLKDSSKIEDQDSSLDPMEKAADSSQTQTTGNDVMMEETGKEYGARTQSEAISSENERESAEKSEAGVIVLDD >KJB65751 pep chromosome:Graimondii2_0_v6:10:21263837:21265405:-1 gene:B456_010G111900 transcript:KJB65751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGFSRPSAGQILWNGHDISQLGIFHQYKLQLNWLSLKDAVKEKFTVLDNVQWFEVLEGKHGKSLPALELMGLGRLAKDKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDEGVRLLEYIIAEHRKKGGIVIVATHLPIQIEDAMNLRLPPMFPRRMTLVDMLDRADIA >KJB63360 pep chromosome:Graimondii2_0_v6:10:28477547:28477958:1 gene:B456_010G130900 transcript:KJB63360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPEEDDKRLGIWRFENCMIIAGLLNSTEPSIGKPYLFLPTTKDVWEAVRKTYSDVDNFSQIYELKTKLWRAR >KJB65192 pep chromosome:Graimondii2_0_v6:10:14491045:14493453:-1 gene:B456_010G091600 transcript:KJB65192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRYTQRHCCQYQWSTLELLPETRAFQGSIFQKHHGFSTSASDGASAGADEEKGTIPVTFVSKDGEEIPIQVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDMEYYNKLEDPADEENDMLDLAFGLTETSRLGCQIVASPELDGIRLAIPAATRNFAVDGYVPKPH >KJB65193 pep chromosome:Graimondii2_0_v6:10:14490837:14493593:-1 gene:B456_010G091600 transcript:KJB65193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRYTQRHCCQYQWSTLELLPETRAFQGSIFQKHHGFSTSASDGASAGADEEKGTIPVTFVSKDGEEIPIQVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDMEYYNKLEDPADEENDMLDLAFGLTETSRLGCQIVASPELDGIRLAIPAATRNFAVDGYVPKPH >KJB65195 pep chromosome:Graimondii2_0_v6:10:14490791:14494319:-1 gene:B456_010G091600 transcript:KJB65195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISRLCRVGFQVAKELSRGGYAYMSRSRYTQRHCCQYQWSTLELLPETRAFQGSIFQKHHGFSTSASDGASAGADEEKGTIPVTFVSKDGEEIPIQVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDMEYYNKLEDPADEENDMLDLAFGLTETSRLGCQIVASPELDGIRLAIPAATRNFAVDGYVPKPH >KJB65194 pep chromosome:Graimondii2_0_v6:10:14491045:14493764:-1 gene:B456_010G091600 transcript:KJB65194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISRLCRVGFQVAKELSRGGYAYMSRSRYTQRHCCQYQWSTLELLPETRAFQGSIFQKHHGFSTSASDGASAGADEEKGTIPVTFVSKDGEEIPIQVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDMEYYNKLEDPADEENDMLDLAFGLTETSRLGCQIVASPELDGIRLAIPAATRNFAVDGYVPKPH >KJB65196 pep chromosome:Graimondii2_0_v6:10:14490762:14494319:-1 gene:B456_010G091600 transcript:KJB65196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRYTQRHCCQYQWSTLELLPETRAFQGSIFQKHHGFSTSASDGASAGADEEKGTIPVTFVSKDGEEIPIQVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDMEYYNKLEDPADEENDMLDLAFGLTETSRLGCQIVASPELDGIRLAIPAATRNFAVDGYVPKPH >KJB64476 pep chromosome:Graimondii2_0_v6:10:5720937:5722196:1 gene:B456_010G050700 transcript:KJB64476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSMAITEDGALFYWVSSDPHLRCQQLYSLCEKTIVSISAGKYWATTATAICGMARKVWKNHILQLGYTELREKRSLKELILRVGHERM >KJB66316 pep chromosome:Graimondii2_0_v6:10:30434101:30440109:-1 gene:B456_010G135000 transcript:KJB66316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKSFSIHWNLKSQFPNTCFLPFARRCSLNAFPLSFFHCPRIHSPKPYLPQPPLVQSNPYNQTPHDLTQARESISEYLQELGLSLEDSISISSNSPKYVQMLVDGVKELEEWNAWNDSNGEGDSLGFKEMIIYMAKEKGDNGKVAFLESVGLPLSSAMSVARYLSSESLPSIIRKVKYMKENIFSAGDDKGGLSGKNARRMMMHLSISSDEDVQQTLSFFEKIEARRGGLEILGLVDATFRFLLESFPRLLLLPVESHLEPMVEFLENIGVPRRSMGNVFLLFPPILFYKIQGIKSKFLTFEKVGAAYKDIGKMLLKYPWILSTSIQENYEQILLFFEEEKIPKTSVDRAIRSWPHLLGCSTSKLKLMVDQFGELGIRNKKFGRVIAKSPQLLLKKPQEFLQVVLFFEELGLAQETVGKLVSRCPEVFAANIDTTLKKKVELLAEFGISNDHLPRVIKKYPEDQLFDGDGAV >KJB66317 pep chromosome:Graimondii2_0_v6:10:30435019:30439933:-1 gene:B456_010G135000 transcript:KJB66317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKSFSIHWNLKSQFPNTCFLPFARRCSLNAFPLSFFHCPRIHSPKPYLPQPPLVQSNPYNQTPHDLTQARESISEYLQELGLSLEDSISISSNSPKYVQMLVDGVKELEEWNAWNDSNGEGDSLGFKEMIIYMAKEKGDNGKVAFLESVGLPLSSAMSVARYLSSESLPSIIRKVKYMKENIFSAGDDKGGLSGKNARRMMMHLSISSDEDVQQTLSFFEKIEARRGGLEILGLVDATFRFLLESFPRLLLLPVESHLEPMVEFLENIGVPRRSMGNVFLLFPPILFYKIQGIKSKFLTFEKVGAAYKDIGKMLLKYPWILSTSIQENYEQILLFFEEEKIPKTSVDRAIRSWPHLLGCSTSKLKLMVDQFGELGIRNKKFGRVIAKSPQLLLKKPQEFLQVVLFFEELGLAQETVGKLVSRCPEVFAANIDTTLKKKVELLAEFGISNDHLPRVIKKYPEVLVSDVNKTLLPR >KJB66315 pep chromosome:Graimondii2_0_v6:10:30433969:30440109:-1 gene:B456_010G135000 transcript:KJB66315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKSFSIHWNLKSQFPNTCFLPFARRCSLNAFPLSFFHCPRIHSPKPYLPQPPLVQSNPYNQTPHDLTQARESISEYLQELGLSLEDSISISSNSPKYVQMLVDGVKELEEWNAWNDSNGEGDSLGFKEMIIYMAKEKGDNGKVAFLESVGLPLSSAMSVARYLSSESLPSIIRKVKYMKENIFSAGDDKGGLSGKNARRMMMHLSISSDEDVQQTLSFFEKIEARRGGLEILGLVDATFRFLLESFPRLLLLPVESHLEPMVEFLENIGVPRRSMGNVFLLFPPILFYKIQGIKSKFLTFEKVGAAYKDIGKMLLKYPWILSTSIQENYEQILLFFEEEKIPKTSVDRAIRSWPHLLGCSTSKLKLMVDQFGELGIRNKKFGRVIAKSPQLLLKKPQEFLQVVLFFEELGLAQETVGKLVSRCPEVFAANIDTTLKKKVELLAEFGISNDHLPRVIKKYPEVLVSDVNKTLLPRINYLMEMGLSRREIALMIRRFPPLLGYSIKEVLKPKLNFLLNTIGKPVKDVVGYPRYFSYSLEKKIKPRFWVLKGKNIECSLEVMLGKNDEEFAAEFMGVGRMLVSPSATFQ >KJB67242 pep chromosome:Graimondii2_0_v6:10:53032559:53032924:1 gene:B456_010G182200 transcript:KJB67242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FYGVDLQEFKRIAKCTMKKLIWDILETAYEGTTTVKQLNMQMLTYRFESLRMQESEIIGEFYAKFCDLSNQAFVLGEEYSNTKLVRKVLRSFLEWFAIKVIVIEEAKGLERLAINELISSL >KJB63458 pep chromosome:Graimondii2_0_v6:10:55757109:55757428:1 gene:B456_010G1968003 transcript:KJB63458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRHGYAHVVTNFYQGWEQYAIGGSMSPSIKSEANFFIAPNDVGNKEVTWRKGEKGLWKFYSVRDVFKNGASFSKQTGVGGAKPNYNQEQNFKVVDAGSVKELTSES >KJB64477 pep chromosome:Graimondii2_0_v6:10:5729814:5730497:-1 gene:B456_010G050800 transcript:KJB64477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNYCFKIKQEQDSTLEQLCSWIPHHVFIIGLAFQYVSPQGTTLESFHRSLICRRDWFLSQEYGPKVVSLMLASTGASPDFHRTAIDDILSFAWEINTDPFNLRRQTITLLVELLVQATDDDGSLAALNFKPASRSFVQSLKRVKLGEDEAFLPLKKRGRIEGLSSRKQWECSICLDEVLEAEEVASMPCGHVYHSHCIVRWLETSHLCPLCRHQMPC >KJB67781 pep chromosome:Graimondii2_0_v6:10:57644263:57648370:1 gene:B456_010G210300 transcript:KJB67781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLTTPRGSGTNGYIQSNKFFVKPKTNRVTDSTKPFEADQGTAGLTTRKPNKEILEHDRKRQIELKLVILEDKLAEQGYTESEIADKLAEARKALEDAQQEKDEEEGEVIPIPTRQQKVSDTQTHQVAARKEKQMETFRAALGIGASESGLPPLPNPRKNIDEREHSFLDRDPPVSAAMDVDDPKAKADKKKGQVVEDEIDESRHRKKKKEQKRSRHHDSDTDDTDTDSSLEHSKKATRKKKSRKGYDSGSSDSDDYASGRKLKKSAKKHDRRRPSDRSKLGAASLDVDDRQAQHRRRHDSSEDDSGTDGGREKNRGEVQKQKFEGSESDSDADRARGRKKELIKEGRQRHDSSEGDSDSDGGRQKKRGEVQKQKIESTRSQRRARDMGSESDSDADRARGHRKEMVKKGRHRHNSSEDKFDSDGGRQKKRGEAKKRKIELTGSHRRERDMGSESDSDTDRARGRKKEMVKNGCRRNDSGEDDSDSDVERKKKRGEVQKGKIELTGSQRRGSDSNSDSDVDRARDHKKEIVKKRGHRYDTGDDSDSNTSDVKVEKGRRRGRRHDSDDEDSNSSYGRKIGKATEARERVGRRGSGSLTDDSDASSSDSDSTDVKRQTIEKKIAADKDRRGHRGDHDCHGVRGSRRYQEEKETPSYAAKNDDRRGRTLNEDDRLERLQKSESNREMMKGKRKLDDENHDEQPELKSRSRNLGSELERKRDNPKDAKLDSESNAKAYGENDDRNRGEYSRWGEDDRNKDEYSRWGMGDRNRDEYSRWGKDDQKRDEYSRWEKDDLKRDDYSRSVRSGGEIDCNNGRQDGRLQSKITKLDSGSMRDDQDYDDRRGGQKRGRDEEEPRGREQERDEIDHKYRSRGRDEEEHHGSRRHRKGEEDDRGIKGHVRDRQLDHSEKMAYNDTRSSDRRSRGDGRR >KJB67780 pep chromosome:Graimondii2_0_v6:10:57644258:57649444:1 gene:B456_010G210300 transcript:KJB67780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLTTPRGSGTNGYIQSNKFFVKPKTNRVTDSTKPFEADQGTAGLTTRKPNKEILEHDRKRQIELKLVILEDKLAEQGYTESEIADKLAEARKALEDAQQEKDEEEGEVIPIPTRQQKVSDTQTHQVAARKEKQMETFRAALGIGASESGLPPLPNPRKNIDEREHSFLDRDPPVSAAMDVDDPKAKADKKKGQVVEDEIDESRHRKKKKEQKRSRHHDSDTDDTDTDSSLEHSKKATRKKKSRKGYDSGSSDSDDYASGRKLKKSAKKHDRRRPSDRSKLGAASLDVDDRQAQHRRRHDSSEDDSGTDGGREKNRGEVQKQKFEGSESDSDADRARGRKKELIKEGRQRHDSSEGDSDSDGGRQKKRGEVQKQKIESTRSQRRARDMGSESDSDADRARGHRKEMVKKGRHRHNSSEDKFDSDGGRQKKRGEAKKRKIELTGSHRRERDMGSESDSDTDRARGRKKEMVKNGCRRNDSGEDDSDSDVERKKKRGEVQKGKIELTGSQRRGSDSNSDSDVDRARDHKKEIVKKRGHRYDTGDDSDSNTSDVKVEKGRRRGRRHDSDDEDSNSSYGRKIGKATEARERVGRRGSGSLTDDSDASSSDSDSTDVKRQTIEKKIAADKDRRGHRGDHDCHGVRGSRRYQEEKETPSYAAKNDDRRGRTLNEDDRLERLQKSESNREMMKGKRKLDDENHDEQPELKSRSRNLGSELERKRDNPKDAKLDSESNAKAYGENDDRNRGEYSRWGEDDRNKDEYSRWGMGDRNRDEYSRWGKDDQKRDEYSRWEKDDLKRDDYSRSVRSGGEIDCNNGRQDGRLQSKITKLDSGSMRDDQDYDDRRGGQKRGRDEEEPRGREQERDEIDHKYRSRGRDEEEHHGSRRHRKGEEDDRGIKGHVRDRQLDHSEKMAYNDTRSSDRRSRGDGRR >KJB67782 pep chromosome:Graimondii2_0_v6:10:57644252:57649507:1 gene:B456_010G210300 transcript:KJB67782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLTTPRGSGTNGYIQSNKFFVKPKTNRVTDSTKPFEADQGTAGLTTRKPNKEILEHDRKRQIELKLVILEDKLAEQGYTESEIADKLAEARKALEDAQQEKDEEEGEVIPIPTRQQKVSDTQTHQVAARKEKQMETFRAALGIGASESGLPPLPNPRKNIDEREHSFLDRDPPVSAAMDVDDPKAKADKKKGQVVEDEIDESRHRKKKKEQKRSRHHDSDTDDTDTDSSLEHSKKATRKKKSRKGYDSGSSDSDDYASGRKLKKSAKKHDRRRPSDRSKLGAASLDVDDRQAQHRRRHDSSEDDSGTDGGREKNRGEVQKQKFEGSESDSDADRARGRKKELIKEGRQRHDSSEGDSDSDGGRQKKRGEVQKQKIESTRSQRRARDMGSESDSDADRARGHRKEMVKKGRHRHNSSEDKFDSDGGRQKKRGEAKKRKIELTGSHRRERDMGSESDSDTDRARGRKKEMVKNGCRRNDSGEDDSDSDVERKKKRGEVQKGKIELTGSQRRGSDSNSDSDVDRARDHKKEIVKKRGHRYDTGDDSDSNTSDVKVEKGRRRGRRHDSDDEDSNSSYGRKIGKATEARERVGRRGSGSLTDDSDASSSDSDSTDVKRQTIEKKIAADKDRRGHRGDHDCHGVRGSRRYQEEKETPSYAAKNDDRRGRTLNEDDRLERLQKSESNREMMKGKRKLDDENHDEQPELKSRSRNLGSELERKRDNPKDAKLDSESNAKAYGENDDRNRGEYSRWGEDDRNKDEYSRWGMGDRNRDEYSRWGKDDQKRDEYSRWEKDDLKRDDYSRSVRSGGEIDCNNGRQDGRLQSKITKLDSGSMRDDQDYDDRRGGQKRGRDEEEPRGREQERDEIDHKYRSRGRDEEEHHGSRRHRKGEEDDRGIKGHVRDRQLDHSEKMAYNDTRSSDRRSRGDGRR >KJB68619 pep chromosome:Graimondii2_0_v6:10:62026738:62028745:1 gene:B456_010G255200 transcript:KJB68619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTTFLGNMNSYGNQPSSKEEFMSFSIASESPRLVDPRLEGSKRSMGSIAVLKELCMMEGLGVAFQAQPPSSFNPLQNDEVYAQVEVDGQVFGKGTGLTWEEAKMQAAEKALGSLRSMLGQFTHNRQSSLSSLQGIQGKRLKPEFPQVPQWMASYGRYPKNAPRVP >KJB68620 pep chromosome:Graimondii2_0_v6:10:62026738:62028745:1 gene:B456_010G255200 transcript:KJB68620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTTFLGNMNSYGNQPSSKEEFMSFSIASESPRLVDPRLEGSKRSMGSIAVLKELCMMEGLGVAFQAQPPSSFNPLQNDEVYAQVEVDGQVFGKGTGLTWEEAKMQAAEKALGSLRSMLGQFTHNRQSSLRCEILLFPLPMARCGKTIIAPFDVLICYIIGYLDQFLARYTR >KJB68618 pep chromosome:Graimondii2_0_v6:10:62026212:62028745:1 gene:B456_010G255200 transcript:KJB68618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIAVLKELCMMEGLGVAFQAQPPSSFNPLQNDEVYAQVEVDGQVFGKGTGLTWEEAKMQAAEKALGSLRSMLGQFTHNRQSSLSSLQGIQGKRLKPEFPQVPQWMASYGRYPKNAPRVP >KJB63751 pep chromosome:Graimondii2_0_v6:10:1119799:1121721:-1 gene:B456_010G014900 transcript:KJB63751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLHSLTATSTVAIIAFPLLFLFSLLWISRRNTNSKKTAPEAGGAWPIIGHLRLLGGSQPPHISLANMADKYGRIFSIKLGVHRALVVSNWEIAKECLTINDKAFASRPKLAFSEIMGYNGAMTGFAPYGPYWRPVRKIVTIELLSNHRLELLKPVRDSEIKTSLQHLYQQWNKKKSTNSDKVLVEMKGWFKEVTLNVITRMIVGKRIPNSSEGGENLKWKKYMDDFFELSGKFLISDALPFLRWLDIGGDMKYMKKTAKELDQVVEGWLREHKQKRAENKANSEEDFMGVMLSILSDAEELHADTINKATCLGIILAAEDTTSVTLTWALSLLLNNRDTLSKVQQELDVKVGKDRLVVTESDTKSLVYLQSIIKETLSLYPAGPLSLIHEAIEDCTVNGYHVSAGT >KJB63596 pep chromosome:Graimondii2_0_v6:10:576773:580700:1 gene:B456_010G008200 transcript:KJB63596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESENVHHQHQLHHYNQLLVGSSSSSLPCYGVSSSPHSWPPTNTFNTSEFNHNGALLQPWTNNEGTFNNPSQHKLMLKTVSSSFPMLSQSSEFYPNTHNLPPLPTKGSFSHIYPSINISNLNQASSMEAFDLLSPSRLTKNSSFKHYASEDHHHNLASFGHSHQQFQLSNQRLACNNSPSNISSSFNTETLEAKRSGNILKEAKASTATKKSRLESRACPPFKVRKEKLGDRIAALQHLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSSRNRTSRSKHGGSMMEMGNEEETRQDLKSRGLCLVPLSCMSYLTIDNGGGGSSGSFWPPPNFSRGF >KJB64702 pep chromosome:Graimondii2_0_v6:10:7390436:7397964:1 gene:B456_010G061100 transcript:KJB64702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRDSSSSPAPNRDSSAGEDDGVLPVTAALAKEAALHFQSRNFSECVDVLDQLKVKKEGDPKVLHNIAIAEIFRDGCSDPKKLLEVLNDVKKRSEELVHASREQAESGSNGGNKFTSGSKGSGTTIQQFSSSDSASVIYTVESDASVAALNIAVIWFHLHEYSKALSVLEPLYQNIEPIDETTALHICLLLLDVVLACRDASKAADVLNYLEKAFGVGNVSQGENGNMTTTLQSTNLVGKSSSVPSSSFVSDASSSDLAASVNASENPLSRTLSEDRLDEMFSTLDIGGQNLPRPTDLTSANDHARITVDRSISGVDLKLMLQLYKVRFLLLTRNVKLAKREVKHAMNIARGRDSSMALLLKAQLEYARGNHRKAIKLLMASSNRTDAATSSMFNNNLGCIYYKLGKYHTSAVFFSKALSICSSLRKEKPLKLLTFSQDKSLLITYNCGLQYLACGKPILAARCFQKASSIFYKRPHLWLRLAECCLMAVEKGLVKGNQTPSDKSEIRANVIGKGRWRKLLIEYGVSRNGHVDSVEKNGWALGGDGQPKLSLSLARQCLYNALHLLNRSEWSNSKSVLPSNSFVEESESSDGASSKNLIHKKLPVIESRASTMLVGLVNSNGDLKESKGGANQEIVQNSISYYEDIRRRENQMIKQALLANLAYVELELDNPLKALSAALLLLELPGCSRIYIFLGHVYAAEALCLLNKPKEAAEHLAIYLSGGNNIELPFSQEDFEQWRVEKPVDCEEPIGGAAAAKNPSHEGLQEFMFLKPEEARGTLYTNLAAVSAIQGELERAHHFVTQALSLVPNSSKATMTAIYVDLMLGKSQEALPKLKHCSHVRFLPSSLQLNKSS >KJB64703 pep chromosome:Graimondii2_0_v6:10:7390436:7397964:1 gene:B456_010G061100 transcript:KJB64703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRDSSSSPAPNRDSSAGEDDGVLPVTAALAKEAALHFQSRNFSECVDVLDQLKVKKEGDPKVLHNIAIAEIFRDGCSDPKKLLEVLNDVKKRSEELVHASREQAESGSNGGNKFTSGSKGSGTTIQQFSSSDSASVIYTVESDASVAALNIAVIWFHLHEYSKALSVLEPLYQNIEPIDETTALHICLLLLDVVLACRDASKAADVLNYLEKAFGVGNVSQGENGNMTTTLQSTNLVGKSSSVPSSSFVSDASSSDLAASVNASENPLSRTLSEDRLDEMFSTLDIGGQNLPRPTDLTSANDHARITVDRSISGVDLKLMLQLYKVRFLLLTRNVKLAKREVKHAMNIARGRDSSMALLLKAQLEYARGNHRKAIKLLMASSNRTDAATSSMFNNNLGCIYYKLGKYHTSAVFFSKALSICSSLRKEKPLKLLTFSQDKSLLITYNCGLQYLACGKPILAARCFQKASSIFYKRPHLWLRLAECCLMAVEKGLVKGNQTPSDKSEIRANVIGKGRWRKLLIEYGVSRNGHVDSVEKNGWALGGDGQPKLSLSLARQCLYNALHLLNRSEWSNSKSVLPSNSFVEESESSDGASSKNLIHKKLPVIESRASTMLVGLVNSNGDLKESKGGANQEIVQNSISYYEDIRRRENQMIKQALLANLAYVELELDNPLKALSAALLLLELPGCSRIYIFLGHVYAAEALCLLNKPKEAAEHLAIYLSGGNNIELPFSQEDFEQWRVEKPVDCEEPIGGAAAAKNPSHEGLQEFMFLKPEEARGTLYTNLAAVSAIQGELERAHHFVTQALSLVPNSSKATMTAIYVDLMLGKSQEALPKLKHCSHVRFLPSSLQLNKSS >KJB65208 pep chromosome:Graimondii2_0_v6:10:12675129:12677526:1 gene:B456_010G084600 transcript:KJB65208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNNSPPGSPKVNPDHNSEANPLPKDDSSLENIVRRFQDSMSLAKKHKFWETQPVGQFKDVGDTSLPEGPIEPPTPLSEVKQEPYNLPSPYEWTTCDMDSEETCNEVYNLLKNNYVEDDENMFRFNYSKEFLRWALRPPGYYKSWHIGVRAKTSKKLVAFITGVPARIRVRDEVVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGPRMTMSRTIKLYKLPDQPATPGFRKMELRDVPAVTRLLRNYLSQFVVSPDFDENDVEHWLLPTEDVIDSYLVESPKTHDITDFCSFYTLPSSILGNQNYSILKAAYSYYNVSTKTPLLQLMNDALIVAKKKDFDVFNALDVMHNESFLKELKFGPGDGQLHYYLYNYRIQNALRTSELGLVLL >KJB65209 pep chromosome:Graimondii2_0_v6:10:12675111:12677316:1 gene:B456_010G084600 transcript:KJB65209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNNSPPGSPKVNPDHNSEANPLPKDDSSLENIVRRFQDSMSLAKKHKFWETQPVGQFKDVGDTSLPEGPIEPPTPLSEVKQEPYNLPSPYEWTTCDMDSEETCNEVYNLLKNNYVEDDENMFRFNYSKEFLRWALRPPGYYKSWHIGVRAKTSKKLVAFITGVPARIRVRDEVVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGPRMTMSRTIKLYKLPDQPATPGFRKMELRDVPAVTRLLRNYLSQFVVSPDFDENDVEHWLLPTEDVIDSYLVESPKTHDITDFCSFYTLPSSILGNQNYSILKAAYSYYNVSTKTPLLQLMNDALIVAKKKDFDVFNALDVMHNESFLKELKFGPGDGQLHYYLYNYRIQNALRTSELGLVLL >KJB65646 pep chromosome:Graimondii2_0_v6:10:19065228:19067672:-1 gene:B456_010G104600 transcript:KJB65646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDIGGAFGMGAVGGAAFHFLKGTYNSPSGSRLLGGTQAVRMNAPRVGGSFAVWGGLFSTFDCTMVYVRQKEDPWNSIIAGAATGGFLSMRQGFGAASRSAMFGGILLALIEGAGIMLNKVLSQPQMPIMIDDPAPNVAGMPGYPMGQLPNQAPASIESLRHDSPPPPPQQSSSNSSSSWFGGLFGGKKQEPATGSGSKTEVLESFDAPPVPSFEYK >KJB65644 pep chromosome:Graimondii2_0_v6:10:19065890:19067552:-1 gene:B456_010G104600 transcript:KJB65644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDIGGAFGMGAVGGAAFHFLKGTYNSPSGSRLLGGTQAVRMNAPRVGGSFAVWGGLFSTFDCTMVYVRQKEDPWNSIIAGAATGGFLSMRQGFGAASRSAMFGGILLALIEGAGIMLNKVLSQPQMPIMIDDPAPNVAGMPGYPMGQLPNQAPASIESLRHDSPPPPPQQSSSNSSSSWFGGLFGGKKQEPATGSGSKTEVLESFDAPPVPSFEYK >KJB65645 pep chromosome:Graimondii2_0_v6:10:19065882:19067672:-1 gene:B456_010G104600 transcript:KJB65645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDIGGAFGMGAVGGAAFHFLKGTYNSPSGSRLLGGTQAVRMNAPRVGGSFAVWGGLFSTFDCTMVYVRQKEDPWNSIIAGAATGGFLSMRQGFGAASRSAMFGGILLALIEGAGIMLNKVLSQPQMPIMIDDPAPNVAGMPGYPMGQLPNQAPASIESLRHDSPPPPPQQSSSNSSSSWFGGLFGGKKQEPATGSGSKTEVLESFDAPPVPSFEYK >KJB67533 pep chromosome:Graimondii2_0_v6:10:55684498:55685204:-1 gene:B456_010G196000 transcript:KJB67533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLSKREIEGYKKKMTRAHSKHALGENHCSPLCRAIMESDGFTPLDERALTTQGIPPPLAFNGIDHCKAY >KJB65277 pep chromosome:Graimondii2_0_v6:10:13390011:13392448:1 gene:B456_010G087500 transcript:KJB65277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGLGSRNQKTFRPKKNAPSGSKGAKLQKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNILYGTLTEFCTANNCPTMSAGPKYEYRWADGVTIKKPIEVSAPKYVEYLMDWIEAQLDDEAIFPQKLGAPFPPNFRDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFVLFTWEFRLIDKGELAPLSDLVDSILQL >KJB63905 pep chromosome:Graimondii2_0_v6:10:1823737:1825787:1 gene:B456_010G023000 transcript:KJB63905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASIMDGPKRRCGAVSGLTTVKNPISLARLVMDKTPHSYLGFAGAEEFARKQGVELVDNEYFITEDNVGMLKLAKEANSILFDYRIPTLTTCGGSAAMENQLQMNGLPISLYAPETVGCVVVDKQGHCAAATSTGGLMNKMTGRIGDSPLIGSGTYACDLVAVSCTGEGEAIIRSTLAREVAAVMEYKGLNLHEAVDYVIKTRLDEGKAGLIAVSKNGEVACGFNTTGMFRGCATEDGFMEVGVW >KJB63906 pep chromosome:Graimondii2_0_v6:10:1824980:1825537:1 gene:B456_010G023000 transcript:KJB63906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRTPYLTIFIFPTFVSFDQFDYRIPTLTTCGGSAAMENQLQMNGLPISLYAPETVGCVVVDKQGHCAAATSTGGLMNKMTGRIGDSPLIGSGTYACDLVAVSCTGEGEAIIRSTLAREVAAVMEYKGLNLHEAVDYVIKTRLDEGKAGLIAVSKNGEVACGFNTTGMFRGCATEDGFMEVGVW >KJB63904 pep chromosome:Graimondii2_0_v6:10:1823539:1825787:1 gene:B456_010G023000 transcript:KJB63904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWAIAVHGGAGVDPNLPNERQEEAKRLLNRCLDIGISALRSNLSAIDVVELVVRELETDPLFNSGRGSALTEKGTVEMEASIMDGPKRRCGAVSGLTTVKNPISLARLVMDKTPHSYLGFAGAEEFARKQGVELVDNEYFITEDNVGMLKLAKEANSILFDYRIPTLTTCGGSAAMENQLQMNGLPISLYAPETVGCVVVDKQGHCAAATSTGGLMNKMTGRIGDSPLIGSGTYACDLVAVSCTGEGEAIIRSTLAREVAAVMEYKGLNLHEAVDYVIKTRLDEGKAGLIAVSKNGEVACGFNTTGMFRGCATEDGFMEVGVW >KJB63907 pep chromosome:Graimondii2_0_v6:10:1823737:1825787:1 gene:B456_010G023000 transcript:KJB63907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLAKEANSILFDYRIPTLTTCGGSAAMENQLQMNGLPISLYAPETVGCVVVDKQGHCAAATSTGGLMNKMTGRIGDSPLIGSGTYACDLVAVSCTGEGEAIIRSTLAREVAAVMEYKGLNLHEAVDYVIKTRLDEGKAGLIAVSKNGEVACGFNTTGMFRGCATEDGFMEVGVW >KJB64907 pep chromosome:Graimondii2_0_v6:10:10262970:10263962:1 gene:B456_010G072600 transcript:KJB64907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKAMIKETDMPEKMQTQAMDSASQALDLYDVSDCISIAAHIKKEFDKEYGGGWQCVVGSNFGCFFTHTKGTFVYFALGTLNFLIFKGAAS >KJB63880 pep chromosome:Graimondii2_0_v6:10:1827936:1831405:-1 gene:B456_010G023200 transcript:KJB63880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVISSWNLTISLNTTLSLSWRSSLPSRAIFPRPKKSKTLVVLAIALGAKPTVLVSEKLGDAGIQLLKTFANVDCSYNLSPEELCTKISLCDALIVRSGTKVTREVFESAMGRLKVVGRAGVGIDNVDISAATEHGCLVVNAPTANTIAAAEHGIALLTAVSRNVAQADASVKAGKWERNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMQVIAHDPYAPADRARAIGVELVSFEEALTTADFISLHMPLTPATSKMFNDEAFSRVKKGVRIINVARGGVIDEEALLRALDSGIVAQAALDVFTEEPPPQENKLVRHENVTVTPHLGASTTEAQVLLELSPYVALTEKLGRLAVQLVAGGSGVKFVKVTYASARGPDDLDTRLLRAMVTKGLIEPISSVFVNLVNADFIGKQRGLRLTEERIVLDGSPEKPLEFIQVRIANVESKFASAISNSGEITVEGRVKDGKPHLTKVGSFGVDVSLEGSIVLCRQSDQPGIIGKVGNILSEENVNVNFMSVGRIAPGKQAVMTIGLDEEPSRDALKKIGEITAVEEFVFLKL >KJB63879 pep chromosome:Graimondii2_0_v6:10:1827924:1831433:-1 gene:B456_010G023200 transcript:KJB63879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVISSWNLTISLNTTLSLSWRSSLPSRAIFPRPKKSKTLVVLAIALGAKPTVLVSEKLGDAGIQLLKTFANVDCSYNLSPEELCTKISLCDALIVRSGTKVTREVFESAMGRLKVVGRAGVGIDNVDISAATEHGCLVVNAPTANTIAAAEHGIALLTAVSRNVAQADASVKAGKWERNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMQVIAHDPYAPADRARAIGVELVSFEEALTTADFISLHMPLTPATSKMFNDEAFSRVKKGVRIINVARGGVIDEEALLRALDSGIVAQAALDVFTEEPPPQENKLVRHENVTVTPHLGASTTEAQEGVALEIAEAVVGALKGELAATAVNAPMVPAEVLLELSPYVALTEKLGRLAVQLVAGGSGVKFVKVTYASARGPDDLDTRLLRAMVTKGLIEPISSVFVNLVNADFIGKQRGLRLTEERIVLDGSPEKPLEFIQVRIANVESKFASAISNSGEITVEGRVKDGKPHLTKVGSFGVDVSLEGSIVLCRQSDQPGIIGKVGNILSEENVNVNFMSVGRIAPGKQAVMTIGLDEEPSRDALKKIGEITAVEEFVFLKL >KJB66156 pep chromosome:Graimondii2_0_v6:10:28179144:28179321:-1 gene:B456_010G1298002 transcript:KJB66156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RISLEAEELLKLRESLTRVYVQRTGKPLWVVSEDMERDVFMSATEAQAHGIVDLVAVK >KJB67414 pep chromosome:Graimondii2_0_v6:10:54347520:54347969:-1 gene:B456_010G190000 transcript:KJB67414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTRLSLWKPLQGASIKSIGDAELYLIHFSHMIDMEEIIFGGTWLPYSSAFKRGKYEGCGILAHRFLGSCTRLAAEDCFRKSSEESRECNGKILRF >KJB64981 pep chromosome:Graimondii2_0_v6:10:10616511:10623751:1 gene:B456_010G074600 transcript:KJB64981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALLTPQLFNLHVSYRGVMGCVYSRACIGEICVPRDARIKEPQSVRPNAAELVVFSPTSTNEDDENRDQIHSQLSLNLPGDPELGITRLSRVSAQFLPPDGSRTVKVPSGNFELNYSYLSQRGYYPDALDKANQDSFCIHTPFGTNPDDHFFGVFDGHGEFGAECSQFVKRKLCENLLRNNKFHVDATEACDAAYLTTNTQLHADSLDDSMSGTTAITVLVRGRTIYVANSGDSRAVIAQKRGKEIVAVDLSIDQTPFRVDEMERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTEDHPFFVLASDGVFEFLSSQTVVDMVAKYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHISGLSGVNCETAKPATILRPPVPQVLEATGSESPSTFSWSSRNHRARHDLSRARLRAIESSLENGQVWVPPPPSHRKTWEEEAHIERALHDHFLFRKLTDSQCHVLLDCMQRVEVQPGDIVIKQGGEGDCFYVVGSGEFEVLATQEDKNGEVPRVLQKYTAEKLSSFGELALMYNKPLQASVRAVTNGTLWALKREDFRGILMSEFSNLLSLKLLRSVDLLSRLTILQLSHVADSLSEISFSNGQALVNRNECLSALYIIQKGQVRITFDMDLLSCPSVCSLKSDNPKEDNDQQIGKELSVEKTEGSYFGEWTLLGEQIGSLSAIAVGDVTCALLTKEKFDSVVGPLTKLSQDDHKSRDYSPDVPKASLKEIDLSTLAKVSISQLEWKTCLYSTDCSEIGLVLLRDTENMLSLKRFSKQKIKKLGKEAQVLKEKDLMKSMSSAACVPEVLCTCADQMHAAILLNTCLACPLASILHTPLDEQSARFCAASIVSALEDLHENGVLYRGVSPDVLMLDKTGHLQLVDFRFGKKLSSERTFTICGMADSLAPEVVQGKGHGLPADWWALGVLIYFLLQGEMPFGSWRQSELDTFAKIARGYFILSHNLSPEAVDLITKLLEVDEKTRLGSHGSSSVRSHLWFDGVDWKGIKDRTCPVPQELASRVAQHLEIHSEDCPVAVASPPQDIAELNVPDWLDDW >KJB64984 pep chromosome:Graimondii2_0_v6:10:10616913:10622661:1 gene:B456_010G074600 transcript:KJB64984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVYSRACIGEICVPRDARIKEPQSVRPNAAELVVFSPTSTNEDDENRDQIHSQLSLNLPGDPELGITRLSRVSAQFLPPDGSRTVKVPSGNFELNYSYLSQRGYYPDALDKANQDSFCIHTPFGTNPDDHFFGVFDGHGEFGAECSQFVKRKLCENLLRNNKFHVDATEACDAAYLTTNTQLHADSLDDSMSGTTAITVLVRGRTIYVANSGDSRAVIAQKRGKEIVAVDLSIDQTPFRVDEMERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTEDHPFFVLASDGVFEFLSSQTVVDMVAKYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHISGLSGVNCETAKPATILRPPVPQVLEATGSESPSTFSWSSRNHRARHDLSRARLRAIESSLENGQVWVPPPPSHRKTWEEEAHIERALHDHFLFRKLTDSQCHVLLDCMQRVEVQPGDIVIKQGGEGDCFYVVGSGEFEVLATQEDKNGEVPRVLQKYTAEKLSSFGELALMYNKPLQASVRAVTNGTLWALKREDFRGILMSEFSNLLSLKLLRSVDLLSRLTILQLSHVADSLSEISFSNGQALVNRNECLSALYIIQKGQVRITFDMDLLSCPSVCSLKSDNPKEDNDQQIGKELSVEKTEGSYFGEWTLLGEQIGSLSAIAVGDVTCALLTKEKFDSVVGPLTKLSQDDHKSRDYSPDVPKASLKEIDLSTLAKVSISQLEWKTCLYSTDCSEIGLVLLRDTENMLSLKRFSKQKIKKLGKEAQVLKEKDLMKSMSSAACVPEVLCTCADQMHAAILLNTCLACPLASILHTPLDEQSARFCAASIVSALEDLHENGVLYRGVSPDVLMLDKTGHLQLVDFRFGKKLSSERTFTICGMADSLAPEVVQGKGHGLPADW >KJB64983 pep chromosome:Graimondii2_0_v6:10:10616822:10623751:1 gene:B456_010G074600 transcript:KJB64983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVYSRACIGEICVPRDARIKEPQSVRPNAAELVVFSPTSTNEDDENRDQIHSQLSLNLPGDPELGITRLSRVSAQFLPPDGSRTVKVPSGNFELNYSYLSQRGYYPDALDKANQDSFCIHTPFGTNPDDHFFGVFDGHGEFGAECSQFVKRKLCENLLRNNKFHVDATEACDAAYLTTNTQLHADSLDDSMSGTTAITVLVRGRTIYVANSGDSRAVIAQKRGKEIVAVDLSIDQTPFRVDEMERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTEDHPFFVLASDGVFEFLSSQTVVDMVAKYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHISGLSGVNCETAKPATILRPPVPQVLEATGSESPSTFSWSSRNHRARHDLSRARLRAIESSLENGQVWVPPPPSHRKTWEEEAHIERALHDHFLFRKLTDSQCHVLLDCMQRVEVQPGDIVIKQGGEGDCFYVVGSGEFEVLATQEDKNGEVPRVLQKYTAEKLSSFGELALMYNKPLQASVRAVTNGTLWALKREDFRGILMSEFSNLLSLKLLRSVDLLSRLTILQLSHVADSLSEISFSNGQALVNRNECLSALYIIQKGQVRITFDMDLLSCPSVCSLKSDNPKEDNDQQIGKELSVEKTEGSYFGEWTLLGEQIGSLSAIAVGDVTCALLTKEKFDSVVGPLTKLSQDDHKSRDYSPDVPKASLKEIDLSTLAKVSISQLEWKTCLYSTDCSEIGLVLLRDTENMLSLKRFSKQKIKKLGKEAQVLKEKDLMKSMSSAACVPEVLCTCADQMHAAILLNTCLACPLASILHTPLDEQSARFCAASIVSALEDLHENGVLYRGVSPDVLMLDKTGHLQLVDFRFGKKLSSERTFTICGMADSLAPEVVQGKGHGLPADWWALGVLIYFLLQGEMPFGSWRQSELDTFAKIARGYFILSHNLSPEAVDLITKLLEVDEKTRLGSHGSSSVRSHLWFDGVDWKGIKDRTCPVPQELASRVAQHLEIHSEDCPVAVASPPQDIAELNVPDWLDDW >KJB64982 pep chromosome:Graimondii2_0_v6:10:10616822:10623750:1 gene:B456_010G074600 transcript:KJB64982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVYSRACIGEICVPRDARIKEPQSVRPNAAELVVFSPTSTNEDDENRDQIHSQLSLNLPGDPELGITRLSRVSAQFLPPDGSRTVKVPSGNFELNYSYLSQRGYYPDALDKANQDSFCIHTPFGTNPDDHFFGVFDGHGEFGAECSQFVKRKLCENLLRNNKFHVDATEACDAAYLTTNTQLHADSLDDSMSGTTAITVLVRGRTIYVANSGDSRAVIAQKRGKEIVAVDLSIDQTPFRVDEMERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTEDHPFFVLASDGVFEFLSSQTVVDMVAKYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHISGLSGVNCETAKPATILRPPVPQVLEATGSESPSTFSWSSRNHRARHDLSRARLRAIESSLENGQVWVPPPPSHRKTWEEEAHIERALHDHFLFRKLTDSQCHVLLDCMQRVEVQPGDIVIKQGGEGDCFYVVGSGEFEVLATQEDKNGEVPRVLQKYTAEKLSSFGELALMYNKPLQASVRAVTNGTLWALKREDFRGILMSEFSNLLSLKLLRSVDLLSRLTILQLSHVADSLSEISFSNGQALVNRNECLSALYIIQKGQVRITFDMDLLSCPSVCSLKSDNPKEDNDQQIGKELSVEKTEGSYFGEWTLLGEQIGSLSAIAVGDVTCALLTKEKFDSVVGPLTKLSQDDHKSRDYSPDVPKASLKEIDLSTLAKVSISQLEWKTCLYSTDCSEIGLVLLRDTENMLSLKRFSKQKIKKLGKEAQVLKEKDLMKSMSSAACVPEVLCTCADQMHAAILLNTCLACPLASILHTPLDEQSARFCAASIVSALEDLHENGVLYRGVSPDVLMLDKTGHLQLVDFRFGKKLSSERTFTICGMADSLAPEVVQGKGHGLPADWWALGVLIYFLLQGEMPFGSWRQSELDTFAKIARGYFILSHNLSPEAVDLITKLLEVDEKTRLGSHGSSSVRSHLWFDGVDWKGIKDRTCPVPQELASRVAQHLEIHSEDCPVAVASPPQDIAELNVPDWLDDW >KJB64985 pep chromosome:Graimondii2_0_v6:10:10616913:10623694:1 gene:B456_010G074600 transcript:KJB64985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVYSRACIGEICVPRDARIKEPQSVRPNAAELVVFSPTSTNEDDENRDQIHSQLSLNLPGDPELGITRLSRVSAQFLPPDGSRTVKVPSGNFELNYSYLSQRGYYPDALDKANQDSFCIHTPFGTNPDDHFFGVFDGHGEFGAECSQFVKRKLCENLLRNNKFHVDATEACDAAYLTTNTQLHADSLDDSMSGTTAITVLVRGRTIYVANSGDSRAVIAQKRGKEIVAVDLSIDQTPFRVDEMERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTEDHPFFVLASDGVFEFLSSQTVVDMVAKYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHISGLSGVNCETAKPATILRPPVPQVLEATGSESPSTFSWSSRNHRARHDLSRARLRAIESSLENGQVWVPPPPSHRKTWEEEAHIERALHDHFLFRKLTDSQCHVLLDCMQRVEVQPGDIVIKQGGEGDCFYVVGSGEFEVLATQEDKNGEVPRVLQKYTAEKLSSFGELALMYNKPLQASVRAVTNGTLWALKREDFRGILMSEFSNLLSLKLLRSVDLLSRLTILQLSHVADSLSEISFSNGQALVNRNECLSALYIIQKGQIGKELSVEKTEGSYFGEWTLLGEQIGSLSAIAVGDVTCALLTKEKFDSVVGPLTKLSQDDHKSRDYSPDVPKASLKEIDLSTLAKVSISQLEWKTCLYSTDCSEIGLVLLRDTENMLSLKRFSKQKIKKLGKEAQVLKEKDLMKSMSSAACVPEVLCTCADQMHAAILLNTCLACPLASILHTPLDEQSARFCAASIVSALEDLHENGVLYRGVSPDVLMLDKTGHLQLVDFRFGKKLSSERTFTICGMADSLAPEVVQGKGHGLPADWWALGVLIYFLLQGEMPFGSWRQSELDTFAKIARGYFILSHNLSPEAVDLITKLLEVDEKTRLGSHGSSSVRSHLWFDGVDWKGIKDRTCPVPQELASRVAQHLEIHSEDCPVAVASPPQDIAELNVPDWLDDW >KJB65331 pep chromosome:Graimondii2_0_v6:10:13911415:13912766:-1 gene:B456_010G090500 transcript:KJB65331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFKAFDLGCHQIAHRVWKDYYAKVRREKISERMKYLQDLVPGCNKITDKAGMLNEIINYVQSLQWQVEVKK >KJB66643 pep chromosome:Graimondii2_0_v6:10:40129982:40132903:1 gene:B456_010G149600 transcript:KJB66643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIETDQKSSSDGKVWGFFKLPFRQTGNTTSTTSSSSAQTQPHLEGSNHHASANSVSFVAKSLLPTRRRLKLDPASKLYFPYEPGKQVRSAVRIKNTSKSYTAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVEQPENNEKPMDQKSKVKFKIMSLKVKGPMEYVPELGKHDFQSILWVVWLHLFDAQLRIFFYHFDEQKDQVAIEQILRVVFLDPKRPCPALEKLKRQLADADAAVEARKKPPEDAGPRIIGEGLVIDEWKERRERYLARQQIEGVDSA >KJB63334 pep chromosome:Graimondii2_0_v6:10:2971920:2986914:1 gene:B456_010G033100 transcript:KJB63334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIRSTLPSRLRQLLSGETATGGPSIKLDSEPPPQIKAFIDKVIQSPLQDIAIPLSGFRWEYTKGNFHHWRPLFHHFDTYFKTYLSCRNDLLLSDKILEDDNPFPKHAVLQILRVMQIILENCHNKSAFDCLEHFKLLLSSTDPEILIATLETLSALVKINPSKVHGSGKLIGCGSVNSYLLSLAQGWGSKEEGLGLYSCVLSNERTLEEGLSLFPSSTENERDKSQNQIGSSLYFQLHGLNTQGPEERVDDANSTTRVIYMPDLHLRKEDDLLIMKICIEEYNVPPELRFSLLTRIRYAHSFRSSRICRLYSRICLLAFIVLIQSNDANDELTTFFVNEPEYTNELIRIVRSEETIPGTIRTLAMLALGAQLAAYSTSHDRARILSGSSMSFTVGNRMILLNVLQKAVLSLKGSNIRGSGMVPTFLPLLEDSDPNHMHLVYLAVKALQKLMDYSSSAVSLLRELGGVELLAQRLQTEVHRVIGMSGGNDNLMVTGECLRYNDDHLYSQKRLIKVLLKALGSATYAPANSTRPLNPHDSSLPGTLSLIYGNADKFGGDIYYSAVTVMSEIIHKDPTCLPALLELGLPDSFLSSVLSGVLPSSKAITCVPNGIGAICLNAKGLEAVKETSALRFLVDIFTSKKYVLVMNEAVVPLANATEELLRHVSSLRSSGVDMIIEIVNKIASFGESSSLSGSSSMEKVNGTTAMETDSEDKGHEEHCCLGGVVDSVTEDISDEQFLQLCILHLMVLLHRTTENAETCRLFVEKCGIEALLKLLLRPSIVQSSEGMSIALQSTMVFKGFTQHHSAPLARAFCSSLREHLKKALMALGAASGSFLLDPKLMPDDGIFSPLFLVEFLLFLAASKDNRWVSALLTELGNGSKDVLEDIGLVHREILWQIALLEDAKVGTEDDSASSSFAEPESQQLESSASDTDGQRLNSFRQFLDPLLRRRIPGWNIESQFFDLINLYRELGRATGFQQRLGIDGSNMRFGANHSTLSDAPGSANKKEYDKQRSYHTSCCDMVRSLSFHIIHLCQELGKVMVLPSRRRDDTVNASPASKSVASSFASIALGHMNHGGHVNSSGSEASISTKCRYFGKVIDFINSILLDRLDSCNAIMLNCLYGRGVVQSVLTTFEATSQLLFAVNRAPASPMDSDTGNLKQDEKEDGDHAWIYGPLASYGKFMDHLVTSSFILSPFTKHLLVQTLASGDVPFPRDAETFVKVLQSMVLKAVLPVWTHPQFTDCSSEFITTVISIIRHIYSGVEVKNIASSNSARVIGPPPNETAVATIVEMGFSRSRAEEALGQVGSNSVELAMEWLFSHPEEIQEDDELARALAMSLGNSETETNVDASNDSSKELEEEMVQLPPVEELLSTCTKLLQMKEPLAFPVRDLLVLICSQNDGQYRSSVISFILDQVRDSSSVSDSRNNSLLSGLLHVLALILQEDVGAREIASKSGLVKVVTDLLSGWDSGSVDKDKHQVPKWVTTAFLALDRLLQVEQKLNTEIVEQLKGDNVSSQQTSVSIDEDNKSKLQSSFGSPRHIDIHEQKRLIEIACSCIKSQFPSETMHAVLQLCSTLTRTHSVAVCFLDGGGVSSLLSLPTSSLFPGFDNVAATIIRHVLEDPQTLQQAMEAEIKHSLAALANRHSSGRVSPRIFILNLSSVISRDPVIFMQAVKSVCQVEMVGDRPYILLVKDRDKDKPKEKEKEKTSDKDRTQQTDGKGNLCNTNSAAPGTGHGKFTDLNSKSVKMHRKYPPSFVNVIELLLDSVNVFVPPLTNEVRTDVPVDATSSTDMEIDVAAVKGKGKAIATVSDLNGVSGQDASSSIAKIAFILKLLTEILLMYASSVHVLLRRDGEISSCRVPNQRGSAGLSTSGIFHHILHRFIPYSRNSKKERKSDGDWRHKLATRASQFLVASCVRSAEARKRVFTEINCIFNDFVDSSDGFKPPSSNMQSFFDLLNDILVARTPTGSCISAEASATFIDVGLVASLTRMLEVLDLDHSESPKVVTGIVKTLELVTKEHALSADSSAIKGESSVKPAEHNHSGRVDNIDASQSMEMASQSSHDTVAADHVESFNTIQNYGGTQAVTDDVEHDQDLDGGFAPATEDHYMQETSEDARDLDNGVDNVGIHFEIQPHEQENLDDDEDEEMSGDDGDEVDEDDEEDDEDHNDLEAGDVHHLPHPDTDQDDHEIDDEFDDEVLEEDEEDGGDDEGGVIIRLEEGMNGMDVFDQIDVFGRDHSFASETLRVMPVEVFGSRRQGRTTSIYSLLGRSGENSAPSRHPLLLGPSSQRSVSPRQSENAHVMIRSDRNSDSASSQLDTIFRSLRNGRHSHPLNLWVDESQQGSGSSAAIIPQGLEELLVSQLRRSVPEKSSDHNTSVVEPQTHGDGIQLQESGAGVRSENPVENNVNNENADVPPSSAANGSSLNVNGNHMVNDSLQGTDASRHSQSIEMQFEQNDATVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGGERQGSSDRTLDPQAARARRTNVSSGNSTAVGVRDAPLHSVTEVSENSSREADQDVPAAEQQINSVAGSGSIDPAFLDALPEELRAEVLSAQQGQVAQPSNVEQQNSGDIDPEFLAALPPDIRAEVLAQQQAQRNHQSQELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYHNRNLFGMYPRNRRGESSRRGEGIGSSLDRIGGSIVSRRSVSAKVIEAEGTPLVAPEALQAMVRLLRMVQPLYKGALQKLLLNLCAHNETRTALVKILMDMLMLDTRKPINYSNSIELPYRLYGCQNNVMYSRPQRFDGIPPLVCRRVLETLTYLARNHPYVAKILLQFRLPLATLQEPRNIDQSRGKALMTEEQQEGFISVALLLSLLNQPLYLRSIAHLEQLLNLLDVIIDHAERKPFSSDKLRASPTELASTTEQIPASQISMSDAAINAENHYAPSEVAESSLKTADSSKPSASCASNECDVQSVLTNLPRAELRLLCSLLAREGLSDNAYGLVAEVMKKLVAIAPRHSHLFISELAGAIQHLIKSSMDELHKFGEAVKALLHTTSSDGAAILRVLQALSSLVSSISEKEKEMQLLPETERSSALGQVSDINAALEPLWIELSSCISKIESYSDSAPDLSAPSRTSTSRQSGVTSPLPAGAQNILPYIESFFVVCEKLHPAPQGSGHDLGMAAISDVEDASTSSGQLKTSGPITKFDEKHVFVKFSEKHRKLLNAFIRQNPGLLDKSFSLLLKVPRFVDFDNKRAHFRSKIKHQHDHHHSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTQVTDYELIPGGQNIKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFNELIPRELITIFNDKELELLISGLPDIDLDDMRANTEYSGYSAASPVIQWFWDVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKEHLEERLLLAIHEGSEGFGFG >KJB63335 pep chromosome:Graimondii2_0_v6:10:2971920:2987262:1 gene:B456_010G033100 transcript:KJB63335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIRSTLPSRLRQLLSGETATGGPSIKLDSEPPPQIKAFIDKVIQSPLQDIAIPLSGFRWEYTKGNFHHWRPLFHHFDTYFKTYLSCRNDLLLSDKILEDDNPFPKHAVLQILRVMQIILENCHNKSAFDCLEHFKLLLSSTDPEILIATLETLSALVKINPSKVHGSGKLIGCGSVNSYLLSLAQGWGSKEEGLGLYSCVLSNERTLEEGLSLFPSSTENERDKSQNQIGSSLYFQLHGLNTQGPEERVDDANSTTRVIYMPDLHLRKEDDLLIMKICIEEYNVPPELRFSLLTRIRYAHSFRSSRICRLYSRICLLAFIVLIQSNDANDELTTFFVNEPEYTNELIRIVRSEETIPGTIRTLAMLALGAQLAAYSTSHDRARILSGSSMSFTVGNRMILLNVLQKAVLSLKGSSDPSSLAFIDALLQFYLLHIVSSSASGSNIRGSGMVPTFLPLLEDSDPNHMHLVYLAVKALQKLMDYSSSAVSLLRELGGVELLAQRLQTEVHRVIGMSGGNDNLMVTGECLRYNDDHLYSQKRLIKVLLKALGSATYAPANSTRPLNPHDSSLPGTLSLIYGNADKFGGDIYYSAVTVMSEIIHKDPTCLPALLELGLPDSFLSSVLSGVLPSSKAITCVPNGIGAICLNAKGLEAVKETSALRFLVDIFTSKKYVLVMNEAVVPLANATEELLRHVSSLRSSGVDMIIEIVNKIASFGESSSLSGSSSMEKVNGTTAMETDSEDKGHEEHCCLGGVVDSVTEDISDEQFLQLCILHLMVLLHRTTENAETCRLFVEKCGIEALLKLLLRPSIVQSSEGMSIALQSTMVFKGFTQHHSAPLARAFCSSLREHLKKALMALGAASGSFLLDPKLMPDDGIFSPLFLVEFLLFLAASKDNRWVSALLTELGNGSKDVLEDIGLVHREILWQIALLEDAKVGTEDDSASSSFAEPESQQLESSASDTDGQRLNSFRQFLDPLLRRRIPGWNIESQFFDLINLYRELGRATGFQQRLGIDGSNMRFGANHSTLSDAPGSANKKEYDKQRSYHTSCCDMVRSLSFHIIHLCQELGKVMVLPSRRRDDTVNASPASKSVASSFASIALGHMNHGGHVNSSGSEASISTKCRYFGKVIDFINSILLDRLDSCNAIMLNCLYGRGVVQSVLTTFEATSQLLFAVNRAPASPMDSDTGNLKQDEKEDGDHAWIYGPLASYGKFMDHLVTSSFILSPFTKHLLVQTLASGDVPFPRDAETFVKVLQSMVLKAVLPVWTHPQFTDCSSEFITTVISIIRHIYSGVEVKNIASSNSARVIGPPPNETAVATIVEMGFSRSRAEEALGQVGSNSVELAMEWLFSHPEEIQEDDELARALAMSLGNSETETNVDASNDSSKELEEEMVQLPPVEELLSTCTKLLQMKEPLAFPVRDLLVLICSQNDGQYRSSVISFILDQVRDSSSVSDSRNNSLLSGLLHVLALILQEDVGAREIASKSGLVKVVTDLLSGWDSGSVDKDKHQVPKWVTTAFLALDRLLQVEQKLNTEIVEQLKGDNVSSQQTSVSIDEDNKSKLQSSFGSPRHIDIHEQKRLIEIACSCIKSQFPSETMHAVLQLCSTLTRTHSVAVCFLDGGGVSSLLSLPTSSLFPGFDNVAATIIRHVLEDPQTLQQAMEAEIKHSLAALANRHSSGRVSPRIFILNLSSVISRDPVIFMQAVKSVCQVEMVGDRPYILLVKDRDKDKPKEKEKEKTSDKDRTQQTDGKGNLCNTNSAAPGTGHGKFTDLNSKSVKMHRKYPPSFVNVIELLLDSVNVFVPPLTNEVRTDVPVDATSSTDMEIDVAAVKGKGKAIATVSDLNGVSGQDASSSIAKIAFILKLLTEILLMYASSVHVLLRRDGEISSCRVPNQRGSAGLSTSGIFHHILHRFIPYSRNSKKERKSDGDWRHKLATRASQFLVASCVRSAEARKRVFTEINCIFNDFVDSSDGFKPPSSNMQSFFDLLNDILVARTPTGSCISAEASATFIDVGLVASLTRMLEVLDLDHSESPKVVTGIVKTLELVTKEHALSADSSAIKGESSVKPAEHNHSGRVDNIDASQSMEMASQSSHDTVAADHVESFNTIQNYGGTQAVTDDVEHDQDLDGGFAPATEDHYMQETSEDARDLDNGVDNVGIHFEIQPHEQENLDDDEDEEMSGDDGDEVDEDDEEDDEDHNDLEAGDVHHLPHPDTDQDDHEIDDEFDDEVLEEDEEDGGDDEGGVIIRLEEGMNGMDVFDQIDVFGRDHSFASETLRVMPVEVFGSRRQGRTTSIYSLLGRSGENSAPSRHPLLLGPSSQRSVSPRQSENAHVMIRSDRNSDSASSQLDTIFRSLRNGRHSHPLNLWVDESQQGSGSSAAIIPQGLEELLVSQLRRSVPEKSSDHNTSVVEPQTHGDGIQLQESGAGVRSENPVENNVNNENADVPPSSAANGSSLNVNGNHMVNDSLQGTDASRHSQSIEMQFEQNDATVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGGERQGSSDRTLDPQAARARRTNVSSGNSTAVGVRDAPLHSVTEVSENSSREADQDVPAAEQQINSVAGSGSIDPAFLDALPEELRAEVLSAQQGQVAQPSNVEQQNSGDIDPEFLAALPPDIRAEVLAQQQAQRNHQSQELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYHNRNLFGMYPRNRRGESSRRGEGIGSSLDRIGGSIVSRRSVSAKVIEAEGTPLVAPEALQAMVRLLRMVQPLYKGALQKLLLNLCAHNETRTALVKILMDMLMLDTRKPINYSNSIELPYRLYGCQNNVMYSRPQRFDGIPPLVCRRVLETLTYLARNHPYVAKILLQFRLPLATLQEPRNIDQSRGKALMTEEQQEGFISVALLLSLLNQPLYLRSIAHLEQLLNLLDVIIDHAERKPFSSDKLRASPTELASTTEQIPASQISMSDAAINAENHYAPSEVAESSLKTADSSKPSASCASNECDVQSVLTNLPRAELRLLCSLLAREGLSDNAYGLVAEVMKKLVAIAPRHSHLFISELAGAIQHLIKSSMDELHKFGEAVKALLHTTSSDGAAILRVLQALSSLVSSISEKEKEMQLLPETERSSALGQVSDINAALEPLWIELSSCISKIESYSDSAPDLSAPSRTSTSRQSGVTSPLPAGAQNILPYIESFFVVCEKLHPAPQGSGHDLGMAAISDVEDASTSSGQLKTSGPITKFDEKHVFVKFSEKHRKLLNAFIRQNPGLLDKSFSLLLKVPRFVDFDNKRAHFRSKIKHQHDHHHSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTQVTDYELIPGGQNIKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFNELIPRELITIFNDKELELLISGLPDIDLDDMRANTEYSGYSAASPVIQWFWDVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKEHLEERLLLAIHEGSEGFGFG >KJB67418 pep chromosome:Graimondii2_0_v6:10:54409479:54411273:-1 gene:B456_010G190400 transcript:KJB67418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASETSTPMNVNENEEQGLLDAINDRYGGVIVEVTQPMDSALFASVLSASMAQWRQQGKRGVWIKLPIQHVNLVEAAVKEGFWYHHAEPNYLMLVHWLPQGAHSLPANASHRVGIGAFVMNKNREVLVVQENIGRLRGTGVWKFPTGVVNEGEDLCAAAVREVKEETAIDTKFVQVLAFRQSHKVFFEKSDIFFLCLLEPLSFEIQKQESEIEAAKWMPIEEYAAQPFVQKYELLKCSVDICLAKKDGDYSGFSPVLTSSAFSNEKSYMYFNIHDLNKQ >KJB65229 pep chromosome:Graimondii2_0_v6:10:12808819:12809219:1 gene:B456_010G085200 transcript:KJB65229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYCFLLLLQLYVQLKLPFNLRINIWYYRAPELIFGATEYTTDIDICSAGHVLAELLLGQPLFASESGVNQVVEIIK >KJB67714 pep chromosome:Graimondii2_0_v6:10:57134495:57138327:-1 gene:B456_010G205600 transcript:KJB67714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRDLLLQRYWRREDDGTYVILYHSASHKKCPPHNGYVRARLKSGGYVITPVNNGKQSLVKHMLAIDWKFWKFYLRTPAARSLTIRMLERVAALRELFKAKQGNYPPECLSMEWTSDAHLPQLEKEDIKIDTQSPEETIKIEEDVLMEVEAAKPPSGRVSLLRLNQEPDEFFDVPEADEFLDYDRLERDWSPEPSSPKIPQPKITSAAGLVRKLHGLATQKKGYTELQEVAREDSIVFSYGTSLQKDPTFTSPCSWSAGDPSSFLIRSKTYLKDNRKIKANGTSMQMVGADWLISDKREDDLGSRVGGIVQKYAERGGPEFFFVINMQIPGSPTYTLALYYMIKTPIEDHPLLNKFVNGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLLGQALNTRYFRGKNYLEADIDVGSSTVAQGVANLVLGYLNNLVVEMAFIIQGNTQEELPETLLGTCRLNHLDQSKAHVAMP >KJB67712 pep chromosome:Graimondii2_0_v6:10:57134487:57140771:-1 gene:B456_010G205600 transcript:KJB67712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWLYTIRSNRIGSQFSRKCYFILHNNVLKSYKATPISEEEEPVRSAIIDSCIRVTDDGRASINKKVFFIFTIYSTSVDNEQLKLGATSSEDAARWIRCLKDSALKESPCLAKNFSAPPKKIWSSLRLTNSKRTHSKDSVHWPFHSSVHAEAMTSDVVAPSPWKIFGCQNGLRLFKESKDKNTRGWHWNDIPAIMAVGVVDGTSEAIFRVVMSLGPSRSEWDFCLYHGSVVEHVDGHTDIIHKKLYSDWLPWGMKRRDLLLQRYWRREDDGTYVILYHSASHKKCPPHNGYVRARLKSGGYVITPVNNGKQSLVKHMLAIDWKFWKFYLRTPAARSLTIRMLERVAALRELFKAKQGNYPPECLSMEWTSDAHLPQLEKEDIKIDTQSPEETIKIEEDVLMEVEAAKPPSGRVSLLRLNQEPDEFFDVPEADEFLDYDRLERDWSPEPSSPKIPQPKITSAAGLVRKLHGLATQKKGYTELQEVAREDSIVFSYGTSLQKDPTFTSPCSWSAGDPSSFLIRSKTYLKDNRKIKANGTSMQMVGADWLISDKREDDLGSRVGGIVQKYAERGGPEFFFVINMQIPGSPTYTLALYYMIKTPIEDHPLLNKFVNGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLLGQALNTRYFRGKNYLEADIDVGSSTVAQGVANLVLGYLNNLVVEMAFIIQGNTQEELPETLLGTCRLNHLDQSKAHVAMP >KJB67713 pep chromosome:Graimondii2_0_v6:10:57134487:57140788:-1 gene:B456_010G205600 transcript:KJB67713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWLYTIRSNRIGSQFSRKCYFILHNNVLKSYKATPISEEEEPVRSAIIDSCIRVTDDGRASINKKVFFIFTIYSTSVDNEQLKLGATSSEDAARWIRCLKDSALKESPCLAKNFSAPPKKIWSSLRLTNSKRTHSKDSVHWPFHSSVHAEAMTSDVVAPSPWKIFGCQNGLRLFKESKDKNTRGWHWNDIPAIMAVGVVDGTSEAIFRVVMSLGPSRSEWDFCLYHGSVVEHVDGHTDIIHKKLYSDWLPWGMKRRDLLLQRYWRREDDGTYVILYHSASHKKCPPHNGYVRARLKSGGYVITPVNNGKQSLVKHMLAIDWKFWKFYLRTPAARSLTIRMLERVAALRELFKAKQGNYPPECLSMEWTSDAHLPQLEKEDIKIDTQSPEETIKIEEDVLMEVEAAKPPSGRVSLLRLNQEPDEFFDVPEADEFLDYDRLERDWSPEPSSPPKITSAAGLVRKLHGLATQKKGYTELQEVAREDSIVFSYGTSLQKDPTFTSPCSWSAGDPSSFLIRSKTYLKDNRKIKANGTSMQMVGADWLISDKREDDLGSRVGGIVQKYAERGGPEFFFVINMQIPGSPTYTLALYYMIKTPIEDHPLLNKFVNGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLLGQALNTRYFRGKNYLEADIDVGSSTVAQGVANLVLGYLNNLVVEMAFIIQGNTQEELPETLLGTCRLNHLDQSKAHVAMP >KJB68520 pep chromosome:Graimondii2_0_v6:10:61625547:61628736:1 gene:B456_010G248100 transcript:KJB68520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVSKTSKSELATLESVGTTSKQVVGEASEKKRSLILDQNGSVESLTNKLESSSLVSCLKKASSGVDSSIYETRDSPEISVDQEKKTSEYGSVKSSLVSAKVSDGTSSLAKISDRLDYVESGKSSICRGSTSSDVSDESSCSSFSSSINKPHKANDLRWEAIQAVRAKDGILGLSHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQKQPGKHFPEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLVKTSSLESEPLRKNPVYCVQPACIEPSCIQPACVVPTTCFSPRLFSSKSRKDRKLKNEVGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVELERVPASPASTSEKVAVNAAAYNQKGRIIMNISMVVSNPRLKVSS >KJB68519 pep chromosome:Graimondii2_0_v6:10:61626089:61628736:1 gene:B456_010G248100 transcript:KJB68519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVSKTSKSELATLESVGTTSKQVVGEASEKKRSLILDQNGSVESLTNKLESSSLVSCLKKASSGVDSSIYETRDSPEISVDQEKKTSEYGSVKSSLVSAKVSDGTSSLAKISDRLDYVESGKSSICRGSTSSDVSDESSCSSFSSSINKPHKANDLRWEAIQAVRAKDGILGLSHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQKQPGKHFPEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLVKTSSLESEPLRKNPVYCVQPACIEPSCIQPACVVPTTCFSPRLFSSKSRKDRKLKNEVGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVELERVPASPASTSEKVAVNAAAYNQKGSDNYLEFDFF >KJB68521 pep chromosome:Graimondii2_0_v6:10:61626745:61628554:1 gene:B456_010G248100 transcript:KJB68521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVSKTSKSELATLESVGTTSKQVVGEASEKKRSLILDQNGSVESLTNKLESSSLVSCLKKASSGVDSSIYETRDSPEISVDQEKKTSEYGSVKSSLVSAKVSDGTSSLAKISDRLDYVESGKSSICRGSTSSDVSDESSCSSFSSSINKPHKANDLRWEAIQAVRAKDGILGLSHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQKQPGKHFPEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLVKTSSLESEPLRKNPVYCVQPACIEPSCIQPACVVPTTCFSPRLFSSKSRKDRKLKNEVGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVELERVPASPASTSEKVAVNAAAYNQKGSDNYLEFDFF >KJB66652 pep chromosome:Graimondii2_0_v6:10:40415031:40417571:1 gene:B456_010G150200 transcript:KJB66652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNCSTLGFRVPHLLPQTNYIASTTYVGSCFPAHRTKTHPHNFHIVSQLKSNQNFSSSSSSSVMEEQRELVVSPAQAQEAYAKELDVAIRAVQMASSLSLNLQHTSLHSEHDNSLLTLPDWSVHAAVSWILSESFGCQNVSILGNKDVQSLSKADAAGLLDAVVKTVNGCLAEAPHFGLKGPETPLGSVDVLEAISRCNSSGGPTGRFWALGHLDGTFGFVRDDQYAVALALIEDGEVVLGVLGCPNYPMKKEWLTYHHRYHRILSKLTPPTSKSWDKGCVIHASKGSGEAWIQPIHQENKLVPWANSAIRVQVSSIDNPALATFCEPIDKLNSTHSFTAGLAHSVGLRCIHIFSCLISLAYTNFICIIKTTIIHIANAGSNRCVCTAW >KJB66651 pep chromosome:Graimondii2_0_v6:10:40414960:40417603:1 gene:B456_010G150200 transcript:KJB66651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNCSTLGFRVPHLLPQTNYIASTTYVGSCFPAHRTKTHPHNFHIVSQLKSNQNFSSSSSSSVMEEQRELVVSPAQAQEAYAKELDVAIRAVQMASSLSLNLQHTSLHSEHDNSLLTLPDWSVHAAVSWILSESFGCQNVSILGNKDVQSLSKADAAGLLDAVVKTVNGCLAEAPHFGLKGPETPLGSVDVLEAISRCNSSGGPTGRFWALGHLDGTFGFVRDDQYAVALALIEDGEVVLGVLGCPNYPMKKEWLTYHHRYHRILSKLTPPTSKSWDKGCVIHASKGSGEAWIQPIHQENKLVPWANSAIRVQVSSIDNPALATFCEPIDKLNSTHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAEIFMKFARTGSKEKIWDHAAGVIIIQEAGGVVTDAVGSPLDFSKGMFLEGVDRGIIACAGAKLHEEIITGIDASWNSSNL >KJB63242 pep chromosome:Graimondii2_0_v6:10:44679479:44680741:1 gene:B456_010G1587002 transcript:KJB63242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMPVITSPQCLPTKPIRTHIPSASLTLLTGSRRRISVSSNAANKSSLLHCSFLPSSSSSLSFPSSFSGLSLGTDFGSNKGVKNERRRCLVVRAGKAALCQTKRNRSRKSLARTHGFRRRMRTTSGRAVLKRRRAKGRKVLCTKSNPNSGKR >KJB63243 pep chromosome:Graimondii2_0_v6:10:44679779:44680478:1 gene:B456_010G1587002 transcript:KJB63243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMPVITSPQCLPTKPIRTHIPSASLTLLTGSRRRISVSSNAANKSSLLHCSFLPSSSSSLSFPSSFSGLSLGTDFGSNKGVKNERRRCLVVRAGKAALCQTKRNRSRKSLARTHGFRRRMRTTSGRAVLKRRRAKGRKVLCTKSNPN >KJB64211 pep chromosome:Graimondii2_0_v6:10:3472680:3474920:1 gene:B456_010G037300 transcript:KJB64211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPQEVDNYIKETIEDSLGLEISTQSLQLKLRSTEEAQRRLRDQCLFLLSKLKEKDQIIERSKAEANMNAAALKRFVEENQKLAAECANLLTQCNKWERECSLYDRDREALMDFGNEADERAKKAEIRAHELEEELRKLTEELRFYKHHYENQGIDSSSEGTALEENLLESVLSTLISKDEVMCGRAFLEANNSLEPCQKMLKMWNRLRPSTRKILSLAAEVKTLEKDKEHLRMNLCKAEDEVKVLFEENNILDEANKRLLRQYHKEKNLHGSDGKHSGSASTKTNKRKSSPKICSPIEKKIDFTDPDSARKPLSPLRHNSPDLRMHK >KJB64210 pep chromosome:Graimondii2_0_v6:10:3472895:3474518:1 gene:B456_010G037300 transcript:KJB64210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPQEVDNYIKETIEDSLGLEISTQSLQLKLRSTEEAQRRLRDQCLFLLSKLKEKDQIIERSKAEANMNAAALKRFVEENQKLAAECANLLTQCNKWERECSLYDRDREALMDFGNEADERAKKAEIRAHELEEELRKLTEELRFYKHHYENQGIDSSSEGTALEENLLESVLSTLISKDEVMCGRAFLEANNSLEPCQKMLKMWNRLRPSTRKILSLAAEVKTLEKDKEHLRMNLCKAEDEVKVLFEENNILDEANKRLLRQYHKEKNLHGSDGKHSGSASTKCYYLPDSLADKQAKIKSQDMQSN >KJB64209 pep chromosome:Graimondii2_0_v6:10:3472580:3475153:1 gene:B456_010G037300 transcript:KJB64209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPQEVDNYIKETIEDSLGLEISTQSLQLKLRSTEEAQRRLRDQCLFLLSKLKEKDQIIERSKAEANMNAAALKRFVEENQKLAAECANLLTQCNKWERECSLYDRDREALMDFGNEADERAKKAEIRAHELEEELRKLTEELRFYKHHYENQGIDSSSEGTALEENLLESVLSTLISKDEVMCGRAFLEANNSLEPCQKMLKMWNRLRPSTRKILSLAAEVKTLEKDKEHLRMNLCKAEDEVKVLFEENNILDEANKRLLRQYHKEKNLHGSDGKHSGSASTKTNKRKSSPKICSPIEKKIDFTDPDSARKPLSPLRHNSPDLRMHK >KJB65081 pep chromosome:Graimondii2_0_v6:10:11661883:11664167:-1 gene:B456_010G080200 transcript:KJB65081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPARPIPQKRTAEEDELAALQAEMAL >KJB65082 pep chromosome:Graimondii2_0_v6:10:11661883:11665476:-1 gene:B456_010G080200 transcript:KJB65082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRLFGKPKQEANALTTLDKLNETLEMLEKKEKVLVKKAAAEVEKAKEFAKGRNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPARPIPQKRTAEEDELAALQAEMAL >KJB65080 pep chromosome:Graimondii2_0_v6:10:11661883:11663627:-1 gene:B456_010G080200 transcript:KJB65080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLMLSYAAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPARPIPQKRTAEEDELAALQAEMAL >KJB65421 pep chromosome:Graimondii2_0_v6:10:15557687:15561808:-1 gene:B456_010G094300 transcript:KJB65421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELATSFISGAQLNLLCPKNLTTSTSRSYKLPKRTKFLSLHSLLPPPFSNAFICQGSSFGFINNSTSTSSTNSNISNRFYKRLDSCLIIPPSRNKKPRAIIKFLGGAFLGAVPEVTYSYLIELLAKEGFLIISVPYNVTFDHEQATKQVYERFNACLDNILAFGYPDANLTPADLVNLPLFSVGHSNGALLQVLTGSYFSERIPKANAIISYNNKSATEAVPYFEQLGPLVRQMMPMVETSPMYSMARSASDDAWKMFIDTAGAMIPERDQEAFVSFTNFVDQLPSVFGQVTQGISEFKPTPSENRECCKNKYNVQHTLLVKFNFDTIDETDLLEETLKPRVESIAGTLEKVQLSGNHITPCVLEPKWQAGYVYTPADAIAQGFKTLSLSETKVLSRTISDWFRRFEE >KJB65420 pep chromosome:Graimondii2_0_v6:10:15558019:15561808:-1 gene:B456_010G094300 transcript:KJB65420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELATSFISGAQLNLLCPKNLTTSTSRSYKLPKRTKFLSLHSLLPPPFSNAFICQGSSFGFINNSTSTSSTNSNISNRFYKRLDSCLIIPPSRNKKPRAIIKFLGGAFLGAVPEVTYSYLIELLAKEGFLIISVPYNVTFDHEQATKQVYERFNACLDNILAFGYPDANLTPADLVNLPLFSVGHSNGALLQVLTGSYFSERIPKANAIISYNNKSATEAVPYFEQLGPLVRQMMPMVETSPMYSMARSASDDAWKMFIDTAGAMIPERDQEAFVSFTNFVDQLPSVFGQVTQGISEFKPTPSENRECCKNKYNVQHTLLVKFNFDTIDETDLLEETLKPRVESIAGTLEKVQLSGNHITPCVLEPKWQAGYVYTPADAIAQGFKTLSLSETKVLSRTISDWFRRFEE >KJB65422 pep chromosome:Graimondii2_0_v6:10:15558108:15561662:-1 gene:B456_010G094300 transcript:KJB65422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELATSFISGAQLNLLCPKNLTTSTSRSYKLPKRTKFLSLHSLLPPPFSNAFICQGSSFGFINNSTSTSSTNSNISNRFYKRLDSCLIIPPSRNKKPRAIIKFLGGAFLGAVPEVTYSYLIELLAKEGFLIISVPYNVTFDHEQATKQVYERFNACLDNILAFGYPDANLTPADLVNLPLFSVGHSNGALLQVLTGSYFSERIPKANAIISYNNKSATEAVPYFEQLGPLVRQMMPMVETSPMYSMARSASDDAWKMFIDTAGAMIPERDQEAFVSFTNFVDQLPSVFGQVCICLLQSCLRYYMK >KJB65419 pep chromosome:Graimondii2_0_v6:10:15557060:15561841:-1 gene:B456_010G094300 transcript:KJB65419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELATSFISGAQLNLLCPKNLTTSTSRSYKLPKRTKFLSLHSLLPPPFSNAFICQGSSFGFINNSTSTSSTNSNISNRFYKRLDSCLIIPPSRNKKPRAIIKFLGGAFLGAVPEVTYSYLIELLAKEGFLIISVPYNVTFDHEQATKQVYERFNACLDNILAFGYPDANLTPADLVNLPLFSVGHSNGALLQVLTGSYFSERIPKANAIISYNNKSATEAVPYFEQLGPLVRQMMPMVETSPMYSMARSASDDAWKMFIDTAGAMIPERDQEAFVSFTNFVDQLPSVFGQVTQGISEFKPTPSENRECCKNKYNVQHTLLVKFNFDTIDETDLLEETLKPRVESIAGTLEKVQLSGNHITPCVLEPKWQAGYVYTPADAIAQGFKTLSLSETKVLSRTISDWFRRFEE >KJB67216 pep chromosome:Graimondii2_0_v6:10:52808797:52811267:1 gene:B456_010G180900 transcript:KJB67216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAVTSSSSSTAISGSSFPRSSASPDLKVPQIGAVRLADRHATVSLSRRRCAVKPVNAEPKRNDSIVPLAATIAAPEISEKVEVEDFEQLAKELDNASPLEIMDKALEKFGNDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYRFFNEVEKHYGIRMEYMFPDAVEVQALVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPVFEGLDGGIGSLVKWNPVANVDGKDIWNFLRAMNVPANTLHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNLKQDSAAQLNGNGNGASHANGTAK >KJB67215 pep chromosome:Graimondii2_0_v6:10:52809348:52810312:1 gene:B456_010G180900 transcript:KJB67215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKALEKFGNDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYRFFNEVEKHYGIRMEYMFPDAVEVQALVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPVFEGLDGGIGSLVKWNPVANVDGKDIWNFLRAMNVPANTLHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNLKQDSAAQLNGNGNGASHANGTAK >KJB67217 pep chromosome:Graimondii2_0_v6:10:52808796:52811225:1 gene:B456_010G180900 transcript:KJB67217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAVTSSSSSTAISGSSFPRSSASPDLKVPQIGAVRLADRHATVSLSRRRCAVKPVNAEPKRNDSIVPLAATIAAPEISEKVEVEDFEQLAKELDNASPLEIMDKALEKFGNDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYRFFNEVEKHYGIRMEYMFPDAVEVQALVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPVFEGLDGGIGSLVKWNPVANVDGKDIWNFLRAMNVPANTLHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNLKQDSAAQLNGNGNGASHANGTAKTGIENLARLENRKEPWLVVLYAPWCRFCQEMEESYVELAEKLAGSGVKVAKFRADGEQKEYAKTELQLGSFPTILFFPKHSSKPIKYASEKRDVDSLMAFINALR >KJB68206 pep chromosome:Graimondii2_0_v6:10:60603341:60606126:1 gene:B456_010G235600 transcript:KJB68206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGRGRTTRGGRPFYRGGYSDQSSNPDYGMSESRGRRSPWSGSRHSNHENAAVYRPRMPLPVGSGLRGQNDTLETQGTSDALSHCSSSMGLNPSVEGEKIELSLVEVTESCAVSLLHHDLSHNVNISGSVDKSEPSQERSPPQSSSGIDDLNQVKCQAVIEPFDICLPKIGTPVMLKPSLLVKNREKRNEIKRSAEGQIGNVLRPGMVLLKKYLSLGDQVKIVRACRALGLGSGGFYQPGYRDGAKLHLKMMCLGKNWDPETGNYGDLRPIDRAVPPGIPREFFQLVEKVIKDSHSLVQLKTKASHVEHILPSMKPNICIVNFYSASGRLGLHQVCSFKPFFYRRKSLPVSNSFSFNRITMSFIVLDNHFSRMA >KJB68207 pep chromosome:Graimondii2_0_v6:10:60603341:60606949:1 gene:B456_010G235600 transcript:KJB68207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGRGRTTRGGRPFYRGGYSDQSSNPDYGMSESRGRRSPWSGSRHSNHENAAVYRPRMPLPVGSGLRGQNDTLETQGTSDALSHCSSSMGLNPSVEGEKIELSLVEVTESCAVSLLHHDLSHNVNISGSVDKSEPSQERSPPQSSSGIDDLNQVKCQAVIEPFDICLPKIGTPVMLKPSLLVKNREKRNEIKRSAEGQIGNVLRPGMVLLKKYLSLGDQVKIVRACRALGLGSGGFYQPGYRDGAKLHLKMMCLGKNWDPETGNYGDLRPIDRAVPPGIPREFFQLVEKVIKDSHSLVQLKTKASHVEHILPSMKPNICIVNFYSASGRLGLHQDKDESPESLHKGLPVISFSIGDAAEFLYSDQREVDKAEKVELESGDVLVFGGSSRHIFHGVTAIKQKTAPGSLLEETNLRPGRLNLTFREY >KJB68278 pep chromosome:Graimondii2_0_v6:10:60633511:60640871:-1 gene:B456_010G235900 transcript:KJB68278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRDKNQTVWRVLKIHRLDSSELTILEDPTTYSEFECFDLLRRIHDGNRSTGGLNFVTACYGIVGFVKFLGPYYMLLIKKRRKIGAICGHNIYAVTKSEMIPISNSPDQSNVAYSKNEKRYKKLLCAVDLTKDFFFSYSYNVMHSLQRNLRKNETGLGHYETMFVWNEFLTRGIRNNLNNTLWTVALVYGFFKQVKLSVSGRDFMFTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGCPTQISSVVQNRGSIPLFWSQETSRLNLRPDIILSKKDPNYDATRLHFENLVRRYGNPIIILNLIKRCEKKPRETILRAEFANSIRILNKSLTEEDCLRFLHWDLSRHSRKATKSVAVLGRVADYALNLTGIFYCQVTPNCRPEGLLNLSCLVQNDEKLGKDAGNDKQNSSENVKPPMFQNGVLRTNCIDCLDRTNVAQYAHGLMALGRQLHAMSFIESHTIDQNSPLVDELMTVYEEMGDTLALQYGGSAAHNKIFCQMRGQWKAAIQSQEFFRTLQRYYRNAYLDAEKQSAINLFLGHFQPQQGKPALWELDSDQHYTVGSHGLNSDEYAKPSFKRSLSDGNILYGTNAPVAASNVGHLEPLSENLGATRGLSDSSSEMPTSEISYSSLTPRMSCKQLFGDMEMDHFSEGNRICFDENGDECNCTNFDMDWLSSSGNSCDDDTCDRSTTGPFSENIGVELKTEIATSSSESGSSIKGGYRTTSELTFDDIPAEFTEIFVNWVTHGDMLIPIMITSR >KJB68276 pep chromosome:Graimondii2_0_v6:10:60633465:60638939:-1 gene:B456_010G235900 transcript:KJB68276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGCPTQISSVVQNRGSIPLFWSQETSRLNLRPDIILSKKDPNYDATRLHFENLVRRYGNPIIILNLIKRCEKKPRETILRAEFANSIRILNKSLTEEDCLRFLHWDLSRHSRKATKSVAVLGRVADYALNLTGIFYCQVTPNCRPEGLLNLSCLVQNDEKLGKDAGNDKQNSSENVKPPMFQNGVLRTNCIDCLDRTNVAQYAHGLMALGRQLHAMSFIESHTIDQNSPLVDELMTVYEEMGDTLALQYGGSAAHNKIFCQMRGQWKAAIQSQEFFRTLQRYYRNAYLDAEKQSAINLFLGHFQPQQGKPALWELDSDQHYTVGSHGLNSDEYAKPSFKRSLSDGNILYGTNAPVAASNVGHLEPLSENLGATRGLSDSSSEMPTSEISYSSLTPRMSCKQLFGDMEMDHFSEGNRICFDENGDECNCTNFDMDWLSSSGNSCDDDTCDRSTTGPFSENIGVELKTEIATSSSESGSSIKGGYRTTSELTFDDIPAEFTEIFVNWVTHGDMLIPIMITSR >KJB68277 pep chromosome:Graimondii2_0_v6:10:60633465:60641801:-1 gene:B456_010G235900 transcript:KJB68277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENYSNGGPDSNPCYLQKFGLYSTCSNFYMIGRDKNQTVWRVLKIHRLDSSELTILEDPTTYSEFECFDLLRRIHDGNRSTGGLNFVTACYGIVGFVKFLGPYYMLLIKKRRKIGAICGHNIYAVTKSEMIPISNSPDQSNVAYSKNEKRYKKLLCAVDLTKDFFFSYSYNVMHSLQRNLRKNETGLGHYETMFVWNEFLTRGIRNNLNNTLWTVALVYGFFKQVKLSVSGRDFMFTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGCPTQISSVVQNRGSIPLFWSQETSRLNLRPDIILSKKDPNYDATRLHFENLVRRYGNPIIILNLIKRCEKKPRETILRAEFANSIRILNKSLTEEDCLRFLHWDLSRHSRKATKSVAVLGRVADYALNLTGIFYCQVTPNCRPEGLLNLSCLVQNDEKLGKDAGNDKQNSSENVKPPMFQNGVLRTNCIDCLDRTNVAQYAHGLMALGRQLHAMSFIESHTIDQNSPLVDELMTVYEEMGDTLALQYGGSAAHNKIFCQMRGQWKAAIQSQEFFRTLQRYYRNAYLDAEKQSAINLFLGHFQPQQGKPALWELDSDQHYTVGSHGLNSDEYAKPSFKRSLSDGNILYGTNAPVAASNVGHLEPLSENLGATRGLSDSSSEMPTSEISYSSLTPRMSCKQLFGDMEMDHFSEGNRICFDENGDECNCTNFDMDWLSSSGNSCDDDTCDRSTTGPFSENIGVELKTEIATSSSESGSSIKGGYRTTSELTFDDIPAEFTEIFVNWVTHGDMLIPIMITSR >KJB65768 pep chromosome:Graimondii2_0_v6:10:23677440:23680410:1 gene:B456_010G118700 transcript:KJB65768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEHNNVTLGMVRDSLIRQEDTIVYSLIERARFPLNPPTYDPSYASIPGFGGSLLEFFVKQTEAVQAKAGRYDNPEEHPFFPDNLPPSLVPHYKYPEVLHPAAMSININKLIWDMYFNKLLPSFVSPGDDGNYALTAARDLECLQAISRRIHYGKLVAEVKFRDERKDYEPAIRAQDRFTLTNLLTFTNVEEAVKKRVAKKAMTFGQEVKLGDDGDKGKYKVDPAIVSGLYADWVIPLTKEVEIDYLLRRLN >KJB65771 pep chromosome:Graimondii2_0_v6:10:23677348:23680410:1 gene:B456_010G118700 transcript:KJB65771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEHNNVTLGMVRDSLIRQEDTIVYSLIERARFPLNPPTYDPSYASIPGFGGSLLEFFVKQTEAVQAKAGRYDNPEEHPFFPDNLPPSLVPHYKYPEVLHPAAMSININKLIWDMYFNKLLPSFVSPGDDGNYALTAARDLECLQAISRRIHYGKLVAEVKFRDERKDYEPAIRAQDRFTLTNLLTFTNVEEAVKKRVAKKAMTFGQEVKLGDDGDKGKYKVDPAIVSGLYADWVIPLTKEVEIDYLLRRLN >KJB65770 pep chromosome:Graimondii2_0_v6:10:23677440:23680355:1 gene:B456_010G118700 transcript:KJB65770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEHNNVTLGMVRDSLIRQEDTIVYSLIERARFPLNPPTYDPSYASIPGFGGSLLEFFVKQTEAVQAKAGRYDNPEEHPFFPDNLPPSLVPHYKYPEVLHPAAMSININKLIWDMYFNKLLPSFVSPGDDGNYALTAARDLECLQAISRRIHYGKLVAEVKFRDERKDYEPAIRAQIYSDKFVDVYKR >KJB65772 pep chromosome:Graimondii2_0_v6:10:23677723:23680355:1 gene:B456_010G118700 transcript:KJB65772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTTLFLLASSACKHEMAKAEHNNVTLGMVRDSLIRQEDTIVYSLIERARFPLNPPTYDPSYASIPGFGGSLLEFFVKQTEAVQAKAGRYDNPEEHPFFPDNLPPSLVPHYKYPEVLHPAAMSININKLIWDMYFNKLLPSFVSPGDDGNYALTAARDLECLQAISRRIHYGKLVAEVKFRDERKDYEPAIRAQDRFTLTNLLTFTNVEEAVKKRVAKKAMTFGQEVKLGDDGDKGKYKVDPAIVSGLYADWVIPLTKEVEIDYLLRRLN >KJB65769 pep chromosome:Graimondii2_0_v6:10:23677776:23679394:1 gene:B456_010G118700 transcript:KJB65769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEHNNVTLGMVRDSLIRQEDTIVYSLIERARFPLNPPTYDPSYASIPGFGGSLLEFFVKQTEAVQAKAGRYDNPEEHPFFPDNLPPSLVPHYKYPEVLHPAAMSININKLIWDMYFNKLLPSFVSPGDDGNYALTAARDLECLQAISRRIHYGKLVAEVKFRDERKDYEPAIRAQVF >KJB63726 pep chromosome:Graimondii2_0_v6:10:970596:972029:1 gene:B456_010G012700 transcript:KJB63726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPQLLHFLHVLSFNVFAIAAVIATVTAATVTLQLKEAPQFYNSPDCPSITNIEDDPNGESSIFCSDQAVHVAVTLDSPYIRGSMAVTLSVLQHSSCPQNIVFHFVTSAATNVSFLHATISASFPYLNFQIYPFDDASVSRLISTSIRSALDCPLNYARSYLANLLPLCVTRVVYLDSDLILVDDIAKLAATPLGDNSVLAAPQYCNANFTSYFTTTFWSNPSLYLTFANRKPCYFNTGVMVMDLDRWRNGDYTTKIEEWMEIQKQMRIYELGSLPPFLLVFAGNIVPVDHRWNQHGLGGDNFRGLCRNLHPGPVSLLHWSGKGKPWARLDANRPCPLDALWAPYDLLQTPFVLDS >KJB68533 pep chromosome:Graimondii2_0_v6:10:61660612:61664691:-1 gene:B456_010G248600 transcript:KJB68533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFTGGREAFPQHVTPFPDLTAIMEPAEDLMMSDHRPTLPPRKLRPIRYNGRSPASSQAEDHSEFAEAVELVGDEVCAINGSSFDYLTPPIKAEVGDVTATVGGGGSGVEGPPSSEQRGEPSGSSSDSDDDLSATGNEPLKKRKRKSRKKIKLFLEKLVMKVMDKQEQMHKQLMEMIEKREKERLIREEAWKRQEMERVKRDEEARAQEMSRSIALISFIQNALGHEIEIPISTMSCMEENGFKDASEDHIQKDTVNPIGPTNRWQEGMMQANGAENHEGGVSCDPNNRRWPDAEVQALVMLRSTLEHKFHITGSKCSIWDEISAGMYNMGYSRSAKKCKEKWENINKYFRKSMGSGKKHHENSKRCAYFHDLDVLYKNGFGNPVNHINCIKVDNMDNGESLKGNEG >KJB68534 pep chromosome:Graimondii2_0_v6:10:61660641:61664691:-1 gene:B456_010G248600 transcript:KJB68534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFTGGREAFPQHVTPFPDLTAIMEPAEDLMMSDHRPTLPPRKLRPIRYNGRSPASSQAEDHSEFAEAVELVGDEVCAINGSSFDYLTPPIKAEVGDVTATVGGGGSGVEGPPSSEQRGEPSGSSSSDSDDDLSATGNEPLKKRKRKSRKKIKLFLEKLVMKVMDKQEQMHKQLMEMIEKREKERLIREEAWKRQEMERVKRDEEARAQEMSRSIALISFIQNALGHEIEIPISTMSCMEENGFKDASEDHIQKDTVNPIGPTNRWQEGMMQANGAENHEGGVSCDPNNRRWPDAEVQALVMLRSTLEHKFHITGSKCSIWDEISAGMYNMGYSRSAKKCKEKWENINKYFRKSMGSGKKHHENSKRCAYFHDLDVLYKNGFGNPVNHINCIKVDNMDNGESLKGNEG >KJB66342 pep chromosome:Graimondii2_0_v6:10:31508049:31510547:1 gene:B456_010G136700 transcript:KJB66342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEAKTTSNVGGGGFKARMEHYIYSGEKKHVMAGIAIVALVFGAPWFLMNRGTKHQSHQDYMEKADKARSQRLSSSK >KJB66343 pep chromosome:Graimondii2_0_v6:10:31508072:31510459:1 gene:B456_010G136700 transcript:KJB66343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEAKTTSNVGGGGFKARMEHYIYSGEKKHVMAGIAIVALVFGAPWFLMNRGLIFLVGTKHQSHQDYMEKADKARSQRLSSSK >KJB66414 pep chromosome:Graimondii2_0_v6:10:33332574:33334368:1 gene:B456_010G139300 transcript:KJB66414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPPCCDKLNVKKGLWTEEEDAKILAYVSKHGTGNWTAVPKKAGLRRCGKSCRLRWTNYLRPDLKRESFTPQEEELIIRLHAALGSRWSIIAQQLPGRTDNDVKNYWNTKLRKKLSEMGIDPVTHKPFSQVLADYGNIGGLLKSRTRIGSLNRDMKNSFMIKPEPHPPQPAIATEGFSNINNRRVMKTMASPGIEPIQENFFPSSNINQHAATCGSLDLLSQLQAIKLVTEASNYGGYQIISPQFPNQYTLSLSSPSSSSSTSSTCSTTAQEKAGLAFSWRDFLLEDAFLPYDHHPQGQDHIPEFESKDIAPQNHSGNETSAEHIDDDKNIIINNNSRVLSGMDSELLSYGIQASSSTESSFVAAMLDQENEMFSEFANLLEDPCY >KJB67750 pep chromosome:Graimondii2_0_v6:10:57382222:57393772:-1 gene:B456_010G208000 transcript:KJB67750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFDQLENMYDLGLKPVMLRSLIRQYLPAENHPLNLNNSCFELPSLVSIVQTHCLLSELDSQSIDPKLINTWKSAVDDWLSCLLSLLSSDMSDKCWVGICLLGVTCQECSNQRFLSSYSIWLIKLLSHIQPPADSQLVKIASCTSLADLLTRLARFPEVKKDGNLLAGKLVQPVLKLLNEDNVEAVWEGAANLLYALIAFFPASIHHYYDKVEAAIALKILSGKYSTKTLKKLGYCLALLPKAKADKDSWSLMMQKFLISINDHLNEAFQGVEEEAKSDEARRLLVSPGKDLPLPLGGASFKGTSSERLPTATISTLMFCCCKMLTSSYPVQVTVPVRSMLALVERLLRVDGSLPHTMLPFMTSVQQELICSELPVLHAYCLELLIAIIKGMRRQLLPHSAYIVRVVTRYFKRCSLPELRIKLYSIIRMLLVSMGVGIAIYLAPDVIENASYDLNSLGGEDIETSPANTGPATGALPQLSNRKRKHGAKTGSLEEKQDAPSPKVGESNTHQMTPITVKMAALDTLEVLLTVGAASKSESWRSSIDSLLMKTAINSCKRGWGNLESNIFLPHESASVWADFQFSSLRALLTSFLAPARTRPPYLSQGLELFRRGKQEAGMKLAQFCAYALFALEVLIHPRALPLDDFYSACHNSTDGASNRFLENIYSGSQKQNTSFLSAMRRTEQGGVESHDDDLYDRWLQNENENENQNENENIPVEDMKDQTSRPNDPSFTNVLEVREQEPAAANADVHMRTENEIVVQPWHLEESVPKSQGVASAKAVMSPAVGTNPEVDGFDHVAGKTSSTLPNAKKGSSSMVHLDSDSSMDSFPGIVDADPDTDADSD >KJB67751 pep chromosome:Graimondii2_0_v6:10:57382329:57393772:-1 gene:B456_010G208000 transcript:KJB67751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFDQLENMYDLGLKPVMLRSLIRQYLPAENHPLNLNNSCFELPSLVSIVQTHCLLSELDSQSIDPKLINTWKSAVDDWLSCLLSLLSSDMSDKCWVGICLLGVTCQECSNQRFLSSYSIWLIKLLSHIQPPADSQLVKIASCTSLADLLTRLARFPEVKKDGNLLAGKLVQPVLKLLNEDNVEAVWEGAANLLYALIAFFPASIHHYYDKVEAAIALKILSGKYSTKTLKKLGYCLALLPKAKADKDSWSLMMQKFLISINDHLNEAFQGVEEEAKSDEARRLLVSPGKDLPLPLGGASFKGTSSERLPTATISTLMFCCCKMLTSSYPVQVTVPVRSMLALVERLLRVDGSLPHTMLPFMTSVQQELICSELPVLHAYCLELLIAIIKGMRRQLLPHSAYIVRVVTRYFKRCSLPELRIKLYSIIRMLLVSMGVGIAIYLAPDVIENASYDLNSLGGEDIETSPANTGPATGALPQLSNRKRKHGAKTGSLEEKQDAPSPKVGESNTHQMTPITVKMAALDTLEVLLTVGAASKSESWRSSIDSLLMKTAINSCKRGWGNLESNIFLPHESASVWADFQFSSLRALLTSFLAPARTRPPYLSQGLELFRRGKQEAGMKLAQFCAYALFALEVLIHPRALPLDDFYSACHNSTDGASNRFLENIYSGSQKQNTSFLSAMRRTEQGGVESHDDDLYDRWLQNENENENQNENENIPVEDMKDQTSRPNDPSFTNVLEVREQEPAAANADVHMRTENEIVVQPWHLEESVPKSQGVASAKAVMSPAVGTNPEVDGFDHVAGKTSSTLPNAKKGSSSMVHLDSDSSMDSFPGIVDADPDTDADSD >KJB63496 pep chromosome:Graimondii2_0_v6:10:104739:106837:-1 gene:B456_010G002600 transcript:KJB63496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAEDYNRLRPLSYRGADVFILAFSLISKASYENVTKKWIPELKHYAPGVPIVLVGTKLDLRDDQQFFVDHPGAVLISTAQGEELRKQVGSSAYIECSSKTQQNVKAVFDSAIKVVLQPPKKSKKKKAHGGCSIL >KJB63495 pep chromosome:Graimondii2_0_v6:10:104679:106860:-1 gene:B456_010G002600 transcript:KJB63495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVTKKWIPELKHYAPGVPIVLVGTKLDLRDDQQFFVDHPGAVLISTAQGEELRKQVGSSAYIECSSKTQQNVKAVFDSAIKVVLQPPKKSKKKKAHGGCSIL >KJB66118 pep chromosome:Graimondii2_0_v6:10:27518974:27520867:1 gene:B456_010G128000 transcript:KJB66118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKLAVSNHNDAGNGEEEKIPSQKRRGRPQKPIKDNMNDEVENLEEGGGENGKPDIKTNERKSSIAATNGNKRKRNSQVKGKPDSVKEENGFGSISNTDDSSKANGFRQNGSRRKSKPRRAAEAVVECK >KJB68050 pep chromosome:Graimondii2_0_v6:10:59484897:59486757:-1 gene:B456_010G2233002 transcript:KJB68050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YGCWGICFFYGTWFALSGLKAAGKNFKNCLAIRKGVEFLLKTQREDGGWGESYLSCPKKVYTPIEGKESNLASTAQALMGLIIGGQAERDPTPLHRAAKLLINSQLPNGDFPQQGLAAVFMRNCLLHFALYRNIFPLWALAEYRNHVWPSKHVCC >KJB68051 pep chromosome:Graimondii2_0_v6:10:59484897:59486758:-1 gene:B456_010G2233002 transcript:KJB68051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YGCWGICFFYGTWFALSGLKAAGKNFKNCLAIRKGVEFLLKTQREDGGWGESYLSCPKKVYTPIEGKESNLASTAQALMGLIIGGQAERDPTPLHRAAKLLINSQLPNGDFPQQGLAAVFMRNCLLHFALYRNIFPLWALAEYRNHVWPSKHVCC >KJB68052 pep chromosome:Graimondii2_0_v6:10:59484759:59486758:-1 gene:B456_010G2233002 transcript:KJB68052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YGCWGICFFYGTWFALSGLKAAGKNFKNCLAIRKGVEFLLKTQREDGGWGESYLSCPKKVYTPIEGKESNLASTAQALMGLIIGGQAERDPTPLHRAAKLLINSQLPNGDFPQQGLAAVFMRNCLLHFALYRNIFPLWALAEYRNHVWPSKHVCC >KJB68437 pep chromosome:Graimondii2_0_v6:10:61438871:61442218:1 gene:B456_010G245500 transcript:KJB68437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT4G31540) UniProtKB/TrEMBL;Acc:Q7XYW9] MDPSGIGNDCKNEFCIDNLIAARKSLKLSLEKSKTLGLALEKAGPRLEEINQRLPSLEAAVRPIRADKDALVAVGGHINRAVGPAAAVLKVFDAVHGLEKSLLSDPRNDLPGYLSVLKRLEEALKFLGDNCGLAIQWLEDIIEYLEDNRVADGRYLLNMKTYLKGLRELQNDGERVHLDGGLLDAALDKLENEFRRLLMEHSVPLPMSSPPLGEQACIAPSPLPVTVIQKLQAILGRLIANNRLEKCIAIYVEVRSSNVRASLKALDLDYLEISVSEFNDMQSIEGYIGQWGKHLEFAVKHLFEAEFKLCNDVFERIGLDVWMVCFAKIAAQAGILAFLQFGKTITESKKEPIKLLKLLDIFASLNKLRLDFNRLFGGAACIEIQNLTRDLIKRVIDGAAEIFWEIFVQVELQRQSPPPQDGSIPKLVSTITDYCNKLLGDDYRPILTQVLVIHQSWKHKKFQEMILVNEVSKIIKAVDLNLDTWMKAYGDTTLSCLFAMNCHWHLYKDLKGTKVGELMGDSWLKEHVEYKEYYSAVFFKGSWAKLPVHLSREGLIMFSGGRASARDLVKKRLKTFNEAFDEMYRKQSGWVIPERDLREKTCQLIVQTVLPVYRSYMQTYGPLVEQDASSSKYAKYTVQGLEQMLLSLFLPRRERYGSFKGRPTGSKIDNGVDLRRTASAVA >KJB68436 pep chromosome:Graimondii2_0_v6:10:61438497:61442218:1 gene:B456_010G245500 transcript:KJB68436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT4G31540) UniProtKB/TrEMBL;Acc:Q7XYW9] MHTSTVLLRLLLFIHFYRSYSLYFTLGFSFSDLSLKFGIQNSPIQLSLPVQLDSLNPLLFLSISEGFSLGIKMDPSGIGNDCKNEFCIDNLIAARKSLKLSLEKSKTLGLALEKAGPRLEEINQRLPSLEAAVRPIRADKDALVAVGGHINRAVGPAAAVLKVFDAVHGLEKSLLSDPRNDLPGYLSVLKRLEEALKFLGDNCGLAIQWLEDIIEYLEDNRVADGRYLLNMKTYLKGLRELQNDGERVHLDGGLLDAALDKLENEFRRLLMEHSVPLPMSSPPLGEQACIAPSPLPVTVIQKLQAILGRLIANNRLEKCIAIYVEVRSSNVRASLKALDLDYLEISVSEFNDMQSIEGYIGQWGKHLEFAVKHLFEAEFKLCNDVFERIGLDVWMVCFAKIAAQAGILAFLQFGKTITESKKEPIKLLKLLDIFASLNKLRLDFNRLFGGAACIEIQNLTRDLIKRVIDGAAEIFWEIFVQVELQRQSPPPQDGSIPKLVSTITDYCNKLLGDDYRPILTQVLVIHQSWKHKKFQEMILVNEVSKIIKAVDLNLDTWMKAYGDTTLSCLFAMNCHWHLYKDLKGTKVGELMGDSWLKEHVEYKEYYSAVFFKGSWAKLPVHLSREGLIMFSGGRASARDLVKKRLKTFNEAFDEMYRKQSGWVIPERDLREKTCQLIVQTVLPVYRSYMQTYGPLVEQDASSSKYAKYTVQGLEQMLLSLFLPRRERYGSFKGRPTGSKIDNGVDLRRTASAVA >KJB64999 pep chromosome:Graimondii2_0_v6:10:10764466:10767529:-1 gene:B456_010G075400 transcript:KJB64999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRQKLRQAIDSLYAGGQATAEAYTPLVLECVRVNDVGQAKRLQSHMDLHCFTPNDTFLHNRLLHTYARLGKISDARNLFDKMPQRDIISWNTILSVYAKSGSVENLRALFYKMPFRDSVSYNTVIAGLAGSGFSSQALEVFLRMNREGFEPTEYTHEMQLGGFKPDDVTVSNVLGAYFQSGLVDEAIEVFNLIKNKDKVSWTTMIVGYVQNGKEEDALNLFAKMLAEGVKPDSFTISSVISSCAKLAYLCNGQVVHGKAILLGVDNDLLVSSALVDMYCKCGITKEAWIVFDMMPSRNVVSWNVMIRGYAQNGQDLEALVLYEKLLQQKLKPDSITFVAVLSACNHAGLIEEGRIYFDSISKRYALVPTLDHYACMINLLGRSGCMTEAIDLVNNMPHEPNSLIWSTLLSVCAIKGDIENGEMAAKRLFELEPLNAGPYIMLSNMYATCGRWEDVASVRSLMKSKNVKKFAAYSWIEIDNEVHKFVAEDATHPQTEIIYEELGRLIKKLQEAGFMPDTKLVLHNVEEEEKFASICYHSEKLALAYGLIKNPHATTPIRIMKNIRVCGDCHMFMKLVSKVIGRPIILRDSNRFHHFVGGSCSCKDYW >KJB65000 pep chromosome:Graimondii2_0_v6:10:10764466:10767529:-1 gene:B456_010G075400 transcript:KJB65000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRQKLRQAIDSLYAGGQATAEAYTPLVLECVRVNDVGQAKRLQSHMDLHCFTPNDTFLHNRLLHTYARLGKISDARNLFDKMPQRDIISWNTILSVYAKSGSVENLRALFYKMPFRDSVSYNTVIAGLAGSGFSSQALEVFLRMNREGFEPTEYTHVSLLNACSRLLDLRKGKQIHGRICVGVLGRNVFVWNALTDMYAKCGEIDKARWLFDRTDNKNVVSWNSLIAGYLKNGQPKKCIDLFQEMQLGGFKPDDVTVSNVLGAYFQSGLVDEAIEVFNLIKNKDKVSWTTMIVGYVQNGKEEDALNLFAKMLAEGVKPDSFTISSVISSCAKLAYLCNGQVVHGKAILLGVDNDLLVSSALVDMYCKCGITKEAWIVFDMMPSRNVVSWNVMIRGYAQNGQDLEALVLYEKLLQQKLKPDSITFVAVLSACNHAGLIEEGRIYFDSISKRYALVPTLDHYACMINLLGRSGCMTEAIDLVNNMPHEPNSLIWSTLLSVCAIKGDIENGEMAAKRLFELEPLNAGPYIMLSNMYATCGRWEDVASVRSLMKSKNVKKFAAYSWIEIDNEVHKFVAEDATHPQTEIIYEELGRLIKKLQEAGFMPDTKLVLHNVEEEEKFASICYHSEKLALAYGLIKNPHATTPIRIMKNIRVCGDCHMFMKLVSKVIGRPIILRDSNRFHHFVGGSCSCKDYW >KJB64370 pep chromosome:Graimondii2_0_v6:10:4855493:4858120:1 gene:B456_010G045800 transcript:KJB64370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:Projected from Arabidopsis thaliana (AT1G77860) UniProtKB/TrEMBL;Acc:A0A178W8L8] MEGEASVNKLHTQIEIKPQGQEPPPTYSSVVDNSEMDIQPEGKLPFFKSRYRQRASDTWLISLLVILHLVAFITTTLFNYFSTGSVFFQPLSENPLLGPSASTLDKVGALRRAFLVQNHLNWRFFVCPWLHAGIIHFAINISCMIFIGIHLERDYGPLRIGVIYLLSAFFGSLVCSLFVRNNPVVTSSGALFGLLGTMLSGLIRNWKVYSSKGAALAALITVLATNFLLGLLPYIDNFANIGAFISGLLLGFVLLLTPQIRQMSKNKAGLFECGVKHSKSTIKLKQKLALDRPILRSISLILFVILLSGCLVALFLGIDINHYCGWCRFIDCIPYKRWNCNDGPNTCEIMKSNSEMTLTCLYNGNTRVFPFTNISQARINDLCGMIC >KJB67505 pep chromosome:Graimondii2_0_v6:10:55127157:55127904:1 gene:B456_010G194000 transcript:KJB67505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLFHLHFMYENSIFITIPSFNSKKITTIITTTLKMSKVAAAVGLRNEIGTISTTTAAGQCERTER >KJB63387 pep chromosome:Graimondii2_0_v6:10:57542975:57543121:-1 gene:B456_010G2091002 transcript:KJB63387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AASTKWNHFKLSPGFSKAGVLFSECC >KJB63386 pep chromosome:Graimondii2_0_v6:10:57542941:57543751:-1 gene:B456_010G2091002 transcript:KJB63386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMCGIDNWIILRKWHIMILGLVTEDRVEMADVDGCGSILAASTKWNHFKLSPGFSKAGVLFSECC >KJB63388 pep chromosome:Graimondii2_0_v6:10:57543493:57543751:-1 gene:B456_010G2091002 transcript:KJB63388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMCGIDNWIILRKWHIMILGLVTEDRVEMADVDGCGSILVSCKTCYILLSVSFELHLR >KJB68553 pep chromosome:Graimondii2_0_v6:10:61757632:61762745:-1 gene:B456_010G250300 transcript:KJB68553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSFIHVHPDSHFPIQNLPYGAFKTHSTTLPRLGVAIGDYVLDLSEIAKSGLFNSPLLAGSDCFLQPTLNKFLAMGRPAWKEARDSLQKLLSSTEPALRDNMELRQKALVPMSEVEMVLPMEIGDYTDFFSSMHHAKNCGTIFVGPKNPIAPNWFRLPIAYHGRASSVVISGTDIIRPRGQGHPTGNSTPYFGPSLKLDFELEMGTVVGPGNELGKTIDVNEAADHIFGVVLMNDWSARDIQAWEYVPLGPFLGKSFGTTISPWIVTLDALQPFACDAPKQDPPPLPYLAEKTSKNYDIALEVQIKPSGQKDSSVVTRSNLKNLYWTLTQQLAHHTINGCNLRPGDLLGTGTISGPEPDSLGCLLELTWNGQKALSLNGTTRKFLEDGDEVIFTGCCKGDGYNVGFGTCTGKVVPPRD >KJB68555 pep chromosome:Graimondii2_0_v6:10:61757728:61762224:-1 gene:B456_010G250300 transcript:KJB68555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPAWKEARDSLQKLLSSTEPALRDNMELRQKALVPMSEVEMVLPMEIGDYTDFFSSMHHAKNCGTIFVGPKNPIAPNWFRLPIAYHGRASSVVISGTDIIRPRGQGHPTGNSTPYFGPSLKLDFELEMGTVVGPGNELGKTIDVNEAADHIFGVVLMNDWSARDIQAWEYVPLGPFLGKSFGTTISPWIVTLDALQPFACDAPKQDPPPLPYLAEKTSKNYDIALEVQIKPSGQKDSSVVTRSNLKNLYWTLTQQLAHHTINGCNLRPGDLLGTGTISGPEPDSLGCLLELTWNGQKALSLNGTTRKFLEDGDEVIFTGCCKGDGYNVGFGTCTGKVVPPRD >KJB68556 pep chromosome:Graimondii2_0_v6:10:61757728:61762644:-1 gene:B456_010G250300 transcript:KJB68556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSFIHVHPDSHFPIQNLPYGAFKTHSTTLPRLGVAIGDYVLDLSEIAKSGLFNSPLLAGSDCFLQPTLNKFLAMGRPAWKEARDSLQKLLSSTEPALRDNMELRQKALVPMSEVEMVLPMEIGDYTDFFSSMHHAKNCGTIFVGPKNPIAPNWFRLPIAYHGRASSVVISGTDIIRPRGQGHPTGNSTPYFGPSLKLDFELEMGTVVGPGNELGKTIDVNEAADHIFGVVLMNDWSARDIQAWEYVPLGPFLGKSFGTTISPWIVTLDALQPFACDAPKQDPPPLPYLAEKTSKNYDIALEVQIKPSGQKDSSVVTRSNLKNLYWTLTQQLAHHTINGCNLRPGDLLGTGTISGPVSRFSWVFAGTNMEWTESIVVKWDNPKVLGRWG >KJB68554 pep chromosome:Graimondii2_0_v6:10:61757728:61761393:-1 gene:B456_010G250300 transcript:KJB68554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPMEIGDYTDFFSSMHHAKNCGTIFVGPKNPIAPNWFRLPIAYHGRASSVVISGTDIIRPRGQGHPTGNSTPYFGPSLKLDFELEMGTVVGPGNELGKTIDVNEAADHIFGVVLMNDWSARDIQAWEYVPLGPFLGKSFGTTISPWIVTLDALQPFACDAPKQDPPPLPYLAEKTSKNYDIALEVQIKPSGQKDSSVVTRSNLKNLYWTLTQQLAHHTINGCNLRPGDLLGTGTISGPEPDSLGCLLELTWNGQKALSLNGTTRKFLEDGDEVIFTGCCKGDGYNVGFGTCTGKVVPPRD >KJB68557 pep chromosome:Graimondii2_0_v6:10:61759360:61762644:-1 gene:B456_010G250300 transcript:KJB68557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSFIHVHPDSHFPIQNLPYGAFKTHSTTLPRLGVAIGDYVLDLSEIAKSGLFNSPLLAGSDCFLQPTLNKFLAMGRPAWKEARDSLQKLLSSTEPALRDNMELRQKALVPMSEVEMVLPMEIGDYTDFFSSMHHAKNCGTIFVGPKNPIAPNWFRLPIAYHGRASSVVISGTDIIRPRGQGHPTGNSTPYFGPSLKLDFELEMGTVVGPGNELGKTIDVNEAADHIFGVVLMNDWSARDIQAWEYVPLGPFLGKSFGTTISPWIVTLDALQPFACDAPKQDPPPLPYLAEKTSKNYDIALEVASSSLTDIYPI >KJB65397 pep chromosome:Graimondii2_0_v6:10:15094075:15103241:1 gene:B456_010G093100 transcript:KJB65397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPKEEQGEPLKRSFSEANSDPPDWMVLDDNETAKEEDPSSSSKPKDFNPRGYQLQVYEVAKGRNIIAVLDTGVGKTMIAVMLIKDFVQAIESTESKKLIIFLAPTVHLVNQQFEYVKDHTSLEVEQYYGAKGVDEWTLDCWEKETKEHDVLVMTPQILLDALRKAFLSLEMVSLMIIDECHRATGNHPYAKIMKEFYHKSNNKPKIFGMTASPVVSKGVLSSNNCDGQMSELECVLDSLIYTIEDRTEMEACVPSAKESCRFFDPAQFSSLDLKAKVEASWLKTDASLSNLQSSLQTSYKGMDDKLKNLRKRLSNDHAKVLHCLDNLGLICAYEAVNICLENILDTTEESKAYRESVLQYKNFLEEVQCRIGESLPLGDKNFLNTGFDYLKAVDLGYISPKLHELLQLFQSFGETRQVLCLIFVERIITAKVIERFAKKVSCLSHFMVSYMTGSNTSVDSLAPKMQKETLESFRSGKVNLLFTTDVVEEGIHVPNCCYVIRFDLPKTVRSYVQSRGRARQNNSEFIMMLERGNVKQRNQLYDIIRSEYSMTNSAIKRDPDSDPCLLKDHTFEETNVFIVDATGASVTADSAVSLIHKYCGKLPGDKYYTPKPNFQFTSSEGLYKCKLTLPVNAAVQTIVGPLSRNSHLAKQLVCLEACKQLHQMGALDDHLTPSIEEPSENACISKGKDSGAGAGTTKRKELHGTTCIQALCGSWGEKSDDAVFFAYKFDFKCNIITVVYSGFVLLIESKLADDVGNTEMDLFLIGKMVKASVSSCGQVYLNAEQMVKAKRFQEFFFNGLFGKLFVGSKSSGAPREFLLRDKTSSLWSPSHMYLLLPLEDNSTDELRIHWPGITACTLAAEFLNKNSLLGTEQSDDDGSNPSLNSTGSPVTYCKETNIIRFANSSVDANSLRNTVVLAIHTGRIYCIIEAVSDKTAESSFAETVDTVSSEFATFYEYFYKKYNIVLKHPGQPLMLLKQSHNPHNLLVNFNDEGVSAKASQAGVVNEKPRFHVHMPPELLLVLDVPVSVLKSLYLLPSLMHRLESLMLANQLREEINFCSSNIDIPSSMILEALTTLRCCESFSMERLELLGDSVLKYAVSCHLFLRYPNKHEGQLSARRSLAVCNSTLHKLGTDHKIQGYIRDSAFDPRRWVAPGQRVLRPVPCKCGVDSLEVPLDKKFQTEDPKVKVGKSCDRGHRWLCSKTISDCVEALIGAYYLSGGLVAALHVMKWLGIDAELDPLVVAEVINQASLRTYVPNYEIHMIESKVGYNFSVKFFLQEALTHESLHESYCYQRLEFLGDSVLDLLITQYLYNHHTDIDPGELTDLRSASVNNENFAQVAVRHDLHKHLQHCSTLLSNQISEYVRSFTESDNTTRLDPSIKGPKALGDLVESIVGAILIDTNLNLDKVWRIVEPLLSPIVTPDKLELPPFRELNELCDSLGYFIKEKCKNKGEVVHAELLLQLDHDLLVGEGFDRSRKVAKGKAASCLLKDLEVRIHKSLSGHEKGVSLVTSAI >KJB65395 pep chromosome:Graimondii2_0_v6:10:15094075:15104508:1 gene:B456_010G093100 transcript:KJB65395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPKEEQGEPLKRSFSEANSDPPDWMVLDDNETAKEEDPSSSSKPKDFNPRGYQLQVYEVAKGRNIIAVLDTGVGKTMIAVMLIKDFVQAIESTESKKLIIFLAPTVHLVNQQFEYVKDHTSLEVEQYYGAKGVDEWTLDCWEKETKEHDVLVMTPQILLDALRKAFLSLEMVSLMIIDECHRATGNHPYAKIMKEFYHKSNNKPKIFGMTASPVVSKGVLSSNNCDGQMSELECVLDSLIYTIEDRTEMEACVPSAKESCRFFDPAQFSSLDLKAKVEASWLKTDASLSNLQSSLQTSYKGMDDKLKNLRKRLSNDHAKVLHCLDNLGLICAYEAVNICLENILDTTEESKAYRESVLQYKNFLEEVQCRIGESLPLGDKNFLNTGFDYLKAVDLGYISPKLHELLQLFQSFGETRQVLCLIFVERIITAKVIERFAKKVSCLSHFMVSYMTGSNTSVDSLAPKMQKETLESFRSGKVNLLFTTDVVEEGIHVPNCCYVIRFDLPKTVRSYVQSRGRARQNNSEFIMMLERGNVKQRNQLYDIIRSEYSMTNSAIKRDPDSDPCLLKDHTFEETNVFIVDATGASVTADSAVSLIHKYCGKLPGDKYYTPKPNFQFTSSEGLYKCKLTLPVNAAVQTIVGPLSRNSHLAKQLVCLEACKQLHQMGALDDHLTPSIEEPSENACISKGKDSGAGAGAGTTKRKELHGTTCIQALCGSWGEKSDDAVFFAYKFDFKCNIITVVYSGFVLLIESKLADDVGNTEMDLFLIGKMVKASVSSCGQVYLNAEQMVKAKRFQEFFFNGLFGKLFVGSKSSGAPREFLLRDKTSSLWSPSHMYLLLPLEDNSTDELRIHWPGITACTLAAEFLNKNSLLGTEQSDDDGSNPSLNSTGSPVTYCKETNIIRFANSSVDANSLRNTVVLAIHTGRIYCIIEAVSDKTAESSFAETVDTVSSEFATFYEYFYKKYNIVLKHPGQPLMLLKQSHNPHNLLVNFNDEGVSAKASQAGVVNEKPRFHVHMPPELLLVLDVPVSVLKSLYLLPSLMHRLESLMLANQLREEINFCSSNIDIPSSMILEALTTLRCCESFSMERLELLGDSVLKYAVSCHLFLRYPNKHEGQLSARRSLAVCNSTLHKLGTDHKIQGYIRDSAFDPRRWVAPGQRVLRPVPCKCGVDSLEVPLDKKFQTEDPKVKVGKSCDRGHRWLCSKTISDCVEALIGAYYLSGGLVAALHVMKWLGIDAELDPLVVAEVINQASLRTYVPNYEIHMIESKVGYNFSVKFFLQEALTHESLHESYCYQRLEFLGDSVLDLLITQYLYNHHTDIDPGELTDLRSASVNNENFAQVAVRHDLHKHLQHCSTLLSNQISEYVRSFTESDNTTRLDPSIKGPKALGDLVESIVGAILIDTNLNLDKVWRIVEPLLSPIVTPDKLELPPFRELNELCDSLGYFIKEKCKNKGEVVHAELLLQLDHDLLVGEGFDRSRKVAKGKAASCLLKDLENRGISRKKRKHDCVDSSQTMEDDSLEPTIPKRQRSAEIQLLDESKKACSATPATPVIVTVKTKKGGPRTTLFELCKKLLWPMPSIKATEHKSSAPMEIGEGPERKKGFISFVSKIILNVPGYDIIECTGDAKADKKSSSDSAALFMLYELEQRGKLIIEETL >KJB65396 pep chromosome:Graimondii2_0_v6:10:15093632:15104933:1 gene:B456_010G093100 transcript:KJB65396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLKSHSTPQFSPVILTKLIEEQGEPLKRSFSEANSDPPDWMVLDDNETAKEEDPSSSSKPKDFNPRGYQLQVYEVAKGRNIIAVLDTGVGKTMIAVMLIKDFVQAIESTESKKLIIFLAPTVHLVNQQFEYVKDHTSLEVEQYYGAKGVDEWTLDCWEKETKEHDVLVMTPQILLDALRKAFLSLEMVSLMIIDECHRATGNHPYAKIMKEFYHKSNNKPKIFGMTASPVVSKGVLSSNNCDGQMSELECVLDSLIYTIEDRTEMEACVPSAKESCRFFDPAQFSSLDLKAKVEASWLKTDASLSNLQSSLQTSYKGMDDKLKNLRKRLSNDHAKVLHCLDNLGLICAYEAVNICLENILDTTEESKAYRESVLQYKNFLEEVQCRIGESLPLGDKNFLNTGFDYLKAVDLGYISPKLHELLQLFQSFGETRQVLCLIFVERIITAKVIERFAKKVSCLSHFMVSYMTGSNTSVDSLAPKMQKETLESFRSGKVNLLFTTDVVEEGIHVPNCCYVIRFDLPKTVRSYVQSRGRARQNNSEFIMMLERGNVKQRNQLYDIIRSEYSMTNSAIKRDPDSDPCLLKDHTFEETNVFIVDATGASVTADSAVSLIHKYCGKLPGDKYYTPKPNFQFTSSEGLYKCKLTLPVNAAVQTIVGPLSRNSHLAKQLVCLEACKQLHQMGALDDHLTPSIEEPSENACISKGKDSGAGAGAGTTKRKELHGTTCIQALCGSWGEKSDDAVFFAYKFDFKCNIITVVYSGFVLLIESKLADDVGNTEMDLFLIGKMVKASVSSCGQVYLNAEQMVKAKRFQEFFFNGLFGKLFVGSKSSGAPREFLLRDKTSSLWSPSHMYLLLPLEDNSTDELRIHWPGITACTLAAEFLNKNSLLGTEQSDDDGSNPSLNSTGSPVTYCKETNIIRFANSSVDANSLRNTVVLAIHTGRIYCIIEAVSDKTAESSFAETVDTVSSEFATFYEYFYKKYNIVLKHPGQPLMLLKQSHNPHNLLVNFNDEGVSAKASQAGVVNEKPRFHVHMPPELLLVLDVPVSVLKSLYLLPSLMHRLESLMLANQLREEINFCSSNIDIPSSMILEALTTLRCCESFSMERLELLGDSVLKYAVSCHLFLRYPNKHEGQLSARRSLAVCNSTLHKLGTDHKIQGYIRDSAFDPRRWVAPGQRVLRPVPCKCGVDSLEVPLDKKFQTEDPKVKVGKSCDRGHRWLCSKTISDCVEALIGAYYLSGGLVAALHVMKWLGIDAELDPLVVAEVINQASLRTYVPNYEIHMIESKVGYNFSVKFFLQEALTHESLHESYCYQRLEFLGDSVLDLLITQYLYNHHTDIDPGELTDLRSASVNNENFAQVAVRHDLHKHLQHCSTLLSNQISEYVRSFTESDNTTRLDPSIKGPKALGDLVESIVGAILIDTNLNLDKVWRIVEPLLSPIVTPDKLELPPFRELNELCDSLGYFIKEKCKNKGEVVHAELLLQLDHDLLVGEGFDRSRKVAKGKAASCLLKDLENRGISRKKRKHDCVDSSQTMEDDSLEPTIPKRQRSAEIQLLDESKKACSATPATPVIVTVKTKKGGPRTTLFELCKKLLWPMPSIKATEHKSSAPMEIGEGPERKKGFISFVSKIILNVPGYDIIECTGDAKADKKSSSDSAALFMLYELEQRGKLIIEETL >KJB65398 pep chromosome:Graimondii2_0_v6:10:15094008:15104893:1 gene:B456_010G093100 transcript:KJB65398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPKEEQGEPLKRSFSEANSDPPDWMVLDDNETAKEEDPSSSSKPKDFNPRGYQLQVYEVAKGRNIIAVLDTGVGKTMIAVMLIKDFVQAIESTESKKLIIFLAPTVHLVNQQFEYVKDHTSLEVEQYYGAKGVDEWTLDCWEKETKEHDVLVMTPQILLDALRKAFLSLEMVSLMIIDECHRATGNHPYAKIMKEFYHKSNNKPKIFGMTASPVVSKGVLSSNNCDGQMSELECVLDSLIYTIEDRTEMEACVPSAKESCRFFDPAQFSSLDLKAKVEASWLKTDASLSNLQSSLQTSYKGMDDKLKNLRKRLSNDHAKVLHCLDNLGLICAYEAVNICLENILDTTEESKAYRESVLQYKNFLEEVQCRIGESLPLGDKNFLNTGFDYLKAVDLGYISPKLHELLQLFQSFGETRQVLCLIFVERIITAKVIERFAKKVSCLSHFMVSYMTGSNTSVDSLAPKMQKETLESFRSGKVNLLFTTDVVEEGIHVPNCCYVIRFDLPKTVRSYVQSRGRARQNNSEFIMMLERGNVKQRNQLYDIIRSEYSMTNSAIKRDPDSDPCLLKDHTFEETNVFIVDATGASVTADSAVSLIHKYCGKLPGDKYYTPKPNFQFTSSEGLYKCKLTLPVNAAVQTIVGPLSRNSHLAKQLVCLEACKQLHQMGALDDHLTPSIEEPSENACISKGKDSGAGAGTTKRKELHGTTCIQALCGSWGEKSDDAVFFAYKFDFKCNIITVVYSGFVLLIESKLADDVGNTEMDLFLIGKMVKASVSSCGQVYLNAEQMVKAKRFQEFFFNGLFGKLFVGSKSSGAPREFLLRDKTSSLWSPSHMYLLLPLEDNSTDELRIHWPGITACTLAAEFLNKNSLLGTEQSDDDGSNPSLNSTGSPVTYCKETNIIRFANSSVDANSLRNTVVLAIHTGRIYCIIEAVSDKTAESSFAETVDTVSSEFATFYEYFYKKYNIVLKHPGQPLMLLKQSHNPHNLLVNFNDEGVSAKASQAGVVNEKPRFHVHMPPELLLVLDVPVSVLKSLYLLPSLMHRLESLMLANQLREEINFCSSNIDIPSSMILEALTTLRCCESFSMERLELLGDSVLKYAVSCHLFLRYPNKHEGQLSARRSLAVCNSTLHKLGTDHKIQGYIRDSAFDPRRWVAPGQRVLRPVPCKCGVDSLEVPLDKKFQTEDPKVKVGKSCDRGHRWLCSKTISDCVEALIGAYYLSGGLVAALHVMKWLGIDAELDPLVVAEVINQASLRTYVPNYEIHMIESKVGYNFSVKFFLQEALTHESLHESYCYQRLEFLGDSVLDLLITQYLYNHHTDIDPGELTDLRSASVNNENFAQVAVRHDLHKHLQHCSTLLSNQISEYVRSFTESDNTTRLDPSIKGPKALGDLVESIVGAILIDTNLNLDKVWRIVEPLLSPIVTPDKLELPPFRELNELCDSLGYFIKEKCKNKGEVVHAELLLQLDHDLLVGEGFDRSRKVAKGKAASCLLKDLENRGISRKKRKHDCVDSSQTMEDDSLEPTIPKRQRSAEIQLLDESKKACSATPATPVIVTVKTKKGGPRTTLFELCKKLLWPMPSIKATEHKSSAPMEIGEGPERKKGFISFVSKIILNVPGYDIIECTGDAKADKKSSSDSAALFMLYELEQRGKLIIEETL >KJB64775 pep chromosome:Graimondii2_0_v6:10:8125963:8130085:-1 gene:B456_010G064200 transcript:KJB64775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKMSWTVADAVDYKGFPADRSKTGGWMPAALILGIEICERLSTMGIAVNLVTYLGGTLHLPSSTSANVVTDFMGTSFLLCLLGGFLADTFLGRYKTIAIFSIIQTLGTGTLALATKLPQLRPPPCHGSHTCKQANGFQMGILYIALYLIALGTGGLKSSVSGFGTDQFDEKDEKEKSQMAYFFNRFFFFISTGTLMAVTVLVYIQDEVGRSWAYGICSVSMFVAVLIFLSGTKRYRYKKSLGSPIVQIFQVIVAAINKRKMKLPYNVELLYEDTPETSRIHHTDRFRFLDKAAIVGEGDLERNVGPAAAPNPWKLSPVTRVEEVKMMAALLPIWATTILFWTTYAQMMTFSVEQASTMERSVGGFQIPAGSLTVFFVAAILITLAVYDRLVMPLWKKWKGKPGFSSLQRIALGLALSTMGMASAAVAEVKRLEVARATGASATTGTLPVTVFILIPQFFLVGAGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFVSSLLVSVVKSVMGGSNGGQGWLADNINHGRLDCFYGLLAVLGVINFALYIVSAVWFKPRKSKPATLQMDTIVNGSSTAENKC >KJB64774 pep chromosome:Graimondii2_0_v6:10:8126167:8128090:-1 gene:B456_010G064200 transcript:KJB64774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAVNLVTYLGGTLHLPSSTSANVVTDFMGTSFLLCLLGGFLADTFLGRYKTIAIFSIIQTLGTGTLALATKLPQLRPPPCHGSHTCKQANGFQMGILYIALYLIALGTGGLKSSVSGFGTDQFDEKDEKEKSQMAYFFNRFFFFISTGTLMAVTVLVYIQDEVGRSWAYGICSVSMFVAVLIFLSGTKRYRYKKSLGSPIVQIFQVIVAAINKRKMKLPYNVELLYEDTPETSRIHHTDRFRFLDKAAIVGEGDLERNVGPAAAPNPWKLSPVTRVEEVKMMAALLPIWATTILFWTTYAQMMTFSVEQASTMERSVGGFQIPAGSLTVFFVAAILITLAVYDRLVMPLWKKWKGKPGFSSLQRIALGLALSTMGMASAAVAEVKRLEVARATGASATTGTLPVTVFILIPQFFLVGAGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFVSSLLVSVVKSVMGGSNGGQGWLADNINHGRLDCFYGLLAVLGVINFALYIVSAVWFKPRKSKPATLQMDTIVNGSSTAENKC >KJB64773 pep chromosome:Graimondii2_0_v6:10:8125963:8128206:-1 gene:B456_010G064200 transcript:KJB64773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAVNLVTYLGGTLHLPSSTSANVVTDFMGTSFLLCLLGGFLADTFLGRYKTIAIFSIIQTLGTGTLALATKLPQLRPPPCHGSHTCKQANGFQMGILYIALYLIALGTGGLKSSVSGFGTDHTGTLMAVTVLVYIQDEVGRSWAYGICSVSMFVAVLIFLSGTKRYRYKKSLGSPIVQIFQVIVAAINKRKMKLPYNVELLYEDTPETSRIHHTDRFRFLDKAAIVGEGDLERNVGPAAAPNPWKLSPVTRVEEVKMMAALLPIWATTILFWTTYAQMMTFSVEQASTMERSVGGFQIPAGSLTVFFVAAILITLAVYDRLVMPLWKKWKGKPGFSSLQRIALGLALSTMGMASAAVAEVKRLEVARATGASATTGTLPVTVFILIPQFFLVGAGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFVSSLLVSVVKSVMGGSNGGQGWLADNINHGRLDCFYGLLAVLGVINFALYIVSAVWFKPRKSKPATLQMDTIVNGSSTAENKC >KJB64772 pep chromosome:Graimondii2_0_v6:10:8125963:8127885:-1 gene:B456_010G064200 transcript:KJB64772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILYIALYLIALGTGGLKSSVSGFGTDQFDEKDEKEKSQMAYFFNRFFFFISTGTLMAVTVLVYIQDEVGRSWAYGICSVSMFVAVLIFLSGTKRYRYKKSLGSPIVQIFQVIVAAINKRKMKLPYNVELLYEDTPETSRIHHTDRFRFLDKAAIVGEGDLERNVGPAAAPNPWKLSPVTRVEEVKMMAALLPIWATTILFWTTYAQMMTFSVEQASTMERSVGGFQIPAGSLTVFFVAAILITLAVYDRLVMPLWKKWKGKPGFSSLQRIALGLALSTMGMASAAVAEVKRLEVARATGASATTGTLPVTVFILIPQFFLVGAGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFVSSLLVSVVKSVMGGSNGGQGWLADNINHGRLDCFYGLLAVLGVINFALYIVSAVWFKPRKSKPATLQMDTIVNGSSTAENKC >KJB63319 pep chromosome:Graimondii2_0_v6:10:53629235:53630817:-1 gene:B456_010G185200 transcript:KJB63319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDVNNHPLLIHCRRGKHRTGCLVGCLRKMQRWYLSSIFDEYQRFAGAKARVSDQRFIERFDVSSSKR >KJB63320 pep chromosome:Graimondii2_0_v6:10:53629317:53629868:-1 gene:B456_010G185200 transcript:KJB63320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLCVICLYLASSSSMTDVNNHPLLIHCRRGKHRTGCLVGCLRKMQRWYLSSIFDEYQRFAGAKARVSDQRFIERFDVSSSKR >KJB63318 pep chromosome:Graimondii2_0_v6:10:53628965:53630831:-1 gene:B456_010G185200 transcript:KJB63318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTLCEHSRGNNPGSIEYVNNHPLLIHCRRGKHRTGCLVGCLRKMQRWYLSSIFDEYQRFAGAKARVSDQRFIERFDVSSSKR >KJB63321 pep chromosome:Graimondii2_0_v6:10:53629317:53630817:-1 gene:B456_010G185200 transcript:KJB63321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTLCEHSRGNNPGSIECRSWYYNTLLFATLMVSLCVICLYLASSSSMTDVNNHPLLIHCRRGKHRTGCLVGCLRKMQRWYLSSIFDEYQRFAGAKARVSDQRFIERFDVSSSKR >KJB64553 pep chromosome:Graimondii2_0_v6:10:6170329:6186854:-1 gene:B456_010G053900 transcript:KJB64553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKFKYFQPLCSGKLFERILEIPATWLKGRATGEVHLCMSRGETFPNLHGQLDVTGLAFQIYDAPSWFSDISASLCFRGQRIFLHNTRGWFGDVPLEASGDFGIHPEEGEFHLMCQVPCVEVNALMKTFKMKPLLFPLAGFVTAVFNCQGPLDAPIFVGSGMVSRKISYSVSDVPLSSASEAMLKNKEAGAVAAFDRVPFSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWVCPEGEEDDTAMDVNFSGNLSFDKIMQRYIPGYLHLMPLKLGDLSGETKLSGSLLKPRFDIKWTAPKAEGSFSDARGDIMIAPDCITVNSSSAAFDLFTKVQTSYPEEFWLNKKECSENITVPFTVEGVELDLRMRGFEFFNLVSSYTFDSPRPTHLKATGKIKFHGKVLKPSIISDEAVGPEAEGESEKMMDKISKKSLVGDLSVSGLRLNQLMLAPQLVGQLSISQDSVKLDAVGRPDESLAIEVVQPLQSGSEENLQNGKLFSFSLQKGQLKANICLRPLHSATFEIRHLPLDELELASLRGTIQRAEIQLNFQKRRGHGVLSVLRPKFSGVLGEALDVAARWSGDVITLEKTVLEQISSRYELQGEYVLPGSRDRNFSEMGMDGLFKRAMTGHLGSVISSMGRWRMRLEVPRAEVAEMLPLARLLSRSIDPAVRSRSKDLFIQSLQSVGVYTESLQHLLEVIRGHYTASNEVVLEDISLPGLAELKGCWHGSLDASGGGNGDTMAEFDLHGEEWEWGSYNTQRVVAVGAFSNDDGLRLEKIFIQKDDATIHADGTLLGPKTNLHFAVLNFPVSLVPTIVQIIESSATEAVHSLRQLLAPIKGILYTEGDLRGSLAKPECDVQVRLLDGTIGGIDLGRAEVVASLTSSSRFLFNAKFEPIIQNGHVHVQGSVPVTFVQNSISEEEIEIETERSEATFVPGWVKERSKESTDKTSEKKTFRERTEEGWDAQLAESLKGLNWNILDVGEVRIDADIKDGGMMLLTALSPYANWLSGNADVMLQVRGTVEQPVLDGSASFHRASISSPVLRQPLTNIGGTVHVKSNKLCIALLESRVSRRGKLFLKGNLPLRTSEASLGDKIDMKCEVLEVRAKNILSGQVDTQLQITGSILQPTISGNIKLSHGEAYLPHDKGSGAAPLNRLASNQSRLLGSGVNKAVASRYVSRFFGSEPASSRTKLPQPSVKSAGVEKEMELVNIKPSVDVRLSDLKLVLGPELRIVYPLILNFAVSGELELNGLAHPKWIKPKGTLTFENGDVNLVATQVRLKREHLNIAKFEPEYGLDPMLDLALVGSEWQFRIQSRASNWQDKLVVTSTRSVEQDVLSPTEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTADPLKSLASNISFGTEVEVQLGKRLQASIVRQLKESEMAMQWTLIYKLTSRLRVLLQSAPSKRLLFEYSATSQD >KJB64554 pep chromosome:Graimondii2_0_v6:10:6170329:6190351:-1 gene:B456_010G053900 transcript:KJB64554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLELNSPFLGIPLGSSSNGKISNRHCLDFSRGKLLKRAFRKRVSAEKQNDWIAQAIRFSHFCGKNIEMFRKTIGLRNGFVAKSVKEPFAGSKALVRSLSPLWNEGLLLVRCSVLASVLSAVCLMVWYGQKKAKAFVEAKLLPSVCSALSEHIQREVDFGKVRSVSPLSITLEACSIGPHTEEFSCGEVPSMKIHVQPFASLRRGKIVIDAVLSHPSLLIAQKKDYTWLGLPFSEDVLQRHLSTEEGIDYRTKSRRIAREESATRWARERDDDAKKSAEMGYSVPEGISDRSEDDTVKEIGSSAEITSSKSFSCLDDKMHQRDHHCVDTNVDYETKHAELEKSFGVKIPGSGLTLWPKVIKGSKGNKFKKKFNGRDTSSAGVAAKRRILERSASAAVAYFHRISLEDSCDHSEASGSYDLSDLNTHLLKNKDDSIAETSVNINSGEGSLLAYNQDGKQCEETENQSINDNATLENFSILRDPFLMTLERLSGVRKIGKNSPYDGNAAAAAKAMNSKVYGEDLVFDVVNRNMDEDGSEGERSHASPFTSILSDPTPAYHSVTFWPLGLKFSLPSFPANTGERISNFLAESFQNLKFGVAPKLEDIVAELVDEVDVAQTEGIEKMLPVIVDSVHFKSGTLMLLAFGDREPREMENVYGYVKFQNHYGLVHVQLCGNCKTWRSDLVSDDGGWLSTDVFIDNLDQKWHANLNISNLFVPLFERILEIPATWLKGRATGEVHLCMSRGETFPNLHGQLDVTGLAFQIYDAPSWFSDISASLCFRGQRIFLHNTRGWFGDVPLEASGDFGIHPEEGEFHLMCQVPCVEVNALMKTFKMKPLLFPLAGFVTAVFNCQGPLDAPIFVGSGMVSRKISYSVSDVPLSSASEAMLKNKEAGAVAAFDRVPFSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWVCPEGEEDDTAMDVNFSGNLSFDKIMQRYIPGYLHLMPLKLGDLSGETKLSGSLLKPRFDIKWTAPKAEGSFSDARGDIMIAPDCITVNSSSAAFDLFTKVQTSYPEEFWLNKKECSENITVPFTVEGVELDLRMRGFEFFNLVSSYTFDSPRPTHLKATGKIKFHGKVLKPSIISDEAVGPEAEGESEKMMDKISKKSLVGDLSVSGLRLNQLMLAPQLVGQLSISQDSVKLDAVGRPDESLAIEVVQPLQSGSEENLQNGKLFSFSLQKGQLKANICLRPLHSATFEIRHLPLDELELASLRGTIQRAEIQLNFQKRRGHGVLSVLRPKFSGVLGEALDVAARWSGDVITLEKTVLEQISSRYELQGEYVLPGSRDRNFSEMGMDGLFKRAMTGHLGSVISSMGRWRMRLEVPRAEVAEMLPLARLLSRSIDPAVRSRSKDLFIQSLQSVGVYTESLQHLLEVIRGHYTASNEVVLEDISLPGLAELKGCWHGSLDASGGGNGDTMAEFDLHGEEWEWGSYNTQRVVAVGAFSNDDGLRLEKIFIQKDDATIHADGTLLGPKTNLHFAVLNFPVSLVPTIVQIIESSATEAVHSLRQLLAPIKGILYTEGDLRGSLAKPECDVQVRLLDGTIGGIDLGRAEVVASLTSSSRFLFNAKFEPIIQNGHVHVQGSVPVTFVQNSISEEEIEIETERSEATFVPGWVKERSKESTDKTSEKKTFRERTEEGWDAQLAESLKGLNWNILDVGEVRIDADIKDGGMMLLTALSPYANWLSGNADVMLQVRGTVEQPVLDGSASFHRASISSPVLRQPLTNIGGTVHVKSNKLCIALLESRVSRRGKLFLKGNLPLRTSEASLGDKIDMKCEVLEVRAKNILSGQVDTQLQITGSILQPTISGNIKLSHGEAYLPHDKGSGAAPLNRLASNQSRLLGSGVNKAVASRYVSRFFGSEPASSRTKLPQPSVKSAGVEKEMELVNIKPSVDVRLSDLKLVLGPELRIVYPLILNFAVSGELELNGLAHPKWIKPKGTLTFENGDVNLVATQVRLKREHLNIAKFEPEYGLDPMLDLALVGSEWQFRIQSRASNWQDKLVVTSTRSVEQDVLSPTEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTADPLKSLASNISFGTEVEVQLGKRLQASIVRQLKESEMAMQWTLIYKLTSRLRVLLQSAPSKRLLFEYSATSQD >KJB64555 pep chromosome:Graimondii2_0_v6:10:6169957:6190773:-1 gene:B456_010G053900 transcript:KJB64555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLELNSPFLGIPLGSSSNGKISNRHCLDFSRGKLLKRAFRKRVSAEKQNDWIAQAIRFSHFCGKNIEMFRKTIGLRNGFVAKSVKEPFAGSKALVRSLSPLWNEGLLLVRCSVLASVLSAVCLMVWYGQKKAKAFVEAKLLPSVCSALSEHIQREVDFGKVRSVSPLSITLEACSIGPHTEEFSCGEVPSMKIHVQPFASLRRGKIVIDAVLSHPSLLIAQKKDYTWLGLPFSEDVLQRHLSTEEGIDYRTKSRRIAREESATRWARERDDDAKKSAEMGYSVPEGISDRSEDDTVKEIGSSAEITSSKSFSCLDDKMHQRDHHCVDTNVDYETKHAELEKSFGVKIPGSGLTLWPKVIKGSKGNKFKKKFNGRDTSSAGVAAKRRILERSASAAVAYFHRISLEDSCDHSEASGSYDLSDLNTHLLKNKDDSIAETSVNINSGEGSLLAYNQDGKQCEETENQSINDNATLENFSILRDPFLMTLERLSGVRKIGKNSPYDGNAAAAAKAMNSKVYGEDLVFDVVNRNMDEDGSEGERSHASPFTSILSDPTPAYHSVTFWPLGLKFSLPSFPANTGERISNFLAESFQNLKFGVAPKLEDIVAELVDEVDVAQTEGIEKMLPVIVDSVHFKSGTLMLLAFGDREPREMENVYGYVKFQNHYGLVHVQLCGNCKTWRSDLVSDDGGWLSTDVFIDNLDQKWHANLNISNLFVPLFERILEIPATWLKGRATGEVHLCMSRGETFPNLHGQLDVTGLAFQIYDAPSWFSDISASLCFRGQRIFLHNTRGWFGDVPLEASGDFGIHPEEGEFHLMCQVPCVEVNALMKTFKMKPLLFPLAGFVTAVFNCQGPLDAPIFVGSGMVSRKISYSVSDVPLSSASEAMLKNKEAGAVAAFDRVPFSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWVCPEGEEDDTAMDVNFSGNLSFDKIMQRYIPGYLHLMPLKLGDLSGETKLSGSLLKPRFDIKWTAPKAEGSFSDARGDIMIAPDCITVNSSSAAFDLFTKVQTSYPEEFWLNKKECSENITVPFTVEGVELDLRMRGFEFFNLVSSYTFDSPRPTHLKATGKIKFHGKVLKPSIISDEAVGPEAEGESEKMMDKISKKSLVGDLSVSGLRLNQLMLAPQLVGQLSISQDSVKLDAVGRPDESLAIEVVQPLQSGSEENLQNGKLFSFSLQKGQLKANICLRPLHSATFEIRHLPLDELELASLRGTIQRAEIQLNFQKRRGHGVLSVLRPKFSGVLGEALDVAARWSGDVITLEKTVLEQISSRYELQGEYVLPGSRDRNFSEMGMDGLFKRAMTGHLGSVISSMGRWRMRLEVPRAEVAEMLPLARLLSRSIDPAVRSRSKDLFIQSLQSVGVYTESLQHLLEVIRGHYTASNEVVLEDISLPGLAELKGCWHGSLDASGGGNGDTMAEFDLHGEEWEWGSYNTQRVVAVGAFSNDDGLRLEKIFIQKDDATIHADGTLLGPKTNLHFAVLNFPVSLVPTIVQIIESSATEAVHSLRQLLAPIKGILYTEGDLRGSLAKPECDVQVRLLDGTIGGIDLGRAEVVASLTSSSRFLFNAKFEPIIQNGHVHVQGSVPVTFVQNSISEEEIEIETERSEATFVPGWVKERSKESTDKTSEKKTFRERTEEGWDAQLAESLKGLNWNILDVGEVRIDADIKDGGMMLLTALSPYANWLSGNADVMLQVRGTVEQPVLDGSASFHRASISSPVLRQPLTNIGGTVHVKSNKLCIALLESRVSRRGKLFLKGNLPLRTSEASLGDKIDMKCEVLEVRAKNILSGQVDTQLQITGSILQPTISGNIKLSHGEAYLPHDKGSGAAPLNRLASNQSRLLGSGVNKAVASRYVSRFFGSEPASSRTKLPQPSVKSAGVEKEMELVNIKPSVDVRLSDLKLVLGPELRIVYPLILNFAVSGELELNGLAHPKWIKPKGTLTFENGDVNLVATQAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTADPLKSLASNISFGTEVEVQLGKRLQASIVRQLKESEMAMQWTLIYKLTSRLRVLLQSAPSKRLLFEYSATSQD >KJB65957 pep chromosome:Graimondii2_0_v6:10:26010971:26011985:-1 gene:B456_010G125200 transcript:KJB65957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTIKRAALIVASLGVLSFIFGVIAENKKVSPAAGTPISGKDVVICKYPSDPSVVLGYLSVAFLILSTLTGYWSLFYPYKGKSVPQSVLFQNTSFFVFFNIALFTGGLAATLILWPTITEHLHLIRNVHHNLSTECPTAKTGLLGGGAFVSLDSALFWLVALMLADNAREDHFDEVEKDRKVEHAQVLASAEYHVKGGAV >KJB65958 pep chromosome:Graimondii2_0_v6:10:26010513:26012363:-1 gene:B456_010G125200 transcript:KJB65958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTIKRAALIVASLGVLSFIFGVIAENKKPAAGTPISGKDVVICKYPSDPSVVLGYLSVAFLILSTLTGYWSLFYPYKGKSVPQSVLFQNTSFFVFFNIALFTGGLAATLILWPTITEHLHLIRNVHHNLSTECPTAKTGLLGGGAFVSLDSALFWLVALMLADNAREDHFDEVEKDRKVEHAQVLASAEYHVKGGAV >KJB65956 pep chromosome:Graimondii2_0_v6:10:26010513:26012328:-1 gene:B456_010G125200 transcript:KJB65956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTIKRAALIVASLGVLSFIFGVIAENKKPAAGTPISGKDVVICKYPSDPSVVLGYLSVAFLILSTLTGYWSLFYPYKGKSVPQSVLFQNTSFFVFFNIALFTGGLAATLILWPTITEHLHLIRNVHHNLSTECPTAKTGLLGGGAFVSLDSALFWLVALMLADNAREDHFDEVEKDRKVEHAQVLASAEYHVKGGAV >KJB65822 pep chromosome:Graimondii2_0_v6:10:22043301:22044833:-1 gene:B456_010G114800 transcript:KJB65822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSKKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDQAAILMNGQNAKTNFPKAAHPAEQNARGGGDDDDDDRSPLPPKALAELLNAKLRKCCKDQSPSLTCLRLDTDNAHIGVWQKRAGNRSSSNWVMRVELGNKKVDTPASGDRRTLSPGSSTTADEIEAGNLMGEEDRIALQMIEELLNWNCPVTSSTSLIGV >KJB67091 pep chromosome:Graimondii2_0_v6:10:50733992:50748037:-1 gene:B456_010G174200 transcript:KJB67091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFKVSKTGTRFKPKPCLQLEASVDVVPDNSEESSWPRKLQGNVIEGVEHVPEVSRPFVSDEVLCVPKDHEISFTLNLYPDGYCIGKPPEDALNLATLQGAPKLQPYDRSSETLFLAIEVGRLPGDILDDIPCKYVDGTLICEVQDYRNVASQQGSITPSLDGSPIINKVRLKMSLENVVKDIPMSSDNSWTYGDLMEAESRILKALQPQLCLDPTPKLDRLYTNPVSTKLDLPSSSLRKKRLRQAPEVTVTFTGKIHGKKVCIDGVPESSSGRLGEAGIMSGSSIFQQVQENLTTQNIGPSNVLTLKPKTFVQDSSVSALPMTYQSPKYQIGAVNARSMQDQGSSSIVNASVASPAGQDMTFTYADNINSSASLLVKRENPGGPVSPLTGLNKRTRLNAAGPDSILQQQISSHMDGLHGSDMSWKNMLLPQQAMARGIQYANASMQKYPLQAFEGVLNQEVGTMPFAAGQSAVRYGAKEEPFDPDKLDGAELNRETDTNHLDPQQRRLQPRLPHGFVRPGFLQTPWNSINQLVEKDVRNEEQFLKKKLVQSPRVSVGALPQSPLSSKSGEFSSGSIGQHFGAVATSTALGASLKEKAAVNSIPAVGGTPSLASSANDSMQRQHQTQVSGKRKSNSLPKTPATNVVGSPASVSNISAPLNASSPSVGTPPVGDQTMLERFSKIEIVTMRHKLNIKNKVDEYHARKPRTHSPRLVSSCLVSLSSNEDFKDDLNSLSKSLVGGSMNTCKTRILNFVQGERVVHGNVVSLVPRVRTRMVMSEKQTDGTVAMFCGDIDDGDILAAEDRIHYLPTLPNTHLADLLAAQFCSLMLHEGHHLVEDNVQPKPIRMLVASSSQPSSSGIFHNNPAVEMQQCAEAVPIQATNEVAKPNCSNSISVNPSQSMLGNTRMLPPGNPQALQMSQGLISGISMPARPPQLDPQQAQQQQSQQHVLLQQQHQQFQRSTMMLASNPLSHLNATGQNSNMQLGNQMVNKPSPLQLQMIQQQQQQQQQQQQHPQQQQRQQQQQQVQMQRKIMMGLGTAVGVGNMGNNMARLGALGNALGIGGARRIAGTGISAPMAPISGTGNMSQNPININPASNITNAISQQLQSGPLTSAQQAAFISKLRLGRASMLGGPQSSIAGISGARQMHPGSANLSMLGQSMNQANMNLKQPGAVGPMGPPKMMSGMTQQQQHLQLQQQLQLQPQQLQNQQQLQQLQLQQLQEQQETTLPLQAVVSPSQAVSPSTVGISQLNQQQQLVQQQTAMTPQQMNQRTPMSPQLSSGAIHALSAAIPDACPTSPQLSSQTLGSVNSITNSPTELGVNKSNSVGNT >KJB67924 pep chromosome:Graimondii2_0_v6:10:58676612:58679214:1 gene:B456_010G218000 transcript:KJB67924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKGKVLMQKYEFGKMLGQGNFAKVYYAKNIKTSQSVAIKVIEKEKVLKVGMIDQTKTEISTMSLVKHPNILELYEVMASKNKIYYVMEYANGGELFNKVAKGKLREDLARKYFQQLISAVDFCHSRGVYHRDLKPENLLLDENGTLKVSDFGLSALGESKHQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVILFVLLAGYLPFHDSNLIGMYKKISKADYKFPSWFSPDVTKLLSRILNPNPKSRISTAKIMTNSWFKKGFKLKSSHKTAEKDLPSTDTNVVFGSEANTAAFETKNHKDQLTKLNAFDIISLSSGLDLSGLFTETGEKKEVRFTSMHSVSAITSKLEDFAEHLKFKVKKEVGGLLKIEKSSRGQKGELTIYAQIFEVAPSFYLVELRKSSGDTLEYRKMLEQDVRPALEDIVCTWLK >KJB67925 pep chromosome:Graimondii2_0_v6:10:58676377:58679214:1 gene:B456_010G218000 transcript:KJB67925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKGKVLMQKYEFGKMLGQGNFAKVYYAKNIKTSQSVAIKVIEKEKVLKVGMIDQTKTEISTMSLVKHPNILELYEVMASKNKIYYVMEYANGGELFNKVAKGKLREDLARKYFQQLISAVDFCHSRGVYHRDLKPENLLLDENGTLKVSDFGLSALGESKHQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVILFVLLAGYLPFHDSNLIGMYKKISKADYKFPSWFSPDVTKLLSRILNPNPKSRISTAKIMTNSWFKKGFKLKSSHKTAEKDLPSTDTNVVFGSEANTAAFETKNHKDQLTKLNAFDIISLSSGLDLSGLFTETGEKKEVRFTSMHSVSAITSKLEDFAEHLKFKVKKEVGGLLKIEKSSRGQKGELTIYAQIFEVAPSFYLVELRKSSGDTLEYRKMLEQDVRPALEDIVCTWLK >KJB68007 pep chromosome:Graimondii2_0_v6:10:59219186:59220235:-1 gene:B456_010G221800 transcript:KJB68007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNQHSQPPAVGGSSSANRNRPRRLAELTVPLPQRTVSLPVPLPLPPASSAAQSTCSNGNANSKQVNLSEIDRVNRIGSGAGGTVYKVIHRPSSRLYALKVIYGNHEETVRRQIRREIEILRDVNHPNVVKCHEMYDQNGEIQVLLEFMDVGSLEGTHISHESNLSDLARQILNGLNYLHRRHIVHRDIKPSNLLINSKKKVKIADFGVSRILDQTMHPCNSSVGTIAYMSPERINTDLNQGQYDGYAGDIWSLGVSILEFYLGRFPFAVGRQGDWASLMCAICLSQPPEAPPTASYEFRHFISCCLQKDPTRRLTAAQLLQHPFIIRGQNQVAQNLHQLLPPPPLSS >KJB64994 pep chromosome:Graimondii2_0_v6:10:10632998:10633567:-1 gene:B456_010G075000 transcript:KJB64994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKKAKKIDHEEYKEIYGAALCISSFKHLILSPESAMNLQASLQATIDIPRVPSLNGLIGRCSQPFEKQLTETDVNSKQCRLSINKVDVENAVMPLLKEEEDVEKGIRVKVYDANGKEYPMTLKLWAHKLHVLKEGWIEFCTDHALLAHQDFLKLWVFRNLHTQDLCFFITSRRLQEFQPIKKRRLNA >KJB64134 pep chromosome:Graimondii2_0_v6:10:3146138:3148551:-1 gene:B456_010G034400 transcript:KJB64134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNENFSGVSNCYVFKSQLQEYAQKVGLPTPVYETFKEGPSHKPSFRSTVTVNNVKYDSIPGFFNRKAAEQSAAEVALLELFKAGEANESISQPVHETGLCKNLLQEYAQKMNYAIPVYQIQKDEATGRLPHYSCTVEIGGIRYIGASAKTKKEAEIKAARVALLAIQLSTSQLTDRALGNSQLTVIPCRKRAIETASNPDETTVKAPKPKKSRFKKKMLKTKFLGKKADRSQDNPTSNSAIGSDDSHKPESIQTDSFTALGSETLGTEATNNLKDAKITSDSSEREMPSADVALAPEVADNSKNEQLMAANPLHSNHEVPDVENSSMVCDDQTDSVKLTNGDDVASKITDPTSSQMEASKIMPGLNQVVEKVHANAGQAQVLEKIDIGSVPGIQFRN >KJB64135 pep chromosome:Graimondii2_0_v6:10:3146250:3148469:-1 gene:B456_010G034400 transcript:KJB64135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNENFSGVSNCYVFKSQLQEYAQKAAEQSAAEVALLELFKAGEANESISQPVHETGLCKNLLQEYAQKMNYAIPVYQIQKDEATGRLPHYSCTVEIGGIRYIGASAKTKKEAEIKAARVALLAIQLSTSQLTDRALGNSQLTVIPCRKRAIETASNPDETTVKAPKPKKSRFKKKMLKTKFLGKKADRSQDNPTSNSAIGSDDSHKPESIQTDSFTALGSETLGTEATNNLKDAKITSDSSEREMPSADVALAPEVADNSKNEQLMAANPLHSNHEVPDVENSSMVCDDQTDSVKLTNGDDVASKITDPTSSQMEASKIMPGLNQVVEKVHANAGQAQVLEKIDIGSVPGIQFRN >KJB64136 pep chromosome:Graimondii2_0_v6:10:3146537:3147400:-1 gene:B456_010G034400 transcript:KJB64136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYAIPVYQIQKDEATGRLPHYSCTVEIGGIRYIGASAKTKKEAEIKAARVALLAIQLSTSQLTDRALGNSQLTVIPCRKRAIETASNPDETTVKAPKPKKSRFKKKMLKTKFLGKKADRSQDNPTSNSAIGSDDSHKPESIQTDSFTALGSETLGTEATNNLKDAKITSDSSEREMPSADVALAPEVADNSKNEQLMAANPLHSNHEVPDVENSSMVCDDQTDSVKLTNGDDVASKITDPTSSQMEASKIMPGLNQVVEKVHANAGQAQVLEKIDIGSVPGIQFRN >KJB65270 pep chromosome:Graimondii2_0_v6:10:13319506:13320022:1 gene:B456_010G0871001 transcript:KJB65270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSLSAFAFLFSELVQYNQTRVDHC >KJB66326 pep chromosome:Graimondii2_0_v6:10:30894819:30904388:1 gene:B456_010G135700 transcript:KJB66326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQSHMSGQISGQFSNQGGLPQQNGNPLQSAQVQNLGVAGVVSAGAIVGAGGPPQNTLNMVPDIIRTREYMQGQIIDFLKSRYQRPITETSMLKLRDFARHLEEGLFKIARTKDDYTNLSTLEHRLQILMRGSRNAHNQRRPQLVNSTSAPVGMIPTPGMSHSGNPSIMFTASIDTSISASNASIAPTNVNTGCLLPTSGFNSGSIGRSDGNIHNGYQQSAANYRIASGGMSSIGVQRMTSQMIPTPGFSSYNNNSSVNNQSSNNVAGLSTVESKVVSQAQQLKQQVGGQNSHIWHTVGSQMDTGIRSGMQQKTFGFPNGSLNGASGMMGNNLQIFNEPGTSGGYQCTTPFSNLPKPLQGHSDQHQQPLMQADTYGLKHADSCGSGSLLYGAVTSVGSMTNSQNLNPVNLYNRSNLHGVQLASYMKPQSMDQFEKMDLQPLESSRDNILQANQQQQFQQQPLQFQQQQLLQQQHHQKQQNQQDQNLLGNNDYQSQLVSDIRSQVQHEPGVEQHDKVPHQQAPEQFQLPELQNQFQENYADGFSGQQDMRSLLPQNSQEMQHMLHQYQLIPESQNDYKLSAGAHLESVVQSQWHPHSKDTVQMPGNMSHEQHVQEDFCKRISRQDEAQCNELSADGSTIGLMVVPRSSSDPSNPRGAIFNRGNGSHDRQFRNQVKWLLFLRHARQCKVPEGKCDSYCFTVRKLLTHIDTCESSQCSCPRCHHSKLLICHHKTCANPACPVCVPVNNYVQAQKARACLNSISVLPYMDNGSTKTYSTGDISARMTSKTAPIDTSVDMLPSLKRMKDIQPRDYQHGDRRMPVKSEPMEIKTEVPMSCPKASPVINKMKDVPITCTEFGGLPNQENAKIEKEANSVKKEDMIESSESAAGTKSGKPKIKGVSLTELFTPEQVREHITGLRRWVGQSKAKAGKNQAMGHSMSENSCQLCAVEKLAFETAPIYCSPCGAWIKRNAMYHTVGAGDPRHYFCNPCHNESRGDSIVVDGTIIPKARLEKKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYITEVDRGERKPLPQSAVLGAKDLPRTILSDCIEQRLFRRLKQERQERARVQGKCYDEVPGAEALVIRVVSSVDKKLEVKQRFLEIFQEDNYPTEFPYKSKVVLLFQKIEGVEVCLFGMYIQEFGSECAFPNQRRVYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKASKENIVVDLTNFYDNFFVTTGECKAKVTAARLPYFDGDYWPGAAEDLINQLCQEEDGRKLNKKGTTKKTITKRALKASGQSDLSANGSKDLLLMHKLGEAICPMKEDFIMVQICDKCYEIEQNREERERHPINQREKHVLYPVEITDVPTDTKDKDEILESEFFDTRQAFLNLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCHLDIETGQGWRCEVCPDYDVCNSCYQKDGGIDHPHKLTNQPSMAERDAQNKEARQLRVLQLRKMLDLLVHASQCRSAHCQYPNCRKVKGLFCHGIQCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAANSGQKIEV >KJB63551 pep chromosome:Graimondii2_0_v6:10:433262:436032:1 gene:B456_010G007100 transcript:KJB63551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEIGRGDSIRQFWFVTPPRTGPDVPYTFGLIGDLGQTHDSNVTLTHYESNPKKGQTVLYVGDLSYSNDYPFHDNSRWDTWGRFVERNAAYQPWIWTAGNHELDFAPEIEETTPFKPYTHRYYVPYESSRSTSPLWYSIKRASAYIIVLSSYSAYGKSTPQYKWLKKELPKVNRSETPWLIVLVHCPIYNSNSHHYMEGETMRVVYESWFVKYKVDVVFSGHVHAYERSKRISNIAYNILNGKCTPVHDLFAPVYITIGDGGNHDGPALGMVEPQPNFSAYRETSFGHGIFDIKNRTHAYFGWHRNQDGYAVEADSLWFHNRYWNPYGKSFVASY >KJB63549 pep chromosome:Graimondii2_0_v6:10:433262:436032:1 gene:B456_010G007100 transcript:KJB63549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTASDTFVLYFLCFMLCSFGICNGGITGNFVRKKYSPDMPLDSDVFQVPSGYNAPQQVHITQGDMDGSGVIISWITPDEPGSNMVYYWSENSNHKYKAEGIFVRYKFFNYTSGYIHHCTINNLEYNTKYMYEIGRGDSIRQFWFVTPPRTGPDVPYTFGLIGDLGQTHDSNVTLTHYESNPKKGQTVLYVGDLSYSNDYPFHDNSRWDTWGRFVERNAAYQPWIWTAGNHELDFAPEIEETTPFKPYTHRYYVPYESSRSTSPLWYSIKRASAYIIVLSSYSAYGKSTPQYKWLKKELPKVNRSETPWLIVLVHCPIYNSNSHHYMEGETMRVVYESWFVKYKVDVVFSGHVHAYERSKRISNIAYNILNGKCTPVHDLFAPVYITIGDGGNHDGPALG >KJB63547 pep chromosome:Graimondii2_0_v6:10:433032:436130:1 gene:B456_010G007100 transcript:KJB63547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTASDTFVLYFLCFMLCSFGICNGGITGNFVRKKYSPDMPLDSDVFQVPSGYNAPQQVHITQGDMDGSGVIISWITPDEPGSNMVYYWSENSNHKYKAEGIFVRYKFFNYTSGYIHHCTINNLEYNTKYMYEIGRGDSIRQFWFVTPPRTGPDVPYTFGLIGDLGQTHDSNVTLTHYESNPKKGQTVLYVGDLSYSNDYPFHDNSRWDTWGRFVERNAAYQPWIWTAGNHELDFAPEIEETTPFKPYTHRYYVPYESSRSTSPLWYSIKRASAYIIVLSSYSAYGKSTPQYKWLKKELPKVNRSETPWLIVLVHCPIYNSNSHHYMEGETMRVVYESWFVKYKVDVVFSGHVHAYERSKRISNIAYNILNGKCTPVHDLFAPVYITIGDGGNHDGPALGMVEPQPNFSAYRETSFGHGIFDIKNRTHAYFGWHRNQDGYAVEADSLWFHNRYWNPYGKSFVASY >KJB63550 pep chromosome:Graimondii2_0_v6:10:433262:436032:1 gene:B456_010G007100 transcript:KJB63550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTASDTFVLYFLCFMLCSFGICNGGITGNFVRKKYSPDMPLDSDVFQVPSGYNAPQQVHITQGDMDGSGVIISWITPDEPGSNMVYYWSENSNHKYKAEGIFVRYKFFNYTSGYIHHCTINNLEYNTKYMYEIGRGDSIRQFWFVTPPRTGPDVPYTFGLIGDLGQTHDSNVTLTHYESNPKKGQTVLYVGDLSYSNDYPFHDNSRWDTWGRFVERNAAYQPWIWTAGNHELDFAPEIEETTPFKPYTHRYYVPYESSRSTSPLWYSIKRASAYIIVLSSYSAYGKSTPQYKWLKKELPKVNRSETPWLIVLVHCPIYNSNSHHYMEGETMRVVYESWFVKYKVDVVFSGHVHAYERSVSTRPKFAKAKVMMDINL >KJB63548 pep chromosome:Graimondii2_0_v6:10:433262:435371:1 gene:B456_010G007100 transcript:KJB63548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTASDTFVLYFLCFMLCSFGICNGGITGNFVRKKYSPDMPLDSDVFQVPSGYNAPQQVHITQGDMDGSGVIISWITPDEPGSNMVYYWSENSNHKYKAEGIFVRYKFFNYTSGYIHHCTINNLEYNTKYMYEIGRGDSIRQFWFVTPPRTGPDVPYTFGLIGDLGQTHDSNVTLTHYESNPKKGQTVLYVGDLSYSNDYPFHDNSRWDTWGRFVERNAAYQPWIWTAGNHELDFAPEIEETTPFKPYTHRYYVPYESSRSTSPLWYSIKRASAYIIVLSSYSAYGKSTPQYKWLKKELPKVNRSETPWLIVLVHCPIYNSNSHHYMEGETMRVVYESWFVKYKVDVVFSGHVHAYERSVSTRPKFAKAKVMMDINL >KJB66650 pep chromosome:Graimondii2_0_v6:10:42466393:42466740:-1 gene:B456_010G154600 transcript:KJB66650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKVWHMFLLFALAMVAECAKFDEVNSGIHHRDNNSRDSCIGEHCNIKDEIFMDSETNIRLLAARRYISYEALKRNNVPCSQQGRSYYECSTGEQVNPYTRGCTYATRCRRYTA >KJB63919 pep chromosome:Graimondii2_0_v6:10:1946296:1949133:1 gene:B456_010G024300 transcript:KJB63919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKQGFFSVVRGLSPSRSRSKSPARSASPMSGLLRRRRNNGSHHVSQPDPLIFRSGSLRPAEALSPLKEGPDPDGTDAGDSRMEGRWAQWMKGQLARAPSVSTSTCKRSDLRLLLGVLGAPLAPVHVSALDPFPLLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIHNAYAMGRVRMIASEFETANKVTKNRNSSKAAESGGFVLWQMNPDMWYVELALGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTASMFTNARCIGEKKINGEDCFILKLCADPATLKARSEGPAEIIRHVLFGYFSQKTGLLVHMEDSHLTRIQNNGGDAVYWETTINSFLEDYRPVEGIMIAHSGRSLVTLFRFGDTAMSHTRTRMEEAWAIEEVAFNVPGLSMDCFIPPAEIRLASISEACEFSQGPRVKTM >KJB68590 pep chromosome:Graimondii2_0_v6:10:61870610:61872122:-1 gene:B456_010G252800 transcript:KJB68590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKIKRLYKKFKNISRIFAVKEKKMEIGYPTDVKHVTHIGWDTPSHSTAPSSVYILYYENEFNTRGSNFTSLSTWSSQDFEQSMGSESARDESKRSPASLGSSKCF >KJB64351 pep chromosome:Graimondii2_0_v6:10:4516696:4519988:1 gene:B456_010G044300 transcript:KJB64351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLPNVSFSVEMHNNNQQQQQDLEDSGRTERAKWLINSPEPPYLWQELVSGIRKNVFPHRGNHSLGAMSFFQALFPILSWGKTYNASKLKDDFMAGLTLASLSIPQSIGYANIAKLDPQYGLYTSVVPPLIYALMGSSREIAIGPVAVVSMLLSSIVPNVVDPVVDPSGYRKFVFTVTFFAGTFQAIFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLFGLNHFTTKTDVVSVLGSVLKSVPHEWHPLNFSLGCLFLVFLLVARSIGRRNKKLFWLPAIAPLLSVILSTLIVYLTKADEHGVKIIKHLKGGLNPSSLHQLQLNGPHVAQAAKIGLISAVVALTEAIAVGRSFASIKGYHLDGNKEMMAMGFMNVAGSFTSCYVATGSFSRTAVNFSAGCQTAVSNIVMAITVVVALELFTKLLYYTPISILASIILSALPGLIDINEAYYIWKVDKLDFLACLGAFFGVLFVSVEIGLLAAVTISFAKIVLNSIRPSVEQLGRLPRTDTFCEVNQYPMAIESETPGLLALGINSGLLCFANANCIRERIMRLVTEEGGTKETEKDTGQILILDMSNVMNIDTSGIFALEELHNNLVSLGIKLAMVNLRWQVIHKLKVAKVVEKIGIEWIFLTVGEAVDACLASKLV >KJB65039 pep chromosome:Graimondii2_0_v6:10:11216014:11217919:1 gene:B456_010G077700 transcript:KJB65039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNQTTTASILTSTQNSSQTHLYFHPGKHRHHHDHGAHHLCPSISDIITEAKSLFNLAFPLALTGLILYSRSIISMLFLGHLGDIQLAAGSLAIAFANVTGYSVLSGLALGMEPLCSQAFGAQRHKLLSLTLHRYVIFLLFVSILISFLWINMFNIMVYLRQDPNITRIGQRYLLFSLLDLFTNSFIHPIRIYLRAQGITHPLTLATLAATILHLPISFLLVSHFNLGVAGVAASASISNFFVLVSLVVYIWTSGLHHPTWEKPTLECLTGWKPLLKLAAPSCVSVCLEWWWYEIMIVMCGLLVNPKAPVASMGILIQTTSLLYVFPSSLGFAVSTRVGNELGANRPYKARLLAVVAVFVSAMMGLSASTFASGMRNKWARMFTSDPEILRLTSIALPILGLCELGNCPQTVGCGVLRGSARPSTAANVNLGAFYLVGMPVAVGLGFYVGVGFSGIWLGLLSAQVCCAGLMLYVVGSTDWDLQAKRAQMLTCVDTTWLPHDFDNNSKGEEEQQPFICSVLTSAA >KJB67900 pep chromosome:Graimondii2_0_v6:10:58497206:58500240:1 gene:B456_010G216800 transcript:KJB67900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDFRSVLETAGVDVWTFIDTAILVASLDYGQELKQRRDGIVERLYATSMVTKCKSCDFGEGSNGYQLNNESNPHEGGEEGVTGSPFSPQSDNENDDFDPYGGLFDDEQKRVLEIKEQDTLVDLLQSLADMDITFQALKETDIGRHVNKLRKHSSNDVRRLVKHLVRKWKDIVDEWVRVNQPGEHEPAALMDGDSPQQKPPQNGRQQVPDFAYSPNPHNGGSGSEKNNSEPERKPKPIPPRKDPPSRPTHLTPPQNVQRQREQKETNFDAERLASARKRLQESYKEAENAKKQRTVQVMDIHELPKPKNAFFGRNKGGGSQGRHW >KJB67898 pep chromosome:Graimondii2_0_v6:10:58497161:58500382:1 gene:B456_010G216800 transcript:KJB67898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDFRSVLETAGVDVWTFIDTAILVASLDYGQELKQRRDGIVERLYATSMVTKCKSCDFGEGSNGYQLNNESNPHEGGEEGVTGSPFSPQSDNENDDFDPYGGLFDDEQKRVLEIKERLELPDQSEDTLVDLLQSLADMDITFQALKETDIGRHVNKLRKHSSNDVRRLVKHLVRKWKDIVDEWVRVNQPGEHEPAALMDGDSPQQKPPQNGRQQVPDFAYSPNPHNGGSGSEKNNSEPERKPKPIPPRKDPPSRPTHLTPPQNVQRQREQKETNFDAERLASARKRLQESYKEAENAKKQRTVQVMDIHELPKPKNAFFGRNKGGGSQGRHW >KJB67899 pep chromosome:Graimondii2_0_v6:10:58497206:58500240:1 gene:B456_010G216800 transcript:KJB67899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDFRSVLETAGVDVWTFIDTAILVASLDYGQELKQRRDGIVERLYATSMVTKCKSCDFGEGSNGYQLNNESNPHEGGEEGVTGSPFSPQSDNENDDFDPYGGLFDDEQKRVLEIKERLELPDQSEDTLVDLLQSLADMDITFQALKETDIGRHVNKLRKHSSNDVRRLVKHLVRKWKDIVDEWVRVNQPGEHEPAALMDGDSPQQKPPQNGRQQVPDFAYSPNPHNGGSGSEKNNSEPERKPKPIPPRKDPPSRPTHLTPPQNVQRQREQKETNFDAERLASARKRLQESYKEAENGCLFFSSTFYLILNPSLWVYYTKYNYLRFFCAF >KJB63695 pep chromosome:Graimondii2_0_v6:10:857058:859424:1 gene:B456_010G011400 transcript:KJB63695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLVLLLLLNFVFNATNAQSTSSIIKPGSSLSPINNSYLLSPSGQFAFGFYRYKNGYSVGIWFENIQQKTIVWTANRDASPFPSDVTLVLTTEGTLIVRQKQGQDVLIADTSSDNVSRLATSASMLDSGNFVLLNSSGGIVWKSFDFPTDTILPGQRVAVTGKLVSSVAESDHGNGKFLILMQSDGDLVQFPVEAVELGAAYWATNTFNAGYGVSLNLDGNGHLYLLNDTGFNIKSINKNYVNASGKSVYRATIDTDGIFRLYSHSSNRFDDWYTEWSSSNDRCNPLGLCGENSYCVMMDGEPICRCPPHFNFINEKRQELGCRKNYSLVACDTRNDQTFDFLEVNDVSWKDDAYLSLSSMTKNSCRVECYRDCSCEAAVLENESCKMMKLPFRFGKRVLSGQVTAFLKIGGELAGVGTRKRKRKLRMDMLIITTIGVRKHRAHVRKYKRVLRLVNNRVAEDVALKSFSFEELKDATNNFVDVIGKGAYGTVFKGVIFDGERTVAVKRLEKVVAEGESDFLNEMKAIGKTHHKNLVRLLGYCYDGTNRLLVYEYMENGSLADFLFKWRLKVNWEVRVEIVLSIARGICYLHEECETRIIHCDIKPENILMDDKGYAKIADFGLAKLLMPNQTGTYTEIRGTRGYFAPEWLRNLPITVKADVYSFGIMLFEIICCRRSVKADVPENEQVLAFWVNDCFKANEVEKLVQNEFVEKSKLEKMVKVGLWCTQDEASSRPSMKRVILMLEGTVNIPDPPPLSSFVSSPPLLSSFVSSP >KJB65573 pep chromosome:Graimondii2_0_v6:10:18371901:18378372:1 gene:B456_010G101600 transcript:KJB65573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKSNSSVEILTFCFFVSLFCLASFSLAQRQQEQQLAEPDRFDDDDEGGNGTVSNASSIAKPKDGTFAAIIDRALEKEFTENEQNEVNDDAGSFNNSVVEKKAVLETVARVKTKKNDTKEEKSFQLHDVFNLENDNRAEDTPMLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSLIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSIAKLRVVRAVAVLGGLLQIVLFMCLCGITASLCGGRLSEGVFVGVFLSMSSTAVVLKFLMEKNSNNMLHGQVIIGTLILQDCAVGLLFALLPVLVGTSGIFQGVISMTKLLVMLATFLGVLSILSRTGLPWLLKLMISLSSQTDELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLIHVHFLWNHVDILLASVILVITIKTIIIALVVKGFGYNNKTSLLVGMSLAQIGEFAFVLLSRASNIHLVEVSATTEFIVLWQDGCTNTSLVKNSFWLYNLLHN >KJB65572 pep chromosome:Graimondii2_0_v6:10:18371901:18378372:1 gene:B456_010G101600 transcript:KJB65572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKSNSSVEILTFCFFVSLFCLASFSLAQRQQEQQLAEPDRFDDDDEGGNGTVSNASSIAKPKDGTFAAIIDRALEKEFTENEQNEVNDDAGSFNNSVVEKKAVLETVARVKTKKNDTKEEKSFQLHDVFNLENDNRAEDTPMLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSLIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSIAKLRVVRAVAVLGGLLQIVLFMCLCGITASLCGGRLSEGVFVGVFLSMSSTAVVLKFLMEKNSNNMLHGQVIIGTLILQDCAVGLLFALLPVLVGTSGIFQGVISMTKLLVMLATFLGVLSILSRTGLPWLLKLMISLSSQTDELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLIHVHFLWNHVDILLASVILVITIKTIIIALVVKGFGYNNKTSLLVGMSLAQIGEFAFVLLSRASNIHLVEVRETVPATARDNST >KJB65571 pep chromosome:Graimondii2_0_v6:10:18371755:18378385:1 gene:B456_010G101600 transcript:KJB65571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKSNSSVEILTFCFFVSLFCLASFSLAQRQQEQQLAEPDRFDDDDEGGNGTVSNASSIAKPKDGTFAAIIDRALEKEFTENEQNEVNDDAGSFNNSVVEKKAVLETVARVKTKKNDTKEEKSFQLHDVFNLENDNRAEDTPMLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSLIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSIAKLRVVRAVAVLGGLLQIVLFMCLCGITASLCGGRLSEGVFVGVFLSMSSTAVVLKFLMEKNSNNMLHGQVIIGTLILQDCAVGLLFALLPVLVGTSGIFQGVISMTKLLVMLATFLGVLSILSRTGLPWLLKLMISLSSQTDELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLIHVHFLWNHVDILLASVILVITIKTIIIALVVKGFGYNNKTSLLVGMSLAQIGEFAFVLLSRASNIHLVEGKLYLLLLGTTALSLVTSPLLFKLIPALLHLGVLLRWFSPERESSIEVGIKGDNLRSDSGKHRITLMVQEPHDS >KJB66349 pep chromosome:Graimondii2_0_v6:10:31555759:31556630:-1 gene:B456_010G137000 transcript:KJB66349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQTASSSAAMNNDPKRLHKCRNICFATMGGLIFIIILIVILAFTVFKPKNPVITVDSVTLSDLKFYLDTTKFQVLFNFSLDVDLTIKNSNKVGFKYADSAAELNYRGQKVGEVPIPAGKISADKTAPMNLTVTVMADRFISDSNFFADVSGGELPLETFCEISGKVNILNLFKFHVVSTTSCDIIVFLSNSSAGDQNCNYKYMRWYLLFCWLVWVHLHIPSASKIFMVDRHIGYFQCEHGGN >KJB63233 pep chromosome:Graimondii2_0_v6:10:30701589:30704047:1 gene:B456_010G1353001 transcript:KJB63233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APLYWYAPKGLNSARIYFTCHNFEYQGTASASELASCGLDAQELNRPDRMQDNTAHDSVNPVKGAIVFSNIVMTVSPTYAQEVRTAEGGKGLHSTLNSHTRKFMGILNGINTDAWNPRTDNFLKVQYSANDKQGKAENKAAMRRNLGLSSADDQRPVVGCITRLVPQKGVHLIKHAIYRTLEMGSQFVLLGSCPVPHIQREFEGIANQFQNHEHIRLILKYDESLSHAIYAASDMFIFPSIFEPCGLTQCLYKRFTL >KJB63234 pep chromosome:Graimondii2_0_v6:10:30701590:30704059:1 gene:B456_010G1353001 transcript:KJB63234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDNTAHDSVNPVKGAIVFSNIVMTVSPTYAQEVRTAEGGKGLHSTLNSHTRKFMGILNGINTDAWNPRTDNFLKVQYSANDKQGKAENKAAMRRNLGLSSADDQRPVVGCITRLVPQKGVHLIKHAIYRTLEMGSQFVLLGSCPVPHIQREFEGIANQFQNHEHIRLILKYDESLSHAIYAASDMFIFPSIFEPCGLTQCLYKRFTL >KJB64762 pep chromosome:Graimondii2_0_v6:10:7964613:7966163:-1 gene:B456_010G063400 transcript:KJB64762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYKNASKHIVVLAFPFGTHAAPLLNIIHQLSDACPNTIFSFLSTQQSNNSSFPNKFHKIKPFNVRDGLPEGYIYRGDPNEPVEYFLKATPGNFIEAIGAVVAETGKPIDCLITDAFYAFGGDIADELNIPWVVLWTAAPRTLFVHAETDFIRQLVGINGSQDKSLDFFPDFSGIRVSDLPGGVTCGDLDAPMAAMLYKMGLELSRATAIAANSFEDVDNKVVNMLKLKFNMFLNVGPFNLVSSVSSFTIDDSHCCLDWLSKHKPSSVVYISFGSVATPLPHELEALSEALEESEFPFLWSFKGNPEKQLPPGFLERTRSRGKIVPWAPQQKILQHPSVGVFVSHGGWNSILDSIVGGVPMIFRPFYGDQILNTRTVEVVWGFGLGLEGGTLTKEGTKKALKLILCSEQGKKMREKIGIQRERAYTAVKPKGSSVENFKTLVKLVSDI >KJB66685 pep chromosome:Graimondii2_0_v6:10:41515578:41521069:-1 gene:B456_010G152400 transcript:KJB66685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTYGRRNRGLTRTFSNSLNDDVSDSPPLSQETLPSQDIYDFPFTTTQESSSLWPSSQEFIDDDYKNKIKASVKPTQRSFEFEDPRNGVVRRSKKQKQNQSKNEVGYSSMPWISPTSSLMEAQEFGEMMEHVDEVNFALDGLKKGQPVRIRRASLLSLLSICATAQQRRLLRTHGMAKTIIDAILGLNFDDIPSNLAAVALFYVFTNDGQDDQLLESPCCIRFLMKILKPIIPPAKENKTEKVGFKLLALRKDANVSRDTIKVLDSSSAAIISKVEEILVSCEEMKSRCGDDSDVRRPELSPKWIALLTLEKACLSRISIEDATGTVRKTGSDFKEKLRELGGLDAVFEVAMECHSVMEGWVEQSLSSPLTEDRKDVQSLVLLSKCLKIMENAAFLSSENQSHLIEMKGKFNSHGHRLSFTKLVVSVIKILSGLYCKSSCGSSSIGKACGNSKAVGGVDEFALTTDCKVDRHGIICLSSSEKSSSMEWSSEKSSNASQIEPGPSTQWLGHLVPSFQSENTSTNDSRLLKMRTRSSFASSCSGKLGNSYDEIPVTSNWSGILSERPVGSKDDKWQVLEDSQDPFAFDEEEFVPSKWDLLSGSKKTSQTKKHRKLGPRNREIQIEHQCHTISQKELTSEEICLRKSSNEEYNHSNAASSSKYGEEEYASLLSDCLLAAVKVLMNLTNDNPLGCKQIAASGALETLSTLIACHFPSFCSYLPRISEMEENSLCVELHNQNDRPLTDPELDFLVAILGLLVNLVEKDEHNRSRLAAASVSLPDSEGLNEGSHMAVIPLLCAIFLANQGEDDGTGVLSWNDEAAMLQEEKEAEKMILEAYAALLLAFLSTERLVSLISFPMPTFPVFLINCFSAVTDSKSTRNAIAECLPNHSLSILVPVLERFVVCVHLIIEN >KJB66686 pep chromosome:Graimondii2_0_v6:10:41515578:41521069:-1 gene:B456_010G152400 transcript:KJB66686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTYGRRNRGLTRTFSNSLNDDVSDSPPLSQETLPSQDIYDFPFTTTQESSSLWPSSQEFIDDDYKNKIKASVKPTQRSFEFEDPRNGVVRRSKKQKQNQSKNEVGYSSMPWISPTSSLMEAQEFGEMMEHVDEVNFALDGLKKGQPVRIRRASLLSLLSICATAQQRRLLRTHGMAKTIIDAILGLNFDDIPSNLAAVALFYVFTNDGQDDQLLESPCCIRFLMKILKPIIPPAKENKTEKVGFKLLALRKDANVSRDTIKVLDSSSAAIISKVEEILVSCEEMKSRCGDDSDVRRPELSPKWIALLTLEKACLSRISIEDATGTVRKTGSDFKEKLRELGGLDAVFEVAMECHSVMEGWVEQSLSSPLTEDRKDVQSLVLLSKCLKIMENAAFLSSENQSHLIEMKGKFNSHGHRLSFTKLVVSVIKILSGLYCKSSCGSSSIGKACGNSKAVGGVDEFALTTDCKVDRHGIICLSSSEKSSSMEWSSEKSSNASQIEPGPSTQWLGHLVPSFQSENTSTNDSRLLKMRTRSSFASSCSGKLGNSYDEIPVTSNWSGILSERPVGSKDDKWQVLEDSQDPFAFDEEEFVPSKWDLLSGSKKTSQTKKHRKLGPRNREIQIEHQCHTISQKELTSEEICLRKSSNEEYNHSNAASSSKYGEEEYASLLSDCLLAAVKVLMNLTNDNPLGCKQIAASGALETLSTLIACHFPSFCSYLPRISEMEENSLCVELHNQNDRPLTDPELDFLVAILGLLVNLVEKDEHNRSRLAAASVSLPDSEGLNEGSHMAVIPLLCAIFLANQGEDDGTGVLSWNDEAAMLQEEKEAEKMILEAYAALLLAFLSTESKSTRNAIAECLPNHSLSILVPVLERFVVCVHLIIEN >KJB66684 pep chromosome:Graimondii2_0_v6:10:41514948:41521367:-1 gene:B456_010G152400 transcript:KJB66684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTYGRRNRGLTRTFSNSLNDDVSDSPPLSQETLPSQDIYDFPFTTTQESSSLWPSSQEFIDDDYKNKIKASVKPTQRSFEFEDPRNGVVRRSKKQKQNQSKNEVGYSSMPWISPTSSLMEAQEFGEMMEHVDEVNFALDGLKKGQPVRIRRASLLSLLSICATAQQRRLLRTHGMAKTIIDAILGLNFDDIPSNLAAVALFYVFTNDGQDDQLLESPCCIRFLMKILKPIIPPAKENKTEKVGFKLLALRKDANVSRDTIKVLDSSSAAIISKVEEILVSCEEMKSRCGDDSDVRRPELSPKWIALLTLEKACLSRISIEDATGTVRKTGSDFKEKLRELGGLDAVFEVAMECHSVMEGWVEQSLSSPLTEDRKDVQSLVLLSKCLKIMENAAFLSSENQSHLIEMKGKFNSHGHRLSFTKLVVSVIKILSGLYCKSSCGSSSIGKACGNSKAVGGVDEFALTTDCKVDRHGIICLSSSEKSSSMEWSSEKSSNASQIEPGPSTQWLGHLVPSFQSENTSTNDSRLLKMRTRSSFASSCSGKLGNSYDEIPVTSNWSGILSERPVGSKDDKWQVLEDSQDPFAFDEEEFVPSKWDLLSGSKKTSQTKKHRKLGPRNREIQIEHQCHTISQKELTSEEICLRKSSNEEYNHSNAASSSKYGEEEYASLLSDCLLAAVKVLMNLTNDNPLGCKQIAASGALETLSTLIACHFPSFCSYLPRISEMEENSLCVELHNQNDRPLTDPELDFLVAILGLLVNLVEKDEHNRSRLAAASVSLPDSEGLNEGSHMAVIPLLCAIFLANQGEDDGTGVLSWNDEAAMLQEEKEAEKMILEAYAALLLAFLSTESKSTRNAIAECLPNHSLSILVPVLERFVAFHFTLNMISSETHKAVSEVIESCRIP >KJB66687 pep chromosome:Graimondii2_0_v6:10:41514975:41521069:-1 gene:B456_010G152400 transcript:KJB66687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTYGRRNRGLTRTFSNSLNDDVSDSPPLSQETLPSQDIYDFPFTTTQESSSLWPSSQEFIDDDYKNKIKASVKPTQRSFEFEDPRNGVVRRSKKQKQNQSKNEVGYSSMPWISPTSSLMEAQEFGEMMEHVDEVNFALDGLKKGQPVRIRRASLLSLLSICATAQQRRLLRTHGMAKTIIDAILGLNFDDIPSNLAAVALFYVFTNDGQDDQLLESPCCIRFLMKILKPIIPPAKENKTEKVGFKLLALRKDANVSRDTIKVLDSSSAAIISKVEEILVSCEEMKSRCGDDSDVRRPELSPKWIALLTLEKACLSRISIEDATGTVRKTGSDFKEKLRELGGLDAVFEVAMECHSVMESLSSPLTEDRKDVQSLVLLSKCLKIMENAAFLSSENQSHLIEMKGKFNSHGHRLSFTKLVVSVIKILSGLYCKSSCGSSSIGKACGNSKAVGGVDEFALTTDCKVDRHGIICLSSSEKSSSMEWSSEKSSNASQIEPGPSTQWLGHLVPSFQSENTSTNDSRLLKMRTRSSFASSCSGKLGNSYDEIPVTSNWSGILSERPVGSKDDKWQVLEDSQDPFAFDEEEFVPSKWDLLSGSKKTSQTKKHRKLGPRNREIQIEHQCHTISQKELTSEEICLRKSSNEEYNHSNAASSSKYGEEEYASLLSDCLLAAVKVLMNLTNDNPLGCKQIAASGALETLSTLIACHFPSFCSYLPRISEMEENSLCVELHNQNDRPLTDPELDFLVAILGLLVNLVEKDEHNRSRLAAASVSLPDSEGLNEGSHMAVIPLLCAIFLANQGEDDGTGVLSWNDEAAMLQEEKEAEKMILEAYAALLLAFLSTESKSTRNAIAECLPNHSLSILVPVLERFVAFHFTLNMISSETHKAVSEVIESCRIP >KJB66588 pep chromosome:Graimondii2_0_v6:10:38223510:38225667:-1 gene:B456_010G1453001 transcript:KJB66588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVKLTALSSILSHLLISISLVEAYIRCYDTGNFTVNSTYGKNRDLLLASLPPNVSTKGGFFTANFGQNADKVYALGMCRGDSTPDDCYKCVNSTFHELIANCPNQKEALAWRGKPCHVHYANHSFYGTPQDLGVPAAGYNTGDITFNLTEFDTVWESLMDTVVRNASNGSSTLKYATGEADFTITQTIYALMQCTPDLSYQNCDFCLRQSISYYERCCHGKQGGYVQRPSCYFQWDFPPPPAASPPPQSVNTIKKGNYRSARRHAEEGGHRSSQTLVIIIVPIVILVAVLVILAVAILRKRIAKPKQDDQNAKTRVESLQFDFDAVRVATEDFSDANILGRGGFGPVYKGKLEDGRQVAIKRLSENSGQGQQEFKNEVMLLAKLQHRNLVRLLGFSLEQKE >KJB67792 pep chromosome:Graimondii2_0_v6:10:57745144:57746226:-1 gene:B456_010G211300 transcript:KJB67792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLASPFNESNGVSIGELDLSFVNAAWVAQSLKLKPSFQEIVEGVYHAIAKEVDFANKAKQVVAEVNAWAETATRGLIRNLLTAEALKVKNEYAALILANALYFKGTWAQLFDTSKTKQRVFHLLDGEKVHVPFMTSNRFERYLYNKFEDFKILKLPYKTSQPTRKFAMHFFLPDAKDGLKNLLQMFKSNLNTSTSDSILSTRKFPTSGFRDSSSSSSLKHWRR >KJB68137 pep chromosome:Graimondii2_0_v6:10:59909921:59911378:-1 gene:B456_010G227700 transcript:KJB68137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRLSDAIIRLHPDFTSLQELPESYAWNQRDDIDYPSFDSSAESVPIIDLNDPNALQNIGHACRTWGVFQVINHGVSTTLLDMVESTSRTLFSLPVNQKLKAARSPDGVSGYGFARISSFFSKLMWSEGFTIVGSPDEHFRQLWPHDYTNHCDVIKEYKQEMKKLAGRLMCLMLGSLGITAKQDIKWAGPKSEFKEASAALQLNYYPACPDPDRAMGLAAHTDSTLLTILYQNSTSGLQVLKEGVGWIKVSPVPDGLVINVGDLMHILSNGSYPSVLHRAMVNRTRHRLSIAYLYGPPSSVKISPHPKLVGPTHPPLYRPITWNEYLDTKAKYFNKALSWVRVCVPLNGLVDVNEHNNSVKVG >KJB68563 pep chromosome:Graimondii2_0_v6:10:61774371:61777324:-1 gene:B456_010G250600 transcript:KJB68563 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCES1 [Source:Projected from Arabidopsis thaliana (AT4G22330) UniProtKB/TrEMBL;Acc:A0A178UXI2] MADGISSFWGPVTSTSECCEKNYAYSSYVAEFYNTISNILAILLAFIGLVNAIRQRFEKRFTVLHVSNMILAIGSMLYHATLQRLQQQGDETPMVWEMLLYMYILYSPDWHYRSTMPTFLFLYGAIFAVVHSVIRFGIGFKVHYVILCLLCIPRMYKYYIHTNDASAKKLAKLYVATLSLGSLCWFSDRVFCKQISSWPINPQGHALWHLLMGFNSYFANTFLMFCRAQQRGWAPKVVYLMGILPYVKIEKPKTQ >KJB68562 pep chromosome:Graimondii2_0_v6:10:61774371:61777494:-1 gene:B456_010G250600 transcript:KJB68562 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCES1 [Source:Projected from Arabidopsis thaliana (AT4G22330) UniProtKB/TrEMBL;Acc:A0A178UXI2] MADGISSFWGPVTSTSECCEKNYAYSSYVAEFYNTISNILAILLAFIGLVNAIRQRFEKRFTVLHVSNMILAIGSMLYHATLQRLQQQGDETPMVWEMLLYMYILYSPDWHYRSTMPTFLFLYGAIFAVVHSVIRFGIGFKVHYVILCLLCIPRMYKYYIHTNDASAKKLAKLYVATLSLGSLCWFSDRVFCKQISSWPINPQGHALWHLLMGFNSYFANTFLMFCRAQQRGWAPKVVYLMGILPYVKIEKPKTQ >KJB63833 pep chromosome:Graimondii2_0_v6:10:1503605:1506508:-1 gene:B456_010G019800 transcript:KJB63833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKSDNLLEMMKFHLGTDAGKELTKKIGLVYQLNIAPKKLGVDEVTYVVDLKKGDVIKGEYEGGKPDVIFSFKDDDFLKIATGKMNPQVAFMRLRGA >KJB63834 pep chromosome:Graimondii2_0_v6:10:1503605:1506592:-1 gene:B456_010G019800 transcript:KJB63834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKSDNLLEMMKFHLGTDAGKELTKKIGLVYQLNIAPKKLGVDEVTYVVDLKKGDVIKGEYEGGKPDVIFSFKDDDFLKIATGKMNPQVAFMRGLMKIKGSLSLAQKFTPDIFPKPAKM >KJB66764 pep chromosome:Graimondii2_0_v6:10:43842287:43846286:-1 gene:B456_010G157200 transcript:KJB66764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSKNNCKSASHKLFKDKAKNRVDDLQGMFLDLQFARKESRGVDVAVLEEQLHQMLREWKAELNEPSPASSLQQGGSLGSFSSDICRLLQLCEEEDDATSILAVPKPEPNDQSLQVGDTAASQELYAVNQGQHECGFPLVDQCKESPSQVCTMSINSLEGATQLEYHQFDLDQDFEHFYTGYNGTEFCREDDAMPRTYSYLPSICPSPSAFLGPKCALWDCPRPAQGLGGCLDFCSSFHAALAMNEGPPGMGPVLRPGGIGLKDGLLFAALSAKTQGKDVGIPECQGAATAKSPWNAPELFDLLVLDGESIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMNEFGGLKRSYYMDPQPLNHFEWHLYEYEINKCDACALYRLELKLVDGKKSAKGKPVNDTVSYPHKHMGKLPDGFPSENKCCVKGRTKVNAKIGVGNFFSTPNAVVPTSEKFEYGPSVQYDYGGSYYLT >KJB66765 pep chromosome:Graimondii2_0_v6:10:43842328:43846286:-1 gene:B456_010G157200 transcript:KJB66765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSKNNCKSASHKLFKDKAKNRVDDLQGMFLDLQFARKESRGVDVAVLEEQLHQMLREWKAELNEPSPASSLQQGGSLGSFSSDICRLLQLCEEEDDATSILAVPKPEPNDQSLQVGDTAASQEGQHECGFPLVDQCKESPSQVCTMSINSLEGATQLEYHQFDLDQDFEHFYTGYNGTEFCREDDAMPRTYSYLPSICPSPSAFLGPKCALWDCPRPAQGLGGCLDFCSSFHAALAMNEGPPGMGPVLRPGGIGLKDGLLFAALSAKTQGKDVGIPECQGAATAKSPWNAPELFDLLVLDGESIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMNEFGGLKRSYYMDPQPLNHFEWHLYEYEINKCDACALYRLELKLVDGKKSAKGKPVNDTVSYPHKHMGKLPDGFPSENKCCVKGRTKVNAKIGVGNFFSTPNAVVPTSEKFEYGPSVQYDYGGSYYLT >KJB67067 pep chromosome:Graimondii2_0_v6:10:50211943:50212574:1 gene:B456_010G172700 transcript:KJB67067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGFKIVHDYKSCLFLLNYNDISISATGFFCFFLLISEFLIHCLERVNLFSEGMLWVHLKMHEKGADEIPVNSW >KJB64239 pep chromosome:Graimondii2_0_v6:10:3627406:3629642:1 gene:B456_010G038700 transcript:KJB64239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVIGDALGEEFKGYVFKIKGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRSFTTKSGRVSSIIISCTINVDSRSLKGSSYFKLKMHALFTLPIAVVFMPIRYLILYFFSLQYRKESQ >KJB64238 pep chromosome:Graimondii2_0_v6:10:3627368:3629694:1 gene:B456_010G038700 transcript:KJB64238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVIGDALGEEFKGYVFKIKGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRSFTTKSGKKASKAPKIQRLVTPLTLQRKRARIAEKKKRVAKAKADAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSIAA >KJB64364 pep chromosome:Graimondii2_0_v6:10:4733818:4734757:-1 gene:B456_010G045200 transcript:KJB64364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYGVGLAEAYVMRSLHKEKMKKMDKQQASKSEEEEEENNNKMVFDDEMKMPASCFSWVSKKTHFARVSDASGKPNVG >KJB64896 pep chromosome:Graimondii2_0_v6:10:9369373:9370910:1 gene:B456_010G070900 transcript:KJB64896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADSNPKIVAPFVAKTYQMVNDPMTDVLITWGKANNSFIVIDPLDFSQRILPVYFKHSNFSSFVRQLNTYGFKKVDPDKWEFANEWFLRGQKHLLKNIARRKHNKNPFMQLKAEDLEDEEIVMEIARLKEEQKSLEEELQGMNKRLEATERRPQQMMEFLYKVVEDPDLLPRMMLEKERTRQLNADKKRRLTMMPSNSSSSSLAVSNNSVKSEEEEDGHPGVISSPETGFDMENNFYRSSYQSSPSPDQDSKELLGQNRLHEGQLMNYGCAAVTTQLPAVMVAPSVIGNGVAVSSSGATSVAGYGDRSGQLGYFGEMAAPWMEARPRPPYPFSLLEGGF >KJB68281 pep chromosome:Graimondii2_0_v6:10:60660429:60664322:-1 gene:B456_010G236200 transcript:KJB68281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWRSASFILDRQQEQNTSYPPNPESLLLSSPPPSMADSLQNPKPDISAYYQTRAAHHAVVTSDWLSQAQAAVSLQTNDDVSSGSDGRVAPTGGGTGGDNKTFSVIDEFNSWRKQPDLAEAVAAIRALAAVIRASQATTMMELEIELKKASDSLKAWDATSISLTAGCDLFMRYVTRTSALEYEDFNSARYRLIERAEKFGEISYKARRIIAMLSQDFIFDGCTILVHGFSRVVLEVLKTAAENKKHFRVFCTEGRPDRTGLRLSNELAKLDVPVKLLIDSAVAYTMDEVDMVLVGADGVVESGGIINMMGTYQIALVSHSMNKPVYVAAESYKFARLYPLDQKDMGPALRTIDFGVPIPSKVEVEKSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >KJB67816 pep chromosome:Graimondii2_0_v6:10:57866783:57869865:-1 gene:B456_010G212600 transcript:KJB67816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRTSIQLISYSQELVDGQPLYVSSNCLPVKALKYEPAGHAFHNAALKLFGCEEDDTTEVDDQKVVNDKEQVYMPSSDSYSNKGKKKSGADGKQQDHYALLGLSHLRYLATEDQIRKSYREAALRHHPDKLANLLLAEETEAAKQAKKDEIENHFKSIQEAYEILIDPVRRRIYDSTDEFDDEIPTECAPQDFFKVFGPAFMRNGRWSVTQPIPSLGDDSTPLKDVDNFYNFWYSFKSWREFPHADEYDLEQAESRDHKRWMERQNAKLSEKARKEEYARIRALVDNAYKRDPRILRRKEEEKAEKQRKKEAKVRAKQLQEEEAARAAEEERRWKEEEEKRAAEAALQHKKNKEKEKKLLRKERTRLRALSAPVLSQHLLDLSEEDVESLCMTLGFEQLRSLCDKMDNKEALERAKLIQDVLGYNSNTEEKKIDDTNGSHLNGSVDSNGSILLSSSSEKKEKPWTKEEIELLRKGTQKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDATKAFDSFLEKRKPAATIASPLSTREEVEGVSMPSRTENTTAKTSSPEDSGKAASNPVDVVSGNGVSSNSEQDVWSAVQERALIQALKTFPKETSQRWERVATAVPGKTVNQCKKKFALLKENFRSKKSTA >KJB68499 pep chromosome:Graimondii2_0_v6:10:61585240:61586481:-1 gene:B456_010G247400 transcript:KJB68499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPRYRPLHLCLLILVIYTTPSIGLLNGTELLKAACNQTSDYAFCYATLTADPNAGGPQALAGDLANAALRLAQTKATHAQSLIPLLLQNATTSLDHNRLQMCQSSNNKAISQLSSANNDFNSDSLDTMVEEINTAARATNDCLNEIQDKGTHFSLLATINADLIKLYEICIVSTRYFTVEDLY >KJB64295 pep chromosome:Graimondii2_0_v6:10:3878682:3880232:1 gene:B456_010G0415001 transcript:KJB64295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LMSKLLYLDLSANQLSKSIPETIGNLSRSFYLNLSINRFSQRIPIQVGKLTRLVHLDLSHNMLSGEIPGEFQSLQSLETLNLSHNNLSGEIPASLEKLRGLYTVDISSNELQGPIPNCQAFLNASVQELGRNKGLCGNARGLPPCTPFSKKGHKNNKTFLVVMFFLLSVSCLLISSMALLFAFKRKKDTDEERQSNASDGIFFSVTSFNGRILYKEIIRATKDFDAQYCIGKGGYGNVYKAELSSGDVVAVKKFHSLHTGEMADQRQFLNEVRALVETRHRNIAKFYGFCSSAGHSFLVYKYLERGSLASVLSKNEESKKLDWNKRVNIVKGVVNALSYLHHDCSPPIVHRDITSNNILLDLEYEAHLSDFGTAKLLNPDSSNWSNIAGTYGYIAPELSYTMQVTEKCDVFSFGVLALELIVGAYPGEFLSNLSILTAESIPLNNVLDQSLSPPPPEVVNKLVFILELAVSCLNINPKSRPTMHTVSQL >KJB64600 pep chromosome:Graimondii2_0_v6:10:6463818:6465798:-1 gene:B456_010G057000 transcript:KJB64600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNTPSLAPGFTLFPSLKPPHTTLFFHQIFVLILTFLAYASFHASRKPPSIVKSVLGPTVQTDSTSNNTGWAPFNGPEGTHRLGELDLAFLTSYAIGMYFAGHVGDRIDLRLFLVFGMMGSGILTIIFGFGYWFDVHLLGYFIGVQVICGVFQSIGWPCVVSVVGNWFGKEKRGLIMGVWTSHTSVGNIIGSVVASGVLEFGWGWSFLVPGILIIVVGILVFCFLVVSPDDLGFEMMSSGKEIEMCVGEENVANLEKVESEEAGLLENKDSDSLAAIGFLEAWRLPAVAGVHLSHKTAGILSTIFDIGGVLGGVLAGFISDVIDARAVTSVTFLLLSIPALILYRIYGSVSMVTNIGLMFLSGLLVNGPYSLITTAVAADLGTQDLIKGNSRALATVTAIIDGTGSVGAALGPLLAGYISTRGWNSVFLMLIFAIFFASIFLVRVAKTEIGRMVSEGKELGSSVTAS >KJB64599 pep chromosome:Graimondii2_0_v6:10:6463722:6466092:-1 gene:B456_010G057000 transcript:KJB64599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNTPSLAPGFTLFPSLKPPHTTLFFHQIFVLILTFLAYASFHASRKPPSIVKSVLGPTVQTDSTSNNTGWAPFNGPEGTHRLGELDLAFLTSYAIGMYFAGHVGDRIDLRLFLVFGMMGSGILTIIFGFGYWFDVHLLGYFIGVQVICGVFQSIGWPCVVSVVGNWFGKEKRGLIMGVWTSHTSVGNIIGSVVASGVLEFGWGWSFLVPGILIIVVGILVFCFLVVSPDDLGFEMMSSGKEIEMCVGEENVANLEKVESEEAGLLENKDSDSLAAIGFLEAWRLPGVAPFSFCLFFSKLVAYTFLYWLPFYIRHTAVAGVHLSHKTAGILSTIFDIGGVLGGVLAGFISDVIDARAVTSVTFLLLSIPALILYRIYGSVSMVTNIGLMFLSGLLVNGPYSLITTAVAADLGTQDLIKGNSRALATVTAIIDGTGSVGAALGPLLAGYISTRGWNSVFLMLIFAIFFASIFLVRVAKTEIGRMVSEGKELGSSVTAS >KJB65382 pep chromosome:Graimondii2_0_v6:10:14849914:14851073:-1 gene:B456_010G092500 transcript:KJB65382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTTYIIYFIYIKFPITFISTLVSSLSFTCLCFFCLCFKEDKSSSFVFPLIFVMKCATMTSGFEPSPSKDMPAAEEEEDDWRSSSSPMTSSSIGRNSDADVSGRSSDGDDENEVQSSYNGGLNMMDSLQQVLPMRGISSFYKGKSRSFTSLADASTASTIKDIAKPENAYTRRRRNLLAINHIWDKNRNNKRPIRPISSSKSTLALAVAMSSSESMSSISEDSTSTSSPRLPPLYPQTRSSCNNDNTTPSSPPCRNLSNWRSFSLADVREYGTVIGSTNPHCASFHNENQLLRKGL >KJB65381 pep chromosome:Graimondii2_0_v6:10:14849914:14851126:-1 gene:B456_010G092500 transcript:KJB65381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEKIGGVIWLGMKSTTYIIYFIYIKFPITFISTLVSSLSFTCLCFFCLCFKEDKSSSFVFPLIFVMKCATMTSGFEPSPSKDMPAAEEEEDDWRSSSSPMTSSSIGRNSDADVSGRSSDGDDENEVQSSYNGGLNMMDSLQQVLPMRRGISSFYKGKSRSFTSLADASTASTIKDIAKPENAYTRRRRNLLAINHIWDKNRNNKRPIRPISSSKSTLALAVAMSSSESMSSISEDSTSTSSPRLPPLYPQTRSSCNNDNTTPSSPPCRNLSNWRSFSLADVREYGTVIGSTNPHCASFHNENQLLRKGL >KJB64215 pep chromosome:Graimondii2_0_v6:10:3496314:3504863:-1 gene:B456_010G037700 transcript:KJB64215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIPTTVEEQLFFKAVKEECPWENLPKRLQAIFNSKEEWHRRIIEHCIKKRLQWDTCFARKICKENEYYEELMRVLRKSLALFPYYLAEYICRVMRVSPFRYYCDMIFDLMRNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCKSKKLSEDETAMIDKICKEEANAYVLFDPDIIKGLYRRGLVYFDVPVYPDDRIKVCRLEGFISNKEQSYEDPIEELLYAVFVVSSEHATVAELASTLQADLTILQAAASFVCRLGWAEKVIDPASILQENTTLSHGVVLTDEEESSHRSSTSANVSTDGDSAHQGDFWGTENHRSHDARVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHTSIANLCKDLSTLEGTKFEGVLQEFANHAYSLRCVLECLLSGGVTADTRAVEVADRARVSTSGCDESSMIADTSLTNVSDQSAAKETEENINDAKNLATSQEDSFLDYSVPETTGDNGSATLAEDGKLSSEFSKSGLIVQNVEKMIHMQGPDQGKGTPRKRKRYRVDILRCESLASLPKATLDRLFLRDYDIIVSMIPLPHSSVLPGPTGPIHFGPPSHSSMTPWMKLVLYSTVASGPLSIVLMKGLCLRMLPAPLAGCEKALIWSWDDSTTGSLGGKFEGNLVKGSILLHCLNSMLKHSAVIVQPFSIYDLDGSGKVVTVDIPLPLKNSDGSVAPVGDKLGLCAGECLKLNDLLTVLAHKIELWTIGYIRLLKLFKERESDNFGPNGKYEWVPLTIEFGMPLFNPKLCNNICERIVSSELLQVDSLTEHHNSMQNMQRKLRDFCAEYQATGPAAKLLYLKELQKDGSKDSEHDINYPSSGKWNPLVDPSSPISGASNEHQRFKLACRQRSRTEVLSFDASILRSFAVTPVYEAGTRSVEDSPAATAPRVDLDETDSGEVILPGLNLIFDGAELNPFDIAACLQARQPISLIAEAASASTSFAIN >KJB67855 pep chromosome:Graimondii2_0_v6:10:58254539:58255213:-1 gene:B456_010G215100 transcript:KJB67855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAANASRVESSNLSNDNRSSRESEIGLNLSTTMNPIITSSESQPEPERTFSCNYCRSKFTSPQALGGHQNAHRQERALAKRLREIQAQTFTPPTYPHYPYPTLSSTPYQGSLNRSILGVRLGSMIRKPLHSWTLFGSGGYLSGHGYPRLAMRNHLPPSFNGRLSINNNGGIAISGPSTSSRMVTGRGPLESFARNSQANVGANRPATNQNDDSEIDLTLRL >KJB66871 pep chromosome:Graimondii2_0_v6:10:48650867:48651325:1 gene:B456_010G167500 transcript:KJB66871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTDLQKPEKLPLLKSTTNSSSNITDPEIDEDEHRYTSLKDLILRSSSSSILPGRSSISQEGNNEFDSSKIIIKNPLVKSAASAYLQSAAILINRDEGWLLNLWGKLKNNVETSYSCCNVYIREPLKACFQPIYQFFACTLGGAWGRIFGC >KJB65416 pep chromosome:Graimondii2_0_v6:10:21942661:21943107:-1 gene:B456_010G114200 transcript:KJB65416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLGLIIWTWLPGTSHSLPCNVSLAESCPASLYYVPRTMKTLDKTLESQLEDTWDSISLEFGSFVAKKPGMALITPRTMTLDVIYGCFGKASTFICKVTKGDTLYTICL >KJB67710 pep chromosome:Graimondii2_0_v6:10:57127495:57128365:1 gene:B456_010G205400 transcript:KJB67710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRADKIRIQKWYTVYKDHITLKDYEIHDGMGLELYYN >KJB67155 pep chromosome:Graimondii2_0_v6:10:52285379:52287423:1 gene:B456_010G178100 transcript:KJB67155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKEARPEEGLRAPSTFNLKKPKDIVNNTGGKFGKFGGKYEELTTALRDYVGRETPLYFAQRLTNHYNNSEGEGPEIYLKRGDLNHGGAHKRNNAIAQAMIAKRMGRKSIVAATGAGQHGVATAAAYASSEAIRAWVGNLETKYYLAGTTVGPHPCPSMVREFQSIIGKETRKQAMEKWGSGSNALGLFHEFINDEDVRLIGVEAAGFGLDSGKHVATLTRGDVDLYHGAMRNLLQDEEGQILGPHSIGVGYVGPEVSFLKETGWAEFHTATDEAAVATYIRLCHLEGIFPALEASHALAFLEKLCPTLPNGTKVVVNISGRGDKDAAIVFQYQLDRLSD >KJB64617 pep chromosome:Graimondii2_0_v6:10:6559975:6560259:1 gene:B456_010G057500 transcript:KJB64617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSSNSKSGRLTCLCSPTSHPGSFRCTLHRSFNKKPPALSPTGVAPDSPNYQAKVKPIKPASHCIQRKRNFQPKPSRFCLLNGHRNSVVVAVS >KJB64925 pep chromosome:Graimondii2_0_v6:10:10395090:10398397:-1 gene:B456_010G073400 transcript:KJB64925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIASGVDKIKGFVHDLVKGRDYSSRRNPIEILKRLQRESFSDLMKLRERQDKVERLLSFYKTSKENPFEGSNTLLRGEVDFLAALLLMSKVDDEHWDGVDQAGIRTMVDSRFRFATTVGGKDTFGVEFMANQKRIENSNGDVYGTPLTLSKLFYKGSAGDWFSAIAIPFGAQFRDLNVTSASSLQEEKGLTDLSFRPPMLHQHNGGAIGVTVRRSNIIASLAQSFRGMGNEHCFSTFGQVVCQLPIRLKLSFLGLCRGPKLASRNFRLGALALPVGLSRYLEDVDTVNEEAFMPSDTNAPESGSVAIMLESELDEYQRLGGWIEMKQTNPKILQWAVNLSDTSEDVFGWGVSVGGVVEGPRNWDHFQVESYVKLNLGKRCSLKPGIAYVVDGNSRTLALALRSNCSF >KJB64924 pep chromosome:Graimondii2_0_v6:10:10395165:10398397:-1 gene:B456_010G073400 transcript:KJB64924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIASGVDKIKGFVHDLVKGRDYSSRRNPIEILKRLQRESFSDLMKLRERQDKVERLLSFYKTSKENPFEGSNTLLRGEVDFLAALLLMSKVDDEHWDGVDQAGIRTMVDSRFRFATTVGGKDTFGVEFMANQKRIENSNGDVYGTPLTLSKLFYKGSAGDWFSAIAIPFGAQFRDLNVTSASSLQEEKGLTDLSFRPPMLHQHNGGAIGVTVRRSNIIASLAQSFRGMGNEHCFSTFGQVVCQLPIRLKLSFLGLCRGPKLASRNFRLGALALPVGLSRYLEDVDTVNEEAFMPSDTNAPESGSVAIMLESELDEYQRLGGWIEMKQTNPKILQWAVNLSDTSEDVFGWGVSVGGVVEGPRNWDHFQVESYVKLNLGKRCSLKPGIAYVVDGNSRTLALALRSNCSF >KJB66941 pep chromosome:Graimondii2_0_v6:10:48585784:48593123:1 gene:B456_010G167200 transcript:KJB66941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46180) UniProtKB/Swiss-Prot;Acc:Q9FNK4] MAATRKPLLSLLSRVSRTSKRTYGVLAEGSSSSSSSSSNHLINLEYEYSAHNYHPVPVVFSEAKGSIIWDPEGKKYLDFLSAYSAVNQAIIVSCCGCFHGRTLAVISMSCDNEATRGFGPLLPGHIKVDFGDAVALEKIFKEHGDRIAGFLFEPIQGEAGVIIPPKGYLKAVRDLCSKYNVLMIADEIQSGLARSGKMLACDWEGVRPDVVILGKALGGGVIPVSAVLADKDVMLCIRPGEHGSTFGGNPLASAVAIASLNVIQEEKLAERSAHLGEELLCQLVKIKEEFPNYVKEVRGRGLFTAVEFNSKSFFPVSAYDICLKMKERGVLAKPTHDTIVRLTPPLCMSRDEVKEGSKVLHDVLELDLPNMQKAKPKDSPTTATICDRCGRNLYDPSDRAL >KJB66943 pep chromosome:Graimondii2_0_v6:10:48586179:48589631:1 gene:B456_010G167200 transcript:KJB66943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46180) UniProtKB/Swiss-Prot;Acc:Q9FNK4] MAATRKPLLSLLSRVSRTSKRTYGVLAEGSSSSSSSSSNHLINLEYEYSAHNYHPVPVVFSEAKGSIIWDPEGKKYLDFLSAYSAVNQGHCHPKIIKAFQEQAERLTLSSRAFYNDRFPVFAERLTSMFGYEMVLPMNTGAEGVETALKLARKWGYEKKKIPKNEAIIVSCCGCFHGRTLAVISMSCDNEATRGFGPLLPGHIKVDFGDAVALEKIFKEHGDRIAGFLFEPIQGEAGVIIPPKGYLKAVRDLCSKYNVLMIADEIQSGLARSGKMLACDWEGVRPDVVVRLFSTSKFW >KJB66944 pep chromosome:Graimondii2_0_v6:10:48586179:48591544:1 gene:B456_010G167200 transcript:KJB66944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46180) UniProtKB/Swiss-Prot;Acc:Q9FNK4] MAATRKPLLSLLSRVSRTSKRTYGVLAEGSSSSSSSSSNHLINLEYEYSAHNYHPVPVVFSEAKGSIIWDPEGKKYLDFLSAYSAVNQGHCHPKIIKAFQEQAERLTLSSRAFYNDRFPVFAERLTSMFGYEMVLPMNTGAEGVETALKLARKWGYEKKKIPKNEAIIVSCCGCFHGRTLAVISMSCDNEATRGFGPLLPGHIKVDFGDAVALEKIFKEHGDRIAGFLFEPIQGEAGVIIPPKGYLKAVRDLCSKYNVLMIADEIQSGLARSGKMLACDWEGVRPDVVILGKALGGGVIPVSAVLADKDVMLCIRPGEHGSTFGGNPLASAVAIASLNVIQEEKLAERSAHLGEELLCQLVKIKEEFPNYVKEVRGRGLFTAVEFNSKSFFPVSAYDICLKMKERGVLAKPTHDTIVRLTPPLCMR >KJB66942 pep chromosome:Graimondii2_0_v6:10:48585784:48593123:1 gene:B456_010G167200 transcript:KJB66942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46180) UniProtKB/Swiss-Prot;Acc:Q9FNK4] MAATRKPLLSLLSRVSRTSKRTYGVLAEGSSSSSSSSSNHLINLEYEYSAHNYHPVPVVFSEAKGSIIWDPEGKKYLDFLSAYSAVNQGHCHPKIIKAFQEQAERLTLSSRAFYNDRFPVFAERLTSMFGYEMVLPMNTGAEGVETALKLARKWGYEKKKIPKNEAIIVSCCGCFHGRTLAVISMSCDNEATRGFGPLLPGHIKVDFGDAVALEKIFKEHGDRIAGFLFEPIQGEAGVIIPPKGYLKAVRDLCSKYNVLMIADEIQSGLARSGKMLACDWEGVRPDVVILGKALGGGVIPVSAVLADKDVMLCIRPGEHGSTFGGNPLASAVAIASLNVIQEEKLAERSAHLGEELLCQLVKIKEEFPNYVKEVRGRGLFTAVEFNSKSFFPVSAYDICLKMKERGVLAKPTHDTIVRLTPPLCMSRDEVKEGSKVLHDVLELDLPNMQKAKPKDSPTTATICDRCGRNLYDPSDRAL >KJB67951 pep chromosome:Graimondii2_0_v6:10:58811633:58813958:-1 gene:B456_010G219200 transcript:KJB67951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLYPWPEPETLSSNKKRVIQQLAEGQQCATELQVIVLQNNKPSQQAEELVQKILWSFNQTISMLSEAGHHDEVISQNQATCNDDCKSQDSSESSKRSLSAFTKDKRGCYKRKSYFRCSHKHDQGCSAIKQVQRMEDDTQTYHITYIGTHTCRGQYPSMATPRIDRLSPILKLESEEQTTTPSDVTDLDSMTMWTDVMMGGVGFEADAVSNMYSCTEITCLDLEPVELENGLQFDYPDFAS >KJB67952 pep chromosome:Graimondii2_0_v6:10:58811633:58814117:-1 gene:B456_010G219200 transcript:KJB67952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSLLLLSPTYTHIHIYIYIYIYIHTLTKTGSEATNKSQRGVFSGGVIRTMDTLYPWPEPETLSSNKKRVIQQLAEGQQCATELQVIVLQNNKPSQQAEELVQKILWSFNQTISMLSEAGHHDEVISQNQATCNDDCKSQDSSESSKRSLSAFTKDKRGCYKRKRFAQTSTVVSDKIEDGHAWRKYGQKNILNSKHPRSYFRCSHKHDQGCSAIKQVQRMEDDTQTYHITYIGTHTCRGQYPSMATPRIDRLSPILKLESEEQTTTPSDVTDLDSMTMWTDVMMGGVGFEADAVSNMYSCTEITCLDLEPVELENGLQFDYPDFAS >KJB67676 pep chromosome:Graimondii2_0_v6:10:57120649:57121071:1 gene:B456_010G205200 transcript:KJB67676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTIFDQRIADSLKTWHHTAKENTKHDKLSKNTTPFSSKPATPSHGMSPVHPLHGYPRKSEESGHTSLTHSNFENDRWDPVDMFTNSSSHRDIDVPDVSRGGLQSERREVADVTVQEFRALEMASTSPHMPQTQHEIDP >KJB67601 pep chromosome:Graimondii2_0_v6:10:56129477:56132556:1 gene:B456_010G199800 transcript:KJB67601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASMKKKDNWTESLSATELSSFNDGGESKESSSSICSSSSSSSSGCVSASSLLGLPIRKAIATAAGSVSNNLDVSNGNGDEDEKYKKMGSKINVETDMMKERFAKLLLGEDMSGSGNGVCTALAISNAITNLCATVFGQLWRLKPVPEEKKSMWKREMEWFVCVSDHIVEFIPSCQNFPDGSKLEVMTCRPRSDIFINLPALRKLDNMLLDILDGFTNAEFWYVDQGIISPDADGSASFRKTLQRQDEKWWLPVPRVPTGGLSDHSRKQLNHTRECTNQILKAAMAINSISISEMEVPVSYLDTLPKSGRACLGDFIYRYITSDQFSAECLLDFLDLSSEHVALEIANRVEASIYVWCRRSYSKLPVNPNRSTTKSSWEIVKDMMVDGDKREYLAERAKTLLLCLKQRFPGLTQTTLDTSKIQCNKDVGKSILESYSRVLESLAYNIVARIDDLIYVDDLTKYSENLSSVPTTVSLITYKKVPVMPVSSTPYKTAITRPSFSPAAPLISPAGGERTPFLKENRNNNNNNSKPHRRGIGVKRVLTSYLGVDPRAKVCGNPTGDGSSIMNSKTTDMPENQKG >KJB67603 pep chromosome:Graimondii2_0_v6:10:56129689:56132531:1 gene:B456_010G199800 transcript:KJB67603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASMKKKDNWTESLSATELSSFNDGGESKESSSSICSSSSSSSSGCVSASSLLGLPIRKAIATAAGSVSNNLDVSNGNGDEDEKYKKMGSKINDMMKERFAKLLLGEDMSGSGNGVCTALAISNAITNLCATVFGQLWRLKPVPEEKKSMWKREMEWFVCVSDHIVEFIPSCQNFPDGSKLEVMTCRPRSDIFINLPALRKLDNMLLDILDGFTNAEFWYVDQGIISPDADGSASFRKTLQRQDEKWWLPVPRVPTGGLSDHSRKQLNHTRECTNQILKAAMAINSISISEMEVPVSYLDTLPKSGRACLGDFIYRYITSDQFSAECLLDFLDLSSEHVALEIANRVEASIYVWCRRSYSKLPVNPNRSTTKSSWEIVKDMMVDGDKREYLAERAKTLLLCLKQRFPGLTQTTLDTSKIQCNKDVGKSILESYSRVLESLAYNIVARIDDLIYVDDLTKYSENLSSVPTTVSLITYKKVPVMPVSSTPYKTAITRPSFSPAAPLISPAGGERTPFLKENRNNNNNNSKPHRRGIGVKRVLTSYLGVDPRAKVCGNPTGDGSSIMNSKTTDMPENQKG >KJB67602 pep chromosome:Graimondii2_0_v6:10:56129477:56132556:1 gene:B456_010G199800 transcript:KJB67602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASMKKKDNWTESLSATELSSFNDGGESKESSSSICSSSSSSSSGCVSASSLLGLPIRKAIATAAGSVSNNLDVSNGNGDEDEKYKKMGSKINETDMMKERFAKLLLGEDMSGSGNGVCTALAISNAITNLCATVFGQLWRLKPVPEEKKSMWKREMEWFVCVSDHIVEFIPSCQNFPDGSKLEVMTCRPRSDIFINLPALRKLDNMLLDILDGFTNAEFWYVDQGIISPDADGSASFRKTLQRQDEKWWLPVPRVPTGGLSDHSRKQLNHTRECTNQILKAAMAINSISISEMEVPVSYLDTLPKSGRACLGDFIYRYITSDQFSAECLLDFLDLSSEHVALEIANRVEASIYVWCRRSYSKLPVNPNRSTTKSSWEIVKDMMVDGDKREYLAERAKTLLLCLKQRFPGLTQTTLDTSKIQCNKDVGKSILESYSRVLESLAYNIVARIDDLIYVDDLTKYSENLSSVPTTVSLITYKKVPVMPVSSTPYKTAITRPSFSPAAPLISPAGGERTPFLKENRNNNNNNSKPHRRGIGVKRVLTSYLGVDPRAKVCGNPTGDGSSIMNSKTTDMPENQKG >KJB63717 pep chromosome:Graimondii2_0_v6:10:943572:948930:1 gene:B456_010G012200 transcript:KJB63717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIIRFGLRTITRFDLKLRFAAVLLQILLILLWFEAATCKLRERNDLQWESRGRGSSENIVSHSCIHDQIVEERRRPGRKVYSVTPQVYEHPGIGHHKGRSLLGIPELLKHSKDVKQPIRIFLNYDAVGHSQDRDCRGVGDIVKLGEPPLSSPTGTPSCNPHGDPPIYGDCWYNCTLDDISGEDKRRRLRKALGQTADWFKRALAVEPVRGNLRLSGYSACGQDGGVQLPREYVEDGVAGADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSQVTVQTMDEKLGRMVTRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADRLDWGRNQGTDFVTSPCNLWKGAYHCNTTNLSGCTYNREAEGYCPIVNYSGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDSNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSVTQGNGCYQHRCVNNSLEVAVDGIWKVCPKSGGPVQFPGFNGELICPAYHELCSTGTVSMSGQCPNSCNFNGDCVNGKCHCFLGFHGHDCSKRSCPSNCNERGKCLPNGVCECENSRTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSTLLSSLSVCKDVLERELSGQHCAPSEASILQQLEEVVVMPNYHRLFPSGARKLFNNVFGSSYCDAAAKRLACWVM >KJB63718 pep chromosome:Graimondii2_0_v6:10:943572:949509:1 gene:B456_010G012200 transcript:KJB63718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIIRFGLRTITRFDLKLRFAAVLLQILLILLWFEAATCKLRERNDLQWESRGRGSSENIVSHSCIHDQIVEERRRPGRKVYSVTPQVYEHPGIGHHKGRSLLGIPELLKHSKDVKQPIRIFLNYDAVGHSQDRDCRGVGDIVKLGEPPLSSPTGTPSCNPHGDPPIYGDCWYNCTLDDISGEDKRRRLRKALGQTADWFKRALAVEPVRGNLRLSGYSACGQDGGVQLPREYVEDGVAGADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSQVTVQTMDEKLGRMVTRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADRLDWGRNQGTDFVTSPCNLWKGAYHCNTTNLSGCTYNREAEGYCPIVNYSGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDSNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSVTQGNGCYQHRCVNNSLEVAVDGIWKVCPKSGGPVQFPGFNGELICPAYHELCSTGTVSMSGQCPNSCNFNGDCVNGKCHCFLGFHGHDCSKRSCPSNCNERGKCLPNGVCECENSRTGIDCSTVSMVVSVTMEFVSSAALTTQATRARTARLFSRVFRYAKMY >KJB63719 pep chromosome:Graimondii2_0_v6:10:943572:949509:1 gene:B456_010G012200 transcript:KJB63719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIIRFGLRTITRFDLKLRFAAVLLQILLILLWFEAATCKLRERNDLQWESRGRGSSENIVSHSCIHDQIVEERRRPGRKVYSVTPQVYEHPGIGHHKGRSLLGIPELLKHSKDVKQPIRIFLNYDAVGHSQDRDCRGVGDIVKLGEPPLSSPTGTPSCNPHGDPPIYGDCWYNCTLDDISGEDKRRRLRKALGQTADWFKRALAVEPVRGNLRLSGYSACGQDGGVQLPREYVEDGVAGADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSQVTVQTMDEKLGRMVTRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADRLDWGRNQGTDFVTSPCNLWKGAYHCNTTNLSGCTYNREAEGYCPIVNYSGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDSNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSVTQGNGCYQHRCVNNSLEVAVDGIWKVCPKSGGPVQFPGFNGELICPAYHELCSTGTVSMSGQCPNSCNFNGDCVNGKCHCFLGFHGHDCSKRSCPSNCNERGKCLPNGVCECENSRTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSTLLSSLSVCKDVLERELSGQHCAPSEISIQKCDNDWDNRLRVCHSACQSYNLACGASLDCSDQTLFSSEEEGDGQCTGFGETKVSWYNRFRTSFFSRNTGLKGLSVKYKQF >KJB63720 pep chromosome:Graimondii2_0_v6:10:943737:947817:1 gene:B456_010G012200 transcript:KJB63720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIIRFGLRTITRFDLKLRFAAVLLQILLILLWFEAATCKLRERNDLQWESRGRGSSENIVSHSCIHDQIVEERRRPGRKVYSVTPQVYEHPGIGHHKGRSLLGIPELLKHSKDVKQPIRIFLNYDAVGHSQDRDCRGVGDIVKLGEPPLSSPTGTPSCNPHGDPPIYGDCWYNCTLDDISGEDKRRRLRKALGQTADWFKRALAVEPVRGNLRLSGYSACGQDGGVQLPREYVEDGVAGADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSQVTVQTMDEKLGRMVTRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADRLDWGRNQGTDFVTSPCNLWKGAYHCNTTNLSGCTYNREAEGYCPIVNYSGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDSNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSVTQGNGCYQHRCVNNSLEVAVDGIWKVCPKSGGPVQFPGFNGELICPAYHELCSTGTVSMSGQCPNSCNFNGDCVNGKCHCFLGFHGHDCSKSELYDPYWFYYLVMYKIKHGMFNLFQANSFK >KJB63716 pep chromosome:Graimondii2_0_v6:10:943286:949671:1 gene:B456_010G012200 transcript:KJB63716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIIRFGLRTITRFDLKLRFAAVLLQILLILLWFEAATCKLRERNDLQWESRGRGSSENIVSHSCIHDQIVEERRRPGRKVYSVTPQVYEHPGIGHHKGRSLLGIPELLKHSKDVKQPIRIFLNYDAVGHSQDRDCRGVGDIVKLGEPPLSSPTGTPSCNPHGDPPIYGDCWYNCTLDDISGEDKRRRLRKALGQTADWFKRALAVEPVRGNLRLSGYSACGQDGGVQLPREYVEDGVAGADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSQVTVQTMDEKLGRMVTRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADRLDWGRNQGTDFVTSPCNLWKGAYHCNTTNLSGCTYNREAEGYCPIVNYSGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDSNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSVTQGNGCYQHRCVNNSLEVAVDGIWKVCPKSGGPVQFPGFNGELICPAYHELCSTGTVSMSGQCPNSCNFNGDCVNGKCHCFLGFHGHDCSKRSCPSNCNERGKCLPNGVCECENSRTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSTLLSSLSVCKDVLERELSGQHCAPSEASILQQLEEVVVMPNYHRLFPSGARKLFNNVFGSSYCDAAAKRLACWISIQKCDNDWDNRLRVCHSACQSYNLACGASLDCSDQTLFSSEEEGDGQCTGFGETKVSWYNRFRTSFFSRNTGLKGLSVKYKQF >KJB64036 pep chromosome:Graimondii2_0_v6:10:2551873:2552592:-1 gene:B456_010G030100 transcript:KJB64036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMMLSYQLDVFRNFGGLFLKPLGLHPDYPFINPIKFQFGEFPEELKWMFWYLTHLFHIGIEFFMPDLQHFLTMAVCNEEAPEMKNLAKILKWFYPLEYWVDMLMFEFLKNTRVQWIVIIFYKPQYFMQNGPATQLASLPSSWIHKTYFEEVYENLFDLKKLQKYLCQINKIIPSEIWPSGESSAPWDVQRNPPTPYQNQLKEALNEYWSNIPDPKEWSQDYPMHCSQIIQDTYLEGYT >KJB67346 pep chromosome:Graimondii2_0_v6:10:53770945:53776186:-1 gene:B456_010G186800 transcript:KJB67346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPPPQQAGGFHRPMSLFQSAAVDQLSNGYDHRLSSKRKFDDYAFSFDEEDPLVPVRMRKDDHTHQGASPITAVHHSSKAPASSFPASLVNSLPSSSDVPSSSSSCSSSRLQFFIRMISEGNTIVVQANSEDTVKSLHERIQVMTGIPVMEQRLIYRGKQLQWEQSLADCSIQNDAGLQLVGRMRSTDHPQTWQVMDDMISVICRLCRGETPSSPTKHIKDCLTNFFTIAPKDNNDSGPAHLQIFMASSAPAALVMLYMSPIKKNKMCADDSIRHILTQYRSALPKHLHSYCAPILLEFCKLLRKVVNEDSLYEACRSALGMLLESVGTSRGLMLPEVKGLIVIQDIFPFVSELADKISKNLESSVDSTSSGEPLSSDVRDFTAFLNPLRSTILERVAFRIPISVKWKKKDNSNPSYGEAVEFLHTIFNDLLGKMENCLIRVEENLTATGSSEGEYLSSEWSQYLAILKELNGISKLYQGAEEQFWMLLRNRKSSLCALIIRFAKRNEDNRWLLEHKDVTDFESRRHLAMMMFPEVKEDYEELHEMLIDRSQLLSESFEYIAHADPESLHAGLFMEFKNEEATGPGVLREWFFLVCQAIFNPENALFVPCSNDRRRFFPNPASRVDPLHLEYFRFAGRVIALALMHKVQVGVVFDRVFFQQLAGMHISLEDIRETDPCLYSSCKKILEMDAEFIDSDALGLTFVREVEELGSRRLVELVAGGKNIVVNSKNRQEYVNLLIRDRFVTSISEQVDHFSQGFGHILSNSRLQKFFFQSLELEDLDWMLYGSESPICIEDWKAHTEYNGYTENDPQITWFWEIVREMSAEQRKVLLFFWTSLKHLPVEGFRGLASQLYIYKSSEPHERLPSSHTCFYRLCFPPYTSRAEMQKRLNVVTQEHVGCSFGTW >KJB66734 pep chromosome:Graimondii2_0_v6:10:42804906:42810232:-1 gene:B456_010G155400 transcript:KJB66734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] MALPETADFARNFSVLVRVQGPDPKGLKMRNHAFHQYHSGKTTLSASGMLLPDTLYKTEVVKCIWDNDSGQNLMLVMTVASLVEPFLTIQHRENLSQGLPELIPGAQIDIMVEENMGADSDGGGCCWFTARLLKMVDVPISSLALQSLVEASSGSQEQGWEFGWSLASTHQPSVDSTQTQIEYDNKLLMERQRLVMGKSSNPSLMARSTTRIAILGVNLHLNGLPKIGILPLNKRGEFLLAMGSPFGILSPLHFFNSMSVGSVANCYPPKSSDRALLMADIRCLPGMEGGPVFGDQGMLVGILTRPLRQKTSDAEVQLVIPWDAIASACSDLLLKEPQIEEKGIHINKGNLNTVGNGLLSNSNGSNGHCCYNHDHFNSPCSSLLPIEKAMTSICLITVNDGVWASGVVLNDQGLILTNAHLLEPWRFGKTTVRSSSKKEAPFFLPEESAFSEEKGYKRYQKSCIGPFPLADQQKGYKLKSVFHGHRSIRVRLDHLDPWIWCEAKVVYICKGPLDVALLQVDRIPDKLTAIMVDFSQPSLGSKAYVIGHGLLAPRCGFSPSVCSGVVAKVVKAEMPSYYKSLLPGVSQFPAMLETTAAVHPGGSGGAVVNSDGRLIGLVTSNARHGGGTVIPHLNFSIPSAVLMPIFQFARDMKDFSPLQNLDKPNELLLSVWALMPPLSPKPGPPLNLPQPILEDNNNKEGKGSRFAKFIAEKNELLKRSAQFGKVEGLPNPILPSKL >KJB65488 pep chromosome:Graimondii2_0_v6:10:16533676:16537574:1 gene:B456_010G097000 transcript:KJB65488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSDFPSELKCSLCGTFFKEAVLIPCCQHSFCEKCIRHVLVEKARCPKCFSTKYKVEDLLPNLSLRQATERFLKSQIVVSNSENALGRYAPDGESGIQVKDVSCAVSVIQRGTKRGSNQVHAESIGGTSSHVNGNRFLKDKISKMPAHKLPEDLEGFDDFQGENQPTDEEAESYVKKKRTLWVDTADAEMNYVEMARLKKGDRACYMCGSPGHLRRDCPAVSSPHPMLQRGNAVFPGALPAYVSPYWNGQYCPPIRPFANPYNPSGMMSFNATVIPAAPFHVPTYMPSMFGASPAFGGFTRIGGLDAAMKKTIDHQLCPSGLDGQYYDKKHQNTSENVMRKLLYDENDGKRCRYDEAERAYHKKIYPQRGRTASYSEDSFNKNSLMKNWNSHIVDDEDVYSDDGRDDSSSQVAGQNLKPYHHSGRSRSKDDDIPSISSCQSWERHNKHGHRSSKKRNDRRDHCYSDSTWTYYPTNRERDSKRKTVKHDAQKHYNHSESSSEPPYHSTDQKKKREKDSSRSSRHSKHKAKPARDELIHDRWQMSSGRSDEDNQEKCHYHKRKRCTKTSNKSDV >KJB65487 pep chromosome:Graimondii2_0_v6:10:16533676:16537574:1 gene:B456_010G097000 transcript:KJB65487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSDFPSELKCSLCGTFFKEAVLIPCCQHSFCEKCIRHVLVEKARCPKCFSTKYKVEDLLPNLSLRQATERFLKSQIVVSNSENALGRYAPDGESGIQVKDVSCAVSVIQRGTKRGSNQVHAESIGGTSSHVNGNRFLKDKISKMPAHKLPEDLEGFDDFQGENQPTDEEAESYVKKKRTLWVDTADAEMNYVEMARLKKGDRACYMCGSPGHLRRDCPAVSSPHPMLQRGNAVFPGALPAYVSPYWNGQYCPPIRPFANPYNPSGMMSFNATVIPAAPFHVPTYMPSMFGASPAFGGFTRIGGLDAAMKKTIDHQLCPSGLDGQYYDKKHQNTSENVMRKLLYDENDGKRCRYDEAERAYHKKIYPQRGRTASYSEDSFNKNSLMKNWNSHIVDDEDVYSDDGRDDSSSQVAGQNLKPYHHSGRSRSKDDDIPSISSCQSWERHNKHGHRSSKKRNDRRDHCYSDSTWTYYPTNRERDSKRKTVKHDAQKHYNHSESSSEPPYHSTDQKKKREKDSSRSSRHSKHKAKPARDELIHDRWQMSSGRSDEDNQEKCHYHKRKRCTKTSNKSDV >KJB66442 pep chromosome:Graimondii2_0_v6:10:33731969:33735736:-1 gene:B456_010G140200 transcript:KJB66442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQNQGIWMTKGAGCLNDGEMVYDTSSRIEPKRSHQWFMDGSETDIFPNKKQAVGVSTTNFFSGILNSNLSPWGNASGFHSISGQFAERLFDTESARAVNFDDRSMPSVSSEKVVMGRKLNEDIFTNDSSFCLSMSHTLEDPRSGLNLGGIRKVKVSEVKDSENIMSASMGYVFNGVNTSVSNDHAYNKVEDGIMPMGSSYNKGDPIGDTYERENNVFMSMGQSYNKSEDNNALAMSNTFNKGENTFISMGQTYMTDDSSVTVCQTYGKGDDSTISISQSYKKGDNYNLSIGQSYSRGESTIISFGGSNDDDDTNPPGRIVSGYNLLMGQSSVQRSNASSEKVSISNNIIASGAEVPRKKDEQKTSKKLTSNNFPSNVRSLLSTGMLDGVPVKYIAWSQEKELHGVIKSSGYQCGCQTCNFSKVINAYEFERHAGCKTKHPNNHIYFENGKTIYGIVQELRSTPQNMLFDVIQTITGSPINQKCFRIWKGDVSY >KJB66440 pep chromosome:Graimondii2_0_v6:10:33731955:33736012:-1 gene:B456_010G140200 transcript:KJB66440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQNQGIWMTKGAGCLNDGEMVYDTSSRIEPKRSHQWFMDGSETDIFPNKKQAVGVSTTNFFSGILNSNLSPWGNASGFHSISGQFAERLFDTESARAVNFDDRSMPSVSSEKVVMGRKLNEDIFTNDSSFCLSMSHTLEDPRSGLNLGGIRKVKVSEVKDSENIMSASMGYVFNGVNTSVSNDHAYNKVEDGIMPMGSSYNKGDPIGDTYERENNVFMSMGQSYNKSEDNNALAMSNTFNKGENTFISMGQTYMTDDSSVTVCQTYGKGDDSTISISQSYKKGDNYNLSIGQSYSRGESTIISFGGSNDDDDTNPPGRIVSGYNLLMGQSSVQRSNASSEKVSISNNIIASGAEVPRKKDEQKTSKKLTSNNFPSNVRSLLSTGMLDGVPVKYIAWSQEKELHGVIKSSGYQCGCQTCNFSKVINAYEFERHAGCKTKHPNNHIYFENGKTIYGIVQELRSTPQNMLFDVIQTITGSPINQKCFRIWKDSFLAATLELQRIYGKDEMKQLS >KJB66443 pep chromosome:Graimondii2_0_v6:10:33731969:33736012:-1 gene:B456_010G140200 transcript:KJB66443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQNQGIWMTKGAGCLNDGEMVYDTSSRIEPKRSHQWFMDGSETDIFPNKKQAVGVSTTNFFSGILNSNLSPWGNASGFHSISGQFAERLFDTESARAVNFDDRSMPSVSSEKVVMGRKLNEDIFTNDSSFCLSMSHTLEDPRSGLNLGGIRKVKVSEVKDSENIMSASMGYVFNGVNTSVSNDHAYNKVEDGIMPMGSSYNKGDPIGDTYERENNVFMSMGQSYNKSEDNNALAMSNTFNKGENTFISMGQTYMTDDSSVTVCQTYGKGDDSTISISQSYKKGDNYNLSIGQSYSRGESTIISFGGSNDDDDTNPPGRIVSGYNLLMGQSSVQRSNASSEKVSISNNIIASGAEVPRKKDEQKTSKKLTSNNFPSNVRSLLSTGMLDGVPVKYIAWSQEKELHGVIKSSGYQCGCQTCNFSKVINAYEFERHAGCKTKHPNNHIYFENGKTIYGIVQELRSTPQNMLFDVIQTITGSPINQKCFRIWKGDIRF >KJB66441 pep chromosome:Graimondii2_0_v6:10:33731969:33735736:-1 gene:B456_010G140200 transcript:KJB66441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQNQGIWMTKGAGCLNDGEMVYDTSSRIEPKRSHQWFMDGSETDIFPNKKQAVGVSTTNFFSGILNSNLSPWGNASGFHSISGQFAERLFDTESARAVNFDDRSMPSVSSEKVVMGRKLNEDIFTNDSSFCLSMSHTLEDPRSGLNLGGIRKVKVSEVKDSENIMSASMGYVFNGVNTSVSNDHAYNKVEDGIMPMGSSYNKGDPIGDTYERENNVFMSMGQSYNKSEDNNALAMSNTFNKGENTFISMGQTYMTDDSSVTVCQTYGKGDDSTISISQSYKKGDNYNLSIGQSYSRGESTIISFGGSNDDDDTNPPGRIVSGYNLLMGQSSVQRSNASSEKVSISNNIIASGAEVPRKKDEQKTSKKLTSNNFPSNVRSLLSTGMLDGVPVKYIAWSQEVKSHYLCSFYLPYCPVIRLLLYMNFRRSSTVL >KJB67129 pep chromosome:Graimondii2_0_v6:10:51716035:51718519:-1 gene:B456_010G176100 transcript:KJB67129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSTNFRQTLHLLLLCYFLFGRNDLALADPPYSVCSNNTNNNSLNTSFQTSLQNLLGSLASNASVSRYYNISYGNETDKVYGQFMCLDYVSYDDCHGCILGATQAIMNLCPNKTEAVVWEEVCQLRYSNVSFLGQLNVKDNIGKDNVINISEPVKFQALVENKLRNLTKQAAFNSSANKYATGDEPYTIDDTLYALVQCTLDLSPDECNKCLEAAIKDVASQCYGSRGARLLSRSCYLRYELYAFYEGANETSASPKTQPTTRSGGSGRKIWVIIVLTIGSAFLVVVLMASFVYSGAMKKGTRKGKDEILRNHVQLHNSGDSENPDFQDFEGLDELKANDSYIDLVTIHSATNNFSDSNMLGQGGFGPVYKGVLPDGKQVAVKRLSRFSEQGALEFTNEVLLILKLQHKNLVRLLGFCVDQQEQLLVYEFMPNSSLDVVLFDPKKRAQLNWSKRLNIINGIARGILYLHEDSRLRIIHRDLKASNVLLDFDMNPKISDFGMARIFAGADGEANTARIVGTYGYMAPEYAMEGLYSIKSDVFSFGVLLLEIITGRRNAGFNQTKHAQTLVAYAWHLWNEGNALELMDALLTDGLMDEFLRFIHIGLLCVQEDAFDRPTMSSVVVMLKGETVTLSQPQQPAFSMGRFTEHHQTFTRSCSTNGLSISSVAPR >KJB67128 pep chromosome:Graimondii2_0_v6:10:51715844:51718581:-1 gene:B456_010G176100 transcript:KJB67128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSTNFRQTLHLLLLCYFLFGRNDLALADPPYSVCSNNTNNNSLNTSFQTSLQNLLGSLASNASVSRYYNISYGNETDKVYGQFMCLDYVSYDDCHGCILGATQAIMNLCPNKTEAVVWEEVCQLRYSNVSFLGQLNVKDNIGKDNVINISEPVKFQALVENKLRNLTKQAAFNSSANKYATGDEPYTIDDTLYALVQCTLDLSPDECNKCLEAAIKDVASQCYGSRGARLLSRSCYLRYELYAFYEGANETSASPKTQPTTRSGGSGRKIWVIIVLTIGSAFLVVVLMASFVYSGAMKKGTRKGKDEILRNHVQLHNSGDSENPDFQDFEGLDELKANDSYIDLVTIHSATNNFSDSNMLGQGGFGPVYKGVLPDGKQVAVKRLSRFSEQGALEFTNEVLLILKLQHKNLVRLLGFCVDQQEQLLVYEFMPNSSLDVVLFDFGMARIFAGADGEANTARIVGTYGYMAPEYAMEGLYSIKSDVFSFGVLLLEIITGRRNAGFNQTKHAQTLVAYAWHLWNEGNALELMDALLTDGLMDEFLRFIHIGLLCVQEDAFDRPTMSSVVVMLKGETVTLSQPQQPAFSMGRFTEHHQTFTRSCSTNGLSISSVAPR >KJB68006 pep chromosome:Graimondii2_0_v6:10:59198974:59201080:-1 gene:B456_010G221700 transcript:KJB68006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPMRPYLLLSVVFFFNLYHSMAVPDPTHQALLQCLTQSIPTDTASSIIVSKSNPSYNSVLRAYIRNARFNTSSTPKPLIIITPLDESHVSAAVICSQKLGFQVKIRSGGHDYEGLSYVFDKPFFVLDMFNLRSITVNMADETAWVGAGATLGELYYNIWKNSKVHGFPAGVCPTVGVGGHLSGAGYGTLIRKYGLSVDHVVDAKLVDVNGKILDRKTMGEDLFWAIRGGGAASFGVVLSYKIKLVPVPETVTVFRIERLLTENATDITFKWQTIAPTTDENLFMRMLLQPVTRNKKKTARISVIALYLGDSDSLVSLLQKDFPELSIGKSNCNETTWIDSVLWWANFDLGTPPTALLDRDLNDAGFLKRKSDYVQTPIPKSGLESLWQKMIELGKVGMVFNAYGGRMDQIKPDETPFPHRAGNLYKIQYSVNWDQPGSEADKNFTTQAKLLHDFMTPFVSKNPRSAYFNYRDIDVGSTKKWSYEEGRVYGESYFNGNYERLVDVKTAVDANNFFRNEQSIPPRSSSILHSSYGSATCIIIAVHTCWYYIVFIFSLCSDLF >KJB66863 pep chromosome:Graimondii2_0_v6:10:46228805:46229560:1 gene:B456_010G161500 transcript:KJB66863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSSLVLILLVVFAASFMPQMESRKLLMNTAESNGNLPPLFASLVLNSLPKGAVPSASAPSKKDHSTTLGNEKLFARHLAGIDRILQSVPSPGAGH >KJB66926 pep chromosome:Graimondii2_0_v6:10:48151366:48151809:-1 gene:B456_010G166300 transcript:KJB66926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPQIDSHREQAEIYNGEALCNQKARELFDKFRLPKGLLPMNDLNEMGFNSITGFLWLRQQKKSEFKFKSIGKTVAYETEVTAFVEDRRMRRLTGIKTKEMMIWITISDISIDDNDPTKITFSSSSGLSKTFPVEAFEDEDQSK >KJB66196 pep chromosome:Graimondii2_0_v6:10:28888823:28890459:1 gene:B456_010G131700 transcript:KJB66196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSLQAVIAAILSFFLVSVVLAFLILICKSTKKSTRPNPPQIRSLNRTQPAPNPPDLTTCDSAAFDPSLKRLDMAELAAATKNFSSDLIIGDGSFGIVYKATLSYGVTVAIKKLDPNAFQGLREFRAEMETLGKLRHDNIIKILGFCSSGVDRVLIYEFIERGSLDQWLYDEEQENSVGRLTLSWDTRKKIVNGIANGLAYLHGLDTPIIHRDIKASNVLLDSDFEPHIADFGLARQVKEAHSHVSTQVAGTMGYMPPEYREGNTAATVMADVYSFGILMIEIATQNRPNWPVRFEGKDVGLVEWARKMVAQNRQIEMIYQKIPNKELIEDEVKEYFRIACMCTNELSKERPVMSQVVELLSRISS >KJB66197 pep chromosome:Graimondii2_0_v6:10:28888117:28900740:1 gene:B456_010G131700 transcript:KJB66197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSLQAVIAAILSFFLVSVVLAFLILICKSTKKSTRPNPPQIRSLNRTQPAPNPPDLTTCDSAAFDPSLKRLDMAELAAATKNFSSDLIIGDGSFGIVYKATLSYGVTVAIKKLDPNAFQGLREFRAEMETLGKLRHDNIIKILGFCSSGVDRVLIYEFIERGSLDQWLYDEEQENSVGRLTLSWDTRKKIVNGIANGLAYLHGLDTPIIHRDIKASNVLLDSDFEPHIADFGLARQVKEAHSHVSTQVAGTMGYMPPEYREGNTAATVMADVYSFGILMIEIATQNRPNWPVRFEGKDVGLVEWARKMVAQNRQIEMIYQKIPNKELIEDEVKEYFRIACMCTNELSKERPVMSQVVELLSRISS >KJB64068 pep chromosome:Graimondii2_0_v6:10:2799762:2802922:1 gene:B456_010G031900 transcript:KJB64068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIPSGACKTLHLVRHAQGMHNLESEKSRDPLTSFEFFDAQLSSQGWQQVRQKRKDVCANGLLKRIELVITSPMSRTLQTAVGIFHGEDQPELSDVTSYEEGNGRISDNDQQPTFNRPPIIALEVCRERLGKYECDKRGTISHYRSHFPAVDFSLIENEDDILWEANKREPFGDVLARGMKFINWLWTRKETEIAVVSHGVFLQEAFELINTNKYRALKVRAPRFENCEIRSVRISYESVMGLGSDLVQIGYYEMSIPHGNEVQRDSKKKNVSVEELEVIN >KJB64067 pep chromosome:Graimondii2_0_v6:10:2799083:2802922:1 gene:B456_010G031900 transcript:KJB64067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIPSGACKTLHLVRHAQGMHNLESEKSRDPLTSFEFFDAQLSSQGWQQVRQKRKDVCANGLLKRIELVITSPMSRTLQTAVGIFHGEDQPELSDVTSYEEGNGRISDNDQQPTFNRPPIIALEVCRERLGKYECDKRGTISHYRSHFPAVDFSLIENEDDILWEANKREPFGDVLARGMKFINWLWTRKETEIAVVSHGVFLQEAFELINTNKYRALKVRAPRFENCEIRSVRISYESVMGLGSDLVQIGYYEMSIPHGNEVQRDSKKKNVSVEELEVIN >KJB64069 pep chromosome:Graimondii2_0_v6:10:2799362:2802922:1 gene:B456_010G031900 transcript:KJB64069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIPSGACKTLHLVRHAQGMHNLESEKSRDPLTSFEFFDAQLSSQGWQQVRQKRKDVCANGLLKRIELVITSPMSRTLQTAVGIFHGEDQPELSDVTSYEEGNGRISDNDQQPTFNRPPIIALEVCRERLGKYECDKRGTISHYRSHFPAVDFSLIENEDDILWEANKREPFGDVLARGMKFINWLWTRKETEIAVVSHGVFLQEAFELINTNKYRALKVRAPRFENCEIRSVRISYESVMGLGSDLVQIGYYEMSIPHGNEVQRDSKKKNVSVEELEVIN >KJB65384 pep chromosome:Graimondii2_0_v6:10:14945177:14947301:-1 gene:B456_010G092700 transcript:KJB65384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPSAAALVAWLAVLLGYVVVVNGDNFNYKEALTKSLIFLEAQRSGKLPPNHRVAWRGDSCLNDGKEANMDLVGGYYDAGDNVKYGLPMAFTVTTLAWSAIVYNSELKSAGELGNTQAAIRWGTDYFLKAACKRNKLYVQVGDPEKEHQCWVRPENMKHPRPVLEINEKTPGTEIAAETSAALAASSIVFRRIDRPYAHRLLNKAKMLFKFAREHKGSFDGECPFYCSFSGYHDELLWAAAWLYSATRDRTYFKYVTEEALHAVVDEFNWDLKYAGVQILLSKSFFQGGRDMQAYKEHADSFICSALPQSPYHKIPMTPAGLVHLRDGANLQYATGISFLFSIYGDLLQRFNQKVQCGDKRFDSTHLLAFAKQQMDYILGQNPQGRSYMVGFGKNPPKQAHHRGASIPLSDANSEVNCPMSFVRWYNKNTPNPNELTGAILGGPDNHDNFNDERWSSVYTEPCTYINSVAVGALAKLTRPLPVK >KJB66360 pep chromosome:Graimondii2_0_v6:10:31969328:31972767:1 gene:B456_010G137800 transcript:KJB66360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLWCCPSLRWLHIGMNQDVFDADYLITQMNGFVNVVKELPPEISSKEPHRVDCSKRKGQFDYIESVLPFLLKYHYVSITPAVSQRRDRYPKYAKAALCQACYRALKLTGSLEKKANKLLEAIPKPFLSLHLRFEPDMVAYSQCQYSGLSPTSMKALEAARGDDRKPWTGEAARIWRNRGKCPLTPNETAFILKALSIPTNTNIYLAAGDGLMEIEGLTSIYSNVVTKSALLSGEDFETMHGNTKAALDYCVSINSDSYMATYFGNMDKMVAAMRAFKGLYKTLFLSRRAFAEFTSRGLEGKPLMEALWKAHEDDFIKGRGSALSDCFCEFKL >KJB66359 pep chromosome:Graimondii2_0_v6:10:31968735:31972767:1 gene:B456_010G137800 transcript:KJB66359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRPLFVIISTLSLFLAVVLIYPSPPVVPSKSSDSTSAKSDIWSVQRIVEWRPCKWWLQSHLTPLPAKSNGYIRVDCYGGLNQMRRDFCDGVGIARLLNATLVLPKFEVAAYWNESSSGFSDVFDADYLITQMNGFVNVVKELPPEISSKEPHRVDCSKRKGQFDYIESVLPFLLKYHYVSITPAVSQRRDRYPKYAKAALCQACYRALKLTGSLEKKANKLLEAIPKPFLSLHLRFEPDMVAYSQCQYSGLSPTSMKALEAARGDDRKPWTGEAARIWRNRGKCPLTPNETAFILKALSIPTNTNIYLAAGDGLMEIEGLTSIYSNVVTKSALLSGEDFETMHGNTKAALDYCVSINSDSYMATYFGNMDKMVAAMRAFKGLYKTLFLSRRAFAEFTSRGLEGKPLMEALWKAHEDDFIKGRGSALSDCFCEFKL >KJB66361 pep chromosome:Graimondii2_0_v6:10:31969344:31972767:1 gene:B456_010G137800 transcript:KJB66361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDFCDGVGIARLLNATLVLPKFEVAAYWNESSGFSDVFDADYLITQMNGFVNVVKELPPEISSKEPHRVDCSKRKGQFDYIESVLPFLLKYHYVSITPAVSQRRDRYPKYAKAALCQACYRALKLTGSLEKKANKLLEAIPKPFLSLHLRFEPDMVAYSQCQYSGLSPTSMKALEAARGDDRKPWTGEAARIWRNRGKCPLTPNETAFILKALSIPTNTNIYLAAGDGLMEIEGLTSIYSNVVTKSALLSGEDFETMHGNTKAALDYCVSINSDSYMATYFGNMDKMVAAMRAFKGLYKTLFLSRRAFAEFTSRGLEGKPLMEALWKAHEDDFIKGRGSALSDCFCEFKL >KJB66358 pep chromosome:Graimondii2_0_v6:10:31968673:31972801:1 gene:B456_010G137800 transcript:KJB66358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRPLFVIISTLSLFLAVVLIYPSPPVVPSKSSDSTSAKSDIWSVQRIVEWRPCKWWLQSHLTPLPAKSNGYIRVDCYGGLNQMRRDFCDGVGIARLLNATLVLPKFEVAAYWNESSGFSDVFDADYLITQMNGFVNVVKELPPEISSKEPHRVDCSKRKGQFDYIESVLPFLLKYHYVSITPAVSQRRDRYPKYAKAALCQACYRALKLTGSLEKKANKLLEAIPKPFLSLHLRFEPDMVAYSQCQYSGLSPTSMKALEAARGDDRKPWTGEAARIWRNRGKCPLTPNETAFILKALSIPTNTNIYLAAGDGLMEIEGLTSIYSNVVTKSALLSGEDFETMHGNTKAALDYCVSINSDSYMATYFGNMDKMVAAMRAFKGLYKTLFLSRRAFAEFTSRGLEGKPLMEALWKAHEDDFIKGRGSALSDCFCEFKL >KJB67721 pep chromosome:Graimondii2_0_v6:10:57202467:57206771:1 gene:B456_010G206000 transcript:KJB67721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPNETPDQFSQFPLPHFTLTPPLPNPNPNFPPTPNSTPPNSTPTLTPVLDSNTNATPSLDDQLLPFPVPKKRRRGRPRRTASTSSFQLLTFPNDSFNPNVPYSDPNPYSIPSSVAASTQTSQPKIADEIIVINKESTAEALTALSAGFPADSLTEEEIDFGVVSSVGGIEQVNYILIRNHIIAKWRENIFNWVTKEMFVDSIPQHCRTLLDSAYDYLVTHGYINFGVAPAIKDKVPVGLSKGNVVIIGAGLAGLAAARQLMRFGFKVTVLEGRKRAGGRVYTKKMEGGNRVSAAADLGGSVLTGTLGNPLGIMAKQLGASLFKVRDKCPLYRMDGSPVDPDMDMKVETAFNRLLDKASKLRQLMGEVSMDVSLGAALETFRQVYRDAVTEEEINLFNWHLANLEYANAGLVSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLIQALAENVPILYEKTVHTIRYGSDGVQVTAGNQVFEGDMALCTVPLGVLKSGSIKFVPELPQRKLDGIKRLGFGLLNKVAMLFPYVFWGTDLDTFGHLTEDPSRRGEFFLFYSYATVAGGPLLLALVAGEAAHRFETLPPTDAVTQVLQILKGIYEPQGITVPEPLQTVCTRWGGDPFSLGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFLTGLREAANMAQYANARTAKKKIDRSPSNNVHSCASLLMDLFREPDLEFGNFSVIFGRKNADPKSPAVLRITFSEPRKKNQEGSKTDQQHSNKVLFQQLQSHFNQQQQLHVYTLLSKQQALELREVRGGDEMRLNYLCENLGIKLVGRKGLGPNADSVIASIKAQRGVRKPSTTPVVLKSGASKMKPGTLKQKFIRRAKIVRNTKGLIPALVPNAANGNMPEEMKVIKQAPPDSSASGQNQGEMLKQ >KJB64394 pep chromosome:Graimondii2_0_v6:10:5106606:5110348:-1 gene:B456_010G047200 transcript:KJB64394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEPALVPEWLRSNGTVTGGGNSAHNFASSSSHSDSSLVHHGRHRNLRNISDFDSHRPTLLDRTFSLNSRRSSSNGSAKHAYSSFCRSHRDRDRERDKARSNFGDHRDRASSDALESILSGRGEIDTLHRSHSMFSRKPGESLPRRIGVDVRDSINSNHNNGNGLLSGVTLGGSIHKAVFEKDFPSLGTEDRQVVPEITRVSSPGLSSASQNLLVSSSALISGEGWTSALAEAPSTVGSSNTGSLAALLTVSPSSSGTSTGTSGLNMAEALVLAPSRSQAAPQLSVKTQRREELAIKQSKQLIPVTPSMPKCSVLNSVDKSKGKPAARISEINTAVKSVQQQPSLIHHSNQSPHSGHVKPDAPKTSGKLLVLKPGWENGVSSPTQKDVASPPASANSRVAISQHAGGPARNSNNPKLSSVERKVAALNPVAGFTVEKKPSLAQTQSRNDFFNLLKKKTSTKTSADHSDSLPHISSTTTEKSEVTKEVVTGSSAAHANENGTAATSNGDTCQEAKKFANDGEKNMSSIDMVYPDEEEAAFLRSLGWEENSGDDEGLTEEEINAFYQEYMKLRPTLKLCRGMQPKVAQSFATNLDGASSEVSSSDSESEA >KJB66193 pep chromosome:Graimondii2_0_v6:10:28806111:28808561:1 gene:B456_010G131500 transcript:KJB66193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLNKVAHQRGSGEYVRFPPAGYGGAEEQQDGYSHQIQSQQNQPMMMQQYGNRAQATQMSGLTHGVSGQRAAAYGANLAGMASSSFGYSGSASAAPPSPSPSASPSGSGSGSGSGSGLWIGQKRGREEEVTAQFIESLPRVQRNFAGFRGSIADSSCGATTVAEETASTVAPPTTSTETSTAAYEETAERRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENVRLVPQQMQNFPATQTSVSSSLTTHFPASGSTSMPTYYDSLPLQSSANDMLRDYWQYSQLLQNSGDLHGQQATSLVDQMIQYSQFANIQQPMVSSSFPSLPPSFAASGSSSSSSSSSASFPLLFAEQQQMSIFRQPSDRTQASESDFPVPPWSHPSHCPSSSG >KJB66192 pep chromosome:Graimondii2_0_v6:10:28806111:28808331:1 gene:B456_010G131500 transcript:KJB66192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQQYGNRAQATQMSGLTHGVSGQRAAAYGANLAGMASSSFGYSGSASAAPPSPSPSASPSGSGSGSGSGSGLWIGQKRGREEEVTAQFIESLPRVQRNFAGFRGSIADSSCGATTVAEETASTVAPPTTSTETSTAAYEETAERRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENVRLVPQQMQNFPATQTSVSSSLTTHFPASGSTSMPTYYDSLPLQSSANDMLRDYWQYSQLLQNSGDLHGQQATSLVDQMIQYSQFANIQQPMVSSSFPSLPPSFAASGSSSSSSSSSASFPLLFAEQQQMSIFRQPSDRTQASESDFPVPPWSHPSHCPSSSG >KJB67344 pep chromosome:Graimondii2_0_v6:10:53756016:53758761:-1 gene:B456_010G186600 transcript:KJB67344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKRELGDSVDGERDLKRQRVLDSPSSPPEESLVPYNDDEDDERRALNHIGSREEDGHRVKSEEEDDEDEDDPYGLGATPGRSNRQVEVRRDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEINDPSLDDIRHVLYPRFSREQLEQLDKNKQWSRALDGSDYLPGMVGLNNIQKTDFVNVTIQSLMRVTPLRNFFLIPENYQHCRSQLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGVQCEPVEFMSWLLNTLHADLRTSKKSSSIIHKCFQGELEVVKETQSKAISEKKESDGEQNGALKITDGQIENNNVAAETYRMPFLMLGLDLPEPPLFKDVMEKNIIPQVPLFNILKKFDGEMVTTTVRPPARMRYRVTKLPQYLILHMRRFTKNNFFREKNPTLVNFPVKNLELKDYIPLPAPTKENEKLRTKYDLIANVVHDGKPDEGFYRVFVQRKSEELWYEMQDLHVSETLPQMVALSEAYMQIYEQQQ >KJB67345 pep chromosome:Graimondii2_0_v6:10:53755524:53759323:-1 gene:B456_010G186600 transcript:KJB67345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKRELGDSVDGERDLKRQRVLDSPSSPPEESLVPYNDDEDDERRALNHIGSREEDGHRVKSEEEDDEDEDDPYGLGATPGRSNRQVEVRRDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEINDPSLDDIRHVLYPRFSREQLEQLDKNKQWSRALDGSDYLPGMVGLNNIQKTDFVNVTIQSLMRVTPLRNFFLIPENYQHCRSQLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGVQCEPVEFMSWLLNTLHADLRTSKKSSSIIHKCFQGELEVVKETQSKAISEKKESDGEQNGALKITDGQIENNNVAAETYRMPFLMLGLDLPEPPLFKDVMEKNIIPQVPLFNILKKFDGEMVTTTVRPPARMRYRVTKLPQYLILHMRRFTKNNFFREKNPTLVNFPVKNLELKDYIPLPAPTKENEKLRTKYDLIANVVHDGKPDEGFYRVFVQRKSEELWYEMQDLHVSETLPQMVALSEAYMQIYEQQQ >KJB67343 pep chromosome:Graimondii2_0_v6:10:53756016:53758761:-1 gene:B456_010G186600 transcript:KJB67343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKRELGDSVDGERDLKRQRVLDSPSSPPEESLVPYNDDEDDERRALNHIGSREEDGHRVKSEEEDDEDEDDPYGLGATPGRSNRQVEVRRDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEINDPSLDDIRHVLYPRFSREQLEQLDKNKQWSRALDGSDYLPGMVGLNNIQKTDFVNVTIQSLMRVTPLRNFFLIPENYQHCRSQLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGVQCEPVEFMSWLLNTLHADLRTSKKSSSIIHKCFQGELEVVKETQSKAISEKKESDGEQNGALKITDGQIENNNVAAETYRMPFLMLGLDLPEPPLFKDVMEKNIIPQVPLFNILKKFDGEMVTTTVRPPARMRYRVTKLPQYLILHMRRFTKNNFFREKNPTLVNFPVKNLELKDYIPLPAPTKENEKLRTKYDLIANVVHDGKPDEGFYRVFVQRKSEELWYEMQDLHVSETLPQMVALSEAYMQIYEQQQ >KJB66209 pep chromosome:Graimondii2_0_v6:10:29403803:29404258:1 gene:B456_010G133200 transcript:KJB66209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDKKTKGKRKIEIIENADDWLITFSKRRTGIYKKIFELSTLCGGEILFIIFSPAGKPYSFGHPSVEFVAKRFSNASQHLEETTDAPVETYRKERINLLVQHFNHVQDQLCVIKENKKEIALAQRLHGTKIRQWWKALIDQLNLRELYK >KJB63794 pep chromosome:Graimondii2_0_v6:10:1258366:1259747:-1 gene:B456_010G016400 transcript:KJB63794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTLSLETQHFYLPKLSSWDSISPTILPTIIKTQKQNQPLSTWKLQANAKGFSSTPQATVKENTAKKSSNNNNKKNEDEEIPQVVFERMIARILVSVGVPMATGLALLHLFGVAKEQNLWDAPLWLPFLTTLITFGASTLGIAYGTLSASWDPKKKGSLLGLEEAQRNWVEMWKEENNGQW >KJB65806 pep chromosome:Graimondii2_0_v6:10:21840498:21849851:-1 gene:B456_010G113900 transcript:KJB65806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVPQLAKLSKNSDQQHHPPMISFHLFSNILKKPISHQASIPFFQTFCTFLSVIFFFFTIERASPSTSTAVNPKSRPS >KJB65808 pep chromosome:Graimondii2_0_v6:10:21849380:21849619:-1 gene:B456_010G113900 transcript:KJB65808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVPQLAKLSKNSDQQHHPPMISFHLFSNILKKPISHQASIPFFQTFCTFLSVIFFFFTIERASPSTSTAVNPKSRPS >KJB65807 pep chromosome:Graimondii2_0_v6:10:21848860:21849626:-1 gene:B456_010G113900 transcript:KJB65807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVPQLAKLSKNSDQQHHPPMISFHLFSNILKKPISHQASIPFFQTFCTFLSVIFFFFTIERASPSTSTAVNPKSRPS >KJB64218 pep chromosome:Graimondii2_0_v6:10:3534317:3536719:1 gene:B456_010G037900 transcript:KJB64218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGLILGIGRTFRRKRTSSLDILSSKRAPRDYYKGKNCKSTGFHTRKGGYVVMQEKLPNYVVPDLTDFKLKPYVSQCPTEVKTAEAAESAK >KJB64166 pep chromosome:Graimondii2_0_v6:10:3246231:3253335:-1 gene:B456_010G035400 transcript:KJB64166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIGDLGFSSLVLVVSIIIPLFGFFIRRKWRLSIARQAEIKRLMILASEEAARAELEASIGYGSVPVSRNYHQCAVCYCPTTTRCARCKAVRYCSTQCQVIHWRQGHKEECYPAAVAKHQNHDEGSDCDSGQKVTEQHQIGDGFESKEKQHAEPTKSSSKESGLHNSTTEPALSSSTSCSAVLLEKDDDIKVEFQADGEGRSIASESSSTSFSGFSSSVTGSESSDDVSVCESVGSNEPCRLEISSSADDSKLDTLWTASGVSNVDQTKLSSPKFASLVDSVDKFSKLNASNQMKPDQGGEIQCRASGSSSVISDMSEGSTSEVRTLSSGFWGRTLEPVVSTNDANDEAFQSNPKEGGESASLDPGSSLHFSFSLSQNASSLPPQGLKVKAAKLDDAPRSASGYTQLSNGVTLPENVDLDAPNVSRSSSSNSECANHVECGSTNVSPISKPREAINRDVPLVSSLSSSCSEKSDSSSVINGPSASHLLKSSDAYSSSARLHAVPNAKSGNFGGVHANAATLPKVSSSSDSTHGLKTSMRKIADQFRGSKLPKHYPLGVGNEDSGNYNKVLFPYESFVKLYSGSKANLQPCGLVNCGNSCYANAILQCLTFTPPLTAYFLQGLHSKACAKKEWCFTCDLENLILKAKEGKSPLSPKGILSQLQNICGQLVQGKEEDAHEFLRYVIDVMQFDCLKEAGVRSSGCSEEETTLIGLTFGGFLRSKIMCVKCQGKSERHERMMDLTVEIEGDIETLEEALHRFTRTEILDGENKYQCGRCNSYEKAKKKLTISEAPNVLTIALKRFQSGKFGKLNKAIQFPEILNLAPYTSGTSDNSPIYRLYGVVVHLDIMNAAFSGHYVCYVKNAQNKWFKIDDSKVTSSELGNVLTKGAYMLFYARCSPRAPRSIRSRSKSILSKINSKNYPKSSSTHPDNSGSIESFYSKYNRLQKILEEDSSSDTSSLFGSNSDNTSSCTDSTQDDSLDSVFGDSDASSSPSSSPLYSKHSPLADLDRYDSGSPEIDPLFHSNTSKQCRDVGSYSNSSSSRETDSELLGRVNSLNEVYFRKSLKKRTN >KJB64167 pep chromosome:Graimondii2_0_v6:10:3246354:3253449:-1 gene:B456_010G035400 transcript:KJB64167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIGDLGFSSLVLVVSIIIPLFGFFIRRKWRLSIARQAEIKRLMILASEEAARAELEASIGYGSVPVSRNYHQCAVCYCPTTTRCARCKAVRYCSTQCQVIHWRQGHKEECYPAAVAKHQNHDEGSDCDSGQKVTEQHQIGDGFESKEKQHAEPTKSSSKESGLHNSTTEPALSSSTSCSAVLLEKDDDIKVEFQADGEGRSIASESSSTSFSGFSSSVTGSESSDDVSVCESVGSNEPCRLEISSSADDSKLDTLWTASGVSNVDQTKLSSPKFASLVDSVDKFSKLNASNQMKPDQGGEIQCRASGSSSVISDMSEGSTSEVRTLSSGFWGRTLEPVVSTNDANDEAFQSNPKEGGESASLDPGSSLHFSFSLSQNASSLPPQGLKVKAAKLDDAPRSASGYTQLSNGVTLPENVDLDAPNVSRSSSSNSECANHVECGSTNVSPISKPREAINRDVPLVSSLSSSCSEKLHAVPNAKSGNFGGVHANAATLPKVSSSSDSTHGLKTSMRKIADQFRGSKLPKHYPLGVGNEDSGNYNKVLFPYESFVKLYSGSKANLQPCGLVNCGNSCYANAILQCLTFTPPLTAYFLQGLHSKACAKKEWCFTCDLENLILKAKEGKSPLSPKGILSQLQNICGQLVQGKEEDAHEFLRYVIDVMQFDCLKEAGVRSSGCSEEETTLIGLTFGGFLRSKIMCVKCQGKSERHERMMDLTVEIEGDIETLEEALHRFTRTEILDGENKYQCGRCNSYEKAKKKLTISEAPNVLTIALKRFQSGKFGKLNKAIQFPEILNLAPYTSGTSDNSPIYRLYGVVVHLDIMNAAFSGHYVCYVKNAQNKWFKIDDSKVTSSELGNVLTKGAYMLFYARCSPRAPRSIRSRSKSILSKINSKNYPKSSSTHPDNSGSIESFYSKYNRLQKILEEDSSSDTSSLFGSNSDNTSSCTDSTQDDSLDSVFGDSDASSSPSSSPLYSKHSPLADLDRYDSGSPEIDPLFHSNTSKQCRDVGSYSNSSSSRETDSELLGRVNSLNEVYFRKSLKKRTN >KJB64168 pep chromosome:Graimondii2_0_v6:10:3247311:3253285:-1 gene:B456_010G035400 transcript:KJB64168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIGDLGFSSLVLVVSIIIPLFGFFIRRKWRLSIARQAEIKRLMILASEEAARAELEASIGYGSVPVSRNYHQCAVCYCPTTTRCARCKAVRYCSTQCQVIHWRQGHKEECYPAAVAKHQNHDEGSDCDSGQKVTEQHQIGDGFESKEKQHAEPTKSSSKESGLHNSTTEPALSSSTSCSAVLLEKDDDIKVEFQADGEGRSIASESSSTSFSGFSSSVTGSESSDDVSVCESVGSNEPCRLEISSSADDSKLDTLWTASGVSNVDQTKLSSPKFASLVDSVDKFSKLNASNQMKPDQGGEIQCRASGSSSVISDMSEGSTSEVRTLSSGFWGRTLEPVVSTNDANDEAFQSNPKEGGESASLDPGSSLHFSFSLSQNASSLPPQGLKVKAAKLDDAPRSASGYTQLSNGVTLPENVDLDAPNVSRSSSSNSECANHVECGSTNVSPISKPREAINRDVPLVSSLSSSCSEKSDSSSVINGPSASHLLKSSDAYSSSARLHAVPNAKSGNFGGVHANAATLPKVSSSSDSTHGLKTSMRKIADQFRGSKLPKHYPLGVGNEDSGNYNKVLFPYESFVKLYSGSKANLQPCGLVNCGNSCYANAILQCLTFTPPLTAYFLQGLHSKACAKKEWCFTCDLENLILKAKEGKSPLSPKGILSQLQNICGQLVQGKEEDAHEFLRYVIDVMQFDCLKEAGVRSSGCSEEETTLIGLTFGGFLRSKIMCVKCQGKSERHERMMDLTVEIEGDIETLEEALHRFTRTEILDGENKYQCGRCNSYEKAKKKLTISEAPNVLTIALKRFQSGKFGKLNKAIQFPEILNLAPYTSGTSDNSPIYRLYGVVVHLDIMNAAFSGHYVCYVKNAQNKWFKIDDSKVMYSIIIICIFWSLSHFIYFWCVIFNLCFNYVPYQIPYIL >KJB64111 pep chromosome:Graimondii2_0_v6:10:3023264:3027641:-1 gene:B456_010G033500 transcript:KJB64111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQSQPMNIPPQIAGSGADVDDDVSASVSVDNHHIVTYEAPSLDDGGGVDDVATNPFYATAAATSASGLDVVPRGDCASQLTLSFRGQVYVFDAITPEKFHAVLLLLGGCELTSGPHGVEMSSQNLRVGMDFPRSNQPHRAASLDRFRKKRKERCFDKKVRYGVRQEVALRMQRNKGQFTSSRKSDGDYGSCSQDDDNLADTVCTHCGISSKATPMMRRGPSGPRSLCNACGLFWANKGTLRDIPKKTHEHSPTPVEQGESEPNDSDSATAIPTESNVVPFSNGDDSALIGIAEH >KJB67503 pep chromosome:Graimondii2_0_v6:10:55077392:55079176:-1 gene:B456_010G193800 transcript:KJB67503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCCNPDIFTWIQSLPPITQWRAGSMSICICSSPTSSHPSLNFSVTKNLDNSSLSISIFADFNLPVPLWASKPLTINSKSSKLFDEATISCLTINVIKDVLNYGSNKKNPLIRFPKLESISGFKDIFNLAFLTLALLICIYEAPADLRSACLNSLKNQLTSCQSRVASKSLMKLLGSNLEEQWMRSLNLAITNWIAEIQAIHRGLMMKTPSPLFSYAIATFGFWKVQLYCPVMAMDLVNSSNPCADERLLFSLNYHQLEGVIQFNYKVIVQEKWVDVMVNIDNIRCDIKRLVNETLLNERGVGADDKHFPSRISLQLTPTIQCNILSVSVSAGETTTVSMKPWKFEQSVDGYSGTLHWFLHDSIDGREVVSSRPSKLSLINPKAWFKDRYSSVYRPFTRQGGVIFAGDDYGERIWWKVDKSAMGKTMEWEIRGWIWVTYWPNKHRTFYTETKRLEFGEILHLNIC >KJB68594 pep chromosome:Graimondii2_0_v6:10:61913105:61916635:1 gene:B456_010G253400 transcript:KJB68594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFFFFFLLLLSLFSFTIEAQQQTYLFHYCPNTTIFSPNSTYKTNLDRLLISLTSNATAGSFFHNTTTGKHNSGIVYGLFLCRGDVSTKGCQDCVSTATKDVIQRCPVEKTAVIWYDNCLIHYSNQSIFSTPAMVPKFYLINTANVSNQERFNQILATTMNDGAALALNDTLPLKKFATSEANVSRFQTLYSLLQCTPDLTTSDCNTCLRGAIADLPNCCDGKQGGRVLTPSCSIRYELYPFYNQTAVSVSAPPPPLTPPPAITPGKSKNTLPIIIAIIAPIAVSVLLLFLGCCLLKRRARKKYKAVEEENEKDDISAIETLQYDFSTIEVATNNFSYSNKLGEGGFGEVYKATLPNGQEIAVKRLSRSSGQGIEEFKNEALLVAKLQHRNLVRLLGFCLEREEKILIYEFVPNKSLDCFLFDPEKQAQLDWSTRYKIMVGVARGMLYLHEDSRLKIIHRDLKVSNILLDSDMNPKISDFGMARIFGVDQTQGTTKRVVGTYGYMSPEYAMHGQFSVKSDVFSFGVLVLEIVSGKRNSSFYRTDAADDLISYAWKQWKSGTPLELLDTVLKDNYSRNEVIRCIQIGLLCVQEDPAERPTMATIAMMLNSYSVTLPVPNEPAFFRNSRTEGKMPNVGFESDQSTSRSTPWSINEVSITELDPR >KJB68593 pep chromosome:Graimondii2_0_v6:10:61913038:61916698:1 gene:B456_010G253400 transcript:KJB68593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFFFFFLLLLSLFSFTIEAQQQTYLFHYCPNTTIFSPNSTYKTNLDRLLISLTSNATAGSFFHNTTTGKHNSGIVYGLFLCRGDVSTKGCQDCVSTATKDVIQRCPVEKTAVIWYDNCLIHYSNQSIFSTPAMVPKFYLINTANVSNQERFNQILATTMNDGAALALNDTLPLKKFATSEANVSRFQTLYSLLQCTPDLTTSDCNTCLRGAIADLPNCCDGKQGGRVLTPSCSIRYELYPFYNQTAVSVSAPPPPLTPPPAITPGKSKNTLQGKSKNTLPIIIAIIAPIAVSVLLLFLGCCLLKRRARKKYKAVEEENEKDDISAIETLQYDFSTIEVATNNFSYSNKLGEGGFGEVYKATLPNGQEIAVKRLSRSSGQGIEEFKNEALLVAKLQHRNLVRLLGFCLEREEKILIYEFVPNKSLDCFLFDPEKQAQLDWSTRYKIMVGVARGMLYLHEDSRLKIIHRDLKVSNILLDSDMNPKISDFGMARIFGVDQTQGTTKRVVGTYGYMSPEYAMHGQFSVKSDVFSFGVLVLEIVSGKRNSSFYRTDAADDLISYAWKQWKSGTPLELLDTVLKDNYSRNEVIRCIQIGLLCVQEDPAERPTMATIAMMLNSYSVTLPVPNEPAFFRNSRTEGKMPNVGFESDQSTSRSTPWSINEVSITELDPR >KJB63827 pep chromosome:Graimondii2_0_v6:10:1419135:1419425:-1 gene:B456_010G018700 transcript:KJB63827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKTRAYSVDGKREMTIDEFKRWLKKFDNDKDGRISRDELADARRVSNGGWFTRRKSKRIIRSVDANGSGFIDDNEIKNLAEFAEKHLNVRILHW >KJB68369 pep chromosome:Graimondii2_0_v6:10:61075734:61078219:-1 gene:B456_010G241400 transcript:KJB68369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIGA >KJB68370 pep chromosome:Graimondii2_0_v6:10:61075778:61078133:-1 gene:B456_010G241400 transcript:KJB68370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIGA >KJB63488 pep chromosome:Graimondii2_0_v6:10:77654:81277:1 gene:B456_010G002000 transcript:KJB63488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHGTHVASTAAGSEVQGVSYYGLAEGTAKGGSPGSRIAMYRVCSPNNGCRGSSILAAFDDAIADGVDVLSLSLGAPSFFKPQITDDPIALGAFHAVQHGITVVCSAGNDGPDPGTVVNSAPWIVTVAASTIDRAFESDVVLGDNTVIKGEGINFANIQKSPVYPIVYGKSAKKKDADVNDSRNCNTNSLDQELVKGKIVVCENLDKTYANEHMDEVKQLGGIGVVLIDYDSKGMASSFGTFPMTVISSEDGAKVLSYINSTKNPVATILRTTSPTKYTPAPIIAYFSSRGPSTIPKNILKPDIAAPGVNILAAWMGNDTAEAPEGKDPPLYNLISGTSMACPHVSGIAATVKSKNPTWSPSAIRSAIMTTANQINNLKAPITTEKGVAATPYDFGAGEVSPTGPLQPGLVYETTAIDYLNFLCHHGYNITTIKTIANAIPDGFTCPKESSIDLISNINYPSIAITNFNEKAGRKVNRTLTNVAGDGNSVYTVTIDSPANLDVKVVPNKLQFTKNGDKSSYEVSFSAANPLKEDVFGSIAWSNGKYKVRSPFAVSSKRDN >KJB63487 pep chromosome:Graimondii2_0_v6:10:77654:81277:1 gene:B456_010G002000 transcript:KJB63487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLVNFIFGLTSFFIFVSLFTETVAEKDGVYIVYMGAAPKTKGSLRHDHAQLLSSLLKRNKNALVRSYKNGFSGFAARLSAEEAHSIAQRAGVVSVFPDPVLELHTTRSWDFLNYQTSVVIDSNPNSNSNSTSNDSGAIIGILDTGIWPESESFNDKAMGPIPSRWNGTCAKAQDFNTSNCNKKIIGARSYEDDETSVIKYQSPRDMVGHGTHVASTAAGSEVQGVSYYGLAEGTAKGGSPGSRIAMYRVCSPNNGCRGSSILAAFDDAIADGVDVLSLSLGAPSFFKPQITDDPIALGAFHAVQHGITVVCSAGNDGPDPGTVVNSAPWIVTVAASTIDRAFESDVVLGDNTVIKGEGINFANIQKSPVYPIVYGKSAKKKDADVNDSRNCNTNSLDQELVKGKIVVCENLDKTYANEHMDEVKQLGGIGVVLIDYDSKGMASSFGTFPMTVISSEDGAKVLSYINSTKNPVATILRTTSPTKYTPAPIIAYFSSRGPSTIPKNILKPDIAAPGVNILAAWMGNDTAEAPEGKDPPLYNLISGTSMACPHVSGIAATVKSKNPTWSPSAIRSAIMTTANQINNLKAPITTEKGVAATPYDFGAGEVSPTGPLQPGLVYETTAIDYLNFLCHHGYNITTIKTIANAIPDGFTCPKESSIDLISNINYPSIAITNFNEKAGRKVNRTLTNVAGDGNSVYTVTIDSPANLDVKVVPNKLQFTKNGDKSSYEVSFSAANPLKEDVFGSIAWSNGKYKVRSPFAVSSKRDN >KJB66655 pep chromosome:Graimondii2_0_v6:10:40444485:40446809:-1 gene:B456_010G150500 transcript:KJB66655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYVAFATFLGLILCLFWNVIAVSTASIKGSGVRIWFLAVIYCIIGIPGAYLLWYRPLYRACRKDSAFKFGWFFMFYVIHIGFCIYASVAPPIIYDGLSFSGFVSALRTMSDSALVGIFYFVGFGLFCVESLLSIWVIQRVYRYFRGSGKTAEAKRNAARGGGMAAPEISL >KJB66656 pep chromosome:Graimondii2_0_v6:10:40444783:40446472:-1 gene:B456_010G150500 transcript:KJB66656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRILFIVTIFMYEVKRKEEALARAGVLLDVKNWPPFCPIIHHDIANEIPSYLHRMLYVAFATFLGLILCLFWNVIAVSTASIKGSGVRIWFLAVIYCIIGIPGAYLLWYRPLYRACRKDSAFKFGWFFMFYVIHIGFCIYASVAPPIIYDGLSFSGFVSALRTMSDSALVGIFYFVGFGLFCVESLLSIWVIQRVYRYFRGSGKTAEAKRNAARGGGMAAPEISL >KJB67664 pep chromosome:Graimondii2_0_v6:10:57510788:57511525:1 gene:B456_010G208600 transcript:KJB67664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFSEQLCSEITVEFNDCSKQVLMQVLEMESLFLNPDYCRVDLAELLRAIQTQELHLTATIQRVVNHENCSFKMPMEHECVHLQEITEAAGTKEAEANAEGVQETVTAINEHLEEVRYEIAALEIE >KJB64075 pep chromosome:Graimondii2_0_v6:10:2869728:2872134:-1 gene:B456_010G032300 transcript:KJB64075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPSFASTGTLKLEPDSTKHSTVSLLTRKSPSLSYPKSQTSTPSESLNFQESLSVITDGSKIETSCYVPLLQECIERTSFSGAQKFHSHIIKTGTHQDVFVMTFLVNVYAKCGSMENAQKVFNKLPRRNVVAWTTLMTGYVHNDQSDVAISVFQEMLEFGSFPTNYTLGIALNACSAINSVELGKQIHGYSIKYHIDHDTSVGNSLCSLYSKCANLDSAIKAFLKIGEKNVVSWTAVICACGDNSKALKGLRFFTEMLADNVEPNEFTLTSVLSMCCTMLSLSFGVQVHCLSIKLGYEPNLRIRNSIMYLYLRCGRFGEALRLFNGMEDMSLVTMNSIIAGYGEMMELAKDDLSAYCNGTEALNVFSKLNNSGFKPDIYSFSSILTVCSKMFALEQGEQVHAQTLKTGHLSDMIVGTALVNMYNKCGSIERASKAFREMSARTLISWTSMIAAFAQNGQTQQALQLFEDMRLMGVRPNQVTFVGVLSACAHAGMVNEALGYFETMQKDFKIKPVMDHYSCLIDMFVRLGLLNEAFVVIKKMDFPPNEYIWSLLLAGCKRDGNTELGFYVAEKLLELKPKNTETCLLLLNMYKSADRWEDVSKVQELMKEKRLKKLMDWSWISIHDRVYSFKPDERQAYGAEMYDYLDQLLDKAKSLVGYQSLESFELLEVEEEEGKTLPSNVQHSEKLAVAFGLLNMPNAAPIRIIKNISMCRGCHNFMKAISSLNAREIIIRDSKRLHKFVNGQCSCGDYGGLL >KJB64074 pep chromosome:Graimondii2_0_v6:10:2869615:2872254:-1 gene:B456_010G032300 transcript:KJB64074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLVNVYAKCGSMENAQKVFNKLPRRNVVAWTTLMTGYVHNDQSDVAISVFQEMLEFGSFPTNYTLGIALNACSAINSVELGKQIHGYSIKYHIDHDTSVGNSLCSLYSKCANLDSAIKAFLKIGEKNVVSWTAVICACGDNSKALKGLRFFTEMLADNVEPNEFTLTSVLSMCCTMLSLSFGVQVHCLSIKLGYEPNLRIRNSIMYLYLRCGRFGEALRLFNGMEDMSLVTMNSIIAGYGEMMELAKDDLSAYCNGTEALNVFSKLNNSGFKPDIYSFSSILTVCSKMFALEQGEQVHAQTLKTGHLSDMIVGTALVNMYNKCGSIERASKAFREMSARTLISWTSMIAAFAQNGQTQQALQLFEDMRLMGVRPNQVTFVGVLSACAHAGMVNEALGYFETMQKDFKIKPVMDHYSCLIDMFVRLGLLNEAFVVIKKMDFPPNEYIWSLLLAGCKRDGNTELGFYVAEKLLELKPKNTETCLLLLNMYKSADRWEDVSKVQELMKEKRLKKLMDWSWISIHDRVYSFKPDERQAYGAEMYDYLDQLLDKAKSLVGYQSLESFELLEVEEEEGKTLPSNVQHSEKLAVAFGLLNMPNAAPIRIIKNISMCRGCHNFMKAISSLNAREIIIRDSKRLHKFVNGQCSCGDYGGLL >KJB65588 pep chromosome:Graimondii2_0_v6:10:18592528:18593709:-1 gene:B456_010G102500 transcript:KJB65588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGSSTTPSRKAKNKKEESVPEQNNSASKVAGNEIDEIFAGKKRKKPDPKGSEKPNGDEISKPKSSKKKSKKSKETNREGGFNESSSRPRKRTADGFAIYTEEELGISKSDAGSTPLCPFDCDCCF >KJB65587 pep chromosome:Graimondii2_0_v6:10:18591924:18593644:-1 gene:B456_010G102500 transcript:KJB65587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGSSTTPSRKAKNKKEESVPEQNNSASKVAGNEIDEIFAGKKRKKPDPKGSEKPNGDEISKPKSSKKKSKKSKETNREGGFNESSSRPRKRTADGFAIYTEEELDCGYLSRRKLVVRVLHYKQ >KJB65586 pep chromosome:Graimondii2_0_v6:10:18591931:18593644:-1 gene:B456_010G102500 transcript:KJB65586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGSSTTPSRKAKNKKEESVPEQNNSASKVAGNEIDEIFAGKKRKKPDPKGSEKPNGDEISKPKSSKKKSKKSKETNREGGFNESSSRPRKRTADGFAIYTEEELGISKSDAGNCGYLSRRKLVVRVLHYKQ >KJB65589 pep chromosome:Graimondii2_0_v6:10:18592824:18593210:-1 gene:B456_010G102500 transcript:KJB65589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGSSTTPSRKAKNKKEESVPEQNNSASKVAGNEIDEIFAGKKRKKPDPKGSEKPNGDEISKPKSSKKKSKKSKETNREGGFNESSSRPRKRTADGFAIYTEEELGISKSDAGSTPLCPFDCDCCF >KJB63796 pep chromosome:Graimondii2_0_v6:10:1263121:1264002:1 gene:B456_010G016700 transcript:KJB63796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGFNAQQIILLVALGASLLTVTRAATVIVGGSENWRYGYNYTEWAANTAPFYFHDTLVFKYENSTPPHSVYLLPNLWSYSTCDFSKAKLLANPTQVKGDGFEFVLNQWRVFYFASGEANDCKEGLMKMVIVPWPRF >KJB64629 pep chromosome:Graimondii2_0_v6:10:6883892:6885281:1 gene:B456_010G058400 transcript:KJB64629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSELAKLGSSLLVPNVQELAKNPLKEVPPRYKLSSKEELEQLHYACKEWGFFQLINHGVSPSLVEKMKMETQEFFNLPMEEKKKAFVVSEEQKLNWGDMFYMVTLPTYLRKPHLETLEAYSVELKHLAMRLLEVMGKALGMDPNDLKPELSIGLNSHFDATGLTILLQINDMESLQIRKNGIWVPIKPLPNAFVINIRDIMEIVSNGIYRSIEHCATVNSVKERVSVATFYSPKLEGDMGSAPSLITPQTPPLFRRIGVADYFKGFFSRELHGKSYVDVFRLQNEEINTK >KJB68342 pep chromosome:Graimondii2_0_v6:10:60946118:60953581:-1 gene:B456_010G239900 transcript:KJB68342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNEDRSVSQKNKTKMPEKHKHVPSRDVLPGTDLWTDGLICAFEFIRGHKKPMNTRYGYRVQVPANKLTEASSRGLGEQKVMESSFSLESRDRVAVSLDEYNNGHSLQPSRFRTTEKFDGSYWAPIGWERISDLVKNVQVNGSWDSQQFEWIDTEDDLTVADLAAPYWERPAGPIWWCHLAAGNPTIVSWLNNAQWLHPAVSLALRDESKLISERMKHLLYEVPVRVAGGLLFELLGQSVGDPLVEEDDIPIVLRSWQAQNFLLTVLHVKGTVSGVNVLGITEVQELLAAGGHNVPRTVHEVIAHLACRLTRWDDRLFRKSIFGAADEIELKFMNRRNHEDMNLFAIIMNQEIRRLSKQVIRVKWSLHAREEIVFELLQHLRGNAARMLLEGIRKNTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLGVFGGCGLVLTIITGLFGINVDGIPGAEGTPYAFGLFTAILVVIGIVLIVIGMLYLGLREPINEELVQVRKLELQELVKMFQHEAEAHAQVRKSTYRNNLTPTSGDGFLDDADYVLIQ >KJB68343 pep chromosome:Graimondii2_0_v6:10:60946559:60952494:-1 gene:B456_010G239900 transcript:KJB68343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNEDRSVSQKNKTKMPEKHKHVPSRDVLPGTDLWTDGLICAFEFIRGHKKPMNTRYGYRVQVPANKLTEASSRGLGEQKVMESSFSLESRDRVAVSLDEYNNGHSLQPSRFRTTEKFDGSYWAPIGWERISDLVKNVQVNGSWDSQQFEWIDTEDDLTVADLAAPYWERPAGPIWWCHLAAGNPTIVSWLNNAQWLHPAVSLALRDESKLISERMKHLLYEVPVRVAGGLLFELLGQSVGDPLVEEDDIPIVLRSWQAQNFLLTVLHVKGTVSGVNVLGITEVQELLAAGGHNVPRTVHEVIAHLACRLTRWDDRLFRKSIFGAADEIELKFMNRRNHEDMNLFAIIMNQEIRRLSKQVIRVKWSLHAREEIVFELLQHLRGNAARMLLEGIRKNTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLGVFGGCGLVLTIITGLFGINVDGIPGAEGTPYAFGLFTAILVVIGIVLIVIGMLYLGLREPINEELVQVRKLELQELVKMFQHEAEAHAQVRKSTYRNNLTPTSGDGFLDDADYVLIQ >KJB67262 pep chromosome:Graimondii2_0_v6:10:53238853:53241738:1 gene:B456_010G182800 transcript:KJB67262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLEEYKADNPQHLQQEEMAASAGAMDGLAASALRSVLQRVHQTAERCGRESQRIRVVAVSKTKPVSVIRQVYDAGHRCFGENYVQEIVKKAPQLPDDIEWHFIGNLQSNKVKPLIAGVPNLAMVETVDDEKIANHLNRVVGSFERKPLKVLVQVNTSGEESKSGVEPSGCVELVKHVSLNCPNLQFCGLMTIGMPDYTSTPENFKTLANCRSEVCKALGIPEEQCELSMGMSSDFELAIEMGSTNVRVGSTIFGAREYPKKN >KJB64988 pep chromosome:Graimondii2_0_v6:10:10626623:10628417:-1 gene:B456_010G074800 transcript:KJB64988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSMLISLVLIFLFLVVVDAQSYSSETDAISGDAVSNFQPSLAVVIGILCVMFALTFFLLVYAKFCHSAATVHADHHRRILNRTRSRSSGIDKKVVESLPFFKFSSLKGSKQGLECAVCLSKFEDIEILRLLPQCKHGFHIDCIDQWLEKHSSCPLCRQKVNANDPTIFTYTNSMRFSMNQSELREDSNIELYVQREQEHHGSSRFSIGTSFRKSEKGDIETKVLIQEDDDDDGFHKVNHKIIVSDVVLQNRWSSVSSSDLMFLSSEMLNDMSSNRFVSLDINKEQCTKTRTIENEGITKIKRELEIKRLLNKPVSTPDVAFTSDSAASTSHAASRITNQVEKRSMSDITALSRFRDDGRRNRKSECSLPERYTEEERRRLLWLPIARRTVQWFANRERCEQQIQGPTHHLDV >KJB66823 pep chromosome:Graimondii2_0_v6:10:45260217:45261906:1 gene:B456_010G159800 transcript:KJB66823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGAVLMQRFEVGRLLGQGTFAKVYQARNLRTGESCAIKTIDKEKIMKGGLIDQIKREISVMRLVKHPNVVRLYEVMASKSKIYFVMEYVKGGELFNKIAKGKLKEDDARRYFQQLIAAVDYCHSRGVYHRDLKPENLLLDENGNLKVSDFGLSAFIESSRQDGLLHTTCGTPAYVAPEVIHHKGYDGAKADIWSCGVILYALLAGFLPFQHSNLMELYRKISRGEFKCPHWFSPQVRKLLSWILEPNPIQRITVAKLMENCWFRKGYKHIDIPPPSPQPRTLDSLITDQSSGSWEPRSPVRPSYFNAFDIISLSQGLNLSGLFEKDLNQRDCSRFTTRKPASDIVSKFEQIAQTESFSIKNKDGKVKLQGSKEGRKGQLGIDADIFEVTPSFYVVELKKTAGDTLEYKNFCNKELKPSLKDIVWAWQGSNNYTQSLV >KJB65148 pep chromosome:Graimondii2_0_v6:10:12475207:12478248:-1 gene:B456_010G084100 transcript:KJB65148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEGVNFNMSGLGDALNAEARAGLVNALKNKLQSLAGDHSDVLESLSPIVRKRVEVLREIQSQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGLADAEGTANEAAKDQGEEKDAEEKGVPDFWLTAMKNNEVLSDEITERDEGALKYLKDIKWYRVEEPKGFKLEFYFDTNPYFKNTVLTKTYLMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEECESFFNFFNPPQVPEDDEDIDEDTAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELDIDDDEEDDDDDDEEEEEDDEEEDEDEDADDEDADEGSKTKKKSAHKKSGKAVGDGQQGERPPECKQQ >KJB65151 pep chromosome:Graimondii2_0_v6:10:12475606:12478033:-1 gene:B456_010G084100 transcript:KJB65151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEGVNFNMSGLGDALNAEARAGLVNALKNKLQSLAGDHSDVLESLSPIVRKRVEVLREIQSQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGLADAEGTANEAAKDQGEEKDAEEKGVPDFWLTAMKNNEVLSDEITERDEGALKYLKDIKWYRVEEPKGFKLEFYFDTNPYFKNTVLTKTYLMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEECESFFNFFNPPQVPEDDEDIDEDTAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELDIDDDEEDDDDDDEEEEEDDEEEDEDEDADDEDADEGSKTKKKSAHKKSGKAVGDGQQGERPPECKQQ >KJB65150 pep chromosome:Graimondii2_0_v6:10:12476007:12478033:-1 gene:B456_010G084100 transcript:KJB65150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEGVNFNMSGLGDALNAEARAGLVNALKNKLQSLAGDHSDVLESLSPIVRKRVEVLREIQSQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGLADAEGTANEAAKDQGEEKDAEEKGVPDFWLTAMKNNEVLSDEITERDEGALKYLKDIKWYRVEEPKGFKLEFYFDTNPYFKNTVLTKTYLMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEECESFFNFFNPPQVPEDDEDIDEDTAEELQNQMEQDYDIGYQQFETRLFHMLCHGLQEKLSREMSLI >KJB65152 pep chromosome:Graimondii2_0_v6:10:12475207:12478254:-1 gene:B456_010G084100 transcript:KJB65152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEGVNFNMSGLGDALNAEARAGLVNALKNKLQSLAGDHSDVLESLSPIVRKRVEVLREIQSQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGLADAEGTANEAAKDQGEEKDAEEKGVPDFWLTAMKNNEVLSDEITERDEGALKYLKDIKWYRVEEPKGFKLEFYFDTNPYFKNTVLTKTYLMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEECESFFNFFNPPQVPEDDEDIDEDTAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELDIDDDEEDDDDDDEEEEEDDEEEDEDEDADDEDADEGSKTKKKSAHKSGKAVGDGQQGERPPECKQQ >KJB65153 pep chromosome:Graimondii2_0_v6:10:12475879:12478033:-1 gene:B456_010G084100 transcript:KJB65153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEGVNFNMSGLGDALNAEARAGLVNALKNKLQSLAGDHSDVLESLSPIVRKRVEVLREIQSQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGLADAEGTANEAAKDQGEEKDAEEKGVPDFWLTAMKNNEVLSDEITERDEGALKYLKDIKWYRVEEPKGFKLEFYFDTNPYFKNTVLTKTYLMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEECESFFNFFNPPQVPEDDEDIDEDTAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELDIDDDEEDDDDDDEEEEEDDEEEDEDEDADDEDADEGSKTKKKV >KJB65149 pep chromosome:Graimondii2_0_v6:10:12475207:12478254:-1 gene:B456_010G084100 transcript:KJB65149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEGVNFNMSGLGDALNAEARAGLVNALKNKLQSLAGDHSDVLESLSPIVRKRVEVLREIQSQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGLADAEGTANEAAKDQGEEKDAEEKGVPDFWLTAMKNNEVLSDEITERDEGALKYLKDIKWYRVEEPKGFKLEFYFDTNPYFKNTVLTKTYLMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEECESFFNFFNPPQVPEDDEDIDEDTAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELDIDDDEEDDDDDDEEEEEDDEEEDEDEDADDEDADEGSKTKKKV >KJB65147 pep chromosome:Graimondii2_0_v6:10:12475606:12478033:-1 gene:B456_010G084100 transcript:KJB65147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEGVNFNMSGLGDALNAEARAGLVNALKNKLQSLAGDHSDVLESLSPIVRKRVEVLREIQSQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGLADAEGTANEAAKDQGEEKDAEEKGVPDFWLTAMKNNEVLSDEITERDEGALKYLKDIKWYRVEEPKGFKLEFYFDTNPYFKNTVLTKTYLMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEECESFFNFFNPPQVPEDDEDIDEDTAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELDIDDDEEDDDDDDEEEEEDDEEEDEDEDADDEDADEGSKTKKKSAHKKSGKAVGDGQQGERPPECKQQ >KJB67856 pep chromosome:Graimondii2_0_v6:10:58274826:58278420:1 gene:B456_010G215200 transcript:KJB67856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDHTYEITLQRGAKTMNSLHLKHCVQSYNVFDFLRDIVSRVPDYGHGHSDATAAAAAGGTDDRTISRRRKATGDEVNDSDEESKRSRMHDMGHAGTSGRGRGRGRGRGRGRGARNVERDAHREIEPEPCSTLQQNNKSHSTSGMVIDDGSELKEPVKENTAAEDANQAVRNFDLNAEVDENVDTKASATAAKVAAPASSVGVASATAAPAAPAAAAQPSTAEPTTEAKHEEYPGWSLSDMDKIAIDPLQLARLGGRLDEDEEDYDEEG >KJB67857 pep chromosome:Graimondii2_0_v6:10:58276217:58278420:1 gene:B456_010G215200 transcript:KJB67857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFFQARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDHTYEITLQRGAKTMNSLHLKHCVQSYNVFDFLRDIVSRVPDYGHGHSDATAAAAAGGTDDRTISRRRKATGDEVNDSDEESKRSRMHDMGHAGTSGRGRGRGRGRGRGRGARNVERDAHREIEPEPCSTLQQNNKSHSTSGMVIDDGSELKEPVKENTAAEDANQAVRNFDLNAEVDENVDTKASATAAKVAAPASSVGVASATAAPAAPAAAAQPSTAEPTTEAKHEEYPGWSLSDMDKIAIDPLQLARLGGRLDEDEEDYDEEG >KJB66081 pep chromosome:Graimondii2_0_v6:10:26752805:26753407:1 gene:B456_010G126600 transcript:KJB66081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPREEFSTEGLEGAPSNDIGWHFGTPVPNVRGNIVCKLCGKVVKGGITRFKEHIAHKTGNVAPCPNVTGM >KJB67584 pep chromosome:Graimondii2_0_v6:10:55923537:55927917:-1 gene:B456_010G198300 transcript:KJB67584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSLWRTRAKLAVAATALCTGGAAATVATSDDPAAALKLCTAVPLRLLRDSATAASIVFDYQYSLWGLPEGSNERAKIKHEVHLRSARKLQELCFRNGGIYIKLGQHIGQLEYLVPQEYVLTMRESMLNKCPVSSYDQVCEVFKKELGETPDKVFDEFDPKPIASASLAQVHIARTHDGQKVAVKVQHTHMTDTAAADQATVEFLVNTLHRLFPSFDYRWLVAEIQESLPKELDFLIEAKNSVKCLENFRKLSPHIADYVYAPEVHWSLSTSKLLTMEFMDGAYVNDVITIQKLGIQPSDVARLVSQTFAEMMFKHGFVHCDPHAANLLVRPLPSGKRRIFGKKKPQLILLDHGLYKELDFSTRFSYASLWRALVFSDANGIKEHSVKLGAGEDLYVLFAGILTMRPWNRVIDPAVDHLVIKGTDSDRSELQMYASQYFPQISELLRRLPRVILLMLKTNDCLRAVNNSLLQGSSLETFLIIGRVSSEAVVEAKMTQMKSLFSRIDIWFEEFLFEARLLALQIAFWLLRVRNALT >KJB64255 pep chromosome:Graimondii2_0_v6:10:3679800:3683824:-1 gene:B456_010G039700 transcript:KJB64255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKFQVSCKRIDKPQFFLLLFVCLDAMAYPHYRSPFGDTTFTKVFVGGLPWETPTEEMRRYFEQFGEILEAVIITDKVTGKSKGYGFVTFRDPDSARRACVDPNPVIGGRRANCNIASFGRPRPLQPRGGNNSPYQGVAQQGAPYSGVAAPVHPHPPPPPPPPVMYPPPYGYPTYSPEYGFHQAVYNPQIQQQQYYHQLYGSSSTTMGSPYYYGYSLQAPRGTFSAPQAQRIPGPSFLYYPTQMEGSFSMYPSPPFQFTRHLFPSSTDSQTPQHTSTETEAGAITSESPKT >KJB64254 pep chromosome:Graimondii2_0_v6:10:3679800:3683672:-1 gene:B456_010G039700 transcript:KJB64254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKFQVSCKRIDKPQFFLLLFVCLDAMAYPHYRSPFGDTTFTKVFVGGLPWETPTEEMRRYFEQFGEILEAVIITDKVTGKSKGYGFVTFRDPDSARRACVDPNPVIGGRRANCNIASFGRPRPLQPRGGNNSPYQGVAQQGAPYSGVAAPVHPHPPPPPPPPVMYPPPYGPEYGFHQAVYNPQIQQQQYYHQLYGSSSTTMGSPYYYGYSLQAPRGTFSAPQAQRIPGPSFLYYPTQMEGSFSMYPSPPFQFTRHLFPSSTDSQTPQHTSTETEAGAITSESPKT >KJB65912 pep chromosome:Graimondii2_0_v6:10:23811127:23812740:1 gene:B456_010G119100 transcript:KJB65912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVVPFSLAASVVPFPLASSLSLYSPKIKNMNFLNSRIYPSLYRYLPITPSTQSLSMFFFMGFFEFSHSPSSFRESCTTTFSFLSPSSLLFPFSLSFNKNSVLNGVLLFLQVLIFQFEQVNKNKGFFGFFGFSFLFLLIGMGYGFLGYKF >KJB68192 pep chromosome:Graimondii2_0_v6:10:60281082:60287104:-1 gene:B456_010G231200 transcript:KJB68192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVALSRFRALKGRSGNLAAARYATSSAVAPLDTPLDSVSLPPPLPDYVAPSETKIKTLSNGVRIASEQLPTPAASIGLFINCGSIYETPISSGASHLLERMAFKSTTNRSHLRVVREVEAIGGNTLASATRECMTYTFDALKTYVPEMVELLIDCVRNPAFLEWEINEELQKIKAELEEVSKNPERLILEAVHSAGYSGALANPLLVPESAIDRLNSDILEEFVTENYKGNRIVLAASGIEHEELLQIAEPLLSDLPAGPPLNEPKSVYVGGDFCRRADSSSTHFALAFEVPGGWNNEKDTVALTVLQMLMGGGGSFSAGGPGKGMHSRLYLRVLNEYQQIHSFSAFNSIFNNTGLFGIYGSTSSDFVSRAVEIAAEELLVLATEGSVSQLMIKRAQEATKSAVLMNLESRMIIAEDIGRQILTYGERKSVNAFLKMVDEVTLRDITNMAKKIISSPLTMASYGDVFRVPSYESVSSKFRAK >KJB68195 pep chromosome:Graimondii2_0_v6:10:60281060:60287001:-1 gene:B456_010G231200 transcript:KJB68195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVALSRFRALKGRSGNLAAARYATSSAVAPLDTPLDSVSLPPPLPDYVAPSETKIKTLSNGVRIASEQLPTPAASIGLFINCGSIYETPISSGASHLLERMAFKSTTNRSHLRVVREVEAIGGNTLASATRECMTYTFDALKTYVPEMVELLIDCVRNPAFLEWEINEELQKIKAELEEVSKNPERLILEAVHSAGYSGALANPLLVPESAIDRLNSDILEEFVTENYKGNRIVLAASGIEHEELLQIAEPLLSDLPAGPPLNEPKSVYVGGDFCRRADSSSTHFALAFEVPGGWNNEKDTVALTVLQMLMGGGGSFSAGGPGKGMHSRLYLRVLNEYQQIHSFSAFNSIFNNTGLFGIYGSTSSDFVSRAVEIAAEELLVLATEGSVSQLMIKRAQEATKSAVLMNLESRMIIAEDIGRQILTYGERKSVNAFLKMVDEVTLRDITNMAKKIISSPLTMASYGDVFRVPSYESVSSKFRAK >KJB68194 pep chromosome:Graimondii2_0_v6:10:60282557:60286759:-1 gene:B456_010G231200 transcript:KJB68194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVALSRFRALKGRSGNLAAARYATSSAVAPLDTPLDSVSLPPPLPDYVAPSETKIKTLSNGVRIASEQLPTPAASIGLFINCGSIYETPISSGASHLLERMAFKSTTNRSHLRVVREVEAIGGNTLASATRECMTYTFDALKTYVPEMVELLIDCVRNPAFLEWEINEELQKIKAELEEVSKNPERLILEAVHSAGYSGALANPLLVPESAIDRLNSDILEEFVTENYKGNRIVLAASGIEHEELLQIAEPLLSDLPAGPPLNEPKSVYVGGDFCRRADSSSTHFALAFEVPGGWNNEKDTVALTVLQMLMGGGGSFSAGGPGKGMHSRLYLRVLNEYQQIHSFSAFNSIFNNTGLFGIYGSTSSDFVSRAVEIAAEELLVLATEGSVSQLMIKRAQEATKSAVLMNLESRMIIAEDIGRQILTYGER >KJB68193 pep chromosome:Graimondii2_0_v6:10:60281082:60286992:-1 gene:B456_010G231200 transcript:KJB68193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSTTNRSHLRVVREVEAIGGNTLASATRECMTYTFDALKTYVPEMVELLIDCVRNPAFLEWEINEELQKIKAELEEVSKNPERLILEAVHSAGYSGALANPLLVPESAIDRLNSDILEEFVTENYKGNRIVLAASGIEHEELLQIAEPLLSDLPAGPPLNEPKSVYVGGDFCRRADSSSTHFALAFEVPGGWNNEKDTVALTVLQMLMGGGGSFSAGGPGKGMHSRLYLRVLNEYQQIHSFSAFNSIFNNTGLFGIYGSTSSDFVSRAVEIAAEELLVLATEGSVSQLMIKRAQEATKSAVLMNLESRMIIAEDIGRQILTYGERKSVNAFLKMVDEVTLRDITNMAKKIISSPLTMASYGDVFRVPSYESVSSKFRAK >KJB64814 pep chromosome:Graimondii2_0_v6:10:8499620:8500946:1 gene:B456_010G066200 transcript:KJB64814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDHSNSEVVYRRQNKGPPFKFLVPLVYAPVLPLIRLSLRKNPVLRDRLFTIVLAGAFIHGSYLVYPYYFYLILL >KJB64815 pep chromosome:Graimondii2_0_v6:10:8499620:8502539:1 gene:B456_010G066200 transcript:KJB64815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDHSNSEVVYRRQNKGPPFKFLVPLVYAPVLPLIRLSLRKNPVLRDRLFTIVLAGAFIHGSYLVSDLYDAESK >KJB64816 pep chromosome:Graimondii2_0_v6:10:8499620:8502639:1 gene:B456_010G066200 transcript:KJB64816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDHSNSEVVYRRQNKGPPFKFLVPLVYAPVLPLIRLSLRKNPVLRDRLFTIVLAGAFIHGSYLVSDLYDAESK >KJB67938 pep chromosome:Graimondii2_0_v6:10:58738405:58738980:-1 gene:B456_010G218600 transcript:KJB67938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPLYHRPHRLLLDNNGTKPRSSFTNEANFDTNMVIILAALLCALICALGLNSIVRCALRCSRRFAFETPDETAARLAATGLKKSALRQIPVAVYGFEMDLKATDCPICLGEFMDGEKVRVLPKCNHSFHVRCIDTWLLSHSSCPTCRQSLLEPAVTCSEAAPVVMETGIRQHGNSSGGHADVLVADEVG >KJB65749 pep chromosome:Graimondii2_0_v6:10:21213859:21215722:-1 gene:B456_010G111700 transcript:KJB65749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLNINLHLQQQPIDCVLKSSISTRPPSSIIIATIVVIFCFSVSAILFLFYFFFFSFSRKPLISTRQ >KJB66201 pep chromosome:Graimondii2_0_v6:10:29353492:29354109:1 gene:B456_010G132400 transcript:KJB66201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSSRFQNDAQEFIRKSLCEIKIIENTDDRLIPFSKRCTTIYTKISMFSTLCGGEILFIIFSAAGKPYSFSYPSVESVAKSFSNSSQYLEETTDAPVETYRKERMNLLVQDFNDVQAQLDVIKEKQKEISLVQQSHGTEIRHWWKGPIDQLNLKELYEQDERFTEFNNLIPITRDKKIAAISSMQASMDKDIPSTSPSRYGPNL >KJB66038 pep chromosome:Graimondii2_0_v6:10:25963809:25968736:-1 gene:B456_010G125100 transcript:KJB66038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKGIPDGETKESSPPLPVVKKKGKGLLSRIWNAIFRIHGDDFEKRLEHISKEEAAVLSRMKRRSQTWRRMIRNLIAFSVILEVVAVSYAIMTTRSVDLNWKMRAFRVLPMFLLPAFSSVAYSAFVSFTRMCDRRDQKTLERLRAERQEKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDDSEYNVPGGKSNDVEVVPSSGIRKRKQLHTRSSSAGSTPLLHSDEEKPHSAGMEGPRASEHGHLVVVDHHYPQGPASHDGGWLARIAALLVGEDPTQSYALICGNCHMHNGLARKEDFPYITYYCPHCQALNRPKQMEEHVSKSSSPSMKAVKSEGSGDATGDVSESSSPMAARAAGHEIKEVTEKVVG >KJB66037 pep chromosome:Graimondii2_0_v6:10:25963780:25968975:-1 gene:B456_010G125100 transcript:KJB66037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKGIPDGETKESSPPLPVVKKKGKGLLSRIWNAIFRIHGDDFEKRLEHISKEEAAVLSRMKRRSQTWRRMIRNLIAFSVILEVVAVSYAIMTTRSVDLNWKMRAFRVLPMFLLPAFSSVAYSAFVSFTRMCDRRDQKTLERLRAERQEKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDDSEYNVPGGKSNDVEVVPSSGIRKRKQLHTRSSSAGSTPLLHSDEEKPHSAGMEGPRASEHGHLVVVDHHYPQGPASHDGGWLARIAALLVGEDPTQSYALICGNCHMHNGLARKEDFPYITYYCPHCQALNRPKQMEEHVSKSSSPSMKAVKSEGSGDATGDVSESSSPMAARAAGHEIKEVTEKVVG >KJB66039 pep chromosome:Graimondii2_0_v6:10:25963809:25968808:-1 gene:B456_010G125100 transcript:KJB66039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKGIPDGETKESSPPLPVVKKKGKGLLSRIWNAIFRIHGDDFEKRLEHISKEEAAVLSRMKRRSQTWRRMIRNLIAFSVILEVVAVSYAIMTTRSVDLNWKMRAFRVLPMFLLPAFSSVAYSAFVSFTRMCDRRDQKTLERLRAERQEKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGSNDVEVVPSSGIRKRKQLHTRSSSAGSTPLLHSDEEKPHSAGMEGPRASEHGHLVVVDHHYPQGPASHDGGWLARIAALLVGEDPTQSYALICGNCHMHNGLARKEDFPYITYYCPHCQALNRPKQMEEHVSKSSSPSMKAVKSEGSGDATGDVSESSSPMAARAAGHEIKEVTEKVVG >KJB68417 pep chromosome:Graimondii2_0_v6:10:61366482:61367874:1 gene:B456_010G244400 transcript:KJB68417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPQQNPLSLSAIFFLLEDTDFQFLQHWIKVCSFWYLVLVVYQNNTVLCCTLYYFLYLQKHFQLYN >KJB68418 pep chromosome:Graimondii2_0_v6:10:61366482:61367874:1 gene:B456_010G244400 transcript:KJB68418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPQQNPLSLSAIFFLLEDTDFQFLQHWIKVCSFWYLVLVVYQNNTVLCCTLYYFLYLQKHFQLYN >KJB68419 pep chromosome:Graimondii2_0_v6:10:61366721:61367816:1 gene:B456_010G244400 transcript:KJB68419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPQQNPLSLSAIFFLLEDTDFQFLQHWIKVCSFWYLVLVVYQNNTVLCCTLYYFLYLQKHFQLYN >KJB63579 pep chromosome:Graimondii2_0_v6:10:413417:417358:1 gene:B456_010G006900 transcript:KJB63579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGGSAVSKRMWCSVPERLQLHMAMLALQFGYAGFHVVSRAALNMGVSKLVFPVYRNIIAFLLLLPFAYFLEKKDRPAITLNFLLQFFLLALVGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAALLRIEKVRLDRKDGISKVIGTALCVAGASVITLYQGPTIYSPRPSLNRPTPPMFVSLGDANGKNWTLGCLFLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGLIQFLVIAAVFERDAQAWVFHSGGELFTILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMSSVALGEEFYLGGIIGAVLIITGLYLVLWGKSEERKFAAQEKAAIQSSTAEHGNSRASSHIKTSLTQPLLPPSTENV >KJB68090 pep chromosome:Graimondii2_0_v6:10:59718300:59721917:-1 gene:B456_010G225100 transcript:KJB68090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCSMICCGKGVDRKKEKGKKQPAWRIFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKAEVEFSVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLHSHLHGHHSSECLLDWTRRMNIAIGSAEGIAYLHHHSTPHIIHRDIKASNVLLDSEFQPQVADFGFAKFIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELASGKKPLEKVNRTVKRSISEWALPLACEGKFDEMADPKLNGKYVEEELKRIILIALVCADNRQDRRPTMLEVLELLKGESKEKLTELESNELFKTPQTVCDDDGITAAEENSDIIKEEKDSKPAKDSEQEKTEDG >KJB63877 pep chromosome:Graimondii2_0_v6:10:1689559:1694088:1 gene:B456_010G021500 transcript:KJB63877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQKGAFGSFSETFFDQGSTSSNATIDQQVCWNNIQNPIENRFPDCLPSHNDINIGYVNSIGREEQEPGRWSLEEPSSSGTQNEVNHDERKIDHVWSSSMSASVTAEKNSPFVQNSNSNTVSRSLNLNAAIVAHGNNNCQVTERSNQNKPSGSERDLISSDAGPEGFIHASRSSGYVVDDNDNRPSDGRRASCKRKALEGNVGQSSSSGSSSYFHCAESSVGHGVSSSSSVGNGMNISASSGQAHPRLGLEVGGSGSDANPGPIVLPAAKGSHRNFRLRVSPSSIQEPIAPRVFSTGDMTRRSVISSSQQSSRLPTDHSLDIRSAPVLDNASLQNPNVAVHVPTLPRNVQSFRWNGGSGSRTGNTSSSNISGDRDAVPHEGHQSRSMARNLLDHPMFVRAPELRNLVRNPMNRDVNSGNLSVPGNFASTSRGGSSSGANASSVPTWAPQPNHPSQYPRRLSGLVRRQLMSSLGPESGGPGNHPSLPAGPTSPEEMLLSSSVTNPGHHRPYRRLVSWLERQDAGFLAVPHSLRNLAAATEGRSRLVVPEIRNVLDLMRRGENLRFEDVVILDQSAFFGVADIHDRHRDMRLDVDNMSYEELLALEERIGNVNTGLSEEMISNQLKRQKYSSVPGTRLETEPCCVCQEEYNDGEDLGTLECGHDFHADCIKQWLMHKNLCPICKTTGLNK >KJB63876 pep chromosome:Graimondii2_0_v6:10:1691099:1692862:1 gene:B456_010G021500 transcript:KJB63876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQKGAFGSFSETFFDQGSTSSNATIDQQVCWNNIQNPIENRFPDCLPSHNDINIGYVNSIGREEQEPGRWSLEEPSSSGTQNEVNHDERKIDHVWSSSMSASVTAEKNSPFVQNSNSNTVSRSLNLNAAIVAHGNNNCQVTERSNQNKPSGSERDLISSDAGPEGFIHASRSSGYVVDDNDNRPSDGRRASCKRKALEGNVGQSSSSGSSSYFHCAESSVGHGVSSSSSVGNGMNISASSGQAHPRLGLEVGGSGSDANPGPIVLPAAKGSHRNFRLRVSPSSIQEPIAPRVFSTGDMTRRSVISSSQQSSRLPTDHSLDIRSAPVLDNASLQNPNVAVHVPTLPRNVQSFRWNGGSGSRTGNTSSSNISGDRDAVPHEGHQSRSMARNLLDHPMFVRAPELRNLVRNPMNRDVNSGNLSVPGNFASTSRGGSSSGANASSVPTWAPQPNHPSQYPRRLSGLVRRQLMSSLGPESGGPGNHPSLPAGPTSPEEMLLSSSVTNPGHHRPYRRLVSWLERQDAGFLAVPHSLRNLAAATEGRSRLVVPEVCPRTASLCLISDNTIVKAIYYEKSFHGADSQCLGSHA >KJB63875 pep chromosome:Graimondii2_0_v6:10:1689590:1693949:1 gene:B456_010G021500 transcript:KJB63875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQKGAFGSFSETFFDQGSTSSNATIDQQVCWNNIQNPIENRFPDCLPSHNDINIGYVNSIGREEQEPGRWSLEEPSSSGTQNEVNHDERKIDHVWSSSMSASVTAEKNSPFVQNSNSNTVSRSLNLNAAIVAHGNNNCQVTERSNQNKPSGSERDLISSDAGPEGFIHASRSSGYVVDDNDNRPSDGRRASCKRKALEGNVGQSSSSGSSSYFHCAESSVGHGVSSSSSVGNGMNISASSGQAHPRLGLEVGGSGSDANPGPIVLPAAKGSHRNFRLRVSPSSIQEPIAPRVFSTGDMTRRSVISSSQQSSRLPTDHSLDIRSAPVLDNASLQNPNVAVHVPTLPRNVQSFRWNGGSGSRTGNTSSSNISGDRDAVPHEGHQSRSMARNLLDHPMFVRAPELRNLVRNPMNRDVNSGNLSVPGNFASTSRGGSSSGANASSVPTWAPQPNHPSQYPRRLSGLVRRQLMSSLGPESGGPGNHPSLPAGPTSPEEMLLSSSVTNPGHHRPYRRLVSWLERQDAGFLAVPHSLRNLAAATEGRSRLVVPEIRNVLDLMRRGENLRFELPFFEFGLLRAMDCHFVGPQVLFTDKNLLVTKQVTFLFLIWLVWQDVVILDQSAFFGVADIHDRHRDMRLDVDNMSYEELLALEERIGNVNTGLSEEMISNQLKRQKYSSVPGTRLETEPCCVCQEEYNDGEDLGTLECGHDFHADCIKQWLMHKNLCPICKTTGLNK >KJB63873 pep chromosome:Graimondii2_0_v6:10:1689590:1693949:1 gene:B456_010G021500 transcript:KJB63873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQKGAFGSFSETFFDQGSTSSNATIDQQVCWNNIQNPIENRFPDCLPSHNDINIGYVNSIGREEQEPGRWSLEEPSSSGTQNEVNHDERKIDHVWSSSMSASVTAEKNSPFVQNSNSNTVSRSLNLNAAIVAHGNNNCQVTERSNQNKPSGSERDLISSDAGPEGFIHASRSSGYVVDDNDNRPSDGRRASCKRKALEGNVGQSSSSGSSSYFHCAESSVGHGVSSSSSVGNGMNISASSGQAHPRLGLEVGGSGSDANPGPIVLPAAKGSHRNFRLRVSPSSIQEPIAPRVFSTGDMTRRSVISSSQQSSRLPTDHSLDIRSAPVLDNASLQNPNVAVHVPTLPRNVQSFRWNGGSGSRTGNTSSSNISGDRDAVPHEGHQSRSMARNLLDHPMFVRAPELRNLVRNPMNRDVNSGNLSVPGNFASTSRGGSSSGANASSVPTWAPQPNHPSQYPRRLSGLVRRQLMSSLGPESGGPGNHPSLPAGPTSPEEMLLSSSVTNPGHHRPYRRLVSWLERQDAGFLAVPHSLRNLAAATEGRSRLVVPEIRNVLDLMRRGENLRFEDVVILDQSAFFGVADIHDRHRDMRLDVDNMSYEELLALEERIGNVNTGLSEEMISNQLKRQKYSSVPGTRLETEPCCVCQEEYNDGEDLGTLECGHDFHADCIKQWLMHKNLCPICKTTGLNK >KJB63874 pep chromosome:Graimondii2_0_v6:10:1691099:1692926:1 gene:B456_010G021500 transcript:KJB63874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQKGAFGSFSETFFDQGSTSSNATIDQQVCWNNIQNPIENRFPDCLPSHNDINIGYVNSIGREEQEPGRWSLEEPSSSGTQNEVNHDERKIDHVWSSSMSASVTAEKNSPFVQNSNSNTVSRSLNLNAAIVAHGNNNCQVTERSNQNKPSGSERDLISSDAGPEGFIHASRSSGYVVDDNDNRPSDGRRASCKRKALEGNVGQSSSSGSSSYFHCAESSVGHGVSSSSSVGNGMNISASSGQAHPRLGLEVGGSGSDANPGPIVLPAAKGSHRNFRLRVSPSSIQEPIAPRVFSTGDMTRRSVISSSQQSSRLPTDHSLDIRSAPVLDNASLQNPNVAVHVPTLPRNVQSFRWNGGSGSRTGNTSSSNISGDRDAVPHEGHQSRSMARNLLDHPMFVRAPELRNLVRNPMNRDVNSGNLSVPGNFASTSRGGSSSGANASSVPTWAPQPNHPSQYPRRLSGLVRRQLMSSLGPESGGPGNHPSLPAGPTSPEEMLLSSSVTNPGHHRPYRRLVSWLERQDAGFLAVPHSLRNLAAATEGRSRLVVPEIRNVLDLMRRGENLRFEVTLLNLLSCCNSI >KJB64450 pep chromosome:Graimondii2_0_v6:10:5866603:5871364:-1 gene:B456_010G051400 transcript:KJB64450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLGNTASSRISASSSPGVRLSLHPPSSFSLLSFSSSFKPFAFSPLSSHFSPHQRRSAVNVSSSRGFGTVASPKCAASNPDQLKSAREDLKQLLNSQFCHPILVRLGWHDAGTYNKNIEEWPQRGGANGSLRFEVELKHAANAGLVNALSLIQPIKDKYSDVTFADLFQLASATAIEEAGGPKIPMKYGRVDVSGPNECPEEGRLPDAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKDGPGTPGGQSWTVQWLKFDNSYFKDIKAKRDEDLLVLPTDAVLFEDPSFKVYAEKYAEDQETLFKDYAEAHTKLSNLGAKFDPPEGIVLDDTPVQAVPEKFVAAKYSTGKRELSDSMKQKIRAEYESFGGGPDKALPTNYFLNIIIIIGVLAILTSLLGNY >KJB64451 pep chromosome:Graimondii2_0_v6:10:5867587:5871314:-1 gene:B456_010G051400 transcript:KJB64451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLGNTASSRISASSSPGVRLSLHPPSSFSLLSFSSSFKPFAFSPLSSHFSPHQRRSAVNVSSSRGFGTVASPKCAASNPDQLKSAREDLKQLLNSQFCHPILVRLGWHDAGTYNKNIEEWPQRGGANGSLRFEVELKHAANAGLVNALSLIQPIKDKYSDVTFADLFQLASATAIEEAGGPKIPMKYGRVDVSGPNECPEEGRLPDAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKDGPGTPGGQSWTVQWLKFDNSYFKDIKAKRDEDLLVLPTDAVLFEDPSFKVYAEKYAEDQETLFKDYAEAHTKLSNLGAKFDPPEVCL >KJB66915 pep chromosome:Graimondii2_0_v6:10:47752580:47753377:-1 gene:B456_010G165000 transcript:KJB66915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATMALSSPSFAGKAVKFSPSTPEIQGNGPMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEVYRITGGPLGEVTDPLYPSGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >KJB65721 pep chromosome:Graimondii2_0_v6:10:20969698:20970977:-1 gene:B456_010G110900 transcript:KJB65721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPIPTLTALSSTTVKPAVIFTTPPNYAARLSDLLALKGHNPLWCPTITTSPTPQSLIPHLSPPSLSHFSAVAFPSRASIASFSLAAASLPKPLLPSHGHTFTLAALGKDSELIDTPFISQICSNSQRVKLLVPPTATPNSLALSLGEGYGRKVLCPVPKVVGLNEPPVVPNFLDDLKSGGWFPVRIDAYETRWLGPDCAMAVVKKGEEKGGEVYAAIVFTSSGEVEGFLKGLKEFGWDWGTVRRRWPGLVVAAHGPVTAAGAERLGVDVDVVSSDFGSFQGVVDALDVRLRALGHE >KJB66634 pep chromosome:Graimondii2_0_v6:10:39263417:39265339:1 gene:B456_010G148400 transcript:KJB66634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAMPPLKLPCLCSSSPSLAPLLPLFLLIRRPRVVASVRAMLTATPVLPPATSSPLALTAAPVPIDVQVDFDDKTSWEYLFKVYWVLLKEKLALSLHELTNATNPWKELREASANIEPKHQNDVADLINSYKSLYPKWGFDLRGSVSSKRRRLHLLRLHNICQKI >KJB66633 pep chromosome:Graimondii2_0_v6:10:39263404:39267070:1 gene:B456_010G148400 transcript:KJB66633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAMPPLKLPCLCSSSPSLAPLLPLFLLIRRPRVVASVRAMLTATPVLPPATSSPLALTAAPVPIDVQVDFDDKTSWEYLFKVYWVLLKEKLALSLHELTNATNPWKELREASANIEPKHQNDVADLINSYKSLYPKWGFDLRGSVSSKRRRLHLLRLQLMFLLSLQHLHPERFL >KJB68296 pep chromosome:Graimondii2_0_v6:10:60749333:60754521:-1 gene:B456_010G237300 transcript:KJB68296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLLEDIVQSVEMWLKLIKKPQPYGDPNLDPVLLVPGIAGSILNAVDDQNGKEERVWVRILGADYKFRTKLWSRFDPSTGKTESLDPNATIRVPEERYGLGAIDVLDPDMIVGRDCVCYFHDMIVEMLKWGFQEGKTLFGFGYDFRQSNRLQETMDRLAAKLESVYEASGGKKINVISHSMGGLLVKCFMGLHSDVFQKYVKNWIAIAAPFRGAPGYIASTFLNGMSFVDGWEQNFFISKWSMHQLLIECPSIYELMACPHFHWQHIPLLEIWREKEGCDGYPRTILESYRPGNCIDIFKEALSGNTVDYNGEMIPLPFNLEILKWVKETQKVLSHAKVPSGVKFYNIYGINLETPHSVCYGSEEAPVTNIQDLRFFQPKYICVDGDGTVPAESAKADGLHAEARVAVPGEHRGILCEPHVFRILKHWLRAGEPDPFYNPINDFVILPTAFEMESHHEKGLQVTSLKEEWEIVTKDQDDLDDTVSSRKPLVSSISVSQGGSNKHSLRSEAHATVIVHPQNEGKQHVELNAISVSVDA >KJB64506 pep chromosome:Graimondii2_0_v6:10:6020736:6028645:1 gene:B456_010G052300 transcript:KJB64506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGITSSIGCPFAVCLTHIHLVRPGRVTDRQPKSYPSSNKPVTFDGRSLMIDGQHLLLFSGSIHYPRSTPQMWPSLISKAKLGGIDVIQTYVFWNLHEPQQGQYDFSGSRDIVRFIREVQAHGLYVCLRIGPFIESEWTYGGLPFWLHDVPGIVFRSDNGPFKFHMQKWVRKVVNMMKSEKLYASQGGPIILSQIENEYQRIESAFNGKGSRYVQWAAGLAVGLQTGVPWIMCSQQDAPDPVINTCNGFECGVNFEGPNSPNKPSLWTENWTSFVQRYGEEPFIRSAADIAFHVALFVAKNGTYVNYYMYHGGTNFGRTAAANVITSYYDQAPLDEYGLIRQPLWGHLKELHAAIKLCKVPLLAGSYETSSLGHLQIAYVFKGKSGKCAAFLVNNDNTSNARVRFQNISFELPSMSISILPDCKNVAFNTAKVSTQYNTRSRTVKYKFDSMERWEEFNEPIPIFSNTLLKANALLDHMSTTKDTSDYLWYTVSFQHESDAEAELSVVSNAHVVHAFVNGAYKGYAHGGKHNSALENPIELKNGTNHITLLSVMVGFPNSGAYLERKTAGIRSVRIQNRYLNNYQWGYQVI >KJB65038 pep chromosome:Graimondii2_0_v6:10:11213101:11215107:-1 gene:B456_010G077600 transcript:KJB65038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNYAYFWVKRNTVIHIYMISSVGLSWCLIKMHGSSKKNRKSKNQVVREETHTYVISYPTWGASLHMK >KJB67994 pep chromosome:Graimondii2_0_v6:10:58984223:58991255:-1 gene:B456_010G220800 transcript:KJB67994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFNLLLVAFPFFCLSITSLAADTIRVNQSIRDGESETLISANGTFELGFFSPELSSNRYIGIWYKKISPKKVVWVANRNNPINDTNGELLLAEQGNLILMNATKGTVWSTNSPSLATNPTAQLLDTGNLLVQGNGNGNVVWQSFDYPTDTFLPGMKLGIYLVTGMNRKLTTWTSINDPSLGDYTFEIDPDGLPEFFLENDSKMVYRTGYWNGITFSGVIFLGPNNPVFTADFVSNEKEIYFKYELKNKSVLYRMGVTPDGTIERFICDDPARGWRPYFNVMLDTCDQYKICGAYGSCNIKNSPVCSCMKGFVPKDPNDWEKANWLHGCVRKVPLSCERGEDFLEYPGIKLPETRKAWYDRTIDLKQCKNRCLRNCSCTAFANLDVRDGGSGCILWFGDLIDIREYEENGQTIYVRMAASEIEAFKLSKGKKRLKMIIIPITTCGGILGICLGIFLIMRMKKGSTQQDVEEQEDNNERGKGDLEVPLFDFQTISIATNNFSLQNKLGQGGFGAVYKGVLENGQEIAVKRLSKKSGQGIDEFKNEVLCISKLQHRNLVKLLGCCIEPHERLVIYEFMPNKSLDSFIFDERQRILLDWPKRFQIINGIARGLLYLHQDSRLRIIHRDLKASNILLNYEMTPKISDFGLARSFGEDQIEANTTRVVGTYGYMSPEYAIDGLFSIKSDVFSFGVLVLEIITGKRNRGFSHPDHKFNLLGHAWKLLNEERSLELIDEKVRSSSEISQVLRSIQVGLLCVQQCPKDRPDMATAVLMLSSDNIPSLPQPKEPGYFATRIVHHNRDPSFSTNLSPPSNSLSITLPGPR >KJB63415 pep chromosome:Graimondii2_0_v6:10:42524011:42524906:-1 gene:B456_010G154800 transcript:KJB63415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKVWHMFLLLALAMVAECTKFDEVNSGIHHRDNSRDSCIGEHCNIKDEIFMDSETNIRLLAARRYISYEALKRNNVPCSQQGRSYYECSTGKPVNPYTRGCTYATRCRRYTA >KJB65085 pep chromosome:Graimondii2_0_v6:10:11637511:11646523:-1 gene:B456_010G080000 transcript:KJB65085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGYLTPLLLSLSVLVHGQLQTGFISIDCGSPLNFNFVDVDTGISYTLDGAYVSTGINNNISSEYAYPNNPNLAFPLSDLRSFPDGNKNCYTLTPAVAKGSLYLLRASFLYGNYDGEDKLPEFDLYIDVNLWSSIKFSNASDVITMKIIGASVSDTISVCLVNKGMGIPFISTLELRPLNNSIYGTELGSLVSLVLFERFDIEYTNGTGRYNDDIYDRIWSPYNSPSWNIVSTSSEINSNENGYRAPLEVIRTSAVPRNGSDRLEFLWTADDDASKFYVYMYFAEVQQLAKNQTRKFNISWNGSLIFGPLVPRYLFAATILNSDAFTGKEHHISIYKTADANLPPILNAIEVYMAKQLDELPTFSEDVAAVLNIKTAYQVNKGWVGDPCGPKNYTWEGLEYNYSVSLPPQIISLNLTSSGLSGIISASFANISSMESLDLPNNHLSGPVPEFLKELKSLNFLVDEQNLCSSGSCKNKKNVVVPVVASLLSALRLRRKQKPEADTSNGEGRPLPSKNRQFTYAEVLNITNNFQDVIRKGGFETVFRGNMKDKTQVAVKMFSTSSKQGLKEFQAEAELLMRVHHRNLASFIGYCNEGSNMALIYEYMANGNLKDYLSYKSSNPLSWERRLRIAIDAAQDVKTANILLSEKMDAKIADFGLSRAIPSDDHSDVIITTVMGTAGYLDPEYFNSRKLNEKSDVFSFGIVSLELITGQNAIIKKDESIHIVHWVSPLIEREDIGSIVDQRLHGEFDVSSAWKALQVAMACTRPKSLHRATMSTVLTELNQCLAMELSHNRETKERFSEEIYSGSYHSSEVCSTSTASYSITSLFAG >KJB64854 pep chromosome:Graimondii2_0_v6:10:10250825:10256487:1 gene:B456_010G072400 transcript:KJB64854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIVRCVNMSTNKIKIEKYFLEFLKGYDNGSNMKTKHQGVQKRFLEINSRTLYIPCSTKRWKILLNNVIELTVKFLSNTRWASQIKSVKAIRFQIPQRRLVLLKLYESYDDANKKLQSKSMCVDTTIKQLEGVLSYFEKYRNEYFTSSINIAKSIALDMYVEPTLPTKRHSIFEQLKTFESIFGFFFDSNKLRSLDQKELRECCATFHSTFSHEFFLTVPMIMASSERSFSKLKLIKTYLRSSMSQERLNRLTILLVEKDFLENIDVDVIINDFASRNARKKY >KJB63696 pep chromosome:Graimondii2_0_v6:10:862570:865697:1 gene:B456_010G011500 transcript:KJB63696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGRRDKAASFRGSRIVVAIVIGVLLGCVIAFLFPYGLFNPAASVQNRRIGKTNFQIGSSSCESSERSKMLKSEIVSLSEKNSELKKQVRDLTERLQLAEQGKDQAQKQFLVLGEQHKAGPFGTVKALRTNPAVVPDDSVNPRLAKILEKVAVRKELIVALANSNVKEMLEVWFSSIKRVGIPNYLVIALDDHIEEFCKSNNVPVYKRDPDAGIDAVGRSGGNHAVSGLKFRILREFLQLGYSVLLSDVDIIYLQNPFNHLYRDSDVESMTDGHNNMTAYGYNDVFDEPAMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVADRLAKQLNSWDQAVFNEELFFPSHPGYEGLHAAKRTMDFYMFMNSKVLFKTVRKDAKLKKLKPVIVHVNYHPDKLRRMKAVVEFFVNGKQDALDPYPDGSE >KJB65211 pep chromosome:Graimondii2_0_v6:10:12719997:12723801:1 gene:B456_010G084700 transcript:KJB65211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSVGSANTGGSPTKLTTVAIAVKSGSGSRRAVRWVVENLKADRFVLVHVMPAVTSIPTPSGDQIPITEMDENVVAMYVQEVKLKFEQVFIPFLNLFKTSKVETVVLEDDYPAIGLLRYISEFGIQTLVLGSYSSNYITRKLKGPGVPNSVLKCAPDTCDIRVVYRQRIITKQANPLWTSGTSSSGFKKHIYGPINASAESTAQNSFGTASSFELNYPYLHAFSSRGSLTNASSISHCHSLGSTQSEKAEVEQLRLQLQNTVAMYKEACEELVDTQNKVRLLSSECLEEASRVNAAQEREEAFRKIAAEEKVKLLQAMKDVEEAKHLLSREANRRQMAELNAHKESLEKQKFVDALFCCDRRYRKYTRDEIEVATDFFSVSNVIGQGGYGKVYKCSLHRTPVAVKVLQSDALDRKEEFLREIEVLSQLHHPHIVLLLGACPENGCLVYEYLENGSLEEHILQKSGKPPLPWFIRFRIVFEVASGLAFLHNSKPDPIVHRDLKPDSILAGTLFYMDPEYQRTGTVRPKSDLYALGLTTLQLLTARHPNGLLLAVENAIKNCCLADILDKSITDWPLAETEELARIALKCSKLRCRDRPDLDTEVLPILKRLVGVADASLKLGKSNVYAPSYYFCPIFQEVMDDPHIAADGFTYEHRAIMAWLGKHNVSPVTKRQLQHSVLTPNHTLRSAIHDWKSRVTLSTT >KJB65210 pep chromosome:Graimondii2_0_v6:10:12719997:12723801:1 gene:B456_010G084700 transcript:KJB65210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSVGSANTGGSPTKLTTVAIAVKSGSGSRRAVRWVVENLKADRFVLVHVMPAVTSIPTPSGDQIPITEMDENVVAMYVQEVKLKFEQVFIPFLNLFKTSKVETVVLEDDYPAIGLLRYISEFGIQTLVLGSYSSNYITRKLKGPGVPNSVLKCAPDTCDIRVVYRQRIITKQANPLWTSGTSSSGFKKHIYGPINASAESTAQNSFGTASSFELNYPYLHAFSSRGSLTNASSISHCHSLGSTQSEKAEVEQLRLQLQNTVAMYKEACEELVDTQNKVRLLSSECLEEASRVNAAQEREEAFRKIAAEEKVKLLQAMKDVEEAKHLLSREANRRQMAELNAHKESLEKQKFVDALFCCDRRYRKYTRDEIEVATDFFSVSNVIGQGGYGKVYKCSLHRTPVAVKVLQSDALDRKEEFLREIEVLSQLHHPHIVLLLGACPENGCLVYEYLENGSLEEHILQKSGKPPLPWFIRFRIVFEVASGLAFLHNSKPDPIVHRDLKPGNILLDRNYVSKIGDVGLAKLISDVVPDNITMYKDSILAGTLFYMDPEYQRTGTVRPKSDLYALGLTTLQLLTARHPNGLLLAVENAIKNCCLADILDKSITDWPLAETEELARIALKCSKLRCRDRPDLDTEVLPILKRLVGVADASLKLGKSNVYAPSYYFCPIFQEVMDDPHIAADGFTYEHRAIMAWLGKHNVSPVTKRQLQHSVLTPNHTLRSAIHDWKSRVTLSTT >KJB66000 pep chromosome:Graimondii2_0_v6:10:25306270:25307732:1 gene:B456_010G123500 transcript:KJB66000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSANANTGAFLLFFIAAILLALSTTCTALNITKSLAPYSDYSTLSDLFRKTKLTDAINRRQTITILALDNSSIQSITDRSSDELRKILMNHIILDYYDRQKIQKLGKKSALLTTLYQTTGSAINQQGFVNITRIARGEVVFGSAVKGAPLVGKLLGSVISQPFNLSVLHISTPIVAPGIGDAILAPPPPPGSSKPPAAAPKKSPSSPGPSEEEEDEDEAESPSPSESPAESPKAGKAKAKSRSESPPEPDSDEDDDAEEPTSSASSRVVWLRVGVAAVIGLIASLVAF >KJB64529 pep chromosome:Graimondii2_0_v6:10:6096827:6099018:-1 gene:B456_010G053200 transcript:KJB64529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQRRLFLHNIHRLQKLFFSAPSWPMPSSSPFSTSISPHPVPTRTKISIVSNFLKNSAISSLDSPLDQTGVDPDTSLISSPNLLHDLFLWAEKKPGLESSATLFDSMINILGKAREFEKAWNLVLDEIGDGKTVSTLVSINTFVILIRRYARAGMPHPAIRTYEFACSLDQIYHSDLKTEVFEIMLDCLCKEGYVRLASEYFTRKMESDLCWFPSIKVYNILLNGWFHSRNLEYAERFWLDMKKAGVSPSVVTYGILVEGYCMMHCVDRAMELVDEMKVVGLKLNSQVYKPIINALGEAGRLKEALGMMERVLLCESGPDISMYNSLVKGYCKAGDVIGASKILKMMISRGFIPTTTAYNYFFRYFSQLGKIEEAMNLYTKMIRSGHTLDQLTYRLLLKMLCEEERLDLAIQVSKEMRLRGYNRDFATSTLLINFLCKMQRFEDAFAEFEDVIQRGMAPQYNTFQRINDELKKNGMTEMARKLCDMMSLIRSSKQLPNTYVEDEDSSRARRKSTIRKAEAMADMLKTSTDPRELVKHRTLSENAVSRAGRLIENIKKRAKDTSLNR >KJB64528 pep chromosome:Graimondii2_0_v6:10:6096479:6099084:-1 gene:B456_010G053200 transcript:KJB64528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQRRLFLHNIHRLQKLFFSAPSWPMPSSSPFSTSISPHPVPTRTKISIVSNFLKNSAISSLDSPLDQTGVDPDTSLISSPNLLHDLFLWAEKKPGLESSATLFDSMINILGKAREFEKAWNLVLDEIGDGKTVSTLVSINTFVILIRRYARAGMPHPAIRTYEFACSLDQIYHSDLKTEVFEIMLDCLCKEGYVRLASEYFTRKMESDLCWFPSIKVYNILLNGWFHSRNLEYAERFWLDMKKAGVSPSVVTYGILVEGYCMMHCVDRAMELVDEMKVVGLKLNSQVYKPIINALGEAGRLKEALGMMERVLLCESGPDISMYNSLVKGYCKAGDVIGASKILKMMISRGFIPTTTAYNYFFRYFSQLGKIEEAMNLYTKMIRSGHTLDQLTYRLLLKMLCEEERLDLAIQVSKEMRLRGYNRDFATSTLLINFLCKMQRFEDAFAEFEDVIQRGMAPQYNTFQRINDELKKNGMTEMARKLCDMMSLIRSSKQLPNTYVEDEDSSRARRKSTIRKAEAMADMLKTSTDPRELVKHRTLSENAVSRAGRLIENIKKRAKDTSLNR >KJB68154 pep chromosome:Graimondii2_0_v6:10:60060914:60064375:-1 gene:B456_010G228800 transcript:KJB68154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLNLRSLEELELDESSLPSNFIRVFGPLISLKKLIAHRIDGNDTLPINDLCEMKNLQELDITGNNLRGSLPMCFHNLTSLKSLSLSFNQFSGNISVLKNLTLLESLDLSSNQFSGNISALESLTSLRWLDISNNEFHIPSSLGPLFNLSRLKFIRGDNNNIHADDHEMLNSLAPRFQLIYISLSCCGSGGSFPHFFYHQSDLQGVTLSDIHFFKVDQFPFWLLENNTKLELLHLVNCSLLGPFQLPSRAHLALSNLDISNNAFGGNIPERIGAHLPLLKTLNMSQNYFSGSIPSSFGDMSSLQGLDLSNNHLSGGIPEHMATGCSLLEFLALSNNTLQGVIFSGNFSLMSLSEVRLDGNNFTGVIPNVLANCSDLYLLDLSNNYLFGEIPSWIGNMSRLIALDVSRNLLFGRFPQWRGNALPLEQLAMADNQLEGSIPRAICNLNEGLIFLDLSMNNFSGTLPSCFKPVSLREVHLSRNMLQGPLPNAFRDSSSLVTLDLSYNHFKGNIPNWIGNLSELSYLLLKRNHFKGEIPIQLCNLDRLSLIDLSLNNLSGGIPSCLKVSAMNYVTEEYIWYYYSRYDIDSAKSIEVPIEYTVKSRSYHYRRRILQYMSGIDLSCNKLTGEISFETRNIMKLFTLNLSRNSLTGPIPQVFSNLKDLESLDLSYNNLTGNIPVEFAVLHFLQYFNVSYNNLSGKTPDRIGQLGTFDESSYVGNPFLCGSLVGKNCSPVATPLTPPKASAGDTEDHGFIDMDTFYASFFACYVMVLLCIAAVLYINPYWRQAWFYYIQMAIESCYYFV >KJB64526 pep chromosome:Graimondii2_0_v6:10:6360114:6360641:1 gene:B456_010G055500 transcript:KJB64526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCTLQQNDAVLAYEEMRGLIAVTDHQKGGAVVCPKPRRIGVPATNLNKPWRLHTNHQADVSDSISGVELLDIILVNKDLGTEQEQAIASSPPYFCGSPPSRSANPLVQDVRFGDERLGHALWTLQTPSLLSSSSAGKGERGKMKFGLTSATVRVEGFDCLNRDGQNSRIPAMA >KJB64541 pep chromosome:Graimondii2_0_v6:10:6117940:6123459:1 gene:B456_010G053300 transcript:KJB64541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCSSRRQTSMSKENEPIESIEEPTGRITRARAKAQRGAEGMCSSKPSFKQDQKCVLRMNSKRASSDENKTCVAATVGLQPKRRAVLKDVTNVSANGMQIDCLNVTEIQTSKQTIRDPSEKNKEMVENIFIGIPSVEEDVKAKLAEDLSKIRMMEAHENGLPVKLNERGVPEPTCLGAREYAVENSMLPIQAFTMPFGHQSPKRKVADDVYKKLGVSKDVVDIDSNLKDPRICSLYAPDIYNNIRVTELNRRPSTNYMEQVQRDITPSMRAILIDWLVEVSEEYKLVPDTLYLTVSLIDRFLSHNVIEKQRLQLVGVSCMLIASKYEEICAPRVEEFCFITDNTYTSREVLKMERKILNFLYFQLSVPTTKTFLRRFIQAAQATYKDPCIELEFLANYLAELSLVEYNFLKFLPSLIAASAVFLARWTLNQSVHPWDPTLEHYTSYKASELKTTVLALEDLQLNTNGCYLNAIHDKYKQQKFKCVATMSSPERVISVFSRR >KJB65492 pep chromosome:Graimondii2_0_v6:10:16609066:16613238:-1 gene:B456_010G097200 transcript:KJB65492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKSSAREEVVINVPSEENPKGLKGSPAKESEALDPKQNVQVSATKAFGPTANKPPKVPSAVNETPFTRRRSFAKPKSRFGEQSYIFETDQMEENVLANEEQVGGNSPYRHSFSKVSPNNKLGRSVRTDSAVSKTSTMASTGPSGESEYEEIIKKVKLHNEKLKRVKPKVVIEWLIFLLLLGCLIASLTSDELQSTHFWGLKTWKWCVLVMVIFCGMLVTRWFMHLVVFLIEINFLLRKKVLYFVHGLKKSVQVFIWLSLVLVTWVLLFLDVERSKTATKILDYVTWTLVSILIGAFLWLLKTLLLKILASNFHMNKFFDRIQESVFHHYILRTLSGRPLMETDEKSPLHLTVSNAKKGKGGKTKKLIDMGKVHKLKREKVSSWHMKVLVDAITNSGLSTISNTLDESTYDEGAEQADKEITNEEEAQYAAHQIFSNVARHESNHNHNYIDEVDLLRFMIKEEVDLVFPLFEGSNTGKIDRKSFTNWVIKVYKDQKTLAHALSDTKTAVKQLNKLVTVVLVVVTVIIWLLLMEIATTKVLLLLSSQLVVAAFMFGNTCKTIFEAIIFVFVMHPFDVGDRCVVDGVQLLVEEMNILTTVFLKLDNEKVYYPNSVLSTKPISNYYRSPDMGDGIEFSIDFMTPAKTIGKLKEEIRKYLEANSTIWHPSHLVVVKEIENVNKLKMALYCNHTMNFQDFREKNKRKTDLILELKRIFEELGIRYNLLPQHVNLNQVNQDRPDATYATT >KJB65491 pep chromosome:Graimondii2_0_v6:10:16609754:16612651:-1 gene:B456_010G097200 transcript:KJB65491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKSSAREEVVINVPSEENPKGLKGSPAKESEALDPKQNVQVSATKAFGPTANKPPKVPSAVNETPFTRRRSFAKPKSRFGEQSYIFETDQMEENVLANEEQVGGNSPYRHSFSKVSPNNKLGRSVRTDSAVSKTSTMASTGPSGESEYEEIIKKVKLHNEKLKRVKPKVVIEWLIFLLLLGCLIASLTSDELQSTHFWGLKTWKWCVLVMVIFCGMLVTRWFMHLVVFLIEINFLLRKKVLYFVHGLKKSVQVFIWLSLVLVTWVLLFLDVERSKTATKILDYVTWTLVSILIGAFLWLLKTLLLKILASNFHMNKFFDRIQESVFHHYILRTLSGRPLMETDEKSPLHLTVSNAKKGKGGKTKKLIDMGKVHKLKREKVSSWHMKVLVDAITNSGLSTISNTLDESTYDEGAEQADKEITNEEEAQYAAHQIFSNVARHESNHNHNYIDEVDLLRFMIKEEVDLVFPLFEGSNTGKIDRKSFTNWVIKVYKDQKTLAHALSDTKTAVKQLNKLVTVVLVVVTVIIWLLLMEIATTKVLLLLSSQLVVAAFMFGNTCKTIFEAIIFVFVMHPFDVGDRCVVDGVQLLVEEMNILTTVFLKLDNEKVYYPNSVLSTKPISNYYRSPDMGDGIEFSIDFMTPAKTIGKLKEEIRKYLEANSTIWHPSHLVVVKEIENVNKLKMALYCNHTMNFQDFREKNKRKTDLILELKRIFEELGIRYNLLPQHVNLNQVNQDRPDATYATT >KJB65490 pep chromosome:Graimondii2_0_v6:10:16609066:16613382:-1 gene:B456_010G097200 transcript:KJB65490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKSSAREEVVINVPSEENPKGLKGSPAKESEALDPKQNVQVSATKAFGPTANKPPKVPSAVNETPFTRRRSFAKPKSRFGEQSYIFETDQMEENVLANEEQVGGNSPYRHSFSKVSPNNKLGRSVRTDSAVSKTSTMASTGPSGESEYEEIIKKVKLHNEKLKRVKPKVVIEWLIFLLLLGCLIASLTSDELQSTHFWGLKTWKWCVLVMVIFCGMLVTRWFMHLVVFLIEINFLLRKKVLYFVHGLKKSVQVFIWLSLVLVTWVLLFLDVERSKTATKILDYVTWTLVSILIGAFLWLLKTLLLKILASNFHMNKFFDRIQESVFHHYILRTLSGRPLMETDEKSPLHLTVSNAKKGKGGKTKKLIDMGKVHKLKREKVSSWHMKVLVDAITNSGLSTISNTLDESTYDEGAEQADKEITNEEEAQYAAHQIFSNVARHESNHNHNYIDEVDLLRFMIKEEVDLVFPLFEGSNTGKIDRKSFTNWVIKVYKDQKTLAHALSDTKTAVKQLNKLVTVVLVVVTVIIWLLLMEIATTKVLLLLSSQLVVAAFMFGNTCKTIFEAIIFVFVMHPFDVGDRCVVDGVQLLVEEMNILTTVFLKLDNEKVYYPNSVLSTKPISNYYRSPDMGDGIEFSIDFMTPAKTIGKLKEEIRKYLEANSTIWHPSHLVVVKEIENVNKLKMALYCNHTMNFQDFREKNKRKTDLILELKRIFEELGIRYNLLPQHVNLNQVNQDRPDATYATT >KJB67702 pep chromosome:Graimondii2_0_v6:10:57056111:57059067:-1 gene:B456_010G204700 transcript:KJB67702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTALFHLLRSQSKQLISRNIHSGYRCRFATLSGTQYAKPNLNYATSIFSVQKRWASQAKTTEDDNKISIGPRKSKEDDENEKDAGVVYHGPISSTIKKVKMLSLSTCCLSVSLGPVITFMTSPDMNVIVKGAVASSVIFLSATTTAALHWFVSPYIHKIRWQPGSDSFEVEMMSWLATYIPRTIKFADIRPAETNRPFVTFKANGNFYFVDAEHCHNKALLARLTPQKATHESALKNL >KJB66162 pep chromosome:Graimondii2_0_v6:10:28294527:28295974:-1 gene:B456_010G130600 transcript:KJB66162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CWKNMNNLVLSFTPKFTKLLTLVLRQENLQLEDTAVETIAKFCHDLQDLDLSKSFKLGDRSLYALAHGYRNLTKLNISGCTSFSDEGVEYLTKFCRKLKILNLCGCVKAATDCALKLTYLRVMSLAYGCPNLRCLDLCGCVRIIDDSVISLASGCLHLRSLGLYYCRNITDRAMYSLAHSRVKNKASIWQPMKGRYDEEGFRSLNISQCTALTPSAVQALCDTFPALHTCSGRHSLVMSGCLNLTSVHCACAVQSHRTLNNILHTAH >KJB67485 pep chromosome:Graimondii2_0_v6:10:55019693:55020955:-1 gene:B456_010G193300 transcript:KJB67485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNALRLLYSHCFKPTTAGDSQGKDGVSALSHDLFQFDITSQVPEGLSYHVVSSKKAQANWYRKLLDAWREAKPPPKTPEEASSFVIQTLKRHQKADVEGLLAYYSLPQQPPASATTSLPQGVKFELQTLPVDTKAVPDGDTITVYVSTTDPRESLNVPRDVQLAADQRSRARAAKNYTKADELHKKIIDSGYRVLNLQNQEILARKYRIRLSAMKQGNRCTREFDAIR >KJB67486 pep chromosome:Graimondii2_0_v6:10:55018575:55021217:-1 gene:B456_010G193300 transcript:KJB67486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNALRLLYSHCFKPTTAGDSQGKDGVSALSHDLFQFDITSQVPEGLSYHVVSSKKAQANWYRKLLDAWREAKPPPKTPEEASSFVIQTLKRHQKADVEGLLAYYSLPQQPPASATTSLPQGVKFELQTLPVDTKAVPDGDTITVYVSTTDPRESLNVPRDVQLAADQRSRARAAKNYTKADELHKKIIDSGYRVLNLQNQEILARKYRIRLRGIDAPESSMPYGKEAKEELVKLLQGKCLRVLVYDEDRYGRCVGDIYCNGKFVQEVMLKKGLAWHYSAYDQRVELATWEKEARAKRVGLWASSNPEKPWEWRKDKRQGR >KJB64448 pep chromosome:Graimondii2_0_v6:10:5607162:5612143:1 gene:B456_010G049800 transcript:KJB64448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAGPLSKGEAVKEFDKEVEFIPISDEEDSSGPHGASGKHVCNDRETGFGQDKVGSLILFEDVDISFPEDRGFLAAIQKIAEKARGPVILTSNSNNLVLPDNLDRLELCFTMPSREELLNHLNMVCAAEKAIIQPYLLEQLIKCCQGDIRKTIMHLQFWCQSKKYQKGGKSQKTYGLLLFDIEAGHLVLPTVIPWGFPSQLSELVEKEIAEKLSLMGENPTLMEVMEEELEHNNMANGLEMHNNEIDSIEAKKEVMSHRNFSIHDCYESINPSYTSHDFYNSSGTPVSFSRRTSRRKLDVVLSSDSEDEDFNKHRSLVSDSNVNRELFIEEDYVHLSHCPNRPLTDELLNSEVDKCEGRGFQYSETENNLKMETCESLDVSYVPETEIVNGMELSSRTLSCANVSETTEVSVSCEFGEGLLPAEANDPGKFMHMLIKTSDISVGTCNIIAEASHEEVVENSQNQYEAVSSGLELMDECSRMNFNKKSFSMGKFRNQVATDLVQESWKKLRNNHADLKQYVDSEPKDALNILKLTSRMSDLISQADQLLSKCQMQDSLEMLMIPSENSDAFVWRDEQLQMANTISQHGFCLYAKEIDTLRLKMGFEHRVDLSQEILSSSTSTMALGRLLRHDASASRTSVDGKGLDMTPSKHELAVKRDVKSCLFDIISSMVPSRFCLALKGDAFHEYVSSLGYISKSEASRLSVGTSLTKRCRTRRSRHYLSTGVLMLSPEDISLLEQYNFNGKLSSNN >KJB64447 pep chromosome:Graimondii2_0_v6:10:5602397:5612143:1 gene:B456_010G049800 transcript:KJB64447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSDEKKGPEVAIVNGTDRPEGRSVRRRLVQSTLFPQKSPEIEPKVDQKAKEGEDDDKDGEDEDFCGSQGKKGRRRKGKMTPQNRASKKAKSKSPVKTTPKKNGMNNLMESEDILTPQIPNLRLEAKLTAEENSQMFAGRQIHPFFTSWKAGKRSQETAEVGKDGTLLDLSNERINIGPIHVFERTQDDLVLDWKDWSFFEKTSFDTFCNPEGLFKSVFESYVEALCIDNFPDVSHFSNASFVQSKLSDQCIIEDNDLLGKPPAIPAVIVDEQLESYQLLKSSEWVDNVENSELEQSRLLQERMVPCYHDCSILPESDNSLWTDKYQPKSATEVCGNIESVKFMSDWLFLWRKRSFRAIKASNNIDKQSFQEDSDDDFCASGSDSENMDEEDSLKNVLLVTGPIGSGKSAAIHACAEEQGFKILESNASDCRNGAVVKQKFGEALESHFLTGSIENLVDSPSKHVMKSAGPLSKGEAVKEFDKEVEFIPISDEEDSSGPHGASGKHVCNDRETGFGQDKVGSLILFEDVDISFPEDRGFLAAIQKIAEKARGPVILTSNSNNLVLPDNLDRLELCFTMPSREELLNHLNMVCAAEKAIIQPYLLEQLIKCCQGDIRKTIMHLQFWCQSKKYQKGGKSQKTYGLLLFDIEAGHLVLPTVIPWGFPSQLSELVEKEIAEKLSLMGENPTLMEVMEEELEHNNMANGLEMHNNEIDSIEAKKEVMSHRNFSIHDCYESINPSYTSHDFYNSSGTPVSFSRRTSRRKLDVVLSSDSEDEDFNKHRSLVSDSNVNRELFIEEDYVHLSHCPNRPLTDELLNSEVDKCEGRGFQYSETENNLKMETCESLDVSYVPETEIVNGMELSSRTLSCANVSETTEVSVSCEFGEGLLPAEANDPGKFMHMLIKTSDISVGTCNIIAEASHEEVVENSQNQYEAVSSGLELMDECSRMNFNKKSFSMGKFRNQVATDLVQESWKKLRNNHADLKQYVDSEPKDALNILKLTSRMSDLISQADQLLSKCQMQDSLEMLMIPSENSDAFVWRDEQLQMANTISQHGFCLYAKEIDTLRLKMGFEHRVDLSQEILSSSTSTMALGRLLRHDASASRTSVDGKGLDMTPSKHELAVKRDVKSCLFDIISSMVPSRFCLALKGDAFHEYVSSLGYISKSEASRLSVGTSLTKRCRTRRSRHYLSTGVLMLSPEDISLLEQYNFNGKLSSNN >KJB68505 pep chromosome:Graimondii2_0_v6:10:61597559:61600649:-1 gene:B456_010G247600 transcript:KJB68505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRGGLDRFKKAQSLEPFSVSLNSSSPKTAPKAGQVEQHSHIQNAVAQPQQEEDVQSKPATQVGAGQSTWQPPDWAIEPRPGVYYLQVVKEGQVLETINIDRRRLIFGRQYHTCDFVLNHLSVSRQHAAVVPHKNGSSYNLNSIYVIDLGSAHGTFVANERLTKDTPVELEVGQSLRFAASTRTYILRKNNAALFPRPPPPTEINLPPRPDPSDEEAVVAYNTLINRYGLSKSDLLPKSISLAESEDSTLPERATKRMRKLKVTFRDQAGGELVEVVGISDGADVETETGPIGVKEGSLVGKYGSLVQTTVIPKGKDVSSVKEDGVSQKGVTDKLQELLNKVKNTPKGGIYDDLYGESLSEKVGSSSWAYTSDSAPTGDDVVGGASSGKPGTKSASYDDSEDDLFGD >KJB68504 pep chromosome:Graimondii2_0_v6:10:61597523:61600649:-1 gene:B456_010G247600 transcript:KJB68504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRGGLDRFKKAQSLEPFSVSLNSSSPKTAPKAGQVEQHSHIQNAVAQPQQEEDVQSKPATQVGAGQSTWQPPDWAIEPRPGVYYLQVVKEGQVLETINIDRRRLIFGRQYHTCDFVLNHLSVSRQHAAVVPHKNGSIYVIDLGSAHGTFVANERLTKDTPVELEVGQSLRFAASTRTYILRKNNAALFPRPPPPTEINLPPRPDPSDEEAVVAYNTLINRYGLSKSDLLPKSISLAESEDSTLPERATKRMRKLKVTFRDQAGGELVEVVGISDGADVETETGPIGVKEGSLVGKYGSLVQTTVIPKGKDVSSVKEDGVSQKGVTDKLQELLNKVKNTPKGGIYDDLYGESLSEKVGSSSWAYTSDSAPTGDDVVGGASSGKPGTKSASYDDSEDDLFGD >KJB67480 pep chromosome:Graimondii2_0_v6:10:54944562:54946322:-1 gene:B456_010G192700 transcript:KJB67480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETETGSSDLTPLLADADSLENQSPRGLASVSGAVFNICTTMVGAGIMSIPATVKVLGIIPGFAVMFMIAVLVDITVEFLLRYTQSGKSTTYAGLMAESFGSLGSLAVQICVMVTNLGCLIIYLIIIGDVLCGNESGGTLHLGVLQEWFGIQWWNSRAYVILFVVLFVMLPLVFLPHMNSLRHSSAISILLAVVFIAISSAMAIYAFWKGKTQNMRLLPDFANQVSIFDLFTTVPVLVTGFGFHVNIHPIRSELSRPSDMSYAVRISLAISIAIYFSIGFFGYMLFGESIMSDILVNFDQNSDSAIGQLVNNTVRLSYAMHLALVFPVINFSLRTNIDELLFAKKPVLAKDKSRFTILTCLLLALTYTIAIVIPNIWYFFQFLGSTTVVCLSFIFPGAIVLR >KJB67479 pep chromosome:Graimondii2_0_v6:10:54944427:54946399:-1 gene:B456_010G192700 transcript:KJB67479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETETGSSDLTPLLADADSLENQSPRGLASVSGAVFNICTTMVGAGIMSIPATVKVLGIIPGFAVMFMIAVLVDITVEFLLRYTQSGKSTTYAGLMAESFGSLGSLAVQICVMVTNLGCLIIYLIIIGDVLCGNESGGTLHLGVLQEWFGIQWWNSRAYVILFVVLFVMLPLVFLPHMNSLRHSSAISILLAVVFIAISSAMAIYAFWKGKTQNMRLLPDFANQVSIFDLFTTVPVLVTGFGFHVNIHPIRSELSRPSDMSYAVRISLAISIAIYFSIGFFGYMLFGESIMSDILVNFDQNSDSAIGQLVNNTVRLSYAMHLALVFPVINFSLRTNIDELLFAKKPVLAKDKSRFTILTCLLLALTYTIAIVIPNIWYFFQFLGSTTVVCLSFIFPGAIVLRDVHGISRRKDKVMAILVIIMAILTSMIAIATNLWTVG >KJB68616 pep chromosome:Graimondii2_0_v6:10:62010308:62017586:-1 gene:B456_010G255000 transcript:KJB68616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTYGRRTRGLTRTFSDSVNDYVSDSPPLSQETVPSEDVYSFPFATQESSSFWPSSQELNDDVYKDRVTTGLEASHGGFGFDDLGNDVVGRSKKQKQSQGKKEVRDSSIPWISVTSSLMEAQEYGEMMEHVDEVNFALDGLKKGQPVRIRRTSLLSLLSVCSTAQQRRLLRTHGMAKTIIDSLLGLNFDDTPSNLAAVALFYVLTIDDQDERLLESPSCIHFLIKLLKPVIPTAKENKTGKVGFKLLALCKDGGISRNTTKSSDSSSAEIISKVEEILVSYKEMKSRCGDDSDLRRPELNPKWIALLILEKACLSKISLEDATGMVRKTGCNLKEKLREYGGLDVVFEVALECHSVLEGWLEQSSSSPLIEDKKDVQSLVLLLKCLKIMENAAFLSSDNQSHLLEMKGQLNSHGSRISFTKLVVSLIKILSGLYLKSSSASSSTDRDCSSSKEMANADIFAPTAEYKVDRRDVVSTSSSEKSSSLDWSFSGKSFNASQNDPGPSTRWLGHSVSSSQTATASSNDGCLPKMRVHSSSGKLGSSFDRIPGTSNRSGTLCERSDGTKNGNQQLLEDSQDPFAFDDDDFVPSKWDVLYGEKKKSRTKKHGKLGLRKREIQDEHHFQFKMSQQESSNGEICQRENEEYHHSNATSCSQSTEEEYSSLLSDCLLAAVKVLMNLTNDNPLGCRQIASSGALETLSALIASHFPSFCSYLPRINEMDETSSDIPLTDSELDFLVAILGLLVNLVEKDEYNRSQLAAASVCLPNSKGSTEESRMAVIPLLCAIFLANLGEEDAAGEVLSWNNEAALLQEEKEAEKMILEAYAALLLAFLSTESKRTRNAIADCLPNRSLSILVPVLERFVAFHFTLNMISPETHKAVNEVIKSCRIP >KJB64557 pep chromosome:Graimondii2_0_v6:10:6389846:6392228:-1 gene:B456_010G055900 transcript:KJB64557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLKSIDVVFRFRHLSFWSRSTLTLWIVCFFRQFFGSVIKVDYLTLRHGFIIKYIKRSLDDDFKVVVGISPIIWFIAVLFLLAYTHGWYSYLWLPFIPLIYEFTIRSCYHEHIEDVIIRVSMG >KJB64558 pep chromosome:Graimondii2_0_v6:10:6390687:6392228:-1 gene:B456_010G055900 transcript:KJB64558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLKSIDVVFRFRHLSFWSRSTLTLWIVCFFRQFFGSVIKVDYLTLRHGFIIKYIKRSLDDDFKVVVGISPIIWFIAVLFLLAYTHGWYSYLWLPFIPLIYEFTIRSCYHEHIEDVIIRVSMGVIIQFLFSYVTLPLYALVTQVRYT >KJB64559 pep chromosome:Graimondii2_0_v6:10:6389846:6392228:-1 gene:B456_010G055900 transcript:KJB64559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLKSIDVVFRFRHLSFWSRSTLTLWIVCFFRQFFGSVIKVDYLTLRHGFIIKYIKRSLDDDFKVVVGISPIIWFIAVLFLLAYTHGWYSYLWLPFIPLIILLP >KJB65962 pep chromosome:Graimondii2_0_v6:10:25103794:25104671:1 gene:B456_010G122500 transcript:KJB65962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRSKFTLGTFLLLSSLVSLSYSYSTDEDSSQLMSRRLLNQASDMSIQQYLIPHNIIREERRLPPLKWSKKLAKFASWWAHKRQADCALIHSNSDYGENLFWGSGKDWKPGDAVAAWAEEKDYYDHKKNTCTKNKDCLHYTQMIWKDSLKIGCAKVVCRSGDTLIGCNYDPHGNVIGQKPF >KJB63829 pep chromosome:Graimondii2_0_v6:10:1484945:1488744:1 gene:B456_010G019500 transcript:KJB63829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKILEPIKKNLTMLEVPSFVDGFGGGLKIGMVNFEGDQDFSQWRKHGETIPVHFDRVPEALKWQDLFPEWIDEEEESEVPKCPEFPMPNFDKYPNMDLIVAKLPCKYPINGWSRDVFRLQVHLITAKMAVKNGKKKKKRIKVVFTSKCRPMLELFRCNDLVKQEGDWWYYEPDVEKLEQKIGLPVGSCKLALPLWGQGNHEEFDVTKIKHTTTNPKREAYATVLHSSESYVCGAITLAQSLLKSGTNRDLILLLDDSISEPKRHALELAGWRLRFIKRIRNPRAQKHTYNEYNYSKFRLWQLTDYEKVIFIDADITVLKNLDHLFHFPQLTATGNDVWLFNSGIMIIEPSNCTFKVLMDKRGEIISYNGGDQGYLNEVFVWWHRLPRRVNFLKNFWSNTTAETKMKNQLFAAEPPKLYSIHYLGLKPWLCYRDYDCNWNREDHHIFASDVAHRRWWKFHDAMDEKLQKFCGLTKKRKAQLDADRKKAKEIGLKDKHWKINIKDPRRKYLMK >KJB63830 pep chromosome:Graimondii2_0_v6:10:1484945:1488744:1 gene:B456_010G019500 transcript:KJB63830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELGVQKIMKAATLSKALVIRINLVFIAFFLVIYASLLLQPSSSLYFQNAASLVRCSFRECHHKLGKGVKMEKILEPIKKNLTMLEVPSFVDGFGGGLKIGMVNFEGDQDFSQWRKHGETIPVHFDRVPEALKWQDLFPEWIDEEEESEVPKCPEFPMPNFDKYPNMDLIVAKLPCKYPINGWSRDVFRLQVHLITAKMAVKNGKKKKKRIKVVFTSKCRPMLELFRCNDLVKQEGDWWYYEPDVEKLEQKIGLPVGSCKLALPLWGQGNHEEFDVTKIKHTTTNPKREAYATVLHSSESYVCGAITLAQSLLKSGTNRDLILLLDDSISEPKRHALELAGWRLRFIKRIRNPRAQKHTYNEYNYSKFRLWQLTDYEKVIFIDADITVLKNLDHLFHFPQLTATGNDVWLFNSGIMIIEPSNCTFKVLMDKRGEIISYNGGDQGYLNEVFVWWHRLPRRVNFLKNFWSNTTAETKMKNQLFAAEPPKLYSIHYLGLKPWLCYRDYDCNWNREDHHIFASDVAHRRWWKFHDAMDEKLQKFCGLTKKRKAQLDADRKKAKEIGLKDKHWKINIKDPRRKYLMK >KJB63842 pep chromosome:Graimondii2_0_v6:10:1525136:1528301:1 gene:B456_010G020200 transcript:KJB63842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILNPPPPPYFHRTSMPTSVSSSPVILSYPFLKTTSLQVRFKALRTWSDGGKIGSQEAYGADFLRKPSTVPKKDSDGILEEEEGSEGKRNRGEWTDWEDRILEDTVPLVGFVRMIIHSGKYGAGDRLSPEHERTILERLLPYHPEFEKKIGCGIDYITVGYHPDFVGSRCLFIVRKDGELVDFSYWKCIKGLIRKNYPLYADSFILRHFRRRRRS >KJB63841 pep chromosome:Graimondii2_0_v6:10:1525305:1527111:1 gene:B456_010G020200 transcript:KJB63841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILNPPPPPYFHRTSMPTSVSSSPVILSYPFLKTTSLQVRFKALRTWSDGGKIGSQEAYGADFLRKPSTVPKKDSDGILEEEEGSEGKRNRGEWTDWEDRILEDTVPLVGFVRMIIHSGKYGAGDRLSPEHERTILERLLPYHPEFEKKIGCGIDYITPHRIFFNGNGQGAKVMDDMKVEGESDQKIVKGLK >KJB65179 pep chromosome:Graimondii2_0_v6:10:12359155:12361918:1 gene:B456_010G083400 transcript:KJB65179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALPSFLTAIMIMSRLMAFIGITRNNDMSSKLQALDIAPKLSYDPSAIESASQDFGHIVKAVPQAVFLPSSPWDIASLVNFSYRNSVPFIIAARGNSHSVNGQAMAKNGVVIDMTSMKNGNGTGIRIASDGSYADVGGQQFWIDVLNATLGLGLTPVSWTDYLYLTVGGTLSNAGISGQTFRYGPQISNVYEIDVITGTADFVTCSPNNNSDLFYAALGGLGQFGIITRARIPLEPAPKRVKWVRMLYTDFSDFTRDQELLISKNGRNDNKALNYLEGSLLLDQGSLDNWRSSFFQPQDQPKIISLITKFRIVYCLEIVKHYDGQTKTTVDKDLQQLLKGLSYLPGFMFEKDAKYEEFLNRVHSEELKLKAKGLWDVPHPWLNLFIPKSKISDFNDGVFKSIVLQRNITTGPVLVYPMNRKKWDDRMSAVIPDEEIFYTVGLLQSSGFDDWRTFEAQNKEILQFCEKAGIKVKQYLPHYTTKEGWVNHFGSKWSTFQKRKLQFDPKLLLSPGQRIFNNNE >KJB65414 pep chromosome:Graimondii2_0_v6:10:15318358:15319147:1 gene:B456_010G093900 transcript:KJB65414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMILPQSSLFSPSFVFTISVYFMSGPRSIYLIDFACFRPHDDLKVTKEQFIEMARASGKFDEASLQFQKRIVKSSGVGDETYLPKAVMSDENSATMKEGRLEASTVMFGALDELFEKSRIRPKDVGVLVVNCSIFNPTPSLSAMIINHYKMRGNVLSYNLGGMGCSAGLIAVDLARDMLQANPNNYAVVVNTEMVGYNWYPGRDRSMLVPNCFFQDGMLRSPFVELPP >KJB65415 pep chromosome:Graimondii2_0_v6:10:15318059:15319400:1 gene:B456_010G093900 transcript:KJB65415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKISSPEIVNCGIKSSGPNSGSLTFSVRVQRRIPDFLQSVSLKYVKLGYHYLINRGIYLATIPVLVLVFSAEVGSLSREELRKKLSEDACYDLATVLSFFTVFCIHHFCLLYVTKEQFIEMARASGKFDEASLQFQKRIVKSSGVGDETYLPKAVMSDENSATMKEGRLEASTVMFGALDELFENYNLGGMGCSAGLIAVDLARDMLQANPNNYAVVVNTEMVGYNWYPGRDRSMLVPNCFFQDGMLRSPFVELPP >KJB65466 pep chromosome:Graimondii2_0_v6:10:15963097:15964763:1 gene:B456_010G096200 transcript:KJB65466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKLKIQRLEDMKARQAKYSKRKKGILKKAKELSILCDVEVVLLLSSPSGKPTLFVGQDPNGLYCILQKVSNMPFVEREERRAYTLELYEDQLQELKDKLTKKRKILRDWKYPENVEDLNQIKFMEDHLIASLNGLRNRKNQLAMEQQSKERYLEGTENLEI >KJB65465 pep chromosome:Graimondii2_0_v6:10:15963097:15964763:1 gene:B456_010G096200 transcript:KJB65465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKLKIQRLEDMKARQAKYSKRKKGILKKAKELSILCDVEVVLLLSSPSGKPTLFVGQDPNGLYCILQKVSNMPFVEREERRAYTLEMLKKFYVNWESEFDPLSLPRNNNVDTLKLYEDQLQELKDKLTKKRKILRDWKYPENVEDLNQIKFMEDHLIASLNGLRNRKNQLAMEQQSKERYLEGTENLEI >KJB65361 pep chromosome:Graimondii2_0_v6:10:14551302:14552150:-1 gene:B456_010G092000 transcript:KJB65361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPIPTVIFFTLHILLIQSQTHTSNHSRCPPFRCHHIDFPFPFSDQRTFGSGTIDCGFPGYQILCDEEEEEEEESTPVPILMISTQPYQVKNIFLNQFYDEGVVNLITLVNTDLIRDINTASCHSFRNFTSPISGLLLPDWNINLTFFKCPSQFAPPQDFLDQTALNYTCNDEQSWLYLGRYVNSSPMNRTERSFNNSITPRDCNLVMLPVSSADINVSSVNNGDIERSQLSKVLAAGFPLQWKSDVDCENCIKKKERCGFDRTKVVCLCDDDCNNTNSSK >KJB65879 pep chromosome:Graimondii2_0_v6:10:22782560:22783393:1 gene:B456_010G116700 transcript:KJB65879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQALVDAPDMVRGQMNFKRLTLTDITIDIPRVPKNKWNKWESSSWGRKLIVQKRRASLNDFDRFKLMLAKIKRSGVIKQELAKLKKENAS >KJB66868 pep chromosome:Graimondii2_0_v6:10:46432580:46433440:-1 gene:B456_010G161800 transcript:KJB66868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKIVKRTPPRSIKRHRRKTSPTKKNASVTVIASLNKSIKLCHRRLVRLFSKLARIATPSTTKRRYKGFKILKQDQLESNSIVPRTLVFDRCLLPPPISETKKTIVLDLDETLVHSSPDPPPKMYDFVVRPSIDGQIMKFYVLKRPGVDSFLEEISKKHEVVVFTAGLEQYASQVLDKLDPKGLISYRLYRDSCKEMEEKMVKDLSEMGRDLGKVVIVDDNPNAYTLQPENAIPIPPFVEDGEDRELEKLVQFFEWCEPFEDMRLAVKQYFSGGNDGAAVVLLKA >KJB68551 pep chromosome:Graimondii2_0_v6:10:61749185:61753317:1 gene:B456_010G250000 transcript:KJB68551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSRKDGDSVDEERDSRRRRIVDSPSSPAEEPLVPYNDDEDDERRVLDHSGGAEEVGRRVETEEEDDDDDNDDDPYGRGSILDKSNRQVEVRRDCPYLDTVNRQVLDFDFERFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEINDPSLDDIRYVLNPRFSREQVEQLDKNKQWSRALDGSDYLPGMVGLNNIQKTDFVNVTIQSLMRVTPLRNFFLIPENYQHCRSQLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGVQSEPVEFMSWLLNTLHADLRISKKSSSIIHKCFQGELEVVKETQNKAISERKEGGGEHNGALKIMDGATENNNIGTETYRMPFLMLGLDLPEPPLFKDVMEKNIIPQVPLFNILKKFDGETVTTTVRPPARMRYRVTRLPQYLIVRMSRFTKNNFFREKNPTLVNFPVKNLELKDYIPLPAPTKENEKLRSKYDLIANIVHDGKPDEGFYRVFVQRKSEELWYEMQDLHVSETLPQMVALSEAYMQIYEQQQ >KJB67858 pep chromosome:Graimondii2_0_v6:10:58278594:58280368:-1 gene:B456_010G215300 transcript:KJB67858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISYNHDELHLGQEPKEKNSYSVRINYSFEPSPFSSSTPISILFFINLSAELTLSLEPMTTTTAVTLGGGSAINVELENVACFAIDEHNNREDGTVVFVRVDQAAEPLVVGRLHHLTVEAIDAGGKKLYEAKVWVKPWSNFKQLQEFKHAGDAHASPSSTTSDLVVNKVVQPLPGTGRSSYAKKRRAKRMGSDYYSFARWKTKDGHGPGLQCIPTHDPLVE >KJB67097 pep chromosome:Graimondii2_0_v6:10:50929069:50935100:1 gene:B456_010G174700 transcript:KJB67097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATMATAAGAAALLYYTLNRKLQTDRSGNDDNENGSDLSNNPSSGLDRISHRLIQAPATWVETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKRQGHLHVASVFGGKDSIELKGPDISAELKYLLNLLTLCWHFSKKPFPLFLEETGYAEEDVLLQEPKAGILKPAFTILVDHKTECFLLLIRGTHSIKDTLTAATGAIVPFHHSVVQEGGVSDLVLGYAHCGMVAAARWIAKLATPCLIKALGQHPTYKVKIVGHSLGGGTGALLTYVLREQKELSTTTCVTFAPAACMTWELADSGTDFITSVINGADLVPTFSAASVDDLRAEVTASAWLNDLRNQIEHTRILSTVYRSASALGSRLPSIASAKAKVAGAGAILRPVSNGTQVVMRRAQSMAHAAWKRPTINLSSWSCIGPRHRGTAARSNSEEEGNTLESSPNKAEMSEPLLPSSPINSSTKEAIELPVSSSGVEWSSEIVYSCSDGEQHRDRDAELEVGEDLISHNTHDERMNEVELWQQLEHELYDKAEDDEGDVVNQIREEEAAAIAEVGGEGQSDTSVPETKEVHRFFPAGKIMHIVTLQSDEVESEVDTPSSSDDTDDRQRTMEAKIGIFLTPRSLYSKLRLSQTMISDHFMPVYRRQIEKLIKELEEDQAPNVQNQNQNHSHGVYSEQEVS >KJB67095 pep chromosome:Graimondii2_0_v6:10:50929307:50935100:1 gene:B456_010G174700 transcript:KJB67095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATMATAAGAAALLYYTLNRKLQTDRSGNDDNENGSDLSNNPSSGLDRISHRLIQAPATWVETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKRQGHLHVASVFGGKDSIELKGPDISAELKYLLNLLTLCWHFSKKPFPLFLEETGYAEEDVLLQEPKAGILKPAFTILVDHKTECFLLLIRGTHSIKDTLTAATGAIVPFHHSVVQEGGVSDLVLGYAHCGMVAAARWIAKLATPCLIKALGQHPTYKVKIVGHSLGGGTGALLTYVLREQKELSTTTCVTFAPAACMTWELADSGTDFITSVINGADLVPTFSAASVDDLRAEVTASAWLNDLRNQIEHTRILSTVYRSASALGSRLPSIASAKAKVAGAGAILRPVSNGTQVVMRRAQSMAHAAWKRPTINLSSWSCIGPRHRGTAARSNSEEEGNTLESSPNKAEMSEPLLPSSPINSSTKEAIELPVSSSGVEWSSEIVYSCSDGEQHRDRDAELEVGEDLISHNTHDERMNEVELWQQLEHELYDKAEDDEGDVVNQIREEEAAAIAEVGGEGQSDTSVPETKEVHRFFPAGKIMHIVTLQSDEVESEVDTPSSSDDTDDRQRTMEAKIGIFLTPRSLYSKLRLSQTMISDHFMPVYRRQIEKLIKELEEDQAPNVQNQNQNHSHGVYSEQEVS >KJB67096 pep chromosome:Graimondii2_0_v6:10:50929069:50935100:1 gene:B456_010G174700 transcript:KJB67096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATMATAAGAAALLYYTLNRKLQTDRSGNDDNENGSDLSNNPSSGLDRISHRLIQAPATWVETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKRQGHLHVASVFGGKDSIELKGPDISAELKYLLNLLTLCWHFSKKPFPLFLEETGYAEEDVLLQEPKAGILKPAFTILVDHKTECFLLLIRGTHSIKDTLTAATGAIVPFHHSVVQEGGVSDLVLGYAHCGMVAAARWIAKLATPCLIKALGQHPTYKVKIVGHSLGGGTGALLTYVLREQKELSTTTCVTFAPAACMTWELADSGTDFITSVINGADLVPTFSAASVDDLRAEVTASAWLNDLRNQIEHTRILSTVYRSASALGSRLPSIASAKAKVAGAGAILRPVSNGTQVVMRRAQSMAHAAWKRPTINLSSWSCIGPRHRGTAARSNSEEEGNTLESSPNKAEMSEPLLPSSPINSSTKEAIELPVSSSGVEWSSEIVYSCSDGEQHRDRDAELEVGEDLISHNTHDERMNEVELWQQLEHELYDKAEDDEGDVVNQIREEEAAAIAEVGGEGQSDTSVPETKEVHRFFPAGKIMHIVTLQSDEVESEVDTPSSSDDTDDRQRTMEAKIGIFLTPRSLYSKLRLSQTMISDHFMPVYRRQIEKLIKELEEDQAPNVQNQNQNHSHGVYSEQEVS >KJB63509 pep chromosome:Graimondii2_0_v6:10:152335:153183:-1 gene:B456_010G003300 transcript:KJB63509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEIFKTPNPCLLLCLVVLCFTIPIALTKAEATTTSLPENEANNAEPVSNNAPFAKPVGNNGKQSQLGYQQQKDPNYPQEGINQPLSSGLNQPYSATNQPYSAGFNQPFSSISQPFGGTNQRGSMENVAFENGVKKEKTGIPSVALDHDFSSRRVSNLYTKRQLLACLVSQCGI >KJB64422 pep chromosome:Graimondii2_0_v6:10:5299130:5311834:-1 gene:B456_010G048500 transcript:KJB64422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAVASPHRSMIHCKTSKFRFKNPQNLFGRTLTFYSLKSPTHFNSLLCLRVHSVRPESPSIQTHHPIQELLPLLAFSLSLLCFRLLSNVLLPDFALRWQSLVAFSREAEARTKSYPKHLWQAIVAYEDRRFFTHFGIDPVGIGRAVLSLSARGGGSTITQQPVRYGVRFPVCQDLCSEDCACLGMFYENSSGSCYVLENDLGSVILSSTVENDFLGYVKVLVGPISTDSGGDNSFSNEKNEFPIAAIVLLPSIGFFLLAALVFFWWKRRLRSKGGEIKLGHLNSGSSEDMDAFYIPGLPQKFDYEELEAATDNFKTQIGSGGFGSVYRGTLPDKTVVAVKKISNPGIQGKKEFCTEIAVIGNIHHVNLVKLRGFCAQGRQRFLVYEYMNRGSLDRTLFGSGAVLEWQERFDIALGTARGLAYLHRGCEHKIIHCDVKPENILLHDHFQAKISDFGLSKLLTPEQSSLFTTMRGTRGYLAPEWLTNSAISEKTDVYSFGMVLLELVSGRKNCSLKSQSHSIEDTNSGGGNSLSSSVMGLIYFPLLALEMHEQGRYLELADAKLEGRVTNKEVEKLVRVALCCVHEEPALRPSMATVVGMLEGGLPLGQPRVESLNFLRFYGRRFTEASMIEEERRQSDFMLFPQANVSHSSTTGSNACLSYISSQQISVSSLNFVMQLYIFLWYRWDNLWLWLEYGSAVLTTFSSNSLVIFFLAYFKLVVQTWPGGTSSFLLNEKLVKNTFLKNERTFWRKILEMVLALALERTMSKQRILSSYVCKIYWGHGINGIESASNFYFGKHPSQLSLAESAMLAGLIPAPEHRSPLRDRSSGKTFQARVLKRMVKSGFLDIKMALLTVRQPLYVRLRRPEHADELSDASSFSELGVGVKSNVNEEGTESTLKGTWDWERESKIREVCEEMERWAIKAQLRSS >KJB64421 pep chromosome:Graimondii2_0_v6:10:5299130:5311758:-1 gene:B456_010G048500 transcript:KJB64421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAVASPHRSMIHCKTSKFRFKNPQNLFGRTLTFYSLKSPTHFNSLLCLRVHSVRPESPSIQTHHPIQELLPLLAFSLSLLCFRLLSNVLLPDFALRWQSLVAFSREAEARTKSYPKHLWQAIVAYEDRRFFTHFGIDPVGIGRAVLSLSARGGGSTITQQVGKVYLVHGNTYLIIIDKHLARLPSLRSLLILFSISMESFLFFIFGFLFYSSVSAKSFSEFIYPNFSASHFSFIDKDGAFLFSRNGTFKASIYNPEAQTNFYLCIIHVESNTIIWSANRDSPISSSGKMDLTVNGISIADPDGNPKWSTPQLRTTIYALLLTEMGNLVLLDKFNGSLWESFYHPTDTIVIGQQLPVGAKLSNAVSESNLSTGDYRFMVSASDALLQWHGQTYWILSMDTKAYVNSNYVVEYMEMNKTGLSLFGHNGSVVVIQLNLAPATFRLAKLDVLGHFTVNSFSGGKWVQEFVGPIDDCQIPASCGKLGLCTGDSTSKAPTCSCPSDFHPASQNIGGCLPSGSSYSLPTACDSTNNVNESNSSTVSYLRLGSGIDYFSLLFSQPVRYGVRFPVCQDLCSEDCACLGMFYENSSGSCYVLENDLGSVILSSTVENDFLGYVKVLVGPISTDSGGDNSFSNEKNEFPIAAIVLLPSIGFFLLAALVFFWWKRRLRSKGGEIKLGHLNSGSSEDMDAFYIPGLPQKFDYEELEAATDNFKTQIGSGGFGSVYRGTLPDKTVVAVKKISNPGIQGKKEFCTEIAVIGNIHHVNLVKLRGFCAQGRQRFLVYEYMNRGSLDRTLFGSGAVLEWQERFDIALGTARGLAYLHRGCEHKIIHCDVKPENILLHDHFQAKISDFGLSKLLTPEQSSLFTTMRGTRGYLAPEWLTNSAISEKTDVYSFGMVLLELVSGRKNCSLKSQSHSIEDTNSGGGNSLSSSVMGLIYFPLLALEMHEQGRYLELADAKLEGRVTNKEVEKLVRVALCCVHEEPALRPSMATVVGMLEGGLPLGQPRVESLNFLRFYGRRFTEASMIEEERRQSDFMLFPQANVSHSSTTGSNACLSYISSQQISVSSLNFVMQLYIFLWYRWDNLWLWLEYGSAVLTTFSSNSLVIFFLAYFKLVVQTWPGGTSSFLLNEKLVKNTFLKNERTFWRKILEMVLALALERTMSKQRILSSYVCKIYWGHGINGIESASNFYFGKHPSQLSLAESAMLAGLIPAPEHRSPLRDRSSGKTFQARVLKRMVKSGFLDIKMALLTVRQPLYVRLRRPEHADELSDASSFSELGVGVKSNVNEEGTESTLKGTWDWERESKIREVCEEMERWAIKAQLRSS >KJB67263 pep chromosome:Graimondii2_0_v6:10:53242521:53244767:1 gene:B456_010G182900 transcript:KJB67263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g04370 [Source:Projected from Arabidopsis thaliana (AT4G04370) UniProtKB/Swiss-Prot;Acc:Q9XE98] MKKIISTTTTSSTTKSFNFIINRLSSQGSYDEVLFTYISMLKSNTPPDSYTFPSLLKACTSLNLFSLGLSIHQHIVHHGFSSDSYTASSLINFYAKFDRATNARKVFDKTPNRDVVPWTAIIGCYCRAGDLDNAFSMYNEMRCNGVEPSPVTFLSLLSGALNFAYVQALHGCAVLYGFESDITLGNSLLNAYIKCGGIREARGLFEFMDQRDQISWNSLISGYAQLGNVEEILQLLYRMKVESMDPDQQTFGSLLTAVAAQSKLDIGRMVHGQILRGGLDSDAHVQTALIVMYLSCGNSNAAYQIFEEVSEKDVVLWTAMISGLVQNTCADKALAVFYDMLKSRVVPSTATITSALAACAHLGAFNLGTSIHAYLLRQGLTIDIPAQNSLLTMYAKCGHLEQSCAVFERMDRKDPVSWNAIIAGCAQNDYLSNAFFFFNKMRSTLQKPDSITVVTLLQMSASTGALHQGKWFHNFVIRSYLRPCILVDTALVDMYCKCGDLDSAVKCFREMLQWDLISLSAIIAGYGSHGKGETALSMYFELLHSGMKPNKVIFLSILSACSHNGLVDPGLSIFESMSRDFGVQPGVEHHACVVDLLCRAGRVEEAYNFYKGSFLEPAVDVLSMILDTCRANGNLELGSIIAQDVIMLKPNSAGNYVQIAHCYASMSRWNRMGEAWTEMRSLGLRKLPGWSIIDLHGRVTTFFSGQTAHPKHEEIVATLSILWWEMSEAGVNFKMKEVHDMFLDGWFL >KJB66799 pep chromosome:Graimondii2_0_v6:10:47803053:47804107:-1 gene:B456_010G165700 transcript:KJB66799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATRKSAPTTGGVKKPHRYRPGTVALREIQKYQKSTELLIRKLPFQRLVREIAQDFKGGGCDSDFGSAYGCQCMCSSSSCNFYGCPLSQVRRSLSRYIPVSMRSEFVVAKSGIKIVNDAFNANPISTKASIDTLKNIHCD >KJB66644 pep chromosome:Graimondii2_0_v6:10:40133484:40133899:1 gene:B456_010G149700 transcript:KJB66644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSNKISMLTNFVLIIALLVIVSMVESRGIGIPIGKKSTPSCNEVYGVASGDTCFSVTQVFNLTTTFFDSVNPNLDCDSLFVGQWLCVAGKA >KJB64651 pep chromosome:Graimondii2_0_v6:10:7116045:7119260:1 gene:B456_010G059600 transcript:KJB64651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCFWVCFGYSLLFVSLQAVAAAEGDAIVEGTVYIDGKAPIGIIDDDFVCATLDWWPPEKCDYGTCSWGLAGLLNLDLNNNIFLNAVKAFSPLKIRLGGSLQDKVIYGTDDNQEPCTSFVKDPSEMFGFTKGCLPMKRWDELNAFFAKAGAKIIVGLNALAGKSIKPGGSAVGAWNYTNAESFIRYTVEKNYKIHGWELGNELSGNGVGTRIAVNQYAADTAALQRIVQKIYKDVDLKPLIIAPGGFYESKWFKEFIDKTTTSLDVVTHHIYNLGPGVDTHLIEKILNPSVLDGISGTFSGLHNVIKSSATKAIAWVGEAGGAYNSGRNLVTNAFVFSFWYLDQLGMASKYDTKTYCRQSLIGGNYGLLDTSTFVPNPDYYSALLWHRLMGRNVLSTSFDGTKKIRSYTHCAKQSVSLIPQLCSRKASWYYLSTLTKALLSKLNLHSIVQ >KJB64650 pep chromosome:Graimondii2_0_v6:10:7116045:7118151:1 gene:B456_010G059600 transcript:KJB64650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCFWVCFGYSLLFVSLQAVAAAEGDAIVEGTVYIDGKAPIGIIDDDFVCATLDWWPPEKCDYGTCSWGLAGLLNLDLNNNIFLNAVKAFSPLKIRLGGSLQDKVIYGTDDNQEPCTSFVKDPSEMFGFTKGCLPMKRWDELNAFFAKAGAKIIVGLNALAGKSIKPGGSAVGAWNYTNAESFIRYTVEKNYKIHGWELGNELSGNGVGTRIAVNQYAADTAALQRIVQKIYKDVDLKPLIIAPGGFYESKWFKEFIDKTTTSLDVVTHHIYNLGPGVDTHLIEKILNPSVLDGISGTFSGLHNVIKSSATKAIAWVGEAGGAYNSGRNLVTNAFVFSFW >KJB64649 pep chromosome:Graimondii2_0_v6:10:7115851:7119641:1 gene:B456_010G059600 transcript:KJB64649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCFWVCFGYSLLFVSLQAVAAAEGDAIVEGTVYIDGKAPIGIIDDDFVCATLDWWPPEKCDYGTCSWGLAGLLNLDLNNNIFLNAVKAFSPLKIRLGGSLQDKVIYGTDDNQEPCTSFVKDPSEMFGFTKGCLPMKRWDELNAFFAKAGAKIIVGLNALAGKSIKPGGSAVGAWNYTNAESFIRYTVEKNYKIHGWELGNELSGNGVGTRIAVNQYAADTAALQRIVQKIYKDVDLKPLIIAPGGFYESKWFKEFIDKTTTSLDVVTHHIYNLGPGVDTHLIEKILNPSVLDGISGTFSGLHNVIKSSATKAIAWVGEAGGAYNSGRNLVTNAFVFSFWYLDQLGMASKYDTKTYCRQSLIGGNYGLLDTSTFVPNPDYYSALLWHRLMGRNVLSTSFDGTKKIRSYTHCAKQSEGIMVLFINLDKSTTVQAKLAFNSTMTLRHNHESRTLRIQRMSSKKMIIELPQMVDAEIRREEYHLRAKDGNLQSRTMLLNGNILSVDSSGNIPPLEPLYVNSAKPITVEPLSIVFIHLSDVILPACKV >KJB63520 pep chromosome:Graimondii2_0_v6:10:179952:181384:1 gene:B456_010G004100 transcript:KJB63520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSFDSVFAMEDIEVANIMLELPRLMLRPPRFSCTWGCRRKRSVCTSSPPPSKQPLPSSTVVGPVEKVLSSSPDTPLSFCPSEADEKPLPLPLPPKKKVSSVNSLKRDIEIKRQLLDRQIAENLELKSKKLKLNQSLLTPETHKSLNLGIQSTQMTVGQHHQQQGIPSRVHHQPLMMDQMVQMISLLPSSNSIPDLNVSAEEAFIDLDIVNKSRAAAEARFKRKQICRAKNFKSLYKAGCPLT >KJB63519 pep chromosome:Graimondii2_0_v6:10:179947:181433:1 gene:B456_010G004100 transcript:KJB63519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSFDSVFAMEDIEVANIMLELPRLMLRPPRFSCTWGCRRKRSVCTSSPPPSKQPLPSSTVVGPVEKVLSSSPDTPLSFCPSEADEKPLPLPLPPKKKVSSVNSLKRKKEQFLEMVEDFTHRNELLKKDIEIKRQLLDRQIAENLELKSKKLKLNQSLLTPETHKSLNLGIQSTQMTVGQHHQQQGIPSRVHHQPLMMDQMVQMISLLPSSNSIPDLNVSAEEAFIDLDIVNKSRAAAEARFKRKQICRAKNFKSLYKAGCPLT >KJB68388 pep chromosome:Graimondii2_0_v6:10:61157473:61159588:-1 gene:B456_010G242500 transcript:KJB68388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 11 [Source:Projected from Arabidopsis thaliana (AT5G45940) UniProtKB/Swiss-Prot;Acc:Q8LET2] MAAIWSNKTSTITKSNQQTKKQKTNIMGSYKDPNHGSDDVVENLVQRLRLYKPTPVSDETQFSVKRAAVLVCIFQGNNGDLRVILTKRSSSLSSHSGEVALPGGKQEETDIDDIQTALREAKEEIGLEPSLVSVVTVLEPIVTKHRMIVVPVVGILSDTKAFDPCPCADEVEAIFDAPLEMFLKDENRWAEEKEWKGEKYLLHYFDYEAENGKYLIWAFTAGVLIRVASIVYQRPPAFLELRPRFWDMAITGDIPKP >KJB66328 pep chromosome:Graimondii2_0_v6:10:31193540:31194341:1 gene:B456_010G136000 transcript:KJB66328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNYQIIDKMDPFYFRIYQDQERNPSDRKRSHFDWMELNEEILSCPISNLELWFFPEFVLVYNAYKVKPWIIPIKLLLFNFNGNRNISKNIIENNKGLPYITKLKKIIGLQNRNQEEKEPIGEGGHVSDAQKKKKQYKSNTEAELDFFLKRLINPNEIVIPSIQWGEMNLDILMIQKDLTLRELMKKGILIIDLVRLSVKNGQFILYQTISILL >KJB65851 pep chromosome:Graimondii2_0_v6:10:22615477:22621179:-1 gene:B456_010G116100 transcript:KJB65851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDWINSYLEAILDVGPGIDEAKSSLLLRERGHFSPTRYFVEEVITGFDETDLHRSWIRAAATRGPKERNTRLENMCWRIWNLARKKKQLEGEEVQRNAKRHLERERGRREATADMSEDLSEGEKGDFPGDGSAHGDSVQGRMRRIVSVDLMENLANQIKEKKLYIVLISLHGLLRGENMELGRDSDTGGQVKYVVELARALGTMPGIYRVDLLTRQVSAPDVDWSYAEPTEMLTPRTTESSMQELGESSGAYIIRIPFGPKDKYIPKELLWPHIPEFVDCALSHIRQMSKVLGEQIGGGQPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLDQILKQGRQSRDEINTTYKIMRRIEAEELSLDSSEIVITSTRQEIEEQWRLYDGFDPVLERKLRARIKRGVNCHGRFMPRMVVIPPGMEFHHIVPHEGDMDGDAERNEEDPTPDPPIWSEVMRFFTNPHKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDNIDEMSGTNATVLLSILKLIDKYDLYGQVAYPKHHKQYEVPDIYGLAAKTKGVFINPAFIEPFGLTLIEAAAYGLPIVATKNGGPVDIHKVLDNGVLVDPHDQQSIADALLKLVSDKQLWARCRQNGLKNIHQFSWPEHCKTYLSRIAMCKARQPQWQRSNVGFEYPEPNSPGDSLRDIQDLSLNLKLSLDGEKNEGTGTFDNSLDSIDRKSNLENAFLKLSNGIIGGTEKASLMEKAEQNVSGSRFPVLRSKKYIFVIAVDCDSVSDIPKIIKTIMDVAGKENSIGLILSTSLSISEVHSLLISGSISPLDFDALICNSGGDLYYPSTSSEDGTGLPFTVDLDYQSHIEYHWGGEGLRKTLVRWATSVNEKKGQIISEDESRSTVHCYTFDVKEPESIPPVKELRKLMRIQALRCNVIYCQNGTILNVIPVLASRAQALRYLYIRWGMELSGIVVFAGECGDTDYEGLLRGVHKTVILKGIGNTALKLHSNRTYPLDHVLPFDSPNIHHAEGCSNEEIRSSLRKLQVSKE >KJB65850 pep chromosome:Graimondii2_0_v6:10:22615407:22621150:-1 gene:B456_010G116100 transcript:KJB65850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDWINSYLEAILDVGPGIDEAKSSLLLRERGHFSPTRYFVEEVITGFDETDLHRSWIRAAATRGPKERNTRLENMCWRIWNLARKKKQLEGEEVQRNAKRHLERERGRREATADMSEDLSEGEKGDFPGDGSAHGDSVQGRMRRIVSVDLMENLANQIKEKKLYIVLISLHGLLRGENMELGRDSDTGGQVKYVVELARALGTMPGIYRVDLLTRQVSAPDVDWSYAEPTEMLTPRTTESSMQELGESSGAYIIRIPFGPKDKYIPKELLWPHIPEFVDCALSHIRQMSKVLGEQIGGGQPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLDQILKQGRQSRDEINTTYKIMRRIEAEELSLDSSEIVITSTRQEIEEQWRLYDGFDPVLERKLRARIKRGVNCHGRFMPRMVVIPPGMEFHHIVPHEGDMDGDAERNEEDPTPDPPIWSEVMRFFTNPHKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDNIDEMSGTNATVLLSILKLIDKYDLYGQVAYPKHHKQYEVPDIYGLAAKTKGVFINPAFIEPFGLTLIEAAAYGLPIVATKNGGPVDIHKVLDNGVLVDPHDQQSIADALLKLVSDKQLWARCRQNGLKNIHQFSWPEHCKTYLSRIAMCKARQPQWQRSNVGFEYPEPNSPGDSLRDIQDLSLNLKLSLDGEKNEGTGTFDNSLDSIDRKSNLENAFLKLSNGIIGGTEKASLMEKAEQNVSGSRFPVLRSKKYIFVIAVDCDSVSDIPKIIKTIMDVAGKENSIGLILSTSLSISEVHSLLISGSISPLDFDALICNSGGDLYYPSTSSEDGTGLPFTVDLDYQSHIEYHWGGEGLRKTLVRWATSVNEKKGQIISEDESRSTVHCYTFDVKEPESIPPVKELRKLMRIQALRCNVIYCQNGTILNVIPVLASRAQALRYLYIRWGMELSGIVVFAGECGDTDYEGLLRGVHKTVILKGIGNTALKLHSNRTYPLDHVLPFDSPNIHHAEGCSNEEIRSSLRKLQVSKE >KJB65777 pep chromosome:Graimondii2_0_v6:10:21667464:21671484:1 gene:B456_010G113000 transcript:KJB65777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETESQALPTKRHHHHQNDDSEGQEDDDEEEEEEDGLENEEEEEGASRVGESQGAGAAPPSDDAGLKHSQLDTLPNDDPPDGLQVNSTSESKEGSEFKEKVNIADQEVSPSLAVLSAEAPTSLSQQAPTSVTQGISSAPTPTLQEQSLSVQKVNGASVPKANQQKSYNLKMVSVVPIVKTPVSDGYNWRKYGQKQVKSPKGSRSYYKCTFSDCQAKKIECSDDTGHVIEVVNKGMHSHKPPRKNNFTRESKIVSSAARASHNIQPIRIFNDSDPSTSSKESVPETTVNPERKGLFSCGSDGNGDVQVKEELTSEPETKKRQAFLMKKGNTVCSDSVLKARKKPRFVVHAAGDVGISGDGYRWRKYGQKMVKGNPNPRNYYRCTSAGCPVRKHIETAVDNTNAIIITYKGVHDHDMPVPKKRHGQPIAPLVAAAAPASMNNLQHKKTEGGQSQVTSTQWSVGTEGELTGEALDLGGEKAIESARTLLSIGFEIKPC >KJB65776 pep chromosome:Graimondii2_0_v6:10:21667464:21671276:1 gene:B456_010G113000 transcript:KJB65776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETESQALPTKRHHHHQNDDSEGQEDDDEEEEEEDGLENEEEEEGASRVGESQGAGAAPPSDDAGLKHSQLDTLPNDDPPDGLQVNSTSESKEGSEFKEKVNIADQEVSPSLAVLSAEAPTSLSQQAPTSVTQGISSAPTPTLQEQSLSVQKVNGASVPKANQQKSYNLKMVSVVPIVKTPVSDGYNWRKYGQKQVKSPKGSRSYYKCTFSDCQAKKIECSDDTGHVIEVVNKGMHSHKPPRKNNFTRESKIVSSAARASHNIQPIRIFNDSDPSTSSKESVPETTVNPERKGLFSCGSDGNGDVQVKEELTSEPETKKSMKKGNTVCSDSVLKARKKPRFVVHAAGDVGISGDGYRWRKYGQKMVKGNPNPRNYYRCTSAGCPVRKHIETAVDNTNAIIITYKGVHDHDMPVPKKRHGQPIAPLVAAAAPASMNNLQHKKTEGGQSQVTSTQWSVGTEGELTGEALDLGGEKAIESARTLLSIGFEIKPC >KJB65775 pep chromosome:Graimondii2_0_v6:10:21667464:21670188:1 gene:B456_010G113000 transcript:KJB65775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETESQALPTKRHHHHQNDDSEGQEDDDEEEEEEDGLENEEEEEGASRVGESQGAGAAPPSDDAGLKHSQLDTLPNDDPPDGLQVNSTSESKEGSEFKEKVNIADQEVSPSLAVLSAEAPTSLSQQAPTSVTQGISSAPTPTLQEQSLSVQKVNGASVPKANQQKSYNLKMVSVVPIVKTPVSDGYNWRKYGQKQVKSPKGSRSYYKCTFSDCQAKKIECSDDTGHVIEVVNKGMHSHKPPRKNNFTRESKIVSSAARASHNIQPIRIFNDSDPSTSSKESVPETTVNPERKGLFSCGSDGNGDVQVKEELTSEPETKKSMKKGNTVCSDSVLKARKKPRFVVHAAGDVGISGDGYRWRKYGQKMVKGNPNPRCLFTSHIFP >KJB65778 pep chromosome:Graimondii2_0_v6:10:21667464:21671276:1 gene:B456_010G113000 transcript:KJB65778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETESQALPTKRHHHHQNDDSEGQEDDDEEEEEEDGLENEEEEEGASRVGESQGAGAAPPSDDAGLKHSQLDTLPNDDPPDGLQVNSTSESKEGSEFKEKVNIADQEVSPSLAVLSAEAPTSLSQQAPTSVTQGISSAPTPTLQEQSLSVQKVNGASVPKANQQKSYNLKMVSVVPIVKTPVSDGYNWRKYGQKQVKSPKGSRSYYKCTFSDCQAKKIECSDDTGHVIEVVNKGMHSHKPPRKNNFTRESKIVSSAARASHNIQPIRIFNDSDPSTSSKESVPETTVNPERKGLFSCGSDGNGDVQVKEELTSEPETKKRQAFLMKKGNTVCSDSVLKARKKPRFVVHAAGDVGISGDGYRWRKYGQKMVKGNPNPRNYYRCTSAGCPVRKHIETAVDNTNAIIITYKGVHDHDMPVPKKRHGQPIAPLVAAAAPASMNNLQHKKTEGGQSQVTSTQWSVGTEGELTGEALDLGGEKAIESARTLLSIGFEIKPC >KJB68158 pep chromosome:Graimondii2_0_v6:10:60085261:60089101:1 gene:B456_010G229200 transcript:KJB68158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKAEKLIEDSPRQMNNLCLGSCASKNFLSSYKFGKNVTKMLQEINDHVSKGAFKKVAESKPSASVVVRPEEQPIGLESTIEKVWSCIVDKDVGIIGLYGLGGVGKTTLLTQINNKFSTTPDKFDVVIWALVSKDYNVAKIQDKIGGNIGFWDTSWKSKSVDEKAVDIYGVLRNKRFVILLDDLWETVDLNKVGIPKPSQENGSKLIFTARSLEVCGEMEARKRIKVECLEPEKAWELFQAKVGDETLNSHPNIWKLAEQAVERCGGLPLALITIGHAMACKTTPMEWKYAIEMLKQSTLPKMKNEVFPLLKFSYDNLPNATMKCCLLYCCLYRDDYRIPRKELVEHWFCEGLLNEFDRFSEAQMQGDHIINSLLNACLLERVGEDYVKMHDVIREMALWIACELEVKENNFFVKAGAQLLEEPDAKTWEGAKRMSIMSNQMKVLRETPKCPNLRTLFLRENNLQVISDGFFQFMPHLTVLNLSRNYGLEELPKGISQLISLECLDLSSTGIRELPIELKSLTKLKMLDLSNMLFIGKMKIPRQLISSFSKLQVFKLRPLINRDYPDEEEDNVLNGVNENLIKELKCLQHLNVLSIPPIKSVFALERFLSFNLFRCSIETLELLEFRDSNVFNVLCLENMARLEKLCFKDCASMEEIKIEKLLSSVSSNINYISQFHTLSHVIIDGCGKLTDTTWLIFVPNLRFLSISWCFKMEEILSKGKLGEVADKVGIPYPKPFLKLEMLKLCVLPELKSIYWDVLPFPFLKHFCVWGDCSKLKKLPLNSDSAKGNHITIEGRKDWWEEVEWENETTRDIFLPSLRFPPY >KJB66653 pep chromosome:Graimondii2_0_v6:10:40421721:40422891:1 gene:B456_010G150300 transcript:KJB66653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNTKSTFILAILMMAAAAVCAATGPDFFDPFSSSSDQKRKTHQNQVGGDDGFFGPDDFDIPGFEKGWGNGIMGGGYGGGFGGPSGSFSKGGIVRPSLVCKEKGPCYNKKLTCPAKCFTSFSRSGKGYGVGGGGGGCNMDCKEKCIAYC >KJB66758 pep chromosome:Graimondii2_0_v6:10:43765748:43767323:1 gene:B456_010G156800 transcript:KJB66758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSITIEDKVVKAQIWDTAGQERYRAVTSAYYRGAVGALLVYDATRHVTFENIERWLKELRDHTDGSIVIMLLGNKSDLRHLRAVLTEDAKAFAEKEKTYFMETSALESLNVESAFTEVLTQIYHVVSKKALEGGNIQATLPKGQMINVGSKDDVSAIKKGGCC >KJB65640 pep chromosome:Graimondii2_0_v6:10:19047221:19047955:1 gene:B456_010G1044001 transcript:KJB65640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QKSGPAYEAKKIILGKDFWKKANDLIKVYKPLVKVLRLVDSDEKPTMGFIYEAVDRVKRAIQQDCRYFTEYEKIIDNRWNFMHSDLHSAETLEGTRSVIERLEPSLDTQVRMVNQLLLFRDKHETFGTPQAQRAWKQMNPGKQLIKLFNLIYII >KJB66446 pep chromosome:Graimondii2_0_v6:10:33862149:33868308:-1 gene:B456_010G140500 transcript:KJB66446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLHLVSSSSVNSFMVDRWKTQKGPQPAVTYPGKPFRGRSFSGSNVQHQFRNKTFAGKINKFSEVSSNSSYHCSITCNDSSAVTSKGFNAMFIDCSKENKSKRRNSQKRTKKKGKHKKKQFCDVGSTESEVYPCNGSVVPTQVQKFPREHHINNSKIGSEDQQLSWCQGAIERKHLSHVGSLEGLHEKDFSDMHNSLALDSISVGSNSEDSTSAGHILKPFNDNIHEISQSELPGSGTKKDSYHQKSSCSTIETHEYMGESKHGLAHSSLGGQMVASGKRGKKFKCIPGSPTTCKPGTMGSLHGRMITVNSQSVWQRVQKNPVEKCRTELKKTGPICSEFDVSLKDAPVLKRNPNATNVTNLSITDGKRKPKSMVPRKFKRKVTPASKQENNSFSRKECHPNKVNLNAHVKTSMPKSAITCFKSYVDCQRLGTMKTESVNNFQASPSSAEPCDTVCGTGLGLNNLCVENQDSLLQKSFVPLDQLNLLEEQTPVHVPHLMVNSVAQIEKGISLAENGKESHTSGSDRGLKTSSKSANLSLEHANGPDAEDWTSKNTFEENITSFSENLSSSVNARTLCRIGKDPGHGISFLENGNNIKKLRNLDACVNENENNHSGADYLIDETREQDLSAVATDLNKMAKALDD >KJB68222 pep chromosome:Graimondii2_0_v6:10:60406210:60406611:-1 gene:B456_010G2332002 transcript:KJB68222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTAGVALAGLLGTVRAQGRSLDDFPNHKIVVVGAGSAGLGVLSMAVQAVVRMTGNADTAAQNFFLLDKDVQFCTSFLAFFILFVQSFYVLLDM >KJB63345 pep chromosome:Graimondii2_0_v6:10:10411347:10411653:-1 gene:B456_010G073500 transcript:KJB63345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFDTNFQYYIMFEVLEVSWPDFSNEMKVAKDLDDILAAHEKYLHSIVKLSIRMGLRSCCSYLRHLFVQDLFVFR >KJB63344 pep chromosome:Graimondii2_0_v6:10:10407849:10413704:-1 gene:B456_010G073500 transcript:KJB63344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFDTNFQYYIMFEVLEVSWPDFSNEMKVAKDLDDILAAHEKYLHSIVKLSIRMGLRSCCSYLRHLFVQDLFVFSLFGMLQLI >KJB63342 pep chromosome:Graimondii2_0_v6:10:10411347:10411653:-1 gene:B456_010G073500 transcript:KJB63342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFDTNFQYYIMFEVLEVSWPDFSNEMKVAKDLDDILAAHEKYLHSIVKLSIRMGLRSCCSYLRHLFVQDLFVFR >KJB63343 pep chromosome:Graimondii2_0_v6:10:10410561:10413704:-1 gene:B456_010G073500 transcript:KJB63343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFDTNFQYYIMFEVLEVSWPDFSNEMKVAKDLDDILAAHEKYLHSIVKLSIRMGLRSCCSYLRHLFVQDLFVFSSVQVLDLIFQGYWCFLTALTIDMSKGCIFVPYFSIC >KJB65271 pep chromosome:Graimondii2_0_v6:10:13314718:13315473:1 gene:B456_010G0871002 transcript:KJB65271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCSFLAAKQKINFFFLWTMIGVGKIKQYSNIIEKPLSKGKQE >KJB68226 pep chromosome:Graimondii2_0_v6:10:60433351:60435931:-1 gene:B456_010G233500 transcript:KJB68226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCCLVQIGLENFIIRGLDSIAGHVNYICKLKQTLPTLSAALQELTAQRNDRQSQVDLAERQLKPFEQVQLWLSKAETMITEAEKLIEDGLQQMNNLCLGGLAEMLQEISDHKSTGVFEKVAENQPAASVVVRPVEQPVALESTIQKVWSCIADKDVGIIGLYGLGGVGTTTLLTKLNNKFSTTPNVFEVVIWALVSKDSDVGKIQDRIGGNFGFSDDSFESVDQKAPDIYGVLRNKRFIVLLDDLWERVDLNQVGIPKPSQENGSKLIFTTRSLEVCGEMEAQKKIKVKCLETEKAWELFRDKVGDETLNNHPDIPNLAKQVAKRCGGLPLALITIGHAMVCKKTIGEWKYAIEVLKRCALPKLENEEFLFLKFSMKCCLLYCCLHPEDYCIPKRRLMEYWFCERLLNEFDRISEAQMPGDNIICPLISACLLENDGEIDGEDCVKMHDVIRGITLWITRKFEATENNFFVTAGAQLFQEPDVKPWENVKRMSVMENKIEVLNKTPKCPSL >KJB66782 pep chromosome:Graimondii2_0_v6:10:44473312:44474022:1 gene:B456_010G158100 transcript:KJB66782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENQETTNGWPLGLQIMAMKLRLQERIQYSTPAVEPHSPHIPSPSFSSFCSSSNIDTESTASFFQDNNISLGKLIGFRQRDTGSVLHLQGTIGAEQNTIVSGVCNCNDVSRRHCGDLCGQGQGICIPMILGTLVKITRSRSKSK >KJB66703 pep chromosome:Graimondii2_0_v6:10:41842854:41844494:1 gene:B456_010G153100 transcript:KJB66703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEDNEGVVMANDFFWSYTDEPHASRRRQILSQYPQIKELFGPDPFAFFKIAVVVSLQLCTAIILHDAGWLKMLAIAYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRWLGIFANLPIGVPMSITFQKYHLEHHRFQGVDGIDMDVPSCTEAQFVTSTLSKAIWVIFQLFFYALRPLFLKPKPPGYWEFINLAIQVALDGILLYFWGWKSFAYLILSTFVGGGMHPMAGHFISEHYVFNPEQETYSYYGPLNLMTWCVGYHNEHHDFPRIPGNKLHKVKEIAPEYYEGLDSYKSWSQVIYMYIMDRSIGPFSRMKRKLSKKSA >KJB66844 pep chromosome:Graimondii2_0_v6:10:45548651:45550913:-1 gene:B456_010G160200 transcript:KJB66844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAILSFQSLSRFAPSFPFNKQLKLRKPVLSLTEIADKSAPFSSLKPTLSSITSDGNTKGSGPKKSSNNAPGSKEIDENEISSSGIKAPKSSSKEEMIALFRRIQSSISKGKPGRAETESFSSSEDKSTAESVMDVIRESGMNVKDTRSKKGTKALRWRSSISKKSKVEKKATAETEEFNLSRPPSNFIKRSPIPHPTAHRTKTPERNNEVVSTDGKGSELANMEKLKLSELKELAKARGIKGYSRLRKTELLQLLMS >KJB67522 pep chromosome:Graimondii2_0_v6:10:55471874:55475874:-1 gene:B456_010G195000 transcript:KJB67522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLPPSTSSALRKTRPSPYFYTFLTFTVFVLILYCEDLLSVFDRNELVPIKPIPETGKEKETKSTSQLPFVIGEPEETGKKEETESTSKLPFARGEPEETGKKKEAKSTSKLPFAIGETEEGCDIFSGRWVRDELTRPLYEESECPYIQPQLTCQEHGRPDKEYQKWRWQPYGCDLPRFNATLILESLRGKRMMFVGDSLNRGQYVSMVCLLHRILPPNAKSLESSIDQSLTVFRAKDYNATIEFNWAPFLLESNSDNAIVHRVDDRMVKKGSINKHGKNWKGVDVLVFNTYLWWMTGRKMKFLKGKGSFKDEKKDIEELTTEDAYRVAMKSMLKWARKNMDRNKTRVFFTSMSPLHIKSQEWGGEPGGNCYNETTLIEDSNYWGSENKNIMQVIAEEFGKSKYPITFLNITQLSSYRKDAHTSIYKKQWSPLTPEQLANPISYADCVHWCLPGLQDTWNELLFTKLLYPS >KJB67523 pep chromosome:Graimondii2_0_v6:10:55471913:55475825:-1 gene:B456_010G195000 transcript:KJB67523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLPPSTSSALRKTRPSPYFYTFLTFTVFVLILYCEDLLSVFDRNELVPIKPIPETGKEKETKSTSQLPFVIGEPEETGKKKEAKSTSKLPFAIGETEEGCDIFSGRWVRDELTRPLYEESECPYIQPQLTCQEHGRPDKEYQKWRWQPYGCDLPRFNATLILESLRGKRMMFVGDSLNRGQYVSMVCLLHRILPPNAKSLESSIDQSLTVFRAKDYNATIEFNWAPFLLESNSDNAIVHRVDDRMVKKGSINKHGKNWKGVDVLVFNTYLWWMTGRKMKFLKGKGSFKDEKKDIEELTTEDAYRVAMKSMLKWARKNMDRNKTRVFFTSMSPLHIKSQEWGGEPGGNCYNETTLIEDSNYWGSENKNIMQVIAEEFGKSKYPITFLNITQLSSYRKDAHTSIYKKQWSPLTPEQLANPISYADCVHWCLPGLQDTWNELLFTKLLYPS >KJB67508 pep chromosome:Graimondii2_0_v6:10:55772672:55773852:1 gene:B456_010G197000 transcript:KJB67508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLSCCFTLALFMVILMASPSSSLANMNVIDKCWRRNPLWGSQRQQLAKCSVGFVGKMINNIGKDVVKYKVIDPSDDPLIPKSGTLRYGTKMIKGKVWITFKNSMTITLQRPLLLSSFTAIDGRGVDVHITGAGCLLVYQATDIIIHGLHIHHCKAQPPSTVMGPNAKVIPLGQMDGDAIRLVTTRKVWIDHNTLHECQDGLLDVTRGSTNITVSNNWFRNQDKVMLLGHDDGHLRDKNMKVTVIFNHFGPKCNQRMPRVRHGYAHVANNFYQGWEQYAIGGSMSPSIKSEANFFVAPNDVGNKEVTWRKGEKGLWKFYSVGDQTGVGGAKPNYNQEQNFKVVKVYLLRN >KJB64996 pep chromosome:Graimondii2_0_v6:10:10642628:10645770:-1 gene:B456_010G075200 transcript:KJB64996 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS2-associated factor 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G20020) UniProtKB/Swiss-Prot;Acc:Q9SL79] MALKLPISFPIFSPPSPTPYTNTNEPGHRPPTEIRFSRWNNANAEKFNQRRRAQQEIEDDIRRYRRFDSATKIATTVEPSSSSTPQPTETYKSFGSPSSPSSPSIPGKKSKYSKPPNHPAFRKFSKAANPPPPSPLDKKPANVAIGEDGVSFVIDGAPFEFKYSYTETPKVKPVKLREPPYSPFGPTTMPRPWTGRAPLPPSKKKMKEFDSFVLPPPEKKGVKSIQKPGPYLPGTGPRYVQSREEILGEPLTAEEVKELVNSCLKSQRQLNMGRDGLTHNMLDNIHAHWKRRRVCKIKCKGVCTVDMNNICEQLEERTGGKVIFRRGGVLFLFRGRNYNYKTRPRFPLMLWKPVTPVYPRLIPRVPEGLTPQEATEMRKKGRKLMPIRKLAKNGVYADLVKNVREAFEECELVRINCQGIKGSDYKKIGAKLKELVPCVLISFEDEHILMWRGNNWKSSFSKPSSNSGIEKTNADTVSITGQLEGQELSPTYVQTAGTGSPLSSSQDNSIEQRESVENDQTNVSPTAKSGIMEASQTTLDGMDYAGHESESKVNTSGSAIADDIKSAGGESETLTMTYGLEHILDNPGRANEEPSAMLMESHVGPRSPGSSQSHSESSVIDSINHDQLEIVAEASLDINRPARMSAPCTERVLHLMKQAVESGSAVVLDDPTLDADGIYQRSVAFSRSAPPGPVFRRQPRKMSIQKNKELEPGNLEMKEVTAVPHKRGNEKQASKPRRIKVIAEHHPEVVPKGSLRVDELAKLLA >KJB64997 pep chromosome:Graimondii2_0_v6:10:10642662:10645712:-1 gene:B456_010G075200 transcript:KJB64997 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS2-associated factor 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G20020) UniProtKB/Swiss-Prot;Acc:Q9SL79] MALKLPISFPIFSPPSPTPYTNTNEPGHRPPTEIRFSRWNNANAEKFNQRRRAQQEIEDDIRRYRRFDSATKIATTVEPSSSSTPQPTETYKSFGSPSSPSSPSIPGKKSKYSKPPNHPAFRKFSKAANPPPPSPLDKKPANVAIGEDGVSFVIDGAPFEFKYSYTETPKVKPVKLREPPYSPFGPTTMPRPWTGRAPLPPSKKKMKEFDSFVLPPPEKKGVKSIQKPGPYLPGTGPRYVQSREEILGEPLTAEEVKELVNSCLKSQRQLNMGRDGLTHNMLDNIHAHWKRRRVCKIKCKGVCTVDMNNICEQLEERTGGKVIFRRGGVLFLFRGRNYNYKTRPRFPLMLWKPVTPVYPRLIPRVPEGLTPQEATEMRKKGRKLMPIRKLAKNGVYADLVKNVREAFEECELVRINCQGIKGSDYKKIGAKLKELVPCVLISFEDEHILMWRGNNWKSSFSKPSSNSGIEKTNADTVSITGQLEGQELSPTYVQTAGIMEASQTTLDGMDYAGHESESKVNTSGSAIADDIKSAGGESETLTMTYGLEHILDNPGRANEEPSAMLMESHVGPRSPGSSQSHSESSVIDSINHDQLEIVAEASLDINRPARMSAPCTERVLHLMKQAVESGSAVVLDDPTLDADGIYQRSVAFSRSAPPGPVFRRQPRKMSIQKNKELEPGNLEMKEVTAVPHKRGNEKQASKPRRIKVIAEHHPEVVPKGSLRVDELAKLLA >KJB66099 pep chromosome:Graimondii2_0_v6:10:27713426:27729144:1 gene:B456_010G128200 transcript:KJB66099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPQIAVLGAGIFVKTQYIPRLAEISHLFCLKYIWSRSEESSRRAVEIAKQHFPGVECKWGDQGLNDIMQDSSLIGTAVVLAGQVQVDISLKLLKAGKHVIQEKPAATSIPEIETALASYKSVSTNPGQPIWAVAENYRFEPAFVESKKLVAGVGDMMNVQVIIEGSMNSSNPYFSSSWRRNFEGGFILDMGVHFIAGLRMIVGCEVTSVSAITSHIDRTLPPPDIISSNFKLENGCSGVFVMVVSSSSPKIIWRVVGSKGTVQVERGKVDGKHGYLVSLYSADGQCKSTFHPFCGVHEELKIFIHDIVQANLKQGNGYEAEPRSSFVEGARDVAVLEAMLESGSKGGTLVNVKKF >KJB66098 pep chromosome:Graimondii2_0_v6:10:27713426:27729144:1 gene:B456_010G128200 transcript:KJB66098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPQIAVLGAGIFVKTQYIPRLAEISHLFCLKYIWSRSEESSRRAVEIAKQHFPGVECKWGDQGLNDIMQDSSLIGTAVVLAGQVQVDISLKLLKAGKHVIQEKPAATSIPEIETALASYKSVSTNPGQPIWAVAENYRFEPAFVEKLVAGVGDMMNVQVIIEGSMNSSNPYFSSSWRRNFEGGFILDMGVHFIAGLRMIVGCEVTSVSAITSHIDRTLPPPDIISSNFKLENGCSGVFVMVVSSSSPKIIWRVVGSKGTVQVERGKVDGKHGYLVSLYSADGQCKSTFHPFCGVHEELKIFIHDIVQANLKQGNGYEAEPRSSFVEGARDVAVLEAMLESGSKGGTLVNVKKF >KJB66097 pep chromosome:Graimondii2_0_v6:10:27713426:27729144:1 gene:B456_010G128200 transcript:KJB66097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPQIAVLGAGIFVKTQYIPRLAEISHLFCLKYIWSRSEESSRRAVEIAKQHFPGVECKWGDQGLNDIMQDSSLIGTAVVLAGQVQVDISLKLLKAGKHVIQEKPAATSIPEIETALASYKSVSTNPGQPIWAVAENYRFEPAFVESKKLVAGVGDMMNVQVIIEGSMNSSNPYFSSSWRRNFEGGFILDMGVHFIAGLRMIVGCEVTSVSAITSHIDRTLPPPDIISSNFKLENGCSGVFVMVVSSSSPKIIWRVVGSKGTVQVERGKVDGKHGYLVSLYSADGQCKSTFHPFCGVHEELKIFIHDIVQANLKGNGYEAEPRSSFVEGARDVAVLEAMLESGSKGGTLVNVKKF >KJB66094 pep chromosome:Graimondii2_0_v6:10:27714123:27728995:1 gene:B456_010G128200 transcript:KJB66094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSSLIGTAVVLAGQVQVDISLKLLKAGKHVIQEKPAATSIPEIETALASYKSVSTNPGQPIWAVAENYRFEPAFVESKKLVAGVGDMMNVQVIIEGSMNSSNPYFSSSWRRNFEGGFILDMGVHFIAGLRMIVGCEVTSVSAITSHIDRTLPPPDIISSNFKLENGCSGVFVMVVSSSSPKIIWRVVGSKGTVQVERGKVDGKHGYLVSLYSADGQCKSTFHPFCGVHEELKIFIHDIVQANLKQGNGYEAEPRSSFVEGARDVAVLEAMLESGSKGGTLVNVKKF >KJB66100 pep chromosome:Graimondii2_0_v6:10:27715138:27729144:1 gene:B456_010G128200 transcript:KJB66100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNVQVIIEGSMNSSNPYFSSSWRRNFEGGFILDMGVHFIAGLRMIVGCEVTSVSAITSHIDRTLPPPDIISSNFKLENGCSGVFVMVVSSSSPKIIWRVVGSKGTVQVERGKVDGKHGYLVSLYSADGQCKSTFHPFCGVHEELKIFIHDIVQANLKQGNGYEAEPRSSFVEGARDVAVLEAMLESGSKGGTLVNVKKF >KJB66101 pep chromosome:Graimondii2_0_v6:10:27716125:27729144:1 gene:B456_010G128200 transcript:KJB66101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKVGCFYFLGIPEIETALASYKSVSTNPGQPIWAVAENYRFEPAFVESKKLVAGVGDMMNVQVIIEGSMNSSNPYFSSSWRRNFEGGFILDMGVHFIAGLRMIVGCEVTSVSAITSHIDRTLPPPDIISSNFKLENGCSGVFVMVVSSSSPKIIWRVVGSKGTVQVERGKVDGKHGYLVSLYSADGQCKSTFHPFCGVHEELKIFIHDIVQANLKQGNGYEAEPRSSFVEGARDVAVLEAMLESGSKGGTLVNVKKF >KJB66096 pep chromosome:Graimondii2_0_v6:10:27713426:27729144:1 gene:B456_010G128200 transcript:KJB66096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPQIAVLGAGIFVKTQYIPRLAEISHLFCLKYIWSRSEESSRRAVEIAKQHFPGVECKWGDQGLNDIMQDSSLIGTAVVLAGQVQVDISLKLLKAGKHVIQGIPEIETALASYKSVSTNPGQPIWAVAENYRFEPAFVESKKLVAGVGDMMNVQVIIEGSMNSSNPYFSSSWRRNFEGGFILDMGVHFIAGLRMIVGCEVTSVSAITSHIDRTLPPPDIISSNFKLENGCSGVFVMVVSSSSPKIIWRVVGSKGTVQVERGKVDGKHGYLVSLYSADGQCKSTFHPFCGVHEELKIFIHDIVQANLKQGNGYEAEPRSSFVEGARDVAVLEAMLESGSKGGTLVNVKKF >KJB66095 pep chromosome:Graimondii2_0_v6:10:27713426:27729144:1 gene:B456_010G128200 transcript:KJB66095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPQIAVLGAGIFVKTQYIPRLAEISHLFCLKYIWSRSEESSRRAVEIAKQHFPGVECKWGDQGLNDIMQDSSLIGTAVVLAGQVQVDISLKLLKAGKHVIQEKPAATSIPEIETALASYKSVSTNPGQPIWAVAENYRFEPAFVESKKLVAGVGDMMNVQVIIEGSMNSSNPYFSSSWRRNFEVCFILDMGVHFIAGLRMIVGCEVTSVSAITSHIDRTLPPPDIISSNFKLENGCSGVFVMVVSSSSPKIIWRVVGSKGTVQVERGKVDGKHGYLVSLYSADGQCKSTFHPFCGVHEELKIFIHDIVQANLKQGNGYEAEPRSSFVEGARDVAVLEAMLESGSKGGTLVNVKKF >KJB63512 pep chromosome:Graimondii2_0_v6:10:1416317:1418324:1 gene:B456_010G0184001 transcript:KJB63512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SDVLGQFMPLVGIDWSQTGVAHLFSAIKENGYQLLFLSARAIAQAYITRQFLVNLKQDGKALPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEEIKALFPSDCNPFYAGFGNRDTDEISYVKVGIPKGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPTTCSEQ >KJB63511 pep chromosome:Graimondii2_0_v6:10:1416317:1416882:1 gene:B456_010G0184001 transcript:KJB63511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SDVLGQFMPLVGIDWSQTGVAHLFSAIKENGYQLLFLSARAIAQAYITRQFLVNLKQVIYASLM >KJB63514 pep chromosome:Graimondii2_0_v6:10:1416317:1418381:1 gene:B456_010G0184001 transcript:KJB63514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SDVLGQFMPLVGIDWSQTGVAHLFSAIKENGYQLLFLSARAIAQAYITRQFLVNLKQDGKALPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEEIKALFPSDCNPFYAGFGNRDTDEISYVKVGIPKGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPTTCSEQVLLRFLFPLSHNDYWYWVV >KJB63513 pep chromosome:Graimondii2_0_v6:10:1416318:1418381:1 gene:B456_010G0184001 transcript:KJB63513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SDVLGQFMPLVGIDWSQTGVAHLFSAIKENGYQLLFLSARAIAQAYITRQFLVNLKQDGKALPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEEIKALFPSDCNPFYAGFGNRDTDEISYVKVGIPKGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPTTCSEQVLLRFLFPLSHNDYWYWVV >KJB64033 pep chromosome:Graimondii2_0_v6:10:2727898:2731777:-1 gene:B456_010G031600 transcript:KJB64033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEGIQSNVTLLGSSGSMESVCQNSAELKERNYMGLSDCSSVDSSVFSPPSEERKASLNLKATELRLGLPGLQSPERNPELCLLSSSQLDEKPFFPLHPSSDGHCFASQKNVVSGNKRGFSDAMDGFSEGKFLSDSKVDVMLSPRPSSNFGAQPMKAKEITSQNVVHDRPHAADKTRSFRKNSLASASKNTDEVDGKAGPGALFVKVSMDGAPYLRKVDLKNYTKYQELSSALEKMFSCFTIGQYGSHGTSGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDTCKRLRIMKSSDAIGLAPRAMEKCRNRN >KJB64029 pep chromosome:Graimondii2_0_v6:10:2728323:2730809:-1 gene:B456_010G031600 transcript:KJB64029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEGIQSNVTLLGSSGSMESVCQNSAELKERNYMGLSDCSSVDSSVFSPPSEERKASLNLKATELRLGLPGLQSPERNPELCLLSSSQLDEKPFFPLHPSSDGHCFASQKNVVSGNKRGFSDAMDGFSEGKFLSDSKVDVMLSPRPSSNFGAQPMKAKEITSQNVVHDRPHAADKTRPNPNASANNNSGAPANKAQVVGWPPIRSFRKNSLASASKNTDEVDGKAGPGALFVKVSMDGAPYLRKVDLKNYTKYQELSSALEKMFSCFTIGQYGSHGTSGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDTCKRLRIMKSSDAIGLAPRAMEKCRNRN >KJB64030 pep chromosome:Graimondii2_0_v6:10:2728323:2730809:-1 gene:B456_010G031600 transcript:KJB64030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEGIQSNVTLLGSSGSMESVCQNSAELKERNYMGLSDCSSVDSSVFSPPSEERKASLNLKATELRLGLPGLQSPERNPELCLLSSSQLDEKPFFPLHPSSDGHCFASQKNVVSGNKRGFSDAMDGFSEGKFLSDSKVDVMLSPRPSSNFGAQPMKAKEITSQNVVHDRPHAADKTRPNPNASANNNSGAPANKAQVVGWPPIRSFRKNSLASASKNTDEVDGKAGPGALFVKVSMDGAPYLRKVDLKNYTKYQELSSALEKMFSCFTIGQYGSHGTSGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDTCKRLRIMKSSDAIGLAPRAMEKCRNRN >KJB64034 pep chromosome:Graimondii2_0_v6:10:2729167:2731777:-1 gene:B456_010G031600 transcript:KJB64034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEGIQSNVTLLGSSGSMESVCQNSAELKERNYMGLSDCSSVDSSVFSPPSEERKASLNLKATELRLGLPGLQSPERNPELCLLSSSQLDEKPFFPLHPSSDGHCFASQKNVVSGNKRGFSDAMDGFSEGKFLSDSKVDVMLSPRPSSNFGAQPMKAKEITSQNVVHDRPHAADKTRPNPNASANNNSGAPANKAQVVGWPPIRSFRKNSLASASKNTDEVDGKAGPGALFVKVSMDGAPYLRKVDLKNYTKYQELSSALEKMFSCFTIGELLCMYFISCSYSYYFVCVDPVTAI >KJB64031 pep chromosome:Graimondii2_0_v6:10:2727886:2731783:-1 gene:B456_010G031600 transcript:KJB64031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEGIQSNVTLLGSSGSMESVCQNSAELKERNYMGLSDCSSVDSSVFSPPSEERKASLNLKATELRLGLPGLQSPERNPELCLLSSSQLDEKPFFPLHPSSDGHCFASQKNVVSGNKRGFSDAMDGFSEGKFLSDSKVDVMLSPRPSSNFGAQPMKAKEITSQNVVHDRPHAADKTRPNPNASANNNSGAPANKAQVVGWPPIRSFRKNSLASASKNTDEVDGKAGPGALFVKVSMDGAPYLRKVDLKNYTKYQELSSALEKMFSCFTIGQYGSHGTSGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDTCKRLRIMKSSDAIGLAPRAMEKCRNRN >KJB64027 pep chromosome:Graimondii2_0_v6:10:2727898:2731683:-1 gene:B456_010G031600 transcript:KJB64027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEGIQSNVTLLGSSGSMESVCQNSAELKERNYMGLSDCSSVDSSVFSPPSEERKASLNLKATELRLGLPGLQSPERNPELCLLSSSQLDEKPFFPLHPSSDGHCFASQKNVVSGNKRGFSDAMDGFSEGKFLSDSKVDVMLSPRPSSNFGAQPMKAKEITSQNVVHDRPHAADKTRPNPNASANNNSGAPANKAQVVGWPPIRSFRKNSLASASKNTDEVDGKAGPGALFVKVSMDGAPYLRKVDLKNYTKYQELSSALEKMFSCFTIGQYGSHGTSGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDTCKRLRIMKSSDAIGLAPRAMEKCRNRN >KJB64035 pep chromosome:Graimondii2_0_v6:10:2729744:2730809:-1 gene:B456_010G031600 transcript:KJB64035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEGIQSNVTLLGSSGSMESVCQNSAELKERNYMGLSDCSSVDSSVFSPPSEERKASLNLKATELRLGLPGLQSPERNPELCLLSSSQLDEKPFFPLHPSSDGHCFASQKNVVSGNKRGFSDAMDGFSEGKFLSDSKVDVMLSPRPSSNFGAQPMKAKEITSQNVVHDRPHAADKTRPNPNASANNNSGAPANKAQVVGWPPIRSFRKNSLASASKNTDEVDGKAGPGALFVKVSMDGAPYLRKVDLKNYTKYQELSSALEKMFSCFTIGELLCMYFISCSYSYYFVCVDPVTAI >KJB64032 pep chromosome:Graimondii2_0_v6:10:2727898:2730996:-1 gene:B456_010G031600 transcript:KJB64032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEGIQSNVTLLGSSGSMESVCQNSAELKERNYMGLSDCSSVDSSVFSPPSEERKASLNLKATELRLGLPGLQSPERNPELCLLSSSQLDEKPFFPLHPSSDGHCFASQKNVVSGNKRGFSDAMDGFSEGKFLSDSKVDVMLSPRPSSNFGAQPMKAKEITSQNVVHDRPHAADKTRPNPNASANNNSGAPANKAQVVGWPPIRSFRKNSLASASKNTDEVDGKAGPGALFVKVSMDGAPYLRKVDLKNYTKYQELSSALEKMFSCFTIGQYGSHGTSGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDTCKRLRIMKSSDAIGLAPRAMEKCRNRN >KJB64028 pep chromosome:Graimondii2_0_v6:10:2728323:2730809:-1 gene:B456_010G031600 transcript:KJB64028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVEEEGIQSNVTLLGSSGSMESVCQNSAELKERNYMGLSDCSSVDSSVFSPPSEERKASLNLKATELRLGLPGLQSPERNPELCLLSSSQLDEKPFFPLHPSSDGHCFASQKNVVSGNKRGFSDAMDGFSEGKFLSDSKVDVMLSPRPSSNFGAQPMKAKEITSQNVVHDRPHAADKTRPNPNASANNNSGAPANKAQVVGWPPIRSFRKNSLASASKNTDEVDGKAGPGALFVKVSMDGAPYLRKVDLKNYTKYQELSSALEKMFSCFTIGQYGSHGTSGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDTCKRLRIMKSSDAIGLAPRAMEKCRNRN >KJB67465 pep chromosome:Graimondii2_0_v6:10:54868783:54870829:1 gene:B456_010G191800 transcript:KJB67465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVYEESGCFDPHSLTDCQELMGLPGATPTNCHNSLEDTLNVSSYNHHHHEDASAALDMEPHQHYLLDNTTNNNSHLIPYLTQDLPYDQSHWESFNVVPSSTSPEAAAAAAAAGAYTPTPDLLGLFHLPRCSFLPNPSISFETTPGSPSVVYDPLFHLNLPPQPPVFRELLQSLPHGYTVPADDKDAAGIGGLYHDGDNGIIQFTRDISMAGRAKDRDKAGKTTKHFATERERRVHLNDKYQALRTMVPSPTKNDRASIVGDAIDYIKELLGTVRELQLLVDKKRCGQDRSKRLKTEDAASAADAGDVEFKSLGDPDQCYNTSLRSSWLQRKSKDSEVDVRIVDDEVTIKLVQRKKINCLLFVSRLLDELQLDLLHVAGGNIGDYYSFLFNTKIYEGSSVYACAIANKLIDVVDRQYAAAPPTSSTSL >KJB67464 pep chromosome:Graimondii2_0_v6:10:54868783:54870841:1 gene:B456_010G191800 transcript:KJB67464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVYEESGCFDPHSLTDCQELMGLPGATPTNCHNSLEDTLNVSSYNHHHHEDASAALDMEPHQHYLLDNTTNNNSHLIPYLTQDLPYDQSHWESFNVVPSSTSPEAAAAAAAAGAYTPTPDLLGLFHLPRCSFLPNPSISFETTPGSPSVVYDPLFHLNLPPQPPVFRELLQSLPHGYTVPADDKDAAGIGGLYHDGDNGIIQFTRDISMAGRAKDRDKAGKTTKHFATERERRVHLNDKYQALRTMVPSPTKNDRASIVGDAIDYIKELLGTVRELQLLVDKKRCGQDRSKRLKTEDAASAADAGDVEFKSLGDPDQCYNTSLRSSWLQRKSKDSEVDVRIVDDEVTIKLVQRKKINCLLFVSRLLDELQLDLLHVAGGNIGDYYSFLFNTKIYEGSSVYACAIANKLIDVVDRQYAAAPPTSSTSL >KJB66331 pep chromosome:Graimondii2_0_v6:10:42033460:42036155:-1 gene:B456_010G153500 transcript:KJB66331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRRCLHSALLHATALISLKKSPSLSIVKALTSKPNPGITTSNLEPSWFIPWSYIRHFSSRKSDDSENEAEMEESSDGEGESDEMASDMVRDYSPEEKEAEAAAIGYKVVGPLQRSDRVFKDYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCEVNDKLILNKVLLLGSPTQTIIGRPILPDAAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITAIQGIEKPEIKTDGKPLKAAVKKPEKIAATA >KJB66332 pep chromosome:Graimondii2_0_v6:10:42033482:42036055:-1 gene:B456_010G153500 transcript:KJB66332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRRCLHSALLHATALISLKKSPSLSIVKALTSKPNPGITTSNLEPSWFIPWSYIRHFSSRKSDDSENEAEMEESSDGEGESDEMASDMVRDYSPEEKEAEAAAIGYKVVGPLQRSDRVFKDYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCEVNDKLILNKVLLLGSPTQTIIGIRC >KJB66920 pep chromosome:Graimondii2_0_v6:10:47762174:47762993:1 gene:B456_010G165400 transcript:KJB66920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTLGITGNVLLMERISTRTGKLRPGDVVFFRSPENPRRVVCKRLVGMEGDQVTYVVDPKNSDKCNTIVVQKGHVWVEGDNIYNTKDSRNFGAVPYGLVEGKAFWTLLPRKDFGSLAPKPK >KJB66919 pep chromosome:Graimondii2_0_v6:10:47761950:47763466:1 gene:B456_010G165400 transcript:KJB66919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWSSRVIPIVRGLCLLYVTHKYLGTTVVTYGPSMLPTLGITGNVLLMERISTRTGKLRPGDVVFFRSPENPRRVVCKRLVGMEGDQVTYVVDPKNSDKCNTIVVQKGHVWVEGDNIYNTKDSRNFGAVPYGLVEGKAFWTLLPRKDFGSLAPKPK >KJB65681 pep chromosome:Graimondii2_0_v6:10:20068018:20068907:1 gene:B456_010G108100 transcript:KJB65681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQVSMNPIVTKIQHIPDPIPHLFVTFSLELESNDSFACFNPKVSCACSALSPLFLLGHAGSDMSL >KJB68246 pep chromosome:Graimondii2_0_v6:10:60467878:60469592:1 gene:B456_010G234000 transcript:KJB68246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISAAEEESAQEIHIPADIDWEMLDKSKFFFLGAALFSGVSATLYPVVLVKTRQQVAQVQLSGIGTAFSIVKHGGFQGLYRGFGTSLMGTIPARALYMAALEVTKSNVGSITVKLGFPEPTAAAIANAVAGLTAAMVAQLVWTPIDVVSQRLMVQSSSSQCRYVNGIDAFRKIVNSHGPKGLYRGFGISILTYAPSNAVWWASYSVAQRLVWGGIGCYLKGGGDETIRPDSKTVMAVQGASAAMAGGISALITMPLDTIKTRLQVLDGEENRLRGPTIGQTVRNLVKEGGWLACYRGLGPRWASMSISATTMITTYEFLKRLSAKNQEGLL >KJB68244 pep chromosome:Graimondii2_0_v6:10:60467919:60469592:1 gene:B456_010G234000 transcript:KJB68244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISAAEEESAQEIHIPADIDWEMLDKSKFFFLGAALFSGVSATLYPVVLVKTRQQVAQVQLSGIGTAFSIVKHGGFQGLYRGFGTSLMGTIPARALYMAALEVTKSNVGSITVKLGFPEPTAAAIANAVAGLTAAMVAQLVWTPIDVVSQRLMVQSSSSQCRYVNGIDAFRKIVNSHGPKGLYRGFGISILTYAPSNAVWWASYSVAQRLVWGGIGCYLKGGGDETIRPDSKTVMAVQGASAAMAGGISALITMPLDTIKTRLQVLDGEENRLRGPTIGQTVRNLVKEGGWLACYRGLGPRWASMSISATTMITTYEFLKRLSAKNQEGLL >KJB68245 pep chromosome:Graimondii2_0_v6:10:60467894:60469617:1 gene:B456_010G234000 transcript:KJB68245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISAAEEESAQEIHIPADIDWEMLDKSKFFFLGAALFSGVSATLYPVVLVKTRQQVAQVQLSGIGTAFSIVKHGGFQGLYRGFGTSLMGTIPARALYMAALEVTKSNVGSITVKLGFPEPTAAAIANAVAGLTAAMVAQLVWTPIDVVSQRLMVQSSSSQCRYVNGIDAFRKIVNSHGPKGLYRGFGISILTYAPSNAVWWASYSVAQRLVWGGIGCYLKGGGDETIRPDSKTVMAVQGASAAMAGGISALITMPLDTIKTRLQVLDGEENRLRGPTIGQTVRNLVKEGGWLACYRGLGPRWASMSISATTMITTYEFLKRLSAKNQEGLL >KJB67619 pep chromosome:Graimondii2_0_v6:10:56308915:56311737:-1 gene:B456_010G200500 transcript:KJB67619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRKKSNMEDFKDSESKSVSETVNGSHQFTIKGYSLAKGMGAGKCIQSDIFTVGGYDWAIYFYPDGKNPEDSAMYVSVFIALASEGTDVRALFELTLIDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRTTLESSDYIKDDCLIMNCTVGVVRTRLEGPKLSSIPVPPSDMGQNLRALLESEVGCDIMFQVGDETFKAHKLILAARSPVFKAQFFGLVGDPNLDKIVVKDFEPSIFKAMLLFIYTDKLPDVQEIVDSTSMCTSTNMVQHLLAAADLYNLDRLKVLCEAKLCEELNADTVATTLALAEQHHCAQLKAICLKFAATPSNLGGVCSKYYRCKFQVM >KJB67618 pep chromosome:Graimondii2_0_v6:10:56308144:56311737:-1 gene:B456_010G200500 transcript:KJB67618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRKKSNMEDFKDSESKSVSETVNGSHQFTIKGYSLAKGMGAGKCIQSDIFTVGGYDWAIYFYPDGKNPEDSAMYVSVFIALASEGTDVRALFELTLIDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRTTLESSDYIKDDCLIMNCTVGVVRTRLEGPKLSSIPVPPSDMGQNLRALLESEVGCDIMFQVGDETFKAHKLILAARSPVFKAQFFGLVGDPNLDKIVVKDFEPSIFKAMLLFIYTDKLPDVQEIVDSTSMCTSTNMVQHLLAAADLYNLDRLKVLCEAKLCEELNADTVATTLALAEQHHCAQLKAICLKFAATPSNLGGVCSKYYRCKFQR >KJB67617 pep chromosome:Graimondii2_0_v6:10:56308085:56311772:-1 gene:B456_010G200500 transcript:KJB67617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRKKSNMEDFKDSESKSVSETVNGSHQFTIKGYSLAKGMGAGKCIQSDIFTVGGYDWAIYFYPDGKNPEDSAMYVSVFIALASEGTDVRALFELTLIDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRTTLESSDYIKDDCLIMNCTVGVVRTRLEGPKLSSIPVPPSDMGQNLRALLESEVGCDIMFQVGDETFKAHKLILAARSPVFKAQFFGLVGDPNLDKIVVKDFEPSIFKAMLLFIYTDKLPDVQEIVDSTSMCTSTNMVQHLLAAADLYNLDRLKVLCEAKLCEELNADTVATTLALAEQHHCAQLKAICLKFAATPSNLGAVMQSEGFKHLEECCPSLLSEMLKAFASSEESSSLLSSRKRSVSSVYGMDLAAEGPAAEPMNPNGRRVRRR >KJB66199 pep chromosome:Graimondii2_0_v6:10:28993368:28997457:-1 gene:B456_010G131900 transcript:KJB66199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVQGKNPMKSPPRGLEKLKMENGYKGKGAFAAHRRSTGQRYTDPDRVHRPEPPKKLNGFGSADGVVAGKEKESSNETVERRNVLVAGDEMVDGWPKWLIDNIPKEVLAGLVPKSAESYDKLDKIGQGTYSNVYKARDKVTGKIVALKKVRFDTSEPESVKFMAREIMILQKLDHPNVVKLEGLATSRMQYSLYLVFDFMHSDLARIMFRPEERLTEPQIKCYMHQLLSGLQHCHERGILHRDIKGSNLLIDKSGMLKIADFGLANYFSPNRKCPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLGEMFAGRPIMPGRTEVEQLHKIFKLCGTPSEAYWKKLRLSTTFRPPQSCKPSLADAFRNFPQSSLGLLSTLLALDPAYRGSASSALQNEFFLTSPLACDLSGLPVVYNKDDEANEQRKHRNPNIRQRSRALREQRKQDEVGEENEDDNVRREDSKTPEPNVQVQEAGSSTTSTSSGSKQTQVESPSLLLSPFASSGQKTSPNTNVYSNGSKNIRNRPPLPKSQTRAKNYQKNNDNTYRISQVSRSASTREFSTSNEGKQLPYATYD >KJB65087 pep chromosome:Graimondii2_0_v6:10:11690932:11693152:1 gene:B456_010G080300 transcript:KJB65087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLKWVLLIFTLHSFMPTPISSNLSLNKEALPQIPLNFLEFSKKPEIMDWMVNIRRKIHENRELGYEEFETSKLIRAELDLMGIPYKYPIAATGVVGYIGTGKPPFVALRADMDALAMEELVEWEHKSKVPGKMHACGHDAHVAMLLGAAKMLQHNQNDLQGTVVLIFQPAEEGGGGAKIMLDEGALDNVDAIFALHVTARVPIGMVASRPGPISAAMGFFEAVINGKGGHAAIPQHTVDPILAASNVIVSLQHLVSREADPLDSQVVSIAKFQGGGAFNVIPDSVTIGGTFRAFSKESFLQLRQRIEEQACKDAMRQ >KJB65086 pep chromosome:Graimondii2_0_v6:10:11690795:11693160:1 gene:B456_010G080300 transcript:KJB65086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYLLLPFYALLLSVPKQKPLFPSHLLFSKYQPKFLLIRSLFLFMAFLKWVLLIFTLHSFMPTPISSNLSLNKEALPQIPLNFLEFSKKPEIMDWMVNIRRKIHENRELGYEEFETSKLIRAELDLMGIPYKYPIAATGVVGYIGTGKPPFVALRADMDALAMEELVEWEHKSKVPGKMHACGHDAHVAMLLGAAKMLQHNQNDLQGTVVLIFQPAEEGGGGAKIMLDEGALDNVDAIFALHVTARVPIGMVASRPGPISAAMGFFEAVINGKGGHAAIPQHTVDPILAASNVIVSLQHLVSREADPLDSQVVSIAKFQGGGAFNVIPDSVTIGGTFRAFSKESFLQLRQRIEEVISKQASVQRCNATVIFDERSMYPVNSNNKELHEHFRKVAGEILGFENIIEMQPQMGGEDFAFFSESIPGLFFFLGMKETEGAVHSGHSPYFRVNEDVFPYGAALHASLATTYLLQNPTKHTSPPEVSYRDEL >KJB64127 pep chromosome:Graimondii2_0_v6:10:3093695:3098774:1 gene:B456_010G033900 transcript:KJB64127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRTTYFFPRQFPDRGVETSTKQLLDHEKKVDKETSAVAATTAASENDRSKSISRAAAQKEFAVEAVKPDYSPLSDLFTDEKLQAKKQQLAAFRDLLFKKKTTTDRSRYVKPSITDHHHEDRELLLPPEPAPTPPPPVPETAVVVNDLSVDRNFDRQVSLPRFSSGSSYEGSLFSGTTLDCNLSSEVKDTWTKDSSPAAVPATGEVQEPGSEEKKDSLALKSKESYYLQIMLARRLTSHASLLSEPLLLQEFCGPGATDAETVSYRLWVSGCLSYSDKISDGFYSILGMNPYLWVMCNEFEEGRRLPPLMSLKEIEPNETSMEVVLVDKRGDNRLKELEDKAQELYCASENTLVLVEKLGKLVAIYMGGTFPVEQGDLHKRWKLVSARLQDFHKCIVLPIGSLSIGLCRHRAILFKKLADYIGLPCRIAKGCKYCAADHRSSCLVKIEDDRQSSREYVVDLVGEPGNVHGPDSSINGGFLSAMPSPFQISHLKEFQQPSMDNSSYCQIIDSKSSSSHYGNPLHSGGVEKSQQLKDNGLLGNQKPSIHAATDRDSFGKGMPLETAAGSTMNSRHCRDKVAKEQTLKEEIGLSGSPVVKSEKQLKVNLPSQSDLEEIGAELDNQGRFSTVSIPRYLHLEPSLAMDWLEISWDELRIKERVGAGSFGTVHRAEWHGSVSTFSFLQLKITGVWI >KJB64126 pep chromosome:Graimondii2_0_v6:10:3093695:3101547:1 gene:B456_010G033900 transcript:KJB64126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRTTYFFPRQFPDRGVETSTKQLLDHEKKVDKETSAVAATTAASENDRSKSISRAAAQKEFAVEAVKPDYSPLSDLFTDEKLQAKKQQLAAFRDLLFKKKTTTDRSRYVKPSITDHHHEDRELLLPPEPAPTPPPPVPETAVVVNDLSVDRNFDRQVSLPRFSSGSSYEGSLFSGTTLDCNLSSEVKDTWTKDSSPAAVPATGEVQEPGSEEKKDSLALKSKESYYLQIMLARRLTSHASLLSEPLLLQEFCGPGATDAETVSYRLWVSGCLSYSDKISDGFYSILGMNPYLWVMCNEFEEGRRLPPLMSLKEIEPNETSMEVVLVDKRGDNRLKELEDKAQELYCASENTLVLVEKLGKLVAIYMGGTFPVEQGDLHKRWKLVSARLQDFHKCIVLPIGSLSIGLCRHRAILFKKLADYIGLPCRIAKGCKYCAADHRSSCLVKIEDDRQSSREYVVDLVGEPGNVHGPDSSINGGFLSAMPSPFQISHLKEFQQPSMDNSSYCQIIDSKSSSSHYGNPLHSGGVEKSQQLKDNGLLGNQKPSIHAATDRDSFGKGMPLETAAGSTMNSRHCRDKVAKEQTLKEEIGLSGSPVVKSEKQLKVNLPSQSDLEEIGAELDNQGRFSTVSIPRYLHLEPSLAMDWLEISWDELRIKERVGAGSFGTVHRAEWHGSDVAVKVLTVQDFHDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPSAGETLDQRRRLRMALDVAKGINYLHCLTPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKGGTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELITMQQPWNGLSPAQVVGAVAFQNRKLAIPPNTSPKLVSLMESCWADDPAQRPSFGNIVITLKKLLKSPL >KJB64128 pep chromosome:Graimondii2_0_v6:10:3093477:3101524:1 gene:B456_010G033900 transcript:KJB64128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRTTYFFPRQFPDRGVETSTKQLLDHEKKVDKETSAVAATTAASENDRSKSISRAAAQKEFAVEAVKPDYSPLSDLFTDEKLQAKKQQLAAFRDLLFKKKTTTDRSRYVKPSITDHHHEDRELLLPPEPAPTPPPPVPETAVVVNDLSVDRNFDRQVSLPRFSSGSSYEGSLFSGTTLDCNLSSEVKDTWTKDSSPAAVPATGEVQEPGSEEKKDSLALKSKESYYLQIMLARRLTSHASLLSEPLLLQEFCGPGATDAETVSYRLWVSGCLSYSDKISDGFYSILGMNPYLWVMCNEFEEGRRLPPLMSLKEIEPNETSMEVVLVDKRGDNRLKELEDKAQELYCASENTLVLVEKLGKLVAIYMGGTFPVEQGDLHKRWKLVSARLQDFHKCIVLPIGSLSIGLCRHRAILFKKLADYIGLPCRIAKGCKYCAADHRSSCLVKIEDDRQSSREYVVDLVGEPGNVHGPDSSINGGFLSAMPSPFQISHLKEFQQPSMDNSSYCQIIDSKSSSSHYGNPLHSGGVEKSQQLKDNGLLGNQKPSIHAATDRDSFGKGMPLETAAGSTMNSRHCRDKVAKEQTLKEEIGLSGSPVVKSEKQLKVNLPSQSDLEEIGAELDNQGRFSTVSIPRYLHLEPSLAMDWLEISWDELRIKERVGAGSFGTVHRAEWHGSDVAVKVLTVQDFHDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPSAGETLDQRRRLRMALDVAKGINYLHCLTPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKGGTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELITMQQPWNGLSPAQVVGAVAFQNRKLAIPPNTSPKLVSLMESCWADDPAQRPSFGNIVITLKKLLKSPL >KJB64380 pep chromosome:Graimondii2_0_v6:10:5026752:5029310:1 gene:B456_010G046600 transcript:KJB64380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQFSEPYRSLDKSVAMLEMQLAASRSSQEMDNGEASKVVSTLTRDGPPRKKVFMVIGINTAFSSRRRRDSVRETWMPQGEKLIQLEREKGIVIRFMIGHSATSNSILDRAIDSEEAQHKDFFRLEHVEGYHELSAKTKIFFSTAVAKWDAEFYVKVDDDVHVNLGMLATTLGRHRSKPRVYIGCMKSGPVLSSKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKELATYISINQPILHKYANEDVSLGAWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCVASFDWSCSGICKSVEKLKTVHKRCGEGDDAVWNTLL >KJB64379 pep chromosome:Graimondii2_0_v6:10:5026009:5029896:1 gene:B456_010G046600 transcript:KJB64379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQRSPKKISLNWIPFICLSFFTLGILFSNRLWVPPESNGQLLSQRRREQELQIVSGDCDTKKTPGQDNDVMGEVLKTHEAIQSLDKSVAMLEMQLAASRSSQEMDNGEASKVVSTLTRDGPPRKKVFMVIGINTAFSSRRRRDSVRETWMPQGEKLIQLEREKGIVIRFMIGHSATSNSILDRAIDSEEAQHKDFFRLEHVEGYHELSAKTKIFFSTAVAKWDAEFYVKVDDDVHVNLGMLATTLGRHRSKPRVYIGCMKSGPVLSSKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKELATYISINQPILHKYANEDVSLGAWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCVASFDWSCSGICKSVEKLKTVHKRCGEGDDAVWNTLL >KJB64381 pep chromosome:Graimondii2_0_v6:10:5026155:5029896:1 gene:B456_010G046600 transcript:KJB64381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQRSPKKISLNWIPFICLSFFTLGILFSNRLWVPPESNGQLLSQRRREQELQIVSGDCDTKKTPGQDNDVMGEVLKTHEAIQPYRSLDKSVAMLEMQLAASRSSQEMDNGEASKVVSTLTRDGPPRKKVFMVIGINTAFSSRRRRDSVRETWMPQGEKLIQLEREKGIVIRFMIGHSATSNSILDRAIDSEEAQHKDFFRLEHVEGYHELSAKTKIFFSTAVAKWDAEFYVKVDDDVHVNLGMLATTLGRHRSKPRVYIGCMKSGPVLSSKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKELATYISINQPILHKYANEDVSLGAWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCVASFDWSCSGICKSVEKLKTVHKRCGEGDDAVWNTLL >KJB64475 pep chromosome:Graimondii2_0_v6:10:5717467:5718680:1 gene:B456_010G050600 transcript:KJB64475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISATINSFKSSNLISWKTTGKLQQTLAGCIELSGKTLQSGKVSKVKIWSRFTGQGRYFEFHSNLIPASIDFVRESLLCTSLCKDGYKIRTVEHLLSALETKGIDNCRIQIQSLDSEDTEVEVPIFDGSANAWVEAIEQVGRKEALDRCGNNVEKLAPYLSEPFYVSRNDSFMVAFPASKVHISCGIDFPKGK >KJB64833 pep chromosome:Graimondii2_0_v6:10:8686917:8689942:-1 gene:B456_010G067300 transcript:KJB64833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPYELTLDCKPLTSYSMILKSFGDQQMNDQTQKLEHVLSRLEEERLKIDAFKRELPLCMQLLTNDRNSSSSCASPALQPLPDLTFASISKDMEENKCSKAENDNSGKAGNGGLLIEQGKGSSNTIDGQTTISQPHRKARRCWSPDLHKRFVNALQMLGGHQVSTTKQIRELMKVEGLTNDEVKSHLQKYRLHTRRPSLSPQPAGPQRPSLIATAVANTNSAALTLYGAHHHPAAPHFPPHFCASPVPQEFYTATTIATPALSQRLLHHQLHLGPIHNHSSPESDINGAGNHSESIEDNKSESGSWKGESGDNGSDRDQRKGLAALTFGEGEESNGCDITLKF >KJB66781 pep chromosome:Graimondii2_0_v6:10:44387681:44388683:1 gene:B456_010G158000 transcript:KJB66781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKVEEEESHREQGEEKQPLLQYLSRLSQTENKTLIQTAISQTFQSTAHLANLLPTGTVLAFQLLSPIFTNQGNCDSTCRSMTAALLLLCGLSCLLSSFTDSFRDKDGNVCYGFATLNGLWVIDGSVSAPPEFAAKYRLRSIDFMHAFMSMLVFAAVALFDQNVVTCFYPNTVCSSSGDPHGTAGGDRLLLQYVVRCFSYNTTWNWLPLVC >KJB63932 pep chromosome:Graimondii2_0_v6:10:2015411:2016154:-1 gene:B456_010G025200 transcript:KJB63932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAINSPTSATAPFRFDDTNLHCLESFTKRKRSKRPRLDHVTAEEEYLALCLIMLARGGGQRSPSPTTMPEPTSTEEQKPSYKCSVCNKAFNSYQALGGHKASHRKLSGGNDDQTTSATGTAGGVVTSSGGKSHECSICHKSFPTGQALGGHKRRHYEGGAGNNNAGATGTASSVTESEGVGSTNTNTNTHISNRDFDLNMPALLEFSPANFFVSATGDDEVQSPHPAKKPCLSIPPKIEVNLTI >KJB67653 pep chromosome:Graimondii2_0_v6:10:56663058:56664496:1 gene:B456_010G202300 transcript:KJB67653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSSASVYNQALPYVAMVLMRFGSAGMPIVAKYALNRGMSQHVLVVYRFIIATLVLAPFAIVFDRKVRPKMTLSVFVQIALLGLLEPAIDQNLYYTGIKYTTATVATALCNVLPAFVFLLAWVCRLEKVDVRKVKCQAKILGTIGTVAGAMIMTMVYGPMLPLPWTKVNNHHQSTNTDTKNNEDALKGAVMILVGCVCWACFVILQAITLKSYPAELSLTTLVCFMGAIEGGIVALVMERGNAAAWAIHWDSKLFAVVYSVRKPFFSHHGFKL >KJB67652 pep chromosome:Graimondii2_0_v6:10:56663011:56666086:1 gene:B456_010G202300 transcript:KJB67652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSSASVYNQALPYVAMVLMRFGSAGMPIVAKYALNRGMSQHVLVVYRFIIATLVLAPFAIVFDRKVRPKMTLSVFVQIALLGLLEPAIDQNLYYTGIKYTTATVATALCNVLPAFVFLLAWVCRLEKVDVRKVKCQAKILGTIGTVAGAMIMTMVYGPMLPLPWTKVNNHHQSTNTDTKNNEDALKGAVMILVGCVCWACFVILQAITLKSYPAELSLTTLVCFMGAIEGGIVALVMERGNAAAWAIHWDSKLFAVVYSGIICSGVAYYIGAMVIQAKGPVFFASFNPLTMVIVAIMSSFIFSEIMYLGRVIGAMVIVVGLYMVLWGKSKDQISSDSSNNTKDEIPISGDELQMATQTTTPISNQDFVVLDLNKGDVSNQSSKNNPK >KJB67654 pep chromosome:Graimondii2_0_v6:10:56663058:56664727:1 gene:B456_010G202300 transcript:KJB67654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSSASVYNQALPYVAMVLMRFGSAGMPIVAKYALNRGMSQHVLVVYRFIIATLVLAPFAIVFDRKVRPKMTLSVFVQIALLGLLEPAIDQNLYYTGIKYTTATVATALCNVLPAFVFLLAWVCRLEKVDVRKVKCQAKILGTIGTVAGAMIMTMVYGPMLPLPWTKVNNHHQSTNTDTKNNEDALKGAVMILVGCVCWACFVILQAITLKSYPAELSLTTLVCFMGAIEGGIVALVMERGNAAAWAIHWDSKLFAVVYSGIICSGVAYYIGAMVIQAKGPVFFASFNPLTMVIVAIMSSFIFSEIMYLGRYVICISESSVFWNT >KJB66641 pep chromosome:Graimondii2_0_v6:10:40097622:40098264:1 gene:B456_010G149400 transcript:KJB66641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQSSKCESLKDSSSWCSSKQARRLLNAVNSEGFKAANIDRLKQAEESLRTVMYLSCWGLN >KJB63620 pep chromosome:Graimondii2_0_v6:10:611711:617850:1 gene:B456_010G008600 transcript:KJB63620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 722, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G19630) UniProtKB/TrEMBL;Acc:F4HP86] MFEVLLKVGPYGLCLYAILGVGAFVFVASELWKKMVLRQLNGEYAGTSNIPPGSLGLPFIGETIQFMAAINGEKGFYDFVRVRSERYGNCFKTNIFGETHVFVKSTESAKTILNNELGRFTKSYIKSIAELVGNQSLLCASPHHHKLLRARLINLFSSNSISLLVKQFDQLVVDALNEWEHGGTIIVLDEALKITFKAVCKMLLSLESGVELELLQEDVSQVCKAMLAFPLRFPWTGFYKGLQARKRIMSTLEKIISRRRTGLDPNSKDFLQCLLAKDDNSSFDGMHRLTDAEIQDNILTMIIAGQDTTASALTWMVKYLGENEEALEAIKAEQRQLAEKTSKKSFLTLDDLNEMPYASKVIKESLRMASVVPWFPRLVLEDCEIEGYKIKKGWNVNIDARSIHLDPMLYNEPNNFNPSRFDDEHFCKPYSFLAFGMGARTCLGINMAKAMMLVFLHRLLTTYKWKVINLDSSIEKWALFSRLRSGCPVQVSRISNIE >KJB66987 pep chromosome:Graimondii2_0_v6:10:49007821:49008096:1 gene:B456_010G169000 transcript:KJB66987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QNFLQRAQLGEIFELDRTTLKFDGVFHSSPRGWFTFGHALFVLLFFFGHIWHDAKTLFRDVFAGIDPNLDAQVEFGAFQKLGDPTIRKQVV >KJB66205 pep chromosome:Graimondii2_0_v6:10:29367661:29368263:1 gene:B456_010G132800 transcript:KJB66205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDKKTKGKQKIEIKIIENTDDRLIALSKRRTGIYKKISELSILSGGEVLFIIFSPGGKPYSFGHPSVESVAKRFSNASQHLEETTDAPVETYRKERINLLVQDFNDVQDQLNVIKEKQKEISLAQRSHGTEIRHWWKSPIDQLNLKELYEQDERFTEFNKLISITRNKKIATISSMQAPMDEDVPSSFPPRYGRNLQ >KJB65691 pep chromosome:Graimondii2_0_v6:10:20116186:20118783:-1 gene:B456_010G108600 transcript:KJB65691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKAADPDIQLVCDDSNIFKWTALIKGPSETPYEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDLRGYQSMARMYTRLAAMPRKG >KJB65690 pep chromosome:Graimondii2_0_v6:10:20116186:20119002:-1 gene:B456_010G108600 transcript:KJB65690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKAADPDIQLVCDDSNIFKWTALIKGPSETPYEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDLRGYQSMARMYTRLAAMPRKG >KJB63431 pep chromosome:Graimondii2_0_v6:10:2428282:2429629:1 gene:B456_010G029200 transcript:KJB63431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMNSSSSNKHRHFIISLLFLMATFMAAQGRSLSIKVANEIGNEQDKALMMRNLIGSRPPKCQKRCSSCPQCEAIQVPITTRSVQNTATIFFSDRVASKG >KJB63430 pep chromosome:Graimondii2_0_v6:10:2421292:2429629:1 gene:B456_010G029200 transcript:KJB63430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKQGRLHLTLILVPLSSSSNKHRHFIISLLFLMATFMAAQGRSLSIKVANEIGNEQDKALMMRNLIGSRPPKCQKRCSSCPQCEAIQVPITTRSVQNTATVIFPIAYSKGNDMSNYKPMCWKCKCGNMIFNP >KJB63432 pep chromosome:Graimondii2_0_v6:10:2428359:2429629:1 gene:B456_010G029200 transcript:KJB63432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMNSSSSNKHRHFIISLLFLMATFMAAQGRSLSIKVANEIGNEQDKALMMRNLIGSRPPKCQKRCSSCPQCEAIQVPITTRSVQNTATVIFPIAYSKGNDMSNYKPMCWKCKCGNMIFNP >KJB65596 pep chromosome:Graimondii2_0_v6:10:18599990:18601515:1 gene:B456_010G102700 transcript:KJB65596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISCVQILNIDFSRICEIFMVLDAGDYIFCILCFFRQFKPAKRRLFQPSFPHICNNGDSCAIWFNKAEYYAFKFGLQV >KJB63295 pep chromosome:Graimondii2_0_v6:10:25846541:25847291:1 gene:B456_010G124900 transcript:KJB63295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFLRNHQELVICSSQHELLYIRKSAAFKLGCSTKKSLFLIMITPTMKMISSCSEIEFIITNIHT >KJB65439 pep chromosome:Graimondii2_0_v6:10:15762180:15770975:-1 gene:B456_010G095200 transcript:KJB65439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNPAATPPNLPKSGAISKGYNFASTWEQNAPLTEQQQGAILMLSHAVAERPFPANLAQERTSGQDAGLSVSTKDNNFGDSGAIEAILVNTNQFYKWFTDLESAMRSETEEKYQHYVNTLTDRIQTCDDILRQVDETLDLFNELQMQHQAVATKTKTLHDACDRLVMEKQKLIEFAEALRSKLKYFDELENVTSNFYSPNMNVGNANFLPLLKRLDECISYVENNPQYAESSVYLLKFRQLQSRALGLIRSHVLSVLKRASSQVQTAIQSSGGNKASLSEGVEASVIYIRFKAAASELKPVLEEIESRASRKEYVHILAECHKLYCEQRLSLIKGIAHQRISEFAKKEGLPSLTRSGCAYLMQVCQLEHQLFDHFFPSSSEDVSSLAPLIDPLSTYLYDTLRPRLIHETNVDFLCELVDILKVEVLGEQLSRRSESLAGLRPTLERILADIHERLTFRARTHIRDEIANYIPFDEDLDYPAKLQHTADVKTETASADANPDVFKTWYPPLEKTVSILSKLYRCLESEVFTGLAQEALEVCSDSIQKASKLITKRSTPMEGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHVLEHLRRILRGQASLFDWTRSTSLARTLSPRVLESQVDAKKELEKSLKATCEEFIMAVTKQIVDPMLSFVTKVHLFSS >KJB65438 pep chromosome:Graimondii2_0_v6:10:15762175:15771024:-1 gene:B456_010G095200 transcript:KJB65438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNPAATPPNLPKSGAISKGYNFASTWEQNAPLTEQQQGAILMLSHAVAERPFPANLAQERTSGQDAGLSVSTKDNNFGDSGAIEAILVNTNQFYKWFTDLESAMRSETEEKYQHYVNTLTDRIQTCDDILRQVDETLDLFNELQMQHQAVATKTKTLHDACDRLVMEKQKLIEFAEALRSKLKYFDELENVTSNFYSPNMNVGNANFLPLLKRLDECISYVENNPQYAESSVYLLKFRQLQSRALGLIRSHVLSVLKRASSQVQTAIQSSGGNKASLSEGVEASVIYIRFKAAASELKPVLEEIESRASRKEYVHILAECHKLYCEQRLSLIKGIAHQRISEFAKKEGLPSLTRSGCAYLMQVCQLEHQLFDHFFPSSSEDVSSLAPLIDPLSTYLYDTLRPRLIHETNVDFLCELVDILKVEVLGEQLSRRSESLAGLRPTLERILADIHERLTFRARTHIRDEIANYIPFDEDLDYPAKLQHTADVKTETASADANPDVFKTWYPPLEKTVSILSKLYRCLESEVFTGLAQEALEVCSDSIQKASKLITKRSTPMEGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHVLEHLRRILRGQASLFDWTRSTSLARTLSPRVLESQVDAKKELEKSLKATCEEFIMAVTKQIVDPMLSFVTKVTAVKVALSSSTQNKKVDSVMAKPLKEQAFAAPEKVAELVQKVNSAIQQELPLVIAKMKLYLQNPSTRTILFKPIKTNIVEAHIQVQSLLKTEYSPDEKSTINMVNIQELEAQLDNLL >KJB68290 pep chromosome:Graimondii2_0_v6:10:61207111:61209127:1 gene:B456_010G243400 transcript:KJB68290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEKENLDLILVPAGLLIMFAYHLFLLYRYIHRPHTTVIGFENTDKEAWVERVLQADKEAISNALTVIASNTSAATFLCSVCLTLSSLIGAWLGNSSNNFFVSNLIYGDTRQSTMSIKYICILTCFFIAFCCFVQSARNFVHANYLITTPNCEVPMETVKLTVLRGGEFWSLGLRSLYFALDLILWFFGPIPMFVSSVIMVFILYYLDTNTKPLHYHQNLNTHKASSTSNCSVAQY >KJB66673 pep chromosome:Graimondii2_0_v6:10:40798883:40799682:-1 gene:B456_010G151500 transcript:KJB66673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSCSSSAKNLCRKLVQVRTRNSIQAAAKTRPLCDIFINHRGIDTKRTIAGLLHDHLFRLGLRPFLDSRNMKPGDRLFGKIKPAIGDCKLGVAIFSPNYCESYFCLQELALLMENKKRVIPIFCDVKPSQLQVMDYGIRSGEQLQMFKRALEEAKYTVGLTFDTSKGDWSEFLNSATEAVFKNLVELEAESANRRSTYILQGRE >KJB65101 pep chromosome:Graimondii2_0_v6:10:11773503:11778536:-1 gene:B456_010G081100 transcript:KJB65101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRFKPEKCKIALKLAIPRIKVMRNKKEAQFKQFKRELSQLLESGQDQTARIRVEHVVREEKTMVAYDLLEIYCELIVARMPSIESQKNCPIDLKEAISSVIFASARCEEIPELKDVTKHLTTKYGKDFTTSALELHPDSGVGRMLVDKLSVKAPDGPTKLKILTAIAEEHNIKWDPESFGAKDSKVYDNLMNGPSTLPEATKMSAGPHNTQASTSYYVQRPPSVQDPKHIAKSDVPSSFYKHKPRLSPHPKNFDYSNTSANNSISSGAYHPRSKPQQTENQGMEFRNSSYRNESASPREHENMELKDATAAAQVAAESAERASMAARATAELSSHGNIAPQYSMESNISGHGMRDEEPRKYIGSASQYEYFARHPVDTSFHERNSTKYEQTDSNKQHNRTGETENGFTNFVKNDGKSTHCSSKSTADSFNEKPSVNNQIPDAYSQINSSVGREMEHFSEVTMKRNSGNEMQFENELHGIKNPQNVDDHEVRDCEQSSYSSRSRSNTSINDCDVASNLDWQKSENDNRNSGEKNQFVNELHDRKNYDVTDYQEERIGKQSSFSSSSSSSTFNDDVDVVSNFNHQKLGNFSVENSVLLNDKGSLQRNTIETTYTYDNASAVFDDYGSYNDEGTFDFEEEHKVHEDNINLSYPFQRSPAHPCPCTNSLGFKQKVESQKEPYSQSHIFSEQRSTPVFFESSTSSAVPSHGNDLPPTFDKYGPSSESEDEVDKSDRNNNPSIGSQKRNMDSHQAENSVFNQRLAGGMEDTELSNDSSTESKELNFGKLIGGLRNKGYRHPPYLKIPQGSALSSGEAKNDTFARIKQTSPPLAVEDSISTRFYNQEWCSRKGSGEASQKLNKRISVTRVDSSDDDFEEERPKQTFSSTRDLYNILPSSEENKRSTSRVPMAYFDSGNSDSDEDLPKTGSKTHSSTGFSHKTMDSPSNSRRGSTLKTTVSFEPAVVSDYGGEKNSSSRSCSADEALLELQSHKRNSDNRESFQNSQLAPETKMNSSDGSSKSSENEQPSTSVPVIVSSGSAESSKAQNSTEGRPSYVHPKLPDYDTLAAHLNSLRQNHQ >KJB68350 pep chromosome:Graimondii2_0_v6:10:60990126:60992767:-1 gene:B456_010G240400 transcript:KJB68350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNHPPSAKNKDSEMVFSIPFMQKVMGEVLGTYFLIFAGCAAVVVNTNNDKVLTNPGIAIVWGLAVMVLVYSLRHISGAHFNPAVTIAFATCKTFPLKQVPFYISAQVVGSTMAAGTLRLLFNGPDDVFSGTTPQGSDLQAFVIEFIITFYLMFVVSGVGTDNRAIGELAGLAIGSTVLLNVLIAGPITGASMNPARSLGPAIVSNHYEGIWIYVTSPIIGAVSGAWVYNMMRLNDKRDITKNVSIFKS >KJB64887 pep chromosome:Graimondii2_0_v6:10:9156505:9160411:1 gene:B456_010G070200 transcript:KJB64887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRNKNIQAKLVFLGDMGAGKTSLVSRFVRGQFSDFQESTIGAAFFTQVLSLNEATIKLDIWDTAGQERYHSLAPMYYRGAAAAVVVYDLTSSESFERAKKWVQELQRQGNPNLIKFLVANKVDLEEKRKVGNEEGELYAKENGLNFLETSAKTAHNVNELFYEIAKKLAKAAPSRHTGMKLHSRPQETGRRILLLLLIQG >KJB68175 pep chromosome:Graimondii2_0_v6:10:60177733:60177846:1 gene:B456_010G2301001 transcript:KJB68175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGKEVRTNHAQWKEFLLKPGLENSYMDDFVMQLHALV >KJB68187 pep chromosome:Graimondii2_0_v6:10:60252146:60253093:1 gene:B456_010G230900 transcript:KJB68187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQAEYFIETQLPNHQKHYGSFTFPSILSPNPKSSPSSLSVFTEAIKSHKPFLDSLLLKSGALLFRGFPVKTAKDFNDVVEAFGFEELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVIYSNLTKL >KJB63989 pep chromosome:Graimondii2_0_v6:10:2268736:2272563:1 gene:B456_010G028100 transcript:KJB63989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMSDNGSGSNNNWLGFSLSPHMKMEVTNDPHHRHDHHHHHFHHHSQASAAGTAVNVVPTSFYGSGICFGVGESEGFHAPPLSVMPLKSDGSLCIMEALSRSQSEGIVPTSSPKLEDFLGGATMETHQYGSHERETMALSLDSMYYHQNADHETNFAVQTHPYYSGLALQGMYQSPSLEEEAKDGQLADCDTMPCFKNWVARQQYSTHSSLEQQMTTSIVDDGAGSVPAIGCGDLQSLSLSMSPGSHSSCVTAQRQISPTATTECVAIETKKRGPGKVSQKQTVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYREELEEMKNMNRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVERIMASSTLLAGELARRNKEMDSNNDTIETNGETIQHGHVSQQQLTVCAAESLEPKTTSTGNYRNPSFSMALQDLIGVDLVSSTQAMVDESCKLGTHYSNPSSLVTSVSNSRDGSPDKTGSTMVFAKPPLASKFITPTTGVNWFPSSTATQLRPATAISMAHLPLFAAWNDT >KJB65897 pep chromosome:Graimondii2_0_v6:10:24686824:24687976:1 gene:B456_010G121300 transcript:KJB65897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSVSNSNLFLRFHNFQRSSPNRRSQVFLLLGKICQFWYVHSYPVLHMNSHKFQQLILSDSSSPNRYSSNALAKNMINIFNILSTKLTSGAPCSIGIGVQPVLTNKPKEHSHALSASSFFPLFVLKHS >KJB65268 pep chromosome:Graimondii2_0_v6:10:13201142:13202473:1 gene:B456_010G086900 transcript:KJB65268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIIPNGATVVKEKKEIDDKGGEERSGGQRSKKKKEGAAVTVVIEITLFLIKVISSSLVSHDSIIGLRLTYQVYAFSVKDLENSLNFKSSSNPTTPSVSTNFIKCSWASPNLPLNCLNLEPM >KJB66805 pep chromosome:Graimondii2_0_v6:10:44857643:44860627:-1 gene:B456_010G159100 transcript:KJB66805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSSSSSIWSVSYRKLKFFSRIRRFLQSKAIRKGSYGSSSKSDNSNKLRTIDNNDKDEEDHQLVIEKESELDGSVVLQKSVNRLHFGSWEEKEMAAIAIEKLAKEDVKARKFMAELGVIHMLVSMVATEVVGRHGAAIKALIQLANGTSTNKALMLEAGILSKLPKDINVVDEQTRQEFAELLLSLSSISNTDFCPNKPEILQFLIGILESPCSFETKELCLGVLYNLSAVLENAGALVSNGVVHTLLNLSSSFKELSEKALAALGHLVVTLMGKKAMEDSSIVPQSLIEILTWEDKPKCIELSAYILMILAHQSSTQRDKMSKAGIVPVLVEVALMGSLLAQKRAMKLLQWFKDERQAKMGPHSGPQTGRVPLGSPLHPKEAQEGKKMMKNLVKQSLHKNMEMITRRANAGGGGVDSSNLKSLVSKSLTF >KJB68101 pep chromosome:Graimondii2_0_v6:10:59748772:59750555:1 gene:B456_010G225500 transcript:KJB68101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLAADRLRADMNRLLSFLFHQGILDEQFLQLQQLQDETSPNFVSEVVNIYFHESEKLLRNLRSLLMDREFSDYNKMGIHLNQFMGSSSSIGAKRIRNVCLAFRAASDQNNRAGCLSALEVLEHEYCFLKNKLHELFQIQQQRVLAAGVRYPMQH >KJB65880 pep chromosome:Graimondii2_0_v6:10:22786118:22790294:-1 gene:B456_010G116800 transcript:KJB65880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTSLKRSSPSILHLSSIHQRLQIRQFSSLPLINASNIQSNNKKDSIASSFLKWIAVGSSFGLVCWYSFSASDRSTGFFNEQFLSFADWSTETAESAVDGSKNSFRKLALPDYSSKFIFGEEYRRKVFFNYEKRLRLRSPPEKVFEYFASFQTPEGELLMRPADLMRAIVPVFPPSESHLVRDGYLSGERSPGELRCDPSELFMLFDMDTDGLISFKEYIFFVTLLSIPESSFSIAFKMFDVDNNEEIDKEEFKKVMALMRANNRQGAVHRDGLRTGLKVTGSVEDGGLVEYFFGKDGKARLQHDKFIEFMRKLQDEILRMEFDHYDYKVRGSMSAKDFALSMVASADMSHLDRLLERVDELNNEPHLREIRINLDEFKHFAELRRKLQPFSLALFSYGKINGLLTKDDFKRAAYHVCGISLTDNIVEIIFHVFDTNRDGHLSSDEFVRVLLKRERDIAQPVESGIFGLLSCCWHCSNNSSIGRVIT >KJB65881 pep chromosome:Graimondii2_0_v6:10:22786240:22790194:-1 gene:B456_010G116800 transcript:KJB65881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTSLKRSSPSILHLSSIHQRLQIRQFSSLPLINASNIQSNNKKDSIASSFLKWIAVGSSFGLVCWYSFSASDRSTGFFNEQFLSFADWSTETAESAVDGSKNSFRKLALPDYSSKFIFGEEYRRKVFFNYEKRLRLRSPPEKVFEYFASFQTPEGELLMRPADLMRAIVPVFPPSESHLVRDGYLSGERSPGELRCDPSELFMLFDMDTDGLISFKEYIFFVTLLSIPESSFSIAFKMFDVDNNEEIDKEEFKKVMALMRANNRQGAVHRDGLRTGLKVTGSVEDGGLVEYFFGKDGKARLQHDKFIEFMRKLQDEVCGISLTDNIVEIIFHVFDTNRDGHLSSDEFVRVLLKRERDIAQPVESGIFGLLSCCWHCSNNSSIGRVIT >KJB67463 pep chromosome:Graimondii2_0_v6:10:54850333:54850538:-1 gene:B456_010G1917002 transcript:KJB67463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGLRADKFFEPDLEGNFRAPFLRNVIKNQSRSLGSISCLASNGVKAWTCCYNCSIIRTGMPNLFWII >KJB65175 pep chromosome:Graimondii2_0_v6:10:12275947:12282010:-1 gene:B456_010G083100 transcript:KJB65175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQPQQQQGPKKRGRKPKPKDEKEQQQQQQSAGKMKEGKKAQQPSVDEKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTLIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVEAQPNRHAVLGATHSRPDLILTGHQDNAEFALAMCPTEPYVISGGKDKSVVLWSIQDHISGGSIVKQNKPGEGNDKTAEGPSVGPRGIFCGHEDTVEDVAFCPSSAQEFCSVGDDSCLILWDARVGTGPTIKVEKAHDADLHCVDWNPHDDNLILTGSADNTVRMFDRRNLTSNGVGSPIYKFEGHRAAVLCVQWSPDESSVFGSSAEDGLLNIWDYDKVGKKVERPSKSPSTPAGLFFQHAGHRDKVVDFHWNASDPWTVVSVSDDCDSTGGGGTLQIWRMSDLIYRPEEEVLAELEKFKSHVISCASKP >KJB65176 pep chromosome:Graimondii2_0_v6:10:12277556:12282010:-1 gene:B456_010G083100 transcript:KJB65176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQPQQQQGPKKRGRKPKPKDEKEQQQQQQSAGKMKEGKKAQQPSVDEKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTLIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVEAQPNRHAVLGATHSRPDLILTGHQDNAEFALAMCPTEPYVISGGKDKSVVLWSIQDHISGGSIVKQNKPGEGNDKTAEGPSVGPRGIFCGHEDTVEDVAFCPSSAQEFCSVGDDSCLILWDARVGTGPTIKVEKAHDADLHCVDWNPHDDNLILTGSADNTVRMFDRRNLTSNGVGSPIYKFEGHRAAVLCVQWSPDESSVFGSSAEDGLLNIWDYDKFFLQSILGN >KJB67558 pep chromosome:Graimondii2_0_v6:10:55713044:55716028:-1 gene:B456_010G196500 transcript:KJB67558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGELQEPKAGSQSILLELAASDDLVAFKSEVEEKGLDFGEASFWYGRRIGLRKMGFEERAPLMIAAMFGSIEILKYIIGSGKIDVNRACGSDGVTALHCAVAGGADSSVEIVKLLLDASADVNCVDANGNKPVDLIVPGLNSSSNHRRKVIELLLNGDDVLYGEEESDKTTMPQLPKEGSEKREYPMDVSLPDINNGIYGTDDFRMYMFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYPYSCVPCPEFRRGACPKGDACEYAHGVFESWLHPAQYRTRLCKDETGCARKVCFFAHKPEELRPVYASTGSAMPSLRSAAMNAVDMTTLSPLALGSSSLPMPTTSTPPMSPLATSSSPKTGGLWQNNFNLTPPALQLPGSRLKTTFSARDFDLEMELLELENQLQQQQLMDEISSLSSPSCWSKEYGRLGDLKPSNLDDAFGSLDPSLLSPLKGLSIKSGIQAQLQSPTGLQIRQNSNQLRSGYPTNLTSSPVRKPSAFGYDSSAAVAAAVMNSRSSAFAKRSQSFIDRGAVTNRAGLATPANPTTTMSSNTSDWSSPNGKLDWGIQGDELNKLRKSASFGFRNNHPAARATDMMASNMEEPDVSWVHSLVKDATPMGTATLPSWVEQMYIEQEQMVA >KJB67557 pep chromosome:Graimondii2_0_v6:10:55713031:55716033:-1 gene:B456_010G196500 transcript:KJB67557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGSKSEHKPSSFIMEGELQEPKAGSQSILLELAASDDLVAFKSEVEEKGLDFGEASFWYGRRIGLRKMGFEERAPLMIAAMFGSIEILKYIIGSGKIDVNRACGSDGVTALHCAVAGGADSSVEIVKLLLDASADVNCVDANGNKPVDLIVPGLNSSSNHRRKVIELLLNGDDVLYGEEESDKTTMPQLPKEGSEKREYPMDVSLPDINNGIYGTDDFRMYMFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYPYSCVPCPEFRRGACPKGDACEYAHGVFESWLHPAQYRTRLCKDETGCARKVCFFAHKPEELRPVYASTGSAMPSLRSAAMNAVDMTTLSPLALGSSSLPMPTTSTPPMSPLATSSSPKTGGLWQNNFNLTPPALQLPGSRLKTTFSARDFDLEMELLELENQLQQQQLMDEISSLSSPSCWSKEYGRLGDLKPSNLDDAFGSLDPSLLSPLKGLSIKSGIQAQLQSPTGLQIRQNSNQLRSGYPTNLTSSPVRKPSAFGYDSSAAVAAAVMNSRSSAFAKRSQSFIDRGAVTNRAGLATPANPTTTMSSNTSDWSSPNGKLDWGIQGDELNKLRKSASFGFRNNHPAARATDMMASNMEEPDVSWVHSLVKDATPMGTATLPSWVEQMYIEQEQMVA >KJB67562 pep chromosome:Graimondii2_0_v6:10:55758392:55758423:1 gene:B456_010G1968001 transcript:KJB67562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein REGRTLVEL >KJB67927 pep chromosome:Graimondii2_0_v6:10:58697709:58699045:1 gene:B456_010G218200 transcript:KJB67927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKHVHQKIAAMRQSFFDEEVLDEKFSQLEQLEGKDNPNFVEEVFTMYFRDSTAFLETIEQAMKTIPIDSIKVDNILHQLKGSSASVGANKVLKEVNKTRQVLGKGNLEGTKAGILELRKEYDSFKAKLEPYFQLKQANSVAQEK >KJB64426 pep chromosome:Graimondii2_0_v6:10:5431465:5432400:-1 gene:B456_010G048900 transcript:KJB64426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTEHKIQPHQSKQMASSSSSSPPPSSLCKKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCLKGSSANLNFPLTSSHYVPDTIMSPKSIQRVAAAAANSFVDDNPAAAATPPISPPLAPSTPSTTSSPSNQVDDDVFFIQALVDEPVSMMEPWYCFDSLQMQSPKFGDQVFNVTPFDPPSMTTIDDLYEEAGDIRLWSFC >KJB64233 pep chromosome:Graimondii2_0_v6:10:3571492:3574060:-1 gene:B456_010G038300 transcript:KJB64233 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein 9 [Source:Projected from Arabidopsis thaliana (AT1G78310) UniProtKB/Swiss-Prot;Acc:Q9M9F0] MDKSCQSSGESSITSNSSTANNRDHYLKHLNKLSHKISKPSSSTTAAAVAASAAGPLIRKSSFELTQNLTQAQLPPPPPPPPNPSQSGLQAQQHQPPVYNINKNDFRDVVQKLTGSPAHERFSTPQPIHQPKPQSSRLQRIRPPPLPHVSNRPSPMMNMNPSGCSQSNPPVGGPTVNSFMNQRPPATAPLSPLPPLPTVLAAAESPVSAYMRCLQNSLSTSVDPNPKQFTGFPPLAPLVSPRWNNLTAPQQQQQPPPPPPQQQPQVSSPLPFGCLNSPRSPYALLSPSLLFSPNSGQLGFPLSPTVPVPIPKWRSL >KJB67886 pep chromosome:Graimondii2_0_v6:10:58450609:58461810:-1 gene:B456_010G216500 transcript:KJB67886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIVSQLGFPSENGYKVWEDQSFIKWRKRDPHVTLHCHESVEGSLKYWYERNKVDLSVSKSAVWNDDAVQSALESAAFWVKGLPFVKSLSGYWKFLLASNPTAVPKNFYESSFQDSDWETLPVPSNWQMHGYDRPIYTNVVYPFPLDPPHVPTDNPTGCYRTYFHIPKEWKGRRILLHFEAVDSAFCAWVNGVPIGYSQDSRLPAEFEITDYCYSCDSDKKNVLSVQVFRWSDGSYLEDQDHWWLSGIHRDVLLLSKPQVFIADYFFKSNLADNFSYADIQLEVKIDCSRETPKDIVLTDFIIEAALYDAGSWYNCDGNVDLLSSNVANIELNRFPTQTLGFHGYMLEGKLENPKLWSAEHPNLYTLVIILKDASGKIVDCESCLVGIRQVSKAPKQLLVNGHPVVIRGVNRHEHHPRLGKTNIEACMVKDLVVMKQNNINAVRNSHYPQHPRWYELCDLFGMYMIDEANIETHGFDLSGHLKHPTQEPSWAAAMMDRVIGMVERDKNHACIFSWSLGNEAGYGPNHSASAGWIRGRDPSRVVHYEGGGSRTPSTDIVCPMYMRVWDVVKIAKDPNESRPLILCEYSHAMGNSCGNIHEYWEAIDNIFGLQGGFIWDWVDQALLKDNGNGSKYWAYGGDFGDSPNDLNFCLNGITWPDRTPHPTLHEVKYVYQPIKVYLRESTVKIKNTNFYETTEGLVFEWAVLGDGCELGCGILSLPVIEPQSSYDIEWKSGPWYPLGASSDAEEIFLTITTKLLHSKRWVEVGHVVSSTQVQLPSKRDIVPHIIKTKDDVLSTEILGDNIIISQSKLWEITFNTKTGSLDSWKVEGVPIMKNGLFPCFWRAPTDNDKGGGPSSYQTKWKAACIDEIVFLTESCSIQNKTDNVVKIAVVYLGFIKGEDGTLDESKKASALFKVDMLYTIHASGDIVIESNVKPSSGLPPLPRVGVEFHLEKSVDQVKWYGRGPFECYPDRKAAAHVGVYEQSIEGMHVPYIVPGESGGRADVRWVTFQNKDGCGIYASTYGKSPPMQLNASYFSTAELDRAVRNEELIKGDTIEVHLDHKHMGIGGDDSWTPSVHENYLVPAVPYSFSIRLCPVTSATSGQNLYRSQLQN >KJB66119 pep chromosome:Graimondii2_0_v6:10:27625504:27638330:1 gene:B456_010G128100 transcript:KJB66119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQSGVCSLSRASFPLGFIFGTASSSYQYEGAAKEGGRDPSIWDTFSHKYPGNPIIALKTFLHFPVLIADKIADGSNGDVAIDSYHRYKEDIGIMKEMGLDAYRFSISWSRILPNGKLSGGVNDEGINYYSNLINELLANGIQPFVTLFHWDLPQALEDEYGGFLSPRIVDDFRDYAEVCFKEFGDRVNHWMTLNEPWSYTYGGYVAGFLAPGRCSDWQNLNCTGGDSAVEPYLVAHHLLLAHAVSVKLYRQKYQASQKGVIGMTLVSYWFVPVSNAKHQQNAASRALDFMFGWFMKPITIGNYPHTMRSLLGNRLPKFNKMQSKILKGSFDFLGLNYYTAIYAAYAPKPNVGKPSYLTDARTSLSSYHNGIPIGPMTGTKWIYMYPRGIRDLLLYTKEKYGNPLIYITENGVGDTENASFPSKEALNDKGRIEYHRRHLSSLQTAIKDGVNVKGYFAWSLLDNFEWASGYTVRFGFNFVDYKNGLKRYPKLSAQWLKNFLKNQT >KJB63469 pep chromosome:Graimondii2_0_v6:10:23183:24018:-1 gene:B456_010G000500 transcript:KJB63469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGSKGSCRGGASSSSGGRKGRSIGKNRVFQSSRLGAPSGSADSHFDRQVVVVDHHNKDYGSNFTNQNRRERESESDQVKRECSRKVKAESASDYEMPLPCISSNGVDIDASRSGSSSGRATTAVRHSPSRCLSGFSFFSGNISFAELIVWVRLGHILFLLQALQY >KJB68430 pep chromosome:Graimondii2_0_v6:10:61425559:61427480:-1 gene:B456_010G245200 transcript:KJB68430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLCDSCKSATATLFCRPDSAFLCPNCDSKIHAANKLASRHARVLVCEVCEQAPAHVTCKADAAALCVTCDRDIHSSNPLARRHERVPLAPFYDSVKPNTAFNFLDDRYLSDVDGDADSSREEAEAASWLLPNPNHKAHESPDVNTGQYVFPEMDPYLDLDYGHVDPKIETQDQEQNSSGTDGVVPVQSNTVQAPMVNDHCFDMEFTTPKALPYGYNYNCNYNPHCLSHSVSSSSLDVGVVPDGGSTITDISVPCAKVTETTHQAVQLSSAEREARVLRYREKRKNRKFEKTIRYASRKAYAEVRPRIKGRFAKRSDVEVEVDGGNMYGFGVVPSF >KJB68429 pep chromosome:Graimondii2_0_v6:10:61425559:61427048:-1 gene:B456_010G245200 transcript:KJB68429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLCDSCKSATATLFCRPDSAFLCPNCDSKIHAANKLASRHARVLVCEVCEQAPAHVTCKADAAALCVTCDRDIHSSNPLARRHERVPLAPFYDSVKPNTAFNFLDDRYLSDVDGDADSSREEAEAASWLLPNPNHKAHESPDVNTGQYVFPEMDPYLDLDYGHVDPKIETQDQEQNSSGTDGVVPVQSNTVQAPMVNDHCFDMEFTTPKALPYGYNYNCNYNPHCLSHSFQMAVVRLRTYQSRARK >KJB68431 pep chromosome:Graimondii2_0_v6:10:61425655:61427048:-1 gene:B456_010G245200 transcript:KJB68431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLCDSCKSATATLFCRPDSAFLCPNCDSKIHAANKLASRHARVLVCEVCEQAPAHVTCKADAAALCVTCDRDIHSSNPLARRHERVPLAPFYDSVKPNTAFNFLDDRYLSDVDGDADSSREEAEAASWLLPNPNHKAHESPDVNTGQYVFPEMDPYLDLDYGHVDPKIETQDQEQNSSGTDGVVPVQSNTVQAPMVNDHCFDMEFTTPKALPYGYNYNCNYNPHCLSHSVSSSSLDVGVVPDGGSTITDISVPCAKVTETTHQAVQLSSAEREARVLRYREKRKNRKFEKTIRYASRKAYAEVRPRIKGRFAKRSDVEVEVDGGNMYGFGVVPSF >KJB67317 pep chromosome:Graimondii2_0_v6:10:53679954:53681044:1 gene:B456_010G185500 transcript:KJB67317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSLDKGNSTVCMVENVDPNAGISSKIMKDGGRLLLHRKGSSTIPSDTSLHGSCFGSRAILGDETHAKGGMLVLLNEVVKSSTHRLESIASDGSNLMIEEEIVKGIGGSTRVGESRQNRSKKVDLSNENIGENLMPRKPKGSSEVAVTRPRRLFTKNTSLRKVVKPYMGADTYPVLEGQGSW >KJB65425 pep chromosome:Graimondii2_0_v6:10:15599211:15601077:1 gene:B456_010G094500 transcript:KJB65425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIRLVWFLFLCLLGHEGLAKGVLGLACNWGLQSTHPLPPNIVVRLLKDNGFNKVKLFEADTGALKALGRSGIQVMVGIPNDMLASLASAVGNAEAWVKQNVSYYISTHGTDIRSVAIGNEPFLHSYKDMFVRTTLPALENIQAALIKAGLGKQVKVTIPLNADVYQTDSGLPSGGNFRPELLDLMTKIIKFLQENGGFITINIYPFLSLQADPSFPKEYAFFNNTASPLVDGSIVYTNVYDANFDTLISALEKNGFGRMPIVVGEVGWPTDGDPNGNIDNAKRFNQALISRIIQGQGSPKRRTPPDVYIFSLLDEDHKSTLPGNFERHWGIFKYDGSVKYPLDMGNGRALVPAKGVKYLAKQWCVMSPSASSSDPNTLAQSVTYACENADCTSLGYGSSCGNLDAQSNASYAFNSYFQTMNQSKEACSFNNLATITNVDPSKPPCRFEIMIDTRKQKAARTPFKSSASKMEGIFAALVVVLGLVICGVH >KJB68123 pep chromosome:Graimondii2_0_v6:10:59805063:59809783:1 gene:B456_010G226700 transcript:KJB68123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCYSVQIGLENFIILCKLKQTLPTLSVVLQELRALRNDMQREVDPAEQRLLKPFERVQLWLSKAETMITEAEKLNADGPQQMNNLCLGGCASKNCLSSYKFGKKSNGAFAKVAESQPAASVVVRPEERPISQESMIEKVWSCIEDKDVGVIGLYGLGGVGKTTLLPQINKFSTTPNDYDVIIWALVSKLSDVGKIQDRIDGNIGFSDAFWKSESVDEKAVDIYGVLVDLNQVGIPKPSQENGSKLIFTTRSLEVYGEMEARKKIKVECLGPEKAWELFQDKVGDETINSHPDIRKLAEEVAERCGGLPLALITISRGMACKTTPGEWKYAIEKLKRSSLPKMENELFPLLKFSYDNLPKVTMKCCLLYCCLYPEDHCIPKKRLVEICEVQMHGNYIINSLLSACLLENGGEIGGEDCVKMHDVVRDMALWIACELETKEEKFFVKAGAQLFEEPDVKAWEGAKRMSVMKNQIEVLKGTPKCPNLRTLFLSENKLQVVSNGFCRFIPHLMVLNLSENIELPMELKSLTNLKMLDLSCTYDLRKIPSHLMSSFSKLQIFRTWCTTSGDYPMEDNVLNGDNENLIEELKSLRHLNILTIPPIKSLFALERFVSFHLFQCCTQALHLRHLRESNVFNVLCLENMERLETLCFEGCGTICLLVFIFPCFIVISISSEIGQSRNIIHTIYIFWYFVTNTFELWHV >KJB63947 pep chromosome:Graimondii2_0_v6:10:2132240:2133269:1 gene:B456_010G026300 transcript:KJB63947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISPSQCGSSGCEPGWTFYLDQSSYYSQTQRPNEEYVGKGGIFMADEAAEVDLSMVSDASSGPKRYYDDYDQCSEPVKKRSKAKKKIKEHGVDDDTATSPLTSFSKSSCKKLDFSQSFSGTCFKGKSTLHLKPGNKAGDFQERNWD >KJB63948 pep chromosome:Graimondii2_0_v6:10:2132240:2133399:1 gene:B456_010G026300 transcript:KJB63948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISPSQCGSSGCEPGWTFYLDQSSYYSQTQRPNEEYVGKGGIFMADEAAEVDLSMVSDASSGPKRYYDDYDQCSEPVKKRSKAKKKIKEHGVDDDTATSPLTSFSKKSSCKKLDFSQSFSGTCFKGKSTLHLKPGNKAGTKDSGDFQERNWD >KJB65282 pep chromosome:Graimondii2_0_v6:10:13674133:13676371:1 gene:B456_010G089100 transcript:KJB65282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKANTMPVISKLYCSSSQVVLAVRRRPHVVNGGGFVVTDCSQMVVFRVDGCGVLGTKGELVLRDGNGDALLLIRRKGGMVEALSIHKKWKGYSFDYEGSQKLVFTLKEPARSCLSNNNAIRISTEPKSSSKQCEYEINGYFPDRKCSIIDSTGNLVAQVGVKKEVEELMASKDVYQVVVKPGVDQAFVFGVIAVLDYIYGESTRC >KJB64219 pep chromosome:Graimondii2_0_v6:10:3539365:3540350:1 gene:B456_010G038000 transcript:KJB64219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQNLMATFRRSKGGRRSKAIEGCKKHPKHKQSPGVCSLCLQQKLSQLSFEYSIPRCRIPITVDSSICSSSSPSSSSSSLSSYYSSASTSASSSPTHSYHLIREGKGNSFPLLLFSGMKRNCGFFSKLLHHHSNTTTMVH >KJB66665 pep chromosome:Graimondii2_0_v6:10:40672181:40680492:1 gene:B456_010G151100 transcript:KJB66665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMMGEDNRNDSFGILSSGDLSADYKFDDFVGDGMCIDLDSINRILEENSDPPQINPEDPSLRNMSQGDSDLESIQFQSDCLRNNSDDSDARAGSTGGSFYYHGNLELSAHDCSPVQTSSASFKEWSAICQGTSYRERVEIPSCSTASSFSEIDGNHALDHGDNLRFDLVDDKTSSLFMNTKEEFGYKNALHSPVDENTNLIFDQYDPCSVISCYVNGDDAYFADSSMQHSPGSLNFMFEESKEGEVVEFPTESACSSSRIIFNSQGEIDNRSVSQLSMNGFSDVKKLHFEGEGNGHVAYGKFSYTANDGLFDDKGSLQPFTHSKPCILNNKQAVYGKNEDNELITSGNIFSHSAESLDEASWRKSTNRVAESLSLDEASKQSSDIYPSVSNQDFMVNGKDAHHYNHDINLNVSSQSFFSEGHLNLTSPENYFSSAYPISSTKMQLGCFQDERENKFIPSRSMGLSKVSPESIHSNSSDCRSYVDDDPDICILEDISQPARSNQSLVLVKKTSSLPNTASSTQLHNPGIGGIRLKGNDEQLIFRVALQGLSQPKSEASPPDGVLAVPLLRHQRIALSWMTQKEKTGLHCMGGILADDQGLGKTVSTIALILNERPSSSKASSQDVRKVELETLNLDDDEEMKQDSDNSHVMSNGASKKSSSPSGQAKGRPAAGTLIVCPTSVLRQWADELHNKVTKEANLSFLVYHGSNRTRDPLELAKYDVVLTTYSIVSMEVPKQPPVQGDDDEKGKLEGDRASSLDFPPSRKRKYPPSSNKKGAKHKEVDDLLLDSAARPLAKVGWFRIVLDEAQSIKNHKTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAAYKYFCSSIKIPIAKNPAKGYPKLQAILQTIMLRRTKATLLDGEPIINLPPKVIELRKVEFTKAERDFYSRLESDSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVRGFDSNSSWKLSIETARKLPQEKLTFLLSCLSSLELCGICNDPPEDAVVTICGHVFCNQCISEHLTGDDKQCPTKNCKGQLSASSVFSNTSLNSSLSEQPGEDKSLDCSGSKVVEVIGPCSEDSLNDSSKIKAALDVLKSLAKPQDNRLRTSGCPEGSSDLQSPDSLNGLPDGKDLVMGASSNDSSKAPGEKAIVFSQWTRMLDLFEACLKSSSIQYRRLDGTMSVAARDKAVKDFNTLPEVSMISILLLPLLFCTLS >KJB66664 pep chromosome:Graimondii2_0_v6:10:40672069:40680492:1 gene:B456_010G151100 transcript:KJB66664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMMGEDNRNDSFGILSSGDLSADYKFDDFVGDGMCIDLDSINRILEENSDPPQINPEDPSLRNMSQGDSDLESIQFQSDCLRNNSDDSDARAGSTGGSFYYHGNLELSAHDCSPVQTSSASFKEWSAICQGTSYRERVEIPSCSTASSFSEIDGNHALDHGDNLRFDLVDDKTSSLFMNTKEEFGYKNALHSPVDENTNLIFDQYDPCSVISCYVNGDDAYFADSSMQHSPGSLNFMFEESKEGEVVEFPTESACSSSRIIFNSQGEIDNRSVSQLSMNGFSDVKKLHFEGEGNGHVAYGKFSYTANDGLFDDKGSLQPFTHSKPCILNNKQAVYGKNEDNELITSGNIFSHSAESLDEASWRKSTNRVAESLSLDEASKQSSDIYPSVSNQDFMVNGKDAHHYNHDINLNVSSQSFFSEGHLNLTSPENYFSSAYPISSTKMQLGCFQDERENKFIPSRSMGLSKVSPESIHSNSSDCRSYVDDDPDICILEDISQPARSNQSLVLVKKTSSLPNTASSTQLHNPGIGGIRLKGNDEQLIFRVALQGLSQPKSEASPPDGVLAVPLLRHQRIALSWMTQKEKTGLHCMGGILADDQGLGKTVSTIALILNERPSSSKASSQDVRKVELETLNLDDDEEMKQDSDNSHVMSNGASKKSSSPSGQAKGRPAAGTLIVCPTSVLRQWADELHNKVTKEANLSFLVYHGSNRTRDPLELAKYDVVLTTYSIVSMEVPKQPPVQGDDDEKGKLEGDRASSLDFPPSRKRKYPPSSNKKGAKHKEVDDLLLDSAARPLAKVGWFRIVLDEAQSIKNHKTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAAYKYFCSSIKIPIAKNPAKGYPKLQAILQTIMLRRTKATLLDGEPIINLPPKVIELRKVEFTKAERDFYSRLESDSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVRGFDSNSSWKLSIETARKLPQEKLTFLLSCLSSLELCGICNDPPEDAVVTICGHVFCNQCISEHLTGDDKQCPTKNCKGQLSASSVFSNTSLNSSLSEQPGEDKSLDCSGSKVVEVIGPCSEDSLNDSSKIKAALDVLKSLAKPQDNRLRTSGCPEGSSDLQSPDSLNGLPDGKDLVMGASSNDSSKAPGEKAIVFSQWTRMLDLFEACLKSSSIQYRRLDGTMSVAARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQQKKRKMVASAFGEDETGGRQTRLTVEDLEYLFMA >KJB66663 pep chromosome:Graimondii2_0_v6:10:40671899:40680492:1 gene:B456_010G151100 transcript:KJB66663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMMGEDNRNDSFGILSSGDLSADYKFDDFVGDGMCIDLDSINRILEENSDPPQINPEDPSLRNMSQGDSDLESIQFQSESPCSGFTFADCLRNNSDDSDARAGSTGGSFYYHGNLELSAHDCSPVQTSSASFKEWSAICQGTSYRERVEIPSCSTASSFSEIDGNHALDHGDNLRFDLVDDKTSSLFMNTKEEFGYKNALHSPVDENTNLIFDQYDPCSVISCYVNGDDAYFADSSMQHSPGSLNFMFEESKEGEVVEFPTESACSSSRIIFNSQGEIDNRSVSQLSMNGFSDVKKLHFEGEGNGHVAYGKFSYTANDGLFDDKGSLQPFTHSKPCILNNKQAVYGKNEDNELITSGNIFSHSAESLDEASWRKSTNRVAESLSLDEASKQSSDIYPSVSNQDFMVNGKDAHHYNHDINLNVSSQSFFSEGHLNLTSPENYFSSAYPISSTKMQLGCFQDERENKFIPSRSMGLSKVSPESIHSNSSDCRSYVDDDPDICILEDISQPARSNQSLVLVKKTSSLPNTASSTQLHNPGIGGIRLKGNDEQLIFRVALQGLSQPKSEASPPDGVLAVPLLRHQRIALSWMTQKEKTGLHCMGGILADDQGLGKTVSTIALILNERPSSSKASSQDVRKVELETLNLDDDEEMKQDSDNSHVMSNGASKKSSSPSGQAKGRPAAGTLIVCPTSVLRQWADELHNKVTKEANLSFLVYHGSNRTRDPLELAKYDVVLTTYSIVSMEVPKQPPVQGDDDEKGKLEGDRASSLDFPPSRKRKYPPSSNKKGAKHKEVDDLLLDSAARPLAKVGWFRIVLDEAQSIKNHKTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAAYKYFCSSIKIPIAKNPAKGYPKLQAILQTIMLRRTKATLLDGEPIINLPPKVIELRKVEFTKAERDFYSRLESDSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVRGFDSNSSWKLSIETARKLPQEKLTFLLSCLSSLELCGICNDPPEDAVVTICGHVFCNQCISEHLTGDDKQCPTKNCKGQLSASSVFSNTSLNSSLSEQPGEDKSLDCSGSKVVEVIGPCSEDSLNDSSKIKAALDVLKSLAKPQDNRLRTSGCPEGSSDLQSPDSLNGLPDGKDLVMGASSNDSSKAPGEKAIVFSQWTRMLDLFEACLKSSSIQYRRLDGTMSVAARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQQKKRKMVASAFGEDETGGRQTRLTVEDLEYLFMA >KJB66666 pep chromosome:Graimondii2_0_v6:10:40672181:40680492:1 gene:B456_010G151100 transcript:KJB66666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGDSDLESIQFQSDCLRNNSDDSDARAGSTGGSFYYHGNLELSAHDCSPVQTSSASFKEWSAICQGTSYRERVEIPSCSTASSFSEIDGNHALDHGDNLRFDLVDDKTSSLFMNTKEEFGYKNALHSPVDENTNLIFDQYDPCSVISCYVNGDDAYFADSSMQHSPGSLNFMFEESKEGEVVEFPTESACSSSRIIFNSQGEIDNRSVSQLSMNGFSDVKKLHFEGEGNGHVAYGKFSYTANDGLFDDKGSLQPFTHSKPCILNNKQAVYGKNEDNELITSGNIFSHSAESLDEASWRKSTNRVAESLSLDEASKQSSDIYPSVSNQDFMVNGKDAHHYNHDINLNVSSQSFFSEGHLNLTSPENYFSSAYPISSTKMQLGCFQDERENKFIPSRSMGLSKVSPESIHSNSSDCRSYVDDDPDICILEDISQPARSNQSLVLVKKTSSLPNTASSTQLHNPGIGGIRLKGNDEQLIFRVALQGLSQPKSEASPPDGVLAVPLLRHQRIALSWMTQKEKTGLHCMGGILADDQGLGKTVSTIALILNERPSSSKASSQDVRKVELETLNLDDDEEMKQDSDNSHVMSNGASKKSSSPSGQAKGRPAAGTLIVCPTSVLRQWADELHNKVTKEANLSFLVYHGSNRTRDPLELAKYDVVLTTYSIVSMEVPKQPPVQGDDDEKGKLEGDRASSLDFPPSRKRKYPPSSNKKGAKHKEVDDLLLDSAARPLAKVGWFRIVLDEAQSIKNHKTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAAYKYFCSSIKIPIAKNPAKGYPKLQAILQTIMLRRTKATLLDGEPIINLPPKVIELRKVEFTKAERDFYSRLESDSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVRGFDSNSSWKLSIETARKLPQEKLTFLLSCLSSLELCGICNDPPEDAVVTICGHVFCNQCISEHLTGDDKQCPTKNCKGQLSASSVFSNTSLNSSLSEQPGEDKSLDCSGSKVVEVIGPCSEDSLNDSSKIKAALDVLKSLAKPQDNRLRTSGCPEGSSDLQSPDSLNGLPDGKDLVMGASSNDSSKAPGEKAIVFSQWTRMLDLFEACLKSSSIQYRRLDGTMSVAARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQQKKRKMVASAFGEDETGGRQTRLTVEDLEYLFMA >KJB68614 pep chromosome:Graimondii2_0_v6:10:62115038:62118207:1 gene:B456_010G256200 transcript:KJB68614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVQDNDEILLHLYMHDYFIKNNMHETAASLRQEAGVPNNSVQIDHPQGILKEWWSSCSDIILKEFSEAETSAQMSNHMMQTEEHQNTDDMLQQQQVICDQSLGFFTKDSKFHVSGKCHTDLHPAFFGEGNCLNCSTEEVLCCDFSSDGKLLAIAGREAKVMFWNIEDHNKFVTDESHSLPISDVRFKPNSTIVATSSYDKTVQIWDSAEAGKSPLKLQGHGDHVLSLDFHPRRTNLLCSSDRNNEIRFWDINQGSWTHIFKGATKQVRFEPRNGNLLATSSGNVVKVFDVQTYELYAVFKGHDKEVQSICWDPDGTRFISISEDSARLWSVSERKSLHELSSTGNNFQCCTFHPWNWKIWIIGGYRSFEVWNPYERNKTLTIDAHKELVSSLATSIDPEMVASTSYDKSVKLWASP >KJB66553 pep chromosome:Graimondii2_0_v6:10:36937827:36941813:-1 gene:B456_010G143500 transcript:KJB66553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLVTSPFKTLATVPCRSASKTPSPSPLRFKSHVSPFPSAYFLTRRFSTGSAGTAVKKRVEDVMPIATGHEREELQAELEGKKILEDVNNPVGPFGTKESPAVVKSYYNKRIVGCPGGVGEDEHDVVWFWLEKGKPHECSVCSQYFVLEVVGPGGPPDGHGDNDHH >KJB66554 pep chromosome:Graimondii2_0_v6:10:36940753:36941777:-1 gene:B456_010G143500 transcript:KJB66554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLVTSPFKTLATVPCRSASKTPSPSPLRFKSHVSPFPSAYFLTRRFSTGSAGTAVKKRVEDVMPIATGHEREELQAELEGKKILEDVNNPVGPFGTKVRVGFNVFHSLLHFENQY >KJB63556 pep chromosome:Graimondii2_0_v6:10:275408:275866:-1 gene:B456_010G005500 transcript:KJB63556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAGLGKCSKIRHIVRLRQMLRQWRNKARMSASRIPSDVPAGHVAVTVGTSCRRFVVRATHLNHPVFRKLLIQAEEEYGFTNQGPLAIPCDETVFEEVIRFISRSESGHSAAAKFVNIEGNCHVGMWSNKLDLWTESRPLLNGLAEKTIW >KJB67325 pep chromosome:Graimondii2_0_v6:10:53710622:53714197:1 gene:B456_010G186000 transcript:KJB67325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylglycinamide formyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31220) UniProtKB/Swiss-Prot;Acc:P52422] MEPRSLFSGFCSNSITPLYRNPQNPLSSSPPSLSYPCFNQSKHQVSFEIQYFLSSQRLRSVRRLLCKNSVEKVSNLVSEKEGSKSWVKRKRLAVFVSGGGSNFRSINQACIEGFVNGDVVVLVTNKHACGGAQYARDKGIPVILFPKTKDEPDGLSPDDLVKVLREFEVDFILLAGYLKLIPAELIRAYSRSIFNIHPSLLPSFGGKGYYGMKVHKAVIASGARYSGATIHFVDEHYDTGRILAQKIVPVLANDTAEELAARVLREEHKLYVEVTTALCEDRIVWREDGVPLIQSKENPEEYY >KJB67324 pep chromosome:Graimondii2_0_v6:10:53710606:53714197:1 gene:B456_010G186000 transcript:KJB67324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylglycinamide formyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31220) UniProtKB/Swiss-Prot;Acc:P52422] MEPRSLFSGFCSNSITPLYRNPQNPLSSSPPSLSYPCFNQSKHQVSFEIQYFLSSQRLRSVRRLLCKNSVEKVSNLVSEKEGSKSWVKRKRLAVFVSGGGSNFRSINQACIEGFVNGDVVVLVTNKHACGGAQYARDKGIPVILFPKTKDEPDGLSPDDLVKVLREFEVDFILLAGYLKLIPAELIRAYSRSIFNIHPSLLPSFGGKGYYGMKVHKAVIASGARYSGATIHFVDEHYDTGRILAQKIVPVLANDTAEELAARVLREEHKLYVEVTTALCEDRIVWREDGVPLIQSKENPEEYY >KJB67732 pep chromosome:Graimondii2_0_v6:10:57262205:57262639:1 gene:B456_010G206900 transcript:KJB67732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINEGLKAYCGGCGELLSAPCFTCSHCNYHLHKQCAEAPLSLPSHPSHPRHSYYGLFHQQRPYPSDHNVCGCAVCKEKPNTFFYECHFCYFSLDIKCAHLSSSCKFNQQSKYH >KJB64911 pep chromosome:Graimondii2_0_v6:10:10114221:10117400:1 gene:B456_010G072000 transcript:KJB64911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPLFMSSSGGSGSNYSGSENPLPPPSPGIVLGFSKNRFTYEELARATDGFSDTNLLGQGGFGYVHRGVLPNGKQVAVKQLKAGSGQGEREFHAEVEIISRVHHKHLVSLVGYCISGTTRMLVYEFVPNNTLEFHLHGKGRLTIDWPTRMRIALGSAKGLAYLHEDCHPKIIHRDIKAANILLDFKFEAKVADFGLAKFSSDANTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGHRPVGSSYREDSLVDWARPLLTRAMEDGNYESLADPKLQKEYNHNEMTRMVACAAACVRHSARRRPRMSQIVRALEGDASLSDLNEGVTPEQSSVYSSYESSDYDTNQYNEDMKRFRRMALDTQEYAASSEYSEATTEYGLYPSGSSYEGQTTREMEMRKMMKNSQGFSGNSTS >KJB64910 pep chromosome:Graimondii2_0_v6:10:10112190:10117400:1 gene:B456_010G072000 transcript:KJB64910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPPPRATPAPTSPPSTNSTSPPPSSAASPPPSTSSTPPPATPSPPTPSASSPSPSSSTSPSTPTTTSPPPPSSFSPSPPSPSASTTPRGSGTPSRPPPSRSSGNPSRPPPSRSSGTPSRSSPPSESSNGVSTGLVIGIAIGGVAILLVLSLFCICCKKKSRRRRRDDESYYMPPLPPGPKDDPYGGQQYRVQQNPPPPVNQSSAVPTKPSPPPVTAWRPQAMPPPPLFMSSSGGSGSNYSGSENPLPPPSPGIVLGFSKNRFTYEELARATDGFSDTNLLGQGGFGYVHRGVLPNGKQVAVKQLKAGSGQGEREFHAEVEIISRVHHKHLVSLVGYCISGTTRMLVYEFVPNNTLEFHLHGKGRLTIDWPTRMRIALGSAKGLAYLHEDCHPKIIHRDIKAANILLDFKFEAKVADFGLAKFSSDANTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGHRPVGSSYREDSLVDWARPLLTRAMEDGNYESLADPKLQKEYNHNEMTRMVACAAACVRHSARRRPRMSQIVRALEGDASLSDLNEGVTPEQSSVYSSYESSDYDTNQYNEDMKRFRRMALDTQEYAASSEYSEATTEYGLYPSGSSYEGQTTREMEMRKMMKNSQGFSGNSTS >KJB64142 pep chromosome:Graimondii2_0_v6:10:3163754:3166490:-1 gene:B456_010G034600 transcript:KJB64142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFTHLSWWLWSGKHQEPRIANGSSLSSSPDSGLLDSDNLKFPLVKRANMASSSRKVRRKWHSREERKIDREYDVVIVPSDGGCVSGSESDGSDYSIGWMEPHGPGFNSDDESDNSFAVLVPCYGHRQDDMLEDPKNNLLGAIVNIPNYYSAAKITWNSGFHLCRLANIPDYRRFLMDLYSITPSAGRTWSRVSSSI >KJB64140 pep chromosome:Graimondii2_0_v6:10:3163803:3166461:-1 gene:B456_010G034600 transcript:KJB64140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFTHLSWWLWSGKHQEPRIANGSSLSSSPDSGLLDSDNLKFPLVKRANMASSSRKVRRKWHSREERKIDREYDVVIVPSDGGCVSGSESDGSDYSIGWMEPHGPGFNSDDESDNSFAVLVPCYGHRQDDMLEDPKNNLLGAIVNIPNYYSAASKNYVEQWLSSLQTS >KJB64141 pep chromosome:Graimondii2_0_v6:10:3164255:3165689:-1 gene:B456_010G034600 transcript:KJB64141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFTHLSWWLWSGKHQEPRIANGSSLSSSPDSGLLDSDNLKFPLVKRANMASSSRKVRRKWHSREERKIDREYDVVIVPSDGGCVSGSESDGSDYSIGWMEPHGPGFNSDDESDNSFAVLVPCYGHRQDDMLEDPKNNLLGAIVNIPNYYSAASKNYVEQWLSSLQTS >KJB68275 pep chromosome:Graimondii2_0_v6:10:60631341:60631637:1 gene:B456_010G235800 transcript:KJB68275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRGSKLIGDRVFKRVGFRPLTPKGYIPVCVGFDNDTRRFIVHRKALCDRDFLEMLYKSSEEYGFHYEGVLRVRYEAKDFEEWITRKMKRKNIIYVN >KJB64352 pep chromosome:Graimondii2_0_v6:10:4576589:4578201:-1 gene:B456_010G044400 transcript:KJB64352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSTTHTSLSLFLFSCFSSMSEILANSKEENSPQEQQQRSKANNMPRANLNVLPPHPWFPVNSKDDSDIGLESDGYFEEQDSEDKKEEEEIRQLEFQVALTQELANMMIRKLQELRLEVFLIGLENENLKKKLRQLTHNWLNP >KJB65358 pep chromosome:Graimondii2_0_v6:10:14533303:14537228:1 gene:B456_010G091800 transcript:KJB65358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:susC MASISVCERLGESLATHPQQAKSILSRIESLGKGIHKSQKLLSVLDKEAGNQALDGMVVEVLRSTQEAVVSSPLVALAIRSAPGVWEYIALEFQKLFVEEMPVAEYLRLKEELVDGSSNGEFMLELDFGAFNNSVPRPSLSKSIGNGMDFLNRHLSAKLFQDKENLNLLLEFLQIRCQKGKGMLLNDRIQDVNSLQHALRKAEEYLTPLSSDTPYSVFEKRFLGIGLEKGWGDNAEHVLEMIHLLLDLLQAPDPVALESFLGRIPLVANVVIMTPHGYFAQDNVLGYPDTGGQVVYILDQVRALEEELLHRFKLQGLDITPRILVITRLLPDAVGTTCGQRLEKVYGTKYSDILRVPFRTEKGIVRPWISRFKVWPYLETYTKDVAAEITKEFQGKPDLIVGNYSDGNIVASLLAHKFDVTQCSIAHALEKTKYPDSDINWKQLEDKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTLGQYESHIAFTLPGLYRVVDGIDVFDPKFNIVSPGADMSIYFPYTEEKRRLKKFHPEIEELLYSPVENTEHLCVLKDRNKPILFTMARLDRVKNLTGLVEFYAKNSRLRELVNLVVVGGDRRKESKDLEEKAEMKKMYELIEKYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPAIYEAFGLTVVEAMTCGLPTFATCYGGPAEIIVHGKSGFNIDPYNGDLAAETLANFFEKCKADPSYWDEISQGGLKRIQEKYTWQIYSEKLLTLTGVYGFSKHVAYQEQRGRKRYIEMLHALMYNNRVKTAPLAVE >KJB65359 pep chromosome:Graimondii2_0_v6:10:14533649:14537174:1 gene:B456_010G091800 transcript:KJB65359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:susC MASISVCERLGESLATHPQQAKSILSRIESLGKGIHKSQKLLSVLDKEAGNQALDGMVVEVLRSTQEAVVSSPLVALAIRSAPGVWEYIALEFQKLFVEEMPVAEYLRLKEELVDGSSNGEFMLELDFGAFNNSVPRPSLSKSIGNGMDFLNRHLSAKLFQDKENLNLLLEFLQIRCQKGKGMLLNDRIQDVNSLQHALRKAEEYLTPLSSDTPYSVFEKRFLGIGLEKGWGDNAEHVLEMIHLLLDLLQAPDPVALESFLGRIPLVANVVIMTPHGYFAQDNVLGYPDTGGQVVYILDQVRALEEELLHRFKLQGLDITPRILVITRLLPDAVGTTCGQRLEKVYGTKYSDILRVPFRTEKGIVRPWISRFKVWPYLETYTKDVAAEITKEFQGKPDLIVGNYSDGNIVASLLAHKFDVTQCSIAHALEKTKYPDSDINWKQLEDKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTLGQYESHIAFTLPGLYRVVDGIDVFDPKFNIVSPGADMSIYFPYTEEKRRLKKFHPEIEELLYSPVENTEHLCVLKDRNKPILFTMARLDRVKNLTGLVEFYAKNSRLRELVNLVVVGGDRRKESKDLEEKAEMKKMYELIEKYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPAIYEAFGLTVVEAMTCGLPTFATCYGGPAEIIVHGKSGFNIDPYNGDLAAETLANFFEKCKADPSYWDEISQGGLKRIQEKYTWQIYSEKLLTLTGVYGFSKHVAYQEQRGRKRYIEMLHALMYNNRVKTAPLAVE >KJB65701 pep chromosome:Graimondii2_0_v6:10:20548142:20552457:1 gene:B456_010G109400 transcript:KJB65701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLREIEGKQAHDPIFIEKMNKSCSRSSRCVYVPGPLIVGAGPSGLAAAACLKAKGVPSVILERSSCIASLWQFKTYDRLHLHLPKQFCQLPLMGFPTDFLTYPTKQQFVDYLESYAKKFDIRPRFNETVSRAEYDPTVGFWRVRSVRSKGKETEYVCRWLVVATGENAEEVVPEIEGMGEFGGDIRHTSSYKCGEEFRGKRVLVVGCGNSGMEVCLDLYNHNAKPSVVVRDTVHILPREMLGRSTFGLSMWLLKWLPMRLVDRFLLIVSWLMLGDTARFGLDRPRLGPLQLKNLSGKTPVLDVGTLAKIKSGDIKVCPSIKCLKGHAVEFVNGKTESFDAIIFATGYKSNVPSWLKERKMFSEKDGYPRRPFPNGWKGESGLYAVGFTKRGLLGTSMDAKRIAEDIEWCWKQEAKHHFCTHHNHHHHHHD >KJB66055 pep chromosome:Graimondii2_0_v6:10:26202407:26205430:-1 gene:B456_010G125700 transcript:KJB66055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISVHIGQAGIQVGNSCWELYCLEHEIHPDGTMPSDTSVGVAHDAFNTFFSETGSGKHVPRAVFVDLEPTVIDEVRTGPYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRVRKLADNCTGLQGFMVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFARIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEEEGEEY >KJB66054 pep chromosome:Graimondii2_0_v6:10:26202407:26205484:-1 gene:B456_010G125700 transcript:KJB66054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISVHIGQAGIQVGNSCWELYCLEHEIHPDGTMPSDTSVGVAHDAFNTFFSETGSGKHVPRAVFVDLEPTVIDEVRTGPYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRVRKLADNCTGLQGFMVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFARIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEEEGEEY >KJB63959 pep chromosome:Graimondii2_0_v6:10:2346593:2351169:1 gene:B456_010G028500 transcript:KJB63959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMADPYVLAWISVVTTLLALCGAVLKRRKVNGISSSRTMSVTSVSAVDGECRSADGCDTDVIIVGAGVAGSALAHTLGKDGRRVHVIERDLSEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQQVFGYALFKDGKRTRLSYPLENFHSDVSGRSFHNGRFIQRMREKAASLPNVRLEQGVVTSLLEEKGTIRGVQYKTKDGRELTAFAPLTIVCDGCFSNLRRSLCNPQVDVPSCFVGLVLENCYLPYANHGHVILADPSPILFYPISSTEIRCLVDVPGQKVPSIANGEMANYLKTVVAPQVPPEIYDSFVAAVDKGNIRTMPNRSMPASPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLKPLRDLNDAPTLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDQARKEMRQACFDYLSLGGVFSTGPISLLSGLNPRPLSLVLHFFAVAIYGVGRLLLPFPSPKRIWIGARLISGASGIIFPIIKAEGVRQMFFPATVPAYYRRAPPAN >KJB63960 pep chromosome:Graimondii2_0_v6:10:2346688:2350711:1 gene:B456_010G028500 transcript:KJB63960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMADPYVLAWISVVTTLLALCGAVLKRRKVNGISSSRTMSVTSVSAVDGECRSADGCDTDVIIVGAGVAGSALAHTLGKDGRRVHVIERDLSEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQQVFGYALFKDGKRTRLSYPLENFHSDVSGRSFHNGRFIQRMREKAASLPNVRLEQGVVTSLLEEKGTIRGVQYKTKDGRELTAFAPLTIVCDGCFSNLRRSLCNPQVDVPSCFVGLVLENCYLPYANHGHVILADPSPILFYPISSTEIRCLVDVPGQKVPSIANGEMANYLKTVVAPQVPPEIYDSFVAAVDKGNIRTMPNRSMPASPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLKPLRDLNDAPTLCKYLESFYTLRKVNHMNKAQLPFAISRID >KJB66853 pep chromosome:Graimondii2_0_v6:10:45947105:45948496:1 gene:B456_010G161000 transcript:KJB66853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDSNFNKAGNPFVSEQQVEGNDNVSLETGAESPPSSTTFNDMKLPSPRKGKPIQKRVVSVPIKDVDSSRLKGESAPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPKMLVVTYSYEHNHPWPASRNNTAAAKQAVAAAEAETPTMTTTAAVKPGPSTSQPDTEPESGSEEKFADLTDDSILKTRDEFGWLGEMETTSSTVLESQIFSERDNGEGDVGMVFPMREEDELLFADLGELPECSFVFRHQRKMGPQVGIC >KJB66852 pep chromosome:Graimondii2_0_v6:10:45946955:45948589:1 gene:B456_010G161000 transcript:KJB66852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDSNFNKAGNPFVSEQQVEGNDNVSLETGAESPPSSTTFNDMKLPSPRKGRKPIQKRVVSVPIKDVDSSRLKGESAPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPKMLVVTYSYEHNHPWPASRNNTAAAKQAVAAAEAETPTMTTTAAVKPGPSTSQPDTEPESGSEEKFADLTDDSILKTRDEFGWLGEMETTSSTVLESQIFSERDNGEGDVGMVFPMREEDELLFADLGELPECSFVFRHQRKMGPQVGIC >KJB65970 pep chromosome:Graimondii2_0_v6:10:25224077:25226628:-1 gene:B456_010G122900 transcript:KJB65970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQQGSRIDLGELKAQIVKKIGAERSKRYFYNLSRFLSQKLSKCEFDKSCYRIIGRQNLSLHNQLIRSILKNACQAKTPPPGPVKSLIQTVERSPGREDAHEVSGSLVPNHNQNADIWSNGVFPVSSPRKARSGIRDRKPRDRPSPLGPSGKAESVSHHSMGMEDNVSKLGIENGDLTPYDYQRPVQHLQAVAELPEIVRGLVHSAEKPRVPGKGQAVGAVVEDGQEVEQANQIDLSRSPLLAPLGIPFCSASVGGARKALAVAGSSDFISYYDSGGLYDIKTLKKRMEQIAAAQGLGGVSVECASMLNNMLDVYLKKLIRSCVDLVGSKSTHEVKKHSAHKSLPQGKLVNGMWPSNHLHMQSSSGPIEVLQEPGQCCLISLLDFKVAMELNPLQLGEDWPVLLEKICMHSFE >KJB65910 pep chromosome:Graimondii2_0_v6:10:23682608:23687943:-1 gene:B456_010G118900 transcript:KJB65910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDAFQADGKCIRYVTSDLATDVTINVGEVKFYLHKFPLLSKSSRLRKLVLKASEECCDEINMVDFPGGPKAFEICAKFCYGMTVTLNAYNVVAACCAAEYLEMTEDVDRGNLIFKIEVFLNSSIFRSWKDSIIVLQTTKSVLPWSENLKIVGRCIDSIASKTSLDPAKITWSYTYNRKLSVSDKTAGDGMKFREKIVSVPKDWWVEDICELDIDLYKRVMTAVKSKGRMDGVAICEALKTYAVRWLPDSVDTLDSDVHSWRNKLLVETIVCLLPSDRGVGCSCSFLLKLLKVAILVGVDNSAKEDLLKRISLKLYEASVKDLLIPARPPQTTLYDIEMVLSIVKRYMLLSHDLDVAKNEMGCTDLVLGHGSLLSVGKLIDEYLEEIARDPNLSLASFIDLSQSVPEFARPVHDGLYKAIDTYLKEHLSLTKVERKKLCSLMDVKKLTMDASMHAAQNERFPLRVVVQVLFFEQVRSVAGVQRLRDTSHSTTNTDEESEKTAAEDCNSLEKQMSRIKIKEDAFQEKCELAKQSSKNSKSGIQLLPSRSRRMFDKLWGFGKGHADNRSSETSASSQSPTSMVPGDTKSSGSSSRNRRHSIS >KJB66610 pep chromosome:Graimondii2_0_v6:10:38721498:38722544:-1 gene:B456_010G147200 transcript:KJB66610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYERILNTIRNSEELRRGGIERLEKAQTRLRKVEIEADQFRANGYSEIEREKLNLINSTYKILEQLENYKKSNC >KJB68459 pep chromosome:Graimondii2_0_v6:10:61528499:61530355:-1 gene:B456_010G246600 transcript:KJB68459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEKRKILIFGGCGYLGKFMVKASVSLGHPTFVYTRPLNPHPPNAPTSKFLLLKDFESMGVTIFYGELDEHEKLVSVLRQVDVVISTLAVPQHLDQFKIIKAIKDAGTIKRFVPSEFGNEVDRSRNALPPFEALLGNKRKIRRAVEEAGISFTYVAGNSFAAYFVDIILHPHQNPSEVVVYGSGEAKVVFNYEEDVATYTVKAATDPRVANRVIIYRPPGNIASQLELISAWEKKTGRTLKRVYVPEEELVKLSETMSFPDNIPVAVLHNIFVKGEQMRYEVTGDDLEASKLYPDYNYTSIDKYLDVCLVDPPKPKLAAFA >KJB67600 pep chromosome:Graimondii2_0_v6:10:56102529:56103681:-1 gene:B456_010G199700 transcript:KJB67600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQIDDAEFWLPSEILMEDGILMGKQNNTELFPYEFEHSYDSFSALSFPVESTVGPAETESSDRDEFLAGLTRRLVLSMNHKLTLYGLSLNNNEENGGLARSAQWTQSNGGSQVAKYSDRDINHGRNLPNTQNHGFMKKSNQSVSCNLAQTNHYDGRQMKARNKQQQQPKQKKSSLESNKVGERGECSRASFQVQSQLQLPTRVILLQGFRNVKTESVGTGVFLPRKYHNKPSKPRKKSGKNNYA >KJB67599 pep chromosome:Graimondii2_0_v6:10:56100564:56103681:-1 gene:B456_010G199700 transcript:KJB67599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQIDDAEFWLPSEILMEDGILMGKQNNTELFPYEFEHSYDSFSALSFPVESTVGPAETESSDRDEFLAGLTRRLVLSMNHKLTLYGLSLNNNEENGGLARSAQWTQSNGGSQVAKYSDRDINHGRNLPNTQNHGFMKKSNQSVSCNLAQTNHYDGRQMKARNKQQQQPKQKKSSLESNKVGERGECSRASFQVQSQLQLPTRVILLQGFRNVKTESVGTGVFLPRKYHNKPSKPRKKSAVLIPRRNANLRQENRNDRAMGSFNLPQDWTY >KJB66892 pep chromosome:Graimondii2_0_v6:10:47203570:47205854:1 gene:B456_010G163400 transcript:KJB66892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDKSPAPTPAKGAEAAPPGQPMTMGQHVVDKGASMLQALTPVKQISQHVCTFALYSHDMCRQIETHHYVSRLNQDFLQCPVYDSDDSNASLIGIEYIISDRLFEALPQEEQKLWHSHAYEIKSGLWVNPRIPEMIGKPELENLAKTYGKFWCTWQVDRVMLGGDEGDRLPLGAPALMMSPQGVNLGKIDPELVKKRDDNYSISTEAIMESRVEIEEPEWINPQADYWKQHAKGFAIDIEKTEMKLRAPFP >KJB64972 pep chromosome:Graimondii2_0_v6:10:10551194:10552750:1 gene:B456_010G074000 transcript:KJB64972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLKALLLALFIIAVVVDQSFVEANFPKSMYINWGAHHSSFAGNGEDLQLVLDQTSGSDVKSKRSFLFGSIEMLIKLVPGNSAGTVTAYYHDEINFEFLGNVSGQPYIVHTNIYTQGNGSREQQFYLWFDQTADFHNYTIHWNPTEVVWYIDNLPIRVFRNYEKDGIAFPNKQGMRVHASLWNADNWATRGGLVKIDWNSSPFIARFHRFRARACKWDGPVSINQCATKSPANWWTSPSFSQLSSSQMGQLNWVRQNFMIYDYCKDTKRFNGQIPPECFKQQF >KJB67703 pep chromosome:Graimondii2_0_v6:10:57057465:57057961:1 gene:B456_010G204800 transcript:KJB67703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFNCLGVGNDSKKQILNVVGQSHTSNFTKENYKQSISNGKLMPITSKHLQASSWRKIFMNDSMMCDISCFSYDHTRADKWFGFTCQSYKPPAKRTQD >KJB68280 pep chromosome:Graimondii2_0_v6:10:60654393:60657729:-1 gene:B456_010G236100 transcript:KJB68280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIPPARSRLVTANYLWPDLKKSGSKKRSGRKHSKKPAVGFEDDFEADFQVFKDEDSDVDDFDDDVDDVLADVKSFAFSATEKPSPAVSHGSNSIKSVEFNGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEALRIRGKKAKVNFPDETPRTSPKNAAKMNSQKPLSGSNLSSVQSSLNPDFSYSNKHEQGYYDTMGFIEEKSLMDQFAYADPVRAAVDDGLKPFAEPENSASYFNSDQGSNNFDSSDLVWGEQGAKTPEISSSLGPTLEVHEFLDNANPTKKLKPSSNNVMPTGGDNMVKSLSDELLAMDNHVNYFQTPFIDENWDVSIDDFLNGDATQDGGNEMGFWSFDDFPSIDGGVF >KJB64716 pep chromosome:Graimondii2_0_v6:10:7522266:7526294:-1 gene:B456_010G061600 transcript:KJB64716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMTEITDMETIPGESNNQLALTTPEAQPIKRRKKKSMVWEYFTIENVSAGCRRAYCKRCKQSFAYSTGSKVAGTSHLKRHIAKGTCRALLRGQGQDNNQFITPYNPKMGGSEPPKRRYRSPSSPFIPFDQDRCRHEIARMIIMHEYPLHIVEHPGFIAFVQNLQPQFDKMSFNTVQGDCVATYLREKQSLMNFIEGIPGRFCLTLDMWSSNQTLGYVFITGHFVDSDWKLHRRVFNVVMEPYLDSRSALSHAIAACLSDWSLEGKLFSLTFNHPLSEAGLENLRPLLCVKNPLILNGQLLIRNCIARNMSSMAKDVLGAGQEIIKKIRDSVKYVKMSESHDDKFIQVKNQLQVPSEKSLFLDNQTQWNTTYEMLAAASELKEVFDCLDTYDPDYKLAPSMEDWKLAETLCSFLKPLFDAASILTTTTLPTVITFFYEVWKIHVDLGRSVTSEDPFISNLAKSMQEKIDKYWKDCSLVLAMAVVMDPRFKMKLVEFSFTKIYGEDAPTYIKTVDDGIHELFLEYVALPLPLTPTYAEEVNGANNGKTNESHQGNLLSDHGLADFDVYIMETNSQQMKSELDQYLEESLLPRVQEFDVLGWWKLNKMKYPTLSKMARDILSIPVSAAATESIFDITDKQLDEYRSSLRPETVEALICAKDWLHYGSSDVSNALVRMEF >KJB66639 pep chromosome:Graimondii2_0_v6:10:39920971:39924455:1 gene:B456_010G149200 transcript:KJB66639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVDGGESPSRLEGKLNAMIVCWILGFGSLISWNSMLTIGDYYYNLFPDYHPSRVLTLVYQPFAFGTMLILAYNESKINTRRRNIFGYSLFVAITFMLLVLDLATSGRGGLGSFICICVIFAFFGVSDACVQGGIVGDLSFMLPDFIQSFFAGMAASGALTSALRLITKAAFEKSNKGLRKGAMLFLAISTLFEFLCVLLYAYFFPKLPIVKYFRSKAALEGSKTVQADLEAAGIQIKDDHHEQNERLSNKQLFIQNVDYALDMFLIYVLTLYPLVLIACDNVWNLISRYLLLVKFLKIESRKGLTIAILSRFLLIPAFYFTAKYGDQGWMILLVSFLGLTNGHLTICVMTAAPKGYKGPEQNALGNIVVLCLLIGIFAGVSLDWLWFIGKKNAF >KJB63413 pep chromosome:Graimondii2_0_v6:10:28288815:28289326:1 gene:B456_010G130300 transcript:KJB63413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYGRTKNIESHLLEFTVLLFTNRKSFLHFSRVASKNQLLSSLTYSHMHANLTGPHTDHLSSALWMLKQSNLVLFPHYRQPSFNFLLINYFYSMLLFSFYFLFFHIFEVFN >KJB65911 pep chromosome:Graimondii2_0_v6:10:23748307:23751812:1 gene:B456_010G119000 transcript:KJB65911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQSRSIHNKRWMAMIPALLFLSLIHGVHCFYLPGVAPEDFQKGDPLKVKVNKLTSIKTQLPYSYYSLPFCTPEKIVDSAENLGEVLRGDRIENSPYAFTMQEPQLCTVLCRIMIDAKTAKQFKEKVDDEYRVNMILDNLPLVVPIKRLDQASNTVYQLGYHIGLKGQYAGNKEEKYFIHNHLAFTVKYHRDLQTGSSRIVGFEVKPYSIKHEYEGKWSEKTRLTTCDPHMKRMVVNSNTPLEVEVNKEIIFSYDVEYQESDVKWASRWDSYLLMSDDQIHWFSIVNSLMIVLFLTGMVAMIMLRTLYRDISKYNELETQEEALEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFLGMVLVTMIFAVLGFLSPSNRGGLMTAMLLLWVSMGIFAGYASTNLYKMFKGAEWKKIAAKTAFMFPAIVFVIFFVLNALIWGQKSSGAVPFGTMFALVFLWFGISVPLVIVGGYVGFKKPAMEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLNQFYYMFGFLFLVFIILLITCAEITIVLCYFQLCSEDYKWWWRSYLTSGSSALYLFLYAAFYFFTKLEITKLVSGLLYFGYMLIASYAFFVLTGTIGFYACFCFTRLIYSSLKID >KJB63580 pep chromosome:Graimondii2_0_v6:10:425953:426838:1 gene:B456_010G007000 transcript:KJB63580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLGLCCFLIFCVAVPTTRNLKLNEELLPSSLQNLLPQDVNKSNEAEEMFGHGFNEERMLIETIDYEETGANTKHDPLAPPPLI >KJB68294 pep chromosome:Graimondii2_0_v6:10:60738882:60743216:-1 gene:B456_010G237100 transcript:KJB68294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIWNKLMTICLVIEIIANHLRVPTICFAHNITAFFVFGDSLVEVGNNNYINTLAKPVFPNGIDFPKGAPSGRFTNSRTIADIIEEELGFKDYAPPFLAPNTNGDLILKGVNYASSGSGILQPSGLIFGGRICMDKQVDYFAKTRQDIISRIGAPAAQAMLRNSLYFVMIGSNDKLTLFSFDFNHYVDGVVSKFKSQLTTLYNLDARKIVVLSSLKVGFMPFEIDIHFCGQDCVSPLNKLAKLYNSKLKSLLEDLTKNLSGSTFVYADYYAVVEDLINNYRSYDFEKANHACCELIGRHGGLFPCLPVCRICPDRTKYVFWDPFHLTESANLIVAKQLLDGGLEYVSPINIRQLANS >KJB63942 pep chromosome:Graimondii2_0_v6:10:2068894:2070370:1 gene:B456_010G025600 transcript:KJB63942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strigolactone esterase D14 [Source:Projected from Arabidopsis thaliana (AT3G03990) UniProtKB/Swiss-Prot;Acc:Q9SQR3] MANTLLEALNVRVVGSGDRILVLAHGFGTDQSVWQRILPFFTPNYRVILYDLVCAGSVNPDYFDSTRYDTLDAFVDDLLNILKALGVHRCAYVGHSVSAMIGILASIRCPQLFSKLILIGASPRFLNDENYHGGFELGEIEEVFSAMEANYEAWVNGFAPVLVGADVPTAVREFSRTLFNMRPDISLFVSRTIFNSDLRGELGKVRVPCCVIQTAKDVSVPASVAEYLKTRLGGRTTVEILRTEGHLPHLTAPVLLAQVLRRALPR >KJB64406 pep chromosome:Graimondii2_0_v6:10:5211544:5216575:-1 gene:B456_010G047900 transcript:KJB64406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEANLPVPPSGNLVRSIPNQDTSSSSSPPGLIRDVQAAFKRHRSLGTMQTNGIVPRRTVVPQRAASRNVGANAGANKSRDCISSSNVQLVKGKFMVGEYQEDASNTTPSITGTITKTFDEDFNPFDVGRDPPKEFVDKKENYLIPSHDVESKHVDCQRKVRFLTGDNATSQEMEWDAVNQVEASTVVNNESKHRHFQNTESGTTLKSDGGISSLAKRTTVIQDQIHQLGNFLGQPLTQSSVVGPPSSTITSIHSSSAPMLNLTTYCSHSLQEEGSHVEKEPLGYFDVNCQLGNQGDMMQQPFPSTKETSGMLLDQTALAARASTSGNDKQMEVKGFDLPRKQEGCLANKDELSKDPCPQYTKSIKGQDSAGDVTNIQSQAPPPKDLTLDVKLDPLKEEKQRKAASNKGASAPRKRNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCAIYALKKIKLKGRDYATAYGFCQEIEYLNRLKGNNSIIQLIDYEVTDKNLLREVMNGSMSNKDGRVKDDGYLFMVLEYGEIDLAHMLSQKWKEMDSFNQTIDENWLRFYWQQILQAVNTIHKERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDAQVGTLSYMSPEAFMCVESDENGNTIKCGRPSDIWSLGCILYQMVYGRTPFAEYKTFWSKFKVITDPNHEITYGPVSNPWLLDLMKKCLAWDRKKRWRIPQLLEHPFLVPPVPPQLCLSQNQNCQLLDLIAKECSNNQDALMISTQLSQLLRYPMSQITSQEQQCKLLSQISKLCRQLHEHLSKLG >KJB64407 pep chromosome:Graimondii2_0_v6:10:5211733:5216294:-1 gene:B456_010G047900 transcript:KJB64407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEANLPVPPSGNLVRSIPNQDTSSSSSPPGLIRDVQAAFKRHRSLGLPGTMQTNGIVPRRTVVPQRAASRNVGANAGANKSRDCISSSNVQLVKGKFMVGEYQEDASNTTPSITGTITKTFDEDFNPFDVGRDPPKEFVDKKENYLIPSHDVESKHVDCQRKVRFLTGDNATSQEMEWDAVNQVEASTVVNNESKHRHFQNTESGTTLKSDGGISSLAKRTTVIQDQIHQLGNFLGQPLTQSSVVGPPSSTITSIHSSSAPMLNLTTYCSHSLQEEGSHVEKEPLGYFDVNCQLGNQGDMMQQPFPSTKETSGMLLDQTALAARASTSGNDKQMEVKGFDLPRKQEGCLANKDELSKDPCPQYTKSIKGQDSAGDVTNIQSQAPPPKDLTLDVKLDPLKEEKQRKAASNKGASAPRKRNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCAIYALKKIKLKGRDYATAYGFCQEIEYLNRLKGNNSIIQLIDYEVTDKNLLREVMNGSMSNKDGRVKDDGYLFMVLEYGEIDLAHMLSQKWKEMDSFNQTIDENWLRFYWQQILQAVNTIHKERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDAQVGTLSYMSPEAFMCVESDENGNTIKCGRPSDIWSLGCILYQMVYGRTPFAEYKTFWSKFKVITDPNHEITYGPVSNPWLLDLMKKCLAWDRKKRWRIPQLLEHPFLVPPVPPQLCLSQNQNCQLLDLIAKECSNNQDALMISTQLSQLLRYPMSQITSQEQQCKLLSQISKLCRQLHEHLSKLG >KJB63922 pep chromosome:Graimondii2_0_v6:10:1962499:1964384:1 gene:B456_010G024600 transcript:KJB63922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITNFNGFGVGIGFGVGCGFGVGWGFGGMPLNILGFGAGGGCGIGFGLGWGFGSAYGSQYRSSRVTFQGLEFGKDDRRQNGKLNEKPKNTKENRLSQ >KJB64038 pep chromosome:Graimondii2_0_v6:10:2577715:2582024:1 gene:B456_010G030300 transcript:KJB64038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDRNLSNWVHSLYGKPMLYKVYDYSRKSYELLSAAAVVRFCSNVLRHYNEYANECGEIKIGKIRIVRKLSEALPNFFVDLFDGCVNHAYCLEELYKPNVHEAVY >KJB64977 pep chromosome:Graimondii2_0_v6:10:10560796:10561886:-1 gene:B456_010G074300 transcript:KJB64977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQKTERANQIKSRFKYESQCTFLPIIQIDGGKLMSSDINELYRRVIYRNNTLTDLLTISRSMPGELVMCQEKLVQEAVDTLLDNGIRGQPMRDGHNKVYKSFSDVIEGKEGRFRETSLGKQVNYSRRSIIVVGPSLSLHCCGLPREIAIELFQTFVIRGLIRQHLAPNIGVTKSKIREKGPIVWEILVPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNGDFDGDQMAVHACLLMFSHMNLLSPTIGDPISVPTQDMLIGLYVLMSGNRRGICANRYNP >KJB63216 pep chromosome:Graimondii2_0_v6:10:2688:7661:-1 gene:B456_010G0001003 transcript:KJB63216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKGAYMISKGPNLRCYICLLEYEEGDSMRILPCNHEFHRTCIDNWLKEVH >KJB65172 pep chromosome:Graimondii2_0_v6:10:13838602:13839418:-1 gene:B456_010G090200 transcript:KJB65172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASEIAAKLNLVPNPEGGLYTETFRDISIFLSKSQLPPHYKVDRPVSTCIYFMLQSGNVSRLHRIPCAETWHFYLGEPLTIVELDEKDGKLKLTCLGPDLGDNQQLQYTVPPYVWFGAFPTKDFHISSDGRAAKAEPRDAECHYSLVGCTCAPAFQFQDFELGKHSELVSTFPNYEPIISFLTNTD >KJB65173 pep chromosome:Graimondii2_0_v6:10:13838372:13839601:-1 gene:B456_010G090200 transcript:KJB65173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASEIAAKLNLVPNPEDKVDRPVSTCIYFMLQSGNVSRLHRIPCAETWHFYLGEPLTIVELDEKDGKLKLTCLGPDLGDNQQLQYTVPPYVWFGAFPTKDFHISSDGRAAKAEPRDAECHYSLVGCTCAPAFQFQDFELGKHSELVSTFPNYEPIISFLTNTD >KJB63331 pep chromosome:Graimondii2_0_v6:10:61703619:61704650:1 gene:B456_010G249300 transcript:KJB63331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDITASAKPEYPVIDRNPPFTKVVGNFNTLDYLRFTTITGVSVTVGYLSGIKPGLKGPSMVTGGLIGLMGGFMYAYQNSAGRLMGFFPNDGEVARYRKRGLKN >KJB63329 pep chromosome:Graimondii2_0_v6:10:61703619:61704560:1 gene:B456_010G249300 transcript:KJB63329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDITASAKPEYPVIDRNPPFTKVVGNFNTLDYLRFTTITGVSVTVGYLSGGLIGLMGGFMYAYQNSAGRLMGFFPNDGEVARYRKRGLKN >KJB63330 pep chromosome:Graimondii2_0_v6:10:61703619:61704581:1 gene:B456_010G249300 transcript:KJB63330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDITASAKPEYPVIDRNPPFTKVVGNFNTLDYLRFTTITGVSVTVGYLSGIKPGLKGPSMVTGGLIGLMGGFMYAYQNSAGRLMGFFPNDGEVARYRKRGLKN >KJB64899 pep chromosome:Graimondii2_0_v6:10:9798171:9799416:-1 gene:B456_010G071200 transcript:KJB64899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRNSISNTKRFFQKTLRSFKSLFSGADAEPYQRLPKASPYNPYSFTAAGVAVNPNDNGNPKERNDNRKKINFSTHNIEAQRQGNNKGNVKQSTTQRRDDHQDIKQRKTRSAKVGNRREDCNSGERRREERNLSVPQKLKELEMMDMSNVEHVLDIEEKFWDPQILQEESTQGQNTDQ >KJB67605 pep chromosome:Graimondii2_0_v6:10:56138058:56139017:-1 gene:B456_010G1999001 transcript:KJB67605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGKYLLHCFLLALLTTKAASHLFQSNANANRKSYIVYMGDRLKDGASTALLHSSMVQDVFGSESKTVLYSYKKSFNGFVVDLTEEEAQKMA >KJB67606 pep chromosome:Graimondii2_0_v6:10:56138058:56139040:-1 gene:B456_010G1999001 transcript:KJB67606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGKYLLHCFLLALLTTKAASHLFQSNANANRKSYIVYMGDRLKDGASTALLHSSMVQDVFGSESKTVLYSYKKSFNGFVVDLTEEEAQKMA >KJB67286 pep chromosome:Graimondii2_0_v6:10:53294309:53298327:-1 gene:B456_010G183700 transcript:KJB67286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DIIHRVVLWQLAKRQQGTHSTKTISEVSGTGRKPWQQKGLGQARHGTLRGPQFRGGSTMHGPKPRSHAIKLNKKVRRLGLKIALSARAAEGKLHVFEDLEVPTHKTKNIVNYVNQMENTKKVLLVDGGPINDNLKLATQNLHYVNVLPSIGLNVYSILLHDTLVMSRDAVDRIVERMRTPINR >KJB63709 pep chromosome:Graimondii2_0_v6:10:903336:907558:1 gene:B456_010G012000 transcript:KJB63709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASAASLSACIAITSTNEELFIGLNKLTSGSPLPCNVIEVNPYSFEPEYLPDDMWFLTSSNDNTDMEHGLWKVKEEAREVFSNSDIIGWRTTLAYYKGQVSHERNSNWVMQVFSATQKRLCDENANKETISMCRVFLVPSDEMQEKVSSARIGTENHLPQPPILEANCGTGIGSSSNHQVNKHDEKEGLAIAGTIPVPEHQDENDVGMDCFTDAYIDSFSRGDFLEMNDLVNHVSSSSSGNSSAPSMSSDECFDSMAFLQDLDQDLVLEQNDMGKKLNVSASNRLDEVVLVPAALGSVVSIEGNDSSSNELLKTTSSVPNSANNNEISKHAMGNQRDEGPSSSSSVKPKSSRMKELRKKYLCFMPF >KJB63710 pep chromosome:Graimondii2_0_v6:10:903218:907562:1 gene:B456_010G012000 transcript:KJB63710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASAASLSACIAITSTNEELFIGLNKLTSGSPLPCNVIEVNPYSFEPEYLPDDMWFLTSSNDNTDMEHGLWKVKEEAREVFSNSDIIGWRTTLAYYKGQVSHERNSNWVMQVFSATQKRLCDENANKETISMCRVFLVPSDEMQEKVSSARIGTENHLPQPPILEANCGTGIGSSSNHQVNKHDEKEGLAIAGTIPVPEHQDENDVGMDCFTDAYIDSFSRGDFLEMNDLVNHVSSSSSGNSSAPSMSSDECFDSMAFLQDLDQDLVLEQNDMGKKLNVSASNRLDEVVLVPAALGSVVSIEGNDSSSNELLKTTSSVPNSANNNEISKHAMGNQRDEGPSSSSSVKPKSSRMKELRKKYLCFMPF >KJB63711 pep chromosome:Graimondii2_0_v6:10:903304:907558:1 gene:B456_010G012000 transcript:KJB63711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASAASLSACIAITSTNEELFIGLNKLTSGSPLPCNVIEVNPYSFEPEYLPDDMWFLTSSNDNTDMEHGLWKVKEEAREVFSNSDIIGWRTTLAYYKGQVSHERNSNWVMQVFSATQKRLCDENANKETISMCRVFLVPSDEMQEKVSSARIGTENHLPQPPILEANCGTGIGSSSNHQVNKHDEKEGLAIAGTIPVPEHQDENDVGMDCFTDAYIDSFSRGDFLEMNDLVNHVSSSSSGNSSAPSMSSDECFDSMAFLQDLDQDLVLEQNDMGKKLNVSASNRLDEVVLVPAALGSVVSIEGNDSSSNELLKTTSSVPNSANNNEISKHAMGNQRDEGPSSSSSVKPKSSRMKELRKKYLCFMPF >KJB65283 pep chromosome:Graimondii2_0_v6:10:13676947:13677369:-1 gene:B456_010G089200 transcript:KJB65283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transporter 5 [Source:Projected from Arabidopsis thaliana (AT5G20650) UniProtKB/Swiss-Prot;Acc:Q93VM8] MMHMTLYWGKDVTLLIDSWKTDSWLSYLLTLLACFLFSSFYQYMEDRRLRFRSLASSNPSPPSSAGASVPLLPKYRRSAKFATALLFGINSAIGYLLMLAIMSFNGGIFLAIVLGLAVGYLVFRSADDETVVVENVCACA >KJB68432 pep chromosome:Graimondii2_0_v6:10:61428605:61431508:-1 gene:B456_010G245300 transcript:KJB68432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKMKVLVRFNNNGSTDIKKAVPVYKATVPLLKTVGGHSDSNSNSRIPKFGKFKVFPEDHEPRKERILDPGSETFLQWNRIFLFWCLVALFVDPLFFYMPSVINKDTTSCMDTDLNLGIIVTCFRTLADAFYALHIIIKFRTAYESPNSRVFRRGELVTDPHMIASRYLKSDFFIDLLAALPLPQIMIWFIIPATRSSHGDYTNNALVLIVLVQYVPRLYLIFPLSSQIIKNTGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSVERHVICWKSECRKETSPLQCNPHYLDCGTLGDSGRRKWENGTVVFTKCHPDNDISFDYGIFEIALTKQVLSSSFLQKYFYCLWWGLQNLSSYGQALNTSTFVWETLFAILIAILGLVLFAHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMRHRQLPQDLRERVQCFVQYKWLATRGVDEKSILQALPPDLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTQGTYIVREGDPVTEMLFIIRGRLESCTTNGGRTGFFNSINLRPGDFCGEELLSWALLPKSSSNLPSSTRTVRALVEVEAFVLRAEDLKFVANQFRRLHSKKLQHTFRYHSYHWRTWGACFIQAAWRRHMKRTMARNLKCDGV >KJB64501 pep chromosome:Graimondii2_0_v6:10:5965073:5967282:-1 gene:B456_010G051900 transcript:KJB64501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASELQLPPGFRFHPTDEELVMHYLCRKCASQSIAVPIIAEIDLYKYDPWDLPDLALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGQPKPVGIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGAIEKQPPQGSIGKSSAVTEIEDKKPDIATLGVDTRQLPPPPTGLVNDYVYFDTSESVPRLHTDSSCSEHVVSPEFTCEVQSEPQWKDWGSATNTTTTNALDFPYNYMDATVDNGFPAHFQNNNQLSPLQDMFMYLQKPF >KJB66646 pep chromosome:Graimondii2_0_v6:10:40260295:40263400:-1 gene:B456_010G149900 transcript:KJB66646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEKPMQKQHQNSTESFTAMKSSIKQAVQVISSLISLSHSIKVFNVKWQLIRKKLEEMSSGLMAVGNCDSSENTAAFSDVVPSILATVNECYDLGRRCVDLSYSGKLLMQSDLDVMVAKFDLHVKNLSGIYTAGVLSHGFAIVVSRPGFGACKDDMRFYVRDLLTRLKIGDIEMKRQSLVNLYQVMAEDERYMKLVALEVSDIVKVLVDFLDSPEMEIQEEASKIVSLISGFDLYKGVLVEAGIIGPLNRVLETGSNLVKEGAVRCLQTLTVNSDNAWSVSADGGVTALLKICSSGDFGGELIGLACGVLRNLVGVEEIKRFMVEEGAIETFIKLTRSKEEIVLINSMELLQNVASGEESVRALLRVSDPKSATSSKTREVALRAIENLCFPSQNCINTLMNYGFTDHLLFFLRNGEVSLQELALKLTFKLCGTSDEARKTMGDAGFMAELVKLLDAKSYEVREMAIEALSSLVSVPKNRNRFVSDDRNIHFLLRLLDQEEGISGNKQLLLSILVSLTSCNSGRRKIASSGYLKYIEKLAEAQVSDAKRLVRKLSTNRFRSFLSRFWHS >KJB67462 pep chromosome:Graimondii2_0_v6:10:54850972:54851197:-1 gene:B456_010G1917001 transcript:KJB67462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GILGNRDSKLGKPSIPRRRKWVKRRETWLVILGVILHAVYMLSIFDIYFKTPIVHGMDLVSPRFSPSAKRLVLLV >KJB63482 pep chromosome:Graimondii2_0_v6:10:61699:65178:-1 gene:B456_010G001600 transcript:KJB63482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g20230 [Source:Projected from Arabidopsis thaliana (AT1G20230) UniProtKB/Swiss-Prot;Acc:Q9LNU6] MTRQALPFLENLNTPILHCLYSAIASLSQTRQAHAYILKTGLLADTHLSTRLISRFANHHSFVEADLVLRSISDPSISSFSTLIYALNKSNLFTQSLNVFSRMLSHGVLLDTHVLSNVVKACGKLSALKFGREIHCIVCKYGFDSDSVVQASLVHLYLKSDRIRDARNVFERLPEGDVVTYGALLSAYARKGCVNEVKEIFSGMQSYGLEPNVVSWNGMITGFNQSGNYVEAVVMFQEMHSKGFQPDHITISSVLSAVGDMERFNIGIQVLCYVIKLGLLHCKFVISALIDMFGKCACAGDLMKAFEETNEELMDVGARNALLTALSRNDLVDVAMEVFERFRVRGRELNVVSWTSMIAGCSQNGKDIEALELFREMQSAGVKPNSVTIPCLLPACGNIAALVHGKAAHGFALRTGITADVHVGSALVDMYAKCGRIHLSRLCFDRIPNKNSVCWNAIMGGYAMHGKANEAIDIFHLMQHKGHKPDFISFSCVLSACSQGGLTEQGWRFFNSMYEDYGIEAKMEHYSCMVNLLGRSGKLEEAYTMIKQMPFEPHACVWGALLSSCRLHNNIRLGEIAARNLFELEPNNPGNYILLSNIYASKAMWDEVDAVRDVMRSRGMKKNPGCSWIEIKNQVHILLAGDKSNPQMTEIIEKLHKLSLEMKKAGYLPNTNLVLQDVNEQDKEQILCGHSEKLAVALGLLNTPPGSPLQIIKNLRICGDCHAVIKFISGFEGREIYVRDTNRFHHFKDGVCSCGDFW >KJB66986 pep chromosome:Graimondii2_0_v6:10:48988623:48993975:-1 gene:B456_010G168900 transcript:KJB66986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84C [Source:Projected from Arabidopsis thaliana (AT1G10180) UniProtKB/Swiss-Prot;Acc:Q9SY60] MMESSEEDDDFPSIESITPQSKIDSVHQSHTEKGIRKLCCELLDLKDAVENLCGNRRTKYLAFLRMSEEVVEMEHELVELRKHISSQGIIVQDLMTGVCRELEESNQENADTNDTPLDPKVDDVQEEMDDPKKKFLEKIDVLLAEHKVEEALEALEAEEKNFSGDSSTESSSYKSSFLERKAMLEDQLTEIAELPAVSANELKKALSGLIKLGKGPSAQLLLLKSRGSRLQKNIEVFLPSCSVCPKTFPAALSRLVFSMISLTTRESGLIFGDNPVYTNRVVQWAEWEIEFFVRLVKENAPPSETISALRAASICVQDSLNYCLLLESQGLKLSKLLLVLLRPYLEEVLELNFRRARKAVFDSMEVDENLPLSPHFVSAVSAFATSSNSLLVDSGMKFLYIISDILEQLTPLVVSHFGGNVLPRISQLFDKYMDALIRALPGPSDDDSLTELKETIPFRAETDSEQLAILGIAFTIMDELLPSMVVKIWSPKNENQEPGNENIVPNASTTAELKDRRRQLQYSFDKLRDHFCRQYVLSFIYSIEGKTRLNAQIYLGGDGEDSQWDTLPSLPFQALFAKLQQLATVAGDVLLGKEKLQKILLARLTETVLMWLSNEQDFWGVFEDKSTPLQPLGLQQLILDMHFTVEIARFAGYPSRHVHQIASAITARAIRTFTARDVERYSSFPDSLISGSNLHFSSSN >KJB66985 pep chromosome:Graimondii2_0_v6:10:48988599:48993978:-1 gene:B456_010G168900 transcript:KJB66985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84C [Source:Projected from Arabidopsis thaliana (AT1G10180) UniProtKB/Swiss-Prot;Acc:Q9SY60] MMESSEEDDDFPSIESITPQSKIDSVHQSHTEKGIRKLCCELLDLKDAVENLCGNRRTKYLAFLRMSEEVVEMEHELVELRKHISSQGIIVQDLMTGVCRELEESNQENADTNDTPLDPKVDDVQEEMDDPKKKFLEKIDVLLAEHKVEEALEALEAEEKNFSGDSSTESSSYKSSFLERKAMLEDQLTEIAELPAVSANELKKALSGLIKLGKGPSAQLLLLKSRGSRLQKNIEVFLPSCSVCPKTFPAALSRLVFSMISLTTRESGLIFGDNPVYTNRVVQWAEWEIEFFVRLVKENAPPSETISALRAASICVQDSLNYCLLLESQGLKLSKLLLVLLRPYLEEVLELNFRRARKAVFDSMEVDENLPLSPHFVSAVSAFATSSNSLLVDSGMKFLYIISDILEQLTPLVVSHFGGNVLPRISQLFDKYMDALIRALPGPSDDDSLTELKETIPFRAETDSEQLAILGIAFTIMDELLPSMVVKIWSPKNENQEPGNENIVPNASTTAELKDRRRQLQYSFDKLRDHFCRQYVLSFIYSIEGKTRLNAQIYLGGDGEDSQWDTLPSLPFQALFAKLQQLATVAGDVLLGKEKLQKILLARLTETVLMWLSNEQDFWGVFEDKSTPLQPLGLQQLILDMHFTVEIARFAGYPSRHVHQIASAITARAIRTFTARDVESALPVDEWFVETAKSAINKLLMGASGSDTSEIDDDHIILHDEIISDSDDTASSLSSVESFESFASASMAELESPTFTDQES >KJB63788 pep chromosome:Graimondii2_0_v6:10:1226936:1230724:-1 gene:B456_010G016000 transcript:KJB63788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERPETELISIPATPRVSTPEIQTPSGQRSPRPASKETKSSAWTPTSFISPRFLSPIGTPMKRVLINMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFAFLGWSWGILALTIAYCWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPLFGAVTAITYSTMVWVLSVSQERPPMISYEPLSLPSSAAAFFSVMNALGIVAFAFRGHNLVLEIQATMPSTFKHPAHVPMWRGAKVAYFFIAMCLFPVAIGGFWAYGNLMPSGGILNALYGFHSHDIPRGLLALAFLLVVFNCLSSFQIYSMPVFDSFEAGYTSRTNRPCSIWVRSGFRVFYGFVNFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKRPTKYSFNWYFNWILGWLGIAFSLAFSIGGVWSIVNDGLKLKFFKPN >KJB63787 pep chromosome:Graimondii2_0_v6:10:1226936:1230704:-1 gene:B456_010G016000 transcript:KJB63787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERPETELISIPATPRVSTPEIQTPSGQRSPRPASKETKSSAWTPTSFISPRFLSPIGTPMKRVLINMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFAFLGWSWGILALTIAYCWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPLCTSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLVGAVTAITYSTMVWVLSVSQERPPMISYEPLSLPSSAAAFFSVMNALGIVAFAFRGHNLVLEIQATMPSTFKHPAHVPMWRGAKVAYFFIAMCLFPVAIGGFWAYGNLMPSGGILNALYGFHSHDIPRGLLALAFLLVVFNCLSSFQIYSMPVFDSFEAGYTSRTNRPCSIWVRSGFRVFYGFVNFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKRPTKYSFNWYFNWILGWLGIAFSLAFSIGGVWSIVNDGLKLKFFKPN >KJB67139 pep chromosome:Graimondii2_0_v6:10:51930366:51932004:-1 gene:B456_010G177300 transcript:KJB67139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGVLFIALLISAFYSSSEAADSQTSFEDNFSIMWSEDHFKTSEDGQTWYLSLDKETGCGFQTKQRYRFGWFSMKLKLVGGDSAGVVTAYYMCSENGAGPERDELDFEFLGNRTGQPYLMQTNVYKNGVGGREMRHMLWFDPTEEFHSYSILWNNHQIVFFVDEVPIRVFKNNGDEKNDFFPNEKPMYLFSSIWNADEWATRGGLEKTDWKRAPFVSSYKDFSVEGCQWEDPYPACVSTTTKNWWDQYKAWHLSDSQKMDYAWVQRNLVIYDYCKDNERYPKLPGECSLSPWE >KJB67140 pep chromosome:Graimondii2_0_v6:10:51930377:51931799:-1 gene:B456_010G177300 transcript:KJB67140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGVLFIALLISAFYSSSEAADSQTSFEDNFSIMWSEDHFKTSEDGQTWYLSLDKETGCGFQTKQRYRFGWFSMKLKLVGGDSAGVVTAYYMCSENGAGPERDELDFEFLGNRTGQPYLMQTNVYKNGVGGREMRHMLWFDPTEEFHSYSILWNNHQIVFFVDEVPIRVFKNNGDEKNDFFPNEKPMYLFSSIWNADEWATRGGVANGKTLTLPVFPPPPRTGGISTRLGIYLIPRKWIMLGCRGTLSFMIIARIMNGILNCLESVH >KJB66383 pep chromosome:Graimondii2_0_v6:10:32231020:32242564:1 gene:B456_010G138300 transcript:KJB66383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEKDLKVIREAKIREEMLDREASLRRERAEASLSDGISWGMGEDAIEEAEVVENYKDFAFLDYARVGRALALYEVGDKEEAFVEMEDVSISLKGYPG >KJB66384 pep chromosome:Graimondii2_0_v6:10:32239957:32242564:1 gene:B456_010G138300 transcript:KJB66384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDREASLRRERAEASLSDGISWGMGEDAIEEAEVVENYKDFAFLDYARVGRALALYEVGDKEEAFVEMEDVSISLKGYPG >KJB63349 pep chromosome:Graimondii2_0_v6:10:18587242:18588985:1 gene:B456_010G102400 transcript:KJB63349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGLVDGLCKEVFEISSFTILLSTALEIVPSLFNVQMHTYNKEWMISKALDSFIMMRKQCTEFNIVMYGGNIAIYSTVIYSFRNHPFFRCVNI >KJB66654 pep chromosome:Graimondii2_0_v6:10:40426234:40430305:-1 gene:B456_010G150400 transcript:KJB66654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRFDENPFAEEGEEEVNPFSDPAVRGKASGQSKFGGGLFSKNVPSAPLASNSRLSPLPPEPAGFNYGHEATIDIPLDTASGGSRYQDLKKKEKELQAKEAELRRREQEVRRKEEAAARAGITLEEKNWPPFFPIIHHDIANDIPVHLQRLQYVAFSTFLGLFLCLLWNIVAVTTAWIKGEGVRIWFLAIIYFIAGVPGAYILWYRPLYRAFRKETALSFGRFFLFDLLHIGFCIFAAVAPPIVFRGKSLTGILPAVDLISDNALVGIFYFIGFGLFCVESIVSIWVIQQVYMYFRGSGKAAEMKREAARGAMRAAI >KJB65549 pep chromosome:Graimondii2_0_v6:10:17754285:17756334:-1 gene:B456_010G100200 transcript:KJB65549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWMVNQQVGRGRSRIWGVALLCWLFIMLVTPKIPLSYRNHLYADMRNFVGVPNTLNVITNFPFLIVGVLGFVLCLGGGSFFNIRLPGELWGWLLFYGGTASVAFGSAYYHLRPDDNRVLLDTLPMMIAYSSLFSTFILERLGERIGLSCLFSLVVLAVLSTSYARTFNDLRLCMIFQLIPCIAIPIMTFLFPPKYTHSRYWLWTVGVFILAKMEALADMKIYRANNYIISGHSLEHLCSAIAPVLVTVMLMHRSCRFPRYSNFKVQNGNS >KJB65519 pep chromosome:Graimondii2_0_v6:10:17167965:17169984:1 gene:B456_010G098700 transcript:KJB65519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEVMQQLHGYDFKADIWSLGITALELVHGHAPFSKHPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPASEKLLKHHFFKHARSYDSLVHTILDGLAPLGERLLKTKEADLLVQNKALYKDNEQLS >KJB65522 pep chromosome:Graimondii2_0_v6:10:17168163:17169855:1 gene:B456_010G098700 transcript:KJB65522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEVMQQLHGYDFKADIWSLGITALELVHGHAPFSKHPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPASEKLLKHHFFKHARSYDSLVHTILDGLAPLDKRS >KJB65520 pep chromosome:Graimondii2_0_v6:10:17168163:17169711:1 gene:B456_010G098700 transcript:KJB65520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEVMQQLHGYDFKADIWSLGITALELVHGHAPFSKHPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPASEKLLKHHFFKHARSYDSLVHTILDGLAPLGERLLKVSCLPFYLFISSVICLRWGINVESDLVSYISDKRS >KJB65521 pep chromosome:Graimondii2_0_v6:10:17168163:17169855:1 gene:B456_010G098700 transcript:KJB65521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEVMQQLHGYDFKADIWSLGITALELVHGHAPFSKHPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPASEKLLKHHFFKHARSYDSLVHTILDGLAPLDKRS >KJB63828 pep chromosome:Graimondii2_0_v6:10:1421560:1421853:-1 gene:B456_010G018800 transcript:KJB63828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKTRTYSVVDGKRQMTIDEFKRWLKKFDDDKDGRISRDELADAIRVSRGGWFTGRKSKRIIGSVDADRNGFIDDNEIKNLAEFAEKYLNIKILYL >KJB67132 pep chromosome:Graimondii2_0_v6:10:51798105:51798650:-1 gene:B456_010G176400 transcript:KJB67132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVTKNFYFWILQILGLLGILVLCLWLALRPGSPHFTITNFSVPAVNDSNTSDHGIIQYQLDIKNPNKDSGIYYDDILLIFYHGVNIVGNNTIPSFTEGKNRSHQVLNHFDVDNPFWAALRSAILNATAELRVDLSTKVRYKTWLIKSRHHGLHREGHIPIGKDGKISNNKKKVKLRDASK >KJB66074 pep chromosome:Graimondii2_0_v6:10:26648067:26651189:-1 gene:B456_010G126100 transcript:KJB66074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LERVCIPERQRESNLKPKSNKQLRKFSTIRFFPVLFSPFVCFSFLREISVLCCGMGVSFSCPFATCSDVENGLESITVKSISFGDDEVKTPVRSTSFKSLDSEPMIFRSVGSGKMILEGSVSFKGRDFGRMLSFKSPSLYKAENLLIQAVSLNSQRMNIQSPKPDGLIETPKPSPVLDPNNPQHEAAIRLQKVYKSFRTRRKLADCAILVEQSWWWKLLDYAELERSSVSFFDIDKDETAISRWSRARTRAAKVGKGLSKNGKAQKLALQHWLEAIDPRHRYGHNLHFYYNQWLHSQSHEPFFYWLDIGEGKEVNIEKCPRSKLQQQCIKYLGPMERKPYEVIVVDGKFIYKQTGKPLHTIEETGNAKWIFVLSTSKILYVGIKRKGTFQHSSFLAGGATTAAGRLVVDSGVLKAVWPHSGHYRPTEQNFNDFISFLRENNMDLTDVKMTPVDEEETLVGKKSSNHLSCNSSEEDFSLEPEEISVRDSIKEVVDSREQETSAAQEHPKSRRLLNLSRTLTNLEILKRTELFEMSNGDHRAVVPSYYDNMMDSPLKDGYETEEEVTALEQDSVVPKEKTDEHYLDNEVEDIPDESILKRVNSRKGMKSYQLGKQLSCKWTTGAGPRIGYLRDYPLELQFQALEHAKLSPRSASYSNCTFLSGLLVASVKKFPHQQVQVKK >KJB66073 pep chromosome:Graimondii2_0_v6:10:26647758:26651189:-1 gene:B456_010G126100 transcript:KJB66073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LERVCIPERQRESNLKPKSNKQLRKFSTIRFFPVLFSPFVCFSFLSVLCCGMGVSFSCPFATCSDVENGLESITVKSISFGDDEVKTPVRSTSFKSLDSEPMIFRSVGSGKMILEGSVSFKGRDFGRMLSFKSPSLYKAENLLIQAVSLNSQRMNIQSPKPDGLIETPKPSPVLDPNNPQHEAAIRLQKVYKSFRTRRKLADCAILVEQSWWWKLLDYAELERSSVSFFDIDKDETAISRWSRARTRAAKVGKGLSKNGKAQKLALQHWLEAIDPRHRYGHNLHFYYNQWLHSQSHEPFFYWLDIGEGKEVNIEKCPRSKLQQQCIKYLGPMERKPYEVIVVDGKFIYKQTGKPLHTIEETGNAKWIFVLSTSKILYVGIKRKGTFQHSSFLAGGATTAAGRLVVDSGVLKAVWPHSGHYRPTEQNFNDFISFLRENNMDLTDVKMTPVDEEETLVGKKSSNHLSCNSSEEDFSLEPEEISVRDSIKEVVDSREQETSAAQEHPKSRRLLNLSRTLTNLEILKRTELFEMSNGDHRAVVPSYYDNMMDSPLKDGYETEEEVTALEQDSVVPKEKTDEHYLDNEVEDIPDESILKRVNSRKGMKSYQLGKQLSCKWTTGAGPRIGYLRDYPLELQFQALEHAKLSPRSASYSNCTFLSGLLVASVKKFPHQQVQVKK >KJB65228 pep chromosome:Graimondii2_0_v6:10:12785691:12793530:-1 gene:B456_010G085100 transcript:KJB65228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYDNLCGCPVQKYRDNGISIMERGQELEWEEAQKLAISVDLVASAKQHRRFLAEVDRNRTLYDGPVLDRAIYRYKHYWLPLLGKHTESTILEGPLVVPLDCEWIWHCHRLNPVRYKADCEELYGRILDNKNVMSAISTTCRRQTEEIWKRMYPYEPYELNMSNQFLKNFVNSSRSTEYDLVSAVKRQSPFFYQVSGSHMNDDVFLEGAVARYKGFLHLIKRNWENSKRCFCVPTYDIDLIWHTHQLYPVSYCKDVMAALGKILEHDDTDSDRTKGKKLDTGFSRTTKQWEDMFGCRYWRAGAMYRGQAPSPLGLNLSQLDNQWWDKKMVPSNENQDMVSLLRKTVTEIMIEIVGVKDLPQGLKGSLFVTINKKQPDIFLNNKRNISILCNTKEKQALVFQCEPKGDLVIELMSYSPIKTLGTTSISLKGIVNSVSRLYAEEWFDLVPNLTLADSKPISVRIAFSFTPPILAHYMVQMVRHFKYHCFTDEASNDIISLHMRDYQTRKEVIGLTSCGGTHVLAAESAEKGWFLNNSHWWFHVHNKLNENSIAFGFKGNRKVIVFRGRKLGFEINDGKKLKNEEHFMTAVEFSMEYPYGKAIALFNLKSAIIEINEEESLVVPMIILAFLVSESSSNCEKSCNNEGKDGGEVITNGIKDSPPDGEDEVKSSNCGGCGGGCGVSCGGSCGGNCSGGGSYRN >KJB68310 pep chromosome:Graimondii2_0_v6:10:60792248:60795132:1 gene:B456_010G238000 transcript:KJB68310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQQQPPPSPPLDSQPDPPPAPPLPFDPSRMIGIIKRKALIKELAAVYHAECLAKCQELLELQKKWDEPFIDLKIPDDLRKEKIRPSKRVKKSR >KJB68309 pep chromosome:Graimondii2_0_v6:10:60792318:60795194:1 gene:B456_010G238000 transcript:KJB68309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQQQPPPSPPLDSQPDPPPAPPLPFDPSRMIGIIKRKALIKELAAVYHAECLAKCQELLELQKKWDEPFIDLKIPDDLRKEKIRPSKRVKKSR >KJB66855 pep chromosome:Graimondii2_0_v6:10:46084822:46086856:1 gene:B456_010G161200 transcript:KJB66855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRRRSDILMLNSPLLAIYESLIPPVEEKAKQKQLLALLEKLVCKEWPEAWLYLYGSCENSFEVSKREIDNCLAFNEDIHDKSEILLKLADILQSDTLQNVQALTRARVPIVKLMDPVTGISCDTRKQCLGCSKYKASTRLCKDRCKITAVGFYCETLGQVHRSECNLPRNSI >KJB66415 pep chromosome:Graimondii2_0_v6:10:33337317:33338150:1 gene:B456_010G139400 transcript:KJB66415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCCDKVKKYDKVNNSWSVVKRLPVRADSSYGWGLAFKACGNSLLVIGAGGHGGHDDGVIVLHSWNPDEGNRDGQEWNVLAVKARAGTFVYNCAVMGC >KJB67377 pep chromosome:Graimondii2_0_v6:10:53905376:53905654:1 gene:B456_010G1876002 transcript:KJB67377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLMSYVQYTA >KJB68349 pep chromosome:Graimondii2_0_v6:10:60986451:60989342:1 gene:B456_010G240300 transcript:KJB68349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQNTNEIEQISDDIPSHNSVTVGESDPSASALSSTLRHRLFSRQGTTSKEPAVDSLVKEIHSKTYKFSRDPKPSDAASSSSSEVIESTHLESTITAARAHNSPELTDPIRITWNLLFFTSRLVINPTYFLLKLIIRSITFPISILSHCIILIIDPFRPLKQIKAYLISKLIKLWFDSPCGRLIFKTWRGILWVAYLGFVLCGLLFTSLVISWILMGYLVEKPLEIKETLNFDYTNGSPVAYVPIISCAAVGCGVRCMGKNLGSGIIPPFHDLQVTVSLTLPESDYNRNLGMFQVRTDFISIKGETLDSSSHPCMMRFKSLPIRLLLTLFKAVPLVTGFISEVQTLNVKLKDLNQGTEPTACLKVVLEQRPAHGPGAGIPDLYGASLVLESKLPFIKRII >KJB68348 pep chromosome:Graimondii2_0_v6:10:60986451:60989342:1 gene:B456_010G240300 transcript:KJB68348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQNTNEIEQISDDIPSHNSVTVGESDPSASALSSTLRHRLFSRQGTTSKEPAVDSLVKEIHSKTYKFSRDPKPSDAASSSSSEVIESTHLESTITAARAHNSPELTDPIRITWNLLFFTSRLVINPTYFLLKLIIRSITFPISILSHCIILIIDPFRPLKQIKAYLISKLIKLWFDSPCGRLIFKTWRGILWVAYLGFVLCGLLFTSLVISWILMGYLVEKPLEIKETLNFDYTNGSPVAYVPIISCAAVGCGVRCMGKNLGSGIIPPFHDLQVTVSLTLPESDYNRNLGMFQVRTDFISIKGETLDSSSHPCMMRFKSLPIRLLLTLFKAVPLVTGFISEVQTLNVKLKDLNQGTEPTACLKVVLEQRPAHGPGAGIPDLYGASLVLESKLPFIKRIIWYWRKTLFIWVSIMSFVSELLFMSVCCRCVLVPATRKTTGSTGN >KJB66506 pep chromosome:Graimondii2_0_v6:10:35226012:35228701:1 gene:B456_010G142000 transcript:KJB66506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g20730 [Source:Projected from Arabidopsis thaliana (AT3G20730) UniProtKB/Swiss-Prot;Acc:Q9LT48] MRKAGFKGNQFSYGSALKACTGLRYFKGGMQIQGCIEKGRFVGNLFVQSGLLDLHAKCGNMEDASRLFYGMGKRDLVSWNVMIGGFVLQGLADKAFQLLRQMMREGNVPDCFTLGGVLRVSFGDDGIMKIGQVHGLIQQLGFESHNVLAASLVDAYSKCGSLQCAFKLYKNMPMKDITSCTALITSFAREDKHNRDALDLFKEINSLQVEMDDMILCSVLNVCANVADLKLGRQIHACYLKCQPTNDVAMGNALIDMYAKCGAIKDANKVFNEMDERNVISWTSLIAGYGKHGYGHEAIALYEKMEHERMKPNGVTFLSLLFACSHTGLINKGSELFNAMVNKYGIFPQAEHLSCMVDLFARGGQLEAAYELIRLMNIEPTPSLWGAILGASNIYGNMNLGEEAATHLFNMDPEKSVNYIALAGLYAGAGAWENAWEARKLMDERSAIKDPGYSLLSSADK >KJB66507 pep chromosome:Graimondii2_0_v6:10:35226109:35228701:1 gene:B456_010G142000 transcript:KJB66507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g20730 [Source:Projected from Arabidopsis thaliana (AT3G20730) UniProtKB/Swiss-Prot;Acc:Q9LT48] MNGVPTKAAKAINFLNQNRLRGIKSLCNSGELQQESKLIQLDSSFYMQILQLCIDSKAKKQALLAHSQIIKKGYSSNVHLGTKLIIFYAKFGNMVAAKKVFDKMAERTVVSWTAMISGYSQNGFFENALLVFAEMRKAGFKGNQFSYGSALKACTGLRYFKGGMQIQGCIEKGRFVGNLFVQSGLLDLHAKCGNMEDASRLFYGMGKRDLVSWNVMIGGFVLQGLADKAFQLLRQMMREGNVPDCFTLGGVLRVSFGDDGIMKIGQVHGLIQQLGFESHNVLAASLVDAYSKCGSLQCAFKLYKNMPMKDITSCTALITSFAREDKHNRDALDLFKEINSLQVEMDDMILCSVLNVCANVADLKLGRQIHACYLKCQPTNDVAMGNALIDMYAKCGAIKDANKVFNEMDERNVISWTSLIAGYGKHGYGHEAIALYEKMEHERMKPNGVTFLSLLFACSHTGLINKGSELFNAMVNKYGIFPQAEHLSCMVDLFARGGQLEAAYELIRLMNIEPTPSLWGAILGASNIYGNMNLGEEAATHLFNMDPEKSVNYIALAGLYAGAGAWENAWEARKLMDERSAIKDPGYSLLSSADK >KJB66040 pep chromosome:Graimondii2_0_v6:10:61865904:61866582:1 gene:B456_010G252600 transcript:KJB66040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLRHQKKSSWVVDNDDDDWQAMVSAHGEGGDNGGGISITEEERLLGGGGKRGDVQNTSREVKITISKKELEQLVKKVELQGLTLEQFLSRVINGGADLYEVEEQQPRPWKPMLQSIPE >KJB65934 pep chromosome:Graimondii2_0_v6:10:24494684:24500160:1 gene:B456_010G120800 transcript:KJB65934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVGGITLDLNWFLQFIFTLFIFAFVSLRLLKNTASKYFEVDANFDRDQPMPSIPMDADHFPCAVCSNPAFKKCSRCKSVRYCSSACQQVDWKDGHKTKCKVNSTQTTTTKPFSGVALVPVSGTSKIIKKPRKVLFPYDEFVKLFNWEKPGFPPCGLLNCGNSCFANVVLQCLVSTRPLVAYLLEKGHRKECRRNDWCFMCEFQIHVERSRQSLHPFSPINILSRLPNIGGNLGYGRQEDAHEFMRFAIDTMQSVCLDEFGGEKAVDRSSQETTLIQHIFGGHLQSQVICTNCNKISNQYENMMDLTVEIHGDASSLEECLDQFTVKEWLHGDNMYKCDGCNDYVKAWKRLTIRWAPNILTIALKRFQSGRFGKLNKRVSFPETLDLSPYMSESEDGDDSNIYKLYAVVVHVDMLNASFFGHYICYTKDFSGNWYRIDDCKVARAELEEVLSQGAYMLLYSRVSARPSCLRTSGINSEADHCSKEQIECVPGKESISSPSRRMSLSMNGSLHSEVPRREIGSSIGMNADVVPGHENGDVANSTSSLSKEVSSKENGSCFQIDLGAIRTNGEDRDSVNSIAVRENVENMDWTSSQPCSSNTKEAPSCDKDMSSEAEREAENSRMVEVKPQYLPVSKEIKSCEQDPQVAINYVKGDSEDINMANSESNSSIAGDNSLKHEVLIQGSETFGREWGRES >KJB63479 pep chromosome:Graimondii2_0_v6:10:47227:50465:-1 gene:B456_010G001300 transcript:KJB63479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCQSLGFCLRRSHSHEIPISSSSESSPRPSHPFPKTTPQHFSPSSSKATSSSGIGTILLKPYVDVTTIYDLDKELGRGQFGITYLCTEKATGRKYACKSISRRKLSTDRDIEDVRREISIMQHLTGQQNIVEFKGAYEDRQNVHLVMELCSGGELFDRIIAKGSYSERQAASICRQVVNVVNACHFMGVMHRDLKPENFLLVSKDEISPIKATDFGLSVFIEEGRTYKDLVGSAYYVAPEVLNRKYGKEIDVWSAGVILYILLSGVPPFWGETEKEIFKAVLEGNLDLKSLPWPSISEGAKDLIRKMLAKDPKKRITAAQALEHPWLKEGGDASDKPIDSAVLSRLKQFRVMNKLKKLALKVIAESLSTEEEIKGLQQMFKNIDTDGSGTITLGELRDGLARLGSKLTETEIKQLMDAADVDNSGTIDYIEFITATMHRHRLEREENIVKAFQFFDKDNSGFITRDELRQAMTQYGMGDEATIDEVIEDVDTDKDGRINYEEFVAMMKRGTHDGDGNWQRQMNS >KJB65761 pep chromosome:Graimondii2_0_v6:10:21475098:21477053:-1 gene:B456_010G112400 transcript:KJB65761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKAKTENPSLLHGKYELGRMLGHGTFAKVYHAKNLQTGKSVAMKVVGKEKVIQVGMMEQIKREISVMKMVKHPNIVELHEVMASKSKIYFAMELVRGGELFSKIAKGRLKEDAARVYFQQLVSAVDFCHSRGVYHRDLKPENLLLDEEGNLKVTDFGLSAFTEHLKQDGLLHTTCGTPAYVAPEVIGKKGYDGAKADIWSCGVILYVLIAGFLPFQDDNLVAMYKKIYRGDFKCPPWFSPEARRLISKLLDPNPKTRIAISKITESSWFKKSIPKTKTTKEEIEFEAFNGEKSSKPETLNAFHIISLSEGFDLSPLFEEKKREEKEELRFATTRPASSVISRLEEVAKSGKFSVKKSECRVRLQGQECGRKGKLAIAADIFAVTPSFLVVEVKKDHGDTFEYNQFCSKELRPALKDILWTSPPENSTVA >KJB65600 pep chromosome:Graimondii2_0_v6:10:18659717:18662539:1 gene:B456_010G102900 transcript:KJB65600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICISIASSEIHDQAEDQHHFHENVLYLTETIPSIGTHTHGSLYSKQGTKGLNQDAAILYQEYGIKGGAFCGVFDGHGKNGHIVSNMVRNRLPSLLVSQKYGVAKLEPTPESDNGRRPRKDLVKWKQACVSAFKVMDKEIKLQHNLDCSTSGTTAVVVVRQGEVLVIANLGDSRAVLGTMTEKGIKAVQLTTDLKPGLPSEAERIRNCKGRVLALKEEPHIPRVWLPHEDSPGLAMSRAFGDFLLKDHGLIAVPDVFYHRLSPNDHFIVLATDGVWDVLNNDQVASIVMEAESEQATARTVAEAATASWKRKFPSSKVDDCTVVCLFLQDKQHPSSLAPET >KJB66706 pep chromosome:Graimondii2_0_v6:10:41940917:41943070:1 gene:B456_010G153300 transcript:KJB66706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g33760 [Source:Projected from Arabidopsis thaliana (AT2G33760) UniProtKB/Swiss-Prot;Acc:P93011] MASFHGNQTTSSTTTLTHSPIYHSIILAGPRLKPLQQIHARIIITGLGRTRSLITKLLSFAYAAASPISYTRRLFFSIPKPDTFLFHSLITLTSKFSFPQESLLYYRRMLLANISSSNYTFSAVIKSSADLMAFSIGETIHCHVYICGYGLDAYVQAALVSFYAKSGRVMIARKVFDKMPDKTVVAWNSMISGYEQNGFGKEAIELFYLMQDLGVKPDSSTFVSLLSACAQVGAIGLGCWVHEYIARNCFDLTVVLGTALMNMYSRCGNVSKAREVFDSMEEKNIVAWTAMISGYGMHGHGSQAIELFNEMSFDGPRPNNVTFVAILSACAHAGLVDEGRQIFTTMKQEYGLVPSVEHQVCMVDMLGRAGHLNEAYQFVKNISPKEPAPAVWTAMLGACKMHKNFDLGVEVAEHLLSIEPENPGHYVMLSNIYALAGRMDRVEKVRNIMIRNRLKKEVGYSTIDVDHKVYLFSMGDKSHPKTNQIYLYLDELMSRCREAGYIPASESVMHEVEEEEREYALRYHSEKLAIAFGLLKTGPGVAIRIVKNLRMCEDCHTAIKYISIIANREINVRDRLRFHHFKDGSCSCQDYW >KJB67482 pep chromosome:Graimondii2_0_v6:10:55016963:55019334:1 gene:B456_010G193200 transcript:KJB67482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSIVCTTKGQEFAKAVPSDKLHRAKHVKPASRNRVSCREKAGPSGVRVNSERVKQKAMDTWGNDDRIPLAQVVSELVKGWFQEALKEAKAGDTNMQVLVGQMYCNGYGVPKDVQKGRAWISKASRSRSSVWKVSDKHPGYNASDSDSDELRDDAE >KJB67483 pep chromosome:Graimondii2_0_v6:10:55016992:55019334:1 gene:B456_010G193200 transcript:KJB67483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSIVCTTKGQEFAKAVPSDKLHRAKHVKPASRNRVSCREKAGPSGVRVNSERVKQKAMDTWGNDDRIPLAQVVSELVKGWFQEALKEAKAGDTNMQVLVGQMYCNGYGVPKDVQKGRAWISKASRSRSSVWKVSDKHPGYNASDSDSDELRDDAE >KJB67484 pep chromosome:Graimondii2_0_v6:10:55017027:55018541:1 gene:B456_010G193200 transcript:KJB67484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSIVCTTKGQEFAKAVPSDKLHRAKHVKPASRNRVSCREKAGPSGVRVNSERVKQKAMDTWGNDDRIPLAQVVSELVKGWFQEALKEAKAGDTNMQVLVGQMYCNGYGVPKDVQKGRAWISKASRSRSSVWKVSDKHPGTLCVCACVKVGLLLLSKTIFLRFPSGYNASDSDSDELRDDAE >KJB65061 pep chromosome:Graimondii2_0_v6:10:11498629:11499154:1 gene:B456_010G079200 transcript:KJB65061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNSLIQLRCCCSREQSRLHHSSIKHPINHGVNPTIVVSPQTILGSHRDLLHISDVSLATKLAILMVFAAFAPMIILKPKPIMLLVSQQMLIHGYLTLVHHIILRRRHTILRNTMAPKESKWVMVKKFLSLTLDPPI >KJB64280 pep chromosome:Graimondii2_0_v6:10:3776783:3777818:1 gene:B456_010G040200 transcript:KJB64280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLTHNMLSSNIKGVTNGFPLKIEVEKVVEKQVEINHDFLRNIFPKIDWKAFSDAARTMGYVELPEQAPDPSVLESDLDFLTKFHHALLELHLEEGALVCPETGRKFPVNKGIPNMLLHEDEV >KJB65736 pep chromosome:Graimondii2_0_v6:10:21141557:21145652:1 gene:B456_010G111400 transcript:KJB65736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAWKLKAGKQGYFTQDEWRTGMKALGVDSLSKLKKALCELEIEVGKPTNYEDFYTYAFRYCLTEEKQKSLDIDSICELLNLVLGAQFRPKVDVLVEYLKVQSDYKVINLDQWINFLRFCQEISFPDLGNYDSALAWPLILDNFVEWMRQKHG >KJB65735 pep chromosome:Graimondii2_0_v6:10:21141550:21145727:1 gene:B456_010G111400 transcript:KJB65735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRASKRKSAPPNSSTVTSSDGRSVSSKAKTKGMEKIDRLFNSYANSSLGVIDPDGIEALCSDLGVDYTDVRILMLAWKLKAGKQGYFTQDEWRTGMKALGVDSLSKLKKALCELEIEVGKPTNYEDFYTYAFRYCLTEEKQKSLDIDSICELLNLVLGAQFRPKVDVLVEYLKVQSDYKVINLDQWINFLRFCQEISFPDLGNYDSALAWPLILDNFVEWMRQKHG >KJB65737 pep chromosome:Graimondii2_0_v6:10:21141557:21145652:1 gene:B456_010G111400 transcript:KJB65737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRASKRKSAPPNSSTVTSSDGRSVSSKAKTKGMEKIDRLFNSYANSSLGVIDPDGIEALCSDLGVDYTDVRILMLAWKLKAGKQGYFTQDEWRTGMKALGVDSLSKLKKALCELEIEVGKPTNYEDFYTYAFRYCLTEEKQKSLDIDSICELLNLVLGAQFRPKVDVLVEYLKVQSDYKVINLDQWINFLRFCQEVSLFEKKMFILYLFGNDSQIC >KJB68665 pep chromosome:Graimondii2_0_v6:10:21582198:21583526:-1 gene:B456_010G112700 transcript:KJB68665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQNDIVKFNVGGRIFQTTATTLANANRDSFFGALFDDNWDLKQPINGREFFIDRNPDCFAVLLDLLRTGDLYIPSNVPERLLYKEAMFYGLIGHVRSAKRGPFDGNRLKLSKSVTGRAPGDGTAIRAGPDGGCCVAHGSMVHVFDWMLEEHPPLNLDYQRVNDIGWVNAESVLISACERLGRGDSGMGLFSSYTGDLRYKFNVVHDNQIKSYTAGALCFSPDYKIFASCKGRSNEYGIGVWDQVTGKQIDFFYETPGWSLGEADKLQWLNGTTCLLVATLFPRKDNCYISLLDFRQKSTVWSWSDFGAPLTVDEKRARDAIAMEDCNSVCVVNEYEDLGFIDLRISGRSVRWSSRSRLMKGKMPDEPCYPKLALHNGQLFSSMNDSISVFCGPDWVLTSRLRRSYGGSICDFSIGGDRLFALHSETNVFDIWETPPTPVI >KJB66733 pep chromosome:Graimondii2_0_v6:10:42766825:42769275:-1 gene:B456_010G155300 transcript:KJB66733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVSTKPSGNKEYVAGLLAGVATVIVGHPFDTVKVKLQKHSTEVEVIKYRNGLHCTVRILATERVRGLYKGATSSFIGVAFESSLVFSIYSHTKKDRGVHSVNRPEITITELTDLPKFLTGGVQCSGPQPQVIIPSAAFGGAIISFVLCPSELVKHACNKAELLQCRMQVQGADLIVQNTFQVTGMFPGGSTALLRESIGNAVFFSVYEYVRYYMHLQLNTDSSDPHNLTDIGIGILTGGLGGVAFWSAVLPLDVAKTIIQTAPDKSCQRNPFQIYRRIGVRGCYAGLGPTIVRAFPANAAPIVTWELAMKLLGIKNE >KJB67577 pep chromosome:Graimondii2_0_v6:10:55899342:55903303:-1 gene:B456_010G197900 transcript:KJB67577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTYKVCFCFRRRFRVAVSEAPEEIKRVFEQYSENGMMSIDALHRFLVEFQKEDKATREDAQKIVDSVKHFHRKGLNLEGFFKYLFADINPPLASLGVHHDMNAPLSHYFIHTGHNSYLTGNQLSSDCSDVPIINALKRGVRVIELDIWPNSTKDNVDVLHGRTLTTPVELIKCLRSIKEYAFVASEYPVVITLEDHLTPDLQAKVAEMVTQTFGDILFSPGSECLKEFPSPESLKGRIIISTKPPKEYLEAKEVKENENDPEKVKASDEEAWGKEVPDLLKDDYKNDLGEEDEEDLDDDGDKSQHALAPEYKRLIAIHAGKPKGGLEECLRVDPDKVRRLSMSEQQLEKAAITHGKEIVRFTQRNILRVYPRGTRVDSSNYNPLIGWMHGAQMVAFNMQVKCC >KJB67576 pep chromosome:Graimondii2_0_v6:10:55900513:55903215:-1 gene:B456_010G197900 transcript:KJB67576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTYKVCFCFRRRFRVAVSEAPEEIKRVFEQYSENGMMSIDALHRFLVEFQKEDKATREDAQKIVDSVKHFHRKGLNLEGFFKYLFADINPPLASLGVHHDMNAPLSHYFIHTGHNSYLTGNQLSSDCSDVPIINALKRGVRVIELDIWPNSTKDNVDVLHGRTLTTPVELIKCLRSIKEYAFVASEYPVVITLEDHLTPDLQAKVAEMVTQTFGDILFSPGSECLKEFPSPESLKGRIIISTKPPKEYLEAKEVKENENDPEKVKASDEEAWGKEVPDLLKDDYKNDLGEEDEEDLDDDGDKSQHALAPEYKRLIAIHAGKPKGGLEECLRVDPDKVRRLSMSEQQLEKAAITHGKEIVRFTQRNILRVYPRGTRVDSSNYNPLIGWMHGAQMVAFNMQVKCC >KJB67578 pep chromosome:Graimondii2_0_v6:10:55899342:55903303:-1 gene:B456_010G197900 transcript:KJB67578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTYKVCFCFRRRFRVAVSEAPEEIKRVFEQYSENGMMSIDALHRFLVEFQKEDKATREDAQKIVDSVKHFHRKGLNLEGFFKYLFADINPPLASLGVHHDMNAPLSHYFIHTGHNSYLTGNQLSSDCSDVPIINALKRGVRVIELDIWPNSTKDNVDVLHGRTLTTPVELIKCLRSIKEYAFVASEYPVVITLEDHLTPDLQAKVAEMVTQTFGDILFSPGSECLKEFPSPESLKGRIIISTKPPKEYLEAKEVKENENDPEKVKASDEEAWGKEVPDLLKDDYKNDLGEEDEEDLDDDGDKSQHALAPEYKRLIAIHAGKPKGGLEECLRVDPDKVRRLSMSEQQLEKAAITHGKEIVRFTQRNILRVYPRGTRVDSSNYNPLIGWMHGAQMVAFNMQGYGRSLWLMHGMLKANGGCGYLKKPDFLLNPNKVFDPNVKLPVKKILKVSNYVYGRRVVL >KJB67579 pep chromosome:Graimondii2_0_v6:10:55899342:55903456:-1 gene:B456_010G197900 transcript:KJB67579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTYKVCFCFRRRFRVAVSEAPEEIKRVFEQYSENGMMSIDALHRFLVEFQKEDKATREDAQKIVDSVKHFHRKGLNLEGFFKYLFADINPPLASLGVHHDMNAPLSHYFIHTGHNSYLTGNQLSSDCSDVPIINALKRGVRVIELDIWPNSTKDNVDVLHGRTLTTPVELIKCLRSIKEYAFVASEYPVVITLEDHLTPDLQAKVAEMVTQTFGDILFSPGSECLKEFPSPESLKGRIIISTKPPKEYLEAKEVKENENDPEKVKASDEEAWGKEVPDLLKDDYKNDLGEEDEEDLDDDGDKSQHALAPEYKRLIAIHAGKPKGGLEECLRVDPDKVRRLSMSEQQLEKAAITHGKEIVRFTQRNILRVYPRGTRVDSSNYNPLIGWMHGAQMVAFNMQGYGRSLWLMHGMLKANGGCGYLKKPDFLLNPNKVFDPNVKLPVKKILKVTMYMGEGWYYDFHHTHFDAYSPPDFYARVGIAGVPFDSVMKKTKTLEDNWVPCWNEEFEFCLTVPELALLRVEVHEYDMSEKDDFGGQTCLPISELRSGIRAVPLNSRKGEKYSSVKLLMRFEFFDP >KJB65440 pep chromosome:Graimondii2_0_v6:10:15771454:15774121:-1 gene:B456_010G095300 transcript:KJB65440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRNPKMAQQQQQQEELDEMQHGPFPVEQLQASGIAALDVKKLKDAGLCTVESVAYTPRKDLLQIKGISEAKVDKIMEAASKLVPLGFTSASQLHAQRLEIIQITSGSSELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIAERFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFTGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAIFAGPQIKPIGGNIMAHASTTRLAVRKGRGEERICKIISSPCLAEAEARFQISPGGVADVKD >KJB64646 pep chromosome:Graimondii2_0_v6:10:7081061:7083051:1 gene:B456_010G059400 transcript:KJB64646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQAERCMKVVEDVSPPPVSVPTTSLPLTFFDIQFLGSSPFQRLFFYEFPHPTSYFMQTTLPSLKTSLSLTLQRFFPFAGNLVFPAPPQIPYILYTQGDSVSFFVNESTADFSHLAGDHARHFQEFQLILPKLLPAIACKTSSGCIQKMPFMAIQVTLFPNQGISIGVSFCHVAGDGRTLAHFMKSWASIQQSQGDLTCLNNPLPDFSSRDLIEDPLGLACLFMKRKWSFEDLSNNPIKKLRITCTIKRSQVELLKDLVTKGCVEDNGSEPVSISTFVVTCAYMWVCLTKLQETTVSQQISSADDSDELSYFLFAVDCRGHLKLPATYFGNCTIARTVAVKRSALMGENGIVVAGKAIGREVMETYKGPLKGAEREKFKSRHPPIMVSASPKFELYKVDFGWGRPRKTEVANIGSLGSLSLFSIAEGREEEGSIEFGLALASHELDIFNSIFHRTY >KJB64647 pep chromosome:Graimondii2_0_v6:10:7080990:7083117:1 gene:B456_010G059400 transcript:KJB64647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQAERCMKVVEDVSPPPVSVPTTSLPLTFFDIQFLGSSPFQRLFFYEFPHPTSYFMQTTLPSLKTSLSLTLQRFFPFAGNLVFPAPPQIPYILYTQGDSVSFFVNESTADFSHLAGDHARHFQEFQLILPKLLPAIACKTSSGCIQKMPFMAIQVTLFPNQGISIGVSFCHVAGDGRTLAHFMKSWASIQQSQGDLTCLNNPLPDFSSRDLIEDPLGLACLFMKRKWSFEDLSNNPIKKLRITCTIKRSQVELLKDLVTKGCVEDNGSEPVSISTFVVTCAYMWVCLTKLQETTVSQQISSADDSDELSYFLFAVDCRGHLKLPATYFGNCTIARTVAVKRSALMGENGIVVAGKAIGREVMETYKGPLKGAEREKFKSRHPPIMVSASPKFELYKVDFGWGRPRKTEVANIGSLGSLSLFSIAEGREEEGSIEFGLALASHELDIFNSIFHRTY >KJB67135 pep chromosome:Graimondii2_0_v6:10:51830206:51832210:-1 gene:B456_010G176900 transcript:KJB67135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLACPCSAFNLRATAAPANPDISDPEPEPKVRKLKGSPQFNRWYRARALRSGHKLERPSHGTGALEVNPSAQRTSGTLSLEPTSTANDSDREVELTDSGKPIYMVSDGTGWTAEHSVNAALGQFEHCLVDRGCPVNTHLFSGIDDVERLMEIIKQAAKEGALLVYTLADPSMAESAKQACKLWGIPSTDILGPITEAIAAHLGVSPSGLPRGAAGRNIPLSDEYFRRIEAVEFTIKQDDGALPQNLSKADIVLTGVSRTGKTPLSIYLAQKGYKVANVPIVKGVALPKGLFEVDPEKVFGLTINPLVLQTIRKARAKSLGFGDNARSNYSEMAYVKEELEFARKVFAQNPTWPVIEVTGKAIEETAAVILRLYHDRKQKCSMPRISKRY >KJB66940 pep chromosome:Graimondii2_0_v6:10:48539846:48542191:1 gene:B456_010G167100 transcript:KJB66940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSSDLAICSAIFSSSPLTNLAKHMNIPMKTHKSKSFDEKGKGMPSFAFLFSLVIYISIIYVFSLSPSTLFSNSKFWFAISNTLILFIAADYGAFASSKDHNLDLYGEYALHGARRTCTTVVPSFVSQYTRIVTKSSPNEDGKKNEIRVRNSDDNLQQHPMKADNEATKPKTIPRNESDKVNNLQSSKTKHHEAEDENEYWRMTDEELNRRVEEFIHNFNTQIRLQGIRNTQPLDHEYDEQGDTVNQIHI >KJB67847 pep chromosome:Graimondii2_0_v6:10:58153128:58153325:1 gene:B456_010G2147001 transcript:KJB67847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQATHWKQTVLYLEDVLTICEGETIIGSMTVVPNKKNPRDVDIMVKYSLSGRRCVVSRVQFYKMR >KJB67845 pep chromosome:Graimondii2_0_v6:10:58153128:58153325:1 gene:B456_010G2147001 transcript:KJB67845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQATHWKQTVLYLEDVLTICEGETIIGSMTVVPNKKNPRDVDIMVKYSLSGRRCVVSRVQFYKMR >KJB67844 pep chromosome:Graimondii2_0_v6:10:58153128:58153325:1 gene:B456_010G2147001 transcript:KJB67844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQATHWKQTVLYLEDVLTICEGETIIGSMTVVPNKKNPRDVDIMVKYSLSGRRCVVSRVQFYKMR >KJB67846 pep chromosome:Graimondii2_0_v6:10:58153127:58153325:1 gene:B456_010G2147001 transcript:KJB67846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQATHWKQTVLYLEDVLTICEGETIIGSMTVVPNKKNPRDVDIMVKYSLSGRRCVVSRVQFYKMR >KJB67768 pep chromosome:Graimondii2_0_v6:10:57564144:57566171:-1 gene:B456_010G209700 transcript:KJB67768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNENESASHDKSVPQITHPFHRQHPLVLVAEHNEGLKAHCDGCGELLSAPCFTCIHCNYHLHKQCAEAPLEIPDHPLHPKHSNADLTRQDCTICFNEVKLDRGSYSCKKPACNYVVHVNCVLEDHELYKVIKEEKQCEELEEKSMQSSIIRVIEVNEAGEASKIEHLSHQHCLVLADKMDEEIDRKCDGCMLPISNIFYYCSECPFFLHKTCAELPRIKQHWFRQSNATLKFYSFEVCLFCDRYCSGFFYKFGGRRKMCLRCAKVADIIECEGHQHFLFFDFKYREKCNGCGNRRWPGAFRCGKCRFALDFGCLTLPHSALYKIDEHKIKLTYRDDKEQSYCDICEQDRDPSLWYYSYSLCDTSAHIECVLGQFPFLKDGVTFPSRYHNHHHDLKFFRKVEGYPECSYCGKLCQEEILKCEKSTCNYIVHYKRC >KJB68532 pep chromosome:Graimondii2_0_v6:10:61687035:61689950:-1 gene:B456_010G249000 transcript:KJB68532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDKKEEEKKEEENPEIILKVDMHCEACARKVARTLKGFEGVEDVATDSKANKVVVKGKTANPVKVHERLLKKYGRKVELVSPLPEPPPPEENKEENKEAKPKEEEQKQEPPAVITVVLKVGMHCEACAQALRKRIRKIQGVETVETDVGNDKVTVKGTVDPTKLVDYVYKRTGKQASIVKGEEKKEEQQQEEAEKKPAEEANKETKPEEEDDRKSDDVIKRSEYLQSKYFSELEYPPQFFSDDNPNACSLM >KJB65960 pep chromosome:Graimondii2_0_v6:10:25086068:25087235:1 gene:B456_010G122300 transcript:KJB65960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMPMELVTDPDDQGSAMEVDDVDTPEIFGEGVITFDNKLAYADFFNNFETESVESVESIPPQPPSSSQALVSATFSPSVLSLKSVRRSALFGAHSTTLQRSRCCEFLYPRSRNLKRACSTSLVDDFSDEEFSKKIQELAPKL >KJB68547 pep chromosome:Graimondii2_0_v6:10:61736268:61738781:-1 gene:B456_010G249800 transcript:KJB68547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDQEIARGVENLLRQSDPSVATNLNGVVQQLEAKLGLDLSHKAGFIRDQINLLLSPPQTQAQPPPKDHFNLQHHPQFTSHHHHPQFPPHFALQHHSQFPSHELNFRQPHPPLTAQPPPQLQPQLQRHHPHHRQHHHHQQQPQQLQQPQPVASKAEVFTQNAATIATTEVPKESAPVGPKRRGGSGGLNKVCSVSPALQAIVGEPALPRTELWAYIRKNSLQDPSNKRKIICDDALRVVFETDCTDMFKMNKLLAKHITPLDPSKESSQTRKGKVKVESTTEGVEPGPKPVILSEALAKFLGTGGREMLATEAENRVWEYIKVNRLEDPSNSMVVLCDANLRELLGCESISVMGVRETLLRHHLFKQS >KJB68548 pep chromosome:Graimondii2_0_v6:10:61736174:61738873:-1 gene:B456_010G249800 transcript:KJB68548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDQEIARGVENLLRQSDPSVATNLNGVVQQLEAKLGLDLSHKAGFIRDQINLLLSPPQTQAQPPPKDHFNLQHHPQFTSHHHHPQFPPHFALQHHSQFPSHELNFRQPHPPLTAQPPPQLQPQLQRHHPHHRQHHHHQQQPQQLQQPQPVASKAEVFTQNAATIATTEVPKESAPVGPKRRGGSGGLNKVCSVSPALQAIVGEPALPRTEIVKQLWAYIRKNSLQDPSNKRKIICDDALRVVFETDCTDMFKMNKLLAKHITPLDPSKESSQTRKGKVKVESTTEGVEPGPKPVILSEALAKFLGTGGREMLATEAENRVWEYIKVNRLEDPSNSMVVLCDANLRELLGCESISVMGVRETLLRHHLFKQS >KJB68546 pep chromosome:Graimondii2_0_v6:10:61736716:61738685:-1 gene:B456_010G249800 transcript:KJB68546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDQEIARGVENLLRQSDPSVATNLNGVVQQLEAKLGLDLSHKAGFIRDQINLLLSPPQTQAQPPPKDHFNLQHHPQFTSHHHHPQFPPHFALQHHSQFPSHELNFRQPHPPLTAQPPPQLQPQLQRHHPHHRQHHHHQQQPQQLQQPQPVASKAEVFTQNAATIATTEVPKESAPVGPKRRGGSGGLNKVCSVSPALQAIVGEPALPRTEIVKQLWAYIRKNSLQDPSNKRKIICDDALRVVFETDCTDMFKMNKLLAKHITPLDPSKESSQTRKGKVKVESTTEGVEPGPKPVILSEALAKFLGTGGREMLATEAENRVWEYIKVNRLEDPSNSMVVLCDANLRELLGCESISVMGVRETLLRHHLFKQS >KJB68549 pep chromosome:Graimondii2_0_v6:10:61736268:61738781:-1 gene:B456_010G249800 transcript:KJB68549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDQEIARGVENLLRQSDPSVATNLNGVVQQLEAKLGLDLSHKAGFIRDQINLLLSPPQTQAQPPPKDHFNLQHHPQFTSHHHHPQFPPHFALQHHSQFPSHELNFRQPHPPLTAQPPPQLQPQLQRHHPHHRQHHHHQQQPQQLQQPQPVASKAEVFTQNAATIATTEVPKESAPVGPKRRGGSGGLNKVCSVSPALQAIVGEPALPRTEIVKQLWAYIRKNSLQDPSNKRKIICDDALRVVFETDCTDMFKMNKLLAKHITPLDPSKESSQTRKGKVKVESTTEGVEPGPKPVILSEALAKFLGTGGREMLATEAENRVWEYIKVNRLEDPSNSMVVLCDANLRELLGCESISVMGVRETLLRHHLFKQS >KJB65285 pep chromosome:Graimondii2_0_v6:10:13455591:13456215:-1 gene:B456_010G087900 transcript:KJB65285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSQATTVVNLSSNGNPVGNNAYLRPTLHLTLYKAALTGDWESATRVFEQNPKAATAMIMGSMYALHVAVGARKANEFVEKLVERMSLEEVAMVNGSGATILSIAAAIGNTDAVKLLVCKNPDLPNIRGMDGGFPIHRAAQFGHRETLLYLLQVTKAEVQPSPYEKLLVFFFYVN >KJB64862 pep chromosome:Graimondii2_0_v6:10:8883895:8885652:1 gene:B456_010G068300 transcript:KJB64862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLTHSLTAVVISSFVAIRSYRRKSLDLSGALAGFLVMTIHFVAGYRFGAMLLVFFFTSSMLTKVGEDKKRRVDADFKEGGQRNWIQVLYNSGIAAVLSVLIGNLTGWEDKCLDSNDSVLITSLIGGIIGHYSCCNGDTWSSEIGVLSDDQPRLITTFKSVRRGTNGGVTKTGLLAALAAGSVIGLTFVLVGFLTTRCSNEMAMKQLLVIPLSAVAGLLGSIIDSLLGATLQFSGFCSVRNKVC >KJB64861 pep chromosome:Graimondii2_0_v6:10:8883792:8886236:1 gene:B456_010G068300 transcript:KJB64861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLTHSLTAVVISSFVAIRSYRRKSLDLSGALAGFLVMTIHFVAGYRFGAMLLVFFFTSSMLTKVGEDKKRRVDADFKEGGQRNWIQVLYNSGIAAVLSVLIGNLTGWEDKCLDSNDSVLITSLIGGIIGHYSCCNGDTWSSEIGVLSDDQPRLITTFKSVRRGTNGGVTKTGLLAALAAGSVIGLTFVLVGFLTTRCSNEMAMKQLLVIPLSAVAGLLGSIIDSLLGATLQFSGFCSVRNKVVGKPGPTVKRISGLNFLDNNAVNLVSILLTTLLTSFA >KJB64863 pep chromosome:Graimondii2_0_v6:10:8883792:8886361:1 gene:B456_010G068300 transcript:KJB64863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLTHSLTAVVISSFVAIRSYRRKSLDLSGALAGFLVMTIHFVAGYRFGAMLLVFFFTSSMLTKVGEDKKRRVDADFKEGGQRNWIQVLYNSGIAAVLSVLIGNLTGWEDKCLDSNDSVLITSLIGGIIGHYSCCNGDTWSSEIGVLSDDQPRLITTFKSVRRGTNGGVTKTGLLAALAAGSVIGLTFVLVGFLTTRCSNEMAMKQLLVIPLSAVAGLLGSIIDSLLGATLQFSGFCSVRNKVVGKPGPTVKRISGLNFLDNNAVNLVSILLTTLLTSFACVYIF >KJB64860 pep chromosome:Graimondii2_0_v6:10:8883792:8886128:1 gene:B456_010G068300 transcript:KJB64860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLTHSLTAVVISSFVAIRSYRRKSLDLSGALAGFLVMTIHFVAGYRFGAMLLVFFFTSSMLTKVGEDKKRRVDADFKEGGQRNWIQVLYNSGIAAVLSVLIGNLTGWEDKCLDSNDSVLITSLIGGIIGHYSCCNGDTWSSEIGVLSDDQPRLITTFKSVRRGTNGGVTKTGLLAALAAGSVIGLTFVLVGFLTTRCSNEMAMKQLLVIPLSAVAGLLGSIIDSLLGATLQFSGFCSVRNKVVGKPGPTVKRISGLNFLDNNACMF >KJB65181 pep chromosome:Graimondii2_0_v6:10:12363432:12367268:1 gene:B456_010G083500 transcript:KJB65181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPFPVTHQACPLSSSHSLYYLILQTKTQNNSFRALKFKSYCVSHQTVKVGIDISKKKRKPKPSFLDQIKDKWSQKPIISTREKLPWQEKEELEEQEEVEKEQTFEATASETESDEDPRVEVSDPVSIPLPSQVIAAPWSHGRKFNEPHFDFVPESPEFESQIEVSFANEKPIDFVGDRIEKPELLDEEISFNKQKPILSAHKKIAAVEGINEVVSSRQNLEVSTSGSNEGGSIEGDGKRGKKKSNTEMAERMIPEHELRRLRNIALRMVERTKVGAAGITQALVEHIYERWKLDEVIKLKFEEPLSLNMKRTHEVLEKRTGGLVIWRSGGSVVLYRGMAYKLHCVQSYSGQDQADTSALDVLTTNTETMVVKDSVRTEESFMLSSLEYLKDLSKEELMDLCELNHLLDELGPRYKDWSGREPLPVDADLLPPVVPGYQLPFRRLPYGVRQCLKDREMTTFRRLARSMPPHFALGRNRELQGLAQAIVKLWERTAIAKIAVKRGVENTRNERMAEELKRLTGGTLLSRNKEFIVFYRGNDFLPPVVTNTLKEMQKSRNLRQEEEEEARGRALALVGSNVKASTLPLVAGTLAETTAATSRWGHQPSPDEVEEMKRNSALTQQASLVRHLEKKLAHAKGKLTKANKALAKVQEHLDPTDLPTDLETLSEEERILFRKIGLSMKPYLLLGKRGVYDGTIENMHLHWKYRELVKILVKRESLAQVKHIAISLEAESGGVLVSLDKTTKGYAIIIYRGKNYLRPLEVRPKNLLTKRQALARSVELQRCEALKHHISYLLEKIELMKSELEEMKAGNEVGAVNTPYSRLNEALLSDEDIEEVINDLLNLYMLEDEEHAFHFLLPCYEQLYTFEVATLP >KJB65180 pep chromosome:Graimondii2_0_v6:10:12363390:12368212:1 gene:B456_010G083500 transcript:KJB65180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPFPVTHQACPLSSSHSLYYLILQTKTQNNSFRALKFKSYCVSHQTVKVGIDISKKKRKPKPSFLDQIKDKWSQKPIISTREKLPWQEKEELEEQEEVEKEQTFEATASETESDEDPRVEVSDPVSIPLPSQVIAAPWSHGRKFNEPHFDFVPESPEFESQIEVSFANEKPIDFVGDRIEKPELLDEEISFNKQKPILSAHKKIAAVEGINEVVSSRQNLEVSTSGSNEGGSIEGDGKRGKKKSNTEMAERMIPEHELRRLRNIALRMVERTKVGAAGITQALVEHIYERWKLDEVIKLKFEEPLSLNMKRTHEVLEKRTGGLVIWRSGGSVVLYRGMAYKLHCVQSYSGQDQADTSALDVLTTNTETMVVKDSVRTEESFMLSSLEYLKDLSKEELMDLCELNHLLDELGPRYKDWSGREPLPVDADLLPPVVPGYQLPFRRLPYGVRQCLKDREMTTFRRLARSMPPHFALGRNRELQGLAQAIVKLWERTAIAKIAVKRGVENTRNERMAEELKRLTGGTLLSRNKEFIVFYRGNDFLPPVVTNTLKEMQKSRNLRQEEEEEARGRALALVGSNVKASTLPLVAGTLAETTAATSRWGHQPSPDEVEEMKRNSALTQQASLVRHLEKKLAHAKGKLTKANKALAKVQEHLDPTDLPTDLETLSEEERILFRKIGLSMKPYLLLGKRGVYDGTIENMHLHWKYRELVKILVKRESLAQVKHIAISLEAESGGVLVSLDKTTKGYAIIIYRGKNYLRPLEVRPKNLLTKRQALARSVELQRCEALKHHISYLLEKIELMKSELEEMKAGNEVGAVNTPYSRLNEALLSDEDIEEEDWEDEYMESYGSGNDNDDDDDQQKERPV >KJB63773 pep chromosome:Graimondii2_0_v6:10:1203045:1205530:1 gene:B456_010G015600 transcript:KJB63773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFHFFNCAILTFGPHAIYYSATPLSEYDTLGTSVKAALVYLGTALVKVSENDGFDPYQELLKAVIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDFILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYACAGIVATMPSITSYLRRGLGWHFPKVVGFELFTSLSMAFISWQLFSACQRPSS >KJB63770 pep chromosome:Graimondii2_0_v6:10:1203140:1205083:1 gene:B456_010G015600 transcript:KJB63770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFHFFNCAILTFGPHAIYYSATPLSEYDTLGTSVKAALVYLGTALVKLVCLATFLKVSENDGFDPYQELLKAVIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDFILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYACAGIVATMPSITRSVLTFSMTSFSLYMAAFAMNTR >KJB63772 pep chromosome:Graimondii2_0_v6:10:1203140:1204751:1 gene:B456_010G015600 transcript:KJB63772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFHFFNCAILTFGPHAIYYSATPLSEYDTLGTSVKAALVYLGTALVKLVCLATFLKVSENDGFDPYQELLKAVIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDFILQGLEANANLVCIFRQ >KJB63774 pep chromosome:Graimondii2_0_v6:10:1203045:1205530:1 gene:B456_010G015600 transcript:KJB63774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFHFFNCAILTFGPHAIYYSATPLSEYDTLGTSVKAALVYLGTALVKELLKAVIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDFILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYACAGIVATMPSITSYLRRGLGWHFPKVVGFELFTSLSMAFISWQLFSACQRPSS >KJB63769 pep chromosome:Graimondii2_0_v6:10:1203013:1205622:1 gene:B456_010G015600 transcript:KJB63769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFHFFNCAILTFGPHAIYYSATPLSEYDTLGTSVKAALVYLGTALVKLVCLATFLKVSENDGFDPYQELLKAVIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDFILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYACAGIVATMPSITSYLRRGLGWHFPKVVGFELFTSLSMAFISWQLFSACQRPSS >KJB63771 pep chromosome:Graimondii2_0_v6:10:1203045:1205530:1 gene:B456_010G015600 transcript:KJB63771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFHFFNCAILTFGPHAIYYSATPLSEYDTLGTSVKAALVYLGTALVKLVCLATFLKVSENDGFDPYQELLKAVIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDFILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYACAGIVATMPSITRSLSKARIGMALPEGGRLRTVHVFEYGFH >KJB67954 pep chromosome:Graimondii2_0_v6:10:58828718:58829128:-1 gene:B456_010G219400 transcript:KJB67954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTCLCHTRTHSINTIIKKFPKSSQMSHCRRLLRIPSSAHGGVQSHGVVVGCMGWDSGLLAEKKQEEKEEWMRDERVSERCKGRKGMVELLECLETEAIMGEDQGRDPMDYNRRAQIFDTSSKVFQALKSHQQQS >KJB65949 pep chromosome:Graimondii2_0_v6:10:25069694:25070959:1 gene:B456_010G122100 transcript:KJB65949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAQPACSFTTFLGCFRPSPRSFSNIRVHPSRNLSTSMSLQSSEPVATKTDPPTDDDVLLQYVVLRRDLIDKWPLGSVVTQGCHASVSAIWSHKDDPHTLQYCSPDNIDSMHKGDLVLYLELWMMS >KJB65948 pep chromosome:Graimondii2_0_v6:10:25069606:25070959:1 gene:B456_010G122100 transcript:KJB65948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAQPACSFTTFLGCFRPSPRSFSNIRVHPSRNLSTSMSLQSSEPVATKTDPPTDDDVLLQYVVLRRDLIDKWPLGSVVTQGCHASVSAIWSHKDDPHTLQYCSPDNIDSMHKVTLEVKGETQILNLSEKLLAAGIAHKLWIEQPENFPTCLATKPYPKSMVSPFFKKLKLCK >KJB63517 pep chromosome:Graimondii2_0_v6:10:174395:175504:-1 gene:B456_010G003900 transcript:KJB63517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSFDSQFTMEDIEVANIMLELPRLILRPPRFSCTWGCRRKRSVSTSSPPPSQQPLSSSAIVGPTEKVLSSSPDTPLSFCPSEPDEKPQPQPPKKKTSVNTLKRQKKEQILEMVEDFTHRNELLKKDIEIKRQLLDQQIAENLELKSKKQKLNQSLLTPVTNKRLDLGVQSAQVSIGQYHHYQQQGIPSRVHHQTLMMDRMVEMISMLPSSNGIPDLNVAAEEAFIDLDPVTRGRAAAEARFKRRQICRAKNYNAIYKAGCPLT >KJB63516 pep chromosome:Graimondii2_0_v6:10:174236:175746:-1 gene:B456_010G003900 transcript:KJB63516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSFDSQFTMEDIEVANIMLELPRLILRPPRFSCTWGCRRKRSVSTSSPPPSQQPLSSSAIVGPTEKVLSSSPDTPLSFCPSEPDEKPQPQPPKKKTSVNTLKRKKEQILEMVEDFTHRNELLKKDIEIKRQLLDQQIAENLELKSKKQKLNQSLLTPVTNKRLDLGVQSAQVSIGQYHHYQQQGIPSRVHHQTLMMDRMVEMISMLPSSNGIPDLNVAAEEAFIDLDPVTRGRAAAEARFKRRQICRAKNYNAIYKAGCPLT >KJB63325 pep chromosome:Graimondii2_0_v6:10:53769204:53770674:1 gene:B456_010G186700 transcript:KJB63325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEVQSAATTLPENETTPEVTKVEETPKEEPAAAPVEAEPETTSDAPAAEETEVSIAEAPAEVDTKEVVEEEAKAAEEAEKATEEVKDETPEVQTETVTEEEKGDEKADEIPVEKVEE >KJB63322 pep chromosome:Graimondii2_0_v6:10:53769204:53770502:1 gene:B456_010G186700 transcript:KJB63322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEVQSAATTLPENETTPEVTKVEETPKEEPAAAPVEAEPETTSDAPAAEETEVSIAEAPAEVDTKEVVEEEAKAAEEAEKATEEVKDETPEVQTETVTEEAKVETEEPAVEEKPAEEEKGDEKADEIPVEKVEE >KJB63323 pep chromosome:Graimondii2_0_v6:10:53769183:53770712:1 gene:B456_010G186700 transcript:KJB63323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEVQSAATTLPENETTPEVTKVEETPKEEPAAAPVEAEPETTSDAPAAEETEVSIAEAPAEVDTKEVVEEEAKAAEEAEKATEEVKDETPEVQTETVTEEAKVETEEPAVEEKPAEEEKGDEKADEIPVEKVEE >KJB63324 pep chromosome:Graimondii2_0_v6:10:53769204:53770674:1 gene:B456_010G186700 transcript:KJB63324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEVQSAATTLPENETTPEVTKVEETPKEEPAAAPVEAEPETTSDAPAAEETEVSIAEAPAEVDTKEVVEEEAKAAEEAEKATEEVKDETPEVQTETVTEEKPAEEEKGDEKADEIPVEKVEE >KJB67910 pep chromosome:Graimondii2_0_v6:10:58595342:58598872:1 gene:B456_010G217500 transcript:KJB67910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVLIGLVFALIIQSLAANLVVSTGKHLLEFCKAEYPRMVKNYLWILAEIAVIAADIPKVIGTAFGLNILFNIPVWVRVLLTGLSTLLLLGLQRYGVRKLEMLIAVLVFVIARCFFREMSYVKPPASGVVNGMFVPKLNGQGATGDAIALLGAIAMSHNIFLHSTLVLSRKVPNSVRGVNDACRYFLIESGIALFVAFLIDVAVVSVFATVCTADNISSANQKPKLKNVLGKSSKALYAVALLASGKSSSITGTYTGQFIMQGFLNLHMKKWVRNIMTRCIAITPSLIMILSFELPFALISLIKFSSSSTKIMSYFSVIVISWILGIGIIRINVYYLITSFVDWLVHNDVPKLGNVFIGIVVLPSMAIYIIAVIHLTCR >KJB63521 pep chromosome:Graimondii2_0_v6:10:183191:183859:1 gene:B456_010G004200 transcript:KJB63521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLFKDKGPGWKKGWTEQAITSISAPPLPLLSIFGIICVLLMVSSYINLKREVHHTVFNLKLFLLFLPVMLIFAAQFLSKCERLVVPYVRTKRDLVRRTWDLPWGMIMVVVVLLVMVSYQSYFHSMWSPNIWRSVYL >KJB66613 pep chromosome:Graimondii2_0_v6:10:38731142:38733917:-1 gene:B456_010G147300 transcript:KJB66613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVIWLLKILLIFFLSHFSFSSDIITQHHFIKDNDEVIVSSGNIFALGFFSPGSSSNRFVGIWYYQHPEKTVVWVANRENPINDTSGVFSIDRRGNLVLFQTNQTLPVWSTNISLAGTSNCIAQVLDSGNLVLIHNDTTKAVLWQSFDHPTNTWLSFQKLGLNLRTGVNLIYTSWKSPDDPGVGNYSFRMNPNGSPQIFLYKGSTPWWRSGAWTEQRWSGIPQMTQNFIFKDTFVNAGYEVSFSSDVRNSSIVSRTLVKETGVLQRETWNNEAQRWIVFYSAPTERCDFYGYCGPNGYCNPYLAGALECTCFPGFQPKSPEGWFIGDSAGGCVRKRGVSMCGNGEGFVKFPHAKVPDTSAAVVNMSIGLKQCKEKCLRNCSCMAYASANSETGRGIGCLTWHGDLMDARKYTYTGQDLYIRVDKNEIARYTKKGLLHNRGVLAIIIVSLAVVLLILIALSRCFLRRQRRGRARKSKNIFSFTSFKDSLGEKEIDESRRNGDLPYFDLGTIAAATNNFSSDNKLGQGGFGPVYKGVLLNGQEIAVKRLSNSSSQGLQEFKNEIVLIAKLQHRNLVRILGCCVEGEEKMLVYEFLPNKSLDFIIFDDSKRSLLDWKKRLEIICGIARGMLYLHHDSRLRVIHRDLKASNVLLDDAMNPKISDFGMARIFGGDQMEGDTRRVVGT >KJB66612 pep chromosome:Graimondii2_0_v6:10:38730906:38733917:-1 gene:B456_010G147300 transcript:KJB66612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVIWLLKILLIFFLSHFSFSSDIITQHHFIKDNDEVIVSSGNIFALGFFSPGSSSNRFVGIWYYQHPEKTVVWVANRENPINDTSGVFSIDRRGNLVLFQTNQTLPVWSTNISLAGTSNCIAQVLDSGNLVLIHNDTTKAVLWQSFDHPTNTWLSFQKLGLNLRTGVNLIYTSWKSPDDPGVGNYSFRMNPNGSPQIFLYKGSTPWWRSGAWTEQRWSGIPQMTQNFIFKDTFVNAGYEVSFSSDVRNSSIVSRTLVKETGVLQRETWNNEAQRWIVFYSAPTERCDFYGYCGPNGYCNPYLAGALECTCFPGFQPKSPEGWFIGDSAGGCVRKRGVSMCGNGEGFVKFPHAKVPDTSAAVVNMSIGLKQCKEKCLRNCSCMAYASANSETGRGIGCLTWHGDLMDARKYTYTGQDLYIRVDKNEIARYTKKGLLHNRGVLAIIIVSLAVVLLILIALSRCFLRRQRRGRARKSKNIFSFTSFKDSLGEKEIDESRRNGDLPYFDLGTIAAATNNFSSDNKLGQGGFGPVYKGVLLNGQEIAVKRLSNSSSQGLQEFKNEIVLIAKLQHRNLVRILGCCVEGEEKMLVYEFLPNKSLDFIIFGMSSFKLLITYANNFCFKLCMIPFKI >KJB66614 pep chromosome:Graimondii2_0_v6:10:38731200:38733917:-1 gene:B456_010G147300 transcript:KJB66614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVIWLLKILLIFFLSHFSFSSDIITQHHFIKDNDEVIVSSGNIFALGFFSPGSSSNRFVGIWYYQHPEKTVVWVANRENPINDTSGVFSIDRRGNLVLFQTNQTLPVWSTNISLAGTSNCIAQVLDSGNLVLIHNDTTKAVLWQSFDHPTNTWLSFQKLGLNLRTGVNLIYTSWKSPDDPGVGNYSFRMNPNGSPQIFLYKGSTPWWRSGAWTEQRWSGIPQMTQNFIFKDTFVNAGYEVSFSSDVRNSSIVSRTLVKETGVLQRETWNNEAQRWIVFYSAPTERCDFYGYCGPNGYCNPYLAGALECTCFPGFQPKSPEGWFIGDSAGGCVRKRGVSMCGNGEGFVKFPHAKVPDTSAAVVNMSIGLKQCKEKCLRNCSCMAYASANSETGRGIGCLTWHGDLMDARKYTYTGQDLYIRVDKNEIARYTKKGLLHNRGVLAIIIVSLAVVLLILIALSRCFLRRQRRGRARKSKNIFSFTSFKDSLGEKEIDESRRNGDLPYFDLGTIAAATNNFSSDNKLGQGGFGPVYKGVLLNGQEIAVKRLSNSSSQGLQEFKNEIVLIAKLQHRNLVRILGCCVEGEEKMLVYEFLPNKSLDFIIFGMSSFKLLITYANNFCFKLCMIPFKI >KJB66611 pep chromosome:Graimondii2_0_v6:10:38730546:38733941:-1 gene:B456_010G147300 transcript:KJB66611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVIWLLKILLIFFLSHFSFSSDIITQHHFIKDNDEVIVSSGNIFALGFFSPGSSSNRFVGIWYYQHPEKTVVWVANRENPINDTSGVFSIDRRGNLVLFQTNQTLPVWSTNISLAGTSNCIAQVLDSGNLVLIHNDTTKAVLWQSFDHPTNTWLSFQKLGLNLRTGVNLIYTSWKSPDDPGVGNYSFRMNPNGSPQIFLYKGSTPWWRSGAWTEQRWSGIPQMTQNFIFKDTFVNAGYEVSFSSDVRNSSIVSRTLVKETGVLQRETWNNEAQRWIVFYSAPTERCDFYGYCGPNGYCNPYLAGALECTCFPGFQPKSPEGWFIGDSAGGCVRKRGVSMCGNGEGFVKFPHAKVPDTSAAVVNMSIGLKQCKEKCLRNCSCMAYASANSETGRGIGCLTWHGDLMDARKYTYTGQDLYIRVDKNEIARYTKKGLLHNRGVLAIIIVSLAVVLLILIALSRCFLRRQRRGRARKSKNIFSFTSFKDSLGEKEIDESRRNGDLPYFDLGTIAAATNNFSSDNKLGQGGFGPVYKGVLLNGQEIAVKRLSNSSSQGLQEFKNEIVLIAKLQHRNLVRILGCCVEGEEKMLVYEFLPNKSLDFIIFDDSKRSLLDWKKRLEIICGIARGMLYLHHDSRLRVIHRDLKASNVLLDDAMNPKISDFGMARIFGGDQMEGDTRRVVGTYGYMSPEYAMHGHFSMKSDVYSFGVLLLEIITGKKNSSYFPNSPSLNMVGHVWELWKEEKAMEVVDSSLADSYSTDEILKCIQIGLLCVQECATNRPTMLTVVFMLSNETTLPSPEQPAFIAKKIHKSDEISISGGTKSINEVTVTMIDAR >KJB64879 pep chromosome:Graimondii2_0_v6:10:9110111:9113257:-1 gene:B456_010G069600 transcript:KJB64879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHCESSVKIPPIKFTKLFINGNFVDSISGKTFDTIDPRTGEVITKVSLGDREDVDLAVKAARHAFDYGPWPRMSGSERGRMMMKLADLIEENVEEIAALDAVNGGKLFTLCKAIDIPGAARTLRYYAGAADKIHGTVLKMSKGLQGYTLREPIGVVGSIIPWNFPTTMFFMKAAPALAAGCTLVVKPAEQTPLSALYYAHLAKLAGIPDGVLNVVNGFGETAGAAISAHMDIDKVTFTGSTEVGRKIMAAAAASNLKPVSLELGGKSPLLIFDDADIDQAADIAFRGMFYNKGEICVASSRVYVQEGIYEKLVKKLVEKAKATVVGDPFDPQVNQGPQTDKKQFEKILSYIEHGKREGATLLTGGKHVGQKGYYIEPTIFTDVNEDMIITKEEIFGPVMSLMKFKTMEEAIKRANNTTYGLASGIITKNLNVANTVSRSIRAGVVWINCYTAFDVDCPYGGYKMSGFGRDFGLDALNQYLQIKSVVTPIHDSPWH >KJB64880 pep chromosome:Graimondii2_0_v6:10:9110111:9113302:-1 gene:B456_010G069600 transcript:KJB64880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHCESSVKIPPIKFTKLFINGNFVDSISGKTFDTIDPRTGEVITKVSLGDREDVDLAVKAARHAFDYGPWPRMSGSERGRMMMKLADLIEENVEEIAALDAVNGGKLFTLCKAIDIPGAARTLRYYAGAADKIHGTVLKMSKGLQGYTLREPIGVVGSIIPWNFPTTMFFMKAAPALAAGCTLVVKPAEQTPLSALYYAHLAKLAGIPDGVLNVVNGFGETAGAAISAHMDIDKVTFTGSTELGGKSPLLIFDDADIDQAADIAFRGMFYNKGEICVASSRVYVQEGIYEKLVKKLVEKAKATVVGDPFDPQVNQGPQTDKKQFEKILSYIEHGKREGATLLTGGKHVGQKGYYIEPTIFTDVNEDMIITKEEIFGPVMSLMKFKTMEEAIKRANNTTYGLASGIITKNLNVANTVSRSIRAGVVWINCYTAFDVDCPYGGYKMSGFGRDFGLDALNQYLQIKSVVTPIHDSPWH >KJB63592 pep chromosome:Graimondii2_0_v6:10:499604:502880:-1 gene:B456_010G007800 transcript:KJB63592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYYPSFTNRRDDLSIQDEKPVPYRASSMGEFTEILSGTSLIPPCSDSLQSVNGRLNITMSAVNCQSQGLSLSLGSEIPPDVSLPSLPCQYQAYSDIYQFQPGFTSTISNSKYLKVVQELLNEVINVQQALRQSDFDKNVSSQLKSSESNESVNNLSKISPTERQELQNKKTKLLSMLDEVDRRYRQYYHQMKILVSSFDSVAGCGAAKPYTSLALQTISRHFRCLRDAISNQIQLTQRSLGEHDNSSNNQGSVIPRLRYVDHQLRQQRALQQFGVMRNAWRPQRGLPESSVSVLRAWLFEHFLHPYPKDSEKIMLAKQTGLTRNQVANWFINARVRLWKPMIEEMYKEEFGEMDSNFKSSLENAAKATGENSSASEDRGEELHENITSKVACADNIQPGQVLYPKPDHIPDVEPNRPTARSMYQNIANPYPNTVIPSNQHGHGTLMTGDTVYDLTELNGFAVGNQVSLALGLRNHENKVFTMSGSTNHKVDSSVGPETVDFRFMEPGNQQDRFGNPHILHDFVV >KJB67829 pep chromosome:Graimondii2_0_v6:10:57965195:57965772:-1 gene:B456_010G2136001 transcript:KJB67829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATECKKRAVLVGCNYPNTINKLSGCVNDAKAMREMIVSRFGFDSENVELLTDEPESTCKPTRANIMAALKKMVVAAKEGDVLLFHFSGHGLVHRIDPHQPPNEGEAIVPCDLNPIFDVDLGQLIQLLPSGSSFTIVSDSCHSGGLIDKSKEQIGPHSTLR >KJB64156 pep chromosome:Graimondii2_0_v6:10:3202780:3206551:-1 gene:B456_010G035000 transcript:KJB64156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIKIKKIDNLTARQVTFSKRRRGLFKKAEELSVLCDAEVALIIFSATGKLFEFASSSMKDTLGRYNLHSSNINKLGQPSLDLQLENNNNIRLSTEIVDKTHQLRQMRGEDLQGLNIEELQQLEGMLESGLKCVLETKSNRIRNEISSLETKGARLLEENKQLKEKMATLYKRKRDSDVVGEEGVSSESVTNVCSCSSSCPPLEDDSSDTSLRLGLPFT >KJB64160 pep chromosome:Graimondii2_0_v6:10:3202509:3207017:-1 gene:B456_010G035000 transcript:KJB64160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIKIKKIDNLTARQVTFSKRRRGLFKKAEELSVLCDAEVALIIFSATGKLFEFASSSMKDTLGRYNLHSSNINKLGQPSLDLQLENNNNIRLSTEIVDKTHQLRQMRGEDLQGLNIEELQQLEGMLESGLKCVLETKSNRIRNEISSLETKGARLLEENKQLKEKMATLYKRKRDSDVVGEEGVSSESVTNVCSCSSSCPPLEDDSSDTSLRLGLPFT >KJB64158 pep chromosome:Graimondii2_0_v6:10:3202517:3206847:-1 gene:B456_010G035000 transcript:KJB64158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIKIKKIDNLTARQVTFSKRRRGLFKKAEELSVLCDAEVALIIFSATGKLFEFASSSMKDTLGRYNLHSSNINKLGQPSLDLQLENNNNIRLSTEIVDKTHQLRQMRGEDLQGLNIEELQQLEGMLESGLKCVLETKSNRIRNEISSLETKGARLLEENKQLKEKMATLYKRKRDSDVVGEEGVSSESVTNVCSCSSSCPPLEDDSSDTSLRLGLPFT >KJB64159 pep chromosome:Graimondii2_0_v6:10:3202517:3206913:-1 gene:B456_010G035000 transcript:KJB64159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIKIKKIDNLTARQVTFSKRRRGLFKKAEELSVLCDAEVALIIFSATGKLFEFASSSMKDTLGRYNLHSSNINKLGQPSLDLQLENNNNIRLSTEIVDKTHQLRQMRGEDLQGLNIEELQQLEGMLESGLKCVLETKSNRIRNEISSLETKGARLLEENKQLKEKMATLYKRKRDSDVVGEEGVSSESVTNVCSCSSSCPPLEDDSSDTSLRLGLPFT >KJB64157 pep chromosome:Graimondii2_0_v6:10:3202517:3206828:-1 gene:B456_010G035000 transcript:KJB64157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIKIKKIDNLTARQVTFSKRRRGLFKKAEELSVLCDAEVALIIFSATGKLFEFASSSMKDTLGRYNLHSSNINKLGQPSLDLQLENNNNIRLSTEIVDKTHQLRQMRGEDLQGLNIEELQQLEGMLESGLKCVLETKSNRIRNEISSLETKGARLLEENKQLKEKMATLYKRKRDSDVVGEEGVSSESVTNVCSCSSSCPPLEDDSSDTSLRLGLPFT >KJB63742 pep chromosome:Graimondii2_0_v6:10:1035429:1035764:-1 gene:B456_010G013900 transcript:KJB63742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTKPDKNNVIININDESSNNCGEDNQDEQEQLDAITSHLYIKPSHSKQTLDKDMVLRRIRHRKRMNNFRSAFQSFIGSSSSSSSSTKMTENGKGSSNELKWVDDAFAAL >KJB67382 pep chromosome:Graimondii2_0_v6:10:53952704:53957407:1 gene:B456_010G187800 transcript:KJB67382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDIVRQTRDLLSFAARSSESRESKREEKMAELFKNLRELKSILYGNSESEPVSEACAQLTQEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVNSRLIASDYLEANLDLLDILIAGYENTDMALHYGAMLRECIRHQTVARYVLESQHMKKFFDYIQLPKFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFEEYNSKLMESSNYITRRQAIKLLGEILLDRSNAAVMTRYVSSKDNLRILMNLLRESSKSIQIEAFHVFKVCLLQSRISLQTLLAYSLPIKASFYDCLRISRLIKRMNSLRRTRLRL >KJB67380 pep chromosome:Graimondii2_0_v6:10:53952651:53957553:1 gene:B456_010G187800 transcript:KJB67380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDIVRQTRDLLSFAARSSESRESKREEKMAELFKNLRELKSILYGNSESEPVSEACAQLTQEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVNSRLIASDYLEANLDLLDILIAGYENTDMALHYGAMLRECIRHQTVARYVLESQHMKKFFDYIQLPKFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFEEYNSKLMESSNYITRRQAIKLLGEILLDRSNAAVMTRYVSSKDNLRILMNLLRESSKSIQIEAFHVFKLFAAKQNKPPDIVSILVANKSKLLRLFADFKTNKEDEQFEADKAQVVREIAALELRDRP >KJB67379 pep chromosome:Graimondii2_0_v6:10:53953031:53956045:1 gene:B456_010G187800 transcript:KJB67379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDIVRQTRDLLSFAARSSESRESKREEKMAELFKNLRELKSILYGNSESEPVSEACAQLTQEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVNSRLIASDYLEANLDLLDILIAGYENTDMALHYGAMLRECIRHQTVARYVLESQHMKKFFDYIQLPKFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFEEYNSKLMESSNYITRRQAIKLLGEILLDRSNAAVMTRYVSSKDNLRILMNLLRVPHFLLFLAFMFCLSHIILE >KJB67383 pep chromosome:Graimondii2_0_v6:10:53952704:53957407:1 gene:B456_010G187800 transcript:KJB67383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDIVRQTRDLLSFAARSSESRESKREEKMAELFKNLRELKSILYGNSESEPVSEACAQLTQEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVNSRLIASDYLEANLDLLDILIAGYENTDMALHYGAMLRECIRHQTVASVSIMVAFKQQSSPIFTFEMFPFYNMYVLESQHMKKFFDYIQLPKFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFEEYNSKLMESSNYITRRQAIKLLGEILLDRSNAAVMTRYVSSKDNLRILMNLLRESSKSIQIEAFHVFKLFAAKQNKPPDIVSILVANKSKLLRLFADFKTNKEDEQFEADKAQVVREIAALELRDRP >KJB67381 pep chromosome:Graimondii2_0_v6:10:53952681:53957553:1 gene:B456_010G187800 transcript:KJB67381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAACDIVTIGIKYMAELFKNLRELKSILYGNSESEPVSEACAQLTQEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVNSRLIASDYLEANLDLLDILIAGYENTDMALHYGAMLRECIRHQTVARYVLESQHMKKFFDYIQLPKFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFEEYNSKLMESSNYITRRQAIKLLGEILLDRSNAAVMTRYVSSKDNLRILMNLLRESSKSIQIEAFHVFKLFAAKQNKPPDIVSILVANKSKLLRLFADFKTNKEDEQFEADKAQVVREIAALELRDRP >KJB65114 pep chromosome:Graimondii2_0_v6:10:11837010:11841071:1 gene:B456_010G081600 transcript:KJB65114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFQLKDVYDPDKVKVRIKIVPGSPYGIVATEAKKAQSNWVILDKHLKHEKKHCLEELQCNLVVMKRSQPKVLRLNLVGSPNMAPQLAWPLSFESETYPKRKKSKHDLLDETKGPFVTPVSSPERESSLTATDIGTSSISGSDPGASPLILPALYESLKKEYSFITEESQNLFESDSDTDCELDPPKTRSYFPPWMSDICNSSADSKHLGKDLQRLNDSSLTSTYNILLEKLSTLNREPDVGVLNYRLDLKVSKSVREAISLSRNAPPGPPPLCSICQHKAPVFGHPPRWFTYAELEHATNGFSQSNFLAEGGFGSVHRGILPDGQVIAVKLHKLASSQGDREFCSEVEVLSCAQHRNVVMLIGFCIEDGKRLLVYEYVCNGSLDSHLYGHNHDTLQWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEPLVGDFGLARWQPDGDRGVETRVIGRFGYLAPEYAQSGQITEKADVYSFGVVMVELITGRKAMDISRPKGQQCLTEWARPLLESHAIRELVDPRLGNGYVEQEVYGMLQCASFCIRRDPHTRPRMSQVLRMLEGDVITNSTFVHEF >KJB65113 pep chromosome:Graimondii2_0_v6:10:11835724:11841071:1 gene:B456_010G081600 transcript:KJB65113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKGSSDLASKVVVVAVKAAREIPRTALVWALTHVAQPGDCIKLLVVIPVHSSSRRIWGISRFTSDCATGHWKSLSASGANSDAKQDIADSCSQMIFQLKDVYDPDKVKVRIKIVPGSPYGIVATEAKKAQSNWVILDKHLKHEKKHCLEELQCNLVVMKRSQPKVLRLNLVGSPNMAPQLAWPLSFESETYPKRKKSKHDLLDETKGPFVTPVSSPERESSLTATDIGTSSISGSDPGASPLILPALYESLKKEYSFITEESQNLFESDSDTDCELDPPKTRSYFPPWMSDICNSSADSKHLGKDLQRLNDSSLTSTYNILLEKLSTLNREPDVGVLNYRLDLKVSKSVREAISLSRNAPPGPPPLCSICQHKAPVFGHPPRWFTYAELEHATNGFSQSNFLAEGGFGSVHRGILPDGQVIAVKLHKLASSQGDREFCSEVEVLSCAQHRNVVMLIGFCIEDGKRLLVYEYVCNGSLDSHLYGHNHDTLQWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEPLVGDFGLARWQPDGDRGVETRVIGRFGYLAPEYAQSGQITEKADVYSFGVVMVELITGRKAMDISRPKGQQCLTEWARPLLESHAIRELVDPRLGNGYVEQEVYGMLQCASFCIRRDPHTRPRMSQVLRMLEGDVITNSTFVHEF >KJB67730 pep chromosome:Graimondii2_0_v6:10:57254314:57255170:-1 gene:B456_010G2068001 transcript:KJB67730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAK >KJB64388 pep chromosome:Graimondii2_0_v6:10:5061164:5077218:-1 gene:B456_010G047000 transcript:KJB64388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEEEAEQLMEIDIVETQAEFSDKEERGCSVSDSSSGLGWLLGSRSRRLTSERPSKKRKLLGDDDGLKKVLVACQCDGNSSLCHFCCTDDTRKESNRLVVCCSCKVAVHQKCYGVQNDVDSSWLCSWCKQKNDSNDAGKPCALCPKLGGALKPIQKSDENSGSVEFAHMFCSIWMPEVYVEDLTKMEPIINVGEVKGTRKKLVCNVCRVKYGACVPCSHGTCRNSFHPLCAREAGHRMEVWARYGCDNIEMRAFCSKHSEIRNNSSSPQLGELCAAANDFSIANQFSPTSMEKSQNLKIGHKDEDKITVDIRDPDDNSDKSGDGELQEIGFFDTRLDARVLSEYGDLQQLVDMGLLERSNINDHDPSDPHNFALVLKKLIARGKVNVKDLALEIGLSDDSLSASLDGDSLTPDLQGKLIKWLKYHAYMGSSPKKLKVKIKPLMSSKDETGATDGYDDIMDFMSDIPNPVAVKSVPPRRRTKSNARILRDNEVICSSDEIINDNGLVMDKVMVDRLAKEEIYDLSEASILDAIGKNSAKPDDSLDSSDRHLPASEGNSPDLLNDGFYERSRSEMPATPEKITVATSEQESSIFPIVNLISEEFSNFYIHPYIRKKFLQMHDKFICNNRVGKFEDGMNTLNELDGKRVRDRSCLVAASTDSVYSSHGSEHTKCNEKSSTPDDLDFSIKARKLRSLKLSPKDEVEGEIIYYQDRLMRNIITRNCVTDNLVSRVAKSLPVEVEAAREQRWDAVLANQYLYDLREAKKQGRKERRHKEAQAVLAAATAAAAASSRNSLSRKDGEDSSQQENILKLNACVGRAGISLLQRSKDAWDAVPRISSEKYSDIVQSVSDFSKEHPRSCDICRRSETVLNPILVCSGCKVAVHLDCYRSVKEPLGPWCCELCEELFSSRSFEATSLNFWEISYPAVHCGLCGGTTGAFRKSVDGQWLHAFCAEWVLESTFRRGQVNPVEGMEKASRGVDICCICHRKHGACIKCSYNHCQTTFHPSCARTAGFCMNVMLAGGKFQRNAFCEKHSVEQRAKAETQKHGVEELKNVKQIRVKLERLRLLCDRIIKREKLKRELVVCSHEILARKRDHVTRSLLFHSPFHPDVSSESATTSLKGHTDGYRSCSESMRSDDITVDSTLSVKHQIKIPVSVENDQRTDDSSTSQSLFVPKPMDRVRFSGKQIPHRYSLASRDSLDNAERNLKLRKPIETFEKELVMTSDEASMKNSRLPKGYCYVPVDCLPKEKQHAQDACSDGQLEHNG >KJB64385 pep chromosome:Graimondii2_0_v6:10:5061953:5069066:-1 gene:B456_010G047000 transcript:KJB64385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFCSKHSEIRNNSSSPQLGELCAAANDFSIANQFSPTSMEKSQNLKIGHKDEDKITVDIRDPDDNSDKSGDGELQEIGFFDTRLDARVLSEYGDLQQLVDMGLLERSNINDHDPSDPHNFALVLKKLIARGKVNVKDLALEIGLSDDSLSASLDGDSLTPDLQGKLIKWLKYHAYMGSSPKKLKVKIKPLMSSKDETGATDGYDDIMDFMSDIPNPVAVKSVPPRRRTKSNARILRDNEVICSSDEIINDNGLVMDKVMVDRLAKEEIYDLSEASILDAIGKNSAKPDDSLDSSDRHLPASEGNSPDLLNDGFYERSRSEMPATPEKITVATSEQESSIFPIVNLISEEFSNFYIHPYIRKKFLQMHDKFICNNRVGKFEDGMNTLNELDGKRVRDRSCLVAASTDSVYSSHGSEHTKCNEKSSTPDDLDFSIKARKLRSLKLSPKDEVEGEIIYYQDRLMRNIITRNCVTDNLVSRVAKSLPVEVEAAREQRWDAVLANQYLYDLREAKKQGRKERRHKEAQAVLAAATAAAAASSRNSLSRKDGEDSSQQENILKLNACVGRAGISLLQRSKDAWDAVPRISSEKYSDIVQSVSDFSKEHPRSCDICRRSETVLNPILVCSGCKVAVHLDCYRSVKEPLGPWCCELCEELFSSRSFEATSLNFWEISYPAVHCGLCGGTTGAFRKSVDGQWLHAFCAEWVLESTFRRGQVNPVEGMEKASRGVDICCICHRKHGACIKCSYNHCQTTFHPSCARTAGFCMNVMLAGGKFQRNAFCEKHSVEQRAKAETQKHGVEELKNVKQIRVKLERLRLLCDRIIKREKLKRELVVCSHEILARKRDHVTRSLLFHSPFHPDVSSESATTSLKGHTDGYRSCSESMRSDDITVDSTLSVKHQIKIPVSVENDQRTDDSSTSQSLFVPKPMDRVRFSGKQIPHRYSLASRDSLDNAERNLKLRKPIETFEKELVMTSDEASMKNSRLPKGYCYVPVDCLPKEKQHAQDACSDGQLEHNG >KJB64386 pep chromosome:Graimondii2_0_v6:10:5061953:5073041:-1 gene:B456_010G047000 transcript:KJB64386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRSADGGCGTEERPCRPISSVSGRSPARKPENALKRLSFDDVGVDFFSQAGKVLSERSHFDIPEGGSVSELSVPTLPSGLASLLKQADSRKKHKKSHSGADKKSSKQKEKKQGGSIWVETKEYFRDLALQDIDALFKITPSSSLAARKKCFIIPYVGDEPRVNLNLDADVREKASVSCGEHLNVRNENGGVGIEEEKMVVEEEAEQLMEIDIVETQAEFSDKEERGCSVSDSSSGLGWLLGSRSRRLTSERPSKKRKLLGDDDGLKKVLVACQCDGNSSLCHFCCTDDTRKESNRLVVCCSCKVAVHQKCYGVQNDVDSSWLCSWCKQKNDSNDAGKPCALCPKLGGALKPIQKSDENSGSVEFAHMFCSIWMPEVYVEDLTKMEPIINVGEVKGTRKKLVCNVCRVKYGACVPCSHGTCRNSFHPLCAREAGHRMEVWARYGCDNLFLVFLQIEMRAFCSKHSEIRNNSSSPQLGELCAAANDFSIANQFSPTSMEKSQNLKIGHKDEDKITVDIRDPDDNSDKSGDGELQEIGFFDTRLDARVLSEYGDLQQLVDMGLLERSNINDHDPSDPHNFALVLKKLIARGKVNVKDLALEIGLSDDSLSASLDGDSLTPDLQGKLIKWLKYHAYMGSSPKKLKVKIKPLMSSKDETGATDGYDDIMDFMSDIPNPVAVKSVPPRRRTKSNARILRDNEVICSSDEIINDNGLVMDKVMVDRLAKEEIYDLSEASILDAIGKNSAKPDDSLDSSDRHLPASEGNSPDLLNDGFYERSRSEMPATPEKITVATSEQESSIFPIVNLISEEFSNFYIHPYIRKKFLQMHDKFICNNRVGKFEDGMNTLNELDGKRVRDRSCLVAASTDSVYSSHGSEHTKCNEKSSTPDDLDFSIKARKLRSLKLSPKDEVEGEIIYYQDRLMRNIITRNCVTDNLVSRVAKSLPVEVEAAREQRWDAVLANQYLYDLREAKKQGRKERRHKEAQAVLAAATAAAAASSRNSLSRKDGEDSSQQENILKLNACVGRAGISLLQRSKDAWDAVPRISSEKYSDIVQSVSDFSKEHPRSCDICRRSETVLNPILVCSGCKVAVHLDCYRSVKEPLGPWCCELCEELFSSRSFEATSLNFWEISYPAVHCGLCGGTTGAFRKSVDGQWLHAFCAEWVLESTFRRGQVNPVEGMEKASRGVDICCICHRKHGACIKCSYNHCQTTFHPSCARTAGFCMNVMLAGGKFQRNAFCEKHSVEQRAKAETQKHGVEELKNVKQIRVKLERLRLLCDRIIKREKLKRELVVCSHEILARKRDHVTRSLLFHSPFHPDVSSESATTSLKGHTDGYRSCSESMRSDDITVDSTLSVKHQIKIPVSVENDQRTDDSSTSQSLFVPKPMDRVRFSGKQIPHRYSLASRDSLDNAERNLKLRKPIETFEKELVMTSDEASMKNSRLPKGYCYVPVDCLPKEKQHAQDACSDGQLEHNG >KJB64387 pep chromosome:Graimondii2_0_v6:10:5061164:5073412:-1 gene:B456_010G047000 transcript:KJB64387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRSADGGCGTEERPCRPISSVSGRSPARKPENALKRLSFDDVGVDFFSQAGKVLSERSHFDIPEGGSVSELSVPTLPSGLASLLKQADSRKKHKKSHSGADKKSSKQKEKKQGGSIWVETKEYFRDLALQDIDALFKITPSSSLAARKKCFIIPYVGDEPRVNLNLDADVREKASVSCGEHLNVRNENGGVGIEEEKMVVEEEAEQLMEIDIVETQAEFSDKEERGCSVSDSSSGLGWLLGSRSRRLTSERPSKKRKLLGDDDGLKKVLVACQCDGNSSLCHFCCTDDTRKESNRLVVCCSCKVAVHQKCYGVQNDVDSSWLCSWCKQKNDSNDAGKPCALCPKLGGALKPIQKSDENSGSVEFAHMFCSIWMPEVYVEDLTKMEPIINVGEVKGTRKKLVCNVCRVKYGACVPCSHGTCRNSFHPLCAREAGHRMEVWARYGCDNIEMRAFCSKHSEIRNNSSSPQLGELCAAANDFSIANQFSPTSMEKSQNLKIGHKDEDKITVDIRDPDDNSDKSGDGELQEIGFFDTRLDARVLSEYGDLQQLVDMGLLERSNINDHDPSDPHNFALVLKKLIARGKVNVKDLALEIGLSDDSLSASLDGDSLTPDLQGKLIKWLKYHAYMGSSPKKLKVKIKPLMSSKDETGATDGYDDIMDFMSDIPNPVAVKSVPPRRRTKSNARILRDNEVICSSDEIINDNGLVMDKVMVDRLAKEEIYDLSEASILDAIGKNSAKPDDSLDSSDRHLPASEGNSPDLLNDGFYERSRSEMPATPEKITVATSEQESSIFPIVNLISEEFSNFYIHPYIRKKFLQMHDKFICNNRVGKFEDGMNTLNELDGKRVRDRSCLVAASTDSVYSSHGSEHTKCNEKSSTPDDLDFSIKARKLRSLKLSPKDEVEGEIIYYQDRLMRNIITRNCVTDNLVSRVAKSLPVEVEAAREQRWDAVLANQYLYDLREAKKQGRKERRHKEAQAVLAAATAAAAASSRNSLSRKDGEDSSQQENILKLNACVGRAGISLLQRSKDAWDAVPRISSEKYSDIVQSVSDFSKEHPRSCDICRRSETVLNPILVCSGCKVAVHLDCYRSVKEPLGPWCCELCEELFSSRSFEATSLNFWEISYPAVHCGLCGGTTGAFRKSVDGQWLHAFCAEWVLESTFRRGQVNPVEGMEKASRGVDICCICHRKHGACIKCSYNHCQTTFHPSCARTAGFCMNVMLAGGKFQRNAFCEKHSVEQRAKAETQKHGVEELKNVKQIRVKLERLRLLCDRIIKREKLKRELVVCSHEILARKRDHVTRSLLFHSPFHPDVSSESATTSLKGHTDGYRSCSESMRSDDITVDSTLSVKHQIKIPVSVENDQRTDDSSTSQSLFVPKPMDRVRFSGKQIPHRYSLASRDSLDNAERNLKLRKPIETFEKELVMTSDEASMKNSRLPKGYCYVPVDCLPKEKQHAQDACSDGQLEHNG >KJB68077 pep chromosome:Graimondii2_0_v6:10:59643975:59649521:1 gene:B456_010G224000 transcript:KJB68077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAQVPAPLAGWMSNPSTVTHPAVSGGAIGLGASIPAALKHPRTPPTNPSVDYPSGDSDHVSKRTRPMGISDEVNLPVNVMPVPFQGHGHSQAFSAPDDLPRAVARMLNQGSSPMSMDFHPVQQTLLLVGTNVGDIALWEAGSRERLVSRNFKVWDLTACSMPLQAALVKDPAVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGDEVRQHLEIDAHVGGVNDIAFSHPNKLLCVITCGDDKTIKVWDASNGTKQYTFEGHEASVYSVCPHYKENIQFIFSTAIDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGESFIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNKYLAAGDDFSIKFWDMDNIQPLTSFDADGGLPASPRIRFNKDGSLLAVSTNDNGIKILANSDGMRLLRTLENLSYDSLRTAEAPKPTINPISAAAAAAAAAATSAGLADRSVAIAGMNGDARSLGDVKPRITEEPSDKSKIWKLSEINEPSQCRSLRLPENLRVTKISRLIFTNSGNAILALASNAIHLLWKWQRSDRNSNGKATASVAPQLWQPSSGILMTNDVADTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLSVLVSSGADSQLCVWNTDGWEKQKARFLQVPAGRTPTALSDTRVQFHQDQIHFLVVHETQLAIYETTKLDCMKQVSLSCLICYETTTLSLPCSNVSFLLFSGSHVILLLL >KJB68076 pep chromosome:Graimondii2_0_v6:10:59643450:59650703:1 gene:B456_010G224000 transcript:KJB68076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAQVPAPLAGWMSNPSTVTHPAVSGGAIGLGASIPAALKHPRTPPTNPSVDYPSGDSDHVSKRTRPMGISDEVNLPVNVMPVPFQGHGHSQAFSAPDDLPRAVARMLNQGSSPMSMDFHPVQQTLLLVGTNVGDIALWEAGSRERLVSRNFKVWDLTACSMPLQAALVKDPAVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGDEVRQHLEIDAHVGGVNDIAFSHPNKLLCVITCGDDKTIKVWDASNGTKQYTFEGHEASVYSVCPHYKENIQFIFSTAIDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGESFIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNKYLAAGDDFSIKFWDMDNIQPLTSFDADGGLPASPRIRFNKDGSLLAVSTNDNGIKILANSDGMRLLRTLENLSYDSLRTAEAPKPTINPISAAAAAAAAAATSAGLADRSVAIAGMNGDARSLGDVKPRITEEPSDKSKIWKLSEINEPSQCRSLRLPENLRVTKISRLIFTNSGNAILALASNAIHLLWKWQRSDRNSNGKATASVAPQLWQPSSGILMTNDVADTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLSVLVSSGADSQLCVWNTDGWEKQKARFLQVPAGRTPTALSDTRVQFHQDQIHFLVVHETQLAIYETTKLDCMKQWVPRDSSAPITHATFSCDSQLVYASFLDASVCVFTAANLRLRCRINPSAYLPTNISSNVHPLVIAAHPSEPNEFALGLSDGGVHVFEPLESENKWGVPPPVENGSASSMTATPSVGAPGSEQAQR >KJB68075 pep chromosome:Graimondii2_0_v6:10:59643502:59650703:1 gene:B456_010G224000 transcript:KJB68075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAQVPAPLAGWMSNPSTVTHPAVSGGAIGLGASIPAALKHPRTPPTNPSVDYPSGDSDHVSKRTRPMGISDEVNLPVNVMPVPFQGHGHSQAFSAPDDLPRAVARMLNQGSSPMSMDFHPVQQTLLLVGTNVGDIALWEAGSRERLVSRNFKVWDLTACSMPLQAALVKDPAVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGDEVRQHLEIDAHVGGVNDIAFSHPNKLLCVITCGDDKTIKVWDASNGTKQYTFEGHEASVYSVCPHYKENIQFIFSTAIDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGESFIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNKYLAAGDDFSIKFWDMDNIQPLTSFDADGGLPASPRIRFNKDGSLLAVSTNDNGIKILANSDGMRLLRTLENLSYDSLRTAEAPKPTINPISAAAAAAAAAATSAGLADRSVAIAGMNGDARSLGDVKPRITEEPSDKSKIWKLSEINEPSQCRSLRLPENLRVTKISRLIFTNSGNAILALASNAIHLLWKWQRSDRNSNGKATASVAPQLWQPSSGILMTNDVADTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLSVLVSSGADSQLCVWNTDGWEKQKARFLQVPAGRTPTALSDTRVQFHQDQIHFLVVHETQLAIYETTKLDCMKQWVPRDSSAPITHATFSCDSQLVYASFLDASVCVFTAANLRLRCRINPSAYLPTNISSNVHPLVIAAHPSEPNEFALGLSDGGVHVFEPLESENKWGVPPPVENGSASSMTATPSVGAPGSEQAQR >KJB68461 pep chromosome:Graimondii2_0_v6:10:61540110:61541067:1 gene:B456_010G246800 transcript:KJB68461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNCFWEKMGSHCKRHYDITMSKRTRKPLNLQEANRQSQNHSSERDIIPLKSIGHILDQSSPRKGEHEGGDRKSLKQLIKGDENANSKEMMGRSAKSSTSLTLGHHFTEEEKQLQLVTKHQHDNGLKLKEIMSRYAKVLRHLVKVKREPPAGSRKKPLLRLKM >KJB67316 pep chromosome:Graimondii2_0_v6:10:53645821:53649363:-1 gene:B456_010G185400 transcript:KJB67316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVQSHKLSNGLLVSGRPEQLKERQPTMPSRAVPYTGGDIKKSGELGKMFDIPVVDRSSSSGPPSHLNSNPNSKQHSQVLQPSRASSSSQPNSGSVRSGSNSGPIRKSSGSMPLQPTGLITSGPLSSGPRRSGQLGQVEKMSAVSGKAGYGSAVTSLGEGVRFGFRVSKAVVWVVMIVVAMGLLVGAFLMVAVKKAVVLGVVGAVVVPMGLGLLWNCIWRRKGLLGYMRRYPDAELRGAVDGQYVKVTGVVTCGSIPLESSYQRVPRCVYVSTELYEYRGWGGKSANPKHRYFSWGCRHSEIFNLD >KJB67315 pep chromosome:Graimondii2_0_v6:10:53646453:53649072:-1 gene:B456_010G185400 transcript:KJB67315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVQSHKLSNGLLVSGRPEQLKERQPTMPSRAVPYTGGDIKKSGELGKMFDIPVVDRSSSSGPPSHLNSNPNSKQHSQVLQPSRASSSSQPNSGSVRSGSNSGPIRKSSGSMPLQPTGLITSGPLSSGPRRSGQLGQVEKMSAVSGKAGYGSAVTSLGEGVRFGFRVSKAVVWVVMIVVAMGLLVGAFLMVAVKKAVVLGVVGAVVVPMGLGLLWNCIWRRKGLLGYMRRYPDAELRGAVDGQYVKVTGVVTCGSIPLESSYQRVPRCVYVSTELYEYRGWGGKSANPKHRYFSWGCRHSEKYVADFYISDFQSGLRALVKAGYGAKVAPFVKPATAVDITKENRDLSPSFLSWLAERNLSSDDRIMRLKEGYIKEGSTVSVMGVVRRHDNLLMIAPPSEPISIGCQGSRCLLPTYVEGLILTCDENQNAEVVPV >KJB65923 pep chromosome:Graimondii2_0_v6:10:24069181:24069563:-1 gene:B456_010G119800 transcript:KJB65923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNQLIGLMVYLSIENDTKDLDLFINPPGGWVIPGVAIYDTMQFVQPDVHTICMGLAASMGSFLLAGGEITKHLAFPHARRQLSFFI >KJB65067 pep chromosome:Graimondii2_0_v6:10:11544341:11553505:-1 gene:B456_010G079500 transcript:KJB65067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESFFIDAATNAVGTLMVDYLVKPIERRIRYLFGFHKIVQELHEQQNNLNREQTRIQEDIKEAKLNIQTQVIEKYVEDWLTDATNALNNVQNLEARIEENKRCFRWCPNWSWRYQLSKSMDEEILAIIKLVNNSKFERIGHRAELPGLEFFTSKGLVASKSSTTAFNKIMKALKDAEINKIGVWGMGGVGKTTLVKEVGNKVKGFGQPIMVVVSKIPDIGEIQNKIADGIHLKFEKTTKDERAKELWCRLKEGKFIIILDDLWNEWNDDEDFRKIGIPLVENGKGCKIILTTRRWTVCKSMECQVTIQIDVLDDDEAWALFKMNANLDENVSRNIIEEAEKIVKECNGLPVAIVTLGRSLKGTKTQKRWELARKKLESSRLMEIRNIEEEEKNAYMCIKMSYEYLKKEVTKRCFLLCALYPEDHSIDVEDLVRYAWALELCGKVDSVEEVRIQVLEAIDYLKDSCLLLKDTQWYIKLHDLVRDVALWIASEEESGFMIKSRLELLNESFEPCRAISLLNIEEKKLPGRLIPSNLEILLLKNCDVQENLESFEVSDNIDKFLECLIDKSLRLSNLKLLYLHYLSNLSCIGELPTQHTLRRLASRDCKDLECLVDITTGNGPIFVFTNLKRLSIEDMFGFETLCKGHHPPQGFLQSLKVVNIKGCRKLEILFSPSVTQGLVFLEELTIEFCRELRTLFSVMANDGGIRSNSCFPPFCLPKLKTLYIRLCSKLEYVLPITLAQGLPALASISVFGCDELKHVFSMPKEQDGVEHHGIMLLPSLQYLRLSGLENLTSFVPENFFVKAPALKSLEAYECPKVMNFHIQQVNKQLTLKELSCNTNLIPDVHSRHPDGLTSLDIYHWWGGECLVDKSQAKMGILQNLKDLRVSYSGMSEVFRIDEGLYNREENQAPQLLLNLEQLQLKRLPYLRWIVQGPTHCVNLQSLKVLEITHCNKLTSIFSISVVQTLRSLEELKIHDCDKLKSVLMELETDTEPNKLCLPNLKTVEIVKCPSLEYVFPLALTQGFPCLQKVQLVKLRNVRGFVAGNNFVQAPALEILIIKELCSAFTNFVFQKEVNKCDPLKELTFCTKSIDGEDVEPCNMVNTRLRQRSTNFEYMTLGNFEQLFQLQGAYFISNLEKMQLSNMIWLRDIWKGPIHFETNLRELAVYDSNCLTYIFPATFIPHFPHLSILKIKACENLKQIIANDDISTSSSQGPQLEKKMVFPHVKQIILENLPRLENFGLAGYHMEFPCLDLLDVKQCFKIITSFTVDYLTLTVHAKSDEASQLDDFNPSPEVIFWEKRRPTLLPQYVEEAEKVSPLK >KJB65066 pep chromosome:Graimondii2_0_v6:10:11545683:11553731:-1 gene:B456_010G079500 transcript:KJB65066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESFFIDAATNAVGTLMVDYLVKPIERRIRYLFGFHKIVQELHEQQNNLNREQTRIQEDIKEAKLNIQTQVIEKYVEDWLTDATNALNNVQNLEARIEENKRCFRWCPNWSWRYQLSKSMDEEILAIIKLVNNSKFERIGHRAELPGLEFFTSKGLVASKSSTTAFNKIMKALKDAEINKIGVWGMGGVGKTTLVKEVGNKVKGFGQPIMVVVSKIPDIGEIQNKIADGIHLKFEKTTKDERAKELWCRLKEGKFIIILDDLWNEWNDDEDFRKIGIPLVENGKGCKIILTTRRWTVCKSMECQVTIQIDVLDDDEAWALFKMNANLDENVSRNIIEEAEKIVKECNGLPVAIVTLGRSLKGTKTQKRWELARKKLESSRLMEIRNIEEEEKNAYMCIKMSYEYLKKEVTKRCFLLCALYPEDHSIDVEDLVRYAWALELCGKVDSVEEVRIQVLEAIDYLKDSCLLLKDTQWYIKLHDLVRDVALWIASEEESGFMIKSRLELLNESFEPCRAISLLNIEEKKLPGRLIPSNLEILLLKNCDVQENLESFEVSDNIDKFLECLIDKSLRLSNLKLLYLHYLSNLSCIGELPTQHTLRRLASRDCKDLECLVDITTGNGPIFVFTNLKRLSIEDMFGFETLCKGHHPPQGFLQSLKVVNIKGCRKLEILFSPSVTQGLVFLEELTIEFCRELRTLFSVMANDGGIRSNSCFPPFCLPKLKTLYIRLCSKLEYVLPITLAQGLPALASISVFGCDELKHVFSMPKEQDGVEHHGIMLLPSLQYLRLSGLENLTSFVPENFFVKAPALKSLEAYECPKVMNFHIQQVNKQLTLKELSCNTNLIPDVHSRHPDGLTSLDIYHWWGGECLVDKSQAKMGILQNLKDLRVSYSGMSEVFRIDEGLYNREENQAPQLLLNLEQLQLKRLPYLRWIVQGPTHCVNLQSLKVLEITHCNKLTSIFSISVVQTLRSLEELKIHDCDKLKSVLMELETDTEPNKLCLPNLKTVEIVKCPSLEYVFPLALTQGFPCLQKVQLVKLRNVRGFVAGNNFVQAPALEILIIKELCSAFTNFVFQKEVNKCDPLKELTFCTKSIDGEDVEPCNMVNTRLRQRSTNFEYMTLGNFEQLFQLQGAYFISNLEKMQLSNMIWLRDIWKGPIHFETNLRELAVYDSNCLTYIFPATFIPHFPHLSILKIKACENLKQIIANDDISTSSSQGPQLEKKMVFPHVKQIILENLPRLENFGLAGYHMEFPCLDLLDVKQCFKIITSFTVDYLTLTVHAKSDEASQLDDFNPSPEVIFWEKRRPTLLPQYVEEAEKVSPLK >KJB65659 pep chromosome:Graimondii2_0_v6:10:19228988:19229907:-1 gene:B456_010G105500 transcript:KJB65659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSPPFITKKLSNLARLSVFMIRKGLSKGKLVLDDLQFLMKRGKIMGKALNEASLSCRSRDVHLSFVSPMEYEFSCRTSPSHQPYDPFNGRKRKAAARYRYYAGRPRGRDGVVLGAMGCRDSGDYVSPKPLKGRRVHISRELPLVLKDHEDDEDEYRVDEAAEEFIQSFYTQLRLQKWLAVMEATDYYG >KJB67152 pep chromosome:Graimondii2_0_v6:10:52211718:52212831:1 gene:B456_010G177900 transcript:KJB67152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRRVEVVARLKALEDAAAPLVTFLQNANAIQELRADKQYNLHMLNDRYEIGPDQIEALYQYAKFQFECGNYSCAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKRFSSPLNQVQSRIWLMHWSLFIFFNHDNGRTQIINLFNQDKYVTLTTKLKVSMFCY >KJB67153 pep chromosome:Graimondii2_0_v6:10:52211718:52213489:1 gene:B456_010G177900 transcript:KJB67153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRRVEVVARLKALEDAAAPLVTFLQNANAIQELRADKQYNLHMLNDRYEIGPDQIEALYQYAKFQFECGNYSCAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKRFSSPLNQVQSRIWLMHWSLFIFFNHDNGRTQIINLFNQDKEEVDELCRTLEEKEDSSCTASDQFSCITI >KJB67647 pep chromosome:Graimondii2_0_v6:10:56532378:56532922:-1 gene:B456_010G201900 transcript:KJB67647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGHTKQKSMASSSTVMKAENGQSSSSSSSSSKKRGRRATTILTLPQPRPHQPKERSPLTIATLRLVKEKAEKRGKRTSRAVSVRHFDNTDINYYWLLPGWVAEERYVPSGRKGLGRIYKYYYDPTGHMYYSKREVLFAWKKMNIICLDP >KJB67648 pep chromosome:Graimondii2_0_v6:10:56532028:56533001:-1 gene:B456_010G201900 transcript:KJB67648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGHTKQKSMASSSTVMKAENGQSSSSSSSSSKKRGRRATTILTLPQPRPHQPKERSPLTIATLRLVKEKAEKRGKRTSRAVSVRHFDNTDINYYWLLPGWVAEERYVPSGRKGLGRIYKYYYDPTGHMYYSKREVLFAWKKMNIICLDP >KJB67466 pep chromosome:Graimondii2_0_v6:10:54882039:54884167:1 gene:B456_010G191900 transcript:KJB67466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVYEESGCFDPHSLTDCQELMGLPGATPTNCHNSLEDTLTVSSYNHHHHEDASAALDMEPQQHYLLDNTTNSHSHLIPYLTQDLPYDQSHWERFNVVPSSSSPEAATAAAAAAGAYTPTPDLLSLFHLPRCSFLPNPSISFETTPGSPSVVYDPLFHLNLPPQPPVFRELLQSLPHGYTVPADDKDAAGVGGLYHDGDNGIIQFTRDISMAGRAKDRDKAGKTTKHFATERERRVHLNDKYQALRTMVPSPTKNDRASIVDDAIKYIKELLGTVRELKILVDKKRCGQERSKRLKTEDAASAADAGDVECKPLGDPDQCYNTSLRSSWLQRKSKDSEVDVRIVDDEVTIKLVQRKKINCLLFVSRLLDELQLDLQHVAGGNIGDYYSFLFNTKIYEGSSVYACAIANKLIDVVDRQYAAAPPTSSTSL >KJB63389 pep chromosome:Graimondii2_0_v6:10:61409999:61410654:1 gene:B456_010G245100 transcript:KJB63389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTRLLLKTLLQNKNGWIFNKYVPLAWLANGRKHESINKYSVAIIIQHNRVSIKVKSRTSRTTMYKHEI >KJB68225 pep chromosome:Graimondii2_0_v6:10:60426658:60429307:-1 gene:B456_010G233400 transcript:KJB68225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFCSIQIGLQNFILRGLDSIAGHANYVCKLQQTLPTLSAALQELRAQRNDLLRQVDLAEQRLLKPFEQVQLWLSKTETMTTEAEKLIADGPQEMNNLCLGGCASMNYLSSYKFGKKVVEMLQEISDHKSKGVFEKVAENQPAASVVVRPVEQPVALESTIQKVWSCIVDKDVGIIGLYGLGGVGKTTLLTKLNNKFSTTPNDFEVVIWALVSKESDVGKIQDRIGGNLGFSDDSWKNKSVDRKTTDIYGVLGNKKFVVLLDDLWERVDLNQVGIPKPSQENGSKLIFTTRSLEVCGEMEAQKKIKVECLETEKAWELFRSKVGDETLNSHPDILNLAKQVAERCGGPPLGLITIGRAMACKKTLGEWKYAIEMLKRCALPKLENEVFPLLKFSYDNLPNATMKCCLLYCCLHPEGYCIPKRRLVEYWFCEGLLNEFDRISEAQMQGDNIICSLISACLLENDGEIDGEDCVKMHDVIRDMILWITREFEATRNNFFVKAGAQLFQEPDVKAWENVKRMSVMENKIEVLNKTPKCPSLRTLFLSQNKLKVISDGFFQFIPHLTVLDLSRNGGLRALPKGISELISLECLDLSYTGISELPIELKSLTKLKMLDLSYTENLRKIPQHLISSFLKLQIFRMWWRLNRDYHEGNEKLIEELQGLKCLNILRIPIHNMFCLERLLSFNLFRCWTQALQLRGFRGLEVFNVLCLENLEFSYFESMEEIKMEKLYTWVSSKYQQWDVTWLSLAPNLRVLFIYFCPKMEEILSEEKLGEVACVDGIPYLKPFLKLETLYLSCLPKLKSIYWDALPFPCLKLIHIGGCRELKKLPLNSNSAKGNPLSIEGSKDWWARVEWENEATRDAVLPSFKLLY >KJB67003 pep chromosome:Graimondii2_0_v6:10:49249618:49250664:1 gene:B456_010G169800 transcript:KJB67003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNTTAQCREIKKAVGGALDLSKITGSRAYERYTGPQILKIFKTQQETYENTERISLVSSFMACLFSGAYACIDTTDGAGMNLMHIKQKAWSKAALEATAPGLEEKLGKLAPAHAVVGSIASYFVERYNFNKNCLVV >KJB67070 pep chromosome:Graimondii2_0_v6:10:50505999:50514714:1 gene:B456_010G173100 transcript:KJB67070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVKFYIRYLKF >KJB67069 pep chromosome:Graimondii2_0_v6:10:50505954:50515277:1 gene:B456_010G173100 transcript:KJB67069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPSEVEAVISRL >KJB67061 pep chromosome:Graimondii2_0_v6:10:50170196:50176765:1 gene:B456_010G172500 transcript:KJB67061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEIWRKGLVLVVLTVCILGCANAATDQGDASALGVMFSGLNSPQQLTGWTANNGDPCGQSWKGVTCSDRRVTEIKLSNLALSGSVGYSLQSLTSLKELDLSNNNLAGDIPYDIPQNLQRLNLAFNQFTGSVPYSINQMHSLQYLNLAHNQLQNQLSDMFGPLSSLSTLDLSFNSLTGDLPESFKNLTSMNSMYLQNNQLTGTIDVLANLPLDTLYVSNNHFTGWIPDQLKSINLQKDGNSWSLGPAPPPPPGTPPATRNNRNHKSGSNSSPSDGDSSGGPGGGSKSGIGGGVIAGIVISILIVGALVAFFLVKRRSRRTSSDIEKLDNQPFAPLPSNEVQEMKSIQSASSVDTKTFDTPASINLRPPPVDRHKSFDEEDFSKKPFVVKKAVAAPKNVASYSIADLQMATGSFSVENLLGEGSFGRFYRAQFDDGKVLAVKKINSSVLPSELSDDFIEVVSNISELHHTNVTKLVGYCSEHGQHLLVYEFHKNGSLHEFLHLSDEYSKPLIWNSRVKIALGTARALEYLHEGCSPSIVHKNIKSENILLDAELNPHLSDSGLATFIPNADQVLNHDDVTMSGYGAPEVTMSGQYSLKSDVYSFGVVMLELLTGRKPFDSTRPRLEQSSTQGNTSAA >KJB67062 pep chromosome:Graimondii2_0_v6:10:50170260:50176752:1 gene:B456_010G172500 transcript:KJB67062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEIWRKGLVLVVLTVCILGCANAATDQGDASALGVMFSGLNSPQQLTGWTANNGDPCGQSWKGVTCSDRRVTEIKLSNLALSGSVGYSLQSLTSLKELDLSNNNLAGDIPYDIPQNLQRLNLAFNQFTGSVPYSINQMHSLQYLNLAHNQLQNQLSDMFGPLSSLSTLDLSFNSLTGDLPESFKNLTSMNSMYLQNNQLTGTIDVLANLPLDTLYVSNNHFTGWIPDQLKSINLQKDGNSWSLGPAPPPPPGTPPATRNNRNHKSGSNSSPSDGDSSGGPGGGSKSGIGGGVIAGIVISILIVGALVAFFLVKRRSRRTSSDIEKLDNQPFAPLPSNEVQEMKSIQSASSVDTKTFDTPASINLRPPPVDRHKSFDEEDFSKKPFVVKKAVAAPKNVASYSIADLQMATGSFSVENLLGEGSFGRFYRAQFDDGKVLAVKKINSSVLPSELSDDFIEVVSNISELHHTNVTKLVGYCSEHGQHLLVYEFHKNGSLHEFLHLSDEYSKPLIWNSRVKIALGTARALEYLHEGCSPSIVHKNIKSENILLDAELNPHLSDSGLATFIPNADQVLNHDDVTMSGYGAPEVTMSGQYSLKSDVYSFGVVMLELLTGRKPFDRRVF >KJB66437 pep chromosome:Graimondii2_0_v6:10:33728325:33731643:1 gene:B456_010G140100 transcript:KJB66437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADSDSKAEPNTIKINQQDQAAGDAANAPKSGCCG >KJB66435 pep chromosome:Graimondii2_0_v6:10:33728351:33731640:1 gene:B456_010G140100 transcript:KJB66435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADSDSKAEPNTIKINQQDQAAGDAANAPKSGCCG >KJB66439 pep chromosome:Graimondii2_0_v6:10:33728351:33731640:1 gene:B456_010G140100 transcript:KJB66439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADSDSKAEPNTIKINQQDQAAGDAANAPKSGCCG >KJB66438 pep chromosome:Graimondii2_0_v6:10:33728386:33731640:1 gene:B456_010G140100 transcript:KJB66438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADSDSKAEPNTIKINQQDQAAGDAANAPKSGCCG >KJB66436 pep chromosome:Graimondii2_0_v6:10:33728386:33731640:1 gene:B456_010G140100 transcript:KJB66436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADSDSKAEPNTIKINQQDQAAGDAANAPKSGCCG >KJB63301 pep chromosome:Graimondii2_0_v6:10:35057474:35078122:-1 gene:B456_010G141600 transcript:KJB63301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVASRRELLERWSGIEEEEEETDDIDPSMRRRLHKRKEEWFADAFSVLISLPKENHIWCGSWDIMGPLLETFYNYFKDDRNDSPLRLLWKRISEEMRHCIQCVSQHHQAQEMYSTEYELCTIGPLLDVLRSLDEERVTQHLREINERLVRQEYDPVCDNAEVVNLMYEVLMFPTLLDDQALFIDFEKFIEAVDDMHELALAGQQFPGVYALLFFNRRVRTVGHRLAKCMGKMRRAMDLEPLQPLLKKFIGFLENEVLPSPLETSRPRAQLDRLPIWLGITSLLEFLEPPAFEEGILERYPIFLDIVLNHISGDSPEFSHAVSCLRELFKMLGCKLWLRATLSPSVMRNTLLGQCFHTRNEKMHKDIFDLFLPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSTNFSVLMRKTACKIALLIIHRGYKMNPPCPPFECAHMWGPSLVSSLKDSSLYSSLRQPAFDLIQTILVSDAAALITSMLNCCIATSIAKNSSIELDDEEGHNKLPFTQYVEDSDTGCWSEFSTQSQITSPEYREWMSIPMLWIDVLVDIDPSVFPISFSKAVLWARSRFPMIEPENSAEMALDIRGWLSSSAAEISSTFGWKIPTGSDDGGGKESKNSMRLSTMCLPLIKTFNRLTAHFLIRMGQGELRKQWSWEPRMGESLILSLVDPNDNVRQFGKCILEQVSNTRGLGCGLKFLCSDILSLSAVYLGLRHALRLVQLDSVLLKFQTLHHFFFVLCKLLKDEDLPNSEIAEDSSNASNIMMYSSQGGFLKQPLFDALPANMGRNYSSVDPKLRENFCYSLSEIVWPALCKCLVEGKAFVNYSLCQMTCVRVLEILPVLFGRLSPSLVSLFGDSKVALGNLVDFKWLHDLMDWGKSQLKVIVVYWKKAVISLLNVIKLLRSDSSLLMVGAVENLISSDAVDMDELIEQVSRLCVTLSKEVSCAIGHSTLRSKKLFSGASVEGRYPAADVQLPSTGMEVKVFDSLKSEKKMNESNLIVISDDEKEKHIASSKSGHQMLHGQVEFPSTDEQASETYHAKKVVHGTGTDTSADLLESPMKKDSLVSQTQKPEKSRVKPPHCPKPKSPDSERKEISSNSRSSVISSQSKVDQENKFDESVKLNSINQGCKKINFGTKDTILREVVAADDPLEAAFRTVTVQPSLLANSGPVAPKRQVIQLRSPFENRSSLHRPEAQVKRFKPPRLDDWYRPILEVDFFVTVRLAYAKDDESRTVNKLKEVPVSFHSPEQYVNIFRPLVLEEFKAQLYSSFLEMSSWEEMYCGSISVLSVERVDDFHLVRFVYDIDDSTASKSLSENDLVLLTKDLPKSTSHDVHMVGKVERRERDNKRKSSMLLIRFYLQNGSIRLNQARRQLLERSKWHASRIMSITPQIREFHALSSIKDIPLLPAILNPVSDPTISYKPTLDFSKLSQPLQQLLRSSFNDSQLQALNVAVGSQKIKKDFELSLIQGPPGTGKTRTIVAMVGVLLASFQRRTNESENSQSGYLRQCYNSSTNSNARVSEATAIARAWQDAALARQLNEDVERSKKSIESSTRGRVLICAQSNAAVDELVSRISSEGLYGRDGKRYKPYLVRVGNAKTVHPNSLPFYIDTLVDHRLAEEKMHTNDARNDLSMESSSTVLRSNLEKVVENIRFCETKRANIRDGNSSIKKTSEGSNKEMDVKEMAGPELEAKLQRLYEQKKQIYKDLSAAQAQEKKTNEETKALRHKLRKSILKEAEIIVTTLSGCGGDLYGVCAETISSFKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVLSNVASKYMYECSMFERLQRAGHPVVMLTEQS >KJB63300 pep chromosome:Graimondii2_0_v6:10:35057474:35078122:-1 gene:B456_010G141600 transcript:KJB63300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVASRRELLERWSGIEEEEEETDDIDPSMRRRLHKRKEEWFADAFSVLISLPKENHIWCGSWDIMGPLLETFYNYFKDDRNDSPLRLLWKRISEEMRHCIQCVSQHHQAQEMYSTEYELCTIGPLLDVLRSLDEERVTQHLREINERLVRQEYDPVCDNAEVVNLMYEVLMFPTLLDDQALFIDFEKFIEAVDDMHELALAGQQFPGVYALLFFNRRVRTVGHRLAKCMGKMRRAMDLEPLQPLLKKFIGFLENEVLPSPLETSRPRAQLDRLPIWLGITSLLEFLEPPAFEEGILERYPIFLDIVLNHISGDSPEFSHAVSCLRELFKMLGCKLWLRATLSPSVMRNTLLGQCFHTRNEKMHKDIFDLFLPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSTNFSVLMRKTACKIALLIIHRGYKMNPPCPPFECAHMWGPSLVSSLKDSSLYSSLRQPAFDLIQTILVSDAAALITSMLNCCIATSIAKNSSIELDDEEGHNKLPFTQYVEDSDTGCWSEFSTQSQITSPEYREWMSIPMLWIDVLVDIDPSVFPISFSKAVLWARSRFPMIEPENSAEMALDIRGWLSSSAAEISSTFGWKIPTGSDDGGGKESKNSMRLSTMCLPLIKTFNRLTAHFLIRMGQGELRKQWSWEPRMGESLILSLVDPNDNVRQFGKCILEQVSNTRGLGCGLKFLCSDILSLSAVYLGLRHALRLVQLDSVLLKFQTLHHFFFVLCKLLKDEDLPNSEIAEDSSNASNIMMYSSQGGFLKQPLFDALPANMGRNYSSVDPKLRENFCYSLSEIVWPALCKCLVEGKAFVNYSLCQMTCVRVLEILPVLFGRLSPSLVSLFGDSKVALGNLVDFKWLHDLMDWGKSQLKVIVVYWKKAVISLLNVIKLLRSDSSLLMVGAVENLISSDAVDMDELIEQVSRLCVTLSKEVSCAIGHSTLRSKKLFSGASVEGRYPAADVQLPSTGMEVKVFDSLKSEKKMNESNLIVISDDEKEKHIASSKSGHQMLHGQVEFPSTDEQASETYHAKKVVHGTGTDTSADLLESPMKKDSLVSQTQKPEKSRVKPPHCPKPKSPDSERKEISSNSRSSVISSQSKVDQENKFDESVKLNSINQGCKKINFGTKDTILREVVAADDPLEAAFRTVTVQPSLLANSGPVAPKRQVIQLRSPFENRSSLHRPEAQVKRFKPPRLDDWYRPILEVDFFVTVRLAYAKDDESRTVNKLKEVPVSFHSPEQYVNIFRPLVLEEFKAQLYSSFLEMSSWEEMYCGSISVLSVERVDDFHLVRFVYDIDDSTASKSLSENDLVLLTKDLPKSTSHDVHMVGKVERRERDNKRKSSMLLIRFYLQNGSIRLNQARRQLLERSKWHASRIMSITPQIREFHALSSIKDIPLLPAILNPVSDPTISYKPTLDFSKLSQPLQQLLRSSFNDSQLQALNVAVGSQKIKKDFELSLIQGPPGTGKTRTIVAMVGVLLASFQRRTNESENSQSGYLRQCYNSSTNSNARVSEATAIARAWQDAALARQLNEDVERSKKSIESSTRGRVLICAQSNAAVDELVSRISSEGLYGRDGKRYKPYLVRVGNAKTVHPNSLPFYIDTLVDHRLAEEKMHTNDARNDLSMESSSTVLRSNLEKVVENIRFCETKRANIRDGNSSIKKTSEGSNKEMDVKEMAGPELEAKLQRLYEQKKQIYKDLSAAQAQEKKTNEETKALRHKLRKSILKEAEIIVTTLSGCGGDLYGVCAETISSFKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVLSNVASKYMYECSMFERLQRAGHPVVMLTEQYRMHPEICRFPSLHFYDKKLLNGDTVLSKSASFHGTEGLGPYVFYDVVDGLELHGKNSGALSLYNECEADAAVELLKFFRKRYPSEFVVCKIGIITPYKCQLSLLRSRFSSVFGSSVINDIEFNTVDGFQGREVDILVFSTVRASSSSQGVSSSSSIGFVADVRRMNVALTRAKLSLWILGNTRTLQTDRNWSALVKDAKQRNLVLSIKRPYSISFRTNTGKTLVPEGSDNHLSQMKHVEKVRGDGQLAKQNECREKLKFEGKRKHIDSVADCNWSSAGGDIDSVKSKDKHRSKRKAKDDCEPPPGRTILSASANDDRRRPQKVKSRVPEKLLISSGSQEKEGSEVKVKMGENQRSNNDNGGQEVGRSGKNKMSKESKKSSVQEQSSNVSTPRPDGNNEERESNKGGRDPKEVATSQNLFLKRKQQREAVDAILFSGLIPSKKSEQSSKKLHQERSIVPPSVASGSFKPPKKRKGPPNS >KJB63307 pep chromosome:Graimondii2_0_v6:10:35066033:35078122:-1 gene:B456_010G141600 transcript:KJB63307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVASRRELLERWSGIEEEEEETDDIDPSMRRRLHKRKEEWFADAFSVLISLPKENHIWCGSWDIMGPLLETFYNYFKDDRNDSPLRLLWKRISEEMRHCIQCVSQHHQAQEMYSTEYELCTIGPLLDVLRSLDEERVTQHLREINERLVRQEYDPVCDNAEVVNLMYEVLMFPTLLDDQALFIDFEKFIEAVDDMHELALAGQQFPGVYALLFFNRRVRTVGHRLAKCMGKMRRAMDLEPLQPLLKKFIGFLENEVLPSPLETSRPRAQLDRLPIWLGITSLLEFLEPPAFEEGILERYPIFLDIVLNHISGDSPEFSHAVSCLRELFKMLGCKLWLRATLSPSVMRNTLLGQCFHTRNEKMHKDIFDLFLPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSTNFSVLMRKTACKIALLIIHRGYKMNPPCPPFECAHMWGPSLVSSLKDSSLYSSLRQPAFDLIQTILVSDAAALITSMLNCCIATSIAKNSSIELDDEEGHNKLPFTQYVEDSDTGCWSEFSTQSQITSPEYREWMSIPMLWIDVLVDIDPSVFPISFSKAVLWARSRFPMIEPENSAEMALDIRGWLSSSAAEISSTFGWKIPTGSDDGGGKESKNSMRLSTMCLPLIKTFNRLTAHFLIRMGQGELRKQWSWEPRMGESLILSLVDPNDNVRQFGKCILEQVSNTRGLGCGLKFLCSDILSLSAVYLGLRHALRLVQLDSVLLKFQTLHHFFFVLCKLLKDEDLPNSEIAEDSSNASNIMMYSSQGGFLKQPLFDALPANMGRNYSSVDPKLRENFCYSLSEIVWPALCKCLVEGKAFVNYSLCQMTCVRVLEILPVLFGRLSPSLVSLFGDSKVALGNLVDFKWLHDLMDWGKSQLKVIVVYWKKAVISLLNVIKLLRSDSSLLMVGAVENLISSDAVDMDELIEQVSRLCVTLSKEVSCAIGHSTLRSKKLFSGASVEGRYPAADVQLPSTGMEVKVFDSLKSEKKMNESNLIVISDDEKEKHIASSKSGHQMLHGQVEFPSTDEQASETYHAKKVVHGTGTDTSADLLESPMKKDSLVSQTQKPEKSRVKPPHCPKPKSPDSERKEISSNSRSSVISSQSKVDQENKFDESVKLNSINQGCKKINFGTKDTILREVVAADDPLEAAFRTVTVQPSLLANSGPVAPKRQVIQLRSPFENRSSLHRPEAQVKRFKPPRLDDWYRPILEVDFFVTVRLAYAKDDESRTVNKLKEVPVSFHSPEQYVNIFRPLVLEEFKAQLYSSFLEMSSWEEMYCGSISVLSVERVDDFHLVRFVYDIDDSTASKSLSENDLVLLTKDLPKSTSHDVHMVGKVERRERDNKRKSSMLLIRFYLQNGSIRLNQARRQLLERSKWHASRIMSITPQIREFHALSSIKDIPLLPAILNPVSDPTISYKPTLDFSKLSQPLQQLLRSSFNDSQLQALNVAVGSQKIKKDFELSLIQGPPGTGKTRTIVAMVGVLLASFQRRTNESENSQSGYLRQCYNSSTNSNARVSEATAIARAWQDAALARQLNEDVERSKKSIESSTRGRVLICAQSNAAVDELVSRISSEGLYGRDGKRYKPYLVRVGNAKTVHPNSLPFYIDTLVDHRLAEEKMHTNDARNDLSMESSSTVLRSNLEKVVENIRFCETKRANIRDGNSSIKKTSEGSNKEMDVKEMAGPELEAKLQRLYEQKKQIYKDLSAAQAQEKKTNEETKALRHKLRKSILKEAEIIVTTLSGCGGDLYGVCAETISSFKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVLSNVASKYMYECSMFERLQRAGHPVVMLTEQTFILLVFGQIQWWSLLIYG >KJB63299 pep chromosome:Graimondii2_0_v6:10:35057474:35077933:-1 gene:B456_010G141600 transcript:KJB63299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLHKRKEEWFADAFSVLISLPKENHIWCGSWDIMGPLLETFYNYFKDDRNDSPLRLLWKRISEEMRHCIQCVSQHHQAQEMYSTEYELCTIGPLLDVLRSLDEERVTQHLREINERLVRQEYDPVCDNAEVVNLMYEVLMFPTLLDDQALFIDFEKFIEAVDDMHELALAGQQFPGVYALLFFNRRVRTVGHRLAKCMGKMRRAMDLEPLQPLLKKFIGFLENEVLPSPLETSRPRAQLDRLPIWLGITSLLEFLEPPAFEEGILERYPIFLDIVLNHISGDSPEFSHAVSCLRELFKMLGCKLWLRATLSPSVMRNTLLGQCFHTRNEKMHKDIFDLFLPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSTNFSVLMRKTACKIALLIIHRGYKMNPPCPPFECAHMWGPSLVSSLKDSSLYSSLRQPAFDLIQTILVSDAAALITSMLNCCIATSIAKNSSIELDDEEGHNKLPFTQYVEDSDTGCWSEFSTQSQITSPEYREWMSIPMLWIDVLVDIDPSVFPISFSKAVLWARSRFPMIEPENSAEMALDIRGWLSSSAAEISSTFGWKIPTGSDDGGGKESKNSMRLSTMCLPLIKTFNRLTAHFLIRMGQGELRKQWSWEPRMGESLILSLVDPNDNVRQFGKCILEQVSNTRGLGCGLKFLCSDILSLSAVYLGLRHALRLGGFLKQPLFDALPANMGRNYSSVDPKLRENFCYSLSEIVWPALCKCLVEGKAFVNYSLCQMTCVRVLEILPVLFGRLSPSLVSLFGDSKVALGNLVDFKWLHDLMDWGKSQLKVIVVYWKKAVISLLNVIKLLRSDSSLLMVGAVENLISSDAVDMDELIEQVSRLCVTLSKEVSCAIGHSTLRSKKLFSGASVEGRYPAADVQLPSTGMEVKVFDSLKSEKKMNESNLIVISDDEKEKHIASSKSGHQMLHGQVEFPSTDEQASETYHAKKVVHGTGTDTSADLLESPMKKDSLVSQTQKPEKSRVKPPHCPKPKSPDSERKEISSNSRSSVISSQSKVDQENKFDESVKLNSINQGCKKINFGTKDTILREVVAADDPLEAAFRTVTVQPSLLANSGPVAPKRQVIQLRSPFENRSSLHRPEAQVKRFKPPRLDDWYRPILEVDFFVTVRLAYAKDDESRTVNKLKEVPVSFHSPEQYVNIFRPLVLEEFKAQLYSSFLEMSSWEEMYCGSISVLSVERVDDFHLVRFVYDIDDSTASKSLSENDLVLLTKDLPKSTSHDVHMVGKVERRERDNKRKSSMLLIRFYLQNGSIRLNQARRQLLERSKWHASRIMSITPQIREFHALSSIKDIPLLPAILNPVSDPTISYKPTLDFSKLSQPLQQLLRSSFNDSQLQALNVAVGSQKIKKDFELSLIQGPPGTGKTRTIVAMVGVLLASFQRRTNESENSQSGYLRQCYNSSTNSNARVSEATAIARAWQDAALARQLNEDVERSKKSIESSTRGRVLICAQSNAAVDELVSRISSEGLYGRDGKRYKPYLVRVGNAKTVHPNSLPFYIDTLVDHRLAEEKMHTNDARNDLSMESSSTVLRSNLEKVVENIRFCETKRANIRDGNSSIKKTSEGSNKEMDVKEMAGPELEAKLQRLYEQKKQIYKDLSAAQAQEKKTNEETKALRHKLRKSILKEAEIIVTTLSGCGGDLYGVCAETISSFKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVLSNVASKYMYECSMFERLQRAGHPVVMLTEQS >KJB63302 pep chromosome:Graimondii2_0_v6:10:35058315:35078000:-1 gene:B456_010G141600 transcript:KJB63302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVASRRELLERWSGIEEEEEETDDIDPSMRRRLHKRKEEWFADAFSVLISLPKENHIWCGSWDIMGPLLETFYNYFKDDRNDSPLRLLWKRISEEMRHCIQCVSQHHQAQEMYSTEYELCTIGPLLDVLRSLDEERVTQHLREINERLVRQEYDPVCDNAEVVNLMYEVLMFPTLLDDQALFIDFEKFIEAVDDMHELALAGQQFPGVYALLFFNRRVRTVGHRLAKCMGKMRRAMDLEPLQPLLKKFIGFLENEVLPSPLETSRPRAQLDRLPIWLGITSLLEFLEPPAFEEGILERYPIFLDIVLNHISGDSPEFSHAVSCLRELFKMLGCKLWLRATLSPSVMRNTLLGQCFHTRNEKMHKDIFDLFLPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSTNFSVLMRKTACKIALLIIHRGYKMNPPCPPFECAHMWGPSLVSSLKDSSLYSSLRQPAFDLIQTILVSDAAALITSMLNCCIATSIAKNSSIELDDEEGHNKLPFTQYVEDSDTGCWSEFSTQSQITSPEYREWMSIPMLWIDVLVDIDPSVFPISFSKAVLWARSRFPMIEPENSAEMALDIRGWLSSSAAEISSTFGWKIPTGSDDGGGKESKNSMRLSTMCLPLIKTFNRLTAHFLIRMGQGELRKQWSWEPRMGESLILSLVDPNDNVRQFGKCILEQVSNTRGLGCGLKFLCSDILSLSAVYLGLRHALRLVQLDSVLLKFQTLHHFFFVLCKLLKDEDLPNSEIAEDSSNASNIMMYSSQGGFLKQPLFDALPANMGRNYSSVDPKLRENFCYSLSEIVWPALCKCLVEGKAFVNYSLCQMTCVRVLEILPVLFGRLSPSLVSLFGDSKVALGNLVDFKWLHDLMDWGKSQLKVIVVYWKKAVISLLNVIKLLRSDSSLLMVGAVENLISSDAVDMDELIEQVSRLCVTLSKEVSCAIGHSTLRSKKLFSGASVEGRYPAADVQLPSTGMEVKVFDSLKSEKKMNESNLIVISDDEKEKHIASSKSGHQMLHGQVEFPSTDEQASETYHAKKVVHGTGTDTSADLLESPMKKDSLVSQTQKPEKSRVKPPHCPKPKSPDSERKEISSNSRSSVISSQSKVDQENKFDESVKLNSINQGCKKINFGTKDTILREVVAADDPLEAAFRTVTVQPSLLANSGPVAPKRQVIQLRSPFENRSSLHRPEAQVKRFKPPRLDDWYRPILEVDFFVTVRLAYAKDDESRTVNKLKEVPVSFHSPEQYVNIFRPLVLEEFKAQLYSSFLEMSSWEEMYCGSISVLSVERVDDFHLVRFVYDIDDSTASKSLSENDLVLLTKDLPKSTSHDVHMVGKVERRERDNKRKSSMLLIRFYLQNGSIRLNQARRQLLERSKWHASRIMSITPQIREFHALSSIKDIPLLPAILNPVSDPTISYKPTLDFSKLSQPLQQLLRSSFNDSQLQALNVAVGSQKIKKDFELSLIQGPPGTGKTRTIVAMVGVLLASFQRRTNESENSQSGYLRQCYNSSTNSNARVSEATAIARAWQDAALARQLNEDVERSKKSIESSTRGRVLICAQSNAAVDELVSRISSEGLYGRDGKRYKPYLVRVGNAKTVHPNSLPFYIDTLVDHRLAEEKMHTNDARNDLSMESSSTVLRSNLEKVVENIRFCETKRANIRDGNSSIKKTSEGSNKEMDVKEMAGPELEAKLQRLYEQKKQIYKDLSAAQAQEKKTNEETKALRHKLRKSILKEAEIIVTTLSGCGGDLYGVCAETISSFKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVLSNVASKYMYECSMFERLQRAGHPVVMLTEQYRMHPEICRFPSLHFYDKKLLNGDTVLSKSASFHGTEGLGPYVFYDVVDGLELHGKNSGALSLYNECEADAAVELLKFFRKRYPSEFVVCKIGIITPYKCQLSLLRSRFSSVFGSSVINDIEFNTVDGFQGREVDILVFSTVRASSSSQGVSSSSSIGFVADVRRMNVALTRAKLSLWILGNTRTLQTDRNWSALVKDAKQRNLVLSIKRPYSISFRTNTGKTLVPEGSDNHLSQMKHVEKVRGDGQLAKQNECREKLKFEGKRKHIDSVADCNWSSAGGDIDSVKSKDKHRSKRKAKDDCEPPPGRTILSASANDDRRRPQKVKSRVPEKLLISSGSQEKEGSEVKVKMGENQRSNNDNGGQEVGRSGKNKMSKESKKSSVQEQSSNVSTPRPDGNNEERESNKGGRDPKEVATSQNLFLKRKQQREAVDAILFSGLIPSKKSEQSSKKLHQERSIVPPSVASGSFKPPKKRKG >KJB63306 pep chromosome:Graimondii2_0_v6:10:35061967:35078122:-1 gene:B456_010G141600 transcript:KJB63306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVASRRELLERWSGIEEEEEETDDIDPSMRRRLHKRKEEWFADAFSVLISLPKENHIWCGSWDIMGPLLETFYNYFKDDRNDSPLRLLWKRISEEMRHCIQCVSQHHQAQEMYSTEYELCTIGPLLDVLRSLDEERVTQHLREINERLVRQEYDPVCDNAEVVNLMYEVLMFPTLLDDQALFIDFEKFIEAVDDMHELALAGQQFPGVYALLFFNRRVRTVGHRLAKCMGKMRRAMDLEPLQPLLKKFIGFLENEVLPSPLETSRPRAQLDRLPIWLGITSLLEFLEPPAFEEGILERYPIFLDIVLNHISGDSPEFSHAVSCLRELFKMLGCKLWLRATLSPSVMRNTLLGQCFHTRNEKMHKDIFDLFLPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSTNFSVLMRKTACKIALLIIHRGYKMNPPCPPFECAHMWGPSLVSSLKDSSLYSSLRQPAFDLIQTILVSDAAALITSMLNCCIATSIAKNSSIELDDEEGHNKLPFTQYVEDSDTGCWSEFSTQSQITSPEYREWMSIPMLWIDVLVDIDPSVFPISFSKAVLWARSRFPMIEPENSAEMALDIRGWLSSSAAEISSTFGWKIPTGSDDGGGKESKNSMRLSTMCLPLIKTFNRLTAHFLIRMGQGELRKQWSWEPRMGESLILSLVDPNDNVRQFGKCILEQVSNTRGLGCGLKFLCSDILSLSAVYLGLRHALRLVQLDSVLLKFQTLHHFFFVLCKLLKDEDLPNSEIAEDSSNASNIMMYSSQGGFLKQPLFDALPANMGRNYSSVDPKLRENFCYSLSEIVWPALCKCLVEGKAFVNYSLCQMTCVRVLEILPVLFGRLSPSLVSLFGDSKVALGNLVDFKWLHDLMDWGKSQLKVIVVYWKKAVISLLNVIKLLRSDSSLLMVGAVENLISSDAVDMDELIEQVSRLCVTLSKEVSCAIGHSTLRSKKLFSGASVEGRYPAADVQLPSTGMEVKVFDSLKSEKKMNESNLIVISDDEKEKHIASSKSGHQMLHGQVEFPSTDEQASETYHAKKVVHGTGTDTSADLLESPMKKDSLVSQTQKPEKSRVKPPHCPKPKSPDSERKEISSNSRSSVISSQSKVDQENKFDESVKLNSINQGCKKINFGTKDTILREVVAADDPLEAAFRTVTVQPSLLANSGPVAPKRQVIQLRSPFENRSSLHRPEAQVKRFKPPRLDDWYRPILEVDFFVTVRLAYAKDDESRTVNKLKEVPVSFHSPEQYVNIFRPLVLEEFKAQLYSSFLEMSSWEEMYCGSISVLSVERVDDFHLVRFVYDIDDSTASKSLSENDLVLLTKDLPKSTSHDVHMVGKVERRERDNKRKSSMLLIRFYLQNGSIRLNQARRQLLERSKWHASRIMSITPQIREFHALSSIKDIPLLPAILNPVSDPTISYKPTLDFSKLSQPLQQLLRSSFNDSQLQALNVAVGSQKIKKDFELSLIQGPPGTGKTRTIVAMVGVLLASFQRRTNESENSQSGYLRQCYNSSTNSNARVSEATAIARAWQDAALARQLNEDVERSKKSIESSTRGRVLICAQSNAAVDELVSRISSEGLYGRDGKRYKPYLVRVGNAKTVHPNSLPFYIDTLVDHRLAEEKMHTNDARNDLSMESSSTVLRSNLEKVVENIRFCETKRANIRDGNSSIKKTSEGSNKEMDVKEMAGPELEAKLQRLYEQKKQIYKDLSAAQAQEKKTNEETKALRHKLRKSILKEAEIIVTTLSGCGGDLYGVCAETISSFKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVLSNVASKYMYECSMFERLQRAGHPVVMLTEQVPEKITAFKVAVTTAACCFAMSRVVCPPHS >KJB63297 pep chromosome:Graimondii2_0_v6:10:35057777:35078115:-1 gene:B456_010G141600 transcript:KJB63297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLHKRKEEWFADAFSVLISLPKENHIWCGSWDIMGPLLETFYNYFKDDRNDSPLRLLWKRISEEMRHCIQCVSQHHQAQEMYSTEYELCTIGPLLDVLRSLDEERVTQHLREINERLVRQEYDPVCDNAEVVNLMYEVLMFPTLLDDQALFIDFEKFIEAVDDMHELALAGQQFPGVYALLFFNRRVRTVGHRLAKCMGKMRRAMDLEPLQPLLKKFIGFLENEVLPSPLETSRPRAQLDRLPIWLGITSLLEFLEPPAFEEGILERYPIFLDIVLNHISGDSPEFSHAVSCLRELFKMLGCKLWLRATLSPSVMRNTLLGQCFHTRNEKMHKDIFDLFLPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSTNFSVLMRKTACKIALLIIHRGYKMNPPCPPFECAHMWGPSLVSSLKDSSLYSSLRQPAFDLIQTILVSDAAALITSMLNCCIATSIAKNSSIELDDEEGHNKLPFTQYVEDSDTGCWSEFSTQSQITSPEYREWMSIPMLWIDVLVDIDPSVFPISFSKAVLWARSRFPMIEPENSAEMALDIRGWLSSSAAEISSTFGWKIPTGSDDGGGKESKNSMRLSTMCLPLIKTFNRLTAHFLIRMGQGELRKQWSWEPRMGESLILSLVDPNDNVRQFGKCILEQVSNTRGLGCGLKFLCSDILSLSAVYLGLRHALRLGGFLKQPLFDALPANMGRNYSSVDPKLRENFCYSLSEIVWPALCKCLVEGKAFVNYSLCQMTCVRVLEILPVLFGRLSPSLVSLFGDSKVALGNLVDFKWLHDLMDWGKSQLKVIVVYWKKAVISLLNVIKLLRSDSSLLMVGAVENLISSDAVDMDELIEQVSRLCVTLSKEVSCAIGHSTLRSKKLFSGASVEGRYPAADVQLPSTGMEVKVFDSLKSEKKMNESNLIVISDDEKEKHIASSKSGHQMLHGQVEFPSTDEQASETYHAKKVVHGTGTDTSADLLESPMKKDSLVSQTQKPEKSRVKPPHCPKPKSPDSERKEISSNSRSSVISSQSKVDQENKFDESVKLNSINQGCKKINFGTKDTILREVVAADDPLEAAFRTVTVQPSLLANSGPVAPKRQVIQLRSPFENRSSLHRPEAQVKRFKPPRLDDWYRPILEVDFFVTVRLAYAKDDESRTVNKLKEVPVSFHSPEQYVNIFRPLVLEEFKAQLYSSFLEMSSWEEMYCGSISVLSVERVDDFHLVRFVYDIDDSTASKSLSENDLVLLTKDLPKSTSHDVHMVGKVERRERDNKRKSSMLLIRFYLQNGSIRLNQARRQLLERSKWHASRIMSITPQIREFHALSSIKDIPLLPAILNPVSDPTISYKPTLDFSKLSQPLQQLLRSSFNDSQLQALNVAVGSQKIKKDFELSLIQGPPGTGKTRTIVAMVGVLLASFQRRTNESENSQSGYLRQCYNSSTNSNARVSEATAIARAWQDAALARQLNEDVERSKKSIESSTRGRVLICAQSNAAVDELVSRISSEGLYGRDGKRYKPYLVRVGNAKTVHPNSLPFYIDTLVDHRLAEEKMHTNDARNDLSMESSSTVLRSNLEKVVENIRFCETKRANIRDGNSSIKKTSEGSNKEMDVKEMAGPELEAKLQRLYEQKKQIYKDLSAAQAQEKKTNEETKALRHKLRKSILKEAEIIVTTLSGCGGDLYGVCAETISSFKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVLSNVASKYMYECSMFERLQRAGHPVVMLTEQYRMHPEICRFPSLHFYDKKLLNGDTVLSKSASFHGTEGLGPYVFYDVVDGLELHGKNSGALSLYNECEADAAVELLKFFRKRYPSEFVVCKIGIITPYKCQLSLLRSRFSSVFGSSVINDIEFNTVDGFQGREVDILVFSTVRASSSSQGVSSSSSIGFVADVRRMNVALTRAKLSLWILGNTRTLQTDRNWSALVKDAKQRNLVLSIKRPYSISFRTNTGKTLVPEGSDNHLSQMKHVEKVRGDGQLAKQNECREKLKFEGKRKHIDSVADCNWSSAGGDIDSVKSKDKHRSKRKAKDDCEPPPGRTILSASANDDRRRPQKVKSRVPEKLLISSGSQEKEGSEVKVKMGENQRSNNDNGGQEVGRSGKNKMSKESKKSSVQEQSSNVSTPRPDGNNEERESNKGGRDPKEVATSQNLFLKRKQQREAVDAILFSGLIPSKKSEQSSKKLHQERSIVPPSVASGSFKPPKKRKGPPNS >KJB63304 pep chromosome:Graimondii2_0_v6:10:35058315:35078000:-1 gene:B456_010G141600 transcript:KJB63304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVASRRELLERWSGIEEEEEETDDIDPSMRRRLHKRKEEWFADAFSVLISLPKENHIWCGSWDIMGPLLETFYNYFKDDRNDSPLRLLWKRISEEMRHCIQCVSQHHQAQEMYSTEYELCTIGPLLDVLRSLDEERVTQHLREINERLVRQEYDPVCDNAEVVNLMYEVLMFPTLLDDQALFIDFEKFIEAVDDMHELALAGQQFPGVYALLFFNRRVRTVGHRLAKCMGKMRRAMDLEPLQPLLKKFIGFLENEVLPSPLETSRPRAQLDRLPIWLGITSLLEFLEPPAFEEGILERYPIFLDIVLNHISGDSPEFSHAVSCLRELFKMLGCKLWLRATLSPSVMRNTLLGQCFHTRNEKMHKDIFDLFLPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSTNFSVLMRKTACKIALLIIHRGYKMNPPCPPFECAHMWGPSLVSSLKDSSLYSSLRQPAFDLIQTILVSDAAALITSMLNCCIATSIAKNSSIELDDEEGHNKLPFTQYVEDSDTGCWSEFSTQSQITSPEYREWMSIPMLWIDVLVDIDPSVFPISFSKAVLWARSRFPMIEPENSAEMALDIRGWLSSSAAEISSTFGWKIPTGSDDGGGKESKNSMRLSTMCLPLIKTFNRLTAHFLIRMGQGELRKQWSWEPRMGESLILSLVDPNDNVRQFGKCILEQVSNTRGLGCGLKFLCSDILSLSAVYLGLRHALRLVQLDSVLLKFQTLHHFFFVLCKLLKDEDLPNSEIAEDSSNASNIMMYSSQGGFLKQPLFDALPANMGRNYSSVDPKLRENFCYSLSEIVWPALCKCLVEGKAFVNYSLCQMTCVRVLEILPVLFGRLSPSLVSLFGDSKVALGNLVDFKWLHDLMDWGKSQLKVIVVYWKKAVISLLNVIKLLRSDSSLLMVGAVENLISSDAVDMDELIEQVSRLCVTLSKEVSCAIGHSTLRSKKLFSGASVEGRYPAADVQLPSTGMEVKVFDSLKSEKKMNESNLIVISDDEKEKHIASSKSGHQMLHGQVEFPSTDEQASETYHAKKVVHGTGTDTSADLLESPMKKDSLVSQTQKPEKSRVKPPHCPKPKSPDSERKEISSNSRSSVISSQSKVDQENKFDESVKLNSINQGCKKINFGTKDTILREVVAADDPLEAAFRTVTVQPSLLANSGPVAPKRQVIQLRSPFENRSSLHRPEAQVKRFKPPRLDDWYRPILEVDFFVTVRLAYAKDDESRTVNKLKEVPVSFHSPEQYVNIFRPLVLEEFKAQLYSSFLEMSSWEEMYCGSISVLSVERVDDFHLVRFVYDIDDSTASKSLSENDLVLLTKDLPKSTSHDVHMVGKVERRERDNKRKSSMLLIRFYLQNGSIRLNQARRQLLERSKWHASRIMSITPQIREFHALSSIKDIPLLPAILNPVSDPTISYKPTLDFSKLSQPLQQLLRSSFNDSQLQALNVAVGSQKIKKDFELSLIQGPPGTGKTRTIVAMVGVLLASFQRRTNESENSQSGYLRQCYNSSTNSNARVSEATAIARAWQDAALARQLNEDVERSKKSIESSTRGRVLICAQSNAAVDELVSRISSEGLYGRDGKRYKPYLVRVGNAKTVHPNSLPFYIDTLVDHRLAEEKMHTNDARNDLSMESSSTVLRSNLEKVVENIRFCETKRANIRDGNSSIKKTSEGSNKEMDVKEMAGPELEAKLQRLYEQKKQIYKDLSAAQAQEKKTNEETKALRHKLRKSILKEAEIIVTTLSGCGGDLYGVCAETISSFKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVLSNVASKYMYECSMFERLQRAGHPVVMLTEQYRMHPEICRFPSLHFYDKKLLNGDTVLSKSASFHGTEGLGPYVFYDVVDGLELHGKNSGALSLYNECEADAAVELLKFFRKRYPSEFVVCKIGIITPYKCQLSLLRSRFSSVFGSSVINDIEFNTVDGFQGREVDILVFSTVRASSSSQGVSSSSSIGFVADVRRMNVALTRAKLSLWILGNTRTLQTDRNWSALVKDAKQRNLVLSIKRPYSISFRTNTGKTLVPEGSDNHLSQMKHVEKVRGDGQLAKQNECREKLKFEGKRKHIDSVADCNWSSAGGDIDSVKSKDKHRSKRKAKDDCEPPPGRTILSASANDDRRRPQKVKSRVPEKLLISSGSQEKEGSEVKVKMGENQRSNNDNGGQEVGRSGKNKMSKESKKSSVQEQSSNVSTPRPDGNNEERESNKGGRDPKEVATSQNLFLKRKQQREAVDAILFSGLIPSKKSEQSSKKLHQERSIVPPSVASGSFKPPKKRKG >KJB63305 pep chromosome:Graimondii2_0_v6:10:35057739:35078115:-1 gene:B456_010G141600 transcript:KJB63305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVASRRELLERWSGIEEEEEETDDIDPSMRRRLHKRKEEWFADAFSVLISLPKENHIWCGSWDIMGPLLETFYNYFKDDRNDSPLRLLWKRISEEMRHCIQCVSQHHQAQEMYSTEYELCTIGPLLDVLRSLDEERVTQHLREINERLVRQEYDPVCDNAEVVNLMYEVLMFPTLLDDQALFIDFEKFIEAVDDMHELALAGQQFPGVYALLFFNRRVRTVGHRLAKCMGKMRRAMDLEPLQPLLKKFIGFLENEVLPSPLETSRPRAQLDRLPIWLGITSLLEFLEPPAFEEGILERYPIFLDIVLNHISGDSPEFSHAVSCLRELFKMLGCKLWLRATLSPSVMRNTLLGQCFHTRNEKMHKDIFDLFLPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSTNFSVLMRKTACKIALLIIHRGYKMNPPCPPFECAHMWGPSLVSSLKDSSLYSSLRQPAFDLIQTILVSDAAALITSMLNCCIATSIAKNSSIELDDEEGHNKLPFTQYVEDSDTGCWSEFSTQSQITSPEYREWMSIPMLWIDVLVDIDPSVFPISFSKAVLWARSRFPMIEPENSAEMALDIRGWLSSSAAEISSTFGWKIPTGSDDGGGKESKNSMRLSTMCLPLIKTFNRLTAHFLIRMGQGELRKQWSWEPRMGESLILSLVDPNDNVRQFGKCILEQVSNTRGLGCGLKFLCSDILSLSAVYLGLRHALRLVQLDSVLLKFQTLHHFFFVLCKLLKDEDLPNSEIAEDSSNASNIMMYSSQGGFLKQPLFDALPANMGRNYSSVDPKLRENFCYSLSEIVWPALCKCLVEGKAFVNYSLCQMTCVRVLEILPVLFGRLSPSLVSLFGDSKVALGNLVDFKWLHDLMDWGKSQLKVIVVYWKKAVISLLNVIKLLRSDSSLLMVGAVENLISSDAVDMDELIEQVSRLCVTLSKEVSCAIGHSTLRSKKLFSGASVEGRYPAADVQLPSTGMEVKVFDSLKSEKKMNESNLIVISDDEKEKHIASSKSGHQMLHGQVEFPSTDEQASETYHAKKVVHGTGTDTSADLLESPMKKDSLVSQTQKPEKSRVKPPHCPKPKSPDSERKEISSNSRSSVISSQSKVDQENKFDESVKLNSINQGCKKINFGTKDTILREVVAADDPLEAAFRTVTVQPSLLANSGPVAPKRQVIQLRSPFENRSSLHRPEAQVKRFKPPRLDDWYRPILEVDFFVTVRLAYAKDDESRTVNKLKEVPVSFHSPEQYVNIFRPLVLEEFKAQLYSSFLEMSSWEEMYCGSISVLSVERVDDFHLVRFVYDIDDSTASKSLSENDLVLLTKDLPKSTSHDVHMVGKVERRERDNKRKSSMLLIRFYLQNGSIRLNQARRQLLERSKWHASRIMSITPQIREFHALSSIKDIPLLPAILNPVSDPTISYKPTLDFSKLSQPLQQLLRSSFNDSQLQALNVAVGSQKIKKDFELSLIQGPPGTGKTRTIVAMVGVLLASFQRRTNESENSQSGYLRQCYNSSTNSNARVSEATAIARAWQDAALARQLNEDVERSKKSIESSTRGRVLICAQSNAAVDELVSRISSEGLYGRDGKRYKPYLVRVGNAKTVHPNSLPFYIDTLVDHRLAEEKMHTNDARNDLSMESSSTVLRSNLEKVVENIRFCETKRANIRDGNSSIKKTSEGSNKEMDVKEMAGPELEAKLQRLYEQKKQIYKDLSAAQAQEKKTNEETKALRHKLRKSILKEAEIIVTTLSGCGGDLYGVCAETISSFKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVLSNVASKYMYECSMFERLQRAGHPVVMLTEQYRMHPEICRFPSLHFYDKKLLNGDTVLSKSASFHGTEGLGPYVFYDVVDGLELHGKNSGALSLYNECEADAAVELLKFFRKRYPSEFVVCKIGIITPYKCQLSLLRSRFSSVFGSSVINDIEFNTVDGFQGREVDILVFSTVRASSSSQGVSSSSSIGFVADVRRMNVALTRAKLSLWILGNTRTLQTDRNWSALVKDAKQRNLVLSIKRPYSISFRTNTGKTLVPEGSDNHLSQMKHVEKVRGDGQLAKQNECREKLKFEGKRKHIDSVADCNWSSAGGDIDSVKSKDKHRSKRKAKDDCEPPPGRTILSASANDDRRRPQKVKSRVPEKLLISSGSQEKEGSEVKVKMGENQRSNNDNGGQEVGRSGKNKMSKESKKSSVQEQSSNVSTPRPDGNNEERESNKGGRDPKEVATSQNLFLKRKQQREAVDAILFSGLIPSKKSEQSSKKLHQERSIVPPSVASGSFKPPKKRKGPPNS >KJB63298 pep chromosome:Graimondii2_0_v6:10:35057474:35077933:-1 gene:B456_010G141600 transcript:KJB63298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLHKRKEEWFADAFSVLISLPKENHIWCGSWDIMGPLLETFYNYFKDDRNDSPLRLLWKRISEEMRHCIQCVSQHHQAQEMYSTEYELCTIGPLLDVLRSLDEERVTQHLREINERLVRQEYDPVCDNAEVVNLMYEVLMFPTLLDDQALFIDFEKFIEAVDDMHELALAGQQFPGVYALLFFNRRVRTVGHRLAKCMGKMRRAMDLEPLQPLLKKFIGFLENEVLPSPLETSRPRAQLDRLPIWLGITSLLEFLEPPAFEEGILERYPIFLDIVLNHISGDSPEFSHAVSCLRELFKMLGCKLWLRATLSPSVMRNTLLGQCFHTRNEKMHKDIFDLFLPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSTNFSVLMRKTACKIALLIIHRGYKMNPPCPPFECAHMWGPSLVSSLKDSSLYSSLRQPAFDLIQTILVSDAAALITSMLNCCIATSIAKNSSIELDDEEGHNKLPFTQYVEDSDTGCWSEFSTQSQITSPEYREWMSIPMLWIDVLVDIDPSVFPISFSKAVLWARSRFPMIEPENSAEMALDIRGWLSSSAAEISSTFGWKIPTGSDDGGGKESKNSMRLSTMCLPLIKTFNRLTAHFLIRMGQGELRKQWSWEPRMGESLILSLVDPNDNVRQFGKCILEQVSNTRGLGCGLKFLCSDILSLSAVYLGLRHALRLVQLDSVLLKFQTLHHFFFVLCKLLKDEDLPNSEIAEDSSNASNIMMYSSQGGFLKQPLFDALPANMGRNYSSVDPKLRENFCYSLSEIVWPALCKCLVEGKAFVNYSLCQMTCVRVLEILPVLFGRLSPSLVSLFGDSKVALGNLVDFKWLHDLMDWGKSQLKVIVVYWKKAVISLLNVIKLLRSDSSLLMVGAVENLISSDAVDMDELIEQVSRLCVTLSKEVSCAIGHSTLRSKKLFSGASVEGRYPAADVQLPSTGMEVKVFDSLKSEKKMNESNLIVISDDEKEKHIASSKSGHQMLHGQVEFPSTDEQASETYHAKKVVHGTGTDTSADLLESPMKKDSLVSQTQKPEKSRVKPPHCPKPKSPDSERKEISSNSRSSVISSQSKVDQENKFDESVKLNSINQGCKKINFGTKDTILREVVAADDPLEAAFRTVTVQPSLLANSGPVAPKRQVIQLRSPFENRSSLHRPEAQVKRFKPPRLDDWYRPILEVDFFVTVRLAYAKDDESRTVNKLKEVPVSFHSPEQYVNIFRPLVLEEFKAQLYSSFLEMSSWEEMYCGSISVLSVERVDDFHLVRFVYDIDDSTASKSLSENDLVLLTKDLPKSTSHDVHMVGKVERRERDNKRKSSMLLIRFYLQNGSIRLNQARRQLLERSKWHASRIMSITPQIREFHALSSIKDIPLLPAILNPVSDPTISYKPTLDFSKLSQPLQQLLRSSFNDSQLQALNVAVGSQKIKKDFELSLIQGPPGTGKTRTIVAMVGVLLASFQRRTNESENSQSGYLRQCYNSSTNSNARVSEATAIARAWQDAALARQLNEDVERSKKSIESSTRGRVLICAQSNAAVDELVSRISSEGLYGRDGKRYKPYLVRVGNAKTVHPNSLPFYIDTLVDHRLAEEKMHTNDARNDLSMESSSTVLRSNLEKVVENIRFCETKRANIRDGNSSIKKTSEGSNKEMDVKEMAGPELEAKLQRLYEQKKQIYKDLSAAQAQEKKTNEETKALRHKLRKSILKEAEIIVTTLSGCGGDLYGVCAETISSFKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVLSNVASKYMYECSMFERLQRAGHPVVMLTEQS >KJB63303 pep chromosome:Graimondii2_0_v6:10:35057777:35078000:-1 gene:B456_010G141600 transcript:KJB63303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVASRRELLERWSGIEEEEEETDDIDPSMRRRLHKRKEEWFADAFSVLISLPKENHIWCGSWDIMGPLLETFYNYFKDDRNDSPLRLLWKRISEEMRHCIQCVSQHHQAQEMYSTEYELCTIGPLLDVLRSLDEERVTQHLREINERLVRQEYDPVCDNAEVVNLMYEVLMFPTLLDDQALFIDFEKFIEAVDDMHELALAGQQFPGVYALLFFNRRVRTVGHRLAKCMGKMRRAMDLEPLQPLLKKFIGFLENEVLPSPLETSRPRAQLDRLPIWLGITSLLEFLEPPAFEEGILERYPIFLDIVLNHISGDSPEFSHAVSCLRELFKMLGCKLWLRATLSPSVMRNTLLGQCFHTRNEKMHKDIFDLFLPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSTNFSVLMRKTACKIALLIIHRGYKMNPPCPPFECAHMWGPSLVSSLKDSSLYSSLRQPAFDLIQTILVSDAAALITSMLNCCIATSIAKNSSIELDDEEGHNKLPFTQYVEDSDTGCWSEFSTQSQITSPEYREWMSIPMLWIDVLVDIDPSVFPISFSKAVLWARSRFPMIEPENSAEMALDIRGWLSSSAAEISSTFGWKIPTGSDDGGGKESKNSMRLSTMCLPLIKTFNRLTAHFLIRMGQGELRKQWSWEPRMGESLILSLVDPNDNVRQFGKCILEQVSNTRGLGCGLKFLCSDILSLSAVYLGLRHALRLVQLDSVLLKFQTLHHFFFVLCKLLKDEDLPNSEIAEDSSNASNIMMYSSQGGFLKQPLFDALPANMGRNYSSVDPKLRENFCYSLSEIVWPALCKCLVEGKAFVNYSLCQMTCVRVLEILPVLFGRLSPSLVSLFGDSKVALGNLVDFKWLHDLMDWGKSQLKVIVVYWKKAVISLLNVIKLLRSDSSLLMVGAVENLISSDAVDMDELIEQVSRLCVTLSKEVSCAIGHSTLRSKKLFSGASVEGRYPAADVQLPSTGMEVKVFDSLKSEKKMNESNLIVISDDEKEKHIASSKSGHQMLHGQVEFPSTDEQASETYHAKKVVHGTGTDTSADLLESPMKKDSLVSQTQKPEKSRVKPPHCPKPKSPDSERKEISSNSRSSVISSQSKVDQENKFDESVKLNSINQGCKKINFGTKDTILREVVAADDPLEAAFRTVTVQPSLLANSGPVAPKRQVIQLRSPFENRSSLHRPEAQVKRFKPPRLDDWYRPILEVDFFVTVRLAYAKDDESRTVNKLKEVPVSFHSPEQYVNIFRPLVLEEFKAQLYSSFLEMSSWEEMYCGSISVLSVERVDDFHLVRFVYDIDDSTASKSLSENDLVLLTKDLPKSTSHDVHMVGKVERRERDNKRKSSMLLIRFYLQNGSIRLNQARRQLLERSKWHASRIMSITPQIREFHALSSIKDIPLLPAILNPVSDPTISYKPTLDFSKLSQPLQQLLRSSFNDSQLQALNVAVGSQKIKKDFELSLIQGPPGTGKTRTIVAMVGVLLASFQRRTNESENSQSGYLRQCYNSSTNSNARVSEATAIARAWQDAALARQLNEDVERSKKSIESSTRGRVLICAQSNAAVDELVSRISSEGLYGRDGKRYKPYLVRVGNAKTVHPNSLPFYIDTLVDHRLAEEKMHTNDARNDLSMESSSTVLRSNLEKVVENIRFCETKRANIRDGNSSIKKTSEGSNKEMDVKEMAGPELEAKLQRLYEQKKQIYKDLSAAQAQEKKTNEETKALRHKLRKSILKEAEIIVTTLSGCGGDLYGVCAETISSFKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVLSNVASKYMYECSMFERLQRAGHPVVMLTEQYRMHPEICRFPSLHFYDKKLLNGDTVLSKSASFHGTEGLGPYVFYDVVDGLELHGKNSGALSLYNECEADAAVELLKFFRKRYPSEFVVCKIGIITPYKCQLSLLRSRFSSVFGSSVINDIEFNTVDGFQGREVDILVFSTVRASSSSQGVSSSSSIGFVADVRRMNVALTRAKLSLWILGNTRTLQTDRNWSALVKDAKQRNLVLSIKRPYSISFRTNTGKTLVPEGSDNHLSQMKHVEKVRGDGQLAKQNECREKLKFEGKRKHIDSVADCNWSSAGGDIDSVKSKDKHRSKRKAKDDCEPPPGRTILSASANDDRRRPQKVKSRVPEKLLISSGSQEKEGSEVKVKMGENQRSNNDNGGQEVGRSGKNKMSKESKKSSVQEQSSNVSTPRPDGNNEERESNKGGRDPKEVATSQNLFLKRKQQREAVDAILFSGLIPSKKSEQSSKKLHQERSIVPPSVASGSFKPPKKRKG >KJB67827 pep chromosome:Graimondii2_0_v6:10:57944718:57946013:-1 gene:B456_010G213400 transcript:KJB67827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGTKRAVLVGCNYPKTQFSLHGCINDVKAIRGVILDIGFKESDVNVLTDAPGSPVHPTGVNIKDALNRMVNKAKAGDILFFYFSGHGTRVPIFQPGQPFKQDEAIVACDLNLVTDVDFRRLVNRLPEGASFTILSDSCHSGGLIEKEKEQFGGQHMTTTVNTDKPKPSKAKAKSLPFDIIHSAIDTAAGILHDAANVGQKIFGIFGKDVSLKFHPHYVEGLMVLDPLEEDEGILLSGCEANETSYDLVLENKAFGAFTDAVVNVINQNLGVGISNRHLVAEAAKILKNNGFEQNPCLYCSDENTNTLFLGGFA >KJB65763 pep chromosome:Graimondii2_0_v6:10:21575086:21577918:-1 gene:B456_010G1126001 transcript:KJB65763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 13 [Source:Projected from Arabidopsis thaliana (AT5G58160) UniProtKB/Swiss-Prot;Acc:Q9LVN1] FWIKDMGLFSKLFYKKPPDRLLEICEGVYVFDYCFTIDAWEEENYKVYIEGIVTNLQEHFPDASFLVFNFREGETQSSIAELLSKYDMTIMDYPRHYEGCPLIAMEVLHHFLRSCESWLSLGQHNFLLMHCERGGWPVLVFVLAALLLYRKQYSGEQKTLDMIYRQAPREVLQLFSPLNPVPSQLRYLQYVCRRNVGSEWPPLDRALTLDCIILRCIPDIDGYGGCRPILRIYGQDPFLADDKTPKVLYSTPKRSKSVRYYKQKECSLVKIDINCHIQGDVVVECINLNDDMEREGMIFRAVFNTAFIRSNILMLNRDEIDTLWDTKELFPKEFTAEILFSEMDAATSVISLDFSGFEEKGGLPMEAFAKVHEIFSNVDWLDPRADVAFNMLQQMGASDIVQEMTDSPRSATKGLQETMLTVVLPSSPRSPRSMSMKILSAPSKKSSLDSGASKEAKPEVSDMEPFSRSDVKHQHSNQSTTNLHDSKSSISQVDHLTAAAAVVNDSQVVSHTPKVDENISVSPQTSQCVPAQPPPTSSTTKALPHPPPPPPLPSSLSSASEPAKPSLAMEAGTYLHDKDQTALPEDQSLKASSCTYSPATTSASTVRPPLTPPNKEIPAFRMIPPAPPPPPPTPPSKGNGVSCKTSPSLEENIAL >KJB65765 pep chromosome:Graimondii2_0_v6:10:21575086:21577918:-1 gene:B456_010G1126001 transcript:KJB65765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 13 [Source:Projected from Arabidopsis thaliana (AT5G58160) UniProtKB/Swiss-Prot;Acc:Q9LVN1] FWIKDMGLFSKLFYKKPPDRLLEICEGVYVFDYCFTIDAWEEENYKVYIEGIVTNLQEHFPDASFLVFNFREGETQSSIAELLSKYDMTIMDYPRHYEGCPLIAMEVLHHFLRSCESWLSLGQHNFLLMHCERGGWPVLVFVLAALLLYRKQYSGEQKTLDMIYRQAPREVLQLFSPLNPVPSQLRYLQYVCRRNVGSEWPPLDRALTLDCIILRCIPDIDGYGGCRPILRIYGQDPFLADDKTPKVLYSTPKRSKSVRYYKQKECSLVKIDINCHIQGDVVVECINLNDDMEREGMIFRAVFNTAFIRSNILMLNRDEIDTLWDTKELFPKEFTAEILFSEMDAATSVISLDFSGFEEKGGLPMEAFAKVHEIFSNVDWLDPRADVAFNMLQQMGASDIVQEMTDSPRSATKGLQETMLTVVLPSSPRSPRSMSMKILSAPSKKSSLDSGASKEAKPEVSDMEPFSRSDVKHQHSNQSTTNLHDSKSSISQVDHLTAAAAVVNDSQVVSHTPKVDENISVSPQTSQCVPAQPPPTSSTTKALPHPPPPPPLPSSLSSASEPAKPSLAMEAGTYLHDKDQTALPEDQSLKASSCTYSPATTSASTVRPPLTPPNKEIPAFRMIPPAPPPPPPTPPSKGNGVSCKTSPSLEENIAL >KJB65764 pep chromosome:Graimondii2_0_v6:10:21575086:21577918:-1 gene:B456_010G1126001 transcript:KJB65764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 13 [Source:Projected from Arabidopsis thaliana (AT5G58160) UniProtKB/Swiss-Prot;Acc:Q9LVN1] FWIKDMGLFSKLFYKKPPDRLLEICEGVYVFDYCFTIDAWEEENYKVYIEGIVTNLQEHFPDASFLVFNFREGETQSSIAELLSKYDMTIMDYPRHYEGCPLIAMEVLHHFLRSCESWLSLGQHNFLLMHCERGGWPVLVFVLAALLLYRKQYSGEQKTLDMIYRQAPREVLQLFSPLNPVPSQLRYLQYVCRRNVGSEWPPLDRALTLDCIILRCIPDIDGYGGCRPILRIYGQDPFLADDKTPKVLYSTPKRSKSVRYYKQKECSLVKIDINCHIQGDVVVECINLNDDMEREGMIFRAVFNTAFIRSNILMLNRDEIDTLWDTKELFPKEFTAEILFSEMDAATSVISLDFSGFEEKGGLPMEAFAKVHEIFSNVDWLDPRADVAFNMLQQMGASDIVQEMTDSPRSATKGLQETMLTVVLPSSPRSPRSMSMKILSAPSKKSSLDSGASKEAKPEVSDMEPFSRSDVKHQHSNQSTTNLHDSKSSISQVDHLTAAAAVVNDSQVVSHTPKVDENISVSPQTSQCVPAQPPPTSSTTKALPHPPPPPPLPSSLSSASEPAKPSLAMEAGTYLHDKDQTALPEDQSLKASSCTYSPATTSASTVRPPLTPPNKEIPAFRMIPPAPPPPPPTPPSKGNGVSCKTSPSLEENIAL >KJB66204 pep chromosome:Graimondii2_0_v6:10:29364989:29365591:1 gene:B456_010G132700 transcript:KJB66204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDKKTKGKQKIEIKIIKNADDRLITFSKRRTGIYKKISELSILCGGEILFIIFSPAGKPYSFGHPSVESVPKRFSNAGQHLEETTDAPVETYRKERINLLVQDFNDVQDQLDVIKEKQKEIALAQRSHGTEIRHWWEAPIDQFNLKELYKQDERFAEFNKLISITRNKKIATISSMQGPMDEDVPSSFPPIYGPNLQ >KJB66447 pep chromosome:Graimondii2_0_v6:10:33876142:33877233:-1 gene:B456_010G140600 transcript:KJB66447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNDSLRVASLWHSMYAISQQLSPTTGCSKIELLEADTFDLHWFQSLTDNFLSDFPTRLTESCRLGLVSHRLDVRTKFFVVCEPGTQHIEALLKVVYELYTDYVLKNPFYEMKMPIRCELFDINLTQAVQKDRFALLGR >KJB65354 pep chromosome:Graimondii2_0_v6:10:14290036:14294451:-1 gene:B456_010G091300 transcript:KJB65354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin response factor 1 [Source: Projected from Oryza sativa (Os06g0196700)] MKAPPNGFMANSAEGERKSINSELWHACAGPLVSLPPVGSLVIYFPQGHSEQVAASMQKETDFIPSYPNLPSKLICMLHNVTLHADPETDEVYAQMTLQPVNKYDKEALLASDIGLKQSRQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVAKDLHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKSQLLLGTRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPSEFVIPLAKFNKAMYTQVSLGMRFRMMFETEESGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTAGERPSRVSIWDIEPVITPFYICPPPFFRPRFPKQPGMPDDESDIENAFKRAMPWLGDDFGMKDAPSSIFPGLSLVQWMSMQQNNQFPAAQSGFFPSMVSSNLLHNSLGTDDPAKLLNFQAPALPASNMQFNKANTNQINQLTQAPMTWPQQQQLQQLLQAPLNQQQQSLQQLQRQLPQPPQQQPQPHLFHQQQPQPQPQPQPQSQSQSQSQSQSQSQSQSQSQPQPQPATATATATATSTAAAAAAAAATTSKKTTDAITAAAATSIPPFS >KJB65677 pep chromosome:Graimondii2_0_v6:10:19938740:19940489:1 gene:B456_010G107800 transcript:KJB65677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYGRNSLHGFMGEESNPPQSPHHLSIRTRLDMYDQDLQFSPGRPSSPRVNPAVHSMFPPGSPESPWTLSPLQTPSPALLYHCIASLHRQEGNIYSIALSKGLVFTGSDSNRIRAWRQPDCTERGYIKASSGEVRAIFAYGNMLFTSHRDCKVRIWNYTISDHFRFKKITTLPKKTSFLMFPKTSSQQHKDCISCMAYYHTEGLLYTGSYDRTVKAWRLLDKKCVDSFVAHESNVNAIVVNQDDGCVFTCSADGSVKIWRRVYRENSHTLTMTLKFQQSPVNALALSTTFNNCFLYSGSSDGTINFWEKETLSGRFNHSGFLQGHRFAVLCLAAVEKLVFSGSEDTTIRVWRREEGSCFHECLAVLDGHRGPVRCLAACLEAEKIVMGVLVYSASLDQTFKVWRIKVMPEEKLCFDIGPADRSDSRTKTTMEYEISPVLSPSWVEKKLHGNHFQ >KJB68524 pep chromosome:Graimondii2_0_v6:10:61634729:61639261:-1 gene:B456_010G248300 transcript:KJB68524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPDSMIKPRDACIVGVARTPMGGFLGSLSSSSATHLGSLAIKAALQRANLDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCSSGMKAVMLASQTIELGINDVVVAGGMESMSNAPKYLAQARNGSRMGHDTVIDGMLKDGLWDVYNDFGMGVCGELCADQYKITREEQDAYAIRSFERGIAAQKNGHLAWEIVPVEVSRGRGKPSVVVDKDEGLRMFDAAKFRKLRPSFKANGGTVTAGNASSISDGAAALVLVSGKKAVELGLQVVAKIRGYADAAQAPEWFTTAPALAIPKAISAAGLDASQIDYYEINEAFSVVALANQKLLHLNPEKLNVHGGAVSLGHPLGCSGARILVTLLGVLRHKKGKFGVGGICNGGGGASALVVELM >KJB68526 pep chromosome:Graimondii2_0_v6:10:61635647:61639261:-1 gene:B456_010G248300 transcript:KJB68526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPDSMIKPRDACIVGVARTPMGGFLGSLSSSSATHLGSLAIKAALQRANLDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCSSGMKAVMLASQTIELGINDVVVAGGMESMSNAPKYLAQARNGSRMGHDTVIDGMLKDGLWDVYNDFGMGVCGELCADQYKITREEQDAYAIRSFERGIAAQKNGHLAWEIVPVEVSRGRGKPSVVVDKDEGLRMFDAAKFRKLRPSFKANGGTVTAGNASSISDGAAALVLVSGKKAVELGLQVVAKIRGYADAAQAPEWFTTAPALAIPKAISAAGLDASQIDYYEINEAFSVVALANQKLLHLNPEKLNVHGGAVSLGHPLGCSGARILVTLLGVIHRI >KJB68525 pep chromosome:Graimondii2_0_v6:10:61635276:61639261:-1 gene:B456_010G248300 transcript:KJB68525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPDSMIKPRDACIVGVARTPMGGFLGSLSSSSATHLGSLAIKAALQRANLDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCSSGMKAVMLASQTIELGINDVVVAGGMESMSNAPKYLAQARNGSRMGHDTVIDGMLKDGLWDVYNDFGMGVCGELCADQYKITREEQDAYAIRSFERGIAAQKNGHLAWEIVPVEVSRGRGKPSVVVDKDEGLRMFDAAKFRKLRPSFKANGGTVTAGNASSISDGAAALVLVSGKKAVELGLQVVAKIRGYADAAQAPEWFTTAPALAIPKAISAAGLDASQIDYYEINEAFSVVALANQKLLHLNPEKLNVHGGAVSLGHPLGCSGARILVTLLGT >KJB68523 pep chromosome:Graimondii2_0_v6:10:61634568:61639301:-1 gene:B456_010G248300 transcript:KJB68523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPDSMIKPRDACIVGVARTPMGGFLGSLSSSSATHLGSLAIKAALQRANLDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCSSGMKAVMLASQTIELGINDVVVAGGMESMSNAPKYLAQARNGSRMGHDTVIDGMLKDGLWDVYNDFGMGVCGELCADQYKITREEQDAYAIRSFERGIAAQKNGHLAWEIVPVEVSRGRGKPSVVVDKDEGLRMFDAAKFRKLRPSFKANGGTVTAGNASSISDGAAALVLVSGKKAVELGLQVVAKIRGYADAAQAPEWFTTAPALAIPKAISAAGLDASQIDYYEINEAFSVVALANQKLLHLNPEKLNVHGGAVSLGHPLGCSGARILVTLLGVLRHKKGKFGVGGICNGGGGASALVVELMPESDAKVRRSSL >KJB68539 pep chromosome:Graimondii2_0_v6:10:61694254:61696927:1 gene:B456_010G249100 transcript:KJB68539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g16390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G16390) UniProtKB/Swiss-Prot;Acc:Q8GWE0] MAYHHLCSSPSSLLRDRHSLSVSPKPKSLRTNPPTSLNLVSLSKTSIKASSSHVSLEDLMSQETQIPRKLTNSQYPDGKTASKSYVWVNPRSPRASRLRQLTYDSRYSSLLKVAESLDSCNPNEKDVLAVLSSLGDDVSEQDAVVVLNNMSNPNTALLVLDHFRKILTKTSREVILYNVTLKVLRKSKDLDGAEKLFDEMLQRGVKPDNVTFSTLISCSRVCGLPSKAVEWFEKMPTYECDPDDVTYSAMIDAYGRAGNVDMAFTLYDRARTEKWRIDPVTFSTLIKIYGVSGNYDGCLNVYEEMKALGVKPNVVIYNTLLDAMGRAKRPWQAKSIYKEMLSNGFSPNWATYAALLRAYGRARYGEDALNVYKEMKDKGLELTVVLYNTLLAMCADVGFADEAAEIFEDMKNSGACKPDSWTFSSLITIYSCSGKVSEAEGILNMMLEAGFEPNIFVLTSLIQCYGKAQRTDDVVRMFNRVYELGITPDDRFCGCLLNVMTHTPREELGKVMDCIEKAKPELGRVVKLLIGEQNVEGNFKNEALELFNHISSDVKKPYCNCLIDLCVNLDLLERACDLLELGLSLEIYAGIQSRSPTQWSLNLKSLSLGAALTALHVWINDLTKVQESGEELPPLLGINTGHGKHKYSEKGLATVIESHLKELDAPFHEASDKAGWFLTTKVAAESWLESRRSPDLVVA >KJB63971 pep chromosome:Graimondii2_0_v6:10:2350407:2354262:-1 gene:B456_010G028600 transcript:KJB63971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEGAERDKQWSSPNKDYKAKDDDPKLWGIFLFGLIGATATTFAVSQLRRSVDWIYTQLVRSKSSRGGGSSRTSFQEEAWRRYNRRMQEEHEEEMERVERIRRMQSVFNRERNKHKRSYETWKETGPGAYHQHFQRDDWYWKADASYRDQRTNYRPPQRERASYPLSHHYTVLGLDRARLKPYTEDEIKTAFRAKAKQFHPDQNQDNKEVAEAKFKEVMMSYEAIKQERKNTRV >KJB63973 pep chromosome:Graimondii2_0_v6:10:2350726:2354209:-1 gene:B456_010G028600 transcript:KJB63973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEGAERDKQWSSPNKDYKAKDDDPKLWGIFLFGLIGATATTFALVRSKSSRGGGSSRTSFQEEAWRRYNRRMQEEHEEEMERVERIRRMQSVFNRERNKHKRSYETWKETGPGAYHQHFQRDDWYWKADASYRDQRTNYRPPQRERASYPLSHHYTVLGLDRARLKPYTEDEIKTAFRAKAKQFHPDQNQDNKEVAEAKFKEVMMSYEAIKQERKNTRV >KJB63974 pep chromosome:Graimondii2_0_v6:10:2350948:2354207:-1 gene:B456_010G028600 transcript:KJB63974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEGAERDKQWSSPNKDYKAKDDDPKLWGIFLFGLIGATATTFAVSQLRRSVDWIYTQLVRSKSSRGGGSSRTSFQEEAWRRYNRRMQEEHEEEMERVERIRRMQSVFNRERNKHKRSYETWKETGPGAYHQHFQRDDWYWKADASYRDQRTNYRPPQRERASYPLSHHYTVLGLDRARLKPYTEDEIKTAFRAKAKQFHPDQNQDNKGKMFWPFGNYYYEP >KJB63972 pep chromosome:Graimondii2_0_v6:10:2351870:2353984:-1 gene:B456_010G028600 transcript:KJB63972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEGAERDKQWSSPNKDYKAKDDDPKLWGIFLFGLIGATATTFAVSQLRRSVDWIYTQLVRSKSSRGGGSSRTSFQEEAWRRYNRRMQEEHEEEMERVERIRRMQSVFNRERNKHKRSYETWKETGPGAYHQHFQRDDWYWKADASYRDQRTNYRPPQRERASYPLSHHYTVLGLDR >KJB63975 pep chromosome:Graimondii2_0_v6:10:2350726:2354207:-1 gene:B456_010G028600 transcript:KJB63975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEGAERDKQWSSPNKDYKAKDDDPKLWGIFLFGLIGATATTFAVSQLRRSVDWIYTQLVRSKSSRGGGSSRTSFQEEAWRRYNRRMQEEHEEEMERVERIRRMQSVFNRERNKHKRSYETWKETGPGAYHQHFQRDDWYWKADASYRDQRTNYRPPQRERASYPLSHHYTVLGLDRARLKPYTEDEIKTAFRAKAKQFHPDQNQDNKVAEAKFKEVMMSYEAIKQERKNTRV >KJB63427 pep chromosome:Graimondii2_0_v6:10:59414255:59414611:1 gene:B456_010G222800 transcript:KJB63427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLHLSHIVVWVTLPGLPYMYYNKDLFRAIAGAIGQAVKIDYNTIVGRRRKFVTLAVVVDLRKPLISCIGIDNFLQRVEYDGLLFICYECGC >KJB68507 pep chromosome:Graimondii2_0_v6:10:61602975:61608539:-1 gene:B456_010G247800 transcript:KJB68507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVGVIEARNIPAMNLNGVSDPYVKLQVGKKRYRTKVVKKTLNPSWGEEFIFKVEDFKGELQISVLDEDKFFNDDFVGHVKLPISQVFDAPQKSLGTVWYPLHPKNNRSKNKDLGEVLLNIYFQQKSSSMDMSCNGDNASSSSTCPSPLRLEDSVSSKEEKDKSFKEEKEKSLSQKSLAGRIAQIFNKNSDTAVASSTAGIDLTEMPENSKADVADEKPDDQSSSVSFEEAMKTMESKDQGSEIPSNLPGGVLVDQPYVVSPAELNSLLFSSDSSFPKSLAEMQGSKDPQLGPWKFEDGDSSLKRVYTYIKAPTKIIKATKATEEQTYLRADGKSFAVLVVVSTPDVPYGSTFKTELLYCITPGPELPSGEQSAHLVISWRMNFLQSTMMKGMIENGARQGLKEGFEQFTTLLTQSVKPVDSKDIGLNKEQILGSLQAEPKSDWKLAFQYFANFTVVSTVFMALYVIVHIWLAAPSTIQGLEFVGLDLPDSIGEFIVCGVLVLQGERLLQIISRFMHARAQKGSDHGVKAQGNGWLLTVALIEGSNLATVDSSGYCDPYVVFTCNGKSRTSSIKFQKSSPQWNEIFEFDAMEEPPSVLDIEVFDFDGLFDEATSLGQAEINFLKSNISDLADVWVPLQGKQAQACQSKLHLRIFLDNTRGGHVVKEYLSKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFLSARILGFHANIFGHKAKFYFLWEDIEDIQVSPPTLASMGSPIIVITLRLGRGLDARHGARTQDREGRLKFNFQSFVSFSVAHRTIMALWKARSLSPEQKVQIVEEESKTKCLQTEESGSFLGLEDVSMSEIYSTTLSVPISSFMEIFGGGEMDRKAMERAGCLNYSCSPWDSESADVYERQIYFRYDKRVSRFRGEVTSTQQKSPLPDKKGWLIEEVMTLHGVPLGDYFNLHLRYQIEDLKAKGCSVRVLTGIAWLKSTKHQKRIAKNILSNLEDRLKEFFRL >KJB68508 pep chromosome:Graimondii2_0_v6:10:61602975:61608066:-1 gene:B456_010G247800 transcript:KJB68508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVGVIEARNIPAMNLNGVSDPYVKLQVGKKRYRTKVVKKTLNPSWGEEFIFKVEDFKGELQISVLDEDKFFNDDFVGHVKLPISQVFDAPQKSLGTVWYPLHPKNNRSKNKDLGEVLLNIYFQQKSSSMDMSCNGDNASSSSTCPSPLRLEDSVSSKEEKDKSFKEEKEKSLSQKSLAGRIAQIFNKNSDTAVASSTAGIDLTEMPENSKADVADEKPDDQSSSVSFEEAMKTMESKDQGSEIPSNLPGGVLVDQPYVVSPAELNSLLFSSDSSFPKSLAEMQGSKDPQLGPWKFEDGDSSLKRVYTYIKAPTKIIKATKATEEQTYLRADGKSFAVLVVVSTPDVPYGSTFKTELLYCITPGPELPSGEQSAHLVISWRMNFLQSTMMKGMIENGARQGLKEGFEQFTTLLTQSVKPVDSKDIGLNKEQILGSLQAEPKSDWKLAFQYFANFTVVSTVFMALYVIVHIWLAAPSTIQGLEFVGLDLPDSIGEFIVCGVLVLQGERLLQIISRFMHARAQKGSDHGVKAQGNGWLLTVALIEGSNLATVDSSGYCDPYVVFTCNGKSRTSSIKFQKSSPQWNEIFEFDAMEEPPSVLDIEVFDFDGLFDEATSLGQAEINFLKSNISDLADVWVPLQGKQAQACQSKLHLRIFLDNTRGGHVVKEYLSKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFLSARILGFHANIFGHKAKFYFLWEDIEDIQVSPPTLASMGSPIIVITLRLGRGLDARHGARTQDREGRLKFNFQSFVSFSVAHRTIMALWKARSLSPEQKVQIVEEESKTKCLQTEESGSFLGLEDVSMSEIYSTTLSVPISSFMEIFGGGEMDRKAMERAGCLNYSCSPWDSESADVYERQIYFRYDKRVSRFRGEVTSTQQKSPLPDKKGWLIEEVMTLHGVPLGDYFNLHLRYQIEDLKAKGCSVRVLTGIAWLKSTKHQKRIAKNILSNLEDRLKEFFRL >KJB65906 pep chromosome:Graimondii2_0_v6:10:23518622:23519971:-1 gene:B456_010G118500 transcript:KJB65906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETHILFGKYEMGRLLGKGTFAKVYHCKDLTTGENVAIKVVSKDQVKKQGMMEQIKREISVLRLVRHPNIVELKEVMATKTKIFFVMEHVRGGELFAKVYKGKLKENVARKYFQQLISAVDFCHSRGVSHRDLKPENLLLDENEDLKVSDFGLSALPEQVRNDGLLHTQCGTPAYVAPEVLKKRGYDGPKADIWSCGVILYVLLAGFLPFQEENVMNMYKKVFKAEFQFPPWFSTESKRLISKHLVADPEQRITIPDIMRVPWFLKGFKHPLSFSIEEPVLDHVEDDKLPSPKFFNAFEFISSMSSGFNLSSLFEKERKSGTMFTSRCSASDIIAKIQGVAKGLNFKVRKVKDFKLRLQGPSEGRKGRLSVTAEVFEVAPEVAVVEFSKSAGDTLEYAKFCEEEIRPALKDIVWTWQGDSFNHCSNVKIDDEGCKMQVLPTAYPTHNL >KJB66645 pep chromosome:Graimondii2_0_v6:10:40191581:40194614:-1 gene:B456_010G149800 transcript:KJB66645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAGGIGPGNGKEYPGNLTPFVTITCIVAAMGGLIFGYDIGISGGVTTMTPFLQKFFREVWEKKEANKSTNQYCQYDSQTLTMFTSSLYLAALLASLVASTVTRKLGRRLSMLFGGLLFFAGALINGFAKAVWMLIVGRLLLGFGIGFANQSVPLYLSEMAPYKYRGALNIGFQLSITVGILIANVLNYFFAKIKGGWGWRLSLGGAMVPALIITVGSLVLPDTPNSMIERGRTEEARAKLKKIRGVDDVDEEFRDLVSASDASQLVEHPWRNLWQRKYRPHLTMAILIPFFQQLTGINVIMFYAPVLFNTIGFGDDASLMSAVITGVVNVAATLVSIYGVDKWGRRFLFLEGGVQMLICQAVVAASIGAKFGTNGNPGDLPKWYAIVVVLFICVYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSVNMMFTFLVAQVFLTMLCHLKFGLFMFFAFFVVIMSIFVYYFLPETKGIPIEEMNQVWKSHWYWSRFMEDLDYPINGNLEMSKGGQGPKLV >KJB68605 pep chromosome:Graimondii2_0_v6:10:61979832:61983823:-1 gene:B456_010G254600 transcript:KJB68605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFKSWHALINKRNTLYELGKIGFKMKQLPFMGVICIVMLFIVYRTTIYQYHKTEMEMKLYRFEMVKDYPQPSGKLRSLPRGIMQVRSDLEIRPLWMSSSSRQASVNTNKNLLAMPVGIMQKENVDNVVQKFLAANFTIILFHYDGNVDGWWDLDCGDKAIHVVAHNQTKWWFAKRFLHPNVVSIYDYIFLWDEDLGVDHFDPKRYLQIVKTAGLEISQPALDPDSTEIHHKITIRSRTKTWRVYELRGKTKCSKASEGPPCSGFVEGMAPVFTRSAWYCAWHLIQNDLVHGWGMDMKLGYCAQVRFA >KJB68604 pep chromosome:Graimondii2_0_v6:10:61978674:61982801:-1 gene:B456_010G254600 transcript:KJB68604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFKSWHALINKRNTLYELGKIGFKMKQLPFMGVICIVMLFIVYRTTIYQYHKTEMEMKLYRFEMVKDYPQPSGKLRSLPRGIMQVRSDLEIRPLWMSSSSRQASVNTNKNLLAMPVGIMQKENVDNVVQKFLAANFTIILFHYDGNVDGWWDLDCGDKAIHVVAHNQTKWWFAKRFLHPNVVSIYDYIFLWDEDLGVDHFDPKRYLQIVKTAGLEISQPALDPDSTEIHHKITIRSRTKTWRVYELRGKTKCSKASEGPPCSGFVEGMAPVFTRSAWYCAWHLIQNDLVHGWGMDMKLGYCAQGVSSKKVGVIDSEYIVHKGIQSLGGSGYPATRTTVKRNGASGFDLRTEIRRQSTWELEVFKERWNRAVEEDKNWVDPFLRYQSRKKQTSTHLRS >KJB64180 pep chromosome:Graimondii2_0_v6:10:3316200:3317714:1 gene:B456_010G035900 transcript:KJB64180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCQAASQTRFRALKYENGIAGSATIVVRVIACFQPLQDCQAEYFRHLLKPVTKLLVLLLQHSTSEGCGFSFPEQRFDWRSHDLSSLAAPHPLVQQNTNPQLVNSGIDMVSVPRTLPDYANPGIVPQLQVGQVNEPCGWFYCSPHYWQGLVPASTNPYEYHNEKIVSKAGSGCAQKRFLVFDQSGDQTTMIFSSSFGTPIKCATWGSKSPAACNFNGKDPITKVNPDLHSGPISSNVFDENGTNTQSEMHEDTEELNALLYSDDDSYYTDDEVTSAVHSPSRMTACQEQFEGGGTEKVASSIGPTKKRKLLNDGNDYLPLLTDAAISVNPSRCSEYVDDADSSCANMESSSSNKRLRIEKIQETVSVLRSLIPNGEGKDAIM >KJB65937 pep chromosome:Graimondii2_0_v6:10:24698545:24699775:1 gene:B456_010G121400 transcript:KJB65937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSDNEFDVIVVGAGVVGSSTAYQLTKRGQKTLLLERFDFLYHQSSSHGESRTIQATYLEDYYFGLVYFKAQHFDMGPFNANSLLLVLATCRKNTIPYQVLDHRQVAERFSRQIDIPEPTNVMLMFQMLAFKNGACLKDNTTLVSINKDGDQGLKVAASNGENFWGKKYVVVVGDWMRNLVKTVCGIELPIQPLEANVCYWQIKDGHKVEYAIGNDFPMFTSYGHSYIFGTPSLEYPGLIKVAVHGGYQCNPNKRPWGPELGVELKQFRIARFEENPKGNIKEYEDQVGLLKTSL >KJB68543 pep chromosome:Graimondii2_0_v6:10:61725700:61728408:-1 gene:B456_010G249600 transcript:KJB68543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase [Source:Projected from Arabidopsis thaliana (AT5G23070) UniProtKB/TrEMBL;Acc:A0A178UCI4] MSLSIFPTFSISQKSMLPTSISSMKSLISPPFSPLILHFPKTKPFAFFSLPSKPPNVSYPKFLHAKPSMPSPRSPIFSIPIRQSHIETPQSSLPCGEVHVIVGPMFAGKTTTLLHRIQAESNNGRTVAVIKSNKDTRYGLDSIVTHDGMKLPCWALANLSSFRQKIGPDAYDQCL >KJB68544 pep chromosome:Graimondii2_0_v6:10:61725700:61728488:-1 gene:B456_010G249600 transcript:KJB68544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase [Source:Projected from Arabidopsis thaliana (AT5G23070) UniProtKB/TrEMBL;Acc:A0A178UCI4] MSLSIFPTFSISQKSMLPTSISSMKSLISPPFSPLILHFPKTKPFAFFSLPSKPPNVSYPKFLHAKPSMPSPRSPIFSIPIRQSHIETPQSSLPCGEVHVIVGPMFAGKTTTLLHRIQAESNNGRTVAVIKSNKDTRYGLDSIVTHDGMKLPCWALANLSSFRQKIGPDAYDQLDVIGIDEAQFFEDLYDFCCEAADHDCKTVIVAGLDGDYLRRSFGSVLNIIPLANSVTKLTARCELCGKRAFFTLRKTDETETELIGGAEVYMPVCRQHYVDGQVVIGAARSVLESKKLQCGTCA >KJB63765 pep chromosome:Graimondii2_0_v6:10:1157678:1159586:-1 gene:B456_010G015200 transcript:KJB63765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSHSLTATSAAAIIAFPLLFLFSFLLISRRNTNSKKTAPEAGGAWPIIGHLRLLGGSQPPHISLANMADKYGRIFSIKLGVHRALVVSDWEIAKECLTVNDKAFASRPKLASSEILGNNRAMLGFAPYGPYWRQIRKVATIELLSNHRLELLKHVRESEVKTSLQQLYQLWNKKRSANSDKVLVEMKGWFKEVTLNVIMRMIVGKRIPNSSEGGENLKWRKSMDDFFVLSGKFLISDALPFLRFLDIGGDIKFMKKTAKELDQVLQGWLREHKQKRAENKANGEEDFMGVMLSILSEAEEQHADTVNKINCLGLILGAEDTTSITLTWALSLLLNNRDKLSKVQQELDVHIGKDRLLLTESDTKNLVYLQSVIKETLRLYPPAPLSVIHEAIEDCTVNGYHVSAGTWLIMNLHKIHHDPLIWANPFEFQPERFITTHKDIDVRGQNFELVPFGSGRRMCPGVSFALQVLQLTLANVLHWFEFETPSGIAVDMHEGLGITSSKATPLEVHITPRLPAFVYNSTNSIRAYPG >KJB65190 pep chromosome:Graimondii2_0_v6:10:12442566:12445051:1 gene:B456_010G083900 transcript:KJB65190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIGCSYICLWSYSSYLNPPNSLVPGFAFANSLPYIELRELDLQNRWSNQTQRQFYQRAVFMGCRSGEIELGLSNVVQLNMEIEKTRFFPEDFPRQLSHVGDPFPQPTDLNRPSSFSSSLRSLSTGSPIEPTPSSLQPMSSSIDCSCQQAMQALTQMQSNIQLPMLERENASITRAILAVLTSSTSHLPRQNQNLPYNYLLNPKATALKRYVPRSTPPVRASLRSQSILKRATLFCRKLTLVRRGELVLSRHPTSNQLHRMMSERRRREKQNEDFIALRSLLPFGTKKDKASILFNSRQYLTSLKAQVAELSKQNQLLQARLLPAAADVGGSSNESLNVRIIPLHESTSEQRMVDLRISVRGEVLIDNILMRLLEFLRHDRNVSIMSIEANNQLSEGSVNYINLRLRIEILEFKLNVQFQMSN >KJB67788 pep chromosome:Graimondii2_0_v6:10:57711317:57712606:-1 gene:B456_010G210900 transcript:KJB67788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVHLRKFTRNSNLVLRVTILTDKFGQPKGFSYVESVEVDAVQSALLLNESELHGHQLKSVLLCWVCIGHGCPTCLMKRWTSAAI >KJB67789 pep chromosome:Graimondii2_0_v6:10:57710157:57712265:-1 gene:B456_010G210900 transcript:KJB67789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVHLRKFTRNSNLVLRVTILTDKFGQPKGFSYVESVEVDAVQSALLLNESELHGHQLKSVLLCWVCIGHGCPTCLMKRWTSAAI >KJB67198 pep chromosome:Graimondii2_0_v6:10:53257120:53258308:1 gene:B456_010G183200 transcript:KJB67198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLALLPLTQPSFLPSKPFKSNVGFPPSSPLNQRTSHATPLNCHKMYVPGNGASPEAKAAKNLHGFFNYITVKIVSAQLQVRSAYCKRDFEWDNLKRLVFKMVDESNTKLMREYVQETSHVTEKETGK >KJB65561 pep chromosome:Graimondii2_0_v6:10:18399323:18402248:-1 gene:B456_010G101700 transcript:KJB65561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVAVFGDQDKPALVTYPDLALNHISCFQGLFFSPEVNSFLLHNFCIYHISPPGHEVGAAPIGSDDLMPSVDDLADQVLEVLNFFGLGAVMCMGVTAGAYVLTLFAMKYRQRVLGLILVSPLCRAPSWTEWLLNKVMSNLLYFYGMCGVVKELLLKRYFSQEVRGSVEESESDIVQACRRLLDERQSINVQQFLEAMNGRRDLSEGLRKLHCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVDSKC >KJB65563 pep chromosome:Graimondii2_0_v6:10:18399653:18402682:-1 gene:B456_010G101700 transcript:KJB65563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSDSISIDMETISLGAKEYLVKTRCGMVSVAVFGDQDKPALVTYPDLALNHISCFQGLFFSPEVNSFLLHNFCIYHISPPGHEVGAAPIGSDDLMPSVDDLADQVLEVLNFFGLGAVMCMGVTAGAYVLTLFAMKYRQRVLGLILVSPLCRAPSWTEWLLNKVMSNLLYFYGMCGVVKELLLKRYFSQEVRGSVEESESDIVQACRRLLDERQSINVQQFLEAMNGRRDLSEGLRKLHCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVQACGSIVTEEQPHAMLIPLEYFLMGFGLYRSTLSVSRRSPLSPTCISPELLSPESMGLKLKPIKTRIS >KJB65564 pep chromosome:Graimondii2_0_v6:10:18399323:18402817:-1 gene:B456_010G101700 transcript:KJB65564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSDSISIDMETISLGAKEYLVKTRCGMVSVAVFGDQDKPALVTYPDLALNHISCFQGLFFSPEVNSFLLHNFCIYHISPPGHEVGAAPIGSDDLMPSVDDLADQVLEVLNFFGLGAVMCMGVTAGAYVLTLFAMKYRQRVLGLILVSPLCRAPSWTEWLLNKVMSNLLYFYGMCGVVKELLLKRYFSQEVRGSVEESESDIVQACRRLLDERQSINVQQFLEAMNGRRDLSEGLRKLHCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVDSKC >KJB65562 pep chromosome:Graimondii2_0_v6:10:18399653:18401961:-1 gene:B456_010G101700 transcript:KJB65562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVAVFGDQDKPALVTYPDLALNHISCFQGLFFSPEVNSFLLHNFCIYHISPPGHEVGAAPIGSDDLMPSVDDLADQVLEVLNFFGLGAVMCMGVTAGAYVLTLFAMKYRQRVLGLILVSPLCRAPSWTEWLLNKVMSNLLYFYGMCGVVKELLLKRYFSQEVRGSVEESESDIVQACRRLLDERQSINVQQFLEAMNGRRDLSEGLRKLHCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVQACGSIVTEEQPHAMLIPLEYFLMGFGLYRSTLSVSRRSPLSPTCISPELLSPESMGLKLKPIKTRIS >KJB65565 pep chromosome:Graimondii2_0_v6:10:18399401:18402686:-1 gene:B456_010G101700 transcript:KJB65565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSDSISIDMETISLGAKEYLVKTRCGMVSVAVFGDQDKPALVTYPDLALNHISCFQGLFFSPEVNSFLLHNFCIYHISPPGHEVGAAPIGSDDLMPSVDDLADQVLEVLNFFGQRVLGLILVSPLCRAPSWTEWLLNKVMSNLLYFYGMCGVVKELLLKRYFSQEVRGSVEESESDIVQACRRLLDERQSINVQQFLEAMNGRRDLSEGLRKLHCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVQACGSIVTEEQPHAMLIPLEYFLMGFGLYRSTLSVSRRSPLSPTCISPELLSPESMGLKLKPIKTRIS >KJB65566 pep chromosome:Graimondii2_0_v6:10:18399401:18402686:-1 gene:B456_010G101700 transcript:KJB65566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSDSISIDMETISLGAKEYLVKTRCGMVSVAVFGDQDKPALVTYPDLALNHISCFQGLFFSPEVNSFLLHNFCIYHISPPGHEVGAAPIGSDDLMPSVDDLADQVLEVLNFFGLGAVMCMGVTAGAYVLTLFAMKYRQRVLGLILVSPLCRAPSWTEWLLNKVMSNLLYFYGMCGVVKELLLKRYFSQLLDERQSINVQQFLEAMNGRRDLSEGLRKLHCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVQACGSIVTEEQPHAMLIPLEYFLMGFGLYRSTLSVSRRSPLSPTCISPELLSPESMGLKLKPIKTRIS >KJB63422 pep chromosome:Graimondii2_0_v6:10:43817558:43819178:1 gene:B456_010G157100 transcript:KJB63422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSRAKPAPKKRMDKLDTVFSCPFCNHGTSVECRLDMKNLIGEASCRICQESFSTVITALTEPIDIEWIDECERVNNLEEEDDGDQDEGYVPRKRVSTSEWD >KJB63421 pep chromosome:Graimondii2_0_v6:10:43817558:43819178:1 gene:B456_010G157100 transcript:KJB63421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSRAKPAPKKRMDKLDTVFSCPFCNHGTSVECRLDMKNLIGEASCRICQESFSTVITALTEPIDIYSEWIDECERVNNLEEEDDGDQDEGYVPRKRVSTSEWD >KJB63423 pep chromosome:Graimondii2_0_v6:10:43817513:43819243:1 gene:B456_010G157100 transcript:KJB63423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSRAKPAPKKRMDKLDTVFSCPFCNHGTSVECRLDMKNLIGEASCRICQESFSTVITALTEPIDIYSEWIDECERVNNLEEEDDGDQDEGYVPRKRVSTSEWD >KJB66766 pep chromosome:Graimondii2_0_v6:10:44003349:44004044:1 gene:B456_010G157300 transcript:KJB66766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEAIESFRAQARLYKHIFNYVSSMSLKCAVQLGIPDAIHNHGEPITLSELVSALGIVPTKASFTYRLMRVLVHAGFFATTKVNKGEEKEAYVLTPFSKILVKEKINCLSPFVVGMLYPAMMMPWQFLRTKKSPFETANGKPFWDYMDHDPVFKALFHDAMRSDSQMMNLVVKDCEQVFEGLNSIVDVGGGTGTIARVISEAYPQLKCTVLTSLTLWLTYQLLAT >KJB65528 pep chromosome:Graimondii2_0_v6:10:17513625:17513999:1 gene:B456_010G099100 transcript:KJB65528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTAGGGSGLSIDEEETAETRIRRLISEHPLIIFSRSSCCMCHVMKKLLVTIGVHPTVIELDDHEFASLPRPPSHDTLISSRNLSPAVFIGGTCVGGLESLVALHLSGHLVPKLVEVGVLWV >KJB63557 pep chromosome:Graimondii2_0_v6:10:295014:296208:-1 gene:B456_010G005600 transcript:KJB63557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSLKLGDGTARSKRSTLCSSAVNILMLFSVLATNLFALYAFTYSPKHHTITPFHHKNITLISQHVSLIIKQIDSSQKKLARIENQLLGYQTLNVSRPNLANELKLTGITEMVASVGHSCEKSAGLLSQYMTYKVSGPCPDDWSLAQKLILRGCEPLPRRRCFAKTVSKVGLSTWSGFGCKNINCLNKKPNGFAFDRQKYVKATSKNDFLIDDVLAMGNNGIRIGFDIGTGSKTGTFAALMAEKNVTIITNTLNVDALDSDFIAARGVFPLFLSLDHRFPFYDNVFDLVHVTSGLDVGTKPEKLEFLMFDADRILRVGGLFWLDNIHCGDDEKKRTLTRLIEKFGYKKLKWVVGQKMDGAVGSGKHELYLSAVLQKPVRI >KJB63473 pep chromosome:Graimondii2_0_v6:10:32447:35830:1 gene:B456_010G000800 transcript:KJB63473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAGGASPGARELDQTPTWAVALVVAVIIMISIALEKVLHSVGELFEHKKKEALYEALQKVKGELMVLGFISLLLTFGQSYISKICIPERLADTMLFCPRKHRHSHHDHQESGGGHHRRLFSYDDDDDDDVRRFLGGDGGGPACKLGHVPLISVHGLHQLHIFIFFLAVFHVAYGATTMMLGRLKTRGWKEWERECVNSVTELNNPSRFRLTHETSFVRDHTRGAWTKTKFSFYFVCMWRQFFRSVRKADYCTMRHGFVSVHLTPGSKFDFQKYIKKSLEDDFKVVVGITPALWASAVIFLLMDVHGWYVMSFISMIPLVVTLAVGTKLQAIIARMALEIVEKHAVIQGMPLVQVSDQHFWFAWPPLVLHLIHFVLFQNAFEITYFFWIWYEFGLRSCFHQDFTLITIRVCLGVVVQFICSYITLPLYALVTQMGSTMKRSIFDEQTSKALKQWHKKAAQKKAEAPPRSKIPGGDSSDNTGRHDGDSDHQDVTVEAQPNRTQSNNNNNDN >KJB65324 pep chromosome:Graimondii2_0_v6:10:13784935:13786893:1 gene:B456_010G090000 transcript:KJB65324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFSWVQNKLTSKKSNIIPDNNHTKQPCKEELNDWPHGLLAIGTLGNKIKQEADQKANLHQSVPSTQDHVNYLHGLTAEEVGKLQKELNLIFQEHGPTSPANLEAPSLPFDRFLDADSSTVEDEERVCSGCSDGSNLNNRKDDHHLQYCNSSLVQSRGKDMCSDNSKAAIGKKSLSFLLKKMFVCRSGFSPAPISLRDPAVMESRMEKMLKAILHKKIYPQNYSTKLSTKKSLESCTHISNTADGDEKNEKADDGSKWVKTDSEYIVLEI >KJB66432 pep chromosome:Graimondii2_0_v6:10:33592612:33596567:-1 gene:B456_010G139800 transcript:KJB66432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DRDVVSAVDLASSSSHGGSIVAGTSGGEEAALDLESKKIVKRKQKKTKIEKIAITENGEKAEMIEKAQKVEEAMMAKAAEAIESLDPNTVDISDNLVYFDPPDGGWETCYNCGEEGHMAVNWKSALNWKKPCFVCGSLDHGARQCSKNCFICKKCGHHVKDCPDKYKSSSNPGNICLRCGVSGYDMFSCRNDYSQDDIKVSSCSLEIQCYICKSFGHICCINFVDTSPREVSCYRCGQLGHTGLSCGGSLGETKETTDNRSPSLCYKCGEGGHFAHECTTGTASSSLYYKCGGGHFARQCTTGTAASSLCYKTIVGKRNRESSTPNLRSRLENKDLLGYKSAPHDNGKSRCKRKKIQFEEKGFNTPRKEKKRGGWITEDPGDFSYRKSTRNHWNSPSTPSNQGCYNGHILGSQSSKPKNFHRFSASRFSNLGNDEPRRTYNWW >KJB67957 pep chromosome:Graimondii2_0_v6:10:58845046:58847565:-1 gene:B456_010G219700 transcript:KJB67957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNPFIAFFVFVLLPQQKFVQVVADLDSDKQALLEFANGVPHVKKLNWNLATPVCTSWVGITCNTNRTDVIAVRLPGVGLYGTIPSNTIGKLKALKVLSLRSNNINGSLPSDIPSIPSLQCLFLQHNNLSSKFPVTFSPMLRIVDFSYNSISGTIPNVDLPSVKVLNFSYNNLSGSIPSSFKRFPSSFIGNPLLCGSEPLKPCSESVVSPSPSPLTDFPSPKTGSQNQHATSKNKLGVGSIIAIVVGGLAFVFLLLAVIFVSCLKRKHSGSNGMLKSKISQNDKPNDFGSGVQEAEKNKLFFFEGCSYNFDLEDLLKASAEVLGKGSYGTTYKAALEEGTQVVVKRLKEVAVGKKEFEQQMEVVNRVGRHPNVVPLRAYYYSKDEKLLVYNYMPAGSLFALLHGNRTSDRTPLDWDTRMKIALGTARGIANIHTEGGGKFTHGNIKSSNVLLSDELEACVSDAGLTPLMNAPLTTSRIVGYRAPEVIETRKITQKSDVYSFGVLLLEMLTAKAPLQPSGQDDVVDLPRWVRSVVREEWTAEVFDVELLRFQTFQEEMVQMLQIALTCVAKTPDMRPTMDEAIRMIEDVRQSESKNRTSSEAESNIQTP >KJB67959 pep chromosome:Graimondii2_0_v6:10:58845046:58848034:-1 gene:B456_010G219700 transcript:KJB67959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNPFIAFFVFVLLPQQKFVQVVADLDSDKQALLEFANGVPHVKKLNWNLATPVCTSWVGITCNTNRTDVIAVRLPGVGLYGTIPSNTIGKLKALKVLSLRSNNINGSLPSDIPSIPSLQCLFLQHNNLSSKFPVTFSPMLRIVDFSYNSISGTIPNVDLPSVKVLNFSYNNLSGSIPSSFKRFPSSFIGNPLLCGSEPLKPCSESVVSPSPSPLTDFPSPKTGSQNQHATSKNKLGVGSIIAIVVGGLAFVFLLLAVIFVSCLKRKHSGSNGMLKSKISQNDKPNDFGSGVQEAEKNKLFFFEGCSYNFDLEDLLKASAEVLGKGSYGTTYKAALEEGTQVVVKRLKEVAVGKKEFEQQMEVVNRVGRHPNVVPLRAYYYSKDEKLLVYNYMPAGSLFALLHGNRTSDRTPLDWDTRMKIALGTARGIANIHTEGGGKFTHGNIKSSNVLLSDELEACVSDAGLTPLMNAPLTTSRIVGYRAPEVIETRKITQKSDVYSFGVLLLEMLTAKAPLQPSGQDDVVDLPRWVRSVVREEWTAEVFDVELLRFQTFQEEMVQMLQIALTCVAKTPDMRPTMDEAIRMIEDVRQSESKNRTSSEAESNIQTP >KJB67958 pep chromosome:Graimondii2_0_v6:10:58845046:58847397:-1 gene:B456_010G219700 transcript:KJB67958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNPFIAFFVFVLLPQQKFVQVVADLDSDKQALLEFANGVPHVKKLNWNLATPVCTSWVGITCNTNRTDVIAVRLPGVGLYGTIPSNTIGKLKALKVLSLRSNNINGSLPSDIPSIPSLQCLFLQHNNLSSKFPVTFSPMLRIVDFSYNSISGTIPNVDLPSVKVLNFSYNNLSGSIPSSFKRFPSSFIGNPLLCGSEPLKPCSESVVSPSPSPLTDFPSPKTGSQNQHATSKNKLGVGSIIAIVVGGLAFVFLLLAVIFVSCLKRKHSGSNGMLKSKISQNDKPNDFGSGVQEAEKNKLFFFEGCSYNFDLEDLLKASAEVLGKGSYGTTYKAALEEGTQVVVKRLKEVAVGKKEFEQQMEVVNRVGRHPNVVPLRAYYYSKDEKLLVYNYMPAGSLFALLHGNRTSDRTPLDWDTRMKIALGTARGIANIHTEGGGKFTHGNIKSSNVLLSDELEACVSDAGLTPLMNAPLTTSRIVGYRAPEVIETRKITQKSDVYSFGVLLLEMLTAKAPLQPSGQDDVVDLPRWVRSVVREEWTAEVFDVELLRFQTFQEEMVQMLQIALTCVAKTPDMRPTMDEAIRMIEDVRQSESKNRTSSEAESNIQTP >KJB67442 pep chromosome:Graimondii2_0_v6:10:54469534:54474523:-1 gene:B456_010G190900 transcript:KJB67442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVVMEITGSMWTVAFLAILAAFAFLKWVLERVNPWVYETRLGDERYSLPPGDLGWPFIGNMWSFLRAFRSDDPDSFIASFVSRFGRTGIYKAFMFGNPSVIVTMPETCKRVLNDDDAFKPGWPTSTVELIGKKSFIGIPYEEHKRLRRLTSAPVNGHEALSIYIPYIEDIVISTLDKWSKMGKIEFLTQLRKLTFRIIMYIFLSSESEEVMEALEREYTTLNYGVRAMAINVPGFAYYKALKARKNLVAAFQSVVNERRSQRKTNKSTKKKDMLDALMDVKDEKGETLDDEEIIDIMLMYLNAGHESSGHTTMWATIFLQQHPEFLEKAKAEQERIVKKRPPTQKGLTLKDIREMEYLSKVIDETLRLITFSLMVFREAKTDVKISGYTIPKGWKVLAWFRSIHLDPEIYPNPKEFDPSRWDDYNAKAGTFLPFGAGSRLCPGNDLAKLEISIFLHHFLLNYQLERLNPESKIRYLPHTRPHDNCLAVIKKNLPSPP >KJB67443 pep chromosome:Graimondii2_0_v6:10:54470670:54474102:-1 gene:B456_010G190900 transcript:KJB67443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVVMEITGSMWTVAFLAILAAFAFLKWVLERVNPWVYETRLGDERYSLPPGDLGWPFIGNMWSFLRAFRSDDPDSFIASFVSRFGRTGIYKAFMFGNPSVIVTMPETCKRVLNDDDAFKPGWPTSTVELIGKKSFIGIPYEEHKRLRRLTSAPVNGHEALSIYIPYIEDIVISTLDKWSKMGKIEFLTQLRKLTFRIIMYIFLSSESEEVMEALEREYTTLNYGVRAMAINVPGFAYYKALKARKNLVAAFQSVVNERRSQRKTNKSTKKKDMLDALMDVKDEKGETLDDEEIIDIMLMYLNAGHESSGHTTMWATIFLQQHPEFLEKAKAEQERIVKKRPPTQKGLTLKDIREMEYLSKVIDETLRLITFSLMVFREAKTDVKISGYTIPKGWKVLAWFRSIHLDPEIYPNPKEFDPSRWDDYNAKAGTFLPFGAGSRLCPGNDLAKLEISIFLHHFLLNYQLERLNPESKIRYLPHTRPHDNCLAVIKKNLPSPP >KJB64012 pep chromosome:Graimondii2_0_v6:10:11376535:11377466:-1 gene:B456_010G078500 transcript:KJB64012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEHLMCDIDGTGHHLTAAAIIGHDGSVWAQSSKFPQLKGNEITDIMKDFDQPGHLAPTGLHIEGVKYMVIQGEPGAVIRGKKGPGGITIKKTAQALIFGIYEEPVTPGQCNLVVERLGDYLAEQGL >KJB68302 pep chromosome:Graimondii2_0_v6:10:60782104:60782334:-1 gene:B456_010G237800 transcript:KJB68302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVRTKKQMNWVEMAHARLISPKISSSCSKLETILEEGCYEKSHEIWISNKIFLVLPLLISMVFLFLAMQGTLNF >KJB66330 pep chromosome:Graimondii2_0_v6:10:31270870:31274312:-1 gene:B456_010G136200 transcript:KJB66330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGGKLPSSIGHPSLKRLELQENLIIGSIPPEIRNLSNITMLNLTSNFLNGTIPEELSLLLMLERLLLSHNLFNIRIPLELAKLPHLGQLDLSNNKFYGEIPASFGDLLALRYLFLNNNLLSGTIPPQLFKCSNLYMLDLSYNKLTGRIPQEVIEIREIRGFINLSHNLFEGLLPIELSKLENVEEIDLSSNNLNGNIFPQISSCIAVKAINFSHNSLQGQLPESLGDLRNLESFDVSSNNIAGSIPMSLSKINLTFLNLSFNNFEGMVPTSGIFNSATYMSFLGNPRLCGVASTRVTCPRKKHWFQSRAFLIIFILVIFVSVLLSAVLCVIGVRRTKLMVASRRNERLRKPSTPEIMHKFPRISYKELLNATGGFDDRNLIGTGSYGRVYRGVLQDGTSIAVKLLHLQSGNSTKSLNRECQVLKRIRHRNLIRIITACSLPDFKALVLPYMANGSLDSRLYPQSESSSGQGSSNLSLIQRVRICSDIAEGVAYLHHLSPVRVIHCDLKPSNVLLNDDMTALVSDFGIARLVMTVAAGNGGGAIDDMGNSSANMLTGSIGYIAPEYGFGSNMSIRGDVYSFGVIVLEMVTRRRPTDDMFAGGFSLHKWVKNHYHGKVENVVDPSLIQASMEQSSEVKRMWEVGIAELIELGILCTQEIPSTRPTMLDAADDLDRLKRYLTGDTTATFASSLGISSSTIAAN >KJB68020 pep chromosome:Graimondii2_0_v6:10:59266317:59277122:-1 gene:B456_010G222100 transcript:KJB68020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQAHMSGQISGQAANQGGLPQQNGNLLQPAQMQSLGVGGASAAGGPPHTMSNMDPELHRTREYMRGKIIDVLKLRNQLPITEASMMKFRDFARRLEEGLFKIAHTKEDYTNLSTLEHRLQILLRGSRNVHNQRHPQLVNSASASASVGTMIPTPGISHSGNPSIVATSSIDKSTSAASASIAPTNVNTGSLLPSSGMTSASFTRSEGNISNGYQQLPANFPLASGGISSIGVQRMASQMIPTPGFNSNNNNGSINDQSSNNVGLSTVESTMVSQPQQQKPHGGQNSRILRTLGSQMGSGIRSGLQQKAFGLPHGSLNGALGMMGNNMHIVSEHGTPVGYQTTTAFASSPKPLQQNFDQHQLPVMQADGYGMNNADSFGSGNLYGAVTSVGSVTNSQNLNSVNLQSTSRTNTSLINNQSNLHAVQSAAHMKPQSMDEFEKMNFQPAVSSRDDILQVNQQKQFQHPSLQYQQQQFLQQQRQQKQQNLLSNSGYSQSPLASDIGSQVKCEPGVQHHDEVLYQQAPERFQLSELQNPFLQNHSEELANQQSMLSSLPQNSEQMQQMLHQDQLIQESQNDYKLPAVAQMESFAHSQWHPHSQDRAQIPGNISHEQPVQEEFRQRTSGPDKAQCNNLSADGSTIGPMVDARSSSEPFNSRGAISKSENGSHDRQYRNQVKWLLFLRHARSCKASEGKCDGYCFTVRKLLSHMNKCESSQCSYPRCLPSKILIRHYKTCTYPTCPVCVPVKNFIQAQKAHACRNSASVLPSDGDSTKINDAGDISARMTSRTASNDASVGVQPSQKRMKIEQSSQSVIAESEGPLGSVVAEPHISQDIQRQDYQHVDRCMPVKPEPMEVKTDVPVSSSGNPVFIEMKDDVDDISKQKIDGETVTLDEFGGLPMQESAKIEKEPDIVKQENVTESSESAAGTKSGKPKIKGVSLTELFTPEQVREHITGLRRWVGQSKAKAEKNQAMEHSMSENSCQLCAVERLTFEPPPIYCSPCGARIKRNAMYYTMGAGDTRHYFCIPCHNEARGDSIVVDGTAIPKSRLEKKKNDEEIEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYITEVERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFKRLKQERQERARVQGKSYDEVPGAEALVIRVVSSVDKKLEVKQRFLEIFQEQNYPLEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECAFPNQRRVYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKASRENIVVDLTNLYDHFFVTTGECKAKITAARLPYFDGDYWPGAAEDLINQFRLEEDGRKLNKKGTIKKTITKRALKASGQSDLSANASKDLLLMHKLGETICPMREDFIMVHLQHCCTHCCILMVSGNRWVCNQCKKFQICDKCHEAELKRDERERHPFNQREKHVLYPIEITDVSTDTTDQDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTPCCICHLDIETGQGWRCEVCPDYDVCNACYKKDGGIDHPHKLTNHPSMAERDAQNKEARQLRVLQLRKMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESQCHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGSSG >KJB68019 pep chromosome:Graimondii2_0_v6:10:59266317:59277641:-1 gene:B456_010G222100 transcript:KJB68019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQAHMSGQISGQAANQGGLPQQNGNLLQPAQMQSLGVGGASAAGGPPHTMSNMDPELHRTREYMRGKIIDVLKLRNQLPITEASMMKFRDFARRLEEGLFKIAHTKEDYTNLSTLEHRLQILLRGSRNVHNQRHPQLVNSASASASVGTMIPTPGISHSGNPSIVATSSIDKSTSAASASIAPTNVNTGSLLPSSGMTSASFTRSEGNISNGYQQLPANFPLASGGISSIGVQRMASQMIPTPGFNSNNNNGSINDQSSNNVGLSTVESTMVSQPQQQKPHGGQNSRILRTLGSQMGSGIRSGLQQKAFGLPHGSLNGALGMMGNNMHIVSEHGTPVGYQTTTAFASSPKPLQQNFDQHQLPVMQADGYGMNNADSFGSGNLYGAVTSVGSVTNSQNLNSVNLQSTSRTNTSLINNQSNLHAVQSAAHMKPQSMDEFEKMNFQPAVSSRDDILQVNQQKQFQHPSLQYQQQQFLQQQRQQKQQNLLSNSGYSQSPLASDIGSQVKCEPGVQHHDEVLYQQAPERFQLSELQNPFLQNHSEELANQQSMLSSLPQNSEQMQQMLHQDQLIQESQNDYKLPAVAQMESFAHSQWHPHSQDRAQIPGNISHEQPVQEEFRQRTSGPDKAQCNNLSADGSTIGPMVDARSSSEPFNSRGAISKSENGSHDRQYRNQVKWLLFLRHARSCKASEGKCDGYCFTVRKLLSHMNKCESSQCSYPRCLPSKILIRHYKTCTYPTCPVCVPVKNFIQAQKAHACRNSASVLPSDGDSTKINDAGDISARMTSRTASNDASVGVQPSQKRMKIEQSSQSVIAESEGPLGSVVAEPHISQDIQRQDYQHVDRCMPVKPEPMEVKTDVPVSSSGNPVFIEMKDDVDDISKQKIDGETVTLDEFGGLPMQESAKIEKEPDIVKQENVTESSESAAGTKSGKPKIKGVSLTELFTPEQVREHITGLRRWVGQSKAKAEKNQAMEHSMSENSCQLCAVERLTFEPPPIYCSPCGARIKRNAMYYTMGAGDTRHYFCIPCHNEARGDSIVVDGTAIPKSRLEKKKNDEEIEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYITEVERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFKRLKQERQERARVQGKSYDEVPGAEALVIRVVSSVDKKLEVKQRFLEIFQEQNYPLEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECAFPNQRRVYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKASRENIVVDLTNLYDHFFVTTGECKAKITAARLPYFDGDYWPGAAEDLINQFRLEEDGRKLNKKGTIKKTITKRALKASGQSDLSANASKDLLLMHKLGETICPMREDFIMVHLQHCCTHCCILMVSGNRWVCNQCKKFQICDKCHEAELKRDERERHPFNQREKHVLYPIEITDVSTDTTDQDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTPCCICHLDIETGQGWRCEVCPDYDVCNACYKKDGGIDHPHKLTNHPSMAERDAQNKEARQLRVLQLRKMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESQCHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGSSG >KJB65570 pep chromosome:Graimondii2_0_v6:10:18328650:18329510:1 gene:B456_010G101500 transcript:KJB65570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVGSSEGIRGSNKVPLLCNLKHPTLSCLNASRGPFGMLRCYHLVASLAKASPFLHRLKLRLSLYSTENSPHKYLEVLVSGFSGNKLNTDFACLFLTLSLNSKRLR >KJB67615 pep chromosome:Graimondii2_0_v6:10:56305436:56307641:1 gene:B456_010G200400 transcript:KJB67615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGVVHFTTGSLNMPIDKSDPNPNQSKASKFRWGELEEDDNLDFLLPPKEVIGPDENGIKKVIEYKFNDEGNKVKITTTTRVRKLAKARLNKRAVERRNWEKFGDAVHEDVGSRLTMVSTEEIILERPRAPGMKAEEIKVPGDSLAQLSKGGAVLMVCRTCGKKGDHWTSKCPYKDLAAPVETFVDKPVASEASVAASGSGKGAYVPPSMRAGAERTAGSDMRRRNDENSVRVTNLSEDTREPDLLELFRPFGAVTRVYVAMDQKTGTSRGFGFVNFVNREDAQRAINKLNGYGYDNLILRVEWATPRAN >KJB67616 pep chromosome:Graimondii2_0_v6:10:56305466:56307638:1 gene:B456_010G200400 transcript:KJB67616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGVVHFTTGSLNMPIDKSDPNPNQSKASKFRWGELEEDDNLDFLLPPKEVIGPDENGIKKVIEYKFNDEGNKVKITTTTRVRKLAKARLNKRAVERRNWEKFGDAVHEDVGSRLTMVSTEEIILERPRAPELLLTGMKAEEIKVPGDSLAQLSKGGAVLMVCRTCGKKGDHWTSKCPYKDLAAPVETFVDKPVASEASVAASGSGKGAYVPPSMRAGAERTAGSDMRRRNDENSVRVTNLSEDTREPDLLELFRPFGAVTRVYVAMDQKTGTSRGFGFVNFVNREDAQRAINKLNGYGYDNLILRVEWATPRAN >KJB66584 pep chromosome:Graimondii2_0_v6:10:38111494:38113369:1 gene:B456_010G144800 transcript:KJB66584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFNLISSVLLFFYIFDLAAEANPSFLYKFCYDKDTTSTTNSTYGTNLNLLLSFLTSNANRIDGFYNTTVGQQPPDRIYGLFLCRGDVTPDVCRDCVAAAARNATSLCPVEKNSVIWYDKCMLRYSNESIFSTMETRPGGTVWDNDTFTEEEDFVNIVASLVKDVASEAADAPMGAKKFATKEANLSGSQKLYSLAQCTPDISDVACNLCLESAITEFSDCCRRKEKATRASSLLPSCNVQDGLTPFYNKTAGEVSRSKPSPLPPRDSGKGKSSSQTIIYIIVPTVGFLVLFSAFCYCILRRKARMKPYLLKDQKVEAATDNFSDANMIGVGGFGSVYKGTLSNGQQIAVKRLSRSSKQAAEEFKNEVALVAKLQHRNLVRLLGFCVEREERMLIYEFVPNKSLDCFLFGLPLFNLKLSPKDTYMLWIKLLLMDIDTEKHKQLDWPTRLKIVKGTVRGLLYLHTDSRLKIVHRDLKPSNILLDEDMNPKISDFGMARIVEENHNLEYTKKIVGT >KJB68576 pep chromosome:Graimondii2_0_v6:10:61812528:61812603:-1 gene:B456_010G2515002 transcript:KJB68576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVAKKSSYSRIEGSPMDEFASKLF >KJB66607 pep chromosome:Graimondii2_0_v6:10:38645697:38647275:-1 gene:B456_010G147000 transcript:KJB66607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYSSNYYYEANSEFNEPEFEEYDPTPYGGGYDLDATYGKPLPPSEGICYPRSSGDPNDQSLKTFSYGSIESPYGKGVDKLVEKPSNGSKTATVKDQEQQTQGSNEAVNVDSNGKPLESYQGEEIKESYTDGYPSNRNVAIGDANGAEYEKRVSQIPPGYGLEAMDLCESLFGYWPCLDRAKRENEYRNCYGPQGVNCPWEETADYLFGNSYPYGERWGNGGIYENPIFNYERHYQGQLISRQMEYQEEHSWLN >KJB67521 pep chromosome:Graimondii2_0_v6:10:55451035:55453322:-1 gene:B456_010G194900 transcript:KJB67521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLSSSSSAVLRKARLSPYLFTLLAFIVFVSILYGEDFMCTLGQLEPIPGRAMSKPVKRRGKLAFAIGKGEEGCDIFRGRWVRDELTRPLYEESECPYIQPQLTCQEHGRPDKDYQKWRWQPRGCDLPRKGSFEEENKEIIKVSTEEAYGMGMRSMLRWVRKNMDRKKTRVFFTSMSPTHAKGIDWGGELGENCYNQTTMIEDPNYWGSDSRKSIMKVIGEVFSKSKYPITFLNITQLSNYRKDAHTSIYKKQWNPLTPEQLANPISYADCTHWCLPGLQDTWNELLFAKLFYP >KJB67520 pep chromosome:Graimondii2_0_v6:10:55451031:55453349:-1 gene:B456_010G194900 transcript:KJB67520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLSSSSSAVLRKARLSPYLFTLLAFIVFVSILYGEDFMCTLGQLEPIPGRAMSKPVKRRGKLAFAIGKGEEGCDIFRGRWVRDELTRPLYEESECPYIQPQLTCQEHGRPDKDYQKWRWQPRGCDLPSFNATLMLETLRGKRMMFVGDSLNRGQYVSMICLLHKLIPNDQKSMKTHKNGSLTVFKARDYNTSIEFYWAPFLLESNADNAVVHRISDRVVRKGSINKHGKNWKGVDILVFNTYLWWMTGLEMKVLKGSFEEENKEIIKVSTEEAYGMGMRSMLRWVRKNMDRKKTRVFFTSMSPTHAKGIDWGGELGENCYNQTTMIEDPNYWGSDSRKSIMKVIGEVFSKSKYPITFLNITQLSNYRKDAHTSIYKKQWNPLTPEQLANPISYADCTHWCLPGLQDTWNELLFAKLFYP >KJB66628 pep chromosome:Graimondii2_0_v6:10:39189961:39191812:-1 gene:B456_010G1480001 transcript:KJB66628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHYAKAIIICFSCDSEASFFPSTYPSKVPIKTLLIDGNCRVEDRGLKTQHGHMVYVLSVYNKKEKYNRITRIPLDFQQGDKFREAAISYINSLLTKGVPSLFSNLSPLYDHPGKADMLEHSIRINGRYPD >KJB65626 pep chromosome:Graimondii2_0_v6:10:18921509:18923773:1 gene:B456_010G104000 transcript:KJB65626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSEIRDVWIQRKRNSFIIPSPAEDEKNLRAKQFSQEGIRAGVKAAAVAAVVSAVPTLIAVRKIPWAKANLNHTAQALIISGASIAAYFITVDKTVLESARRNSRAQFDKTV >KJB65627 pep chromosome:Graimondii2_0_v6:10:18921589:18923739:1 gene:B456_010G104000 transcript:KJB65627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSEIRDVWIQRKRNSFIIPSPAEDEKNLRAKQFSQEGIRAGVKAAAVAAVVSAVPTLIAVRKIPWAKANLNHTAQALIISGGILESIAMGFSHKSQPMNS >KJB66602 pep chromosome:Graimondii2_0_v6:10:38582649:38584082:1 gene:B456_010G146500 transcript:KJB66602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMASMAGLRGSSQAVLNGSFQLSGQTRFNIPSNNRVAVGRSGFTVRAHQTPAEPETGRRAMLGLVAAGLATGSFVQAVLADARSIKVGPPPPPSGGLPGTLNSDEPRDLVLPYKDRFFLQPLTPAQAAQRAKESAKDILGVKNLIDKKAWPYVMNDLRLKAEYLRYDLNTVIAAKPKDEKKTLKDLTKKLFSTIDDLDHAAKIKSTPEAEKSYAQTVSSLNDVLAKLG >KJB67002 pep chromosome:Graimondii2_0_v6:10:49247664:49248587:-1 gene:B456_010G169700 transcript:KJB67002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDKRLTEIFCDIFIKEILKGNKPGTHFTKDGWLKIMTKFEKETGKGFSHKQLKNRVKSSKKTVDASDDWWESRLQVVPEAQKFRTSDVDPEFEGKLDQMFLGIVATGDKEWAPSSGTLRSDFFEDVNNEIPEENEEENMRNDVHILNDVHISNDVQIDGNGQQRKNPEISSPHFKTRRKKSSKQIGGTARLSSQIDKLYNAADNMSQATSSLTLVIDPYGIPQAVKMLDSMSEEVPEARPRYFFTLKLFLNKDK >KJB65533 pep chromosome:Graimondii2_0_v6:10:19899027:19901136:1 gene:B456_010G107600 transcript:KJB65533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLELKRTRTLRKQTGQIDNLLKSHLMRMRPWLNGNKEALEFKFHHVWVWFRYSGLPVRVQLVIQCLTRKLEEEYEMILKRTLQSICLLTINPNTTTSIIIQVVNDDGALLSCAINAACVALVDAGIPTEHLAG >KJB65532 pep chromosome:Graimondii2_0_v6:10:19899409:19900331:1 gene:B456_010G107600 transcript:KJB65532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLELKRTRTLRKQTGQIDNLLKSHLMRMRPWLNGNKEALEFKFHHVWVWFRYSGLPVRVQLVIQCLTRKLEEEYEMILKRTLQSICLLTINPNTTTSIIIQVVNDDGALLSCAINAACVALVDAGIPTEHLAVAICCCVAKSGCVILDPTRLEEQIIIEFPLLIYYIHDTWYRKL >KJB65534 pep chromosome:Graimondii2_0_v6:10:19899027:19901136:1 gene:B456_010G107600 transcript:KJB65534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGEDGRTQNQLRPLACSHNILYRAYGSASWSLRTRTLRKQTGQIDNLLKSHLMRMRPWLNGNKEALEFKFHHVWVWFRYSGLPLEEEYEMILKRTLQSICLLTINPNTTTSIIIQVVNDDGLLSCAINAACVALVDAGIPTEHLAVAICCCVAKSGCKMKAFAYLAFLNCVHSVLPEGSSSVQGEPIEHGIVTSVTNGIMSEDNYFYSLERGRAASVRVVRVSQEELATALN >KJB65531 pep chromosome:Graimondii2_0_v6:10:19899027:19900782:1 gene:B456_010G107600 transcript:KJB65531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLELKRTRTLRKQTGQIDNLLKSHLMRMRPWLNGNKEALEFKFHHVWVWFRYSGLPVRVQLVIQCLTRKLEEEYEMILKRTLQSICLLTINPNTTTSIIIQVVNDDGALLSCAINAACVALVDAGIPTEHLAVAICCCVAKSGCVILDPTRLEEQIIIEFPLLIYYIHDTWYRKL >KJB64892 pep chromosome:Graimondii2_0_v6:10:9196223:9196893:1 gene:B456_010G070500 transcript:KJB64892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTLLLLLLVLNPFLHVIFAETVYACLWSCIFFLHLAEVEIFSYSRYKRKKFSQSLCCLPGMMTL >KJB63949 pep chromosome:Graimondii2_0_v6:10:2141224:2143032:1 gene:B456_010G026400 transcript:KJB63949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMYQPSSRREVDSQVLDLETAVKDGVLGGGGGGGGGGGCGVVATGFGSEKLDLKLMIEELEPMDVPMVFICPISLEPMHDPVTLCTGQTYERSNILKWFSLGHCTCPTTMQELWDDSMTPNKTLQQLIHSWFSQKYLAMKKRSEDVQGRVKEILENLKKIKGQARVQALKELRQVVQVHGTAKKTVVENGGIGLISSLLGPFTTHAVGCEVIGVLVNLNLDLNSMSDLLQPAKISLMVDILNEGSVETKINCTRLIGMLIEGTDFASQNVASLSLLVGLLRLVKDKKHPNGVVAGLNLLKTICSHEPVRNSFVNVGAVPQLVELIPGLNNECLELVLHILELLSSTPEGRLALKDCPSTIPNVVKLLMKASENCTQLALSILWSICKFAPEECASLAVDAGLAAKLLLVIQSGCNPVLKQRSAELLKLCSLNYTTTIFISKCKLTRTIQ >KJB68656 pep chromosome:Graimondii2_0_v6:10:56135282:56135697:-1 gene:B456_010G1999003 transcript:KJB68656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CRLCFSNSLDKNLVKGKIVLCDTIRTNGIGALLAGAAGTVARDQDSIDYSSLFPLPASCFNLVDGRNIFQYVNSTSAPTATIFRSSEVNDSLAPYIISFSSRGPNPITPEIIK >KJB68655 pep chromosome:Graimondii2_0_v6:10:56135282:56135697:-1 gene:B456_010G1999003 transcript:KJB68655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CRLCFSNSLDKNLVKGKIVLCDTIRTNGIGALLAGAAGTVARDQDSIDYSSLFPLPASCFNLVDGRNIFQYVNSTSAPTATIFRSSEVNDSLAPYIISFSSRGPNPITPEIIK >KJB66149 pep chromosome:Graimondii2_0_v6:10:28013812:28019796:-1 gene:B456_010G129000 transcript:KJB66149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSPDQESVGSGTKRSSVSSGSKSRNHKEFLYRLVDCETFTANLEDWFQSLYEKSAQMKPVFDVPFELIDLQKFDYALEGVSFQQLIRMPNAVYASTSDSAEATAYLAVEDFLHATVKGLWEAFWSQDEPMPFSVACLYKENLKFYQAENAIASGRLGSLCASGVLLKNPRHPHGKWDNLLELALLRSGIQSLVGESEQQPSLHVLGEALFYALRMLISRSLSRQNLPLSSNSAFVLLVDSQYGGVVKVEGDVNKMDFDVNNVYKCAAEWIQRHARIAVSPVDRIWNKLGNANWGDIGALQVTFATFYCIMQFAGLPKHSIEDLAADHGCRLQTRRLERQLGDTRVNGSGLFRFQQHGVSPEIVEVQDESVKLESESLKLEVGSVLWLEDSNWERGYHINQIRSNGELPYYIASPVEDPGKSLFLYVGSHPSQLEPAWEDMNLWYLVQRQTKVLTIMKQKGLSGKYLPQLSASGRIIHPGQCRRPSSGGNCDHPWCGTPILVTSPVGETVADMVSEGHFGVDEAIRCCHDCLSALSTASSEGIRHGDIRPENVICVRSGESRPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYFACGGAFPDLDSVEGELQWRETSWSRRLIQQKLGDVSTVLKAFADYVDSLCGTPYPMDYDIWLRRFKRSISEEDHGKEIAASV >KJB65241 pep chromosome:Graimondii2_0_v6:10:12970882:12973051:-1 gene:B456_010G086000 transcript:KJB65241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEFGLSENGCCVSSTHLYWKKAADALHCSHFNEVTQMVSQFAEANTVEIQGTTLTVAQVTAISRRNQVMVSLDESTARDRVANSANWVTNNISRGTDTYGVTTGFGATSHRRTTKTADLQAELIRFLNAGVIGKENLPTSYSKAAMLVRANTLMQGYSGIRWEILEAMAELMNQNLIPKLPLRGTITASGDLVPLSYIAGLLTGRHNSKVVTLEGEEIEGIEALKRAGIGSPFELQAKEGLALVNGTAVGSAVAATVCFDANLLALLSVILSALFCEVMHGKPEFTDPLTHELKHHPGQIESAAIMKFLLDGSDYMKEAKIRHEKDPLTKPKQDRYAIRTSPQWLGPQIEVIRHATHSIEREINSVNDNPLIDVDRDIALHGGNFQGTPIGVSMDNLRIAIAAIGKLMFAQFSELVCDYYNNGLPSNLSGGSHPSLDYGFKGAEIAMAAYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISARKSAEAIEILKLMSSTFMVALCQAIDLRHLEENMREVVKQVLLQVVKKTLYIAEDGSLLESRFCEKGLLQVIEHQPVFSYLDDPMNPSYTLLPKLRQVLVERALKDCKGDENGFSIFRRIPVFIEELKARLEEELPKARTKFGNGEFGIANRIEKCRSYPIYGFVRTEIGTQLLIGEKRVSPGEDIEKVYEAINEGKLGAVLMRCLTN >KJB67734 pep chromosome:Graimondii2_0_v6:10:57268360:57271761:-1 gene:B456_010G207000 transcript:KJB67734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFSTVDGFLEITESVAEMIKFVANEPSVGLFYIQQHTENAIPNVVNLRNHVVEKSHEATLHTQDLEDSITTVRSMKECGFPIADEMIKDIKSSLMLMSSKQPKRGLIHSPASSFRMGRTSSSGPMSWNPAGNIQFDGSNYFSTVIKSAKQKASNFKWPQLDTKEQMETEPQKPPSQPAPPSIPDTEADELPVSGQMADEPNEEDEKEEPEDDVELSHHKLSFGSENFDEFKADKEAKLEQWLQGTEGKNG >KJB67733 pep chromosome:Graimondii2_0_v6:10:57269651:57271727:-1 gene:B456_010G207000 transcript:KJB67733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFSTVDGFLEITESVAEMIKFVANEPSVGLFYIQQHTENAIPNVVNLRNHVVEKSHEATLHTQDLEDSITTVRSMKECGFPIADEMIKDIKSSLMLMSSKQPKRGLIHSPASSFRMGRTSSSGPMSWNPAGNIQFDGSNYFSTVIKSAKQKASNFKWPQLDTKEQMETEPQKPPSQPAPPSIPDTEADELPVSGQMADEPNEEDEKEEPEDDVELSHHKLSFGSENFDEFKADKEAKLEQWLQGTEGKNG >KJB64066 pep chromosome:Graimondii2_0_v6:10:2715030:2715696:-1 gene:B456_010G031500 transcript:KJB64066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbH MATQTVEGSSRSGPRRTVVGDFLKPLNSEYGKVAPGWGTTPLMGVAMALFAIFLSIILEIYNSSVLLDGISMN >KJB64138 pep chromosome:Graimondii2_0_v6:10:6733784:6735189:-1 gene:B456_010G057900 transcript:KJB64138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWYSWLSKTSLEPSIVYKYGLAFSGNELQKEDLAYFNHEFLQSMGISVAKHRLEILKLARKEVREAPNSLSKLILAINKTRKCFNKYVNKLVHHENNATKPLPEPVSYSDKWREALSRRCKSDHKELKIEHPMVRTREIAKSGPLDYGGPEKLLVPPRSLKFSGPLDRKLQEKLVFSYRSPITSGPVDVAMTHRRPKVHGDINKEKSGGDLNYNTLWATLFEDMKPT >KJB68205 pep chromosome:Graimondii2_0_v6:10:60312399:60317729:1 gene:B456_010G231800 transcript:KJB68205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRIRELPQNSKIVATHTDSPDVLIWDVEVQPNRHAVLGAADSRPDLILTGHQENAEFALAMCPTEPFVLSGGKDKLVVLWSIHDHISTLAKEQGPTKSPGSTSTSTKHASKGGGGNDNHVEGPSVEARGIYQGHEDTVEDVQFCPSSAQEFCSVGDDSCLILWDARAGSTPAIKVEKAHNADIHCVDWNRHDINLILTGSADNTIHMFDRRNLSSGSPVHKLVGHSAAVLCVQWSPDKSSVFGSSAEDGILNIWDHEKIGKRQDTAGLKAPNAPPGLFFQHAGHRDKVVDFHWNTSDPWTIVSVSDDCESTNGGGTLQIWRMIDLIYRPVDEVLAELDKFKPHILGCEKN >KJB68204 pep chromosome:Graimondii2_0_v6:10:60310922:60317653:1 gene:B456_010G231800 transcript:KJB68204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEKGRSVEEKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKTRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVEVQPNRHAVLGAADSRPDLILTGHQENAEFALAMCPTEPFVLSGGKDKLVVLWSIHDHISTLAKEQGPTKSPGSTSTSTKHASKGGGGNDNHVEGPSVEARGIYQGHEDTVEDVQFCPSSAQEFCSVGDDSCLILWDARAGSTPAIKVEKAHNADIHCVDWNRHDINLILTGSADNTIHMFDRRNLSSGSPVHKLVGHSAAVLCVQWSPDKSSVFGSSAEDGILNIWDHEKIGKRQDTAGLKAPNAPPGLFFQHAGHRDKVVDFHWNTSDPWTIVSVSDDCESTNGGGTLQIWRMIDLIYRPVDEVLAELDKFKPHILGCEKN >KJB65653 pep chromosome:Graimondii2_0_v6:10:19192320:19193094:1 gene:B456_010G105200 transcript:KJB65653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSLPKEGNRGKMKMKGIEGDTSVGGAGRYIFNLTRIISEDIIFYVDVDAESLVEMKTSGVTRFNSIKQSILLFINSNHSIKPDHCLLA >KJB68012 pep chromosome:Graimondii2_0_v6:10:59252463:59257399:-1 gene:B456_010G222000 transcript:KJB68012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDGTPTFTVDDALLHMGFGKFQILVLAYAGMGWVSEAMEMMLLSFIGPAVQSLWGLTSHQESLITSVVFVGMLVGAYSWGVVSDKYGRRKGFLITAIVTSGAGFLSALSPNYISLIILRCLVGLGLGGGPVLCSWFLEFIPAPSRGTWMVVFQAFWTFGTIFEASLAWFVMPRLGWRWLLALSSIPSSILLLFYVFAPESPRYLCLEGRKEEALVVLEKIARINGAKLPTGVLVSENEIELVGKSTPTEDTLLLQAEDNGYEAPKEMNPKAGGISTLLQLLSPELVRSTTLLWMVFFGNAFSYYGLVLLTTELHNGRNTCRPEELQTVKYEGVSYKDVFITTFAEFPGLLISAFTVDRFGRKFSMSAMFFLCCIFLFPLVVHQPQGLTTGLLFGARICITASFTVMYIYAPEIYPTSVRSTGVGIASSMGRIGGMVCPLVAVGLVHGCHQTAAIMLFEVIIFVAGICVLLFPVETMGRDLSDSISSSKQTSGSI >KJB68013 pep chromosome:Graimondii2_0_v6:10:59253696:59256329:-1 gene:B456_010G222000 transcript:KJB68013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDGTPTFTVDDALLHMGFGKFQILVLAYAGMGWVSEAMEMMLLSFIGPAVQSLWGLTSHQESLITSVVFVGMLVGAYSWGVVSDKYGRRKGFLITAIVTSGAGFLSALSPNYISLIILRCLVGLGLGGGPVLCSWFLEFIPAPSRGTWMVVFQAFWTFGTIFEASLAWFVMPRLGWRWLLALSSIPSSILLLFYVFAPESPRYLCLEGRKEEALVVLEKIARINGAKLPTGVLVSENEIELVGKSTPTEDTLLLQAEDNGYEAPKEMNPKAGGISTLLQLLSPELVRSTTLLWMVFFGNAFSYYGLVLLTTELHNGRNTCRPEELQTVKYEGVSYKDVFITTFAEFPGLLISAFTVDRFGRKFSMSAMFFLCCIFLFPLVVHQPQGLTTGLLFGARICITASFTVMYIYAPEIYPTSVRSTGVGIASSMGRIGGMVCPLVAVGLVHGCHQTAAIMLFEVIIFVAGICVLLFPVETMGRDLSDSISSSKQTSGSI >KJB68009 pep chromosome:Graimondii2_0_v6:10:59252459:59257542:-1 gene:B456_010G222000 transcript:KJB68009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDGTPTFTVDDALLHMGFGKFQILVLAYAGMGWVSEAMEMMLLSFIGPAVQSLWGLTSHQESLITSVVFVGMLVGAYSWGVVSDKYGRRKGFLITAIVTSGAGFLSALSPNYISLIILRCLVGLGLGGGPVLCSWFLEFIPAPSRGTWMVVFQAFWTFGTIFEASLAWFVMPRLGWRWLLALSSIPSSILLLFYVFAPESPRYLCLEGRKEEALVVLEKIARINGAKLPTGVLVSENEIELVGKSTPTEDTLLLQAEDNGYEAPKEMNPKAGGISTLLQLLSPELVRSTTLLWMVFFGNAFSYYGLVLLTTELHNGRNTCRPEELQTVKYEGVSYKDVFITTFAEFPGLLISAFTVDRFGRKFSMSAMFFLCCIFLFPLVVHQPQGLTTGLLFGARICITASFTVMYIYAPEIYPTSVRSTGVGIASSMGRIGGMVCPLVAVGLVHGCHQTAAIMLFEVIIFVAGICVLLFPVETMGRDLSDSISSSKQTSGSI >KJB68008 pep chromosome:Graimondii2_0_v6:10:59252466:59257382:-1 gene:B456_010G222000 transcript:KJB68008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDGTPTFTVDDALLHMGFGKFQILVLAYAGMGWVSEAMEMMLLSFIGPAVQSLWGLTSHQESLITSVVFVGMLVGAYSWGVVSDKYGRRKGFLITAIVTSGAGFLSALSPNYISLIILRCLVGLGLGGGPVLCSWFLEFIPAPSRGTWMVVFQAFWTFGTIFEASLAWFVMPRLGWRWLLALSSIPSSILLLFYVFAPESPRYLCLEGRKEEALVVLEKIARINGAKLPTGVLVSENEIELVGKSTPTEDTLLLQAEDNGYEAPKEMNPKAGGISTLLQLLSPELVRSTTLLWMVFFGNAFSYYGLVLLTTELHNGRNTCRPEELQTVKYEGVSYKDVFITTFAEFPGLLISAFTVDRFGRKFSMSAMFFLCCIFLFPLVVHQPQGLTTGLLFGARICITASFTVMYIYAPEIYPTSVRSTGVGIASSMGRIGGMVCPLVAVGLVHGCHQTAAIMLFEVIIFVAGICVLLFPVETMGRDLSDSISSSKQTSGSI >KJB68011 pep chromosome:Graimondii2_0_v6:10:59252466:59257382:-1 gene:B456_010G222000 transcript:KJB68011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDGTPTFTVDDALLHMGFGKFQILVLAYAGMGWVSEAMEMMLLSFIGPAVQSLWGLTSHQESLITSVVFVGMLVGAYSWGVVSDKYGRRKGFLITAIVTSGAGFLSALSPNYISLIILRCLVGLGLGGGPVLCSWFLEFIPAPSRGTWMVVFQAFWTFGTIFEASLAWFVMPRLGWRWLLALSSIPSSILLLFYVFAPESPRYLCLEGRKEEALVVLEKIARINGAKLPTGVLVSENEIELVGKSTPTEDTLLLQAEDNGYEAPKEMNPKAGGISTLLQLLSPELVRSTTLLWMVFFGNAFSYYGLVLLTTELHNGRNTCRPEELQTVKYEGVSYKDVFITTFAVPRPPDICFHCRSIWS >KJB68010 pep chromosome:Graimondii2_0_v6:10:59253030:59257382:-1 gene:B456_010G222000 transcript:KJB68010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDGTPTFTVDDALLHMGFGKFQILVLAYAGMGWVSEAMEMMLLSFIGPAVQSLWGLTSHQESLITSVVFVGMLVGAYSWGVVSDKYGRRKGFLITAIVTSGAGFLSALSPNYISLIILRCLVGLGLGGGPVLCSWFLEFIPAPSRGTWMVVFQAFWTFGTIFEASLAWFVMPRLGWRWLLALSSIPSSILLLFYVFAPESPRYLCLEGRKEEALVVLEKIARINGAKLPTGVLVSENEIELVGKSTPTEDTLLLQAEDNGYEAPKEMNPKAGGISTLLQLLSPELVRSTTLLWMVFFGNAFSYYGLVLLTTELHNGRNTCRPEELQTVKYEGVSYKDVFITTFAEFPGLLISAFTVDRFGRKFSMSAMFFLCCIFLFPLVVHQPQGLTTGLLFGARICITASFTVMYIYAPEIYPTSVRSTGVGIASSMGRIGGMVCPLVAVGLVHGCHQTAAIMLFEVIIFVAGICVLLFPVETMGRDLSDSISSSKQTSGSI >KJB65040 pep chromosome:Graimondii2_0_v6:10:11242183:11244984:-1 gene:B456_010G077800 transcript:KJB65040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFQRFLYITFIFFLLNSGHALCHTKGIRFRSSKGKHSHRQSTMTGTHGSEQQFMQWVKFVGSLNHSVFKTAKNKLFPSYTLTVDKNPAKGDFTTIQDAIDSLPLVNLVRVVIKVHAGVYTEKVNIPPFKSFITIEGSGADETIVQWGDTAQTAGPRGQPLGTYASATFAVNSPYFIAKNITFKNTTPVPPPGAVGKQAVAFRISADTATFLGCKFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNGLSLFEGCHVHAIATLTGAVTAQGRGSMLDDTGFSFVNCKVTGSGALYLGRAWGPFSRVIFAYTYMDNIIIPKGWYNWGDPSREMTVFYGQYKCTGPGASFAGRVSWSRELTDEEAKPFLSLSFIDGSEWIKL >KJB67416 pep chromosome:Graimondii2_0_v6:10:54360345:54361605:-1 gene:B456_010G190200 transcript:KJB67416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKVDLQCYRCYQKVKKVLCKYPEIRDQKYDEKANTVTITVVSIDPQCIKTKISCKAGSCIKSIEIKQPEKKTTEKDKPPKKEPETKVVWILCKVCNTWHPEGPCNQTIYIPLPLAKACNENCGKKMCCNGCGGSGCKSCLGHGWISWYCGACSSSKASCKGCGSSSCSGCSNWSSWSCSGCGSSSCGGCCTGCGSRSCGGGCSGSGGYGYGYGYGYYNNWSCRGCNSTSCGGCKGSISSSCKGCGSFWCKGCGGGGGGGGSCGCGSCGRCYGGTEHKTSGYCTPM >KJB63915 pep chromosome:Graimondii2_0_v6:10:1924419:1925125:1 gene:B456_010G024000 transcript:KJB63915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNYLINEGHDDGCYEGNSMEWLNLSLGRHRDIVASKSGLRSNYASNKIFSCNFCRRKFYSSQALGGHQNAHKRERGRCQSERMMPMVSLPMTQSLGLQPHSLVCQLNKKQRRSVARFNNSKKEIMKAWIGSIDNKTTSKWIGSYQVDFQPYKPPPESLKVDLELRL >KJB68573 pep chromosome:Graimondii2_0_v6:10:61805023:61806829:-1 gene:B456_010G251300 transcript:KJB68573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFMVIFSEMAVIMVLSFKTPFRKLVIMGLDRLKRGRGPVVVKTVAGTVFVVMVSSVYSMMEIQKRWVDDDGPVSSTDQVLMVRHLLEATLMGATIFLALMIDRLHHYIRELRIRRKTMDAVKKQGQGSDDKKPDGFDKVKSLEEEVMTLREKLKQLESDIEAKTKQINAAEVNTVALRKQSEGLLLEYDRLLEENESLRGQLQSLDRKLSRSDSKKNL >KJB67165 pep chromosome:Graimondii2_0_v6:10:52503432:52505210:1 gene:B456_010G178900 transcript:KJB67165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMDLFIIGLALIFIRLWWRYSSVAGGGPKNLPPGPPGWPFVGNLIQIILQRRHFVFIVGELRKLYGPIFTLRMGQRTMVIVTDSTLIHEALVQKGHTFASRPPDSPIRLVFSVGKCAINSAEYGPLWRSLRKNFVTELITPTRVKQCSWIRKWAIENHMKRIKSEAFENGFVEVMSNCRLTICSILICLCFGAKISEERIKKIESILKDVMLVTSPQLPDFLPILTPLFRRQMKEAKALRKRQLECLAPLIKNRRAFVEKGENPNQEMVSPIGAAYIDSLFGLEPPTRGPLGEEEYVTLCSEVISAGTDTSTTTVEWAMLNLVMNQDIQEKLYQEIVDCVGKDGDIKEEDIEKMPYLEAVVKETLRRHPPGHFLLSHAAIKDTELGGYTIPAGVHVEFYTAWITENPDIWSDPGEFRPERFLQGDGVGVDVTGTRAVKMVPFGAGRRICPAWNLGILHINLLIAKMVQAFKWVPVPGAPPDLTETYSFTVVMKNPLKAVIMRR >KJB63958 pep chromosome:Graimondii2_0_v6:10:2168199:2172414:1 gene:B456_010G026900 transcript:KJB63958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIGEETPSRFELLSVVRKHSNLLGKTTVDEEDATDVEMDGKFWHDVFDLYFVRGKESRGRQDDDLIFFVRKWKGHGFNESEESVAPYFVRSHNVLRNHQVGQDTPLSAIYKVVKTVYASPSRVNFHLDSKKEVETTPAYPDICFAIDDFDFTFDAVVLTETDHCYCVLLNALDGAAFPSEKDTNDSSSSNKVPLRVDTNSMKTKNSKLTLFSGFVSYQMVRDAYDAGSSGFGSLLSMGHSSGKKDRLYMKGPGGRGEVEVAVSGVVDQSKEDSGPFSPIISKKGFGLGSIVRKAASVASVAAKNAYAAASATSSSDEEMIPLKCCLMSITLPWEHIAYDLLFKGSPPVNL >KJB63955 pep chromosome:Graimondii2_0_v6:10:2168100:2172534:1 gene:B456_010G026900 transcript:KJB63955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIGEETPSRFELLSVVRKHSNLLGKTTVDEEDATDVEMDGKFWHDVFDLYFVRGKESRGRQDDDLIFFVRKWKGHGFNESEESVAPYFVRRWAPELDKLVGDSLSVVDWRRSFYLNMIAHTSYSVTVAICSHNVLRNHQVGQDTPLSAIYKVVKTVYASPSRVNFHLDSKKEVETTPAYPDICFAIDDFDFTFDAVVLTETDHCYCVLLNALDGAAFPSEKDTNDSSSSNKVPLRVDTNSMKTKNSKLTLFSGFVSYQMVRDAYDAGSSGFGSLLSMGHSSGKKDRLYMKGPGGRGEVEVAVSGVVDQSKEDSGPFSPIISKKGFGLGSIVRKAASVASVAAKNAYAAASATSSSDEEMIPLKCCLMSITLPWEHIAYDLLFKGSPPVNL >KJB63956 pep chromosome:Graimondii2_0_v6:10:2168199:2171708:1 gene:B456_010G026900 transcript:KJB63956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIGEETPSRFELLSVVRKHSNLLGKTTVDEEDATDVEMDGKFWHDVFDLYFVRGKESRGRQDDDLIFFVRKWKGHGFNESEESVAPYFVRRWAPELDKLVGDSLSVVDWRRSFYLNMIAHTSYSVTVAICSHNVLRNHQVGQDTPLSAIYKVVKTVYASPSRVNFHLDSKKEVETTPAYPDICFAIDDFDFTFDAVVLTETDHCYCVLLNALDGAAFPSEKDTNDSSSSNKVPLRVDTNSMKTKNSKLTLFSGFVSYQMVRDAYDAGSSGFGSLLSMGHSSGKKDRLYMKGPGGRGEVEVAVSGVVGQ >KJB63957 pep chromosome:Graimondii2_0_v6:10:2169119:2172116:1 gene:B456_010G026900 transcript:KJB63957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAHTSYSVTVAICSHNVLRNHQVGQDTPLSAIYKVVKTVYASPSRVNFHLDSKKEVETTPAYPDICFAIDDFDFTFDAVVLTETDHCYCVLLNALDGAAFPSEKDTNDSSSSNKVPLRVDTNSMKTKNSKLTLFSGFVSYQMVRDAYDAGSSGFGSLLSMGHSSGKKDRLYMKGPGGRGEVEVAVSGVVDQSKEDSGPFSPIISKKGFGLGSIVRKAASVASVAAKNAYAAASATSSSDEEMIPLKCCLMSITLPWEHIAYDLLFKGSPPVNL >KJB68642 pep chromosome:Graimondii2_0_v6:10:62102349:62104532:1 gene:B456_010G255900 transcript:KJB68642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGTRILWDHRNLMSHQVFKLPENPDSDSSGMEFSFLEDGDDGDQIVGIHNDGDEDGDDDDDDDERKESDGSGGENKEEFWEIQHQVLEATVCRISSLESRIRIAIKEALRDIKRETTTVVCGCGGKSMAEGCRVCFMREVFRRLQTAGFNTAICRSKWRSTSHIPSGEHSFLDVIENSSKGDVRVIIELNFRAEFEMARASEDYNRLVRRLPEVFVGKVERLNDVIKILCLAAKKCMKEKKMHMGPWRKQSYMQAKWLKPCERNTSTRSLPVGDSGRLPKPRASMLTVDLLENFSDVCCTAVEVV >KJB64051 pep chromosome:Graimondii2_0_v6:10:2632075:2638457:1 gene:B456_010G030700 transcript:KJB64051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPESDRQRAARGKNPMSSSVRPAGRARVPLRQLFRVASVACGIQFGWALQLSLLTPYVQELGIPHQWASIIWLCGPLSGLLVQPLVGHMSDRCTSKFGRRRPFIVAGAILIVVAVLIIGHSADIGWLFGDNKSSRPGAVLAFVFGFWILDVANNTTQGPCRALLADLTGKDHRRTRVANAYFSLFMAVGNVLGYATGSYSGWYKILPFTMTDACDVDCANLKSAFFLDIIFIAITTYLSVLAAKEVPLGSRDRSTPFQEEGLEGGQAEEAFLWELFGTFRYFSAPIWIVLSVTALNWIGWFPFLLFDTDWMGREIYGGQPNEGDNYNAGVRMGAFGLMLNSVVLGITSVLMEKLCSKWGAGFIWGVSNILMALCFLAMLVLSYVANHLDYIGHGLPPVGIVIGALVIFSFLGIPLAITYSVPYALISSRIESLGLGQGLSMGVLNLAIVIPQVVVSLGSGPWDQLFGGGNSPAFAVAGVAALTGGLVAILAIPRSSSQKPRVVLP >KJB64053 pep chromosome:Graimondii2_0_v6:10:2632162:2637360:1 gene:B456_010G030700 transcript:KJB64053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPESDRQRAARGKNPMSSSVRPAGRARVPLRQLFRVASVACGIQFGWALQLSLLTPYVQELGIPHQWASIIWLCGPLSGLLVQPLVGHMSDRCTSKFGRRRPFIVAGAILIVVAVLIIGHSADIGWLFGDNKSSRPGAVLAFVFGFWILDVANNTTQGPCRALLADLTGKDHRRTRVANAYFSLFMAVGNVLGYATGSYSGWYKILPFTMTDACDVDCANLKSAFFLDIIFIAITTYLSVLAAKEVPLGSRDRSTPFQEEGLEGGQAEEAFLWELFGTFRYFSAPIWIVLSVTALNWIGWFPFLLFDTDWMGREIYGGQPNEGDNYNAGVRMGAFGLMLNSVVLGITSVLMEKLCSKWGAGFIWGVSNILMALCFLAMLVLSYVANHLDYIGHGLPPVGIVIGALVIFSFLGIPLAITYSVPYALISSRIESLGLGQGLSMGVLNLAIVIPQVVVSLGSGPWDQLFGGGNSPAFAVAGVAALTGGLVAILAIPRSSSQKPRVVLP >KJB64052 pep chromosome:Graimondii2_0_v6:10:2632227:2638425:1 gene:B456_010G030700 transcript:KJB64052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPESDRQRAARGKNPMSSSVRPAGRARVPLRQLFRVASVACGIQFGWALQLSLLTPYVQELGIPHQWASIIWLCGPLSGLLVQPLVGHMSDRCTSKFGRRRPFIVAGAILIVVAVLIIGHSADIGWLFGDNKSSRPGAVLAFVFGFWILDVANNTTQGPCRALLADLTGKDHRRTRVANAYFSLFMAVGNVLGYATGSYSGWYKILPFTMTDACDVDCANLKSAFFLDIIFIAITTYLSVLAAKEVPLGSRDRSTPFQEEGLEGGQAEEAFLWELFGTFRYFSAPIWIVLSVTALNWIGWFPFLLFDTDWMGREIYGGQPNEGDNYNAGVRMGAFGLMLNSVVLGITSVLMEKLCSKWGAGFIWGVSNILMALCFLAMLVLSYVANHLDYIGHGLPPVGIVIGALVIFSFLGIPLAITYSVPYALISSRIESLGLGQGLSMGVLNLAIVIPQVVVSLGSGPWDQLFGGGNSPAFAVAGVAALTGGLVAILAIPRSSSQKPRVVLP >KJB65617 pep chromosome:Graimondii2_0_v6:10:18789668:18794952:1 gene:B456_010G103500 transcript:KJB65617 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigC [Source:Projected from Arabidopsis thaliana (AT3G53920) UniProtKB/Swiss-Prot;Acc:O24621] MVGKSHEPIRGNRSSSCASPQTCKASRYNVLKDNLNVLEETFVDSDVLRLEREILLQLGRLGALNLFNIFLSHKPSNIFDLSDVAANSVECNMNGLVDSEKDKIIVSSRKNKQRRKRREKAVETTIISTQLLPTNTLHGRYQKPKRSSPKRMSDSRKRRLAVARNEVEMSKGVKVVANLERIRTTLEEETGRMVSLSCWAEAAKLTEKALQQHLHYGWYCRDELIRTTRSLVLYFARNYRGLGIAHEDLIQAGSIGVLQGAERFDHTRGYKFSTYIHYWIRKSISRMVARHARGIQVPCTLSRAIVQIQKARKALSKSHGKYPEEDEIAKITGLSLSKIRSANKCFRVVGSIDQKIGDCINAKYLEFIPDASICSPEKTVMRQHMKEDIHDLLNGLEKRERQVMVLRYGLTGSPPKSLEEIGRLFRVSKEWIRRIEMKAMAKLRDKETCRNLSHYLDS >KJB65616 pep chromosome:Graimondii2_0_v6:10:18789578:18794952:1 gene:B456_010G103500 transcript:KJB65616 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigC [Source:Projected from Arabidopsis thaliana (AT3G53920) UniProtKB/Swiss-Prot;Acc:O24621] MGFRLNLKGVFPVPSHFLPNYSPSRLPSSSSSSSSSSSSVRGKEASFNSTRLSFLSVISDEGVFLSKDPPKAYASPAALQTLENGCSDKEEKVNIDKGPQSRNCSHVMVGKSHEPIRGNRSSSCASPQTCKASRYNVLKDNLNVLEETFVDSDVLRLEREILLQLGRLGALNLFNIFLSHKPSNIFDLSDVAANSVECNMNGLVDSEKDKIIVSSRKNKQRRKRREKAVETTIISTQLLPTNTLHGRYQKPKRSSPKRMSDSRKRRLAVARNEVEMSKGVKVVANLERIRTTLEEETGRMVSLSCWAEAAKLTEKALQQHLHYGWYCRDELIRTTRSLVLYFARNYRGLGIAHEDLIQAALSYCMGPLTYHAGSIGVLQGAERFDHTRGYKFSTYIHYWIRKSISRMVARHARGIQVPCTLSRAIVQIQKARKALSKSHGKYPEEDEIAKITGLSLSKIRSANKCFRVVGSIDQKIGDCINAKYLEFIPDASICSPEKTVMRQHMKEDIHDLLNGLEKRERQVMVLRYGLTGSPPKSLEEIGRLFRVSKEWIRRIEMKAMAKLRDKETCRNLSHYLDS >KJB65615 pep chromosome:Graimondii2_0_v6:10:18789578:18794952:1 gene:B456_010G103500 transcript:KJB65615 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigC [Source:Projected from Arabidopsis thaliana (AT3G53920) UniProtKB/Swiss-Prot;Acc:O24621] MGFRLNLKGVFPVPSHFLPNYSPSRLPSSSSSSSSSSSSVRGKEASFNSTRLSFLSVISDEGVFLSKDPPKAYASPAALQTLENGCSDKEEKVNIDKGPQSRNCSHVMVGKSHEPIRGNRSSSCASPQTCKASRYNVLKDNLNVLEETFVDSDVLRLEREILLQLGRLGALNLFNIFLSHKPSNIFDLSDVAANSVECNMNGLVDSEKDKIIVSSRKNKQRRKRREKAVETTIISTQLLPTNTLHGRYQKPKRSSPKRMSDSRKRRLAVARNEVEMSKGVKVVANLERIRTTLEEETGRMVSLSCWAEAAKLTEKALQQHLHYGWYCRDELIRTTRSLVLYFARNYRGLGIAHEDLIQAGSIGVLQGAERFDHTRGYKFSTYIHYWIRKSISRMVARHARGIQVPCTLSRAIVQIQKARKALSKSHGKYPEEDEIAKITGLSLSKIRSANKCFRVVGSIDQKIGDCINAKYLEFIPDASICSPEKTVMRQHMKEDIHDLLNGLEKRERQVMVLRYGLTGSPPKSLEEIGRLFRVSKEWIRRIEMKAMAKLRDKETCRNLSHYLDS >KJB66751 pep chromosome:Graimondii2_0_v6:10:43506147:43522905:1 gene:B456_010G156200 transcript:KJB66751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKIYQFGDFITESFGDGGWGASLANHFSRTVDVVLRGYSGYNKRLALKIVDRVFPGAESSGAAAPLAVTVFFGANDACLPDRYGAFQHVPLDEYKRNLHSIVASLKKRWPSTLILLITPPPIDEVQRIRHPYVENPLGLPERTNEAAGAFAKACVETAGECGISVVDLWTRMQHYPDWRNAFLSEIHLVVSEDVGVIYKTSLNFWCSLLFLFIFQYFVGYNCSDIVCY >KJB63481 pep chromosome:Graimondii2_0_v6:10:59613:60709:-1 gene:B456_010G001500 transcript:KJB63481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGAHYTPPPLLSPSPPPAINSTATGGNQHPMLVSVLLALFLPCAGMSAVFIVYICLLWYATNHRSDNSGSSSVKQAGEKGLSVSELEKLPKVTGKDLVLGTECAVCLDEIEAEQSARMVPGCNHGFHLQCADTWLSKNSICPVCRAKLEPKLFDPPGDDNPC >KJB68346 pep chromosome:Graimondii2_0_v6:10:60956029:60959076:-1 gene:B456_010G240000 transcript:KJB68346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLITFGQFKEQIKIKETEESERKSREKKMGLEENLKSMNINEEENKNPNPNTKDEEDGELEEGEIAGEEDDTTSSSLKKGVVEQPHPLEHSWTFWFDNPSAKSKQATWGSSMRPIYTFSTIEQFWSLYNNIHHPSKLPHGADFHCFKHKIEPKWEDPVCANGGKWTVSFPKGKSDTSWLYTLLALIGEQFEYGDEICGAVVSVRGKLEKIALWTKNAANETAQVSIGKQWKELLDYNDTIGFIFHDDAKKLDRGAKNRYTV >KJB68111 pep chromosome:Graimondii2_0_v6:10:59833100:59834274:-1 gene:B456_010G227000 transcript:KJB68111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGDWNCRSCQHLNFQRRDNCQRCGESRYGIRVGSTLGFTAGSDVRPGDWYCTAGNCGTHNFASRSTCFNCGAFKDESAGGFDFDMSRSRGFGGNRSGWKSGDWICTRLGCNEHNFASRMECFRCSAPREFNNRASY >KJB68110 pep chromosome:Graimondii2_0_v6:10:59833413:59834274:-1 gene:B456_010G227000 transcript:KJB68110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGDWNCRSCQHLNFQRRDNCQRCGESRYGIRVGSTLGFTAGSDVRPGDWYCTAGNCGTHNFASRSTCFNCGAFKDESAGGFDFDMSRSRGFGGNRSGWKSGDWICTRLGCNEHNFASRMECFRCSAPREFNNRASY >KJB65536 pep chromosome:Graimondii2_0_v6:10:17521780:17524608:-1 gene:B456_010G099300 transcript:KJB65536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLKLKVTMDLSVVLVVGFCFVLLGNGVQSDRDDKKLQSLPQDQPYRTGYHFQPPKNWMNGPMYYKGVYHLFYQYNPYAAVWGAITWAHSISYDLVNWIHLDIALSPDDPFDINGCWSGSTTFLSGGKPVILYTGGVTMNRQVQNLAEPKNLSDPMLREWVKSSHNPIITHVDGMDSENFRDPTTAWQGPDGLWRVLVGNEMNSHGRALLYRSRDFVTWTQSKEPIHSSTRTGMWECPDFYPVSLDGKNGVDTSSLDKFTKHVLKASFDSSDHYVLGNYTAVTDNFSVDTDFLENGSDLRYDYGNFYASKTFFDSAKKRRILWAWIQESDSTTDDIKKDWSGLQSFPRSILLSKTGKQLIQWPVEEIEKQRTVNVSFKNKELKGGSVLEISGITASQADVEVSFNSSNLKEADLLDPSWVDPQLLCSQKAASVGGNIGPFGILALASKDLTEQTSIFFRVFRSNDKYVVLMCSDQSRSSLEEGPKKTIYGAFIDMDPLNEMISLRSLIDHSIVESFGGEGRACITARVYPKLAIDNQAYLYAFNNGTFDVNISTLNAWSMKKAQIVSFTKRRKPHLN >KJB65537 pep chromosome:Graimondii2_0_v6:10:17522099:17524417:-1 gene:B456_010G099300 transcript:KJB65537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLKLKVTMDLSVVLVVGFCFVLLGNGVQSDRDDKKLQSLPQDQPYRTGYHFQPPKNWMNDPNGPMYYKGVYHLFYQYNPYAAVWGAITWAHSISYDLVNWIHLDIALSPDDPFDINGCWSGSTTFLSGGKPVILYTGGVTMNRQVQNLAEPKNLSDPMLREWVKSSHNPIITHVDGMDSENFRDPTTAWQGPDGLWRVLVGNEMNSHGRALLYRSRDFVTWTQSKEPIHSSTRTGMWECPDFYPVSLDGKNGVDTSSLDKFTKHVLKASFDSSDHYVLGNYTAVTDNFSVDTDFLENGSDLRYDYGNFYASKTFFDSAKKRRILWAWIQESDSTTDDIKKDWSGLQSFPRSILLSKTGKQLIQWPVEEIEKQRTVNVSFKNKELKGGSVLEISGITASQADVEVSFNSSNLKEADLLDPSWVDPQLLCSQKAASVGGNIGPFGILALASKDLTEQTSIFFRVFRSNDKYVVLMCSDQSRSSLEEGPKKTIYGAFIDMDPLNEMISLRSLIDHSIVESFGGEGRACITARVYPKLAIDNQAYLYAFNNGTFDVNISTLNAWSMKKAQIVSFTKRRKPHLN >KJB65535 pep chromosome:Graimondii2_0_v6:10:17522810:17524417:-1 gene:B456_010G099300 transcript:KJB65535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLKLKVTMDLSVVLVVGFCFVLLGNGVQSDRDDKKLQSLPQDQPYRTGYHFQPPKNWMNDPNGPMYYKGVYHLFYQYNPYAAVWGAITWAHSISYDLVNWIHLDIALSPDDPFDINGCWSGSTTFLSGGKPVILYTGGVTMNRQVQNLAEPKNLSDPMLREWVKSSHNPIITHVDGMDSENFRDPTTAWQGPDGLWRVLVGNEMNSHGRALLYRSRDFVTWTQSKEPIHSSTRTGMWECPDFYPVSLDGKNGVDTSSLDKFTKHVLKASFDSSDHYVLGNYTAVTDNFSVDTDFLENGSDLRYDYGNFYASKTFFDSAKKRRILWAWIQESDSTTDDIKKDWSGLQSFPRSILLSKTGKQLIQWPVEEIEKQRTVNVSFKNKELKGGSVLEISGITASQV >KJB68654 pep chromosome:Graimondii2_0_v6:10:62168047:62168479:-1 gene:B456_010G257000 transcript:KJB68654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVISYKSYTATTANSRLLFRNFSDGSNSDGILVISDTKKSVKKLAIENSVLVFTRHGCCMCHVMNRLLLGPGVNSQFARSRKKRNWKVISTHISGELVPILKDVGAL >KJB65356 pep chromosome:Graimondii2_0_v6:10:14435561:14442171:1 gene:B456_010G091400 transcript:KJB65356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSMVSPVCTCLVAGCMSVTCGKDQSQSSFLRSSAFASSSKRHGRWARTRRRALLSQCSGRGNGSTKNQDGSMISAFYGFGIQGLMASWLTFEPCNDYYSSKNCSLFGQNRSFSYFFGPKILPNRKQRRLNGGAACSGEAMAVAPQPTREITTKKKPPTDQRRVVVTGMGVVTPLGHDLDVFYNKLLEGSSGISEIETFDCAQFPTRIAGEIKFVSADGWVAPKLSKRMDKFMLYMLIAGKKALKDGGVTEDVMDELDKAKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIISGEADMMLCGGSDAAIIPIGLGGFVACRALSQRNNDPTKASRPWDINRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFNSDAYHLTEPHPDGVGAILCIEKALAQSGVAREDVNYINAHASSTAVGDIKEFQALLHCFGKNPKLRVNSTKSMTGHLLGAAGAVEAVAAIQAIRTGWVHPNINLENPDEGVDANVLVGPKKERLNVKAALSNSFGFGGHNSSIIFMPYK >KJB65355 pep chromosome:Graimondii2_0_v6:10:14435561:14442171:1 gene:B456_010G091400 transcript:KJB65355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIPPKIAAFLVKIEAFLISLDPKSFPIASKEDSMEELPVLRIAGEIKFVSADGWVAPKLSKRMDKFMLYMLIAGKKALKDGGVTEDVMDELDKAKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIISGEADMMLCGGSDAAIIPIGLGGFVACRALSQRNNDPTKASRPWDINRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFNSDAYHLTEPHPDGVGAILCIEKALAQSGVAREDVNYINAHASSTAVGDIKEFQALLHCFGKNPKLRVNSTKSMTGHLLGAAGAVEAVAAIQAIRTGWVHPNINLENPDEGVDANVLVGPKKERLNVKAALSNSFGFGGHNSSIIFMPYK >KJB65545 pep chromosome:Graimondii2_0_v6:10:17643731:17649980:1 gene:B456_010G099700 transcript:KJB65545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSVQKLCIHLISSAVQRCRLSEDLCRLSVVLKSSPPIIRVSISDTGTGSCLEEFQDLKCNREGIGTEKWDGLLSIKTTSISDNKIYHYHLNLRGSVSARRLTRLPSIPKNDAKFSGTEVCLSISETIDALLKDIKHYFQKMLILKIPSVAAELVIERGDASGLRCENVFLPNECSPLNFSSSNVERLKSGLEEYVLKHRNSLNNKCDSCFCSREKLKISSGVACSMESHRSPGLIVEAVIAISELSESLCFRSSGNKTEVLHFKDFSPSSVSIASLNALTSIDWRRYGLTLVSAVDQVDHALIEWESLPPYLHIDMVIHCYHKQYPGKYKYQPDRHLIKKGVKLALDDLKEKHTGLLLSAHAVKICSYAPDLASSIAGIILSSNDLKFRSKCSSLLELSQSQEIGKQTVEDRIKEKIISVIETNDRKPEKIKEAAPFLFEDDRPQDLDPADLYLEEHAGGDNVFSFPD >KJB65546 pep chromosome:Graimondii2_0_v6:10:17643766:17649431:1 gene:B456_010G099700 transcript:KJB65546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSVQKLCIHLISSAVQRCRLSEDLCRLSVVLKSSPPIIRVSISDTGTGSCLEEFQDLKCNREGIGTEKWDGLLSIKTTSISDNKIYHYHLNLRGSVSARRLTRLPSIPKNDAKFSGTEVCLSISETIDALLKDIKHYFQKMLILKIPVSSTATLMPFICTQNDMVQNLYFNETFLKSVAAELVIERGDASGLRCENVFLPNECSPLNFSSSNVERLKSGLEEYVLKHRNSLNNKCDSCFCSREKLKISSGVACSMESHRSPGLIVEAVIAISELSESLCFRSSGNKTEVLHFKDFSPSSVSIASLNALTSIDWRRYGLTLVSAVDQVDHALIEWESLPPYLHIDMVIHCYHKQYPTPCSTIQVITYPGKYKYQPDRHLIKKGVKLALDDLKEKHTGLLLSAHAVKICSYAPDLASSIAGIILSSNDLKFRSKCSSLLELSQSQEIGKQTVEDRIKEKIISVIETNDRKPEKIKEAAPFLFEDDRPQDLDPADLYLEEHAGGDNVFSFPD >KJB66148 pep chromosome:Graimondii2_0_v6:10:28276280:28277287:-1 gene:B456_010G130200 transcript:KJB66148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALDAREQISSKDVKMIVEQNNYTNISLHIIGKQLDYIENLVESQPIRKEPVKERIEKSSKELIFTPYEIPKPFQKTQNDFLIEIQNRLDALESYKSELIAPDTLIQAQHSVNTLHQSSQSDSDQLDEQQINKMVWKEPKRLYYPKITAPNLNIEEKPVFQNKYNANTNYEWNIDGMSEYSILSLLQQMKMVKNVYKTQNQNRLISDEQGREIQDAVAILIFSIFKHFIGDPSHLKDRNSELLSNLKCKKLTDFKWYKYVFMTRVMQRSDNQQPFWKEKFLAGLPTLLGEKVGNQIRENY >KJB64006 pep chromosome:Graimondii2_0_v6:10:2371980:2375276:-1 gene:B456_010G028900 transcript:KJB64006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQFGSLQVQHHLQLAAASSYGWLRATPSDGHSETSVDKRWDSLAFNPVKTDYIYVMKSCKDGSFSNGGLRHYGNIEISPSAAVLNYGQGIIENLKAYKNKNGSIILFRVEENGLRMRVGADRMCMPAPTIEQFVEAVTSTVSANERWIPPSNKGFLHVRPLLMGNGPVLSLTPAPEFIFLIYVTPVRNYFEGGLKPINVVVENDIHRATLGGVGNIKAIGNYAGIMKAQAKAKANGFSDVLYLDSIHNRYLEEVSTANVFVVKDNTISTPVLGGTILPGITRKSIIEIAHSQGFKVEERLVPVEELFDADEVFCCGNAVCVLPVGSITLNGKRVDYGESGFVVSQQLHSALANIQMGLIEDKMGWTTLLN >KJB64008 pep chromosome:Graimondii2_0_v6:10:2371980:2375565:-1 gene:B456_010G028900 transcript:KJB64008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNVQLSFTNASIFQFSSSFMVQHHLQLAAASSYGWLRATPSDGHSETSVDKRWDSLAFNPVKTDYIYVMKSCKDGSFSNGGLRHYGNIEISPSAAVLNYGQGIIENLKAYKNKNGSIILFRVEENGLRMRVGADRMCMPAPTIEQFVEAVTSTVSANERWIPPSNKGFLHVRPLLMGNGPVLSLTPAPEFIFLIYVTPVRNYFEGGLKPINVVVENDIHRATLGGVGNIKAIGNYAGIMKAQAKAKANGFSDVLYLDSIHNRYLEEVSTANVFVVKDNTISTPVLGGTILPGITRKSIIEIAHSQGFKVEERLVPVEELFDADEVFCCGNAVCVLPVGSITLNGKRVDYGESGFVVSQQLHSALANIQMGLIEDKMGWTTLLN >KJB64007 pep chromosome:Graimondii2_0_v6:10:2371980:2375473:-1 gene:B456_010G028900 transcript:KJB64007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGADRMCMPAPTIEQFVEAVTSTVSANERWIPPSNKGFLHVRPLLMGNGPVLSLTPAPEFIFLIYVTPVRNYFEGGLKPINVVVENDIHRATLGGVGNIKAIGNYAGIMKAQAKAKANGFSDVLYLDSIHNRYLEEVSTANVFVVKDNTISTPVLGGTILPGITRKSIIEIAHSQGFKVEERLVPVEELFDADEVFCCGNAVCVLPVGSITLNGKRVDYGESGFVVSQQLHSALANIQMGLIEDKMGWTTLLN >KJB68396 pep chromosome:Graimondii2_0_v6:10:61190700:61192726:1 gene:B456_010G243000 transcript:KJB68396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSAESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRVTFENVQRWLKELRDHTDPNIVIMLVGNKADLRHLRAVAAEDAKAFAERENTFFMETSALESFNVENAFTEVLAQIYRVVSRKALDIGDDPAALPKGRTINVGSKDDVSAVKGAGCCSA >KJB66662 pep chromosome:Graimondii2_0_v6:10:40545687:40546109:-1 gene:B456_010G151000 transcript:KJB66662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYRAALFHLITHAYSKVLLVLTSESIIHSMEAIVGYSLEKSQNMVIMGGLRKHVSITQIIFLISTLSLYGIPPLACFWSKDEILSDSWLYSLIFAIIAWFITGLTTFYMFQIHLLTLEGHLNVHFQKYSGKKLALSIQ >KJB66822 pep chromosome:Graimondii2_0_v6:10:45160902:45161632:-1 gene:B456_010G1597002 transcript:KJB66822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCERTRAIKGREELQSSPHLHFRMENGGFVLATESPLYQPPLDFEVSFPRHQVSNDVGHHTNQIHGVDARLGPMRTGRLLEDKRWHPFERQKHRDCLPDLQLRRSRRSGIDAETTTHCEVPQEISTHLSLS >KJB64452 pep chromosome:Graimondii2_0_v6:10:5621094:5622959:1 gene:B456_010G049900 transcript:KJB64452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSGSFLRQLSGKEGWKSTSWRWGGGNSKYNNVGGNSCGGFETSLTQMEGLNMYGNGVDNGLVLRKRVMVVVDESSHSKHAMIWALTHVANKGDLLTLLHVIPPTQKTSYSSSSSFSPYLANSLGSLCKACKPEVEVEALVIQGPKLGTVMSQVKKLEVSVLVLGQKRHSPFLSCVCGSSSTEEFVERCINNADCLTIGVKRQSKGVGGYLISTRWQKNFWLLA >KJB67823 pep chromosome:Graimondii2_0_v6:10:57896755:57899399:-1 gene:B456_010G213000 transcript:KJB67823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTALLVGCNYPNTPYKLKGCINDVHAMRDVILKRFNFDPQHVELITDEPGSPKMPTRANIMAGLAEMVKEAKEGDVLLFHFSGHGVEADMKPGRKDDAIVPCDLNLIYDVDIRHLIQQLPKETSFTIVSDSCHSGGLIDKEKEQIGPHKGIAPSVDAQKRGISLESIHQGLQTAANVINAASDVLGTTVAFGTTGLDIVSNIGHLLTGIFRDNVSLKFRPSQEPRSLTEDEGILLSGCQADELSLDLEPGDKTQGKAYGAFTYSLQKIINETHGALTNKQLVMNVRDEITSLGFHEQHPCLYCSDKNAGTAFLATIPTPLAFPMINELVPKKKAVLVGCNYPKTPYNLKGCINDVENIRRLIMKRFNFDRHFIKVLTDNYIPGSCAMPTGIKIKAALYEMVKTARPGDVLFFYFSGHGTAVPVLKPGQPLRLDEAIVPCDFNLITDVDFRDLVNQLPERVNFTILSDSCHSGGLIDKEKEQIGPNTLRKGEKSPECITRGKSIEFPVIHDIIDLVSDAAHQATNIAEQFFGFFGRDIVSLKFNHEAAKQKGLLGLQPHEDKGILVSGCEAYETSFDVVLDGNSYGAFTDAVVKVSMGGYVKISNKDLVTKARDILKQNGFDQTPCLYCSNADLDADFLGEVA >KJB63578 pep chromosome:Graimondii2_0_v6:10:386782:388469:1 gene:B456_010G006800 transcript:KJB63578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFYLTGNKQEDKEESLHLYRNEEIYNSNNRGFEIWPQYYYQQPQQANVVSSSTYPFGTGPSRRTIAGGFNLSDESSSSRLRQGGINCQDCGNQAKKDCLHLRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQLATLQEQQQFRGEIPKRQKENQGGGVVTAVPSLACTRLSPTTTATTSGLELGQFPPEVSSQAVFRCVKVSAMDDVDEEFAYQTAVNIAGHVFKGILYDQGPESRYTGGGGGSRENSQQQQQLNLMADMTTTAVATSSNIGTNMLDPSVYPAPINAFIAGTQFFPHPRS >KJB64025 pep chromosome:Graimondii2_0_v6:10:2544155:2550107:-1 gene:B456_010G030000 transcript:KJB64025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELITGKKPVDADFGEYKNIVYWVTTKLDTKEGVMEVIDKNLLGSFKDEMIQVLRISMCCTCKNPSQRPTMNEVVQLLIQTDPCLTDPYKFSTKTREASNVTENKSEEDICCISQPIFYIFLDYLSLSMPFSRFNSILHSRLFCDQTLSSIIEVLNNFTETKQMSSTPVNLRHQEKANSLHCRNLAQQDIKLYCDITSEDSSLLVYEYLPNGRDRLHIRRKTEFDWDTRCGKLVLHRHVKSSKMLLDEYLNPKISDFRLAKIVQASCGISMTPPTRCFFLENGYTYKVNEKSNKFLFRDDKMSYPVAPLFLFSAVPNPFPTGHSDDALPPVYWIKVRGLCEGDVRDMQGCCAWRRLLRR >KJB64026 pep chromosome:Graimondii2_0_v6:10:2544155:2550843:-1 gene:B456_010G030000 transcript:KJB64026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERLTLTEDMKVAACTKLRQQTEPFRGIDNGVKRSSLLSITLAAKTTSLLLPLLLLLLASAALVLGGGEYAYSNKATTKCDVYSFGVVLMELITGKKPVDADFGEYKNIVYWVTTKLDTKEGVMEVIDKNLLGSFKDEMIQVLRISMCCTCKNPSQRPTMNEVVQLLIQTDPCLTDPYKFSTKTREASNVTENKSEEDICCISQPIFYIFLDYLSLSMPFSRFNSILHSRLFCDQTLSSIIEVLNNFTETKQMSSTPVNLRHQEKANSLHCRNLAQQDIKLYCDITSEDSSLLVYEYLPNGRDRLHIRRKTEFDWDTRCGKLVLHRHVKSSKMLLDEYLNPKISDFRLAKIVQASCGISMTPPTRCFFLENGYTYKVNEKSNKFLFRDDKMSYPVAPLFLFSAVPNPFPTGHSDDALPPVYWIKVRGLCEGDVRDMQGCCAWRRLLRR >KJB66469 pep chromosome:Graimondii2_0_v6:10:34508327:34513761:1 gene:B456_010G141000 transcript:KJB66469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C4 [Source:Projected from Arabidopsis thaliana (AT1G51610) UniProtKB/Swiss-Prot;Acc:Q8H1G3] MQTSCRFISRFLRSSKHTSSPIIVNSSFNNLSHFDFLDPRNQSFFQFSSQRTNSGQVRGLKRCVLLGFVLTADDPIYYQHHTHFYSSRRNFFTRAKQIKKIEINDQHSQRAVTTALWCNFLVFSLKFGVWLATSSHVMLAEMVHSLADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWIAHPPDNIEYAALVIGGSFIIEGASLVVAIQAVKKGAATEGMKVKDYVWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVKTTGNAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDEHDMQKVLHFLKNDPVYLY >KJB66471 pep chromosome:Graimondii2_0_v6:10:34508102:34514870:1 gene:B456_010G141000 transcript:KJB66471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C4 [Source:Projected from Arabidopsis thaliana (AT1G51610) UniProtKB/Swiss-Prot;Acc:Q8H1G3] MQTSCRFISRFLRSSKHTSSPIIVNSSFNNLSHFDFLDPRNQSFFQFSSQRTNSGQVRGLKRCVLLGFVLTADDPIYYQHHTHFYSSRRNFFTRAKQIKKIEINDQHSQRAVTTALWCNFLVFSLKFGVWLATSSHVMLAEMVHSLADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWIAHPPDNIEYAALVIGGSFIIEGASLVVAIQAVKKGAATEGMKVKDYVWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVKTTGNAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDEHDMQKVLHFLKNDPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVVVQNYLNRTGREEWARQVNISVFLFLVFKTFSCSMLFAFIYV >KJB66470 pep chromosome:Graimondii2_0_v6:10:34508102:34515432:1 gene:B456_010G141000 transcript:KJB66470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C4 [Source:Projected from Arabidopsis thaliana (AT1G51610) UniProtKB/Swiss-Prot;Acc:Q8H1G3] MQTSCRFISRFLRSSKHTSSPIIVNSSFNNLSHFDFLDPRNQSFFQFSSQRTNSGQVRGLKRCVLLGFVLTADDPIYYQHHTHFYSSRRNFFTRAKQIKKIEINDQHSQRAVTTALWCNFLVFSLKFGVWLATSSHVMLAEMVHSLADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWIAHPPDNIEYAALVIGGSFIIEGASLVVAIQAVKKGAATEGMKVKDYVWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVKTTGNAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDEHDMQKVLHFLKNDPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVVVQNYLNRTGREEWARQFRESAKEKDDSALLKIMSNYGEEVVTALGSEVDRLEKEIQELVPGIRHVDIEAHNPIDLPS >KJB68150 pep chromosome:Graimondii2_0_v6:10:60019664:60022289:-1 gene:B456_010G228500 transcript:KJB68150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKWLAMVLVVLSLGSGLCDGCLEEERFALFQLKPFFAFIDYKFQDLDYESKPEKESSSNCCEWERVECNPISGRVTHLFLNYSSNMKRDWYLNASLFLPFEKLQNLSFKLSSKLDKLENLDLSDNHFNNSILASLSELSSLKSLNLAYNVFTRSNPTNGIEMLSKLNNLETLDLSYNSFGNIDGFATMTPVCIEYCGLKGTLDIQEKEGMKFNKLEVLSLNGNLLNNSLFSSLVEFSNLKSLDLSDNQLEGAIYTKGGFNSNETQIM >KJB64876 pep chromosome:Graimondii2_0_v6:10:9093223:9094098:-1 gene:B456_010G069300 transcript:KJB64876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTTQVLDSIYLMNTVEIFRESIRVILLHPTHFHSISVFLFSPLPVSLFLSHFLLHHFPQIPSSAITITDNLFIHGLPNFPSKTLVHIIVCTPSSITFSLLGRAATIQAVSDSYNGIHLDGRRLFMRSGLAWIKLLHTRSWELLVILGLFGAMVVGLAVAPKMLYTFGISSVGMGFWGVVGLLGIPFCVMFAHVMVVGNMANVIAVLESECDGLGSLWKAKKLMEGRRQTGLMMALLSNIGLRVVECVFELRICRGICMWEIPVLISMYSSVLVLETVMNVVLYYACKS >KJB67236 pep chromosome:Graimondii2_0_v6:10:52951309:52954346:-1 gene:B456_010G181700 transcript:KJB67236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSTKLHRFDLRSTFFTSPCPSFTPNSSSLVSPKTFKFRPTKITAQVSTLSVETSVKDPESDIESLFSSNTEEIDRKRFNKQSNAGASGISSGVKLENISKSYKGVTVSKDVSWEVKKGEKIGLVGVNAAGKTTRLRIITGQEEPDSGNVIKAKSNMKNAFLNQEFEVSMSRTVREEFLSAFKEEMEISDWLERVQKAIEGATEDLELMGMLLDEFDLLQRRAQAVNLDEVDAKVRKLMPELGFSPEDSDSSGLHFLSTTRRKQNLFAPPISNKLIHPPLLHAPPLLPSISRINGKMKACCRAISTTYPMAITSRFNPCFITKVAEPNADLIGDEDDVLQDPNTLSHLKTELLQQLKGINRGIFGVPSSKKSDVEALVKLLESHNPTPDPILNLEKVGGCWKLLYSTITILGSKRTKLGLRDFITLGEFFQIIDIEKSKAVNVIKFNARGLKLLNGKLTIEASFKIASKSRVDVSYDNSTVTPDQLLNVFSKNYDVLLAIFNPDGWLEITYVDDTMRIGRDDKENIFILERSEEDTV >KJB67237 pep chromosome:Graimondii2_0_v6:10:52950537:52954373:-1 gene:B456_010G181700 transcript:KJB67237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLEISLFHLYIIRLPRSSHLISTGGLRVSLLLYWLRNSPIAFLHTSVLCPFFLSFPYFPSLSHRPNMVLSTKLHRFDLRSTFFTSPCPSFTPNSSSLVSPKTFKFRPTKITAQVSTLSVETSVKDPESDIESLFSSNTEEIDRKRFNKQSNAGASGISSGVKLENISKSYKGVTVSKDVSWEVKKGEKIGLVGVNAAGKTTRLRIITGQEEPDSGNVIKAKSNMKNAFLNQEFEVSMSRTVREEFLSAFKEEMEISDWLERVQKAIEGATEDLELMGMLLDEFDLLQRRAQAVNLDEVDAKVRKLMPELGFSPEDSDSSGLHFLSTTRRKQNLFAPPISNKLIHPPLLHAPPLLPSISRINGKMKACCRAISTTYPMAITSRFNPCFITKVAEPNADLIGDEDDVLQDPNTLSHLKTELLQQLKGINRGIFGVPSSKKSDVEALVKLLESHNPTPDPILNLEKVGGCWKLLYSTITILGSKRTKLGLRDFITLGEFFQIIDIEKSKAVNVIKFNARGLKLLNGKLTIEASFKIASKSRVDVSYDNSTVTPDQLLNVFSKNYDVLLAIFNPDGWLEITYVDDTMRIGRDDKENIFILERSEEDTV >KJB63862 pep chromosome:Graimondii2_0_v6:10:1620847:1623527:1 gene:B456_010G020800 transcript:KJB63862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFLKLLLLITTMLLGTVNSQLDRTTYQLMTEDELEWPWMMSGTEEGSNGIDRRSLYRKHIRYYISYGALSANRIPCPPRSGRSYYTPDCFKARKAVNPYTRGCSRITRCRRLLKSMLYGFLYSHYLYQTKVVFAILFYISVFFHEVALDVKNLQIKIQTTFLSNLRH >KJB63643 pep chromosome:Graimondii2_0_v6:10:712467:715837:-1 gene:B456_010G009700 transcript:KJB63643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKHLIFRKSINHISKLSPKPASTISAAISTSLHPISGVQSSAYIKNPKPISPFIRCIHLTRETKLSYASVEANVVSSDSEDEDDGTTKEFLSRFVWIMRGKLSEVYTDCDKETINGMLLVIVEKVVEEMEKGGIQRMVGLKVAMPSQDFSEDLWKTVWEASNMVLEDMEKARKKERMKQFLQAEEVKEMCRFAGEVGIRGDLLRELRFKWAKEKMEENDFYESLQRFRSGKQEKGRNVLKEQAVAAEDTPAVASLPKRKGKIKYKIYGLDLSDPKWAAVADKIHEKEEMLWPLEPKPISGKCKLVMDKILSLKKEDDPSQLLAEWIQLLQPTRVDWITLLDKLEQQNPGIHLKVMELVLGEESFQTNVRDYTKLIDAYAKEDRVEDVERILRKMVENGIMPDSLTITVLVHMYSKVGNVARANDAFESLRNYGFQPDTKVYNSVMMAYINAGEPRQGEQLLREMETRDIKPSEEIYMALLRSYSRRGDAVGAGRIANSMQFAGFQHNLEYFALLVEAYGQAGDYDQARSNFDNMIKLGHKPDDKCTANMIAAYEKKNLLDKALNLLMELEKDGFEPGIETYTVLVDWLGRLQLVDETEKLLDKIAQLGEVPPLKVHVSLCDMYSRAKSEKKALQAVGVLEARKDELGPCEFERIITALCAGGFVQDAQRILQLMEAKGFAASEQVKFSLSASQVFSQKRPKT >KJB63644 pep chromosome:Graimondii2_0_v6:10:712070:715930:-1 gene:B456_010G009700 transcript:KJB63644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKHLIFRKSINHISKLSPKPASTISAAISTSLHPISGVQSSAYIKNPKPISPFIRCIHLTRETKLSYASVEANVVSSDSEDEDDGTTKEFLSRFVWIMRGKLSEVYTDCDKETINGMLLVIVEKVVEEMEKGGIQRMVGLKVAMPSQDFSEDLWKTVWEASNMVLEDMEKARKKERMKQFLQAEEVKEMCRFAGEVGIRGDLLRELRFKWAKEKMEENDFYESLQRFRSGKQEKGRNVLKEQAVAAEDTPAVASLPKRKGKIKYKIYGLDLSDPKWAAVADKIHEKEEMLWPLEPKPISGKCKLVMDKILSLKKEDDPSQLLAEWIQLLQPTRVDWITLLDKLEQQNPGIHLKVMELVLGEESFQTNVRDYTKLIDAYAKEDRVEDVERILRKMVENGIMPDSLTITVLVHMYSKVGNVARANDAFESLRNYGFQPDTKVYNSVMMAYINAGEPRQGEQLLREMETRDIKPSEEIYMALLRSYSRRGDAVGAGRIANSMQFAGFQHNLEYFALLVEAYGQAGDYDQARSNFDNMIKLGHKPDDKCTANMIAAYEKKNLLDKALNLLMELEKDGFEPGIETYTVLVDWLGRLQLVDETEKLLDKIAQLGEVPPLKVHVSLCDMYSRAKSEKKALQAVGVLEARKDELGPCEFERIITALCAGGFVQDAQRILQLMEAKGFAASEQVKFSLSASQVFSQKRPKT >KJB67551 pep chromosome:Graimondii2_0_v6:10:55687310:55687573:1 gene:B456_010G196200 transcript:KJB67551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFLKFFHLFEQSLEGHIPLTVSKYALEISVRQIFQENERVEKHNLYLHRKGTSGREHNNIIRSSNWPKRCNAFHLQSPNR >KJB66589 pep chromosome:Graimondii2_0_v6:10:38222245:38222812:-1 gene:B456_010G1453002 transcript:KJB66589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GYMAPEYAWHGQYSVKSDVYSFGVLVLEIISGKKISSFSNQEVGDSLLTHVWRNWSEGTALEVVDPILRDCSRIEIMRCIHLGLLCVQDNIAYRPTMASVVLMLSSYSTSLPVPSRPAFFMHSTMETETKSQSSSLSNQSKQETVQVSVNEASISELDPR >KJB68324 pep chromosome:Graimondii2_0_v6:10:60860272:60864475:1 gene:B456_010G238700 transcript:KJB68324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHVEPTSATADSIPPSPPHDPLDSVPIGSPEDDILSPPSDDDIDHDHGHENEDDHGQEDQVQNHEISSAVDVLTDDLKKKIIKQAEYYFSDENLPTDNYMMGLIKKNKEGFVPISVISSFRKTKRLTRNYPSIVAALKESSLLVVSSDGKKVKRRNPLPFIEVRDPKLFTVLVENLPEDHSVENIKRIFGEVGRIKKISVRDPHAVEETKKTGRADILISSKLHALVEYETIEAAEKAVATLNDEHDWRNGMHVKLLERKGKHGQRKQAWRGPAHEKNINAQVSDQTGTEEKNASSEYHEDIPDDEDGEHLSKEKNGVRPRNRGRGRRQKNRGTNGHGHGTTSSCHAVEPSKPPPGPRMPDGTRGFTMGRGRSLISKPS >KJB68325 pep chromosome:Graimondii2_0_v6:10:60860318:60863764:1 gene:B456_010G238700 transcript:KJB68325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHVEPTSATADSIPPSPPHDPLDSVPIGSPEDDILSPPSDDDIDHDHGHENEDDHGQEDQVQNHEISSAVDVLTDDLKKKIIKQAEYYFSDENLPTDNYMMGLIKKNKEGFVPISVISSFRKTKRLTRNYPSIVAALKESSLLVVSSDGKKVKRRNPLPFIEVRDPKLFTVLVENLPEDHSVENIKRIFGEVGRIKKISVRDPHAVEETKKTGRADILISSKLHALVEYETIEAAEKAVATLNDEHDWRNGMHVKLLERKGKHGQRKQAWRGPAHEKNINAQVSDQTGTEEKNASSEYHEDIPDDEVCMLHVLSFSCSVLFYLLDCKN >KJB68326 pep chromosome:Graimondii2_0_v6:10:60860318:60864418:1 gene:B456_010G238700 transcript:KJB68326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHVEPTSATADSIPPSPPHDPLDSVPIGSPEDDILSPPSDDDIDHDHGHENEDDHGQEDQVQNHEISSAVDVLTDDLKKKIIKQAEYYFSDENLPTDNYMMGLIKKNKEGFVPISVISSFRKTKRLTRNYPSIVAALKESSLLVVSSDGKKVKRRNPLPFIEVRDPKLFTVLVENLPEDHSVENIKRIFGEVGRIKKISVRDPHAVEETKKTGRADILISSKLHALVEYETIEAAEKAVATLNDEHDWRNGMHVKLLERKVMHGQRKQAWRGPAHEKNINAQVSDQTGTEEKNASSEYHEDIPDDEDGEHLSKEKNGVRPRNRGRGRRQKNRGTNGHGHGTTSSCHAVEPSKPPPGPRMPDGTRGFTMGRGRSLISKPS >KJB64344 pep chromosome:Graimondii2_0_v6:10:4489666:4491090:1 gene:B456_010G044100 transcript:KJB64344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIYMVICIFMTSLVLAKSRLAPPASLSLSCSTAMYNMVDCVQFLSNNGSKDGKPAASCCAGLEKVLKSSPDCICEYIKNNAQLGANINVTKAETLPSACQVSSPPISQCDVSPSPGVAPASPSSDKGGTPSTKSPSTPPGGVPPPSHHSGAYSLPAWFFASISMLAVSLSFMVL >KJB66329 pep chromosome:Graimondii2_0_v6:10:31210193:31211836:-1 gene:B456_010G136100 transcript:KJB66329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISTSASNFDELRWVINIRRSLAEEIEEETEVPVSIFNVPKTLMLTDPESYIPQMVALGPYHYSRPELHEMERYKLAAAKRAQKHLHRVKFNTLVDNLMRFEPQIRACYHKYLHFNGETLAWMMAIDASFLLEFLQIYGLEEGKTLSRVSSRMSHLVDYAGRKSAHNSILRDIVMLENQIPLFILLKVLEIQYSSLELAHDLLASMLKGLTKELSPLKATDNLPKIDISNRAHVLDFLHYMVVPMVDEEQSETNEPEDHKEDQGHKTSDSQFPSYLPNELWNLVSKIKKGLIRNIKALLLSRPVRVLLTLPWKVVSNLPGFSMLKQLIEYLFFSQDKEEEKPENGSCLNKPPLAEEIAIPSVVDLCKSGVRFIPTNGNISSIKFDPDTSTFHLPTVALDINTKVVLRNLVAYETSNASGPLVFTRYTELMNGIIDTKEDVKLLSENGIIVNHLKSDEEAAELWNGMNKSIRLTKVGFLDKVIEDVNKYHNCRWNVKARKFFEQYVYASWQFLTLLAAIMLLILLAFQAFCSVYSCSRLLRIHNS >KJB63872 pep chromosome:Graimondii2_0_v6:10:1679777:1681136:1 gene:B456_010G021400 transcript:KJB63872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSTVRIISECYVTPQHFSDQSKQPYYLTTWDLVMLSVQYIQKGLLYTKPENSCEENLINNVLDRLKQSLSIALVHFYPLSGRLVTKIEENPVSFPVDLSVSDIVSPTYVPLVVQSFFDHDRAINYDGHTRPLLSIQVTELVDGVFIGCSMNHVLGDGATFWHFFNTLSEIFQAQGDTKMKISRPPVLEKWFPEGHSPLLNLPFTNQDEFITRFEAPELLERMFHFSAKSIAKLKERANTESNTTKISSFQSLSAFVWRSITKARRFPNETVTGCRLAINNRSRLEPALPQDYFGNSIQTVRAVTTASELLDHNLGWAAWKLHQAVVNHTDKQLCGFVNGWLDSPFIYQIAQLFDPQSVMFGSSPRFNMYENEFGLGKALMLRSGYAHKFDGKVSSYPGREGGGSVDLEICLPPSSMKALESDEEFMSVVSADVF >KJB65699 pep chromosome:Graimondii2_0_v6:10:20225585:20235063:-1 gene:B456_010G109100 transcript:KJB65699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELDAKEATKEEGPEAKSYLDALAKARRSSRPKRDDDHDGHYVFNLGENLTPRSKIASIVGTFGRVLECWDCQTREYVTIKIVRSIRKYHDAAMIEIDILQHLAKNEKGTSGRVQIRNWFDIRNHICIVFEKLGPSLFDFLKRNKYSPFPVDLVREFVHQLLESVAYMHDLLLINTDLKPENILLVSSEYVKLPGWKSSAIKLIDFGTTAFDNQNHSSIVSTRHYRAPEIILVSLAFLQMNLSGALFQTHENLEHLAMMEMVLGPLPEHMIRRARKIFRSGSRLNWPEGAVSRESIRAVKKLDRLKNMVSQHVESSRYFLADLLEGLLKYDPSERLTARQALNHPFFSESK >KJB64800 pep chromosome:Graimondii2_0_v6:10:8399267:8400616:-1 gene:B456_010G065600 transcript:KJB64800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKKANITAVLIIIGLIIFIIVARLSLKLSKAFFLIVGADIAVILAVFVCLVIRGRYNHRRKLLEKKLVSEGRELRIEYSFLRKVAGVPIKFRLKELEDATDNFQALLGQGASASVFKGILSDGTAVAVKRINGEEHGEKEFRSEVAAIASVQHVNLVRLLGYCCLPRGPRFLVYEFIPNGSLNHWIFPGKGTKNRPEGCLSWDLRYKVAIDVAKALSYLHHDCRSRILHLDLKPENILLDENYRAIVADFGLSKLMGKDESRVITTIRGTKGYLAPEWLLEHGVSEKCDIYSYGMVLLEMIGGQRNVTLIENGSDKSQRKWQYFPKIARDKLGEGKVIEVVDHRLVEVGAIDERQVKRVVHVALWCIQERAKLRPTMAQVVEMLEGRVPVEEPPDPQMIMVNFLSMDEEESDCHFRPKIAAMGSNVNGCNIPCSSSSSFAMSMLSGR >KJB68102 pep chromosome:Graimondii2_0_v6:10:59751078:59754500:-1 gene:B456_010G225600 transcript:KJB68102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSLHGNLHVTIYEVNKLEGGGFFRKLMANVEESIGIGKGSGKLYATIDLEKARVGRTRKVESDDPSWNESFHIYCAHMANDVIFTVKQAGSIGANVIGRAYLPVEDILSGEEVDRWIELKDEEKQNLENEAKIHVKIRYFDVTKDRNWNRGIVSRKFPGVPYTYYPQRHGCKVFLYQDSHIPDGFIPKIPLAGSKYYEAHRCWEDIFDAITNAKHLVYIAGWSVYTEIKLIRDSKRSKRGGDTKLGDLLKKKASQGVRVNVLIWDDRTSVGALKKDGLMATHDEETEKFFEDSDVNCVLCPRDPDDGGSIVQELQISTMFTHHQKIVVVDAAMPNGDTDRKRIVSFIGGLDLCDGRYDTPFHSLFRTLDTAHADDFHQPNFAEASINKGGPREPWHDIHCRLEGPIAWDVLFNFEQRWKRQGGKDVLLDIKDLEGTIIPPSPVTYPNDHETWNVQLFRSIDGGAAFGFPDSPEDAARAGLVSGKDQIIDRSIQDAYINAIRRAQNFIYIENQYFLGSSFDWSADDDDIKPEDINALHLIPKELCLKVVSKIRAGERFTVYAVIPMWPEGIPESGSVQAILDWQRRTMNMMYKEIAQALKSEGRDEDPRNYLTFFCLGNREMKKGGEYEPTETPEPDSNHARAQEARRFMIYVHTKMMLVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSIRQPARGQVHGFRLALWYEHLGMLHNSFLTPESKECVKKVNQLADKYWDLFSKDDLDQDLPGHLLSYPIAISNDGNVSELPNFENFPDTKARILGAKSDYLPPILTT >KJB68083 pep chromosome:Graimondii2_0_v6:10:59682531:59684223:1 gene:B456_010G224500 transcript:KJB68083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEISDHKSKGAFEKVAEDPPAASVVVRPVEQPVGLESTIQTLWSCIDDKDVGIIGLCGMGGVGKTTLLTKLKNMFSTPQIDFKVVIWAVVSAFYDVGKIQDTIGEHIGFPRSWENKSVEQKARDIYGILSTKRFVVLLDDIWEQVDFNEFGIPEPSQENSSKLIFTTRLLNVCDAMGAKMFWVQPLELEKAWELFQDKVGDEALNSHPDIPNLAKKVAERCHGLPLALITVGRAMACKTTLGEWNYAIETLKRHDEDELLQVEDYSIPKKRLVEYWFCEGLLNEYDRVSDAEMESNDIISSLLNACLLENGGEINGEECVKMHDVIRDMALWITDNSEATENNFFVRAGDQFYEEPDVKAWESVKRMSVMTNKIEVLKETPKCPNLRTLFLSQNELQVISDGFFQLMPHLTVLDLSRNLRLRVLPEGISQLVCLQCLDLSYTGISELPVGLKSLRKLKMLDLSYMHNLRKIPQHLISSFSQLQIFRMWWSGCGDYPNEDNVLYGSNEKLMEELNGLQYLDILTIHKKARVV >KJB67570 pep chromosome:Graimondii2_0_v6:10:55846950:55848115:1 gene:B456_010G197600 transcript:KJB67570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTLSCCFTVALFMVILMASPSSSLANMNVIDKCWRGNPLSRSQRQQLAKCSVGFVGKMFNNIGKDVVKYKVIDRSDDPLSPKSGTLRYGTAMSKGKVRITFKNSMTITLQRPLLLSSFTAIDGRGFDVHITGAGCLLVYQATDIIIHGLRIHHCKAQPPSTVMGPNAKVIPLGQMDGDAIRLVTAMNVWIDHNTLYECQDGLLDVTGGSTNITVSNNWFRNQDKVMLLGHDDGHLRDKNMKVTVIFNHFGPKCNQRMPRVRHGYAHVANNFYQGWEQYAIGGSMSPSIKSEANFFVAPNDVGNKEVTWRKGEKGLWKFYSVGDQTGVGGAKPNYNQEQNFKVVKV >KJB63284 pep chromosome:Graimondii2_0_v6:10:15115123:15115302:-1 gene:B456_010G093300 transcript:KJB63284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIAKQKHQKPNRLKPLRGRKERFPKIQNLAATVFSGNSSHEETNTHDWDSKLGNKSLM >KJB63515 pep chromosome:Graimondii2_0_v6:10:168671:172088:1 gene:B456_010G003800 transcript:KJB63515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQGGQCGNQIGSKFWEVICDEHGVDPTGSYTGDESSSSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQHYISLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMSSTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVEEEGEYEGEEGVEENYES >KJB66203 pep chromosome:Graimondii2_0_v6:10:29360841:29361790:1 gene:B456_010G132600 transcript:KJB66203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLRYFIYRKIKPSKDAKSGSLQMLLATSSYSQSQHLEETTNAPVETYRQERINLLVQDFNDVQDQLDVIKEKQKEIALAQRSHGKEIRRC >KJB65164 pep chromosome:Graimondii2_0_v6:10:12185356:12186964:-1 gene:B456_010G082800 transcript:KJB65164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNCYSSLYPFPFDLNEDDQHQHHHLFTFKSQPSSSSSSSTVHHLAAGSCQREPQVFQDQAKIYVSKDGALENSDCGLKLSLWKKEERVESDHHHEDSSTKWMPSKLRILRKMMSSHHTDLSKSSSPKIEDQKLQNQPSPSPDNSCNSSYNNGINNSPIRVCADCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAMAAAAAATASNGTIVTAETTTSMKNKVQNKAKRSSNGCVAKLKNKKCKLSSQSQGRNKLCFEDLRIILSKSSAFHGVFPQDEKEAAILLMALSYGLVHG >KJB65383 pep chromosome:Graimondii2_0_v6:10:14932957:14934671:1 gene:B456_010G092600 transcript:KJB65383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNYIKSKFDSAISSKRILIKKKFPYKQDDPLSTNGSYMKKMYQSCPLSTINYTIGEIKNLLSSCPHHGFEIKHLLSSCPHHGFENWRTLSFFHEGLTHKTKQFIETMCNRELLDKEPKEAFDYLDHLLENSQSWNIVNPIEVPIRWNLTNSKGKYHLNQEDDLSARMASLTRKVEAIELRKVQEVKSVKIEEICSICEVMRHFFNH >KJB67320 pep chromosome:Graimondii2_0_v6:10:53700699:53702244:-1 gene:B456_010G185700 transcript:KJB67320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLYRRGMVHPSPSITTDHLSFLPATILTLSAALSPEDKQVLAYLISCSNNDFGNFSGRRKNTPKPQTKRSFSSSSSDHDHPPLFTCDCFRCYMSYWVRWDSSPNRQLIHEIIDAFEDEVAQSKKTKSKKERKKKGGVTGGSCSSKRPDLSLRKGDSGELKTVEQSSNSVDGGNGGGDDGQEGIEKGSVRGLVSFIGERIWNVWGQ >KJB66826 pep chromosome:Graimondii2_0_v6:10:45467912:45470529:1 gene:B456_010G160000 transcript:KJB66826 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE62 [Source:Projected from Arabidopsis thaliana (AT5G45800) UniProtKB/TrEMBL;Acc:A0A178UKF2] MEVVARFILVLSLVVAAQSTCNSTDYALVSKAFRSVSGFSISWLKSHDPNCSYPPIKVLDFSSKNLTGTISWKFLRKVSQLRTIDLSNNSLQGSVPGWFWSMPTLVEASLARNKFGGSIGFEPTLGNGSSSSMQVLNLSDNRFTNLVKLSGFPNLQFLDLSHNHLGVLPSGFTKLTKLQHLDISSCKISGSVKPISALRSLNYLDVSNNSLNGTFPSDFPLVNSLNFLNISLNHFIGSVGFDKFQRFGKSAFIHGGDFVLNTSKRAPNHRIKTHSLTPAHKVFQKHVPLKRHKPKSKTKALVIGLSSSSGFLLASLVFCFFFTYRRKKLAKRNKWAISNPVQPNFKIEKSGPFSFETESGSSWVADIKEPTSAPVIMSAKPLMNFTFKDLIAATSHFGKESLLAEGRCGPLYRAVLPGDLHVAIKVLEHARDIDHDEAVAMFEHLSRLKHPNLLPLSGYCIAGKEKLVLYEFMANGDLHRWLHELPTGPPNVEDWSTDTWDMHNGIGSHTSSPDKTNWLTRHRIAVGVARGVAYLHHVGSRHGHLVASNILLSDNLEPRISDFGIRNIGCRKEKDKKDESDAEIDVYCFGVVLLELLTGKQGTEENVRRVRRLVKERKGVEALDSRLRLAGESEAEMVESLRVGYLCTAESAEKRPTMQQVLGLLKDIHPTVDDFNRFHG >KJB66827 pep chromosome:Graimondii2_0_v6:10:45467912:45470555:1 gene:B456_010G160000 transcript:KJB66827 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE62 [Source:Projected from Arabidopsis thaliana (AT5G45800) UniProtKB/TrEMBL;Acc:A0A178UKF2] MPTLVEASLARNKFGGSIGFEPTLGNGSSSSMQVLNLSDNRFTNLVKLSGFPNLQFLDLSHNHLGVLPSGFTKLTKLQHLDISSCKISGSVKPISALRSLNYLDVSNNSLNGTFPSDFPLVNSLNFLNISLNHFIGSVGFDKFQRFGKSAFIHGGDFVLNTSKRAPNHRIKTHSLTPAHKVFQKHVPLKRHKPKSKTKALVIGLSSSSGFLLASLVFCFFFTYRRKKLAKRNKWAISNPVQPNFKIEKSGPFSFETESGSSWVADIKEPTSAPVIMSAKPLMNFTFKDLIAATSHFGKESLLAEGRCGPLYRAVLPGDLHVAIKVLEHARDIDHDEAVAMFEHLSRLKHPNLLPLSGYCIAGKEKLVLYEFMANGDLHRWLHELPTGPPNVEDWSTDTWDMHNGIGSHTSSPDKTNWLTRHRIAVGVARGVAYLHHVGSRHGHLVASNILLSDNLEPRISDFGIRNIGCRKEKDKKDESDAEIDVYCFGVVLLELLTGKQGTEENVRRVRRLVKERKGVEALDSRLRLAGESEAEMVESLRVGYLCTAESAEKRPTMQQVLGLLKDIHPTVDDFNRFHG >KJB65660 pep chromosome:Graimondii2_0_v6:10:19234186:19237248:-1 gene:B456_010G105600 transcript:KJB65660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAGETGMEQLTSGASNRIIPILRTLRIPLIFLQSIILYLLLFLFPRRRQTAVGAADEAPQLQAKTARRRSVWRREEEDTLRRRALAEGWDMGFETADGEVRCRWGTSLFFGVRRNALFCRSWLPAVDELKGILIIIHGLNEHSGRYTQFAKQLTSCRYGVYAMDWIGHGGSDGLHGYVPSLDHVVADTGFFLEKIKTENPGVPCFLFGHSTGGAVVLKAASYPHIEEMVEGIVLTSPALRVRPAHPIVRAVAPLFSLVVPKLQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLMQSFKSVTVPFFVLHGTADKVTDPLASQDLYNEAGSKFKDIRLYDGFLHDLLFEPEREEIGQDIIDWMEKRLDGSGRY >KJB65661 pep chromosome:Graimondii2_0_v6:10:19234226:19237229:-1 gene:B456_010G105600 transcript:KJB65661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAGETGMEQLTSGASNRIIPILRTLRIPLIFLQSIILYLLLFLFPRRRQTAVGAADEAPQLQAKTARRRSVWRREEEDTLRRRALAEGWDMGFETADGEVRCRWGTSLFFGVRRNALFCRSWLPAVDELKGILIIIHGLNEHRYTQFAKQLTSCRYGVYAMDWIGHGGSDGLHGYVPSLDHVVADTGFFLEKIKTENPGVPCFLFGHSTGGAVVLKAASYPHIEEMVEGIVLTSPALRVRPAHPIVRAVAPLFSLVVPKLQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLMQSFKSVTVPFFVLHGTADKVTDPLASQDLYNEAGSKFKDIRLYDGFLHDLLFEPEREEIGQDIIDWMEKRLDGSGRY >KJB67131 pep chromosome:Graimondii2_0_v6:10:51755662:51756192:-1 gene:B456_010G176300 transcript:KJB67131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAGVILSHLQGMFTRTIRLLEAGLKPVYVFDGQPPDLKKQELAKRYSKKADAAEDLQEAMEVFFFGHTWSDKISFVVSPLRKIKVLLFLLLDWK >KJB67130 pep chromosome:Graimondii2_0_v6:10:51755488:51759381:-1 gene:B456_010G176300 transcript:KJB67130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEMLTNEASEVTSHLQGMFTRTIRLLEAGLKPVYVFDGQPPDLKKQELAKRYSKKADAAEDLQEAMEVFFFGHTWSDKISFVVSPLRKIKVLLFLLLDWK >KJB63494 pep chromosome:Graimondii2_0_v6:10:102044:103733:-1 gene:B456_010G002500 transcript:KJB63494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISDVVVGNLLIIYVAVIAGIKAYGLVCGRNFGGWFVLMASTTVVGLILVGALTWDVSRKATQAISGEDQDAGSLQVHEMCKGGICWHGVAVRFPASQLRFSLPQQIPYGSL >KJB63924 pep chromosome:Graimondii2_0_v6:10:1965696:1968376:-1 gene:B456_010G024700 transcript:KJB63924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding domain 3 [Source:Projected from Arabidopsis thaliana (AT4G24230) UniProtKB/TrEMBL;Acc:B3H4G6] MEIILELFLTAFIALVFSFLIAKIVSLATGGDASGGCSGGDDDKIIMEQLEFAEKLKVSSFESEKKVDFVKESGDDNKVVVLESVDDDDNDDQGFKSETKVDELEGEESEEVVERSKLESFVQETDKKIDGFEAEVERIGEEIEAENKTKFQPQEIRIEESQMKVLGEEEKEVKLANYEDEDDDWEGIEKSELEKVFGSASKFIEQEGDLGIGNDVQMELYGLHKVATEGPCHESQPLAFMVASRSKWNAWQKLGNMSPEAAMEQYVALVSDKVPGWTKDTSDVTEIRICRPRRCWFCGS >KJB63923 pep chromosome:Graimondii2_0_v6:10:1965619:1968441:-1 gene:B456_010G024700 transcript:KJB63923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding domain 3 [Source:Projected from Arabidopsis thaliana (AT4G24230) UniProtKB/TrEMBL;Acc:B3H4G6] MEIILELFLTAFIALVFSFLIAKIVSLATGGDASGGCSGGDDDKIIMEQLEFAEKLKVSSFESEKKVDFVKESGDDNKVVVLESVDDDDNDDQGFKSETKVDELEGEESEEVVERSKLESFVQETDKKIDGFEAEVERIGEEIEAENKTKFQPQEIRIEESQMKVLGEEEKEVKLANYEDEDDDWEGIEKSELEKVFGSASKFIEQEGDLGIGNDVQMELYGLHKVATEGPCHESQPLAFMVASRSKWNAWQKLGNMSPEAAMEQYVALVSDKVPGWTKDTSDGERKLESADQGVAGSVAPDIDSFPDKQAIFMHERNADSNTAPAGGDITESASLEKQAKD >KJB63925 pep chromosome:Graimondii2_0_v6:10:1966638:1968196:-1 gene:B456_010G024700 transcript:KJB63925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding domain 3 [Source:Projected from Arabidopsis thaliana (AT4G24230) UniProtKB/TrEMBL;Acc:B3H4G6] MEIILELFLTAFIALVFSFLIAKIVSLATGGDASGGCSGGDDDKIIMEQLEFAEKLKVSSFESEKKVDFVKESGDDNKVVVLESVDDDDNDDQGFKSETKVDELEGEESEEVVERSKLESFVQETDKKIDGFEAEVERIGEEIEAENKTKFQPQEIRIEESQMKVLGEEEKEVKLANYEDEDDDWEGIEKSELEKVFGSASKFIEQEGDLGIGNDVQMELYGLHKVATEGPCHESQPLAFMVASRSKWNAWQKLGNMSPEAAMEQYVALVSDKVPGWTKDTSDVTEIRICRPRRCWFCGS >KJB64282 pep chromosome:Graimondii2_0_v6:10:3778651:3784723:-1 gene:B456_010G040300 transcript:KJB64282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDGDGAINGCCPCSFSSGENGIPGSHDDTCKHCTRSKSLSSSPSALGSDSVLLVDSNKLRRIFVASAKGFSIGAGLKGGLALFSIIARLLRKKSRKVEAFTNKEAISLAIKETLRYGLFLGTFAGTFVSVDEIIGELGGHNRTAKWRALVAGLVAGPSMLLTGHNTQHKSLAIYILMRAAVLASRCGIKSKRFGKLCKPLTWKHGDIFLMCLSSSQILSSYILKQDSLPPSYRSFLNKHGGKDLVILQGVKEIACGLPFTNLEAIEKLYKASGVDVKLDPNMKIPCSMIHGNQSCSAHVISFFTEGYKRALPVYLPVYLIPALIVHRQDLLKRPSTILRKGIVGTARSSLFLSAYCTSAWLWTCLLFRLFRRCNIPMVAMGTFPTGLALAIEKKSRRIEISLYCLARAIESFFTCMADIGYLPQSTNLKRADVVIFSLSTAIIMHCYAQEREVFRSKYLNVLDWVFGVPPPPCETPRCKDISTV >KJB64283 pep chromosome:Graimondii2_0_v6:10:3778941:3784603:-1 gene:B456_010G040300 transcript:KJB64283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDGDGAINGCCPCSFSSGENGIPGSHDDTCKHCTRSKSLSSSPSALGSDSVLLVDSNKLRRIFVASAKGFSIGAGLKGGLALFSIIARLLRKKSRKVEAFTNKEAISLAIKETLRYGLFLGTFAGTFVSVDEIIGELGGHNRTAKWRALVAGLVAGPSMLLTGHNTQHKSLAIYILMRAAVLASRCGIKSKRFGKLCKPLTWKHGDIFLMCLSSSQILSSYILKQDSLPPSYRSFLNKHGGKDLVILQGVKEIACGLPFTNLEAIEKLYKASGVDVKLDPNMKIPCSMIHGNQSCSAHVISFFTEGYKRALPVYLPVYLIPALIVHRQDLLKRPSTILRKGIVGTARSSLFLSAYCTSAWTSELLALTRIVNTVA >KJB64284 pep chromosome:Graimondii2_0_v6:10:3781015:3784603:-1 gene:B456_010G040300 transcript:KJB64284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDGDGAINGCCPCSFSSGENGIPGSHDDTCKHCTRSKSLSSSPSALGSDSVLLVDSNKLRRIFVASAKGFSIGAGLKGGLALFSIIARLLRKKSRKVEAFTNKEAISLAIKETLRYGLFLGTFAGTFVSVDEIIGELGGHNRTAKWRALVAGLVAGPSMLLTGHNTQHKSLAIYILMRAAVLASRCGIKSKRFGKLCKPLTWKHGDIFLMCLSSSQILSSYILKQDSLPPSYRSFLNKHGGKDLVILQGVKEIACGLPFTNLEAIEKLYKASGVDVKLDPNMKIPCSMIHGNQSCSAHVISFFTEGYKRALPVYLPVYLIPALIVHRQDLLKR >KJB64059 pep chromosome:Graimondii2_0_v6:10:2672424:2676467:1 gene:B456_010G031100 transcript:KJB64059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVLCPILQVVFEKLASRFLKEIADRCGFKDEIKKLQRTLGAIQTVLQDAEEWQATDKSSKLWLSELREVAFDADDLLEEFGPEAMIQENDNSLTEQVTNIVPSLRPFITYLKKLPELKQIRERLDELLAERSNFKLKRKDGDKAIKSQQKRETGSFVIESEVIGREEDKEKIVDMLQLTAESRANEVVSVIPIVGLGGLGKTTLAQLVYNDERVMGNFELRMWVSVNDDFHVRKIVNLMIESATRRRCDDLIGMDVLQSKLRDLLFKRRYLLVLDDVWNEDADEWDKPKSLLKLGAEGSKVIVTTRSAKVAAIMGTVSSHHLKGLSHDECWGLFKQRAFANDQEDYANLLPIGKQIVRKCGGVPLAAKALGSLMRFKREPDEWLSVQENEIWNVCEDENGILPALRLSYSHLPLHLKGCFMYCSIFPKNYVIKKEKLIHLWIAEGLIQSCQYPLRGTQSRKEGTSLENSGSNYFNELMWMFLFEEVKKNSDGNVVECRMHDLIHDLAKSVAGEEFFIFERGCLPKNLARVRYSSVVCHSESCTIPEALYEAKKLRTLIFLFSNGDSGEIPAKLFTHFRNLRVLDLSCSGIKRLQSTVSCLKHLRYLDLSNTFIATLPETIGSLCKLEVLNISGCSDLTGLPRNLASLYMLRHLIINDCERLTCLPDNIGKLLHLQTLPIFIVSNKTDNFKQLARLPLRGELTIKNLEDVKKETRAVILGMKNLHSLELSWGDDHKRLDLNVQNDSNCKLGENVLDCLQPSKNLKHLSIKGYPGIHLPSWIKTPSLPVLTKIVLMNCKRCEHLPALGQLPVLEIIHMRGMDCVKNIGREFYGENKKKLFASLKELSLIDFPDLEFWWGGGEEFPSLVKLIINKCPKLMNMPRFAALRHLELQSCNESILMSAGNITSLSVLIIGGFNGQLILLDNLLRNNVHLLSLTVSSCPNLCCIPQSLGSLVSLTSLTIRWCDELSSLPQQLQNLTCLQSLEISECHGLSTSPQHIDGLISLKYLSIENCSNLRSLPIGLLHLTSLEHLTIMYCPSLVCLPTEWHNLSMLRSLFILCCPELSFLPESVKHVTTLQNLEIHGCPGLHMLPEWIADLSILRSLAISDCPNLNSLPEGFQSLDTLQRLSIHECPRLEEHCKKNVGKDWPKIAHIPHIYIGSPELGKVNNVASSSSR >KJB64058 pep chromosome:Graimondii2_0_v6:10:2672424:2676545:1 gene:B456_010G031100 transcript:KJB64058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVLCPILQVVFEKLASRFLKEIADRCGFKDEIKKLQRTLGAIQTVLQDAEEWQATDKSSKLWLSELREVAFDADDLLEEFGPEAMIQENDNSLTEQVTNIVPSLRPFITYLKKLPELKQIRERLDELLAERSNFKLKRKDGDKAIKSQQKRETGSFVIESEVIGREEDKEKIVDMLQLTAESRANEVVSVIPIVGLGGLGKTTLAQLVYNDERVMGNFELRMWVSVNDDFHVRKIVNLMIESATRRRCDDLIGMDVLQSKLRDLLFKRRYLLVLDDVWNEDADEWDKPKSLLKLGAEGSKVIVTTRSAKVAAIMGTVSSHHLKGLSHDECWGLFKQRAFANDQEDYANLLPIGKQIVRKCGGVPLAAKALGSLMRFKREPDEWLSVQENEIWNVCEDENGILPALRLSYSHLPLHLKGCFMYCSIFPKNYVIKKEKLIHLWIAEGLIQSCQYPLRGTQSRKEGTSLENSGSNYFNELMWMFLFEEVKKNSDGNVVECRMHDLIHDLAKSVAGEEFFIFERGCLPKNLARVRYSSVVCHSESCTIPEALYEAKKLRTLIFLFSNGDSGEIPAKLFTHFRNLRVLDLSCSGIKRLQSTVSCLKHLRYLDLSNTFIATLPETIGSLCKLEVLNISGCSDLTGLPRNLASLYMLRHLIINDCERLTCLPDNIGKLLHLQTLPIFIVSNKTDNFKQLARLPLRGELTIKNLEDVKKETRAVILGMKNLHSLELSWGDDHKRLDLNVQNDSNCKLGENVLDCLQPSKNLKHLSIKGYPGIHLPSWIKTPSLPVLTKIVLMNCKRCEHLPALGQLPVLEIIHMRGMDCVKNIGREFYGENKKKLFASLKELSLIDFPDLEFWWGGGEEFPSLVKLIINKCPKLMNMPRFAALRHLELQSCNESILMSAGNITSLSVLIIGGFNGQLILLDNLLRNNVHLLSLTVSSCPNLCCIPQSLGSLVSLTSLTIRWCDELSSLPQQLQNLTCLQSLEISECHGLSTSPQHIDGLISLKYLSIENCSNLRSLPIGLLHLTSLEHLTIMYCPSLVCLPTEWHNLSMLRSLFILCCPELSFLPESVKHVTTLQNLEIHGCPGLHMLPEWIADLSILRSLAISDCPNLNSLPEGFQSLDTLQRLSIHECPRLEEHCKKNVGKDWPKIAHIPHIYIGSPELGKVNNVASSSSR >KJB68452 pep chromosome:Graimondii2_0_v6:10:61484001:61487904:-1 gene:B456_010G2459001 transcript:KJB68452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDYLWSKTIATILWPLHVLPTKFVYKKIHSAIGIQKTAKSGGGSLPMHIEKFYETIGLEVQNGYGLIETSPCVAGRQPYYNYTRLTLRQTWIQGYVPTKTFQCMEKLKKIEHTGIQKRISSSPNAIKLIVFSFIRVSLAYTELKRIYESHCYVPLKLWISVWASVLAFGPVPSLVYGLLGFYPAWRLSDPICKC >KJB65494 pep chromosome:Graimondii2_0_v6:10:16866320:16869186:1 gene:B456_010G097400 transcript:KJB65494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAAAAPTLVCVKRVKQDEAEEWDETMPLPGDIIEGFAEKDGDDDDDDDASFVAVKGRSELSSQLGKMVQKVETIWVKVRRGDRTHKLRVRVVADKSYILHKKYTIRAARDDRHIAVLGDLTLEKCTTLQEMSRRIVNVAFRGFNRKELNYDWKRKVDTYLPDQASTVVASILFMPFQGEYYIEATISRCMAWFSAAVSSGVPLVFVNIQTEQIVTSDKTNQNGKEISRGGKQQNTSTSVELVQGIRLWFLPGVEQVLLEMIPEPGETRFGLDIKRTDEGFICVGEVTKGSAADRAGLQQLLDEANLTKHLLVISRLEGKSVMPSTVGSAGFIHCCDHNEIKDALASAMEGLDIIQLHIMAWPNQTRPETPQAIAPATLRPPKPPL >KJB67596 pep chromosome:Graimondii2_0_v6:10:56078124:56082525:1 gene:B456_010G199300 transcript:KJB67596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSLPDLHSHLSQLRKPILDSLSKTPYTPQETSTISLKSTLESLLSIESPVKDFALACALLSSSRCSTHELLTWIPSQLSTAAEIAFSDLSQAYIDALPGHEKRNLAGELMPEILPVLKDKIKESSIDKSDETDEFSAASARAPVGFAILAAYQFRWFVSQIEYPDLGKMIILVVPCALTCLDHWSPEVKGQGMISFIHVAKNVKAVELDSYGDVILDACCQNIASDDEIWQYVVEMSVLLVTCIQRDNPRSLWFEKMLNEMLSHLERQPRNKERRIAWLKLIEPIFNSIGLLILAHIRRIFPLFFQWMHVDDDETILLVLKRVQTVVRLTWLRHTPYVERLLDELVVLFKEAALRKARETIRTDIRNLLIMLQQCKGLQFEKAWNKHQDDPNLTSLACNLSASRE >KJB67595 pep chromosome:Graimondii2_0_v6:10:56078124:56081947:1 gene:B456_010G199300 transcript:KJB67595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSLPDLHSHLSQLRKPILDSLSKTPYTPQETSTISLKSTLESLLSIESPVKDFALACALLSSSRCSTHELLTWIPSQLSTAAEIAFSDLSQAYIDALPGHEKRNLAGELMPEILPVLKDKIKESSIDKSDETDEFSAASARAPVGFAILAAYQFRWFVSQIEYPDLGKMIILVVPCALTCLDHWSPEVKGQGMISFIHVAKNVKAVELDSYGDVILDACCQNIASDDEIWQYVVEMSVLLVTCIQRDNPRSLWFEKMLNEMLSHLERQPRNKERRIAWLKLIEPIFNSIGLLILAHIRRIFPLFFQWMHVDDDETILLVLKRVQTVVRLTWLRHTPYVERLLDELVVLFKEAALRKARETIRTDIRNLLIMLQQCKGLQFEKAWNKHQDDPNLTSLACNLSASRE >KJB65343 pep chromosome:Graimondii2_0_v6:10:13997720:13998940:-1 gene:B456_010G090800 transcript:KJB65343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKRPRPMMGKLSELLVSGKKPGFLDAVVTSPRSPLDLKTPSPRSSKRYDVGGVGLGIAVALDNKCSTHSCRHTICSSNVIVVKSGGKNLEMESLEDFTYVTTHGSGKSSTKVFYDGGEERRKSNCETPAPAPRFVEEVAYPTSDFLSCCHLCRKKLHGQDIYMYRGENAFCSSECRSTQIMMDERKEQCRSEVSRSAKVSTSSYESGDIFFSTGILAI >KJB63419 pep chromosome:Graimondii2_0_v6:10:58524587:58525003:-1 gene:B456_010G2171001 transcript:KJB63419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKF >KJB63420 pep chromosome:Graimondii2_0_v6:10:58524587:58524595:-1 gene:B456_010G2171001 transcript:KJB63420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKF >KJB63418 pep chromosome:Graimondii2_0_v6:10:58524587:58524595:-1 gene:B456_010G2171001 transcript:KJB63418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKF >KJB63571 pep chromosome:Graimondii2_0_v6:10:345847:346464:1 gene:B456_010G006500 transcript:KJB63571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSERSVMHIFIVTMMVIIMTSMITIIATDESSPLSPSSSATSISFNEIKNKELQGKAGVEEYEKPNYFVEDYGVWNPTPRSGGAYASPVPHAA >KJB68506 pep chromosome:Graimondii2_0_v6:10:61601779:61602603:-1 gene:B456_010G247700 transcript:KJB68506 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOLDAT10 [Source:Projected from Arabidopsis thaliana (AT2G03050) UniProtKB/TrEMBL;Acc:A0A178VYV9] MQACRFQLHYPQPLLKHPTPTPPIPKDSGLLFRQKLLYLQSLNINPHKALNLNPSLRSTPLSSLISLERSLSSVGLSRPSIGRILDMCPLLLTSDPLPPINFLLHEVSLPFPHLPFSLTRCPRLLVASVPTQLRPTLLFLTSLGLVLNSHTTLLLVSDVENTLKPKINFLQSLGFDEPEVNRMVVRSPGLLTLSVENNLRPKAEFFLEEMEGDLEELKRFPQYFSFSLEKKIKPRHRALVEYGFKLPLSKMLKISDGEFNARLIEMRLQRVHKR >KJB64678 pep chromosome:Graimondii2_0_v6:10:7217643:7222078:1 gene:B456_010G060300 transcript:KJB64678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDAPPFQTQDHDSLTIPLLSHQQRSINSTSQVAIVGANVCPIESLDYEIVENDFFKQDWRARGKVQIFQYIFMKWLLCFLIGGFVSLAGFFNNLAVENIAGVKFVITSNMMLAQRYWMAFLVFSLSNLALTLFAAIITAFISPAAAGSGIPEVKAYLNGVDAPGILSIRTLIVKIVGSIAAVSSSLLVGKAGPMVHTGSCIAALFGQGGSRRYGLTWKWLRFFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALEEMASWWRSALLWRAFFTTAVVAIILRALIDVCLSGKCGLFGKGGLIMFDVYSANVSYHLADVPPVLALGVIGGILGSFYNFLLVKVLKVYNLVNEKGTFYKIFIACTISIFTSCLLFGLPWLVSCQSCPSDASEDCPTIGRSGNYKKFQCSPGHYNDLASLIFNTNDDAIRNLFSKNTDSEFQLSTMLIFFVTCFILSIFSYGIVAPAGLFVPVIVTGASYGRFIGMLIGSWGNLSHGLYAVLGAASFLGGSMRMTVSLCVIILELTNNLLLLPLIMVVLLVSKTVADAFNGNVYDLIMKVKGFPYLETHAEPYMRQLTVADVVSGPLQLCHGIEKVGNIVHILKTTMHHGFPVIDESPHSESPVLYGLILRAHLIALLKKKAFLCTPVQLGADAFRHFSSDDFAKKGLGNVDKIEDIELTDEEMEMFLDLHPFTNASPYTVVDTMSLAKALILFREVGLRHLLVIPKISSRAPVVGILTRHDFMPEHILGLHPSLVRSRWKRLRFQFPLLLKIF >KJB68529 pep chromosome:Graimondii2_0_v6:10:61681311:61685818:1 gene:B456_010G248900 transcript:KJB68529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVNGREDGSNSPSGIEEEETRANSALEAMAAPMGHSPPHSPTSTHSPLMFTPQVPVVPLQRPDEMHGSSPSWMQSAIGYEDGCTEKGIPTMITWGYGGKEVAVEGSWDNWKTRIPLQRCGKDFTIMKVLPSGVYQYRFIVDGQWRYAPDLPWAQDGTGNANNILDLQDYVPEDIESISSFEPPLSPESSYSNLPLGAEDFAKEPPLVPPHLQLPLLNLPASHMEIPPPLSRPKHAILNHLYIQKGKNGQPVVALGSTHRFRAKYVTVVLYKPVQR >KJB68530 pep chromosome:Graimondii2_0_v6:10:61681320:61684688:1 gene:B456_010G248900 transcript:KJB68530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVNGREDGSNSPSGIEEEETRANSALEAMAAPMGHSPPHSPTSTHSPLMFTPQVPVVPLQRPDEMHGSSPSWMQSAIGYEDGCTEKGIPTMITWGYGGKEVAVEGSWDNWKTRIPLQRCGKDFTIMKVLPSGVYQYRFIVDGQWRYAPDLPWAQDGTGNANNILDLQVNLLSLSWYGIRSFRISNYLFSLNTSAFCKYISVPVFHSSA >KJB68531 pep chromosome:Graimondii2_0_v6:10:61683858:61685813:1 gene:B456_010G248900 transcript:KJB68531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSSPSWMQSAIGYEDGCTEKGIPTMITWGYGGKEVAVEGSWDNWKTRIPLQRCGKDFTIMKVLPSGVYQYRFIVDGQWRYAPDLPWAQDGTGNANNILDLQDYVPEDIESISSFEPPLSPESSYSNLPLGAEDFAKEPPLVPPHLQLPLLNLPASHMEIPPPLSRPKHAILNHLYIQKGKNGQPVVALGSTHRFRAKYVTVVLYKPVQR >KJB67094 pep chromosome:Graimondii2_0_v6:10:50920091:50920981:1 gene:B456_010G174600 transcript:KJB67094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLNIPLDGIEFRAKLKIVNSGTVLQVGDSIARIHGLDKVMAGEFEEFEEGTIGIALNLESNNVVVLMGDGLMIQEGSSIKATGKIAQIPVSEAYLGCVINALAKPINGRGMFF >KJB68227 pep chromosome:Graimondii2_0_v6:10:60437121:60440406:-1 gene:B456_010G233600 transcript:KJB68227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISIKESTMVHPAEEHTPKGSIWNSNLDRLISRYHVSTVYFYKPNGCSDFFDTGRVKEGLSKVLVPFYPIAGRLGYDENGRLEIMCNDEGVLFVEAETSSVLEDLVGNGDFTHNSHLVPKVDYSGGISSYPLLVVQITKFKCGGVCLGVGTSAIHFINSWADTARGVSPAIAPFIDRTLLRARVRPVPKFHHIEYEPSPPLKAVESDLKPSTVSTFKLTVDQLNALKAKAAANSSGTKYSSYNILAAHIWRCVSKAMGLSDDQPTKMYFPVDARSKLNPPLPPGYFGNVIFINALITQAGDLNTESFLDTIKRIHEGLKQINDEYLRSALDYIETVSDSSTLVRGSHTFRCPNLIVNTWMRLPIYEADFGWGRPIHTGPADIVHEGIVYLLPTPINDGSLLLVARLEISHMSCFEKLLYEF >KJB68228 pep chromosome:Graimondii2_0_v6:10:60437289:60440406:-1 gene:B456_010G233600 transcript:KJB68228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISIKESTMVHPAEEHTPKGSIWNSNLDRLISRYHVSTVYFYKPNGCSDFFDTGRVKEGLSKVLVPFYPIAGRLGYDENGRLEIMCNDEGVLFVEAETSSVLEDLVGNGDFTHNSHLVPKVDYSGGISSYPLLVVQITKFKCGGVCLGVGTSAIHFINSWADTARGVSPAIAPFIDRTLLRARVRPVPKFHHIEYEPSPPLKAVESDLKPSTVSTFKLTVDQLNALKAKAAANSSGTKYSSYNILAAHIWRCVSKAMGLSDDQPTKMYFPVDARSKLNPPLPPGYFGNVIFINALITQAGDLNTESFLDTIKRIHEGLKQINDEYLRSALDYIETVSDSSTLVRGSHTFRCPNLIVNTWMRLPIYEADFGWGRPIHTGPADIVHEGIVYLLPTPINDGSLLLVARLEISHMSCFEKLLYEF >KJB68229 pep chromosome:Graimondii2_0_v6:10:60437289:60440547:-1 gene:B456_010G233600 transcript:KJB68229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISIKESTMVHPAEEHTPKGSIWNSNLDRLISRYHVSTVYFYKPNGCSDFFDTGRVKEGLSKVLVPFYPIAGRLGYDENGRLEIMCNDEGVLFVEAETSSVLEDLVGNGDFTHNSHLVPKVDYSGGISSYPLLVVQQITKFKCGGVCLGVGTSAIHFINSWADTARGVSPAIAPFIDRTLLRARVRPVPKFHHIEYEPSPPLKAVESDLKPSTVSTFKLTVDQLNALKAKAAANSSGTKYSSYNILAAHIWRCVSKAMGLSDDQPTKMYFPVDARSKLNPPLPPGYFGNVIFINALITQAGDLNTESFLDTIKRIHEGLKQINDEYLRSALDYIETVSDSSTLVRGSHTFRCPNLIVNTWMRLPIYEADFGWGRPIHTGPADIVHEGIVYLLPTPINDGSLLLVARLEISHMSCFEKLLYEF >KJB68080 pep chromosome:Graimondii2_0_v6:10:60367808:60371400:-1 gene:B456_010G232700 transcript:KJB68080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKESFDIGSSIDDDRYENEHVQIVPFLAYYVMELITTGASTTVSKTSVAPLERIKILMQTRAEGFHSIGMYGSMKKLMKNEGLRGLYKANGVSIIRTLPHSIFQYVTYEQYQSFLQYKWPRVERGPLLDILASSAASATAFLCTYPLDLARTKYAYQVVDRHQSSGDYRKCIHSGHTAQRGVTNVFKTIYNQGGMLGFYRGVGPTLGGILPYNVIKFYGYEELKRWVPKENQSSVALNLSCGALAALIGQTVMYPLDVVRRQMQVDILNQNGTRYKNSIEAFKSITHNQGWRQLYAGLCINYIKAVPSIAIGLTAFDVIDRQLHFPR >KJB68565 pep chromosome:Graimondii2_0_v6:10:61786242:61788902:1 gene:B456_010G250800 transcript:KJB68565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARLGRSSTRYGPVTVFTGPVRKWKKKWVNVSPSNTGGGGGNNNNHSQNHHSNSNGTSNGNNGPHLVLFKWTPITQSQNNNNYMNNSPKEDTVAAPEEPPRRKFKYIPIAVLEEQKREAAENEAAENVDDEDKPSESDPSVAEPTSRTDGFDEKPDINDVPMEENEEDDKMVRQDLNESTLDLSLGLTAHDGESDSKPNRHGQLERVKSSSR >KJB65678 pep chromosome:Graimondii2_0_v6:10:19991101:19992539:-1 gene:B456_010G107900 transcript:KJB65678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP4-1 [Source:Projected from Arabidopsis thaliana (AT2G25810) UniProtKB/Swiss-Prot;Acc:O82316] MPKIALGTSQEAAQPDCIKALVVEFITTFLFVFVGVGAAMAADESGANALVGLFAVAVAHALVVGVMISAGHISGGHLNPAVTLGLLFGGHITVVRAILYWIDQLLASSAACILLKYLTGGLNTPVHTLASGMGFLQGVIWEIILTFALLFTVYATIVDPKKGSIDGLGPMLTGFVVGANILAGGAFSGASMNPARSFGPALVSWNWTDHWVYWVGPLIGGGLAGYIYENFFIVRTHVLLPQNEAF >KJB63804 pep chromosome:Graimondii2_0_v6:10:1304947:1306116:1 gene:B456_010G017200 transcript:KJB63804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNLIIGPSRQLFTHLLKILVYLYFNFKLSKQCISINASLVYKRSYSSLSIRNWQASSFSVTLHERVCSFHYSPLRQSALCAILNEGNRPTITSACLIFASTSLKAIQVTKMVCQLV >KJB63868 pep chromosome:Graimondii2_0_v6:10:1624912:1627216:-1 gene:B456_010G020900 transcript:KJB63868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKPDSEVTSLAPSSPTRSPRRPVYYVQSPSRDSHDGEKTTTTSFHSTPILSPTGSPPHSHSSVGRHSRESSSSRFSGSLKPGSRKVSPNDGSSKSGQGKGSSSSHHKQWKDCDVIEEEGLLESEEREKSLPRRCYLLAFVVGFFVLFSMFSLILWGASRPQKPKITMKSIKFEQFKIQAGSDFTGVSTDMITMNSTVKMIYRNTGTFFGVHVTSSPLDLSYSQINIASGTMKKFYQSRKSQRSVSITVTGNKVPLYGSGASLSSSTGTTSAPISLKLNFIVRSRAYVLGKLVKPKFYKKIQCDLTFDPKKLNLPISLKKSCTYD >KJB66918 pep chromosome:Graimondii2_0_v6:10:47756768:47760689:-1 gene:B456_010G165300 transcript:KJB66918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKCVSLIIVIVCSVLLTVLHARPSLEVEVEALQAFKRSVTHDPLGALADWTVANHHCNWSGIACDPYSSQVISISLLDKQLKGEISPFLGNLSSLQVLDLTLNSFSGHIPPQLGLCSQLTQLILYYNSLSGLFPPEIGNLRNLQMLDLGDNSLNGSIPDSICNCTSLLALGIIYNNLTGTIPKDIGNLVNLQMLVAYRNNLEGPIPVSIGMLGALQSLDLSENRLSGVIPPEIGNLSSLEYLQLFNNSLTGEIPSQLGRCRSLLALELYTNKFIGAIPHELGNLVQLQTLRLYGNKLNSTIPPSLFQLKSLTHLGLSENELIGTVSDEVGSLTSLQVLTLHSNKLKGEIPSSITNLTNLTYLSMSYNSLTGRLPQNLGLLYNLKNLSLEVNHLEGSIPPSIANCTHLMYISLGFNRITGKIPSGLGQLPNLTRLSIGPNRMSGEIPDDLFNCSNLRLLSIAENNFTGLLKPDIGKLYNLQVLKASFNSFVGSIPPEIGNSSQLMTLTLAGNGFTGRIPPEISKASLLQGLSLHDNALEGALPERLFELKQLTYLDLQHNKITGPIPDAVSELEFLTYLNLNNNKLNGSIPNRMDRLYRLSTLDLSHNHLSGSIPKSMFAGMKMMQLYLNFSYNFLDGRIPDELGMLEMVQAIDISNNNISGVIPTTLRGCRNLFSLDLSGNKLSGIISADAFAQSGMLRSLNLSRNKLDGEIPENLAKLKHLSSLDLSQNQLQGHIPESFTNSSSLRHLNLSFNQLEGHVPENGIFKTINMSSLVGNRALCGNTFLGSCSKGSSNRFLKKAIIILSILGSVSVVLTLVLAISFLLWRAKESNPVKLENPEPEFTAAVLRRFDKEELENATSSFSKDNIIGASSLSTVYKGRLEDGQLIAVKNLNLHQFSKESDKSFYREVKNLSHLRHKNLVKVLGYAWESEKLKAVILQYMENGSLESVIHGSMMDLHIWTLSKRIDLCISVASALGYLHSGYDFPIVHCDLKPSNILLDGDWVAHVSDFGTARMLDVHLHDGSSLSSTSAFEGTIGYLAPEFAYMRNVTTKVDVFSFGIVVMEFLTKQRPTGLMEEDGLPVSLRQRVEKSLATGTKGVLQVLDPMLASNDSNKQMEAVEELFKLALFCTSPNPEERPNMNEVLSILSKLKANNYENVAIIS >KJB64423 pep chromosome:Graimondii2_0_v6:10:5322618:5324980:-1 gene:B456_010G048600 transcript:KJB64423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQEVKQLEECSVSNALGTWVFSVAGALLAIPVGIKRKSLAPLVFFGTTGTMLDIIMGISACEREHAERQMKLLEAQNSTADDSSFSETGSESHS >KJB66701 pep chromosome:Graimondii2_0_v6:10:42308351:42310267:1 gene:B456_010G154300 transcript:KJB66701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAVAAKAALLLHLSLFVLSSFTVSSQILPEALPPHYHGISPVVPPAHPPSHHHHHHHHHSHPHPHPPTPSPTKPPTHPPVYPPPKAPKIAPVYPPPKSPSKPPTYAPPPKSPSKPPTYAPPPKSPSKPPTYAPPTKPPTYAPPPKPPVYPPTKPPTAPPTKPPVHPPTYPPPSPSPPKPPTYPKPQRSLVAVQGVVFCKSCKYAGSDTLLGAKPILGATVKLTCKNTKYKQEATAKTDKNGYFFLQAPKTVTSFGAHKCTVSLVSSPMAKCSKPSNLHGGLKGATLRPEKPFTANKLPFILYTVGPFAFEPKCY >KJB65638 pep chromosome:Graimondii2_0_v6:10:18991788:18995241:-1 gene:B456_010G104300 transcript:KJB65638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLCLSFLFLLFLSTPFKAISQDINAERTLLLKLKQQLDNPPSIGSWNSSSSPCDWPEINCTAGSVTEVHLRDKNITVRVPPTICGLKNLTVLDLWDNFIPGEFPILYNCSKLQVLDLSQNYFVGPIPDDIDRLSSLVYLDISGNNFSGNIPPSIGRLPELKALYIYRNQFKGTFPKEIGNLANLEVLYMAYNEFVPMKIPLEFGQMSKLKYLSMRTTNLIGEIPESFNNLSSLQHLDLAINNLEGPIPSMMFSLKNLTILYLFHNKLSGGIPETIDALNLIEIDLSVNNLTGSIPEDFGKLQHLEFLNLFANQLTGGIPTGIGRLPALGDFRVFQNRLSGVLPPEFGLHSKLLGFEVSENQFSGQLPENLCAGGVLQGVVAFTNNLSGQIPESLGNCPTLLTVQLHNNKFSGEIPRHLWMTFNLSSLMLTNNSFSGKLPSRVTWNLSRVEISDNKFSGEVPTGIASWSNLVVFKASNNLFSDKIPKEITALSRLDTLLLDGNNFSGELPSEIISWRSLTTLDVSNNKLYGQIPVAIGSLPDLLNLDLSENQFSGQIPPEIGNLKLTSLNLSSNQLVGRIPKQLNNLVYESSFLKNADLCADHPILKLPDCYSKLHQPKRLSSKYLAMIVALAILASTVILLLTFFLVQDYKRRKRQEYLATWKLTSFQRLDFTKRNILSNLTDNNLIGSGGSGKVYQIVISHSGESVAVKKIWNSKRVGHKLEKEFLAEVEILSNIRHSNIVKLLCCISSEDSKLLVYEYMENQSLDRWLHGNKRSSVSGTSSVHHAILDWPRRLQIAVGAAQGLCYMHHECLTPIIHRDVKSSNILLDSEFNAKIADFGLAKMLTRHASSQTMSAIAGSFGYLAPEYAYTTKVNAKVDVYSFGVVLLELVTGRKANNIDENMSLVEWAWQHFSEDKPIDQILDPEVKEPSYMEEMILVYKVGILCTHASPSTRPSMNEVSNILRSCCLPDGHAAKKVGSEFEVAQRLGRDTDAYFSSYKHSKKGSEEDDSMIYVV >KJB65639 pep chromosome:Graimondii2_0_v6:10:18991788:18995483:-1 gene:B456_010G104300 transcript:KJB65639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLCLSFLFLLFLSTPFKAISQDINAERTLLLKLKQQLDNPPSIGSWNSSSSPCDWPEINCTAGSVTEVHLRDKNITVRVPPTICGLKNLTVLDLWDNFIPGEFPILYNCSKLQVLDLSQNYFVGPIPDDIDRLSSLVYLDISGNNFSGNIPPSIGRLPELKALYIYRNQFKGTFPKEIGNLANLEVLYMAYNEFVPMKIPLEFGQMSKLKYLSMRTTNLIGEIPESFNNLSSLQHLDLAINNLEGPIPSMMFSLKNLTILYLFHNKLSGGIPETIDALNLIEIDLSVNNLTGSIPEDFGKLQHLEFLNLFANQLTGGIPTGIGRLPALGDFRVFQNRLSGVLPPEFGLHSKLLGFEVSENQFSGQLPENLCAGGVLQGVVAFTNNLSGQIPESLGNCPTLLTVQLHNNKFSGEIPRHLWMTFNLSSLMLTNNSFSGKLPSRVTWNLSRVEISDNKFSGEVPTGIASWSNLVVFKASNNLFSDKIPKEITALSRLDTLLLDGNNFSGELPSEIISWRSLTTLDVSNNKLYGQIPVAIGSLPDLLNLDLSENQFSGQIPPEIGNLKLTSLNLSSNQLVGRIPKQLNNLVYESSFLKNADLCADHPILKLPDCYSKLHQPKRLSSKYLAMIVALAILASTVILLLTFFLVQDYKRRKRQEYLATWKLTSFQRLDFTKRNILSNLTDNNLIGSGGSGKVYQIVISHSGESVAVKKIWNSKRVGHKLEKEFLAEVEILSNIRHSNIVKLLCCISSEDSKLLVYEYMENQSLDRWLHGNKRSSVSGTSSVHHAILDWPRRLQIAVGAAQGLCYMHHECLTPIIHRDVKSSNILLDSEFNAKIADFGLAKMLTRHASSQTMSAIAGSFGYLAPEYAYTTKVNAKVDVYSFGVVLLELVTGRKANNIDENMSLVEWAWQHFSEDKPIDQILDPEVKEPSYMEEMILVYKVGILCTHASPSTRPSMNEVSNILRSCCLPDGHAAKKVGSEFEVAQRLGRDTDAYFSSYKHSKKGSEEDDSMIYVV >KJB63920 pep chromosome:Graimondii2_0_v6:10:1948277:1950146:-1 gene:B456_010G024400 transcript:KJB63920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALYLYLPIFLILYVFTKHFHNKIHNLPPTPFPCLPIIGHLHLLKKPLHRTLFDIANRYGPVLFFEFGSRRVLVVSSPSAAEECFTKNDAIFANRPRLLIAKHLAYNYTGLSWAPYGDLWRNLRRIASIELLSSSRLQLLSCIRMDEVKSLMRKLLCRQDEPVEFKRAFFELTYNVMMGLIAGKRYYGCEDVENMEEAERFREIQIEALELSSVTNFGDFIPWLKSKKLEKRLMECGAKRDKFMQDLIEQYRRKMKSDPNGEGNKKTMIEILLSLQESESDYYTDEIIKGLMIILLMAGTETSISSMEWGLSLLLNHPEVLTKARDEILNTVGDERLIEESDLVRLPYLCSIIYETLRMYPPVPMLVPHESSEECMVDGFRIPSGTMLLVNIWAIQNDPKVWEDPTRFEPERFDGLGGARDGFKSLPFGAGRRGCPGEGLGLRIVGLTLGSLIQCFELSRIGDKMVDMRAGTGVTMPKAQPLEAKCKPRPAMLELLSQI >KJB66041 pep chromosome:Graimondii2_0_v6:10:26027157:26028020:1 gene:B456_010G125300 transcript:KJB66041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNATRTVLESLKNTTSYYSQRGDAHNAYNRLRSFEFIFIFHMMKEVLGITDNLCQVLQRHSQDILYVMILVFTTKDLIQKLRDDGWNELLKNVISFYTQLQELKSRFNENVVKLRTLTTALDPKEFFKLFDIDKICILVNKFYPEDFSQQEKERLSYELKHYELDVCKHPDLRKISTLFKLCKSLVESGKSIMYPLVDRLIRLILTLPVSTASSEHAFSAMKIVKTRLRSKMEDDFLRSSLVVYIEKEIAEKFDINKIIDNFSEVKDRRVQFK >KJB64811 pep chromosome:Graimondii2_0_v6:10:8484470:8485162:-1 gene:B456_010G065900 transcript:KJB64811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEDFNRVLVGGPWVIYGHYLVVRPWSSNFSTAQNETDYQSIRIVVKLDAHIVSARRGRFAWLAVSVDLRKPMVSKVRINGRLQPVEYESL >KJB66909 pep chromosome:Graimondii2_0_v6:10:47606416:47607528:-1 gene:B456_010G164500 transcript:KJB66909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTMGFNFLLLLAMAATNLLSLYHLSSTFQSPKPSPPVPIHIPQHLLRHLNDIRATINHLTASTSDSKRTSPVPHDLILHSQVAPIASSCHRHPYLLRRYMNYTPFSTCPYDLDLQETLILNGCHPLPRRRCFSKTPPKPTSSLPLNPFPTALPDSSVIWTKYSCKSFACLLQNNPIDFDLNARRSSLLKYASELDLPVNRFMQLAKSANSVIRLGIDIGGGTGTFAALMKIFYNVTMLTTTLNVNAPYSEAVAARGLVPLHVPLQQRLPVFDGTMDLVRCGRAVNRWIPLPVMEFMFYDVDRVLRGGGYLWLDRFFSKAMDLEEVYEPMIGKLGYKKVKWAVANKTDPSGLKNGEVYLTAVLQKPVSR >KJB64175 pep chromosome:Graimondii2_0_v6:10:3275714:3276721:-1 gene:B456_010G035700 transcript:KJB64175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLFFRASNLVSSARIRRRIPLLGAFCVLGLGFPSLCSILPSSSYLKTSCPQSPHFVHIERSKFSTQSADKTVQAVKMEGNSNIVPSIVVYVTVPNREAGRKLAGSIVKEKLAACVNIVPGYAYSSFNLGHHMVICSTM >KJB64174 pep chromosome:Graimondii2_0_v6:10:3274512:3276793:-1 gene:B456_010G035700 transcript:KJB64174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLFFRASNLVSSARIRRRIPLLGAFCVLGLGFPSLCSILPSSSYLKTSCPQSPHFVHIERSKFSTQSADKTVQAVKMEGNSNIVPSIVVYVTVPNREAGRKLAGSIVKEKLAACVNIVPGLESVYLWEGKINSDPEEMLIIKTRQSLLEALTEHVKANHEYDVPEVIALPITGASPQYLEWLKNSTRD >KJB67357 pep chromosome:Graimondii2_0_v6:10:53850624:53854720:1 gene:B456_010G187200 transcript:KJB67357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGDNSDDSKAPLLPINESVESSSSSPFSLKSLISLKSFYVVLGPILCAIVCVFVKFDGPVTSRNMLAVLAWVFSWWLTEAVPMPITSMAPLFLFPLFGISSADSVAHSYMDDVITLVLGSFILVLAVERYNIHRRLALNITSRFCGEPVNPPLLLLGICGTTFFLSMWMHNVACAVMMMPVATGILQRLPTGPTQSTHIRDFCRAVILGVTFATPIGGMSTLTGTGVNLILVAMYKGSYPDAEPIGYNTWFFFGFPLALLIFFAFWAILCLLYLSKGSSQALSAYLDKTHLKRELNLLGPMAFAEKMVLAVFGVLVALWMTRSITEDIPGWGTLFNGRAGDGTVSVLVATFLFIIPNKKRKGEKLMDWNECKKLPWNIILLLGAGFAIADGVQSSGLADRLSKTLDFLEEAPYLAIAPAVCLICAIITEFITSNDATATLVLPLLIQMAKTMHVHPLLLMVPGAVGSQFAFILATATPSNIIGFATGHINIPDMVKTGIPLKIAGIVILSLLMPTLGVYVFGLNGGVQ >KJB67358 pep chromosome:Graimondii2_0_v6:10:53850841:53853994:1 gene:B456_010G187200 transcript:KJB67358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGDNSDDSKAPLLPINESVESSSSSPFSLKSLISLKSFYVVLGPILCAIVCVFVKFDGPVTSRNMLAVLAWVFSWWLTEAVPMPITSMAPLFLFPLFGISSADSVAHSYMDDVITLVLGSFILVLAVERYNIHRRLALNITSRFCGEPVNPPLLLLGICGTTFFLSMWMHNVACAVMMMPVATGILQRLPTGPTQSTHIRDFCRAVILGVTFATPIGGMSTLTGTGVNLILVAMYKGSYPDAEPIGYNTWFFFGFPLALLIFFAFWAILCLLYLSKGSSQALSAYLDKTHLKRELNLLGPMAFAEKMVLAVFGVLVALWMTRSITEDIPGWGTLFNGRAGDGTVSVLVATFLFIIPNKKRKGEKLMDWNECKKLPWNIILLLGAGFAIADGVQSSGLADRLSKTLDFLEEAPYLAIAPAVCLICAIITEFITSNDATATLVLPLLIQMAKTMHVHPLLLMVPGAVGSQFAFILATATPSNIIGFATGHINIPDMVKTGIPLKIAGIVILSLLMPTLGKLILPYSIFSSNNRFLWVYNLL >KJB66351 pep chromosome:Graimondii2_0_v6:10:31600094:31600521:1 gene:B456_010G137200 transcript:KJB66351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEGNGGGKICGGGGGSGGGVGGVGSGFFESDSTDVYYQMMVEANPGNPLLLGNYAKFLKEIRGDYSRAILANPDDGNLLAVYADLIWHNQNDIQRAKSYFEQAIKTAPNDW >KJB64812 pep chromosome:Graimondii2_0_v6:10:8486868:8489597:-1 gene:B456_010G066000 transcript:KJB64812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGLLHLLLRKYTLTWLHSNSMRLSLQRQPVSPFQMMTMMHLNRGVSLARELSTISAAASFSLPFGSVLSSLKKFSYLNSK >KJB64544 pep chromosome:Graimondii2_0_v6:10:6152182:6156174:-1 gene:B456_010G053600 transcript:KJB64544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCLSSAVTYSCRVCRNFRVLARFSTSCALNTVDYFKEIDTHVSDYPELQRRMQSYAISGDFSNAFSTLDLMKNIDGKPTVYDCNAFMFFYLKSKNACWQELVEMYTGMKRFGPPPVASTFNTLLNGMLFLGDLKHAIFIVEEMYRNHFVPSFTSLSKTLKMAVKVGNLLDGLVVFELMLRYDYHPTEPTLSKFILMLCEAGMVSDACFVFSVLLRKSYVYSVYCYNPILWALCKTGRSYTALQLFYLMKKKGFVQNVCSYTALIYGFCREGLQDNVFQWLDFMQSNGCKPNVITYTIIVKFLFDNGKFEEAMDFVSKMEREGCNPDLVTYNVILRELCHRDRLDDISELIQVMEQKGLSPDSYSYAALCGGLLKIGKVGDACELLRDIFSNGTADVAVYNIYFRCLCQENKSREALSKLKRMMKVGFKPNNVSYNTILSGFCKEKNINEAMELLYHFEWDVNWPDAVTFNAILSTARRLGNSAIIQTILYHMRDEDMKLNIFNLTCLVRYFCSSGKFSECLKLVESMMYNSPTPTVITLNMLLHNLCKNRLLGTAFRIFQNLRNTKCLPDVTSYNILIHASIREHNHLLVGQLLGEMKRQGLKLDVFTYGSLISGLCKEGKISAALQLWNQMLERGLIPSVVIFNTLLHAIFQRGKFWDILLLLKAMVVQGFQPDEVTLGILSQAVSNSSMKRFPQVAKVLDWVISNDFQKETDQKTIAG >KJB64545 pep chromosome:Graimondii2_0_v6:10:6152182:6156219:-1 gene:B456_010G053600 transcript:KJB64545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPTILNYNGGCRVMLSQSKNACWQELVEMYTGMKRFGPPPVASTFNTLLNGMLFLGDLKHAIFIVEEMYRNHFVPSFTSLSKTLKMAVKVGNLLDGLVVFELMLRYDYHPTEPTLSKFILMLCEAGMVSDACFVFSVLLRKSYVYSVYCYNPILWALCKTGRSYTALQLFYLMKKKGFVQNVCSYTALIYGFCREGLQDNVFQWLDFMQSNGCKPNVITYTIIVKFLFDNGKFEEAMDFVSKMEREGCNPDLVTYNVILRELCHRDRLDDISELIQVMEQKGLSPDSYSYAALCGGLLKIGKVGDACELLRDIFSNGTADVAVYNIYFRCLCQENKSREALSKLKRMMKVGFKPNNVSYNTILSGFCKEKNINEAMELLYHFEWDVNWPDAVTFNAILSTARRLGNSAIIQTILYHMRDEDMKLNIFNLTCLVRYFCSSGKFSECLKLVESMMYNSPTPTVITLNMLLHNLCKNRLLGTAFRIFQNLRNTKCLPDVTSYNILIHASIREHNHLLVGQLLGEMKRQGLKLDVFTYGSLISGLCKEGKISAALQLWNQMLERGLIPSVVIFNTLLHAIFQRGKFWDILLLLKAMVVQGFQPDEVTLGILSQAVSNSSMKRFPQVAKVLDWVISNDFQKETDQKTIAG >KJB67643 pep chromosome:Graimondii2_0_v6:10:56416759:56420481:-1 gene:B456_010G201600 transcript:KJB67643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYSPQYYSPPRRGYGGRERSPPRRSYGGGGGGGGGGGGGGGGGYGRRREQNNGSLLVRNIPLDCRPEELRIPFERFGLVRDVYIPKDYYTGAPRGFAFVQFVDSYDAAEAQWRMNGKIFGGREISVVVAAESRKRPEEMRHKARQRGPSGYGGRSSYYGRSRSRSASPTRSPRRPSGSRGRYRSRSYSPAPRRRGNYSVSPDRMHEDHPRSPRGPPQDRGGDYNPGSYSPGYENAGGNGYGEKSAYESEEAPRAARRPSPPVRASRSPPGSRSRSADPSPRRSR >KJB67642 pep chromosome:Graimondii2_0_v6:10:56417288:56419814:-1 gene:B456_010G201600 transcript:KJB67642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYSPQYYSPPRRGYGGRERSPPRRSYGGGGGGGGGGGGGGGGGYGRRREQNNGSLLVRNIPLDCRPEELRIPFERFGLVRDVYIPKDYYTGAPRGFAFVQFVDSYDAAEAQWRMNGKIFGGREISVVVAAESRKRPEEMRHKARQRGPSGYGGRSSYYGRSRSRSASPTRSPRRPSGSRGRYRSRSYSPAPRRRGNYSVSPDRMHEDHPRSPRGPPQDRGGDYNPGSYSPGYENAGGNGYGE >KJB63911 pep chromosome:Graimondii2_0_v6:10:1869607:1872361:-1 gene:B456_010G023600 transcript:KJB63911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLIPLVLSLGLLCFPSFSSSDSLRAGSSLSVETPADVLTSPDGSFSAGFHPVGQNAYSFAIWFNKPTCDANSCTIVWMANRDQPVNGRFSKLCLLKSGNLVLKDAGHIIVWETKTMSPMPSYLKLENGGNLILCDVEGLILWQSYDSPTDTLLPSQPFNENSKLVSRRSQGNYSSGYYQLYFDTDNVLCLVYKGPEFSSVYWPSPWLLRWEAGRSTFNNTKIAVLDSWGNFSSTDNFTFLSADYGLNISRMLKMDFDGNLRLYSLSEDTKAWVVSWQAFLQPCMVHGCCGPNSICIYSPSFGRDCSCSPGYKLKNLSDWSLGCEPEFHLPCNQTDHQFGFLKLRHVEFYGYDFKLYTNVTLEGCKQICLQLCDCKGFQFRFIKAHESDGTYCYPKTQLLNGHRPPNFNADFYVKLPKAIVSSYIDTVEHPELQCSNEVQELERKYTKRSENESLRFALWTACAIGGVEFITILLVWNLFIRTNDNSSPNAGYHLATTGFRKFTYGELKKATNKFSQEIGRGAGGIVYKATLSDGRIAAIKRLMDANKGEAEFLAEVNTIGKLNHMNLIEMWGYCAEGKHRLLVYEYMEHGSLAETLSFKSLDWSKRFDIALGTARGLAYLHEECLEWVLHCDIKPQNILIDSKYQPKVSDFGLSWLLNRGDVKHSQVSRIRGTRGYMAPEWVFNLPITSKVDVYSYGIVLLELVTGKSPAMGCKMSDDENSKGQRTLVGWVREQMGRAKQNEPWKHEVIDSKLEGIYDEAEMVIMVNVALRCVEEDKDERPTMGEVVEMLLQHGSH >KJB65379 pep chromosome:Graimondii2_0_v6:10:14797908:14804145:-1 gene:B456_010G092400 transcript:KJB65379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAAKYCCDNINNHESSSQSQTSTCMQKFRLYETRSNFYMIGRDKSRTYWRVLKIDRLDPSELNIREDSTTYTESECSELLRRIHEGNISTGGLRFVTICYGIVGFIKFLGPYYMLLITKRRQIGSIRGHNVYAVSKTEMIPVPNATVNSSIADSKDENRYKKLLCTVDLTKDFFFSYSYHVMLSLQKNLCNNEPGQVLYETMFVWNEFLTRGIRSHLQNTLWTVALVYGFFKQATLSVSGRDFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPDGLPAEVTSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDKNYEATRLHFQNLVKRYGNPIIILNLIKTQEKKPRESILRQEFANAIDVINKDLSEENRLRFLHWDLHKYSRSKATNVLLLLGKVAAYALMLTGFFYCRLTSTLKPEECVAWPSVENIDDSDMSHHKYLNNVNEDAYRLEGNYSGYNNITNGNHSLKPPTFQRGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGIKDTPKIDLNDPLADNLMGFYERMGDTLAHQYGGSAAHNKIFQERRGQWRAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQPGKPALWELGSDQHYRGRNGETTVDEDGRSFFKRSFSDGNILRQSISPMPAIKDKQEKFTNSTLPNRSQGSNGLSESSPEISTCESDISCSRYTPSMPRRQLFGDVQRDRYLETGQIFFSEHGGGVNCSNFVDLDWLSSSGNSCEDEPFERSSLLTSSPGLSSENIVNGILGETTPSTSEYGSSMKVGSCNAGRKLLNLTLMVTLLYGFVT >KJB65377 pep chromosome:Graimondii2_0_v6:10:14796846:14804794:-1 gene:B456_010G092400 transcript:KJB65377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAAKYCCDNINNHESSSQSQTSTCMQKFRLYETRSNFYMIGRDKSRTYWRVLKIDRLDPSELNIREDSTTYTESECSELLRRIHEGNISTGGLRFVTICYGIVGFIKFLGPYYMLLITKRRQIGSIRGHNVYAVSKTEMIPVPNATVNSSIADSKDENRYKKLLCTVDLTKDFFFSYSYHVMLSLQKNLCNNEPGQVLYETMFVWNEFLTRGIRSHLQNTLWTVALVYGFFKQATLSVSGRDFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPDGLPAEVTSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDKNYEATRLHFQNLVKRYGNPIIILNLIKTQEKKPRESILRQEFANAIDVINKDLSEENRLRFLHWDLHKYSRSKATNVLLLLGKVAAYALMLTGFFYCRLTSTLKPEECVAWPSVENIDDSDMSHHKYLNNVNEDAYRLEGNYSGYNNITNGNHSLKPPTFQRGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGIKDTPKIDLNDPLADNLMGFYERMGDTLAHQYGGSAAHNKIFQERRGQWRAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQPGKPALWELGSDQHYRGRNGETTVDEDGRSFFKRSFSDGNILRQSISPMPAIKDKQEKFTNSTLPNRSQGSNGLSESSPEISTCESDISCSRYTPSMPRRQLFGDVQRDRYLETGQIFFSEHGGGVNCSNFVDLDWLSSSGNSCEDEPFERSSLLTSSPGLSSENIVNGILGETTPSTSEYGSSMKGMHQTGMEEFPESFVQWVNYGQMLSH >KJB65380 pep chromosome:Graimondii2_0_v6:10:14799671:14804794:-1 gene:B456_010G092400 transcript:KJB65380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAAKYCCDNINNHESSSQSQTSTCMQKFRLYETRSNFYMIGRDKSRTYWRVLKIDRLDPSELNIREDSTTYTESECSELLRRIHEGNISTGGLRFVTICYGIVGFIKFLGPYYMLLITKRRQIGSIRGHNVYAVSKTEMIPVPNATVNSSIADSKDENRYKKLLCTVDLTKDFFFSYSYHVMLSLQKNLCNNEPGQVLYETMFVWNEFLTRGIRSHLQNTLWTVALVYGFFKQATLSVSGRDFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPDGLPAEVTSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDKNYEATRLHFQNLVKRYGNPIIILNLIKTQEKKPRESILRQEFANAIDVINKDLSEENRLRFLHWDLHKYSRSKATNVLLLLGKVAAYALMLTGFFYCRLTSTLKPEECVAWPSVENIDDSDMSHHKYLNNVNEDAYRLEGNYSGYNNITNGNHSLKPPTFQRGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGIKDTPKIDLNDPLADNLMGFYERMGDTLAHQYGGSAAHNKIFQERRGQWRAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQPGKPALWELGSDQHYRGRNGETTVDEDGR >KJB65378 pep chromosome:Graimondii2_0_v6:10:14796621:14804827:-1 gene:B456_010G092400 transcript:KJB65378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAAKYCCDNINNHESSSQSQTSTCMQKFRLYETRSNFYMIGRDKSRTYWRVLKIDRLDPSELNIREDSTTYTESECSELLRRIHEGNISTGGLRFVTICYGIVGFIKFLGPYYMLLITKRRQIGSIRGHNVYAVSKTEMIPVPNATVNSSIADSKDENRYKKLLCTVDLTKDFFFSYSYHVMLSLQKNLCNNEPGQVLYETMFVWNEFLTRGIRSHLQNTLWTVALVYGFFKQATLSVSGRDFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPDGLPAEVTSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDKNYEATRLHFQNLVKRYGNPIIILNLIKTQEKKPRESILRQEFANAIDVINKDLSEENRLRFLHWDLHKYSRSKATNVLLLLGKVAAYALMLTGFFYCRLTSTLKPEECVAWPSVENIDDSDMSHHKYLNNVNEDAYRLEGNYSGYNNITNGNHSLKPPTFQRGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGIKDTPKIDLNDPLADNLMGFYERMGDTLAHQYGGSAAHNKIFQERRGQWRAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQPGKPALWELGSDQHYRGRNGETTVDEDGRSFFKRSFSDGNILRQSISPMPAIKDKQEKFTNSTLPNRSQGSNGLSESSPEISTCESDISCSRYTPSMPRRQLFGDVQRDRYLETGQIFFSEHGGGVNCSNFVDLDWLSSSGNSCEDEPFERECIRQEWRNSPRVLCSGLTMDRCFPIKVLLVFLKSVTDIHDSSESSCRSDARH >KJB68251 pep chromosome:Graimondii2_0_v6:10:60505225:60509175:-1 gene:B456_010G234400 transcript:KJB68251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSAGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELESVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARRPNSVVISCNLKLNLDRLLWKMWEEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCTVEDFCNHIHRNLIKDVKYVLVWGTSARHYPQHCGLGHVLQDEDVVQIVKKKEKEEGGRGRFKSHSTAPARISDRQKKAPLKT >KJB68252 pep chromosome:Graimondii2_0_v6:10:60504890:60509863:-1 gene:B456_010G234400 transcript:KJB68252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSAGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELESVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARRPNSVVISCNLKLNLDRLLWKMWEEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCTVEDFCNHIHRNLIKDVKYVLVWGTSARHYPQHCGLGHVLQDEDVVQIVKKKEKEEGGRGRFKSHSTAPARISDRQKKAPLKT >KJB63525 pep chromosome:Graimondii2_0_v6:10:193953:194816:1 gene:B456_010G004400 transcript:KJB63525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHTRTLKTHKNQTRFLYYFLVLLMAVFKAFIASLLISLLLLQLVAADQLVTSASKRKGTAPPAKIDCGGACAARCRLSSRPHLCKRACGTCCARCNCVPPGTAGNQEMCPCYASLTTHGGRRKCP >KJB68322 pep chromosome:Graimondii2_0_v6:10:60847541:60851153:1 gene:B456_010G238500 transcript:KJB68322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSAKKMNMEESKYRTIAIVATTPLIIIGGICIGWKYVSRAWNNKKTDHRRQQKIPRSISMAAIHGGKLALDRLVNYGKQQSTTQSGDDVEQLKTLLENEQPHYKELQQVLGRLEMNRREDEAIKILKKAMKKKKAQMKPNSNEANEIGFLLAELYIYKGDVQKASKCLAKVEASDAKFSLYKAIISMMDQKEQEAIQHWETFKEIQNQTIPSSFNEEEFTEFKNAVYLLKQDIDAAATQLKKQ >KJB63225 pep chromosome:Graimondii2_0_v6:10:11106090:11107797:1 gene:B456_010G0769001 transcript:KJB63225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNGMELKSVIMLELDKLEALLQEKDIQADLVCCLQHLQLRFQTEDKARRLLSNVSFLLKPGGYFFGITPEKVIGKNHCFWICVFSIFFPSGYCCTCFWEVIV >KJB63791 pep chromosome:Graimondii2_0_v6:10:1239485:1242396:1 gene:B456_010G016100 transcript:KJB63791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRFTVSFSGYVAQNLASTAGSRLGSCPSRSVHECWLRSRFLSPKQKSDVDPSPPRAYHATAAADLRRPRSTMCSTLAAEFLKDGYNNPIVVALISLMKSTAYSSCSSSTSMGILPFKVASIIPFLQGSKWMQCNESPPVGPESTEVDKGGTNDDGNQSLTLELDPKSFVKSSWITKMFNSRSEDAKAAFTAVTVSILFRSFLAEPRSIPSSSMYPTLDVGDRILAEKVSYFFREPEVSDIVIFTAPPILQEIGYSSGDVFIKRIVAKAGDCVEARDGKLLINGIEQDEDFVLEPLGYEMEPLVSFSLMIVYSANYSYPYVSLVLCHGFVTQSLS >KJB63790 pep chromosome:Graimondii2_0_v6:10:1239485:1242396:1 gene:B456_010G016100 transcript:KJB63790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRFTVSFSGYVAQNLASTAGSRLGSCPSRSVHECWLRSRFLSPKQKSDVDPSPPRAYHATAAADLRRPRSTMCSTLAAEFLKDGYNNPIVVALISLMKSTAYSSCSSSTSMGILPFKVASIIPFLQGSKWMQCNESPPVGPESTEVDKGGTNDDGNQSLTLELDPKSFVKSSWITKMFNSRSEDAKAAFTAVTVSILFRSFLAEPRSIPSSSMYPTLDVGDRILAEKVSYFFREPEVSDIVIFTAPPILQEIGYSSGDVFIKRIVAKAGDCVEARDGKLLINGIEQDEDFVLEPLGYEMEPLVVPEGYVFVLGDNRNKSFDSHDW >KJB63789 pep chromosome:Graimondii2_0_v6:10:1239398:1242455:1 gene:B456_010G016100 transcript:KJB63789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRFTVSFSGYVAQNLASTAGSRLGSCPSRSVHECWLRSRFLSPKQKSDVDPSPPRAYHATAAADLRRPRSTMCSTLAAEFLKDGYNNPIVVALISLMKSTAYSSCSSSTSMGILPFKVASIIPFLQGSKWMQCNESPPVGPESTEVDKGGTNDDGNQSLTLELDPKSFVKSSWITKMFNSRSEDAKAAFTAVTVSILFRSFLAEPRSIPSSSMYPTLDVGDRILAEKVSYFFREPEVSDIVIFTAPPILQEIGYSSGDVFIKRIVAKAGDCVEARDGKLLINGIEQDEDFVLEPLGYEMEPLVVPEGYVFVLGDNRNKSFDSHDWGPLPIDNIIGRSVFRYWPPSKVSDTIHYTHVGKDAVAVS >KJB67656 pep chromosome:Graimondii2_0_v6:10:56723535:56725382:-1 gene:B456_010G202500 transcript:KJB67656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQHFSHKHPLVFIEKQNHESEKAYCSGCGELVSGSSFGCVECRYYLDKLCAEAPSEINHPFHCNHSLKLQVSPPFRNICDFCDKGLDKFVYHCSCDLNLHIKCALLSKSIAEKGFGKLDDIPHKEALVSIENFSEELKGAKCFACWQRLLDFAYLDLGHGIFLHKKCVDLPTEINHFLHSQHSLILQFNSKPLPCQMCKKTQLKGFVYRCSPCEVALHITCAELPTKINHLCHRNHPLFLKFDPESLPCQICQNTQDEGLVYCCSICKFKLHVDCASPPPIIKGEIHEHPFTLFWKQLPFICDACGTTGNCASYTCYKCSLTIHGNCISLPPIIKFPRHRHPLSHTFILGQYEMKTLICEACPEKVNAQHGVYCCSDCNYVVHADCAQEYSWFSFYELEDMDEDLKEKSAFAVIKVSKLGEDIVIASEIKHLNHQHNLVLSNDSEGHKVSTMLLLVQC >KJB64313 pep chromosome:Graimondii2_0_v6:10:4033328:4034236:-1 gene:B456_010G042500 transcript:KJB64313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFDQLPDSLILLIFNSVSDVKSLIRCRSVSKRFNSLVPQTDSILLKIDRVISSESDSDSLFLTLLKSFFNFILPKPTQSPLPSRTHTYPAQILSRFQRIRELHVELPAGDLQLEKGTVVKWRAEFGEALKTCVIFGFRLGHDGNYETEFAGGLKRRVMWTISALMAASVRHFLLREVVREHKGMEELVITDREGEGEVLMEEQGLREWREARESHVRVEEEEEERGRTVVPSVRMRMRHEPRLGATLVVVRAVKDGTHNGREADVEDADLAVRAFGNGVYGDAVRELLKARSYLLEMNSF >KJB67395 pep chromosome:Graimondii2_0_v6:10:54133710:54135339:1 gene:B456_010G188600 transcript:KJB67395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALHRILLVLCLVSLLLQMTAGGGFREWCIADEQTPDEELLKALDWACGKGGADCSKIQVNQPCYHPNTIKDHASYAFNNYYQKFKNKGATCYFNSAAIITDLDPSHNSCKFETVP >KJB67147 pep chromosome:Graimondii2_0_v6:10:52191408:52195726:1 gene:B456_010G177800 transcript:KJB67147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPNLFESPHMFDMSHKTSESELMGKIRDDDYEIKSVNETMDAPSGDDQDPDQRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKAQHERHENAILKAENEKLRAENNRYKEALSNATCPSCGGPAALGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPLSSLPHLSSHLHSRSVDLGASNFGNQSGFVGEMDRSGDLLRSVSGPTEADKPMIVELAVAAMEELIRMAQSGEPLWVPGDNSTDVLNEDEYLRSFPRGIGPKPLGLRSEASRESAVVIMNHVNLVEILMDVNQWSSVFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHIDGTWAVVDVSLDNLRPNPMSKCRRRPSGCLIQELPNGYSKVIWVEHVEVDDRAVHNIYRPVVNSGLAFGAKRWVATLDRQCERLASSMASNIPAGDLCVITSPEGRKSMLKLAERMVTSFCTGVGASTAHAWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIQVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNVMQTLAKATC >KJB67148 pep chromosome:Graimondii2_0_v6:10:52191980:52195726:1 gene:B456_010G177800 transcript:KJB67148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPNLFESPHMFDMSHKTSESELMGKIRDDDYEIKSVNETMDAPSGDDQDPDQRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKAQHERHENAILKAENEKLRAENNRYKEALSNATCPSCGGPAALGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPLSSLPHLSSHLHSRSVDLGASNFGNQSGFVGEMDRSGDLLRSVSGPTEADKPMIVELAVAAMEELIRMAQSGEPLWVPGDNSTDVLNEDEYLRSFPRGIGPKPLGLRSEASRESAVVIMNHVNLVEILMDVNQWSSVFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHIDGTWAVVDVSLDNLRPNPMSKCRRRPSGCLIQELPNGYSKVIWVEHVEVDDRAVHNIYRPVVNSGLAFGAKRWVATLDRQCERLASSMASNIPAGDLCVITSPEGRKSMLKLAERMVTSFCTGVGASTAHAWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIQVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDATGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPGVNGGGILEIGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAAVKCNNA >KJB67146 pep chromosome:Graimondii2_0_v6:10:52191777:52195759:1 gene:B456_010G177800 transcript:KJB67146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPNLFESPHMFDMSHKTSESELMGKIRDDDYEIKSVNETMDAPSGDDQDPDQRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKAQHERHENAILKAENEKLRAENNRYKEALSNATCPSCGGPAALGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPLSSLPHLSSHLHSRSVDLGASNFGNQSGFVGEMDRSGDLLRSVSGPTEADKPMIVELAVAAMEELIRMAQSGEPLWVPGDNSTDVLNEDEYLRSFPRGIGPKPLGLRSEASRESAVVIMNHVNLVEILMDVNQWSSVFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHIDGTWAVVDVSLDNLRPNPMSKCRRRPSGCLIQELPNGYSKVIWVEHVEVDDRAVHNIYRPVVNSGLAFGAKRWVATLDRQCERLASSMASNIPAGDLCVITSPEGRKSMLKLAERMVTSFCTGVGASTAHAWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIQVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDATGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPGVNGGGILEIGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAAVKCNNA >KJB67149 pep chromosome:Graimondii2_0_v6:10:52191408:52195726:1 gene:B456_010G177800 transcript:KJB67149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPNLFESPHMFDMSHKTSESELMGKIRDDDYEIKSVNETMDAPSGDDQDPDQRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKAQHERHENAILKAENEKLRAENNRYKEALSNATCPSCGGPAALGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPLSSLPHLSSHLHSRSVDLGASNFGNQSGFVGEMDRSGDLLRSVSGPTEADKPMIVELAVAAMEELIRMAQSGEPLWVPGDNSTDVLNEDEYLRSFPRGIGPKPLGLRSEASRESAVVIMNHVNLVEILMDVNQWSSVFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHIDGTWAVVDVSLDNLRPNPMSKCRRRPSGCLIQELPNGYSKVIWVEHVEVDDRAVHNIYRPVVNSGLAFGAKRWVATLDRQCERLASSMASNIPAGDLCVITSPEGRKSMLKLAERMVTSFCTGVGASTAHAWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIQVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDATGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPGVNGGGILEIGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAAVKCNNA >KJB67145 pep chromosome:Graimondii2_0_v6:10:52191031:52195759:1 gene:B456_010G177800 transcript:KJB67145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPNLFESPHMFDMSHKTSESELMGKIRDDDYEIKSVNETMDAPSGDDQDPDQRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKAQHERHENAILKAENEKLRAENNRYKEALSNATCPSCGGPAALGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPLSSLPHLSSHLHSRSVDLGASNFGNQSGFVGEMDRSGDLLRSVSGPTEADKPMIVELAVAAMEELIRMAQSGEPLWVPGDNSTDVLNEDEYLRSFPRGIGPKPLGLRSEASRESAVVIMNHVNLVEILMDVNQWSSVFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHIDGTWAVVDVSLDNLRPNPMSKCRRRPSGCLIQELPNGYSKVIWVEHVEVDDRAVHNIYRPVVNSGLAFGAKRWVATLDRQCERLASSMASNIPAGDLCVITSPEGRKSMLKLAERMVTSFCTGVGASTAHAWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIQVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDATGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPGVNGGGILEIGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAAVKCNNA >KJB64070 pep chromosome:Graimondii2_0_v6:10:2835934:2837344:-1 gene:B456_010G032000 transcript:KJB64070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTAAQFPYPWQRCKIVHLVRHGQAMHNVEGDINREALLSPHLFDAELSPLGRHQVGKLREDVHASGLLKRIELVITSPLYRTMQTAFGVFGSTESNEDAGVNCPKIMAVELCRDRMGVRPCDMRRRVSECQALFPSIDFSMMDGEEDNMWNPDFRESEEEMAARMGLLMNWLWTRPEQEIVIVSHGIMLQHILYRLGNDCHQSVRTALCKRFDNCELRSVVIVDKR >KJB64813 pep chromosome:Graimondii2_0_v6:10:8494377:8497828:-1 gene:B456_010G066100 transcript:KJB64813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIAALVVWEKLSAERALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVHIDLAAQQQHEAELAAAASQPLPDDDDDAFE >KJB67852 pep chromosome:Graimondii2_0_v6:10:58240176:58241680:1 gene:B456_010G214800 transcript:KJB67852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKLVDLNQFLFTNRIGVDEWLRVPTLQDVFSIRDCSGFLESTGKPVLPALAQVQYRVGPKLMSTLLNYESSFPFIGTQPLVDEKIKTRIII >KJB65748 pep chromosome:Graimondii2_0_v6:10:21210814:21213427:1 gene:B456_010G111600 transcript:KJB65748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVTSNVAAKLAFFPPDPPTYEVCREENGKLAMPGVTADKNMDVNLIDTKGGNKIVATFWRHPFARFTLLYSHANAADIGQMHELFYELRAHLRVNIMSYDYSGYGASSGKPTELNTYYDIEAVYNCLQKEYGVKQEDLILYGQSVGSGPTLHLAARLPKLRGVVLHSAILSGIRVLYPVKMTFWFDIFKNIDKIRRVTCPVLVVHGTNDETVDWSHGKRLWELSKEKYEPLWVKGGGHCNLESYPEYIQHLNQFINAMEMNSIRRSKQLTPNRSITEPKHDKCLRFKKRVAALKKGCCIVPKNRSS >KJB63362 pep chromosome:Graimondii2_0_v6:10:35940425:35942761:-1 gene:B456_010G142600 transcript:KJB63362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLSQSVLKLRLITKPHPSSSRLLAVRTQSNQPNPSDSSDASSDSSSDPLLRKLEDAIHRIIVRRSAPDWLPFIPGSSYWVPPSTAQSYGLAQLVEKLANPLTSEESMSTTSARGWPSSDYFIKGGSPHPVEVDLISNTSSKSEDEEG >KJB65269 pep chromosome:Graimondii2_0_v6:10:13235338:13237361:-1 gene:B456_010G087000 transcript:KJB65269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTLRLGKGGGQIDGKVVQTFQKSFVQVQNILDQNRLLINEINQNHESKIPDNLGRNVGLIKELNNNIRRVVDLYADLSTSFTKSTDIASSEGGDSSGGGGAMKYSDGSKGGHKRNRPA >KJB63480 pep chromosome:Graimondii2_0_v6:10:51695:52965:1 gene:B456_010G001400 transcript:KJB63480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPICPCPFIMPCFPAEEARELCCSAASLVISKLFHAIFICIFSVVGATLGAMVGAFVGAKTKTGWLHGATVGAIRGSFFSIKLFEFSLIVCSSDDMATSYFFQPINAFDSAFNGVPRSGGVSKESIRNIPTIIITEENVWDSSRNPISCSICLQDFMHGEIVHSLPHCHHMFHISCIKKWLVGHKSCPLCRRNV >KJB66202 pep chromosome:Graimondii2_0_v6:10:29359216:29359431:1 gene:B456_010G132500 transcript:KJB66202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHGTKIRHWWKAPIDQLNLRELYEQDERFAEFDNFIPITRDKKIATISSMQAPMDEDVPSAFPPRYCPNLQ >KJB65530 pep chromosome:Graimondii2_0_v6:10:17697318:17697655:1 gene:B456_010G099900 transcript:KJB65530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFCNGILRMPFFLIPRMLISYDSFRVLEYCEKYVKPEDVVGVEEVKSSDEKLSEDEYAASDDEEITEKIYL >KJB63927 pep chromosome:Graimondii2_0_v6:10:1978760:1980695:1 gene:B456_010G024900 transcript:KJB63927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSEIWACSFLVATALLVFIFFNGSSFPNMEFPQNGDVMSVTSASRKLKGNGYDPTGVDLEDYRHRIDPVPSSKASIKPGPIEHGTPLNPYIPKPPSPPNHPKSDNGST >KJB64343 pep chromosome:Graimondii2_0_v6:10:4465772:4467330:1 gene:B456_010G044000 transcript:KJB64343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGKRPRPPMKRTTSMTEITFDLNTTATTNAEPPPSDPHNPFNNYPKQASPFGGAAPSVAVAGGGGGLEGLDQRLLATVSPRVHRRHSADFMETPHFLRSCGLCRRRLVPGRDIYMYRGDTAFCSLECRQQQMNQDEKKDKCSIASKKQAAASPAARSSVSTKGETVAAV >KJB65426 pep chromosome:Graimondii2_0_v6:10:15601812:15605427:1 gene:B456_010G094600 transcript:KJB65426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKQSSSVRFFFNQISNRNRKGNDIFMAFLARNDPFFSRHLHTSPKDQRRCFQFLGKLVPLENYNVSRCGSGGGFKFNKAASSLNLTVKSCLNDSPRKWDQKALAFASQLARELEISKHDEPQKRANTVADSRGLSQRGTLDFPDKPLPEKIVVAVDVDEVLGNFVSALNRFIADRYSLNHSVSEYHVYEFFRIWNCSRDEADIRVHEFFKTPYFKNGIHPLPGAQRALHKLSRFCNLSVVTSRQNVIKDHTIEWLEKHYPGLFHEIHFGNHFALDGESRPKSEICRLLGAKILIDDNPRYAIECAQVGIRVLLFDYENSYPWCKTQSIDEHPLVTKVHSWEEVEQQIASWIISSTIL >KJB64011 pep chromosome:Graimondii2_0_v6:10:2448713:2451249:-1 gene:B456_010G029500 transcript:KJB64011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRLTVGNLALKVPVASKPARSVVHPSWSPCFCKIKLNNFPLQTAVVPYIPSENHFPDNQPPSIAATFHLSKADIDKLAAKSIFSSKLSLKIAVYTGRSGATCGVNSGRLLGRVLVPLDLTGTDSRACVFHNGWISVGKEGNKNSSAQFHLNVKAEPDRRFVFQFDGEPECSPQVFQIRGNIRQPVFTCKFSFRNTGDRNQRSRPLQSEPSSSRSWLSSFGSERERPGKERKGWSITVHDLSGSPVAAASMVTPFVASPGSDRVSRSNPGSWLILRPGDGTWKPWGRLEAWRERGSSDGLGYRFELIPDMSASAAIVLAESTLSSRKGGKFVIDLGSNSGGGASNWRNTPVNATSPACSPRSSGDYGYGLWPFCLYRGFVMSARVEGEGKCSKPCVEVSVQHVNCTEDAAAYVALAAAVDLSMDACRLFSQRLRKELSNPHDILV >KJB63741 pep chromosome:Graimondii2_0_v6:10:1026950:1030928:-1 gene:B456_010G013800 transcript:KJB63741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKQPKEPNFIFISILILSFPFIIQSSNCNRSCGGNHVPYPFGFSPTCQIPLNCTTTAHHHHHHHIAGFPILNINQNHIKISIEATCDRPLQALHRLYSQNYAPTSRNAILLQNCSLPSPCMIPSTMVYTHFESLTCSPNNSNISCYSEKKSNGFLDYDKVIGTNCGSFLSSISTESFNESGVLEVQVVELRWWIEGQCSELCSENAVCDEIVSPVNRRPGSYCRCRNGFVGDGYRAGDGCRKASSNCNPARYVSGECGGTARVIMLIGGIAVGASLMICVVLTCCFARKKSSSRTKHSMKRLFSDASGINIPIYTYKEIEKATNGFSEKQRLGTGAFGTVYAGKLHTNSWVAIKRIKHRDTDCIEQVVNEIKLISSVSHPNLVRLLGCSMENGEQILVYEFMPNGTLCQHLQRERGDGLPWPVRLTIATETAQAIAYLHSAIDPPIYHRDIKSSNILLDYNFKSKVADFGLSRLGKTETSHISTAPQGTPGYLDPQYHQNFHLSDKSDVYSFGVVLIEIITALKVIDFSRPPNEANLASIATDRISKGRLDEIIDPFLNPNSNDPWTLSSIHKVAELAFRCLAFHRDMRPTMMDVAMELEQIKLSRWVLGEEINYEGASLEASPCSSSSNLSEQPLSKGINKNGGVQNRNGLFMLQMSNVGFMNLMEKMKDHSPVSVQDPWLSEQSSPSSSYLLNNVTH >KJB63740 pep chromosome:Graimondii2_0_v6:10:1026789:1031126:-1 gene:B456_010G013800 transcript:KJB63740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKQPKEPNFIFISILILSFPFIIQSSNCNRSCGGNHVPYPFGFSPTCQIPLNCTTTAHHHHHHHIAGFPILNINQNHIKISIEATCDRPLQALHRLYSQNYAPTSRNAILLQNCSLPSPCMIPSTMVYTHFESLTCSPNNSNISCYSEKKSNGFLDYDKVIGTNCGSFLSSISTESFNESGVLEVQVVELRWWIEGQCSELCSENAVCDEIVSPVNRRPGSYCRCRNGFVGDGYRAGDGCRKASSNCNPARYVSGECGGTARVIMLIGVGASLMICVVLTCCFARKKSSSRTKHSMKRLFSDASGINIPIYTYKEIEKATNGFSEKQRLGTGAFGTVYAGKLHTNSWVAIKRIKHRDTDCIEQVVNEIKLISSVSHPNLVRLLGCSMENGEQILVYEFMPNGTLCQHLQRERGDGLPWPVRLTIATETAQAIAYLHSAIDPPIYHRDIKSSNILLDYNFKSKVADFGLSRLGKTETSHISTAPQGTPGYLDPQYHQNFHLSDKSDVYSFGVVLIEIITALKVIDFSRPPNEANLASIATDRISKGRLDEIIDPFLNPNSNDPWTLSSIHKVAELAFRCLAFHRDMRPTMMDVAMELEQIKLSRWVLGEEINYEGASLEASPCSSSSNLSEQPLSKGINKNGGVQNRNGLFMLQMSNVGFMNLMEKMKDHSPVSVQDPWLSEQSSPSSSYLLNNVTH >KJB65182 pep chromosome:Graimondii2_0_v6:10:12368010:12370378:-1 gene:B456_010G083600 transcript:KJB65182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSHSTQNPVIEQQRMIIPNKHGEKLVGLLHETEYKELVILCHGFRSRKFSWDYNTMTNLAAALETEGISVFRFDFAGNGESEGSFQYGNYYREADDLHAVIQHFSGESRVVSAILGHSKGGNVVLLYASKYQDIRIVVNVSGRYDLKRGIAERLGEDFMEIIKKDGHIDVKNKTDMHEACLKIDKECRVLTVHGSADEIISVEDALEFAKVIPNHQLHIIEGANHGYTSHQTELASSVVKFIKLALEQDKVSSK >KJB68200 pep chromosome:Graimondii2_0_v6:10:60297338:60298205:1 gene:B456_010G231500 transcript:KJB68200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAQVLEINLISAQGLKRHTKNYAIAWVDPSTKLRTRIDRTGGENPTWNDKFLFKVSQDFLSGETSAVSVAIYAAGIIRDKLIGTVRILISTFLHTSPSSPITSPAFAAFLIRSPSGEFFGTLNVGATVLNAFTGFQALNKASAIGYHELAGENLAGKYRSANKSKATVRDVPESIPSDSPVHQSETSDSLVDQSFTDVSLKQKVRKNKKKFWINLRQLHAICISPQI >KJB64614 pep chromosome:Graimondii2_0_v6:10:6508196:6508800:-1 gene:B456_010G057200 transcript:KJB64614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSRLAVSLLVLFIIYLSSVQGIRLEKSFKSAGHDPIQEGALMKNSNGAMGDILLCKQGHCTGTKKRKSHHWLPSIHEDYYGPRKHRPRHH >KJB63595 pep chromosome:Graimondii2_0_v6:10:538869:541376:1 gene:B456_010G008100 transcript:KJB63595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSEELPDCFYYQNKPTLITPNTSTPNHSLYLSNLDDQKFLRFSIKYLYLFEKAVAIDILKYSLSKVLADYYPLAGRLRPCGGAGDDDKLVVDCNGEGAVFAEGFMDISCEEFLQISRKPNSSWRKLLYRVEAHSFIEIPPLVVQVTNLRCGGMILCTAINHCICDGIGTSQFLHAWAHVITKPTLDLRILPFHSRHVLKPRDPPQVTHTHLGYTKTTFKDNNIHVDINQYLQSQALVPTSFTFTSAHILRLKRRCIPSLKCTTFEALASHTWRSWVRSLDLSTTFKVKLLFSVNVRKKLIPEIPQGYYGNGFVLACTETAVKDLVTSNLHHGIKLIQQAKSSLTDGHVRSMIDLLEDKNVKTDTCSSLVISQWAKLGLEDLDFGQGKPLHMGPLTSDIYCLFLPVVGNFDAVKVQVSVPECVVEKFEYYMMDGLDEEENGEQNGFI >KJB63728 pep chromosome:Graimondii2_0_v6:10:977278:980782:-1 gene:B456_010G012900 transcript:KJB63728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YVKRSKRKKLAIDDSLNKQVPTHDGIKSVMADNLCNFSTNSDLCICMVTWNMNGRVSYEDLVELFGNNRGFDLLVVGLQEVPSKDLARLLQDALVDTHELLGVATMQSLQLYVFGTKNSYMFVEEMKVDKHGVGGCGGMRRRKKGAVAISINYKGLKLVFITCHLSAHARNVEERNSQCRHISHSLFSKYCNNPYTTPAHITVWLGDLNYRLQGINTHPARNLIHRNLHKLLRSKDQLLQEAESGQIFNGYCEGKLAFKPTYKYNIGSSNYDTSYKVRVPAWTDRILFKIEDPNKITANLHCYESVDDIYSSDHKPVRAHLCLKVHK >KJB67058 pep chromosome:Graimondii2_0_v6:10:50096621:50097534:1 gene:B456_010G172200 transcript:KJB67058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPMSSPIVALFLTFFLLLSAADAFNITKILGSFSDYSASEINDKKSVTVLVVSNSQISGLSSQPKDTIKKMLSIHVVLDYYDKAKVDKVPSKPLTLTTLYQQSGKAQNQQGFLTMTRVGKQVSFGSAAPGSSHDSIFVKQVTTQPYDISVLEISNVINVAITSSPSYAPNASPPRKALAPGPNKSPLASPPKTTRSDIPAADAPSTTADSDAPDNTSAASIASASAILFIFASACFMLTMI >KJB67929 pep chromosome:Graimondii2_0_v6:10:58720017:58724035:1 gene:B456_010G218400 transcript:KJB67929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDMKMKMKSLLTFVLFWLVLLAVIASFPVRSLTLSPSLNDDVLGLIVFKADIQDPTQKLSSWNEDDDTPCNWKGIKCNPRSNRVTELNLDGFSLSGRIGRGLLQLEFLRKLSLANNNLSGTISPNMVKLESLIAIDLSENSLTGSIPDDFFKQCGSLRYISLANNHFSGKIPGSLGSCATLAAINLSMNRFSGLLPVGIWGLSGLRTLDLSCNMLEGEIPEGVHALNNLRSINLSNNRFTGQVPDEIGSCLLLRSIDFSMNLFSGSVPKTMQELSLCTYLNLSVNSFVVEVPEWIGEMESLQTLDLSVNKFSGQVPDSIGKLKFLKVLNFSSNSLNGSLPASMENNMNLLALDISQNSLTGDLPGWIFKSGPNQVLFSEQKVGANVDNPISASLGAYLQRIQVLDLSQNSFSGELTFDIGALSSLKLLNLSRNSLIGPVPGTVAELKALEVLDLSQNQLNGSIPMEIGGAYSLKDLRLKANFIEGKIPSSIENCTLLRTLIISQNNLSGPIPAKIGELSNLEYVDLSFNDLVGRLPKQLANLPRLLSFNISHNNLQGELPAGGFFNTISPTAVFGNPLLCGSTVNKSCPAVLPKPIVLNPNTTSDSIPDDLPPNVGRKRIVLSISALIAIGAAAFIVVGVIAVTVLNLRVKSSTYHSAAPIAFSAGDEFSRSPTTDANSGKLVMFSGEPDFSTGAHAMLNKDCELGRGGFGAVYRTVSQDGRAVAIKKLTVSSLVKSQEEFEREVKKLGKLRHYNLVALEGYYWTPSLQLLISEFVSGGSLHKHLHEGPSGNYLSWHDRFRIILGTAKGLAHLHESNIIHYNIKSSNILIDGSGEPKVADFGLARLLPMLDRYILSSKIQSALGYMAPEFACRTVKITEKCDVYGFGVLVMEVVTGKRPVEYMEDDVVILCDMVRGALEEGRVEECVDERLQGKFPVEEAIPVMKLGLICTSQVPSNRPDMSEVVNILELIRCPSESQDSG >KJB67930 pep chromosome:Graimondii2_0_v6:10:58720335:58723938:1 gene:B456_010G218400 transcript:KJB67930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKMKMKSLLTFVLFWLVLLAVIASFPVRSLTLSPSLNDDVLGLIVFKADIQDPTQKLSSWNEDDDTPCNWKGIKCNPRSNRVTELNLDGFSLSGRIGRGLLQLEFLRKLSLANNNLSGTISPNMVKLESLIAIDLSENSLTGSIPDDFFKQCGSLRYISLANNHFSGKIPGSLGSCATLAAINLSMNRFSGLLPVGIWGLSGLRTLDLSCNMLEGEIPEGVHALNNLRSINLSNNRFTGQVPDEIGSCLLLRSIDFSMNLFSGSVPKTMQELSLCTYLNLSVNSFVVEVPEWIGEMESLQTLDLSVNKFSGQVPDSIGKLKFLKVLNFSSNSLNGSLPASMENNMNLLALDISQNSLTGDLPGWIFKSGPNQVLFSEQKVGANVDNPISASLGAYLQRIQVLDLSQNSFSGELTFDIGALSSLKLLNLSRNSLIGPVPGTVAELKALEVLDLSQNQLNGSIPMEIGGAYSLKDLRLKANFIEGKIPSSIENCTLLRTLIISQNNLSGPIPAKIGELSNLEYVDLSFNDLVGRLPKQLANLPRLLSFNISHNNLQGELPAGGFFNTISPTAVFGNPLLCGSTVNKSCPAVLPKPILLSLLLESLPSLFLISVSSHQHTTLQRPLLFLLGTSLAVALLPMPTLGNLSCFLVNLTLAQEHMLCSTRTVSLGVVGSEQCTEQFRKMDARWQSRSSLSQVL >KJB66901 pep chromosome:Graimondii2_0_v6:10:47472543:47477397:-1 gene:B456_010G163900 transcript:KJB66901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFRRQASKLREQVAKQQQAVIKQFSGTGYESSDVVVIDEIEMQRHQQLDKLYKSTRSARDFQKEVIKAAEAFTAIGYKHIETGTKFSEECCRYGTENSENINENILAKAAAIYGDGCKHVEKEQEDLIKLLSQQVLDPLRAMSAGAPLEDARHLAQRYSRMRQEAEVLAADISRRQARIREAPIPENVAKLHAAEAKMQELKANMAVLGKEASAALAAVEAQQQRLTLQRLVAMVEGEKTYHLRVAAILNEIEAEMVSEKQRKESAPPVIVPEHGSEKTMYYLAEATYPFSASSEKELSLSIGDFVVVRKVSPTGWSEGECKGKAGWFPSAYVEKRQRLPSSNPAGEVY >KJB65697 pep chromosome:Graimondii2_0_v6:10:20165526:20167938:-1 gene:B456_010G108900 transcript:KJB65697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSIFGGIVTEEAAMVIPMDDHMVHRGHGVFDTAIIANRHLYELDQHVDRIVKSATMAKIILPFDRETIQRILIQTVSVSKCINGSLRYWISAGPGDFQLSPSGCHQPALYAIVIEDKSLFDSKGIKVVTSSIPMKPPQFATMKSVNYLPNALSKMEAEEKGAYAAIWLDDDGFVAEGPSMNVAFITKEKEMLMPKFDKILNGCTAKRVFTLAEGLVREGKLRGIRVDNVSVDEGKSADEMMLIGSGVLVRPVIQWDEQVIGDGKEGPITQTLLNFILEDMKSGPSSVRVPVPY >KJB65693 pep chromosome:Graimondii2_0_v6:10:20165448:20168049:-1 gene:B456_010G108900 transcript:KJB65693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMASLSASYPKYTSNFPTRYTHLLYDLCLVPRNPPLMKKGSFSDLTIVRSSNQTESMIDSTDKLSDIPLLSCLEAIEKLKRNRENNKGNQQYLAMYSSIFGGIVTEEAAMVIPMDDHMVHRGHGVFDTAIIANRHLYELDQHVDRIVKSATMAKIILPFDRETIQRILIQTVSVSKCINGSLRYWISAGPGDFQLSPSGCHQPALYAIVIEDKSLFDSKGIKVVTSSIPMKPPQFATMKSVNYLPNALSKMEAEEKGAYAAIWLDDDGFVAEGPSMNVAFITKEKEMLMPKFDKILNGCTAKRVFTLAEGLVREGKLRGIRVDNVSVDEGKSADEMMLIGSGVLVRPVIQWDEQVIGDGKEGPITQTLLNFILEDMKSGPSSVRVPVPY >KJB65694 pep chromosome:Graimondii2_0_v6:10:20165526:20167501:-1 gene:B456_010G108900 transcript:KJB65694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSIFGGIVTEEAAMVIPMDDHMVHRGHGVFDTAIIANRHLYELDQHVDRIVKSATMAKIILPFDRETIQRILIQTVSVSKCINGSLRYWISAGPGDFQLSPSGCHQPALYAIVIEDKSLFDSKGIKVVTSSIPMKPPQFATMKSVNYLPNALSKMEAEEKGAYAAIWLDDDGFVAEGPSMNVAFITKEKEMLMPKFDKILNGCTAKRVFTLAEGLVREGKLRGIRVDNVSVDEGKSADEMMLIGSGVLVRPVIQWDEQVIGDGKEGPITQTLLNFILEDMKSGPSSVRVPVPY >KJB65698 pep chromosome:Graimondii2_0_v6:10:20165526:20167938:-1 gene:B456_010G108900 transcript:KJB65698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMASLSASYPKYTSNFPTRYTHLLYDLCLVPRNPPLMKKGSFSDLTIVRSSNQTESMIDSTDKLSDIPLLSCLEAIEKLKRNRENNKGNQQYLAMYSSIFGGIVTEEAAMVIPMDDHMVHRGHGVFDTAIIANRHLYELDQHVDRIVKSATMAKIILPFDPGPGDFQLSPSGCHQPALYAIVIEDKSLFDSKGIKVVTSSIPMKPPQFATMKSVNYLPNALSKMEAEEKGAYAAIWLDDDGFVAEGPSMNVAFITKEKEMLMPKFDKILNGCTAKRVFTLAEGLVREGKLRGIRVDNVSVDEGKSADEMMLIGSGVLVRPVIQWDEQVIGDGKEGPITQTLLNFILEDMKSGPSSVRVPVPY >KJB65696 pep chromosome:Graimondii2_0_v6:10:20165739:20167720:-1 gene:B456_010G108900 transcript:KJB65696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMASLSASYPKYTSNFPTRYTHLLYDLCLVPRNPPLMKKGSFSDLTIVRSSNQTESMIDSTDKLSDIPLLSCLEAIEKLKRNRENNKGNQQYLAMYSSIFGGIVTEEAAMVIPMDDHMVHRGHGVFDTAIIANRHLYELDQHVDRIVKSATMAKIILPFDRETIQRILIQTVSVSKCINGSLRYWISAGPGDFQLSPSGCHQPALYAIVIEDKSLFDSKGIKVVTSSIPMKPPQFATMKSVNYLPNALSKMEAEEKGAYAAIWLDDDGFVAEGPSMNVAFITKEKEMLMPKFDKILNGCTAKRVFTLAEGLVREGKLRGIRVDNVSVDEGKSADEMMLIGSGVLVRPVIQWDEQVIGDALIVPFMRFFVGKEGPITQTLLNFILEDMKSGPSSVRVPVPY >KJB65695 pep chromosome:Graimondii2_0_v6:10:20166072:20167720:-1 gene:B456_010G108900 transcript:KJB65695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMASLSASYPKYTSNFPTRYTHLLYDLCLVPRNPPLMKKGSFSDLTIVRSSNQTESMIDSTDKLSDIPLLSCLEAIEKLKRNRENNKGNQQYLAMYSSIFGGIVTEEAAMVIPMDDHMVHRGHGVFDTAIIANRHLYELDQHVDRIVKSATMAKIILPFDRETIQRILIQTVSVSKCINGSLRYWISAGPGDFQLSPSGCHQPALYAIVIEDKSLFDSKGIKVVTSSIPMKPPQFATMKSVNYLPNALSKMEAEEKGAYAAIWLDDDGFVAEGPSMNVAFITKEKEMLMPKFDKILNGCTAKRVFTLAEGLVREGKLRGIRVDNVSVDEGKSADEMMLIGSGVLVRPVIQWDEQVIGDGKKLHFLHKLQHLVTNFLHSNLPAFIILVLQF >KJB65088 pep chromosome:Graimondii2_0_v6:10:11729817:11732642:1 gene:B456_010G080400 transcript:KJB65088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETDQALPPSDQLAAMNLTDTVEKHAFSDRVLIRSIVGRPDGGAGLAGQRVRAGGWVKTGREQGKGTFAFLELNDGSCPANLQVIVDAGLAVLSKLVATGTCVVVDGILKVPPEGTRQKIELRVEKVVSVGEVDPAKYPIPKTKLTLEFLRDHLHLRARTNTIAAIARIRNALAFATHSFFQEHNFLYVHTPILTTSDCEGAGEMFQVTTLISESEKLEKELIKNPPPSEVDIEAARQVVSERGEAVKQLKAAKASKSEITASVAELNKAKENLSKLEERSKLKSGIPKKDGKIDYTQDFFARQAFLTVSGQLQVETYACAVSNVYTFGPTFRAEHSHTSRHLAEFWMVEPEIAFADLQDDMNCAEAYVKYMCNWLLDKCLDDMQFMAKSYDKGCIDRLRMVASIPFVRISYTEAVELLEEAVKGGKKFENEVKWGIDLASEHERYLTEVKFQKPVIVYNYPKGIKAFYMRLNDDLKTVAAMDVLVPKVGELIGGSQREERYEVIRERILEVGLPLEPYEWYLDLRRYGTVKHCGFGLGFERMILFATGIENIRDVIPFPRYPGRADL >KJB65090 pep chromosome:Graimondii2_0_v6:10:11729886:11732642:1 gene:B456_010G080400 transcript:KJB65090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETDQALPPSDQLAAMNLTDTVEKHAFSDRVLIRSIVGRPDGGAGLAGQRVRAGGWVKTGREQGKGTFAFLELNDGSCPANLQVIVDAGLAVLSKLVATGTCVVVDGILKVPPEGTRQKIELRVEKVVSVGEVDPAKYPIPKTKLTLEFLRDHLHLRARTNTIAAIARIRNALAFATHSFFQEHNFLYVHTPILTTSDCEGAGEMFQVTTLISESEKLEKELIKNPPPSEVDIEAARQVVSERGEAVKQLKAAKAKAVELLEEAVKGGKKFENEVKWGIDLASEHERYLTEVKFQKPVIVYNYPKGIKAFYMRLNDDLKTVAAMDVLVPKVGELIGGSQREERYEVIRERILEVGLPLEPYEWYLDLRRYGTVKHCGFGLGFERMILFATGIENIRDVIPFPRYPGRADL >KJB65091 pep chromosome:Graimondii2_0_v6:10:11729886:11732642:1 gene:B456_010G080400 transcript:KJB65091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETDQALPPSDQLAAMNLTDTVEKHAFSDRVLIRSIVGRPDGGAGLAGQRVRAGGWVKTGREQGKGTFAFLELNDGSCPANLQVIVDAGLAVLSKLVATGTCVVVDGILKVPPEGTRQKIELRVEKVVSVGEVDPAKYPIPKTKLTLEFLRDHLHLRARTNTIAAIARIRNALAFATHSFFQEHNFLYVHTPILTTSDCEGAGEMFQVTTLISESEKLEKELIKNPPPSEVDIEAARQVVSERGEAVKQLKAAKASKSEITASVAELNKAKENLSKLEERSKLKSGIPKKDGKIDYTQDFFARQAFLTVSGQLQVETYACAVSNVYTFGPTFRAEHSHTSRHLAEFWMVEPEIAFADLQDDMNCAEAYVKYMCNWLLDKCLDDMQFMAKSYDKGCIDRLRMVASIPFVRISYTEAVELLEEAVKGGKKFENEVKWGIDLASEHERYLTEVKFQKPVIVYNYPKGIKAFYMRLNDDLKTVAAMDVLVPKVGELIGGSQREERYEVIRERYNFGGGVAS >KJB65089 pep chromosome:Graimondii2_0_v6:10:11729886:11731725:1 gene:B456_010G080400 transcript:KJB65089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETDQALPPSDQLAAMNLTDTVEKHAFSDRVLIRSIVGRPDGGAGLAGQRVRAGGWVKTGREQGKGTFAFLELNDGSCPANLQVIVDAGLAVLSKLVATGTCVVVDGILKVPPEGTRQKIELRVEKVVSVGEVDPAKYPIPKTKLTLEFLRDHLHLRARTNTIAAIARIRNALAFATHSFFQEHNFLYVHTPILTTSDCEGAGEMFQVTTLISESEKLEKELIKNPPPSEVDIEAARQVVSERGEAVKQLKAAKASKSEITASVAELNKAKENLSKLEERSKLKSGIPKKDGKIDYTQDFFARQAFLTVSGQLQVETYACAVSNVYTFGPTFRAEHSHTSRHLAEFWMVEPEIAFADLQVWLAS >KJB66630 pep chromosome:Graimondii2_0_v6:10:39208785:39209840:1 gene:B456_010G148100 transcript:KJB66630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCELCNSLAKMYCESDQAILCWDCDSRVHGANFLVAKHSRTLLCHLCQSPTPWNGSGPKLGPTVSVCENCVSRNACTEENDDDEDDDEDDDDEEDDSSEDDGGDSSGDEENQVVPWTSGPPVSSSSTSEECSTRFGKENGSQSRTVHPLKRMRETEDPFSPNHPACSYSPQHHEFYFAKPGLVVKEV >KJB68522 pep chromosome:Graimondii2_0_v6:10:61628737:61630966:-1 gene:B456_010G248200 transcript:KJB68522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPPTESAHNYTFSSSIREIEEEEEEEGSELFEIHDGEPLSSIKEEEINSLFSFDVAARDDDEDNIYVAVGKSQSSIDALSWTISHFINGSSTTVYLIHVFPEIHYIPSPLGKLPKSQVSASQVESYMAEERGKRRQLLQKYIDICSASKVKVDTMLVESDMVAKAILDLIPILNITKLVVGASNSNFTTSRKVKSRRGVRIAHQILETAPNTCQVKVICEGKDATAQMLELPLPSPLPSQSPAANEDQFKVLPEADDDRRNDSFACMCFRPTKF >KJB65553 pep chromosome:Graimondii2_0_v6:10:18094629:18097813:1 gene:B456_010G100600 transcript:KJB65553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFEDILPLLRLDRLRSKSRLFPESFLQTITDSMSNTSCQSSELEICRDESAALKLKLIAIASILLAGVAGVAIPLIGKHRKFLRTDGSLFVAAKAFAAGVILATGFVHMLAGGTEALTNPCLPEYPWSKFPFPGFFAMVASLVTLLVDFVGTQYYERNQGLAKASTEEQVRVGSLDPDSDSGIVLVVETKDGNGKVFGEEEGGGMHIVGMHAHAAHHRHSHPHGQDGCDGLLRSRAHDHGHDDGHGHEHGGEDDDSDVRHVVVSQILELGIVSHSVIIGLSLGVSLSPCTIRPLIAALSFHQFFEGFALGGCISQAQFKTLSATIMACFFAITTPAGIGIGIAIASFYNHYSPAALIIEGVLDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQVVSYFMLFLGAGLMASLAIWA >KJB68270 pep chromosome:Graimondii2_0_v6:10:60583269:60585555:-1 gene:B456_010G235300 transcript:KJB68270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTTLRQSKPTLEIIKTSVSNSYNTEISLFKTTPKLHCVCFWFKLVYAVSDSQALLKLKESFDNAGGGALDSWNPDSAPCNKEGHWKGLLCFNGILMGLRLEGMGLSGKIDIDALLLIKELRSFSVINNSFTGNIPEINRLGYLKSLFLSKNKFSGEIPSDFFAGMRSLKKVWLSDNKFSGKIPDSLSKLSRLIHLRLENNQFSGEIPDFHKQNLISINLSNNKLEGEIPSSLSKFKGNAFAGNPGLCGSQIGIDCGRDDKDDIKKIIAAVITLGVMLLAMIIFFVMKWRAKKRKSAPGAAAVERGRSNEPVEIQVSLPATIREVGQKTASHQAWPGNYHGMVRGGAVPELVMVNDEKGVFGLPSLMKATAQVLGNSALGSSYKATMSNGFAVVVKRTKEMNSIGKDEFDVMAKRLGKLKHPNVLTPLAYHYRVEEKLFVYDYIANGSLLYLLHGDHETSSSALDWPTRLNIVQGIAEGLDYIHVELASLDVPHGNLKSSNVLLGPDNQPLLSEYGFCSVVSTEGAKTLIAYKTPEAIQHGKVCHKSDVYCLGIIILEILTGKFPSQYLDNGEGGIDVVHWVTTTTYEGKQANLFDPEIASGSKSLQEMEKLLQIGSLCTQASPDERLDLKKAIKMIQEIRVDNDTPESESDPQAITIQVSPSVQDEVGDAKSNSDLSSIQESNV >KJB63921 pep chromosome:Graimondii2_0_v6:10:1954890:1956917:-1 gene:B456_010G024500 transcript:KJB63921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPDDPEFISLGIFDCMVSLLEKGTNDRSWLLRDQNIYIPYYAAHVIGSYTMNKVEFAEKAVLAGVIPPLMELLRGKISWVEQRVAVRALGHLASYERTFEAVAAYEEELVRLTMNLASTCLDQVYTKFVGVKDDNKRSKYHCDLLTRGVGGLEMENRKAEEWASQLQCWSLYLLNCFACKERSLNLICNQGFLVDLCGMWGGLVNHSSPAGVGLIRILCYSKYGRQNISESKQVLENLCHLSRSSDDWQYMGIDCLLLLLKDIDTRYKVIEIVTMFLIDLVELKSLGDRSNLGENITKTLLLDYKQSKFRIKNSHVLKALQQTWELKVERRKREKTMSVEKIEEKRVLVNLIKQQANHVFWLGDVEEAIKMYNEALDTCPLKLRKERMVLYSNRAQCYLLLRDPDAAIRDSTRALCLSNPTNSHGKSLWRRSQAYDMKGLAKESLMDCIMFINSVTTTTTNKRVKIPYYAARMISKQMEATWLFVNAQSKFTRSDDDYEISSNEDDHQLECDEMMRQMMMMMMEKKSIASGLSTIIEEPLIVKGKNWRDMERARRRNKTAFNVMARSM >KJB64018 pep chromosome:Graimondii2_0_v6:10:2468415:2472925:1 gene:B456_010G029700 transcript:KJB64018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPLTPSKRPHDRNPSELNGKRKLQKSDQSKILSGGIVFRVLCPEAKSASVIGKDGTLISQILQETGANVKIEEAIPGCDEIVIAIMGSGSSDKDTEVGTVQGKEDGGDEADASNRSDEEKGNDENNNDKALKSVNENSRVQEALLLVFERMAETERNSDGVDKETETVKSFTTVLRLLVLSSQVGCLFGKGGSVIKQMSADSGAQIRILPRDKLPACASASYELVQITGEFDAVRKALQSVSQQLIENPPRDHDSFPLNTTGQSSHSFGPRPEVHPPPNHSLSSQGAPFAAGPRDVEHHSPLPPHMPGRMMSSLEMLTFRLLCHDDKVGAVIGKGGAIIKTLKQETGCDIKVVEAIPDCEDRVIIVSSPAHPDDRISPAQDAVLRVLGRLFRAMPDSKDKTMMVRLLVSSNQIGCLLGKGGAIIAEMRKSSGAHIRILGKDQVPKCASEGEEVVQINGDHETVRDAIMQITSRLRHHFFRDMFPSINHPSNPGFMDQGPPFPSFMGRREFSPPGLGPFHHFDAFGGPPPHGGFHPHDPPFMRNIHRPGMPPHISERKPWGPQGYLGMPDFSGPPHRRISGFGGGSQPAVITSTTIEVVVPRSLVPIIYGEDGACLKQIRQISDAKITITEPKPAATETAITISGTPEQTHAAQSLIQAFVMSETEST >KJB64019 pep chromosome:Graimondii2_0_v6:10:2468324:2473093:1 gene:B456_010G029700 transcript:KJB64019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPLTPSKRPHDRNPSELNGKRKLQKSDQSKILSGGIVFRVLCPEAKSASVIGKDGTLISQILQETGANVKIEEAIPGCDEIVIAIMGSGSSDKDTEVGTVQGKEDGGDEADASNRSDEEKGNDENNNDKALKSVNENSRVQEALLLVFERMAETERNSDGVDKETETVKSFTTVLRLLVLSSQVGCLFGKGGSVIKQMSADSGAQIRILPRDKLPACASASYELVQITGEFDAVRKALQSVSQQLIENPPRDHDSFPLNTTGQSSHSFGPRPEVHPPPNHSLSSQGAPFAAGPRDVEHHSPLPPHMPGRMMSSLEMLTFRLLCHDDKVGAVIGKGGAIIKTLKQETGCDIKVVEAIPDCEDRVIIVSSPAHPDDRISPAQDAVLRVLGRLFRAMPDSKDKTMMVRLLVSSNQIGCLLGKGGAIIAEMRKSSGAHIRILGKDQVPKCASEGEEVVQINGDHETVRDAIMQITSRLRHHFFRDMFPSINHPSNPGFMDQGPPFPSFMGRREFSPPGLGPFHHFDAFGGPPPHGGFHPHDPPFMRNIHRPGMPPHISERKPWGPQGYLGMPDFSGPPHRRISGFGGGSQPAVITSTTIEVVVPRSLVPIIYGEDGACLKQIRQISDAKITITEPKPAATETAITISGTPEQTHAAQSLIQAFVMSETEST >KJB67841 pep chromosome:Graimondii2_0_v6:10:58128420:58129847:-1 gene:B456_010G214400 transcript:KJB67841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQISNPTTPGRRIKLNVGGKFFETTLSTLQSAGPDSLLAALSNRAAYNPIFIDRDPEIFSVLLSLLRSNRLPSAALRRFSIQELAEEALYYGVESRLRSASLPSPLHGIDAAIVDTIRPASDAVPSTFSAGEDGSLWIAHGGQISIYDSYLSYSTAVRTHLDDITSICRVWPEIAAVGSESSSGLHIYDLSSGRYTGSVHWTDQDDPRIYKARVCAIANSPDSVFVSFDCPHRENSVLVIDKSTLQVSSELTRQSGSAAKNTVPGKVTWLPETGLVIGSAVTCGAFGYSGYIRIWDPRRKEVVWETNEPGSGRSSRFGDSFADVGVDIDDSVLFKICSKSGDLAMADIRKLGDDPWVYMEDTNPSMREASYGGNEVHLHCYKRQVFVGKDGGLEVWSRLNERGGWSERRGDTENGRDEVSLKRNYVDKVEMGERGLIKRIEGGGERLFVSRENVEGIEVWESSNHSAIISVPS >KJB66590 pep chromosome:Graimondii2_0_v6:10:38246837:38250460:-1 gene:B456_010G145400 transcript:KJB66590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVKLTNISSILSHLLISISLVEAFIRCFDTGNFTINSTYGKNRDLILASLPPNVSAKGGFFTANVGQNAYKVYALGMCRGDSSPDDCYKCVNSTIHDLIANCPNQKEALSWEGQPCHVHYADRSFYGTLEELGNPEAGYNTGDIKSNVTEFDTVWESLMDTVVRNASNGSSTLKYATGETDFTVFQKIYALMQCTPDLSHEDCDSCLRQSVSNYESCCHGKQGGYVTRPSCYFRWDLYPFYTPNDSTTAPSLYPPPSPVSPPPPAASPPPQSVNTIKKEEGGHRSSQTLVIIIVPIVILVAVLVILAVAILRKRIAKPKQDDQNAKTRVESLQFDFDAVRVATEDFSDANILGRGGFGPVYKGKLEDGRQVAIKRLSENSGQGQQEFKNEVMLLAKLQHRNLVRLLGFSLEQKERVLIYEFLPNSSLDNFIFDSVKRSLLSWTKRYKIINGIAKGLLYLHEDSQYRIIHRDLKTANILLDEEMNPKISDFGMAKLFTVDQTRADTSKVVGTYGYMAPEYAWHGQYSVKSDVYSFGVLVLEIISGKKISSFSNQEVGDSLLTHVWRNWSEGTALEVVDPILRDCSRIEIMRCIHLGLLCVQDNIAYRPTMASVVLMLGSYSMSLPVPSRPAFSMHSTMETETKSQSSSLSNQSKRETVQVSVNEASISELDPR >KJB68185 pep chromosome:Graimondii2_0_v6:10:60236714:60240346:-1 gene:B456_010G230700 transcript:KJB68185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSKSKAKPTRKPLRDLSNNNSTKNFFSKSEIPKKKLIDKDDNNHRNHASLDRLLLLQSDLSSLLRQIDELVAQAFKLKATKLETNEIESFANVISGMLSSLKPWVPRFKKALSSPSGVECEDKSGECLGTEVVPFVNVNVNENECFDVGNPEEESTLDSLISPSPLVSWRAAAECNVERGRQLFLLTPLPMSKALSSKMRDSSKSVFQSVTSKSMVELPFINFHEDENDDLLEGVAINQTPIKPSHSVVVSSAAFSNTEHSMLVMTTPCLKMSPPKSCVLLEPIHEYLLRDNVRVRKCTPFPRGINNGEFSDSSGSEASEDLTSKYPELLGIQRTLKSEFEKKELDSSPMWLFSPPKSCILLEPSDEKSLHNVATDHNLPSAINQQNKMINQGIFPYMLLELGVECYISV >KJB68184 pep chromosome:Graimondii2_0_v6:10:60236693:60240465:-1 gene:B456_010G230700 transcript:KJB68184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSKSKAKPTRKPLRDLSNNNSTKNFFSKSEIPKKKLIDKDDNNHRNHASLDRLLLLQSDLSSLLRQIDELVAQAFKLKATKLETNEIESFANVISGMLSSLKPWVPRFKKALSSPSGVECEDKSGECLGTEVVPFVNVNVNENECFDVGNPEEESTLDSLISPSPLVSWRAAAECNVERGRQLFLLTPLPMSKALSSKMRDSSKSVFQSVTSKSMVELPFINFHEDENDDLLEGVAINQTPIKPSHSVVVSSAAFSNTEHSMLVMTTPCLKMSPPKSCVLLEPIHEYLLRDNVRVRKCTPFPRGINNGEFSDSSGSEASEDLTSKYPELLGIQRTLKSEFEKKELDSSPMWLFSPPKSCILLEPSDEKSLHNVATDHNLPSAINQQNKMINQENTRNNTALVENTPMWNEQESTIRTGKRAGESTLKKELWTKFEAVSTFGLRYNASAIQRTARKGFLDMLDEASCDDD >KJB66900 pep chromosome:Graimondii2_0_v6:10:47382804:47383724:1 gene:B456_010G163800 transcript:KJB66900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEFQESEVVFSDHNSNHYGTHDEDAAVNDDEYFDYRGFSKNSRVSRNYKSKKNNSTTKKNNNKMAASSLPVNIPRQHGATVFHCDGEADEYDDGGMVPPHVILGRRIAGKMAFSVCTGNGRTLKGRDLSQVRNSVLRMTGFLEA >KJB66508 pep chromosome:Graimondii2_0_v6:10:35276031:35282001:1 gene:B456_010G142100 transcript:KJB66508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESETSYIGLVRVYNEKKYWYPIETRSIEIKKERRKMMATAAAAANLPCLPQTWKANNPKGRHKVITWERKTKSPSLTACYCSLSETSPLFRAAKHTIDTYIKSGMVIGLGSGQASAMAIKYLGHQIRAGAFKHILGIPTSVVSASEAAKAGIPLGELGNSSQIDFAFDDVDIIEERTLISVIGCQRLQGEESIIQEKLVLSMADQLVFMVTKNQYKRGLEGSVPVVIESLNWLETAEEIDDLFLGDAEVWRRPSIGHADPLGGDFPLVTSEGHNILDVIFTSPIASLAEVAESLEKVDGVVEHGVVSKFPCKAIVASESGLSIVDNIPTNAVGGV >KJB66295 pep chromosome:Graimondii2_0_v6:10:29846990:29852829:-1 gene:B456_010G134100 transcript:KJB66295 gene_biotype:protein_coding transcript_biotype:protein_coding description:RH39 [Source:Projected from Arabidopsis thaliana (AT4G09730) UniProtKB/TrEMBL;Acc:A0A178V449] MMQKAMMAATSRTLLFVSLLSSPKHFSYYPFLKPSRVFRGFKPFCSAPSPILTTIDPDDLKHSMLLERLRTRHLKHSAKLPSSSPSMPPQNLPVLGQETEAYDKGKGKKKKKIMADSFEELGLSEEVMGAVREMGIEVPTEIQCIGIPSVLEEKSVVLGSHTGSGKTLAYMLPLVQLLRRDEAMLGMLTKPRRPRAVVLCPTRELSEQVFRVAKSISHHARFRSTMVSGGGRLRPQEDSLNNPIDMVVGTPGRVLQHIDDGNMVYGDIKYLVLDEADTMFDRGFGPDIRKFLGPLKNRALKPNGQGFQTILVTATMTKAVQKLIDEEFQGIEHLRTSTLHKKIASAHHDFIKLSGSENKLEALLQVLEPSLAKGNRVMVFCNTLNSSRAVDHFLGENQISTVNYHGEVPAEQRVENLNKFKSDDGDCPTLVCTDLAARGLDLDVNHVIMFDFPLNSIDYLHRTGRTARMGAKERIEAAIRKNESLESLTVDNVRRDTARTRITEQKGKNVKLFKTSNQKNKTKAVSASVRTSGKEPTIAKSVKSTAPAKPSKKIVKVSKSLKTAKTSAVQKKNRSVGGSSEGKKLKVVGFRGQASSSKRESFTPS >KJB66294 pep chromosome:Graimondii2_0_v6:10:29846928:29852855:-1 gene:B456_010G134100 transcript:KJB66294 gene_biotype:protein_coding transcript_biotype:protein_coding description:RH39 [Source:Projected from Arabidopsis thaliana (AT4G09730) UniProtKB/TrEMBL;Acc:A0A178V449] MMQKAMMAATSRTLLFVSLLSSPKHFSYYPFLKPSRVFRGFKPFCSAPSPILTTIDPDDLKHSMLLERLRTRHLKHSAKLPSSSPSMPPQNLPVLGQETEAYDKGKGKKKKKIMADSFEELGLSEEVMGAVREMGIEVPTEIQCIGIPSVLEEKSVVLGSHTGSGKTLAYMLPLVQLLRRDEAMLGMLTKPRRPRAVVLCPTRELSEQVFRVAKSISHHARFRSTMVSGGGRLRPQEDSLNNPIDMVVGTPGRVLQHIDDGNMVYGDIKYLVLDEADTMFDRGFGPDIRKFLGPLKNRALKPNGQGFQTILVTATMTKAVQKLIDEEFQGIEHLRTSTLHKKIASAHHDFIKLSGSENKLEALLQVLEPSLAKGNRVMVFCNTLNSSRAVDHFLGENQISTVNYHGEVPAEQRVENLNKFKSDDGDCPTLVCTDLAARGLDLDVNHVIMFDFPLNSIDYLHRTGRTARMGAKGKVTSLVAKKDLLLAERIEAAIRKNESLESLTVDNVRRDTARTRITEQKGKNVKLFKTSNQKNKTKAVSASVRTSGKEPTIAKSVKSTAPAKPSKKIVKVSKSLKTAKTSAVQKKNRSVGGSSEGKKLKVVGFRGQASSSKRESFTPS >KJB63635 pep chromosome:Graimondii2_0_v6:10:688867:691883:1 gene:B456_010G009100 transcript:KJB63635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLGCVNKANSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRNECINYSFTYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFESFRDASRDDLVKDALMAIRETLQGETLKSLVCTVAVVGVGEPFHILDQGTVQQMIDAFEIVAEQEGPAAEPDTEAGQEASAEPEPEQGAAAGEGVAPMDI >KJB66321 pep chromosome:Graimondii2_0_v6:10:30700547:30700829:1 gene:B456_010G1353002 transcript:KJB66321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVHWIKK >KJB63528 pep chromosome:Graimondii2_0_v6:10:200222:202628:-1 gene:B456_010G004600 transcript:KJB63528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFVLLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLE >KJB63527 pep chromosome:Graimondii2_0_v6:10:200222:202593:-1 gene:B456_010G004600 transcript:KJB63527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFVLLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKQDQFSL >KJB64022 pep chromosome:Graimondii2_0_v6:10:2473731:2477176:-1 gene:B456_010G029800 transcript:KJB64022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREMQKNTMFIEQNTGDYENGDPQKNLDDDGREKRTGTWVTASAHIITAVIGSGVLSLAWAIAQLGWVVGPAVLIAFSFITYFTSTLLADCYRAPDPVHGKRNYTYMDVVRAYLGGRKVQLCGLAQYANLLGVTIGYTITASISMVAVKRSNCFHKHGHHVKCQTSNNPFMIIFACIQIVLSQIPNFHKLSWLSILAAVMSFTYASIGLGLSIAKAASGGEHVRTSLTGVQVGVDVSGSEKVWRTFQAIGDIAFAYAYSTVLIEIQGYMKIPMDHPYIWWTLKTRTTDSIGFLYDTIKASPPENKSMKRASGVGVTTTTLFYVLCGIVGYAAFGNDAPGNFLTGFGFYEPFWLIDFANVCIAVHLIGAYQVFAQPLFGFVESLCARHYPDNKFITREHAMDVPFCGVYYLNFFRLVWRTAYVIVTAVLAMIFPFFNDFLGLIGAGSFWPLTVYFPIEMHIAQAKIPKYSFRWVCLKILSWVCLIISLIAAAGSVEGLIQSLKTYKPFQTRNEE >KJB64020 pep chromosome:Graimondii2_0_v6:10:2474351:2477176:-1 gene:B456_010G029800 transcript:KJB64020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREMQKNTMFIEQNTGDYENGDPQKNLDDDGREKRTGTWVTASAHIITAVIGSGVLSLAWAIAQLGWVVGPAVLIAFSFITYFTSTLLADCYRAPDPVHGKRNYTYMDVVRAYLGGRKVQLCGLAQYANLLGVTIGYTITASISMVAVKRSNCFHKHGHHVKCQTSNNPFMIIFACIQIVLSQIPNFHKLSWLSILAAVMSFTYASIGLGLSIAKAASGGEHVRTSLTGVQVGVDVSGSEKVWRTFQAIGDIAFAYAYSTVLIEIQGYMKIPMDHPYIWWTLKTRTTDSIGFLIQSKRVHQKISR >KJB64023 pep chromosome:Graimondii2_0_v6:10:2473489:2477176:-1 gene:B456_010G029800 transcript:KJB64023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREMQKNTMFIEQNTGDYENGDPQKNLDDDGREKRTGTWVTASAHIITAVIGSGVLSLAWAIAQLGWVVGPAVLIAFSFITYFTSTLLADCYRAPDPVHGKRNYTYMDVVRAYLGGRKVQLCGLAQYANLLGVTIGYTITASISMVAVKRSNCFHKHGHHVKCQTSNNPFMIIFACIQIVLSQIPNFHKLSWLSILAAVMSFTYASIGLGLSIAKAASGGEHVRTSLTGVQVGVDVSGSEKVWRTFQAIGDIAFAYAYSTVLIEIQARYNQSESTRK >KJB64021 pep chromosome:Graimondii2_0_v6:10:2473467:2477500:-1 gene:B456_010G029800 transcript:KJB64021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREMQKNTMFIEQNTGDYENGDPQKNLDDDGREKRTGTWVTASAHIITAVIGSGVLSLAWAIAQLGWVVGPAVLIAFSFITYFTSTLLADCYRAPDPVHGKRNYTYMDVVRAYLGGRKVQLCGLAQYANLLGVTIGYTITASISMVAVKRSNCFHKHGHHVKCQTSNNPFMIIFACIQIVLSQIPNFHKLSWLSILAAVMSFTYASIGLGLSIAKAASGGEHVRTSLTGVQVGVDVSGSEKVWRTFQAIGDIAFAYAYSTVLIEIQDTIKASPPENKSMKRASGVGVTTTTLFYVLCGIVGYAAFGNDAPGNFLTGFGFYEPFWLIDFANVCIAVHLIGAYQVFAQPLFGFVESLCARHYPDNKFITREHAMDVPFCGVYYLNFFRLVWRTAYVIVTAVLAMIFPFFNDFLGLIGAGSFWPLTVYFPIEMHIAQAKIPKYSFRWVCLKILSWVCLIISLIAAAGSVEGLIQSLKTYKPFQTRNEE >KJB67124 pep chromosome:Graimondii2_0_v6:10:51828864:51829356:-1 gene:B456_010G176800 transcript:KJB67124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIYIYVYTLVPVNLRVIAIIIFPLLNHMKATKNKHLKLDCQKKKRKKKKRRYGRRKIKRRGAKVTFLILHVNLSYLKINKKAQKSRIPCFVSSLTYIHTYIHTYLCKFKRKDSFSLS >KJB65889 pep chromosome:Graimondii2_0_v6:10:22932123:22933699:-1 gene:B456_010G117300 transcript:KJB65889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVLKPDDCLKLPNPMKQRNSLCLNPNRSNRSHPNRKTRSPTTSPPSQSAGPKVPPKDLVMGQVKILKRGEVLKKPTPEKSVRFEKENIDVVDLGSTNRLGPDPGSVPTQIRLTKSNNNKKVVPASFYAGSAFITSPPPSSVPMPVFCTKKISVTVKNDDATSDLRRILRLDL >KJB68155 pep chromosome:Graimondii2_0_v6:10:60066011:60069717:-1 gene:B456_010G228900 transcript:KJB68155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIGLTMVLIVLSLGAGLCDGCLEEERLALFQLKPFFHFIDHRVQYLNYDDSVEERESSSDCCEWERVVCNPTSGRVTHLSLDVPYVETSYDLVDIVEYNYMGSQEENYWYLNASLFLPFEELQNLSLRGNSVVGCIANQGFERFLSRLNKLQILDLSENYFNNSILASLSGLSSLNSLNLANNKFTGSNSTYGIKILSKLNNLETLDLSFNNLGNNILQQLNDFTSLKSLRLQNCGLKGIVDMLEKVRMKLNKLEVLDLSRNDFSNNIFSSLTAFSNLKSLDLSANNLKGPIYAKDLNALSNLEELTLSRNEVNEFTPLQGLRLMKLKAVDLSGNFFNNSILSSLATLSNLKTLRIENSNFKGLLDVKELYDLSNLEELYLACDIGIAKIKGFTNKSC >KJB66783 pep chromosome:Graimondii2_0_v6:10:44483095:44486394:1 gene:B456_010G158200 transcript:KJB66783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKIPRVVNGFESNEYVGNSLVIFVVSWNALFSCYVQSDYLGEAVELFHEMVLSGIRPNEFSLSSMINACTGLVDRGEGRKIRGFLIKLDMYAKIGNLEDAVVFEEITKPDIVSWNALIAGCILHDKHDFSLELGRQLHCNLIKLNVGSDPFVHVRLIDMFSKSGLMNDVRMVFNLMPDKDLIAWNAIISAHSQNGEDMEAVLLFPLMHEEGVGFNQTTLSTILKSIASLQANYVCKQVRALSAKSGFESDRYVVNSLIDGYGKCALLEDATRMFSECLIVGLVGFTSMITAYSQSGQGEEGLKLYLEMLDRGIEPDPYVCSSLLNACANLSTYEQGKQVHVHVLKHGYMHDIFAGNSLINMYAKCGSIYDAERAFSSIPERNTQHGHGKEAVRVFNQMLRYCASPNHITSVSVLCACNHGDRQRAAEMLSTLEPEKSIPMFFLLTLAKMRRLMKDCNVKKEPGRVGDQSHVRSEEIYAKLDELSERLSKARYIPKVEFDLHDVERDEKEKLLYHHRAPIRIKKNLRVCVDCHTAFKFIIKIVSREIILRDINRYHHLKMIHA >KJB63369 pep chromosome:Graimondii2_0_v6:10:59778084:59779749:1 gene:B456_010G226000 transcript:KJB63369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPIVISARGNPPHLSATCLARFGISGWLLRVSCPTLSWNNSQAFFDSRHSTLIFFPAPISPHTSKDRVVKISLEPFSWLGFGIPTWFKSTLFHKSSNNTTNLLLRKTP >KJB64903 pep chromosome:Graimondii2_0_v6:10:10062366:10063415:1 gene:B456_010G071600 transcript:KJB64903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISLIMLPMYDLSFPSILSFFITVNASPSTQALLNPRSSANITDCKHALASAVVWSRILSHRGFPNGFSSISPNF >KJB63561 pep chromosome:Graimondii2_0_v6:10:306235:311311:-1 gene:B456_010G005900 transcript:KJB63561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTEMEKKVEEEEKKGGELLFCGSTCWDVIGRRKGAVEGNLVSPTRLRPLVGVDIRFVASGCLSCHCVALDVEGRCYTWGRNEKGQLGHGDTIQRDRPTIVSELSKYKIIKAGSGRNHTVVVTEDGNSLAFGWNKHGQLGSGSTRNEIESSPVRCLVSQVTHTACGADFTVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNSKDSSVKLVYEPQPRPRAIATLSGETIVKVACGTNHTVAVDKNGYVYTWGFGGYGRLGHREQKDEWAPRRVDVFQKHNVLPPNAVVSAGSVNSACTAGGGQLYMWGKIKNAGDDWMYPKPLMDLRSSAVPKKVDILEGMHVISVACGMGHSMVIVDRENVGDRLDQLDVYDGKATAEGTEVPDANATFPKQTNKRGAKNTSDSLKNSKRKKSKDSSDSEDEEENSDVESDSSGEYINGKAPGKGRGKGAKKSTSGGKGTGRGRPSTNKTPQSSGGKTGKRGRPRKSQV >KJB63562 pep chromosome:Graimondii2_0_v6:10:306521:310755:-1 gene:B456_010G005900 transcript:KJB63562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTEMEKKVEEEEKKGGELLFCGSTCWDVIGRRKGAVEGNLVSPTRLRPLVGVDIRFVASGCLSCHCVALDVEGRCYTWGRNEKGQLGHGDTIQRDRPTIVSELSKYKIIKAGSGRNHTVVVTEDGNSLAFGWNKHGQLGSGSTRNEIESSPVRCLVSQVTHTACGADFTVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNSKDSSVKLVYEPQPRPRAIATLSGETIVKVACGTNHTVAVDKNGYVYTWGFGGYGRLGHREQKDEWAPRRVDVFQKHNVLPPNAVVSAGSVNSACTAGGGQLYMWGKIKNAGDDWMYPKPLMDLSGWNLRCMDSGNMHHFVGADASCISWGQAQYGELGYGPMGQKSSAVPKKVDILEGMHVISVACGMGHSMVIVDRENVGDRLDQLDVYDGKATAEGTEVPDANATFPKQTNKRGAKNTSDSLKNSKRKKSKDSSDSEDEEENSDVESDSSGEYINGKAPGKGRGKGAKKSTSGGKGTGRGRPSTNKTPQSSGGKTGKRGRPRKSQV >KJB63737 pep chromosome:Graimondii2_0_v6:10:999247:1000975:-1 gene:B456_010G013400 transcript:KJB63737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATNRLFRTSNKPFGHVFSSSSWPSSPVKTMRYTTSKGRVDNKKICGNNERKPPAVFKSSAGTTTVETAADIKRADWGRELTSFMVKAVNGMREMVIKPVLKLRPWRFHVQMVIENGILNCRFFTMFAVVGSLLGSILCFMEGSFLIVESYLQYFHSLLSKKLDQEHIIHLLIEAIDMFLVGTAMLIFGMGLYIMFVGSKTSKGGAPLPRSNLFGLFHWKVLSSIRFSG >KJB63736 pep chromosome:Graimondii2_0_v6:10:997925:1000975:-1 gene:B456_010G013400 transcript:KJB63736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATNRLFRTSNKPFGHVFSSSSWPSSPVKTMRYTTSKGRVDNKKICGNNERKPPAVFKSSAGTTTVETAADIKRADWGRELTSFMVKAVNGMREMVIKPVLKLRPWRFHVQMVIENGILNCRFFTMFAVVGSLLGSILCFMEGSFLIVESYLQYFHSLLSKKLDQEHIIHLLIEAIDMFLVGTAMLIFGMGLYIMFVGSKTSKGGAPLPRSNLFGLFHWKTLPAWVEMKSISQAKSKIGHAILMILQVGVLEKFKGIPIVTSLDLACFAASVFVSSACIFLLSKLSVGTSMGD >KJB63929 pep chromosome:Graimondii2_0_v6:10:1989837:1995876:1 gene:B456_010G025000 transcript:KJB63929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAVSATVISKRNGGGPRPRSQPQPQPQPRPRQRPPLLPSDPDNAIVPRSLKFREVTSRYLSTSSSSNSSTSSSSSSGAYTKRCPSPSVSRTPTVTTPSVVKRSQSVERRREVTPRSYNSADLSGDNNKKSNGEVSAAQKLLFTSTRSLSVSFQGESFSYQFSKAKPSPSPSPSPTATRKGTPERRKPADTTTPVKGTVQTENSKTERWPARIRRPDSTTRSVDCTDERKRLNGSVNGNVVRALRDSMVGNRDVMAVGSEAQSDHAASDTESVSSASTSGALESPCNGNGDVNRGRRGIIVPARFWHETATRSRRSDSDSPVSRKNTAPSKLIAPDKFRIDSPSSSPKGVMNSRGQLSPIRGPVRPASPSKLAASLTSSPMRGMSPSRVRNGLCGSLTNTPSILSFSGDVIKMGKIGENKVSNAHLLRLLYNRLLQWRFVNARADAVLSSQRSKAEKSLYNALTTTSKLRESVRAKRTELQVLRQNLKLISILKGQMIFLDEWALLDHDYFSSLSGATEALKASTFRLPVVSGARADVQKLKDAICSAVDVMQAMASSICSLLSKVAKLNSLLAELGNLTANEFALLNQCKDLLLAIAAMQVSIVFVRFYYSI >KJB63928 pep chromosome:Graimondii2_0_v6:10:1989811:1995640:1 gene:B456_010G025000 transcript:KJB63928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAVSATVISKRNGGGPRPRSQPQPQPQPRPRQRPPLLPSDPDNAIVPRSLKFREVTSRYLSTSSSSNSSTSSSSSSGAYTKRCPSPSVSRTPTVTTPSVVKRSQSVERRREVTPRSYNSADLSGDNNKKSNGEVSAAQKLLFTSTRSLSVSFQGESFSYQFSKAKPSPSPSPSPTATRKGTPERRKPADTTTPVKGTVQTENSKTERWPARIRRPDSTTRSVDCTDERKRLNGSVNGNVVRALRDSMVGNRDVMAVGSEAQSDHAASDTESVSSASTSGALESPCNGNGDVNRGRRGIIVPARFWHETATRSRRSDSDSPVSRKNTAPSKLIAPDKFRIDSPSSSPKGVMNSRGQLSPIRGPVRPASPSKLAASLTSSPMRGMSPSRVRNGLCGSLTNTPSILSFSGDVIKMGKIGENKVSNAHLLRLLYNRLLQWRFVNARADAVLSSQRSKAEKSLYNALTTTSKLRESVRAKRTELQVLRQNLKLISILKGQMIFLDEWALLDHDYFSSLSGATEALKASTFRLPVVSGARADVQKLKDAICSAVDVMQAMASSICSLLSKVAKLNSLLAELGNLTANEFALLNQCKDLLLAIAAMQVKECSLKTHVLQLNHVPSGLT >KJB63412 pep chromosome:Graimondii2_0_v6:10:20826129:20826362:-1 gene:B456_010G110400 transcript:KJB63412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQPMHEAIKGLLHEEETGSDSSPEKKMKQFVKVFDSQHSLIIYLNNPESKQEDTTYTKDVKGMTNQKRNKLDIKN >KJB67525 pep chromosome:Graimondii2_0_v6:10:55544022:55545946:-1 gene:B456_010G195200 transcript:KJB67525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSWGFMNKFQSNSAGPSPVHASLACFASGHFRSSTLSCQSIEATSSSVPLDNLCLVYAFSLGVKQYN >KJB65939 pep chromosome:Graimondii2_0_v6:10:24910678:24912314:1 gene:B456_010G121700 transcript:KJB65939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSILADEILKKVEYNALRIVFNKFHSVVSFVPTVSTVLSPEIVERESESGGKLGELDPYEVEGDETKGEILQNLAKFQFSYVMFNAVLENACSEQGARISAMDSSSRNVGDMLDRLTLTYNRTRQASITKELIEIISRASALEG >KJB64784 pep chromosome:Graimondii2_0_v6:10:8209610:8211614:-1 gene:B456_010G064500 transcript:KJB64784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEITQGVNNINLVADSLKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMGTFTSSVLYFHYL >KJB64786 pep chromosome:Graimondii2_0_v6:10:8210287:8211306:-1 gene:B456_010G064500 transcript:KJB64786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEITQGVNNINLVADSLKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTIAEILKNNGLAVEKSKMSSSSYVYTYVYLF >KJB64785 pep chromosome:Graimondii2_0_v6:10:8209610:8211614:-1 gene:B456_010G064500 transcript:KJB64785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEITQGVNNINLVADSLKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTIAEILKNNGLAVEKTK >KJB64783 pep chromosome:Graimondii2_0_v6:10:8209481:8211614:-1 gene:B456_010G064500 transcript:KJB64783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEITQGVNNINLVADSLKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTIAEILKNNGLAVEKKITTSTVDMKEDSRGRPVQKAKIEILLGKTENFDELMAASAEEREIVDGEVQG >KJB63913 pep chromosome:Graimondii2_0_v6:10:1888795:1889283:-1 gene:B456_010G023800 transcript:KJB63913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRTPDSQATVSDHKLKKIKEDEEPEDTKSGLMNLDENLLYEVFKHVDARTLARASCVSRLWRQTAKDERLWELICTRHWANIGCGTQQLRSVVLPLGGFRQLHSRYLWTFSKPQAASASAWSPPKIINSKPPARWGKDEVNLCLSLLSIQFYEKMNNRGR >KJB67468 pep chromosome:Graimondii2_0_v6:10:54885899:54887640:-1 gene:B456_010G192000 transcript:KJB67468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKSWFSLVKKFFLFETIARTEKKEKKRKWMFGRLRTKRLTAPPNDVTKDEHVTVAIATPAAAEAGVAAAQVAAEAVPPYGTLHSNHICDGETDERALDVQELAATKIQATFRGYLARKALRALKGIVRLQAIIRGSAVRRQAMNTLKCLQSIVNIQSQVSAMRFQMAEGTWQYDETKQLVTLEDKIIKVDINSQRRWDDSILTKEEAEAMVLSRKEAAIKRERIKEYSYVHRKSAESELNKGNGRLKYWLDEWVDTQVTKSKELEDLDSVWTSNRKPMEENRAKHLRLKTSPRPYHYNSEGLESPVVPVPRRSFHKKQCSLGEDNSFPTSPVVPPYMAATQSARAKVRSLSSPKVRPGSFETQSESYSPFKNKLCLISSITSEVPSSYKMNGSRSSAYQQRSPSLKGVPGPVKSKRTLKDLSFNSECSLPNWVQESTFR >KJB67467 pep chromosome:Graimondii2_0_v6:10:54885778:54888242:-1 gene:B456_010G192000 transcript:KJB67467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKSWFSLVKKFFLFETIARTEKEKKRKWMFGRLRTKRLTAPPNDVTKDEHVTVAIATPAAAEAGVAAAQVAAEAVPPYGTLHSNHICDGETDERALDVQELAATKIQATFRGYLARKALRALKGIVRLQAIIRGSAVRRQAMNTLKCLQSIVNIQSQVSAMRFQMAEGTWQYDETKQLVTLEDKIIKVDINSQRRWDDSILTKEEAEAMVLSRKEAAIKRERIKEYSYVHRKSAESELNKGNGRLKYWLDEWVDTQVTKSKELEDLDSVWTSNRKPMEENRAKHLRLKTSPRPYHYNSEGLESPVVPVPRRSFHKKQCSLGEDNSFPTSPVVPPYMAATQSARAKVRSLSSPKVRPGSFETQSESYSPFKNKLCLISSITSEVPSSYKMNGSRSSAYQQRSPSLKGVPGPVKSKRTLKDLSFNSECSLPNWVQESTFR >KJB68136 pep chromosome:Graimondii2_0_v6:10:59897882:59900740:1 gene:B456_010G227600 transcript:KJB68136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVLQTRGLLSLPPKPTKASSLLNPSQGLTHRLFASKPLGFNGLCLFYRRVPSVVAKPNGVPFICKAKAASAADGKQPFFNENEKPKFMGIEILTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLANVLSKKALFYTVIVPFIAFFGAFGFLLYPLSNYIHPQALSDKLLAVLGPRFLGPLAIMRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKRFYPLFGLGANVALIFSGRTVKYFSNLRKNLGTRVDGWAISLKGMMSIVVLMGFTICFLYWWVNKFVPLPTRSWKKKEKPKMGTMESLKFLVSSRYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQFIFDKYGWGVAAKITPTVLLLTGVGFFSLILFGDPLGPALAEFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLMIVFTWLSAAKSLDTQFTALRREEEFEKEMERDAVKIPVVSEPRNDSVANSSLLNAASDDSTGSSSETSTPRNI >KJB66445 pep chromosome:Graimondii2_0_v6:10:33834818:33836939:1 gene:B456_010G140400 transcript:KJB66445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNFVVKAFTGASGSKTGSLKPSQVSSEWLDDDTSLHNRGEERLECPICWEFFNVVENIPYVLWCGHTLCKNCVLGLQRAVLKYPTLPIHLPFFVTCPWCNLFSLRLVYKGNVKFPSKNYFLLWMIESMNGDRSKSNSSIHGGHPPACSTNKITDGGVQLRHINTRRAQCTMHCLENSASSRSQGHLMTDYFSAERLQLSLRKSLVFLLHLTVKFPLIVIFLLMVLYAIPASAAVFALYILITVVFAVPSFLILYFAFPSLDWLVREIIN >KJB67304 pep chromosome:Graimondii2_0_v6:10:53566676:53567777:1 gene:B456_010G184800 transcript:KJB67304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGERRRRRRSFKERLGFKVMGCCGGSKWGFGSTTMSLRDEDEAEIEEEEERVAAADPGCVNPAPVPTGMNLAAALAAERHYRASGSPTSNVGGGRTPGTPLRVSLMRLLEEADGGGGEEEEEKGRRMEMGSDRICCVCMGRKKGAAFIPCGHTFCRVCSREVWLNRGSCPLCNRSILEILDIF >KJB68338 pep chromosome:Graimondii2_0_v6:10:60935931:60937647:-1 gene:B456_010G239600 transcript:KJB68338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDQLLSYLSPRKKLRPPPVFLPSIVESLNSPKPPLPPSSSSDTILNLQESLLLQNQQNSPATRSDPNLTWRKINLHRCKTAPAMAVLMDAINPSIPKPKFGSESIVRQACILLILYLSLGVAIYWFNRHHFVGPKTNPVVDALYFCIVTMCTIGFGDITPNSNATKLFSILFVLVGFGFIDILLSGMVSYVLDLQENYLLKTVQHDGDKRDLATSYIIDVKKGRMRIRLKVGLALGVVVLCIGIGVGVMHFVEHLEWLDSFYLSVMSVTTVGYGDRAFKTMPGRLFAAIWLLVSTVAVARAFLYLAEARVDKRHRRMAKWVLGQDMTVSQFLAADIDNNGYVSKSEFVIYKLKELGKVSEKDIMQISQKFDRLDSGNCGRICLADLKDSHH >KJB63399 pep chromosome:Graimondii2_0_v6:10:11455551:11456612:1 gene:B456_010G0790002 transcript:KJB63399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKGNYVVKVDGVDISPNPVISGKLATFTISASTSQAITGGKAVIEVYFFGFHIHQETHDLCEETSCPITVGNFVLSHNQVLPGFTPP >KJB63674 pep chromosome:Graimondii2_0_v6:10:787424:789871:-1 gene:B456_010G010400 transcript:KJB63674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPEPQYVQRGKVPMDPPQRKPRILLGACGCVAAVQFASICHCFCEWAEVKAVATPASLHFIDIESLPDNVDLYTDRHEWSCWEKLGDNVLHIELYRWADLMVIAPLSANTLAKIAGGICDNLLTCIVRAWDYSKPMFIAPGMNTFTWRNPFTEKHLMLVDELGVSFIPGDDAMAEPTEIHSTVRLYLESRPLPD >KJB63241 pep chromosome:Graimondii2_0_v6:10:38188860:38192544:-1 gene:B456_010G1451001 transcript:KJB63241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEFIALAAASKEAEWLRNLLYDVPLWPKPISPISICCDRDGGHSSHTLLIIIVPIIIFVVVIVILAAVILLKRNKKRKQDDQINKNHTEPFQFDFNAVRLVTENFSDANMLGQGGFGSVYKGQLEDGRKVAIKRLSENSGQGEQEFKNEVMLLAKLQHRNLVRLLGFSFEQKERVLIYEFLPNSSLDSFIIDSVKCLQLNWEKRYKIIKGMAKLFALEQSQADTSKIVGTYGNMAPEYALRGQYSVKSDVYGFRVLVLEIISAWRNWNEGTALEVVDPILRDGSRSEITRCIQLGLLCVQEDTAYRPTMASAVLMLSSYSISLPMPSAPPLSMHSIRERETKSKSSSFSNQSKRETVQVSVN >KJB65568 pep chromosome:Graimondii2_0_v6:10:18293049:18299247:1 gene:B456_010G101300 transcript:KJB65568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYLFDFVFIFIFICILNTRSLQLRITFPDFLFPNYNVVNYDEKILDGFYDVYGIASTLGLEGKMPSLVDLQAVSVLENVDYEVILVNRLLDPELQELEKRVYNIYVQSQALGHGLVLSDLIQKITEIVVNRMGGLVADADEMLRMWTLRSYELRSSLNAIILPLGRLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLVRINNGSEYIIDLMGAPGTLIPAEVPSCQLLKSALDVLSDASQGSCLVLDKEIGNLAVSAALEMGIQIGAKRAAEFASSQTNEDEKNLAGRAVPERSEQEFGKLLSSAPNSSDSFCDIYEKPSSVQKRKVKNVSKYVISAAKDPEFAQKLHAVLLESGASPPPDLFMDINSQDPGEQSIPEQVVKGTIVNAAASCNSNLLSSKEHYLVSNGMEALENTNSNTRQKQMAKHQRELETNVIKTNVPSAASVEGFLLGNSANDWMQVRDSSFSANEFCQRQPENVLAMDEKLVNWTSGAGLNKESALEFIKTTDNDLHLASSGRSEKIYPMLKEVSEWEIPWENLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALVQFKCEVEIMLRLRHPNVVLFMGAVTRFPHFSILTEFLPRGSLYKLLHRSNPQLDEKRRMRMALDVAKGMNYLHTSNPTIVHRDLKTPNLLVDKNWVVKVCDFGLSRMKHHTFLSSKSTAGTPEWMAPEILRNEPANEKCDVYSFGVVLWELVTLRIPWKGLNPMQVVGAVGFQNRRLEIPEDIDPMVAQIIRECWQTEPHLRPSFAQLMSRLRRLQRLYVERLNSTNQIIG >KJB65567 pep chromosome:Graimondii2_0_v6:10:18292327:18299397:1 gene:B456_010G101300 transcript:KJB65567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMKHLLRKLHIGGGFNEHQRLTETQPVISSCPSPNSTGQETASSFSSSSASSVGSGTLGRIGDVESAGGDRTAGDEVDFNLLEEEFQMQLALAISASDPETAQIDAAKRFSLAGSDAGAFVELLSLRYWNYNVVNYDEKILDGFYDVYGIASTLGLEGKMPSLVDLQAVSVLENVDYEVILVNRLLDPELQELEKRVYNIYVQSQALGHGLVLSDLIQKITEIVVNRMGGLVADADEMLRMWTLRSYELRSSLNAIILPLGRLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLVRINNGSEYIIDLMGAPGTLIPAEVPSCQLLKSALDVLSDASQGSCLVLDKEIGNLAVSAALEMGIQIGAKRAAEFASSQTNEDEKNLAGRAVPERSEQEFGKLLSSAPNSSDSFCDIYEKPSSVQKRKVKNVSKYVISAAKDPEFAQKLHAVLLESGASPPPDLFMDINSQDPGEQSIPEQVVKGTIVNAAASCNSNLLSSKEHYLVSNGMEALENTNSNTRQKQMAKHQRELETNVIKTNVPSAASVEGFLLGNSANDWMQVRDSSFSANEFCQRQPENVLAMDEKLVNWTSGAGLNKESALEFIKTTDNDLHLASSGRSEKIYPMLKEVSEWEIPWENLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALVQFKCEVEIMLRLRHPNVVLFMGAVTRFPHFSILTEFLPRGSLYKLLHRSNPQLDEKRRMRMALDVAKGMNYLHTSNPTIVHRDLKTPNLLVDKNWVVKVCDFGLSRMKHHTFLSSKSTAGTPEWMAPEILRNEPANEKCDVYSFGVVLWELVTLRIPWKGLNPMQVVGAVGFQNRRLEIPEDIDPMVAQIIRECWQTEPHLRPSFAQLMSRLRRLQRLYVERLNSTNQIIG >KJB67450 pep chromosome:Graimondii2_0_v6:10:54719628:54725745:1 gene:B456_010G191300 transcript:KJB67450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLATLELECTIYTTPYTTKQLSFILVIRSPSKAISSYPSKLVVHQSMASEPGILTDWPWKPLGSFKYIILAPWITESIYSIIVGDEKGWDVFNLTILPLMLWRMLHSQLWISLSRYRTAKGTNRIVDKGIEFDQVDRERNWDDQILFNAIIFYLGNKYFPGGSHIPIWRTDGVIITMLLHAGPVEFLYYWFHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHIVYFALFAIPVSTVVFTGTGSIIAIAGYITYIDLMNNMGHCNFELIPNWVFSIFPPLKYIMYTPSFHSLHHTQFRNNYSLFMPIYDYIYGTVDKSLDTLYEISLQRKEETPNVVHLMHLTTPESIYHLRVGFAYLASKPYSSAWYLWLLWPVTLWFMMLTRIYRRTFVVERNRFRQLRLQTWAIPNFREQYHLKWQKESINNMIEEAVLEAEEKGTSVLSLGLMNQGKELNRYGEVYVKKHPQLKVKLVDGSSLAVAVLLNSIPKGTTQVLLRGNLTKVAFAVAFSLCQKGIQVTVLREDEYEKLDKSLGTKSEGKLVISKSYSSCKVWLVGDDLTEEEQRKANKGTLFIPFSQFPLKNLRKDCFYHTTPAMQAPKALENVDSCENWLPRRVMSVWRIAGILHALEGWEEHECGYTISNIDKVWEACLKHGFQPLTVPTQSKS >KJB63275 pep chromosome:Graimondii2_0_v6:10:7064665:7064801:-1 gene:B456_010G0591002 transcript:KJB63275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIPYDKAQFATFDESSYLGNPFLCGPPKHESCSGTGLPNNTKCL >KJB66604 pep chromosome:Graimondii2_0_v6:10:38593809:38595343:-1 gene:B456_010G146700 transcript:KJB66604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSPKILTPISLFGTLLLLPLLVASSLHDLLQSQGLPVGLFPDNVKSYKFDPDDGRLEVHLETPCMAKFDGRVHFDRVVTANLSYGGLVGLEGLSQEELFVWFNVKGITVNDPSSGLILFDIGVAHKQLSLSLFEDPPVCKRQGVLAEILGRKKMGFQVQR >KJB64654 pep chromosome:Graimondii2_0_v6:10:7805552:7807406:-1 gene:B456_010G062800 transcript:KJB64654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAKDDVVCLQLTAPPGWKKMLKPKKGGTPKKNEIIFTAPSGEQISNKKQLEHYLKQHPGGPVISEFDWGTGETPRRSARISEKVKAYSEPPKKRGRKSSASKDDNKESETAPEGTEDSKDVMEEAGKSEEENENENKDKTQDGNSKSEPTSKEVTRGVDAKISTNIEEGKGGGEAVSEKLKCLQDGVEMNASGLGQKETADMEDATSDGNVEQLASEAEKGLGSMEQEKPNVCVTEERKNEAEGEGKPILDRSTIESEREIEVNEAANRDEMEEAIQNGSKGSNAE >KJB67724 pep chromosome:Graimondii2_0_v6:10:57229857:57232470:-1 gene:B456_010G206300 transcript:KJB67724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVHLRKFTSNSNLVLRVTILTDKFGQPKGFSYVESVEVDAVQSALLLNESELHGHQMQPINWSKLVFRIACITPGLQTVKPGTFDSAGTTKLQDAGKAGLVTIQGKNSTVLGTAHICAYLFITLFPDQAEKLLQMSLAR >KJB68321 pep chromosome:Graimondii2_0_v6:10:60834621:60837376:-1 gene:B456_010G238400 transcript:KJB68321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQSNLSTLNHCSSIETRKPATVLDSIPSINLKDPESKTHIMKACEEYGFFKVVNHSVPMEFIAKLETQALEFFNLPQSEKDKAGPPDPFGYGSKRIGSNGDVGWIEYLLLNTNPQVTSLKTLTVFRENPEIFWSAVKEYIEAVKVMAFEVLELIADGLKIEPRDTLSKLLKDENSDSCFRLNHYPPCPELQALSGRDLVGFGEHTDPQIISVLRSNSTSGLQICLKDGVWVSVPPDETSFFINVGDALQVMTNGRFKSVRHRVLANSHKSRVSMIYFGGPPLSEKIVPLTSLMGKQEESLYKEFTWWEYKTSAYKSRLADYRLGLFEKKTHQVQAIE >KJB64900 pep chromosome:Graimondii2_0_v6:10:9911885:9912787:-1 gene:B456_010G071300 transcript:KJB64900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRFRLRISRMFRSSFGSCRTRTVSDVAEKVVFSLENHNRFRCMEPSSTSPPKVRPLPSICKPRYPESHQPTQGTRRKVSACYPPFLLSATDFDGLKCPPASPISPLNLFSNCEDFGFYEKKKSLARKKKNNKRVHVKCKNMSSNSTFFSSSSHESVTYSSNYGGCGWWFSSEDETETLFSSRTLSLDSTESIRQHSNYRRKYNTRRRRAKSCSCNIGVLPLEGRNTVKDSFAVVKSSDDPYNDFKASMVEMIVERQIFADQDLEQLLQCFLSLNSHHHHRIIIEVFTEICKTLFSNWS >KJB64895 pep chromosome:Graimondii2_0_v6:10:9249450:9252128:-1 gene:B456_010G070800 transcript:KJB64895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 37 [Source:Projected from Arabidopsis thaliana (AT3G24515) UniProtKB/TrEMBL;Acc:A0A1I9LLL0] MAQEARLKLRMQKELKLLLVDPPHGASFPTLSSQSDITDLSSIHAQIEGPEETVYSKGIFKIKIQIPERYPLQPPIVTFATPIYHPNIDNGGRICLDILNLPPKGAWQPSLNISTVLTSIRLLLSEPNPDDGLMCEASREYKYNRQAFDQKARSMTEKYAKAGAGESSCSYQCTETKVDSTMVEVQGLDLASNHGALELSPSNKRTHGISQKLSLESTILNKKKDADRKVPNHNLFLSASGTQMECKRKREKENDVLSGYNLNHEKVNGKGRKSSSIVSGQCKDDFDNKENVDPNYLSSLYQHQASSESSFSQASHNQQLDLHEDGNSANSSTKSSSKLCQSQKDPFGSMEPMQTFEKVKILGTVEESHVDRAENISANKKTEKLSSVGKKLSLGLKGSSHRQQVNNKENVMPVQNLPYSKPQTLEKKGLGRKLSLIPSTQQLQQGCRGDQKPQSHQVVQSMQADVEVKEKGAEEMEQESSISEKVVVLDSEDSEGENQTAPLRWRVPLAARKRLGRWRV >KJB65894 pep chromosome:Graimondii2_0_v6:10:23211735:23213236:1 gene:B456_010G117800 transcript:KJB65894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQLSFFNWTLERCFETRKIINIINGKHTEKKNKLGKGNKQTQAEQKEKEKRPGIPFFSYHFKQLLLCISHSRDRLPMNIQKLTFRTLVSVNLHEFIGLTKRT >KJB64681 pep chromosome:Graimondii2_0_v6:10:7222095:7226487:-1 gene:B456_010G060400 transcript:KJB64681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMFGLLLFMQLSTFFASGIEVKSKICGGDHIAYSNLYGTELFYLNGNQVDKVLFCKVLQLHYADHCELEGYSGANCGLDVSLESLSVGGGRKLLQEPKKEDEGPDDDLKGKNNGKYPASTKVGLGAAAGVLLTCVFICPCLYRKKRATDHTVLSKDSNSIDSAPPLEMSIHSLPEKVPASPLRIPPSPSRFSMSPQLNRIRSVHLNMTQVARATRNFSSALKIGEGGFGTVYKAQLDSGQMVAIKRAKKEHFENLQTEFSSEVELLAKIDHRNLVKLLGYVDKGNERLIITEYVPNGTLREHLDGQHGKILDFNQRLEIAIDVAHGLTYLHVYAEKQIIHRDVKSSNILLTESMRAKVADFGFARVGPMDSDRTHISTKVKGTVGYLDPEYMKTYQLTTKSDVYSFGILLIEILTGRRPVELRRPVEERVTPRWAFDKYNEGHVVELVDPRMEVVDAEILTKMFALAFQCAAPVRNDRPEMKSVGEQLWAIRADYVKTSSRG >KJB64679 pep chromosome:Graimondii2_0_v6:10:7221506:7226509:-1 gene:B456_010G060400 transcript:KJB64679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMFGLLLFMQLSTFFASGIEVKSKICGGDHIAYSNLYGTELFYLNGNQVDKVLFCKVLQLHYADHCELEGYSGANCGLDVSLGGGRKLLQEPKKEDEGPDDDLKGKNNGKYPASTKVGLGAAAGVLLTCVFICPCLYRKKRATDHTVLSKDSNSIDSAPPLEMSIHSLPEKVPASPLRIPPSPSRFSMSPQLNRIRSVHLNMTQVARATRNFSSALKIGEGGFGTVYKAQLDSGQMVAIKRAKKEHFENLQTEFSSEVELLAKIDHRNLVKLLGYVDKGNERLIITEYVPNGTLREHLDGQHGKILDFNQRLEIAIDVAHGLTYLHVYAEKQIIHRDVKSSNILLTESMRAKVADFGFARVGPMDSDRTHISTKVKGTVGYLDPEYMKTYQLTTKSDVYSFGILLIEILTGRRPVELRRPVEERVTPRWAFDKYNEGHVVELVDPRMEVVDAEILTKMFALAFQCAAPVRNDRPEMKSVGEQLWAIRADYVKTSSRG >KJB64683 pep chromosome:Graimondii2_0_v6:10:7222969:7225490:-1 gene:B456_010G060400 transcript:KJB64683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMFGLLLFMQLSTFFASGIEVKSKICGGDHIAYSNLYGTELFYLNGNQVDKVLFCKVLQLHYADHCELEGYSGANCGLDVSLVGGGRKLLQEPKKEDEGPDDDLKGKNNGKYPASTKVGLGAAAGVLLTCVFICPCLYRKKRATDHTVLSKDSNSIDSAPPLEMSIHSLPEKVPASPLRIPPSPSRFSMSPQLNRIRSVHLNMTQVARATRNFSSALKIGEGGFGTVYKAQLDSGQMVAIKRAKKEHFENLQTEFSSEVELLAKIDHRNLVKLLGYVDKGNERLIITEYVPNGTLREHLDGQHGKILDFNQRLEIAIDVAHGLTYLHVYAEKQIIHRDVKSSNILLTESMRAKVADFGFARVGPMDSDRTHISTKVKGTVGYLDPEYMKTYQLTTKSDVYSFGILLIEILTGRRPVELRRPVEERVTPRWVSLFFLLLLSF >KJB64682 pep chromosome:Graimondii2_0_v6:10:7222121:7226049:-1 gene:B456_010G060400 transcript:KJB64682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMFGLLLFMQLSTFFASGIEVKSKICGGDHIAYSNLYGTELFYLNGNQVDKVLFCKVLQLHYADHCELEGYSGANCGLDVSLVGGGRKLLQEPKKEDEGPDDDLKGKNNGKYPASTKVGLGAAAGVLLTCVFICPCLYRKKRATDHTVLSKDSNSIDSAPPLEMSIHSLPEKVPASPLRIPPSPSRFSMSPQLNRIRSVHLNMTQVARATRNFSSALKIGEGGFGTVYKAQLDSGQMVAIKRAKKEHFENLQTEFSSEVELLAKIDHRNLVKLLGYVDKGNERLIITEYVPNGTLREHLDGQHGKILDFNQRLEIAIDVAHGLTYLHVYAEKQIIHRDVKSSNILLTESMRAKVADFGFARVGPMDSDRTHISTKVKGTVGYLDPEYMKTYQLTTKSDVYSFGILLIEILTGRRPVELRRPVEERVTPRWAFDKYNEGHVVELVDPRMEVVDAEILTKMFALAFQCAAPVRNDRPEMKSVGEQLWAIRADYVKTSSRG >KJB64680 pep chromosome:Graimondii2_0_v6:10:7222121:7226438:-1 gene:B456_010G060400 transcript:KJB64680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMFGLLLFMQLSTFFASGIEVKSKICGGDHIAYSNLYGTELFYLNGNQVDKVLFCKVLQLHYADHCELEGYSGANCGLDVSLVGGGRKLLQEPKKEDEGPDDDLKGKNNGKYPASTKVGLGAAAGVLLTCVFICPCLYRKKRATDHTVLSKDSNSIDSAPPLEMSIHSLPEKVPASPLRIPPSPSRFSMSPQLNRIRSVHLNMTQVARATRNFSSALKIGEGGFGTVYKAQLDSGQMVAIKRAKKEHFENLQTEFSSEVELLAKIDHRNLVKLLGYVDKGNERLIITEYVPNGTLREHLDGQHGKILDFNQRLEIAIDVAHGLTYLHVYAEKQIIHRDVKSSNILLTESMRAKVADFGFARVGPMDSDRTHISTKVKGTVGYLDPEYMKTYQLTTKSDVYSFGILLIEILTGRRPVELRRPVEERVTPRWAFDKYNEGHVVELVDPRMEVVDAEILTKMFALAFQCAAPVRNDRPEMKSVGEQLWAIRADYVKTSSRG >KJB66788 pep chromosome:Graimondii2_0_v6:10:44642090:44645431:1 gene:B456_010G158500 transcript:KJB66788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGYNYRAIKRWNSQWKLGYCLLDCDKIFVPIHKDIHWCLAVINKKDQKFQYLDSLKGRDHNVLRALAKYFAEEVKDKSGKDIDISSWEQEFIEDLPAQENGNTCPIFV >KJB66787 pep chromosome:Graimondii2_0_v6:10:44642090:44645431:1 gene:B456_010G158500 transcript:KJB66787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGYNYRAIKRWNSQWKLGYCLLDCDKIFVPIHKDIHWCLAVINKKDQKFQYLDSLKGRDHNVLRALAKYFAEEVKDKSGKDIDISSWEQEFIEDLPAQENGNTCPIFV >KJB66789 pep chromosome:Graimondii2_0_v6:10:44643141:44645431:1 gene:B456_010G158500 transcript:KJB66789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGYNYRAIKRWNSQWKLGYCLLDCDKIFVPIHKDIHWCLAVINKKDQKFQYLDSLKGRDHNVLRALAKYFAEEVKDKSGKDIDISSWEQEFIEDLPAQENGNTCPIFV >KJB66163 pep chromosome:Graimondii2_0_v6:10:28304618:28306331:-1 gene:B456_010G130700 transcript:KJB66163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAPICIDKTWWVSTFPAIVKANNLVDGFLLFSIFMAVLLGGFLTWALSPGGLAWKNGRNRLGGVPVPGPRGFPFFGSLFSLTHGLAHRTLACMASKQAATNLMAFSLGSTPAVITSDPQIAREILTSPHFANRPIKATAKKLMFSRAIGFAPDGTYWRLLRRISSTHLFAPKRIAAHEKSRQLDCGSLLCAIAKEQSSNGIVVLRKHLQAAALNNIMVTVFGKRYDLCQGDDDEAKELQEIVREGFEILGAFNWSDYLPWLSYFYDPFRINERCSVLVPRVKKLVNQIIEQHRLNQSSKVADSSDFVSVLLSLDGDDKLNEEDMEAVLWEMIFRGTDTTALLTEWIMAELVLNPEIQSKLHQELVVAVGDKSVTDADVANLPYLQAVVKETLRLHPPGPLLSWARISTSDVQLSNGMVVPSDTTAMVNMWAITHDPNVWEDPLVFRPERFVKCLGGAEVDVRGGDLRLAPFGAGRRVCPGKNLGLVTVGLWVAKLVQHFKWVQDLANPVELTELLKLSCEMKNPLRAMAIPRNSILRRSGDW >KJB65062 pep chromosome:Graimondii2_0_v6:10:11503072:11504804:-1 gene:B456_010G079300 transcript:KJB65062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFAAVSLFAALGFLLYGGRLLMLQRFPVESKGRRKKLQEVGYVTTICFTCFLLRCIMMCFNAFDKGADLDVLNHPILNLIYYLVSLMNGCF >KJB66546 pep chromosome:Graimondii2_0_v6:10:36543294:36555960:-1 gene:B456_010G143100 transcript:KJB66546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEEFLKQCEQSGDGAYAALKSLLEKLEDPKTRTEARVFLSDLQSRVGSSDDCFHKYHFRIQDIYLDRSEGYQGRKKLTMMVIPSIFMPEDWSFTFYEGLNRHPDSIFKEMTVAELGCGNGWITIAIAEKWLPAKVYGLDINPRAVKVSCINLYMNAFDEKGQPIYDAEKKTLLDRVEFHESDLLSYCREHDIKLERIVGCIPQILNPNPEAMSKMITENASEEFLHSLSNYCALQGFLEDQFGLGLIARAVEEGIAVIKPTGILIFNMGGRPGQGVCRRLFERRGFRVTRLWQTKVLQAGDTDISALVEIEKNSPHRFEFFMGLSGDQPICARTAWAYGKTGGRISHGLSVYSCQLRQPNQVKVIFEFLRSGFQEISSSLDLSFEDDLVADEKIPFLAYLSSVLKENSCFPYEPPAGCKRFRNLIAGFMKTYHHIPLTSDKVVVFPSRTVAIENALRLFSPHLAIVDEHLTRNLPREWLTSLAIETAENGLSDDVVTVIEAPRQSDLMIELIKRLKPQVVVTGIAHFEAVTSSAFVQLLDATGEIGSRLFLDISDHFELSSLPGTIGVLKYLSGTPLPSHAAIVCGLVKNQVYSDLEVAFVISEEEAILKALSKTVEILEGNTSLISQYYYGCIFHELLSFQLTDRHPHLERRSEKSKSVEVIGFATSAISVLSNAELSISDDGYPLVRMDVDQWFLPVPSPVKAAIFESFSRQNMSESEIDVTPCIKQFVQTEYGFPTDSGTEFIFSDCSQALFSKLVLCCIQEGGTMCFPAGSNGNYVSVAKFLKANTVHIPTNSERGFKLTEDILIKVLETMKKPWVYISGPTINPTGLLYSNQEMENILSACARFGARVVIDTSFSGLEFEYEGWGGWNLGSCLSKISSSGNPSFCVSLLGGLSLKLLSGALKFAFLALNEPILIEAFHSFPGLSKPHCTDKYTIKKLLSLREQKGGLLDVAMEQIRILENRAKCLKEVLENCGWDVLRPCAGVSMVAKPSFLGKAVKVNHSLKHTGSGEQDATYEIKLTDASLREAVAKTTGLCINSGSWTGIPGYCRFTIALEESEFEQALACLVKFKSIVDN >KJB64139 pep chromosome:Graimondii2_0_v6:10:3158717:3162394:-1 gene:B456_010G034500 transcript:KJB64139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLQKAASNAYSWWWVSHIRTKQSKWLEQNLQDMEEKVRSMLKIIDDDGDSFAKRAEIYFKKRPELVSIVEECYRSYQALAERYDHLSKDLQSANRTIATVFPEQVPYSLDDEQDPGSRVWIHKGKVNKALGSAVTSSGLNKDDALDEIDKLSKEISDMETERECLKRAYVEGYKKFCEVDNQVAEKQRRVSNLRVEFGLGSVTDDKHELPIEDVNVDSEKIKHVHLGFEALRNRFSAPQINQQKKHVPIKNVDNKVHEVLIVEKENHVSEVSWNETDEKLEVSSNYASRMMSELVVKIDELVQRVVNWETTFLSEKDLEKRSKSDADEVPEEDKEAEKEGREIINSRMNLLEGELSKVKDLVDTVVHEMNGLKTHSAELSCNVDHLSVKAEADSDVDGETEDHQIEQALKDKDVSAEGKNNVACETSNVFDAYSSKGLVSTKEDKAKKQYLSDMARSIPDAEINEIETDDEEEEQPNWRQLYLDGLDDREQVVVDKYSSVLENYEEVKKKLNEVDKNNRDGFFELAMQIKELKNAVASRDREVQYLHRKIGFLDENKHVYSIEDHEVNRESPSEQSTLTNSIEASPSEQGKDRSIRKEITVEVESTTHGSSKESSEKAGENNKRTSQSVVENKIRSSIDELLEENLVFWLRFSAAFNQIKKYQTSVNNLKAKLSTLRKRNHYEGRMEHMQSEIRPVYCQFQEIRTGLTLWLENNGVLTDEIESRYTSICKIQNEIASGYELMSVYQATKFKGEVTNMKHELTKVSNELKSGFEHVWQLKHEVEKQMNSLEKELGSLISSMSQPSKSQRSSMSRIPLRSFLFGIKLRNSKQSKGSSIVTSYKNLSKSSR >KJB67875 pep chromosome:Graimondii2_0_v6:10:58412758:58423284:-1 gene:B456_010G216100 transcript:KJB67875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRYFADSIIFVLSYVSLTHSLCFVATNKAATMSPSSSLTAASSSSSLWILKPSPAFKSSRFQSIPPSLPPSSPPCFRLLRRAASLPHASATDFDFALHDALESSGIDTSHAREARKGFISQINELSNVERETSISINRRVDLGRTALYIAAEDDSLISHSSVPLPVDAFLERLDDLSLGYCSHYNSLSRSSRENFLESIEKYLYVKKGFRRSSAKNLAEPRALYLHSVLTHRSGSALMLSLIHSEILKMFRLWGLLDFDVEIFFPHDHYGLPRAYDKQKSKESDQPHIMTVQILLEKVLRNLKAAFWPFQHDHVDSLFLRAAHAANCVDDPNGSQESAYQLASAKAAQHRLERGVWTSVRFGDMRRALSACERLILLKTDPKEIRDYSILLYHCGLYQQSLKYLRLYQDAKSPSSSQSRSTNSVSILEEEAVQKLFVRLNLIAMEEDWCQPIYGRNFLGNNSEPW >KJB67877 pep chromosome:Graimondii2_0_v6:10:58418817:58423241:-1 gene:B456_010G216100 transcript:KJB67877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRYFADSIIFVLSYVSLTHSLCFVATNKAATMSPSSSLTAASSSSSLWILKPSPAFKSSRFQSIPPSLPPSSPPCFRLLRRAASLPHASATDFDFALHDALESSGIDTSHAREARKGFISQINELSNVERETSISINRRVDLGRTALYIAAEDDSLISHSSVPLPVDAFLERLDDLSLGYCSHYNSLSRSSRENFLESIEKYLYVKKGFRRSSAKNLAEPRALYLHSVLTHRSGSALMLSLIHSEILKMFRLWGLLDFDVEIFFPHDHYGLPRAYDKQKSKESDQPHIMTVQILLEKVLRNLKAAFWPFQHDHVDSLFLRAAHAANCVDDPNGSQESAYQLASAKAAQHRLERGVWTSVRFGDMRRALSGTNVEDVA >KJB67876 pep chromosome:Graimondii2_0_v6:10:58413225:58423237:-1 gene:B456_010G216100 transcript:KJB67876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRYFADSIIFVLSYVSLTHSLCFVATNKAATMSPSSSLTAASSSSSLWILKPSPAFKSSRFQSIPPSLPPSSPPCFRLLRRAASLPHASATDFDFALHDALESSGIDTSHAREARKGFISQINELSNVERETSISINRRVDLGRTALYIAAEDDSLISHSSVPLPVDAFLERLDDLSLGYCSHYNSLSRSSRENFLESIEKYLYVKKGFRRSSAKNLAEPRALYLHSVLTHRSGSALMLSLIHSEILKMFRLWGLLDFDVEIFFPHDHYGLPRAYDKQKSKESDQPHIMTVQILLEKVLRNLKAAFWPFQHDHVDSLFLRAAHAANCVDDPNGSQESAYQLASAKAAQHRLERGVWTSVRFGDMRRALSACERLILLKTDPKEIRDYSILLYHCGLYQQSLKYLRLYQDAKSPSSSQSRSTNSVSILEEEAVQKLFVRLNLIAMEEDWCQPIYGRNFLGNNSEPW >KJB67874 pep chromosome:Graimondii2_0_v6:10:58412781:58423502:-1 gene:B456_010G216100 transcript:KJB67874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRYFADSIIFVLSYVSLTHSLCFVATNKAATMSPSSSLTAASSSSSLWILKPSPAFKSSRFQSIPPSLPPSSPPCFRLLRRAASLPHASATDFDFALHDALESSGIDTSHAREARKGFISQINELSNVERETSISINRRVDLGRTALYIAAEDDSLISHSSVPLPVDAFLERLDDLSLGYCSHYNSLSRSSRENFLESIEKYLYVKKGFRRSSAKNLAEPRALYLHSVLTHRSGSALMLSLIHSEILKMFRLWGLLDFDVEIFFPHDHYGLPRAYDKQKSKESDQPHIMTVQILLEKVLRNLKAAFWPFQHDHVDSLFLRAAHAANCVDDPNGSQESAYQLASAKAAQHRLERGVWTSVRFGDMRRALSACERLILLKTDPKEIRDYSILLYHCGLYQQSLKYLRLYQDAKSPSSSQSRSTNSVSILEEEAVQKLFVRLNLIAMEEDWCQPIYGRNFLGNNSEP >KJB66913 pep chromosome:Graimondii2_0_v6:10:47672133:47673899:-1 gene:B456_010G164700 transcript:KJB66913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDHEFRNFHCPTDHTCSRVSLSAADPCSFMRLNCTDSLQSPIGYGRSLEKALAFSHSSSSQPPFSSVGATPNSCISYSSTQDGSDKSNKDCQLKELEDWSESSKKGNKLANKKGEKKHKETRFAFMTKSEVDLLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCRVKKRVERSFQDPSMVITTYEGQHKHPLPTTVKRPMLFPSFMLGPSPPPPRRRHELFTRMPGDMNNYQVAAGADSLMFAENFSPFLQVPDNGLLQDMVPSAFLKHEPYEQ >KJB64677 pep chromosome:Graimondii2_0_v6:10:7800045:7804393:-1 gene:B456_010G062700 transcript:KJB64677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILGVSLFFFIFLAPFAFVTVQAFTGTYGINYGRIANNLPSPDEVVTLLKAAKIRNVRIYDADQSVLKAFSGTGLEIVVGLPNGNLRDVSADGDHAMSWVQDNVLAYLPDTCIRGIAIGNEVLGGSDQFSGFLLGAVKNVYNAVNKLKLSDVVQITTAHSQAVFANSFPPSSCVFRDNVVQYMKPLLEFFSQIGSPFCLNAYPFLAYMYDPEHIDINYALFLPTVGANDPKTKLHYDNLLDAQIDAAYAALEDAGFKKMEVIVTETGWASHGDENESAATVDNARTYNYNLRKRLAKMKGTPLRPKNVVKAYVFALFNENLKPGPTSERNFGLFKPDGSISYDIGFPGLKSSSADSLLLSLKDNRGCGWCGCYSIILTMATAFLLVFSR >KJB67805 pep chromosome:Graimondii2_0_v6:10:57826923:57834406:-1 gene:B456_010G212100 transcript:KJB67805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRLGIFLSLLSLFLIRSESAVSSIDLGSEWLKVAVVNLKPGQSPITIAINEMSKRKSPALVAFQSETRLLGEEAAGIVARYPDKVFSNLRDMIGKPYQDVKRSADSMYLPFDVVEDSRGAAKIRVSSDVSYSVEELLGMILKYASNLAEFHSKVTVKDAVISVPPYFGQAERKGLLKAAEMAGINVISLINEHSGAALQYGIDKDFSNESRHVILYDMGSSSTYAALVFYSAYNSKEFGKTVSVNQFQVKDVRWDSELGGQNMELRLVEYFADEFNKQVGNGVDVRKHPKAMAKLKKQVKRTKEILSANTAAPISVESLYDDRDFRSTITREKFEELCADLWDKSLVPVKEVLKHSGLKADDIYAVELIGGATRVPKLQATLQEYFGRKDLDKHLDADEAIVLGSALHAANLSDGIKLNRKLGMVDGSSYGFVVELDGADLSKDEATRLLLVPRMKKLPSKIFKSINHGKDFEVSLAYDREDLLPPRITSPVFAHYAVSGLTDTAEKYSSRNLSAPIKTNLHFSLSRSGILSLDQADAVIQITEWIEVPKKNLTVENTTSASPNASVDNGANSTSEESNSNSESDGGVSNGSNSTVEEPSTTDLGTERKLKKRTFKIPLKIVEKTTGPGMPLSKESLAEAKRRLEALDKKDAERRRTAELKNNLEEYIYATKEKLETSEDFEKVSSNDERQSVIKKLDEVQEWLYTDGEDASASEFQDRLNSLKATADPIFFRFKELTARPEAVEVARQYLSDLKQTIRGWETEKPWLPKDRIDELSTSMDKLKTWLDEKEAEQKKTSGYSTPVFTSEEVYEKVFNLQDKAASIKRIPKPKPKVEKPVKNETETKSENTTSSEKDTSENDKPAGDSDSSTNEKVKGGSEPHDEL >KJB66629 pep chromosome:Graimondii2_0_v6:10:39187463:39187847:-1 gene:B456_010G1480002 transcript:KJB66629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CVESLARVEAETCGDRALGAAWVLLRR >KJB67897 pep chromosome:Graimondii2_0_v6:10:58490609:58492168:1 gene:B456_010G216700 transcript:KJB67897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCRQLLGLAIDHLNSSLSSSTDSVDDLRTWLSSAVTYHQTCIDGFEEFNGVINRDDIDNHLKFSSEVTSNSLAIITWISKVKTAFNLRRRRLMNLEAREEHQWRSHRERVLLESSNELKKKADIIVAKDGSGKFKTIMEAIKAVPKKSKKKRTVIYVKKGVYKENVKVEKNKWNVTMIGDGMNSTIVTGKLNVVDGTPTFSTATFAVFGKGFVARDMAFVNTAGPEKHQAVALMSTADQSVFHRCRFDGFQDTLYAHSNRQFYRECDIIGTVDFMFGNSAVVFQNCNILPRQPMANQQNTITAQGKVDPNQNTGISIQNCTISPYGNLSSSLKTYLGRPWKNYSTTVFMHSQIGSLVHPTGWLPWTGNTAPSTIFYSEYKNVGPGSSMKDRVKWKGLRNISDKEAKKFTVKEFINGGKWISDAGVSYKSGL >KJB63358 pep chromosome:Graimondii2_0_v6:10:24715401:24716187:1 gene:B456_010G121500 transcript:KJB63358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVGCLIGVGNGGFGFWVSSDKPRILLCCCCLLFFSENFANLHFFLLQGAYNGALAWDFGEAGVCSRVVDGICRQRLWWWQ >KJB66300 pep chromosome:Graimondii2_0_v6:10:29896691:29900354:-1 gene:B456_010G134400 transcript:KJB66300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATTAVPVAPVTAGGAAVGSGYMNVSLYVGDLEENAVEGQLYDLFGQVGTVLSIRICRDQNKRSSLGYAYVNYSNYQDAAHAKEMFNFTPINGKPIRIMFSQRDPIIRKTGYANVFIKNLDPAIDNKALYDIFVAFGNVLSCKVATDRNGQAKGFGYVQFENDEAAQNAIKRLNGMLINDKQVYVGRHVRRQERVPANVTPKFTNVYVKNLSETTSDEDLKKVFGTYGTITSVVVMKDQNGKSRCFGFVNFQSPDAASAAVEKLNGMKNDDKTWYVGRAQRKAEREAELKAKFEQERTSRYEKLQAANLYLKNLDDSIDTEKLKELFSEFGTITSCMVMLDPQGVSKGSGFVAFSTPEEASKALNAMNGKMIGKKPLYVAVAQRKEERKARLQSYFAQLRTQGAMSPLASGVPGYHSGPHRLDLQQLYYGQGSPGLLHPQPAGYGYQQQLIPGIRPGVTPNYIMPYNLQRQRGQPGQRMGVRRGGNSQQMLQQQVLHRNTNEGLGYMGNARDGVDQSTVTQSVVGPILPLPYGVSRMPVNPVEVQRPNPVHISTLISALASASPRERNKMLGEQLYPLVQGFEPEHAGKVTGMLLEMDQTEVLHLIESPDALKEKVAEAMAVLRDSAAGESDASKELVIGTD >KJB66301 pep chromosome:Graimondii2_0_v6:10:29894502:29900354:-1 gene:B456_010G134400 transcript:KJB66301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATTAVPVAPVTAGGAAVGSGYMNVSLYVGDLEENAVEGQLYDLFGQVGTVLSIRICRDQNKRSSLGYAYVNYSNYQDAAHAKEMFNFTPINGKPIRIMFSQRDPIIRKTGYANVFIKNLDPAIDNKALYDIFVAFGNVLSCKVATDRNGQAKGFGYVQFENDEAAQNAIKRLNGMLINDKQVYVGRHVRRQERVPANVTPKFTNVYVKNLSETTSDEDLKKVFGTYGTITSVVVMKDQNGKSRCFGFVNFQSPDAASAAVEKLNGMKNDDKTWYVGRAQRKAEREAELKAKFEQERTSRYEKLQAANLYLKNLDDSIDTEKLKELFSEFGTITSCMVMLDPQGVSKGSGFVAFSTPEEASKALNAMNGKMIGKKPLYVAVAQRKEERKARLQSYFAQLRTQGAMSPLASGVPGYHSGPHRLDLQQLYYGQGSPGLLHPQPAGYGYQQQLIPGIRPGVTPNYIMPYNLQRQRGQPGQRMGVRRGGNSQQMLQQQVLHRNTNEGLGYMGNARDGVDQSTVTQSVVGPILPLPYGVSRMPVNPVEVQRPNPVHISTLISALASASPRERNKMLGEQLYPLVQGFEPEHAGKVTGMLLEMDQTEVLHLIESPDALKEKVAEAMAVLRDSAAGESDASKELVIGTD >KJB68584 pep chromosome:Graimondii2_0_v6:10:61848713:61851163:1 gene:B456_010G252200 transcript:KJB68584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGSAAVPGTELLEWPKKDNRRLLHAVYRVGDLDRTIKFYTECFGMKLLRKRDIPEEKYSNAFLGFGPEESHFVMELTYNYGVTSYDIGTGFGHFAIATPDVYKMVEDIRAKGGNITREPGPVKGGSTIIAFVKDPDGYVFELIQRDSILDPLCQVMLRVGNLDRAVKFYEKALGMKLVKKVDRPEYKYSIAMMGYAEEHETTVLELTYNYGVTEYTKGNAYAQVAISTDDVYKSGEVVEHVIQELGGQVTRKPGPIPGLNTKITSFLDPDGWKTVLVDNEDFLKELGN >KJB63589 pep chromosome:Graimondii2_0_v6:10:450000:455270:1 gene:B456_010G007400 transcript:KJB63589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin-related lectin 3 [Source:Projected from Arabidopsis thaliana (AT1G19715) UniProtKB/Swiss-Prot;Acc:F4HQX1] MNLDGYQKPVSYGPWGGQGGLYWDDGVNFTIKQLVIVHGLGIDSIQIEYDNEGNSIWSRKHGGDGGSKMDKVKLDYPNEFLTSIHGYYGNLNERGPIMVRSLTLQSNRKAYGPYGVEQGTCFSMNRGKIVGFHGKSGWYLDAIGVYSMPMLKMNHSKTIVHAQSFAGNGGERDGFSVIQGSVGESYDIVLAVRQRDLIGNSLPRELSRRGSRSCTEESSDGETKGKVSFQTPEKLPSNVPEGVIAYGPWGGNGGTKFDDGTYTGVRQITLSRNVGIVSIKVCYDRNGQAHWGSKHGGTGGFKTERIMFDYPSEILTHVTGTFGPLMYIGPNVIKSLTFYTNKGKHGPYGDEQGPSFSNKINEGKIVGFHGKEGLFLDAFGVHVMETKVPPPKPPLSRAIIQGERTIAEIDNSPWSNKLVLAKRGPIEEVACSVVKEPAPCGPGPWGGDGGRPWDDGVYSGIKQIYITKSDAICSIQVEYDRNGQSVWSVKHGGHDGTTTNRVKLDDPHEVLNCISGYYGTINNDEQLKVVKSLTFNTSRGKYGPFGEEKGTYFTSTITEGKVVGFHGRSSSYLDAIGVHMQHWLGNQRTTKRSLFKFFS >KJB64971 pep chromosome:Graimondii2_0_v6:10:10469649:10471053:1 gene:B456_010G073900 transcript:KJB64971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSYFGEPNMGSERGGSSSSRKGKKGNSEKPKQPQRGLGVAQLEKIRLHGQMASTYLPLHHGTYPANFNQEDNMRAYSSMASSSFSYSSTSSPSYGFQYPNMMMGVGEYDQRANIRYGDSQPSSGFLDTQHFAQPNMTRQLLNLHVEDSHSRSKKHRSNSLGSSSQNSVSSDTQELDLELRLSL >KJB66623 pep chromosome:Graimondii2_0_v6:10:38963075:38966334:-1 gene:B456_010G147600 transcript:KJB66623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHCTRAVYTAPIKTISNQMYRDFCGKFDVGLLTGDVSLRPEASCLIMTTELLRSMLYIGADIIRDIEWVKGLLFVALLYIVVSLLFLLNALVSSFVSFVNMIFKMFLSIVTI >KJB67740 pep chromosome:Graimondii2_0_v6:10:57314811:57318633:-1 gene:B456_010G207500 transcript:KJB67740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHELCHNAHGPHNASFYKLWDELRKECEELMSKGITGTGEGFDLPGWRLGGFSRQPPLLSLRQTALAAAENRARLGSLLPSGPKRLGGDSTIRDALSPIQAAAMAAERRLQDDIWCGSHSSQIAGDEESCADTLQDHLDLDQGGERSSINDVSSRHAFGGTSLKRSHGQDKPESSFVDLTTPPVSRSSISEGAKSPKRSCKSNNLIPHQSSSSATSSAPMLNDDSPENQGVTAVWECQSCTLLNPPLAPICKLCCTERPRDIGTKYRFWSCKFCTLKNSVKLDKCSACDQWRYSHGAPISTPAPNVGT >KJB67741 pep chromosome:Graimondii2_0_v6:10:57314811:57318668:-1 gene:B456_010G207500 transcript:KJB67741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGDLHKVWEIKALKRKPGEEEAKKILEKIAKQVQPIMRKHKWRVKLLSEFCPNNPALLGLNVGVGINVKLRLRRPNRDWDFYPFDQVLDTMLHELCHNAHGPHNASFYKLWDELRKECEELMSKGITGTGEGFDLPGWRLGGFSRQPPLLSLRQTALAAAENRARLGSLLPSGPKRLGGDSTIRDALSPIQAAAMAAERRLQDDIWCGSHSSQIAGDEESCADTLQDHLDLDQGGERSSINDVSSRHAFGGTSLKRSHGQDKPESSFVDLTTPPVSRSSISEGAKSPKRSCKSNNLIPHQSSSSATSSAPMLNDDSPENQGVTAVWECQSCTLLNPPLAPICKLCCTERPRDIGTKYRFWSCKFCTLKNSVKLDKCSACDQWRYSHGAPISTPAPNVGT >KJB63977 pep chromosome:Graimondii2_0_v6:10:2204852:2205304:-1 gene:B456_010G027400 transcript:KJB63977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNANVPFSWELKPGVSKVTCEENSISLRHVRVNLPPPPCLSKSARFCVDDLNGVLPSCQLQTPPSSSEKKGNANNGNKQEDPFVAAFRKCTEYSTNGKPGGRGTDDKVDGTCKTRNKKNMFILSCKYSCNVSSCNVKSVSVFERKKQG >KJB65238 pep chromosome:Graimondii2_0_v6:10:12880451:12882635:1 gene:B456_010G085400 transcript:KJB65238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSMKLAGLKSVENAHDESVWTATWVPATNSRPPLLLTGSLDETVKLWRPDELELVRTNTGHCLGVVSVAAHPSGVIAASASLDSLVRVFDVDTNATIATLEAPPSEVWQMQFDPEGTTLAVAGGGSASIKLWDTATWRLVSTLSVPRPEGPKPSDKSSSKKFVLSVAWSPDGRRLACGSIDGTISIFDVPRAKFLHHLEGHYMPVRSLVFSPEPDGRKLYSASDDGHVHVYDAEGKAIIGAMSGHSSWVLSVDVSPDGEAIATGSSDKTVRLWDFKMRAAIQTMSNHTDQVWAVAFRPGGGGRLASVSDDKSISLYHCS >KJB63984 pep chromosome:Graimondii2_0_v6:10:2242040:2244439:1 gene:B456_010G027900 transcript:KJB63984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDQAKLFVGGISRETSEAILTDHFSKYGNVVSSVVAKDKNTKSPRGFGFVLFSEPSSADKALQASHVILGRTVEVKKAIPRSEQNQIQRHQHHNLNEQPSRNGGSEPVDSNNHFRTKKIFVGGLSASLTEEEFKNYFERFGRITDVVVMHDSLTNRPRGFGFVTFELEEAVDNVMQKSFHELSNRLVEVKRAVPKEGNNGGNNGYNMKAGVVPVSPYNGFQPFDYVPGSPGYGMFPGYAPLIGYNTIDGYVYGSGVYGNGYPTIGYGRIGYGVPPVTPRSSFYAPVMLGPRLCPPPYGTVSAYPPCMNDGVGLVGTVTGGFNGITGNAVDGKSNQVNDDSREPPTNARPPQTEGANFDGEGLKECNAGASSKQDQKHHDGELEPLSVDASR >KJB63985 pep chromosome:Graimondii2_0_v6:10:2242241:2244439:1 gene:B456_010G027900 transcript:KJB63985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDQAKLFVGGISRETSEAILTDHFSKYGNVVSSVVAKDKNTKSPRGFGFVLFSEPSSADKALQASHVILGRTVEVKKAIPRSEQNQIQRHQHHNLNEQPSRNGGSEPVDSNNHFRTKKIFVGGLSASLTEEEFKNYFERFGRITDVVVMHDSLTNRPRGFGFVTFELEEAVDNVMQKSFHELSNRLVEVKRAVPKEGNNGGNNGYNMKAGVVPVSPYNGFQPFDYVPGSPGYGMFPGYAPLIGYNTIDGYVYGSGVYGNGYPTIGYGRIGYGVPPVTPRSSFYAPVMLGPRLCPPPYGTVSAYPPCMNDGVGLVGTVTGGFNGITGNAVDGKSNQVNDDSREPPTNARPPQTEGANFDGEGLKECNAGASSKQDQKHHDGELEPLSVDASR >KJB67610 pep chromosome:Graimondii2_0_v6:10:56189920:56190686:-1 gene:B456_010G200100 transcript:KJB67610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPQSFHPTWSPSAIKSSLITTASPMSSGMNSDAEFAYGSGHLNPIKAINPGLIYDSNEVDYINFLCGQGYDTRFLRQVTRDNATCSAATNGTVLSDLHYPSFAVFTSSSTTVRRVFNRTVTNVGSPMATYRARVSFRTRTARVRVNPNVLSFTSVGQKLSFQVIIEGTMDASMVSGSLVWDDGVHKARSPIVVFASIS >KJB65417 pep chromosome:Graimondii2_0_v6:10:15452894:15454710:-1 gene:B456_010G094100 transcript:KJB65417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRCSKQEAKEGMEIDRIRNSHKDEPPQLSGAYIRSLVKQLTTSRSAKDPDYVNGQNLSKFGEGFSATPQTQSQVQPHPPPKQLKKQVRRRFHTRRPYQQRLLNMAEARREIVTALKFHRAAMKQANEQQQEEQSSRSLQPSPSFPPPFEQEPKTNNLSTYNIDYFSHSYSWPPSSPSPFTSTAHTLNLPDQTLGLNLNFHDFNNIDSNLYHNSNNPSIYSSSSSSSSPTLSVVTEDVPSVAVSHEVGPGTMADSTASYGGGDLHQAMDDEGMAEMRSLGEQHQMEWNDTVNLVTSAWWFKFLKSIEDGNEVKGEDDGYHKPFDQVMELPAWLNANDCGLQHHHFNNLFPDSYFQDSDSALPCMDIGEIEGIDGDWHWLA >KJB67473 pep chromosome:Graimondii2_0_v6:10:54917641:54920414:1 gene:B456_010G192300 transcript:KJB67473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 24 [Source:Projected from Arabidopsis thaliana (AT4G30890) UniProtKB/Swiss-Prot;Acc:Q9FPS3] MTDSKVFVFGSFTEDETRSLLSKQSSGNSEKPVATKELQFGSFNFAAGGSLGSVNGNLSNKPSSTNEPIEFLSSTSRIEDGKSRKTTTDHSLNALQTPKEAGSANSSSSSCSLSNGVKQLNAKGIDVTSVHLTINELNPLSDSQSSKFHVLESEIIEDRDQNGTVDTSLGGSIRGDIAKEEKDPIKVVKNLVPRGLINSGNLCFLNSTLQALLSCSPFVQLLQQLKLRNIPKVGYPILTSFAEFVSDFDVPSSDSKLKKKDTAVLEIGRPFSPVMFESVLKSFTPDVPNSISGRPRQEDAQEFLSFIMDQMHDELVKLQGQSGSSNGVRSSLVSYAEDDEWETVGPKNKSAVTRTQSFLPSELSDIFGGQLRSVVKAIGNKASATVQPFLLLHLDIHPEAVHTIEDALHLFSAPEFLEGYRASTAGKVFISFDHFLLAIGRYIYLYRSYTLGVCVCIVCLSFSFMFRCY >KJB67474 pep chromosome:Graimondii2_0_v6:10:54917256:54921424:1 gene:B456_010G192300 transcript:KJB67474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 24 [Source:Projected from Arabidopsis thaliana (AT4G30890) UniProtKB/Swiss-Prot;Acc:Q9FPS3] MTDSKVFVFGSFTEDETRSLLSKQSSGNSEKPVATKELQFGSFNFAAGGSLGSVNGNLSNKPSSTNEPIEFLSSTSRIEDGKSRKTTTDHSLNALQTPKEAGSANSSSSSCSLSNGVKQLNAKGIDVTSVHLTINELNPLSDSQSSKFHVLESEIIEDRDQNGTVDTSLGGSIRGDIAKEEKDPIKVVKNLVPRGLINSGNLCFLNSTLQALLSCSPFVQLLQQLKLRNIPKVGYPILTSFAEFVSDFDVPSSDSKLKKKDTAVLEIGRPFSPVMFESVLKSFTPDVPNSISGRPRQEDAQEFLSFIMDQMHDELVKLQGQSGSSNGVRSSLVSYAEDDEWETVGPKNKSAVTRTQSFLPSELSDIFGGQLRSVVKAIGNKASATVQPFLLLHLDIHPEAVHTIEDALHLFSAPEFLEGYRASTAGKTGVVTAKKSVKIQTLSKIMILHLMRFSYGSQGSTKLHKPVHFPLELVLGRELLVSSSTEGRKYELVATITHHGREPSKGHYTADARYPNGQWLRFDDASVTAIGTSKVLHDQAYVLFYEQT >KJB67472 pep chromosome:Graimondii2_0_v6:10:54917213:54921500:1 gene:B456_010G192300 transcript:KJB67472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 24 [Source:Projected from Arabidopsis thaliana (AT4G30890) UniProtKB/Swiss-Prot;Acc:Q9FPS3] MTDSKVFVFGSFTEDETRSLLSKQSSGNSEKPVATKELQFGSFNFAAGGSLGSVNGNLSNKPSSTNEPIEFLSSTSRIEDGKSRKTTTDHSLNALQTPKEAGSANSSSSSCSLSNGVKQLNAKGIDVTSVHLTINELNPLSDSQSSKFHVLESEIIEDRDQNGTVDTSLGGSIRGDIAKEEKDPIKVVKNLVPRGLINSGNLCFLNSTLQALLSCSPFVQLLQQLKLRNIPKVGYPILTSFAEFVSDFDVPSSDSKLKKKDTAVLEIGRPFSPVMFESVLKSFTPDVPNSISGRPRQEDAQEFLSFIMDQMHDELVKLQGQSGSSNGVRSSLVSYAEDDEWETVGPKNKSAVTRTQSFLPSELSDIFGGQLRSVVKAIGNKASATVQPFLLLHLDIHPEAVHTIEDALHLFSAPEFLEGYRASTAGKCQTGVVTAKKSVKIQTLSKIMILHLMRFSYGSQGSTKLHKPVHFPLELVLGRELLVSSSTEGRKYELVATITHHGREPSKGHYTADARYPNGQWLRFDDASVTAIGTSKVLHDQAYVLFYEQT >KJB66904 pep chromosome:Graimondii2_0_v6:10:47562053:47563279:1 gene:B456_010G164100 transcript:KJB66904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGNDDPRSQDERDSDGGDAGSPASHDGHSHQHSFGQGGDEERSQSHLTEKSVEEAAKDAKSTERSGLDDVDVKIVKEWEPNKDLESTHVSIQHVDHDKSSSTSSNSSSDDESQAFEKKSNEETCNLGSDAASYSTEDKSATVLSEEVLTVAENNTLKNADSNSAVETVAVDNLVKNMSLVPEEVEFSAKSSVPDVVESGMKENEEKLLPSSNGASGVELEGVERKNFPSSGIPTAETSNVAEKNHASGPHGYPKKQPLVAPTPPPVRRTSWLSCCGLFEVFTGSGR >KJB66903 pep chromosome:Graimondii2_0_v6:10:47561195:47563331:1 gene:B456_010G164100 transcript:KJB66903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGAKKRKAAKKKKEQAANNINPSTNNNPLGNDDPRSQDERDSDGGDAGSPASHDGHSHQHSFGQGGDEERSQSHLTEKSVEEAAKDAKSTERSGLDDVDVKIVKEWEPNKDLESTHVSIQHVDHDKSSSTSSNSSSDDESQAFEKKSNEETCNLGSDAASYSTEDKSATVLSEEVLTVAENNTLKNADSNSAVETVAVDNLVKNMSLVPEEVEFSAKSSVPDVVESGMKENEEKLLPSSNGASGVELEGVERKNFPSSGIPTAETSNVAEKNHASGPHGYPKKQPLVAPTPPPVRRTSWLSCCGLFEVFTGSGR >KJB66811 pep chromosome:Graimondii2_0_v6:10:45040914:45042105:-1 gene:B456_010G159300 transcript:KJB66811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMDTQESGQGIKLFGTTITLHGRQVNGELNKADHPTVDKRPDKIIPCPRCRSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKAKPPGPGLGLGGFQEGCLYDGSSAVQQFEVEGMVLDEWHIAATNGGGFQQVFPMKRRRISCSAAQLQPY >KJB66887 pep chromosome:Graimondii2_0_v6:10:46968668:46969617:1 gene:B456_010G162900 transcript:KJB66887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFFLNCQSYLLNINIDYNPEKREAEGSVKRKEAGNDMLGSMFRSGMVVFIIMLMWRELEVEVEAQARKLAADEVKALHEIARELGKRDWDFTKDPCGTHSSWIHTEKDPMNLYNRLSSVIVPSPVMSATSIACKCSLQSSFQV >KJB66674 pep chromosome:Graimondii2_0_v6:10:40927559:40931681:1 gene:B456_010G151600 transcript:KJB66674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAICCGFTPMFFGSKAVKLVQGKSSQISKVYNKQKTGKGSKQDNSPHLACNFILMMELRKKIITFRDIIDLPPCNTALSTDQMIIGTMQDLHKYYPESIPRIRRSKLKRLPIDKILVYFCKALQELADVSNMSGAGIDKCKLDITDNEGCNEVEKLVEITVTTLNGLIKIAREKADMVNEDGENKGLCPKAKTYSENSYGCPSPTSVLPELMDPPLKSYASPLLLSVNVQAVGKLSPIDLKRLKLHMLPDMGDDVPYLKQNKVMIKEQDEEIDETRDSKSQGEALEDPVSGSDNAAGCDTTTDGSNAAPPTPPSEVKPPELCGDVEAAEDKPVSPPPATPAEVLSPITPPPPPPPPPPPQLPTPPPSQPNLVSRKPPIPPPPPPQPNAEASKPPSVAKEPELHSPGAPLPPPPPPPPEAPSKSNMVETVAPVSPPPPAPPVVAKGTPPVLPPPPPVPRSASLPPPPPPIHRTASLPPPPPPPMTPSNGSGPMPPPPPIPGSAANGAAPPPPPPGSMRLKKATTRLKRSSHMGNLYRNLKGKVEGVPKRGPGAANGGKKSGGGNSGGGKQQGMADALAEMTKRSTYFIQIEEDVQKYEKSIKELRTSISTFKTADMSELIKFNKHVESILEKLTDETQVLARFEGFPGKKLEALRTASALYSKLESMLNELENCKIEPPLGQLLERVERCFDRIKKEVDSLERTKDEEAKKLKGHNIEFDFQILVRIKEAMVDVSSNCMELALKERREAKLTANEKSKTKGEGPKKVCSKMLWRAFQFAFRVYTFAGGHDDRADKLTRELAHEIETDPEHQQ >KJB65386 pep chromosome:Graimondii2_0_v6:10:14948176:14952278:-1 gene:B456_010G092800 transcript:KJB65386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTWLFFMDLDGGGSPYIFPMEPFVSNGLGAHLFSQFSSFVDSSLYHSKHLYVPGSLAFREAFSCMSKFTGAILFWFSSMSTSKLSRDISSGNQRGLESRSCESSVLVKHIFSCKNNLAGYRFASDSRGLFATPLVFGKISSYAMRSFFGEAEALRHIPLLSLAAALIPPLDNLSSKVLPVPLDNTELQMQELMDRRPCEVGQGCGSLSFLDLNRRRHAIEPRTGIEFPTILDNILDTQNNSSLASEVLVGTGSRTMKIIKIKSLKVYAFGFYIHPYSVCKKLGAKYASIPVDELNKHNELYQDLLSEDIGMTVRLVVNCNGMKVNTVRDAFEKSLRARLVKTNPNTDYRCLSTFGSYFTQDIPLPAGTIIDFQRTADGHLITKIGGNQIGAVHSKDLCRAFFDMYIGDFPVSEQTKEDIGRNVANIIRRC >KJB65387 pep chromosome:Graimondii2_0_v6:10:14948238:14951452:-1 gene:B456_010G092800 transcript:KJB65387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTWLFFMDLDGGGSPYIFPMEPFVSNGLGAHLFSQFSSFVDSSLYHSKHLYVPGSLAFREAFSCMSKFTGAILFWFSSMSTSKLSRDISSGNQRGLESRSCESSVLVKHIFSCKNNLAGYRFASDSRGLFATPLVFGKISSYAMRSFFGEAEALRHIPLLSLAAALIPPLDNLSSKVLPVPLDNTELQMQELMDRRPCEVGQGCGSLSFLDLNRRRHAIEPRTGIEFPTILDNILDTQNNSSLASEVLVGTGSRTMKIIKIKSLKVYAFGFYIHPYSVCKKLGAKYASIPVDELNKHNELYQDLLSEDIGMTVRLVVNCNGMKVNTVRDAFEKSLRARLVKTNPNTDYRCLSTFGSYFTQDIPLPAVNPLYLSFIFVLFDLM >KJB65385 pep chromosome:Graimondii2_0_v6:10:14948161:14951528:-1 gene:B456_010G092800 transcript:KJB65385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTWLFFMDLDGGGSPYIFPMEPFVSNGLGAHLFSQFSSFVDSSLYHSKHLYVPGSLAFREAFSCMSKFTGAILFWFSSMSTSKLSRDISSGNQRGLESRSCESSVLVKHIFSCKNNLAGYRFASDSRGLFATPLVFGKISSYAMRSFFGEAEALRHIPLLSLAAALIPPLDNLSSKVLPVPLDNTELQMQELMDRRPCEVGQGCGSLSFLDLNRRRHAIEPRTGIEFPTILDNILDTQNNSSLASEVLVGTGSRTMKIIKIKSLKVYAFGFYIHPYSVCKKLGAKYASIPVDELNKHNELYQDLLSEDIGMTVRLVVNCNGMKVNTVRDAFEKSLRARLVKTNPNTDYRCLSTFGSYFTQDIPLPAGTIIDFQRTADGHLITKIGGNQIGAVHSKDLCRAFFDMYIGDFPVSEQTKEDIGRNVANIIRRC >KJB65388 pep chromosome:Graimondii2_0_v6:10:14948238:14952121:-1 gene:B456_010G092800 transcript:KJB65388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFFGEAEALRHIPLLSLAAALIPPLDNLSSKVLPVPLDNTELQMQELMDRRPCEVGQGCGSLSFLDLNRRRHAIEPRTGIEFPTILDNILDTQNNSSLASEVLVGTGSRTMKIIKIKSLKVYAFGFYIHPYSVCKKLGAKYASIPVDELNKHNELYQDLLSEDIGMTVRLVVNCNGMKVNTVRDAFEKSLRARLVKTNPNTDYRCLSTFGSYFTQDIPLPAGTIIDFQRTADGHLITKIGGNQIGAVHSKDLCRAFFDMYIGDFPVSEQTKEDIGRNVANIIRRC >KJB67104 pep chromosome:Graimondii2_0_v6:10:51022947:51025699:1 gene:B456_010G174900 transcript:KJB67104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNCLYVPKSPKFHGIFNGLSAIILLFFFYTRVDFLRKPHISQLSGLINYNLNQRTVLDHVGIIRRKISELDASSSNLAFGKGQQNELNVSLSHPVFCTGLNNHQGFANQCEFLKSNPQCSSDGFFDYIKFFYCGCGEFRIVGYLILGVWLVSLFYLLGNTAADYFCRSLEKLSYLLMLPPTVAGVALLPLGNGAPDVFASIAAFLGTDTGGVGLNSVLGGGVFVTCVVVGAVSLCVAGTGVQIDKRCFIRDICFFLFTLMSLTMILIIGKVSIWGAIAFVMIYVIYAFSVVANEILWKHTGGLKLDVVTPLLPVRGTLFSQGNEEDTFLYSYLLDVDTGNDPPHLPSSLPQWMWASNVAIYSNHLMKASPLDEDRPPWGWTEEGMETNESPFSCSKLLSLLELPLTVPRRLTIPLVDQESWSKPYAVASVSLAPVLLAFLWNSKGDVGSESRVITWCLSVAAGCTLGILACKHTASDHPPRRFLIPWVFGGFFMSIVWFYMIANELVALLVAFGVIFGINPSILGVTILAWGNSMGDLVSNVALAMNGGDSVQIAMSGCYAGPMFNTLVGLGISLLLGASSKSPSSYTVPQDSSLFYTMGFLMSGLIWALIVLPRNDMRPSKILGLGLIMLYLIFLSLKVSSALGLTSLRGLS >KJB67103 pep chromosome:Graimondii2_0_v6:10:51022947:51025699:1 gene:B456_010G174900 transcript:KJB67103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPTVAGVALLPLGNGAPDVFASIAAFLGTDTGGVGLNSVLGGGVFVTCVVVGAVSLCVAGTGVQIDKRCFIRDICFFLFTLMSLTMILIIGKVSIWGAIAFVMIYVIYAFSVVANEILWKHTGGLKLDVVTPLLPVRGTLFSQGNEEDTFLYSYLLDVDTGNDPPHLPSSLPQWMWASNVAIYSNHLMKASPLDEDRPPWGWTEEGMETNESPFSCSKLLSLLELPLTVPRRLTIPLVDQESWSKPYAVASVSLAPVLLAFLWNSKGDVGSESRVITWCLSVAAGCTLGILACKHTASDHPPRRFLIPWVFGGFFMSIVWFYMIANELVALLVAFGVIFGINPSILGVTILAWGNSMGDLVSNVALAMNGGDSVQIAMSGCYAGPMFNTLVGLGISLLLGASSKSPSSYTVPQDSSLFYTMGFLMSGLIWALIVLPRNDMRPSKILGLGLIMLYLIFLSLKVSSALGLTSLRGLS >KJB63831 pep chromosome:Graimondii2_0_v6:10:1493419:1496159:1 gene:B456_010G019600 transcript:KJB63831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGIGQTQTDHQGRLMEDFKTQNTGSLGISRNHLENIISHHGSSPKHGGSDIDLLVSPRELALPPIAIGSRQYTEDTNSIVSSYIMNGSSNNIILPPSSNEDKSSKLAVPHPAESVRDTSFDSKSNNYTTSPTSPTQPHSAANTDILHGQKSQGDDSLSNSHSLLDGIGLSYPEADCSNEAEIGDDKTKDRVPQIKNLMLPHQRIINSSVESPRFIKQGSFNRIHIDTAAPFESVKEAVSKFGGIVDWKAHKVQSIERRKLVEQELDKLHVEMPEYKNRLDEAEEEKFKVLKELDSAKRLIEELKVSLERAQTEEDQAKQDSELAKLRMEEREQGIADEASIAAKTQLEVAKARHEAAIVELATITDDLEALRNEYSSLIVERDVASKRAEEAVNASREVEKTVEYLTIELITTKESLESAHAAHLEAEEKRITVAMARDQDTLQWKKDLKQGEEELQRINQQVNYAKELKSKLDTASALLLDLKAELSAYKLKEKTDGHSNDELPTEIKLDTDMQAAIASAKKELGEMKNNIKKAKAEVETLKAAANSLKSELEKEKSKLDSTQSEAALLQTEKTPAPLKPLQEATQEAEKAKSLAQMTREEFIKAKEEAEQAKAGASTIESRLLAAQKEIEAANVSEKLVLAAIKALQVNESAQNIGNANSPQEITVSLEEYYQLSKRAYEAEEKIEMRMAAVNSRIEAAKKSQSKSLEKLEELNKEMAERKEAQRIATERADKANEGKLKIEQELRNWRSEHEQQQTDTEPNHGENIPPSTEEKKESDDTEPAPPPAGPTANMNDTPPEPKPKKKRKSIIPKIFMFLSRRKSKTS >KJB65738 pep chromosome:Graimondii2_0_v6:10:21173606:21176354:1 gene:B456_010G111500 transcript:KJB65738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPAKELDLSSVKYEKETIQAPHLTGSILKLFVRIIEIPIIGSLIISFMKKENNMVEMLQNTEIPEKPMFKPEFPPQEAEPSVVIVDEEGKPTDRVESALKCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYQSKLVTPSKIAEQIITLVEGCKYHKAPTPLFISFDAEDIRKQATASTQRFKEGNPLSIFIVPLIYLSFCLSDISLVKLEHSG >KJB65739 pep chromosome:Graimondii2_0_v6:10:21173670:21175212:1 gene:B456_010G111500 transcript:KJB65739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPAKELDLSSVKYEKETIQAPHLTGSILKLFVRIIEIPIIGSLIISFMKKENNMVEMLQNTEIPEKPMFKPEFPPQEPSVVIVDEEGKPTDRVESALKCLPHYDPASCWSGDTLPSFRYWKIRDFAYAYQSKLVTPSKIAEQIITLVEGCKYHKAPTPLFISFDAEDIRKQATASTQRFKEGNPLSIFIVPLIYLSFCLSDISLVKLEHSG >KJB68028 pep chromosome:Graimondii2_0_v6:10:59389165:59390001:1 gene:B456_010G222700 transcript:KJB68028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPRSSEPSLSETSTVNSVSNGLKDDDNYDLVLDLSLSNKDDSKPELNLIECFKVTVSSDDHQDCLGTPQGNETEPRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGQQRVVVGVGGDGDGGSGGGASFAAFGHPNTHSGRYSTMASLPLHGSFNKSLGIQVHSMIHKPSFPSSTHIYGHNEWSRRPIHQTPAIGHLSMENFHVGSSTSNGTAARFESSVRKFGPSTEGMNGYWWDSSVNPFNTKQDELKLDLSLKL >KJB66053 pep chromosome:Graimondii2_0_v6:10:26154805:26155596:1 gene:B456_010G1256002 transcript:KJB66053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKDDDYGEEEDSEYVLLDLEAVRGQIDIPPNAPYTLS >KJB64771 pep chromosome:Graimondii2_0_v6:10:8090222:8092618:-1 gene:B456_010G064100 transcript:KJB64771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAWKREHSKTQKLLSPKTLLSLLILILIFYLCFSFLSPKNPTFIPTTASYKTQISTQNFPIPPFNCRNSPQSHPIIANQVENLKYPFIYSLADLGSLPDKPHKNIVRILKGKPFRRPDISATVQDFLEGKNRDGFFVDVGANIGMASFAAAVMGFRVLAFEPVLENLQRICDGIWFNRIEELITVFEAAASDRNGNITFHKLVGRLDNSAVSAVGARLAFKSNEEIAVQVRSILLDEVIPESEPVLLLKIDVQGWEYHVLKGAKKLLSRKKGEAPYLIYEEDERLLQASNTTAKEIRDFLSGVGYSHCTQHGTDAHCTKN >KJB66058 pep chromosome:Graimondii2_0_v6:10:26379281:26380824:1 gene:B456_010G1258002 transcript:KJB66058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGVKPLSVEATKNTSILVVLRDQRHILFNDSLLGTIETSLCTGPIHFNCYPNCMVSLTDKNILQYLTLQIHTHNYKMLPGTEVLTLVYRLHFKAMYSVVNTKALLQSPKGETLLIETDTTRYHTTIPRTIQWHEINLPDKWKLEGATDPVAPTPIRNTSLSEISQHQDDTVELKFNRPQRMPPRHSFKIGSTSTAFKRLNIEEESNPETQTVDFRTARASVSSIPTTFRTNLQEIDNSSNIAQPIYARQEESPQNSPNMSPTYSSMTNNARQRENSEIFVLEKLFEINKEWCRKHFYSNKNKQKREDYFKNYNDKKESILQEYYGFMNTHKVHIKFFEWFEEYYLGSVNTIRHNTRWQTNKGEVESRHPPLMEVQYLHKNTGIKANPLRMRAPDAGEQISSKDIKMIVEQNNYTNINLYTIGKQLDYIENLIKIQPIKKELVKEIIEKSSKEPIFTPYEIPKVFQKSQNDFLTEIQNRLNALENYKFELIAPDIPIQTQYSVNTLHQSSQSDSD >KJB63477 pep chromosome:Graimondii2_0_v6:10:43273:44841:1 gene:B456_010G001100 transcript:KJB63477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDWTKLPPELLVSISENLKLYSDYLSFRAVCRGWRSSTPKTPSHLPPQLPWLMLPPSQSYQSHRAFYDLSTNKLRFLSLPESSNPNKRHCGSSHGWLVVLDESPSILLLNPLSRAKLHLPSLSSFPNVHSFNYSHVGKEYSLRSPSGDLYTRNLRQMRDSIKKIVLSVNPSKELRFVAIAILNQTGELVYCKNGDDSWRFIPGAQSYSEDVIYCEGLFYAVDKHGGIAICDVHDDSPKVSIVQTRTQLDGDMQYLINSGDELLLITRHLDLEFSFEPHQSYLVYRTKRFEVSRLVWSGPHWERVKSLYDKMVFIGENSSLSLSASDFTGCMGNCIYFTDDYSESNYDGSLGEHDIGIYKLWDGSIEPLPCYPRNSFFRMGWPTPLWVTPTPC >KJB66976 pep chromosome:Graimondii2_0_v6:10:48826795:48830082:1 gene:B456_010G168400 transcript:KJB66976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSSFLTSLGTSFIIFIILMLLFTWLSAKRGNAVVYYPNRILKGLEPWEGGLKTRNPLAWIREALSSTEQDIINMSGIDTAVYFVFLGTVLGILVLSGVVLLLVLLPTASTDDEVKKNAKSTTSKGTFNDLDKLSMANIEERSPRLWAFLIAVYWVSLVTYVLSWKAYKRVSALRANALMSPEVKPEQFAVLVRDLPDVTPGQTRKEQVDSYFKSIYPDTFYRSLVVTNNKKVDKIWAELEGYRKKLARTEAIYEESIRKGNSEGKGPTNKTGFLGLCGKQVDSIEYYNEKIKKMTQKLEAEQKVTLREKQLGAALVFFTDRVTAALAAQSLHAQMVDKWTVTDAPEPRQLIWTNLTIKFFVRIVRQYMVYVLVFLTIVFYMIPIGFISAITTLENLRKLLPFLKPIVDQVQIKTVLEAYLPQLALIIFLALLPMFLLFLSKIEGIPSGSHVIRAASGKYFYFSVLNVFIGVTIGGTLFDTLKSVEEEPNSLVPLLAKSLPGNATFFITFVALKFFVGYGLELSRIVPLIIYHLRRKYLCKSEAEIREAWFPGDISYATRVPSDMLIITIVLCYSVIAPVIIPFGVLYFALGWLVLRNQALKVYVPSYESYGRMWPHMHTRIMGALLLYQVTMLGYFGVIQFYYTPILIPAPILSLLFYYVCRRKFYNAFCHTALEVASQELKETPNMELIFRSYIPPSLIPEKQEDEQFEGALSRVSRTGTFV >KJB63806 pep chromosome:Graimondii2_0_v6:10:1306689:1311253:-1 gene:B456_010G017300 transcript:KJB63806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNGGSDSQTEQNQRPQQQPPPQPHPQWVAMQYPPTAAAAMVMQHQMMQPQHFVAPPPPPPQHYVPYHHQYHHHGHALSQQQQQGSGGGGENKTVWVGDLHHWMDENYLHSCFASTGEIVSIKVIRNKQTGLSEGYGFVEFFSHAMAEKVLQNYSGMLMPNTEQPFRLNWATFSTGEKRSENGPDLSIFVGDLAADVTDSLLHETFASKYPSVKAAKVVIDANTGRSKGYGFVRFGDDTERSQAMTEMNGAYCSSRPMRIGAATPRKSSGYQQQYSSQGGYASNGSSTQSDGDSSNTTIFVGGLDPNVTEEDLRQPFSQYGELVSVKIPVGKGCGFVRFANR >KJB63805 pep chromosome:Graimondii2_0_v6:10:1306655:1311309:-1 gene:B456_010G017300 transcript:KJB63805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNGGSDSQTEQNQRPQQQPPPQPHPQWVAMQYPPTAAAAMVMQHQMMQPQHFVAPPPPPPQHYVPYHHQYHHHGHALSQQQQQGSGGGGENKTVWVGDLHHWMDENYLHSCFASTGEIVSIKVIRNKQTGLSEGYGFVEFFSHAMAEKVLQNYSGMLMPNTEQPFRLNWATFSTGEKRSENGPDLSIFVGDLAADVTDSLLHETFASKYPSVKAAKVVIDANTGRSKGYGFVRFGDDTERSQAMTEMNGAYCSSRPMRIGAATPRKSSGYQQQYSSQGGYASNGSSTQSDGDSSNTTIFVGGLDPNVTEEDLRQPFSQYGELVSVKIPVGKGCGFVRFANRNNAEEALQKLNETNIGKQTVRLSWGRNPANKQFRADYSNQWGGAYYGGQVYDGYGYALPPPNSLGMYAAAATAYGAYPIYGSHQQQVS >KJB68389 pep chromosome:Graimondii2_0_v6:10:61160805:61164534:-1 gene:B456_010G242600 transcript:KJB68389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRSLNGGSLPFSSQRLLALAQQLSHYKPPPCSLDDGEEQERIIEKTVGKVVSQVGVQESVTPIAQNPENFKPKRAAVLICLFEGDAGDLRVILTKRSSRLTTHSGEVSLPGGKAEEGDKDDIETATREAKEEIGLDPSLVNIVTVLEPFLSKHLLRVVPVIGILTDKKAFKPTPNPAEVDEVFDAPLEIFIKDKNRTEEEREWMGEKYLLHFFEYETEKEKRKYIIWGLTAGVLIRAASLVYQQPPAFLEQNPKFKFPKIVDRNTVMR >KJB65411 pep chromosome:Graimondii2_0_v6:10:15282453:15283525:1 gene:B456_010G093600 transcript:KJB65411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTILVKSSMRMLLTLPLGFLSMFSDECQPVISHELVALTLESPLTVVAQKDPDGKWEVGAMSTLIDDVGAAAIYSVADHVKASLDFNISLHSTARTQEEVEIEAKVIAEKGKLSLVVVEIRRKRNGDYH >KJB63445 pep chromosome:Graimondii2_0_v6:10:13708216:13708458:1 gene:B456_010G089500 transcript:KJB63445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKESGIRLHLTSEKDNGARQMNHVQDLLTLLLYGQRQGQNRLERCGSGSFLMKGGLLFHTFTGKGNLFFILLLGPDNYN >KJB67226 pep chromosome:Graimondii2_0_v6:10:52861017:52862839:1 gene:B456_010G181100 transcript:KJB67226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVDVDSCWLKGCCSSSSSLDDSGGISVYSPSPSMMRVMRRCHHKDDNLQLPEISLKSPIVRPYVRSKMPRLRWTPDLHQCFVHAVERLGGEDRATPKMVLQIMDVKGLTISHVKSHLQMYRSTKHEQMIQVTEAATASRRNEKVSELMNCPQNQQFKDQVRPYNLGLGIEGMPSFTIFRQPQWNGNKVSNQLSYRELTNKGSEQRSSTSYIIFKDLLKSCTIPENREKLNVGQHGGADWKCNHQRLVHLGDHSQASDSSILRSLNSKLSQKMSRRGKAEGLNDVSLELTLA >KJB67624 pep chromosome:Graimondii2_0_v6:10:56354411:56358276:1 gene:B456_010G200900 transcript:KJB67624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKKRLDYGFNAFNVHDVPRAARSMRRRSQSKWTVQESQFCAFEMLASLAGKLLQESESSASSNASEGHECDSIGKNCFKQEIQYDDKPLKPKCFEQGRCEASVAASELTTENGDDSKDFRCAENNAILECTLTKTDPACSEEINGDLNYGSFPGNVDDHSPYFGESYNGKTENAFKQERDPTGLETQALNIANNGPSKDPVKFDRVVKLLSCRDPVPSVPFTRRRNDIKLGSRDDEENFSRFKRLSKRFKASRPPTCTGDRRIRKLLTSKYWKVATKLKDFEHSRFGGKRPLYRKWKTYYNYHRYQYDTLYKQRKIFDRKSITSSPEKDMNGDKCSTAAMSDRVKFSIKSFRIPELYIEVPETATVGSLKRTVMEAVTALIGGGIRVGLLLQGKKVRDDSRTLSQAGISCDDNLDALGFTLEPGPAKAPPTMCSEEPPLLLSYDAAPQNLTSSLATPAVNTGIPNCTSNLHLLTNSENPATDHEPISSKTDMPTDQSLLEPRALVPVPVPVPATNVEALTAVAVNQSFQKSELAQRRIWRPFSISEVEALVQAVEEIGTGRWRDVKLRAFESADHRTYVNLKDKWKTLVHTAKISPQQRRGDPVPQELLDRVLVAHAYWAQHQAKKQQSKHHHHRHGTPSITDTTEADRKGVARVPIGTM >KJB67504 pep chromosome:Graimondii2_0_v6:10:55122906:55124185:1 gene:B456_010G193900 transcript:KJB67504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPATIPMTTSQSTAGGGTQSQPPIATPAFRAFLSRFTSSIRQGLSQRRPWYELIDRSAMARPDNLTDAYSRIRKNLSYFKVNYITLLVVVLAFSILSHPLSLLVLLGLLAAWVFLYLFRPSDQPLVIFGRTFSDRETLGALVVLTVFVVFLTSVGSLLISALLVGVAIVCIHGAFRVPEDLFLDDQEPANTGFLSFLGGAASSAAAAAAPAVASRV >KJB64648 pep chromosome:Graimondii2_0_v6:10:7091537:7093006:-1 gene:B456_010G059500 transcript:KJB64648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILVESSVKVVEDASYVSPPPGSVPTASLPLTCFDRVFFCFPFTWMRSLFFYEFKHPTSHFMQTILPNLKTSLSLTLQHFFPFAANLVYPPPPQVPYISYTQGDSVSFVVKVSTADFNHLVGDHARDNQELPALVPKRPSSNETNGCKQEPVMAIQVTVFPNVGFSIGVGFSHVVADGRSFGHFMKSWASIHRCEGDLACLDNYLPCYNRDLINDPVELASLFTKGAERAFQSAISPSILFNNFRVTYKIKDSQVELLKDRVKTKCMEVNGSEPIRISTFAVTCAYMWVCLLKLQQSGTHQHLSSTDSDALSYFHFAAECRDHLKLPQTYFGNCIILRLASAKKSEVLGENGILVAATAIGREIMEFQKQPFKDAQESLLKIIEIFKMGEDLVRVGSSPKFESYKTDFRWGRPRNIENPILPSFGTCSMFVIAENREEEEGGVEFALAFASHDLDIFNTIFHQGLLKLESSK >KJB64865 pep chromosome:Graimondii2_0_v6:10:8950551:8951948:-1 gene:B456_010G068700 transcript:KJB64865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCVEELHFSHIHIPVTIDQMIPVLPAGPIPAVHGSRLYLSNLDNMIGARVFTPTVYFYKSNYMSSNRKPVTKTLCDALSRVLVPYYPLSGRLREASNGKLEVFLGQEQGALMVEAHSDMGLAELGDLAVPNPTWAPLNYRFPNEEPYKVLDMPLIIAQVTLFACGGFSLGLRLCHCICDGIGAMQFLAAWAATAKTGTLVTNPEPCWDREFFQPRNPPTIKYRHPEFMRIEEGSSLTMSLWKSKPVQKCYKVSQQFQAQLKSLAQPNDMLGCSTFDAMAAHVWRSWVKALDVKPVDYELRLTFSVNARPKLKNPPLKQGFYGNVVCVACGTSPVNNLIKGSLSETSRLVREARLSISEEYVRSTVDYMEIDRPNRLEFGGKLSITQWTRFSLYESADFGWGRPSYAGPIDLTPTPQVCVFLPDGSAECSGATVVCICLPGSATHKFTEFFLCLKDLIPNDESE >KJB63832 pep chromosome:Graimondii2_0_v6:10:1496950:1499826:-1 gene:B456_010G019700 transcript:KJB63832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSHSREELISDSDEEEYEEEEENYQDSKDDTPERSSSGRRPKTPSSLDEVAAKLEALKLKYPTSNTPNPSLKNAVKLYLHIGGNTPKAKWVTSEKLTSYAFIKTAKIDGQDGAEDGEESENEEESGDVWWVMKVGNKIRVKVGVEMQLKSFGDQRRVDFVAKGVWAMKFFSDEEYKSFVSKFNDCSFENTYKMESNDANKVKVYGKDFIGWANPEAADDSIWEDAEDAFSKSPRSATPVRANQDLREEFEEAANGGIQSLALGALDNSFLVGDSGIQVVKNFTHGIQGKGVYVNFDNGNQRSGLNSVHSTPRKALLMRAETNMLLMSPKTEGKPHTTGLHQLDIETGKIVTEWKFGKDGTEITMRDIANDSKGAQLDPSGATFLGLDDNRLCRWDMRDRNGIVQNLATSTPVLNWTQGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSITSMRQAKTAFPGLGSPITHVDVTFDGKWILGTTDTYLILICTLFTDKDGKTKTGFNGRMGHRVAAPRLLKLTPLDSHLAGVNNKFRNAQFSWVTENGKQERHLVATVGKFSVIWNFQQVKNGSHECYHNQEGLKSCYCYKIVLKDDSIVDSRFMHDKFAVSDSPEAPLVIATPLKVSSFSISSRR >KJB65527 pep chromosome:Graimondii2_0_v6:10:17444418:17448448:1 gene:B456_010G099000 transcript:KJB65527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPQGSTLTTNMAGLVDGSSARAEVSFIDSLPVYVKELIAGGAAGAFAKTTIAPLERIKILLQTRTDGFQSLGVYQSLRKVLKHEGVMGFYKGNGASVIRIIPYAALHFMTYEQYRGWMLDNYSFLGSGPVVDLLAGSASGGTAVLCTYPLDLARAKLAYQVGDTRSKFGSGMKSLYPRPAYSGVTDVLTRVYRDGGIRGLYRGVGPTLAGILPYAGLKFYIYEEIKTRVPEEHRKSIVMNLSCGAVAGLLGQTFTYPLDVVRRQMQVGTLQCSTIQGDARYGNTYEGLTSIVRNQGWRQLFAGLSINYIKIVPSVAVGFAAYDMMKVWLRIPPRQKLQAESSG >KJB66564 pep chromosome:Graimondii2_0_v6:10:37489814:37491056:-1 gene:B456_010G143700 transcript:KJB66564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIMEMEEEEVEFLSAVAAAESFAKRRKPNQDEAEGPYTAALKGSKSRFWQHINPLNTWQSKSRVAASAAAGSDSEKTCPCGSGPCLILTANTERNRGRMFYKCPLPQVHSPLLYYLKISSNSLWLEIQAMASGFWRRKMEAVVFSCGVTMTLPPKLITFLCLPRHLGRPCWLKAFLSM >KJB66561 pep chromosome:Graimondii2_0_v6:10:37488262:37491158:-1 gene:B456_010G143700 transcript:KJB66561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIMEMEEEEVEFLSAVAAAESFAKRRKPNQDEAEGPYTAALKGSKSRFWQHINPLNTWQSKSRVAASAAAGSDSEKTCPCGSGPCLILTANTERNRGRMFYKCPLPQENGGCGFFLWCDNDIASQTNNIPMPTKI >KJB66562 pep chromosome:Graimondii2_0_v6:10:37489465:37491158:-1 gene:B456_010G143700 transcript:KJB66562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIMEMEEEEVEFLSAVAAAESFAKRRKPNQDEAEGPYTAALKGSKSRFWQHINPLNTWQSKSRVAASAAAGSDSEKTCPCGSGPCLILTANTERNRGRMFYKCPLPQENGGCGFFLWCDNDIASQTNNIPMPTKGSSGVPSNHAMIKMYQLIL >KJB66563 pep chromosome:Graimondii2_0_v6:10:37489697:37491058:-1 gene:B456_010G143700 transcript:KJB66563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIMEMEEEEVEFLSAVAAAESFAKRRKPNQDEAEGPYTAALKGSKSRFWQHINPLNTWQSKSRVAASAAAGSDSEKTCPCGSGPCLILTANTERNRGRMFYKCPLPQVHSPLLYYLKISSNSLWLEIQAMASGFWRRKMEAVVFSCGVTMTLPPKLITFLCLPREVLGFLPIMQ >KJB66677 pep chromosome:Graimondii2_0_v6:10:41182365:41184434:1 gene:B456_010G152000 transcript:KJB66677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTVNSPSTPIIIPSSPLQTSFIPKTSQLSIFKKKKPFNFASKKLVSCKASDRNQNNADSSSLNRFDRRDILLGLGGLYGATNLVSDPFASAAPIAAPDLSLCENSTVTSSSSGTSISVPCCPPKATNIIDFKPPRFSNIRLRPAAHLVDANYLEKFTKAMELMKALPDDDPRSFKQQANVHCAYCNGAYDHVGFPDQQLQIHFSWLFFPFHRFYLYFYERILGKLIGDPNFVMPFWNWDSPPGMVIPDIYVDPTSPLYDEKRNVDHQPPKMLDLDYAGTEELLSKRDQIKSNLSVMYRQMVTYKTPSLFHGAAYRAGDNPSPGMGSVENNPHTAVHRWVGDKREPFSEDMGNFYSAGRDPLFYAHHCNVDRLWNIWKSLPGKKRTDFTDTDWLDSSFLFYDENANLVRVKVRDCLNSRTLGYDYQKVNIPWLKNKPTPRRPGRGSGSGSAMAAETSTKTVIRNAFPIVLDKLVRIEVPRTKKSRTKVEKENEEEVLVLQNIRVDRDVAVKFDVYINDEDDETPTEPEDSEFAGSFTNIPHNHHNPAVKLDTNLTLPLSDLLEDLDIERDDNIVVTLVPKEGKGLVSIGNIKIDFVRD >KJB67092 pep chromosome:Graimondii2_0_v6:10:50795343:50797761:-1 gene:B456_010G174300 transcript:KJB67092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGVIVRDEDGFVLGGYGCSKDMTFNSEWAEMAIEEGVSLTKSLNLKKVQFESDNANIVNKINRRDQDITFLGEHAHDIYEKLKSFENAVVTWAPRSSNKLPDFICKFVLSNNCIWNFDVNYPKGIHDLVILEAIDES >KJB63416 pep chromosome:Graimondii2_0_v6:10:57742194:57742804:1 gene:B456_010G211200 transcript:KJB63416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRLPLQHPHSQAPTQSNNLGAPVLSNPLSSVNVGQGVRPGDNQVKNSVFSNALSSPVCRSLQPYHLAQGSYNSNIILSSVNGHRNNESNYCHQQNREANSPSSNDCMDMHADD >KJB67199 pep chromosome:Graimondii2_0_v6:10:52590552:52598188:1 gene:B456_010G179700 transcript:KJB67199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEPLTSSSSFLFKDISNFKTPNRPSKPPIFHSPCPQYFTASKQTPRTSSSFRHRSRPSFAPSSSRSRSKAAAAAKLKAFELQQSQSARKEQLKKEQSLKSLSKSLTVWLNFLFQNPKSCGCDLSINGRDDSNVVRVDSTWRSPKRMRELWWRGDESEENIAADISSSKYSILRSSLKEVCSFDDLKLRMRVYLSLGNCKEILNAMTQVVKNIDEGRLKMKAHCPIVTDVRMKENATKILMSYNPIWLRIGLYIIFGGDSLLSSDGDNSFMQDISFLKMVIEKQFFSHPGLAKAYAYNKKVEGLYRPGYYENLGNVILKRILLLVLILDRAKSQTTLPLKYGIDGVDGGSPLLFTVSSGIKSSRQVLNDFLSSDVMHGEGNLLAHLVIVGYKVSHQQSALVEFDFQVSDLFLDFQEGVRLCRVIQLLRHDPSILMKIVVPSDTQKKNLANCGVALEYLKQAGVMLCDEDGLRITGDDVASGDKELTLSLLWNIFVHLQLPLLIDKTTIADEISKIGGFNMDSLNVINSTLMGILLNWIQAICGKYDLKIDSFSSLDNGKAIWCLLDYYFRRELSCSCSCSNKDSHEPRGEKSIMSATDYTDAVHNFILSQKLTTLLGNFPEVLQISDLLEHNGAVSVQSVVILLVFLLSQLIVKKNVDQLNFHKLLGCGCQSLERRHSLTRRQSANSDTIVHNKERDIDITKDAAKKFKAIQAWWRNMTEQNYKSSVRSATSISDCSTAQKTSFDILRENAATIIQSHYRRLKERRNFLKMMKAICLVQTVVRTWLTVKKNTKINKFCSASGQEFRSEELKRVATLIVERHNFVNLRRAVLLTQQAAKIYIAQRRDASCLDPVKAAIVIQKYVRGWMVRSYHILGLACTENASLKCQVKGLNNSEIEAATRIQIAWKNFLHRSLHKRTYAATKIQSYYRGWRLRMRFMKQKQAITKIQSNFRRLKCWRAFQNAWKEFICRTLQNQTLAATRIQSHFRGWQLRRNFMKKKQAIIKIQSHFRGWQLRRNCMKKKQAIIKIQSNFRQQKCLRAFQQYKNANRSAIIIQSFVRGWMARREARRYRFLVVMIQSHWKGYVARKESRGQLKDLRLRMVESAKNVDDSKRIINRLLSALSVLLSMKSISGILHHCETLDMATAHSQKCCEELVAAGAIGILLKQIRSASRSIPDQQVLKHALSTLRNLARYPHLAEVLIDTPPSVEIILWEMLRNKEEGYFIASEILKKICSNPKGVKAVHKFPALLKRLNTLVEELTRKLNTEKRNPRTVVQKENTDRRWKEAVQLLRLITNGYRIQ >KJB63326 pep chromosome:Graimondii2_0_v6:10:54142258:54143036:1 gene:B456_010G188800 transcript:KJB63326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSKRLAGRKVARFQRNITKRGSVESSAKKENGYPVGPILLGFFVFVVAGSSLFQIIRTATSKSMA >KJB64855 pep chromosome:Graimondii2_0_v6:10:8863095:8865007:-1 gene:B456_010G068000 transcript:KJB64855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNLTMKLQTNIYAFNCALLLSFIFSSSEAFISNLQPDAVNACAIVNCGKGSCQDTDNSSFGFQCNCYSGWNQFQVGSLIFPPCIVPNCTLNFDCAGGNPSPPTPPEPVFNLSDPCSYTWCGDGSCKTKGSSYQCDCNAGSYNLRNTTTLPCFNPCSLGADCHDLGVGTPPLDHSSSPPGLREIRKVSPIKDGWTLLILGAVFLPLL >KJB66949 pep chromosome:Graimondii2_0_v6:10:48703202:48703420:-1 gene:B456_010G167800 transcript:KJB66949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVKHLESSCEKAPSLFISHNKPSTSPSLETIFEETSQFKFEVEQHNTLFFLLFPFSLSFLSYLLLYTHFA >KJB65096 pep chromosome:Graimondii2_0_v6:10:11764659:11767140:-1 gene:B456_010G080900 transcript:KJB65096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIPFETIPTPSIQLSCLIFPFPLQFFSMDDLPPSLVVDILSRLTDSADVARCRVASKTLNSLTREVRSLNLLCTLSRYLKSRSPETKDHVTPFKAIFNNLVGQAPCLNSISIGVDKSLRNISYDDVDDESDDLYLTDVGFVKEWLPKVCGDLGKLSISDFWIQSCWRKSQILSLISSCCNGLMELEVKNAWLSVDGLNPMTRLTSLTLEFIRLEDEDLNKVNECFPSLQVLNLVGVGGLKDPKIHLLHLKSCLWTVSNAPLSLTIFAPNLVKLRLKCIKPKSLVLDTPLLSDFHLSVEEASDFRVKEFHNLGNLHLESSSLCCLLGMFPSGKSVRKLTVNSLKWTATIKFRLEAVFDVFPNATYLNIGPGAWCEAENCFRKGGLEDRNALKELREIVANLVVYDIEVTLSFIFSILDKCTKLSDMALLFHPKGDYKLARSLIPRCTAYCPRVKWRWGMREGPKDTWVSVGM >KJB65097 pep chromosome:Graimondii2_0_v6:10:11764825:11767080:-1 gene:B456_010G080900 transcript:KJB65097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPPSLVVDILSRLTDSADVARCRVASKTLNSLTREVRSLNLLCTLSRYLKSRSPETKDHVTPFKAIFNNLVGQAPCLNSISIGVDKSLRNISYDDVDDESDDLYLTDVGFVKEWLPKVCGDLGKLSISDFWIQSCWRKSQILSLISSCCNGLMELEVKNAWLSVDGLNPMTRLTSLTLEFIRLEDEDLNKVNECFPSLQVLNLVGVGGLKDPKIHLLHLKSCLWTVSNAPLSLTIFAPNLVKLRLKCIKPKSLVLDTPLLSDFHLSVEEASDFRVKEFHNLGNLHLESSSLCCLLGMFPSGKSVRKLTVNSLKWTATIKFRLEAVFDVFPNATYLNIGPGAWCEAENCFRKGGLEDRNALKELREIVANLVVYDIEVTLSFIFSILDKCTKLSDMALLFHPKGDYKLARSLIPRCTAYCPRVKWRWGMREGPKDTWVSVGM >KJB64718 pep chromosome:Graimondii2_0_v6:10:7621104:7621508:-1 gene:B456_010G061800 transcript:KJB64718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLKFNVDGSSSGNPRRAGYGGILKGDTGKLIAILSGPLKNSDSDLTELTAIRIALEVFVKSEWENNRDLAVETDSMVIISWCFNPVLRQWRFAETFKIIDHCIILVQNVKIVYVCREVNNCAKTSKSSMLLA >KJB67956 pep chromosome:Graimondii2_0_v6:10:58839513:58843590:-1 gene:B456_010G219600 transcript:KJB67956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCWSKFLRIFKCLYGDSKDEEELYSELTGKNVHIITTIQSWEEKLTEATRDGKILVANFSTPWSGPCRSIAPTYGELADKYPSLMFLTVDVDTLAEFSTSWEISATPTFFFIKEGRQVDKFVGADKVQLPKKIAAVAKATRV >KJB63897 pep chromosome:Graimondii2_0_v6:10:1780128:1784126:-1 gene:B456_010G022500 transcript:KJB63897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMDADMMETEATTAAAAAAAPTQQVGQNDVGKDLLTMARNLIDQGKPSQALQAVVMAVRTRGGDEAVFQSLYRAREVYRTKLQESTAVDQLASLFAECAIAEAQPLKTEPVPCNPNDPSVAPDAHGTSILAETGRMQIVLDAFSDGSSFICLQCGGLVSNHRKDEHYAYWCCNM >KJB63898 pep chromosome:Graimondii2_0_v6:10:1780128:1784083:-1 gene:B456_010G022500 transcript:KJB63898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMDADMMETEATTAAAAAAAPTQQVGQNDVGKDLLTMARNLIDQGKPSQALQAVVMAVRTRGGDEAVFQSLYRAREVYRTKLQESTAVDQLASLFAECAIAEAQPLKTEPVPCNPNDPSVAPDAHGTSILAETGRMQIVLDAFSDGSSFICLQCGGLVSNHRKDEHYAYWCCNM >KJB65556 pep chromosome:Graimondii2_0_v6:10:18177469:18178394:1 gene:B456_010G101000 transcript:KJB65556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRVGGGGGEGEESKSPETELSGEFSGNLSVLLAESDDESEFSNEGSVEKEKLEEIMQELYKEITVTSSSYNASPTVTSSLPFFGESCGASMSDSSSSVMAGIELVAPTKELLVIGMGFNDNKSVVVDEVKEMDGCDDDQWLARALGWVSMELEESHQWNLAFSETPWTVGMWCPWEG >KJB63793 pep chromosome:Graimondii2_0_v6:10:1257298:1257997:1 gene:B456_010G016300 transcript:KJB63793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMTVTQQPPPPPTVTVPDQQPYHNSQTTTHPSLPPLIGVLLVIIILGIIAGMIGRLCTGRKIMGLGHFDIETWIETKCSSCIDGRVYPPPPPPPPPATSVLTPPIQRQPPQQEDQSPQNPTT >KJB66606 pep chromosome:Graimondii2_0_v6:10:38643097:38643996:-1 gene:B456_010G146900 transcript:KJB66606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KDCSVGEAGTSALLDDSWFSSDSFLHCFCKDFFSLVQDASVVDGDLLSWTRKLKELLENSLGWEFQQKSAVDGIYFEENDEVSL >KJB65467 pep chromosome:Graimondii2_0_v6:10:16218751:16221831:-1 gene:B456_010G096500 transcript:KJB65467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMAMAEALWLLLSPFLFLSVSAITQTKLTDNPADELVAVLNANRTAHKSSSLADNPGLACIALQYIKAYQGDCEAVGESDAKKPPDSEFAQTFAPNCGVDASTLSPITGRFLGCQSKYVQPSEAFSMLIKNEKSLEILYNKNQTEIGAAVTGTDGGAPYFWCVLLSNGKHNSSFVLEDGVAKISRPGCFSGANDDCSGADVSSPFGHKWVYAVTAFIAVGYAFGYDHSL >KJB65468 pep chromosome:Graimondii2_0_v6:10:16218800:16219860:-1 gene:B456_010G096500 transcript:KJB65468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIMARKNAKHILLPSVRCTSAVLDYAKLTDNPADELVAVLNANRTAHKSSSLADNPGLACIALQYIKAYQGDCEAVGESDAKKPPDSEFAQTFAPNCGVDASTLSPITGRFLGCQSKYVQPSEAFSMLIKNEKSLEILYNKNQTEIGAAVTGTDGGAPYFWCVLLSNGKHNSSFVLEDGVAKISRPGCFSGANDDCSGADVSSPFGHKWVYAVTAFIAVGYAFGYDHSL >KJB68301 pep chromosome:Graimondii2_0_v6:10:60780664:60781519:-1 gene:B456_010G237700 transcript:KJB68301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSDQTPEVAMSAFEYGKNLACKFHDVTGTSASLGKGSLSDIRQGIVTAPILFAMEEFPWLKSALEYVWKSRGIQMTTELAIKHANLVAAAIDSLPESSNIDVTKSRHALINLTRILVTRNK >KJB64567 pep chromosome:Graimondii2_0_v6:10:6211785:6217145:1 gene:B456_010G054100 transcript:KJB64567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKKLKDDERMERTIRALLKLPENKRCINCNILFIFYNMDFLNYFSREFTHRVKSISLGKFSEDEVSALQAAGNERARQIYFKAWDPQLHSFPDGSNLKRLREFIREVYVERKYTGERTNILPSGHRVDTSESRKASVFSGRSRGPLYEDRHQWSSNEGSSPAGRSGARIFYLEARSARYAEENSRYGSSRRNPLHIEIVDNRLKSEGSRSARRHNDSFSRREPVTRSQSFSHQVDRSGSPVVRPVRDILGENAPALQVGEHSNANAGSDPDDSAIRQKNASSGGKESLIDFSMDSKPSNAVAEPNVQQVPPSSDGCSQSSDDLSSMGKAPPASNANSFEALLFDLSVSSSAVANVSAAPGIICAQSTASGQNISLDGVSAAAPAETILTLTRSNAGPLQVTTDINCDYEVKAPEGHGFPCMQQHQPSLFPASDNNFPAHRNSKVVEALNSQPVTSFLMHNAQQFTNVPTVQSSTETRYEVGVQPVSAETMSSGRKELPQDLFMASYGSAPAAVPCWQNGLPHGMGFGLQYYPNAMQTAAFPSTAKTNPFESDTIPAQVPAFPSMVSLLGALPSAQDPNSLSHDAYSSGMASHSSYIASSPRTPELSFTSAMPSSACMGDQSHIGVLPSRQQEIGSFDGNNFIFSFSNITQRPMGGHSACNPPKSLPTMGTNPFG >KJB64565 pep chromosome:Graimondii2_0_v6:10:6211424:6217136:1 gene:B456_010G054100 transcript:KJB64565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKKLKDDERMERTIRALLKLPENKRCINCNILGPQYVCTIFLTFVCTNCSGIHREFTHRVKSISLGKFSEDEVSALQAAGNERARQIYFKAWDPQLHSFPDGSNLKRLREFIREVYVERKYTGERTNILPSGHRVDTSESRKASVFSGRSRGPLYEDRHQWSSNEGSSPAGRSGARIFYLEARSARYAEENSRYGSSRRNPLHIEIVDNRLKSEGSRSARRHNDSFSRREPVTRSQSFSHQVDRSGSPVVRPVRDILGENAPALQVGEHSNANAGSDPDDSAIRQKNASSGGKESLIDFSMDSKPSNAVAEPNVQQVPPSSDGCSQSSDDLSSMGKAPPASNANSFEALLFDLSVSSSAVANVSAAPGIICAQSTASGQNISLDGVSAAAPAETILTLTRSNAGPLQVTTDINCDYEVKAPEGHGFPCMQQHQPSLFPASDNNFPAHRNSKVVEALNSQPVTSFLMHNAQQFTNVPTVQSSTETRYEVGVQPVSAETMSSGRKELPQDLFMASYGSAPAAVPCWQNGLPHGMGFGLQYYPNAMQTAAFPSTAKTNPFESDTIPAQVPAFPSMVSLLGALPSAQDPNSLSHDAYSSGMASHSSYIASSPRTPELSFTSAMPSSKFTFLLIMLNVDINMFSLMLIMVSGACMGDQSHIGVLPSRQQEIGSFDGNNFIFSFSNITQRPMGGHSACNPPKSLPTMGTNPFG >KJB64566 pep chromosome:Graimondii2_0_v6:10:6211542:6217136:1 gene:B456_010G054100 transcript:KJB64566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTIRALLKLPENKRCINCNILGPQYVCTIFLTFVCTNCSGIHREFTHRVKSISLGKFSEDEVSALQAAGNERARQIYFKAWDPQLHSFPDGSNLKRLREFIREVYVERKYTGERTNILPSGHRVDTSESRKASVFSGRSRGPLYEDRHQWSSNEGSSPAGRSGARIFYLEARSARYAEENSRYGSSRRNPLHIEIVDNRLKSEGSRSARRHNDSFSRREPVTRSQSFSHQVDRSGSPVVRPVRDILGENAPALQVGEHSNANAGSDPDDSAIRQKNASSGGKESLIDFSMDSKPSNAVAEPNVQQVPPSSDGCSQSSDDLSSMGKAPPASNANSFEALLFDLSVSSSAVANVSAAPGIICAQSTASGQNISLDGVSAAAPAETILTLTRSNAGPLQVTTDINCDYEVKAPEGHGFPCMQQHQPSLFPASDNNFPAHRNSKVVEALNSQPVTSFLMHNAQQFTNVPTVQSSTETRYEVGVQPVSAETMSSGRKELPQDLFMASYGSAPAAVPCWQNGLPHGMGFGLQYYPNAMQTAAFPSTAKTNPFESDTIPAQVPAFPSMVSLLGALPSAQDPNSLSHDAYSSGMASHSSYIASSPRTPELSFTSAMPSSKFTFLLIMLNVDINMFSLMLIMVSGACMGDQSHIGVLPSRQQEIGSFDGNNFIFSFSNITQRPMGGHSACNPPKSLPTMGTNPFG >KJB65818 pep chromosome:Graimondii2_0_v6:10:22023772:22024704:-1 gene:B456_010G114500 transcript:KJB65818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVAEAPNYLQVFSDGSVKRFAPEVAPTSEESSGGYKSKDVIIDPPKAITGRIFLPDIPGPCTSSLPVLVYFHGGGFCIGSTTWLGYHHFLGDFSVVSHSIVLSVDYRLAPEHRLPIAYDDCYSSLEWLCSQVSNEPWLKQADISRVFLSGDSAGGNIVHQVAIKAMRKETLRVKVKGLLLIHTFFGSEERTEKERADGATGYVAMNDMFWKLSIPDGSNRDYFGCNFKKQEVSEAEWREFPAVTVYVAGLDFLKERGVMYAKFFQRKEVKRMKLVETENKSHVFHVFHPKSEATCSLQRQMSEFMKNN >KJB65508 pep chromosome:Graimondii2_0_v6:10:17086039:17090269:1 gene:B456_010G098300 transcript:KJB65508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRLSPDDNLPANSTAVAIDKDKNSPHAVRWAIDHLVISNPIIVLIHVRHRNQGEPESDHDINQLFVPFRGYCARKGIQAREVVLEDVDISKALIDYVSRNLINSIVLGAATRGAISSYLSYRKFKSDIPTTLIKTAPDFCSVYVISKGKILTVRTAQRPVSNTAAPPKAPVGMPPQIPSDQSEDDGYRGQYTRGVPGNAGSERLSFDNSSRAPIRDRHRSSPGNMSLDIDVGRGPSSSRQDSLSSDIDFPAKISLGSVDISGQNLDFSSSNSESSSQSARDIEDEMRRLKLELKQTMDMYSSACKEALTAKKTANELHQWKMEESRRFEEARQAGEAALAMAEMEKAKCRAAIEAAEAAQKLAEMEAYRRRQAESKAKKESDEKNRALNALATNDVRYRKYSIVEIEEATENFSASNKIGEGGYGPVYKGKLDHTPVAIKILRPDAAQGKKQFQQEVEVLCSIRHPHMVLLLGACPEYGCLVYEYMHNGSLEDRLFRKGNTHPLSWRRRFKIAAEIATALLFLHQAKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVADSVTQYHMTSAAGTFCYIDPEYQQTGMLTTKSDIYSLGIMLLQIITAKPPMGLAHHVGRAIEKGTLADLLDPAVPNWPMEEALSFAQLALQCAELRKRDRPDLATVILPELNRLRDLGYNNNGSSSSGYSQGHGGSDQSQGFNTSGCSRGHSGSDGLRSPFSRSRVSSSSSQESLSKSANSELGSMLNLKLDRAE >KJB68293 pep chromosome:Graimondii2_0_v6:10:60730224:60733899:-1 gene:B456_010G237000 transcript:KJB68293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIRNKLIIICSILSHNLAKHIGFAQTISAFFVFGDSLVDVGNNYYINTFAKPEYPNGIDFRKGNPSGRYTNSRTIADVIGEEIGFEDYAPPFLAPNTTGDMILKGVNYASSGAGILQATGAVFGERISMDKQVDYFAKTRQDIISGIGAIAAQALLRNSLYFLGIGANDILFGESRSVFDTNNYVDEIISNFKSQLTRLYNLDARKIAVLNAPKVGLIPFERDIHLCGQACLSLLNRMAMLYNSKLKNLLEDLTENLPGSTFVYFDYYAVTEDIINNYSSYGFENDDHACCEAIGAHGGLIPCISSSRVCPDRTKYIFWDPFHPTDSAVVIGAKYALDGGLEYVSPINMRQLANS >KJB64102 pep chromosome:Graimondii2_0_v6:10:2988463:2990936:-1 gene:B456_010G033200 transcript:KJB64102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWEAFVELLANIFTVICWPSFTLIYPLFVSIRIVETNSSLKNQQCLTYWVLFAFSTMVELTLGNILKWFPFWPYAKGVATILLVTPYFGGASYVFSLLIRPYFIEKRWDIMFFPKKKGFVLHEANGTVGDADTSMLTYGPKSEKLTTDQGNVNISYGNTEVISTQKRVQKEWSCVLCLISTSSEDCLKEHLRGKKHKTKEYELRVGALPLMETCMLSSMPKKAEKVVLFRNLNIETWSGLLHPVTRSIRWCKWKKPEIGCLKLNTDGSVDAGNLGFGGLLRDYKGEPLCAFVCKAPQGDTFLVELWAIWRGLVLASGLGIKVIWVESDSKSVVKTINREQPYGPKSSQCLRQIWKLLTKFENYRVTHSWRETNKAADHLSRMVLRENDVVLWPADFPDTLSSIIKDDAQGKTYLRR >KJB64101 pep chromosome:Graimondii2_0_v6:10:2988087:2991160:-1 gene:B456_010G033200 transcript:KJB64101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWEAFVELLANIFTVICWPSFTLIYPFTMVELTLGNILKWFPFWPYAKGVATILLVTPYFGGASYVFSLLIRPYFIEKRWDIMFFPKKKGFVLHEANGTVGDADTSMLTYGPKSEKLTTDQGNVNISYGNTEVISTQKRVQKEWSCVLCLISTSSEDCLKEHLRGKKHKTKEYELRVGALPLMETCMLSSMPKKAEKVVLFRNLNIETWSGLLHPVTRSIRWCKWKKPEIGCLKLNTDGSVDAGNLGFGGLLRDYKGEPLCAFVCKAPQGDTFLVELWAIWRGLVLASGLGIKVIWVESDSKSVVKTINREQPYGPKSSQCLRQIWKLLTKFENYRVTHSWRETNKAADHLSRMVLRENDVVLWPADFPDTLSSIIKDDAQGKTYLRR >KJB65921 pep chromosome:Graimondii2_0_v6:10:24035895:24041506:1 gene:B456_010G119700 transcript:KJB65921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPSKVTQESGSAIDLVTQTGHLAFKKSFYSSNSDGFSSFKPNYVYFSGFRGSIAHKRRRVIAVASLSLGARNGVSSSVGRIMNEFNRAIKFHCERIPIGFASVRVGSEDSNGLRDDGGGVLEVEGLPLNGVEAEAPKKVLILMSDTGGGHRASAEAIKAAFMEEFGDDYQVFVTDLWSDHTPWPFNQLPKSYNFLVKHGSLWRMTYYGTAPRVIHQSNFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVPLRILRAKGLLEKIVFTTVVTDLSTCHPTWFHKLVTRCYCPTAEVAKRAMKAGLKQSQIKVYGLPVRPSFVKPVRPKIELRRELGMDQSLPAVLLMGGGEGMGPIEATARALGDALYDENLGEPIGQVLIICGRNKKLACKLLSIDWKIPVQVKGFISKMEECMGACDCIITKMTSALKAGPGTIAEAMIRGLPIILNGYIAGQEVGNVPYVVENGCGKFSKSPKQIANIVAQWFGPKADELKTMSQNALKLARPDAVFKIVHDLHELVRHRNFVPLYSCTT >KJB65922 pep chromosome:Graimondii2_0_v6:10:24035895:24041506:1 gene:B456_010G119700 transcript:KJB65922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPSKVTQESGSAIDLVTQTGHLAFKKSFYSSNSDGFSSFKPNYVYFSGFRGSIAHKRRRVIAVASLSLGARNGVSSSVGRIMNEFNRAIKFHCERIPIGFASVRVGSEDSNGLRDDGGGVLEVEGLPLNGVEAEAPKKVLILMSDTGGGHRASAEAIKAAFMEEFGDDYQVFVTDLWSDHTPWPFNQLPKSYNFLVKHGSLWRMTYYGTAPRVIHQSNFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVPLRILRAKGLLEKIVFTTVVTDLSTCHPTWFHKLVTRCYCPTAEVAKRAMKAGLKQSQIKVYGLPVRPSFVKPVRPKIELRRELGMDQSLPAVLLMGGGEGMGPIEATARALGDALYDENLGEPIGQVLIICGRNKKLACKLLSIDWKIPVQVKGFISKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEVGNVPYVVENGCGKFSKSPKQIANIVAQWFGPKADELKTMSQNALKLARPDAVFKIVHDLHELVRHRNFVPLYSCTT >KJB67783 pep chromosome:Graimondii2_0_v6:10:57653131:57655795:1 gene:B456_010G210400 transcript:KJB67783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFYECHSCWFSIDIKCAQLSFSFKFSQLSKHDIHQHPLTFIESPMAIDVFKRFSCSWCHEPLTNFVSFCSKCPILFILHKKCLDELPTKINHPSYHIHPIFLNRSDSGCFCNLCQKQHYGPFHGCTLCHFNINIGCALPMSIVEGKSCHQHPFTLFRRRGSFICEACGIEGNYISYICSTCNVMVHKKCTSLPCIIKFSRHDHCIFHKYFLEDLTRQDCKICFNEVKLDRGSYSCRKPGCNYVVHVNCALEDEMLYEVIEEEKQCEELEEKSMQSSIIRVIEVNEAGEATKIEHLSHQHCLVLEDKMEEEIDRKCDGCMLPISNIFYYCSECPFFLHKTCVELPRFKQHWFRQCNATIIFNSFKYCDFCDRHCSGLFYKIGEFWDMCIRCAKVADIIESEGHQHFLFFDFKCNDECNGCGADIEVGAFRCGKCRFALDFGCLTLPHSALHKIDEHKLKLTYHDDKEQSYCDICEQYRDPSLWYYSCSICDASAHIECVLGQFPFVKDGSIVDSHSYHNHHHALKFFRKVEGFSECSCCGKFCQEEILKCEKSTCNYIFHCKCRWGY >KJB68538 pep chromosome:Graimondii2_0_v6:10:61673658:61676511:1 gene:B456_010G248800 transcript:KJB68538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIKIQSKSSTMSSFCSAMAMTMTIVFFFLFLQQTPLMAVAAKLEEDHFIIKNKPTLYEFSSRDEMVHLAGYGEEKLSTVLVTGTVLCEACHQRRRRDPQPELRSWPISGALVSVKCETPCKTKSGTTPATTDEYGDFMIDLPSHLHGVADLQKICTVKVIGIPKESMCRPAFVKKHEHLRLSSVGNGIRTYTAGRIRFQDIMSKPSKSCITRATNTNKQIAS >KJB68537 pep chromosome:Graimondii2_0_v6:10:61673601:61676525:1 gene:B456_010G248800 transcript:KJB68537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIKIQSKSSTMSSFCSAMAMTMTIVFFFLFLQQTPLMAVAAKLEEDHFIIKNKPTLYEFSSRDEMVHLAGYGEEKLSTVLVTGTVLCEACHQRRRRDPQPELRSWPISGALVSVKCETPCKTKSGTTPATTDEYGDFMIDLPSHLHGVADLQKICTVKVIGIPKESMCRPAFVKKHEHLRLSSVGNGIRTYTAGRIRFQDIMSKPSKSCITRATNTNKQIAS >KJB67869 pep chromosome:Graimondii2_0_v6:10:58398308:58403848:-1 gene:B456_010G215900 transcript:KJB67869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALENKETMQNCEATLKCLQTKGFPYNLQCNRNSVEGIPELKHDFGTHPGRDVVEPVCSLSGEFMELPNEFYHKPTLHHECGSWSTFYPDSHKLQAYPLNAFGGQVYHFPVDNRFHYAPFNVITHGYPYEFQFQDFQYFVVIDFEATCDKERNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALIRHDKWLEKKGIKNTNFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFREVYGGMRCNLKEAVEMAGLAWQGRAHCGLDDAKNTAHLLTLMMRKGFKFAITNSLLWQASDGPLIWNPVPENMAFFPHHPHKPKDQQMPLFHYHPYCFCGVKSSKGVVREPGPKQGSVFFGCGNWTVNRGARCHYFEWASS >KJB67868 pep chromosome:Graimondii2_0_v6:10:58398308:58403910:-1 gene:B456_010G215900 transcript:KJB67868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALENKETMQNCEATLKCLQTKGFPYNLQCNRNSVEGIPELKHDFGTHPGRDVVEPVCSLSGEFMELPNEFYHKPTLHHECGSWSTFYPDSHKLQAYPLNAFGGQVYHFPVDNRFHYAPFNVITHGYPYEFQFQDFQYFVVIDFEATCDKERNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALIRHDKWLEKKGIKNTNFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFREVYGGMRCNLKEAVEMAGLAWQGRAHCGLDDAKNTAHLLTLMMRKGFKFAITNSLLWQASDGPLIWNPVPENMAFFPHHPHKPKDQQMPLFHYHPYCFCGVKSSKGVVREPGPKQGSVFFGCGNWTVNRGARCHYFEWASS >KJB68585 pep chromosome:Graimondii2_0_v6:10:61852845:61853835:1 gene:B456_010G252300 transcript:KJB68585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFDGHGSSNGCTSHDSKLKDKTKVRFSEDEEMLIARMFNLVGQRWSLIAGRIPGRTAEEIEKYWRSKHNPP >KJB64627 pep chromosome:Graimondii2_0_v6:10:6840596:6842864:1 gene:B456_010G058200 transcript:KJB64627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNSELAKLGSSLLVPNVQELAKNPLKEVPPRYVRTDEDSPIISPTNPLPQVPVINMQKLSSKEELEQLHYACKEWGFFQLINHGVSPSLVEKMKMETQEFFNLPMEEKKKVWQKPDEVEGYGQAFVVSEEQKLNWGDMFYMVTLPTYLRKPHLFPNLPLTFRETLEAYSVELKHLAMKLLEVMGKALGMDPNDLRLLFEEGHQGMRMNYYPPCPQPELAIGLNSHSDGVGLTILLQINDMEGLQIRKNGIWVPIKPLPNAFVINIGDIMEIVSNGIYRSIEHRATVNSVKERVSVATFYSPKLEGDMGPAPSLITPQTPSLFRRIGVADYFKGLFSCELRGKSYLDVLRLQNEEINKN >KJB64626 pep chromosome:Graimondii2_0_v6:10:6840596:6844411:1 gene:B456_010G058200 transcript:KJB64626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNSELAKLGSSLLVPNVQELAKNPLKEVPPRYVRTDEDSPIISPTNPLPQVPVINMQKLSSKEELEQLHYACKEWGFFQLINHGVSPSLVEKMKMETQEFFNLPMEEKKKVWQKPDEVEGYGQAFVVSEEQKLNWGDMFYMVTLPTYLRKPHLFPNLPLTFRETLEAYSVELKHLAMKLLEVMGKALGMDPNDLRLLFEEGHQGMRMNYYPPCPQPELAIGLNSHSDGVGLTILLQINDMEGLQIRKNGIWVPIKPLPNAFVINIGDIMEIVSNGIYRSIEHRATVNSVKERVSVATFYSPKLEGDMGPAPSLITPQTPSLFRRIGVADYFKGLFSCELRGKSYLDVLRLQNEEINKN >KJB68186 pep chromosome:Graimondii2_0_v6:10:60242728:60246459:-1 gene:B456_010G230800 transcript:KJB68186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLGFISLDCGLPAGSSYIDSITGINYTSDEPYIQTGTTHSLSRFNSSIQQQFLENLRSFPQGVRHCYQLNLTKGEKYLIRASFMYGNYDENDENPEFDLYLGPNLWASMVFENSTSVVVKEIIYVVKARYLHVCVVNTGKGIPFISALESRLLSNLTYDTDSETQALEFFLRIDFGSSLNSSFRFPEDIYDRIWQPYRRNDLTTINSSSSLISNSIFDPPLVAMMTASIPMNGSQTLNFTVRDSDPDVEFYFYMHVVELEELQANQTREFNIYLNDNFWYGPFSPMYLHEETILSFLSFKGGQFSMESTRSSTHPPIINAFEAYKAKELVESQTVEREVNAMMNIKSMYRLKKNWEGDPCAPRTYSWEGLDCSYDDLDPPRIISLNLSSTGLSGEISPYIGNLTQLQYLDLSNNNLTGVVPEFLTQLQFLTLINLQGNALNGSVPAGLIDRINRRFLELNVEGNQIPCTWEPCTTGTKTSENSVVVTVVASVASVLSVVIIVSALLWWFKRIKTSGKLVVMPRKLFQELKNRQFTYSDIQRITNNFEKVIGKGGFGTVYLGFLDDNQVAVKMLSKTSFQGYKQFEAEVELLLRVHHRNLTALIGYCDDGTETGLIYEFMAKGNLAEYLSDSSISVLNWEGRLGIALEAAQGLEYLHHGCKPPIIHRDVKSSNILLSDNLQAKLSDFGLSKSFEGGSHVSTVVAGTPGYLDPELTEKSDVYSFGVVLLEIITNRPVIARTIDEPTHISHWVGSMLSNGDIENIVDWRLKGDFEINSVWRAIEVAMACLSPASTKRPTMNHVVTELSECLVAEINRTRGVDEDESRDMMTMASLNCDSDIIPLSR >KJB63560 pep chromosome:Graimondii2_0_v6:10:300522:303646:-1 gene:B456_010G005800 transcript:KJB63560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QKYYLSCLVSQSVIVKARGTKKKTMGRSISCFKIITCGTDSADKNDDVIDVPQDKRSSDKKGWSFRKRSERHRVLSNTVVQEASTGLEESPETASYVQQSDVSVAPEKTSTVQYTEEKLQSVAPKDYVEEKSQLSTQEDLKVPEPVVAATNEAKDDSNVDESVVVVVQTAIRGFLARKELGKLKNLVKLQAALRGHLVRRHAVGTLRCIQAIVKMQMLVRARHARLSQEGSYSEKNAASTSIEKLLSNRFARQLLDSMPKTKPIRINCDPSKPSPGWSWLERWMSTSSSEKTSMAELPNEQPRDSPLDATVPSKAISESNDVNKTLVSSESEENLITYVAADSKSKACLPTSSVTGDLERTQIDNMSTSDLKETSLDQTIETEAHCLDVGVESLDAVLSGADTISRSKEPSITENPVLHDRKGQHDGSECGTELSVTSTLDSPDRYEVGTVEYENGVKVSEQENCSSNGAKDLDVKENATIAILVPGSSLSIANQPNKLDDTKGELANLVAVDSPRVEHEPCRLSPEASPRSHMTVPESQGTPSSQVSIKSKKKKTEKSGQKRKSFSTAKGSPTADRNSGAKSSTEQPSKDQRSGKRHNSFGSTKPDNIDEEPRDSNSSNSLPRFMQATESARAKVNANNSPRSSPDVQNGDLYIKKRHSLPGANGKQHSPRIQPSSGAKGNGMVRNFTVV >KJB63559 pep chromosome:Graimondii2_0_v6:10:300155:303738:-1 gene:B456_010G005800 transcript:KJB63559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSISCFKIITCGTDSADKNDDVIDVPQDKRSSDKKGWSFRKRSERHRVLSNTVVQEASTGLEESPETASYVQQSDVSVAPEKTSTVQYTEEKLQSVAPKDYVEEKSQLSTQEDLKVPEPVVAATNEAKDDSNVDESVVVVVQTAIRGFLARKELGKLKNLVKLQAALRGHLVRRHAVGTLRCIQAIVKMQMLVRARHARLSQEGSYSEKNAASTSIEKLLSNRFARQLLDSMPKTKPIRINCDPSKPSPGWSWLERWMSTSSSEKTSMAELPNEQPRDSPLDATVPSKAISESNDVNKTLVSSESEENLITYVAADSKSKACLPTSSVTGDLERTQIDNMSTSDLKETSLDQTIETEAHCLDVGVESLDAVLSGADTISRSKEPSITENPVLHDRKGQHDGSECGTELSVTSTLDSPDRYEVGTVEYENGVKVSEQENCSSNGAKDLDVKENATIAILVPGSSLSIANQPNKLDDTKGELANLVAVDSPRVEHEPCRLSPEASPRSHMTVPESQGTPSSQVSIKSKKKKTEKSGQKRKSFSTAKGSPTADRNSGAKSSTEQPSKDQRSGKRHNSFGSTKPDNIDEEPRDSNSSNSLPRFMQATESARAKVNANNSPRSSPDVQNGDLYIKKRHSLPGANGKQHSPRIQPSSGAKGNDRKWQR >KJB65462 pep chromosome:Graimondii2_0_v6:10:15857297:15863868:1 gene:B456_010G095800 transcript:KJB65462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSAQRSSRNHCSLLAILCGGEVSDNKQKQPVSNDKSRYPFPEIASSGRLEVQLLNNPSIDEVRRVLESSEPNVVYLQGEQNADSEEIGYLVCGDVHLSTPEALYGLFGSTLPTTVYLETPNGDKLAEALHSKGVPYVIYWKNTFSPYAASHFRQALLSVIQSSCSHTWDAFQLAHASFRLYCLQNDNVISFNSQKQSVKPEPCLLGEPPRIDVPQLEVDMEEEESSPENLPAIKLYDDDVTVRFLICGSPCSLDAFLLRSLEDGLNALLSIEIRGSKLHNRASAPPPPLQAGTFSRGVVTMRCDFSTCSSAHISLLVSGSAQTCFNDQLLENHIKNELIEKSKLVHAQSSSEESKLPSSEPRRSASIACGASVFEVSMKVPTWASQVLRQLAPDASYRSLVMLGIASIQGLSVASFEKDDAKRLLFFCTGHGKDPLWASSVISRSPSWLVPPAPSRKRSEPCKGTKPLNRNVMEGINGNPRPKPNVAAMRPIPHTHRHKMLPFSRLFEVEKYDGDQGKVNLPVVPVKQPTPVTNRKTLSSSYQAQQIISLNPLPLKKHGCGRASIQVCSEEEFLRDVMQFLILRGHTRLVPQGGLAEFPDAILNAKRLDLFNLYREVVSRGGFNVGNGINWKGQVFSKMRNHTLTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGVCGEWAHFGCDRRQGLGAFKDYAKTDGLEYVCPHCSISNLKKKTQKTVNGYRQTSFDSL >KJB67534 pep chromosome:Graimondii2_0_v6:10:55686379:55696834:-1 gene:B456_010G196100 transcript:KJB67534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPIAMKEVLTLPSVGINPQFITFTNVTMESDKYICVRETAPQNSVVIIDMSMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKIKSHQMPEPVVFWKWITPKTLGLVTQTSVYHWSIEGDSEPVKMFERTANLVNNQIINYKCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALESHAASFAQFKVPGNENPSILISFATKSFNAGQIVSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSAGGFYAINRRGQVLLATVNEATIVPFVSSQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYSPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYSELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGVEACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIESNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRHLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFSIFKKFNLNVQAVNVLLDNIRNIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATHFLDVIRASEDANVYPDLVRYLLMVRQKVKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDEELYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNVIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDIIVKVASVELYYKAVHFYLQEHPDLINDMLNVLALRVDHTRVVDIMRKAGHLRLVKPYMVAVQSNNVLAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYRDAMETASQSGERELAEELLVYFIEQGKKECFASCLFVCYDLIRADVALELAWINNMIDFAFPYLLQFIREYTGKVDELIKDKIEAQKEVKAKEQEEKEVIAQQNMYAQLLPLALPAPPMPGMGGPTMGGGFAPPPPPMGGMGMPPMPPYGMPPMGSSY >KJB67535 pep chromosome:Graimondii2_0_v6:10:55685512:55697101:-1 gene:B456_010G196100 transcript:KJB67535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPIAMKEVLTLPSVGINPQFITFTNVTMESDKYICVRETAPQNSVVIIDMSMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKIKSHQMPEPVVFWKWITPKTLGLVTQTSVYHWSIEGDSEPVKMFERTANLVNNQIINYKCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALESHAASFAQFKVPGNENPSILISFATKSFNAGQIVSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSAGGFYAINRRGQVLLATVNEATIVPFVSSQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYSPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYSELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGVEACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIESNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRHLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFSIFKKFNLNVQAVNVLLDNIRNIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATHFLDVIRASEDANVYPDLVRYLLMVRQKVKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDEELYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNVIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDIIVKVASVELYYKAVHFYLQEHPDLINDMLNVLALRVDHTRVVDIMRKAGHLRLVKPYMVAVQSNNVLAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYRDAMETASQSGERELAEELLVYFIEQKECFASCLFVCYDLIRADVALELAWINNMIDFAFPYLLQFIREYTGKVDELIKDKIEAQKEVKAKEQEEKEVIAQQNMYAQLLPLALPAPPMPGMGGPTMGGGFAPPPPPMGGMGMPPMPPYGMPPMGSSY >KJB67537 pep chromosome:Graimondii2_0_v6:10:55686379:55696834:-1 gene:B456_010G196100 transcript:KJB67537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPIAMKEVLTLPSVGINPQFITFTNVTMESDKYICVRETAPQNSVVIIDMSMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKIKSHQMPEPVVFWKWITPKTLGLVTQTSVYHWSIEGDSEPVKMFERTANLVNNQIINYKCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALESHAASFAQFKVPGNENPSILISFATKSFNAGQIVSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSAGGFYAINRRGQVLLATVNEATIVPFVSSQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYSPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYSELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGVEACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIESNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRHLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFSIFKKFNLNVQAVNVLLDNIRNIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATHFLDVIRASEDANVYPDLVRYLLMVRQKVKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDEELYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNVIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDIIVKVASVELYYKAVHFYLQEHPDLINDMLNVLALRVDHTRVVDIMRKAGHLRLVKPYMVAVQSNNVLAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYRDAMETASQSGERELAEELLVYFIEQGKKECFASCLFVCYDLIRADVALELAWINNMIDFAFPYLLQFIREYTGKVDELIKDKIEAQKEVKAKEQEEKEVIAQQNMYAQLLPLALPAPPMPGMGGPTMGGGFAPPPPPMGGMGMPPMPPYGMPPMGSSY >KJB67536 pep chromosome:Graimondii2_0_v6:10:55685510:55697395:-1 gene:B456_010G196100 transcript:KJB67536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPIAMKEVLTLPSVGINPQFITFTNVTMESDKYICVRETAPQNSVVIIDMSMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKIKSHQMPEPVVFWKWITPKTLGLVTQTSVYHWSIEGDSEPVKMFERTANLVNNQIINYKCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALESHAASFAQFKVPGNENPSILISFATKSFNAGQIVSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSAGGFYAINRRGQVLLATVNEATIVPFVSSQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYSPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYSELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGVEACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIESNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRHLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFSIFKKFNLNVQAVNVLLDNIRNIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATHFLDVIRASEDANVYPDLVRYLLMVRQKVKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDEELYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNVIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDIIVKVASVELYYKAVHFYLQEHPDLINDMLNVLALRVDHTRVVDIMRKAGHLRLVKPYMVAVQSNNVLAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYRDAMETASQSGERELAEELLVYFIEQGKKECFASCLFVCYDLIRADVALELAWINNMIDFAFPYLLQFIREYTGKVDELIKDKIEAQKEVKAKEQEEKEVIAQQNMYAQLLPLALPAPPMPGMGGPTMGGGFAPPPPPMGGMGMPPMPPYGMPPMGSSY >KJB65654 pep chromosome:Graimondii2_0_v6:10:19193335:19194513:1 gene:B456_010G105300 transcript:KJB65654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVILHYFSPKSTFGCKSAFPPGRRTLSTSGHASMVSINIFVALLCACIVIGHLLEENRWMNESITALIIGVFTGVIILLTSGGKGSHILVFSEDLFFIYLLPLLYLVKGFR >KJB65052 pep chromosome:Graimondii2_0_v6:10:11403109:11405482:1 gene:B456_010G078600 transcript:KJB65052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPLTFLFLLLLTASVLESSTSFEPNIRLPTTATIVPRSDIDDDLYCASWQLAVETNNAGSWAAIPSRCVPFVRDYMTGQRYASDCEVVANYSLAYASTVKIASDGKDAWVFDVDETLLTNLPYYRDHGFGSEIFNESCWDEWVAEAKAPAIPSSLKLYNGLKQMRFKIFVLTGRSEHQRNDTRKNLELAGYTGWEGLILRGASDGGTPATIFKSERRSVLVNEGYRIHGNSGDQWSDLLGFAVAKRSFKLPNPMYYIA >KJB65053 pep chromosome:Graimondii2_0_v6:10:11403128:11405482:1 gene:B456_010G078600 transcript:KJB65053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPLTFLFLLLLTASVLESSTSFEPNIRLPTTATIVPRSDIDDDLYCASWQLAVETNNAGSWAAIPSRCVPFVRDYMTGQRYASDCEVVANYSLAYASTVKIASDGKDAWVFDVDETLLTNLPYYRDHGFGINGIEKNTHPDLSWLGRSEIFNESCWDEWVAEAKAPAIPSSLKLYNGLKQMRFKIFVLTGRSEHQRNDTRKNLELAGYTGWEGLILRGASDGGTPATIFKSERRSVLVNEGYRIHGNSGDQWSDLLGFAVAKRSFKLPNPMYYIA >KJB66200 pep chromosome:Graimondii2_0_v6:10:29348295:29348922:1 gene:B456_010G132300 transcript:KJB66200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASSDKKTKGKQKIEIKIIENANDKLITFSKRRTGIFFIIIFLPTGKPYSFGHPSIESVAKRFSKGSQPLQETTNAPIETYRKERINLLVQYFNHVQDQLYVIKEKKKEIALAQWLHGTKIRHWWKAPIDQHNLKELYKQDEHFAAFNNLISITRDKKIAPISSMQAPMDEDVPSAFPPDMALICNSFVLHCPRC >KJB64242 pep chromosome:Graimondii2_0_v6:10:3635073:3636554:-1 gene:B456_010G039000 transcript:KJB64242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQSEFRRWDQLLPDVLGLIFINLSLQELLTVIPPICKSWSKVVRGSRCWQDIDLFQWSIRWKPHQLDRMLRMLVTRSSGSLCSLHVAGLRNDSIFSFITENAGSLQVLRLSGSLISDSIVKQTAQRLSTLTFLDLTCCPKIGAQALEAIGKYCKLLETLCRDMMFLDVLGKVEAEDEANAIAGTMPRLKHLDLGFHLISTECVLNILSRCHQLERLIKNVCVSVKLDRNFLTEKYPNLEISWMTPVLSSDRF >KJB68550 pep chromosome:Graimondii2_0_v6:10:61745336:61748259:1 gene:B456_010G249900 transcript:KJB68550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLNLNSLGSSSLFTTRPESSGLLSINASRTQHVGRNWSSLVLRLKCNGRFCCLFSDNRREEQARKALESALGGKKTEFEKWNKEIKRREEAGGGDNAGGGGWFGWGGRFGWSNGDHFWQEAQQASLAILGIIVMYLVIAKGELLLAVIFNPLLYALRGTRDGFSYVTSKILGNGPVDSSNTVNNEAYVQVSAKESVLRKWGSN >KJB68131 pep chromosome:Graimondii2_0_v6:10:59857234:59860309:-1 gene:B456_010G227400 transcript:KJB68131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRIIAVVLFLFCATSQLASSLNDGLLPNGNFEYGPKPSELKGTKVMSPKAIPNWEISGYVEYIKSGQKQGDMLLIVPEGGFAVRLGNEALIKQKIKVIKGMFYSLTFSAARTCAQEEKLNVSISPNYEKNDFGLFPIQTMYSSNGWDSYAWAFQASESVIEISIHNPGVEEDAACGPLIDSIALKTLYPPKRTRVNLLKNGNFEEGPYIFAGPISEGVIIPPHIEDDHSPLPGWIIDSLKAVKYIDSDHFTVPEGKRAIELIAGKESSLSQVVRTTIGGDYVLSFTIGDANNACEGSMVVEAFANKNTVKVTYDSKGKGGFKQARLRFKADSTRTRIMFYSTFYTMKNDNSGSLCGPVVDDVKLVSIRKLHHL >KJB67481 pep chromosome:Graimondii2_0_v6:10:54948515:54950346:-1 gene:B456_010G192800 transcript:KJB67481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCDGSRFDVIIEKKIPLVLSVRALAMVNFGAKDTIPSHFQQRKIHIHNAQVITFLNQATMLNNLMPVALRTRSKRRKALCGDSSKQMKKTLAVEEVHLVATNMATPQSSVNDKMSLAFLKMVTDHDTKSMLAIFFQKPH >KJB64213 pep chromosome:Graimondii2_0_v6:10:3482252:3483113:1 gene:B456_010G037500 transcript:KJB64213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSAAGTTMAGVVVVMWWCMVVQRLEATVFTVGESSGWVVGVDYDAWAKSKNFKLGDLLVFDYSSAYAIDEVFENDYNTCNIDHPISSDNSGSTVISLLNAGPNYFICGTPGYCAQGMKFIANVTA >KJB65429 pep chromosome:Graimondii2_0_v6:10:15605333:15613546:-1 gene:B456_010G094700 transcript:KJB65429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNGVVGILSESSNKWERRVPLTPSHCARLLHSGREKTGIARIIVQPSTKRIHHDSLYEDVGCHISDDLSECGLILGIKQPKLDMILPNRAYAFFSHTHKAQKENMPLLDKILAERVSLYDYELIVGDNGKRLLAFGKYAGRAGMIDFLRGLGQRYLSLGYSTPFLSLGASYMYPSLAAAKAAVITVGEEIASQGLPSGICPVVFVFTGSGNVSVGAQEIFKLLPHVFVEPIRLPELFGKGRNVTSKRVFQVYGCIVTSRDMVSHKDPSKTFDKADYYAHPEHYNPIFHEKIAPYASVIVNCMYWERRFPRLLSTKQIQELNKKGCPLVGISDITCDIGGSVEFVNQTTSIDSPFFRYEPLTDSYHNDMDGNGIICSAVDILPTEFAKEASQHFGDILSQFVGSLASTADFTKLPAHLTRACIVHGGTLTTLYEYIPRMRKSDTLDISDNHTNGHINNKKKYSVLVSLSGHLFDQFLINEALDIIEAAGGSFHLVKCQVGQSTDAMSYSELEVGADDGKVLNQIIDSLTSIANPTENHGTPSQQLNKISLKVGKLQETGMKKDSESDPKRKKSVLILGAGRVCQPACELLASIGTASSCQWYKSCLQNDSEEQMDVHVIVASLYLKDAEEIIQGIPNTTAVELDVTDHRALHQYISQVEIVISLLPASCHVAIADVCVELKKHLVTASYVDDSMSMMDEKAKNAGITILGEMGLDPGIDHMMAMKMINQAHLKKGKIKSFTSYCGGIPSPAAANNPLAYKFSWNPAGAIRAGRNPATYKSQGETVHVNGDDLYDSAGRFRIPDLPAFALECLPNRNSLTYGDLYGIGHEASTIFRGTLRYEGFSEIMATLVRIGIFNAETHPLLKHEGRPTFRNFLCELLKIDTKDMNEVVVGEKKIAERILELGHCKERGVAVKAAKTIVFLGLNEQTGIPVSCQSAFAVTCHRMEERLTYSNTEQDMVLLHHEVEVDFPDSKQTERHTATLLEFGKAKNGKVISAMALTVGVPVAVGALLLIVNKIKTRGVLRPIVPEVYLPALEIVQDYGIKLMEKTE >KJB65431 pep chromosome:Graimondii2_0_v6:10:15605881:15613397:-1 gene:B456_010G094700 transcript:KJB65431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNGVVGILSESSNKWERRVPLTPSHCARLLHSGREKTGIARIIVQPSTKRIHHDSLYEDVGCHISDDLSECGLILGIKQPKLDMILPNRAYAFFSHTHKAQKENMPLLDKILAERVSLYDYELIVGDNGKRLLAFGKYAGRAGMIDFLRGLGQRYLSLGYSTPFLSLGASYMYPSLAAAKAAVITVGEEIASQGLPSGICPVVFVFTGSGNVSVGAQEIFKLLPHVFVEPIRLPELFGKGRNVTSKRVFQVYGCIVTSRDMVSHKDPSKTFDKADYYAHPEHYNPIFHEKIAPYASVIVNCMYWERRFPRLLSTKQIQELNKKGCPLVGISDITCDIGGSVEFVNQTTSIDSPFFRYEPLTDSYHNDMDGNGIICSAVDILPTEFAKEASQHFGDILSQFVGSLASTADFTKLPAHLTRACIVHGGTLTTLYEYIPRMRKSDTLDISDNHTNGHINNKKKYSVLVSLSGHLFDQFLINEALDIIEAAGGSFHLVKCQVGQSTDAMSYSELEVGADDGKVLNQIIDSLTSIANPTENHGTPSQQLNKISLKVGKLQETGMKKDSESDPKRKKSVLILGAGRVCQPACELLASIGTASSCQWYKSCLQNDSEEQMDVHVIVASLYLKDAEEIIQGIPNTTAVELDVTDHRALHQYISQVEIVISLLPASCHVAIADVCVELKKHLVTASYVDDSMSMMDEKAKNAGITILGEMGLDPGIDHMMAMKMINQAHLKKGKIKSFTSYCGGIPSPAAANNPLAYKFSWNPAGAIRAGRNPATYKSQGETVHVNGDDLYDSAGRFRIPDLPAFALECLPNRNSLTYGDLYGIGHEASTIFRGTLRYEGFSEIMATLVRIGIFNAETHPLLKHEGRPTFRNFLCELLKIDTKDMNEVVVGEKKIAERILELGHCKERGVAVKAAKTIVFLGLNEQTGIPVSCQSAFAVTCHRMEERLTYSNTEQDMVLLHHEVEVDFPDSKQTERHTATLLEFGKAKNGKVISAMALTVGVPVAVGALVHFNNISLLLHQTLYN >KJB65428 pep chromosome:Graimondii2_0_v6:10:15605333:15611726:-1 gene:B456_010G094700 transcript:KJB65428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSKFLNHSQGRNVTSKRVFQVYGCIVTSRDMVSHKDPSKTFDKADYYAHPEHYNPIFHEKIAPYASVIVNCMYWERRFPRLLSTKQIQELNKKGCPLVGISDITCDIGGSVEFVNQTTSIDSPFFRYEPLTDSYHNDMDGNGIICSAVDILPTEFAKEASQHFGDILSQFVGSLASTADFTKLPAHLTRACIVHGGTLTTLYEYIPRMRKSDTLDISDNHTNGHINNKKKYSVLVSLSGHLFDQFLINEALDIIEAAGGSFHLVKCQVGQSTDAMSYSELEVGADDGKVLNQIIDSLTSIANPTENHGTPSQQLNKISLKVGKLQETGMKKDSESDPKRKKSVLILGAGRVCQPACELLASIGTASSCQWYKSCLQNDSEEQMDVHVIVASLYLKDAEEIIQGIPNTTAVELDVTDHRALHQYISQVEIVISLLPASCHVAIADVCVELKKHLVTASYVDDSMSMMDEKAKNAGITILGEMGLDPGIDHMMAMKMINQAHLKKGKIKSFTSYCGGIPSPAAANNPLAYKFSWNPAGAIRAGRNPATYKSQGETVHVNGDDLYDSAGRFRIPDLPAFALECLPNRNSLTYGDLYGIGHEASTIFRGTLRYEGFSEIMATLVRIGIFNAETHPLLKHEGRPTFRNFLCELLKIDTKDMNEVVVGEKKIAERILELGHCKERGVAVKAAKTIVFLGLNEQTGIPVSCQSAFAVTCHRMEERLTYSNTEQDMVLLHHEVEVDFPDSKQTERHTATLLEFGKAKNGKVISAMALTVGVPVAVGALLLIVNKIKTRGVLRPIVPEVYLPALEIVQDYGIKLMEKTE >KJB65427 pep chromosome:Graimondii2_0_v6:10:15604633:15613613:-1 gene:B456_010G094700 transcript:KJB65427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNGVVGILSESSNKWERRVPLTPSHCARLLHSGREKTGIARIIVQPSTKRIHHDSLYEDVGCHISDDLSECGLILGIKQPKLDMILPNRAYAFFSHTHKAQKENMPLLDKILAERVSLYDYELIVGDNGKRLLAFGKYAGRAGMIDFLRGLGQRYLSLGYSTPFLSLGASYMYPSLAAAKAAVITVGEEIASQGLPSGICPVVFVFTGSGNVSVGAQEIFKLLPHVFVEPIRLPELFGKGRNVTSKRVFQVYGCIVTSRDMVSHKDPSKTFDKADYYAHPEHYNPIFHEKIAPYASVIVNCMYWERRFPRLLSTKQIQELNKKGCPLVGISDITCDIGGSVEFVNQTTSIDSPFFRYEPLTDSYHNDMDGNGIICSAVDILPTEFAKEASQHFGDILSQFVGSLASTADFTKLPAHLTRACIVHGGTLTTLYEYIPRMRKSDTLDISDNHTNGHINNKKKYSVLVSLSGHLFDQFLINEALDIIEAAGGSFHLVKCQVGQSTDAMSYSELEVGADDGKVLNQIIDSLTSIANPTENHGTPSQQLNKISLKVGKLQETGMKKDSESDPKRKKSVLILGAGRVCQPACELLASIGTASSCQWYKSCLQNDSEEQMDVHVIVASLYLKDAEEIIQGIPNTTAVELDVTDHRALHQYISQVEIVISLLPASCHVAIADVCVELKKHLVTASYVDDSMSMMDEKAKNAGITILGEMGLDPGIDHMMAMKMINQAHLKKGKIKSFTSYCGGIPSPAAANNPLAYKFSWNPAGAIRAGRNPATYKSQGETVHVNGDDLYDSAGRFRIPDLPAFALECLPNRNSLTYGDLYGIGHEASTIFRGTLRYEGFSEIMATLVRIGIFNAETHPLLKHEGRPTFRNFLCELLKIDTKDMNEVVVGEKKIAERILELGHCKERGVAVKAAKTIVFLGLNEQTGIPVSCQSAFAVTCHRMEERLTYSNTEQDMVLLHHEVEVDFPDSKQTERHTATLLEFGKAKNGKVISAMALTVGVPVAVGALLLIVNKIKTRGVLRPIVPEVYLPALEIVQDYGIKLMEKTE >KJB65430 pep chromosome:Graimondii2_0_v6:10:15605653:15612875:-1 gene:B456_010G094700 transcript:KJB65430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNGVVGILSESSNKWERRVPLTPSHCARLLHSGREKTGIARIIVQPSTKRIHHDSLYEDVGCHISDDLSECGLILGIKQPKLDMILPNRAYAFFSHTHKAQKENMPLLDKILAERVSLYDYELIVGDNGKRLLAFGKYAGRAGMIDFLRGLGQRYLSLGYSTPFLSLGASYMYPSLAAAKAAVITVGEEIASQGLPSGICPVVFVFTGSGNVSVGAQEIFKLLPHVFVEPIRLPELFGKGRNVTSKRVFQVYGCIVTSRDMVSHKDPSKTFDKADYYAHPEHYNPIFHEKIAPYASVIVNCMYWERRFPRLLSTKQIQELNKKGCPLVGISDITCDIGGSVEFVNQTTSIDSPFFRYEPLTDSYHNDMDGNGIICSAVDILPTEFAKEASQHFGDILSQFVGSLASTADFTKLPAHLTRACIVHGGTLTTLYEYIPRMRKSDTLDISDNHTNGHINNKKKYSVLVSLSGHLFDQFLINEALDIIEAAGGSFHLVKCQVGQSTDAMSYSELEVGADDGKVLNQIIDSLTSIANPTENHGTPSQQLNKISLKVGKLQETGMKKDSESDPKRKKSVLILGAGRVCQPACELLASIGTASSCQWYKSCLQNDSEEQMDVHVIVASLYLKDAEEIIQGIPNTTAVELDVTDHRALHQYISQVEIVISLLPASCHVAIADVCVELKKHLVTASYVDDSMSMMDEKAKNAGITILGEMGLDPGIDHMMAMKMINQAHLKKGKIKSFTSYCGGIPSPAAANNPLAYKFSWNPAGAIRAGRNPATYKSQGETVHVNGDDLYDSAGRFRIPDLPAFALECLPNRNSLTYGDLYGIGHEASTIFRGTLRYEGFSEIMATLVRIGIFNAETHPLLKHEGRPTFRNFLCELLKIDTKDMNEVVVGEKKIAERILELGHCKERGVAVKAAKTIVFLGLNEQTGIPVSCQSAFAVTCHRMEERLTYSNTEQDMVLLHHEVEVDFPDSKQTERHTATLLEFGKAKNGKVISAMALTVGVPVAVGALLLIVNKIKTRGVLRPIVPEVYLPALEIVQDYGIKLMEKTE >KJB63863 pep chromosome:Graimondii2_0_v6:10:1563296:1564337:-1 gene:B456_010G020500 transcript:KJB63863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDHLKSATTQDQMETMMMQAEYNIYNDVVQLPLASPSTFVESPPFITGSTPGQPPSTAVCPGLLKKNSMGAMREMIFRIAAMQPIHIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAVGGGGIGFPLGMSNGESFFSMGKGFQLHQNDGVQQFGDV >KJB68375 pep chromosome:Graimondii2_0_v6:10:61094216:61095669:-1 gene:B456_010G241700 transcript:KJB68375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGTVLMQRFELGRLLGQGTFAKVYYARNLTNGQSCAIKIIDKEKIMKGGLIDQTKREIAVMRIIKHPNVVQLYEVMASKSKIYFVMEYVRGGELFNKVAKGKLKENVARHYFQQLVAAVDFCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALTESCWQDGLLHTTCGTPAYVAPEVINKKGYDGAKADIWSCGVILYVLMAGFLPFHDQNLMEMYKKICRGDFKCPQWLPPEVRKLLSRILDPNPNQRITVEKLMDNVWFKKGFKKIDTPPLSPNPKKFDLVISDVQAAFDSSSSVSSFSGWETSSEDESTTVTPNPMSPIRPSVFNAFDIISLSQGFDLSALFEQDPNQRNPSRFTTRKPANDIVSKFKQIARSESFNIQNGDGKVRLQGIKEGRKGQLGIDAEIFEITPSFHVVELNKTAGDTLEYKEFCDQELKPSLKDIVWTWQGNHQQSQQ >KJB67825 pep chromosome:Graimondii2_0_v6:10:57921071:57922145:-1 gene:B456_010G213200 transcript:KJB67825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRQWEISFILDGSLDWTVCFERQIPQTFFLALKKNITVDEAWDDLHKGERFFKRDLFEQERVSAMELWQIFKGVSLNENKADQLMWEVDSKGKCTVKKVVFSLDCEVLWRIKRCGFLSFGSYAFQQRCNASYGCYSKMAYLQNAFLRDRGIPTVNGRVNCSWCNEAEENCDKFFLSFFLLESLGLFFQWWGVMWVIPWNVSDFVVKKGLGDGVGRLLGLIYGPLEWMGLFATECKAVRRQKLVIGLPTDDMV >KJB66813 pep chromosome:Graimondii2_0_v6:10:47878891:47879287:1 gene:B456_010G165800 transcript:KJB66813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIQNIFLNKRERLPYELKHYELDVCKHPDLRKISTLSKLCRSLVESGKSIMYPLVDRLIRLILTLSVSTTSSKRAFFAMKIVKTRLRSKMEDDFLRSSLVVYIEKEIAEKFNINEIIDDFSEVKDRRVQFK >KJB63237 pep chromosome:Graimondii2_0_v6:10:33198535:33199681:-1 gene:B456_010G1391002 transcript:KJB63237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDVEYRCFIGNLSWATTDRGLKDAFEKFGNLLDAKVAVDKFSGRSRGFGFVSFDDKAAMEEAIEGMNGMDLDGRNITVDKAKPHQGSDRDSDGDRSRDHGRDHDRDRNWGYDGGRGSHGGECFKCGKPGHFARECPSDGGKYGGRVDRYGGGGGSSGRYGPDRNGDRFGGRSRDAGSRGGAGAGGDRYNRDRSGPYERRGTGGPRS >KJB63238 pep chromosome:Graimondii2_0_v6:10:33197125:33199939:-1 gene:B456_010G1391002 transcript:KJB63238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDVEYRCFIGNLSWATTDRGLKDAFEKFGNLLDAKVAVDKFSGRSRGFGFVSFDDKAAMEEAIEGMNGMDLDGRNITVDKAKPHQGSDRDSDGDRSRDHGRDHDRDRNWGYDGGRGSHGGECFKCGKPGHFARECPSDGGKYGGRVDRYGGGGGSSGRYGPDRNGDRFGGRSRDAGSRGGAGAGGDRYNRDRSGPYERRGTGGPRS >KJB63730 pep chromosome:Graimondii2_0_v6:10:983054:984862:1 gene:B456_010G013000 transcript:KJB63730 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74970) UniProtKB/Swiss-Prot;Acc:Q9XJ27] MALSISSLTSSLSSLSFSSQISRNPSSISIPQPPKTLPISLSSKSTRSLSISATVAAPVETEPVENLKKLVKSRLPGGFAAQTIIGTGRRKCAIARVVLQEGTGKFIINYRDAKEYLQGNPLWLQYIKVPLLTLGYETSYDVFVKAHGGGLSGQAQAISLGIARALLKVSADHRSPLKKEGLLTRDSRVVERKKVGLKKARKAPQFSKR >KJB63729 pep chromosome:Graimondii2_0_v6:10:983054:984743:1 gene:B456_010G013000 transcript:KJB63729 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74970) UniProtKB/Swiss-Prot;Acc:Q9XJ27] MALSISSLTSSLSSLSFSSQISRNPSSISIPQPPKTLPISLSSKSTRSLSISATVAAPVETEPVENLKKLVKSRLPGGFAAQTIIGTGRRKCAIARVVLQEGTGKFIINYRDAKYLQGNPLWLQYIKVPLLTLGYETSYDVFVKAHGGGLSGQAQAISLGIARALLKVSADHRSPLKKEGLLTRDSRVVERKKVGLKKARKAPQFSKR >KJB64234 pep chromosome:Graimondii2_0_v6:10:3614066:3616383:-1 gene:B456_010G038500 transcript:KJB64234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQSEFRHWDQLPPDVLGLIFTNLSLQELLVVIPCVCKSWCKAITGPYCWQDIDLDEWTCRCQPHHLDRMLRLLVTRSSGSLLSLHVAGLQNDSSFSFITENAGSLQVLRVPLTGISGSVVEETAQRLSTITSLDLSYCPKIGAKAIEAVGRHCKFLVTFCRNMHFLDSADKVEPEDEANAIAATMPRLMHLELQFHFISTECVLNILSGCPHLEHLDIEGCLEVELDHLFLKDMFPKLKNLLGLRSRNDGGPYVGYHEFIDWISYLGMWAECRWTVHRLS >KJB64235 pep chromosome:Graimondii2_0_v6:10:3614074:3616383:-1 gene:B456_010G038500 transcript:KJB64235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQSEFRHWDQLPPDVLGLIFTNLSLQELLVVIPCVCKSWCKAITGPYCWQDIDLDEWTCRCQPHHLDRMLRLLVTRSSGSLLSLHVAGLQNDSSFSFITENAGSLQVLRVPLTGISGSVVEETAQRLSTITSLDLSYCPKIGAKAIEAVGRHCKFLVTFCRNMHFLDSADKVEPEDEANAIAATMPRLMHLELQFHFISTECVLNILSGCPHLEHLDIEGCLEVELDHLFLKDMFPKLKNLLGLRSRNDGGPYVGYHEFIDWISYLGMWAECRWTVHRLS >KJB64236 pep chromosome:Graimondii2_0_v6:10:3614066:3615399:-1 gene:B456_010G038500 transcript:KJB64236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQSEFRHWDQLPPDVLGLIFTNLSLQELLVVIPCVCKSWCKAITGPYCWQDIDLDEWTCRCQPHHLDRMLRLLVTRSSGSLLSLHVAGLQNDSSFSFITENAGSLQVLRVPLTGISGSVVEETAQRLSTITSLDLSYCPKIGAKAIEAVGRHCKFLVTFCRNMHFLDSADKVEPEDEANAIAATMPRLMHLELQFHFISTECVLNILSGCPHLEHLDIEGCLEVELDHLFLKDMFPKLKNLLGLRSRNDGGPYVGYHEFIDWISYLGMWAECRWTVHRLS >KJB64631 pep chromosome:Graimondii2_0_v6:10:6958048:6959301:1 gene:B456_010G058600 transcript:KJB64631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYMVTLPTYLRKPHLFPNLPLTFRETLEAYSVELKHLAMKLLEVMGKALGMDPNDLRLLFEEGHQGMRMNYYPPCPQPELAIGLNSHSDATGLTILLQINDMEGLQIRKNGIWVPIKPLPNAFVINIGDIMEIVSNGIYRRIEHRTTVNSVKERVSVATFYSPKLEGDMGPAPSLITPQTPSLFRRIGVADYFKGYFSRELRGKSYLDILRLQNEEINKN >KJB66668 pep chromosome:Graimondii2_0_v6:10:41174923:41177637:1 gene:B456_010G151900 transcript:KJB66668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMTCADGCSMIDRRMFFVVCEPGTQHMEALLKVVYELYTDYVLKNPFYEMEIPIRFELFDINLTQAVQKDRVALLGR >KJB68257 pep chromosome:Graimondii2_0_v6:10:60536622:60538950:1 gene:B456_010G234800 transcript:KJB68257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSVKRWSMIRPAQDTPKERQWISNLDMEALSKTLVQFYPMAGRLGRDENGRLEIVCNAEGVLWIEAETTSAMDDLDGFTPCSKLRKLVPTADYSGDISSYPLIMAQVTTLKCGGVCLGIATHHTLTDGTMTLHFINSWSEMARGLPQISMPPVIDRTLLRARVPPIPRFHHLEYDRPPSLYTSTSLGPNNHKPSTVYVFKITQNQLNTLKAKSWEHGNKTNYSTYTILVAYIWRCATKAWDLSYDQPTKLHMPTDGRPRLHPPLPSTYLGNAMFAASLIALSGNLQSEPFVNTLERVHGTLQRMNNEYLRSALDYLETLPDITVARRTPDTYHCPNLSINKWTRLSLYDADFGWGRPIYMGPANVVHEGKIYILPSPTNDGSLSLVACLQTAHMKLFEKHLYEGLKSFDKIKARY >KJB68256 pep chromosome:Graimondii2_0_v6:10:60536554:60539178:1 gene:B456_010G234800 transcript:KJB68256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSVKRWSMIRPAQDTPKERQWISNLDMVITTYHVPLLFFYKPNGSSDFFKPQVLQEALSKTLVQFYPMAGRLGRDENGRLEIVCNAEGVLWIEAETTSAMDDLDGFTPCSKLRKLVPTADYSGDISSYPLIMAQVTTLKCGGVCLGIATHHTLTDGTMTLHFINSWSEMARGLPQISMPPVIDRTLLRARVPPIPRFHHLEYDRPPSLYTSTSLGPNNHKPSTVYVFKITQNQLNTLKAKSWEHGNKTNYSTYTILVAYIWRCATKAWDLSYDQPTKLHMPTDGRPRLHPPLPSTYLGNAMFAASLIALSGNLQSEPFVNTLERVHGTLQRMNNEYLRSALDYLETLPDITVARRTPDTYHCPNLSINKWTRLSLYDADFGWGRPIYMGPANVVHEGKIYILPSPTNDGSLSLVACLQTAHMKLFEKHLYEGLKSFDKIKARY >KJB64348 pep chromosome:Graimondii2_0_v6:10:4492477:4497587:-1 gene:B456_010G044200 transcript:KJB64348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNSCSNIEAETKEMDVRSLSASHQHSQYLHKVGIPPKQNLLREFIARVKETLFADDPLRPFKDQPRSRKLVLGIEAIFPIFEWGRSYNWRKFRGDLIAGLTIASLCIPQDIGYARLANLAPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGSMLSNEIDPNQNEAEYLRLAFTATFFAGITQITLGFLRLGFLIDFLSHAAIIGFMAGAAITIALQQLKGLLGIQKFTKKTDIISVMRSVWGSVHHGWNWQTILIGVSFLSFLLLTKYIGKKNKKFFWVPAIAPLISVILSTFFVYITHAEKKGVQIVKNIEKGINPSSVNQIYFTGDYLLKGLKIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGAMNVVGSLASCYVATGSFSRSAVNFMSGCETAVSNIIMSCVVFLTLEFLTPLFKYTPNAILAAIIISAVIGLIDVKAAILVWKIDKFDFVACLGAFLGVVFASVEIGLLIAVIISFAKILLQVTRPRTAILGKVPRTNVYRNILQYPEATKVPGVLIVRVDSAIYFSNSNYVKERILRWLMDEEEKVKAACQPTIHFLIVEMSPVTDIDTSGIHALEELHRSLEKKNIQLILANPGPMVMDKLEASKFANLIGDDKIFLTVADAVSSCSPKRVENV >KJB64350 pep chromosome:Graimondii2_0_v6:10:4492525:4496080:-1 gene:B456_010G044200 transcript:KJB64350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNSCSNIEAETKEMDVRSLSASHQHSQYLHKVGIPPKQNLLREFIARVKETLFADDPLRPFKDQPRSRKLVLGIEAIFPIFEWGRSYNWRKFRGDLIAGLTIASLCIPQDIGYARLANLAPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGSMLSNEIDPNQNEAEYLRLAFTATFFAGITQITLGFLRLGFLIDFLSHAAIIGFMAGAAITIALQQLKGLLGIQKFTKKTDIISVMRSVWGSVHHGWNWQTILIGVSFLSFLLLTKYIGKKNKKFFWVPAIAPLISVILSTFFVYITHAEKKGVQIVKNIEKGINPSSVNQIYFTGDYLLKGLKIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGAMNVVGSLASCYVATGSFSRSAVNFMSGCETAVSNIIMSCVVFLTLEFLTPLFKYTPNAILAAIIISAVIGLIDVKAAILVWKIDKFDFVACLGAFLGVVFASVEIGLLIAVIISFAKILLQVTRPRTAILGKVPRTNVYRNILQYPEATKVPGVLIVRVDSAIYFSNSNYVKERILRWLMDEEEKVKAACQPTIHFLIVEMSPVTDIDTSGIHALEELHRSLEKKNIQLILANPGPMVMDKLEASKFANLIGDDKIFLTVADAVSSCSPKRVENV >KJB64349 pep chromosome:Graimondii2_0_v6:10:4492638:4496704:-1 gene:B456_010G044200 transcript:KJB64349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNSCSNIEAETKEMDVRSLSASHQHSQYLHKVGIPPKQNLLREFIARVKETLFADDPLRPFKDQPRSRKLVLGIEAIFPIFEWGRSYNWRKFRGDLIAGLTIASLCIPQDIGYARLANLAPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGSMLSNEIDPNQNEAEYLRLAFTATFFAGITQITLGFLRLGFLIDFLSHAAIIGFMAGAAITIALQQLKGLLGIQKFTKKTDIISVMRSVWGSVHHGWNWQTILIGVSFLSFLLLTKYIGKKNKKFFWVPAIAPLISVILSTFFVYITHAEKKGVQIVKNIEKGINPSSVNQIYFTGDYLLKGLKIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGAMNVVGSLASCYVATGSFSRSAVNFMSGCETAVSNIIMSCVVFLTLEFLTPLFKYTPNAILAAIIISAVIGLIDVKAAILVWKIDKFDFVACLGAFLGVVFASVEIGLLIAVIISFAKILLQVTRPRTAILGKVPRTNVYRNILQYPEATKVPGVLIVRVDSAIYFSNSNYVKERILRWLMDEEEKVKAACQPTIHFLIVEMSPVTDIDTSGIHALEELHRSLEKKNIQLILANPGPMVMDKLEASKFANLIGDDKIFLTVADAVSSCSPKRVENV >KJB64353 pep chromosome:Graimondii2_0_v6:10:4592194:4593599:1 gene:B456_010G044500 transcript:KJB64353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEEDQRKQENEQPTNNPITESQFLAWKRQKDADTSAKKAEAARKRAEDIAAGTVQMNGRELFLHEPWVFDNSQY >KJB67545 pep chromosome:Graimondii2_0_v6:10:55803719:55803796:1 gene:B456_010G1973001 transcript:KJB67545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVDAGFIKKLTSESGVLRCSRSLIC >KJB67298 pep chromosome:Graimondii2_0_v6:10:53523428:53523895:1 gene:B456_010G184400 transcript:KJB67298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASKQHKNQRPQLRGCKALCCSCRLSVSSLEEAESSDSDRLASVSSLTHAMVQERLDQMINERQVTKHAAKRGQRSEGTKFVVMVAMEKCSYDPREDFRESMVEMIMANRIQQPKDLRSLLNYYVSMNSEEYHGIILEVFHEVCRNLFSYCKSH >KJB68527 pep chromosome:Graimondii2_0_v6:10:61640119:61644324:-1 gene:B456_010G248400 transcript:KJB68527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C2-DOMAIN ABA-RELATED 11 [Source:Projected from Arabidopsis thaliana (AT5G47710) UniProtKB/Swiss-Prot;Acc:Q9FIK8] MGELLGLLKVVVVQGKRLVIRDFKSSDPYVIAKLGDQVAKTKVINSCLNPVWNEELTFSLTEPVGVLNLEVFDKDRFKADDKMGHAYLNLQPLVSAARLSHALRVSSGEMTLRKVVPDTDNCLVRESSISLINGEVVQSVWLRLCAVESGEIELKVRLIETRDGPSR >KJB68528 pep chromosome:Graimondii2_0_v6:10:61640575:61644222:-1 gene:B456_010G248400 transcript:KJB68528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C2-DOMAIN ABA-RELATED 11 [Source:Projected from Arabidopsis thaliana (AT5G47710) UniProtKB/Swiss-Prot;Acc:Q9FIK8] MGELLGLLKVVVVQGKRLVIRDFKSSDPYVIAKLGDQVAKTKVINSCLNPVWNEELTFSLTEPVGVLNLVIFDKDRFKADDKMGHAYLNLQPLVSAARLSHALRVSSGEMTLRKVVPDTDNCLVRESSISLINGEVVQSVWLRLCAVESGEIELKVRLIETRDGPSR >KJB64243 pep chromosome:Graimondii2_0_v6:10:3637795:3639414:-1 gene:B456_010G039100 transcript:KJB64243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQSEFRHWDELLPDVLGLIFSYLSLKELLEVIPCVCKSWSKAVMGPLCWQYIDLFQWSIRWQPHQLDRMLRMLVARSSGSLRSLHVAGLQNESNFSFITENAGSLQVLRLPRSEISGPIVEETAQRLSTITFLDLSHCPKIGAKAIEAIGKHCKFLVTLCRNMHFLDSAGKVEPEDEANAIAGTMPRLKHLELRCHFISTECVLNILSGCPHLEHLDIKGCLEVELDHQFLKDKFPKLKNLLELRLRNVGGPYIGYHEFIDWIYGFSYLRMWVECWLNGEIKLPLSVIECAIPCPSFILQFLLSL >KJB63869 pep chromosome:Graimondii2_0_v6:10:1636429:1637793:-1 gene:B456_010G021000 transcript:KJB63869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTVRIISECFVKPHDVSEESKQPFYLTTWDLVMLSVHYIQRGLLFAKPPPGDYCEQKMINKVLGRLKKSISIALLHFYPLAGRLATKIEQNPKSYLVFVDCNNSPGAKFIHAAVDMSVSDIVSPTYVPLVVQSFFDQDRAVNYDGHTKPLLSIQVTELVDGVFIGCSMNHAVADGTTFCHFINTLSEIFQAQGDNIKISRPPVLERWCPEGNNGPLLTLPFSHQDEFITRLETPHVLERIFHFSAESIAKLKKKANVESNTTEISSFQALSAFVWLSLTKARRFPCETVTACTLAMNNRSRLEPPLSPNYFGNSFQTETVMTTAGELLEHGLGWAAWKLNQVVVNHTDKSVRGFVNDWLRSPFVYQCLTHLYARSVLIGSSPRFNTYGNEFGLGKALTVRSGYDNKFDGKVSLYPGLEGGGSIDMEICLPLSSMKALESDEEFMAVVSSPI >KJB67760 pep chromosome:Graimondii2_0_v6:10:57513161:57514262:-1 gene:B456_010G208700 transcript:KJB67760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRKEFVGSSQAPEEIEREILSRLPVKSLCRFKTVKKSWRNLIGDSTFIAMHLNCYGSNTDGFLVHGCYSYGIQLSRSSFDQPRKFIIGSINGLVCLSDKIRCFLKKDDRLRIHICNPSTREIMELPQSYHDHNDFLSGIGFGFCRKFNDYKVVKVSYRRDSSSSEAQVQVYSSNMNSWKMIKIENPSLWALLPLYRGSGCFNGVFHWQGLKKSSSKKIIKTVVSFQFDEEVFREINLPNDPDFQGDVHFFITEYRDFFSSLVVKQGPNVNVYKVWVMKEYGVPDSWIKQLTIETFSFKIAITDSFGMIPKASKWTANLSYYYSGIVLVARKAWFRCPKKGSTTSF >KJB64552 pep chromosome:Graimondii2_0_v6:10:6165178:6169727:1 gene:B456_010G053800 transcript:KJB64552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSPSLLSLPTLPFFRHEPVSNGSGMLSHNYSNYSTKFHQKTTLCCSVASKPTSPNVLWRRDLLLLALSSSLSTHFPSSGCLAAEDVRMTSLVDEINAYTYSYPVELPSKKFLFKWVESRKPERYSSAAPLSPDARLRIVSERVDIVDNLIISVSIGPPNVQFLKSKDKKTWAAKDVADSVLSDKSALRVTSSQRMSESSVLDAHASEIDGELYWYYEYLVRKSPTKSAQEANLYRHYVASTAEREGYLYSLSASTLSKEWTKMGPLLEQTVASFRLLPPTDNYVPPYKDPWRFW >KJB66635 pep chromosome:Graimondii2_0_v6:10:39851274:39853607:1 gene:B456_010G149000 transcript:KJB66635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HTTLVPSAATLLSALCSLLVPYSATLVLSAATLLSALYFVLPSADGWLKIITNFEKETGKGFSQRQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQVVPEAKKFKTSGIDPEFEGKLDQMFMGIVATGDKAWAPSSGTLHSDFFEDVNNEIPEESEEENMRNDVHISNDVQIDGNGQKRKNPKTSTSHFKTGRKKSSKQIGGAARLSSQIEKLCNAADNMSQVTSSLTPVMDPYGIPQAVKMLDSMSEEVPEASPLYFFALRLLLNKDKRIMFLSINPKIRALWLKMEMEDS >KJB64871 pep chromosome:Graimondii2_0_v6:10:8978630:8981016:-1 gene:B456_010G069000 transcript:KJB64871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIIYIKMLKYNATIRIEPNPKCIRIRNKSCEAVTFLYNQTRTNRTHHQKTALALLLSLFLFSRLTLWKMVALERSLEAAMNARIIGSGSETMVLAHGFGGDQSVWDKVLPCLTKHYQVVLFDWTFSGAVKDPNLFDPLKYSSYDAFADDLIALLDELHLESSLVFVGHSMSGMIGCIASIKRPQLFNRLILVAASPRYINIDDYEGGFDDATIDGIISSIESDYENWTSNFALLVVDNSDPISVNNLAKCLKRMRHEFAVPLAKTVFRSDKRDILDEVTTPCTIIQTTNDIVVPGSVADYMHKKIKGKSTVEIVNTNGHFPQLTAHLEFLAVLAGVLGFEI >KJB68577 pep chromosome:Graimondii2_0_v6:10:61817805:61819070:-1 gene:B456_010G251600 transcript:KJB68577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHASNFLLSSSSSSNQIRAAISIPKLPSVRFSAPKLRQTKTQSDELNSKDGFINTIPIQNNVHSTPLVQQTSSVSMATFQLYAILEAIADRVEMHNNIGEQRENWNTLLLNSINMITLTAATMAGVAAATGVGAGVSVMGLNLASSVMFSAATGMLVLMNKIQPSQLVEEQRNATRLFKQLQSQIKALLAVGSPCQDDVNDAMEKVLALDKAYPLPLLGVMLEKFPASLEPAVWWPTKQSPNSNKALTNNNGWTRELEMEMREVVEVIKRKDSEDYERLGNKALIMNKVLATSGPLLTGIAALGSAFMVSSNSPWAATVAAVAGALASAVNTFEHGGQVGMVFEMYRNNAGFFKLMQESIESTLDECDVEKRENGELFEMKVALQLGRSLSELRDVAKKSSYSRIEGSPMDEFASKLF >KJB66748 pep chromosome:Graimondii2_0_v6:10:43103735:43109093:1 gene:B456_010G155800 transcript:KJB66748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRYFQPSWFKQFSWLEYSHSKDAIFCLCCFLFNSNPSSHFGSIAFIHSGFSNWKKLALVATAREVVEVHQFFKDLSNIVNVAFASSKRLDKLQKSQAAEITHLLRDDGCDELLKNMISFCETWELEFLDMNAQYIVGRSRNKKEDVIKEHHYRLQELKSRFNEHVVELLTLTTALDPKEFFKLFDIDKICIPINNLVESGKSVMYPLVDRLIRLILTLLVSTAFSKCALSAMKIVKTRLRSKIEDDFLRKKFNVNKIIDDFNRIKDRRVQVK >KJB66354 pep chromosome:Graimondii2_0_v6:10:31730281:31731907:-1 gene:B456_010G137400 transcript:KJB66354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTGLPVWMLGSGPDRLRADVNRLLAFLFHQGILDEQFLQLQQLQDESSPNFVSQVVNIYFQESEKLLRNLRSLLMERKLSDYKNIGIHINQLMGSSSSIGAKRVRNVCVAFRAASDQNNRTGCLRVLEVLEHDYCFLKNKLHELFQIEQQRILAGGVGYPMQN >KJB68176 pep chromosome:Graimondii2_0_v6:10:60176442:60177554:1 gene:B456_010G2301002 transcript:KJB68176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRSFHIAMYPWFALGHITPYVHMANKLAERGHKISFFLPAKTQHKVEAFNLHPHLITFIPIVVPHVEGLPLGAETTNDVPFPLHPLIMTAMDLTEPDIEAYLRQLKPHFAFYDFTCWLPALTRRLGIKSVVYCIISSATIGYLLSPARKTLEKGMTGSDLLEPPQGFPSSSIRLRAHEAQALAAVTTMDYGSGLSFVERQLMSLSDCDIIGFKTCREIEGPYGEYIGSQFGKPVIFAGPVVPNPPKIALEKQWEKLLSKFQPKTVIFCAFGSECVLKKDRFQELVLGLELTGLPFLVALKPPMGAETIESALPEGFQERLEGRGILYGGWVPQQLILRHRSVGYFVTHCGSGSLAEAMVSDCQLVLLPH >KJB63722 pep chromosome:Graimondii2_0_v6:10:960576:961499:-1 gene:B456_010G012400 transcript:KJB63722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSLKYWLAEHPSIVNFRWSHTQSFGSTWSFLFAAIAVYIVAVITLHSFLSLILSKSRRIPLGPIPAIHSLSVSLISAVIFVGILYSAAAEIRDTRWFWRRTKTISTPFQWFLCFPLGTRPSGRVFFWSYVFYLSRFLHLFRTFFTILRHRKLTFFHLFNQSILLWMSFLWLEFSQSFQVLAILLATLLYSVVYGYRFWTAIGLPSACFPFVVNCQVVLLACNLISHFGVIFLHFLKGGCNGMGAWGFNSVLNGVILILFLNFYVKMHLRKKNVEDIDGNSNSSSSSSSSSSSSAHGCSRSDIKFE >KJB65412 pep chromosome:Graimondii2_0_v6:10:15307451:15309204:1 gene:B456_010G093700 transcript:KJB65412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPFIINKVLLNKSVHIQRLLSNHHQMLDLLSMRRMWYQQTMFGWFQIKPMTSSRRVQDRSKNKRIHYLEIVKEKWKILSKVLFLMELLKKEKEMVIPLRSLDRYRKQLNLPKPHKITDFIRKCPKLFELYKDQRGTLWCGMTKGAEDLLEEEERLIEEQSTKAVEYVTRILMMSVDKRIQLDKIAHFRRDFGLPIEFRTKWVNQYPQHFRVEKSKDGVEFLELVNWDPAWAITELEKRTLGLNDGIGREPDARELKAGSLEFDKRAVAVMHELLSFTIEKRLVTDHLTHFRRELVMPQKLMRLLLKHFGIFYVSERGKRFSVFLTEAYEGSELIEKCPLVLWKEKVLSLIGYRGKKKEVPTFSDLSDMEERDIIEEKDIIEGDTEMEDTCADFEEEEIMGGLDAVSPTNDDELEISHFCNSYKGTS >KJB65413 pep chromosome:Graimondii2_0_v6:10:15307889:15309221:1 gene:B456_010G093700 transcript:KJB65413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMWYQQTMFGWFQIKPMTSSRRVQDRSKNKRIHYLEIVKEKWKILSKVLFLMELLKKEKEMVIPLRSLDRYRKQLNLPKPHKITDFIRKCPKLFELYKDQRGTLWCGMTKGAEDLLEEEERLIEEQSTKAVEYVTRILMMSVDKRIQLDKIAHFRRDFGLPIEFRTKWVNQYPQHFRVEKSKDGVEFLELVNWDPAWAITELEKRTLGLNDGIGREPGILSLPFPLKFPPNYKKVYRHGGKIEHFQKRSYLSPYADARELKAGSLEFDKRAVAVMHELLSFTIEKRLVTDHLTHFRRELVMPQKLMRLLLKHFGIFYVSERGKRFSVFLTEAYEGSELIEKCPLVLWKEKVLSLIGYRGKKKEVPTFSDLSDMEERDIIEEKDIIEGDTEMEDTCADFEEEEIMGGLDAVSPTNDDELEISHFCNSYKGTS >KJB67834 pep chromosome:Graimondii2_0_v6:10:58026457:58027767:-1 gene:B456_010G213900 transcript:KJB67834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATECKKRAVLVGCNYPNTFKELSGCVNDAKAMRDMILSRFGFDSENVELLTDEPESKYKPTRANIMAALKKMVDAAKEGDVLLFHFSGHGIVDRIDHHQPSNKGEAIVPCDFNPIFDVDLGQLIKQLPSGSSFTMVSDSCHSGGLIDKSKEQIGPHSTLRGIALPVDYKTRGISLGTLYQCLQTVANVIIATQSLFPTRALGNAINTGTGNMEGIGSILTTIFGNNVSLKFLPHDERDIVNLRSLTEDEGILLSGCQANEESSDMGASDKTGGMGFGAFTYTVLKVIRESNDALTNRELVVKVRNEIIKLGCGQQHPCLYCTDENADAAFLGNQPNTTTGA >KJB64296 pep chromosome:Graimondii2_0_v6:10:3877094:3877961:1 gene:B456_010G0415002 transcript:KJB64296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTLLAPLLLCVTLLFSSLNVASDSAAEALAILKWKASLQSQNHSVLLSWNTSNDPYTKTSPCAWFGIHCNHADSVTKINLTGYGVKGTLHSFPFSSLPNLAELDLSINELYGIIPHNISQLSKLTYLDLSYNQFSGQIPPEISHLLHLQTLHLAGNQLNGSIPQELGQLKFLTDLALCSNKLNGCIPASLGKLSRLLSLILFDNSLSGPIPPELGNLRNLVEVYLDTNHLTGPIPSTFGNLKKLTVLQMFNNSLSGPIPSELGNMESLSEISLYHNNLSGLIPTSF >KJB63428 pep chromosome:Graimondii2_0_v6:10:59840705:59841967:-1 gene:B456_010G227100 transcript:KJB63428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSTPQNITDKVEEMADQAQMKKDEMMSQTSMNSQSSDQNASYTSQASNLLQQTGEQMKNMAQGAAEAVKNTLGMNNATNNASNVPDTNHPSNPFSRG >KJB64153 pep chromosome:Graimondii2_0_v6:10:3192765:3199289:1 gene:B456_010G034900 transcript:KJB64153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G58200) UniProtKB/Swiss-Prot;Acc:Q8L7W1] MALVATMQLSNELKVHDSHGCSRQNIGVVEKGRLHLVRTNLSSHAMRQDAWSLHLLGSACRPIRPVYSRSNVILCRSVLIPTGGNEVPILKSASMCLTRAFGNLHGSPIIPQLIPAVAIIAFAAWGLGPLMQLGRVILFHRSNSSWKKSRTHHIMSYYLRPMLLCTGVTLICRALDPVVLPSEASQAVKQRLLHFVRSLSTVLAFSYCLSSLIQQAQKFFLEMNDSNDARNMGFDFAGKAVYTAVWVAAVSLFMELLGFSTQRWVTAGGLGTVLITLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIDGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNISQKTHWRIKNYFAISHLDVNKINNVVADMRKVLAKNPQVEQQRLHRRVFLESIDPQNQALLILVSCFVKTSHIEEYLCVKEAIMLDLLRVISHHRARLATPIRTVQKIYSEPEIEDIPFADTIFRRSGAATNRPLLLIEPDYKINSDDKAKAPTRANEEILTPTSTSDSKGNTNSGSALDSKEDKVMASSTNNSGLSSNESGNSVPVGSVEVNSEKQLSESKGETRKTTSSGRVPVPNPQSANEESEIPLGDSQAKQDVDNKPVPVPSVARPASLEENIVLGVALEGSKLTLPIEEEMSAEIEEMGSHQSGSRSHSVGQDKKDNEKSVVHGGAPNN >KJB64154 pep chromosome:Graimondii2_0_v6:10:3192849:3199203:1 gene:B456_010G034900 transcript:KJB64154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G58200) UniProtKB/Swiss-Prot;Acc:Q8L7W1] MALVATMQLSNELKVHDSHGCSRQNIGVVEKGRLHLVRTNLSSHAMRQDAWSLHLLGSACRPIRPVYSRSNVILCRSVLIPTGGNEVPILKSASMCLTRAFGNLHGSPIIPQLIPAVAIIAFAAWGLGPLMQLGRVILFHRSNSSWKKSRTHHIMSYYLRPMLLCTGVTLICRALDPVVLPSEASQAVKQRLLHFVRSLSTVLAFSYCLSSLIQQAQKFFLEMNDSNDARNMGFDFAGKAVYTAVWVAAVSLFMELLGFSTQRWVTAGGLGTVLITLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIDGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNISQKTHWRIKNYFAISHLDVNKINNVVADMRKVLAKNPQVEQQRLHRRVFLESIDPQNQALLEAIMLDLLRVISHHRARLATPIRTVQKIYSEPEIEDIPFADTIFRRSGAATNRPLLLIEPDYKINSDDKAKAPTRANEEILTPTSTSDSKGNTNSGSALDSKEDKVMASSTNNSGLSSNESGNSVPVGSVEVNSEKQLSESKGETRKTTSSGRVPVPNPQSANEESEIPLGDSQAKQDVDNKPVPVPSVARPASLEENIVLGVALEGSKLTLPIEEEMSAEIEEMGSHQSGSRSHSVGQDKKDNEKSVVHGGAPNN >KJB64155 pep chromosome:Graimondii2_0_v6:10:3194364:3199289:1 gene:B456_010G034900 transcript:KJB64155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G58200) UniProtKB/Swiss-Prot;Acc:Q8L7W1] MQLGRVILFHRSNSSWKKSRTHHIMSYYLRPMLLCTGVTLICRALDPVVLPSEASQAVKQRLLHFVRSLSTVLAFSYCLSSLIQQAQKFFLEMNDSNDARNMGFDFAGKAVYTAVWVAAVSLFMELLGFSTQRWVTAGGLGTVLITLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIDGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNISQKTHWRIKNYFAISHLDVNKINNVVADMRKVLAKNPQVEQQRLHRRVFLESIDPQNQALLILVSCFVKTSHIEEYLCVKEAIMLDLLRVISHHRARLATPIRTVQKIYSEPEIEDIPFADTIFRRSGAATNRPLLLIEPDYKINSDDKAKAPTRANEEILTPTSTSDSKGNTNSGSALDSKEDKVMASSTNNSGLSSNESGNSVPVGSVEVNSEKQLSESKGETRKTTSSGRVPVPNPQSANEESEIPLGDSQAKQDVDNKPVPVPSVARPASLEENIVLGVALEGSKLTLPIEEEMSAEIEEMGSHQSGSRSHSVGQDKKDNEKSVVHGGAPNN >KJB63577 pep chromosome:Graimondii2_0_v6:10:365935:367194:-1 gene:B456_010G006700 transcript:KJB63577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKPIVFMFIFTKLFFSANSKTHPADIKALNALKNGVDPRSITPGSCLSSWDFTIDPCDNIFTDHFTCGLRCDRVISGLVRVTEITIDPVGYSGSLTSVPWDHLRYLNTLDISDNSFSGLVPNEFSNLTRLRRLGLSGNSFAGEIPSVLGSLHQLEELYLDNNRLHGAIPSSFNNLTKLKRLEIQRNCISGEFPDLGSLKNLYFIDVSDNNISGGVPSTLPLSLVELSMRNNKLNGNIPHDIDKVRFLQVLDLSHNMFAGTVPSVLFRHPSLEQLTLSYNEFSSLQVPAVDITLNSNLIALDLSYNKLRGLLPTFMASMPKLTALSLERNEFTGMIPAQYAVKAAVAGNDNTSSFERLLLGGNYLVGPIPGPLLGLKPGSADVSLADNCLYRCPDAFFFCRGRDQKSAVDCKSFGPTIP >KJB66998 pep chromosome:Graimondii2_0_v6:10:49198610:49200980:1 gene:B456_010G169300 transcript:KJB66998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETDESIVGVEEDEEDFRSYCEDEDVWKETEGVVKEEVNEFSVKMFFKGISLAEFGDSFFGFSGIGVVMEGSANSPVIQVQKKLDFYLDESVVDYLALMDGLTAAMQNKIRTVYAFADSPLLYDQIMYKKKLGNPLLLALRERILELAHNLEEFVLKLTPSINLLRPLQLAQVAIGVISSPAKGDKSLENCSICCEDKPTLMMINIKCSHKFCSHCMRTYVYGKLQSSQNGIYCPYRNCSILLDPRECLSAGASSSTQSDNSCVECPVCRRFICVECGVPWHSSTSCEEYQNLPLEERDAADITLHLLAQNKRWKPCQQCTRMIELTQGCYHMTCCNRPVYQGLSLNGNLVGMLKKGVAGKCPFMLRWSLGTFNSLPMIMDAYSDQERSHRALIKRFLAGGFSLSDHHPSPLCTDSYVDSMKDLHQLPWFQ >KJB67019 pep chromosome:Graimondii2_0_v6:10:49488730:49489183:1 gene:B456_010G170300 transcript:KJB67019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHLWRKRGYYRQVRREEGEGVVPKGYVPVMVGRGEEEGAKFFIHIDMFKNDYFVSLLEMIAVEVGYENPGILRIPCHTQCFTHILNQISRINT >KJB63395 pep chromosome:Graimondii2_0_v6:10:1441589:1441936:-1 gene:B456_010G019100 transcript:KJB63395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVTYFDHIANSSSSKPVKLSPFANMPLYVPKSINLSLNEEQLKAVFRKHDVNGDGCLSKDELMKAFRELGSRNPSWRVRRSLHHADGNGDGTISLDELDELVKYVAKQGYGIN >KJB63468 pep chromosome:Graimondii2_0_v6:10:165842:166878:-1 gene:B456_010G003700 transcript:KJB63468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAKSCCTIIHSTMLLLFGLLMATFKITGAEVGVCYGMMAKNLPPEAEVIALFQQKGIQRMRLYAPNHAALQALGGTNIKLLLDVPNPSLEYVAASQANANQWVQDNIKKYSTVSFRYIAVGNEVKPSDSFAQFLFPAMQNIHNAISGAGLGHQIKVSTATFFAALQESYPPSNGNLHPEYQQLLGQVITFLRDSQAPLLVNTYPYFSHIGDPEHVPLDYALFTAPSVVVTDGSLQYQNLFDAMLDSFYSALEKAGGGSLDIVVSETGWPSDGGDTTSQGTPKKPGKAIEAYLFAMFDENEKEPAYEKHWGFFFPNKQEKYSISFH >KJB67942 pep chromosome:Graimondii2_0_v6:10:58774384:58777143:1 gene:B456_010G218800 transcript:KJB67942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKSIKRFLVRNIVEQAAVRDVQEACVYDTYTLPKLYVKMQYCVSCAIHSHVVRVRSRTNRRNRDPPQRFIRRRDDMPKPGQPGQASHGAANPPRA >KJB65240 pep chromosome:Graimondii2_0_v6:10:12968983:12970379:-1 gene:B456_010G085900 transcript:KJB65240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRVSTIKCGSETPLHFSSMLGHLSFLDSHGFSALHLSAAKGYLEIVRNQNGWTPLHLVATKAGFKISARVVTERDETALHLCVGSNRLHSGPYLLVSFKSLIEEIGRDDDNEMVNHKDCEGNTLLHIAVAKKLIEIIKFLLTIPGLDINAMNKNGFTALDTLNKDRHVIAVKWIPSPSNIPKIPKSLVSNKSKKSETNSRMPIRKKEHTNWLSRKRSALMVVSSLIAMVAYQAAIAPPGGALRADETVDEKGNPLKHCRKAGTAVMAYNQGIEYGQFMIFNTPSFHL >KJB68213 pep chromosome:Graimondii2_0_v6:10:60336571:60337335:-1 gene:B456_010G232300 transcript:KJB68213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTVFPSSRQATNGAAAAAKPSFPATKSQLYGATRPLYRPQPKPHRYRRSCCCSCCIWTTVTILILLLLAGVSAAILTLIYSPHRPTFTVSSLKVSTLKITSSSKLITNITLNVNAKNPNKELIYIYDPIAISLTTKNDAVMANGSFGPFVHGTKNNTLLKATISGGSQELDDSSAGELRVALKNKKGLPLKMKLDTKVKAKIGGLKTPKVGIRVICEGIKVTVPNGKSPSKASISNAKCKVDLRVKVWRWTF >KJB63341 pep chromosome:Graimondii2_0_v6:10:6429578:6430899:1 gene:B456_010G056400 transcript:KJB63341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMLPGVECARRRRIHLTGALSDSPTPPPYRFPRKSSFCLYTTNQDTHSCLQQRSILDEACEDEELGGIAREAKKRLDERLRSQPKRQNSDENGMKGELQSKKKIVSWPKLSWKKC >KJB65400 pep chromosome:Graimondii2_0_v6:10:15280509:15281583:1 gene:B456_010G093500 transcript:KJB65400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKKRCHRFHQHQSAEDRVHHHHHQANGPNLNKSHNRTSLHHWCCYYPTCSKTTSPSCLLHDDDSPLALSLPRPTKTMYTLLSLQYCCYSPGFPVPPLWRT >KJB63752 pep chromosome:Graimondii2_0_v6:10:1389849:1391344:1 gene:B456_010G018300 transcript:KJB63752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSHHQQHQQNTKESSSSTTDNQQQQPSTAAAAAAVSSSSSSSPSHEFSFAISLNNNTNVNTDKAKTPPSSSSSSSIAIDLTPADDIFFHGHLLPLHLLSHLSTISPRSSTDSFTTTLPVPTSKSDSNNKSTNHGGNNNTESTRSKKSKSFSLFSFNKRQQKRVVEDDHEEKNNKRKIRFDVSDVLKRYLKMVKPLLFFKGKKRDNELYHVHRQAYSFSGNLSTLRNRKSGDHQCSSAPVSMRTSPTNSGLLVSTSDSTMEELQAAIQAAIAHCKNSIRGEDRLQC >KJB68081 pep chromosome:Graimondii2_0_v6:10:59672554:59674521:-1 gene:B456_010G224300 transcript:KJB68081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g15930 [Source:Projected from Arabidopsis thaliana (AT3G15930) UniProtKB/Swiss-Prot;Acc:Q9LSB8] MASKSLAHFTHHLDPPFSLIEFSKSMDQLKQIHSQAIASGLIETPIFLNKVISFCCMNKFGDMDHALKLFDQIPEPKTVFLWNTMIKGYSRIHSPKHGISMYLNMLRQNVKPDNYTFPFLFKGFDRNVGFSCGKELHCHVVKFGFGSNVFVQNALIHIYSLCGQMKMARWVFDISCKTDVITWNVIITGYNKTKQYNETSKLFDEMVKNGVVPSSVTLVSLLSACSKLRDLKIGELVHEYIGKCEIKPNLILENALMDMYSACGEMDVAIGIFDRMKTRDVISWTTIVSGFINKGEIDLARTYFDQMPEKDYVSWTAMIDGYIRVNCFKEALILFREMQASNIQPDEYTMVSILTACAHLGALQLGEWIKAYIDRNKVKNDVFVGNALIDMYFKCGSVAKAKRVFFEMPRRDKFTWTAMIVGLAINGHGEEALSMFFEMLRASIKPDEITYIGVLCACSHAGLVNEGRKFFASMTAEHGVEPNVAHYGCMVDLLGRAGHLQQACEVIKNMPMKPNEIIWGALLGACRVHKDVGIAKMAAKNILELDPQNGAVYVLLCNIYAYCKKWDSLHELRESMMHRGIRKTPGCSLIEMNGVVHEFVAGDRTHPRSKEIYLKLDNMTRDLKLAGYSPDTSEVFLDIGEEDTSIAKGWCWLPG >KJB68416 pep chromosome:Graimondii2_0_v6:10:61340370:61343161:-1 gene:B456_010G244300 transcript:KJB68416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLPKGESVHIREVWNDNLEEEFALIREIVDTYNYVAMDTEFPGVVLRPVGTFKNINDYNYQTLKDNVDMLKLIQLGLTFSDENGNLPTCGTDSFCIWQFNFREFNLSEDIFASDSIELLRQCGIDFKKNNEKGIDVTRFGELLMSSGVVLNDDVHWVTFHSGYDFGYLLKLLTCRSLPDSQAGFFDLIKIYFPMVYDIKHMMKFCNSLHGGLNKLAELLEVERVGVCHQAGSDSLLTSCTFRKLRDNFFNGSTEKYAGVLYGLGVQNGQNTN >KJB67769 pep chromosome:Graimondii2_0_v6:10:57594237:57598226:-1 gene:B456_010G209800 transcript:KJB67769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQNESASHHKSVQQITHRFHQQHPLVLEAEQSNEGLKADYDGCGELLSAPCFTCIHCNYHLHKQCAEAPPSLPNHPLHPKHSDKGFFLQQRPHNWVYGCALCKEKRNIFFYRCNWCYFSIDIKCAQLSSSFKLSQLSKHDIHQHPLTFIESPMAIDVFKRLNCSWCHEPLTDAIYLCPDCPSFIIHKKCLDKLPTKIDHLTHRLHRLILNRSDSDYFCSLYHFNINVECAWPRSTVEDRSHHQHPFTLLRRQHSFICDACGTEGNYISYICSTCSLIVHKDCTSLPRIVKFSRHDHCIFHKYFLKDLTRQDCKICFKEVRLECGSYSCRKPGCNYVVHVNCVLEDKSLYKVIDEEKQCEELEEKSMQSSIIRVIELNEAGEATKIQHFSHQHCLVLADKMEEEIDRKCDGCMLPISNIFYYCSECPFFLHKTCAEMPRIKQHWFRQSNATLNFDSFIWCAFCNQYFSGFFYRTKEFWNMCLRCAKVADIIECEGHLHFLFFDFKCKEKCNGCGKTNWYGGSFRCGKCRFALDFGCLTLPHSALHKIDEHKLKLTYHDDKEQSYCDICEEYRDPSLWYYSCSICDTSAHPKCVLGQFPFLKDGSILLECCRKCYCALKFFRKFEGYPECFNCRKLCQEEILKCGKSTCSYIVHYKCYRGY >KJB65459 pep chromosome:Graimondii2_0_v6:10:15850039:15850375:-1 gene:B456_010G0956001 transcript:KJB65459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISYYGCLWLVSLLNLVWCFVQ >KJB67120 pep chromosome:Graimondii2_0_v6:10:51391974:51404981:-1 gene:B456_010G175500 transcript:KJB67120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin-associated NEDD8-dissociated protein 1 [Source:Projected from Arabidopsis thaliana (AT2G02560) UniProtKB/Swiss-Prot;Acc:Q8L5Y6] MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADSDLEIKLSNIILQQLDDVAGDVSGLAVKCLAPLVKKVGEPRVVEMTNKLCEKLLNGKDQHRDIASIALKTIISEISTPSLAQSVLISLSPQLIRGITGGGTSTEIKCECLDILCDVLHKFGNLMATDHEILLNALLSQLNSNQASVRKKTVSCIASLSSSLSDDLLAKTTIEVVRNVGSKGTKSELIRTNIQMIGALSRAVGYRFGPHLGDTVPVLINYCTTASENDEELREYSLQALESFLLRCPRDISSYCDEILHLALEYLSYDPNFTDNMEEDTDDENHEEEEEDESANEYTDDEDVSWKVRRAAAKCLAALIVSRPEMLCKLYEEACPKLIDRSKEREENVKMDVFNTFIELLRQTGNVTKGQTDMDELSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHIGTLIPGIEKALNDKSSTSNLKIEALIFTRLVLASHSPSVFHPYIKDLSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNLECFGFDFKPYVHPIYNAIMSRLTNQDQDQEVKECAITCMGLVISTFGDNLGTELHACLPVLVDRMGNEITRLTTVKAFAVIAASPLRIDLSCVLEHVIAELTGFLRKANRALRQATLGTLNSLIVAYGDKIGPSAYEVIIVELSTLISDSDLHMTALALELCCTLMADKRSSRNVGSAVRNRVLPQALTLIKSSLLQGQALLALQNFFAGLVYSENTSFDALLESLLSSAKPSPQSGGVAKQALYSIAQCVAVLCLAAGDQKCSSTVKMLTDILKDDGTTNSHLALLCLGEIGRRKDLSSHAHIETIIIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESEEEGVRNVVAECLGKIALIEPVKLIPALKLRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEIASFLMLIKDQDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTTVKKELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLPVLDSLVDPLQKTINFKPKQDAVKQEVDRNEDMIRSALRAIASLNRISGGDSSMKLKNLMSEISKSPTLWDKYYSIRNE >KJB67118 pep chromosome:Graimondii2_0_v6:10:51391463:51405573:-1 gene:B456_010G175500 transcript:KJB67118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin-associated NEDD8-dissociated protein 1 [Source:Projected from Arabidopsis thaliana (AT2G02560) UniProtKB/Swiss-Prot;Acc:Q8L5Y6] MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADSDLEIKLSNIILQQLDDVAGDVSGLAVKCLAPLVKKVGEPRVVEMTNKLCEKLLNGKDQHRDIASIALKTIISEISTPSLAQSVLISLSPQLIRGITGGGTSTEIKCECLDILCDVLHKFGNLMATDHEILLNALLSQLNSNQASVRKKTVSCIASLSSSLSDDLLAKTTIEVVRNVGSKGTKSELIRTNIQMIGALSRAVGYRFGPHLGDTVPVLINYCTTASENDEELREYSLQALESFLLRCPRDISSYCDEILHLALEYLSYDPNFTDNMEEDTDDENHEEEEEDESANEYTDDEDVSWKVRRAAAKCLAALIVSRPEMLCKLYEEACPKLIDRSKEREENVKMDVFNTFIELLRQTGNVTKGQTDMDELSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHIGTLIPGIEKALNDKSSTSNLKIEALIFTRLVLASHSPSVFHPYIKDLSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNLECFGFDFKPYVHPIYNAIMSRLTNQDQDQEVKECAITCMGLVISTFGDNLGTELHACLPVLVDRMGNEITRLTTVKAFAVIAASPLRIDLSCVLEHVIAELTGFLRKANRALRQATLGTLNSLIVAYGDKIGPSAYEVIIVELSTLISDSDLHMTALALELCCTLMADKRSSRNVGSAVRNRVLPQALTLIKSSLLQGQALLVSPFQFNEVTSAVKSFFVFTGIHCWKFQALQNFFAGLVYSENTSFDALLESLLSSAKPSPQSGGVAKQALYSIAQCVAVLCLAAGDQKCSSTVKMLTDILKDDGTTNSHLALLCLGEIGRRKDLSSHAHIETIIIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESEEEGVRNVVAECLGKIALIEPVKLIPALKLRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEIASFLMLIKDQDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTTVKKELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLPVLDSLVDPLQKTINFKPKQDAVKQEVDRNEDMIRSALRAIASLNRISGGDSSMKLKNLMSEISKSPTLWDKYYSIRNE >KJB67119 pep chromosome:Graimondii2_0_v6:10:51391463:51405654:-1 gene:B456_010G175500 transcript:KJB67119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin-associated NEDD8-dissociated protein 1 [Source:Projected from Arabidopsis thaliana (AT2G02560) UniProtKB/Swiss-Prot;Acc:Q8L5Y6] MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADSDLEIKLSNIILQQLDDVAGDVSGLAVKCLAPLVKKVGEPRVVEMTNKLCEKLLNGKDQHRDIASIALKTIISEISTPSLAQSVLISLSPQLIRGITGGGTSTEIKCECLDILCDVLHKFGNLMATDHEILLNALLSQLNSNQASVRKKTVSCIASLSSSLSDDLLAKTTIEVVRNVGSKGTKSELIRTNIQMIGALSRAVGYRFGPHLGDTVPVLINYCTTASENDEELREYSLQALESFLLRCPRDISSYCDEILHLALEYLSYDPNFTDNMEEDTDDENHEEEEEDESANEYTDDEDVSWKVRRAAAKCLAALIVSRPEMLCKLYEEACPKLIDRSKEREENVKMDVFNTFIELLRQTGNVTKGQTDMDELSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHIGTLIPGIEKALNDKSSTSNLKIEALIFTRLVLASHSPSVFHPYIKDLSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNLECFGFDFKPYVHPIYNAIMSRLTNQDQDQEVKECAITCMGLVISTFGDNLGTELHACLPVLVDRMGNEITRLTTVKAFAVIAASPLRIDLSCVLEHVIAELTGFLRKANRALRQATLGTLNSLIVAYGDKIGPSAYEVIIVELSTLISDSDLHMTALALELCCTLMADKRSSRNVGSAVRNRVLPQALTLIKSSLLQGQALLALQNFFAGLVYSENTSFDALLESLLSSAKPSPQSGGVAKQALYSIAQCVAVLCLAAGDQKCSSTVKMLTDILKDDGTTNSHLALLCLGEIGRRKDLSSHAHIETIIIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESEEEGVRNVVAECLGKIALIEPVKLIPALKLRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEIASFLMLIKDQDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTTVKKELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLPVLDSLVDPLQKTINFKPKQDAVKQEVDRNEDMIRSALRAIASLNRISGGDSSMKLKNLMSEISKSPTLWDKYYSIRNE >KJB67121 pep chromosome:Graimondii2_0_v6:10:51391463:51405654:-1 gene:B456_010G175500 transcript:KJB67121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin-associated NEDD8-dissociated protein 1 [Source:Projected from Arabidopsis thaliana (AT2G02560) UniProtKB/Swiss-Prot;Acc:Q8L5Y6] MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADSDLEIKLSNIILQQLDDVAGDVSGLAVKCLAPLVKKVGEPRVVEMTNKLCEKLLNGKDQHRDIASIALKTIISEISTPSLAQSVLISLSPQLIRGITGGGTSTEIKCECLDILCDVLHKFGNLMATDHEILLNALLSQLNSNQASVRKKTVSCIASLSSSLSDDLLAKTTIEVVRNVGSKGTKSELIRTNIQMIGALSRAVGYRFGPHLGDTVPVLINYCTTASENDEELREYSLQALESFLLRCPRDISSYCDEILHLALEYLSYDPNFTDNMEEDTDDENHEEEEEDESANEYTDDEDVSWKVRRAAAKCLAALIVSRPEMLCKLYEEACPKLIDRSKEREENVKMDVFNTFIELLRQTGNVTKGQTDMDELSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHIGTLIPGIEKALNDKSSTSNLKIEALIFTRLVLASHSPSVFHPYIKDLSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNLECFGFDFKPYVHPIYNAIMSRLTNQDQDQEVKECAITCMGLVISTFGDNLGTELHACLPVLVDRMGNEITRLTTVKAFAVIAASPLRIDLSCVLEHVIAELTGFLRKANRALRQATLGTLNSLIVAYGDKIGPSAYEVIIVELSTLISDSDLHMTALALELCCTLMADKRSSRNVGSAVRNRVLPQALTLIKSSLLQGQALLALQNFFAGLVYSENTSFDALLESLLSSAKPSPQSGGVAKQALYSIAQCVAVLCLAAGDQKCSSTVKMLTDILKDDGTTNSHLALLCLGEIGRRKDLSSHAHIETIIIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESEEEGVRNVVAECLGKIALIEPVKLIPALKLRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEIASFLMLIKDQDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTTVKKELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLPVLDSLVDPLQKTINFKPKQDAVKQEVDRNEDMIRSALRAIASLNRISGGDSSMKLKNLMSEISKSPTLWDKYYSIRNE >KJB68368 pep chromosome:Graimondii2_0_v6:10:61073239:61074246:1 gene:B456_010G241300 transcript:KJB68368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLELEIKFVLIPCFLCFFLCYFLKFSFHANRYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLRELRDHTDPNIVVMLIGNKSDLRHLVAVPTEDGKSFAEKESLYFMETSALEATNVENAFAEVLTQIYHIVSKKAMETADEGTASTVPSKGEKIDVGKDISAMKKGGCCSS >KJB66579 pep chromosome:Graimondii2_0_v6:10:37973671:37974636:-1 gene:B456_010G144500 transcript:KJB66579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFPWKKAKVTRISRLVAGLHQSPKRGGSLVVETGFPTSLIDLFVKNRDRLRKSSKKKSGPQILIPSPPCNEELQSHDMDDGELVIITREGDGEKIGLHLVFKISLMVALAVSTRNLAVWIMMAALLLVVIEFVGTHFLGFSRPQSKTLFFDSWIRKGLKSRADQLVEKQQGTDFPDPCEVIELKDEIRRETAIITCKSERSRSARFKTSLIKKFVPKKLRHEKSKKQGKSNKKKDKESSNQVANEEDESETEGGDQVLQVESEPENVRKRNSGTGYVVLLVMVILAGLLGGRGVALLLSVVCCLILIYIGTHQKTKMTLV >KJB65437 pep chromosome:Graimondii2_0_v6:10:15753772:15754846:1 gene:B456_010G095100 transcript:KJB65437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNMNNWNEMRVRLDKNETIDKSLQEQVMKEKRRWRHGQGYDNGSYMKEKRQGVQKRFLEINPRALYMSCACYSLNLTLSDMTHSCIRAISFFGIVQHIYSLFFGSTKRWKILLDNVLELTVKFLSNTHWESQIKSAKAIRFQTPQKRLASSKLYESCDDAKSKSETESLVNALVSFEFLLSIVIWYEILFAINM >KJB65920 pep chromosome:Graimondii2_0_v6:10:24000804:24001806:1 gene:B456_010G119600 transcript:KJB65920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHQISIASSSTSASLPPTTFVEADANTFKDLVQKLTGFTSDTEKLPVTSLPGRVSSKPCSDHHPTAPRRPPFKLQERRQQHAIRKLEIKLGLTTLRNSPGGSHCVCVCQARGLDSPVPSPVTPLGSEPLFYSSSGTVSPSSPAVSEEEKAIAEKGFYLHPSTLNTHRGNRSPELLTLFPLSSPSQDEKRD >KJB67544 pep chromosome:Graimondii2_0_v6:10:55799685:55800736:1 gene:B456_010G197200 transcript:KJB67544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNIGKDVVKYKVIDPSDDPLSPKLGTLRYGTTMIKGEVWITFKNSMTITLQRPLLLSSFTAIDGRGVDVHITGAGCLLVYQATDIIIHGLRIHHCKAQPPSTVIGPNAKVIPLGQMDGDAIRLVTARKVWIDHNTLNQDKVMLLGHDDGHLRDKNMKVTVIFNHFGPNCNQRIVRHGYAHEANNFYQGWEQYAIGGSMSPSIKSEANFFVAPNDVGNKEVTWRKGEKGLWKFYSVGDVFKNGASLNKQTGVGGAKPKYNQEQNFKVVDAGSVKKLTSESGVLRCSRSLIC >KJB67926 pep chromosome:Graimondii2_0_v6:10:58684236:58685424:1 gene:B456_010G218100 transcript:KJB67926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKHVHQQIAAMRQSFFDEEVLDEFFSQLEQLEDQNNPNFVEEVFTMYFRDSTTLLETVEQAMKTISITFAKMDKILHQLKGSSASVGAKKVLNEINKTRQVLEKGNLEGTKAGIWEMRKEYESLKAKLEPYFQLKQANSVPQEK >KJB68001 pep chromosome:Graimondii2_0_v6:10:59113027:59114646:1 gene:B456_010G221400 transcript:KJB68001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISWPLVVSLLLSISSLATSASNSDSVHEAFVQCLLDNSHPSHPISEAIFTPQSRSYATVLQSYVRNLRFNETYTPKPFLILTALYQSHIQAAIICAKKGNIQMKIRSGGHDYDGLSYVATVPFFVLDMFNLRSIDIDMETETVWVQSGAILGELSYRISELSKTHGFPAGVCPTVGVGGHFTGGGYGNMMRKYGLTVDNIVDAYFIDVNGRIHDRKSMGEDLFWAIRGGGAASFGVVLAYKIKLVRVPEIVTVFRVEKTLEDNATDIVDQWQHVASKLPKELFVRLVIDVVNSSTRTGGSTVRVSFISLFLGDSKTLVSIMNENLPLLGLSQSDCIETSWIRSVLFWTNITIDSPTDVLLNRTPSLSYLKRKSDYVKQPIPKTALEGIWEKMIELQPAQMIFNPYGGRMAEIASTATPFPHRAGNLWKIQYLANWNQGGAETAQRYIELTRKLHRYMTPFVSKNPREAFLNYRDIDLGVNHNDRGSYLEGRVYGIKYFKGNFNRLVHIKTKFDPTNFFRNEQSIPTLPH >KJB67530 pep chromosome:Graimondii2_0_v6:10:55625392:55629577:1 gene:B456_010G195700 transcript:KJB67530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDKNWKFIRLKQGFGVGVLLFLLCQTLVCWSLNEEGLALLSLKDRVVNDPFCSLSNWKEEDGEFDHCSWFGVECSDGKVVVLNLKDLCLEGTLAPELGSLAHIKSIILRNNSFTGIIPQGIRELEELEVLDLGYNNFSEPIPPELGNNLSLTILLLDNNELLNSLSPEIYQLQKLSETQVDENQLSKAAKLSCKQKINTWNVGQSEDAMRRRLLQARPPSINFNNISLSPRPSPRAPTPVSAEPSSNTTFRRDAPVNRSSSPTASPSPSPSPSPSPSPSIPNASAPSPSGNNPTRSGSNPLAAILAGTIGGGVFLLILIAIAYLFKTSKVSTVKPWSTGLSGQLQKAFVTGVPKLKRSELEAACEDFSNVISSSTVGTVYKGTLSSGIEISVAYFPVKFAKDWSKNLEAQFRNKIETLSKVNHKNFVNLVGYCEEDEPFTRMMVFEYAPNGTLFEHLHIKESEHLDWMTRLRIMVGMASCLEHMHQLNPPIPHTNLSSAAVNLTEDYAAKVYDPFSWNEITAAESETDRKNHSDTPSLSRLESNVYSFGVLLFEILTGRMPYLVDDNGSLDDWASDYLRRDQPLMQMVDPTLSSFDADQVEKIGEVIKSCVHPEPQQRPDMREVGARLREITAITPEGAIPKVSPLWWAELEIMSTEAS >KJB67047 pep chromosome:Graimondii2_0_v6:10:49940837:49943817:1 gene:B456_010G171600 transcript:KJB67047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGIFVMKSDMVWFMFAKYYFDFFGLLMPIKSLLDFALFLEVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDMTNQASFERAENWVQELQAQGNPNMVMALAGNKADLLDARKVAAEEAQTYAQENGLFFMETSAKTASNVNELFYEIAKRLPGVQPAQNPAGMVLMNRPSERTATASCCS >KJB66290 pep chromosome:Graimondii2_0_v6:10:50547255:50548198:1 gene:B456_010G173300 transcript:KJB66290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPFIPLLPGSMRSMSVTCSVPFHVPVRTSNPVIKHANNIFMLKHNHVPGKKSSTFQMRSLSNNTVFEDQSQAVICYRDENGEIVCEGYDEGPRFPRQIPGKSYHLRDAEILDLLRHRWLQIVNGDGFGNAIKGVIIVKNDS >KJB66291 pep chromosome:Graimondii2_0_v6:10:50547334:50548163:1 gene:B456_010G173300 transcript:KJB66291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPFIPLLPGSMRSMSVTCSVPFHVPVRTSNPVIKHANNIFMLKHNHVPGKKSSTFQMRSLSNNTVFEDQSQAVICYRDENGEIVCEGYDEGPRFPRQIPEMLRSLIF >KJB63893 pep chromosome:Graimondii2_0_v6:10:1763246:1764955:1 gene:B456_010G022300 transcript:KJB63893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDGNQIHAMFFPYMAPGHMMPMVDMARMFAAFGAKVTIIITTMNALRIKNVIDRDVKLGRDITLEIISLPSTEVGLPEGCENVVSAPTPEMSIKLFHAIELLQPKIKKLFSDLHPDCIVSDSLCPWTLDIANELGIARIAFNGSGFFNLCLLENITLYEPHKSIESETETFVVPGLPHEVKLTRSQLPDIVKAKNKFSELFDKLRESQRKSFGVLMNSFYELEPGYADHCRNVLGIKAWHIGPVSLVNRDTVDKVDRGEKTSISKHDCINWLDCKKPRSVLYICFGSLTRFNKKQTTEIAYALEASGHPFIWVVGKVLKTSNGEFEDEEQELWLPQGFEDKNGQGLLIRGWAPQVLILEHEAIGGFLTHCGWNSILEGIVAGVPFITWPIFAEQFYNEKLVTQVLNLGVSIGNEVWKAWATEDSLVIKSGDDILMTINAVMGDTEEAIDMNKRAKKLGELAKKAVEEGHSSYNDLKRLIEDIKMHRISKSNGVIKNSGHA >KJB65264 pep chromosome:Graimondii2_0_v6:10:13092974:13094143:1 gene:B456_010G086600 transcript:KJB65264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRRESSVIDTTVHQRRRTPSFSSTLLDAIYRSIDESNEPTLCHYRETKTTKKQRSGSSLRRAIMIEDWVEKQSNQDSASHFNSTSTSSDSSNGAIFSSSEAESSYKQKSRRSKPDKKDNQEKAKREEGGGGFNKTKLKALKIYGELKKVKQPISPGGRITNFINSIFNANAKKVKMCSNGVSKDVNFLRKSKSSASSPTSFSRSCLSKTPSSRGSTSKASDYLRSYQRRGIGKLDLRGFIEDYDDEEDDDDDDGLSCSSSDLFELDHLIGIGRYREELPVYETTRLKTNQAIVNGFIV >KJB67762 pep chromosome:Graimondii2_0_v6:10:57526027:57529871:-1 gene:B456_010G208900 transcript:KJB67762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSSSILSKLLFTTINPFRQIPSKKFSSCASCLLESQHFITQQHTEECNNPMSMIKSILSKRGFNINPENLHAVDLNESNLIRILNDLFDESSNSELALHFFKLSEYCIGSSHSNKSVCKMIHILVSGNMNHIAVDFILYLVRVSVSKDVPVDELLKLFYETHSDKTVLRTVYSMLVDCYIREKKADLAFELTCQMKHFDMFPSVGVCNSLLKAMLRLNQLDLAWDFLDRIMRQGIHLNVSIITLFINMYCNKGHLLSAWKLLMDMKNYGIKPDVVAYTIIIDTLCKMSCLGEATSMLFKITRFGVFPDSVLVSSVVEGYCKVGRPMEAMNVIKFFNLKPNIFVYNSFIAKFCAEGNMVKASLIFQEMLELGLLPDCVSYTTIIGGYCRDRDMGRAFQYFGKMLKCGISPSVTTYTLLIDACCKSKDLEMADYLFHKMIMEGLVPDVVTFNTLIDGYGKMGHLHKAFMLVDMMRSAGISPDVTTYNIIIDSLIKRGFTNEAKDILNELVRRGVSPDMVTFTNIIDGLSKKGDFQEAFLVWVYMSECAVKPDVLTCSALLNGYCRERRMTEANALFVRMLDSGLSPDLVLYNTLIHGFCGIGDMDKACNLVEMMIRDGILPNKGTHRAFILGFGKKWVKNPEETAALKLQQLLLQYDIHVDVSDCIDMP >KJB68330 pep chromosome:Graimondii2_0_v6:10:60877646:60881284:1 gene:B456_010G239000 transcript:KJB68330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPQKLGGNENRCFVLNITNYNGIWQGQNPFVEALPIFITQLAAILIVTRFFYHLFKPLHQPRIVSDILGGILLGPSALGKIVYFSDLFPKRNVVTVETLAYMALFLHMFLVGLELDLTAVGRISKKAKILTISGLLFPFVVGVAFFYSWLESFDQYRIQVHNIGCGFLWAASLTVTSFPAIGRILCDLKLLNSEIGRLTMPIALISDLGSWILVVILIPFCDNPANALYVILVTIVYVVASFYLIRPFLGWMVHFTSDGNNNYSDCYLCFALVGVVLSAFTTDITGTHPIVGSFVFGLIMPNDIALVLMDRFGYFISGLLMPVFFSVAGLRVDIFKITKWSLVFVVVPLLFTVKILSFLPISLFTNIHSKDSFALGLLMTTKGIWAILVCITALDKGVLHAGDYAVTVISILLMNSIIAPTIATLYKRTNLFIKYNSRTIQEARNKTELRILVCIHSYCNVPGILKLLEISHGSRHNQLTVFALHLVDLADQPSSVLIVHDSHSPRFEETKANGAHHDYDSSETDQIVTAFNEFEKTNDNVSVQSLTTLSPFTAMHGDICSLAEDKFVALLILPFHKHATTEQSLEEIISSFPNINQNVLDNAPCSVGIFIDRGFEVTNGSDPDYGVHEIAMVFIGGVDDCEALSYAWRMARKPGVLLTVIRLLETDSRDQRKSNELDDDCINDFRIQTSNDDFIIYEEKIVNDGEELIEALKEMENKFELFIVGRRDGLDSPITSELLDRIDYLELGIIGDLLAASDSDTSSILVVQQFVNLIDNKVIEDLIGTQWLSMDRTAMNFGSRRLLMATSNTLWMYSEQKLKEMHHVDEGDVDDGDGVNP >KJB63763 pep chromosome:Graimondii2_0_v6:10:1133766:1140314:-1 gene:B456_010G015000 transcript:KJB63763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIWRWIISIPLTATSTAAIIAFPLLFLFSFLWISRRNTNSKKTAPEAGGAWPIIGHLRLLGGSQPPHISLANMADKYGRIFSIKLGVHRALVVSNWEIAKECLTINDKAFASRPMLACSEIMGYNGAMIGFVPYGPYWRQVRKIVTIELLSNHRLELLKPVMESEIKTSLQQLYQLWNKKKSTNSDKVLVEMKGWFKEVTLNVIMRMIVGKRLPNSSEGGENLKWKKYLDDFFELSGKFLISDALPFLRWLNIGGDMKCMKKTAKELDQVVQGWLREHKQKRAENKANSEEDFMGVMLSILSDAEELHVDTSNKAISLAIIIAAEDTTSVTLTWALSLLLNNRDTLSKVQQELDVKVGKDRLVVTESDTKSLVYLQSIIKETLRLYPALPLSLIHEAIEDCTVNGYHVSAGTWLIINLHKIHRDPLVWANPSKFQPERFMTTHKDVDVRGQNLELIPFGSGRRMCPGLSFALQNLSLILANVLHWFEFETSFDEAVDMREAPGLTSSKATPLEVHITPPTSAVAIIAFPLLFLFSFLWISRRNTNSKKTAPEAGGAWPIIGHLRLLGGSQPPHISLANMADKYGRIFSIKLGVHRALVVSDWEIAKECLTVNDKEFASRPKLASSEILGNNRAMLGFAPYGPYWRQIRKVAAIELLSNHRLELLKHVRESEVKTSLQHANSDKVLVEMRGWFKEVTLNVIMWMIVGKRIPNSSEGGENLKWRKSMDDFFVLSGKFLISDALPFLRFLDIGGDIKFMKKTAKELDQVVQGWLQEHKQKRAENKANGEEDFMGVMLSILSEAEEHHADTINKINCLTSDTKNLVYLQSIIKETLRLYPPGPLSVIHEATEDCTVNGYHVSAGTWLIMNLHKIHHDPLIWVNPFEFQPERFITTHKDIDVRGQNFELVPFGSGRRMCPGVSFALQVLQLTLANVLHWFEFETPSGIAVDMREGLGIKSSKATPLEVHITPRLPAFVYNSTNSIRAYPG >KJB66159 pep chromosome:Graimondii2_0_v6:10:28224916:28227218:1 gene:B456_010G130100 transcript:KJB66159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCKLGCVPWLLGILFLSSLELLCMADVHYYDFIVRESNFTRLCTTKSMLVVNDSYPGPEIRVHRGDTVFVNVHNHGSYGFTIHWHGVKQPRNPWSDGPEFVTQCPIQPGTNFTYQVILSDEIGTLWWHAHSDWTRGSVHGAFVILPAPHETYPFHPPDADQTIILESWYNDDYLELIRNSTLDGQAVGIPNAYAINGHLGDTYDCNDTIFRMEVNYQDTYLLRIINAAMNEEKFFSIANHTLIVVAQDASYVTRFATDYIMITPGQTMDVLVHANQNIGQYYMVMRNFHDSAASSNNNLTTAIFQYKNSVGGPRNNASLVSLPEPDDSNATSSFLSRIRNLRVRQNPPLRVPRAIHRRVYIAISTNSIPCTNDSVCITEERFVAALNNVSFVFPVIDILQAYYKRSINGVFTKDFPLEPPEYYNFTGDLTILNRNVTMGTKVVMLNYGEAVEIVFQATQFGAGGSHPFHLHGFSFYRVGSGSGNFNNVTDPKSYNLVDPPLINTVHVPASGWVALRFFANNPGVWFSHCHFERHSSWGMDTVFIVKNGGTKATSIRPPPASGMPVCSGA >KJB64832 pep chromosome:Graimondii2_0_v6:10:8635078:8637699:1 gene:B456_010G067200 transcript:KJB64832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPLIGQSVRRKRIGLALTIWLQICRIAGWFLLTLGAIHSLHTYRPRIRSYILDFYAKRDYVKRLIYASDETCIEQVRMNRFSFFKLCEMLQTLGGLNSSRNILVDEQVTMFLHIISHHLKNRVIKHHFNRSGETVSRSFHSVLNAVIRLQDVLFKKAEPITVNTSDTRWKWFKNCLGALDGTHIKIRVPTVDKPRYRTRKGDIATNMLGVCTPDMQFVYVLPGWKGSVADGRVLRDAISRRHGLKVPHGVSESNVSSQASRGTKRKWVPEEDAALVSCMVDLHNVGTFIADTGFKAGYLNELEKMLEKALPNAMLKARPNIESMIRLLKRDWSIVYDMLNVQNNSSFGWDEHRQLVVVEDAVWNSYLNSHKEAGQFRHRSFPYYNQLTAIYARDRVTGKDAQTAADVIEEINVQDVPTTNINEERNEFYDCEADVSLDDMDVSATEPQTDRNQGGSTSSKKKKKNSDANDHISSSFHDAATLLAENMRAIGEQISRSIASDVVVQQK >KJB67378 pep chromosome:Graimondii2_0_v6:10:53919238:53921713:1 gene:B456_010G187700 transcript:KJB67378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKSGFLILFLSLIFLPLIFSDARKEAPLGGWRPIKDTKDPHVMEIAEFAVEEYNKQSNGSLKLDKVVKGETQVVAGTNYRLILQAKKGAVDNTYQAVVWEKLWLNLRNLTSFNLVKG >KJB67285 pep chromosome:Graimondii2_0_v6:10:53289761:53292554:-1 gene:B456_010G183600 transcript:KJB67285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRPGVSAPKLKAGKKDLHSYTIRGTNKIVRVGDCVLMRPSDIGNPPYVARVEKIESDNRNNVKVRVRWYYRPEESLGGRRQFHGAKELFLSDHHDVQSAQTVEGKCIVHSFKNYTKLENVGAEDYYWRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVGMTIEEAKMLEHFVCFECSEDDFKQFQNGFHASPVSDAKVESKRHKR >KJB64179 pep chromosome:Graimondii2_0_v6:10:3287947:3290513:1 gene:B456_010G035800 transcript:KJB64179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDCAVSSLLCAEENDSVFYDNEYSGWVGGGEVERFEGETWDHHRYYRNLNQNRVFNGLDEHGLPLQSDECIALMVEKEHHHLSSVDYLKRLQNGDLDLEARKQAMDWIGMVHAHFGFGPLCEYLSINYLDRFLSAYELPKGKAWMMQLLTVACLSLAAKMEETEVPLILDLQVGESKFVFEAKTIQRMELLVLSTLNWRMQAITPFSFIDYFLYKLNDDDKRIPLRSLILRSIQLISSTIKGIDFLEFKPSEIAAAVALFVVVEANTVDTEKAISILTHHERVMKCVELLHEVSMVKLSNASLPQSPIGVLDAAACLSYKTDDTTSIGSFANSSPSSVHTSPNSKRRKLNRPCEVEL >KJB64177 pep chromosome:Graimondii2_0_v6:10:3287947:3289843:1 gene:B456_010G035800 transcript:KJB64177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDCAVSSLLCAEENDSVFYDNEYSGWVGGGEVERFEGETWDHHRYYRNLNQNRVFNGLDEHGLPLQSDECIALMVEKEHHHLSSVDYLKRLQNGDLDLEARKQAMDWIGMVHAHFGFGPLCEYLSINYLDRFLSAYELPKGKAWMMQLLTVACLSLAAKMEETEVPLILDLQVGESKFVFEAKTIQRMELLVLSTLNWRMQAITPFSFIDYFLYKLNDDDKRIPLRSLILRSIQLISSTIKGPFSQFLTLLSFWLFKDRT >KJB64178 pep chromosome:Graimondii2_0_v6:10:3287947:3290513:1 gene:B456_010G035800 transcript:KJB64178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDCAVSSLLCAEENDSVFYDNEYSGWVGGGEVERFEGETWDHHRYYRNLNQNRVFNGLDEHGLPLQSDECIALMVEKEHHHLSSVDYLKRLQNGDLDLEARKQAMDWIGMVHAHFGFGPLCEYLSINYLDRFLSAYELPGKAWMMQLLTVACLSLAAKMEETEVPLILDLQVGESKFVFEAKTIQRMELLVLSTLNWRMQAITPFSFIDYFLYKLNDDDKRIPLRSLILRSIQLISSTIKGIDFLEFKPSEIAAAVALFVVVEANTVDTEKAISILTHHVLKERVMKCVELLHEVSMVKLSNASLPQSPIGVLDAAACLSYKTDDTTSIGSFANSSPSSVHTSPNSKRRKLNRPCEVEL >KJB64176 pep chromosome:Graimondii2_0_v6:10:3287878:3290892:1 gene:B456_010G035800 transcript:KJB64176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDCAVSSLLCAEENDSVFYDNEYSGWVGGGEVERFEGETWDHHRYYRNLNQNRVFNGLDEHGLPLQSDECIALMVEKEHHHLSSVDYLKRLQNGDLDLEARKQAMDWIGMVHAHFGFGPLCEYLSINYLDRFLSAYELPKGKAWMMQLLTVACLSLAAKMEETEVPLILDLQVGESKFVFEAKTIQRMELLVLSTLNWRMQAITPFSFIDYFLYKLNDDDKRIPLRSLILRSIQLISSTIKGIDFLEFKPSEIAAAVALFVVVEANTVDTEKAISILTHHVLKERVMKCVELLHEVSMVKLSNASLPQSPIGVLDAAACLSYKTDDTTSIGSFANSSPSSVHTSPNSKRRKLNRPCEVEL >KJB65026 pep chromosome:Graimondii2_0_v6:10:11059500:11063672:1 gene:B456_010G076700 transcript:KJB65026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPREEKEEQRVRRVNSLPEPDLTSTSSSVSSILSWEDSRSTTSSGDISGTSGSSGEILTAEAVIPRLLEPAPACGELDVTVTATEKCVGRNNKGVTWGFTSVIGRRREMEDAISVIPAFMSHKCDHVGGCTAPGSKTSADISPIHFFGVYDGHGGSQVAKFCAERMHGVIAEEWGREVVDNSGWQRRWEVAFSSSFERADNEVHTAAVAPEMVGSTAVVAVLSSCQIITSNCGDSRAVLCRGTDTIPLTVDQKVIGI >KJB65025 pep chromosome:Graimondii2_0_v6:10:11059420:11063792:1 gene:B456_010G076700 transcript:KJB65025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPREEKEEQRVRRVNSLPEPDLTSTSSSVSSILSWEDSRSTTSSGDISGTSGSSGEILTAEAVIPRLLEPAPACGELDVTVTATEKCVGRNNKGVTWGFTSVIGRRREMEDAISVIPAFMSHKCDHVGGCTAPGSKTSADISPIHFFGVYDGHGGSQVAKFCAERMHGVIAEEWGREVVDNSGWQRRWEVAFSSSFERADNEVHTAAVAPEMVGSTAVVAVLSSCQIITSNCGDSRAVLCRGTDTIPLTVDQKPDREDELMRIERGGGRVINWNGARVFGVLAMSRAIGDRYLRPWIIPVPEITFMTRNSEDECLILASDGLWDVMSNQEAGEIARCLLRRRRRYLMADGVSAAQVVADNLTEIAMGRNSSDNISIIVVDLKPKRKRQPRQ >KJB66922 pep chromosome:Graimondii2_0_v6:10:47888182:47890911:-1 gene:B456_010G165900 transcript:KJB66922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISDHMLRSFTITALAYVFLLPLVSCSSHGEIISNHTSIKENLHKTSPQMTSYIAVHGFILWVSMGFLMPVGILTIRMANKEEGGRRVKVLFYLHAIFQTLAVLLVTVGAVMSIKNFENSFNNHHQRLGLALYVAIWMQALIGIFRPPRGNKRRSKWYLTHWILGTVISMVGIINIYTGLEAYHKKTSKSTGIWTILLTAQVSFIALFYLFQDKWEHIQKQASHPPLPSDQENVIVVTQRVNQKVMLPQPCAKRNALTNLFD >KJB66756 pep chromosome:Graimondii2_0_v6:10:43736254:43737001:-1 gene:B456_010G156600 transcript:KJB66756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHRAKKVRKESMVEVSQSQNMDHHLPLNENDSQDMFIFQVLDEANAHHALPLNNYCHQFQFQVTATNQTTLEPARNSPKKHYRGVRRRPWGKYAAEIRDSTRQGARMWLGTFETAEAAALAYDKAAYRMRGSKALLNFPAEVSVEPSSVQRLRPNVSSKRLEETTCGSGSSSTVISFGASISESESKTTGSPLIKDQNRIT >KJB64864 pep chromosome:Graimondii2_0_v6:10:8887155:8890411:-1 gene:B456_010G068400 transcript:KJB64864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQILIKLPRKLQKSDLLDSSGSDSGNHTSNSGNGVQCTNIGNSISSRLSVVKRVSSAVFPASIMVGVEAVEPYLSFTDVSILQKQSLFISKLNLCCEVSDFSDPDKTSAEQDLKHQTLIELVDFVSTGSAKFNEPAIAAMCKMCAVNLFRVFPPKYRSNSISGEVEDEEPMFDPSWSNLQLVYDLLLGFITYSSLDAKVAKKYVDHSFISRLLDLFDSEDPRERDCLKTILHRIYAKLMVHRPFVRKAVSNIMYRFVFETERHNGIAELLEIFGSIISGFAVPLKEEHKMFLWKSLIPLHKLKLVGVYHQQLTFCVVQFIDKDPNLADSVIKGLLKYWPVTNSQKELMFISELEEVLEMTSMAEFLKIMVPLFRRIAFCLNSSHYQVAERTHLLWNNEHILNLVTHNRQVIFPLIFPALERNSENHWNQAVLNLTQSIKKMLCEMDEELVLACRQKMEEENSQSSEATEKRKLIWERLETAANVIPPAACPVAC >KJB68148 pep chromosome:Graimondii2_0_v6:10:60009226:60012215:-1 gene:B456_010G228300 transcript:KJB68148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FTSLKRLVLLYCKVNKNLAQQDVSEFTNLQELDINGCNLKGSLPVFFSNLTSLKILTLLESLDLSSNQFYGNISALENLTSLQLLDISNNKFHILSSLRPLFNLSKLKYLYADNNTIHADDHEMSNSSAPRFQLSSISVSCCRSVGSFPQFLYHQSELQDVTLSDIYFKVDRFPFWLVENNTELRSQYLVNSSLSGPFQVPSHNIPVRMGAHLPFLGHLNMSKNCSNGGIPSSFGDMSSLQVLDLSNNQLPGEIPEQMAMGCSSLQVLALSNNTLQGSIFSRNFNLTSLSELELNGNNFTGIIPNVLANCSDLYTLDLSNNFIFGEVLSWIWNKSRPEALDVSRNQLFGRLPQWRGNASNLAQVSMADNRLKGSIPRAICRNIPTEFAVLHFLEYFNMSYNNLSRKTPERIGQLGAFDKSSYVGNPFLCGSLVGKNCSPITTPLTPKASSGIKEDHGFIDIDAFYASFSHVT >KJB65936 pep chromosome:Graimondii2_0_v6:10:24503137:24509026:-1 gene:B456_010G121000 transcript:KJB65936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKTEKLVRFNNNGTARTEKPPLPVFKATVPLLKTEGVSKYGKSKVLFPEDHKPWRVRILDPGTEIYLQWNRIFLFWCLVALFVDPLFFYLPSLIKKDTTSCLDTDLNLGIVVTCFRTLADAFYVLHIFIKFRTAYVSPTSRVFGRGELVTHPDLIAKRYLRSDFFIDLVAALPLPQIVIWFIIPAIRSSHADYTNNALVLIVLLQYVPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSFERHASCLKTECRNETSPLRCDPRYLDCGTLNDAGRHKWENSTVVFGKCDPNNDISFDYGIFENALTKKVFSSGFHRKYFYCLWWGLQNLSSYGQNLDTSTFMGETLFAILIAILGLVLFAHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMRHRQLPQDLRERVQRFVQYKWLATRGVDEESILRALPPDLRRDIQRHLCLDLVRRVPFFSEMDDQLLDAICERLVSSLSTQGTYIVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSINLRPGDFCGEELLSWALLPKSSLNLPSSTRTVRALVEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRYHSYHWRTWGACFIQAAWRRHKKRTVMRSLSTTESFSCPPDEQAANETEQKKEHNLASSNSSQVKQNLGVTILASRFAANTRRGAQKVKDVEMPKLQKPDEPDFSAEPDDE >KJB68542 pep chromosome:Graimondii2_0_v6:10:61714816:61718816:1 gene:B456_010G249400 transcript:KJB68542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSQKHQTRVNIHRLYHQPVKDVDQFCLPHIQILENNACSDIGSQGPSVSYQAYNDQIFTLESSTAAASIVAYDSPSAISVSSSRSPFSPQGSQSWMSDPHHSPDNTHGSPFSGSSVVDDSNGLKHKLRELEVSLLGPESDIIDSCNCCFTSGAHQAASMAGLNHEQLVDMIPRLDLKEVLIACGQALYDNDKSRVAGLMHVLEKMVSVSGEPLQRLGAYVLEGLRARLESSGSNIYKALKCQEPTSSELMSYMHILFRICPYWKFAYTSANAVIKEVMEYEQRIHIIDFQIAQGTQWMYLIAALSKRPGGPPFIRVTGIDDSQSNHARGGGLSIVGQKLSEFAKSYKVPFEFHDTSVSTSEIQVQNLNIRPGEALAVNFPYVLHHMPDESVTISNHRDRLLRLVKSLSPKVVTLVEQESNTNTSPFFSRYIETLNYYTAMFESIDVACPRDDKQRISAEQHCVARDIVNMIACEGQERVERHELLGKWRSRFMMAGFSPYPLSSLVTSAVRDMLKEYNNNYRVVEREGSLYLGWINRAMATSSAWR >KJB68598 pep chromosome:Graimondii2_0_v6:10:61934535:61939550:-1 gene:B456_010G253800 transcript:KJB68598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKNKTPFFVSSLIFLLCCLARFCYGTDIIRQGKTMKDGDKLVSSDGVFELGFFSPENSRFRYVGIWYKVDMEAVVWVANRDKPMVNTNGVLRIGIDGNLVVLDGDRNFVWSSGVPGILSNTSSARLQKNGNLELLNNDTSEVIWESFHHPTDTFLPGMKLPVSISMGEVRFFTSWRSAIDPSCGNYSAGIIPNGGPQIVIWDQSGRRRWRSGQWNAVIFTGVSNMSNTASFLYGFKLSEPDENGTRYFTYNPSNSSALSRFRIGYDGTERHLRWDGKKWTVLLSQPGTKCDLYNHCGNYATCDNFVSSSTCNCLEGFRPKFEDQWSKGNWSGGCERRTELECQRGKPDGFKRMKCMKLPDFSNILVPVKSRDDCRQSCLGNCSCTAYAYVYGIGCMIWVGDLVDVQHIDQSGTIQFFYRLNHSDLDDKKKISNGVIVIISMVGACFLVAFLWLLWRYKKKLKVSSMVCCKDKDAVVFNEFSTEFSADFSGPSDILIDGNQVNRPELPIFNFSTVAAATNNFCEENKLGQGGFGAVYKGELPGGQEIAVKRLSRQSGQGLEEFKNEIILLAKLQHRNLVRLLGCCIQGEEKMLIYEYMPNKSLDNFLFAKQAVLDWRTRVGIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDAEMNPKISDFGMARIFGGNQIEANTVRVVGTYGYMSPEYAMEGLFSIKSDVYSIGVLLLEIVSGRRNTSFRSSEYTSLIAYAWQLWEDDKAMYIVDPLIQESCCPKEALKCIHIGMLCVQDSAMYRPTMATVVLMLESEAPTLPKARQPTYRSFRRSIDEQPIPNGQEIVSSNDVTITTIAGR >KJB64375 pep chromosome:Graimondii2_0_v6:10:4985516:4986785:-1 gene:B456_010G046300 transcript:KJB64375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEESREVETQGQYINGMCSDHHVSQDFISGIMKIVPSDLDNDADYCLLSDPSVSITDIWRTESGIELNNFDTLQYTGTAAGSTTQPQTPPLNAAEIPPPGNSTGR >KJB64376 pep chromosome:Graimondii2_0_v6:10:4985966:4986419:-1 gene:B456_010G046300 transcript:KJB64376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEESREVETQGQYINGMCSDHHVSQDFISGIMKIVPSDLDNDADYCLLSDPSVSITDIWRTECIYPLYGDTLRYIKLFSLAHYFAYSRLFRLYSWHRIEQF >KJB65719 pep chromosome:Graimondii2_0_v6:10:20821358:20825090:-1 gene:B456_010G110300 transcript:KJB65719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILGDALRQAFMPKHEYESLREEDKAWTKLQRPILIGSIVIICVAIFVCMIISLKIVFPGDDLKRPFCDDRRLQPLPLNPKGGGVGDPDLFPGAFYLTDQETVDYYWMVVFIPSMIIFLASAAYLVAGIVVAYSAPTRHGCLKVVENNYCASKRGGVRCLSILNAVFALIFGLLALFLGSSLLTLGSSCSLPLFWCYEIASWGLVILYGGTAIFLRRRAAIILDEGEFGSRTLGLEMLEANPLEVTPDVERRVNEGFKAWMGSSLLSSDEEDEPESYHEVPHVTRTSSNRQRV >KJB67376 pep chromosome:Graimondii2_0_v6:10:53907137:53908207:1 gene:B456_010G1876001 transcript:KJB67376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELYHELHALDRFEKDYRRKLQEEDNSTAVQRGDSLLDCDKIFVPIHKDIHCCLRNTCPILSWIFPCSCRNTCPIFV >KJB67843 pep chromosome:Graimondii2_0_v6:10:58138445:58141294:-1 gene:B456_010G214600 transcript:KJB67843 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR22 [Source:Projected from Arabidopsis thaliana (AT1G11290) UniProtKB/TrEMBL;Acc:A0A178WFR8] MSSQLISSSPTITEIPTPKLHSRSHTLSQRTQIPLHVYKHPAAILLELSTSIKEVYQILPHIIKSNLYTEHLFQTKLLSLFCNHGCIAEAARVFEPIQDKPEVLYYTLLKGYIGIGRLVFDGMKRRTMVSWNSMIDGYVRSGYAEEAMAIFEKMLDEGVEPTDVTIMGAARACADLGDLDRGMFVHKLSDKLKLGTNVSVMNSLISMYSKCKRVDLAVDIFKKLRGKTLVSWNAMILGFAQNGRVNDALNYFYEMHSRNMRPDTFTMVSVIPALAELSVTRQAKWIHGFCIRSCLDDDIFVMTALVDMYAKCGAIHTARKLFDRMNERHVTTWNAMIDGYGTHGLGKAALELFNEMQKGVMKPNDVTFLSVLSACSHAGMVEEGLSYFTSMKRDYGIEPGMDHYGAMVDLLGRAGRLNEAWNFIRKMPIEPGINVYGAMLGACKIHKNVDLGEKAANKLFALNPDEGGYHVLLANIYATASMWGEVAKVRTTMKKKGLQKTPGCSVVELRNEVHSFYSGTTNHPQSKRIYAFLEELGNKIKVAGYAPDTSSIHDVEDDVKEQLNSTHSERLAIAFGLLNTSQGTPIHIRKNLRVCGDCHTATKYISLVTGREIIVRDMQRFHHFKNGTCSCGDYW >KJB64049 pep chromosome:Graimondii2_0_v6:10:2603052:2606105:-1 gene:B456_010G030500 transcript:KJB64049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAVFLFLMLLSFMFYSSKAIRHNQWQFFSIMKASLSGNPLSDWEVNEGEGYCNFTGVSCNNEGYVESMNFSGWSLTGNFPADVCSYLPELRVLDISRNNFRGNFLNGVVNCSVLEVFNMSSVYLNATFPDLSKTTSLRILDLSYNRFRGDFSMSITNLTNLEVLYINENDGLNLWQLPTNISLLTKLRIMVLTTCKLYGRIPASIGNMTSLVDLELSGNFLSGQIPKELGLLKNLQQLELYYNQHLSGTIPAELGNLIELRDLDMSVNRLRGSIPTSICRLPKLQVLQLYNNSLTGEIPGVIANSTTLTTLSLYGNFLSGQVPQNLGQLSPMVILDLSENQLSGSLPTEVCRGGKLLYLLVLDNKLSGKLPDSYADCESLVRFRVSNNYLEGPIPEGLLGLPHVSIIDLADNNFTGHFPGSIGNARNLSELFMQNNKVSGAIPRKISRAINMVKIDLSNNLLSGSIPTEIGNLKKLNLLVLQGNKLSSSIPNSLSLLKSLNVLDLSSNCLTGNIPESLSELLPNFINLSNNELSGPIPLSLIEGGLMESFSGNPGLCVTVHIRNFPICSSHAYNHKKQNSMWAIIISVIVITIAALLILKRCFSNQRAAMEHDETLSSLFCSYDMKSFHKTCFDLHDILEAMVDKNIVGHGGSGTVYRIELRSGDVVAVKKLWSRTTKDSTSEDQLIIKKCLNTEVETLGNIRHKNIVKLYSYFSNFDCHLLVYDYMPNGNLWDALHKGWFHLDWPNRHQIALGVAQGLAYLHHDLLPPIVHRDIKSTNILLDINYQPKVADFGIAKVLKDSTSTIIAGTYGYLAPEYAYSNKATTKCDVYSFGVVLMELITGKKPVDADFGEYKNIVYWVTTKLDTKEGVMEVIDKNLLGSFKDEMIQVLRIAMCCTCKNPSQRPTMNEVVQLLIQTDPCLTDPYKFSTKTRDASNVTENQPEEVES >KJB66849 pep chromosome:Graimondii2_0_v6:10:45753051:45754507:-1 gene:B456_010G160700 transcript:KJB66849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEIMNLFDSCWFEMEILKKQTSQSTSTSFEPNPDRKTEGNSSKPELKRTQSTLHSRSMSDQLSLNRTSFVSSYDSFSPDSVLHSPNLHKTISGKEIAEEELKETCSNMRITRRKKGRRSKSLSELEFEELKGFMDLGFVFSEEDNKDSRLVEIIPGLQRLRRKESQEEDTQEADDDDDDEVSRPYLSEAWKVSERRKTNPLMNWRVPDLGNEIDMKDSLRWWAHTVASTVR >KJB67800 pep chromosome:Graimondii2_0_v6:10:57816477:57818525:1 gene:B456_010G211900 transcript:KJB67800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGESESENESVSENVNEIQHPCHPQHPLVLVAEQSNEGLKAHCDGCGELLSVPCFTCIHCNYHLHKQCAEAPLEIPNHPLHPEHSGVGLFLRQRPHVNDDLVYGCALCKEKRNMFFYECHWCYFSIDIKCAQLSSSFKFSQLSKHDIHKHPLTFIESPMAIDVFKRFSCSWCHEPLTDAIYLCPDCPFIIHKKCIDELPTEIDHLTHRLHPLILNRSDSDYLCNPCQKQHSGPFYSCSLCHFNINVECAWPRSTVEDRNRHQHPFTLLSRQDSFNCDACGTEGNYISYICSTCSLMVHKDCTSLPRIIKHDCKICFNEVKLDRESYSCRKPGCYYVVHVNCVLEHRSLYKVIEEEKQCEELEEKSMQSAIIRIIEVNEAGEATKIEHFSHQHCLVLADKMEEEIDRKCDGCMLPVSNIFYYCSECPFFLHKTCAELPISKQHWFHQSNATLNFHTFTKCYFCSQYSSGFFYRIRGYMDMCLRCAKVADIIECEGHQHFLFFDFKCEEKCNGCGNRCWNGAFRCGKCRFALDFGCLTLPHSALHKIDEHKLKLTYHDDKEQSYCDICEQYRDPRLWYYSCSICDTSAHPECVLGKFPFLNDGVTFASYGYHNHHHDLNFFREVEGFPECSYCGKLCQEEILKCENSTCNFIVHYKCRRGH >KJB66938 pep chromosome:Graimondii2_0_v6:10:48420417:48422686:1 gene:B456_010G166900 transcript:KJB66938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYIASLSLHQNPPTSKHKAEETPSLKTTINTSSNHKSSLVKPKMATSMGNWAKTPISRPISSNSSLGFGFSHTSISWKKRNPYRRSSNTIRSALHSPSVLHFPKQPYQTPLLPSQLHDTSVHSKPKQQPQWSPLQRAASMALDMAENALLSRDLHHPLPKTADPRVQISGNFAPVPEQPAKQSLSVAGAIPSYINGVYLRNGANPLFEPVAGHHLFDGDGMIHAVSIDNGKASYACRFTETQRLRQEKELGRPIFPKAIGELHGHSGIARLLLFYARGLFGLVDHTKGTGVANAGLVYFNNRLLAMSEDDIPYHVRVTSSGDLETVGRYNFDDQLKSTMIAHPKIDPLSKELFALSYDVVQKPYLKYFKFSPDGTKSPDVEIPLPVPTMMHDFAITENFVVIPDQQVVFKLQEMITGGSPVIYDKNKKSRFGILSKNASDSNDIIWVESPDTFCFHLWNAWEEPESNEVVVIGSCMTPPDSIFNESDENLKSVLSEIRLNLKTGESTRRPIISESEQVNLEAGMVNKNRLGRKTRYAYLAIAEPWPKVSGFAKVDLFTGEVKKHIYGDKRYGGEPFFLPRDDNPESIEDDGCILSFVHDEKTWKSELQIVNAMNLQLEASIKLPSRVPYGFHGTFIDAKALVNQA >KJB66160 pep chromosome:Graimondii2_0_v6:10:28290620:28293466:1 gene:B456_010G130500 transcript:KJB66160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRVLASKTAAEALEEATTAESLVRNLSVFSELSSNSKPGNPLPTIDRFLSIYDDVVKYTGIVETVAAGYRSGIEEHSKSSSLWVEAAVTTDLEIVSLLTPQNNESLSALQINLSQHASPKNQLKTSSIPQLQQNNNAAMRTRGDGMKETMHFAMNLKVEMQMWFIGFIEESLKALVLDCGSIAAVLSQLKRVNDWLNRAVLKGDEVLVHMVEKLKRKIYGFVMQHVGSTFDNSSHLSSY >KJB66161 pep chromosome:Graimondii2_0_v6:10:28289870:28293447:1 gene:B456_010G130500 transcript:KJB66161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRVLASKTAAEALEEATTAESLVRNLSVFSELSSNSKPGNPLPTIDRFLSIYDDVVKYTGIVETVAAGYRSGIEEHSKSSSLWVEAAVTTDLEIVSLLTPQNNESLSALQINLSQHASPKNQLKTSSIPQLQQNNNAAMRTRGDGMKETMHFAMNLKVEMQMWFIGFIEESLKALVLDCGSIAAVLSQLKRVNDWLNRAVLKGDEVLVHMVEKLKRKIYGFVMQHVGSTFDNSSHLSSY >KJB68384 pep chromosome:Graimondii2_0_v6:10:61144591:61151364:1 gene:B456_010G242200 transcript:KJB68384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKETLKNLPPPPKMGFNSQISFYFFFFFFIASLDFIQETNASEYNESRLLMKGCNLFQGKWVFDPSYPFYLPSKCPFVDPEFDCHGRPDKQYLKYAWKPDACSLPRFNGASFLGKWRGKKIMFVGDSLSLNMWESLVCMIHASVPNSKTTYVRRDPLSFVNFEEYGVTLYVYRTPYLVDIVREKVGAVLHLNSINGGKAWKGMDVLIFNSWHWWTHKGKSQAWDYIRDGSALHKDMNRLLAYYKGLSTWAKWVDTNVDTTKTKVFFQGISPTHYEGREWNQPKRSCNGELQPLSGSKYPVGAPPASAIVNTILRKMMKPVYLLDITTLSQLRKDAHPSTYSGDHSGNDCSHWCLPGLPDSWNQLLYAALLV >KJB67655 pep chromosome:Graimondii2_0_v6:10:56694428:56694928:-1 gene:B456_010G202400 transcript:KJB67655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFSNREEENNFALANYLILLSQGRNMYESTTNDSNLTCRVFKCKTCNRQFTSFQALGGHRASHKKPKLMERDGGVLENQPPAKPKMHECSICGLEFSIGQALGGHMRRHRANLSEENHQEPLMSPLVKKANKVWCLDLNLTPFENDLELLKLAEPTLAIDCFL >KJB63296 pep chromosome:Graimondii2_0_v6:10:28998083:29000214:1 gene:B456_010G132000 transcript:KJB63296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNYQPWEDDSPSSEDHEPRTRNVTNTVATGWHPWETRIAMLEARIARIEVRLDGLETRMFYQQKALRIISLLFLVTLAYAIWK >KJB63594 pep chromosome:Graimondii2_0_v6:10:514260:514961:-1 gene:B456_010G008000 transcript:KJB63594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVVSEILRSGFMINSSLRRRTHLVQSFSVVFLYCGNSMSNSGSEEDLHNQRKRKRMESNRESARRSRMRKQKHLDELMAQVTQLVKDNNQILTTINFTTQHYINMEAENSVLRAQMMELSQRLESLNEILNYLNSGTNSNNSNDGFETSEGFETTSDESFTTIISNHNNNPFVIMNQPIMASSDMMMFQY >KJB66632 pep chromosome:Graimondii2_0_v6:10:39256503:39257828:1 gene:B456_010G148300 transcript:KJB66632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSDEKPTLRKGPWSSEEDHKLIAYVTRYGIWNWTAMAKAAGLQRSGKSCRLRWMNYLRPGIKRGNFTREEEETILDLHERLGNRWSVIASRLPGRTDNEIKNYWHTRLSKRLRHNLVPKSGPFQIPNVETEQKSSPEIALPPAIAIKESNVETSGAIQLPLSSSNPAMKIDESQTNCSFKAYGGLQNIFEQTFTAEGSYIVENSKAIYSVPGVSTATSQLARFQYQGNSCTDVWRKFLTNEIIYGG >KJB67561 pep chromosome:Graimondii2_0_v6:10:55739307:55740456:1 gene:B456_010G196700 transcript:KJB67561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLSCCFTLAVFMAILMASPSLSLANMNVIDKCWRGNPLWRSQRQQLAKCSVGFAGKMINNIGKDVVKYKVTDPSDDPLSPKSGTLRYGTTMIKGKVWITFKNSMTITLQRPLLLSSFTTIDGRGVDVHITGAGCLLVYQATDIIIHGLRIHHCKAQPPSTVMGPNAKVIPLGQMDGDAIRLVTARKVWIDHNTLYECQDGLLDVTRGSTNITVSNNWFRNQDKVMLLGHDDGHLRDKNIKVTVIFNHFGPNCNQRMPRVRHGYAHVANNFYQGWEQYAIGGSMSPSIKKVTWRKGEKGLWKFYSVRDVFKNGASFSKQTCVGGAKPNYNPDKIL >KJB66292 pep chromosome:Graimondii2_0_v6:10:29794020:29796849:1 gene:B456_010G133800 transcript:KJB66292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSHIHSISYPLSTWSKNKVQNEVAFSLGHGVDEAGSLWNMVLHTHNHSISKRLFSRNYSSRMLSFNRYKLDFSCLHIETEQELAQAIIRSAVDFKRDPWPKVLDNAKDLVKKMLNLDPKQNKQKLFLKRYMSKWKYIHFNGERVRVKRYTSDKD >KJB65702 pep chromosome:Graimondii2_0_v6:10:20592851:20595091:1 gene:B456_010G109500 transcript:KJB65702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCGACGYPASRIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKTGFREGTQATPRKKAAVAAS >KJB68641 pep chromosome:Graimondii2_0_v6:10:62087997:62091942:-1 gene:B456_010G255800 transcript:KJB68641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGSLGIRSGSYSSLDKKQHQNDVLLPIQTPSTTKTKPYSKMFKDKEKLFRWASKFAGRKRVRMFLLFAISAAVFVWVLCVGRDKDQENHNGLKVNDSLPLNNSETPPMNEVQTVGIIKRVSFPIDVERTEARVMPPPPPSYFLGYTLPPGHPCNSFSLPPPPADKKRTGPRPCPVCYIPMKDAIALMPKFPSFSPVVKDLTYVYEENLNKETEFGGSDFGGYPTLKQRDDSYDIRESMNLHCGFVKGSKPGHGTGFDIDDNDLVDMEQCRGVVVASAIFGAFDDIQQPKNISEYSKRTICFYMFVDEETEADLKANGGLEETRKIGVWRIVVVRNLPYTDGRRNGKIPKLLTHRLFPNARFSLWIDGKLELVVDPYQILERFLWRTNATFAISRHYKRFDVFDEAEANKAAGKYDNASIDFQVDFYKKEGLIPYSEAKLPITSDVPEGCMILREHIPISNLFSCLWFNEVDRFTSRDQISFSTVRDKIAAKTGWMVNMLLDCERRNFVVQQYHKEVLALLAQMAPPVINPPPPPTSSRSVVR >KJB64877 pep chromosome:Graimondii2_0_v6:10:9095225:9103541:-1 gene:B456_010G069400 transcript:KJB64877 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH7 [Source:Projected from Arabidopsis thaliana (AT3G24495) UniProtKB/Swiss-Prot;Acc:Q9SMV7] MQRQKSILSLLQKPSPASQNGAVGEVKGQGASLFPSKQNLNGDVCGSSLEVTGTDTPPEKVPRKVLPVNFASNAEARGSSSLFSSIMHKFVKADDRENGSQRNQAADKSSDVEELPRVELTAKPSEKVNVLNVENDDDLRPETPATRPGVSRFKRIQDYFPTFGDKDDSLLDSSKRIKLLQESIVGNNNHKNDSDVASKFEWLDPSRIKDANGRRPSDPLYDKKTLFIPPDVLKNMSASQKQYWGVKCQYMDIVLFFKVGKFYELYEIDAEIGHKELDWKMTVSGVGKCRQVGIPESGIDDAVQKLVARGYKVGRMEQLETSQQAKARGANSVIQRKLVQVITPSTAVDGNIGPDAVHLLAIKEGNYGVENGSTTYGFAFVDCAALKFWVGSISDDATCSALGALLMQVSPKEVIYEHRGLSREAHKALKKYSFSGTTAVQLSPGFSTDFLDASEVRNMIQSKGYFKGSPNSYFNAFDGVVHHDVAVCALGGLVSHMSRLMLKDILQSGDVLPYHVYQGCLRIDGQTIVNLEIFSNSADGGSSGTLYKYLDNCVTSSGKRLLRNWICHPLKDVDSINKRLDVVEELMSHSEIMLIIGQYLRKLPDLERLLGRVKASIQSSASLALPMIGKKVLKQLVKAFGTLVKGLWSGMDLLRLLQKDADMVSLLSKVFKFPILSGANGLDEFLTQFEAAIESDFPNYQNHDLTDSDAETLSILIELFIEKASQWSQVIHALNCIDVLRSFAVTASFSSGTMARPVVLPQSKTITSNQGGPILKITGLWHPFALGENGVLPVPNDIFLGEGVNDYTPRALLLTGPNMGGKSTLLRATCLAVILAQLGSFVPCETCVISLVDTIFTRLGATDRIMTGESTFLVECTETASVLQNATQDSLVLLDELGRGTSTFDGYAIAYAVFRHLVEKVHCRLLFATHYHPLTKEFASHPHVILQHMACSFKMKSEGYSKGEQEPSFLYRLTNGACPESYGLQVAIMAGIPEKVVEAASKAGQVMKISVGESFKSSERRSEFSTLHEEWLRSLVSVSQVENCNFDDGDYDTLFCLWHELKNSYGASN >KJB67407 pep chromosome:Graimondii2_0_v6:10:54309871:54311154:1 gene:B456_010G189700 transcript:KJB67407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDVRKSLLSSTNDSLNLKETKAIQRRKSHHRSKGPSEKESQEQEKVLQPLINVEPTFVGLEFRIKYVLLLLALYLGIGTLWFFLIKNQIYGKKTNGVIDAIYFCVVTMTSVGYGDLVPHSTLAKILSCIYAFTGVALVGLILSNAADYIAEKQGILLVKSMLKNEKFNTAEVLMDVETNRDKYKFLMTSLLLVVLIISGISFLILVEGMEFIDAFYCVCSTMTTLGYGDKSFSTQEGRMFAILWILSSTICLGQFFLYLAALYTEKRQRSLVKWVLNRKLTPSDLEAADMDHDEVVSSAEFILYKLKEMGKICQDDVLLLMERFKDLDVDHSGTLTTDDLILS >KJB64318 pep chromosome:Graimondii2_0_v6:10:4083607:4089069:1 gene:B456_010G0428002 transcript:KJB64318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNPRVRFKEKSKEHSSLEEDVGLNEDYGDSAVGFDGSSNTSESLYAEKHDTSSTHEIDSIKSTVSGDLTGLGHSPQQEKGDPSDHRFLAQGTNNWVHGWSSDHSGDNDLTVVYEENSRLRGCLEVAESSIQELKREVSLLQNHASQIGAETEKFAQQLVTEISSGERLEKEVSALKLECSRLKDDLERMSSSTLCPSLTSKEAIKKDQDHLLQDLEVIFSKGLLVMEEKIRELQNKACLNYHERDQRFLQADLEALFGILQDLKQGTQKEIFILRSVPSDRCNMKSTREMSLTNSFTPATSFDAELYQPEPGMVPCITVPGLVSHEPDSMSTSNAMKSKIFELLRELDESKAEWESLAKKMDQMECYYEALVQELEENQRQMMAELQSLRNEHSTCLYRVQSANAEMKAMRQDMNEQVLRFAEEKQDLESLSKELERRAIIAEAALKRARLNYSIAVGQLQKDLELLSSQVMSVFETNENLIRQAFVDSSQTNSRGYSEMVRNHGLDSEEFQPTKPLHSQNQYVGVKKQHLGGDILLEDLKRSLHLQETLYQKVEEEVCEMHYQNAYLDVFSNTLQDTLLEASDEMKTMKEKMDELTWKLELSVESKELLMQRLQTATDDVHSLNEYKATCIAKYNDLALEKQALEANVENVTHENHLLSEKVTELECHLMEYQSYKSKFDACVMEKTELANLLKEGTLENDNLRNNNSSLQDELRMIKTEFDELNLVKEKLQNTVDFLRNKFLNLLSSYGKFFDEPSLSSDLVCQDRESMDLTSVIVEVEEAQNNAYEKFLHLLEEKKDLMDERDKAQVSLSAVESEMVLMKQKFEQKIQLEIEAVTDKLKDSSEVETYAQRQRDLLSDLQHFEAELQELTSKNKEIAEELLVLESVNEDLGSSKLIVAELVEENKTLVQSLQDKSEEAADLALELNGLKESLHSVHDELQAERSTKNNLESMVTDLTSQMNEKHHQLLQFDQQNSEPAHLKQMLFDLESEKSRVCSLLQQYDECLNNALKESSTITSLESELSEMHELSVAAGVSVIFLRTQYETWTTDLVCQLSSSERHLGELQEKHLNFESILNDCLAREAHCIEENRRLSVSLDSLKSELEASMAENKVLLNKNSSAISELQDYKSRIEKFEFAFFEDKHQHALEVERLKHLLGGSQEEIDDLMILKEGLELNVLVLKAKLDEQSTQISLLVGRKDEVLLLQNQCNELSQRLSEQILKTEEFKNLSIHLKELKDKADAESIQAREMRESEAPPTAMQESLRIACIKEQYETRLQELKHQLAISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKIEELGVKILELEAELQSLVLDKREKMRAYDLMKAELDCSMISLECCKEEKEKLEASLQECKEEKSRISVELSIVKELLEASTSTMNVQNEKDGKLKDGCFSDELVVNNAQTRDIDLKYLDQDTPKNSKDADDGSDCTSAPTNSQLEQDLVSNDIHEVHSLALVNQCNLPNSDAKHLALINDRFKAQSLRSSMDHLTSELERMKNENLVLSKDAHHFDTKFPGLQQELMQLDK >KJB68345 pep chromosome:Graimondii2_0_v6:10:61021921:61024328:1 gene:B456_010G240800 transcript:KJB68345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAQSSSSSLPPFLTKTYEMVDDHSTDSIVSWSASNRSFIVWNPLEFARDLLPRFFKHNNFSSFIRQLNTYGFRKSDPEQWEFANEDFIRGQPHLLKNIHRRKPVHSHSMQNLLGQGASPLTESERQSFRDEVERLKSEKMSLVLELKRHEEERQGFEMQMQILRERLQTMERRQQSMVSNVARALKRPGFPIDPTPQFEVHVRKRRLPRIAYLYDESRIEDNPNPDTTSMSNMDPFEQLESSMVFWENAIHDFGRANVFDESTSCPESPSISSIQLNIETQPKSPQIDMNSEPSTVVTPEPVTSMEQPAPSPAGVNDGFWEQFLTENPGSTDIREVLPERKDPDTRKDEDKPEGHSRFWWNNMKNVNNLTEQMGHLTSAERT >KJB68344 pep chromosome:Graimondii2_0_v6:10:61021462:61024337:1 gene:B456_010G240800 transcript:KJB68344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAQSSSSSLPPFLTKTYEMVDDHSTDSIVSWSASNRSFIVWNPLEFARDLLPRFFKHNNFSSFIRQLNTYGFRKSDPEQWEFANEDFIRGQPHLLKNIHRRKPVHSHSMQNLLGQGASPLTESERQSFRDEVERLKSEKMSLVLELKRHEEERQGFEMQMQILRERLQTMERRQQSMVSNVARALKRPGFPIDPTPQFEVHVRKRRLPRIAYLYDESRIEDNPNPDTTSMSNMDPFEQLESSMVFWENAIHDFGRANVFDESTSCPESPSISSIQLNIETQPKSPQIDMNSEPSTVVTPEPVTSMEQPAPSPAGVNDGFWEQFLTENPGSTDIREVLPERKDPDTRKDEDKPEGHSRFWWNNMKNVNNLTEQMGHLTSAERT >KJB64582 pep chromosome:Graimondii2_0_v6:10:6313008:6313577:1 gene:B456_010G055000 transcript:KJB64582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAADGFFRSLLYEGCISDCDINIERRPYHRNCRCALHDKSLRRNCSRPFPKSKNVSYPMRRSWSEGCLAMVSATGYSSPSPSPSLAGVHGAGKQQLESYKEEEEDK >KJB66786 pep chromosome:Graimondii2_0_v6:10:44602578:44604807:-1 gene:B456_010G158400 transcript:KJB66786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGFQELNAFSLLPFIAETLHFFSPEVLAGVFPVRDEPFLGFPPSGKSFLFSLGPPLNSMSVDIFCFRDKNKLNLLESFFLVLNITDDCSNDRFISVRPLTFLDDSFRVSHNSYDSHTNP >KJB65083 pep chromosome:Graimondii2_0_v6:10:11600740:11601968:1 gene:B456_010G079800 transcript:KJB65083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALSELSWDQPALVFPSGTSDKIGTIQRRLAWPLRKDDTHKSRNCPNIFDFFPEFFFLLHFPGIFFLCFLCILILSLMFGFMGFAQLRL >KJB64198 pep chromosome:Graimondii2_0_v6:10:3409403:3411931:1 gene:B456_010G036600 transcript:KJB64198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSNRTGGGSFYGGAAPYRSRDGLSTRPVASSDEIQLRIDPMHADLDDEISGLRSQVKQLRNVAQEIGSEAKFQKDFLDQLQMTMIKAQAGVKNNIRKLNKSIIKHGSNHIVHVVLFALFCFFVVYMWSKVSRR >KJB65127 pep chromosome:Graimondii2_0_v6:10:12054388:12055763:1 gene:B456_010G082000 transcript:KJB65127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLGFLILLVSLAGFVGAYRNKETPLAFYLCCMAILIGLLLILLVFAFIVTRPDGSYGVPGRGYQEYRLDGYSSWLTNHVVDSKSWNKIRDSLADTDVCPKLTQQFITADQFFATHLSPLQSGCCKPPTICGYTFVNPTLWTNPVNPAGDPDCNLWSNDQTQLCYNCNSCKAGLLGNLRREWRKANIILIVAVVELIWVYIIACSAFKNAQTEDLFNRYKQGWT >KJB68597 pep chromosome:Graimondii2_0_v6:10:61930302:61931768:-1 gene:B456_010G253700 transcript:KJB68597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQFPDDFKCPISLEIMSDPVILSSGHTFDRVSIQRWLDSGHRTCPITKLPLPEHPWLIPNHALRSLISNYTLVSPSKSQPCSQPQTLVSALTSPSSPIGTKLDSLTHLAGLTKRDSSLRRKLTESGAVPAVLKFVDSEDPVLQEKALSLLLNLSLDDDNKVGLVAEGAVNRVVKILRIGSPDCRAIAATIITSLAVVEVNKATIGAYPDAIPALVRLLIAGLERAIEVLGLLVKCKEGREEMMKVNGCVKVLVKVLKNGSSRGVQYGLFTLNCLCNYSEIFCFEARKQGVLGICMGLVEEENEKIKRYLSNLVQTLSGNHANG >KJB67163 pep chromosome:Graimondii2_0_v6:10:52458219:52458639:1 gene:B456_010G178700 transcript:KJB67163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILDVEKVIADFEVMIENVRRETLKMILEENRCVEYLQNMVLNGRIDPESFKACVPLVTHKDLEPYI >KJB68284 pep chromosome:Graimondii2_0_v6:10:60671709:60675456:-1 gene:B456_010G236400 transcript:KJB68284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEPEDEFKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKNVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >KJB63558 pep chromosome:Graimondii2_0_v6:10:296836:299598:-1 gene:B456_010G005700 transcript:KJB63558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVRKSKRVTWAPDLSLFQIRLFLSEESPSQVGSGAQDHLQAKTFSVSHLYGAAVDDFLPPGFEGASSTNHLQINLSEVPVISWRFPLRFVLDVDWQVVAGEESKEVEIQNQREVRVLEAVYPRPSAIPSNPSTYEEDCNYDEQQTPQIPVTPIEDEDAAIGTPLGVLAPFRAPISSQAGLPAAASAAFPTIDHNNERGNMIDPNLLVKILSNPTLIEKLVTDYRPPFDLPPPVNVSGPSSTPSSAATSDGSFYAWSNGVGVAPSNKQGPIPAVGVPQKKDENYYKNLIQQHGGERPGTTQSFDSRYNHQLKPNLEVTSNPKSRDSKTRIMKPCLYFNTARGCRNGVNCAFQHDTLSQNNVSDAPNAKRMKMDREISS >KJB63693 pep chromosome:Graimondii2_0_v6:10:830443:833890:1 gene:B456_010G011100 transcript:KJB63693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPHKSRFFLFFFLICHFIFISTPFPTVLANSKHEFNDPHEVLLHKLEELVKNLSEVVSRLETKVLKESSKIDDGVGIKDEISLIKYDGRMKNQGDEEKKKGVSVTKYSPFWSERFRFMSALKLGSAVTCINILPFRDYEGFSKYVGVGDERGRVYVFLRNGDVVVEFYTECESPVVAMVSYMSVYKNESFVVTGHRNGAILMHKVYERLNGEELSSLVMETVGKFVEGNRLPITTLEVHHVGRMRYILCTDLSGKIQVFREEGTLYGSAMPRSRPLVFLKQRLLFLTETGAGSLDLRNMRIKESECEGLNHSLARNYVFDATERSKAYGFTSDGDLIHVLLLGDIMNFKCRVRSKKKLEINEPLAFQAIKGYLIIVNTEKVFVFNVSTPHYVRAGVPRLLFSASLDEIKSSFLTYQVMDTNKERVQVMPVIASDRESLIVLGLGGEYVGMYRSNLPVLKGESNTMLWTSPVLFFILFLFGAWQFFAKKKEAFTSWGTDDPFSSSSATNSAPLGSNTGERPFIDSSSRSSDMVDLRSSGLRGRRYASPSRYPSGATTTSFRPNSADPISRPAPVDPNYRAAPELNYRGSTLEPTGFSKRRESLFVNPQATDDKS >KJB67405 pep chromosome:Graimondii2_0_v6:10:54215833:54216555:1 gene:B456_010G189400 transcript:KJB67405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEREEHAEKKVEAVGKYHFLRNTLQIVVWVSFLSIFLCHSSGMAYSLFPHSFNVYFSTFLFSFFTHTVERKYMFIICNGILAFVAKSSVCSRSESDNNGSLALQISTPPTQTKPSVTDDVGAAEYDQHVPLDVAEAEETEDAYENEAEEQAEHETESLVKEEEESEGSVIVEDADGEGYKEREKGWWGKQEERVGGVGAKEELPISMSTEELNRKIEEFIRKMKEEIRIEAQQQMVASN >KJB65917 pep chromosome:Graimondii2_0_v6:10:23827702:23830228:1 gene:B456_010G119400 transcript:KJB65917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKHTIVLMQTSQNRSTRTFMDYSSVTQAMDGICGLYERKLKELNPATRNITYDIADLYNFIDGLADMSALVYDHSIQAYLPYDRQWIKQKVFQHLKKLAH >KJB65918 pep chromosome:Graimondii2_0_v6:10:23827744:23829186:1 gene:B456_010G119400 transcript:KJB65918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKHTIVLMQTSQNRSTRTFMDYSSVTQAMDGICGLYERKLKELNPATRNITYDIADLYNFIDGLADMSALVYVFQSLFFVHPFLFEHYHLSYVIFHVYSVMC >KJB66334 pep chromosome:Graimondii2_0_v6:10:31357032:31358775:1 gene:B456_010G136400 transcript:KJB66334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPKSDSIQIREVWNDNLEEEFALIREIVDDYPYIAMDTEFPGIVLRPVGNFKSSYDYHYQTLKDNVDMLKLIQLGLTFSDEKGNLPTCGTDKYCIWQFNFCEFNVDEDVFANDSIELLRQSGIDFKKNNEKGIDAMRFGELLISSGIVLNDSVYWVTFHSGYDFGYLLKLLTCQNLPDTQVGFFNLINIYFPTLYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTSCTFRKLKENFFSGTLEKYSGVLYGLGVENAH >KJB66335 pep chromosome:Graimondii2_0_v6:10:31357072:31358755:1 gene:B456_010G136400 transcript:KJB66335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPKSDSIQIREVWNDNLEEEFALIREIVDDYPYIAMDTEFPGIVLRPVGNFKSSYDYHYQTLKDNVDMLKLIQLGLTFSDEKGNLPTCGTDKYCIWQFNFCEFNVDEDVFANDSIELLRQSGIDFKKNNEKGIDAMRFGELLISSGIVLNDSVYWVTFHSGYDFGYLLKLLTCQNLPDTQVGFFNLINIYFPTLYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTSCTFRKLKENFFSGTLEKYSGVLYGLGVENAH >KJB66336 pep chromosome:Graimondii2_0_v6:10:31357072:31358755:1 gene:B456_010G136400 transcript:KJB66336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPKSDSIQIREVWNDNLEEEFALIREIVDDYPYIAMDTEFPGIVLRPVGNFKSSYDYHYQTLKDNVDMLKLIQLGLTFSDEKGNLPTCGTDKYCIWQFNFCEFNVDEDVFANDSIELLRQSGIDFKKNNEKGIDAMRFGELLISSGIVLNDSVYWVTFHSGYDFGYLLKLLTCQNLPDTQVGFFNLINIYFPTLYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTSCTFRKLKENFFSGTLEKYSGVLYGLGVENAH >KJB67093 pep chromosome:Graimondii2_0_v6:10:50849409:50852128:-1 gene:B456_010G174500 transcript:KJB67093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQFLTRFFSFSMLVIVLRCYSSTGRGEKERTLGIIKPDGLSGNYTNRIKQVILESGFEISKEMVIQLDEQDAANFYAEHSSKIFFTDLIRYMTSGPVLVMILEKEDAVAHWRNLIGPTDAGKAKITHPHSIRAMCGIDLEKNCIHGSDSHQSAEREIAFFFKEAPSDEAVRKHDEL >KJB65029 pep chromosome:Graimondii2_0_v6:10:11141481:11145002:-1 gene:B456_010G077000 transcript:KJB65029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFRGKSSKASHNHPIQPSSTQPVVAYPETKNLKVEPLLQIPGCRVYLMEEGEALELGKGEFTLVRVLEEDVPLATIIKVGEDVQWPLTKDEPVVKLDSFHYLFSLPMKDGNPLSYGVTFSGQYDSRFMSSLDSFLKEHSCFSGATSSGDKHVDWKEYAPRIESYNNVLAKAIAGGTGQIVKGIFKCSNAYTSQVQKGGETILMQAPTASNNGYQSSRTTRKSSGAVNKSLKRVRKLSKMTEKMSKAMLGMVGAASGTVMAPLVSSKPGKAFLSMVPGEVLLASLDAVNKVLDAAEVAEKQAFSATSSAATRMMTDRFGERAGEATEDVLATAGHCAGAAWNIFKIRKAITPRSTATSGVLNNAAKYRSTKSY >KJB65503 pep chromosome:Graimondii2_0_v6:10:17032215:17033572:1 gene:B456_010G098000 transcript:KJB65503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNFNPPDSPESDRADQSNFEFPEDWTLDGWLEDYPETIITGPIQFPFNQADEVNNDSARTSSLLQVSENETARERRDVRERFAFKTKSEVEILDDGYRWRKYGKKWVKNSPNPRNYYRCSIDGCPVKKRVERDKEDPSYVITTYEGIHNHRSVS >KJB63346 pep chromosome:Graimondii2_0_v6:10:12357003:12357786:1 gene:B456_010G083300 transcript:KJB63346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKKVGDVEGIHKLKEVISDLHYRNVMDVEQILNYSSENESLMESHTDEEIIQGVMDVTIDDEQDRDDSSVLPHVSPKEAFLAVDTLKNYLIQHENNILDLVYTLLKSSSSVRYKNLTITV >KJB64322 pep chromosome:Graimondii2_0_v6:10:4168593:4169742:1 gene:B456_010G043100 transcript:KJB64322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKYMCPAFLLDAPLFWRPVDNFHFIINLDHMMKREEIWWRNLDKCLNISQKKYSYDWVLAVKCDLVLKSIFENAESNYLTNSYASVVRYCSNVYCYYNDNKTPKVIF >KJB68297 pep chromosome:Graimondii2_0_v6:10:60758685:60760875:-1 gene:B456_010G237400 transcript:KJB68297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFVPFNNRNIDISIFAFKPTVVLVDELIESLKRFSVRTENLGCIQSSIFKSIHGNLIIWYGGWMKKSTENKEVLIETLLSMLTSTSSMAILTQHSFFDSYAGESKEGSNAAKFSTGDIISLNLISSPCFSELQDVTYANLALFRSRFSKMDGATSGVCLKCQTMPMVACLYVWKSLLHCYSWILTSDFRKTELPYLDRFSPSVKYDIFWVVYVQESGGEIKN >KJB68578 pep chromosome:Graimondii2_0_v6:10:61821468:61822736:-1 gene:B456_010G251700 transcript:KJB68578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQASNFLLSSSSSSSNQIHAAISIPKLPSVRFSAPKLRQPTIQSEELNRKDRPINTIPMENNVHRTPLVQQTSSVSMATFQLYAVLEAIADRVEMHKNIGEQRENWNTLLLNSINMITLTAATMAGVAAATGVGAGVSVMGLNLASSVMFSAATGMLVLMNKIQPSQLVEEQRNATRLFKQLQTQIKTLLAVGSPCQDDVNDAMEKVLALDKAYPLPLLGVMLDKFPASLEPAVWWPTKQSPNSNKALTNNNGWTRELEMEMREVVEVIKRKDSEDYERLGNKALNMNKVLGTSGPLLTGIAALGSAFMVSSNSPWAATVAAVAGALASAVNTFEHGGQVGMVFEMYRNNAGFFKLMQESIESTLDECDVEKRENGELFEMKVALQLGRSLSELRDVAKKSSYSRIEGSSMDEFASKLF >KJB63541 pep chromosome:Graimondii2_0_v6:10:238684:239735:1 gene:B456_010G005300 transcript:KJB63541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDKKPNRLPQTAVIKQILKRCSSLGKKQQSYDDQQQQGGLPLDVPKGHFVVYVGQNRSRYIVPISFLTRPEFQKLLHQAEEEFGFDHDMGLTIPCEEVVFQSLTSMLR >KJB65037 pep chromosome:Graimondii2_0_v6:10:11203574:11206904:-1 gene:B456_010G077500 transcript:KJB65037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPELKKRKIPKPPPRRTVASPASKTLKDAKPTSSSRIPGVQTSDINSFRIQQDKNFAVAQAQQDGCTGNFMKFDSWYGNFLVPVVPSRAELNR >KJB66946 pep chromosome:Graimondii2_0_v6:10:48617296:48620036:1 gene:B456_010G167400 transcript:KJB66946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPVRSDPIHRFHSLPLDHFDRLPDSLLLLIFNKIGDVKALGRCCLVSRRFHSLVPQVDNVLVRVDCVISDDDSSPSSSSSDKSRPAGPFSNLLRLVFGCIVKPLQALGQFLGPKRSLLNETVNAPSSSSSVGGGADDDDAEMGQAGVTHHSPTQVLRNFNEIRFLRIELPSGELGIDDGVLLKWRADFGSTLDNCIILGAASVINDVHSQVSEYGNDGFCSNNSITGNGDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHRTLDTLVLTDADGQGALRMNRDQLEELRVKPLSASSASKRTQVPALNMRLWYAPHLELPNGVVLKGATLVAIRPSEQSASRKEVSDASWLSTAFEEPYGTAAKMLIKRRTYCLEMNSF >KJB66947 pep chromosome:Graimondii2_0_v6:10:48617447:48619959:1 gene:B456_010G167400 transcript:KJB66947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPVRSDPIHRFHSLPLDHFDRLPDSLLLLIFNKIGDVKALGRCCLVSRRFHSLVPQVDNVLVRVDCVISDDDSSPSSSSSDKSRPAGPFSNLLRLVFGCIVKPLQALGQFLGPKRSLLNETVNAPSSSSSVGGGADDDDAEMGQAGVTHHSPTQVLRNFNEIRFLRIELPSGELGIDDGVLLKWRADFGSTLDNCIILGAASVINDVHSQVSEYGNDGFCSNNSITGNGDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHRTLDTLVLTDADGQGALRMNRDQLEELRVKPLSASSASKRTQVPALNMRLWYAPHLELPNGVVLKGATLVAIRPSEQSASRKEVSDASWLSTAFEEPYGTAAKMLIKRRTYCLEMNSF >KJB66411 pep chromosome:Graimondii2_0_v6:10:33148698:33150058:-1 gene:B456_010G138900 transcript:KJB66411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPLEVSLFLLFLGFSAYVFNYNESTQVNESLELVPLVDEKMVKMMMFNESRRKLGTFQICAACTCCGGPKGVCLPSPCCYAINCNIPNRPFGFCSFTPKTCNCFGCHL >KJB64499 pep chromosome:Graimondii2_0_v6:10:5940832:5941903:-1 gene:B456_010G0518001 transcript:KJB64499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHRHDIESKYWICVYWWLRIWKACLTCTCMRNGAGLSNRIEVATEEVLNNSICTGCIPFLV >KJB64152 pep chromosome:Graimondii2_0_v6:10:3450900:3451892:1 gene:B456_010G037000 transcript:KJB64152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAVGRDTNNQIYPLAWAVKWFLEKLFTDLCHPMGVGLTLISDQQKGLISVLNECFLDLEHRMCARHIYTNWQKTWKGLNRKMSTFVEDFDDQLQKLKAMGETSTDQLLEIHVHHWAKSYFKGTCKCDVVDNNMVKAFNAWILEARCRPIITMLEEIIVIVMTRMHVKRTWAEKWRTNISPVALEKLDKNATTSTKCMLAWNGDGGFKVIHEDNQHTVDLKELKCTCRDKEKYAAAYSQVLQPIKGKNFGSREMIQFFLH >KJB68199 pep chromosome:Graimondii2_0_v6:10:60294382:60296101:-1 gene:B456_010G231400 transcript:KJB68199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTEKLLNQIMELKFTSKSLQRQSRKCEKDEKSEKLKVKKAIEKGNMDGARIYAENAIRKRNEQMNYLRLASRLDAVVARLDTQAKMTTINKSMANIVKSLESSLATGNLQKMSETMDQFEKQFVNMEVQAEFMESAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPNAAAHAVPTKTEEKVDEDDLSRRLAELKARG >KJB68157 pep chromosome:Graimondii2_0_v6:10:60080381:60082049:-1 gene:B456_010G229100 transcript:KJB68157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMALFNFRIGRSSAENESPSPSHYHAAVLPPELPIQNCVVSPYYHHLSGLHWRDRRVLYTASLLLLAALLFIFWPSDPEVKIARLHVNHMQVHTAPIVAVDISLVMTLKVRNWDVYSMDITRFEVAVGYRGKTLGHVTSEHGHVRARGSSYVEAVLELNGVEVFSDVVYMLEDLARGTVPFDTVTQVVGWLGFSFVKFPLKAKILCEIVINRTNQAIIRQNCYHSEMDLETVGGYPK >KJB68661 pep chromosome:Graimondii2_0_v6:10:61482897:61483032:-1 gene:B456_010G2459003 transcript:KJB68661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCELPTKRSKPAYRIPDWDILFLSFLKNSAVLKNNNNIGMLVNID >KJB66856 pep chromosome:Graimondii2_0_v6:10:46087908:46088993:1 gene:B456_010G161300 transcript:KJB66856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSESEVISSPSSCDLVEASPVKVQVVSKSVSDRLLDKFFDVSEFNFDYTKSGLWSPPVPRSAFLSSPGTIFNEQEMLQRLKIVTERRRRTRRFNVFCCS >KJB66586 pep chromosome:Graimondii2_0_v6:10:38114193:38114525:-1 gene:B456_010G1450002 transcript:KJB66586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AWRNWREGTPLEVVDPILRDGSRSEIMRCIHLGLLCVQDNIDSRPTMASVILMLSSYSISLPVPSRPAFSMHSTMETETKSQSSSLSNQSKRENIQVSVNEASISELDPR >KJB63252 pep chromosome:Graimondii2_0_v6:10:57048944:57050715:1 gene:B456_010G2044001 transcript:KJB63252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPSGLTTEVKSVEMHHEALSEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKELEKEPKFLKNGDAGLIKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKSAAKKK >KJB64253 pep chromosome:Graimondii2_0_v6:10:3676575:3678430:-1 gene:B456_010G039600 transcript:KJB64253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 1 [Source:Projected from Arabidopsis thaliana (AT1G34790) UniProtKB/Swiss-Prot;Acc:Q8VWG3] MLFSFNCIILQPPYLFSLNILSIGLGNPIIKPFQKLNKNKPTFFLVLFFLMEPSDVSCDSDLVSSTNYHTDMEPLDLFPGAMHESLEPMPLLSYENPKPCSEEDKEDVTVALHIGLPDPSNGSNTNSSKENIANGGGKQFWIPTPEQILIGFTNFSCHVCFKTFNRYNNLQMHMWGHGSQYRKGPESLKGTQPRAMLGIPCYCCAEGCKNNIEHPRAKPLKDFRTLQTHYKRKHGLKPFMCRKCGKFLAVKGDWRTHEKNCGKRWLCVCGSDFKHKRSLKDHIKAFGSGHGPFPPSFDGVEVLEDTASSLHV >KJB63697 pep chromosome:Graimondii2_0_v6:10:867915:876434:1 gene:B456_010G011600 transcript:KJB63697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFSHKEQNGVALSSDIDFNDVFGGPPKRRSSMHETRRRFSENRDSSSSSSSSFGSSDEISFSASSKNPWLSGSSEKPVFGGEEGMNQRRHSNADFFNDIFGGNNDRCLSSSPRKYEMKDPFAPPSMAEPFATSLPSRFSLLNKGIDLPTSASPQNKVKASNSLSYYATRHDHETKSNSIEDSNGSKISNNGDKLQRCSSLKGWVTCDSRSDNWFVSGDRTSVNAKSFRIDSRNGDGERVRSIKEDDRSLSRPQLKTLELLLHEDQQGNDEMNRYDGTKDISRKSSKKLYEILDVENINMEANITIVKGNDEMVKKFHDIPYDENIKKLNTTRSANSTNMEATTRVKDEKNKKLHEILDDGNIKEPNTTKRANSNNIEAITTRAKGSPRNSWDNGKSKVRGKVTEFIKIFNQDASPKPENGPNHLQMNENEVKFRMPTLQEKKPFSQVAIADHMSEGEAQKNNNGSLIDHVSNGFNTVIEDPAKSSEDNFLIEDQTPEEKIFPDFGIDPEEIKAIDAKIQQWSNGKQGNIRSLLSTLQYVLWPNSGWKPVPLMDIIEGPAVKRSYQKALLCLHPDKLQQKGAASDQKYIAQIVFDFLQDAWAHSNSIGLM >KJB67928 pep chromosome:Graimondii2_0_v6:10:58701247:58705556:1 gene:B456_010G218300 transcript:KJB67928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTDPPQVVDCSIIPVFQVPNEGDVSSLDVNDEENVVSEEKRRKKKKKQVSAPRPACSWVYFSREFIKEYSASHPESSGLKAATKAASDAWKLMSIEEKEKYTRRAREVWDNYLSTTPARIPKPRKQTKLVTRCSPGRLFNVLQRLTSEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLERFNTTRRSLEICGERIPLSPRDVEHVMGLAACGKDVVNSGPDDLIADLRYIYNATNRGISVRLLEERLAAPEAGDDFKRSFILYALGTLLSPTARLDVSPSFLHFLINMDAVHQYNWGKFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESISIEGAGSSAPVVIPCLSSWGEEEITEREKRERELGGYGCGEVISREGCHDMESLEYRIQVEGLSGGKMSMGVEHNPVFEQERTQADEEQMNEDFSMEEICVVNFPKSKGIMCGDMEEIVEPDGRPCCNKEYGCSKTVDYTRKNDHEEKCTHAPCACPLPACNFVGSSEQLSLHFSSKHWDSGRRFRYNTPLSVSLGMNEQFLVLQAEEDGILFLLNKSVENIGNIAMITCIAPSSSKVYYLYDLVSGKGMSSLRLKSLTENFPGRVEGFPPMDFLLIPFRFLGPSGEINLEVCIWNSTELGSDCP >KJB66585 pep chromosome:Graimondii2_0_v6:10:38114917:38116205:-1 gene:B456_010G1450001 transcript:KJB66585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAKLQHRNLVRLLGLSFAQKERILIYEFLPNSSLDNFIFDPVKCSLLNWEKRYKIIEGIAKGLLYLHEDSQYRIIHRGLKPANILLDEEMNPKISDFGMAKLFTVDQTRADTSKV >KJB66293 pep chromosome:Graimondii2_0_v6:10:29846291:29846928:1 gene:B456_010G134000 transcript:KJB66293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLRSPMARQLYSTPTSSTPLNASQAGLAAVTLLLCAFALIKCASHTRKLRRQWRACYEFFNEDYIDPVIEIQHEATNAEISGYQAEDVADTSMFSREQPVWQKNILMGEKCQLPDFSGVIIYDSEGNVVTSSKTPRLLTWK >KJB66071 pep chromosome:Graimondii2_0_v6:10:26574336:26576811:-1 gene:B456_010G126000 transcript:KJB66071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESELSTEPIGQNLIKLISNLCFSVFVFSVLIFTVIAITYQPPDPWLESAPALTKLFTQTENATFKNDDSILKTGEDVVLVASPEVPPALAVNPITETVIKKSEEKILNLNLKSDCEDLKFVNCSDPRVLVTVERFNLKTFKFIVFLEYQTPVNGSKLDECDVAWRFRNKKEKSWRKYRDFRRFKFGIGENCTYNVVHAGGWHTGINARRPRNRPNTTRSSGNLRLAPPVGDEEINDTIPTLGSEMNFRKGKYLYYARGGDYCKGMNHYLWSFLCGLGEAMYLNRTFVMDLSVCLSATYNPSNRDEEGKDFRFYFDFEHLKEVASVVEESEFLRGWKKWNRGRKRKVPVKKVTTYKVTPMQLKKDKSTIIWRQFDAPEPENYWYRVCEGQAAKYIQRPWHALWKSKRLMNIVTEISGQMDWDFDAVHVVRGEKAQNKELWPHLDADTSPDALLTKLKEMIQPWRNLYIATNEPFYNYFDKLRSQYKVHLLDDYMELWSNKSEWYNETTLLNDGKPVEFDGYMRVAVDTEVLYRAKTRVETFYNLTKDCKDGINTC >KJB66072 pep chromosome:Graimondii2_0_v6:10:26574336:26576683:-1 gene:B456_010G126000 transcript:KJB66072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESELSTEPIGQNLIKLISNLCFSVFVFSVLIFTVIAITYQPPDPWLESAPALTKLFTQTENATFKNDDSILKTGEDVVLVASPEVPPALAVNPITETVIKKSEEKILNLNLKSDCEDLKFVNCSDPRVLVTVERFNLKTFKFIVFLEYQTPVNGSKLDECDVAWRFRNKKEKSWRKYRDFRRFKFGIGENCTYNVVHAGGWHTGINARRPRNRPNTTRSSGNLRLAPPVGDEEINDTIPTLGSEMNFRKGKYLYYARGGDYCKGMNHYLWSFLCGLGEAMYLNRTFVMDLSVCLSATYNPSNRDEEGKDFRFYFDFEHLKEVASVVEESEFLRGWKKWNRGRKRKVPVKKVTTYKVTPMQLKKDKSTIIWRQFDAPEPENYWYRVCEGQAAKYIQRPWHALWKSKRLMNIVTEISGQMDWDFDAVHVVRGEKAQNKELWPHLDADTSPDALLTKLKEMIQPWRNLYIATNEPFYNYFDKLRSQYKVHLLDDYMELWSNKSEWYNETTLLNDGKPVEFDGYMRVAVDTEVLYRAKTRVETFYNLTKDCKDGINTC >KJB67160 pep chromosome:Graimondii2_0_v6:10:52407420:52413220:-1 gene:B456_010G178400 transcript:KJB67160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDREASLRRARVEASLFDGISWGMGEDAIAEAERYHLHSISKGGAFITAQERESLSDDTSFTFLGYKIIRVRSALLGRTWVAYFKVIFALSYMSNVILPQGWDDWGDTSKQSTVFCNEY >KJB66578 pep chromosome:Graimondii2_0_v6:10:37906984:37912657:1 gene:B456_010G144400 transcript:KJB66578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKPFTVDLNKPIVFQVGHLGEAYEKWVHQPIVTKDGPRFFANDFCELLTRTKXXXXGGIFIWTLLEYCLHRFLFHIKTTSYWGNTFHYLLHGCHHKHPLDGLRLVFPPAATAILCAPVWTMFKLLSSPSTAPALFGGGLLGYVTYDCTHYYLHHGKPSKGYGQILKRYHLNHHFKVQNKGFGITSSIWDHVFGTFPATQVSDISR >KJB67488 pep chromosome:Graimondii2_0_v6:10:55064126:55067178:1 gene:B456_010G193600 transcript:KJB67488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGLTHDAIKIGKNVTILCINNSFKKIPAKSIWLSGNPLVSPTTLLMFQLSSISTVSLLINVCFKPLGQSSLVSQIFGGMIFGPSILGHSQDVADTVFPIHSRAIMDVFSGFGIMFFFFEVGVKIDPYLMVCPGRMAAVIGASALIITTGLSIGLAVFLKTSVTMSTSLQNSLILVAASQSLTAFPVISTLLDELKIINTDVGRIALSSSMFSFLIGFSTTSILFSIKQASSHHIYSFLPPFLSVVAFVATNFLILRPKLKKMFTQAMGPKCIDEKTIAFIFILVMVSAFISEVIGQHYIFGPLLLGLAVPDGPPLGAAISSKLASLGLAFFYPAYCAVTGLQTNVFDVDLETYYIIGIIIIFTFVVKLIAVILPALCFNLPIKEAVVLALILNARGIVELTFVNLWKDGKQIGNQSFTLVTVSVLVVTAIITPLVRMLYDPEKQCVSEKRSTIHHSNSSLEFRILVCIHNPENIPTMMNILEVTHASEMNPVAVTAMVLIELMGRSTPVLVRTDKYRGETPLLADQVLNSLIQYEARNEGCTTAQSYTSMSQFQTMHDDICRIAIDKRANILILPFHKKWAISGKIESTSSPIQNLNINVLNKAPCSVGILIDRGILTGFTSVRTSQLKFHVAVLFLSGSDDLEALAYSFRMGKHERVHLTIIRLLVSGDENSKDIKFDNNVIHEYRQANKENDRITYEERVLTDGLCLSSYMSSNLEHYNLILVGKTHQDSPLLQGLGEWNECPELGVMGDMLASPYLKTKASVLVIQQHKLRGNLLSKNMRFLRSKSSSIYPELPTKIICQGVKTDSFYISVDGSRHSY >KJB63835 pep chromosome:Graimondii2_0_v6:10:1507128:1509082:-1 gene:B456_010G019900 transcript:KJB63835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFSSTSKAVGSLISRRIRITHFVRNANNGGCSRSSDQIRCVSTISGTETLSSHPLLASPKEDSFVPSNKISDRYTLQKRRFLGCSDGEEGGALSKVHEEKIIVGYSPEQLFDVVAAVDLYHGFVPWCQRSDIVKQYPNGSFDAELEIGFKFLVESYVSRVELSRPKFVKSTVSESTLFDHLINIWEFNPGPVLGTCNLHFLVDFKFQSPLYRQVASMFFKEVVSRLVSSFSERCRLIYGPAIPVLENSYGERT >KJB63836 pep chromosome:Graimondii2_0_v6:10:1506859:1509320:-1 gene:B456_010G019900 transcript:KJB63836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFSSTSKAVGSLISRRIRITHFVRNANNGGCSRSSDQIRCVSTISGTETLSSHPLLASPKEDSFVPSNKISDRYTLQKRRFLGCSDGEEGGALSKVHEEKIIVGYSPEQLFDVVAAVDLYHGFVPWCQRSDIVKQYPNGSFDAELEIGFKFLVESYVSRVELSRPKFVKSTVSESTLFDHLINIWEFNPGPVLGTCNLHFLVDFKFQSPLYRQEVVSRLVSSFSERCRLIYGPAIPVLENSYGERT >KJB68253 pep chromosome:Graimondii2_0_v6:10:60513745:60516094:1 gene:B456_010G234500 transcript:KJB68253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSVKRWSMIRPAQDTPKEKQWISNLDVVMTTYHLPLLIFYKPNGSSDFFKPQVLQEALSKTLVQFYPMAGRLGHDENGRLEILCNAEGVLWIEAETTSAMDDLDGFTPCSKLRKLVPTADYSGDISSYPLIMAQVTTLKCGGVCLGIATHHTLTDGTTAFHFINSWSEMARGLPSISMPPLIDRTLLRARVPPTPRFHHLEYDPPPSLNTSTSLGHNNHKPSIVSVFKITQNQLNTLKAKSWEHGNKTNYSTFTILAAYIWRCATKARGLSYDQPTKLNMPINGRPRLHPPLPSTYVGNAVFAASLIALSGNLQSESFVNTLERVYGTLKRMNNEYLRSALDYLETLPDITAVRRKPDTYQCPNLNINKWTRLSIYDADFGWGRPIYMGPANVVHEGKIYILSSPTDDGSLSLVACLQTAHMKLFEKHLYEGLKSFDKIKARY >KJB63464 pep chromosome:Graimondii2_0_v6:10:2119303:2122031:1 gene:B456_010G026100 transcript:KJB63464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQLFKRTGSLEKSFEGTPKIAKPEKPVDGEVTVNGTPYHKSCFKCTHGGCVISPSNYIAHEGRLYCKHHHGQLIKEKGNLSQLEGDREKDGTEVAAES >KJB63465 pep chromosome:Graimondii2_0_v6:10:2119397:2121978:1 gene:B456_010G026100 transcript:KJB63465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQLFKRTGSLEKSFEGTPKIAKPEKPVDGEKPIATKVSGMFGGTRDKCFGCKNTVYPTERVNIIL >KJB63466 pep chromosome:Graimondii2_0_v6:10:2119397:2121978:1 gene:B456_010G026100 transcript:KJB63466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQLFKRTGSLEKSFEGTPKIAKPEKPVDATKVSGMFGGTRDKCFGCKNTVYPTERVTVNGTPYHKSCFKCTHGGCVISPSNYIAHEGRLYCKHHHGQLIKEKGNLSQLEGDREKDGTEVAAES >KJB63467 pep chromosome:Graimondii2_0_v6:10:2119579:2121035:1 gene:B456_010G026100 transcript:KJB63467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQLFKRTGSLEKSFEGTPKIAKPEKPVDGEKPIATKVSGMFGGTRDKCFGCKNTVYPTERVNIIL >KJB63463 pep chromosome:Graimondii2_0_v6:10:2119242:2122031:1 gene:B456_010G026100 transcript:KJB63463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQLFKRTGSLEKSFEGTPKIAKPEKPVDGEKPIATKVSGMFGGTRDKCFGCKNTVYPTERVTVNGTPYHKSCFKCTHGGCVISPSNYIAHEGRLYCKHHHGQLIKEKGNLSQLEGDREKDGTEVAAES >KJB68658 pep chromosome:Graimondii2_0_v6:10:56136100:56136996:-1 gene:B456_010G1999004 transcript:KJB68658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVVSVFPNEKRKLHTTRSWDFMGFPQQVERATMESDVIIGVLDTGIWPESLSFDDKGLGPPPSKWKGSCQFQPQDNFTCNNKIIGAKYYRSDGLFLPDDFESPRDSDGHGTHTASTAAGNLVDGASLYGFGSGTARGGVPSARIAVYKVCWSDGCQDADILAGFDDAISDGVDIISISLGGGRTRDYFEDAVDIASFHAMKSGILTVSSAGNEGPGRSTISNFSPWSLSVAASTIDRKFSTKVQLGNNKIYE >KJB68659 pep chromosome:Graimondii2_0_v6:10:56136100:56136996:-1 gene:B456_010G1999004 transcript:KJB68659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVVSVFPNEKRKLHTTRSWDFMGFPQQVERATMESDVIIGVLDTGIWPESLSFDDKGLGPPPSKWKGSCQFQPQDNFTCNNKIIGAKYYRSDGLFLPDDFESPRDSDGHGTHTASTAAGNLVDGASLYGFGSGTARGGVPSARIAVYKVCWSDGCQDADILAGFDDAISDGVDIISISLGGGRTRDYFEDAVDIASFHAMKSASTIDRKFSTKVQLGNNKIYE >KJB64056 pep chromosome:Graimondii2_0_v6:10:2669190:2672132:1 gene:B456_010G031000 transcript:KJB64056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPAYHHRSYQNGAGFSSPSHKFLPNGNSKHSSSRHLPLLFTAVNLVYRKGWRRSLCWCLFFFLIGFVFGITLFGNMDTDIRAKDFAFPELKPPHVDLRLDDQIVTSVSLGIHTRLQEPKEVDDLIGPPLKQLIVVTPTYNRGFQAYFLNRLGQVLRLVKPPLVWIVVEEKVASFETAEILRRTGVMYRHVVCTRSPSEPKDRGVHQRNAALEHIERHKVDGIVFFADDDNVYTVELFESLRTIRRFGTWPVAMLAPSKNKAILEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSSILWDPKRWGRPYSNPIRQLDTVKEGFQETTFIEQVVEDESQMEGIIPGCSRIMNWHLHLDTSNLIYPKGWLLEKNLEITLAIK >KJB64057 pep chromosome:Graimondii2_0_v6:10:2669244:2672120:1 gene:B456_010G031000 transcript:KJB64057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPAYHHRSYQNGAGFSSPSHKFLPNGNSKHSSSRHLPLLFTAVNLVYRKGWRRSLCWCLFFFLIGFVFGITLFGNMDTDIRAKDFAFPELKPPHVDLRLDDQIVTSVSLGIHTRLQEPKEVDDLIGPPLKQLIVVTPTYNRGFQAYFLNRLGQVLRLVKPPLVWIVVEEKVASFETAEILRRTGVMYRHVVCTRSPSEPKDRGVHQRNAALEHIERHKVDGIVFFADDDNVYTVELFESLRTIRRFGTWPVAMLAPSKNKAILEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSSILWDPKRWGRPYSNPIRQLDTVKEGFQETTFIEQVVEDESQMEGIIPGCSRIMNWHLHLDTSNLIYPKGWLLEKNLEITLAIK >KJB67623 pep chromosome:Graimondii2_0_v6:10:56352692:56353237:-1 gene:B456_010G200800 transcript:KJB67623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILTLAWCLWFHRNLFVWKQTDAPVSVIVDQADRFLKEWQDAPTVFSRVQCVPSGGSNSRVTWEKPADGWVKCNVDTVVSSTSSGSFAAIVRDHTGSFIRGCLGTALTVWEVQMAELMAIRMTLSWLKTLNFGKVIFESDCGDVIDAYNSSRHDLSEFRLVLQDCLTPFGGLNEWLRRLTSS >KJB66939 pep chromosome:Graimondii2_0_v6:10:48507940:48510702:-1 gene:B456_010G167000 transcript:KJB66939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFQGVGAAPALSSLPSNSFDSKNILFSPRRSFSVRKAPSFVVVRSDGTMNLDLNPKGRRAQQFIANAVATKEDSSAASSSSKPGHELLLFEALREGLEEEMERDPRVCVMGEDVGHYGGSYKVTKGLATKFGDLRVLDTPIAENSFTGMGIGAAMTGLRPVVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPIVIRGPGGVGRQLGAEHSQRLESYFQSVPGIQMVACSTPYNAKGLMKAALRSENPVILFEHVLLYNLKERIPDEDYICNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLTAAITENFHDYLDAPIICLSSQDVPTPYAGRLEEWTVVQPAQIVTAVEQLCQ >KJB65597 pep chromosome:Graimondii2_0_v6:10:18609074:18612408:-1 gene:B456_010G102800 transcript:KJB65597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTHDHSCEDHDCSSNWSLYKHIDLAKVTALNEANPGSVKSVFKAWEERLNSSGERLESNEGDPELLVYIPFTSDVKIKSISVVGGADGTSPSKLRVFINREGIDFSDAQSMQPVQEWELVENLQGVLEYQTRYSKFQSVANITLHFPESFGGDTTQIHYIGFKGEATQLKRDVVATIVYEITPNPSDHKTRAETGGLSHVE >KJB65599 pep chromosome:Graimondii2_0_v6:10:18609833:18612227:-1 gene:B456_010G102800 transcript:KJB65599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTHDHSCEDHDCSSNWSLYKHIDLAKVTALNEANPGSVKSVFKAWEERLNSSGERLESNEGDPELLVYIPFTSDVKIKSISVVGGADGTSPSKLRVFINREGIDFSDAQSMQPVQEWELVENLQGVLEYQTRYSKFQSVANITLHFPESFGGDTTQIHYIGFKGEATQVFQFLL >KJB65598 pep chromosome:Graimondii2_0_v6:10:18609101:18612359:-1 gene:B456_010G102800 transcript:KJB65598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTHDHSCEDHDCSSNWSLYKHIDLAKVTALNEANPGSVKSVFKAWEERLNSSGERLESNEGDPELLVYIPFTSDVKIKSISVVGGADGTSPSKLRVFINREGIDFSDAQSMQPVQVLKVSKCGKYYIAFSREFWW >KJB63721 pep chromosome:Graimondii2_0_v6:10:950238:954522:-1 gene:B456_010G012300 transcript:KJB63721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 1-acyl-sn-glycerol-3-phosphate acyltransferase 4 [Source:Projected from Arabidopsis thaliana (AT1G75020) UniProtKB/Swiss-Prot;Acc:Q8L4Y2] MEVCRPLKTDDKLKHRPLNPYRFFRGMICLVVFLSTAFMLLAYLGPGAVLLRYLSIHYSRKATSFFFGLWLSMWPFLFEKVNGTKVVFSGDDVPRKERILIIVNHRTEVDWMYLWDLAMRKGCLGYIKYILKSSLMKLPVLGWGFHVLEFISVDRKWETDETILHQMLSTFKNPRDPLWLALFPEGTDFTEEKCEKSKKFAAEVGLPVLTNVLLPKTRGFCLCLETLRGSFDAVYDLTIAYKRQCPFFLDNVFGVDPSEVHIHIQRIPINNIPTSNAEASAWLTNRFKVKDELLSDFKSQGQFPDQKSEEQLSTLQSLLNFTVIIALTTIFTYLTFSYKLCMAYVSLACLYLAYITHCKIFPMPLEILPFVKGKKDD >KJB67243 pep chromosome:Graimondii2_0_v6:10:53033130:53033444:1 gene:B456_010G182300 transcript:KJB67243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKTITKGIVIKKELEKDIKKRVKCYECQGYDYIQSECANTLKKKKLLCVTWNDDDSSSGFDSKKDHQNFIAFTANVKDDFKKELDTGCDEDFLKTYKDMLGK >KJB63976 pep chromosome:Graimondii2_0_v6:10:2200408:2200992:-1 gene:B456_010G027300 transcript:KJB63976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSQGNVPFSWENKPGISKETPQLLQSFKEGDDHYTLQKKLPPPPCRLEIAKVPMHDIAIPLPPCVFQPPLRTCSKGSGVDGGDDPFLAAYKECTKGSNKGKKGRGWFVLKKGFFSFACKGSCGVRDDSLVRVSQLPIERDID >KJB66763 pep chromosome:Graimondii2_0_v6:10:43815514:43817405:-1 gene:B456_010G157000 transcript:KJB66763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTHSLSLPFSIQFPSHSPQKFTSFPKLPFRNPKIPFLILSRSSPKPAPSTEQEALKFIADSDGTTLPCVRTYENDLARLTLVGAVSFDQALTAAAADGGRAATEHVDSGIPAMVIETVFPGPTAKSATVSTRLFLPARKVKEKAQKLKKSFSEDIFSGTSSKNILAMTFRQVVMQKIWSFELILFRPGTERDMEDLENPREVPASFTLRSSEERVISVLGEVVCISALQSTEKHFLENLLGKTPSNFFRWFRKSKGIVSKDSSVVLHKVFEDEIVENARNLLESFNSSKESFNATDLRQKNYWWTHPLQSKLEKNGGHEFSAWTSEYIPAYRLEIDANTLKNVKFEGWRESNESRWQVLLTHSQMVGLADILDMYYEDVYTLPKKQLHSGMVANYNSLTKAKVSKCILIVKI >KJB66761 pep chromosome:Graimondii2_0_v6:10:43812907:43817416:-1 gene:B456_010G157000 transcript:KJB66761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTHSLSLPFSIQFPSHSPQKFTSFPKLPFRNPKIPFLILSRSSPKPAPSTEQEALKFIADSDGTTLPCVRTYENDLARLTLVGAVSFDQALTAAAADGGRAATEHVDSGIPAMVIETVFPGPTAKSATVSTRLFLPARKVKEKAQKLKKSFSEDIFSGTSSKNILAMTFRQVVMQKIWSFELILFRPGTERDMEDLENPREVPASFTLRSSEERVISVLGEVVCISALQSTEKHFLENLLGKTPSNFFRWFRKSKGIVSKDSSVVLHKVFEDEIVENARNLLESFNSSKESFNATDLRQKNYWWTHPLQSKLEKNGGHEFSAWTSEYIPAYRLEIDANTLKNVKFEGWRESNESRWQVLLTHSQMVGLADILDMYYEDVYTLPKKQLHSGMVANYNSLTKAKRNSSFLKVISSIIASGILLITISTISLFSFPYLGRGGKYLGKSRSPSSIIESTTIQSVDAEKVVLSTEGKIVGFQPTSRVGVNHWAVNPLTKELYGGKKLSPGLIEPRLKIQLPNEIVVLELLMSVNPDSCFALARPIQ >KJB66762 pep chromosome:Graimondii2_0_v6:10:43813180:43817361:-1 gene:B456_010G157000 transcript:KJB66762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTHSLSLPFSIQFPSHSPQKFTSFPKLPFRNPKIPFLILSRSSPKPAPSTEQEALKFIADSDGTTLPCVRTYENDLARLTLVGAVSFDQALTAAAADGGRAATEHVDSGIPAMVIETVFPGPTAKSATVSTRLFLPARKVKEKAQKLKKSFSEDIFSGTSSKNILAMTFRQVVMQKIWSFELILFRPGTERDMEDLENPREVPASFTLRSSEERVISVLGEVVCISALQSTEKHFLENLLGKTPSNFFRWFRKSKGIVSKDSSVVLHKVFEDEIVENARNLLESFNSSKESFNATDLRQKNYWWTHPLQSKLEKNGGHEFSAWTSEYIPAYRLEIDANTLKNVKFEGWRESNESRWQVLLTHSQMVGLADILDMYYEDVYTLPKKQLHSGMVANYNSLTKAKRNSSFLKVISSIIASGILLITISTISLFSFPYLGRGGKYLGKSRSPSSIIESTTIQSVDAEKLETFCVLVIQKIKDAFGWPGEIATETNIGAWIGEIPSCLKAPHQANSNSEDNSTSFADVKKIDADIKSSVQDIASYQVVLSTEGKIVGFQPTSRVGVNHWAVNPLTKELYGGKKLSPGLIEPRLKIQLPNEIVVLELLMSVNPDSCFALARPIQ >KJB67460 pep chromosome:Graimondii2_0_v6:10:54977275:54978792:1 gene:B456_010G193000 transcript:KJB67460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFFFSFFFSYINPPYILITDPHQLFLVFSQTFFNLFISRSIFLLRSLFQELANTQEPLVEETNKNQEVVLALYEALNTRDVETVHKILAPDLEWWFHGPPTHQFLMRLLTGASSDDSFCFEVDPLSVTTFGPTVIVEGCDHSRSISWVHAWTVTDGIITQVREYLNTSLTVTRLGNSTQSPPSDYNPSSSSSSSSSTGEITSVHCPSVWESSFSNRVGKSVPGLVLAI >KJB63398 pep chromosome:Graimondii2_0_v6:10:1470842:1471417:-1 gene:B456_010G019400 transcript:KJB63398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIKDTPKNLPASTCRCLRKGGPVPVTELKAIFKRFDTDKDGRLSKHELRNAFASLGSRQPGWRAWRGLKQADRNGDGYISDEELEVLLQYVLKCGYTTK >KJB67997 pep chromosome:Graimondii2_0_v6:10:59048604:59049981:1 gene:B456_010G221100 transcript:KJB67997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIAKLQHRNLVRLLGCCLEKNEKLLVYEFMPNKSLDMFLFDSSLPAQLVWQKRFNIIKGTARGIMYLHEDSRLRIIHRDLKASNVLLDHEMNPKISDFGVAKIFGRDQNEANTNRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVLLLEIISGKRNNGFHL >KJB67996 pep chromosome:Graimondii2_0_v6:10:59048270:59050183:1 gene:B456_010G221100 transcript:KJB67996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIAKLQHRNLVRLLGCCLEKNEKLLVYEFMPNKSLDMFLFDSSLPAQLVWQKRFNIIKGTARGIMYLHEDSRLRIIHRDLKASNVLLDHEMNPKISDFGVAKIFGRDQNEANTNRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVLLLEIISGKRNNGLIPCYAWKLWSKGEGMELLDKHLVESSVPNEVLKCIQIGLLCVQSDPADRPTMSTVVAMLGSDTIIVPLPAKPAFYVGRFIEESVQPNSSYKLCSVNEVTISNMSP >KJB67417 pep chromosome:Graimondii2_0_v6:10:54405544:54409744:1 gene:B456_010G190300 transcript:KJB67417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTHTDPEKPNAAGTAENEGMSPVEEVALVVPETDDPTLPVLTFRAWFLGLVSCVLLIFLNTFFTYRTQPLTISAILMQISVLPIGKFMARTLPTTQYSLLGWHFSLNPGPFNIKEHVIITIFANCGVSFGGGDAYSIGAITVMKAYYKQSLNFLCGVLIVLTTQILGYGWAGMLRRYLVDPAEMWWPSNLAQVSLFRALHEKDSTKKGLTRMQFFLAVMVASFAYYALPGYLFPILTFFSWVCWAWPHSITAQQIGSGYHGLGIGAFTLDWAGISAYHGSPLVTPWSSIVNVGIGFVMFVYIIIPLCYWKFNTFDARKFPIFSNQLFTHSGHKYDTTKILTPQYDLNISAYNSYSKLYLSPLFALSIGSGFARFTATLTHVALFNGSDILKQSRSAMKNVKLDIHAKLMKKYKQVPEWWFYILLIGSIVLSLMMSFVYKKEVQLPWWGMLLAFALAWIVTLPIGVIQATTNQQPGYDIIAQFIIGYILPGKPIANLLFKIYGRISTIHALSFLSDLKLGHYMKIPPRCMYTAQLVGTVVAGIVNLGVAWWMLDDIDNICDVEGNHPDSPWTCPKYRVTFDASVIWGLIGPRRLFGPGGMYRNLVWLFLIGAFLPVPVWVLSKIFPEKKWIPLINIPVISYGFAGMPPATPTNIASWLITGTIFNYFVFKYHKRWWQKYNYVLSAALDAGTAFMGVLLFFALQNEGHNLKWWGTEVDHCPLATCPTAPGISVDGCRVFK >KJB64608 pep chromosome:Graimondii2_0_v6:10:6459084:6463306:1 gene:B456_010G056900 transcript:KJB64608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKDKEQVTPEIDDLDDNYYTKTSCSLLSWGDFLCTQMENWSLKHSPLHVDVFSGSNRLESISEDSVTTERQTDLLTNFIPTLRSGEWSDIGGRPYMEDTHICIADLAKNFGCDLVSEEAISFYGVFDGHGGKDASHFVRDHLPRVIVEDVDFPLEIEKAVTRSFMETDAAFAKSCSLESSLASGTTVLTAMIFGRSLLVANAGDSRAVLSWHGRAVEMSKDHRPCCMKERRRIEALGGFVDDGYLNGQLGVTRALGDWHIEGMKETGERIGPLSAEPELKMITLTKEDEFLIIGSDGIWDVFTSQNAIDFTRRRLQEHNDVKLCCKEIVEEAIKRGATDNLTVVVVCFHLEPPQPSVRQRGRVRRSISAEGLQSLKCLLEG >KJB63926 pep chromosome:Graimondii2_0_v6:10:1973820:1975214:1 gene:B456_010G024800 transcript:KJB63926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKSRMAREKHMEKNKPTKGKHLESNKEAMTIQCKVCMQAFICTTSEVKCKEHAEAKHPKSDIFASFPHLKK >KJB68589 pep chromosome:Graimondii2_0_v6:10:61867520:61869248:-1 gene:B456_010G252700 transcript:KJB68589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLDLSIIVLALIFLRLWWRYWSTTGGGPKNLPPGPPGWPLVGNLIQIILQRQHFIFIVRGLRKRYGPIFSMQMGQRTMVIVTDSRLIHEALVQRGPTFASRPPDSPIRLVFSVGKRAINSAEYGPLWRTLRKNFVTEVITPTRVKQCGWIRKWAIENHMKRIESDAMETGVVEVMSNCRLTICSILICLCFGAKISEQRIKEIESILKDVMLITSPQLPDFLPVLTPLFHRQMKKAKALRKKQLECLVPLIKARRAFVEKGENPNQEMVSPLGAAYIDSLFGLEPDKTRDPLGEEEYVTLCSEVISAGTDTSATTVEWAMLHLVMNQDIQDKLYHEIVECVGKNGDIKEEDVEKMAYLESVVKETFRRHPPSHFLLSHAAIKDTELGGYTIPEGVHVEFYTAWITEDPDIWSDPGEFRPDRFLRGDGVGVDVTGTRSVKMLPFGAGRRICPAWNLGVLHIKLSLAKMVQAFKWLPVPDSPPDMTETYAFTVVMKNPLKAVILPR >KJB66702 pep chromosome:Graimondii2_0_v6:10:41821888:41825023:-1 gene:B456_010G153000 transcript:KJB66702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLIFKTPFKFKISNTRISPSIFPSRERIGFRHFSRTKCFQIPGSLNVSSAKAFLSAEPSSYGGWDDFEPGTWSSNSGESIRLRDFLVSIRIDDKKHVFMFLSGLVCALVISRVRVSAIILILASILVFGVGFSLGFVKGGSFNDLSSNKRRSKEESSRVYSEKLRSLVDFFYGFDIKINNLKNNIQRAIDSNRINVADLENYANLVESMRLSASNAKNIVEASMDNVGNSYRENKKPSSRKKEAGEARFELLHFLGALFGEKQVASKPNKVTDTSQSESVDTDLNNKTFGDVSLPAVEDMVFNSPNNIKWVSNQGFARDSLNKSDLNRERDRKIDVDLENEKIMSDLLGGSATRFVNSEEYNYESKRLQYMNTHDISFSSSHADKRKRWKSDDNLLHSMDFSVRLEHMETEASFIHEQLHHESSRSYRFSNSEEKIENEAYGKRQHYEHDSDLADRLTTAENEVISPSSSKVVDDMVFNKYLTEASGLLKEAKECMKGRHDEERVEVILNRSASLLSQAISMKPMSLLAVGQLGNTYLLHGELKLHVSRELRTLFTKNDPITRERPQGRVVNRLDQFSCRDKIISLLVSACEECEDLLVRAGQKYQLALSIDGDDVRSLYNWGLALSFRAQLIADIGPEAAYDADKLFLAAIDKFDVMMTRGNVHAPDAFFRLGAILQQRSRLRPGNDKEKMKLLLQAKSLYEDALHIDSKNLQVRDALSSCISELRYRYF >KJB65094 pep chromosome:Graimondii2_0_v6:10:11750131:11750852:1 gene:B456_010G080600 transcript:KJB65094 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g17800 [Source:Projected from Arabidopsis thaliana (AT1G17800) UniProtKB/TrEMBL;Acc:Q9LMU7] MRRRAIIMVILMVLQFGAIHSKPTTYMVGDEDGWDSGLDMEGWTKGKTFHAGDFLVFTYDGQQFDVAVVNQTGHDSCSLNDGAKVFHSGNDKIQLAFGANYFIDTVADLCAAGMKMAINATAPPPSV >KJB65825 pep chromosome:Graimondii2_0_v6:10:22196052:22201222:1 gene:B456_010G115000 transcript:KJB65825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSSSTPILYIPQSSPVVDSCHRSSPKPISMTASRHDKIQRTPSDSNMRQTAVPKKPRPPPLMNIRSIGSQDSLKDEGTDNIDLSMLSLAGGDVGSKSGTQGFGDHGEGKQMMDDYYQNMIKTYPGETLLLANYAKFLKEVRGDLVKAEEYCERAVLVKPDDGEVLSMYGDLIWTNHKDEARAQSFFDRAVQASPNDCHVIASYARYLWDAEEEEEKKGKGEEHQMNGGNSCTPQPSPHGHGPFPHRPPWFTATAY >KJB68132 pep chromosome:Graimondii2_0_v6:10:59876749:59881066:-1 gene:B456_010G227500 transcript:KJB68132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLKAPFKGIANDVKGRAGCYKQDWITGLRSGLGILAPTTYIFFASALPVIAFGEQLSRDTDGSLSTVETLASTALCGILHSIFGGQPLLILGVAEPTVIMYTYLYNFAKGRDELGQELYLAWVGWVCVWTALLLFLLAVFNACTVINRFTRIAGELFGMLISVLFIQEAIKGVVSEFQVPEHQDAKLEKYQFQWLYTNGLLGIIFSLGLLYTALKSRRARSWWYGTGWFRSFIADYGVPLMVVVWTAMSFSVPSKVPSGVPRRLFSPLPWESASTQHWTVIKDMGRVPSLYIFAAFIPAVMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKGQIIRRKMVESAKESIKQKASESEIYGKMQAVFIEMDRSPETAVVKELEDLKKVVMKGENEGEIKKETFDPEKHIDAYLPVRVNEQRVSNLLQSLLVAASVCAMPAIKLIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFITPTRRYKVLEHVHASFVESVPYRFMAMFTLFQFIYLLICFGVTWIPIAGILFPLPFFLLIIIRQYILPNLILPNYLRELDAAEYEELTGAFPRTSLSFSSREMDISRLENEADAVERFDAELLDELTTSRGELKLRTVSFSEERKGQVYPKDIVEEE >KJB65460 pep chromosome:Graimondii2_0_v6:10:15847420:15848932:-1 gene:B456_010G0956002 transcript:KJB65460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AWECTPGKEMAWNILSLFTTSGMLFLEVSLLAFLLQGNHASGLQALTRTFVISGLIVGLDLLLKAIYLFGFGVPLFIDNSEHPRQIKWGLWVVHRLVLTAIYGSILFMYHSKWRER >KJB66854 pep chromosome:Graimondii2_0_v6:10:45990983:45995236:-1 gene:B456_010G161100 transcript:KJB66854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLNVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLRELRDHTDPNIVVMLIGNKSDLRHLVAVSTEDGKSFAEKEFLYFMETSALEATNVENAFAEVLTQIYHIMSKKAMETSEEGNASAVPSKGEKIDVSKDVSAMKKGGCCSS >KJB67282 pep chromosome:Graimondii2_0_v6:10:53587257:53588697:1 gene:B456_010G184900 transcript:KJB67282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVKEKTKMEVAFPVIDLSKINGEERGATMDMIKDACENWGFFELMNHGISHELMDTVERLTKEHYKKCMEERFKEMVTSKGLEVVQSEITDMDWESTFSLCHLPKSNLYEIPDLEDDYRKVMKQFAVELKKLAEKLLDILCENLGLEQGYLKKVFYGSKGPTFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDDQWIDVPPLKHFIVINLGDQLEVISNGKYKSVMHRVLAQTDGTRMSIASFYNPGSDAVIYPAPALVDKEAKKPIAYPKFMFEDYMKVYPSLKFEDKEPRFEAMKTMESAVSLGPIATV >KJB66492 pep chromosome:Graimondii2_0_v6:10:35198908:35200895:1 gene:B456_010G141900 transcript:KJB66492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKMMRWRPRPPLVSKKYEVKLIVRRLEGWDLVGEGWEKPEKLKVEIRWKGPKASLSSLRRTVKRNFTKKVNGVDENGVVVWDEEFQTLCNLSTYKENAFHPWEIAFSALNGLKQGPKSKVPVVGVASLNLAEHVSVAEQKELELNIPLILSTDAGELCPRLCISLSLLELRIDQEINEPIERALVPFASPLQSRETLTMEKDEISTIKAGLRKVKFFRENVSTRGAKKACREDEGSEGRCSARGDDGEYPLDTDSLDDSEEGESDEVKDDLLSGRSVYSSMRISVGDEDWVYYSNRKSDVSCSNVEDSAKLVSEPSLLQSSKRSILSWRKRKLSFRSPKGKGEPLLKKGYGEKGGDDIDFDRRWRKTDDDSSANCTSISEFGDDSFAIRNWEQKEVVSRYGCMKLKSEVFFASIDQRGERAAGESACTALAAVIADWLQNNRDLMPIKSQFDSLIREGSLEWRKLCENESYREWFPDKHFDLETVLQAKLRPLSVVPRKPFIGFFHPEGVDEGSFDFLYGAMSFDNIWDEISRVGAECQNSDLSEVYIVSWTDHFFVLKVDPEAYYIIDTLGERLYEGCN >KJB68331 pep chromosome:Graimondii2_0_v6:10:60882657:60883105:-1 gene:B456_010G239100 transcript:KJB68331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTKIWAIFKRLLKKESWKWKHVLGSAFKWKRLHIHHFSFIDDLLFKIASIFEAIFLVSTLCFFYLCCGCQI >KJB65212 pep chromosome:Graimondii2_0_v6:10:12889723:12891477:-1 gene:B456_010G085500 transcript:KJB65212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCSLFHSSLSSKSKSKFPLPRHQNNTKFNRPPPTVISCTSSSTYDPCPSTTSSNSNSPSPLKSGRLADENIRDEARRHRSIANNTFSAKYVPFNAGPDSTESYSLDEIVYRSLSGGLLDVQHDIEALKKFDGAYWRELFDSRVGKTTWPYGSGVWSKKEWVLPEIDPDDIVSAFEGNSNLFWAERYGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKLKRPVVGVGCASTGDTSAALSAYCAAAGIPSIVFLPANKISIAQLIQPIANGAFVLSIDTDFDGCMKLIREVTSELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFKMCQELGLVDRVPRLVCSQAANANPLYLCYKSGWIQFKAVKANTTFASAIQIGDPVSIDRAVYALKNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALSALIKLRNSGVIAAGDRTVVVSTAHGLKFSQSKVDYHSKKIPDMACRFANPPVQVKADFGSVMDVLKKYLGNKTPNH >KJB66688 pep chromosome:Graimondii2_0_v6:10:42503987:42505219:1 gene:B456_010G154700 transcript:KJB66688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTIERYRRHTRDNETNKPIEQNLQHLKAKSANMLKTVEDLEVSRRYCSFYKIQPPPKYILALKYKALFLHLEPPMLLETKDVELAFLLVQSASS >KJB65464 pep chromosome:Graimondii2_0_v6:10:17581693:17582591:-1 gene:B456_010G099500 transcript:KJB65464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAYSPLCSGPTAITPFGSLESQSACNRLPPMQLLRVITITLPHPRFPNPCFPLLCFSIFYPRPQPSDSPTPPCTDTFFITILPDFAFTRLLFIELFPDFPGYRLVFLPK >KJB64909 pep chromosome:Graimondii2_0_v6:10:10092979:10094563:1 gene:B456_010G071900 transcript:KJB64909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGSFPRFFYHQHDLQYLDLSNIYFKGSQFPHWLLENNTLLEKLVLTNSSLSGPLQLPFASQTRLSHLEISCNFFNGNIPVEIGAQLPALRFIKMRDPRFLVYEPFFLTLDVSNNQLSGRIPRWMGNMSFLKRIIMSNNHLERTIPVVFCQLGLKLLDLSVNIISGSILSCFNPSRIRQVHLSKNRLRGTLPDVLRNSSTLVKLDISDNFLSGSIPSWIGLLNLSYLLLSKNNFQGETPMELCKLSHLSLINLSHNNLSGRIPPCLKITTLQDVSKYYVGRLMARGFSIFSFNEPIEFPIKNVSNSYKGRIIPYISGIDLSCNKLVAKIPYEFGNFYKLLVLNLSHNSLAGPILPTFVNLMQIESLDLSYNNLSGNIPYQLVGLNFQVYFIVSFNNLSGKTPPRIAQFGTFDESSYKENPFRCGEPLPECADNGPSLSMPNSSTNNTEDDDLIDMGVFHISFIVSYMILLMTVVL >KJB65399 pep chromosome:Graimondii2_0_v6:10:15188546:15195174:1 gene:B456_010G093400 transcript:KJB65399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRSTASQLIYAASGDDDDFQIPPTQTLSASLKPNSHKTPLKPSNPPHPSFKKRNHAANSGKENAVVSTVPATRSDDLPVLRDICGLDLIPSSIDSSFDSTSAQNKESDTVKCDEKKMESLELTKGYMCNSVESRLIRPISELSEGFCEVCEEDEELDELLKLCDEVEEKEEETSREEEEDNGIEQERNAEDNGSVPCPLCGVDISNLNEEQRLVHTNGCLDKVENPPPKVVIPSSVDSELHSLPEVVDGPLLSPRQVVDVSPVVNWLSGLGLAKYAAAFVQEEVDWDTLKWLTEEDVFSIGVTALGPRKKIVHALSELRKGGSCAAEPHLDHPKHEKGSAKSNKRKMQTKLSNVADDETTKPAANKLITDYFPGYVSDRKKVCTPTRGQNRSDKGQSSAGRRPVQKNNVKNGKLKDIPSWCCIPGTPFRVDAFKYLRGDCSHWFLTHFHMDHYQGLTKSFRHGKIYCSSITARLVNLKLGIPWAMLQVLPLNEKINISGIYITCLDANHCPGSIMILFEPPNGKAILHTGDFRYSEEMGGLSLLHACPIHTLILDTTYCNPQYDFPKQVAVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLFLEVARVLRRKVYITAAKLRLLECLGFSKEDMQWFTSNDQESQIHVVPMWTLASFKRLKHISNHYARRFNLVVAFSPTGWTFGKGKKKSPGRRWQQGTIIRYEVPYSEHSSFTELREFVKLVSPENIIPSVNNEGPDSAKAMISHLLP >KJB67209 pep chromosome:Graimondii2_0_v6:10:52768829:52771374:-1 gene:B456_010G180600 transcript:KJB67209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWAICLDLKTRSKKWESLDKNIDPKNELGQKITPVFEMGWASDEEFDCIDLGHDFYVVKFLSAEDLLTVITAGSWKIMDHYLTIQKWKPNFHPTMGTIASIAVWIQLLGLPLEYFNEEVLVKVGKLVGRPIKLDSNTVYTTRGKFARIYIEIDLSKPLIPSIRIGNFVQNIEYEGLHNICFSCGCFGHRTEACVLKKMVTDLEELSEAVQTKNTKATSEKTDKATK >KJB66057 pep chromosome:Graimondii2_0_v6:10:26385392:26385715:1 gene:B456_010G1258001 transcript:KJB66057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKTHLQDNPFFSKLCISWIVSWNYSYEQDQHTGIPLLVRNYRTKWWDKFNGEKYDSKYLDNFFNKNPRLSKSTASAMLAQAKTKKEYKKTHG >KJB63731 pep chromosome:Graimondii2_0_v6:10:989441:991978:-1 gene:B456_010G013100 transcript:KJB63731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLLSPLVGTILDSLRSWSLKELELPGSLKTEVANLESTLTTIQSVLQDAEKQWKSEAIKNWLGKLKQAAYDLEVVVEDFNTEALSRSLHTDARSQVTAFFSLRNPLLFRLDMARKFKNVREKLDAIAGEKSKFHLREGVGEAEIERNEDRQTSSLVKESEVLGRADEKEKIVGMLLCNESHHDDLSVYAICGMGGLGKTTIAQLVFNDENVAKVFDLRGWVCVSDDFDIKRLTKAIVESFGGNSCGIQELDPLQRCLAEKLVGKRFLLVLDDVWNEYHDKWDRLKQALQCGRKGSTVIVTTRLEKVALMMATTPFYRLGCLSDDDSWSLFKQRAFGMGMNGSNANLETIGRRIVQRCGGVPLAITAIGSILRFRSQESEWLRVKDSEIWDLEDEGSRILAALRLSYEHLPPYMRQCFSFCSIFPKDSVMTKDELMGLWMANGFIPSRGALDLHDMGCEIFSELTWRSFFQEIKEDFDGTVTCKMHDLIHDLATSIMGQECCVIEGNEGSQIPKTARHLFVYNSSPSTNVMDLTKLQPLQSLIQRGCRFNLSNRSGFFCKQKYLKVLDMDFNSTNIAFKSSKHLRYLCLHGAHVKTLPESTSSLHNLQTLNLRHCCNLQMLPKGTKNLKNLRYLDIRNCHELTSIPVALGQLSFLRKLSMFIVGKEDGCGIDELKGLALEGELSIKGLHNVKSLMEAKNANLIKKHNLRSLSLSWRVSSNASSHYQNDEEILSALQPHSNLKKLCIIGYQGLMFPYWMMDVLLPNLVEIALENCERCHQLPPLGKLRFLKVLNICKISALKYIDDTFYGDMESSFPSLEVLSIHMAPCLMEWTTVMVENIFLS >KJB67708 pep chromosome:Graimondii2_0_v6:10:57112166:57115744:1 gene:B456_010G205100 transcript:KJB67708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MESTSFVLAIFHILYCITTYVLGSYKIKTWKTWESEAKTDNYQYYNDPERLRFVRDTSFGRRHLKFWSRSPLSVWIVCFFRQFSGSVRKVDYLTLRRGFIMAHFHPEKETQFEFQNYIEKSLEEDFKVVVGISPHIWFIAVLFLLAYTHGWRSYFWLPFIPLIIILMVGTKLQVIITKMGLRIQEKGGVVWGAPVVEPGDEHFWFDRPGFLLSLIHIILFTNAFQLAFFAWSTYEFTINSCYHEKTEDIIIRISMGVIIQVLCSYVTLPLYALVNLVGTKMRSTIFDQRIADSLKNWHHTAKENTKHGKLSKNTTPFSSKPATPTHGMSPVHLLHGYPRKSEESGHTSLTHSNFENDRWDPEDMFTNSSSHRDIDVPDVSRGGLQSERREVADVTVQEFRALEMASMSPQIP >KJB64240 pep chromosome:Graimondii2_0_v6:10:3630182:3631295:-1 gene:B456_010G038800 transcript:KJB64240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRASEFLHWDELLPDVLGLIFSYLSLQELPTIIPCVRKSWRKAITGPYCRQDIDLAKWSRRCRSHHLDRMLPMLITRSNGSLRSLHVSGLQNDTIFSFITKNAGSLQVLRLPRSEMSGWIVDQSAQRLSTITFLELSYCRKIDAYTIKAIGKDCKFLVTLPQLAPTGFGYHFPNRRSGECNSYNNP >KJB68455 pep chromosome:Graimondii2_0_v6:10:61491606:61496403:-1 gene:B456_010G246100 transcript:KJB68455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSMIRYFSTKPKPKMKPIELKTSPEQTQTISRVTFDILKEHGPLTIGDTWERVKEVGLRALTSKRHMKIVLRWMRGRQNIRLICNHVGPHKQFL >KJB63483 pep chromosome:Graimondii2_0_v6:10:66838:68842:1 gene:B456_010G001700 transcript:KJB63483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEKGTTYLVSSFFFTIILTIVCQRTVKAQSLPPAKYDGFLYTNHRVDSDTIMIETFFDPVCPDSRDAWPPLKQAIHHYGSRVSLIAHLLPLPYHDYAFSTSRALHIVNLLNPSATFRLLESFFEYQGRFYNAQTSNKSRDAVVDEIIKLAAETVGNSYYSAIESGFNDRKTDLKTRISFKYSASRGVFGTPTFYINGFAVPDSGSAIDYKQWRSFIDPLLLAQSRKGDDLPHSL >KJB67749 pep chromosome:Graimondii2_0_v6:10:57378468:57379619:-1 gene:B456_010G207900 transcript:KJB67749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKREKIAPSFQVPDDIEIDILSRLSVKSLLRFKCVKKSWRNFIEDPVFIAMHLDYYGKSSVGFLLYGSRPYKYIKQYLNCSSIDVSMSIKILFGESPGYMIGSINGLVCLSNNLYFFLKEDKRLSIYICNPSTREILELPECHHAYNDFVLSIGFGFCPKFNDYKVVVVKLLDSVVNVRDPNCCLFSLGVEVYSWNRNSWRSTKIVNPRSVLTTSFQGKGYFNGAFHWGGRNLKSSKRMIMSFQFDEEVFRELNLPNHPDFQEENYFLNALIIEYQNFFSLIIKQKVNMHVYDLWVMKEYGVSDSWIKQLTIEVPVLEDRLMFRPVMSFENNGELLVLSDWYGRIVWYNTKTKQIERSKEVLRGRSIRYKESLVSLSRKKVV >KJB67981 pep chromosome:Graimondii2_0_v6:10:58938582:58939408:-1 gene:B456_010G220400 transcript:KJB67981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPDSSCPYGLKLMINNYPYAAAIETWVTEFCSFYYPSDETVKNDTEIQSWWSEVKNEGHGDLRKEPWWPEMNTLADLTQACTIIIWIASAINFGQYLYAGYLSNRPIVNCRFMPKPGTKEYDELENDPDLAFLKTITTQFQALLGVSLIKGLSRHATNEIYLGQRDTAEWTTDDEPLAAFERFRKKLMEIESRIMERNNDSKLKNRVGLVKVPYTLLYPNTSDYSREGGLIGKGIPNSISI >KJB65554 pep chromosome:Graimondii2_0_v6:10:18107248:18109402:1 gene:B456_010G100700 transcript:KJB65554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNRSFYPGIGRSYTVPIYHLYLFINSPGRWVIPGVAIYDTMQFVQPDVHTICMGLVASMGSFLLAGGVITKRLAFPHARRQ >KJB65574 pep chromosome:Graimondii2_0_v6:10:19248296:19249112:1 gene:B456_010G105700 transcript:KJB65574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNKENNIANSQTHPNNGTVNKPRRLSMESLQRTISDISFELTKEAIDATQLPSISEVEEASCECCGMSEECTPEYINQVRDKFSGKLVCGLCAEAINEEVVKNGGKREEALNEHMSACVRFNRFGRTHPVLYQAEAMREILKKSSGVRAKSMSPRDKSGPKKGGIARSSSCLPAFAKEIRDRAMVN >KJB68071 pep chromosome:Graimondii2_0_v6:10:59610896:59614395:-1 gene:B456_010G223800 transcript:KJB68071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLARSRRRSLANPSLFHLYSTSSNDPDNDNATTNGNHSANNPPSVSSYFGDVKTDQHQQRPRNPTNPSTPNSKPMSVSASLLEIRKNLSEFRRRSTVPSSAEPIPTPSQSQPHISFQELFKRNALAKQGESNESPDGFGTSGKRAMETLRNSLRHMKQNSEANNNVEGRSGPLISLSAFKNDLKLKPSADRVVSPVIGGTSAELPASIFAREMKGKAKGGETAMRTEFVRMYGYEELGQRLKELRPPDKEGGFSLQELNERLIKLRAIEEKETDVRISGVNFTDLRDGLYTLKRFDEEKQKNKLAQKVDILDLFGRTPEFMLHPPKEQLVEKYFHPDNMSSAEKMKIELAKVRDEFKMSESDCGSARVQVAQLTTKIKHLSSVLHKKVTLQMRFSLYTWILSLQ >KJB68070 pep chromosome:Graimondii2_0_v6:10:59609979:59614478:-1 gene:B456_010G223800 transcript:KJB68070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLARSRRRSLANPSLFHLYSTSSNDPDNDNATTNGNHSANNPPSVSSYFGDVKTDQHQQRPRNPTNPSTPNSKPMSVSASLLEIRKNLSEFRRRSTVPSSAEPIPTPSQSQPHISFQELFKRNALAKQGESNESPDGFGTSGKRAMETLRNSLRHMKQNSEANNNVEGRSGPLISLSAFKNDLKLKPSADRVVSPVIGGTSAELPASIFAREMKGKAKGGETAMRTEFVRMYGYEELGQRLKELRPPDKEGGFSLQELNERLIKLRAIEEKETDVRISGVNFTDLRDGLYTLKRFDEEKQKNKLAQKVDILDLFGRTPEFMLHPPKEQLVEKYFHPDNMSSAEKMKIELAKVRDEFKMSESDCGSARVQVAQLTTKIKHLSSVLHKKDKHSRKGLLAMVQKRKKLLKYLRRTDWDSYCLVLLKLGLRDTADSKNY >KJB67884 pep chromosome:Graimondii2_0_v6:10:58654826:58656701:1 gene:B456_010G217900 transcript:KJB67884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPQDSSMEGVPGTPGIREVRPESSSEDFKFCTVSSRDSNPGIRRVGLRAEIDTSPPFESVKEAVTRFGGSGPWVPLYKFGEAYHGIEEFDIKKVEEQAAELEKDLIVKELETLDVLEELGTTKRIVEDLKKQLKDEALKCMTKTPHLNPDEHMSTVNNEHHEHVRIGSSRPYHRRRPVSSPDSVLMELKQAKLNLGETINDLGNIVDPSTTTEGPRAVSVLETTGEMRWFAAKKMEEAAMAAEALALVELNALAGTKGSSGFSFPEPESKPEQSPRTPKVLQKAAEEVSNREMIHSMHEFDEANNISQLTILRKLEEASNAMKKSKKALEEALKSVEIANKKQLDAEESLRKWNKQVVYNGSNINNLQRSPLKDVMMNKQNPKPVPRPTVSMRDMLKKANEGQTERQKVALSQMLDELKQDLRFHPKTAAGDHDKKHHGDDHRKQVFTQRRRFGFIHISLPLSKQSKKKPQALNTM >KJB65134 pep chromosome:Graimondii2_0_v6:10:12064958:12067786:-1 gene:B456_010G082300 transcript:KJB65134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDLLSQTKPIPIPTQEEDPSSQSTALLSFNTDSCLDPSTKASPSRPSTTIIFIALTLLACIALSAALAFAFLFYSSSSPSSSFSATLHNISRPLKTLEKPVVILISSDGFRFGYQFKTSTPNIHRLIANGTEAETGLIPVYPTLTFPNHYSIVTGLYPAYHGIVNNHFVDPKTGEVFNMQSHEPKWWLGEPLWETVANHGLKASTYFWPGSEVKKGSWDCPKNFCMFYNGSVPFEDRVDTVLSYFDLPSSEIPVFMTMYFEDPDHQGHQVGPDDPEITEAVARIDGLIGRLIDGLEKRGIFEDVTIIMVGDHGMVGTCDKKLIFLDDLAPWINIPGDWVQYYSPVLSIRPPPGYAPSDVVAKMKEGLESGRVDNGKHLRVYLKEELPSRLHYAESDRIPPIIGLVDEGFTVEKKRTKHKECGGAHGYDNAFFSMRTIFIGHGPQFARGKKVPSFENVQIYNLVTSILKLEGAPNNGSSAFAESVLLPSQ >KJB65135 pep chromosome:Graimondii2_0_v6:10:12065234:12067650:-1 gene:B456_010G082300 transcript:KJB65135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDLLSQTKPIPIPTQEEDPSSQSTALLSFNTDSCLDPSTKASPSRPSTTIIFIALTLLACIALSAALAFAFLFYSSSSPSSSFSATLHNISRPLKTLEKPVVILISSDGFRFGYQFKTSTPNIHRLIANGTEAETGLIPVYPTLTFPNHYSIVTGLYPAYHGIVNNHFVDPKTGEVFNMQSHEPKWWLGEPLWETVANHGLKASTYFWPGSEVKKGSWDCPKNFCMFYNGSVPFEDRVDTVLSYFDLPSSEIPVFMTMYFEDPDHQGHQVGPDDPEITEAVARIDGLIGRLIDGLEKRGIFEDVTIIMVGDHGMVGTCDKKLIFLDDLAPWINIPGDWVQYYSPVLSIRPPPGYAPSDVVAKMKEGLESGRVDNGKHLRVYLKEEVLQ >KJB63618 pep chromosome:Graimondii2_0_v6:10:1003794:1006254:-1 gene:B456_010G013500 transcript:KJB63618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQSVCHSFHAPKFKFPNHRRFPFQSNLKPPITNPTLRTRNPRLQHVSKWSCTREVKKIVCASGSNSNPISVGVEPFRGKSGSVSFHGLTHQLVEENKLMSAPFQEEKGSLLWVLAPVALICSLIVPQFFFSNAIEAFFKDETLIEIVSSFSFEVMFYIGLGMFLLVTDHVQRPYLQFSAKRWGLITGLKGYLASAFLSMGFKVIAPLIAVYVTWPVLGFPTLVAVAPFLAGCAAQFAFEMALEKRQSSCWPLVPIIFEVYRLYQLTRATQFIQHFLLLMKDSPRTPEMFERGGALIGMIGTFQALAVVCLWSLMTFLLRLFPSRPVAENY >KJB67650 pep chromosome:Graimondii2_0_v6:10:56555585:56558184:1 gene:B456_010G202100 transcript:KJB67650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRYSDSSVQSDMKLWPFKVIAGPGDKPMIVVTYKGEEKQFAAEEISSMVLIKMREIAEAYLGATIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNSLENYAYNMRNTIKDEKIGSKLDPADKKKIEDAIDGAIQWLDGNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMGGGMDEDAPPTGGSGAGPKIEEVD >KJB63384 pep chromosome:Graimondii2_0_v6:10:12914794:12917153:-1 gene:B456_010G085600 transcript:KJB63384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEMRAKNQFVCVAKKVNEQLRSTFWPEKQKFSAFSSAQKHFKKVSLLAPNEVYSSLLFTQKHFWV >KJB65649 pep chromosome:Graimondii2_0_v6:10:19152644:19154759:-1 gene:B456_010G104900 transcript:KJB65649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNRLHNQVASMRRSLFDQLEELQDDTNPNFVQEVVTLFYNDSTRLIQNIEQALNSRPIDFCKLDDYMHQFKGSSSSIGAKKVTNECTAFREYCNAENAEGCIRSFQQVKQEHAILKRKLEVYLQTVRQASQTA >KJB67212 pep chromosome:Graimondii2_0_v6:10:52772114:52777092:1 gene:B456_010G180700 transcript:KJB67212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:Projected from Arabidopsis thaliana (AT1G11790) UniProtKB/TrEMBL;Acc:A0A178W336] MALKCVPIFFCSQKPQSLLEIPALGYSRGRIVLDLRTIRLECCALGASAQSAICLVEDEKPGAKTSPTGKIDNDGNTISRGFHKDLNLLPKPLSKTDLSPFPDDGSNVRVAFQGISGAYGEDAALKAYPNCETVPCDQFEAAFNAVELWLVDKAVLPIENSVGGSLHRNYDLLLRHRLHIVREVLLVVNHCLLALAQCEMALAKMGIVRVSADDSAGAAKIVASSGRRDMGAIASARAAALYGLDILAEKVQDEDDNVTRYLVLTREPIIPGTDRLYKTSIVFTLEEGPGMLFKALAVFSLRGINLSKIESRPQKGRPLRVVDDSNKGSAKYFDYLFYIDFEASMAEQRAQNALEHLQEYARFLRVLGCYPMDEVL >KJB67211 pep chromosome:Graimondii2_0_v6:10:52772114:52775784:1 gene:B456_010G180700 transcript:KJB67211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:Projected from Arabidopsis thaliana (AT1G11790) UniProtKB/TrEMBL;Acc:A0A178W336] MALKCVPIFFCSQKPQSLLEIPALGYSRGRIVLDLRTIRLECCALGASAQSAICLVEDEKPGAKTSPTGKIDNDGNTISRGFHKDLNLLPKPLSKTDLSPFPDDGSNVRVAFQGISGAYGEDAALKAYPNCETVPCDQFEAAFNAVELWLVDKAVLPIENSVGGSLHRNYDLLLRHRLHIVREVLLVVNHCLLALPGVEKQEVKRVLSHPQALAQCEMALAKMGIVRVSADDSAGAAKIVASSGRRDMGAIASARAAALYGLDILAEKVQDEDDNVTRYLVLTREPIIPGTDRLYKTSIVFTLEEGPGMLFKALAVFSLRGINLSKIESRPQKGRPLRVVDDSNKGSAK >KJB67210 pep chromosome:Graimondii2_0_v6:10:52771921:52777103:1 gene:B456_010G180700 transcript:KJB67210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:Projected from Arabidopsis thaliana (AT1G11790) UniProtKB/TrEMBL;Acc:A0A178W336] MALKCVPIFFCSQKPQSLLEIPALGYSRGRIVLDLRTIRLECCALGASAQSAICLVEDEKPGAKTSPTGKIDNDGNTISRGFHKDLNLLPKPLSKTDLSPFPDDGSNVRVAFQGISGAYGEDAALKAYPNCETVPCDQFEAAFNAVELWLVDKAVLPIENSVGGSLHRNYDLLLRHRLHIVREVLLVVNHCLLALPGVEKQEVKRVLSHPQALAQCEMALAKMGIVRVSADDSAGAAKIVASSGRRDMGAIASARAAALYGLDILAEKVQDEDDNVTRYLVLTREPIIPGTDRLYKTSIVFTLEEGPGMLFKALAVFSLRGINLSKIESRPQKGRPLRVVDDSNKGSAKYFDYLFYIDFEASMAEQRAQNALEHLQEYARFLRVLGCYPMDEVL >KJB68085 pep chromosome:Graimondii2_0_v6:10:59691030:59692878:-1 gene:B456_010G224700 transcript:KJB68085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKSMDQHKQIRCRALTRPPILFLHSYFHFNYSLLLQEQALLECDNDIDTAIKRLEDLCLGAAEGRGEKTCPVEELGTTAEQGILTNNGESVAAAAAVTIQNQSASENMPADVLENSISKRAAEETAQNFQEVLSLNHLWISIYHSFSRLARGPANV >KJB68397 pep chromosome:Graimondii2_0_v6:10:61196920:61198183:-1 gene:B456_010G243100 transcript:KJB68397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKTFRIAMYPWVAIGHITPFIHMANKLAQRGHKISFFLPAKKLGKVEAFNLYPDLITFMPIIVPHVEGLLIGAETTNDVPFPFHPLIMSAMDRTEPDIEAYLRELKPHFVFFDFTCWMPAFTRKLGIKSVLYCIISSGTIGYLLSPARKILERGLTGLDLLKPPKGFPSSSIKVRMFEAQGLAAVTTMDYGSGISFAERHLRSFSDCDAIGFKTCKEIEGPYCEYTGNQFEKPMLYAGPVVPEPQKMVLEERREKLLSNFEANTLIFCAFGSECVLKEDQFQELVLGLELTGLPFLVAIERVNGRGFVYGGWMPQQLILRHLSAMVNDCQLVLVPHVGDQIINARLMAGDLRIGVEVEKGEEDGLFTKDDVNKAVKALMDDDSDLGKEIRANHAKWKEFLLASSN >KJB63761 pep chromosome:Graimondii2_0_v6:10:1108091:1111717:-1 gene:B456_010G014700 transcript:KJB63761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFHSVTATSTVAIIAFPLLFLFSFLWISRRNTHLKKTAPEAGGAWPIIGHLRILGGPQPPHISLGNLADKYGRIFTIKLGLHRALVVSNWEIAKECLTINDKAFATRPKLTSSEHFGYNCAMIGFAPYGPYWRQVRKFSTIELLSNHRLELLKHVRELEVKTSLQQLYQLWNKKKSSTCDKVLVDMKRWFRDVTLNIILRIVIGKRIPNSYEGDETVKWKKSLDDFFELSGKFLISDALPYLRWLDIGGDEKSMKKVAKDLDQVAEEWLREHKEKRAENGANSEEDFMGLLLSILSDTEEHDADTINKAISLNLILAAEDTSAITMTWALSLLLNNRDALNKVKQELDMHVGKDRLLVTESDTKNLVYLQSVIKETLRIYPAAPLSVIHEAIEDCSVSGYHVSAGTWLLLNLQKIQRDPQIWEDPSEFRPERFMTTHKDIDVKGHDFELIPFSSGRRMCPGISFALKILELTLANVLHWFEIETLSGEAVDMREAPGLTSPKATPLEDTRTNTLSSKRRKGLLATRCIVLKYVIAIVLKLGVHRALVVSIGAERSEK >KJB68103 pep chromosome:Graimondii2_0_v6:10:60371618:60374497:1 gene:B456_010G232800 transcript:KJB68103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFFLSGNSCPAVKNILILDSEGKRVAVKYYSDEWPTNSAKLAFEKSLFGRTLKSNARTEAEITIFDSNIVIYKFVQDLHFFITGGDDENELLLATVLQGLFDAIALRLRNTIDKREALENLDVIFLCIDEVVDQGMILETDANAIAGKVAIQNMDASATLSEQTISQALASAREHLTKTLLK >KJB66570 pep chromosome:Graimondii2_0_v6:10:37616270:37618207:1 gene:B456_010G143900 transcript:KJB66570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g64310 [Source:Projected from Arabidopsis thaliana (AT1G64310) UniProtKB/Swiss-Prot;Acc:Q9C7V5] MVFQIHSLLSELSKHHQTILKTKQLHALISKTHLSLDPFFATKLLRFYAINHDLCSARNLFDKAPQRSVFLWNSIIRAYAQAHHFNHSLSLFNQMLASETKPDNFTYASVTRACYENFDLERMRIVHTRVILSGLGLDSICGSALVTGYSKLCLVDEASKVFYRIPEKDLVLWNAMVLGYGNRGLLNKGLELFSWMRHMDQQPDGYTLVALTSGLMDSRLLSVGQGIHGFCLKTGFDCTVHVGSALVSLYSRFKCLDWANTVFSSLVQPDLVAWSSLITGYSQCGVYDKVFFYFRKLNMEKGRTVDSILISAVLAATAESANARFGVEIHGYVLRHGLESNVVVSSALIDMYSKCGFVSLGIRVFEIMPERSVISYNSLISGLGLNGLASQAFKMFDEMLEANLKPDDSTFSSLLSACCHAGLLDDGWEVLRRMISEFSIQPKTEHYVHMVKLLGMAGEMEEAYNLILCLPNPVDSGILGALLSCCEAHGNSELAEAISLQLLENEPNKSAYRVMLSNIYAVNGRWDDVWRLREYITQKKFPGLSWIESGKR >KJB63909 pep chromosome:Graimondii2_0_v6:10:1837705:1840889:-1 gene:B456_010G023400 transcript:KJB63909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFILLALLAHASPIQCKHPHPKINMIILAGQSNMAGRGGVFNDTITETLTWDGIVPPECQPNPSILRLTANLTWVEAHEPLHADIDYNKTNGVGPGMPFANTVLNKDPNFGVIGLVPCAIGGTNISQWQKGEFLYEKLVKRSQFAMQSGVYRAMLWYQGESDTENQQDAELYKDKLKRFFNDLRFDLKTPKLPIIQVALASGPGAYIEEVREAQLKTDMAYVKCVDAKGLPLGPDGLHLTTSSQVTLGQMLGHAFLGY >KJB63460 pep chromosome:Graimondii2_0_v6:10:12361:15344:1 gene:B456_010G000200 transcript:KJB63460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKNSEIVLGVWRNDQLIPIFSPIFPLFLTFFSPLLAYSFSLLYKYFSFSLVFSSLSLLSVFSSIYGSPIHSPSLSLSICTLSIFSSNFLALGNQIPFFVFLLFLFFFFLIFETLHHRRLILGISVF >KJB65797 pep chromosome:Graimondii2_0_v6:10:24665971:24666916:-1 gene:B456_010G121200 transcript:KJB65797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLNWPTEGDFQIASFDSSPLQIVCMDCRRNHEAKKTRFRDKYGDVAQLLCVKPDDALLKATVHFWDHTYRCFTFNKVDMVPTIEEYSTLLHYDFRNLLRIY >KJB65272 pep chromosome:Graimondii2_0_v6:10:13355067:13356532:1 gene:B456_010G087200 transcript:KJB65272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCSKEGLNRGAWTALEDKILTDYIKVHGEGRWRNLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNISADEEELIIKLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTNLSKRVSDRQKSPAAPSKKPEAARRGTAGNGNANGNGSGSSSTHVVRTRATRCSKVFINPHHHTQNRDPKPSSTCSNHGDHGESKTMNELLLPIMSESENEGTTDHISSDFTFDFNMGEFCLSDLLNSDFCDVSELNYSKGFDSSPSPDQPPLDFSDEMLKEWTAAASTHCSHQRVASNLQSLPPFLENGIE >KJB64874 pep chromosome:Graimondii2_0_v6:10:9079609:9085671:1 gene:B456_010G069100 transcript:KJB64874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLKEDEKNERIIRALLKQPENRRCINCNSLGPQYVCTSFWTFVCITCSGIHREFTHRVKSVSMAKFTSQEVSALQEGGNQRAKEIYFKEWDPQSNSVPDSSNVERLRDFIKHVYVDRRYSGGRNYDKPPRGKMGDKEDFYENRRTDGYQGGSRSPPYEDTYERRFSERSSPGGRNDDRNSRYGYDERRSPGYDQESRQYSDYRSPARHEVVSDWRREDRFANGRKPEDHRVSDGDPKLEGRSPERPKDLESSSPPVARPVREILGENVVPLRISEPPKANGSRIIDGPQTQRTASSSSLGSTGGNPAEVKLEMTGSLIDFDADPEPPVASTVTQAPQTTETESFVQTISSSNDQNWASFDFASQKNVSQARSNVNTLDSVLSQLSVPASVPGDQSGVYSSVGGQIPAPMANVNGTPLGINSSIAFTGQIEMSPFGTAAPAAAPVSNFSTLPSTGALAAAPGLMPVNGGSPQVGGYNAGQQPNMQQQQTSFFSSAGGQSTTQQFIPPVDGASTNQPWNFAPSQHMLGPLSASVAQIPQAVSKPTQDATSTVASKPPTETKESGRKELPADLFASNYPSYTAAAPGWQTGPPRGMGLMMQYNTAVPMSSLPQSSRSMNPFDLGSEAPPVQTQTFPSMASLQGALPNMPPPSGPVRTSSLGTPSSAWMSPQSLPYASSVAQRPYPGAQLPNSLPPSSHHIGGIGSEVCFGFVNTDQQVAGRLAAPAAPQPFSSVGGNPFG >KJB64872 pep chromosome:Graimondii2_0_v6:10:9079394:9085686:1 gene:B456_010G069100 transcript:KJB64872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLKEDEKNERIIRALLKQPENRRCINCNSLGPQYVCTSFWTFVCITCSGIHREFTHRVKSVSMAKFTSQEVSALQEGGNQRAKEIYFKEWDPQSNSVPDSSNVERLRDFIKHVYVDRRYSGGRNYDKPPRGKMGDKEDFYENRRTDGYQGGSRSPPYEDTYERRFSERSSPGGRNDDRNSRYGYDERRSPGYDQESRQYSDYRSPARHEVVSDWRREDRFANGRKPEDHRVSDGDPKLEGRSPERPKDLESSSPPVARPVREILGENVVPLRISEPPKANGSRIIDGPQTQRTASSSSLGSTGGNPAEVKLEMTGSLIDFDADPEPPVASTVTQAPQTTETESFVQTISSSNDQNWASFDFASQKNVSQARSNVNTLDSVLSQLSVPASVPGDQSGVYSSVGGQIPAPMANVNGTPLGINSSIAFTGQIEMSPFGTAAPAAAPVSNFSTLPSTGALAAAPGLMPVNGGSPQVGGYNAGQQPNMQQQQTSFFSSAGGQSTTQQFIPPVDGASTNQPWNFAPSQHMLGPLSASVAQIPQAVSKPTQDATSTVASKPPTETKESGRKELPADLFASNYPSYTAAAPGWQTGPPRGMGLMMQYNTAVPMSSLPQSSRSMNPFDLGSEAPPVQTQTFPSMASLQGALPNMPPPSGPVRTSSLGTPSSAWMSPQSLPYASSVAQRPYPGAQLPNSLPPSSHHIGGIGSEVCFGFVNTDQQVAGRLAAPAAPQPFSSVGGNPFG >KJB64873 pep chromosome:Graimondii2_0_v6:10:9079141:9085686:1 gene:B456_010G069100 transcript:KJB64873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLKEDEKNERIIRALLKQPENRRCINCNSLGPQYVCTSFWTFVCITCSGIHREFTHRVKSVSMAKFTSQEVSALQEGGNQRAKEIYFKEWDPQSNSVPDSSNVERLRDFIKHVYVDRRYSGGRNYDKPPRGKMGDKEDFYENRRTDGYQGGSRSPPYEDTYERRFSERSSPGGRNDDRNSRYGYDERRSPGYDQESRQYSDYRSPARHEVVSDWRREDRFANGRKPEDHRVSDGDPKLEGRSPERPKDLESSSPPVARPVREILGENVVPLRISEPPKANGSRIIDGPQTQRTASSSSLGSTGGNPAEVKLEMTGSLIDFDADPEPPVASTVTQAPQTTETESFVQTISSSNDQNWASFDFASQKNVSQARSNVNTLDSVLSQLSVPASVPGDQSGVYSSVGGQIPAPMANVNGTPLGINSSIAFTGQIEMSPFGTAAPAAAPVSNFSTLPSTGALAAAPGLMPVNGGSPQVGGYNAGQQPNMQQQQTSFFSSAGGQSTTQQFIPPVDGASTNQPWNFAPSQHMLGPLSASVAQIPQAVSKPTQDATSTVASKPPTETKESGRKELPADLFASNYPSYTAAAPGWQTGPPRGMGLMMQYNTAVPMSSLPQSSRSMNPFDLGSEAPPVQTQTFPSMASLQGALPNMPPPSGPVRTSSLGTPSSAWMSPQSLPYASSVAQRPYPGAQLPNSLPPSSHHIGGIGSEVCFGFVNTDQQVAGRLAAPAAPQPFSSVGGNPFG >KJB67413 pep chromosome:Graimondii2_0_v6:10:54807050:54810902:-1 gene:B456_010G191500 transcript:KJB67413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTSEEMNQNSRANGLIQNSNGSLEEKLDELRHLMGKMEGDPLRIVGVGAGAWGSVFTALLQDSYGHLRDKVLIRIWRRPGKIVDKATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETRVVFEEIRRYWKERITVPIIISLAKGIEAELEPEPRIITPTLMISRATGIPIENILYLGGPNIASEIYNKEYANARICGAERWRKPLAKFLRQPHFIVWDNGDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQQLAKGGLSLEMGDSIKGKGMIQGVSAVKGFYELLSQSSLSVQHPEENKPVAPVEFCPILKMLYKVLITREFPLQAILDALRDETMYDPKDRIEIAQTHVFYRPSLLGHRP >KJB67412 pep chromosome:Graimondii2_0_v6:10:54807050:54810298:-1 gene:B456_010G191500 transcript:KJB67412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTSEEMNQNSRANGLIQNSNGSLEEKLDELRHLMGKMEGDPLRIVGVGAGAWGSVFTALLQDSYGHLRDKVLIRIWRRPGKIVDKATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETRVVFEEIRRYWKERITVPIIISLAKGIEAELEPEPRIITPTLMISRATGIPIENILYLGGPNIASEIYNKEYANARICGAERWRKPLAKFLRQPHFIVWDNGDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQQLAKGGLSLEMGDSIKGKGMIQGVSAVKGFYELLSQSSLSVQHPEENKPVAPVEFCPILKMLYKVLITREFPLQAILDALRDETMYDPKDRIEIAQTHVFYRPSLLGHRP >KJB67411 pep chromosome:Graimondii2_0_v6:10:54807050:54810899:-1 gene:B456_010G191500 transcript:KJB67411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTSEEMNQNSRANGLIQNSNGSLEEKLDELRHLMGKMEGDPLRIVGVGAGAWGSVFTALLQDSYGHLRDKVLIRIWRRPGKIVDKATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETRVVFEEIRRYWKERITVPIIISLAKGIEAELEPEPRIITPTLMISRATGIPIENILYLGGPNIASEIYNKEYANARICGAERWRKPLAKFLRQPHFIVWDNGDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQQLAKGGLSLEMGDSIKGKGMIQGVSAVKGFYELLSQSSLSVQHPEENKPVAPVEFCPILKMLYKVLITREFPLQAILDALRDETMYDPKDRIEIAQTHVFYRPSLLGHRP >KJB64100 pep chromosome:Graimondii2_0_v6:10:2962091:2967441:1 gene:B456_010G033000 transcript:KJB64100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQGVQLFIQDDHVVMDNGILQVTISKPEGIVTGVSYQGIDNLLEIRNHESDRGYWDLVWSEEGTGGTTGTSYVIKGSKFEVTVENEEQLEISFTRDWSTSLEGKHVPLNIDKRFVMLRGSSGFYSYAIYEHLKEWPGFNLPQTRVVFKLRKDKFRYMAVADNRQRRMPLPDDRSPRRSSPLAYPEAVLIVHPVESEFKGEVDDKYQYSCENKDLHVHGWICNDPPVGWWQITPSNEFRSGGPMKQNLTSHVGPINLAVSNKQMFLSAHYVGEEMVPKFQRGEPWKKVFGPVFVYLNTLIDNNDPLWLWEDAKQETNTQVQCWPYNFLASDDFPKSEQRGCVSGRLRVSDRYVSNEHISVNGAYVGLAPPGDVGSWQTESKGYQFWTKTDENGYFLINDIRAGDYNLYAWVPGFIGDYKNDTIITITSGCDIDMGELVYEPPRDGATLWEIGIPDRTAAEFYVPDPDPKYINKLYVNHPEKYRQYGLWERYSDLYPNGDLVYTVGVSDYRTDWFFAQVTRKKDDGKYEGTTWQIKFNLDHINQTGTYKLRVALATAYVAELQVRINNPKANPPLFTTGVIGHDNTITRHGIHGLYGLYSIDLKGTLLMEGENTIFLTQPMCSSALQGLMYDYIRLEAPPS >KJB63766 pep chromosome:Graimondii2_0_v6:10:1169661:1171434:-1 gene:B456_010G015300 transcript:KJB63766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFHSTPTPSTLVIIAFPLLLVFLFFFLWISKSNPTNINNGKLAPEAGGAWPIIGHLRVLRGPQPPHISLGNMAEKYGRMFTIKLGVHRALVVTFATRPKLTAWEILGYNNSMIAAAPYGPFWRQVRKFATVELLSNHRLDLMKHVRKSAIKTSMQELYQLWNTKKSNVTLNMILRVVVGKRIPNSYEGAETLKWRKSLDDFCELGRKFVLLDALPFLRWLDIGGEEKLMKKMHKENRAENKANSDEDFMGVMLSILHDAEEHDADTINKGTSLALILAAEDTTSITLTWALSLLLYNLTESDTKNLVYLQSIIKETLHLYPAIPLSGIHKTTEDCTINGFLVSAGTWLIFNLQKIHCDPLGKILLIFDPKDL >KJB66821 pep chromosome:Graimondii2_0_v6:10:45165602:45166679:-1 gene:B456_010G1597001 transcript:KJB66821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGMVEKHDEEKRVDNEQAENISAMSFPSQKCSSLDLNEEASSKEDYDYNIIGNGKQGDEIEKTSEGNSSNNNNNIVGEKGNGRRRVRQYVRSKLPRLRWTPDLHLSFVHSVERLGGQDRATPKLVLQLMNVKGLSIAHVKSHLQMYRSKKRDEAGQ >KJB64986 pep chromosome:Graimondii2_0_v6:10:10812136:10813158:1 gene:B456_010G075700 transcript:KJB64986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDFKIHEEDIWTNLVDGISSITFSNRAKDFIERKMAKIIIIQLLGIKIAFDTLLNRVTMLGKTKCPFQLMDLENDYYLARFNDEENYYKVLTNGPWVIFGQYLTVRPWSPDFSMVQDEAIGSVVKIDKNTDSAKRGRFTRMAICVDLQRPLVSKVRIDGHVQRVEYKSLPNICFRCGLYGHGVDSHLPQYLQRRIEEEDYELWMIVERQQRRKGRSLGGKSSDNQDSLGGGSSFDVLGENQGLTEVEEANMVRELSIEKGTIKGTSNGRNPKVAVAYTRNGSKVKGKGILVAFEPK >KJB65323 pep chromosome:Graimondii2_0_v6:10:13732733:13735379:-1 gene:B456_010G089900 transcript:KJB65323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCSSHVVSAYEDAYTYLHHPAVIIGGLFALVALILSLFLILQHLRFYTNPAEQKWIVSIVFMVPVYATESVISLWNPRFSLACDILRSCYEAFALYSFGRYLIACIGGETRVIQLLENESRKRLGKLLLERGEGEGGGGEEEEEEEIHQKSFCNFFFRPYLLGKDLLTIEKFGIVQYMILKTLCAFLALFLEVFGVYGDGEFKWYYGYPYITVVMNFSQMWALYCLVQFYNATHERLRPIKPLAKFISFKAIVFATWWQGVGIALLCAYGVLPKHGKMQTALQDFFICIEMAIAAVAHVFVFSAEPYHFLPVSENRKGMVSAETTKATLKVEQSNEEKPAMVEKTETQVEAPGTSIKESVQDIVVEGGHRVLEDVVLTINQAIGPMEKGVTKI >KJB68329 pep chromosome:Graimondii2_0_v6:10:60869826:60875220:-1 gene:B456_010G238900 transcript:KJB68329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSICMAISASSSNTSFNQCLNPFLGKLLPSKPNQNLRRTACVRVNTKALLSSSKQAVLNDFHERRALKIISGLQNFDRENVASVVTAADKGGATHVDIACDPDLVRLAIGLTSLPVCVSSVDPAAFSAAVEAGALMVEIGNYDSFYEAGVIFSPEQILKLTKETRSNLPSITLSVTVPHTLSLPDQVKLAEMLEKEGVDVIQTEGGKCSTPSKSGVLGLIEKATPTLAAAYSISRAVKIPVMCSSGLSAVTAPMAITAGAAGVGVGSAVNKLNDVIAMVAEVRSIAESLKMAAADQQITHTDRSFMM >KJB67791 pep chromosome:Graimondii2_0_v6:10:57738455:57740534:1 gene:B456_010G211100 transcript:KJB67791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKNENESVSVSKNESVSENENESHDKSVQQIQHPFHKEHPLVLVAEQSNEGLKAYCDGCGELLSGPCFTCFHCNYHLHKQCAEAPLEIPNHRLHPKHSYAGFFLRQKPCLYDDLVYGCALCKEKRNIDSDYLCNLCQKQHSGPFYGCSLCHFNINVECAWPRSTVEDKTHHQHPFTILRRQDSFICDACGTEGNYISYICSTCSLMVHKDCTSLPLIIKFSRHDHCIFHKYFLKGLTRQDCKICFKEVRLDCGSYSCGKLGCNYVVHVNCVLEEEWLYEVMEEEKQCEELEKKSMQSSIIRVIEVNEAGEAIKIQHLSHQHCLVLANKIEEDIDRKCDGCMLPISNIFYYCLECPFFLHKTCAELPRIKQHWFHQSNSTLYFDCFKKCDFCNQRCSGFFYKIGEGWDMCLRCAKVSDIIECKGHQHFLFFDFKYKEKCNGCGETNRYGAFRCGKCRFALDFGCLTLPHSALHKIDEHKLKLTYHDDKEQSYCDICEKYRDPSLWHYSCSICDTSAHIKCVLGHFPFLKDGVTFIYYHKHHHDLKFFRKVKGYPECSYCGKLCQEEILKCEKSTCNYIIHNKYKCRWRY >KJB67859 pep chromosome:Graimondii2_0_v6:10:58280406:58281664:-1 gene:B456_010G215400 transcript:KJB67859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPSFAISFLFYIPISILFFNNFFAESTLSSEPMATTAANLGGFRPSGRSQNSAELENVARFAVDEHNKKEKGMVEFVRVVKATEQVVAGTLHHLTVEAIDGGKKKLYEAKVWVKPWMNVKELQEFKHADDSPSCTTSDLGIKKDGHGHGLQGVPIHDPVVEGAANHALKAIQQRSNSLVPYELKEILHANAEVVEDLTKLEMVLKVKRGDKEEKLTVEVQHLSHGTFHLNRIEPHHS >KJB67860 pep chromosome:Graimondii2_0_v6:10:58280521:58281635:-1 gene:B456_010G215400 transcript:KJB67860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPSFAISFLFYIPISILFFNNFFAESTLSSEPMATTAANLGGFRPSGRSQNSAELENVARFAVDEHNKKEKGMVEFVRVVKATEQVVAGTLHHLTVEAIDGGKKKLYEAKVWVKPWMNVKELQEFKHADDSPSCTTSDLGIKKGVPIHDPVVEGAANHALKAIQQRSNSLVPYELKEILHANAEVVEDLTKLEMVLKVKRGDKEEKLTVEVQHLSHGTFHLNRIEPHHS >KJB64556 pep chromosome:Graimondii2_0_v6:10:6376300:6376629:-1 gene:B456_010G055800 transcript:KJB64556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAAELMFRCVFEGSISMQDCLTERRPYHRNCQCALHNLKGVCSSTCTSPTTNISFPKKQTWGDCSLSLLAPKFSSPSPLLPNASFTNTLQNTDSTPVLYETEAQHS >KJB63279 pep chromosome:Graimondii2_0_v6:10:11660581:11661304:1 gene:B456_010G080100 transcript:KJB63279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLRVGFAVMLIFGFVLNMVHSSNAQSLAPASPPMSDGVTVDQGIAYVLMLLALVLTYIIH >KJB67795 pep chromosome:Graimondii2_0_v6:10:57788874:57793800:-1 gene:B456_010G211600 transcript:KJB67795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVHLRKFTSNSNLLLRVTILTDKFGQPKGFSYVESVEVDAVQSALLLNESELHGHQMQVCNLIYMWIITSLNTTLYYRNQHTTSIISMMGKSTNGSLILS >KJB66599 pep chromosome:Graimondii2_0_v6:10:38497844:38498648:1 gene:B456_010G146200 transcript:KJB66599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFLSCKMKSQQLGNRRVLCSKKGKKFLKNAWIIILFCISKVFCSFAVTRSGRGKTGEILCHHSPIRVYSFIEGRLFVTLYLLQIFNFLPSP >KJB68190 pep chromosome:Graimondii2_0_v6:10:60276180:60278383:1 gene:B456_010G231100 transcript:KJB68190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGCSKKLLDLIPQEKKWGFIENQNGISHGSSEEKKLELKLGPPGEEDEPLVSFGYFSSTKSNAKHAHNKFPPPQEDHRGGVVLAASWAKNHHHQPKPPNCSQKRTAPGPVVGWPPIRSFRKNLATTSKLASESPTSLPHKVANERNPAAPETRGKGLFVKINMDGVPIGRKVDLKAYDSYEKLSTAVGELFRGLLAAQRDPSADGPKQEEEKAITGVLDGNGEYTLVYEDNEGDRMLVGDVPWQ >KJB68189 pep chromosome:Graimondii2_0_v6:10:60276180:60278745:1 gene:B456_010G231100 transcript:KJB68189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGCSKKLLDLIPQEKKWGFIENQNGISHGSSEEKKLELKLGPPGEEDEPLVSFGYFSSTKSNAKHAHNKFPPPQEDHRGGVVLAASWAKNHHHQPKPPNCSQKRTAPGPVVGWPPIRSFRKNLATTSKLASESPTSLPHKVANERNPAAPETRGKGLFVKINMDGVPIGRKVDLKAYDSYEKLSTAVGELFRGLLAAQRDPSADGPKQEEEKAITGVLDGNGEYTLVYEDNEGDRMLVGDVPWHMFVSTVKRLRVLKSSELSVINLGSSKKGKRVQN >KJB68191 pep chromosome:Graimondii2_0_v6:10:60275956:60278954:1 gene:B456_010G231100 transcript:KJB68191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGCSKKLLDLIPQEKKWGFIENQNGISHGSSEEKKLELKLGPPGEEDEPLVSFGYFSSTKSNAKHAHNKFPPPQEDHRGGVVLAASWAKNHHHQPKPPNCSQKRTAPGPVVGWPPIRSFRKNLATTSKLASESPTSLPHKVANERNPAAPETRGKGLFVKINMDGVPIGRKVDLKAYDSYEKLSTAVGELFRGLLAAQRDPSADGPKQEEEKAITGVLDGNGEYTLVYEDNEGDRMLVGDVPWHMFVSTVKRLRVLKSSELSVINLGSSKKGKRVQN >KJB66115 pep chromosome:Graimondii2_0_v6:10:27443206:27445540:-1 gene:B456_010G127800 transcript:KJB66115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIEYLSTNETEIKTNEEIIKKSGGWKFTSLLLVNQGLATLAFFGVSVNLVLFLTRVLDQDNSDAANNVSKWTGTVYLCSLMGAFLSDSYWGRYLTCAVFQLILVLGLGLLSFASWLFLINPADCGDGTKMCRHSSSVGVGTFYLSIYLIAFGYGGHQPTIATFGADQFDDSNPKAADSKAAFFCYFYFALNVGSLFSNTILVYYEDSGKWTLGFLVSLGCAIIALLLYLLGTSRYKYIKPCGNPLTRVAQVFVAAYRKWNVAPATADALYEVEGPESAIKGSRKIPHSDDFKFLDKAATITQYDLCGRKDPWRLCTVTQVEEVKCVLKMLPIWLCTIIYSVIFTQMVSLFVEQGDVMTSKLGDFHLPAASMSAFDICSVLICTGIYRQILVPLARRLSGSPKGLTELQRMGIGLVMGMMAMIAAGVTEIQRLKLVRPGEKKSRLSIFWQVPQYILVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSLLVSMVMGTTARDDSPGWIPADLNQGHMDRFFFLIAAQTALDFIIYVFCAKWYKCINLYTNGNRKEIQLEEQQN >KJB66889 pep chromosome:Graimondii2_0_v6:10:47074525:47075425:1 gene:B456_010G163100 transcript:KJB66889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSRNQRLMLLLVKSELHFFFVWFPVKSKPESRGERAASAQISVLESKLACIGSMERSCLFLDQKIASQNFRISDLKSDIENIDTKYDSSSRKLKAVKSEIEEIEEVEKERVKFYELKISEMNEFRECEELKSTFMELPGNNGYMSSSEIAEAKMKRAELLAMKESLTKTLASNHQRRAELQK >KJB66902 pep chromosome:Graimondii2_0_v6:10:47557515:47559782:-1 gene:B456_010G164000 transcript:KJB66902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVIYHAMSFVDLQFPNGQLTYVSYEGLTTSAFLPLCGGLLQAQGQYPGEMRYSFSCKNKWGTRITPMVLWPNKSFALGLSQALAWKRSGLMMKLSIQFRARRSKWNGNVGNSGIVVRVDTPLSSVGRPSFSVQINNVIEF >KJB66035 pep chromosome:Graimondii2_0_v6:10:27221234:27221947:1 gene:B456_010G127200 transcript:KJB66035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFGWFPQMRNRDSVLNALNGHSDHGQIEIKKILPKISFTLSLQMRSKLLLLSQHYTFRFVSGLEIRCNR >KJB66009 pep chromosome:Graimondii2_0_v6:10:25449028:25450919:1 gene:B456_010G124100 transcript:KJB66009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKGKEETLPPGFRFHPTDEELITFYLVNKISDANFTGRAIADVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSNSRVTSELVGMKKTLVFYRGRAPRGEKTNWVMHEYRIHSKSSFRTNKQEEWVVCRVFQKSAGLKKYPSASNQSRAAVNPYNLDIGGGSLLPMMQAENYHLSMGAELSRVLRGGPSCSANLPIPSQINYPVPGGFTISGLNLNLGGGSTQPVMRPMQPPQPPPMLQHQDVTPSLMNTATFGAEGGYGAEITTQNANGPTNRYLSMDHCMDLDNYWPTY >KJB65652 pep chromosome:Graimondii2_0_v6:10:19169414:19174547:-1 gene:B456_010G105100 transcript:KJB65652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDYSVAGGVEDVYGEDAASIDQLVTPWTVSVASGYSLMRDPRHNKGLAFTEKERDAHYLRGLLPPVVLTQELQEKKLMHNLRQYQVPLQRYMALMDLQERNERLFYKLLVDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPERSVQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEKLLNDEFYIGLRQRRATGQEYAELLHEFMSAVKQNYGEKVLIQFEDFANHNAFELLARYSSSHLVFNDDIQGTASVVLAGLLAALRLLGGTLADHRFLFLGAGEAGTGIAELIALEMSKQTGNPIEENRKKIWLVDSRGLIVDSRKESLQHFKKPWAHEHEPVKELVDAVKAIKPTVLIGTSGVGKQFTKEVVEAMAALNEKPLIMALSNPTSQAECTAEEAYTWSEGRAIFASGSPFDPFEYDGKVFVPGQANNAYIFPGFGLGVIISGAIRVHDDMLLAASEALALQVTEEHYEKGLIYPPFSDIRKISANIAAKVAAKAYELGLASHLPQPKDLVKYAESCMYSPKYRTYR >KJB67539 pep chromosome:Graimondii2_0_v6:10:55733235:55735747:-1 gene:B456_010G196600 transcript:KJB67539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPRTVEEIFKDYNARHSALVRALTYDKENLCLYGHPNEAWEVALPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDCWLLSVAFYFGARLNRNERKRLFSMINDLPTIFEVVTGRKQVKDKPTVESGNKSRNSTKRSLDGQPRSNPKIADNSYEEDEEEQGDTFCGICGGGYNSDEFWIGCDNCERWYHGKCVKITPAKAEMIKFYNCPLCQKKVRQ >KJB67538 pep chromosome:Graimondii2_0_v6:10:55733175:55735788:-1 gene:B456_010G196600 transcript:KJB67538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPRTVEEIFKDYNARHSALVRALTYDVDDFYSQCDPDKENLCLYGHPNEAWEVALPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDCWLLSVAFYFGARLNRNERKRLFSMINDLPTIFEVVTGRKQVKDKPTVESGNKSRNSTKRSLDGQPRSNPKIADNSYEEDEEEQGDTFCGICGGGYNSDEFWIGCDNCERWYHGKCVKITPAKAEMIKFYNCPLCQKKVRQ >KJB64297 pep chromosome:Graimondii2_0_v6:10:3888676:3889085:1 gene:B456_010G041600 transcript:KJB64297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKYMSPTFLLDAHLFWRPIDKFNFIINLDHMMKREEIWWRNLDKCLNILQKKYSYDWVLEMKRDPVLKSIFENAESSYPTNSYTSVIRYCSNVYRCYSDNITPKVIFLIFFIMIFYLFLLLIFT >KJB66434 pep chromosome:Graimondii2_0_v6:10:33660448:33660648:1 gene:B456_010G140000 transcript:KJB66434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSYETQTGEFILEVEELLKLCKSLTRVYVQRTGKPLWAVSEDMERDVFMSATEAQAHGIVDPVVVK >KJB67594 pep chromosome:Graimondii2_0_v6:10:56074438:56077564:1 gene:B456_010G199200 transcript:KJB67594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIGTQQKCKACEKTVYPVELLSADGVPYHKSCFKCSHCKGTLKLANYSSMEGVLYCKPHFEQLFKETGNFNKNFQSSAKAAEKLTPELTRSPSKAASMFSGTVEKCATCGKTAYPLEKVTVEGQSYHKSCFKCSHGGCSLSPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAASVPEA >KJB65529 pep chromosome:Graimondii2_0_v6:10:17517293:17521179:-1 gene:B456_010G099200 transcript:KJB65529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEEDEIENRGGGLKPASGPYKWLASFHRDLMAGAVMGGVVHTIVAPIERAKLLLQTQESNLAIVAGGRRKFKGMLDCIFRTVKEEGILSLWRGNGSSVLRYYPSVALNFSLKDLYKNILRNGYSEGGHVFSGASANFIAGAAAGCTTLILIYPLDIAHTRLAADIGRSNVRQFRGIYHFLSTIREKDGIQGIYRGLPASLQGMIVHRGLYFGGFDTIKEILSEESKTELTLWKRWVVAQAVTTSAGLLSYPLDTVRRRMMMQSGLEQPMYHSTLHCWKTIYRTEGVSSFYRGALSNIFRSTGAAAILVLYDEVKKFMKWGGL >KJB67323 pep chromosome:Graimondii2_0_v6:10:53707969:53709979:1 gene:B456_010G185900 transcript:KJB67323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLQALWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPEKLSYPQQIAVTATGIIWSRYSTVITPKNWNLFSVNIAMAGTGIYQLTRKIKHDYFSEAEPAVASE >KJB63901 pep chromosome:Graimondii2_0_v6:10:1796667:1799565:1 gene:B456_010G022700 transcript:KJB63901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQPSTMAILLLMMFTLSSAFDMSVVSYDKSHPDRSMSSWRTFDEIMAMYEDWLVKHGKVYNGLGEKEKRFEIFKDNLRFIDEHNSEETHSFKLGLNQFADLTNEEYRFTFLGVKKPNKKVSKRSDRYVQLLGEAALPDSVDWRTKGAVAPVKDQGSCGSCWAFSTIAAVEGINKIITGDLIVLSEQELVDCDTSYNEGCNGGLMDYAFEFIIKNGGIDTEEDYPYTNHNGRCDTYRKNAKVVSIEAYENVPENDEGALKKAVSNQPVSVAIEAGGRAFQLYQSGIFDGQCGTQLDHGATIVGYGTENGKDYWIVRNSWGDNWGEAGYVRMERNVVDTKTGKCGIAMEASYPIKTGRNPPNPGPSPPSPVKPPTVCDNYYSCPESNTCCCVYEHYGYCLAWGCCSIEAATCCEDNYSCCPHDYPACNINEGTCLMSKDNPLGVKAMKRTPAKPFWGDGSVVGKSRA >KJB64483 pep chromosome:Graimondii2_0_v6:10:5818716:5822833:1 gene:B456_010G051100 transcript:KJB64483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLKSYVLVVSLIFIPFFANNFLVFVNSITSSSGNQYTTFFNAISSSTNPKASLNSGKNQTTSSLISSSFCDVWDVTCSEEVLGLAQEPDNVEWIKGLRRKIHENPELAFEEYETSKLVRSELDRMDIEYRYPLAKTGIRAWVGTGGPPFVAIRADMDALPIQEAVEWEHKSKVAGKMHACGHDAHVAMLVGAAKILKNREHLLKGTVIVIFQPAEEAGNGAKRMIGDGALENVEAIFAVHVSHEHPTGIIGSRPGPLLAGCGFFRAVFSGRKGLAGSPHHSVDPILAASAAVISLQGIVSRESNPLDSQVVSVTSFNGGNNLDMIPETVVIGGTFRAFSNASFYNLLQRIEEVIVEQANVFRCWATVDFFEKESTIYPPTVNDNRMYEHVKKVATDLLGPPNFRVVPPMMGAEDFSFYSQVVPAAFYYIGVRNETLGSTHTGHSPYFMIDEDVLPIGAAVHATIAERYLNEQG >KJB64484 pep chromosome:Graimondii2_0_v6:10:5818784:5821953:1 gene:B456_010G051100 transcript:KJB64484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLKSYVLVVSLIFIPFFANNFLVFVNSITSSSGNQYTTFFNAISSSTNPKASLNSGKNQTTSSLISSSFCDVWDVTCSEEVLGLAQEPDNVEWIKGLRRKIHENPELAFEEYETSKLVRSELDRMDIEYRYPLAKTGIRAWVGTGGPPFVAIRADMDALPIQEAVEWEHKSKVAGKMHACGHDAHVAMLVGAAKILKNREHLLKGTVIVIFQPAEEAGNGAKRMIGDGALENVEAIFAVHVSHEHPTGIIGSRPGPLLAGCGFFRAVFSGRKGLAGSPHHSVDPILAASAAVISLQGIVSRESNPLDSQVRVLFYKFLQLPKHGEIFLNLFKSCNANACRSSRSLLSMEAIILT >KJB67060 pep chromosome:Graimondii2_0_v6:10:50106052:50111138:1 gene:B456_010G172300 transcript:KJB67060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKVHVEHCKGVNGLDKVILREIRRCSAEVYLYGGQVTSWKNAHGEELLFVSSKASFRPPRAIRGGIPICFPQLKSTGSLEQYGFARNRIWSIDLDPPPSPSDISHKAFVDLILTHSEEDMKIWPHRYDCRLRVALGPTGDLMLTSRIRNTNTDGKSFTFRFAYQTYFFVTDISEVRVEGLETLDYLDNLKNGERFTEQEDAITFESEVDKVYLSTPTKIAILDHERKRTFELRKDGLPDAVVWNPWDKKAKSMADFGDNEYMHMLCVEAACVEEPITLKPGEEWKGRQGISAVPSSYCSEQLDPRRLLLSG >KJB67059 pep chromosome:Graimondii2_0_v6:10:50106052:50111119:1 gene:B456_010G172300 transcript:KJB67059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHNVYLYGGQVTSWKNAHGEELLFVSSKASFRPPRAIRGGIPICFPQLKSTGSLEQYGFARNRIWSIDLDPPPSPSDISHKAFVDLILTHSEEDMKIWPHRYDCRLRVALGPTGDLMLTSRIRNTNTDGKSFTFRFAYQTYFFVTDISEVRVEGLETLDYLDNLKNGERFTEQEDAITFESEVDKVYLSTPTKIAILDHERKRTFELRKDGLPDAVVWNPWDKKAKSMADFGDNEYMHMLCVEAACVEEPITLKPGEEWKGRQGISAVPSSYCSEQLDPRRLLLSG >KJB64504 pep chromosome:Graimondii2_0_v6:10:6001229:6004721:-1 gene:B456_010G052100 transcript:KJB64504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKNCFAIASDRRLGVQLQTIATDFQRISKIHDRLFLGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSAILYEKRFGPYFCQPVIAGLGDEDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKENMEPEELFETVSQALLASVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >KJB64503 pep chromosome:Graimondii2_0_v6:10:6001228:6004755:-1 gene:B456_010G052100 transcript:KJB64503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFEYNGSALVAMVGKNCFAIASDRRLGVQLQTIATDFQRISKIHDRLFLGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSAILYEKRFGPYFCQPVIAGLGDEDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKENMEPEELFETVSQALLASVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >KJB67763 pep chromosome:Graimondii2_0_v6:10:57550924:57551913:-1 gene:B456_010G209300 transcript:KJB67763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EILEHDRKRQIELKLVILEDKLAEQGYTESEIADKLVEGRKALEDAQQEKDEEEGEVIPIPTRQQKVSDTQTHQVAASKEKQMETFRAALGVGASESGLPPLPNRRKNIDEREHSFLDKDPPVSAAMDVDDSKAKADKTKGQVVEDEIDESRHRKKKKEQKRSRNHDSDTDDTDTDSSLEHSKKATRKKKSRKGYDSGSSNSDDYASGRKLKISAKKHDRRRPSDRSKLGAASLDVDDRQVTIIFNLDPCSKLKFCHSLLRFW >KJB66356 pep chromosome:Graimondii2_0_v6:10:31938447:31939635:1 gene:B456_010G137600 transcript:KJB66356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPQYFPFNNSIFVFFFIDSNLIIIMRFKKGSKVEVLTKEEVPTGAWRCAEIISGNGHTYSVKYGWFPITGEAAAVERVPRKAIRPCPPPMNGNNNCASGDIVEVFDDLSWKPAVIVKVLGGNNFSVRILGSSSELKAHQSRLRIRQSWEDGNWFLVGKGSSNSTGPQKRKRSSLGFSYIGAQKKRVLEEGSNGVQRIIIRLPSPASEKVDAFDSPNNMLGERCMPSSFNRIDDTLSCASSVGSCSGLGTYGLNLSNFVTNACENLEEDCRSDADSKPEVSCQEEGSYVSTSVELGYDFHRSELHAYQKALGALHASGPLTWDEEEKVTNLRLTLNISNDEHLMELRKLRDNNNRLFISCF >KJB68300 pep chromosome:Graimondii2_0_v6:10:60775281:60780321:1 gene:B456_010G237600 transcript:KJB68300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYWRMCVGVSITQNFPHRKSMENTGFFQKNASVFMADDHDFSDVFGGPPRSVLCREFTDDFTQSASFYDEAFRPLDFSSCYSIKGGRSLPAFRIPAKVEWSFSTDIFESDDDLRRSSWERTESDSSSVMSWQEMSGLRRTDGDDIGLSSYFAPKLRWNSTTNQEPSKQQEQQQQMPPFPSTASFYNGNLHMENENRIDNPMKSSSLYRFNKRTSSPETISLEPHSFSSIKISLADDSDQEPETIARVQTNPLSEDEYDDDEDDEDGMSSYVIEINSDFRQSSSEEAVSIDEAIAWAKERYNNTQREHENDQTMQSPLEEKPRKPKTEEEKDQDLKHWSSGNENNIRMLLSTLHDILWANSGWHRIDLTSLAESSQVKKAYQKARLCLHPDKLQQRGATLSQKYVAEKAFSILQDAWSAFISQDVFFN >KJB67437 pep chromosome:Graimondii2_0_v6:10:54465897:54470520:1 gene:B456_010G190800 transcript:KJB67437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRHIVLGLLSISVIAPIFLYTDRVGSFVNPSSSRRDFLDDVSAFTVSGDTRHLNVLHQETSTALKEPIGVVYSDHSTVTREHKSARVLSATDEERQQQQLSNPIRQVIDRAPPGLTTALDSHPNASDISAIELEQQPTQPSGKIVQEHSDNKHDRLTEPADAQVRHLKDQLIRAKLYLSLSAIKNNPHVTRELRLRVKEVTRALGDATKDSDLPKNAVDKLKAMDQSLEKGKQIQDDCAAVVKKLRAMLHSSEEQLRVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYTLNSSQQNFPNQQKLEDPRLYHYALFSDNILAAAVVVNSTISHAKHPSDHVFHIVTDKLNYAAMRMWFLSNPPGKATIQVQNIEEFTWLNSSYSPVLKQLGSPSMIDYYFRAHRASSDSNLKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGNVNGAVETCGESFHRFDRYLNFSNPLISKNFDPHACGWAYGMNIFDLAEWRRQSITEVYHRWQRLNRDRQLWKLGTLPPGLITFWKRTYSLDKSWHVLGLGYNPNVNQREIDRAAVIHYNGNLKPWLEIGIPKYKIYWAKYVDYETVYLRECNINP >KJB67441 pep chromosome:Graimondii2_0_v6:10:54467257:54470517:1 gene:B456_010G190800 transcript:KJB67441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSLEKGKQIQDDCAAVVKKLRAMLHSSEEQLRVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYTLNSSQQNFPNQQKLEDPRLYHYALFSDNILAAAVVVNSTISHAKHPSDHVFHIVTDKLNYAAMRMWFLSNPPGKATIQVQNIEEFTWLNSSYSPVLKQLGSPSMIDYYFRAHRASSDSNLKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGNVNGAVETCGESFHRFDRYLNFSNPLISKNFDPHACGWAYGMNIFDLAEWRRQSITEVYHRWQRLNRDRQLWKLGTLPPGLITFWKRTYSLDKSWHVLGLGYNPNVNQREIDRAAVIHYNGNLKPWLEIGIPKYKIYWAKYVDYETVYLRECNINP >KJB67438 pep chromosome:Graimondii2_0_v6:10:54465956:54469359:1 gene:B456_010G190800 transcript:KJB67438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRHIVLGLLSISVIAPIFLYTDRVGSFVNPSSSRRDFLDDVSAFTVSGDTRHLNVLHQETSTALKEPIGVVYSDHSTVTREHKSARVLSATDEERQQQQLSNPIRQVIDRAPPGLTTALDSHPNASDISAIELEQQPTQPSGKIVQEHSDNKHDRLTEPADAQVRHLKDQLIRAKLYLSLSAIKNNPHVTRELRLRVKEVTRALGDATKDSDLPKNAVDKLKAMDQSLEKGKQIQDDCAAVVKKLRAMLHSSEEQLRVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYTLNSSQQNFPNQQKLEDPRLYHYALFSDNILAAAVVVNSTISHAKHPSDHVFHIVTDKLNYAAMRMWFLSNPPGKATIQVQNIEEFTWLNSSYSPVLKQLGSPSMIDYYFRAHRASSDSNLKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGNVNGAVETCGESFHRFDRYLNFSNPLISKNFDPHACGWAYGMNIFDLAEWRRQSITEVYHRWQRLVSDGSSLQTMLDLVHIASLN >KJB67439 pep chromosome:Graimondii2_0_v6:10:54465956:54470517:1 gene:B456_010G190800 transcript:KJB67439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRHIVLGLLSISVIAPIFLYTDRVGSFVNPSSSRRDFLDDVSAFETSTALKEPIGVVYSDHSTVTREHKSARVLSATDEERQQQQLSNPIRQVIDRAPPGLTTALDSHPNASDISAIELEQQPTQPSGKIVQEHSDNKHDRLTEPADAQVRHLKDQLIRAKLYLSLSAIKNNPHVTRELRLRVKEVTRALGDATKDSDLPKNAVDKLKAMDQSLEKGKQIQDDCAAVVKKLRAMLHSSEEQLRVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYTLNSSQQNFPNQQKLEDPRLYHYALFSDNILAAAVVVNSTISHAKHPSDHVFHIVTDKLNYAAMRMWFLSNPPGKATIQVQNIEEFTWLNSSYSPVLKQLGSPSMIDYYFRAHRASSDSNLKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGNVNGAVETCGESFHRFDRYLNFSNPLISKNFDPHACGWAYGMNIFDLAEWRRQSITEVYHRWQRLNRDRQLWKLGTLPPGLITFWKRTYSLDKSWHVLGLGYNPNVNQREIDRAAVIHYNGNLKPWLEIGIPKYKIYWAKYVDYETVYLRECNINP >KJB67440 pep chromosome:Graimondii2_0_v6:10:54465956:54470517:1 gene:B456_010G190800 transcript:KJB67440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRHIVLGLLSISVIAPIFLYTDRVGSFVNPSSSRRDFLDDVSAFTVSGDTRHLNVLHQETSTALKEPIGVVYSDHSTVTREHKSARVLSATDEERQQQQLSNPIRQVIDRAPPGLTTALDSHPNASDISEHSDNKHDRLTEPADAQVRHLKDQLIRAKLYLSLSAIKNNPHVTRELRLRVKEVTRALGDATKDSDLPKNAVDKLKAMDQSLEKGKQIQDDCAAVVKKLRAMLHSSEEQLRVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYTLNSSQQNFPNQQKLEDPRLYHYALFSDNILAAAVVVNSTISHAKHPSDHVFHIVTDKLNYAAMRMWFLSNPPGKATIQVQNIEEFTWLNSSYSPVLKQLGSPSMIDYYFRAHRASSDSNLKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGNVNGAVETCGESFHRFDRYLNFSNPLISKNFDPHACGWAYGMNIFDLAEWRRQSITEVYHRWQRLNRDRQLWKLGTLPPGLITFWKRTYSLDKSWHVLGLGYNPNVNQREIDRAAVIHYNGNLKPWLEIGIPKYKIYWAKYVDYETVYLRECNINP >KJB66712 pep chromosome:Graimondii2_0_v6:10:42314928:42317172:1 gene:B456_010G154400 transcript:KJB66712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNYCVKQKEIDLYVKHEIDTVIFADDDLLLAVVIVEGFCGGNKCVEGVEGLNGEGVKVVGSKGDEGLNKEGVEVVGNKSGEVEGGEDDGDDDEELQEARQKLREVEGKTTGKDKETIVDETESERFREQFKAEVPEEVEAEKLNDRVGREEEGNETQYFDSDDNSSILGSDDDNDPDACRRKSRFPTNNPNSASPLFCIWMLFKDGVQFKSAIRKYSMCCRRKLKIIKNKPNRRIFASYNNISRCMQVKIFNDEHNYCVRFRNKMVNGKVILEHFEATIRDHKKMKLREIQRRVASKMYMNVNMIRCRKAKKMVKDKLAGDFIDGFAMLWDYADELILKNPGSTIKMTVNRITPESLSHLKRFYVCFEVLKRGWKKGCKPILGLDGCFLKAFNSSIMESRFKSIIITMLEEIIVKMMTRIMDKGK >KJB67678 pep chromosome:Graimondii2_0_v6:10:56865070:56865528:1 gene:B456_010G203300 transcript:KJB67678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMVEFAMGANSSGSKSNVGRETKKNVSMEEDFALMDSDVITKMVDGVPSITFSNRVHEYIERRMTRTIIVKLLGSRIAFNALLSRASLLWNPKYSIQMIDLDIRE >KJB65925 pep chromosome:Graimondii2_0_v6:10:24255151:24262473:1 gene:B456_010G120400 transcript:KJB65925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVFATLNRHRRLTLLSTAVNHNRHVGTMLRYSVSPEVQMDTRHRLYLLQQHLFSTGFASVHGERPSAEYAKLRKESLESEFGHTVGTHSSTSVSAVYRFGPFLALYRAAIISFHVLKLTIWQFFFRDIKERAVKFREMLIRLGPFYVKLGQALSTRADILPPVYCQELAKLQDQIPPFPTRIAKKSIEMELGIPISEIFADISPEPIAAASLGQVYKARLHSGELVAVKVQRPGMSLMLTLDALLFHMIGGQIKRFAKARKDLLVAVNEMVRHMFDEIDYVLEARNAERFASLYGGWPGDGHTSNHNAKGVNTIKNKNAKGIKVPKIYWNLTRKTVLTMEWVDGIKLTDEAALKKAYLNRRELIDPGVYCSLRQMLEVGFFHADPHPGNLLATSCGSLAYFDFGMMGDIPRHYRVGLIQVLVHFVNHDSLGLANDYLSLGFIPEGADMQSVADALQTSFGDGTRQSKDFQGIMNQLYDVMYDFNFSLPPDYALVVRALASLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLIRNNGSIRWNRLERLVAAISEQASEDPPESEENDSPLSGWKSFDMHAVVDATEDLLLFILSEKGLMVRVFLLRDIIRAADIFLHDEVFGCRLEAESKARRTSEFEDGAIMSRVVNGFGLLTRAVKSAPEIWTAMLMRIVLKPQTLGFSVDIISAVCNHVSNKFPESFWVCMSRLIHELSKTHAPDTRMR >KJB65926 pep chromosome:Graimondii2_0_v6:10:24255528:24262473:1 gene:B456_010G120400 transcript:KJB65926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYSVSPEVQMDTRHRLYLLQQHLFSTGFASVHGERPSAEYAKLRKESLESEFGHTVGTHSSTSVSAVYRFGPFLALYRAAIISFHVLKLTIWQFFFRDIKERAVKFREMLIRLGPFYVKLGQALSTRADILPPVYCQELAKLQDQIPPFPTRIAKKSIEMELGIPISEIFADISPEPIAAASLGQVYKARLHSGELVAVKVQRPGMSLMLTLDALLFHMIGGQIKRFAKARKDLLVAVNEMVRHMFDEIDYVLEARNAERFASLYGGWPGDGHTSNHNAKGVNTIKNKNAKGIKVPKIYWNLTRKTVLTMEWVDGIKLTDEAALKKAYLNRRELIDPGVYCSLRQMLEVGFFHADPHPGNLLATSCGSLAYFDFGMMGDIPRHYRVGLIQVLVHFVNHDSLGLANDYLSLGFIPEGADMQSVADALQTSFGDGTRQSKDFQGIMNQLYDVMYDFNFSLPPDYALVVRALASLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLIRNNGSIRWNRLERLVAAISEQASEDPPESEENDSPLSGWKSFDMHAVVDATEDLLLFILSEKGLMVRVFLLRDIIRAADIFLHDEVFGCRLEAESKARRTSEFEDGAIMSRVVNGFGLLTRAVKSAPEIWTAMLMRIVLKPQTLGFSVDIISAVCNHVSNKFPESFWVCMSRLIHELSKTHAPDTRMR >KJB67185 pep chromosome:Graimondii2_0_v6:10:52542642:52544716:-1 gene:B456_010G179300 transcript:KJB67185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLSCCRSDAHHRNVACKKKTVGVGGNNNNNGKSLRSFMHTLSLKTDGGKQKIDEEIQKIRKAKVSARIFTFRELVVATDNFNPDCLIGEGGFGRVYQGYIESIDQVVAVKQLDRNGLQGSREFFSEVLMLSLVQHPNLVNLIGYCADGDQRILVYEYMPNGSLDHLLDLPPGQQPLDWNTRMKIAVGAAKGLEYLHDFADPPIIFRDFKASNILLDANFNPKLSDFGLAKLGPTEGKEHVSTRVMGTYGYCAPEYAMTGKLTVKSDVYSFGVVFLEIISGRRAIDLERPTEEQNLVAWAEPLFKDRQKFELMVDPLLKGNYPIKGLCQALAVAAMCLQEEAESRPLIADVVTAIEFLARPKDSENNYEDSQRSGCLHDKSAREESE >KJB66210 pep chromosome:Graimondii2_0_v6:10:29406457:29407541:1 gene:B456_010G133300 transcript:KJB66210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDKKTKGKKKIEIKIIENADDRLIAFSKRRTGIYKKISELSTLCGGEILFIIFSPAGKPYSFGHPSVESVAKRFSNASQHLEETIDAPIETYRKEIINLLVQHFNDVQEQLDLNLRELYEQDERFAEFNNFISITRDKKIAAISSMEAPMDEDVPSSFPLDMALICNSFATMDEDVPSALHPKYGPNLQ >KJB66657 pep chromosome:Graimondii2_0_v6:10:40454160:40454632:-1 gene:B456_010G150600 transcript:KJB66657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAYRSEVHSSAPFRTRPAQPCHRSSMRSSCSFSPSSYIAASENQAKRGLLHFYEFRARKAFFFSFPQDSKPSDYTLNPPNRPWHLTNPTSSPNNQGSHLHISLSSPLSTLN >KJB63591 pep chromosome:Graimondii2_0_v6:10:487521:490937:-1 gene:B456_010G007700 transcript:KJB63591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRQTPDRTSRMLHHFDAYNTEISHTRHLLDLLGAPNDSNRRHRTQTLSLSLGSCMLGSSFPGAEDTGDDYPFIGHPTTYVIGNSRYLIPAQSLLNELVNVGFKNIDETPKLSSEFFRSKIVPSPDKHELHITLTKLIGLLEEVETQYEKYNNRMEQVVSLFESIVGVGAARCYTALTLQAMCRHFGKLRDTILTRINCIRTKVRHDFRHSNTLCVQRQVWRPVRGLPQTSVAILRSWLFQHFLHPYPTDSVKLMLSSQTGLTKNQVSNWFINARVRLWKPMIEEIYKEEFADSPQHVSHDLIEG >KJB64890 pep chromosome:Graimondii2_0_v6:10:9179264:9183036:-1 gene:B456_010G070400 transcript:KJB64890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGILGRGFASKCKSLIKLIKSRIDVIRRKRSATLKFLKKDIADLLANGLDINAYGRVEGYIAELVLSSCYDFVEKCCEFVSKHVSLMQKLSDCPEDCREAVSSLMFAAARFSDLPELRDLRQIFLERYGNSLELFVNKQLVENSASNSFTMEKKVKVMHDIASEFTIKWDSEAFQRRMSKPTVVPQDQPKSYGSSHVNGHNHKSKDGRSAGSQDDKVKVLSKEKHEVGKGERRRGNCKEDNVSKRDEIIFPPKQEFAVEGYQPHHGREQASARKNDLNIPSWQKQEVSAGKVEFWNGKDDVAFKTARLSSSSHGKIMERADGGAKLQDGRQNGTPRIYNQDVLLQRKHDLSPSYAASWSKGEEFAADNSGHQYGFPNATRKTDEEDEPKWKSHFKSGIPPPYVKPSTKPKDHRHDANVGSSLAGFDSNGVSDDPSTHDRAYVVNRTDKYKEGLDHPGKEQQIIPPVRVNSHDRDREKDYYYNHDASDAPVPRRRSSRRRHSKSTSGLTEVDNAEDTESARRKHRSRKRDDSKRGLQILFDDEQHKDNEEERIIDKLLIHYSKKPPSGEEGKIRRKSKSHHAHHMGSDVGEAPQKSSRNTSDDMSETISRPARSISLPPAQTAESKGTKVYARASSFESDRSSAAQHVHPKLPDYDDLAAHFAAMKGR >KJB64891 pep chromosome:Graimondii2_0_v6:10:9179663:9182261:-1 gene:B456_010G070400 transcript:KJB64891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFFLNKMNIYGHEIKMNFVLNFCEVVQQVEGYIAELVLSSCYDFVEKCCEFVSKHVSLMQKLSDCPEDCREAVSSLMFAAARFSDLPELRDLRQIFLERYGNSLELFVNKQLVENSASNSFTMEKKVKVMHDIASEFTIKWDSEAFQRRMSKPTVVPQDQPKSYGSSHVNGHNHKSKDGRSAGSQDDKVKVLSKEKHEVGKGERRRGNCKEDNVSKRDEIIFPPKQEFAVEGYQPHHGREQASARKNDLNIPSWQKQEVSAGKVEFWNGKDDVAFKTARLSSSSHGKIMERADGGAKLQDGRQNGTPRIYNQDVLLQRKHDLSPSYAASWSKGEEFAADNSGHQYGFPNATRKTDEEDEPKWKSHFKSGIPPPYVKPSTKPKDHRHDANVGSSLAGFDSNGVSDDPSTHDRAYVVNRTDKYKEGLDHPGKEQQIIPPVRVNSHDRDREKDYYYNHDASDAPVPRRRSSRRRHSKSTSGLTEVDNAEDTESARRKHRSRKRDDSKRGLQILFDDEQHKDNEEERIIDKLLIHYSKKPPSGEEGKIRRKSKSHHAHHMGSDVGEAPQKSSRNTSDDMSETISRPARSISLPPAQTAESKGTKVYARASSFESDRSSAAQHVHPKLPDYDDLAAHFAAMKGR >KJB64449 pep chromosome:Graimondii2_0_v6:10:6332697:6333293:-1 gene:B456_010G055200 transcript:KJB64449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQIFVLVLVLIAIVKMVSADIMEAAAPGYTDAIGKNVGAPYTGEGGQDIVEGRLDNAVNAISGAATGLPPSSSSATIIGLSAIAKAVAIAGYFLF >KJB66625 pep chromosome:Graimondii2_0_v6:10:39156326:39160586:-1 gene:B456_010G147800 transcript:KJB66625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEVSQYLENYLWPNFDAETASYEHVMSMILMVNEKGRELTIAEKTNYLVFMINAFQSLEDEIVMETVLRLASLRSWHSLSYGHFQMELCLNPDLIKKWKRMIKKESDDAKKLGVHLDPLSSLEANLLRNLIEEFLEVLDHKVFTQKNPVSEDDERNASSIFDQVDDASILYCERFMEFLIDLLSQLSTRMYHCPATGSYPLSF >KJB66626 pep chromosome:Graimondii2_0_v6:10:39156522:39159593:-1 gene:B456_010G147800 transcript:KJB66626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEVSQYLENYLWPNFDAETASYEHVMSMILMVNEKGRELTIAEKTNYLVFMINAFQSLEDEIVMETVLRLASLRSWHSLSYGHFQMELCLNPDLIKKWKRMIKKESDDAKKLGVHLDPLSSLEANLLRNLIEEFLEVLDHKVFTQKNPVSEDDERNASSIFDQVDDASILYCERFMEFLIDLLSQLSTRMYHCPATGSYPLSF >KJB68566 pep chromosome:Graimondii2_0_v6:10:61789378:61791150:-1 gene:B456_010G250900 transcript:KJB68566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKHDETATIPPFGEWDETDPTSGQKFTVIFDKKKEEKNDPSFTFPISAPPKSSRSSNFLRSKRPSPSFYSKICCCLSAR >KJB66015 pep chromosome:Graimondii2_0_v6:10:61204274:61204847:-1 gene:B456_010G243300 transcript:KJB66015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVQKREQKTGLSQITTAVVCMSRKQVMNEFEGLKRSYYMDPQTLNRFKWHYYEYEINKCDARALYRLESKLVDGKKSAKGISANDTDTDGKTHGGVPMQ >KJB65716 pep chromosome:Graimondii2_0_v6:10:20812285:20814530:-1 gene:B456_010G110100 transcript:KJB65716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRCSRCCLHHSMKIVNLIMNFLGIAIIVYSLWLQKKWNIGVAQLVSFPSIPNPWFIYTCFGVGIAVCLSSLFSFIVSNCISTSILCIYIFSVCSLLFLEIAVIVTIFFKNDWSSLISKYIDENHEYFKNFVVFHEKMCRLITLLILVPQVGVIALAIILWRVGIERISEREQSGLGDFTTSFLVGTGPAILNNNTTILCGRCEVLRLVNPTRPTFFSYLNMLFRMHLQARPFLT >KJB65715 pep chromosome:Graimondii2_0_v6:10:20812061:20814732:-1 gene:B456_010G110100 transcript:KJB65715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRCSRCCLHHSMKIVNLIMNFLGIAIIVYSLWLQKKWNIGVAQLVSFPSIPNPWFIYTCFGVGIAVCLSSLFSFIVSNCISTSILCIYIFSVCSLLFLEIAVIVTIFFKNDWSSVGVIALAIILWRVGIERISEREQSGLGDFTTSFLVGTGPAILNNNTTILCGRCEVLRLVNPTRPTFFSYLNMLFRMHLQARPFLT >KJB65947 pep chromosome:Graimondii2_0_v6:10:25045906:25047407:1 gene:B456_010G122000 transcript:KJB65947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLMGPTFAIDVAASAAAYTRDRSKDTIPSPCLFIKDDEACPGSDPRSPDLSSDSSSSIGSPGDSDVEEEDDGVVSSGESKGLSFDSLEDSLPIKRGLSNHYVGKSKSFANLSDINSVKELQKVESPFNKRRRLLLANKWSTRSRKSSFYSWQNPNSMPLLALVEDDEDTDNNSHNKQTPSSSPPSLSSSSSEYEKITSTKPKLQQSNLRASFKSQSCLSLTDLHVQVDHQ >KJB64252 pep chromosome:Graimondii2_0_v6:10:3654289:3657563:-1 gene:B456_010G039500 transcript:KJB64252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPPQSPRDEHPHTHSHSSTSIPTTGTCCKCGGATTHAPPSQSPTSWPDLSSPPAYRPIRAPALNLPPNNTQAIILTPVPQSQEVPTITPPFHFQIPSKKITSPDDIRKFHDSPTSKNFLGFIVALSESIRGRKISDPCHESATVSNIVSIIENLSLWVDQIPPVQQSSRYGNISYRTWHERLTENAESFMLQFLPEDLKPSTIEIVPYFTDSFGNSSRIDYGTGHETNFAAWLYCLARMGIIKEEDYQAVVARVFVKYLDLMRKLQLVYCLEPAGSHGVWGLDDYHFLPFIFGSSQLIDHKYMKPKSIHNEDILDNFSSEYMYLSCIGFIKKVKKGPFAEHSPLLDDISAVPNWKKVNSGMLKMYKAEVLEKVPIMQHFLFGWLIKWE >KJB63378 pep chromosome:Graimondii2_0_v6:10:61957054:61957269:1 gene:B456_010G254100 transcript:KJB63378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQASKLKSNLSSLKNPSSPSSSSSSSKQASHICRTLNHGVLSKTTNHEKLKQAEESLRTVMYLSCWGLN >KJB68034 pep chromosome:Graimondii2_0_v6:10:59452397:59453161:-1 gene:B456_010G223100 transcript:KJB68034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLREKKMQQTIPQPKIEDGEEVTYEVTTTAMRRSVHLFLALQSKHGHWPTENSGPMFCFPPSIMSLYITGHLNTIFSTEHRKEILHYIYYHQVININIYMLK >KJB66132 pep chromosome:Graimondii2_0_v6:10:27860507:27863910:1 gene:B456_010G128700 transcript:KJB66132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELEDIGADISSDIEVDDLRCQNIAEKDVSEEEIEADELERRMWKDRIKLKRIRERQKIAAQQALEKQKLKKTSDQAQRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGSSDNIRAWWQEKVKFDKNGPAAIAKYQADCLAMIEADNGRNGNLQSSLKDLQDATLGSLLSSLMQHCDPPQRKYPLEKRIPPPWWPTGNEDWWVKLGLQQGQSPPYKKPHDLKKMWKVGVLTSVIKHMSPDTAKIRRHVRQSKCLQDKMTAKESAIWLGVLACEEALIRQPSSDNGTSGVTELPRLGCSDKKQPAVSTDSDYDVDGVDDGVVSVSSKDERRNQPTDAEPVAYITNYDSHPVQSNERVAKQPRRKRPHLRSSHGHQQPLQIHGDQQSVPSHGDQQPTSNHITNYDSHPVQINERVAKQPRRKRPRLRSSHGHQQPLPIHGDQQSVLSHGDQQPMSNHSDQQSVPSYGDQQPGPRHYEHVNAESISTLPDINQTDLSFMEYHMPVTEQENDASKELRHVEDGLDVQPHLPAGEYCHYPATPTATEISTHRPMLYTLEQHELHQGAAYEFYNQSAELRPGHDSQQTLMDMNVLHVRPENGVDASALGGNGHEIAGGELHHYVKDLLHNEQDRVVHNSFSSPTSDPSFFGGFHSPFELPFDGTTSLDELLDDDLIQYFGA >KJB66154 pep chromosome:Graimondii2_0_v6:10:28177197:28177503:1 gene:B456_010G129700 transcript:KJB66154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps18 MDKSKRLFLKSKRSFRRRLPPIQSGDRIDYRNMSLISRFISEQGKILSRRVNRLTLKQQRLITIAIKQARILSSLPFLNNEKQFERSESTTRTTALRTRNK >KJB63570 pep chromosome:Graimondii2_0_v6:10:335531:340659:-1 gene:B456_010G006400 transcript:KJB63570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEDSEFQGPGEKIFVSVRIRPLNDKERKSDWECINNDTIIFKNSLPERSMFPAAYTFDRVYDCDCPTKQVYDEGAKHIALSVLNGINSSIFAYGQTSSGKTYTMRGITEYAVADIYDYIEKHKEREFVVKFSAMEIYNEAVRDLLSLDSTPLRLLDDPERGTVVERLAEETLRDKDHLEELLSICEAQRQIGETALNETSSRSHQILRLTIESSAREYAGAENSSILSASVNFVDLAGSERASQTLSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNSHVPYRDSKLTRILQNALGGNARTAIICTMSPDRSHVEQSRNTLSFASCAKEVTTNAQVNLVMSDKALVKQLQRELAKLENEMKSLGSAPVKRDTASLLREKELLIEQMAKEIEELTRQRDLAQSRVENLLLSVREVQMLKSGEYSSNSSEVTNVPCTVDYSNHKDIVTPSVPITNNNNQYDGHPENSEEECHLDGITTKFVEPDPSKGWDKVAQKIDEKFEDNCKEVRCIEFEDSSIEMNEKEKVASLNPENNEGKPTVETNELWTDHVEDRKPATKDAVIKEIEADNLSADPEEEQGKVSLTETEPSIEKQEGDDLSSNPKENVEDLRSSHVNKDETCEALKQKVQELQKTIKFLVRYHIMGDSPALLDAASSASSMSRSRSCKAIVTTMPSSPRFEKSQQNETVPTFTEAEKDFIERAKTLSQKLSNSKDDNRNAKMSRCNSQASITSGSTEEQSVKDIDVEETCSEVNFPPRPWKSFASGSKRRTSFSIDFSEGGSDTKTCQEKQIDKNLVPETKTENSQETNHSTKLHSSWPEEFENLQRTIIELWDKCNVPLIHRTYFILLFKGDPSDSVYMEVELRRLSFLKNSMSSLGRNGWKDSPIDTAASSAKDLMRERRMLYKQIQKKFSKKQREELYKKWGIGLNTKQRSSQLARRLWTNTQDMGHVKESAALVAKLLGLVEPSQAPKEVVGLSILPRSVTRRSSSWKNVIPPLL >KJB67614 pep chromosome:Graimondii2_0_v6:10:56218968:56223353:-1 gene:B456_010G200300 transcript:KJB67614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYVIYMGNPPKVGTATASLHNSMLQDVLGSESATKSVLCSYKRSFNGFVAELTEEEARKMAGMNGVVSVFPNEKRILHTTRSWDFMGFSQQVQRATSESDVIIGVLDTGIWPESQSFNDEGLSPPPDKWKGICQDANNITCNNKIIGARYYKSDGLFGSNDIISPRDSEGHGTHTASTAAGRLVNRANLFGLGAGTARGGVPSARIAVYKICWSDGCSDADILAAFDDAIADGVDIISLSVGSTTPTDYFHDPIAIGAFHAMRNGILTVTSAGNQGPRRATITNFSPWTLSVAASTIDRKFFTGVKLGNDVVYEGVSINTFDLKNETYPMIYGGDAPNPIRNYTSSSSRICLENSLDPNLVKGKIVLCDRLVSGEGPLIAGAVGALLRANSPKDVAFSFVLPASHLDLVDGSKIFVYINSTSNATATIFKSNEVNDTRAPYVASFSSRGPNPITPEILKPDLSAPGVDIVAAWSLASPVSQSRGDNRWVPFNIISGTSMACPHVSAAAAYIKSFHPTWSPSAIKSSLITSASPMSSGMNSDAEFAYGSGHLNPIKAINPGLIYDSNEVDYINFLCGQGYDTRFLRQVTGDNATCSAATNGTVLSDLNYPSFSVFTSSSTIVRRVFNRTVTNVGSPMATYRARVSFPTRTARVRVNPNVLSFTSVGQKLSFQVIIEGTMDASMISGSLVWDDGVHKARSPIVVFASIS >KJB63350 pep chromosome:Graimondii2_0_v6:10:19404477:19404913:-1 gene:B456_010G106500 transcript:KJB63350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVAIRKSQAIRVMKRKTVRMMAKWRIAMKMMKRITRKIWKKVKKISALAKWKVQYNFHFLIK >KJB64354 pep chromosome:Graimondii2_0_v6:10:4609836:4611337:-1 gene:B456_010G044600 transcript:KJB64354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRYNFKDLNDYQDHQIDRLNLMTNQPDSQFPNSMHSGSYQLPRHQQASSEFSMPCLSNSDLYKSNLPESSILQRLQQQQGLLNQPARPTPTYPISGTGTGTSLSSIVMNSLPQNETGNTLTPHQVRTPLSNYRERGTSERRQSAPARTRYGLGESSSTFKRFRREPSTLPMQMAASDAERENWNLLSTHNEAGNSSNTGNLSSPRPIKNALYDPLFKGIGLPVDPHLRMFATMKKQGI >KJB63694 pep chromosome:Graimondii2_0_v6:10:850560:852896:1 gene:B456_010G011300 transcript:KJB63694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLVLLLLLNFVLNATNAQSTSSIIKPGSSLSPINNPYLLSPSGQFAFGFYRNKNGYSVGIWFENIQQKTIVWTANRDASPYPSDVTLVLTTEGTLIVRPKQGQDVLIADTSSDDVSRLATSASMLDSGNFVLLNSSGGIVWKSFDFPTDTILPGQRLAVTGKLVSSVAVSDHGSGKFLILMQTDGNLVQYPVGAVELGAGYWDTETFNAGNGVNLNLDGNGHLYLLNDTGFNIKSINTNYVNASGKSVYRATIDTDGIFRLYSHSSNRFDDWYTEWSSSNDRCNPLGLCGENSYCVMMDEEPVCQCPLHFDFINEKRQELGCRKNYSLVACDTRNDQTFDFLEVNDVSWKDDAYLSLSSKTKNSCRDECYRDCSCEAAVFKNELCKMMKLPLRFGRRGLSGQVTTFLKIGGELAGVETRKRKRKLRMDMLIITTIGVRKHRAHVRKYKRVLRLVNNRVAEDVALKSFSFEELKDATNNFVDVIGKGAYGTVFKGVIFDGERTVAVKRLEKVVAEGERDFLNEMKAIGKTHHKNLVRLLGYCYDGTNRLLVYEYMKNGSLADFLFKSRLKVNWERRVEVVLSIARGLCYLHEECETRIIHCDIKPENILMDDKGYAKIADFGVAKLLMPNQSRTYTEIRGTRGYVAPEWHRNLPITVKADVYSFGIMLFEIICCRRSMETDVPENEQVLAYWAHDCFKANEVEKLVQNEFVEKSKLEKMVKVGLWCTQDEASSRPSMKRVILMLEGTVNIPDPPLLSSFVSSP >KJB67403 pep chromosome:Graimondii2_0_v6:10:54185857:54188449:1 gene:B456_010G189200 transcript:KJB67403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIPQREMTKEVLQQRYIQIEMENSEEIEFVVSKLMIPRKEFWVSYSGITKTKGQCHNTQNNFKGRQKHLSVLRNQPFDIVIPKIISNEVVKHTGTSRYPNSNIAIPLLDSVENPFWVS >KJB67402 pep chromosome:Graimondii2_0_v6:10:54185857:54188449:1 gene:B456_010G189200 transcript:KJB67402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIPQREMTKEVLQQRYIQIEMENSEEIEFVVSKLMIPRKEFWVSYSGITKTKGQCHNTQNNFKGRQKHLSVLRNQPFDIVIPKIISNEVVKHTGTSRYPNSNIAIPLLDSVENPFWVS >KJB64661 pep chromosome:Graimondii2_0_v6:10:7160076:7164376:1 gene:B456_010G060000 transcript:KJB64661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIRDWVFTQVLSNSLASSRPLSGSGGGFFPEAPSSQEFESEDQGSSHTTSSVALSLPPDISSPSGSIHDNDSFTSQRQILVEGSNISHSSPNRKKMDPLAKIEDLQITFLRLLIRLGQSQDNLLVAKVLYRMHLATLIRAGESDLKRVNLRNERAKTIAREQEASGLQGLDFSIKILVLGKTGVGKSATINSMFDQPKTETNAFHPATDCIQEITGTVHGVKVTFIDTPGFLPSSSSTMRRNRKIMLSVKRFIRRSPPDVVLYFERLDLLNMGYSDFPLLKLMTEVFGNAIWFNTILVMTHSSSALPEGPNGYPVSYESYVNHCTDLVQQYIHQAVSDSRLENPVLLVENDPRCKRNFLGENILPNGQVWKSQFLLLCTCTKVLGDANKLFEFQDSIELGQVSNNQLPSLPHLLSSFLRHRSVSNSGEPEIGIDEILLSEEAEEEYDQLPSIQILTKSQFKKLTKSQKKAYLDELEYRETLYLKKQLKEECLRRNESKLSREKSFEGNDGDDADNNVAPEAIPLPDMAVPPSFDSDCPVHRYRCLVTNDLLLTRPVLDPHGWDHDVGFDGINLETALEVKNNVFASIAGQMSKDKRDFSIQSECAVAYVDPVGPTYSLGLDLQSTGKDLMYNVHSNAKLRSLKHNFTDCGISLTSFRNKYYVGAKLEDTILVGKRVKFVMNTGLMEGSGQLAYGGSFEACFRGRDYPVRNDNLSLTMTALSFRKETVLGGGFESEFRPLRGMRLSINGNINSQKMGQVRVKMSSSDHVEIALVAVFSIFKALLRRKATGNRDLEALEGV >KJB64660 pep chromosome:Graimondii2_0_v6:10:7160076:7164381:1 gene:B456_010G060000 transcript:KJB64660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIRDWVFTQVLSNSLASSRPLSGSGGGFFPEAPSSQEFESEDQGSSHTTSSVALSLPPDISSPSGSIHDNDSFTSQRQILVEGSNISHSSPNRKKMDPLAKIEDLQITFLRLLIRLGQSQDNLLVAKVLYRMHLATLIRAGESDLKRVNLRNERAKTIAREQEASGLQGLDFSIKILVLGKTGVGKSATINSMFDQPKTETNAFHPATDCIQEITGTVHGVKVTFIDTPGFLPSSSSTMRRNRKIMLSVKRFIRRSPPDVVLYFERLDLLNMGYSDFPLLKLMTEVFGNAIWFNTILVMTHSSSALPEGPNGYPVSYESYVNHCTDLVQQYIHQAVSDSRLENPVLLVENDPRCKRNFLGENILPNGQVWKSQFLLLCTCTKVLGDANKLFEFQDSIELGQVSNNQLPSLPHLLSSFLRHRSVSNSGEPEIGIDEILLSEEAEEEYDQLPSIQILTKSQFKKLTKSQKKAYLDELEYRETLYLKKQLKEECLRRNESKLSREKSFEGNDGDDADNNVAPEAIPLPDMAVPPSFDSDCPVHRYRCLVTNDLLLTRPVLDPHGWDHDVGFDGINLETALEVKNNVFASIAGQMSKDKRDFSIQSECAVAYVDPVGPTYSLGLDLQSTGKDLMYNVHSNAKLRSLKHNFTDCGISLTSFRNKYYVGAKLEDTILVGKRVKFVMNTGLMEGSGQLAYGGSFEACFRGRDYPVRNDNLSLTMTALSFRKETVLGGGFESEFRPLRGMRLSINGNINSQKMGQVRVKMSSSDHVEIALVAVFSIFKALLRRKATGNRDLEALEGV >KJB67055 pep chromosome:Graimondii2_0_v6:10:50516422:50520118:-1 gene:B456_010G173200 transcript:KJB67055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSINSFKGYGKVDEVEELAFRRKKRRRLITLIILILLLLTLITAAVVGIFLHKRSSSSPNTLPPPVLTPAASLKAVCSVTQYPASCFSSISSIAPSNTTVPELLFKLSLKVAIDELSSLSHYPTKLKAETNDIRLKSALQVCETMFDDALDRLNDSATSLEVGEGEKLLSDSKISDLKTWLSTAITDQETCLDSLEELNATKHFNATVVEEMKAAMQNSTEYASNSLAIVARILGLLTDLNIRIHRRLLGFEKAGSEFPAWVSPTERRLLQESKPTPNVIVAQDGSGNVLTINDAVKLVGKKNESRFVIYVKEGKYVENVILDKHMWNVMIYGDGKTKTLISGSHNFVDGTPTFATATFAVAGKGFIAKGIGFINTAGAAKHQAVAMRSGSDRSVFYRCAFDAYQDTLYAHSNRQFYRECDILGTIDFIFGNAAVVFQSCNILPRQPLANQFNTITAQGKKDPNQNTGICIQKCSISAFGNLTAKTYLGRPWKEFSTTVIMQSKIGAFLDPVGWKGWVANVDPPISIFYAEYQNSGPGSNVDNRVKWAGYRSTLSDVDAGKFTVETFIQGHDWLPNATVSYEPAL >KJB67761 pep chromosome:Graimondii2_0_v6:10:57517460:57523838:-1 gene:B456_010G208800 transcript:KJB67761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPVCPFVKAARPDDNGSAKKSTVGGSEATRKDSGDTATVSPKCPFGYDSNNFKLGPLSCMICQALLFDCTKCIPCSHLFCKVCISRFKDCPLCGADIEKLEADTNLQSMVDRFIEGHARIKRAHVGVNGEGEQVSNDDKKVIYEDVSLDRGAFLVQQAMRAFRAQNIESAKSRLIMCAEDIRDQLEKTGNTSELCSQLGAVLGMLGDCCRAMGDAAAAINYFEESVEFLTKLPADDLEITHTLSVSLNKIGDLKYYDGDLQASRSYYFRSLGVRRDVIKNHPGVASQILDVAVSLAKVADVDRTLGKEDVAVDGFQEGIKLLESLTLKSEEAGLEPRRLSVLGFLKSQLEEKQSEATASSVSS >KJB67657 pep chromosome:Graimondii2_0_v6:10:56751388:56753180:1 gene:B456_010G202600 transcript:KJB67657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSTSYIHMVQHMIEKCLIFNMTKEECMEALSKHANIKPVITSTVWNELEKENKEFFEAYAQSQSKQDRMSEEETSRMIQKMISDSSSKDPDK >KJB64308 pep chromosome:Graimondii2_0_v6:10:3936021:3938688:-1 gene:B456_010G042200 transcript:KJB64308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNSSSLQSSSGDDEEYDSRPESVPAFLNTSGHFSALSNPHPSLGSHHQHHPSPTFFDPSPNYLNPFSQSQLLNLDGIRPRGSLRSDPNCTDLGTLPGSSSSNQSMLVSQTQELNRGSFPSSSAHGNNGVRSSKPDQPTSVAKNPKKRTRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFSGSSSYSRRLDLFGSGSSIRSGHLEPLGSLYPLRPSAKRVQPTPFVSSSSSPSLLNNPLVDASNITNTSTNTTIATTNAFNPSTYQNMLNLQNQSPVLPFQSFLDSSPLNPSLNLPGFGVKSHGSSAMDSLDELGLSHGQVNANLGGLHSHDVAPDGARHGNWRDGVGLNQGNQDHLRALDWNYGTSDHNNSHEKGLENVSSRAEENYHAERLYKV >KJB64309 pep chromosome:Graimondii2_0_v6:10:3936551:3938464:-1 gene:B456_010G042200 transcript:KJB64309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNSSSLQSSSGDDEEYDSRPESVPAFLNTSGHFSALSNPHPSLGSHHQHHPSPTFFDPSPNYLNPFSQSQLLNLDGIRPRGSLRSDPNCTDLGTLPGSSSSNQSMLVSQTQELNRGSFPSSSAHGNNGVRSSKPDQPTSVAKNPKKRTRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFSGSSSYSRRLDLFGSGSSIRSGHLEPLGSLYPLRPSAKRVQPTPFVSSSSSPSLLNNPLVDASNITNTSTNTTIATTNAFNPSTYQNMLNLQNQSPVLPFQSFLDSSPLNPSLNLPGFGVKSHGSSAMDSLDELGLSHGQVNANLGGLHSHDVAPDGARHGNWRDGVGLNQGNQDHLRALDWNYGTSDHNNSHEKGLENVSSRAEGTVDSWICPAE >KJB64908 pep chromosome:Graimondii2_0_v6:10:10087554:10090147:-1 gene:B456_010G0718002 transcript:KJB64908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSSVRSRDVEFYYRLLDSVVEEIGENYIVQIVTDNEAAMKAAGKKLMLKRQHLYWTSCATHCLDLCLEDIGKKPSVAKVLDEAKKVTCFIYNHIWTVDLMKKYTQGKQILRPALTRFATHFIQLEEITRQKQGLREMFNSKVTNDLIKVYEPLVRVLRLVDSDEKPTMGFIYEAVDRAKRAIQQNCRYFTEYEKIIDNRWNFMHSDLHSAGYFLNPQFQFGVEHSENVLIETLEEFLFLFLLYLLFMQLLLFRDKHETFGTPQAQRAWKQMNPAEWWMIYDTCVPELQKLAIKVLSQTTSASNCERNWSKFSYIHTKARNRLKYKKLEKLVFTYYNMRLKMRHQQRMSTDDINASFNPISLDYIFEDVDPLSEWLHEKENPLLDGENAGVLSVDTSDDEMDVDQSQQQILSHSSSSSTPSQSGDGPDGGGLSPIDEDDGYSGDRGEIRSSSQYGGEYGGGTTGGHFRDRSEFDGNMFPKPRRDRSEPRAPSQGKGKKHTSIGSSSGSGRRSSSSNLGYSDSSTSTQGFYPPEQPSHGYPQPYGYYPPFPNYGVPYQPQMYPPPPMYHPPPPFMYPPPQIYPPYQLNENQGENVSFFGYIFGQRPRESSQERSQNNEESKHVKLYMKVE >KJB68179 pep chromosome:Graimondii2_0_v6:10:60204424:60205794:1 gene:B456_010G230400 transcript:KJB68179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRSFHIAMYLWFALGHITPYVHMANKLAERGHKISFFLPAKTQHKVEAFNLHPHLITFIPIEVPHVEGLPLGAETTNDVPFPLHPLIMTAMDLTEPDIEAYLRQLKPHFAFYDFTCWLPALTRRLGIKSVVYCIISSATIGYLLSPARKTLEKGMTGSDLLEPPQGFPSSSIRLRAHEAQALAAVTTMDYGSGLSFVERQLMSLSDCDIIGFKTCREIEGPYGEYIGSQFGKPVIFAGSVVPNPPKIALEKQWEKLLSKFQPKTVIFCAFGSECVLKKDRFQELVLGLELTGLPFLVALKPPMGAETIESALPEGFQERLEGRGILYGGWVPQQLILRHRSVGYFVTHCGSGSLAEAMVSDCQLVLLPHVGDQIINARLMAGDLKMGVEVEKGDEDGVFTKYDVCKAVRTLMDHANELGKEVRTNHAQWKEFLLKPGLENSYMDDFVMQLHALV >KJB64024 pep chromosome:Graimondii2_0_v6:10:2535815:2537197:-1 gene:B456_010G029900 transcript:KJB64024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKCLLDSPFIWGDTDKCRFLINVDYLLKRGVFELNNFNYSLDKCLDENLSNWVHALYNKPVLYKVNDYSRKSYELLSAAAVVRFCSNIFQHYNEYADECGERKIGKIRIVRKLSEALPNLFVDLFDGYINHAYCLEELYEPDVHEAVY >KJB67138 pep chromosome:Graimondii2_0_v6:10:51920391:51921879:-1 gene:B456_010G177200 transcript:KJB67138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDCRSREFLWHEGHTAFATKEEASTEVLQILELYRHIYEEFLAIPVMKGRKSELGKFAGGLYTTGVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKSMFWQNSWAYSTRTVITWAC >KJB66092 pep chromosome:Graimondii2_0_v6:10:27011648:27016307:-1 gene:B456_010G1270001 transcript:KJB66092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIAEDGPWLSTVNNHIGRQHWEFDPDAGSPEERAHVENLRLQFTNNRFRLKQSSDLLLRMQLRKENPCGPIPPAVKVEEDEEVTEEGITITLRRAISFYSSLQAHDGHWPAENAGPLFFLPPL >KJB66093 pep chromosome:Graimondii2_0_v6:10:27011648:27016301:-1 gene:B456_010G1270001 transcript:KJB66093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIAEDGPWLSTVNNHIGRQHWEFDPDAGSPEERAHVENLRLQFTNNRFRLKQSSDLLLRMQLRKENPCGPIPPAVKVEEDEEVTEEGITITLRRAISFYSSLQAHDGHWPAENAGPLFFLPPL >KJB67590 pep chromosome:Graimondii2_0_v6:10:56024126:56025796:1 gene:B456_010G198800 transcript:KJB67590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKFTERQPIGTAAQTQDDGKDYSEPPPAPFFEPGELTSWSFYRAGIAEFVATFLFLYITILTVMGVVKEKTKCPTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYMVMQCLGAICGAGVVKGFMGKTRYGMLGGGANSVAHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDKGWDDHWIFWVGPFIGAALAALYHVVVIRAIPFKSK >KJB64195 pep chromosome:Graimondii2_0_v6:10:3364610:3367094:1 gene:B456_010G036300 transcript:KJB64195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEHDDQEEEMGMAVPPGYDSLGTLSETWSKPGPTPTGGGDGLARKVGSTTVRYRECLKNHAVSIGGHAVDGCCEFIAAGDEGTVEALKCAACNCHRNFHRKETEGESNVYSYNPHYHHHQQYPQFSPYYRAPPPAGYLHLTPPSQYRPLALPAASGGGGDSREEEDFSNPSSSGGGGGSKKRFRTKFTAEQKEKMLDFAEKLGWRIQKHDEAAIQQFCEETGVKRHVLKVWMHNNKNTLDLL >KJB67571 pep chromosome:Graimondii2_0_v6:10:55868237:55871208:1 gene:B456_010G197700 transcript:KJB67571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLFFPLLFAVSSLAVISFPPLTHALGSGLTLAVAYGTATVCAIVAAQPTQRIICYRAGDNTSSSIAPVPILPNVSYFTVAGGETNLCALRSGGYSLLCWETNAPNYPVKRLYVNDTVFLQSLSIGDERICATTTNTSQPVACWRPDGNNRNDVGELPNRNYTMGKITSGFGFSCGIVLSQNNRVACWGSNSVVSTDIERQFGNISMENIEAGVSHVCGVNSVGDLVCKGNNSAGQLNVPLNKGLRFASWLALGEGFSCGIRRLNGTVVCWGSMTESAIEDIEFESIVAGLNFTCGLTTKNLSIVCWGPGWPGNNGFNSNYSFELPLMAEILPGPCVQSFCSECGIYPESNRLCSGSGNICRPCFNITTASPPSPVAPSPEVSRPSRELRRGLLAFAIVGSIGGFMGICSAIYCLWTGVCFGKKKVHNSVQPTITRAGSNGGPGSNNSPPSRSLRIRRQSSRAMKRQRSGPSMIRQRSGTSSKHADKAEEFSFAELVAATNGFSLENKIGAGSYGVVYKGKLSDGREVAIKRGETGSKMKKYQEKEIAFESELSFLSRLHHKHLVRLVGYCEEMDERLLVYEYMKNGALYDHLHDKNNIEKSSSLLNSWKMRIKIALDAARGIEYLHNYAVPPIIHRDIKSSNILLDANWTARVSDFGLSMMGPESDRDYKPTKAAGTVGYIDPEYYGLNVLTTKSDVYGLGVVMLELLTGKRAIFKESESGGTPVSLVDYTVPAIMNGEVVKVLDPRVGPPELNEAEAVELMAYTAMHCVNLEGKDRPTIGDIVSNLERALTVCDGSHGSISSGAFSIVSE >KJB65493 pep chromosome:Graimondii2_0_v6:10:16759066:16760262:-1 gene:B456_010G097300 transcript:KJB65493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRNEKMRENPSFSSTLLDKIYRSIDDGDTKVGDMKFYSETMQKTSTKMSSLQRACLIEKWMEKKVSEKANADKKKKQVVSEFVRKSSHHEHDHDHELDHDGVFFSSTSISSDSSSGGFSFSSSDTESIYGTTTKTKASSCFVPPWLKPVKTGGGGRERMEERADMKIYGNLKKVKQQQQPISPGVRLASFINSLFTTGNSKKKTKGSSSSTTTTSGQVSSCSSASSFSRSCLSKNSAPGREKLRDGVKRTVRFCPVSVIVDEDSRPCGQKCLNEERGSKSKLPSVSVSNAWKIGKSPSTKREEEMKVQAMEKTRRVEEMAREFLKEYHLNQKKNNLISRDSRRDCEDEDDASSCSSSDLFELDHLVLLGNHRYEEELPVYETTHVQTNRAIANGFLV >KJB66925 pep chromosome:Graimondii2_0_v6:10:48110507:48110947:-1 gene:B456_010G166200 transcript:KJB66925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSVTETHGANAETYSGEDVCKQKFLELLEEISLPKGIEPVEIIEFGRNRSTGLVWMKLKNKKEHKFKRINKVVSYNREINFFIDNGGIKKLTGIKCKELFIWITISGMFIEDPSSGKISFTIPSGLKAHFPISAFELEEDDNKK >KJB68107 pep chromosome:Graimondii2_0_v6:10:59776938:59777613:-1 gene:B456_010G225900 transcript:KJB68107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLEELCFQYCESTEEMKMEKLSTSINYAPCFPTLNRVSILNCNKLTDVTWLILAPNLRFLSIYGCAKMEEILSEEKLGEVTDVVGIPNPKPFMKLETLDLGNLPELKSIYWDTLPFPCLKTICLWWGCPKLEKLPLNSHTTEGNQVTILGREDWWATIEWENEATAGDAFLPSFRTVFK >KJB64287 pep chromosome:Graimondii2_0_v6:10:3826646:3830058:1 gene:B456_010G040600 transcript:KJB64287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTVLAPLILCVTLLFSSLNVASDSAAEALAILKWKASLQSQNHSVLLSWNTSNDPNTKTSPCAWFGIHCNHADSVTKINLTGYGVKGTLHSFPFSSLPNLAELDLSTNELYGIIPPKIIAHLYENQLSGPIPEEIGNLNSLVDLELSENQLNGSIPASLGSLSNLEILHLRDNRLSGSIPNEIGNLMKLIVLELDHNNLTGNLPQGICRGGSLENFTAADNQLTGPIPQGLKNCTSLKRVYLERNRLRGNISEDLGVYPNLRFIELSDNELYGEVSSNWGLCRSLQSLSIARNNLSGTIPAEIGNSRQIHRLDLSSNHLVGEIPKKISKLTSLLYLFLNGNQLSGSVPLELGLMSKLLYLDLSANQLSKSIPETIGNLSMSFYLNLSINRFSQRIPIQVGKLTTLVRLDLSHNMLSGEIPGEFRSLQSLETLNLSHNNLSGEIPSSLEKLRGLYTVDISYNELQGPIPNCQAFLNASGQELGGNKGLCGNARGLPPCTPFSKKGHNNNKTILVVMFSLLSVSCLLISSIALLFAFKKRKKDADEGRQSNASDEIFFSLSPFNGRKLFEEIIRATKDFDAQYCIGKGGYGNVYKAELSSGDVVAVKKFHLLHTSEMADQRQFLNEVRALVETRHRNIVKFYGFSSSAGHSFLVYKYLERGSLASVLSKNEESKKLDWNNRVNIVKGVVNALSYLHHDCSPPIVHRDITSNNILLDLEYEAHLSDFGIAKLLNPDSSNWSNIAGTYGYIAPELSYTMQVTEKCDVFSFGVLALELISGAYPGEFLSNLSIVTAESIPLNNVLDQRLSPPPPEVVNKLVFILNLAVSCLDNNPKSRPTMHTVSQLVFDHI >KJB63359 pep chromosome:Graimondii2_0_v6:10:28174846:28175216:1 gene:B456_010G129500 transcript:KJB63359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEIFLYGIFLGLIPITLSGLFVTAYLQYRRGDQLDL >KJB63692 pep chromosome:Graimondii2_0_v6:10:826780:827233:-1 gene:B456_010G011000 transcript:KJB63692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKTPFLLKNVFSNPKFTFLMINITSVFTLALNFFNNPSSLDSSIWFEFRPFRVWDIQVLWSGHFGSLSLQVRLFWVTSSVISSLCHSQFWLNLIGLLNF >KJB63590 pep chromosome:Graimondii2_0_v6:10:477052:481619:1 gene:B456_010G007500 transcript:KJB63590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLLLTFAICRLIVTVGLTLEPTELLRLGLTVDPFDVESASADFGLMTKVEPLAVLRPSSAKDVAQFVKAAYESSHGFTVSARGHGHSINGQAQTGTGVVVQMSGVGSGGKPRVWGDDMYVDVWGGELWIDVLKSCLAYGLAPKSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVHELDVVTGKGELMTCSKEQNPELFHAVLGGLGQFGIITRARISLEPAPQRVRWIRVLYSNFSAFTKDQEYLISLHGNQKFDYVEGFVIVDEGLINNWRSSFFSPRNPVKISSLGSNNNGGVLYCLEITKNYHESTAETIDQEIEALLKKLNFIPTSVFTTDLPYVDFLDRVHKAELKLRSKGMWEVPHPWLNLFVPKSKIADFDRGVFKGILGNKTSGPILIYPMNKNKWDDKSSVVTPDEDVFYLVAFLRSALDNGEETQSLEYLTNQNRQILKFCDEDGMKVKQYLPHYKTQSEWKEHYGSKWDRFQRMKMKFDPRHILASGQNIFTPTFLSSSNMMVMDIPIPIKQKARESIDF >KJB63317 pep chromosome:Graimondii2_0_v6:10:50115711:50115887:-1 gene:B456_010G172400 transcript:KJB63317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFQSQQYKRGAKACAKRKGHGFSTKCASLVKQERARLYILRRCATMLLCWYIQGDD >KJB65013 pep chromosome:Graimondii2_0_v6:10:10853894:10856769:-1 gene:B456_010G076100 transcript:KJB65013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQNEPPVEANPDQDDQTVEIDLSSITILDLTSFQLPDLDSVELPPNLIDLDLTTNRLSNLDSRIANLINLRKLSFRQNLFNDAAIEPISSWESLTGLEELILRDNKLTKIPDVGIFKKLLVFDVSFNEITSLQGLSKASSTIKELYVSKNEVTKMEEIDHLHELQILELGSNRLRVMENLQNFTKLQELWLGRNRIKVINLCGLSCIKKISLQSNRLTSMIGLEECNALEEIYLSHNGIAKMEGLSKLVNLRVLDVSSNKLTSVDDIQNLTRLEDLWLNDNRIESLEDIADGVSGSREKLTTIYLENNPCAQSPNYNATLKQIFPNIQQIDSEVFA >KJB65651 pep chromosome:Graimondii2_0_v6:10:19338830:19340531:1 gene:B456_010G106100 transcript:KJB65651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIQESSYCGGDHFIVEDLLDFSNDDAVFTDGTFDSSLALAHSTDSSTFTPVDSCTSSLFSVCEPNIGTDIGCRGLNHDQFAGDLPPPYDDLAELEWLSNFVEESFSCEHLQKLHLISGLKTRPDKSSETRETQAVDGDSDHSNSNGNPIFDPDMAVPAKARRKRSRAAPCNWAFRLLALSLTSSSSEPGTDVAVPVQPPLTNQTGKKPAKKTSSKKKDGGEVATNPDGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVLELRRQKEMVRAQQQHRHHQNMVYDASNGDDYLIHQYVSPDFRQLI >KJB63961 pep chromosome:Graimondii2_0_v6:10:2173107:2177954:1 gene:B456_010G027000 transcript:KJB63961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKICVAVRVRPSISEESPSGTFWKIEDNRISLHKLHGTPISGLSYAFDHVFDESCSNSKVYELLTKDVIHAAVDGFNGTAFAYGQTSSGKTFTMNGSLNDPGIIHRAVNDVFQKIQMISDREFLIRVSYMEIYNEEINDLFAVENQKLPIHESLERGIFVAGLREEIVNNAEQVIKLLESGEVNRHFGETNMNARSSRSHTIFRMVIESKGIDTGSGDYSSSDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKYINKSLMVLGNVINKLSNGAKQRAHIPYRDSKLTRILQTALGGNAKTSIICTVAPEEVHVEETKGTLQFASRAKRITNCAQVNEILTDAALLKRQQLEIEDLRRKLQGSRSEVLEQEILKLRNDMLKYELEREKLEMELEEERRSHKEREQRIRDQQLKIENLSSLVSDGDRSSSQDSMKESPKDECNDFKTPCFKETSNNAFVAKRSNYSELPDFSPLPDSFSNVADEDTWFKMNKGYIADLDSLQTTPARKVQSFPPQDITPDCSNDNYKLELQNLKTQLELVIKEKDELQRKHEEVQINRRLVGEISELKQELDLSNNSLESSEQRYGNLEREFQKLKDERDSLLQTVSESSKNITFLTDQKENILKDLNSEVQRRKDLEAEIKQFSVAFASRQRSLASIHGEFKSKIEKLRAENLVRY >KJB64500 pep chromosome:Graimondii2_0_v6:10:5939560:5939839:-1 gene:B456_010G0518002 transcript:KJB64500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNSFPLWGLKRFINA >KJB68334 pep chromosome:Graimondii2_0_v6:10:60897704:60904968:-1 gene:B456_010G239400 transcript:KJB68334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARCSICFFSYGFNGLSEGNYGNDVDCWKKNVSLFLPSRRRLLPVCCKIQRRNLSSYNRRQGKKPPFERIRPSAKLQPNSDDEFDPEHSVPNNGDMEPSVNREKTFEDDVDARGDAEHTDEKNLGTQFASAIETNRDVKHADEQITDSPAQSAVAKASAINGVGAELLSSVQPDDLIGMIKNAERNILLLNQARVHALEDLHKILSEKETLKGEINNLEKRLAEADAQIKFASQEKVHAELLEDQLENLQNELINRGGSGKSELELYENRSKISNEGALLARDGHIHSLSKEVDSLRTENLALKYDIQALKSMLSNLKNTDKRIVTLENESSFLESSVKELESKLSVSQQESSNISTLKTECKDLWAKVENLQLLLDKATKQADQAILVLQQNQDLRKKVDKLEESLEAATIFKASSEKTQQYNELMQQKIKLLEERLQKSDEEIYSYVQLYQESIKEFRDTLNSLKEESKKRALDEPVDDMPWEFWSCLLLTIDGWVLENKILNSEAVPLREMVWKRDRRICDAYVICKEKTEDEVISTFLQLISSQASPGLHVIHIAAEMAPVAKVGGLGDVVTGLGKALQKKGHLVEIVLPKYDCMQYDRIRDLRVLDATVYSYFDGKLFQNKVWTGTVEGLPVYFIEPHHPSKFFWRGQYYGEQDDFKRFSFFSRAALELLLQVGKKPDIIHCHDWQTAFVAPLYWDLYFPKGLNSARICFTCHNFEYQGAAPASELASCGLDVQQLHRPDRMQDNSAHDRVNPIKGAIVFSNIVTTVSPTYAQEVRTAEGGKGLHSTLNFHSKKFMGILNGIDTDAWDPATDIFLKVQYTANDLQGKAENKAAMRRHLRLSSADDSQPLVGCITRLVPQKGVHLIRHAIYRTLEMGGQFVLLGSSPVPHIQVNLYCCSM >KJB68332 pep chromosome:Graimondii2_0_v6:10:60896239:60905027:-1 gene:B456_010G239400 transcript:KJB68332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARCSICFFSYGFNGLSEGNYGNDVDCWKKNVSLFLPSRRRLLPVCCKIQRRNLSSYNRRQGKKPPFERIRPSAKLQPNSDDEFDPEHSVPNNGDMEPSVNREKTFEDDVDARGDAEHTDEKNLGTQFASAIETNRDVKHADEQITDSPAQSAVAKASAINGVGAELLSSVQPDDLIGMIKNAERNILLLNQARVHALEDLHKILSEKETLKGEINNLEKRLAEADAQIKFASQEKVHAELLEDQLENLQNELINRGGSGKSELELYENRSKISNEGALLARDGHIHSLSKEVDSLRTENLALKYDIQALKSMLSNLKNTDKRIVTLENESSFLESSVKELESKLSVSQQESSNISTLKTECKDLWAKVENLQLLLDKATKQADQAILVLQQNQDLRKKVDKLEESLEAATIFKASSEKTQQYNELMQQKIKLLEERLQKSDEEIYSYVQLYQESIKEFRDTLNSLKEESKKRALDEPVDDMPWEFWSCLLLTIDGWVLENKILNSEAVPLREMVWKRDRRICDAYVICKEKTEDEVISTFLQLISSQASPGLHVIHIAAEMAPVAKVGGLGDVVTGLGKALQKKGHLVEIVLPKYDCMQYDRIRDLRVLDATVYSYFDGKLFQNKVWTGTVEGLPVYFIEPHHPSKFFWRGQYYGEQDDFKRFSFFSRAALELLLQVGKKPDIIHCHDWQTAFVAPLYWDLYFPKGLNSARICFTCHNFEYQGAAPASELASCGLDVQQLHRPDRMQDNSAHDRVNPIKGAIVFSNIVTTVSPTYAQEVRTAEGGKGLHSTLNFHSKKFMGILNGIDTDAWDPATDIFLKVQYTANDLQGKAENKAAMRRHLRLSSADDSQPLVGCITRLVPQKGVHLIRHAIYRTLEMGGQFVLLGSSPVPHIQREFEGIANQFQDHEHIRLILKYDESLSRYIYAASDMFIIPSIFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDVDDDTIPYQYRNGFTFATPDEQGLNGALDRAFNLYNNDSETWQQLVQKNMNIDFSWSSSASQYEELYAKSVARARAATSRT >KJB68333 pep chromosome:Graimondii2_0_v6:10:60896240:60904968:-1 gene:B456_010G239400 transcript:KJB68333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARCSICFFSYGFNGLSEGNYGNDVDCWKKNVSLFLPSRRRLLPVCCKIQRRNLSSYNRRQGKKPPFERIRPSAKLQPNSDDEFDPEHSVPNNGDMEPSVNREKTFEDDVDARGDAEHTDEKNLGTQFASAIETNRDVKHADEQITDSPAQSAVAKASAINGVGAELLSSVQPDDLIGMIKNAERNILLLNQARVHALEDLHKILSEKETLKGEINNLEKRLAEADAQIKFASQEKVHAELLEDQLENLQNELINRGGSGKSELELYENRSKISNEGALLARDGHIHSLSKEVDSLRTENLALKYDIQALKSMLSNLKNTDKRIVTLENESSFLESSVKELESKLSVSQQESSNISTLKTECKDLWAKVENLQLLLDKATKQADQAILVLQQNQDLRKKVDKLEESLEAATIFKASSEKTQQYNELMQQKIKLLEERLQKSDEEIYSYVQLYQESIKEFRDTLNSLKEESKKRALDEPVDDMPWEFWSCLLLTIDGWVLENKILNSEAVPLREMVWKRDRRICDAYVICKEKTEDEVISTFLQLISSQASPGLHVIHIAAEMAPVAKVGGLGDVVTGLGKALQKKGHLVEIVLPKYDCMQYDRIRDLRVLDATVYSYFDGKLFQNKVWTGTVEGLPVYFIEPHHPSKFFWRGQYYGEQDDFKRFSFFSRAALELLLQVGKKPDIIHCHDWQTAFVAPLYWDLYFPKGLNSARICFTCHNFEYQGAAPASELASCGLDVQQLHRPDRMQDNSAHDRVNPIKGAIVFSNIVTTVSPTYAQEVRTAEYTANDLQGKAENKAAMRRHLRLSSADDSQPLVGCITRLVPQKGVHLIRHAIYRTLEMGGQFVLLGSSPVPHIQREFEGIANQFQDHEHIRLILKYDESLSRYIYAASDMFIIPSIFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDVDDDTIPYQYRNGFTFATPDEQGLNGALDRAFNLYNNDSETWQQLVQKNMNIDFSWSSSASQYEELYAKSVARARAATSRT >KJB65245 pep chromosome:Graimondii2_0_v6:10:12992368:12993042:-1 gene:B456_010G086200 transcript:KJB65245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPLILEGQPKYLSQPVQQSAEFPCSFLPPMKPPLILQENEIGILLISPQKNYYLFLFLSRLCVYSATLPVSTGHSLRHRSPWILT >KJB63396 pep chromosome:Graimondii2_0_v6:10:1443447:1444054:-1 gene:B456_010G019200 transcript:KJB63396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVTYFDHKANSSSSKPFNLSPFANMPLYVPKSINLSLNEEQLKAVFRKHDVNGDGCLSKDELMKVFRELGSRNPSWRVRRSLHHADGDGNGTISLDELDELVKYVAKQGYGIN >KJB64561 pep chromosome:Graimondii2_0_v6:10:6409505:6412374:1 gene:B456_010G056000 transcript:KJB64561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLSPIHPLPFLSSSSTATTLTRRSLLLSSTLTTTLPTTSLSLPPQLDTNITDRVYLDFSLCPTYFRNATAADSIPLCSDPSPLGRLVLGLYGNLLPLTVSTFKRMCTSSSYKNTLVHKYSLGKYGEVRLPPALDLPRNTETVDSKAFLLRHSRPGVVSLCLSENDDDDEVKLDSEYRNVEFLITTGPGPCPQLDNNNIVFGTVLEGLDVVTAIASIPTYKPSERIRQLNDLAEFFGDERAQKGRTLWNRPLKTVYISDCGELQVTKPSMSPTLP >KJB64560 pep chromosome:Graimondii2_0_v6:10:6409818:6412009:1 gene:B456_010G056000 transcript:KJB64560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPFSVRPPSPRPLRQPPSSNCLHFQAHVHLFLLQKHPRPQIFPGQFFQAGRQGRSREYGEVRLPPALDLPRNTETVDSKAFLLRHSRPGVVSLCLSENDDDDEVKLDSEYRNVEFLITTGPGPCPQLDNNNIVFGTVLEGLDVVTAIASIPTYKPSERIRQLNDLAEFFGDERAQKGRTLWNRPLKTVYISDCGELQVTKPSMSPTLP >KJB63446 pep chromosome:Graimondii2_0_v6:10:20859967:20861194:-1 gene:B456_010G110500 transcript:KJB63446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAILRHESLSFRHPFSLGSRRKRFESNNRRESESMVTKPPAKNLVMGQVKILKRGEPLVAAAENTDGSRGGDEAFDLSLGSTNRLGPDPETMQKQIKLKEFKIGGGFYASSSSFNSPPPSSVPVPGFLGRAARVSP >KJB67833 pep chromosome:Graimondii2_0_v6:10:57980799:57982225:-1 gene:B456_010G2138002 transcript:KJB67833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CLVQRPKHKTRQATQNRSKMATECKKRAVLVGCNYPNTINKLSGCVNDAKAMREMIVSRFGFDSENVELLTDEPESTYKPTRANIMAALKKMVVAAKEGDVLLFHFSGHGLVHRIDPHQPPNEGEAIVPCDLNPIFDVDLGQLIQLLPSGSSFTIVSDSCHSGGLIDKSKEQIGPHSTLRGIAPPVDYKTRGISLATLFQCLQTAANVIIATQDLFPTRALGNAINTGTDNMTGISSLLTTIFGNNVSLKFLPHYERDILNLRSLTEDEGILLSGCQANELSIDMPASEKTGGKAFGAFTYSVLKVIKESELGALTNRQLVVKARNEIIKLGIGQQHPCLYCSDGNADAAFLGHQPNTTTGA >KJB67611 pep chromosome:Graimondii2_0_v6:10:56784177:56788121:-1 gene:B456_010G202700 transcript:KJB67611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAANRLVKAGFAVYGIDYEGHGKSSGLQGYISSFNNVVDDCSNFFTRICEKKENKRRMRILLGESLGGAVLLMIHRKMPDYWDGAVLVAPMCKIADKMKPHPLVTSVLKKLCNFIPTWRMIPGPDVIDTGYKMPEIRAQIRGNPYCYKGRPRLNTGLELLKMSIEVEQRLNEVSLPFIILQGEDDKVTDKAVSQQLYDVASISDKTLKLYPGMWHGLLYGETPENTEIVFSDIVDWLNQRFELRSSRLERELKHQNDEIFISKDIF >KJB67612 pep chromosome:Graimondii2_0_v6:10:56784177:56788226:-1 gene:B456_010G202700 transcript:KJB67612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDNGSVQYDEEYILNSRGLKLFTCKWIPVNEEPKGLIFICHGYALESSITMSSAANRLVKAGFAVYGIDYEGHGKSSGLQGYISSFNNVVDDCSNFFTRICEKKENKRRMRILLGESLGGAVLLMIHRKMPDYWDGAVLVAPMCKIADKMKPHPLVTSVLKKLCNFIPTWRMIPGPDVIDTGYKMPEIRAQIRGNPYCYKGRPRLNTGLELLKMSIEVEQRLNEVSLPFIILQGEDDKVTDKAVSQQLYDVASISDKTLKLYPGMWHGLLYGETPENTEIVFSDIVDWLNQRFELRSSRLERELKHQNDEIFISKDIF >KJB65637 pep chromosome:Graimondii2_0_v6:10:18986261:18991787:1 gene:B456_010G104200 transcript:KJB65637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRSPNGSSPQQPRSVKKHGITKPISLAGPVEVDIQRNTELEKFLIESGLYESKEGSSKRKEVLGHITEIVKSWMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILRDILAEMEEVTELQPVPDAYVPVMKFKFQGISIDLLYASISLLVVPDVNLDISQGSVLLNVDEQTVRSLNGCRHFRMTLRCLKFWAKRRCIYSNLYPNAIPSMLVSRFFRVYTRWRWLNPVMLCSIEEDELGFPVWDPWKNPRDRFHHMPIITPAYPCMNSSYNVTISTLCVMIEQFQYGNKICEEIELNKAQWDALFEPYLFFEAYKNYLQVDIVSADANDLLVWKGWMGSRLRQLTLKIERDTNGMLQCHPYPNEHVDTSKQFAHCAFFMGLQRKVGVIGQEGQQFDIRGTVDEFRQEISIYLYWKPGMDIYVYHVRRKQLPGFVFPDGYRRPRPSRHPNQHSGKTCEVVTRSRSGSAERQIKRKHVNETVDKKLDKPEKRASISPQRLESVSLESSTRGSGGTSHISIGQPVKPDHQRCGDVDGNSVDSLSSGLLDSKERNVEITILRARTDSPGSLTIRGQPSLNVDNLPVVRNAEPAEQMGEPVLRQEFLTACEVPNSETRETCKSSLYQEKIEDLALAYMDDLETGFSRKKLNWKGGGVGVDQEAVKLCNKTAVVETAETNGSENTGSYGDSESRGSLQGGGVCIADLDSLLQNIPVGKVVRSQDGARSVTSQKPMQVEFEVNDIMCCR >KJB65636 pep chromosome:Graimondii2_0_v6:10:18986261:18991787:1 gene:B456_010G104200 transcript:KJB65636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRSPNGSSPQQPRSVKKHGITKPISLAGPVEVDIQRNTELEKFLIESGLYESKEGSSKRKEVLGHITEIVKSWMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILRDILAEMEEVTELQPVPDAYVPVMKFKFQGISIDLLYASISLLVVPDVNLDISQGSVLLNVDEQTVRSLNGCRHFRMTLRCLKFWAKRRCIYSNLYPNAIPSMLVSRFFRVYTRWRWLNPVMLCSIEEDELGFPVWDPWKNPRDRFHHMPIITPAYPCMNSSYNVTISTLCVMIEQFQYGNKICEEIELNKAQWDALFEPYLFFEAYKNYLQVDIVSADANDLLVWKGWMGSRLRQLTLKIERDTNGMLQCHPYPNEHVDTSKQFAHCAFFMGLQRKVGVIGQEGQQFDIRGTVDEFRQEISIYLYWKPGMDIYVYHVRRKQLPGFVFPDGYRRPRPSRHPNQHSGKTCEVVTRSRSGSAERQIKRKHVNETVDKKLDKPEKRASISPQRLESVSLESSTRGSGGTSHISIGQPVKPDHQRCGDVDGNSVDSLSSGLLDSKERNVEITILRARTDSPGSLTIRGQPSLNVDNLPVVRNAEPAEQMGEPVLRQEFLTACEVPNSETRETCKSSLYQEKIEDLALAYMDDLETGFSRKKLNWKGGGVGVDQEAVKLCNKTAVVETAETNGSENTGSYGDSESRGSLQGGGVCIADLDSLLQNIPVGKVVRSQDGASRSSLKSMT >KJB65418 pep chromosome:Graimondii2_0_v6:10:15555988:15557477:1 gene:B456_010G094200 transcript:KJB65418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFASISASSLPAPATIPFAKGSISQHKSFTTIGCPVVCNGLKLIPKIQFSKERNKFSTRSSCFKTSVSCSLAQPETLKTVQNTIAKQLSIDASSVTPDTKFADLGADSLDTVEIMMALEEQFGVSVGEGGAENITTVQDAADLIEKVKAAAA >KJB68323 pep chromosome:Graimondii2_0_v6:10:60852431:60857376:1 gene:B456_010G238600 transcript:KJB68323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSLPYSVKDVHYDNAKFRHRSFFKVITQTLFTSDMKRECVSCSTGKFLGLFMIFGLACLMLTHASKTPSVTDGLSKVLGTNEEQKVVTDVGLRFKKLLRRAPRLPPRLSGNEKVSSGIFTGKPNDHDEEKWKARQRNVKEAFTHAWSGYKKFAMGYDELLPLSMQGFDGLGGLGATVVDSLDTAMIMGLEEVVSEAGSWIESNLLDRISQKGDVNLFETTIRVLGGLLSAYHLSGGDQDMNLANTGPKPTIYLEIAKNLADRLLSAFTSSPTPIPYSDVLLKNSSAHPASNLLSSTSEVSTLQLEFNYLSAISGDPKYKTEGMKVFAHFKTLPKVEGLVPIYISPHSGEFIGQEIRLGSRGDSYYEYLIKVWLQLRSIQDGNFTYLYDMYEEAMRGVRHLLVQKTIPNELVFVGELPGGSKGSFSPKMDHLVCFLPGTLALGATKGITKEKAMKDNLLTIEDFENLKLAEDLAKTCFEMYSVTSTGLAPEIAYFHTKDFYEDGLGGGNQSSEYVNDIIIKFNDRHNLLRPETVESLFVLYRITQDPKYREWGWQIFQSFEKYTKVDSGGYTSLNDVTTLPPQRRDKMETFFLGETLKYLYLLFGDSSVIPLDKFVFNTEAHPFPIKDAIYLEGGSNMMKGIM >KJB66355 pep chromosome:Graimondii2_0_v6:10:31767051:31771583:1 gene:B456_010G137500 transcript:KJB66355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAIIPVTPITPTDNNDLVSSEVQPNKRRRKKSIVWDHFTVETVGDGCIRACCNQCKKSFAYITGSKLAGTSHLKRHIALGICPVSRQRNQQASDTKTANATYEPRKRQRATPGFANIPFNQERCNHEIAKMIIMHEYPLHIVEHPGFIDFARTLQPQFNMVSFNTIQGDCVAMYLNEKQRLLNFINEIPGRISLTLDLRISNQTVGYVFITGQFIDMEWNLHRCLLNVVMVPSPDSDCALQQAVVSCLSDWHLENRLFTLGLDQSFSNVNINQNLRALFSVRNPYMLHGQFLIGNCFARVLSLLAQEALWSVGETVKKIRDSVKFVKTSDTHEETFFGLKEQLKVPSMKDIFIDDQTKWNTTYDMLAAACELKQVFLCLETSIPDYNIAPSMDDWKQIEILCTYLKLFFDAVSILTGPTYPNASAFYHEVSKVQLELTHAAMSNDPFISNLTKPLKEKFDRYWSDCFLVLAIAVVMDPRFKMKLVEFSFSRIYGDDAGMWIKIVDDGIHELYLEYIAQALPPPETFVEERNGSIIPEQNGGVILKTEPPEDGYLHEEGYLQEEQTHEAAPQEVSHHEVAHQEDSHHDVAHREVSDQEVDSQEITHQEEASQEVPSQDPLISIGDGLSDFEVYISEISGSHQMKSELDQYLEESLLPRVQDFDILGWWKLNKTKYPTLSRMASDILSMPFSTVGPDSVFDTERKKMDNYHSSLRPVTLEALICAKDWLQYGGLSQSCDGNVKMEF >KJB64834 pep chromosome:Graimondii2_0_v6:10:8751115:8754367:-1 gene:B456_010G067400 transcript:KJB64834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMNFVCVFLLSVLVLSLGVSSEPVEDKQALLAFLSQTKHENRVQWNSSTSACDWVGVECDANRSFVYTLRLPGVGLVGSIPPNTVGRLNQLRVLSLRANRLSGPIPADFSNLTLLRSLYLQYNEFTGPFPPSLTRLTRLIRLDISSNNFTGPIPFAVNNLTQLTRLFLQNNKFSGSLPTINTDGLDDFNVSNNNLNGSIPGSFSKFRESSFAGNLGLCGGPLPPCNPFSPSPAPSPSEPIPPTTSSRKSRELSTGAIIGIAVGSAIVALLLLLVLILCLCKRTRRPPKQQKPFTPMTTRDVPSAEAGTSSSKDEIIGGSSTEGERNKLVFFEGGIYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVSKREFEMQMEMVGKITHENLVPLRAFYYAIDEKLLVYDFMHDGSLSALLHGSRGSGRTPLDWDNRMKIALSTARGLEHLHVSAKLVHGNIKSSNILLRSDHKACISDFGLNPLFGNTTPPSRVAGYRAPEILETCKVTFESDVYSFGVLLLELLTGKAPNQASLGEEGIDLPRWVQSVVREEWTAEVFDVELMRYHSIEEEMVQLLQIAMTCVSTVPDQRPAMQEVVRMIEDMNRGETDDGLRQSSDDRSKGSDGHTPTESRTPPRSSTP >KJB63563 pep chromosome:Graimondii2_0_v6:10:311373:316725:-1 gene:B456_010G006000 transcript:KJB63563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DECREASED SIZE EXCLUSION LIMIT 1 [Source:Projected from Arabidopsis thaliana (AT4G29860) UniProtKB/Swiss-Prot;Acc:Q3MV14] MSSKSKRPPPGPVAVLRGHRASVADACFHPSRPILFTGSSDGELRIWDTIQHRTVSSSWAHSAAHGIIAVACSSLLETNKIISQGRDGTVKCWDIEEGGLSRTPSLTIRTNSYHFCKLSLVKKPVANSKQGDGTIDIELSNDSRGEIAKNQEEGSNSFEDHLQGGLIEETSVEGLKYVAMAGEQSSEIELWDLNTGERSVRLPQDNFVGSIKQRGMCMAVQSFLLPGTEGFLNVLAGYEDGSILWWDIRYPGVPLTSVKFHSEPVLSLCIDGSCNGGISGAADDKIVLYNLDYPTGSCFVKKEISLERPGISSTAIRPDSKIAATAGWDHRVRIYNYRKGNPLAILKYHHATCNSVSYSTNCKLMASTSEDTTVALWELYPPQT >KJB68109 pep chromosome:Graimondii2_0_v6:10:59783922:59784161:-1 gene:B456_010G226200 transcript:KJB68109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHHLLPEEIEEFLGNPTRSIHYFFSDRWSELHLSSNPTERSTRDQKLLKKEQNISFVPSKRSENKKIYYQSIHLKLN >KJB65585 pep chromosome:Graimondii2_0_v6:10:18555707:18557239:1 gene:B456_010G102300 transcript:KJB65585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCMVDWLMDIARKVFGISSFIILLSTALEITPPLFNVQIHTYKKERMISKALDCFIMTRKQGTEFNIVILQGCCWQYCYLRLQKPSLSCLIYGICNIQIDTPSNEGMISETLVTLSRMLLQGRCGRG >KJB65584 pep chromosome:Graimondii2_0_v6:10:18555706:18557262:1 gene:B456_010G102300 transcript:KJB65584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCMVDWLMDIARKIHTYKKERMISKALDCFIMTRKQGTEFNIVILQGCCWQYCYLRLQKPSLSCLIYGICNIQIDTPSNEGMISETLVTLSRMLLQGRCGRG >KJB63370 pep chromosome:Graimondii2_0_v6:10:60967366:60967722:-1 gene:B456_010G240100 transcript:KJB63370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEEFQESDVIFPDATLNGGAPLPSDELIDRRRFLSKTVRSVPVNIPLHQRSTIVFDYGGDLDEDREIVPPHVILERRIAAKTAFSVCTGNGRTLKGRNLSQVRNSILRLTGFLEV >KJB65423 pep chromosome:Graimondii2_0_v6:10:15563956:15565964:-1 gene:B456_010G094400 transcript:KJB65423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSCSDGSLKQQLEELQKQLGKKQRFEEAVSSINSLLKLHYPSASPSLRKSFYSVVCRVATILKTRYTAPGFWLAGLRLVELLEPLVSDPSEKSHLRNCISQAKQHLNEIENPVQSSGSSANRGYLFEGHLTVDPEPPQPQWLVQSNLMNAFASAAAAESSQGSATNVNTVENAANVLEQLIDHLDSVIPEILENEGGVRRVPPASKEVVAELPVITLTEEILAKLGSDSECAICKENLLVGDNMQELPCKHTFHPPCLKPWLDEHNSCPICRYELQTDDHDYESWKEREKEAEEERKGAANAVRGGEYMYV >KJB65424 pep chromosome:Graimondii2_0_v6:10:15564139:15565569:-1 gene:B456_010G094400 transcript:KJB65424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFFVVQFYSVVCRVATILKTRYTAPGFWLAGLRLVELLEPLVSDPSEKSHLRNCISQAKQHLNEIENPVQSSGSSANRGYLFEGHLTVDPEPPQPQWLVQSNLMNAFASAAAAESSQGSATNVNTVENAANVLEQLIDHLDSVIPEILENEGGVRRVPPASKEVVAELPVITLTEEILAKLGSDSECAICKENLLVGDNMQELPCKHTFHPPCLKPWLDEHNSCPICRYELQTDDHDYESWKEREKEAEEERKGAANAVRGGEYMYV >KJB63759 pep chromosome:Graimondii2_0_v6:10:1098515:1100287:-1 gene:B456_010G014500 transcript:KJB63759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVTSTVAIITFPLLFLFSFLWISRRNTNLKKTAPEAGGAWPIIGHLRLLGGPQPPHISLGNLADKYGGIFTIRLGVHRALVVSNWEIAKECLTINDKAFATRPKLASWEILGYNSAMIAFAPYGPYWRQVRKFATIELLSNHRLELLKPVRESEVKASLQQLYQMWNKKKSSNCGKVIVEMKRWFRDVTLNVILRIVVGKRIPNSYEGDETVKWKKSLDDLFELTGKFVVSDALPYLRWSDFGGDKKLMKKVAKELDQVVEEWLREHKEKRAENEANSEEDFMGVMLSILRDAEEHDADTINKAVSLALILAAEDTTSITMTWALSLLLNNRDALNKVKQELDIHVGKDRLLVTESDTKNLVYLQSVIKETLRLYPAAPLAVIHEAIEDCSVHGYDISTGTWLILNLQKIQRDSQIWEDPLKFQPERFMTTHKDIDVKGHDFELIPFSSGRRMCPGVSFALKILELTLANVLHWFEIETLTNEIVDMREGPGLTSPKATPLEVQISPRLPNFVYQSHNQLLLFV >KJB64886 pep chromosome:Graimondii2_0_v6:10:9142988:9144813:-1 gene:B456_010G070100 transcript:KJB64886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHGNITVSTSPKGSEAEVAPNSGKGGQCLCSPTTHQGSFRCRFHRSKSSAWMMKRSKSMPANNSSTASFSPKSVEST >KJB68496 pep chromosome:Graimondii2_0_v6:10:61576319:61579534:-1 gene:B456_010G247300 transcript:KJB68496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGSTASSKLKKAAKKMVVAACGSFSKNTPPSPPPPPAAMSMSPLKPKNKFEAVSAGIEAESITNHNDLASKNICAICLEVLSYSSGSSPGQAIFTAQCSHAFHFSCISSNVRHGSITCPICRAHWTQLPRNLNPPACSLSCNQNDPVFRILDDSIATFRVHRRSFLRSARYDDDDPIEPDHTQNHPRIDLALVPLQPTVLTHPCCFRHQPGSHPSFQMPGVGHVSNHHHHHHHFSSSSSSTLQLQPPSGQTPSYMCSPSNSRPAYLSIKLAHPRATDMVLIASPNGPHLRLLKQSMALVVFSLRPIDRLAIVTYSSAAARVFPLRCMTSYGKRTALQVIDRLFYMGQADPIEGLKKGIKILEDRAHKNPQSCILHLSDSPTRSYHAMNLQFPIPIHRFHIGFGFGTSNGFVMHEFEEFLTQLLGGVVREVQLRIGEEGRIIRLGDLRGGEERRILMDLGECVHVSVGYSYVEGGSEECMKTGETMVSVEDKRETEDGNRENGINIVGGRTSSVENWDYHDPYMARRWAKHLHGYRF >KJB68498 pep chromosome:Graimondii2_0_v6:10:61576332:61579362:-1 gene:B456_010G247300 transcript:KJB68498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGSTASSKLKKAAKKMVVAACGSFSKNTPPSPPPPPAMSMSPLKPKNKFEAVSAGIEAESITNHNDLASKNICAICLEVLSYSSGSSPGQAIFTAQCSHAFHFSCISSNVRHGSITCPICRAHWTQLPRNLNPPACSLSCNQNDPVFRILDDSIATFRVHRRSFLRSARYDDDDPIEPDHTQNHPRIDLALVPLQPTVLTHPCCFRHQPGSHPSFQMPGVGHVSNHHHHHHHFSSSSSSTLQLQPPSGQTPSYMCSPSNSRPAYLSIKLAHPRATDMVLIASPNGPHLRLLKQSMALVVFSLRPIDRLAIVTYSSAAARVFPLRCMTSYGKRTALQVIDRLFYMGQADPIEGLKKGIKILEDRAHKNPQSCILHLSDSPTRSYHAMNLQFPIPIHRFHIGFGFGTSNGFVMHEFEEFLTQLLGGVVREVQLRIGEEGRIIRLGDLRGGEERRILMDLGECVHVSVGYSYVEGGSEECMKTGETMVSVEDKRETEDGNRENGINIVGGRTSSVENWDYHDPYMARRWAKHLHGYRF >KJB68497 pep chromosome:Graimondii2_0_v6:10:61576319:61579471:-1 gene:B456_010G247300 transcript:KJB68497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGSTASSKLKKAAKKMVVAACGSFSKNTPPSPPPPPAAMSMSPLKPKNKFEAVSAGIEAESITNHNDLASKNICAICLEVLSYSSGSSPGQAIFTAQCSHAFHFSCISSNVRHGSITCPICRAHWTQLPRNLNPPACSLSCNQNDPVFRILDDSIATFRVHRRSFLRSARYDDDDPIEPDHTQNHPRIDLALVPLQPTVLTHPCCFRHQPGSHPSFQMPGVGHVSNHHHHHHHFSSSSSSTLQLQPPSGQTPSYMCSPSNSRPAYLSIKLAHPRATDMVLIASPNGPHLRLLKQSMALVVFSLRPIDRLAIVTYSSAAARVFPLRCMTSYGKRTALQVIDRLFYMGQADPIEGLKKGIKILEDRAHKNPQSCILHLSDSPTRSYHAMNLQFPIPIHRFHIGFGFGTSNGFVMHEFEEFLTQLLGGVVREVQLRIGEEGRIIRLGDLRGGEERRILMDLGECVHVSVGYSYVEGGSEECMKTGETMVSVEDKRETEDGNRENGINIVGGRTSSVENWDYHDPYMARRWAKHLHGYRF >KJB66484 pep chromosome:Graimondii2_0_v6:10:34863658:34864380:1 gene:B456_010G141400 transcript:KJB66484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKSNKISEIVRLQQIVKKWKKLANASKKTTNTGSGGGKSMKFFKRTLSFNDVSAATTGYGSEIVPKGFLAVCVGKELKRYIIPMEYLGHEAFGILLRKTEEEFGFQQEGVLKIPCDVSMFDNILNLLQHKKDDDDALFFRLDNSYLTPSHQPQMCR >KJB64510 pep chromosome:Graimondii2_0_v6:10:6031950:6036494:-1 gene:B456_010G052500 transcript:KJB64510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLQQQQGGDVAVIPQSWGGGSNRIVALNVEPGTTTPQSFSGNNSKRFNDKHDDPNHDQKPGWRKFLSFIGPGFLVSLAYLDPGNLETDLQAGASHGYELLWVVLIGLVFALIIQSLAANLGVSTGKHLSELCKAEYPRMVKYCLWILAEIAVIAADIPEVIGTAFGLNILFNIPVWVGVLLTGLSTLLLLGLQRYGVRKLEMLIAVLVFVMAGCFFGEMSYVKPPASGVVKGMFVPKLNGQGATGDAIALLGALVMPHNLFLHSALVLSRKVPNSVRGVNDACRYFLIESGIALFVAFLINVAVVSVSAAVCTADNISSANQKRCNDLNLNSASFLLQNVLGKSSKALYAVALLASGQSSTITGTYAGQFIMQGFLNLKMKKWVRNIMTRCIAITPSLIVSIIGGSQGAGRLIIIASMILSFELPFALIPLLKFSSSSTKMGPYKNSIIIIVISWILGIGIIGINVYYLITSFVDWLIHNDVPKVGNVFIGIIVFPLMAIYIIAVIYLTFRKDIVVTYVEPQKDEAADTQVV >KJB67604 pep chromosome:Graimondii2_0_v6:10:56547941:56550129:1 gene:B456_010G202000 transcript:KJB67604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVVFHEFKRQASFFLKEQIKTVRLALTDVTPVEFLTEEATDGNMLSPNACKLAEISRAAFEVDDYWRIVKILHKRLSKFDAKNWRASYNALVLLEHLLTHGPLRVAEEFQDDEHYIKQMANFQYIDEKRFNWGLRVRKLSEKILKLLENESFLKEERSRARQLTMGIKGFGSFNNISPSKDESFNWFSNNGRFGSTLYFDQNDEEHYFWEFKEKLTPWKLEETKGTNMSLKRSKDGEYEMKMEHPFCEHEMEDVLESLLS >KJB63285 pep chromosome:Graimondii2_0_v6:10:15310121:15311211:1 gene:B456_010G093800 transcript:KJB63285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVMAQQQEIRPMTGPCSIFILHRIKFESFCHLIAAFCQMTSYHILSTGKKLIRGRTFMIVTFEILEKIYLYYSV >KJB64897 pep chromosome:Graimondii2_0_v6:10:9450301:9451529:1 gene:B456_010G071000 transcript:KJB64897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNNDDEMQSSTKEQDEDEDNARGEDGDEDNVGGKDGDEDNDNDDDDDDDDDDDGDNEDDDDETEPQP >KJB67885 pep chromosome:Graimondii2_0_v6:10:58441267:58444337:-1 gene:B456_010G216400 transcript:KJB67885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YDLKDVLDDFNAEALRQGDARSKVTAFFSPQNPLPFRLNMAHKLKDAKEKLDAIAEERSKFHLREGVAEAETGRNRDRITNSLVHESEVIGRGDEKAEIVSMLRKNVNHYDDLSVYAICGMGGLRMTTIAQLVYDDENVTEVFDLRASVCVSDDFDIASLTKTIVESIEQGNACNIQQLDPLLKKALLSRQRGSTVIVTTRLKKVALMMAHVHDLMCLSDDDSWSLFKQRAFEMGMDEGNVNLEKIGRQIVQRCGGVPLAIKATGSILHFKSQESEWLRVKESEIWDLKDEGRRILGVLRLSYKHLPPYMRQCFLFCSIFPKDYVMEKDKLIGLWMANGFIPSRGHMDLHDTGCEIFSELTWKSFLQDVKEHVHGIVTCKMHDLVHDLATSMMDKCYRKLNKFVVGKDNDSGGMDELKELDIEGELNITGLGNVKSATEAKTSNLINKQNLISLSLFWRRDSNETFQHGNDEEILNALQPHSSLKKLYIYGYQGVRFPYWMMDMLLPNLVQILLEYCNRCNQLPPLGKLCFLKVLTIFGMGDLKYIESSFNGDMESSFPSLEVLKILWAPCLEEWTAENGCPMLVKLLMLQSLKELDIRGSSVTLLKSLMMNATVLISLHIWEFYELRDLSDLLDNLLALEHLNLKSCSQLESLHAGLQNFSSLETLELSHCNSLVSLPVNGLQELSSLSTLRIEKCKKLASMSKGVRYLTSLQNLHIRKCPELTSLPECIQHLSSLRFLNIKECKGLVSLPNEIQHLTLLSRLEILNCPNLMSLPQGVRNLLALKTLWIEECSHLERQCQEERGEDWPNIAHIPNIYIK >KJB64745 pep chromosome:Graimondii2_0_v6:10:7886630:7887658:1 gene:B456_010G063100 transcript:KJB64745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSGVLVCILRIITKISLPQTPQDLQASAHFYFIVTTALLLSCSHNFGQLTRKVCWQAFGILMIYIVTLPIFPGFVAENMESKLIRDFSLTAIYVMQSIKKASQSCISRLLFYPVFTACLHGPEWLKDEVLVVVVRFMLGLTNGYLTSVPMILGPKSLPVSEAELSAIELVVFLGIGLVSGSVLGWYWII >KJB65959 pep chromosome:Graimondii2_0_v6:10:25071283:25076723:-1 gene:B456_010G122200 transcript:KJB65959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCTLLIHFDKGTPAIANEIKEALEGNDVPAKIDAMKKAVMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIEKTDLKGRILPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEAEIIEPLIPSVLQNLEHGHPFIRRNAILAVMSIYKLPQGEQLLVDAPEMIEKVLSTEQDPSAKRNAFLMLFNCAHDRAINYLLTHVDRVSEWGELLQMVVLELIRKVCRTNRGEKGKYIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHSDIMVDLIMDVLRALSSPNLDIQRKTLDIVLELVTPRNINEVVLLLKKEVMKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLMMDFLGDSNVASAIDVIVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSPSEVESGIATIKQCLGELPFYSVSEEAEAIDASKKTPQSNSITVSSRRPAILADGTYATQSAASETAFSPPTIVQGSLTSGNLRSLLLTGDFFLSAVVACTLTKLVLRLEEVQPSKVEVNKATTQALLIFVSLLQLGQSPVLPHPIDNDSYDRIVLCIRLFCNTGDEIRKIWLQSCRQSFVKMLSEKQLQETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFVKDADDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDITVVNRTRETLQNLCLELATMGDLKLVERPQNYTVAPESSKQIKVNIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCMDTAFRTMWAEFEWENKVAVNTVIKNEKEFLDHIIKSTNMKCLTAPSALDGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >KJB68053 pep chromosome:Graimondii2_0_v6:10:59502008:59509043:-1 gene:B456_010G223400 transcript:KJB68053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTNNFLERQTWEFDPNAGIAEERAKVKEARLNFYNNRYNVQPSSDLLWQMQFLREKKMQQTIPQPKIEDGEEVTYEVTTAVVKRTENSGFMLCFPPLVISLCITSHLNTIFSPEHRKKILHYIYYHQSTMFCIALNYICMHLLGVGPDGGLNNACKRARKWILDRGGVTTICSWIRLGFQYVVAFSMFSSFYLP >KJB63864 pep chromosome:Graimondii2_0_v6:10:1578208:1581304:-1 gene:B456_010G020600 transcript:KJB63864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVIASSSIDGGIGCWDLQTGAEQLRYKTCASPPHGLTTVGCRFLACSQLRDPSATSGHLLYWSWSKPQAQVKSFPVEPIKPLIANSDGTYIVGGGSSGDIYIWEVATGRLLKKWHAHYRAITCLVFSEDDSLLISGSEDGCVRVWSLFMIFDDVRRQQVSHLYEYSFTEHTLRVTDIVIGYGGGNAIIVSASEDRTCKVWSLSKGRLLRNVVFPSIIDAIAIDPGEHVFYAGSRDGKIYITALNAESSPSDNYGLHIIGSLTDQSKPVTCLAYSAEGNLLLSGSEDGMIRVWDVKTQNITRMFRHSKGPVNNIVIVRLPYPLGRAESKSQPSSRKHELSLPPPLEKYANSSDEDMDNKAIVMLPDTIDLPSYLSSQLINDHIKQLQQQGSSAVAEMEAKRLKADCQRSKEMFQQLRKVYDNLQEFCVNELLDEQTMEGSKGN >KJB64768 pep chromosome:Graimondii2_0_v6:10:8008183:8011202:-1 gene:B456_010G063700 transcript:KJB64768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLFKLSIFLNITRLSFSLLFSFLFVFLITKILRFHFNQSNHFVSSYFIRMQLLTTSDTTSLSYWLNWRVFISSFIVSTPLVVSLIIIWKYEGLKQVKRDGKERHEDLSVDELYDDDVWRPCLQQIHPIWLLGYRFVAFCLALATIVLKIITNGGRIFYYYTQWTFTLVTVYFGFGTLLSIYGCYQHHKMSSCGSNGQHVKLDTEQGYYTPLTNRKDADVRRKALNLQEQCDVSQAAGVINYLFQVIFQMNAGAVMLTDLIYWCLIFPFLTIEDYALNFMTVNMHTLNVILLLGDTALNSLRFPWFRISYFILWTGAFVIFQWIVHARVSIWWPYPFLDLSSPSAPLWYCLLAFMHIPCYGIFTLIVNTKHYLLSKWFPQSYPC >KJB64767 pep chromosome:Graimondii2_0_v6:10:8003793:8011202:-1 gene:B456_010G063700 transcript:KJB64767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLFKLSIFLNITRLSFSLLFSFLFVFLITKILRFHFNQSNHFVSSYFMMQLLTTSDTTSLSYWLNWRVFISSFIVSTPLVVSLIIIWKYEGLKQVKRDGKERHEDLSVDELYDDDVWRPCLQQIHPIWLLGYRFVAFCLALATIVLKIITNGGRIFYYYTQWTFTLVTVYFGFGTLLSIYGCYQHHKMSSCGSNGQHVKLDTEQGYYTPLTNRKDADVRRKALNLQEQCDVSQAAGVINYLFQVIFQMNAGAVMLTDLIYWCLIFPFLTIEDYALNFMTVNMHTLNVILLLGDTALNSLRFPWFRISYFILWTGAFVIFQWIVHARVSIWWPYPFLDLSSPSAPLWYCLLAFMHIPCYGIFTLIVNTKHYLLSKWFPQSYPC >KJB64769 pep chromosome:Graimondii2_0_v6:10:8008806:8011202:-1 gene:B456_010G063700 transcript:KJB64769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLFKLSIFLNITRLSFSLLFSFLFVFLITKILRFHFNQSNHFVSSYFIRMQLLTTSDTTSLSYWLNWRVFISSFIVSTPLVVSLIIIWKYEGLKQVKRDGKERHEDLSVDELYDDDVWRPCLQQIHPIWLLGYRFVAFCLALATIVLKIITNGGRIFYYYTQWTFTLVTVYFGFGTLLSIYGCYQHHKMSSCGSNGQHVKLDTEQGYYTPLTNRKDADVRRKALNLQEQCDVSQAAGVINYLFQVIFQMNAGAVMLTDLIYWCLIFPFLTIEDYALNFMTVNMHTLNVILLLGDTALNSLRFPWFRISYFILWTGAFVIFQWIVHARVSIW >KJB68394 pep chromosome:Graimondii2_0_v6:10:61180048:61181570:1 gene:B456_010G242800 transcript:KJB68394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKTFHIAMYPWFALGHITPFVHLANKLAENGHKISFFLPAKTLRRVEAFNLHPNLVTFIPIIVPHVEGLPLGAETTNDVPFPLHPLIMTAMDCTEPDIEAYLRELKPHFVFFDFACWLPTLTHELGIKSVVYCVISSGTIGYLLSPARKIIERGLTGLDLLEPPKGFPSSSVKLRVYEAQGLAAVTTMDYGSGISFAGRHLKSFSDCDAIGFKTCKEIEGPYCEYIGKQFEKPMLYAGPVVPEPPKMALEERWERLLSNFEAKTLIFCTFGSECVLKKDQFQELVLGLELTGLPFLVALKPPTGAQTIESDLPEGFQERVNGTGFIYGGWVPQQLILRHPSVGCFVTHCGSGSLAEAMVNDCQLVLVPHFGDQIINARLMAGDLRIGVEVEKREKDGFFTKDDVNKAVKAVMDGDSELGKEVRANHAKWKEFLLAPGLENYYINDFVMKLNNLM >KJB63758 pep chromosome:Graimondii2_0_v6:10:1088255:1090539:-1 gene:B456_010G014400 transcript:KJB63758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFHSVTGIPTVAIIAFPLLFLFSFLWISRRNTNSKKTAPEAGGAWPIIGHLRLLGGPQPPQISLANMADKYGKMFTIKLGVHRALVVSDWEIIAKECLTTNDKAFASRPKLASSELLGYNRAMFGFAPYGPYWRHIRKIATIELLSNHRLKLLKHVRESEVKTSLQQLYQLWNKKRSANSDKVFVEMKGWFKEVTLNVIMRIIVGKRIPNSSEGVEQLKWKKSMDDFIVLSGKFLISDALPFLRWLDICGDIVVEGSFK >KJB68579 pep chromosome:Graimondii2_0_v6:10:61825682:61826947:1 gene:B456_010G251800 transcript:KJB68579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQASNFLLSSSSSSKQIHAAISIPKLPSVRFSAPKLRQPTIQSEELNRKDRPINTIPMENNVHRTPLVQQTSSVSMATFQLYAVLEAIADRVEMHKNIGEQRDNWNTLLLNSINMITLTAATMAGVAAATGVGAGVSVMGLNLASSVMFSAATGMLVLMNKIQPSQHVEEQRNATRLFKQLQTQIKTLLAVGSPCQDDVNDAMEKVLALDKAYPLPLLGVMLDKFPASLEPAVWWPRKQSPNSNKALKNNNGWTRELEMEMREVVEVIKRKDSEDYEKLGNKALNMNKVLGTSGPLLTGIAALGSAFMVSSNSPWAATVAAVAGALASAVNTFEHGGQVGMVFEMYRNNAGFFKHMQESIESTLDECDVEKRENGELFEMKVALQLGRSLSELRDVAKKSSYSRIEGSPMDEFTSKLF >KJB67937 pep chromosome:Graimondii2_0_v6:10:58724700:58728603:-1 gene:B456_010G218500 transcript:KJB67937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSILTSITNPFSTLNPQLLSIILGASFAVFICFKFILLSPLSGKKLPPGSLGYPFIGDSISFVKSQKQDTTQEWILNRVKKYGPVFKTSILGSKMVIMTGQVGNRFVFSGAADGVSFNQPTSVVKVLGKNSLFEMSGFRHKLIRGAIVNFLKPESIQRFASKMDSLVRQQLFKELQGKDSIKIVPLMKKITFNITCSILFGLPDGDLKDELLKDFTLTVKGVWAIPLHFPGTVFHTAIQARQRLCQKLSTIVSTRKKQEDEGNVDTEDDNIVSCLLALRDENGQPLLEDEIIDIFLSLIMASHDTTAILLTLFVRHLSRDPEVSSKVIEEQNEVLKAMKENGGKLTWSEIQMMKYTWRVAQELMRVNPPMLGSFRLVTKDIAFDGYHIPKGWQIFWVAPGTHMDNNIFKDPEKFDPSRFENSSKAFPPYTYVPFGAGPRVCAGIEFARVETLLIIHHLVTKYSWTEMISDEPIIREPMPYPAMGLPIKLYPKN >KJB63569 pep chromosome:Graimondii2_0_v6:10:332765:333204:-1 gene:B456_010G0063002 transcript:KJB63569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSCVVKKEISLERPGISSTATRPDSKIAATAGWDHRVRIYNYRKGNPLAILKYHHARV >KJB65823 pep chromosome:Graimondii2_0_v6:10:22120508:22124227:1 gene:B456_010G114900 transcript:KJB65823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLFAFSGSDDFCPGGSIYPNLKESSLFLYPNQDVYFPPCKRYRISAPFVFAGGKFEQKKPSIEVLPDECLFEIFRRLPGGQERSTCACVSKRWLTLVSNIRRDEISDNQTPQASDLEHENTDKKGGVSSEDVDSDVEGDGYLSRSLDGKKATDIRLASIAVGTASRGGLGKLFIRGSKSRHGVTTVGLRAISRGCPSLRVLSLWNLSSVGDEGLCEIANGCHQLEKLDLCHCPSITDKSLLAVAKGCPNLTDLTIEGCANIGNEGLQAIARSCPNLKSVSIKDCPLVGDQGVASLLSSALYSLTKVKLQALNITDVSLAVIGHYGKAVTDLSLTSLPNVTEKGFWVMGNGHGLQKLKSFTVTSCRGATDSGLEAVGKGCPNLKQLCLSKCPFLSDKGLASLAKAAGSLECLQLEECHRISQFGFFCSLINCGAKLKVISFANCLGIKDLNSGLPPLSPCESLRSLSIHNCPGFGDASLFALGKLCPQLQHVELCGLHGITDAGVLLLLESCEAGLLKVNLSGCVNLSDKVVQTISNLHGWTLELLNLDGCKISDASLVAIAENCQLLTDLDISKCTITDSGIAALAHFNPINLQILSVSGCSMVSDKSLPSLGKLGETLLGLNLQQCKAISSRAVELLTEQLWRFPSSGMICYYFLSLKMGVGFFMAEFP >KJB65824 pep chromosome:Graimondii2_0_v6:10:22120647:22124214:1 gene:B456_010G114900 transcript:KJB65824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLFAFSGSDDFCPGGSIYPNLKESSLFLYPNQDVYFPPCKRYRISAPFVFAGGKFEQKKPSIEVLPDECLFEIFRRLPGGQERSTCACVSKRWLTLVSNIRRDEISDNQTPQASDLEHENTDKKGGVSSEDVDSDVEGDGYLSRSLDGKKATDIRLASIAVGTASRGGLGKLFIRGSKSRHGVTTVGLRAISRGCPSLRVLSLWNLSSVGDEGLCEIANGCHQLEKLDLCHCPSITDKSLLAVAKGCPNLTDLTIEGCANIGNEGLQAIARSCPNLKSVSIKDCPLVGDQGVASLLSSALYSLTKVKLQALNITDVSLAVIGHYGKAVTDLSLTSLPNVTEKGFWVMGNGHGLQKLKSFTVTSCRGATDSGLEAVGKGCPNLKQLCLSKCPFLSDKGLASLAKAAGSLECLQLEECHRISQFGFFCSLINCGAKLKVISFANCLGIKDLNSGLPPLSPCESLRSLSIHNCPGFGDASLFALGKLCPQLQHVELCGLHGITDAGVLLLLESCEAGLLKVNLSGCVNLSDKVVQTISNLHGWTLELLNLDGCKISDASLVAIAENCQLLTDLDISKCTITDSGIAALAHFNPINLQILSVSGCSMVSDKSLPSLGKLGETLLGLNLQQCKAISSRAVELLTEQLWRCDILF >KJB66631 pep chromosome:Graimondii2_0_v6:10:39214133:39217136:-1 gene:B456_010G148200 transcript:KJB66631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLIERTCRNRLAIYLPVDRVGNRMKFATTGLKEISQTNRDFDRIYFYLIKPVRDEVMNDFEPKERCMLLKFMTSCSRAPLLGFKYLQSAFTIHKVASDAPLWAAIGGSDVERLPSASTCYNTLKLPTYKRSTTLKAKLRYAINSNVGFELS >KJB63954 pep chromosome:Graimondii2_0_v6:10:2165425:2166272:1 gene:B456_010G026800 transcript:KJB63954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAATSSTTTNCSHFFNLRSNDDEPKLVRPSPPAAHGSPAASGSGCGKLDGVIMWFVNGVATVFFASLERCSCVRITTADDGQEANDVPLIHGDGNVRLDAGTTRRRTAGKGPFLQD >KJB64169 pep chromosome:Graimondii2_0_v6:10:3259418:3261155:1 gene:B456_010G035500 transcript:KJB64169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNLGCVVVSVDGNEGSMDALRWALDNVKLRPSSPDSTQPPGHFLILYVQPPPSIAAGLNPGAIPFGGPTHLEVPAFTAAIEAHQKRITDAILNHALQICAEKKANVKTQVVIGDPKEKICEVIENVHADLLVMGSRAFGPIKRMFLGSVSTYCANHAQCAVMIVKNKEASSKS >KJB63407 pep chromosome:Graimondii2_0_v6:10:18741070:18742265:1 gene:B456_010G103200 transcript:KJB63407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSRKNQASSSSGGEGNQDGITDEEALSNITKQKAAATKQYIENHYKEQMKNLQDRKERYKDEPSIMKYIKIAATLPDKTVCDVALRCWWMQVSSLLL >KJB63400 pep chromosome:Graimondii2_0_v6:10:19785769:19786186:-1 gene:B456_010G106900 transcript:KJB63400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLVTVMGLVTPWSVSLALVDAYSMFVKGLPRQPRVLLVVVVVDWALSFLSLVAACSTASVTSLLVNVSSTYCPSKICNRYQLSAAMAFMSWFLSFASTLFNLLLLPSL >KJB67027 pep chromosome:Graimondii2_0_v6:10:49533498:49535308:1 gene:B456_010G170600 transcript:KJB67027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTIPTNLSKSLLKPKINSQLTPKVSRSLVVCSTDKTSSPPSSASPLQAFSAALALSSILLSAPQPAVADIAGLTPCKESKQFAKREKQQIKKLESSLKLYAPDSAPALAIKATIEKTKRRFDNYGKYGLLCGSDGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISDDKKPAMKEIIIDVPLATGLIFRGFIWPVAAYRELINGDLVVKDV >KJB68583 pep chromosome:Graimondii2_0_v6:10:61841587:61843916:-1 gene:B456_010G252100 transcript:KJB68583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAFTPLRFLTVKPRPTIIISKTLNFPPFRSPIFNPNPKTMSWSCTKCTFLNSPSQTAACKVCLSPPSPSPSSPSKWACKACTFLNLYNKSNCEICGTRSCLSSFDDLKVTNFNDEADSSVGSVFLPLKPCNKRKIREPNLGNEDCIELGGFRGIKASNKAVQIEDSHSGSIKASLKILSYNVWFREDLEVHKRMKAIGDLIQLHSPDIICFQEVTPMIYDIFRGSNWWKGYRCSISDNTASLRAYFCIQLSKLPVKSFRREPFDNSIMGRELCMTEVEVSGGETTLVVATTHLESPCPAPPKWDQMYSKERVEQAKVAINILENNPNVIFGGDMNWDDKLDGRFPLTDGWIDAWNELRPAEDGWTYDTKSNQMLSANRTLRKRLDRFLCKLNGFKVCAVEMIGVEPIPGLSYTKEKNVRKEKKLLELPVLPSDHYGLLLTISSQ >KJB65024 pep chromosome:Graimondii2_0_v6:10:11055368:11059291:-1 gene:B456_010G076600 transcript:KJB65024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALENNLIGCEVRRYNALLTVILHRIQVSTCLRNLRLILNHKLRDGDARQKGSWDPRAEEFDQCQIKNGRSQAPPPKMDSTQSDEIKRHSDDEN >KJB67771 pep chromosome:Graimondii2_0_v6:10:57623495:57624968:1 gene:B456_010G210000 transcript:KJB67771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLTTPRGSGTNGYIQSNKFFVKAKTNWVTHSTKPFEADQGTAGLTTRKPDKEILEHDRKRQIELKLLILEDKLAEQGYTESEIADKLVEAREALEDAQQEKDEEEGEVIPIPTRQQKVLDTQTHQIAARKEEQMETFRAALRIGASESGLPPLPNPRKNIDFDQCRQET >KJB68079 pep chromosome:Graimondii2_0_v6:10:59652472:59661528:-1 gene:B456_010G224200 transcript:KJB68079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSSSKSKSNKAKPEKKNKKKEEKVVPSVLDITVITPYESQVILKGISTDKIVDVKRLLASHVETCHFTNYSLTHEVKGKRLNEKVEVATLKPCLLKMVEEDYTNEEQAVAHVRRLLDIVACTTRFSKPKRVRSQSSSSDSKSKKVNGGPHHRPREPSDGGPGTAWIMESMEMAAIQPTPKLSEFYDFFSFSHLAPPILNLRKCEPKDVEGRHEGDYFIMQIKICNGKQIQVVASVKGFYTVGKHFFLSHCLLDLLQNLSQAFADAYESLMKAFIEHNKFGNLPYGFRANTWLVPPLVANSPSTFLSFPLEDEQWGGNGGGQGRNGEYDLQPWATDFAILANLACKTEEERVVRDRKAFLLRSRFVDVSIFKAVATIQHVMNNRSIVKGTVNSHPDSVLHEDRIGDLSITVKQESADVKVAGHHSFGMTANEIAQRNLLKGISADESAVFHDISSMGTVIVRHCGYIAIVKVVGEVKNELHSARDIDIDDQPDGGANALNINSLRVLLHKSGAKESSGGQSHQLNSNDSEPSRCLVRQVVKESLTKLEENSVVPERSIRLELGSCWLQCLQKQETLRDTTSNGLGRDHEAEPAVKGLGKHFKCLKKRDKKPSNSGSKVDEEENDCEPCGMNQSIGESRNQMELKNLISEEAFSRLEESRTGLHLKSVNELIKMAYEYYDDTALPKLVTDFGSLELSPTDGRSLTDFMHLRGLKMRSLGRVVELAEKLPHIQSLCIHEMVTRAFKHVLKAVVASVDKFEDLPAAIASSLNFLLGNNGSEDNAENANDDYLLKLRWLRKFLSAKFGWKLQDEFRHLRKLSILRELCHKVGLELVPKDYDMECKEPFESCNIISIYPVCKHVVCASADGRTLLESSKAALDKGKLEDAVNYGTKALAKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQAIIYQQKALDINERELGLDHPDTVKSYGDLAVYYYQLQHFEMALKYVNRSLFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTFKILQAKLGQDDLRTQDSAAWIEYFESKALEQQEAARNGTPKPDASIASKGHLSVSDLLDYISPDQDSKGTDVQKKLLRAKVLQTSDKTHDACHNFVTDSSVFNGVSEKSISTADINERRMVSSICLEEPKKTNDVTRVEPMVTSEVFEETTSDEGWQEANSKGRSGNAVGRKSRRKRTALANVRVSGSRRETIFPVRKTSSKNIVKEVVPVKQLMSHNSNPGGNSVSLRASVSKGSLSSANLSAVASKCLSYKEVAVAPPGTVLKPLHEGETEHQVCTIRTETTKSEDGDHMSVIDNVVDNDDDETEGTRDSENQSEESAPELDNVSSEPATKEDGNNISVANNISDDSENRSEEAAPELDKVSSEPANMEDRNSISVVHNVAHDDNREETVPERDEVSYVNTEKSVETKGSKLSALAEPFNPGALYIAVTSVYDVTACQAMLAEPVIEPAVAARVRCGPMFPLYHGNNHSYNMKQGFPRYQTLEQTEFRPPRVMNPHAPEFVPTTEPATTEAKALHVNEGHDVASQSSTCEQPKTSDVNIKKTRDGEGFTVVTKRRRKRHQFMNGVSNGPYNHHHQSICA >KJB63471 pep chromosome:Graimondii2_0_v6:10:25643:27265:1 gene:B456_010G000600 transcript:KJB63471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFLHCVPSSWRGIAVFLNISRDKLSCKDDQVCCLFSEPLFPALISLLSLKFCLQIWLFPDYSPKKPTVKDSELVHQISNAIKLRRSEPLSSNYLIWVLMNIKGEYTLVLDFFEWACLHRDPTLKARCIVIQIDAASKDLKMAHQLIHDFWSKPDLDIGLSFSYILERLIYTYKDWGSDPKVFDVFFQVLVELGLLDEGKKLFDKILNYGLIISVDSLNIYLSKLRDHLGGFWRAVKVFFELPDVGSCWNTASYNIIIHSLCKLGKIKEAHRLLLQLELRGCIPDVVTYSTIIDGYCHMGRLQMALRIIDEMQSYSSVIYLLCEIGKVVQAKEALREMLNQGILSDSVVYTTLIDGFCKLWNIAFAYKLLNEMQETCNVFQEILGRGLEPDEFTYTALIDGYCKAGEMKKAFSLYNLMVQMGLIPNVVTYTALADGLCKCGEVDTTNELLHEMCGRGLQPNMFTYNSLVNGL >KJB68173 pep chromosome:Graimondii2_0_v6:10:60152412:60155580:-1 gene:B456_010G229900 transcript:KJB68173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSVQCSFENFLLRGWDFIVGHANYVCRFQHTLPTISAALQELSAQRNDLLRQVDLAEQRLLKPFEQVQLWLSKAETMITEAEKLIADDPQQLNNLCLGGCASKMAKMLQEISDHKSKGAFGKVAENQPAASVVVMPVEQPVALESTIQKVWSCIEDEDVGIIGLYGLGGALVSKDYDVGKIQDRIGGNLGFSDDSWKNKSVEEKAVDIYGVLLNKKFVVLLDDLWKRVDLKQVGIPQPSQTKGSKLIFTTRSLGAWELFQDKVGDAALNSHPDIPNLAKQVAERCGGLPLALITIGHAMACKTTLEDWKYAIEMLKRFALPKLENEVFPLLKFSYDNLPDATMKCCLLYCYLHPEDYCIPKKRDYCIPKKRLVEYWFCEGLLNKFDRISEAQMQGGDIISSFLNACLLERDGEDCVKVLDVICDMGLWITREFEATEYNFFVKAGAQLFEEPDAKEWESAKRMSVMKNKIKVLKETPKCPNLRILFLSENEFQVISDECFDLSFTGIEELPIELKSWTKLKMLDLSCMDNLRKIPQHLICSFSKLQIFRMWFRMYLIDYPNEDNELKGLQHLNILRISIHNMVCLERFLSFNLFRCCTEALELSDFRESNVFNVLCLENLERLKKLQFCDCEIMEEIKMEKLHTLVSKETSCFHTLSEVIIMGCKKLKDVTWLMLAPNLRTLWITGCAKMEEILSEGKLSEVAGVIGIPYSKPFLKLETLHLFDLAKLKSMYWDALPFPCLKLLHLHGCRELKKLPLNSDSAKGNRLSIEGSKDWWAAIEWENEATRNAFLPSFKSVCA >KJB67127 pep chromosome:Graimondii2_0_v6:10:51714089:51715724:-1 gene:B456_010G176000 transcript:KJB67127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:THI1 MAAMATALTSLSSSPKPSFLDHNKSSFSGTQIPSRFTPIKSSSHNSAISMSLTPPYDLQSFEFQPIKESYVSREMTRRYMMDMITYADTDVIIVGAGSAGLSCAYEISKNPNIRVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHRFLDELGIQYDEQEDYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKGNRVAGVVTNWALVSMNHDTQSCMDPNVMESKVVVSSCGHDGPFGATGVKRLKSIGMIDSVPGMKALDMNTAEDAIVRLTREIVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGQPNAVDGTFSEAERVQPEFVLAAAETEETVDA >KJB67583 pep chromosome:Graimondii2_0_v6:10:55919696:55922090:-1 gene:B456_010G198200 transcript:KJB67583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYYNIDDILAEEEFVPVVFHKAANGVIIDPSSETNSVEQGAKVELPLWLAQDLYLRQAISISVPACFNQRTRLEIQADAACVDLKSRSPYFYEFGCKIAPLVGDKTIEILLLSAFKIRYKEILTKAYTAAYTTASKFLTLLTKEESNLYEAAQVSMSAFKKWRMGGPRLQRASVLGRKRRSFE >KJB67909 pep chromosome:Graimondii2_0_v6:10:58584201:58591259:-1 gene:B456_010G217400 transcript:KJB67909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIAVLVFVMAGCFFGEMSYVKPPASGVVKGIFVPKLNGQEATSDAIAILGALVMTHNIFLHSALVLSRKDACRHFLIECGIALFVAFLINVVVVAVSASVYTVDNVSNANQQHCNDLNLNSASFLL >KJB67908 pep chromosome:Graimondii2_0_v6:10:58584201:58591591:-1 gene:B456_010G217400 transcript:KJB67908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYCLWILAEIVVIAVDIPKVWVGVLLTGLSTLLLLGLQRYGVRKLEMLIAVLVFVMAGCFFGEMSYVKPPASGVVKGIFVPKLNGQEATSDAIAILGALVMTHNIFLHSALVLSRKDACRHFLIECGIALFVAFLINVVVVAVSASVYTVDNVSNANQQHCNDLNLNSASFLL >KJB68427 pep chromosome:Graimondii2_0_v6:10:61393311:61396272:1 gene:B456_010G244900 transcript:KJB68427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLMSSSCLSFPSNFNPFKTCDMKFRQPSVSSSLCSFVDHSKFSCNVTLNNHKIFRNKSLNVLKPVKNSINQNSQPFTDDDDDDDTITFDWEDEEDIEDMGSPWEGAVIYSRKPSVTHMEYCTTLERLGLGKLSSDISKSRASVMGLRVTKDVKDYPNGTPVQISIDVTRKKQKTRLDGIIKTVISLGCNRCGEPAAECIFSNFSLLLSEEPIEEPEIIDMGASFKEGFKSFNGSNQGVEEDDDDASIDWEDRLYFPLEEKEIDISKHIRDLVHLEITINAICDPRCKGICLKCGTNLNTSSCNCKEDVEKKDYGPLGNLRKQMQQKSSYSQ >KJB63401 pep chromosome:Graimondii2_0_v6:10:19797814:19800276:-1 gene:B456_010G107000 transcript:KJB63401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMPGALGTRASLAIRLGQTIFSVASLLFMCLDIEFYSYTSFRYKL >KJB65042 pep chromosome:Graimondii2_0_v6:10:11310354:11310569:-1 gene:B456_010G078000 transcript:KJB65042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLHTLSALFWDFGFLVHVNSWQYALVGSMCFSFYTARPLIYVAAGYNEITLRHAENESFHQVDIFDVYM >KJB65909 pep chromosome:Graimondii2_0_v6:10:23679512:23682333:-1 gene:B456_010G118800 transcript:KJB65909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKYSIIISFVLFLLVHLSLPYFTESLPRCKAWLVQSIPTDMPHLPRVPGVLSTGDVFKWLAYNSTGKLDIIAQYWQLKAHPEDSRSGDYGYSNEDMHRFGAHQGFSVYTALENAADRDVDIRLIQHSGVYPDYTKEPSGLASRRANVKSVTLLLDKWFSTGVVHAKVWISDNRDVYIGSANNDWKSLTQIKEVGIYLVGCPKVARKVGVYFHNLWRLAHLNVSAFTTTILDPKWQIQRRVPCWSHFIESDMRCTPRLPRFVEIPYVTSYPKLADPKILKLIIDAPGYGYISSVPQSSYLSFAPPELSFGRFQPDEQGWLDTIKSVGDGGTVRISTMEWLGQSQHTKQTVYWSSLSSAISEVVFSKHAKVKVLVAYWANFIEKTELYLKSLLYTNVLCYSSKQNRCSGSVEIKYHKVPGYNLTGPAIHKRKRTGNVYPGYTRVNHGKYAVSDVRAHIGTSNLVWDYFYTTAGVSFGTYNPAIVSQLQEIFEADWNSPYAAPIEELSNSHAYSS >KJB65034 pep chromosome:Graimondii2_0_v6:10:11185286:11192766:-1 gene:B456_010G077400 transcript:KJB65034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVAVGAAVVCAAAVCAAAALVVRHRMKSSGKWARALSILKEFEEKCGTPSSNLKQVADAMTVEMHAGLASEGGSKLKMIISYVHNLPTGDEKGLFYALDLGGTNFRVLRVHLGGKESRVVKQEFEEVSIPAHLMTGSSDELFDYIASALAKFVATESDSQHVSPGRQRELGFTFSFPVRQTSISSGTLIKWTKGFSVEDTVGQDVVGELTKAIERAGLDMRVAVLVNDTVGTLAGGRYNNPDVAAAVILGTGTNAAYVEHAHAIPKWHGLLPKSGEMVINMEWGNFRSSNLPLTEYDQALDAGSLNPGEQIFEKMISGMYLGEIVRRVLCKMAEEAAIFGDTVPPKLKIPFILRTPNTSAMHHDTSPDLKVVATKLKDILEISNTSLKLRKLIVEVCDIVATRGARLSAAGIVGILKKLGRDTVKDGEKQKSVVALDGGLYEHYTKFRTCMENTLWELLGEEASENIAVEHSMDGSGIGAALLAASHSQYIEVEEP >KJB65036 pep chromosome:Graimondii2_0_v6:10:11185637:11192709:-1 gene:B456_010G077400 transcript:KJB65036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVAVGAAVVCAAAVCAAAALVVRHRMKSSGKWARALSILKEFEEKCGTPSSNLKQVADAMTVEMHAGLASEGGSKLKMIISYVHNLPTGDEKGLFYALDLGGTNFRVLRVHLGGKESRVVKQEFEEVSIPAHLMTGSSDELFDYIASALAKFVATESDSQHVSPGRQRELGFTFSFPVRQTSISSGTLIKWTKGFSVEDTVGQDVVGELTKAIERAGLDMRVAVLVNDTVGTLAGGRYNNPDVAAAVILGTGTNAAYVEHAHAIPKWHGLLPKSGEMVINMEWGNFRSSNLPLTEYDQALDAGSLNPGEQIFEKMISGMYLGEIVRRVLCKMAEEAAIFGDTVPPKLKIPFILRTPNTSAMHHDTSPDLKVVATKLKDILEISNTSLKLRKLIVEVCDIVATRGARLSAAGIVGILKKLGRDTVKDGEKQKSVVALDGGLYEHYTKFRTCMENTLWELLGEEASENIAVEHSMDGSGIGAALLAASHSQYIEVEEP >KJB65035 pep chromosome:Graimondii2_0_v6:10:11185337:11192709:-1 gene:B456_010G077400 transcript:KJB65035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVAVGAAVVCAAAVCAAAALVVRHRMKSSGKWARALSILKEFEEKCGTPSSNLKQVADAMTVEMHAGLASEGGSKLKMIISYVHNLPTGDEKGLFYALDLGGTNFRVLRVHLGGKESRVVKQEFEEVSIPAHLMTGSSDELFDYIASALAKFVATESDSQHVSPGRQRELGFTFSFPVRQTSISSGTLIKWTKGFSVEDTVGQDVVGELTKAIERAGLDMRVAVLVNDTVGTLAGGRYNNPDVAAAVILGTGTNAAYVEHAHAIPKWHGLLPKSGEMVINMEWGNFRSSNLPLTEYDQALDAGSLNPGEQIFEKMISGMYLGEIVRRVLCKMAEEAAIFGDTVPPKLKIPFILRTPNTSAMHHDTSPDLKVVATKLKDILEISNTSLKLRKLIVEVCDIVATRGARLSAAGIVGILKKLGRDTVKDGEKQKSVVALDGGLYEHYTKFRTCMENTLWELLGEEASENIAVEHSMDGSGIGAALLAASHSQYIEVEEP >KJB63497 pep chromosome:Graimondii2_0_v6:10:108468:113563:-1 gene:B456_010G002700 transcript:KJB63497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIELSHHQESVPKPEQSSDLTRRKPVSFLRLFSAADKLDCALMVFGSLGACIHGAALPVFFVMFGRMIDSLGHLSSDPHKLSAQVSEHAIYLVYLGLVVFASAWIGVAFWMQTGERQTARLRLKYLQSVLRKDISFFDTEARASNIIFHISSDAILVQDAIGDKTGHAFRYLSQFIVGFAIGFTSVWQLTLLTLAVVPLIAIAGGAYTIIMSTLSEKGEAAYSEAGKIAEEVISQIRTVYAFVGEERAVKAYSSSLKNALKMGKRSGLAKGVGVGFTYGLLFCAWAFLLWYAGILVRHSKTNGGKAFTTIINVIFSGFALGQAAPNLAAIAKGRAAAANIFSMIDTDSKPSGQTDGETILPEVVGKIEFREVCFAYPSRPGTVFEKLSFSIDAGKTFAVVGPSGSGKSTIISMVQRFYDPTSGSILLDGYDLKNLQLKWLREQMGLVGQEPALFDTTIADNILLGKEDADMEQVILAAKAANAHSFIEELPNSYNTQVGEGGTQLSGGQKQRIAIARAVLRNPKILLLDEATSALDAESELIVQQALDKIVSSRSTIIVAHRLSTIRDVDTIIVLKNGQVVESGSHMDLMSKKGEYAALVSLQISENTEISSSICHSDVSESSSFRQPQDSQNLGQDSRPITAIELEQSCQNSSQQSSASNPSIWELLKLNAPEWPYALLGSVGAILAGMEAPLFAFGITHVLTAFYSPDDIQIKEEVKRVALIFVGLAILTIPIYMLQHYFYTLMGENLTARVRLSMFSAILSNEVGWFDLDENNTGSLTAALAADATLVRSALADRLSTIVQNVALTVTAFVIAFALSWRIASVIIASFPLLIGASITEQLFLKGFGGNYSHTYSRATAVAREAIVNIRTVAAFGVEDRISIKFASELNQPKKQACLRGHISGFGYGVSQLFAFCSYALGLWYASVLIKQNKSNFGDVMKSFMVLIITALAVAETLALTPDLVKGSQALGSVFGILHRKTSIEPNDSTSNVVTEIKGDIEFRNVSFKYPMRPDVTIFDKLNLKTSAGKSLAVVGQSGSGKSTVIALIMRFYDPVSGAVVIDGYNIKALNLRSLRLRMSLVQQEPALFSTTIYENIKYGKEDASEIEIMKAARAAHAHRFISRMPEGYQTHVGNRGVQLSGGQKQRVAIARAILRNPSILLLDEATSALDSESEKLVQEALDNLMEGRTTIIVAHRLSTIRNSDSIAVLEQGKVLEIGSHEQLTKKPGSVYKQLVSLQQ >KJB67786 pep chromosome:Graimondii2_0_v6:10:57703048:57704388:1 gene:B456_010G210700 transcript:KJB67786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSESDSDTDRAKGRKKEMVKKGRRRNDSSEDDSDSNVERKKKRGEVQKGKIELNGSQRRGSDSDSDFDVDRARDLKKEIVNKRGHRYDTGDNSDSNTSDVMVEKGRRRGRRRDSDDEDSNSSYGRKIGKAAEARERVGRRGSGSLTDDSDTSSSDSDSTDVKRLTIEKKNAADKHRRGHRGDDDSHGVRGTRRYQEEKDSPSYAAKNDDGRGRTLNEDDRLERLQKSESNREMMKGKRKLDDENHDKQPELKSRSRNLGSELERKRDNPKDAKLDSESNAKAYGENDDRNRGEYSRWGEDDRNKDEYSRWGKDDQKRDEYSRWEKDDLKRDDYSRSVRSGGEIDYDNGRQDGRLQSKITKPDSGSMRDDQDYDDWRGGQKRGTDKEEPRGREQERDEIDHKYRSRGRDEEEHHGSRRYRKWEEDDRGNKGHVRDRQLDHSEKNDI >KJB64943 pep chromosome:Graimondii2_0_v6:10:10273250:10275427:1 gene:B456_010G072800 transcript:KJB64943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTLTRRYTLRTSSSCNRTRMSSDNSGKYHQNLIPDANDDDDDGMDIRNEEQSMVHQNVGAGTPHHDLQSDMEMMKERFAKLLLGEDMSGGGKGVYSALALSNAVTNLAASIFGEKGKLEPLAPERKARWRKEMDWLLSVTDHIVEFVPSQQISKDGTNMEVMVTRQRSDLLANIPGLLKLDAILIETLDNFGQEQEFWYVSKNENQDMDNSSQRDDKWWHPIVKVPENGLSETSRKRLQYQKESVNQVLKAAMNINAQVLSEIQIPESYIDSLPKNGRESLGDSIYKSITVDSFDPLQFLSAMDFSTEHKVLDFKNRIEASILIWKRKMNQKDGWNPWGSGISSGKRELFEVRAETILLLLKQQFPGVAQSSLDISKIQENRDVGLAIQESYSRVLESLAFSVMSRIEDVLHADSLTRTLRCSEIDCSGDTDTLGIK >KJB64942 pep chromosome:Graimondii2_0_v6:10:10273295:10274822:1 gene:B456_010G072800 transcript:KJB64942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTLTRRYTLRTSSSCNRTRMSSDNSGKYHQNLIPDANDDDDDGMDIRNEEQSMVHQNVGAGTPHHDLQSDMEMMKERFAKLLLGEDMSGGGKGVYSALALSNAVTNLAASIFGEKGKLEPLAPERKARWRKEMDWLLSVTDHIVEFVPSQQISKDGTNMEVMVTRQRSDLLANIPGLLKLDAILIETLDNFGQEQEFWYVSKNENQDMDNSSQRDDKWWHPIVKVPENGLSETSRKRLQYQKESVNQVLKAAMNINAQVLSEIQIPESYIDSLPKNGRESLGDSIYKSITVDSFDPLQFLSAMDFSTEHKVLDFKNRIEASILIWKRKMNQKDGWNPWGSGISSGKRELFEVRAETILLLLKQQFPGVAQSSLDISKIQENRVQ >KJB65526 pep chromosome:Graimondii2_0_v6:10:17307878:17309491:1 gene:B456_010G098900 transcript:KJB65526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCTTHTSLSISLFFGFSSMGRLPANSREENSPQEQQQHSNANHMPRPNLNVLIPQPRLPINRPDEIILVPNNFRDPYLSSDDSDSEYKNDEYFGDEDSEDNEQEEVKQEIRQLKLRLFLSWELTNMMMSRVQELQHEITQIGQEIENLERRIGRLNL >KJB68029 pep chromosome:Graimondii2_0_v6:10:59426074:59427119:1 gene:B456_010G222900 transcript:KJB68029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFHLSLDSPTSLFLLFSYSSFVLFLSGLFTNTLLLEHSLKLFLVETTMGRPQQRYRGVRQRHWGSWVSEIRHPVLKTRIWLGTFETAEDAATAYDEAARLMCGAKARTNFPYNPNDPTSSSKLLSATLAAKLHKCHMAALQLAKTNAAKESYSAQCHPLTPTHGITGNNAETGYRRQPEIKWEAEENPVGSEQQFIPLEDDDIDQMIEELLDYGSIELCSSSST >KJB64894 pep chromosome:Graimondii2_0_v6:10:9247129:9249359:-1 gene:B456_010G070700 transcript:KJB64894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFICISLSPPSITLAPPSTTSTSSSYTSTGVICRYPLAFRVSNGHQRSRRKTRHVVCMAPEEEKLTRRNPLDFPIEWERPKPGRRPDIFPQFSPMKTPLPPPMPYDPPEEDEEEEEKKEEEEEDPEKEEEPENPDKQ >KJB64569 pep chromosome:Graimondii2_0_v6:10:6233083:6233925:-1 gene:B456_010G054300 transcript:KJB64569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAIVCAGFITFFNGAGQERNQSEEAIVDEERNVRRKGMRKIMVTIPSAFIIFLLVVVLAFIRRPKVVNDIKFGPSVMDIVKITGHSWKEGFIKGTIPQLPLSVLNSVIAVCKLSSDLFPGREFSATSVSVTVGLLNLVRCWFGAMPCCHGAGGLAGQYKFGGRSGGCVALLGAAKMMLGLVLGTSLVTILHQFPVGILGVLLLFEGTELAMTCRDMNSKQESIVMLICTAVSLVGSSAALGFVCGMLVHVDTLYALISINNTMFFHLSFLQRIYGITV >KJB65284 pep chromosome:Graimondii2_0_v6:10:13451150:13452837:-1 gene:B456_010G087800 transcript:KJB65284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSAFFSSSELTLRDRLIDSCVPSTLSLENVANNSNRGDIENPANKSQASICSWFLHFTRINFSSVPRVKQIHEMKLMHGEALKLVELLCMYAADLDPSGAVNIFKHPVFVAGTHGIPEIDNEGCNPFQRAVLFRHHKVFSLISRISHEQKLIVADNMDNFSNNMLHLVGNLAPPDQLNRVYGAALQMQRELRWEVEKVVPNIYQDARNIERKTPRMVFTEEYKELVKEGERWMKDAANSCSVVAALIATVVFTAAITVLGGTNGDSEFLVFKSEKAFVIFAMSDALSLFSSTAAILMFLSMLTARYVEDDFLQALPKRLIMGLLTLFISITTMMIAFSSTLYLVFGGAKTWILVCVAESTRVLVTLFIYLQFPLLVDMFYSTYGHGIFGKSSEKPLY >KJB66624 pep chromosome:Graimondii2_0_v6:10:39132216:39135852:-1 gene:B456_010G147700 transcript:KJB66624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIKFSGSSLFLFLVFSLLLVNSMSDNDDYDDDDSASMAKLAAALCPLPWTWSTNSSSSYCEWHYVKCDRSKHVLEIDLQARTLSGSLPSQFPPFPFLQVLDLSRNKLTGSLPSLNKLPFLKRLYLNINNFTRIPPGFFQGLSSSLQLLMLGGNPFLSTWMIPLEFTKLGNLTAFSAYNTNLGGSIPDIFHSLALTNLSLHDNNLTGSLPPSFSRSQIKDLQLQNQKVGLTGTIDLLSNMTSLYSVNLGFNQFTGTIPDLSNCKSLKLLDLSSNNLTGVFPSSLASHPSLVVIIVNDNKLQGPFPAYIFIHKLTTVENNNFCTNTADSCDSQVTILLEIASAWMYPYELSVAWEGNDACRNWSFVTCDSEKSPIPKSLVKLPNLEVLDVSNNNLSGIIPTFAPSVKLITSGNDLLIPSRTGNTSDFPPEGQKRGIIAGIVVGTIVGVIFCIFIGRRITTTTAKKGSEDDFRKDESCLELFSLNMLGKSQNGVKLQELQLLDFGKLATATNNFHPTNMLGKGGFGPVYKGKLQDGQEIAVKRLSRASGQGLEEFRNEAMVISRLQHRNLVRLLGCCVDGEEKMLVYEYMPNKSLDVFLFDFGIAKIFCGNESQATTRRVFGTYGYMSPEYAMKGQFSEKSDVFSFGVLTLEIVSGRRNSSFQDEEHSPSLLGYAWKLWSEGNILELIDPVISSDPSCHRKMLRCFHVGLLCVQNFVKDRPTMMVVDSMLSSEIENLPTPKQPPFFDEKIMMDHSQLQASQIILAIDNIF >KJB67793 pep chromosome:Graimondii2_0_v6:10:57774843:57776585:1 gene:B456_010G211400 transcript:KJB67793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDVLKRLNCCWCHEPLTDDIYLCPDCTFIIHKRCLDKLPTEIDHLTHRLHRLILNRSDSDYLCNLCQKQHSGPFYGCSLCHFNINVECAWPRSTVEDKSRHQHPFTILMRQDSFICDACGTERNCISYICSTCSLMVHKDCTSLPRIIKFSRYDHCIFHKYFLKDLTRQDCKICFKEVRLECGSYSCRKPGCNYVVHVNCVLEDKSLYKVIEEEKQCEELEEKSMHSFIIRVIEVNEAGEAIKIQHLSHQHCLVLANKMEEDIDRKCDGCMLPISNIFYYCLECPFFLHKTCAELPRIKQHWFHQSNSTLYFDSFKKCDFCNQHCSGFFYKIREGWDMCLRCAKVFDIIECKGHQHFLFFDFKYMEKCNGCGETNRYGAFRCGKCRFALDFGCLTLPHLALHKIDEHKLKLTYHDDKEQSYCDICEKYRDPSLWLYSCSICDTSAHIKCVLGHFPFLKDGVTFIYYHKHHHDLKFFRKVKGYPECSYCGKLCQEEILKCEKSTCNYIIHNKYKCRWCY >KJB67143 pep chromosome:Graimondii2_0_v6:10:51957495:51959612:1 gene:B456_010G177500 transcript:KJB67143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPHQPLLDRSKTSYTRILLLILTLVAIMCSAALVSVRLVRFSSSGTALPYRFCERAVDKKSCSVLLSEMASNTTLKMKDAGDVLQAFLEQSKVRMQNAMNVAKKFKHRVNNPGEQAALADCMELMESSMDRIMDSIVAVEKQDAISYSNAHTWLSSVLTNHVTCLDGLEGSARTLMEPGFNDLISRARTSLAIFVSFSPRKTKLIDPLIDRFPSWVSNKDRKLLQSLPNEIKANVVVAKDGSGKYKTLGEAVAAAPDKSKTRYIIYVKKGTYKENVEIGKNKKNLMIVGDGMKSTIITGSLNVIDGSTTFRSATVAAVGDGFMAQDIWFQNTAGPQKHQAVALRVGADQSVLNRCQIDGYQDTLYTHSNRQFYRDSEITGTVDFIFGDAAVVFQNCKLVVRKPMDKQSNMVTAQGRIDPNQNTGTSIQSCNIIASSDLEPVKGSFKSYLGRPWKEYSRTVVMQSHIGDHIDPSGWSVWNGDFALKTLYYGEYVNKGPGAGTSKRVKWPGYHVITSAEEAKKFTVAGLIQGGSWLKPTGVIFTEGL >KJB64881 pep chromosome:Graimondii2_0_v6:10:9130725:9130931:-1 gene:B456_010G069700 transcript:KJB64881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMKFHVVPAAIGFLLFALVQLSYGQTMGSSPAPAPAPAPSSDGTAIDQGIAYILLLLALAITYLIH >KJB66571 pep chromosome:Graimondii2_0_v6:10:37654440:37655630:-1 gene:B456_010G144000 transcript:KJB66571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQSGEKLPMFIGHIWSKQKSRWTLLGLRLLAFLAAAAATLVMVLNKQTKTFVVATIGTTPVNLTLTAKFHHTPAFVFFAIANGLVSIHNLVMIMMDLLGSKFDYKGFQFPMIASLDMLNLALVSGGANAAAFMAELGKNGNSHARWDKICDKFGAYCDRGAGALIASFLALALMLLISFLSILNHKLVNSSSHNSHNNIIALPN >KJB65112 pep chromosome:Graimondii2_0_v6:10:11828591:11832925:1 gene:B456_010G081500 transcript:KJB65112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNDSIPLDTEKIYFGGKEHHVRTRCGSLSVIVYGDQHKPALITYPDLALNHVSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAPICPSASARCVDDLADQILEVLNFFGLGAVMCMGVTAGAYILTLFAMKYKKRVIGLILISPLFRAPSWIEWFYNKVMSNLLYFYGMCGLLKELLLQRYFSKEVCGNTEIPESDIVQACRRLLDERHGSNVMQFLQAINGRPDLTSGLKRLGCRTLIFVGDSSPFHSEALHMTSKLDRRFSALVEVQACGSMVTEEQPHAMLIPMEYFFMGYGLYRPCHLSDSPRSHLSPSCISPELLSPESSGLNLKPIKIRVSHYT >KJB65301 pep chromosome:Graimondii2_0_v6:10:13516891:13522114:1 gene:B456_010G088400 transcript:KJB65301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATNASNGSRIETYEDFVKVHDLLLAASGLPQSLHFKLFQKLASETFDGGAHFEIEPCEEGRQRRLLLTSETMAKDSNVFLIDHAWTFRLPDAYKQLQEVPGLVQRMAALMCVDVEDDGGSEQAAESGGKMTAEEVLESEIRNAKETGDGFVRWLELEELGLDDATFLSLNLSTKFPDLLALSLCGNKLENQEKLVEEVTKFKNLKALWLNNNPVLEKSDCGMEDAILQGCPKLEIYNSRFTHNFGEWALGFCADVYGKDNPASSHQGNLPLQSVTSLDLSNRGIHNLINKAFSPFEMPNLSYLNIRGNLIEENSVSDLFGLLKAFYCLQSLEVDIPGPLGDSAVEVLESLPTLSSLNGVDASKILESENHIVDSMLQLRLPEWTAEEPLADRVLNAMWLYLMTYRLADEEKLDENPVWYVMDELGSALRHSDEPNFRMAPFLFMPEGKLASAVSFSILWPTQNVKRGDECTRDYLFGIEEDKQRSARLTAWFYTPQKYFIQEYEKHLKKLQSKCLPSLSVKSSATTVVHRSDGSALRVYTDIPQIEEFLTRPEFVITNEPKDADIIWTSLQIDEEVKKAVGITDQQYINQFPFEACLVMKHHLADTIQKAQGSPGWLQPTYNLETHLSQLIGDYCLRKRDGLNNLWILKPWNMARTIDTTVTDNLSAIIRLMETGPKICQKYIEHPALFQGKKCDLRYIVLVRSINPLEIFLADVFWVRLANNLYSLEKHSLFEYETHFTVMNYGRRLNHMNTPEFVREFEKEHQVKWLDIHQRVKSMIRSVFESAASLHPEMHDPKSRAMYGVDVMLDASFQPKLLEVTYCPDCMRACKYDTKAICGGGETVRGPDFFNFVFGCLFLNETIHVCQL >KJB66927 pep chromosome:Graimondii2_0_v6:10:48174084:48177101:-1 gene:B456_010G166400 transcript:KJB66927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSAKKMNSENKYRTIAVTVTPFIILGGICIGLGWRYVSRAWNRKPKPKLARSMSFSALHGGKLAFERLVDYHNYGGKSTNIDADIKKLEELLADEHPRFKEIQRVLARLEMSRKEDEAMEVLNKALEKARKQGKPHEVYELEILLAELYIYKGDVQQALNCKCLVEDGGDSDARRPLYKAIICLMDQREEEARKYWQDFKDVQHMTIPPCFHEDEFTEFKNAVNLLKKDIDATKQ >KJB63764 pep chromosome:Graimondii2_0_v6:10:1145423:1154806:-1 gene:B456_010G015100 transcript:KJB63764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFYSLTATSTVAIVAFPLAKVLKISLGNMAEKYGRTFTIKLGVHRALVVRNFGYNNSMIAVVSYGPFWRQVRKFATVVLLSNHWLDLITQRKVVRVKKILVDMKRRFKGVTLNMILRVILGKRISNLYEGSETLKWKKLMDDSFELGGKFVLSDALPFLRWLDIEHKEKRAENDVNNDEDFMGVMLSILRDAEKHDFDIINKATSLDTTSIILKWTLSLLPSNCDKLSKVQQELDVHIGKDRLLVTELDTKNLVYLQSIIKETLCLYPPIPLPLIHEAIEDCTVNGYHVSIGTWLIMNLHKIHHDPLIWTNPF >KJB68662 pep chromosome:Graimondii2_0_v6:10:61812735:61813789:-1 gene:B456_010G2515003 transcript:KJB68662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPSVRFSAPKLRQPKTQSEQLNSKDGFINTIPIQNNVHSTPLVQQTSSVSMATFQLYAILEAIADRVEMHNNIGEQRDNWNTLLLNSINMITLTAATMAGVAAATGVGAGVSAMGLKLASSVMFSAATGMLVLMNKIQPSQLVEEQRNATRLFKQLQSQIKTLLAVGSPCQDDVNDAMEKVLALDKAYPLPLLGVMLEKFPASLEPAVWWPTKQSPNSNKALTNNNGWTRELEMEMREVVEVIKRKDSEDYERLGNKALNMNKVLATSGPLLTGIAALGSAFMVSSNSPWAATVAAVAGALASAVNTFEHGGQVGMVFEMYRNNAGFFKLMQESIESTLDECDVEKRENGE >KJB67136 pep chromosome:Graimondii2_0_v6:10:51860349:51861711:1 gene:B456_010G177000 transcript:KJB67136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB65557 pep chromosome:Graimondii2_0_v6:10:18205922:18208073:1 gene:B456_010G101100 transcript:KJB65557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAFLNPPPSPNFPFVELKEEPHHLQLFLSVDKYEGGSSASDQQASSSSSSSSLQSTVEDQKATNGQNSSFGRNEERNYESSAGDNKNGITVKWMSSKIRLMKKMMNSNSNCSSSRRSTDHKFQYPVTGNGEANAIRVCSDCNTTTTPLWRSGPRGPKSLCNACGIRQRKARRAMEAATAAAENGAGVATDAAIVMKFKVNNKEKKSRIGQFKKQQFKAQSDYNSPYSQQSQKKLCFKELALSLSKNSALQSVFPRDVEDAAILLMELSCGLIHS >KJB64237 pep chromosome:Graimondii2_0_v6:10:3618272:3619794:-1 gene:B456_010G038600 transcript:KJB64237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATREENVYMAKLAEQAERYEEMVDFMEKVSASAADNEGLTIEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVAVIRDYRAKIESELSSICGGILKLLDTTLIPSPSGGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKSAQEIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACELAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDDEIKEAAKREEEEQQ >KJB63657 pep chromosome:Graimondii2_0_v6:10:734790:736367:-1 gene:B456_010G010000 transcript:KJB63657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSTWTPKQNKLFENALVIYNKESPDRWQNLANAVGGKTVEEVKMHYYNLVEDIKQIESGNVPLPPYGKKPGGGSKGYNCMDSVQRMRNLRLR >KJB65650 pep chromosome:Graimondii2_0_v6:10:19160184:19166734:-1 gene:B456_010G105000 transcript:KJB65650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRAVLSQFLTLSNTTPRLSSSLFCGRLGSKYLKRPGSIRHNRTINGDNGAGFRCCCSVSLSQPAVPETSSIPVRKRIVSGVQPTGAIHLGNYLGAIKTWIELQDSYDTLFFIVDLHAITLPYDTQQLSKATRNTAAIYLACGVDTSKASVFVQSHVRAHVELMWLLSSATPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQKQHLELTRELAERVNYLYGGRKWKKLGGRGGAIFKVPEPLIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDSFPGMEFDNPERPECNNLLSIYQLISGKTKEEVAQECQDMNWGTFKTLLTDALVDHLHPIQVRHEEIISDPAYLDGVLGEGATKAAAIADATLSNVYQAMGFLHR >KJB66314 pep chromosome:Graimondii2_0_v6:10:30336666:30340527:-1 gene:B456_010G134900 transcript:KJB66314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLVPNCPPLFFSQPSPPAPIHLQSGTNPPPALHGSTHFPHFPFSFFHKSLTPCPTALLPFFLNIHLRHQSTSGPAPIHLRSSTAPPISRIRAYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKYKRNISIKVSLPKAVLKM >KJB67373 pep chromosome:Graimondii2_0_v6:10:53857277:53857823:1 gene:B456_010G187300 transcript:KJB67373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNSRFLLLSLSLLFLPFIFSDAKKGPIIGGWTRIKDIKDPHVTEIAEFAVNEYNKLSSSSLVLQKVVKGETQVVAGINYRLVLKAKNGSVAKKYQAVVWEKSWLNFRNLTSFTLVKG >KJB63798 pep chromosome:Graimondii2_0_v6:10:1277504:1283859:1 gene:B456_010G016900 transcript:KJB63798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLTRLATSTINSPPPFTTVLIISRYFTKRIIFTTATQKNNPPRSFKTMSSSQNSKVIAPYGSWKSPITADVVSGSSKRLGGTAVDPQGHLFWLESRPSESGRVVLVKEAGDEPIDITPPEFAVRTLAQEYGGGAFRISGDTVIFSNYKDQRLYKQSTSSKGSCPVPITPDYGGPVISYADGVFDSRFNRYITVMEDRRVSSTNSTTTIVAVPLDGTIQEPNVLVSGNDFYAFPRVDSKGERMAWIEWSHPNMPWDKSELWVGYISENGDIHKRVCVAGYDPKIVESPTEPKWSPTGELFFITDRKNGFWNLYKWVESKNEVLPLYPLNAEFARPLWIFGMNSYEFVKNEAGKTLIACTYRQNGKSYLGILDDVQGSFSLLDIPFTDIDNIASWNDYLYVEGASAVHPSSVAKVTLDGRKVVDFKICWSSSSDCLKYESYFSQPELIEFPTVVPGQNAYAYYYPPTNPLYQASQEEKPPLLLKSHGGPTAETRGILNLSIQYWTSRGWAFVDVNYGGSTGYGREFRERLLDRWGIVDVDDCCSCAKFLLENGKADKERLCITGGSAGGYTTLAALAFRDTFKAGASLYGVADLNLLKAETHKFESHYLDKLVGDDKAFFDRSPINFVDKFSCPIILFQGLEDKVVPPDQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTIEQQMVFFARLIGRFNVADPITPIKVDNFD >KJB65647 pep chromosome:Graimondii2_0_v6:10:19118189:19118419:-1 gene:B456_010G104700 transcript:KJB65647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFEELSIPFKLVLNVVGIEETEALAILKSTVCVFGFDSSSIFNLLKAVLVLPTSKEYLGGILCGPLNFNSTVPSWY >KJB63363 pep chromosome:Graimondii2_0_v6:10:36507479:36508056:1 gene:B456_010G143000 transcript:KJB63363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDREAQLEGICGEILCYICKSLPLRIPLDNEDLILGYVSREFYQHGIESTKVKITTMKIRASVRKICEKCHLSHRRGRITVICFNPRHKQRQG >KJB68453 pep chromosome:Graimondii2_0_v6:10:61479635:61480221:-1 gene:B456_010G2459002 transcript:KJB68453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NEGFSFVDVGHSYQPKVSLYNLMVNNGSLIKPMLLMDSLTKISFNRCCIRGGDLIIVYERHDTMKVVKIVRQFGSSKSFGCI >KJB64882 pep chromosome:Graimondii2_0_v6:10:9135940:9136639:1 gene:B456_010G069800 transcript:KJB64882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHANIPCGGKPDLLVQRSWFLLQASMVANDHHSPRVARDTGGSTGSMKWCMCSPTKHPGSFRCRHHHADYVWGGRFITKK >KJB65734 pep chromosome:Graimondii2_0_v6:10:61124931:61125896:-1 gene:B456_010G242100 transcript:KJB65734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATNSTDSEPVSKPQLIYRCKRCRRIVASEETIVPHERGKGEASFKWRKRSGHNIGDEEKGSTQCSSLFVEPLKWMQPVQEGYVEEKLQCMSCKSRLGSFNWAGMQCNCGAWVNPAFQLHKSRLDECYM >KJB64819 pep chromosome:Graimondii2_0_v6:10:8559742:8564031:-1 gene:B456_010G066600 transcript:KJB64819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAIERKQQASAEEMCTAKSGAKQGEGLRQYYLQHIHELQLNLRQKTHNLNRLEAQRNELNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKNIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRLDILKIHSRRMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >KJB67400 pep chromosome:Graimondii2_0_v6:10:54159445:54160501:1 gene:B456_010G189000 transcript:KJB67400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSTLTLQIYASGSKPYQPHPKVSTIFYPLQPPITSITFNAFNPRLKLKPGTPPKTTAIDKPVLIPQTECQVTPERELTQVSSSGFSRFVVVGAVSMGLALLLMEADVQKALALGPEGPLMEEFWENVRRYALYALTVSTGAIYTIFQPILELLKNPISAILILVIMGGSLYIVSQILSAMFGVTDFTYTYGY >KJB68151 pep chromosome:Graimondii2_0_v6:10:60029120:60032457:-1 gene:B456_010G228600 transcript:KJB68151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TEGLNLRSLEELELSYSSLPSNFIQVFGPLISLKKLIAYGINGNNSPPMHDVCELTNLQELDINGCNLKGSLPMCFSNLTSLKMLSLSSNQFSGNISILKSLKLLESLDLSSNQFSGNISALESLTLLASLDLSCNQFSGNISAIQSLTLLASLDLSSNQFSGNISTLQSLTLLESLDLSSNQFSGNISALESLTSLQSLAVSNNKFHIPSSLRPLFNLSKLNYLHADNNTIHADDHEMSHSSAPRFQLSSISLSCCGSGGSFPKFLYHQSELQHVDISNIYFKVDRFPFWLLENNMMLVTLNLVNCSLSGPFQVPSRVYSALSYLDISNNAFGGNIPVRIGAHLPFLDYLNMSKICFNGSIPSSFGDMNSLQILDLSNNQLPGEIPEHMAMGCSSLEFLGLSNNKLQGSIFSGNFNLTSLTELELNGNNFTGMIPNVLANCSHLYILDLSNNYICGQVPSWIWNMSQLAALDVSRNQLFGRLPQWRGSASNLEQVAMADNQLEGSIPRAICSLNLKLQLLDLSMNSLSGTLPSCFKPVSVKEVHLFKNKLQGALPNAFHDSSSLVTLDLSYNHLKGNIPNWVSNLSKLSYLLLKRNHFEGEIPIQLCKLDRLSLIDLSQNGPSGDIPSCLKISALNYVTEQYIPHYIIYSSKKSPRSIEVPVEYTVKSRSYNYKKRMLQYMSGIDLSCNKLTGEISFETKNIMKLFTLNLSHNSLTGATPERIGQLGAFDESNYIGNPFLCGSLVGKNCSMVTTPLTPKASSGIKEDHGFIDMDAFYASFFACYVMVLLCIAAVLYINPYWRQTWFYYMQMAVDSCYYFVVDNFPINFCSGNM >KJB64427 pep chromosome:Graimondii2_0_v6:10:5480647:5484194:1 gene:B456_010G049000 transcript:KJB64427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGMVFGGRAACFLPIILVLCLTRNSNILPVAEAIWLTIPSSGTKCVSEEIQSNVVVLGDYYVIDENNPDHIPTISARVTSPFGNNLHHNENATHGQFAFTTSESGNYLACFWKDGSHQKDSELTLGVDWKTGIAAKDWESVAKKEKIEGVELVLRRLQGIVETIRGNLIYLRDREADMREVSEATNARVAWFSIMSLGVCIAVSVLQIWYLKRYFVKKKLI >KJB64428 pep chromosome:Graimondii2_0_v6:10:5480698:5484377:1 gene:B456_010G049000 transcript:KJB64428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGMVFGGRAACFLPIILVLCLTRNSNILPVAEAIWLTIPSSGTKCVSEEIQSNVVVLGDYYVIDENNPDHIPTISARVTSPFGNNLHHNENATHGQFAFTTSESGNYLACFWKDGSHQKDSELTLGVDWKTGIAAKDWESVAKKEKIEGVELVLRRLQGIVETIRGNLIYLRDREADMREVSEATNARVAWFSIMSLGVCIAVSVLQIWYLKRYFVKKKLI >KJB66348 pep chromosome:Graimondii2_0_v6:10:31517445:31518572:1 gene:B456_010G136900 transcript:KJB66348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSIDINLFVLYFKLCTDFRETRRVFDLVLVKYDNSCTLMVSEYIKAGRPESSLQLFFELLRLGAEPSRFTLSAVIKACSVLGKLRMGCCFHAIVLKHGFHSDNVILIALIEFYGRNGQLNEMCQLFDELRELGAICLVCVRKCLGFCI >KJB67866 pep chromosome:Graimondii2_0_v6:10:58370018:58370825:-1 gene:B456_010G215700 transcript:KJB67866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative RING-H2 finger protein ATL69 [Source:Projected from Arabidopsis thaliana (AT5G07040) UniProtKB/Swiss-Prot;Acc:Q9FL42] MSTADPPISTTGVGLGYGIAIAVSILVLISTIMLASYACVRVKASGSGNRSRRNSNGGNSNGTSRGITEDDSMDVATVVVGLDGPVIESYPKIIVGESRRLPKPNNGPCCICLTEYQPKDQIRCIPDCEHCFHVDCIDEWLRMNGTCPLCRNSPAPSQGPTPSTTPLSALVPLAFNGR >KJB63508 pep chromosome:Graimondii2_0_v6:10:143858:146133:-1 gene:B456_010G003200 transcript:KJB63508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSTFVAAVCVLILSHSFISVVKATTFTIVNECNYVVWPGILSNAGVPTLPTTGFALQSGETKAITAPASWGGRFWGRTHCSEDSTGKFSCLTGDCGSGKVECSGNGAAPPASLAEFTLDGAGGLDFFDVSLVDGYNIPMLVVPQGGTGQNCTNTGCVVDLNGSCPSELKVMSSDGTAGVACKSACEAFGDPQYCCSGAYGTPDTCKPSSYSEVFKTACPRAYSYAYDDKTSTFTCANADYTITFCPSPNTSQKSSQEQQNTETTTPPLINTTMVYEGALDQSVASPSSCTHVLVNLGIIMAIWWSWQLF >KJB63493 pep chromosome:Graimondii2_0_v6:10:97692:99089:-1 gene:B456_010G002400 transcript:KJB63493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQSQLYPENLGLLPWPMCALQDWMPPIPAPPQPVFCFSLQQPSQNTLPFHIQQNAHNLASTSSPSSSSLSMCDNFVSMTLSQALDAQLEVQRQELDCILHFQNERLKSVLREQRRRQLGTLLKTMEWKALYLMKRKEEDLARATKKTMELEACLKKAEMESEWWERLAKANEAMVMGLSNTMEQVKEELIRVSNKNTAEDTESHCCGSCDQRDDQQGEKKSKKVACKHCRFRSSCVLFLPCRHLCSCISCEAFLDSCPVCKSVKEASMNVFWV >KJB63333 pep chromosome:Graimondii2_0_v6:10:57548638:57549293:1 gene:B456_010G209200 transcript:KJB63333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLTSLGSNRPGSNNIASHSIHEYKICKPLSFQPLNQKDNKNTALSLTVSYKFSFFKPILHFKHRPILLGNYVQHRPEHDIKRGTRMTL >KJB63368 pep chromosome:Graimondii2_0_v6:10:54217149:54217687:1 gene:B456_010G189500 transcript:KJB63368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRGEDESDIFSEQRVAFFETVSKGTDLRNELRVSQRLAGGCIDGERGGAAGRNGREDGETIFRDGKRLIS >KJB68005 pep chromosome:Graimondii2_0_v6:10:59165529:59169378:1 gene:B456_010G221600 transcript:KJB68005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEFTVNLNKPLVFQVGHLGEAYQEWVHTPIVTDESPRFFKSDFMEILTRTVWWVVPLIWVPVAMWFISVSYTMGHTLPQVILMSVVGSFIWTFLEYCFHRFLFHIETKSYWANTFHYLIHGCHHKHPMDGLRLVIPPAEAAILAIMFWNLYGILFTISTRPALFGGGLIGYVIYDMIHFYVHHGQPTKQSIKKLKKYHLNHHFRIQNKGFGITTTLWDRVFGTLPKTKADKKSG >KJB68003 pep chromosome:Graimondii2_0_v6:10:59165688:59169377:1 gene:B456_010G221600 transcript:KJB68003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEFTVNLNKPLVFQVGHLGEAYQEWVHTPIVTDESPRFFKSDFMEILTRTVWWVVPLIWVPVAMWFISVSYTMGHTLPQVILMSVVGSFIWTFLEYCFHRFLFHIETKSYWANTFHYLIHGCHHKHPMDGLRLVIPPAEAAILAIMFWNLYGILFTISTRPALFGGGLIGYVIYDMIHFYVHHGQPTKQSIKKLKKYHLNHHFRIQNKGFGITTTLWDRVFGTLPKTKADKKSG >KJB68004 pep chromosome:Graimondii2_0_v6:10:59165644:59169377:1 gene:B456_010G221600 transcript:KJB68004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEFTVNLNKPLVFQVGHLGEAYQEWVHTPIVTDESPRFFKSDFMEILTRTVWWVVPLIWVPVAMWFISVSYTMGHTLPQVILMSVVGSFIWTFLEYCFHRFLFHIETKSYWANTFHYLIHGCHHKHPMDGLRLVIPPAEAAILAIMFWNLYGILFTISTRPALFGGGLIGYVIYDMIHFYVHHGQPTKQSIKKLKKYHLNHHFRIQNKGFGITTTLWDRVFGTLPKTKADKKSG >KJB65041 pep chromosome:Graimondii2_0_v6:10:11303462:11309787:1 gene:B456_010G077900 transcript:KJB65041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTTGATFLAIATSFFILLFSPPPKSYYHSLFISSSLSDNASISHHLRTLTRRPHVAGSQANAEAASYVLSTLSACNIKAHIVSYDVLLTYPDSRSLTLTPSPSEPPITFDLKQEIYEGDPYADVADEVLPTNHAYAKSGSVVGPVVYVNYGRVEDYGTLKEMGVNVTGTVVLARYGEIYRGDIVMNAFEAGAIGALVYTDRKDYGGGGGDAKWFPDDKWMPPSGVQVGTVYNGSGDPTTPGWASSEGCERVSIEEVESSGDVPLIPSMPISGADGETILRLIGGQIAKDNWQGSIDAPTYRVGPGPGVVNLEYNGKQVIATIQNVMGVIEGVEEPDRFVILGNHRDAWTFGAVDPNSGTAALLEVAQRLQKLQRSGWKPRRTIILCNWDAEEYGLTGSTEWVEENRDLLASRAVAYLNVDCAVSGAGFHASGTPQLDDLLKQAAQQVQDPDNSSQTIYEQWIQSTESSLIGRLGGAGSDYAAFLQHIGVPAADMRFGAGYPVYHSMYDDYTWMENFGDPMFHRNVAVASVWGLVALRLADEEFLPFNYHSYALELQKRAEDLENEISDKGITFSPLFKSIEELSKAARKINNQKKEIKEAKGWTSMRRNDHVKVRELNDRLMMAERAFTDRDGLLRRPWYKHLIYAPSRHNDYGSTSFPGIDDAIENAKNLNTAESWHVVQHEVWRVARAVKHASLVLDGKLT >KJB67005 pep chromosome:Graimondii2_0_v6:10:49390279:49394187:1 gene:B456_010G170000 transcript:KJB67005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTVKTLKGSHFQITVNPNDTVMAVKKNIEGIQGKDNYPCGQQLLIHNGKVLKDETTLADNKVSEDSFLVVMLSKSKSLGSAGASSAQPASSTPSTIAPVSNPTPTPEAPTQAPASMGTTSASDAATANPNTNTYSQAASNLVAGSNLEQTIQQLMDMGGGNWDKETVTHALRAAYNNPERAVDYLYSGIPESAEVAVPVAHFSTNQTTETGAAPIAPVSGAPNSSPLNMFPQESLSGAAAAGLESLDFLRNNQQFQALRSMVQSNPQILQPMLQELGKQNPQLLRQIQEHHAEFLQLINEPLEGMYLIKLNKKCLMLSVLHQQNRRQSNDLKQWDLREPWSLKPFWHVTGTRNWQQITYWRTPEILRID >KJB63936 pep chromosome:Graimondii2_0_v6:10:2038079:2039449:1 gene:B456_010G025400 transcript:KJB63936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSFINPEINEILLPSSNAFDYNSLATYDLTGSNHVAVTNDITMSFESFPPLFPNTPMEGTVNNVIEDLNTKKRLRVHTHTRIYMYAISKGCKNVQRSKKNRNEGECNNYIGVERGHSSSTTCSSEDDSVCQDINNNGGGATSDTKASQALNLNGKARASRGSATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPIAYNGVDVALNKKISTLL >KJB68644 pep chromosome:Graimondii2_0_v6:10:62109664:62112115:-1 gene:B456_010G256100 transcript:KJB68644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKVWLMFMPLALAMMTGCSTAATFNKGHRYFRTGNRGLLVEKIDDIEAEMMMDSETDDGRYISYSSLHEISIPCDQRGQSYYACQRGKPANPYNPGCSITHAYTVCYVRN >KJB65670 pep chromosome:Graimondii2_0_v6:10:19767055:19767837:-1 gene:B456_010G106600 transcript:KJB65670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELQHSETESSSNSTSSPPLSPSNSTPNSTTTTPNHKPETFTSGSTKKPKTMRDSSKRTVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGNSAILNFPELADSLPRPVSLAPRDVQAAAAKAAQMDKFDSPSSSSSSSSSTSMSTSTLSSSSSVSSLVSHMELSSGPDELSEIVELPSLGTSYDSVESKNEFVFMDSVDGWLYPPPLLQNMEDCGYVCDQLVVPESILQNGFEQGLLWDY >KJB66851 pep chromosome:Graimondii2_0_v6:10:45875278:45876320:1 gene:B456_010G160900 transcript:KJB66851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVQRRAASRRKLQLLRTLTNSKSVRRRSIILNVLLHFHKLKVKLEEIQREYQNLMAIRNEYFNLLKHIQIPKEVKVEKLGEDQFVVKVRCNRGGDKLVSIVEAFEELGLNVVRARVCCNHFFAMEAIVVAQDQQTTKTKDVTQAILKAIDKQGGERILVT >KJB64797 pep chromosome:Graimondii2_0_v6:10:8391451:8392255:-1 gene:B456_010G065400 transcript:KJB64797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTADLIWKCPHFFNALKERELDLRGNKIAVIENLGATELDNFPYLKRLGTLLINNNRVTRINPNIGEFLPSLHTLVLKDNRLVNLVED >KJB67105 pep chromosome:Graimondii2_0_v6:10:51052623:51054647:1 gene:B456_010G175000 transcript:KJB67105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVLLQLAEDPNLDVKLERYGVKDNLLLVSLTLPLRTKSSTVIVKWAILEMLKKIEIDKEGWGIVNLPYIDSIAKETMRLHLVAPMLVPHQTCDTCTRALVNVWTNRRDLKVWENPNEFWLEKFIGKTIDGKPSNVIKYLNMEEIFGLSTPKKYPLEVVAVPRLPIRMNSS >KJB64893 pep chromosome:Graimondii2_0_v6:10:9245902:9247126:-1 gene:B456_010G070600 transcript:KJB64893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTPLLFRSLLRLLMSLLTEPAASVATLLYYSDNLPQNIIWERLVRHEMLDQENYLFHFLINFLRCFC >KJB63470 pep chromosome:Graimondii2_0_v6:10:157473:159590:-1 gene:B456_010G003500 transcript:KJB63470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAIMHSTMLLLLSGLLLAIFKTADAQVGVCYGMMGNNLPPPSEVIDIYRRKGIQQSRLYAPNEAALLSLAGTNIKLLLDVSNPRLEFLAASQANADRWVQDNVRRFSNVNFRYIAVGNEVKPWDPFARFLFPAMQNVHKAIVNAGLGNRIKVSTATFFGAMEVSFPPSQGKLRGDYQQLLGPVINFLRNNRAPLLVNIYPYFSHMENPRDVRLDYALFTAPSVVVTDGPYRYQNLFDAMLDAFYAALERAGGGSLDIVVSESGWPSAGGTATSVNNARTYNTNLVRHVNQRKGTPRKPGKAIEVYLFAMFDENNKEPAYEKHWGLFFPNKQEKYPISFN >KJB67790 pep chromosome:Graimondii2_0_v6:10:57713393:57714013:1 gene:B456_010G211000 transcript:KJB67790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNDLRHTIFTHELVSKYNEYWEEVVVFVMPLWLHVQTFSLFYFKCKENCNGCGIKCWRGAFRCGKCRFALDFACLTLPHSALHKIDEHMLNLTYYDDKEQSYCDICEQESDPRLWYYSCSICDTSAHLKCVLGEFSFLKDGSIVPFYYYKHNRDIKIFRKVEGYPECSYCGKLCQEEILKCEKSAYAIISFTANVVGVTKPKALW >KJB67528 pep chromosome:Graimondii2_0_v6:10:55562531:55564921:-1 gene:B456_010G195500 transcript:KJB67528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCYFAVTGLLLFLTGTLPSAAAAPDRFHKPFRLKSSDPKPQSQEFFELTNPLPSDRLTPSCSLTVINHSFANTIDSPPFSAPYSPPSDCSPPWPRVVLDFRADSSGDQYDRIAAIWLDGAEIFRTSTAEPTDTGIFWRVRKDITRYSSILYKPQLNVTMMLENVVNDIYTGVYDIHVSFLFYKENIVPNDVRFPSIISPSQKHYDNLGTAELGLFKTPPDLIIPISSDGERGHWFRVESESDVHVKKVRFPDNTIQVVLELYASFHGNDEFWYSNPPYSYIRMNNLTTARGNGAYREVFVTIDGKFVGSEVVFPVVFTGGINPLFWEPIVAIGDFNLPSYDLDLTPFLGWLLDGKYHDIAICVDDAISFWLVNANLHFWLDHGTPKVEAQSVVYNSPALAIQRREAFTMLDGSFRIKANRKSEFAGWVKSTAGNFTTIVSQQFKLTNVVRFYFNGTYKIVQQRVKAKRDSRIRADSGNLVGRTVVQRQYPLKVITSTVPLPLIGSLGSKEEEMYMMITNVSHALRERRINGLSSSTLNNRQESEGWMKVKGHSVISGSGSTWQKYNYRDEFGCYSRTVLALEGKLAIDNTTFSCASSA >KJB64009 pep chromosome:Graimondii2_0_v6:10:2377639:2381735:-1 gene:B456_010G029000 transcript:KJB64009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYNQINENSSTSRGNFLYASQVLASNGSPYGRTSSGSIPPVLTSFHLQPSDQHPIVKTEASASQHAQKFHYNNNNNNPLLRGHQPIHHQQKGNESSSEVEAIKAKIIAHPQYSNLLEAYMDCQKVGAPPEVVVRLAAVRQEFEARQRSSVTSRDNSKDPELDQFMEAYYDMLVKYREELTRPIQEAWDFMRRIEAQLNMLGNGPVRIFNSDEKCEGVGSSEEDQDNSGGETELPEIDPRAEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKEARQKLLSWWELHYKWPYPSETEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQNAALYMDGHYMGDGPYCLGP >KJB64214 pep chromosome:Graimondii2_0_v6:10:3487752:3488432:-1 gene:B456_010G037600 transcript:KJB64214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSFACFLLFALIAGCALGQAPSSAPTMSPPSSSPKPAPKISPTTAPTVSPTASPPSTATPPSSAPESSPTSSPPAPPTSPTGSPGATSPTTSISQPPASSPTAPSAAALKTVTVAGSAFAVVLAAAALLI >KJB65643 pep chromosome:Graimondii2_0_v6:10:19053216:19055585:-1 gene:B456_010G104500 transcript:KJB65643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein REPGLRKQIYEYPVNMRDEIRRAYIKAGPYQPILSEYPASNSKKASSNPSSRFGSIAFTHSCFSNWKKVHDGCNCAFLTHMEKKQCTTSLCHDESSGSKNRGNFLELLSLLVSYDEKNEYVLKSASQNASYTSSTIQKEILQIYASRVCNVIHEEIGDRKFSIIMDEARDESQKEQMAIIFRFVDKQGQVKERFFDMVHVKNIASLTLKNVIFNVLLQHSYDGPSNMHREFNNLQALILNDCQYAYYVHYFAHRLQLALVATARDVVELAIEIRMNQIGTLQRLGETRWSSHLNSVTSLLKMYNATSTVLENIKNTASNYSQDYYNLCQALQCRSQDILNFTSLVLTMKDLIKKLRDDGWNELLKNVISFCETWELDFLDMNAQYIVGRSRNKKDDVTVEHHYRVDIFFATIDTQLQELKSRFNENIVKLLTLITALDPKAFFKLFDIDKICILKERLPYKLKHYELDVCKHLDLRKISTLSELCRSSVESGKLVMYPLVDRLIRLILTLPVSTASSERAFSTIKIVKTRLRSKMEEFLRSSFVVYIEKEIAKKFDINKIIDDFSEVKDRRLRP >KJB67838 pep chromosome:Graimondii2_0_v6:10:58114102:58115743:-1 gene:B456_010G214200 transcript:KJB67838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAINPAVAAPYSMGVHRNTAAQSHKAMAAANPAVKYGRAASILSTPTQTQSPLLRRNSVPSRSSDTRIMAANPEVLSSPSPSNLMGVLYFTQCQFRSLYGHDLKLEGLINWKGCGEPPETIAQNQSASEFRHSGDSAGSVGAVSYLVGDKVRWIIAWSNSEDQPKLNKVYSEINEVSEGAIDWHSIKDSLDQSVSEYKAINV >KJB66888 pep chromosome:Graimondii2_0_v6:10:47033275:47043325:-1 gene:B456_010G163000 transcript:KJB66888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGKALIVSSVLVLLLKLCHATLGIQPQTNLSQFTPDLDPIDVNAITKVAEELRLNWEGDEREFSSKSCNTSNKVLCDCSFNNHTLCRVTKVDFSGEHLRGKIPPVIGNLTFLTWLDLRSNRIHGKIPDSDLSSNDLDGPIPDFFGRMMSLNVLILSENWLKGSIPENLGNSSITTLRLSDNQLTGTLPKSLGNLQNLYGMVMANNKLQGKLPQSFENLTSLRQFIYWKLEPAAVTVSTLFPLITGFKVLNFDQDICLQRFGINSVLQGNRFEGPLPSTISSLDKVTEMVLRNCSINDLIPPYLGEFLALSHLDLSYNKLTGEVPDFANLTNLYLRANKLIGPIPTLSTNKTEANLDFSENNFTYSSIADYRSNRNTNSFACCSSSADLDSAWQNNNFSCGEDQLENDRFYINCGGDKVTTAQGTFESDVNPEGASTFYISDDKKWGYSSMGIYDPEQIFSMSYAKSIESHADCGFESIQNKEISLYKSARVSPISLKYFGFCMKNGLYKVKLDFAEITFKEKADHKSRGNRLFDVLIQGTKVLADFNIKDVAGGLNKNVSRTFQAEIKGNNLEIHLYWAGKGSKRGPYELYGPLVSAISVEPVLKPVKPSKKLSPLTIAWISGSIVLFLVLVFICLWKMGYLRGKESKIEAQKELISLPGGGLFTYKQLKVATQNFNNENKIGEGGFGAVFKGVLPNGTTIAVKQLSAKSKQGSREFVNEVGVISALQHPNLVKLLGCCIDENQLLLVYEYMENNSLAHALFGPEELRVQLNWAIRSKICRGIAKGLAFLHEESKLKIIHRDIKTTNILLDKDFTAKISDFGFAKLHEGHQTHVITKIAGTTGYMAPEYAMRGHLTSKADVYSFGVVLLEIVSGQNSASYRPNDESVYLLDLAYVLQEKEDLLSLVDPILGSEYAAKEAKMILELAMLCTNPSPTLRPRMSEVVKILKGKSRLNYTPSLAPYSADGFARAKAIASRSFSNYSRSMSREEPSNPASYEFSIKKEEVHISADYTPEIADETGRSPLNNV >KJB67651 pep chromosome:Graimondii2_0_v6:10:56579369:56581544:-1 gene:B456_010G202200 transcript:KJB67651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNIQVLAYMIFAFLLLSASQSHFSIALRIPAIETVDYDLKISTARQTQNPSNRFSLAWVKDDNMRKVPSAPNPSGNRHPPSKP >KJB64859 pep chromosome:Graimondii2_0_v6:10:8871418:8871967:-1 gene:B456_010G068200 transcript:KJB64859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSEGEKLQPCQNDNSRHPKSLMMYKDGSCVRHEHFFLFDAYFFNERIKLCVGIFFTEKIQLCMTVFYFRKYQKRNYNYIAQVASLNQPISFTCI >KJB64987 pep chromosome:Graimondii2_0_v6:10:10624248:10626400:1 gene:B456_010G074700 transcript:KJB64987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLAFDNEWHDPVKEVMDKEKPIMLAFRSQAEFPKSTICHLSEASCIGNTATAIKLLVETPLTVVSCILTWTYQFWKVFSQPETRIC >KJB67853 pep chromosome:Graimondii2_0_v6:10:58250139:58251584:1 gene:B456_010G214900 transcript:KJB67853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVNKRLAVLVGCNYPNTQYELHGCINDVVAMKDVLVKRFGFDPTNIELLTDASAVTGEGSSSVVLPTGENIKAALSKMVSQAEAGDVLYFHYSGHGTRIPKSAHIFGHDEAIVPCDFNLITDVDFRQLVNQLPKGASFTILSDSCHSGGLIDKEKEQIGPSTYRAASSLSYKAKNIPFESILEHLTTLTGINTSDIGTHLLESFGANASLKFLTPQLESELFDFLKADEGILLSGCQADETSADMNPMESGGKAYGAFSNAVQMVLKENSGRLSNKEVVMMARKVLEAQGFDQHPCLYCSDENADATFLCQPEAKPY >KJB67995 pep chromosome:Graimondii2_0_v6:10:59036784:59038651:1 gene:B456_010G221000 transcript:KJB67995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIKLKALSSILSHLLIGIALVDANLRCYDTGNFTLNSTYGKNRDLILASLLPNVSANGGFFTASIGQNSNKIYALGMCRGDSTPNGCYTCLNASIQDLIASCPNRKEALSWGGDPPCLARYANRPFFGILELQPTDAGYNMADITSNLTQFDTIWESLMDRVVSKASSGSSTKYATGEADVTEFRTIFALMQCTPDLSHKDCDSCLRQSVSNYESCCRGKQGGYVQKPNCWFRWDLYPFYTTATPSLSPPSLSPPPPSPDIKGSSYARSTLLNGESHAILSLGLTNL >KJB68160 pep chromosome:Graimondii2_0_v6:10:60107252:60108626:1 gene:B456_010G229400 transcript:KJB68160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEVFLLLKFSYDNLPNATMKCCFLYCCLYPEDYCIPRKRLMEYWFCEGPLNEFDRISEAQMQRNDIISSLLICLLENCGVIDGEDSVKMHDVIHDMALWITREFEATESNFFVKAGGQLFKEPDVKAWESGKRISLMKNKIAVLKQTPKCPNLRTLFLSQNELQMISNGFFQFIPRLIVLDLSRNTGLLALPEGISQLVSLEWFDLSWTGIEELPLELKALTKLKMLDLSYMRNLRKLPQHLISSFSKLQIFKMWGNEKLIEELKGLQCLNILTITIHNMFSLERFLSFNLFQLLKYTSCFHTLSKVTIAGGNKLRDVTRLILAPNLSILSIFECAKMEEILSEGKLGEVVGVLGIPYPKPFLKLESLHLICLSKLKSIYWDALPSH >KJB67832 pep chromosome:Graimondii2_0_v6:10:57987796:57987870:-1 gene:B456_010G2138001 transcript:KJB67832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLLQAGSSYFYY >KJB66601 pep chromosome:Graimondii2_0_v6:10:38526706:38528173:-1 gene:B456_010G146400 transcript:KJB66601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFDVQTFIVFLVFMLSLGICHADRNLYSGLQCSEADNSSESSFGVNLDSLLDLLTQKGPLSKGFFKTTVGRSSGKIYGLMQCRGDVSAENCANCTRESVAVALHDCSKSKKVQVWFTWCFLRYSNERFFGVWDQSSAAIVNETDFDDASVVSKALTFISEVSITAPKQPLMFHAAVLDAGQFGKRYGMAQCTRDISRTDCSHCLDTQLMTFRTTIGNKRGWEVYGSSCSMWYHDYQFYSNFSITANDGARRLSLQGVATGITMAVLVFILVP >KJB63978 pep chromosome:Graimondii2_0_v6:10:2208048:2208628:-1 gene:B456_010G027500 transcript:KJB63978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGGDGSPRLWPLLSSGFASHMFVSPFGSILSSHPLPFPVTSNDGRKFMWLRFAYFRFGLGLVSPCSCCITFQGFGAFLCVPFGG >KJB65191 pep chromosome:Graimondii2_0_v6:10:12470832:12474870:-1 gene:B456_010G084000 transcript:KJB65191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFLRNVSSLKRSLFAPQVCRGGVLRSSSQLCNFSSKGRRKSKSDSSDSCDENMLKKDLALKLALDQITSSFGKGSIMWLGRAMSPRNVPVVSTGSFALDIALGIGGLPKGRVIEIFGPEASGKTTLALHVIAEAQKQGGYCAFVDAEHALDPALAETIGVYTENLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKGELDGEMGDAHMAMQARLMSQALRKICHSLSLSQTILILINQVRSKLSTFGFGGPTEVTCGGNALKFYASVRLNIRRTGLVKKGEETIGSQVLVKVVKNKLAPPFKNAEFELEFGKGISREGEIIDLATKHKLVTRSGAFYSFNDRKLHGKEAFRRFLADNGSALEELVMKLREKLLDAESKKERQIDISDENTSEEPVPIETNDEETVIAIEA >KJB64740 pep chromosome:Graimondii2_0_v6:10:7784984:7785782:-1 gene:B456_010G062500 transcript:KJB64740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKSSMTMAAIFVVLASTLTTYASTTYTVGDFSGWQVPTSNSNLYYNWADNKTFVVGDNLLFNFITREHDVAEVTEPGYDACTTTDAISTDNKGPLKVQVRSDNGDTTPGVLSLPRNAASSLVVTISLVFVSVATFVLLC >KJB64540 pep chromosome:Graimondii2_0_v6:10:6368862:6369185:1 gene:B456_010G055700 transcript:KJB64540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQMIFRCVFEGSISMQDCLIERRPYHRNCECALHNLKGICISTCTSRTTDISFPTKQPWNDCFLSFSAAKFSSQSPLLPNASFTKTIEIIDSASVLYEREAEHS >KJB66754 pep chromosome:Graimondii2_0_v6:10:43617712:43621977:-1 gene:B456_010G156400 transcript:KJB66754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSILKRLPLFSPPFVNVMASSSFYCGVQSKRFLVLAQQLRHYKPPPCSWDDNEERIIEEAAGKFVSQVGFQESVTRVAQNPEKFRSKRAAVLICLFEGDAGDLRVILTKRSSRLSTHSGEVSLPGGKADEGDNDDGDTATREAKEEIGLDPSLVNIITVLEPFLSKHLLRVVPVIGVLNDRKAFKPTPNPAEVDAVFDAPLEMFIKDENRSAEEREWMGEKYLLHFFNYEIENKRYLIWGLTAGILIRAASVVYQRPPAFLEQSPKFKFPGLVDK >KJB66753 pep chromosome:Graimondii2_0_v6:10:43611616:43621888:-1 gene:B456_010G156400 transcript:KJB66753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSILKRLPLFSPPFVNVMASSSFYCGVQSKRFLVLAQQLRHYKPPPCSWDDNEERIIEEAAGKFVSQVGFQESVTRVAQNPEKFRSKRAAVLICLFEGDAGDLRVILTKRSSRLSTHSGEVSLPGGKADEGDNDDGDTATREAKEEIGLDPSLVNIITVLEPFLSKHLLRVVPVIGVLNDRKAFKPTPNPAEVDAVFDAPLEMFIKDENRSAEEREWMGEKYLLHFFNYEIENKRWGSLNEFARRNQVRIVPGKR >KJB63383 pep chromosome:Graimondii2_0_v6:10:1433652:1433897:-1 gene:B456_010G019000 transcript:KJB63383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDEFKQWLKKFDADNDGRISKEELLSAIYETGGQFAWCKSRRAIKSADAVGSGFIEENENNTTFKQIFCISHIICPQPQ >KJB67228 pep chromosome:Graimondii2_0_v6:10:52901261:52902539:1 gene:B456_010G181300 transcript:KJB67228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKQSLIEIRPYLALLLLGDSIHQTMAAISISDKVWYMAILLLCIVATLNRGVVGGDVNDEDDNYCSYRSWRSCGSFFGRGGKNYGGAGGGGGGGGGGGGGGASGNAVGHGEGHGAGGGSGSGGMGGGGGGGGEGSASAGAGGIGSGHGEGFGAGGSVQGIGGGGGGGEGGGGGSSSGSSGEGFGHGSGFGAGIGEGVGGGGGGGVGNGGGVGGGGGGGGGGGGGGGANGGSGHGSGYGAGMGVGTSGGGGGGGNGYDSSGEGFGYGSGFGAGAGIEVGGGGGGGGGGGGGGGGSSNGGYGNGNGYGAGGGIGITGIGGGAGGGGGGGGGGSSGGGGGGGSGGGEGVGFGGGSNGDKKGMNMGFGMGMGMGIGFGFGMGTGGGKDSNNNNGHP >KJB67126 pep chromosome:Graimondii2_0_v6:10:51651565:51653779:-1 gene:B456_010G175900 transcript:KJB67126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVDSFTKVFLVAVCLSTFSFGSLEDLTRWYYFCSNATTLTGNIVYAANVDQLFSYLSTKATEHDGFFNTTAGQEPNMVYGLFLCRGDARPEICRDCINLAAADVVKLCPNQSWAIIWYNQCMLRYSNRSIFSSMAERPNVSLSYRVDAMEPYRFNRLVATVMKDIATRAPNATSRTKKFSTKEATFNAFQTLYSLAQCTPDISSEDCYRCLQDAIADLQFHLGGKQSGFAMYPSCTAQYQTSPFYSLNQTGLAKPPVSPQHPLLLPPPPPPGKRGISSQTVIKIVVSTVGFLVLSCFLCCVLGWKATKKLTARKTRNDELKAMALQSLRFDLSIIEAATKNFAEVNKIGAGGFGSVYKNLKNKDS >KJB65620 pep chromosome:Graimondii2_0_v6:10:18861680:18862359:-1 gene:B456_010G103700 transcript:KJB65620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINDQNRPMAQKLYDSAPSSRQVAKFLTASTLGTTLLFLSGLTLTGTVIALIIATPLMVIFSPVLVPAGITIFLVTTGFLFSGGCGVAALTALSWIYNYVQGKHPPGADQLDYARNKLASTARDMTEKAKEYGQYVQHKAQEVTQGQAS >KJB64005 pep chromosome:Graimondii2_0_v6:10:2367547:2368521:-1 gene:B456_010G028800 transcript:KJB64005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDAKLKAYIEHYGTGGNWISLPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDEIICSLYVSIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGRYLRPEPPFPVVPVPYSSQEQSIQFTNSQCSVVDGANMEQHMLQGQTSSSSLNGMGLLYGEDIINDTSSLVCLGMFQHYAFNDRISLQ >KJB63309 pep chromosome:Graimondii2_0_v6:10:41655832:41657975:1 gene:B456_010G152700 transcript:KJB63309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCIVEIHCGEPQHLVVRKNESECMILSSACHIDVKWQFKRLSAAELCDFGCFLVLACGVILLGQIDISLIYHMIRGQGTIKLYMIYNVLER >KJB67727 pep chromosome:Graimondii2_0_v6:10:57240479:57242846:1 gene:B456_010G206500 transcript:KJB67727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKNENENESHDESVKQIQHDFHEEHPLVLVAEQSNEGLKAHCNGCGELLSAPCFTCIHCNYHLHKQCAEVPREIPNHPLHPKHSGAGLLLRQRLDLTYHSVYGCALCKEKRNMFFYQCYWCYFSIDIKCAQLSSSFKFSQLYKHDIHQHPLTFIESPMAIDVLKRLNCCWCHEPLTYAIYLCPDCPSFIIHKKCLDELPTKINHPSHHIHPLFLHYSDRNHFCNLCQKEHSGAFYGCSLCHFNINLECALLRSIIEEKRSHQHPFSLLWRQGSFICDACDTEGNYISYICLKCYIVVHKKCISLPCIIKFSRHAHCIFHKYFLQTQELTKQDCKICFNEVRLERGSYSCVKQGCNYVVHVNCVLEDEELYELIEDEKQCEELEEKSMQSSIIRVIEVNEAGEAAKIEHLSHQHCLVLADKMEKEIDRKCDGCMLPISNIFYYCSECPFFLHKTCAELPRFKQHWFHHSNGTLNFDSFKWEKCNGCGIWCRRGAFRCGKCRFALDFGCLTLPHSALHKIDEHKLKLTYHDDKEQSYCDICEQYRDPSFWYYSCSICDTSAHPKCVLGQFPFLKDGSIMPSYFYRNHHHHNLKFFRKVEGFPECSPCGKFCQEEILKCETPTCNYIVHCKYKCRWDN >KJB66671 pep chromosome:Graimondii2_0_v6:10:40740303:40743257:1 gene:B456_010G151300 transcript:KJB66671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGLRVVLYFAFAFVSTISSLHLVIGERHWRWRDDEGSSSLPKHDDLVTNLPGQPPVDFRHYAGFVTVNELNGRALFYWFYEAMSRADQKPLVLWLNGGPGCSSVGYGATQEIGPFIVDTDGRGIKFNNFSWNQEANMLFLESPIGVGFSYSNTSTDYDNLGDEFTANDAYTFLHKWFMKFPSYRTRTFYIAGESYAGKYVPELAELIYDNNKDPSLHINLNGILLGNPETYDAEDWRGMVDYAWSHAVVSDETHKTITETCDFKSNDTWSNEDCSEAVDEVLKQYKEIDIFSLYTPLCIADTASSDDKSLLQVMMKRKSNMMPRILGGFDPCLDGYANAFYNKLDVQKALHVSDGHHLRNWSICNLNIFDGWADSKPSVLPIYQKLIAGGVRIWVYSGDTDGRVPVLSTRYSISALGLPVTKAWRPWYHEKQVSGWFQEYEGLTFATFRGAGHAVPCFKPSSSLAFFSAFLQGESPTSSR >KJB66978 pep chromosome:Graimondii2_0_v6:10:48863246:48872900:1 gene:B456_010G168600 transcript:KJB66978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTRLDLRFHHSGSTESEESALDLERNFCNHHSLPSSSPSPLQPFASGAQHSESNAAYFSWPTSSRLIDAAEDRANYFGNLQKGVLPETLGRLPSGQQATTLLELMTIRAFHSKKLRRFSLGTAIGFRIRRGVLTDIPAILVFVARKVHRQWLSQFQCLPTALEGPGGVWCDVDVVEFSYFGAPAATPKEQLYTELADGMRGSDPIIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPSQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGINPETFVRADGAFIPFADDLNMNNVTTTVKGIGQIGNVHIIDLQSPVSSLIGRQVVKVGRSSGLTNGTIMAYALEYNDEKGICFFTDFLVVGENEQTFDLEGDSGSLILLMGQNEEKPLPVGIIWGGTANRGRLKLKVGRPPENWTSGVDLGRLLDLLELDLITNNEGLQAAVQDQRSASAAGIDSTVGESSPLVRVPSRDKLDETFEPINLNIQQVEGEPQQGLVLPIMGTKYRDEAAVNVEHQFIPSFNGKSSVHDHYQGENPESKSLSALRNGSDKDIYVSLQLGEPEPKRRKYSDSLCIVKEWK >KJB66979 pep chromosome:Graimondii2_0_v6:10:48863246:48872900:1 gene:B456_010G168600 transcript:KJB66979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTRLDLRFHHSGSTESEESALDLERNFCNHHSLPSSSPSPLQPFASGAQHSESNAAYFSWPTSSRLIDAAEDRANYFGNLQKGVLPETLGRLPSGQQATTLLELMTIRAFHSKKLRRFSLGTAIGFRIRRGVLTDIPAILVFVARKVHRQWLSQFQCLPTALEGPGGVWCDVDVVEFSYFGAPAATPKEQLYTELADGMRGSDPIIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPSQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGINPETFVRADGAFIPFADDLNMNNVTTTVKGIGQIGNVHIIDLQSPVSSLIGRQVVKVGRSSGLTNGTIMAYALEYNDEKGICFFTDFLVVGENEQTFDLEGDSGSLILLMGQNEEKPLPVGIIWGGTANRGRLKLKVGRPPENWTSGVDLGRLLDLLELDLITNNEGLQAAVQDQRSASAAGIDSTVGESSPLVRVPSRDKLDETFEPINLNIQQVEGEPQQGLVLPIMGTKYRDEAAVNVEHQFIPSFNGKSSVHDHYQGENPESKSLSALRNGSDKDIYVSLQLGEPEPKRRKYSDSLCIVKEWK >KJB63914 pep chromosome:Graimondii2_0_v6:10:1918608:1919559:-1 gene:B456_010G023900 transcript:KJB63914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLLRILMVVMLLSSMLISADSKDEPLLVGTTTNAHSPVSNEKIPMDKQQQPQRLRGSFGVFFSSKRKVPNAADPLHNR >KJB65293 pep chromosome:Graimondii2_0_v6:10:13491585:13492211:-1 gene:B456_010G088200 transcript:KJB65293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLRSSFSFPNLLLSSLNFILFILSAASLAPIILLKMPPTSLGVAFLMVSSISLLSSFLGFYSQLTHFCFITHVSLLIASLIGQVLSVLSLFTREKASLSMLKSPRDIKEAKVLVRLECGILMAMLVMQLMVLAMSCTVHHCWVKEYEGLEAEREATAKKRSRRLARVQEESMANAARIAEIKAKELDEKTKSKYCQWVKTDFEG >KJB67513 pep chromosome:Graimondii2_0_v6:10:55392615:55394087:1 gene:B456_010G194500 transcript:KJB67513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEADHYPRKQFFHNNNLYFMLKMLFLLIFTNLVSIFIFSSLPFSLSSSNTPHTHLFLHQETISHLLQELNSTKSKLFDSYSLIADLHHKINSTNFLVRDLVIEITRERKPSLPVDEETNVFGIPPPTASLSDELKLAILPHKLPLGYSPRMASDEIYPPVGAACLRFQKELAQYMTYDIGGECPMDDVFAQKLLLKGCEPLPRRRCHPKSPIGYVEPTPFPDSLWATPPDTSIIWDTYTCKSYQCLIDRKNFPGTVDCKDCFDLQGREKRRWLYDRGLDYGLDQVLQTKPAGSIRIGLDIGGGSGTFAARMRERNITIITSSMNLDGPFNSFIASRGLIPIHVSISQRLPFFENTLDIVHSMHILSNWIPDAMLEFALYDIYRVLRPGGLFWLDHFFCQGSQLNQTYAPMFDRIGFTKLRWNVGKKVDRGVDKNEWYLSALLEKPMT >KJB64372 pep chromosome:Graimondii2_0_v6:10:4895915:4899922:-1 gene:B456_010G046000 transcript:KJB64372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRPPAAEVRHVDLRIWRACAGSSVQIPTVNSIVYYFPQGHVEQSCGSTPLLSSLVLSRPLIPCVVSDVHCLADPRTDEVFIKLFLVPVEPSRLPNQFLDVNGEVEDPDKIVSFAKILTPSDANNGGGFSVPRFCADSIFPPLDYNADPPVQTLTVTDIRGGVWEFRHIYRGTPRRHLLTTGWNKFVNQKKLIAGDSVVFMRDCNGKMFIGVRRALKRGEGGGDSRRWREPTGGGATKGDGRGRMTAEVVVEVAERAAKGLPFEVVYYPRPGWTDFVVRAELVEAGLNIYWAGGTRVKMAVETEDSSRMTCFQGTVISGALSDSGPWIGSPWRMLLVAWDEPDLQNVRRVNPWQVEIATSLPLQSSFPLAKKSKFSQESGLADAEGEIMFPMTGLTNSTMRYMNPSLLNYNSFPAGMQGARQNHFHVQGLTNHVSENTPMMSTDASSSNYWVPKLKRISTELNIGSSQSDNLSPDSQSSMVSFGTEFTENAGCNLSKVGVNSFQLFGKTIHMKEPGGSMFGNVGSMEDDSGKRYDEAVREKNSLDLSSTNDYSKLHDRIDVKSESASAFKGFSL >KJB67826 pep chromosome:Graimondii2_0_v6:10:57928797:57930609:1 gene:B456_010G213300 transcript:KJB67826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTANFPSTFITLPNFSKTCRGSIFRKKKLFNFVSKKVVSCKTKNADSSLNRFDRRDILLGLGGSFYGATNLLGGDPFALAAPIAAPDLSQCAEATVQKTGLPTMGVACCPPVSTTIIDFKPPSVRKIRYRPAAHLVDSRYLKKFEEALRLMRELDKDDPRSFMQKANVHCPYCNDACPQTLFPSQPIQRILGKLINDPDFAIPYWNWDSPSGISMPEIYVGSNSPLYDSKRDLSHLPPSLVNLNGGRDNDLSRERQIKCNLNLMCNRAGSTRDLGAGSVEDGSHIAVHIWVGDKEEPYNEDMGSFYPAGRDPIFYAHHANVDRIWNIWKTLPGKKRRDIDDRDWLDSAFLFYDENKNPVRVKVRDCLDSRALGYDYQSVDIPWLGSKATPRSRGRAPRRRPPSGRAPGRAMAAEINNTIAFPIVLDKIVRFEVPRPKKSRTKIGKKDEEEEEEEEEEEEEVLVIENIQLDKDAPVKFDVCINDDDDEDDGKPVGPEDSEFVGSFTNLPHGHGQTGAKLTTTLTFSISDLLEELGIEGEDNIVVTLVPQEGEGLVSIGNVKIDYIRD >KJB66102 pep chromosome:Graimondii2_0_v6:10:27005350:27010084:-1 gene:B456_010G1270002 transcript:KJB66102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMALYVIGNLNAVLSLEHQKEIIRYIYNHQNEDGGWGLHIEGHSTMFGTALSYITLRLLGEGIEDDEEMAVSKGRKWILDHGGLVAIPSWGKFWVTVLGVYEWAGCNPMPPEFWLLPNLFPIHPGKMLCYCRLVYMPMSYLYGKRFVGPITSLIKQIRQELYNQPYHEINWNAARNTVAKEDLYYPHPPIQDLTWGLLYHVGEPLLTRWPFSMLRDKAMKVAIQHVHYEDENSRYLCIGCVEKVLCLIACWVEDPNSEAYKRHLARLPDYYWIAEDGLKMQTFGCQMWDAAFAIQAIMSSDLSEEYGPTLRKAHDFLKASQVRENPSGNFSAMYRHISKGSWTFSTQDHGWQVSDCTAEGLKCSLLFSQMPTNLVGEKLETGRFYDAVNVILSLQSNNGGFPAWEPQRAYGWLEQFNPTEFFEDTLIEREYVECTSSAIQALSLFRKLHPKHRRVDIDRCIAKGIQYIEDTQNPDGSWYGCWGICYTYGTWFAVEGLAACGKNYQNSPSMRKACEFLLSKQLQNGAWGESYLSSQTKVYTNLEGNRANLVQTAWALLSLIDAGQADVDPTPIHRGIKVLINSQMEDGDFPQQEITGVFMRNCSLHYSSFRNIFPIWALGEYRRQILFA >KJB66103 pep chromosome:Graimondii2_0_v6:10:27006719:27010084:-1 gene:B456_010G1270002 transcript:KJB66103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMALYVIGNLNAVLSLEHQKEIIRYIYNHQNEDGGWGLHIEGHSTMFGTALSYITLRLLGEGIEDDEEMAVSKGRKWILDHGGLVAIPSWGKFWVTVLGVYEWAGCNPMPPEFWLLPNLFPIHPGKMLCYCRLVYMPMSYLYGKRFVGPITSLIKQIRQELYNQPYHEINWNAARNTVAKEDLYYPHPPIQDLTWGLLYHVGEPLLTRWPFSMLRDKAMKVAIQHVHYEDENSRYLCIGCVEKVLCLIACWVEDPNSEAYKRHLARLPDYYWIAEDGLKMQTFGCQMWDAAFAIQAIMSSDLSEEYGPTLRKAHDFLKASQVRENPSGNFSAMYRHISKGSWTFSTQDHGWQVSDCTAEGLKCSLLFSQMPTNLVGEKLETGRFYDAVNVILSLQSNNGGFPAWEPQRAYGWLEQFNPTEFFEDTLIERE >KJB67116 pep chromosome:Graimondii2_0_v6:10:51248610:51252813:1 gene:B456_010G175300 transcript:KJB67116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSWMNLSRVSDGYRNGVQTFLNFAFQYASQENMIICPCKKCVNINWHYREVVYEHLIVDGFVRGYKQWLFHGECPLSTSSSRMDVSYDSTTYHQSDRGDDMEGMLRDAFNMHNHGVQSFPADFVAFDDCNIGRNAFTEPRSSVRHEEPNEEVTKFYALLNDMNDELYEGSKYSKMSFCVRLFQLKCLGGWTGNSLTMLLEFLREMFPFAKIPQSCKDMKKLIKDLGLGYNKIHSCPNDCMLYWGDRRNQHCCHVCGHSRWISQNEKGGNDDENDAQSRKKPVKILRYFPLIPRLQRLFMSSKTAESMMWHHDGRTDDELLRHPADFLAWKSFANKFPGFASDPRSVRLGLASDGFNPFKIMSTAYSTWPVVLVPYNLPPWICVKQSSLILSMIIPGEKGPGNDIDIYLQPLIGELKQLWAGVETYDVLRKENFNLRAALMWTVNDFPAYANLSGWSTKGRYACPCCAAQTCSQWLYNGKKFSYMGHRRWLPENHRFRFQSSVFDGTEEFREAPSQTSGSEILGMLEDMNFIYGKMNQPPNTQRNKRSNDEADDDSDEEDDPNEADLWKKRSIFFELPYWEHHLLRHNLDVMHIEKNVCENIVGTILNVDGKSKDNLQSRLDLVQMGIRPDLHPNPLPNGKYRLPPSIFSMSKTEKEVFCTVLKDMKVPDAYASNISRCVSVKDRRLYSLKSHDYHILMQDLLPVAVRCCMSKKVTSCIIELSNIMKAICGKILDVQELQKVQDRAALTLCNMEKIFPPSFFTIMVHLIIHLPHETILGGPVFYRWMYPIESGKNVNDEVKWLSQGPNRVVKRYSAFLINGFRFHTKYRERLRRTQNCGIVVNSAITSYASARDNNPVEGNVEYFGHLTDIIELDYYGKWKVVLFRGDWADVNTARGIKQDQFDKMPRRKILRGSITRNEPNSTETNSTEQQTAIGSSNVPITSEDPTEVQTENGGTRRGRGRTLLRELYELDPVERVKVGRNSFGQPVGSEARLLAG >KJB67387 pep chromosome:Graimondii2_0_v6:10:55003385:55004282:-1 gene:B456_010G193100 transcript:KJB67387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDEMIKVVGDRFCVPYTMELLVKRKIQSFSNSHYDVFDTTGNILLQVNGGIWNLQKKRVMKDPAGLPVVTLREKQAISWKQEWQMHEGESRHCLCSVKRSGGFNIKSNLDVHLASPYKDAACDFRVTGSLASLSVKVRRGNSIIAEVTHSYTWGSYKGKECFKIKVYPEVDYAFIVALLVIIHENDNA >KJB67375 pep chromosome:Graimondii2_0_v6:10:53901115:53901743:1 gene:B456_010G187500 transcript:KJB67375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNSCFLILPLSILLLSLTFSDARKDALAGGWTPIKDIKDPHVMEIAKFAVDEYNKQSKVSLKLDEVVKGETQVVSGINYKLVLKAKDGSAVNTYEAVVWEKAWLHFRNLTSFTLVMD >KJB66814 pep chromosome:Graimondii2_0_v6:10:45140793:45142898:1 gene:B456_010G159500 transcript:KJB66814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSSYVHFHDIPDVVLAIIFSLVADTRTRNVMSLVCLKWHLLERSTRKSLTLRGNIRDLFLLPTCFRAVTHLDLSLLSPWGHPLLDSSSNPLLLAQLLRHAFPSVVSLVVYIRNPSTLHLLAPQWPNLRHVKLIRWHQRLPTEPLGSDFTALFEYSGMLSSLDVSLFHSWTEDLLPAIKAYPLIAASLSHLNILKHSSAEGFKSYELLAITASCPNLRKLLATCIFDHRFIGFVGDQTLLALASNCPCLSLLHLVDATSLSNIRPDPNDEGYTSEDASISHTTLGDVFAGLPLLEELVLDVCYNVRDTWPALELLNSRCPRLKALKLGQFHGICRGINARLDGIALCQGLESLSIKNSADLTDKALEAISLGCPRLSKFEVLGCKEITGKGMWELTHALRKTLVHVKISFCKNFNAVSSLQAIEPIRDRIERLHIDCVWNTLDNSENQTRSSSSQELSESYNLQARKRDMIKEEKRLKKKSKSHFHDVGDENLFSSRTWSKLHHLSLWIGVGELLTPLSLAGLDDCPALEEIEIKIEGDSRNQQRPCMDSLGLCSLACYPRLSRMLLDCSGVIGYALTAPPGQADLSLWERFFLNGIEILNLKELNYWPAQDMDLNQRSLFLPAAALLAQCGSLRKLFIHGTTNEHFMMFLLRIPTLRDVQVREDYYPAPENDTITEMRVESCRRFEDALNSSNRHIPD >KJB64384 pep chromosome:Graimondii2_0_v6:10:5051112:5052294:1 gene:B456_010G046800 transcript:KJB64384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRYHQQQQINSKGMFLPLLCSKPSIKDVVLPKWKVVDRSASLSEDPLSPKISCMGQVKRNNKIVGFPVSYKLSTVTPKNSSSSSFNSSTVKYFKLKKLFSGKNLTGSPATSTTAATTGCRRKQVLINGTSKPKGDDGKENSCTINIETMDPPLPVIKKGPKQGDERDGSDTTLWQRRSRGVALERLQLQQIQLNRHQEPTTV >KJB63892 pep chromosome:Graimondii2_0_v6:10:1757822:1760186:1 gene:B456_010G022200 transcript:KJB63892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELMHVTAAVVIIVIFLILLVVFILKWCLHKESTEGKDMVADHHYVFRRGVSAKPLFSWSDHPSLITDAVENGWSRFGFTPYNISSSTRSSSLLGLCAAVDFLRGNDVELSWEVCQGSADFMQKVRLKSGSSMAAASSAVIRTALPLPGPPLGNTAFPQEAYFEIKILYCHGDDDSGKLKELGEKTKLIHEHQDSHHVINKVDELKSATKDDGKGEEQVMLSMGLTAGGSLPSKLPGTYPGSIGFNSDGSVFLDGIKLIFESEKEDWGKPGKVIGCGFNPKQKKVFFTLDSELVHVINCKSEEFGTPLYPTLAANDDVLVLVNFGQSAFVYSPANGQRTPNPCFIGPVVNSPAAAAALGYEDSKELFSMGRIDSQWLNRCTNKGSHNNYGTNCSTMEFDEESEADLFEIVLDNHNGRSPNLVL >KJB64133 pep chromosome:Graimondii2_0_v6:10:3128374:3129690:-1 gene:B456_010G034300 transcript:KJB64133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVEYISSDMIHKAELSQLRVELTPWDLQFLVVGPVQKGLLFAKPQHENSFINHLKDSLSDTLLYFPPLSGRLATTEHDDEKVSFFIDCNNTGALFIHAKANGVTISDIIEPVYVPSIVHSFFPLNGVKNIEGVSNPLLGVQVTELVDGIFIGITANHSVLDGTSFWHFFNSWSAISRGLIHLPKLPVFQRRFFNSINFPIQISKSHVQNFPDDFVVPLFKERVFHFSKQSIVTLKARANAEVGTGTTNQMAQISSLQALLSHLWQSIMRNKNLEPNEDTHYCFIIGGRQRLHDLPQEYFGNVVKNQKVTMKVKQLLEQGVGSVALEMNKVIAANTGEDFNKFIETWIASPELLKISTISSRTLATSSSPRFDMYGNDFGWGKPIAVRSGSANKFDGKITLFCGAEEGSIDVEACLSPETLNALGNDEEFMDAILNN >KJB64568 pep chromosome:Graimondii2_0_v6:10:6217374:6218729:-1 gene:B456_010G054200 transcript:KJB64568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQSPNPQTLPETPPNNNPMSRSSANIVQRVTRNLGFHSKWGELNGAMGDLGTYIPIVLALTLAKDLNLGTTLIFTGIYNVVTGVLYGVPMPVQPMKSIAAVAISDSSGFNIPEIMAAGICTGAILLVLGVTGLMQIVYKFIPLSVVRGIQLSQGLSFAMSAVKYIRKVQDFSKSKSMGNRQWLGLDGMVLAIVCAGFINFINGAGQERNQNDEAIVDEERNVRRKRMRKIMATIPSAFIIFLLGVVLAFIRRPKVVNDIKFGPSEMEIVKITGHSWKEGFIKGTIPQLPLSVLNSVIAVCKLSSDLFPGREFSATSVSVTVGLMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVALLGAAKMMLGLVLGTSLVTILHQFPVGILGVLLLFAGIELAMTCKDMNSKQESFVMLICTAVSLVGSSAAVGFVCGMLVHVLLKLRTLCMP >KJB67184 pep chromosome:Graimondii2_0_v6:10:52541983:52542595:1 gene:B456_010G179200 transcript:KJB67184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAPIAIGTRGTVGSLLKKEIEYFTKFELEGRGGSWKPHAHMVDMDCRTGHSRPGFWFLITGWKRKKRKGNTGFLPSMCSAIEVADKDQFNRIPGFNYRILQNDVHNFHV >KJB67512 pep chromosome:Graimondii2_0_v6:10:55365272:55366797:-1 gene:B456_010G194400 transcript:KJB67512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFNKFSFIFLVIFLALSANAEVQRHRFVIQATKVKRLCKTHNIITVNGMFPGPTLEIKNGDTLEVQVVNKARYNVTIHWHGVRQMRTGWADGPDFVTRCPIRPGGSCTYRFTVQGQEGTLWWHAHSSWLRATVYGALESFSVTNTNDYDDQSEMEATRTGAAPNVSDAYTINAQSGDLYKCSSKETTVVPIDSGETNLLRVINAALNQPLFFKVANHKLTVVGADASYTKPFTTSVLMLGPGQTTDVLIQGDQPPSRHYMAARDYQRAQNAPFDNTATTAILEYKFASCAAKKCNGPTPIMPSLPAYNDTNTVTAFSQSFRSREKAEVPTDIDESLFFTIGLELNNCPPNL >KJB63825 pep chromosome:Graimondii2_0_v6:10:1407332:1407622:-1 gene:B456_010G018500 transcript:KJB63825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKTRAYSMDGKREMTIDEFKRWLKKFDDDKDGRISRDELANAIRVSNGGWFTRRKSKRLIRSVDANGSGFIDDNEIKNLAEFAEKHLNVRILHW >KJB65935 pep chromosome:Graimondii2_0_v6:10:24500628:24504090:1 gene:B456_010G120900 transcript:KJB65935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKGTPVVVKMDNPSYSVMKIDDPDAAFKPMEKTRGKNPKQVTRVLLLKAHRAVGRVAWIATLFWDLLGTIKRRLIFRQDVVMATEKLGKGKLLFAAIKAFLLTSLAILSFEVVAYFNGWHCFQNPSLHIPSDDDIQGLPHLVYVTWLPFRADYIAPLIQALSKFCVALFLVQSADRFMLSLGCFWIKYKKIKPRIEGDPFESGDVEGCSYEYPMVLVQIPMCNEREVYEQSISAVCQLDWPKDRLLIQVLDDSNDESIRYLIKAEVATWSQRGINIIYRHRLERTGYKAGNLKSAMSCEYVKAYEFVAIFDADFQPSPKFLKQTVPHFKDNPELGLVQARWAFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAIRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAILNSKIAIWKKANLILLFFLLRKLILPFYSFTLFCIILPLTLFVPEAELPVWVICYVPVFMSFLNILPSPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSNEWVVTKKAGRSSESDLEAATERESKITNQQHIPRGASQSEFTEYNELKEQKEAAPILIKKVNKIYRKELTLAFLLLAASVRSLLSAQGVHFYFLLFQGVTFLLVGLDLIGEQMS >KJB66704 pep chromosome:Graimondii2_0_v6:10:41876100:41878871:-1 gene:B456_010G153200 transcript:KJB66704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVIPQQWASPCGNQCTHKYAALMQIPWRVFCKKGCNADGDTWEECLAECDEICYKDPVLKDQQWSAYIDRSPGAVNYSQDCFNACVTGCGYKFEICKEKVDQVRPRPLPSEPLPEVKPPTSPAATKPDEPAEDVPSTSA >KJB66705 pep chromosome:Graimondii2_0_v6:10:41875972:41879142:-1 gene:B456_010G153200 transcript:KJB66705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVIPQQWASPCGNQCTHKYAALMQIPWRVFCKKGCNADGDTWEECLAECDEICYKDPVLKDQQWSAYIDRSPGAVNYSQDCFNACVTGCGYKFEICKEKVDQVRPRPLPSEPLPEVKPPTSPAATKPDEPAEDVPSTSA >KJB67511 pep chromosome:Graimondii2_0_v6:10:55270470:55273013:-1 gene:B456_010G194300 transcript:KJB67511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFNKFSFIFLVIFLSLSANAEVQRHRFVIQATKVKRLCKTHNTITVNGMFPGPTLEIKNGDTLEVQVVNKARYNVTIHWHGVRQMRTGWADGPEFVTQCPIRPGGSYTYRFTVQGQEGTLWWHAHSSWLRATVYGALIIRPREGKSYPFPKPKRETPILLGEWWDANPIDVVREATRTGAAPNVSDAYTINAQPGDLYKCSSKETTVVSVDSGETNLLRVINAALNQPLFFKVANHKLTVVGADASYTKPFTTSVLMLGPGQTTDVLIRGDQPPSRYYMAARAYQSAQNAPFDNTTTTAILEYKSASCAAKKCNAPTPIMPSLPAYNDTNTVTAFSQSFRSREKAEVPTDIDESLFFTIGLGLNNCPPNFRKRRCQGPNGTRFTASMNNVSFVLPRNFSLLQAHQQGIPGVFTTDFPANPPLKFDYTGNVSRSLFQPVPGTKLYKLKYGSRVQIVLQDTSIVTPENHPIHLHGYDFYIIAEGFGNFDPKRDTSKFNLVDPPMRNTVGVPVNGWAVIRFVADNPGVWIMHCHLDVHINWGLAMAFLVDNGVGELQTIQPPPPDLPIC >KJB63593 pep chromosome:Graimondii2_0_v6:10:506251:508329:1 gene:B456_010G007900 transcript:KJB63593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNGFTFPSRSISVSSRLRKPVPCSSSFACSHHLATTALFRSSSESGDVPFLRLSAASSPLLSGDLGGLSQALPSLPRRRGSNLVPRASKDVPYSFRFPPMTKKPKWWWRTLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGSLPSWFLMAYFFVAYLGIVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAIYWGKFGMHFWTAVAFAYLFTILECIRCALAGMYADIPFVCDAAYIQIPYD >KJB67326 pep chromosome:Graimondii2_0_v6:10:53718316:53719160:1 gene:B456_010G186100 transcript:KJB67326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKASKPSNSKRDQAKSFSSTQRSSSIIESMKPECLKKRKKKNKKKRTKVRTLTLEDWLLASPGPKGLNPDYLNGGELHVFKHLSRRVHHHHRSGPITTGDNNLCLEQGSGEDVSGSSFRRSHNGNLKKKVSFKLPEEGDIVLFYSAAESFESDYRSF >KJB63351 pep chromosome:Graimondii2_0_v6:10:23204803:23205533:-1 gene:B456_010G117600 transcript:KJB63351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMVCETLDDIKMHLSDTEYDPYLQNVNNVGTINKKNRIWEVPVEEYDIVIDVNVKGIANVLCHFISLMLPKSRGVIVNMSSGWGRPDAALVNS >KJB65803 pep chromosome:Graimondii2_0_v6:10:21802570:21806086:-1 gene:B456_010G113700 transcript:KJB65803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKISYEHPSHVADVPNRKKNILNDSKAPLRKKNKIHMGKDDVKGEKYFGENVYGNEISIYPTPSGGYSWLSIDSKDSSCNIFEIPTNFVLKFGFELKELLPSPTNWVNKILGKPLYEKALFAARVYDVVQVTSKLLIHREKEGRGMVWCSILTRWSITSHTIITTRGEFTFTLKNVTCLTPENVKITLLSFLHTTQHIFSRCFDYGIILAIVLVAIKIAQGMYFPLALLYLGNIYKTLDYYHLKTKILLGRYKILSYVDMSFIQIYFWERFSACAHPPSPGSFATSSSKFTGNNYRAWFGISRSPKETFFKVFLCHSQATSSFKVNSTMLPYMIENSSVGTNIDSHSMEVYSMCRVVRKHRRPPLSLDVKFLDCVFEFLFGIINERLKEIISCVILVFDRTTQYSSNSLAYWR >KJB63645 pep chromosome:Graimondii2_0_v6:10:718711:720437:1 gene:B456_010G009800 transcript:KJB63645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLALVVVFFLGLVLSTQGEFTQFDGFAGSVLSSAEDEAESAKGSLFQMFSPDINKVTTEVKGAADTLSTSGTDAANIMKENAESWVDTFSPNATPGPSADNPKKSSWTGWITDRLRGIGLMPSNDSKKEANNLASTPAMAPGPAFAPIVFG >KJB68149 pep chromosome:Graimondii2_0_v6:10:60014816:60019217:-1 gene:B456_010G228400 transcript:KJB68149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKHLNLSVNGFKNSILPSLATLPNLKTLRIDISQCNGLIDMKDLYGFGNLEELTMASYIDAYTEADADAGCSFSLQSLGFFPTLNTLSLGGFNINETSMASYSRNTSVTADFTSLKRLKLLYCNVNKNLTRQEGLNLRSLEELELSHSSLPSNFIQVFGPLISLKKLTAFGIDGNNSPPMHDVCELTNLQELNMQDCNLKGSLPMCFSNLTSLKMLSLSSNQFSENISILKSLKLLESLNLSSNQLSGNISALQSLTLLESLDLSSNQFSGNISAIQSLTLLASLDLSSNQFFGNISALQSLTLLESLGLSSNQFSESLGLSSNQFSGNISALESLTSLQSLDVSNNKFHIPSSLRPLFNLSKLKYLYADNNTIHADDHEMSHSSAPRFQLSSISLTCCGSGGPFPKFLYHQSELQEVSLSDIYFKVDRFPVWLLENNTMLMTLNLVNCSLSGPFQVPSRVHSALSRLDISNNAFGGNIPVRMGAHLPFLRYLNMSRNYFNGSIPSSFGDTSSLQVLDLSNNQLSGEIPQHMAIGCSSLQVLVLSNNKLQGSIFSGNFNLKSLLELQLNGNNFTGMIPNVLAYSGLNILDLSNNYIYGEVPSWIWNMSELASLDVSRNQLFGRLPQWRGNASNLALVSMADNQLEGSIPRAICSLNLKLQFLDLSMNSLSGTLPSCFKPVSVKEVHLFKNKLQGALPNAFHDSSSLVTLDLSYNHLKGNIPNWVSNLYELSYLLLRRNHFEGAIPIQLCKLDRLSLIDLSQNNLSGGIPSCL >KJB67296 pep chromosome:Graimondii2_0_v6:10:53402815:53403480:1 gene:B456_010G184100 transcript:KJB67296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFDLRSRPLLFLFLIFGRLIGSHPPPTSTWFSIAVEMEKPSASPWAFHSFLSKSLSPLVWSWLLLDNKHVGQEYESFIGIEVPISSPHPITTRDAYWSVELI >KJB65836 pep chromosome:Graimondii2_0_v6:10:22431653:22434371:1 gene:B456_010G115600 transcript:KJB65836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEDFVTPRSASENPQRSTSLTSLRTANGGSLRNSFLYAKLREEPIKLSVRKLDGSFFDVEILKMATIADLKLAVEHVFSQMPDQGLGEISWPHVWGHFCLCYDAQKLVSDTDLVVKYGIRDGHQLHFVRHVSSIYNLTKTRSKRSVARKRPYLLKSTSSLKSILKSEENVEEINALDDDIANQRCHSLKKNHSFTDNKNQSPITPQECQFRHSWKGFYSYSRMPSIKNRATSSKGRAFHSKYNCGFLGKFRNIIHMWDSAKCALVRQD >KJB65675 pep chromosome:Graimondii2_0_v6:10:19864474:19866515:-1 gene:B456_010G107500 transcript:KJB65675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRLKTLSSLYADDVDVPQVQHYRLFLKEHVVFKEAIPIKNPLALSKIHQTYRVHFLSISTIIGI >KJB68339 pep chromosome:Graimondii2_0_v6:10:60940500:60941503:1 gene:B456_010G239700 transcript:KJB68339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic20-II [Source:Projected from Arabidopsis thaliana (AT2G47840) UniProtKB/TrEMBL;Acc:A0A178VYR0] MAALCYSLPSLTLKPSPLNPKPYPNIPFLSHPKPNLRLPKKPTTTITRMSLNPTPATDRLISVAAYSLPFFNSLQYGRYLFIQYPQLGILFDPILPFLSLYKSVPYASFVAFFALYLGVVRNPSFSHYVRFNSMQAVTLDVLLVVPLLLTRIFNPGRVGLGFKVMVWGHTGVFVFSCLCFVYGVVSSILGRTPYLPFVADAAGRQI >KJB63507 pep chromosome:Graimondii2_0_v6:10:136878:138673:-1 gene:B456_010G003100 transcript:KJB63507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFFSTCLFSLLSMLQGISGTTFTVVNKCDHTVWPGILGNSQLDTTGFELLTGGSRSIQAPPSWSGRFWGRTGCISDQNTGQLTCQTADCGSTQMECNGKGATPPVTLAEFTIGSGTQDFYDVSIVDGYNLPMLVEPSSGSGTCLSTGCVNDLNRQCPDKLRAQSGQACKSACEAFGKPEYCCSGAYASPDACKPSSYSEMFKAACPKSYSYAYDDATSTFTCTASDYTITFCPSSTSKKSASNTAPAAATTKGTTSPIYGSITGSGEVPADVNISSWFPYFLTGQSSRTVSSSVSHNTLLASAISFLFLSSLDFSHTYNFLQT >KJB63506 pep chromosome:Graimondii2_0_v6:10:136766:139006:-1 gene:B456_010G003100 transcript:KJB63506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFFSTCLFSLLSMLQVWPGILGNSQLDTTGFELLTGGSRSIQAPPSWSGRFWGRTGCISDQNTGQLTCQTADCGSTQMECNGKGATPPVTLAEFTIGSGTQDFYDVSIVDGYNLPMLVEPSSGSGTCLSTGCVNDLNRQCPDKLRAQSGQACKSACEAFGKPEYCCSGAYASPDACKPSSYSEMFKAACPKSYSYAYDDATSTFTCTASDYTITFCPSSTSKKSASNTAPAAATTKGTTSPIYGSITGSGEVPADVNISSWFPYFLTGQSSRTVSSSVSHNTLLASAISFLFLSSLDFSHTYNFLQT >KJB66001 pep chromosome:Graimondii2_0_v6:10:25337503:25337757:1 gene:B456_010G1236001 transcript:KJB66001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HVINDRGNPATPTFTYTGIYANPETDTKTKTETDPETKIENKIQHVLPEISHRSDGNGGRRERIVGENQSTDLVGVWKWKEMKE >KJB66327 pep chromosome:Graimondii2_0_v6:10:30992939:30995171:1 gene:B456_010G135900 transcript:KJB66327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHIARLVRTPNPSLLLVLLSLLQLAFVSLGDTDIENLLTFKDSLSNPSSLRNWNASISPCNGDIANWIGVLCLKNKIWGLQLESMGLGGLVNIEILDAMPTLRIISLMNNNFEGKIPEIKKLGELKALYLSNNRFTGDIPDNAFEGMRSLKNLFLANNAFTGKIPSSLATLPRLLVLKMEGNQFVGRIPDFKQNVKVVNFANNELEGPIPASLSNMSASMFSGNKNLCGPPLEKCSLISPPPSPSAVPSASPQPPLIYKKTISALQIALIVISILLLLAIIATVIFVLSKRKQNPGLLNATDDDSSMLPPNSNDQEKKISDSGGMMKRSDYGKLIFLKGEIDRFDLHDLLRASAEVLGSGNLGASYKAVIMNGEALVVKRYKQMNNVGREEFHEHMRRLGRLDHENLLPVEAYYYRKEEKLLVCNFMEDGSLASHLHGNHSVDKPSLDWRSRLKIIKGVGRGLTYLYNELPSLVVPHGHLKSSNVLLNDNFEPLLCDYALRPVINQEQAHMYMTAYRSPEHAINGRISRKTDVWCLGILILEILTGKIPENYLTSSYDRNTNLATWVNEIAKDKRTSEIFDVEMRGTKNSKGEMICLLKIGLSCCEDDPDARPELKEVVQEIEEIKDRDEHELSSTIGEVNAIMSSRNARDGAFSSFNR >KJB68116 pep chromosome:Graimondii2_0_v6:10:59797262:59799283:-1 gene:B456_010G226500 transcript:KJB68116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLSNGCTRLTLSPPARFRHQSTIFATLSPSRPDTVNWVEATSSFFDQDTRPIMLFDGVCNLCNGGVRFVRNVDRNRRIRFEALQSESGKKLLRRSGRAPDDISSVVLVEKDRSYIKSEAVLKIMEYLELPFPQLAFFLQFVPLFVRDFMYDNVANNRYAIFGYSDSCEI >KJB68174 pep chromosome:Graimondii2_0_v6:10:60156203:60157068:1 gene:B456_010G230000 transcript:KJB68174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSISFFQWVLLVQSVEPFVYDVNFAGAVGDGESDDTEAFKNAWNVICSSHIPLGIFRVPYGQKFLVQPLTFNGECRPKNITIQIDGILIAPSDPSSWKCNDANCNNWITFQHFDGLVIQGSGSLHGQGQKWWQMGCMQNKVLCSSQKAALVKTEMPQ >KJB68347 pep chromosome:Graimondii2_0_v6:10:60980697:60985135:1 gene:B456_010G240200 transcript:KJB68347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLCVLFGVAVLLSLSFGFINGAPQVPCYFIFGDSLVDNGNNNRLSSLAKANYMPYGIDFPNGPTGRFSNGKTTVDVIAELLGFENYIPPYSAARGRQILGGVNYASAAAGIREETGQQLGARISFSGQVRNYRQTVSQVVNLLGSEANAANYLSKCIYSIGLGSNDYLNNYFMPLFYSTSRRYNPEQYANVLIQQYTQQLQALYNYGARKFVLIGVGQIGCSPNALAQNSRDGRTCVERINAANRIFNSKLKGLVDQFNNANSDAKFIYIDVYGIFQDVTSNPSAYGFRVTNAGCCGVGRNNGQITCLPSQRPCRNRNEYLFWDAFHPTEAANVIIGRRSYNAQSPSDAYPIDIRRLAQL >KJB66953 pep chromosome:Graimondii2_0_v6:10:48734351:48736071:1 gene:B456_010G168000 transcript:KJB66953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRLPRLFMEVAPPQFVTVMRHRTRKMLDTINEEDRDGSNDDNSLSPTLKSSPTAIPVSATMVAAAAAASSSSATTVMPSSKYFVKGASNVYSNRVRRDWPDDQHFSETSSTSFINLKVRN >KJB66560 pep chromosome:Graimondii2_0_v6:10:42421834:42423240:1 gene:B456_010G154500 transcript:KJB66560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSTWLSPNPNFLPSPKTHSPDSSISSNTTAPTPPTPPPQQPKLITRSESANPYPTTFVQADTSSFKQVVQMLTGSSETAKLASSINSTSSPHSDPNLKTHIPPIKSIPKNKQNSGFRLYERRSSLKNLKINPLNPVFNSSNSGFSPRKPEILSPSILDFPSLTLSPVTPLISDPFDRSGPGNHADCINNITNLDKEAEEKAIKEKGFYLHPSPASTPRDTEPRLLPLFPVTSPRVSGSSTSSN >KJB67707 pep chromosome:Graimondii2_0_v6:10:57076859:57081373:1 gene:B456_010G205000 transcript:KJB67707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MSTTMAPPPPVVDTEKLARSLEETPTWAVAVVCLVIVVVSIFIEHGIHMLGKWLKKKNKPALYEALDKVKIELMLMGFISFLLTVFKDSIADICISKSVADTWHPCDKNSKAKYPPKETDKCREKGKVAFVSTYGIHQLHVFIFVLAISHILYCITTYVLGSYKMKTWKTWENETKTVEYQYYNDPERFRFARDTSFGRRHLSFWSSSTLSVWIVCFFRQFYGSVTKVDYLTLRHGFIMAHLPPERETKFDFQKYIERSLEDDFKVVVGISPIIWFIAVFFLLAYTHGWRSYLWLPFIPLIIILMVGTKLQVIITKMGLRIQERGGVVKGTPLVEPGDELFWFGRPSFLLLLIHIVLFTNAFQLAFFVWSTYEFTINSCYHEKVEDIVIRISMGVIIQVLCSYVTLPLYALVNQMGTKMRPTIFNERVADALKNWRDTAKENTKHGRRSENTTPFSSRPGTPTRGMSPVHLLHNYQRKSEESGHTSLAHSDFENDNWDPADTFANSSSHRDIGVPEVSRGGSQLEMREVADVRVLESRASQRVSTSSRIARTQHEIDISPSSFSFAKR >KJB63691 pep chromosome:Graimondii2_0_v6:10:825921:828750:1 gene:B456_010G010900 transcript:KJB63691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLKGRYANEKNTAGATLVVNAGDVKLRASMSDATFVDGPSLNGLTLAVEKPGFFIVDYDVPKKDFRFQFMNTVRVAEMPLKLTYSHSRGDNRTAVEGAFMFDSANTVSANYVLGTRNCKLKYSYVHGGDTTFEQCYDWGKMAWDFAISRRVYDDVFKATYQTSNSDLALEWSRNSKFNGTFKISAYMNLAEESKNPKIIAESSWDLEI >KJB68647 pep chromosome:Graimondii2_0_v6:10:62152679:62155326:1 gene:B456_010G256800 transcript:KJB68647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVQQQQQEQEQQIKSTEKRKPVFVKVDHLKPGSKGHTLIAKVLSSNMVLQKGRAASQHLRQTRIAECLIGDETGTVLFTARNDQVELMKPGNTVILRNAKIDMFKGSMRLAVDKWGRIEVTEPANFVVKDDNNLSLVEYELVNVVDEVEAGMNTND >KJB68581 pep chromosome:Graimondii2_0_v6:10:61834997:61835090:1 gene:B456_010G2520001 transcript:KJB68581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLSELRDVAKKSSYSRIEGSPMDEFASKLF >KJB65312 pep chromosome:Graimondii2_0_v6:10:13603184:13607367:1 gene:B456_010G088800 transcript:KJB65312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKIVVSRPQFRRVSNAKPTKPVPPVRNALLFRIIVSTSMATRSFWMLAAPSVAEDLALRAVCFGNMRGNPMYIAGTRQRDEYIIAVNKIIFQSALK >KJB68254 pep chromosome:Graimondii2_0_v6:10:60522013:60523951:1 gene:B456_010G234600 transcript:KJB68254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISNLDVVMTTYHLPLLFFYKPNGSSDFFKPQVLQEALSKTLVQFYPMAGRLGRDENGRLEILCNAEGVLWIEAETTSAMDDLDGFTPCSKLRKLVPTADYSGDISSYPLIMAQVSNSTLKCGGVCLGIATHHTLTDGTTAFHFISSWSEIARGLPQISMPPLIDRTLLRARVPPIPRFHHLEYGPPPSLNTSTSLGPNTHKPSIVSVFKITQNQLNTLKAKSWEHGNKTNYNTYIILAAYIWRCATKARGLSYDQPTKLNMPINGRPRLHPPVPSTYVGNEMFAASLITLSGNLQSEPFVNTLERVHGTLKGMNNEYLRSALDYLETLPDITVARRKPDTY >KJB64505 pep chromosome:Graimondii2_0_v6:10:6009651:6014775:1 gene:B456_010G052200 transcript:KJB64505 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 16 [Source:Projected from Arabidopsis thaliana (AT1G77410) TAIR;Acc:AT1G77410] MGSWLFLFLVGLFLAASVSGVGSRNVTYDGRSLIIDGQHKILFSGSIHYPRSTPQMWPSLIAKAKAGGLDVIETLVFWNLHEPQPEQFDFSGQRDIVRFIKEIQAQGLYACIRIGPFIQGEWSYGGLPFWLHDIPGIVYRSDNEPFKYQMKKFVSKIVNMMKAEKLYASQGGPIILSQIENEYGMVEAAFRDKGPPYLRWAAEMAVGLQTGVPWVMCKQNNAPDPVINACNGRRCGETFPGPNSPNKPAIWTENWTSFYQVYGDEPDIRSAEDIAFHVALFIAKKGSYVNYYMYHGGTNFGRTAAAYVLTSYYDQAPLDEYGLFRQPKWGHLKELHAAIKLCLNPMLSGVYTTMALGKSQEAFVYRGNSVDCAAFLVNNDTRKTVVVTFQDSLYEMPPKSISILPDCKTVAFNTAKVSTQYNTRAVETSKKLDSIVKWEEYKETIPTFEDTSLRANMLLEHMNTTKDNSDYLWYNFRFQNDFSDAEYVLNVTSSAHVLHAFVNGSFVGSTHGSFKTKTPILESKITLNKGTNYISLLSGMAGLPDSGAYLERRVAGINTVRVKGEHEIKDFTRYSWGYQVGLLGEKLQVYTDSGSNKVKWNTYGSSTHQRLTWYRTLFDAPAGKDPVTLNLESMGKGEAWINGQSIGRYWVSFLTPKGIPSQTRYNVPRSFLKRTDNLLVILEEENGYPLGISIDTISITKVCGHVSESHLPPVISWQGQNKTEHNNSKKHHGRRPKVQLQCPPGRNISRILFASYGNPTGDCENYAIGSCHSFTSLPTIEEACMGKRICTIPVWRKKFGNDPCPGIPKTLLVDAQCT >KJB65578 pep chromosome:Graimondii2_0_v6:10:18460302:18460340:-1 gene:B456_010G1019001 transcript:KJB65578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATRLIETDL >KJB67125 pep chromosome:Graimondii2_0_v6:10:51618505:51624088:1 gene:B456_010G175800 transcript:KJB67125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYSTIVFLFLFMFLGLTSHGKAAPTYTSHYCDNTTSFTPNSTFQANIKTLLSSLSSNTNSSKNGFYNITAGRDPNLVYGTFLCRGDVSANLCQKCVETASNDIARRCVTEKLGVIWYDECTVQYSDQNIFSIIREVPGMDNSSSVSIPGDKDRFDHLVNRAAYDDQSGKRFATGEANFTSSQTLYILAQCTPDLTDALCFRCLQSAIAELPMCCEGKQGGRVLLPSCSIRYDMFPFFSRNGTATIEFPSPSSPNSTKQGKRKNSSPIIIAIVAPVVTSLVLLALGLLFMRKRASKKYNALPEKNAVENVIGLESLQFNLSTIQAAVNNFSSDNKIGEGGFGEVYKGKLCNGQEIAVKRLSKSSNQGTEEFKSELALLTKLQHRNLVRLLGFCLDGEEKLLVYEYVPNKSLDFFLFDPETQGNLEWSARYRIIEGICRGLLYLHEDSRLRSIHRDLKASNILLDEDMNAKISDFGMVRIFGVDQTQTNTRRIVGTYGYMAPEYAMHGQFSMKSDVFSFGVLVLEIISGKKNSWFYESEGGEGLLSYCWKQRRDGSPLEMMDPKLRTHYCRNEVVKCIHVGLLCVQEDPAKRPSITKVVLMLDSNSVSLPQPQKPAYLAEMSGVLQEQRGLKNNSVSLPQPQKPAYFLSSRNESSPPGAKGLEEVSVNEVSITEIEPQ >KJB68617 pep chromosome:Graimondii2_0_v6:10:62021772:62025834:1 gene:B456_010G255100 transcript:KJB68617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSIVYQREEVLGYVEIYPRQQQQLKEEEMKEIRIEYLTQSSERCPPLAVLHTITCNGICFKMEPSKDSSYSASEDMPRLHLLHSECIRNNKTAVMPMRDSELHLVAMYSRNSYRPCFWGFNVGRGLYDSCLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIESLQRKINTEVDPQRVAALMAEVKHYQDDKTILKQYAENDQVVENGKVIKVQSEIVPTYSDSHQPIIRPLIRLQEKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKAGYRKSLFNVFQDGICHPKMALVIDDRLKVWDQKDQPRVHVVPAFSPYHAPQAEANNTIPVLCVARNVACNIRGGFFREYDEVLLQRIPEISYEDDTKDIPSPPDVGNYLVSEDDSSASNGNKDQPLFNGMADAEVERRLKEAISAASTVSSAAINLDPRLAPSLQFTIPTPSSVPLPIVQFSEAAQVITPVAPVVALEQSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHTPEPAFPPVGPKMQVPIPHAQSCGSWFPAEEEMSQRQLNRAVPKDFPLDPERMNIEKHRHPPFFPKFENSIPSDRILHENRRFQKEALRRDDRLGLNNTSSYHSFSGEEIPLGRSSSFHNALDFESGHTIPIGETPVAVLQDIAMKCGAK >KJB66850 pep chromosome:Graimondii2_0_v6:10:45863008:45863226:-1 gene:B456_010G160800 transcript:KJB66850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EFILGEEYSNSKLVRKVLRSLPKRFSIKVTIEEAKDLESLKIDELIGSLQSFKLNLDEPKRVKSKREISIAL >KJB63462 pep chromosome:Graimondii2_0_v6:10:19706:22328:-1 gene:B456_010G000400 transcript:KJB63462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCCIDCKRLISGINLCFSFRSMLLYLYFSGFFLGFLVFSFRYCCFIPSFKSIDVDLVLLFCLFIILALNLFSFLF >KJB65852 pep chromosome:Graimondii2_0_v6:10:24094854:24095423:-1 gene:B456_010G120000 transcript:KJB65852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FYCHYNSEKIPHEYSTIVGIQESVHEILMNLKEIVLRGNLYGARNAFICAKGPGYVTAQDIILPPFVEIVDNTQHIASLTEPIDLCIGLEIKRNRGYSIKTPKKFHDGNYPIDDVFMVVRNTNHSIHCYGNDNEKQGILFLEIWTNGNLTPKEALHEASRNLIDLFIPFLHEEEETYI >KJB66928 pep chromosome:Graimondii2_0_v6:10:48177293:48179597:1 gene:B456_010G166500 transcript:KJB66928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALCLGYGPLPSISLRTVPASTRLHFGFNPSFLLVSSGFITNHRQCRRFYGPGPLALDRSNSSMASANENGGAKVVRGAVGASLALACALGIIGCGCKMNFKAIAGPKPVYRKAPSFEHIAPPAPRKMALKSLLDVTVNLASKEGRRARDSPGSQIPGPRPSSPSKDQIDQLKKEAVSLMKRGLPEEALYMLKNEYKKYEMSEPEAAYYMNMVLVEILISQGKYEEAYEFMTSHDQKISELDVRPTLYKAIICTMLDKDDEAQRLWDDFAGSVGGFFPHGF >KJB67572 pep chromosome:Graimondii2_0_v6:10:55873166:55879222:-1 gene:B456_010G197800 transcript:KJB67572 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X1-type zinc finger protein NFXL2 [Source:Projected from Arabidopsis thaliana (AT5G05660) UniProtKB/Swiss-Prot;Acc:Q9FFK8] MNTTANYHPPPSSQPPFSDSDLDSDSDSENFQVGSDLSNSIFKTYLEFSSSSSSSSSSSITAVDLSKIQSFLTSSSSGALSCLICLERIRPSDPTWSCSSLCFALFHLLCIQSWARQSSDLSAARAAARLPITAETAAKQASWNCPKCRSSYSKSEIPRCYLCFCGKLRDPPSDNPWILPHSCGEICNRPLPNNCGHFCLLLCHPGPCPSCPKSVKARCFCGSVEDFRRCGFKNFSCNKLCKKRLDCNKHNCSEICHPGTCPPCRARETYRCRCGKKEEEKDCCDRDYRCENECKKLLNCGKHVCERGCHGGDCGECPLQGNRTCPCGKRIYEGMPCDGVAPVCGATCNKLLNCGFHRCPERCHKGPCVETCRTMVKKACRCGGLKKEVPCYQDLSCERKCLRMRDCGRHACKRRCCDGDCPPCSEVCDKRLRCKNHKCPAPCHRGACAPCPIMVTISCACGETHFEVPCGTEMDHKPPKCRKLCKITPLCRHASTSKPHRCHYGACPACRAPCEEEYPCGHKCNLRCHGPRPPPNPEFTLKPKKKKSNHQTECTPGTPCPPCPELVWRPCVGEHFGAERMMVCSNTTRFSCDNLCGNLLPCGNHYCTKTCHPLETQPSSSGHQKRSESCEVCNLPCQKVRMPKCSHPCPLPCHPGECPPCKVLMKRSCHCGAMVHAFECIHYNNLSEKDQVAARSCGGPCHRKLPNCKHLCPEICHVDKCPAPDKCSKKVTVRCKCQTLKKEWICQDVQAAYRDTGNDPKDIPKNQFGLGLLPCNSDCKRKIQEVESALQLRKPKVLEKKEPENEKHGPKRRKRRDRIQEGKQVSRFQEFVATMKRLLLFIIIVAALIAVTYYSYKGLLQLSDWMNEVELQRAKRRRSRF >KJB67573 pep chromosome:Graimondii2_0_v6:10:55873250:55879093:-1 gene:B456_010G197800 transcript:KJB67573 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X1-type zinc finger protein NFXL2 [Source:Projected from Arabidopsis thaliana (AT5G05660) UniProtKB/Swiss-Prot;Acc:Q9FFK8] MNTTANYHPPPSSQPPFSDSDLDSDSDSENFQVGSDLSNSIFKTYLEFSSSSSSSSSSSITAVDLSKIQSFLTSSSSGALSCLICLERIRPSDPTWSCSSLCFALFHLLCIQSWARQSSDLSAARAAARLPITAETAAKQASWNCPKCRSSYSKSEIPRCYLCFCGKLRDPPSDNPWILPHSCGEICNRPLPNNCGHFCLLLCHPGPCPSCPKSVKARCFCGSVEDFRRCGFKNFSCNKLCKKRLDCNKHNCSEICHPGTCPPCRARETYRCRCGKKEEEKDCCDRDYRCENECKKLLNCGKHVCERGCHGGDCGECPLQGNRTCPCGKRIYEGMPCDGVAPVCGATCNKLLNCGFHRCPERCHKGPCVETCRTMVKKACRCGGLKKEVPCYQDLSCERKCLRMRDCGRHACKRRCCDGDCPPCSEVCDKRLRCKNHKCPAPCHRGACAPCPIMVTISCACGETHFEVPCGTEMDHKPPKCRKLCKITPLCRHASTSKPHRCHYGACPACRAPCEEEYPCGHKCNLRCHGPRPPPNPEFTLKPKKKKSNHQTECTPGTPCPPCPELVWRPCVGEHFGAERMMVCSNTTRFSCDNLCGNLLPCGNHYCTKTCHPLETQPSSSGHQKRSESCEVCNLPCQKVRMPKCSHPCPLPCHPGECPPCKVLMKRSCHCGAMVHAFECIHYNNLSEKDQVAARSCGGPCHRKLPNCKHLCPEICHVDKCPAPDKCSKKVTVRCKCQTLKKEWICQDVQAAYRDTGNDPKDIPKNQFGLGLLPCNSDCKRKIQEVESALQLRKPKVLEKKEPENEKHGPKRRKRRDRIQEGKQVSRFQV >KJB64130 pep chromosome:Graimondii2_0_v6:10:3106563:3107388:1 gene:B456_010G034100 transcript:KJB64130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLKNMNKAILFIAMFGLVLGILARSCIEGLLKHVEEKNGSYFGRQFVMRVQQGFVTLTVKFLNFFISRCLQFRYLPAVFPAPSIMSLSFWVAFTRSISSMPDNPLQ >KJB65482 pep chromosome:Graimondii2_0_v6:10:16431787:16433146:1 gene:B456_010G096800 transcript:KJB65482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAPVTTKCNLKEVNRGAWTAEEDQKLAQVIDLHGPKRWKSIAAKAGLKRSGKSCRLRWMNYLRPNIKKGNISDQEEDLILRLHKLLGNRWSLIAGRLPGRTDNQIKNYWNSHLSKKIKLNENRNKGSEIQEPVLDNSKGNETVFVPKGSEEGTSKRDDDYNSTPCLFGDTMSDFHSPEALNWEWMSQFFEINESWDYFAYDII >KJB63486 pep chromosome:Graimondii2_0_v6:10:160456:163355:-1 gene:B456_010G003600 transcript:KJB63486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAIMHSTMLLLLSGLLLAIFKTTGAEVGVCYGMRANNLPPAAEVIDLFKQKGIKRMRLYDPNPDALQALGGTNIELLLDLPSANLESVAASQANADQWVEDNIKKYNTVNFRYIAVGNEVKPTDSFAQSLFPAMQNIRTAIVNAGLGDQIKVSTATFFDAIDKSSFFPPSKGSLDPEYQKLLGQVITFLRDNQAPLLVNTYPYFSHIGDPEHVPLDYALFTAPSAVVQDGSLQYQYLFDAMLDTFYSALEKAGGGSLDIVVSETGWPSDGGQATSVDNAMTYNTKLVQHVNQGKGTPKKPEKAIVAYLFAMFDENEKEPAFEKHWGLFFPNKQEKYSISFN >KJB63760 pep chromosome:Graimondii2_0_v6:10:1103552:1105532:-1 gene:B456_010G014600 transcript:KJB63760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFHSVTAIPTVAIIAFPLLFLFSFLWISRRNKNSKKTAPEAGGAWPIIGHLRLLGGPQPPHISLANMADKYGRMFAIKLGVHRALVVSDWEIAKECLTTNDKAFASRPKLASSELLAYNRAMFGFAPYGPYWRHMRKIATIELLSNHRLELLKHVRESEVKTSLQQLYQLWNKKRIANSDKVLVEMKGWFKEVTLNVIMRMIVGKRIPNSSEGVEQLKWKKSMDDFIVLSGKFLISDALPFLRWLDICGDMKCMKKTGKELDQVVQGWLREHKQKRAENKANGEEDFMGVMLSILSDREEHHADTINKATCLSLVLAAEDSTSITLTWVLSLLLNNRDKLSKVQQELNVHVGKDRLLVTESDTKNLVYLQSIIKETLRLYPPAPLSVIHEAMEDCTVNGYHVSAGTWLIMNLYKIHHDPLIWANPFEFQPERFMTTHKDIDVRGQNFELIPFGSGRRMCPGVSFALQILLFTLANVLHWFEFETPSNEAVDMSEALGLTNSKATPLEVHITPRLPVFVYDTTN >KJB68595 pep chromosome:Graimondii2_0_v6:10:61918588:61922570:1 gene:B456_010G253500 transcript:KJB68595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNNLVFAFFLVIILVISLTNAQTCLETGNNFTTNSPFARNRNLILTSLPANVSKDGGFFNTSIGEDGPNRVYAQALCRGDLSGEDCLVYVNFTAHQLLSSCKNQTEAFSWDGDIPCLVRYSDKSLFGVLALEPIQEAINPNAITDVASNLTLFFELWGELMEAVAKKASMGSSKLKYATGVAEEIQALMQCTPDLFESNCLTCLRTLIRRYTECCRTYQGGYVETPSCRMRWDLYTFFSPTADTVRLSLSSPPPDSIDNPLATIKDKDNGLELESLLFQLKSVIKATNNFSHDNMLGQGGFGAVYKGRFDDGQEIAVKRLFNESGEGDDEFKNEVMLMARLQHRNLVRLKGFCLERKERLLIFEFVPNSSLDHFLFDPNKRLLIDWDTRYKIITGIARGLLYLHEDSRYRIIHRDLKASNILLDEEMNPKISDFGMARLFKADQTRDNTRRVAGTFGYMAPEYIKRGKLSLKSDVYSFGVLILEIISGDKISHFHNNGADLLTYAWRNWREGTSLNLVDEYLRGNRGSRSEMTRCIHIGLLCVQANDETRPSMNSVLLMLSDTSISMLMPSAPAFMNPNSAIIVQSETPASASPNDNNSDRFTRNEVTVSTLVPR >KJB63882 pep chromosome:Graimondii2_0_v6:10:1721663:1723030:-1 gene:B456_010G021700 transcript:KJB63882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSVRIISECYVTPQHVSDQSKQPYYLTTWDLAMLSVQYIQKGLLYAKPEGNCEENLINNVLGRLKQSLSIALVHFYPLAGRLATKIEENPKSHFVFVDCNNSPGAKFIHAAVDLSVSDIVSPTYVPLVVQSFFDHDRAINYDGHTRPLLSIQVTELVDGVFIGCSMNHAIGDGTSFWHFFNTLSEIFQAQGDTNLKISRPPVLEKWFPEGHGPLLNLPFTNQDELISRFEAPELLERIFHFSAKSIAKLKERANTESNTTKISSFQSLSAFVWRSITKARRFPNETVTGCRLAINNRSRLEPALSPDYFGNSIQTVRAVTTAGELLNHGLGWAAWKLHQAVVNHTDKQVRGFVNGWLDSPFIYQIAQLFDPQSVMMGSSPRFNKYGNEFGLGKALTLRSGYAHKFDGKVSAYPGHEGGGSIDLEICLPPSSMKALELDEEFMSVVSCGGIGI >KJB63881 pep chromosome:Graimondii2_0_v6:10:1700309:1703057:1 gene:B456_010G021600 transcript:KJB63881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADITKHLYVYCWVSFCVLFMFIKLAKSDNYIVHMDISAMPKAFSNQHTWYLATLSSVSAKSKAETNPTTPFSKLIYTYNHVIQGFSASLTPAELESLKNSPGYVSSVKDRVVKVDTTHSFEFLDLNSGTGAWPVSSFGKDVIVGVIDTGVWPESESFNDDGMSDVPSRWKGECESGTQFNSSLCNKKLIGARFFNKGLIAHNSNITISMNSTRDTEGHGTHTSTTVAGTYVKDASYFGYAPGTARGMAPMARVAMYKALWEEGAYTTDIIAAIDQAITDGVDVLSMSLGLDGVDLYEDPIAIATFAAIEKNIFVSTSAGNEGPDVETLHNGTPWVITVAAGTMDRDFGATLILSNKVSINGLAQYPGNFTSTEFPIVFMDTCSNKTELSKIKQKIIVCQDPGKEDSLDDQFNNILAAGNIAAVFITNSSSVDVFVQSPFPAIFLVQKDGDTVVDFIKSNTDPKASIVFKKTILGIKPSPRVTSYTSRGPSYSCPLVLKPDIMAPGDSVLAAWPPNIAAARVNQDLVFTNFNLLSGTSMACPHVSGIAALLKAVYPNWSPAAIRSALMTTSDQIDNTGSPIKDIGGNLRPADPLAMGAGHVNPNKALNPGLIYDATVQDYVDLLCGLNFTQQQIKTITKTSSNNCSNPSLDLNYPSFIAFFNDRGAKPNSTTVVEFGRTVTNVGDGSFTYKANVTPINGLKVIVEPDTLVFKTKYEKKSYKLSIEGPKQLHKAVLFGYLTWEDSGKEHVVTSPIVATSYKIEK >KJB66140 pep chromosome:Graimondii2_0_v6:10:29138388:29138678:-1 gene:B456_010G132200 transcript:KJB66140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKKTKGKQKIEIKIIENEDDRLITFSKQHIGIYKKISELSTLCGGEFFIIFSPTGKPYSFGHPSVELSLNAFLTQANLLMKPLMLLLRLTVR >KJB64586 pep chromosome:Graimondii2_0_v6:10:6415489:6415929:-1 gene:B456_010G056200 transcript:KJB64586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSQENPIFMQAEVEDGGVWGKGCGCFNLFCLKRRRSYNHIDESDTLLHQRGEQHTEEWWKCKLNKLKQFSEKVAGPKWKNFMRKMGGYCDKRKDQKNRFQYDPCSYALNFDEGDDKEADDLLRGFSSRFTAPFEHDRQRVPSGL >KJB65798 pep chromosome:Graimondii2_0_v6:10:21722086:21724073:-1 gene:B456_010G113400 transcript:KJB65798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSTLSLFPFSVPKFLHIKASREGESRCYFTLNQGFNRSNKLSRYIVIRSQLAGTGILDATNPLSEIKPSPKFRGVCFYGMTVMAGVLPFGILIVAHPLALIFDPYKTKFYNLIPKLWATASIAPFFDIELEGLENLPPQNAPAVYVSNHQSMIDFYPLLTLGRSFKFIGKNTVFLYPGIGWAMYILGMIPVKRMDIRSHLEAVRRCMGLVRNGASVFFFPEGTRSKDGKLGDFKKGAFTVAAKTGVPVIPMTLIGTGEIMPAGMEHVVNSGSVKLIIHKPIKGNDPEILRQLARNTILDTLSIEAQRKKRLS >KJB65799 pep chromosome:Graimondii2_0_v6:10:21722576:21723950:-1 gene:B456_010G113400 transcript:KJB65799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSTLSLFPFCNFLTLYTLFHLPNPISSLCKTVPKFLHIKASREGESRCYFTLNQGFNRSNKLSRYIVIRSQLAGTGILDATNPLSEIKPSPKFRGVCFYGMTVMAGVLPFGILIVAHPLALIFDPYKTKFYNLIPKLWATASIAPFFDIELEGLENLPPQNAPAVYVSNHQSMIDFYPLLTLGRSFKFIGKNTVFLYPGIGWAMYILGMIPVKRMDIRSHLEAVRRCMGLVRNGASVFFFPEGTRSKDGKLGDFKKGAFTVAAKTGVPVIPMTLIGTGEIMPAGMEHVVNSGSVKLIIHKPIKGNDPEILRQLARNTILDTLSIEAQRKKRLS >KJB65054 pep chromosome:Graimondii2_0_v6:10:11405504:11406938:-1 gene:B456_010G078700 transcript:KJB65054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMFWLLAFILWQIIPTQAAITVGGGGVGINIGNAGGGGAGVWIGGGINNGPTPSSPSVSKLTTAYTALQAWKSAITDDPLGILKTWVGSDVCSYKGVFCAGPGPFVAGIDLNHANLQGSLVKELSVLTDISILHLNSNRFSGTVPDTFKDLSSLQELDISNNRFSGPFPAVTLYIPNLVYLDLRFNSFSGPVPEDLFNKRLDAIFLNNNQFDGELPQNLGNSPASVINLANNIFSGNIPASFGIASSKLKEILLFNNQLTGCIPQGIGLFSEMQVFDVSHNSLMGHLPDTVSCLSDIEVLNLAHNKLSGVLPDLVCSLGSLMNLSVAYNFFSGFSQECSKLSFRKGGFDFSLNCLPGRDMQRPQPECLVIPGGGLSCLRIPSARPLVCGALLGNLEVNVTSTSP >KJB64509 pep chromosome:Graimondii2_0_v6:10:6351047:6351379:1 gene:B456_010G055400 transcript:KJB64509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEMMLRCVFEGSISMQDCLIERRPYHRNCQCALHNLKGVCLSTCTSRTTNMSFPKKQTWGDCSLCLSASKFSAQSPLLPDASFTNTIQNIDAAPVLSETEAQHSQVYR >KJB65714 pep chromosome:Graimondii2_0_v6:10:20805361:20810752:1 gene:B456_010G110000 transcript:KJB65714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDIKVSTPSVGLGSSDGAQRIRPVHGRTSGPTRRSTKGQWTAEEDDILSKAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEQEDELIIELVNKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPSINREAWTQEEELALVRAHQIFGNRWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYIASGLLEQLQFPVLANQSQPMPSSSLRMQSTVDDSGAKCRKESEDISECSQESNMIGCSQSASDLATAAVHTKEQFHLTEMPGVSKERNSSSAPCSEEYYPSFEDVNFSIPEIPCEVGYSSSGDYQFGEHMLITDDECCRVLFSEAVNDGCFASENFTQGSNIVELGGCTNTSLCQPSDIQPSETGKTPASQSGLPSRSEVLPTSCCQSFASPSLLSVEDGTLMYGQEQSQLNCQPFGTQEQEFTMNAHDGFIFTNDDHTNDTDLQEQTYLAKDSQKLVSVNSIGSELSAMLTCPIADDKRNLPVEQDVRGLCYEPPRFPSLDVPFFSCDLVPSGGNMQQEYSPLGIRQLMMSSMNCISPFRLWDSPSRDGSPDAVLKSAAKTFTGTPSILKKRHRDLLSPLSERRREKKLEIDMTSSLTKDFSRLDVMFDESVTGNTSQVSPSKRKTNARASIEEKENVCQEFHGSLDNGGDHTEPLDDEAQKKDSNGTNSPGNIKKEAWGIDIKDKTDAHASEKIIQQPSAVLIEHNVNDLLLFSPDRVGLKADQPLLPSSIRTPRNQCHKSFRAISNQGLSGNACLIVSSPTLKVKNSDGHSISVTAVQCTNSPATLENLADNAGIDTAIENYNIFGGTPFRRSIESPSAWKSPWFINSFIPGPRIDTEITIEDMGYVMSPAERSYDAIGLIKQLSEHTAAAYADALEVLGNETPKSIVKGRLSNNRNMDKENNGVENSSHLTSNILAERRILDFSECETPRKETENGKSSTTAATVSFSGPSSYLLKGCR >KJB65713 pep chromosome:Graimondii2_0_v6:10:20805182:20810817:1 gene:B456_010G110000 transcript:KJB65713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDIKVSTPSVGLGSSDGAQRIRPVHGRTSGPTRRSTKGQWTAEEDDILSKAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDELIIELVNKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPSINREAWTQEEELALVRAHQIFGNRWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYIASGLLEQLQFPVLANQSQPMPSSSLRMQSTVDDSGAKCRKESEDISECSQESNMIGCSQSASDLATAAVHTKEQFHLTEMPGVSKERNSSSAPCSEEYYPSFEDVNFSIPEIPCEVGYSSSGDYQFGEHMLITDDECCRVLFSEAVNDGCFASENFTQGSNIVELGGCTNTSLCQPSDIQPSETGKTPASQSGLPSRSEVLPTSCCQSFASPSLLSVEDGTLMYGQEQSQLNCQPFGTQEQEFTMNAHDGFIFTNDDHTNDTDLQEQTYLAKDSQKLVSVNSIGSELSAMLTCPIADDKRNLPVEQDVRGLCYEPPRFPSLDVPFFSCDLVPSGGNMQQEYSPLGIRQLMMSSMNCISPFRLWDSPSRDGSPDAVLKSAAKTFTGTPSILKKRHRDLLSPLSERRREKKLEIDMTSSLTKDFSRLDVMFDESVTGNTSQVSPSKRKTNARASIEEKENVCQEFHGSLDNGGDHTEPLDDEAQKKDSNGTNSPGNIKKEAWGIDIKDKTDAHASEKIIQQPSAVLIEHNVNDLLLFSPDRVGLKADQPLLPSSIRTPRNQCHKSFRAISNQGLSGNACLIVSSPTLKVKNSDGHSISVTAVQCTNSPATLENLADNAGIDTAIENYNIFGGTPFRRSIESPSAWKSPWFINSFIPGPRIDTEITIEDMGYVMSPAERSYDAIGLIKQLSEHTAAAYADALEVLGNETPKSIVKGRLSNNRNMDKENNGVENSSHLTSNILAERRILDFSECETPRKETENGKSSTTAATVSFSGPSSYLLKGCR >KJB66905 pep chromosome:Graimondii2_0_v6:10:47567731:47568857:-1 gene:B456_010G164200 transcript:KJB66905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTQMKPAAALLLFLNFCMYVIVLGIGAWSMNRAIDYGFIIGPGFKLPAHFSPIYFPMGNAATGFFVTFAMLAGVVGVASAIAGINHIRSWNASSLPSAASIAGVAWTFTLLAMGFACKEIELEIRNARLRTMEAFLIILTVTQLVYIAAIHGGA >KJB63288 pep chromosome:Graimondii2_0_v6:10:20171293:20171382:1 gene:B456_010G109000 transcript:KJB63288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWSKAPDSSSGPRERAWVQIPLLTILI >KJB65523 pep chromosome:Graimondii2_0_v6:10:20910065:20911116:-1 gene:B456_010G110700 transcript:KJB65523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAILRHEALSLRHHSLLGSRRNPNPNMIMPKSNHEFSRTRNPVGFKSINKRQSESMVTKPPGKNMVMGQVKILKRGEPLVAGTEKTDRRCSDGSRGDYEVDLSLGSTNRLGPDPETMQKQIKLKEFKIGSGFYAGSSSLVSPPPSLVPVPEFLGRTARVCP >KJB68238 pep chromosome:Graimondii2_0_v6:10:60447401:60455787:-1 gene:B456_010G233800 transcript:KJB68238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIISSALEEICSKGRDGVALSSLCSKLGLSVEIRRALWANLLEIPAVQFQKRDRVYDHNDPLVRRFEDAEKLDLIIVAKDKLCDNFLGVYDFSFGDGEKEALLISGIKRDLGYFGSRNARHEWKKIYGRLINAGLVEETTVKVNGKDEPCLHLLKKFCPLDYERNTTRCVEGKEFKFGRCQIANQLVELPIDHQIYDTIDATGSKGVLITEVGKRFGINKKTNHRNCFSVSSRFGMPMQMELHNKSHEYRIRTSRNSESSNPIPRKKSSLGGSPSILDGSARISHLWNFESDTLWKTNNHENEIKLSSSSPRDSEASYSISNTCKPQELIHETRSTFSSTAIHSMKKLKLYQFSTVDSTRREQRILERLQVEKIVLRSELYKLLVNLEKDKGTTMGRRTVDKMLYKLENEGHCKCIHLDLNGIMNTNFNRKVKVVLHPSILSLSSEVIGIIRNKLKSFHKRTHDPFKNKNSNSVHLFDYAQRTQTRYSSNSLTLRMEAMRANGYIRGKMVRARLLHGFLWDYACSLSARDDVLSYGRQDHDLHNLCVTFDVEGAIKGIPLELFFQVVGSSVIVEKGVYLRDLSNEEYNELYDTSAIRRLSLLVSILQRLKLIRRVNSGSSDDGVTSLHASPVYSLELKPYIEEPTLLIACSNFGSLDLCPDRHVMDEMIRHEFVLSNRDAIDEYWQFLEYTYAGIDLKDASHAFPGSTVHEIFGYSSWASVRRMTVGQKAAVLKLLAKVKFNEKLPYKKCKEISKNLNLTMEQQNLPRSAGSDEDFIGEENSTLAFPREHESQLQEQQEEDHSKDSERPGANIDKYHSPNNDSAFSKLKSAHQRSFKWTKNADSKQPEGTAMEKIKFALEEVLQSRQEPIEEAPKTANVCGSQFPPHTGSSAARFSRWLDEHEKDFMRGGLDLTLDLHCGGTFHLFALVSSGELFISPSVPVEGVGEAEFIKGLSTPFVDDSFDNRREKGFPGIKLPVHRAPTPRAVSLGSSFKNGEHFGNINHLGNNSGILEVESDSSHSETIKENLNFEHTVPTLKDSCKSPSELMVDHAAHLKMLKKIISKVLRIVMQNPGIREDDIIHKMDLPMSNSQKQHCKKLLKLMVRNKCLIVKKKLQTKSTGVPAFLGTLIGGNLTKSELTYGEHFFANPKSIFLL >KJB68239 pep chromosome:Graimondii2_0_v6:10:60448073:60455787:-1 gene:B456_010G233800 transcript:KJB68239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIISSALEEICSKGRDGVALSSLCSKLGLSVEIRRALWANLLEIPAVQFQKRDRVYDHNDPLVRRFEDAEKLDLIIVAKDKLCDNFLGVYDFSFGDGEKEALLISGIKRDLGYFGSRNARHEWKKIYGRLINAGLVEETTVKVNGKDEPCLHLLKKFCPLDYERNTTRCVEGKEFKFGRCQIANQLVELPIDHQIYDTIDATGSKGVLITEVGKRFGINKKTNHRNCFSVSSRFGMPMQMELHNKSHEYRIRTSRNSESSNPIPRKKSSLGGSPSILDGSARISHLWNFESDTLWKTNNHENEIKLSSSSPRDSEASYSISNTCKPQELIHETRSTFSSTAIHSMKKLKLYQFSTVDSTRREQRILERLQVEKIVLRSELYKLLVNLEKDKGTTMGRRTVDKMLYKLENEGHCKCIHLDLNGIMNTNFNRKVKVVLHPSILSLSSEVIGIIRNKLKSFHKRTHDPFKNKNSNSVHLFDYAQRTQTRYSSNSLTLRMEAMRANGYIRGKMVRARLLHGFLWDYACSLSARDDVLSYGRQDHDLHNLCVTFDVEGAIKGIPLELFFQVVGSSVIVEKGVYLRDLSNEEYNELYDTSAIRRLSLLVSILQRLKLIRRVNSGSSDDGVTSLHASPVYSLELKPYIEEPTLLIACSNFGSLDLCPDRHVMDEMIRHEFVLSNRDAIDEYWQFLEYTYAGIDLKDASHAFPGSTVHEIFGYSSWASVRRMTVGQKAAVLKLLAKVKFNEKLPYKKCKEISKNLNLTMEQQNLPRSAGSDEDFIGEENSTLAFPREHESQLQEQQEEDHSKDSERPGANIDKYHSPNNDSAFSKLKSAHQRSFKWTKNADSKQPEGTAMEKIKFALEEVLQSRQEPIEEAPKTANVCGSQFPPHTGSSAARFSRWLDEHEKDFMRGGLDLTLDLHCGGTFHLFALVSSGELFISPSVPVEGVGEAEFIKGLSTPFVDDSFDNRREKGFPGIKLPVHRAPTPRAVSLGSSFKNGEHFGNINHLGNNSGILEVESDSSHSETIKENLNFEHTVPTLKDSCKSPSELMVDHAAHLKMLKKIISKVLRIVMQNPGIREDDIIHKMDLPMSNSQVSI >KJB67531 pep chromosome:Graimondii2_0_v6:10:55636048:55639852:1 gene:B456_010G195800 transcript:KJB67531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPDSLPDVTPHKSKPDPEHPKPFNTDDIDEEEEEDSDENDVEYDDEDAKGEVFGEPNRLKPQSREAQFRSEKLKMDSLLQRMQSGPVSVRVHDVIIKGNTKTKDHVIEAETEVLNNVGSMQELLKASQIVNLRLQALEIFDSVKITLDSGPPELPGTANVIIEVVETASPLSGQIGAYTKAEARSSTVEGSLKYKNFFGYGDLWDGSLAYGYDHSAELSAGVFLPRLKGLVTPVTARAYLLSQDWLKFSSYKERSMGLSLGLFSTPYHDLAYNLAWRTLTDPSQMSSRSIRRQLGHNLFSSLKYTFKFDRRNSTMRPTRGYAFVSTTQIGGLTPDSRSLRFLRQEFDLRYVVPLGFYHAALNFGVSGGVVFPWGNGFSSRPSSLPERFFLGGNISPVCALGGPTALWGFKTRGLGPTEPKRQVNNENADPSGVDFLGGDLAVTALADLSFDLPFRWFREKGIHAHVFACAGNVAKLTENEYRNISVLKFVESLRSSVGVGLVVPTSLFRMELNYCYILKKLDHDRAKTGVWLTFSAAS >KJB67711 pep chromosome:Graimondii2_0_v6:10:57132150:57132783:1 gene:B456_010G205500 transcript:KJB67711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRADKIRIQKWYTVYKDHITLKDYEIHDGMGLELYYN >KJB67337 pep chromosome:Graimondii2_0_v6:10:53754380:53755423:-1 gene:B456_010G186500 transcript:KJB67337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGINKFIKLWLCIIISLCYCYSIGRTKKTPKGIVRLLCLLPVMCLFLAIPLNISSIHFAGTTAFSISWLANFKLLLFAFGKGPLSSPSLSLPRFIAIACFPIKIQQHPSHLNVYQTPTNYAIKVLLFALLLSVYDYTHHIHPTILLVLYCFHVYFCLEIILVTVATLTRALLGLELEKQFNEPYLSTSLQDFWGKRWNLMATSVLRPTAYEPVRNTAARFIGRKRALLPAVFGTFVVSGIMHELIFYYLGRVWPTWEVMRFFLLHGVCVTAEIVLKNAFADKWPLPREVSTPLTVGFVMITGNWLFFPPLLRCGAKERALEEYAVLGAFIKNVTTLTFSSFKSH >KJB65641 pep chromosome:Graimondii2_0_v6:10:19045536:19047016:1 gene:B456_010G1044002 transcript:KJB65641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRKEFPTKGLEGAPSNDIGWHFGTPVPNARGNIVCKLCGKVVKGGIIRFKEHIALKTGNVAPCPNVTGVIRESMMNILKESNTKKIDKKRRKDEFLSQLRGEEDEHEEFIDEVSAIRQATRESIQSQHEWHRREEFRRSTGGWDNIYEEGRSSHGSAREYHKERTSKSIPSEFEFTLRGVIPELVRSKSSKQPKVSDSFLKSFRRKIGEAVSKFLIYERLPFQLASSPWLYNLIQVSTEVGQGVMLPTPYEVSDVYLESEYQRVRDWVNGLKTHWKDLGATLMCDGWINSLNQMHIINFLVYCSKGTIFWKSVDVSSVRSRDAEFYYCLLDSVVEEIGENYIVQIVTNNEAAMEAAGKKINPSVAKVLDEAKKVTCFIYNHIWTVDLIKKYTQGKQILRPALTRFATHFIQLKEITRQKQGLREMFNSK >KJB66216 pep chromosome:Graimondii2_0_v6:10:29660809:29661129:1 gene:B456_010G133500 transcript:KJB66216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGYFPEKSQNMVFMGGLRKYASITQIVILVDEIFSDYWLYSPIFAIIVSSTTRLIAFYIIRIYLLTFEEYLDIHFQKYSGKKIVFSIQ >KJB65717 pep chromosome:Graimondii2_0_v6:10:20816756:20821027:-1 gene:B456_010G110200 transcript:KJB65717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTYDYEEAPATYDEGRHQDLGYDPNFVPDSVRSFVVHLYRHIREKNVYEIHQMYEISFQTLSDRLFKDTPWPSVDAVSHYVDNDQVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCSLFQVVLHRVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLRQYDQAWNVYGVLNYLQAFVEKSNIIQILEQEKEGLEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYQTGLKCLLPIDISQQGVYTSVIGSHIATIYHYGFANLMLRRYVEAIREFNKMLLYIYKTKQYHQKSPQYEQILKKNEQMYALLAICLSLCPQVKLVDETVNSQLREKYGEKMARMQRYDDEAFVIYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEVKQQQLLSGVRTFLKVYSTISLWKLANYMEVDEPTLRTILLTYKHKTHAVDSDGKIISNADVDFYIDDDMIHVVESMPVKRYGDYFLRQIVKLEGVINDMDRIKLE >KJB65718 pep chromosome:Graimondii2_0_v6:10:20816821:20820963:-1 gene:B456_010G110200 transcript:KJB65718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTYDYEEAPATYDEGRHQDLGYDPNFVPDSVRSFVVHLYRHIREKNVYEIHQMYEISFQTLSDRLFKDTPWPSVDAVSHYVDNDQVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCSLFQVVLHRVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLRQYDQAWNVYGVLNYLQAFVEKSNIIQILEQEKEGLEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYQTGLKCLLPIDISQQGVYTSVIGSHIATIYHYGFANLMLRRYVEAIREFNKMLLYIYKTKQYHQKSPQYEQILKKNEQMYALLAICLSLCPQVKLVDETVNSQLREKYGEKMARMQRYDDEAFVIYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEVKQQQLLSGVRTFLKVYSTISLWKLANYMEVDEPTLRTILLTYKHKTHAVDSDGKIISNADVDFYIDDVGHDSCC >KJB68425 pep chromosome:Graimondii2_0_v6:10:61382046:61384904:-1 gene:B456_010G244700 transcript:KJB68425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLRSSSYTSPKPCKHLADYKLRHAFNGYDSLQNCLKTTPIGRTRVDNHNTKIPRCNFCNGYQGRLYICLMCSSISCSTHILLHTQSKSGHDVAIDIERSELYCCLCCDQVYDPDFDKVVVSEQIKGLCPGRSNKRRRLDSGMELDLKKSKRLIAMRDGRAKSCYPLGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLSDHHNRDECKRRYGEKLCLLCEIGALVSAMFSGDRSPYSPAQFLYSWWQHSSNLASYEEQDAHEFFISVLDGIHEKESKVRNSSKDDGDCQCIAHRAFSGSLRSDVTCTICGFTSTTYDPCVDISLNLDTVNLSAAEKTGLSTLSGCLNLFTRAERLGSDSKLHCQNCQELRDTSKQLSISRLPLVLCLHIKRFEHSLIRKTSRKIDQYLQFPFALDMTPYLSSSIVRSRFGNRIFAFECENSDSSAEYEIFAVIAHSGMLESGHYVTYLHVNNQWYKCDDAWICEVDEGIVRASQCYMLFYVQKLLYYKANKDLSCNASVESR >KJB65051 pep chromosome:Graimondii2_0_v6:10:11365853:11368759:1 gene:B456_010G078300 transcript:KJB65051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFNLVFAVSLLLLLLDFCRGSIVGVCYGRNADDLPTPDKVVKLVQLHKIKYLRIYDSNIQVLKAFANTGVELMVGVPNSDLLAFSQFQSNVDSWLKNSILPYYPATKIAYITVGLEVTESPDNATALVVPAMQNVLTALKKVGLHKRIKVSSTHSLGVLSRSFPPSAGAFNSSHAFFLKPMLEFLAENHSPFMIDLYPYYAYRDSPSNVSLDYALFESSSEVIDPNTGLLYTNMFDAQIDALYFALMALNFRTTQVMVTETGWPSKGSPKEKAATPDNAQTYNANLIHHVINDSGTPAKPGEELDVYIFSLFNENRKPGPESERNWGLFYPDQTSVYNLDFTGNGIVDVTNSGNGTNSNVTTWCIASSKASEADLQNALDWACGPGNVDCSPIQPSQPCFEPDNTLSHASFAFNSYYQQNGATDVACSFGGNGVKVDKDPSYDNCIYVTTKGINKTATSNMTSIASTSSSRRTEVCAWIASFFLMMLVSFVLNPEKVLDASIS >KJB64901 pep chromosome:Graimondii2_0_v6:10:10019712:10022077:-1 gene:B456_010G071400 transcript:KJB64901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANEMESQQQKAAGEDDFPLTSTAGLNKFTLLCALLASTNSILLGYDIGVMSGAVLFIKENLKITSVQVEILVGSLNVLSLVGSLASGKTSDYIGRRYTIVLAAATFLVGALLMGFAPSFPLLLAGRIVAGIGVGYSLMIAPLYTAEISPAMKRGFLTSLPEVFIVSGILLGYIVNFSLSGLPQNLNWRLMLGLAAVPAIAIGIGVTAMPESPRWLVMKGRTHEAKRVLIKVSDTVQEAEFRLSEITKAAAMDLGEATSSNHSDWRGQGVWKELLLNPSKPVCRIIIAAIGINFFMQASGNDAVIYYCPEVFKDAGIQDKRQLFGVNVIMGVAKATFVLISAVYLDRFGRRPLLLLGSIGMAVSLAVLGMGSKFLQHSETKPLWAIIVCIIAVCADVSFFSIGLGPITWVYSSEIFPLRLRAQGTGLAISVNRLVSGVMSMTFLTISEKITFGGMFFVLSGIMVVATLFFYFFLPETKNKSLEEVWALFEDKDTTDDDQRGMQMREM >KJB64975 pep chromosome:Graimondii2_0_v6:10:10555941:10557091:1 gene:B456_010G074200 transcript:KJB64975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCLIFSASLSFSLCMDETFLLSNSTFISSCNNRDKHELEVDFKRFWEEFRSSNSEKLLSKLRVFRADETPLEVPPREVINFGDQAVVEFMADLVAKRDTKAAPPKNEKGFWFRICSICWPFRTANTDDKMCVCVFFMPVPLLCKLFVRLVSFSAKFKILIACKCFQIQVK >KJB64976 pep chromosome:Graimondii2_0_v6:10:10556101:10557091:1 gene:B456_010G074200 transcript:KJB64976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILDFVFLILHRLLSKLRVFRADETPLEVPPREVINFGDQAVVEFMADLVAKRDTKAAPPKNEKGFWFRICSICWPFRTANTDDKMCVCVFFMPVPLLCKLFVRLVSFSAKFKILIACKCFQIQVK >KJB67056 pep chromosome:Graimondii2_0_v6:10:50088759:50089589:-1 gene:B456_010G172000 transcript:KJB67056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSTTVQCREIKKAVGGALELSKITGSHAYERYTGPQIRKIFETQQEIYENNERISLVSSFIACLFSGAYACIDTTDSAGINLMDIKQKAWSKAALEATVPGLEEKLGKLAPAHAAAGFIASYFVERLVTSFLLEVHFC >KJB67759 pep chromosome:Graimondii2_0_v6:10:57493765:57494247:1 gene:B456_010G208500 transcript:KJB67759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTKQDCKICFNEVRLERGSYSCRKPGCKYVVHVNCVLEDKKLFKVIEEEKQCEELEEKSMQSSIIGVIEVNEAGEATKIEHLSHQHCLVLADEMEEEIDRKCDGCMLPISNIFYYCSECPFFLHKTCVELPRIKQHWFFQGNATLNFDSFRWCNFCRRYCS >KJB65456 pep chromosome:Graimondii2_0_v6:10:16124227:16131536:-1 gene:B456_010G096400 transcript:KJB65456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G21930) UniProtKB/Swiss-Prot;Acc:B9DFX7] MAMAGDLLRLSVLNRPKLSFSNGAKLKADRFGLLKRCPRGRFHCRPRSTPGFVLFSSLETRLESEESSIQPVGQKLKDPSVLLDVNGMMCGGCVSRVKSVISSDERVESVVVNLLTETAAIKLKREVMERETVESVAESIAQRVSECGFMAKRRVSGIGIAENMRKWKEMLKKKEELLVKSRNRVAFAWTLVALCCGAHASHILHSLGIHFGHGSFLEVLHNSYVKGGLALTALLGPGRDLLVDGLLAFKKGSPNMNSLVGFGSIAAFIISAVSLLNPGLEWDASFFDEPVMLLGFVLLGRSLEEKARIRASSDMNELLSLISTRSRLVITSSDTDSSADSVLSSDAICIEVPSDDIRVGDSVLVLPGETIPVDGKVLTGRSVVDESMLTGESLPVFKEKGLTVSAGTINWDGPLRIGATSTGSNSTIAKIVRMVEDAQGQEAPVQRLADAIAGPFVYSIMTLSAATFAFWYYAGSHIFPDVLLNDIAGPDGDPLLLSLKLAVDVLVVSCPCALGLATPTAILVGTSLGARQGLLIRGGDVLERLANVDRIAFDKTGTLTEGKPTVSSVSSFTYDESEILQIAAAVERTAIHPIAQAIVKKAELLNLVLPETRGQLVEPGFGTLAEVNGRLVAVGKLEWVNERFQIKASPSDLMALEHAVMRQSSSPSNYSKTAIYVGREGEGVIGAIGMSDSLRFDAESTVSRLQRKGIKTILISGDREEAVATIAKTVGIEHEFVNASLTPQQKSRVISTLQTAGHHIAMVGDGINDAPSLALADVGIALQTEAQETAASDAASIILLGNRLSQVVDALDLAQATMAKVYQNLSWAVAYNIVAIPIAAGVLLPQYDLAMTPSFSGGLMALSSIFVVTNSLLLRLHGSEKSWKNSIAKISQMPAGPG >KJB65454 pep chromosome:Graimondii2_0_v6:10:16124688:16131536:-1 gene:B456_010G096400 transcript:KJB65454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G21930) UniProtKB/Swiss-Prot;Acc:B9DFX7] MAMAGDLLRLSVLNRPKLSFSNGAKLKADRFGLLKRCPRGRFHCRPRSTPGFVLFSSLETRLESEESSIQPVGQKLKDPSVLLDVNGMMCGGCVSRVKSVISSDERVESVVVNLLTETAAIKLKREVMERETVESVAESIAQRVSECGFMAKRRVSGIGIAENMRKWKEMLKKKEELLVKSRNRVAFAWTLVALCCGAHASHILHSLGIHFGHGSFLEVLHNSYVKGGLALTALLGPGRDLLVDGLLAFKKGSPNMNSLVGFGSIAAFIISAVSLLNPGLEWDASFFDEPVMLLGFVLLGRSLEEKARIRASSDMNELLSLISTRSRLVITSSDTDSSADSVLSSDAICIEVPSDDIRVGDSVLVLPGETIPVDGKVLTGRSVVDESMLTGESLPVFKEKGLTVSAGTINWDGPLRIGATSTGSNSTIAKIVRMVEDAQGQEAPVQRLADAIAGPFVYSIMTLSAATFAFWYYAGSHIFPDVLLNDIAGPDGDPLLLSLKLAVDVLVVSCPCALGLATPTAILVGTSLGARQGLLIRGGDVLERLANVDRIAFDKTGTLTEGKPTVSSVSSFTYDESEILQIAAAVERTAIHPIAQAIVKKAELLNLVLPETRGQLVEPGFGTLAEVNGRLVAVGKLEWVNERFQIKASPSDLMALEHAVMRQSSSPSNYSKTAIYVGREGEGVIGAIGMSDSLRFDAESTVSRLQRKGIKTILISGDREEAVATIAKTVGIEHEFVNASLTPQQKSRVISTLQTAGHHIAMVGDGINDAPSLALADVGIALQTEAQETAASDAASIILLGNRLSQVCSSLTKIVVSCNKLDELVGYKPFSKVVCAFV >KJB65455 pep chromosome:Graimondii2_0_v6:10:16123565:16131730:-1 gene:B456_010G096400 transcript:KJB65455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G21930) UniProtKB/Swiss-Prot;Acc:B9DFX7] MAMAGDLLRLSVLNRPKLSFSNGAKLKADRFGLLKRCPRGRFHCRPRSTPGFVLFSSLETRLESEESSIQPVGQKLKDPSVLLDVNGMMCGGCVSRVKSVISSDERVESVVVNLLTETAAIKLKREVMERETVESVAESIAQRVSECGFMAKRRVSGIGIAENMRKWKEMLKKKEELLVKSRNRVAFAWTLVALCCGAHASHILHSLGIHFGHGSFLEVLHNSYVKGGLALTALLGPGRDLLVDGLLAFKKGSPNMNSLVGFGSIAAFIISAVSLLNPGLEWDASFFDEPVMLLGFVLLGRSLEEKARIRASSDMNELLSLISTRSRLVITSSDTDSSADSVLSSDAICIEVPSDDIRVGDSVLVLPGETIPVDGKVLTGRSVVDESMLTGESLPVFKEKGLTVSAGTINWDGPLRIGATSTGSNSTIAKIVRMVEDAQGQEAPVQRLADAIAGPFVYSIMTLSAATFAFWYYAGSHIFPDVLLNDIAGPDGDPLLLSLKLAVDVLVVSCPCALGLATPTAILVGTSLGARQGLLIRGGDVLERLANVDRIAFDKTGTLTEGKPTVSSVSSFTYDESEILQIAAAVERTAIHPIAQAIVKKAELLNLVLPETRGQLVEPGFGTLAEVNGRLVAVGKLEWVNERFQIKASPSDLMALEHAVMRQSSSPSNYSKTAIYVGREGEGVIGAIGMSDSLRFDAESTVSRLQRKGIKTILISGDREEAVATIAKTVGIEHEFVNASLTPQQKSRVISTLQTAGHHIAMTEAQETAASDAASIILLGNRLSQVVDALDLAQATMAKVYQNLSWAVAYNIVAIPIAAGVLLPQYDLAMTPSFSGGLMALSSIFVVTNSLLLRLHGSEKSWKNSIAKISQMPAGPG >KJB64542 pep chromosome:Graimondii2_0_v6:10:6123562:6126982:-1 gene:B456_010G053400 transcript:KJB64542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYNVLQVDRNATEDDLKKAYRKLAMKWHPDKNPNNKKEAEANFKRISEAYEVLSDPQRRAIYNQHGEEGLKDVPPPGSSGPSYGNGTGGPNGFNPRNAEDIFAEFFGSSPFGFGSTGPGRSSRFQSEGGKFGGGFGCTDNNFRSYNDTTAPRKPPPVESKLPCTLEELYTGSTRKMKISRTIVNASGRQAQESEILTIDVKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDLYKRDGNDLIVNKRVSLAEALGGTTINLTTLDGRNLSLSVTDIINPGYELVVAREGMPIAKEPGNRGDLKIKFDVKFPTRLTPEQQAGLKRALGG >KJB64054 pep chromosome:Graimondii2_0_v6:10:2635187:2636425:1 gene:B456_010G030800 transcript:KJB64054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLLGNKKSDSLLSRDSTEGGRQGPSPTSIPIVKFHFSPFKNPEIFVFVPAVKHRSTLFEVIISFEYMFKELKWTGHSLSNKFLKQEDLNNLFVLTSFKRFNKLEPCKLVFVLNSD >KJB67514 pep chromosome:Graimondii2_0_v6:10:55406788:55409246:1 gene:B456_010G194600 transcript:KJB67514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFNKFSFIFLVIFLSLSANAEVQRHRFVIQATKVKRLCKTHNTITVNGMFPGPTLEIKNGDTLEVQVVNKARYNVTIHWHGVRQMRTGWADGPEFVTQCPIRPGGSYTYRFTVQGQEGTLWWHAHSSWLRATVYGALIIRPREGKSYPFPKPKRETPILLGEWWDTNPIDVVREAKRTGAAPNVSDAYTINAQPGALYKCSSKETTIVPIDSGETNLLRVINAALNQPLFFKVANHKLTVVGADASYTKPFTTSVLMLGPGQTTDVLIRGDQPPSRYYMAARAYQSAQNAPFDNTTTTAILEYKSASCAAKKCNAPTPIMPSLPAYNDTNTVTAISQSFRSREKAEVPTDIDESLFFTIGLGLNNCPPNFRKRRCQGPNGTRFTASMNNVSFVLPRNFSLLQAHQQGIPGVFTTDFPANPPLKFDYTGNVSRSLFQPVPGTKLYKLKYGSRVQIVLQDTSIVTPENHPIHLHGYDFYIIAEGFGNFDPKKDTSKFNLVDPPMRNTVGVPVNGWAVIRFVADNPGVWIMHCHLDVHISWGLAMAFLVENGVGELQTIQPPPPDLPIC >KJB66977 pep chromosome:Graimondii2_0_v6:10:48831626:48831982:1 gene:B456_010G168500 transcript:KJB66977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTKFKALRMQDLENIGEFYAKLFDLSNQAFTFDKEYSNTKLVRKVLRSLPERFSIRVVAIEEAKDLECLKIDKLIGSLQTFEMNMNEVKCSNTKCDCNKGTSRIYYFAYSKFQRSL >KJB65858 pep chromosome:Graimondii2_0_v6:10:22728243:22731183:1 gene:B456_010G116300 transcript:KJB65858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSCKNSSKFNGFSGCIKMVMVGTSAIMMQRRTLAMEASLCVKKSKKKGADSVTRPNSQISAAMAAVTPLLLLLLLSSAPSFSSGSRNAYNINGRVKIPQGLGTKGYALPGRMSNVKVLLNGGQNITFLRPDGYFSFHNIPAGTHLIEVSAIGYFFSPVRVDVSARNPGKVQAALTENRKGLSELVLEPLREEQYYEIREPFSIMSLLKSPMGLMVGFMLAVAFLMPKLVENMDPEEMRRAQEEMRSQGVPSLSSLLPGGARN >KJB68364 pep chromosome:Graimondii2_0_v6:10:61062481:61064392:1 gene:B456_010G241100 transcript:KJB68364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIGPKSMFTRSRSCNSTTSSINSNNNGGSHRSKLSRTFHKVINFRNSDNKHGMVACVFPSQHKFECYNPNPDPKFKHKAILEALLAKIFASVTSIKAAYAELQMAQNPYNNDAIQAADEAIVEQLRALSELKRKFLKKELDLSPQVTLMLAEIQEQQSLMRTYEITIKKLESDVETKDSMIVSFHKQFKDCVEINKSLEKKLNASGPLYVFDNLKLSRLNVSHFIEVLHKALRSVRSFVKLMVKEMELANWDLNEAAKAIESKALFIKESHRCFAFESFVCKTMLQSFNLFDYGVNKELNPKKPDPEQCFIEFKRLKSVNPRSILALNPNSLLGKFMRAKYFDLVHAKMECSFFGNLNQRKIVTSGGFPDSGFFTAFAEMAKRFWMLHRLGLSMVEPVSVFEVKRNCRFSEVYMENVSEESLFSGEINDGNVDIRVVFTVVPGFKIGKTVIQSQVYLSPVITPPFPLESGACGSAWLVTYKVQLGY >KJB66757 pep chromosome:Graimondii2_0_v6:10:43763499:43765190:1 gene:B456_010G1567001 transcript:KJB66757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVYKKWIPELRHYAPNVPVVLVGTKLDLRDDKQFLSDHPGATVITTSQGEELKKIIGAVSYIECSSKTQENVKAVFDTAIKVALRPPKPKRKPHKKRSCVFL >KJB63945 pep chromosome:Graimondii2_0_v6:10:2100918:2101595:-1 gene:B456_010G025900 transcript:KJB63945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHPLICGASNRQEDADYDHKSHNKDNNKNPYSGRGLDKFSALLSELEDKKQKIHLQTGSRDISMVRFMYKDSTDFVPVVVKLKDKEPKPKPVETKQQEQEAWDHKHSMETLSEAKDVMKKISRLQPDKKKNKKGFSWKRPYFYLPTFFVLVLVLLVFFGRSVSILLTCVGWYMVPTIQGGNYSNVRRGIMKKKKKKDHVRKLSNESEVVRSKSSSPVRDYHKKS >KJB64836 pep chromosome:Graimondii2_0_v6:10:8765778:8766298:-1 gene:B456_010G067600 transcript:KJB64836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCRFMSSCPNSFWVLISPRAHAAMLITRTNLLLPCIPTRYLCWLPSLLEEMNFWPPCARSHPLPLLALALLHYQFMAGFEGHKTEGFLFCSSVWITAMHSPTDNGYKQDLNYDKY >KJB63743 pep chromosome:Graimondii2_0_v6:10:1050530:1052403:1 gene:B456_010G014000 transcript:KJB63743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIGGTMFDDQADGIRKSKFGKTIYSDPNMSMTSPVSEDDLCLRHSSASANGPLEPNRSSGEGSPLTMSPWNQTTHFNKSLSMPFDNDNVPANSLIMSLTREEGHIYSLAASDDLLYTGSDSKNIRVWKNLKEFTGFKSNSGLVKTIVIAGAKIFTGHQDGKIRVWKVSVKNPGVHKRAGTLPSLKEILKSSIKPSNYIEVKRKRSLWIKHSDAVSCLSLNEEHGLLYSASWDRTFKVWRIADYKCLESVNAHDDAVNSVVSRSGGEMVFTGSADGTVKVWKREQQRKGTKHSLNQTLLQQECAVTALAIDAPGSVLYCGSSDGLVNFWELEKGLAHGGVLKGHKLAVLCLEAAGNLVFSGSADKTICVWRRDGNIHTCLSVLTGHTGPVKCLASEKDPNSTNEQRWILYSGSLDKSVKVWSVSEYAQIGSTMQPNTTSYEESPVSDGSYSSASNNGRY >KJB66075 pep chromosome:Graimondii2_0_v6:10:26670930:26672362:-1 gene:B456_010G126200 transcript:KJB66075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKLRDKGKGKIEESSKTQITSKPIKLWYEILPEKDDKSPSSSKSSKNTIIQDAQDPNEIGSQIKKWIESLSQSLKVVLAFSKMKEETPFKQIAAEAAKVSKNKEIVLQKTKSLKNVLKETSLQDVFPKEIAVSSQTATEKSSQYFPNKYFEKVLVVEEEFSEKPPQILAKELFNGWHFKPLDSQKPQQYYENILVQTGSVLFKHYTDPKDPNFITHSTAQILKILRPRDWSENPNSPKKFPAKFTTKIDHYPYFTYWDYQMAWYNAFLMNNQHMRHSWLIYFKYGTQFKFPNWFQEWWNWTIHFFSKLCISWIVSRNYSYEQDQHTGIPLLVRNYRTKWWDKFNDEKYDSKYLDNFFNKNPRLCKSAAPDQTTAKFLQAKSTASAMLAQAKTKKEYKKLMTEMLSSLDSESEDESSAFSIKTVNLADDNTSVTITGSKKK >KJB66934 pep chromosome:Graimondii2_0_v6:10:48693684:48698196:1 gene:B456_010G167600 transcript:KJB66934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEEKKSTKRDKLRWRWVIGASITIVLAIALASRTKPEISPNFGDTNKSCQCSQGKHRHKGTVEDCCCDYETVDHLNGEVLHPILQELVKTPFFRYYKVKLWCDCPFWPEDHMCRLRDCSVCECPESEFPDSFKPFQRTLSSGDLKCREGKPQAAVDRTLDSKAFRGWTETDNPWTYDDETDNSEMTYVNLQLNPERYTGYNGTSARRIWDAVYSGNCPKYPAEELCQEEKTLYKLISGLHSSISVHIASDYLIDEATNLWGHNLEIMYYRVLQYPNRVENLYFTFLFVLRAVTKAAEYLEQAEYDTGNPTEDLKTQSLMKQLLYDPKLQAACPLPFDEAKLWKGRRGPELKQKIQAQFKNISAVMDCVGCEKCRLWGKLQVSGLGTALKILFSVNDEENLIQILQLQRNEVIALMNLLNRLSESVKFVHEMGAAAEMGRMHYSSRLKSLVQRILASIVKT >KJB66933 pep chromosome:Graimondii2_0_v6:10:48693573:48698076:1 gene:B456_010G167600 transcript:KJB66933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEEKKSTKRDKLRWRWVIGASITIVLAIALASRTKPEISPNFGDTNKSCQCSQGKHRHKGTVEDCCCDYETVDHLNGEVLHPILQELVKTPFFRYYKVKLWCDCPFWPEDHMCRLRDCSVCECPESEFPDSFKPFQRTLSSGDLKCREGKPQAAVDRTLDSKAFRGWTETDNPWTYDDETDNSEMTYVNLQLNPERYTGYNGTSARRIWDAVYSGNCPKYPAEELCQEEKTLYKLISGLHSSISVHIASDYLIDEATNLWGHNLEIMYYRVLQYPNRVENLYFTFLFVLRAVTKAAEYLEQAEYDTGNPTEDLKTQSLMKQLLYDPKLQAACPLPFDEAKLWKGRRGPELKQKIQAQFKNISAVMDCVGCEKCRLWGKLQVSGLGTALKILFSVNDEENLIQILQLQRNEVIALMNLLNRLSESVKFVHEMGAAAEMGRMHYSSRLKSLVQRILASIVKT >KJB67715 pep chromosome:Graimondii2_0_v6:10:57154446:57157040:1 gene:B456_010G205700 transcript:KJB67715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVEGNTAEPRKTSNPAANTAKIQSSSSSFRRWGRKYPFIRYGLPMISLTVFGAVGLGHLLQGSKDIAKVKDDQEWEIIETRKALSRTGPIDAYKPKKISLEEELKALQQKVDINNYDYKRIPKPNEGNSG >KJB64440 pep chromosome:Graimondii2_0_v6:10:5570286:5572941:1 gene:B456_010G049500 transcript:KJB64440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKLPVGLNKAKPYLTMTSLQFGFAGMYVITMVSLQHGMNHYVLAVYRHLVATIVIAPFALVLERKIRPKLTVPIFLRILVLGFLEPVIDQNLYYLGMKYTTATLASATVNVIPAITFVLALIFRLEKVNLKKVHSIAKIIGTTIMVPGAVIMTLYKGPSISFTKFGGGAHQTATNVAEAKHWVVGTLMLLGRCWGWSGFYILQSFTLKMYPAELSLTALICFIGTIGGAAVSFAMERDLNAWKIGWDSSLLAAVYSGVVCSGIAYYAQGVVIREQGPVFVTAFSPLCMIITAVLGSMILAEKIHLGSIIGTVLIIFGLYTVLWGKSKDRKSSNTEEGGKDIQLPISDSGKSINLEDSTDGAARILKIPAENTGEA >KJB64010 pep chromosome:Graimondii2_0_v6:10:2409163:2413663:-1 gene:B456_010G029100 transcript:KJB64010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLPICCFISRQHKHPSKRSEETMENPQLMSNSGCSENLNQAANIIVNHDFSNGLHSWHTNCCNGFVVSAECGGRGKPLSSSRSSGNYAVVTNRTKCWQGLEQDITSRVLPGSTYSVSACVGVSGPLSGSTDVLATLKLENHGSATSYMLIGKTSMSKEKWEIVEGTFLLLAVPERLVFYLEGPSPGVDLLIDSVVISCPSSSESESASIGAGDDNIIINPKFEDGLNNWSGRGCKVALHDSMADGKIVPQSGKAFASATERTQNWNGIQQEITGRVQRKLAYSVAAVVRIFGNNVTAATVQATLWVQTPGRNDQYVGIANVQATDKDWVQLQGKFLLNGSPSKVVIYLEGPPPGTDLLVNSLVVKHAEKTPPSPAPVIENPDFGVNIITNSQLDDSTNGWFPLGNCNLSVGSGSPHILPPMARASLGVHEPLSGRYIHVKNRTQTWMGPAQMITDKVKLFLTYQVSAWVRIGSGANGPQNVNVALGVDNQWVNGGQVEINDDRWHEIGGSFRIEKQPSKVMVYIQGPGAGVDLMVAGVQIFPVNREARFKYLRHQADKIRKRDIVLKFSGAGSSSLSGTNVKVVQTQNSFPIGSCMSRTNIDNEDFINFFVKNFNWAVFGNELKWYWTEPQQGNLNYKDADDMVALCQKHNIETRGHCIFWEVQATVQQWIQALNKDDLMKAVQNRLTDLLTRYKGKFKHYDVNNEMLHGSYYQDRLGKDIRANMFKTANQLDPSATLFVNDYHIEDGCDTRSCPERYIEHILDLQEQGAPVGGIGIQGHIDNPVGPVVCTALDKLGILGLPIWFTELDVSSINEHVRGEDLEVMLREAFAHPAVEGVMLWGFWELFMSRDNAHLVNAEGDVNETGKRFLALKHEWLSHARGQVDVQGQFNFRGFHGKYAVEIDTPSKKIVKTFVVDKGDTPLVVPVEL >KJB63365 pep chromosome:Graimondii2_0_v6:10:46879093:46879744:1 gene:B456_010G162500 transcript:KJB63365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRPSKRRRKRKQKTKESPSKSTLTQDNASRLVVFAHGAGAPSSSDWMIRYVWGKRKVSTKAQTTLETHKCKCPKSFRVISHLN >KJB63397 pep chromosome:Graimondii2_0_v6:10:1461626:1461934:-1 gene:B456_010G019300 transcript:KJB63397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCFNHSSPKCIPNTCRRKTPGPVPITEAQLKAAFKSFDVNKDGRLSIEELRKAFASLGAYIPGWRARRGLSVADGNGDGYVSDDELDDLVKYAMKQGYTIG >KJB67770 pep chromosome:Graimondii2_0_v6:10:57601172:57608659:-1 gene:B456_010G209900 transcript:KJB67770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYIKSNLDATEPLEKCSTSSLIELTRKPNKQILEHDRKRQDKLAEQGYTESEIADKLVEARKALEDAQQEKDEEEGEVTPIPTRQQKVSDTQTHQVAARKEKQMETFRAALGVGASESGLPPLPNRRKNIDEREHSFLDRDPPVSAAMDIESTRSQRRERDMGSESDSDADRARGHRKEMVKKGRHRHNSSEDNFDSDGGRQKKRGEVQKGKIELTGSQMRERDMGSESDSDTDRARGRMKEMVKKGRRRNDSGEDDSDSDVERKKKRGEVQKGKIELTGSQRRGSDSGSDSEVDRARDHKKEIVKKRGHRYDKGDDSDSNTSDVMVEKDRRRGRRGDSDDEDSNSSYGRKIGKATEARERVGRRGSGSLTDDSDASSSDSDSTDVKRQTIEKKNAADKDRRGHRGDHDSHGVRGSRRYQEEKDSPSYAAKNDDRRGRTLNEDDRLERLQKSESNREMMKGKRKLDDENHDEQPELKSRSRNLGSELEMK >KJB65924 pep chromosome:Graimondii2_0_v6:10:24158753:24164760:1 gene:B456_010G120300 transcript:KJB65924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAITILPTFLSANKLLVFVCLIVLSLKEGYATAEAESHTIHVASLLPSSVCTPPATAKGLDKKSSLQVIHKHGPCSQPHEEKANIPTHAQILLLDEVRVKSIHSKLAKNLGSVNVMKKDAAANLPAKDGSVVGSGNYIITMGLGTPKKNLRLIFDTGSDITWTQCQPCLRSCYKQQDPIFSPSSSSTYSNVSCSSAACTSLNSATGNSPGCSSSTCVYGIQYGDSSFSIGLFAKEKLTLTSNVVFDNFLFGCGQNNQGLFGGAAGLLGLGRNKLSFPSQTAIKFKKIFSYCLPSSPSSTGFLKFGNDGLSKSVKFTTLSTISGGESFYGITIIAMSVGGKKLSISASILAAGGAIIDSGTVITRLPPTAYSALRSAFRKQMNQYPMAKPLSILDTCYDFSKYSTVSIPKISLFFEKGVEVPIDARGILYVNSISQVCLAFAGNTNDFDVLIYGNTQQKTLEVVYDGTRRMIGFRTGGCT >KJB64700 pep chromosome:Graimondii2_0_v6:10:7364800:7368340:1 gene:B456_010G060900 transcript:KJB64700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLLKSLQSEVPRSPTSSPDHSPTKLNGGVKDDLSLIGETIGRQLRGVAAFLAPPPSPPSVTASEGGLEQEQVQEPQSDKLLGIRNDLAEIGGSFKSGLSLLSSNRAVTEISRFASSLLQFPDQDDHGGHDEDDDDEDYDDGVPGITDEVIKFVKEISNRPELWTDFPLSLHNDFKMSEDQIEHAENVEHLVPSFEALRVGLQHNLGDERFWMIYFILLLPRLNEHDFEVLSSPEVIETRDALLQKLQKNKNVQVENSSPDASQQSGNFSETKRETFVSEEKVSEIVNVAEGLEITSSEDNTEQWLEEAEFSSGSSLSIKKNLEHEEDVSFSDLEDDDTDTSNRASVRKSTQHDKALPSSGSNDWVQLNKRLETRGALQKAGQTSCRDKDSEGEESNDWLTDDDFVDVVRGK >KJB67133 pep chromosome:Graimondii2_0_v6:10:51799404:51800182:-1 gene:B456_010G176500 transcript:KJB67133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGSNKQASEHIKRQHTIPYYAQRVRDSCTTRVTKILYFSIPGLNQHSEFENAQITLNVTARNPNQHIGIYYISMVGSIFYEDSNMGSSPLMDPFYQEPKTTTIVYHTFNVATLTVNSRRWKEFMDNRQQGAVVFRIDIMAAIRFKVSTWGSQHHKMHANCDVAVGQDGSILPAWKNKKCPVYFT >KJB67384 pep chromosome:Graimondii2_0_v6:10:53958932:53960656:-1 gene:B456_010G187900 transcript:KJB67384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMLELALYLCLFMCLILTCYAQTCAKYAFSSNRVFKSCTHLPVLNSFLHYNYDVSGKLEIAYRHTGITSSRWVAWAINPTSKAMVGSQALVAYQLSDGSMRAYTSPITQYQTQLQEGELSFDVSDLSATYANNEIIIFATLGFPSNDTTLNQVWQEGAMSGNTPQMHATSGPNVQSMGTLNLLSRQAGTIGGRTSTQRKQDIHGVLNAVSWGILMPLGAIIARYLKVFKSADPAWFYLHASCQFSAYVVGVAGWSTGLKLGSESPGIQYDAHRTIGIILFCLGTLQVFALLVRPKPDHKYRVYWNIYHHLVGYTVVILSVINIFKGLDILEHEKKWKNAYIGVIIALACSGVVLEAYTWFLVLRRKRSESAGKLSHGINGAHGNGVNAHTANC >KJB67634 pep chromosome:Graimondii2_0_v6:10:57052438:57055279:1 gene:B456_010G204500 transcript:KJB67634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWKQPHPQRSRILTRNTVRDSKYNSSCKLPNTFLLSFLFCNLFLLLIKSLYSLPLILSTPPIGIRGAGVTSFWRNNIVIFIPYQIWEIRRMLGSPRLSAVNEDKEYLAKTIDKCQENSSCYQIQAGVDLMAKAKYLLQERNYQFRTDGRRILAYHSYLIEENDEGINLLKKIADMNIEDFPSNILQQIRSTWENYHQYISTIHHLEG >KJB65766 pep chromosome:Graimondii2_0_v6:10:21619503:21622941:1 gene:B456_010G112800 transcript:KJB65766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGFFGSLLILSLLFKHFTCQLPNTDEFYVSNFLKKMGLNSSMSYNFSGSVCSWKGMHCDTKKENLIGLKASSLGLSGIIPDTTIGKLAKLQSLDLSNNKITALPSDIWSLGSLKWLNLSSNQISGSLPNNVGNFGLLEVIDLSGNNFSGEIPATITSLLSLQVLNLAGNGFEWSIPRGILNCKALVSVDLSSNRLNGSLPDGFGAAFPKLKTLNLARNEIHGLDTDFAELMSLTSLNISRNLFKGSVMGVFQGQLKEIDLSMNQFQGHISKVQFNSTYKWSHLVYLDLSENQLSGEISLNLSQAQNLRHLNLAYNRFAIQKFPRIEMLLGLEYLNLSKTNLIGHIPGEISQLSILHTLDVSSNRLSGQIPSLANKSLKVLDVSHNNLSGEIPVSLLEKLPLMERYNFSYNNLTLCALGFSPETFKTAFYGSSNSCPIAANPVLIERSGNGHKGLKLALALTLSTVCLLAGLLILAFGCRRKSRKWVVKQPSYKEEQHISGPFSFQTDSTTWVADVKQATSVPVVIFEKPLLNITFADLLSATSNFDRGTLLAEGKFGPVYRGFLPGGIHVAVKVLVHGSTLTDQEAARELEYLGRIKHPNLVPLTGYCLAGDQRIAIYDYMENGNFQNLLHDLPLGVQATEDWSNDTWVEDNNGIQNVGSEGLLTTWAFRHKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDLNLEPRLSDFGLAKIFGTDLEDEIARSSPGYVPPEFSQPECHASTPKSDIYCFGVVLFELITGRKPIGDDYPEEQETNLVSWVRGLVRKNQGSKAIDPKICDTGPDYQMEEALKIGYLCTADIPSKRPSMQQIVGLLKDIEPRTSL >KJB65767 pep chromosome:Graimondii2_0_v6:10:21619530:21622896:1 gene:B456_010G112800 transcript:KJB65767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTSLNISRNLFKGSVMGVFQGQLKEIDLSMNQFQGHISKVQFNSTYKWSHLVYLDLSENQLSGEISLNLSQAQNLRHLNLAYNRFAIQKFPRIEMLLGLEYLNLSKTNLIGHIPGEISQLSILHTLDVSSNRLSGQIPSLANKSLKVLDVSHNNLSGEIPVSLLEKLPLMERYNFSYNNLTLCALGFSPETFKTAFYGSSNSCPIAANPVLIERSGNGHKGLKLALALTLSTVCLLAGLLILAFGCRRKSRKWVVKQPSYKEEQHISGPFSFQTDSTTWVADVKQATSVPVVIFEKPLLNITFADLLSATSNFDRGTLLAEGKFGPVYRGFLPGGIHVAVKVLVHGSTLTDQEAARELEYLGRIKHPNLVPLTGYCLAGDQRIAIYDYMENGNFQNLLHDLPLGVQATEDWSNDTWVEDNNGIQNVGSEGLLTTWAFRHKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDLNLEPRLSDFGLAKIFGTDLEDEIARSSPGYVPPEFSQPECHASTPKSDIYCFGVVLFELITGRKPIGDDYPEEQETNLVSWVRGLVRKNQGSKAIDPKICDTGPDYQMEEALKIGYLCTADIPSKRPSMQQIVGLLKDIEPRTSL >KJB64583 pep chromosome:Graimondii2_0_v6:10:6321283:6322009:1 gene:B456_010G055100 transcript:KJB64583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGATAEMIRCVLESSLVMQEIEVERRPYHRNCSCALHNLKGVCSSAFCSRSRNVCFSKKKTLNDCSLSMATSQSSSLGVGPWVKSMESKASMGFSFKDVVEIISEF >KJB68571 pep chromosome:Graimondii2_0_v6:10:61797043:61798127:-1 gene:B456_010G251100 transcript:KJB68571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDTYDYEFLMTGIPPGYRFEPTDIELLQDYLLKKVNGEPLPYNIISECEIYGNQGKEPWKIFIETSTKTFYVFTKLKKKSKGKNIDRVAGCGTWKGQRTDPIMYEEMKIGNRKLFVFQVKGSNEGVKGHWIMHEFSLVDEEDKQIGDYVLCSIRNKNAKDDTEEEEPPMKKMRYNSEDHNSPEPTSSDSPLQTLLSDWS >KJB64037 pep chromosome:Graimondii2_0_v6:10:2561238:2565980:1 gene:B456_010G030200 transcript:KJB64037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVMLVKALLNAHDTLLAELQKLSDAINHAVDLTELTSKMNDMKLFDSFLQEPVGADAEDSAQGKARNGLERVNGRLEFQSDRLVHNLSKDDVLKIFNLSGDQVFYLWNTFLNFRRNTNKSTYLNIEIIEKVIVFYDGL >KJB64998 pep chromosome:Graimondii2_0_v6:10:10673887:10675540:1 gene:B456_010G075300 transcript:KJB64998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSPNSETESSTLSNNSSTLSPPSPCSYGGNRESGTDPTPDSKRQKRQRDSSKHPVYRGVRMRAWGKWVSEIREPRKKNRIWLGTFSTPEMAARAHDVAALSIKGNAAILNFPELAESLPRPASNSPRDVQAAAAKAASMDFLSNSISSNNSNATSSSSLSSSASTSSSSNVDDVPTPEELSQIVELPSLGTSFESAELGNEFVYVDAVDGWFFNPRGMPWYYEENCGYFGEEISMQIQESEMITGFGPLLWDH >KJB65044 pep chromosome:Graimondii2_0_v6:10:11361428:11363048:-1 gene:B456_010G078200 transcript:KJB65044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKKVDCNEAWCGNLMIMGRGNHNDETCDLISSESSLGENSDNSIYSISSSSDMVEDASSASSSSSSSNGPLYELSDLMAQLPIRRGLSKYYEGKSQSFTSLASVRSIEDLPEKVLGPLNIRPKMKSCKSYGWGLGGHKNKSYSPKATISKKGCSSRGCFMSSLGKRSSSSVVNRD >KJB65892 pep chromosome:Graimondii2_0_v6:10:23110989:23112208:1 gene:B456_010G117500 transcript:KJB65892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAGDAARAYDEAARLMCGPRARTNFAYNPNAPQSSSSSSSSKLLSETLRAKLHKCYMASLQIMKQQKVDEPRNKAPAPRVIGNHGIAGMGVGPLPVQEMEATRVVKKPQVLEPLEEDHVEQMIEELLHYGSIELCNV >KJB65550 pep chromosome:Graimondii2_0_v6:10:17779397:17780659:-1 gene:B456_010G100300 transcript:KJB65550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVPYSLWSSILSWFTPTVFFVFLNLTIGTIYFTSTLSSSKPSSDAQRQYHPDDETNPKFVRSPSMLQRLKSINLYAYRSQEPVVASATTAYESVPDVGDFHFSFQQQTPQQQHQPSFERSPSVLQRLKSINLYSYFSPEQTKTHESYPHNPPEVAQGEGHDEKVQEPEKQDEKEGTQGEGKTLDEVYSQLKEGNHVARTKSDTKPASGEVPTKLPRKMKKSASVKSAFRHFEEEDIVENRRPATVREGKEKATEEEDDEVDAKADDFINKFKHQLKLQKLDSIIRYKEMINRGSGR >KJB68094 pep chromosome:Graimondii2_0_v6:10:59736992:59739362:1 gene:B456_010G225300 transcript:KJB68094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAEATAATTTVLSPGFKRSPKSNGKVNGTAAKKTGVNHGNGGYISNGKVSFMKWTLDDVVYVAKYHRLPCFFAAWFLFFIYVEYTLRMVPDSSPPYDLGFVVTRSFHRALASWPELNSLLAALNTVFVAMQSVYIIGTWVVEGRPRATISALFMFTCRGILGYTTQLPLPQEFVGSGMDFPVGNVSFFLFYSGHVAGSVIASLDMRRMQRWELAWLFDILNVLQAVRLLGTRGHYTIDLAVGVGAGILFDSLAGKYIESRRKPAVA >KJB65551 pep chromosome:Graimondii2_0_v6:10:17798664:17800910:1 gene:B456_010G100400 transcript:KJB65551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHAYLDWIENNSVWRASVKKSREGLMKKVMELPTLCGVEGGLIIYSLDKLEPMVWSSHDEVQSLLKKFYQVPEMENNKKSMKLETYYKEKASKSQDQLKKQNRKTKEVKVGQFILQIDQGEMIDDFYITKLDNLICIGNACIEDKDTFQYFPLQGWLKGNINANDIRGKARSKIRSEIGLTYHDPLIATIGDDLVALAVQPRLPRFSYSNILCSTTAPRAPMPLFDSLGHYLLGLVERISRANDFVGNLLRFFGGANNNHGTISSHEMRPFDEKH >KJB64974 pep chromosome:Graimondii2_0_v6:10:10551952:10553798:-1 gene:B456_010G074100 transcript:KJB64974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGDVEKMLAVGLIWGATNAAMRRGALLWDRYLKSTPNSGDPPRKFDQKLLNSLSNWLTLLLFWQYSIPFFINLSASATFFAILGQAPISLAVPVTNATTFAATAVFGILLGEETRIGHALLVYGVVVEIGSLVKPQVELLFPASISLGIDVCVNNIWLSRNIT >KJB64973 pep chromosome:Graimondii2_0_v6:10:10551616:10553800:-1 gene:B456_010G074100 transcript:KJB64973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IILIIYLSLLNTKTRYQHRTLSSQQTLSMYKETMIGDVEKMLAVGLIWGATNAAMRRGALLWDRYLKSTPNSGDPPRKFDQKLLNSLSNWLTLLLFWQYSIPFFINLSASATFFAILGQAPISLAVPVTNATTFAATAVFGILLGEETRIGHALLGRKATEYEALPTTIKGDVC >KJB67784 pep chromosome:Graimondii2_0_v6:10:57665501:57668538:1 gene:B456_010G210500 transcript:KJB67784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKNENESHDESVQQIQHYFHEEHPLVLVVEQSNEGLKSHCDGCGELLSAPCFTCIRCNFYLYKQCAEAPLQIPNHPLHPEHSNKGFLLRQRPYPFRRVYGCALCKEKCNMCFYKCHGCFFSIDIKCAQLSSSFKFNQPSKHDIHQHPLTFFESPTTMDNENESASVSENENESESVSENKNESENEIENESVSESESESEIENKSVSENESVSVSVSESESKNEIENESVSESESENESVSENESHDKSVQQIQHPFHRQHPLVLVAEKSNEGLKAHCDGCGELLSAPCFTCIHCNYHLHKQCAEAPPSLPNHPLHPRHSDEGFFLQQRPDLDDDLLPTEINHPSHHIHPLFLHYSDRNHFCNLCQKEHFGAFYGCSLCHFNINLECALLRSTVEDKSRHQHPLTLFWRQDSFICDACGTEGNYISYTCSTCCTTVHKKCISLPRIIKFSRHDHCIFHKYFLQTQELTRQYCKICFKEVRLERGSYSCGKLGYNYAVHVNCVLEDDELYEVIEDEKQCEELYEKYMQSSIIRVIEVNEAGEAAKIEHLSHQHCLVLADKMEEEIDRKCDGYMLPISNIFYYCSECLFFLHKTCAELPRIKQHWFHQSNATLNFDSFKQCGFCYRDCSGLFYKIGEIWDMCIRCAKVADIIESKGHQHFLFFNFKCNNECNGCGETKWDGAFRCGKCRFALDFRCLTLPHSALHKIDEHKLKLTYHDDKEQSYCDICEQYRDPSLWYYSCSICDASAHIECVLGQFPFVKDGSIVASHSYYNHHHALKFFRKVEGFPECSCCGKFCQEEILKCEKSTCNYIVHYKYECRWGD >KJB64368 pep chromosome:Graimondii2_0_v6:10:4807029:4809534:1 gene:B456_010G045500 transcript:KJB64368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIKKLFQLKYFSSLFEIMRKTSRKLPYLRLKFSHGIPAPTQFKFLKNSSFTDEVSNQTLVFHLEGALLKAYSLFPYFMLVAFEAGGLFRALILLLLYPLVWLLGHELGLKVMVFVSFVGIKKEKFRAGTAILPKFFLEDVGVVGFDMVMKYKTKVAVTSMPRIMVECFLGDYLGIHVVVGKELKEFHGYFLGLMEENMDPATMCINNIGLGCFRNSHNYHKIFSRCKEIYLVTEAEKKKWPVLPRKKSLKPLIFHDGRLAFRPTPLNTLSMFIWLPFGFLLHITRIMVFISLPFKVSGPLLAFSGMINTVSNSNVESSGEGKKRGMLYVCNHRTLLDPVYLTFAMMKSVSAVTYSVSRFSEVISPIKTVRLTRDRKTDEETMKKMLSKGDLVVCPEGTTCREPYLLRFSPLFAEITDDIVPVAIKLQVSLFYGSTASGQKCLDSAFHLTNPNPTCLIMILNKLPGWQTHNTGGKSKFEVANYVQGQIATALGFECTNLTRKDKYAILAGNDGIL >KJB67567 pep chromosome:Graimondii2_0_v6:10:55815779:55816870:1 gene:B456_010G197400 transcript:KJB67567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLSCCFTLAVFMAILMASPSLSLANMNVIDKCWRGKPLWRSQRQQLAKCSVGFAGKMINNIGKDVMKYKVTDPSDDPLSPKSGTLRYGTTMIKGKVWITFKNSMTITLQRPLLLSSFTTIDGRGVDVHITGAGCLLVYQATDIIIHGLRIHHCKAQPPSTVMGPNAKVIPLGQMDGDAIRLVTARKVWIDHNTLYECQDGLLDVTRGSTNITVSNNWFRNQDKVMLLGHDDGHLRDKNMKVTVIFNHFGPNCNQRMPRVRHGYAHVANNFYQGWEQYAIGGSMSPSIKSEANFFIAPNDVGNKEVTWRKGEKGLWKFYSVQDVFKNGASF >KJB66924 pep chromosome:Graimondii2_0_v6:10:48046347:48047858:-1 gene:B456_010G166100 transcript:KJB66924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSFLSLLLPLLVTLFLLILLLEQIWYLRRKRNVPGPNILFPFLGNVIPLITNPTKFWQLQAQLSASVGFSVNYVAGCFIVFIRNTELSHLILANVKPDAFLLVGHPYGKKLFGQHNMVYMFGQDHKNLRRHIASNFTPKALSTYAQLQQLIILKHFKSWERLWSTVSPAEPIPLRLLVRDMNLQTSQTVFLGKYLSSEARERFRVDYDLFNAGLMKLPFDFPGFAFRKAKLGAARILKTLTHCAAESKKRMLQGEDPSCLIDFWVQEMVRVTAESKTPPPHSTDEEIGSYLFDFLFAAQDASTSSLLWVVTLLDSHPDVLRKVREEVSRIWSPESDALMTAEQLREMKYIQAVALEVVRYRPPATLVPHIALEDFPLTEWYIIPKGSIVFPSVYESSFQGFREADRFEPERFSEERQEDVIFKRNYLALGAGPHQCVGQRYALNHLVLFIAMFVTLLDFKRHRTDGCDEIIYTPTISPKDGCMVFLSWRCPRYPNFTLN >KJB63474 pep chromosome:Graimondii2_0_v6:10:36831:37828:1 gene:B456_010G000900 transcript:KJB63474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAPPESSSTAQNARKPLGFMKNAVKYKHNFIQFFAMTGILLLSVRSLGQKYRIHDLQEDTAALKQEQQSLNDRMSNIKRGLLHEASLEPSGRFASRLRLLFGDDN >KJB64285 pep chromosome:Graimondii2_0_v6:10:3803868:3806000:1 gene:B456_010G040400 transcript:KJB64285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTVLAPLLLCVTLLFSSLNVASDSAAEALAILKWKASLQSQNHSVLLSWNTSNNPNTKTSPCAWFGIHCNHADSVTKINLTGYGVKVTLHSFPFSSLPNLAELDLSTNELYGIIPPKISQLSKLTYLDLSYNQFSGQIPPEISHLVHLQTLHLAGNQLNGSIPREIGQLKFLTDLALCSNKLNGCIPASLGKLSRLVSLLLYNNSISGPIPPELGNLRNLVEVYLDTNRLTGPIPSTFGNLKKLTVLQMFTNSLSGPIPSELGNMESLSEISLYHNNLSGLIPTSFGDLRHLTVARLYENQLSGPIPEEIGNLNSLVYLELGENQLNGSIPASLGSLSNLKILHLRDNRLSGSIPNEIGNLMKLIVLKRVYLERNRLRGNISEDLGIYPNLRFIELSDNELYGEVSSNWGLCRSLQSLFIARNNLSGTIPAEIGNSRQIHRLDVSSNHLVGEIPKKISKLTSLLYLYLNGNKLSGSVPLELGLMSKLLYLDLLLDLPAKNKSKIEWKQKEEN >KJB64316 pep chromosome:Graimondii2_0_v6:10:4069231:4071248:-1 gene:B456_010G042700 transcript:KJB64316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTLMLMAVALFNTKTHILRVFQRRKMLRIDGLCKKMGYKDAKLLMLYGGRSSINRYLQWKSGKVWSKSFSAGDDSCNSCSELEVKKLQQQLQEETDLHLALASAVEHCGSPSSSSPGKLPDKAQELLDSITVLEITIAKLQQALHQGLC >KJB63775 pep chromosome:Graimondii2_0_v6:10:1205944:1207009:-1 gene:B456_010G015700 transcript:KJB63775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTSAVVAPTTATASVVNYGSKARKTTPKAVYIGGMSCYGGLKAHNNVVSLGMPVSTEKWFANVVSNLKGNGEGRKGGGGLSSTCNEAAEIFKIAAIMNGLVLVGVAVGFVLLRIEAWLEESEE >KJB68002 pep chromosome:Graimondii2_0_v6:10:59131053:59132657:1 gene:B456_010G221500 transcript:KJB68002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSKLFILSTSFLLSISSITSNSVLDNFLQCLPIHSNTSNPITNSIYVPNNSSFQYLYELRANNLRIISSSTISKPLAILTARHASHVQAAVICAKIHSIQLRIRSGGHDYEGLSYRSDIPFVILDLFNLRSIKINITSETAWVQAGATTGELYYKIAEKSKVHGFPSGVCTTLGIGGHFTGGGYGNMIPKYGLSIDNVIDACLIDVNGTIHNRKSMGEDVFWAIRGGGGTSFGVILSWKIKLVHVPRKVTVFKVQRTLDQGATDIAYSWQHIAPKLPKYLFIRLQPEPITIGNGNKTIRVSFIGQFLGRSRKLMNLMNEEFPELRLQQNDCIEMSWVESTLFWAGFTNGTSIDVLLNRVVENKVFFKTKSDYYKNVIPKQGLVMLWEMLMDIGNIFVQLNPHGGRMDEISETETAVHQRGGYLFKVQYTVYWSESDGGIGAAKRYVEMSRRLYGAMAQYASSDPREAFLNYRDLDIGCNESNDTDFGVAEVYGTKYFNNNFMRLARVKAMVDPENFFKNEQSIPPLPSSH >KJB65840 pep chromosome:Graimondii2_0_v6:10:24073952:24075254:-1 gene:B456_010G119900 transcript:KJB65840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKNKISKKVPRWSYKLIDDLEQHEGENEENVTAKHEIRSRKSKCVVIFTKNQANADTYTNTKDANDPDQTDEVALIHYSQQSDFRCDIIKGSMHAQRHKTITWELFQANVHSPLFLDRKKKIEKKDGIKREKYKREEKIRIEIAEAWDSLLLAQVIRGSVLITQSILIKYIILPSLIIVKNISRMLLFQFPEWSKDLTDWNREMHVKWTYNGVQLSETEFPKNWLTDGIQIKILFPFCLKPWHRFKLQPSHKDPMKKKKEPYELGKTKEDSIISNKMIHKSSLQIQSMAWTNYSLTEKKYKI >KJB68255 pep chromosome:Graimondii2_0_v6:10:60530062:60532304:1 gene:B456_010G234700 transcript:KJB68255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRTRSDFPLCQFFINRTSMDVSVKRWSMIRPAQDTPKERQWISNLDVVMTTYHLPLLFFYKPNGSSDFFKPQVLQEALSKTLVQFYPMAGRLGRDENGRLEIVCNAEGVLWIEAETTSAMDDLDGFTPCSKLRKLVPTADYSGDISSYPLIMAQVTTLKCGGVCLGIATHHTLTDGTTALHFINSWSEMARGLPEISMPPLIDRTLLRARVPPIPRFHHLEYDRPPSLYTSTSLGPNNHKPLTVSVFKITQNQLNTLKAKSWEHGNKTNCSTFTILAAYIWRCATKAWGLSYDQPTKLHMPTNGRPRLHPPLPSTYLGNAMFAASLIALSGNLQSKPFVNTLERVHGTLQRMNNEYLRSALDYLETLPDITVARRTPDTYHCPNLSINKWTRLSLYDADFGWGRPIYMGPANVVHEGKIYILPSPTDDGSLSLVACLQTAHMKLFEKHLYEGLKSFDKIKAQY >KJB68657 pep chromosome:Graimondii2_0_v6:10:18459482:18459531:-1 gene:B456_010G1019003 transcript:KJB68657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALQHQTLLNDIGHHDM >KJB68078 pep chromosome:Graimondii2_0_v6:10:59650898:59651506:-1 gene:B456_010G224100 transcript:KJB68078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVKLRLPNSQSHQKLSNLLSPFHTTTLIQENIFFDTPTTTLAVSNAAFRLRFYNLDSYAVLSFKSKPELTQGISRVEEHEEPIDPSLARSFLTDPNGLLGLSNKSQIMEKLKGEFGVDELICLGGFKNVRGVYDWKGLKLEVDETVYDFGVCYEIECESKEPERDKELIEGLLMENGIDFVYSDINKFGVFMSGKLPSK >KJB63286 pep chromosome:Graimondii2_0_v6:10:15946790:15947795:1 gene:B456_010G096100 transcript:KJB63286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKLKIQRLKDMKARQTKYSKRKRGILKKAKELSILCDVELVILLSSPTGKPTLFVGQNPNGLYNILQKVSNMLFVEREERGLKNLEIIVDLNQIEFMEDYLIESLNELRNMK >KJB66870 pep chromosome:Graimondii2_0_v6:10:46551787:46552730:-1 gene:B456_010G162000 transcript:KJB66870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKMQKKSIMRGSNYDYQHHRQLLPHNSEHLRKRSSILKRKYFSVISSINKSIQKCHCRLIEFFSKLEGARRRGKGFVILHREEAVGCQTNLSPRLQLEFKDDVDLPNILALREENGTRLLPPMNFYVLKRPGVDEFLEAISKKYEVLVFTAGLEPYASLLLDILDPKGLISHRLYRDSCKQLGQGRFIKDLSKIGRDLKQVVIVDDNPKSYTLQPANAIPIKGFEDDIKDRELKKLMVFFERNLDGFKDMRDAVKKYLDGNDRMRQPPSL >KJB63540 pep chromosome:Graimondii2_0_v6:10:224662:230334:1 gene:B456_010G005200 transcript:KJB63540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGVVKQRKSRRCLWCIVAIVILGGIAGGIFYVVKKKILDKNSSSTSSLEDKYSDALKIAMQFFDVQKSGKLVDDKIPWRGNSGLKDGSEAKLDLSMGMYDAGDNIKFGFPMAFTATVLSWAILEYGEHMEHINQREPAENSLAWITDFLLNAHPSQNVLYIQVGDPKEDHKCWEKPEKMTTKRPLKLVNSSKPGSDVAAETAAALASASLVFKKTDSTYSSTLLKHAKQLFTFADKYKGSYTKSHPEVATYYNSTGYGDELLWAASWLYHATGDTTYLRYVTEENADKYAKVDGPTWFSWDNKLAGAQVLLSRLSFFGDKGAKGNSGIDDYKKSADELMCALLPDSPSATASRTKSGLIWITKWDSLQHPVGSAFLAVLYSDYMRASDNEILSCGDDSFEPSDLRKFAQSQADYVLGDNPMDISYLVGYGGKYPEFVHHRGASIPVDATTGCSEGFKWLSSKKPNPNVAIGALVGGPFKNESFIDSRNNSMQTEPTTYNSAVLVGLLSSLVNTSSPVKSLNEN >KJB63908 pep chromosome:Graimondii2_0_v6:10:1832525:1833968:-1 gene:B456_010G023300 transcript:KJB63908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNMFKLLTWFSMLFVAGSTLVLGQNNTAKDIFILAGQSNMAGRGGVNQGKWDGNIPPECKPKRSILRFTANLDWEVAREPLHVDIDVGKVCGVGPGMAFANEIIRSHRSGTGIIGLVPCAVGGTSINKWGKGSRLYGQLVKRANAALKDGGGTIRAILWYQGESDTLNKDDAETYKGKMVKLIEDLRLDLNLPSLPFIQVALASGEGTNTNIEMVRKAQMGIKMKNVKCVDAKGLPLNADNLHLTTMSEVKLGLKLAYAFLLSFSHFH >KJB67299 pep chromosome:Graimondii2_0_v6:10:53526311:53529870:-1 gene:B456_010G184500 transcript:KJB67299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELIISESDMKIFDEMGEAYAKLLANDTRGLEEIYQRNNEALFHHITVSRDTVFHVAAYKGCQEMLRTLLNLVKSQTKKREMLKMKNIYGNTVFHELVTTANTEAADLLMKEVLLREREEILADRNKLGETPLFRAAEYGNMSMVKHLATQIIRMGGNLHRHYTRDDGLSILHVAVVGQHFDIANWLMEKEPQLATYKDNSGKTSLHLLASMGNAFKSSSITLGIFKELVYYCLPNGSCNGDESNELPLDSQKKDLEQDEASKARNQPDHSNGTSKLYCGVWRCLAQGWKMIDRMWKQKKMHTSAVKLASTLVRTDTSWFDSHEAEEGDTICLERKEEEKAKDEKSAASGMKSSSGPDTPLIIAASTGIVEIVKEILDMYPQAVEHISKTGQNILHVAILHRKYKVFKLVHTKEEAKRLVRGIDNDGCTILHHAADIKYYQGGTIPTPALELQQELKWFEAVKKKMPVHFTMHRNKNNMTADQLFKGMHKGQLKSAQEWVKNTSQSCSTVAVLVATVVFAAAYTAPGGFHPTNGRPILLERPMYSFFTVMDVAGLASSLTSVVIFLSILTSSLEYQDFLNTVHRKLSLGFIFLFFSVTSTMLTFTATILLLVHLQKKWTATLTYAAAFLPICVFALFQFPLYYRFFIEAVKGVLGYLRRNLPGYWEFLRIEEDYY >KJB66683 pep chromosome:Graimondii2_0_v6:10:41444325:41445632:-1 gene:B456_010G152300 transcript:KJB66683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHHPYFHHIKSSVFGFMFLLPTSLFALSLLLLACNGFSVFYIYLPGYINSSPEPIKLLPENLSGDKTVTKLVSSVMYAVKEENPPGVLKTHLSLVKKPNFSMVPIGKASVFKPKQARLSRQILRTLGSGTKPKGFFSSKVKTFFRNSKCKSRFFMTWISPIESLSDRELLAIESVFKSHPKACLVIVSNSLDSKKGSVVLKPFSDKGFKLIAVHPDFDYIFKNTYAETWFNRLKNGNINPGEVSLGQNLSNLLRLALLYKYGGVYLDTDVLVLKSINRLRNVISAQSINPKTKNWSRLNNAVLIFDQNHPLLFKFIQEFALTFDGNRWGHNGPYLVSRVVERVTGRPGLNFTVLPPSAFYPVDWTRIRSLFQGPQNETHSNWLKLKLGQIQRQSYAIHLWNRQSKQVKVQEGSIIHHIISDCCIFCNSLKSKF >KJB63239 pep chromosome:Graimondii2_0_v6:10:33202341:33203405:1 gene:B456_010G1392001 transcript:KJB63239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAKIGQISLLLSGNLKELKEKEPGTHCESILFVMLCREFMHRVVTGYLPSVILILFLYAVPPTMMLFSAMEGNISRSQRKRSACIKVLYNMGIPYFTLKLES >KJB63240 pep chromosome:Graimondii2_0_v6:10:33202341:33203432:1 gene:B456_010G1392001 transcript:KJB63240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QGCMSFILLLRWHQLLREFMHRVVTGYLPSVILILFLYAVPPTMMLFSAMEGNISRSQRKRSACIKVLYNMGIPYFTLKLES >KJB65820 pep chromosome:Graimondii2_0_v6:10:22025001:22027550:-1 gene:B456_010G114600 transcript:KJB65820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWRNSIPFSLRKKRIMLSNGGRMQVELAALDAWLLWHFRQISFSLQRVLLLSPCDLQELQDRAEKAKASDGGLMNVGREIVDFHGEMVLLENYSALNYTGLVKIIKKYDKRSGALVRLPFIQKVLQQPFYRTDVLNELVKECEMVLDRLFSTNEPSALPDVTNEKDHKPDTSSRSNENLLKVPKELAEIKDMENMYMKQTLSALHVLKEIRSGSSTVSVFSLPPLQNRALDDQKKITVLEQAAK >KJB65819 pep chromosome:Graimondii2_0_v6:10:22024937:22027550:-1 gene:B456_010G114600 transcript:KJB65819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCKSLSILIEEALPDWRDKFLPYKDLKKQLKLIYPKDGSEKQPNKRPRLDSMDGGDGKDSEVSKEVMDFVLLLENEVEKFNTFFVEKEEDYVIKWRELQDRAEKAKASDGGLMNVGREIVDFHGEMVLLENYSALNYTGLVKIIKKYDKRSGALVRLPFIQKVLQQPFYRTDVLNELVKECEMVLDRLFSTNEPSALPDVTNEKDHKPDTSSRSNENLLKVPKELAEIKDMENMYMKQTLSALHVLKEIRSGSSTVSVFSLPPLQNRALDDQKKITVLEQAAK >KJB64507 pep chromosome:Graimondii2_0_v6:10:6028768:6029715:1 gene:B456_010G052400 transcript:KJB64507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGEVWVNGKSIGRYWVSIHTPQQRPSQTWYNIPRSFLKPEENQLVLVEEEYGDPLGITLDSVSITKDAKY >KJB64355 pep chromosome:Graimondii2_0_v6:10:4638291:4641158:-1 gene:B456_010G044700 transcript:KJB64355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKDGVYTAQSVYSERRVNSVQANQLNSHIGSSLPKDRVHKTQPDHSERRANSIKANNLNSYIGSNLSKDGAYIAKSVYLERRDNSVEINKLNGHVGSSLQDGPLSSILQRLLQQEVLLNQPATLIPTYPLSGTGTGTGTSISSIVFNSLLQNETGGTSTSQQVGTPLSNYRKRKASRRKRPTAARTRYGLGEPSSSLKRFRREPSTQPMPQRDPSTISIPKPIPVPNAEHENRGILSMHKEINTSSSASNLSGPRAIKNSLYDPLFEGIGLPVDPHLRMFATM >KJB67297 pep chromosome:Graimondii2_0_v6:10:53405491:53406366:-1 gene:B456_010G184200 transcript:KJB67297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNPPFPEPDLISADELFVNGVLRPLHLLPNKQPEENPQIDSNQPASQPPIPDPDPIITSDPVPVLSASKRWRDIFKKEKGKNGKQSKKDKDKEKEKKNHSPSQSGASGAELNINIWPFPRSRSAGTRPRMTSRSTDTRKVSSAPCSRSNSAGESKSRKWPSSPGRARVHLGRSSPVWQVRRGCSPAKTVDVTGRSAEKSSARKEVAEPCGGKIGTNGNNANKAKALNLHVPMCIGYRHHLNCRTDENSAMLAGSSTGSDDGGNVRSSDANVGSGSNFFNLRNLFTKKVY >KJB63339 pep chromosome:Graimondii2_0_v6:10:5822893:5824806:1 gene:B456_010G051200 transcript:KJB63339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAKIGQISLLLSGNSKELKEKEPGTHCESILFVMLCKEFMHRVVTGYLPSVILILFLYAVPPTMMLFSAMEGNISRSQRKRSACIKVLYNMGIPYFTLKLES >KJB65136 pep chromosome:Graimondii2_0_v6:10:12103930:12104190:-1 gene:B456_010G082400 transcript:KJB65136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWTAIIYGYVRKGRKEEALELFLRVHLKNLFAWTALISGLIQSGNGVDAVGLFVKMRSIVGASANLAMLEI >KJB68552 pep chromosome:Graimondii2_0_v6:10:61756499:61757532:-1 gene:B456_010G250200 transcript:KJB68552 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG5 [Source:Projected from Arabidopsis thaliana (AT1G12060) UniProtKB/TrEMBL;Acc:A0A178WFD4] MKSSRQFSYSSSSTSTLVFTFNSDHSNSPQQPKEIPIETPVPITVHLPDAASVAAATKIQSVYRAHVIRNLYKQISDVNSSADRLQHLIQRQETVDAIRNDEKEKLRINETLMGLLLKLDSVPGLDPTVREGRRKVSRRIVGLQEIVDGISETKVEDDGEWWCGQPRGIFTMKDWDEVVEVMEEEVCKERGGAEMERFCAEYLGFRCLQRFLRE >KJB66774 pep chromosome:Graimondii2_0_v6:10:44354917:44355749:-1 gene:B456_010G157700 transcript:KJB66774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTTLIFVGIIASLVSFASATPGIATFYTNYVPSACFGSQDQGKMIAAAGDALWNNGAVCGKIFTVTCTGPRNPVPHPCTGKSVTVKIVDHCPGCPSTIDLSREAFALIANPVAGIINIDYNQV >KJB63983 pep chromosome:Graimondii2_0_v6:10:2234792:2235857:-1 gene:B456_010G027800 transcript:KJB63983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPKQQSITEKLLYLSKNKMKQQSITVKISNKISLSSSTIFSVSNHISNIIQLVNPVEDYTHEHATIQGKGRQRYEKFKIHTSNQTLRPREKMKQWITRISSELILPDCKSSR >KJB65344 pep chromosome:Graimondii2_0_v6:10:14015787:14019443:-1 gene:B456_010G090900 transcript:KJB65344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQGAWERMLLKKQRMMDQMLKEILAINLSPRGGGETFCLLQGFLFNSHFKTFSTLCWDKVRCWDKQEVSLSAFAFFFSELVQYNQTRVDNIAELEKGLEDAGYTVGVYLIIWFCIL >KJB63406 pep chromosome:Graimondii2_0_v6:10:19847816:19849201:1 gene:B456_010G107200 transcript:KJB63406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDNQLHLHHTFAAQLKARKRSLHHIRCITLVISLFIKPSSSSNYQSLPSLDSAFTVTRSPQILSYLCQRAFPPPLGLPPTSTWGSRSSYLPSPFHALTHSPLGHLYS >KJB65760 pep chromosome:Graimondii2_0_v6:10:21472736:21474219:1 gene:B456_010G112300 transcript:KJB65760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKTASSSGIILEGIDDVEDYVSCVKNSNTLIKDGAKRGLFELPPDHHKELETELKKMAPVSAVI >KJB65796 pep chromosome:Graimondii2_0_v6:10:21713852:21719914:1 gene:B456_010G113300 transcript:KJB65796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHRFQVGWVFSVFLLCFFFFFPAHCFYLPGVAPEDLQKGDPLYVKVNKLTSTKTQLPFSYYSLPYCQPEHIVDNAENLGEVLRGDRIENSPYEFKMRKPQMCNIVCRKVLDKKTAKAFKEKIDDEYRVNMILDNLPLVVPVRRFDQENGVVYQHGFHVGLKGRYAGSKEEKQFINNHLTFTVKYHKDPHADSARIVGFEVKPFSVKHEYKGEWNEKTRLTTCDPQTKRAVTSSESPQEVEETREIIFTYDVEFQESDVKWAYRWDTYLLMADDQIHWFSIVNSLMTVLFLSGMVAIIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRAPANSDLLCVYVGTGVQFFGMILVTMIFALLGFLSPSNRGGLMTAMLLLWVFMGLFAGYSSVRLYKLFKGTEWKKITLITAFMFPATIFSIFFVLNALIWGQKSSGAVPFGTMIALVLLWFGISVPLVFIGSHIGIQKPTIQDPVKTSKIPRQIPEQAWYMNPTFSILIGGILPFGAVFIELFLILTSIWLHQFYYIFGFLFIVFVILIVTCAEITIVLCYFQLCSEDYRWWWRSYLTSGSSALYLFLYAAFYFFTKLDITKPVSGVLYFGYMLIVSYSFFVLTGTIGFYACFRFTRLIYSSVKIE >KJB68586 pep chromosome:Graimondii2_0_v6:10:61853992:61856356:-1 gene:B456_010G252400 transcript:KJB68586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCSSKNRLTGCSSYKSGKHSTPVQDQKVVVVSQTQVPQAKQRHHNNHQQPPSANKTSQVKVKDTVLGKPLEDIRQYYTLGDELGRGQFGVIYLCTENSTGHTYACKSILKRKLTSLQDKEDIKKEVQIMQHLSGQPNIVEFKGAYEDKDCVHIVMELCAGGELFDRIIAQGHYSERAAAAICRQVVNVVQNFHFMGVMHRDLKPENFLLSTKDEDAMLKATDFGLSVFIEQGKQYRDIVGSAYYIAPEVLRRSYGKEIDIWSAGVILYILLCGVPPFWAETEKGIFDAILEGELSFENDPWPSISESAKDLVRKMLTMDPNERLTAAQVLEHPWLREGGEASDKPIDSAVLSRLKQFRAMNQLKKLALKVIAENLSAEEIQGLKAMFKNIDTDESGSITYEELKEGLARLGSKLTEAEVKQLMEAADVDGNGTIDYIEFISATMHRYRLERDEDLYKAFQYFDKDNSGFITMDELEAAMKDYRMGDEASIKQIISEVDTDNDGKINYDEFCAMMRGGAPQTAKLF >KJB64787 pep chromosome:Graimondii2_0_v6:10:8226446:8231523:1 gene:B456_010G064600 transcript:KJB64787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSGPKMSWKFSQTFKFKLQELTMGATENIWTAMDIAPSVAIHDSIPHHPLSVDTALSFSVMSHRVIDVCKKLFRKWEKLDDSRFTVETVSGGITNLLLKVSVKEGNGDNVAVTVRLYGPNTEYVIDRKRELQAIKYLSAAGFGAKLLGVFGNGMVQSFINARTLNPADMKKPKLAAEIAKQLRRFHQVEIPGSKEPQLWVDIFKFFEKASSLRFEAPDMQRRYEAISFKEVHEEVTQLKELTDRLNAPVVFSHNDLLSGNLMLDDKHDNLYFIDFEYGSYSYRGYDIGNHFNEYAGYECDYSLYPSKDEQYHFFRHYLKPERPYEVPEKDLKALYIETNTFMLASHLYWALWALIQAKMSAIDFDYLGYFFLRYNQYEKEKQMCVSLAQSHLSV >KJB64633 pep chromosome:Graimondii2_0_v6:10:7033055:7034505:1 gene:B456_010G058800 transcript:KJB64633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPELAKLGSSLSVPSVQELAKKPLKEVPPRYVRTDEDSPIISHSNPLPQVPVIDMQKLSSQQELEKLHYACKGYSLINHGVSTSLVEKVKMEVQEFFNLPMEERKKLWQKSDEIEGFGQAFVVSEEQKLNWGDMFYMITLPTYLRKPHLFPNLPITLRENLEAYSVELKHLSMKLLDHMGKSLGMDPNDMRVLFEEGHQAMRMNYYPPCPQPELAIGLSAHSDPVGLAIVLQINEMEGLQVKKSGVGVPIIPLENAFVVHVGDIMEIVSNGVYPSVKHRAAVNSVKERLSIVTFLFTPQNPPLFKRIGVADYFKCLFTRELRGKSYEDVLRIQPDQETNTN >KJB65940 pep chromosome:Graimondii2_0_v6:10:24918506:24920740:1 gene:B456_010G121800 transcript:KJB65940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLMSFPKMDDQMAVQEAASQGLKGMEHLIRLLSHQSSNVDCTDLADLTVSKFKKVISLLNRTGHARFRRGPIQPLSSSSSPSTSSSASLSVPNSQNNLTLTSAAISTPATINTAVVGTSVISASSFVQSQPQSLTLDFTKPNLFGSNGKSTELEFTKESFSVSSNSSFMSSAITGDGSVSNGKQGSSLFLAPALAVSTGKPPLSSTPFKKRCHEHDHSDNVSGKRSGSGNSKCHCSKRRKNRVKKVIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPFPRGYYKCSSVRGCPARKHVERAPDDPSMLIVTYEGEHRHTQPAMQENMAPAVGMDWTSSQRDNKQILI >KJB68517 pep chromosome:Graimondii2_0_v6:10:61720791:61723411:1 gene:B456_010G249500 transcript:KJB68517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEELTSRSEIRKELERERRRIRDRQRRQSMSLEEKEKHLARRRRNYQLRRQRAEMARLNPPISPIQLPLSNALTCVSVSDVSPRCNADVAVAADAFLRTDHGQQQRLILDTGNSHSLDVPAHKLAILPGKVRLNRIKHLARAINDPVGDGVSIGGLMKENGTSNCLSSKGLRLNRIKRLARTTNPAVQEILSQSHQSITEGCGEKG >KJB68516 pep chromosome:Graimondii2_0_v6:10:61721302:61722376:1 gene:B456_010G249500 transcript:KJB68516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEELTSRSEIRKELERERRRIRDRQRRQSMSLEEKEKHLARRRRNYQLRRQRAEMARLNPPISPIQLPLSNALTCVSVSDVSPRCNADVAVAADAFLRTDHGQQQRLILDTGNSHSLDVPAHKLAILPGKVRLNRIKHLARAINDPVGDGVSIGGLMKENGTSNCLSSKGLRLNRIKRLARTTNPAVQEILSQSHQSITEG >KJB68545 pep chromosome:Graimondii2_0_v6:10:61731512:61734492:1 gene:B456_010G249700 transcript:KJB68545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRRLTLKLTLSLSLITCFLLSSSYGQNCAKHSFPNNRVFKTCSDLPVLNSFLHFNYDTSSSKLEIAYRHSGIGSSRWVAWAINPTSTGMVGSQALVAYQQTNGTMRVYTSPITQYQTQLQEGELSFNVSDLSASYENDEIIIFATLGLSNNGTMLNQVWQEGGLNGNIPQMHVTSGANVQSMGTLNLVSGEAGTSNGGGSKLKKRNIHGVLNTVSWGILMPMGAIIARYLKVFKSADPAWFYLHVLCQFSAYVVGVAGWGTGLKLGSESVGIQFDAHRTIGIILFSLGTLQVFALLVRPKPEHKYRLYWNIYHHLVGYTVIILSVVNIFKGFDILEPEKKWKHAYIGVIVALASTAVLLEAYTWFVAVRRKRSESEGKLPYGGVNGGEGNGVYGHGVRTQQA >KJB66603 pep chromosome:Graimondii2_0_v6:10:38584178:38585830:-1 gene:B456_010G146600 transcript:KJB66603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTRVLRRSFSTTPNPQPDSVRKFSEDLYKERDLKRLVEKFKKSCEYSHFRRRSGIYEDIVRRLASAGRFQWIEEVLEDQKKYQDISKEGFGARLIHLYGKSGMFEQAYKVFDEMPNRGLLSFNALMGACVNAKKFDKVNGFFKELPEKLSVEPDLVSYNTVIKAFCEMGSLDSARLMLDEMEKKGVQPDVITFNTLLYEFFKNGRFDDGEKIWGKMVEKNVEPDIRSCNAKLLGFSTEKKMKEAVNLVEEMRSKGLKLDVFTFNYMIRAFVNEGKLEEAKEWYNQIGKNECAPDKLTFTMLVPFLCEKGDLSFAIELCKEIFGRKKLVDAALLQRVVDELVKASKIEDAKELVKLAKTNNFCRYKLKMPAE >KJB68217 pep chromosome:Graimondii2_0_v6:10:60375988:60379859:-1 gene:B456_010G232900 transcript:KJB68217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLRAPFKGIANDVKGRTCCYKQDWIIGLRSGLGILAPTTYIFFASALPVIAFGEQLSRDTDGTLSTVETLASTALCGILHSIFGGQPLLILGIAEPTVIMYTYLYNFAKGRDDLGQELYLAWAGWVCVWTALLLFLLAVFNACTVINRFTRIAGELFGMLISVLFIQEAIKGVVSEFRVPEHQDAKLGKYQFQWLYTNGLLGIIFSLGLLHTALKSRRARSWWYGTGWFRSFIADYGVPLMVVVWTAMSFSVPSKVPSGVPRRLFSPLAWESASLQHWTVIKDMGRIPPLYIFAAFIPAVMIAGLYFFDHSVASQLAQQKEFNLKNPSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKGQIIRRKMVETAKESVKQKASNSEIYGKMQAVFIELDKSPETAVAKELEDLKKVVMKGENEGEIKKETFDPEKHIDAYLPVRVNEQRVSNLLQSLLVAASVFAMPAIKLIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFIAPSGRYKVLEHVHASFVESVPYRFIAIFTLFQFVYLLMCFGVTWIPIAGILFPLPFFLLIIIRQYILPKLILPSYLCELDAAEYEELTGSVPRTSLSFSSREMDISCPENEADAVERFDAELLDELTTSRGEIKLRNVSFGEERKGQVFSKEIVEEE >KJB68218 pep chromosome:Graimondii2_0_v6:10:60376996:60379859:-1 gene:B456_010G232900 transcript:KJB68218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLRAPFKGIANDVKGRTCCYKQDWIIGLRSGLGILAPTTYIFFASALPVIAFGEQLSRDTDGTLSTVETLASTALCGILHSIFGGQPLLILGIAEPTVIMYTYLYNFAKGRDDLGQELYLAWAGWVCVWTALLLFLLAVFNACTVINRFTRIAGELFGMLISVLFIQEAIKGVVSEFRVPEHQDAKLGKYQFQWLYTNGLLGIIFSLGLLHTALKSRRARSWWYGTGWFRSFIADYGVPLMVVVWTAMSFSVPSKVPSGVPRRLFSPLAWESASLQHWTVIKDMGRIPPLYIFAAFIPAVMIAGLYFFDHSVASQLAQQKEFNLKNPSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKGQIIRRKMVETAKESVKQKASNSEIYGKMQAVFIELDKSPETAVAKELEDLKKVVMKGENEGEIKKETFDPEKHIDAYLPVRVNEQRVSNLLQSLLVAASVFAMPAIKLIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFIAPSGRYKYAAFSLLLVP >KJB64889 pep chromosome:Graimondii2_0_v6:10:10260274:10261233:1 gene:B456_010G072500 transcript:KJB64889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLMKCSVFRLKTIQVEDQTKDGGKYGSRYGASLRKQIKKMEVSQHSKYFCELGGKYAVKRKAVGMWGCKDCGKDKAGGAYTLNIASAVTVKSTMRRLREQTESRAAADYVMFNFGMMFLYVSTTNQLSHFVHNYDA >KJB63642 pep chromosome:Graimondii2_0_v6:10:709184:712512:1 gene:B456_010G009600 transcript:KJB63642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLIQGLRSCWQERIKLAILRNSGGHNRKNMFSRTGSVETEEKGLENITVADVLISKGKENVGSWLWCRVNDNVDDAMKNMAQHNIGSLVVLKPGDQLHVAGIITERDYLRKIIGQGRSPKYTRVGEIMTDENKLITMKSDTSILQAMQLMTDNHIRHVPVIDGRIVGMVSIVDVVRAVVEQQNGELKRLNDFIKGEYY >KJB68084 pep chromosome:Graimondii2_0_v6:10:59686742:59688804:1 gene:B456_010G224600 transcript:KJB68084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFSVQCGLDSFLLRGLDFIVGHANFVWKLKQTLPTLSAALEELKARRVDVKRRVDLAERILLKPLEEVQLWLSKAETMITEAEELVSNGPQQMNNLCLGGCASKSCLSSYKFGKKVNKMLQEISDLKWEGVFEKVAEDPPPAPVVVRPEEQAVALESTIQKVWSCIVETNVGIIGLYGLGGVGKTTLLTKLNNKFSTTPNDFEVVIWAVIQDRIGENVGFPQSWKNKSVDQKAIDICGMLSNKRFVVLLDDLWKKVDLSLVGIPEPSQTKSSKLIFTTRSWDVCCYMEAKTKIKVECLEPEKAWELFQDKVGDEALNSHPDIPNLAKQVAERCGGLPLALITIGRAMACKTTLGEWNYAIEMLKRCALPQMEDDVFSLLKFSYDNLPNATMRSCFLYCCLHPEDYCIPRKRLVEYWFCEGLLIEFDRISEAQMQSDHIINSLLNACLLEHGGEIHGEECVKMHDVIRDMALWITRSSEATENHFFVKAGAQLYEEPDVKAWESVQRMSVMTNKIEVLKETPKCPNLRTLFLSQNELQVISDGFFQFMPHLTVLDLSRNLRLRVLPVGISVLVCLECLDLSFTGISELPKGLKSLTKLKMLDLSYMDNLRKIPQHLISSFSQLQIFRMWWSGCGDYPNEDNVLYGGNEKLIGELKGLQRLSILRIQKRHVVSRMGK >KJB67663 pep chromosome:Graimondii2_0_v6:10:57013544:57015413:-1 gene:B456_010G204200 transcript:KJB67663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWFTAVKKVLSLEPNKDEKIQKSKKNGVKLPEKIKGSKRDNWFAPATTMVTGALVRLTLSPHYLGKSMEEIATVKIQTVFRGYLARKALRDLRGLERLKSLIQGQSVKRQATITLRCMRTLARVQSQTRTRQLRVSEQNRALQKHLQTKYEKQLQNSKSYMGEDWNVSTKSKEQMQAKQQYRQVAALRRERALAYSFTHQRSWKVTCRSMNHTSMDPFNPKWSWSWLERWMSTRPWEIQNAPDNNDHSPSKSVGAEITKAKFQSDVNNDHNKQSSTPAKPIRPPNRRSSSTPPSKMHSISSKKGLQSPSPTRIQLPDRYKRHSIGGLSLERDDEVFANSPPSNKIPARSSSKDRSRPPSINRNPVNTRRHSGPPKVDIFPN >KJB67327 pep chromosome:Graimondii2_0_v6:10:53743145:53744305:1 gene:B456_010G186200 transcript:KJB67327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVTKMVMVLVFMAASTGVKWVGAQVHHVVGGDRGWDPSFDVASWSSGRIFRVGDKICFPYSAAQESIVEVKSKDEYESCDVGNPIRMYTVGLDGIELDGEGIRYFMSSKPESCKRGLKLRVELMPLQSPEFPQEILSESESESDNSDWSIAAAPTTPSPSVQLYGNFLLSSFGLLLCTCMAI >KJB66413 pep chromosome:Graimondii2_0_v6:10:33201890:33202075:1 gene:B456_010G1392002 transcript:KJB66413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMTSLICL >KJB68258 pep chromosome:Graimondii2_0_v6:10:60549693:60552026:1 gene:B456_010G234900 transcript:KJB68258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSVKRWSMIRPAQDTPKERQWISNLDLVMPTDHVPLLFFYKPNGSSDYSKPQVLQEALSKTLVQFYPMAGRLGRDENGRLEILCNAEGVLWIEAETTSAMDDLDDFTPCSKLTKLVPKADYFGDISSYPLIMAQVTTLKYGGVCLGIATHHTLTDGTTAFHFISSWSEMARGLPQISMPPLIDRTLLRARVPPIPIFHHLECNPPPFLNTSTSLGPNNHKPSTVSVFKIIQNQLNTLKAKSWEHGNKTNCTRGLSYDQKTKLDMPINGRPRLHPPLSSTYVGNATFAGSLITLSRNLQLEPFVNTLERVHETLKRMNNEYLRSALDYLETLPDITVAKRKLDTYQCPNLNINKWTRLSIYDIDFGWGRPIYMGPANVVHEGKIYILPSPTDDGSLSLVACLQTAHMKLFGKHLYEGLKSFDKIKARY >KJB66825 pep chromosome:Graimondii2_0_v6:10:45398622:45400166:-1 gene:B456_010G159900 transcript:KJB66825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSVPTAMKKDTSGGQGLILGRYELGKLLGHGAFAKVYQAYNVKSGDSVAIKVLDKEKILKGGLIAHIKREISILRRVRHPNIVQLFEVMATKTKIYFVMEYVRGGELFNKVSKGRLKESVARKYFQQLISAVNFCHARGVYHRDLKPENLLLDDNGDLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLGRKGYDAVKVDIWSCGVILFVLMAGYLPFNDQNIMAMYKKIYRGEFRCPRWFSSELVRLLMKLLDTNPETRITIPEIMENRWFKKGFKHIRFYIEDDKFCSVEEADDDVGSYATDHSSMSESESELDTRRGAGSLPRPASLNAFDLISFSPGFNLSGLFEEGGEGSRFVTEAPVSKILSKLEEIAKVVRFTVRKKDCRVSLEGSREGEKGPLTIAAEIFELTPSLVVVEIKKKGGDRGEFEEFYNRELKPGLENLMEEESQSTASAAAAAAAAAAAADSCLPPDSE >KJB67748 pep chromosome:Graimondii2_0_v6:10:57371671:57373585:1 gene:B456_010G207800 transcript:KJB67748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPNPQKLIKVFNNWTLAIKNASSPHQALVLYSQMHRQSVPFNSFSILFTLKSCTPLRNPSLVAHLHCHILKLGFVSHVYVATSLLNAYVVSCFDYACKLFDEIPERNIVTWNTMITGYSRSGDVNKAYAFFKAMTLRDVASWSAMIAAFMNNWKWNCGLSCFREMVANERLKPDEVTVGAVLSGCAHMGSLGLLAGRSVHAFIAKNGWNLTVEIGTVLVDMYAKCGLLKYACIVFNAMQQRNVMTWTALISGSAQHGYSDEALSFFEAMQEAGVKPNEMTFTGILNACARKGLVKEGRKYFDMIEQYGLQTRIHHYGCMVDLYGKAGLLEEAYQVIGTMKVEPNVVIWSSFLSACKDHKQFQMADRVIKQVMETVKPESDGGVYSLVSDLYVLNEKWDDAERVRKLMVNQYVRKTRGSSFIRS >KJB67532 pep chromosome:Graimondii2_0_v6:10:55680326:55681506:1 gene:B456_010G195900 transcript:KJB67532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQRSPHLYFPTVHINCQRCLNFHCYKSLDTFPFSKMASARDSQPFHWHYADLEDHDFQIHGRTLFFTVVLFAIVLIFALIFFYTRWLCSSHRHDLSPTSHVPPQPPPQPRGLDPNTINALPITMVTRGRAALGSECCICLGLFEDGEKVKVLPSCHHSYHSECVDRWLSAESSCPLCRNSLQVESDRLRQIPVIVTQ >KJB66333 pep chromosome:Graimondii2_0_v6:10:31331798:31336186:-1 gene:B456_010G136300 transcript:KJB66333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKSISLEEIKNETVDLERIPVEEVFQQLKCTRNGLTSEEGQKRLQIFGPNKLEEKKENKLLKFLGFMWNPLSWVMEFAAIMAIALANGGGKPPDWQDFIGIVSLLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWNEQEAAILVPGDIISIKLGDIVPADARLLEGDALKIDQSALTGESLPVNKHSGDEVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNIGHFQQVLTAIGNFCICSIGVGMLIEIVVMYPIQRRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSMIEVFMDNIDKEMVLLLAARASRVENQDAIDACIVGMLGDPKEARAGVTEVHFFPFNPVDKRTAMTYIEADGSWHRASKGAPEQIIELCNLRNDAKRRAHDIITKFADRGLRSLAVAKQKVPEKTKDGQGDPWQFVGLLPLFDPPRHDSAETIRRALSLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSVLLGENKGDALDTIGVDELIEKADGFAGVFPEHKYEIVKRLQQRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLKEIFGTGIVLGTYLACMTVVFFWAANDSNFFSDKFGVRSIRHNQDELTAAVYLQVSIVSQALIFVTRSRSWSFIERPGFLLVIAFILAQLVATVIAVYANWGFARIKGIGWGWAGVIWIYSVVFYFPLDVFKFLIRYAMSGKAWNNLLQNKTAFTTKKDYGKGEREAQWALAQRTLHGLTPPEITEKSNYIELSEIAEQARKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >KJB63637 pep chromosome:Graimondii2_0_v6:10:696445:697369:1 gene:B456_010G009300 transcript:KJB63637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRTFVVIFFFWAFLTIITPTLVLWSESSKPLLEMNGQKNVGIKDRRMIGYGVEQVSNASISSPQMEAATTSTTTEHHNWSWFQELESLASKVFQKAMGLLISVS >KJB64317 pep chromosome:Graimondii2_0_v6:10:4089239:4090313:1 gene:B456_010G0428001 transcript:KJB64317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NWEAYFLCLMNIQKLEMH >KJB65711 pep chromosome:Graimondii2_0_v6:10:20669918:20670524:1 gene:B456_010G109800 transcript:KJB65711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVRALEDNISLPYLEEGSRFWKNSFNSTSSATFIQNAQLCNNNDSFSETTSEYGLNPSGSTTEIEITPNYSISKQPEYDLNRER >KJB66732 pep chromosome:Graimondii2_0_v6:10:42747266:42749411:-1 gene:B456_010G155200 transcript:KJB66732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITSTTNEWPKNRVDEKHMMMASTSKLMEKPSQQAQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTKGGTLRNVPVGGGCRKNKRLKRPASAIAGATASPSPRPQIDVSSPSTPPININPLFYGLATDTNLPFPNCFDSRVSSGVETVTNYDLQPPQLNAFRPGFSSATAIVSSDYGNGKQIQDVITSNPLLSTYSNIFTIATPTIASLLASTLHQHKFIDGGLENTQPPTHFQALPPFRDLHMTDDIGAAIKDVKVEEPQRRMEWNVVQAQLEQIGSYDPVTAWHD >KJB67729 pep chromosome:Graimondii2_0_v6:10:57258512:57258602:-1 gene:B456_010G2067001 transcript:KJB67729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFELQIFVSPPAGVWNIACITSGLQTVKP >KJB65679 pep chromosome:Graimondii2_0_v6:10:20066084:20067965:1 gene:B456_010G108000 transcript:KJB65679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPIASVSSSTMVFSMKTPPFPSNSLKHPYIRNSYNHLPSRRLALFQLGSVSAIPQSEFFGSIVSGKSENTATAIKEQDALNWVKNDNRRMLHVVYRVGDLEKTIKFYTECLGMKLSRKRDIPEEQYSNAFLGYGPEDSHFAVELTYNYGVDKYDIGNGFGHFGVAVNDVSKTVDLVKAKGGKVTRDPGPVKGGTKIIAFIEDPDGYTFELLEREPTPEPLCQVMLRVGDLDRSINFYKKAFGMELLRAKDNPEYKYTTAMMGFGPEDKNAVLELTYNYGVTEYDKGNGYAQVHYLSVFSC >KJB65680 pep chromosome:Graimondii2_0_v6:10:20066125:20068422:1 gene:B456_010G108000 transcript:KJB65680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSMKTPPFPSNSLKHPYIRNSYNHLPSRRLALFQLGSVSAIPQSEFFGSIVSGKSENTATAIKEQDALNWVKNDNRRMLHVVYRVGDLEKTIKFYTECLGMKLSRKRDIPEEQYSNAFLGYGPEDSHFAVELTYINDVSKTVDLVKAKGGKVTRDPGPVKGGTKIIAFIEDPDGYTFELLEREPTPEPLCQVMLRVGDLDRSINFYKKAFGMELLRAKDNPEYKYTTAMMGFGPEDKNAVLELTYNYGVTEYDKGNGYAQIANRAPDGWFYKTRRSKLSYVGGTIIPWNPGPLAQASTLRLLLALGPRWPGNPVFVDNIDFLKELE >KJB65201 pep chromosome:Graimondii2_0_v6:10:12651867:12655249:1 gene:B456_010G084300 transcript:KJB65201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLSLLLSAWQQILSHRFFNLACNISLHSKDREVTLRVNSFKGTDSETIINSVGSDSKIQRKNSKTLRNGKADYYQVLLDKTHSFKDLVQDKRKSSSNGLIHKPMPTISLPEPTILFSPRPVSELDAAAVKLQKVYKSYRTRRNLADCAVVIEELWWKVLDLAELKQNSVSFFEVEKPESAVSRWVRAKTKAAKVGKGLSKDEKAKKLALQHWLEAIDPRHRYGHNLHMYYDVWFSSESTQPFFYWLDVGDGKEVNLEKCPRKKLQQQCITYLGPKEREEYEVIIENGRLAYRQSGSPVDTTGESKWIFVLSTSRALYVGQKKKGKFQHSSFLAGGATTAAGRLVARDGVLQAIWPYSGHYHPTEENFMEFISFLEENNVNLTNVKTCAVDDDNSYGQAPTPDKESKPKPESDKIRKADENDEGDSVRGAKTSSDDDQKDVKIETNGAGGKEEAAAFSMAKRLSCKWTTGVGPRIGCVRDYPSELQWKALEQVNLSPRVALGMVKLGPIPSPRPSPRIHLSPRIAAMGVPSPRSIASVG >KJB65227 pep chromosome:Graimondii2_0_v6:10:12758519:12759784:1 gene:B456_010G085000 transcript:KJB65227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLVVGESDFEDDMLLLSAGESDFEGVEADGKGEVEGVQVDGEGDAEGGEADGEGDVERVQAIGEGVTSTQFEVDEYGDGMDNVATAVSEEEDRNETEVYDSDEHGSLVRSDKDEEHEDGERRISNLGMLFKDSKQFKSVIRNYFRQLKFLKNEPKRVVRILASYNPVAKCLQNMNFQKEHHCLVSFKNKMVTATMIAQHFEAIIKDHPKIKLREIQRRCASEMYVNVSIDCCYRAKKIYAHELRSKMADNTIKIAIQMVTTNSASYFKRFYVCFDTLKRGWKVRCRPLIGLDDCFLKSPFKSEFLAAVGRDVNNQMFPVAWAMVEVECTDSWV >KJB64055 pep chromosome:Graimondii2_0_v6:10:2641941:2643776:1 gene:B456_010G030900 transcript:KJB64055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNPKSPSSKDPLNKTMDDEEQLHRLPTISEAMQEIKAIGKISGPTAISSLLLYSRAMISMLFLGYLGELELAGGSLAIGVANITGYSVISGLAMGMEPICGQAYGAKQWKLLGLTLQRTVLLLLSASIPISFMWANMKAILLWCGQDQEISLVAHVFIVFSIPDLFFLSLLHPLRVYLRTQSITLPVTYCSAISVLLHVPLNFLLVVYLKLGVAGVAIAMVWTNLNVFLLISLFVYFSGVYKDSWVTPSTDCLRGWSSLLALALPTCASVCLEWWWYELMILLGGLLVNPKATIASMGILIQTTALVYCFPSALSVGVSTRVGNELGANRPGKARISMIVSVFCAVAIGLSAMLFTTLMRHQWGKFFTSDAEILELTSVALPIVGLCELGNCPQTTGCGVLRGTARPTIGANINLGSFYLVGMPVAILMGFVIELGFAGLWLGLLAAQATCALLMLLVLYRTDWMVQVERAKVLTQTTTNSNKHQPPLPISSKQHDQYAKADLEGIMSINDDIVKSASNEKDPLLSNSLSTDTEH >KJB66520 pep chromosome:Graimondii2_0_v6:10:35687859:35690629:1 gene:B456_010G142400 transcript:KJB66520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASMSNDNTSNVDLDFNNSNSSSSSKDDHEQDTVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRGENSRSIGLKKTLVFYSGKAPKGIRSSWIMNEYRLPHHETERYQKAEISLCRVYKRAGVEDHPSLPRCLPTRALTSSRGVLQSEKKYSQAQDAAQQAMERFQGFGGGQSQPQMEIEKISETDGSSSSTSDVTTALGLSKQNVYRPTALIRTTLGLPSGIMEEEGLFLNQSKQGCTSLLPNSTTLFQLGSSSVSSNVVDDLHRLVSYQQAAMNPLQYYNTYQQQQQQPEFSTLPPQSQAQQLSLNVLPNSLPLTTFSDRLWEWSPIPEPSREFTNPFK >KJB67238 pep chromosome:Graimondii2_0_v6:10:52990174:52991523:-1 gene:B456_010G181800 transcript:KJB67238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESIYGFIFKLCCSTRSLIVIGSSVAINEALEFPLGFLYKKTLFVSHLKEEQPCHMSSSPSVPKVSNQNLSEEFNTGDGFINTIPNEKCAVTTTPLLQETPSVSMATMQLYAILETVADRVEMHKNIGNQRENWNTLLLNSTNMMILTAATMAGVTATSHGVSVLGLKLGSILLFSGATATLVIMNKIQPSQLVEEQRKATKLFKQLGSQVQTLITVGSPSKEDVKGVMAKILVIDKAYPLALLGGAMLEKFPESLEHAVWWPRNESQKENINRKVEMGNGWTKELESEMREIVEVIKRKDSQDYERLGNKALKINKVLATSGTLLTGIAALASTFMGSSNIGPWAATVATITGALASAVNTFEHGGQVGMVFEMYRNNAGFFKYMQESIESTLDESDVKKREHGALFEMKVALQLGRSLSQLRNLAKKSTYSRIEGIPIDEFASNLF >KJB66619 pep chromosome:Graimondii2_0_v6:10:39297831:39300089:-1 gene:B456_010G148600 transcript:KJB66619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFDLENPFTSLDNQESDIISALFSSESDHMPSHNYFLSLKSNDSFVSFRQEAISLILRAQYSCNIDRYTTYLATNYMDRFVSRQEIPQGNPWVLRLLVIATISLASKMKEQHFSSSNFQREEGFIFDAPAIQRMELLILDALNWRMRSVTPLSFICFFISLFELKDPPLRQALKDRATNIIFQACNEIKLLEFKPSIIAVSALLMASHELFPLQFPSFETSILSCRHVNKENQLKCFNAMQEMVANETSDSIIETVSSSSTTTPMSVLDCHCERSSSSMAAIAVPEKREQRKRQKLNGFCSESNRVKISQIQPCG >KJB68230 pep chromosome:Graimondii2_0_v6:10:60441630:60442211:1 gene:B456_010G233700 transcript:KJB68230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTARDVGQSFIAWITVSSSTPHLGHFCSKSRLIEAIFTFCRENLVSLTPIKHPKLGHSLKCTSFPSFHSLLLWVFLFDCFLVLV >KJB63725 pep chromosome:Graimondii2_0_v6:10:967443:969564:1 gene:B456_010G012600 transcript:KJB63725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLVNVQIESSVPLSGLFVLPLRGETRHLIRLGTMGNSRSRTSRHGVGEAQGCVYAALWCWLIMWVEARAKPGVMSK >KJB68027 pep chromosome:Graimondii2_0_v6:10:59351065:59356539:1 gene:B456_010G222600 transcript:KJB68027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1-1 [Source:Projected from Arabidopsis thaliana (AT1G15880) UniProtKB/Swiss-Prot;Acc:Q9LMP7] MEVPVSWDALRKQARKLEAQLDEQMNSYRKLVSSKASIKNDLEENGIESGIDRLLKQLQEVNMKMQDWVSSGGSEMVSHTLTRHQEILQDLTQEFYRLRSSLRAKQEHASLLEDFREFDRTRLDLEEGVGSTEQALLKEHAAISRNTGHMDNVISQAQATLGALVLQRSTFGGINSKLSNVSSRLPTVNQILSAIKRKKSMDTIILSLVASVCTFLIFIYWLSK >KJB67202 pep chromosome:Graimondii2_0_v6:10:52599740:52602468:-1 gene:B456_010G179900 transcript:KJB67202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKNQGVSLTSSAEPPMKRKRGDYTPATPESDNLKRNKQSAWISDPASSDMLGQMVSGVIEGSFDAGYVLQVKVGDTNTHLRGLVFLPGLFAPITNANDVAPHAKMHKRKDIPIPFVNLHGHLHVLSSSGNSEKPVEQKNDTSNRPDRGLHMGLEPGASVAGESQFASILIPPASNLPINEAGLPLRQKVMQEQSSDSGLHNEKAVGQHQSLEGFEAFKQMKGPSINVEATKASELSAEFAATLQATDTINLKPQIQYKALSSELKPPALIHDHDTISFDIGDNQTPQISEPEPQAMACDAGINMFGKQASSRQDTQSELGQKYMDGLFASDDVTTSATAPCSAPMTSLQVMIFEADTIPFEPKSGAEESVLPTMVVPEVNSSLVTTANTYSVESNAKDATPTPRS >KJB67203 pep chromosome:Graimondii2_0_v6:10:52599740:52603140:-1 gene:B456_010G179900 transcript:KJB67203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIFGLLTLLNIIILIIDLTPIFFTQLIWILESPTEQRMSSKNQGVSLTSSAEPPMKRKRGDYTPATPESDNLKRNKQSAWISDPASSDMLGQMVSGVIEGSFDAGYVLQVKVGDTNTHLRGLVFLPGLFAPITNANDVAPHAKMHKRKDIPIPFVNLHGHLHVLSSSGNSEKPVEQKNDTSNRPDRGLHMGLEPGASVAGESQFASILIPPASNLPINEAGLPLRQKVMQEQSSDSGLHNEKAVGQHQSLEGFEAFKQMKGPSINVEATKASELSAEFAATLQATDTINLKPQIQYKALSSELKPPALIHDHDTISFDIGDNQTPQISEPEPQAMACDAGINMFGKQASSRQDTQSELGQKYMDGLFASDDVTTSATAPCSAPMTSLQVMIFEADTIPFEPKSGAEESVLPTMVVPEVNSSLVTTANTYSVESNAKDATPTPRS >KJB67134 pep chromosome:Graimondii2_0_v6:10:51804971:51806047:1 gene:B456_010G176600 transcript:KJB67134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLPNTFLQIKPSPPPSLPPRKVVTARGGGYRPQVTCRKKDIYSEFHEDAKVYYNGELVMTTGGTQKEYVVDVCMNTKILFHFY >KJB65762 pep chromosome:Graimondii2_0_v6:10:21554940:21560360:-1 gene:B456_010G112500 transcript:KJB65762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSNSRRTGGKSSVVAGSVWETRMKSDEFKGGIKVFNEEENGNGEGNNAGGDKRLSLKKGQTFGGVGVSGKRKTWKSESFEGLEKNPIRVAKGRSMEHCKDLSLSVDGIKKVSPTQVKKGTRDLSKSVDGIERTPIHSKKLRSDVAKKGVEMSSKDGIESGEGLEGNSVKASAQSCDENGNDDKVLVFDDEKIEGSDKDSNENLKDESDCEEHCKKCGVCQEMVISSNGDDEEDEEMEGLGDEKKSFDIKEMNVPEVEKKPNKIANEIKPNKAVNEVKKLQEDNEPSKVTNGVKKTSQFPIKAAPFSPTLNKQPPPVVKHATLYDDYHYQSFPQTQDQLHNLVDLVMWRDVSKSALIFGVGTFIIISSSYTQDLDISCITVTSYVGLVYLAAIFLYRSIICRGVVDVDESRCVVGEEEAIWVLKLVLPYLNEFLLKLRALFSGDPYTTMKLAVLLFVLARCGSSITVWKMAKLGFFGVFTVPKVCSSYSHQLTAYGKFWIGRIEDAWETCTHKKAVAVAIFTLVWNLTSIVARIWAAFMLFVAWRYYQQKMVGEEDWVEAEIGPTNGESYQAPPNPMGRKLRHGVVGPSKMLPNKLKKRS >KJB64902 pep chromosome:Graimondii2_0_v6:10:10058956:10060431:-1 gene:B456_010G071500 transcript:KJB64902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GMFHFNKYALAATILASTNSVLLGYDIGVMSGAVLYIKDDLQISSTQQQILVGSLNVFSLIGSLASGKTSDTIGCRYTIVLAAVTFFVGAFLMGLAPSFPFLMAGRLVAGIGVFYSFMIGPVYIAEISPAMTRGFLSSLPEIFINIGILLGYISNFALSGLPQPLNWRLMLGLAALPVGAVALGVIAMPESPRWLVMKGRFVEAKRVLLKTSDSETEADMRLVEMAKAAETLQPEPSSVSWHGQGQFGVTIVMGIVKTCFVFISALYLDHFGRRPLLMLGTIGMAISLAGLGVGSNYLEQSYEKPVWAIALCIVAVCADFSFFSIGHGPITWVYSSEIFPMRLRGQGSSLAISVNRIITFGGMFFALSGIMAAAAVFVYFFLPETKGKSLEEIETIFDS >KJB65569 pep chromosome:Graimondii2_0_v6:10:18307537:18310406:-1 gene:B456_010G101400 transcript:KJB65569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTNRNTRFGSSSLTLGERICAAFIPLVAVVDFFIFVVANCFHCQPRREKCRYGPSDFTRLANESRFTVNEVEALYQLFKKLSSSLIDDGLIHKEELQLALFQTPYGENLFLDRVFYLFDEKKNGVIEFEEFIHTLDIFHPSAPIEDKIDFAFRLYDLRQTGFIEREEVKQMVVAILMESEMVLSDDIIESIIDQTFADADADKDGRIGKEEWKTFVLQHPSLLKTMTLPHLKDITTLFPSFVYYTELEEL >KJB63767 pep chromosome:Graimondii2_0_v6:10:1175987:1177879:-1 gene:B456_010G015400 transcript:KJB63767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFNIPYLSYYLVLIAITTLFFYTKLKRSNGKAAPEAGGAWPIIGHLPLLGKPVAAHVTLGALADKLGPAFMIRLGVHPALVVSSREVAKEIFTTNDMAVSSRSKMAAAEHMGYNYAMFGFSPYGQYWREMRKITMLEVLSNHRIDQLKKVFVSEIEGSIKDLYKFWVKEKDGESGLAAVEMKKRFLDLTLNVILRTVAGKRYSGVGKEEQQVVSRYRKALRDFFYLSGIFVIGDAIPFLRKFDLGGYEKWMKKTAQELDDIAEGWLNDHRREGYWDESKKEKDFMDVMNSVLKGADLAGYDADTINKATSLQMILAGSDTTTVTLIWALSLLLNKPHLLKKAQEELDIHVSKDRFVQESDISKLVFIQATIKETLRMYPPAPLSAPRELNESCTIGGFEIPKGTRLIINLHKIQRDPKVWSEPSEFMPERFLTTYKDVDVRGQHFELMPFGSGRRSCPGTSFALQMLHLTLSNFLHAFEFSTPDKGLIDLTGTVGLTDMKSTPLETVISPRLTPELYIY >KJB67072 pep chromosome:Graimondii2_0_v6:10:50548298:50557056:-1 gene:B456_010G173400 transcript:KJB67072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEGSRIIGRWMMDAPNNIFSELVSLLKSWLPWQSEAANVSRDFWMPGHSCRVCYDCDAQFTLFNRRHHCRLCGRVFCAKCTANSIPAPSYDPQIPLEEQEMMRVCDYCFKQWRLGIYTNDGQVWVPDQDLCSSMLATSITSSVAFRARRFQHLHRRSIPSPRSAMRQGMCRPSQKTPKFSDDLILDAEDPSSSQYEFSWSSIGIDDEDDDYSLYMAGSDTMHFSEDNGYYTPLSSDEMSNNDGGNIDSRSSEGILTQKVWIDDEDDEYCLYLSDSETSHFSEDDGYYTPIDFDDISNDDESQKVYPDRENIDSNSLSTSPVNRVPSPDVEGISQLVQKDEHDGDEHEASPTLYAAEPVDFENGLLWLPPEPEDEEDEREAAMFEEEDDGEGASEWEYWRKSSSFGSGESRSRDSRSNEEQKKVTKNIVDGHFRALIAQLLQVENLPLGDEFSKDSWLEIITALSWEAASLLKPYTSKGGEMDPAGYVKVKCIASGLRNESMVIKGVVCKKNVAHRRMNSKVEKPRLMILGGALEYQRVSNLLSSFDTLLLQEMDHLKMAVAKIHAHKPNILLVEKSVSRFAQEYLLEKNISLVLNIKRPLLERISRCTGAQIVPSVDHLFSQKLGYCEKFHVERFREDLGSARQGGKKLAKTLMYFEGCPKPLGCTILLRGANGDELKKAKNVIQYGIFAAYHLALETSFLADEGASLPEFPNSPMTVALPDKPSTLARSISTVPGFTTPANVKPQGSQHCSGPQRANSVPSAIISRNIQKIEETPASCLSKGTSLWSVQPTVKKSTALLSTTSENILDAFSKKSEMGTKESSMREIMVDKSKPAFMNNGVHPLESLAHINSENNSTVVELQSGGSKATSVQQDDKNHNNQSEVSKTLNEAFPSAPSDNQSILVSLSSRCVRKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSFRCNSCDLPSEAHVHCYTHRQGTLTISVKKLPEFFLPGEKEGKIWMWHRCLQCPRTNGSPPATLRRVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYATIDVHSVYLPPSKLEFDYENQDWIQKETDKVDNQAEILFSEVLKSLGQIAEKQMGLGPLDSNMETSESRHQIAELEGILQKEKLEFEESLQKSLKREGRKGQPVIDILEVNRLRRQLLFQSYMWDQRLVFAAKLENYSPQNSCSNSISGHEEKPPTEIERIKDMDMLKDGKGSECSDSTLVDAKLNTDYDQGKVNGNTNQSDAVHQEPGMNRNSNCENEDYNNLSVSQSMFDQYDNDKPKANVRRALSEGQFPVIENLSDTLDAAWTGEIRRGPVLSKKNSFSLPDFCAVDLQGDYEEKIAPKVVRSASPALFSIGSENMEDFVSWLKMPFLSFYRSYNKDFLGSASKLDTFSAYDRFNVSSFRELELQDGARLLLPVGINDTVIPVYDDELTSMISYALVSPEYHSQLSDDGDRPKDQGASTASVPLSDSVNFQLSHSVDDLALDPHRSFGSADGLLRTRSSLIIDPLSRTKSLHVRVSFGDDGSDKVKYVVTCYYAKWFETLRKICCPSEMDFVRSLSRCKKWGAQGGKSNAFFAKTLDDRFIVKQVSKTELESFLKFAPEYFKYLSEAIRSRSPTSLAKILGIYQVTTKQPKGGKESRIDVLVMENLLFRRSVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLLEQMPTSPIFVGNKAKRLLERAVWNDTAFLAANDVMDYSLLVGVDEEKHELVLGTIDFLRQYTWDKHLETWVKASGILGGPKNASPTVISPEQYKKRFRKAMSTYFLMIPDQWSPPSVPSKSQSDTGEETGQRRTSAK >KJB67071 pep chromosome:Graimondii2_0_v6:10:50548298:50556783:-1 gene:B456_010G173400 transcript:KJB67071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEGSRIIGRWMMDAPNNIFSELVSLLKSWLPWQSEAANVSRDFWMPGHSCRVCYDCDAQFTLFNRRHHCRLCGRVFCAKCTANSIPAPSYDPQIPLEEQEMMRVCDYCFKQWRLGIYTNDGQVWVPDQDLCSSMLATSITSSVAFRARRFQHLHRRSIPSPRSAMRQGMCRPSQKTPKFSDDLILDAEDPSSSQYEFSWSSIGIDDEDDDYSLYMAGSDTMHFSEDNGYYTPLSSDEMSNNDGGNIDSRSSEGILTQKVWIDDEDDEYCLYLSDSETSHFSEDDGYYTPIDFDDISNDDESQKVYPDRENIDSNSLSTSPVNRVPSPDVEGISQLVQKDEHDGDEHEASPTLYAAEPVDFENGLLWLPPEPEDEEDEREAAMFEEEDDGEGASEWEYWRKSSSFGSGESRSRDSRSNEEQKKVTKNIVDGHFRALIAQLLQVENLPLGDEFSKDSWLEIITALSWEAASLLKPYTSKGGEMDPAGYVKVKCIASGLRNESMVIKGVVCKKNVAHRRMNSKVEKPRLMILGGALEYQRVSNLLSSFDTLLLQEMDHLKMAVAKIHAHKPNILLVEKSVSRFAQEYLLEKNISLVLNIKRPLLERISRCTGAQIVPSVDHLFSQKLGYCEKFHVERFREDLGSARQGGKKLAKTLMYFEGCPKPLGCTILLRGANGDELKKAKNVIQYGIFAAYHLALETSFLADEGASLPEFPNSPMTVALPDKPSTLARSISTVPGFTTPANVKPQGSQHCSGPQRANSVPSAIISRNIQKIEETPASCLSKGTSLWSVQPTVKKSTALLSTTSENILDAFSKKSEMGTKESSMREIMVDKSKPAFMNNGVHPLESLAHINSENNSTVVELQSGGSKATSVQQDDKNHNNQSEVSKTLNEAFPSAPSDNQSILVSLSSRCVRKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSFRCNSCDLPSEAHVHCYTHRQGTLTISVKKLPEFFLPGEKEGKIWMWHRCLQCPRTNGSPPATLRRVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYATIDVHSVYLPPSKLEFDYENQDWIQKETDKVDNQAEILFSEVLKSLGQIAEKQMGLGPLDSNMETSESRHQIAELEGILQKEKLEFEESLQKSLKREGRKGQPVIDILEVNRLRRQLLFQSYMWDQRLVFAAKLENYSPQNSCSNSISGHEEKPPTEIERIKDMDMLKDGKGSECSDSTLVDAKLNTDYDQGKVNGNTNQSDAVHQEPGMNRNSNCENEDYNNLSVSQSMFDQYDNDKPKANVRRALSEGQFPVIENLSDTLDAAWTGEIRRGPVLSKKNSFSLPDFCAVDLQGDYEEKIAPKVVRSASPALFSIGSENMEDFVSWLKMPFLSFYRSYNKDFLGSASKLDTFSAYDRFNVSSFRELELQDGARLLLPVGINDTVIPVYDDELTSMISYALVSPEYHSQLSDDGDRPKDQGASTASVPLSDSVNFQLSHSVDDLALDPHRSFGSADGLLRTRSSLIIDPLSRTKSLHVRVSFGDDGSDKVKYVVTCYYAKWFETLRKICCPSEMDFVRSLSRCKKWGAQGGKSNAFFAKTLDDRFIVKQVSKTELESFLKFAPEYFKYLSEAIRSRSPTSLAKILGYDKAT >KJB68385 pep chromosome:Graimondii2_0_v6:10:61152956:61153548:-1 gene:B456_010G242300 transcript:KJB68385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFRFYTCLVLVLHAVSLSETRLLNQYIEGNKQTRYFRALFIGDTSGKAYEFNVPVMDEYAMKNPDESKRRSPGGPDPKHH >KJB66499 pep chromosome:Graimondii2_0_v6:10:35081556:35086649:1 gene:B456_010G141700 transcript:KJB66499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLMRSSSLPKPAESAEHRTDTSVKFGTPEALDYVRSLTDVGAMTRLLHECVAYQRALDLDLDTLLSQRSDLDKTLNNLQRSADVLDIVKAESDHMLSNITSTCDLANQVSRKVRELDLAQSRVNSTLLRIDAIVERGNCIDGVKSALDAEDYESATEYVRTFLEIDDKFKDSESDQRKQLLASKKLLEGIVKKKLTAAVDQRDHPTILRFIKLYSPLGLDEEGLQIYVGYLKKVIGMRSRLEYEHLIELVEQSHGQNQNHQVNFVGCLTNLFKDIVLAVEENDEILRSLCGEDGVAYAIFELQEECDSRGSLILKKYMEFRKLAKLSSEINAQNNHLLTVGAPEGPNPREIELYLEEILSLMQLGEDYTEYMISKIKGMPTVDPDLVLRATKAFRAGSFSKVVQDVTGFYVILEGFFMVENLRKAIGIDEHVSDSLTTSMVDDVFYVLQSCLRRAISTSNISSVVAVLSGASSLLNNEYYEALQLKLREPNLGAKLFLGGDGVQKTGTEIATALNNIDLSSEYVLKLKHEIEEQCAEG >KJB66498 pep chromosome:Graimondii2_0_v6:10:35081516:35086671:1 gene:B456_010G141700 transcript:KJB66498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLMRSSSLPKPAESAEHRTDTSVKFGTPEALDYVRSLTDVGAMTRLLHECVAYQRALDLDLDTLLSQRSDLDKTLNNLQRSADVLDIVKAESDHMLSNITSTCDLANQVSRKVRELDLAQSRVNSTLLRIDAIVERGNCIDGVKSALDAEDYESATEYVRTFLEIDDKFKDSESDQRKQLLASKKLLEGIVKKKLTAAVDQRDHPTILRFIKLYSPLGLDEEGLQIYVGYLKKVIGMRSRLEYEHLIELVEQSHGQNQNHQVNFVGCLTNLFKDIVLAVEENDEILRSLCGEDGVAYAIFELQEECDSRGSLILKKYMEFRKLAKLSSEINAQNNHLLTVGAPEGPNPREIELYLEEILSLMQLGEDYTEYMISKIKGMPTVDPDLVLRATKAFRAGSFSKVVQDVTGFYVILEGFFMVENLRKAIGIDEHVSDSLTTSMVDDVFYVLQSCLRRAISTSNISSVVAVLSGASSLLNNEYYEALQLKLREPNLGAKLFLGGDGVQKTGTEIATALNNIDLSSEYVLKLKHEIEEQCAEVFPAPAEREKVKSCLSELADLSNTFRQALNAGMEQLVATVTPRIRPVLDSVATICYELSESEYADNEVNDPWVQRLLHAVEINVAWLQPLMTGNNYDAFVRLVLDFIVKRLEVIMMQKRFSQLGGLQLDRDTRALVSHFSGMTQKTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLSLRVDFKPEAIAALKL >KJB67644 pep chromosome:Graimondii2_0_v6:10:56453039:56454469:-1 gene:B456_010G201700 transcript:KJB67644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKYLTMTPGEILLPLFSARSFTSYKVASVNGDYSFSLLLLCCLCYYWWSLPLLLPRAQLTTLHLYHCAKTYNAYCFV >KJB65913 pep chromosome:Graimondii2_0_v6:10:23812838:23813976:1 gene:B456_010G119200 transcript:KJB65913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSVNSVDEGTRARLKHQTLLQEFLQLQKEFVSKKKKLQTVNQRRETLLAEVRFLRQRYSYLSTIKSRQPELEQDSVQSQNPYLQSKTVKPKNFSINEAGERRPSSLPGINPYVVHEEKGGRNRADVQALLRNEKSKNCSINGKRVGKKKISVALKV >KJB65183 pep chromosome:Graimondii2_0_v6:10:12378488:12380320:1 gene:B456_010G083700 transcript:KJB65183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRWPGGVIRGIAYKLQCVQSYSGQDQADTSASDVITTNTENMVVKDSVRTEESFMPSSSEYLKDLSKEKLMDLCELEHLLDELGPRYKDFSRREPLAVDADLLPHVFLGYQPPITRLPYGVRHCLKDHEMTTFRRLVRSTPPHFAIVNLWERTAITKMAVKREVENTRNERMAEELKWQGALLSRNKEFIVFYRGNDFLPPIVKNPLKEIQKSRNLRQEEEEEARGRALALVGSNVKAFTLPLVAGTLTEITAATSRWGHQPSPDKVEEMRRNLALTQQALLVKHLEKKLAHAKGKLTKANKALAKMLAHLDSTNLPTDLETLSEEERILFRKMCLSMKPYLLLGKRGVYDGTLENMHLYWKYQDLLKILVKRESLAQVKHIAISLEVESGGVLVSLDKTTKGCAII >KJB64396 pep chromosome:Graimondii2_0_v6:10:5130470:5131408:1 gene:B456_010G047400 transcript:KJB64396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVSKVRKSDSCHKSVNPHLLPSCPKKAGKGSQKKKCSKDSEKKDWEAAKCSVCLEFPHNAVLLLCSSYDKGCRPYMCATSRRFSNCLEQYKKAYTKVTSADNGSVDNASVGQPSEKMEAPELLCPLCRGQVKGWTVVEPVRKYLNRKKRACMQDRCSFVGTYKELKKHVRTKHPLARPRAVDPVLEEKWKKLENERERDDVISTIMSSTPGALVLGDYVIEPGYRGRIYRDESDSDDSYGNPFDDDFIRLDSSVHMRGRFMDYNLYEEDDFGMRRAFRAVPPVARTWPARLLGSVGLRRIPRVRGRNVGQ >KJB67406 pep chromosome:Graimondii2_0_v6:10:54282151:54285534:-1 gene:B456_010G189600 transcript:KJB67406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVASKNMWLRRQQCPCGDWKCYVIYEGDAEETSIVSQIVKTESLQSQDMVAPYVGMVFKTDDDAFEYYGNFARKNGFSIRKERSRLSPQLGIYKRDFVCYRSGFAPARKKLSGEHHRDRKSVRCGCDAKMYLSKEVIDGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKINEADQERILLLSKAGFPIHRIVKVLELEKGIQGGQLPFLERDVRNFVQNRKKVVQENDALLNEKRENDTMELLEACKATKEADQDFVYDFTVDQNDKVENIAWSYGVAVNSYALFGDVVYFDTTYRSITYDMLFGAWFGIDNNGQPIFFGCVLLQDETLRSFAWAIQTLIRFMKGRCPQTILSDLDPRLRDAITSELPSTKHVTSIWNILPKVSSWFSLQLGSQYADFKSEFDALYRLESTEDFELRWNQMVSIFGLGSDKHITLLYSLRTSWVLSYVRVHFLARMATTAYSKSVDAFLKGIFGAQSCLRGFFEQVGIAANLQNERHQEMQCQYLHMKTCLPIEEHSRKILTPFAFNALQQELIVSMQYAASEMANGSYLVRHFRKIDGESLVIWIPEDEQIHCSCKEFESSGILCRHALRVFIDKNYFQLPEKYFLSRWRRESSLMFYDDHSVHDKDDEWFQEFQSLTETLFAESSITKERSDYIRRELTKELTRLLNEVRDMPENDGAPMDFTLTPTD >KJB66155 pep chromosome:Graimondii2_0_v6:10:28180045:28181325:-1 gene:B456_010G1298001 transcript:KJB66155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIGVPKVPFRNSGEEDVVWVDVYIENDTKDLYLFINSLGRWVIPGVAIYDTIQFLQPDVNTVCMGLAALMGYFLLARGEITKCLAFPHA >KJB63332 pep chromosome:Graimondii2_0_v6:10:58501686:58501889:1 gene:B456_010G216900 transcript:KJB63332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISWLLRTNQIRWFYFSIFLTCSYKTKVEKIEKNQSFTTTDEGFLKKLRLVIRFRNRMSSILYICE >KJB66600 pep chromosome:Graimondii2_0_v6:10:38501594:38503644:1 gene:B456_010G146300 transcript:KJB66600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTLLFLLALSLVFNFCTAQNSNKLTTSSCSNGRGNFTAGSTYEVNLNNLLSSFSSITANDYGFYNLSVGQDPDTANAIALCRGDVQPDVCLSCINNATSEITSECPNRKEAAIWYDFCMLRYSNRSIVGVMDSSLVRGLLNPSNVTDADSFRGALNNLLVDLMNKASSGDSLRKFATGNVIDPALQPIYALEQCTPDLSQEDCTTCLERALQEIPVCCGGKRGGQVILTSCFVRFEMERFYDEPAVSPPPADIAVKRLSRESKQGDLEFKNEVLLVAKLQHRNLVRLLGFSLERTERLLVYEFVPNASLDRFIFDPKRREQLNWEQRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNVLLDADMNPKIADFGMARLFTMDETQGNTSRIVGTYGYMAPEYAMHGQFSVKSDVFSFGVLILEIVTGRRNNCFNDRDNIEDLLSY >KJB65286 pep chromosome:Graimondii2_0_v6:10:13459918:13461012:1 gene:B456_010G088000 transcript:KJB65286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEINKLLEKLKFLEDESTRVMSTRDVNNARGFESGAIGKIMATELLNREAMYRVFKSLLFTKEEVDFVALREGAVIVKFGCLEDRSRILNLMPWLFDRCLFSMVPFEIGKAIDTYEFWMAPFWLRVYNISIELMDRQTALDIGNAIGELVAIDWKDRNGGWTEFIRIKVKINVLNPLRRVVKLVDKDGTETIGVLKYERLPDFCYECGKIGHIVKTCTINNESEVMSGLNPQFGSWLRAPIVSPNQNRSMRRNGVELVKEKTQMNEVIEESQINSRDENGQIERKGKEKGGEEDSITNSPVEKRTHKPISDSMGRFKHKRKRMRSLYGDNTEESPIKIVKRRLMDSVSPVQAVAGDQPRQEP >KJB65353 pep chromosome:Graimondii2_0_v6:10:14252186:14253795:1 gene:B456_010G091200 transcript:KJB65353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHLDIVPSMFVLLLLFISASKVQSDALDVVAKFGAKADGKTDLSKPFLDAWKEACASVTPSTVVIPKGTYLLTKVNLEGPCKAPIEINVQGTIQAPADPSVFKDPNWVRFYSIENFKMSGGGIFDGQGSIAYEKNKDPHNRAFRSKLPVNIRFDFVTNALIQDITSKDSKLFHVNVFACKNITLERFKVEAPEDSPNTDGIHLGKSDGVNIIGCDIKTGDDCISIGDGTKNMNIKEITCGPGHGISIGSLGKFPNEEPVEGIKVSNCTITNTSNGARIKTWPGESPGIVTDIHFEDIIVNNVSSPILIDQKYCPWSKCKINEESKVKLSNISFKNIRGTSALPEAVKFICSGSSPCQNVELADIDIKHTGAEPATSQCLNVKPITSGKLNPTPCSSPVPKTLSATA >KJB67162 pep chromosome:Graimondii2_0_v6:10:52456714:52457812:1 gene:B456_010G178600 transcript:KJB67162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTRWMIFPATFGLILQLIDFGSLKLLVFLAFFISIVLWAVLFFQFWKRKNSALSSRWHLKFLVSTSQRYKLSGMEWNSLQPSPELVKKKLGIDKTKEKKELQRYEWFGYFKRFKNDFIIILSIICLQLPFELVYAQLYEVLKSDVVKFELTVVCLL >KJB64244 pep chromosome:Graimondii2_0_v6:10:3640298:3641296:-1 gene:B456_010G039200 transcript:KJB64244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQSEFRHWDQLPPDVLGLIFTNLSLQELLVVIPCVCKSWCKAITGPYCWQDIDLDEWTCRCQPHHLDRMLRLLVTRSSGISPQPTCCSAGSLRVLRVPHSKINDSIVEQTAPRLSTVTFLDLSYCPKIGAQAIEAIGKHCKLLVTLCRNMYFLDSAGKDEPEDEANAIAATMPRLKHLELGFHNISTECVLNIISSCPQLEHLDINGCLTVNRDLKFFKEKYPKLKIVGPHLEKVFKDYENLDLAIIDQDFYDDDFFESMMEEIAMELAE >KJB68141 pep chromosome:Graimondii2_0_v6:10:59987994:59990346:1 gene:B456_010G228000 transcript:KJB68141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIEDNARHPSNPYGVGHQPGYRSSNHQKLSVSNNPYVRPTGNQYVVDDEDEEEEENDEDLEEEENRNRNNGVRYVGKDMDDVDDDDNDELDDDEDGDEDDYDENGDKQKGYDGKNDDVDMERHPKKRKLKSLLSSYEFAPRVPAPAVSAPSVPKPSFGGRNSLTDWIERETFVLLDAWGDLFLQRGRKSLRSEEWQEVAEKVSEVSKIERTDTQCRNRLDTLKKKYKKEKAMLAETGGTSSKWVYFKKMDMLMSTPPQQGGLSCGLDSGEYVFMNPRVYLNRANGLDEMRDSPADSESADSEEDVSDGLPPKKRRFGRQYDEGSSFRLLADLIQKFSDTYEKIEDSKRQQMLELEKMRMDFHRELEMQKQHIMERAQAEIAKIQQQADDEENEKASG >KJB64409 pep chromosome:Graimondii2_0_v6:10:5267046:5269598:1 gene:B456_010G048200 transcript:KJB64409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKVETVVAGNYIEMEREEGDSKSAKNKLSNLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISLLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNIGLFFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLMMTSYTAWYLTIASLLHGQIDGVKHSGPNKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKMIYLIATLYVLTLTLPSASAVYWAFGDLLLTHSNALSLLPRSGFRDTAVILMLIHQFITFGFACTPLYFVWEKFIGVHETKSVFKRALARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPSLAHMITFAPSSARENAVERPPSFLGGWAGMYSINIFVVVWVLIVGFGFGGWASMLNFIQQINTFGLFTKCYQCPHKA >KJB64410 pep chromosome:Graimondii2_0_v6:10:5267150:5269577:1 gene:B456_010G048200 transcript:KJB64410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKVETVVAGNYIEMEREEGDSKSAKNKLSNLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISLLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNIGLFFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLMMTSYTAWYLTIASLLHGQIDGVKHSGPNKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKMIYLIATLYVLTLTLPSASAVYWAFGDLLLTHSNALSLLPRSGFRDTAVILMLIHQFITFGFACTPLYFVWEKFIGVHETKSVFKRALARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPSLAHMITFAPSSAREVSHTFCSIIIIILSITITDVFFFVFCFAECSGETTIIPWRVGGHVLHQHLCCSMGVDCGIWIWRMGKHA >KJB65463 pep chromosome:Graimondii2_0_v6:10:15866823:15868309:-1 gene:B456_010G095900 transcript:KJB65463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFQKYLKHYIQQRTNLKSKLTSLQISCNEKSRKIGTKLCKFLDNVICKRQLQVEGNSSINEKDRFSTPLMVEQQFFPSLISDSETGDGHGSIIPTYVCEICVEPKPLDISFNIKGCSHFYCIECTVKYIKSKLDDNVSRIQCPVTDCEGVLDPDFCREILPRDLFNRWGKALCESALLGSEKLYCPYKDCSALLVNDGEKRIKRFPCPLCKRVFCVQCKVAWHSGADCIKFQKLKNLGSDAMLVDLAKRKKWRQCPNCSIYVEKSAGCCYVKCRCGNAFCYNCGAKSNKATHFCTKCYH >KJB66658 pep chromosome:Graimondii2_0_v6:10:40471111:40474583:-1 gene:B456_010G150700 transcript:KJB66658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRTWGTWEELLLGGAVLRHGTRDWNLVASELRTRALSPFAFTPEACKAKYEDLQRRYSGCKAWFEELRKQRMAELRRALEESEDSIGSLESKLENLKAEKRNDSRVDYDSSPTESALPCLKSEGFEFSSKDTCKDGLSAGSFTQEAQTNWAPDCQIPAAVPTEEMDSKPVGDALTSEREIFSSIDKLADALCGGKLLCIRKRRGKRKRKDCSKDTKEGSVGESEALCPADVASALLCKETSVSNSGQIAKSSAVEDQSGGSTKEGIDDIMRIFSSVAENDCASVFRRRLDSQKRGRYKKMILRHMDFDTIRSRIVSNLIMSVRELFRDMLLVANNALVFYSKNTREYKSALHLRRIVTTALWQHFKEYRGKVPMTTFTSNTPMHKPPAKPWSIHRGNRKPPGNASSNRNPVAGACHGSKKTTAADSPASDESLAVTKKVSASRPRKVSGGRTGQKSEARTKGRKRSGGR >KJB65800 pep chromosome:Graimondii2_0_v6:10:21742966:21743533:-1 gene:B456_010G1135001 transcript:KJB65800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANTNTLSLRSVLEKDKLNGLNFLDWFRNLRIVLKQERKLYVIEQPVPNEPSTNASRADRDAYRKHFDDMVDVGCLMLATMNPELQKQHEDMVAYEMIEHLKEHQGQARQERFDISKALFQCKLAEGSPVGPHVLKMIGYIESLSKLGFPLSQELATDVVLQSLPDSYSQFFLNFNMNEIDKTLPQLL >KJB67758 pep chromosome:Graimondii2_0_v6:10:57488308:57490859:1 gene:B456_010G208400 transcript:KJB67758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPLVLMAEQNNESLKAHCDGCGELLSAPCFTCIHCNYHLHKQCAEAPLSLPNHPLHPKHSGAGLFLRQRPHLDDDNVYGCALCKEKRNMFFYECHWCYFSIDIKCAQLSSSFKFSQLSKHEIHKHPLTFIASPMAIDVFKRFSCSWCHEPLTDAIYFCFVCPSFIIHKKCLDELPTKIDHPSHHIHPLFLHYSDSNHFCNLCQKERSGAFYGCSLCHFNINLECALQRSIFEDKSRHQHPLTLFWKQESFICDACGTEGNYISYICSTCYTTVTKQDCKICFKEVRLERGSYSCGKLGCNYVVHVNCVLENQWLYKVIEDEKQYEELKEKSMQSSIIRVIEVNEAGEATKIEHLSHQHCLVLVDEMEEEIDRKCDGCMLPISNIFYYCSECPFFLHKTCVELPRIKQHWLRQSNATLNFDSFRRCNFCRRYCSGFFYNIEGWQMCIRCAKLFTKIDEHKLKLTYHDDKEQSYCDICEHKRDPSLWYYSCSICDTSAHIKCVLGQFPFLMDGSMVHYYCFQHGQALKYFTKVEGYPKCFDCGKLCQEEVIKCEKSTCNYNVHYRCRWGD >KJB68125 pep chromosome:Graimondii2_0_v6:10:59826282:59830217:-1 gene:B456_010G226900 transcript:KJB68125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLGLIVYCSSCSTWNIIVFICSFMLMHSNVVVHAESFDFDSLLQLPKSGSSARIRPRAKQVLSVSDFGAKGDGYHNDTRAFENAWNVACSFPGRIRIVIPAGYTYLVHPVELGGHCKSKITLMISGTIVAPKNPNVWDGLNPRKWLYFREVKHLHVVGGGTINGMGQQWWARSCKRKKTNPCRHAPTALTFHKCKDLKVHDLMLVNSQQMHIAFTNCLRVLVSNLKVIAPSTSPNTDGIHISSSRGVEVKNSVVRTGDDCVSIVGNTSRVQIRNFVCGPGHGISIGSLGKSNSWAQVRNVLVDGAFISNTENGVRIKTWQGGSGYASDMQFMNILMENVAYPIIIDQYYCDSDLPCANQTLAVKVDNISYVHIKGTSAVEEAIRFACSDSLTCEGLYLEDIQLVLETRGITKSFCWEAYGSSSGLVQPAPCLTCSDGFIKQKVPYGLPIGSF >KJB68159 pep chromosome:Graimondii2_0_v6:10:60105754:60105901:-1 gene:B456_010G229300 transcript:KJB68159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGQEEMQFLGFFGIFKESYKIISSWRKLFTNITLAFILPLSFILPCS >KJB63444 pep chromosome:Graimondii2_0_v6:10:13706004:13706956:-1 gene:B456_010G089300 transcript:KJB63444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSIDTQNPPSKATAPIEIHCVKCESCGFTEECTLAYILRVRERYQGRWICGLCIEAVKDEALRSDTLISTEEALDRHIKFCNQFKASSPLDETEHPISAIGRILRRSLDSPRPLRSNSSGVFPGFEEVTQGSISSSV >KJB63732 pep chromosome:Graimondii2_0_v6:10:992511:993242:-1 gene:B456_010G013200 transcript:KJB63732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAFLSPLVDTMLDSLKSWSLKELELPGSLKTEVASLESTLTTIQAVLQDAEEKQWKSEAIKNWLGKLKLAAYDLEVVLEDFNTEALSRSLHTDARSQILIKFYKIIYKIQDNIQKQI >KJB65095 pep chromosome:Graimondii2_0_v6:10:11758087:11761895:-1 gene:B456_010G080700 transcript:KJB65095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase type B catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G56740) UniProtKB/TrEMBL;Acc:Q1JPN3] MGQKQQHPNADPLPEPKKKRRVGFSNIDAGVEPNDCIKIYLVSKKEEVGTSDGYRISPVDLNSFFEEDEKIYGYQGLKITIWISSISFHAYADITFQSTSDGGKGITDLKSALEKIFGETLLENKDDFLQTFSTENNFISSVVSNEEKLQTKASNGHTTHFNGSSEAAFSDLEVVRLMMSNLAAGHLYSRLVPLVLLLVDGSNPIDVTDPSWELYLLTRKKTDQPENTQHILLGFAALYRFYRYPDGSRLRLSQILVLPPYQHKGYGSYLVEVLSNVAISENVYDLTVEEPQEYFQHVRTSFDVKRLLAFEPAQSAVKSAVLHLKQGKLSKKTQVPRFLPSTDVVDEVRKTLKINKKQFLQCWEILIYLGLDPIEKHMEDYVTIICNRVKADILGKDSETAGKEVIEVPSVHDEETSFAMFRLQNSKAGGIQMDEDQTKTQEQQLQQLVDERIKEVKLIAQKVSHKHV >KJB67787 pep chromosome:Graimondii2_0_v6:10:57705969:57707651:1 gene:B456_010G210800 transcript:KJB67787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLAVFFFIDIKCAQLSSSFKFSQQSKHDIHQHPLTFIESPTIIDVPKRFNCSWCHEPLIDAIYLCPDCPFIIHKKCLDELPTEIDHLTHRLHPLILNCSDSDYLCNLCQKSTVEDKSRHQHPFTLLRRQDSFICDACGTEGNYILYICSTCSLMVHKDCTSLPRIIKFSRHDHCIFHKYFLKGLTRQDCKICFNEVKLDRGSYSCRKSGCNYVVHVNCVLENKSFYEVIEDEKQCAELEEKSMQSIRVIEVNEAGEAAKIEHLSHQHCLALADKMEEEIDRKCDGCMLPISNIFYYCPECPFFLHKTCAELPRIKQHWFRQSNATPDFNSFKTCDFCSQRCSGFFYEIEEYWDMCIRCAKVADIIECEGHQHFLFFDFKCEEKCNGCRERCQYGTFRCGKCRFALDFGCLTLPHSALHKIDEHKLKLTYRDDKEKSYCDICEQDRDPGLWYYSCSTCDTSAHIEYVLGQFPFLKDGSIMAYHIYNHNYLHDLKFFRKVEGFPECSRCGKFCQEEILKCEKFACNYIVHYKCRYS >KJB65902 pep chromosome:Graimondii2_0_v6:10:23422506:23424691:-1 gene:B456_010G118100 transcript:KJB65902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYHRNDVGSVVLGRPSPTTSGNHFGLCTSFSAASFRRKILDAMSCGSSSRHRQQLMQEMIYDAVRPPPPPPTSTTTIRSVLKQSNEPIKTKRPVKNSINNGKPDKLADLLNLEEAESDAETTKKVQALEGLKRLVKELQMEKEENKRAAASRVRLLTKDDPESRVTLAMLGTIPPLVAMLDFEDSNSQIAALYALLNLGIGNDQNKAAIVKAGAVHKMLKLIESPNEPSQAVSDAIVANFLGLSALDSNKPIIGSSGAIPFLVKTLKILDKQSGCQARHDALRALYNLSISPSNIPFIMESDLIPFLVNALGDMDVSERVLSILSNVVSTPEGRKGISIAPEAFPILVDVLNWTDSPGCQEKASYILMVMAHKAYRDRQAMIEAGIVSSLLELTLLGSMLAQKRASRILEVLRVDKGKQVSGNLGGNTSAAVSAPIYGSSANQYGKDCLVEEGMMSEEKKAVKLLVQQSLQNNMKRIVNRANLPQDFVPSEHLKSLTASSTSKSLPF >KJB63990 pep chromosome:Graimondii2_0_v6:10:8330552:8331528:-1 gene:B456_010G064800 transcript:KJB63990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKLQRSTTSFRRQGSSGMIWNDKFLSGDLNLMKKLNTNQGNRGSDALMTRSGSEGHNGRMSVTAASPTIDPPSPKISGCGFCGVLGKPTKKIVSNKHGVWGVDDIVDPNLHFPSVKFYPSERLLDKEIFHQ >KJB66752 pep chromosome:Graimondii2_0_v6:10:43616586:43618733:1 gene:B456_010G156300 transcript:KJB66752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQVLETALIRPSTAPFTHDHTLPLSHLDNDHSLNVTFRYLRAYVNSDTTGRDPFQVISSAISAALHHYYPLAGSLRRSSNGRYELFCKLDQSLPLVSASVDCTLESVNHLDDPDMNSAEQLVPDPSPEDTLVNPCTLQLTVFKCGGFTLGVAIHNALCDGLGATQFFCTAADIARGVDKVKYQPVWDRSTLLGPRNPPKVEAPVPEFLSLEKGFNPYKQDIGHVERECFYVEDECLDQLKALLFEQSGLGLTTFEILGAYIWRAKVKASKIPSEETVKFSYLMNIRKVVKPALPVGYWGNGCVAMYAKVSAKDLIEQPLWKTAELIKKSKSNASDEYVRSFIDLQELHYEDGITAGKGVSGFTDWRHLGHSAVDFGWGGPMTVLPLSTNFLGSMEPCFFLPYASSNIGKNKGFKVLVSLRESAIADFREEMEKFSRKEFSKL >KJB67680 pep chromosome:Graimondii2_0_v6:10:56873168:56877930:1 gene:B456_010G203400 transcript:KJB67680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFMYLKGCWRIVSYTRVCAYIEHECWILLFFFKSFSSNDDIHVDFSPSANKQVYWENTSENGFDDQNGGNEAEHSFCSPKHLSGKSQKQISDSLNFSNDSCLQRSGFFSSATFIVDDLNKLSNVNQHQRWMAQNLEKKSKTKLCEGAAMFSVSLRLHYDSSGSSSSCSSNVSSKVIDCYIDGERQPERCKCRDCSKRNIGNGGRRLLPRVRYAAPSSPTGGAEEKNMSESVETGLEHELSQIHAIPISSSKEFDCCIPITTEDVYGGCLNRCPDFSSLDFASETVEDTDKELQRRSKEAEERILFLSEALEQESFLHDSGFAVLSLIQTVRHLMEEKINLTLQVSELLRSRIADRACAREELRMVRAEFELQTKKIEKEKHEIKLGLENELDRRLSDWSLKLEKYQRSIKEKDKECKELQKSITKLLRTCSEQDKTIESLRQGQHDEIDKKKSGEKNEDKLKKLQMEQIRLTVEELALRRELESCRLEVDSLRHENIDLLNSLNGTTNDIGALTFKLDKEIRNRICCLQDQGLSMLNESTHLSSKLIEFIKGKASHNQLRVTQQGLDGQFLIESDMKTIPTLVHEKSSSVASNSHLTSMNPDVSTKLNKQSSEELTRTEIKTERLLTSLLREKLYSKELEVEQLQAEVSAAVRGNDILRCEVQEAMDNISFLTHRLKDLELQMLKKDEIESRLRNDIQESMKELAILRGVLPKVSQERDLMWEEVKQYAEKNMVLNSEINALKKKIEGLDEDILLKEGQITILKDTLNNNNKSFDLLGSVDSISEFLLQ >KJB63792 pep chromosome:Graimondii2_0_v6:10:1313265:1313492:-1 gene:B456_010G017400 transcript:KJB63792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNDILFVGALLFVLLFAYGVSFSEERVLKADHYEAFVADNVGTEYDTDDFRPTTPGHSPGAGHSTGPNSNDHN >KJB64687 pep chromosome:Graimondii2_0_v6:10:7257260:7260604:1 gene:B456_010G060600 transcript:KJB64687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGCHNINCLLLSASLAFCLLVWYLSSPLKYQSKLTILFSTFPPNSHIKTSQETAPSSPPSPPPSAASPAPLTSLNATTGGDAITRPNKRKSSLEKVEEGLAKARAAIREAILVRNYTSYKKETFVPRGIIYRNPYAFHQSHIEMEKRFKVWVYREGEPPLVHGGPVSNIYGIEGQFIDEMESGKSRFLARHPEEAHAFFIPISVANIVKVLYRPLVTYSRDQLHRVVADYVGVVAQKYPYWNRSNGADHFLISCHDWAPDIGDSNPALFNNFIRVLCNANTSEKFNPRRDVSMPEINIPKAQLGPPHLDLPPVNSSILAFFAGGAHGYIRKLLLEHWKDKDEEVQVHEYLSKEKDYFKLMGETKFCLCPSGYEVASPRVATAISVGCVPVIISDNYALPFSDVLDWSEFSVHIPSERIPEIKRILKGISEKKYLKLQKRVRQVRRHFVLNRPAKPFDVIYMLLHSVWLRRLNFRVPTPS >KJB66002 pep chromosome:Graimondii2_0_v6:10:25336200:25336934:1 gene:B456_010G1236002 transcript:KJB66002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAAVAVSLGDFVGIFQRKKTEAISYNNESWSSRIRIYTSVCESLTTHEKGNEQPNTIPADLVFIIDERPHSTFTRDGNDLVVTQKISLAEALTGYTVHLTTLDGRSLNIPINSVIHPNYEEVVPKEGMPFPKDPSKRGNLRIKFNIKFPTRLTAEQKSGIKKLLDHR >KJB63340 pep chromosome:Graimondii2_0_v6:10:6267791:6268541:1 gene:B456_010G054700 transcript:KJB63340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLNTSSYLFCPFSPRSKNFRQASQIISTMHNDELARCLLKMQLFLSYIHTTSWHSVSKPMKSNKIKEYK >KJB64397 pep chromosome:Graimondii2_0_v6:10:5133464:5136037:1 gene:B456_010G047500 transcript:KJB64397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIKPELRIFESSEELSSNLADYVLQVAESAVKERGSFSLVLSGGDIPIRLGKLSKAPYVRLVEWSKWHVFWAEENVVPKRHPDSYYWQAMQWFISKVPLLPAHVNPVSPGLSGESAANSYEFTIRQQLKSRTVQVSRSTDCPRFDLILLSLGSGGHVASLYPNHPVLEEESQWVACVSKDESCESVTLTLPVINAAANVTIVASGLDTARPFMDTMVGRKPIRSHPAQMVLPRDGNLVWFADASAASLFLRANEPYGTSATDT >KJB68402 pep chromosome:Graimondii2_0_v6:10:61308109:61311915:1 gene:B456_010G243900 transcript:KJB68402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEQIVSEGKDQFPIGMRVLAVDDDPTCLLLLGTLLRRCQYHVTTTSQAKTALKMLRENKNKFDLVISDVHMPDMDGFKLLEHVGLEMDLPVIMLSANGDTKLVMKGITHGACDYLLKPVRIEELQNIWQHVVRRKKKDRCNSGSKDKPHPDSGEAAGIGNVDNNGKLNKKRKDQNEDEDDERDENGHDNEDPSAQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILELMNVEKLTRENVASHLQKFRLYLKRISCVANQQANMAAALGTADSAYLRMGSLNGLGNFHTLAGSDQLHNAAFRSFPPSGVLGRLNTPAGLGIRSLPSPGTIQLGHVQNSGNPTNDLSKLQSFVPGNHNTNILQGMPMSLELDRLQHNKSVGHIGELPTTDSTTVFPGSGSLVDARITGFSNNPLLGVTSNSLMLEGSSQQATSHTSVSAIGFQNGNALSDFTSIAPASNQLQDSKADSQGQASPINCNAGQIIRSAPQEWNAPRKDAPYQSHALINSSIPINSAMIQLGQCLDRNNSIFHRTTDLDSVGPLNFVDPLSIKHSEGDNYIMEPSVIEKEGYLMFQPRPHGSHVPDNTGSLKDLASAMMKQEDDFGCNGYSLRTSI >KJB68212 pep chromosome:Graimondii2_0_v6:10:60333097:60333564:-1 gene:B456_010G232200 transcript:KJB68212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISTSGNTTVATEDCLACKYQRRRCPADCSFAPNFPHDREQQFKNMHKLFGMANVIKLIRTLKDPSKKDIAMRTIVVESDMRARDPVGGCYRIIQELQHQIECKEAQLDKIYYTIANCRAQNAHCLQLQTQKIDDGDHCQMMHKLPLIGFETEK >KJB66672 pep chromosome:Graimondii2_0_v6:10:40769233:40770528:-1 gene:B456_010G151400 transcript:KJB66672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDSSSTSPHPQLPPGFRFHPTDEELVVHYLKRKVASVPLPVTIIAAVDLYKFDPWELPNKASFGEQEWYFFSPRDRKYPNGARPNRATTSGYWKATGTDKPIITSNGNQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLIDSNSNSKAQIVDLPNRRASLRLDDWVLCRIYKKNNSQRLMERDKNHSALPSFSHQNHGSLLEHEENCYEGMLTGGSMQNSSISQIAVSSSSKQSLPWCFYQQLRQIQSQLNKQ >KJB64640 pep chromosome:Graimondii2_0_v6:10:7426510:7427531:-1 gene:B456_010G061300 transcript:KJB64640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKLYDEAVQGNKVSLLNLLLEDALLLDRFISSCYPGIPLQVAVMLGHFYFVDEVLTEKPKNLILETAKGYLEVNPDMCLVCDLDGRNPLHIAAMKELVHARPWAAQLPNYDRNNIFHIAIAAKQIEAINFLISSSTVDVSCEKEDGFTALYLLSHIQRDVKEEIVESFGRMGTTHAKDKPLSNGQLKATRTKILLSTCDQSDSIPKPEKRKDGKRLVKSNADWLERKCDTLMLVASLLAAMAYQGGVNPPSVVWQYNSTDNKID >KJB64628 pep chromosome:Graimondii2_0_v6:10:6882411:6882796:-1 gene:B456_010G058300 transcript:KJB64628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARRQCLLILVTSLMLQFRLLSPFWFIQLLYWHIWVKLLICLNIIIRVPTSTKTRDVNACSYKDKCRFSHDIEAFMA >KJB68646 pep chromosome:Graimondii2_0_v6:10:62147394:62150899:1 gene:B456_010G256700 transcript:KJB68646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNFHQMDPQSAAEKAVSVIGYGYDLCNDLRLSACKPGPSGSRLIELDSVVSRELVFPGGVAVKNVPNSIKCDKGERTRFRSDVLSFNQMSEKFNQDLSLSGKIPSGLFNAMFEFKGCWQKDASSTKCLAFDGWFITLYNVELDRAHITLSERVKQDVPETWDPTAIAEFIEKHGTHIIVGVKMGGKDVIHIKQSNNSNLHPNEVQKTLKQLADERFSENEEAPVFSEKPKEEPYMPWDLQGMPAASIRPPVVTRSKNNAIVNVYIRRGGVDYGQSHSQWLSTISQSPNVISMKFVPITSLLGGSRGNGFLSHAVNLYLRYKPPIEELREFLEFQVPRQWAPVYGDLPLGLKRRKQALPSLQFTFMGPKLYVNTVPVDTGNRPVTGIRLYLEGKKNDHLAIHLQHLSTLPTILQLSDDHNYEPNDEPLGRGYFEPVKWSIFSHVYTAPIQYNGSHFDDTAAIVTKAWFEVKSVGMRKVLFLRLGFSMVSFSKIRRSEWDGPSTLSRKSGVFSMLISTRFSSGLTQPEKPTKVDLNSAVFPGGPPLPPKEPKLISFVDTNETVRGPEDLPGYWVVTGAKLCVEGGKISIKAKYSLLTILSEDSMMLM >KJB63382 pep chromosome:Graimondii2_0_v6:10:11463171:11463287:1 gene:B456_010G0790001 transcript:KJB63382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSYKLKMTLSGAGIKQLTCISFDFKISFGASESSVSDS >KJB66534 pep chromosome:Graimondii2_0_v6:10:36273182:36274777:1 gene:B456_010G142800 transcript:KJB66534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSTMILPLLLVLLSAFSATSKSVQENFMQCLDANSEHPIPISAFCSQTNSSFTSVLNSTAQNLRYLMPLVPKPEFIFIPVYESHAKSAVICAKRLAIHLRFRSGGHDYEGLSYASEIETPFILIDLNQLRSINVDVDDNSAWVQAGATVGEVYYRISEKSKTHGFPAGLCSSLGIGGHITGGAYGSMMRKYGLGADNVLDARIVDVNGEILDRAAMGEDLFWAIRGGGGASFGVILAWKIKLVAVPETVTVFTVPKTLEQGATKILYRWQQVADKLDDDLFIRVVIQVTKTSLKGKRTVTTAYNALYLGDAERLLQVMDQSFPELGLARKDCIETSWIKSVLYIAGFPSETPPEVLLEGKSLFKNYFKAKSDFVQQPIPETALEKLWEMLLEEESPLMIWNPYGGMMANISDSAIPFPHRKGNLFKIQYVTSWYEGSKDATRKHMDWIKGLYDYMSAYVPTSPRGAYVNYRDLDLGMNHNNASYTEASVWGAMYFKGNFRRLVKIKSKVDPGNFFRHEQSIPVVLDRA >KJB67043 pep chromosome:Graimondii2_0_v6:10:49843222:49843453:-1 gene:B456_010G171400 transcript:KJB67043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTQSEGNYAKALQNYYEAMRLKIDPYDRSYILYNISLIHTSNGEHTKALEYYFRALE >KJB68600 pep chromosome:Graimondii2_0_v6:10:61958920:61959585:-1 gene:B456_010G254200 transcript:KJB68600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENENRNTGNVNQREYLKSIEFTTMICSSKTISNLSLISSGDPFFSSIITLYILILLYFPQSFFSLKFLSIFTASLLFSLFRKPIDEVKKTEFQQRVGSKTDPEFVIRSFEDLFVESDVGAPLEVIFEGEEEEEGEDCNEDYYYPDPTRVIERYPSLSLCYPESDSDSSSSEMDFPVIGEWVSSEMMCCGWEEEEDKEGMIEIDLDFHEEEDNLIEIDIS >KJB65665 pep chromosome:Graimondii2_0_v6:10:19306468:19307776:-1 gene:B456_010G106000 transcript:KJB65665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQLASIYESFEKSRIVLKHIHNLPCFRLLRGFVALEALDILEGELQRSSRHQLDYSNSGCKLHHSCECIPLDSIDVFWRKLYLSPSTSVENDDICCDGELEMFKENFTKQSKAGKKSLLRKLRDIFQPSKTLIKEPTIQKNTRGRPTLKKQQQKRVDFISQAPRRCSHSTTSKSVGLDLVELNKEPARHSSYVIEIPDLNQEPSEQVSNFIDLNQMPESCDTHPLMKEIPNMFHPYITHVQDVRGDGNCGFRAIYVCLGYGEDQWLYVRNQLLNESLSSYDVYARVFTDGIDELRNSLCFSQSPAFAEHWMVMPMTGVLIANRFGVILNYLTKRGDITFFPLWRGPEHFQCHHAITIAYVYDNHNVMVQLEGDYPMPTISAYWIRHRALSTAG >KJB65821 pep chromosome:Graimondii2_0_v6:10:22030867:22032973:-1 gene:B456_010G114700 transcript:KJB65821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAILISNFAGNFLLERFNGVPSEERLHWKTFLVKLGADNLKGARNEELFVSSQKSVYIVYTVLGGVCIYIVGKDEYDELALTEVMFVITSSIKDACGKSPSERLFLDKYGKICLCLDEIVWKGILENTEKDRIKRLIGLKPPNPTEI >KJB67972 pep chromosome:Graimondii2_0_v6:10:58893782:58897578:1 gene:B456_010G220000 transcript:KJB67972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLRCAIDGELWELDASTPRTLEGAARAVPGKALPLGLSRGTKLFRPNQIDFMQRFMAAPFLPSYTHYLGVTIQRVLSIPVSENWSALLLGQFNLRKFLSSLKENGGGDGVRKRDLKSIGKLFLDKSLYALGFSSEVLLTPDDTLLLSSDSYYAHNSSSIPRKKAVFHHKFPHHNLTVDAAWPALFVDKETGTYWDVPFSMAIDLASLPLDSGLSYHLCLHHNHGSPKQFQGDPIAQVPASLFPGVSAKCAFSYEKNVDIWRSKAQKLKMVQPYDIFLSNPHVSASGTIGAALSANFGESSVRLVEDAKDIKQLSYHNPTLKSTLLGDIFASMSFTAQHGNFQRLVSDLTRFHVRMDFPSGSKFLSGATLLVQDLLNSHQPSPEAVKMICPTTSLSLQQQIAGPFSFRVDSGVVIDFKDKGWHIQADQPVFAIEYALQVLWSAKAVAWYSPKHQEFMVELRFLES >KJB68580 pep chromosome:Graimondii2_0_v6:10:61829439:61830705:1 gene:B456_010G251900 transcript:KJB68580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHASNFLLSSSSSSNQIRAAISIPKLPSVRFSAPKLRQPKTQSEQLNSKDGFINTIPIQNNVHSTPLVQQTSSVSMATFQLYAILEAIADRVEMHNNIGEQRDNWNTLLLNSINMITLTATTMAGLASSVMFSAATGMLVLMNKIQPSQLVEEQRNATRLFKQLQSQIKTLLAVGSPCQDDVNDAMEKVLALDKAYPLPLLGVMLEKFPASLEPAVWWPTKQSPNSNKALTNNNGWTRELEMEMREVVEVIKRKDSEDYERLGNKALNMNKVLATSGPLLTGIAALGSAFMVSSNSPWAATVAAVAGALASAVNTFEHGGQVGMVFEMYRNNAGFFKLMQESIESTLDECDVEKRENGELFEMKVALQLGRSLSELRDVAKKSSYSRIEGSPMDEFASKLF >KJB64726 pep chromosome:Graimondii2_0_v6:10:7665549:7669789:1 gene:B456_010G062100 transcript:KJB64726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEAGVFPKSLKSYVQRALALCKDEKQSAACQEIMKETSTGILSCALYQAAVEEGIVGGGCTSLRLASKVDAIKDSLDNDEEKVGADIVKRALSYPLKLMIIFFLI >KJB67696 pep chromosome:Graimondii2_0_v6:10:56963790:56965709:1 gene:B456_010G203800 transcript:KJB67696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNEKCAQSQSCSSSPRFPSRNSQSPPPPPPPPASPSLPPAPTPTVVLSPCAACKILRRRCVEKCVLAPYFPPTEPYKFTIAHRVFGASNIIKSLQELPESQRADAVSSMVYEASARIRDPVYGCAGAICQLQKQVSELQAQLAKAQAEIVTMQCQQANLLAIILEMSQSKEANISQHQPYNMDTTCFLDDNNLGSAWEPLWT >KJB64513 pep chromosome:Graimondii2_0_v6:10:6048992:6049910:-1 gene:B456_010G052700 transcript:KJB64513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYDSFIKPGAVPFKWEIRPGVPKQPQNPKQQPRLPPLPPPPPPSPFINNQRSSPNLSGPLCPPQKLKPPPAGSYLLLTSEPQTHSFRSTPRSHSERWRFDRPVQVHLECVSHGCFPSPLLRRKGSKRRSQKSEPDYVSDLETLSRWLVSSRKSLSPFYGSPMSSFLSFRSSP >KJB66158 pep chromosome:Graimondii2_0_v6:10:28186665:28191948:1 gene:B456_010G130000 transcript:KJB66158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKQETNQKKASKTMAKKETEEFQFVVYNTMTQQKEVFKPKTPGKVRMYVCGVTAYDFSHLGHARAAVSFDVLYRYLKHLGYEVTYVRNFTDVDDKIIRRANETGEDPISLSDRYCKEYNIDMSDLQCLSPTHEPRVSGHMEQIKDMITQIINKDFGYVVDGDVFFAVDKFPNYGKLSGQKLENNRAGERVAVDSRKRNSSDFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSAHYLSFKFDIHGGGLDLIFPHHENEIAQSCAACEESDVSYWLHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRYFLINAHYRSPLNYSVVQLEGASDAIFYIYQTLKDCQDALLQLQEEIPNDGKPARTTPGAKECISKLRNEFQVKMSDDLSTSLILTGAFLEALKLVNNLLTMLKKKQQKQQRLLVIQSLKEIEKEVTKVLDVLGLQPPCSYNEVLLQLKEKALTRAGLVEDDVIRLINERAEVRRNKDFLKSDQMRAHLQAKGIALMDVGTETIWRPCVPVQQESEVVPSEGQKVPPKPETA >KJB67415 pep chromosome:Graimondii2_0_v6:10:54348691:54350407:-1 gene:B456_010G190100 transcript:KJB67415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVTVMVLKVDLQCWRCYKKVKKVLCKFPQIRDQIYNEKANTVTITVVCCNPEKLRDKICCKGAGSIKSIEIKPPPPPPKPKEPEKPKEPEKPKEPEKPKEPEKPKVPEKPKEPEKPKAPEKPKEPEKPKEPEKPKQPEKPKEPEKPKEPEKPKQPEKPKEPEKRKEPPPKPPAAAPKVPEPCPPPPMAYPPIGCCCTECYHGVGGGPCYYGGPPPPLRPCYQTYGRPVYDSWGGGGGYSYCYTSRGECFSDENPNGCSIM >KJB64878 pep chromosome:Graimondii2_0_v6:10:9109125:9110095:1 gene:B456_010G069500 transcript:KJB64878 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBF1C [Source:Projected from Arabidopsis thaliana (AT3G24500) UniProtKB/TrEMBL;Acc:A0A178VDH9] MNYILFDQSSTSHLHSSSTMPTRFGGAVTQDWEPVVLHKSKPKAQDLRDPKAVNRALRSGAPVQTIKKFDAGSNKKTAGPVVNARKLDEGTEPAALDRVPTDVRQTIQKARLEKKLSQAELAKLINEQLKVVQEYENGKAVPNQAVLAKMERVLGVKLRGKSGK >KJB67509 pep chromosome:Graimondii2_0_v6:10:55214158:55214647:1 gene:B456_010G1942001 transcript:KJB67509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSLFQPVPGTKLYKLKYGSRVQIVLQDTSIVTPENHPIHLHGYDFYIIAEGFGNFDPKRDTSKFNLVDPPMRNTVGVPVNGWAVIRFVADNPVWIMHCHLDVHINWGLAMAFLVDNGVGELQTIQPPPPDLPIC >KJB68108 pep chromosome:Graimondii2_0_v6:10:59782806:59785973:1 gene:B456_010G226100 transcript:KJB68108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHYAIFRILYISYRKRLPFHILPNRLKRREKSISSCIIFPFYRCAPIFASLNDMGRVGHSSICSSISVDQLREVFQASEMV >KJB63414 pep chromosome:Graimondii2_0_v6:10:24298462:24300334:-1 gene:B456_010G120500 transcript:KJB63414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LASYECIFACQAITGGKVVIEVYFFGFHIHQETHDLCEETSCPITVGNFVLSHNQVLPGFTPPGSYKLKMTLSGSGIKQLTCISFDFKISFGASESSVSDSRSAHQVYI >KJB68428 pep chromosome:Graimondii2_0_v6:10:61402854:61404711:-1 gene:B456_010G245000 transcript:KJB68428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPTTKQKHRGSAMDSAAKPSASLPDWITDSKNGGSLQHVDLDTGTNGWASPPGNLFSLRSLNYLTKRQKAPAGDYLLSPLGMDWLKSTTKLDNVLARPDNRVSHALKKAQSQGKSMKSFVFAVNLQVPGKDHYSAVFYFGTEDPIPPGSLLYRFVNGDDAFRNQRFKIVNRIVKGPWIVKKAVGNYAACLLGKALTCNYHRGPNYLEIDVDISSSAIANAILHLALGYVTSVTIDMGFLVEAQTENELPEKLIGAVRVCQMEMSSATVVDALTPQIQPAAARVMGCSKEVGESKKTVKEMNEVMFVWMSLLRWGQLLCGVGNIVSLPATLQLLRFWWVKDTSIKLE >KJB65499 pep chromosome:Graimondii2_0_v6:10:16897496:16898997:-1 gene:B456_010G097600 transcript:KJB65499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRFGFFLIIKVAKMSLSAAEDDSGSEIHIPADIDWHMLDKSKFFFLGAALFSGVSAALYPVVVLKTRQQVSSTQVSCFKMSFSIMRYEGLRGFYRGFGTSLMGTIPARALYMGALEVTKSSVGTATVSLGFSDTTATALANAAAGLSSAMAAQLVWTPIDVVSQRLMVQGYNDSNCSKNVNPNVNFCRYRNGLDAFRKILYADGPKGLYRGFGISILTYAPSNAVWWASYSVAHKLIWSGFGSMGKKDESGSIVMGSGFRPDSKALVAVQGLSAAMASGISALITMPLDTIKTRLQVLDREENGVRKPLNVLQTVRNLVQEGGLAACYRGLGPRWASMALSATTMITTYEFLKRLSTKSQEPLTP >KJB66755 pep chromosome:Graimondii2_0_v6:10:43659431:43660234:1 gene:B456_010G156500 transcript:KJB66755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIESSGHATSDPSILENVWANFIGGEEAGKTSTRNEVESTSKSWVELPSLDGREGLRRLPSLGRWISMGADAWDDLLHGIIPFGELNQSFNGETSKDISPPRGFKVTNNCMRAEKVTTRHYRGVRRRPWGKYAAEIRDSSRKGSRVWLGTFETAEEAAMAYDKAALRIRGPKAYLNFPLEIVSKAMGIDDTKTDINSGTCQYKDRACTGFKRECRDWDQNSEYVMIEEPKLKRMATVEQVMEDGYGDVFEFQDLGSDYLESLLSSF >KJB63498 pep chromosome:Graimondii2_0_v6:10:114345:116352:1 gene:B456_010G002800 transcript:KJB63498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSQARDFMFCNLCGTMLSLVSPKIAECSLCRSKTSAKGIVGREIGYTLTVEDIRRDLGISHAAEEMTTKESAALIKQTCKSCGNAELEYTTRQMRSADEGQTVFLVCPKCKARDTEN >KJB64875 pep chromosome:Graimondii2_0_v6:10:9087559:9087996:-1 gene:B456_010G069200 transcript:KJB64875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVGYESVEGRKVEAEKKKPEWFFDGNPSWRIGGGGFRGGNSFGFGHSFSFGKGVGFTFGFGRPGYVQNGGGGGGGIGAFGKHYKENDKGHYYGGGGGGGGGGGGIGGGFGSCAGFGGGGFGGGGGGRAGNVGSQGNRVEEKKT >KJB67232 pep chromosome:Graimondii2_0_v6:10:52905674:52908761:-1 gene:B456_010G181500 transcript:KJB67232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSLWQLGQSIIRRLSEADKKAVARRYFASEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTVNCRVNGGMFDVSHMCGLSLKGKDSVSFLEKLVIADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVKDDHIYLVVNAGCRDKDLAHIEEHMKAFKAKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKDDLSKLYFGEFRILDINGATCFLTRTGYTGEDGFEISVPSENALDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHISPVEAGLTWAIGKRRRAEGGFLGAEVILKQLAEGPSIRRVGFTSTGPPPRSHSEIQDEKGNNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKAKILVRGKAYDGVVTKMPFVPTKYYKPS >KJB65964 pep chromosome:Graimondii2_0_v6:10:25217503:25217721:-1 gene:B456_010G122700 transcript:KJB65964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTLANIWHPIGEVSILDLENGRFFFRFYFKVDVDRVEKNGPWNFNSHLLVLHRLKHGENPLTVQLLELYF >KJB64294 pep chromosome:Graimondii2_0_v6:10:3857373:3859186:1 gene:B456_010G041100 transcript:KJB64294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTLLAPLLLCVTLLCSSLNVASDSAAAALAILKWKASLQSQNHSVLLSWNTSNNPNTKTSPCAWFGIHCNHADSVTKINLTGYGVKGTLHLFPFLSLPNLAELDLSTNELYGIIPPKISQLSKLTYLDLSFNQISGQIPPEISHLVHRQTLHLAGNQLNGSIPREIGQLKFLTDLALCSNKLNGCIPASLGKLSRLVSLLLYNNSLSGPIPPELGNLRNLVEVYLDTNRLTGPITSTFGNLKKLTVLQMFNNSLSGPIPFELGNMESLSEISLYHNNLSGLIPTSFGGLRLLTLAHLYENQLSGPIPEEIGNLNSLVDLELSENQLNGSIPASLGNLSNLEILFLRDNRVSGSIPNEIGNLMKLTVLELDHNNLTGNLPQGICRGGSLEYFTANDNQLTRPIPQGLKICTSLKRVYLERNRLRGNISEDLSILSISRNNLSGAILLELGLMSKLLYLDLSANQLSKSFPETIGNLSMSFYLNLSINQFSQNIPIQVGKLTRLFHLDLSHNMLSGEIPGELQSLQSLETLNLSHNNLSGEIPASFEHLRGLYTVDISYNELQGPIPNSQAFLNAS >KJB64329 pep chromosome:Graimondii2_0_v6:10:4340638:4342308:1 gene:B456_010G043400 transcript:KJB64329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATAQGMDPAVLDDIIRWSTEVRSARPGKQVQLSESEIKQLCVASKDIFVQQPNLLELEAPIKICEFGNF >KJB65622 pep chromosome:Graimondii2_0_v6:10:18880342:18881636:1 gene:B456_010G1038002 transcript:KJB65622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYPNPLQDNPAYSAVKQYFVNDDDTVTQKIVVHKDSPRGVHFRRAGPRQRVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLCHMYGVKKILGIDGGYRGFYSKNTVTLTPKVVNDIHKRGGTVLGTSRGGHDTSKIVDSIQDRGINQVYILGGDGTQRGAAVIFEADQYNQGLVKDASI >KJB65963 pep chromosome:Graimondii2_0_v6:10:25156005:25156632:1 gene:B456_010G122600 transcript:KJB65963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRENWSVMGRLKRAVKQINSLLRFNLTKWRFSCFLRSLSTRRRPTLSFNDRLGLHGCIVDEEEEEEEESNKKGPVRALHRTTSYASADDDVDQRAELFISNFRRQLWLERQVSLQLRYCRSRSLE >KJB66153 pep chromosome:Graimondii2_0_v6:10:28175294:28176838:1 gene:B456_010G129600 transcript:KJB66153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLKTYIFVAPVLSTLWFGSLVGLLIEINRFFPNALTRSMAKSKDVRVTIILECTNCVRNSITKESTGISSRLELKKFCPYCYKHTIHKEIKK >KJB67911 pep chromosome:Graimondii2_0_v6:10:58610470:58613060:1 gene:B456_010G217600 transcript:KJB67911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQAEGDSLAILKADLKSQRKQVRNLKKKSLWSRSLEEVMEKLVDIVHYLILEIHCAIGSNEYQKSPEGSESGHQRLGPAGLALHYANIIMQVDTLVCLLCFFFSLACLCVWL >KJB67806 pep chromosome:Graimondii2_0_v6:10:57840699:57844472:1 gene:B456_010G212200 transcript:KJB67806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTVSITANPAAATPRRRSLVADKRSNIEALVVDPNATGVNLVEDKAAAAAVGTAGYRRDLSHDSGRAEPSKDSLQAKRVVVGQNSNGSLRRSRKGAVNKAEKPRWSTAVSIFAKNLALLLVLVGLAQIIRRLALKSGDVSSVGTHAGLTEFEGRVAEVESFLKTTAKMIQVQVEVVDRKIENEIGGLRKELNERIVDQTVVLENSLKKLEEKNEELDKSLSELKSANLLTKEEFGKMYEQMLKEKGQNGESENAVSLSDLGAYAREIVKNEIEKHASDGLGRADYALSSGGGKVVRHSEPFLAGKGINWFLKTSRNGVHQDADKMLKPSFGEPGQCFPLKGSNGFVQIKLRTAIIPEAITLEHVAKSVAYDRSSAPKDCRVSGWLQGRDLDVTVDADKMFLLAEFTYDLEKSNAQTFDVLDTAGIGIVDTVRLDFSSNHGSASHTCIYRLRVHGHEPNSVLMVKTEL >KJB64197 pep chromosome:Graimondii2_0_v6:10:3402410:3402971:-1 gene:B456_010G036500 transcript:KJB64197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVQNPASISYLTQREAAEVDETLMGPLGFSVDQLMELAGLSVATSIAEVMAITFRFSFCLLNFKFFFYLPYTNVNTQNFDASKFI >KJB67828 pep chromosome:Graimondii2_0_v6:10:57961905:57962868:-1 gene:B456_010G213500 transcript:KJB67828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGTKRAVLVGCNYPKTQFSVHGCVNDVKAIRGVILDIGFKESDVNVLTDAPGSPVLPTGANIKDALNRMVNKAKAGDILFFYFSGHGTRIPIFQPGQPFKQDEAIVACDLNLVTDVDFSRLVNRLPEGASFTILSDSCHSGGLIEKEKEQFGPEHMMTPVKPNKPKPSKAKAKAKSLTFDIIHSAIDTAAGILHDAANVGQKIFGIFGKDVSLKFHPHYVDGLMVLDPPEEDEGILLSGCEANEGIFTKIL >KJB66605 pep chromosome:Graimondii2_0_v6:10:38637006:38637773:1 gene:B456_010G146800 transcript:KJB66605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAIVVHVYGGTPTEATPQELICIRVIGASNCRYTYIGDVIIAVIKEAVPNIPLEISEMIRAIIVRTRKELKHDNRMIIQHDDNATVIIDQEGNPKGTRIFGANAPKLRQLNFTKIVSLALE >KJB66352 pep chromosome:Graimondii2_0_v6:10:31640422:31640511:1 gene:B456_010G1373001 transcript:KJB66352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DIAAQGWLRWSYSLLFMACSVVLQQGLGL >KJB64395 pep chromosome:Graimondii2_0_v6:10:5126013:5127918:1 gene:B456_010G047300 transcript:KJB64395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSICVLLPRSGCYNSGIFMGSLRSQGSRSEIFKLKEKRDRERGKDVNLLFRSPLHTASSSGGNGKAKHHFPTQTFISPLREHRLLPLLPIFNIFSSFLPTRSLFLSFLLFFCIQRVSDRRSHLQVR >KJB63810 pep chromosome:Graimondii2_0_v6:10:1331106:1333130:1 gene:B456_010G0176002 transcript:KJB63810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVKEQLQAPIYRTLTTVHSIDGGGIRGIIPGIILGFLESELQKLDGEDARLADYFDVIAGTSTGGLVTAMLTCPNDKNRPLFAAKDIKDFYLTNCPKIFPQPGFPLFSQTTKVLKALSGPRYDGKFLHYIIKKKLEGRRLNETLTNVVIPTFDIKLLQPVIFSSFQVKKNPTLNALLSDICIATSAAPTYLPAHYFKTIVFERKKRENNLIDGGVAANNP >KJB63811 pep chromosome:Graimondii2_0_v6:10:1336256:1338205:1 gene:B456_010G0176002 transcript:KJB63811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVKEQLQAPTYGDLITILSIDGGGIRGIIPGTILGFLESQLQELDGEDARLADYFDVIAGTSTGGLVTAMLTCPNEKNRPLFAAKDIKDFYLTNCPNIFPQPGCPLFSRTTKVIKALSGPKYDGKFLHNVVKDRLGETRLHQTLTNVVIPTFDIMHLQPAIFSSYQ >KJB65579 pep chromosome:Graimondii2_0_v6:10:18455608:18456390:-1 gene:B456_010G1019002 transcript:KJB65579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCESLTTHEKGNEQPNTILADLVFIIDEKPHSTFTRDGNDLVVTQKISLAEALTGYTVHLTTLDGRSLNIPINSVIHPNYEEVVPKEGMPIPKDLSKRGNLRIKFNIKFPTRLTAEQKSGIKKLLGPSGGL >KJB66620 pep chromosome:Graimondii2_0_v6:10:39711200:39716461:-1 gene:B456_010G148800 transcript:KJB66620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTQIDLSKRATADLTTKSKIITNTRLKTSYRCRPTPPSSIHFITAATGNYHLINMSSHHLSELDSTTDSVASSPRSEHHAPHDARVRFMCSFGGKILPRPHDNQLRYAGGDTRIVAIHRSTSFAAILTKLSKLSGIANVSVKYQLPNEDLDALISVTTDEDLENMMEEYDRLAQNHNPRLRIFLFSKGEDSRTSSISSLLDGSVNREHWFLDALNSGANASGLERGRSEVSSIVSEVPDYLFGLDNSDEAQPRGPKLSTRQLLHENVSVSDPGSPAPVVSSSPFCSTSSAPVVIPSMPDLPPVKTKPDNPEPGFESKQNQTESLVEQPVLQPTAYSGSPMWHYIPGSHYSVPPAQQIPVYYVPGPAQSGNPQVQPVQIRPQYVQQYPMSTGQIPVGYHQPVAGVGQAYRPVTHVDPYDPALRVAPDGVKQPIYYGVRNAGPVPVYSGMVVPGGEELGRSGSDSTQSRVSQEGR >KJB67287 pep chromosome:Graimondii2_0_v6:10:60894152:60895491:1 gene:B456_010G239300 transcript:KJB67287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSLSFAGKAMKFSPSTLGINASGCVSMRKTTKPVASCSSWYELDRVFVPGVYGWDTIGLSADPETFARNRELEIIHLTDPLYPGNNFDPLVLANDLEAFAELKVKEIKNGRLAMFSMFRFFGLLGNLADPADNNAWAYATNFVPGKRG >KJB68602 pep chromosome:Graimondii2_0_v6:10:61973356:61974279:1 gene:B456_010G254400 transcript:KJB68602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLISSSFRKYTDLKQQAYMDDIEAGNETVNLDTFFEDVENVKEDMKGVEKLYKALQEANEECKTVHNAKTMKQLRSRMDSDVEQVLKRVKVIKGKLEALEKSNAASRNAPGCGPGSSSDRTRTSVVSGLGKKLKVMMDEFQSLRARMQSEYKETVERRYFTITGEKPDEDTIENLISSGESESFLQRAIQEQGRGRIMDTISEIQERHDAVKEIEKNLIELHQIFLDMAALVEAQGQQLNDIESHVAHASSFVRRGTEQLEEAREHQKASRKWTCIAIIAAIILILVILLPLLPTIITLIKTKKI >KJB63429 pep chromosome:Graimondii2_0_v6:10:210297:210698:-1 gene:B456_010G004900 transcript:KJB63429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASHTSHKASVKAKNKGLSPITIMSFVIQVKDSEDRVNSVSSPKLNFSAMKLFNRFRKVLMRLVFSGKSNMGGGGACKEKNCERFEPPKTSCSSYYSSHSHYSEAIADCIEFFNKSAAQEGISDGRRSDVLV >KJB64606 pep chromosome:Graimondii2_0_v6:10:6452133:6453048:-1 gene:B456_010G056700 transcript:KJB64606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDQVVHMRAQKVVVDHGGDEKEEDLVVHMQALKVDQDPLVHMKAVEVAALGAGDLIHAVALGVVHEVALRVSDGLGGLVDHEYGPASSCYHLINYLFLLCRLLF >KJB67591 pep chromosome:Graimondii2_0_v6:10:56029568:56029927:1 gene:B456_010G198900 transcript:KJB67591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFWSSNLRFETEDKARRLLSNVSFLLKPGGYFFGITPEKVIAKNCCFWICVFFFFFFLWLLLHLFLGGDCVNILV >KJB65394 pep chromosome:Graimondii2_0_v6:10:15076528:15078507:1 gene:B456_010G093000 transcript:KJB65394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKKITWKSLMLSCYNSKDIISPSDSGEKDSKPCQFQRLSMSDVSDRSSPLSVDDLSTSLLGSNLHVFTFAELRLITHNFARCNLLGEGGFGPVYKGFIDDKLRPGLKAQPVAVKALDLDGLQGHREWLAEIIFLGQLRHPHLVKLIGYCYEEDNRVLVYEYMPRGSLENQLFRRYSAPLPWSARMKITLAAARGLAFLHEADKPVIFRDFKSSNILLDSDYNCKLSDFGLAKDGPEGEQTHVTTRVMGTQGYAAPEYIMTGHLTTMSDVYSFGVVLLELLTGKRSVDNSRPGREQSLVEWARPLLRDPKKLDKLIDARLERQFSYKGAQKVAALAYKCLSHQPKPRPSMGDVVKILDSVQGFEDEFVGPFVYVVPNETGDDKELFTTKDCGVEKHELHRCGWRNRIKLPLSLVVNAESPCGISI >KJB67106 pep chromosome:Graimondii2_0_v6:10:51116033:51116556:-1 gene:B456_010G175100 transcript:KJB67106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPTYASPRGVAMVLALIAAVVLSPLYVRRKHEMGYYDLKLSSGFVLPMVLAALLIAIRTTSSSSSMPSGGKAWLIPSPDPTWVSRIGGSSWGLAAILVMLTLELSWQESVKEFFWR >KJB65518 pep chromosome:Graimondii2_0_v6:10:17158627:17159768:1 gene:B456_010G098600 transcript:KJB65518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYNRSRSYGTGMMQLDTYHGVPPRPSSGYELRSYSVSYAQSQMANNRDFKLKKGKSTSASSSKSWSFADPEFQRKKRVASYKMYSVEGKVKGSLRRSFRWLKVKYTQVVYGWW >KJB67609 pep chromosome:Graimondii2_0_v6:10:56158050:56159516:-1 gene:B456_010G200000 transcript:KJB67609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKGVVSVFPNEKRKLHTTRSWDFMGFPQQVERATMESDVIIGVLDIGIWPESLSFDDKGLGPPPSKWKGSCQFQPQDNFTCNNKIIGAKYYRSDGLLLPDDFESPRDSDGHGTHTASTAAGNLVDGASLYGFGSGTARGGVPSARIAVYKICWSDGCQDADILVGFDDAISDGVDIISISVEGGRTGDYFEHAIDVASFHAMKNGILTVISAGNDGPRRSTISNFSPWSLSVVASTIDRKFSTKVQLGNNKIYEGVSINTFDLKNKTYPMIYGGDAANTTSTSTISSRFCFPNSLDKNLVKGKIVLCDTILTNGIGALLAGAAGTVARDQGNNIDYSSLFPLPASCFNLIDGRNIFEYVNSTRYDYSMRI >KJB68220 pep chromosome:Graimondii2_0_v6:10:60401028:60401819:-1 gene:B456_010G233100 transcript:KJB68220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISIKESTIVRPAEEHTPKGSIWNSNLDLLISRYHVSTVYFYKPNGCSDFFDTGRVKEGLSKVLVPFYPIAGRLGYDENGRLEIICNDEGVLFVEAETSSVLEDLIGNGDFTHNSHLVPKVDYSGGISSYPLLVVQVTKFKCGGVCLGVGLQHTLGDGTSAIHFINSWSDTVRGVSPAIAP >KJB66003 pep chromosome:Graimondii2_0_v6:10:25386128:25387626:1 gene:B456_010G123700 transcript:KJB66003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACSLTLSSSLPQPKPSFFGSKPSHLSLHSTSFALKSQCFRVSSSSVSISSRPADDLVASLLSKVIKTDGGVSLTTKQHQDVAQVANELNKYCVDEPVKCPLIFGDWDVVYCSNPTSPGGGYRSALGRLFFKTKDMVQAVEAPDSVRNKVSFSVFGFLEGEVSLKGKLKVLDHQWIQVIFQPPELRVGAMDFQYGGESEVKLQITYIDEKIRLGKGSRGSLFVFRRRHGGSTNV >KJB63472 pep chromosome:Graimondii2_0_v6:10:30395:31461:-1 gene:B456_010G000700 transcript:KJB63472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FEWARQHPQESVAVRQAAATFKSFSGVTNKIKLAYMMLTLPVWQSLNIAVNYFSTKYTNHSASCPSMPEQMKVKFEYKDDCDHLDEYDQVSDTCETVPETVPETVPNEVVIVSADNLHSIIHEACHKQSEHIEEYGRRKPGESSNLGVGNVESLVFIDPPTSKVTSIAKGLTMVIAAECADMSIAGRESLRGMRFTYAVEADEDLQPWVKEKLSTPDEEYEKLGDTCTFGVYHRQPFDVICSPVRTSSSVVTNLSNEQTAEGTNISIIDKEFRIQKQFSAFVAANGPHLPAIESGHAPRKEDFPSFFLKLKISLKG >KJB66720 pep chromosome:Graimondii2_0_v6:10:42191828:42195438:-1 gene:B456_010G154000 transcript:KJB66720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSPQGQKQNPPKAVQKVPPSESHKDLWLRSSSLKKPPEPLRRVVAESLSSSSLAAVAEGVSSHHQGGP >KJB68214 pep chromosome:Graimondii2_0_v6:10:60341490:60350039:-1 gene:B456_010G232400 transcript:KJB68214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVECSSTQLIDGDGSFNVTGIEQLIKEVKLNECGLSYAVVSIMGPQSSGKSTLLNHLFHTNFREMDAFKGRSQTTKGIWMAKCNGIEPCTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPEAHKETPLSEFFHVEVVALSSYEEKEELFKEQVSNLRQRFFHSIAPGGLAGDRRGVVPASGFSFSAQEIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYSSFTECESWCQLEEASRSDLVSGFGKKLNSLLHTSLTKYDSEATFFDEGVRSLKRKQLEEKLLQLAQPAHQAILGHLRSGTLEKFKEAFEKALNGGEKFSVAARNCTESYMALFDEGYQDAFVELANWDSSKVREKLRRDIDAHVASVQAAKLAELTSSYEVRVKEALYGPVESLFDGANNETWLSIRNLLRCETESAVRGLSSGLSGFDLDENARGKMITTLEDYAKGVIETKAREEAGRVVVRMKDRFSNLFAYDADSMPRVWTGKEDIRFITKTARVECLKLLSVMAAIRLDDTADNIEKILFTALLDPSSSAAAIKSNKAXXXXXXIPPAKTMITPVQCKTLWRQFMTETEYSVTQAISAQEANRRSNNWLPPPWAIVALLILGFNEFMTVLRNPLYLGLIFVGYLVIKAAWVQLDIPGQFRYGVLAGLLSISTKLIPTIMNILRKLSEPAPVATTGANNPPRHLTAATKALENGSTRSSTASSGNKTEYSDHNKEQ >KJB67819 pep chromosome:Graimondii2_0_v6:10:57879046:57883998:-1 gene:B456_010G212800 transcript:KJB67819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRTSIQLIAYSQELVDGQPLYVSSNCLPVKALKYEPAGHAFHNAALKLFGCEEDDTTEVDDQKVGNDKEQVYMPSSDSYSSKGKKKSGADGKQQDHYALLGLSHLRYLATEDQIRKSYREAALRHHPDKLANLLLAEETEAAKQAKKDEIENHFKSIQEAYEILIDPIKRRIYDSTDEFDDEIPTECAPQDFFKVFGPAFMRNGRWSVTQPIPSLGDDSTSLKDVDNFYNFWYSFKSWREFPHADEYDLEQSESRDHKRWMERQNAKLSEKARKEEYARIRALVDNAYKRDPRILRRKEEEKAEKQRKKEAKVRAKQLQEEEAARAAEEERRRKEEEEKRAAEAALQHKKNKEKEKKLLRKERTRLRALSAPVLSQHLLDLSEEDVESLCMTLGFEQLRSLCDKMDNKEALERAKLIQDARGYNSNTEEKKIDDTNGSHLNGSVDSNGSILSSSSSEKKEKPWTKEEIELLRKGTQKYPKGTSHRWEVISEYIGTGRSVEEILKATKTVLLQKPDATKAFDSFLEKRKPAATIASPLSTREEVEGVSMPSRTENTTAKTSSAEDSGKAASNPVDVVSGNGVSSSSEQDVWSAVQERALIQALKTFPKETSQRWERVATAVPGKTVNQCKKKFALLKENFRSKKSTA >KJB63641 pep chromosome:Graimondii2_0_v6:10:707493:709172:-1 gene:B456_010G009500 transcript:KJB63641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERRQLFRFRLPWLSAAATPRPTAQSEVPSQPSTTTSIQQPPFRPPGIAPVQTAPTQARVPGQKTEPQPDATSRPATSRPTSTPRHKIRGSSVPPSASRRVTDKQGMSRPVSASHGTVQTQATSQTWSPARAISVPPSPSRMVSQPQSIAQAVSKQQSSSRLASQQTSPQPSSPSLRDVSAPKDSPTAIQERSQPPSSTQPPPSASHQQTQPFGVAEIAPITGTTMETPSAPLKPKERVERKKVQEEHGKATAKGSTHEEPEQGTTTKLLAAATDAGTKTKEQLMAALETGKRHQQKQDDMEIKKTLKTSSNDEKQIKTVSSAYPRNWSIPNKAHEKHVSSNWEQVPLQNEIREDVCKFVHKLTTEQLELPTDEKSISVVTLAGENRGASFHLGSESSKKEGLVHIHRGYKINPDDSPDATTDGEESSRGRKPKDSVTKENPASTAYANNNIQSINNSIVCESSVNARNPGVYLELIHNLAESTKSKAKEEHTEPGKTRFNITPAEKRTYEPTVRRRCLRGLFAESSDSDPGNPEKPRRHGCRFNCGGKNKQKEMEDL >KJB65163 pep chromosome:Graimondii2_0_v6:10:12183832:12184583:-1 gene:B456_010G082700 transcript:KJB65163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTPNLYPLQPLLKLHRPVLELVDSWVKFFLGNVNCLQPKQSQMTIKLKILVKSDSTGQLVAFKYCISSLHSFHLRLLS >KJB64289 pep chromosome:Graimondii2_0_v6:10:3839000:3839943:-1 gene:B456_010G040800 transcript:KJB64289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDTSDSSSYFDGREPDELIRALVQKRPGTDGKRTLGLHFIDLESNKVFIKAMPPDAPSSSTAVSCGNHVYVIGGIRKNDATPFGYDDVNDVFQLDLKDLERGWRKTTSMLFPRSFPHVLAAEGKIYVYDISGDIWEPLSPPPEAIDIRVVCVPVLDSSRSRILVHCDASDTLYAYYYDRKSWVCLEQKFCYWFDSAAIVDDVLYTFIYKCSLEAYNLLDKKHLPVKWSSEFPVAPPLGSALYRLGNGKLILGWVNHLHRGFECIRFNIWCNEQGGIHAAAEHQSAITVPYPEDISSIWFF >KJB63878 pep chromosome:Graimondii2_0_v6:10:1825921:1826585:-1 gene:B456_010G023100 transcript:KJB63878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKGTERVNEEGAIETKVDTIDIQSPPGENKEPKVENVGVVHLTHDRPNTGNKGGVLAGAAEAATKAVQAVKDSMSGSNK >KJB64701 pep chromosome:Graimondii2_0_v6:10:7368429:7375065:-1 gene:B456_010G061000 transcript:KJB64701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPGITLEHRGKHSCLAKWTVPYNPELQPEKMICSPWFEMGDFEFRSVIVPGSDALALALALGPDAFFSLQVRINSPSYSFRFGPLASYKLSILNHEDESKSLTKAFKFTLFTRNTNIPTGGSLQLASSVFGPRSGFFKNGSLHCSIEISILDESFSFSFSRDGNNDTEMVDYSAHSLSCDTIVLAGKFKWKIKNFSFFKEMIETRQQMSSAVFLLGRGFAHISASTTKVNGVECLSLFLEANEMHTCPKCMGHNKPSWCLFRISVLSQKAWRSHMHKDSYGRLNKTTPALGWTDYMKISDLIGPNNGFVMNNTVEFTVSFKAIKDSAAVLTSGFKKFGAFNKSGSCTWKIENFTRLKDILKNEKMIGVSVESSKFQVEDHEFRLIVFPRGQYQKPIYLSMIIEASGPQDATQDWSCFARCRLSVVNKKNKDNSIFTETQGRFSEAAKRWGWPEFLTLATLFNKGSGYLVEDTVMFNADILILKESLEIQDVLESSNKDGEKGRCTITWEMKNFVAFQQTSSMINDICSKYFQVDKLKLRIGVCVLSDNLCAYLECDPSDLVENLYVRYKMTVVNKKHPAKSISKGSCLRTDTSDSHGRLLFMELSDMLKSSAGFVTGEMATFVCEILDYCPLLDLSKVPSDVKSHELQENGDNEDKTGKILAMEGDHFIILKKEFRNDLFIMAGILIGMRLYHNPVKPNNIFRRIFGCIDTGQIDVGPDKFMSKLVASTTGVESLHQQIENALLDVMVDCCQRLQGTSGEDATDTNAESCQDTNEVSSQTKLNRQSSLANTVRSLIRETFFGTGKCMDNCSNTSGEAILGQHDSTSESAEETLRLIVKSLKALNDEVTQVTLEQSQECHFVQKVLAVLREAPKHLLPDLVSLLPKLACQFDHNVVGSAILCQLKETDADSSMRLLVLEAMCQLKLAMDVWKSVFLQASNVVDDLNGEALGAAIALLFKAASQCQDIPLAVSIVRQKLQHLGADVSPFVLDRLAKSLNSSDDLAVTMLQKIDSVFSVDQERLSSGEHGPATESLNAGGHHFSDIFMLLKMLAIPSIANQTMRAFEKGIANGFITHHLAEMEKWGSSYTERAFILVFGIADKLLQSRRPPVLEFLRKFYSILFKLSNDENYQKKMLRKLVDHAMSAANNCFETSLDVLVFLVHKECKVAELVVSMARDDFQLANSNLSALQSKLDTRNDEYRRVEEDLKTDLERRKIEESIFLQCLREAEVTSLHHMTEMSLEMDRSTLENKKLSEKLQVIQDTLSKQKDKFVKLSNEKKEVIQEKNDLAKKLRTTEADKNSLVEELRTEVEAQNAEQQSLSNEVQMLKQELKQIKREKQEKEEEIGRCKNYNDELEVKLNSLQGKIQSLENSLHEERQKITSLMGFVQENLPMEQLQALLNIHENCLRIFQATQQLRRNFL >KJB67765 pep chromosome:Graimondii2_0_v6:10:57558977:57559325:-1 gene:B456_010G2095001 transcript:KJB67765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKNEESVSENQNKSESVSKNKYESENEIEDEDESVSESESESECKIENEKESVSKNKNESVSENENERHDKSVQQIHHPFHLQHPLVLVAEQSNEGVKAYCDGCGELLSTLCFT >KJB64319 pep chromosome:Graimondii2_0_v6:10:4090221:4091647:-1 gene:B456_010G042900 transcript:KJB64319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYTRPLFSYEITLFCFTDKENCPKTIQDVKLINAGKILENNKTLAESTLPVGELPGGVITMHVVLRLPLSDKNNEKQQDDSQKKSSCSCTIL >KJB73618 pep chromosome:Graimondii2_0_v6:11:57013010:57013807:1 gene:B456_011G243100 transcript:KJB73618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFPQGSPLKKQPAGITDESNKEELLYMGQEQNQNQDPNHHRQQVEQPQQPPLSVGISPEQHPPHPNDGYPLESRDSSNHKKPSKNISYEEFVAAEEERQRLILSEDMDSNGFPNSWPKVSPPPFLPVTVALPTLEPPSPEKDFSPPTVTRNIESPPLPLPPPPRPSKCCIIL >KJB74213 pep chromosome:Graimondii2_0_v6:11:61148733:61151669:1 gene:B456_011G279700 transcript:KJB74213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILDIILLSIRFNKGIKKDDIWKSTVSDSSFTLELVDNNDFYACRKSSNGAKGPNHERKKGIPWTIEEHRLFLLGIKKYGKGDWRNISRNFVDSKTPTQVASHAQKYQKWKLSRGKDEKKPGIHDVTVLDLTGTTVFSDDRKPPSANQSNVASQQKPASMSKTSPNDGSATVFGLVNGQYVKTRL >KJB70349 pep chromosome:Graimondii2_0_v6:11:6088496:6089228:1 gene:B456_011G068500 transcript:KJB70349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPINTKVVVLLILGTSMVVFTIPAGKADDGLKQTIMSVYFHDHSSGSPDSTVRAVVGFPGKLWNLTQFGTLFVSDDPVTEGPDPESAPVGRGQGIFVTTSLDGVNTHVSLSIVFTNEACNGSTIQVQGNSDQMKAVREYGVVSGTGKFRYASGYVTFENFSFNSSISYAVIRCNISIRHY >KJB70237 pep chromosome:Graimondii2_0_v6:11:5467585:5470749:-1 gene:B456_011G064700 transcript:KJB70237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGYIVQQQQQQVLEENMSNLTCASGEASVSSAAGGTNYSQQYFSNPPAQAQPVKRKRNQPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYVCPEVSCVHHDPSRALGDLTGIKKHFYRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTLFSRRDSFITHRAFCDALAEESSRAAIMGANTFQPGSSHTNLQVPQFNPHDIQAFSLKQEQHQRFTPLKPDIPPWLATQPMLGAGAGLSSSSSSIFSPSLTLHENPTPIPTLDPTLPPYHPTTVLSPHMSATALLQKAAQMGATLSNKPGSSSAPATSTAMRPHQQTHVSADFVGSTNNNSTTAGFGLNLSSREEVSMGSGFIHGSTHHASESSVGF >KJB70238 pep chromosome:Graimondii2_0_v6:11:5468169:5470259:-1 gene:B456_011G064700 transcript:KJB70238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGYIVQQQQQQVLEENMSNLTCASGEASVSSAAGGTNYSQQYFSNPPAQAQPVKRKRNQPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYVCPEVSCVHHDPSRALGDLTGIKKHFYRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTLFSRRDSFITHRAFCDALAEESSRAAIMGANTFQPGSSHTNLQVPQFNPHDIQAFSLKQEQHQRFTPLKPDIPPWLATQPMLGAGAGLSSSSSSIFSPSLTLHENPTPIPTLDPTLPPYHPTTVLSPHMSATALLQKAAQMGATLSNKPGSSSAPATSTAMRPHQQTHVSADFVGSTNNNSTTAGFGLNLSSREEVSMGSGFIHGSTHHASESSGAPPPPSLFLQGMMNSLSSPIGFDSTSFDDIAFSGILNAKKKSGTLINESFSKTTAEPENGTGNNNDHESRAGGSTQGEALRRDFLAL >KJB70239 pep chromosome:Graimondii2_0_v6:11:5468169:5469918:-1 gene:B456_011G064700 transcript:KJB70239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYVCPEVSCVHHDPSRALGDLTGIKKHFYRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTLFSRRDSFITHRAFCDALAEESSRAAIMGANTFQPGSSHTNLQVPQFNPHDIQAFSLKQEQHQRFTPLKPDIPPWLATQPMLGAGAGLSSSSSSIFSPSLTLHENPTPIPTLDPTLPPYHPTTVLSPHMSATALLQKAAQMGATLSNKPGSSSAPATSTAMRPHQQTHVSADFVGSTNNNSTTAGFGLNLSSREEVSMGSGFIHGSTHHASESSGAPPPPSLFLQGMMNSLSSPIGFDSTSFDDIAFSGILNAKKKSGTLINESFSKTTAEPENGTGNNNDHESRAGGSTQGEALRRDFLAL >KJB70240 pep chromosome:Graimondii2_0_v6:11:5467859:5470749:-1 gene:B456_011G064700 transcript:KJB70240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRNGNVTSAPRGMQFNPTGKLTPRLVALKNIDVTVEPSSQEESSRAAIMGANTFQPGSSHTNLQVPQFNPHDIQAFSLKQEQHQRFTPLKPDIPPWLATQPMLGAGAGLSSSSSSIFSPSLTLHENPTPIPTLDPTLPPYHPTTVLSPHMSATALLQKAAQMGATLSNKPGSSSAPATSTAMRPHQQTHVSADFVGSTNNNSTTAGFGLNLSSREEVSMGSGFIHGSTHHASESSGAPPPPSLFLQGMMNSLSSPIGFDSTSFDDIAFSGILNAKKKSGTLINESFSKTTAEPENGTGNNNDHESRAGGSTQGEALRRDFLAL >KJB74297 pep chromosome:Graimondii2_0_v6:11:61958721:61961048:-1 gene:B456_011G287900 transcript:KJB74297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTRLASVAVLWFLVLFGTLAIIQNRFSDAGVPEPKLNQGFDDDSEEITHKVYFDVQIDGKSAGRIVIGLFGKTVPKTAENFRALCTGEKGAGKSGKPLHYKGSTFHRIIPSFMIQGGDFTRGDGRGGESIYGERFADENFKLKHEGPGELQSLYSLQVSFDAFFLLRGFPSHWWDSKLEPQISKAGKKYIINCLHFQLSSL >KJB74295 pep chromosome:Graimondii2_0_v6:11:61957845:61961208:-1 gene:B456_011G287900 transcript:KJB74295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTRLASVAVLWFLVLFGTLAIIQNRFSDAGVPEPKLNQGFDDDSEEITHKVYFDVQIDGKSAGRIVIGLFGKTVPKTAENFRALCTGEKGAGKSGKPLHYKGSTFHRIIPSFMIQGGDFTRGDGRGGESIYGERFADENFKLKHEGPGRLSMANAGPNTNGSQFFITTVTTGWLDGHHVVFGKVIAGMDVVFKIEAQGRQSGVPKAKVVIVDSGEMPI >KJB74296 pep chromosome:Graimondii2_0_v6:11:61957934:61961202:-1 gene:B456_011G287900 transcript:KJB74296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTRLASVAVLWFLVLFGTLAIIQNRFSDAGVPEPKLNQGFDDDSEEITHKVYFDVQIDGKSAGRIVIGLFGKTVPKTAENFRALCTGEKGAGKSGKPLHYKGSTFHRIIPSFMIQGGDFTRGDGRGGESIYGERFADENFKLKHEGPGRLSMANAGPNTNGSQFFITTVTTGWYLPFL >KJB71202 pep chromosome:Graimondii2_0_v6:11:13132782:13135598:-1 gene:B456_011G110000 transcript:KJB71202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLTTSLTHSISTLPPISFSASAPCLPPPQLSVSTARHLRSRVLRFSNLLPKATTSEEASSTGPNRYFGEDRDRVVTVEEVPAVGNNNVFNEKLPLEEPKVESAADEDSQMFDFLEKLNIKLDSGDGYSIILYGTGALFALWLASSLVGAIDSIPLFPKLMEVVGLGYTVWFSSRYLLFKKSREELGTKIEELKQQVLGSEDG >KJB71200 pep chromosome:Graimondii2_0_v6:11:13132639:13135598:-1 gene:B456_011G110000 transcript:KJB71200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLTTSLTHSISTLPPISFSASAPCLPPPQLSVSTARHLRSRVLRFSNLLPKATTSEEASSTGPNRYFGEDRDRVVTVEEVPAVGNNNVFNEKLPLEEPKVESAADEDSQMFDFLEKLNIKLDSGDGYSIILYGTGALFALWLASSLVGAIDSIPLFPKLMEVVGLGYTVWFSSRYLLFKKSREELGTKIEELKQQVLGSEDG >KJB71201 pep chromosome:Graimondii2_0_v6:11:13132544:13135654:-1 gene:B456_011G110000 transcript:KJB71201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLTTSLTHSISTLPPISFSASAPCLPPPQLSVSTARHLRSRVLRFSNLLPKATTSEEASSTGPNRYFGEDRDRVVTVEEVPAVGNNNVFNEKLPLEEPKVESAADEDSQMFDFLEKLNIKLDSGDGYSIILYGTGALFALWLASSLVGAIDSIPLFPKLMEVVGLGYTVWFSSRYLLFKKSREELGTKIEELKQQVLGSEDG >KJB68940 pep chromosome:Graimondii2_0_v6:11:240312:241703:-1 gene:B456_011G0028002 transcript:KJB68940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LALWILISGALRCICIFVPFITFQAYGYYNMCLGRSSDEMRPWCKARIPLLYNYIQSHYWGVGFLRYFKFKQLPNFLLASPILSLAVCSIIYYVKSRPEIVRSLGFQASVEEKSSMAVIFSSQKPQRSNDTQFSEKYSSRNQGNHNLKSRKKISQGKDLAEGRTVHGSLEKLGYTSAFVLPFILQVGFMAATAFFVMHVQVATRFLSASPSLYWFASLIMTSHKKWGYVIWVYCFAYILLGSLLFSNFYPFT >KJB68939 pep chromosome:Graimondii2_0_v6:11:240132:241703:-1 gene:B456_011G0028002 transcript:KJB68939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LALWILISGALRCICIFVPFITFQAYGYYNMCLGRSSDEMRPWCKARIPLLYNYIQSHYWGVGFLRYFKFKQLPNFLLASPILSLAVCSIIYYVKSRPEIVRSLGFQASVEEKSSMAVIFSSQKPQRSNDTQFSEKYSSRNQGNHNLKSRKKISQGKDLAEGRTVHGSLEKLGYTSAFVLPFILQVGFMAATAFFVMHVQVATRFLSASPSLYWFASLIMTSHKKWGYVIWVYCFAYILLGSLLFSNFYPFT >KJB68937 pep chromosome:Graimondii2_0_v6:11:240178:241703:-1 gene:B456_011G0028002 transcript:KJB68937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LALWILISGALRCICIFVPFITFQAYGYYNMCLGRSSDEMRPWCKARIPLLYNYIQSHYWGVGFLRYFKFKQLPNFLLASPILSLAVCSIIYYVKSRPEIVRSLGFQASVEEKSSMAVIFSSQKPQRSNDTQFSEKYSSRNQGNHNLKSRKKISQGKDLAEGRTVHGSLEKLGYTSAFVLPFILQVGFMAATAFFVMHVQVATRFLSASPSLYWFASLIMTSHKKWGYVIWVYCFAYILLGSLLFSNFYPFT >KJB68936 pep chromosome:Graimondii2_0_v6:11:240131:241703:-1 gene:B456_011G0028002 transcript:KJB68936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LALWILISGALRCICIFVPFITFQAYGYYNMCLGRSSDEMRPWCKARIPLLYNYIQSHYWGVGFLRYFKFKQLPNFLLASPILSLAVCSIIYYVKSRPEIVRSLGFQASVEEKSSMAVIFSSQKPQRSNDTQFSEKYSSRNQGNHNLKSRKKISQGKDLAEGRTVHGSLEKLGYTSAFVLPFILQVGFMAATAFFVMHVQVATRFLSASPSLYWFASLIMTSHKKWGYVIWVYCFAYILLGSLLFSNFYPFT >KJB68935 pep chromosome:Graimondii2_0_v6:11:240312:241703:-1 gene:B456_011G0028002 transcript:KJB68935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LALWILISGALRCICIFVPFITFQAYGYYNMCLGRSSDEMRPWCKARIPLLYNYIQSHYWGVGFLRYFKFKQLPNFLLASPILSLAVCSIIYYVKSRPEIVRSLGFQASVEEKSSMAVIFSSQKPQRSNDTQFSEKYSSRNQGNHNLKSRKKISQGKDLAEGRTVHGSLEKLGYTSAFVLPFILQVGFMAATAFFVMHVQVATRFLSASPSLYWFASLIMTSHKKWGYVIWVYCFAYILLGSLLFSNFYPFT >KJB68938 pep chromosome:Graimondii2_0_v6:11:240300:241703:-1 gene:B456_011G0028002 transcript:KJB68938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LALWILISGALRCICIFVPFITFQAYGYYNMCLGRSSDEMRPWCKARIPLLYNYIQSHYWGVGFLRYFKFKQLPNFLLASPILSLAVCSIIYYVKSRPEIVRSLGFQASVEEKSSMAVIFSSQKPQRSNDTQFSEKYSSRNQGNHNLKSRKKISQGKDLAEGRTVHGSLEKLGYTSAFVLPFILQVGFMAATAFFVMHVQVATRFLSASPSLYWFASLIMTSHKKWGYVIWVYCFAYILLGSLLFSNFYPFT >KJB74049 pep chromosome:Graimondii2_0_v6:11:60089098:60090722:-1 gene:B456_011G269000 transcript:KJB74049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHKLNNFSFRIAVFLALLNHKFKAERNIESPFETQNVIMATFVISILVYAITLCTPYFPGAIDDVNLLAGRLATVLLAFTLFPSLGWLLLFIWTIHFVKLIYRAVRKFRQQYHATPSAFDLFNQCRHAQHNEQSNRGSANKNLHASLVFAIGLLLALMPLKYPQKTLETHSAIVSIFITLILVYTAAWETEHHLQTNNSNSSIHRIIVTKISLFTGSLATVVLVFLIVPAIGWFVLFVWTFFLVKQIYEAWKMFDLLYRSTLWVTYVFYPVFDLPGHYNQAIRGLPV >KJB70588 pep chromosome:Graimondii2_0_v6:11:8232094:8235297:-1 gene:B456_011G081700 transcript:KJB70588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLHKFSFRLLPPVTFNFNHTRLASLYLSTRFPVSGPAFSDPGPLFTSRRPLSSSVVSKAGWFLGLGERKKTSLPEIVKAGDPVLHEPAKEVDPGEIGSERIQNIIADMVRVMRMAPGVGLAAPQIGIPLQIIVLEDTTEYISYAPKEEIKAQDRRPFDLLVIINPKLKKRSNRSALFFEGCLR >KJB70585 pep chromosome:Graimondii2_0_v6:11:8232008:8235348:-1 gene:B456_011G081700 transcript:KJB70585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLHKFSFRLLPPVTFNFNHTRLASLYLSTRFPVSGPAFSDPGPLFTSRRPLSSSVVSKAGWFLGLGERKKTSLPEIVKAGDPVLHEPAKEVDPGEIGSERIQNIIADMVRVMRMAPGVGLAAPQIGIPLQIIVLEDTTEYISYAPKEEIKAQDRRPFDLLVIINPKLKKRSNRSALFFEGCLSVDGFRAVVERHLDVEVTGFGRDGQPIKVDASGWQARILQHECDHLDGTLYVDKMVPRTFRTVQNLDLPLAEGCPKLGAR >KJB70587 pep chromosome:Graimondii2_0_v6:11:8232027:8235348:-1 gene:B456_011G081700 transcript:KJB70587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLHKFSFRLLPPVTFNFNHTRLASLYLSTRFPVSGPAFSDPGPLFTSRRPLSSSVVSKAGWFLGLGERKKTSLPEIVKAGDPVLHEPAKEVDPGEIGSERIQNIIADMVRVMRMAPGVGLAAPQIGIPLQIIVLEDTTEYISYAPKEEIKAQDRRPFDLLC >KJB70586 pep chromosome:Graimondii2_0_v6:11:8232938:8235252:-1 gene:B456_011G081700 transcript:KJB70586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLHKFSFRLLPPVTFNFNHTRLASLYLSTRFPVSGPAFSDPGPLFTSRRPLSSSVVSKAGWFLGLGERKKTSLPEIVKAGDPVLHEPAKEVDPGEIGSERIQNIIADMVRVMRMAPGVGLAAPQIGIPLQIIVLEDTTEYISYAPKEEIKAQDRRPFDLLLF >KJB68736 pep chromosome:Graimondii2_0_v6:11:59475244:59477796:1 gene:B456_011G2637001 transcript:KJB68736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETETTKFVFPKLINLGLDKVPRLKSFYSRMHTTQWPSLKQMDIIEFPKVQIFTPQCPECQVGISNQQPLFCVNEDTFPVLEELTLKTNDMVKGICDGQLSLQCFQNLKLLNLQFFPEASTTLPYSFIRSLPMLHKLVIDNASICQIVQSEGLSDDERHTSAFYQLKELSLCQLPELTLKTFEPSLLSFKNLTTLEVSRCHGFINLIACSTAKCLMLLERLSIDDCGMIEEIIACEAEEMQGGIVFPKLQYLQLSCLPCLASFSLAHHSLEFPVLLMVKVTKCPKMRNFCQGDLSTRRLEQMHLTRDEEGEQQWEGDLNTTIKHMFDEMNVQNSEVTEVTDQLPKLE >KJB68737 pep chromosome:Graimondii2_0_v6:11:59475244:59477796:1 gene:B456_011G2637001 transcript:KJB68737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETETTKFVFPKLINLGLDKVPRLKSFYSRMHTTQWPSLKQMDIIEFPKVQIFTPQCPECQVGISNQQPLFCVNEDTFPVLEELTLKTNDMVKGICDGQLSLQCFQNLKLLNLQFFPEASTTLPYSFIRSLPMLHKLVIDNASICQIVQSEGLSDDERHTSAFYQLKELSLCQLPELTLKTFEPSLLSFKNLTTLEVSRCHGFINLIACSTAKCLMLLERLSIDDCGMIEEIIACEAEEMQGGIVFPKLQYLQLSCLPCLASFSLAHHSLEFPVLLMVKVTKCPKMRNFCQGDLSTRRLEQMHLTRDEEGEQQWEGDLNTTIKHMFDEMNVQNSEVTEVTDQLPKLE >KJB72938 pep chromosome:Graimondii2_0_v6:11:49636145:49642693:-1 gene:B456_011G205000 transcript:KJB72938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQDGSDEMESVRIQLSNIGRSVSTEEVQTLRTLTSFDDDGDYDDDDDDDDDYCYDDDDDEYESQWAAVERLPLFERITTALFDDKVGRKRLVNVTKLGPDERHCFIDKLIKHVQNDNLSLLEKLRHRIDSSIDAFSNPFVLRACLKFYRAGVQLPSVEVRYKNLSVQAECQVVHGKPLPTLWNATKGVFSGISNLLGSKQEAKISILKDVNGIIKPGRMTLLLGPPGCGKTTFLLALAGKLSQTLEVSGEITYNGYGLDEFNPRKTSTYVSQYDLHTPEMTVRETLAFSARFQGVGSRAEIIKEVSQREKQAGIVPDPDVDAYMKAISVEGTESTLQTDYILKILGLDNCADTMVGDAIRRGISGGEKKRLTTGEMIVGPTKVLFMDEISNGLDSSTSFQVVSCIQHLVHHTDATALISLLQPAPEIFDLFDDVILMAEGKVVYHGPRTYIRKFFKNCGFRCLERKGIADFIQEVISRKDQAQYWYHKEQPYRYVSVNQFIQKFKECKIGVSLEKELSDPLEKTQSRRDSLSFKRYSLSKWELFKACSRREFLLMKRNSFLYVFKTLQLLMGASMTMTVFLRTRMAVDIIHANYYLGALFFTLMLIIVDAFPELSLTVSRLEVFYKQRELCFYPAWAYAIPAAILKIPLSFLESFLLISLTYYTIGYSPEVGRFFRQFLVSFGLHVAGMSMFRLISSVSQTIVASTTAGTLALVIMSSFGGFIVTQHKCQVLFFTIFAPYMVAASMPSWLNWGFWLNPVSYGEIGMALNELLAPRWEKVKSGNTSAGQETLESRGLNFDSSFYWLSVAALFGLTALLNVIFMLALTFLKYYQLQGQEDSRDESIHNESKISVETDSTFSGSETDFLKNKPFPGNFIVSHVPVFSRARISSKISFFHSGTCKASFTLLGISQYQDTKQRLRMSKTRLYRTQS >KJB71299 pep chromosome:Graimondii2_0_v6:11:15156445:15158226:1 gene:B456_011G115800 transcript:KJB71299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNAATNVATPPDVVASICGIHASPNTAHIATITAPNPIALHVSFTKPFPDISKIEVFDGNNFKWWQEHIFLVFHMHGVVFARTEKLSFDSLNKQTELWVHANKVCRHTIISTLPKEFFLCLLFVQGSKRADVKVQINEYHKLLKDLNSKNITLSEEFVAGLLIEKFPPSCIRNFFLADLITHIIIKETDKKEARAAKRKEIITLANLINGKPKRSMVKKMIISLKQKTLPLRIKKNLLCLWQIRLLCTSMQAPKGDNPTRPNVNVVEADEIIAVIISQANIVINVKEWVFALNGLFHLPNIRTNMVLVGVLGKVGVKVSFESNKVIMTKNNVFIGKDEVFDKFVKYKARVKNQLNKRIIRVRSEHVRLNDF >KJB72362 pep chromosome:Graimondii2_0_v6:11:39313164:39314429:1 gene:B456_011G173800 transcript:KJB72362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSSVCWVSATKDHVRSGTHFTKDGWLKIMTNFEKETSKAFPQKQLKNRWDALKKNRKLGRNLKAKILVVPKAQKFRTSGINPQFEGKLDQMFMGIVAIGDTAWAPSSIKKEKNPEISSSHFKTKRKKSSKQIGGAARLSSQIEKLCNAADNMSQATSSLTPVMDPYGIPQAVKVLDSMSKEVLEASPLYFFALKLLLNKDKRVAFLTINPKIRALWLKTEMKDS >KJB70536 pep chromosome:Graimondii2_0_v6:11:7759374:7771070:1 gene:B456_011G078200 transcript:KJB70536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLTGFVETRQQLLTLKPNHRMNWIGFAVAHHLNSNGAKAVEILEAYEGTLEDDYPPDNERCEHGEMLLYKISLLEECGFLERALEELHKKEPKIVDKLTYKEQEVSLLVKLGRLEEGANLYKALLTMNPDNYRYYEGLQKCFVLYSENGKYSSDEIDQLDALYKSLAEQYTWSSAVKRIPLDFLQGDKFREAAISYIKPLLTKGVPSLFSDLSPLYDHPGKADMLEQLILELEHSIRINGKYPDRTEKEPPSTLLWILFFLAQHYDRRGQYDVALSKIDEAIQHTPTVIDLYSVKSRILKHAGDLVAAASLADEARCMDLADRYINSECVKRMLQADQVALAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVEMLKFQDRLHSHAYFHKAAAGAIRCYLKFYDSPVNSPAEEEDNTSKTPSQKKKMKKQRKAERAKKEAEEKNEESSASGNSKFGKRHIKPVDPDPYGEKLLKTEDPLSEATKYLKLLQKNSPDSLETHLLSFEVNMRKQKILLAFQAVKQLLRLDAENPDSHRCLIKFFHKVGSMPVPVTDAEKLVWSVLEAERPSISQLQEKTLSEANKVFLGKHEDSLMHRVAVAEMLFTLDPTEKPEAVKLIEDSSNKVVPTNGALGPVMDWKLKDCIVVHKLLDKALIDQDAALRWKVRCAEYFPYSTYFEGGCSSAVHNSLNVNGGASHPEISQSENALVSNGKLEAFKNLTI >KJB70535 pep chromosome:Graimondii2_0_v6:11:7757571:7771070:1 gene:B456_011G078200 transcript:KJB70535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRLGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLTGFVETRQQLLTLKPNHRMNWIGFAVAHHLNSNGAKAVEILEAYEGTLEDDYPPDNERCEHGEMLLYKISLLEECGFLERALEELHKKEPKIVDKLTYKEQEVSLLVKLGRLEEGANLYKALLTMNPDNYRYYEGLQKCFVLYSENGKYSSDEIDQLDALYKSLAEQYTWSSAVKRIPLDFLQGDKFREAAISYIKPLLTKGVPSLFSDLSPLYDHPGKADMLEQLILELEHSIRINGKYPDRTEKEPPSTLLWILFFLAQHYDRRGQYDVALSKIDEAIQHTPTVIDLYSVKSRILKHAGDLVAAASLADEARCMDLADRYINSECVKRMLQADQVALAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVEMLKFQDRLHSHAYFHKAAAGAIRCYLKFYDSPVNSPAEEEDNTSKTPSQKKKMKKQRKAERAKKEAEEKNEESSASGNSKFGKRHIKPVDPDPYGEKLLKTEDPLSEATKYLKLLQKNSPDSLETHLLSFEVNMRKQKILLAFQAVKQLLRLDAENPDSHRCLIKFFHKVGSMPVPVTDAEKLVWSVLEAERPSISQLQEKTLSEANKVFLGKHEDSLMHRVAVAEMLFTLDPTEKPEAVKLIEDSSNKVVPTNGALGPVMDWKLKDCIVVHKLLDKALIDQDAALRWKVRCAEYFPYSTYFEGGCSSAVHNSLNVNGGASHPEISQSENALVSNGKLEAFKNLTI >KJB70538 pep chromosome:Graimondii2_0_v6:11:7762941:7771070:1 gene:B456_011G078200 transcript:KJB70538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDNYRYYEGLQKCFVLYSENGKYSSDEIDQLDALYKSLAEQYTWSSAVKRIPLDFLQGDKFREAAISYIKPLLTKGVPSLFSDLSPLYDHPGKADMLEQLILELEHSIRINGKYPDRTEKEPPSTLLWILFFLAQHYDRRGQYDVALSKIDEAIQHTPTVIDLYSVKSRILKHAGDLVAAASLADEARCMDLADRYINSECVKRMLQADQVALAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVEMLKFQDRLHSHAYFHKAAAGAIRCYLKFYDSPVNSPAEEEDNTSKTPSQKKKMKKQRKAERAKKEAEEKNEESSASGNSKFGKRHIKPVDPDPYGEKLLKTEDPLSEATKYLKLLQKNSPDSLETHLLSFEVNMRKQKILLAFQAVKQLLRLDAENPDSHRCLIKFFHKVGSMPVPVTDAEKLVWSVLEAERPSISQLQEKTLSEANKVFLGKHEDSLMHRVAVAEMLFTLDPTEKPEAVKLIEDSSNKVVPTNGALGPVMDWKLKDCIVVHKLLDKALIDQDAALRWKVRCAEYFPYSTYFEGGCSSAVHNSLNVNGGASHPEISQSENALVSNGKLEAFKNLTI >KJB70537 pep chromosome:Graimondii2_0_v6:11:7761196:7771070:1 gene:B456_011G078200 transcript:KJB70537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMLNTFLLVNISGAKAVEILEAYEGTLEDDYPPDNERCEHGEMLLYKISLLEECGFLERALEELHKKEPKIVDKLTYKEQEVSLLVKLGRLEEGANLYKALLTMNPDNYRYYEGLQKCFVLYSENGKYSSDEIDQLDALYKSLAEQYTWSSAVKRIPLDFLQGDKFREAAISYIKPLLTKGVPSLFSDLSPLYDHPGKADMLEQLILELEHSIRINGKYPDRTEKEPPSTLLWILFFLAQHYDRRGQYDVALSKIDEAIQHTPTVIDLYSVKSRILKHAGDLVAAASLADEARCMDLADRYINSECVKRMLQADQVALAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVEMLKFQDRLHSHAYFHKAAAGAIRCYLKFYDSPVNSPAEEEDNTSKTPSQKKKMKKQRKAERAKKEAEEKNEESSASGNSKFGKRHIKPVDPDPYGEKLLKTEDPLSEATKYLKLLQKNSPDSLETHLLSFEVNMRKQKILLAFQAVKQLLRLDAENPDSHRCLIKFFHKVGSMPVPVTDAEKLVWSVLEAERPSISQLQEKTLSEANKVFLGKHEDSLMHRVAVAEMLFTLDPTEKPEAVKLIEDSSNKVVPTNGALGPVMDWKLKDCIVVHKLLDKALIDQDAALRWKVRCAEYFPYSTYFEGGCSSAVHNSLNVNGGASHPEISQSENALVSNGKLEAFKNLTI >KJB68836 pep chromosome:Graimondii2_0_v6:11:60750555:60750716:-1 gene:B456_011G2752002 transcript:KJB68836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QMVNDLMVEKREEILKSADEFAKLAKGSVNVGRSSYYNLDCLIEDIRMMNKNC >KJB73277 pep chromosome:Graimondii2_0_v6:11:53698289:53700195:1 gene:B456_011G225500 transcript:KJB73277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQKEVTMAIALFVSIFLLALPFLLFVLLKHRISNNGSFNRLPPSPPSLPLIGHLHMLMFDNSAPHLFLYKLSQKYGPLMFLRFGFRPTLVVSSAKMAEEVMKTHDLDFCSRTNLCAARKLSYNASDLSFSPYNHYWREMRKVCVVHLFSRVQKYRHIREDELARLIEKICQFSIDSKPINLSEAIMCFSSLIICRVGFGKRYDEQGIERSRFHRLLKESQAILSSFCFTDYFPFMGWADRFMGFLNRLETTFKEFDTFFQELIDEHLDSNKLKSEQDDIVDVLLRIRTDHNFSFDPTIDHIKAILMDIFIAGTDTAAATMIWVMSFLMKNPKCLKKAQVEVRDLVGEKGFVNEDDVQGLIYLKAVIKETFRLQPVAPLLLPRETRRKCSIGGYEVPAKTLVYVNAWAIGREPEAWENPQDFRPERFIGSSIDYKGLNFELIPFGAGRRVCPGMHMGVAEVELGLANLLYKFDWEMPNGMNREDLDFDAVRGLTTHKKNALILQAKEIND >KJB68674 pep chromosome:Graimondii2_0_v6:11:9351:10249:-1 gene:B456_011G0001001 transcript:KJB68674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTYSSVENSHFDFSTGIPFSPKSIRSEQEKGVVASSSSSFIVLSNKPLPLPLHTFQRLTSDQASFLPIIRNLNPQIYLSNPLLLISSAILTAKSGGGSLPTHIEKFYETIGLEVQNGYGLIETSPCVAGRQPYYN >KJB68672 pep chromosome:Graimondii2_0_v6:11:9351:10249:-1 gene:B456_011G0001001 transcript:KJB68672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTYSSVENSHFDFSTGIPFSPKSIRSEQEKGVVASSSSSFIVLSNKPLPLPLHTFQRLTSDQTAKSGGGSLPTHIEKFYETIGLEVQNGYGLIETSPCVAGRQPYYN >KJB68670 pep chromosome:Graimondii2_0_v6:11:9351:10249:-1 gene:B456_011G0001001 transcript:KJB68670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTYSSVENSHFDFSTGIPFSPKSIRSEQEKGVVASSSSSFIVLSNKPLPLPLHTFQRLTSDQTAKSGGGSLPTHIEKFYETIGLEVQNGYGLIETSPCVAGRQPYYN >KJB68673 pep chromosome:Graimondii2_0_v6:11:9351:10249:-1 gene:B456_011G0001001 transcript:KJB68673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTYSSVENSHFDFSTGIPFSPKSIRSEQEKGVVASSSSSFIVLSNKPLPLPLHTFQRLTSDQASFLPIIRNLNPQIYLSNPLLLISSAILTAKSGGGSLPTHIEKFYETIGLEVQNGYGLIETSPCVAGRQPYYN >KJB68671 pep chromosome:Graimondii2_0_v6:11:9351:10249:-1 gene:B456_011G0001001 transcript:KJB68671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTYSSVENSHFDFSTGIPFSPKSIRSEQEKGVVASSSSSFIVLSNKPLPLPLHTFQRLTSDQTAKSGGGSLPTHIEKFYETIGLEVQNGYGLIETSPCVAGRQPYYN >KJB68669 pep chromosome:Graimondii2_0_v6:11:9351:10249:-1 gene:B456_011G0001001 transcript:KJB68669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTYSSVENSHFDFSTGIPFSPKSIRSEQEKGVVASSSSSFIVLSNKPLPLPLHTFQRLTSDQTAKSGGGSLPTHIEKFYETIGLEVQNGYGLIETSPCVAGRQPYYN >KJB72572 pep chromosome:Graimondii2_0_v6:11:44172349:44178657:1 gene:B456_011G185500 transcript:KJB72572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSELLEIQPLELKFTFELKKQSSCLIQLTNKTDQYVAFKVKTTSPKKYCVRPNTGIVKPKSTYDFTVIMQAQRVAPPDLICKDKFLIQSTVIPFGTAEEDITSDRESGKHIEEKKLKVFLTSPSNSPVLTAINGDLKQDIGHETSSPRDKARHGVENIPPSQKVAEDFMGFETAKDAGELGTTMGTQQFKTSRDETEELTTASDLQSSVAKDVQQLKSAKADDFENLKSKISFMDSKIKEAEVTIMKLTQERSMVAREKDKLQSELELLKTKSNAIRITQVGFPLLYVCMVAAVGLVIGYLSNL >KJB72570 pep chromosome:Graimondii2_0_v6:11:44172173:44178707:1 gene:B456_011G185500 transcript:KJB72570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSELLEIQPLELKFTFELKKQSSCLIQLTNKTDQYVAFKVKTTSPKKYCVRPNTGIVKPKSTYDFTVIMQAQRVAPPDLICKDKFLIQSTVIPFGTAEEDITSDRFSKESGKHIEEKKLKVFLTSPSNSPVLTAINGDLKQDIGHETSSPRDKARHGVENIPPSQKVAEDFMGFETAKDAGELGTTMGTQQFKTSRDETEELTTASDLQSSVAKDVQQLKSAKADDFENLKSKISFMDSKIKEAEVTIMKLTQERSMVAREKDKLQSELELLKTKSNAIRITQVGFPLLYVCMVAAVGLVIGYLSNL >KJB72569 pep chromosome:Graimondii2_0_v6:11:44172111:44178696:1 gene:B456_011G185500 transcript:KJB72569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSELLEIQPLELKFTFELKKQSSCLIQLTNKTDQYVAFKVKTTSPKKYCVRPNTGIVKPKSTYDFTVIMQAQRVAPPDLICKDKFLIQSTVIPFGTAEEDITSDRFSKESGKHIEEKKLKVFLTSPSNSPVLTAINGDLKQDIGHETSSPRDKARHGVENIPPSQKVAEDFMGFETAKDAGELGTTMGTQQFKTSRDETEELTTASDLQSSVAKDVQQLKSAKADDFENLKSKISFMDSKIKEAEVTIMKLTQERSMVAREKDKLQSELELLKTKSNAIRITQVGFPLLYVCMVAAVGLVIGYLSNL >KJB72571 pep chromosome:Graimondii2_0_v6:11:44172349:44177849:1 gene:B456_011G185500 transcript:KJB72571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSELLEIQPLELKFTFELKKQSSCLIQLTNKTDQYVAFKVKTTSPKKYCVRPNTGIVKPKSTYDFTVIMQAQRVAPPDLICKDKFLIQSTVIPFGTAEEDITSDRFSKESGKHIEEKKLKVFLTSPSNSPVLTAINGDLKQDIGHETSSPRDKARHGVENIPPSQKVAEDFMGFETAKDAGELGTTMGTQQFKTSRDETEELTTASDLQSSVAKDVQQLKSAKADDFENLKSKISFMDSKIKEVSVVYFISLI >KJB73036 pep chromosome:Graimondii2_0_v6:11:50729468:50740427:1 gene:B456_011G210800 transcript:KJB73036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSISSSIETGLVYVSELGKRDFLCDWMPGNGNWQVSPKLDDTCQSGCKEAEYSCPPLPRSGSQQSSMSVLSEIPVPAFVFSRRKKRQGSSGIGSASVANCCAEAPMNSKRSGACLSVVSSDAFSVATLERIEVSHGEHRNVAVGDTVMPLACNREPHGLKYESANGCSAMDDRSSDDAHKTVMLKSIDVDSINDSCSSSKSNMDTLLASTKSEMDENGECTSSSVIGAEVLREDLYEKGMCLPVLRKPENVEEVGPSRARAIEEIGTIGVSRCSRLCKICDRLGTTQKMLICDNCEEAFHVRCCRPKIKKPPVDEWYCISCMKQKRIVLKETLARRSSSITGGMGKERDGSSKWEVSPIELMLRDAEPYTTSVRIGKGFQAEVPDWSGPIDIDVDTIGEPLNLDPSEYTYYHEKNSNKSSKLSSKGNWLQCQEFVEGKGGSKGTICGKWRRAPLFEVQTDDWECFCSVQWDPSHADCSVPQELETDQVLKQLKYIELLSPRQSAKQQKLNQTENCTPQNGEDETRNAQS >KJB73038 pep chromosome:Graimondii2_0_v6:11:50729529:50739567:1 gene:B456_011G210800 transcript:KJB73038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGELLMETSISSSIETGLVYVSELGKRDFLCDWMPGNGNWQVSPKLDDTCQSGCKEAEYSCPPLPRSGSQQSSMSVLSEIPVPAFVFSRRKKRQGSSGIGSASVANCCAEAPMNSKRSGACLSVVSSDAFSVATLERIEVSHGEHRNVAVGDTVMPLACNREPHGLKYESANGCSAMDDRSSDDAHKTVMLKSIDVDSINDSCSSSKSNMDTLLASTKSEMDENGECTSSSVIGAEVLREDLYEKGMCLPVLRKPENVEEVGPSRARAIEEIGTIGVSRCSRLCKICDRLGTTQKMLICDNCEEAFHVRCCRPKIKKPPVDEWYCISCMKQKRIVLKETLARRSSSITGGMGKERDGSSKWEVSPIELMLRDAEPYTTSVRIGKGFQAEVPDWSGPIDIDVDTIGEPLNLDPSEYTYYHEKNSNKSSKLSSKGNWLQCQEFVEGKGGSKGTICGKWRRAPLFEVQTDDWECFCSVQWDPSHADCSVPQELETDQVLKQLKYIELVCTIVLIYFTNYSMQFVFCCCCTQYLNIK >KJB73037 pep chromosome:Graimondii2_0_v6:11:50729468:50740435:1 gene:B456_011G210800 transcript:KJB73037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSISSSIETGLVYVSELGKRDFLCDWMPGNGNWQVSPKLDDTCQSGCKEAEYSCPPLPRSGSQQSSMSVLSEIPVPAFVFSRRKKRQGSSGIGSASVANCCAEAPMNSKRSGACLSVVSSDAFSVATLERIEVSHGEHRNVAVGDTVMPLACNREPHGLKYESANGCSAMDDRSSDDAHKTVMLKSIDVDSINDSCSSSKSNMDTLLASTKSEMDENGECTSSSVIGAEVLREDLYEKGMCLPVLRKPENVEEVGPSRARAIEEIGTIGVSRCSRLCKICDRLGTTQKMLICDNCEEAFHVRCCRPKIKKPPVDEWYCISCMKQKRIVLKETLARRSSSITGGMGKERDGSSKWEVSPIELMLRDAEPYTTSVRIGKGFQAEVPDWSGPIDIDVDTIGEPLNLDPSEYTYYHEKNSNKSSKLSSKGNWLQCQEFVEGKGGSKGTICGKWRRAPLFEVQTDDWECFCSVQWDPSHADCSVPQELETDQVLKQLKYIELLSPRQSAKQQKLNQTENCTPQNGEDETRNAQS >KJB72366 pep chromosome:Graimondii2_0_v6:11:39520019:39524267:1 gene:B456_011G174300 transcript:KJB72366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIFQAEKYRKGACENCGAMTHDAKSCMERPCKKGAKWTNMHIAPDEKIETFELDYDGKRDRWNGYDASTYAHVIERYEARDEARRKYLKEQQLKKLEEKNSKNDGEGEGGGGEDSDEDDDDDELKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNEKFYEGDNQYRMSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLFRNYKVIKEKLKSKTKDTIMEKYGNAATEEEIPMELLLGQSERQVEYDRAGRVIKGMETSLPKSKYEEDVFINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEAATDLMKANIARKATSEDAPAPAEDKKLATWGTEVPEDLVLDEKLLTEALKKEDERRREEKDERKRKYNVRWNDKVTAEEMEAYRMKKVHHDDPMKDFLN >KJB71224 pep chromosome:Graimondii2_0_v6:11:13628585:13629683:-1 gene:B456_011G111600 transcript:KJB71224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSRPNTGNDNSRDGSTAPPPNPSTPKASSSPQPFSLIQPSELQSKKQKRKVLRAFRSVFRAFPIITPACKFPTSPGLQTDSHISISGIRVTGTLFGYRKGKVSLSIQESPKCLPSLVIEFSLQTNVLQKELSAGMVRIALECEKRCGKEQVKLFDEPLWTMFCNGKKTGHGVKREATAEDLHVMELLKAVSMGAGVLPGNSETEGVDGELAYIRAFFDRVVGSKDCETLYMLSPDGNTGPDLCIFLVRM >KJB69102 pep chromosome:Graimondii2_0_v6:11:426199:429916:1 gene:B456_011G005200 transcript:KJB69102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYDSRNVRKNAYLKVEFLDSGLKFVHSSVGAEVLCGIISVEREIASLQLEEKKLVAEIKKTAKTGNEAATRILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQAKVIKEFQKQSAQMDMTIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKNAAPLVNVKSEPTTDVDDLEKRLASLRRL >KJB69097 pep chromosome:Graimondii2_0_v6:11:425663:428752:1 gene:B456_011G005200 transcript:KJB69097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFKKKTSPKDALRTSKREMAVATRGVEREIASLQLEEKKLVAEIKKTAKTGNEAATRILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQAKVIKEFQKQSAQMDMTIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDIASQVGFLKLTL >KJB69094 pep chromosome:Graimondii2_0_v6:11:425643:429902:1 gene:B456_011G005200 transcript:KJB69094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFKKKTSPKDALRTSKREMAVATRGVEREIASLQLEEKKLVAEIKKTAKTGNEAATRILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQAKVIKEFQKQSAQMDMTIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKNAAPLVNVKSEPTTDVDDLEKRLASLRRL >KJB69101 pep chromosome:Graimondii2_0_v6:11:426199:429916:1 gene:B456_011G005200 transcript:KJB69101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYDSRNVRKNAYLKVEFLDSGLKFVHSSVGAEVLCGIISVEREIASLQLEEKKLVAEIKKTAKTGNEAATRILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKNAAPLVNVKSEPTTDVDDLEKRLASLRRL >KJB69100 pep chromosome:Graimondii2_0_v6:11:425663:429902:1 gene:B456_011G005200 transcript:KJB69100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFKKKTSPKDALRTSKREMAVATRGVEREIASLQLEEKKLVAEIKKTAKTGNEAATRILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKNAAPLVNVKSEPTTDVDDLEKRLASLRRL >KJB69096 pep chromosome:Graimondii2_0_v6:11:425591:429971:1 gene:B456_011G005200 transcript:KJB69096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFKKKTSPKDALRTSKREMAVATRGVEREIASLQLEEKKLVAEIKKTAKTGNEAATRILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQAKVIKEFQKQSAQMDMTIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKNAAPLVNVKSEPTTDVDDLEKRLASLRRL >KJB69099 pep chromosome:Graimondii2_0_v6:11:426046:429709:1 gene:B456_011G005200 transcript:KJB69099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCGRAREKWLLQHEALNGRLRLFNWRKKNWWQRLRRRPKLEMRQAATRILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQAKVIKEFQKQSAQMDMTIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKNAAPLVNVKSEPTTDVDDLEKRLASLRRL >KJB69095 pep chromosome:Graimondii2_0_v6:11:425641:429916:1 gene:B456_011G005200 transcript:KJB69095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFKKKTSPKDALRTSKREMAVATRGVEREIASLQLEEKKLVAEIKKTAKTGNEAATRILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKNAAPLVNVKSEPTTDVDDLEKRLASLRRL >KJB69098 pep chromosome:Graimondii2_0_v6:11:425663:429902:1 gene:B456_011G005200 transcript:KJB69098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIFKKKTSPKDALRTSKREMAVATRDSGLKFVHSSVGAEVLCGIISVEREIASLQLEEKKLVAEIKKTAKTGNEAATRILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQAKVIKEFQKQSAQMDMTIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKNAAPLVNVKSEPTTDVDDLEKRLASLRRL >KJB69612 pep chromosome:Graimondii2_0_v6:11:2516432:2517907:-1 gene:B456_011G033900 transcript:KJB69612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKAKGIAWVGNLYQRFEAMCIEVDDMVCQETLRYVENQLQTVGSNVKQFCTELMQDLVASSTDSLEDLNTVQIAGVAASVDSNGSANEDHSQTKLVDSSSVKSVEDVHLGLPSEQITEDQNSLAHSKGFTAPGSLIFSKAFKNELKDNDSTADDTLLESMEVKMGPARLLEAYHKSVLKMEPEVPSFDNTKLEESCIIVDRSELLSVSNNVGKHRSYKKKLRDAFSSKSRFTKRDDEQHALSRQRNKRESQDMEFCESDWEII >KJB69611 pep chromosome:Graimondii2_0_v6:11:2516281:2518574:-1 gene:B456_011G033900 transcript:KJB69611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKAKGIAWVGNLYQRFEAMCIEVDDMVCQETLRYVENQLQTVGSNVKQFCTELMQDLVASSTDSLEDLNTVQIAGVAASVDSNGSANEDHSQTKLVDSSSVKSVEDVHLGLPSEQITEDQNSLAHSKGFTAPGSLIFSKAFKNELKDNDSTADDTLLESMEPEVKMGPARLLEAYHKSVLKMEPEVPSFDNTKLEESCIIVDRSELLSVSNNVGKHRSYKKKLRDAFSSKSRFTKRDDEQHALSRQRNKRESQDMEFCESDWEII >KJB71740 pep chromosome:Graimondii2_0_v6:11:21613137:21617788:1 gene:B456_011G139400 transcript:KJB71740 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MVHLQCLPPSVEPRVSDHMPHIIDMIKQIVDNGYAYRVEGDVFFSVENFPEYGQLSGRKLEDNRAGERVAVDSRKRNPADFALWKSAKEGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAACKQSNVSYWIHNGFVTVDSEKMSKSLGNFFTIREVMDLYHPLALRLFLMGTHYRSPINYSDVLLESASERIFYIYQTLHDCENVLSQHDKGLQESIPPETLNVINKFHNDFSTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQAMRIESVAALEKIIRNVLSVLGLMPTSYSEALQQLREMALKRAKLTEDKILQKIEERSEARKNKDYEKSDAIRKDLAVVGIALMDSPDGTSWRPAIPLPLQEQQVAAT >KJB71744 pep chromosome:Graimondii2_0_v6:11:21613137:21617784:1 gene:B456_011G139400 transcript:KJB71744 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MGTLLKCNKPLFSIRFSSFPNSLRSVQLRTHSFNNPKRRNPIRRCFSSLSPSSQPLINGTTLDKMDGNQQPGSPSSELWLHNTMSKKKELFMPKVEGKVGMYVCGVTAYDLSHIGHARVYVTFDVLYRYLKHLGYEVCYVRNFTDVDDKIIARAKELAEDPISLSRRYCDEFIQDMVHLQCLPPSVEPRVSDHMPHIIDMIKQIVDNGYAYRVEGDVFFSVENFPEYGQLSGRKLEDNRAGERVAVDSRKRNPADFALWKSAKEGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAACKQSNVSYWIHNGFVTVDSEKMSKSLGNFFTIREVMDLYHPLALRLFLMGTHYRSPINYSDVLLESASERIFYIYQTLHDCENVLSQHDKGLQESIPPETLNVINKFHNDFSTSMSDDLHTPVVLAALSDPLKTINDLLHTRKVSGFKMINLVHFMFNFK >KJB71743 pep chromosome:Graimondii2_0_v6:11:21613137:21617784:1 gene:B456_011G139400 transcript:KJB71743 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MFFTGRYLKHLGYEVCYVRNFTDVDDKIIARAKELAEDPISLSRRYCDEFIQDMVHLQCLPPSVEPRVSDHMPHIIDMIKQIVDNGYAYRVEGDVFFSVENFPEYGQLSGRKLEDNRAGERVAVDSRKRNPADFALWKSAKEGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAACKQSNVSYWIHNGFVTVDSEKMSKSLGNFFTIREVMDLYHPLALRLFLMGTHYRSPINYSDVLLESASERIFYIYQTLHDCENVLSQHDKGLQESIPPETLNVINKFHNDFSTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQAMRIESVAALEKIIRNVLSVLGLMPTSYSEALQQLREMALKRAKLTEDKILQKIEERSEARKNKDYEKSDAIRKDLAVVGIALMDSPDGTSWRPAIPLPLQEQQVAAT >KJB71737 pep chromosome:Graimondii2_0_v6:11:21613087:21617810:1 gene:B456_011G139400 transcript:KJB71737 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MGTLLKCNKPLFSIRFSSFPNSLRSVQLRTHSFNNPKRRNPIRRCFSSLSPSSQPLINGTTLDKMDGNQQPGSPSSELWLHNTMSKKKELFMPKVEGKVGMYVCGVTAYDLSHIGHARVYVTFDVLYRYLKHLGYEVCYVRNFTDVDDKIIARAKELAEDPISLSRRYCDEFIQDMVHLQCLPPSVEPRVSDHMPHIIDMIKQIVDNGYAYRVEGDVFFSVENFPEYGQLSGRKLEDNRAGERVAVDSRKRNPADFALWKSAKEGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAACKQSNVSYWIHNGFVTVDSEKMSKSLGNFFTIREVMDLYHPLALRLFLMGTHYRSPINYSDVLLESASERIFYIYQTLHDCENVLSQHDKGLQESIPPETLNVINKFHNDFSTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQAMRIESVAALEKIIRNVLSVLGLMPTSYSEALQQLREMALKRAKLTEDKILQKIEERSEARKNKDYEKSDAIRKDLAVVGIALMDSPDGTSWRPAIPLPLQEQQVAAT >KJB71745 pep chromosome:Graimondii2_0_v6:11:21613137:21617784:1 gene:B456_011G139400 transcript:KJB71745 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MGTLLKCNKPLFSIRFSSFPNSLRSVQLRTHSFNNPKRRNPIRRCFSSLSPSSQPLINGTTLDKMDGNQQPGSPSSELWLHNTMSKKKELFMPKVEGKVGMYVCGVTAYDLSHIGHARVYVTFDVLYRYLKHLGYEVCYVRNFTDVDDKIIARAKELAEDPISLSRRYCDEFIQDMVHLQCLPPSVEPRVSDHMPHIIDMIKQIVDNGYAYRVEGDVFFSVENFPEYGQLSGRKLEDNRAGERVAVDSRKRNPADFALWKSAKEGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAACKQSNVSYWIHNGFVTVDSEKMSKSLGNFFTIREVMDLYHPLALRLFLMGTHYRSPINYSDVLLESASERIFYIYQTLHDCENVLSQHDKGLQESIPPETLNVINKFHNDFSTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQAMRIESVAALEKIIRNVLSVLGLMPTSYSEVKTAFNNV >KJB71742 pep chromosome:Graimondii2_0_v6:11:21613137:21617784:1 gene:B456_011G139400 transcript:KJB71742 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MVHLQCLPPSVEPRVSDHMPHIIDMIKQIVDNGYAYRVEGDVFFSVENFPEYGQLSGRKLEDNRAGERVAVDSRKRNPADFALWKSAKEGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAACKQSNVSYWIHNGFVTVDSEKMSKSLGNFFTIREVMDLYHPLALRLFLMGTHYRSPINYSDVLLESASERIFYIYQTLHDCENVLSQHDKGLQESIPPETLNVINKFHNDFSTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQAMRIESVAALEKIIRNVLSVLGLMPTSYSEALQQLREMALKRAKLTEDKILQKIEERSEARKNKDYEKSDAIRKDLAVVGIALMDSPDGTSWRPAIPLPLQEQQVAAT >KJB71738 pep chromosome:Graimondii2_0_v6:11:21613137:21616420:1 gene:B456_011G139400 transcript:KJB71738 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MGTLLKCNKPLFSIRFSSFPNSLRSVQLRTHSFNNPKRRNPIRRCFSSLSPSSQPLINGTTLDKMDGNQQPGSPSSELWLHNTMSKKKELFMPKVEGKVGMYVCGVTAYDLSHIGHARVYVTFDVLYRYLKHLGYEVCYVRNFTDVDDKIIARAKELAEDPISLSRRYCDEFIQDMVHLQCLPPSVEPRVSDHMPHIIDMIKQIVDNGYAYRVEGDVFFSVENFPEYGQLSGRKLEDNRAGERVAVDSRKRNPADFALWKSAKEGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAACKQSNVSYWIHNGFVTVDSEKMSKSLGNFFTIREVMDLYHPLALRLFLMGTHYRSPINYSDVLLESASERIFYIYQVAQSLLCSLCMHVCI >KJB71741 pep chromosome:Graimondii2_0_v6:11:21613137:21617784:1 gene:B456_011G139400 transcript:KJB71741 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MVHLQCLPPSVEPRVSDHMPHIIDMIKQIVDNGYAYRVEGDVFFSVENFPEYGQLSGRKLEDNRAGERVAVDSRKRNPADFALWKSAKEGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAACKQSNVSYWIHNGFVTVDSEKMSKSLGNFFTIREVMDLYHPLALRLFLMGTHYRSPINYSDVLLESASERIFYIYQTLHDCENVLSQHDKGLQESIPPETLNVINKFHNDFSTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQAMRIESVAALEKIIRNVLSVLGLMPTSYSEALQQLREMALKRAKLTEDKILQKIEERSEARKNKDYEKSDAIRKDLAVVGIALMDSPDGTSWRPAIPLPLQEQQVAAT >KJB71746 pep chromosome:Graimondii2_0_v6:11:21613137:21617784:1 gene:B456_011G139400 transcript:KJB71746 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MVHLQCLPPSVEPRVSDHMPHIIDMIKQIVDNGYAYRVEGDVFFSVENFPEYGQLSGRKLEDNRAGERVAVDSRKRNPADFALWKSAKEGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAACKQSNVSYWIHNGFVTVDSEKMSKSLGNFFTIREVMDLYHPLALRLFLMGTHYRSPINYSDVLLESASERIFYIYQTLHDCENVLSQHDKGLQESIPPETLNVINKFHNDFSTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQAMRIESVAALEKIIRNVLSVLGLMPTSYSEALQQLREMALKRAKLTEDKILQKIEERSEARKNKDYEKSDAIRKDLAVVGIALMDSPDGTSWRPAIPLPLQEQQVAAT >KJB71739 pep chromosome:Graimondii2_0_v6:11:21613137:21617784:1 gene:B456_011G139400 transcript:KJB71739 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MVHLQCLPPSVEPRVSDHMPHIIDMIKQIVDNGYAYRVEGDVFFSVENFPEYGQLSGRKLEDNRAGERVAVDSRKRNPADFALWKSAKEGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAACKQSNVSYWIHNGFVTVDSEKMSKSLGNFFTIREVMDLYHPLALRLFLMGTHYRSPINYSDVLLESASERIFYIYQTLHDCENVLSQHDKGLQESIPPETLNVINKFHNDFSTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQAMRIESVAALEKIIRNVLSVLGLMPTSYSEALQQLREMALKRAKLTEDKILQKIEERSEARKNKDYEKSDAIRKDLAVVGIALMDSPDGTSWRPAIPLPLQEQQVAAT >KJB70141 pep chromosome:Graimondii2_0_v6:11:4899192:4902174:-1 gene:B456_011G060200 transcript:KJB70141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLVVGAILLLLSLTRIVSAGRDVTGDILRLPSEANKVFHGGDDDKVEGTRWAVLIAGSNGYWNYRYQADICHAYQLLRNGGLKEENIIAFMYDDIAYNEENPRPGIIINNPHGNDVYKGVPKDYTGENVTVHNFFAAILGNKSALTGGSGKVVNSGPNDHIFIYYSDHGGPGVLACESGSIFEGLLPEGLNIYATTAANAVESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDIHNLRKETLHQQYELVKRRTINDNSAYGSHVMQFAGIGISMDNLFTCLGTNPANDNFKFVNGNSLLPPTKAVNQRNADLAHFWDKYCKAPDVLVRKVEAQKQVLEAMSHRMHVDNGIQLIGKLLFGVERGPEVLNTVRPAGQPLVDDWKCLKKMSLFLIFLFLIMQLTKMLCSSTHVRTFETHCGSLAQYGMKHMRSLANICNAGIETEKMGEASAQACFNIPSGHWGSLGKGFSA >KJB69519 pep chromosome:Graimondii2_0_v6:11:2030786:2033943:-1 gene:B456_011G027800 transcript:KJB69519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPKFLRLFYPKNHSKTQFLHLSPFLFFTFFILLQASLIHAEIPLEYIKHCNDVVPVSPAEPTTLFPSPTTVSNNLDFKIGYFSGGDSIFFQSNTTVDVPKAATFSAQFSHDIFGSNKTRIYKVQGKLVLQIPKSFSLPSPNGGIVNPGRGLRRQFRIRGPKIPVIGRGAPSFSLGGFWSESTWRLCMIGSGISNGNAGKFRTFSVALKLNYSNNFNVSGTLISGVLQSLDSEHSSSYFEPVPILGIRNSENYEFSLVDNGKDGSCLSEGENLDVNKANGGFCSVIVQHKIRFELDYGNCDQVNCSFVIKDVKFVPSFMFFKHIKCVDKGKMQVLLGFRNSSWTHNYFPFDPNTTLIGEGAWDEKKNSFCGVACRILKFGNSLNGTSIGDCSIKFSLRYPKVLSLRNRDSIVGKIWSDKNKEDPSYFDMIRFRSVWEVSPGLKNVPGLRYEYTEVDSARRVYASKHVAEHKGKTYPNADSIDMRFDMSVIDSKGEPAWGIANPMFVGAQPYKYQSYSLLPLSFESAIPSNNDSRLLNISYQISYTYYLSNRPVLAQGFEISAEGVYDRHTGVLCMVGCKHVRYKNHSSIKTDSLDCDILVTIHFSPINVAEKYRVKGTIESTRIKSDPLYFGPINFSTRSFYAGQAKESIWRMDLEITMVLISNTLACLFVGMQLFHVKKHPEVLPFISVLMLVVLTLGHMIPLLLNFEALFVKNSNQQNAFLESGGWLEVNEIIVRAVTMVAFLLQFRLLQLTWSVRQGDDSRKGFWNAEKKALYISLPLYLTGGLIAWFVHRWKNSHQTPFLQPHHKRLRMILFNIFSKSNETALAASFYIGTTLVRLLPHAYDLYRAHSSSGYLDLSYIYANHKMDFYSTTWDIIIPCGGLLFAIFVFLQQRYGGQYLLPKRFRKDAVYEKVSVDNSEELQGETVQKNFYSL >KJB71181 pep chromosome:Graimondii2_0_v6:11:17993732:17999006:1 gene:B456_011G126300 transcript:KJB71181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKISEDLFNNLVETIADSVSKQKSVSFFEEEKSNSVVSGFNRLFGRQRPVHHILGGGKSADVLLWRNKKISASFLASATLIWFLFEWLNYHFLTLLSFALVLGMVAQFVWSNASGLLNRSSSQVPRLVLPDELFVSIGRSVGAEVNRGLQYLQDVSCQGNLKQFLVVAVSLWVAAVIGSWCNFLTVLYIGFVAAHTLPVLYERYDEQIDGFVYKMLDQFQNHYKKLDSGFLSKIPKGKFKLKKHD >KJB71173 pep chromosome:Graimondii2_0_v6:11:17993456:17999006:1 gene:B456_011G126300 transcript:KJB71173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLHKRGNNLYLIPPFISCLSVSPTILRRIINISLSLSLCTRIMPEKISEDLFNNLVETIADSVSKQKSVSFFEEEKSNSVVSGFNRLFGRQRPVHHILGGGKSADVLLWRNKKISASFLASATLIWFLFEWLNYHFLTLLSFALVLGMVAQFVWSNASGLLNRSSSQVPRLVLPDELFVSIGRSVGAEVNRGLQYLQDVSCQGNLKQFLVVAVSLWVAAVIGSWCNFLTVLYIGFVAAHTLPVLYERYDEQIDGFVYKMLDQFQNHYKKLDSGFLSKIPKGKFKLKKHD >KJB71175 pep chromosome:Graimondii2_0_v6:11:17993766:17999006:1 gene:B456_011G126300 transcript:KJB71175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKISEDLFNNLVETIADSVSKQKSVSFFEEEKSNSVVSGFNRLFGRQRPVHHILGGGKSADVLLWRNKKISASFLASATLIWFLFEWLNYHFLTLLSFALVLGMVAQFVWSNASGLLNRSSSQVPRLVLPDELFVSIGRSVGAEVNRGLQYLQDVSCQGNLKQFLVVAVSLWVAAVIGSWCNFLTVLYIGFVAAHTLPVLYERYDEQIDGFVYKMLDQFQNHYKKLDSGFLSKIPKGKFKLKKHD >KJB71183 pep chromosome:Graimondii2_0_v6:11:17993730:17999006:1 gene:B456_011G126300 transcript:KJB71183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKISEDLFNNLVETIADSVSKQKSVSFFEEEKSNSVVSGFNRLFGRQRPVHHILGGGKSADVLLWRNKKISASFLASATLIWFLFEWLNYHFLTLLSFALVLGMVAQFVWSNASGLLNRSSSQVPRLVLPDELFVSIGRSVGAEVNRGLQYLQDVSCQGNLKQFLVVAVSLWVAAVIGSWCNFLTVLYIGFVAAHTLPVLYERYDEQIDGFVYKMLDQFQNHYKKLDSGFLSKIPKGKFKLKKHD >KJB71174 pep chromosome:Graimondii2_0_v6:11:17993689:17999019:1 gene:B456_011G126300 transcript:KJB71174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKISEDLFNNLVETIADSVSKQKSVSFFEEEKSNSVVSGFNRLFGRQRPVHHILGGGKSADVLLWRNKKISASFLASATLIWFLFEWLNYHFLTLLSFALVLGMVAQFVWSNASGLLNRSSSQVPRLVLPDELFVSIGRSVGAEVNRGLQYLQDVSCQGNLKQFLVVAVSLWVAAVIGSWCNFLTVLYIGFVAAHTLPVLYERYDEQIDGFVYKMLDQFQNHYKKLDSGFLSKIPKGKFKLKKHD >KJB71179 pep chromosome:Graimondii2_0_v6:11:17993596:17999073:1 gene:B456_011G126300 transcript:KJB71179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKISEDLFNNLVETIADSVSKQKSVSFFEEEKSNSVVSGFNRLFGRQRPVHHILGGGKSADVLLWRNKKISASFLASATLIWFLFEWLNYHFLTLLSFALVLGMVAQFVWSNASGLLNRSSSQVPRLVLPDELFVSIGRSVGAEVNRGLQYLQDVSCQGNLKQFLVVAVSLWVAAVIGSWCNFLTVLYIGFVAAHTLPVLYERYDEQIDGFVYKMLDQFQNHYKKLDSGFLSKIPKGKFKLKKHD >KJB71180 pep chromosome:Graimondii2_0_v6:11:17994028:17999006:1 gene:B456_011G126300 transcript:KJB71180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKISEDLFNNLVETIADSVSKQKSVSFFEEEKSNSVVSGFNRLFGRQRPVHHILGGGKSADVLLWRNKKISASFLASATLIWFLFEWLNYHFLTLLSFALVLGMVAQFVWSNASGLLNRSSSQVPRLVLPDELFVSIGRSVGAEVNRGLQYLQDVSCQGNLKQFLVVAVSLWVAAVIGSWCNFLTVLYIGFVAAHTLPVLYERYDEQIDGFVYKMLDQFQNHYKKLDSGFLSKIPKGKFKLKKHD >KJB71184 pep chromosome:Graimondii2_0_v6:11:17993762:17999006:1 gene:B456_011G126300 transcript:KJB71184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKISEDLFNNLVETIADSVSKQKSVSFFEEEKSNSVVSGFNRLFGRQRPVHHILGGGKSADVLLWRNKKISASFLASATLIWFLFEWLNYHFLTLLSFALVLGMVAQFVWSNASGLLNRSSSQVPRLVLPDELFVSIGRSVGAEVNRGLQYLQDVSCQGNLKQFLVVAVSLWVAAVIGSWCNFLTVLYIGFVAAHTLPVLYERYDEQIDGFVYKMLDQFQNHYKKLDSGFLSKIPKGKFKLKKHD >KJB71178 pep chromosome:Graimondii2_0_v6:11:17994627:17995410:1 gene:B456_011G126300 transcript:KJB71178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKISEDLFNNLVETIADSVSKQKSVSFFEEEKSNSVVSGFNRLFGRQRPVHHILGGGKSADVLLWRNKKISASFLASATLIWFLFEWLNYHFLTLLSFALVLGMVAQFVWSNASGLLNRSSSQVPRLVLPDELFVSIGRSVGAEVNRGLQYLQDVSCQGNLKQFLVVGSQYFPSTLPLTTYACKLNVPC >KJB71177 pep chromosome:Graimondii2_0_v6:11:17993730:17999006:1 gene:B456_011G126300 transcript:KJB71177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKISEDLFNNLVETIADSVSKQKSVSFFEEEKSNSVVSGFNRLFGRQRPVHHILGGGKSADVLLWRNKKISASFLASATLIWFLFEWLNYHFLTLLSFALVLGMVAQFVWSNASGLLNRSSSQVPRLVLPDELFVSIGRSVGAEVNRGLQYLQDVSCQGNLKQFLVVLLRPIHYQFCMRGMMSK >KJB71182 pep chromosome:Graimondii2_0_v6:11:17993956:17999006:1 gene:B456_011G126300 transcript:KJB71182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKISEDLFNNLVETIADSVSKQKSVSFFEEEKSNSVVSGFNRLFGRQRPVHHILGGGKSADVLLWRNKKISASFLASATLIWFLFEWLNYHFLTLLSFALVLGMVAQFVWSNASGLLNRSSSQVPRLVLPDELFVSIGRSVGAEVNRGLQYLQDVSCQGNLKQFLVVAVSLWVAAVIGSWCNFLTVLYIGFVAAHTLPVLYERYDEQIDGFVYKMLDQFQNHYKKLDSGFLSKIPKGKFKLKKHD >KJB71176 pep chromosome:Graimondii2_0_v6:11:17993730:17997374:1 gene:B456_011G126300 transcript:KJB71176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKISEDLFNNLVETIADSVSKQKSVSFFEEEKSNSVVSGFNRLFGRQRPVHHILGGGKSADVLLWRNKKISASFLASATLIWFLFEWLNYHFLTLLSFALVLGMVAQFVWSNASGLLNRSSSQVPRLVLPDELFVSIGRSVGAEVNRGLQYLQDVSCQGNLKQFLVVAVSLWVAAVIGSWCNFLTVLYIGKKFY >KJB72541 pep chromosome:Graimondii2_0_v6:11:43671565:43675068:1 gene:B456_011G183900 transcript:KJB72541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich SC35-like splicing factor SCL28 [Source:Projected from Arabidopsis thaliana (AT5G18810) UniProtKB/Swiss-Prot;Acc:Q1PDV2] MGRYRSRSKSYSPRRRSRSPTRGRKRYDDEPRDRQRSHRDHRRRSPLPSGLLIRNLPLDARPEDLRVPFERYGPVKDVYLPKNYYTGEPRGFGFVKFRYGEDAAEAKQRMNHQVIGGREIRIVFAEENRKTPQEMRMTARVSGRDRGSRRTPPRSPRRRYRSYSRSPSPAGHDSRDRDQRGKDYHHSPRRSGSISQDRAMRDDYRSPSRSRSMSRDRGAKDDYRSPRRSRSVSRSLSPRSPHGDRDREYRTKQGSPSPRENGQSLQDERDHVSSRSKSPRRVGRSPSRSRSRSLSPR >KJB72543 pep chromosome:Graimondii2_0_v6:11:43671611:43674997:1 gene:B456_011G183900 transcript:KJB72543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich SC35-like splicing factor SCL28 [Source:Projected from Arabidopsis thaliana (AT5G18810) UniProtKB/Swiss-Prot;Acc:Q1PDV2] MGRYRSRSKSYSPRRRSRSPTRGRKRYDDEPRDRQRSHRDHRRRSPLPSGLLIRNLPLDARPEDLRVPFERYGPVKDVYLPKNYYTGEPRGFGFVKFRYGEDAAEAKQRMNHQVIGGREIRIVFAEENRKTPQEMRMTARVSGRDRGSRRTPPRSPRRRYRSYSRSPSPAGHDSRDQRGKDYHHSPRRSGSISQDRAMRDDYRSPSRSRSMSRDRGAKDDYRSPRRSRSVSRSLSPRSPHGDRDREYRTKQGSPSPRENGQSLQDERDHVSSRSKSPRRVGRSPSRSRSRSLSPR >KJB72542 pep chromosome:Graimondii2_0_v6:11:43671611:43674997:1 gene:B456_011G183900 transcript:KJB72542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich SC35-like splicing factor SCL28 [Source:Projected from Arabidopsis thaliana (AT5G18810) UniProtKB/Swiss-Prot;Acc:Q1PDV2] MGRYRSRSKSYSPRRRSRSPTRGRKRYDDEPRDRQRSHRDHRRRSPLPSGLLIRNLPLDARPEDLRVPFERYGPVKDVYLPKNYYTGEPRGFGFVKFRYGEDAAEAKQRMNHQVIGGREIRIVFAEENRKTPQEMRMTARVSGRDRGSRRTPPRSPRRRYRSYSRSPSPAGHDSRDRDQRGKDYHHSPRRSGSISQDRAMRDDYRSPSRSRSMSRDRGAKDDYRSPRRSRSVSRSLSPRSPHGDRDREYRTKQGSPSPRENGQSLQDERDHVSSRSKSPRRVGRSPSRSRSRSLR >KJB73850 pep chromosome:Graimondii2_0_v6:11:58537543:58539836:-1 gene:B456_011G256100 transcript:KJB73850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANGTDNSTSKKPPSPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGTKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRNEPLTVQLPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAESVKELINPEVKISLVENTPDDPRQRKPDITKAKEVLGWEPKVKLRDGLPLMEEDFRQRLGVPKKN >KJB73851 pep chromosome:Graimondii2_0_v6:11:58537543:58539960:-1 gene:B456_011G256100 transcript:KJB73851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANGTDNSTSKKPPSPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGTKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRNEPLTVQLPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAESVKELINPEVKISLVENTPDDPRQRKPDITKAKEVLGWEPKVKLRDGLPLMEEDFRQRLGVPKKN >KJB73846 pep chromosome:Graimondii2_0_v6:11:58537524:58539836:-1 gene:B456_011G256100 transcript:KJB73846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANGTDNSTSKKPPSPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGTKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRNEPLTVQLPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAESVKELINPEVKISLVENTPDDPRQRKPDITKAKEVLGWEPKVKLRDGLPLMEEDFRQRLGVPKKN >KJB73848 pep chromosome:Graimondii2_0_v6:11:58538407:58539736:-1 gene:B456_011G256100 transcript:KJB73848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANGTDNSTSKKPPSPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGTKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALR >KJB73847 pep chromosome:Graimondii2_0_v6:11:58537543:58539836:-1 gene:B456_011G256100 transcript:KJB73847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGTKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRNEPLTVQLPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAESVKELINPEVKISLVENTPDDPRQRKPDITKAKEVLGWEPKVKLRDGLPLMEEDFRQRLGVPKKN >KJB73849 pep chromosome:Graimondii2_0_v6:11:58538179:58539736:-1 gene:B456_011G256100 transcript:KJB73849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANGTDNSTSKKPPSPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGTKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRNEPLTVQLPGTQTRSFCYVSDMVRCSNSCSKSNYFTYECHLILIFFVLINQG >KJB73852 pep chromosome:Graimondii2_0_v6:11:58537543:58540263:-1 gene:B456_011G256100 transcript:KJB73852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANGTDNSTSKKPPSPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGTKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRNEPLTVQLPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAESVKELINPEVKISLVENTPDDPRQRKPDITKAKEVLGWEPKVKLRDGLPLMEEDFRQRLGVPKKN >KJB73901 pep chromosome:Graimondii2_0_v6:11:59097007:59098506:-1 gene:B456_011G260000 transcript:KJB73901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITEINHFNHHHKLKLSYSGTPYQCDGCKELGFGSCYQCNNEKCDFHLHENCGVAKPIATHSFFKNINFKYEKKGKQGKTCKACGKDVQGFMYKSKETYLHPSCLELPSTLNGDFNGRSLRLNLKVKASTKCLICQNKEISKGKLKGWAYISSCGKHCYHVGCVNNLNFENWKMGYFNQSQSGGVTNGLVFINEENRGSSSGRKENERPLMRYALNLIVQAVLGAVVSSWIS >KJB73576 pep chromosome:Graimondii2_0_v6:11:56341037:56343835:-1 gene:B456_011G239300 transcript:KJB73576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLTLGTSLTLSPSSSTPTPSSKSPLSLLIKQPPPFYPPSPRVSNESSIPRFGACRATQVVDLFPTVSPEIVVREARLEDCWEVAETHCSSFFPEYSFPLDFVLRVDRLVGMLSGFSIPPGCRRTCLVAVIGGSVGDTFLFGTEDFKIGGFDGKFSLNKGYVTGILTIDTVADFLPRKGPLRQRRTGIAYISNVAVRERFRRKGIAKRLIAKAEAQAKSWGCRAVALHCDLNNPGATKLYKDQGFRCIKVPEGANWPQPKTAPNVKFNFMMKLLNTPTTTA >KJB73574 pep chromosome:Graimondii2_0_v6:11:56334070:56343835:-1 gene:B456_011G239300 transcript:KJB73574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLTLGTSLTLSPSSSTPTPSSKSPLSLLIKQPPPFYPPSPRVSNESSIPRFGACRATQVVDLFPTVSPEIVVREARLEDCWEVAETHCSSFFPEYSFPLDFVLRVDRLVGMLSRFSIPPGCRRTCLVAVIGGSVGDTFLFGTEDFKIGGFDGKFSLNKGYVTGILTIDTVADFLPRKGPLRQRRTGIAYISNVAVRERFRCKGIAKRLIAKAEAQAKSWGFRAVALHCDLNNPGAKKLYKDQGFRCIKVSEGANWPQPKTAPDVKFNFMMKLLNTPTTTA >KJB73575 pep chromosome:Graimondii2_0_v6:11:56341034:56343835:-1 gene:B456_011G239300 transcript:KJB73575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLTLGTSLTLSPSSSTPTPSSKSPLSLLIKQPPPFYPPSPRVSNESSIPRFGACRATQVVDLFPTVSPEIVVREARLEDCWEVAETHCSSFFPEYSFPLDFVLRVDRLVGMLSGFSIPPGCRRTCLVAVIGGSVGDTFLFGTEDFKIGGFDGKFSLNKGTGIAYISNVAVRERFRRKGIAKRLIAKAEAQAKSWGCRAVALHCDLNNPGATKLYKDQGFRCIKVPEGANWPQPKTAPNVKFNFMMKLLNTPTTTA >KJB73577 pep chromosome:Graimondii2_0_v6:11:56341240:56342861:-1 gene:B456_011G239300 transcript:KJB73577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGFSIPPGCRRTCLVAVIGGSVGDTFLFGTEDFKIGGFDGKFSLNKGYVTGILTIDTVADFLPRKGPLRQRRTGIAYISNVAVRERFRRKGIAKRLIAKAEAQAKSWGCRAVALHCDLNNPGATKLYKDQGFRCIKVPEGANWPQPKTAPNVKFNFMMKLLNTPTTTA >KJB73491 pep chromosome:Graimondii2_0_v6:11:55660116:55661580:1 gene:B456_011G235600 transcript:KJB73491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNFEAKVFAKEIIKPSSPEIHGMKPFKLNIFDQLTPTTYASFMAFYPLIEANFTTTNILPHLKTSLSETLNILYPISGRIKDNIFIDHFHEGVPFLSAQAGCRLSEFLKHHEVKLLNKLLPCQPFSKEFNNEAPLLVCQITMFTCGGIALGVVFSHKIFDAAIIFHLLDVWSKITRGSHHHNVGFHGLANASMLFPPRNEVSQHYLSKVENLWFTEPYNSITMRFTFDAKSIAELRAIAKGELEAMPSRIQAVLGFIWKCSMAASRMISGSFKPFVLAQAVSLRPRMNSNILQNSIGNLFCWTHCVTNLTDQIDTELFELVKLMRKSILTIDDEYLNALQGEKGFKIIGEYINKLETMFSIEKPDFFSSTSWVNIKYYELDFGWGNPQWVAPFGEAGSEFNNNVVFIETKCGKGIEAWITLDEKRMLVLEKDAEFLKFATPNPEISSL >KJB69093 pep chromosome:Graimondii2_0_v6:11:417563:420100:-1 gene:B456_011G005100 transcript:KJB69093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G05850) UniProtKB/Swiss-Prot;Acc:Q9MA41] MADFKKATALILTVALLVNLALMVDADGDDDKKIRVRKHKGEKECIQGWECSYWSKYCCNQTISDVFQVYQFEDLFAKRNSPVAHAVGFWDYHSFILAASIYEPLGFGTTGGKHMQMKEVAAFLAHVGAKTSCGDGVIDGGPLAWGLCFKREMSPSQDYCDDYYKYMYPCAPGAQYYGRGALPIYWNYNYGAAGDGIKVDLLHHPEYLEQNATIAFQAAIWRWMTPIKKNQPSAHDIFVGNWKPTKNDTEEKRGPTFGSTMNVLYGDYTCGQGDIDPMNIIISHYLHYLDLLGVGREEAGPHEELSCAEQKAFNPTPAPPAASAS >KJB72480 pep chromosome:Graimondii2_0_v6:11:42401720:42402344:1 gene:B456_011G180500 transcript:KJB72480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIDAGLKIKIPICIILNNSFLYTNCSFASYFLHPYAAASWVEEKHQRISLRELVLACQIDKMSRKDSQVIKNLTT >KJB72141 pep chromosome:Graimondii2_0_v6:11:29770266:29772848:1 gene:B456_011G161100 transcript:KJB72141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRCNHETMWMMKSSSQIFIICSIDEEIENLYSARDIVMKRMIKDEYFNMDDQKWDEMIKEAVQHGYLKDTKECEEILEDMLSWDKLLPGDRQLLQARFNKSSPCKVECCAQESKGSQVWCQEEE >KJB72140 pep chromosome:Graimondii2_0_v6:11:29769988:29772893:1 gene:B456_011G161100 transcript:KJB72140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRCNHETMWMMKSSSQIFIICSIDEEIENLYSARDIVMKRMIKDEYFNMDDQKWDEMIKEAVQHGYLKDTKECEEILEDMLSWDKLLPGDRQLLQARFNKSSPCKVECCAQESKGSQVWCQEEE >KJB72139 pep chromosome:Graimondii2_0_v6:11:29769969:29772893:1 gene:B456_011G161100 transcript:KJB72139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRCNHETMWMMKSSSQIFIICSIDEEIENLYSARDIVMKRMIKDEYFNMDDQKWDEMIKEAVQHGYLKDTKECEEILEDMLSWDKLLPGDRQLLQARFNKSSPCKVECCAQESKGSQVWCQEEE >KJB70942 pep chromosome:Graimondii2_0_v6:11:10646595:10663874:1 gene:B456_011G096900 transcript:KJB70942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPEITVPFQAIRNVENLPMPIAHSGSDVNLYVNDIFDWLLSLYGFQKGNIANQREHLILLLANIHVRSRNLETYYQLDSFTIQHLTDEIFKNYESWCYYMHREPNIKRYPQGYAVQQLQLIYIGLYLLIWGEASNIRFMPECICYIFHSMASDVFETLSCKVHPVSDANQTAVPDHEYFLRTVITPIYKVLLKEAKKNKEGRASHSTWSNYDDLNEFFWSENCFMLRWRREGEKYYFQHLDEIPLPNEIVNPCIIEKRKHKTNFVEGRTFLHLYRSFHRMWIFFVVAFQAMVIVAWRRSGSVYTVFDEGFLRRLLSIFITSAVLNLFEAVLDVALTFNAWRSFEFNQILRYLLKLIMGAIWVVILPIGYSISVKNPTGVIKFLKHWTGNMQNQSLYNYLIVLYLIPDLLATVLFVLPLLRKKLELSNWRIVTLVMWWNQPKLYVGRGMHEDFFSLLKYTMFWIMLLVIKLTFSYFVEIFPLVRPTKEILDMHVENYLLYKIVPNVAHNVGALIAIWAPIILVYFMDAQIWYAIFSTLFGGVLGAFRHVGEDNSIEQKNMAIFSQMWNEFICSMREEDLISNKDQELLLVPCSSSDDSVIRWPLFLLASKIPAALNIAKDSKRKEDAKLIKLINSDFYMHSAVVECYKTIKCLIDGLLEDEADKKIVLKIYDEVSNSLQQGKFLKEFKMSGMPLLSVKLEKWLKILMADHWDDEIYKAQITKALQGIMDTVTHDVMINGQKALQGASVYQNSKDGQSFEKINTQDIRHKSWKDKVSRLHFLLTFKESAADVPRNSEARRRITFFANSLFMNMPTAPKVSDMRSFSVLTPYYSEHILFTDDELNKENEDGISTLFYLQKIYPDEWTNFRERMHYQENKEDICNWATYRGQTLFRSVRGMMYYRKALELQCALELPNHNASREEDSARAQALPDLKFTYVVSCQIYGTLKNSDDSHDQSRQRSILDLMLMHPSLRVAYIDEREETVNGESEKSFYSVLVKGGDKFDGYEREIYRIKLPGPPTKIGGGKPENQNHALIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFEHSCHWQRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTISQRFLASPLRVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFAGFNSTLRGGYITHHDYIQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDVYRLGCRFDFFRMLSFYFTTVGFYFNSMVTVLTVYLFLYGRLYLVMSGMEKEILEKSIINQNKSLEAALIPQSLFQVGLLLVLPMLMEISLEKGFRTALADFIIMQLQLASVFFTFQLGTKAHYFGRTILHGGSKYRATGRGFVVFHAKFADNYRLYSRSHFVKGFELGILLVVYEVYGVSYRRSSLYLFITCSIWFLVGSWLFAPFVFNPSGFDWQKTVDDWADWKRWMGFRGGIGIQPEKSWESWWEREHEHLKYTNIRGRVLEIILALRFFVYQYGIVYHLDIAHHSRSWRVYGLSWGVIAAAFLLSKIVSVGRQLLGIELELVFRMLKAFLFLACLGITILLSKTYGLTISDLLAAVLAFLPTGWGILLGLMRNFMKEMSRAYDYIMALLLFMPIAILSWLPSVSEFQTRILFNQAFSRGLQISIILAGRKDKSSPK >KJB70940 pep chromosome:Graimondii2_0_v6:11:10644643:10664528:1 gene:B456_011G096900 transcript:KJB70940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFYQIAMVLRDVLKTVLPSSQVDYKTVKYVKELESRKEQYEHYNILPLYAVGIKPPIMKLPEITVPFQAIRNVENLPMPIAHSGSDVNLYVNDIFDWLLSLYGFQKGNIANQREHLILLLANIHVRSRNLETYYQLDSFTIQHLTDEIFKNYESWCYYMHREPNIKRYPQGYAVQQLQLIYIGLYLLIWGEASNIRFMPECICYIFHSMASDVFETLSCKVHPVSDANQTAVPDHEYFLRTVITPIYKVLLKEAKKNKEGRASHSTWSNYDDLNEFFWSENCFMLRWRREGEKYYFQHLDEIPLPNEIVNPCIIEKRKHKTNFVEGRTFLHLYRSFHRMWIFFVVAFQAMVIVAWRRSGSVYTVFDEGFLRRLLSIFITSAVLNLFEAVLDVALTFNAWRSFEFNQILRYLLKLIMGAIWVVILPIGYSISVKNPTGVIKFLKHWTGNMQNQSLYNYLIVLYLIPDLLATVLFVLPLLRKKLELSNWRIVTLVMWWNQPKLYVGRGMHEDFFSLLKYTMFWIMLLVIKLTFSYFVEIFPLVRPTKEILDMHVENYLLYKIVPNVAHNVGALIAIWAPIILVYFMDAQIWYAIFSTLFGGVLGAFRHVGEIRTLGMLRSRFRSVPRAFIERFLPLAHHDSKTKLSDNSIEQKNMAIFSQMWNEFICSMREEDLISNKDQELLLVPCSSSDDSVIRWPLFLLASKIPAALNIAKDSKRKEDAKLIKLINSDFYMHSAVVECYKTIKCLIDGLLEDEADKKIVLKIYDEVSNSLQQGKFLKEFKMSGMPLLSVKLEKWLKILMADHWDDEIYKAQITKALQGIMDTVTHDVMINGQKALQGASVYQNSKDGQSFEKINTQDIRHKSWKDKVSRLHFLLTFKESAADVPRNSEARRRITFFANSLFMNMPTAPKVSDMRSFSVLTPYYSEHILFTDDELNKENEDGISTLFYLQKIYPDEWTNFRERMHYQENKEDICNWATYRGQTLFRSVRGMMYYRKALELQCALELPNHNASREEDSARAQALPDLKFTYVVSCQIYGTLKNSDDSHDQSRQRSILDLMLMHPSLRVAYIDEREETVNGESEKSFYSVLVKGGDKFDGYEREIYRIKLPGPPTKIGGGKPENQNHALIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFEHSCHWQRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTISQRFLASPLRVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFAGFNSTLRGGYITHHDYIQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDVYRLGCRFDFFRMLSFYFTTVGFYFNSMVTVLTVYLFLYGRLYLVMSGMEKEILEKSIINQNKSLEAALIPQSLFQLQLASVFFTFQLGTKAHYFGRTILHGGSKYRATGRGFVVFHAKFADNYRLYSRSHFVKGFELGILLVVYEVYGVSYRRSSLYLFITCSIWFLVGSWLFAPFVFNPSGFDWQKTVDDWADWKRWMGFRGGIGIQPEKSWESWWEREHEHLKYTNIRGRVLEIILALRFFVYQYGIVYHLDIAHHSRSWRVYGLSWGVIAAAFLLSKIVSVGRQLLGIELELVFRMLKAFLFLACLGITILLSKTYGLTISDLLAAVLAFLPTGWGILLIGQACRPWLKGLMRNFMKEMSRAYDYIMALLLFMPIAILSWLPSVSEFQTRILFNQAFSRGLQISIILAGRKDKSSPK >KJB70941 pep chromosome:Graimondii2_0_v6:11:10644643:10664528:1 gene:B456_011G096900 transcript:KJB70941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFYQIAMVLRDVLKTVLPSSQVDYKTVKYVKELESRKEQYEHYNILPLYAVGIKPPIMKLPEITVPFQAIRNVENLPMPIAHSGSDVNLYVNDIFDWLLSLYGFQKGNIANQREHLILLLANIHVRSRNLETYYQLDSFTIQHLTDEIFKNYESWCYYMHREPNIKRYPQGYAVQQLQLIYIGLYLLIWGEASNIRFMPECICYIFHSMASDVFETLSCKVHPVSDANQTAVPDHEYFLRTVITPIYKVLLKEAKKNKEGRASHSTWSNYDDLNEFFWSENCFMLRWRREGEKYYFQHLDEIPLPNEIVNPCIIEKRKHKTNFVEGRTFLHLYRSFHRMWIFFVVAFQAMVIVAWRRSGSVYTVFDEGFLRRLLSIFITSAVLNLFEAVLDVALTFNAWRSFEFNQILRYLLKLIMGAIWVVILPIGYSISVKNPTGVIKFLKHWTGNMQNQSLYNYLIVLYLIPDLLATVLFVLPLLRKKLELSNWRIVTLVMWWNQPKLYVGRGMHEDFFSLLKYTMFWIMLLVIKLTFSYFVEIFPLVRPTKEILDMHVENYLLYKIVPNVAHNVGALIAIWAPIILVYFMDAQIWYAIFSTLFGGVLGAFRHVGEIRTLGMLRSRFRSVPRAFIERFLPLAHHDSKTKLSDNSIEQKNMAIFSQMWNEFICSMREEDLISNKDQELLLVPCSSSDDSVIRWPLFLLASKIPAALNIAKDSKRKEDAKLIKLINSDFYMHSAVVECYKTIKCLIDGLLEDEADKKIVLKIYDEVSNSLQQGKFLKEFKMSGMPLLSVKLEKWLKILMADHWDDEIYKAQITKALQGIMDTVTHDVMINGQKALQGASVYQNSKDGQSFEKINTQDIRHKSWKDKVSRLHFLLTFKESAADVPRNSEARRRITFFANSLFMNMPTAPKVSDMRSFSVLTPYYSEHILFTDDELNKENEDGISTLFYLQKIYPDEWTNFRERMHYQENKEDICNWATYRGQTLFRSVRGMMYYRKALELQCALELPNHNASREEDSARAQALPDLKFTYVVSCQIYGTLKNSDDSHDQSRQRSILDLMLMHPSLRVAYIDEREETVNGESEKSFYSVLVKGGDKFDGYEREIYRIKLPGPPTKIGGGKPENQNHALIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFEHSCHWQRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTISQRFLASPLRVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFAGFNSTLRGGYITHHDYIQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDVYRLGCRFDFFRMLSFYFTTVGFYFNSMVTVLTVYLFLYGRLYLVMSGMEKEILEKSIINQNKSLEAALIPQSLFQVGLLLVLPMLMEISLEKGFRTALADFIIMQLQLASVFFTFQLGTKAHYFGRTILHGGSKYRATGRGFVVFHAKFADNYRLYSRSHFVKGFELGILLVVYEVYGVSYRRSSLYLFITCSIWFLVGSWLFAPFVFNPSGFDWQKTVDDWADWKRWMGFRGGIGIQPEKSWESWWEREHEHLKYTNIRGRVLEIILALRFFVYQYGIVYHLDIAHHSRSWRVYGLSWGVIAAAFLLSKIVSVGRQLLGIELELVFRMLKAFLFLACLGITILLSKTYGLTISDLLAAVLAFLPTGWGILLIGQACRPWLKGLMRNFMKEMSRAYDYIMALLLFMPIAILSWLPSVSEFQTRILFNQAFSRGLQISIILAGRKDKSSPK >KJB72240 pep chromosome:Graimondii2_0_v6:11:33574575:33577024:1 gene:B456_011G167300 transcript:KJB72240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein LFR [Source:Projected from Arabidopsis thaliana (AT3G22990) UniProtKB/Swiss-Prot;Acc:Q9LS90] MQKREQGKSGGSGGGNAAPPPKRGRPFGSTAASVASASAADSVAPSTLLGPSLQIHNSFSEQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKDDMRKDACLAKIPGLLDALLQIIDDWRDIALPKELRKGQRTRTLGANSVVTGFGNEYEALGSIALLPQSGLGPGSAAEISGQKNTSKRRRSEWWLDEDGLFNLDDEGRAEKQQCAVAASNIIRNFSFMPENEIAMAQHRHCLETVFQCIEDHIVEDEELVTNAIETIVNLAPLLDLRIFSSPKPSYIKITEKRAVQAIMGMLGSQVKAWHCAAAEFLGRMIINPDNEPLLLPFVPQIYKRLVDILSLQAFDAQAAAIGALYNLVDVNMDCRLKLASERW >KJB72239 pep chromosome:Graimondii2_0_v6:11:33574548:33577588:1 gene:B456_011G167300 transcript:KJB72239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein LFR [Source:Projected from Arabidopsis thaliana (AT3G22990) UniProtKB/Swiss-Prot;Acc:Q9LS90] MQKREQGKSGGSGGGNAAPPPKRGRPFGSTAASVASASAADSVAPSTLLGPSLQIHNSFSVEQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKDDMRKDACLAKIPGLLDALLQIIDDWRDIALPKELRKGQRTRTLGANSVVTGFGNEYEALGSIALLPQSGLGPGSAAEISGQKNTSKRRRSEWWLDEDGLFNLDDEGRAEKQQCAVAASNIIRNFSFMPENEIAMAQHRHCLETVFQCIEDHIVEDEELVTNAIETIVNLAPLLDLRIFSSPKPSYIKITEKRAVQAIMGMLGSQVKAWHCAAAEFLGRMIINPDNEPLLLPFVPQIYKRLVDILSLQAFDAQAAAIGALYNLVDVNMDCRLKLASERWAVDRILKVIKTPHPVPEVCRKAAMIIEHLASDPQNRTSLLAYENAFAEILFSDGRHSDTFARILFELTSKPNNKIAAARGIWGM >KJB72238 pep chromosome:Graimondii2_0_v6:11:33574548:33577588:1 gene:B456_011G167300 transcript:KJB72238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein LFR [Source:Projected from Arabidopsis thaliana (AT3G22990) UniProtKB/Swiss-Prot;Acc:Q9LS90] MQKREQGKSGGSGGGNAAPPPKRGRPFGSTAASVASASAADSVAPSTLLGPSLQIHNSFSEQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKDDMRKDACLAKIPGLLDALLQIIDDWRDIALPKELRKGQRTRTLGANSVVTGFGNEYEALGSIALLPQSGLGPGSAAEISGQKNTSKRRRSEWWLDEDGLFNLDDEGRAEKQQCAVAASNIIRNFSFMPENEIAMAQHRHCLETVFQCIEDHIVEDEELVTNAIETIVNLAPLLDLRIFSSPKPSYIKITEKRAVQAIMGMLGSQVKAWHCAAAEFLGRMIINPDNEPLLLPFVPQIYKRLVDILSLQAFDAQAAAIGALYNLVDVNMDCRLKLASERWAVDRILKVIKTPHPVPEVCRKAAMIIEHLASDPQNRTSLLAYENAFAEILFSDGRHSDTFARILFELTSKPNNKIAAARGIWGM >KJB69306 pep chromosome:Graimondii2_0_v6:11:1081263:1089325:-1 gene:B456_011G015400 transcript:KJB69306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEERFPPLSSSFVLKDISNFKTPKRIPKNPQFFTACNETPRSSSSFRYRSQPSLVPSSSRSKAKAKLKAFQLERSQSACKEQLKKDRSLKSLAKSLTAWLNFLFQNPELCGCDLSINGCNESNVVRVDSAWRSPKRMRELWWRGEESENVVADVSSLKYSSLRSSLKEVCSFDELKQRMQVYLSLVSCKEVFNVMTQAAKNIDGGRLKMKANCPIVTDVGVKEKATKILMSYNPIWLRIGLYIIFGGDSLISPEGDFSSVKDISFLKMIIEKQFFSHTGLAKAYAYNKKVEGLYRPGYYENLGNIILKRTLLLVLILDRAKSQTSLPLNYGIDGVDGGSPLLFTVSSGIKSSRQVLHNFLSSDVMQGEGDLLAHLVIVGYKVSHQQDSHETRDEKSIMSTTDYTDAVHNFVLSQKLTAILGKFPEVMLLELRTKSAIIIQSHARGWIAKRETYRQKHCIVVIQSRGWLVRKDVLLRRDAVIKIQRAIRSLICQKAFHLQKLAAIDIQMGQIARSRLLGATSAQAAGGGACNCNMSGGFVRRFELTLVIISVLKLQRWWRGVLLLKSTARSVIIIQTHARGWIARQKAYRKRTCIVVVQSYWKGYVARKESREQLLNLRLRMQKSAMNVDDSRRLINRLLSALSELLSMKSIRGILHNCETLDMATAHSLKCCEELVAAGAITILLKLIRAASRSIPDQQVLKHALSTLRNLARYPHLTQVLIDSPASVETILWELHRNKEEGYFIASQILKKICSNENGVITVHKFPALLKRLYNLVEELTRKAYNEKRNPRAVAVRDNTDRRRLKEPWNFLN >KJB69307 pep chromosome:Graimondii2_0_v6:11:1082842:1089325:-1 gene:B456_011G015400 transcript:KJB69307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEERFPPLSSSFVLKDISNFKTPKRIPKNPQFFTACNETPRSSSSFRYRSQPSLVPSSSRSKAKAKLKAFQLERSQSACKEQLKKDRSLKSLAKSLTAWLNFLFQNPELCGCDLSINGCNESNVVRVDSAWRSPKRMRELWWRGEESENVVADVSSLKYSSLRSSLKEVCSFDELKQRMQVYLSLVSCKEVFNVMTQAAKNIDGGRLKMKANCPIVTDVGVKEKATKILMSYNPIWLRIGLYIIFGGDSLISPEGDFSSVKDISFLKMIIEKQFFSHTGLAKAYAYNKKVEGLYRPGYYENLGNIILKRTLLLVLILDRAKSQTSLPLNYGIDGVDGGSPLLFTVSSGIKSSRQVLHNFLSSDVMQGEGDLLAHLVIVGYKVSHQQDSHETRDEKSIMSTTDYTDAVHNFVLSQKLTAILGKFPEVMLLELRTKSAIIIQSHARGWIAKRETYRQKHCIVVIQRQSRGWLVRKDVLLRRDAVIKIQRAIRSLICQKAFHLQKLAAIDIQMGQIARSRLLGATSAQAAGGGACNCNMSGGFVRRFELTLVIISVLKLQRWWRGVLLLKSTARSVIIIQTHARGWIARQKAYRKRTCIVVVQSYWKGYVARKESREQLLNLRLRMQKSAMNVDDSRRLINRLLSALSELLSMKSIRGILHNCETLGEHAMFYISHTFFVFSCLRKIDPCFIYY >KJB69566 pep chromosome:Graimondii2_0_v6:11:2308458:2311877:-1 gene:B456_011G030900 transcript:KJB69566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLIDLNATEEDETPSSCSLSPSSVLSASGFSSSVCLELLHACVGPLISLPKKGSVVVYFPQGHLEQAPEFSGLASVYDLPPHVFCRVLDVKLHAEGATDEVYAQVSLVPDNEQTEQKLQEVDGEDEDAEADMKLATPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYDQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQIKNGASFLSLCSKQLNRSTFADAVHAISMKSVFSIYYNPRASSSEFIVPVCKFRKSLDRSFSVGMRFKMRFETENAPERSCRSSGLITGTSDLDPVRWPGSKWKCLSVRWDDIDANKHGRVSHWEIELSGSISSSNCLLSPCSKRNRVGLPSGKPEFMVPDGIGAPDFGEPLRFQKVLQGQEILGFSTLYNSADSHNMHWSEIRRCFPGSNGSGIATIGNVGRDPPLNPVISYKGVGFGESFGFHKVLQGQEIFLSSLYRRGSTMEETRGNDSAGLPNVGQMSGTRSGWSSSMQGYNTHSPVQPSAQVSSPSSVLMFQQASNPVPNFNPTRNFNQEMERGVSSFRVPETYGAKLLSSSISEHDSQPLAAQPSFGTNQELASCKSSCRLFGFSLTEGDLDATKEDNMVHATLSLGRGSLLPCIGENFHPNPPAVASTVWKQLY >KJB69571 pep chromosome:Graimondii2_0_v6:11:2307837:2312229:-1 gene:B456_011G030900 transcript:KJB69571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLIDLNATEEDETPSSCSLSPSSVLSASGFSSSVCLELLHACVGPLISLPKKGSVVVYFPQGHLEQAPEFSGLASVYDLPPHVFCRVLDVKLHAEGATDEVYAQVSLVPDNEKLQEVDGEDEDAEADMKLATPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYDQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQIKNGASFLSLCSKQLNRSTFADAVHAISMKSVFSIYYNPRASSSEFIVPVCKFRKSLDRSFSVGMRFKMRFETENAPERSCRSSGLITGTSDLDPVRWPGSKWKCLSVRWDDIDANKHGRVSHWEIELSGSISSSNCLLSPCSKRNRVGLPSGKPEFMVPDGIGAPDFGEPLRFQKVLQGQEILGFSTLYNSADSHNMHWSEIRRCFPGSNGSGIATIGNVGRDPPLNPVISYKGVGFGESFGFHKVLQGQEIFLSSLYRRGSTMEETRGNDSAGLPNVGQMSGTRSGWSSSMQGYNTHSPVQPSAQVSSPSSVLMFQQASNPVPNFNPTRNFNQEMERGVSSFRVPETYGAKLLSSSISEHDSQPLAAQPSFGTNQELASCKSSCRLFGFSLTEGDLDATKEDNMVHATLSLGRGSLLPCIGENFHPNPPAVASTVWKQLY >KJB69567 pep chromosome:Graimondii2_0_v6:11:2308458:2311877:-1 gene:B456_011G030900 transcript:KJB69567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLIDLNATEEDETPSSCSLSPSSVLSASGFSSSVCLELLHACVGPLISLPKKGSVVVYFPQGHLEQAPEFSGLASVYDLPPHVFCRVLDVKLHAEGATDEVYAQVSLVPDNEQTEQKLQEVDGEDEDAEADMKLATPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYDQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQIKNGASFLSLCSKQLNRSTFADAVHAISMKSVFSIYYNPRASSSEFIVPVCKFRKSLDRSFSVGMRFKMRFETENAPERRSSGLITGTSDLDPVRWPGSKWKCLSVRWDDIDANKHGRVSHWEIELSGSISSSNCLLSPCSKRNRVGLPSGKPEFMVPDGIGAPDFGEPLRFQKVLQGQEILGFSTLYNSADSHNMHWSEIRRCFPGSNGSGIATIGNVGRDPPLNPVISYKGVGFGESFGFHKVLQGQEIFLSSLYRRGSTMEETRGNDSAGLPNVGQMSGTRSGWSSSMQGYNTHSPVQPSAQVSSPSSVLMFQQASNPVPNFNPTRNFNQEMERGVSSFRVPETYGAKLLSSSISEHDSQPLAAQPSFGTNQELASCKSSCRLFGFSLTEGDLDATKEDNMVHATLSLGRGSLLPCIGENFHPNPPAVASTVWKQLY >KJB69570 pep chromosome:Graimondii2_0_v6:11:2308458:2311877:-1 gene:B456_011G030900 transcript:KJB69570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLIDLNATEEDETPSSCSLSPSSVLSASGFSSSVCLELLHACVGPLISLPKKGSVVVYFPQGHLEQAPEFSGLASVYDLPPHVFCRVLDVKLHAEGATDEVYAQVSLVPDNEQTEQKLQEVDGEDEDAEADMKLATPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYDQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQIKNGASFLSLCSKQLNRSTFADAVHAISMKSVFSIYYNPSRASSSEFIVPVCKFRKSLDRSFSVGMRFKMRFETENAPERRSSGLITGTSDLDPVRWPGSKWKCLSVRWDDIDANKHGRVSHWEIELSGSISSSNCLLSPCSKRNRVGLPSGKPEFMVPDGIGAPDFGEPLRFQKVLQGQEILGFSTLYNSADSHNMHWSEIRRCFPGSNGSGIATIGNVGRDPPLNPVISYKGVGFGESFGFHKVLQGQEIFLSSLYRRGSTMEETRGNDSAGLPNVGQMSGTRSGWSSSMQGYNTHSPVQPSAQVSSPSSVLMFQQASNPVPNFNPTRNFNQEMERGVSSFRVPETYGAKLLSSSISEHDSQPLAAQPSFGTNQELASCKSSCRLFGFSLTEGDLDATKEDNMVHATLSLGRGSLLPCIGENFHPNPPAVASTVWKQLY >KJB69565 pep chromosome:Graimondii2_0_v6:11:2308458:2311877:-1 gene:B456_011G030900 transcript:KJB69565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLIDLNATEEDETPSSCSLSPSSVLSASGFSSSVCLELLHACVGPLISLPKKGSVVVYFPQGHLEQAPEFSGLASVYDLPPHVFCRVLDVKLHAEGATDEVYAQVSLVPDNEKLQEVDGEDEDAEADMKLATPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYDQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQIKNGASFLSLCSKQLNRSTFADAVHAISMKSVFSIYYNPRASSSEFIVPVCKFRKSLDRSFSVGMRFKMRFETENAPERRSSGLITGTSDLDPVRWPGSKWKCLSVRWDDIDANKHGRVSHWEIELSGSISSSNCLLSPCSKRNRVGLPSGKPEFMVPDGIGAPDFGEPLRFQKVLQGQEILGFSTLYNSADSHNMHWSEIRRCFPGSNGSGIATIGNVGRDPPLNPVISYKGVGFGESFGFHKVLQGQEIFLSSLYRRGSTMEETRGNDSAGLPNVGQMSGTRSGWSSSMQGYNTHSPVQPSAQVSSPSSVLMFQQASNPVPNFNPTRNFNQEMERGVSSFRVPETYGAKLLSSSISEHDSQPLAAQPSFGTNQELASCKSSCRLFGFSLTEGDLDATKEDNMVHATLSLGRGSLLPCIGENFHPNPPAVASTVWKQLY >KJB69568 pep chromosome:Graimondii2_0_v6:11:2307837:2312229:-1 gene:B456_011G030900 transcript:KJB69568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLIDLNATEEDETPSSCSLSPSSVLSASGFSSSVCLELLHACVGPLISLPKKGSVVVYFPQGHLEQAPEFSGLASVYDLPPHVFCRVLDVKLHAEGATDEVYAQVSLVPDNEKLQEVDGEDEDAEADMKLATPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYDQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQIKNGASFLSLCSKQLNRSTFADAVHAISMKSVFSIYYNPRASSSEFIVPVCKFRKSLDRSFSVGMRFKMRFETENAPERRSSGLITGTSDLDPVRWPGSKWKCLSVRWDDIDANKHGRVSHWEIELSGSISSSNCLLSPCSKRNRVGLPSGKPEFMVPDGIGAPDFGEPLRFQKVLQGQEILGFSTLYNSADSHNMHWSEIRRCFPGSNGSGIATIGNVGRDPPLNPVISYKGVGFGESFGFHKVLQGQEIFLSSLYRRGSTMEETRGNDSAGLPNVGQMSGTRSGWSSSMQGYNTHSPVQPSAQVSSPSSVLMFQQASNPVPNFNPTRNFNQEMERGVSSFRVPETYGAKLLSSSISEHDSQPLAAQPSFGTNQELASCKSSCRLFGFSLTEGDLDATKEDNMVHATLSLGRGSLLPCIGENFHPNPPAVASTVWKQLY >KJB69564 pep chromosome:Graimondii2_0_v6:11:2308458:2311877:-1 gene:B456_011G030900 transcript:KJB69564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLIDLNATEEDETPSSCSLSPSSVLSASGFSSSVCLELLHACVGPLISLPKKGSVVVYFPQGHLEQAPEFSGLASVYDLPPHVFCRVLDVKLHAEGATDEVYAQVSLVPDNEQTEQKLQEVDGEDEDAEADMKLATPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYDQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQIKNGASFLSLCSKQLNRSTFADAVHAISMKSVFSIYYNPRASSSEFIVPVCKFRKSLDRSFSVGMRFKMRFETENAPERSCRSSGLITGTSDLDPVRWPGSKWKCLSVRWDDIDANKHGRVSHWEIELSGSISSSNCLLSPCSKRNRVGLPSGKPEFMVPDGIGAPDFGEPLRFQKVLQGQEILGFSTLYNSADSHNMHWSEIRRCFPGSNGSGIATIGNVGRDPPLNPVISYKGVGFGESFGFHKVLQGQEIFLSSLYRRGSTMEETRGNDSAGLPNVGQMSGTRSGWSSSMQGYNTHSPVQPSAQVSSPSSVLMFQQASNPVPNFNPTRNFNQEMERGVSSFRVPETYGAKLLSSSISEHDSQPLAAQPSFGTNQELASCKSSCRLFGFSLTEGDLDATKEDNMVHATLSLGRGSLLPCIGENFHPNPPAVASTVWKQLY >KJB69573 pep chromosome:Graimondii2_0_v6:11:2308458:2311877:-1 gene:B456_011G030900 transcript:KJB69573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLIDLNATEEDETPSSCSLSPSSVLSASGFSSSVCLELLHACVGPLISLPKKGSVVVYFPQGHLEQAPEFSGLASVYDLPPHVFCRVLDVKLHAEGATDEVYAQVSLVPDNEKLQEVDGEDEDAEADMKLATPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYDQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQIKNGASFLSLCSKQLNRSTFADAVHAISMKSVFSIYYNPRASSSEFIVPVCKFRKSLDRSFSVGMRFKMRFETENAPERSCRSSGLITGTSDLDPVRWPGSKWKCLSVRWDDIDANKHGRVSHWEIELSGSISSSNCLLSPCSKRNRVGLPSGKPEFMVPDGIGAPDFGEPLRFQKVLQGQEILGFSTLYNSADSHNMHWSEIRRCFPGSNGSGIATIGNVGRDPPLNPVISYKGVGFGESFGFHKVLQGQEIFLSSLYRRGSTMEETRGNDSAGLPNVGQMSGTRSGWSSSMQGYNTHSPVQPSAQVSSPSSVLMFQQASNPVPNFNPTRNFNQEMERGVSSFRVPETYGAKLLSSSISEHDSQPLAAQPSFGTNQELASCKSSCRLFGFSLTEGDLDATKEDNMVHATLSLGRGSLLPCIGENFHPNPPAVASTVWKQLY >KJB69569 pep chromosome:Graimondii2_0_v6:11:2307837:2312229:-1 gene:B456_011G030900 transcript:KJB69569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLIDLNATEEDETPSSCSLSPSSVLSASGFSSSVCLELLHACVGPLISLPKKGSVVVYFPQGHLEQAPEFSGLASVYDLPPHVFCRVLDVKLHAEGATDEVYAQVSLVPDNEQTEQKLQEVDGEDEDAEADMKLATPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYDQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQIKNGASFLSLCSKQLNRSTFADAVHAISMKSVFSIYYNPRASSSEFIVPVCKFRKSLDRSFSVGMRFKMRFETENAPERRSSGLITGTSDLDPVRWPGSKWKCLSVRWDDIDANKHGRVSHWEIELSGSISSSNCLLSPCSKRNRVGLPSGKPEFMVPDGIGAPDFGEPLRFQKVLQGQEILGFSTLYNSADSHNMHWSEIRRCFPGSNGSGIATIGNVGRDPPLNPVISYKGVGFGESFGFHKVLQGQEIFLSSLYRRGSTMEETRGNDSAGLPNVGQMSGTRSGWSSSMQGYNTHSPVQPSAQVSSPSSVLMFQQASNPVPNFNPTRNFNQEMERGVSSFRVPETYGAKLLSSSISEHDSQPLAAQPSFGTNQELASCKSSCRLFGFSLTEGDLDATKEDNMVHATLSLGRGSLLPCIGENFHPNPPAVASTVWKQLY >KJB69572 pep chromosome:Graimondii2_0_v6:11:2307837:2312229:-1 gene:B456_011G030900 transcript:KJB69572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLIDLNATEEDETPSSCSLSPSSVLSASGFSSSVCLELLHACVGPLISLPKKGSVVVYFPQGHLEQAPEFSGLASVYDLPPHVFCRVLDVKLHAEGATDEVYAQVSLVPDNEQTEQKLQEVDGEDEDAEADMKLATPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYDQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQIKNGASFLSLCSKQLNRSTFADAVHAISMKSVFSIYYNPSRASSSEFIVPVCKFRKSLDRSFSVGMRFKMRFETENAPERRSSGLITGTSDLDPVRWPGSKWKCLSVRWDDIDANKHGRVSHWEIELSGSISSSNCLLSPCSKRNRVGLPSGKPEFMVPDGIGAPDFGEPLRFQKVLQGQEILGFSTLYNSADSHNMHWSEIRRCFPGSNGSGIATIGNVGRDPPLNPVISYKGVGFGESFGFHKVLQGQEIFLSSLYRRGSTMEETRGNDSAGLPNVGQMSGTRSGWSSSMQGYNTHSPVQPSAQVSSPSSVLMFQQASNPVPNFNPTRNFNQEMERGVSSFRVPETYGAKLLSSSISEHDSQPLAAQPSFGTNQELASCKSSCRLFGFSLTEGDLDATKEDNMVHATLSLGRGSLLPCIGENFHPNPPAVASTVWKQLY >KJB74068 pep chromosome:Graimondii2_0_v6:11:60200799:60204227:1 gene:B456_011G270100 transcript:KJB74068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAIVSLAVERISDLLIHEAVFLKDVKDQVESLRAELKRMQCFLKDADRNLEQDARFQNRVSEIRNLAYDAEDVIDSFILKAAYQRGFHGIVKRFTSIFTKPYHLHKIGLQLKAIMTKLQNISENLPAFEIPDDGEGSSSIFKVQQQFRRTYSHVEEEDVVSLEVSTKDVMTKLMTEEDRPHAVVSIVGMGGLGKTTLARKVYNHVDVRRHFDFLAWFSISQQCKPREVLLSVLKKVLSPSNNDREKIEKMDEIELTRTLFDALKEKRYLVVLDDIWRSEDWDILKPAFPRGRKGSKILFTTRNRNVASRADSCNTPIELSFLTDDESWNLLCKKAFPRSKMGSQCCSEEFVKLGKEMVKKCGGLPLAIVVLGCLLATKQSVAQWEMVHKNIHGHLNELPHQDRQYGAVNRILVLSYNDLPYPLKPCFLYLSHYPEDWEIPKKELIRLWIAEGFIPKTEEFLMEDLGENFLEELIDRSLVQVSRRDYTGTNVETCRMHDLLRDLCTKKAREEKFLEIIQQPLHEYDVTLAKSMLRRISIHPSERNFVYLKGEHPKLRSLLFPQNVEPVEFHISKYKSFEFLRVLTLQKGKYSYEEWHVSAEIGNLQHLRYLKLYYNNKMILPRSIGRLKNLYTLYIKYCYDIVIPDGVFKLERLRHIVIKLNGTLPLYGFRLRQGFTSKNIETLKYMVVDEKAAENNAVLRWTNIQSLGMAFTRAQYMKPTLILLAKSQRLRSVSLSFFDVSHLDLEPLSQYYHLSKLKLWGRIEDEPHPNGHVLKFLPSNIVNLTLCTCRLRQDPMVVLEKLCHLRILHLQQAYIGSKMVCSANGFPKLDYLQMSWLFELEEWEIEEGAMPCLRELKLADGQSLRMLPEGLRYITTLQELNLIQTSSSLKERIKVIDGKEGEDFYKVRHIPFIHIDRLA >KJB69777 pep chromosome:Graimondii2_0_v6:11:3105296:3107711:1 gene:B456_011G041800 transcript:KJB69777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNTSCFFSNSSPNLVITCFVAFITIILVRFLYVIYRTGKPLNKRTPQQTLSTLIVLGSGGHTAEMINLLLVLQKDRFTPRFYIAAATDNMSLQKARVFENSLADSGVKGISAEFMQIYRSREVGQSYVTSVWTTLVATAHALWLMIKIRPQVVLCNGPGTCIPLCVIAFIFKVVGIRWSSIFYVESIARVKRLSLSGLLLYKLQIADQFFVQWPQLQRKYPRAHYVGCLM >KJB69775 pep chromosome:Graimondii2_0_v6:11:3105296:3107711:1 gene:B456_011G041800 transcript:KJB69775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNTSCFFSNSSPNLVITCFVAFITIILVRFLYVIYRTGKPLNKRTPQQTLSTLIVLGGHTAEMINLLLVLQKDRFTPRFYIAAATDNMSLQKARVFENSLADSGVKGISAEFMQIYRSREVGQSYVTSVWTTLVATAHALWLMIKIRPQVVLCNGPGTCIPLCVIAFIFKVVGIRWSSIFYVESIARVKRLSLSGLLLYKLQIADQFFVQWPQLQRKYPRAHYVGCLM >KJB69774 pep chromosome:Graimondii2_0_v6:11:3105296:3107711:1 gene:B456_011G041800 transcript:KJB69774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNTSCFFSNSSPNLVITCFVAFITIILVRFLYVIYRTGKPLNKRTPQQTLSTLIVLGSGGHTAEMINLLLVLQKDRFTPRFYIAAATDNMSLQKARVFENSLADSVLCNGPGTCIPLCVIAFIFKVVGIRWSSIFYVESIARVKRLSLSGLLLYKLQIADQFFVQWPQLQRKYPRAHYVGCLM >KJB69776 pep chromosome:Graimondii2_0_v6:11:3105296:3107711:1 gene:B456_011G041800 transcript:KJB69776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNTSCFFSNSSPNLVITCFVAFITIILVRFLYVIYRTGKPLNKRTPQQTLSTLIVLGSGHTAEMINLLLVLQKDRFTPRFYIAAATDNMSLQKARVFENSLADSGVKGISAEFMQIYRSREVGQSYVTSVWTTLVATAHALWLMIKIRPQVVLCNGPGTCIPLCVIAFIFKVVGIRWSSIFYVESIARVKRLSLSGLLLYKLQIADQFFVQWPQLQRKYPRAHYVGCLM >KJB72044 pep chromosome:Graimondii2_0_v6:11:27081596:27099640:1 gene:B456_011G155500 transcript:KJB72044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) UniProtKB/Swiss-Prot;Acc:Q9SCV3] MVVFENKAIPKLLLVCLFVQFSVSAANFFQPFNVTYDHRALIIDGKRRMLISGGIHYPRATPQMWPDLIAKSKEGGADVIESYTFWNGHEPVRGQYNFEGRFDLVKFVKLVGDNGLYFLLRIGPYVCAEWNFGGFPVWLRDIPGIEFRTDNEPFKREMQRFVTKIVDLMREEKLFSWQGGPIILLQIENEYGNMEGSYGQKGKEYVRWAANMALGLGAGVPWVMCKQTDAPGDIIDTCNNYYCDGYKPNSPNKPTIWTENWDGWYTSWGGRLPHRPVEDLAFAVARFFQRGGSLMNYYMYFGGTNFGRTSGGPFYITSYDYDAPIDEYGLRSEPKWGHLKDLHAAIKLCERALVAADSPQYMKLGPRQEAHVYWENTQSTVLNTTLSESQSACSAFLANIDEHNTATVIFRGKSYSLPPWSVSILPDCSNVAFNTAKVGAQTSVKLVENALSPKISAPELVMTKNEVSSIPESWMSVEEPIGIWSESNFTVQGLLEHLKVTKDESDYLWHMTRIYVSDDDVAFWEENKVSPTLVIDSMRDVLRIFINGELIGSVSGHWVKVLQPVQFQQGYSDLMLLSQTVGLQVGLKGEFQKIFTIEENEKAGWTNLKLDDTPSTFTWYKAYFDSHDGSEPIAIDLGSMGKGQAWVNGHHIGRYWNLTAPKDGCPDSCDYRGAYGSNKCMTNCGKPTQTWYHVPRSWLQASNNLLVIFEEIGGNPFEISVKLRVPRILCAQMSESYYPPLREWLHLDLIDGKVSISDMKPQIHLQCEDGHIISSIEFASYGTPHGSCQNFSNGNCHSPNSLSVISEACVGRNSCSVEVSNSGFGSDPCRGVLKTLAVEARCVSTSTIGVSQF >KJB72043 pep chromosome:Graimondii2_0_v6:11:27081490:27099640:1 gene:B456_011G155500 transcript:KJB72043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) UniProtKB/Swiss-Prot;Acc:Q9SCV3] MVVFENKAIPKLLLVCLFVQFSVSAANFFQPFNVTYDHRALIIDGKRRMLISGGIHYPRATPQMWPDLIAKSKEGGADVIESYTFWNGHEPVRGQYNFEGRFDLVKFVKLVGDNGLYFLLRIGPYVCAEWNFGGFPVWLRDIPGIEFRTDNEPFKREMQRFVTKIVDLMREEKLFSWQGGPIILLQIENEYGNMEGSYGQKGKEYVRWAANMALGLGAGVPWVMCKQTDAPGDIIDTCNNYYCDGYKPNSPNKPTIWTENWDGWYTSWGGRLPHRPVEDLAFAVARFFQRGGSLMNYYMYFGGTNFGRTSGGPFYITSYDYDAPIDEYGLRSEPKWGHLKDLHAAIKLCERALVAADSPQYMKLGPRQEAHVYWENTQSTVLNTTLSESQSACSAFLANIDEHNTATVIFRGKSYSLPPWSVSILPDCSNVAFNTAKVGAQTSVKLVENALSPKISAPELVMTKNEVSSIPESWMSVEEPIGIWSESNFTVQGLLEHLKVTKDESDYLWHMTRIYVSDDDVAFWEENKVSPTLVIDSMRDVLRIFINGELIGSVSGHWVKVLQPVQFQQGYSDLMLLSQTVGLQNYGAFLEKDGAGFRGQIKLTGFKNGDIDLSKASWIYQVGLKGEFQKIFTIEENEKAGWTNLKLDDTPSTFTWYKAYFDSHDGSEPIAIDLGSMGKGQAWVNGHHIGRYWNLTAPKDGCPDSCDYRGAYGSNKCMTNCGKPTQTWYHVPRSWLQASNNLLVIFEEIGGNPFEISVKLRVPRILCAQMSESYYPPLREWLHLDLIDGKVSISDMKPQIHLQCEDGHIISSIEFASYGTPHGSCQNFSNGNCHSPNSLSVISEACVGRNSCSVEVSNSGFGSDPCRGVLKTLAVEARCVSTSTIGVSQF >KJB72045 pep chromosome:Graimondii2_0_v6:11:27081596:27099640:1 gene:B456_011G155500 transcript:KJB72045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) UniProtKB/Swiss-Prot;Acc:Q9SCV3] MVVFENKAIPKLLLVCLFVQFSVSAANFFQPFNVTYDHRALIIDGKRRMLISGGIHYPRATPQMWPDLIAKSKEGGADVIESYTFWNGHEPVRGQYNFEGRFDLVKFVKLVGDNGLYFLLRIGPYVCAEWNFGGFPVWLRDIPGIEFRTDNEPFKREMQRFVTKIVDLMREEKLFSWQGGPIILLQIENEYGNMEGSYGQKGKEYVRWAANMALGLGAGVPWVMCKQTDAPGDIIDTCNNYYCDGYKPNSPNKPTIWTENWDGWYTSWGGRLPHRPVEDLAFAVARFFQRGGSLMNYYMYFGGTNFGRTSGGPFYITSYDYDAPIDEYGLRSEPKWGHLKDLHAAIKLCERALVAADSPQYMKLGPRQEAHVYWENTQSTVLNTTLSESQSACSAFLANIDEHNTATVIFRGKSYSLPPWSVSILPDCSNVAFNTAKVGLKGEFQKIFTIEENEKAGWTNLKLDDTPSTFTWYKAYFDSHDGSEPIAIDLGSMGKGQAWVNGHHIGRYWNLTAPKDGCPDSCDYRGAYGSNKCMTNCGKPTQTWYHVPRSWLQASNNLLVIFEEIGGNPFEISVKLRVPRILCAQMSESYYPPLREWLHLDLIDGKVSISDMKPQIHLQCEDGHIISSIEFASYGTPHGSCQNFSNGNCHSPNSLSVISEACVGRNSCSVEVSNSGFGSDPCRGVLKTLAVEARCVSTSTIGVSQF >KJB72620 pep chromosome:Graimondii2_0_v6:11:44719483:44721661:1 gene:B456_011G187700 transcript:KJB72620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEKRTEKGKSMVATFKQCARYLNPLFKFCRKKVLPDDIHQALLVVVECCMKRDYLAAMDHYNMENNEDVNG >KJB70178 pep chromosome:Graimondii2_0_v6:11:5150976:5152844:-1 gene:B456_011G062400 transcript:KJB70178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFQLVPTCIHQLFVLFPGEIATARAASTCNTIMVLSISSTCTLEEVAACCNAVRFFQLCVYKRRDITAKLVQRAENNGYKAIVLTGDSPRHGRREADIKNKIIIPELKNVEGLLSTKIVADKGSNLDALANQTRDPSFSWEDIGWLKSITKLPILIKGLLTHEDALKALEVGAAGIIVSNHGGRQLDYCPPTISVLEEVVHAVGGKVPVFLDGGVRQGTDIFKAMALGAQAVLVGRPVLYGLAAKGENGVRRVLEMLKDELELTMALSGCSNVKEITRSHVRTKHDRQLLSLL >KJB70182 pep chromosome:Graimondii2_0_v6:11:5150976:5154033:-1 gene:B456_011G062400 transcript:KJB70182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSISSTCTLEEVAACCNAVRFFQLCVYKRRDITAKLVQRAENNGYKAIVLTGDSPRHGRREADIKNKIIIPELKNVEGLLSTKIVADKGSNLDALANQTRDPSFSWEDIGWLKSITKLPILIKGLLTHEDALKALEVGAAGIIVSNHGGRQLDYCPPTISVLEEVVHAVGGKVPVFLDGGVRQGTDIFKAMALGAQAVLVGRPVLYGLAAKGENGVRRVLEMLKDELELTMALSGCSNVKEITRSHVRTKHDRQLLSLL >KJB70179 pep chromosome:Graimondii2_0_v6:11:5150948:5154042:-1 gene:B456_011G062400 transcript:KJB70179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPVNVNGFRELARQTLPKMYYDFFTGGAEDQYTLKENEEAFHRITIQPRILVDMSSIDLSTMVLGYSISMPVMIAPTSRHKLANPSGEIATARAASTCNTIMVLSISSTCTLEEVAACCNAVRFFQLCVYKRRDITAKLVQRAENNGYKAIVLTGDSPRHGRREADIKNKIIIPELKNVEGLLSTKIVADKGSNLDALANQTRDPSFSWEDIGWLKSITKLPILIKGLLTHEDALKALEVGAAGIIVSNHGGRQLDYCPPTISVLEEVVHAVGGKVPVFLDGGVRQGTDIFKAMALGAQAVLVGRPVLYGLAAKGENGVRRVLEMLKDELELTMALSGCSNVKEITRSHVRTKHDRQLLSLL >KJB70177 pep chromosome:Graimondii2_0_v6:11:5150976:5154029:-1 gene:B456_011G062400 transcript:KJB70177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPVNVNGFRELARQTLPKMYYDFFTGGAEDQYTLKENEEAFHRITIQPRILVDMSSIDLSTMVLGYSISMPVMIAPTSRHKLANPSGEIATARAASTCNTIMVLSISSTCTLEEVAACCNAVRFFQLCVYKRRDITAKLVQRAENNGYKAIVLTGDSPRHGRREADIKNKIIIPELKNVEGLLSTKIVADKGSNLDALANQTRDPSFSWEDIGWLKSITKLPILIKGLLTHEDALKALEVGAAGIIVSNHGGRQLDYCPPTISVLEEVVHAVGGKVPVFLDGGVRQGTDIFKAMALGAQAVLVGRPVLYGLAAKGENGVRRVLEMLKDELELTMALSGCSNVKEITRSHVRTKHDRQLLSLL >KJB70176 pep chromosome:Graimondii2_0_v6:11:5150976:5154042:-1 gene:B456_011G062400 transcript:KJB70176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPVNVNGFRELARQTLPKMYYDFFTGGAEDQYTLKENEEAFHRITIQPRILVDMSSIDLSTMVLGYSISMPVMIAPTSRHKLANPSGEIATARAASTCNTIMVLSISSTCTLEEVAACCNAVRFFQLCVYKRRDITAKLVQRAENNGYKAIVLTGDSPRHGRREADIKNKIIIPELKNVEGLLSTKIVADKGSNLDALANQTRDPSFSWEDIGWLKSITKLPILIKGLLTHEDALKALEVGAAGIIVSNHGGRQLDYCPPTISVLEEVVHAVGGKVPVFLDGGVRQGTDIFKAMALGAQAVLVGRPVLYGLAAKGENGVRRVLEMLKDELELTMALSGCSNVKEITRSHVRTKHDRQLLSLL >KJB70181 pep chromosome:Graimondii2_0_v6:11:5150948:5154046:-1 gene:B456_011G062400 transcript:KJB70181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDKRSSRSRLLNGIMAEEPVNVNGFRELARQTLPKMYYDFFTGGAEDQYTLKENEEAFHRITIQPRILVDMSSIDLSTMVLGYSISMPVMIAPTSRHKLANPSGEIATARAASTCNTIMVLSISSTCTLEEVAACCNAVRFFQLCVYKRRDITAKLVQRAENNGYKAIVLTGDSPRHGRREADIKNKIIIPELKNVEGLLSTKIVADKGSNLDALANQTRDPSFSWEDIGWLKSITKLPILIKGLLTHEDALKALEVGAAGIIVSNHGGRQLDYCPPTISVLEEVVHAVGGKVPVFLDGGVRQGTDIFKAMALGAQAVLVGRPVLYGLAAKGENGVRRVLEMLKDELELTMALSGCSNVKEITRSHVRTKHDRQLLSLL >KJB70183 pep chromosome:Graimondii2_0_v6:11:5150976:5153862:-1 gene:B456_011G062400 transcript:KJB70183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPVNVNGFRELARQTLPKMYYDFFTGGAEDQYTLKENEEAFHRITIQPRILVDMSSIDLSTMVLGYSISMPVMIAPTSRHKLANPSGEIATARAASTCNTIMVLSISSTCTLEEVAACCNAVRFFQLCVYKRRDITAKLVQRAENNGYKAIVLTGDSPRHGRREADIKNKIIIPELKNVEGLLSTKIVADKGSNLDALANQTRDPSFSWEDIGWLKSITKLPILIKGLLTHEDALKALEVGAAGIIVSNHGGRQLDYCPPTISVLEEVVHAVGGKVPVFLDGGVRQGTDIFKAMALGAQAVLVGRPVLYGLAAKGENGVRRVLEMLKDELELTMALSGCSNVKEITRSHVRTKHDRQLLSLL >KJB70180 pep chromosome:Graimondii2_0_v6:11:5151580:5153227:-1 gene:B456_011G062400 transcript:KJB70180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPVNVNGFRELARQTLPKMYYDFFTGGAEDQYTLKENEEAFHRITIQPRILVDMSSIDLSTMVLGYSISMPVMIAPTSRHKLANPSGEIATARAASTCNTIMVLSISSTCTLEEVAACCNAVRFFQLCVYKRRDITAKLVQRAENNGYKAIVLTGDSPRHGRREADIKNKIIIPELKNVEGLLSTKIVADKGSNLDALANQTRDPSFSWEDIGWLKSITKLPILIKGLLTHEDALKALEVGAAGIIVSNHGGRQLDYCPPTISVLEEVFSLPCNRISFFYL >KJB70206 pep chromosome:Graimondii2_0_v6:11:5328156:5334512:-1 gene:B456_011G063600 transcript:KJB70206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGRIRARLRLSHLYTFACTRPEATEEGPHSIEGPGYSRLVHCNQPLMHKKKPFKYRSNYISTTKYNFFTFLPKALYEQFHRVANLYFLAAAIVSVTPLSPFSAVSMIAPLVFVVGLSMAKEALEDWRRFMQDIKVNSRKVKFHKGEGVFDYKSWKKLQVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLDEDASFKNFTGKIKCEDPNPSLYTFIGNFEYDHEVYALDPSQILLRDSKLRNTAFVYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDYIIYVLFSLLLVISLVSSIGFAVKTKFDMPDWWYLQPQNTDDYYDPRKPVLSGVTHLITALMLYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGMSSSEVELAAAQQMASDLEDQDVERSTDFRQKGKQQEIELETVVIPKDEMVHKSPIKGFSFEDSRVMEGNWLNEPNADVIMLFFRILAICHTAVPELNEETGNYKYESESPDEAAFLVAAREFGFEFCKRTQSSVFVRERYSASGKTIDREFKLLNMLEFTSKRKRMTVIVRDEDGQILVLCKGADSIIFDRLSKNGRLYEEDTTRHLNEYGEAGLRTLALAYKRLGEFEYSAWNNEFQEAKTTIGADRDLMLENIAEMMEKDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKVETAINIGYACSLLRQGMKQICITEISSDAKEVVKENILLQITNASQMIKLERDPHAAFALIIDGKTLAYALEDDVKQQFLVLAVQCAPVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIKEADIGVGISGVEGCNGQ >KJB70210 pep chromosome:Graimondii2_0_v6:11:5328156:5334512:-1 gene:B456_011G063600 transcript:KJB70210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEALEDWRRFMQDIKVNSRKVKFHKGEGVFDYKSWKKLQVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLDEDASFKNFTGKIKCEDPNPSLYTFIGNFEYDHEVYALDPSQILLRDSKLRNTAFVYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDYIIYVLFSLLLVISLVSSIGFAVKTKFDMPDWWYLQPQNTDDYYDPRKPVLSGVTHLITALMLYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGMSSSEVELAAAQQMASDLEDQDVERSTDFRQKGKQQEIELETVVIPKDEMVHKSPIKGFSFEDSRVMEGNWLNEPNADVIMLFFRILAICHTAVPELNEETGNYKYESESPDEAAFLVAAREFGFEFCKRTQSSVFVRERYSASGKTIDREFKLLNMLEFTSKRKRMTVIVRDEDGQILVLCKGADSIIFDRLSKNGRLYEEDTTRHLNEYGEAGLRTLALAYKRLGEFEYSAWNNEFQEAKTTIGADRDLMLENIAEMMEKDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKVETAINIGYACSLLRQGMKQICITEISSDAKEVVKENILLQITNASQMIKLERDPHAAFALIIDGKTLAYALEDDVKQQFLVLAVQCAPVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIKEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMVCYFFYKNIAFGLTLFYFEAFAGFSGQSIYDDWYMLLFNVLLTSLPVISLGVFEQDVSSEVCLQFPAVYQQGPKNLFFDWYKILGWMGNGLYSSLIIFFLNIIIFYDQAFREEGQTASMPALGTTMFTCIIWALNCQIAFTMSHFTWIQHLFIWGSIATWYLFLFVYGRLSPSISGNAFQILVEALAPAPIYWLATLLVTIACNLPYLAHISYQRCFHPLDHHIIQEIKYYKKDVEDQRMWTRERSRARERTMIGFSARVDAKIRQLKGKLQRKQPSSEIHSPARSS >KJB70205 pep chromosome:Graimondii2_0_v6:11:5328197:5334381:-1 gene:B456_011G063600 transcript:KJB70205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEALEDWRRFMQDIKVNSRKVKFHKGEGVFDYKSWKKLQVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLDEDASFKNFTGKIKCEDPNPSLYTFIGNFEYDHEVYALDPSQILLRDSKLRNTAFVYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDYIIYVLFSLLLVISLVSSIGFAVKTKFDMPDWWYLQPQNTDDYYDPRKPVLSGVTHLITALMLYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGMSSSEVELAAAQQMASDLEDQDVERSTDFRQKGKQQEIELETVVIPKDEMVHKSPIKGFSFEDSRVMEGNWLNEPNADVIMLFFRILAICHTAVPELNEETGNYKYESESPDEAAFLVAAREFGFEFCKRTQSSVFVRERYSASGKTIDREFKLLNMLEFTSKRKRMTVIVRDEDGQILVLCKGADSIIFDRLSKNGRLYEEDTTRHLNEYGEAGLRTLALAYKRLGEFEYSAWNNEFQEAKTTIGADRDLMLENIAEMMEKDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKVETAINIGYACSLLRQGMKQICITEISSDAKEVVKENILLQITNASQMIKLERDPHAAFALIIDGKTLAYALEDDVKQQFLVLAVQCAPVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIKEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMVCYFFYKNIAFGLTLFYFEAFAGFSGQSIYDDWYMLLFNVLLTSLPVISLGVFEQDVSSEVCLQFPAVYQQGPKNLFFDWYKILGWMGNGLYSSLIIFFLNIIIFYDQAFREEGQTASMPALGTTMFTCIIWALNCQIAFTMSHFTWIQHLFIWGSIATWYLFLFVYGRLSPSISGNAFQILVEALAPAPIYWLATLLVTIACNLPYLAHISYQRCFHPLDHHIIQEIKYYKKDVEDQRMWTRERSRARERTMIGFSARVDAKIRQLKGKLQRKQPSSEIHSPARSS >KJB70209 pep chromosome:Graimondii2_0_v6:11:5328197:5333533:-1 gene:B456_011G063600 transcript:KJB70209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGRIRARLRLSHLYTFACTRPEATEEGPHSIEGPGYSRLVHCNQPLMHKKKPFKYRSNYISTTKYNFFTFLPKALYEQFHRVANLYFLAAAIVSVTPLSPFSAVSMIAPLVFVVGLSMAKEALEDWRRFMQDIKVNSRKVKFHKGEGVFDYKSWKKLQVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLDEDASFKNFTGKIKCEDPNPSLYTFIGNFEYDHEVYALDPSQILLRDSKLRNTAFVYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDYIIYVLFSLLLVISLVSSIGFAVKTKFDMPDWWYLQPQNTDDYYDPRKPVLSGVTHLITALMLYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGMSSSEVELAAAQQMASDLEDQDVERSTDFRQKGKQQEIELETVVIPKDEMVHKSPIKGFSFEDSRVMEGNWLNEPNADVIMLFFRILAICHTAVPELNEETGNYKYESESPDEAAFLVAAREFGFEFCKRTQSSVFVRERYSASGKTIDREFKLLNMLEFTSKRKRMTVIVRDEDGQILVLCKGADSIIFDRLSKNGRLYEEDTTRHLNEYGEAGLRTLALAYKRLGEFEYSAWNNEFQEAKTTIGADRDLMLENIAEMMEKDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKVETAINIGYACSLLRQGMKQICITEISSDAKEVVKENILLQITNASQMIKLERDPHAAFALIIDGKTLAYALEDDVKQQFLVLAVQCAPVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIKEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMVCYFFYKNIAFGLTLFYFEAFAGFSGQSIYDDWYMLLFNVLLTSLPVISLGVFEQDVSSEVCLQFPAVYQQGPKNLFFDWYKILGWMGNGLYSSLIIFFLNIIIFYDQAFREEGQTASMPALGTTMFTCIIWALNCQIAFTMSHFTWIQHLFIWGSIATWYLFLFVYGRLSPSISGNAFQILVEALAPAPIYWLATLLVTIACNLPYLAHISYQRCFHPLDHHIIQEIKYYKKDVEDQRMWTRERSRARERTMIGFSARVDAKIRQLKGKLQRKQPSSEIHSPARSS >KJB70207 pep chromosome:Graimondii2_0_v6:11:5328555:5333533:-1 gene:B456_011G063600 transcript:KJB70207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGRIRARLRLSHLYTFACTRPEATEEGPHSIEGPGYSRLVHCNQPLMHKKKPFKYRSNYISTTKYNFFTFLPKALYEQFHRVANLYFLAAAIVSVTPLSPFSAVSMIAPLVFVVGLSMAKEALEDWRRFMQDIKVNSRKVKFHKGEGVFDYKSWKKLQVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLDEDASFKNFTGKIKCEDPNPSLYTFIGNFEYDHEVYALDPSQILLRDSKLRNTAFVYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDYIIYVLFSLLLVISLVSSIGFAVKTKFDMPDWWYLQPQNTDDYYDPRKPVLSGVTHLITALMLYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGMSSSEVELAAAQQMASDLEDQDVERSTDFRQKGKQQEIELETVVIPKDEMVHKSPIKGFSFEDSRVMEGNWLNEPNADVIMLFFRILAICHTAVPELNEETGNYKYESESPDEAAFLVAAREFGFEFCKRTQSSVFVRERYSASGKTIDREFKLLNMLEFTSKRKRMTVIVRDEDGQILVLCKGADSIIFDRLSKNGRLYEEDTTRHLNEYGEAGLRTLALAYKRLGEFEYSAWNNEFQEAKTTIGADRDLMLENIAEMMEKDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKVETAINIGYACSLLRQGMKQICITEISSDAKEVVKENILLQITNASQMIKLERDPHAAFALIIDGKTLAYALEDDVKQQFLVLAVQCAPVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIKEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMVCYFFYKNIAFGLTLFYFEAFAGFSGQSIYDDWYMLLFNVLLTSLPVISLGVFEQDVSSEVCLQFPAVYQQGPKNLFFDWYKILGWMGNGLYSSLIIFFLNIIIFYDQAFREEGQTASMPALGTTMFTCIIWALNCQIAFTMSHFTWIQHLFIWGSIATWYLFLFVYGRLSPSISGNAFQILVEALAPAPIYWLATLLVTIACNLPYLAHISYQRCFHPLDHHIIQEIKYYKKDVEDQRMWTRERSRARERTMIGFSARVDAKIRQLKGKLQRKQPSSEIHSPARSS >KJB70208 pep chromosome:Graimondii2_0_v6:11:5329461:5333533:-1 gene:B456_011G063600 transcript:KJB70208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGRIRARLRLSHLYTFACTRPEATEEGPHSIEGPGYSRLVHCNQPLMHKKKPFKYRSNYISTTKYNFFTFLPKALYEQFHRVANLYFLAAAIVSVTPLSPFSAVSMIAPLVFVVGLSMAKEALEDWRRFMQDIKVNSRKVKFHKGEGVFDYKSWKKLQVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLDEDASFKNFTGKIKCEDPNPSLYTFIGNFEYDHEVYALDPSQILLRDSKLRNTAFVYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDYIIYVLFSLLLVISLVSSIGFAVKTKFDMPDWWYLQPQNTDDYYDPRKPVLSGVTHLITALMLYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGMSSSEVELAAAQQMASDLEDQDVERSTDFRQKGKQQEIELETVVIPKDEMVHKSPIKGFSFEDSRVMEGNWLNEPNADVIMLFFRILAICHTAVPELNEETGNYKYESESPDEAAFLVAAREFGFEFCKRTQSSVFVRERYSASGKTIDREFKLLNMLEFTSKRKRMTVIVRDEDGQILVLCKGADSIIFDRLSKNGRLYEEDTTRHLNEYGEAGLRTLALAYKRLGEFEYSAWNNEFQEAKTTIGADRDLMLENIAEMMEKDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKVETAINIGYACSLLRQGMKQICITEISSDAKEVVKENILLQITNASQMIKLERDPHAAFALIIDGKTLAYALEDDVKQQFLVLAVQCAPVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIKEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMVIMRDCLHFSFSCTLSAWSTSNSVPLRPSGLLFLLQEYSIWPHPFLL >KJB70204 pep chromosome:Graimondii2_0_v6:11:5328156:5333533:-1 gene:B456_011G063600 transcript:KJB70204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGRIRARLRLSHLYTFACTRPEATEEGPHSIEGPGYSRLVHCNQPLMHKKKPFKYRSNYISTTKYNFFTFLPKALYEQFHRVANLYFLAAAIVSVTPLSPFSAVSMIAPLVFVVGLSMAKEALEDWRRFMQDIKVNSRKVKFHKGEGVFDYKSWKKLQVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLDEDASFKNFTGKIKCEDPNPSLYTFIGNFEYDHEVYALDPSQILLRDSKLRNTAFVYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDYIIYVLFSLLLVISLVSSIGFAVKTKFDMPDWWYLQPQNTDDYYDPRKPVLSGVTHLITALMLYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGMSSSEVELAAAQQMASDLEDQDVERSTDFRQKGKQQEIELETVVIPKDEMVHKSPIKGFSFEDSRVMEGNWLNEPNADVIMLFFRILAICHTAVPELNEETGNYKYESESPDEAAFLVAAREFGFEFCKRTQSSVFVRERYSASGKTIDREFKLLNMLEFTSKRKRMTVIVRDEDGQILVLCKGADSIIFDRLSKNGRLYEEDTTRHLNEYGEAGLRTLALAYKRLGEFEYSAWNNEFQEAKTTIGADRDLMLENIAEMMEKDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKVETAINIGYACSLLRQGMKQICITEISSDAKEVVKENILLQITNASQMIKLERDPHAAFALIIDGKTLAYALEDDVKQQFLVLAVQCAPVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIKEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMVCYFFYKNIAFGLTLFYFEAFAGFSGQSIYDDWYMLLFNVLLTSLPVISLGVFEQDVSSEFPAVYQQGPKNLFFDWYKILGWMGNGLYSSLIIFFLNIIIFYDQAFREEGQTASMPALGTTMFTCIIWALNCQIAFTMSHFTWIQHLFIWGSIATWYLFLFVYGRLSPSISGNAFQILVEALAPAPIYWLATLLVTIACNLPYLAHISYQRCFHPLDHHIIQEIKYYKKDVEDQRMWTRERSRARERTMIGFSARVDAKIRQLKGKLQRKQPSSEIHSPARSS >KJB70342 pep chromosome:Graimondii2_0_v6:11:6129509:6134610:1 gene:B456_011G069100 transcript:KJB70342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPSHALRHLTLTRPWLRKISCTTSLAFSSQDPLLTYPDNSNNNDTDHTKKTTNSKSQAFFPKKNQVLELECESLAFKGKGVCKVADSGFVLLCDNALPGERFIGRVSRKKGSYAEVTKLKTLSPHRDLVDAPCAYASYCGGCKTQNLSYEAQLRAKEQQVRELVIHVGKFSDKNPDFECIMKPIVPCDVQFHYRNKMEFSFGTQKWLPKELLHEKLDGIDNYALGLHAPGYFDKILNVDKCLLQSEPANKILATVQDNWKDPELGLSPYNVHSHTGFLKHLVLRTGRDMKTDLPELMVNFVTSSYKPEMLKPLVEKISAIPEVVSIMNNVNTSVGNTSVGEEEYTLYGKSTITESLRGLTFQISANSFFQTNTHQAEVLYKLIEDCAGLRGDASEIVLDLFCGTGTIGLTLAKKAKHVYGYEVVAQAVADAHRNAKLNGISNTTFLQGDLNKIDENFGKHFPKPDIVISDPNRPGMHMKLIKFLLKLKAPKIVYVSCNPATCARDIDYLCHGVVCMVYLSSPLLKI >KJB70343 pep chromosome:Graimondii2_0_v6:11:6129509:6133984:1 gene:B456_011G069100 transcript:KJB70343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPSHALRHLTLTRPWLRKISCTTSLAFSSQDPLLTYPDNSNNNDTDHTKKTTNSKSQAFFPKKNQVLELECESLAFKGKGVCKVADSGFVLLCDNALPGERFIGRVSRKKGSYAEVTKLKTLSPHRDLVDAPCAYASYCGGCKTQNLSYEAQLRAKEQQVRELVIHVGKFSDKNPDFECIMKPIVPCDVQFHYRNKMEFSFGTQKWLPKELLHEKLDGIDNYALGLHAPGYFDKILNVDKCLLQSEPANKILATVQDNWKDPELGLSPYNVHSHTGFLKHLVLRTGRDMKTDLPELMVNFVTSSYKPEMLKPLVEKISAIPEVVSIMNNVNTSVGNTSVGEEEYTLYGKSTITESLRGLTFQISANSFFQTNTHQAEVLYKLIEDCAGLRGDASEIVLDLFCGTGTIGLTLAKKAKHVYGYEVVAQAVADAHRNAKLNGISNTTFLQGDLNKIDENFGKHFPKPDIVISGYPPIKNLVYVALLAILNSV >KJB70339 pep chromosome:Graimondii2_0_v6:11:6129509:6134610:1 gene:B456_011G069100 transcript:KJB70339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPSHALRHLTLTRPWLRKISCTTSLAFSSQDPLLTYPDNSNNNDTDHTKKTTNSKSQAFFPKKNQVLELECESLAFKGKGVCKVADSGFVLLCDNALPGERFIGRVSRKKGSYAEVTKLKTLSPHRDLVDAPCAYASYCGGCKTQNLSYEAQLRAKEQQVRELVIHVGKFSDKNPDFECIMKPIVPCDVQFHYRNKMEFSFGTQKWLPKELLHEKLDGIDNYALGLHAPGYFDKILNVDKCLLQSEPANKILATVQDNWKDPELGLSPYNVHSHTGFLKHLVLRTGRDMKTDLPELMVNFVTSSYKPEMLKPLVEKISAIPEVVSIMNNVNTSVGNTSVGEEEYTLYGKSTITESLRGLTFQISANSFFQTNTHQAEVLYKLIEDCAGLRGDASEIVLDLFCGTGTIGLTLAKKAKHVYGYEVVAQAVADAHRNAKLNGISNTTFLQGDLNKIDENFGKHFPKPDIVISGYPPIKNLVYVALLAILNSV >KJB70340 pep chromosome:Graimondii2_0_v6:11:6129509:6133984:1 gene:B456_011G069100 transcript:KJB70340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPSHALRHLTLTRPWLRKISCTTSLAFSSQDPLLTYPDNSNNNDTDHTKKTTNSKSQAFFPKKNQVLELECESLAFKGKGVCKVADSGFVLLCDNALPGERFIGRVSRKKGSYAEVTKLKTLSPHRDLVDAPCAYASYCGGCKTQNLSYEAQLRAKEQQVRELVIHVGKFSDKNPDFECIMKPIVPCDVQFHYRNKMEFSFGTQKWLPKELLHEKLDGIDNYALGLHAPGYFDKILNVDKCLLQSEPANKILATVQDNWKDPELGLSPYNVHSHTGFLKHLVLRTGRDMKTDLPELMVNFVTSSYKPEMLKPLVEKISAIPEVVSIMNNVNTSVGNTSVGEEEYTLYGKSTITESLRGLTFQISANSFFQTNTHQAEVLYKLIEDCAGLRGDASEIVLDLFCGTGTIGLTLAKKAKHVYGYEVVAQAVADAHRNAKLNGISNTTFLQGDLNKIDENFGKHFPKPDIVISGYPPIKNLVYVALLAILNSV >KJB70338 pep chromosome:Graimondii2_0_v6:11:6129368:6134688:1 gene:B456_011G069100 transcript:KJB70338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPSHALRHLTLTRPWLRKISCTTSLAFSSQDPLLTYPDNSNNNDTDHTKKTTNSKSQAFFPKKNQVLELECESLAFKGKGVCKVADSGFVLLCDNALPGERFIGRVSRKKGSYAEVTKLKTLSPHRDLVDAPCAYASYCGGCKTQNLSYEAQLRAKEQQVRELVIHVGKFSDKNPDFECIMKPIVPCDVQFHYRNKMEFSFGTQKWLPKELLHEKLDGIDNYALGLHAPGYFDKILNVDKCLLQSEPANKILATVQDNWKDPELGLSPYNVHSHTGFLKHLVLRTGRDMKTDLPELMVNFVTSSYKPEMLKPLVEKISAIPEVVSIMNNVNTSVGNTSVGEEEYTLYGKSTITESLRGLTFQISANSFFQTNTHQAEVLYKLIEDCAGLRGDASEIVLDLFCGTGTIGLTLAKKAKHVYGYEVVAQAVADAHRNAKLNGISNTTFLQGDLNKIDENFGKHFPKPDIVISDPNRPGMHMKLIKFLLKLKAPKIVYVSCNPATCARDIDYLCHGVMEQNIKGCYKLKSIQPVDMFPHTPHIECVCLLELC >KJB70344 pep chromosome:Graimondii2_0_v6:11:6130098:6134610:1 gene:B456_011G069100 transcript:KJB70344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWCFINYMMFYFVNIQVTKLKTLSPHRDLVDAPCAYASYCGGCKTQNLSYEAQLRAKEQQVRELVIHVGKFSDKNPDFECIMKPIVPCDVQFHYRNKMEFSFGTQKWLPKELLHEKLDGIDNYALGLHAPGYFDKILNVDKCLLQSEPANKILATVQDNWKDPELGLSPYNVHSHTGFLKHLVLRTGRDMKTDLPELMVNFVTSSYKPEMLKPLVEKISAIPEVVSIMNNVNTSVGNTSVGEEEYTLYGKSTITESLRGLTFQISANSFFQTNTHQAEVLYKLIEDCAGLRGDASEIVLDLFCGTGTIGLTLAKKAKHVYGYEVVAQAVADAHRNAKLNGISNTTFLQGDLNKIDENFGKHFPKPDIVISDPNRPGMHMKLIKFLLKLKAPKIVYVSCNPATCARDIDYLCHGVMEQNIKGCYKLKSIQPVDMFPHTPHIECVCLLELC >KJB70341 pep chromosome:Graimondii2_0_v6:11:6129439:6134688:1 gene:B456_011G069100 transcript:KJB70341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPSHALRHLTLTRPWLRKISCTTSLAFSSQDPLLTYPDNSNNNDTDHTKKTTNSKSQAFFPKKNQVLELECESLAFKGKGVCKVADSGFVLLCDNALPGERFIGRVSRKKGSYAEVTKLKTLSPHRDLVDAPCAYASYCGGCKTQNLSYEAQLRAKEQQVRELVIHVGKFSDKNPDFECIMKPIVPCDVQFHYRNKMEFSFGTQKWLPKELLHEKLDGIDNYALGLHAPGYFDKILNVDKCLLQSEPANKILATVQDNWKDPELGLSPYNVHSHTGFLKHLVLRTGRDMKTDLPELMVNFVTSSYKPEMLKPLVEKISAIPEVVSIMNNVNTSVGNTSVGEEEYTLYGKSTITESLRGLTFQISANSFFQTNTHQAEVLYKLIEDCAGLRGDASEIVLDLFCGTGTIGLTLAKKAKHVYGYEVVAQAVADAHRNAKLNGINPNRPGMHMKLIKFLLKLKAPKIVYVSCNPATCARDIDYLCHGVMEQNIKGCYKLKSIQPVDMFPHTPHIECVCLLELC >KJB70456 pep chromosome:Graimondii2_0_v6:11:7075242:7080140:1 gene:B456_011G074200 transcript:KJB70456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLEIENGDFPPKSVELVTLTSTPCYQPKRIKSVEKTSDSVKITVTERSSSHFPPKKNLARQLVFTEFGLSPIISTSSPLPVEKPGSEMHLSPRSPFSSIVVHFNAFSFQRFNLSEISHAQIDRYCDCFASGEYCNGCTCADCCNNVENEDLRKAATEIILERNPRAFKPKISNSPCSPQDIEGDKIDSPQVGRHERGCHCKKSECLKRYCECFQANVFCSQNCKCVDCKNFEACKELITASCKEDSTSIICKNSEGCYGRIASSQMDNASRKLYKSFKGSEGLMAITGGDCIDTKIYIQRVITTTSDATGLSGQRLSQESRKRKLQELPSNEKISPSQSFSDLQKVISQRSSCPSSTLSVGPTCHIINSAMVGSLRDPYRLTVANVYHLLDTSKVCSGLAVLAEAAALAQAANLFAEVKDGEENKNGDILGAKEDDYQERPAQVQKKAADDFNLGFAAKDVQEGRASLPGMVGLICNEKNKQLMEPTSGDQILNRNLKNAYAEQER >KJB70455 pep chromosome:Graimondii2_0_v6:11:7074038:7080140:1 gene:B456_011G074200 transcript:KJB70455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLEIENGDFPPKSVELVTLTSTPCYQPKRIKSVEKTSDSVKITVTERSSSHFPPKKNLARQLVFTEFGLSPIISTSSPLPVEKPGSEMHLSPRSPFSSIVVHFNAFSFQRFNLSEISHAQIDRYCDCFASGEYCNGCTCADCCNNVENEDLRKAATEIILERNPRAFKPKISNSPCSPQDIEGDKIDSPQVGRHERGCHCKKSECLKRYCECFQANVFCSQNCKCVDCKNFEACKELITASCKEDSTSIICKNSEGCYGRIASSQMDNASRKLYKSFKGSEGLMAITGGDCIDTKIYIQRVITTTSDATGLSGQRLSQESRKRKLQELPSNEKISPSQSFSDLQKVISQRSSCPSSTLSVGPTCHIINSAMVGSLRDPYRLTVANVYHLLDTSKVCSGLAVLAEAAALAQAANLFAEVKDGEENKNGDILGAKEDDYQERPAQVQKKAADDFNLGFAAKDVQEGRASLPGMVGLICNEKNKQLMEPTSGDQILNRNLKNAYAEQERSVLSSFLDFLEKLIISKNMKVDDALLFPFHYLLRVYGTNQCFHDQ >KJB71680 pep chromosome:Graimondii2_0_v6:11:21004037:21004432:-1 gene:B456_011G1370002 transcript:KJB71680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVHTPGGNRLLTKCDSNLISVCCSTNHDVVSCNGGNISRTQRKRSACIKVLYNMGIPYFTLKLES >KJB71682 pep chromosome:Graimondii2_0_v6:11:21004235:21005434:-1 gene:B456_011G1370002 transcript:KJB71682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IEDEVISTFLQLISSQASPGLHVIHIAAEMAPIAKGVHTPGGNRLLTKCDSNLISVCCSTNHDVVSCNGGNISRTQRKRSACIKVLYNMGIPYFTLKLES >KJB71681 pep chromosome:Graimondii2_0_v6:11:21004120:21005163:-1 gene:B456_011G1370002 transcript:KJB71681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGLHVIHIAAEMAPIAKGVHTPGGNRLLTKCDSNLISVCCSTNHDVVSCNGGNISRTQRKRSACIKVLYNMGIPYFTLKLES >KJB69780 pep chromosome:Graimondii2_0_v6:11:3114708:3117631:1 gene:B456_011G042000 transcript:KJB69780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDQQFYPQKAVPTLADQVGNNYMHIPVASAFGPVFPPCAKHLPPLHGIEFQPSEVCPKNFVIFNQTDNRNQVLFNPAITNKFHGNELNVFANYNDGKYERKDVYDVGEETSSFLKEDSDDIDALLSSEEEEQVEYDEEEVSTARTFGNYEFDTADSRSAHGSKPKKNKSSSYTLKSSGNSSCCDPEIKRLKMKEMVKVLRGIVPGADEMDTVAVLDGAVRYLKSLKVEVQKLGVGNFKYED >KJB69779 pep chromosome:Graimondii2_0_v6:11:3114708:3122792:1 gene:B456_011G042000 transcript:KJB69779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDQQFYPQKAVPTLADQVGNNYMHIPVASAFGPVFPPCAKHLPPLHGIEFQPSEVCPKNFVIFNQTDNRNQVLFNPAITNKFHGNELNVFANYNDGKYERKDVYDVGEETSSFLKEDSDDIDALLSSEEEEQVEYDEEEVSTARTFGNYEFDTADSRSAHGSKPKKNKSSSYTLKSSGNSSCCDPEIKRLKMKEMVKVLRGIVPGADEMDTVAVLDGAVRYLKSLKVEVQKLGVGNFKYED >KJB69930 pep chromosome:Graimondii2_0_v6:11:3936994:3939064:-1 gene:B456_011G050400 transcript:KJB69930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMMDDQGGNNAVTREYRKGNWTVNETMVLIEAKEMDYERRMKRSGDHSEGRNKPTELRWKWVEDYCWGKGCLRSQNQCNDKWDNLMRDYKKVREYQRKVAEREGNDSKQRSYWEMEKNERKEKSLPSNMLRQIYERLEEVVEKKGAQTVAATAGGGGGSDPNITNLPYVMDRPMVSTFQPSLPPLLQHQLSAPIPAAIPLPLPQASPQLPPPPAPIIQPPPLSYAQPLPTIDSDTSEHSDSPAKRRRRGGGNGEGTSGTANNINEVSTAISRSASIIAEAIQASEEREERRHRDLLSFHERRLRIEESKTEVNKKGMDGLVDAINKLANSIFALASHKNQSSAPK >KJB69925 pep chromosome:Graimondii2_0_v6:11:3936994:3938899:-1 gene:B456_011G050400 transcript:KJB69925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMMDDQGGNNAVTREYRKGNWTVNETMVLIEAKEMDYERRMKRSGDHSEGRNKPTELRWKWVEDYCWGKGCLRSQNQCNDKWDNLMRDYKKVREYQRKVAEREGNDSKQRSYWEMEKNERKEKSLPSNMLRQIYERLEEVVEKKGAQTVAATAGGGGGSDPNITNLPYVMDRPMVSTFQPSLPPLLQHQLSAPIPAAIPLPLPQASPQLPPPPAPIIQPPPLSYAQPLPTIAQILIQVSIQTHQQREGEEVVAMAKAPVGLQTT >KJB69927 pep chromosome:Graimondii2_0_v6:11:3937250:3938511:-1 gene:B456_011G050400 transcript:KJB69927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMMDDQGGNNAVTREYRKGNWTVNETMVLIEAKEMDYERRMKRSGDHSEGRNKPTELRWKWVEDYCWGKGCLRSQNQCNDKWDNLMRDYKKVREYQRKVAEREGNDSKQRSYWEMEKNERKEKSLPSNMLRQIYERLEEVVEKKGAQTVAATAGGGGGSDPNITNLPYVMDRPMVSTFQPSLPPLLQHQLSAPIPAAIPLPLPQASPQLPPPPAPIIQPPPLSYAQPLPTIGSSDSDTSEHSDSPAKRRRRGGGNGEGTSGTANNINEVSTAISRSASIIAEAIQASEEREERRHRDLLSFHERRLRIEESKTEVNKKGMDGLVDAINKLANSIFALASHKNQSSAPK >KJB69926 pep chromosome:Graimondii2_0_v6:11:3937498:3938511:-1 gene:B456_011G050400 transcript:KJB69926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMMDDQGGNNAVTREYRKGNWTVNETMVLIEAKEMDYERRMKRSGDHSEGRNKPTELRWKWVEDYCWGKGCLRSQNQCNDKWDNLMRDYKKVREYQRKVAEREGNDSKQRSYWEMEKNERKEKSLPSNMLRQIYERLEEVVEKKGAQTVAATAGGGGGSDPNITNLPYVMDRPMVSTFQPSLPPLLQHQLSAPIPAAIPLPLPQASPQLPPPPAPIIQPPPLSYAQPLPTIGRCVMIAQILIQVSIQTHQQREGEEVVAMAKAPVGLQTT >KJB69921 pep chromosome:Graimondii2_0_v6:11:3936985:3938962:-1 gene:B456_011G050400 transcript:KJB69921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMMDDQGGNNAVTREYRKGNWTVNETMVLIEAKEMDYERRMKRSGDHSEGRNKPTELRWKWVEDYCWGKGCLRSQNQCNDKWDNLMRDYKKVREYQRKVAEREGNDSKQRSYWEMEKNERKEKSLPSNMLRQIYERLEEVVEKKGAQTVAATAGGGGGSDPNITNLPYVMDRPMVSTFQPSLPPLLQHQLSAPIPAAIPLPLPQASPQLPPPPAPIIQPPPLSYAQPLPTIDSDTSEHSDSPAKRRRRGGGNGEGTSGTANNINEVSTAISRSASIIAEAIQASEEREERRHRDLLSFHERRLRIEESKTEVNKKGMDGLVDAINKLANSIFALASHKNQSSAPK >KJB69922 pep chromosome:Graimondii2_0_v6:11:3937605:3938511:-1 gene:B456_011G050400 transcript:KJB69922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMMDDQGGNNAVTREYRKGNWTVNETMVLIEAKEMDYERRMKRSGDHSEGRNKPTELRWKWVEDYCWGKGCLRSQNQCNDKWDNLMRDYKKVREYQRKVAEREGNDSKQRSYWEMEKNERKEKSLPSNMLRQIYERLEEVVEKKGAQTVAATAGGGGGSDPNITNLPYVMDRPMVSTFQPSLPPLLQHQLSAPIPAAIPLPLPQASPQLPPPPAPIIQPPPLSYAQPLPTIDV >KJB69929 pep chromosome:Graimondii2_0_v6:11:3937605:3938511:-1 gene:B456_011G050400 transcript:KJB69929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMMDDQGGNNAVTREYRKGNWTVNETMVLIEAKEMDYERRMKRSGDHSEGRNKPTELRWKWVEDYCWGKGCLRSQNQCNDKWDNLMRDYKKVREYQRKVAEREGNDSKQRSYWEMEKNERKEKSLPSNMLRQIYERLEEVVEKKGAQTVAATAGGGGGSDPNITNLPYVMDRPMVSTFQPSLPPLLQHQLSAPIPAAIPLPLPQASPQLPPPPAPIIQPPPLSYAQPLPTIDV >KJB69923 pep chromosome:Graimondii2_0_v6:11:3936994:3938899:-1 gene:B456_011G050400 transcript:KJB69923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMMDDQGGNNAVTREYRKGNWTVNETMVLIEAKEMDYERRMKRSGDHSEGRNKPTELRWKWVEDYCWGKGCLRSQNQCNDKWDNLMRDYKKVREYQRKVAEREGNDSKQRSYWEMEKNERKEKSLPSNMLRQIYERLEEVVEKKGAQTVAATAGGGGGSDPNITNLPYVMDRPMVSTFQPSLPPLLQHQLSAPIPAAIPLPLPQASPQLPPPPAPIIQPPPLSYAQPLPTIGRLTSKEKEKRWWQWRRHQWDCKQHK >KJB69928 pep chromosome:Graimondii2_0_v6:11:3936994:3938899:-1 gene:B456_011G050400 transcript:KJB69928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMMDDQGGNNAVTREYRKGNWTVNETMVLIEAKEMDYERRMKRSGDHSEGRNKPTELRWKWVEDYCWGKGCLRSQNQCNDKWDNLMRDYKKVREYQRKVAEREGNDSKQRSYWEMEKNERKEKSLPSNMLRQIYERLEEVVEKKGAQTVAATAGGGGGSDPNITNLPYVMDRPMVSTFQPSLPPLLQHQLSAPIPAAIPLPLPQASPQLPPPPAPIIQPPPLSYAQPLPTIAQILIQVSIQTHQQREGEEVVAMAKAPVGLQTT >KJB69924 pep chromosome:Graimondii2_0_v6:11:3937498:3938511:-1 gene:B456_011G050400 transcript:KJB69924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMMDDQGGNNAVTREYRKGNWTVNETMVLIEAKEMDYERRMKRSGDHSEGRNKPTELRWKWVEDYCWGKGCLRSQNQCNDKWDNLMRDYKKVREYQRKVAEREGNDSKQRSYWEMEKNERKEKSLPSNMLRQIYERLEEVVEKKGAQTVAATAGGGGGSDPNITNLPYVMDRPMVSTFQPSLPPLLQHQLSAPIPAAIPLPLPQASPQLPPPPAPIIQPPPLSYAQPLPTIGRCVMIAQILIQVSIQTHQQREGEEVVAMAKAPVGLQTT >KJB73620 pep chromosome:Graimondii2_0_v6:11:56699819:56709426:1 gene:B456_011G240800 transcript:KJB73620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEPLPWDRKDFYKERKHERTQSLPQQPLTARWRESSSMSPYQHASFREFTRWGSADFRRPPGHGRQGSWHLFAEENGGHGYVPSRSSNKILDDENFRQLDSCVDGKYSRNSRENNRGSSSQRDWRGHSWENCNGSPSTPGRPHLVNNERRSVDDMPTYLSHTHSDFVNTWDQLQKSQHDNKTIAVNGLGTGQKCQSENFVGSIDWKPLKWTRSGSLSSRGSGFSHSSSSKSLGGVDSGEGKLELQQKNLTPVQSPSGDAAACVTSPAPSDETSSRKKPRLAWGEGLAKYEKKKVEGPDTSIDRAGAKISVRNTEFNNFLSSNLADKSPRVLGFSDCASPATPSSVACSSSPGVEEKSFGKAANVDNDTSNLCGSPTLGSQNHLEGPSFNLEKLDINSIINMGSSLTNLLQADDPCTVDSSFVRSTAISKLLLWKSDVLKALEMTESEIDSLESELKLLKGDSRSRCPCPATSSSFPEEHGKACGEQEAASSLIPRPAPLQIDACGDVLVGKQPLCNGVLEEVNDDVKDGDIDSPGTATSKFMEPLSLEKAVSPSDVVKFHECSGDFGTVQLMSMGKVILATGSGNAGTATTISAEGSVLKRIDNDAHVPESSNSDVGDENVMYEMILATNKELAHVASEVFNKLLPKDQYNSEIGNVACTQSDSAIRNKIAIRKQYLRFKERVLTIKFKAFQNAWKEDLRSPSMRKYRAKSQKKYEFSLRSAHGGYQKHRSSIHSRLTSPAGNPILEPRAEMINFTSKLLLGSHGRLYRNALKMPALILDEKEKKVSRFISSNGLVEDPCAIEKERALINPWTSQEKEIFMAKLAAFGKDFRKIASFLDHKTTADCVEFYYKNHKSECFEKTKKNDLSKQQGKSAVNTYLLTSGKKRGRELNAASLDVLGAASVIAAHAESGMRNRHTSGRILLRGRFDSKRSQLDDSIAERSSNFDIVGSDQDTVAADVLAGICGSFSSEAMSSCITSSADPGEGYHHDWKCHKVDSVVKRPSTSDVLQNVDGDTCSDESCGEMESSHWTDEEKSAFLQAVSSYGKDFDMISRYVGTRSRDQCKVFFSKARKCLGLDLIHSRTRNMGTPMSDDANGGETDTEDACVQESSVVCSEKLGSKVEEDLPSTIVSMNVDESDLTREANLQSDHNISEGNIERLADHKDSVAAEVNFSNVDHTEPISECGAGDMDVDSNQAESLHVQNNVALANISALENHVAEEGVSVAVSASHGGTGDCHPSLDASVEPKSGAAVLSTEGFGNNLEAQETLSSKNVMDVRDTRCNAEIDSQVICRPDLDKSSGESIDKNSCLDFSFNSEGLRQVPLDLGSAGKPSILLFPNENFSAKNSASHSDASQCEKICNQDRLSATLAYQGNEDKQPNNAVSGHEPEHLSGKPSVDLAELQISTLKEMDIDIGHSQLPEVKRLSTSGKGVTGLYLVQDYLQKCNGPKSPSEFPQLVQNLEQTNSRPKSHSRSLSDTEKPCRNGNVKLFGQILNSSSQDDGKIRFPEQSMKSSNLNFRGHNNVDGNASFSKFDQNIIFAPENVPRRSYGFWDGNRIQTGLSSLPDSEILVAKYPAAFVNYPASSSQMQLQASRTIVRNTDRNMNGVSVFTPREISSNNGVMDYQVYGGHDCTKVVVPFAMDMKRREMFSEMQRRNGFDAISNLQHQGRGMVGMNVVGTGVGGVVGGSCPNLSDPVAVLRMQYAKTEQYGGQSGSIMRE >KJB73621 pep chromosome:Graimondii2_0_v6:11:56699915:56709426:1 gene:B456_011G240800 transcript:KJB73621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEPLPWDRKDFYKERKHERTQSLPQQPLTARWRESSSMSPYQHASFREFTRWGSADFRRPPGHGRQGSWHLFAEENGGHGYVPSRSSNKILDDENFRQLDSCVDGKYSRNSRENNRGSSSQRDWRGHSWENCNGSPSTPGRPHLVNNERRSVDDMPTYLSHTHSDFVNTWDQLQKSQHDNKTIAVNGLGTGQKCQSENFVGSIDWKPLKWTRSGSLSSRGSGFSHSSSSKSLGGVDSGEGKLELQQKNLTPVQSPSGDAAACVTSPAPSDETSSRKKPRLAWGEGLAKYEKKKVEGPDTSIDRAGAKISVRNTEFNNFLSSNLADKSPRVLGFSDCASPATPSSVACSSSPGVEEKSFGKAANVDNDTSNLCGSPTLGSQNHLEGPSFNLEKLDINSIINMGSSLTNLLQADDPCTVDSSFVRSTAISKLLLWKSDVLKALEMTESEIDSLESELKLLKGDSRSRCPCPATSSSFPEEHGKACGEQEAASSLIPRPAPLQIDACGDVLVGKQPLCNGVLEEVNDDVKDGDIDSPGTATSKFMEPLSLEKAVSPSDVVKFHECSGDFGTVQLMSMGKVILATGSGNAGTATTISAEGSVLKRIDNDAHVPESSNSDVGDENVMYEMILATNKELAHVASEVFNKLLPKDQYNSEIGNVACTQSDSAIRNKIAIRKQYLRFKERVLTIKFKAFQNAWKEDLRSPSMRKYRAKSQKKYEFSLRSAHGGYQKHRSSIHSRLTSPGNPILEPRAEMINFTSKLLLGSHGRLYRNALKMPALILDEKEKKVSRFISSNGLVEDPCAIEKERALINPWTSQEKEIFMAKLAAFGKDFRKIASFLDHKTTADCVEFYYKNHKSECFEKTKKNDLSKQQGKSAVNTYLLTSGKKRGRELNAASLDVLGAASVIAAHAESGMRNRHTSGRILLRGRFDSKRSQLDDSIAERSSNFDIVGSDQDTVAADVLAGICGSFSSEAMSSCITSSADPGEGYHHDWKCHKVDSVVKRPSTSDVLQNVDGDTCSDESCGEMESSHWTDEEKSAFLQAVSSYGKDFDMISRYVGTRSRDQCKVFFSKARKCLGLDLIHSRTRNMGTPMSDDANGGETDTEDACVQESSVVCSEKLGSKVEEDLPSTIVSMNVDESDLTREANLQSDHNISEGNIERLADHKDSVAAEVNFSNVDHTEPISECGAGDMDVDSNQAESLHVQNNVALANISALENHVAEEGVSVAVSASHGGTGDCHPSLDASVEPKSGAAVLSTEGFGNNLEAQETLSSKNVMDVRDTRCNAEIDSQVICRPDLDKSSGESIDKNSCLDFSFNSEGLRQVPLDLGSAGKPSILLFPNENFSAKNSASHSDASQCEKICNQDRLSATLAYQGNEDKQPNNAVSGHEPEHLSGKPSVDLAELQISTLKEMDIDIGHSQLPEVKRLSTSGKGVTGLYLVQDYLQKCNGPKSPSEFPQLVQNLEQTNSRPKSHSRSLSDTEKPCRNGNVKLFGQILNSSSQDDGKIRFPEQSMKSSNLNFRGHNNVDGNASFSKFDQNIIFAPENVPRRSYGFWDGNRIQTGLSSLPDSEILVAKYPAAFVNYPASSSQMQLQASRTIVRNTDRNMNGVSVFTPREISSNNGVMDYQVYGGHDCTKVVVPFAMDMKRREMFSEMQRRNGFDAISNLQHQGRGMVGMNVVGTGVGGVVGGSCPNLSDPVAVLRMQYAKTEQYGGQSGSIMRE >KJB73623 pep chromosome:Graimondii2_0_v6:11:56703099:56709426:1 gene:B456_011G240800 transcript:KJB73623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFRLLSLFLHIGCNDYTFSFITTILLVVAGVEEKSFGKAANVDNDTSNLCGSPTLGSQNHLEGPSFNLEKLDINSIINMGSSLTNLLQADDPCTVDSSFVRSTAISKLLLWKSDVLKALEMTESEIDSLESELKLLKGDSRSRCPCPATSSSFPEEHGKACGEQEAASSLIPRPAPLQIDACGDVLVGKQPLCNGVLEEVNDDVKDGDIDSPGTATSKFMEPLSLEKAVSPSDVVKFHECSGDFGTVQLMSMGKVILATGSGNAGTATTISAEGSVLKRIDNDAHVPESSNSDVGDENVMYEMILATNKELAHVASEVFNKLLPKDQYNSEIGNVACTQSDSAIRNKIAIRKQYLRFKERVLTIKFKAFQNAWKEDLRSPSMRKYRAKSQKKYEFSLRSAHGGYQKHRSSIHSRLTSPAGNPILEPRAEMINFTSKLLLGSHGRLYRNALKMPALILDEKEKKVSRFISSNGLVEDPCAIEKERALINPWTSQEKEIFMAKLAAFGKDFRKIASFLDHKTTADCVEFYYKNHKSECFEKTKKNDLSKQQGKSAVNTYLLTSGKKRGRELNAASLDVLGAASVIAAHAESGMRNRHTSGRILLRGRFDSKRSQLDDSIAERSSNFDIVGSDQDTVAADVLAGICGSFSSEAMSSCITSSADPGEGYHHDWKCHKVDSVVKRPSTSDVLQNVDGDTCSDESCGEMESSHWTDEEKSAFLQAVSSYGKDFDMISRYVGTRSRDQCKVFFSKARKCLGLDLIHSRTRNMGTPMSDDANGGETDTEDACVQESSVVCSEKLGSKVEEDLPSTIVSMNVDESDLTREANLQSDHNISEGNIERLADHKDSVAAEVNFSNVDHTEPISECGAGDMDVDSNQAESLHVQNNVALANISALENHVAEEGVSVAVSASHGGTGDCHPSLDASVEPKSGAAVLSTEGFGNNLEAQETLSSKNVMDVRDTRCNAEIDSQVICRPDLDKSSGESIDKNSCLDFSFNSEGLRQVPLDLGSAGKPSILLFPNENFSAKNSASHSDASQCEKICNQDRLSATLAYQGNEDKQPNNAVSGHEPEHLSGKPSVDLAELQISTLKEMDIDIGHSQLPEVKRLSTSGKGVTGLYLVQDYLQKCNGPKSPSEFPQLVQNLEQTNSRPKSHSRSLSDTEKPCRNGNVKLFGQILNSSSQDDGKIRFPEQSMKSSNLNFRGHNNVDGNASFSKFDQNIIFAPENVPRRSYGFWDGNRIQTGLSSLPDSEILVAKYPAAFVNYPASSSQMQLQASRTIVRNTDRNMNGVSVFTPREISSNNGVMDYQVYGGHDCTKVVVPFAMDMKRREMFSEMQRRNGFDAISNLQHQGRGMVGMNVVGTGVGGVVGGSCPNLSDPVAVLRMQYAKTEQYGGQSGSIMRE >KJB73622 pep chromosome:Graimondii2_0_v6:11:56701125:56709426:1 gene:B456_011G240800 transcript:KJB73622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYLSHTHSDFVNTWDQLQKSQHDNKTIAVNGLGTGQKCQSENFVGSIDWKPLKWTRSGSLSSRGSGFSHSSSSKSLGGVDSGEGKLELQQKNLTPVQSPSGDAAACVTSPAPSDETSSRKKPRLAWGEGLAKYEKKKVEGPDTSIDRAGAKISVRNTEFNNFLSSNLADKSPRVLGFSDCASPATPSSVACSSSPGVEEKSFGKAANVDNDTSNLCGSPTLGSQNHLEGPSFNLEKLDINSIINMGSSLTNLLQADDPCTVDSSFVRSTAISKLLLWKSDVLKALEMTESEIDSLESELKLLKGDSRSRCPCPATSSSFPEEHGKACGEQEAASSLIPRPAPLQIDACGDVLVGKQPLCNGVLEEVNDDVKDGDIDSPGTATSKFMEPLSLEKAVSPSDVVKFHECSGDFGTVQLMSMGKVILATGSGNAGTATTISAEGSVLKRIDNDAHVPESSNSDVGDENVMYEMILATNKELAHVASEVFNKLLPKDQYNSEIGNVACTQSDSAIRNKIAIRKQYLRFKERVLTIKFKAFQNAWKEDLRSPSMRKYRAKSQKKYEFSLRSAHGGYQKHRSSIHSRLTSPAGNPILEPRAEMINFTSKLLLGSHGRLYRNALKMPALILDEKEKKVSRFISSNGLVEDPCAIEKERALINPWTSQEKEIFMAKLAAFGKDFRKIASFLDHKTTADCVEFYYKNHKSECFEKTKKNDLSKQQGKSAVNTYLLTSGKKRGRELNAASLDVLGAASVIAAHAESGMRNRHTSGRILLRGRFDSKRSQLDDSIAERSSNFDIVGSDQDTVAADVLAGICGSFSSEAMSSCITSSADPGEGYHHDWKCHKVDSVVKRPSTSDVLQNVDGDTCSDESCGEMESSHWTDEEKSAFLQAVSSYGKDFDMISRYVGTRSRDQCKVFFSKARKCLGLDLIHSRTRNMGTPMSDDANGGETDTEDACVQESSVVCSEKLGSKVEEDLPSTIVSMNVDESDLTREANLQSDHNISEGNIERLADHKDSVAAEVNFSNVDHTEPISECGAGDMDVDSNQAESLHVQNNVALANISALENHVAEEGVSVAVSASHGGTGDCHPSLDASVEPKSGAAVLSTEGFGNNLEAQETLSSKNVMDVRDTRCNAEIDSQVICRPDLDKSSGESIDKNSCLDFSFNSEGLRQVPLDLGSAGKPSILLFPNENFSAKNSASHSDASQCEKICNQDRLSATLAYQGNEDKQPNNAVSGHEPEHLSGKPSVDLAELQISTLKEMDIDIGHSQLPEVKRLSTSGKGVTGLYLVQDYLQKCNGPKSPSEFPQLVQNLEQTNSRPKSHSRSLSDTEKPCRNGNVKLFGQILNSSSQDDGKIRFPEQSMKSSNLNFRGHNNVDGNASFSKFDQNIIFAPENVPRRSYGFWDGNRIQTGLSSLPDSEILVAKYPAAFVNYPASSSQMQLQASRTIVRNTDRNMNGVSVFTPREISSNNGVMDYQVYGGHDCTKVVVPFAMDMKRREMFSEMQRRNGFDAISNLQHQGRGMVGMNVVGTGVGGVVGGSCPNLSDPVAVLRMQYAKTEQYGGQSGSIMRE >KJB69495 pep chromosome:Graimondii2_0_v6:11:1975937:1981265:1 gene:B456_011G026800 transcript:KJB69495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) UniProtKB/Swiss-Prot;Acc:Q94AP0] MDLLHWKLFPLLALLASFLFFFYIQDSSKSSQSGCSLFPHSHYWIASKRIVTPQGIISGAVEIKGGSIVSIVKNKDWSGKFKQVVDYGNAVVMPGLIDVHAHLDDPGRAEWEGFPSGTKAAAAGGITTLIDMPLNNFPSTVSTETLKLKIEAAEKSIYVDVGFWGGLVPENAFNATALEALLDAGVHGLKSFMCPSGINDFPMTDARHIKAGLSVLAKYKRPLLVHSEIQSVVESHLETEDGGGDPRSYSTYLKTRPPSWEEAAVRELLTVTKDTRSGGPAEGAHLHVVHLSDASSSLDLIKEAKRRGDSITVETCPHYLAFSAEEIPDGDTRFKCAPPIRDAANKEKLWNALMEGDIDMLSSDHSPTVPELKLLNDGNFLKAWGGISSIQFVLPVTWSYGQKYGITLEQLVSWWSERPAKLAGQHSKGAIAIGNHADVVVWEPEVEFDLNEDHPMFVKNPSISAYIGKRLSGKVLATFVRGNLVYKERNHAFAACGSTILAT >KJB69497 pep chromosome:Graimondii2_0_v6:11:1975960:1981208:1 gene:B456_011G026800 transcript:KJB69497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) UniProtKB/Swiss-Prot;Acc:Q94AP0] MDLLHWKLFPLLALLASFLFFFYIQDSSKSSQSGCSLFPHSHYWIASKRIVTPQGIISGAVEIKGGSIVSIVKNKDWSGKFKQVVDYGNAVVMPGLIDVHAHLDDPGRAEWEGFPSGTKAAAAGGITTLIDMPLNNFPSTVSTETLKLKIEAAEKSIYVDVGFWGGLVPENAFNATALEALLDAGVHGLKSFMCPSGINDFPMTDARHIKAGLSVLAKYKRPLLVHSEIQSVVESHLETEDGGGDPRSYSTYLKTRPPSWEEAAVRELLTVTKDTRSGGPAEGAHLHVVHLSDASSSLDLIKEAKRRGDSITVETCPHYLAFSAEEIPDGDTRFKCAPPIRDAANKEKLWNALMEGDIDMLSSDHSPTVPELKLLNDGNFLKAWGGISSIQVGNFPFKEK >KJB69496 pep chromosome:Graimondii2_0_v6:11:1975960:1980506:1 gene:B456_011G026800 transcript:KJB69496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) UniProtKB/Swiss-Prot;Acc:Q94AP0] MDLLHWKLFPLLALLASFLFFFYIQDSSKSSQSGCSLFPHSHYWIASKRIVTPQGIISGAVEIKGGSIVSIVKNKDWSGKFKQVVDYGNAVVMPGLIDVHAHLDDPGRAEWEGFPSGTKAAAAGGITTLIDMPLNNFPSTVSTETLKLKIEAAEKSIYVDVGFWGGLVPENAFNATALEALLDAGVHGLKSFMCPSGINDFPMTDARHIKAGLSVLAKYKRPLLVHSEIQSVVESHLETEDGGGDPRSYSTYLKTRPPSWEEAAVRELLTVTKDTRSGGPAEGAHLHVVHLSDASSSLDLIKEAKRRGDSITVETCPHYLAFSAEEIPDGDTRFKCAPPIRDAANKEKLWNALMEGDIDMLSSDHSPTVPELKLLNDGNFLKAWGGISSIQFVLPVTWSYGQKYGITLEQLVSWWSERPAKLAGQHSKVKFLKLSFYICISINPPSPFSGALRMNNLEMTTTLFREP >KJB69047 pep chromosome:Graimondii2_0_v6:11:3928528:3931970:1 gene:B456_011G050300 transcript:KJB69047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVPKQTVMAIKSYQNQAQLLVKNYLFADPFIPYTSILGGILACKVAYDLTQLISNFYSKTYPGLTKIQRVEWNNRSPLSTFGLGVSVGYFVSDLAMILWLYPSLGGIEYVIHHSLSGIAVAYSMFTGEAQLYTYMVLISEVTTPEINIRWYLDTAGMKRSTAYLINGIVIFLAWLVARVLLFGYMFYHVYLHYTQVIKMHIFGYILVFGVPAVLATMNLMWFGKIIKGVLKTLAKRQ >KJB69048 pep chromosome:Graimondii2_0_v6:11:3929394:3930889:1 gene:B456_011G050300 transcript:KJB69048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVPKQTVMAIKSYQNQAQLLVKNYLFADPFIPYTSILGGILACKVAYDLTQLISNFYSKTYPGLTKIQRVEWNNRGISTVHAIFISALSLYLVFWSNLFSDELAGLLVFRSSPLSTFGLGVSVGYFVSDLAMILWLYPSLGGIEYVIHHSLSGIAVAYSMFTGEAQLYTYMVLISEVTTPEINIRWYLDTAGMKRSTAYLINGIVIFLAWLVS >KJB69040 pep chromosome:Graimondii2_0_v6:11:3928346:3931970:1 gene:B456_011G050300 transcript:KJB69040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVPKQTVMAIKSYQNQAQLLVKNYLFADPFIPYTSILGGILACKVAYDLTQLISNFYSKTYPGLTKIQRVEWNNRGISTVHAIFISALSLYLVFWSNLFSDELAGLLVFRSSPLSTFGLGVSVGYFVSDLAMILWLYPSLGGIEYVIHHSLSGIAVAYSMFTGEAQLYTYMVLISEVTTPEINIRWYLDTAGMKRSTAYLINGIVIFLAWLVARVLLFGYMFYHVYLHYTQVIKMHIFGYILVFGVPAVLATMNLMWFGKIIKGVLKTLAKRQ >KJB69041 pep chromosome:Graimondii2_0_v6:11:3928429:3931970:1 gene:B456_011G050300 transcript:KJB69041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVPKQTVMAIKSYQNQAQLLVKNYLFADPFIPYTSILGGILACKVAYDLTQLISNFYSKTYPGLTKIQRVEWNNRGISTVHAIFISALSLYLVFWSNLFSDELAGLLVFRSSPLSTFGLGVSVGYFVSDLAMILWLYPSLGGIEYVIHHSLSGIAVAYSMFTGEAQLYTYMVLISEVTTPEINIRWYLDTAGMKRSTAYLINGIVIFLAWLVARVLLFGYMFYHVYLHYTQVIKMHIFGYILVFGVPAVLATMNLMWFGKIIKGVLKTLAKRQ >KJB69046 pep chromosome:Graimondii2_0_v6:11:3928528:3931970:1 gene:B456_011G050300 transcript:KJB69046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVPKQTVMAIKSYQNQAQLLVKNYLFADPFIPYTSILGGILACKVAYDLTQLISNFYSKTYPGLTKIQRVEWNNRGISTVHAIFISALSLYLVFWSNLFSDELAGLLVFRSSPLSTFGLGVSVGYFVSDLAMILWLYPSLGGIEYVIHHSLSGIAVAYSMFTGEAQLYTYMVLISEVTTPEINIRWYLDTAGMKRSTAYLINGIVIFLAWLVARVLLFGYMFYHVYLHYTQVISPP >KJB69049 pep chromosome:Graimondii2_0_v6:11:3928118:3931970:1 gene:B456_011G050300 transcript:KJB69049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVPKQTVMAIKSYQNQAQLLVKNYLFADPFIPYTSILGGILACKVAYDLTQLISNFYSKTYPGLTKIQRVEWNNRGISTVHAIFISALSLYLVFWSNLFSDELAGLLVFRSSPLSTFGLGVSVGYFVSDLAMILWLYPSLGGIEYVIHHSLSGIAVAYSMFTGEAQLYTYMVLISEVTTPEINIRWYLDTAGMKRSTAYLINGIVIFLAWLVARVLLFGYMFYHVYLHYTQVIKMHIFGYILVFGVPAVLATMNLMWFGKIIKGVLKTLAKRQ >KJB69044 pep chromosome:Graimondii2_0_v6:11:3929676:3931522:1 gene:B456_011G050300 transcript:KJB69044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFITQAYDLTQLISNFYSKTYPGLTKIQRVEWNNRGISTVHAIFISALSLYLVFWSNLFSDELAGLLVFRSSPLSTFGLGVSVGYFVSDLAMILWLYPSLGGIEYVIHHSLSGIAVAYSMFTGEAQLYTYMVLISEVTTPEINIRWYLDTAGMKRSTAYLINGIVIFLAWLVARVLLFGYMFYHVYLHYTQVIKMHIFGYILVFGVPAVLATMNLMWFGKIIKGVLKTLAKRQ >KJB69039 pep chromosome:Graimondii2_0_v6:11:3928119:3931970:1 gene:B456_011G050300 transcript:KJB69039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVPKQTVMAIKSYQNQAQLLVKNYLFADPFIPYTSILGGILACKVAYDLTQLISNFYSKTYPGLTKIQRVEWNNRGISTVHAIFISALSLYLVFWSNLFSDELAGLLVFRSSPLSTFGLGVSVGYFVSDLAMILWLYPSLGGIEYVIHHSLSGIAVAYSMFTGEAQLYTYMVLISEVTTPEINIRWYLDTAGMKRSTAYLINGIVIFLAWLVARVLLFGYMFYHVYLHYTQVIKMHIFGYILVFGVPAVLATMNLMWFGKIIKGVLKTLAKRQ >KJB69045 pep chromosome:Graimondii2_0_v6:11:3928528:3931970:1 gene:B456_011G050300 transcript:KJB69045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVPKQTVMAIKSYQNQAQLLVKNYLFADPFIPYTSILGGILACKVAYDLTQLISNFYSKTYPGLTKIQRVEWNNRGISTVHAIFISALSLYLVFWSNLFSDELAGLLVFRSSPLSTFGLGVSVGYFVSDLAMILWLYPSLGGIEYVIHHSLSGIAVAYSMFTGEAQLYTYMVLISEVTTPEINIRWYLDTAGMKRSTAYLINGIVIFLAWLVS >KJB69042 pep chromosome:Graimondii2_0_v6:11:3929394:3930732:1 gene:B456_011G050300 transcript:KJB69042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVPKQTVMAIKSYQNQAQLLVKNYLFADPFIPYTSILGGILACKVAYDLTQLISNFYSKTYPGLTKIQRVEWNNRGISTVHAIFISALSLYLVFWSNLFSDELAGLLVFRSSPLSTFGLGVSVGYFVSDLAMILWLYPSLGGIEYVIHHSLSGIAVAYSMFTGEAQLYTYMVLISEVTTPEINIRW >KJB69043 pep chromosome:Graimondii2_0_v6:11:3928528:3931970:1 gene:B456_011G050300 transcript:KJB69043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVPKQTVMAIKSYQNQAQLLVKNYLFADPFIPYTSILGGILACKVAYDLTQLISNFYSKTYPGLTKIQRVEWNNRLVSVGYFVSDLAMILWLYPSLGGIEYVIHHSLSGIAVAYSMFTGEAQLYTYMVLISEVTTPEINIRWYLDTAGMKRSTAYLINGIVIFLAWLVARVLLFGYMFYHVYLHYTQVIKMHIFGYILVFGVPAVLATMNLMWFGKIIKGVLKTLAKRQ >KJB72330 pep chromosome:Graimondii2_0_v6:11:38799828:38800469:1 gene:B456_011G172800 transcript:KJB72330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPNKIKEKDEKAKEEVRYRGVRRRPWGKYASEIRDPTRQGARLWLGTFDTAEEAARAYDRAAFNLRGHMAILNFPCEYYAQLMRGSSSSPSPSPYLYPYPSSGNVHKSFDKGSSSSVGQEKQVFEFEYLDDKVLEELLETEDEKIKKKMMRD >KJB74274 pep chromosome:Graimondii2_0_v6:11:61697639:61698735:-1 gene:B456_011G2845001 transcript:KJB74274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKSITFFVVFLSCLLVSKAEKVEVVVEGATPNAQTDEDFICVTLDWWPTNKCDYDQCPWGQAGLFNSDLKNKILEKAVKAFHPLRIRVGGSLQDQNCQPFQKQDKGFLFGFSIGCLDMKRWDELNEFFNQTRAKVTFGLNALIGRKESKTEKTLWVGDWYSHNARDLMSYTISKGYKIDSYELGNELCGVGVSARIEAKQYAKDMATLKNLVKEMYPNPKTQPKILGPG >KJB69896 pep chromosome:Graimondii2_0_v6:11:3798789:3802477:-1 gene:B456_011G048700 transcript:KJB69896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQCLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKR >KJB69898 pep chromosome:Graimondii2_0_v6:11:3798789:3802540:-1 gene:B456_011G048700 transcript:KJB69898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQCLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGTDRKFRVLTPAEIDDYLAEVE >KJB69897 pep chromosome:Graimondii2_0_v6:11:3798789:3802477:-1 gene:B456_011G048700 transcript:KJB69897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQCLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGTDRKFRQAPALTLSPFSLKLYGGVMLMRKMTFWL >KJB69353 pep chromosome:Graimondii2_0_v6:11:1301938:1303494:-1 gene:B456_011G018800 transcript:KJB69353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNACILICLLIIALDITAGILGIEAEKAENKAKHLGAGLVECRNTSSQAYKLGFAALLLLSLAHVIGTLLGGFVCLWRKGNPNKASVIKYLAVAFLIISWTILVVGLIMLIIGTLSNSKSGYSCGISHHRMFTIGGILCFIHGVFTIAYYLSATAVGREGPRTTTS >KJB71662 pep chromosome:Graimondii2_0_v6:11:20738807:20742014:-1 gene:B456_011G136100 transcript:KJB71662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCKTIAFIYLSESSSQFCHKVPIFLFHSVPFLSLGALNFWSVNVHQRNFQNLLKGTEARNLNRNQIRYYAPALGFLMFAVGVNSSEKDFIEAFKRPDAIFTGYVGQFVVKPLLGYIFGIIVVTVFGLPTPLGAGIMLVSCVSGAQLSNYATFFTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVVGMVSSILQIVIAPITAGLLLNRLFPRLCEAMRPFLPPLFVLDTTCCVGAPLAINIISVLSPFGLTVSLLIVAFHLSAFIAGYFLSGSLFHKAPNVKALQRTLSFETGMQSSLLALALANRFFQDPLVSVPPAISTVIMSLMGFALVMIWAKKKE >KJB71663 pep chromosome:Graimondii2_0_v6:11:20739225:20741860:-1 gene:B456_011G136100 transcript:KJB71663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHPRFNHVFLQNNSFHIPKRVQFSVLPQSSYIPVPLSSFSQFRGSKFLECKCASEKFSESFERDRGQEFEPEPNQIVKQKKASIVDILKQSNSILPHVVLASTIMALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPDAIFTGYVGQFVVKPLLGYIFGIIVVTVFGLPTPLGAGIMLVSCVSGAQLSNYATFFTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVVGMVSSILQIVIAPITAGLLLNRLFPRLCEAMRPFLPPLFVLDTTCCVGAPLAINIISVLSPFGLTVSLLIVAFHLSAFIAGYFLSGSLFHKAPNVKALQRTLSFETGMQSSLLALALANRFFQDPLVSVPPAISTVIMSLMGFALVMIWAKKKE >KJB72073 pep chromosome:Graimondii2_0_v6:11:27454874:27458661:-1 gene:B456_011G156800 transcript:KJB72073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSYKFGLLIQDSLRLKTQSNSLSFQCIHKSANKTPHNLRKQNPHYTIYIYIKAYQKKKEKNKAEKKRKEKKRRRPSPLPLFFPYSSLFISLSLSLSLSRFTILINSFPMALPALKLPLFFLSLLLTTFSVAEGASWCVARSDASNQALQTALDYACASGADCTPLQSDGLCFLPNTIQAHASYAFNSYYQRRAIAPGSCDFAGTATVAKTDPSYGSCMYPSSLSTAGGLPTPTTPTTVTNNPTAPTTTTTAPLGGADGSNGLNNPGLTPPFPTTDESRASFDCMVNTSSMSLMLLVVLSFILHPVWIF >KJB69382 pep chromosome:Graimondii2_0_v6:11:1437437:1441532:-1 gene:B456_011G020500 transcript:KJB69382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFFFVVVQYLCFSQVMETNKKNPVSGFLVALIYVLYFAQFCCAVDTIRQGESVADGDSLVSENETFELGFFSPENSTFRYVGIWYKVDVKTVVWVANRDNPIPNKNGVLRIGVDGNLVVLNGNTSLVWSSNLTGLSNNTAAILRDTGNLVLSNTDTSKVHWQSFEHPTDTFLPGMRAPVSSLIGEFHIFRSWKSSNDPSLGNFTMGVDPNGGPQIIIWDRNMGRRWRSGQWNSVIFTGIPNMSDIASFLYGFKLSQADENRTQYFTYDPSNPSDLLRFRLTWDGREQQSRWDDDRKMWTIMQTQPDPVNICDLYNHCGNHATCDNFEAVKCSCLDGFRPKSPDQWSKGNWSGGCERRVELQCQRTNGSAGEDGFKGLKHVKLPDSSNLLLSAGNIDACETSCLGNCSCTAYAFISGIGCMTWEGDLIDLQHLDEAANLQFFYRVHRSELDGSRKISNIVIIIISVLGACFLVVTIWLLWRYKKKLRGLPVVSSMPCCKDDDVEVSNKSKNKEFSADLSGGPVDILIDGSQVNEPELTVISFSSVAAATKNFSEANRLGHGGFGTVYKGELPGGQEIAVKRLSGQSGQGLEEFKNEIILIAKLQHRNLVRLLGCSIEGDEKMLIYEYMPNKSLDYILFDETKQAQLDWRTRFSIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDVEMNPKISDFGMARIFGGNQNEANTIRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSFRSSDYTSLIAYAWSLWNEDKAMEMVDPSIRDSCSTTQVLKCIHIGMLCVQDSAMHRPTMAAVVLMLETETPTLPMPKQPTYTSMRSLMDAEYSMDIHETASSKDVTVTMVDGR >KJB69383 pep chromosome:Graimondii2_0_v6:11:1437449:1441532:-1 gene:B456_011G020500 transcript:KJB69383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFFFVVVQYLCFSQVMETNKKNPVSGFLVALIYVLYFAQFCCAVDTIRQGESVADGDSLVSENETFELGFFSPENSTFRYVGIWYKVDVKTVVWVANRDNPIPNKNGVLRIGVDGNLVVLNGNTSLVWSSNLTGLSNNTAAILRDTGNLVLSNTDTSKVHWQSFEHPTDTFLPGMRAPVSSLIGEFHIFRSWKSSNDPSLGNFTMGVDPNGGPQIIIWDRNMGRRWRSGQWNSVIFTGIPNMSDIASFLYGFKLSQADENRTQYFTYDPSNPSDLLRFRLTWDGREQQSRWDDDRKMWTIMQTQPDPVNICDLYNHCGNHATCDNFEAVKCSCLDGFRPKSPDQWSKGNWSGGCERRVELQCQRTNGSAGEDGFKGLKHVKLPDSSNLLLSAGNIDACETSCLGNCSCTAYAFISGIGCMTWEGDLIDLQHLDEAANLQFFYRVHRSELDGSRKISNIVIIIISVLGACFLVVTIWLLWRYKKKLRDSFRLGLPVVSSMPCCKDDDVEVSNKSKNKEFSADLSGGPVDILIDGSQVNEPELTVISFSSVAAATKNFSEANRLGHGGFGTVYKGELPGGQEIAVKRLSGQSGQGLEEFKNEIILIAKLQHRNLVRLLGCSIEGDEKMLIYEYMPNKSLDYILFDETKQAQLDWRTRFSIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDVEMNPKISDFGMARIFGGNQNEANTIRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSFRSSDYTSLIAYAWSLWNEDKAMEMVDPSIRDSCSTTQVLKCIHIGMLCVQDSAMHRPTMAAVVLMLETETPTLPMPKQPTYTSMRSLMDAEYSMDIHETASSKDVTVTMVDGR >KJB71537 pep chromosome:Graimondii2_0_v6:11:18294281:18297837:1 gene:B456_011G127800 transcript:KJB71537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSTRRSSIGIGLGAEERFNSKPTGSFSTRFSLSSFVKRVEKLTDDQRTAIKKVGFGNLLLIPNQMLSKSLLVELMDRWNSEECGFMLLPGIVKITLMDVALILGIRVIGVPILLREDDAFSELETDYGAALWKRKITIASLESRLDLLGQVVNEDFVRTFILFTFGTILFPNANGKVDSRYLSFLKSLDDISRFAWGAAVLEDIFMWLNKRKESNVQYVGGCLILLQVWCYEHIDLARPELMECQSMFPRACRWESSKSHQRQWFAAKFREMQDCQITWHLQPTSEELQFDVINELLEVESSSIDNSSDDGSTIGVTGLEVESLEHNSCKVQGGKVINLKRSTVPQSIPEVQTMGFPSTSDASVAHEDCMEIPSECQNLQQLTSSQVVGLLQLESDAINTSKVQVQKEQEQSTASQHVREVETETMDFQSTTRASELHDEFVELSSRYQNSEKLTNFNVVGLHIESVESSTSKVEVLNGQEVNLNQSTWQHLTEVQREATAFPSMPCVSKLHMECGELSSQSHKADKFMSGKEDELMKRNQILEVENKELRKEVEALKLEIKQLKMHICCTNDLVTRLEGLVMDDIY >KJB71539 pep chromosome:Graimondii2_0_v6:11:18294395:18297431:1 gene:B456_011G127800 transcript:KJB71539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSTRRSSIGIGLGAEERFNSKPTGSFSTRFSLSSFVKRVEKLTDDQRTAIKKVGFGNLLLIPNQMLSKSLLVELMDRWNSEECGFMLLPGIVKITLMDVALILGIRVIGVPILLREDDAFSELETDYGAALWKRKITIASLESRLDLLGQVVNEDFVRTFILFTFGTILFPNANGKVDSRYLSFLKSLDDISRFAWGAAVLEDIFMWLNKRKESNVQYVGGCLILLQVWCYEHIDLARPELMECQSMFPRACRWESSKSHQRQWFAAKFREMQDCQITWHLQPTSEELQFDVINELLEVESSSIDNSSDDGSTIGVTGLEVESLEHNSCKVQGGKVINLKRSTVPQSIPEVQTMGFPSTSDASVAHEDCMEIPSECQNLQQLTSSQNPMRLIPVKYKYKRNKSSQQHLNMYGKWKQRPWTSNQQHVLPSYMMSLWNYHRGTRTQRN >KJB71538 pep chromosome:Graimondii2_0_v6:11:18294513:18296615:1 gene:B456_011G127800 transcript:KJB71538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSTRRSSIGIGLGAEERFNSKPTGSFSTRFSLSSFVKRVEKLTDDQRTAIKKVGFGNLLLIPNQMLSKSLLVELMDRWNSEECGFMLLPGIVKITLMDVALILGIRVIGVPILLREDDAFSELETDYGAALWKRKITIASLESRLDLLGQVVNEDFVRTFILFTFGTILFPNANGKVDSRYLSFLKSLDDISRFAWGAAVLEDIFMWLNKRKESNVQYVGGCLILLQVWCYEHIDLARPELMECQSMFPRACRWESSKSHQRQWFAAKFREMQDCQITWHLQPTSEELQFDVINELLEVESSSIDNSSDDGSTIGVTGLEVESLEHNSCKVQGGKVINLKRSTVPQSIPEVQTMGFPSTSDASVAHEDCMEIPSECQNLQQLTSSQFFTVKGCWSSSTRIRCD >KJB70321 pep chromosome:Graimondii2_0_v6:11:6033656:6039904:1 gene:B456_011G067800 transcript:KJB70321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPDEPNIKGIDASVGGLVWVRRRNGSWWPGRIMGLDELSEGYLVSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYNECIEKAKASAANSSKKAVKYARREDAILHALEIESARLGKDHPDYFSRKDRSGGDQGCSAKESPNMSRSGKENDDEMSGSEDGSNSAPELSQSGISFEETNLINGTKGRSMLVKRRKTPNDSEDDGAEGIKRMKGLEDLGMDVGSKRKAQATGVLESVQQENASFCGPNTNNCLSNGGPINGSRNHSSSLRRKRSQVANVHEFLKRKNRRRPLTKVLESTVMVSVPVSCDELPSSSSSPLRGLSDSKVSGMDSNESRKSISAVINNSSNNNNNNSDSTGISCENGVSLNVSEHAADADASQTNNKTKDKEIFSAQELAENESSDRLFDVPFFGEDKPSADFSPIFVSCSSETPEVGNLGREAEMKGHNESGYTRSVDVQATCITQRIEKGTAEWQLKGKRKSRQISEKQRHNPGKHADMVDEPNTFLASTEHLDGFSLGSNQKVDFNGVDGSGDPYTCTSQSKSKSVVEDQLNGFGDWKSMSRQPHGRGPIVEAKVLPDSSGNPQRSLPYRQSRYTVNPRYQTTDFPGKTYSADSSLYDIKIDVKANYRPQHVPLVSLMSKLNGKAIIGHPLTVEVLNDDYYDNPSQEAAMECTEVDHSLKQNSGGRVPRKHIKLQSQFPPRKSAKVKKSGLLSKKIRKLSSLTGQKLCVGFRKPVAEKPKGPVIACIPLKLVFSRINEALNGSARPTHRSLTSSNS >KJB70320 pep chromosome:Graimondii2_0_v6:11:6033651:6039948:1 gene:B456_011G067800 transcript:KJB70320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPDEPNIKGIDASVGGLVWVRRRNGSWWPGRIMGLDELSEGYLVSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYNECIEKAKASAANSSKKAVKYARREDAILHALEIESARLGKDHPDYFSRKDRSGGDQGCSAKESPNMSRSGKENDDEMSGSEDGSNSAPELSQSGISFEETNLINGTKGRSMLVKRRKTPNDSEDDGAEGIKRMKGLEDLGMDVGSKRKAQATGVLESVQQENASFCGPNTNNCLSNGGPINGSRNHSSSLRRKRSQVANVHEFLKRKNRRRPLTKVLESTVMVSVPVSCDELPSSSSSPLRGLSDSKVSGMDSNESRKSISAVINNSSNNNNNNSDSTGISCENGVSLNVSEHAADADASQTNNKTKDKEIFSAQELAENESSDRLFDVPFFGEDKPSADFSPIFVSCSSETPEVGNLGREAEMKGHNESGYTRSVDVQATCITQRIEKGTAEWQLKGKRKSRQISEKQRHNPGKHADMVDEPNTFLASTEHLDGFSLGSNQKVDFNGVDGSGDPYTCTSQSKSKSVVEDQLNGFGDWKSMSRQPHGRGPIVEAKVLPDSSGNPQRSLPYRQSRYTVNPRYQTTDFPGKTYSADSSLYDIKIDVKANYRPQHVPLVSLMSKLNGKAIIGHPLTVEVLNDDYYDNPSQEAAMECTEVDHSLKQNSGGRVPRKHIKLQSQFPPRKSAKVKKSGLLSKKIRKLSSLTGQKLCVGFRKPVAEKPKGPVIACIPLKLVFSRINEALNGSARPTHRSLTSSNS >KJB70319 pep chromosome:Graimondii2_0_v6:11:6033590:6039948:1 gene:B456_011G067800 transcript:KJB70319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGKENDDEMSGSEDGSNSAPELSQSGISFEETNLINGTKGRSMLVKRRKTPNDSEDDGAEGIKRMKGLEDLGMDVGSKRKAQATGVLESVQQENASFCGPNTNNCLSNGGPINGSRNHSSSLRRKRSQVANVHEFLKRKNRRRPLTKVLESTVMVSVPVSCDELPSSSSSPLRGLSDSKVSGMDSNESRKSISAVINNSSNNNNNNSDSTGISCENGVSLNVSEHAADADASQTNNKTKDKEIFSAQELAENESSDRLFDVPFFGEDKPSADFSPIFVSCSSETPEVGNLGREAEMKGHNESGYTRSVDVQATCITQRIEKGTAEWQLKGKRKSRQISEKQRHNPGKHADMVDEPNTFLASTEHLDGFSLGSNQKVDFNGVDGSGDPYTCTSQSKSKSVVEDQLNGFGDWKSMSRQPHGRGPIVEAKVLPDSSGNPQRSLPYRQSRYTVNPRYQTTDFPGKTYSADSSLYDIKIDVKANYRPQHVPLVSLMSKLNGKAIIGHPLTVEVLNDDYYDNPSQEAAMECTEVDHSLKQNSGGRVPRKHIKLQSQFPPRKSAKVKKSGLLSKKIRKLSSLTGQKLCVGFRKPVAEKPKGPVIACIPLKLVFSRINEALNGSARPTHRSLTSSNS >KJB70323 pep chromosome:Graimondii2_0_v6:11:6033902:6039881:1 gene:B456_011G067800 transcript:KJB70323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPDEPNIKGIDASVGGLVWVRRRNGSWWPGRIMGLDELSEGYLVSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYNECIEKAKASAANSSKKAVKYARREDAILHALEIESARLGKDHPDYFSRKDRSGGDQGCSAKESPNMSRSGKENDDEMSGSEDGSNSAPELSQSGISFEETNLINGTKGRSMLVKRRKTPNDSEDDGAEGIKRMKGLEDLGMDVGSKRKAQATGVLESVQQENASFCGPNTNNCLSNGGPINGSRNHSSSLRRKRSQVANVHEFLKRKNRRRPLTKVLESTVMVSVPVSCDELPSSSSSPLRGLSDSKVSGMDSNESRKSISAVINNSSNNNNNNSDSTGISCENGVSLNVSEHAADADASQTNNKTKDKEIFSAQELAENESSDRLFDVPFFGEDKPSADFSPIFVSCSSETPEVGNLGREAEMKGHNESGYTRSVDVQATCITQRIEKGTAEWQLKGKRKSRQISEKQRHNPGKHADMVDEPNTFLASTEHLDGFSLGSNQKVDFNGVDGSGDPYTCTSQSKSKSVVEDQLNGFGDWKSMSRQPHGRGPIVEAKVLPDSSGNPQRSLPYRQSRYTVNPRYQTTDFPGKTYSADSSLYDIKIDVKANYRPQHVPLVSLMSKLNGKAIIGHPLTVEVLNDDYYDNPSQEAAMECTEVDHSLKQNSGGRVPRKHIKLQSQFPPRKSAKVKKSGLLSKKIRKLSSLTGQKLCVGFRKPVAEKPKGPVIACIPLKLVFSRINEALNGSARPTHRSLTSSNS >KJB70322 pep chromosome:Graimondii2_0_v6:11:6033590:6039948:1 gene:B456_011G067800 transcript:KJB70322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPDEPNIKGIDASVGGLVWVRRRNGSWWPGRIMGLDELSEGYLVSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYNECIEKAKASAANSSKKAVKYARREDAILHALEIESARLGKDHPDYFSRKDRSGGDQGCSAKESPNMSRSGKENDDEMSGSEDGSNSAPELSQSGISFEETNLINGTKGRSMLVKRRKTPNDSEDDGAEGIKRMKGLEDLGMDVGSKRKAQATGVLESVQQENASFCGPNTNNCLSNGGPINGSRNHSSSLRRKRSQVANVHEFLKRKNRRRPLTKVLESTVMVSVPVSCDELPSSSSSPLRGLSDSKVSGMDSNESRKSISAVINNSSNNNNNNSDSTGISCENGVSLNVSEHAADADASQTNNKTKDKEIFSAQELAENESSDRLFDVPFFGEDKPSADFSPIFVSCSSETPEVGNLGREAEMKGHNESGYTRSVDVQATCITQRIEKGTAEWQLKGKRKSRQISEKQRHNPGKHADMVDEPNTFLASTEHLDGFSLGSNQKVDFNGVDGSGDPYTCTSQSKSKSVVEDQLNGFGDWKSMSRQPHGRGPIVEAKVLPDSSGNPQRSLPYRQSRYTVNPRYQTTDFPGKTYSADSSLYDIKIDVKANYRPQHVPLVSLMSKLNGKAIIGHPLTVEVLNDDYYDNPSQEAAMECTEVDHSLKQNSGGRVPRKHIKLQSQFPPRKSAKVKKSGLLSKKIRKLSSLTGQKLCVGFRKPVAEKPKGPVIACIPLKLVFSRINEALNGSARPTHRSLTSSNS >KJB72891 pep chromosome:Graimondii2_0_v6:11:49084534:49087154:-1 gene:B456_011G202700 transcript:KJB72891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKNGKKRGSEAGHQVTDAKGRGKTSEVKDFSGDKLPNGNPSKENVTKGHRAGTENKYRQNSEKFVSTEKHGDSAEDLGQSISSGSNSGSCTENKPSKEASSGREQNEISPDGNLNLKHKNGSWGCLLNGFHLKNAMDNVDFSDTAAVRNARAIAVSTFKVISQWLERQRPILISLTTNVYNARDHVRAKFERLYPIVLKWLVHFGNIMLLLSIIWLDCALRGIDSFLRLGTASLFLVIWCSIFSVIAMVGMMKFLLVLAIAALTAVFVGLTVAMLVVAVFGTIFLWFYGSFWTTLMVIFLGGLAFSFSHERLALLVATIYSVYCAWMYAGWLGLLLALNLSFISSDALIYYLKNHINQQAAPDGNPEQTDGMYGHSGFFNDESVHGSFSENVPGFSANHGPGVASTSGVDTVMTSEDEVARLLNCSDHYSALGLSRYQNVDVNVLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQNASQKNGRHGFFPSRSARSEADGEEPFGESRRIACKKCGNFHVWAHTKKSKSRARWCQVFSCSLKHVSF >KJB72889 pep chromosome:Graimondii2_0_v6:11:49082874:49088335:-1 gene:B456_011G202700 transcript:KJB72889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKNGKKRGSEAGHQVTDAKGRGKTSEVKDFSGDKLPNGNPSKENVTKGHRAGTENKYRQNSEKFVSTEKHGDSAEDLGQSISSGSNSGSCTENKPSKEASSGREQNEISPDGNLNLKHKNGSWGCLLNGFHLKNAMDNVDFSDTAAVRNARAIAVSTFKVISQWLERQRPILISLTTNVYNARDHVRAKFERLYPIVLKWLVHFGNIMLLLSIIWLDCALRGIDSFLRLGTASLFLVIWCSIFSVIAMVGMMKFLLVLAIAALTAVFVGLTVAMLVVAVFGTIFLWFYGSFWTTLMVIFLGGLAFSFSHERLALLVATIYSVYCAWMYAGWLGLLLALNLSFISSDALIYYLKNHINQQAAPDGNPEQTDGMYGHSGFFNDESVHGSFSENVPGFSANHGPGVASTSGVDTVMTSEDEVARLLNCSDHYSALGLSRYQNVDVNVLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQNASQKNGRHGFFPSRSARSEADGEEPFGESRRIACKKCGNFHVWAHTKKSKSRARWCQECKDFHQAKDGDGWVEQSSEPLFFGFLLKVEAPSAYVCADSKIYDATEWYICQGMRCPPNTHKPSFHVNTSVTSKHATGKGSSSGQRGGTMPTPPNLEETMTEEEFLAWLQNAVQGGMFDNFNGSSTSAENPSTKAESSSKSSSSGSGSKRKKKGKKQW >KJB72888 pep chromosome:Graimondii2_0_v6:11:49082791:49088347:-1 gene:B456_011G202700 transcript:KJB72888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKNGKKRGSEAGHQVTDAKGRGKTSEVKDFSGDKLPNGNPSKENVTKGHRAGTENKYRQNSEKFVSTEKHGDSAEDLGQSISSGSNSGSCTENKPSKEASSGREQNEISPDGNLNLKHKNGSWGCLLNGFHLKNAMDNVDFSDTAAVRNARAIAVSTFKVISQWLERQRPILISLTTNVYNARDHVRAKFERLYPIVLKWLVHFGNIMLLLSIIWLDCALRGIDSFLRLGTASLFLVIWCSIFSVIAMVGMMKFLLVLAIAALTAVFVGLTVAMLVVAVFGTIFLWFYGSFWTTLMVIFLGGLAFSFSHERLALLVATIYSVYCAWMYAGWLGLLLALNLSFISSDALIYYLKNHINQQAAPDGNPEQTDGMYGHSGFFNDESVHGSFSENVPGFSANHGPGVASTSGVDTVMTSEDEVARLLNCSDHYSALGLSRYQNVDVNVLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQNASQKNGRHGFFPSRSARSEADGEEPFGESRRIACKKCGNFHVWAHTKKSKSRARWCQECKDFHQAKDGDGWVEQSSEPLFFGFLLKVEAPSAYVCADSKIYDATEWYICQGMRCPPNTHKPSFHVNTSVTSKHATGKGSSSGQRGGTMPTPPNLEETMTEEEFLAWLQNAVQGGMFDNFNGSSTSAENPSTKAESSSKSSSSGSGSKRKKKGKKQW >KJB72890 pep chromosome:Graimondii2_0_v6:11:49084294:49088335:-1 gene:B456_011G202700 transcript:KJB72890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKNGKKRGSEAGHQVTDAKGRGKTSEVKDFSGDKLPNGNPSKENVTKGHRAGTENKYRQNSEKFVSTEKHGDSAEDLGQSISSGSNSGSCTENKPSKEASSGREQNEISPDGNLNLKHKNGSWGCLLNGFHLKNAMDNVDFSDTAAVRNARAIAVSTFKVISQWLERQRPILISLTTNVYNARDHVRAKFERLYPIVLKWLVHFGNIMLLLSIIWLDCALRGIDSFLRLGTASLFLVIWCSIFSVIAMVGMMKFLLVLAIAALTAVFVGLTVAMLVVAVFGTIFLWFYGSFWTTLMVIFLGGLAFSFSHERLALLVATIYSVYCAWMYAGWLGLLLALNLSFISSDALIYYLKNHINQQAAPDGNPEQTDGMYGHSGFFNDESVHGSFSENVPGFSANHGPGVASTSGVDTVMTSEDEVARLLNCSDHYSALGLSRYQNVDVNVLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQNASQKNGRHGFFPSRSARSEADGEEPFGESRRIACKKCGNFHVWAHTKKSKSRARWCQVFSCSLKHVSF >KJB72396 pep chromosome:Graimondii2_0_v6:11:40617683:40619140:-1 gene:B456_011G176000 transcript:KJB72396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKENAQERVVDISLKDLSKKLEEFARVRDWEKYHSPRNLLLAMVGEVGELSEIFQWRGEVDKGLPNWEESEKEHLGEELSDVLLYLIRLSDICGIDLGDAASRKLVKNAIKYPPPPPKVL >KJB70743 pep chromosome:Graimondii2_0_v6:11:9399031:9411530:1 gene:B456_011G089700 transcript:KJB70743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIISLSLHYCCSDSASYKGLAVILNKSSSPSPHKGKGPESAPPRITSNVKQNLQFLKLWKEFQKSKSSAPKPATSYRRKKVQKEELPEDIELYRDPTTTLYYTNQGLDDAVPVLLVDGYNVCGYWMKLKKHFMKGRLDIARQKLIDELITFRVKVVVVFDAMMSGLPTHKETFASIDIVYSGESCADAWIEKEVVALREDGCPKVWVVTSDHCQQHAAHGAGAFIWSSKALVSEIKASQKEVERMLQEQRSTSFQGKLLKHNLDTEVVDALKDLRRQLSENESC >KJB70741 pep chromosome:Graimondii2_0_v6:11:9399031:9403419:1 gene:B456_011G089700 transcript:KJB70741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIISLSLHYCCSDSASYKGLAVILNKSSSPSPHKGKGPESAPPRITSNVKQNLQFLKLWKEFQKSKSSAPKPATSYRRKKVQKEELPEDIELYRDPTTTLYYTNQGLDDAVPVLLVDGYNVCGYWMKLKKHFMKGRLDIARQKLIDELITFSMLRGVKVVVVFDAMMSGLPTHKETFASIDIVYSGESCADAWIEKEVVALREDGCPKVWVVTSDHCQQHAAHGAVSIAL >KJB70740 pep chromosome:Graimondii2_0_v6:11:9399007:9402799:1 gene:B456_011G089700 transcript:KJB70740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIISLSLHYCCSDSASYKGLAVILNKSSSPSPHKGKGPESAPPRITSNVKQNLQFLKLWKEFQKSKSSAPKPATSYRRKKVQKEELPEDIELYRDPTTTLYYTNQGLDDAVPVLLVDGYNVCGYWMKLKKHFMKGRLDIARQKLIDELITFSMLRGVKVVVVFDAMMSGLPTHKETFASIDIVYSGESCADAWIEKEV >KJB70739 pep chromosome:Graimondii2_0_v6:11:9399031:9411530:1 gene:B456_011G089700 transcript:KJB70739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIISLSLHYCCSDSASYKGLAVILNKSSSPSPHKGKGPESAPPRITSNVKQNLQFLKLWKEFQKSKSSAPKPATSYRRKKVQKEELPEDIELYRDPTTTLYYTNQGLDDAVPVLLVDGYNVCGYWMKLKKHFMKGRLDIARQKLIDELITFSMLRGVKVVVVFDAMMSGLPTHKETFASIDIVYSGESCADAWIEKEVVALREDGCPKVWVVTSDHCQQHAAHGAGAFIWSSKALVSEIKASQKEVERMLQEQRSTSFQGKLLKHNLDTEVVDALKDLRRQLSENESC >KJB70742 pep chromosome:Graimondii2_0_v6:11:9398011:9411658:1 gene:B456_011G089700 transcript:KJB70742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIISLSLHYCCSDSASYKGLAVILNKSSSPSPHKGKGPESAPPRITSNVKQNLQFLKLWKEFQKSKSSAPKPATSYRRKKVQKEELPEDIELYRDPTTTLYYTNQGLDDAVPVLLVDGYNVCGYWMKLKKHFMKGRLDIARQKLIDELITFSMLRGVKVVVVFDAMMSGLPTHKETFASIDIVYSGESCADAWIEKEVVALREDGCPKVWVVTSDHCQQHAAHGAGAFIWSSKALVSEIKASQKEVERMLQEQRSTSFQGKLLKHNLDTEVVDALKDLRRQLSENESC >KJB70744 pep chromosome:Graimondii2_0_v6:11:9399031:9411530:1 gene:B456_011G089700 transcript:KJB70744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIISLSLHYCCSDSASYKGLAVILNKSSSPSPHKGKGPESAPPRITSNVKQNLQFLKLWKEFQKSKSSAPKPATSYRRKKVQKEELPEDIELYRDPTTTLYYTNQGLDDAVPVLLVDGYNVCGYWMKLKKHFMKGRLDIARQKLIDELITFSMLRGVKVVVVFDAMMSGLPTHKETFASIDIVYSGESCADAWIEKEVVALREDGCPKVWVVTSDHCQQHAAHGAGAFIWSSKALVSEIYFFSR >KJB70437 pep chromosome:Graimondii2_0_v6:11:6893451:6896500:1 gene:B456_011G073000 transcript:KJB70437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRHSHTVSHSISSPKAPPVMLDRTLSSRRSQPHLDFDFPSTAASSAVSPVPESSTSLADESKTKKPPLYLVATNYISRFGLVKSPCLCLSLCLLLIVFALFSLLLNSRSFVCVSSYDPISRVSLFGLDGVDSDFGSLGVPWCRSKHGKTVEWTSKDLINGLEEFVPIYETRPIKNNLYGMGFDHSFGLWFITRWLKPEIMIESGAFKGHSTWVLRQAMPDTPIISLTPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSVDWEKVLKIHGISDFSRVLVFFDDHQNELKRLKQALKAGFHHLVFEDNYDTGTGDHYSLRQICDQFYIRGGGHSCFKDSDEARIRSKRKKFWEKAVDIDELCGPHEAWWGVRGEMRDNFNHNKTTISYGEHFQNSRFVESILDVYWELPPTAGPSLTHQTRYDPGRAPPPVVEDGRYRMFQRLGLDRLERSVFNGYTQMVYLQISKPES >KJB73054 pep chromosome:Graimondii2_0_v6:11:51152743:51155753:1 gene:B456_011G212300 transcript:KJB73054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPNYPSPTLTGTLNSNKFSVVTTKNRVAFAFTFTSVFVIGFTTLVFLNPSSYSSPRLQSLLRSSFYRSQFSSHFSHFLPNSSQSNHYPLPFSPFQEHPSQISRESERFHGKNDSSLSSEGTNGTLSEIPAESGKELAEKVLNANGNIIHGSPSRPLDFKESGKELVDGSIDELLEKQRKGDFVEIINQCRIFDGKWVRDDFYPLYAPGTCPYIGESFNCFVNGRPDRGYEKYRWQPNECILPRLNGKHMLELLRGKRLVFVGDSLGRNMWESLVCILRNSVEEKSSVFEVSGKQELGKGGSHSIIFKGYNCSIEYFRSAFLVQEWEMVWRNGSKKETLRLDMIDKSADKYKDADVLIFNTGHWWTHDKTSKGNSYYQEGNTIYNRLHVKVAFRKALTTWAKWIDTNIDSTKTLVFFRGFSASHFRGGRWNSGGQCHGETEPITNEKYLKKYPSKMRIFESVINGMTNPVLYLNVSRMTGFRKDAHPSVYRKQNLTEEEKTSPTRIQDCSHWCLPGVPDTWNELVYTQLLIKHESYQQQLLKPKQQQRNHR >KJB70465 pep chromosome:Graimondii2_0_v6:11:7146158:7153458:1 gene:B456_011G074800 transcript:KJB70465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQKPKPVTVGDLVEEAKKRIVILAICVVGLSYLMSVTSSSVLVNLPAAASLIILLRYFSLEYEMRRKADAYNIKSEATDASTSKQPPECPKVVGHCDWRRKVNSPVVENAIDQFTRHLISEWVTDLWYSRLTPDKEGPEELVQIINGVFGELSDRMRNINLVDLLTRDLINLFCSHLELFRISKAKFENQQSAPLTIEYRDAEIRRVLAAENKLHPALFSTEAEHKVLQHLTNGLISLTFRPEDLQCTFFRYVVRELLACAVIRPVINLVSPRFINERIESAVISMTKAKRGLNAAQDASQHKPNSSSKIPSDHFSKFLDPSVTGVELVQLKTNQSGAAGGTTAADNLNGTQLSKDPLLSMDTRTSRSWNSVSLNSQTGAERGIERHRSGGEWGDMLDLMSRRKTEALAPENFENMWTKGRNYKKKEGEKRLTEQVPQHSSAGNPATVDHSKVVSKTRDKYPTKLNSSESHGAQHALTDQWKIEKSFPHEVRNVPHCSSVLSYQEDDDHDLVDLEEVESESNDSFTSGEEETGNVLGLDATGTKVWDSKSNRNLTVSHIHHPLENPEGHMVKKAGGRRVHYRRLTRATSSRKRSRLTGQKLPVWQEVERTSFLSGDGQDILNSLNGHGKAEYSSDDSEAEFFGRLHSGASASSSASSVAVSETYNLTANSLQSSLAVGSFFKLRCEVLGANIVKSGSKTFAVYSISVTDVNNKHSWSIKRRFRHFEELHQRLKQFPEYKLHLPPKHFLSTGLDIPVIRERCKLLDEYLKKLLQLPTISGSIEVWDFLSVDSQTYVFSNSFSIIETLSVDLDDNPSEKGRKASNVMGPLMGPLSSRRQLLDTESKGPSSQIRPNHATDGSRKAKDVPYSSSKNPTEEWCKSVEDTGSGDARVRNTSSIKNTGKNVKGRENERVEDASELLLDAATYPTLPVEWVPPNLTAPLLDLVDDIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQMLRKGSVVASGIKRIEQILWPDGIFITKHPRRQRPPPSSSPSQASPRSQSPELSSPRLTAEQQQLEAERRAKFVYELMIDKAPAAIVGLVGHKEYEQCAKDLYFFIQSSVCLKLLAYDLIELLMLSAFPEMEYVFKQLHEEKHKFGEYKAN >KJB70464 pep chromosome:Graimondii2_0_v6:11:7146404:7153510:1 gene:B456_011G074800 transcript:KJB70464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQKPKPVTVGDLVEEAKKRIVILAICVVGLSYLMSVTSSSVLVNLPAAASLIILLRYFSLEYEMRRKADAYNIKSEATDASTSKQPPECPKVVGHCDWRRKVNSPVVENAIDQFTRHLISEWVTDLWYSRLTPDKEGPEELVQIINGVFGELSDRMRNINLVDLLTRDLINLFCSHLELFRISKAKFENQQSAPLTIEYRDAEIRRVLAAENKLHPALFSTEAEHKVLQHLTNGLISLTFRPEDLQCTFFRYVVRELLACAVIRPVINLVSPRFINERIESAVISMTKAKRGLNAAQDASQHKPNSSSKIPSDHFSKFLDPSVTGVELVQLKTNQSGAAGGTTAADNLNGTQLSKDPLLSMDTRTSRSWNSVSLNSQTGAERGIERHRSGGEWGDMLDLMSRRKTEALAPENFENMWTKGRNYKKKEGEKRLTEQVPQHSSAGNPATVDHSKVVSKTRDKYPTKLNSSESHGAQHALTDQWKIEKSFPHEVRNVPHCSSVLSYQEDDDHDLVDLEEVESESNDSFTSGEEETGNVLGLDATGTKVWDSKSNRNLTVSHIHHPLENPEGHMVKKAGGRRVHYRRLTRATSSRKRSRLTGQKLPVWQEVERTSFLSGDGQDILNSLNGHGKAEYSSDDSEAEFFGRLHSGASASSSASSVAVSETYNLTANSLQSSLAVGSFFKLRCEVLGANIVKSGSKTFAVYSISVTDVNNKHSWSIKRRFRHFEELHQRLKQFPEYKLHLPPKHFLSTGLDIPVIRERCKLLDEYLKKLLQLPTISGSIEVWDFLSVDSQTYVFSNSFSIIETLSVDLDDNPSEKGRKASNVMGPLMGPLSSRRQLLDTESKGPSSQIRPNHATDGSRKAKDVPYSSSKNPTEEWCKSVEDTGSGDARVRNTSSIKNTGKNVKGRENERVEDASELLLDAATYPTLPVEWVPPNLTAPLLDLVDDIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQMLRKGSVVASGIKRIEQILWPDGIFITKHPRRQRPPPSSSPSQASPRSQSPELSSPRLTAEQQQLEAERRAKFVYELMIDKAPAAIVGLVGHKEYEQCAKDLYFFIQSSVCLKLLAYDLIELLMLSAFPEMEYVFKQLHEEKHKFGEYKAN >KJB70469 pep chromosome:Graimondii2_0_v6:11:7146860:7153454:1 gene:B456_011G074800 transcript:KJB70469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISINSFQIFFVFQLMFTNFLFLISVTSSSVLVNLPAAASLIILLRYFSLEYEMRRKADAYNIKSEATDASTSKQPPECPKVVGHCDWRRKVNSPVVENAIDQFTRHLISEWVTDLWYSRLTPDKEGPEELVQIINGVFGELSDRMRNINLVDLLTRDLINLFCSHLELFRISKAKFENQQSAPLTIEYRDAEIRRVLAAENKLHPALFSTEAEHKVLQHLTNGLISLTFRPEDLQCTFFRYVVRELLACAVIRPVINLVSPRFINERIESAVISMTKAKRGLNAAQDASQHKPNSSSKIPSDHFSKFLDPSVTGVELVQLKTNQSGAAGGTTAADNLNGTQLSKDPLLSMDTRTSRSWNSVSLNSQTGAERGIERHRSGGEWGDMLDLMSRRKTEALAPENFENMWTKGRNYKKKEGEKRLTEQVPQHSSAGNPATVDHSKVVSKTRDKYPTKLNSSESHGAQHALTDQWKIEKSFPHEVRNVPHCSSVLSYQEDDDHDLVDLEEVESESNDSFTSGEEETGNVLGLDATGTKVWDSKSNRNLTVSHIHHPLENPEGHMVKKAGGRRVHYRRLTRATSSRKRSRLTGQKLPVWQEVERTSFLSGDGQDILNSLNGHGKAEYSSDDSEAEFFGRLHSGASASSSASSVAVSETYNLTANSLQSSLAVGSFFKLRCEVLGANIVKSGSKTFAVYSISVTDVNNKHSWSIKRRFRHFEELHQRLKQFPEYKLHLPPKHFLSTGLDIPVIRERCKLLDEYLKKLLQLPTISGSIEVWDFLSVDSQTYVFSNSFSIIETLSVDLDDNPSEKGRKASNVMGPLMGPLSSRRQLLDTESKGPSSQIRPNHATDGSRKAKDVPYSSSKNPTEEWCKSVEDTGSGDARVRNTSSIKNTGKNVKGRENERVEDASELLLDAATYPTLPVEWVPPNLTAPLLDLVDDIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQMLRKGSVVASGIKRIEQILWPDGIFITKHPRRQRPPPSSSPSQASPRSQSPELSSPRLTAEQQQLEAERRAKFVYELMIDKAPAAIVGLVGHKEYEQCAKDLYFFIQSSVCLKLLAYDLIELLMLSAFPEMEYVFKQLHEEKHKFGEYKAN >KJB70466 pep chromosome:Graimondii2_0_v6:11:7146409:7152658:1 gene:B456_011G074800 transcript:KJB70466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQKPKPVTVGDLVEEAKKRIVILAICVVGLSYLMSVTSSSVLVNLPAAASLIILLRYFSLEYEMRRKADAYNIKSEATDASTSKQPPECPKVVGHCDWRRKVNSPVVENAIDQFTRHLISEWVTDLWYSRLTPDKEGPEELVQIINGVFGELSDRMRNINLVDLLTRDLINLFCSHLELFRISKAKFENQQSAPLTIEYRDAEIRRVLAAENKLHPALFSTEAEHKVLQHLTNGLISLTFRPEDLQCTFFRYVVRELLACAVIRPVINLVSPRFINERIESAVISMTKAKRGLNAAQDASQHKPNSSSKIPSDHFSKFLDPSVTGVELVQLKTNQSGAAGGTTAADNLNGTQLSKDPLLSMDTRTSRSWNSVSLNSQTGAERGIERHRSGGEWGDMLDLMSRRKTEALAPENFENMWTKGRNYKKKEGEKRLTEQVPQHSSAGNPATVDHSKVVSKTRDKYPTKLNSSESHGAQHALTDQWKIEKSFPHEVRNVPHCSSVLSYQEDDDHDLVDLEEVESESNDSFTSGEEETGNVLGLDATGTKVWDSKSNRNLTVSHIHHPLENPEGHMVKKAGGRRVHYRRLTRATSSRKRSRLTGQKLPVWQEVERTSFLSGDGQDILNSLNGHGKAEYSSDDSEAEFFGRLHSGASASSSASSVAVSETYNLTANSLQSSLAVGSFFKLRCEVLGANIVKSGSKTFAVYSISVTDVNNKHSWSIKRRFRHFEELHQRLKQFPEYKLHLPPKHFLSTGLDIPVIRERCKLLDEYLKKLLQLPTISGSIEVWDFLSVDSQTYVFSNSFSIIETLSVDLDDNPSEKGRKASNVMGPLMGPLSSRRQLLDTESKGPSSQIRPNHATDGSRKAKDVPYSSSKNPTEEWCKSVEDTGSGDARVRNTSSIKNTGKNVKGRENERVEDASELLLDAATYPTLPVEWVPPNLTAPLLDLVDDIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQMLRKGSVVASGIKRIEQILWPDGIFITKHPRRQRPPPSSSPSQASPRSQSPELSSPRLTAEQQQLEAERRAKFVYELMIGSLFITNVSYLSSVHCTFSNVTKLKILRKSNSSDFLVTQIKHLLLLWVLLVTRNMNSAPRISISLFR >KJB70470 pep chromosome:Graimondii2_0_v6:11:7146409:7153454:1 gene:B456_011G074800 transcript:KJB70470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAKRGLNAAQDASQHKPNSSSKIPSDHFSKFLDPSVTGVELVQLKTNQSGAAGGTTAADNLNGTQLSKDPLLSMDTRTSRSWNSVSLNSQTGAERGIERHRSGGEWGDMLDLMSRRKTEALAPENFENMWTKGRNYKKKEGEKRLTEQVPQHSSAGNPATVDHSKVVSKTRDKYPTKLNSSESHGAQHALTDQWKIEKSFPHEVRNVPHCSSVLSYQEDDDHDLVDLEEVESESNDSFTSGEEETGNVLGLDATGTKVWDSKSNRNLTVSHIHHPLENPEGHMVKKAGGRRVHYRRLTRATSSRKRSRLTGQKLPVWQEVERTSFLSGDGQDILNSLNGHGKAEYSSDDSEAEFFGRLHSGASASSSASSVAVSETYNLTANSLQSSLAVGSFFKLRCEVLGANIVKSGSKTFAVYSISVTDVNNKHSWSIKRRFRHFEELHQRLKQFPEYKLHLPPKHFLSTGLDIPVIRERCKLLDEYLKKLLQLPTISGSIEVWDFLSVDSQTYVFSNSFSIIETLSVDLDDNPSEKGRKASNVMGPLMGPLSSRRQLLDTESKGPSSQIRPNHATDGSRKAKDVPYSSSKNPTEEWCKSVEDTGSGDARVRNTSSIKNTGKNVKGRENERVEDASELLLDAATYPTLPVEWVPPNLTAPLLDLVDDIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQMLRKGSVVASGIKRIEQILWPDGIFITKHPRRQRPPPSSSPSQASPRSQSPELSSPRLTAEQQQLEAERRAKFVYELMIDKAPAAIVGLVGHKEYEQCAKDLYFFIQSSVCLKLLAYDLIELLMLSAFPEMEYVFKQLHEEKHKFGEYKAN >KJB70467 pep chromosome:Graimondii2_0_v6:11:7146409:7153454:1 gene:B456_011G074800 transcript:KJB70467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQKPKPVTVGDLVEEAKKRIVILAICVVGLSYLMSVTSSSVLVNLPAAASLIILLRYFSLEYEMRRKADAYNIKSEATDASTSKQPPECPKVVGHCDWRRKVNSPVVENAIDQFTRHLISEWVTDLWYSRLTPDKEGPEELVQIINGVFGELSDRMRNINLVDLLTRDLINLFCSHLELFRISKAKFENQQSAPLTIEYRDAEIRRVLAAENKLHPALFSTEAEHKVLQHLTNGLISLTFRPEDLQCTFFRYVVRELLACAVIRPVINLVSPRFINERIESAVISMTKAKRGLNAAQDASQHKPNSSSKIPSDHFSKFLDPSVTGVELVQLKTNQSGAAGGTTAADNLNGTQLSKDPLLSMDTRTSRSWNSVSLNSQTGAERGIERHRSGGEWGDMLDLMSRRKTEALAPENFENMWTKGRNYKKKEGEKRLTEQVPQHSSAGNPATVDHSKVVSKTRDKYPTKLNSSESHGAQHALTDQWKIEKSFPHEVRNVPHCSSVLSYQEDDDHDLVDLEEVESESNDSFTSGEEETGNVLGLDATGTKVWDSKSNRNLTVSHIHHPLENPEGHMVKKAGGRRVHYRRLTRATSSRKRSRLTGQKLPVWQEVERTSFLSGDGQDILNSLNGHGKAEYSSDDSEAEFFGRLHSGASASSSASSVAVSETYNLTANSLQSSLAVGSFFKLRCEVLGANIVKSGSKTFAVYSISVTDVNNKHSWSIKRRFRHFEELHQRLKQFPEYKLHLPPKHFLSTGLDIPVIRERCKLLDEYLKKLLQLPTISGSIEVWDFLSVDSQTYVFSNSFSIIETLSVDLDDNPSEKGRKASNVMGPLMGPLSSRRQLLDTESKGPSSQIRPNHATDGSRKAKDVPYSSSKNPTEEWCKSVEDTGSGDARVRNTSSIKNTGKNVKGRENERVEDASELLLDAATYPTLPVEWVPPNLTAPLLDLVDDIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQMLRKGSVVASGIKRIEQILWPDGIFITKHPRRQRPPPSSSPSQASPRSQSPELSSPRLTAEQQQLEAERRAKFVYELMIVKQLRFSCNTDKAPAAIVGLVGHKEYEQCAKDLYFFIQSSVCLKLLAYDLIELLMLSAFPEMEYVFKQLHEEKHKFGEYKAN >KJB70468 pep chromosome:Graimondii2_0_v6:11:7146637:7152877:1 gene:B456_011G074800 transcript:KJB70468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQKPKPVTVGDLVEEAKKRIVILAICVVGLSYLMSVTSSSVLVNLPAAASLIILLRYFSLEYEMRRKADAYNIKSEATDASTSKQPPECPKVVGHCDWRRKVNSPVVENAIDQFTRHLISEWVTDLWYSRLTPDKEGPEELVQIINGVFGELSDRMRNINLVDLLTRDLINLFCSHLELFRISKAKFENQQSAPLTIEYRDAEIRRVLAAENKLHPALFSTEAEHKVLQHLTNGLISLTFRPEDLQCTFFRYVVRELLACAVIRPVINLVSPRFINERIESAVISMTKAKRGLNAAQDASQHKPNSSSKIPSDHFSKFLDPSVTGVELVQLKTNQSGAAGGTTAADNLNGTQLSKDPLLSMDTRTSRSWNSVSLNSQTGAERGIERHRSGGEWGDMLDLMSRRKTEALAPENFENMWTKGRNYKKKEGEKRLTEQVPQHSSAGNPATVDHSKVVSKTRDKYPTKLNSSESHGAQHALTDQWKIEKSFPHEVRNVPHCSSVLSYQEDDDHDLVDLEEVESESNDSFTSGEEETGNVLGLDATGTKVWDSKSNRNLTVSHIHHPLENPEGHMVKKAGGRRVHYRRLTRATSSRKRSRLTGQKLPVWQEVERTSFLSGDGQDILNSLNGHGKAEYSSDDSEAEFFGRLHSGASASSSASSVAVSETYNLTANSLQSSLAVGSFFKLRCEVLGANIVKSGSKTFAVYSISVTDVNNKHSWSIKRRFRHFEELHQRLKQFPEYKLHLPPKHFLSTGLDIPVIRERCKLLDEYLKKLLQLPTISGSIEVWDFLSVDSQTYVFSNSFSIIETLSVDLDDNPSEKGRKASNVMGPLMGPLSSRRQLLDTESKGPSSQIRPNHATDGSRKAKDVPYSSSKNPTEEWCKSVEDTGSGDARVRNTSSIKNTGKNVKGRENERVEDASELLLDAATYPTLPVEWVPPNLTAPLLDLVDDIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQMLRKGSVVASGIKRIEQILWPDGIFITKHPRRQRPPPSSSPSQASPRSQSPELSSPRLTAEQQQLEAERRAKFVYELMIGSLFITNVSYLSSVHCTFSNVTKLKILRKSNSSDFLVTQIKHLLLLWVLLVTRNMNSAPRISISLFSLVSV >KJB71618 pep chromosome:Graimondii2_0_v6:11:20261853:20268826:1 gene:B456_011G133900 transcript:KJB71618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVCSATPGCSSHSQLSFHGGLRPFTPFQKDFQFRCNAQDKSVLGMSGGNLHRMSFKPQAMEPFYTSFAETTEQPVPMDLINNYSCPEKFNDAKCNISNVWSSSVKAVNDSNPLEETLVDLTNQSVENANNSMGMVGSETISTVDTMVENPIAASSTLNFDNDSLSGGINSLDKFLTGVNESFNSSVNRGENAMRNLLDKITSSITSVTTSASEAVDNAQALADNKVSNLSNDLNEASNKANAFAVDLLRRTIVVVEDSLFNGASSFGYYYGSAKERLPPEIKDALTLYEERTGKALKPVGAALQQVYTGIEGVERSLGFDPSDPIVPFFLLFGTSATLWVFYWVWAHGGYSGDLSPKLTLELLSGKENAFLIDVRPEVLRERDGIPDLRRVARFRYACVSLPEVNGFMGKVLKSGRDLDDSLIAVVIRNLKTIEDRSKVIIMDADGSRSKGIARSLRNLGVKRPYLVQGGFQSWVNQGLRVKELKPETTLSILNEEAEAILEDINPSPVQVLGYGVGSIAAIYALLEWEKSLQLIGILGLVLTVYRRVSSYKNAEDLKKDLTLLLGPAKVGAVAYSWIAGKLETNGIGLSTSPSSLDVQNRVLQAAAKLESQPSSAEDPSIAPINEKVDLSEA >KJB71617 pep chromosome:Graimondii2_0_v6:11:20262072:20268826:1 gene:B456_011G133900 transcript:KJB71617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVCSATPGCSSHSQLSFHGGLRPFTPFQKDFQFRCNAQDKSVLGMSGGNLHRMSFKPQAMEPFYTSFAETTEQPVPMDLINNYSCPEKFNDAKCNISNVWSSSVKAVNDSNPLEETLVDLTNQSVENANNSMGMVGSETISTVDTMVENPIAASSTLNFDNDSLSGGINSLDKFLTGVNESFNSSVNRGENAMRNLLDKITSSITSVTTSASEAVDNAQALADNKVSNLSNDLNEASNKANAFAVDLLRRTIVVVEDSLFNGASSFGYYYGSAKERLPPEIKDALTLYEERTGKALKPVGAALQQVYTGIEGVERSLGFDPSDPIVPFFLLFGTSATLWVFYWVWAHGGYSGDLSPKLTLELLSGKENAFLIDVRPEVLRERDGIPDLRRVARFRYACVSLPEVNGFMGKVLKSGRDLDDSLIAVVIRNLKTIEDRSKVIIMDADGSRSKGIARSLRNLGVKRPYLVQGGFQSWVNQGLRVKELKPETTLSILNEEAEAILEDINPSPVQVLGYGVGSIAAIYALLEWEKSLQLIGILGLVLTVYRRVSSYKNAEDLKKDLTLLLGPAKVGAVAYSWIAGKLETNGIGLSTSPSSLDVQNRVLQAAAKLESQPSSAEDPSIAPINEKVDLSEA >KJB74052 pep chromosome:Graimondii2_0_v6:11:60110607:60115154:-1 gene:B456_011G269300 transcript:KJB74052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALANKAINLASVGSNFPSSIDKLKNSSTKAQINLRVARNSSVKAVQSSSVESDTGRWVRKRRPQNVDGDFFVDHTCIDCDTCRWMAPQVFTRVGEMSAVYKQPTSSEDRLKALQALLSCPTSSIRTEVPPPDILEAQKTFPIPVDEKKLPGVYHCGYHSEKSYGAASYLIIHPGGNILIDSPRFTEKLAKEIETMGGVRYLFLTHKDDVADHAKWATRFSCDRILHSEDVEICTADVEMKLEGNGPWSLGDDIMLVHAPGHTEGTVCLLYKPLKILFTGDHLMMTESGLSIMEMYNKYSVPKQVDSVQKLIQFDFDWIIPGHGWRIEFKDFQEKNTILEAFVREKYNQYSSSYK >KJB74053 pep chromosome:Graimondii2_0_v6:11:60111785:60115036:-1 gene:B456_011G269300 transcript:KJB74053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALANKAINLASVGSNFPSSIDKLKNSSTKAQINLRVARNSSVKAVQSSSVESDTGRWVRKRRPQNVDGDFFVDHTCIDCDTCRWMAPQVFTRVGEMSAVYKQPTSSEDRLKALQALLSCPTSSIRTEVPPPDILEAQKTFPIPVDEKKLPGVYHCGYHSEKSYGAASYLIIHPGGNILIDSPRFTEKLAKEIETMGGVRYLFLTHKDDVADHAKWATRFSCDRILHSEDVEICTADVEMKLEGNGPWSLGDDIMLVHAPGHTEGTVCLLYKPLKILFTGDHLMMTESGLSIMEMYNKYSGNTT >KJB70723 pep chromosome:Graimondii2_0_v6:11:9306114:9308491:-1 gene:B456_011G088800 transcript:KJB70723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATVSVAKPNLQIQAKGKGVGFADFSGLHNSSTSLPFARKTSDDFHSLVAFQTSALGSNNGGYRKGMAEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFAADVKPVGTDGISVDGKVIKVVSDRNPSNLPWGDLGIDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADTYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPTLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFKESAEKELQGILSVCEEPLVSVDFRCSDVSSTVDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANSWK >KJB70724 pep chromosome:Graimondii2_0_v6:11:9306125:9308379:-1 gene:B456_011G088800 transcript:KJB70724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRTPHLMSLPSMTLVVLSRLLTFSNMIPPLASSLPMSSLLALMASLLMARLSRDLGIDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADTYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPTLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFKESAEKELQGILSVCEEPLVSVDFRCSDVSSTVDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANSWK >KJB70999 pep chromosome:Graimondii2_0_v6:11:11143684:11145569:1 gene:B456_011G099900 transcript:KJB70999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQSRLILSLLGAVLVLLVIGNALRLAKANNSASAFVQNAIFSNKIVMFSKSYCPYCMRAKRIFAELNEKPYVVELDLRDDGAEIQYVILDLVGRRTVPQVFVNGKHIGGSDDLSDAVHNGTLQSLLAAS >KJB71000 pep chromosome:Graimondii2_0_v6:11:11143900:11144758:1 gene:B456_011G099900 transcript:KJB71000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQSRLILSLLGAVLVLLVIGNALRLAKANNSASAFVQNAIFSNKIVMFSKSYCPYCMRAKRIFAELNEKPYVVELDLRGICGCSLFASDMYGPFIQFQLL >KJB70998 pep chromosome:Graimondii2_0_v6:11:11143833:11145534:1 gene:B456_011G099900 transcript:KJB70998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQSRLILSLLGAVLVLLVIGNALRLAKANNSASAFVQNAIFSNKIVMFSKSYCPYCMRAKRIFAELNEKPYVVELDLRDDGAEIQYVILDLVGRRTVPQVFVNGKHIGGSDDLSDAVHNGTLQSLLAAS >KJB70514 pep chromosome:Graimondii2_0_v6:11:7588470:7590844:1 gene:B456_011G077000 transcript:KJB70514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGAVVWDPWLIVAQIVCLQCLYYLTLGVFLSFLVGIRVSRMSLVYFFDFASVTTSTVTGCAGYMLYLIERAKKCLDFSATLYIIHLFICIIYGGWPSSITWWVVNGTGVAVMALLGEYLCIRRELREIPITRYRSNV >KJB70513 pep chromosome:Graimondii2_0_v6:11:7588184:7590911:1 gene:B456_011G077000 transcript:KJB70513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGAVVWDPWLIVAQIVCLQCLYYLTLGVFLSFLVGIRVSRMSLVYFFDFASVTTSTVTGWCVIASFLLSSIAGAGYMLYLIERAKKCLDFSATLYIIHLFICIIYGGWPSSITWWVVNGTGVAVMALLGEYLCIRRELREIPITRYRSNV >KJB69509 pep chromosome:Graimondii2_0_v6:11:1998712:2001992:1 gene:B456_011G027200 transcript:KJB69509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGAGGSVKDVQSKGELDGLRQSGATLILHFWASWCEASKQMDQLFSHLSTDFPNSHFLRVEAEEQPEISEEYSVSAVPFFVFFKDGKVVDKLEGADPSSLANKVAKVIGSINPGEAAAPASLGMAAGPTVLESVQDLAKANGSSQMGNQVQTGLDDKIKKRLQQLIDSHPVMLFMKGNPEEPKCGFSRKVVDILKDEKVKFGTFDILSDNEVREGLKKFSKWPTFPQLYCKGELLGGCDIAIAMHESGELKEVFRDHGVDIIGTEQGTGGILEPTGLTENLNSRLQSLINSSPVMLFMKGKPGEPKCGFSHKVVEILKQEKVDFESFDILSDDEVRQGLKVYSNWSSYPQLYIKGELIGGSDIALEMQKSGELKRILTEKGIIKEETLEDHLKSLISSSPVMLFMKGTPDNPRCGFSSKVVNALKEEGIDFGSFDILTNDEVRQGLKVFSNWPTFPQLYYKGELIGGCDIVLELRNNGELKATLSE >KJB69507 pep chromosome:Graimondii2_0_v6:11:1998649:2001992:1 gene:B456_011G027200 transcript:KJB69507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGAGGSVKDVQSKGELDGLRQSGATLILHFWASWCEASKQMDQLFSHLSTDFPNSHFLRVEAEEQPEISEEYSVSAVPFFVFFKDGKVVDKLEGADPSSLANKVAKVIGSINPGEAAAPASLGMAAGPTVLESVQDLAKANGSSQMGNQVQTGLDDKIKKRLQQLIDSHPVMLFMKGNPEEPKCGFSRKVVDILKDEKVKFGTFDILSDNEVREGLKKFSKWPTFPQLYCKGELLGGCDIAIAMHESGELKEVFRDHGVDIIGTEQGTGGILEPTGLTENLNSRLQSLINSSPVMLFMKGKPGEPKCGFSHKVVEILKQEKVDFESFDILSDDEVRQGLKVYSNWSSYPQLYIKGELIGGSDIALEMQKSGELKRILTEKGIIKEETLEDHLKSLISSSPVMLFMKGTPDNPRCGFSSKVVNALKEEGIDFGSFDILTNDEVRQGLKVFSNWPTFPQLYYKGELIGGCDIVLELRNNGELKATLSE >KJB69508 pep chromosome:Graimondii2_0_v6:11:1999178:2001617:1 gene:B456_011G027200 transcript:KJB69508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGIDFFIFYLQVEAEEQPEISEEYSVSAVPFFVFFKDGKVVDKLEGADPSSLANKVAKVIGSINPGEAAAPASLGMAAGPTVLESVQDLAKANGSSQMGNQVQTGLDDKIKKRLQQLIDSHPVMLFMKGNPEEPKCGFSRKVVDILKDEKVKFGTFDILSDNEVREGLKKFSKWPTFPQLYCKGELLGGCDIAIAMHESGELKEVFRDHGVDIIGTEQGTGGILEPTGLTENLNSRLQSLINSSPVMLFMKGKPGEPKCGFSHKVVEILKQEKVDFESFDILSDDEVRQGLKVYSNWSSYPQLYIKGELIGGSDIALEMQKSGELKRILTEKGIIKEETLEDHLKSLISSSPVMLFMKGTPDNPRCGFSSKVVNALKEEGIDFGSFDILTNDEVRQGLKVFSNWPTFPQLYYKGELIGGCDIVLELRNNGELKATLSE >KJB70125 pep chromosome:Graimondii2_0_v6:11:4747401:4749013:-1 gene:B456_011G059000 transcript:KJB70125 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SPL2 [Source:Projected from Arabidopsis thaliana (AT1G54150) UniProtKB/Swiss-Prot;Acc:Q9SYH3] MSSHEQAVASLISQLALSFDGAVLGAALAYAALRTIFRFKATSTALRKIRGAPYFRVADLRSLLEEDRSDSHEEPIVVIRGAVEARSATDLRSLKSLKSNVLVSQESGDKAVIIQRTQTYIYHEWRGLFGWTSDLRAIIGRSWNKKESTSMRTVPFILVEGGQWPQSDFVIVNMNGSKHPLPLTTVYHQLHPINASPYTFLQALFGHEYPVGLLDEEKILPVGKEISAVGICGFSNGVPEVKACKELPYFLTDMTKDQMLLDLAFKTKILFWSGVVLGSLSIGILGYAFVRYFVLV >KJB70123 pep chromosome:Graimondii2_0_v6:11:4746510:4749191:-1 gene:B456_011G059000 transcript:KJB70123 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SPL2 [Source:Projected from Arabidopsis thaliana (AT1G54150) UniProtKB/Swiss-Prot;Acc:Q9SYH3] MSSHEQAVASLISQLALSFDGAVLGAALAYAALRTIFRFKATSTALRKIRGAPYFRVADLRSLLEEDRSDSHEEPIVVIRGAVEARSATDLRSLKSLKSNVLVSQESGDKAVIIQRTQTYIYHEWRGLFGWTSDLRAIIGRSWNKKESTSMRTVPFILVEGGQWPQSDFVIVNMNGSKHPLPLTTVYHQLHPINASPYTFLQALFGHEYPVGLLDEEKILPVGKEISAVGICGFSNGVPEVKACKELPYFLTDMTKDQMLLDLAFKTKILFWSGVVLGSLSIGILGYAFVRNWNKWKERRLRRFQQAANAATDDSTLQMDLDEELGDVPDGELCVVCLMRRRRSAFIPCGHLVCCQHCAVSVERELVPKCPVCRMAIRSSVRIYAS >KJB70124 pep chromosome:Graimondii2_0_v6:11:4746571:4749142:-1 gene:B456_011G059000 transcript:KJB70124 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SPL2 [Source:Projected from Arabidopsis thaliana (AT1G54150) UniProtKB/Swiss-Prot;Acc:Q9SYH3] MSSHEQAVASLISQLALSFDGAVLGAALAYAALRTIFRFKATSTALRKIRGAPYFRVADLRSLLEEDRSDSHEEPIVVIRGAVEARSATDLRSLKSLKSNVLVSQESGDKAVIIQRTQTYIYHEWRGLFGWTSDLRAIIGRSWNKKESTSMRTVPFILVEGGQWPQSDFVIVNMNGSKHPLPLTTVYHQLHPINASPYTFLQALFGHEYPRKFFLWERKSVLLAFAVLVMECLKLRHARSFPISLLT >KJB71301 pep chromosome:Graimondii2_0_v6:11:15173636:15178150:1 gene:B456_011G115900 transcript:KJB71301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSEEGENDVFFDSLDCLSIEEPVLAKQGLECGKLEYEIWMNEPGSVKERRERFLLGMDLVEFANSSRIKDLQRITECSDAVLSSSCPSAGNGEGSIADCDRKMMCEANLLLDESTAALESENKVFEQQETQQHLDESEKAEVNRKKFKKWWKHFSSMRKVGVSRGSSKTSKPSFEVCETNRVMVQSNKKGYMEFSALYMGQEIQAHKGFIWTMKFSPDGQYLASGGEDGVVRIWRVMSTDAFSKPLMAEHNLGRSMDKGKFGFGREKLVDSQVVIPNKIFRIEESPIQELHGHGSDVLDVAWSRSNFLISSSMDKTVRLWKVGCNQCLNVFHHNNYVTCIQFNPIDDSYFISGSIDGKVRIWGVSEKRVIHWVDVWDIVTAICYRPDGKEFIAGSIRGTCHFYQVSGDDIILEAEIHIHGRKKTSGNKITSIQYSQDEPHKVMITSKDSKLRILDGVDTVRKFKGLPKSRSQMSASFTSTGRHIISVGEDCRVYVWNYDDICPRTSKHTKSVSSCEHFFCEDVSVAIPWLGQGSDQRHSDRSLRGDQIEGTSWIRDSQRFSLGNWFSIDGSCKSSATWPEEKLMLWEITVAEDEYYSYEQQQLCHNYGDYHATLPETWGLVIVAGGRNGRIKTFHNYGLPVSL >KJB71300 pep chromosome:Graimondii2_0_v6:11:15174582:15178194:1 gene:B456_011G115900 transcript:KJB71300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSEEGENDVFFDSLDCLSIEEPVLAKQGLECGKLEYEIWMNEPGSVKERRERFLLGMDLVEFANSSRIKDLQRITECSDAVLSSSCPSAGNGEGSIADCDRKMMCEANLLLDESTAALESENKVFEQQETQQHLDESEKAEVNRKKFKKWWKHFSSMRKVGVSRGSSKTSKPSFEVCETNRVMVQSNKKGYMEFSALYMGQEIQAHKGFIWTMKFSPDGQYLASGGEDGVVRIWRVMSTDAFSKPLMAEHNLGRSMDKGKFGFGREKLVDSQVVIPNKIFRIEESPIQELHGHGSDVLDVAWSRSNFLISSSMDKTVRLWKVGCNQCLNVFHHNNYVTCIQFNPIDDSYFISGSIDGKVRIWGVSEKRVIHWVDVWDIVTAICYRPDGKEFIAGSIRGTCHFYQVSGDDIILEAEIHIHGRKKTSGNKITSIQYSQDEPHKVMITSKDSKLRILDGVDTVRKFKGLPKSRSQMSASFTSTGRHIISVGEDCRVYVWNYDDICPRTSKHTKSVSSCEHFFCEDVSVAIPWLGQGSDQRHSDRSLRGDQIEGTSWIRDSQRFSLGNWFSIDGSCKSSATWPEEKLMLWEITVAEDEYYSYEQQQLCHNYGDYHATLPETWGLVIVAGGRNGRIKTFHNYGLPVSL >KJB71302 pep chromosome:Graimondii2_0_v6:11:15174233:15178150:1 gene:B456_011G115900 transcript:KJB71302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSEEGENDVFFDSLDCLSIEEPVLAKQGLECGKLEYEIWMNEPGSVKERRERFLLGMDLVEFANSSRIKDLQRITECSDAVLSSSCPSAGNGEGSIADCDRKMMCEANLLLDESTAALESENKVFEQQETQQHLDESEKAEVNRKKFKKWWKHFSSMRKVGVSRGSSKTSKPSFEVCETNRVMVQSNKKGYMEFSALYMGQEIQAHKGFIWTMKFSPDGQYLASGGEDGVVRIWRVMSTDAFSKPLMAEHNLGRSMDKGKFGFGREKLVDSQVVIPNKIFRIEESPIQELHGHGSDVLDVAWSRSNFLISSSMDKTVRLWKVGCNQCLNVFHHNNYVTCIQFNPIDDSYFISGSIDGKVRIWGVSEKRVIHWVDVWDIVTAICYRPDGKEFIAGSIRGTCHFYQVSGDDIILEAEIHIHGRKKTSGNKITSIQYSQDEPHKVMITSKDSKLRILDGVDTVRKFKGLPKSRSQMSASFTSTGRHIISVGEDCRVYVWNYDDICPRTSKHTKSVSSCEHFFCEDVSVAIPWLGQGSDQRHSDRSLRGDQIEGTSWIRDSQRFSLGNWFSIDGSCKSSATWPEEKLMLWEITVAEDEYYSYEQQQLCHNYGDYHATLPETWGLVIVAGGRNGRIKTFHNYGLPVSL >KJB69504 pep chromosome:Graimondii2_0_v6:11:1993491:1997121:1 gene:B456_011G027100 transcript:KJB69504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSGLNGLRYDEESALNSLLDAFGSVFSLNDIASAYCEADRNVDIAGEILFRMQGNTSVERKNGETLESSRANNSRNCSRVNGDFTAPKQIWRLVSASSNATNSELKKDEPLESSSSDSSQNSCQANGDSRTVKQKWRLVSASSNTTKSELKKDKPLESSSSDGSQNSCQANGDSRTVKQKWRPVSGGMVSSMLGKGYMKSAPSTNGSNPRTKPLKVDSKEWPASVLWGEGHKSSPPKEDRLHKDMEDFLFKMLGAGFQLERNVIKEVLDSCGYDMQKSMERLLDRSATSLDEGNKILGESSKKTNDKHPISEEPSHKKNIGLNTNGGTRQMKDRYDLQKEIWTALFSAPERLDELPTRRVRPARRSIALGEPVEGPLIDFDSESKVDRVHLQEDKKDDEDEEYGFQALRRAVTEYRGTMKEYYKAAIDAFAKGDKDLANRLVEQGQFFREKACQADEESNQKIFETWGTDAEDEMSLDLHEHGAKEAIRLLKCHLSSLAGIPSFKYLKLILETNEEDSSKGRRRQSVKRLLEKESITWSEGETCGVIRIRLDKINPKSLSFGKKMPSL >KJB69503 pep chromosome:Graimondii2_0_v6:11:1992856:1997099:1 gene:B456_011G027100 transcript:KJB69503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSGLNGLRYDEESALNSLLDAFGSVFSLNDIASAYCEADRNVDIAGEILFRMQGNTSVERKNGETLESSRANNSRNCSRVNGDFTAPKQIWRLVSASSNATNSELKKDEPLESSSSDSSQNSCQANGDSRTVKQKWRLVSASSNTTKSELKKDKPLESSSSDGSQNSCQANGDSRTVKQKWRPVSGGMVSSMLGKGYMKSAPSTNGSNPRTKPLKVDSKEWPASVLWGEGHKSSPPKEDRLHKDMEDFLFKMLGAGFQLERNVIKEVLDSCGYDMQKSMERLLDRSATSLDEGNKILGESSKKTNDKHPISEEPSHKKNIGLNTNGGTRQMKDRYDLQKEIWTALFSAPERLDELPTRRVRPARRSIALGEPVEGPLIDFDSESKVDRVHLQEDKKDDEDEEYGFQALRRAVTEYRGTMKEYYKAAIDAFAKGDKDLANRLVEQGQFFREKACQADEESNQKIFETWGTDAEDEMSLDLHEHGAKEAIRLLKCHLSSLAGIPSFKYLKLILETNEEDSSKGRRRQSVKRLLEKESITWSEGETCGVIRIRLDKINPKSLSFGKKMPSL >KJB69501 pep chromosome:Graimondii2_0_v6:11:1992704:1997112:1 gene:B456_011G027100 transcript:KJB69501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGNTSVERKNGETLESSRANNSRNCSRVNGDFTAPKQIWRLVSASSNATNSELKKDEPLESSSSDSSQNSCQANGDSRTVKQKWRLVSASSNTTKSELKKDKPLESSSSDGSQNSCQANGDSRTVKQKWRPVSGGMVSSMLGKGYMKSAPSTNGSNPRTKPLKVDSKEWPASVLWGEGHKSSPPKEDRLHKDMEDFLFKMLGAGFQLERNVIKEVLDSCGYDMQKSMERLLDRSATSLDEGNKILGESSKKTNDKHPISEEPSHKKNIGLNTNGGTRQMKDRYDLQKEIWTALFSAPERLDELPTRRVRPARRSIALGEPVEGPLIDFDSESKVDRVHLQEDKKDDEDEEYGFQALRRAVTEYRGTMKEYYKAAIDAFAKGDKDLANRLVEQGQFFREKACQADEESNQKIFETWGTDAEDEMSLDLHEHGAKEAIRLLKCHLSSLAGIPSFKYLKLILETNEEDSSKGRRRQSVKRLLEKESITWSEGETCGVIRIRLDKINPKSLSFGKKMPSL >KJB69502 pep chromosome:Graimondii2_0_v6:11:1992856:1997082:1 gene:B456_011G027100 transcript:KJB69502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSGLNGLRYDEESALNSLLDAFGSVFSLNDIASAYCEADRNVDIAGEILFRMQGNTSVERKNGETLESSRANNSRNCSRVNGDFTAPKQIWRLVSASSNATNSELKKDEPLESSSSDSSQNSCQANGDSRTVKQKWRLVSASSNTTKSELKKDKPLESSSSDGSQNSCQANGDSRTVKQKWRPVSGGMVSSMLGKGYMKSAPSTNGSNPRTKPLKVDSKEWPASVLWGEGHKSSPPKEDRLHKDMEDFLFKMLGAGFQLERNVIKEVLDSCGYDMQKSMERLLDRSATSLDEGNKILGESSKKTNDKHPISEEPSHKNRNIGLNTNGGTRQMKDRYDLQKEIWTALFSAPERLDELPTRRVRPARRSIALGEPVEGPLIDFDSESKVDRVHLQEDKKDDEDEEYGFQALRRAVTEYRGTMKEYYKAAIDAFAKGDKDLANRLVEQGQFFREKACQADEESNQKIFETWGTDAEDEMSLDLHEHGAKEAIRLLKCHLSSLAGIPSFKYLKLILETNEEDSSKGRRRQSVKRLLEKESITWSEGETCGVIRIRLDKINPKSLSFGKKMPSL >KJB70804 pep chromosome:Graimondii2_0_v6:11:9955902:9959372:1 gene:B456_011G091900 transcript:KJB70804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTPKIAPSMLSSDFANLASEAKRMLDFGADWLHMDIMDGHFVPNLTIGAPVIESLRKHTKAFLDCHLMVTNPIDYVEPLGKAGASGFTFHVEVSKENWQELIQKIKSKAMRPGVALKPGTLIEEVYPLVDSENPVEMVLVMTVEPGFGGQKFMPQMMDKVRVLRKKYPSLDIEVDGGLGPSTIEMAASAGANCIVAGSSIFGAAEPGTVISHMRNCVLENQEKC >KJB70803 pep chromosome:Graimondii2_0_v6:11:9956123:9958920:1 gene:B456_011G091900 transcript:KJB70803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTPKIAPSMLSSDFANLASEAKRMLDFGADWLHMDIMDGHFVPNLTIGAPVIESLRKHTKAFLDCHLMVTNPIDYVEPLGKAGASGFTFHVEVSKENWQELIQKIKSKAMRPGVALKPGTLIEEVYPLVDSENPVEMVLVMTVEPGFGGQKFMPQMMDKVRVLRKKYPSLDIENVPIQISDIARKMKCQSNIHGHSCLLKKWWV >KJB72945 pep chromosome:Graimondii2_0_v6:11:49721311:49724915:-1 gene:B456_011G205700 transcript:KJB72945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVIQRKPKIDVYSPKGRKMGDISGQIELREVYFSYPARPKELILKGFSLFIPSGKTAALVGRSGSGKSTVISLIERFYDPMTGEVLIDGVDLRKLQLKWIRRKIGLVSQEPVLFGSRSIRENIAYGREGASSEDVIAAAVLANAADFISKLPQGLDTMVGEQGIQLSGGQKQRIAIARAILKNPRILLLDEATSALDGESERIVQGALERAMLNRTVIVVSHRLSTVMNAHFIAVIHRGRIVQKGSHAELLKDRKGLYNQLLRLQDIGKEMKRDISVHDSLEIGPQSLSAPHRHFLHTTKSQEAMPELPSKSEASDKGPPQVPLSRLARLNSPEIPVLLLGALLAVANGVILPIFGTVLSGIIKTFSEPPQELSKDSKFWALMFVVLGFASFLSHSLSTYFFAIAGCKLIQRVRSMCFEKVVNMDIGWFDEPQHSSGAIGTRLSTDAVLVRRVVGDSLAILAQSTATAVAGLVIAFQANWQLALAILALLPLIGISGYGQLKSMKGFTANAKKMYEEANQVANEAVGSMRTVASFCAEKKVVKQYEKKCEAPLKAGIRHGLISGIGLGMSSFFLFFAYAISFYVGALVVHHGKATFHQVFRVFFALSVTAIGISQSNSLAPDTSKAKVSVASIFEILDQRSKIDPSQNCGKRSKHVKGDIQFRHVRFKYPSRPDIKIFRDLCLTIRAGMTVALVGESGSGKSTFISLLQRFYEPDAGRITLDGIEISKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKAGNVTEAEIVAAAKLANAHNFISSLQQGYNAVVGERGINLSGGQKQRVAIARAVIRAPKILLLDEATSALDAECERAVQEALERVMVGRTTVVVSHRLSTIKGADLIAVIRNGVIVEKGRHETLIKIKDGFYTSLMAPRNKM >KJB73323 pep chromosome:Graimondii2_0_v6:11:54089545:54095820:1 gene:B456_011G228000 transcript:KJB73323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRANPVEVPAPAFSSEEDEEVTSSEEEEEGSSTEEEGEEDPKTKSTPLTHKIPPHRKLETANDESDESGSDSESESDTAAPVAIATKPGSNKPLASSSKRPGESGLDAKEGKRPKKKVGGEGMATAPVVEEVKRTGEDAKKLLFQRLFSEDDEIALLKGMLDYSAKTGADPHTDMNGFYDFVKKSIHTNVSKVQLMDKVRRLKKKFKNNVGKNKKGEDPTFSKAHEQKAFELSKKIWGVSGKVESSTAKSNGKAKGNNKVVAALKAELPSSSDKKTDNAVPIEVDIVVSKSYSSLLDMKFSVSDTEVGVVKVGLDMVDGEKKAALEAKWRKLQMAQLELFVERAEFVTEQAKLALKYYKSEDE >KJB68720 pep chromosome:Graimondii2_0_v6:11:55776744:55779606:-1 gene:B456_011G2366002 transcript:KJB68720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVGRGQSAGWGWTTRGFWRVWICVLLNAFCLRSGLCRSLIFAAVRVTLKMLISKFNAIQSNTLSQLHKSGLALPEKLKKGDKLTKAILAFALPCWLLLIQPVQGGSKTPTLQYGTFGRRNNAPSTHPPPVNDPTRGCNAANGCRQFTLSLENLGGTLVEAVGNVTVAEGTLNHREPGTEAIAAGIATWFLNKTESNSNTYGRLSISQDPISSAAVSSQMLQLQAVSRGIARMGLQVYTSMSTEDNSKIPPADGNIEIKVNSTSNMVDLRFFTSLEISLGEADIIHVLESAKTVLQFTRH >KJB68718 pep chromosome:Graimondii2_0_v6:11:55777195:55778948:-1 gene:B456_011G2366002 transcript:KJB68718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISKFNAIQSNTLSQLHKSGLALPEKLKKGDKLTKAILAFALPCWLLLIQPVQGGSKTPTLQYGTFGRRNNAPSTHPPPVNDPTRGCNAANGCRQFTLSLENLGGTLVEAVGNVTVAEGTLNHREPGTEAIAAGIATWFLNKTESNSNTYGRLSISQDPISSAAVSSQMLQLQAVSRGIARMGLQVYTSMSTEDNSKIPPADGNIEIKVNSTSNMVDLRFFTSLEISLGEADIIHVLESAKTVLQFTRH >KJB68721 pep chromosome:Graimondii2_0_v6:11:55777103:55777508:-1 gene:B456_011G2366002 transcript:KJB68721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVSRGIARMGLQVYTSMSTEDNSKIPPADGNIEIKVNSTSNMVDLRFFTSLEISLGEADIIHVLESAKTVLQFTRH >KJB68722 pep chromosome:Graimondii2_0_v6:11:55777195:55777508:-1 gene:B456_011G2366002 transcript:KJB68722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVSRGIARMGLQVYTSMSTEDNSKIPPADGNIEIKVNSTSNMVDLRFFTSLEISLGEADIIHVLESAKTVLQFTRH >KJB68719 pep chromosome:Graimondii2_0_v6:11:55776706:55779606:-1 gene:B456_011G2366002 transcript:KJB68719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVGRGQSAGWGWTTRGFWRVWICVLLNAFCLRSGLCRSLIFAAVRVSVTLKMLISKFNAIQSNTLSQLHKSGLALPEKLKKGDKLTKAILAFALPCWLLLIQPVQGGSKTPTLQYGTFGRRNNAPSTHPPPVNDPTRGCNAANGCRQFTLSLENLGGTLVEAVGNVTVAEGTLNHREPGTEAIAAGIATWFLNKTESNSNTYGRLSISQDPISSAAVSSQMLQLQAVSRGIARMGLQVYTSMSTEDNSKIPPADGNIEIKVNSTSNMVDLRFFTSLEISLGEADIIHVLESAKTVLQFTRH >KJB70391 pep chromosome:Graimondii2_0_v6:11:6549212:6555069:-1 gene:B456_011G071400 transcript:KJB70391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLHRSCFLNNTGISGSSSPFSYKLKKLPPASVKVTALGPNRDRNSSVLIENNNNPLKEIKEDGTSSVADVDPNPTVTGEDRDLYYDAAATDDQLVTPWSLSVASGYSLLRDPHHNKGLAFNEKERDSYYLRGLLPPTVISQELQVKKMMYSIRQYQVPLQKYMAMMDLQELNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKIHEVLRNWPQRDIQVIVVTDGERILGLGDLGCQGMGIPIGKLSLYTALGGVRPSACLPVTIDVGTNNEQLLNDEFYIGLRRRRATGQEYAELMHEFMSAVKQNYGEKVLIQFEDFANHNAFDLLAKYGTTHLVFNDDIQGTASVVLAGLVAALKVVGGTLADHRFLFLGAGEAGTGIAELIALEISKQTNMPLEEARKKISLVDSKGLIVKSRIDSLQHFKKPWAHDHEPIKKLVDAVNGIKPTVLIGTSGVGRTFTKEVVEAMAALNEKPIIFSLSNPTSQSECTAEEAYTWSEGRAIFASGSPFDPVEYKGRVFVPGQANNAYIFPGLGLGLIMSGAIRVHDDMLLAASEALAAQVTQENFDKGLIYPPFRNIRKISAHIAASVAAKAYELGLATRQPQPKDLVKYAEHCMYNPAYQSYR >KJB70393 pep chromosome:Graimondii2_0_v6:11:6549212:6555069:-1 gene:B456_011G071400 transcript:KJB70393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLHRSCFLNNTGISGSSSPFSYKLKKLPPASVKVTALGPNRDRNSSVLIENNNNPLKEIKEDGTSSVADVDPNPTVTGEDRDLYYDAAATDDQLVTPWSLSVASGYSLLRDPHHNKGLAFNEKERDSYYLRGLLPPTVISQELQVKKMMYSIRQYQVPLQKYMAMMDLQELNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLFISLKEKYIHEVLRNWPQRDIQVIVVTDGERILGLGDLGCQGMGIPIGKLSLYTALGGVRPSACLPVTIDVGTNNEQLLNDEFYIGLRRRRATGQEYAELMHEFMSAVKQNYGEKVLIQFEDFANHNAFDLLAKYGTTHLVFNDDIQGTASVVLAGLVAALKVVGGTLADHRFLFLGAGEAGTGIAELIALEISKQTNMPLEEARKKISLVDSKGLIVKSRIDSLQHFKKPWAHDHEPIKKLVDAVNGIKPTVLIGTSGVGRTFTKEVVEAMAALNEKPIIFSLSNPTSQSECTAEEAYTWSEGRAIFASGSPFDPVEYKGRVFVPGQANNAYIFPGLGLGLIMSGAIRVHDDMLLAASEALAAQVTQENFDKGLIYPPFRNIRKISAHIAASVAAKAYELGLATRQPQPKDLVKYAEHCMYNPAYQSYR >KJB70394 pep chromosome:Graimondii2_0_v6:11:6549212:6555171:-1 gene:B456_011G071400 transcript:KJB70394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLHRSCFLNNTGISGSSSPFSYKLKKLPPASVKVTALGPNRDRNSSVLIENNNNPLKEIKEDGTSSVADVDPNPTVTGEDRDLYYDAAATDDQLVTPWSLSVASGYSLLRDPHHNKGLAFNEKERDSYYLRGLLPPTVISQELQVKKMMYSIRQYQVPLQKYMAMMDLQELNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKIHEVLRNWPQRDIQVIVVTDGERILGLGDLGCQGMGIPIGKLSLYTALGGVRPSACLPVTIDVGTNNEQLLNDEFYIGLRRRRATGQEYAELMHEFMSAVKQNYGEKVLIQFEDFANHNAFDLLAKYGTTHLVFNDDIQGTASVVLAGLVAALKVVGGTLADHRFLFLGAGEAGTGIAELIALEISKQTNMPLEEARKKISLVDSKGLIVKSRIDSLQHFKKPWAHDHEPIKKLVDAVNGIKPTVLIGTSGVGRTFTKEVVEAMAALNEKPIIFSLSNPTSQSECTAEEAYTWSEGRAIFASGSPFDPVEYKGRVFVPGQANNAYIFPGLGLGLIMSGAIRVHDDMLLAASEALAAQVTQENFDKGLIYPPFRNIRKISAHIAASVAAKAYELGLATRQPQPKDLVKYAEHCMYNPAYQSYR >KJB70392 pep chromosome:Graimondii2_0_v6:11:6550069:6553487:-1 gene:B456_011G071400 transcript:KJB70392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFTTTHQVKKMMYSIRQYQVPLQKYMAMMDLQELNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKIHEVLRNWPQRDIQVIVVTDGERILGLGDLGCQGMGIPIGKLSLYTALGGVRPSACLPVTIDVGTNNEQLLNDEFYIGLRRRRATGQEYAELMHEFMSAVKQNYGEKVLIQFEDFANHNAFDLLAKYGTTHLVFNDDIQGTASVVLAGLVAALKVVGGTLADHRFLFLGAGEAGTGIAELIALEISKQTNMPLEEARKKISLVDSKGLIVKSRIDSLQHFKKPWAHDHEPIKKLVDAVNGIKPTVLIGTSGVGRTFTKEVVEAMAALNEKPIIFSLSNPTSQSECTAEEAYTWSEGRAIFASGSPFDPVEYKGRVFVPGQVVHKVV >KJB72785 pep chromosome:Graimondii2_0_v6:11:47722342:47723345:1 gene:B456_011G197500 transcript:KJB72785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTYDYENTSPVAPARLFKAFTVEAPKVWPTAAPNAVKSLEVEANPSSGSIVKINFVEGLPFQYMKHQIGGHDESSFSYSYDLIEGGPLGDKLEKISYENKFEAAAGGGSICKSSMKFYTVGDNVITEDEIKALIKGSEGVYKPVEAYLLANPEACN >KJB70805 pep chromosome:Graimondii2_0_v6:11:9978403:9981333:-1 gene:B456_011G092000 transcript:KJB70805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTMERKKSLSSSVLKPAPPKASPRLRRLLLKSLLSRVYEHIKAPLLTLVSSGSPEQSYAVLSHLHLLVMRAPYVFSSDYKHFYCQYNEPSYVKRLKLEMLTAVTSESNSYEIVTELCEYAANVDIPIARESIRAVGKITLLQYDVNAIVDRLLQFLEMEKDYVTTEALVLVKDLLRKYPQWSHDCIAVVGNISSKNLQEPKAKAALIWMLGEYYQDMQDAPYVLESLVENWDEEHSAEDID >KJB70806 pep chromosome:Graimondii2_0_v6:11:9979170:9981038:-1 gene:B456_011G092000 transcript:KJB70806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTMERKKSLSSSVLKPAPPKASPRLRRLLLKSLLSRVYEHIKAPLLTLVSSGSPEQSYAVLSHLHLLVMRAPYVFSSDYKHFYCQYNEPSYVKRLKLEMLTAVTSESNSYEIVTELCEYAANVDIPIARESIRAVGKITLLQYDVNAIVDRLLQFLEMEKDYVTTEALVLVKDLLRKYPQWSHDCIAVVGNISSKNLQEPKAKAALIWMLGEYYQDMQDAPYVLESLVENWDEEHSAEDID >KJB70808 pep chromosome:Graimondii2_0_v6:11:9978031:9981482:-1 gene:B456_011G092000 transcript:KJB70808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTMERKKSLSSSVLKPAPPKASPRLRRLLLKSLLSRVYEHIKAPLLTLVSSGSPEQSYAVLSHLHLLVMRAPYVFSSDYKHFYCQYNEPSYVKRLKLEMLTAVTSESNSYEIVTELCEYAANVDIPIARESIRAVGKITLLQYDVNAIVDRLLQFLEMEKDYVTTEALVLVKDLLRKYPQWSHDCIAVVGNISSKNLQEPKAKAALIWMLGEYYQDMQDAPYVLESLVENWDEEHSAEDID >KJB70809 pep chromosome:Graimondii2_0_v6:11:9979662:9981038:-1 gene:B456_011G092000 transcript:KJB70809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTMERKKSLSSSVLKPAPPKASPRLRRLLLKSLLSRVYEHIKAPLLTLVSSGSPEQSYAVLSHLHLLVMRAPYVFSSDYKHFYCQYNEPSYVKRLKLEMLTAVTSESNSYEIVTELCEYAANVDIPIARESIRAVGKITLLQYDVNAIVDRLLQFLEMEKDYVTTEALVIRYLYPYSMFGLLNICITSIYEFFYAGACERSS >KJB70807 pep chromosome:Graimondii2_0_v6:11:9978794:9981482:-1 gene:B456_011G092000 transcript:KJB70807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTMERKKSLSSSVLKPAPPKASPRLRRLLLKSLLSRVYEHIKAPLLTLVSSGSPEQSYAVLSHLHLLVMRAPYVFSSDYKHFYCQYNEPSYVKRLKLEMLTAVTSESNSYEIVTELCEYAANVDIPIARESIRAVGKITLLQYDVNAIVDRLLQFLEMEKDYVTTEALVLVKDLLRKYPQWSHDCIAVVGNISSKNLQEPKAKAALIWMLGEYYQDMQDAPYVLESLVENWDEEHSAEDID >KJB73810 pep chromosome:Graimondii2_0_v6:11:58254501:58255959:1 gene:B456_011G254000 transcript:KJB73810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTDSRGKTELPMNKRYQETSPDRTLVWFERKKPNNDQKVPVVYYLSRNGHLEHPHFLEVPLSSPQGLFLKDVINKLNTLRGDGMANKFSWSSKRSYKNGFVWQDLSENDYIYPCNGREYILKGSLLLESSLSFRSYETVSSTSSISKNSSVTYSSSEDSNVAGKTRRKHHSWSEFKELDDEHKIYKAKTSRDFSSKGNSVSTQTDHEMGNNQVQDSRPSSNSNSEILKSMNIAADIRDQSVENDRPSGRIKAAAVLMQLIACGSKRVKDLETMEIRG >KJB73809 pep chromosome:Graimondii2_0_v6:11:58254412:58255934:1 gene:B456_011G254000 transcript:KJB73809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTDSRGKTELPMNKRYQETSPDRTLVWFERKKPNNDQKVPVVYYLSRNGHLEHPHFLEVPLSSPQGLFLKDVINKLNTLRGDGMANKFSWSSKRSYKNGFVWQDLSENDYIYPFSSTSSISKNSSVTYSSSEDSNVAGKTRRKHHSWSEFKELDDEHKIYKAKTSRDFSSKGNSVSTQTDHEMGNNQVQDSRPSSNSNSEILKSMNIAADIRDQSVENDRPSGRIKAAAVLMQLIACGSKRVKDLETMEIRG >KJB72874 pep chromosome:Graimondii2_0_v6:11:49421269:49422500:1 gene:B456_011G204100 transcript:KJB72874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNARCYFVMSTLFTLLIIAVVVNIDLLFWIVLCAFLLVVAIFFFRRFKNGFQQVEEDNYLDNMLKMPTRFSYKDLKNITKNFSNKLGEGGFGSVCQGTLPTGSEVAVKHLFYVGPINKSFISEVQTIGNLNHFNLVSLVGFCAEKFNRFIVYEFMVNGSLDQWIFKTNQQLALGWQVRKKIILDIAKGLAYLHEDCNQKIIHLDIKPQNILLDVNFNAKISDFGLSKLIERDQSQVITRMRGTPGYMAPEWLSSVITEKVDVYSFGIVVLEILCGRQNIDESQLDENRHLLELFRRKQEEGKLLDLVDECNGDMHSNATEVMEMMKVVASCLQTENANRPSMSSLVKLFEGSVDVVINMDEDSQNELTLEVEVESLASTVADSVLSGPR >KJB72970 pep chromosome:Graimondii2_0_v6:11:49928472:49929634:-1 gene:B456_011G207600 transcript:KJB72970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKMILRMNAADHEISYANNSSFQKQVILKIRPIIEESITDAFKKIAPVCMKVADLGCSSGPNVFLAIWHILDTVHGICQQEQLKLPEFEVLLNDLPENDFNFVFKSIPGFYERLKKERGDMLQERCFIGGVAGSFYHRLFPTKSLHFVHSSYGIHWLSKVPVGLEDNKGNVYMARSSPPSVFKAYADQFQKDFTNFLSLRSEEIMPQGCMVLTCVGRKNPNPSKEIMVGICYPNPFLTWLWRE >KJB72971 pep chromosome:Graimondii2_0_v6:11:49927987:49929659:-1 gene:B456_011G207600 transcript:KJB72971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKMILRMNAADHEISYANNSSFQKQVILKIRPIIEESITDAFKKIAPVCMKVADLGCSSGPNVFLAIWHILDTVHGICQQEQLKLPEFEVLLNDLPENDFNFVFKSIPGFYERLKKERGDMLQERCFIGGVAGSFYHRLFPTKSLHFVHSSYGIHWLSKVPVGLEDNKGNVYMARSSPPSVFKAYADQFQKDFTNFLSLRSEEIMPQGCMGVVQEADVDSFNVPHYTPCKEEIAEIVEREGSFDIKRLQVFEANPSAVLNREEQLHNQDLDFNVYLEMGKKTANGVRAISEPLLSSHFGDAVIDKLFKRYATHVADGLGNSKFHKLTTIVVSLTKK >KJB68843 pep chromosome:Graimondii2_0_v6:11:58879376:58879430:1 gene:B456_011G2588002 transcript:KJB68843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVQYHSRSHSRDHVPE >KJB71375 pep chromosome:Graimondii2_0_v6:11:16391467:16391985:1 gene:B456_011G119500 transcript:KJB71375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HPSLIECFENSDVLEKFNTYFATHTVQISRPVNLNILANTLQFKYLDQLCDCGWLECLQLRSPCYENIVRAFYSNTKLKHSPNTHLVQSITSSIMGQELTISVETLSSYLSITNEGDEHHIDSYDTSLTKPNDYFGYNIDIHDRILHLIFTSIVALTNKHFGFRHTGYWIWH >KJB70678 pep chromosome:Graimondii2_0_v6:11:9035490:9038827:-1 gene:B456_011G086600 transcript:KJB70678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLGWMQHKFQQSNIEPFKDFRIGNYCTCLSAYSSFNEQGSHPKSTSSGGYGSRYTTQRKQESENSTDFKAGEVDNFGDDTSTDFLTIGTLASEWAIGEPVTPRFTMFLENITEEKTEVTENDLKVINDELEKFLGPEAEEHGSHESSGRNSLVSAIALNGEPTQEVSAEEYGKAIVCPLQGYLFGSSIELPETRFQVKKEKATLAELFYGTKIAEESTMEKCGKEEMQTKQTIKPLKHFIKKILKMVHASSRSSISFTKETNPVSTKKKLQKCGLQVTRLFHRKIHPESSIAERELKAQHKNEMNNTPYNDGDSTDGEQMHQVEVKNWFSQGSTSRAGTQNYKSFSVMPQPQHGVTGCTAASSNGAHWIKTEADYLVLEL >KJB70677 pep chromosome:Graimondii2_0_v6:11:9035195:9038964:-1 gene:B456_011G086600 transcript:KJB70677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLGWMQHKFQQSNIEPFKDFRIGNYCTCLSAYSSFNEQGSHPKSTSSGGYGSRYTTQRKQESENSTDFKAGEVDNFGDDTSTDFLTIGTLASEWAIGEPVTPRFTMFLENITEEKTEVTENDLKVINDELEKFLGPEAEEHGSHESSGRNSLVSAIALNGEPTQEVSAEEYGKAIVCPLQGYLFGSSIELPETRFQVKKEKATLAELFYGTKIAEESTMEKCGKEEMQTKQTIKPLKHFIKKILKMVHASSRSSISFTKETNPVSTKKKLQKVTRLFHRKIHPESSIAERELKAQHKNEMNNTPYNDGDSTDGEQMHQVEVKNWFSQGSTSRAGTQNYKSFSVMPQPQHGVTGCTAASSNGAHWIKTEADYLVLEL >KJB68695 pep chromosome:Graimondii2_0_v6:11:8625825:8626135:-1 gene:B456_011G0842004 transcript:KJB68695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILLNLSSFWIS >KJB68694 pep chromosome:Graimondii2_0_v6:11:8626112:8626135:-1 gene:B456_011G0842004 transcript:KJB68694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPNREHK >KJB68693 pep chromosome:Graimondii2_0_v6:11:8625850:8626135:-1 gene:B456_011G0842004 transcript:KJB68693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPNREHK >KJB69907 pep chromosome:Graimondii2_0_v6:11:3846744:3849169:1 gene:B456_011G049200 transcript:KJB69907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKSLIYAFVSRGGVILAEYTEYSGNFNSIALQCLQKLPSSNNKFTYNCDGHTFNYLVDNGYTYCVVADESAGRQVPIAFLERIKDDFVSKYDNGKAATAPANSLNKEFGPKLKEHMQYCMEHPEEISKLAKVKAQVSEVKGVMMENIEKVVYVLC >KJB69905 pep chromosome:Graimondii2_0_v6:11:3846661:3849217:1 gene:B456_011G049200 transcript:KJB69905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKSLIYAFVSRGGVILAEYTEYSGNFNSIALQCLQKLPSSNNKFTYNCDGHTFNYLVDNGYTYCVVADESAGRQVPIAFLERIKDDFVSKYDNGKAATAPANSLNKEFGPKLKEHMQYCMEHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHNQAQDFRSTGTKIRRKMWLQNMKIKLIVLGILIALILIIVLSVCHGFNCGKK >KJB69906 pep chromosome:Graimondii2_0_v6:11:3846744:3848655:1 gene:B456_011G049200 transcript:KJB69906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKSLIYAFVSRGGVILAEYTEYSGNFNSIALQCLQKLPSSNNKFTYNCDGHTFNYLVDNGYTYCVVADESAGRQVPIAFLERIKDDFVSKYDNGKAATAPANSLNKEFGPKLKEHMQYCMEHPEEISKLAKVKAQVSEVKGVMMENIEKVVYVLC >KJB71809 pep chromosome:Graimondii2_0_v6:11:22639378:22651086:1 gene:B456_011G143200 transcript:KJB71809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRVVPACGNLCFFCPSMRARSRQPVKRYKKLLAEIFPRNQDAEPNDRKIGKLCEYASRNPLRIPKITSNLEQRCFKDLRNENFGCVKAVLCIYRKLLSSCKEQMPLFASSLLGIIRALLEQNRQDEMRILGCNALVDFINSQMDGTHMFQLEGLIPKLCQLAQEYGDDDRALHLRSSGLQVLASMVCFMGEHSHISMDFDSIISVTLENYMDIQMSPVNGSKVGENGSSVLDIDEKSLSVPNLVINPDFDPTMDTSKSPSYWARVILSNIARLAKEATTIRRVLEPLFHNFDAENHWSKEKGVAFSLLIYLQLLIEETGEKSDQLLAILVKHMEHKNVAKQPHIQVNIVNVITQLAQNAKLQPSMAIIGTIADLMKHLRKCLQNSAELSSSGGDIDKYNTDLLLALEKCISQLSNKVGDVGPILDMMAVVLENISTNNIVARSTISSVHRTANIISSIPNISYHKKTFPDALFHQLLLAMSHPDHETRVGAHSIFSIVLMPSLLSPSSEQNKKIAETVSSDLSVGASVKLTSLRLSSHQVSLLLSSIWVQANSTDNTPANFEAMAHSFYLAVLFTRSKTSSHMALVRSFQLAFSLRSISLDQEGGLQPSRRRSLFTLASYMLIFSARAGDLPELIPIVKASLTDKIVDPYLKLVEDVRLQAVRVKSDVDSVAYGSKEDDAAASKALLAIELDDLHLKETVISHFMIKFDKLSEDELSSIKKQILEGFSPDDAYPFGAPLFMETPRPCSPLAQMEFLAFEEIMPLAAITDDEAFPEGNGSQSGRKASLSLSTLDVLSVNELLDSVLETARQVASFSVSPTPIPYEQMRSQCEALIIGKQQKMSVIHSFKHQQEAKATFEENGKEVLCLPNVKVEFSEDLKLISNEQVHARGQLAVCSLEYGQHSFKLPPSSPYDKFLKAAGC >KJB71805 pep chromosome:Graimondii2_0_v6:11:22639251:22651086:1 gene:B456_011G143200 transcript:KJB71805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRVVPACGNLCFFCPSMRARSRQPVKRYKKLLAEIFPRNQDAEPNDRKIGKLCEYASRNPLRIPKITSNLEQRCFKDLRNENFGCVKAVLCIYRKLLSSCKEQMPLFASSLLGIIRALLEQNRQDEMRILGCNALVDFINSQMDGTHMFQLEGLIPKLCQLAQEYGDDDRALHLRSSGLQVLASMVCFMGEHSHISMDFDSIISVTLENYMDIQMSPVNGSKVGENGSSVLDIDEKSLSVPNLVINPDFDPTMDTSKSPSYWARVILSNIARLAKEATTIRRVLEPLFHNFDAENHWSKEKGVAFSLLIYLQLLIEETGEKSDQLLAILVKHMEHKNVAKQPHIQVNIVNVITQLAQNAKLQPSMAIIGTIADLMKHLRKCLQNSAELSSSGGDIDKYNTDLLLALEKCISQLSNKVGDVGPILDMMAVVLENISTNNIVARSTISSVHRTANIISSIPNISYHKKTFPDALFHQLLLAMSHPDHETRVGAHSIFSIVLMPSLLSPSSEQNKKIAETVSSDLSVGASVKVRSHSFAFQDEGKEQTERLKENGNEGSIIYQFHGNSFSFKHALGDRKMLTSLRLSSHQVSLLLSSIWVQANSTDNTPANFEAMAHSFYLAVLFTRSKTSSHMALVRSFQLAFSLRSISLDQEGGLQPSRRRSLFTLASYMLIFSARAGDLPELIPIVKASLTDKIVDPYLKLVEDVRLQAVRVKSDVDSVAYGSKEDDAAASKALLAIELDDLHLKETVISHFMIKFDKLSEDELSSIKKQILEGFSPDDAYPFGAPLFMETPRPCSPLAQMEFLAFEEIMPLAAITDDEAFPEGNGSQSGRKASLSLSTLDVLSVNELLDSVLETARQVASFSVSPTPIPYEQMRSQCEALIIGKQQKMSVIHSFKHQQEAKATFEENGKEVLCLPNVKVEFSEDLKLISNEQVHARGQLAVCSLEYGQHSFKLPPSSPYDKFLKAAGC >KJB71812 pep chromosome:Graimondii2_0_v6:11:22643561:22651086:1 gene:B456_011G143200 transcript:KJB71812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQMSPVNGSKVGENGSSVLDIDEKSLSVPNLVINPDFDPTMDTSKSPSYWARVILSNIARLAKEATTIRRVLEPLFHNFDAENHWSKEKGVAFSLLIYLQLLIEETGEKSDQLLAILVKHMEHKNVAKQPHIQVNIVNVITQLAQNAKLQPSMAIIGTIADLMKHLRKCLQNSAELSSSGGDIDKYNTDLLLALEKCISQLSNKVGDVGPILDMMAVVLENISTNNIVARSTISSVHRTANIISSIPNISYHKKTFPDALFHQLLLAMSHPDHETRVGAHSIFSIVLMPSLLSPSSEQNKKIAETVSSDLSVGASVKVRSHSFAFQDEGKEQTERLKENGNEGSIIYQFHGNSFSFKHALGDRKMLTSLRLSSHQVSLLLSSIWVQANSTDNTPANFEAMAHSFYLAVLFTRSKTSSHMALVRSFQLAFSLRSISLDQEGGLQPSRRRSLFTLASYMLIFSARAGDLPELIPIVKASLTDKIVDPYLKLVEDVRLQAVRVKSDVDSVAYGSKEDDAAASKALLAIELDDLHLKETVISHFMIKFDKLSEDELSSIKKQILEGFSPDDAYPFGAPLFMETPRPCSPLAQMEFLAFEEIMPLAAITDDEAFPEGNGSQSGRKASLSLSTLDVLSVNELLDSVLETARQVASFSVSPTPIPYEQMRSQCEALIIGKQQKMSVIHSFKHQQEAKATFEENGKEVLCLPNVKVEFSEDLKLISNEQVHARGQLAVCSLEYGQHSFKLPPSSPYDKFLKAAGC >KJB71806 pep chromosome:Graimondii2_0_v6:11:22639378:22648021:1 gene:B456_011G143200 transcript:KJB71806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRVVPACGNLCFFCPSMRARSRQPVKRYKKLLAEIFPRNQDAEPNDRKIGKLCEYASRNPLRIPKITSNLEQRCFKDLRNENFGCVKAVLCIYRKLLSSCKEQMPLFASSLLGIIRALLEQNRQDEMRILGCNALVDFINSQMDGTHMFQLEGLIPKLCQLAQEYGDDDRALHLRSSGLQVLASMVCFMGEHSHISMDFDSIISVTLENYMDIQMSPVNGSKVGENGSSVLDIDEKSLSVPNLVINPDFDPTMDTSKSPSYWARVILSNIARLAKEATTIRRVLEPLFHNFDAENHWSKEKGVAFSLLIYLQLLIEETGEKSDQLLAILVKHMEHKNVAKQPHIQVNIVNVITQLAQNAKLQPSMAIIGTIADLMKHLRKCLQNSAELSSSGGDIDKYNTDLLLALEKCISQLSNKVGDVGPILDMMAVVLENISTNNIVARSTISSVHRTANIISSIPNISYHKKTFPDALFHQLLLAMSHPDHETRVGAHSIFSIVLMPSLLSPSSEQNKKIAETVSSDLSVGASVKVRSHSFAFQDEGKEQTERLKENGNEGSIIYQFHGNSFSFKHALGDRKMLTSLRLSSHQVSLLLSSIWVQANSTDNTPANFEAMAHSFYLAVLFTRSKTSSHMALVRSFQLAFSLRSISLDQEGGLQPSRRRSLFTLASYMLIFSARAGDLPELIPIVKASLTDKIVDPYLKLVEDVRLQAVRVKSDVDSVAYGSKEDDAAASKALLAIELDDLHLKETVISHFMIKFDKLSEVMHQFLIFSLLF >KJB71810 pep chromosome:Graimondii2_0_v6:11:22639548:22651086:1 gene:B456_011G143200 transcript:KJB71810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRVVPACGNLCFFCPSMRARSRQPVKRYKKLLAEIFPRNQDAEPNDRKIGKLCEYASRNPLRIPKITSNLEQRCFKDLRNENFGCVKAVLCIYRKLLSSCKEQMPLFASSLLGIIRALLEQNRQDEMRILGCNALVDFINSQMDGTHMFQLEGLIPKLCQLAQEYGDDDRALHLRSSGLQVLASMVCFMGEHSHISMDFDSIISVTLENYMDIQMSPVNGSKVGENGSSVLDIDEKSLSVPNLVINPDFDPTMDTSKSPSYWARVILSNIARLAKEATTIRRVLEPLFHNFDAENHWSKEKGVAFSLLIYLQLLIEETGEKSDQLLAILVKHMEHKNVAKQPHIQVNIVNVITQLAQNAKLQPSMAIIGTIADLMKHLRKCLQNSAELSSSGGDIDKYNTDLLLALEKCISQLSNKVGDVGPILDMMAVVLENISTNNIVARSTISSVHRTANIISSIPNISYHKKTFPDALFHQLLLAMSHPDHETRVGAHSIFSIVLMPSLLSPSSEQNKKIAETVSSDLSVGASVKVRSHSFAFQDEGKEQTERLKENGNEGSIIYQFHGNSFSFKHALGDRKMLTSLRLSSHQVSLLLSSIWVQANSTDNTPANFEAMAHSFYLAVLFTRSKTSSHMALVRSFQLAFSLRSISLDQEGGLQPSRRRSLFTLASYMLIFSARAGDLPELIPIVKASLTDKIVDPYLKLVEDVRLQAVRVKSDVDSVAYGSKEDDAAASKALLAIELDDLHLKETVISHFMIKFDKLSEDELSSIKKQILEGFSPDDAYPFGAPLFMETPRPCSPLAQMEFLAFEEIMPLAAITDDEAFPEGNGSQSGRKASLSLSTLDVLSVNELLDSVLETARQVASFSVSPTPIPYEQMRSQCEALIIGKQQKMSVIHSFKHQQEAKATFEENGKEVLCLPNVKVEFSEDLKLISNEQVHARGQLAVCSLEYGQHSFKLPPSSPYDKFLKAAGC >KJB71808 pep chromosome:Graimondii2_0_v6:11:22639378:22651086:1 gene:B456_011G143200 transcript:KJB71808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRVVPACGNLCFFCPSMRARSRQPVKRYKKLLAEIFPRNQDAEPNDRKIGKLCEYASRNPLRIPKITSNLEQRCFKDLRNENFGCVKAVLCIYRKLLSSCKEQMPLFASSLLGIIRALLEQNRQDEMRILGCNALVDFINSQMDGTHMFQLEGLIPKLCQLAQEYGDDDRALHLRSSGLQVLASMVCFMGEHSHISMDFDSIISVTLENYMDIQMSPVNGSKVGENGSSVLDIDEKSLSVPNLVINPDFDPTMDTSKSPSYWARVILSNIARLAKEATTIRRVLEPLFHNFDAENHWSKEKGVAFSLLIYLQLLIEETGEKSDQLLAILVKHMEHKNVAKQPHIQVNIVNVITQLAQNAKLQPSMAIIGTIADLMKHLRKCLQNSAELSSSGGDIDKYNTDLLLALEKCISQLSNKVGDVGPILDMMAVVLENISTNNIVARSTISSVHRTANIISSIPNISYHKKTFPDALFHQLLLAMSHPDHETRVGAHSIFSIVLMPSLLSPSSEQNKKIAETVSSDLSVGASVKVRSHSFAFQDEGKEQTERLKENGNEGSIIYQFHGNSFSFKHALGDRKMQLTSLRLSSHQVSLLLSSIWVQANSTDNTPANFEAMAHSFYLAVLFTRSKTSSHMALVRSFQLAFSLRSISLDQEGGLQPSRRRSLFTLASYMLIFSARAGDLPELIPIVKASLTDKIVDPYLKLVEDVRLQAVRVKSDVDSVAYGSKEDDAAASKALLAIELDDLHLKETVISHFMIKFDKLSEDELSSIKKQILEGFSPDDAYPFGAPLFMETPRPCSPLAQMEFLAFEEIMPLAAITDDEAFPEGNGSQSGRKASLSLSTLDVLSVNELLDSVLETARQVASFSVSPTPIPYEQMRSQCEALIIGKQQKMSVIHSFKHQQEAKATFEENGKEVLCLPNVKVEFSEDLKLISNEQVHARGQLAVCSLEYGQHSFKLPPSSPYDKFLKAAGC >KJB71807 pep chromosome:Graimondii2_0_v6:11:22639378:22651086:1 gene:B456_011G143200 transcript:KJB71807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRVVPACGNLCFFCPSMRARSRQPVKRYKKLLAEIFPRNQDAEPNDRKIGKLCEYASRNPLRIPKITSNLEQRCFKDLRNENFGCVKAVLCIYRKLLSSCKEQMPLFASSLLGIIRALLEQNRQDEMRILGCNALVDFINSQMDGTHMFQLEGLIPKLCQLAQEYGDDDRALHLRSSGLQVLASMVCFMGEHSHISMDFDSIISVTLENYMDIQMSPVNGSKVGENGSSVLDIDEKSLSVPNLVINPDFDPTMDTSKSPSYWARVILSNIARLAKEATTIRRVLEPLFHNFDAENHWSKEKGVAFSLLIYLQLLIEETGEKSDQLLAILVKHMEHKNVAKQPHIQVNIVNVITQLAQNAKLQPSMAIIGTIADLMKHLRKCLQNSAELSSSGGDIDKYNTDLLLALEKCISQLSNKVGDVGPILDMMAVVLENISTNNIVARSTISSVHRTANIISSIPNISYHKKTFPDALFHQLLLAMSHPDHETRVGAHSIFSIVLMPSLLSPSSEQNKKIAETVSSDLSVGASVKVRSHSFAFQDEGKEQTERLKENGNEGSIIYQFHGNSFSFKHALGDRKMLTSLRLSSHQVSLLLSSIWVQANSTDNTPANFEAMAHSFYLAVLFTRSKTSSHMALVRSFQLAFSLRSISLDQEGGLQPSRRRSLFTLASYMLIFSARAGDLPELIPIVKASLTDKIVDPYLKLVEDVRLQAVRVKSDVDSVAYGSKEDDAAASKALLAIELDDLHLKETVISHFMIKFDKLSEDELSSIKKQILEGFSPDDAYPFGAPLFMETPRPCSPLAQMEFLAFEEIMPLAAITDDEAFPEGNGSQSGRKASLSLSTLDVLSVNELLDSVLETARQVASFSVSPTPIPYEQMRSQCEALIIGKQQKMSVIHSFKHQQEAKATFEENGKEVLCLPNVKVEFSEDLKLISNEQVHARGQLAVCSLEYGQHSFKLPPSSPYDKFLKAAGC >KJB71804 pep chromosome:Graimondii2_0_v6:11:22639378:22651086:1 gene:B456_011G143200 transcript:KJB71804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRVVPACGNLCFFCPSMRARSRQPVKRYKKLLAEIFPRNQDAEPNDRKIGKLCEYASRNPLRIPKITSNLEQRCFKDLRNENFGCVKAVLCIYRKLLSSCKEQMPLFASSLLGIIRALLEQNRQDEMRILGCNALVDFINSQMDGTHMFQLEGLIPKLCQLAQEYGDDDRALHLRSSGLQVLASMVCFMGEHSHISMDFDSIISVTLENYMDIQMSPVNGSKVGENGSSVLDIDEKSLSVPNLVINPDFDPTMDTSKSPSYWARVILSNIARLAKEATTIRRVLEPLFHNFDAENHWSKEKGVAFSLLIYLQLLIEETGEKSDQLLAILVKHMEHKNVAKQPHIQVNIVNVITQLAQNAKLQPSMAIIGTIADLMKHLRKCLQNSAELSSSGGDIDKYNTDLLLALEKCISQLSNKVGDVGPILDMMAVVLENISTNNIVARSTISSVHRTANIISSIPNISYHKKTFPDALFHQLLLAMSHPDHETRVGAHSIFSIVLMPSLLSPSSEQNKKIAETVSSDLSVGASVKVRSHSFAFQDEGKEQTERLKENGNEGSIIYQFHGNSFSFKHALGDRKMLTSLRLSSHQVSLLLSSIWVQANSTDNTPANFEAMAHSFYLAVLFTRSKTSSHMALVRSFQLAFSLRSISLDQEGGLQPSRRRSLFTLASYMLIFSARAGDLPELIPIVKASLTDKIVDPYLKLVEDVRLQAVRVKSDVDSVAYGSKEDDAAASKALLAIELDDLHLKETVISHFMIKFDKLSEDELSSIKKQILEGFSPDDAYPFGAPLFMETPRPCSPLAQMEFLAFEEIMPLAAITDDEAFPEGNGSQSGRKASLSLSTLDVLSVNELLDSVLETARQVASFSVSPTPIPYEQMRSQCEALIIGKQQKMSVIHSFKHQQEAKATFEENGKEVLCLPNVKVEFSEDLKLISNEQVHARGQLAVCSLEYGQHSFKLPPSSPYDKFLKAAGC >KJB71811 pep chromosome:Graimondii2_0_v6:11:22639364:22651086:1 gene:B456_011G143200 transcript:KJB71811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRVVPACGNLCFFCPSMRARSRQPVKRYKKLLAEIFPRNQDAEPNDRKIGKLCEYASRNPLRIPKITSNLEQRCFKDLRNENFGCVKAVLCIYRKLLSSCKEQMPLFASSLLGIIRALLEQNRQDEMRILGCNALVDFINSQMDGTHMFQLEGLIPKLCQLAQEYGDDDRALHLRSSGLQVLASMVCFMGEHSHISMDFDSIISVTLENYMDIQMSPVNGSKVGENGSSVLDIDEKSLSVPNLVINPDFDPTMDTSKSPSYWARVILSNIARLAKEATTIRRVLEPLFHNFDAENHWSKEKGVAFSLLIYLQLLIEETGEKSDQLLAILVKHMEHKNVAKQPHIQVNIVNVITQLAQNAKLQPSMAIIGTIADLMKHLRKCLQNSAELSSSGGDIDKYNTDLLLALEKCISQLSNKVGDVGPILDMMAVVLENISTNNIVARSTISSVHRTANIISSIPNISYHKKTFPDALFHQLLLAMSHPDHETRVGAHSIFSIVLMPSLLSPSSEQNKKIAETVSSDLSVGASVKVRSHSFAFQDEGKEQTERLKENGNEGSIIYQFHGNSFSFKHALGDRKMLTSLRLSSHQVSLLLSSIWVQANSTDNTPANFEAMAHSFYLAVLFTRSKTSSHMALVRSFQLAFSLRSISLDQEGGLQPSRRRSLFTLASYMLIFSARAGDLPELIPIVKASLTDKIVDPYLKLVEDVRLQAVRVKSDVDSVAYGSKEDDAAASKALLAIELDDLHLKETVISHFMIKFDKLSEDELSSIKKQILEGFSPDDAYPFGAPLFMETPRPCSPLAQMEFLAFEEIMPLAAITDDEAFPEGNGSQSGRKASLSLSTLDVLSVNELLDSVLETARQVASFSVSPTPIPYEQMRSQCEALIIGKQQKMSVIHSFKHQQEAKATFEENGKEVLCLPNVKVEFSEDLKLISNEQVHARGQLAVCSLEYGQHSFKLPPSSPYDKFLKAAGC >KJB71914 pep chromosome:Graimondii2_0_v6:11:23857401:23862725:-1 gene:B456_011G147000 transcript:KJB71914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLQLEDFYYMAEDIIDDMDEDDYGRAGGDMDAHEYDMLTKVTDTSSSQARKGKDIQGIPWERLNITREDYRLTRLEQYKNYENIPASGDAVVKECKQMEKGGNYYEFFHNTRLLRNLVWATSKHDVYLMSNYSVMHWSSLSCHLSEILNFSGHVAPTEKHPGSLLEGFTQTQISTLAVKDNFMVAGGFQGELTFKHLDRKGVAFCTRTTYDDNAITNAIEIYDSLRGGINFMAANNDCSMREYDTERFQLLNHLRFPWPVNHTSVSPDRRLITVVGDSLDGLLVDLQNGKTVATVVGHLDYSFASAWHPDGRIFATGNQDKTCRVWDVRNLSRPVATLKGNLGAIRSIRFSSDGQFMVAAEPADFVHVYSTCADYEKRQEIDFFGEISGVSLSPDDESLYIGIWDRTYASLLQYNKRHTYAYLDSYL >KJB71913 pep chromosome:Graimondii2_0_v6:11:23857381:23862822:-1 gene:B456_011G147000 transcript:KJB71913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLQLEDFYYMAEDIIDDMDEDDYGRAGGDMDAHEYDMLTKVTDTSSSQARKGKDIQGIPWERLNITREDYRLTRLEQYKNYENIPASGDAVVKECKQMEKGGNYYEFFHNTRLVKPTILHFQLRNLVWATSKHDVYLMSNYSVMHWSSLSCHLSEILNFSGHVAPTEKHPGSLLEGFTQTQISTLAVKDNFMVAGGFQGELTFKHLDRKGVAFCTRTTYDDNAITNAIEIYDSLRGGINFMAANNDCSMREYDTERFQLLNHLRFPWPVNHTSVSPDRRLITVVGDSLDGLLVDLQNGKTVATVVGHLDYSFASAWHPDGRIFATGNQDKTCRVWDVRNLSRPVATLKGNLGAIRSIRFSSDGQFMVAAEPADFVHVYSTCADYEKRQEIDFFGEISGVSLSPDDESLYIGIWDRTYASLLQYNKRHTYAYLDSYL >KJB71912 pep chromosome:Graimondii2_0_v6:11:23857380:23862818:-1 gene:B456_011G147000 transcript:KJB71912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLQLEDFYYMAEDIIDDMDEDDYGRAGGDMDAHEYDMLTKVTDTSSSQARKGKDIQGIPWERLNITREDYRLTRLEQYKNYENIPASGDAVVKECKQMEKGGNYYEFFHNTRLVKPTILHFQLRNLVWATSKHDVYLMSNYSVMHWSSLSCHLSEILNFSGHVAPTEKHPGSLLEGFTQTQISTLAVKDNFMVAGGFQGELTFKHLDRKGVAFCTRTTYDDNAITNAIEIYDSLRGGINFMAANNDCSMREYDTERFQLLNHLRFPWPVNHTSVSPDRRLITVVGDSLDGLLVDLQNGKTVATVVGHLDYSFASAWHPDGRIFATGNQDKTCRVWDVRNLSRPVATLKGNLGAIRSIRFSSDGQFMVAAEPADFVHVYSTCADYEKRQEIDFFGEISGVSLSPDDESLYIGIWDRTYASLLQYNKRHTYAYLDSYL >KJB72592 pep chromosome:Graimondii2_0_v6:11:44491086:44493235:1 gene:B456_011G186900 transcript:KJB72592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKRSYIKVGPYQPILSEYPASNSKKHPRYFQPSWFKQFSYNPSSSFGSTTFTHNGFSNWKKVHDGCNCAFLTHMGKDLNLLHNNAQRAYVDLMNQAQHIEVSLDRQTTQQISANRLRLKTRCAFRGHDESSGSKNCGNFLEFLSLLASYCGKVEDILKSAPKNASYTSSTIQKEILQIYANRVRNVIREEIGDRKFSIIMDEARDKSKKEQMTIILKFADKQRQVKEQFFDIVHVKYIASLTLKNVIFNVLLQHSFDIQNFRGQGYDGASNIRGEFNVLQALILNDCRYAYYVHCFAHPQAVEITRLVSINELATGTRMNQIGTLQRPGETRWSSHLNLVTSLLKMYNATSTILENLKNTASNYSQRGGVHKTYNRLRYFEFIFILHMMKEVLGITDNLCQALQRPSQDILNAMSLVLTTKGLIQNLKDDGWNELVKNVIYFCETWELDFPDMNVQYIVGCSYNKKEDVTVEHHYQVDIFFATIDTQLQELKSRFYENVAELLTFTTALDHKELFKLFDINKICILVNKFYLEDFSQQEKECLPYELKHYELDVCKHPNMRKISTLSELCKSLVENGKSVMYPLVDRLICLILTLPKEIAEKFDINEIIDYFSEVKDRRV >KJB69813 pep chromosome:Graimondii2_0_v6:11:3242853:3245886:-1 gene:B456_011G043700 transcript:KJB69813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVTATRTPLFFQSKLLCISVLYLFTTLFLAFYRSVSPTTCLFRSSPSDPIQSPLFYYPSSYGEHKYAITTHRHSCSSPVFFSDYGMVVGEIHNLCTNSSAFLPALRYLQGKADTFGGNFETQKRFSYFNYSNDEIKVPCGFLKEFPVSDSDRIAMESCNGVVVVSAIFNDHDKIRQPVGLGSQTLVDTCFFMFIDDITLQGLYDHKLIPQNSPDYKVGVWRIVKVFSEKLYENPAMNGVIPKYLVHRLFPHSKFSIWIDAKLQLMVDPLLLIHSLVVSKDVDMAISKHPYYVHTMEEAMATARWKKWSDIDGLTQQMETYCKNGLKPWTTDKLPYTTDVPDSALILRKHGWGSNLFSCLLFNELEGFNPRDQLGFAFVRDKMNPKLKLNMFEVEVFEKIVVEYRHNLKKTGNVKAVSSGGGVSKKTKRERSHGHLVLTDSSCQNYLLKMWGESHS >KJB69899 pep chromosome:Graimondii2_0_v6:11:3820345:3825425:1 gene:B456_011G048800 transcript:KJB69899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAMKNSFPGGCPEFGAIFMSNNATKRECLRRKVFALPYSQYHFVKQVKAGMILFLFEFERRELHGVFQACSDGEMNILPHAFSSSGKQYPAQVKFIFMWNCHPLSENEFRDAIRENYFSKNKFNFGLSEDQVRRLLSLFNLKRMKDQAPQRWLTGSKVARPSGYSTSKTRRLVDNSPMNNQMPRECGVDNHHGLDISTMHQGDSFYNDDRQTGDGRFGTYTDVEYEHKASAFLNECFRDLMGKVEGNMVSGEYARSDRVDTEWKTGMELQPAVSAGYSSGNFRSISKDVRFAKSDRTETKCYKDDGFAPTISTAYPTSFQSKVNPLVYSSKHVLETGSFIDDPIRPSSAFLPSMEMQNSNVSYPMNFEDSIVTNSLPYEPDVPTMNHWGSSYSGFSQEHASLQEYANHDSCVGHVIGTSKNQSFPSLLETRRTVITSDVNSGSGGFIPLPYSNSYECSSRTSLQRPDYLDDLAADYSKKECCGDLSLLKPSLAHVTSEIRNNVRISEHSSSYRTSPSKFPSLTFSDRYPTSIQDRYDFQVPERESDNEFGNVGFMFKECQPHGESFYNDNRTIEDGRSAIYKKVENENKEGQQHIHEPVNVDYHEVTSLCPSPYQNSNRQKKRSVFSRLALPRKRREPENNTPLRTADIDRHSSVDEVMDILHRSRKYWVKTSCKQLLKHNDDADNFRDKKQVTRKEGPAMMSKEMNVKSTSFSKENSNQKQVTRKEGSAMISKEINVKSTSSSKGNSCQKQVTRKEGSAMSSKEINVKSTSFSKGNSSQRPGESTFVDFKRRSAVRKNLEDGKIKTYCETMKEKSAPAAQCKKRKLIRPDFRENESSDLGVSVDAPELVIVASADCSVNKNAESIRISVVDVNEKDLLQNVKLPNAVCQTAVKGSNSDKEGSSSNSEQFSAESFLASGSADEGGKESLKNHCTSSMTSISCGDMHVDIKQAMTAIGIDGFSQDRNYASHSTFESSPKLCEHNDGDGAAKSEFVYSIEQMNDLTPVGGEISVSTLN >KJB69900 pep chromosome:Graimondii2_0_v6:11:3821121:3825338:1 gene:B456_011G048800 transcript:KJB69900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAMKNSFPGGCPEFGAIFMSNNATKRECLRRKVFALPYSQYHFVKQVKAGMILFLFEFERRELHGVFQACSDGEMNILPHAFSSSGKQYPAQVKFIFMWNCHPLSENEFRDAIRENYFSKNKFNFGLSEDQVRRLLSLFNLKRMKDQAPQRWLTGSKVARPSGYSTSKTRRLVDNSPMNNQMPRECGVDNHHGLDISTMHQGDSFYNDDRQTGDGRFGTYTDVEYEHKASAFLNECFRDLMGKVEGNMVSGEYARSDRVDTEWKTGMELQPAVSAGYSSGNFRSISKDVRFAKSDRTETKCYKDDGFAPTISTAYPTSFQSKVNPLVYSSKHVLETGSFIDDPIRPSSAFLPSMEMQNSNVSYPMNFEDSIVTNSLPYEPDVPTMNHWGSSYSGFSQEHASLQEYANHDSCVGHVIGTSKNQSFPSLLETRRTVITSDVNSGSGGFIPLPYSNSYECSSRTSLQRPDYLDDLAADYSKKECCGDLSLLKPSLAHVTSEIRNNVRISEHSSSYRTSPSKFPSLTFSDRYPTSIQDRYDFQVPERESDNEFGNVGFMFKECQPHGESFYNDNRTIEDGRSAIYKKVENENKEGQQHIHEPVNVDYHEVTSLCPSPYQNSNRQKKRSVFSRLALPRKRREPENNTPLRTADIDRHSSVDEVMDILHRSRKYWVKTSCKQLLKHNDDADNFRDKKQVTRKEGPAMMSKEMNVKSTSFSKENSNQKQVTRKEGSAMISKEINVKSTSSSKGNSCQKQVTRKEGSAMSSKEINVKSTSFSKGNSSQRPGESTFVDFKRRSAVRKNLEDGKIKTYCETMKEKSAPAAQCKKRKLIRPDFRENESSDLGVSVDAPELVIVASADCSVNKNAESIRISVVDVNEKDLLQNVKLPNAVCQTAVKGSNSDKEGSSSNSEQFSAESFLASGSADEGGKESLKNHCTSSMTSISCGDMHVDIKQAMTAIGIDGFSQDRNYASHSTFESSPKLCEHNDGDGAAKSEFVYSIEQMNDLTPVGGEISVSTLN >KJB69901 pep chromosome:Graimondii2_0_v6:11:3820680:3825461:1 gene:B456_011G048800 transcript:KJB69901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAMKNSFPGGCPEFGAIFMSNNATKRECLRRKVFALPYSQYHFVKQVKAGMILFLFEFERRELHGVFQACSDGEMNILPHAFSSSGKQYPAQVKFIFMWNCHPLSENEFRDAIRENYFSKNKFNFGLSEDQVRRLLSLFNLKRMKDQAPQRWLTGSKVARPSGYSTSKTRRLVDNSPMNNQMPRECGVDNHHGLDISTMHQGDSFYNDDRQTGDGRFGTYTDVEYEHKASAFLNECFRDLMGKVEGNMVSGEYARSDRVDTEWKTGMELQPAVSAGYSSGNFRSISKDVRFAKSDRTETKCYKDDGFAPTISTAYPTSFQSKVNPLVYSSKHVLETGSFIDDPIRPSSAFLPSMEMQNSNVSYPMNFEDSIVTNSLPYEPDVPTMNHWGSSYSGFSQEHASLQEYANHDSCVGHVIGTSKNQSFPSLLETRRTVITSDVNSGSGGFIPLPYSNSYECSSRTSLQRPDYLDDLAADYSKKECCGDLSLLKPSLAHVTSEIRNNVRISEHSSSYRTSPSKFPSLTFSDRYPTSIQDRYDFQVPERESDNEFGNVGFMFKECQPHGESFYNDNRTIEDGRSAIYKKVENENKEGQQHIHEPVNVDYHEVTSLCPSPYQNSNRQKKRSVFSRLALPRKRREPENNTPLRTADIDRHSSVDEVMDILHRSRKYWVKTSCKQLLKHNDDADNFRDKKQVTRKEGPAMMSKEMNVKSTSFSKENSNQKQVTRKEGSAMISKEINVKSTSSSKGNSCQKQVTRKEGSAMSSKEINVKSTSFSKGNSSQRPGESTFVDFKRRSAVRKNLEDGKIKTYCETMKEKSAPAAQCKKRKLIRPDFRENESSDLGVSVDAPELVIVASADCSVNKNAESIRISVVDVNEKDLLQNVKLPNAVCQTAVKGSNSDKEGSSSNSEQFSAESFLASGSADEGGKESLKNHCTSSMTSISCGDMHVDIKQAMTAIGIDGFSQDRNYASHSTFESSPKLCEHNDGDGAAKSEFVYSIEQMNDLTPVGGEISVSTLN >KJB71281 pep chromosome:Graimondii2_0_v6:11:14777423:14786112:-1 gene:B456_011G114700 transcript:KJB71281 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair nuclease, XPF-type/Helicase domain containing protein [Source: Projected from Oryza sativa (Os04g0648700)] MSDPIILSDGDDPKTPRPSIYKKPRTVLDSHLPPVLILDDDPTPQKPSLGPPFTCGSTPSFVAETPMSEPSVVRCSNAGPSIEASDPQIGDAKLSGISRLICLESDNDSETGSKRDNDQENGSKCSYIDESEELEWHSRVFDYEAHLGSSNLIQMCEDSSSQPLYEEDDGDPQLLEVNDDPDKENFALEQMGNTIKQNREMKADSDKKSSTHGATVKKKMTKEERTRMLEEKKLKKEQEKLQKAALKAEAVEFKKLQKERQKWEKGKFALKSIVAEIDTKVVELGSIGGHLLSRLADKGLTYRITSNPIEKSIVWTMTVPEHISQLSPEGLEIQYVLIVSEAAEFCDLVTSDTLLDHISRVRSKYPSYTVCYLTNRLLAYINKRENEQYKNPAIDSGWRRPPVEEVLAKLTTYYARVHSRQCADESELAEHVVGLTCSLASCQFRKKLTRLCVSANGSLIPKDSIDKNLIKGNLWLKALLAIPKVQPRFALAIGRKYPTMKSLLLVYMDPTKSVHDKEFLLKDLVVEGLLGNDRRLGEICSKRVYRVLMAQSGSVKTDDIEDGADFFTH >KJB71282 pep chromosome:Graimondii2_0_v6:11:14777539:14786028:-1 gene:B456_011G114700 transcript:KJB71282 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair nuclease, XPF-type/Helicase domain containing protein [Source: Projected from Oryza sativa (Os04g0648700)] MSDPIILSDGDDPKTPRPSIYKKPRTVLDSHLPPVLILDDDPTPQKPSLGPPFTCGSTPSFVAETPMSEPSVVRCSNAGPSIEASDPQIGDAKLSGISRLICLESDNDSETGSKRDNDQENGSKCSYIDESEELEWHSRVFDYEAHLGSSNLIQMCEDSSSQPLYEEDDGDPQLLEVNDDPDKENFALEQMGNTIKQNREMKADSDKKSSTHGATVKKKMTKEERTRMLEEKKLKKEAKKLQKAALKAEAVEFKKLQKERQKWEKGKFALKSIVAEIDTKVVELGSIGGHLLSRLADKGLTYRITSNPIEKSIVWTMTVPEHISQLSPEGLEIQYVLIVSEAAEFCDLVTSDTLLDHISRVRSKYPSYTVCYLTNRLLAYINKRENEQYKNPAIDSGWRRPPVEEVLAKLTTYYARVHSRQCADESELAEHVVGLTCSLASCQFRKKLTRLCVSANGSLIPKDSIDKNLIKGNLWLKALLAIPKVQPRFALAIGRKYPTMKSLLLVYMDPTKSVHDKEFLLKDLVVEGLLGNDRRLGEICSKRVYRVLMAQSGSVKTDDIEDGADFFTH >KJB73710 pep chromosome:Graimondii2_0_v6:11:57187006:57189476:-1 gene:B456_011G245600 transcript:KJB73710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKKVKLHPAQFIFSPPRTELFLSSRLSLLAVHFSSNRENAPFSHLFAVHFSSTTPIPRPREKMASKSEGKEPVNEQVVANMYGAMRSELNQIYSKITELEMEVSEHSLVINAIQPLDQSRRCYRMIGGVLVERTIKEVLPAVQRNKEGLEEVIARLNETLEKKKKEIADFETKYKIRIKKSDDDSKDESNKKEGSAQGVLVGPASSSQ >KJB73709 pep chromosome:Graimondii2_0_v6:11:57187322:57189302:-1 gene:B456_011G245600 transcript:KJB73709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKKVKLHPAQFIFSPPRTELFLSSRLSLLAVHFSSNRENAPFSHLFAVHFSSTTPIPRPREKMASKSEGKEPVNEQVVANMYGAMRSELNQIYSKITELEMEVSEHSLVINAIQPLDQSRRCYRMIGGVLVERTIKEVLPAVQRNKEGLEEVIARLNETLEKKKKEIADFETKYKIRIKKSDDDSKDESNKKEGSAQGVLVGPASSSQ >KJB69394 pep chromosome:Graimondii2_0_v6:11:1537468:1540657:1 gene:B456_011G021900 transcript:KJB69394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSHLNRGQITRIGSGFCVLLTLHFTFQLLAQHLFHWKNPKEQKAIVIIIFMAPIYAVVSFVGLLDVRGSKEFFTLLESIKECYEALVIAKFLSLMYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPRTVKLNHGTLKLLKYWTWQFVVIRPVCSILMITLQTLRVYPSWLSWTLTIILNVSVSLALYSLVVFYHVFAKELAPHKPLAKFLCIKGIVFFCFWQGVVLDILVAMGFIKSHHHWLDVEHIEEALQNTLVCIEMVVFTVVQRRAYSAAPYSGESEAKIKKNE >KJB69396 pep chromosome:Graimondii2_0_v6:11:1537665:1539610:1 gene:B456_011G021900 transcript:KJB69396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSHLNRGQITRIGSGFCVLLTLHFTFQLLAQHLFHWKNPKEQKAIVIIIFMAPIYAVVSFVGLLDVRGSKEFFTLLESIKECYEALVIAKFLSLMYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPRTVKLNHGTLKLLKYWTWQFVVIRPVCSILMITLQTLRVYPSWLSWTLTIILNVSVSLALYSLVVFYHVFAKELAPHKPLAKFLCIKGIVFFCFWQVKILCSLSSILYKFLVFFFLYSNSKIDLD >KJB69395 pep chromosome:Graimondii2_0_v6:11:1537361:1540669:1 gene:B456_011G021900 transcript:KJB69395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSHLNRGQITRIGSGFCVLLTLHFTFQLLAQHLFHWKNPKEQKAIVIIIFMAPIYAVVSFVGLLDVRGSKEFFTLLESIKECYEALVIAKFLSLMYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPRTVKLNHGTLKLLKYWTWQFVVIRPVCSILMITLQTLRVYPSWLSWTLTIILNVSVSLALYSLVVFYHVFAKELAPHKPLAKFLCIKGIVFFCFWQGVVLDILVAMGFIKSHHHWLDVEHIEEALQNTLVCIEMVVFTVVQRRAYSAAPYSGESEAKIKKNE >KJB71924 pep chromosome:Graimondii2_0_v6:11:24014670:24023751:1 gene:B456_011G147600 transcript:KJB71924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLVATEAMLARITRDPGQYSEAFVEQFKIFHLELKDFFNAGSLTEQLESIRESLDERGIAALVMFLECKKSLDAAEGSSSILDLIKTMRSLGALREVIVRGLESGLRNDAPDAAIAMRQKWRLCEIGLEDYSFVLLSRLLNMLEAVGGANWFADNLESKNISSWNDPLGALIVGVHQLSLSGWKPEECAAIQNELTAWQEKGLFAKEGSEDGKRIWALRLKATLDRSRRLTEEYSEVLLQLFPQKVQMLGKALGIPENSIRTYAEAEIRAGVIFQVSKLCSLLLKAVRTALGSEGWDVLVPGVVSGTLVQVENIVPGSLPSSLEGPVILVVNKADGDEEVTAAGSNIAGVVLLQELPHLSHLGVRARQEKVIFVTCEDEEKVSYIQKLEGKCVRLEASSSGVSISPSSLDDRDADSVAKNLSTNGSSAVYMRGPPDLTGLSPKASYSNKGSSSAGLILLADADAQTSGAKAAACGRLASLAAVSDKVYSDLGVPASFRVPAGVVIPFGSMEWALEQNKSMETFMSLREKIETARLEDGELDNLCHQLQQLVSSVQPPQDLIDSIMRVFPGNVRLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPTVFASAVSQVWASLYTRRAVLSRRAAGVSQKDATMAVLVQEMLAPDLSFVLHTLSPTDHDHNYVEAEIAPGLGETLASGTRGTPWRLSSGKFDGLVKTVAFANFSEEMVVSGASPADGEVIRLTVDYSKKPLTVDPVFRQQLSQRLSAVGFFLERKFGCPQDVEGCVLGKDIYVVQTRPQPL >KJB71923 pep chromosome:Graimondii2_0_v6:11:24012041:24023751:1 gene:B456_011G147600 transcript:KJB71923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREEEKKKKRTKVKPKSGSGKVGLNICLDHQVQFGEHVVILGSTKELGSWKKQVPMNWSEDGWICDLELKGGESVEFKFVVVSKDKSVAWEGGNNRVLKLPQGGSFGMICHWNSTEETLELLPLSSEEYDDSVDDAGHSESTSTTDALEVEASPFVGQWQGRPASFMRSNEHHNRELERRWDTTGLEGLALKLVEGDKSARNWWRKLEVVRELLVGSLQSEERLEALICSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELERISSRKDSSPQELLVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLVATEAMLARITRDPGQYSEAFVEQFKIFHLELKDFFNAGSLTEQLESIRESLDERGIAALVMFLECKKSLDAAEGSSSILDLIKTMRSLGALREVIVRGLESGLRNDAPDAAIAMRQKWRLCEIGLEDYSFVLLSRLLNMLEAVGGANWFADNLESKNISSWNDPLGALIVGVHQLSLSGWKPEECAAIQNELTAWQEKGLFAKEGSEDGKRIWALRLKATLDRSRRLTEEYSEVLLQLFPQKVQMLGKALGIPENSIRTYAEAEIRAGVIFQVSKLCSLLLKAVRTALGSEGWDVLVPGVVSGTLVQVENIVPGSLPSSLEGPVILVVNKADGDEEVTAAGSNIAGVVLLQELPHLSHLGVRARQEKVIFVTCEDEEKVSYIQKLEGKCVRLEASSSGVSISPSSLDDRDADSVAKNLSTNGSSAVYMRGPPDLTGLSPKASYSNKGSSSAGLILLADADAQTSGAKAAACGRLASLAAVSDKVYSDLGVPASFRVPAGVVIPFGSMEWALEQNKSMETFMSLREKIETARLEDGELDNLCHQLQQLVSSVQPPQDLIDSIMRVFPGNVRLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPTVFASAVSQVWASLYTRRAVLSRRAAGVSQKDATMAVLVQEMLAPDLSFVLHTLSPTDHDHNYVEAEIAPGLGETLASGTRGTPWRLSSGKFDGLVKTVAFANFSEEMVVSGASPADGEVIRLTVDYSKKPLTVDPVFRQQLSQRLSAVGFFLERKFGCPQDVEGCVLGKDIYVVQTRPQPL >KJB71921 pep chromosome:Graimondii2_0_v6:11:24011599:24023751:1 gene:B456_011G147600 transcript:KJB71921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSISLRSLHFQIPARKQLKFLPDAAIFSPRISFPFPFPPRINRHHKHSHSLVFAVSSTPTREEEKKKKRTKVKPKSGSGKVGLNICLDHQVQFGEHVVILGSTKELGSWKKQVPMNWSEDGWICDLELKGGESVEFKFVVVSKDKSVAWEGGNNRVLKLPQGGSFGMICHWNSTEETLELLPLSSEEYDDSVDDAGHSESTSTTDALEVEASPFVGQWQGRPASFMRSNEHHNRELERRWDTTGLEGLALKLVEGDKSARNWWRKLEVVRELLVGSLQSEERLEALICSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELERISSRKDSSPQELLVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLVATEAMLARITRDPGQYSEAFVEQFKIFHLELKDFFNAGSLTEQLESIRESLDERGIAALVMFLECKKSLDAAEGSSSILDLIKTMRSLGALREVIVRGLESGLRNDAPDAAIAMRQKWRLCEIGLEDYSFVLLSRLLNMLEAVGGANWFADNLESKNISSWNDPLGALIVGVHQLSLSGWKPEECAAIQNELTAWQEKGLFAKEGSEDGKRIWALRLKATLDRSRRLTEEYSEVLLQLFPQKVQMLGKALGIPENSIRTYAEAEIRAGVIFQVSKLCSLLLKAVRTALGSEGWDVLVPGVVSGTLVQVENIVPGSLPSSLEGPVILVVNKADGDEEVTAAGSNIAGVVLLQELPHLSHLGVRARQEKVIFVTCEDEEKVSYIQKLEGKCVRLEASSSGVSISPSSLDDRDADSVAKNLSTNGSSAVYMRGPPDLTGLSPKASYSNKGSSSAGLILLADADAQTSGAKAAACGRLASLAAVSDKVYSDLGVPASFRVPAGVVIPFGSMEWALEQNKSMETFMSLREKIETARLEDGELDNLCHQLQQLVSSVQPPQDLIDSIMRVFPGNVRLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPTVFASAVSQVWASLYTRRAVLSRRAAGVSQKDATMAVLVQEMLAPDLSFVLHTLSPTDHDHNYVEAEIAPGLGETLASGTRGTPWRLSSGKFDGLVKTVAFANFSEEMVVSGASPADGEVIRLTVDYSKKPLTVDPVFRQQLSQRLSAVGFFLERKFGCPQDVEGCVLGKDIYVVQTRPQPL >KJB71922 pep chromosome:Graimondii2_0_v6:11:24011726:24023750:1 gene:B456_011G147600 transcript:KJB71922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSISLRSLHFQIPARKQLKFLPDAAIFSPRISFPFPFPPRINRHHKHSHSLVFAVSSTPTREEEKKKKRTKVKPKSGSGKVGLNICLDHQVQFGEHVVILGSTKELGSWKKQVPMNWSEDGWICDLELKGGESVEFKFVVVSKDKSVAWEGGNNRVLKLPQGGSFGMICHWNSTEETLELLPLSSEEYDDSVDDAGHSESTSTTDALEVEASPFVGQWQGRPASFMRSNEHHNRELERRWDTTGLEGLALKLVEGDKSARNWWRKLEVVRELLVGSLQSEERLEALICSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELERISSRKDSSPQELLVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLVATEAMLARITRDPGQYSEAFVEQFKIFHLELKDFFNAGSLTEQLESIRESLDERGIAALVMFLECKKSLDAAEGSSSILDLIKTMRSLGALREVIVRGLESGLRNDAPDAAIAMRQKWRLCEIGLEDYSFVLLSRLLNMLEAVGGANWFADNLESKNISSWNDPLGALIVGVHQLSLSGWKPEECAAIQNELTAWQEKGLFAKEGSEDGKRIWALRLKATLDRSRRLTEEYSEVLLQLFPQKVQMLGKALGIPENSIRTYAEAEIRAGVIFQVSKLCSLLLKAVRTALGSEGWDVLVPGVVSGTLVQVENIVPGSLPSSLEGPVILVVNKADGDEEVTAAGSNIAGVVLLQELPHLSHLGVRARQEKVIFVTCEDEEKVSYIQKLEGKCVRLEASSSGVSISPSSLDDRDADSVAKNLSTNGSSAVYMRGPPDLTGLSPKASYSNKASSSAGLILLADADAQTSGAKAAACGRLASLAAVSDKVYSDLGVPASFRVPAGVVIPFGSMEWALEQNKSMETFMSLREKIETARLEDGELDNLCHQLQQLVSSVQPPQDLIDSIMRVFPGNVRLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPTVFASAVSQVWASLYTRRAVLSRRAAGVSQKDATMAVLVQEMLAPDLSFVLHTLSPTDHDHNYVEAEIAPGLGETLASGTRGTPWRLSSGKFDGLVKTVAFANFSEEMVVSGASPADGEVIRLTVDYSKKPLTVDPVFRQQLSQRLSAVGFFLERKFGCPQDVEGCVLGKDIYVVQTRPQPL >KJB72828 pep chromosome:Graimondii2_0_v6:11:48312597:48313301:1 gene:B456_011G200000 transcript:KJB72828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENISFLHVSNVKSLPSPMFEIELKATFIIVESNGGVDAETFSEVGRIISHVIHEFPLEDLINDGNGAVLDMLNSMSVPVQTSTVEEIAASAVRMAAAVRDGGSKVSRMRVGIETVVDNVPDFGNNDTDDDDDDEGTVRAEQATEKLVKLMVEVSGKDCAICLEELAMGSEAVCMPCSHTLHDICIAAWLKKKKRCPYCRFKL >KJB73451 pep chromosome:Graimondii2_0_v6:11:55177131:55182598:-1 gene:B456_011G233800 transcript:KJB73451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFEALQQKPESANDVRAELERGLEELMRGHLDDCMSFASCSSNRNPDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMMTTMERRNRESELMALAGLHTVSMLDSSFLRESQSSASRRQGGNVERPSTQASSILQMWRELEDEHVLNRARERVRERLRRQSNADSNTTMSSTTLSESHGSENPGSIVDVSESENDYGTWSHDQGVSQNDRGDNNVSSREHSPDLGEVERERVRQIVRGWMESGISDHSSNVTQRAGNPRAEWLGETERERVRIVREWVQMTSQQRGVRGGRRENQSATVSVQVDPVREGSVAEHDERQPEHIRRDLRRLRGRQAIIDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPTSVAASELIQLRQRNTVSGLREGLHNRLATNVQNQADSNSETTSSNVINDSRHELPQTNMSPDVQGENIERTQLRSLESGIAELPNQTGVLVRNMAVESISWQENTTQGGNWREPAVNDERGNWQQPTYAQFNELRDNSTEVMDTNWQESSVSEHRQENPGNENGEANRTQGAQRVWHEGRSQEAVDNWSEGRSGPPRARRAIPVRRLNRFHPPEDDNVYGMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQSRSPIDWDLHRNLPTPASPEPDQEQERGETNDDQNDTINRPSLVLPSPPVPPPQPLWHHDLHHTRWSRHSMHRSEIQEWEMINDLRADMARLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKVLSAETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >KJB73457 pep chromosome:Graimondii2_0_v6:11:55176942:55183070:-1 gene:B456_011G233800 transcript:KJB73457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFEALQQKPESANDVRAELERGLEELMRGHLDDCMSFASCSSNRNPDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMMTTMERRNRESELMALAGLHTVSMLDSSFLRESQSSASRRQGGNVERPSTQASSILQMWRELEDEHVLNRARERVRERLRRQSNADSNTTMSSTTLSESHGSENPGSIVDVSESENDYGTWSHDQGVSQNDRGDNNVSSREHSPDLGEVERERVRQIVRGWMESGISDHSSNVTQRAGNPRAEWLGETERERVRIVREWVQMTSQQRGVRGGRRENQSATVSVQVDPVREGSVAEHDERQPEHIRRDLRRLRGRQAIIDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPTSVAASELIQLRQRNTVSGLREGLHNRLATNVQNQADSNSETTSSNVINDSRHELPQTNMSPDVQGENIERTQLRSLESGIAELPNQTGVLVRNMAVESISWQENTTQGGNWREPAVNDERGNWQQPTYAQFNELRDNSTEVMDTNWQESSVSEHRQENPGNENGEANRTQGAQRVWHEGRSQEAVDNWSEGRSGPPRARRAIPVRRLNRFHPPEDDNVYGMELRELLSSVSNLLRSGFRESLDQLIQSYVERQSRSPIDWDLHRNLPTPASPEPDQEQERGETNDDQNDTINRPSLVLPSPPVPPPQPLWHHDLHHTRWSRHSMHRSEIEWEMINDLRADMARLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKVLSAETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >KJB73454 pep chromosome:Graimondii2_0_v6:11:55177131:55182598:-1 gene:B456_011G233800 transcript:KJB73454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFEALQQKPESANDVRAELERGLEELMRGHLDDCMSFASCSSNRNPDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMMTTMERRNRESELMALAGLHTVSMLDSSFLRESQSSASRRQGGNVERPSTQASSILQMWRELEDEHVLNRARERVRERLRRQSNADSNTTMSSTTLSESHGSENPGSIVDVSESENDYGTWSHDQGVSQNDRGDNNVSSREHSPDLGEVERERVRQIVRGWMESGISDHSSNVTQRAGNPRAEWLGETERERVRIVREWVQMTSQQRGVRGGRRENQSATVSVQVDPVREGSVAEHDERQPEHIRRDLRRLRGRQAIIDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPTSVAASELIQLRQRNTVSGLREGLHNRLATNVQNQADSNSETTSSNVINDSRHELPQTNMSPDVQGENIERTQLRSLESGIAELPNQTGVLVRNMAVESISWQENTTQGGNWREPAVNDERGNWQQPTYAQFNELRDNSTEVMDTNWQESSVSEHRQENPGNENGEANRTQGAQRVWHEGRSQEAVDNWSEGRSGPPRARRAIPVRRLNRFHPPEDDNVYGMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQSRSPIDWDLHRNLPTPASPEPDQEQERGETNDDQNDTINRPSLVLPSPPVPPPQPLWHHDLHHTRWSRHSMHRSEIQEWEMINDLRADMARLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >KJB73447 pep chromosome:Graimondii2_0_v6:11:55176937:55183139:-1 gene:B456_011G233800 transcript:KJB73447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFEALQQKPESANDVRAELERGLEELMRGHLDDCMSFASCSSNRNPDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMMTTMERRNRESELMALAGLHTVSMLDSSFLRESQSSASRRQGGNVERPSTQASSILQMWRELEDEHVLNRARERVRERLRRQSNADSNTTMSSTTLSESHGSENPGSIVDVSESENDYGTWSHDQGVSQNDRGDNNVSSREHSPDLGEVERERVRQIVRGWMESGISDHSSNVTQRAGNPRAEWLGETERERVRIVREWVQMTSQQRGVRGGRRENQSATVSVQVDPVREGSVAEHDERQPEHIRRDLRRLRGRQAIIDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPTSVAASELIQLRQRNTVSGLREGLHNRLATNVQNQADSNSETTSSNVINDSRHELPQTNMSPDVQGENIERTQLRSLESGIAELPNQTGVLVRNMAVESISWQENTTQGGNWREPAVNDERGNWQQPTYAQFNELRDNSTEVMDTNWQESSVSEHRQENPGNENGEANRTQGAQRVWHEGRSQEAVDNWSEGRSGPPRARRAIPVRRLNRFHPPEDDNVYGMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQSRSPIDWDLHRNLPTPASPEPDQEQERGETNDDQNDTINRPSLVLPSPPVPPPQPLWHHDLHHTRWSRHSMHRSEIQEWEMINDLRADMARLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >KJB73450 pep chromosome:Graimondii2_0_v6:11:55176934:55182799:-1 gene:B456_011G233800 transcript:KJB73450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFEALQQKPESANDVRAELERGLEELMRGHLDDCMSFASCSSNRNPDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMMTTMERRNRESELMALAGLHTVSMLDSSFLRESQSSASRRQGGNVERPSTQASSILQMWRELEDEHVLNRARERVRERLRRQSNADSNTTMSSTTLSESHGSENPGSIVDVSESENDYGTWSHDQGVSQNDRGDNNVSSREHSPDLGEVERERVRQIVRGWMESGISDHSSNVTQRAGNPRAEWLGETERERVRIVREWVQMTSQQRGVRGGRRENQSATVSVQVDPVREGSVAEHDERQPEHIRRDLRRLRGRQAIIDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPTSVAASELIQLRQRNTVSGLREGLHNRLATNVQNQADSNSETTSSNVINDSRHELPQTNMSPDVQGENIERTQLRSLESGIAELPNQTGVLVRNMAVESISWQENTTQGGNWREPAVNDERGNWQQPTYAQFNELRDNSTEVMDTNWQESSVSEHRQENPGNENGEANRTQGAQRVWHEGRSQEAVDNWSEGRSGPPRARRAIPVRRLNRFHPPEDDNVYGMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQSRSPIDWDLHRNLPTPASPEPDQEQERGETNDDQNDTINRPSLVLPSPPVPPPQPLWHHDLHHTRWSRHSMHRSEIQEWEMINDLRADMARLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >KJB73456 pep chromosome:Graimondii2_0_v6:11:55177114:55182598:-1 gene:B456_011G233800 transcript:KJB73456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFEALQQKPESANDVRAELERGLEELMRGHLDDCMSFASCSSNRNPDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMMTTMERRNRESELMALAGLHTVSMLDSSFLRESQSSASRRQGGNVERPSTQASSILQMWRELEDEHVLNRARERVRERLRRQSNADSNTTMSSTTLSESHGSENPGSIVDVSESENDYGTWSHDQGVSQNDRGDNNVSSREHSPDLGEVERERVRQIVRGWMESGISDHSSNVTQRAGNPRAEWLGETERERVRIVREWVQMTSQQRGVRGGRRENQSATVSVQVDPVREGSVAEHDERQPEHIRRDLRRLRGRQAIIDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPTSVAASELIQLRQRNTVSGLREGLHNRLATNVQNQADSNSETTSSNVINDSRHELPQTNMSPDVQGENIERTQLRSLESGIAELPNQTGVLVRNMAVESISWQENTTQGGNWREPAVNDERGNWQQPTYAQFNELRDNSTEVMDTNWQESSVSEHRQENPGNENGEANRTQGAQRVWHEGRSQEAVDNWSEGRSGPPRARRAIPVRRLNRFHPPEDDNVYGMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQSRSPIDWDLHRNLPTPASPEPDQEQERGETNDDQNDTINRPSLVLPSPPVPPPQPLWHHDLHHTRWSRHSMHRSEIEWEMINDLRADMARLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKVLSAETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRFTSLNFSKPSCVQFSHMILKSLDDLNIGLQMRTHVHLFKMCERVGSGWRKMSIVSSTNRGGNPCILNTVKRRLV >KJB73455 pep chromosome:Graimondii2_0_v6:11:55177131:55182598:-1 gene:B456_011G233800 transcript:KJB73455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFEALQQKPESANDVRAELERGLEELMRGHLDDCMSFASCSSNRNPDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMMTTMERRNRESELMALAGLHTVSMLDSSFLRESQSSASRRQGGNVERPSTQASSILQMWRELEDEHVLNRARERVRERLRRQSNADSNTTMSSTTLSESHGSENPGSIVDVSESENDYGTWSHDQGVSQNDRGDNNVSSREHSPDLGEVERERVRQIVRGWMESGISDHSSNVTQRAGNPRAEWLGETERERVRIVREWVQMTSQQRGVRGGRRENQSATVSVQVDPVREGSVAEHDERQPEHIRRDLRRLRGRQAIIDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPTSVAASELIQLRQRNTVSGLREGLHNRLATNVQNQADSNSETTSSNVINDSRHELPQTNMSPDVQGENIERTQLRSLESGIAELPNQTGVLVRNMAVESISWQENTTQGGNWREPAVNDERGNWQQPTYAQFNELRDNSTEVMDTNWQESSVSEHRQENPGNENGEANRTQGAQRVWHEGRSQEAVDNWSEGRSGPPRARRAIPVRRLNRFHPPEDDNVYGMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQSRSPIDWDLHRNLPTPASPEPDQEQERGETNDDQNDTINRPSLVLPSPPVPPPQPLWHHDLHHTRWSRHSMHRSEIQEWEMINDLRADMARLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKVLSAETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >KJB73448 pep chromosome:Graimondii2_0_v6:11:55176937:55183139:-1 gene:B456_011G233800 transcript:KJB73448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFEALQQKPESANDVRAELERGLEELMRGHLDDCMSFASCSSNRNPDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMMTTMERRNRESELMALAGLHTVSMLDSSFLRESQSSASRRQGGNVERPSTQASSILQMWRELEDEHVLNRARERVRERLRRQSNADSNTTMSSTTLSESHGSENPGSIVDVSESENDYGTWSHDQGVSQNDRGDNNVSSREHSPDLGEVERERVRQIVRGWMESGISDHSSNVTQRAGNPRAEWLGETERERVRIVREWVQMTSQQRGVRGGRRENQSATVSVQVDPVREGSVAEHDERQPEHIRRDLRRLRGRQAIIDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPTSVAASELIQLRQRNTVSGLREGLHNRLATNVQNQADSNSETTSSNVINDSRHELPQTNMSPDVQGENIERTQLRSLESGIAELPNQTGVLVRNMAVESISWQENTTQGGNWREPAVNDERGNWQQPTYAQFNELRDNSTEVMDTNWQESSVSEHRQENPGNENGEANRTQGAQRVWHEGRSQEAVDNWSEGRSGPPRARRAIPVRRLNRFHPPEDDNVYGMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQSRSPIDWDLHRNLPTPASPEPDQEQERGETNDDQNDTINRPSLVLPSPPVPPPQPLWHHDLHHTRWSRHSMHRSEIEWEMINDLRADMARLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKVLSAETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >KJB73458 pep chromosome:Graimondii2_0_v6:11:55176942:55183070:-1 gene:B456_011G233800 transcript:KJB73458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFEALQQKPESANDVRAELERGLEELMRGHLDDCMSFASCSSNRNPDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMMTTMERRNRESELMALAGLHTVSMLDSSFLRESQSSASRRQGGNVERPSTQASSILQMWRELEDEHVLNRARERVRERLRRQSNADSNTTMSSTTLSESHGSENPGSIVDVSESENDYGTWSHDQGVSQNDRGDNNVSSREHSPDLGEVERERVRQIVRGWMESGISDHSSNVTQRAGNPRAEWLGETERERVRIVREWVQMTSQQRGVRGGRRENQSATVSVQVDPVREGSVAEHDERQPEHIRRDLRRLRGRQAIIDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPTSVAASELIQLRQRNTVSGLREGLHNRLATNVQNQADSNSETTSSNVINDSRHELPQTNMSPDVQGENIERTQLRSLESGIAELPNQTGVLVRNMAVESISWQENTTQGGNWREPAVNDERGNWQQPTYAQFNELRDNSTEVMDTNWQESSVSEHRQENPGNENGEANRTQGAQRVWHEGRSQEAVDNWSEGRSGPPRARRAIPVRRLNRFHPPEDDNVYGMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQSRSPIDWDLHRNLPTPASPEPDQEQERGETNDDQNDTINRPSLVLPSPPVPPPQPLWHHDLHHTRWSRHSMHRSEIEWEMINDLRADMARLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >KJB73452 pep chromosome:Graimondii2_0_v6:11:55176934:55183070:-1 gene:B456_011G233800 transcript:KJB73452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFEALQQKPESANDVRAELERGLEELMRGHLDDCMSFASCSSNRNPDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMMTTMERRNRESELMALAGLHTVSMLDSSFLRESQSSASRRQGGNVERPSTQASSILQMWRELEDEHVLNRARERVRERLRRQSNADSNTTMSSTTLSESHGSENPGSIVDVSESENDYGTWSHDQGVSQNDRGDNNVSSREHSPDLGEVERERVRQIVRGWMESGISDHSSNVTQRAGNPRAEWLGETERERVRIVREWVQMTSQQRGVRGGRRENQSATVSVQVDPVREGSVAEHDERQPEHIRRDLRRLRGRQAIIDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPTSVAASELIQLRQRNTVSGLREGLHNRLATNVQNQADSNSETTSSNVINDSRHELPQTNMSPDVQGENIERTQLRSLESGIAELPNQTGVLVRNMAVESISWQENTTQGGNWREPAVNDERGNWQQPTYAQFNELRDNSTEVMDTNWQESSVSEHRQENPGNENGEANRTQGAQRVWHEGRSQEAVDNWSEGRSGPPRARRAIPVRRLNRFHPPEDDNVYGMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQSRSPIDWDLHRNLPTPASPEPDQEQERGETNDDQNDTINRPSLVLPSPPVPPPQPLWHHDLHHTRWSRHSMHRSEIEWEMINDLRADMARLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKVLSAETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >KJB73449 pep chromosome:Graimondii2_0_v6:11:55177131:55182598:-1 gene:B456_011G233800 transcript:KJB73449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFEALQQKPESANDVRAELERGLEELMRGHLDDCMSFASCSSNRNPDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMMTTMERRNRESELMALAGLHTVSMLDSSFLRESQSSASRRQGGNVERPSTQASSILQMWRELEDEHVLNRARERVRERLRRQSNADSNTTMSSTTLSESHGSENPGSIVDVSESENDYGTWSHDQGVSQNDRGDNNVSSREHSPDLGEVERERVRQIVRGWMESGISDHSSNVTQRAGNPRAEWLGETERERVRIVREWVQMTSQQRGVRGGRRENQSATVSVQVDPVREGSVAEHDERQPEHIRRDLRRLRGRQAIIDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPTSVAASELIQLRQRNTVSGLREGLHNRLATNVQNQADSNSETTSSNVINDSRHELPQTNMSPDVQGENIERTQLRSLESGIAELPNQTGVLVRNMAVESISWQENTTQGGNWREPAVNDERGNWQQPTYAQFNELRDNSTEVMDTNWQESSVSEHRQENPGNENGEANRTQGAQRVWHEGRSQEAVDNWSEGRSGPPRARRAIPVRRLNRFHPPEDDNVYGMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQSRSPIDWDLHRNLPTPASPEPDQEQERGETNDDQNDTINRPSLVLPSPPVPPPQPLWHHDLHHTRWSRHSMHRSEIQEWEMINDLRADMARLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKVLSAETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >KJB73453 pep chromosome:Graimondii2_0_v6:11:55176937:55183139:-1 gene:B456_011G233800 transcript:KJB73453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFEALQQKPESANDVRAELERGLEELMRGHLDDCMSFASCSSNRNPDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMMTTMERRNRESELMALAGLHTVSMLDSSFLRESQSSASRRQGGNVERPSTQASSILQMWRELEDEHVLNRARERVRERLRRQSNADSNTTMSSTTLSESHGSENPGSIVDVSESENDYGTWSHDQGVSQNDRGDNNVSSREHSPDLGEVERERVRQIVRGWMESGISDHSSNVTQRAGNPRAEWLGETERERVRIVREWVQMTSQQRGVRGGRRENQSATVSVQVDPVREGSVAEHDERQPEHIRRDLRRLRGRQAIIDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPTSVAASELIQLRQRNTVSGLREGLHNRLATNVQNQADSNSETTSSNVINDSRHELPQTNMSPDVQGENIERTQLRSLESGIAELPNQTGVLVRNMAVESISWQENTTQGGNWREPAVNDERGNWQQPTYAQFNELRDNSTEVMDTNWQESSVSEHRQENPGNENGEANRTQGAQRVWHEGRSQEAVDNWSEGRSGPPRARRAIPVRRLNRFHPPEDDNVYGMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQSRSPIDWDLHRNLPTPASPEPDQEQERGETNDDQNDTINRPSLVLPSPPVPPPQPLWHHDLHHTRWSRHSMHRSEIEWEMINDLRADMARLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKVLSAETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >KJB73538 pep chromosome:Graimondii2_0_v6:11:56432245:56435424:1 gene:B456_011G239900 transcript:KJB73538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPNFCSLAPENEDMFIWQATMPGPLNSPYEGGKFELRIHLPPDYPFNPPKVLLSIYSILGDPMLDDPYEENIANMYKTDRSQYEKVARNWTQKYAMGPVYETIWEELKGLERFPPSYGSAGPIDGDMFHWQATLLDLRDSPYAGGVFEVDIHFPSQYPFEPPKVVLRTKIFHPNIDRNGSIGLDILKDRWRANLTISQVLHSICSLLKNPNLDAPLVPEIAHMYKTNRSKYDTIARSWTQKYARG >KJB73537 pep chromosome:Graimondii2_0_v6:11:56432205:56435574:1 gene:B456_011G239900 transcript:KJB73537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPNFCSLAPENEDMFIWQATMPGPLNSPYEGGKFELRIHLPPDYPFNPPKVAFRSKIFHPNINKNGSIGIDILKDKWTPALTISKVLLSIYSILGDPMLDDPYEENIANMYKTDRSQYEKVARNWTQKYAMGPVYETIWEELKGLERFPPSYGSAGPIDGDMFHWQATLLDLRDSPYAGGVFEVDIHFPSQYPFEPPKVVLRTKIFHPNIDRNGSIGLDILKDRWRANLTISQVLHSICSLLKNPNLDAPLVPEIAHMYKTNRSKYDTIARSWTQKYARG >KJB70198 pep chromosome:Graimondii2_0_v6:11:5228171:5230543:-1 gene:B456_011G062800 transcript:KJB70198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNQPHPQAEEFQRGLLPPQMMRQPSASSTTLNSEYHHPGAPPQMPPYNAHGDNFAAKRMRKLTQMRAVDYTSTVVRYMQIRMSQWDSRDKTTLQIRMF >KJB70196 pep chromosome:Graimondii2_0_v6:11:5228306:5229955:-1 gene:B456_011G062800 transcript:KJB70196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQPSASSTTLNSEYHHPGAPPQMPPYNAHGDNFAAKRMRKLTQMRAVDYTSTVVRYMQIRMSQWDSRDKTTLQIRMF >KJB70197 pep chromosome:Graimondii2_0_v6:11:5228171:5230529:-1 gene:B456_011G062800 transcript:KJB70197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQPSASSTTLNSEYHHPGAPPQMPPYNAHGDNFAAKRMRKLTQMRAVDYTSTVVRYMQIRMSQWDSRDKTTLQIRMF >KJB70199 pep chromosome:Graimondii2_0_v6:11:5228695:5230529:-1 gene:B456_011G062800 transcript:KJB70199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQPSASSTTLNSEYHHPGAPPQMPPYNAHGDNFAAKRMRKLTQMRAVDYTSTVVRYMQVFFSCLVVFISVFFLYLSISCYMVRLVNLINMDAYVCS >KJB70195 pep chromosome:Graimondii2_0_v6:11:5228306:5229865:-1 gene:B456_011G062800 transcript:KJB70195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQPSASSTTLNSEYHHPGAPPQMPPYNAHGDNFAAKRMRKLTQMRAVDYTSTVVRYMQIRMSQWDSRDKTTLQIRMF >KJB70828 pep chromosome:Graimondii2_0_v6:11:10099974:10103995:-1 gene:B456_011G093000 transcript:KJB70828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDGSSPLPLKRRRFTSIDSMEAESAKAKLAAAKEKFGREIRVFETASLLPTQDGVPNTAEEPDDFYDFTAEDYYRLMASKKEDKHLKTRKIREAEEAARRSRITKAVVRIRFPDNHTLELTFHPSETLQSLVDLISKLIARPDLPFYLYTTPPKKQIKDMTQDFYSAGFIPGAILYFSYDLPKGEDAAAANSGPFLQEEIMSLKGLEVIAGAEQPESLQSAPEPASASAVPSPAVHESKPTEKKPAKPKWFKM >KJB70825 pep chromosome:Graimondii2_0_v6:11:10101610:10103912:-1 gene:B456_011G093000 transcript:KJB70825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDGSSPLPLKRRRFTSIDSMEAESAKAKLAAAKEKFGREIRVFETASLLPTQDGVPNTEEPDDFYDFTAEDYYRLMASKKEDKHLKTRKIREAEEAARRSRITKAVVRIRFPDNHTLELTFHPSETLQSLVDLISKLIARPDLPFYLYTTPPKKQIKDMTQDFYSAGFIPGAILYFSYDLPKGLCMVSHVCIV >KJB70829 pep chromosome:Graimondii2_0_v6:11:10100986:10103912:-1 gene:B456_011G093000 transcript:KJB70829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDGSSPLPLKRRRFTSIDSMEAESAKAKLAAAKEKFGREIRVFETASLLPTQDGVPNTEEPDDFYDFTAEDYYRLMASKKEDKHLKTRKIREAEEAARRSRITKAVVRIRFPDNHTLELTFHPSETLQSLVDLISKLIARPDLPFYLYTTPPKKQIKDMTQDFYSAGFIPGAILYFSYDLPKGCCSCKFRPLSSGRDHVFERFGSHCWS >KJB70818 pep chromosome:Graimondii2_0_v6:11:10099974:10103995:-1 gene:B456_011G093000 transcript:KJB70818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDGSSPLPLKRRRFTSIDSMEAESAKAKLAAAKEKFGREIRVFETASLLPTQDGVPNTEEPDDFYDFTAEDYYRLMASKKEDKHLKTRKIREAEEAARRSRITKAVVRIRFPDNHTLELTFHPSETLQSLVDLISKLIARPDLPFYLYTTPPKKQIKDMTQDFYSAGFIPGAILYFSYDLPKGEDAAAANSGPFLQEEIMSLKGLEVIAGAEQPESLQSAPEPASASAVPSPAVHESKPTEKKPAKPKWFKM >KJB70822 pep chromosome:Graimondii2_0_v6:11:10100862:10103912:-1 gene:B456_011G093000 transcript:KJB70822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDGSSPLPLKRRRFTSIDSMEAESAKAKLAAAKEKFGREIRVFETASLLPTQDGVPNTEEPDDFYDFTAEDYYRLMASKKEDKHLKTRKIREAEEAARRSRITKAVVRIRFPDNHTLELTFHPSETLQSLVDLISKLIARPDLPFYLYTTPPKKQIKDMTQDFYSAGFIPGAILYFSYDLPKGEDAAAANSGPFLQEEIMSLKGLEVIAGAEQPESLQSAPEPASASAVPSPAVHESKPTEKKPAKPKWFKM >KJB70824 pep chromosome:Graimondii2_0_v6:11:10100862:10103912:-1 gene:B456_011G093000 transcript:KJB70824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDGSSPLPLKRRRFTSIDSMEAESAKAKLAAAKEKFGREIRVFETASLLPTQDGVPNTEEPDDFYDFTAEDYYRLMASKKEDKHLKTRKIREAEEAARRSRITKAVVRIRFPDNHTLELTFHPSETLQSLVDLISKLIARPDLPFYLYTTPPKKQIKDMTQDFYSAGFIPGAILYFSYDLPKGEDAAAANSGPFLQEEIMSLKGLEVIAGAEQPESLQSAPEPASASAVPSPAVHESKPTEKKPAKPKWFKM >KJB70827 pep chromosome:Graimondii2_0_v6:11:10099974:10103995:-1 gene:B456_011G093000 transcript:KJB70827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDGSSPLPLKRRRFTSIDSMEAESAKAKLAAAKEKFGREIRVFETASLLPTQDGVPNTEEPDDFYDFTAEDYYRLMASKKEDKHLKTRKIREAEEAARRSRITKAVVRIRFPDNHTLELTFHPSETLQSLVDLISKLIARPDLPFYLYTTPPKKQIKDMTQDFYSAGFIPGAILYFSYDLPKGCCSCKFRPLSSGRDHVFERFGSHCWS >KJB70820 pep chromosome:Graimondii2_0_v6:11:10100862:10103912:-1 gene:B456_011G093000 transcript:KJB70820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDGSSPLPLKRRRFTSIDSMEAESAKAKLAAAKEKFGREIRVFETASLLPTQDGVPNTEEPDDFYDFTAEDYYRLMASKKEDKHLKTRKIREAEEAARRSRITKAVVRIRFPDNHTLELTFHPSETLQSLVDLISKLIARPDLPFYLYTTPPKKQIKDMTQDFYSAGFIPGAILYFSYDLPKGEDAAAANSGPFLQEEIMSLKGLEVIAGAEQPESLQSAPEPASASAVPSPAVHESKPTEKKPAKPKWFKM >KJB70823 pep chromosome:Graimondii2_0_v6:11:10099974:10103995:-1 gene:B456_011G093000 transcript:KJB70823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDGSSPLPLKRRRFTSIDSMEAESAKAKLAAAKEKFGREIRVFETASLLPTQDGVPNTEEPDDFYDFTAEDYYRLMASKKEDKHLKTRKIREAEEAARRSRITKAVVRIRFPDNHTLELTFHPSETLQSLVDLISKLIARPDLPFYLYTTPPKKQIKDMTQDFYSAGFIPGAILYFSYDLPKGCCSCKFRPLSSGRDHVFERFGSHCWS >KJB70826 pep chromosome:Graimondii2_0_v6:11:10099931:10104025:-1 gene:B456_011G093000 transcript:KJB70826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDGSSPLPLKRRRFTSIDSMEAESAKAKLAAAKEKFGREIRVFETASLLPTQDGVPNTEEPDDFYDFTAEDYYRLMASKKEDKHLKTRKIREAEEAARRSRITKAVVRIRFPDNHTLELTFHPSETLQSLVDLISKLIARPDLPFYLYTTPPKKQIKDMTQDFYSAGFIPGAILYFSYDLPKGEDAAAANSGPFLQEEIMSLKGLEVIAGAEQPESLQSAPEPASASAVPSPAVHESKPTEKKPAKPKWFKM >KJB70819 pep chromosome:Graimondii2_0_v6:11:10100862:10103912:-1 gene:B456_011G093000 transcript:KJB70819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDGSSPLPLKRRRFTSIDSMEAESAKAKLAAAKEKFGREIRVFETASLLPTQDGVPNTEEPDDFYDFTAEDYYRLMASKKEDKHLKTRKIREAEEAARRSRITKAVVRIRFPDNHTLELTFHPSETLQSLVDLISKLIARPDLPFYLYTTPPKKQIKDMTQDFYSAGFIPGAILYFSYDLPKGEDAAAANSGPFLQEEIMSLKGLEVIAGAEQPESLQSAPEPASASAVPSPAVHESKPTEKKPAKPKWFKM >KJB70821 pep chromosome:Graimondii2_0_v6:11:10099889:10104064:-1 gene:B456_011G093000 transcript:KJB70821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDGSSPLPLKRRRFTSIDSMEAESAKAKLAAAKEKFGREIRVFETASLLPTQDGVPNTEEPDDFYDFTAEDYYRLMASKKEDKHLKTRKIREAEEAARRSRITKAVVRIRFPDNHTLELTFHPSETLQSLVDLISKLIARPDLPFYLYTTPPKKQIKDMTQDFYSAGFIPGAILYFSYDLPKGEDAAAANSGPFLQEEIMSLKGLEVIAGAEQPESLQSAPEPASASAVPSPAVHESKPTEKKPAKPKWFKM >KJB71093 pep chromosome:Graimondii2_0_v6:11:12275236:12277203:-1 gene:B456_011G105700 transcript:KJB71093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRSVPAPFLTKTYQLVDDPNTDNVISWNESGTSFVVWKTADFSKDLLPTYFKHNNFSSFVRQLNTYGFRKVVPDKWEFANDNFKRGEKQLLSKISRRKTATSPPADAPAKGKTSGAATPSPTDSGEDLGSTSTSSPESKNPGSVETNQFTDLSEENQKLKRDNEMLSIELAQAKRKCDELVAFLTECVKVGPDQINRIMPQGSCGSTINGEGPGRICGVDDDEKTSEEDMGGLKLFGVWLKGVEKKRAREESIMYGGPHAKEMKTVDFRHVPLVMESGKVCS >KJB71094 pep chromosome:Graimondii2_0_v6:11:12275507:12276976:-1 gene:B456_011G105700 transcript:KJB71094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRSVPAPFLTKTYQLVDDPNTDNVISWNESGTSFVVWKTADFSKDLLPTYFKHNNFSSFVRQLNTYGFRKVVPDKWEFANDNFKRGEKQLLSKISRRKTATSPPADAPAKGKTSGAATPSPTDSGEDLGSTSTSSPESKNPGSVETNQFTDLSEENQKLKRDNEMLSIELAQAKRKCDELVAFLTECVKVGPDQINRIMPQGSCGSTINGEGPGRICGVDDDEKTSEEDMGGLKLFGVWLKGESIMYGGPHAKEMKTVDFRHVPLVMESGKVCS >KJB70597 pep chromosome:Graimondii2_0_v6:11:16062540:16063064:1 gene:B456_011G118700 transcript:KJB70597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPDPTAGRTIIWIITFLLLISIVAGGGCLLTYLLVPNSQSSIFLPTIGFTLVCMPWIFWIIIVVYRFTSRVFGFRMVIDSLYGNGNAAPKSSGDGVGANDIGGAQILDVSAKSPPNSPKTNGKPSQIGAAKNVDIGQENSTRRDGSYSSNKSNHISTTSREIEKPLVLSMPS >KJB71417 pep chromosome:Graimondii2_0_v6:11:17037193:17040699:-1 gene:B456_011G122200 transcript:KJB71417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIDTNGPTGSKNFQEHLEHFVSEQKSRFQVVLDRLRINPYSIDWSEVIDKKDLSKLLRFFLSKLLLFLSKLLLFLSNSVPFFFVSFENIPIYSSEIHIYELKGPNDQLCNQLKSFDNMDSYFSMKSHDQDNCLNPVKPFHKSSLIYSFYKANRLRFLNNPHHFCFYCNKRFPFYVEKARINNYKFTDTISPSPIKSQVSNIFISNDFPQSGDERYNLTYFQPLSNMNPSDSKEKNLDRYLNFNSNMGLIHTLCSKKYLPFEKQKKRSLCLKKCIEKRWMIEPFNEINLISEISSKCLHNFLLSEEMIRRNNESPLILTHLRSPNLQEFLYSILFLLFVAGYLVQFEKVKSLMISLYMIELRKLLDRYPTSELNSFWLKNLFLVALEQLGDFLEEIWGSAFGGNMLWGGGLAYGVKSIRSKKKYLNINLIDLISIIPNPINRIIFSRNTRHLSYTSKEIYSLIRKRKNVSGDWIDEKIKSWVTNSDSIDDKERKLIWLTLSPSRGILVIGSIGTGQSYLFKYLATNSYVPFIIVFLNKFLHNKLKGFLIDDIDIDDSDDIDASDIIDDSDAIDRDLDTELELLTMMNALTMEMRSEIDRFNITLQFELAKAISPCIIWIPNIHDLDVNEANYLSLILLVNYLSKDCEKCSTRNILVIASTHIPQKHFFTLSYTRRFHLEKKMFHTNGFGSITVGSNAQDLVALTNEALSISIAQKKSIIDTNTIRFALHRLFTNEVISYKPLSPQVFPFY >KJB72418 pep chromosome:Graimondii2_0_v6:11:41384700:41387914:-1 gene:B456_011G177300 transcript:KJB72418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLATLGTTSLLRFQKADPLKASPSPHLLGSLSSQVSGIKLSCNLPDVAPLKPISAPFTPLQPVARRVCPFTGKKANKANKVSFSNHKTKKLQFVNLQYKKVWWEAGKRYVKLRLSTKALKTIEKNGLDAVAKKAGIDLRKE >KJB72419 pep chromosome:Graimondii2_0_v6:11:41385727:41387914:-1 gene:B456_011G177300 transcript:KJB72419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLATLGTTSLLRFQKADPLKASPSPHLLGSLSSQVSGIKLSCNLPDVAPLKPISAPFTPLQPVARRVCPFTGKKANKANKVSFSNHKTKKLQFVNLQYKKVWWEAGKRYVKLRLSTKALKTIEKNGLDAVAKKAGIDLRKE >KJB74036 pep chromosome:Graimondii2_0_v6:11:60060287:60062202:1 gene:B456_011G268500 transcript:KJB74036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRKKSTMDDSKDSASKSVSETVNGSHQFTIKGYSLAKGMGPGKCIASDIFTVGGYDWAVYFYPDGKNPEDTAMYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFYRRTTLESSDYIKDDCLIMNCTVGVVRTRLEGPKQCSIHVLPSDMGQNLKALLESEVGCDITFQVGDEAFKAHKLILAARSPVFRAQFFGLVGDPNIDKVVVKDVEPSVFKVMLLFYFLSEFEE >KJB74040 pep chromosome:Graimondii2_0_v6:11:60060287:60063666:1 gene:B456_011G268500 transcript:KJB74040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRKKSTMDDSKDSASKSVSETVNGSHQFTIKGYSLAKGMGPGKCIASDIFTVGGYDWAVYFYPDGKNPEDTAMYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFYRRTTLESSDYIKDDCLIMNCTVGVVRTRLEGPKQCSIHVLPSDMGQNLKALLESEVGCDITFQVGDEAFKAHKLILAARSPVFRAQFFGLVGDPNIDKVVVKDVEPSVFKAMLLFIYSDKFPDIQEITGSGSTCMSTNMVQHLLAAADLYNLDRLKVLCEAKLCEKLNADTVATTLALAEQHHCSQLKAVCLKFAATPANLGAVMQSEGFRHLEESCPSLLSELLKAFASVEECASMLSSKKRSGSSVYGIDLAAEVHVAEPVNPNDRRVRRR >KJB74038 pep chromosome:Graimondii2_0_v6:11:60060287:60063666:1 gene:B456_011G268500 transcript:KJB74038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRKKSTMDDSKDSASKSVSETVNGSHQFTIKGYSLAKGMGPGKCIASDIFTVGGYDWAVYFYPDGKNPEDTAMYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFYRRTTLESSDYIKDDCLIMNCTVGVVRTRLEGPKQCSIHVGDEAFKAHKLILAARSPVFRAQFFGLVGDPNIDKVVVKDVEPSVFKAMLLFIYSDKFPDIQEITGSGSTCMSTNMVQHLLAAADLYNLDRLKVLCEAKLCEKLNADTVATTLALAEQHHCSQLKAVCLKFAATPANLGAVMQSEGFRHLEESCPSLLSELLKAFASVEECASMLSSKKRSGSSVYGIDLAAEVHVAEPVNPNDRRVRRR >KJB74035 pep chromosome:Graimondii2_0_v6:11:60060211:60063666:1 gene:B456_011G268500 transcript:KJB74035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRKKSTMDDSKDSASKSVSETVNGSHQFTIKGYSLAKGMGPGKCIASDIFTVGGYDWAVYFYPDGKNPEDTAMYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFYRRTTLESSDYIKDDCLIMNCTVGVVRTRLEGPKQCSIHVLPSDMGQNLKALLESEVGCDITFQVGDEAFKAHKLILAARSPVFRAQFFGLVGDPNIDKVVVKDVEPSVFKAMLLFIYSDKFPDIQEITGSGSTCMSTNMVQHLLAAADLYNLDRLKVLCEAKLCEKLNADTVATTLALAEQHHCSQLKAVCLKFAATPANLGAVMQSEGFRHLEESCPSLLSELLKAFASVEECASMLSSKKRSGSSVYGIDLAAEVHVAEPVNPNDRRVRRR >KJB74037 pep chromosome:Graimondii2_0_v6:11:60060287:60063666:1 gene:B456_011G268500 transcript:KJB74037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRKKSTMDDSKDSASKSVSETVNGSHQFTIKGYSLAKGMGPGKCIASDIFTVGGYDWAVYFYPDGKNPEDTAMYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFYRRTTLESSDYIKDDCLIMNCTVGVVGDEAFKAHKLILAARSPVFRAQFFGLVGDPNIDKVVVKDVEPSVFKAMLLFIYSDKFPDIQEITGSGSTCMSTNMVQHLLAAADLYNLDRLKVLCEAKLCEKLNADTVATTLALAEQHHCSQLKAVCLKFAATPANLGAVMQSEGFRHLEESCPSLLSELLKAFASVEECASMLSSKKRSGSSVYGIDLAAEVHVAEPVNPNDRRVRRR >KJB74039 pep chromosome:Graimondii2_0_v6:11:60060287:60063666:1 gene:B456_011G268500 transcript:KJB74039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRKKSTMDDSKDSASKSVSETVNGSHQFTIKGYSLAKGMGPGKCIASDIFTVGGYDWAVYFYPDGKNPEDTAMYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRALESGPYTLKYRGSMGYKRFYRRTTLESSDYIKDDCLIMNCTVGVVRTRLEGPKQCSIHVLPSDMGQNLKALLESEVGCDITFQVGDEAFKAHKLILAARSPVFRAQFFGLVGDPNIDKVVVKDVEPSVFKAMLLFIYSDKFPDIQEITGSGSTCMSTNMVQHLLAAADLYNLDRLKVLCEAKLCEKLNADTVATTLALAEQHHCSQLKAVCLKFAATPANLGAVMQSEGFRHLEESCPSLLSELLKAFASVEECASMLSSKKRSGSSVYGIDLAAEVHVAEPVNPNDRRVRRR >KJB73988 pep chromosome:Graimondii2_0_v6:11:59698220:59698831:1 gene:B456_011G2655002 transcript:KJB73988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVLKSLANSIFLVLFIIFSSSTPLVLAQCEAETKTNGCHNYRESMKLKIIAIVAILLSSMIGVCLPLFSGQVPLLKPDRDLFTIVKAFSSGVILATGYMHVLPDSFNDLMSGCLPENPWRKFPFTTFVAMLSAVLTLMVDSFAMSVYKKRCGKALMADANNGGGLENTNVVPIDNFEHGHSHSLEMNDDVSSQLLRHRVIAQ >KJB74080 pep chromosome:Graimondii2_0_v6:11:60330968:60335208:-1 gene:B456_011G271000 transcript:KJB74080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVNSSHGGGGTNGGVETTSLKFKQQQQELLQAQKTQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDAATLRKASIWREASRIVGEEGFRAFWKGNLVTIAHRLPYSSLNFYAYEQYKKLLYMLPGSENHGKSMSADICIHFVAGGLAGITAASATYPLDLVRTRLAAQTNDTYYRGIWHALRTICKDEGVLGLYKGLGTTLLGVGPSIAISFSVYESLRSFWQSRRPHDSTVLVSLTCGSLSGIASSTVYTCVDPLHCIVLFFLNNYLLAIFPLDLIRRRKQLEGAGGRARVYKTGLFGTFKHIFQTEGFRGLYRGILPEYYKVVPGVGICFMTYETLKTLLADVTTKL >KJB74083 pep chromosome:Graimondii2_0_v6:11:60330968:60335249:-1 gene:B456_011G271000 transcript:KJB74083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVNSSHGGGGTNGGVETTSLKFKQQQQELLQAQKTQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDAATLRKASIWREASRIVGEEGFRAFWKGNLVTIAHRLPYSSLNFYAYEQYKKLLYMLPGSENHGKSMSADICIHFVAGGLAGITAASATYPLDLVRTRLAAQTNDTYYRGIWHALRTICKDEGVLGLYKGLGTTLLGVGPSIAISFSVYESLRSFWQSRRPHDSTVLVSLTCGSLSGIASSTGYGAGSALRI >KJB74084 pep chromosome:Graimondii2_0_v6:11:60332770:60335060:-1 gene:B456_011G271000 transcript:KJB74084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVNSSHGGGGTNGGVETTSLKFKQQQQELLQAQKTQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDAATLRKASIWREASRIVGEEGFRAFWKGNLVTIAHRLPYSSLNFYAYEQYKKLLYMLPGSENHGKSMSADICIHFVAGGLAGITAASATYPLDLVRTRLAAQTNDTYYRGIWHALRTICKDEGVLGLYKGLGTTLLGVGPSIAISFSVYESLRSFWQSRRPHDSTVLVSLTCGSLSGIASSTEKQVTVQALH >KJB74086 pep chromosome:Graimondii2_0_v6:11:60332770:60335060:-1 gene:B456_011G271000 transcript:KJB74086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVNSSHGGGGTNGGVETTSLKFKQQQQELLQAQKTQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDAATLRKASIWREASRIVGEEGFRAFWKGNLVTIAHRLPYSSLNFYAYEQYKKLLYMLPGSENHGKSMSADICIHFVAGGLAGITAASATYPLDLVRTRLAAQTNDTYYRGIWHALRTICKDEGVLGLYKGLGTTLLGVGPSIAISFSVYESLRSFWQSRRPHDSTVLVSLTCGSLSGIASSTEKQVTVQALH >KJB74087 pep chromosome:Graimondii2_0_v6:11:60331973:60335208:-1 gene:B456_011G271000 transcript:KJB74087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVNSSHGGGGTNGGVETTSLKFKQQQQELLQAQKTQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDAATLRKASIWREASRIVGEEGFRAFWKGNLVTIAHRLPYSSLNFYAYEQYKKLLYMLPGSENHGKSMSADICIHFVAGGLAGITAASATYPLDLVRTRLAAQTNDTYYRGIWHALRTICKDEGVLGLYKGLGTTLLGVGPSIAISFSVYESLRSFWQSRRPHDSTVLVSLTCGSLSGIASSTEKQVTVQALH >KJB74085 pep chromosome:Graimondii2_0_v6:11:60330968:60335253:-1 gene:B456_011G271000 transcript:KJB74085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVNSSHGGGGTNGGVETTSLKFKQQQQELLQAQKTQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDAATLRKASIWREASRIVGEEGFRAFWKGNLVTIAHRLPYSSLNFYAYEQYKKLLYMLPGSENHGKSMSADICIHFVAGGLAGITAASATYPLDLVRTRLAAQTNDTYYRGIWHALRTICKDEGVLGLYKGLGTTLLGVGPSIAISFSVYESLRSFWQSRRPHDSTVLVSLTCGSLSGIASSTAIFPLDLIRRRKQLEGAGGRARVYKTGLFGTFKHIFQTEGFRGLYRGILPEYYKVVPGVGICFMTYETLKTLLADVTTKL >KJB74078 pep chromosome:Graimondii2_0_v6:11:60330968:60335208:-1 gene:B456_011G271000 transcript:KJB74078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVNSSHGGGGTNGGVETTSLKFKQQQQELLQAQKTQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDAATLRKASIWREASRIVGEEGFRAFWKGNLVTIAHRLPYSSLNFYAYEQYKKLLYMLPGSENHGKSMSADICIHFVAGGLAGITAASATYPLDLVRTRLAAQTNDTYYRGIWHALRTICKDEGVLGLYKGLGTTLLGVGPSIAISFSVYESLRSFWQSRRPHDSTVLVSLTCGSLSGIASSTGYGAGSALRI >KJB74081 pep chromosome:Graimondii2_0_v6:11:60330968:60335208:-1 gene:B456_011G271000 transcript:KJB74081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVNSSHGGGGTNGGVETTSLKFKQQQQELLQAQKTQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDAATLRKASIWREASRIVGEEGFRAFWKGNLVTIAHRLPYSSLNFYAYEQYKKLLYMLPGSENHGKSMSADICIHFVAGGLAGITAASATYPLDLVRTRLAAQTNDTYYRGIWHALRTICKDEGVLGLYKGLGTTLLGVGPSIAISFSVYESLRSFWQSRRPHDSTVLVSLTCGSLSGIASSTEKQVTVQALH >KJB74079 pep chromosome:Graimondii2_0_v6:11:60330968:60335249:-1 gene:B456_011G271000 transcript:KJB74079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVNSSHGGGGTNGGVETTSLKFKQQQQELLQAQKTQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDAATLRKASIWREASRIVGEEGFRAFWKGNLVTIAHRLPYSSLNFYAYEQYKKLLYMLPGSENHGKSMSADICIHFVAGGLAGITAASATYPLDLVRTRLAAQTNDTYYRGIWHALRTICKDEGVLGLYKGLGTTLLGVGPSIAISFSVYESLRSFWQSRRPHDSTVLVSLTCGSLSGIASSTAGYGAGSALRI >KJB74082 pep chromosome:Graimondii2_0_v6:11:60332770:60335060:-1 gene:B456_011G271000 transcript:KJB74082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVNSSHGGGGTNGGVETTSLKFKQQQQELLQAQKTQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDAATLRKASIWREASRIVGEEGFRAFWKGNLVTIAHRLPYSSLNFYAYEQYKKLLYMLPGSENHGKSMSADICIHFVAGGLAGITAASATYPLDLVRTRLAAQTNDTYYRGIWHALRTICKDEGVLGLYKGLGTTLLGVGPSIAISFSVYESLRSFWQSRRPHDSTVLVSLTCGSLSGIASSTVYTCVDPLHCIVLFFLNNYLLEKQVTVQALH >KJB74307 pep chromosome:Graimondii2_0_v6:11:61858377:61861880:-1 gene:B456_011G286300 transcript:KJB74307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKEEKTRRILRGFKTVFFLITMVISFLVFSAPVFFVIADTLLPTALLSASIPPSSSSLLKTLYSHFSNYDFRYSLIDIPLISIIRSAVIISSFVFNSSKEGSAMEAALFICSLGFAIAHMIVAYRTSCRERRKLLVYKIDIEAISACKNGFPRYQKILQDERVK >KJB74306 pep chromosome:Graimondii2_0_v6:11:61858370:61862047:-1 gene:B456_011G286300 transcript:KJB74306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKEEKTRRILRGFKTVFFLITMVISFLVFSAPVFFVIADTLLPTALLSASIPPSSSSLLKTLYSHFSNYDFRYSLIDIPLISIIRSAVIICVYSFCDGPKLSRGPYLGITMICSVSSLIFVSLKASFVFNSSKEGSAMEAALFICSLGFAIAHMIVAYRTSCRERRKLLVYKIDIEAISACKNGFPRYQKILQDERVK >KJB70450 pep chromosome:Graimondii2_0_v6:11:7030062:7036998:-1 gene:B456_011G074000 transcript:KJB70450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRGRHLDAYSMYKRGTREHGFDAFDKEQGPERSLNGVGKDIGVPSWKRSLRHVLVATLSSFLYGYHLGVVNETLESISRDLGFHGNTMAEGLVVSTCLGGAFVGSLFSGLIADGVGRRRALQLCALPMIIGASMSATAKSLWAMLLGRLFVGTGMGIGPAVAALYVTEVSPAYVRGTYGSFTQIATGLGLMGSLFIGFPAKAIEGWWRICFWASVAPAAILALFMEFSVESPHWLFKRGRAADAEAEFEKLLGGPYVKSAMAELSKSDRGDEADTFKFSELLYGHHRKVVFIGSTLFALQQLSGINAVFYFSSTVFETAGVPSESANMCVGIANLLGSFVAMILMDRLGRKVLLIGSFSGMVVAMFLQITSATSLVSRSSGVYLSVGGMLLSVLAFAIGAGPVPSILLSEMFPGRVRANAVSVCMAFHWIVNFFVGLLFLRLLEQIGPLVLNSIFGAFCLLAVLFIKKNVLETKGKSLQEIEIALLPSE >KJB70452 pep chromosome:Graimondii2_0_v6:11:7030169:7036817:-1 gene:B456_011G074000 transcript:KJB70452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRGRHLDAYSMYKRGTREHGFDAFDKEQGPERSLNGVGKDIGVPSWKRSLRHVLVATLSSFLYGYHLGVVNETLESISRDLGFHGNTMAEGLVVSTCLGGAFVGSLFSGLIADGVGRRRALQLCALPMIIGASMSATAKSLWAMLLGRLFVGTGMGIGPAVAALYVTEVSPAYVRGTYGSFTQIATGLGLMGSLFIGFPAKAIEGWWRICFWASVAPAAILALFMEFSVESPHWLFKRGRAADAEAEFEKLLGGPYVKSAMAELSKSDRGDEADTFKFSELLYGHHRKVVFIGSTLFALQQLSGINAVFYFSSTVFETAGVPSESANMCVGIANLLGSFVAMILMDRLGRKVLLIGSFSGMVMAMFLQITSATSLVSRSSGVYLSVGGMLLSVLAFAIGAGPVPSILLSEMFPGRVRANAVSVCMAFHWIVNFFVGLLFLRLLEQIGPLVLNSIFGAFCLLAVLFIKKNVLETKGKSLQEIEIALLPSE >KJB70451 pep chromosome:Graimondii2_0_v6:11:7030094:7036998:-1 gene:B456_011G074000 transcript:KJB70451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRGRHLDAYSMYKRGTREHGFDAFDKEQGPERSLNGVGKDIGVPSWKRSLRHVLVATLSSFLYGYHLGVVNETLESISRDLGFHGNTMAEDGVGRRRALQLCALPMIIGASMSATAKSLWAMLLGRLFVGTGMGIGPAVAALYVTEVSPAYVRGTYGSFTQIATGLGLMGSLFIGFPAKAIEGWWRICFWASVAPAAILALFMEFSVESPHWLFKRGRAADAEAEFEKLLGGPYVKSAMAELSKSDRGDEADTFKFSELLYGHHRKVVFIGSTLFALQQLSGINAVFYFSSTVFETAGVPSESANMCVGIANLLGSFVAMILMDRLGRKVLLIGSFSGMVVAMFLQITSATSLVSRSSGVYLSVGGMLLSVLAFAIGAGPVPSILLSEMFPGRVRANAVSVCMAFHWIVNFFVGLLFLRLLEQIGPLVLNSIFGAFCLLAVLFIKKNVLETKGKSLQEIEIALLPSE >KJB70453 pep chromosome:Graimondii2_0_v6:11:7031266:7036817:-1 gene:B456_011G074000 transcript:KJB70453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRGRHLDAYSMYKRGTREHGFDAFDKEQGPERSLNGVGKDIGVPSWKRSLRHVLVATLSSFLYGYHLGVVNETLESISRDLGFHGNTMAEGLVVSTCLGGAFVGSLFSGLIADGVGRRRALQLCALPMIIGASMSATAKSLWAMLLGRLFVGTGMGIGPAVAALYVTEVSPAYVRGTYGSFTQIATGLGLMGSLFIGFPAKAIEGWWRICFWASVAPAAILALFMEFSVESPHWLFKRGRAADAEAEFEKLLGGPYVKSAMAELSKSDRGDEADTFKFSELLYGHHRKVVFIGSTLFALQQLSGINAVFYFSSTVFETAGVPSESANMCVGIANLLGSFVAMILMDRLGRKVLLIGSFSGMVSKKSFCWSFTVWLMP >KJB73111 pep chromosome:Graimondii2_0_v6:11:51796813:51798003:1 gene:B456_011G215500 transcript:KJB73111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIHVKKFSACCSCALLGFIALFPACVYYLGTTMRVIYGYVFNTDIHSNNHTPYIRSNMFLTSWFSLIPPFNFLYVYART >KJB69480 pep chromosome:Graimondii2_0_v6:11:1897174:1898775:-1 gene:B456_011G025700 transcript:KJB69480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQMSKLYHNFHHTKNSVFSFVLLLPLFLLLLLACNGFSVFYINLSVPAKTSQEPANLLPEILPGDKPVKKLSSSSVMYAVKEENPSLNLKTHLSLLQKPNISMVSKPEKQPHRFSPQTLKALQLRTTKRTKGFTFSTKLRAFFQNSNCKLRFFMTWISSLHSLSHRELLVIESVFKSNPKACLVIVSTSLDSPKGNAVLKPFLNMGFNLITVSPDFDSIFKHTYAETWFNRLKTGNINPGEVSLGQNLSNLLRLVLLYKYGGVYIDTDVIVLKSFNNLSNVIGAQSMNIETKTWSRLNNAVLVFDKHHPLLYKFIQEFALTFDGNKWGHNGPYLVSRVVGRVTNRPGFNFTVLPPPAFYPVDWSRIRSLFREPNNGIQSDWLRRKLEQIRRQSYAVHLWNRQSKDVRVEEGSIVHHIISDCCIFCNISTSSL >KJB72795 pep chromosome:Graimondii2_0_v6:11:47882079:47887109:-1 gene:B456_011G198200 transcript:KJB72795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPILFPLLFITTAVFLGFFISSAESRPPFACDPRNGLTRSLKFCRANLPIHVRARDLIGRLTLAEKIRLLVNNAAAVPRLGIQGYEWWSEALHGVSNVGPGTKFGGAFPGATSFPQVITSAASFNESLWEQIGRVVSDEARAMYNGGMAGLTYWSPNVNIFRDPRWGRGQETPGEDPVLAGKYAANYVRGLQSPTGIRLKVAACCKHYTAYDLDNWNGVDRYHFNARVSKQDLADTYDVPFKSCVVEGKVASVMCSYNQVNGKPTCADPDLLKGTIRGQWGLSGYIVSDCDSVGVMYDTQHFTSTPEESAAAAIKAGLDLDCGPFLAIHTDLAVRRGLLAEADVDLALANTITIQMRLGMFDGEPSAQPYGNLGPRDVCTPAHQQLALEAARQGIVLLKNSGSLPLSTARHRTVAVIGPNSDVTVTMIGNYAGVACGYTSPLQGISRYARTIHQAGCSDVACDTNNLFGAAEIAAHEADATVLVMGLDQSIEAEFRDRVGLLLPGRQQELVSRVARASRGPTVLVLMSGGPIDVSFAKNDPRVSAIIWAGYPGQAGGTAIADVLFGTTNPGGKLPMTWYPQDYVAKVPMTNMGIRPSRGYPGRTYRFYKGPVVFPFGHGLSYTSFKHSLALAPTDLSVLLDTNLFATKNYSTLSSNAIRVKHAKCDLLSSLFHIDVENTGNMDGTHTLLVFSTPPAGQKWSPNKQLIGFHRVHVIAGSKQRVKINIHACKHLSVVDEFGIRRIPMGSHSLHIGDLKHSISLQANLEGIKV >KJB70405 pep chromosome:Graimondii2_0_v6:11:6911560:6915114:1 gene:B456_011G073300 transcript:KJB70405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICVRSNPSPAPQEDAWVTSYQRLVPHWHSVSLSHQHSSIPISISRVNQFDAARLDIELSAMLKEQLVKVFSLMKPGMLFQYEPELDAFLEFLIWRFSIWVDKPTPGIALMNLRYRDERAVALRGKVGGQYIWARLQSLSAFRRWGDSEQRPLARRAWGLMQRIEGLYKAASFGNLLIFLYTGRYRNLIERSLQARLVYESPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSTVKSFLHPFSKDKSSSSKEDDSICPICWASPTLVYLALPCQHRYCYYCLRTRCAAVPSFQCSRCSKPVVAMQRHGSDVEHKTQSQ >KJB70403 pep chromosome:Graimondii2_0_v6:11:6911344:6915641:1 gene:B456_011G073300 transcript:KJB70403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICVRSNPSPAPQEDAWVTSYQRLVPHWHSVSLSHQHSSIPISISRVNQFDAARLDIELSAMLKEQLVKVFSLMKPGMLFQYEPELDAFLEFLIWRFSIWVDKPTPGIALMNLRYRDERAVALRGKVRTGLEGPGLTFAQKMWYCVAAVGGQYIWARLQSLSAFRRWGDSEQRPLARRAWGLMQRIEGLYKAASFGNLLIFLYTGRYRNLIERSLQARLVYESPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSTVKSFLHPFSKDKSSSSKEDDSICPICWASPTLVYLALPCQHRYCYYCLRTRCAAVPSFQCSRCSKPVVAMQRHGSDVEHKTQSQ >KJB70407 pep chromosome:Graimondii2_0_v6:11:6912718:6915114:1 gene:B456_011G073300 transcript:KJB70407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYCVAAVGGQYIWARLQSLSAFRRWGDSEQRPLARRAWGLMQRIEGLYKAASFGNLLIFLYTGRYRNLIERSLQARLVYESPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSTVKSFLHPFSKDKSSSSKEDDSICPICWASPTLVYLALPCQHRYCYYCLRTRCAAVPSFQCSRCSKPVVAMQRHGSDVEHKTQSQ >KJB70406 pep chromosome:Graimondii2_0_v6:11:6911560:6915114:1 gene:B456_011G073300 transcript:KJB70406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQYEPELDAFLEFLIWRFSIWVDKPTPGIALMNLRYRDERAVALRGKVRTGLEGPGLTFAQKMWYCVAAVGGQYIWARLQSLSAFRRWGDSEQRPLARRAWGLMQRIEGLYKAASFGNLLIFLYTGRYRNLIERSLQARLVYESPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSTVKSFLHPFSKDKSSSSKEDDSICPICWASPTLVYLALPCQHRYCYYCLRTRCAAVPSFQCSRCSKPVVAMQRHGSDVEHKTQSQ >KJB70404 pep chromosome:Graimondii2_0_v6:11:6911560:6915114:1 gene:B456_011G073300 transcript:KJB70404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRYRDERAVALRGKVGGQYIWARLQSLSAFRRWGDSEQRPLARRAWGLMQRIEGLYKAASFGNLLIFLYTGRYRNLIERSLQARLVYESPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSTVKSFLHPFSKDKSSSSKEDDSICPICWASPTLVYLALPCQHRYCYYCLRTRCAAVPSFQCSRCSKPVVAMQRHGSDVEHKTQSQ >KJB72110 pep chromosome:Graimondii2_0_v6:11:28906240:28906866:-1 gene:B456_011G159500 transcript:KJB72110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPKLPLLLVLFLYYAITSLGSDPDPVQDFCIPSTGIAACKNSSAATVEDFVFSGIKFPGKFSETGLAATSVNVNIFPGLNILGMSFVRADFEVGGINMPHFHPRATEIAFVLEGKIYSGFVDTENRIFAKVIEKGEVMVFPKGLLHFQMNVADMPATILGSFDSQNPGLVRIPNAVFGSGIEEKLLEKAFGLNSKEIAKLRKRFAPH >KJB74354 pep chromosome:Graimondii2_0_v6:11:62128485:62131324:1 gene:B456_011G289900 transcript:KJB74354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHKQNFGSFISHITHFFLFLHLFIYFFISPVSSQAWDGIIVTSSNFQALQAVKQELIDPKGFLRSWNDTGYGACSGRWLGIKCAKGQVIVIQLPWKGLSGRISDKIGQLQALRKLSLHDNFITGSIPSTLGILPDLRGVQLFNNKLTGSVPASLGSCPSLQTLDLSNNLLTGTIPESLVNSSKLIRLNLSFNSISGSIPVSFTRSNSLTFLALQHNNLSGSIPDSWGSTGENKLQYFTLDHNHLSGTIPVSFSKLSELQEVSFSHNLITGSIPNDIGKVTMVRKLDFSYNAINGSLPVSLFSNMSSLVMLNLKRNKLSGTIPDSVGNISSLVQLDLSENELSGQIPFSLTNLSGLSSLNVSYNNLSGLVPVLLSQKFNSSSFVGNIQLCGYNGSTPCLSPNPPSPGHKHRKLSTKDIILIAAGALLIVLFILCCILITCLVRKKTTSKQGQTTTRSGLKGTSPPVGVDVESGENGAEIMGKSTYGTVYKATLEDGSQVAVKRLREKIMKTPREFENEVTALGKIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLSTFLHARGPEMPIAWPTRMRIVKGITRGLLHLHTRENIIHGNLTSSNVLLDDDTQAKITDFGLSRLMTAAANANIVATAGALGYRAPEFSKLKKANTKTDVYSIGVIILELLTGKSPGEGMNGMDLPQWVASIVKEEWTNEVFDLELMKDASSISDELLNTLKLALHCVDPSPSARPEVQQVLQQLEEIRADTPASSTPS >KJB74355 pep chromosome:Graimondii2_0_v6:11:62128485:62131381:1 gene:B456_011G289900 transcript:KJB74355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHKQNFGSFISHITHFFLFLHLFIYFFISPVSSQAWDGIIVTSSNFQALQAVKQELIDPKGFLRSWNDTGYGACSGRWLGIKCAKGQVIVIQLPWKGLSGRISDKIGQLQALRKLSLHDNFITGSIPSTLGILPDLRGVQLFNNKLTGSVPASLGSCPSLQTLDLSNNLLTGTIPESLVNSSKLIRLNLSFNSISGSIPVSFTRSNSLTFLALQHNNLSGSIPDSWGSTGENKLQYFTLDHNHLSGTIPVSFSKLSELQEVSFSHNLITGSIPNDIGKVTMVRKLDFSYNAINGSLPVSLFSNMSSLVMLNLKRNKLSGTIPDSVGNISSLVQLDLSENELSGQIPFSLTNLSGLSSLNVSYNNLSGLVPVLLSQKFNSSSFVGNIQLCGYNGSTPCLSPNPPSPGHKHRKLSTKDIILIAAGALLIVLFILCCILITCLVRKKTTSKQGQTTTRSGLKGTSPPVGVDVESGENGGKLVHFDGPMVFGADDLLCATAEIMGKSTYGTVYKATLEDGSQVAVKRLREKIMKTPREFENEVTALGKIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLSTFLHARGPEMPIAWPTRMRIVKGITRGLLHLHTRENIIHGNLTSSNVLLDDDTQAKITDFGLSRLMTAAANANIVATAGALGYRAPEFSKLKKANTKTDVYSIGVIILELLTGKSPGEGMNGMDLPQWVASIVKEEWTNEVFDLELMKDASSISDELLNTLKLALHCVDPSPSARPEVQQVLQQLEEIRADTPASSTPS >KJB71767 pep chromosome:Graimondii2_0_v6:11:22226305:22226692:1 gene:B456_011G140900 transcript:KJB71767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSNSNVAMYLNFALLISLLLIINIAESRLFHYGHGKAKMLTPTCVSIYGQEEGDTCFSITQAFNLTFDFFLQINPNLNCDTIFVGQWLCVDGFLS >KJB71866 pep chromosome:Graimondii2_0_v6:11:23383646:23386100:1 gene:B456_011G145100 transcript:KJB71866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRMIDKYPMPSTVEFNRLLVAIVRTKHYAVVVSMYSRLQLLGVSHDVYSFNILINCFCQLGYIDFGFSVLGKMLKLGVEPDVITLSTLINGLCKQRNMKSAVRFLRMMEKRGFEPDIVAYNTVIDYLCKCGLQNEALDLFSQMKVKGITPNMVSYSCLIHAMCNSGLQKEATRLLNEMVDKNISLDIFMYNMLIDAHCKEGTISEAVDTIDTMRKQGIEPNVVTYSILVDAHCKEGMIPEAKDIFETMIKQGIEPNVVTYSILVDALCKEGMVSEAEDIVDTMRNQGIEPDVVTYNALINGYCLRNKMDKVRSVFQLMIKKGCVPDICSFNIMINGYCKAKRLGKAMELFHKMAQKGPIPDTITYSTLMQGMCRLGRISAAYELLEKMLASGQVPNLMTCSILLDGLCKRGKLKEALNFFQAMRNSGLKLDIVSYNILIGGLCKIGYIEVAKEVFRELSVNGLKPDVYSYAIMINGFCRKGLLDEAYQLFRSMGDNDCLPNSCCYNVMIQGFIQNNYTSKATQLLTEMVDKGFSADLCSATLFLDLILRYGKSILI >KJB69027 pep chromosome:Graimondii2_0_v6:11:273245:277810:1 gene:B456_011G003300 transcript:KJB69027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISKVYSWFYGYYPWMVVFATTLVLFGSLSKSSNNQDEVPLKASKFQKPLRLPNKGYGYPPVLAYWISGSKGDSNKMVRLLKAIYHPRNQYLLQLDSGSSDYERENLGFLIESETVLQTFGNVNVEGKSYAVNKMGSSALAATLHAAALLLKINSDWDWFIPLSASSYPLMNQDGSPWVILSRSFMEFCIYGWDNIPRKLLMYFTNVAYPLETYFHTVICNSFEFQNTTLSNDLRYDIIPKSPKPKILNTSKYGEIVAGESVFAQPIQEDDPLLNMIDEDVLHRMPDNFVPGSWSSCQGINQGEDLCYRWADIDTVKPGSKGIKLASLLTKLVEERRHNPSQCHQQRGP >KJB69028 pep chromosome:Graimondii2_0_v6:11:273211:277810:1 gene:B456_011G003300 transcript:KJB69028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISKVYSWFYGYYPWMVVFATTLVLFGSLSKSSNNQDEVPLKASKFQKPLRLPNKGYGYPPVLAYWISGSKGDSNKMVRLLKAIYHPRNQYLLQLDSGSSDYERENLGFLIESETVLQTFGNVNVEGKSYAVNKMGSSALAATLHAAALLLKINSDWDWFIPLSASSYPLMNQDDLLHAFTFLPRDLNFIDYISNPGWKQGEINRIVVDPNLYYKSNTPINYDVETRKPDAFEIFGGSPWVILSRSFMEFCIYGWDNIPRKLLMYFTNVAYPLETYFHTVICNSFEFQNTTLSNDLRYDIIPKSPKPKILNTSKYGEIVAGESVFAQPIQEDDPLLNMIDEDVLHRMPDNFVPGSWSSCQGINQGEDLCYRWADIDTVKPGSKGIKLASLLTKLVEERRHNPSQCHQQRGP >KJB69026 pep chromosome:Graimondii2_0_v6:11:274006:277583:1 gene:B456_011G003300 transcript:KJB69026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLLKAIYHPRNQYLLQLDSGSSDYERENLGFLIESETVLQTFGNVNVEGKSYAVNKMGSSALAATLHAAALLLKINSDWDWFIPLSASSYPLMNQDDLLHAFTFLPRDLNFIDYISNPGWKQRGEINRIVVDPNLYYKSNTPINYDVETRKPDAFEIFGGSPWVILSRSFMEFCIYGWDNIPRKLLMYFTNVAYPLETYFHTVICNSFEFQNTTLSNDLRYDIIPKSPKPKILNTSKYGEIVAGESVFAQPIQEDDPLLNMIDEDVLHRMPDNFVPGSWSSCQGINQGEDLCYRWADIDTVKPGSKGIKLASLLTKLVEERRHNPSQCHQQRGP >KJB69025 pep chromosome:Graimondii2_0_v6:11:272948:277823:1 gene:B456_011G003300 transcript:KJB69025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISKVYSWFYGYYPWMVVFATTLVLFGSLSKSSNNQDEVPLKASKFQKPLRLPNKGYGYPPVLAYWISGSKGDSNKMVRLLKAIYHPRNQYLLQLDSGSSDYERENLGFLIESETVLQTFGNVNVEGKSYAVNKMGSSALAATLHAAALLLKINSDWDWFIPLSASSYPLMNQDDLLHAFTFLPRDLNFIDYISNPGWKQRGEINRIVVDPNLYYKSNTPINYDVETRKPDAFEIFGGSPWVILSRSFMEFCIYGWDNIPRKLLMYFTNVAYPLETYFHTVICNSFEFQNTTLSNDLRYDIIPKSPKPKILNTSKYGEIVAGESVFAQPIQEDDPLLNMIDEDVLHRMPDNFVPGSWSSCQGINQGEDLCYRWADIDTVKPGSKGIKLASLLTKLVEERRHNPSQCHQQRGP >KJB73303 pep chromosome:Graimondii2_0_v6:11:53898828:53915830:1 gene:B456_011G226800 transcript:KJB73303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMTPPPLDQEDDEMLVPHNDFVDGPQPLEVAKEAASKVDAQAVDDPPSGRFTWTIENFSRLNTKKLYSDIFFVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLAVCNQIHNKYTIRKDTQHQFNVRESDWGFTSFMPLGELYDPSRGFLVNDTCVVEADVAVRKVADYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPTGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVECLEGDNRYHAEQFGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTVIKVARNEDLVEQIGRDIYFDLVDHEKVRSFRIQKQMPFNVFKEEVAKEFGIPVQYQRFWLWAKRQNHTYRPNRPLTYQEEAQSVGQLREVSNKANNAELKLFLEVELGPDLQPVPPPEKTKEDILLFFKLYDPVKEELRYIGRMFVKGAGKPMEILARINKMAGFGPDEEIELYEEIKFEPNVMCEHIDKKLTFRTSQLEDGDIICLQKYSEVASEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFSLELSKLHNYDDVVERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVLDDLKTKVELSHPGAELRLLEVFYHKIYKIFPLSEKIENINDQYWTLRAEEIPEEEKDLGPHDRLIHVYHFMKDTTQNQQVQNFGEPFFLVIHETETLAEVKVRVQKRLQVPDEEFAKWKFAFLSLGRPEYLQDSDVVSTRFQRRDVYGAWDQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >KJB73309 pep chromosome:Graimondii2_0_v6:11:53899197:53915830:1 gene:B456_011G226800 transcript:KJB73309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPHNDFVDGPQPLEVAKEAASKVDAQAVDDPPSGRFTWTIENFSRLNTKKLYSDIFFVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLAVCNQIHNKYTIRKDTQHQFNVRESDWGFTSFMPLGELYDPSRGFLVNDTCVVEADVAVRKVADYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPTGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVECLEGDNRYHAEQFGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTVIKVARNEDLVEQIGRDIYFDLVDHEKVRSFRIQKQMPFNVFKEEVAKEFGIPVQYQRFWLWAKRQNHTYRPNRPLTYQEEAQSVGQLREVSNKANNAELKLFLEVELGPDLQPVPPPEKTKEDILLFFKLYDPVKEELRYIGRMFVKGAGKPMEILARINKMAGFGPDEEIELYEEIKFEPNVMCEHIDKKLTFRTSQLEDGDIICLQKYSEVASEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFSLELSKLHNYDDVVERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVLDDLKTKVELSHPGAELRLLEVFYHKIYKIFPLSEKIENINDQYWTLRAEEIPEEEKDLGPHDRLIHVYHFMKDTTQNQQVQNFGEPFFLVIHETETLAEVKVRVQKRLQVPDEEFAKWKFAFLSLGRPEYLQDSDVVSTRFQRRDVYGAWDQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >KJB73306 pep chromosome:Graimondii2_0_v6:11:53898779:53915902:1 gene:B456_011G226800 transcript:KJB73306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMTPPPLDQEDDEMLVPHNDFVDGPQPLEVAKEAASKVDAQAVDDPPSGRFTWTIENFSRLNTKKLYSDIFFVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLAVCNQIHNKYTIRKDTQHQFNVRESDWGFTSFMPLGELYDPSRGFLVNDTCVVEADVAVRKVADYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPTGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVECLEGDNRYHAEQFGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTVIKVARNEDLVEQIGRDIYFDLVDHEKVRSFRIQKQMPFNVFKEEVAKEFGIPVQYQRFWLWAKRQNHTYRPNRPLTYQEEAQSVGQLREVSNKANNAELKLFLEVELGPDLQPVPPPEKTKEDILLFFKLYDPVKEELRYIGRMFVKGAGKPMEILARINKMAGFGPDEEIELYEEIKFEPNVMCEHIDKKLTFRTSQLEDGDIICLQKYSEVASEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFSLELSKLHNYDDVVERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVLDDLKTKVELSHPGAELRLLEVFYHKIYKIFPLSEKIENINDQYWTLRAEEIPEEEKDLGPHDRLIHVYHFMKDTTQNQQVQNFGEPFFLVIHETETLAEVKVRVQKRLQVPDEEFAKWKFAFLSLGRPEYLQDSDVVSTRFQRRDVYGAWDQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >KJB73307 pep chromosome:Graimondii2_0_v6:11:53898828:53915830:1 gene:B456_011G226800 transcript:KJB73307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMTPPPLDQEDDEMLVPHNDFVDGPQPLEVAKEAASKVDAQAVDDPPSGRFTWTIENFSRLNTKKLYSDIFFVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLAVCNQIHNKYTIRKDTQHQFNVRESDWGFTSFMPLGELYDPSRGFLVNDTCVVEADVAVRKVADYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPTGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVECLEGDNRYHAEQFGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTVIKVARNEDLVEQIGRDIYFDLVDHEKVRSFRIQKQMPFNVFKEEVAKEFGIPVQYQRFWLWAKRQNHTYRPNRPLTYQEEAQSVGQLREVSNKANNAELKLFLEVELGPDLQPVPPPEKTKEDILLFFKLYDPVKEELRYIGRMFVKGAGKPMEILARINKMAGFGPDEEIELYEEIKFEPNVMCEHIDKKLTFRTSQLEDGDIICLQKYSEVASEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFSLELSKLHNYDDVVERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVLDDLKTKVELSHPGAELRLLEVFYHKIYKIFPLSEKIENINDQYWTLRAEEIPEEEKDLGPHDRLIHVYHFMKDTTQNQVQNFGEPFFLVIHETETLAEVKVRVQKRLQVPDEEFAKWKFAFLSLGRPEYLQDSDVVSTRFQRRDVYGAWDQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >KJB73305 pep chromosome:Graimondii2_0_v6:11:53898828:53915830:1 gene:B456_011G226800 transcript:KJB73305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMTPPPLDQEDDEMLVPHNDFVDGPQPLEVAKEAASKVDAQAVDDPPSGRFTWTIENFSRLNTKKLYSDIFFVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLAVCNQIHNKYTIRKDTQHQFNVRESDWGFTSFMPLGELYDPSRGFLVNDTCVVEADVAVRKVADYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPTGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVECLEGDNRYHAEQFGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTVIKVARNEDLVEQIGRDIYFDLVDHEKVRSFRIQKQMPFNVFKEEVAKEFGIPVQYQRFWLWAKRQNHTYRPNRPLTYQEEAQSVGQLREVSNKANNAELKLFLEVELGPDLQPVPPPEKTKEDILLFFKLYDPVKEELRYIGRMFVKGAGKPMEILARINKMAGFGPDEEIELYEEIKFEPNVMCEHIDKKLTFRTSQLEDGDIICLQKYSEVASEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFSLELSKLHNYDDVVERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVLDDLKTKVELSHPGAELRLLEVFYHKIYKIFPLSEKIENINDQYWTLRAEEIPEEEKDLGPHDRLIHVYHFMKDTTQNQWKFAFLSLGRPEYLQDSDVVSTRFQRRDVYGAWDQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >KJB73308 pep chromosome:Graimondii2_0_v6:11:53898828:53915830:1 gene:B456_011G226800 transcript:KJB73308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMTPPPLDQEDDEMLVPHNDFVDGPQPLEVAKEAASKVDAQAVDDPPSGRFTWTIENFSRLNTKKLYSDIFFVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLAVCNQIHNKYTIRKDTQHQFNVRESDWGFTSFMPLGELYDPSRGFLVNDTCVVEADVAVRKVADYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPTGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVECLEGDNRYHAEQFGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRVRLLKKEQEEKEQKRKEKAEAHLYTVIKVARNEDLVEQIGRDIYFDLVDHEKVRSFRIQKQMPFNVFKEEVAKEFGIPVQYQRFWLWAKRQNHTYRPNRPLTYQEEAQSVGQLREVSNKANNAELKLFLEVELGPDLQPVPPPEKTKEDILLFFKLYDPVKEELRYIGRMFVKGAGKPMEILARINKMAGFGPDEEIELYEEIKFEPNVMCEHIDKKLTFRTSQLEDGDIICLQKYSEVASEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFSLELSKLHNYDDVVERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVLDDLKTKVELSHPGAELRLLEVFYHKIYKIFPLSEKIENINDQYWTLRAEEIPEEEKDLGPHDRLIHVYHFMKDTTQNQQVQNFGEPFFLVIHETETLAEVKVRVQKRLQVPDEEFAKWKFAFLSLGRPEYLQDSDVVSTRFQRRDVYGAWDQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >KJB73304 pep chromosome:Graimondii2_0_v6:11:53899010:53914513:1 gene:B456_011G226800 transcript:KJB73304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMTPPPLDQEDDEMLVPHNDFVDGPQPLEVAKEAASKVDAQAVDDPPSGRFTWTIENFSRLNTKKLYSDIFFVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLAVCNQIHNKYTIRKDTQHQFNVRESDWGFTSFMPLGELYDPSRGFLVNDTCVVEADVAVRKVADYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPTGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVECLEGDNRYHAEQFGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTVIKVARNEDLVEQIGRDIYFDLVDHEKVRSFRIQKQMPFNVFKEEVAKEFGIPVQYQRFWLWAKRQNHTYRPNRPLTYQEEAQSVGQLREVSNKANNAELKLFLEVELGPDLQPVPPPEKTKEDILLFFKLYDPVKEELRYIGRMFVKGAGKPMEILARINKMAGFGPDEEIELYEEIKFEPNVMCEHIDKKLTFRTSQLEDGDIICLQKYSEVASEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFSLELSKLHNYDDVVERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVLDDLKTKVELSHPGAELRLLEVFYHKIYKIFPLSEKIENINDQYWTLRAEEIPEEEKDLGPHDRLIHVYHFMKDTTQNQQVQNFGEPFFLVIHETETLAEVKVRVQKRLQVPDEEFAKV >KJB72753 pep chromosome:Graimondii2_0_v6:11:46982215:46984169:1 gene:B456_011G194700 transcript:KJB72753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYTVGKSWVLAVTIVCMSIFWFHLCKADEDFPETGSVGDDPAQIVAKALLCFNDKYIYSSCEESNRLSATGNLDVPPEYTDEYCSGPCLSETHLVLNCIENIMKNFVFYNKATIHDIRDTIKAGCSYGPERGNFDVEEHLEAEESGSNKAGTSILFGVGSIIIGLTPFL >KJB72641 pep chromosome:Graimondii2_0_v6:11:45057045:45063214:-1 gene:B456_011G188600 transcript:KJB72641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASDMNSVREASRVTTAGMMLFPIQFTWPYGGRNVFISGSFNRWAELVPMSQVEGAPNVFQAVCAVSHGCHEYKFLVDGEWRHDERQPHKNGEYGIVNTFDALPVPVEVAQVQPRLDVLNSMEVDTGLTHRISAVTLNQAMPRISEEDVQACRHRISVFLAAHTAYELLPESGKGIALAPLWDNCKGKFVGVLSASDFILILRELGNHGSNLTEEELETHTISAWKEGKAYMNRQVDGHGRPIPKHLIYAGPCDNLKDVALKILQSGVAIVPVIHSSSEDGSFPQLLHLASLSGILKCLCRYFKHCSGSLPVLQLPIYAIPLGTWVPRIGESSSRPFAMLRPTASLSSALNMLVQARVSSIPIVDDNDSLLDIYSRSDITALAKGRAYTHNLNEMTIYQALQLGQDSNTPYEMRSQRCQMCLRTDTLLKVMEQLANPGVRRLVIVEAGSNRVEGVVSLTDVFRFLLG >KJB72638 pep chromosome:Graimondii2_0_v6:11:45057029:45063333:-1 gene:B456_011G188600 transcript:KJB72638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASDMNSVREASRVTTAGMMLFPIQFTWPYGGRNVFISGSFNRWAELVPMSQVEGAPNVFQAVCAVSHGCHEYKFLVDGEWRHDERQPHKNGEYGIVNTFDALPVPVEVAQVQPRLDVLNSMEVDTGLTHRISAVTLNQAMPRISEEDVQACRHRISVFLAAHTAYELLPESGKVVALDVNLPVKQAFHILSEQGIALAPLWDNCKGKFVGVLSASDFILILRELGNHGSNLTEEELETHTISAWKEGKAYMNRQVDGHGRPIPKHLIYAGPCDNLKDVALKILQSGVAIVPVIHSSSEDGSFPQLLHLASLSGILKCLCRYFKHCSGSLPVLQLPIYAIPLGTWVPRIGESSSRPFAMLRPTASLSSALNMLVQARVSSIPIVDDNDSLLDIYSRSDITALAKGRAYTHNLNEMTIYQALQLGQDSNTPYEMRSQRCQMCLRTDTLLKVMEQLANPGVRRLVIVEAGSNRVEGVVSLTDVFRFLLG >KJB72640 pep chromosome:Graimondii2_0_v6:11:45057045:45061668:-1 gene:B456_011G188600 transcript:KJB72640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHRISAVTLNQAMPRISEEDVQACRHRISVFLAAHTAYELLPESGKVVALDVNLPVKQAFHILSEQGIALAPLWDNCKGKFVGVLSASDFILILRELGNHGSNLTEEELETHTISAWKEGKAYMNRQVDGHGRPIPKHLIYAGPCDNLKDVALKILQSGVAIVPVIHSSSEDGSFPQLLHLASLSGILKCLCRYFKHCSGSLPVLQLPIYAIPLGTWVPRIGESSSRPFAMLRPTASLSSALNMLVQARVSSIPIVDDNDSLLDIYSRSDITALAKGRAYTHNLNEMTIYQALQLGQDSNTPYEMRSQRCQMCLRTDTLLKVMEQLANPGVRRLVIVEAGSNRVEGVVSLTDVFRFLLG >KJB72637 pep chromosome:Graimondii2_0_v6:11:45057045:45063214:-1 gene:B456_011G188600 transcript:KJB72637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASDMNSVREASRVTTAGMMLFPIQFTWPYGGRNVFISGSFNRWAELVPMSQVEGAPNVFQAVCAVSHGCHEHRISAVTLNQAMPRISEEDVQACRHRISVFLAAHTAYELLPESGKVVALDVNLPVKQAFHILSEQGIALAPLWDNCKGKFVGVLSASDFILILRELGNHGSNLTEEELETHTISAWKEGKAYMNRQVDGHGRPIPKHLIYAGPCDNLKDVALKILQSGVAIVPVIHSSSEDGSFPQLLHLASLSGILKCLCRYFKHCSGSLPVLQLPIYAIPLGTWVPRIGESSSRPFAMLRPTASLSSALNMLVQARVSSIPIVDDNDSLLDIYSRSDITALAKGRAYTHNLNEMTIYQALQLGQDSNTPYEMRSQRCQMCLRTDTLLKVMEQLANPGVRRLVIVEAGSNRVEGVVSLTDVFRFLLG >KJB72642 pep chromosome:Graimondii2_0_v6:11:45056831:45063349:-1 gene:B456_011G188600 transcript:KJB72642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASDMNSVREASRVTTAGMMLFPIQFTWPYGGRNVFISGSFNRWAELVPMSQVEGAPNVFQAVCAVSHGCHEHRISAVTLNQAMPRISEEDVQACRHRISVFLAAHTAYELLPESGKVVALDVNLPVKQAFHILSEQGIALAPLWDNCKGKFVGVLSASDFILILRELGNHGSNLTEEELETHTISAWKEGKAYMNRQVDGHGRPIPKHLIYAGPCDNLKDVALKILQSGVAIVPVIHSSSEDGSFPQLLHLASLSGILKCLCRYFKHCSGSLPVLQLPIYAIPLGTWVPRIGESSSRPFAMLRPTASLSSALNMLVQARVSSIPIVDDNDSLLDIYSRSDITALAKGRAYTHNLNEMTIYQALQLGQDSNTPYEMRSQRCQMCLRTDTLLKVMEQLANPGVRRLVIVEAGSNRVEGVVSLTDVFRFLLG >KJB72643 pep chromosome:Graimondii2_0_v6:11:45058306:45063214:-1 gene:B456_011G188600 transcript:KJB72643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASDMNSVREASRVTTAGMMLFPIQFTWPYGGRNVFISGSFNRWAELVPMSQVEGAPNVFQAVCAVSHGCHEYKFLVDGEWRHDERQPHKNGEYGIVNTFDALPVPVEVAQVQPRLDVLNSMEVDTGLTHRISAVTLNQAMPRISEEDVQACRHRISVFLAAHTAYELLPESGKVVALDVNLPVKQAFHILSEQGIALAPLWDNCKGKFVGVLSASDFILILRELGNHGSNLTEEELETHTISAWKEGKAYMNRQVDGHGRPIPKHLIYAGPCDNLKDVALKILQSGVAIVPVIHSSSEDGSFPQLLHLASLSGILKCLCRYFKHCSGSLPVLQLPIYAIPLGTWVPRIGESSSRPFAMLRPTASLSSALNMLVQARVSSIPIVDDNDSLLDIYSRSDITALAKGRAYTHNLNEMTIYQVRFCLLPGICFMHLATKTRLDVSMML >KJB72644 pep chromosome:Graimondii2_0_v6:11:45059181:45062877:-1 gene:B456_011G188600 transcript:KJB72644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASDMNSVREASRVTTAGMMLFPIQFTWPYGGRNVFISGSFNRWAELVPMSQVEGAPNVFQAVCAVSHGCHEYKFLVDGEWRHDERQPHKNGEYGIVNTFDALPVPVEVAQVQPRLDVLNSMEVDTGLTHRISAVTLNQAMPRISEEDVQACRHRISVFLAAHTAYELLPESGKVVALDVNLPVKQAFHILSEQGIALAPLWDNCKGKFVGVLSASDFILILRELGNHGSNLTEEELETHTISAWKEGKAYMNRQVDGHGRPIPKHLIYAGPCDNLKDVALKILQSGVAIVPVIHSSSEDGSFPQLLHLASLSGILKCLCRYFKHCSGSLPVLQLPIYAIPLGTWVPRIGESSSRPFAMLRPTASLSSALNMLVQGMKEKIFVILFEVTDKVKLNTLRFNLKR >KJB72639 pep chromosome:Graimondii2_0_v6:11:45057458:45062877:-1 gene:B456_011G188600 transcript:KJB72639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASDMNSVREASRVTTAGMMLFPIQFTWPYGGRNVFISGSFNRWAELVPMSQVEGAPNVFQAVCAVSHGCHEYKFLVDGEWRHDERQPHKNGEYGIVNTFDALPVPVEVAQVQPRLDVLNSMEVDTGLTHRISAVTLNQAMPRISEEDVQACRHRISVFLAAHTAYELLPESGKVVALDVNLPVKQAFHILSEQGIALAPLWDNCKGKFVGVLSASDFILILRELGNHGSNLTEEELETHTISAWKEGKAYMNRQVDGHGRPIPKHLIYAGPCDNLKDVALKILQSGVAIVPVIHSSSEDGSFPQLLHLASLSGILKCLCRYFKHCSGSLPVLQLPIYAIPLGTWVPRIGESSSRPFAMLRPTASLSSALNMLVQARVSSIPIVDDNDSLLDIYSRSDITALAKGRAYTHNLNEMTIYQALQLGQDSNTPYEMRSQRCQMCLRTDTLLKVMEQLANPGVRRLVIVEAGSNRVEGVVSLTDVFRFLLG >KJB71279 pep chromosome:Graimondii2_0_v6:11:14745649:14747992:-1 gene:B456_011G114500 transcript:KJB71279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAQVFLWAYILLFFPASIVAQGLGVNWGVIASHPLDPKIVVNILKDNGIKKVKLFHAEHDILTALSGTDIEVMVGIPNHSLESLSEKYSVAQAWVKANVTAYMGKKGVNFKYVAVGNEPFLAAYNGTYNNLTLPAMKNILKALNEAGVGKDIKVSTPLNGDVYITPTYKPSDGIFRHDLADIMNGICEFLHKNNAAFIVNIYPFLNLYQNPGFPEPYAFFDNDDSNSMDDNGVKYRSVLDANIDTLVAALKVSNFSDIPIIVGEVGWPTDGNIYATTKNAKKFYNGLLKRMTKNEGTPLRPKQYPDVYMFSLLDEDLKSIDPGMFERHWGIFSFDGQPKFPLDLSGKGQNKRLVGGKNVTYMEKQWCVYNKAASNQKDLAVKVAWACNNTDCTTLVPGASCSGMGIDMNASVAFNMYYQMANQTKAACDFEGLAKIVKRDPSNGTCRFPIMIKTIQTTSNSSASAPKSSRSSTFSSSTSHSPLQTHSPSPSSSPFHSPLLIFQIFVGIFTIWFV >KJB71314 pep chromosome:Graimondii2_0_v6:11:15178174:15179308:-1 gene:B456_011G116000 transcript:KJB71314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHFPKRQLQIQGPRPNPLRLSKDSHKVKKPPHPPPRAAVPPAVQHRREPVVIYAVSPKVIHAEQSDFMSVVQRYTGLSSESFSSGGAISPAARMAVTEKASLTPRERVVEVGVFGEGGMEEGLIRIPPGILSPAPEALPAVETGTIFSPASEARMMTVLNDWSPMFYGSGLMASPSSILLSESLISSPTLSSDFFTQIWKL >KJB72033 pep chromosome:Graimondii2_0_v6:11:26710432:26713622:1 gene:B456_011G154400 transcript:KJB72033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENILIASFLLQHELSDNAIASCIENEEDVLSFGDEDMVSVDQENEEFQDCEVRAEGHEGTPHEALILALGYLGVRDIFVIENVCTSLRSVVQNDPLLWRDIHINPPLNEKITDDVLLQITGRGQGSLQCLSLVDCQRITDEGLKHVVENNPKLIKPCFQ >KJB72035 pep chromosome:Graimondii2_0_v6:11:26710432:26713640:1 gene:B456_011G154400 transcript:KJB72035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENILIASFLLQHELSDNAIASCIENEEDVLSFGDEDMVSVDQENEEFQDCEVRAEGHEGTPHEALILALGYLGVRDIFVIENVCTSLRSVVQNDPLLWRDIHINPPLNEKITDDVLLQITGRGQGSLQCLSLVDCQRITDEGLKHVVENNPKLIKVLRYLLSYARWWLEEYKFDRYRFDGVTSMMYKISLIK >KJB72034 pep chromosome:Graimondii2_0_v6:11:26710432:26713622:1 gene:B456_011G154400 transcript:KJB72034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENILIASFLLQHELSDNAIASCIENEEDVLSFGDEDMVSVDQENEEFQDCEVRAEGHEGTPHEALILALGYLGVRDIFVIENVCTSLRSVVQNDPLLWRDIHINPPLNEKITDDVLLQITGRGQGSLQCLSLVDCQRITDEGLKHVVENNPKLIKPCFQ >KJB73624 pep chromosome:Graimondii2_0_v6:11:56711014:56719509:-1 gene:B456_011G240900 transcript:KJB73624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKDNYLETSKAERSVWLMKCPGLVSRSLKAPQLSSSSSSSSASSSQAVAKVILSIDPRVSSDDNDSSSPQFTMELVGTETGDVPKRYSMDMTKDFVPMSVFSETSQGKLAVEGKILNKFDMRPHDENIENYGKLCRERTTKSMNKSRQIQVIDNDNGTHMRPMPGMIIAAVFNEKKKAPAKTSDTKRTRRDRGEMEDIMFKLFERQSNWTLRQLIQETDQPEQFLKDILKDLCIYNNKGTNQGTYELKPEYKKATDGTNP >KJB68920 pep chromosome:Graimondii2_0_v6:11:4829902:4831305:-1 gene:B456_011G059700 transcript:KJB68920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSVAQKLIGRRFSPYPSSSLCILSRYFSSDSGSDNSVLPETTKLTRTPVLVEGCDYEHWFVVMEAPKGYPLRDEIVSTYIKTLAMALGSEEDAKKSIYSVSTKYYYAFGCEVPEDLVFKIKNSYSGDPGDNSYGGEPFIDGKVVPYDDKYHSDWIQARNDDRSKGTTHPKKASLKQKKQ >KJB68921 pep chromosome:Graimondii2_0_v6:11:4830277:4831347:-1 gene:B456_011G059700 transcript:KJB68921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSSTFFSFPAGTKTMLSSVAQKLIGRRFSPYPSSSLCILSRYFSSDSGSDNSVLPETTKLTRTPVLVEGCDYEHWFVVMEAPKGYPLRDEIVSTYIKTLAMALGSEEDAKKSIYSVSTKYYYAFGCEVPEDLVFKIKTLPNVKWVLPDSYSGDPGDNSYGG >KJB68919 pep chromosome:Graimondii2_0_v6:11:4829262:4831395:-1 gene:B456_011G059700 transcript:KJB68919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSSTFFSFPAGTKTMLSSVAQKLIGRRFSPYPSSSLCILSRYFSSDSGSDNSVLPETTKLTRTPVLVEGCDYEHWFVVMEAPKGYPLRDEIVSTYIKTLAMALGSEEDAKKSIYSVSTKYYYAFGCEVPEDLVFKIKREPFIDGKVVPYDDKYHSDWIQARNDDRSKGTTHPKKASLKQKKQ >KJB68918 pep chromosome:Graimondii2_0_v6:11:4829164:4831399:-1 gene:B456_011G059700 transcript:KJB68918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSVAQKLIGRRFSPYPSSSLCILSRYFSSDSGSDNSVLPETTKLTRTPVLVEGCDYEHWFVVMEAPKGYPLRDEIVSTYIKTLAMALGSEEDAKKSIYSVSTKYYYAFGCEVPEDLVFKIKTLPNVKWVLPDSYSGDPGDNSYGGEPFIDGKVVPYDDKYHSDWIQARNDDRSKGTTHPKKASLKQKKQ >KJB71563 pep chromosome:Graimondii2_0_v6:11:19080428:19082346:-1 gene:B456_011G129700 transcript:KJB71563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLKAVVVVTMISTALSILWRATLHLEDNESFVRCLLDHSHPSHPISSAIYTPKSSSFSSVLESYIRNLRFNESSTPKPFLILTALHESHIQAAVTCGKSHGVQMKIRSGGHDYEGLSYVSTLPFFLLDMFNLRCIDVDIETETAWVQTGATLGEVFYRIAEKSKTHGFPAGVCPTVGVGGHISGAGYGNMMRKYGVSADNVLDALIIDANGRLLDRQSMGEDLFWAIRGGGGASFAVVLAYKIKLVRVPETVTVFQVDRTLEEDATDIVDQWQHVAYNLPQELFIRLMLDVVVKSSGEKTLRASFVSLFLGDSESLLSIMKERFPKLGLSKSDCIETSWVKSVLFWSNIPLETDIQVLLDRTPQTLDYLKRKSDYVREPIPKAGLESLWKKMMELEKPRMYFNPYGGKMAEIAAEEIPFPHRAGNLWKIQYLANWNEAGIEAANRYIDLTRRLHEFMTPFVSKNPRQAFLNYRDADLGSSSHGKASYSEARLNGMKWFMGNFDRLVQIKTEVDPTNFFSYEQSIPLLPHQVHLDDDM >KJB71386 pep chromosome:Graimondii2_0_v6:11:16533726:16534538:1 gene:B456_011G120000 transcript:KJB71386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEYIGATGVPVKLEAVPVEGGIDFHFILSFAIDADPSGNTQNGKFSPYWADTLTPESVAAMKKSHPNVKALTSLSGWSLGDKVLRWYTPDDTQQWISNAFSSLSSMAQQYHLDGIDIDYENFPRHNSSFAYCIGELITLLKNQSVISVATIAPYHKTIAPYIELFENYGDVIDFVNYQFYTDKVRKPKSYVEAFKIRAGQFDKEKLLPSYEVNGRGIQGDAFFDALSLLEENGFGVNGVMIFSADASSSNDYYYERKSQDFLLNSTVSV >KJB70001 pep chromosome:Graimondii2_0_v6:11:4283627:4287519:1 gene:B456_011G054200 transcript:KJB70001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEAEDEIKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >KJB70000 pep chromosome:Graimondii2_0_v6:11:4283634:4287510:1 gene:B456_011G054200 transcript:KJB70000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEAEDEIKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >KJB70002 pep chromosome:Graimondii2_0_v6:11:4283634:4287519:1 gene:B456_011G054200 transcript:KJB70002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEAEDEIKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >KJB70924 pep chromosome:Graimondii2_0_v6:11:10471342:10472504:1 gene:B456_011G095800 transcript:KJB70924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDSSDLSFSPSFNSYYSDKKLGDIAATVCRESTGVSDDEEFEFSIELGETPQTSLFPVFNRDLLSSGEEEGKDDEVKEAVRIPLRNLFISDGDLPSSSSSSEVDELEALPTETYCVWKPKQSPASSPNRCTKSKSTGSSSTKRWRLIKDLLKRSNSDGKVSASSSLFLNFDHKSTIEKKHEEKANEKTATAAETVKKKSDGEVTATKMKRVEKASAHEIFYVRNKALKEGDKRRSYLPYRKDLVGIFANVHGLGRNLPSK >KJB68973 pep chromosome:Graimondii2_0_v6:11:133381:141205:1 gene:B456_011G001300 transcript:KJB68973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSFRPRPLDIHKKLPIVKSVKDFEDDDTPTSATRNSQMLRLAAAEVEPEVQPLATKKLAPEIPTPQFVVVDTYERDYSRTFFQPTSYLRARGARAEIGEFVEYDLDNEDEDWLQDYNKDKKILAPEKLESLLFKLEVLDHKARERAGVITPTLVSPIPVLLTMDAAIEALQSQSIKYGVFQAVYNYWKEKRERWQKPILRRLQPPPPVNDNNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKTLLEALIKREEKKRDVLECEVSLQRIQMKYKHETELLEDITLPGFPPISSKFASSEDEFMDSDDLANSRPRARPAAVQNPPLTDSNVGMAPTASVKQEFRRRHLLHGWLHKLDPLEPVLLFTKPLVPDKLAAANIVPPSDTSTKNGASTPPYKFHGRMGRGGRIVFDRWNPLMHTPIDYSNSFYIPRKPRPSTYN >KJB68974 pep chromosome:Graimondii2_0_v6:11:133621:140579:1 gene:B456_011G001300 transcript:KJB68974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSFRPRPLDIHKKLPIVKSVKDFEDDDTPTSATRNSQMLRLAAAEVEPEVQPLATKKLAPEIPTPQFVVVDTYERDYSRTFFQPTSYLRARGARAEIGEFVEYDLDNEDEDWLQDYNKDKKILAPEKLESLLFKLEVLDHKARERAGVITPTLVSPIPVLLTMDAAIEALQSQSIKYGVFQAVYNYWKEKRERWQKPILRRLQPPPPVNDNNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKTLLEALIKREEKKRDVLECEVSLQRIQMKYKHETELLEDITLPGFPPISSKFASSEDEFMDSDDLANSRPRARPAAVQNPPLTDSNVGMAPTASVKQEFRRRHLLHGWLHKLVCLIKLTCSLFPTPSCNSQTKLLNFALSYHNHLSRHSRTDACRLGFLTFVLGLTIF >KJB68975 pep chromosome:Graimondii2_0_v6:11:133621:141195:1 gene:B456_011G001300 transcript:KJB68975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSFRPRPLDIHKKLPIVKSVKDFEDDDTPTSATRNSQMLRLAAAEVEPEVQPLATKKLAPEIPTPQFVVVDTYERDYSRTFFQPTSYLRARGARAEIGEFVEYDLDNEDEDWLQDYNKDKKILAPEKLESLLFKLEVLDHKARERAGVITPTLVSPIPVLLTMDAAIEALQSQSIKYGVFQAVYNYWKEKRERWQKPILRRLQPPPPVNDNNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQREEKKRDVLECEVSLQRIQMKYKHETELLEDITLPGFPPISSKFASSEDEFMDSDDLANSRPRARPAAVQNPPLTDSNVGMAPTASVKQEFRRRHLLHGWLHKLDPLEPVLLFTKPLVPDKLAAANIVPPSDTSTKNGASTPPYKFHGRMGRGGRIVFDRWNPLMHTPIDYSNSFYIPRKPRPSTYN >KJB68972 pep chromosome:Graimondii2_0_v6:11:133597:141195:1 gene:B456_011G001300 transcript:KJB68972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSFRPRPLDIHKKLPIVKSVKDFEDDDTPTSATRNSQMLRLAAAEVEPEVQPLATKKLAPEIPTPQFVVVDTYERDYSRTFFQPTSYLRARGARAEIGEFVEYDLDNEDEDWLQDYNKDKKILAPEKLESLLFKLEVLDHKARERAGVITPTLVSPIPVLLTMDAAIEALQSQSIKYGVFQAVYNYWKEKRERWQKPILRRLQPPPPVNDNNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKTLLEALIKREEKKRDVLECEVSLQRIQMKYKHETELLEDITLPGFPPISSKFASSEDEFMDSDDLANSRPRARPAAVQNPPLTDSNVGMAPTASVKQEFRRRHLLHGWLHKLDPLEPVLLFTKPLVPDKLAAANIVPPSDTSTKNGASTPPYKFHGRMGRGGRIVFDRWNPLMHTPIDYSNSFYIPRKPRPSTYN >KJB70011 pep chromosome:Graimondii2_0_v6:11:4190929:4197455:1 gene:B456_011G053300 transcript:KJB70011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKYPIGPEHYTLYEEVGQGVSASVYRAVCISFNEVVAIKILDFERENCDLNNICREAQTMVLVDHPNVLKSHCSFVNDHNLWVVMPYMSGGSCLHILKAAYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDSRGAIKLGDFGVSACIFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAMKLLKHSFFKQARSNDYIARTLLDGLPVLGDRIKALKKKEEDMLAQKKMPDGEKEELSQNEYKRGISGWDFNLEDVKAQASLIQDEDLINYTNQGGCSNYLPSHDGRDKQSECQTSSQATGKEDNDLVHNQPAAPSLAVDPTRSIAKFERSDDDSSSASASHELNVTSLSDNDHVESNLAEKPVLETNGKSSDNLIKPSHQRTTPFSGSTSIPETSVLPIKGESDKQNQQQNISGGNGAAVSTGGEDTISELPSKTSKSQGVNSDDEKAKPPVVQQKGRFKVTSENVDLEKVAPSPILPKSRSMQVLNTNPMVSLAATSDPSLSIPAANHLFPLLQSVLQTNILQREYILNLMKHVCASEPIASRALEGVCAPANGAVTEKSLLELAHDREKELLHEITELQWRLICAQEELQKYKTENAQV >KJB70012 pep chromosome:Graimondii2_0_v6:11:4190848:4197455:1 gene:B456_011G053300 transcript:KJB70012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKYPIGPEHYTLYEEVGQGVSASVYRAVCISFNEVVAIKILDFERENCDLNNICREAQTMVLVDHPNVLKSHCSFVNDHNLWVVMPYMSGGSCLHILKAAYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDSRGAIKLGDFGVSACIFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAMKLLKHSFFKQARSNDYIARTLLDGLPVLGDRIKALKKKEEDMLAQKKMPDGEKEELSQNEYKRGISGWDFNLEDVKAQASLIQDEDLINYTNQGGCSNYLPSHDGRDKQSECQTSSQATGKEDNDLVHNQPAAPSLAVDPTRSIAKFERSDDDSSSASASHELNVTSLSDNDHVESNLAEKPVLETNGKSSDNLIKPSHQRTTPFSGSTSIPETSVLPIKGESDKQNQQQNISGGNGAAVSTGGEDTISELPSKTSKSQGVNSDDEKAKPPVVQQKGRFKVTSENVDLEKVAPSPILPKSRSMQVLNTNPMVSLAATSDPSLSIPAANHLFPLLQSVLQTNILQREYILNLMKHVCASEPIASRALEGVCAPANGAVTEKSLLELAHDREKELLHEITELQWRLICAQEELQKYKTENAQV >KJB69290 pep chromosome:Graimondii2_0_v6:11:2430343:2433778:1 gene:B456_011G032700 transcript:KJB69290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNVLKTLVNIVKDDSSKQVKRSSASTKSKGFKWKKLQRKTSMRTKFIRDSAALGMSIEDLAATRIQTAFRAYRVEWSGGPGTMEEVLTKIHQKEAAAVKRERTMAYAFSHQWRAPNSINNGLGSYKLAKANWGWSWVERWIAVRPWERRLPTPSTTPKSTPKEPQNKQTSKGGKNSNSPKPKASVSVKPPLSNARGAMKPRRLSYPGAEKPAARQVNTKADKINIEKEEIST >KJB69291 pep chromosome:Graimondii2_0_v6:11:2430343:2433788:1 gene:B456_011G032700 transcript:KJB69291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNVLKTLVNIVKDDSSKQVKRSSASTKSKGFKWKKLQRKTSMRTKFIRDSAALGMSIEDLAATRIQTAFRAYRARKKLRLLKGIVRLQAKTKTYSIKKQATTTLNYLHSWSNIQAQIRARRLCMVTEGRLRQKKIANQLKLEAKLHELEVEWSGGPGTMEEVLTKIHQKEAAAVKRERTMAYAFSHQWRAPNSINNGLGSYKLAKANWGWSWVERWIAVRPWERRLPTPSTTPKSTPKEPQNKQTSKGGKNSNSPKPKASVSVKPPLSNARGAMKPRRLSYPGAEKPAARQVNTKADKINIEKEEIST >KJB69292 pep chromosome:Graimondii2_0_v6:11:2430343:2433788:1 gene:B456_011G032700 transcript:KJB69292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNVLKTLVNIVKDDSSKQVKARKKLRLLKGIVRLQAKTKTYSIKKQATTTLNYLHSWSNIQAQIRARRLCMVTEGRLRQKKIANQLKLEAKLHELEVEWSGGPGTMEEVLTKIHQKEAAAVKRERTMAYAFSHQWRAPNSINNGLGSYKLAKANWGWSWVERWIAVRPWERRLPTPSTTPKSTPKEPQNKQTSKGGKNSNSPKPKASVSVKPPLSNARGAMKPRRLSYPGAEKPAARQVNTKADKINIEKEEIST >KJB69760 pep chromosome:Graimondii2_0_v6:11:3044556:3051127:1 gene:B456_011G041000 transcript:KJB69760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAHSCASNLETIFSPFLESIQINNQPNIDNNAGNSDSPEVSALGTDDSDDNKSSFGSQTCNVSDFFISDMIIASIPFDGNAVDDNISGTDAFPDFKCSEPSMLFDVAEQYMILPFLEDTVKVNEINYVNLHEEAMMAQDNTGIHLAIGQMRSCVEDSDVNSDSDKADDFDPQSFIKSLPELSDVVSSFRPAATAMEARRRKPITLVLDLDETLVHSTLEPCDDADFTFTVFFNMKEHTVYVKQRPHLHKFLEKVAEMFEVVIFTASQSIYAEQLLDILDPDRKLISRRVYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNSPQVYRLQVNNGIPIKSWFDDPSDCALISLLPFLETLVDIDDVRPVIAKKFGNKE >KJB69759 pep chromosome:Graimondii2_0_v6:11:3044426:3048983:1 gene:B456_011G041000 transcript:KJB69759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLRMKAKSSTGSLREKNGLRVCQKSSVICKRPCCHVRVSQQGAEFSSCIQNSHDDVAPQGFETDGADVQQLILDEENSELQKQLSVFVDSATVGRMETAHSCASNLETIFSPFLESIQINNQPNIDNNAGNSDSPEVSALGTDDSDDNKSSFGSQTCNVSDFFISDMIIASIPFDGNAVDDNISGTDAFPDFKCSEPSMLFDVAEQYMILPFLEDTVKVNEINYVNLHEEAMMAQDNTGIHLAIGQMRSCVEDSDVNSDSDKADDFDPQSFIKSLPELSDVVSSFRPAATAMEARRRKPITLVLDLDETLVHSTLEPCDDADFTFTVFFNMKEHTVYVKQRPHLHKFLEKVAEMFEVVIFTASQSIYAEQLLDILDPDRKLISRRVYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNSPQVYRLQVNNGIPIKSWFDDPSDCALISLLPFLETLVDIDDVRPVIAKKFGNKE >KJB69761 pep chromosome:Graimondii2_0_v6:11:3044426:3051127:1 gene:B456_011G041000 transcript:KJB69761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLRMKAKSSTGSLREKNGLRVCQKSSVICKRPCCHVRVSQQGAEFSSCIQNSHDDSSNVDVAPQGFETDGADVQQLILDEENSELQKQLSVFVDSATVGRMETAHSCASNLETIFSPFLESIQINNQPNIDNNAGNSDSPEVSALGTDDSDDNKSSFGSQTCNVSDFFISDMIIASIPFDGNAVDDNISGTDAFPDFKCSEPSMLFDVAEQYMILPFLEDTVKVNEINYVNLHEEAMMAQDNTGIHLAIGQMRSCVEDSDVNSDSDKADDFDPQSFIKSLPELSDVVSSFRPAATAMEARRRKPITLVLDLDETLVHSTLEPCDDADFTFTVFFNMKEHTVYVKQRPHLHKFLEKVAEMFEVVIFTASQSIYAEQLLDILDPDRKLISRRVYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNSPQVYRLQVNNGIPIKSWFDDPSDCALISLLPFLETLVDIDDVRPVIAKKFGNKE >KJB69762 pep chromosome:Graimondii2_0_v6:11:3044426:3048983:1 gene:B456_011G041000 transcript:KJB69762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLRMKAKSSTGSLREKNGLRVCQKSSVICKRPCCHVRVSQQGAEFSSCIQNSHDDSSNVDVAPQGFETDGADVQQLILDEENSELQKQLSVFVDSATVGRMETAHSCASNLETIFSPFLESIQINNQPNIDNNAGNSDSPEVSALGTDDSDDNKSSFGSQTCNVSDFFISDMIIASIPFDGNAVDDNISGTDAFPDFKCSEPSMLFDVAEQYMILPFLEDTVKVNEINYVNLHEEAMMAQDNTGIHLAIGQMRSCVEDSDVNSDSDKADDFDPQSFIKSLPELSDVVSSFRPAATAMEARRRKPITLVLDLDETLVHSTLEPCDDADFTFTVFFNMKEHTVYVKQRPHLHKFLEKVAEMFEVVIFTASQSIYAEQLLDILDPDRKLISRRVYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNSPQVYRLQVNNGIPIKSWFDDPSDCALISLLPFLETLVDIDDVRPVIAKKFGNKE >KJB71927 pep chromosome:Graimondii2_0_v6:11:25864149:25864797:1 gene:B456_011G152300 transcript:KJB71927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKSQPKADDYTNFMGLDKYENEELIKYGFMEDIWLHVDKISSAHVYLRLKKREGVVEDCAQLVKASSIQGKKVNDIDVVYTPWSNLKKTPSMDVRQVGFHNSKMVRTVRVEKRIHEIVNRLNKAKVERKPDLKAEKEAVYAAKKTQRKQQLKEKKCQEEMQRLEKKREVEIRSYEDLMVSEKMTSNKQIAATSKSFQEVEQDFM >KJB73338 pep chromosome:Graimondii2_0_v6:11:54174434:54177932:-1 gene:B456_011G228600 transcript:KJB73338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVFGVKKDKEPPPSIQDASDRINKRGDNVEEKLKKLDAELSRYKEQIKKTRPGPAQEAIKARAMRVLKQKRMYEGQRDMLYSQTFNLDQVAFASEGLKDAQQTMSALKSANKELKGMMKTVNIQDIDNLQDEMMDMMDVSNEIQETLGRSYNVPDYIDEEELMGELDALEADMGSEADGIPSYLQPDKEEPDVDTEFLPAAPTGNTTAAQGEDEHGLPTVPRASLRS >KJB73340 pep chromosome:Graimondii2_0_v6:11:54174938:54177719:-1 gene:B456_011G228600 transcript:KJB73340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVFGVKKDKEPPPSIQDASDRINKRGDNVEEKLKKLDAELSRYKEQIKKTRPGPAQEAIKARAMRVLKQKRMYEGQRDMLYSQTFNLDQVAFASEGLKDAQQTMSALKSANKELKGMMKTVNIQDIDNLQDEMMDMMDVSNEIQETLGRSYNVPDYIDEEELMGELDALEADMGSEADGIPSYLQPDKEEPDVDTEFLPAAPTGNTTAAQQGEDEHGLPTVPRASLRS >KJB73339 pep chromosome:Graimondii2_0_v6:11:54174488:54177932:-1 gene:B456_011G228600 transcript:KJB73339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVFGVKKDKEPPPSIQDASDRINKRGDNVEEKLKKLDAELSRYKEQIKKTRPGPAQEAIKARAMRVLKQKRMYEGQRDMLYSQTFNLDQVAFASEGLKDAQQTMSALKSANKELKGMMKTVNIQDIDNLQDEMMDMMDVSNEIQETLGRSYNVPDYIDEEELMGELDALEADMGSEADGIPSYLQPDKEEPDVDTEFLPAAPTGNTTAQGEDEHGLPTVPRASLRS >KJB71569 pep chromosome:Graimondii2_0_v6:11:19398593:19400753:1 gene:B456_011G130100 transcript:KJB71569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLQRIFGFDLCRLSTTSRVSRQICSLKKMNPSRKPISSSSDYSSFTAQNPFGKESAAEETTQSSGAKIMNEKQFRCEENFPPLSVNIGSSSKGRNFNKWGKRTGSGLELRQEVVNSSEFEGIANAVSLQEEHSLLNRSRTGINSGLQSSHVTDNSSEHEDSLNVPSLQEYEHEGIANIARHSLPRHFGQKKVYPSGVVKKSEGFPVVERFNICLPGFRDIETREVLRPGMVLLKHYISLREQIDIVKTCNTLGEGPGGFYRPGYKDGAKLRLHMMCLGMNWDPQTRKYNKQHPVDNCEPPDIPLGFCLLVQRAIKDAHCLIEKESDMVNPEDILPSISPNICIANFYSIHGRLGLHQVCSFTLGLSIC >KJB71568 pep chromosome:Graimondii2_0_v6:11:19398492:19400816:1 gene:B456_011G130100 transcript:KJB71568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLQRIFGFDLCRLSTTSRVSRQICSLKKMNPSRKPISSSSDYSSFTAQNPFGKESAAEETTQSSGAKIMNEKQFRCEENFPPLSVNIGSSSKGRNFNKWGKRTGSGLELRQEVVNSSEFEGIANAVSLQEEHSLLNRSRTGINSGLQSSHVTDNSSEHEDSLNVPSLQEYEHEGIANIARHSLPRHFGQKKVYPSGVVKKSEGFPVVERFNICLPGFRDIETREVLRPGMVLLKHYISLREQIDIVKTCNTLGEGPGGFYRPGYKDGAKLRLHMMCLGMNWDPQTRKYNKQHPVDNCEPPDIPLGFCLLVQRAIKDAHCLIEKESDMVNPEDILPSISPNICIANFYSIHGRLGLHQDRDESRESLRKGLSVVSMSIGDSAEFLYGDVREVDQASKVLLESGDVLLFGGESRMVFHGVPSIFPFSAPEPLKSKTGLRHGRLNLTFRQF >KJB70485 pep chromosome:Graimondii2_0_v6:11:7737971:7744792:-1 gene:B456_011G078100 transcript:KJB70485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPSDPLEAYSGLSLFPRTFASFPNPPPPYDPHDLQHLHHFLKSMPLQCPDKLMEQAKAIVDNSSELFNLDMSGSDAEVLENPRERRPALGRKRPRFSLKPNSSLPTVSLEPSIDIDKFKDPEEFFVAFEKAENAKREIEKQTGGVLMDLDQNIQSMAARPRRPGILRRSVKYKHRYSTPISPVESFEEEIPSPVCNSQPEKSDQNVELQEELSENKVNELLDHLLTSTCDGDEAISLLQEQLQIKPIDLEKICLPDLQDIRRIDLKASRENLAKPRNSLSDIENLFKGISKRTPKRKAESSVHLLASPTPPRSPLASISLLKKQKLQSDVLSDPFSADDVRRSPVRNASGTESNNRESVQVDTEKELSVSHNNDRRTPQQQPKSSAHHLASPTPPRDPLASISLLHKQMMLSDPESEPFSTDSIDQPPKRNASPIESVNKQSSQVHKKEQNNSHLLRSPLLEANQTATANASSELDGRDFAGLFDKFVNDNARSFDSGINVVSSGSQANLENNSLRRPEVASDSHTIKPNEFGGRVEDIPPEAVVSTQTQVNVEGLTIDNSDESSPAIDEDRSMDGSLKAAESGEQLLENMKGKIKRQPCNKHKGKKHSRRQSLAGSGTTFDPEGRRRSTRIRSRPLEFWKGERFLYGRVHSSLATVIGIKYESPEKGDGGNPTLKVKSFVSDEYKELIELAARF >KJB70483 pep chromosome:Graimondii2_0_v6:11:7738544:7744655:-1 gene:B456_011G078100 transcript:KJB70483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPSDPLEAYSGLSLFPRTFASFPNPPPPYDPHDLQHLHHFLKSMPLQCPDKLMEQAKAIVDNSSELFNLDMSGSDAEVLENPRERRPALGRKRPRFSLKPNSSLPTVSLEPSIDIDKFKDPEEFFVAFEKAENAKREIEKQTGGVLMDLDQNIQSMAARPRRPGILRRSVKYKHRYSTPISPVESFEEEIPSPVCNSQPEKSDQNVELQEELSVTNAENKVNELLDHLLTSTCDGDEAISLLQEQLQIKPIDLEKICLPDLQDIRRIDLKASRENLAKPRNSLSDIENLFKGISKRTPKRKAESSVHLLASPTPPRSPLASISLLKKQKLQSDVLSDPFSADDVRRSPVRNASGTESNNRESVQVDTEKELSVSHNNDRRTPQQQPKSSAHHLASPTPPRDPLASISLLHKQMMLSDPESEPFSTDSIDQPPKRNASPIESVNKQSSQVHKKEQNNSHLLRSPLLEANQTATANASSELDGRDFAGLFDKFVNDNARSFDSGINVVSSGSQANLENNSLRRPEVASDSHTIKPNEFGGRVEDIPPEAVVSTQTQVNVEGLTIDNSDESSPAIDEDRSMDGSLKAAESGEQLLENMKGKIKRQPCNKHKGKKHSRRQSLAGSGTTFDPEGRRRSTRIRSRPLEFWKGERFLYGRVHSSFADSKIPSFALMLCAALKMFESQIYRAF >KJB70482 pep chromosome:Graimondii2_0_v6:11:7737971:7744792:-1 gene:B456_011G078100 transcript:KJB70482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPSDPLEAYSGLSLFPRTFASFPNPPPPYDPHDLQHLHHFLKSMPLQCPDKLMEQAKAIVDNSSELFNLDMSGSDAEVLENPRERRPALGRKRPRFSLKPNSSLPTVSLEPSIDIDKFKDPEEFFVAFEKAENAKREIEKQTGGVLMDLDQNIQSMAARPRRPGILRRSVKYKHRYSTPISPVESFEEEIPSPVCNSQPEKSDQNVELQEELSVTNAENKVNELLDHLLTSTCDGDEAISLLQEQLQIKPIDLEKICLPDLQDIRRIDLKASRENLAKPRNSLSDIENLFKGISKRTPKRKAESSVHLLASPTPPRSPLASISLLKKQKLQSDVLSDPFSADDVRRSPVRNASGTESNNRESVQVDTEKELSVSHNNDRRTPQQQPKSSAHHLASPTPPRDPLASISLLHKQMMLSDPESEPFSTDSIDQPPKRNASPIESVNKQSSQVHKKEQNNSHLLRSPLLEANQTATANASSELDGRDFAGLFDKFVNDNARSFDSGINVVSSGSQANLENNSLRRPEVASDSHTIKPNEFGGRVEDIPPEAVVSTQTQVNVEGLTIDNSGAIQKESDESSPAIDEDRSMDGSLKAAESGEQLLENMKGKIKRQPCNKHKGKKHSRRQSLAGSGTTFDPEGRRRSTRIRSRPLEFWKGERFLYGRVHSSLATVIGIKYESPEKGDGGNPTLKVKSFVSDEYKELIELAARF >KJB70486 pep chromosome:Graimondii2_0_v6:11:7738544:7744655:-1 gene:B456_011G078100 transcript:KJB70486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPSDPLEAYSGLSLFPRTFASFPNPPPPYDPHDLQHLHHFLKSMPLQCPDKLMEQAKAIVDNSSELFNLDMSGSDAEVLENPRERRPALGRKRPRFSLKPNSSLPTVSLEPSIDIDKFKDPEEFFVAFEKAENAKREIEKQTGGVLMDLDQNIQSMAARPRRPGILRRSVKYKHRYSTPISPVESFEEEIPSPVCNSQPEKSDQNVELQEELSVTNAENKVNELLDHLLTSTCDGDEAISLLQEQLQIKPIDLEKICLPDLQDIRRIDLKASRENLAKPRNSLSDIENLFKGISKRTPKRKAESSVHLLASPTPPRSPLASISLLKKQKLQSDVLSDPFSADDVRRSPVRNASGTESNNRESVQVDTEKELSVSHNNDRRTPQQQPKSSAHHLASPTPPRDPLASISLLHKQMMLSDPESEPFSTDSIDQPPKRNASPIESVNKQSSQVHKKEQNNSHLLRSPLLEANQTATANASSELDGRDFAGLFDKFVNDNARSFDSGINVVSSGSQANLENNSLRRPEVASDSHTIKPNEFGGRVEDIPPEAVVSTQTQVNVEGLTIDNSGAIQKESDESSPAIDEDRSMDGSLKAAESGEQLLENMKGKIKRQPCNKHKGKKHSRRQSLAGSGTTFDPEGRRRSTRIRSRPLEFWKGERFLYGRVHSSFADSKIPSFALMLCAALKMFESQIYRAF >KJB70481 pep chromosome:Graimondii2_0_v6:11:7738266:7744655:-1 gene:B456_011G078100 transcript:KJB70481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPSDPLEAYSGLSLFPRTFASFPNPPPPYDPHDLQHLHHFLKSMPLQCPDKLMEQAKAIVDNSSELFNLDMSGSDAEVLENPRERRPALGRKRPRFSLKPNSSLPTVSLEPSIDIDKFKDPEEFFVAFEKAENAKREIEKQTGGVLMDLDQNIQSMAARPRRPGILRRSVKYKHRYSTPISPVESFEEEIPSPVCNSQPEKSDQNVELQEELSVTNAENKVNELLDHLLTSTCDGDEAISLLQEQLQIKPIDLEKICLPDLQDIRRIDLKASRENLAKPRNSLSDIENLFKGISKRTPKRKAESSVHLLASPTPPRSPLASISLLKKQKLQSDVLSDPFSADDVRRSPVRNASGTESNNRESVQVDTEKELSVSHNNDRRTPQQQPKSSAHHLASPTPPRDPLASISLLHKQMMLSDPESEPFSTDSIDQPPKRNASPIESVNKQSSQVHKKEQNNSHLLRSPLLEANQTATANASSELDGRDFAGLFDKFVNDNARSFDSGINVVSSGSQANLENNSLRRPEVASDSHTIKPNEFGGRVEDIPPEAVVSTQTQVNVEGLTIDNSDESSPAIDEDRSMDGSLKAAESGEQLLENMKGKIKRQPCNKHKGKKHSRRQSLAGSGTTFDPEGRRRSTRIRSRPLEFWKGERFLYGRVHSSLATVIGIKYESPEKGDGGNPTLKVKSFVSDEYKELIELAARF >KJB70487 pep chromosome:Graimondii2_0_v6:11:7738266:7744655:-1 gene:B456_011G078100 transcript:KJB70487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPSDPLEAYSGLSLFPRTFASFPNPPPPYDPHDLQHLHHFLKSMPLQCPDKLMEQAKAIVDNSSELFNLDMSGSDAEVLENPRERRPALGRKRPRFSLKPNSSLPTVSLEPSIDIDKFKDPEEFFVAFEKAENAKREIEKQTGGVLMDLDQNIQSMAARPRRPGILRRSVKYKHRYSTPISPVESFEEEIPSPVCNSQPEKSDQNVELQEELSVTNAENKVNELLDHLLTSTCDGDEAISLLQEQLQIKPIDLEKICLPDLQDIRRIDLKASRENLAKPRNSLSDIENLFKGISKRTPKRKAESSVHLLASPTPPRSPLASISLLKKQKLQSDVLSDPFSADDVRRSPVRNASGTESNNRESVQVDTEKELSVSHNNDRRTPQQQPKSSAHHLASPTPPRDPLASISLLHKQMMLSDPESEPFSTDSIDQPPKRNASPIESVNKQSSQVHKKEQNNSHLLRSPLLEANQTATANASSELDGRDFAGLFDKFVNDNARSFDSGINVVSSGSQANLENNSLRRPEVASDSHTIKPNEFGGRVEDIPPEAVVSTQTQVNVEGLTIDNSGAIQKESDESSPAIDEDRSMDGSLKAAESGEQLLENMKGKIKRQPCNKHKGKKHSRRQSLAGSGTTFDPEGRRRSTRIRSRPLEFWKGERFLYGRVHSSLATVIGIKYESPEKGDGGNPTLKVKSFVSDEYKELIELAARF >KJB70484 pep chromosome:Graimondii2_0_v6:11:7737649:7744792:-1 gene:B456_011G078100 transcript:KJB70484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPSDPLEAYSGLSLFPRTFASFPNPPPPYDPHDLQHLHHFLKSMPLQCPDKLMEQAKAIVDNSSELFNLDMSGSDAEVLENPRERRPALGRKRPRFSLKPNSSLPTVSLEPSIDIDKFKDPEEFFVAFEKAENAKREIEKQTGGVLMDLDQNIQSMAARPRRPGILRRSVKYKHRYSTPISPVESFEEEIPSPVCNSQPEKSDQNVELQEELSVTNAENKVNELLDHLLTSTCDGDEAISLLQEQLQIKPIDLEKICLPDLQDIRRIDLKASRENLAKPRNSLSDIENLFKGISKRTPKRKAESSVHLLASPTPPRSPLASISLLKKQKLQSDVLSDPFSADDVRRSPVRNASGTESNNRESVQVDTEKELSVSHNNDRRTPQQQPKSSAHHLASPTPPRDPLASISLLHKQMMLSDPESEPFSTDSIDQPPKRNASPIESVNKQSSQVHKKEQNNSHLLRSPLLEANQTATANASSELDGRDFAGLFDKFVNDNARSFDSGINVVSSGSQANLENNSLRRPEVASDSHTIKPNEFGGRVEDIPPEAVVSTQTQVNVEGLTIDNSDESSPAIDEDRSMDGSLKAAESGEQLLENMKGKIKRQPCNKHKGKKHSRRQSLAGSGTTFDPEGRRRSTRIRSRPLEFWKGERFLYGRVHSSLATVIGIKYESPEKGDGGNPTLKVKSFVSDEYKELIELAARF >KJB69807 pep chromosome:Graimondii2_0_v6:11:3186842:3190779:-1 gene:B456_011G043300 transcript:KJB69807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCTCLSLDVNRMRTCLVANGDFETPPSGGFPSEAISDGAPEIPSWSTNGTVKLVSAGEKVSGGMLLIVPRGRHAVRLGNDAEISQEVTVEKGSAYAVTFSAARTCAQMESLNVSVPPASQTVDLQTLYNVQGWDPYTISFEAEEDKGRLIFRNPGMEDDPECGPIIDDIAIKKLITPDKPKDNAVVNSGFEFGPWMFQNVSLGVLLPTNLDEETSPLPGWMVESNRAVRYIDSNHYAVPEGKRAVELVSGKEGIISQMVETTPNKLYSLTFSLGHARDKCKEPLAVMAFAGDQAQNFHYTPDSNSTFQVASVNFTAKAERTRIAFYSVYYNTRTDDMSSLCGPVVDDVRVWFSGSCRTKVQTLLGIGLAFSAYLLVLV >KJB69808 pep chromosome:Graimondii2_0_v6:11:3186842:3190922:-1 gene:B456_011G043300 transcript:KJB69808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAESWSRTKWVFHFMLIFAHFSLLSLAEDGLVANGDFETPPSGGFPSEAISDGAPEIPSWSTNGTVKLVSAGEKVSGGMLLIVPRGRHAVRLGNDAEISQEVTVEKGSAYAVTFSAARTCAQMESLNVSVPPASQTVDLQTLYNVQGWDPYTISFEAEEDKGRLIFRNPGMEDDPECGPIIDDIAIKKLITPDKPKDNAVVNSGFEFGPWMFQNVSLGVLLPTNLDEETSPLPGWMVESNRAVRYIDSNHYAVPEGKRAVELVSGKEGIISQMVETTPNKLYSLTFSLGHARDKCKEPLAVMAFAGDQAQNFHYTPDSNSTFQVASVNFTAKAERTRIAFYSVYYNTRTDDMSSLCGPVVDDVRVWFSGSCRTKVQTLLGIGLAFSAYLLVLV >KJB74137 pep chromosome:Graimondii2_0_v6:11:60707444:60710235:-1 gene:B456_011G275000 transcript:KJB74137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQDRSSQSRPPHVLIFPLPLQGHINSMIKLAELLAIAGLKLTFLHSHHNHERLVKFNNIAAHFERYPGFQFKTITDGLPLDHPRSGRWFLAMFEDTMELKMKQSLREVLVNSSPPVDCIIADGFSGFALDVAKELGIPIIFFRTSSPCCFWVYYSIPDIIQAGELPINGSEDMDRLITTVPGMETYLRCRDLPTYCRKLDFEDSMKLVVKQTRKSLQADALILNTAEELDGPILSQIRTKCPRVYAVGPLHAQLNTRLNAKHGESYDHISNTLWEVDKSCIFWLNKQPNRSVIYVSFVSITSMSREQLVELWYGLLNSKTKFLLVVRPDSVIGKDGEGEDVVMELMEKSKDRGYIVNCAPQEAVLNHPAIGGFFTHNGWNSTLESVVAGVPMICWPQFADQHVNSRVVSEVWKIGLDMKDVCDSKMVEKMVNDVMVDRKEEFAKSASEMAKRKIRRSSRWYNGSRFT >KJB72597 pep chromosome:Graimondii2_0_v6:11:44676329:44682580:1 gene:B456_011G187500 transcript:KJB72597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSRRRMKLGRVKKVQLSESANGIKSPMRPPKQSNNPNVESAMLAVNHSDELESHCPPAPVINSSGNSENWMVLSVAGETPEPRFNHAATVVGNKMIVVGGESANGLLDDVQVLNFDTFSWTMASSKLYLSPSNLPLKIPSCKGHSLVSWGKKALLVGGRTDPANDKVSVWSFDTETECWSVMEAKGEIPVARSGHTVVRASSVLILFGGEDAKKKKLNDLHMFDLKSLTWLTLQCTGTRPSPRSNHIATLYDDKTLFVFGGASKSRTLNDLYSLDFETMIWSRIKIRGFHPSPRAGCCGILCGTKWYIAGGGSRKKRHAETFIYDILKSEWSVAITPLPSSITTNKGFSLVLVQHKDKDFLVAFGGCKKEPSNQVEVLIIEKNESSMGRRSTLSKSVGQMQFAKRSSSAGPASQTINGSSQSSVASAAKQNLASVIEHGSDDRSGALSAPTSIYQFYDTRMASLSRKNGILEVQLATAFASRDTAERTLASALKSKEEMEKKFADAMKEMELLKEKLAGIELAHEEANNLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMENRAPTPRKPFNV >KJB72599 pep chromosome:Graimondii2_0_v6:11:44676378:44682565:1 gene:B456_011G187500 transcript:KJB72599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSRRRMKLGRVKKVQLSESANGIKSPMRPPKQSNNPNVESAMLAVNHSDELESHCPPAPVINSSGNSENWMVLSVAGETPEPRFNHAATVVGNKMIVVGGESANGLLDDVQVLNFDTFSWTMASSKLYLSPSNLPLKIPSCKGHSLVSWGKKALLVGGRTDPANDKVSVWSFDTETECWSVMEAKGEIPVARSGHTVVRASSVLILFGGEDAKKKKLNDLHMFDLKSLTWLTLQCTGTRPSPRSNHIATLYDDKTLFVFGGASKSRTLNDLYSLDFETMIWSRIKIRGFHPSPRAGCCGILCGTKWYIAGGGSRKKKTFIYDILKSEWSVAITPLPSSITTNKGFSLVLVQHKDKDFLVAFGGCKKEPSNQVEVLIIEKNESSMGRRSTLSKSVGQMQFAKRSSSAGPASQTINGSSQSSVASAAKQNLASVIEHGSGRKSLSELTFMDQNHPSENVSLRKQFRIEEEHNTTVRITKNSDDSSSILQATEEKTNQSETGVRISAPGTKISSEFGTECLNPLVEGIANDPIGNDNFVFPEADDRSGALSAPTSIYQFYDTRMASLSRKNGILEVQLATAFASRDTAERTLASALKSKEEMEKKFADAMKEMELLKEKLAGIELAHEEANNLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMENRAPTPRKPFNV >KJB72601 pep chromosome:Graimondii2_0_v6:11:44676491:44682565:1 gene:B456_011G187500 transcript:KJB72601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVNHSDELESHCPPAPVINSSGNSENWMVLSVAGETPEPRFNHAATVVGNKMIVVGGESANGLLDDVQVLNFDTFSWTMASSKLYLSPSNLPLKIPSCKGHSLVSWGKKALLVGGRTDPANDKVSVWSFDTETECWSVMEAKGEIPVARSGHTVVRASSVLILFGGEDAKKKKLNDLHMFDLKSLTWLTLQCTGTRPSPRSNHIATLYDDKTLFVFGGASKSRTLNDLYSLDFETMIWSRIKIRGFHPSPRAGCCGILCGTKWYIAGGGSRKKRHAETFIYDILKSEWSVAITPLPSSITTNKGFSLVLVQHKDKDFLVAFGGCKKEPSNQVEVLIIEKNESSMGRRSTLSKSVGQMQFAKRSSSAGPASQTINGSSQSSVASAAKQNLASVIEHGSGRKSLSELTFMDQNHPSENVSLRKQFRIEEEHNTTVRITKNSDDSSSILQATEEKTNQSETGVRISAPGTKISSEFGTECLNPLVEGIANDPIGNDNFVFPEADDRSGALSAPTSIYQFYDTRMASLSRKNGILEVQLATAFASRDTAERTLASALKSKEEMEKKFADAMKEMELLKEKLAGIELAHEEANNLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMENRAPTPRKPFNV >KJB72600 pep chromosome:Graimondii2_0_v6:11:44676198:44682703:1 gene:B456_011G187500 transcript:KJB72600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSRRRMKLGRVKKVQLSESANGIKSPMRPPKQSNNPNVESAMLAVNHSDELESHCPPAPVINSSGNSENWMVLSVAGETPEPRFNHAATVVGNKMIVVGGESANGLLDDVQVLNFDTFSWTMASSKLYLSPSNLPLKIPSCKGHSLVSWGKKALLVGGRTDPANDKVSVWSFDTETECWSVMEAKGEIPVARSGHTVVRASSVLILFGGEDAKKKKLNDLHMFDLKSLTWLTLQCTGTRPSPRSNHIATLYDDKTLFVFGGASKSRTLNDLYSLDFETMIWSRIKIRGFHPSPRAGCCGILCGTKWYIAGGGSRKKRHAETFIYDILKSEWSVAITPLPSSITTNKGFSLVLVQHKDKDFLVAFGGCKKEPSNQVEVLIIEKNESSMGRRSTLSKSVGQMQFAKRSSSAGPASQTINGSSQSSVASAAKQNLASVIEHGSGRKSLSELTFMDQNHPSENVSLRKQFRIEEEHNTTVRITKNSDDSSSILQATEEKTNQSETGVRISAPGTKISSEFGTECLNPLVEGIANDPIGNDNFVFPEADDRSGALSAPTSIYQFYDTRMASLSRKNGILEVQLATAFASRDTAERTLASALKSKEEMEKKFADAMKEMELLKEKLAGIELAHEEANNLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMENRAPTPRKPFNV >KJB72598 pep chromosome:Graimondii2_0_v6:11:44676329:44682580:1 gene:B456_011G187500 transcript:KJB72598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSRRRMKLGRVKKVQLSESANGIKSPMRPPKQSNNPNVESAMLAVNHSDELESHCPPAPVINSSGNSENWMVLSVAGETPEPRFNHAATVVGNKMIVVGGESANGLLDDVQVLNFDTFSWTMASSKLYLSPSNLPLKIPSCKGHSLVSWGKKALLVGGRTDPANDKVSVWSFDTETECWSVMEAKGEIPVARSGHTVVRASSVLILFGGEDAKKKKLNDLHMFDLKSLTWLTLQCTGTRPSPRSNHIATLYDDKTLFVFGGASKSRTLNDLYSLDFETMIWSRIKIRGFHPSPRAGCCGILCGTKWYIAGGGSRKKRHAETFIYDILKSEWSVAITPLPSSITTNKGFSLVLVQHKDKDFLVAFGGCKKEPSNQVEVLIIEKNESSMGRRSTLSKSVGQMQFAKRSSSAGPASQTINGSSQSSVASAAKQNLASVIEHGSEHNTTVRITKNSDDSSSILQATEEKTNQSETGVRISAPGTKISSEFGTECLNPLVEGIANDPIGNDNFVFPEADDRSGALSAPTSIYQFYDTRMASLSRKNGILEVQLATAFASRDTAERTLASALKSKEEMEKKFADAMKEMELLKEKLAGIELAHEEANNLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMENRAPTPRKPFNV >KJB72596 pep chromosome:Graimondii2_0_v6:11:44676378:44682565:1 gene:B456_011G187500 transcript:KJB72596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSRRRMKLGRVKKVQLSESANGIKSPMRPPKQSNNPNVESAMLAVNHSDELESHCPPAPVINSSGNSENWMVLSVAGETPEPRFNHAATVVGNKMIVVGGESANGLLDDVQVLNFDTFSWTMASSKLYLSPSNLPLKIPSCKGHSLVSWGKKALLVGGRTDPANDKVSVWSFDTETECWSVMEAKGEIPVARSGHTVVRASSVLILFGGEDAKKKKLNDLHMFDLKSLTWLTLQCTGTRPSPRSNHIATLYDDKTLFVFGGASKSRTLNDLYSLDFETMIWSRIKIRGFHPSPRAGCCGILCGTKWYIAGGGSRKKRHAETFIYDILKSEWSVAITPLPSSITTNKGFSLVLVQHKDKDFLVAFGGCKKEPSNQVEVLIIEKNESSMGRRSTLSKSVGQMQFAKRSSSAGPASQTINGSSQSSVASAAKQNLASVIEHGSGRKSLSELTFMDQNHPSENVSLRKQFRIEEEHNTTVRITKNSDDSSSILQATEEKTNQSETGVRISAPGTKISSEFGTECLNPLVEGIANDPIGNDNFVFPEADDRSGALSAPTSIYQFYDTRMASLSRKNGILEVQLATAFASRDTAERTLASALKSKEEMEKKFADAMKEMELLKEKLAGIELAHEEANNLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMENRAPTPRKPFNV >KJB73014 pep chromosome:Graimondii2_0_v6:11:50322307:50322936:1 gene:B456_011G209400 transcript:KJB73014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQAKTAMVTTLYHRPHRLLLDTQPNASPSLPNGSRTRNAFANEANFDTNMVIILAALLCALICALGLNSIMRCAFRCGRRFGLDATEETAARLAAATGLKKSALRRLPVAVYGSGMDMKATECPICLGEFMDGEKVRVLPKCNHGFHVRCIDTWLLSRSSCPTCRQSLLDQATSSSDGAVEIENGIRPHGNSSGGQQADVPVPADEVG >KJB72241 pep chromosome:Graimondii2_0_v6:11:38552697:38555043:-1 gene:B456_011G172200 transcript:KJB72241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFASFAGRVFFASIFILSAWQMFNEFGVDGGPAAKELIPKLDLAKKHISSQLHVNLPDIEVRQLVATAIVLKGLGAILFVFGHGFGALLLFVYLLVSTPLLYDFYNYRPNEPQYSVLLGDFLQCVAQCGALIFFVGMKNSMPKRQLRRKSPKQKAT >KJB73730 pep chromosome:Graimondii2_0_v6:11:57251950:57255908:-1 gene:B456_011G246400 transcript:KJB73730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGECATHHLQMNEMSYNNASKLFPLMVSGPEDNVAEYYQQQVEMLEGFNEMDALAERGFIPGMSKEEREKLARSETLAIRISNVANMVLFAAKVYASVWSGSLAIIASTLDSLLDLLSGFILWFTAFSMSTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVRTMVSDDDEFNLTNEQERWVVGIMLGVTLVKLFLVFYCRTFTNEIVKAYAQDHFFDVITNIIGLIAVLLANYIDDWMDPVGAIILALYTIRTWSMTVLENVNSLVGKSAAPEYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPANMALQEAHDIGESLQEKLELLPEIERAFVHLDYEFSHKPEHAQAHAL >KJB73727 pep chromosome:Graimondii2_0_v6:11:57251950:57255908:-1 gene:B456_011G246400 transcript:KJB73727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGFNEMDALAERGFIPGMSKEEREKLARSETLAIRISNVANMVLFAAKVYASVWSGSLAIIASTLDSLLDLLSGFILWFTAFSMSTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVRTMVSDDDEFNLTNEQERWVVGIMLGVTLVKLFLVFYCRTFTNEIVKAYAQDHFFDVITNIIGLIAVLLANYIDDWMDPVGAIILALYTIRTWSMTVLENVNSLVGKSAAPEYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPANMALQEAHDIGESLQEKLELLPEIERAFVHLDYEFSHKPEHAQAHAL >KJB73731 pep chromosome:Graimondii2_0_v6:11:57251950:57256086:-1 gene:B456_011G246400 transcript:KJB73731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETVARESDEELALLPHQNNGDRSWRLNFDGLQLSPEHKDVKKPPRSLHDCLGVLGPEDNVAEYYQQQVEMLEGFNEMDALAERGFIPGMSKEEREKLARSETLAIRISNVANMVLFAAKVYASVWSGSLAIIASTLDSLLDLLSGFILWFTAFSMSTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVRTMVSDDDEFNLTNEQERWVVGIMLGVTLVKLFLVFYCRTFTNEIVKAYAQDHFFDVITNIIGLIAVLLANYIDDWMDPVGAIILALYTIRTWSMTVLENVNSLVGKSAAPEYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPANMALQEAHDIGESLQEKLELLPEIERAFVHLDYEFSHKPEHAQAHAL >KJB73726 pep chromosome:Graimondii2_0_v6:11:57251950:57255132:-1 gene:B456_011G246400 transcript:KJB73726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGLQIILESVRTMVSDDDEFNLTNEQERWVVGIMLGVTLVKLFLVFYCRTFTNEIVKAYAQDHFFDVITNIIGLIAVLLANYIDDWMDPVGAIILALYTIRTWSMTVLENVNSLVGKSAAPEYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPANMALQEAHDIGESLQEKLELLPEIERAFVHLDYEFSHKPEHAQAHAL >KJB73729 pep chromosome:Graimondii2_0_v6:11:57252581:57255724:-1 gene:B456_011G246400 transcript:KJB73729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETVARESDEELALLPHQNNGDRSWRLNFDGLQLSPEHKDVKKPPRSLHDCLGVLGPEDNVAEYYQQQVEMLEGFNEMDALAERGFIPGMSKEEREKLARSETLAIRISNVANMVLFAAKVYASVWSGSLAIIASTLDSLLDLLSGFILWFTAFSMSTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVRTMVSDDDEFNLTNEQERWVVGIMLGVTLVKLFLVFYCRTFTNEIVKAYAQDHFFDVITNIIGLIAVLLANYIDDWMDPVGAIIVSKIFSPEFANI >KJB73728 pep chromosome:Graimondii2_0_v6:11:57251950:57255908:-1 gene:B456_011G246400 transcript:KJB73728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFAAKVYASVWSGSLAIIASTLDSLLDLLSGFILWFTAFSMSTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVRTMVSDDDEFNLTNEQERWVVGIMLGVTLVKLFLVFYCRTFTNEIVKAYAQDHFFDVITNIIGLIAVLLANYIDDWMDPVGAIILALYTIRTWSMTVLENVNSLVGKSAAPEYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPANMALQEAHDIGESLQEKLELLPEIERAFVHLDYEFSHKPEHAQAHAL >KJB72681 pep chromosome:Graimondii2_0_v6:11:45474695:45480328:1 gene:B456_011G190400 transcript:KJB72681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSSGLKHKELEFTLAAVSQLSSSSLSSSSSSSSSVIARFSADSGVAELRFHRDSEFIDGFNVDIGTSQLFKLGPVQSLCVSESSGASKEKSYSRAVTIQFRNEDESRDFHSAFEQWQKDVIQGIHLPNGAIAASKSKFDYKIEPSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRVDFTGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPALAQRITVIKGKVEEVELAEKADILISEPMGTLLVNERMLESYIIARDRFLVPNGKMFPSIGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLYGSAFQGYFSQPVVDAFDPRLLVSPPLCHVIDFNEIKEEDLFEIDIPLKFIASVGTRVHGLACWFDVLFNGSAVQRWLTTAPGAPTTHWYQIRCVLSQPIYVMAGQEITGRLHMIAHNAQSYTMYLSLSAKMWGPGAVQGGILQTSSGKLDLKEPYYRMSQPQSYTMAQDQQPQQLLQAQAIPIHTEDLDEAELLRQPSENTGPQLQ >KJB72683 pep chromosome:Graimondii2_0_v6:11:45474807:45480032:1 gene:B456_011G190400 transcript:KJB72683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSSGLKHKELEFTLAAVSQLSSSSLSSSSSSSSSVIARFSADSGVAELRFHRDSEFIDGFNVDIGTSQLFKLGPVQSLCVSESSGASKEKSYSRAVTIQFRNEDESRDFHSAFEQWQKDVIQAGIHLPNGAIAASKSKFDYKIEPSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRVDFTGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPALAQRITVIKGKVEEVELAEKADILISEPMGTLLVNERMLESYIIARDRFLVPNGKMFPSIGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLYGSAFQGYFSQPVVDAFDPRLLVSPPLCHVIDFNEIKEEDLFEIDIPLKFIASVGTRVHGLACWFDVLFNGSAVQRWLTTAPGAPTTHWYQIRCVLSQPIYVMAGQEITGRLHMIAHNAQSYTMYLSLSAKMWGPGAVQGGILQTSSGKLDLKEPYYRMSQPQSYTMAQDQQPQQLLQAQAIPIHTEDLDEAELLRQPSENTGPQLQ >KJB72682 pep chromosome:Graimondii2_0_v6:11:45474807:45480032:1 gene:B456_011G190400 transcript:KJB72682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSSGLKHKELEFTLAAVSQLSSSSLSSSSSSSSSVIARFSADSGVAELRFHRDSEFIDGFNVDIGTSQLFKLGPVQSLCVSESSGASKEKSYSRAVTIQFRNEDESRDFHSAFEQWQKDVIQGIHLPNGAIAASKSKFDYKIEPSSAKMYFHYYGQLLHQQNMLQDYVRTGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPALAQRITVIKGKVEEVELAEKADILISEPMGTLLVNERMLESYIIARDRFLVPNGKMFPSIGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLYGSAFQGYFSQPVVDAFDPRLLVSPPLCHVIDFNEIKEEDLFEIDIPLKFIASVGTRVHGLACWFDVLFNGSAVQRWLTTAPGAPTTHWYQIRCVLSQPIYVMAGQEITGRLHMIAHNAQSYTMYLSLSAKMWGPGAVQGGILQTSSGKLDLKEPYYRMSQPQSYTMAQDQQPQQLLQAQAIPIHTEDLDEAELLRQPSENTGPQLQ >KJB70356 pep chromosome:Graimondii2_0_v6:11:6222078:6222597:1 gene:B456_011G069700 transcript:KJB70356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDNSTSVLISLVIFSLVLSPMLPCAMSRPHVYCPACVCCGPQPPGGGCCSCRCASVESTTPSQTGTP >KJB69581 pep chromosome:Graimondii2_0_v6:11:2351944:2353834:-1 gene:B456_011G031400 transcript:KJB69581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYGNIYKKWIPELRHYARNVPVVLVGTKLDLRDDKQFLIDHPGATPISTSQAKELKKMIGAVTYIECSSKTQQNVKAVFDAAIKVALRPPKPKRKPCKRRTCAFL >KJB69580 pep chromosome:Graimondii2_0_v6:11:2351940:2353834:-1 gene:B456_011G031400 transcript:KJB69580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYGNIYKKWIPELRHYARNVPVVLVGTKLDLRDDKQFLIDHPGATPISTSQGEELKKMIGAVTYIECSSKTQQNVKAVFDAAIKVALRPPKPKRKPCKRRTCAFL >KJB69582 pep chromosome:Graimondii2_0_v6:11:2351944:2353834:-1 gene:B456_011G031400 transcript:KJB69582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYGNIYKKWIPELRHYARNVPVVLVGTKLDLRDDKQFLIDHPGATPISTSQGEELKKMIGAVTYIECSSKTQQVPEHNVKAVFDAAIKVALRPPKPKRKPCKRRTCAFL >KJB73474 pep chromosome:Graimondii2_0_v6:11:55515768:55521252:-1 gene:B456_011G235200 transcript:KJB73474 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10420) UniProtKB/TrEMBL;Acc:F4J3R7] MRALNSRFVLIDITNNAASWRYRQRHSHFITSARGGAHQRPYMPPLKQSQPSVLRTPQIRKPSDRTTSSNGSSLDCPNPASTSRVESCTELDLFLEMLPSRMRSELNGHEEIGELIEVVMDLGREPLARFPSGDWVISEQPVKHEDLKHATSKVGDFSDDNRSGIDRSLHRISAIRNRKLQIIGLTCRVGRAVSGSAEIIRDLIEGGGSILVIGPPGVGKTTLIREIARMLADEHMKRVVIVDTSNEIGGDGDVPHEGIGRARRMQVPNVMIEAVENHMPETIIIDEIGTELEALAASTIAQRGVQLVGTAHGMTIDNIIKNPSLQILVGGIESVTLGDEEARKRKVQKTILERKGPSTFTCAVEMISRTECRVHHRLDATVDAILAGKSPLFEIRQMEPEANVPLKSTVVRKIDHLEESDMLVNDEKGAEVDYGEEDEQSDLLVNEEKFAEVDFSDEDEDYLPKPKRKQRFTGSASKRTLPIFVYTYKILEADLLQVATVMGLEDEIDVTDDIGMADAILASASEIKQNPWIRGVAKFHKLPMFVIKSNTMAQMVKAVRMILERESYASRSRLLDRDSSDIEIEDDAPKRKPTLEEIDALEEVRLAIEYIVIPGGEPVELLPRCSEIIARQLELVKSYQLDAENSGTELNPRLQILPHRLNKKVSSKSLKTTSNLRNETGSKPLTDSCGGTSVTRLPFLPE >KJB73475 pep chromosome:Graimondii2_0_v6:11:55515768:55521341:-1 gene:B456_011G235200 transcript:KJB73475 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10420) UniProtKB/TrEMBL;Acc:F4J3R7] MRALNSRFVLIDITNNAASWRYRQRHSHFITSARGGAHQRPYMPPLKQSQPSVLRTPQIRKPSDRTTSSNGSSLDCPNPASTSRVESCTELDLFLEMLPSRMRSELNGHEEIGELIEVVMDLGREPLARFPSGDWVISEQPVKHEDLKHATSKVGDFSDDNRSGIDRSLHRISAIRNRKLQIIGLTCRVGRAVSGSAEIIRDLIEGGGSILVIGPPGVGKTTLIREIARMLADEHMKRVVIVDTSNEIGGDGDVPHEGIGRARRMQVPNVNMQHNVMIEAVENHMPETIIIDEIGTELEALAASTIAQRGVQLVGTAHGMTIDNIIKNPSLQILVGGIESVTLGDEEARKRKVQKTILERKGPSTFTCAVEMISRTECRVHHRLDATVDAILAGKSPLFEIRQMEPEANVPLKSTVVRKIDHLEESDMLVNDEKGAEVDYGEEDEQSDLLVNEEKFAEVDFSDEDEDYLPKPKRKQRFTGSASKRTLPIFVYTYKILEADLLQVATVMGLEDEIDVTDDIGMADAILASASEIKQNPWIRGVAKFHKLPMFVIKSNTMAQMVKAVRMILERESYASRSRLLDRDSSDIEIEDDAPKRKPTLEEIDALEEVRLAIEYIVIPGGEPVELLPRCSEIIARQLELVKSYQLDAENSGTELNPRLQILPHRLNKKVSSKSLKTTSNLRNETGSKPLTDSCGGTSVTRLPFLPE >KJB71332 pep chromosome:Graimondii2_0_v6:11:15411964:15417502:-1 gene:B456_011G117000 transcript:KJB71332 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:Projected from Arabidopsis thaliana (AT5G26360) UniProtKB/TrEMBL;Acc:A0A178UHF4] MLKMLLDASGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIEKNYHPTVICRAYNKALEDAIAVLDKIAMSIDVKDRATMLGLVKSCIGTKFTSQFGDLIADLAIDATQTVGVDLGQGLREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVVAPGKMKRKIVNPRIILLDCPLEYKKGENQTNAELVKEEDWEVLLKMEEEYIESLCLQILKFKPDLVVTEKGLSDLACHYLSKAGVSAIRRVRKTDNNRIAKASGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSSSVEGIEKWPYEAAALAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWIGIDGNTGAIADMKERKIWDAYNVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGAGQGPTKPKVETEADADGEQILPD >KJB71328 pep chromosome:Graimondii2_0_v6:11:15411935:15417537:-1 gene:B456_011G117000 transcript:KJB71328 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:Projected from Arabidopsis thaliana (AT5G26360) UniProtKB/TrEMBL;Acc:A0A178UHF4] MHAPVLVLKDSLKRESGTKVHHANIQASKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIEKNYHPTVICRAYNKALEDAIAVLDKIAMSIDVKDRATMLGLVKSCIGTKFTSQFGDLIADLAIDATQTVGVDLGQGLREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVVAPGKMKRKIVNPRIILLDCPLEYKKGENQTNAELVKEEDWEVLLKMEEEYIESLCLQILKFKPDLVVTEKGLSDLACHYLSKAGVSAIRRVRKTDNNRIAKASGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSSSVEGIEKWPYEAAALAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWIGIDGNTGAIADMKERKIWDAYNVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGAGQGPTKPKVETEADADGEQILPD >KJB71329 pep chromosome:Graimondii2_0_v6:11:15411964:15417502:-1 gene:B456_011G117000 transcript:KJB71329 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:Projected from Arabidopsis thaliana (AT5G26360) UniProtKB/TrEMBL;Acc:A0A178UHF4] MHAPVLVLKDSLKRESGTKVHHANIQASKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIEKNYHPTVICRAYNKALEDAIAVLDKIAMSIDVKDRATMLGLVKSCIGTKFTSQFGDLIADLAIDATQTVGVDLGQGLREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVVAPGKMKRKIVNPRIILLDCPLEYKKGENQTNAELVKEEDWEVLLKMEEEYIESLCLQILKFKPDLVVTEKGLSDLACHYLSKAGVSAIRRVRKTDNNRIAKASGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSSSVEGIEKVGRMKLLLLLLKPYHVLWHKIVELM >KJB71333 pep chromosome:Graimondii2_0_v6:11:15411964:15417502:-1 gene:B456_011G117000 transcript:KJB71333 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:Projected from Arabidopsis thaliana (AT5G26360) UniProtKB/TrEMBL;Acc:A0A178UHF4] MLKMLLDASGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIEKNYHPTVICRAYNKALEDAIAVLDKIAMSIDVKDRATMLGLVKSCIGTKFTSQFGDLIADLAIDATQTVGVDLGQGLREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVVAPGKMKRKIVNPRIILLDCPLEYKKGENQTNAELVKEEDWEVLLKMEEEYIESLCLQILKFKPDLVVTEKGLSDLACHYLSKAGVSAIRRVRKTDNNRIAKASGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSSSVEGIEKWPYEAAALAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWIGIDGNTGAIADMKERKIWDAYNVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGAGQGPTKPKVETEADADGEQILPD >KJB71331 pep chromosome:Graimondii2_0_v6:11:15413263:15417352:-1 gene:B456_011G117000 transcript:KJB71331 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:Projected from Arabidopsis thaliana (AT5G26360) UniProtKB/TrEMBL;Acc:A0A178UHF4] MHAPVLVLKDSLKRESGTKVHHANIQASKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIEKNYHPTVICRAYNKALEDAIAVLDKIAMSIDVKDRATMLGLVKSCIGTKFTSQFGDLIADLAIDATQTVGVDLGQGLREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVVAPGKMKRKIVNPRIILLDCPLEYKKGENQTNAELVKEEDWEVLLKMEEEYIESLCLQILKFKPDLVVTEKGLSDLACHYLSKAGVSAIRRVRKTDNNRIAKASGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSSSVEGIEKWPYEAAALAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWIGIDGNTGAIADMKERKV >KJB71330 pep chromosome:Graimondii2_0_v6:11:15413358:15417352:-1 gene:B456_011G117000 transcript:KJB71330 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:Projected from Arabidopsis thaliana (AT5G26360) UniProtKB/TrEMBL;Acc:A0A178UHF4] MHAPVLVLKDSLKRESGTKVHHANIQASKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIEKNYHPTVICRAYNKALEDAIAVLDKIAMSIDVKDRATMLGLVKSCIGTKFTSQFGDLIADLAIDATQTVGVDLGQGLREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVVAPGKMKRKIVNPRIILLDCPLEYKKGENQTNAELVKEEDWEVLLKMEEEYIESLCLQILKFKPDLVVTEKGLSDLACHYLSKAGVSAIRRVRKTDNNRIAKASGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSSSVEGIEKWPYEAAALAFEAIPRTLAQNCGVNVIRTMTALQGKVWMLLECCYTLFTIPFTISIY >KJB73270 pep chromosome:Graimondii2_0_v6:11:53561899:53564526:1 gene:B456_011G224800 transcript:KJB73270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAKEKNGGASISKVTVKEREDEREEEEEAIIERSLRRAMDFYSTMQTDDGHWSGDYGGHLFLLPGLVITLYITGALNAVLSNQHQHEICRYIYYHQNRDGGWGLHIEGQSTMFGTTMNYVTLRLLGKEAEGEAAERGREWILRHGTATTISPWGKMWLSVLGANEWCE >KJB72382 pep chromosome:Graimondii2_0_v6:11:40309441:40315145:1 gene:B456_011G175100 transcript:KJB72382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVRCWFNKLKSKDKLKSSKKKEAAGNAKEGSKPPAGEEVPSNVTKQRVEAAKQYIENHYKKQMKSLQERKERRDILEKKLADAEVSEEEHNNLLKYFEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRICREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSTANNLSGALLSDGRPAAPQRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKIHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEEVDNQIPSAAKSGPWRKLN >KJB72384 pep chromosome:Graimondii2_0_v6:11:40310712:40314811:1 gene:B456_011G175100 transcript:KJB72384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVRCWFNKLKSKDKLKSSKKKEAAGNAKEGSKPPAGEEVPSNVTKQRVEAAKQYIENHYKKQMKSLQERKERRDILEKKLADAEVSEEEHNNLLKYFEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRICREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSTANNLSGALLSDGRPAAPQRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKIHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEEVDNQIPSAAKSGPWRKMLSSKDINFMGYTYKNVEIVNDNQLPGIAELKKKSSKPKRPSIKSLFEDEAAAAANQPVQGSFLNLLPTQI >KJB72383 pep chromosome:Graimondii2_0_v6:11:40309441:40315145:1 gene:B456_011G175100 transcript:KJB72383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFNECISWIFWCWFNKLKSKDKLKSSKKKEAAGNAKEGSKPPAGEEVPSNVTKQRVEAAKQYIENHYKKQMKSLQERKERRDILEKKLADAEVSEEEHNNLLKYFEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRICREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSTANNLSGALLSDGRPAAPQRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKIHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEEVDNQIPSAAKSGPWRKMLSSKDINFMGYTYKNVEIVNDNQLPGIAELKKKSSKPKRPSIKSLFEDEAAAAANQPVQGSFLNLLPTQI >KJB73647 pep chromosome:Graimondii2_0_v6:11:56889935:56892989:1 gene:B456_011G242000 transcript:KJB73647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFLCGGNSNQDEKKKLPINNNTTTTNNFDHQIPSTSEKLKVNSAPNTKKEATKDGGSDHIAAHTFTFRELAAATKNFRADCLLGEGGFGRVYKGRLESTNQVVAIKQLDPNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDRRRLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLEIITGRKAIDNSRAGGEQNLVAWVRFLSLVYHILLTIQRHFILQWLMLIDDSLCKIYILFNRLVRYLKIEGNLHKWPTHYFRANIQ >KJB73646 pep chromosome:Graimondii2_0_v6:11:56889935:56892989:1 gene:B456_011G242000 transcript:KJB73646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFLCGGNSNQDEKKKLPINNNTTTTNNFDHQIPSTSEKLKVNSAPNTKKEATKDGGSDHIAAHTFTFRELAAATKNFRADCLLGEGGFGRVYKGRLESTNQVVAIKQLDPNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDRRRLDWNTRMKIAAGAAKGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLEIITGRKAIDNSRAGGEQNLVAWARPLFKDRRKFAQMADPLLQGQYPVRGLYQALAVAAMCVQEQPNMRPLIADVVTALTYLASQRYDPETQSVQGPRTGSSTPRMRRE >KJB73644 pep chromosome:Graimondii2_0_v6:11:56889169:56892989:1 gene:B456_011G242000 transcript:KJB73644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFLCGGNSNQDEKKKLPINNNTTTTNNFDHQIPSTSEKLKVNSAPNTKKEATKDGGSDHIAAHTFTFRELAAATKNFRADCLLGEGGFGRVYKGRLESTNQVVAIKQLDPNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDRRRLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLEIITGRKAIDNSRAGGEQNLVAWARPLFKDRRKFAQMADPLLQGQYPVRGLYQALAVAAMCVQEQPNMRPLIADVVTALTYLASQRYDPETQSVQGPRTGSSTPRMRRE >KJB73645 pep chromosome:Graimondii2_0_v6:11:56889872:56892989:1 gene:B456_011G242000 transcript:KJB73645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFLCGGNSNQDEKKKLPINNNTTTTNNFDHQIPSTSEKLKVNSAPNTKKEATKDGGSDHIAAHTFTFRELAAATKNFRADCLLGEGGFGRVYKGRLESTNQVVAIKQLDPNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDRRRLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLEIITGRKAIDNSRAGGEQNLVAWARPLFKDRRKFAQMADPLLQGQYPVRGLYQALAVAAMCVQEQPNMRPLIADVVTALTYLASQRYDPETQSVQGPRTGSSTPRMRRE >KJB73648 pep chromosome:Graimondii2_0_v6:11:56890332:56892989:1 gene:B456_011G242000 transcript:KJB73648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDRRRLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLEIITGRKAIDNSRAGGEQNLVAWARPLFKDRRKFAQMADPLLQGQYPVRGLYQALAVAAMCVQEQPNMRPLIADVVTALTYLASQRYDPETQSVQGPRTGSSTPRMRRE >KJB71711 pep chromosome:Graimondii2_0_v6:11:21394532:21396005:-1 gene:B456_011G138500 transcript:KJB71711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILEDSLVFLPHGSVLNASTLPLTFLDIYWFGFPPMQRLFFYDFPYPTSYFMQTVVPNLKSSLSLALQHFFPFAGNLVLPPPPQLPYIHFKDSNSVCFIAKESTVDFGHLIGDHGRCVEEFQVLLPKLQPPNTSTNGNGMKKLEKSLMAIQVTVFPNAGIALGVTFNHVVADGRAFIHFMKSWAFLNRSQGDSSFLNNFPPPEFNRDLIKDPQGLLASTFVKDKWGTEELGTLPTNKLRVTFVIKRSQVGLLKNWVTRKLMEENKSEKLRISTFVVTCAYMWVCLNKLQENETKHPSPSGDSHMVFSADCRHHLKLPATYFGNCIQPRFATAKKAELVKEKGVIVAAKAIGRQVMELEEVGALREAEKWLSKQKEILKPGIHFISIAASPKFGVYEIDFGWGRPRKTEVAHIGSFGSISMAESREEEGGVEFGLALSQEELHSFNDVFHRGLQQLQ >KJB71697 pep chromosome:Graimondii2_0_v6:11:21631557:21635210:-1 gene:B456_011G139600 transcript:KJB71697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVFSSVEFLTLFMKRNENMMVRSGLCVWPGNRQLCIRKGLVYGFMHLLSIPFKTLRGASRSLRVAEFCSVSNMSSSLQIELVSCLGDNYAYLLHDVDTGTVGVVDPSEAVPIIDALSRRNWNLTYILNTHHHHDHTGGNAELKARYGAKVIGSGIDKDRIPGIDIVLNDGEKWMFAGHEVHVMETPGYTRGHISFYFPGSRAIFTGDTLFSLSCGKLLEGTPEQMLSSLQRIMSLPDDTNIYCGHEYTLSNSKFALSIDPKNDALQAYATHVAHLRNKGLPTVPSTLKMEKECNPFLRTSNAEIRKALKIPVTANEAEALGIIRRAKDNF >KJB71693 pep chromosome:Graimondii2_0_v6:11:21631557:21635112:-1 gene:B456_011G139600 transcript:KJB71693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLSIPFKTLRGASRSLRVAEFCSVSNMSSSLQIELVSCLGDNYAYLLHDVDTGTVGVVDPSEAVPIIDALSRRNWNLTYILNTHHHHDHTGGNAELKARYGAKVIGSGIDKDRIPGIDIVLNDGEKWMFAGHEVHVMETPGYTRGHISFYFPGSRAIFTGDTLFSLSCGKLLEGTPEQMLSSLQRIMSLPDDTNIYCGHEYTLSNSKFALSIDPKNDALQAYATHVAHLRNKGLPTVPSTLKMEKECNPFLRTSNAEIRKALKIPVTANEAEALGIIRRAKDNF >KJB71692 pep chromosome:Graimondii2_0_v6:11:21631542:21635252:-1 gene:B456_011G139600 transcript:KJB71692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKTSPDMASFPCSRVRSGLCVWPGNRQLCIRKGLVYGFMHLLSIPFKTLRGASRSLRVAEFCSVSNMSSSLQIELVSCLGDNYAYLLHDVDTGTVGVVDPSEAVPIIDALSRRNWNLTYILNTHHHHDHTGGNAELKARYGAKVIGSGIDKDRIPGIDIVLNDGEKWMFAGHEVHVMETPGYTRGHISFYFPGSRAIFTGDTLFSLSCGKLLEGTPEQMLSSLQRIMSLPDDTNIYCGHEYTLSNSKFALSIDPKNDALQAYATHVAHLRNKGLPTVPSTLKMEKECNPFLRTSNAEIRKALKIPVTANEAEALGIIRRAKDNF >KJB71695 pep chromosome:Graimondii2_0_v6:11:21631557:21635024:-1 gene:B456_011G139600 transcript:KJB71695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVFSSVEFLTLFMKRNENMMVRSGLCVWPGNRQLCIRKGLVYGFMHLLSIPFKTLRGASRSLRVAEFCSVSNMSSSLQIELVSCLGDNYAYLLHDVDTGTVGVVDPSEAVPIIDALSRRNWNLTYILNTHHHHDHTGGNAELKARYGAKVIGSGIDKDRIPGIDIVLNDGEKWMFAGHEVHVMETPGYTRGHISFYFPGSRAIFTGDTLFSLSCGKLLEGTPEQMLSSLQRIMSLPDDTNIYCGHEYTLIPRMMHFRLMQPM >KJB71694 pep chromosome:Graimondii2_0_v6:11:21631557:21634987:-1 gene:B456_011G139600 transcript:KJB71694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVFSSVEFLTLFMKRNENMMVSCLGDNYAYLLHDVDTGTVGVVDPSEAVPIIDALSRRNWNLTYILNTHHHHDHTGGNAELKARYGAKVIGSGIDKDRIPGIDIVLNDGEKWMFAGHEVHVMETPGYTRGHISFYFPGSRAIFTGDTLFSLSCGKLLEGTPEQMLSSLQRIMSLPDDTNIYCGHEYTLSNSKFALSIDPKNDALQAYATHVAHLRNKGLPTVPSTLKMEKECNPFLRTSNAEIRKALKIPVTANEAEALGIIRRAKDNF >KJB71696 pep chromosome:Graimondii2_0_v6:11:21632839:21635089:-1 gene:B456_011G139600 transcript:KJB71696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKTSPDMASFPCSRVRSGLCVWPGNRQLCIRKGLVYGFMHLLSIPFKTLRGASRSLRVAEFCSVSNMSSSLQIELVSCLGDNYAYLLHDVDTGTVGVVDPSEAVPIIDALSRRNWNLTYILNTHHHHDHTGGNAELKARYGAKVIGSGIDKDRIPGIDIVLNDGEKWMFAGHEVHVMETPGYTRGHISFYFPGSRAIFTGDTLFSLSCGKLLEGTPEQVILTSIFYHIVADSLTVDTPEWYIASFKCKQMVNKLLCGENGIQLSKLCKFIVRENRIQSSNWLMLFTSEMNF >KJB71752 pep chromosome:Graimondii2_0_v6:11:21664709:21668423:-1 gene:B456_011G139800 transcript:KJB71752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNTLVQIPACPSLSSSTLTTLPHSSPKILPCLPPKLRMRLAKVRAMSASTEHNPQPGSSDQKNLLAVVLDIPRNIWRQTLRPLSDFGFGRRSIWEGGVGLFLVSGTVLLALSLAWLRGFQIRSKFRKYLAVFEFAQASGICTGTPVRIRGVTVGNVVRVNPSLKSIEAVVEVEDDKIIIPRNSLIEVNQSGLLMETLIDITPRDPIPSPSVGPLDAECVKEGLIVCDRQKIKGEQGVSLDALVGIVTRLARQMEEIGIANTYSLAERVAAVIQDAKPLLTKIEAMAEDVQPLLSELRDSGLLQEVENLTRSLTQASEDLRRVHSSIMTPENTELIQKSIYTLIFTLKNIENISSDILGFTGDESTRKNLKLLIKSLSRML >KJB71751 pep chromosome:Graimondii2_0_v6:11:21666618:21667860:-1 gene:B456_011G139800 transcript:KJB71751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNTLVQIPACPSLSSSTLTTLPHSSPKILPCLPPKLRMRLAKVRAMSASTEHNPQPGSSDQKNLLAVVLDIPRNIWRQTLRPLSDFGFGRRSIWEGGVGLFLVSGTVLLALSLAWLRGFQIRSKFRKYLAVFEFAQASGICTGTPVRIRGVTVGNVVRVNPSLKSIEAVVEVEDDKIIIPRNSLIEVNQSGLLMETLIDITPRDPIPSPSVGPLDAECVKEGLIVCDRQKIKGEQGVSLDALVGIVTRLARQMEEIGIANTYSLAERVAAVIQDAKPLLTK >KJB71753 pep chromosome:Graimondii2_0_v6:11:21664709:21668664:-1 gene:B456_011G139800 transcript:KJB71753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNTLVQIPACPSLSSSTLTTLPHSSPKILPCLPPKLRMRLAKVRAMSASTEHNPQPGSSDQKNLLAVVLDIPRNIWRQTLRPLSDFGFGRRSIWEGGVGLFLVSGTVLLALSLAWLRGFQIRSKFRKYLAVFEFAQASGICTGTPVRIRGVTVGNVVRVNPSLKSIEAVVEVEDDKIIIPRNSLIEVNQSGLLMETLIDITPRDPIPSPSVGPLDAECVKEGLIVCDRQKIKGEQGVSLDALVGIVTRLARQMEEIGIANTYSLAERVAAVIQDAKPLLTKIEAMAEDVQPLLSELRDSGLLQEVENLTRSLTQASEDLRRVHSSIMTPENTELIQKSIYTLIFTLKNIENISSDILGFTGDESTRKNLKLLIKSLSRML >KJB71749 pep chromosome:Graimondii2_0_v6:11:21664709:21668694:-1 gene:B456_011G139800 transcript:KJB71749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNTLVQIPACPSLSSSTLTTLPHSSPKILPCLPPKLRMRLAKVRAMSASTEHNPQPGSSDQKNLLAVVLDIPRNIWRQTLRPLSDFGFGRRSIWEGGVGLFLVSGTVLLALSLAWLRGFQIRSKFRKYLAVFEFAQASGICTGTPVRIRGVTVGNVVRVNPSLKSIEAVVEVEDDKIIIPRNSLIEVNQSGLLMETLIDITPRDPIPSPSVGPLDAECVKEGLIVCDRQKIKGEQGVSLDALVGIVTRLARQMEEIGIANTYSLAERVAAVIQDAKPLLTKIEAMAEDVQPLLSELRDSGLLQEVENLTRSLTQASEDLRRVHSSIMTPENTELIQKSIYTLIFTLKNIENISSDILGFTGDESTRKNLKLLIKSLSRML >KJB71750 pep chromosome:Graimondii2_0_v6:11:21664709:21668583:-1 gene:B456_011G139800 transcript:KJB71750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNTLVQIPACPSLSSSTLTTLPHSSPKILPCLPPKLRMRLAKVRAMSASTEHNPQPGSSDQKNLLAVVLDIPRNIWRQTLRPLSDFGFGRRSIWEGGVGLFLVSGTVLLALSLAWLRGFQIRSKFRKYLAVFEFAQASGICTGTPVRIRGVTVGNVVRVNPSLKSIEAVVEVEDDKIIIPRNSLIEVNQSGLLMETLIDITPRDPIPSPSVGPLDAECVKEGLIVCDRQKIKGEQGVSLDALVGIVTRLARQMEEIGIANTYSLAERVAAVIQDAKPLLTKIEAMAEDVQPLLSELRDSGLLQEVENLTRSLTQASEDLRI >KJB71477 pep chromosome:Graimondii2_0_v6:11:18829132:18832523:1 gene:B456_011G129000 transcript:KJB71477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSTPFLIPLSTEPSFLLSFLLYDSMAVPVMRCMSKLNIKAPPPSPIPTATGSRSAANEILTDFLEKSLQIPDLTLPECQTLRHHGLPDKVDFQSLGLREVGSVERFMRSARKYGVVAIGRHGIDAGEEVRATVKEAARVFGVLEERDTGYRRNSVGKREEIVWVDCKDERMEWARQYIGVHLYHSFSLRL >KJB71475 pep chromosome:Graimondii2_0_v6:11:18829094:18832523:1 gene:B456_011G129000 transcript:KJB71475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSTPFLIPLSTEPSFLLSFLLYDSMAVPVMRCMSKLNIKAPPPSPIPTATGSRSAANEILTDFLEKSLQIPDLTLPECQTLRHHGLPDKVDFQSLGLREVGSVERFMRSARKYGVVAIGRHGIDAGEEVRATVKEAARVFGVLEERDTGYRRNSVGKREEIVWVDCKDERMEWARQYIGVHLYHSFRNGAWENSNVYGGELFIDQKWVDPSALSPWSLSVHL >KJB71476 pep chromosome:Graimondii2_0_v6:11:18829132:18832523:1 gene:B456_011G129000 transcript:KJB71476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSTPFLIPLSTEPSFLLSFLLYDSMAVPVMRCMSKLNIKAPPPSPIPTATGSRSAANEILTDFLEKSLQIPDLTLPECQTLRHHGLPDKVDFQSLGLREVGSVERFMRSARKYGVVAIGRHGIDAGEEVRATVKEAARVFGVLEERDTGYRRNSVGKREEIVWVDCKDERMEWARQYIGVHLYHSFRNGAWENSNVYGGELFIDQNLRL >KJB71478 pep chromosome:Graimondii2_0_v6:11:18829246:18832523:1 gene:B456_011G129000 transcript:KJB71478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPVMRCMSKLNIKAPPPSPIPTATGSRSAANEILTDFLEKSLQIPDLTLPECQTLRHHGLPDKVDFQSLGLREVGSVERFMRSARKYGVVAIGRHGIDAGEEVRATVKEAARVFGVLEERDTGYRRNSVGKREEIVWVDCKDERMEWARQYIGVHLYHSFSEKVEKVASKLEEVAEELGKILVENARQVGRKGFRRGESVVSIYKYNNNSNEDKLADQDPNVNEEENGHCCDYTLSLHLPTKHCQFSLKTGPRLLTFDVAPDTLILTFGHQLEEWSMGEFKCVRGRIIYRPEFEALRNGLKSAVFEGEKD >KJB71474 pep chromosome:Graimondii2_0_v6:11:18829094:18832518:1 gene:B456_011G129000 transcript:KJB71474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSTPFLIPLSTEPSFLLSFLLYDSMAVPVMRCMSKLNIKAPPPSPIPTATGSRSAANEILTDFLEKSLQIPDLTLPECQTLRHHGLPDKVDFQSLGLREVGSVERFMRSARKYGVVAIGRHGIDAGEEVRATVKEAARVFGVLEERDTGYRRNSVGKREEIVWVDCKDERMEWARQYIGVHLYHSFSEKVEKVASKLEEVAEELGKILVENARQVGRKGFRRGESVVSIYKYNNNSNEDKLADQDPNVNEEENGHCCDYTLSLHLPTKHCQFSLKTGPRLLTFDVAPDTLILTFGHQLEEWSMGEFKCVRGRIIYRPEVGGSKCSFSMELKCSSINITHSYKKSTYKMISLADQFFVAVLIFSLYSIFMLKTSP >KJB70875 pep chromosome:Graimondii2_0_v6:11:47273338:47273361:-1 gene:B456_011G1960002 transcript:KJB70875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQICFFA >KJB70870 pep chromosome:Graimondii2_0_v6:11:47271743:47273361:-1 gene:B456_011G1960002 transcript:KJB70870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQICFFA >KJB70879 pep chromosome:Graimondii2_0_v6:11:47273338:47273361:-1 gene:B456_011G1960002 transcript:KJB70879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQICFFA >KJB70877 pep chromosome:Graimondii2_0_v6:11:47273338:47273361:-1 gene:B456_011G1960002 transcript:KJB70877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQICFFA >KJB70871 pep chromosome:Graimondii2_0_v6:11:47273338:47273361:-1 gene:B456_011G1960002 transcript:KJB70871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQICFFA >KJB70874 pep chromosome:Graimondii2_0_v6:11:47273338:47273361:-1 gene:B456_011G1960002 transcript:KJB70874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQICFFA >KJB70872 pep chromosome:Graimondii2_0_v6:11:47273338:47273361:-1 gene:B456_011G1960002 transcript:KJB70872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQICFFA >KJB70868 pep chromosome:Graimondii2_0_v6:11:47273338:47273361:-1 gene:B456_011G1960002 transcript:KJB70868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQICFFA >KJB70876 pep chromosome:Graimondii2_0_v6:11:47273338:47273361:-1 gene:B456_011G1960002 transcript:KJB70876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQICFFA >KJB70873 pep chromosome:Graimondii2_0_v6:11:47271743:47273361:-1 gene:B456_011G1960002 transcript:KJB70873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQICFFA >KJB70867 pep chromosome:Graimondii2_0_v6:11:47273035:47273361:-1 gene:B456_011G1960002 transcript:KJB70867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQICFFA >KJB70878 pep chromosome:Graimondii2_0_v6:11:47273338:47273361:-1 gene:B456_011G1960002 transcript:KJB70878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQICFFA >KJB70869 pep chromosome:Graimondii2_0_v6:11:47273338:47273361:-1 gene:B456_011G1960002 transcript:KJB70869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQICFFA >KJB74125 pep chromosome:Graimondii2_0_v6:11:60626663:60629595:-1 gene:B456_011G274300 transcript:KJB74125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKREMEWLVCVSDHIVELIPSWQNFPDGSKLEVMTCRPRSDIVINLAALRKLDTMLVDILDSFTNTEFWYVDQGIIAPDADGSASFRQTLQRQEEKWWLPVPRVPAGGLSDDSRKQLNHTRECTNQILKAAMAINSISLTEMEVPDSYLDTLPKNGRACLGDLIYRYITSDQFSAECLLDFLDLSSEHVALEIANRVEAAIYVWRRRSHSKPPINPNRSTAKSSWEMVKDLMVDGDKREFLAERAETLLLCLKQRFPGLTQTTLDTSKIQCNKDVGKSILESYSRVLESLAYNIVARIDDLLYVDDLTKHSDKLSSVPTVSVITHKKVSIPYTVPVLNSSTPYKTSISTPSFSPAPLISPAKGERSPFLKENNHNSNNNIIKPHRRGFGVKRVLTNYLGVDTKAKICGNPTTDSTSILKNSNSTENTGNQKGHQIISKQSSANQNGTKTRQIPPRYTVT >KJB74122 pep chromosome:Graimondii2_0_v6:11:60626663:60628567:-1 gene:B456_011G274300 transcript:KJB74122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMFLLVQDILDSFTNTEFWYVDQGIIAPDADGSASFRQTLQRQEEKWWLPVPRVPAGGLSDDSRKQLNHTRECTNQILKAAMAINSISLTEMEVPDSYLDTLPKNGRACLGDLIYRYITSDQFSAECLLDFLDLSSEHVALEIANRVEAAIYVWRRRSHSKPPINPNRSTAKSSWEMVKDLMVDGDKREFLAERAETLLLCLKQRFPGLTQTTLDTSKIQCNKDVGKSILESYSRVLESLAYNIVARIDDLLYVDDLTKHSDKLSSVPTVSVITHKKVSIPYTVPVLNSSTPYKTSISTPSFSPAPLISPAKGERSPFLKENNHNSNNNIIKPHRRGFGVKRVLTNYLGVDTKAKICGNPTTDSTSILKNSNSTENTGNQKGHQIISKQSSANQNGTKTRQIPPRYTVT >KJB74121 pep chromosome:Graimondii2_0_v6:11:60626625:60629773:-1 gene:B456_011G274300 transcript:KJB74121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLKKKGDWSESVSGSNGGESKESSSNSSSSGSSSDKVRGSGSPPLLGWPIRRAITTTPSKNSDVCNGDDDKVGNQVDKFKKIGSRINEIDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPISEEKKSMWKREMEWLVCVSDHIVELIPSWQNFPDGSKLEVMTCRPRSDIVINLAALRKLDTMLVDILDSFTNTEFWYVDQGIIAPDADGSASFRQTLQRQEEKWWLPVPRVPAGGLSDDSRKQLNHTRECTNQILKAAMAINSISLTEMEVPDSYLDTLPKNGRACLGDLIYRYITSDQFSAECLLDFLDLSSEHVALEIANRVEAAIYVWRRRSHSKPPINPNRSTAKSSWEMVKDLMVDGDKREFLAERAETLLLCLKQRFPGLTQTTLDTSKIQCNKDVGKSILESYSRVLESLAYNIVARIDDLLYVDDLTKHSDKLSSVPTVSVITHKKVSIPYTVPVLNSSTPYKTSISTPSFSPAPLISPAKGERSPFLKENNHNSNNNIIKPHRRGFGVKRVLTNYLGVDTKAKICGNPTTDSTSILKNSNSTENTGNQKGHQIISKQSSANQNGTKTRQIPPRYTVT >KJB74123 pep chromosome:Graimondii2_0_v6:11:60627517:60629547:-1 gene:B456_011G274300 transcript:KJB74123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLKKKGDWSESVSGSNGGESKESSSNSSSSGSSSDKVRGSGSPPLLGWPIRRAITTTPSKNSDVCNGDDDKVGNQVDKFKKIGSRINEIDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPISEEKKSMWKREMEWLVCVSDHIVELIPSWQNFPDGSKLEVMTCRPRSDIVINLAALRKLDTMLVDILDSFTNTEFWYVDQGIIAPDADGSASFRQTLQRQEEKWWLPVPRVPAGGLSDDSRKQLNHTRECTNQILKAAMAINSISLTEMEVPDSYLDTLPKNGRACLGDLIYRYITSDQFSAECLLDFLDLSSEHVALEIANRVEAAIYVWRRRSHSKPPINPNRSTAKSSWEMVKDLMVDGDKREFLAERAETLLLCLKQRFPGLTQTTLDTSKIQCNKVWNFEPENYFISPLNVFIHTLFFELSGCWKVHS >KJB74124 pep chromosome:Graimondii2_0_v6:11:60626663:60629595:-1 gene:B456_011G274300 transcript:KJB74124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLSSKCLIFCFLDCFWGLVLANFDQSLNLFCLIPFSFLCVNFLEIDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPISEEKKSMWKREMEWLVCVSDHIVELIPSWQNFPDGSKLEVMTCRPRSDIVINLAALRKLDTMLVDILDSFTNTEFWYVDQGIIAPDADGSASFRQTLQRQEEKWWLPVPRVPAGGLSDDSRKQLNHTRECTNQILKAAMAINSISLTEMEVPDSYLDTLPKNGRACLGDLIYRYITSDQFSAECLLDFLDLSSEHVALEIANRVEAAIYVWRRRSHSKPPINPNRSTAKSSWEMVKDLMVDGDKREFLAERAETLLLCLKQRFPGLTQTTLDTSKIQCNKDVGKSILESYSRVLESLAYNIVARIDDLLYVDDLTKHSDKLSSVPTVSVITHKKVSIPYTVPVLNSSTPYKTSISTPSFSPAPLISPAKGERSPFLKENNHNSNNNIIKPHRRGFGVKRVLTNYLGVDTKAKICGNPTTDSTSILKNSNSTENTGNQKGHQIISKQSSANQNGTKTRQIPPRYTVT >KJB71170 pep chromosome:Graimondii2_0_v6:11:12858174:12860010:-1 gene:B456_011G109000 transcript:KJB71170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLLGVEEEGQTRVSSPSVECISQNGLGIREWNYLGLSDFCSVDSSGVPDDNGNNLNLKATELRLGLPGSQSPERETELCFLNSGKLDEKTLFPLLPSKDGICSSSQKSVVTGNKRGFSEVKGTIYTEKKWMGSGAVPDSQYLQPVSHGKYSVAQASVKKDGPANEIQEQPCTSNGTKVNRTDISNNSSAPTANRAQVVGWPPIRSFRTKTLTTSSKNNDEVDGKPGPGTLFVKVSMDGAPYLRKVDLRMYSTYQELSSALEKMFSCFTLGQCGAHGTPGKEILSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIETCRRLKIMKSADAIGLAPKAMDKSKKDC >KJB71169 pep chromosome:Graimondii2_0_v6:11:12857731:12861213:-1 gene:B456_011G109000 transcript:KJB71169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLLGVEEEGQTRVSSPSVECISQNGLGIREWNYLGLSDFCSVDSSGVPDDNGNNLNLKATELRLGLPGSQSPERETELCFLNSGKLDEKTLFPLLPSKDGICSSSQKSVVTGNKRGFSEVKGTIYTEKKWMGSGAVPDSQYLQPVSHGKYSVAQASVKKDGPANEIQEQPCTSNGTKVNRTDISNNSSAPTAKAQVVGWPPIRSFRTKTLTTSSKNNDEVDGKPGPGTLFVKVSMDGAPYLRKVDLRMYSTYQELSSALEKMFSCFTLGQCGAHGTPGKEILSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIETCRRLKIMKSADAIGLAPKAMDKSKKDC >KJB71168 pep chromosome:Graimondii2_0_v6:11:12858174:12860010:-1 gene:B456_011G109000 transcript:KJB71168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLLGVEEEGQTRVSSPSVECISQNGLGIREWNYLGLSDFCSVDSSGVPDDNGNNLNLKATELRLGLPGSQSPERETELCFLNSGKLDEKTLFPLLPSKDGICSSSQKSVVTGNKRGFSEVKGTIYTEKKWMGSGAVPDSQYLQPVSHGKYSVAQASVKKDGPANEIQEQPCTSNGTKVNRTDISNNSSAPTAKAQVVGWPPIRSFRTKTLTTSSKNNDEVDGKPGPGTLFVKVSMDGAPYLRKVDLRMYSTYQELSSALEKMFSCFTLGQCGAHGTPGKEILSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIETCRRLKIMKSADAIGLAPKAMDKSKKDC >KJB71167 pep chromosome:Graimondii2_0_v6:11:12857731:12861213:-1 gene:B456_011G109000 transcript:KJB71167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLLGVEEEGQTRVSSPSVECISQNGLGIREWNYLGLSDFCSVDSSGVPDDNGNNLNLKATELRLGLPGSQSPERETELCFLNSGKLDEKTLFPLLPSKDGICSSSQKSVVTGNKRGFSEVKGTIYTEKKWMGSGAVPDSQYLQPVSHGKYSVAQASVKKDGPANEIQEQPCTSNGTKVNRTDISNNSSAPTAKAQVVGWPPIRSFRTKTLTTSSKNNDEVDGKPGPGTLFVKVSMDGAPYLRKVDLRMYSTYQELSSALEKMFSCFTLGQCGAHGTPGKEILSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIETCRRLKIMKSADAIGLAPKAMDKSKKDC >KJB71171 pep chromosome:Graimondii2_0_v6:11:12859037:12860010:-1 gene:B456_011G109000 transcript:KJB71171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLLGVEEEGQTRVSSPSVECISQNGLGIREWNYLGLSDFCSVDSSGVPDDNGNNLNLKATELRLGLPGSQSPERETELCFLNSGKLDEKTLFPLLPSKDGICSSSQKSVVTGNKRGFSEVKGTIYTEKKWMGSGAVPDSQYLQPVSHGKYSVAQASVKKDGPANEIQEQPCTSNGTKVNRTDISNNSSAPTAKAQVVGWPPIRSFRTKTLTTSSKNNDEVDGKPGPGTLFVKVSMDGAPYLRKVDLRMYSTYQELSSALEKMFSCFTLGKLSLHSLPYCNIELNPS >KJB71165 pep chromosome:Graimondii2_0_v6:11:12858174:12860010:-1 gene:B456_011G109000 transcript:KJB71165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLLGVEEEGQTRVSSPSVECISQNGLGIREWNYLGLSDFCSVDSSGVPDDNGNNLNLKATELRLGLPGSQSPERETELCFLNSGKLDEKTLFPLLPSKDGICSSSQKSVVTGNKRGFSEVKGTIYTEKKWMGSGAVPDSQYLQPVSHGKYSVAQASVKKDGPANEIQEQPCTSNGTKVNRTDISNNSSAPTANRAQVVGWPPIRSFRTKTLTTSSKNNDEVDGKPGPGTLFVKVSMDGAPYLRKVDLRMYSTYQELSSALEKMFSCFTLGQCGAHGTPGKEILSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIETCRRLKIMKSADAIGLAPKAMDKSKKDC >KJB71172 pep chromosome:Graimondii2_0_v6:11:12857785:12861104:-1 gene:B456_011G109000 transcript:KJB71172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLLGVEEEGQTRVSSPSVECISQNGLGIREWNYLGLSDFCSVDSSGVPDDNGNNLNLKATELRLGLPGSQSPERETELCFLNSGKLDEKTLFPLLPSKDGICSSSQKSVVTGNKRGFSEVKGTIYTEKKWMGSGAVPDSQYLQPVSHGKYSVAQASVKKDGPANEIQEQPCTSNGTKVNRTDISNNSSAPTAKAQVVGWPPIRSFRTKTLTTSSKNNDEVDGKPGPGTLFVKVSMDGAPYLRKVDLRMYSTYQELSSALEKMFSCFTLGQCGAHGTPGKEILSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWERLKIMKSADAIGLAPKAMDKSKKDC >KJB71166 pep chromosome:Graimondii2_0_v6:11:12858174:12860010:-1 gene:B456_011G109000 transcript:KJB71166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLLGVEEEGQTRVSSPSVECISQNGLGIREWNYLGLSDFCSVDSSGVPDDNGNNLNLKATELRLGLPGSQSPERETELCFLNSGKLDEKTLFPLLPSKDGICSSSQKSVVTGNKRGFSEVKGTIYTEKKWMGSGAVPDSQYLQPVSHGKYSVAQASVKKDGPANEIQEQPCTSNGTKVNRTDISNNSSAPTAKAQVVGWPPIRSFRTKTLTTSSKNNDEVDGKPGPGTLFVKVSMDGAPYLRKVDLRMYSTYQELSSALEKMFSCFTLGQCGAHGTPGKEILSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIETCRRLKIMKSADAIGLAPKAMDKSKKDC >KJB69393 pep chromosome:Graimondii2_0_v6:11:1530459:1535929:-1 gene:B456_011G021800 transcript:KJB69393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKTPLSLALVFVSVFAVYCFDHALSTTVTYDHRALVIDGKRRVLQSGSIHYPRSTPEVWPELIWKSKEGGLDVIETYVFWNYHEPVRGEYYFEGRFDLVKFVRTVQEAGLMVHLRIGPYACAEWNFGGFPLWLHFIPGIQFRTTNDLFKKEMLRFLAKIVGLMKEEKLFASQGGPIILAQVENEYELVEWAYGVAGELYVNWAAEAAISLNTTVPWVMCRQEDAPDPIINTCNGFYCDQFTPNSPSKPKMWTENYSGWFKSFGYPIPHRPVEDLAFAVARFFEMGGTFHNYYMYFGGTNFGRTAGGPLVATSYDYDAPIDEYGFIRQPKWGHLRDLHLAIKHCEEYLIRSDPTHQQLGHNLEAHIYYKSSNKCAAFLANYDSELDANVTFNGNLYFLPAWSVSILPDCKNVIFNTAKVVSQRILAHTTTANKLILSATSWSWYVEKPGVWGNNSFTESRLLEQINTTKDTSDYLWYTTSINIMPGQSKEVFLLIESLGHAALIFVNKKLVAFGYGNHDDASFSINEKISLVEGNNTLDIMSMMVGLQNFGPWFDVQGAGIFSVVLIDPWNNKYDVSAEEWTYQVGLEGEYLGLDKVIHANSSVWIKGSVPPINKTLIWYKVSFLTPQGNGPLALNLTSMGKGQAWVNGQSIGRYWPAYLSPSEGCTENCDYRGEYDSTKCQKNCGQPAQTLYHVPRSWVHPGQNLLVLHEELGGDPTKISVLTRTGQEICSFVSEDDPQPADFWILNMGFGSRSPEARLTCEQGWHITSINFASFGSPQGNCGAFSVGTCHANILPMVQKACIGEEQCSVPVSTANLGDPCPGVLKTLAIEAMCSD >KJB72199 pep chromosome:Graimondii2_0_v6:11:32107401:32109262:-1 gene:B456_011G164800 transcript:KJB72199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVASLPPPPQIPTLSRIKTPASILLGKYELGRLLGRGSFAKVHEATSLEDNNSVVAIKIIDKTKTVDAAMEPRIIREVSAMRRLQHHPNILKIHEVMASKTKIYLVMELASGGELFSKVLRRGRLTESAARRYFSQLVSALHFCHLNGVAHRDVKPQNLLLDQSGNLKVSDFGLSALPEQLNDVGLLHTACGTPAYTAPEVVLRKGYNGSKADAWSCGVILFVLLAGYLPFDDGNLMTMYKKIQRREFQFPSWISKQAKAIIWQLLDPNPDTRMNIAKLMETSWFKRTSKAFPSSNSQQESLLHDRKLQHDMVCNGVNAFDIIALSSGLDLSGLLEGGDNKRKERRYTTSMELDGVMERVREVGERLGYRVERGKRGVMGLGKGRVVVVVEVVEVAEVFVLVEVKVMDGGVEFEEGQWVDLEAGLGDVFVSWDNGALG >KJB69207 pep chromosome:Graimondii2_0_v6:11:770088:772784:-1 gene:B456_011G010800 transcript:KJB69207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKIAARRFEGKVAIVTASTQGIGFGIAQRLALEGASVVISSRKQKNVDEAVEKLKAKGIYVLGIVCHVSDAQQRKNLINKTIEKYGKIDVIVSNAAVNPVNVPMLQTKESILDKLWETNVKASILLLQDAAPHLQKGSSIIFVSSYGGYHPQPSMAMYGVTKTALLGLTKALAAEMAPNVRVNCIAPGFVPTRFAAFVTANEATKKSFEDKTLLKRLGTPEEIAAATAFLASDDASYMTGETIIVAGGTPSRL >KJB69204 pep chromosome:Graimondii2_0_v6:11:765779:769235:-1 gene:B456_011G010700 transcript:KJB69204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEMKIGRRFEGKVAIVTASTQGIGFSIAERLGLEGAAVVVSSRKQKNVDEAVEKLKNKGIQVLGVVCQVSNAQQRKDLINKTVEKYGKIDVVVSNAAANPTVSLLLETPESVLDKVWEINVKASVLLLQVSSSLLAEGFISCSYFLNYGLSPSDCYGYVWDN >KJB69206 pep chromosome:Graimondii2_0_v6:11:766625:769235:-1 gene:B456_011G010700 transcript:KJB69206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEMKIGRRFEGKVAIVTASTQGIGFSIAERLGLEGAAVVVSSRKQKNVDEAVEKLKNKGIQVLGVVCQVSNAQQRKDLINKTVEKYGKIDVVVSNAAANPTVSLLLETPESVLDKVWEINVKASVLLLQEAAPYLQKGSSVVLISSIMGYHPQIAMAMYGITKTALLGLTKALAKEMAPDTRVNCVAPGLVPTNFAAFLTKDEVLVSN >KJB69202 pep chromosome:Graimondii2_0_v6:11:765779:769235:-1 gene:B456_011G010700 transcript:KJB69202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEMKIGRRFEGKVAIVTASTQGIGFSIAERLGLEGAAVVVSSRKQKNVDEAVEKLKNKGIQVLGVVCQVSNAQQRKDLINKTVEKYGKIDVVVSNAAANPTVSLLLETPESVLDKVWEINVKASVLLLQKGCDLLVLTTAGGSSLLAEGFISCSYFLNYGLSPSDCYGYVWDN >KJB69203 pep chromosome:Graimondii2_0_v6:11:765779:769235:-1 gene:B456_011G010700 transcript:KJB69203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEMKIGRRFEGKVAIVTASTQGIGFSIAERLGLEGAAVVVSSRKQKNVDEAVEKLKNKGIQVLGVVCQVSNAQQRKDLINKTVEKYGKIDVVVSNAAANPTVSLLLETPESVLDKVWEINVKASVLLLQEAAPYLQKGSSVVLISSIMGYHPQIAMAMYGITKTALLGLTKLNIF >KJB69201 pep chromosome:Graimondii2_0_v6:11:765767:769235:-1 gene:B456_011G010700 transcript:KJB69201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEMKIGRRFEGKVAIVTASTQGIGFSIAERLGLEGAAVVVSSRKQKNVDEAVEKLKNKGIQVLGVVCQVSNAQQRKDLINKTVEKYGKIDVVVSNAAANPTVSLLLETPESVLDKVWEINVKASVLLLQEAAPYLQKGSSVVLISSIMGYHPQIAMAMYGITKTALLGLTKALAKEMAPDTRVNCVAPGLVPTNFAAFLTKDEVLRKTAEESTLLGKLGTPGDMAAAASFLASDDASYITGETLVVAGGAPSRL >KJB69200 pep chromosome:Graimondii2_0_v6:11:764750:769235:-1 gene:B456_011G010700 transcript:KJB69200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEMKIGRRFEGKVAIVTASTQGIGFSIAERLGLEGAAVVVSSRKQKNVDEAVEKLKNKGIQVLGVVCQVSNAQQRKDLINKTVEKYGKIDVVVSNAAANPTVSLLLETPESVLDKVWEINVKASVLLLQEAAPYLQKGSSVVLISSIMGYHPQIAMAMYGITKTALLGLTKALAKEMAPDTRVNCVAPGLVPTNFAAFLTKDEVLRKTAEESTLLGKLGTPGDMAAAASFLASDDASYITGETLVVAGGAPSRLYILLVTYTGK >KJB69205 pep chromosome:Graimondii2_0_v6:11:765779:769235:-1 gene:B456_011G010700 transcript:KJB69205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEMKIGRRFEGKVAIVTASTQGIGFSIAERLGLEGAAVVVSSRKQKNVDEAVEKLKNKGIQVLGVVCQVSNAQQRKDLINKTVEKYGKIDVVVSNAAANPTVSLLLETPESVLDKVWEINVKASVLLLQEAAPYLQKGSSVVLISSIMGYHPQIAMAMYGITKTALLGLTKALAKEMAPDTRVNCVAPGLVPTNFAAFLTKDEVLVRRRLRKAHYLENLAPRGIWLLQPLSWHPMTLVISPEKLLWWLGEPPQDFSKFLKVNITLTATM >KJB71450 pep chromosome:Graimondii2_0_v6:11:17575270:17578278:-1 gene:B456_011G124200 transcript:KJB71450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLSLALLKLSFHLSPFTPCLSLVPDKSLSAERNNIPWREMTKEILESDVYKELNTIQNTSLQYPDYYLSPFHAYDEGNLSWLAAAEAEVATMSMTRRAIPYAPSNEEATQEMRGNWVQAIKQHHMKHSGNVTIQNIVDIGCSVGVSTRFLADEFPSAKVTGLDLSPYFLSVAQYKEKKRPPRKNPIRWIHAAGENTGLPSKSFDLVSFSYVFHECPERAIIALVNEAFRLLRPGGTLAITDQAPKSKILQELSPALFTLMKSTEPFLNEYYLTDLEERLREAGFVNMKTLLTDPRHMTMTATVPHQNV >KJB71451 pep chromosome:Graimondii2_0_v6:11:17575270:17578365:-1 gene:B456_011G124200 transcript:KJB71451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWATSCSSSEKLFLASSAATRRKMGSRRRDLVHVGMTDQAETETISIAYKEGDRERPKWADETPFSRLVEALISFKPFYSLLKLGARQVLISTAERNNIPWREMTKEILESDVYKELNTIQNTSLQYPDYYLSPFHAYDEGNLSWLAAAEAEVATMSMTRRAIPYAPSNEEATQEMRGNWVQAIKQHHMKHSGNVTIQNIVDIGCSVGVSTRFLADEFPSAKVTGLDLSPYFLSVAQYKEKKRPPRKNPIRWIHAAGENTGLPSKSFDLVSFSYVFHECPERAIIALVNEAFRLLRPGGTLAITDQAPKSKILQELSPALFTLMKSTEPFLNEYYLTDLEERLREAGFVNMKTLLTDPRHMTMTATVPHQNV >KJB73004 pep chromosome:Graimondii2_0_v6:11:50155252:50155923:1 gene:B456_011G208700 transcript:KJB73004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSPPNVVEAYAQQFQKDFINFLSLRSKEILPQGRMVLTFTARKNPNPSNEDYGLELVAESLLELVAEGVVKEADVDSFNIPLYAPCKEEVAEIVEKEGSFGIKELQVFVVDTDPRNRDDKKHLDFNIYTQMGKNYANTMRAVLESILCSHFGDAILDELFKRFATNAADPLRNSMLQKKVNVVVSLAKK >KJB69123 pep chromosome:Graimondii2_0_v6:11:517866:518196:1 gene:B456_011G006500 transcript:KJB69123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAVTACISSTTISHHQITEPVRSVPLSPPSDVPSLSRYESQKQRDWTTFGNYLKNHRPPLVLSRCSGAHVLGVPQTVCSLATFTHRHHALALGCSC >KJB69966 pep chromosome:Graimondii2_0_v6:11:4007915:4016078:-1 gene:B456_011G051500 transcript:KJB69966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEVRHVAGIEDCFVSLPLLLIQTLQSTRSSLLPPLLTLELRLPRASDDPWIVAWSGATSSSTAIEVSHQFAECISLPNHTTVQVRAASNLAKATLVTMEPDTEDDWEILELNSEHAEAAILKQVRIVYEGMRFPLWLHGRTIITFHVISTFPKKAVVQLVPGTEVAVAPKRRKKNLNNIESSTGESHGAKALLRLQDSDRRLFHKSNVKGVELGVALTSVAFIHQETAKRLSLESLQLVVIVPRLSAKESVKNLENDASRMKRSLTSKEVNSGISIDNKEFRQVIVRLLISDSVAKGHLMVTRSLRLYLRAGLHSWVYLKGYNAALKKEIPVLSLSPCHFKLVANDKAIGNGLEMLDRHKTHRSQNLLPISGSGTSLGVVNWSTHENVVAALSSEFPYQEAGDCNHQDNKKGLECLLQAWFLAQLDAIASNAGTEVNTLILGSESLLHFQVTIHDSGTYGLVSSNGFSEKRNKTKDLPIEISYILTISEETLHSGQVNAYELSFDDGNKRVDVQGGVELFGKLTLGNPVSLCSVKDRTSVKGFSTDVSSLSWMGATASDVINRLMVLLAPSSGIWFSTYNLPFPGHVLIYGPAGSGKTLLARAVAKSLEEHEDLLAHVIFISCSGLSLEKAPTIRQALSSFISEALDHAPSVVVFDDLDSIIQSSSDSEGSQPSTSVVALTKFLTDIMDEFGEKRKSSCGIGPVAFIASVQSLESIPQSLSSSGRFDFHVQLPAPAASERGAILKHEIQRRSLQCHDDIIMDVASKCDGYDAYDLEILVDRAVHAAVGRFLPSDSGSEEHMNPMLVRDDFSHAMHEFLPVAMRDITISAPDVGRSGWDDVGGLNDIRDAIKEMIELPSKFPNIFAKAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATRPDLLDAALLRPGRLDRLLFCDFPSPRERLDILTVLSRKLPLASDVDLDAIAYMTEGFSGADLQALLSDAQLAAVHEHLSSANSNEPGKMPVITDTVLKSIASKARPSVSEAEKQRLYGIYSQFLDSKRSAAAQSRDAKGKRATLA >KJB69962 pep chromosome:Graimondii2_0_v6:11:4007901:4016078:-1 gene:B456_011G051500 transcript:KJB69962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEVRHVAGIEDCFVSLPLLLIQTLQSTRSSLLPPLLTLELRLPRASDDPWIVAWSGATSSSTAIEVSHQFAECISLPNHTTVQVRAASNLAKATLVTMEPDTEDDWEILELNSEHAEAAILKQVRIVYEGMRFPLWLHGRTIITFHVISTFPKKAVVQLVPGTEVAVAPKRRKKNLNNIESSTGESHGAKALLRLQDSDRRLFHKSNVKGVELGVALTSVAFIHQETAKRLSLESLQLVVIVPRLSAKESVKNLENDASRMKRSLTSKEVNSGISIDNKEFRQVIVRLLISDSVAKGHLMVTRSLRLYLRAGLHSWVYLKGYNAALKKEIPVLSLSPCHFKLVANDKAIGNGLEMLDRHKTHRSQNLLPISGSGTSLGVVNWSTHENVVAALSSEFPYQEAGDCNHQDNKKGLECLLQAWFLAQLDAIASNAGTEVNTLILGSESLLHFQVTIHDSGTYGLVSSNGFSEKRNKTKDLPIEISYILTISEETLHSGQVNAYELSFDDGNKRVDVQGGVELFGKLTLGNPVSLCSVKDRTSVKGFSTDVSSLSWMGATASDVINRLMVLLAPSSGIWFSTYNLPFPGHVLIYGPAGSGKTLLARAVAKSLEEHEDLLAHVIFISCSGLSLEKAPTIRQALSSFISEALDHAPSVVVFDDLDSIIQSSSDSEGSQPSTSVVALTKFLTDIMDEFGEKRKSSCGIGPVAFIASVQSLESIPQSLSSSGRFDFHVQLPAPAASERGAILKHEIQRRSLQCHDDIIMDVASKCDGYDAYDLEILVDRAVHAAVGRFLPSDSGSEEHMNPMLVRDDFSHAMHEFLPVAMRDITISAPDVGRSGWDDVGGLNDIRDAIKEMIELPSKFPNIFAKAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSPRERLDILTVLSRKLPLASDVDLDAIAYMTEGFSGADLQALLSDAQLAAVHEHLSSANSNEPGKMPVITDTVLKSIASKARPSVSEAEKQRLYGIYSQFLDSKRSAAAQSRDAKGKRATLA >KJB69963 pep chromosome:Graimondii2_0_v6:11:4008183:4016078:-1 gene:B456_011G051500 transcript:KJB69963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEVRHVAGIEDCFVSLPLLLIQTLQSTRSSLLPPLLTLELRLPRASDDPWIVAWSGATSSSTAIEVSHQFAECISLPNHTTVQVRAASNLAKATLVTMEPDTEDDWEILELNSEHAEAAILKQVRIVYEGMRFPLWLHGRTIITFHVISTFPKKAVVQLVPGTEVAVAPKRRKKNLNNIESSTGESHGAKALLRLQDSDRRLFHKSNVKGVELGVALTSVAFIHQETAKRLSLESLQLVVIVPRLSAKESVKNLENDASRMKRSLTSKEVNSGISIDNKEFRQVIVRLLISDSVAKGHLMVTRSLRLYLRAGLHSWVYLKGYNAALKKEIPVLSLSPCHFKLVANDKAIGNGLEMLDRHKTHRSQNLLPISGSGTSLGVVNWSTHENVVAALSSEFPYQEAGDCNHQDNKKGLECLLQAWFLAQLDAIASNAGTEVNTLILGSESLLHFQVTIHDSGTYGLVSSNGFSEKRNKTKDLPIEISYILTISEETLHSGQVNAYELSFDDGNKRVDVQGGVELFGKLTLGNPVSLCSVKDRTSVKGFSTDVSSLSWMGATASDVINRLMVLLAPSSGIWFSTYNLPFPGHVLIYGPAGSGKTLLARAVAKSLEEHEDLLAHVIFISCSGLSLEKAPTIRQALSSFISEALDHAPSVVVFDDLDSIIQSSSDSEGSQPSTSVVALTKFLTDIMDEFGEKRKSSCGIGPVAFIASVQSLESIPQSLSSSGRFDFHVQLPAPAASERGAILKHEIQRRSLQCHDDIIMDVASKCDGYDAYDLEILVDRAVHAAVGRFLPSDSGSEEHMNPMLVRDDFSHAMHEFLPVAMRDITISAPDVGRSGWDDVGGLNDIRDAIKEMIELPSKFPNIFAKAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSPRERLDILTVLSRKLPLASDVDLDAIAYMTEGFSGADLQALLSDAQLAAVHEHLSSANSNEPGKMPVITDTVLKSIASKARPSVSEAEKQRLYGIYSQFLDSKRSAAAQVFVPCILNPLIFAASYVSHSLN >KJB69958 pep chromosome:Graimondii2_0_v6:11:4007843:4014030:-1 gene:B456_011G051500 transcript:KJB69958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTVDANLLLLNTLMVASFSNLRIHLLFNVFLLIALLYSMSIGVINWSTHENVVAALSSEFPYQEAGDCNHQDNKKGLECLLQAWFLAQLDAIASNAGTEVNTLILGSESLLHFQVTIHDSGTYGLVSSNGFSEKRNKTKDLPIEISYILTISEETLHSGQVNAYELSFDDGNKRVDVQGGVELFGKLTLGNPVSLCSVKDRTSVKGFSTDVSSLSWMGATASDVINRLMVLLAPSSGIWFSTYNLPFPGHVLIYGPAGSGKTLLARAVAKSLEEHEDLLAHVIFISCSGLSLEKAPTIRQALSSFISEALDHAPSVVVFDDLDSIIQSSSDSEGSQPSTSVVALTKFLTDIMDEFGEKRKSSCGIGPVAFIASVQSLESIPQSLSSSGRFDFHVQLPAPAASERGAILKHEIQRRSLQCHDDIIMDVASKCDGYDAYDLEILVDRAVHAAVGRFLPSDSGSEEHMNPMLVRDDFSHAMHEFLPVAMRDITISAPDVGRSGWDDVGGLNDIRDAIKEMIELPSKFPNIFAKAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSPRERLDILTVLSRKLPLASDVDLDAIAYMTEGFSGADLQALLSDAQLAAVHEHLSSANSNEPGKMPVITDTVLKSIASKARPSVSEAEKQRLYGIYSQFLDSKRSAAAQSRDAKGKRATLA >KJB69964 pep chromosome:Graimondii2_0_v6:11:4007915:4013982:-1 gene:B456_011G051500 transcript:KJB69964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTVDANLLLLNTLMVASFSNLRIHLLFNVFLLIALLYSMSIGVIVNWSTHENVVAALSSEFPYQEAGDCNHQDNKKGLECLLQAWFLAQLDAIASNAGTEVNTLILGSESLLHFQVTIHDSGTYGLVSSNGFSEKRNKTKDLPIEISYILTISEETLHSGQVNAYELSFDDGNKRVDVQGGVELFGKLTLGNPVSLCSVKDRTSVKGFSTDVSSLSWMGATASDVINRLMVLLAPSSGIWFSTYNLPFPGHVLIYGPAGSGKTLLARAVAKSLEEHEDLLAHVIFISCSGLSLEKAPTIRQALSSFISEALDHAPSVVVFDDLDSIIQSSSDSEGSQPSTSVVALTKFLTDIMDEFGEKRKSSCGIGPVAFIASVQSLESIPQSLSSSGRFDFHVQLPAPAASERGAILKHEIQRRSLQCHDDIIMDVASKCDGYDAYDLEILVDRAVHAAVGRFLPSDSGSEEHMNPMLVRDDFSHAMHEFLPVAMRDITISAPDVGRSGWDDVGGLNDIRDAIKEMIELPSKFPNIFAKAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSPRERLDILTVLSRKLPLASDVDLDAIAYMTEGFSGADLQALLSDAQLAAVHEHLSSANSNEPGKMPVITDTVLKSIASKARPSVSEAEKQRLYGIYSQFLDSKRSAAAQSRDAKGKRATLA >KJB69960 pep chromosome:Graimondii2_0_v6:11:4007843:4016208:-1 gene:B456_011G051500 transcript:KJB69960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTWSNNHNLPCHFNFSQESVQLVPGTEVAVAPKRRKKNLNNIESSTGESHGAKALLRLQDSDRRLFHKSNVKGVELGVALTSVAFIHQETAKRLSLESLQLVVIVPRLSAKESVKNLENDASRMKRSLTSKEVNSGISIDNKEFRQVIVRLLISDSVAKGHLMVTRSLRLYLRAGLHSWVYLKGYNAALKKEIPVLSLSPCHFKLVANDKAIGNGLEMLDRHKTHRSQNLLPISGSGTSLGVVNWSTHENVVAALSSEFPYQEAGDCNHQDNKKGLECLLQAWFLAQLDAIASNAGTEVNTLILGSESLLHFQVTIHDSGTYGLVSSNGFSEKRNKTKDLPIEISYILTISEETLHSGQVNAYELSFDDGNKRVDVQGGVELFGKLTLGNPVSLCSVKDRTSVKGFSTDVSSLSWMGATASDVINRLMVLLAPSSGIWFSTYNLPFPGHVLIYGPAGSGKTLLARAVAKSLEEHEDLLAHVIFISCSGLSLEKAPTIRQALSSFISEALDHAPSVVVFDDLDSIIQSSSDSEGSQPSTSVVALTKFLTDIMDEFGEKRKSSCGIGPVAFIASVQSLESIPQSLSSSGRFDFHVQLPAPAASERGAILKHEIQRRSLQCHDDIIMDVASKCDGYDAYDLEILVDRAVHAAVGRFLPSDSGSEEHMNPMLVRDDFSHAMHEFLPVAMRDITISAPDVGRSGWDDVGGLNDIRDAIKEMIELPSKFPNIFAKAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSPRERLDILTVLSRKMHSLLRFMNI >KJB69959 pep chromosome:Graimondii2_0_v6:11:4008120:4015167:-1 gene:B456_011G051500 transcript:KJB69959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTWSNNHNLPCHFNFSQESVQLVPGTEVAVAPKRRKKNLNNIESSTGESHGAKALLRLQDSDRRLFHKSNVKGVELGVALTSVAFIHQETAKRLSLESLQLVVIVPRLSAKESVKNLENDASRMKRSLTSKEVNSGISIDNKEFRQVIVRLLISDSVAKGHLMVTRSLRLYLRAGLHSWVYLKGYNAALKKEIPVLSLSPCHFKLVANDKAIGNGLEMLDRHKTHRSQNLLPISGSGTSLGVVNWSTHENVVAALSSEFPYQEAGDCNHQDNKKGLECLLQAWFLAQLDAIASNAGTEVNTLILGSESLLHFQVTIHDSGTYGLVSSNGFSEKRNKTKDLPIEISYILTISEETLHSGQVNAYELSFDDGNKRVDVQGGVELFGKLTLGNPVSLCSVKDRTSVKGFSTDVSSLSWMGATASDVINRLMVLLAPSSGIWFSTYNLPFPGHVLIYGPAGSGKTLLARAVAKSLEEHEDLLAHVIFISCSGLSLEKAPTIRQALSSFISEALDHAPSVVVFDDLDSIIQSSSDSEGSQPSTSVVALTKFLTDIMDEFGEKRKSSCGIGPVAFIASVQSLESIPQSLSSSGRFDFHVQLPAPAASERGAILKHEIQRRSLQCHDDIIMDVASKCDGYDAYDLEILVDRAVHAAVGRFLPSDSGSEEHMNPMLVRDDFSHAMHEFLPVAMRDITISAPDVGRSGWDDVGGLNDIRDAIKEMIELPSKFPNIFAKAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSPRERLDILTVLSRKLPLASDVDLDAIAYMTEGFSGADLQALLSDAQLAAVHEHLSSANSNEPGKMPVITDTVLKSIASKARPSVSEAEKQRLYGIYSQFLDSKRSAAAQSRDAKGKRATLA >KJB69961 pep chromosome:Graimondii2_0_v6:11:4007843:4016208:-1 gene:B456_011G051500 transcript:KJB69961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEVRHVAGIEDCFVSLPLLLIQTLQSTRSSLLPPLLTLELRLPRASDDPWIVAWSGATSSSTAIEVSHQFAECISLPNHTTVQVRAASNLAKATLVTMEPDTEDDWEILELNSEHAEAAILKQVRIVYEGMRFPLWLHGRTIITFHVISTFPKKAVVQLVPGTEVAVAPKRRKKNLNNIESSTGESHGAKALLRLQDSDRRLFHKSNVKGVELGVALTSVAFIHQETAKRLSLESLQLVVIVPRLSAKESVKNLENDASRMKRSLTSKEVNSGISIDNKEFRQVIVRLLISDSVAKGHLMVTRSLRLYLRAGLHSWVYLKGYNAALKKEIPVLSLSPCHFKLVANDKAIGNGLEMLDRHKTHRSQNLLPISGSGTSLGVVNWSTHENVVAALSSEFPYQEAGDCNHQDNKKGLECLLQAWFLAQLDAIASNAGTEVNTLILGSESLLHFQVTIHDSGTYGLVSSNGFSEKRNKTKDLPIEISYILTISEETLHSGQVNAYELSFDDGNKRVDVQGGVELFGKLTLGNPVSLCSVKDRTSVKGFSTDVSSLSWMGATASDVINRLMVLLAPSSGIWFSTYNLPFPGHVLIYGPAGSGKTLLARAVAKSLEEHEDLLAHVIFISCSGLSLEKAPTIRQALSSFISEALDHAPSVVVFDDLDSIIQSSSDSEGSQPSTSVVALTKFLTDIMDEFGEKRKSSCGIGPVAFIASVQSLESIPQSLSSSGRFDFHVQLPAPAASERGAILKHEIQRRSLQCHDDIIMDVASKCDGYDAYDLEILVDRAVHAAVGRFLPSDSGSEEHMNPMLVRDDFSHAMHEFLPVAMRDITISAPDVGRSGWDDVGGLNDIRDAIKEMIELPSKFPNIFAKAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSPRERLDILTVLSRKMHSLLRFMNI >KJB69965 pep chromosome:Graimondii2_0_v6:11:4007915:4014030:-1 gene:B456_011G051500 transcript:KJB69965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTVGLMVLLAPSSGIWFSTYNLPFPGHVLIYGPAGSGKTLLARAVAKSLEEHEDLLAHVIFISCSGLSLEKAPTIRQALSSFISEALDHAPSVVVFDDLDSIIQSSSDSEGSQPSTSVVALTKFLTDIMDEFGEKRKSSCGIGPVAFIASVQSLESIPQSLSSSGRFDFHVQLPAPAASERGAILKHEIQRRSLQCHDDIIMDVASKCDGYDAYDLEILVDRAVHAAVGRFLPSDSGSEEHMNPMLVRDDFSHAMHEFLPVAMRDITISAPDVGRSGWDDVGGLNDIRDAIKEMIELPSKFPNIFAKAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSPRERLDILTVLSRKLPLASDVDLDAIAYMTEGFSGADLQALLSDAQLAAVHEHLSSANSNEPGKMPVITDTVLKSIASKARPSVSEAEKQRLYGIYSQFLDSKRSAAAQSRDAKGKRATLA >KJB68775 pep chromosome:Graimondii2_0_v6:11:8331715:8334607:-1 gene:B456_011G082800 transcript:KJB68775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAVLFANSEGNILVERFNGVPAEERLHWRSFLVKLGADNLKGVKNEELLVASHRSVYIVYTVLGDVSVYVVGKDEYDELALAEVIFVITSAVKDVCGKLPTERLFLDKYGRICLTLDEIIWKETVTFCVIANEHPFAFSSMDTAANVLPHPFS >KJB68777 pep chromosome:Graimondii2_0_v6:11:8331176:8334751:-1 gene:B456_011G082800 transcript:KJB68777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAVLFANSEGNILVERSVYIVYTVLGDVSVYVVGKDEYDELALAEVIFVITSAVKDVCGKLPTERLFLDKYGRICLTLDEIIWKGYLENTDKDRIRRLVRLKPPTEF >KJB68774 pep chromosome:Graimondii2_0_v6:11:8331176:8334751:-1 gene:B456_011G082800 transcript:KJB68774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAVLFANSEGNILVERFNGVPAEERLHWRSFLVKLGADNLKGVKNEELLVASHRSVYIVYTVLGDVSVYVVGKDEYDELALAEVIFVITSAVKDVCGKLPTERLFLDKYGRICLTLDEIIWKGYLENTDKDRIRRLVRLKPPTEF >KJB68776 pep chromosome:Graimondii2_0_v6:11:8331176:8334738:-1 gene:B456_011G082800 transcript:KJB68776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAVLFANSEGNILVERFNGVPAEERLHWRSFLVKLGADNLKGVKNEELLVASHRSVYIVYTVLGDVSVYVVGKDEYDELALAEVIFVITSAVKDVCGKLPTERLFLDKYGRICLTLDEIIWKGYLENTDKDRIRRLVRLKPPTEF >KJB68778 pep chromosome:Graimondii2_0_v6:11:8331176:8334715:-1 gene:B456_011G082800 transcript:KJB68778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAVLFANSEGNILVERFNGVPAEERLHWRSFLVKLGADNLKGVKNEELLVASHRSVYIVYTVLGDVSVYVVGKDEYDELALAEVIFVITSAVKDVCGKLPTERLFLDKYGRICLTLDEIIWKGYLENTDKDRIRRLVRLKPPTEF >KJB72765 pep chromosome:Graimondii2_0_v6:11:47215224:47216514:1 gene:B456_011G195700 transcript:KJB72765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MALNLAPKISVPNINSMERPQTKFGKLQFRCYVVEPSFSSRRRIIARTTTATLLAVLTFNCISTPLPVRAESDEDQGVAGAIKSLFDPNEKTKSGKVLPKAYVKSAREVVKTLRESLKEDPKDVAKFRRTADSAKESIRDYLSNWRGQEKLAGEESYMELEKAIRALASFYSKAGPSAPLPEEIKNEILNDLSTAEEFL >KJB72764 pep chromosome:Graimondii2_0_v6:11:47215061:47216609:1 gene:B456_011G195700 transcript:KJB72764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MALNLAPKISVPNINSMERPQTKFGKLQFRCYVVEPSFSSRRRIIARTTTATLLAVLTFNCISTPLPVRAESDEDQGVAGAIKSLFDPNEKTKSGKVLPKAYVKSAREVVKTLRESLKEDPKDVAKFRRTADSAKESIRDYLSNWRGQEKLAGEESYMELEKAIRALASFYSKAGPSAPLPEEIKNEILNDLSTAEEFL >KJB72766 pep chromosome:Graimondii2_0_v6:11:47215358:47216514:1 gene:B456_011G195700 transcript:KJB72766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MALNLAPKISVPNINSMERPQTKFGKLQFRCYVVEPSFSSRRRIIARTTTATLLAVLTFNCISTPLPVRAESDEDQGVAGAIKSLFDPNEKTKSGKVLPKAYVKSAREVVKTLRESLKEDPKDVAKFRRTADSAKESIRDYLSNWRGQEKLAGEESYMELEKAIRALASFYSKAGPSAPLPEEIKNEILNDLSTAEEFL >KJB73494 pep chromosome:Graimondii2_0_v6:11:55472151:55474380:-1 gene:B456_011G235000 transcript:KJB73494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAGPGYGGGAMSNSKSLAVQILKGPWLMVFGSLLIMSAAGATYMFSLYSGDIKESLGYDQTTLNYLSFFKDLGTNVGVLSGLIAEVTPPWFVLSVGAGLNFFGYFMIWLAVTKRIPTKVWQMCLYICIGANSQSFANTGSLVVCVKNFPESRGVVLGILKGYVGLSGAIITQLYHAFYGDDSKALILLIGWLPAAISLCFVRTIRIMKVAHQTNELKVFYKFLYISLGLASFLMVIIIVEKKLVFTQPEYGGSAAMVLFLLFLPLGVVIIEEYKLWEARNKALNDPNSPLKIVTEKPSSELSQDINADTPSASSTAKMLTGNEPTNNNQTNVSCWKTAFKPPNRGEDYTILQALFSLDMFVLFLASICGVGGTLTAIDNLGQIGTSLGYPKRSISTFVSLVSIWNYLGRVTSGFVSEIFLTKYRFPRPLMLTLIMLLSCVGHLLIAFNVPGGLYIASVIIGFCFGAQWPLLFAIISEIFGLKYYSTLYNFGSVASPIGSYILNVKVAGNLYDREAKKQMAALGIRRQAGEDLDCNGVDCFKLSFIIITAATLFGTVVSFILALRTRQFYKSDIYKKFREDVKAAEAEMAVAGDTTLLPEVKSSGNGKTG >KJB71495 pep chromosome:Graimondii2_0_v6:11:17784723:17789721:1 gene:B456_011G125500 transcript:KJB71495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAVLNVTLVLALFSCYFALLASVQAQLTYPPEVDALQAIRRKLKDPRKNLRNWRKGDPCVSNWTGVICTMPQPDGFLHIQELRMLNLNLTGKLVPELGQLSNLTVLNFMWNHNITGSIPKEIGNIKSLKFLLLSGNQLSGPLADELGFLPNLLMFQVDLNQITGSLPKSFVNLINCKHFHMNNNSISGQIPSELSSMPALIHFLLDNNNLTGNLPPEFSQMPKLRILQLDNNNFGGTEIPASYGNMSNLVKLSLRNCSLQGAIPDFSSIKTFRYLDLSRNQLTGGIPTNKLSDNVTTIDLSYNLLNGSIPSNFSGLPRLQRLSLENNLLTGDVPSDVWQNENFTATARLIIDFRNNSLLNISGSIDPPSNVTIRLEGNPVCASANQLNIARFCGITVGDDDFVPGTGDETGSSSNSSDSCKPQSCPMDDNFEFVPDSPVDCFCAAPFEVGLRLRSPTISDFRPYIIPYKEFITFNLGLDLYQLYVKSFIWQEGPRLRLFLKVFPQYINNTNKFNNSEIQRIRDIIATFAIPSNDTFGPYELIDFTLLGPYSNIDLQPLESGGISKGTLTGIILGTISFLVAISLAITVFIYKRHTKSGHEMSKKQSSGKVPIRTESVKEFSFVELEAATDGFKDNVRIGQGGYGKVYKGILANGTVVAVKRAQQGSLQGQTEFITEIQLLSRLHHRNLVSLIGYCSEQDEQMLVYEFMPNGSLHDLLSDRYRHTLSFPMRMRIALGSAKGILYLHNEAYPPIIHRDIKANNILLDFKFAPKVSDFGISRLAPLPDAEGTSAHVSTLVKGTPGYLDPEYFLTHKLTDKSDVYSLGIVFLELLTGMLPISHGRNIVREVFGACQSGLMFSIIDQSMGAYSSEIIKKFMALALKCCLDDPKERPTMLEVVRELENLCSQLSETEMSAPGTGSESDASNASPSLPLYSGRNSQPTTEIYGSELVSGVIPTIRPR >KJB71776 pep chromosome:Graimondii2_0_v6:11:22336196:22336991:-1 gene:B456_011G141700 transcript:KJB71776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGEICGVLRDYFIWIATVTLYDCVHDGTKIHQLTLNSVSHVTSRASLGHTNPGLNINGKTRWIFILLFLSLWTFLCYFIIIPYIYALTPCILWILKI >KJB74165 pep chromosome:Graimondii2_0_v6:11:60938476:60939591:-1 gene:B456_011G276900 transcript:KJB74165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YWCSSFQTPHFISNNYHNNLENNHLNLLLSRCDGNTFQLYFSQLSNEKYQNYMVKQNIHLPFFRNDIPSIYGACHGLLCLFDPSKDKAAIWNPSNREFKILPPSSIQRPPYFSPFEETYLTLDGVSFDHAAFGFDSKTDDYKFIRFVTLTFVNSEEEYAHPDFIYQVELYSLRSNSWKEIPYPDYNPNGKTLGNNYVDGICYWKTETGAYLDFRGLILSFDMRNDKFSVLPIPEFVGSFPEYYVDLLVFNGSLGAIVYPTERIDTSCDLWVTSEGVWTKQFNIKSISGVVHPLMGFGKNSDLFLRDTNDEVLLFDASTQELKKLEINTDLDHLRFAISLHSYLESLVRINGIQEVEKYVICQPTRNASNEY >KJB74259 pep chromosome:Graimondii2_0_v6:11:61610444:61612540:-1 gene:B456_011G283600 transcript:KJB74259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVDLDWKAKMVSSDISNKSSKLSIPAPFRLLNMSSPLSTSASASSAYEYYLRLPELRMLWEAKEFPDWQNEVVLKPALHALETTFRFISIVLSDPRPYSNRREWTRRLESLATSQIELIAMICEDENEDKTTAGTAPIVDLTSSNGVLARECSSTEVWKVHGETTVVNRTSESSLLPRLATWQKSEDVAEKILYSIECEMRRCPYTLGLGEPNLSGKPNLDYDAVCKPNELHAVKKSPYDHVDNHENATLYTVHQILEAWIQTAKQVLKRIVSRIDAGNFETAANDGYLTEKIWKLLSEIEDLHLLMDPDDFLRLKSQLMIKSVNETEAFCFRSKGLVEITKMSKELKHKVPFILGVEVDPKGGPRIQEAAMKLYAEKEEGNKVFLVQALQAIEGALKRFFYGYKQVLVVVMGSLEAKGNRVVTSSDSGDSLSQIFLEPTYFPSLDAAKTFLGEFWSRGQGASGLTRWMKK >KJB71076 pep chromosome:Graimondii2_0_v6:11:12034512:12035716:1 gene:B456_011G104500 transcript:KJB71076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATPPSSSSPALDTFRDIILWRRKKVSATVVLVSTATWILLQVYQFNFITVASWLIIFILASLFLWGNVLRLLGKEPPNVSDFDISEQTTMEITNTYRTFLEDVLRWMFHVTVEENWFVFARTLAGLSILSYVGAFFDFLTLVYIGITMVMIVPVIYMKYGDQIQRSGERVKGEMGRFYEIFDEKVVRQRMSKFGKQEKEKKND >KJB69937 pep chromosome:Graimondii2_0_v6:11:3958433:3961959:-1 gene:B456_011G050700 transcript:KJB69937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYRLGNRQAFNLAFQKLGLDCANWTEPVYSDLVRRSAGNEEKMLVLYFNRIGWPTSLPTSEKEPFVKSVLREKKNALEELMLKSLPLRPGVEDFIDDACNKGIPVIILTAYSRSGEKTARSIVEKLGDERLSKIKVVGNEEVEKSLYGQLVFGKGMSSSLDEQLAKEARKAASAEKQRIAEEVASLLKVSVNIDTSSSERLEKIVASLRAGAEIAEVPVYNCILVAGSKSGLAAAEQIGMPRVALRSSFTSRAEFPTANAIMDGFGGADLTISKLCQKRWS >KJB69939 pep chromosome:Graimondii2_0_v6:11:3958433:3961902:-1 gene:B456_011G050700 transcript:KJB69939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPQKDLTFQKLGLDCANWTEPVYSDLVRRSAGNEEKMLVLYFNRIGWPTSLPTSEKEPFVKSVLREKKNALEELMLKSLPLRPGVEDFIDDACNKGIPVIILTAYSRSGEKTARSIVEKLGDERLSKIKVVGNEEVEKSLYGQLVFGKGMSSSLDEQLAKEARKAASAEKQRIAEEVASLLKVSVNIDTSSSERLEKIVASLRAGAEIAEVPVYNCILVAGSKSGLAAAEQIGMPRVALRSSFTSRAEFPTANAIMDGFGGADLTISKLCQKRWS >KJB69935 pep chromosome:Graimondii2_0_v6:11:3958433:3961902:-1 gene:B456_011G050700 transcript:KJB69935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYQFCGLKSGILWQIGWPTSLPTSEKEPFVKSVLREKKNALEELMLKSLPLRPGVEDFIDDACNKGIPVIILTAYSRSGEKTARSIVEKLGDERLSKIKVVGNEEVEKSLYGQLVFGKGMSSSLDEQLAKEARKAASAEKQRIAEEVASLLKVSVNIDTSSSERLEKIVASLRAGAEIAEVPVYNCILVAGSKSGLAAAEQIGMPRVALRSSFTSRAEFPTANAIMDGFGGADLTISKLCQKRWS >KJB69940 pep chromosome:Graimondii2_0_v6:11:3959495:3961902:-1 gene:B456_011G050700 transcript:KJB69940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METASCSILHTLAFSNNTATATINNNHHLFLPPKTNPSFSSTFPRNFNFHGKPLQFNGFTAFSSPSSAQSQNPPQELAVLLEVDGVIMDAYRLGNRQAFNLAFQKLGLDCANWTEPVYSDLVRRSAGNEEKMLVLYFNRIGWPTSLPTSEKEPFVKSVLREKKNALEELMLKSLPLRPGVEDFIDDACNKGIPVIILTAYSRSGEKTARSIVEKLGDERLSKIKVVGNEEVEKSLYGQLVFGKGMSSSLDEQLAKEARKAGIYSRSLYLVRKWYAFFQFGLLVLKGFALASLPASAEKQRIAEEVASLLKVSVNIDTSSSERSDLYFLFLFFRFPFSN >KJB69936 pep chromosome:Graimondii2_0_v6:11:3958719:3960935:-1 gene:B456_011G050700 transcript:KJB69936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYQFCGLKSGILWQIGWPTSLPTSEKEPFVKSVLREKKNALEELMLKSLPLRPGVEDFIDDACNKGIPVIILTAYSRSGEKTARSIVEKLGDERLSKIKVVGNEEVEKSLYGQLVFGKGMSSSLDEQLAKEARKAASAEKQRIAEEVASLLKVSVNIDTSSSERLEKIVASLRAGAEIAEVPVYNCILVAGSKSGLAAAEQIGMPRVALRSSFTSRAEFPTANAIMDGFGGADLTISKLCQKRWS >KJB69938 pep chromosome:Graimondii2_0_v6:11:3958433:3961959:-1 gene:B456_011G050700 transcript:KJB69938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METASCSILHTLAFSNNTATATINNNHHLFLPPKTNPSFSSTFPRNFNFHGKPLQFNGFTAFSSPSSAQSQNPPQELAVLLEVDGVIMDAYRLGNRQAFNLAFQKLGLDCANWTEPVYSDLVRRSAGNEEKMLVLYFNRIGWPTSLPTSEKEPFVKSVLREKKNALEELMLKSLPLRPGVEDFIDDACNKGIPVIILTAYSRSGEKTARSIVEKLGDERLSKIKVVGNEEVEKSLYGQLVFGKGMSSSLDEQLAKEARKAASAEKQRIAEEVASLLKVSVNIDTSSSERLEKIVASLRAGAEIAEVPVYNCILVAGSKSGLAAAEQIGMPRVALRSSFTSRAEFPTANAIMDGFGGADLTISKLCQKRWS >KJB70616 pep chromosome:Graimondii2_0_v6:11:8407592:8408342:-1 gene:B456_011G083100 transcript:KJB70616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKSKIVKEKKKRGMDVVDGKKDCGKLEGDETLKNGKKLNNGGVKKFRATDVAPANATKEVYASIFTSSKKLDFKD >KJB68893 pep chromosome:Graimondii2_0_v6:11:8825028:8828489:1 gene:B456_011G085500 transcript:KJB68893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNNWRSTPPSGEPTTDTGDWRTQLQPDSRQRIINKIMETFMRHLPFSGQDGLNELRKIAVRFEEKIFTAATSQSDYLKRISLKMLTVEIKSQNTVPNTRDNSIPPDPGHAEPSSQSRAINSYLFTK >KJB68892 pep chromosome:Graimondii2_0_v6:11:8824914:8828516:1 gene:B456_011G085500 transcript:KJB68892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNNWRSTPPSGEPTTDTGDWRTQLQPDSRQRIINKIMETFMRHLPFSGQDGLNELRKIAVRFEEKIFTAATSQSDYLKRISLKMLTVEIKSQNTVPNTRDNSIPPDPGSQGMQNQVHSQGQSIPISLQSNQSQAQLLPQSVPNNMASAGVQSSAGLQSEMPAVSGLTLSPVSDVVEQHE >KJB69534 pep chromosome:Graimondii2_0_v6:11:2110404:2114918:1 gene:B456_011G028800 transcript:KJB69534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLAARLSWRMEHEPLHPLISQKIHWQPDLSFRPFNYTAAPIIENMGSTAGTSSVVKPSPPAFVGAPMEKSSKASLIKELVALGLLKAVAAPFERVKLLLQNQKDIIKSGRLHKPYNGILHCFATTIRNEGIFSLWRGYTAMTMVHVSATVIRFGIFQYTKNLDDTQWSYTRVVVSSYVASAATQFLVYPFLYAATRMATDVKTIGSNTGDRQFNGMIDVFRKTLKSDGIVGLYRGFNITLGELVMMGALSKGLNPWKQHYSYILRNNFLSSHMVDFGFWISGNMATYPLDTVSRRMMMTSGSGTVKYKSTVHAIGQITKTEGVKTFYNGAGAEILACAANRATLLLMIYVADVTRAAKEKQY >KJB68726 pep chromosome:Graimondii2_0_v6:11:55787626:55789312:-1 gene:B456_011G2366001 transcript:KJB68726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNTLSQLHKSGLALPKKLKKGSKLTKAILAFTLPCLLLLIQPVQGASKTPTLQYGTFGRRNNAPSTHPPPVNDPTRGCNAANGCRQFTLSLENRRGTLVEAVGNVTVAEGTLNHREPGTEAIAAGIATWFLNKTESNSNTYGRLSISQDPISSAAVSSQMLQLQ >KJB68725 pep chromosome:Graimondii2_0_v6:11:55787626:55789239:-1 gene:B456_011G2366001 transcript:KJB68725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCRATPSLSFIRSAVDDEALLKESGLALPKKLKKGSKLTKAILAFTLPCLLLLIQPVQGASKTPTLQYGTFGRRNNAPSTHPPPVNDPTRGCNAANGCRQFTLSLENRRGTLVEAVGNVTVAEGTLNHREPGTEAIAAGIATWFLNKTESNSNTYGRLSISQDPISSAAVSSQMLQLQ >KJB68723 pep chromosome:Graimondii2_0_v6:11:55786424:55789306:-1 gene:B456_011G2366001 transcript:KJB68723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNTLSQLHKSGLALPKKLKKGSKLTKAILAFTLPCLLLLIQPVQGASKTPTLQYGTFGRRNNAPSTHPPPVNDPTRGCNAANGCRQFTLSLENRRGTLVEAVGNVTVAEGTLNHREPGTEAIAAGIATWFLNKTESNSNTYGRLSISQDPISSAAVSSQMLQLQAVSRGIARTGLQVYTSMSTEDNSKIPPADGNIEIKVNSTSNMVDLRFFTSLEISLGEADIIRVLESAKTILQSSRH >KJB68724 pep chromosome:Graimondii2_0_v6:11:55787293:55789312:-1 gene:B456_011G2366001 transcript:KJB68724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNTLSQLHKSGLALPKKLKKGSKLTKAILAFTLPCLLLLIQPVQGASKTPTLQYGTFGRRNNAPSTHPPPVNDPTRGCNAANGCRQFTLSLENRRGTLVEAVGNVTVAEGTLNHREPGTEAIAAGIATWFLNKTESNSNTYGRLSISQDPISSAAVSSQMLQLQVRFSCILEVLLPKPGK >KJB74051 pep chromosome:Graimondii2_0_v6:11:60107580:60110083:-1 gene:B456_011G269200 transcript:KJB74051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFGSPGKLSGLLLRIGQCFSAAASIGFMVSAHGFFNSTAFCYLIASMGIQVLWSFGLACLDFHALRSKTNLHNPVLVSLFVIGDWVTAILSLAAACSSAGVTVLYSRDLGYCRSPQIPCSHFKASILFAFISWFLLAVSSHVTFWLLAAV >KJB70516 pep chromosome:Graimondii2_0_v6:11:7597494:7599819:1 gene:B456_011G077200 transcript:KJB70516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSLKFEKEMVQTSERVKYVDLHPSKPWILAALYSGNVCIWNYHSQKIEKSFKVTESPVRSAKFLVRENWIVVGADDGHIRVYNYDTKEMIKDIEAHTDYIRSLIIHLTLPYVLSSSDDKLIKLWDWEKDWICSKVFEGHQHYVMQAAFDPNDLNTFASASLDGTIKIWNMDSGSLDFTLDAHSKGINCIEYFMAGDKPFLISGSDDYTAKVWDYETKCCVQKLEGHTHNVTATSVHPQLPIIITCSEDGTVRVWDKTSYRLDNTLAYGLERVWTVAYMKDSSKVVFGCDKGTIVVKISGSNGSDSADV >KJB70517 pep chromosome:Graimondii2_0_v6:11:7597494:7599819:1 gene:B456_011G077200 transcript:KJB70517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMVQTSERVKYVDLHPSKPWILAALYSGNVCIWNYHSQKIEKSFKVTESPVRSAKFLVRENWIVVGADDGHIRVYNYDTKEMIKDIEAHTDYIRSLIIHLTLPYVLSSSDDKLIKLWDWEKDWICSKVFEGHQHYVMQAAFDPNDLNTFASASLDGTIKIWNMDSGSLDFTLDAHSKGINCIEYFMAGDKPFLISGSDDYTAKVWDYETKCCVQKLEGHTHNVTATSVHPQLPIIITCSEDGTVRVWDKTSYRLDNTLAYGLERVWTVAYMKDSSKVVFGCDKGTIVVKISGSNGSDSADV >KJB71218 pep chromosome:Graimondii2_0_v6:11:13326515:13334229:-1 gene:B456_011G111000 transcript:KJB71218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFSSSSNHRHPPPPGGHKPYLQRQHQQSSYQNKFRRVPNFQAVNNQYYRRRFLPPASTTEGSVNSNALHRPNFIIQLLHDFSSSPSKPNNLQTLISQLDPSPQNSHIYTTGKIAASLIFQEWSKTLSSVLHLWRSRLDGSIHYTPKLISNVIVPSDLVELNQNLKTLFSSHITGLMEGELVRKWQKKINEKSDEIADLSGQMGKRKYSLGRFYELDDKKKALKAERSTISKRLKEFKGGMRSLLRCLETGEIGNEEGDEGVEVYRVEGELDWKLIHQLILRECRRLEDGLPIYAHRQEILTRIHGQQVTVLIGETGSGKSTQLVQFLSDSGIAANESIVCTQPRKIAAISLAKRVREESIGCYSDNSVICYSTFSSSQQFHSKVIYMTDHCLLQHYMKDKNLSGISCIIVDEAHERSLNTDLLLALVKDLLGRRFDLRLVIMSATANANQLSDYFFGCGIFHLEGRNFPVDIKYVPCATEGTSGSGMVATYVSYVLRMAAEVHKTEKEGNILAFLTSQMEVEWACDHFEAPNAIVLPLHGKLSFEEQCHVFQNYPGKRKIIFATNIAETSLTIPGVKYVIDSGMVKESKFEPGTGMNVLKVCWISQSSANQRAGRAGRTEPGRCYRLYTESDFELMTSNQEPEICRVHLGIAVLRILALGIKNIQTFDFVDAPSPKAIDSATRNLIQLGAIVEKNGVFELTDEGRYLVKLGIEPRLGKLIISCFHCGLCREGLVLAAVMANASSIFCRVGNDDDKVKADCLKVQFCHQNGDLFTLLSVYKEWEALPSDRKNKWCWENSINAKSMRRCQDTVTELEICLKKELAVIIPSYLIWDPHKSTERDKTLKAIILSSLAENVAMYSGHDQLGYEVALTRQYVQLHPSCSLLIFGQKPSWVVFGELLSITKQYLVCVTAFDYESLATLDPPPLFDASQMESRRLQVKALTGFGSTLLKKFCGKSNHNLRSLSSRIKTVCKDERIGVEVNVDQNEILLFASSVDMQKVLDFVTDVLECEKKWLHNECMEKPLFHGRSASPCMALFGAGAEIKHLEVDKRYLAVDVFHSNLNAIDDKELLMFFEKHSNGGICSVHKSQANGQEIDDKEKWGKIMFLTPDAARKAAELDGVEFSGSALKVLPSQTSFGGDHKMFSFPPVKAKLSWPRRLSKGIGIVRCDRLDVPDILYDFSSRLVIAGKYVNCGVSRKCDDSVVIYGIDKELSEAEIWDTLHSATEREIHDFFIVRGDAVKNPTCGACEEALWREISPFMPKGNPYTNCCWVQVFEPEPKETFMKALITFDGRLHLEAAKALEQLEGKVLPGCLSWQKIRCQQLFHSSISCSSSVYAVIKKQLDSLLASFRHVKGADCFLETNENGSCRVRISANATKTVAELRRPVEELMNGRTVKHASLTPSILQHLFSRDGINLMRSLQRETRTYILFDRHSLNIRIFGLPDDAAVAQQKLMQSLLSYHESKQLEVRLRGRGLPPDMMKEVVKKFGPDLHGLKEKIPGAEFTLNTRHHIISICGNKEMKQKVEEIVLQIAEAGRDLAVRSDSEVSCPICLCEVEDGYRLEGCSHFFCRSCLVEQCESAIKNLDSFPLCCAQQGCKAPILLTDLKSLLSTEKLEELFRASLGAFVVSSGGAYRFCPSPDCPSVYRVAGPETVGEPFVCGACYAETCTRCHLEYHPYLSCEKYREFKEDPDMSLKEWCKGKEQVKTCPVCGYTIEKIDGCNHVECKCGRHVCWVCLEFFSSSDDCYGHLRAVHMAII >KJB73328 pep chromosome:Graimondii2_0_v6:11:54389403:54389811:-1 gene:B456_011G230200 transcript:KJB73328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQVSRKTELHNFMLS >KJB73330 pep chromosome:Graimondii2_0_v6:11:54388961:54390827:-1 gene:B456_011G230200 transcript:KJB73330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLGFAGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KJB73331 pep chromosome:Graimondii2_0_v6:11:54388947:54390827:-1 gene:B456_011G230200 transcript:KJB73331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KJB73329 pep chromosome:Graimondii2_0_v6:11:54388961:54390908:-1 gene:B456_011G230200 transcript:KJB73329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KJB68923 pep chromosome:Graimondii2_0_v6:11:9116533:9117975:-1 gene:B456_011G087300 transcript:KJB68923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYERGPQDHYPPPGYSSPYPPPGYPSAPPPPSYEGYPPPPTGYPAYPPPPPRQPYDGYQGYFAEGYPPPPPPQPHPQYQHYHHYDPYHYQNQSDSGCFSFLQGWKQVMRVSF >KJB68925 pep chromosome:Graimondii2_0_v6:11:9115824:9118189:-1 gene:B456_011G087300 transcript:KJB68925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYERGPQDHYPPPGYSSPYPPPGYPSAPPPPSYEGYPPPPTGYPAYPPPPPRQPYDGYQGYFAEGYPPPPPPQPHPQYQHYHHYDPYHYQNQSDSGCFSFLQGWTAASSYDIIHEG >KJB68922 pep chromosome:Graimondii2_0_v6:11:9115852:9118146:-1 gene:B456_011G087300 transcript:KJB68922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYERGPQDHYPPPGYSSPYPPPGYPSAPPPPSYEGYPPPPTGYPAYPPPPPRQPYDGYQGYFAEGYPPPPPPQPHPQYQHYHHYDPYHYQNQSDSGCFSFLQGCLAALCCCCVLEDCCFFL >KJB68924 pep chromosome:Graimondii2_0_v6:11:9115852:9118146:-1 gene:B456_011G087300 transcript:KJB68924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYERGPQDHYPPPGYSSPYPPPGYPSAPPPPSYEGYPPPPTGYPAYPPPPPRQPYDGYQGYFAEGYPPPPPPQPHPQYQHYHHYDPYHYQNQSDSGCFSFLQGWYFGCSMLLLCVGGLLLLPMI >KJB69183 pep chromosome:Graimondii2_0_v6:11:689615:693310:-1 gene:B456_011G009400 transcript:KJB69183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDDPKTSLLPVNDRSQPSSPPPPPFSLKSMATLKNFCILSGPLLCAVICLCVTFDGPTTSRNMLAVTAWVFAWWLTEAVPMPITSIAPLFLFPLFGIASADGVARSYMDDVVTLLLGSFMLVLAVERYNVHRRLALNITLRFCGEPVSPPFLLLGICSTTAFVSMWMHNVACAVMMMPVATGILQRLPVNPTDGSTRAATPINNFCRAVVLGVTYAAPIGGMSTLTGTGVNLILAAIWKSSFPEAKPISFNTWFFFGFPLALLIFLALWVILCLLYLSKGSSMALAAYLDKAHLKRELDILGPMAFAEKMVLAVFGTTIALWMTRSITEDIPGWGALFHGRAGDGTVSVMVATLLFILPNGKQKGEKLMDWNECKKLPWNIILLLGAGFAIADGMQSSGLADILSKALDFLEQVPYIAIAPSCV >KJB69182 pep chromosome:Graimondii2_0_v6:11:690808:693310:-1 gene:B456_011G009400 transcript:KJB69182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDDPKTSLLPVNDRSQPSSPPPPPFSLKSMATLKNFCILSGPLLCAVICLCVTFDGPTTSRNMLAVTAWVFAWWLTEAVPMPITSIAPLFLFPLFGIASADGVARSYMDDVVTLLLGSFMLVLAVERYNVHRRLALNITLRFCGEPVSPPFLLLGICSTTAFVSMWMHNVACAVMMMPVATGILQRLPVNPTDGSTRAATPINNFCRAVVLGVTYAAPIGGMSTLTGTGVNLILAAIWKSSFPEAKPISFNTWFFFGFPLALLIFLALWVILCLLYLSKGSSMALAAYLDKAHLKRELDILGPMAFAEKMVLAVFGVKCRRR >KJB69184 pep chromosome:Graimondii2_0_v6:11:688370:693462:-1 gene:B456_011G009400 transcript:KJB69184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDDPKTSLLPVNDRSQPSSPPPPPFSLKSMATLKNFCILSGPLLCAVICLCVTFDGPTTSRNMLAVTAWVFAWWLTEAVPMPITSIAPLFLFPLFGIASADGVARSYMDDVVTLLLGSFMLVLAVERYNVHRRLALNITLRFCGEPVSPPFLLLGICSTTAFVSMWMHNVACAVMMMPVATGILQRLPVNPTDGSTRAATPINNFCRAVVLGVTYAAPIGGMSTLTGTGVNLILAAIWKSSFPEAKPISFNTWFFFGFPLALLIFLALWVILCLLYLSKGSSMALAAYLDKAHLKRELDILGPMAFAEKMVLAVFGTTIALWMTRSITEDIPGWGALFHGRAGDGTVSVMVATLLFILPNGKQKGEKLMDWNECKKLPWNIILLLGAGFAIADGMQSSGLADILSKALDFLEQVPAIITEFITSNDAAATLVVPLLSQMARTVNVHPLALMVPGAIGSQLAFMLPTGTPPNIVGFTTGHIDIMDMMKTGLLLKIVGTAIVSLLMPTLGAYVFETKGGLQ >KJB69359 pep chromosome:Graimondii2_0_v6:11:1341550:1344871:1 gene:B456_011G019300 transcript:KJB69359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKAFSGSTMTLKDFHGGSIPSDLPLPSAPGVTVRPTDRSGYDRATSWGNQVGRPDHRARPNSSPATRHFDDKSPFLTSSVHIGRYFDEDERKPLDGVSAPRRTIADESLGVPVSQMELKPESAYAGRVSGRYGSASVSSSMGGSGNLYSSRVSEAAAVGVSSQSSGGNRVQAVSGWYPNAWAARKEATASAAETVQSVWSEQSAVSKLAHASAMEKISSGRWQSKQSIQYQKDVDVSKHSETETGLHSQGYDVIAVAGREHSDVISARQVERGVNVEHGINCGRKVSPDYERNPRPNFLEVKENRSVVYGDGIPSARSDGKFGGPKLQPSPSEASERPKLKLLPRTKPLDNLEPPFVDAKQQQLSESVLTHGGIGNDSYGYVNIVKPGSAGSENGNQAVERPKLNLKPRSQPVEQLEGNIEKERNALFGGARPREMVLKERGIDDSIHEPDQHPDRVVKHNVPRSEKVAEQAAPHPSERVGNPPVDQRAGRKSERNHGVYNERVDTQRRNRPNENRRNDKEIERQQRQERQPSPETWRKPAEQPKPVSPEAAGVRYGKAASALELAQAFSKSFSDQKTDDRYAGQRSLPGRPQMPFSRLMGPTPRPQINGY >KJB69361 pep chromosome:Graimondii2_0_v6:11:1341812:1343387:1 gene:B456_011G019300 transcript:KJB69361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKAFSGSTMTLKDFHGGSIPSDLPLPSAPGVTVRPTDRSGYDRATSWGNQVGRPDHRARPNSSPATRHFDDKSPFLTSSVHIGRYFDEDERKPLDGVSAPRRTIADESLGVPVSQMELKPESAYAGRVSGRYGSASVSSSMGGSGNLYSSRVSEAAAVGVSSQSSGGNRVQAVSGWYPNAWAARKEATASAAETVQSVWSEQSAVSKLAHASAMEKISSGRWQSKQSIQYQKDVDVSKHSETETGLHSQGYDVIAVAGREHSDVISARQVERGVNVEHGINCGRKVSPDYERNPRPNFLEVKENRSVVYGDGIPSARSDGKFGGPKLQPSPSEASERPKLKLLPRTKPLDNLEPPFVDAKQEQQQLSESVLTHGGIGNDSYGYVNIVKPGSAGSENGNQAVERPKLNLKPRSQPVEQLEGNIEKERSVCV >KJB69357 pep chromosome:Graimondii2_0_v6:11:1341812:1343387:1 gene:B456_011G019300 transcript:KJB69357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKAFSGSTMTLKDFHGGSIPSDLPLPSAPGVTVRPTDRSGYDRATSWGNQVGRPDHRARPNSSPATRHFDDKSPFLTSSVHIGRYFDEDERKPLDGVSAPRRTIADESLGVPVSQMELKPESAYAGRVSGRYGSASVSSSMGGSGNLYSSRVSEAAAVGVSSQSSGGNRVQAVSGWYPNAWAARKEATASAAETVQSVWSEQSAVSKLAHASAMEKISSGRWQSKQSIQYQKDVDVSKHSETETGLHSQGYDVIAVAGREHSDVISARQVERGVNVEHGINCGRKVSPDYERNPRPNFLEVKENRSVVYGDGIPSARSDGKFGGPKLQPSPSEASERPKLKLLPRTKPLDNLEPPFVDAKQEQQQLSESVLTHGGIGNDSYGYVNIVKPGSAGSENGNQAVERPKLNLKPRSQPVEQLEGNIEKERSVCV >KJB69356 pep chromosome:Graimondii2_0_v6:11:1341371:1345000:1 gene:B456_011G019300 transcript:KJB69356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKAFSGSTMTLKDFHGGSIPSDLPLPSAPGVTVRPTDRSGYDRATSWGNQVGRPDHRARPNSSPATRHFDDKSPFLTSSVHIGRYFDEDERKPLDGVSAPRRTIADESLGVPVSQMELKPESAYAGRVSGRYGSASVSSSMGGSGNLYSSRVSEAAAVGVSSQSSGGNRVQAVSGWYPNAWAARKEATASAAETVQSVWSEQSAVSKLAHASAMEKISSGRWQSKQSIQYQKDVDVSKHSETETGLHSQGYDVIAVAGREHSDVISARQVERGVNVEHGINCGRKVSPDYERNPRPNFLEVKENRSVVYGDGIPSARSDGKFGGPKLQPSPSEASERPKLKLLPRTKPLDNLEPPFVDAKQEQQQLSESVLTHGGIGNDSYGYVNIVKPGSAGSENGNQAVERPKLNLKPRSQPVEQLEGNIEKERNALFGGARPREMVLKERGIDDSIHEPDQHPDRVVKHNVPRSEKVAEQAAPHPSERVGNPPVDQRAGRKSERNHGVYNERVDTQRRNRPNENRRNDKEIERQQRQERQPSPETWRKPAEQPKPVSPEAAGVRYGKAASALELAQAFSKSFSDQKTDDRYAGQRSLPGRPQMPFSRLMGPTPRPQINGY >KJB69360 pep chromosome:Graimondii2_0_v6:11:1341550:1344871:1 gene:B456_011G019300 transcript:KJB69360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKAFSGSTMTLKDFHGGSIPSDLPLPSAPGVTVRPTDRSGYDRATSWGNQVGRPDHRARPNSSPATRHFDDKSPFLTSSVHIGRYFDEDERKPLDGVSAPRRTIADESLGVPVSQMELKPESAYAGRVSGRYGSASVSSSMGGSGNLYSSRVSEAAAVGVSSQSSGGNRVQAVSGWYPNAWAARKEATASAAETVQSVWSEQSAVSKLAHASAMEKISSGRWQSKQSIQYQKDVDVSKHSETETGLHSQGYDVIAVAGREHSDVISARQVERGVNVEHGINCGRKVSPDYERNPRPNFLEVKENRSVVYGDGIPSARSDGKFGGPKLQPSPSEASERPKLKLLPRTKPLDNLEPPFVDAKQEQQQLSESVLTHGGIGNDSYGYVNIVKPGSAGSENGNQAVERPKLNLKPRSQPVEQLEGNIEKERSVCV >KJB69358 pep chromosome:Graimondii2_0_v6:11:1341550:1344871:1 gene:B456_011G019300 transcript:KJB69358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKAFSGSTMTLKDFHGGSIPSDLPLPSAPGVTVRPTDRSGYDRATSWGNQVGRPDHRARPNSSPATRHFDDKSPFLTSSVHIGRYFDEDERKPLDGVSAPRRTIADESLGVPVSQMELKPESAYAGRVSGRYGSASVSSSMGGSGNLYSSRVSEAAAVGVSSQSSGGNRVQAVSGWYPNAWAARKEATASAAETVQSVWSEQSAVSKLAHASAMEKISSGRWQSKQSIQYQKDVDVSKHSETETGLHSQGYDVIAVAGREHSDVISARQVERGVNVEHGINCGRKVSPDYERNPRPNFLEVKENRSVVYGDGIPSARSDGKFGGPKLQPSPSEASERPKLKLLPRTKPLDNLEPPFVDAKQQLSESVLTHGGIGNDSYGYVNIVKPGSAGSENGNQAVERPKLNLKPRSQPVEQLEGNIEKERNALFGGARPREMVLKERGIDDSIHEPDQHPDRVVKHNVPRSEKVAEQAAPHPSERVGNPPVDQRAGRKSERNHGVYNERVDTQRRNRPNENRRNDKEIERQQRQERQPSPETWRKPAEQPKPVSPEAAGVRYGKAASALELAQAFSKSFSDQKTDDRYAGQRSLPGRPQMPFSRLMGPTPRPQINGY >KJB70258 pep chromosome:Graimondii2_0_v6:11:5619764:5622289:1 gene:B456_011G066400 transcript:KJB70258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILVVHVKGVKFDPQAGSVLHIELARSNSRRKRKPGSGPYVVIDNRTKGSANTQETSSDDGDSDTEEPSGAEDADASNKDELKTVKSDTVQDPENSVPAANEQLERTIDEGAQACSTLFIANLGPNCTEYELKQVLSKYPGFNMLKIRAKGGMPVAFADFEQVEQATKVMTDLQSSLLPSSDRGGMHIEYARSKMRKP >KJB70260 pep chromosome:Graimondii2_0_v6:11:5618525:5622718:1 gene:B456_011G066400 transcript:KJB70260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPYEYDPYYLQPDQDRNLINTLFVSGLPDDVKAREIHNLFRRRAGFDYCQLKYTGRGNQVVAFATFLNHQSAIAAMHALNGVKFDPQAGSVLHIELARSNSRRKRKPGSGPYVVIDNRTKGSANTQETSSDDGDSDTEEPSGAEDADASNKDELKTVKSDTVQDPENSVPAANEQLERTIDEGAQACSTLFIANLGPNCTEYELKQVLSKYPGFNMLKIRAKGGMPVAFADFEQVEQATKVMTDLQSSLLPSSDRGGMHIEYARSKMRKP >KJB70256 pep chromosome:Graimondii2_0_v6:11:5618525:5620642:1 gene:B456_011G066400 transcript:KJB70256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPYEYDPYYLQPDQDRNLINTLFVSGLPDDVKAREIHNLFRRRAGFDYCQLKYTGRGNQVVAFATFLNHQSAIAAMHALNGVKFDPQAGSVLHIELARSNSRRKRKPGSGPYVVIDNRTKGSANTQETSSDDGDSDTEEPSGAEDADASNKDELKTVKRLKALWRTMSSMSSVKSFISLVIMKKLLFN >KJB70259 pep chromosome:Graimondii2_0_v6:11:5618525:5622565:1 gene:B456_011G066400 transcript:KJB70259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPYEYDPYYLQPDQDRNLINTLFVSGLPDDVKAREIHNLFRRRAGFDYCQLKYTGRGNQVVAFATFLNHQSAIAAMHALNFDPQAGSVLHIELARSNSRRKRKPGSGPYVVIDNRTKGSANTQETSSDDGDSDTEEPSGAEDADASNKDELKTVKSDTVQDPENSVPAANEQLERTIDEGAQACSTLFIANLGPNCTEYELKQVLSKYPGFNMLKIRAKGGMPVAFADFEQVEQATKVMTDLQSSLLPSSDRGGMHIEYARSKMRKP >KJB70261 pep chromosome:Graimondii2_0_v6:11:5618539:5622718:1 gene:B456_011G066400 transcript:KJB70261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALNGVKFDPQAGSVLHIELARSNSRRKRKPGSGPYVVIDNRTKGSANTQETSSDDGDSDTEEPSGAEDADASNKDELKTVKSDTVQDPENSVPAANEQLERTIDEGAQACSTLFIANLGPNCTEYELKQVLSKYPGFNMLKIRAKGGMPVAFADFEQVEQATKVMTDLQSSLLPSSDRGGMHIEYARSKMRKP >KJB70257 pep chromosome:Graimondii2_0_v6:11:5618525:5622565:1 gene:B456_011G066400 transcript:KJB70257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPYEYDPYYLQPDQDRNLINTLFVSGLPDDVKAREIHNLFRRRAGFDYCQLKYTGRGNQVVAFATFLNHQSAIAAMHALNGVKFDPQAGSVLHIELARSNSRRKRKPGSGPYVVIDNRTKGSANTQETSSDDGDSDTEEPSGAEDADASNKDELKTVKSDTVQDPENSVPAANLERTIDEGAQACSTLFIANLGPNCTEYELKQVLSKYPGFNMLKIRAKGGMPVAFADFEQVEQATKVMTDLQSSLLPSSDRGGMHIEYARSKMRKP >KJB71413 pep chromosome:Graimondii2_0_v6:11:17033048:17036454:1 gene:B456_011G122100 transcript:KJB71413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 38 [Source:Projected from Arabidopsis thaliana (AT2G40650) UniProtKB/Swiss-Prot;Acc:Q8LB54] MANRTDPAAKSIRGTNPQNLVEKIVRSKIYQNTYWKEQCFGLTAETLVDKAMELDHIGGTYGGNRKPTPFMCLVMKMLQIQPEKDIVVEFIKNDDYKYVRVLGAFYLRLTGIDSDIYRYLEPLYNDYRKVRRKSPDGNFMLTHVDEVIDELLTRDYSCDIALPRIKKRWTLESLGVLDARKSVLEDDFEEEEEKDEMEQDGLEEEVAHEKDYYRARSPARERERDWRRDSHRHRDRYRLREEKEYGYERERDREREGRERDRRDRERGRRRSRSRSRSRERKRHGRSSMSPRRHEAEDGNAPEESKKKGKKEKKEKKDDGTDHPDPEIAEANRIRASLGLKPLKL >KJB71416 pep chromosome:Graimondii2_0_v6:11:17033048:17036496:1 gene:B456_011G122100 transcript:KJB71416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 38 [Source:Projected from Arabidopsis thaliana (AT2G40650) UniProtKB/Swiss-Prot;Acc:Q8LB54] MANRTDPAAKSIRGTNPQNLVEKIVRSKIYQNTYWKEQCFGLTAETLVDKAMELDHIGGTYGGNRKPTPFMCLVMKMLQIQPEKDIVVEFIKNDDYKYVRVLGAFYLRLTGIDSDIYRYLEPLYNDYRKVRRKSPDGNFMLTHVDEVIDELLTRDYSCDIALPRIKKRWTLESLGVLDARKSVLEDDFEEEEEKDEMEQDGLEEEVAHEKDYYRARSPARERERDWRRDSHRHRDRDYDRDRDYDRERGRGRDRERDRDRDRDRDRDRYRLREEKEYGYERERDREREGRERDRRDRERGRRRSRSRSRSRERKRHGRSSMSPRRHEAEDGNAPEESKKKGKKEKKEKKDDGTDHPDPEIAEANRIRASLGLKPLKL >KJB71414 pep chromosome:Graimondii2_0_v6:11:17033048:17036454:1 gene:B456_011G122100 transcript:KJB71414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 38 [Source:Projected from Arabidopsis thaliana (AT2G40650) UniProtKB/Swiss-Prot;Acc:Q8LB54] MANRTDPAAKSIRGTNPQNLVEKIVRSKIYQNTYWKEQCFGLTAETLVDKAMELDHIGGTYGGNRKPTPFMCLVMKMLQIQPEKDIVVEFIKNDDYKYVRVLGAFYLRLTGIDSDIYRYLEPLYNDYRKVRRKSPDGNFMLTHVDEVIDELLTRDYSCDIALPRIKKRWTLESLGVLDARKSVLEDDFEEEEEKDEMEQDGLEEEVAHEKDYYRARSPARERERDWRRDSHRHRDRDYDRDRDYDRERGRGRDRERDRDRDRDRDRDRYRLREEKEYGYERERDREREGRERDRRDRERGRRRSRSRSRSRERKRHGRSSMSPRRHEAEDGNAPEEMMERTTLIQRLLKPTGFEHP >KJB71415 pep chromosome:Graimondii2_0_v6:11:17033608:17036150:1 gene:B456_011G122100 transcript:KJB71415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 38 [Source:Projected from Arabidopsis thaliana (AT2G40650) UniProtKB/Swiss-Prot;Acc:Q8LB54] MKITMINYYGEINFRYVRVLGAFYLRLTGIDSDIYRYLEPLYNDYRKVRRKSPDGNFMLTHVDEVIDELLTRDYSCDIALPRIKKRWTLESLGVLDARKSVLEDDFEEEEEKDEMEQDGLEEEVAHEKDYYRARSPARERERDWRRDSHRHRDRDYDRDRDYDRERGRGRDRERDRDRDRDRDRDRYRLREEKEYGYERERDREREGRERDRRDRERGRRRSRSRSRSRERKRHGRSSMSPRRHEAEDGNAPEESKKKGKKEKKEKKDDGTDHPDPEIAEANRIRASLGLKPLKL >KJB73369 pep chromosome:Graimondii2_0_v6:11:54285703:54290974:-1 gene:B456_011G229500 transcript:KJB73369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDSGADGQSHKAHRSRHSGASAKKKTKAKNKDQNSDQKQQNPKAFAFRSNAKAKRLQSRAVEKEQRRLHLPVIDRSYGELPPFVVVVQGPPQVGKSLLIKTLVKHYTKHNLPDVRGPITIVSGKQRRLQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLIHGKYPKREVHNLARFISVMKFPPLSWRTSHPYILVDRFEDVTPPERMQMNSKCDRNVTLYGYLRGCNLKKGTKVHIAGVGDFSLAGVTGLSDPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQYSKVDEMGGTTNKGNKRDVGEALVKSLQTIKNPIDEKLEKSKISLFSQNPNSSLEAEDHNRDSDEAPKLIRDIEPLKQYQSNGEEDESEFDLDSSESSDQDEGVPEVAMLKSEGRNFEEGNADASERLGRVKEQFEFHNGRKRRKAIFGDGVDHSNLKSTDEENEGDEDDDDDDDDNDEGENDRSNEDNESCSGSEFSDGDEEDLKSEEDGMGNISKWRASLVERASKKQNINLMQLVYGKSASTSNTSANEVKDDSENEESDEDEFFKPKGQRAKNSIEGLDGGNINTEDCSKSTKFSELKNWKEEEVYESICDRFVTGD >KJB73366 pep chromosome:Graimondii2_0_v6:11:54285703:54286352:-1 gene:B456_011G229500 transcript:KJB73366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNISKWRASLVERASKKQNINLMQLVYGKSASTSNTSANEVKDDSENEESDEDEFFKPKGQRAKNSIEGLDGGNINTEDCSKSTKFSELKNWKEEEVYESICDRFVTGD >KJB73370 pep chromosome:Graimondii2_0_v6:11:54285703:54291499:-1 gene:B456_011G229500 transcript:KJB73370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDSGADGQSHKAHRSRHSGASAKKKTKAKNKDQNSDQKQQNPKAFAFRSNAKAKRLQSRAVEKEQRRLHLPVIDRSYGELPPFVVVVQGPPQVGKSLLIKTLVKHYTKHNLPDVRGPITIVSGKQRRLQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLIHGKYPKREVHNLARFISVMKFPPLSWRTSHPYILVDRFEDVTPPERMQMNSKCDRNVTLYGYLRGCNLKKGTKVHIAGVGDFSLAGVTGLSDPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQYSKVDEMGGTTNKGNKRDVGEALVKSLQTIKNPIDEKLEKSKISLFSQNPNSSLEAEDHNRDSDEAPKLIRDIEPLKQYQSNGEEDESEFDLDSSESSDQDEGVPEVAMLKSEGRNFEEGNADASERLGRVKEQFEFHNGRKRRKAIFGDGVDHSNLKSTDEENEGDEDDDDDDDDNDEGENDRSNEDNESCSGSEFSDGDEEDLKSEEDGMGNISKWRASLVERASKKQNINLMQLVYGKSASTSNTSANEVKDDSENEESDEDEFFKPKGQRAKNSIEGLDGGNINTEDCSKSTKFSELKNWKEEEVYESICDRFVTGD >KJB73368 pep chromosome:Graimondii2_0_v6:11:54285703:54291499:-1 gene:B456_011G229500 transcript:KJB73368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDSGADGQSHKAHRSRHSGASAKKKTKAKNKDQNSDQKQQNPKAFAFRSNAKAKRLQSRAVEKEQRRLHLPVIDRSYGELPPFVVVVQGPPQVGKSLLIKTLVKHYTKHNLPDVRGPITIVSGKQRRLQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLIHGKYPKREVHNLARFISVMKFPPLSWRTSHPYILVDRFEDVTPPERMQMNSKCDRNVTLYGYLRGCNLKKGTKVHIAGVGDFSLAGVTGLSDPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQYSKVDEMGGTTNKGNKRDVGEALVKSLQTIKNPIDEKLEKSKISLFSQNPNSSLEAEDHNRDSDEAPKLIRDIEPLKQYQSNGEEDESEFDLDSSESSDQDEGVPEVAMLKSEGRNFEEGNADASERLGRVKEQFEFHNGRKRRKAIFGDGVDHSNLKSTDEENEGDEDDDDDDDDNDEGENDRSNEDNESCSGSEFSDGDEEDLKSEDGMGNISKWRASLVERASKKQNINLMQLVYGKSASTSNTSANEVKDDSENEESDEDEFFKPKGQRAKNSIEGLDGGNINTEDCSKSTKFSELKNWKEEEVYESICDRFVTGD >KJB73367 pep chromosome:Graimondii2_0_v6:11:54285703:54287790:-1 gene:B456_011G229500 transcript:KJB73367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLGDLLYDKDAVYININDHFVQYSKVDEMGGTTNKGNKRDVGEALVKSLQTIKNPIDEKLEKSKISLFSQNPNSSLEAEDHNRDSDEAPKLIRDIEPLKQYQSNGEEDESEFDLDSSESSDQDEGVPEVAMLKSEGRNFEEGNADASERLGRVKEQFEFHNGRKRRKAIFGDGVDHSNLKSTDEENEGDEDDDDDDDDNDEGENDRSNEDNESCSGSEFSDGDEEDLKSEEDGMGNISKWRASLVERASKKQNINLMQLVYGKSASTSNTSANEVKDDSENEESDEDEFFKPKGQRAKNSIEGLDGGNINTEDCSKSTKFSELKNWKEEEVYESICDRFVTGD >KJB71564 pep chromosome:Graimondii2_0_v6:11:19105019:19106254:-1 gene:B456_011G129800 transcript:KJB71564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHALILLQIIVLSSLAATAFSLSPYYYKNICPQALPTIKTLVEAAVYKERRMGASLLRLHFHDCFVNGCDASILLDPSPTIDSEKGALANQNSARGFEVIDEIKAEVDKICGRPVVSCADILAVAARDSVVALGGPSWKVRLGRRDSTTASRTQADIDIPSPLMDLPALINNFRNQGLNQRDLVALSGGHTIGFAQCLAFRGRIYNATNINPSFAKERRATCPRTGGDTTLAPLDSTAARFDTAYFNSLVKQRGLLTSDQALFSGGSTDNLVNTYRLYPQVFRKDFAQSMIKMGNIKYLTGNQGQIRANCRMVNN >KJB71625 pep chromosome:Graimondii2_0_v6:11:20287271:20288366:1 gene:B456_011G134400 transcript:KJB71625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSVENSVTQSHTRTHKTFLITNYILLGAASACIFLILSLRLFPSLCGFFLILLHVVTIAAAVSGCSVATSGSNKFYAAHMVVMVLTSIFQGSVSVLILTRTSDFLGYLKSYVREDDGAVILKLAGGLCVAVFCLEWMVLGLAFVLRYYAFVEGHGVGNGSQAAYQRNGKVQDEDLKKWPWPIQV >KJB73086 pep chromosome:Graimondii2_0_v6:11:51469786:51479387:-1 gene:B456_011G214100 transcript:KJB73086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSEGMAAVRAEQSRTADVPERDIELAITALKKGAPLLKYGRRGKPKFCPFRLSNDESILIWISGKEEKYLKLNQVTRIIPGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFTGLKALISRGHHRKGRPESRSDGVSSEATSPKAPTQRSSPLSSPFGSGASSQKVFYPSESACGSMHSRSSAGSDGKAGFIKGWNGDASRVSLSSAVSSSSQGSGHYDGDALGDVFIWGEGTGDGVLGGGIHRIGDCSGIKIDSLLPKALESAVLLDVQNIACGEQHAALVTKQGEVFSWGAECGGRLGHGVDSNVSHPKLIDSLKNINVELVACGEYHSCAVTLSGEMYSWGGSSGNFGLLGHGTETSQWVPKKLNGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSLSVPREIESLKGLRTVRAACGVWHTAAVVEVMVGSSSTSNCSSGKLFTWGDGDKSRLGHGDKEARLVPTCVAALVEPNFCKVSCGHSMTVALTTNGHVYTMGSPVYGQLGNPQADGKLPIRVEGKLTKNFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNSPWLVEALKDKQVKSIVCGTSFTAAICLHKSVSSVDQSKCSGCRLLFNFKRKRHNCYNCGLVFCNSCSSKKSFKASMAPNTNKPYRVCDNCFTKLTKASETSSSNHYALSRRGSMNQGPDDSVEKVEKLDSRTPAQLSRNASIESSKELDGGSSKRNKRLDFNSTRVSPFPNGVSQCAPLNNPKTSNALFGSSKKFFSTSLPASRIVSRATSPTSRRASPHRATTPTPTLSSFSSKKVVVDDVKRTNEGLSEEIVKLRTQVEELTGKAQIQEVELERTTQQLKEAVAVATEETAKCKAAKEVIKSLTAQLKEMAERLPIGAARSSNSPSFFYSSSTPPRDVSSAGSEQSSGPISCHEMDSNGSNSLVISNGSGTINNNLSTPTDVYHSDGTAKNRNRTTKVEPNHGDEWVEQDEPGVYITLVALPGGIKDLKRVRFSRRRFSEKQAEQWWAANRARVYQRYNVPLVDKPALGVGREGLAH >KJB73085 pep chromosome:Graimondii2_0_v6:11:51470172:51478824:-1 gene:B456_011G214100 transcript:KJB73085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSEGMAAVRAEQSRTADVPERDIELAITALKKGAPLLKYGRRGKPKFCPFRLSNDESILIWISGKEEKYLKLNQVTRIIPGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFTGLKALISRGHHRKGRPESRSDGVSSEATSPKAPTQRSSPLSSPFGSGASSQKDGMDSLCLHTPHESPPKTGLEKALSDVILYSVPPKVFYPSESACGSMHSRSSAGSDGKAGFIKGWNGDASRVSLSSAVSSSSQGSGHYDGDALGDVFIWGEGTGDGVLGGGIHRIGDCSGIKIDSLLPKALESAVLLDVQNIACGEQHAALVTKQGEVFSWGAECGGRLGHGVDSNVSHPKLIDSLKNINVELVACGEYHSCAVTLSGEMYSWGGSSGNFGLLGHGTETSQWVPKKLNGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSLSVPREIESLKGLRTVRAACGVWHTAAVVEVMVGSSSTSNCSSGKLFTWGDGDKSRLGHGDKEARLVPTCVAALVEPNFCKVSCGHSMTVALTTNGHVYTMGSPVYGQLGNPQADGKLPIRVEGKLTKNFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNSPWLVEALKDKQVKSIVCGTSFTAAICLHKSVSSVDQSKCSGCRLLFNFKRKRHNCYNCGLVFCNSCSSKKSFKASMAPNTNKPYRVCDNCFTKLTKASETSSSNHYALSRRGSMNQGPDDSVEKVEKLDSRTPAQLSRNASIESSKELDGGSSKRNKRLDFNSTRVSPFPNGVSQCAPLNNPKTSNALFGSSKKFFSTSLPASRIVSRATSPTSRRASPHRATTPTPTLSSFSSKKVVVDDVKRTNEGLSEEIVKLRTQVEELTGKAQIQEVELERTTQQLKEAVAVATEETAKCKAAKEVIKSLTAQLKEMAERLPIGAARSSNSPSFFYSSSTPPRDVSSAGSEQSSGPISCHEMDSNGSNSLVISNGSGTINNNLSTPTDVYHSDGTAKNRNRTTKVEPNHGDEWVEQDEPGVYITLVALPGGIKDLKRVRFSRRRFSEKQAEQWWAANRARVYQRYNVPLVDKPALGVGREGLAH >KJB73088 pep chromosome:Graimondii2_0_v6:11:51469839:51479031:-1 gene:B456_011G214100 transcript:KJB73088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSEGMAAVRAEQSRTADVPERDIELAITALKKGAPLLKYGRRGKPKFCPFRLSNDESILIWISGKEEKYLKLNQVTRIIPGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFTGLKALISRGHHRKGRPESRSDGVSSEATSPKAPTQRSSPLSSPFGSGASSQKDGMDSLCLHTPHESPPKTGLEKALSDVILYSVPPKVFYPSESACGSMHSRSSAGSDGKAGFIKGWNGDASRVSLSSAVSSSSQGSGHYDGDALGDVFIWGEGTGDGVLGGGIHRIGDCSGIKIDSLLPKALESAVLLDVQNIACGEQHAALVTKQGEVFSWGAECGGRLGHGVDSNVSHPKLIDSLKNINVELVACGEYHSCAVTLSGEMYSWGGSSGNFGLLGHGTETSQWVPKKLNGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSLSVPREIESLKGLRTVRAACGVWHTAAVVEVMVGSSSTSNCSSGKLFTWGDGDKSRLGHGDKEARLVPTCVAALVEPNFCKVSCGHSMTVALTTNGHVYTMGSPVYGQLGNPQADGKLPIRVEGKLTKNFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNSPWLVEALKDKQVKSIVCGTSFTAAICLHKSVSSVDQSKCSGCRLLFNFKRKRHNCYNCGLVFCNSCSSKKSFKASMAPNTNKPYRVCDNCFTKLTKASETSSSNHYALSRRGSMNQGPDDSVEKVEKLDSRTPAQLSRNASIESSKELDGGSSKRNKRLDFNSTRVSPFPNGVSQCAPLNNPKTSNALFGSSKKFFSTSLPASRIVSRATSPTSRRASPHRATTPTPTLSSFSSKKVVVDDVKRTNEGLSEEIVKLRTQVEELTGKAQIQEVELERTTQQLKEAVAVATEETAKCKAAKEVIKSLTAQLKEMAERLPIGAARSSNSPSFFYSSSTPPRDVSSAGSEQSSGPISCHEMDSNGSNSLVISNGSGTINNNLSTPTDVYHSDGTAKNRNRTTKVEPNHGDEWVEQDEPGVYITLVALPGGIKDLKRVRFSRRRFSEKQAEQWWAANRARVYQRYNVPLVDKPALGVGREGLAH >KJB73087 pep chromosome:Graimondii2_0_v6:11:51469832:51479031:-1 gene:B456_011G214100 transcript:KJB73087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSEGMAAVRAEQSRTADVPERDIELAITALKKGAPLLKYGRRGKPKFCPFRLSNDESILIWISGKEEKYLKLNQVTRIIPGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFTGLKALISRGHHRKGRPESRSDGVSSEATSPKAPTQRSSPLSSPFGSGASSQKDGMDSLCLHTPHESPPKTGSDGKAGFIKGWNGDASRVSLSSAVSSSSQGSGHYDGDALGDVFIWGEGTGDGVLGGGIHRIGDCSGIKIDSLLPKALESAVLLDVQNIACGEQHAALVTKQGEVFSWGAECGGRLGHGVDSNVSHPKLIDSLKNINVELVACGEYHSCAVTLSGEMYSWGGSSGNFGLLGHGTETSQWVPKKLNGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSLSVPREIESLKGLRTVRAACGVWHTAAVVEVMVGSSSTSNCSSGKLFTWGDGDKSRLGHGDKEARLVPTCVAALVEPNFCKVSCGHSMTVALTTNGHVYTMGSPVYGQLGNPQADGKLPIRVEGKLTKNFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNSPWLVEALKDKQVKSIVCGTSFTAAICLHKSVSSVDQSKCSGCRLLFNFKRKRHNCYNCGLVFCNSCSSKKSFKASMAPNTNKPYRVCDNCFTKLTKASETSSSNHYALSRRGSMNQGPDDSVEKVEKLDSRTPAQLSRNASIESSKELDGGSSKRNKRLDFNSTRVSPFPNGVSQCAPLNNPKTSNALFGSSKKFFSTSLPASRIVSRATSPTSRRASPHRATTPTPTLSSFSSKKVVVDDVKRTNEGLSEEIVKLRTQVEELTGKAQIQEVELERTTQQLKEAVAVATEETAKCKAAKEVIKSLTAQLKEMAERLPIGAARSSNSPSFFYSSSTPPRDVSSAGSEQSSGPISCHEMDSNGSNSLVISNGSGTINNNLSTPTDVYHSDGTAKNRNRTTKVEPNHGDEWVEQDEPGVYITLVALPGGIKDLKRVRFSRRRFSEKQAEQWWAANRARVYQRYNVPLVDKPALGVGREGLAH >KJB70671 pep chromosome:Graimondii2_0_v6:11:8976191:8976914:-1 gene:B456_011G086300 transcript:KJB70671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPVSLPSNMALNSQVFDNFHGDTSNGMLSSDMKTDKLIQAAQVKEVVENGGFVGSETEIKSGEKEKKTRKPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLTKDETLVLTTYEGVHTHPIDNPTDNFHHILSQMQIYTPF >KJB73721 pep chromosome:Graimondii2_0_v6:11:57230753:57234321:1 gene:B456_011G246200 transcript:KJB73721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEICVKAAAGAPDILGDCPFCQRVLLTLEEKKVPYKLNLVNLSDKPQWFLEISPEGKVPVVKFDDKWVADSDVIVGIIEDKFPEPSLKTPPEFAHVGSKIFGTFISFLKSKDANNGSEQDLVNELKALDEHLKGHGPFIAGEKITAVDLGLGPKLYHLEITLGHFKKWTVPESLTYVHNYMKSIFGRESFVKTKAAKEHVIEGWAPKVNA >KJB72497 pep chromosome:Graimondii2_0_v6:11:43282188:43284495:1 gene:B456_011G181900 transcript:KJB72497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIESYVVVHNIAKRHNVGTLARSATAFGVTELILVGRRDFNAFGSHGSTSHLRFRHFHSLTDARLFLKEKDCDICGVEITEDAVSITDHPFKKSTAFLLGNEGTGLSAKECEICDFFVYIPQYGGGTASLNVTVAASIVLHHFGVWAGFSERIRDGNKFVVAEKPLKQVSRKYCTETDDHIIEERKSRRESASNGFFEDGKNGVSSSNLLDSLFPDE >KJB72499 pep chromosome:Graimondii2_0_v6:11:43282285:43284484:1 gene:B456_011G181900 transcript:KJB72499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIESYVVVHNIAKRHNVGTLARSATAFGVTELILVGRRDFNAFGSHGSTSHLRFRHFHSLTDARLFLKEKDCDICGVEITEDAVSITDHPFKKSTAFLLGNEGTGLSAKECEICDFFVYIPQYGGGTASLNVTVAASIVLHHFGGFSERIRDGNKFVVAEKPLKQVSRKYCTETDDHIIEERKSRRESASNGFFEDGKNGVSSSNLLDSLFPDE >KJB72498 pep chromosome:Graimondii2_0_v6:11:43282285:43284484:1 gene:B456_011G181900 transcript:KJB72498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIESYVVVHNIAKRHNVGTLARSATAFGVTELILVGRRDFNAFGSHGSTSHLRFRHFHSLTDARLFLKKDCDICGVEITEDAVSITDHPFKKSTAFLLGNEGTGLSAKECEICDFFVYIPQYGGGTASLNVTVAASIVLHHFGVWAGFSERIRDGNKFVVAEKPLKQVSRKYCTETDDHIIEERKSRRESASNGFFEDGKNGVSSSNLLDSLFPDE >KJB69590 pep chromosome:Graimondii2_0_v6:11:2399905:2400652:1 gene:B456_011G032300 transcript:KJB69590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKKSQGYTNVPRKSSVSDVKSSRRPISFFESDREESKYETEGEEDERSAHNRIEDEELEYEESEEEEEEERYEEADANANRASKEEEEAEEPRQKVKESVGSSKRKGIESNEDSPPRKAPTHRHMAVVYDSDEE >KJB73045 pep chromosome:Graimondii2_0_v6:11:51053790:51055201:1 gene:B456_011G211400 transcript:KJB73045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFRSLLLIILIVAVSSCQTNAQLSPNYYSSTCPQALSIVKAEVAAAIKNETRIGASLLRLHFHDCFVNGCDGSVLLDDNSTFIGEKTAVPNNNSARGFNVVDNIKARLEKACPGVVSCADILAIAARDSVVRVRGPSWKVRLGRRDSTSASRSAANASIPPPTSNLSALISSFSAQGLSIKNLVALSGAHTIGLARCTSFRSHIYNDSNIDPSFANSLRRICPRSGNDSVLAPLDRQTPTCFDNLYHKNLLEKKGLLHSDQEIFNGSSLTDGLVKMYAADTSLLFKEFAKSMIKMGNIKPLTGNAGEIRINCRKAN >KJB73044 pep chromosome:Graimondii2_0_v6:11:51053730:51055220:1 gene:B456_011G211400 transcript:KJB73044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGNKFALTNMAFFRSLLLIILIVAVSSCQTNAQLSPNYYSSTCPQALSIVKAEVAAAIKNETRIGASLLRLHFHDCFVNGCDGSVLLDDNSTFIGEKTAVPNNNSARGFNVVDNIKARLEKACPGVVSCADILAIAARDSVVRLGGPSWKVRLGRRDSTSASRSAANASIPPPTSNLSALISSFSAQGLSIKNLVALSGAHTIGLARCTSFRSHIYNDSNIDPSFANSLRRICPRSGNDSVLAPLDRQTPTCFDNLYHKNLLEKKGLLHSDQEIFNGSSLTDGLVKMYAADTSLLFKEFAKSMIKMGNIKPLTGNAGEIRINCRKAN >KJB72676 pep chromosome:Graimondii2_0_v6:11:45416259:45416970:1 gene:B456_011G189800 transcript:KJB72676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLNPVGHQSTNWMVLLVLIGATAAFTSLGTTSPLYIKQQAMYFPWRGSHLAIIEAGSNALLVISATDSCSW >KJB71987 pep chromosome:Graimondii2_0_v6:11:25907312:25923726:-1 gene:B456_011G152500 transcript:KJB71987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTSINPIKPPSSSASWNCYYWSCETRMATLLSSTSSFDYYNHSLHFLFSPSKLHCPRILVSKLPGLRFRVSSPSKSRVFCESKTQEGQIRRCSPLLEKASLPSNGALASDEWKAVPDIWRSSAEKHGDRVAVVDPYHDPPSTMTYKQLEQEILDFTEGLRVIGVNPEEKVALFADNSCRWLVADQGIMAMGAINVVRGSRSAVEELLHIYNHSESVGLVVDNPEFFNRLAGTFSSKSTMRFLVLLWGEKAWLSSGETHGVPIFSYKEIIELGRESRVAHIGSHDARQGCKYEIIGSDDIATIVYTSGTTGNPKGVMLTHKNLLHQIENLWDVVPAEAGDRFLSMLPTWHVYERACEYFTFTHGIEQVYTTVRNLKDDLRHYQPQYLISVPLVYETLYRGIQKQISTSSTIRKLIAVSFIKVSLAYMEFKRIYEGLYLTRNTEQPSYLASLLDCLWARIIAAILWPLHVLAKKLVYQKIHSAIGISKAGINGGGSLPMHLDKFFEAIGVTVQNGYGLTESSPVVACRRPYCNVIGSIGHPIQHTEFKVVDSETGEVLPPGTRGIVKVRGPQVMKGYYKNPLATKQALDEDGWLDTGDIGWIAPYHLAGRSHRCGGVIVLEGRAKDTIVLSSGENVEPLEIEEAAMRSSLIQQIVVVGQDQRRLAAIIVPNKDEVLQAAKVSSIVDPDAVDLGRDKMTSLLCEELSKWTSECSFQVGPILVVDEPFTIDSGLMTPTMKLRRDQVVAKYKEEIANLYK >KJB71986 pep chromosome:Graimondii2_0_v6:11:25906684:25923829:-1 gene:B456_011G152500 transcript:KJB71986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTSINPIKPPSSSASWNCYYWSCETRMATLLSSTSSFDYYNHSLHFLFSPSKLHCPRILVSKLPGLRFRVSSPSKSRVFCESKTQEGQIRRCSPLLEKASLPSNGALASDEWKAVPDIWRSSAEKHGDRVAVVDPYHDPPSTMTYKQLEQEILDFTEGLRVIGVNPEEKVALFADNSCRWLVADQGIMAMGAINVVRGSRSAVEELLHIYNHSESVGLVVDNPEFFNRLAGTFSSKSTMRFLVLLWGEKAWLSSGETHGVPIFSYKEIIELGRESRVAHIGSHDARQGCKYEIIGSDDIATIVYTSGTTGNPKGVMLTHKNLLHQIENLWDVVPAEAGDRFLSMLPTWHVYERACEYFTFTHGIEQVYTTVRNLKDDLRHYQPQYLISVPLVYETLYRGIQKQISTSSTIRKLIAVSFIKVSLAYMEFKRIYEGLYLTRNTEQPSYLASLLDCLWARIIAAILWPLHVLAKKLVYQKIHSAIGISKAGINGGGSLPMHLDKFFEAIGVTVQNGYGLTESSPVVACRRPYCNVIGSIGHPIQHTEFKVVDSETGEVLPPGTRGIVKVRGPQVMKGYYKNPLATKQALDEDGWLDTGDIGWIAPYHLAGRSHRCGGVIVLEGRAKDTIVLSSGENVEPLEIEEAAMRSSLIQQIVVVGQDQRRLAAIIVPNKDEVLQAAKVSSIVDPDAVDLGRDKMTSLLCEELSKWTSECSFQVGPILVVDEPFTIDSGLMTPTMKLRRDQVVAKYKEEIANLYKWDSQN >KJB71989 pep chromosome:Graimondii2_0_v6:11:25909522:25923726:-1 gene:B456_011G152500 transcript:KJB71989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTSINPIKPPSSSASWNCYYWSCETRMATLLSSTSSFDYYNHSLHFLFSPSKLHCPRILVSKLPGLRFRVSSPSKSRVFCESKTQEGQIRRCSPLLEKASLPSNGALASDEWKAVPDIWRSSAEKHGDRVAVVDPYHDPPSTMTYKQLEQEILDFTEGLRVIGVNPEEKVALFADNSCRWLVADQGIMAMGAINVVRGSRSAVEELLHIYNHSESVGLVVDNPEFFNRLAGTFSSKSTMRFLVLLWGEKAWLSSGETHGVPIFSYKEIIELGRESRVAHIGSHDARQGCKYEIIGSDDIATIVYTSGTTGNPKGVMLTHKNLLHQIENLWDVVPAEAGDRFLSMLPTWHVYERACEYFTFTHGIEQVYTTVRNLKDDLRHYQPQYLISVPLVYETLYRGIQKQISTSSTIRKLIAVSFIKVSLAYMEFKRIYEGLYLTRNTEQPSYLASLLDCLWARIIAAILWPLHVLAKKLVYQKIHSAIGISKAGINGGGSLPMHLDKFFEAIGVTVQNGYGLTESSPVVACRRPYCNVIGSIGHPIQHTEFKVVDSETGEVLPPGTRGIVKVRGPQVMKGYYKVNIDSKFVKI >KJB71988 pep chromosome:Graimondii2_0_v6:11:25909730:25923726:-1 gene:B456_011G152500 transcript:KJB71988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTSINPIKPPSSSASWNCYYWSCETRMATLLSSTSSFDYYNHSLHFLFSPSKLHCPRILVSKLPGLRFRVSSPSKSRVFCESKTQEGQIRRCSPLLEKASLPSNGALASDEWKAVPDIWRSSAEKHGDRVAVVDPYHDPPSTMTYKQLEQEILDFTEGLRVIGVNPEEKVALFADNSCRWLVADQGIMAMGAINVVRGSRSAVEELLHIYNHSESVGLVVDNPEFFNRLAGTFSSKSTMRFLVLLWGEKAWLSSGETHGVPIFSYKEIIELGRESRVAHIGSHDARQGCKYEIIGSDDIATIVYTSGTTGNPKGVMLTHKNLLHQIENLWDVVPAEAGDRFLSMLPTWHVYERACEYFTFTHGIEQVYTTVRNLKDDLRHYQPQYLISVPLVYETLYRGIQKQISTSSTIRKLIAVSFIKVSLAYMEFKRIYEGLYLTRNTEQPSYLASLLDCLWARIIAAILWPLHVLAKKLVYQKIHSAIGISKAGINGGGSLPMHLDKFFEAIGVTVQNGYGLTESSPVVACRRPYCNI >KJB72074 pep chromosome:Graimondii2_0_v6:11:27471655:27473680:-1 gene:B456_011G156900 transcript:KJB72074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHRHDIESKYWICVYWWLRIWKASLTCTCMRVVVKDSEGREIESQLIPLSNSTLCIRSQYIKAYLGKKPREIAKYWVAFSVLVPPLGFSTYIVATTKETEGRSPTISTMNTYEASENNTIEVGQGSLKLLYSADEGKLTRYVNTRNSVTAFAEKSYGYYSGNDGTDKDPQASGAYVFRPNGTFSIKSENQTPLTVVRGPLLDEVYQQLHFSGK >KJB73786 pep chromosome:Graimondii2_0_v6:11:57987183:57988554:1 gene:B456_011G251900 transcript:KJB73786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIDDVPFFHTPLHVAASAGHIEFMMEMIKLKPTFARKLNQAGFSPMHLALQNHRTHAVLRLLRFDEGLVRVKGREDLTPLHHVVQNENLNLLKKFLEVCPEAIEDMTVRDETVFHLSVKNDMFEAFQVLVGWLMRRWYESPRWEKELLSWPDIDGNTVLHIAAIRNRPRVVNVLLEHMRRDQINAKNLEGLTALDIQSQYPWNERQADKIIDMLSKAGGLSGSSSSLPNTSISSFHIESLKDKMSRSQKWATRAGRVKKGMAHEMRNTFLVVTVLIITTTYEASLNPPKMPDDSPSMKYQVPSSQDEPLPLNTFLHKTDFNTAPIPSPSAIDVLDLDDWTFKYSSFLFCNTFTFWVAVFLTALLLPPHSFSSLILLTLSFFGRSYMNLFDVSAWSWGDSYEFSNENAHLLYVVASFCNVFFSTLLVFL >KJB69014 pep chromosome:Graimondii2_0_v6:11:247536:250352:1 gene:B456_011G002900 transcript:KJB69014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLCQTAIKLRPFTKPCTSSSRLVSLRTQSSQPNHFDQSDASSESSSDPLLRKLEDAIHRIIVRRSAPDWLPFLPGSSYWVPPPTSQSYGLAQIVEKLANPLTPEESLSTTTVRGWPSSEYFIKGGSPHPVEVEMKSNASSKSEEEG >KJB69016 pep chromosome:Graimondii2_0_v6:11:247544:250343:1 gene:B456_011G002900 transcript:KJB69016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLCQTAIKLRPFTKPCTSSSRLVSLRTQSSQPNHFDQSDASSESSSDPLLRKLEDAIHRIIVRRSAPDWLPFLPGSSYWVPPPTSQSYGLAQIVEKLANPLTPEESLSTTTVRGWPSSEYFIKGLFSYRHWVSLNKRLRHCFRPNTAAEN >KJB69013 pep chromosome:Graimondii2_0_v6:11:247544:249680:1 gene:B456_011G002900 transcript:KJB69013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLCQTAIKLRPFTKPCTSSSRLVSLRTQSSQPNHFDQSDASSESSSDPLLRKLEDAIHRIIVRRSAPDWLPFLPGSSYWVPPPTSQSYGLAQIVEKLANPLTPEESLSTTTVRGWPSSEYFIKGLIRRLKISD >KJB69015 pep chromosome:Graimondii2_0_v6:11:247544:250287:1 gene:B456_011G002900 transcript:KJB69015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLCQTAIKLRPFTKPCTSSSRLVSLRTQSSQPNHFDQSDASSESSSDPLLRKLEDAIHRIIVRRSAPDWLPFLPGSSYWVPPPTSQSYGLAQIVEKLANPLTPEESLSTTTVRGWPSSEYFIKGLFSYRHWVSLNKRLRHCFRPNTAAEN >KJB69012 pep chromosome:Graimondii2_0_v6:11:247544:250285:1 gene:B456_011G002900 transcript:KJB69012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLCQTAIKLRPFTKPCTSSSRLVSLRTQSSQPNHFDQSDASSESSSDPLLRKLEDAIHRIIVRRSAPDWLPFLPGSSYWVPPPTSQSYGLAQIVEKLANPLTPEESLSTTTVRGWPSSEYFIKGGSPHPVEVEMKSNASSKSEEEG >KJB71785 pep chromosome:Graimondii2_0_v6:11:22481317:22483904:-1 gene:B456_011G142300 transcript:KJB71785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPDIDFSLKETSPNLGGGRVSGSEKLTSSFDLVEQLHFLYVKMVRAKGLPPSPCNPFVEVKVGNYEGTTKSLENNPAPEWNQVFAFAKDRIQAVTVEITVRNKESSTNVDKVVGKLRFDIPDIPSRIPPDSPLAPQWYSLEDENGSKGERGELMLAIWMGTQADEAFPDAWHSDAASVNGESIANTRSKVYISPRLWYLRINIIQAQDLVPMNNKRIPQVYVKAMLGNMALRSRFSPDKSLNPTWNEDLMFVAAESFDDPLMLSVVDKLSDNKEEVLGSCSIHLSKVEKRLMPIPIEANWYNLEQSVQDNRNNKEVRFASRLHLRVCLDGGYHVLDESIYYSSDFRATSKFLWPPAIGVLELGILNASGLLPMKSKNGRGTTDAYCVAKYGPKWVRTRTIVNSFAPKWNEQYTWDVYDPYTVITIGVFDNCHLQKGSNAVDSQDRRIGKVRIRLSTLNTDRIYTLSYPLIVLEPNGVKKTGEIQLSVRFTCSSTWNLLQSYTQPLFPQMHYLLPLSVYQIESLRHQATHTLSSRLRRAEPPLQSEVVEYMLDVGSNVWSLRRGRANLERLLAAFNLLVEAWKWFDQIRKWKNPISTMAVHFLYSMLILFPDMILPLVFLMCVVHGASQYRKRPRHPPHMDTKLSLVESVQSDDFDEEFDTFPTSKNVKVLKKRYDRLRSIAGRMMTIIGDLATQAERLNSMLSWRDPRATSLFMAFCLIACIAFYLVPWRLFALGFGFFGMRHPRFRISIPSMPQNFFKRLPARTDSMI >KJB72740 pep chromosome:Graimondii2_0_v6:11:46879988:46888769:1 gene:B456_011G193900 transcript:KJB72740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQSMLVGGARYYQMQSEPLSSTISSSAESTRIFDELPKATIVSVSRPDAGDISPMLLSYTIEFRYKQFKWRLMKKASQVFYLHFALKRRLFIEEIHEKQEQVKEWLQNLGIGEHAPVVQDDDEPDDDALLLQQDESVKNRDVPSSAALPVIRPALGKQSSMSDRAKVAMQEYLNHFLGNMDIVNSREVCKFLEVSKLSFSPEYGPKLKEDYVMAKHLPKLAKDDDSDKCCACHWFNCCNDNWQKVWAVLKPGFLALLADPLDTKPLDIIVFDVLPALAGNTEGRASLAAEVKERNPLRHAFKVTCGSRSVRLRTKSSGKAKDWVAAINDAGLRPPEGWCHPHRFGSFAPQRGLTEDGSQAQWFVDGRAAFDAIASSIEDAKSEIFICGWWLCPELYLRRPFHEQASSRLDALLEAKAKQGVQIYILLYKELALALKINSVYSKRKLLSIHENVRVLRYPDHFSTGVYLWSHHEKIVIVDYQICFIGGLDLCFGRYDTHEHKVGDNPPSVWPGKDYYNPRESEPNSWEDTVKDELDRGKYPRMPWHDVHCALWGPSCRDVARHFVQRWNYAKRNKAPYEEAIPLLMPQQHMVIPHYMGRSKEIEFESKNVEENNKGIKRRDSFSSGSSLQDIPLLLSQEAKELDSCTLSPKSNGLDTTASKSVSFAFGKSKIEPAVADTPMKGFVDDLGSLDLYNEKSSDVKWQPEAELSDSDWWEMQERAAQGGFVDEAGQVGPRTSCRCQIIRSVSQWSAGTSQIEESIHCGYCSLIDKAEHFVYIENQFFISGLSGDEIIRNRVLEALYRRIMQAYNDKKCFRVIIVIPLLPGFQGGLDDAGAASVRAIMHWQYRTICRGQNSILHNLYDLLGPKAHDYISFYGLRAHGKLFDGGPVATSPVYVHSKVMIIDDRAALIGSANINDRSLLGSRDSEIGVLIEDKEFVDSWMGGNPWKAGKFALSLRLALWSEHLGLHRGEINQIIDPIIDSSYKDIWVGTAKVSRVSQPNETRWLTWF >KJB72739 pep chromosome:Graimondii2_0_v6:11:46879955:46888869:1 gene:B456_011G193900 transcript:KJB72739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQSMLVGGARYYQMQSEPLSSTISSSAESTRIFDELPKATIVSVSRPDAGDISPMLLSYTIEFRYKQFKWRLMKKASQVFYLHFALKRRLFIEEIHEKQEQVKEWLQNLGIGEHAPVVQDDDEPDDDALLLQQDESVKNRDVPSSAALPVIRPALGKQSSMSDRAKVAMQEYLNHFLGNMDIVNSREVCKFLEVSKLSFSPEYGPKLKEDYVMAKHLPKLAKDDDSDKCCACHWFNCCNDNWQKVWAVLKPGFLALLADPLDTKPLDIIVFDVLPALAGNTEGRASLAAEVKERNPLRHAFKVTCGSRSVRLRTKSSGKAKDWVAAINDAGLRPPEGWCHPHRFGSFAPQRGLTEDGSQAQWFVDGRAAFDAIASSIEDAKSEIFICGWWLCPELYLRRPFHEQASSRLDALLEAKAKQGVQIYILLYKELALALKINSVYSKRKLLSIHENVRVLRYPDHFSTGVYLWSHHEKIVIVDYQICFIGGLDLCFGRYDTHEHKVGDNPPSVWPGKDYYNPRESEPNSWEDTVKDELDRGKYPRMPWHDVHCALWGPSCRDVARHFVQRWNYAKRNKAPYEEAIPLLMPQQHMVIPHYMGRSKEIEFESKNVEENNKGIKRRDSFSSGSSLQDIPLLLSQEAKELDSCTLSPKSNGLDTTASKSVSFAFGKSKIEPAVADTPMKGFVDDLGSLDLYNEKSSDVKWQPEAELSDSDWWEMQERAAQGGFVDEAGQVGPRTSCRCQIIRSVSQWSAGTSQIEESIHCGYCSLIDKAEHFVYIENQFFISGLSGDEIIRNRVLEALYRRIMQAYNDKKCFRVIIVIPLLPGFQGGLDDAGAASVRAIMHWQYRTICRGQNSILHNLYDLLGPKAHDYISFYGLRAHGKLFDGGPVATSPVYVHSKVMIIDDRAALIGSANINDRSLLGSRDSEIGVLIEDKEFVDSWMGGNPWKAGKFALSLRLALWSEHLGLHRGEINQIIDPIIDSSYKDIWVGTAKACAPTKHCVLERKTRSHYNRFRNSPYKIRIISQRRG >KJB72741 pep chromosome:Graimondii2_0_v6:11:46879988:46888769:1 gene:B456_011G193900 transcript:KJB72741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQSMLVGGARYYQMQSEPLSSTISSSAESTRIFDELPKATIVSVSRPDAGDISPMLLSYTIEFRYKQFKWRLMKKASQVFYLHFALKRRLFIEEIHEKQEQVKEWLQNLGIGEHAPVVQDDDEPDDDALLLQQDESVKNRDVPSSAALPVIRPALGKQSSMSDRAKVAMQEYLNHFLGNMDIVNSREVCKFLEVSKLSFSPEYGPKLKEDYVMAKHLPKLAKDDDSDKCCACHWFNCCNDNWQKVWAVLKPGFLALLADPLDTKPLDIIVFDVLPALAGNTEGRASLAAEVKERNPLRHAFKVTCGSRSVRLRTKSSGKAKDWVAAINDAGLRPPEGWCHPHRFGSFAPQRGLTEDGSQAQWFVDGRAAFDAIASSIEDAKSEIFICGWWLCPELYLRRPFHEQASSRLDALLEAKAKQGVQIYILLYKELALALKINSVYSKRKLLSIHENVRVLRYPDHFSTGVYLWSHHEKIVIVDYQICFIGGLDLCFGRYDTHEHKVGDNPPSVWPGKDYYNPRESEPNSWEDTVKDELDRGKYPRMPWHDVHCALWGPSCRDVARHFVQRWNYAKRNKAPYEEAIPLLMPQQHMVIPHYMGRSKEIEFESKNVEENNKGIKRRDSFSSGSSLQDIPLLLSQEAKELDSCTLSPKSNGLDTTASKSVSFAFGKSKIEPAVADTPMKGFVDDLGSLDLYNEKSSDVKWQPEAELSDSDWWEMQERAAQGGFVDEAGQVGPRTSCRCQIIRSVSQWSAGTSQIEESIHCGYCSLIDKAEHFVYIENQFFISGLSGDEIIRNRVLEALYRRIMQAYNDKKCFRVIIVIPLLPGFQGGLDDAGAASVRAIMHWQYRTICRGQNSILHNLYDLLGPKAHDYISFYGLRAHGKLFDGGPVATSPVYVHSKVMIIDDRAALIGSANINDRSLLGSRDSEIGVLIEDKEFVDSWMGGNPWKAGKFALSLRLALWSEHLGLHRGEINQIIDPIIDSSYKDIWVGTAKVRTGIPLIFNTFSFYILKCSN >KJB72738 pep chromosome:Graimondii2_0_v6:11:46880104:46888086:1 gene:B456_011G193900 transcript:KJB72738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQSMLVGGARYYQMQSEPLSSTISSSAESTRIFDELPKATIVSVSRPDAGDISPMLLSYTIEFRYKQFKWRLMKKASQVFYLHFALKRRLFIEEIHEKQEQVKEWLQNLGIGEHAPVVQDDDEPDDDALLLQQDESVKNRDVPSSAALPVIRPALGKQSSMSDRAKVAMQEYLNHFLGNMDIVNSREVCKFLEVSKLSFSPEYGPKLKEDYVMAKHLPKLAKDDDSDKCCACHWFNCCNDNWQKVWAVLKPGFLALLADPLDTKPLDIIVFDVLPALAGNTEGRASLAAEVKERNPLRHAFKVTCGSRSVRLRTKSSGKAKDWVAAINDAGLRPPEGWCHPHRFGSFAPQRGLTEDGSQAQWFVDGRAAFDAIASSIEDAKSEIFICGWWLCPELYLRRPFHEQASSRLDALLEAKAKQGVQIYILLYKELALALKINSVYSKRKLLSIHENVRVLRYPDHFSTGVYLWSHHEKIVIVDYQICFIGGLDLCFGRYDTHEHKVGDNPPSVWPGKDYYNPRESEPNSWEDTVKDELDRGKYPRMPWHDVHCALWGPSCRDVARHFVQRWNYAKRNKAPYEEAIPLLMPQQHMVIPHYMGRSKEIEFESKNVEENNKGIKRRDSFSSGSSLQDIPLLLSQEAKELDSCTLSPKSNGLDTTASKSVSFAFGKSKIEPAVADTPMKGFVDDLGSLDLYNEKSSDVKWQPEAELSDSDWWEMQERAAQGGFVDEAGQVGPRTSCRCQIIRSVSQWSAGTSQIEESIHCGYCSLIDKAEHFVYIENQFFISGLSGDEIIRNRVLEALYRRIMQAYNDKKCFRVIIVIPLLPGFQGGLDDAGAASVRAIMHWQYRTICRGQNSILHNLYDLLGPKAHDYISFYGLRAHGKLFDGGPVATSPVYVHSKVMIIDDRAALIGSANINDRSLLGSRDSEIGVLIEDKEFVDSWMGGNPWKAGKFALSLRLALWSEHLGLHRGEINQIIDPIIDSSYKDIWVGTAKPGK >KJB72742 pep chromosome:Graimondii2_0_v6:11:46879988:46888769:1 gene:B456_011G193900 transcript:KJB72742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQSMLVGGARYYQMQSEPLSSTISSSAESTRIFDELPKATIVSVSRPDAGDISPMLLSYTIEFRYKQFKWRLMKKASQVFYLHFALKRRLFIEEIHEKQEQVKEWLQNLGIGEHAPVVQDDDEPDDDALLLQQDESVKNRDVPSSAALPVIRPALGKQSSMSDRAKVAMQEYLNHFLGNMDIVNSREVCKFLEVSKLSFSPEYGPKLKEDYVMAKHLPKLAKDDDSDKCCACHWFNCCNDNWQKVWAVLKPGFLALLADPLDTKPLDIIVFDVLPALAGNTEGRASLAAEVKERNPLRHAFKVTCGSRSVRLRTKSSGKAKDWVAAINDAGLRPPEGWCHPHRFGSFAPQRGLTEDGSQAQWFVDGRAAFDAIASSIEDAKSEIFICGWWLCPELYLRRPFHEQASSRLDALLEAKAKQGVQIYILLYKELALALKINSVYSKRKLLSIHENVRVLRYPDHFSTGVYLWSHHEKIVIVDYQICFIGGLDLCFGRYDTHEHKVGDNPPSVWPGKDYYNPRESEPNSWEDTVKDELDRGKYPRMPWHDVHCALWGPSCRDVARHFVQRWNYAKRNKAPYEEAIPLLMPQQHMVIPHYMGRSKEIEFESKNVEENNKGIKRRDSFSSGSSLQDIPLLLSQEAKELDSCTLSPKSNGLDTTASKSVSFAFGKSKIEPAVADTPMKGFVDDLGSLDLYNEKSSDVKWQPEAELSDSDWWEMQERAAQGGFVDEAGQVGPRTSCRCQIIRSVSQWSAGTSQIEESIHCGYCSLIDKAEHFVYIENQFFISGLSGDEIIRNRVLEALYRRIMQAYNDKKCFRVIIVIPLLPGFQGGLDDAGAASVRAIMHWQYRTICRGQNSILHNLYDLLGPKAHDYISFYGLRAHGKLFDGGPVATSPVYVHSKVMIIDDRAALIGSANINDRSLLGSRDSEIGVLIEDKEFVDSWMGGNPWKAGKFALSLRLALWSEHLGLHRGEVYKSNN >KJB72737 pep chromosome:Graimondii2_0_v6:11:46879875:46888869:1 gene:B456_011G193900 transcript:KJB72737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQSMLVGGARYYQMQSEPLSSTISSSAESTRIFDELPKATIVSVSRPDAGDISPMLLSYTIEFRYKQFKWRLMKKASQVFYLHFALKRRLFIEEIHEKQEQVKEWLQNLGIGEHAPVVQDDDEPDDDALLLQQDESVKNRDVPSSAALPVIRPALGKQSSMSDRAKVAMQEYLNHFLGNMDIVNSREVCKFLEVSKLSFSPEYGPKLKEDYVMAKHLPKLAKDDDSDKCCACHWFNCCNDNWQKVWAVLKPGFLALLADPLDTKPLDIIVFDVLPALAGNTEGRASLAAEVKERNPLRHAFKVTCGSRSVRLRTKSSGKAKDWVAAINDAGLRPPEGWCHPHRFGSFAPQRGLTEDGSQAQWFVDGRAAFDAIASSIEDAKSEIFICGWWLCPELYLRRPFHEQASSRLDALLEAKAKQGVQIYILLYKELALALKINSVYSKRKLLSIHENVRVLRYPDHFSTGVYLWSHHEKIVIVDYQICFIGGLDLCFGRYDTHEHKVGDNPPSVWPGKDYYNPRESEPNSWEDTVKDELDRGKYPRMPWHDVHCALWGPSCRDVARHFVQRWNYAKRNKAPYEEAIPLLMPQQHMVIPHYMGRSKEIEFESKNVEENNKGIKRRDSFSSGSSLQDIPLLLSQEAKELDSCTLSPKSNGLDTTASKSVSFAFGKSKIEPAVADTPMKGFVDDLGSLDLYNEKSSDVKWQPEAELSDSDWWEMQERAAQGGFVDEAGQVGPRTSCRCQIIRSVSQWSAGTSQIEESIHCGYCSLIDKAEHFVYIENQFFISGLSGDEIIRNRVLEALYRRIMQAYNDKKCFRVIIVIPLLPGFQGGLDDAGAASVRAIMHWQYRTICRGQNSILHNLYDLLGPKAHDYISFYGLRAHGKLFDGGPVATSPVYVHSKVMIIDDRAALIGSANINDRSLLGSRDSEIGVLIEDKEFVDSWMGGNPWKAGKFALSLRLALWSEHLGLHRGEINQIIDPIIDSSYKDIWVGTAKMNTTIYQDVFSCVPSDLIHSRLALRQSIVYWKERLGHTTIDLGIAPTKLESYHNGEVKQVDPLERLKSVRGHLVSFPLDFMCKEDLRPVFNESEYYASPQVFH >KJB69630 pep chromosome:Graimondii2_0_v6:11:2593531:2598143:-1 gene:B456_011G034900 transcript:KJB69630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAESVVPKHKLKIKFPILRIESVSAPQSLEFGQQLSSSFDGKRKSYPGNSKETYGASKRRPEGVIMGGPQEKRRKMDRSMTQQCTTLLNALMKHPAGWVFNQPVDPIALKIPDYFSIIKNPMDLGTIKSKLVKNAYLGIEEFVADIRLTFSNAMLYNPPSNNVHKMAQEMNEFFESRWKSLEEKWNQENFKVGNGKISSVRLKDVDESRQGCPKIQLSRNSSLPKKSKPSEEKVENVTLNVRAAEVETPKPTRNSVSKLPGKNLQKGTSSASSSGGCTNGPINAKPPLSPGACKCSSCGSMKCQCSLPCDSNHASSSGKRKIGTEAARRKGKD >KJB69629 pep chromosome:Graimondii2_0_v6:11:2594141:2597046:-1 gene:B456_011G034900 transcript:KJB69629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAESVVPKHKLKIKFPILRIESVSAPQSLEFGQQLSSSFDGKRKSYPGNSKETYGASKRRPEGVIMGGPQEKRRKMDRSMTQQCTTLLNALMKHPAGWVFNQPVDPIALKIPDYFSIIKNPMDLGTIKSKLVKNAYLGIEEFVADIRLTFSNAMLYNPPSNNVHKMAQEMNEFFESRWKSLEEKWNQENFKVGNGKISSVRLKDVDESRQGCPKIQLSRNSSLPKKSKPSEEKVENVTLNVRAAEVETPKPTRNSVSKLPGKNLQKGTSSASSSGGCTNGPINAKPPLSPGACKCSSCGSMKCQCSLPCDSNHASSSDVTSERLLGGDLRVCGADASKLDCQAKSTLTSQMSKSDPDSNGAVSALDEGNVSLSPHLTTPATDAGSGEGFSTPIFDAQMSPKKALRAAMLKSRFAETILKAQKKTLLDHVKKDWNGGSAKKRQRLKLKSGLLNMLPK >KJB69626 pep chromosome:Graimondii2_0_v6:11:2593430:2598206:-1 gene:B456_011G034900 transcript:KJB69626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAESVVPKHKLKIKFPILRIESVSAPQSLEFGQQLSSSFDGKRKSYPGNSKETYGASKRRPEGVIMGGPQEKRRKMDRSMTQQCTTLLNALMKHPAGWVFNQPVDPIALKIPDYFSIIKNPMDLGTIKSKLVKNAYLGIEEFVADIRLTFSNAMLYNPPSNNVHKMAQEMNEFFESRWKSLEEKWNQENFKVGNGKISSVRLKDVDESRQGCPKIQLSRNSSLPKKSKPSEEKVENVTLNVRAAEVETPKPTRNSVSKLPGKNLQKGTSSASSSGGCTNGPINAKPPLSPGACKCSSCGSMKCQCSLPCDSNHASSSDVTSERLLGGDLRVCGADASKLDCQAKSTLTSQMSKSDPDSNGAVSALDEGNVSLSPHLTTPATDAGSGEGFSTPIFDAQMSPKKALRAAMLKSRFAETILKAQKKTLLDHGDKADPVKLQQERERLERRQREEKAKIEAQIRAAEYAAKMKAESELKKQREREREAARLALQKMEKTAEIEQNLEILKELETLLGCCLYSNQFYGRKSGACERVIEGGAYGMIKGENPLHKLGLFFKDEYFEDEEDEDAFLNEDIVCEEGEIL >KJB69628 pep chromosome:Graimondii2_0_v6:11:2593531:2598143:-1 gene:B456_011G034900 transcript:KJB69628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAESVVPKHKLKIKFPILRIESVSAPQSLEFGQQLSSSFDGKRKSYPGNSKETYGASKRRPEGVIMGGPQEKRRKMDRSMTQQCTTLLNALMKHPAGWVFNQPVDPIALKIPDYFSIIKNPMDLGTIKSKLVKNAYLGIEEFVADIRLTFSNAMLYNPPSNNVHKMAQEMNEFFESRWKSLEEKWNQENFKVGNGKISSVRLKDVDESRQGCPKIQLSRNSSLPKKSKPSEEKVENVTLNVRAAEVETPKPTRNSVSKLPGKNLQKDVTSERLLGGDLRVCGADASKLDCQAKSTLTSQMSKSDPDSNGAVSALDEGNVSLSPHLTTPATDAGSGEGFSTPIFDAQMSPKKALRAAMLKSRFAETILKAQKKTLLDHVKKDWNGGSAKKRQRLKLKSGLLNMLPK >KJB69623 pep chromosome:Graimondii2_0_v6:11:2593430:2598194:-1 gene:B456_011G034900 transcript:KJB69623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAESVVPKHKLKIKFPILRIESVSAPQSLEFGQQLSSSFDGKRKSYPGNSKETYGASKRRPEGVIMGGPQEKRRKMDRSMTQQCTTLLNALMKHPAGWVFNQPVDPIALKIPDYFSIIKNPMDLGTIKSKLVKNAYLGIEEFVADIRLTFSNAMLYNPPSNNVHKMAQEMNEFFESRWKSLEEKWNQENFKVGNGKISSVRLKDVDESRQGCPKIQLSRNSSLPKKSKPSEEKVENVTLNVRAAEVETPKPTRNSVSKLPGKNLQKGTSSASSSGGCTNGPINAKPPLSPGACKCSSCGSMKCQCSLPCDSNHASSSDVTSERLLGGDLRVCGADASKLDCQAKSTLTSQMSKSDPDSNGAVSALDEGNVSLSPHLTTPATDAGSGEGFSTPIFDAQMSPKKALRAAMLKSRFAETILKAQKKTLLDHQERERLERRQREEKAKIEAQIRAAEYAAKMKAESELKKQREREREAARLALQKMEKTAEIEQNLEILKELETLLGCCLYSNQFYGRKSGACERVIEGGAYGMIKGENPLHKLGLFFKDEYFEDEEDEDAFLNEDIVCEEGEIL >KJB69624 pep chromosome:Graimondii2_0_v6:11:2593709:2597046:-1 gene:B456_011G034900 transcript:KJB69624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAESVVPKHKLKIKFPILRIESVSAPQSLEFGQQLSSSFDGKRKSYPGNSKETYGASKRRPEGVIMGGPQEKRRKMDRSMTQQCTTLLNALMKHPAGWVFNQPVDPIALKIPDYFSIIKNPMDLGTIKSKLVKNAYLGIEEFVADIRLTFSNAMLYNPPSNNVHKMAQEMNEFFESRWKSLEEKWNQENFKVGNGKISSVRLKDVDESRQGCPKIQLSRNSSLPKKSKPSEEKVENVTLNVRAAEVETPKPTRNSVSKLPGKNLQKGTSSASSSGGCTNGPINAKPPLSPGACKCSSCGSMKCQCSLPCDSNHASSSDVTSERLLGGDLRVCGADASKLDCQAKSTLTSQMSKSDPDSNGAVSALDEGNVSLSPHLTTPATDAGSGEGFSTPIFDAQMSPKKALRAAMLKSRFAETILKAQKKTLLDHQERERLERRQREEKAKIEAQIRAAEYAAKMKAESELKKQREREREAARLALQKMEKTAEIEQNLEILKELETLLGCCLYSNQFYGRKSGACERVIEGGAYGMIKGENPLHKLGLFFKDEYFEDEEDEDAFLNEDIVCEEGEIL >KJB69627 pep chromosome:Graimondii2_0_v6:11:2593463:2598143:-1 gene:B456_011G034900 transcript:KJB69627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAESVVPKHKLKIKFPILRIESVSAPQSLEFGQQLSSSFDGKRKSYPGNSKETYGASKRRPEGVIMGGPQEKRRKMDRSMTQQCTTLLNALMKHPAGWVFNQPVDPIALKIPDYFSIIKNPMDLGTIKSKLVKNAYLGIEEFVADIRLTFSNAMLYNPPSNNVHKMAQEMNEFFESRWKSLEEKWNQENFKVGNGKISSVRLKDVDESRQGCPKIQLSRNSSLPKKSKPSEEKVENVTLNVETPKPTRNSVSKLPGKNLQKGTSSASSSGGCTNGPINAKPPLSPGACKCSSCGSMKCQCSLPCDSNHASSSDVTSERLLGGDLRVCGADASKLDCQAKSTLTSQMSKSDPDSNGAVSALDEGNVSLSPHLTTPATDAGSGEGFSTPIFDAQMSPKKALRAAMLKSRFAETILKAQKKTLLDHGDKADPVKLQQERERLERRQREEKAKIEAQIRAAEYAAKMKAESELKKQREREREAARLALQKMEKTAEIEQNLEILKELETLLGCCLYSNQFYGRKSGACERVIEGGAYGMIKGENPLHKLGLFFKDEYFEDEEDEDAFLNEDIVCEEGEIL >KJB69625 pep chromosome:Graimondii2_0_v6:11:2593709:2597046:-1 gene:B456_011G034900 transcript:KJB69625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAESVVPKHKLKIKFPILRIESVSAPQSLEFGQQLSSSFDGKRKSYPGNSKETYGASKRRPEGVIMGGPQEKRRKMDRSMTQQCTTLLNALMKHPAGWVFNQPVDPIALKIPDYFSIIKNPMDLGTIKSKLVKNAYLGIEEFVADIRLTFSNAMLYNPPSNNVHKMAQEMNEFFESRWKSLEEKWNQENFKVGNGKISSVRLKDVDESRQGCPKIQLSRNSSLPKKSKPSEEKVENVTLNVRAAEVETPKPTRNSVSKLPGKNLQKGTSSASSSGGCTNGPINAKPPLSPGACKCSSCGSMKCQCSLPCDSNHASSSDVTSERLLGGDLRVCGADASKLDCQAKSTLTSQMSKSDPDSNGAVSALDEGNVSLSPHLTTPATDAGSGEGFSTPIFDAQMSPKKALRAAMLKSRFAETILKAQKKTLLDHGDKADPVKLQQERERLERRQREEKAKIEAQIRAAEYAAKMKAESELKKQREREREAARLALQKMEKTAEIEQNLEILKELETLLGCCLYSNQFYGRKSGACERVIEGGAYGMIKGENPLHKLGLFFKDEYFEDEEDEDAFLNEDIVCEEGEIL >KJB69631 pep chromosome:Graimondii2_0_v6:11:2594821:2598143:-1 gene:B456_011G034900 transcript:KJB69631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAESVVPKHKLKIKFPILRIESVSAPQSLEFGQQLSSSFDGKRKSYPGNSKETYGASKRRPEGVIMGGPQEKRRKMDRSMTQQCTTLLNALMKHPAGWVFNQPVDPIALKIPDYFSIIKNPMDLGTIKSKLVKNAYLGIEEFVADIRLTFSNAMLYNPPSNNVHKMAQEMNEFFESRWKSLEEKWNQENFKVGNGKISSVRLKDVDESRQGCPKIQLSRNSSLPKKSKPSEEKVENVTLNVRAAEVETPKPTRNSVSKLPGKNLQKGTSSASSSGGCTNGPINAKPPLSPGACKCSSCGSMKCQCSLPCDSNHASSSDVTSERLLGGDLRVCGADASKLDCQAKSTLTSQMSKSDPDSNGAVSALDEGNVSLSPHLTTPATDAGSGEGFSTPIFDAQMSPKKALRAAMLKSRFAETILKAQKKTLLDHVSLCKVIFFSF >KJB70784 pep chromosome:Graimondii2_0_v6:11:9854894:9862109:1 gene:B456_011G091100 transcript:KJB70784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 1 [Source:Projected from Arabidopsis thaliana (AT1G59750) UniProtKB/Swiss-Prot;Acc:Q8L7G0] MAFPASDIPSAEQQADDPLYRELWHACAGPLVTLPRVGERVYYFPQGHMEQLEASMHQGLEHQMPSFDLPSKILCKVASVQRKAEPDTDEVYAQITLVPEVDQSEVMSPDDPLQEPERCIVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMTQQPPWQELIATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGANGDLRVGVRRLMRQQANMPSSVISSHSMHLGVLATASHALSTRSMFSIFYKPRTSLSEFIVSVNKYLEARSHKLSVGMRFKMRFEGEEVPERRFSGTIVGVEDNKSSAWADSEWRSLKVQWDEPSSIIRPDRVSPWELEPLVATSNSSISQPAQRNKRARPPVLPSPSSDLSSLGIWKSPVESPFSYCDAQRGQPSPKLSSTAKPNSVGFSGNSSLAAVSSSSKYWPNRVENVTESVAPVVNKESSERKQGTGNGCRLFGIQLLDNINMEENSPLATISGTGVNDQPLHSLDANSDQQSDPSNLNQSDLPSISCEPEKCLRSPQESQSKQIRSCTKVHMQGMAVGRAVDLTRFDCYEDLLKKLEYMFDIKGQLCGSTKNWQVVYTDDEDDMMMVGDDPWNEFCSMVRKIFIYTSEEVRKLSPKIKLPVNDDDDDSKATKAGVDTVINPEDRSSIVGQGC >KJB70781 pep chromosome:Graimondii2_0_v6:11:9854815:9862109:1 gene:B456_011G091100 transcript:KJB70781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 1 [Source:Projected from Arabidopsis thaliana (AT1G59750) UniProtKB/Swiss-Prot;Acc:Q8L7G0] MAFPASDIPSAEQQADDPLYRELWHACAGPLVTLPRVGERVYYFPQGHMEQLEASMHQGLEHQMPSFDLPSKILCKVASVQRKAEPDTDEVYAQITLVPEVDQSEVMSPDDPLQEPERCIVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMTQQPPWQELIATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGANGDLRVGVRRLMRQQANMPSSVISSHSMHLGVLATASHALSTRSMFSIFYKPRTSLSEFIVSVNKYLEARSHKLSVGMRFKMRFEGEEVPERRFSGTIVGVEDNKSSAWADSEWRSLKVQWDEPSSIIRPDRVSPWELEPLVATSNSSISQPAQRNKRARPPVLPSPSSDLSSLGIWKSPVESPFSYCDAQRGQPSPKLSSTAKPNSVGFSGNSSLAAVSSSSKYWPNRVENVTESVAPVVNKESSERKQGTGNGCRLFGIQLLDNINMEENSPLATISGTGVNDQPLHSLDANSDQQSDPSNLNQSDLPSISCEPEKCLRSPQESQSKQIRSCTKVHMQGMAVGRAVDLTRFDCYEDLLKKLEYMFDIKGQLCGSTKNWQVVYTDDEDDMMMVGDDPWNEFCSMVRKIFIYTSEEVRKLSPKIKLPVNDDDDDSKATKAGVDTVINPEDRSSIVGQGC >KJB70785 pep chromosome:Graimondii2_0_v6:11:9854815:9862109:1 gene:B456_011G091100 transcript:KJB70785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 1 [Source:Projected from Arabidopsis thaliana (AT1G59750) UniProtKB/Swiss-Prot;Acc:Q8L7G0] MAFPASDIPSAEQQADDPLYRELWHACAGPLVTLPRVGERVYYFPQGHMEQLEASMHQGLEHQMPSFDLPSKILCKVASVQRKAEPDTDEVYAQITLVPEVDQSEVMSPDDPLQEPERCIVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMTQQPPWQELIATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGANGDLRVGVRRLMRQQANMPSSVISSHSMHLGVLATASHALSTRSMFSIFYKPRTSLSEFIVSVNKYLEARSHKLSVGMRFKMRFEGEEVPERRFSGTIVGVEDNKSSAWADSEWRSLKVQWDEPSSIIRPDRVSPWELEPLVATSNSSISQPAQRNKRARPPVLPSPSSDLSSLGIWKSPVESPFSYCDAQRGQPSPKLSSTAKPNSVGFSGNSSLAAVSSSSKYWPNRVENVTESVAPVVNKESSERKQGTGNGCRLFGIQLLDNINMEENSPLATISGTGVNDQPLHSLDANSDQQSDPSNLNQSDLPSISCEPEKCLRSPQESQSKQIRSCTKVHMQGMAVGRAVDLTRFDCYEDLLKKLEYMFDIKGQLCGSTKNWQVVYTDDEDDMMMVGDDPWNEFCSMVRKIFIYTSEEVRKLSPKIKLPVNDDDDDSKATKAGVDTVINPEDRSSIVGQGC >KJB70783 pep chromosome:Graimondii2_0_v6:11:9855374:9862109:1 gene:B456_011G091100 transcript:KJB70783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 1 [Source:Projected from Arabidopsis thaliana (AT1G59750) UniProtKB/Swiss-Prot;Acc:Q8L7G0] MAFPASDIPSAEQQADDPLYRELWHACAGPLVTLPRVGERVYYFPQGHMEQLEASMHQGLEHQMPSFDLPSKILCKVASVQRKAEPDTDEVYAQITLVPEVDQSEVMSPDDPLQEPERCIVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMTQQPPWQELIATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGANGDLRVGVRRLMRQQANMPSSVISSHSMHLGVLATASHALSTRSMFSIFYKPRTSLSEFIVSVNKYLEARSHKLSVGMRFKMRFEGEEVPERRFSGTIVGVEDNKSSAWADSEWRSLKVQWDEPSSIIRPDRVSPWELEPLVATSNSSISQPAQRNKRARPPVLPSPSSDLSSLGIWKSPVESPFSYCDAQRGQPSPKLSSTAKPNSVGFSGNSSLAAVSSSSKYWPNRVENVTESVAPVVNKESSERKQGTGNGCRLFGIQLLDNINMEENSPLATISGTGVNDQPLHSLDANSDQQSDPSNLNQSDLPSISCEPEKCLRSPQESQSKQIRSCTKVHMQGMAVGRAVDLTRFDCYEDLLKKLEYMFDIKGQLCGSTKNWQVVYTDDEDDMMMVGDDPWNEFCSMVRKIFIYTSEEVRKLSPKIKLPVNDDDDDSKATKAGVDTVINPEDRSSIVGQGC >KJB70782 pep chromosome:Graimondii2_0_v6:11:9854854:9862109:1 gene:B456_011G091100 transcript:KJB70782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 1 [Source:Projected from Arabidopsis thaliana (AT1G59750) UniProtKB/Swiss-Prot;Acc:Q8L7G0] MAFPASDIPSAEQQADDPLYRELWHACAGPLVTLPRVGERVYYFPQGHMEQLEASMHQGLEHQMPSFDLPSKILCKVASVQRKAEPDTDEVYAQITLVPEVDQSEVMSPDDPLQEPERCIVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMTQQPPWQELIATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGANGDLRVGVRRLMRQQANMPSSVISSHSMHLGVLATASHALSTRSMFSIFYKPRTSLSEFIVSVNKYLEARSHKLSVGMRFKMRFEGEEVPERRFSGTIVGVEDNKSSAWADSEWRSLKVQWDEPSSIIRPDRVSPWELEPLVATSNSSISQPAQRNKRARPPVLPSPSSDLSSLGIWKSPVESPFSYCDAQRGQPSPKLSSTAKPNSVGFSGNSSLAAVSSSSKYWPNRVENVTESVAPVVNKESSERKQGTGNGCRLFGIQLLDNINMEENSPLATISGTGVNDQPLHSLDANSDQQSDPSNLNQSDLPSISCEPEKCLRSPQESQSKQIRSCTKVHMQGMAVGRAVDLTRFDCYEDLLKKLEYMFDIKGQLCGSTKNWQVVYTDDEDDMMMVGDDPWNEFCSMVRKIFIYTSEEVRKLSPKIKLPVNDDDDDSKATKAGVDTVINPEDRSSIVGQGC >KJB72735 pep chromosome:Graimondii2_0_v6:11:46890395:46891540:1 gene:B456_011G194000 transcript:KJB72735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFCGIFDGHGPWGHIIAKRVKQSVPPSLLCNWQKALALTSLGQELNTEPNRSTRNHQFDIWKQSCLKTYADIDQELKHHPGIDSFHSGTTALTIIKQGEHLVIANVGDSRAVLATISDDGNLIPFQLTIDFKPNIPEEAERIRQSQGRVSCLRDEPGVYRVWMPKGDAQGLAVSRAIGDHCVKEFGLISVPDVTQRNITNKDQFVILATDGVWDVISNEEAVEIVCSTDDREKSAKRLVQCAMRAWRSKKRGIAMDDISAICLFFHPKLFQEVNPFKASK >KJB72734 pep chromosome:Graimondii2_0_v6:11:46889981:46892175:1 gene:B456_011G194000 transcript:KJB72734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFFPSFLDGLARTVSMKKGKNTCSTEDDIGREVAETLAKDAKKNDLMLSSSATFKSNKSNKFASICSKRGQKGINQDCTIVWEEFGCQEDMIFCGIFDGHGPWGHIIAKRVKQSVPPSLLCNWQKALALTSLGQELNTEPNRSTRNHQFDIWKQSCLKTYADIDQELKHHPGIDSFHSGTTALTIIKQGEHLVIANVGDSRAVLATISDDGNLIPFQLTIDFKPNIPEEAERIRQSQGRVSCLRDEPGVYRVWMPKGDAQGLAVSRAIGDHCVKEFGLISVPDVTQRNITNKDQFVILATDGVWDVISNEEAVEIVCSTDDREKSAKRLVQCAMRAWRSKKRGIAMDDISAICLFFHPKLFQEVNPFKASK >KJB73983 pep chromosome:Graimondii2_0_v6:11:59672319:59675455:1 gene:B456_011G265200 transcript:KJB73983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALFSEKVAVPSLEDMEINLSNVKMIFYNDLAPGSFKNLRKINVWGCGSLKNLFPASIAKDLPQLEHLSITDCGVEEIVSKGDGVEEQPVRFEFPQVSYLGVTGVEKLKCFYEGQHTIVWPMLKKLKTDGSALLKIVAWEHLRLIQGNEEPVLLGEEVIPKLEELELRTSGDMDQFPPDLFQHIKVFALSGGSPFSLFPFVRRFYNLERLEFSYFDFKHIVPCKGDAGTLPPIRNLKLVSARNLKHIWRKDSELDHILSNLQTLTVEDCDDWINIRVFSSSLQNLTILNVSFCEMMTNLVTPSVLKNLVQLTTIKVENCTKMTEIVGNEGECHQTIVVSKLKCLHLCNLKRLTSFCPWYYNFEFPCLAELVVEHCPWLKIFSEGVLSTPQLQRIKRSRYDEKWSWTSDLNTTIQQLYTEKDGLYFPYDFNISDTFPESMEIWTRNPQEILGFKNLSSMQF >KJB71400 pep chromosome:Graimondii2_0_v6:11:16758048:16762515:1 gene:B456_011G121100 transcript:KJB71400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKGNGNPFVSGGINNPSSKGKDISGSSSSKVEQLSQGVADMNLGLPQDDGEWEVIQRKPKNRTGSSAARPWGPQNSNGKPRGAMRTNAVSGRASGNAWDAHNTDSRMATGRGNARPQTCNKAIENNNVPPHPVIRPPLQYGWNWQSRTGSNPSGGLQDDHGKNNVNTEVEEVNDIDDVEDDSDDNAIDDSDDELLTDDFDSDSSQKSHETRKNNRWFKKFFSSLDALRIEEINDPARQWHCPACQGGPGAIDWYRGLQPLMTHAKTKGAKRVKLHRELAELLDEELCRRGTSVIPSGEAFGKWKGLKDEEKDHEIVWPPMVMIMNTRLEQDENDKWIGMGNQELLDYFSSYAAVKARHSYGPQGHRGMSVLIFESTARGYLEAERLHKHFAEQGTHREAWERRRVLFYPGGKRQLYGYMAMKEDLDSFNQHSQGKSRLKFEMRSYQEMVVKQIRQMSEDNQQLIFYKNKVAKEQRQKVALEESFGIVSERLRKTMEENRIVRQRTKMQHEQNKEEMDFQEQFFKERIKFIHEARDEKEESFEKLQQQQREKVKQSNPNPSNTEEYRRRADEIAKFIKFQDEEMQAFVAERDKLIKAHEEKMVGMRERHWQEEVELEKEFDAELSHLMEKYTPDGSKVNPGNT >KJB71398 pep chromosome:Graimondii2_0_v6:11:16758362:16762587:1 gene:B456_011G121100 transcript:KJB71398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKGNGNPFVSGGINNPSSKGKDISGSSSSKVEQLSQGVADMNLGLPQDDGEWEVIQRKPKNRTGSSAARPWGPQNSNGKPRGAMRTNAVSGRASGNAWDAHNTDSRMATGRGNARPQTCNKAIENNNVPPHPVIRPPLQYGWNWQSRTGSNPSGGLQDDHGKNNVNTEVEEVNDIDDVEDDSDDNAIDDSDDELLTDDFDSDSSQKSHETRKNNRWFKKFFSSLDALRIEEINDPARQWHCPACQGGPGAIDWYRGLQPLMTHAKTKGAKRVKLHRELAELLDEELCRRGTSVIPSGEAFGKWKGLKDEEKDHEIVWPPMVMIMNTRLEQDENDKWIGMGNQELLDYFSSYAAVKARHSYGPQGHRGMSVLIFESTARGYLEAERLHKHFAEQGTHREAWERRRVLFYPGGKRQLYGYMAMKEDLDSFNQHSQGKSRLKFEMRSYQEMVVKQIRQMSEDNQQLIFYKNKVAKEQRQKVALEESFGIVSERLRKTMEENRIVRQRTKMQHEQNKEEMDFQEQFFKERIKFIHEARDEKEESFEKLQQQQREKVKQSNPNPSNTEEYRRRADEIAKFIKFQDEEMQAFVAERDKLIKAHEEKMVGMRERHWQEEVELEKEFDAELSHLMEKYTPDGSKVNPGNT >KJB71401 pep chromosome:Graimondii2_0_v6:11:16758048:16762587:1 gene:B456_011G121100 transcript:KJB71401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKGNGNPFVSGGINNPSSKGKDISGSSSSKVEQLSQGVADMNLGLPQDDGEWEVIQRKPKNRTGSSAARPWGPQNSNGKPRGAMRTNAVSGRASGNAWDAHNTDSRMATGRGNARPQTCNKAIENNNVPPHPVIRPPLQYGWNWQSRTGSNPSGGLQDDHGKNNVNTEVEEVNDIDDVEDDSDDNAIDDSDDELLTDDFDSDSSQKSHETRKNNRWFKKFFSSLDALRIEEINDPARQWHCPACQGGPGAIDWYRGLQPLMTHAKTKGAKRVKLHRELAELLDEELCRRGTSVIPSGEAFGKWKGLKDEEKDHEIVWPPMVMIMNTRLEQDENDKWIGMGNQELLDYFSSYAAVKARHSYGPQGHRGMSVLIFESTARGYLEAERLHKHFAEQGTHREAWERRRVLFYPGGKRQLYGYMAMKEDLDSFNQHSQGKSRLKFEMRSYQEMVVKQIRQMSEDNQQLIFYKNKVAKEQRQKVALEESFGIVSERLRKTMEENRIVRQRTKMQHEQNKEEMDFQEQFFKERIKFIHEARDEKEESFEKLQQQQREKVKQSNPNPSNTEEYRRRADEIAKFIKFQDEEMQAFVAERDKLIKAHEEKMVGMRERHWQEEVELEKEFDAELSHLMEKYTPDGSKVNPGNT >KJB71399 pep chromosome:Graimondii2_0_v6:11:16758084:16762542:1 gene:B456_011G121100 transcript:KJB71399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKGNGNPFVSGGINNPSSKGKDISGSSSSKVEQLSQGVADMNLGLPQDDGEWEVIQRKPKNRTGSSAARPWGPQNSNGKPRGAMRTNAVSGRASGNAWDAHNTDSRMATGRGNARPQTCNKAIENNNVPPHPVIRPPLQYGWNWQSRTGSNPSGGLQDDHGKNNVNTEVEEVNDIDDVEDDSDDNAIDDSDDELLTDDFDSDSSQKSHETRKNNRWFKKFFSSLDALRIEEINDPARQWHCPACQGGPGAIDWYRGLQPLMTHAKTKGAKRVKLHRELAELLDEELCRRGTSVIPSGEAFGKWKGLKDEEKDHEIVWPPMVMIMNTRLEQDENDKWIGMGNQELLDYFSSYAAVKARHSYGPQGHRGMSVLIFESTARGYLEAERLHKHFAEQGTHREAWERRRVLFYPGGKRQLYGYMAMKEDLDSFNQHSQGKSRLKFEMRSYQEMVVKQIRQMSEDNQQLIFYKNKVAKEQRQKVALEESFGIVSERLRKTMEENRIVRQRTKMQHEQNKEEMDFQEQFFKERIKFIHEARDEKEESFEKLQQQQREKVKQSNPNPSNTEEYRRRADEIAKFIKFQDEEMQAFVAERDKLIKAHEEKMVGMRERHWQEEVELEKEFDAELSHLMEKYTPDGSKVNPGNT >KJB71397 pep chromosome:Graimondii2_0_v6:11:16758014:16762587:1 gene:B456_011G121100 transcript:KJB71397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKGNGNPFVSGGINNPSSKGKDISGSSSSKVEQLSQGVADMNLGLPQDDGEWEVIQRKPKNRTGSSAARPWGPQNSNGKPRGAMRTNAVSGRASGNAWDAHNTDSRMATGRGNARPQTCNKAIENNNVPPHPVIRPPLQYGWNWQSRTGSNPSGGLQDDHGKNNVNTEVEEVNDIDDVEDDSDDNAIDDSDDELLTDDFDSDSSQKSHETRKNNRWFKKFFSSLDALRIEEINDPARQWHCPACQGGPGAIDWYRGLQPLMTHAKTKGAKRVKLHRELAELLDEELCRRGTSVIPSGEAFGKWKGLKDEEKDHEIVWPPMVMIMNTRLEQDENDKWIGMGNQELLDYFSSYAAVKARHSYGPQGHRGMSVLIFESTARGYLEAERLHKHFAEQGTHREAWERRRVLFYPGGKRQLYGYMAMKEDLDSFNQHSQGKSRLKFEMRSYQEMVVKQIRQMSEDNQQLIFYKNKVAKEQRQKVALEESFGIVSERLRKTMEENRIVRQRTKMQHEQNKEEMDFQEQFFKERIKFIHEARDEKEESFEKLQQQQREKVKQSNPNPSNTEEYRRRADEIAKFIKFQDEEMQAFVAERDKLIKAHEEKMVGMRERHWQEEVELEKEFDAELSHLMEKYTPDGSKVNPGNT >KJB73273 pep chromosome:Graimondii2_0_v6:11:53607407:53608724:-1 gene:B456_011G225100 transcript:KJB73273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLDNSLLPHIFLWKLSQNYGPLMSLRFGFKPTLVVSSAKMAEAVLKTHDLDFCSRPSLRDAMRLSYNALDLSFSPYTDYLKEMRKLCVVHLFSRVQKYRPIREDEVGRLIVKISQLSVDSKPVNLSEAMMCLSSSIICRIGFGKRYDDAAERSRFHELLKETEAMLLCFSFSDYFPFMGWLIPQKEDLIDVLLRIRMDREFPFDLTIDHIKAILMNVFIAGTDTAAATVIWVMSALMKNPECLKKTQAEVRDLVGKKGFVNEDDPKVWKNPEEFCPERFLGNSIDYKGLNFEFLPFGAGRRVCPGMRIGVAEVELVIANHLYKFDWEMPTGMNKEDLDFDTVPGLAVLKKMILSLWLERFMINRASL >KJB74349 pep chromosome:Graimondii2_0_v6:11:62106636:62109751:-1 gene:B456_011G289600 transcript:KJB74349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD78-2D MASWVVSECSLRPFTAVKIHHKPRPSLPSNTINAFKFKPLPCSAFKVPTLWSKGRNLGGLGLLNVSAPLKVTITSEEDKGKEESTTDGVNNGEFDPGAAPPFKLSDIKAAIPKHCWVKDPWRSMSYVVRDVVVVFGLAAVAAYFNNWFVWPLYWIAQGTMFWALFVLGHDCVAGHLLHSSILVPYHGWRISHRTHHQNHGHIENDESWHPLSEKIYKSLDNATRLLRFTLPFPMLAYPIYLWSRSPGKKGSHFHPDSDLFVPNERKDIITSTACWTAMVGLLAYLSFAMGPMPLLKLYGIPYAIFVMWLDLVTYLHHHGHDEKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYREPKKSGPLPFYLLGILIKSMRKDHYVSDIGDVVYYQTDPQLYGTNKSD >KJB74348 pep chromosome:Graimondii2_0_v6:11:62106636:62109751:-1 gene:B456_011G289600 transcript:KJB74348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD78-2D MASWVVSECSLRPFTAVKIHHKPRPSLPSNTINAFKFKPLPCSAFKVPTLWSKGRNLGGLGLLNVSAPLKVTITSEEDKGKEESTTDGVNNGEFDPGAAPPFKLSDIKAAIPKHCWVKDPWRSMSYVVRDVVVVFGLAAVAAYFNNWFVWPLYWIAQGTMFWALFVLGHDCGHGSFSNNPALNSVAGHLLHSSILVPYHGWRISHRTHHQNHGHIENDESWHPLSEKIYKSLDNATRLLRFTLPFPMLAYPIYLWSRSPGKKGSHFHPDSDLFVPNERKDIITSTACWTAMIFVMWLDLVTYLHHHGHDEKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYREPKKSGPLPFYLLGILIKSMRKDHYVSDIGDVVYYQTDPQLYGTNKSD >KJB74347 pep chromosome:Graimondii2_0_v6:11:62106249:62109751:-1 gene:B456_011G289600 transcript:KJB74347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD78-2D MASWVVSECSLRPFTAVKIHHKPRPSLPSNTINAFKFKPLPCSAFKVPTLWSKGRNLGGLGLLNVSAPLKVTITSEEDKGKEESTTDGVNNGEFDPGAAPPFKLSDIKAAIPKHCWVKDPWRSMSYVVRDVVVVFGLAAVAAYFNNWFVWPLYWIAQGTMFWALFVLGHDCGHGSFSNNPALNSVAGHLLHSSILVPYHGWRISHRTHHQNHGHIENDESWHPLSEKIYKSLDNATRLLRFTLPFPMLAYPIYLWSRSPGKKGSHFHPDSDLFVPNERKDIITSTACWTAMVGLLAYLSFAMGPMPLLKLYGIPYAIFVMWLDLVTYLHHHGHDEKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYREPKKSGPLPFYLLGILIKSMRKDHYVSDIGDVVYYQTDPQLYGTNKSD >KJB70411 pep chromosome:Graimondii2_0_v6:11:6657109:6665410:-1 gene:B456_011G071700 transcript:KJB70411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLGPPSSGKTTLLLALADKLDPTLRVKGDVTYNGYKLKEFVARKTSAYISQNDVHVGEMTVKETLDFSARCQGVGTRYDLLSELARREKDAGIFPEADVDLFMKATSVEGVESSLITDYTLKILGLDICKDIIVGNEMQRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQVVHLTEGTILMSLLQPAPETYDLFDDIILLSEGQIVYQGPREHVVEFFESCGFKCPERKGTADFLQEVTSKKDQEQYWADKRKPYRYITVTEFANRFKHFHVGMQLQSELAVPFDKSRGHRAALAFHKYSMSKMELLKACWDKEWLLIKRNSFIYVFKTVQIIIVAFISSTVFLRTEMHQRNLNDAQLYIGSLLFGMIINMFNGFAELSLMISRLPVFYKQRDLLFHPVWTFTLPTFLLRVPISILETVAWMAVTYYTVGYAPEASRFFKNFLLVFSVQQMASGLFRLIAGLCRTMIIANTGGVLTLLLVFLLGGFIIPKREIPSWWEWAHWISPLTYGFNAFTVNEIFASRWMNRQVSNSSTSLGVQVLDSFDVPNDENWYWIGAGALLGFAVLFNILFTFALMYLSPLGKPQAVISEETVEELEANNVDSNEEPRLMRPESSKYSFSADASNAVEMEIRRMSSRADSHGMSRNDSQVDAATGVAPKRGMVLPFTPLAMSFDTVDYYVDMPPEMKAQGVGEDRLQLLRGVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYVEGDIRISGFPKKQETFARISGYCEQTDIHSPQVTIRESLIFSAFLRLPKEISNEEKMIFVDEVMELVELSNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEAIPGVPKIKEKYNPATWMLEVSSIAAEVRLGIDFAEQYKSSSLYQRNKALVNELSTPPPGAKDLYFATQYSQNTLGQFKSCFWKQWWTYWRSPDYNLVRYFFTLITALLVGSIFWQVGTERSSASDLTMIIGAMYAAVVFVGINNCSTVQPVIAIERTVFYRERAAGMYSALPYALAQVLCEIPYVFGETVYYTLIVYAMVGFQWTVAKYFWFFFVSFFTFLYFTYYGMMTVSITPNHQISSIFAAAFYSVFNLFSGFFIPRPRIPGWWIWYYWICPVAWTIYGLIASQYGDLEDKISVPGVSPDPTIKSYIKDQYGYDSDFMGPVAAVLVGFGVFFAVLFAYCIRTLNFQTR >KJB70409 pep chromosome:Graimondii2_0_v6:11:6657101:6665582:-1 gene:B456_011G071700 transcript:KJB70409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLERVRSRNPSRRTGHSSIGRSLSRSSWNMEDVFSGSRRSSRVEDDEEALKWAAIERLPTYDRLRTSIMQSFVDHEIIGNKMEHREVDVRNLDMNDRQKFIDMLFKVAEEDNEKFLKKFRNRIDKVGITLPTVEVRFNHLTIEADCYVGSRALPTLANSARNLVESALGLLGISFAKKANLTILKDASGIIKPSRMTLLLGPPSSGKTTLLLALADKLDPTLRVKGDVTYNGYKLKEFVARKTSAYISQNDVHVGEMTVKETLDFSARCQGVGTRYDLLSELARREKDAGIFPEADVDLFMKATSVEGVESSLITDYTLKILGLDICKDIIVGNEMQRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQVVHLTEGTILMSLLQPAPETYDLFDDIILLSEGQIVYQGPREHVVEFFESCGFKCPERKGTADFLQEVTSKKDQEQYWADKRKPYRYITVTEFANRFKHFHVGMQLQSELAVPFDKSRGHRAALAFHKYSMSKMELLKACWDKEWLLIKRNSFIYVFKTVQIIIVAFISSTVFLRTEMHQRNLNDAQLYIGSLLFGMIINMFNGFAELSLMISRLPVFYKQRDLLFHPVWTFTLPTFLLRVPISILETVAWMAVTYYTVGYAPEASRFFKNFLLVFSVQQMASGLFRLIAGLCRTMIIANTGGVLTLLLVFLLGGFIIPKREIPSWWEWAHWISPLTYGFNAFTVNEIFASRWMNRQVSNSSTSLGVQVLDSFDVPNDENWYWIGAGALLGFAVLFNILFTFALMYLSPLGKPQAVISEETVEELEANNVDSNEEPRLMRPESSKYSFSADASNAVEMEIRRMSSRADSHGMSRNDSQVDAATGVAPKRGMVLPFTPLAMSFDTVDYYVDMPPEMKAQGVGEDRLQLLRGVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYVEGDIRISGFPKKQETFARISGYCEQTDIHSPQVTIRESLIFSAFLRLPKEISNEEKMIFVDEVMELVELSNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEAIPGVPKIKEKYNPATWMLEVSSIAAEVRLGIDFAEQYKSSSLYQRNKALVNELSTPPPGAKDLYFATQYSQNTLGQFKSCFWKQWWTYWRSPDYNLVRYFFTLITALLVGSIFWQVGTERSSASDLTMIIGAMYAAVVFVGINNCSTVQPVIAIERTVFYRERAAGMYSALPYALAQVLCEIPYVFGETVYYTLIVYAMVGFQWTVAKYFWFFFVSFFTFLYFTYYGMMTVSITPNHQISSIFAAAFYSVFNLFSGFFIPRPRIPGWWIWYYWICPVAWTIYGLIASQYGDLEDKISVPGVSPDPTIKSYIKDQYGYDSDFMGPVAAVLVGFGVFFAVLFAYCIRTLNFQTR >KJB70410 pep chromosome:Graimondii2_0_v6:11:6657108:6665410:-1 gene:B456_011G071700 transcript:KJB70410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLERVRSRNPSRRTGHSSIGRSLSRSSWNMEDVFSGSRRSSRVEDDEEALKWAAIERLPTYDRLRTSIMQSFVDHEIIGNKMEHREVDVRNLDMNDRQKFIDMLFKVAEEDNEKFLKKFRNRIDKVGITLPTVEVRFNHLTIEADCYVGSRALPTLANSARNLVESALGLLGISFAKKANLTILKDASGIIKPSRMTLLLGPPSSGKTTLLLALADKLDPTLRVKGDVTYNGYKLKEFVARKTSAYISQNDVHVGEMTVKETLDFSARCQGVGTRYDLLSELARREKDAGIFPEADVDLFMKATSVEGVESSLITDYTLKILGLDICKDIIVGNEMQRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQVVHLTEGTILMSLLQPAPETYDLFDDIILLSEGQIVYQGPREHVVEFFESCGFKCPERKGTADFLQEVTSKKDQEQYWADKRKPYRYITVTEFANRFKHFHVGMQLQSELAVPFDKSRGHRAALAFHKYSMSKMELLKACWDKEWLLIKRNSFIYVFKTVQIIIVAFISSTVFLRTEMHQRNLNDAQLYIGSLLFGMIINMFNGFAELSLMISRLPVFYKQRDLLFHPVWTFTLPTFLLRVPISILETVAWMAVTYYTVGYAPEASRFFKNFLLVFSVQQMASGLFRLIAGLCRTMIIANTGGVLTLLLVFLLGGFIIPKREIPSWWEWAHWISPLTYGFNAFTVNEIFASRWMNRQVSNSSTSLGVQVLDSFDVPNDENWYWIGAGALLGFAVLFNILFTFALMYLSPLGKPQAVISEETVEELEANNVDSNEEPRLMRPESSKYSFSADASNAEMEIRRMSSRADSHGMSRNDSQVDAATGVAPKRGMVLPFTPLAMSFDTVDYYVDMPPEMKAQGVGEDRLQLLRGVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYVEGDIRISGFPKKQETFARISGYCEQTDIHSPQVTIRESLIFSAFLRLPKEISNEEKMIFVDEVMELVELSNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEAIPGVPKIKEKYNPATWMLEVSSIAAEVRLGIDFAEQYKSSSLYQRNKALVNELSTPPPGAKDLYFATQYSQNTLGQFKSCFWKQWWTYWRSPDYNLVRYFFTLITALLVGSIFWQVGTERSSASDLTMIIGAMYAAVVFVGINNCSTVQPVIAIERTVFYRERAAGMYSALPYALAQVLCEIPYVFGETVYYTLIVYAMVGFQWTVAKYFWFFFVSFFTFLYFTYYGMMTVSITPNHQISSIFAAAFYSVFNLFSGFFIPRPRIPGWWIWYYWICPVAWTIYGLIASQYGDLEDKISVPGVSPDPTIKSYIKDQYGYDSDFMGPVAAVLVGFGVFFAVLFAYCIRTLNFQTR >KJB70361 pep chromosome:Graimondii2_0_v6:11:6194317:6197037:1 gene:B456_011G069400 transcript:KJB70361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED6 MTGIEYMLSEVMEPHLFIIRKQKRDSAEKVTPMLAYYILDGSIYQAPQLCNVFAARVGRALYYISKAFTTAASKLEKIGYGDTENESETSEPKGGKETIDFKEVKRVDHILASLQRKLPPAPPPPPFPDGFVPPTTEAEKEPENQQTTEPQPPAVDPIIDQGPAKRMKF >KJB70364 pep chromosome:Graimondii2_0_v6:11:6194372:6196959:1 gene:B456_011G069400 transcript:KJB70364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED6 MQPPGTDMTGICFRDQLWLNTYPLDRNLIFDYFALSPFYDWTCNNEKLRMQSIHPLDISQLSKMTGIEYMLSEVMEPHLFIIRKQKRDSAEKVTPMLAYYILDGSIYQAPQLCNVFAARVGRALYYISKAFTTAASKLEKIGYGDTENESETSEPKGGKETIDFKEVKRVDHILASLQRKLPPAPPPPPFPDGFVPPTTEAEKEPENQQTTEPQPPAVDPIIDQGPAKRMKF >KJB70360 pep chromosome:Graimondii2_0_v6:11:6194317:6197037:1 gene:B456_011G069400 transcript:KJB70360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED6 MQSIHPLDISQLSKMTGIEYMLSEVMEPHLFIIRKQKRDSAEKVTPMLAYYILDGSIYQAPQLCNVFAARVGRALYYISKAFTTAASKLEKIGYGDTENESETSEPKGGKETIDFKEVKRVDHILASLQRKLPPAPPPPPFPDGFVPPTTEAEKEPENQQTTEPQPPAVDPIIDQGPAKRMKF >KJB70362 pep chromosome:Graimondii2_0_v6:11:6194372:6196929:1 gene:B456_011G069400 transcript:KJB70362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED6 MQPPGTDMTGICFRDQLWLNTYPLDRNLIFDYFALSPFYDWTCNNEKLRMQSIHPLDISQLSKMTGIEYMLSEVMEPHLFIIRKQKRDSAEKVTPMLAYYILDGSIYQAPQLCNVFAARVGRALYYISKAFTTAASKLEKIGYGDTENESETSEPKGGKETIDFKEVKRVDHILASLQRKLPPAPPPPPFPDGFVPPTTEAEKEPENQQTTEPQPPAVDPIIDQGPAKRMKF >KJB70363 pep chromosome:Graimondii2_0_v6:11:6194372:6196929:1 gene:B456_011G069400 transcript:KJB70363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED6 MQPPGTDMTGICFRDQLWLNTYPLDRNLIFDYFALSPFYDWTCNNEKLRMQSIHPLDISQLSKMTGIEYMLSEVMEPHLFIIRKQKRDSAEKVTPMLAYYILDGSIYQAPQLCNVFAARVGRALYYISKAFTTAASKLEKIGYGDTENESETSEPKGGKETIDFKEVKRVDHILASLQRKVTYVM >KJB72473 pep chromosome:Graimondii2_0_v6:11:42398611:42401175:-1 gene:B456_011G180400 transcript:KJB72473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHINRPPKSRNPQSLNPINSLQNPSFSQSRMPSFLSKSFLRLPPKSLLFPMSTVAAAKSRLRGVVFDMDGTLTVPVIDFAAMYKAVLGDVEYKRIKAENPSGIDILHHIESWSPEKQQEAYKIIADFEKQGLDRLQIMPGAAELCGFLDAKKIRRGLITRNVKDAVDLFHQRFGFSDDVFSGSKPRVSSL >KJB72479 pep chromosome:Graimondii2_0_v6:11:42399514:42401175:-1 gene:B456_011G180400 transcript:KJB72479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHINRPPKSRNPQSLNPINSLQNPSFSQSRMPSFLSKSFLRLPPKSLLFPMSTVAAAKSRLRGVVFDMDGTLTVPVIDFAAMYKAVLGDVEYKRIKAENPSGIDILHHIESWSPEKQQEAYKIIADFEKQGLDRLQIMPGAAELCGFLDAKKIRYECPIQVCIQHGYILFF >KJB72474 pep chromosome:Graimondii2_0_v6:11:42399152:42401126:-1 gene:B456_011G180400 transcript:KJB72474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHINRPPKSRNPQSLNPINSLQNPSFSQSRMPSFLSKSFLRLPPKSLLFPMSTVAAAKSRLRGVVFDMDGTLTVPVIDFAAMYKAVLGDVEYKRIKAENPSGIDILHHIESWSPEKQQEAYKIIADFEKQGLDRLQIMPGAAELCGFLDAKKIRRGLITRNVKDAVDLFHQRFGVSYLKHELNHSELFFFFG >KJB72477 pep chromosome:Graimondii2_0_v6:11:42399675:42401126:-1 gene:B456_011G180400 transcript:KJB72477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHINRPPKSRNPQSLNPINSLQNPSFSQSRMPSFLSKSFLRLPPKSLLFPMSTVAAAKSRLRGVVFDMDGTLTVPVIDFAAMYKAVLGDVEYKRIKAENPSGIDILHHIESWSPEKQQEAYKIIADFEKQGLDRLQIMPGAAELCGFLDAKKIRSIFAPTIIYFSY >KJB72475 pep chromosome:Graimondii2_0_v6:11:42398298:42401175:-1 gene:B456_011G180400 transcript:KJB72475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHINRPPKSRNPQSLNPINSLQNPSFSQSRMPSFLSKSFLRLPPKSLLFPMSTVAAAKSRLRGVVFDMDGTLTVPVIDFAAMYKAVLGDVEYKRIKAENPSGIDILHHIESWSPEKQQEAYKIIADFEKQGLDRLQIMPAELCGFLDAKKIRRGLITRNVKDAVDLFHQRFGMMFSPALSREFRPYKPSPAPLLHICSTWDVQPNEVMMVGDSLKDDVACGKNAGALTCLLDEKGRYGSQDFANLDLTPDFKVSSLIEVHSLLKSNFDLTP >KJB72478 pep chromosome:Graimondii2_0_v6:11:42399152:42401126:-1 gene:B456_011G180400 transcript:KJB72478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHINRPPKSRNPQSLNPINSLQNPSFSQSRMPSFLSKSFLRLPPKSLLFPMSTVAAAKSRLRGVVFDMDGTLTVPVIDFAAMYKAVLGDVEYKRIKAENPSGIDILHHIESWSPEKQQEAYKIIADFEKQGLDRLQIMPGAAELCGFLDAKKIRRGLITRNVKDAVDLFHQRFGVSYLKHELNHSELFFFFG >KJB72472 pep chromosome:Graimondii2_0_v6:11:42399064:42401126:-1 gene:B456_011G180400 transcript:KJB72472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHINRPPKSRNPQSLNPINSLQNPSFSQSRMPSFLSKSFLRLPPKSLLFPMSTVAAAKSRLRGVVFDMDGTLTVPVIDFAAMYKAVLGDVEYKRIKAENPSGIDILHHIESWSPEKQQEAYKIIADFEKQGLDRLQIMPGAAELCGFLDAKKIRRGLITRNVKDAVDLFHQRFGVNDVFSGSKPRVSSL >KJB72476 pep chromosome:Graimondii2_0_v6:11:42398298:42401175:-1 gene:B456_011G180400 transcript:KJB72476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHINRPPKSRNPQSLNPINSLQNPSFSQSRMPSFLSKSFLRLPPKSLLFPMSTVAAAKSRLRGVVFDMDGTLTVPVIDFAAMYKAVLGDVEYKRIKAENPSGIDILHHIESWSPEKQQEAYKIIADFEKQGLDRLQIMPGAAELCGFLDAKKIRRGLITRNVKDAVDLFHQRFGMMFSPALSREFRPYKPSPAPLLHICSTWDVQPNEVMMVGDSLKDDVACGKNAGALTCLLDEKGRYGSQDFANLDLTPDFKVSSLIEVHSLLKSNFDLTP >KJB72471 pep chromosome:Graimondii2_0_v6:11:42398113:42401459:-1 gene:B456_011G180400 transcript:KJB72471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHINRPPKSRNPQSLNPINSLQNPSFSQSRMPSFLSKSFLRLPPKSLLFPMSTVAAAKSRLRGVVFDMDGTLTVPVIDFAAMYKAVLGDVEYKRIKAENPSGIDILHHIESWSPEKQQEAYKIIADFEKQGLDRLQIMPGAAELCGFLDAKKIRRGLITRNVKDAVDLFHQRFGMMFSPALSREFRPYKPSPAPLLHICSTWDVQPNEVMMVGDSLKDDVACGKNAGALTCLLDEKGRYGSQDFANLDLTPDFKVSSLIEVHSLLKSNFDLTP >KJB70091 pep chromosome:Graimondii2_0_v6:11:4616189:4622561:1 gene:B456_011G057800 transcript:KJB70091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREFEREAMRIAIEIEGEETQDLLLTEERGFDLHDNFDIDEEMRFSSVLRGRGFDDSGYEEEEDIMLDSHNIETFGDSSDSHSRRSADLTSLQGSVGVRIPSSSSLVDNIPPFLATINLNRSDFNDQARRLASELPSKSFPISDSESRIQDDLLGEHRGSSDAKEFAEKQSPSEDLQLSNSVDSHSLLDDKIDASDKAGPCEKRSSSREPSESPASSKVIGETHSANSHGQPGSCASSNSDCVAAVSASSGPGLSPSSSMGSLSSKKSTLNPHAKEFKLNPNAKSFIPSQTAARPPTPVSDGSFYYQPQMSPVPHMHMPVSFGIGPSFPGHQPVILSQQVAPTQSS >KJB70090 pep chromosome:Graimondii2_0_v6:11:4616189:4622561:1 gene:B456_011G057800 transcript:KJB70090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREFEREAMRIAIEIEGEETQDLLLTEDNIPPFLATINLNRSDFNDQARRLASELPSKSFPISDSESRIQDDLLGEHRGSSDAKEFAEKQSPSEDLQLSNSVDSHSLLDDKIDASDKAGPCEKRSSSREPSESPASSKVIGETHSANSHGQPGSCASSNSDCVAAVSASSGPGLSPSSSMGSLSSKKSTLNPHAKEFKLNPNAKSFIPSQTAARPPTPVSDGSFYYQPQMSPVPHMHMPVSFGIGPSFPGHQPVILSQQVAPTQSS >KJB70089 pep chromosome:Graimondii2_0_v6:11:4616177:4622561:1 gene:B456_011G057800 transcript:KJB70089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTHVLGIDNIPPFLATINLNRSDFNDQARRLASELPSKSFPISDSESRIQDDLLGEHRGSSDAKEFAEKQSPSEDLQLSNSVDSHSLLDDKIDASDKAGPCEKRSSSREPSESPASSKVIGETHSANSHGQPGSCASSNSDCVAAVSASSGPGLSPSSSMGSLSSKKSTLNPHAKEFKLNPNAKSFIPSQTAARPPTPVSDGSFYYQPQMSPVPHMHMPVSFGIGPSFPGHQPVILSQQVAPTQSS >KJB73003 pep chromosome:Graimondii2_0_v6:11:50130259:50133880:1 gene:B456_011G208600 transcript:KJB73003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHGCRIFSVFLTSPPVILSGMSSLPLLKEIVNQGKLVSDEIIIDLLSKRLEAGEAKGESGFILDGFPRTVRQAEILEGVTDIDLVINLKLREEALLAKCLGRRICSECGGNYNVACIDIKAENGKPGMYMAPLPPPPQCACKLITRADDTEEVVKQRLRIYQAMTLPVEDFYRSRGKLLEFDLPGGVRESWPKLLHALNLEDEEDKQSAAA >KJB73002 pep chromosome:Graimondii2_0_v6:11:50130247:50133905:1 gene:B456_011G208600 transcript:KJB73002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSRCSRAVTAATTPNAAAAKFSYLIRALSPFSSSSSSTFDADNGLNSRIKPAVLGTLKREPKDRNVQWVFLGCPGVGKGTYASRLSDLLGIPHIATGDLVRDELTSSGPLSSQLKEIVNQGKLVSDEIIIDLLSKRLEAGEAKGESGFILDGFPRTVRQAEILEGVTDIDLVINLKLREEALLAKCLGRRICSECGGNYNVACIDIKAENGKPGMYMAPLPPPPQCACKLITRADDTEEVVKQRLRIYQAMTLPVEDFYRSRGKLLEFDLPGGVRESWPKLLHALNLEDEEDKQSAAA >KJB74268 pep chromosome:Graimondii2_0_v6:11:61659899:61661869:1 gene:B456_011G284000 transcript:KJB74268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLGLFLFQVYGEDGWPFIEDSAYKVLLEAILEKVTGEGTSDPKEPVAETSSRTALVPVCSDVSPLNAEFQTSNGFDGAPELNKTLCISKLTNESGNYLLPVEAEGCSKRDVTYPCGNNHSTPLYGHNSPPLQGDTLTTKRRPYYGWIGSDDEEDIVELTPGPIAEEMENFLKSFMVHKKRWDIKPDDM >KJB74266 pep chromosome:Graimondii2_0_v6:11:61659892:61662269:1 gene:B456_011G284000 transcript:KJB74266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRRAKKKSNTRKDAAIDAMKPYGFPVDVVQTTIKDLLHVYGEDGWPFIEDSAYKVLLEAILEKVTGEGTSDPKEPVAETSSRTALVPVCSDVSPLNAEFQTSNGFDGAPELNKTLCISKLTNESGNYLLPVEAEGCSKRDVTYPCGNNHSTPLYGHNSPPLQGDTLTTKRRPYYGWIGSDDEEDIVELTPGPIAEEMENFLKSFMVHKKRWDIKPDDM >KJB74267 pep chromosome:Graimondii2_0_v6:11:61659899:61661869:1 gene:B456_011G284000 transcript:KJB74267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRRAKKKSNTRKDAAIDAMKPYGFPVDVVQTTIKDLLHVYGEDGWPFIEDSAYKVLLEAILEKVTGEGTSDPKEPVAETSSRTALVPVCSDVSPLNAEFQTSNGFDGAPELNKTLCISKLTNESVIHCDQVTISYQSKPKAVAKEMLHILVVTTTLLHFMVTTHHHCKVIP >KJB73283 pep chromosome:Graimondii2_0_v6:11:53742461:53755706:1 gene:B456_011G225800 transcript:KJB73283 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP5 [Source:Projected from Arabidopsis thaliana (AT2G40930) UniProtKB/TrEMBL;Acc:A0A178VRF5] MTEVETASAAATGIAMATEKAAKELSPEEERVLIRDIALTAEANTKEGDSFFLITQKWWQHWIDYVNQDQQPNNSNNNEGSSSVSGNSDSNGVGNSKRPSGIDNSDLISDGPAEDANSDDGVEIHDTLLEGRDYVLLPQQVWNLLYSWYGGGPALSRKVINSGLSQTEFAVEVYPLRLQLLVTPKGDRSTIRISKKETIGELHRRACEIFHLNLEQVISDVCIWDYYGHRKHALMNDMDKTLDDANIQMDQDILVEVLNNVNDTVVTDGTSIIDNGFADKEATSVLLEPSKSSLSIAGGLSANKIASKGYSAEQLQNQTLGYPSKESDNNFGNSGVSTRGTFGGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVAPRPFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYVNSRDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCNKVSVTFDPFMYLSLPLQFATTRSMTITIFTCDGSALPSTCTVTVPKQGRYRDLIQAVSNACSLKQTEEIKLVEIRNHLIHRFLDDSFVSLSTIKDDDHLAAYKIQKSAKGNVLLQLIHRRQEQETSDAQRWKPFGTPLVSSLSCDDVIRSGVIQTIVQTMLTPLLKEGIEYSDDSDPSTSGMARDPSDHGSGKVDTNCASTSINKVLPKLPLQLVDESKTCIDLSAGDEKAINLSAMSHVVVYLDWKSKLLEKYNINYLENLPEVFKYGPITKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPQCKEQRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKVETFVNFPIHDFDLTNYVADKRSSRSQLYELYALTNHYGGMGSGHYTAHIKLLDENKWYNFDDSHISPINEEDVKSAAAYVLFYRRAKSDTSGTSSAGSRRARDKTSYKH >KJB73281 pep chromosome:Graimondii2_0_v6:11:53742457:53755767:1 gene:B456_011G225800 transcript:KJB73281 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP5 [Source:Projected from Arabidopsis thaliana (AT2G40930) UniProtKB/TrEMBL;Acc:A0A178VRF5] MTEVETASAAATGIAMATEKAAKELSPEEERVLIRDIALTAEANTKEGDSFFLITQKWWQHWIDYVNQDQQPNNSNNNEGSSSVSGNSDSNGVGNSKRPSGIDNSDLISDGPAEDANSDDGVEIHDTLLEGRDYVLLPQQVWNLLYSWYGGGPALSRKVINSGLSQTEFAVEVYPLRLQLLVTPKGDRSTIRISKKETIGELHRRACEIFHLNLEQVCIWDYYGHRKHALMNDMDKTLDDANIQMDQDILVEVLNNVNDTVVTDGTSIIDNGFADKEATSVLLEPSKSSLSIAGGLSANKIASKGYSAEQLQNQTLGYPSKESDNNFGNSGVSTRGTFGGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVAPRPFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYVNSRDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCNKVSVTFDPFMYLSLPLQFATTRSMTITIFTCDGSALPSTCTVTVPKQGRYRDLIQAVSNACSLKQTEEIKLVEIRNHLIHRFLDDSFVSLSTIKDDDHLAAYKIQKSAKGNVLLQLIHRRQEQETSDAQRWKPFGTPLVSSLSCDDVIRSGVIQTIVQTMLTPLLKEGIEYSDDSDPSTSGMARDPSDHGSGKVDTNCASTSINKVLPKLPLQLVDESKTCIDLSAGDEKAINLSAMSHVVVYLDWKSKLLEKYNINYLENLPEVFKYGPITKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPQCKEQRQASKKLDLWRLPELTRGVPVLSSTNSTP >KJB73282 pep chromosome:Graimondii2_0_v6:11:53742461:53755706:1 gene:B456_011G225800 transcript:KJB73282 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP5 [Source:Projected from Arabidopsis thaliana (AT2G40930) UniProtKB/TrEMBL;Acc:A0A178VRF5] MTEVETASAAATGIAMATEKAAKELSPEEERVLIRDIALTAEANTKEGDSFFLITQKWWQHWIDYVNQDQQPNNSNNNEGSSSVSGNSDSNGVGNSKRPSGIDNSDLISDGPAEDANSDDGVEIHDTLLEGRDYVLLPQQVWNLLYSWYGGGPALSRKVINSGLSQTEFAVEVYPLRLQLLVTPKGDRSTIRISKKETIGELHRRACEIFHLNLEQVCIWDYYGHRKHALMNDMDKTLDDANIQMDQDILVEVLNNVNDTVVTDGTSIIDNGFADKEATSVLLEPSKSSLSIAGGLSANKIASKGYSAEQLQNQTLGYPSKESDNNFGNSGVSTRGTFGGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVAPRPFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYVNSRDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCNKVSVTFDPFMYLSLPLQFATTRSMTITIFTCDGSALPSTCTVTVPKQGRYRDLIQAVSNACSLKQTEEIKLVEIRNHLIHRFLDDSFVSLSTIKDDDHLAAYKIQKSAKGNVLLQLIHRRQEQETSDAQRWKPFGTPLVSSLSCDDVIRSGVIQTIVQTMLTPLLKEGIEYSDDSDPSTSGMARDPSDHGSGKVDTNCASTSINKVLPKLPLQLVDESKTCIDLSAGDEKAINLSAMSHVVVYLDWKSKLLEKYNINYLENLPEVFKYGPITKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPQCKEQRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKVETFVNFPIHDFDLTNYVADKRSSRSQLYELYALTNHYGGMGSGHYTAHIKLLDENKWYNFDDSHISPINEEDVKSAAAYVLFYRRAKSDTSGTSSAGSRRARDKTSYKH >KJB73285 pep chromosome:Graimondii2_0_v6:11:53742472:53746396:1 gene:B456_011G225800 transcript:KJB73285 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP5 [Source:Projected from Arabidopsis thaliana (AT2G40930) UniProtKB/TrEMBL;Acc:A0A178VRF5] MTEVETASAAATGIAMATEKAAKELSPEEERVLIRDIALTAEANTKEGDSFFLITQKWWQHWIDYVNQDQQPNNSNNNEGSSSVSGNSDSNGVGNSKRPSGIDNSDLISDGPAEDANSDDGVEIHDTLLEGRDYVLLPQQVWNLLYSWYGGGPALSRKVINSGLSQTEFAVEVYPLRLQLLVTPKGDRSTIRISKKETIGELHRRACEIFHLNLEQVISDVCIWDYYGHRKHALMNDMDKTLDDANIQMDQDILVEVLNNVNDTVVTDGTSIIDNGFADKEATSVLLEPSKSSLSIAGGLSANKIASKGYSAEQLQNQTLGYPSKESDNNFGNSGVSTRGTFGGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVVSF >KJB73284 pep chromosome:Graimondii2_0_v6:11:53742631:53754286:1 gene:B456_011G225800 transcript:KJB73284 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP5 [Source:Projected from Arabidopsis thaliana (AT2G40930) UniProtKB/TrEMBL;Acc:A0A178VRF5] MTEVETASAAATGIAMATEKAAKELSPEEERVLIRDIALTAEANTKEGDSFFLITQKWWQHWIDYVNQDQQPNNSNNNEGSSSVSGNSDSNGVGNSKRPSGIDNSDLISDGPAEDANSDDGVEIHDTLLEGRDYVLLPQQVWNLLYSWYGGGPALSRKVINSGLSQTEFAVEVYPLRLQLLVTPKGDRSTIRISKKETIGELHRRACEIFHLNLEQVCIWDYYGHRKHALMNDMDKTLDDANIQMDQDILVEVLNNVNDTVVTDGTSIIDNGFADKEATSVLLEPSKSSLSIAGGLSANKIASKGYSAEQLQNQTLGYPSKESDNNFGNSGVSTRGTFGGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVAPRPFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYVNSRDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCNKVSVTFDPFMYLSLPLQFATTRSMTITIFTCDGSALPSTCTVTVPKQGRYRDLIQAVSNACSLKQTEEIKLVEIRNHLIHRFLDDSFVSLSTIKDDDHLAAYKIQKSAKGNVLLQLIHRRQEQETSDAQRWKPFGTPLVSSLSCDDVIRSGVIQTIVQTMLTPLLKEGIEYSDDSDPSTSGMARDPSDHGSGKVDTNCASTSINKVLPKLPLQLVDESKTCIDLSAGDEKAINLSAMSHVVVYLDWKSKLLEKYNINYLENLPEVFKYGPITKKARTEPLSLYTCLEAFLREEPLVPEDMCVI >KJB71484 pep chromosome:Graimondii2_0_v6:11:17710946:17715783:-1 gene:B456_011G125000 transcript:KJB71484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCFSKDKSDGSDSEQAVEFVGGNVHRVTSKEDWDQKLSEAKRDGKIVIANFSATWCGPCRMLAPFYCELSEKHPSLMFLLIDVDELTDFSTSRDIKATPTFFFLKDGQQIDKLVGANKPELQKKLTAVLDSVGNHGK >KJB71483 pep chromosome:Graimondii2_0_v6:11:17710946:17716342:-1 gene:B456_011G125000 transcript:KJB71483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCFSKDKSDGSDSEQAVEFVGGNVHRVTSKEDWDQKLSEAKRDGKIVIANFSATWCGPCRMLAPFYCELSEKHPSLMFLLIDVDELTDFSTSRDIKATPTFFFLKDGQQIDKLVGANKPELQKKLTAVLDSVGNHGK >KJB71485 pep chromosome:Graimondii2_0_v6:11:17710928:17716342:-1 gene:B456_011G125000 transcript:KJB71485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLRCKRQGSKQSENRGTDTLLQLAFWHFSNMGHCFSKDKSDGSDSEQAVEFVGGNVHRVTSKEDWDQKLSEAKRDGKIVIANFSATWCGPCRMLAPFYCELSEKHPSLMFLLIDVDELTDFSTSRDIKATPTFFFLKDGQQIDKLVGANKPELQKKLTAVLDSVGNHGK >KJB71487 pep chromosome:Graimondii2_0_v6:11:17711940:17716342:-1 gene:B456_011G125000 transcript:KJB71487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCFSKDKSDGSDSEQAVEFVGGNVHRVTSKEDWDQKLSEAKRDGKIVIANFSATWCGPCRMLAPFYCELSEKHPSLMFLLIDVDELTWNCLINSFALHKNQI >KJB71486 pep chromosome:Graimondii2_0_v6:11:17711159:17716342:-1 gene:B456_011G125000 transcript:KJB71486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCFSKDKSDGSDSEQAVEFVGGNVHRVTSKEDWDQKLSEAKRDGKIVIANFSATWCGPCRMLAPFYCELSEKHPSLMFLLIDVDELTMGSK >KJB72777 pep chromosome:Graimondii2_0_v6:11:47485587:47486882:-1 gene:B456_011G196700 transcript:KJB72777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTYNYDSTSPVAPARLFKAFVLEADKVWPIAAPHAIKSIEVEANPGPGSIVKINFVEGLPFQYMKHQIGGHDENLLQFD >KJB73591 pep chromosome:Graimondii2_0_v6:11:56530233:56535516:-1 gene:B456_011G240200 transcript:KJB73591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSITSKPFLGATKPNSSLSPDLQRLSFSSLRISIKPRTHKKLQIQAAGSTFGNYFRVTTFGESHGGGVGCIVDGCPPRIPLSEADLQGDLDRRRPGQSRITTPRKETDTCRIYSGVSEGVTTGTPIHVLVPNTDQRGHDYKEMSIAYRPSHADATYDMKYGVRAVQGGGRSSARETIGRVASGAIAKKILKLFSGTEVLAYVSQVHQVVLPDGSVDHDTVTLDQIESNIVRCPNPDYAEKMIAAIDAVRTRGNSIGGVVTCIVRNAPRGLGSPVFDKLEAELAKAVMSLPATKGFEFGSGFAGTLLTGSEHNDEFYTDEHGRIRTRTNRSGGIQGGISNGEIINMRVAFKPTATIGKKQHTVTREKEEIELLARGRHDPCVVPRGISLDDSFPSFTFFRRLVSIHFPFATAIFEGN >KJB73595 pep chromosome:Graimondii2_0_v6:11:56530547:56535658:-1 gene:B456_011G240200 transcript:KJB73595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSITSKPFLGATKPNSSLSPDLQRLSFSSLRISIKPRTHKKLQIQAAGSTFGNYFRVTTFGESHGGGVGCIVDGCPPRIPLSEADLQGDLDRRRPGQSRITTPRKETDTCRIYSGVSEGVTTGTPIHVLVPNTDQRGHDYKEMSIAYRPSHADATYDMKYGVRAVQGGGRSSARETIGRVASGAIAKKILKLFSGTEVLAYVSQVHQVVLPDGSVDHDTVTLDQIESNIVRCPNPDYAEKMIAAIDAVRTRGNSIGGVVTCIVRNAPRGLGSPVFDKLEAELAKAVMSLPATKGFEFGSGFAGTLLTGSEHNDEFYTDEHGRIRTRTNRSGGIQGGISNGEIINMRVAFKPTATIGVSLIFFSCLFVSYIV >KJB73594 pep chromosome:Graimondii2_0_v6:11:56531051:56535516:-1 gene:B456_011G240200 transcript:KJB73594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSITSKPFLGATKPNSSLSPDLQRLSFSSLRISIKPRTHKKLQIQAAGSTFGNYFRVTTFGESHGGGVGCIVDGCPPRIPLSEADLQGDLDRRRPGQSRITTPRKETDTCRIYSGVSEGVTTGTPIHVLVPNTDQRGHDYKEMSIAYRPSHADATYDMKYGVRAVQGGGRSSARETIGRVASGAIAKKILKLFSGTEVLAYVSQVHQVVLPDGSVDHDTVTLDQIESNIVRCPNPDYAEKMIAAIDAVRTRGNSIGGVVTCIVRNAPRGLGSPVFDKLEAELAKAVMSLPATKGFEFGSGFAGTLLTGSEHNDEFYTDEHGRIRTRTNRSGGIQVVEYPMGKL >KJB73596 pep chromosome:Graimondii2_0_v6:11:56531281:56535658:-1 gene:B456_011G240200 transcript:KJB73596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSITSKPFLGATKPNSSLSPDLQRLSFSSLRISIKPRTHKKLQIQAAGSTFGNYFRVTTFGESHGGGVGCIVDGCPPRIPLSEADLQGDLDRRRPGQSRITTPRKETDTCRIYSGVSEGVTTGTPIHVLVPNTDQRGHDYKEMSIAYRPSHADATYDMKYGVRAVQGGGRSSARETIGRVASGAIAKKILKLFSGTEVLAYVSQVHQVVLPDGSVDHDTVTLDQIESNIVRCPNPDYAEKMIAAIDAVRTRGNSIGGVVTCIVRNAPRGLGSPVFDKLEAELAKAVMSLPATKGFEFGSGFAG >KJB73593 pep chromosome:Graimondii2_0_v6:11:56529621:56535658:-1 gene:B456_011G240200 transcript:KJB73593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSITSKPFLGATKPNSSLSPDLQRLSFSSLRISIKPRTHKKLQIQAAGSTFGNYFRVTTFGESHGGGVGCIVDGCPPRIPLSEADLQGDLDRRRPGQSRITTPRKETDTCRIYSGVSEGVTTGTPIHVLVPNTDQRGHDYKEMSIAYRPSHADATYDMKYGVRAVQGGGRSSARETIGRVASGAIAKKILKLFSGTEVLAYVSQVHQVVLPDGSVDHDTVTLDQIESNIVRCPNPDYAEKMIAAIDAVRTRGNSIGGVVTCIVRNAPRGLGSPVFDKLEAELAKAVMSLPATKGFEFGSGFAGTLLTGSEHNDEFYTDEHGRIRTRTNRSGGIQGGISNGEIINMRVAFKPTATIEETAHSDSRKRRDRTTSPRSS >KJB73590 pep chromosome:Graimondii2_0_v6:11:56529614:56535712:-1 gene:B456_011G240200 transcript:KJB73590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FISSISSSSLSSSTHFLFSKFSKLSWLLPLHPSLSLELLNPILLFLLIFKDFLFPLFASQSNQEPTKSFIQAAGSTFGNYFRVTTFGESHGGGVGCIVDGCPPRIPLSEADLQGDLDRRRPGQSRITTPRKETDTCRIYSGVSEGVTTGTPIHVLVPNTDQRGHDYKEMSIAYRPSHADATYDMKYGVRAVQGGGRSSARETIGRVASGAIAKKILKLFSGTEVLAYVSQVHQVVLPDGSVDHDTVTLDQIESNIVRCPNPDYAEKMIAAIDAVRTRGNSIGGVVTCIVRNAPRGLGSPVFDKLEAELAKAVMSLPATKGFEFGSGFAGTLLTGSEHNDEFYTDEHGRIRTRTNRSGGIQGGISNGEIINMRVAFKPTATIGKKQHTVTREKEEIELLARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQYAQCNLFPINSELQEPLNLEPANL >KJB73589 pep chromosome:Graimondii2_0_v6:11:56529943:56535516:-1 gene:B456_011G240200 transcript:KJB73589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSITSKPFLGATKPNSSLSPDLQRLSFSSLRISIKPRTHKKLQIQAAGSTFGNYFRVTTFGESHGGGVGCIVDGCPPRIPLSEADLQGDLDRRRPGQSRITTPRKETDTCRIYSGVSEGVTTGTPIHVLVPNTDQRGHDYKEMSIAYRPSHADATYDMKYGVRAVQGGGRSSARETIGRVASGAIAKKILKLFSGTEVLAYVSQVHQVVLPDGSVDHDTVTLDQIESNIVRCPNPDYAEKMIAAIDAVRTRGNSIGGVVTCIVRNAPRGLGSPVFDKLEAELAKAVMSLPATKGFEFGSGFAGTLLTGSEHNDEFYTDEHGRIRTRTNRSGGIQGGISNGEIINMRVAFKPTATIGKKQHTVTREKEEIELLARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQYAQCNLFPINSELQEPLNLEPANL >KJB73592 pep chromosome:Graimondii2_0_v6:11:56529621:56535658:-1 gene:B456_011G240200 transcript:KJB73592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSITSKPFLGATKPNSSLSPDLQRLSFSSLRISIKPRTHKKLQIQAAGSTFGNYFRVTTFGESHGGGVGCIVDGCPPRIPLSEADLQGDLDRRRPGQSRITTPRKETDTCRIYSGVSEGVTTGTPIHVLVPNTDQRGHDYKEMSIAYRPSHADATYDMKYGVRAVQGGGRSSARETIGRVASGAIAKKILKLFSGTEVLAYVSQVHQVVLPDGSVDHDTVTLDQIESNIVRCPNPDYAEKMIAAIDAVRTRGNSIGGVVTCIVRNAPRGLGSPVFDKLEAELAKAVMSLPATKGFEFGSGFAGTLLTGSEHNDEFYTDEHGRIRTRTNRSGGIQGGISNGEIINMRVAFKPTATIGKQHTVTREKEEIELLARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQYAQCNLFPINSELQEPLNLEPANL >KJB73975 pep chromosome:Graimondii2_0_v6:11:59782394:59786479:1 gene:B456_011G266100 transcript:KJB73975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKKRLDYGFNTFNVPDVPRAPRSIRRRCRSKRVVEDSQICAFELLASLAGKLLQESESSASSNASEGHDHVSIGKDVLKQEIQYNDDEKPLKVECVEQGSCDASAVASESTNENSDDLKEFKPADSILDRASIKTRPACSEQMSGDLKSVIRKGNVAYGNFHDDGDLCSPDLGELCDGKSENGFKLERDAKGLETGKSSIANTCPSKDPVALPMTYSAPINSKRDVKLPSRGDRIPNASFSRHRNDIKLGSRDDDENFSRFNRLSYRFKASRPPTRIGDRRIRKLLTSKYWKVAPKLKDFEHSKADGGIRPLYRKRKSYYNYDRCQYEKMYKRRKF >KJB73979 pep chromosome:Graimondii2_0_v6:11:59783902:59786298:1 gene:B456_011G266100 transcript:KJB73979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDLKSVIRKGNVAYGNFHDDGDLCSPDLGELCDGKSENGFKLERDAKGLETGKSSIANTCPSKDPVALPMTYSAPINSKRDVKLPSRGDRIPNASFSRHRNDIKLGSRDDDENFSRFNRLSYRFKASRPPTRIGDRRIRKLLTSKYWKVAPKLKDFEHSKADGGIRPLYRKRKSYYNYDRCQYEKMYKRRKFXXXXXXXLVLLFCSLACGIPPLLTGNKASHQSKDSHVKFSIKSFRIPELYIEVPETATVGSLKRTVMEAVTALLGGGIRVGVLLQGKKVRDDSRTLSQTGISCEENLDSLGFTLEPGPAKAPPPVCSEEPPLLISCDKAPQQLTSLPATPVVDMAIPDATPDPLLLTNTAHPVDSNHEPVSSQTDVLTDQSLSESRAIVPVPAMNVEALAVIPASPKVRKTELAQRRTRRPFSVLEVEALVQAVEELGTGRWRDIKLRAFENADHRTYVDLKDKWKTLVHTAKISPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQQGKHQPGTLRITDTEPDRNGLATVPTVPM >KJB73977 pep chromosome:Graimondii2_0_v6:11:59783386:59785341:1 gene:B456_011G266100 transcript:KJB73977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKKRLDYGFNTFNVPDVPRAPRSIRRRCRSKRVVEDSQICAFELLASLAGKLLQESESSASSNASEGHDHVSIGKDVLKQEIQYNDDEKPLKVECVEQGSCDASAVASESTNENSDDLKEFKPADSILDRASIKTRPACSEQMSGDLKSVIRKGNVAYGNFHDDGDLCSPDLGELCDGKSENGFKLERDAKGLETGKSSIANTCPSKDPVALPMTYSAPINSKRDVKLPSRGDRIPNASFSRHRNDIKLGSRDDDENFSRFNRLSYRFKASRPPTRIGDRRIRKLLTSKYWKVAPKLKDFEHSKADGGIRPLYRKRKSYYNYDRCQYEKMYKRRKFXXXXXXXLVLLFCSLACGIPPLLTGNKASHQSKDSHVKFSIKSFRIPELYIEVPETATVGSLKRTVMEAVTALLGGGIRVGVLLQGKKVRDDSRTLSQTGISCEENLDSLGFTLEPGPAKAPPPVCSEEPPLLISCDKAPQQLTR >KJB73976 pep chromosome:Graimondii2_0_v6:11:59782394:59785818:1 gene:B456_011G266100 transcript:KJB73976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKKRLDYGFNTFNVPDVPRAPRSIRRRCRSKRVVEDSQICAFELLASLAGKLLQESESSASSNASEGHDHVSIGKDVLKQEIQYNDDEKPLKVECVEQGSCDASAVASESTNENSDDLKEFKPADSILDRASIKTRPACSEQMSGDLKSVIRKGNVAYGNFHDDGDLCSPDLGELCDGKSENGFKLERDAKGLETGKSSIANTCPSKDPVALPMTYSAPINSKRDVKLPSRGDRIPNASFSRHRNDIKLGSRDDDENFSRFNRLSYRFKASRPPTRIGDRRIRKLLTSKYWKVAPKLKDFEHSKADGGIRPLYRKRKSYYNYDRCQYEKMYKRRKFXXXXXXXLVLLFCSLACGIPPLLTGNKASHQSKDSHVKFSIKSFRIPELYIEVPETATVGSLKRTVMEAVTALLGGGIRVGVLLQGKKVRDDSRTLSQTGISCEENLDSLGFTLEPGPAKAPPPVCSEEPPLLISCDKAPQQLTSLPATPVVDMAIPDATPDPLLLTNTAHPVDSNHEPVSSQTDVLTDQSLSESRAIVPVPAMNVEALAVIPASPKVRKTELAQRRTRRPFSVLEVEALVQAVEELGTGRYVTVLLTHSYCLRG >KJB73978 pep chromosome:Graimondii2_0_v6:11:59782394:59786578:1 gene:B456_011G266100 transcript:KJB73978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKKRLDYGFNTFNVPDVPRAPRSIRRRCRSKRVVEDSQICAFELLASLAGKLLQESESSASSNASEGHDHVSIGKDVLKQEIQYNDDEKPLKVECVEQGSCDASAVASESTNENSDDLKEFKPADSILDRASIKTRPACSEQMSGDLKSVIRKGNVAYGNFHDDGDLCSPDLGELCDGKSENGFKLERDAKGLETGKSSIANTCPSKDPVALPMTYSAPINSKRDVKLPSRGDRIPNASFSRHRNDIKLGSRDDDENFSRFNRLSYRFKASRPPTRIGDRRIRKLLTSKYWKVAPKLKDFEHSKADGGIRPLYRKRKSYYNYDRCQYEKMYKRRKFXXXXXXXLVLLFCSLACGIPPLLTGNKASHQSKDSHVKFSIKSFRIPELYIEVPETATVGSLKRTVMEAVTALLGGGIRVGVLLQGKKVRDDSRTLSQTGISCEENLDSLGFTLEPGPAKAPPPVCSEEPPLLISCDKAPQQLTSLPATPVVDMAIPDATPDPLLLTNTAHPVDSNHEPVSSQTDVLTDQSLSESRAIVPVPAMNVEALAVIPASPKVRKTELAQRRTRRPFSVLEVEALVQAVEELGTGRWRDIKLRAFENADHRTYVDLKDKWKTLVHTAKISPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQQGKHQPGTLRITDTEPDRNGLATVPTVPM >KJB71051 pep chromosome:Graimondii2_0_v6:11:11763777:11769116:1 gene:B456_011G102600 transcript:KJB71051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEILSALRSLMASHSPPLHALIVPSEDYHQSEYVSDRDKRREFVSGFTGSAGLALITKDEARLWTDGRYFLQAMQQLSDQWQLMRIGEDPSVDSWISDNLPREAAIGVDPWCVSVDTAQRWERAFAKNQQKLIQTSSNLVDEVWKNQPPAETNPVIVHPLEFAGRSVAEKLKDMREKLAIERARGIIITALDEVAWLYNIRGSDVSYCPVVHAFAIVTLNSAFLYVDKRKVSSKVSSSIQENGIEVREYGAVSSDVALLASNQLDKDTGLSSGRNDVCETGTCEAEENNNDLIWVDPASCCYALFSKLDAKKMLLQQSPLALAKALKNPVELDGLRNAHIRDGAAVVQYLVWLDKQMQEIYGASGYFLEGKGASKKRSETMKLTEVTASDKLEGFRATKEHFRGLSFPTISSVGPNAAVIHYSPQPETCAELDPDSIYLFDSGAQYLDGTTDITRTVHFGKPSEHEKACYTSVLKGHIALGNARFPNGTNGHALDILARIPLWRYGLDYRHGTGHGIGSYLNVHEGPHLISFRPQARNVPLQASMTVTDEPGYYADGNFGIRLENVLVIKEADTEFNFGDKGYLSFEHITWAPYQIKLIDLNLLTPEEIEWLNTYHSKCREILAPSMDKNELDWLEKATEPVSA >KJB71057 pep chromosome:Graimondii2_0_v6:11:11763840:11769097:1 gene:B456_011G102600 transcript:KJB71057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEILSALRSLMASHSPPLHALIVPSEDYHQSEYVSDRDKRREFVSGFTGSAGLALITKDEARLWTDGRYFLQAMQQLSDQWQLMRIGEDPSVDSWISDNLPREAAIGVDPWCVSVDTAQRWERAFAKNQQKLIQTSSNLVDEVWKNQPPAETNPVIVHPLEFAGRSVAEKLKDMREKLAIERARGIIITALDEVAWLYNIRGSDVSYCPVVHAFAIVTLNSAFLYVDKRKVSSKVSSSIQENGIEVREYGAVSSDVALLASNQLDKDTGLSSGRNDVCETGTCEAEENNNDLIWVDPASCCYALFSKLDAKKMLLQQSPLALAKALKNPVELDGLRNAHIRDGAAVVQYLVWLDKQMQEIYGASGYFLEGKGASKKRSETMKLTEVTASDKLEGFRATKEHFRGLSFPTISSVGPNAAVIHYSPQPETCAELDPDSIYLFDSGAQYLDGTTDITRTVHFGKPSEHEKACYTSVLKGHIALGNARFPNGTNGHALDILARIPLWRYGLDYRHGTGHGIGSYLNVHEAGPHLISFRPQARNVPLQASMTVTDEPGYYADGNFGIRLENVLVIKEADTEFNFGDKGYLSFEHITWAPYQIKLIDLNLLTPEEIEWLNTYHSKCREILAPSMDKNELDWLEKATEPVSA >KJB71055 pep chromosome:Graimondii2_0_v6:11:11763840:11769097:1 gene:B456_011G102600 transcript:KJB71055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEILSALRSLMASHSPPLHALIVPSEDYHQSEYVSDRDKRREFVSGFTGSAGLALITKDEARLWTDGRYFLQAMQQLSDQWQLMRIGEDPSVDSWISDNLPREAAIGVDPWCVSVDTAQRWERAFAKNQQKLIQTSSNLVDEVWKNQPPAETNPVIVHPLEFAGRSVAEKLKDMREKLAIERARGIIITALDEVAWLYNIRGSDVSYCPVVHAFAIVTLNSAFLYVDKRKVSSKVSSSIQENGIEVREYGAVSSDVALLASNQLDKDTGLSSGRNDVCETGTCEAEENNNDLIWVDPASCCYALFSKLDAKKMLLQQSPLALAKALKNPVELDGLRNAHIRDGAAVVQYLVWLDKQMQEIYGASGYFLEGKGASKKRSETMKLTEVTASDKLEGFRATKEHFRGLSFPTISSVGPNAAVIHYSPQPETCAELDPDSIYLFDSGAQYLDGTTDITRTVHFGKPSEHEKACYTSVLKGHIALGNARFPNGTNGHALDILARIPLWRYGLDYRHGTGHGIGSYLNVHEGPHLISFRPQARNVPLQASMTVTDGRLVIPPYTDFFSLLRYHFVFNPFNACFTHSFSHFTISKHLMH >KJB71053 pep chromosome:Graimondii2_0_v6:11:11763840:11766554:1 gene:B456_011G102600 transcript:KJB71053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEILSALRSLMASHSPPLHALIVPSEDYHQSEYVSDRDKRREFVSGFTGSAGLALITKDEARLWTDGRYFLQAMQQLSDQWQLMRIGEDPSVDSWISDNLPREAAIGVDPWCVSVDTAQRWERAFAKNQQKLIQTSSNLVDEVWKNQPPAETNPVIVHPLEFAGRSVAEKLKDMREKLAIERARGIIITALDEVAWLYNIRGSDVSYCPVVHAFAIVTLNSAFLYVDKRKVSSKVSSSIQENGIEVREYGAVSSDVALLASNQLDKDTGLSSGRNDVCETGTCEAEENNNDLIWVDPASCCYALFSKLDAKKMLLQQSPLALAKALKNPVELDGLRNAHIRDGAAVVQYLVWLDKQMQEIYGASGYFLEGKGASKKRSETMKLTEVTASDKLEGFRATKEVTVLLIKLCCDNKENYSNCHFWYSNKNKM >KJB71052 pep chromosome:Graimondii2_0_v6:11:11763840:11766126:1 gene:B456_011G102600 transcript:KJB71052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEILSALRSLMASHSPPLHALIVPSEDYHQSEYVSDRDKRREFVSGFTGSAGLALITKDEARLWTDGRYFLQAMQQLSDQWQLMRIGEDPSVDSWISDNLPREAAIGVDPWCVSVDTAQRWERAFAKNQQKLIQTSSNLVDEVWKNQPPAETNPVIVHPLEFAGRSVAEKLKDMREKLAIERARGIIITALDEVAWLYNIRGSDVSYCPVVHAFAIVTLNSAFLYVDKRKVSSKVSSSIQENGIEVREYGAVSSDVALLASNQLDKDTGLSSGRNDVCETGTCEAEENNNDLIWVDPASCCYALFSKLDAKKMLLQQSPLALAKALKNPVELDGLRNAHIRDGAAVVQYLVWLDKQMQEIYGASGYFLEGKGASKKRS >KJB71054 pep chromosome:Graimondii2_0_v6:11:11764027:11767976:1 gene:B456_011G102600 transcript:KJB71054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEILSALRSLMASHSPPLHALIVPSEDYHQSEYVSDRDKRREFVSGFTGSAGLALITKDEARLWTDGRYFLQAMQQLSDQWQLMRIGEDPSVDSWISDNLPREAAIGVDPWCVSVDTAQRWERAFAKNQQKLIQTSSNLVDEVWKNQPPAETNPVIVHPLEFAGRSVAEKLKDMREKLAIERARGIIITALDEVAWLYNIRGSDVSYCPVVHAFAIVTLNSAFLYVDKRKVSSKVSSSIQENGIEVREYGAVSSDVALLASNQLDKDTGLSSGRNDVCETGTCEAEENNNDLIWVDPASCCYALFSKLDAKKMLLQQSPLALAKALKNPVELDGLRNAHIRDGAAVVQYLVWLDKQMQEIYGASGYFLEGKGASKKRSETMKLTEVTASDKLEGFRATKEHFRGLSFPTISSVGPNAAVIHYSPQPETCAELDPDSIYLFDSGAQYLDGTTDITRTVHFGKPSEHEKACYTSVLKGHIALGNARFPNGTNGHALDILARIPLWRYGLDYRHGTGHGIGSYLNVHEG >KJB71056 pep chromosome:Graimondii2_0_v6:11:11763840:11769097:1 gene:B456_011G102600 transcript:KJB71056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEILSALRSLMASHSPPLHALIVPSEDYHQSEYVSDRDKRREFVSGFTGSAGLALITKDEARLWTDGRYFLQAMQQLSDQWQLMRIGEDPSVDSWISDNLPREAAIGVDPWCVSVDTAQRWERAFAKNQQKLIQTSSNLVDEVWKNQPPAETNPVIVHPLEFAGRSVAEKLKDMREKLAIERARGIIITALDEVAWLYNIRGSDVSYCPVVHAFAIVTLNSAFLYVDKRKVSSKVSSSIQENGIEVREYGAVSSDVALLASNQLDKDTGLSSGRNDVCETGTCEAEENNNDLIWVDPASCCYALFSKLDAKKMLLQQSPLALAKALKNPVELDGLRNAHIRDGAAVVQYLVWLDKQMQEIYGASGYFLEGKGASKKRSRETMKLTEVTASDKLEGFRATKEHFRGLSFPTISSVGPNAAVIHYSPQPETCAELDPDSIYLFDSGAQYLDGTTDITRTVHFGKPSEHEKACYTSVLKGHIALGNARFPNGTNGHALDILARIPLWRYGLDYRHGTGHGIGSYLNVHEGPHLISFRPQARNVPLQASMTVTDEPGYYADGNFGIRLENVLVIKEADTEFNFGDKGYLSFEHITWAPYQIKLIDLNLLTPEEIEWLNTYHSKCREILAPSMDKNELDWLEKATEPVSA >KJB69845 pep chromosome:Graimondii2_0_v6:11:3507019:3509516:1 gene:B456_011G045700 transcript:KJB69845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLASLHTLPSPLPPTTTSSSSEPSYKTPFITRRRAIISASTAAIASLLHISNPIPSLYPSIALQPQQVELDQEEDRIVRLFQETSPSVVFIEDLELAKIPKSSSKGDRDVVAEDEDAKVEGTGSGFIWDKFGHIVTNYHVVDKLATDQSGLQSCKVLLADASGTSFYKEGKIVGIDPAYDLAVLKVDVEGYELKPIVVGTSRDLRVGQSCFAIGNPFGYENTLTTGVVSGLGREIPSPNGRAIRGAIQTDAAINAGNSGGPLIDSYGHVIGVNTATFTRKGTGISSGVNFAIPIDTVVRTVPYLIVYGTPYSNRFQ >KJB69847 pep chromosome:Graimondii2_0_v6:11:3507127:3509476:1 gene:B456_011G045700 transcript:KJB69847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLASLHTLPSPLPPTTTSSSSEPSYKTPFITRRRAIISASTAAIASLLHISNPIPSLYPSIALQPQQVELDQEEDRIVRLFQETSPSVVFIEDLELAKIPKSSSKGDRDVVAEDEDAKVEGTGSGFIWDKFGHIVTNYHVVDKLATDQSGLQSCKVLLADASGTSFYKEGKIVGIDPAYDLAVLKVDVEGYELKPIVVGTSRDLRVGQSCFAIGNPFGYENTLTTGVTKLFYTTPNQCFTLFNWFLFKEL >KJB69846 pep chromosome:Graimondii2_0_v6:11:3507127:3507984:1 gene:B456_011G045700 transcript:KJB69846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLASLHTLPSPLPPTTTSSSSEPSYKTPFITRRRAIISASTAAIASLLHISNPIPSLYPSIALQPQQVELDQEEDRIVRLFQETSPSVVFIEDLELAKIPKSSSKGDRDVVAEDEDAKVEGTGSGFIWDKFGHIVSISFELLICNRLLITTLLINWLPTKVDCKVVRCFWLMLAELAFTKKGKLLALIQPTI >KJB71164 pep chromosome:Graimondii2_0_v6:11:12845413:12848665:1 gene:B456_011G108900 transcript:KJB71164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHSLKDSFDRVAKKRKVSYSKTHEVTDLIVQEINKAIKVMQSSTLEYKSELAELKKKLQEVSPLNQLEGAQKELNIALIKYPKALEKVFNRDISKAYQNIEFDSPIVNQIIASHFYRQGLFEVGDCFIAEAQDAEAAVAMRSLFQELYQMLEAMKSQNLEPALKWAAANSNKLKENGSDLQLRIHHLQFVKILQKGSRDEALKYARTNFASFAGNHIAEIQKLMGCLLYSDRLHESPYAHLLSPTNWDTVTDELTRQFCNLLGQSYESPLSATIAAGIQGLPPLLKFMTVMAGKKHEWQSMKQLPVPVELDKEFQFHSVFVCPVTKEQSTDDNLPMLMSCGHVLCKQSINKMSKNGSKTFKCPYCPTDIDLTQCRQLIF >KJB71162 pep chromosome:Graimondii2_0_v6:11:12845413:12848665:1 gene:B456_011G108900 transcript:KJB71162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHSLKDSFDRVAKKRKVSYSKTHEVTDLIVQEINKAIKVMQSSTLEYKSELAELKKKLQEVSPLNQLEGAQKELNIALIKYPKALEKVFNRDISKAYQNIEFDSPIVNQIIASHFYRQGLFEVGDCFIAEAQDAEAAVAMRSLFQELYQMLEAMKSQNLEPALKWAAANSNKLKENGSDLQLRIHHLQFVKILQKGSRDEALKYARTNFASFAGNHIAEIQKLMGCLLYSDRLHESPYAHLLSPTNWDTVTDELTRQFCNLLGQSYESPLSATIAAGIQGLPPLLKFMTVMAGKKHEWQSMKQLPVPVELDKEFQFHSVFVCPVTKEQSTDDNLPMLMSCGHVLCKQSINKMSKNGSKTFKCPYCPTDIDLTQCRQLIF >KJB71161 pep chromosome:Graimondii2_0_v6:11:12845256:12848665:1 gene:B456_011G108900 transcript:KJB71161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHSLKDSFDRVAKKRKVSYSKTHEVTDLIVQEINKAIKVMQSSTLEYKSELAELKKKLQEVSPLNQLEGAQKELNIALIKYPKALEKVFNRDISKAYQNIEFDSPIVNQIIASHFYRQGLFEVGDCFIAEAQDAEAAVAMRSLFQELYQMLEAMKSQNLEPALKWAAANSNKLKENGSDLQLRIHHLQFVKILQKGSRDEALKYARTNFASFAGNHIAEIQKLMGCLLYSDRLHESPYAHLLSPTNWDTVTDELTRQFCNLLGQSYESPLSATIAAGIQGLPPLLKFMTVMAGKKHEWQSMKQLPVPVELDKEFQFHSVFVCPVTKEQSTDDNLPMLMSCGHVLCKQSINKMSKNGSKTFKCPYCPTDIDLTQCRQLIF >KJB71163 pep chromosome:Graimondii2_0_v6:11:12845207:12848721:1 gene:B456_011G108900 transcript:KJB71163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHSLKDSFDRVAKKRKVSYSKTHEVTDLIVQEINKAIKVMQSSTLEYKSELAELKKKLQEVSPLNQLEGAQKELNIALIKYPKALEKVFNRDISKAYQNIEFDSPIVNQIIASHFYRQGLFEVGDCFIAEAQDAEAAVAMRSLFQELYQMLEAMKSQNLEPALKWAAANSNKLKENGSDLQLRIHHLQFVKILQKGSRDEALKYARTNFASFAGNHIAEIQKLMGCLLYSDRLHESPYAHLLSPTNWDTVTDELTRQFCNLLGQSYESPLSATIAAGIQGLPPLLKFMTVMAGKKHEWQSMKQLPVPVELDKEFQFHSVFVCPVTKEQSTDDNLPMLMSCGHVLCKQSINKMSKNGSKTFKCPYCPTDIDLTQCRQLIF >KJB69712 pep chromosome:Graimondii2_0_v6:11:2923170:2926114:1 gene:B456_011G039000 transcript:KJB69712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEMHDEEQGEDVCAMPSRSRKCSSFDLNDEAGSERDCIGETSVEEEEIENITEGSSSNNNNGNGNDRRRVRQYVRSKLPRLRWTPDLHYSFVRAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSQSKRAIQGRGEFGSLLCQAITTLSPHHGHQQQHFRMENGGIVLASESLDGSNTTFKANFPRHHQFPNSFISKAFGQENGFYIQNQIHGTGPIRAMASRFLEEKRWHPFERISNRWKVNGNMYKDMQSQSHCFWQRPSSDEDKHEPLTKFSSCRTEFEVIVMLAKLVYIYNEHRLMCFFI >KJB69708 pep chromosome:Graimondii2_0_v6:11:2921738:2926111:1 gene:B456_011G039000 transcript:KJB69708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEMHDEEQGEDVCAMPSRSRKCSSFDLNDEAGSERDCIGETSVEEEEIENITEGSSSNNNNGNGNDRRRVRQYVRSKLPRLRWTPDLHYSFVRAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSQSKRAIQGRGEFGSLLCQAITTLSPHHGHQQQHFRMENGGIVLASESLDGSNTTFKANFPRHHQFPNSFISKAFGQENGFYIQNQIHGTGPIRAMASRFLEEKRWHPFERISNRWKVNGNMYKDMQSQSHCFWQRPSSDEDKHEPLTKFSSCRTEFEWNQDKVLKDGERLPDLQLRLSQRNGKFDEEKNNHCKGTHEISTQLSLS >KJB69713 pep chromosome:Graimondii2_0_v6:11:2921738:2926111:1 gene:B456_011G039000 transcript:KJB69713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEMHDEEQGEDVCAMPSRSRKCSSFDLNDEAGSERDCIGETSVEEEEIENITEGSSSNNNNGNGNDRRRVRQYVRSKLPRLRWTPDLHYSFVRAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSQSKRAIQGRGEFGSLLCQAITTLSPHHGHQQQHFRMENGGIVLASESLDGSNTTFKANFPRHHQFPNSFISKAFGQENGFYIQNQIHGTGPIRAMASRFLEEKRWHPFERISNRWKVNGNMYKDMQSQSHCFWQRPSSDEDKHEPLTKFSSCRTEFEWNQDKVLKDGERLPDLQLRLSQRNGKFDEEKNNHCKGTHEISTQLSLS >KJB69711 pep chromosome:Graimondii2_0_v6:11:2923353:2926111:1 gene:B456_011G039000 transcript:KJB69711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSQSKRAIQGRGEFGSLLCQAITTLSPHHGHQQQHFRMENGGIVLASESLDGSNTTFKANFPRHHQFPNSFISKAFGQENGFYIQNQIHGTGPIRAMASRFLEEKRWHPFERISNRWKVNGNMYKDMQSQSHCFWQRPSSDEDKHEPLTKFSSCRTEFEWNQDKVLKDGERLPDLQLRLSQRNGKFDEEKNNHCKGTHEISTQLSLS >KJB69709 pep chromosome:Graimondii2_0_v6:11:2923170:2926111:1 gene:B456_011G039000 transcript:KJB69709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSQSKRAIQGRGEFGSLLCQAITTLSPHHGHQQQHFRMENGGIVLASESLDGSNTTFKANFPRHHQFPNSFISKAFGQENGFYIQNQIHGTGPIRAMASRFLEEKRWHPFERISNRWKVNGNMYKDMQSQSHCFWQRPSSDEDKHEPLTKFSSCRTEFEWNQDKVLKDGERLPDLQLRLSQRNGKFDEEKNNHCKGTHEISTQLSLS >KJB69707 pep chromosome:Graimondii2_0_v6:11:2923170:2926114:1 gene:B456_011G039000 transcript:KJB69707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEMHDEEQGEDVCAMPSRSRKCSSFDLNDEAGSERDCIGETSVEEEEIENITEGSSSNNNNGNGNDRRRVRQYVRSKLPRLRWTPDLHYSFVRAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSQSKRAIQGRGEFGSLLCQAITTLSPHHGHQQQHFRMENGGIVLASESLDGSNTTFKANFPRHHQFPNSFISKAFGQENGFYIQNQIHGTGPIRAMASRFLEEKRWHPFERISNRWKVNGNMYKDMQSQSHCFWQRPSSDEDKHEPLTKFSSCRTEFEWNQDKVLKDGERLPDLQLRLSQRNGKFDEEKNNHCKGTHEISTQLSLS >KJB69710 pep chromosome:Graimondii2_0_v6:11:2921879:2926114:1 gene:B456_011G039000 transcript:KJB69710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEMHDEEQGEDVCAMPSRSRKCSSFDLNDEAGSERDCIGETSVEEEEIENITEGSSSNNNNGNGNDRRRVRQYVRSKLPRLRWTPDLHYSFVRAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSQSKRAIQGRGEFGSLLCQAITTLSPHHGHQQQHFRMENGGIVLASESLDGSNTTFKANFPRHHQFPNSFISKAFGQENGFYIQNQIHGTGPIRAMASRFLEEKRWHPFERISNRWKVNGNMYKDMQSQSHCFWQRPSSDEDKHEPLTKFSSCRTEFEWNQDKVLKDGERLPDLQLRLSQRNGKFDEEKNNHCKGTHEISTQLSLS >KJB73388 pep chromosome:Graimondii2_0_v6:11:54473273:54475805:1 gene:B456_011G230700 transcript:KJB73388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVLCARPPKPWILNSLSLIAHGGSAAHHHENRLLHWPSHFADLSAANRRCRHHSTACRLGGGSEGGAASIWHAILPCGGDRGVKNRGDVWKNVERKGEGSWNVSWDARPARWLRSDSAWLLFGVCACLAPMPMDEFDDVNLDADNKTDASLNSDENSSNHLSSVAAADNYKVTGILADGRCLFRAIAHGACLRSGEEAPDENRQRELADELRAQVVNELLKRREETEWFIEGDFDAYVKEIQQPYVWGGEPELLMASHVLKTRISVYMIHRSSGNLINIAKYGEEYQKEKENPINVLFHGYGHYDILESLPELISTK >KJB73389 pep chromosome:Graimondii2_0_v6:11:54473273:54475805:1 gene:B456_011G230700 transcript:KJB73389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVLCARPPKPWILNSLSLIAHGGSAAHHHENRLLHWPSHFADLSAANRRCRHHSTACRLGGGSEGGAASIWHAILPCGGDRGVKNRGDVWKNVERKGEGSWNVSWDARPARWLRSDSAWLLFGVCACLAPMPMDEFDDVNLDADNKTDASLNSDENSSNHLSSVAAADNYKVTGILADGRCLFRAIAHGACLRSGEEAPDENRQRELADELRAQVVNELLKRREETEWFIEGDFDAYVKEIQQPYVWGGEPELLMASHVLK >KJB73387 pep chromosome:Graimondii2_0_v6:11:54473445:54474435:1 gene:B456_011G230700 transcript:KJB73387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVLCARPPKPWILNSLSLIAHGGSAAHHHENRLLHWPSHFADLSAANRRCRHHSTACRLGGGSEGGAASIWHAILPCGGDRGVKNRGDVWKNVERKGEGSWNVSWDARPARWLRSDSAWLLFGVCACLAPMPMDEFDDVNLDADNKTDASLNSDENSSNHLSSVAAADNYKVTGILADGRCLFRAIAHGACLRSGEEAPDENRQRELADELRAQVVNELLKRREETEW >KJB73568 pep chromosome:Graimondii2_0_v6:11:56324461:56338506:1 gene:B456_011G239000 transcript:KJB73568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSGKVVQNVFIAQFYQQNRQFGTQYQRDSCVPYMCALPPFHGGKLAWTSNSSMQMRNLLKYQNGFVNRRTFYCNAASSATAIPSLDKVDFLKLQNGSDIRGVAVSGVEGEPVSLTEPVTEAIAAGFAAWLLDKKKVDASRKLRVAIGHDSRISAQKLQDAVSRCIASAGLDVVQYGLASTPAMFNSTLTEDEAILCPADGAIMITASHLPYNRNGFKFFTNDGGLGKNDIKDILERAASIYSNFLAKGYSVKASESVKKIDYMAVYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGMFPNHIPNPEDKAAMKAITQAVLDNKANLGIIFDTDVDRSAAVDSTGRELNRNCLIALMSAIVLEEHPGTTIVTDSVTSDGLTSFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGKGVGSKVLTDLVEGLEEPAIAVELRLKINQNHPDLKGRSFRKYGEAVLQHLGNSIASNPKLQKAAVNYEGVRVSGFGGWFLLRLSLHDPVLPLNIEAPSHEDAVKLGHEVAAAVKEFQALDTSALDKFVQP >KJB73571 pep chromosome:Graimondii2_0_v6:11:56327852:56332639:1 gene:B456_011G239000 transcript:KJB73571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSTLTEDEAILCPADGAIMITASHLPYNRNGFKFFTNDGGLGKNDIKDILERAASIYSNFLAKGYSVKASESVKKIDYMAVYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGMFPNHIPNPEDKAAMKAITQAVLDNKANLGIIFDTDVDRSAAVDSTGRELNRNCLIALMSAIVLEEHPGTTIVTDSVTSDGLTSFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGKGVGSKVLTDLVEGLEEPAIAVELRLKINQNHPDLKGRSFREYGEAVLQHLGNSIASNPKLQKAPVNYEGVRVSGFGGWFLLRLSLHDPVLPLNIEAPSHEDAVKLGLEVAAAVKEFQALDTSALDKFVQP >KJB73567 pep chromosome:Graimondii2_0_v6:11:56324461:56338506:1 gene:B456_011G239000 transcript:KJB73567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSGKVVQNVFIAQFYQQNRQFGTQYQRDSCVPYMCALPPFHGGKLAWTSNSSMQMRNLLKYQNGFVNRRTFYCNAASSATAIPSLDKVDFLKLQNGSDIRGVAVSGVEGEPVSLTEPVTEAIAAGFAAWLLDKKKVDASRKLRVAIGHDSRISAQKLQDAVSRCIASAGLDVVQYGLASTPAMFNSTLTEDEAILCPADGAIMITASHLPYNRNGFKFFTNDGGLGKNDIKDILERAASIYSNFLAKGYSVKASESVKKIDYMAVYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGMFPNHIPNPEDKAAMKAITQAVLDNKANLGIIFDTDVDRSAAVDSTGRELNRNCLIALMSAIVLEEHPGTTIVTDSVTSDGLTSFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGKGVGSKVLTDLVEGLEEPAIAVELRLKINQNHPDLKGRSFREYGEAVLQHLGNSIASNPKLQKAPVNYEGVRVSGFGGWFLLRLSLHDPVLPLNIEAPSHEDAVKLGHEVAAAVKEFQALDTSALDKFVQP >KJB73565 pep chromosome:Graimondii2_0_v6:11:56324461:56332798:1 gene:B456_011G239000 transcript:KJB73565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSGKVVQNVFIAQFYQQNRQFGTQYQRDSCVPYMCALPPFHGGKLAWTSNSSMQMRNLLKYQNGFVNRRTFYCNAASSATAIPSLDKVDFLKLQNGSDIRGVAVSGVEGEPVSLTEPVTEAIAAGFAAWLLDKKKVDASRKLRVAIGHDSRISAQKLQDAVSRCIASAGLDVVQYGLASTPAMFNSTLTEDEAILCPADGAIMITASHLPYNRNGFKFFTNDGGLGKNDIKDILERAASIYSNFLAKGYSVKASESVKKIDYMAVYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGMFPNHIPNPEDKAAMKAITQAVLDNKANLGIIFDTDVDRSAAVDSTGRELNRNCLIALMSAIVLEEHPGTTIVTDSVTSDGLTSFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGKGVGSKVLTDLVEGLEEPAIAVELRLKINQNHPDLKGRSFREYGEAVLQHLGNSIASNPKLQKAPVNYEGVRVSGFGGWFLLRLSLHDPVLPLNIEAPSHEDAVKLGLEVAAAVKEFQALDTSALDKFVQP >KJB73569 pep chromosome:Graimondii2_0_v6:11:56326094:56332639:1 gene:B456_011G239000 transcript:KJB73569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSATAIPSLDKVDFLKLQNGSDIRGVAVSGVEGEPVSLTEPVTEAIAAGFAAWLLDKKKVDASRKLRVAIGHDSRISAQKLQDAVSRCIASAGLDVVQYGLASTPAMFNSTLTEDEAILCPADGAIMITASHLPYNRNGFKFFTNDGGLGKNDIKDILERAASIYSNFLAKGYSVKASESVKKIDYMAVYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGMFPNHIPNPEDKAAMKAITQAVLDNKANLGIIFDTDVDRSAAVDSTGRELNRNCLIALMSAIVLEEHPGTTIVTDSVTSDGLTSFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGKGVGSKVLTDLVEGLEEPAIAVELRLKINQNHPDLKGRSFREYGEAVLQHLGNSIASNPKLQKAPVNYEGVRVSGFGGWFLLRLSLHDPVLPLNIEAPSHEDAVKLGLEVAAAVKEFQALDTSALDKFVQP >KJB73570 pep chromosome:Graimondii2_0_v6:11:56326094:56338502:1 gene:B456_011G239000 transcript:KJB73570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSATAIPSLDKVDFLKLQNGSDIRGVAVSGVEGEPVSLTEPVTEAIAAGFAAWLLDKKKVDASRKLRVAIGHDSRISAQKLQDAVSRCIASAGLDVVQYGLASTPAMFNSTLTEDEAILCPADGAIMITASHLPYNRNGFKFFTNDGGLGKNDIKDILERAASIYSNFLAKGYSVKASESVKKIDYMAVYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGMFPNHIPNPEDKAAMKAITQAVLDNKANLGIIFDTDVDRSAAVDSTGRELNRNCLIALMSAIVLEEHPGTTIVTDSVTSDGLTSFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGKGVGSKVLTDLVEGLEEPAIAVELRLKINQNHPDLKGRSFREYGEAVLQHLGNSIASNPKLQKAPVNYEGVRVSGFGGWFLLRLSLHDPVLPLNIEAPSHEDAVKLGHEVAAAVKEFQALDTSALDKFVQP >KJB73566 pep chromosome:Graimondii2_0_v6:11:56324461:56338500:1 gene:B456_011G239000 transcript:KJB73566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSATAIPSLDKVDFLKLQNGSDIRGVAVSGVEGEPVSLTEPVTEAIAAGFAAWLLDKKKVDASRKLRVAIGHDSRISAQKLQDAVSRCIASAGLDVVQYGLASTPAMFNSTLTEDEAILCPADGAIMITASHLPYNRNGFKFFTNDGGLGKNDIKDILERAASIYSNFLAKGYSVKASESVKKIDYMAVYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGMFPNHIPNPEDKAAMKAITQAVLDNKANLGIIFDTDVDRSAAVDSTGRELNRNCLIALMSAIVLEEHPGTTIVTDSVTSDGLTSFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGKGVGSKVLTDLVEGLEEPAIAVELRLKINQNHPDLKGRSFRKYGEAVLQHLGNSIASNPKLQKAAVNYEGVRVSGFGGWFLLRLSLHDPVLPLNIEAPSHEDAVKLGHEVAAAVKEFQALDTSALDKFVQP >KJB72512 pep chromosome:Graimondii2_0_v6:11:43504080:43506751:1 gene:B456_011G182700 transcript:KJB72512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRIEASVPTCAESSDKTGTEKKKWEPLRFIRRKPRTSFSDSVTSIDLTSLGAELKRIDALSPKGDLQDCSRTAEPLELTNEASEESSSATDSEGQLSLTGLVHLRGFFRLLKKGPGIPSQVLPPLIPKLPLKRGKKGGEDTVPLDSALDAELRCLKSSWKNFSLSELQEATNNFSHENLIGQGGYAEVYKGQLKDRKFVAIKRLMKGSPEEMIEDFLSELGIMVHLDHPNIAKMIGYGVEGGMYLVLQLSPHGSLSSLLYGPKEKLTWSIRFKIAVGTAEGLSYLHEGCQRRIIHKDIKAANVLLSENFDAQISDFGLSKWLPDNWTHHTVYKVEGTFGYLAPELFMHGIVDEKTDVYAFGVLLLELITGRRAVDSSQKSLVILAKPLIAENKINELVDPALGNNYDLDQLKCAIATASICINQSSMDRPQMSQVVGMLKGDPKCLEMLKEQEKCPHRRTFSDEIFHTEEYNSTNCLNGQNSQNDKVS >KJB71724 pep chromosome:Graimondii2_0_v6:11:21495732:21500874:1 gene:B456_011G138800 transcript:KJB71724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWKLCRERYKDLIKQCQTMHSSIGTGSLAYPVGSKVMDMRTPSKEAKVECREASTDDTDKREKYSDLGNNCSDSLYVDQRVNVSNSGDLTSVRRNADSAAYDSCSSSTSVPCGPCSSKRGGDCNGSDFITECDFDFPPLPVTDLFEKSEDKKEFDANEEVYSAQYKLMFEDDNMHSFQINNNADLIMESNVSSSLSKNVSCRYNSEIELVTYSDDYEPVLRPNSVSYKTETVNRLSISSVPETPFVNATRSQERAAQEERVSEWLWTLHRIVVDVVRTDSHLDFYKDTRNLARMSDILAVYAWVDPTTGYCQGMSDLLSPFVVLFEDNADAFWCFEMLIRRMRENFKIDGPTGVMKQLQALWHILEFTDREIFAHLSNIGAESLHFAFPMLLVLFRRELSFNESLRMWEMMWAADFDESASCDLEDICLEALVVQLPRDLGEETREENPESGDDGVKGSLQSKHSLSENAGFKSAAAHRFCGLTRNFLSKNNILQICGLVSSTRKGDDNLPVFCVAAILIMNRQKIIKETHSIDDMIKIFNDKLLKIHVKRCVGSAIKLRKKYLYKLIKSKGHADRKIE >KJB71719 pep chromosome:Graimondii2_0_v6:11:21494375:21500874:1 gene:B456_011G138800 transcript:KJB71719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSIGTGSLAYPVGSKVMDMRTPSKEAKVECREASTDDTDKREKYSDLGNNCSDSLYVDQRVNVSNSGDLTSVRRNADSAAYDSCSSSTSVPCGPCSSKRGGDCNGSDFITECDFDFPPLPVTDLFEKSEDKKEFDANEEVYSAQYKLMFEDDNMHSFQINNNADLIMESNVSSSLSKNVSCRYNSEIELVTYSDDYEPVLRPNSVSYKTETVNRLSISSVPETPFVNATRSQERAAQEERVSEWLWTLHRIVVDVVRTDSHLDFYKDTRNLARMSDILAVYAWVDPTTGYCQGMSDLLSPFVVLFEDNADAFWCFEMLIRRMRENFKIDGPTGVMKQLQALWHILEFTDREIFAHLSNIGAESLHFAFPMLLVLFRRELSFNESLRMWEMMWAADFDESASCDLEDICLEALVVQLPRDLGEETREENPESGDDGVKGSLQSKHSLSENAGFKSAAAHRFCGLTRNFLSKNNILQICGLVSSTRKGDDNLPVFCVAAILIMNRQKIIKETHSIDDMIKIFNDKLLKIHVKRCVGSAIKLRKKYLYKLIKSKGHADRKIE >KJB71717 pep chromosome:Graimondii2_0_v6:11:21492228:21501260:1 gene:B456_011G138800 transcript:KJB71717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRDKENQWSFGKAAAAAAGAVNIQKVGSVVRDIGDPCLSHSSIKVGKMLKQEKWQAIFDNEGKVSGFQKVLKLIILGGVDPSIRPEVWEFLLGCYALGSTADHRRQLRIARRERYKDLIKQCQTMHSSIGTGSLAYPVGSKVMDMRTPSKEAKVECREASTDDTDKREKYSDLGNNCSDSLYVDQRVNVSNSGDLTSVRRNADSAAYDSCSSSTSVPCGPCSSKRGGDCNGSDFITECDFDFPPLPVTDLFEKSEDKKEFDANEEVYSAQYKLMFEDDNMHSFQINNNADLIMESNVSSSLSKNVSCRYNSEIELVTYSDDYEPVLRPNSVSYKTETVNRLSISSVPETPFVNATRSQERAAQEERVSEWLWTLHRIVVDVVRTDSHLDFYKDTRNLARMSDILAVYAWVDPTTGYCQGMSDLLSPFVVLFEDNADAFWCFEMLIRRMRENFKIDGPTGVMKQLQALWHILEFTDREIFAHLSNIGAESLHFAFPMLLVLFRRELSFNESLRMWEMMWAADFDESASCDLEDICLEALVVQLPRDLGEETREENPESGDDGVKGSLQSKHSLSENAGFKSAAAHRFCGLTRNFLSKNNILQICGLVSSTRKGDDNLPVFCVAAILIMNRQKIIKETHSIDDMIKIFNDKLLKIHVKRCVGSAIKLRKKYLYKLIKSKGHADRKIE >KJB71720 pep chromosome:Graimondii2_0_v6:11:21492274:21500874:1 gene:B456_011G138800 transcript:KJB71720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWKSCRRRCRSRQYSKSWFCCSRHWRSLPFPLFHQGKMLKQEKWQAIFDNEGKVSGFQKVLKLIILGGVDPSIRPEVWEFLLGCYALGSTADHRRQLRIARRERYKDLIKQCQTMHSSIGTGSLAYPVGSKVMDMRTPSKEAKVECREASTDDTDKREKYSDLGNNCSDSLYVDQRVNVSNSGDLTSVRRNADSAAYDSCSSSTSVPCGPCSSKRGGDCNGSDFITECDFDFPPLPVTDLFEKSEDKKEFDANEEVYSAQYKLMFEDDNMHSFQINNNADLIMESNVSSSLSKNVSCRYNSEIELVTYSDDYEPVLRPNSVSYKTETVNRLSISSVPETPFVNATRSQERAAQEERVSEWLWTLHRIVVDVVRTDSHLDFYKDTRNLARMSDILAVYAWVDPTTGYCQGMSDLLSPFVVLFEDNADAFWCFEMLIRRMRENFKIDGPTGVMKQLQALWHILEFTDREIFAHLSNIGAESLHFAFPMLLVLFRRELSFNESLRMWEMMWAADFDESASCDLEDICLEALVVQLPRDLGEETREENPESGDDGVKGSLQSKHSLSENAGFKSAAAHRFCGLTRNFLSKNNILQICGLVSSTRKGDDNLPVFCVAAILIMNRQKIIKETHSIDDMIKIFNDKLLKIHVKRCVGSAIKLRKKYLYKLIKSKGHADRKIE >KJB71718 pep chromosome:Graimondii2_0_v6:11:21492274:21500874:1 gene:B456_011G138800 transcript:KJB71718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRDKENQWSFGKAAAAAAGAVNIQKVGSVVRDIGDPCLSHSSIKVVFSCKMLKQEKWQAIFDNEGKVSGFQKVLKLIILGGVDPSIRPEVWEFLLGCYALGSTADHRRQLRIARRERYKDLIKQCQTMHSSIGTGSLAYPVGSKVMDMRTPSKEAKVECREASTDDTDKREKYSDLGNNCSDSLYVDQRVNVSNSGDLTSVRRNADSAAYDSCSSSTSVPCGPCSSKRGGDCNGSDFITECDFDFPPLPVTDLFEKSEDKKEFDANEEVYSAQYKLMFEDDNMHSFQINNNADLIMESNVSSSLSKNVSCRYNSEIELVTYSDDYEPVLRPNSVSYKTETVNRLSISSVPETPFVNATRSQERAAQEERVSEWLWTLHRIVVDVVRTDSHLDFYKDTRNLARMSDILAVYAWVDPTTGYCQGMSDLLSPFVVLFEDNADAFWCFEMLIRRMRENFKIDGPTGVMKQLQALWHILEFTDREIFAHLSNIGAESLHFAFPMLLVLFRRELSFNESLRMWEMMWAADFDESASCDLEDICLEALVVQLPRDLGEETREENPESGDDGVKGSLQSKHSLSENAGFKSAAAHRFCGLTRNFLSKNNILQICGLVSSTRKGDDNLPVFCVAAILIMNRQKIIKETHSIDDMIKIFNDKLLKIHVKRCVGSAIKLRKKYLYKLIKSKGHADRKIE >KJB71716 pep chromosome:Graimondii2_0_v6:11:21492274:21500874:1 gene:B456_011G138800 transcript:KJB71716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRDKENQWSFGKAAAAAAGAVNIQKVGSVVRDIGDPCLSHSSIKVGKMLKQEKWQAIFDNEGKVSGFQKVLKLIILGGVDPSIRPEVWEFLLGCYALGSTADHRRQLRIARRERYKDLIKQCQTMHSSIGTGSLAYPVGSKVMDMRTPSKEAKVECREASTDDTDKREKYSDLGNNCSDSLYVDQRVNVSNSGDLTSVRRNADSAAYDSCSSSTSVPCGPCSSKRGGDCNGSDFITECDFDFPPLPVTDLFEKSEDKKEFDANEEVYSAQYKLMFEDDNMHSFQINNNADLIMESNVSSSLSKNVSCRYNSEIELVTYSDDYEPVLRPNSVSYKTETVNRLSISSVPETPFVNATRSQERAAQEERVSEWLWTLHRIVVDVVRTDSHLDFYKDTRNLARMSDILAVYAWVDPTTGYCQGMSDLLSPFVVLFEDNADAFWCFEMLIRRMRENFKIDGPTGVMKQLQALWHILEFTDREIFAHLSNIGAESLHFAFPMLLVLFRRELSFNESLRMWEMMWAADFDESASCDLEDICLEALVVQLPRDLGEETREENPESGDDGVKGSLQSKHSLSENAGFKSAAAHRFCGLTRNFLSKNNILQICGLVSSTRKGDDNLPVFCVAAILIMNRQKIIKETHSIDDMIKIFNDKLLKIHVKRCVGSAIKLRKKYLYKLIKSKGHADRKIE >KJB71723 pep chromosome:Graimondii2_0_v6:11:21492274:21500874:1 gene:B456_011G138800 transcript:KJB71723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSIGTGSLAYPVGSKVMDMRTPSKEAKVECREASTDDTDKREKYSDLGNNCSDSLYVDQRVNVSNSGDLTSVRRNADSAAYDSCSSSTSVPCGPCSSKRGGDCNGSDFITECDFDFPPLPVTDLFEKSEDKKEFDANEEVYSAQYKLMFEDDNMHSFQINNNADLIMESNVSSSLSKNVSCRYNSEIELVTYSDDYEPVLRPNSVSYKTETVNRLSISSVPETPFVNATRSQERAAQEERVSEWLWTLHRIVVDVVRTDSHLDFYKDTRNLARMSDILAVYAWVDPTTGYCQGMSDLLSPFVVLFEDNADAFWCFEMLIRRMRENFKIDGPTGVMKQLQALWHILEFTDREIFAHLSNIGAESLHFAFPMLLVLFRRELSFNESLRMWEMMWAADFDESASCDLEDICLEALVVQLPRDLGEETREENPESGDDGVKGSLQSKHSLSENAGFKSAAAHRFCGLTRNFLSKNNILQICGLVSSTRKGDDNLPVFCVAAILIMNRQKIIKETHSIDDMIKIFNDKLLKIHVKRCVGSAIKLRKKYLYKLIKSKGHADRKIE >KJB71721 pep chromosome:Graimondii2_0_v6:11:21492274:21500463:1 gene:B456_011G138800 transcript:KJB71721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQEKWQAIFDNEGKVSGFQKVLKLIILGGVDPSIRPEVWEFLLGCYALGSTADHRRQLRIARRERYKDLIKQCQTMHSSIGTGSLAYPVGSKVMDMRTPSKEAKVECREASTDDTDKREKYSDLGNNCSDSLYVDQRVNVSNSGDLTSVRRNADSAAYDSCSSSTSVPCGPCSSKRGGDCNGSDFITECDFDFPPLPVTDLFEKSEDKKEFDANEEVYSAQYKLMFEDDNMHSFQINNNADLIMESNVSSSLSKNVSCRYNSEIELVTYSDDYEPVLRPNSVSYKTETVNRLSISSVPETPFVNATRSQERAAQEERVSEWLWTLHRIVVDVVRTDSHLDFYKDTRNLARMSDILAVYAWVDPTTGYCQGMSDLLSPFVVLFEDNADAFWCFEMLIRRMRENFKIDGPTGVMKQLQALWHILEFTDREIFAHLSNIGAESLHFAFPMLLVLFRRELSFNESLRMWEMMWAADFDESASCDLEDICLEALVVQLPRDLGEETREENPESGDDGVKGSLQSKHSLSENAGFKSAAAHRFCGLTRNFLSKNNILQICGLVSSTRKGDDNLPVFCVAAILIMNRQKIIKETHSIDDMIKIFNDKLLKIHVKRCVGSAIKLRKKYLYKLIKSKGHADRKIE >KJB71722 pep chromosome:Graimondii2_0_v6:11:21492295:21501208:1 gene:B456_011G138800 transcript:KJB71722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQEKWQAIFDNEGKVSGFQKVLKLIILGGVDPSIRPEVWEFLLGCYALGSTADHRRQLRIARRERYKDLIKQCQTMHSSIGTGSLAYPVGSKVMDMRTPSKEAKVECREASTDDTDKREKYSDLGNNCSDSLYVDQRVNVSNSGDLTSVRRNADSAAYDSCSSSTSVPCGPCSSKRGGDCNGSDFITECDFDFPPLPVTDLFEKSEDKKEFDANEEVYSAQYKLMFEDDNMHSFQINNNADLIMESNVSSSLSKNVSCRYNSEIELVTYSDDYEPVLRPNSVSYKTETVNRLSISSVPETPFVNATRSQERAAQEERVSEWLWTLHRIVVDVVRTDSHLDFYKDTRNLARMSDILAVYAWVDPTTGYCQGMSDLLSPFVVLFEDNADAFWCFEMLIRRMRENFKIDGPTGVMKQLQALWHILEFTDREIFAHLSNIGAESLHFAFPMLLVLFRRELSFNESLRMWEMMWAADFDESASCDLEDICLEALVVQLPRDLGEETREENPESGDDGVKGSLQSKHSLSENAGFKSAAAHRFCGLTRNFLSKNNILQICGLVSSTRKGDDNLPVFCVAAILIMNRQKIIKETHSIDDMIKIFNDKLLKIHVKRCVGSAIKLRKKYLYKLIKSKGHADRKIE >KJB71957 pep chromosome:Graimondii2_0_v6:11:25229400:25241165:-1 gene:B456_011G150500 transcript:KJB71957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAHQNYKAGNYKQALEHSSVVYERNPCRTDNLLLLGAIHYQLHDYDQCITKNEEALRIDPHFAECYGNMANAWKEKGNIDTAIQYYLFAIELQPNFADAWSNLASAYMRKGRLNEAAQCCRQALALNPRLVDAHSNLGNLMKIQGFVKEAYNCYLEALRIQPNFAIAWSNLAGLFMEAGDLNRALQYYKEAVRLKPAFFDAYLNLGNVYKALGMPKEAIVCYQRALQVQPDYAMAYGNLASVYFEQRNLDMAILNYRRAIAFDSGFLEAYNNLGNALKDAGKVDEAMQCYRQCLALQPNHPQALTNLGNIYMEWNMLSAAASCYKATLSVTTGLSAPFNNLAIIYKQQGNLADAISCYNEVLRIDPMAADALVNRGNTYKESGRVNEAIHDYIRAINIRPAMAEAHANLASAYKDSGHVEAAINSYKQALVLRPDFPEATCNLLHTLQCVCDWEDRENKFLEVEGILRRQIKVHAQSATSVIPSVQPFHAIAYPIDPMLALEISRKYAAHSSVVASRYSLPPFTYPAPFPGENGNRRLRVGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFSYALSPNDGTEWRLRIQSEAEHFIDVSSMSSDMIAKMINEDKIQILVNLNGYTKGARNEIFAMQPAPIQISYMGFPGTTGASYIHYLVTDEFVSPLRFSHIYSEKLVHLPHCYFVNDYKQKNLDVLDPNGLPKRSDYGLPEDKFLFACFNQLYKMDPDIFTTWCNILKRVPNSALWLLRFPAAGETRLRAYATQQGVQPDQIIFTDVAMKSEHIRRSALADLFLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGVGEEMIVSSLKEYEEKAVSLALNRPKLQELSDKLKAARMTCPLFDTARWVRNLERAYFKMWSVYRSGQQPQHIRVTENDEEFA >KJB71956 pep chromosome:Graimondii2_0_v6:11:25229356:25242808:-1 gene:B456_011G150500 transcript:KJB71956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQSDPRLQQYHHNKLFQQQQQQVQLFPYNHDSSSLNSDFGGAVAAPAPASSSSSAAAAALSNVKLSDPNEVDDNTLMALAHQNYKAGNYKQALEHSSVVYERNPCRTDNLLLLGAIHYQLHDYDQCITKNEEALRIDPHFAECYGNMANAWKEKGNIDTAIQYYLFAIELQPNFADAWSNLASAYMRKGRLNEAAQCCRQALALNPRLVDAHSNLGNLMKIQGFVKEAYNCYLEALRIQPNFAIAWSNLAGLFMEAGDLNRALQYYKEAVRLKPAFFDAYLNLGNVYKALGMPKEAIVCYQRALQVQPDYAMAYGNLASVYFEQRNLDMAILNYRRAIAFDSGFLEAYNNLGNALKDAGKVDEAMQCYRQCLALQPNHPQALTNLGNIYMEWNMLSAAASCYKATLSVTTGLSAPFNNLAIIYKQQGNLADAISCYNEVLRIDPMAADALVNRGNTYKESGRVNEAIHDYIRAINIRPAMAEAHANLASAYKDSGHVEAAINSYKQALVLRPDFPEATCNLLHTLQCVCDWEDRENKFLEVEGILRRQIKTSVIPSVQPFHAIAYPIDPMLALEISRKYAAHSSVVASRYSLPPFTYPAPFPGENGNRRLRVGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFSYALSPNDGTEWRLRIQSEAEHFIDVSSMSSDMIAKMINEDKIQILVNLNGYTKGARNEIFAMQPAPIQISYMGFPGTTGASYIHYLVTDEFVSPLRFSHIYSEKLVHLPHCYFVNDYKQKNLDVLDPNGLPKRSDYGLPEDKFLFACFNQLYKMDPDIFTTWCNILKRVPNSALWLLRFPAAGETRLRAYATQQGVQPDQIIFTDVAMKSEHIRRSALADLFLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGVGEEMIVSSLKEYEEKAVSLALNRPKLQELSDKLKAARMTCPLFDTARWVRNLERAYFKMWSVYRSGQQPQHIRVTENDEEFA >KJB71959 pep chromosome:Graimondii2_0_v6:11:25229400:25242808:-1 gene:B456_011G150500 transcript:KJB71959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQSDPRLQQYHHNKLFQQQQQQVQLFPYNHDSSSLNSDFGGAVAAPAPASSSSSAAAAALSNVKLSDPNEVDDNTLMALAHQNYKAGNYKQALEHSSVVYERNPCRTDNLLLLGAIHYQLHDYDQCITKNEEALRIDPHFAECYGNMANAWKEKGNIDTAIQYYLFAIELQPNFADAWSNLASAYMRKGRLNEAAQCCRQALALNPRLVDAHSNLGNLMKIQGFVKEAYNCYLEALRIQPNFAIAWSNLAGLFMEAGDLNRALQYYKEAVRLKPAFFDAYLNLGNVYKALGMPKEAIVCYQRALQVQPDYAMAYGNLASVYFEQRNLDMAILNYRRAIAFDSGFLEAYNNLGNALKDAGKVDEAMQCYRQCLALQPNHPQALTNLGNIYMEWNMLSAAASCYKATLSVTTGLSAPFNNLAIIYKQQGNLADAISCYNEVLRIDPMAADALVNRGNTYKESGRVNEAIHDYIRAINIRPAMAEAHANLASAYKDSGHVEAAINSYKQALVLRPDFPEATCNLLHTLQCVCDWEDRENKFLEVEGILRRQIKVHAQSATSVIPSVQPFHAIAYPIDPMLALEISRKYAAHSSVVASRYSLPPFTYPAPFPGENGNRRLRVGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFSYALSPNDGTEWRLRIQSEAEHFIDVSSMSSDMIAKMINEDKIQILVNLNGYTKGARNEIFAMQPAPIQISYMGFPGTTGASYIHYLVTDEFVSPLRFSHIYSEKLVHLPHCYFVNDYKQKNLDVLDPNGLPKRSDYGLPEDKFLFACFNQLYKMDPDIFTTWCNILKRVPNSALWLLRFPAAGETRLRAYATQQGVQPDQIIFTDVAMKSEHIRRSALADLFLDTHRCSMGWSSNGDSST >KJB71958 pep chromosome:Graimondii2_0_v6:11:25229400:25241896:-1 gene:B456_011G150500 transcript:KJB71958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAHQNYKAGNYKQALEHSSVVYERNPCRTDNLLLLGAIHYQLHDYDQCITKNEEALRIDPHFAECYGNMANAWKEKGNIDTAIQYYLFAIELQPNFADAWSNLASAYMRKGRLNEAAQCCRQALALNPRLVDAHSNLGNLMKIQGFVKEAYNCYLEALRIQPNFAIAWSNLAGLFMEAGDLNRALQYYKEAVRLKPAFFDAYLNLGNVYKALGMPKEAIVCYQRALQVQPDYAMAYGNLASVYFEQRNLDMAILNYRRAIAFDSGFLEAYNNLGNALKDAGKVDEAMQCYRQCLALQPNHPQALTNLGNIYMEWNMLSAAASCYKATLSVTTGLSAPFNNLAIIYKQQGNLADAISCYNEVLRIDPMAADALVNRGNTYKESGRVNEAIHDYIRAINIRPAMAEAHANLASAYKDSGHVEAAINSYKQALVLRPDFPEATCNLLHTLQCVCDWEDRENKFLEVEGILRRQIKVHAQSATSVIPSVQPFHAIAYPIDPMLALEISRKYAAHSSVVASRYSLPPFTYPAPFPGENGNRRLRVGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFSYALSPNDGTEWRLRIQSEAEHFIDVSSMSSDMIAKMINEDKIQILVNLNGYTKGARNEIFAMQPAPIQISYMGFPGTTGASYIHYLVTDEFVSPLRFSHIYSEKLVHLPHCYFVNDYKQKNLDVLDPNGLPKRSDYGLPEDKFLFACFNQLYKMDPDIFTTWCNILKRVPNSALWLLRFPAAGETRLRAYATQQGVQPDQIIFTDVAMKSEHIRRSALADLFLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGVGEEMIVSSLKEYEEKAVSLALNRPKLQELSDKLKAARMTCPLFDTARWVRNLERAYFKMWSVYRSGQQPQHIRVTENDEEFA >KJB71955 pep chromosome:Graimondii2_0_v6:11:25229327:25242917:-1 gene:B456_011G150500 transcript:KJB71955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQSDPRLQQYHHNKLFQQQQQQVQLFPYNHDSSSLNSDFGGAVAAPAPASSSSSAAAAALSNVKLSDPNEVDDNTLMALAHQNYKAGNYKQALEHSSVVYERNPCRTDNLLLLGAIHYQLHDYDQCITKNEEALRIDPHFAECYGNMANAWKEKGNIDTAIQYYLFAIELQPNFADAWSNLASAYMRKGRLNEAAQCCRQALALNPRLVDAHSNLGNLMKIQGFVKEAYNCYLEALRIQPNFAIAWSNLAGLFMEAGDLNRALQYYKEAVRLKPAFFDAYLNLGNVYKALGMPKEAIVCYQRALQVQPDYAMAYGNLASVYFEQRNLDMAILNYRRAIAFDSGFLEAYNNLGNALKDAGKVDEAMQCYRQCLALQPNHPQALTNLGNIYMEWNMLSAAASCYKATLSVTTGLSAPFNNLAIIYKQQGNLADAISCYNEVLRIDPMAADALVNRGNTYKESGRVNEAIHDYIRAINIRPAMAEAHANLASAYKDSGHVEAAINSYKQALVLRPDFPEATCNLLHTLQCVCDWEDRENKFLEVEGILRRQIKVHAQSATSVIPSVQPFHAIAYPIDPMLALEISRKYAAHSSVVASRYSLPPFTYPAPFPGENGNRRLRVGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFSYALSPNDGTEWRLRIQSEAEHFIDVSSMSSDMIAKMINEDKIQILVNLNGYTKGARNEIFAMQPAPIQISYMGFPGTTGASYIHYLVTDEFVSPLRFSHIYSEKLVHLPHCYFVNDYKQKNLDVLDPNGLPKRSDYGLPEDKFLFACFNQLYKMDPDIFTTWCNILKRVPNSALWLLRFPAAGETRLRAYATQQGVQPDQIIFTDVAMKSEHIRRSALADLFLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGVGEEMIVSSLKEYEEKAVSLALNRPKLQELSDKLKAARMTCPLFDTARWVRNLERAYFKMWSVYRSGQQPQHIRVTENDEEFA >KJB71230 pep chromosome:Graimondii2_0_v6:11:13745471:13748251:1 gene:B456_011G111900 transcript:KJB71230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39620, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39620) UniProtKB/Swiss-Prot;Acc:Q9SV96] MQLQTPKAKNKMPLILSPYSLQLSTFPSPFKRNSSPTNISSLTQPCNLPSRPITRISCTTRPRRKTGSSKPEDAEAKELVRVLMRSFGDKEPLVKTLSRYVRVVRCEHCFLLFEELGKTDKWLQCLEVFRWMQKQRWYIADNGVYSKLITVMGKKGRTRMAMWLFSEMRNSGCRPDSSVYNALITAHLHSRDKAKALDKALGYFNKMKGIERCKPNVVTYNILLRAFAQARNVDQVNALFKDLAESSIAPDIYTFNGVMDAYGKNGMIREMESVLSRMKSNQCKPDIITFNVLIDSYGKKQEFDKMEQVFKSLLRSKEKPTLPTFNSMIINYGKARLKERAENIFKRMTDMKYTPSFITYESLIMMYGFCDCVSRAREIFDEIVDSGKEMKVSTLNVMLEVYCRNGLPMEADRLFDKANNIGAIPDSSTYKLLYKAYTKADMKDLVQKLVKEMEKDGIVPNKRFFLEALEAFGSLPASPGSVQATRSGRPETNAKTEVKVIN >KJB71229 pep chromosome:Graimondii2_0_v6:11:13745471:13748732:1 gene:B456_011G111900 transcript:KJB71229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39620, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39620) UniProtKB/Swiss-Prot;Acc:Q9SV96] MQLQTPKAKNKMPLILSPYSLQLSTFPSPFKRNSSPTNISSLTQPCNLPSRPITRISCTTRPRRKTGSSKPEDAEAKELVRVLMRSFGDKEPLVKTLSRYVRVVRCEHCFLLFEELGKTDKWLQCLEVFRWMQKQRWYIADNGVYSKLITVMGKKGRTRMAMWLFSEMRNSGCRPDSSVYNALITAHLHSRDKAKALDKALGYFNKMKGIERCKPNVVTYNILLRAFAQARNVDQVNALFKDLAESSIAPDIYTFNGVMDAYGKNGMIREMESVLSRMKSNQCKPDIITFNVLIDSYGKKQEFDKMEQVFKSLLRSKEKPTLPTFNSMIINYGKARLKERAENIFKRMTDMKYTPSFITYESLIMMYGFCDCVSRAREIFDEIVDSGKEMKVSTLNVMLEVYCRNGLPMEADRLFDKANNIGAIPDSSTYKLLYKAYTKADMKDLVQKLVKEMEKDGIVPNKRFFLEALEAFGSLPASPGSVQATRSGRPETNAKTEVKVIN >KJB71228 pep chromosome:Graimondii2_0_v6:11:13745471:13748256:1 gene:B456_011G111900 transcript:KJB71228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39620, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39620) UniProtKB/Swiss-Prot;Acc:Q9SV96] MQLQTPKAKNKMPLILSPYSLQLSTFPSPFKRNSSPTNISSLTQPCNLPSRPITRISCTTRPRRKTGSSKPEDAEAKELVRVLMRSFGDKEPLVKTLSRYVRVVRCEHCFLLFEELGKTDKWLQCLEVFRWMQKQRWYIADNGVYSKLITVMGKKGRTRMAMWLFSEMRNSGCRPDSSVYNALITAHLHSRDKAKALDKALGYFNKMKGIERCKPNVVTYNILLRAFAQARNVDQVNALFKDLAESSIAPDIYTFNGVMDAYGKNGMIREMESVLSRMKSNQCKPDIITFNVLIDSYGKKQEFDKMEQVFKSLLRSKEKPTLPTFNSMIINYGKARLKERAENIFKRMTDMKYTPSFITYESLIMMYGFCDCVSRAREIFDEIVDSGKEMKVSTLNVMLEVYCRNGLPMEADRLFDKANNIGAIPDSSTYKLLYKAYTKADMKDLVQKLVKEMEKDGIVPNKRFFLEALEAFGSLPASPGSVQATRSGRPETNAKTEVKVIN >KJB71903 pep chromosome:Graimondii2_0_v6:11:23995587:23996719:-1 gene:B456_011G147400 transcript:KJB71903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTSQLHPTYYFSSRRSSIRSNSSMKLGDDGRAYAPVLEEDSNTNHAPSSEPETFFSKWSPPKYLWRGLSVLVLAGQVIIRTLKGKVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTSIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGSNPVDYLVTPRVIASSLALPFLTLMCFTVGMASSALLADSVYGISINIILDSAQRALRSWDIISAMIKSQVFGMIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFMADFALSYCFFQGAGDSLKNCV >KJB71902 pep chromosome:Graimondii2_0_v6:11:23995170:23997521:-1 gene:B456_011G147400 transcript:KJB71902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTSQLHPTYYFSSRSSIRSNSSMKLGDDGRAYAPVLEEDSNTNHAPSSEPETFFSKWSPPKYLWRGLSVLVLAGQVIIRTLKGKVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTSIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGSNPVDYLVTPRVIASSLALPFLTLMCFTVGMASSALLADSVYGISINIILDSAQRALRSWDIISAMIKSQVFGMIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFMADFALSYCFFQGAGDSLKNCV >KJB71900 pep chromosome:Graimondii2_0_v6:11:23995170:23997506:-1 gene:B456_011G147400 transcript:KJB71900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTSQLHPTYYFSSRRSSIRSNSSMKLGDDGRAYAPVLEEDSNTNHAPSSEPETFFSKWSPPKYLWRGLSVLVLAGQVIIRTLKGKVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTSIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGSNPVDYLVTPRVIASSLALPFLTLMCFTVGMASSALLADSVYGISINIILDSAQRALRSWDIISAMIKSQVFGMIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFMADFALSYCFFQGAGDSLKNCV >KJB71905 pep chromosome:Graimondii2_0_v6:11:23995170:23997556:-1 gene:B456_011G147400 transcript:KJB71905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTSQLHPTYYFSSRRSSIRSNSSMKLGGWNSSQLGFTGRGQSLRFLTPIHKTRLFVISDADDGRAYAPVLEEDSNTNHAPSSEPETFFSKWSPPKYLWRGLSVLVLAGQVIIRTLKGKVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTSIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGSNPVDYLVTPRVIASSLALPFLTLMCFTVGMASSALLADSVYGISINIILDSAQRALRSWDIISAMIKSQVFGMIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFMADFALSYCFFQGAGDSLKNCV >KJB71901 pep chromosome:Graimondii2_0_v6:11:23995170:23997521:-1 gene:B456_011G147400 transcript:KJB71901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTSQLHPTYYFSSRSSIRSNSSMKLGGWNSSQLGFTGRGQSLRFLTPIHKTRLFVISDADDGRAYAPVLEEDSNTNHAPSSEPETFFSKWSPPKYLWRGLSVLVLAGQVIIRTLKGKVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTSIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGSNPVDYLVTPRVIASSLALPFLTLMCFTVGMASSALLADSVYGISINIILDSAQRALRSWDIISAMIKSQVFGMIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFMADFALSYCFFQGAGDSLKNCV >KJB71904 pep chromosome:Graimondii2_0_v6:11:23995170:23997556:-1 gene:B456_011G147400 transcript:KJB71904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTSQLHPTYYFSSRSSIRSNSSMKLGGWNSSQLGFTGRGQSLRFLTPIHKTRLFVISDADDGRAYAPVLEEDSNTNHAPSSEPETFFSKWSPPKYLWRGLSVLVLAGQVIIRTLKGKVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTSIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGSNPVDYLVTPRVIASSLALPFLTLMCFTVGMASSALLADSVYGISINIILDSAQRALRSWDIISAMIKSQVFGMIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFMADFALSYCFFQGAGDSLKNCV >KJB71906 pep chromosome:Graimondii2_0_v6:11:23995587:23996719:-1 gene:B456_011G147400 transcript:KJB71906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTSQLHPTYYFSSRRSSIRSNSSMKLGGWNSSQLGFTGRGQSLRFLTPIHKTRLFVISDADDGRAYAPVLEEDSNTNHAPSSEPETFFSKWSPPKYLWRGLSVLVLAGQVIIRTLKGKVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTSIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGSNPVDYLVTPRVIASSLALPFLTLMCFTVGMASSALLADSVYGISINIILDSAQRALRSWDIISAMIKSQVFGMIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFMADFALSYCFFQGAGDSLKNCV >KJB73468 pep chromosome:Graimondii2_0_v6:11:55227241:55230848:-1 gene:B456_011G234100 transcript:KJB73468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MATRLQFENSCEVGVFSKLTNAYCLVAIGGSENFYSTFESELADVIPVVKTSIAGTRIIGRLCAGNKNGLLVPHNTTDQELQHLRNSLPDQVVVQRIEEKLSALGNCIACNDHVALTHTDLDKETEEIIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTINRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLIDTYV >KJB73467 pep chromosome:Graimondii2_0_v6:11:55227237:55230846:-1 gene:B456_011G234100 transcript:KJB73467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 FFFFFACSPSNIYIKKFSLKNFALKIPDPYSFRFTHTSSAANRAICLPLVISLFCLPKRAARSNKSFFLSALIFLINRLCLIMATRLQFENSCEVGVFSKLTNAYCLVAIGGSENFYSTFESELADVIPVVKTSIAGTRIIGRLCAGNKNGLLVPHNTTDQELQHLRNSLPDQVVVQRIEEKLSALGNCIACNDHVALTHTDLDKETEEIIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTINRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLIDTYV >KJB73466 pep chromosome:Graimondii2_0_v6:11:55227213:55230846:-1 gene:B456_011G234100 transcript:KJB73466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 FFFFFACSPSNIYIKKFSLKNFALKIPDPYSFRFTHTSSAANRAICLPLVISLFCLPKRAARSNKVDLNPASLSQSFFLSALIFLINRLCLIMATRLQFENSCEVGVFSKLTNAYCLVAIGGSENFYSTFESELADVIPVVKTSIAGTRIIGRLCAGNKNGLLVPHNTTDQELQHLRNSLPDQVVVQRIEEKLSALGNCIACNDHVALTHTDLDKETEEIIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTINRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLIDTYV >KJB69053 pep chromosome:Graimondii2_0_v6:11:302057:303817:1 gene:B456_011G003700 transcript:KJB69053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTASFSASNTAAVAAAAAAKTVLITGVSKGLGRALAVELSKRGHTVIGCSRAQEKLNSLQSELSSPDRHLLLNVDVRSDNSVKELARVMMEKKFVPDIIVNNAGTINKNNRIWEVPVEEFDTVIDTNVKGIANVLRHFIPLMLPKSRGIIVNMSSGWGRSGAALVAPYCASKWAVEGLSRAVAKEMPDGFAVVALSPGVINTEMLQSCFGNSASGYQTPDAWYFFF >KJB69052 pep chromosome:Graimondii2_0_v6:11:301973:304501:1 gene:B456_011G003700 transcript:KJB69052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTASFSASNTAAVAAAAAAKTVLITGVSKGLGRALAVELSKRGHTVIGCSRAQEKLNSLQSELSSPDRHLLLNVDVRSDNSVKELARVMMEKKFVPDIIVNNAGTINKNNRIWEVPVEEFDTVIDTNVKGIANVLRHFIPLMLPKSRGIIVNMSSGWGRSGAALVAPYCASKWAVEGLSRAVAKEMPDGFAVVALSPGVINTEMLQSCFGNSASGYQTPDACHIERRMLTYVPLRFKFINNP >KJB69051 pep chromosome:Graimondii2_0_v6:11:301808:304561:1 gene:B456_011G003700 transcript:KJB69051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTASFSASNTAAVAAAAAAKTVLITGVSKGLGRALAVELSKRGHTVIGCSRAQEKLNSLQSELSSPDRHLLLNVDVRSDNSVKELARVMMEKKFVPDIIVNNAGTINKNNRIWEVPVEEFDTVIDTNVKGIANVLRHFIPLMLPKSRGIIVNMSSGWGRSGAALVAPYCASKWAVEGLSRAVAKEMPDGFAVVALSPGVINTEMLQSCFGNSASGYQTPDAWSLKAATMILNLTAADNGASLTV >KJB74024 pep chromosome:Graimondii2_0_v6:11:59992548:59999311:-1 gene:B456_011G268000 transcript:KJB74024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRQDLLKMTAFFISPEKPRDISVLITRTALLLCLFTSITLVLCVSFSNRPHPFSRFASPNRKAFSRPPDNSPTNISHLLFGIGGSAKTWQERRALSSLWWDVNLTRGFFWLDEEPEATAVNSYGTESGISLPYRVSNPEWTRFKYSSSRYAVRVARIILDSYNLKLPNVRWFVLGDDDTVFFTHNLVSVLARYDHREMWYIGGISESVEQNGMHAYDMAFGGGGIAVSYPLAEKLVKALDGCLNRYFYFYGSDQRIWACIYEIGVPLTKEQGFHQFDIRGDPYGLLAAHPMAPLLSFHHVEALTPMFPNKTRPDSLKALIEPYRLDPSRILQQYICYDSKRKWSITIAWGYTIQIYPWLVNAVDLHMPLQTFKTWRSWSNGPFTFKTRPVPDNPCEQPVLYFLDRVEEVGSSGTRTRYKLSMLGKACNNTTDYAPVMAVKNIVVTSMKMAPDYWQKAPHRQCCEIMDKGSIKSGTMQIRIRNCRQWETTSV >KJB69435 pep chromosome:Graimondii2_0_v6:11:1718523:1721999:1 gene:B456_011G023900 transcript:KJB69435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRILRFANIRKALESAISNQRLNRSEFRKYATVVSVRLRLPQYRVFSHYRFNSGGKAPFLLQNTKEGLCRGYFAKNRSFVSASSALTHRAQVAWKRLTQKCSAGGRTFPQISTTAQAVSLALSHSHLIVPGIFGLTCGRMALAQRTLIESDYYPSQNTLSMRARDGHAFVSAILLSAVEGVILLVRALFLAVLFSPSIIMAPFANAFGPQFRKMWLEVVHRSLEKAGPAFIKWGQWAATRPDLFPRDLCIKLSELHSKAPEHSFAYTKKTIEKAFGRKLSEIFEAFEEEPVASGSIAQVHRASLRFRYPGQRVKPMVVAVKVRHPGVGESIRRDFVIINSVAKLSTFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLNRFIYNFRSWRDVSFPKPVYPLVHPAVLVETYEQGESVAHYVDGLEGHDRIKSALAHIGTHALLKMLLA >KJB69434 pep chromosome:Graimondii2_0_v6:11:1718523:1721999:1 gene:B456_011G023900 transcript:KJB69434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRILRFANIRKALESAISNQRLNRSEFRKYATVVSVRLRLPQYRVFSHYRFNSGGKAPFLLQNTKEGLCRGYFAKNRSFVSASSALTHRAQVAWKRLTQKCSAGGRTFPQISTTAQAVSLALSHSHLIVPGIFGLTCGRMALAQRTLIESDYYPSQNTLSMRARDGHAFVSAILLSAVEGVILLVRALFLAVLFSPSIIMAPFANAFGPQFRKMWLEVVHRSLEKAGPAFIKWGQWAATRPDLFPRDLCIKLSELHSKAPEHSFAYTKKTIEKAFGRKLSEIFEAFEEEPVASGSIAQVHRASLRFRYPGQRVKPMVVAVKVRHPGVGESIRRDFVIINSVAKLSTFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLNRFIYNFRSWRDVSFPKPVYPLVHPAVLVETYEQGESVAHYVDGLEGHDRIKSALAHIGTHALLKMLLVRFLCCLLEN >KJB69433 pep chromosome:Graimondii2_0_v6:11:1718371:1721999:1 gene:B456_011G023900 transcript:KJB69433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRILRFANIRKALESAISNQRLNRSEFRKYATVVSVRLRLPQYRVFSHYRFNSGGKAPFLLQNTKEGLCRGYFAKNRSFVSASSALTHRAQVAWKRLTQKCSAGGRTFPQISTTAQAVSLALSHSHLIVPGIFGLTCGRMALAQRTLIESDYYPSQNTLSMRARDGHAFVSAILLSAVEGVILLVRALFLAVLFSPSIIMAPFANAFGPQFRKMWLEVVHRSLEKAGPAFIKWGQWAATRPDLFPRDLCIKLSELHSKAPEHSFAYTKKTIEKAFGRKLSEIFEAFEEEPVASGSIAQVHRASLRFRYPGQRVKPMVVAVKVRHPGVGESIRRDFVIINSVAKLSTFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLNRFIYNFRSWRDVSFPKPVYPLVHPAVLVETYEQGESVAHYVDGLEGHDRIKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSQSKASRKQLFKTKPHVIFLDVGMTAELSKGDRVNLLEFFKAVARRDGHTAAECTLRLSQRQNCPNPKAFIEEVEEAFTFWGTPEGDLVHPAECMQELLEKVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPGYDVMQTLQTLLLKADWAKSLSYTIDGLMAP >KJB69436 pep chromosome:Graimondii2_0_v6:11:1718523:1721999:1 gene:B456_011G023900 transcript:KJB69436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRILRFANIRKALESAISNQRLNRSEFRKYATVVSVRLRLPQYRVFSHYRFNSGGKAPFLLQNTKEGLCRGYFAKNRSFVSASSALTHRAQVAWKRLTQKCSAGGRTFPQISTTAQAVSLALSHSHLIVPGIFGLTCGRMALAQRTLIESDYYPSQNTLSMRARDGHAFVSAILLSAVEGVILLVRALFLAVLFSPSIIMAPFANAFGPQFRKMWLEVVHRSLEKAGPAFIKWGQWAATRPDLFPRDLCIKLSELHSKAPEHSFAYTKKTIEKAFGRKLSEIFEAFEEEPVASGSIAQVHRASLRFRYPGQRVKPMVVAVKVRHPGVGESIRRDFVIINSVAKLSTFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLNRFIYNFRSWRDVSFPKPVYPLVHPAVLVETYEQGESVAHYVDGLEGHDRIKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSQSKASRKQLFKTKPHVIFLDVGMTAELSKGDRVNLLEFFKAVARRDGHTAAECTLRLSQRQNCPNPKAFIEEVEEAFTFWGTPEGDLVHPAECMQELLEKVRRHKVNIDGNVCTVMVTTLVLEVSS >KJB72610 pep chromosome:Graimondii2_0_v6:11:44674604:44675331:1 gene:B456_011G187400 transcript:KJB72610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVTKLASEKPVVIFSKSSCCMSHTIKTLFYDFGVNPAVHELDEISRGREIEQTLSRLGCNPSVPAVFIGGEFVGGANEVMSLHLNRSLIPMLRRVGALWV >KJB71601 pep chromosome:Graimondii2_0_v6:11:20118408:20122209:1 gene:B456_011G132500 transcript:KJB71601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLKRPLSHPSFIFNKSNSKSLRSLIKNTRSTNETFSSPIPIFYFKFSDSTMPIFAANSSSFSRVNTHFPDSVNQPTLTSKAQTFPQIRTFCVKRTNPNAELSASNDIPIVSTVSGPIQTSQPIDAGSSMRKPISLWPGMYHSPVTNALWEARSSMFEKSFAEKDSQTELVAKTPSMSRTSIAYKFSSDYILREQYKNPWDEMRMGKLLEDLDALAGTISYKHCRKDDGATRPILLVTASVDKMVLKKPIRVDFDLKISGAVTWVGRSSMEIQMEVTQSTPDSPDPSDSVALVANFTFVACDSKTGKSAPLNQILPETEHEKLLWKEAEERNKMRKQKRVAEKKDVDNRDEDRLNTLLAEGRVFCDMPALADRDSILIRDTRHENSLMCQPQQRNIHGRIFGGFLMRKASELAFSNAYAFAGAAPCFMEVDHVDFFKPVDVGNFLRFKSCVLYTELENPMKPLINVEVVAHVTRPELRCSEVSTRNYIRTKELCVIIVC >KJB71600 pep chromosome:Graimondii2_0_v6:11:20118296:20123804:1 gene:B456_011G132500 transcript:KJB71600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLKRPLSHPSFIFNKSNSKSLRSLIKNTRSTNETFSSPIPIFYFKFSDSTMPIFAANSSSFSRVNTHFPDSVNQPTLTSKAQTFPQIRTFCVKRTNPNAELSASNDIPIVSTVSGPIQTSQPIDAGSSMRKPISLWPGMYHSPVTNALWEARSSMFEKSFAEKDSQTELVAKTPSMSRTSIAYKFSSDYILREQYKNPWDEMRMGKLLEDLDALAGTISYKHCRKDDGATRPILLVTASVDKMVLKKPIRVDFDLKISGAVTWVGRSSMEIQMEVTQSTPDSPDPSDSVALVANFTFVACDSKTGKSAPLNQILPETEHEKLLWKEAEERNKMRKQKRVAEKKDVDNRDEDRLNTLLAEGRVFCDMPALADRDSILIRDTRHENSLMCQPQQRNIHGRIFGGFLMRKASELAFSNAYAFAGAAPCFMEVDHVDFFKPVDVGNFLRFKSCVLYTELENPMKPLINVEVVAHVTRPELRCSEVSNKFYFTFTVRPDAMKEGLKIRNVVPATEEEARRVLERMDAERSQ >KJB71602 pep chromosome:Graimondii2_0_v6:11:20118503:20121318:1 gene:B456_011G132500 transcript:KJB71602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLKRPLSHPSFIFNKSNSKSLRSLIKNTRSTNETFSSPIPIFYFKFSDSTMPIFAANSSSFSRVNTHFPDSVNQPTLTSKAQTFPQIRTFCVKRTNPNAELSASNDIPIVSTVSGPIQTSQPIDAGSSMRKPISLWPGMYHSPVTNALWEARSSMFEKSFAEKDSQTELVAKTPSMSRTSIAYKFSSDYILREQYKNPWDEMRMGKLLEDLDALAGTISYKHCRKDDGATRPILLVTASVDKMVLKKPIRVDFDLKISGAVTWVGRSSMEIQMEVTQSTPDSPDPSDSVALVANFTFVACDSKTGKSAPLNQILPETEHEKLLWKEAEERNKMRKQKRVAEKKDVDNRDEDRLNTLLAEGRVFCDMPALADRDSILIRDTRHENSLMCQPQQRNIHGRIFGGFLMRKASELAFSNAYAFAGAAPCFMEVDHVDFFKPVSKLVLSSKM >KJB71536 pep chromosome:Graimondii2_0_v6:11:18267662:18271474:-1 gene:B456_011G127600 transcript:KJB71536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFTVLRSNKKKSKQSVFVKHIAHKEHIPTMLPEPQIQTRSLQSAPPSFITRVKPIQSNNKASCNRTRALSAPSSLDAAEQDDLASVEFEEQEELKSRVGLVKEQKSSSPQPLPLPSPHSTALKTMGSFKAGNVSGPLFASGPLPLPPSGTLRNFAYEEIAAACHHFSSDRCTSEGLSSVMYKASFGDDTSSSKKFEATVTRLHPSTQGLREFINEVNTLASLQHPNLCKLLGYHARDNSEQRMLVYERLFHGSLDRLLYGRSDGPPLDWNTRMKIALCSAQGLTFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGHIPETEEISSNSVAVANISVETLERGRLTPKSNVWSFGIILLELLTGRKNLDNRYPKEERNLVKWSRPFLADNCRLSLIMDPQLKGRFPMKAARTVADIAQRCLQMDPSERPTMRTIVEHLKIIQDLKYSCRFPLQDPAAIAGKQMSRSPSLNGIITPAPRSSFSPSPPSRAWMSVSPTRPPNLPLALPPRACSSTLSLEESERQESRRSSSATLRRASVEGY >KJB72351 pep chromosome:Graimondii2_0_v6:11:39142243:39144138:-1 gene:B456_011G173300 transcript:KJB72351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIPEMDIDSQMMKTASGEDFNMEVSEIQASVVNEVNELGETALFTAAKKGHLDVVKELLKYSNKETVTKKNKSRFDPLHIAASQGHHVRMMRCHPFEADAAIVMLPDKFGNTALHVATRKKRAEVIIERDSRTDLPDIEKSLLRQLALLNSRII >KJB72353 pep chromosome:Graimondii2_0_v6:11:39142196:39144138:-1 gene:B456_011G173300 transcript:KJB72353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIPEMDIDSQMMKTASGEDFNMEVSEIQASVVNEVNELGETALFTAAKKGHLDVVKELLKYSNKETVTKKNKSRFDPLHIAASQGHHVRMMRCHPFEADAAIVMLPDKFGNTALHVATRKKRAEVIIERDSRTDLPDIEKSRLAEAASIAEQSHYLTPKPIFYGFFKEEKC >KJB72352 pep chromosome:Graimondii2_0_v6:11:39141468:39144490:-1 gene:B456_011G173300 transcript:KJB72352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIPEMDIDSQMMKTASGEDFNMEVSEIQASVVNEVNELGETALFTAAKKGHLDVVKELLKYSNKETVTKKNKSRFDPLHIAASQGHHVRMMRCHPFEADAAIVMLPDKFGNTALHVATRKKRAEVIIERDSRTDLPDIEKIALFNTKTHILRVFQRRKMLRIDGLCKKMGYKDAKLQMLYGL >KJB72354 pep chromosome:Graimondii2_0_v6:11:39141473:39144489:-1 gene:B456_011G173300 transcript:KJB72354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIPEMDIDSQMMKTASGEDFNMEVSEIQASVVNEVNELGETALFTAAKKGHLDVVKELLKYSNKETVTKKNKSRFDPLHIAASQGHHDAAIVMLPDKFGNTALHVATRKKRAEVIIERDSRTDLPDIEKSRLAEAASIAEQSHYLTPKPIFYGFFKEEKC >KJB73319 pep chromosome:Graimondii2_0_v6:11:54061756:54063562:-1 gene:B456_011G227600 transcript:KJB73319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNGLITKVTWKIEVLSDVGSKSMGLNRQLTKVTWRIENFSSIKDYKLCSESFTVDDSKWQLIIYPRGNNVGFLSIFLRVADSAALASGWTRYAHFGFAVIDQFDRENSKTLATKKEFNANYPIRGFGSFLPLTELRNPKRGYLLNDACLVEAYVFTGRTVDMISHEFIVKTDLDKRKTKEGDCFKAAIGNQKTTTTKPVEVINPSPTLAIELEQPAEEDMNTFFTSLESELSSSGIVYSKEEVKEALAKINEALNMTPVDLNDSGKFSPLKQAFMILASFDCSSTTLTIEQTNELLGLEERLKELANRAAKAVQDKNQLAAKESIKWTMTRSLESSLIRYNEVETEVKQVDQILAALHEEVVEAQKKREKMLAERNGIYRSCKEMKMKLDALGKEWAVYEATARVGEDEEKSVEAEWGRIKDFMSSINGKI >KJB73892 pep chromosome:Graimondii2_0_v6:11:58960111:58963557:-1 gene:B456_011G259300 transcript:KJB73892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNTNQYHQLIDCFRLMEPSKKHFEASSSFFFVYVQLIIILLSCFNLLGFTTATPVVRGNVTDQQALIQFKAKITSGGLRIMESWNSSIHFCQWHGVTCGRKHRRVTKLELQFLKISGSLSPFIGNLSFLRELNLSGNNFNNQIPQEIGRLKRLETLDLNGNSISGEIPSNLSACSKLTLVYMEDNQLTAEIPALLGLLSNLKFLFLYNNSLRGRIPPSLGNLSSLDTLYLTRNRLTGTIPKALGQLTNLKNFAIGGNAISGIIPIEMFNLSNIRAFDIGQNMIQGTLPSDLAITWPYVEFFSVSGNQFSGQIPVSISNASNLEVLQSYGNTLDGNVPSLEKLDKLFRLELGGNHLGQGREGDLNFVCTLVNNTNLGILSIDKNNFGGEFPECISNFSSTLRGLDISQNNILGKIPDGIGNLINLEALDVAQNKLLGPIPFDIGRLWKLNTFNAKSNFLFGTIPHSIGNLTELIELYLDFNNLQGKIPSSLGKCQKLLLLGLSHNNLSGSIPPEILGLSSLSIVLSFSSNSFTGELPVEVEKLKNLGQLDVSHNRLSGLLPNNLGSCVSLIALYLEGNLFEGPIPPYLSSLRGIEALDVSNNNLSGGVPEFLVKFTALKYLNLSFNNFQGVIPSDGVFKNASAIFVEGSSKLCGGIPELHLSRCNSKTSSKTSVKLKIAIVVVILGVTLVFTCLLILWFRKKKEQQPTETCAKNSFLQLSYQSILRATNGFSIQNLIGSGSFGSVYKGILEGTEAAIAVKVLNLMNRGASRSFLAECEALKNIRHRNLVKVLTVVSGVDYQGNDFKALVYELMENGSLEGWLQPSVRMNEPMIMTNLNFFQRVNVAIDVAHGLEYLHHHCETSIIHCDIKPSNVLLDGEMIGHIGDFGLAKILSTDRLMFAANQSTSLGLRGTIGYAPPEYGMGSELSTKGDVYSYGILLLQMFTGKRPTDEMFKEGLTLHNFVKAAISDRVIEITDPILLQESVSGGTIIDITLNENRLGNDRHLWCLNSIFEIGLTCSTESPSERMDMSDVVTKLCSIRDKLLRSTRLYRGIRTAYDAKPTVAGI >KJB73891 pep chromosome:Graimondii2_0_v6:11:58959790:58963557:-1 gene:B456_011G259300 transcript:KJB73891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNTNQYHQLIDCFRLMEPSKKHFEASSSFFFVYVQLIIILLSCFNLLGFTTATPVVRGNVTDQQALIQFKAKITSGGLRIMESWNSSIHFCQWHGVTCGRKHRRVTKLELQFLKISGSLSPFIGNLSFLRELNLSGNNFNNQIPQEIGRLKRLETLDLNGNSISGEIPSNLSACSKLTLVYMEDNQLTAEIPALLGLLSNLKFLFLYNNSLRGRIPPSLGNLSSLDTLYLTRNRLTGTIPKALGQLTNLKNFAIGGNAISGIIPIEMFNLSNIRAFDIGQNMIQGTLPSDLAITWPYVEFFSVSGNQFSGQIPVSISNASNLEVLQSYGNTLDGNVPSLEKLDKLFRLELGGNHLGQGREGDLNFVCTLVNNTNLGILSIDKNNFGGEFPECISNFSSTLRGLDISQNNILGKIPDGIGNLINLEALDVAQNKLLGPIPFDIGRLWKLNTFNAKSNFLFGTIPHSIGNLTELIELYLDFNNLQGKIPSSLGKCQKLLLLGLSHNNLSGSIPPEILGLSSLSIVLSFSSNSFTGELPVEVEKLKNLGQLDVSHNRLSGLLPNNLGSCVSLIALYLEGNLFEGPIPPYLSSLRGIEALDVSNNNLSGGVPEFLVKFTALKYLNLSFNNFQGVIPSDGVFKNASAIFVEGSSKLCGGIPELHLSRCNSKTSSKTSVKLKIAIVVVILGVTLVFTCLLILWFRKKKEQQPTETCAKNSFLQLSYQSILRATNGFSIQNLIGSGSFGSVYKGILEGTEAAIAVKVLNLMNRGASRSFLAECEALKNIRHRNLVKVLTVVSGVDYQGNDFKALVYELMENGSLEGWLQPSVRMNEPMIMTNLNFFQRVNVAIDVAHGLEYLHHHCETSIIHCDIKPSNVLLDGEMIGHIGDFGLAKILSTDRLMFAANQSTSLGLRGTIGYAPPEYGMGSELSTKGDVYSYGILLLQMFTGKRPTDEMFKEGLTLHNFVKAAISDRVIEITDPILLQESVSGGTIIDITLNENRLGNDRHLWCLNSIFEIGLTCSTESPSERMDMSDVVTKLCSIRDKLLRSTRLYRGIRTAYDAKPTGI >KJB73112 pep chromosome:Graimondii2_0_v6:11:51799212:51802855:-1 gene:B456_011G215600 transcript:KJB73112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAHVDDEEAAEVGDVEKALPSGDFYTGQWCNGCPHGNGKYLWTDGCMYVGEWFKGKTTGKGKFCWPSGATYEGEFKHGFMDGKGTYTGFSGETYKGTWVMNLKQGEGTISFVNGDYYEGEWRRGFQEGYGRYHWKNGNQYIGQWKKGLINGNGTMIWNNGNRYDGFWEDGFPKGNGTYKWPDGSFFVGIWSKDGKDQNGTYYPSDSPTEKFDWDPQQVFLEDLKDCKICGGEKVSIWPSEKMPNWFAISKEKDGKQRRRSDGSLSGFSMSSDFNGGSVSFGSEGTTRHGDGSVRRLHLEDSVARGSSLQLKKPPTRRQGQTISRGHKNFELMLNLQLGIRHSVGRPGPALSLDLKTSAFDPREKFWTRFPPEGSKHTPPHPSSEFKWKDYCPLVFRTLRKLFDIDAADYMLSICGDDALRELSSPGKSGSFFYLTNDDKYMIKTMKKAEVKVLIRMLPAYYNHVRSFKDTLVTKFFGLHCVKLTGSTQKKVRFVVMGNLFCTDTAIHRRFDLKGSFQGRTTAKPEAEIDSTTTLKDLDLNYIFRLQKLWFKEFCSQVDRDCDFLENERIMDYSLLIGLHFREVSTPHTSGVSSPTGNGDCENGEAPRLSEDSNDQLVINSTRQSPVSLGINMAARAEKTVRKNDCQVVGEPTGVMYDVILFFGIIDILQDYDISKKLEHAYKSMQYDPTSISAVDPKLYSKRFRDFIFKVFIEDT >KJB73381 pep chromosome:Graimondii2_0_v6:11:54367811:54373144:-1 gene:B456_011G229900 transcript:KJB73381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMITSLSPATSSFSKFIEIKKCILEGFTSIKHLKHVHAALFRFGLHQDSYLLNLVLKASFNFDQTNYTRFIFHQAKDPNVFLWNTMIQGLVSTDCFLDATQFYASMRTQGFWPNNFTFPFVLKACSRLLDFHLGIRIHALVIKLGFDRDVFIKTSLLYLYSKCGYLDYARKVFDDIPDKNVISWTAMISGYIDVERYREAVDLFRKLIDMGLRPDSFSVVRVLSACAHLGDLNSGEWIDRCITQFGLSRNVFVATALVDMYAKCGNMEQARYAFDGVPVKDIITWSTMIQGYASNGLPKEALDLFFQMQKEKLAPDRYSMVGVLSACARLGALELGDWASKLMDIEEFLSNPVLGTALIDMYAKCGSMTQAWEIFKRMKEKDVVVWNAAISGLAMNGHVKPAFGLFGQMEKSGILPNANTFMGLLCGCTHVGLVNDGRRYFDSMNRVFSLTPTIEHYGCMVDLLARAGLLGDAHQLIKNMPMEANCIVWGALLGGCRLHKDTQLAEYVLKKLIELEPWNSGNYVLLSNIYSASHKWDAAAKIRSIMNERGIQKVPGYSWIEVNGTVHEFLVGDKSHPMSEMIYKKLGGLAKELKAAGYIPTTDYVLFDIEEEEKEHFLGCHRHLFTWAGNGKRGPTQPTEAEYQKLISAKHKHSAGSGEATAMPMEALCPSLAVPTISFGINNSHKSLPYLASLNTKISFPIAVQNHFNLSLSNSCISSSKTEIATLASFVSSSTAKGNYWVVLMAKPPEGFTSKPQIIDYYVNTLGTVLGSEKDAQMCIYDASCDTYFGFCCHIDEQASRELARNNLQNSTSASETTSIRTKKLFITGLSFYTSEKTLRSHFEGFGELVEVKIIMDKISKRSKGYAFVEYTTEEAASAALKEMNGKIINGWMIVVDVAKTKPQNFSGSRPRPTVQRE >KJB73382 pep chromosome:Graimondii2_0_v6:11:54369045:54373144:-1 gene:B456_011G229900 transcript:KJB73382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMITSLSPATSSFSKFIEIKKCILEGFTSIKHLKHVHAALFRFGLHQDSYLLNLVLKASFNFDQTNYTRFIFHQAKDPNVFLWNTMIQGLVSTDCFLDATQFYASMRTQGFWPNNFTFPFVLKACSRLLDFHLGIRIHALVIKLGFDRDVFIKTSLLYLYSKCGYLDYARKVFDDIPDKNVISWTAMISGYIDVERYREAVDLFRKLIDMGLRPDSFSVVRVLSACAHLGDLNSGEWIDRCITQFGLSRNVFVATALVDMYAKCGNMEQARYAFDGVPVKDIITWSTMIQGYASNGLPKEALDLFFQMQKEKLAPDRYSMVGVLSACARLGALELGDWASKLMDIEEFLSNPVLGTALIDMYAKCGSMTQAWEIFKRMKEKDVVVWNAAISGLAMNGHVKPAFGLFGQMEKSGILPNANTFMGLLCGCTHVGLVNDGRRYFDSMNRVFSLTPTIEHYGCMVDLLARAGLLGDAHQLIKNMPMEANCIVWGALLGGCRLHKDTQLAEYVLKKLIELEPWNSGNYVLLSNIYSASHKWDAAAKIRSIMNERGIQKVPGYSWIEVNGTVHEFLVGDKSHPMSEMIYKKLGGLAKELKAAGYIPTTDYVLFDIEEEEKEHFLGCHRHLFTWAGNGKRGPTQPTEAEYQKLISAKHKHSAGSGEATAMPMEALCPSLAVPTISFGINNSHKSLPYLASLNTKISFPIAVQNHFNLSLSNSCISSSKTEIATLASFVSSSTAKGNYWVVLMAKPPEGFTSKPQIIDYYVNTLGTVLGSEKDAQMCIYDASCDTYFGFCCHIDEQASRELARLPEVLSVKPDPNYNSKQKDYTASNIEFIANIGNLQLFPAGNTKHWLVRMDKPGIGVVTKAQMVDYYTQILTKVLGNEKDAQMCIYHVSWQSQFGFCCELDEESANELAGPYVFL >KJB73380 pep chromosome:Graimondii2_0_v6:11:54367753:54373316:-1 gene:B456_011G229900 transcript:KJB73380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMITSLSPATSSFSKFIEIKKCILEGFTSIKHLKHVHAALFRFGLHQDSYLLNLVLKASFNFDQTNYTRFIFHQAKDPNVFLWNTMIQGLVSTDCFLDATQFYASMRTQGFWPNNFTFPFVLKACSRLLDFHLGIRIHALVIKLGFDRDVFIKTSLLYLYSKCGYLDYARKVFDDIPDKNVISWTAMISGYIDVERYREAVDLFRKLIDMGLRPDSFSVVRVLSACAHLGDLNSGEWIDRCITQFGLSRNVFVATALVDMYAKCGNMEQARYAFDGVPVKDIITWSTMIQGYASNGLPKEALDLFFQMQKEKLAPDRYSMVGVLSACARLGALELGDWASKLMDIEEFLSNPVLGTALIDMYAKCGSMTQAWEIFKRMKEKDVVVWNAAISGLAMNGHVKPAFGLFGQMEKSGILPNANTFMGLLCGCTHVGLVNDGRRYFDSMNRVFSLTPTIEHYGCMVDLLARAGLLGDAHQLIKNMPMEANCIVWGALLGGCRLHKDTQLAEYVLKKLIELEPWNSGNYVLLSNIYSASHKWDAAAKIRSIMNERGIQKVPGYSWIEVNGTVHEFLVGDKSHPMSEMIYKKLGGLAKELKAAGYIPTTDYVLFDIEEEEKEHFLGCHRHLFTWAGNGKRGPTQPTEAEYQKLISAKHKHSAGSGEATAMPMEALCPSLAVPTISFGINNSHKSLPYLASLNTKISFPIAVQNHFNLSLSNSCISSSKTEIATLASFVSSSTAKGNYWVVLMAKPPEGFTSKPQIIDYYVNTLGTVLGSEKDAQMCIYDASCDTYFGFCCHIDEQASRELARLPEVLSVKPDPNYNSKQKDYTASNIEFIANIGNLQLFPAGNTKHWLVRMDKPGIGVVTKAQMVDYYTQILTKVLGNEKDAQMCIYHVSWQSQFGFCCELDEESANELAGVPGVLSVELDKNFESENKDYGGNNLQNSTSASETTSIRTKKLFITGLSFYTSEKTLRSHFEGFGELVEVKIIMDKISKRSKGYAFVEYTTEEAASAALKEMNGKIINGWMIVVDVAKTKPQNFSGSRPRPTVQRE >KJB73867 pep chromosome:Graimondii2_0_v6:11:58640452:58644891:1 gene:B456_011G257200 transcript:KJB73867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSCASTTEKPSFERVIWSSTWCSMKGSNKATVLTLAEKCKNILVSNWQGYLNTIKTDAQGSKENIYTSKVKYIIRKGNPLIWVPEHELHNVNTIIDERGSFSVASPYPGPLGKLLKSMNKFPVRVALTGDVVPVKDKKAESAANYLKEMMLSEEKALKEFSYTVSSVLSSSNHFSTTRSENLKELIDGGEKYVIYKFNLSSCMFVDGNGGTHEVDFEDMEKCKASLLAPYSAKLIDGINQSEARRRGLILFCFTYLNVNARDAYMLSLDRKGFDVLGKVRSKVTGDEIDEYQWKQFRITFKEETRDIESFCQQLVEMEEDAIKKVSSYSGLG >KJB73868 pep chromosome:Graimondii2_0_v6:11:58640796:58644903:1 gene:B456_011G257200 transcript:KJB73868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSNKATVLTLAEKCKNILVSNWQGYLNTIKTDAQGSKENIYTSKVKYIIRKGNPLIWVPEHELHNVNTIIDERGSFSVASPYPGPLGKLLKSMNKFPVRVALTGDVVPVKDKKAESAANYLKEMMLSEEKALKEFSYTVSSVLSSSNHFSTTRSENLKELIDGGEKYVIYKFNLSSCMFVDGNGGTHEVDFEDMEKCKASLLAPYSAKLIDGINQSEARRRGLILFCFTYLNVNARDAYMLSLDRKGFDVLGKVRSKVTGDEIDEYQWKQFRITFKEETRDIESFCQQLVEMEEDAIKKVSSYSGLG >KJB72999 pep chromosome:Graimondii2_0_v6:11:50033200:50034421:1 gene:B456_011G208300 transcript:KJB72999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGNLQISPFVFHFVHSSYGIHWLSKVPVGLEDNKGNIYMARSSPPSVFKAYADQFQKDFTNFLSMRSKEIMPQGCMGVVKEADVDSFNLPYYTSCKEEIAEIVEREGSFDIKRLQVFEANHSAVLSREEQLHNQDLDFNVYLEMGKKTANGVRAISEPLLSSHFGDAVIDKLFKRYATHVADGLMLHKLTTIVVSLTKKGN >KJB70711 pep chromosome:Graimondii2_0_v6:11:9228335:9233856:-1 gene:B456_011G088400 transcript:KJB70711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPSQVQGSTASSSQSLPLNPNPNHGNLQSSPPISFGSLHVSDPLPLSASPPGPLASDAHGSAGSSKELSEVDTPNVKTTSLHRNRGKNSHSRRKISEDVVSIRNHQGTMAHGSAMHSAGRRTQMMNGNHLLNFHYDPIARSRPQPRGPPPRRQRKIKPYNKDLFLQANFKFVVLDTGNYMPESMDPDKMLLWEDIICVRYSTPFPVQCPICLEHPQCPQITSCGHIFCFPCILQYLLMGEEDQKRECFKRCPLCFVMVSQKDLYTIYIEDVRQHCLGDTIEFMLLTRQKDSFVPSRKSKIEMSSVQSGEKDICDPFSKFTFTSDVDLSVRQAMSDLDGWLARADSGLVDDLEKLPYVCAAMEQLEQRKKYWNEHRATDSYKACINTVSKVESDRQTSSASKGNGDTHSFGGRALPSGADEHNECLHSLRADISNGGNGLDQSVVLVESLDAEDTFLSSSCEESKNLQECLGGSKDAKENDSYSFYQAVDGQHIILHPLNVKCLLHHYGSYDSLPHRVSGRILELETITQSEAVRRRYRYLSHFSLTTTFQLCEIDLSGVLPPDALHPFMDEIKKREKQRKQLARKEQKEKIKAEVAAAVQFTPMISNFGHCSYNDSPTFSMDDFEALGSSTVISSSPPVTGERKLFSNVTRLGFAAAHDSPSLKIEEGNGMHNNEGAADSTGAAGSRSSGTQSFANVISRAKAPENLQTPKMNEIGKKGKKGGRVLLSTAGGRRY >KJB70712 pep chromosome:Graimondii2_0_v6:11:9228997:9233550:-1 gene:B456_011G088400 transcript:KJB70712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPSQVQGSTASSSQSLPLNPNPNHGNLQSSPPISFGSLHVSDPLPLSASPPGPLASDAHGSAGSSKEDQLSEVDTPNVKTTSLHRNRGKNSHSRRKISEDVVSIRNHQGTMAHGSAMHSAGRRTQMMNGNHLLNFHYDPIARSRPQPRGPPPRRQRKIKPYNKDLFLQANFKFVVLDTGNYMPESMDPDKMLLWEDIICVRYSTPFPVQCPICLEHPQCPQITSCGHIFCFPCILQYLLMGEEDQKRECFKRCPLCFVMVSQKDLYTIYIEDVRQHCLGDTIEFMLLTRQKDSFVPSRKSKIEMSSVQSGEKDICDPFSKFTFTSDVDLSVRQAMSDLDGWLARADSGLVDDLEKLPYVCAAMEQLEQRKKYWNEHRATDSYKACINTVSKVESDRQTSSASKGNGDTHSFGGRALPSGADEHNECLHSLRADISNGGNGLDQSVVLVESLDAEDTFLSSSCEESKNLQECLGGSKDAKENDSYSFYQAVDGQHIILHPLNVKCLLHHYGSYDSLPHRVSGRILELETITQSEAVRRRYRYLSHFSLTTTFQLCEIDLSGVLPPDALHPFMDEIKKREKQRKQLARKEQKEKIKAEVAAAVQFTPMISNFGHCSYNDSPTFSMDDFEALGSSTVISSSPPVTGERKLFSNVTRLGFAAAHDSPSLKIEEGNGMHNNEGAADSTGAAGSRSSGTQSFANVISRAKAPENLQTPKMNEIGKKGKKGGRVLLSTAGGRRY >KJB71612 pep chromosome:Graimondii2_0_v6:11:20236826:20242719:1 gene:B456_011G133500 transcript:KJB71612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNKEKIVDDVGSVVEAISADDDNAAPLYQVESLCMRCTQNGITRFLLTSIPHFRKVLLSAFECPHCGERNNEVQFAGEIQPKGCHYHLEVQPGDPKLFSRQVVKSESATIKIPELDFEIPPEAQRGSLSTVEGILVRAIDGLQALQEERKKVDPQTGEAIDQFLLKLRACATGESPFTFILDDPAGNSFIENPFAPSPDPSLSIKFYDRTPEQQASLGYLVDPSQLNADAAMEETSNDVDQIRRQPHGSVGAAAGQKAIAQSNSAEIAEALFRYSAPEEVMTFPSTCGACAARSETRMFVTRIPYFQEVIVMASTCDACGYRNSELKPGGAIPDKGKRITLSVKNINDLSRDVIKSDTASVKVPELDLELASGTLGGVVTTVEGLITKISESLERVHGFTFGDSLDECKKNKWLDFKARLNKILSMTEPWTLILDDALANSFIAPATDDIKDDHQLIFEKYERSWEQNEELGLNDIDTSSADAAYNSTGVTSNENPQE >KJB71435 pep chromosome:Graimondii2_0_v6:11:17394089:17395339:1 gene:B456_011G123300 transcript:KJB71435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANQEAEPSWQELLGCKNWDSLLDPLHLSLRKLILRCGDFCQATYDAFNNDQNSKFCGSSRYGKSSFFDKVMLESASDYKVESFLYATAHVTLPQAFLLHSRSRESWDRETNWIGYIATTSDETSKALGRRDIYVVWRGTTRDYEWVNVLDAKLEPLEPLLRVDGSSNKEMGDSSSDSDSDNENEKPKVMLGWLTIYISDDPKSPFTKLSAREQLLGKIKELKERYKNENLSIVFTGHSLGASLAVLSAFDVVENGLVDNIPVAAFAFGCPQVGNKAFNDRMKRYPNLTVLHTKNIIDVIPHYPSLLLGYVYTGTELVIDTRKSPSLKDSKNPSDWHNLQAMLHIVAGWNGQEGEFELKVKRSLALVNKSCAFLKDECLVPGSWWVEKNKGLVRNEDGEWVMVPATDEDLPTPEA >KJB72394 pep chromosome:Graimondii2_0_v6:11:40551860:40553806:1 gene:B456_011G175700 transcript:KJB72394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLLNKIRKQASCFLQEKYRNARIAFSDVTAAELLAEEATNNDPWGPDARTMTKISEASFNMNDYWRIVYVLHKRLDHIDWKHWRQSYKTLTLLEFLLTHGPTTIAEEFLCDSEVIEELGTFTHVDENGFNWGLNMQKRSDKILELLGGGEALTQARLKALKTTKEIKGFGNTTTPSPPSSSTSSSDSSRASTSSFGSFFTSVSTLADINDPHMDNLETPILAKNESSFDEEKALEFPSSEDESFKCMHLWDCPPIPEKGSLLESDGSEENDTKSEGFITDICSKLGISPSKTTAVAAQKMAFRSFSDAGNVAKNKFGRQFSLRF >KJB71690 pep chromosome:Graimondii2_0_v6:11:21136192:21151125:1 gene:B456_011G137400 transcript:KJB71690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSFQDEDDDEEEYEEEAGGSNRLLGFMFGNVDNSGDLDADYLDDDAKEHLAAVADKLGPSLTDIDLSEKSPHTPADAADQDYDEKYENAIDYEDIDEEYEGPETQAATEEDHLLPKKEYFTADVSSALELKTSVFDDENYDEDEESEEVVVEPEEHLAATFEVGKSPGHDVHCGSSDSEDQVDGTEDLQEEPDAPEEPLDSKGSTPLPVLCVEDGMVILRFSEIFGIHEPLKKAAKRDRGYFTHREKYKSMDASDLVEEDEEAFLKDAGQGFSFIGWENAIQQDRSEFTDDALVKGGLEISEHSEEHVKDSYSNPEAMKEGVVVNLSSGLQSPSRPRFFPLDQLDWEEQIVWDNSPARSGNSLESPEISVSDLEASVSRETIPEAGQNLLSEQSTESYKKDHDSGLCHSSIVLESLDSKTSSGPMDLPFLESRFHPQLLRLESQLRVDSLNDSDGKTDVTMDPDKSDVMKCFRKLTLQNTDITEGSWLDNIIWEPHSVIAKPKLILDLQDEQMLFEILDNNESKHLQLHAGAMVIARSAKPSSRSSEVSDHKHQSGWQFNIANDKFYLNRKVSQQLQSNPNKRMAHGVRVHHSAPALKLQTMKLKLSNKDLANFHRPRAIWYPHDIEVAVRQQGRLPTQGPMKVILKSLGGKGSKLHVDAEETVSSVKAKASKKLDFKPSETVKIFYLGKELEDDMSLAAQNVPPNSLLHLIRTRIHLWPRAQKLPRENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLSNVGMGANLCTYHQKTSSGDQTSGLLRNGNETLGNVLLLEPADKSPFIGDIKAGYSQSSLETNMYKAPIFSHKVPSTDFLLVRSPKGKLSIRRIDRIAVVGQQEPLMEVFAPGSKNIQTYLMNRMLVYVYREFSASAKRGLIPFMGTDELFTHFPNLSDAIVRKKLKECAYLRRDKNGRQIWSMKPDFHIPPEGVLRKLVSPEHVCAYESMQAGLYRLKHLGITRLTTLTSVSSAMSQLPDEAIALAAASHIERELQITPWNLSSNFVACTSQDRDNIERLEITGVGDPSGRGLGFSYVRTAPKAPVSNAVMKKKTAAARGGSSVTGTDADLRRLSMEAAREVLLKFNVPDEVIAKQTRWHRIAMIRKLSSEQAASGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQIQSLSAVDGEENESDSEANSDLDSFAGDLENLLDAEEFEEGEEGNNNNKVDKADAVKGLKMRRRPYKAQAEEEIEDEAAEAAELCRLLMDDEDDQKKKKKKKNKAVTEDAGLTFGLQPRIGVESLQCVKKTSIIPKQIVRSTQSNGSYPLNENIVKDTKDIESRIFKGSVSGKLKGMKKNGMPNTGPLTKVKILGDNVKASALFSSSFFFFFLIERQVSLLISY >KJB71687 pep chromosome:Graimondii2_0_v6:11:21136192:21149051:1 gene:B456_011G137400 transcript:KJB71687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSFQDEDDDEEEYEEEAGGSNRLLGFMFGNVDNSGDLDADYLDDDAKEHLAAVADKLGPSLTDIDLSEKSPHTPADAADQDYDEKYENAIDYEDIDEEYEGPETQAATEEDHLLPKKEYFTADVSSALELKTSVFDDENYDEDEESEEVVVEPEEHLAATFEVGKSPGHDVHCGSSDSEDQVDGTEDLQEEPDAPEEPLDSKGSTPLPVLCVEDGMVILRFSEIFGIHEPLKKAAKRDRGYFTHREKYKSMDASDLVEEDEEAFLKDAGQGFSFIGWENAIQQDRSEFTDDALVKGGLEISEHSEEHVKDSYSNPEAMKEGVVVNLSSGLQSPSRPRFFPLDQLDWEEQIVWDNSPARSGNSLESPEISVSDLEASVSRETIPEAGQNLLSEQSTESYKKDHDSGLCHSSIVLESLDSKTSSGPMDLPFLESRFHPQLLRLESQLRVDSLNDSDGKTDVTMDPDKSDVMKCFRKLTLQNTDITEGSWLDNIIWEPHSVIAKPKLILDLQDEQMLFEILDNNESKHLQLHAGAMVIARSAKPSSRSSEVSDHKHQSGWQFNIANDKFYLNRKVSQQLQSNPNKRMAHGVRVHHSAPALKLQTMKLKLSNKDLANFHRPRAIWYPHDIEVAVRQQGRLPTQGPMKVILKSLGGKGSKLHVDAEETVSSVKAKASKKLDFKPSETVKIFYLGKELEDDMSLAAQNVPPNSLLHLIRTRIHLWPRAQKLPRENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLSNVGMGANLCTYHQKTSSGDQTSGLLRNGNETLGNVLLLEPADKSPFIGDIKAGYSQSSLETNMYKAPIFSHKVPSTDFLLVRSPKGKLSIRRIDRIAVVGQQEPLMEVFAPGSKNIQTYLMNRMLVYVYREFSASAKRGLIPFMGTDELFTHFPNLSDAIVRKKLKECAYLRRDKNGRQIWSMKPDFHIPPEGVLRKLVSPEHVCAYESMQAGLYRLKHLGITRLTTLTSVSSAMSQLPDEAIALAAASHIERELQITPWNLSSNFVACTSQDRDNIERLEITGVGDPSGRGLGFSYVRTAPKAPVSNAVMKKKTAAARGGSSVTGTDADLRRLSMEAAREVSFYCRNTFIIEV >KJB71691 pep chromosome:Graimondii2_0_v6:11:21136192:21154582:1 gene:B456_011G137400 transcript:KJB71691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSFQDEDDDEEEYEEEAGGSNRLLGFMFGNVDNSGDLDADYLDDDAKEHLAAVADKLGPSLTDIDLSEKSPHTPADAADQDYDEKYENAIDYEDIDEEYEGPETQAATEEDHLLPKKEYFTADVSSALELKTSVFDDENYDEDEESEEVVVEPEEHLAATFEVGKSPGHDVHCGSSDSEDQVDGTEDLQEEPDAPEEPLDSKGSTPLPVLCVEDGMVILRFSEIFGIHEPLKKAAKRDRGYFTHREKYKSMDASDLVEEDEEAFLKDAGQGFSFIGWENAIQQDRSEFTDDALVKGGLEISEHSEEHVKDSYSNPEAMKEGVVVNLSSGLQSPSRPRFFPLDQLDWEEQIVWDNSPARSGNSLESPEISVSDLEASVSRETIPEAGQNLLSEQSTESYKKDHDSGLCHSSIVLESLDSKTSSGPMDLPFLESRFHPQLLRLESQLRVDSLNDSDGKTDVTMDPDKSDVMKCFRKLTLQNTDITEGSWLDNIIWEPHSVIAKPKLILDLQDEQMLFEILDNNESKHLQLHAGAMVIARSAKPSSRSSEVSDHKHQSGWQFNIANDKFYLNRKVSQQLQSNPNKRMAHGVRVHHSAPALKLQTMKLKLSNKDLANFHRPRAIWYPHDIEVAVRQQGRLPTQGPMKVILKSLGGKGSKLHVDAEETVSSVKAKASKKLDFKPSETVKIFYLGKELEDDMSLAAQNVPPNSLLHLIRTRIHLWPRAQKLPRENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLSNVGMGANLCTYHQKTSSGDQTSGLLRNGNETLGNVLLLEPADKSPFIGDIKAGYSQSSLETNMYKAPIFSHKVPSTDFLLVRSPKGKLSIRRIDRIAVVGQQEPLMEVFAPGSKNIQTYLMNRMLVYVYREFSASAKRGLIPFMGTDELFTHFPNLSDAIVRKKLKECAYLRRDKNGRQIWSMKPDFHIPPEGVLRKLVSPEHVCAYESMQAGLYRLKHLGITRLTTLTSVSSAMSQLPDEAIALAAASHIERELQITPWNLSSNFVACTSQDRDNIERLEITGVGDPSGRGLGFSYVRTAPKAPVSNAVMKKKTAAARGGSSVTGTDADLRRLSMEAAREVLLKFNVPDEVIAKQTRWHRIAMIRKLSSEQAASGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQIQSLSAVDGEENESDSEANSDLDSFAGDLENLLDAEEFEEGEEGNNNNKVDKADAVKGLKMRRRPYKAQAEEEIEDEAAEAAELCRLLMDDEDDQKKKKKKKNKAVTEDAGLTFGLQPRIGVESLQCVKKTSIIPKQIVRSTQSNGSYPLNENIVKDTKDIESRIFKGSVSGKLKGMKKNGMPNTGPLTKVKILGDNVKASALFSSSFFFFFLIERQVSLLISY >KJB71686 pep chromosome:Graimondii2_0_v6:11:21135957:21154677:1 gene:B456_011G137400 transcript:KJB71686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSFQDEDDDEEEYEEEAGGSNRLLGFMFGNVDNSGDLDADYLDDDAKEHLAAVADKLGPSLTDIDLSEKSPHTPADAADQDYDEKYENAIDYEDIDEEYEGPETQAATEEDHLLPKKEYFTADVSSALELKTSVFDDENYDEDEESEEVVVEPEEHLAATFEVGKSPGHDVHCGSSDSEDQVDGTEDLQEEPDAPEEPLDSKGSTPLPVLCVEDGMVILRFSEIFGIHEPLKKAAKRDRGYFTHREKYKSMDASDLVEEDEEAFLKDAGQGFSFIGWENAIQQDRSEFTDDALVKGGLEISEHSEEHVKDSYSNPEAMKEGVVVNLSSGLQSPSRPRFFPLDQLDWEEQIVWDNSPARSGNSLESPEISVSDLEASVSRETIPEAGQNLLSEQSTESYKKDHDSGLCHSSIVLESLDSKTSSGPMDLPFLESRFHPQLLRLESQLRVDSLNDSDGKTDVTMDPDKSDVMKCFRKLTLQNTDITEGSWLDNIIWEPHSVIAKPKLILDLQDEQMLFEILDNNESKHLQLHAGAMVIARSAKPSSRSSEVSDHKHQSGWQFNIANDKFYLNRKVSQQLQSNPNKRMAHGVRVHHSAPALKLQTMKLKLSNKDLANFHRPRAIWYPHDIEVAVRQQGRLPTQGPMKVILKSLGGKGSKLHVDAEETVSSVKAKASKKLDFKPSETVKIFYLGKELEDDMSLAAQNVPPNSLLHLIRTRIHLWPRAQKLPRENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLSNVGMGANLCTYHQKTSSGDQTSGLLRNGNETLGNVLLLEPADKSPFIGDIKAGYSQSSLETNMYKAPIFSHKVPSTDFLLVRSPKGKLSIRRIDRIAVVGQQEPLMEVFAPGSKNIQTYLMNRMLVYVYREFSASAKRGLIPFMGTDELFTHFPNLSDAIVRKKLKECAYLRRDKNGRQIWSMKPDFHIPPEGVLRKLVSPEHVCAYESMQAGLYRLKHLGITRLTTLTSVSSAMSQLPDEAIALAAASHIERELQITPWNLSSNFVACTSQDRDNIERLEITGVGDPSGRGLGFSYVRTAPKAPVSNAVMKKKTAAARGGSSVTGTDADLRRLSMEAAREVLLKFNVPDEVIAKQTRWHRIAMIRKLSSEQAASGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQIQSLSAVDGEENESDSEANSDLDSFAGDLENLLDAEEFEEGEEGNNNNKVDKADAVKGLKMRRRPYKAQAEEEIEDEAAEAAELCRLLMDDEDDQKKKKKKKNKAVTEDAGLTFGLQPRIGVESLQCVKKTSIIPKQIVRSTQSNGSYPLNENIVKDTKDIESRIFKGSVSGKLKGMKKNGMPNTGPLTKVKILGDNVKLFKEKKSSRETFVCGACGQLGHMRTNKHCPKYGEDPETQVEATELEKTPGKSTSLEPSGQSQLKMMKKKLITKSATKIAVVEASEGEKSSTNTKALPLKFKCSTDRPSDKLTSGGTQSSDIPVTSDPENGAKSAAKVSKIIISNRAKPDEMQVESHNVPVVIRHQVDTDRGQAESHKKSIVIKPPTNMERDQVEPHKPSVVIRPPADKDKDQPQKKIIIKRPKEVIDLDQLSQEGGTYPEYRKTKKIVELSSFEKHGKQESLRLMEPKARRKAKEERRWWEEEEKRRNVERIREDRARKLYEEEMRLLEERERFAEITRYTEDIRREREEEERQKAKKKKKKKAEIKEDYLEDYRTRRNDRRAPERDRGAKRKPVELGRYGAEYVPPTKRRRGGEVGLANILERIVETLRDNTEVSYLFLKPVSKKEAPDYLNIVKHPMDLSTIRDKVRRMEYKNQEEFRHDVWQIAYNAHIYNDGRNPGIPPLADQLLELCDYLLHEYSDNLAEAEAGIGEA >KJB71689 pep chromosome:Graimondii2_0_v6:11:21136192:21154582:1 gene:B456_011G137400 transcript:KJB71689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSFQDEDDDEEEYEEEAGGSNRLLGFMFGNVDNSGDLDADYLDDDAKEHLAAVADKLGPSLTDIDLSEKSPHTPADAADQDYDEKYENAIDYEDIDEEYEGPETQAATEEDHLLPKKEYFTADVSSALELKTSVFDDENYDEDEESEEVVVEPEEHLAATFEVGKSPGHDVHCGSSDSEDQVDGTEDLQEEPDAPEEPLDSKGSTPLPVLCVEDGMVILRFSEIFGIHEPLKKAAKRDRGYFTHREKYKSMDASDLVEEDEEAFLKDAGQGFSFIGWENAIQQDRSEFTDDALVKGGLEISEHSEEHVKDSYSNPEAMKEGVVVNLSSGLQSPSRPRFFPLDQLDWEEQIVWDNSPARSGNSLESPEISVSDLEASVSRETIPEAGQNLLSEQSTESYKKDHDSGLCHSSIVLESLDSKTSSGPMDLPFLESRFHPQLLRLESQLRVDSLNDSDGKTDVTMDPDKSDVMKCFRKLTLQNTDITEGSWLDNIIWEPHSVIAKPKLILDLQDEQMLFEILDNNESKHLQLHAGAMVIARSAKPSSRSSEVSDHKHQSGWQFNIANDKFYLNRKVSQQLQSNPNKRMAHGVRVHHSAPALKLQTMKLKLSNKDLANFHRPRAIWYPHDIEVAVRQQGRLPTQGPMKVILKSLGGKGSKLHVDAEETVSSVKAKASKKLDFKPSETVKIFYLGKELEDDMSLAAQNVPPNSLLHLIRTRIHLWPRAQKLPRENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLSNVGMGANLCTYHQKTSSGDQTSGLLRNGNETLGNVLLLEPADKSPFIGDIKAGYSQSSLETNMYKAPIFSHKVPSTDFLLVRSPKGKLSIRRIDRIAVVGQQEPLMEVFAPGSKNIQTYLMNRMLVYVYREFSASAKRGLIPFMGTDELFTHFPNLSDAIVRKKLKECAYLRRDKNGRQIWSMKPDFHIPPEGVLRKLVSPEHVCAYESMQAGLYRLKHLGITRLTTLTSVSSAMSQLPDEAIALAAASHIERELQITPWNLSSNFVACTSQDRDNIERLEITGVGDPSGRGLGFSYVRTAPKAPVSNAVMKKKTAAARGGSSVTGTDADLRRLSMEAAREVLLKFNVPDEVIAKQTRWHRIAMIRKLSSEQAASGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQIQSLSAVDGEENESDSEANSDLDSFAGDLENLLDAEEFEEGEEGNNNNKVDKADAVKGLKMRRRPYKAQAEEEIEDEAAEAAELCRLLMDDEDDQKKKKKKKNKAVTEDAGLTFGLQPRIGVESLQCVKKTSIIPKQIVRSTQSNGSYPLNENIVKDTKDIESRIFKGSVSGKLKGMKKNGMPNTGPLTKVKILGDNVKLFKEKKSSRETFVCGACGQVCNLLSLDT >KJB71688 pep chromosome:Graimondii2_0_v6:11:21136192:21150581:1 gene:B456_011G137400 transcript:KJB71688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSFQDEDDDEEEYEEEAGGSNRLLGFMFGNVDNSGDLDADYLDDDAKEHLAAVADKLGPSLTDIDLSEKSPHTPADAADQDYDEKYENAIDYEDIDEEYEGPETQAATEEDHLLPKKEYFTADVSSALELKTSVFDDENYDEDEESEEVVVEPEEHLAATFEVGKSPGHDVHCGSSDSEDQVDGTEDLQEEPDAPEEPLDSKGSTPLPVLCVEDGMVILRFSEIFGIHEPLKKAAKRDRGYFTHREKYKSMDASDLVEEDEEAFLKDAGQGFSFIGWENAIQQDRSEFTDDALVKGGLEISEHSEEHVKDSYSNPEAMKEGVVVNLSSGLQSPSRPRFFPLDQLDWEEQIVWDNSPARSGNSLESPEISVSDLEASVSRETIPEAGQNLLSEQSTESYKKDHDSGLCHSSIVLESLDSKTSSGPMDLPFLESRFHPQLLRLESQLRVDSLNDSDGKTDVTMDPDKSDVMKCFRKLTLQNTDITEGSWLDNIIWEPHSVIAKPKLILDLQDEQMLFEILDNNESKHLQLHAGAMVIARSAKPSSRSSEVSDHKHQSGWQFNIANDKFYLNRKVSQQLQSNPNKRMAHGVRVHHSAPALKLQTMKLKLSNKDLANFHRPRAIWYPHDIEVAVRQQGRLPTQGPMKVILKSLGGKGSKLHVDAEETVSSVKAKASKKLDFKPSETVKIFYLGKELEDDMSLAAQNVPPNSLLHLIRTRIHLWPRAQKLPRENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLSNVGMGANLCTYHQKTSSGDQTSGLLRNGNETLGNVLLLEPADKSPFIGDIKAGYSQSSLETNMYKAPIFSHKVPSTDFLLVRSPKGKLSIRRIDRIAVVGQQEPLMEVFAPGSKNIQTYLMNRMLVYVYREFSASAKRGLIPFMGTDELFTHFPNLSDAIVRKKLKECAYLRRDKNGRQIWSMKPDFHIPPEGVLRKLVSPEHVCAYESMQAGLYRLKHLGITRLTTLTSVSSAMSQLPDEAIALAAASHIERELQITPWNLSSNFVACTSQDRDNIERLEITGVGDPSGRGLGFSYVRTAPKAPVSNAVMKKKTAAARGGSSVTGTDADLRRLSMEAAREVLLKFNVPDEVIAKQTRWHRIAMIRKLSSEQAASGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQIQSLSAVDGEENESDSEANSDLDSFAGDLENLLDAEEFEEGEEGNNNNKVDKADAVKGLKMRRRPYKAQAEEEIEDEAAEAAELCRLLMDGINYFIPTVFILISFAEKKINLALRSSICSLGL >KJB70693 pep chromosome:Graimondii2_0_v6:11:9119637:9121879:-1 gene:B456_011G087400 transcript:KJB70693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLMTNLLLTACIALFFVSAATAVGDSPFIIAHKKASLTRLKSGAERVSVSIDIYNQGFSTAYDLSLVDYSWPQDAFDVISGNISQSWEKLDAGGIRSHSFELEAKKQGMFYGAPAVISFRIPTKAALQEAYSTSILPLDVLAEIPPEKKFEWRLLAKYGSQVSVISIVGLFIYLMVTPSKSNGLKAIKKKR >KJB70690 pep chromosome:Graimondii2_0_v6:11:9119568:9121932:-1 gene:B456_011G087400 transcript:KJB70690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLMTNLLLTACIALFFVSAATAVGDSPFIIAHKKASLTRLKSGAERVSVSIDIYNQGFSTAYDLSLVDYSWPQDAFDVISGNISQSWEKLDAGGIRSHSFELEAKKQGMFYGAPAVISFRIPTKAALQEAYSTSILPLDVLAEIPPEKKFEWAKRLLAKYGSQVSVISIVGLFIYLMVTPSKSNGLKAIKKKR >KJB70692 pep chromosome:Graimondii2_0_v6:11:9120002:9121810:-1 gene:B456_011G087400 transcript:KJB70692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLMTNLLLTACIALFFVSAATAVGDSPFIIAHKKASLTRLKSGAERVSVSIDIYNQGFSTAYDLSLVDYSWPQDAFDVISGNISQSWEKLDAGGIRSHSFELEAKKQGMFYGAPAVISFRIPTKAALQEAYSTSILPLDVLAEIPPEKKFEWVKVAG >KJB70694 pep chromosome:Graimondii2_0_v6:11:9120329:9121879:-1 gene:B456_011G087400 transcript:KJB70694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLMTNLLLTACIALFFVSAATAVGDSPFIIAHKKASLTRLKSGAERVSVSIDIYNQGFSTAYDLSLVDYSWPQDAFDVISGNISQSWEKLDAGGIRSHSFELEAKKQGMFYGAPAVISFRIPTKAALQEAYSTSILPLDVLAEIPPEKKFEWVSFVYNNNKQGIQRYYLFLLFVLLKPVCFVFVCLLWNLRQP >KJB70691 pep chromosome:Graimondii2_0_v6:11:9119633:9121879:-1 gene:B456_011G087400 transcript:KJB70691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLMTNLLLTACIALFFVSAATAVGDSPFIIAHKKASLTRLKSGAERVSVSIDIYNQGFSWPQDAFDVISGNISQSWEKLDAGGIRSHSFELEAKKQGMFYGAPAVISFRIPTKAALQEAYSTSILPLDVLAEIPPEKKFEWVKVAG >KJB69187 pep chromosome:Graimondii2_0_v6:11:707118:709930:1 gene:B456_011G009700 transcript:KJB69187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSVSFAASLAPYHHTKSSNPYHHLLISPKPIQHKPSLHKFHVGKACCYSNFRSQYSKPPLVPASYTSPQRGLLVPPDAKNKGSGSGEEDSIGALETVLKLYSAIKNQNVRELSEIIDDECQCICNFFSYFQPLQGKKQVIDFFTSLIKHMGNHIEFVVQPTLTEGMIVGINWRLEWNKAHMPLGQGFSFYTCHVYHGKVTIRNVEMFMEPLLHVEPLRLKIIGYLTTIMDNISSEVSSKAWKKKVISAVLALMFIATILLFSMY >KJB68988 pep chromosome:Graimondii2_0_v6:11:164598:168102:1 gene:B456_011G001700 transcript:KJB68988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYNDNPSLVFHPGYGYNPQMPYGPYSPVTTPLPSVGGDAQLYSPQQFPFSGPPYYQQLVPPSMPYISSPTTVSQPELTTLVSIDQQGDNMLFGPRPSYNTPLGSFGRGSFPGNHGNLGFNDSQQGFDGLRSGGLWSDWSKPSDRQRSLTPISPAVSPQPFGPIGQNVPMASQQQRLFYQLGSGVTSYNRGFMQSDLNQDPRFGSASIPNSGANSRGWLSLDSNRRRGRGSGVPLCGCNGALDILSEQNRGPRASKPKNQITAEHSSSIDDSKNNKSLSKIHDESYNRPDFSTDYKNAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENQDPCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHFIKDVPNSQFRHIILENNDNKPVTNSRDTQEVRLEQGIEMLNIFKSFETDTSILDDFDFYEDRQKAMQERKARQQASLMSVGVVGETEHRNTVSLSNDFMKQMSKSFAQVVCLDDGNKDGTAIERTSSASDGSKVARVKLEDAMTAAVSSGQAS >KJB68982 pep chromosome:Graimondii2_0_v6:11:161861:168102:1 gene:B456_011G001700 transcript:KJB68982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSDRTPEEQRADPESVKEQPLSTKNEMSVSPNSSHDTAPIGHPRDSTGQSGSSGDRNVYSPTIYAPQAQSFYYRGYDNAAGEWDEYPPYVNADGLELGSMGVYNDNPSLVFHPGYGYNPQMPYGPYSPVTTPLPSVGGDAQLYSPQQFPFSGPPYYQQLVPPSMPYISSPTTVSQPELTTLVSIDQQGDNMLFGPRPSYNTPLGSFGRGSFPGNHGNLGFNDSQQGFDGLRSGGLWSDWSKPSDRQRSLTPISPAVSPQPFGPIGQNVPMASQQQRLFYQLGSGVTSYNRGFMQSDLNQDPRFGSASIPNSGANSRGWLSLDSNRRRGRGSGVPLCGCNGALDILSEQNRGPRASKPKNQITAEHSSSIDDSKNNKSLSKIHDESYNRPDFSTDYKNAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENQDPCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHFIKDVPNSQFRHIILENNDNKPVTNSRDTQEVRLEQGIEMLNIFKSFETDTSILDDFDFYEDRQKAMQERKARQQASLMSVGVVGETEHRNTVSLSNDFMKQMSKSFAQVVCLDDGNKDGTAIERTSSASDGSKVARVKLEDAMTAAVSSGQAS >KJB68987 pep chromosome:Graimondii2_0_v6:11:163639:168102:1 gene:B456_011G001700 transcript:KJB68987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQRADPESVKEQVTPLSTKNEMSVSPNSSHDTAPIGHPRDSTGQSGSSGDRNVYSPTIYAPQAQSFYYRAGYDNAAGEWDEYPPYVNADGLELGSMGVYNDNPSLVFHPGYGYNPQMPYGPYSPVTTPLPSVGGDAQLYSPQQFPFSGPPYYQQLVPPSMPYISSPTTVSQPELTTLVSIDQQGDNMLFGPRPSYNTPLGSFGRGSFPGNHGNLGFNDSQQGFDGLRSGGLWSDWSKPSDRQRSLTPISPAVSPQPFGPIGQNVPMASQQQRLFYQLGSGVTSYNRGFMQSDLNQDPRFGSASIPNSGANSRGWLSLDSNRRRGRGSGVPLCGCNGALDILSEQNRGPRASKPKNQITAEHSSSIDDSKNNKSLSKIHDESYNRPDFSTDYKNAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENQDPCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHFIKDVPNSQFRHIILENNDNKPVTNSRDTQEVRLEQGIEMLNIFKSFETDTSILDDFDFYEDRQKAMQERKARQQASLMSVGVVGETEHRNTVSLSNDFMKQMSKSFAQVVCLDDGNKDGTAIERTSSASDGSKVARVKLEDAMTAAVSSGQAS >KJB68986 pep chromosome:Graimondii2_0_v6:11:163639:168102:1 gene:B456_011G001700 transcript:KJB68986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQRADPESVKEQVTPLSTKNEMSVSPNSSHDTAPIGHPRDSTGQSGSSGDRNVYSPTIYAPQAQSFYYRGYDNAAGEWDEYPPYVNADGLELGSMGVYNDNPSLVFHPGYGYNPQMPYGPYSPVTTPLPSVGGDAQLYSPQQFPFSGPPYYQQLVPPSMPYISSPTTVSQPELTTLVSIDQQGDNMLFGPRPSYNTPLGSFGRGSFPGNHGNLGFNDSQQGFDGLRSGGLWSDWSKPSDRQRSLTPISPAVSPQPFGPIGQNVPMASQQQRLFYQLGSGVTSYNRGFMQSDLNQDPRFGSASIPNSGANSRGWLSLDSNRRRGRGSGVPLCGCNGALDILSEQNRGPRASKPKNQITAEHSSSIDDSKNNKSLSKIHDESYNRPDFSTDYKNAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENQDPCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHFIKDVPNSQFRHIILENNDNKPVTNSRDTQEVRLEQGIEMLNIFKSFETDTSILDDFDFYEDRQKAMQERKARQQASLMSVGVVGETEHRNTVSLSNDFMKQMSKSFAQVVCLDDGNKDGTAIERTSSASDGSKVARVKLEDAMTAAVSSGQAS >KJB68983 pep chromosome:Graimondii2_0_v6:11:162095:168102:1 gene:B456_011G001700 transcript:KJB68983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSPNSSHDTAPIGHPRDSTGQSGSSGDRNVYSPTIYAPQAQSFYYRGYDNAAGEWDEYPPYVNADGLELGSMGVYNDNPSLVFHPGYGYNPQMPYGPYSPVTTPLPSVGGDAQLYSPQQFPFSGPPYYQQLVPPSMPYISSPTTVSQPELTTLVSIDQQGDNMLFGPRPSYNTPLGSFGRGSFPGNHGNLGFNDSQQGFDGLRSGGLWSDWSKPSDRQRSLTPISPAVSPQPFGPIGQNVPMASQQQRLFYQLGSGVTSYNRGFMQSDLNQDPRFGSASIPNSGANSRGWLSLDSNRRRGRGSGVPLCGCNGALDILSEQNRGPRASKPKNQITAEHSSSIDDSKNNKSLSKIHDESYNRPDFSTDYKNAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENQDPCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHFIKDVPNSQFRHIILENNDNKPVTNSRDTQEVRLEQGIEMLNIFKSFETDTSILDDFDFYEDRQKAMQERKARQQASLMSVGVVGETEHRNTVSLSNDFMKQMSKSFAQVVCLDDGNKDGTAIERTSSASDGSKVARVKLEDAMTAAVSSGQAS >KJB68984 pep chromosome:Graimondii2_0_v6:11:161982:168102:1 gene:B456_011G001700 transcript:KJB68984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSPNSSHDTAPIGHPRDSTGQSGSSGDRNVYSPTIYAPQAQSFYYRGYDNAAGEWDEYPPYVNADGLELGSMGVYNDNPSLVFHPGYGYNPQMPYGPYSPVTTPLPSVGGDAQLYSPQQFPFSGPPYYQQLVPPSMPYISSPTTVSQPELTTLVSIDQQGDNMLFGPRPSYNTPLGSFGRGSFPGNHGNLGFNDSQQGFDGLRSGGLWSDWSKPSDRQRSLTPISPAVSPQPFGPIGQNVPMASQQQRLFYQLGSGVTSYNRGFMQSDLNQDPRFGSASIPNSGANSRGWLSLDSNRRRGRGSGVPLCGCNGALDILSEQNRGPRASKPKNQITAEHSSSIDDSKNNKSLSKIHDESYNRPDFSTDYKNAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENQDPCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHFIKDVPNSQFRHIILENNDNKPVTNSRDTQEVRLEQGIEMLNIFKSFETDTSILDDFDFYEDRQKAMQERKARQQASLMSVGVVGETEHRNTVSLSNDFMKQMSKSFAQVVCLDDGNKDGTAIERTSSASDGSKVARVKLEDAMTAAVSSGQAS >KJB68985 pep chromosome:Graimondii2_0_v6:11:163639:168102:1 gene:B456_011G001700 transcript:KJB68985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQRADPESVKEQPLSTKNEMSVSPNSSHDTAPIGHPRDSTGQSGSSGDRNVYSPTIYAPQAQSFYYRGYDNAAGEWDEYPPYVNADGLELGSMGVYNDNPSLVFHPGYGYNPQMPYGPYSPVTTPLPSVGGDAQLYSPQQFPFSGPPYYQQLVPPSMPYISSPTTVSQPELTTLVSIDQQGDNMLFGPRPSYNTPLGSFGRGSFPGNHGNLGFNDSQQGFDGLRSGGLWSDWSKPSDRQRSLTPISPAVSPQPFGPIGQNVPMASQQQRLFYQLGSGVTSYNRGFMQSDLNQDPRFGSASIPNSGANSRGWLSLDSNRRRGRGSGVPLCGCNGALDILSEQNRGPRASKPKNQITAEHSSSIDDSKNNKSLSKIHDESYNRPDFSTDYKNAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENQDPCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHFIKDVPNSQFRHIILENNDNKPVTNSRDTQEVRLEQGIEMLNIFKSFETDTSILDDFDFYEDRQKAMQERKARQQASLMSVGVVGETEHRNTVSLSNDFMKQMSKSFAQVVCLDDGNKDGTAIERTSSASDGSKVARVKLEDAMTAAVSSGQAS >KJB68989 pep chromosome:Graimondii2_0_v6:11:164849:168102:1 gene:B456_011G001700 transcript:KJB68989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYGPYSPVTTPLPSVGGDAQLYSPQQFPFSGPPYYQQLVPPSMPYISSPTTVSQPELTTLVSIDQQGDNMLFGPRPSYNTPLGSFGRGSFPGNHGNLGFNDSQQGFDGLRSGGLWSDWSKPSDRQRSLTPISPAVSPQPFGPIGQNVPMASQQQRLFYQLGSGVTSYNRGFMQSDLNQDPRFGSASIPNSGANSRGWLSLDSNRRRGRGSGVPLCGCNGALDILSEQNRGPRASKPKNQITAEHSSSIDDSKNNKSLSKIHDESYNRPDFSTDYKNAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENQDPCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHFIKDVPNSQFRHIILENNDNKPVTNSRDTQEVRLEQGIEMLNIFKSFETDTSILDDFDFYEDRQKAMQERKARQQASLMSVGVVGETEHRNTVSLSNDFMKQMSKSFAQVVCLDDGNKDGTAIERTSSASDGSKVARVKLEDAMTAAVSSGQAS >KJB71235 pep chromosome:Graimondii2_0_v6:11:13757198:13762271:1 gene:B456_011G112000 transcript:KJB71235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLYDENGRRYLDAFAGIVTVSCGHCHPEVLNAITEQNKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGSEANELAMLMARLYSGNLGMISLRNAYHGGSSSTIGLTALNTWKYPIPEGEIHHVINPDPFRGVFGSDATRYAKDVQDHIEYGTSGKVAGFIAETIQGVGGAVELAPGYLKQVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIANVMAQKIQFNTFGGNPVCSAGGLAVLRVIDKEKRQAHCADVGSHLIGRLRSLQDKHDIIGDVRGRGLMVGVELVTDRKEKTPAKAKTAILFENMRELGVLLGKGGLHGNVFRIKPPMCFTKDDADYLVDALDYAMSKL >KJB71232 pep chromosome:Graimondii2_0_v6:11:13756652:13762647:1 gene:B456_011G112000 transcript:KJB71232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMLQRKVLRRTFGEGKAILNFNSNPVLSPCTSFSTVTSAAATPFHNAPRQLPPFDYQPKPYNGPSADQILEKRKKFLGPSLFYYYQKPLNIVEGKMQYLYDENGRRYLDAFAGIVTVSCGHCHPEVLNAITEQNKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGSEANELAMLMARLYSGNLGMISLRNAYHGGSSSTIGLTALNTWKYPIPEGEIHHVINPDPFRGVFGSDATRYAKDVQDHIEYGTSGKVAGFIAETIQGVGGAVELAPGYLKQVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKSLEMSEVEA >KJB71234 pep chromosome:Graimondii2_0_v6:11:13756652:13762647:1 gene:B456_011G112000 transcript:KJB71234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMLQRKVLRRTFGEGKAILNFNSNPVLSPCTSFSTVTSAAATPFHNAPRQLPPFDYQPKPYNGPSADQILEKRKKFLGPSLFYYYQKPLNIVEGKMQYLYDENGRRYLDAFAGIVTVSCGHCHPEVLNAITEQNKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGSEANELAMLMARLYSGNLGMISLRNAYHGGSSSTIGLTALNTWKYPIPEGEIHHVINPDPFRGVFGSDATRYAKDVQDHIEYGTSGKVAGFIAETIQGVGGAVELAPGYLKQVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIANVMAQKIQFNTFGGNPVCSAGGLAVLRVIDKEKRQAHCADVGSHLIGRLRSLQDKHDIIGDVRGRGLMVGVELVTDRKEKTPAKAKTAILFENMRELGVLLGKGGLHGNVFRIKPPMCFTKDDAGTSFS >KJB71231 pep chromosome:Graimondii2_0_v6:11:13756587:13762696:1 gene:B456_011G112000 transcript:KJB71231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMLQRKVLRRTFGEGKAILNFNSNPVLSPCTSFSTVTSAAATPFHNAPRQLPPFDYQPKPYNGPSADQILEKRKKFLGPSLFYYYQKPLNIVEGKMQYLYDENGRRYLDAFAGIVTVSCGHCHPEVLNAITEQNKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGSEANELAMLMARLYSGNLGMISLRNAYHGGSSSTIGLTALNTWKYPIPEGEIHHVINPDPFRGVFGSDATRYAKDVQDHIEYGTSGKVAGFIAETIQGVGGAVELAPGYLKQVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIANVMAQKIQFNTFGGNPVCSAGGLAVLRVIDKEKRQAHCADVGSHLIGRLRSLQDKHDIIGDVRGRGLMVGVELVTDRKEKTPAKAKTAILFENMRELGVLLGKGGLHGNVFRIKPPMCFTKDDADYLVDALDYAMSKL >KJB71233 pep chromosome:Graimondii2_0_v6:11:13756652:13762647:1 gene:B456_011G112000 transcript:KJB71233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMLQRKVLRRTFGEGKAILNFNSNPVLSPCTSFSTVTSAAATPFHNAPRQLPPFDYQPKPYNGPSADQILEKRKKFLGPSLFYYYQKPLNIVEGKMQYLYDENGRRYLDAFAGIVTVSCGHCHPEVLNAITEQNKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGSEANELAMLMARLYSGNLGMISLRNAYHGGSSSTIGLTALNTWKYPIPEGEIHHVINPDPFRGVFGSDATRYAKDVQDHIEYGTSGKVAGFIAETIQGVGGAVELAPGYLKQVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIANVMAQKIQFNTFGGNPVCSAGGLAVLRVIDKEKRQAHCADVGSHLIGRLRSLQDKHDIIGDVRGRGLMVGVELVTDRKEKTPAKAKTAILFENMRGIKNSEFYLGKVGCMEMFSE >KJB72487 pep chromosome:Graimondii2_0_v6:11:42597054:42597834:1 gene:B456_011G1810002 transcript:KJB72487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLAHQIGSLAGTQIAAEVTTGEQSSSATADVSGFRKVPLANLRCNAPGEALSPPMLTPPLTPRSAANRSAMMSLSLRPDLSAVCQAFGTLSPLETAEESSASVAWKEGGKKEEKKGVPVYVMMPLDSVTNGNTVNRKKAMNASLHALKSAGVEGIMIDVWWGLVEREAPGAYNWGGYAELLEMAKKHGLKVQAVMSFHQCGGNVGDSC >KJB72488 pep chromosome:Graimondii2_0_v6:11:42596976:42597834:1 gene:B456_011G1810002 transcript:KJB72488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLAHQIGSLAGTQIAAEVTTGEQSSSATADVSGFRKVPLANLRCNAPGEALSPPMLTPPLTPRSAANRSAMMSLSLRPDLSAVCQAFGTLSPLETAEESSASVAWKEGGKKEEKKGVPVYVMMPLDSVTNGNTVNRKKAMNASLHALKSAGVEGIMIDVWWGLVEREAPGAYNWGGYAELLEMAKKHGLKVQAVMSFHQCGGNVGDSC >KJB71128 pep chromosome:Graimondii2_0_v6:11:12522493:12525647:1 gene:B456_011G107000 transcript:KJB71128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIEQCEANDSAYSLIEQLYKAVHELEAFKDASENKVHWTEIEQYFRNLEVTLKKKSEELVAKEKEYEVKEAETLALIVEKEAVVATKEQDYLDRVQELKDAAVAAIAEACANFRPTTAESLDTAENGDTKLSNAGSGAAIDNSLEAEAFLQLLATFRIASEFDEEQLCKLVFVVAHRRQAPELCRSIGLTPKMPGLVELLINSGRQVDAVRFIHTFQLTEKFPPVPLLKMYLKDLRRNSQGKGGNSRGAAGSQDDINARELAALKAVIRCVQDYGLEADYSLDPLQKRLAQLEKAKADNKKRGGDSGKHHPRKKSRPNGGFRGYRGPPGRQAPLVYNQRAAFTGMPERYTHAGPNPYNYQIPNQPAYVPQANDQRLYYYSQDDKVATSSYNAAATSNYGSYSGSGLQPSHSQQPFL >KJB71125 pep chromosome:Graimondii2_0_v6:11:12522468:12525745:1 gene:B456_011G107000 transcript:KJB71125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIEQCEANDSAYSLIEQLYKAVHELEAFKDASENKVHWTEIEQYFRNLEVTLKKKSEELVAKEKEYEVKEAETLALIVEKEAVVATKEQDYLDRVQELKDAAVAAIAEACANFRPTTAESLDTAENGDTKVSSSVGDKNSPDEEFPRKTSEITENMAADVKSRPELTHFCEQMDAKGLLNFLMENQKILNDICRELPLALESASEPARLVLNSLEGFYPPDETSQTVDKTDAALQGMRKSCVVLLEAMASFLARIDPGDTHLLNPEIKQLAKAIADEWKPKLSNAGSGAAIDNSLEAEAFLQLLATFRIASEFDEEQLCKLVFVVAHRRQAPELCRSIGLTPKMPGLVELLINSGRQVDAVRFIHTFQLTEKFPPVPLLKMYLKDLRRNSQGKGGNSRGAAGSQDDINARELAALKAVIRCVQDYGLEADYSLDPLQKRLAQLEKAKADNKKRGGDSGKHHPRKKSRPNGGFRGYRGPPGRQAPLVYNQRAAFTGMPERYTHAGPNPYNYQIPNQPAYVPQANDQRLYYYSQDDKVATSSYNAAATSNYGSYSGSGLQPSHSQQPFL >KJB71127 pep chromosome:Graimondii2_0_v6:11:12523459:12524831:1 gene:B456_011G107000 transcript:KJB71127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIEQCEANDSAYSLIEQLYKAVHELEAFKDASENKVHWTEIEQYFRNLEVTLKKKSEELVAKEKEYEVKEAETLALIVEKEAVVATKEQDYLDRVQELKDAAVAAIAEACANFRPTTAESLDTAENGDTKVSSSVGDKNSPDEEFPRKTSEITENMAADVKSRPELTHFCEQMDAKGLLNFLMENQKILNDICRELPLALESASEPARLVLNSLEGFYPPDETSQTVDKTDAALQGMRKSCVVLLEAMASFLARIDPGDTHLLNPEIKQLAKAIADEWKPKLSNAGSGAAIDNSLEAEAFLQLLATFRIASEFDEEQLCKLVFVVAHRRQAPELCRSIGLTPKMPGLVELLINSGRQVDAVRFIHTFQLTEKFPPVPLLKMYLKDLRRNSQGKGGNSRGAAGSQVTYAYTK >KJB71126 pep chromosome:Graimondii2_0_v6:11:12522491:12525647:1 gene:B456_011G107000 transcript:KJB71126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIEQCEANDSAYSLIEQLYKAVHELEAFKDASENKVHWTEIEQYFRNLEVTLKKKSEELVAKEKEYEVKEAETLALIVEKEAVVATKEQDYLDRVQELKDAAVAAIAEACANFRPTTAESLDTAENGDTKVSSSVGDKNSPDEEFPRKTSEITENMAADVKSRPELTHFCEQMDAKGLLNFLMENQKILNDICRELPLALESASEPARLVLNSLEGFYPPDETSQTVDKTDAALQGMRKSCVVLLEAMASFLARIDPGDTHLLNPEIKQLAKAIADEWKPKLSNAGSGAAIDNSLEAEAFLQLLATFRIASEFDEEQLCKLVFVVAHRRQAPELCRSIGLTPKMPGLVELLINSGRQVDAVRFIHTFQLTEKFPPVPLLKMYLKDLRRNSQGKGGNSRGAAGSQDDINARELAALKAVIRCVQDYGLEADYSLDPLQKRLAQLEKAKADNKKRGGDSGKHHPRKKSRPNGGFRGYRGPPGRQAPLVYNQRAAFTGMPERYTHAGPNPYNYQIPNQPAYVPQANDQRLYYYSQDDKVATSSYNAAATSNYGSYSGSGLQPSHSQQPFL >KJB71129 pep chromosome:Graimondii2_0_v6:11:12522493:12525647:1 gene:B456_011G107000 transcript:KJB71129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIEQCEANDSAYSLIEQLYKAVHELEAFKDASENKVHWTEIEQYFRNLEVTLKKKSEELVAKEKEYEVKEAETLALIVEKEAVVATKEQDYLDRVQELKDAAVAAIAEACANFRPTTAESLDTAENGDTKLAKAIADEWKPKLSNAGSGAAIDNSLEAEAFLQLLATFRIASEFDEEQLCKLVFVVAHRRQAPELCRSIGLTPKMPGLVELLINSGRQVDAVRFIHTFQLTEKFPPVPLLKMYLKDLRRNSQGKGGNSRGAAGSQDDINARELAALKAVIRCVQDYGLEADYSLDPLQKRLAQLEKAKADNKKRGGDSGKHHPRKKSRPNGGFRGYRGPPGRQAPLVYNQRAAFTGMPERYTHAGPNPYNYQIPNQPAYVPQANDQRLYYYSQDDKVATSSYNAAATSNYGSYSGSGLQPSHSQQPFL >KJB71130 pep chromosome:Graimondii2_0_v6:11:12522493:12525647:1 gene:B456_011G107000 transcript:KJB71130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIEQCEANDSAYSLIEQLYKAVHELEAFKDASENKVHWTEIEQYFRNLEVTLKKKSEELVAKEKEYELKDAAVAAIAEACANFRPTTAESLDTAENGDTKVSSSVGDKNSPDEEFPRKTSEITENMAADVKSRPELTHFCEQMDAKGLLNFLMENQKILNDICRELPLALESASEPARLVLNSLEGFYPPDETSQTVDKTDAALQGMRKSCVVLLEAMASFLARIDPGDTHLLNPEIKQLAKAIADEWKPKLSNAGSGAAIDNSLEAEAFLQLLATFRIASEFDEEQLCKLVFVVAHRRQAPELCRSIGLTPKMPGLVELLINSGRQVDAVRFIHTFQLTEKFPPVPLLKMYLKDLRRNSQGKGGNSRGAAGSQDDINARELAALKAVIRCVQDYGLEADYSLDPLQKRLAQLEKAKADNKKRGGDSGKHHPRKKSRPNGGFRGYRGPPGRQAPLVYNQRAAFTGMPERYTHAGPNPYNYQIPNQPAYVPQANDQRLYYYSQDDKVATSSYNAAATSNYGSYSGSGLQPSHSQQPFL >KJB68815 pep chromosome:Graimondii2_0_v6:11:43622928:43625176:1 gene:B456_011G183700 transcript:KJB68815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVIKNDFVHDSFDAEARAAVHALKFANNLGFTSIELEGDSKMVIQKLADLRPGRSNVRAIIADGKALALGFNVCL >KJB70248 pep chromosome:Graimondii2_0_v6:11:5505536:5509883:-1 gene:B456_011G065200 transcript:KJB70248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFFLLSFVLSFLFLFFSFHYKKLHKQQQLQEEGNPQNESALLNCCFPDIVDTRAQTRGNDSTHLPHTLLLQIMPSDSAKWAGLFTGENQQKGDASRVGSDRDGERCESGGEDQRTKKKKRRGKKKRLDSKSEEGNGDGRCSCSAQEKKEKEESGRGDPGCRIKPELVCLYPFTSTGSATQRKIKQHYDQLVKCHQNNGLTLAQVGEFANCLMEARNELQHKSEVVKRRFTITKALLFKADRSSFDRLRQQIYKLEMEQKRLEEDAFVYNWLQQQLKLSPAYKRMLEVCTCMESKGKSSEPMEDPDPEFADISFEELLAQEKKDSFWQKNGKSKLSSN >KJB70250 pep chromosome:Graimondii2_0_v6:11:5507076:5509845:-1 gene:B456_011G065200 transcript:KJB70250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFFLLSFVLSFLFLFFSFHYKKLHKQQQLQEEGNPQNESALLNCCFPDIVDTRAQTRGNDSTHLPHTLLLQIMPSDSAKWAGLFTGENQQKGDASRVGSDRDGERCESGGEDQRTKKKKRRGKKKRLDSKSEEGNGDGRCSCSAQEKKEKEESGRGDPGCRIKPELVCLYPFTSTGSATQRKIKQHYDQLVKCHQNNGLTLAQVGEFANCLMEARNELQHK >KJB70249 pep chromosome:Graimondii2_0_v6:11:5505700:5509845:-1 gene:B456_011G065200 transcript:KJB70249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFFLLSFVLSFLFLFFSFHYKKLHKQQQLQEEGNPQNESALLNCCFPDIVDTRAQTRGNDSTHLPHTLLLQIMPSDSAKWAGLFTGENQQKGDASRVGSDRDGERCESGGEDQRTKKKKRRGKKKRLDSKSEEGNGDGRCSCSAQEKKEKEESGRGDPGCRIKPELVCLYPFTSTGSATQRKIKQHYDQLVKCHQNNGLTLAQVGEFANCLMEARNELQHKSEVVKRRFTITKALLFKADRSSFDRLRQQIYKLEMEQKRLEEDAFVYNWLQQQLKLSPAYKRMLEVCTCMESKGKSSEPMEDPDPEFADISFEELLAQEKKDSFWLISHLFNSWFVNFVFTTYDLTFLRMFRAGRKMGNQN >KJB70247 pep chromosome:Graimondii2_0_v6:11:5505700:5509845:-1 gene:B456_011G065200 transcript:KJB70247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFFLLSFVLSFLFLFFSFHYKKLHKQQQLQEEGNPQNESALLNCCFPDIVDTRAQTRGNDSTHLPHTLLLQIMPSDSAKWAGLFTGENQQKGDASRVGSDRDGERCESGGEDQRTKKKKRRGKKKRLDSKSEEGNGDGRCSCSAQEKKEKEESGRGDPGCRIKPELVCLYPFTSTGSATQRKIKQHYDQLVKCHQNNGLTLAQVGEFANCLMEARNELQHKSEVVKRRFTITKALLFKADRSSFDRLRQQIYKLEMEQKRLEEDAFVYNWLQQQLKLSPAYKRVQFRALFVLS >KJB70246 pep chromosome:Graimondii2_0_v6:11:5505700:5509845:-1 gene:B456_011G065200 transcript:KJB70246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFFLLSFVLSFLFLFFSFHYKKLHKQQQLQEEGNPQNESALLNCCFPDIVDTRAQTRGNDSTHLPHTLLLQIMPSDSAKWAGLFTGENQQKGDASRVGSDRDGERCESGGEDQRTKKKKRRGKKKRLDSKSEEGNGDGRCSCSAQEKKEKEESGRGDPGCRIKPELVCLYPFTSTGSATQRKIKQHYDQLVKCHQNNGLTLAQVGEFANCLMEARNELQHKSEVVKRRFTITKALLFKADRSSFDRLRQQIYKLEMEQKRLEEDAFVYNWLQQQLKLSPAYKRMLEVCTCMESKGKSSEPMEDPDPEFADISFEELLAQEKKDSFWQKNGKSKLSSN >KJB72563 pep chromosome:Graimondii2_0_v6:11:43975253:43992851:-1 gene:B456_011G185100 transcript:KJB72563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHNVELEAAKFLHKLIQDSTDEPSKLATKLYVILQHMKSSGKEHSMPFQVISRAMETVIKRHGLDLEALKSSRLPGSQIVDSTSGQYVGSSQAVSVPKDSKAGAAQNEIPKFDPFSSSRPPVGPSIAGHEYYQGAATHRGSQSFDHGSPSSLDTRSANSQSQDKQMNQNDSKKGAAKRKRGDSSSPLEPNFDESLNAVVDPRKGKMNKAETSGPANYNMVPSSGQMEHFPSLPGNMRSMHRGRQDGQNVTENLVDSTNISNMMSRGPSSKYPEEVEVSSAQNVPRQQQGGLPGAHEIFSSRGKAGLPFDRSQLHRFSPNVSGNITAEIASQQLMHASLMPGSFGKVQGGLSAPSNYHAGELAYSGSGQFSGSENQKHGLSKSSVASPDGSSSTLSAGKVLEHDGGSSNMLGDVNKIAQAGRQNSASEMIMLRAMAPRDTGKSPVSQSAALSSMPFKEHQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNIFPREVGNTDGVRKELNDHRGKAQTSSDPGSISEVAMSFGRMNNVPPALTSIGRFPEADSLSKEAEKLKVEETNGPTSDLLAIVEEREHILATRKAEADLQSHEAVEPQAYLPTMSRQPESATTKDGFTVHNNLDGMENGHLQVAKADLASSMMGANKQVNPEMIGWSGIGFHNEVSRASLPAAAIQHDLVLERKDTGPLFQSLEQDEDKSVSTDSLPSPKYTMLEKWIMDQQKRKFLAEQKWVAKQQETRQRIITCFTKLKGNVSSSEDISAKTKSVIELKKLQLLELQRRLRSDFLNDFFKPITNDMERLKSYKKHRHGRRIKQLEKYEQRMKEERQKRIRERQKEFFSEIEVHKERLDDVFKVRRERWKGINRYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLRDAKAMASRFENNMDEIRTTSFDENDTAIESEDEAKHYMESNEKYYLMAHSIKENISEQPRFLKGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEINFWAPEIHSIVYAGPPEERRRLFKERIVHQKFNILLTTYEYLMNKHDRPKLSKIYWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGAIGNSKARSVHNSVMELRNICNHPYLSQLHVEEVDNLIPQHYLPPIIRLCGKLEMLDRILPKLKATDHRVLFFSTMTRLLDVMEDYLTFKQYRYLRLDGHTSGNDRGALIEKFNQQGSTFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKDVLVLRFETVQTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPALHDDALNDLLARSESEIDVFESVDKQRQEEETAKWKKLVFGSGMDGSKPLPPLPSRLVTDDDLKDFYEAMKLYDIQKSGAQPNVGVKRKGESLGALDTQHYGRGKRAREVRSYEEQWTEEEFEKMCQVDSPGSSGLREEAVERNLAKNALAGTVNSTEPHAPAHAPAPAPALTPPLPQPVQVELAHQPQQQSKDVTPPSKRGRGRPRRATAEKSPNTPVFPAPYGTGKLDVGLQKAADDSSSAFPAPDSHSSTGVSLNLPPSAPSVSAIPDDSTPPGFSPPVESKGQGRRAQSGGQAPSRRGKKQEPALGPAVEALVGPVPETNDQSLIKSVIPPDSIAVATSGTVPGVSSAPMAVGTNPVPISAGMDCTTGTNHPSDVGFSLNSQTLNTSSGAPIAQSTLPCPTVPVQVKGQGRKAQSGVGTPRRRGKKQAQISAAPLDASAGQDSKLNPQAQDKSTVALPNKVIVMGGNQVNDACDPTKVTQEHGLVTNAVITGQDKHSSEHDNLPQSKKPEVSQEVHNSTALILGPALGKIQKDDVHEKASMISGVSSECSSQKATSSEVCGNLGGAVAVTPGQTSVEVVKNQNSEDRVHSTFSIGKPVSLVSVATTDSLHTSTPLAGANKTIPSSSEKIAPSSEPYPTCALAASEPHSVPACPAESVQSRRPSRKATNRAEAPRRRGRKPATPDASSGQDLKVHASEIHSPGASVGHDLKRKVTGAIPAFSRIPTADVNDVARVMKEIFSETCSSKTKIGEPAGSEGKNTPTASKTFEEVVKNQSLDGKPAVSTPAPVKTAPACDVPKEKSKKHSETEADTKELEDNASLVIKVPVLERANSLKPECKTHSGSDNVAKSIQISNENLVTDSNVQVNSTHPHSADDVKDAAQGAPAPTGVQTGSRNGLNDIPIKTSVSDQSVVSLSDLSFDKSDVPSMVIRCSTESIVVKGPETLENSNKHQASSVVEDMTLTHEAATLDDAPVENRDVEGQSGGNEAKNPIPEPVLSSTTESADIELVPQDGGALLQSPVVRDKEGDVAETRHMVVDPCETELSSLKDFTVESASWDSASEVDGGKQLSVGVQTTKIDNVEVCDPEVKPSETQSSEPAKTPFEIAVPVSDSFQDKNSERSGTDADAKESAEKSPLVVMTSMTESVCPVVQCQAATGPENLSVPRQLSREISMTQSSMEVDCKDNHSAIEIDCESTIHSTKASDACNGSRVVPPTSVVMEPKVASSEDKEKPSLESSYSASLDVSSSRAHVASESSGVTAVVPLSSDHSVARSLPDQIAIPSECDMEPSAIESESSFNVESAEAALNATKLLDVTDHPEESLPITACPDKSGTVDRPVMVEKFSECELEPSSDKLGSVEAPAMVENNSELEAGTPLKSHPEPSVLDVENLQSAAMSTKPDVDDAPLVTSNISPSPVCSVMVELPAITENELGKETKPFSGNENITPSPVHLFASDSTNRELTPTDDELQQSSAAERVDAKSVDVSNEVEPTAQPASSQDFAAEASNEDFAPENHGEAKVSPGIKSVGDGHVERDVDPLELEASVDEDIAAVPSSMELVPGDQSEVHVQAGVAGCEGDDGIQGRDMEVDPLETLATSSEVAAKDPPMGEHVQNDQSQELLGTEKTEADQLEASIIEPNSSEAQKSLPQSGNTDDEEQLAQRPEVDLVEACNEESNLAEGPSSAQAISDESIRPENDPGLTHASSLQSEKSEVHQVETCNMESNPTGPSSSQVISNESTNPELTQNELQSEDPAEASQSPKTETVDVSDMDIHLKETKDPSPELEDDAKVSVQPNVVLDMEIDTEQTNIPSQSTDGTIA >KJB72562 pep chromosome:Graimondii2_0_v6:11:43974853:43992851:-1 gene:B456_011G185100 transcript:KJB72562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHNVELEAAKFLHKLIQDSTDEPSKLATKLYVILQHMKSSGKEHSMPFQVISRAMETVIKRHGLDLEALKSSRLPGSQIVDSTSGQYVGSSQAVSVPKDSKAGAAQNEIPKFDPFSSSRPPVGPSIAGHEYYQGAATHRGSQSFDHGSPSSLDTRSANSQSQDKQMNQNDSKKGAAKRKRGDSSSPLEPNFDESLNAVVDPRKGKMNKAETSGPANYNMVPSSGQMEHFPSLPGNMRSMHRGRQDGQNVTENLVDSTNISNMMSRGPSSKYPEEVEVSSAQNVPRQQQGGLPGAHEIFSSRGKAGLPFDRSQLHRFSPNVSGNITAEIASQQLMHASLMPGSFGKVQGGLSAPSNYHAGELAYSGSGQFSGSENQKHGLSKSSVASPDGSSSTLSAGKVLEHDGGSSNMLGDVNKIAQAGRQNSASEMIMLRAMAPRDTGKSPVSQSAALSSMPFKEHQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNIFPREDGVRKELNDHRGKAQTSSDPGSISEVAMSFGRMNNVPPALTSIGRFPEADSLSKEAEKLKVEETNGPTSDLLAIVEEREHILATRKAEADLQSHEAVEPQAYLPTMSRQPESATTKDGFTVHNNLDGMENGHLQVAKADLASSMMGANKQVNPEMIGWSGIGFHNEVSRASLPAAAIQHDLVLERKDTGPLFQSLEQDEDKSVSTDSLPSPKYTMLEKWIMDQQKRKFLAEQKWVAKQQETRQRIITCFTKLKGNVSSSEDISAKTKSVIELKKLQLLELQRRLRSDFLNDFFKPITNDMERLKSYKKHRHGRRIKQLEKYEQRMKEERQKRIRERQKEFFSEIEVHKERLDDVFKVRRERWKGINRYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLRDAKAMASRFENNMDEIRTTSFDENDTAIESEDEAKHYMESNEKYYLMAHSIKENISEQPRFLKGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEINFWAPEIHSIVYAGPPEERRRLFKERIVHQKFNILLTTYEYLMNKHDRPKLSKIYWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGAIGNSKARSVHNSVMELRNICNHPYLSQLHVEEVDNLIPQHYLPPIIRLCGKLEMLDRILPKLKATDHRVLFFSTMTRLLDVMEDYLTFKQYRYLRLDGHTSGNDRGALIEKFNQQGSTFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKDVLVLRFETVQTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPALHDDALNDLLARSESEIDVFESVDKQRQEEETAKWKKLVFGSGMDGSKPLPPLPSRLVTDDDLKDFYEAMKLYDIQKSGAQPNVGVKRKGESLGALDTQHYGRGKRAREVRSYEEQWTEEEFEKMCQVDSPGSSGLREEAVERNLAKNALAGTVNSTEPHAPAHAPAPAPALTPPLPQPVQVELAHQPQQQSKDVTPPSKRGRGRPRRATAEKSPNTPVFPAPYGTGKLDVGLQKAADDSSSAFPAPDSHSSTGVSLNLPPSAPSVSAIPDDSTPPGFSPPVESKGQGRRAQSGGQAPSRRGKKQEPALGPAVEALVGPVPETNDQSLIKSVIPPDSIAVATSGTVPGVSSAPMAVGTNPVPISAGMDCTTGTNHPSDVGFSLNSQTLNTSSGAPIAQSTLPCPTVPVQVKGQGRKAQSGVGTPRRRGKKQAQISAAPLDASAGQDSKLNPQAQDKSTVALPNKVIVMGGNQVNDACDPTKVTQEHGLVTNAVITGQDKHSSEHDNLPQSKKPEVSQEVHNSTALILGPALGKIQKDDVHEKASMISGVSSECSSQKATSSEVCGNLGGAVAVTPGQTSVEVVKNQNSEDRVHSTFSIGKPVSLVSVATTDSLHTSTPLAGANKTIPSSSEKIAPSSEPYPTCALAASEPHSVPACPAESVQSRRPSRKATNRAEAPRRRGRKPATPDASSGQDLKVHASEIHSPGASVGHDLKRKVTGAIPAFSRIPTADVNDVARVMKEIFSETCSSKTKIGEPAGSEGKNTPTASKTFEEVVKNQSLDGKPAVSTPAPVKTAPACDVPKEKSKKHSETEADTKELEDNASLVIKVPVLERANSLKPECKTHSGSDNVAKSIQISNENLVTDSNVQVNSTHPHSADDVKDAAQGAPAPTGVQTGSRNGLNDIPIKTSVSDQSVVSLSDLSFDKSDVPSMVIRCSTESIVVKGPETLENSNKHQASSVVEDMTLTHEAATLDDAPVENRDVEGQSGGNEAKNPIPEPVLSSTTESADIELVPQDGGALLQSPVVRDKEGDVAETRHMVVDPCETELSSLKDFTVESASWDSASEVDGGKQLSVGVQTTKIDNVEVCDPEVKPSETQSSEPAKTPFEIAVPVSDSFQDKNSERSGTDADAKESAEKSPLVVMTSMTESVCPVVQCQAATGPENLSVPRQLSREISMTQSSMEVDCKDNHSAIEIDCESTIHSTKASDACNGSRVVPPTSVVMEPKVASSEDKEKPSLESSYSASLDVSSSRAHVASESSGVTAVVPLSSDHSVARSLPDQIAIPSECDMEPSAIESESSFNVESAEAALNATKLLDVTDHPEESLPITACPDKSGTVDRPVMVEKFSECELEPSSDKLGSVEAPAMVENNSELEAGTPLKSHPEPSVLDVENLQSAAMSTKPDVDDAPLVTSNISPSPVCSVMVELPAITENELGKETKPFSGNENITPSPVHLFASDSTNRELTPTDDELQQSSAAERVDAKSVDVSNEVEPTAQPASSQDFAAEASNEDFAPENHGEAKVSPGIKSVGDGHVERDVDPLELEASVDEDIAAVPSSMELVPGDQSEVHVQAGVAGCEGDDGIQGRDMEVDPLETLATSSEVAAKDPPMGEHVQNDQSQELLGTEKTEADQLEASIIEPNSSEAQKSLPQSGNTDDEEQLAQRPEVDLVEACNEESNLAEGPSSAQAISDESIRPENDPGLTHASSLQSEKSEVHQVETCNMESNPTGPSSSQVISNESTNPELTQNELQSEDPAEASQSPKTETVDVSDMDIHLKETKDPSPELEDDAKVSVQPNVVLDMEIDTEQTNIPSQSTDGTIA >KJB72561 pep chromosome:Graimondii2_0_v6:11:43975253:43992263:-1 gene:B456_011G185100 transcript:KJB72561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHNVELEAAKFLHKLIQDSTDEPSKLATKLYVILQHMKSSGKEHSMPFQVISRAMETVIKRHGLDLEALKSSRLPGSQIVDSTSGQYVGSSQAVSVPKDSKAGAAQNEIPKFDPFSSSRPPVGPSIAGHEYYQGAATHRGSQSFDHGSPSSLDTRSANSQSQDKQMNQNDSKKGAAKRKRGDSSSPLEPNFDESLNAVVDPRKGKMNKAETSGPANYNMVPSSGQMEHFPSLPGNMRSMHRGRQDGQNVTENLVDSTNISNMMSRGPSSKYPEEVEVSSAQNVPRQQQGGLPGAHEIFSSRGKAGLPFDRSQLHRFSPNVSGNITAEIASQQLMHASLMPGSFGKVQGGLSAPSNYHAGELAYSGSGQFSGSENQKHGLSKSSVASPDGSSSTLSAGKVLEHDGGSSNMLGDVNKIAQAGRQNSASEMIMLRAMAPRDTGKSPVSQSAALSSMPFKEHQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNIFPREVGNTDGVRKELNDHRGKAQTSSDPGSISEVAMSFGRMNNVPPALTSIGRFPEADSLSKEAEKLKVEETNGPTSDLLAIVEEREHILATRKAEADLQSHEAVEPQAYLPTMSRQPESATTKDGFTVHNNLDGMENGHLQVAKADLASSMMGANKQVNPEMIGWSGIGFHNEVSRASLPAAAIQHDLVLERKDTGPLFQSLEQDEDKSVSTDSLPSPKYTMLEKWIMDQQKRKFLAEQKWVAKQQETRQRIITCFTKLKGNVSSSEDISAKTKSVIELKKLQLLELQRRLRSDFLNDFFKPITNDMERLKSYKKHRHGRRIKQLEKYEQRMKEERQKRIRERQKEFFSEIEVHKERLDDVFKVRRERWKGINRYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLRDAKAMASRFENNMDEIRTTSFDENDTAIESEDEAKHYMESNEKYYLMAHSIKENISEQPRFLKGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEINFWAPEIHSIVYAGPPEERRRLFKERIVHQKFNILLTTYEYLMNKHDRPKLSKIYWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGAIGNSKARSVHNSVMELRNICNHPYLSQLHVEEVDNLIPQHYLPPIIRLCGKLEMLDRILPKLKATDHRVLFFSTMTRLLDVMEDYLTFKQYRYLRLDGHTSGNDRGALIEKFNQQGSTFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKDVLVLRFETVQTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPALHDDALNDLLARSESEIDVFESVDKQRQEEETAKWKKLVFGSGMDGSKPLPPLPSRLVTDDDLKDFYEAMKLYDIQKSGAQPNVGVKRKGESLGALDTQHYGRGKRAREVRSYEEQWTEEEFEKMCQVDSPGSSGLREEAVERNLAKNALAGTVNSTEPHAPAHAPAPAPALTPPLPQPVQVELAHQPQQQSKDVTPPSKRGRGRPRRATAEKSPNTPVFPAPYGTGKLDVGLQKAADDSSSAFPAPDSHSSTGVSLNLPPSAPSVSAIPDDSTPPGFSPPVESKGQGRRAQSGGQAPSRRGKKQEPALGPAVEALVGPVPETNDQSLIKSVIPPDSIAVATSGTVPGVSSAPMAVGTNPVPISAGMDCTTGTNHPSDVGFSLNSQTLNTSSGAPIAQSTLPCPTVPVQVKGQGRKAQSGVGTPRRRGKKQAQISAAPLDASAGQDSKLNPQAQDKSTVALPNKVIVMGGNQVNDACDPTKVTQEHGLVTNAVITGQDKHSSEHDNLPQSKKPEVSQEVHNSTALILGPALGKIQKDDVHEKASMISGVSSECSSQKATSSEVCGNLGGAVAVTPGQTSVEVVKNQNSEDRVHSTFSIGKPVSLVSVATTDSLHTSTPLAGANKTIPSSSEKIAPSSEPYPTCALAASEPHSVPACPAESVQSRRPSRKATNRAEAPRRRGRKPATPDASSGQDLKVHASEIHSPGASVGHDLKRKVTGAIPAFSRIPTADVNDVARVMKEIFSETCSSKTKIGEPAGSEGKNTPTASKTFEEVVKNQSLDGKPAVSTPAPVKTAPACDVPKEKSKKHSETEADTKELEDNASLVIKVPVLERANSLKPECKTHSGSDNVAKSIQISNENLVTDSNVQVNSTHPHSADDVKDAAQGAPAPTGVQTGSRNGLNDIPIKTSVSDQSVVSLSDLSFDKSDVPSMVIRCSTESIVVKGPETLENSNKHQASSVVEDMTLTHEAATLDDAPVENRDVEGQSGGNEAKNPIPEPVLSSTTESADIELVPQDGGALLQSPVVRDKEGDVAETRHMVVDPCETELSSLKDFTVESASWDSASEVDGGKQLSVGVQTTKIDNVEVCDPEVKPSETQSSEPAKTPFEIAVPVSDSFQDKNSERSGTDADAKESAEKSPLVVMTSMTESVCPVVQCQAATGPENLSVPRQLSREISMTQSSMEVDCKDNHSAIEIDCESTIHSTKASDACNGSRVVPPTSVVMEPKVASSEDKEKPSLESSYSASLDVSSSRAHVASESSGVTAVVPLSSDHSVARSLPDQIAIPSECDMEPSAIESESSFNVESAEAALNATKLLDVTDHPEESLPITACPDKSGTVDRPVMVEKFSECELEPSSDKLGSVEAPAMVENNSELEAGTPLKSHPEPSVLDVENLQSAAMSTKPDVDDAPLVTSNISPSPVCSVMVELPAITENELGKETKPFSGNENITPSPVHLFASDSTNRELTPTDDELQQSSAAERVDAKSVDVSNEVEPTAQPASSQDFAAEASNEDFAPENHGEAKVSPGIKSVGDGHVERDVDPLELEASVDEDIAAVPSSMELVPGDQSEVHVQAGVAGCEGDDGIQGRDMEVDPLETLATSSEVAAKDPPMGEHVQNDQSQELLGTEKTEADQLEASIIEPNSSEAQKSLPQSGNTDDEEQLAQRPEVDLVEACNEESNLAEGPSSAQAISDESIRPENDPGLTHASSLQSEKSEVHQVETCNMESNPTGPSSSQVISNESTNPELTQNELQSEDPAEASQSPKTETVDVSDMDIHLKETKDPSPELEDDAKVSVQPNVVLDMEIDTEQTNIPSQSTDGTIA >KJB72564 pep chromosome:Graimondii2_0_v6:11:43975253:43992263:-1 gene:B456_011G185100 transcript:KJB72564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHNVELEAAKFLHKLIQDSTDEPSKLATKLYVILQHMKSSGKEHSMPFQVISRAMETVIKRHGLDLEALKSSRLPGSQIVDSTSGQYVGSSQAVSVPKDSKAGAAQNEIPKFDPFSSSRPPVGPSIAGHEYYQGAATHRGSQSFDHGSPSSLDTRSANSQSQDKQMNQNDSKKGAAKRKRGDSSSPLEPNFDESLNAVVDPRKGKMNKAETSGPANYNMVPSSGQMEHFPSLPGNMRSMHRGRQDGQNVTENLVDSTNISNMMSRGPSSKYPEEVEVSSAQNVPRQQQGGLPGAHEIFSSRGKAGLPFDRSQLHRFSPNVSGNITAEIASQQLMHASLMPGSFGKVQGGLSAPSNYHAGELAYSGSGQFSGSENQKHGLSKSSVASPDGSSSTLSAGKVLEHDGGSSNMLGDVNKIAQAGRQNSASEMIMLRAMAPRDTGKSPVSQSAALSSMPFKEHQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNIFPREVGNTDGVRKELNDHRGKAQTSSDPGSISEVAMSFGRMNNVPPALTSIGRFPEADSLSKEAEKLKVEETNGPTSDLLAIVEEREHILATRKAEADLQSHEAVEPQAYLPTMSRQPESATTKDGFTVHNNLDGMENGHLQVAKADLASSMMGANKQVNPEMIGWSGIGFHNEVSRASLPAAAIQHDLVLERKDTGPLFQSLEQDEDKSVSTDSLPSPKYTMLEKWIMDQQKRKFLAEQKWVAKQQETRQRIITCFTKLKGNVSSSEDISAKTKSVIELKKLQLLELQRRLRSDFLNDFFKPITNDMERLKSYKKHRHGRRIKQLEKYEQRMKEERQKRIRERQKEFFSEIEVHKERLDDVFKVRRERWKGINRYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLRDAKAMASRFENNMDEIRTTSFDENDTAIESEDEAKHYMESNEKYYLMAHSIKENISEQPRFLKGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEINFWAPEIHSIVYAGPPEERRRLFKERIVHQKFNILLTTYEYLMNKHDRPKLSKIYWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGAIGNSKARSVHNSVMELRNICNHPYLSQLHVEEVDNLIPQHYLPPIIRLCGKLEMLDRILPKLKATDHRVLFFSTMTRLLDVMEDYLTFKQYRYLRLDGHTSGNDRGALIEKFNQQGSTFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKDVLVLRFETVQTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPALHDDALNDLLARSESEIDVFESVDKQRQEEETAKWKKLVFGSGMDGSKPLPPLPSRLVTDDDLKDFYEAMKLYDIQKSGAQPNVGVKRKGESLGALDTQHYGRGKRAREVRSYEEQWTEEEFEKMCQVDSPGSSGLREEAVERNLAKNALAGTVNSTEPHAPAHAPAPAPALTPPLPQPVQVELAHQPQQQSKDVTPPSKRGRGRPRRATAEKSPNTPVFPAPYGTGKLDVGLQKAADDSSSAFPAPDSHSSTGVSLNLPPSAPSVSAIPDDSTPPGFSPPVESKGQGRRAQSGGQAPSRRGKKQEPALGPAVEALVGPVPETNDQSLIKSVIPPDSIAVATSGTVPGVSSAPMAVGTNPVPISAGMDCTTGTNHPSDVGFSLNSQTLNTSSGAPIAQSTLPCPTVPVQVKGQGRKAQSGVGTPRRRGKKQAQISAAPLDASAGQDSKLNPQAQDKSTVALPNKVIVMGGNQVNDACDPTKVTQEHGLVTNAVITGQDKHSSEHDNLPQSKKPEVSQEVHNSTALILGPALGKIQKDDVHEKASMISGVSSECSSQKATSSEVCGNLGGAVAVTPGQTSVEVVKNQNSEDRVHSTFSIGKPVSLVSVATTDSLHTSTPLAGANKTIPSSSEKIAPSSEPYPTCALAASEPHSVPACPAESVQSRRPSRKATNRAEAPRRRGRKPATPDASSGQDLKVNSQPLNISKDLLVNKTTAGNNNQDSGPHELVNVTQVHASEIHSPGASVGHDLKRKVTGAIPAFSRIPTADVNDVARVMKEIFSETCSSKTKIGEPAGSEGKNTPTASKTFEEVVKNQSLDGKPAVSTPAPVKTAPACDVPKEKSKKHSETEADTKELEDNASLVIKVPVLERANSLKPECKTHSGSDNVAKSIQISNENLVTDSNVQVNSTHPHSADDVKDAAQGAPAPTGVQTGSRNGLNDIPIKTSVSDQSVVSLSDLSFDKSDVPSMVIRCSTESIVVKGPETLENSNKHQASSVVEDMTLTHEAATLDDAPVENRDVEGQSGGNEAKNPIPEPVLSSTTESADIELVPQDGGALLQSPVVRDKEGDVAETRHMVVDPCETELSSLKDFTVESASWDSASEVDGGKQLSVGVQTTKIDNVEVCDPEVKPSETQSSEPAKTPFEIAVPVSDSFQDKNSERSGTDADAKESAEKSPLVVMTSMTESVCPVVQCQAATGPENLSVPRQLSREISMTQSSMEVDCKDNHSAIEIDCESTIHSTKASDACNGSRVVPPTSVVMEPKVASSEDKEKPSLESSYSASLDVSSSRAHVASESSGVTAVVPLSSDHSVARSLPDQIAIPSECDMEPSAIESESSFNVESAEAALNATKLLDVTDHPEESLPITACPDKSGTVDRPVMVEKFSECELEPSSDKLGSVEAPAMVENNSELEAGTPLKSHPEPSVLDVENLQSAAMSTKPDVDDAPLVTSNISPSPVCSVMVELPAITENELGKETKPFSGNENITPSPVHLFASDSTNRELTPTDDELQQSSAAERVDAKSVDVSNEVEPTAQPASSQDFAAEASNEDFAPENHGEAKVSPGIKSVGDGHVERDVDPLELEASVDEDIAAVPSSMELVPGDQSEVHVQAGVAGCEGDDGIQGRDMEVDPLETLATSSEVAAKDPPMGEHVQNDQSQELLGTEKTEADQLEASIIEPNSSEAQKSLPQSGNTDDEEQLAQRPEVDLVEACNEESNLAEGPSSAQAISDESIRPENDPGLTHASSLQSEKSEVHQVETCNMESNPTGPSSSQVISNESTNPELTQNELQSEDPAEASQSPKTETVDVSDMDIHLKETKDPSPELEDDAKVSVQPNVVLDMEIDTEQTNIPSQSTDGTIA >KJB68800 pep chromosome:Graimondii2_0_v6:11:21006725:21007382:-1 gene:B456_011G1370001 transcript:KJB68800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGETASSSGIILEGIDDVEDYVS >KJB68798 pep chromosome:Graimondii2_0_v6:11:21006725:21007418:-1 gene:B456_011G1370001 transcript:KJB68798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGETASSSGIILEGIDDVEDYVS >KJB68799 pep chromosome:Graimondii2_0_v6:11:21006725:21006796:-1 gene:B456_011G1370001 transcript:KJB68799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWRTTF >KJB68750 pep chromosome:Graimondii2_0_v6:11:62632660:62643686:1 gene:B456_011G2960002 transcript:KJB68750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYHRMRGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRECFTLDEQLSRAVIEAFIRLHEKGLIYQGSYMVNWSPKLQTAVSDLEVEYSEEPGTLYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGKMAIVPMTYGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYVLARRLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSDLEETDLAVKMEPHSLRVPRSQRGGEVIEPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALMKARDKYGKEVEVYQDPDVLDTWFSSALWPFSTLGWPDELAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKLWNAGKFVLQVLPNRDNVSGWQNIEACKFNTEGYLLRLPLPECWVVSKLHMLIDAVTESYNKFFFGDVGREIYDFFWGDFADWYIEASKARIYHSGDDSVALVAQTVLLYVFENILKLLHPFMPFVTEELWQALPNRREALIISSWPQTALPRSTDLVKRFENLQALTRAIRNARAEYSVEPAKRITASIVGSEEVIQYISEEKEVLALLSKLDLGNIHFADSPPG >KJB68753 pep chromosome:Graimondii2_0_v6:11:62631768:62643766:1 gene:B456_011G2960002 transcript:KJB68753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNWSPKLQTAVSDLEVEYSEEPGTLYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGKMAIVPMTYGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYVLARRLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSDLEETDLAVKMEPHSLRVPRSQRGGEVIEPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALMKARDKYGKEVEVYQDPDVLDTWFSSALWPFSTLGWPDELAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKLWNAGKFVLQVLPNRDNVSGWQNIEACKFNTEGYLLRLPLPECWVVSKLHMLIDAVTESYNKFFFGDVGREIYDFFWGDFADWYIEASKARIYHSGDDSVALVAQTVLLYVFENILKLLHPFMPFVTEELWQALPNRREALIISSWPQTALPRSTDLVKRFENLQALEEKEVLALLSKLDLGNIHFADSPPGMFTLNHICFGSRTKKCFAYSFH >KJB68744 pep chromosome:Graimondii2_0_v6:11:62630993:62643766:1 gene:B456_011G2960002 transcript:KJB68744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHQIAVSHSFLLSSRSAYTLNPLLFSKRNRFRISLSHSRFTSIKHRSFAVAVSDNGIFTSPELAKSFDFTSEERIYNWWLSQGYFRPKFDWESDPFVISMPPPNVTGSLHMGHAMFVTLEDIMVRYHRMRGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRECFTLDEQLSRAVIEAFIRLHEKGLIYQGSYMVNWSPKLQTAVSDLEVEYSEEPGTLYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGKMAIVPMTYGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYVLARRLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSDLEETDLAVKMEPHSLRVPRSQRGGEVIEPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALMKARDKYGKEVEVYQDPDVLDTWFSSALWPFSTLGWPDELAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKLWNAGKFVLQVLPNRDNVSGWQNIEACKFNTEGYLLRLPLPECWVVY >KJB68747 pep chromosome:Graimondii2_0_v6:11:62630993:62643766:1 gene:B456_011G2960002 transcript:KJB68747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHQIAVSHSFLLSSRSAYTLNPLLFSKRNRFRISLSHSRFTSIKHRSFAVAVSDNGIFTSPELAKSFDFTSEERIYNWWLSQGYFRPKFDWESDPFVISMPPPNVTGSLHMGHAMFVTLEDIMVRYHRMRGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRECFTLDEQLSRAVIEAFIRLHEKGLIYQGSYMVNWSPKLQTAVSDLEVEYSEEPGTLYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGKMAIVPMTYGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYVLARRLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSDLEETDLAVKMEPHSLRVPRSQRGGEVIEPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALMKARDKYGKEVEVYQDPDVLDTWFSSALWPFSTLGWPDELAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKLWNAGKFVLQVLPNRDNVSGWQNIEACKFNTEGYLLRLPLPECWVVSKLHMLIDAVTESYNKFFFGDVGREIYDFFWGDFADWYIEASKARIYHSGDDSVALVAQTVLLYVFENILKLLHPFMPFVTEELWQALPNRREALIISSWPQTALPRSTDLVKRFENLQALEEKEVLALLSKLDLGNIHFADSPPGMFTLNHICFGSRTKKCFAYSFH >KJB68754 pep chromosome:Graimondii2_0_v6:11:62631768:62643766:1 gene:B456_011G2960002 transcript:KJB68754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNWSPKLQTAVSDLEVEYSEEPGTLYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGKMAIVPMTYGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYVLARRLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSDLEETDLAVKMEPHSLRVPRSQRGGEVIEPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALMKARDKYGKEVEVYQDPDVLDTWFSSALWPFSTLGWPDELAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKLWNAGKFVLQVLPNRDNVSGWQNIEACKFNTEGYLLRLPLPECWVVSKLHMLIDAVTESYNKFFFGDVGREIYDFFWGDFADWYIEASKARIYHSGDDSVALVAQTVLLYVFENILKLLHPFMPFVTEELWQALPNRREALIISSWPQTALPRSTDLVKRFENLQALTRAIRNARAEYSVEPAKRITASIVGSEEVIQYISEEKEVLALLSKLDLGNIHFADSPPGMFTLNHICFGSRTKKCFAYSFH >KJB68745 pep chromosome:Graimondii2_0_v6:11:62630993:62643766:1 gene:B456_011G2960002 transcript:KJB68745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHQIAVSHSFLLSSRSAYTLNPLLFSKRNRFRISLSHSRFTSIKHRSFAVAVSDNGIFTSPELAKSFDFTSEERIYNWWLSQGYFRPKFDWESDPFVISMPPPNVTGSLHMGHAMFVTLEDIMVRYHRMRGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRECFTLDEQLSRAVIEAFIRLHEKGLIYQGSYMVNWSPKLQTAVSDLEVEYSEEPGTLYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGKMAIVPMTYGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYVLARRLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSDLEETDLAVKMEPHSLRVPRSQRGGEVIEPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALMKARDKYGKEVEVYQDPDVLDTWFSSALWPFSTLGWPDELAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKLWNAGKFVLQVLPNRDNVSGWQNIEACKFNTEGYLLRLPLPECWVVSKLHMLIDAVTESYNKFFFGDVGREIYDFFWGDFADWHFPIGEKLL >KJB68751 pep chromosome:Graimondii2_0_v6:11:62630998:62643686:1 gene:B456_011G2960002 transcript:KJB68751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHQIAVSHSFLLSSRSAYTLNPLLFSKRNRFRISLSHSRFTSIKHRSFAVAVSDNGIFTSPELAKSFDFTSEERIYNWWLSQGYFRPKFDWESDPFVISMPPPNVTGSLHMGHAMFVTLEDIMVRYHRMRGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRECFTLDEQLSRAVIEAFIRLHEKGLIYQGSYMVNWSPKLQTAVSDLEVEYSEEPGTLYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGKMAIVPMTYGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYVLARRLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSDLEETDLAVKMEPHSLRVPRSQRGGEVIEPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALMKARDKYGKEVEVYQDPDVLDTWFSSALWPFSTLGWPDELAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKLWNAGKFVLQVLPNRDNVSGWQNIEACKFNTEGYLLRLPLPECWVVSKLHMLIDAVTESYNKFFFGDVGREIYDFFWGDFADWYIEASKARIYHSGDDSVALVAQTVLLYVFENILKLLHPFMPFVTEELWQALPNRREALIISSWPQTALPRSTDLVKRFENLQALTRAIRNARAEYSVEPAKRITASIVGSEEVIQYISEEKEVLALLSKLDLGNIHFADSPPG >KJB68742 pep chromosome:Graimondii2_0_v6:11:62630304:62643686:1 gene:B456_011G2960002 transcript:KJB68742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYHRMRGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRECFTLDEQLSRAVIEAFIRLHEKGLIYQGSYMVNWSPKLQTAVSDLEVEYSEEPGTLYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGKMAIVPMTYGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYVLARRLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSDLEETDLAVKMEPHSLRVPRSQRGGEVIEPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALMKARDKYGKEVEVYQDPDVLDTWFSSALWPFSTLGWPDELAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKLWNAGKFVLQVLPNRDNVSGWQNIEACKFNTEGYLLRLPLPECWVVSKLHMLIDAVTESYNKFFFGDVGREIYDFFWGDFADWYIEASKARIYHSGDDSVALVAQTVLLYVFENILKLLHPFMPFVTEELWQALPNRREALIISSWPQTALPRSTDLVKRFENLQALTRAIRNARAEYSVEPAKRITASIVGSEEVIQYISEEKEVLALLSKLDLGNIHFADSPPG >KJB68743 pep chromosome:Graimondii2_0_v6:11:62630993:62643766:1 gene:B456_011G2960002 transcript:KJB68743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHQIAVSHSFLLSSRSAYTLNPLLFSKRNRFRISLSHSRFTSIKHRSFAVAVSDNGIFTSPELAKSFDFTSEERIYNWWLSQGYFRPKFDWESDPFVISMPPPNVTGSLHMGHAMFVTLEDIMVRYHRMRGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRECFTLDEQLSRAVIEAFIRLHEKGLIYQGSYMVNWSPKLQTAVSDLEVEYSEEPGTLYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGKMAIVPMTYGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYVLARRLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSDLEETDLAVKMEPHSLRVPRSQRGGEVIEPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALMKARDKYGKEVEVYQDPDVLDTWFSSALWPFSTLGWPDELAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKLWNAGKFVLQVLPNRDNVSGWQNIEACKFNTEGYLLRLPLPECWVVY >KJB68746 pep chromosome:Graimondii2_0_v6:11:62630993:62643766:1 gene:B456_011G2960002 transcript:KJB68746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHQIAVSHSFLLSSRSAYTLNPLLFSKRNRFRISLSHSRFTSIKHRSFAVAVSDNGIFTSPELAKSFDFTSEERIYNWWLSQGYFRPKFDWESDPFVISMPPPNVTGSLHMGHAMFVTLEDIMVRYHRMRGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRECFTLDEQLSRAVIEAFIRLHEKGLIYQGSYMVNWSPKLQTAVSDLEVEYSEEPGTLYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGKMAIVPMTYGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYVLARRLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSDLEETDLAVKMEPHSLRVPRSQRGGEVIEPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALMKARDKYGKEVEVYQDPDVLDTWFSSALWPFSTLGWPDELAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKLWNAGKFVLQVLPNRDNVSGWQNIEACKFNTEGYLLRLPLPECWVVSKLHMLIDAVTESYNKFFFGDVGREIYDFFWGDFADWYIEASKARIYHSGDDSVALVAQTVLLYVFENILKLLHPFMPFVTEELWQALPNRREALIISSWPQTALPRSTDLVKRFENLQALTRAIRNARAEYSVEPAKRITASIVGSEEVIQYISEEKEVLALLSKLDLGNIHFADSPPGMFTLNHICFGSRTKKCFAYSFH >KJB68748 pep chromosome:Graimondii2_0_v6:11:62630993:62643766:1 gene:B456_011G2960002 transcript:KJB68748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHQIAVSHSFLLSSRSAYTLNPLLFSKRNRFRISLSHSRFTSIKHRSFAVAVSDNGIFTSPELAKSFDFTSEERIYNWWLSQGYFRPKFDWESDPFVISMPPPNVTGSLHMGHAMFVTLEDIMVRYHRMRGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRECFTLDEQLSRAVIEAFIRLHEKGLIYQGSYMVNWSPKLQTAVSDLEVEYSEEPGTLYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGKMAIVPMTYGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYVLARRLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSDLEETDLAVKMEPHSLRVPRSQRGGEVIEPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALMKARDKYGKEVEVYQDPDVLDTWFSSALWPFSTLGWPDELAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKLWNAGKFVLQVLPNRDNVSGWQNIEACKFNTEGYLLRLPLPECWVVSKLHMLIDAVTESYNKFFFGDVGREIYDFFWGDFADWYIEASKARIYHSGDDSVALVAQTVLLYVFENILKLLHPFMPFVTEELWQEEKEVLALLSKLDLGNIHFADSPPGMFTLNHICFGSRTKKCFAYSFH >KJB68752 pep chromosome:Graimondii2_0_v6:11:62631768:62643711:1 gene:B456_011G2960002 transcript:KJB68752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNWSPKLQTAVSDLEVEYSEEPGTLYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGKMAIVPMTYGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYVLARRLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSDLEETDLAVKMEPHSLRVPRSQRGGEVIEPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALMKARDKYGKEVEVYQDPDVLDTWFSSALWPFSTLGWPDELAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKLWNAGKFVLQVLPNRDNVSGWQNIEACKFNTEGYLLRLPLPECWVVY >KJB68749 pep chromosome:Graimondii2_0_v6:11:62630998:62642956:1 gene:B456_011G2960002 transcript:KJB68749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHQIAVSHSFLLSSRSAYTLNPLLFSKRNRFRISLSHSRFTSIKHRSFAVAVSDNGIFTSPELAKSFDFTSEERIYNWWLSQGYFRPKFDWESDPFVISMPPPNVTGSLHMGHAMFVTLEDIMVRYHRMRGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRECFTLDEQLSRAVIEAFIRLHEKGLIYQGSYMVNWSPKLQTAVSDLEVEYSEEPGTLYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGKMAIVPMTYGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYVLARRLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSDLEETDLAVKMEPHSLRVPRSQRGGEVIEPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALMKARDKYGKEVEVYQDPDVLDTWFSSALWPFSTLGWPDELAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKLWNAGKFVLQVLPNRDNVSGWQNIEACKFNTEGYLLRLPLPECWVVSKLHMLIDAVTESYNKFFFGDVGREIYDFFWGDFADWYIEASKARIYHSGDDSVALVAQTVLLYVFENILKLLHPFMPFVTEELWQVWAL >KJB68755 pep chromosome:Graimondii2_0_v6:11:62630998:62643686:1 gene:B456_011G2960002 transcript:KJB68755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYHRMRGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRECFTLDEQLSRAVIEAFIRLHEKGLIYQGSYMVNWSPKLQTAVSDLEVEYSEEPGTLYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGKMAIVPMTYGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYVLARRLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSDLEETDLAVKMEPHSLRVPRSQRGGEVIEPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALMKARDKYGKEVEVYQDPDVLDTWFSSALWPFSTLGWPDELAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKLWNAGKFVLQVLPNRDNVSGWQNIEACKFNTEGYLLRLPLPECWVVSKLHMLIDAVTESYNKFFFGDVGREIYDFFWGDFADWYIEASKARIYHSGDDSVALVAQTVLLYVFENILKLLHPFMPFVTEELWQALPNRREALIISSWPQTALPRSTDLVKRFENLQALTRAIRNARAEYSVEPAKRITASIVGSEEVIQYISEEKEVLALLSKLDLGNIHFADSPPG >KJB69308 pep chromosome:Graimondii2_0_v6:11:1090932:1094092:-1 gene:B456_011G015500 transcript:KJB69308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTNCFIFFLLLTSLYFALTRKTPPKKHPPGSMGFPLIGQTLSFLHAMRTNSIEQWLLQRTSKYGPVSKLSLFGTPTVFVHGQSANKFIFTCDGNVLGNHQPPSFKRICGERNMTALIGDDHKRVRGALVSFLKPEMLKQYVGKMDEEVRNHVEMHWHGNQKVMVMPLMKTLTFNIMSSLIFGIGKGETRNNLIELLQHMMNGLMSLPINLLFTRFNRSLKASAQLRAFIKNLISERKAALEQRVADTRKDLIACLLGIGKTEPSIRMSDEEIVDNVIGVMIAGYDTSSVLITFLVRLLGMDRSVYDKIVQGDLGRKHDTHGWENFLGPVEV >KJB69309 pep chromosome:Graimondii2_0_v6:11:1091020:1093994:-1 gene:B456_011G015500 transcript:KJB69309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTNCFIFFLLLTSLYFALTRKTPPKKHPPGSMGFPLIGQTLSFLHAMRTNSIEQWLLQRTSKYGPVSKLSLFGTPTVFVHGQSANKFIFTCDGNVLGNHQPPSFKRICGERNMTALIGDDHKRVRGALVSFLKPEMLKQYVGKMDEEVRNHVEMHWHGNQKVMVMPLMKTLTFNIMSSLIFGIGKGETRNNLIELLQHMMNGLMSLPINLLFTRFNRSLKASAQLRAFIKNLISERKAALEQRVADTRKDLIACLLGIGKTEPSIRMSDEEIVDNVIGVMIAGYDTSSVLITFLVRLLGMDRSVYDKIVQEQEKIAKTKTSELLSWDDLAKMKYTWRVAMETLRMNPPLLGSLRKVLKDFEYEGYTIPKGWQVIWAANMTHMDGRIFSDPSKFDPARFEKQASIPPYCFVAFGGGARICPGNEFARIETLVTIHYLVTRFKWKLCCLDNSFSRNPFPVFSDGMLIEIEPKDC >KJB71454 pep chromosome:Graimondii2_0_v6:11:17594360:17598057:1 gene:B456_011G124400 transcript:KJB71454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDCPDQSQPDPIENPNPPQESLPETLPSQDKLDDQEDLLEDEPNADYPDLSVPSSPPNTDLHVTTPTGSRRGGGPKRKKAATKRRAQEKKAQKKLEMLTEVFNPIPFLPNKTLDFSSHESLLKRLGLWDFVHLDFDGNLRADLIAQLIATYNPQSRGSYVNGYRIGVNRADLARALNLSVKKDKDKDSILDIEESKESVGFLEEFVSNWVLLHEDTWMMPAEVLNWTKMIKEGHFEKIDWAGLIWFMVEKELTSAPKLGNCYYASHMQCLIKYQKEELLLEKPEKDAYEAKEEEEEHNVPEDFKTSADLVDESHGGSQLEEHNIELSLGGQDNLMNKDDAEKEAAVGDEDAMDCEESKGDGPQDVQWNLDGDSYMDVGGENFLRPCNLGDVDMVEERKQEKGEEGEMEEGGGGNVEEQEDHEEQDVQDEQEEQHEEGFTISPKGDNLEAVHSANLLEGMETADVPFTTGLHIRDNSSGEFLVSRVDARTVPAVSSFLSNGNKREIGHENDISHNSLNLSNKRLRTDEQWDKSSDFDTCMEQMQHWMDKARMLYAAKDQACGDSSMHQQVLLHELQRRDTLIEHLQKAKFEEQQKRQMEVYRLERELYLMENLLDGYRKALKETNRTFAEYRARCPLPDEPLYKDVTGSGGLVLSTREIEKLRLKQEEEDRLNRLLIENKIKDFEAGWIRKFDAHKDAVSLLSDKLTNAENEVKLLKELCNRKVSAGTPECVPNESGMSSQ >KJB71453 pep chromosome:Graimondii2_0_v6:11:17594360:17597744:1 gene:B456_011G124400 transcript:KJB71453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDCPDQSQPDPIENPNPPQESLPETLPSQDKLDDQEDLLEDEPNADYPDLSVPSSPPNTDLHVTTPTGSRRGGGPKRKKAATKRRAQEKKAQKKLEMLTEVFNPIPFLPNKTLDFSSHESLLKRLGLWDFVHLDFDGNLRADLIAQLIATYNPQSRGSYVNGYRIGVNRADLARALNLSVKKDKDKDSILDIEESKESVGFLEEFVSNWVLLHEDTWMMPAEVLNWTKMIKEGHFEKIDWAGLIWFMVEKELTSAPKLGNCYYASHMQCLIKYQKEELLLEKPEKDAYEAKEEEEEHNVPEDFKTSADLVDESHGGSQLEEHNIELSLGGQDNLMNKDDGDGPQDVQWNLDGDSYMDVGGENFLRPCNLGDVDMVEERKQEKGEEGEMEEGGGGNVEEQEDHEEQDVQDEQEEQHEEGFTISPKGDNLEAVHSANLLEGMETADVPFTTGLHIRDNSSGEFLVSRVDARTVPAVSSFLSNGNKREIGHENDISHNSLNLSNKRLRTDEQWDKSSDFDTCMEQMQHWMDKARMLYAAKDQACGDSSMHQQVLLHELQRRDTLIEHLQKAKFEEQQKRQMEVYRLERELYLMENLLDGYRKALKETNRTFAEYRARCPLPDEPLYKDVTGSGGLVLSTREIEKLRLKQEEEDRLNRLLIENKIKDFEAGWIRKFDAHKDAVSLLSDKLTNAENEVKLLKELCNRKVSAGTPECVPNESGMSSQ >KJB71457 pep chromosome:Graimondii2_0_v6:11:17594360:17597744:1 gene:B456_011G124400 transcript:KJB71457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDCPDQSQPDPIENPNPPQESLPETLPSQDKLDDQEDLLEDEPNADYPDLSVPSSPPNTDLHVTTPTGSRRGGGPKRKKAATKRRAQEKKAQKKLEMLTEVFNPIPFLPNKTLDFSSHESLLKRLGLWDFVHLDFDGNLRADLIAQLIATYNPQSRGSYVNGYRIGVNRADLARALNLSVKKDKDKDSILDIEESKESVGFLEEFVSNWVLLHEDTWMMPAEVLNWTKMIKEGHFEKIDWAGLIWFMVEKELTSAPKLGNCYYASHMQCLIKYQKEELLLEKPEKDAYEAKEEEEEHNVPEDFKTSADLVDESHGGSQLEEHNIELSLGGQDNLMNKDDAEKEAAVGDEDAMDCEESKGDGPQDVQWNLDGDSYMDVGGENFLRPCNLGDVDMVEERKQEKGEEGEMEEGGGGNVEEQEDHEEQDVQDEQEEQHEEGFTISPKGDNLEAVHSANLLEGMETADVPFTTGLHIRDNSSGEFLVSRVDARTVPAVSSFLSNGNKREIGHENDISHNSLNLSNKRLRTDEQWDKSSDFDTCMEQMQHWMDKARMLYAAKDQACGDSSMHQQVLLHELQRRDTLIEHLQKAKFEEQQKRQMEVYRLERELYLMENLLDGYRKALKETNRTFAEYRARCPLPDEPLYKDVTGSGGLVLSTREIEKLRLKQEEEDRLNRLLIENKIKDFEAGWIRKFDAHKDAVSLLSDKLTNAENEVKLLKELCNRKVSAGTPECVPNESGMSSQ >KJB71459 pep chromosome:Graimondii2_0_v6:11:17594360:17597744:1 gene:B456_011G124400 transcript:KJB71459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDCPDQSQPDPIENPNPPQESLPETLPSQDKLDDQEDLLEDEPNADYPDLSVPSSPPNTDLHVTTPTGSRRGGGPKRKKAATKRRAQEKKAQKKLEMLTEVFNPIPFLPNKTLDFSSHESLLKRLGLWDFVHLDFDGNLRADLIAQLIATYNPQSRGSYVNGYRIGVNRADLARALNLSVKKDKDKDSILDIEESKESVGFLEEFVSNWVLLHEDTWMMPAEVLNWTKMIKEGHFEKIDWAGLIWFMVEKELTSAPKLGNCYYASHMQCLIKYQKEELLLEKPEKDAYEAKEEEEEHNVPEDFKTSADLVDESHGGSQLEEHNIELSLGGQDNLMNKDDAEKEAAVGDEDAMDCEESKGDGPQDVQWNLDGDSYMDVGGENFLRPCNLGDVDMVEERKQEKGEEGEMEEGGGGNVEEQEDHEEQDVQDEQEEQHEEGFTISPKGDNLEAVHSANLLEGMETADVPFTTGLHIRDNSSGEFLVSRVDARTVPAVSSFLSNGNKREIGHENDISHNSLNLSNKRLRTDEQWDKSSDFDTCMEQMQHWMDKARMLYAAKDQACGDSSMHQQVLLHELQRRDTLIEHLQKAKFEEQQKRQMEVYRLERELYLMENLLDGYRKALKETNRTFAEYRARCPLPDEPLYKDVTGSGGLVLSTREIEKLRLKQEEEDRLNRLLIENKIKDFEAGWIRKFDAHKDAVSLLSDKLTNAENEVKLLKELCNRKVSAGTPECVPNESGMSSQ >KJB71455 pep chromosome:Graimondii2_0_v6:11:17594360:17597744:1 gene:B456_011G124400 transcript:KJB71455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDCPDQSQPDPIENPNPPQESLPETLPSQDKLDDQEDLLEDEPNADYPDLSVPSSPPNTDLHVTTPTGSRRGGGPKRKKAATKRRAQEKKAQKKLEMLTEVFNPIPFLPNKTLDFSSHESLLKRLGLWDFVHLDFDGNLRADLIAQLIATYNPQSRGSYVNGYRIGVNRADLARALNLSVKKDKDKDSILDIEESKESVGFLEEFVSNWVLLHEDTWMMPAEVLNWTKMIKEGHFEKIDWAGLIWFMVEKELTSAPKLGNCYYASHMQCLIKYQKEELLLEKPEKDAYEAKEEEEEHNVPEDFKTSADLVDESHGGSQLEEHNIELSLGGQDNLMNKDDAEKEAAVGDEDAMDCEESKGDGPQDVQWNLDGDSYMDVGGENFLRPCNLGDVDMVEERKQEKGEEGEMEEGGGGNVEEQEDHEEQDVQDEQEEQHEEGFTISPKGDNLEAVHSANLLEGMETADVPFTTGLHIRDNSSGEFLVSRVDARTVPAVSSFLSNGNKREIGHENDISHNSLNLSNKRLRTDEQWDKSSDFDTCMEQMQHWMDKARMLYAAKDQACGDSSMHQQVLLHELQRRDTLIEHLQKAKFEEQQKRQMEVYRLERELYLMENLLDGYRKALKETNRTFAEYRARCPLPDEPLYKDVTGSGGLVLSTREIEKLRLKQEEEDRLNRLLIENKIKDFEAGWIRKFDAHKDAVSLLSDKLTNAENEVKLLKELCNRKVSAGTPECVPNESGMSSQ >KJB71458 pep chromosome:Graimondii2_0_v6:11:17594360:17599655:1 gene:B456_011G124400 transcript:KJB71458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSDCPDQSQPDPIENPNPPQESLPETLPSQDKLDDQEDLLEDEPNADYPDLSVPSSPPNTDLHVTTPTGSRRGGGPKRKKAATKRRAQEKKAQKKLEMLTEVFNPIPFLPNKTLDFSSHESLLKRLGLWDFVHLDFDGNLRADLIAQLIATYNPQSRGSYVNGYRIGVNRADLARALNLSVKKDKDKDSILDIEESKESVGFLEEFVSNWVLLHEDTWMMPAEVLNWTKMIKEGHFEKIDWAGLIWFMVEKELTSAPKLGNCYYASHMQCLIKYQKEELLLEKPEKDAYEAKEEEEEHNVPEDFKTSADLVDESHGGSQLEEHNIELSLGGQDNLMNKDDAEKEAAVGDEDAMDCEESKGDGPQDVQWNLDGDSYMDVGGENFLRPCNLGDVDMVEERKQEKGEEGEMEEGGGGNVEEQEDHEEQDVQDEQEEQHEEGFTISPKGDNLEAVHSANLLEGMETADVPFTTGLHIRDNSSGEFLVSRVDARTVPAVSSFLSNGNKREIGHENDISHNSLNLSNKRLRTDEQWDKSSDFDTCMEQMQHWMDKARMLYAAKDQACGDSSMHQQVLLHELQRRDTLIEHLQKAKFEEQQKRQMEVYRLERELYLMENLLDGYRKALKETNRTFAEYRARCPLPDEPLYKDVTGSGGLVLSTREIEKLRLKQEEEDRLNRLLIENKIKDFEAGWIRKFDAHKDAVSLLSDKLTNAENEVKLLKELCNRKVSAGTPECVPNESGMSSQ >KJB71456 pep chromosome:Graimondii2_0_v6:11:17594360:17597744:1 gene:B456_011G124400 transcript:KJB71456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKIYSKMNPTLTTRICLFLLLHPTPTSMSPPPLALAVAGVLNGRRPQPRDLLLEKPEKDAYEAKEEEEEHNVPEDFKTSADLVDESHGGSQLEEHNIELSLGGQDNLMNKDDAEKEAAVGDEDAMDCEESKGDGPQDVQWNLDGDSYMDVGGENFLRPCNLGDVDMVEERKQEKGEEGEMEEGGGGNVEEQEDHEEQDVQDEQEEQHEEGFTISPKGDNLEAVHSANLLEGMETADVPFTTGLHIRDNSSGEFLVSRVDARTVPAVSSFLSNGNKREIGHENDISHNSLNLSNKRLRTDEQWDKSSDFDTCMEQMQHWMDKARMLYAAKDQACGDSSMHQQVLLHELQRRDTLIEHLQKAKFEEQQKRQMEVYRLERELYLMENLLDGYRKALKETNRTFAEYRARCPLPDEPLYKDVTGSGGLVLSTREIEKLRLKQEEEDRLNRLLIENKIKDFEAGWIRKFDAHKDAVSLLSDKLTNAENEVKLLKELCNRKVSAGTPECVPNESGMSSQ >KJB71195 pep chromosome:Graimondii2_0_v6:11:12956928:12960581:-1 gene:B456_011G109500 transcript:KJB71195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASNWLSFSLSPMEMLRSSSEPQFVSYEGSPAASPHYLIDNFYANGWTNPKHQAFVQGEKNQSEEAQTAASMADESPIFTSFHYQAPKLEDFLGDSSSMVRYSDSQAETQEDSSLTQIYDPSVSTYFDHHQDLNQITGFQTFSTNSGSEVDESSSRGRTQLTGVELPGHSIESSGNNTTNNNKAIVSVDSDNKKIADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEEKAARAYDLAALKYWGPTATTNFPISDYSKELEEMKHATKQEFIASLRRKSSGFSRGASVYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGVNAVTNFEMSRYDVKAIAQSSLPIGGAAKRLKLSLESEPKPIVNQKQQPPPPRCSSNSNIISFAPMKQPVSAIPCGIPFDAAAATAAFYQQNIYQHLQTANTSVSEPPGSSSTTVMSQSAAEFFLWPHRSY >KJB68932 pep chromosome:Graimondii2_0_v6:11:7080143:7082679:-1 gene:B456_011G074300 transcript:KJB68932 gene_biotype:protein_coding transcript_biotype:protein_coding description:CURT1C [Source:Projected from Arabidopsis thaliana (AT1G52220) UniProtKB/TrEMBL;Acc:A0A178WBD4] MASLTAHLLPPLLLQDRKTLFGTFPKLPVSYTGGRRNCAVFVKATGDSSESSTSLSIVKSVRNVWDKSDEDRVGLIGLGFAAIVALWTSTNLISVIDKLPIIPNVLEIIGILFSLWFIYRYLLFKPDREELFQIINKSLSQIFG >KJB68930 pep chromosome:Graimondii2_0_v6:11:7080138:7082520:-1 gene:B456_011G074300 transcript:KJB68930 gene_biotype:protein_coding transcript_biotype:protein_coding description:CURT1C [Source:Projected from Arabidopsis thaliana (AT1G52220) UniProtKB/TrEMBL;Acc:A0A178WBD4] MASLTAHLLPPLLLQDRKTLFGTFPKLPVSYTGGRRNCAVFVKATGDSSESSTSLSIVKSVRNVWDKSDEDRVGLIGLGFAAIVALWTSTNLISVIDKLPIIPNVLEIIGILFSLWFIYRYLLFKPDREELFQIINKSLSQIFG >KJB68933 pep chromosome:Graimondii2_0_v6:11:7080156:7082587:-1 gene:B456_011G074300 transcript:KJB68933 gene_biotype:protein_coding transcript_biotype:protein_coding description:CURT1C [Source:Projected from Arabidopsis thaliana (AT1G52220) UniProtKB/TrEMBL;Acc:A0A178WBD4] MASLTAHLLPPLLLQDRKTLFGTFPKLPVSYTGGRRNCAVFVKATGDSSESSTSLSIVKSVRNVWDKSDEDRVGLIGLGFAAIVALWTSTNLISVIDKLPIIPNVLEIIGILFSLWFIYRYLLFKPDR >KJB68931 pep chromosome:Graimondii2_0_v6:11:7080066:7082587:-1 gene:B456_011G074300 transcript:KJB68931 gene_biotype:protein_coding transcript_biotype:protein_coding description:CURT1C [Source:Projected from Arabidopsis thaliana (AT1G52220) UniProtKB/TrEMBL;Acc:A0A178WBD4] MASLTAHLLPPLLLQDRKTLFGTFPKLPVSYTGGRRNCAVFVKATGDSSESSTSLSIVKSVRNVWDKSDEDRVGLIGLGFAAIVALWTSTNLISVIDKLPIIPNVLEIIGILFSLWFIYRYLLFKPDREELFQIINKSLSQIFG >KJB68929 pep chromosome:Graimondii2_0_v6:11:7080850:7082439:-1 gene:B456_011G074300 transcript:KJB68929 gene_biotype:protein_coding transcript_biotype:protein_coding description:CURT1C [Source:Projected from Arabidopsis thaliana (AT1G52220) UniProtKB/TrEMBL;Acc:A0A178WBD4] MASLTAHLLPPLLLQDRKTLFGTFPKLPVSYTGGRRNCAVFVKATGDSSESSTSLSIVKSVRNVWDKSDEDRVGLIGLGFAAIVALWTSTNLISVIDKLPIIPNVLEIIGILFSLVSWPSTSF >KJB71639 pep chromosome:Graimondii2_0_v6:11:20432237:20435240:-1 gene:B456_011G135300 transcript:KJB71639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSFRAWKGLVRLQGVVRGQNVKRQTSTAMKRMQLLVRIQSQIQSRRIQMLENQARQEVHFKNDKEVESTLGKLAFSQASEAGNEDWDDSVLPKEEIEARMQRKVEAVIKRERAMAYAYSHQLWKTTPKPVHADIRTRGFPWWWNWLERQLSSSNTPESQGIKNFPLTPPRPNSELKPSPKPPLSSKQHQFMFDNMDTPTPKSTRSTTRPMQTPPSRILQGSSSGLSKYSRPRASGADSPFDLPLKDDDSLTSCPPFSVPNYMTPTVSAKAKARANSNLKEMFMGTPGSESSKRRVSFPLTQGIGSFKWSKGSLFSGKDSSSQKGLDKNQSLQSIGNLSVDSTVSMPATVGRKPFNRFV >KJB71637 pep chromosome:Graimondii2_0_v6:11:20432439:20435482:-1 gene:B456_011G135300 transcript:KJB71637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWFSAIKRVFIPHSKEKLSNESDKRSGKEKKKKGLGKLRHGETNSFIPLFREPSSIEKILGEAEREHKLVFRPPTPPEQPTTPPFVPHKDASPRVPSQRIASPRIASPRAASPRTVSPPRAASPRAAPPKVVRPRPEPTLRNHHASATKIQAAYRGYMARRSFRAWKGLVRLQGVVRGQNVKRQTSTAMKRMQLLVRIQSQIQSRRIQMLENQARQEVHFKNDKEVESTLGKLAFSQASEAGNEDWDDSVLPKEEIEARMQRKVEAVIKRERAMAYAYSHQLWKTTPKPVHADIRTRGFPWWWNWLERQLSSSNTPESQGIKNFPLTPPRPNSELKPSPKPPLSSKQHQFMFDNMDTPTPKSTRSTTRPMQTPPSRILQGSSSGLSKYSRPRASGADSPFDLPLKDDDSLTSCPPFSVPNYMTPTVSAKAKARANSNLKEMFMGTPGSESSKRRVSFPLTQGIGSFKWSKGSLFSGKDSSSQKGLDKNQSLQSIGNLSVDSTVSMPATVGRKPFNRFV >KJB71640 pep chromosome:Graimondii2_0_v6:11:20432237:20437108:-1 gene:B456_011G135300 transcript:KJB71640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRKFKQPIEARRSFRAWKGLVRLQGVVRGQNVKRQTSTAMKRMQLLVRIQSQIQSRRIQMLENQARQEVHFKNDKEVESTLGKLAFSQASEAGNEDWDDSVLPKEEIEARMQRKVEAVIKRERAMAYAYSHQLWKTTPKPVHADIRTRGFPWWWNWLERQLSSSNTPESQGIKNFPLTPPRPNSELKPSPKPPLSSKQHQFMFDNMDTPTPKSTRSTTRPMQTPPSRILQGSSSGLSKYSRPRASGADSPFDLPLKDDDSLTSCPPFSVPNYMTPTVSAKAKARANSNLKEMFMGTPGSESSKRRVSFPLTQGIGSFKWSKGSLFSGKDSSSQKGLDKNQSLQSIGNLSVDSTVSMPATVGRKPFNRFV >KJB71638 pep chromosome:Graimondii2_0_v6:11:20432093:20437539:-1 gene:B456_011G135300 transcript:KJB71638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWFSAIKRVFIPHSKEKLSNESDKRSGKEKKKKGLGKLRHGETNSFIPLFREPSSIEKILGEAEREHKLVFRPPTPPEQPTTPPFVPHKDASPRVPSQRIASPRIASPRAASPRTVSPPRAASPRAAPPKVVRPRPEPTLRNHHASATKIQAAYRGYMARRSFRAWKGLVRLQGVVRGQNVKRQTSTAMKRMQLLVRIQSQIQSRRIQMLENQARQEVHFKNDKEVESTLGKLAFSQASEAGNEDWDDSVLPKEEIEARMQRKVEAVIKRERAMAYAYSHQLWKTTPKPVHADIRTRGFPWWWNWLERQLSSSNTPESQGIKNFPLTPPRPNSELKPSPKPPLSSKQHQFMFDNMDTPTPKSTRSTTRPMQTPPSRILQGSSSGLSKYSRPRASGADSPFDLPLKDDDSLTSCPPFSVPNYMTPTVSAKAKARANSNLKEMFMGTPGSESSKRRVSFPLTQGIGSFKWSKGSLFSGKDSSSQKGLDKNQSLQSIGNLSVDSTVSMPATVGRKPFNRFV >KJB72161 pep chromosome:Graimondii2_0_v6:11:30386854:30389231:1 gene:B456_011G162200 transcript:KJB72161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERLISVCLQLILVLDLVFRVSGNAEGCCIMPCRDLSNNKLEGDIPVNGSFSLFTPISFANNWLNNPPPAPPLPITPTAPIPSERPESQAPLDWAVRKRIALGAARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMQPLPLRAAQDLIRFLHQPVYHSQRFKLWLSYFEIYGGKLFDLLSDRK >KJB74128 pep chromosome:Graimondii2_0_v6:11:60656862:60659619:1 gene:B456_011G274500 transcript:KJB74128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKILKTDEDEDQLNTTTRCYGFEHRISHKSMLPRSHFVQVPHVNQLFSWDCGLACVLMALTTIGVNDCSIENLAELCCTTSIWTVDLAYLLQKFSVRFSYYTVTFGANPNYSGETYYKEQLPNDLVRVDTLFKKAVEAGINIGCRSISGEEISCWILSGKYIAIALVDQYKLSQSWMEDVIIPGFQGNDVGYTGHYVVICGYDSGTDEFEIRDPASSREHDRVSSKCLEEARKSFGTDEDLLLISLEESRKPNYSVL >KJB74129 pep chromosome:Graimondii2_0_v6:11:60657171:60659619:1 gene:B456_011G274500 transcript:KJB74129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLYFLLNKILKTDEDEDQLNTTTRCYGFEHRISHKSMLPRSHFVQVPHVNQLFSWDCGLACVLMALTTIGVNDCSIENLAELCCTTSIWTVDLAYLLQKFSVRFSYYTVTFGANPNYSGETYYKEQLPNDLVRVDTLFKKAVEAGINIGCRSISGEEISCWILSGKYIAIALVDQYKLSQSWMEDVIIPGFQGNDVGYTGHYVVICGYDSGTDEFEIRDPASSREHDRVSSKCLEEARKSFGTDEDLLLISLEESRKPNYSVL >KJB74127 pep chromosome:Graimondii2_0_v6:11:60656662:60659619:1 gene:B456_011G274500 transcript:KJB74127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKILKTDEDEDQLNTTTRCYGFEHRISHKSMLPRSHFVQVPHVNQLFSWDCGLACVLMALTTIGVNDCSIENLAELCCTTSIWTVDLAYLLQKFSVRFSYYTVTFGANPNYSGETYYKEQLPNDLVRVDTLFKKAVEAGINIGCRSISGEEISCWILSGKYIAIALVDQYKLSQSWMEDVIIPGFQGNDVGYTGHYVVICGYDSGTDEFEIRDPASSREHDRVSSKCLEEARKSFGTDEDLLLISLEESRKPNYSVL >KJB73408 pep chromosome:Graimondii2_0_v6:11:54873827:54877800:-1 gene:B456_011G232100 transcript:KJB73408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQDGTIALTGQIGLIWKQTKAPLLVPFLKVMIVVCLAMSVMLFVERVYMGIVIVFLKLLGRKPEKMYKWEAIKDDVELGNSAYPMVLIQIPMYNEKEVYQLSIGAACGLSWPADRIIIQVLDDSTDRAIKSMVEQECKRWASKGINIKYEIRDNRNGYKAGALKEGMKHSYVKQCDYVAIFDADFQPEPDFLYRTIPFLINNPEIALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVYVGNLKVKNELPSTFKAYRYQQHRWSCGPANLFKKMAIEIIRNRKVSLWKKFYVIYSFFFVRKIVAHIVTFVFYCVVLPTTVFVPEVQVPKWGAVYIPSIITLLNAVGTPRSLHLVMFWILFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDALKAKSGAKASKRTHIRMGERLHLLELGVGAYLFFCGCYDMGFGKNRYFIFLFLQSIAFFIAGFGYVGTFVPTS >KJB73188 pep chromosome:Graimondii2_0_v6:11:52985426:52995208:-1 gene:B456_011G220800 transcript:KJB73188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGFRPPQFSEELAWLPACLQRITDSAGQPRSPSHQQFKEFSCIEGEDSELLLSKEDIRCNSFCLILSGEDNSPISSFPSSKEVLHFRLHLSSDGDSQYYQSQLFSAACTQHGSKNVLQLPQIEIPAGEKSDPVKNEDGACWLNALPMISIPRDVENVGEKTALIQNEGSACGVNALPIISIPRDMENVAEKTALIQNEESACGVNAMPITSNPRDVENVGEKTALIQTKDSSCAVNALPMISISRDVENFGGQSSNHANDGSEHSIEKVTVRNLKSTDIKDAVELSIAASEALVIHELVKSDSGAEALPTAAVLEAALQVKQARLESSEDAFDCPAETSDEMDFLPDLDDLTMADAFEDVGLSFSCFSNQHACGSDVSVVKDTPVSEDCFRSGNRTENAEHFSPQNKPSDYPTSSSKNSDPILHEMVEEISHVSATAERVGFSKVDASLQSQADLHCSDLCDLKNAGESTSPFVTDGFRSRWLGGWTGKEADPEQLNPKTKNITKSFAAETSFFSESADVAPDENSLVRKCANERSNIASDQSVHFEGLPDQVDEGIMVSQDVRSSYPSLVDPLCSVVPCSISSENAGTALGQNGNSGEGSARNCPFSSVGPQNENMHVESIFETRQDLPEFDGEYSAPKVRRQLTSLKIYSKVLHENDSILGSPRLCVNQLTSLHLRDKNSGIRFCDKRNSEMSLVQSSKPECTIGRDAEENIAVNNPDGEGVNDKHYEHPKDRAQLQDQPSMGKSSLLILPQRMRQRLQAAKLLDCGSKANAEQIVAEDVSVFHSSGSNIQGMQSECNNDMKVPARKRVRFSEIEVDFQKNKELTTRQSSHEKSSALRPGKRFKSDAQIEDGKGGSTMHFRHQKCFLFQDIKFLLTGFSRAKEKEIEGLIWKYGGIVLVDIPSPSNRGKRCSRHKFQQLPIILCPKKLQTTKFLYACAVNSLILKDKWLTDSVTAGSALSPEKYMVLSNQPETRLTRIWKPVRHDNSGYIFDGVGVILHGKLRFCTKFAKVIQHGGGRVFKTLLCLIQNLDAEKISMAVIVCEGENRASRHLRQCASERTIPMMPSSWIVRSLYSGKLLPFNEKKHTTLHAVTTSDCMISDNWSQEI >KJB73189 pep chromosome:Graimondii2_0_v6:11:52987354:52995175:-1 gene:B456_011G220800 transcript:KJB73189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGFRPPQFSEELAWLPACLQRITDSAGQPRSPSHQQFKEFSCIEGEDSELLLSKEDIRCNSFCLILSGEDNSPISSFPSSKEVLHFRLHLSSDGDSQYYQSQLFSAACTQHGSKNVLQLPQIEIPAGEKSDPVKNEDGACWLNALPMISIPRDVENVGEKTALIQNEGSACGVNALPIISIPRDMENVAEKTALIQNEESACGVNAMPITSNPRDVENVGEKTALIQTKDSSCAVNALPMISISRDVENFGGQSSNHANDGSEHSIEKVTVRNLKSTDIKDAVELSIAASEALVIHELVKSDSGAEALPTAAVLEAALQVKQARLESSEDAFDCPAETSDEMDFLPDLDDLTMADAFEDVGLSFSCFSNQHACGSDVSVVKDTPVSEDCFRSGNRTENAEHFSPQNKPSDYPTSSSKNSDPILHEMVEEISHVSATAERVGFSKVDASLQSQADLHCSDLCDLKNAGESTSPFVTDGFRSRWLGGWTGKEADPEQLNPKTKNITKSFAAETSFFSESADVAPDENSLVRKCANERSNIASDQSVHFEGLPDQVDEGIMVSQDVRSSYPSLVDPLCSVVPCSISSENAGTALGQNGNSGEGSARNCPFSSVGPQNENMHVESIFETRQDLPEFDGEYSAPKVRRQLTSLKIYSKVLHENDSILGSPRLCVNQLTSLHLRDKNSGIRFCDKRNSEMSLVQSSKPECTIGRDAEENIAVNNPDGEGVNDKHYEHPKDRAQLQDQPSMGKSSLLILPQRMRQRLQAAKLLDCGSKANAEQIVAEDVSVFHSSGSNIQGMQSECNNDMKVPARKRVRFSEIEVDFQKNKELTTRQSSHEKSSALRPGKRFKSDAQIEDGKGGSTMHFRHQKCFLFQDIKFLLTGFSRAKEKEIEGLIWKYGGIVLVDIPSPSNRGKRCSRHKFQQLPIILCPKKLQTTKFLYACAVNSLILKDKWLTDSVTAGSALSPEKYMVLSNQPETRLTRIWKPVRHDNSGYIFDGVGVILHGKLRFCTKFAKVIQSAKNDSYLQALLVLMIKCCLLVP >KJB73187 pep chromosome:Graimondii2_0_v6:11:52986415:52995175:-1 gene:B456_011G220800 transcript:KJB73187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGFRPPQFSEELAWLPACLQRITDSAGQPRSPSHQQFKEFSCIEGEDSELLLSKEDIRCNSFCLILSGEDNSPISSFPSSKEVLHFRLHLSSDGDSQYYQSQLFSAACTQHGSKNVLQLPQIEIPAGEKSDPVKNEDGACWLNALPMISIPRDVENVGEKTALIQNEGSACGVNALPIISIPRDMENVAEKTALIQNEESACGVNAMPITSNPRDVENVGEKTALIQTKDSSCAVNALPMISISRDVENFGGQSSNHANDGSEHSIEKVTVRNLKSTDIKDAVELSIAASEALVIHELVKSDSGAEALPTAAVLEAALQVKQARLESSEDAFDCPAETSDEMDFLPDLDDLTMADAFEDVGLSFSCFSNQHACGSDVSVVKDTPVSEDCFRSGNRTENAEHFSPQNKPSDYPTSSSKNSDPILHEMVEEISHVSATAERVGFSKVDASLQSQADLHCSDLCDLKNAGESTSPFVTDGFRSRWLGGWTGKEADPEQLNPKTKNITKSFAAETSFFSESADVAPDENSLVRKCANERSNIASDQSVHFEGLPDQVDEGIMVSQDVRSSYPSLVDPLCSVVPCSISSENAGTALGQNGNSGEGSARNCPFSSVGPQNENMHVESIFETRQDLPEFDGEYSAPKVRRQLTSLKIYSKVLHENDSILGSPRLCVNQLTSLHLRDKNSGIRFCDKRNSEMSLVQSSKPECTIGRDAEENIAVNNPDGEGVNDKHYEHPKDRAQLQDQPSMGKSSLLILPQRMRQRLQAAKLLDCGSKANAEQIVAEDVSVFHSSGSNIQGMQSECNNDMKVPARKRVRFSEIEVDFQKNKELTTRQSSHEKSSALRPGKRFKSDAQIEDGKGGSTMHFRHQKCFLFQDIKFLLTGFSRAKEKEIEGLIWKYGGIVLVDIPSPSNRGKRCSRHKFQQLPIILCPKKLQTTKFLYACAVNSLILKDKWLTDSVTAGSALSPEKYMVLSNQPETRLTRIWKPVRHDNSGYIFDGVGVILHGKLRFCTKFAKVIQHGGGRVFKTLLCLIQNLDAEKISMAVIVCEGENRASRHLRQCASERTIPMMPSSWIVRSLYSGKLLPFNEKKHTTLHAVTTSDCMISDNWSQEI >KJB73186 pep chromosome:Graimondii2_0_v6:11:52984861:52995208:-1 gene:B456_011G220800 transcript:KJB73186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGFRPPQFSEELAWLPACLQRITDSAGQPRSPSHQQFKEFSCIEGEDSELLLSKEDIRCNSFCLILSGEDNSPISSFPSSKEVLHFRLHLSSDGDSQYYQSQLFSAACTQHGSKNVLQLPQIEIPAGEKSDPVKNEDGACWLNALPMISIPRDVENVGEKTALIQNEGSACGVNALPIISIPRDMENVAEKTALIQNEESACGVNAMPITSNPRDVENVGEKTALIQTKDSSCAVNALPMISISRDVENFGGQSSNHANDGSEHSIEKVTVRNLKSTDIKDAVELSIAASEALVIHELVKSDSGAEALPTAAVLEAALQVKQARLESSEDAFDCPAETSDEMDFLPDLDDLTMADAFEDVGLSFSCFSNQHACGSDVSVVKDTPVSEDCFRSGNRTENAEHFSPQNKPSDYPTSSSKNSDPILHEMVEEISHVSATAERVGFSKVDASLQSQADLHCSDLCDLKNAGESTSPFVTDGFRSRWLGGWTGKEADPEQLNPKTKNITKSFAAETSFFSESADVAPDENSLVRKCANERSNIASDQSVHFEGLPDQVDEGIMVSQDVRSSYPSLVDPLCSVVPCSISSENAGTALGQNGNSGEGSARNCPFSSVGPQNENMHVESIFETRQDLPEFDGEYSAPKVRRQLTSLKIYSKVLHENDSILGSPRLCVNQLTSLHLRDKNSGIRFCDKRNSEMSLVQSSKPECTIGRDAEENIAVNNPDGEGVNDKHYEHPKDRAQLQDQPSMGKSSLLILPQRMRQRLQAAKLLDCGSKANAEQIVAEDVSVFHSSGSNIQGMQSECNNDMKVPARKRVRFSEIEVDFQKNKELTTRQSSHEKSSALRPGKRFKSDAQIEDGKGGSTMHFRHQKCFLFQDIKFLLTGFSRAKEKEIEGLIWKYGGIVLVDIPSPSNRGKRCSRHKFQQLPIILCPKKLQTTKFLYACAVNSLILKDKWLTDSVTAGSALSPEKYMVLSNQPETRLTRIWKPVRHDNSGYIFDGVGVILHGKLRFCTKFAKVIQHGGGRVFKTLLCLIQNLDAEKISMAVIVCEGENRASRHLRQCASERTIPMMPSSWIVRSLYSGKLLPFNEKKHTTLHAVTTSDCMISDNWSQEI >KJB74169 pep chromosome:Graimondii2_0_v6:11:60985134:60986767:-1 gene:B456_011G277300 transcript:KJB74169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKKREKGVSFLWMKSRFRPKGRLVSAAPADVAESGKFKDDTYQLPFYSFLLPNYKENQNSKMQRPRFELPEALVMEILSKLPVKSLTRFNCVCKYWCSSFQTPHFISNNYHNNLENNNLNLLLSRCDGNTFQRYFSQLSNEKYQNYIVKQNIHLPFFKNDRPSVYGACHGLLCLLDPSKDKAAIWNPSTREFKILPPSSIQRPPYFSPFEETYLTLDHVEFNHASFGFDSKTDDYKVIRFVTLTFVNSEEQYPHSHFMYQVELYSLRSNSWKEIPCPDYKPTGTTLGNNYVDGICYMKTETGIS >KJB74170 pep chromosome:Graimondii2_0_v6:11:60985134:60986745:-1 gene:B456_011G277300 transcript:KJB74170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPRFELPEALVMEILSKLPVKSLTRFNCVCKYWCSSFQTPHFISNNYHNNLENNNLNLLLSRCDGNTFQRYFSQLSNEKYQNYIVKQNIHLPFFKNDRPSVYGACHGLLCLLDPSKDKAAIWNPSTREFKILPPSSIQRPPYFSPFEETYLTLDHVEFNHASFGFDSKTDDYKVIRFVTLTFVNSEEQYPHSHFMYQVELYSLRSNSWKEIPCPDYKPTGTTLGNNYVDGICYMKTETGIS >KJB73341 pep chromosome:Graimondii2_0_v6:11:54202941:54204157:-1 gene:B456_011G228700 transcript:KJB73341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLPPCSVDFEIITSPLSSLITTPDPDLHSLGSKAALKFIRIKGSMGGIHFEMAYFLVTHNTHHVFLHMPFEPF >KJB73344 pep chromosome:Graimondii2_0_v6:11:54203208:54203705:-1 gene:B456_011G228700 transcript:KJB73344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLPPCSVDFEIITSPLSSLITTPDPDLHSLGSKAALKFIRIKGSMGGIHFEMAYFLGFVSFISGYPQHTSCLPTHAIRTILKNPGQSRNTWSIVFGPLPHLGQAN >KJB73342 pep chromosome:Graimondii2_0_v6:11:54203208:54203705:-1 gene:B456_011G228700 transcript:KJB73342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLPPCSVDFEIITSPLSSLITTPDPDLHSLGSKAALKFIRIKGSMGGIHFEMAYFLGFVSFISGYPQHTSCLPTHAIRTILKNPGQSRNTWSIVFGPLPHLGQAN >KJB73343 pep chromosome:Graimondii2_0_v6:11:54202941:54204171:-1 gene:B456_011G228700 transcript:KJB73343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLPPCSVDFEIITSPLSSLITTPDPDLHSLGSKAALKFIRIKGSMGGIHFEMAYFLVTHNTHHVFLHMPFEPF >KJB72716 pep chromosome:Graimondii2_0_v6:11:46316228:46318483:-1 gene:B456_011G192300 transcript:KJB72716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIKGIANFRRLYANSIDLNTKSYLSTTKRAFCRPSEYAKVVNTTITCSPFYCSNNLGSRSHSSRPEMEGIEHRVIKANGINIHVAEKGKGPVILFLHGFPELWYTWRNQITAFASLGYRALAPDLRGFGETEAPDDASTYTSLHVVGDLIALLDVVAADQDQVFVVGHDWGALIAWWLCMFRPDRVKALVNMSVAFNPRNPNMKPLEGLRALYGDDYYICRFQEPGVIEAEFREMGYERVLKGFLTYRDPCPLLIPKGKYFGQPDTPISLPSWFSEEDCKYYLSQYEKKGFTGGLNYYRNINLNWQLTAPWTGSKIKVPVKFIVGDQDLTYNAPGIKDYLHKGSLKKNVPLLEEVVVMEGVAHFLHEEKPDEVHKHIHDFFNKF >KJB72717 pep chromosome:Graimondii2_0_v6:11:46316252:46318397:-1 gene:B456_011G192300 transcript:KJB72717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIKGIANFRRLYANSIDLNTKSYLSTTKRAFCRPSEYAKVVNTTITCSPFYCSNNLGSRSHSSRPEMEGIEHRVIKANGINIHVAEKGKGPVILFLHGFPELWYTWRNQITAFASLGYRALAPDLRGFGETEAPDDASTYTSLHVVGDLIALLDVVAADQDQVFVVGHDWGALIAWWLCMFRPDRVKALVNMSVAFNPRNPNMKPLEGLRALYGDDYYICRFQEPGVIEAEFREMGYERVLKGFLTYRDPCPLLIPKGKYFGQPDTPISLPSWFSEEDCKYYLSQYEKKGFTGGLNYYRNINLYICLPLQLPSMNAQVLRY >KJB70397 pep chromosome:Graimondii2_0_v6:11:6686677:6688038:1 gene:B456_011G071900 transcript:KJB70397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPESMPEKPFDFRAPPPSPIASGRRSCVTNEDVLSEFLEHSLRVPDLVLPDKVFPRQNFIENPPKIDFQLLSSMESDSLSKILDSIARIGCFQLVNYGIEGECIRSALAAAAGIFQLPPEKRRAVTRSPPEKLYGFEEAHGEKEEEGEGKVSEEFVWCRGAGLKLEMEAIWPVGYSNFSEKMESLLCDIEKVAEKILMAIRQNLPPKTDYENDMKQGQGGIGSGCFVYKHSRNMSAEKCSGSLRYDVIRMLIRGFDYSHALCLHVCDGSSEFHVYSKKGWVSFCPDKDALVITVGDQTQVTFTYFLRLLWPFMWLLLFVFAN >KJB70396 pep chromosome:Graimondii2_0_v6:11:6686370:6688542:1 gene:B456_011G071900 transcript:KJB70396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPESMPEKPFDFRAPPPSPIASGRRSCVTNEDVLSEFLEHSLRVPDLVLPDKVFPRQNFIENPPKIDFQLLSSMESDSLSKILDSIARIGCFQLVNYGIEGECIRSALAAAAGIFQLPPEKRRAVTRSPPEKLYGFEEAHGEKEEEGEGKVSEEFVWCRGAGLKLEMEAIWPVGYSNFSEKMESLLCDIEKVAEKILMAIRQNLPPKTDYENDMKQGQGGIGSGCFVYKHSRNMSAEKCSGSLRYDVIRMLIRGFDYSHALCLHVCDGSSEFHVYSKKGWVSFCPDKDALVITVGDQTQALSGGQFKHVIGRPIYKGEEEDCISMAFLYSPPSTTNTTKVDPQKGKNTISLSQQAIAAIVLTLVYHILVFVYNKF >KJB73787 pep chromosome:Graimondii2_0_v6:11:58001219:58002260:1 gene:B456_011G252000 transcript:KJB73787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARKLNQAGLSPLHSAPQNDRTQAVLRLLKFDKGLVRVTWRDGLTPLHQVVQTGKVDLLFKFLEVCPEAIEDVTVRDEMVFHLTVKTSISRHETAKRWEKELLSWPDIDGNMFWTLQLSETHLR >KJB73031 pep chromosome:Graimondii2_0_v6:11:51060559:51061599:1 gene:B456_011G211500 transcript:KJB73031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFQSWVSEHKLTSIGAVWASAIGASLAYNSRGKSTLKPSLRLIHARMHSQALTLAVLSGAAAYHYYEKSTSNQEKVTNA >KJB74204 pep chromosome:Graimondii2_0_v6:11:61127800:61130006:-1 gene:B456_011G279300 transcript:KJB74204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGHQMEREFSAQSLLSRGDTGTEMGSRYVSESGFYMTSFAATIFIAGLVTIGVVLVTSVVSLAVMLQSCENKSKGVVATIDKANDNHHYCEILALHGELNGLKPDNVPPLCRNLAVQYIETGGYTRDLDFVMRMIENFFDTVSPSKNRTDAVLIDIDNILVSDPSVRRILESYTKLHSRGWLLILLSRKHEKQRHVTTKHLNSLGFSGWSSLIMRSDLEMEMETREYFCRRRTEMKEQGNEIMSVISSQMDALMGLSLGIQLFKLPNPLYYNFENNYESRIHGLVQIEN >KJB74206 pep chromosome:Graimondii2_0_v6:11:61127875:61129877:-1 gene:B456_011G279300 transcript:KJB74206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGHQMEREFSAQSLLSRGDTGTEMGSRYVSESGFYMTSFAATIFIAGLVTIGVVLVTSVVSLAVMLQSCENKSKGVVATIDKANDNHHYCEILALHGELNGLKPDNVPPLCRNLAVQYIETGGYTRDLDFVMRMIENFFDTVSPSKNRTDAVLIDIDNILVSDPSVRRILESYTKLHSRGWLLILLSRKHEKQRHVTTKHLNSLGFSGWSSLIMRSDLEMEMETREYFCRRRTEMKEQGNEIMSVISSQMDALMGLSLGIQLFKLPNPLYYNFENNYESRIHGLVQIEN >KJB74205 pep chromosome:Graimondii2_0_v6:11:61127951:61128855:-1 gene:B456_011G279300 transcript:KJB74205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRYVSESGFYMTSFAATIFIAGLVTIGVVLVTSVVSLAVMLQSCENKSKGVVATIDKANDNHHYCEILALHGELNGLKPDNVPPLCRNLAVQYIETGGYTRDLDFVMRMIENFFDTVSPSKNRTDAVLIDIDNILVSDPSVRRILESYTKLHSRGWLLILLSRKHEKQRHVTTKHLNSLGFSGWSSLIMRSDLEMEMETREYFCRRRTEMKEQGNEIMSVISSQMDALMGLSLGIQLFKLPNPLYYNFENNYESRIHGLVQIEN >KJB70581 pep chromosome:Graimondii2_0_v6:11:8175118:8180051:1 gene:B456_011G081200 transcript:KJB70581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIFSFELMCCDKITSGCWDCVAGQAIYTYQLEESLSELKTALDELKEQRADVIRKVNIAEQQFDQRIIDGKKEMKNLCMGGCCSMNYRSTLKFSKTAVKRLHDVKVLKRKGAFEVVAMEVPAALAVERPCNSSVGMESMLNKVWSILEEKHARIVGIYGVGGVGKTSILTEINNKISVPSNGFDMVIWVVVSKGFRIAKVQDDIAKRIGLFGGMWGEKSAEEKAMDIFRVLREKKFVVLMDDVWERVDVLKVGKPLPTYENRSKIIFTTRSNEVRGQMRAHKKIEVQCLTEEQAWELFEHDVGNDILDGHPNIRDLAHEVARECGGLPLALITIGRAMACKRTPEEWEYAIQVLKRSTNSVFPDMDEEVYPLLKFIFDCLPNDSVRCCLLYCSLFPEDYRIPKEIFIDCCIVEGFMDEYESISQARKQGHQIIGSLIHSCLLEEASDSTVKMHDVIRDMCLWIACRLYAHKWKFFVRAGYELTQVPEVGKSRGIKRMSLMQNKIEALNEPPYCPDLQTLFLNQNQLKVIHNDFFQFMSGLKILCFRANRGIRELPVGISKLVSLEYLDLSYTGIRQLPTELKALEKLKCLKLELISGFSKLQMLKMMGSFLFDKSAAKDNNECLVEEMQSLNHLNELSMSVTSAFALERLMSAEMLHTCTEQIGLHLFRDSKQLNILSLANFKCLNYIQISKCESLEEVKTEMDITRAKAPNQTQIPVVEPQMCFQSLVKVHIPGCSTLRDITWLILAQNLRHFIVIDCHKMEEIINEIKLSQIAEMYLPELKSIYKDALPFPCMKDIHVYACPKLTRLPLNSDSAKRNKIRIHGKEKWWKEMHWEDESTRNAFLPSFRNW >KJB74138 pep chromosome:Graimondii2_0_v6:11:60745874:60748324:-1 gene:B456_011G275100 transcript:KJB74138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDRSSQSRPPHVLVFPLPIQGHINSMIKLAELLAIAGFKLTFLNSHHNHERLVKFNNIAAHFERYSGFQFKTITDGLPLDHPRSGNWFLDMFEDTMEPKMKESLREVLVNSSPPVDCIIADGFLGFALDVAKELGIPIIFFRTSSPCCFWVYYSIPDIIQAGELPINGSEDMDRLITTVPGMETYLRCRDLPTFCRKLDIEDSIMKLVVKQTRKSLQADALILNTAEELDGPILSQIRTKCSRVYAVGPLHAQLNTRINAKHGESYDHFSNTLWEVDKSCMFWLNKQPNRSVIYVSFGSITSTSREQLVELWYGLLNSKTKFLLVVRPNSVIGKDGEGEDVVMELMEKSKDRGYIVNWAPQEAVLNHPAIGGFFTHNGWNSTLESVVAGVPMICWPQFADQHVNCRVVSEVWKIGLDMKDVCDSKIVEKMVNDVMVDRKEEFAKSASEIAKRKIRRRSRWYNGGRFT >KJB74139 pep chromosome:Graimondii2_0_v6:11:60745191:60748453:-1 gene:B456_011G275100 transcript:KJB74139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDRSSQSRPPHVLVFPLPIQGHINSMIKLAELLAIAGFKLTFLNSHHNHERLVKFNNIAAHFERYSGFQFKTITDGLPLDHPRSGNWFLDMFEDTMEPKMKESLREVLVNSSPPVDCIIADGFLGFALDVAKELGIPIIFFRTSSPCCFWVYYSIPDIIQAGELPINGSEDMDRLITTVPGMETYLRCRDLPTFCRKLDIEDSIMKLVVKQTRKSLQADALILNTAEELDGPILSQIRTKCSRVYAVGPLHAQLNTRINAKHGESYDHFSNTLWEVDKSCMFWLNKQPNRSVIYVSFGSITSTSREQLVELWYGLLNSKTKFLLVVRPNSVIGKDGEGEDVVMELMEKSKDRGYIVNWAPQEAVLNHPAIGGFFTHNGWNSTLESVVAGVPMICWPQFADQHVNCRVVSEVWKIGLDMKDVCDSKIVEKMVNDVMVDRKEEFAKSASEIAKEEIKMVQWRPIHLKRSKAETRTRR >KJB74140 pep chromosome:Graimondii2_0_v6:11:60745874:60748324:-1 gene:B456_011G275100 transcript:KJB74140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDRSSQSRPPHVLVFPLPIQGHINSMIKLAELLAIAGFKLTFLNSHHNHERLVKFNNIAAHFERYSGFQFKTITDGLPLDHPRSGNWFLDMFEDTMEPKMKESLREVLVNSSPPVDCIIADGFLGFALDVAKELGIPIIFFRTSSPCCFWVYYSIPDIIQAGELPINGSEDMDRLITTVPGMETYLRCRDLPTFCRKLDIEDSIMKLVVKQTRKSLQADALILNTAEELDGPILSQIRTKCSRVYAVGPLHAQLNTRINAKHGESYDHFSNTLWEVDKSCMFWLNKQPNRSVIYVSFGSITSTSREQLVELWYGLLNSKTKFLLVVRPNSVIGKDGEGEDVVMELMEKSKDRGYIVNWAPQEAVLNHPAIGGFFTHNGWNSTLESVVAGVPMICWPQFADQHVNCRVVSEVWKIGLDMKDVCDSKIVEKMVNDVMVDRKEEFAKSASEIAKRKIRRRSRWYNGGRFT >KJB69744 pep chromosome:Graimondii2_0_v6:11:3000448:3004502:1 gene:B456_011G040400 transcript:KJB69744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFEEMDPTCKVMVPTANVNLTLRVLIFSSIFSLLCMTVTCFNEDQSVLLQFKAHITSNPLNVWPYNWSSATSVCHWTGISCGINGRVTALNLPNMNLYGTILPSLGKLSLLSVLNMSGNSFHGLLPSELANLQRLELMDLSHNELSGGIPPWFGSLTKLQDLSLYDNNFTGSISPYLFNMINLETMDFSHNFLQGSLPHEIGNLPKLKILRLRYNKLSNPIPAAIYNVSSLEVADFMLNNFSGSISRNIGNLTKLREIYVAQTACEIPRETGNLSNLQIFDGRNASLTGHIPASIFDISSLRYILLGRNNLSGTLPIDICSNQSRLEALYLAQNQLNGEIPSGLGGCRKLQNLDFSINRFSGQIPRSIGNLTGLKELYLGDNELKEHSFLSSLMNCRILRHLSIESTTYGGVLPASIGNLSASLQYFYAESSRLKGNIPMEIGNLSRSIALFLANNDLVGPIPSLGRLQQLEGLGLYGNKLQGSIPNDLCELKMLYDLSLHSNLLDGSLPACFDNLTSLRYLSLGSNKLSSNIPSTLWRLSNMLQVDLSSNFFSGPLPLDVRNLKVVISMDISRNRLSGNLPSTINGDLNGLTYLSFAENALQGHIPESFSQLTSLEFLDLSRNNISGVIPKSMEALSHLKYLNVSFNRLEGQIPTGGPFRNLSAELFEWNKALCGVPQLQNRETPLPLATWRRISYHQLRVATDGFSESSLIGAGNFGSVYKGTLSDAITVAVKVFNLHIDGAFRSFDSECEVMQSIRHRNLVKIISSCSNEDFKALILEFMPNGSLEKWLYSRTSTLNILQRLDIMIDVASALEYLHHGLQTPVIHLLGEGETMKQTMTMATIGYMAPEYGTSGIVSTEGDVCSFGILLMEMLTRNKPTDEMFTSEMSLKDFLKESLFHSVTKVIDATISRKG >KJB70685 pep chromosome:Graimondii2_0_v6:11:9070526:9074146:1 gene:B456_011G087100 transcript:KJB70685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSDSFKQSLLPSFLYSSSPNSFSLDRLLNANSPAFSASRSTIPDAPAASSSPSIKARTFMIPSPNEPGKKIEMYSPQFYAACTFGGILSCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGMRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGPEYFAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKYGIPTPKEQCSKNLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGVAPVPAAVELAKV >KJB70683 pep chromosome:Graimondii2_0_v6:11:9070526:9074127:1 gene:B456_011G087100 transcript:KJB70683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSDSFKQSLLPSFLYSSSPNSFSLDRLLNANSPAFSASRSTIPDAPAASSSPSIKARTFMIPSPNEPGKKIEMYSPQFYAACTFGGILSCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGMRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGPEYFAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKYGIPTPKEQCSKNLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDVSCEEAWIMGSVYPWSSPPYCDDWNSNWSTVGYL >KJB70684 pep chromosome:Graimondii2_0_v6:11:9070526:9074127:1 gene:B456_011G087100 transcript:KJB70684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSDSFKQSLLPSFLYSSSPNSFSLDRLLNANSPAFSASRSTIPDAPAASSSPSIKARTFMIPSPNEPGKKIEMYSPQFYAACTFGGILSCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGMRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGPEYFAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKYGIPTPKEQCSKNLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGL >KJB69392 pep chromosome:Graimondii2_0_v6:11:1511307:1513894:-1 gene:B456_011G021500 transcript:KJB69392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLWRLSSLLVALALVLVPRTHGWSKEGHVLTCRIAQGLLEPEAAAAVENLLPDYANGDLSSLCVWPDQIRHWYKYRWTSPLHFIDTPDNACSYEYSRDCHDTNGVKDMCVAGAIQNFTSQLVHYREGTSDRRYNMTEALLFLSHFMGDIHQPMHVGFTTDEGGNTISVRWFRHKSNLHHVWDREIILTALADYYEKNLDSLQEDLVGNFTDGIWFDDVASWEECDDLLTCSNKYANESINLACKWGYKGVEPGQTLADEYFNSRMPIVMKRIAQGGVRLAMILNLVFGDSQHGFAAAS >KJB71513 pep chromosome:Graimondii2_0_v6:11:17972550:17978472:1 gene:B456_011G126100 transcript:KJB71513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKAYRTSSIDWKPSPVVALATSPDGSQVAAARDDGSLEIWLVSPGSVGWHHQLTIHGDSTCRVSSLVWCCVGSKGPSPGRLFSSSIDGSVSEWDLFDLKQKILLESIGVSIWQMAVAPINRLPTHEETRSQNFGNGYLNDKYECDDTDDVENCESEDVSDSEQVYQKLVMEDRCVAIACDDGAVRMYTISDLDKLIYQKSLPRVSGRALSVTWSHDSNRIYSGSSDGLIRCWNADLGHEIFRSVVGLGGLGSGPELCVWSLLSLRCGTVVSADSTGSVQFWNGDNGTLLQTHSTHKGDVNALAASPRQNRVFSAGSDGQVILYKLSSEMLQSGNDKSYEMLKKWVFVGSARAHTHDVRALTMAVPICSEGSLSDEAKDLQDEKRKKVKRIRTREKKPLNFSYGKWAHFGVPMLVSAGDDAKLFAYSAMEFTRFSPHDICPAPQRVPIHLVTGSRFNQTSFLFVQASCWLDVLSVNAPDGGSGPYGGLVTTNIVARVKSKAGRKIICSAMSNSGEFFGYSDHIRPSLFELSRQAGQSTWTISKRQLPQKLPSAHSMIFTSDGSRLLIAGHDRRIYVVDLESLKLLHTFIPCRQEHEKEGPPDEPPITKMFTSSDDQWLAAINCFGDIYLFNLEILRQHWFISRLDGASVTAGGFPPQRNNVLIITTSSNQFYIFDVEARQLGEWSMQHSFTLPRRYQEFPGEVIGLSFCPSSSSHPSKSTSLVVYSARAMCSIDFGKAVDEDDESQLVHEALLKLQGSITNKKLKYLLIDGRQTESKHTSRKNNFEIIGFRDPVLFIGHLSKNSILMVDKPWMEVVKSFDTAPVQRHIYGT >KJB71990 pep chromosome:Graimondii2_0_v6:11:26002357:26004288:1 gene:B456_011G152600 transcript:KJB71990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHALISEFCVAKPLKNKWRNQSRRINCSKYLCFLLMFSIVLLIFLRHLFFFSIDARPSSHHHSKKKIHSLVGESSSQDSCLGRYIYIHDLPMRFNQDLLTNCQVLTRSIDKTSMCEYVQNSGFGPRIISSEASGLWNSNWFWTNQFMLEVIFHDRMKKYKCLTNDSLVASAIFVPYYAGLDLRRYLWGFNTSMRDSSGFDLINWLKQKPQWKTMWGKDHFLVSSRIARDFRRKSDRKSDWGSNFRFLPESKNLSMLTIESGPWENDIAVPYPTSFHPSSDDQVLQWQNLMRTQNRPYLFSFAGASRNRQKNSTRKEIIRHCQSSNKLCKLLDCNSVGHECDDPLKLMNLFRSSIFCLQPPGDSLTRRSTFDSILAGCIPVFFHPGSAYTQYLWYLPKNYSNYSVFISATDLKLGKVRIEEKLVTVSKDEVASMREEVIRLIPRIIYGDRRSGVESVEDDAFDLAIKGVLKRVDRLRGSDL >KJB72556 pep chromosome:Graimondii2_0_v6:11:43947546:43953504:-1 gene:B456_011G184800 transcript:KJB72556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLGSDNGDIKPSEMNPSSDFSYSDTQPFEFDSQYSFLGDKADNEDSDELDYLQSTVPFDDYNVQVEDGLETQALNLGGETQVLNFDGETQVLDDLDCFENMETQLLDEFNDAIAADSDSEGMEGTEILDQGDEVSNDEIVTGDCGQFLFQKKESLEQHNASTNEQMNSGIHGSTTTPDVRAVPESKSRSVRFTSVRAAALRASGLAARKAALRGMNGKSCFIQTDCQFSDQCTIKSDGSNPNVVEKMNQAQHLVNREENSIGLRHGTNCRVGCSTVRKLFAERSSCRSENVDARDDVLQFPASDGQLAGLSYIDSEEPGELSQSNALNFVERFVNDKMTELDDQVDLGKSTGRKSLISCAIIGPQSLAKKTVERTAVETQIFDWDDALEDEGGGDIYCRRKEEFYGDGSHARKNSNHAHKPKGGKLNESCNVDQPNAHDKEIVDSDSKSLLCKSKDNGKPVGEGQLDFRKNLLNEFDEQCNSDSSRGQLEAAAAELNVGFDTQMAAEAMEALFYGDMATDVNGNQGFPGISKGSSKVLCRGKCRKRISSRECILRKGVYCYGAAPVTRQSKRTRESSVLPNISSKNVRKECDTDLLLPKTKKAKSNNDKNQNNGGINMTKMPSKSIKKRKAGGALTRSQLHGTGRSTMSSSIKKRHLEEVCTVTPIAHRTRQSLVMNAQIAEASASDCRKGKKLEKEVGLLQENRTRSIDVTDVELSLASNAEEQFSKLHSNQSGEHGNVESCNDDQLHLGLIAGNNGNHGSSYPKHRSSRKMSVHVGESDNLEAPSGKSVQLDNEPSIPVVKKSRRNNRSTCIRSTTVRITRSSRNTCPVLHFPDQNSEGKLSRQSSDKQGSKDNAVNCNSTKMNRRMISTSITGPEAAKEIQHSGGNHVAVSSPIAENLAVNVATNKSPEEKSRSLGSLCTTPVNCPTPINAASPVCMGEEYFKQSCKKNLSKSLLIKELRSLNPIDPEPISPSKDMRKRRDLADIRVLFSNHLDEDIIKQQKKILARLGISEASSILAATHFVTDKFVRTRNMLEAIASGKPVVTHLWLESVGQVNIHIDEEAYILRDIKKEKEFGFCMPASLARACRRPLLQGRRVLITPNTKPNKETIVHLVAVLHGQALERIGRSAMKDDKVLDDLLILSCEEDYAICVPFLEKGAAVYSSELLLNGIVTQKLDYERHRLFADHVRKTRSTIWLRKDNKFLPVTKHK >KJB71045 pep chromosome:Graimondii2_0_v6:11:16250384:16256434:1 gene:B456_011G119000 transcript:KJB71045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVLEEFEPIFGEPKVEWTGSCSGLGQSSAFVFYVHSPDSSHLRICVSDFRHTTWESVRSVWQLEDMRDSVGIGGSWSDFIHYLVASIKSEDVKLLLEALPDSNDTKSAKLVAQKSKGMPRISFSLTKLTGAAASDAVANLSQELFKAFKGLQYLFMEEQERRLQLTKVISVEKEKNEIVQSQLELNSKRQKLQKAINSLDKVDLTNGQNPPGKQAAQGPGPTKVTKRPVPAHRRAKARGIILQDSENETDS >KJB71047 pep chromosome:Graimondii2_0_v6:11:16250394:16256434:1 gene:B456_011G119000 transcript:KJB71047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVLEEFEPIFGEPKVEWTGSCSGLGQSSAFVFYVHSPDSSHLRICVSDFRHTTWESVRSVWQLEDMRDSVGIGGSWSDFIHYLVASIKSEDVKLLLEALPDSNDTKSAKLVAQKSKGMPRISFSLTKLTGAAASDAVANLSQELFKAFKGLQYLFMEEQERRLQLTKVISVEKPIGAKFKEAKVTKSDKFIR >KJB71046 pep chromosome:Graimondii2_0_v6:11:16250394:16255045:1 gene:B456_011G119000 transcript:KJB71046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVLEEFEPIFGEPKVEWTGSCSGLGQSSAFVFYVHSPDSSHLRICVSDFRHTTWESVRSVWQLEDMRDSVGIGGSWSDFIHYLVASIKSEDVKLLLEALPDSNDTKSAKLVAQKSKGMPRISFSLTKLTGAAASDAVANLSQELFKAFKGLQYLFMEEQERRLQLTKVISVEKEKNEIVQSQLELNSKRQKLQKAINSLDKVDLTNGQNPPGKFCIYFCEMLL >KJB71849 pep chromosome:Graimondii2_0_v6:11:23125417:23131279:-1 gene:B456_011G144600 transcript:KJB71849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH128 [Source:Projected from Arabidopsis thaliana (AT1G05805) UniProtKB/Swiss-Prot;Acc:Q8H102] MYPSSSSQKPMGQSGLTRYGSAPGSFLANAVDSVIGADPNLVGHYFSAADSSSLTSESTCKVSSSNDPREPKSAAAAAPAPPHPPPHHPHGSYPAPSSSSLLRQRSSPAGFLSHLTSENGFSVTMGNRNYSSQGSGNGGHGVSRLKSQLSFTRQDSLSQISEVSENLVDGVSSNSNHQNPAHSFAAAGFGMDSWDNTNSIVFSAPSSKRAKNLDGDIYNCFNALETQFSLPQTTLEMATVEKLLHIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQELVPNMDKQTSYADMLDLAVQHIKGLQNEVQKLHKELESCTCGCKQSS >KJB71850 pep chromosome:Graimondii2_0_v6:11:23125444:23131168:-1 gene:B456_011G144600 transcript:KJB71850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH128 [Source:Projected from Arabidopsis thaliana (AT1G05805) UniProtKB/Swiss-Prot;Acc:Q8H102] MYPSSSSQKPMGQSGLTRYGSAPGSFLANAVDSVIGADPNLVGHYFSAADSSSLTSESTCKVSSSNDPREPKSAAAAAPAPPHPPPHHPHGSYPAPSSSSLLRQRSSPAGFLSHLTSENGFSVTMGNRNYSSQGSGNGGHGVSRLKSQLSFTRQDSLSQISEVSENLVDGVSSNSNHQNPAHSFAAAGFGMDSWDNTNSIVFSAPSSKRAKNLDGDIYNCFNALETQFSLPQTTLEMATVEKLLHIPEDSVPCKIRAKRGCATHPRSIAERVKKNKNKWEAEEITRACS >KJB72111 pep chromosome:Graimondii2_0_v6:11:28996290:28998108:1 gene:B456_011G159600 transcript:KJB72111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLNRFVLIAVLYILVVDSFGVADHGVSGVDDKKRLIRRPFLFKAKGGGLGRGIYKKGFRHGIGGGLGGGFGGGGGIGGGGGLGGGAGGGFGGGGGLGGGGGLGGGGGGGLGGGGGLGGGGGLGGGAGGGLGGGGGLGGGAGGGVGGGLGGGAGGGLGGGGGVGVGGGAGGGLGGGHMLGGAGGGLGGGGGLGSGHGLGGGAGGGLGGGGGLGGGHGLGGGAGGGLGGGGGLGGGHGLGGGAGGGVGGGGGLGGGHGLGGGAGGGLGGGGGLGGGHGLGGGAGGGLGGGGGLGGGHGLGGGGGLGGGAGGGAGGGLGGGGGAGGGAGGGLGGVGGGGGGFGGGGGFGGGGGVGGGFGVGGGFGKGGGLGGGLGAGGGGLGGGGGGGGGAGFGGGAGFGAGGGGGH >KJB73068 pep chromosome:Graimondii2_0_v6:11:51362816:51365489:-1 gene:B456_011G213200 transcript:KJB73068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHGSCMPFGGVSMSSRLSKPFPCTSVFASIPRFSITDALYTRSSWKQDQDSKSWQVKGLPPLHLSAASTPLLSGDLGGLALTVPSFPRRRSSSLVPRASKDVPYSFRYPPMTKKPKWWWRSLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGRLPSWFLMAYFFVAYLGVVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAIYWGKIGMHFWTAVAFAYLFTVLECIRCALAGMYADIPFACDAAYIQIPYD >KJB73071 pep chromosome:Graimondii2_0_v6:11:51363238:51364193:-1 gene:B456_011G213200 transcript:KJB73071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHGSCMPFGGVSMSSRLSKPFPCTSVFASIPRFSITDALYTRSSWKQDQDSKSWQVKGLPPLHLSAASTPLLSGDLGGLALTVPSFPRRRSSSLVPRASKDVPYSFRYPPMTKKPKWWWRSLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGRLPSWFLMAYFFVAYLGVVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAIYWGKIGMHFWTAVAFAYLFTVLECIRCALAGMYADIPFACDAAYIQIPYD >KJB73070 pep chromosome:Graimondii2_0_v6:11:51362816:51364992:-1 gene:B456_011G213200 transcript:KJB73070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHGSCMPFGGVSMSSRLSKPFPCTSVFASIPRFSITDALYTRSSWKQDQDSKSWQVKGLPPLHLSAASTPLLSGDLGGLALTVPSFPRRRSSSLVPRASKDVPYSFRYPPMTKKPKWWWRSLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGRLPSWFLMAYFFVAYLGVVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAIYWGKIGMHFWTAVAFAYLFTVLECIRCALAGMYADIPFACDAAYIQIPYD >KJB73069 pep chromosome:Graimondii2_0_v6:11:51363238:51364193:-1 gene:B456_011G213200 transcript:KJB73069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHGSCMPFGGVSMSSRLSKPFPCTSVFASIPRFSITDALYTRSSWKQDQDSKSWQVKGLPPLHLSAASTPLLSGDLGGLALTVPSFPRRRSSSLVPRASKDVPYSFRYPPMTKKPKWWWRSLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGRLPSWFLMAYFFVAYLGVVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAIYWGKIGMHFWTAVAFAYLFTVLECIRCALAGMYADIPFACDAAYIQIPYD >KJB70068 pep chromosome:Graimondii2_0_v6:11:4597819:4601751:-1 gene:B456_011G057600 transcript:KJB70068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKKNSAVSSHSMSTYVVGCSSWENSTESHVQHSSISENLSLKMGLLPQYLNNNKQLSFQFQDQDSSSTQSTCQSYPEVASAGDSNLYEQSLISASSGGNEMHGKLVGDHTKLAAPMGTLECVLPPSQVDYSKSNAHIPLHYADPYFGGVVASAYTPQAMIHHQLTMAMLPARVPLPLELTQDEPIYVNAKQYQAILRRRQYRAKLEAQNKLIKVRKPYLHESRHLHALKRARGNGGRFLNTKKLQESKGITTSNGLDTCFSKSEVHQLENYKDAASTASCSDVTTASNSDEIFQQPDFRFSTYSSHIGGPMPGHTGDMQGGGNLHHRLCG >KJB70067 pep chromosome:Graimondii2_0_v6:11:4597944:4601403:-1 gene:B456_011G057600 transcript:KJB70067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKKNSAVSSHSMSTYVVGCSSWENSTESHVQHSSISENLSLKMGLLPQYLNNNKQLSFQFQDQDSSSTQSTCQSYPEVASAGDSNLYEQSLISASSGGNEMHGKLVGDHTKLAAPMGTLECVLPPSQVDYSKSNAHIPLHYADPYFGGVVASAYTPQAMIHHQLTMAMLPARVPLPLELTQDEPIYVNAKQYQAILRRRQYRAKLEAQNKLIKVRKPYLHESRHLHALKRARGNGGRFLNTKKLQESKGITTSNGLDTCFSKSEVHQLENYKDAASTASCSDVTTASNSDEIFQQPDFRFSTYSSHIGGPMPGHTGDMQGGGNLHHRLCG >KJB70070 pep chromosome:Graimondii2_0_v6:11:4598611:4600223:-1 gene:B456_011G057600 transcript:KJB70070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKKNSAVSSHSMSTYVVGCSSWENSTESHVQHSSISENLSLKMGLLPQYLNNNKQLSFQFQDQDSSSTQSTCQSYPEVASAGDSNLYEQSLISASSGGNEMHGKLVGDHTKLAAPMGTLECVLPPSQVDYSKSNAHIPLHYADPYFGGVVASAYTPQAMIHHQLTMAMLPARVPLPLELTQDEPIYVNAKQYQAILRRRQYRAKLEAQNKLIKVRKVCFFKFFLLAARELQLRLGW >KJB70071 pep chromosome:Graimondii2_0_v6:11:4597944:4601654:-1 gene:B456_011G057600 transcript:KJB70071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCVISLLLHQNPQGGNEMHGKLVGDHTKLAAPMGTLECVLPPSQVDYSKSNIHHQLTMAMLPARVPLPLELTQDEPIYVNAKQYQAILRRRQYRAKLEAQNKLIKVRKPYLHESRHLHALKRARGNGGRFLNTKKLQESKGITTSNGLDTCFSKSEVHQLENYKDAASTASCSDVTTASNSDEIFQQPDFRFSTYSSHIGGPMPGHTGDMQGGGNLHHRLCG >KJB70069 pep chromosome:Graimondii2_0_v6:11:4597944:4601403:-1 gene:B456_011G057600 transcript:KJB70069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKKNSAVSSHSMSTYVVGCSSWENSTESHVQHSSISENLSLKMGLLPQYLNNNKQLSFQFQDQDSSSTQSTCQSYPEVASAGDSNLYEQSLISASSGGNEMHGKLVGDHTKLAAPMGTLECVLPPSQVDYSKSNAHIPLHYADPYFGGVVASAYTPQAMQIHHQLTMAMLPARVPLPLELTQDEPIYVNAKQYQAILRRRQYRAKLEAQNKLIKVRKPYLHESRHLHALKRARGNGGRFLNTKKLQESKGITTSNGLDTCFSKSEVHQLENYKDAASTASCSDVTTASNSDEIFQQPDFRFSTYSSHIGGPMPGHTGDMQGGGNLHHRLCG >KJB70066 pep chromosome:Graimondii2_0_v6:11:4597819:4601730:-1 gene:B456_011G057600 transcript:KJB70066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKKNSAVSSHSMSTYVVGCSSWENSTESHVQHSSISENLSLKMGLLPQYLNNNKQLSFQFQDQDSSSTQSTCQSYPEVASAGDSNLYEQSLISASSGGNEMHGKLVGDHTKLAAPMGTLECVLPPSQVDYSKSNIHHQLTMAMLPARVPLPLELTQDEPIYVNAKQYQAILRRRQYRAKLEAQNKLIKVRKPYLHESRHLHALKRARGNGGRFLNTKKLQESKGITTSNGLDTCFSKSEVHQLENYKDAASTASCSDVTTASNSDEIFQQPDFRFSTYSSHIGGPMPGHTGDMQGGGNLHHRLCG >KJB74280 pep chromosome:Graimondii2_0_v6:11:61752467:61754716:-1 gene:B456_011G285000 transcript:KJB74280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKSITFFVVFLSCLLVSKAEKVEVVVEGATSIAQTDEDFICVTLDWWPTNKCDYDQCPWGQAGLFNLDLKNKILEKAVKAFHPLRIRVGGSLQDQVVYNVRNNIENCQPFQKQDKGFLFGFSIGCLDMKRWDELNEFFNQTRAKVTFGLNALIGRKESETEKTLWVGDWYSHNARDLMSYTISKGYKIDSYELGNELCGVGVSARIEAKQYAKDMATLKNLVKEMYPNPKTQPKVLGPGGFYDKKWFDTFLDASGHDVIDGVTHHIYNLGPGNNPDVVRRVQDPFFLTQIAQTFKDVSNAIVKFAPWSGAWVSESGGAYNSGGQLVSYTFAFGFWYLDQLGMTSVYNHKVYCRQALIGGNYALLNTTTFVPNPDYYGALLWHRVMGSKVLSVTHKGSPYLRVYSHCAKKEPGVSFVFINLSKNTSFEIDLFHDLNLNGGSPNFEFKGHKKREEYHLTPKDGNILSSIVLLNGTPLELSDSLEIPELKPKLVDGLKPISIAAHSIAFVTIRDFNAPAFS >KJB69447 pep chromosome:Graimondii2_0_v6:11:1722736:1727698:-1 gene:B456_011G024000 transcript:KJB69447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MEGEGAIPDHLRCNRTDGRQWRCKRRVMEGKKLCELHHIQGRHRQNKQKVPESLKMQRKKRKKKVFEKNKLEIRAKLLKLARPVKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREAEKKKKKRKESECSDFDDDDDDDDDDEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSASGSGSVSGSCINVKVGETDNNAVAITRRRFRSKNIEPLPVGTLQKNGANLRRGRRIRCHWCRKGGAPNLIKCSSCKQQFFCLDCIKEHYFFKREEVQVACPVCRGTCGCRACSVSQRRDDECKEFLRDKIKVDKVLDFHYLICMLLPVFKQINQDQSVEIDVEAKIQGKKLCDIQVQPAESGGNKEYCCSYCKTLILDFHRSCPKCSYSLCLSCCRDIFQGNLFGTIKEVNCKCPKRRKTCLPGICLSDRKSVRITRQTSDSRYSDSFVSLPSQKAPDGSVPISCPPSEFGGCGDGLLDLRCILPQSWFKELEVSAEEIVGSYELPEAFDTFSCCSLCPATDDEAKRVKQLQEASRRENSNDNFLFYPTVLNIHGDNLEHFQKHWCKGHPVIFRNVLRTTALSWDPIFLFCSYLKNSVAKAENEEPSKATTCSDWFEVEIGIKQLFLGSLRGLAQSSMCDEKLKLKGWLSSPLFQEQFPDHYSEIIQSLPLREYMNPGSGILNIAARLPQEITKPDLGPSVSISYCSSEELAQANSVTNLCYGLCDMVNVLAHATDAPVCMKQLNKIRKLMKKKKFQDERELAKTHLDQKMANEVKEKSKSNGENIKVGLNGMIYKEMHSRNTVPKLSRSPSAVHDAHDKEDSSDSDSDSDSDCNSNSEAALHPCDTIHGSEALEDQEIFGKRTDLAKSCGAEWDVFRRQDVPKLMEYLRKHSNEFGHTCGFQKHVVHPILDQNFFLDTSHKRRLKEEYEIEPWTFEQHVGEAIIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIRLINEFRLLPDDHKAKAKKFEVEKMALYRISAAIKEIRELICSESSAELSE >KJB69441 pep chromosome:Graimondii2_0_v6:11:1722736:1727698:-1 gene:B456_011G024000 transcript:KJB69441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MEGEGAIPDHLRCNRTDGRQWRCKRRVMEGKKLCELHHIQGRHRQNKQKVPESLKMQRKKRKKKVFEKNKLEIRAKLLKLARPVKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREAEKKKKKRKESECSDFDDDDDDDDDDEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSASGSGSVSGSCINVKVGETDNNAVAITRRRFRSKNIEPLPVGTLQVLPYKKNGANLRRGRRIRCHWCRKGGAPNLIKCSSCKQQFFCLDCIKEHYFFKREEVQVACPVCRGTCGCRACSVSQRRDDECKEFLRDKIKVDKVLDFHYLICMLLPVFKQINQDQSVEIDVEAKIQGKKLCDIQVQPAESGGNKEYCCSYCKTLILDFHRSCPKCSYSLCLSCCRDIFQGNLFGTIKEVNCKCPKRRKTCLPGICLSDRKSVRITRQTSDSRYSDSFVSLPSQKAPDGSVPISCPPSEFGGCGDGLLDLRCILPQSWFKELEVSAEEIVGSYELPEAFDTFSCCSLCPATDDEAKRVKQLQEASRRENSNDNFLFYPTVLNIHGDNLEHFQKHWCKGHPVIFRNVLRTTALSWDPIFLFCSYLKNSVAKAENEEPSKATTCSDWFEVEIGIKQLFLGSLRGLAQSSMCDEKLKLKGWLSSPLFQEQFPDHYSEIIQSLPLREYMNPGSGILNIAARLPQEITKPDLGPSVSISYCSSEELAQANSVTNLCYGLCDMVNVLAHATDAPVCMKQLNKIRKLMKKKKFQDERELAKTHLDQKMANEVKEKSKSNGENIKVGLNAALHPCDTIHGSEALEDQEIFGKRTDLAKSCGAEWDVFRRQDVPKLMEYLRKHSNEFGHTCGFQKHVVHPILDQNFFLDTSHKRRLKEEYEIEPWTFEQHVGEAIIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIRLINEFRLLPDDHKAKAKKFEVEKMALYRISAAIKEIRELICSEFRSSAELSE >KJB69439 pep chromosome:Graimondii2_0_v6:11:1722736:1727698:-1 gene:B456_011G024000 transcript:KJB69439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MEGEGAIPDHLRCNRTDGRQWRCKRRVMEGKKLCELHHIQGRHRQNKQKVPESLKMQRKKRKKKVFEKNKLEIRAKLLKLARPVKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREAEKKKKKRKESECSDFDDDDDDDDDDEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSASGSGSVSGSCINVKVGETDNNAVAITRRRFRSKNIEPLPVGTLQVLPYKKNGANLRRGRRIRCHWCRKGGAPNLIKCSSCKQQFFCLDCIKEHYFFKREEVQVACPVCRGTCGCRACSVSQRRDDECKEFLRDKIKVDKVLDFHYLICMLLPVFKQINQDQSVEIDVEAKIQGKKLCDIQVQPAESGGNKEYCCYCKTLILDFHRSCPKCSYSLCLSCCRDIFQGNLFGTIKEVNCKCPKRRKTCLPGICLSDRKSVRITRQTSDSRYSDSFVSLPSQKAPDGSVPISCPPSEFGGCGDGLLDLRCILPQSWFKELEVSAEEIVGSYELPEAFDTFSCCSLCPATDDEAKRVKQLQEASRRENSNDNFLFYPTVLNIHGDNLEHFQKHWCKGHPVIFRNVLRTTALSWDPIFLFCSYLKNSVAKAENEEPSKATTCSDWFEVEIGIKQLFLGSLRGLAQSSMCDEKLKLKGWLSSPLFQEQFPDHYSEIIQSLPLREYMNPGSGILNIAARLPQEITKPDLGPSVSISYCSSEELAQANSVTNLCYGLCDMVNVLAHATDAPVCMKQLNKIRKLMKKKKFQDERELAKTHLDQKMANEVKEKSKSNGENIKVGLNGMIYKEMHSRNTVPKLSRSPSAVHDAHDKEDSSDSDSDSDSDCNSNSEAALHPCDTIHGSEALEDQEIFGKRTDLAKSCGAEWDVFRRQDVPKLMEYLRKHSNEFGHTCGFQKHVVHPILDQNFFLDTSHKRRLKEEYEIEPWTFEQHVGEAIIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIRLINEFRLLPDDHKAKAKKFEVEKMALYRISAAIKEIRELICSEFRSSAELSE >KJB69446 pep chromosome:Graimondii2_0_v6:11:1722393:1728112:-1 gene:B456_011G024000 transcript:KJB69446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MEGEGAIPDHLRCNRTDGRQWRCKRRVMEGKKLCELHHIQGRHRQNKQKVPESLKMQRKKRKKKVFEKNKLEIRAKLLKLARPVKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREAEKKKKKRKESECSDFDDDDDDDDDDEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSASGSGSVSGSCINVKVGETDNNAVAITRRRFRSKNIEPLPVGTLQKNGANLRRGRRIRCHWCRKGGAPNLIKCSSCKQQFFCLDCIKEHYFFKREEVQVACPVCRGTCGCRACSVSQRRDDECKEFLRDKIKVDKVLDFHYLICMLLPVFKQINQDQSVEIDVEAKIQGKKLCDIQVQPAESGGNKEYCCYCKTLILDFHRSCPKCSYSLCLSCCRDIFQGNLFGTIKEVNCKCPKRRKTCLPGICLSDRKSVRITRQTSDSRYSDSFVSLPSQKAPDGSVPISCPPSEFGGCGDGLLDLRCILPQSWFKELEVSAEEIVGSYELPEAFDTFSCCSLCPATDDEAKRVKQLQEASRRENSNDNFLFYPTVLNIHGDNLEHFQKHWCKGHPVIFRNVLRTTALSWDPIFLFCSYLKNSVAKAENEEPSKATTCSDWFEVEIGIKQLFLGSLRGLAQSSMCDEKLKLKGWLSSPLFQEQFPDHYSEIIQSLPLREYMNPGSGILNIAARLPQEITKPDLGPSVSISYCSSEELAQANSVTNLCYGLCDMVNVLAHATDAPVCMKQLNKIRKLMKKKKFQDERELAKTHLDQKMANEVKEKSKSNGENIKVGLNGMIYKEMHSRNTVPKLSRSPSAVHDAHDKEDSSDSDSDSDSDCNSNSEAALHPCDTIHGSEALEDQEIFGKRTDLAKSCGAEWDVFRRQDVPKLMEYLRKHSNEFGHTCGFQKHVVHPILDQNFFLDTSHKRRLKEEYEIEPWTFEQHVGEAIIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIRLINEFRLLPDDHKAKAKKFEVEKMALYRISAAIKEIRELICSESSAELSE >KJB69443 pep chromosome:Graimondii2_0_v6:11:1722736:1727698:-1 gene:B456_011G024000 transcript:KJB69443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MEGEGAIPDHLRCNRTDGRQWRCKRRVMEGKKLCELHHIQGRHRQNKQKVPESLKMQRKKRKKKVFEKNKLEIRAKLLKLARPVKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREAEKKKKKRKESECSDFDDDDDDDDDDEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSASGSGSVSGSCINVKVGETDNNAVAITRRRFRSKNIEPLPVGTLQNGANLRRGRRIRCHWCRKGGAPNLIKCSSCKQQFFCLDCIKEHYFFKREEVQVACPVCRGTCGCRACSVSQRRDDECKEFLRDKIKVDKVLDFHYLICMLLPVFKQINQDQSVEIDVEAKIQGKKLCDIQVQPAESGGNKEYCCSYCKTLILDFHRSCPKCSYSLCLSCCRDIFQGNLFGTIKEVNCKCPKRRKTCLPGICLSDRKSVRITRQTSDSRYSDSFVSLPSQKAPDGSVPISCPPSEFGGCGDGLLDLRCILPQSWFKELEVSAEEIVGSYELPEAFDTFSCCSLCPATDDEAKRVKQLQEASRRENSNDNFLFYPTVLNIHGDNLEHFQKHWCKGHPVIFRNVLRTTALSWDPIFLFCSYLKNSVAKAENEEPSKATTCSDWFEVEIGIKQLFLGSLRGLAQSSMCDEKLKLKGWLSSPLFQEQFPDHYSEIIQSLPLREYMNPGSGILNIAARLPQEITKPDLGPSVSISYCSSEELAQANSVTNLCYGLCDMVNVLAHATDAPVCMKQLNKIRKLMKKKKFQDERELAKTHLDQKMANEVKEKSKSNGENIKVGLNAALHPCDTIHGSEALEDQEIFGKRTDLAKSCGAEWDVFRRQDVPKLMEYLRKHSNEFGHTCGFQKHVVHPILDQNFFLDTSHKRRLKEEYEIEPWTFEQHVGEAIIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIRLINEFRLLPDDHKAKAKKFEVEKMALYRISAAIKEIRELICSEFRSSAELSE >KJB69440 pep chromosome:Graimondii2_0_v6:11:1722321:1728112:-1 gene:B456_011G024000 transcript:KJB69440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MEGEGAIPDHLRCNRTDGRQWRCKRRVMEGKKLCELHHIQGRHRQNKQKVPESLKMQRKKRKKKVFEKNKLEIRAKLLKLARPVKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREAEKKKKKRKESECSDFDDDDDDDDDDEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSASGSGSVSGSCINVKVGETDNNAVAITRRRFRSKNIEPLPVGTLQVLPYKKNGANLRRGRRIRCHWCRKGGAPNLIKCSSCKQQFFCLDCIKEHYFFKREEVQVACPVCRGTCGCRACSVSQRRDDECKEFLRDKIKVDKVLDFHYLICMLLPVFKQINQDQSVEIDVEAKIQGKKLCDIQVQPAESGGNKEYCCYCKTLILDFHRSCPKCSYSLCLSCCRDIFQGNLFGTIKEVNCKCPKRRKTCLPGICLSDRKSVRITRQTSDSRYSDSFVSLPSQKAPDGSVPISCPPSEFGGCGDGLLDLRCILPQSWFKELEVSAEEIVGSYELPEAFDTFSCCSLCPATDDEAKRVKQLQEASRRENSNDNFLFYPTVLNIHGDNLEHFQKHWCKGHPVIFRNVLRTTALSWDPIFLFCSYLKNSVAKAENEEPSKATTCSDWFEVEIGIKQLFLGSLRGLAQSSMCDEKLKLKGWLSSPLFQEQFPDHYSEIIQSLPLREYMNPGSGILNIAARLPQEITKPDLGPSVSISYCSSEELAQANSVTNLCYGLCDMVNVLAHATDAPVCMKQLNKIRKLMKKKKFQDERELAKTHLDQKMANEVKEKSKSNGENIKVGLNGMIYKEMHSRNTVPKLSRSPSAVHDAHDKEDSSDSDSDSDSDCNSNSEAALHPCDTIHGSEALEDQEIFGKRTDLAKSCGAEWDVFRRQDVPKLMEYLRKHSNEFGHTCGFQKHVVHPILDQNFFLDTSHKRRLKEEYEIEPWTFEQHVGEAIIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIRLINEFRLLPDDHKAKAKKFEVEKMALYRISAAIKEIRELICSESSAELSE >KJB69450 pep chromosome:Graimondii2_0_v6:11:1722437:1728112:-1 gene:B456_011G024000 transcript:KJB69450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MEGEGAIPDHLRCNRTDGRQWRCKRRVMEGKKLCELHHIQGRHRQNKQKVPESLKMQRKKRKKKVFEKNKLEIRAKLLKLARPVKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREAEKKKKKRKESECSDFDDDDDDDDDDEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSASGSGSVSGSCINVKVGETDNNAVAITRRRFRSKNIEPLPVGTLQNGANLRRGRRIRCHWCRKGGAPNLIKCSSCKQQFFCLDCIKEHYFFKREEVQVACPVCRGTCGCRACSVSQRRDDECKEFLRDKIKVDKVLDFHYLICMLLPVFKQINQDQSVEIDVEAKIQGKKLCDIQVQPAESGGNKEYCCYCKTLILDFHRSCPKCSYSLCLSCCRDIFQGNLFGTIKEVNCKCPKRRKTCLPGICLSDRKSVRITRQTSDSRYSDSFVSLPSQKAPDGSVPISCPPSEFGGCGDGLLDLRCILPQSWFKELEVSAEEIVGSYELPEAFDTFSCCSLCPATDDEAKRVKQLQEASRRENSNDNFLFYPTVLNIHGDNLEHFQKHWCKGHPVIFRNVLRTTALSWDPIFLFCSYLKNSVAKAENEEPSKATTCSDWFEVEIGIKQLFLGSLRGLAQSSMCDEKLKLKGWLSSPLFQEQFPDHYSEIIQSLPLREYMNPGSGILNIAARLPQEITKPDLGPSVSISYCSSEELAQANSVTNLCYGLCDMVNVLAHATDAPVCMKQLNKIRKLMKKKKFQDERELAKTHLDQKMANEVKEKSKSNGENIKVGLNGMIYKEMHSRNTVPKLSRSPSAVHDAHDKEDSSDSDSDSDSDCNSNSEAALHPCDTIHGSEALEDQEIFGKRTDLAKSCGAEWDVFRRQDVPKLMEYLRKHSNEFGHTCGFQKHVVHPILDQNFFLDTSHKRRLKEEYEIEPWTFEQHVGEAIIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIRLINEFRLLPDDHKAKAKKFEVEKMALYRISAAIKEIRELICSEFRSSAELSE >KJB69444 pep chromosome:Graimondii2_0_v6:11:1722368:1728112:-1 gene:B456_011G024000 transcript:KJB69444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MEGEGAIPDHLRCNRTDGRQWRCKRRVMEGKKLCELHHIQGRHRQNKQKVPESLKMQRKKRKKKVFEKNKLEIRAKLLKLARPVKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREAEKKKKKRKESECSDFDDDDDDDDDDEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSASGSGSVSGSCINVKVGETDNNAVAITRRRFRSKNIEPLPVGTLQNGANLRRGRRIRCHWCRKGGAPNLIKCSSCKQQFFCLDCIKEHYFFKREEVQVACPVCRGTCGCRACSVSQRRDDECKEFLRDKIKVDKVLDFHYLICMLLPVFKQINQDQSVEIDVEAKIQGKKLCDIQVQPAESGGNKEYCCSYCKTLILDFHRSCPKCSYSLCLSCCRDIFQGNLFGTIKEVNCKCPKRRKTCLPGICLSDRKSVRITRQTSDSRYSDSFVSLPSQKAPDGSVPISCPPSEFGGCGDGLLDLRCILPQSWFKELEVSAEEIVGSYELPEAFDTFSCCSLCPATDDEAKRVKQLQEASRRENSNDNFLFYPTVLNIHGDNLEHFQKHWCKGHPVIFRNVLRTTALSWDPIFLFCSYLKNSVAKAENEEPSKATTCSDWFEVEIGIKQLFLGSLRGLAQSSMCDEKLKLKGWLSSPLFQEQFPDHYSEIIQSLPLREYMNPGSGILNIAARLPQEITKPDLGPSVSISYCSSEELAQANSVTNLCYGLCDMVNVLAHATDAPVCMKQLNKIRKLMKKKKFQDERELAKTHLDQKMANEVKEKSKSNGENIKVGLNAALHPCDTIHGSEALEDQEIFGKRTDLAKSCGAEWDVFRRQDVPKLMEYLRKHSNEFGHTCGFQKHVVHPILDQNFFLDTSHKRRLKEEYEIEPWTFEQHVGEAIIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIRLINEFRLLPDDHKAKAKKFEVEKMALYRISAAIKEIRELICSESSAELSE >KJB69449 pep chromosome:Graimondii2_0_v6:11:1722397:1728112:-1 gene:B456_011G024000 transcript:KJB69449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MEGEGAIPDHLRCNRTDGRQWRCKRRVMEGKKLCELHHIQGRHRQNKQKVPESLKMQRKKRKKKVFEKNKLEIRAKLLKLARPVKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREAEKKKKKRKESECSDFDDDDDDDDDDEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSASGSGSVSGSCINVKVGETDNNAVAITRRRFRSKNIEPLPVGTLQKNGANLRRGRRIRCHWCRKGGAPNLIKCSSCKQQFFCLDCIKEHYFFKREEVQVACPVCRGTCGCRACSVSQRRDDECKEFLRDKIKVDKVLDFHYLICMLLPVFKQINQDQSVEIDVEAKIQGKKLCDIQVQPAESGGNKEYCCYCKTLILDFHRSCPKCSYSLCLSCCRDIFQGNLFGTIKEVNCKCPKRRKTCLPGICLSDRKSVRITRQTSDSRYSDSFVSLPSQKAPDGSVPISCPPSEFGGCGDGLLDLRCILPQSWFKELEVSAEEIVGSYELPEAFDTFSCCSLCPATDDEAKRVKQLQEASRRENSNDNFLFYPTVLNIHGDNLEHFQKHWCKGHPVIFRNVLRTTALSWDPIFLFCSYLKNSVAKAENEEPSKATTCSDWFEVEIGIKQLFLGSLRGLAQSSMCDEKLKLKGWLSSPLFQEQFPDHYSEIIQSLPLREYMNPGSGILNIAARLPQEITKPDLGPSVSISYCSSEELAQANSVTNLCYGLCDMVNVLAHATDAPVCMKQLNKIRKLMKKKKFQDERELAKTHLDQKMANEVKEKSKSNGENIKVGLNGMIYKEMHSRNTVPKLSRSPSAVHDAHDKEDSSDSDSDSDSDCNSNSEAALHPCDTIHGSEALEDQEIFGKRTDLAKSCGAEWDVFRRQDVPKLMEYLRKHSNEFGHTCGFQKHVVHPILDQNFFLDTSHKRRLKEEYEIEPWTFEQHVGEAIIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIRLINEFRLLPDDHKAKAKKFEVEKMALYRISAAIKEIRELICSEFRSSAELSE >KJB69448 pep chromosome:Graimondii2_0_v6:11:1722736:1727698:-1 gene:B456_011G024000 transcript:KJB69448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MEGEGAIPDHLRCNRTDGRQWRCKRRVMEGKKLCELHHIQGRHRQNKQKVPESLKMQRKKRKKKVFEKNKLEIRAKLLKLARPVKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREAEKKKKKRKESECSDFDDDDDDDDDDEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSASGSGSVSGSCINVKVGETDNNAVAITRRRFRSKNIEPLPVGTLQKNGANLRRGRRIRCHWCRKGGAPNLIKCSSCKQQFFCLDCIKEHYFFKREEVQVACPVCRGTCGCRACSVSQRRDDECKEFLRDKIKVDKVLDFHYLICMLLPVFKQINQDQSVEIDVEAKIQGKKLCDIQVQPAESGGNKEYCCYCKTLILDFHRSCPKCSYSLCLSCCRDIFQGNLFGTIKEVNCKCPKRRKTCLPGICLSDRKSVRITRQTSDSRYSDSFVSLPSQKAPDGSVPISCPPSEFGGCGDGLLDLRCILPQSWFKELEVSAEEIVGSYELPEAFDTFSCCSLCPATDDEAKRVKQLQEASRRENSNDNFLFYPTVLNIHGDNLEHFQKHWCKGHPVIFRNVLRTTALSWDPIFLFCSYLKNSVAKAENEEPSKATTCSDWFEVEIGIKQLFLGSLRGLAQSSMCDEKLKLKGWLSSPLFQEQFPDHYSEIIQSLPLREYMNPGSGILNIAARLPQEITKPDLGPSVSISYCSSEELAQANSVTNLCYGLCDMVNVLAHATDAPVCMKQLNKIRKLMKKKKFQDERELAKTHLDQKMANEVKEKSKSNGENIKVGLNGMIYKEMHSRNTVPKLSRSPSAVHDAHDKEDSSDSDSDSDSDCNSNSEAALHPCDTIHGSEALEDQEIFGKRTDLAKSCGAEWDVFRRQDVPKLMEYLRKHSNEFGHTCGFQKHVVHPILDQNFFLDTSHKRRLKEEYEIEPWTFEQHVGEAIIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIRLINEFRLLPDDHKAKAKKFEVEKMALYRISAAIKEIRELICSEFRSSAELSE >KJB69445 pep chromosome:Graimondii2_0_v6:11:1722393:1728112:-1 gene:B456_011G024000 transcript:KJB69445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MEGEGAIPDHLRCNRTDGRQWRCKRRVMEGKKLCELHHIQGRHRQNKQKVPESLKMQRKKRKKKVFEKNKLEIRAKLLKLARPVKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREAEKKKKKRKESECSDFDDDDDDDDDDEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSASGSGSVSGSCINVKVGETDNNAVAITRRRFRSKNIEPLPVGTLQVLPYKKNGANLRRGRRIRCHWCRKGGAPNLIKCSSCKQQFFCLDCIKEHYFFKREEVQVACPVCRGTCGCRACSVSQRRDDECKEFLRDKIKVDKVLDFHYLICMLLPVFKQINQDQSVEIDVEAKIQGKKLCDIQVQPAESGGNKEYCCYCKTLILDFHRSCPKCSYSLCLSCCRDIFQGNLFGTIKEVNCKCPKRRKTCLPGICLSDRKSVRITRQTSDSRYSDSFVSLPSQKAPDGSVPISCPPSEFGGCGDGLLDLRCILPQSWFKELEVSAEEIVGSYELPEAFDTFSCCSLCPATDDEAKRVKQLQEASRRENSNDNFLFYPTVLNIHGDNLEHFQKHWCKGHPVIFRNVLRTTALSWDPIFLFCSYLKNSVAKAENEEPSKATTCSDWFEVEIGIKQLFLGSLRGLAQSSMCDEKLKLKGWLSSPLFQEQFPDHYSEIIQSLPLREYMNPGSGILNIAARLPQEITKPDLGPSVSISYCSSEELAQANSVTNLCYGLCDMVNVLAHATDAPVCMKQLNKIRKLMKKKKFQDERELAKTHLDQKMANEVKEKSKSNGENIKVGLNGMIYKEMHSRNTVPKLSRSPSAVHDAHDKEDSSDSDSDSDSDCNSNSEAALHPCDTIHGSEALEDQEIFGKRTDLAKSCGAEWDVFRRQDVPKLMEYLRKHSNEFGHTCGFQKHVVHPILDQNFFLDTSHKRRLKEEYEIEPWTFEQHVGEAIIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIRLINEFRLLPDDHKAKAKKFEVEKMALYRISAAIKEIRELICSESSAELSE >KJB69442 pep chromosome:Graimondii2_0_v6:11:1722368:1728112:-1 gene:B456_011G024000 transcript:KJB69442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MEGEGAIPDHLRCNRTDGRQWRCKRRVMEGKKLCELHHIQGRHRQNKQKVPESLKMQRKKRKKKVFEKNKLEIRAKLLKLARPVKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREAEKKKKKRKESECSDFDDDDDDDDDDEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSASGSGSVSGSCINVKVGETDNNAVAITRRRFRSKNIEPLPVGTLQVLPYKKNGANLRRGRRIRCHWCRKGGAPNLIKCSSCKQQFFCLDCIKEHYFFKREEVQVACPVCRGTCGCRACSVSQRRDDECKEFLRDKIKVDKVLDFHYLICMLLPVFKQINQDQSVEIDVEAKIQGKKLCDIQVQPAESGGNKEYCCSYCKTLILDFHRSCPKCSYSLCLSCCRDIFQGNLFGTIKEVNCKCPKRRKTCLPGICLSDRKSVRITRQTSDSRYSDSFVSLPSQKAPDGSVPISCPPSEFGGCGDGLLDLRCILPQSWFKELEVSAEEIVGSYELPEAFDTFSCCSLCPATDDEAKRVKQLQEASRRENSNDNFLFYPTVLNIHGDNLEHFQKHWCKGHPVIFRNVLRTTALSWDPIFLFCSYLKNSVAKAENEEPSKATTCSDWFEVEIGIKQLFLGSLRGLAQSSMCDEKLKLKGWLSSPLFQEQFPDHYSEIIQSLPLREYMNPGSGILNIAARLPQEITKPDLGPSVSISYCSSEELAQANSVTNLCYGLCDMVNVLAHATDAPVCMKQLNKIRKLMKKKKFQDERELAKTHLDQKMANEVKEKSKSNGENIKVGLNAALHPCDTIHGSEALEDQEIFGKRTDLAKSCGAEWDVFRRQDVPKLMEYLRKHSNEFGHTCGFQKHVVHPILDQNFFLDTSHKRRLKEEYEIEPWTFEQHVGEAIIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIRLINEFRLLPDDHKAKAKKFEVEKMALYRISAAIKEIRELICSESSAELSE >KJB72214 pep chromosome:Graimondii2_0_v6:11:37493765:37495210:-1 gene:B456_011G170700 transcript:KJB72214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCVATELRLGLPGTDNVRNKKRPLQEAEEECGANGKSDAQDETAPPAKAQIVGWPPIRSYRKKNIQAKKNDSEGPGIYIKVGMDGAPYLRKIDLKVYSGYPDLLQALENMFKFTIGKYSEREGYKGSDYAPTYEDKDGDWMLVGDDPWEMFITSCKRLRIMKDSEARGLGCGV >KJB72215 pep chromosome:Graimondii2_0_v6:11:37494206:37495019:-1 gene:B456_011G170700 transcript:KJB72215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCVATELRLGLPGTDNVRNKKRPLQEAEEECGANGKSDAQDETAPPAKAQIVGWPPIRSYRKKNIQAKKNDSEGPGIYIKVGMDGAPYLRKIDLKVYSGYPDLLQALENMFKFTIVLSGKYSEREGYKGSDYAPTYEDKDGDWMLVGDDPWEMFITSCKRLRIMKDSEARGLGCGV >KJB72827 pep chromosome:Graimondii2_0_v6:11:48244894:48250719:-1 gene:B456_011G199900 transcript:KJB72827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMDDDFDDLYADVEVQASSAVGEPEENNPGNGSKSTEVDNKFIAGSVTEDSDSEDDLNIVLNDDDDCQNFPVTGARSHGGSYEENENGDFGVDETGSDNISRRVEPFSDGSELKFRGDGVEIGIGAKIEVNSLFKYVRPHGSSFPSNIRVNGHTGVLSFSYKSRRGDWEDDVYNQNKVATMKSLPHQFGHCFSLPWYRTILDVNIDAFEEKPWRHASADITDFFNYGFNEDSWKEYCNSLEKLGQQTSRQARIPSHYSSKLDQAYEAEAGHEIITREAITEDVAEVDSSLKCADRGAMLLELPKGRAIKVEDSINERQPSMDIRRPRFQDSGVIIEDSTVDSSDSANEELGHGSKSKVLESGKLDAKDDRNVCFSVSASSEELNEEHYARARNPASNQTSLETSDHGKAYVSDMNGGCHQNMEVHISEGTAEAMATKNKENEAACRNTHHSHTYVIETEPSLENRSHFSPTLSFSGSDPEDSVDAASIEIRSPLRRKEPGYGTGLQKSLDHKSSRSDGPKMKLNDGEGFSEHTTPMKDKQKHESWRHQHSLKQRIVVESDDEYDPYPISDVEGDWKRYRRDGNPTEEEWKHHRGRPHGIIDQKIYPKNCYEASLLSNARELYYKDYSSVYCGRQKERLKDHKEPCVNRSKRFTDSHLWALGRKAHLRFKKDSDHFGQRLPAQKSLVPHTCRESGSLASRYSSASTERDIQWRRGSERLQLRKKTDHGDYPLDYKHEDEWLKLKYDSSISFTRCERGLVKSYERCIPPIRREVKVSGREGRFVDAALFHLNRSGTVESEVVCQRQVYSRSLALAIDIELSAHNGRRWFNAASPRNEASESLIERCHRHQRIVCNEEDRESTWFSSYNFQSWGRGRSKRSRVLHWREDKLLVNDRLFAQWVSFSCEKTSKHDSIHATLGSLQDEVFNNDSMLEHHGYEMISEGSNATCFKRKSFIRYRGENEQVVLKDRDSVDLIVVERKVKLGKPRSRKSCIIYNLTVRFLLILLFSLHFYFHVHYLHDYVHRLFMIDIDFFLDFCYRSFRRHSDSRNLVCKARVVKMGSEYPTERKAVMEFHDSYGSKTADKDNRNKNGRRNNNEKQFGKFSVTECNKYLDIEEGQIIHEKQSVEDINPEKENASETMIQRGKAKMRTLLVDSAFDKNGAVGEYENKRILETLAKMEKGRERFRDPITIKREQDKTSTPQVELVVQTNETKRQRPARKRQWGVS >KJB72219 pep chromosome:Graimondii2_0_v6:11:32710582:32714960:1 gene:B456_011G165800 transcript:KJB72219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQESSTSMSSVASSRKKDRYTGNARRFRIFGVDFSPESVAVAMVYFVQGALGLSRLAVSFYLKDDLHLDPAETALISGFSSLPWLVKPLYGFISDSFPLFGYRRRSYLVLSGLLGALSWSLMATFVGSKYGAVVCILIGSLSVAFSDVVVDSMVVERARGESQAISGSLQSLCWGSSAFGSIVSSYFSGSLVDAYGVRFVFGITALLPMITSAVAVLVKEQHVPGPTRGQNVLLANPSFLESSRENIIQLWNAVREPNVFLPTVFIFFWQATPQSDSAVFYFTISRTCKTCHFGCIIGRSWIV >KJB72217 pep chromosome:Graimondii2_0_v6:11:32710582:32714960:1 gene:B456_011G165800 transcript:KJB72217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLAVSRRRRPRRKHTQKRSDTSMFLPLSSRGNESLLDSRNSSGNGDSIPMEQESSTSMSSVASSRKKDRYTGNARRFRIFGVDFSPESVAVAMVYFVQGALGLSRLAVSFYLKDDLHLDPAETALISGFSSLPWLVKPLYGFISDSFPLFGYRRRSYLVLSGLLGALSWSLMATFVGSKYGAVVCILIGSLSVAFSDVVVDSMVVERARGESQAISGSLQSLCWGSSAFGSIVSSYFSGSLVDAYGVRFVFGITALLPMITSAVAVLVKEQHVPGPTRGQNVLLANPSFLESSRENIIQLWNAVREPNVFLPTVFIFFWQATPQSDSAVFYFT >KJB72216 pep chromosome:Graimondii2_0_v6:11:32710401:32715170:1 gene:B456_011G165800 transcript:KJB72216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHSLSSILPSQNPILSSLSYPLSPYHRQIRHLRPMVPLAVSRRRRPRRKHTQKRSDTSMFLPLSSRGNESLLDSRNSSGNGDSIPMEQESSTSMSSVASSRKKDRYTGNARRFRIFGVDFSPESVAVAMVYFVQGALGLSRLAVSFYLKDDLHLDPAETALISGFSSLPWLVKPLYGFISDSFPLFGYRRRSYLVLSGLLGALSWSLMATFVGSKYGAVVCILIGSLSVAFSDVVVDSMVVERARGESQAISGSLQSLCWGSSAFGSIVSSYFSGSLVDAYGVRFVFGITALLPMITSAVAVLVKEQHVPGPTRGQNVLLANPSFLESSRENIIQLWNAVREPNVFLPTVFIFFWQATPQSDSAVFYFTTNKLGFTPEFLGRVKLVTSVASLVGVGLYNGLLKRVPLRKIFLATTIIGTAFGMTQVLLVTGLNRQLGISDEWFAIGDSLILTVLGQVSFMPVLVLAAKLCPEGMEATLFATLMSISNGGSVVGGLLGAVLTQVFGVTKDKFDNLSSLIILCNLSSLLPLPLLGLLPEDDSEIVSNENVDIEMKSN >KJB72220 pep chromosome:Graimondii2_0_v6:11:32710584:32714975:1 gene:B456_011G165800 transcript:KJB72220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQESSTSMSSVASSRKKDRYTGNARRFRIFGVDFSPESVAVAMVYFVQGALGLSRLAVSFYLKDDLHLDPAETALISGFSSLPWLVKPLYGFISDSFPLFGYRRRSYLVLSGLLGALSWSLMATFVGSKYGAVVCILIGSLSVAFSDVVVDSMVVERARGESQAISGSLQSLCWGSSAFGSIVSSYFSGSLVDAYGVRFVFGITALLPMITSAVAVLVKEQHVPGPTRGQNVLLANPSFLESSRENIIQLWNAVREPNVFLPTVFIFFWQATPQSDSAVFYFTTNKLGFTPEFLGRVKLVTSVASLVGVGLYNGLLKRVPLRKIFLATTIIGTAFGMTQVLLVTGLNRQLGISDEWFAIGDSLILTVLGQVSFMPVLVLAAKLCPEGMEATLFATLMSISNGGSVVGGLLGAVLTQVFGVTKDKFDNLSSLIILCNLSSLLPLPLLGLLPEDDSEIVSNENVDIEMKSN >KJB72218 pep chromosome:Graimondii2_0_v6:11:32710582:32714975:1 gene:B456_011G165800 transcript:KJB72218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLAVSRRRRPRRKHTQKRSDTSMFLPLSSRGNESLLDSRNSSGNGDSIPMEQESSTSMSSVASSRKKDRYTGNARRFRIFGVDFSPESVAVAMVYFVQGALGLSRLAVSFYLKDDLHLDPAETALISGFSSLPWLVKPLYGFISDSFPLFGYRRRSYLVLSGLLGALSWSLMATFVGSKYGAVVCILIGSLSVAFSDVVVDSMVVERARGESQAISGSLQSLCWGSSAFGSIVSSYFSGSLVDAYGVRFVFGITALLPMITSAVAVLVKEQHVPGPTRGQNVLLANPSFLESSRENIIQLWNAVREPNVFLPTVFIFFWQATPQSDSAVFYFTISRTCKTCHFGCIIGRSWIV >KJB72116 pep chromosome:Graimondii2_0_v6:11:29180886:29185324:1 gene:B456_011G160000 transcript:KJB72116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFSVFLISFLFFAFPRAESTGSVFFIDSTNHQFIRSQSSNDVAQSESMLLAEVGATVSVLLGFAPPTTLSAAGSSKLNEVLIPDPFNRPRAVFMLEVSGINDPLVVDPKNAIFGRALKSSVDLGSSKADIQLPDEEEVSVVSLDEPLGDYTEEDIIDFASWLGGSYVADAAKPFHGVMTIPLADVDNVNLRMSEKAHNEFVSKLLALYCNIRKAMEKHGHLSKTLRRPAEIIMGSFGGIKVLLEQQDRDGVDKLGTRLLLATLSKIYGSLQTAYGGQLVGVIVFNGVPQPESKTLMNVIYTSRSSPRWLADTKSSANTTLAAQVLVRRTLAWITGVVLLIATLLGVYFLLNMPLTRDTLLYSNVKLD >KJB69615 pep chromosome:Graimondii2_0_v6:11:2540566:2541684:1 gene:B456_011G034200 transcript:KJB69615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTPCLALLFVLGIWAFQVSSRSIPEVSMSDKFEQWMASYGRVYQDAFEKDKRFQIFKENVEYIESHNAGHTAKKYKLGVNEFTDLTNEEFKSMRNGYKMQRSTIVASSKTSSFRYENVTAVPSSMDWRSKGAVTNIKDQGQCGCCWAFSAVAAMEGITKLKTGNLVSLSEQELVDCDINGEDEGCSGGLMDDAFEFIMSNKGLTTESHYPYQGVDGTCNKKAAANHAAQITGYEDVPSNSESALLKAVANQPVSVAIDAGGADFQNYKSGVFTGECGTSLDHGVTAVGYGEDDDGTKYWLVKNSWGTSWGEDGYIRMQRDIDAEEGLCGIAMEASYPTA >KJB68859 pep chromosome:Graimondii2_0_v6:11:5248745:5253198:-1 gene:B456_011G063100 transcript:KJB68859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKHSTEPVEGRNDEYTLIRDAEDPLLGMYDKPLPCFGCGIGWFSLLLGFVFPFMWYYATILYFRSYYHRDPRERAGLAASAIAAMICTIAVIITIVVLIF >KJB68861 pep chromosome:Graimondii2_0_v6:11:5249257:5250990:-1 gene:B456_011G063100 transcript:KJB68861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKHSTEPVEGRNDEYTLIRDAEDPLLGMYDKPLPCFGCGIGWFSLLLGFVFPFMWYYATILYFRSYYHRDPRERAGLAASAIAAMICTIAVIITIVVLIF >KJB68866 pep chromosome:Graimondii2_0_v6:11:5249257:5250990:-1 gene:B456_011G063100 transcript:KJB68866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKHSTEPVEGRNDEYTLIRDAEDPLLGMYDKPLPCFGCGIGWFSLLLGFVFPFMWYYATILYFRSYYHRDPRERAGLAASAIAAMICTIAVIITIVVLIF >KJB68865 pep chromosome:Graimondii2_0_v6:11:5248881:5252768:-1 gene:B456_011G063100 transcript:KJB68865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKHSTEPVEGRNDEYTLIRDAEDPLLGMYDKPLPCFGCGIGWFSLLLGFVFPFMWYYATILYFRSYYHRDPRERAGLAASAIAAMICTIAVIITIVVLIF >KJB68867 pep chromosome:Graimondii2_0_v6:11:5249474:5253018:-1 gene:B456_011G063100 transcript:KJB68867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKHSTEPVEGRNDEYTLIRDAEDPLLGMYDKPLPCFGCGIGWFSLLLGFVFPFMWYYATILYFRSYYHRDPRERAGLAASAIAVSANLEIGVFFSFVVILVAYKVLNSFLVRVYFD >KJB68862 pep chromosome:Graimondii2_0_v6:11:5248706:5253175:-1 gene:B456_011G063100 transcript:KJB68862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKHSTEPVEGRNDEYTLIRDAEDPLLGMYDKPLPCFGCGIGWFSLLLGFVFPFMWYYATILYFRSYYHRDPRERAGLAASAIAAMICTIAVIITIVVLIF >KJB68856 pep chromosome:Graimondii2_0_v6:11:5248706:5253198:-1 gene:B456_011G063100 transcript:KJB68856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDKPLPCFGCGIGWFSLLLGFVFPFMWYYATILYFRSYYHRDPRERAGLAASAIAAMICTIAVIITIVVLIF >KJB68855 pep chromosome:Graimondii2_0_v6:11:5249257:5250990:-1 gene:B456_011G063100 transcript:KJB68855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKHSTEPVEGRNDEYTLIRDAEDPLLGMYDKPLPCFGCGIGWFSLLLGFVFPFMWYYATILYFRSYYHRDPRERAGLAASAIAAMICTIAVIITIVVLIF >KJB68860 pep chromosome:Graimondii2_0_v6:11:5248881:5251098:-1 gene:B456_011G063100 transcript:KJB68860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKHSTEPVEGRNDEYTLIRDAEDPLLGMYDKPLPCFGCGIGWFSLLLGFVFPFMWYYATILYFRSYYHRDPRERAGLAASAIAAMICTIAVIITIVVLIF >KJB68864 pep chromosome:Graimondii2_0_v6:11:5248881:5252768:-1 gene:B456_011G063100 transcript:KJB68864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKHSTEPVEGRNDEYTLIRDAEDPLLGILLLGFVFPFMWYYATILYFRSYYHRDPRERAGLAASAIAAMICTIAVIITIVVLIF >KJB68857 pep chromosome:Graimondii2_0_v6:11:5248745:5252920:-1 gene:B456_011G063100 transcript:KJB68857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKHSTEPVEGRNDEYTLIRDAEDPLLGMYDKPLPCFGCGIGWFSLLLGFVFPFMWYYATILYFRSYYHRDPRERAGLAASAIAAMICTIAVIITIVVLIF >KJB68863 pep chromosome:Graimondii2_0_v6:11:5248881:5253018:-1 gene:B456_011G063100 transcript:KJB68863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKHSTEPVEGRNDEYTLIRDAEDPLLGMYDKPLPCFGCGIGWFSLLLGFVFPFMWYYATILYFRSYYHRDPRERAGLAASAIAAMICTIAVIITIVVLIF >KJB68858 pep chromosome:Graimondii2_0_v6:11:5248745:5250224:-1 gene:B456_011G063100 transcript:KJB68858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAMFHEHSTEPVEGRNDEYTLIRDAEDPLLGMYDKPLPCFGCGIGWFSLLLGFVFPFMWYYATILYFRSYYHRDPRERAGLAASAIAAMICTIAVIITIVVLIF >KJB70528 pep chromosome:Graimondii2_0_v6:11:7648877:7652694:1 gene:B456_011G077500 transcript:KJB70528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLSKGPSSLRQGSLKSSLSGRSTPKGSPTYRRLNSSRTPRREARSGAGGTQWFRSNRVVYWLLLITLWAYLGFYVQSRWAHGHKKEEFLGFNGDPRDKLVDAEQNTRRDLLTDDSLVAVNNITNKTQVHVDRKIDVILAKKGNGFTSRKKRSKRRRRNLPKVRDKLKAKTNTESGDAEGQELEILQKNSTFGLLVGPFGSLEDRVLEWSPEKRSGTCDRKGDFARLVWSRRLVLVFHELSMTGAPISMMELATEFLSCGATVSAVVLSKKGGLMSELARRRIKVIEDRADLSFKTAMKADLVIAGSAVCASWIDQYIAHFPAGGSQIAWWIMENRREYFDRSKLVLHRVKMLIFLSELQSKQWLTWCQEENIKLRSQPALVPLAVNDELAFVAGFPCSLNTPSASSVKMLEKRQLLRDAARKEMGLTDNDMLVISLSSINAGKGQLFLLESADLAMNEDPLQTGSEVKKSLDIRQDQPSLSVKHHLRGLHQKSRNLDVSSTNLRLFTSVNTTNAVSINGTHRRKMYDSKGAQEQALKILIGSVGSKSNKIPYVKEILSFLSQHAKLSESVLWTPATTRVASLYSAADVYVMNSQVTDCLCFSF >KJB70529 pep chromosome:Graimondii2_0_v6:11:7648877:7654557:1 gene:B456_011G077500 transcript:KJB70529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLSKGPSSLRQGSLKSSLSGRSTPKGSPTYRRLNSSRTPRREARSGAGGTQWFRSNRVVYWLLLITLWAYLGFYVQSRWAHGHKKEEFLGFNGDPRDKLVDAEQNTRRDLLTDDSLVAVNNITNKTQVHVDRKIDVILAKKGNGFTSRKKRSKRRRRNLPKVRDKLKAKTNTESGDAEGQELEILQKNSTFGLLVGPFGSLEDRVLEWSPEKRSGTCDRKGDFARLVWSRRLVLVFHELSMTGAPISMMELATEFLSCGATVSAVVLSKKGGLMSELARRRIKVIEDRADLSFKTAMKADLVIAGSAVCASWIDQYIAHFPAGGSQIAWWIMENRREYFDRSKLVLHRVKMLIFLSELQSKQWLTWCQEENIKLRSQPALVPLAVNDELAFVAGFPCSLNTPSASSVKMLEKRQLLRDAARKEMGLTDNDMLVISLSSINAGKGQLFLLESADLAMNEDPLQTGSEVKKSLDIRQDQPSLSVKHHLRGLHQKSRNLDVSSTNLRLFTSVNTTNAVSINGTHRRKMYDSKGAQEQALKILIGSVGSKSNKIPYVKEILSFLSQHAKLSESVLWTPATTRVASLYSAADVYVMNSQGLGETFGRVTVEAMAFGLPVLGTDGGGTKEIVEHNVTGLLHPMGHPGTRVLAENLRFLLKNLNARKQMGMEGRKMVERKYLKRHMYKRFVEVLTKCMRSK >KJB73137 pep chromosome:Graimondii2_0_v6:11:52254535:52259788:-1 gene:B456_011G217000 transcript:KJB73137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLDKYGKDHEMNNRMETRSGVKDSIPAWIKFSVQAPGEIPYSGIYYDPPEEEKYVFKHPHTKRPKSLRIYESHAGMSSIDAATGSISRNLDNPLLVVPLKPSVAEFPAAKKEEQSDRLSNLVGAGYQAFEDAILNKLTKAAKKQQK >KJB73136 pep chromosome:Graimondii2_0_v6:11:52254917:52258644:-1 gene:B456_011G217000 transcript:KJB73136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLDKYGKDHEMNNRMETRSGVKDSIPAWIKFSVQAPGEIPYSGIYYDPPEEEKYVFKHPHTKRPKSLRIYESHAGMSSIDAATGSISRNLDNPLLVVPLKPSVAEFPAAKKEEQSDRLSNLVGAGYQAFEDAILNKLTKAAKKQQK >KJB73134 pep chromosome:Graimondii2_0_v6:11:52254535:52259780:-1 gene:B456_011G217000 transcript:KJB73134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSGVKDSIPAWIKFSVQAPGEIPYSGIYYDPPEEEKYVFKHPHTKRPKSLRIYESHAGMSSIDAATGSISRNLDNPLLVVPLKPSVAEFPAAKKEEQSDRLSNLVGAGYQAFEDAILNKLTKAAKKQQK >KJB73135 pep chromosome:Graimondii2_0_v6:11:52254535:52259780:-1 gene:B456_011G217000 transcript:KJB73135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLDKYGKDHEMNNRMETRSGVKDSIPAWIKFSVQAPGEIPYSGIYYDPPEEDAATGSISRNLDNPLLVVPLKPSVAEFPAAKKEEQSDRLSNLVGAGYQAFEDAILNKLTKAAKKQQK >KJB73301 pep chromosome:Graimondii2_0_v6:11:53844370:53853537:-1 gene:B456_011G226600 transcript:KJB73301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRVLKVCIYGQTNFSKRKQLPSNIVLHPEMISKLSRLIELSIDVDPSDKWWHDSVEEVVKGVCNLEGLRSLCLYLPNYQLLDYTSFIYPSLSCFRFTVGHHKRRTISRVPHEVEAQFTKWDKCLKFVNGENIPFQVRKVLKFTSSFFLDRHENASSLSEFGNENMVMLKYCLLVDCNKMETIIDGAEVETVLESLQYLSIHYMKNLRSIWKGPTRSGCMSKLKFLALHTCPKLSYIFSHVLLRNFVNLEEIIVEDCPQVSSLVSHVPALPYLKPFLPSLKRLFLLYLPELVSISNGLSIAPKLEGIGFYDCPKLKVLSKTELSSKALKTIKGEKQWWEDIKWNKIDWENGPRNLMRIFSPINNEKDVTVQLLEDRNVFEAQQSDTTLCTRKSVDIYAQQKSQWADCMVKSVPSPCSPTLSSWTQPYLRITPPLNVKHTRWFSKRQLSNLVSYPSYNKQNKSSEKCCLAVVSGSRGRQQKLLASGKRENSNDDDKKKSMHRVIERQRRQEMANLYASLRNLLPPNYIRGKRSISDQVDGAVSYIMYLKNRIDGLSGKRDELKKVWGNSSGFHQGMSMSCIEAFPSSVVVRQSLDGVEIVISDSVGAQALTLSKVLQQLLEEGLDVVNCVSSRTDASLIHTIKYEVSDMRGVDPSFCWRN >KJB73230 pep chromosome:Graimondii2_0_v6:11:55706207:55710148:1 gene:B456_011G235700 transcript:KJB73230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVNAGYSRSNEKQLPECRKSADKGKKPAEKDASASAFVNHAAISWHENRRKWTGDKSQKARKISKDQVISWSTTYEELLSTNEPFSEPIPLPEMVDFLVDIWHDDGLYD >KJB73232 pep chromosome:Graimondii2_0_v6:11:55706207:55710148:1 gene:B456_011G235700 transcript:KJB73232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVNAGYSRSNEKQLPECRKSADKGKKPAEKDASASAFVNHAAISWHENRRKWTGDKSQKARKISKDQVIRYTSYPNLLLFIQ >KJB73233 pep chromosome:Graimondii2_0_v6:11:55707414:55708233:1 gene:B456_011G235700 transcript:KJB73233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVNAGYSRSNEKQLPECRKSADKGKKPAEKDASASAFVNHAAISWHENRRKWTGDKSQKARKISKDQVIRYTSYPNLLLFIQ >KJB73231 pep chromosome:Graimondii2_0_v6:11:55706007:55710148:1 gene:B456_011G235700 transcript:KJB73231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVNAGYSRSNEKQLPECRKSADKGKKPAEKDASASAFVNHAAISWHENRRKWTGDKSQKARKISKDQVISWSTTYEELLSTNEPFSEPIPLPEMVDFLVDIWHDDGLYD >KJB73229 pep chromosome:Graimondii2_0_v6:11:55706007:55710148:1 gene:B456_011G235700 transcript:KJB73229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVNAGYSRSNEKQLPECRKSADKGKKPAEKDASASAFVNHAAISWHENRRKWTGDKSQKARKISKDQVISWSTTYEELLSTNEPFSEPIPLPEMVDFLVDIWHDDGLYD >KJB68903 pep chromosome:Graimondii2_0_v6:11:35273865:35277920:-1 gene:B456_011G169500 transcript:KJB68903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKRVTSSSQRTHRSIEDLRKRHSQELENLTLTTRPFKTLRLFILAIFEYFKQSIFYLFATGGWLLLFNTLLATLGILLVTIEGPHEKHVEEVSRYVRFGLWWIVLGVASSIGLGSSYGAVNRKIII >KJB68902 pep chromosome:Graimondii2_0_v6:11:35275388:35277629:-1 gene:B456_011G169500 transcript:KJB68902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKRVTSSSQRTHRSIEDLRKRHSQELENLTLTTRPFKTLRLFILAIFEYFKQSIFYLFATGGWLLLFNTLLATLGILLVTIEGPHEKHVEEVSRYVRFGLWWIVLGVASSIGLGKNIDFMNNIYGI >KJB68901 pep chromosome:Graimondii2_0_v6:11:35272385:35277920:-1 gene:B456_011G169500 transcript:KJB68901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKRVTSSSQRTHRSIEDLRKRHSQELENLTLTTRPFKTLRLFILAIFEYFKQSIFYLFATGGWLLLFNTLLATLGILLVTIEGPHEKHVEEVSRYVRFGLWWIVLGVASSIGLGTEIGEDDWHC >KJB73198 pep chromosome:Graimondii2_0_v6:11:53003414:53008014:1 gene:B456_011G221100 transcript:KJB73198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFDERNLKIAYWLPQTMKKKREENRGSIEFQIVSFTNKIRRLTSHLELHKKDYLSQRSLRKILGKRQRLLSYLSKTNKICSKELIERVKGIGVIGGEEAINWGLSGPMLRASRIKWDLRKVDHYEWGK >KJB73803 pep chromosome:Graimondii2_0_v6:11:58189690:58191507:1 gene:B456_011G253400 transcript:KJB73803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEFTATMLFLYITVLTVIGHKSQVATSPDACPGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAIAYMAAQSLGAICGVGLVKAFQSAYYNRYGGGANTLADGYSTGTGLGAEIIGTFVLVYTVFSATDPKRKARDSHVPVLVPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIFNSKKAWDDHWIFWVGPMIGAAIAAFYHQFILRAGAVKALGSFRSQTHV >KJB69621 pep chromosome:Graimondii2_0_v6:11:2566620:2568656:-1 gene:B456_011G034600 transcript:KJB69621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSWFSVLLLVSIVFSCFLAASAGDFYQEFDLTWGDKRSEILNGGRLLTLSLDKASGSGFRSKREYLFGRIDMQIKLVSGNSAGTVTAFYLSSEGPNHDEIDFEFLGNLSGDPYIVHTNVYSQGKGDREQQFYLWFDPTKNFHTYSIIWSPQGITFMVDNIPIRVFNNEESIGVPFPKNQPMKVYSSLWDADEWATRGGQVKTDWSKAPFKAYYRNFNAYSWNSVGTDVWRTRALDATGRRWLRWAQKYHMVYNYCADLKRFPHGRPLECRRSRFL >KJB70637 pep chromosome:Graimondii2_0_v6:11:8720482:8725070:1 gene:B456_011G084700 transcript:KJB70637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPGKPAFTPKKGKTSVVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLVIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLSEGNFTLRIMYEQFQNILKMGPIGQVFSMLPGFSAELMPKGREKESQAKIKRYMTMMDSMTNEELDSSNPKLMNESRMMRIARGSGRHIREVMEMMEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGSTKDMMGMFGGGGDK >KJB70636 pep chromosome:Graimondii2_0_v6:11:8719892:8725086:1 gene:B456_011G084700 transcript:KJB70636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAQLGGSISRAIQQMSNATIIDEKVLNECLNEITRALLQSDVQFKLVRDMQTNIKKIVNLDDLAAGHNKRKIIQQAIFNELCKMLDPGKPAFTPKKGKTSVVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLVIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLSEGNFTLRIMYEQFQNILKMGPIGQVFSMLPGFSAELMPKGREKESQAKIKRYMTMMDSMTNEELDSSNPKLMNESRMMRIARGSGRHIREVMEMMEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGSTKDMMGMFGGGGDK >KJB70735 pep chromosome:Graimondii2_0_v6:11:9350461:9356254:-1 gene:B456_011G089400 transcript:KJB70735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MPELPEVEAARRAIEENCLGKKIKRAIIANDSKVIEGVSSLDFESALLGKTIVSAHRKGKNLWLHLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVKDNDEWPSKYSKFFVELDDGLELSFTDKRRFARVRLLKDPTSVPPISELGPDALFEPMTINEFTDSLSKKKIGIKALLLDQSYISGIGNWIADEVLYQARIHPLQICSSLSKENCATLHNCIKEVIEKAVEVGADSSQFPSNWIFHSREKKPGKAFVDGLAPEPICVF >KJB70733 pep chromosome:Graimondii2_0_v6:11:9348978:9356445:-1 gene:B456_011G089400 transcript:KJB70733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MPELPEVEAARRAIEENCLGKKIKRAIIANDSKVIEGVSSLDFESALLGKTIVSAHRKGKNLWLHLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVKDNDEWPSKYSKFFVELDDGLELSFTDKRRFARVRLLKDPTSVPPISELGPDALFEPMTINEFTDSLSKKKIGIKALLLDQSYISGIGNWIADEVLYQARIHPLQICSSLSKENCATLHNCIKEVIEKAVEVGADSSQFPSNWIFHSREKKPGKAFVDGKKIDFINAGGRTSAYVPDLQKLSGTVATKAAGKPRKQASKKKGGDEAEDNDGDEDGVGDEPTNEEEESTKITKPKKGGNPRGRGKKPPMKRKAKESDDDDDDDSGGNNDVSSEEDDEDPTEKGRKVKTNTSNKQAKTVKTSKRAVSSQDGKKPKRAK >KJB70731 pep chromosome:Graimondii2_0_v6:11:9348978:9356374:-1 gene:B456_011G089400 transcript:KJB70731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MPELPEVEAARRAIEENCLGKKIKRAIIANDSKVIEGVSSLDFESALLGKTIVSAHRKGKNLWLHLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVKDNDEWPSKYSKFFVELDDGLELSFTDKRRFARVRLLKDPTSVPPISELGPDALFEPMTINEFTDSLSKKKIGIKALLLDQSYISGIGNWIADEVLYQARIHPLQICSSLSKENCATLHNCIKEVIQYAVEVDADCNRFPCDWLFHFRWGKKSGKIKGKIPLMKLIIMLKKLFLQVIEKAVEVGADSSQFPSNWIFHSREKKPGKAFVDGKKIDFINAGGRTSAYVPDLQKLSGTVATKAAGKPRKQASKKKGGDEAEDNDGDEDGVGDEPTNEEEESTKITKPKKGGNPRGRGKKPPMKRKAKESDDDDDDDSGGNNDVSSEEDDEDPTEKGRKVKTNTSNKQAKTVKTSKRAVSSQDGKKPKRAK >KJB70734 pep chromosome:Graimondii2_0_v6:11:9349536:9356194:-1 gene:B456_011G089400 transcript:KJB70734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MPELPEVEAARRAIEENCLGKKIKRAIIANDSKVIEGVSSLDFESALLGKTIVSAHRKGKNLWLHLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVKDNDEWPSKYSKFFVELDDGLELSFTDKRRFARVRLLKDPTSVPPISELGPDALFEPMTINEFTDSLSKKKIGIKALLLDQSYISGIGNWIADEVLYQARIHPLQICSSLSKENCATLHNCIKEVIQYAVEVDADCNRFPCDWLFHFRWGKKSGKIKGKIPLMKLIIMLKKLFLQVIEKAVEVGADSSQFPSNWIFHSREKKPGKAFVDDIRICTGLAEIEWNSSYKSSR >KJB70732 pep chromosome:Graimondii2_0_v6:11:9350151:9356194:-1 gene:B456_011G089400 transcript:KJB70732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MPELPEVEAARRAIEENCLGKKIKRAIIANDSKVIEGVSSLDFESALLGKTIVSAHRKGKNLWLHLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVKDNDEWPSKYSKFFVELDDGLELSFTDKRRFARVRLLKDPTSVPPISELGPDALFEPMTINEFTDSLSKKKIGIKALLLDQSYISGIGNWIADEVLYQARIHPLQICSSLSKENCATLHNCIKEVIQYAVEVDADCNRFPCDWLFHFRLLKKQLKLGQIVVSSLVIGYFILAKRSLARLLLTGRKLILSMLVAGHPHMYRTCRN >KJB71394 pep chromosome:Graimondii2_0_v6:11:16716719:16721508:-1 gene:B456_011G120900 transcript:KJB71394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNAVPMKRIKRRVTVDLYDFLTFPSTSSSFGFGGTTPFRANIRSFLTKHALMPPLSSLFPHMMIWQIVFRVDDVTDNLDSSSSVVCLDVVEEDVARSRSVYCDQCRVVGWSEHPVCSKRYHFIIKVDGNSIGGYHKPCVRCGDILHLSELRCKSCNHVTTTDDVEDWVYHQLEDTTHLLHGVIHSNGYGHLLRVNGREGGSRVLSGCHIMDFWDRLCKTLGVRKVSVTDVSKKYGLEYRLLHAITKGHPWYGDWGYEFGAGSFALTFDAYRSAIETLSSLPLSLFLPQGSKPSTRLQDVISFYRSLSKCELLNIKDVFSFLMRLIHDAHKSSLRVGDATFKKQRTSSVLSWSRVDVVRVEEAMFRVLRAVSGSNWVSSRALRGAVCRVAPPTLLDHCLMELNGKLAVEGMVVKARHNPNSGSLEYRLEPQNVSITTNCNDNCVPNFLPSKEILKQDLKLLYESILHPQTMSTCLPEAIRNLTISSAEKLLDCKQFVKHYNNEKLSSGNEDVICISCELELMDQHKEITPDPPAELVVLPINAIVLDLKIEASKAFQEVYLAFRRFQAEELLGYTGVEDSTQVKLLMGSTGSVRVRGRCLGKSGLSKYRLERGVERWTVDCTCGAKDDDGERMLACDVCGVWQHTRCFGINDSDAVPAKFVCNRCKESLQMTLSVVQCKNESGSFGKGLATSYDVR >KJB69080 pep chromosome:Graimondii2_0_v6:11:384921:391080:1 gene:B456_011G004700 transcript:KJB69080 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MVGGNSEMESCIDANSSAVSCCDESVHGTVSVGDPIKDSGELDVEFLNEFDLYVEDIKDGLVVSRMVNDSIIRGMVNAVEQEAADRIAQKELELVRLKEMLKSYHVGSDENQLLRPLTKNNDPNIGKLSAFSRLSDALTDHDKILESFGRLKSAAKGQLKNLRIDIGQIRGHGSIKKINSVSELVGLGGILQEDELKKRIGAEKTLDSLSETLESVFEQVGNIVYSSKVSHCQWQQEQEYQEEVERMVITICIRGLEEQLEKTLWDQNAQWYGNEDVCWFEKINEISFLRQELDAISKSLSNPESGVLHSHSSLEINGDVSNDKRTDHLHQKVLGNHVSSSVSLWEGNGKDGELVIPVPENLDPQQLIHMKKDELVNFFKVEMTKMKRNHDYKLQEMTEKYFSLKREYLKERGSSLPSRKDKEFDVLRKKIPDVILKLDRILVENEKVPLLSNNNDNLSILKDRLESLLSENRQLRDALSDRKKEVNQLSSQLSDAIMNISEQSLVEENLLRRVESLESVVEDAHIEATISWDVYNFLFRGAISQVKCMAEDSEMEQNIMKEIYDLILKDASCNMSHARKSGFEDSDLESLAMEGLCAIIFREAFTEAREKLHDLSMDAIEKERVLKMEVAEKENLQEQVLLVTSTVNEKEKLLNETAAALAREKEKLMAASQELDSARGWTNQHRMIISKCNEESSVLKTNLLQASEELEQHKVEICGLKTKLDQAMKELRESNGERSRLLVAAKENDNILSLVKANENEHRKQMESIIILVEGLSKGVAAFESRVGEHMERSSLRLESLSSQSSSLIQMVNKLKRKGLQYKQSLERRRSDLEKAETEVDLLGDEVEVLLGLLEKIYIALDHYSPILKHYPGIMEILKLVRRELSGECNKSL >KJB69078 pep chromosome:Graimondii2_0_v6:11:382542:390993:1 gene:B456_011G004700 transcript:KJB69078 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MVNDSIIRGMVNAVEQEAADRIAQKELELVRLKEMLKSYHVGSDENQLLRPLTKNNDPNIGKLSAFSRLSDALTDHDKILESFGRLKSAAKGQLKNLRIDIGQIRGHGSIKKINSVSELVGLGGILQEDELKKRIGAEKTLDSLSETLESVFEQVGNIVYSSKVSHCQWQQEQEYQEEVERMVITICIRGLEEQLEKTLWDQNAQWYGNEDVCWFEKINEISFLRQELDAISKSLSNPESGVLHSHSSLEINGDVSNDKRTDHLHQKVLGNHVSSSVSLWEGNGKDGELVIPVPENLDPQQLIHMKKDELVNFFKVEMTKMKRNHDYKLQEMTEKYFSLKREYLKERGSSLPSRKDKEFDVLRKKIPDVILKLDRILVENEKVPLLSNNNDNLSILKDRLESLLSENRQLRDALSDRKKEVNQLSSQLSDAIMNISEQSLVEENLLRRVESLESVVEDAHIEATISWDVYNFLFRGAISQVKCMAEDSEMEQNIMKEIYDLILKDASCNMSHARKSGFEDSDLESLAMEGLCAIIFREAFTEAREKLHDLSMDAIEKERVLKMEVAEKENLQEQVLLVTSTVNEKEKLLNETAAALAREKEKLMAASQELDSARGWTNQHRMIISKCNEESSVLKTNLLQASEELEQHKVEICGLKTKLDQAMKELRESNGERSRLLVAAKENDNILSLVKANENEHRKQMESIIILVEGLSKGVAAFESRVGEHMERSSLRLESLSSQSSSLIQMVNKLKRKGLQYKQSLERRRSDLEKAETEVDLLGDEVEVLLGLLEKIYIALDHYSPILKHYPGIMEILKLVRRELSGECNKSL >KJB69084 pep chromosome:Graimondii2_0_v6:11:385404:390993:1 gene:B456_011G004700 transcript:KJB69084 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MNLFTASKQKNSFKCNLMVGGNSEMESCIDANSSAVSCCDESVHGTVSVGDPIKDSGELDVEFLNEFDLYVEDIKDGLVVSRMVNDSIIRGMVNAVEQEAADRIAQKELELVRLKEMLKSYHVGSDENQLLRPLTKNNDPNIGKLSAFSRLSDALTDHDKILESFGRLKSAAKGQLKNLRIDIGQIRGHGSIKKINSVSELVGLGGILQEDELKKRIGAEKTLDSLSETLESVFEQVGNIVYSSKVSHCQWQQEQEYQEEVERMVITICIRGLEEQLEKTLWDQNAQWYGNEDVCWFEKINEISFLRQELDAISKSLSNPESGVLHSHSSLEINGDVSNDKRTDHLHQKVLGNHVSSSVSLWEGNGKDGELVIPVPENLDPQQLIHMKKDELVNFFKVEMTKMKRNHDYKLQEMTEKYFSLKREYLKERGSSLPSRKDKEFDVLRKKIPDVILKLDRILVENEKVPLLSNNNDNLSILKDRLESLLSENRQLRDALSDRKKEVNQLSSQLSDAIMNISEQSLVEENLLRRVESLESVVEDAHIEATISWDVYNFLFRGAISQVKCMAEDSEMEQNIMKEIYDLILKDASCNMSHARKSGFEDSDLESLAMEGLCAIIFREAFTEAREKLHDLSMDAIEKERVLKMEVAEKENLQEQVLLVTSTVNEKEKLLNETAAALAREKEKLMAASQELDSARGWTNQHRMIISKCNEESSVLKTNLLQASEELEQHKVEICGLKTKLDQAMKELRESNGERSRLLVAAKENDNILSLVKANENEHRKQMESIIILVEGLSKGVAAFESRVGEHMERSSLRSISWVMRWKYF >KJB69077 pep chromosome:Graimondii2_0_v6:11:382321:391080:1 gene:B456_011G004700 transcript:KJB69077 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MVGGNSEMESCIDANSSAVSCCDESVHGTVSVGDPIKDSGELDVEFLNEFDLYVEDIKDGLVVSRMVNDSIIRGMVNAVEQEAADRIAQKELELVRLKEMLKSYHVGSDENQLLRPLTKNNDPNIGKLSAFSRLSDALTDHDKILESFGRLKSAAKGQLKNLRIDIGQIRGHGSIKKINSVSELVGLGGILQEDELKKRIGAEKTLDSLSETLESVFEQVGNIVYSSKVSHCQWQQEQEYQEEVERMVITICIRGLEEQLEKTLWDQNAQWYGNEDVCWFEKINEISFLRQELDAISKSLSNPESGVLHSHSSLEINGDVSNDKRTDHLHQKVLGNHVSSSVSLWEGNGKDGELVIPVPENLDPQQLIHMKKDELVNFFKVEMTKMKRNHDYKLQEMTEKYFSLKREYLKERGSSLPSRKDKEFDVLRKKIPDVILKLDRILVENEKVPLLSNNNDNLSILKDRLESLLSENRQLRDALSDRKKEVNQLSSQLSDAIMNISEQSLVEENLLRRVESLESVVEDAHIEATISWDVYNFLFRGAISQVKCMAEDSEMEQNIMKEIYDLILKDASCNMSHARKSGFEDSDLESLAMEGLCAIIFREAFTEAREKLHDLSMDAIEKERVLKMEVAEKENLQEQVLLVTSTVNEKEKLLNETAAALAREKEKLMAASQELDSARGWTNQHRMIISKCNEESSVLKTNLLQASEELEQHKVEICGLKTKLDQAMKELRESNGERSRLLVAAKENDNILSLVKANENEHRKQMESIIILVEGLSKGVAAFESRVGEHMERSSLRLESLSSQSSSLIQMVNKLKRKGLQYKQSLERRRSDLEKAETEVDLLGDEVEVLLGLLEKIYIALDHYSPILKHYPGIMEILKLVRRELSGECNKSL >KJB69083 pep chromosome:Graimondii2_0_v6:11:385404:389249:1 gene:B456_011G004700 transcript:KJB69083 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MNLFTASKQKNSFKCNLMVGGNSEMESCIDANSSAVSCCDESVHGTVSVGDPIKDSGELDVEFLNEFDLYVEDIKDGLVVSRMVNDSIIRGMVNAVEQEAADRIAQKELELVRLKEMLKSYHVGSDENQLLRPLTKNNDPNIGKLSAFSRLSDALTDHDKILESFGRLKSAAKGQLKNLRIDIGQIRGHGSIKKINSVSELVGLGGILQEDELKKRIGAEKTLDSLSETLESVFEQVGNIVYSSKVSHCQWQQEQEYQEEVERMVITICIRGLEEQLEKTLWDQNAQWYGNEDVCWFEKINEISFLRQELDAISKSLSNPESGVLHSHSSLEINGDVSNDKRTDHLHQKVLGNHVSSSVSLWEGNGKDGELVIPVPENLDPQQLIHMKKDELVNFFKVEMTKMKRNHDYKLQEMTEKYFSLKREYLKERGSSLPSRKDKEFDVLRKKIPDVILKLDRILVENEKVPLLSNNNDNLSILKDRLESLLSENRQLRDALSDRKKEVNQLSSQLSDAIMNISEQSLVEENLLRRVESLESVVEDAHIEATISWDVYNFLFRGAISQVKCMAEDSEMEQNIMKEIYDLILKDASCNMSHARKSGFEDSDLESLAMEGLCAIIFREAFTEAREKLHDLSMDAIEKERVLKMEVAEKENLQEQVLLVTSTVNEKEKLLNETAAALAREKEKLMAASQELDSARGWTNQHRMIISKCNEESSVLKTNLLQASEELEQHKVEICGLKTKLDQAMKELRESNGERSRLLVAAKENDNILSLVKANENEHRKQMESIIILVEGLSKGVAAFESRVGEHMERSSLRLESLSSQSSSLIQMVNKLKRKGLQYKQSLERRRSDLEKAETEVCQKIYLQDSILRWLYTYMT >KJB69085 pep chromosome:Graimondii2_0_v6:11:385404:390993:1 gene:B456_011G004700 transcript:KJB69085 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MNLFTASKQKNSFKCNLMVGGNSEMESCIDANSSAVSCCDESVHGTVSVGDPIKDSGELDVEFLNEFDLYVEDIKDGLVVSRMVNDSIIRGMVNAVEQEAADRIAQKELELVRLKEMLKSYHVGSDENQLLRPLTKNNDPNIGKLSAFSRLSDALTDHDKILESFGRLKSAAKGQLKNLRIDIGQIRGHGSIKKINSVSELVGLGGILQEDELKKRIGAEKTLDSLSETLESVFEQVGNIVYSSKVSHCQWQQEQEYQEEVERMVITICIRGLEEQLEKTLWDQNAQWYGNEDVCWFEKINEISFLRQELDAISKSLSNPESGVLHSHSSLEINGDVSNDKRTDHLHQKVLGNHVSSSVSLWEGNGKDGELVIPVPENLDPQQLIHMKKDELVNFFKVEMTKMKRNHDYKLQEMTEKYFSLKREYLKERGSSLPSRKDKEFDVLRKKIPDVILKLDRILVENEKVPLLSNNNDNLSILKDRLESLLSENRQLRDALSDRKKEVNQLSSQLSDAIMNISEQSLVEENLLRRVESLESVVEDAHIEATISWDVYNFLFRGAISQVKCMAEDSEMEQNIMKEIYDLILKDASCNMSHARKSGFEDSDLESLAMEGLCAIIFREAFTEAREKLHDLSMDAIEKERVLKMEVAEKENLQEQVLLVTSTVNEKEKLLNETAAALAREKEKLMAASQELDSARGWTNQHRMIISKCNEESSVLKTNLLQASEELEQHKVEICGLKTKLDQAMKELRESNGERSRLLVAAKENDNILSLVKANENEHRKQMESIIILVEGLSKGVAAFESRVGEHMERSSLRLESLSSQSSSLIQMVNKLKRKGLQYKQSLERRRSDLEKAETEVDLLGDEVEVLLGLLEKIYIALDHYSPILKHYPGIMEILKLVRRELSGECNKSL >KJB69082 pep chromosome:Graimondii2_0_v6:11:384924:390993:1 gene:B456_011G004700 transcript:KJB69082 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MVNDSIIRGMVNAVEQEAADRIAQKELELVRLKEMLKSYHVGSDENQLLRPLTKNNDPNIGKLSAFSRLSDALTDHDKILESFGRLKSAAKGQLKNLRIDIGQIRGHGSIKKINSVSELVGLGGILQEDELKKRIGAEKTLDSLSETLESVFEQVGNIVYSSKVSHCQWQQEQEYQEEVERMVITICIRGLEEQLEKTLWDQNAQWYGNEDVCWFEKINEISFLRQELDAISKSLSNPESGVLHSHSSLEINGDVSNDKRTDHLHQKVLGNHVSSSVSLWEGNGKDGELVIPVPENLDPQQLIHMKKDELVNFFKVEMTKMKRNHDYKLQEMTEKYFSLKREYLKERGSSLPSRKDKEFDVLRKKIPDVILKLDRILVENEKVPLLSNNNDNLSILKDRLESLLSENRQLRDALSDRKKEVNQLSSQLSDAIMNISEQSLVEENLLRRVESLESVVEDAHIEATISWDVYNFLFRGAISQVKCMAEDSEMEQNIMKEIYDLILKDASCNMSHARKSGFEDSDLESLAMEGLCAIIFREAFTEAREKLHDLSMDAIEKERVLKMEVAEKENLQEQVLLVTSTVNEKEKLLNETAAALAREKEKLMAASQELDSARGWTNQHRMIISKCNEESSVLKTNLLQASEELEQHKVEICGLKTKLDQAMKELRESNGERSRLLVAAKENDNILSLVKANENEHRKQMESIIILVEGLSKGVAAFESRVGEHMERSSLRLESLSSQSSSLIQMVNKLKRKGLQYKQSLERRRSDLEKAETEVDLLGDEVEVLLGLLEKIYIALDHYSPILKHYPGIMEILKLVRRELSGECNKSL >KJB69076 pep chromosome:Graimondii2_0_v6:11:384921:391080:1 gene:B456_011G004700 transcript:KJB69076 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MVGGNSEMESCIDANSSAVSCCDESVHGTVSVGDPIKDSGELDVEFLNEFDLYVEDIKDGLVVSRMVNDSIIRGMVNAVEQEAADRIAQKELELVRLKEMLKSYHVGSDENQLLRPLTKNNDPNIGKLSAFSRLSDALTDHDKILESFGRLKSAAKGQLKNLRIDIGQIRGHGSIKKINSVSELVGLGGILQEDELKKRIGAEKTLDSLSETLESVFEQVGNIVYSSKVSHCQWQQEQEYQEEVERMVITICIRGLEEQLEKTLWDQNAQWYGNEDVCWFEKINEISFLRQELDAISKSLSNPESGVLHSHSSLEINGDVSNDKRTDHLHQKVLGNHVSSSVSLWEGNGKDGELVIPVPENLDPQQLIHMKKDELVNFFKVEMTKMKRNHDYKLQEMTEKYFSLKREYLKERGSSLPSRKDKEFDVLRKKIPDVILKLDRILVENEKVPLLSNNNDNLSILKDRLESLLSENRQLRDALSDRKKEVNQLSSQLSDAIMNISEQSLVEENLLRRVESLESVVEDAHIEATISWDVYNFLFRGAISQVKCMAEDSEMEQNIMKEIYDLILKDASCNMSHARKSGFEDSDLESLAMEGLCAIIFREAFTEAREKLHDLSMDAIEKERVLKMEVAEKENLQEQVLLVTSTVNEKEKLLNETAAALAREKEKLMAASQELDSARGWTNQHRMIISKCNEESSVLKTNLLQASEELEQHKVEICGLKTKLDQAMKELRESNGERSRLLVAAKENDNILSLVKANENEHRKQMESIIILVEGLSKGVAAFESRVGEHMERSSLRLESLSSQSSSLIQMVNKLKRKGLQYKQSLERRRSDLEKAETEVDLLGDEVEVLLGLLEKIYIALDHYSPILKHYPGIMEILKLVRRELSGECNKSL >KJB69079 pep chromosome:Graimondii2_0_v6:11:382321:391080:1 gene:B456_011G004700 transcript:KJB69079 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MVGGNSEMESCIDANSSAVSCCDESVHGTVSVGDPIKDSGELDVEFLNEFDLYVEDIKDGLVVSRMVNDSIIRGMVNAVEQEAADRIAQKELELVRLKEMLKSYHVGSDENQLLRPLTKNNDPNIGKLSAFSRLSDALTDHDKILESFGRLKSAAKGQLKNLRIDIGQIRGHGSIKKINSVSELVGLGGILQEDELKKRIGAEKTLDSLSETLESVFEQVGNIVYSSKVSHCQWQQEQEYQEEVERMVITICIRGLEEQLEKTLWDQNAQWYGNEDVCWFEKINEISFLRQELDAISKSLSNPESGVLHSHSSLEINGDVSNDKRTDHLHQKVLGNHVSSSVSLWEGNGKDGELVIPVPENLDPQQLIHMKKDELVNFFKVEMTKMKRNHDYKLQEMTEKYFSLKREYLKERGSSLPSRKDKEFDVLRKKIPDVILKLDRILVENEKVPLLSNNNDNLSILKDRLESLLSENRQLRDALSDRKKEVNQLSSQLSDAIMNISEQSLVEENLLRRVESLESVVEDAHIEATISWDVYNFLFRGAISQVKCMAEDSEMEQNIMKEIYDLILKDASCNMSHARKSGFEDSDLESLAMEGLCAIIFREAFTEAREKLHDLSMDAIEKERVLKMEVAEKENLQEQVLLVTSTVNEKEKLLNETAAALAREKEKLMAASQELDSARGWTNQHRMIISKCNEESSVLKTNLLQASEELEQHKVEICGLKTKLDQAMKELRESNGERSRLLVAAKENDNILSLVKANENEHRKQMESIIILVEGLSKGVAAFESRVGEHMERSSLRLESLSSQSSSLIQMVNKLKRKGLQYKQSLERRRSDLEKAETEVDLLGDEVEVLLGLLEKIYIALDHYSPILKHYPGIMEILKLVRRELSGECNKSL >KJB69081 pep chromosome:Graimondii2_0_v6:11:384937:390993:1 gene:B456_011G004700 transcript:KJB69081 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MVNDSIIRGMVNAVEQEAADRIAQKELELVRLKEMLKSYHVGSDENQLLRPLTKNNDPNIGKLSAFSRLSDALTDHDKILESFGRLKSAAKGQLKNLRIDIGQIRGHGSIKKINSVSELVGLGGILQEDELKKRIGAEKTLDSLSETLESVFEQVGNIVYSSKVSHCQWQQEQEYQEEVERMVITICIRGLEEQLEKTLWDQNAQWYGNEDVCWFEKINEISFLRQELDAISKSLSNPESGVLHSHSSLEINGDVSNDKRTDHLHQKVLGNHVSSSVSLWEGNGKDGELVIPVPENLDPQQLIHMKKDELVNFFKVEMTKMKRNHDYKLQEMTEKYFSLKREYLKERGSSLPSRKDKEFDVLRKKIPDVILKLDRILVENEKVPLLSNNNDNLSILKDRLESLLSENRQLRDALSDRKKEVNQLSSQLSDAIMNISEQSLVEENLLRRVESLESVVEDAHIEATISWDVYNFLFRGAISQVKCMAEDSEMEQNIMKEIYDLILKDASCNMSHARKSGFEDSDLESLAMEGLCAIIFREAFTEAREKLHDLSMDAIEKERVLKMEVAEKENLQEQVLLVTSTVNEKEKLLNETAAALAREKEKLMAASQELDSARGWTNQHRMIISKCNEESSVLKTNLLQASEELEQHKVEICGLKTKLDQAMKELRESNGERSRLLVAAKENDNILSLVKANENEHRKQMESIIILVEGLSKGVAAFESRVGEHMERSSLRLESLSSQSSSLIQMVNKLKRKGLQYKQSLERRRSDLEKAETEVDLLGDEVEVLLGLLEKIYIALDHYSPILKHYPGIMEILKLVRRELSGECNKSL >KJB74221 pep chromosome:Graimondii2_0_v6:11:61202852:61206249:-1 gene:B456_011G280200 transcript:KJB74221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLIKRKPSIAELTGISESRLGCFATQLRAYLLGSSVNPQANCSSSPSPSTTHDAIVVHNGQSSSATSKSLRSRHSSSQASKVNALYQGSLSPKSSSFKEGLIRNSSFPRSTTRDKLRRRGDNLPLAESLTVALPIINDSSNCNQAGTNNLLDSKSCSLTPNFLESLGKLATPPTPSGVSQVSSVGPPLFSPYYCWCPPGSSTLQCSATSELPASTISSFKLPPLSSMLPTNGSSSSLKPTLPLGLTDVPSLDFPAFLPEPLVRLPLASSQQIPTFTPLICDPIVHIPVIDVCSSGQGYLVSAGPTISSSIPPLHPNLVNPLLPDTTDSVVEKGARETLRLLISGSTHSNPPLIDVLPAVDKKSILVTGSRGLYSGTRDISALASGIATVSFATLSSTSMSDSFTKHPDSKQVGSSDSEGACSSSDAKLLDSERS >KJB74220 pep chromosome:Graimondii2_0_v6:11:61202852:61207904:-1 gene:B456_011G280200 transcript:KJB74220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSRNGEFVDDRVDRDDDELIGSSDASSSMNGVVDTFSVGSGTTSGQNGEVRNEIGLTERLTDIFVEENDGDLLLQQSDREDRVLQWLQALDMQVIGACRTDERLKPLLKVNVSNGVAEDRLLAHLSQHFEPSEVGMLARCFCIPLVSIRVGKINKQGTCFQPTAARGNLSLTLLPTSDLRLSFVGDDGQTERLFTLSDGTQCAAVSVNEIPADSSGRSFLVKLPDGKDFYYWCSERSKLLGVELLSKMKDLIKRKPSIAELTGISESRLGCFATQLRAYLLGSSVNPQANCSSSPSPSTTHDAIVVHNGQSSSATSKSLRSRHSSSQASKVNALYQGSLSPKSSSFKEGLIRNSSFPRSTTRDKLRRRGDNLPLAESLTVALPIINDSSNCNQAGTNNLLDSKSCSLTPNFLESLGKLATPPTPSGVSQVSSVGPPLFSPYYCWCPPGSSTLQCSATSELPASTISSFKLPPLSSMLPTNGSSSSLKPTLPLGLTDVPSLDFPAFLPEPLVRLPLASSQQIPTFTPLICDPIVHIPVIDVCSSGQGYLVSAGPTISSSIPPLHPNLVNPLLPDTTDSVVEKGARETLRLLISGSTHSNPPLIDVLPAVDKKSILVTGSRGLYSGTRDISALASGIATVSFATLSSTSMSDSFTKHPDSKQVGSSDSEGACSSSDAKLLDSERS >KJB74222 pep chromosome:Graimondii2_0_v6:11:61203127:61207308:-1 gene:B456_011G280200 transcript:KJB74222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARCFCIPLVSIRVGKINKQGTCFQPTAARGNLSLTLLPTSDLRLSFVGDDGQTERLFTLSDGTQCAAVSVNEIPADSSGRSFLVKLPDGKDFYYWCSERSKLLGVELLSKMKDLIKRKPSIAELTGISESRLGCFATQLRAYLLGSSVNPQANCSSSPSPSTTHDAIVVHNGQSSSATSKSLRSRHSSSQASKVNALYQGSLSPKSSSFKEGLIRNSSFPRSTTRDKLRRRGDNLPLAESLTVALPIINDSSNCNQAGTNNLLDSKSCSLTPNFLESLGKLATPPTPSGVSQVSSVGPPLFSPYYCWCPPGSSTLQCSATSELPASTISSFKLPPLSSMLPTNGSSSSLKPTLPLGLTDVPSLDFPAFLPEPLVRLPLASSQQIPTFTPLICDPIVHIPVIDVCSSGQGYLVSAGPTISSSIPPLHPNLVNPLLPDTTDSVVEKGARETLRLLISGSTHSNPPLIDVLPAVDKKSILVTGSRGLYSGTRDISALASGIATVSFATLSSTSMSDSFTKHPDSKQVGSSDSEGACSSSDAKLLDSERS >KJB74219 pep chromosome:Graimondii2_0_v6:11:61202816:61208106:-1 gene:B456_011G280200 transcript:KJB74219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVVDTFSVGSGTTSGQNGEVRNEIGLTERLTDIFVEENDGDLLLQQSDREDRVLQWLQALDMQVIGACRTDERLKPLLKVNVSNGVAEDRLLAHLSQHFEPSEVGMLARCFCIPLVSIRVGKINKQGTCFQPTAARGNLSLTLLPTSDLRLSFVGDDGQTERLFTLSDGTQCAAVSVNEIPADSSGRSFLVKLPDGKDFYYWCSERSKLLGVELLSKMKDLIKRKPSIAELTGISESRLGCFATQLRAYLLGSSVNPQANCSSSPSPSTTHDAIVVHNGQSSSATSKSLRSRHSSSQASKVNALYQGSLSPKSSSFKEGLIRNSSFPRSTTRDKLRRRGDNLPLAESLTVALPIINDSSNCNQAGTNNLLDSKSCSLTPNFLESLGKLATPPTPSGVSQVSSVGPPLFSPYYCWCPPGSSTLQCSATSELPASTISSFKLPPLSSMLPTNGSSSSLKPTLPLGLTDVPSLDFPAFLPEPLVRLPLASSQQIPTFTPLICDPIVHIPVIDVCSSGQGYLVSAGPTISSSIPPLHPNLVNPLLPDTTDSVVEKGARETLRLLISGSTHSNPPLIDVLPAVDKKSILVTGSRGLYSGTRDISALASGIATVSFATLSSTSMSDSFTKHPDSKQVGSSDSEGACSSSDAKLLDSERS >KJB70389 pep chromosome:Graimondii2_0_v6:11:6527400:6535077:-1 gene:B456_011G071300 transcript:KJB70389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESTVMNEQTQTLLQKDTTTTADDSSSPKPMDSSSSSATTLVLSPHLKGVEAGSSAVVVITGSGSSSSDTKGSDIAGVEGQRTAPAKHCIGKSNKGVSWGFSLDQGRRSTMEDRAVVQPGFMKLCCKDVGGCREPECEYAMEKSLVHYFGIFDGHGGDQMIRCGGSR >KJB70390 pep chromosome:Graimondii2_0_v6:11:6533847:6535077:-1 gene:B456_011G071300 transcript:KJB70390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESTVMNEQTQTLLQKDTTTTADDSSSPKPMDSSSSSATTLVLSPHLKGVEAGSSAVVVITGSGSSSSDTKGSDIAGVEGQRTAPAKHCIGKSNKGVSWGFSLDQGRRSTMEDRAVVQPGFMKLCCKDVGGCREPECEYAMEKSLVHYFGIFDGHGGDQLQK >KJB70388 pep chromosome:Graimondii2_0_v6:11:6527732:6534978:-1 gene:B456_011G071300 transcript:KJB70388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESTVMNEQTQTLLQKDTTTTADDSSSPKPMDSSSSSATTLVLSPHLKGVEAGSSAVVVITGSGSSSSDTKGSDIAGVEGQRTAPAKHCIGKSNKGVSWGFSLDQGRRSTMEDRAVVQPGFMKLCCKDVGGCREPECEYAMEKSLVHYFGIFDGHGGDQRGR >KJB74474 pep chromosome:Graimondii2_0_v6:11:59567652:59568134:1 gene:B456_011G2645003 transcript:KJB74474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGLYDFNISDTFPESIEIWTRNPQEIFGFKNLSSLQFYKCSSLKYIFTPSMLLSLNRLRRIEVEDCSSMEQVVREEEEAMTHKFTFLSLEFVTIESCSNLTNFHWGSQALEFPELSKIRIAECPKMTAFSSSVSRESGDASENVVGKGGIYDNTATFFSNK >KJB74475 pep chromosome:Graimondii2_0_v6:11:59567652:59568134:1 gene:B456_011G2645003 transcript:KJB74475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGLYDFNISDTFPESIEIWTRNPQEIFGFKNLSSLQFYKCSSLKYIFTPSMLLSLNRLRRIEVEDCSSMEQVVREEEEAMTHKFTFLSLEFVTIESCSNLTNFHWGSQALEFPELSKIRIAECPKMTAFSSSVSRESGDASENVVGKGGIYDNTATFFSNK >KJB72350 pep chromosome:Graimondii2_0_v6:11:39131520:39132245:-1 gene:B456_011G173200 transcript:KJB72350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKACLLLFLVGVVVFIITPSLANQHHDNELPKGKPFPGEKQPEHKPFPEHRPPIHSGPGGKGEEPPHGEKPPHRNLLSVEVEGTHKPPRHSGGKPPRHSGGKPPHVEGFPTDKAPMKPFPGEKPSKGKGKGAPHEPKPPHRHLLNVEVEDTYKPPRHSGGKPPHVEGFPDGKGEKPPHEQKPHNGHHPGHLLGIEN >KJB74386 pep chromosome:Graimondii2_0_v6:11:62312778:62314354:1 gene:B456_011G291900 transcript:KJB74386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNHDQSSLSHLPQEEPTATTTTTTTTTSDTTSTTRKCKGKGGPDNNKFRYRGVRQRSWGKWVAEIREPRKRTRKWLGTFASAEEAARAYDRAAIVLYGSKAQLNLQPSISSSSSSSSSRSSSSSSSSSTTQSLRPLLPRPSGFSFSYSNPTSYQASLMAAAASNGGSGGGYSRFMPYGVYQQQPMVYPSMMQNPLQIVQSEPSLVVDPTVTTTSYMNNPNPQQVQQHDQQGALYDDVNSLVGSVGSSLSLSGQTSVAPVVSDPGLTVGPGSPSIWPLTTDDEYPPPFIWDYMDPNFIFDF >KJB68702 pep chromosome:Graimondii2_0_v6:11:26907588:26907935:1 gene:B456_011G1551002 transcript:KJB68702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTPMEFVRDADDQGSAMEVDGVDTPEIFGEGVIASDNKLAYADFFNNFEDDFDDSDID >KJB68701 pep chromosome:Graimondii2_0_v6:11:26907588:26908080:1 gene:B456_011G1551002 transcript:KJB68701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTPMEFVRDADDQGSAMEVDGVDTPEIFGEGVIASDNKLAYADFFNNFEDDFDDSDID >KJB73106 pep chromosome:Graimondii2_0_v6:11:51785109:51789438:1 gene:B456_011G215300 transcript:KJB73106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASSVLVASSSFLYWIRKPSPFKFSKFPSTPSVTPSSPKCYRVVCRGGSPQPASFPDLSFALHDALESTGIDTSHAREARKSFVSQIKKLSDIERETSICINRCVDLGRTSLFIAAEDDSLISHSSVPLPVDAFLERLDDLSMGYCSHYNSACRSSRENFLESLEKYLYVKKGFRRSTAKNQAEPQALDLHSVLTHRSGSAVMLSLIHSEILKMLRLWGLLDFDVEIFFPHDPHGLPRAYDKQKSKESDQPHIMTVQMLLEEILRNLKDAFWPFQRGAADSLFLRAANAANCIDKFNGFEDSGYQLASTKAAQRRLDRGVWTSVHFGYMRRALSACERLILLRTDPKETRDYSILLYHCGLYEQALKFLKLYQDMKSSSAQNPSTDPVSNLEEDAVKKLIVRLNLIAMEEGWTRPWYVRNYLGNNSEPW >KJB73108 pep chromosome:Graimondii2_0_v6:11:51785200:51789438:1 gene:B456_011G215300 transcript:KJB73108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASSVLVASSSFLYWIRKPSPFKFSKFPSTPSVTPSSPKCYRVVCRGGSPQPASFPDLSFALHDALESTGIDTSHAREARKSFVSQIKKLSDIERETSICINRCVDLGRTSLFIAAEDDSLISHSSVPLPVDAFLERLDDLSMGYCSHYNSGFRRSTAKNQAEPQALDLHSVLTHRSGSAVMLSLIHSEILKMLRLWGLLDFDVEIFFPHDPHGLPRAYDKQKSKESDQPHIMTVQMLLEEILRNLKDAFWPFQRGAADSLFLRAANAANCIDKFNGFEDSGYQLASTKAAQRRLDRGVWTSVHFGYMRRALSACERLILLRTDPKETRDYSILLYHCGLYEQALKFLKLYQDMKSSSAQNPSTDPVSNLEEDAVKKLIVRLNLIAMEEGWTRPWYVRNYLGNNSEPW >KJB73109 pep chromosome:Graimondii2_0_v6:11:51785284:51788213:1 gene:B456_011G215300 transcript:KJB73109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASSVLVASSSFLYWIRKPSPFKFSKFPSTPSVTPSSPKCYRVVCRGGSPQPASFPDLSFALHDALESTGIDTSHAREARKSFVSQIKKLSDIERETSICINRCVDLGRTSLFIAAEDDSLISHSSVPLPVDAFLERLDDLSMGYCSHYNSACRSSRENFLESLEKYLYVKKGFRRSTAKNQAEPQALDLHSVLTHRSGSAVMLSLIHSEILKMLRLWGLLDFDVEIFFPHDPHGLPRAYDKQKSKESDQPHIMTVQMLLEEILRNLKDAFWPFQRGAADSLFLRAANAANCIDKFNGFEDSGYQLASTKAAQRRLDRGVWTSVHFGYMRRALSGMIRWCCMSKFFFILAITHQDQ >KJB73107 pep chromosome:Graimondii2_0_v6:11:51785231:51789132:1 gene:B456_011G215300 transcript:KJB73107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASSVLVASSSFLYWIRKPSPFKFSKFPSTPSVTPSSPKCYRVVCRGGSPQPASFPDLSFALHDALESTGIDTSHAREARKSFVSQIKKLSDIERETSICINRCVDLGRTSLFIAAEDDSLISHSSVPLPVDAFLERLDDLSMGYCSHYNSACRSSRENFLESLEKYLYVKKGFRRSTAKNQAEPQALDLHSVLTHRSGSAVMLSLIHSEILKMLRLWGLLDFDVEIFFPHDPHGLPRAYDKQKSKESDQPHIMTVQMLLEEILRNLKDAFWPFQRGAADSLFLRAANAANCIDKFNGFEDSGYQLASTKAAQRRLDRGVWTSVHFGYMRRALSVLLSFSFLATERVQKIICTISSFSIRSSFFFLSVQSFFFQQSSSLSFFSAFEFPFSNLL >KJB73394 pep chromosome:Graimondii2_0_v6:11:58501704:58503465:1 gene:B456_011G255700 transcript:KJB73394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWNCKEPGHLAGQCPNEPVCNMCGKMGHLARDCLNPRLLAHDARLCNNCYKAGHFAANCTNEKACNNCRKTGHLSRDCHNEPVCNICNISGHVARQCAKSKLSSDIGGRFRDIFCRNCGQPGHISQDCVSIIICNNFGGRGHLHYECPSARMYDRSGVRRY >KJB73391 pep chromosome:Graimondii2_0_v6:11:58500862:58503465:1 gene:B456_011G255700 transcript:KJB73391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNRSRSLLGGKIFRSIDRVPYRDSPYSRDRRNNRQDYLCNKCKRPGHFARECPDMTVCNNCGLPGHIAVGCNSTTMCWNCKEPGHLAGQCPNEPVCNMCGKMGHLARDCLNPRLLAHDARLCNNCYKAGHFAANCTNEKACNNCRKTGHLSRDCHNEPVCNICNISGHVARQCAKSKLSSDIGGRFRDIFCRNCGQPGHISQDCVSIIICNNFGGRGHLHYECPSARMYDRSGVRRY >KJB73392 pep chromosome:Graimondii2_0_v6:11:58500862:58503465:1 gene:B456_011G255700 transcript:KJB73392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNRSRSLLGGKIFRSIDRVPYRDSPYSRDRRNNRHIAVGCNSTTMCWNCKEPGHLAGQCPNEPVCNMCGKMGHLARDCLNPRLLAHDARLCNNCYKAGHFAANCTNEKACNNCRKTGHLSRDCHNEPVCNICNISGHVARQCAKSKLSSDIGGRFRDIFCRNCGQPGHISQDCVSIIICNNFGGRGHLHYECPSARMYDRSGVRRY >KJB73393 pep chromosome:Graimondii2_0_v6:11:58501465:58503465:1 gene:B456_011G255700 transcript:KJB73393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKKLFDNVKRRLRNRDMECEDQGHIAVGCNSTTMCWNCKEPGHLAGQCPNEPVCNMCGKMGHLARDCLNPRLLAHDARLCNNCYKAGHFAANCTNEKACNNCRKTGHLSRDCHNEPVCNICNISGHVARQCAKSKLSSDIGGRFRDIFCRNCGQPGHISQDCVSIIICNNFGGRGHLHYECPSARMYDRSGVRRY >KJB69075 pep chromosome:Graimondii2_0_v6:11:377809:378567:-1 gene:B456_011G004600 transcript:KJB69075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYFHTKSNAISMTAFLQLVTTVIVLILVQESAARELRPSDHGLEYQSLPPKGLKSPEMMSFFGSTSKSSSTSSSSTPSVVALPKATNSNDTSWWRSVANNQRGNDHVRHVLLLGSMICGVAGVALLAASAFIYVIKIKSFSPSTNSTKNDNNSLAVISN >KJB74098 pep chromosome:Graimondii2_0_v6:11:60423855:60427184:1 gene:B456_011G272200 transcript:KJB74098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARTSPLAWLSLVIFTLSMFMSCSHGSSDNRKVYIVYMGSLPKGKVSTTSLHTSMLHEVLPGNTVGSDVLLYSYHRSFNGFAAKLTKDEAAKLRGKDGVVSVFLSQRKQLHTSRSWDFMGFNRKVKRSVIESDIIVGMLDTGIWPESQSFNDTGFGPIPRKWRGTCQSSTNFTCNNKIIGARYYRANGDYSPYDYRSPRDSEGHGTHTSSTAAGGLVSKASLYGLAKGTARGGVPSARIAVYKICWYDGCYDEDILAAFDDAIADGVDIISLSVGSIFWSDYFDDTIAIGAFHSMKNGILTSNSAGNSGPSPSSITNFSPWSLSVAASTIDRKFVTKVKLGNGVTYEGTSINTFDLKGKMYPFIAGAAAPNTSQGYTSEDSRYCGPGTLDETLVKGKIVFCDYDSNADGPVEAGAIGAVFQSGRHKDYAFAYGLPLSNLNLDDGRIVFDYVNTTENPTATIFKTNVEDNQFAPFVVSFSSRGPNPVTADILKPDLTAPGVDILAAWSEALPLTETEEDTRVVSYNIISGTSMSCPHATGAAAYVKSFHPTWSPAAIKSALMTTAFPMSSENNIEAEFAYGAGHINPALAARPGLIYDAGEIDYVKFLCGQGYSPKQIKLITESKTKCSEVMNEAVWDLNYPSFALSTTPGDSVTRVFHRTVTNVGSPVSTYKAVVNAPPGLIIQVQPSVLSFKSLGQKQSFTVTIGAQLGNSMVSGSLIWDDGVHQ >KJB68967 pep chromosome:Graimondii2_0_v6:11:84288:107147:-1 gene:B456_011G001100 transcript:KJB68967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPYIEQVEYLDVLTKTGKKTGVSKPRGDVHRDGDYHKAVHVWIFAESTQELLLQKRADCKDSWPGLWDISSAGHISAGDSSLITAQRELQEELGVILPKDAFELIFVFLEECVTNNGKFINNEYSDVYLVTTLEPIPREAFTLQDTEVSDVKYISFGEYRSHLAEADPKYVPYDVNKQYGLLFDIITKRYRENNEARSLVLQKQLRRYAPVSLTAELTGLGDADKEALILLVRAAMIMDEIFYLQVWHSNPVLREWLKEHANVSQLDNLKWMYYVINKSPWSCLDENEAFLTTADSAVKLLPEATKPITGWKGVQYRAAFPMLKPSGANFYPPDMDKMEFKLWTTGLSLDKQKDATSFFTVIKRHSQVNWDNHIFDSTHLSEGSTHDLYSIPYSQEYHPFLTRVSDLLHKAGDLVSSPSLKRLLHSKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDSLFGYKATFEAFIGVRDEKATAQLKLFSDNLQVLEQNLPMDDTYKSKDIIAAPIRVVQLLFNAGDVKGPQTIAFNLPNDERIVKDRGTAMVILKNVSEAKFKQILNPIADACIAKEQHELVDFESFFTHTICHECCHGIGPHTITLPDGRKSTVRLELQDLHSALEEAKADIVGLWALNFLIKKQHLLPTSLEKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLLEKEAFVFHPNETFSVNFDKVEEAVESLSRTILTIQAKGDKEGASLLLQKYCTMTKPLKVALQKLESIHVPVDIAPIFPVAKTLLE >KJB68965 pep chromosome:Graimondii2_0_v6:11:83897:107267:-1 gene:B456_011G001100 transcript:KJB68965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPYIEQVEYLDVLTKTGKKTGVSKPRGDVHRDGDYHKAVHVWIFAESTQELLLQKRADCKDSWPGLWDISSAGHISAGDSSLITAQRELQEELGVILPKDAFELIFVFLEECVTNNGKFINNEYSDVYLVTTLEPIPREAFTLQDTEVSDVKYISFGEYRSHLAEADPKYVPYDVNKQYGLLFDIITKRYRENNEARSLVLQKQLRRYAPVSLTAELTGLGDADKEALILLVRAAMIMDEIFYLQVWHSNPVLREWLKEHANVSQLDNLKWMYYVINKSPWSCLDENEAFLTTADSAVKLLPEATKPITGWKGVQYRAAFPMLKPSGANFYPPDMDKMEFKLWTTGLSLDKQKDATSFFTVIKRHSQVNWDNHIFDSTHLSEGSTHDLYSIPYSQEYHPFLTRVSDLLHKAGDLVSSPSLKRLLHSKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDSLFGYKATFEAFIGVRDEKATAQLKLFSDNLQVLEQNLPMDDTYKSKDIIAAPIRVVQLLFNAGDVKGPQTIAFNLPNDERIVKDRGTAMVILKNVSEAKFKQILNPIADACIAKEQHELVDFESFFTHTICHECCHGIGPHTITLPDGRKSTVRLELQDLHSALEEAKADIVGLWALNFLIKKHLLPTSLEKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLLEKEAFVFHPNETFSVNFDKVQKLLKV >KJB68970 pep chromosome:Graimondii2_0_v6:11:84447:107267:-1 gene:B456_011G001100 transcript:KJB68970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPYIEQVEYLDVLTKTGKKTGVSKPRGDVHRDGDYHKAVHVWIFAESTQELLLQKRADCKDSWPGLWDISSAGHISAGDSSLITAQRELQEELGVILPKDAFELIFVFLEECVTNNGKFINNEYSDVYLVTTLEPIPREAFTLQDTEVSDVKYISFGEYRSHLAEADPKYVPYDVNKQYGLLFDIITKRYRENNEARSLVLQKQLRRYAPVSLTAELTGLGDADKEALILLVRAAMIMDEIFYLQVWHSNPVLREWLKEHANVSQLDNLKWMYYVINKSPWSCLDENEAFLTTADSAVKLLPEATKPITGWKGVQYRAAFPMLKPSGANFYPPDMDKMEFKLWTTGLSLDKQKDATSFFTVIKRHSQVNWDNHIFDSTHLSEGSTHDLYSIPYSQEYHPFLTRVSDLLHKAGDLVSSPSLKRLLHSKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDSLFGYKATFEAFIGVRDEKATAQLKLFSDNLQVLEQNLPMDDTYKSKDIIAAPIRVVQLLFNAGDVKGPQTIAFNLPNDERIVKDRGTAMVILKNVSEAKFKQILNPIADACIAKEQHELVDFESFFTHTICHECCHGIGPHTITLPDGRKSTVRLELQDLHSALEEAKADIVGLWALNFLIKKHLLPTSLEKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLLEKEAFVFHPNETFSVNFDKVEEAVESLSRTILTIQAKGDKEGASLLLQKYCTMTKPLKVALQKLESIHGH >KJB68969 pep chromosome:Graimondii2_0_v6:11:84288:107147:-1 gene:B456_011G001100 transcript:KJB68969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPYIEQVEYLDVLTKTGKKTGVSKPRGDVHRDGDYHKAVHVWIFAESTQELLLQKRADCKDSWPGLWDISSAGHISAGDSSLITAQRELQEELGVILPKDAFELIFVFLEECVTNNGKFINNEYSDVYLVTTLEPIPREAFTLQDTEVSDVKYISFGEYRSHLAEADPKYVPYDVNKQYGLLFDIITKRYRENNEARSLVLQKQLRRYAPVSLTAELTGLGDADKEALILLVRAAMIMDEIFYLQVWHSNPVLREWLKEHANVSQLDNLKWMYYVINKSPWSCLDENEAFLTTADSAVKLLPEATKPITGWKGVQYRAAFPMLKPSGANFYPPDMDKMEFKLWTTGLSLDKQKDATSFFTVIKRHSQVNWDNHIFDSTHLSEGSTHDLYSIPYSQEYHPFLTRVSDLLHKAGDLVSSPSLKRLLHSKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDSLFGYKATFEAFIGVRDEKATAQLKLFSDNLQVLEQNLPMDDTYKSKDIIAAPIRVVQLLFNAGDVKGPQTIAFNLPNDERIVKDRGTAMVILKNVSEAKFKQILNPIADACIAKEQHELVDFESFFTHTICHECCHGIGPHTITLPDGRKSTVRLELQDLHSALEEAKADIVGLWALNFLIKKHLLPTSLEKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLLEKEAFVFHPNETFSVNFDKVEEAVESLSRTILTIQAKGDKEGASLLLQKYCTMTKPLKVALQKLESIHVPVDIAPIFPVAKTLLE >KJB68968 pep chromosome:Graimondii2_0_v6:11:83897:107398:-1 gene:B456_011G001100 transcript:KJB68968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPYIEQVEYLDVLTKTGKKTGVSKPRGDVHRDGDYHKAVHVWIFAESTQELLLQKRADCKDSWPGLWDISSAGHISAGDSSLITAQRELQEELGVILPKDAFELIFVFLEECVTNNGKFINNEYSDVYLVTTLEPIPREAFTLQDTEVSDVKYISFGEYRSHLAEADPKYVPYDVNKQYGLLFDIITKRENNEARSLVLQKQLRRYAPVSLTAELTGLGDADKEALILLVRAAMIMDEIFYLQVWHSNPVLREWLKEHANVSQLDNLKWMYYVINKSPWSCLDENEAFLTTADSAVKLLPEATKPITGWKGVQYRAAFPMLKPSGANFYPPDMDKMEFKLWTTGLSLDKQKDATSFFTVIKRHSQVNWDNHIFDSTHLSEGSTHDLYSIPYSQEYHPFLTRVSDLLHKAGDLVSSPSLKRLLHSKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDSLFGYKATFEAFIGVRDEKATAQLKLFSDNLQVLEQNLPMDDTYKSKDIIAAPIRVVQLLFNAGDVKGPQTIAFNLPNDERIVKDRGTAMVILKNVSEAKFKQILNPIADACIAKEQHELVDFESFFTHTICHECCHGIGPHTITLPDGRKSTVRLELQDLHSALEEAKADIVGLWALNFLIKKHLLPTSLEKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLLEKEAFVFHPNETFSVNFDKVEEAVESLSRTILTIQAKGDKEGASLLLQKYCTMTKPLKVALQKLESIHVPVDIAPIFPVAKTLLE >KJB68966 pep chromosome:Graimondii2_0_v6:11:83897:107267:-1 gene:B456_011G001100 transcript:KJB68966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPYIEQVEYLDVLTKTGKKTGVSKPRGDVHRDGDYHKAVHVWIFAESTQELLLQKRADCKDSWPGLWDISSAGHISAGDSSLITAQRELQEELGVILPKDAFELIFVFLEECVTNNGKFINNEYSDVYLVTTLEPIPREAFTLQDTEVSDVKYISFGEYRSHLAEADPKYVPYDVNKQYGLLFDIITKRYRENNEARSLVLQKQLRRYAPVSLTAELTGLGDADKEALILLVWHSNPVLREWLKEHANVSQLDNLKWMYYVINKSPWSCLDENEAFLTTADSAVKLLPEATKPITGWKGVQYRAAFPMLKPSGANFYPPDMDKMEFKLWTTGLSLDKQKDATSFFTVIKRHSQVNWDNHIFDSTHLSEGSTHDLYSIPYSQEYHPFLTRVSDLLHKAGDLVSSPSLKRLLHSKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDSLFGYKATFEAFIGVRDEKATAQLKLFSDNLQVLEQNLPMDDTYKSKDIIAAPIRVVQLLFNAGDVKGPQTIAFNLPNDERIVKDRGTAMVILKNVSEAKFKQILNPIADACIAKEQHELVDFESFFTHTICHECCHGIGPHTITLPDGRKSTVRLELQDLHSALEEAKADIVGLWALNFLIKKHLLPTSLEKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLLEKEAFVFHPNETFSVNFDKVEEAVESLSRTILTIQAKGDKEGASLLLQKYCTMTKPLKVALQKLESIHVPVDIAPIFPVAKTLLE >KJB71099 pep chromosome:Graimondii2_0_v6:11:12348918:12357283:-1 gene:B456_011G106100 transcript:KJB71099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKHSRSASADRSEPPKDSSMTQIEGKTLSVKEILSVIDSLKKQVAVDRSLSVKTRLEENKQKLVGITSHLYKLSKERRSSWIIDTDSASDLLTKRQKDALGMQNGIDASNGDKDGYSYQEPSTAVLMGSSIPVKNAVRPIKLTEVKKLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEELLEEDEEKKDFVESEDFILRMAIKEVGLSDPVLESLAQCLSRSPADVKARYETLMKEDTGASKNRDTEEQNWNSFLDKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLIFPADKQTPWNRTDDENAPCGLHCYRLVLKSERNDTVRSPMNPEDKSNSSSDGVAAQISSSKKSAGPSTRRKAKSSQSESASSNAKNLSESSDSEIRPRHEDSSPIPQLSPSKNKIAGKSGILKRNSKRVAERVLICMRKRQKKMEASESDSLVSGGVSPTDMRLRSNPRKENEDATSSSQKDVKSSNTGRSRRKDWPLKGVQGEIPYSETVNDLAQTSSNGCLRNEEFVDENLCKQELSDDKSWKAIEKGLFDKGLQIFGRNSCLIARNLLNGLKTCWEVFLYMTCFDNKLACHAADGVLSLLEGCSKFDLNGAMGNNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCSCQTACGKQCSCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTGSLGVPPQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNNVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPEPNCYAKVIMVAGDHRVGIFAKERINAGEELFYDYRYEPDRAPAWARKPEASGSKKEDGAPSSGRAKKLA >KJB71100 pep chromosome:Graimondii2_0_v6:11:12348990:12357133:-1 gene:B456_011G106100 transcript:KJB71100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKHSRSASADRSEPPKDSSMTQIEGKTLSVKEILSVIDSLKKQVAVDRSLSVKTRLEENKQKLVGITSHLYKLSKERRSSWIIDTDSASDLLTKRQKDALGMQNGIDASNGDKDGYSYQEPSTAVLMGSSIPVKNAVRPIKLTEVKKLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEELLEEDEEKKDFVESEDFILRMAIKEVGLSDPVLESLAQCLSRSPADVKARYETLMKEDTGASKNRDTEEQNWNSFLDKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLIFPADKQTPWNRTDDENAPCGLHCYRLVLKSERNDTVRSPMNPEDKSNSSSDGVAAQISSSKKSAGPSTRRKAKSSQSESASSNAKNLSESSDSEIRPRHEDSSPIPQLSPSKNKIAGKSGILKRNSKRVAERVLICMRKRQKKMEASESDSLVSGGVSPTDMRLRSNPRKENEDATSSSQKDVKSSNTGRSRRKDWPLKGVQGEIPYSETVNDLAQTSSNGCLRNEEFVDENLCKQELSDDKSWKAIEKGLFDKGLQIFGRNSCLIARNLLNGLKTCWEVFLYMTCFDNKLACHAADGVLSLLEGCSKFDLNGAMGNNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCSCQTACGKQCSCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTGSLGVPPQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNNVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPEPNCYAKVHFRRLCMQERLFSLVLFYHSSVNTLWSSTVAFATFHFILALR >KJB74422 pep chromosome:Graimondii2_0_v6:11:62492283:62495135:-1 gene:B456_011G294200 transcript:KJB74422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAFTSTISRKSEKVIDEQDVEDDTAALFYDKVVIPHLVNLKLSSINIHKIWHHPSHPPERNQMFSTLWSLCLEDLPKLKDTCFENGFEFPCLRDLTLTNCPLLRTFISKSVCGDEPQIHQPTQTNNSAVLNQKVVFPRLEKLLIQGCDSLEEIIELQALNANEAQSTSATRSTMAQTVMTKFVFPHLTHLGLDKVPSLKSFYSRMHTTQWPSLKFMKVIEGPKVQIFGEVEIPNQQPLFCVNEDTFPVLQELTLKTNDMIKGICDGKLSLQCFPNLKLLNLQFFPETSTTLPYSFIQSLPKLEKLVINNASISEIVRFEGHINEERHTSACYQLEALRLSQLPELTLKTLEPFLLSFKNLLSMEVSRCHGFINLMACSTAKSLTLLERLSIADSELVEEIISCEGEDLQASIVFPKLKYLQLSHLPSLASFSLAHHSLEFPVLQIVIVTDCPKMKNFSQGELSTPRLEHMHLTRDEDGELQWEGDLNTTIKHMFDQMNMQNSQAIEVTDQLLQLE >KJB68884 pep chromosome:Graimondii2_0_v6:11:40566081:40567463:1 gene:B456_011G175800 transcript:KJB68884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGTIIVKRIVRMLISRDIYDIAALCSRKERFFFKVCRFRDDILGPTTLMYNRTVSSTCFEDERMVKQAGRKLLLVPSLQLPLASSKITKPQS >KJB70836 pep chromosome:Graimondii2_0_v6:11:10073521:10074423:-1 gene:B456_011G092700 transcript:KJB70836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGNHWSMVKCSGGVYDKTIINRIMLKFRPIAPKPVNGDSDSSESILNSKNLDVTSKRKKRKYVRVCEKNNTRKKRILDRAREDNDGNKGFVTLQLMPEKADLNKPIVVERSLDVVDDDDLDRALGRDNYRFQDPPSLCLKLKTMVASDHMAVMGLHNPGRMTVVESWVMVESVTETCIEEEEMEKCTDQEKMKNLEKDTCPGFVSDGLNRVFWVNQAYRNMVGLDQDGEQERAATAVGLVLKDGLTFPCGAFSCRVWLRYVDGKGKNYSKTVPCDVWKLSSGGLAWRLDVNAALSLGL >KJB71507 pep chromosome:Graimondii2_0_v6:11:18370909:18371752:-1 gene:B456_011G128100 transcript:KJB71507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LTP4 MASSMSLKLACVAVLCMVVGAPLAQGAVTCGQVTSSLAPCIGYLTGNGAGGVPPGCCGGIKSLNSAAQTTPDRQAACKCIKSAAAGISGINYGIASGLPGKCGVNIPYKISPSTDCNRF >KJB71504 pep chromosome:Graimondii2_0_v6:11:18370755:18371752:-1 gene:B456_011G128100 transcript:KJB71504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LTP4 MASSMSLKLACVAVLCMVVGAPLAQGAVTCGQVTSSLAPCIGYLTGNDRQAACKCIKSAAAGISGINYGIASGLPGKCGVNIPYKISPSTDCNSVK >KJB71506 pep chromosome:Graimondii2_0_v6:11:18370755:18371778:-1 gene:B456_011G128100 transcript:KJB71506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LTP4 MASSMSLKLACVAVLCMVVGAPLAQGAVTCGQVTSSLAPCIGYLTGNGAGGVPPGCCGGIKSLNSAAQTTPDRQAACKCIKSAAAGISGINYGIASGLPASSEVLAWKVHQLVEAKITIATE >KJB71508 pep chromosome:Graimondii2_0_v6:11:18371132:18371603:-1 gene:B456_011G128100 transcript:KJB71508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LTP4 MASSMSLKLACVAVLCMVVGAPLAQGAVTCGQVTSSLAPCIGYLTGNGAGGVPPGCCGGIKSLNSAAQTTPDRQAACKCIKSAAAGISGINYGIASGLPGKCGVNIPYKISPSTDCNRFRQVKFWHGKFTS >KJB71505 pep chromosome:Graimondii2_0_v6:11:18371161:18371603:-1 gene:B456_011G128100 transcript:KJB71505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LTP4 MASSMSLKLACVAVLCMVVGAPLAQGAVTCGQVTSSLAPCIGYLTGNGAGGVPPGCCGGIKSLNSAAQTTPDRQAACKCIKSAAAGISGINYGIASGLPGKCGVNIPYKISPSTDCNSVK >KJB71503 pep chromosome:Graimondii2_0_v6:11:18370755:18371752:-1 gene:B456_011G128100 transcript:KJB71503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LTP4 MASSMSLKLACVAVLCMVVGAPLAQGAVTCGQVTSSLAPCIGYLTGNGAGGVPPGCLRPPAFLASTMVLQADSQASAVSTSLTRSALALTATASSEVLAWKVHQLVEAKITIATE >KJB74255 pep chromosome:Graimondii2_0_v6:11:61584501:61586031:1 gene:B456_011G283200 transcript:KJB74255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKFPERQPIGTAAQSQDDKDYTEPPPAPLFEPSELTSWSFYRAGIAEFVATFLFLYISVLTVMGVVKDKTKCTTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLGRKLSLTRAIYYMVMQCLGAICGAGVVKGFMGKTRYGALGGGANSVNHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPVSSIFFN >KJB74254 pep chromosome:Graimondii2_0_v6:11:61584423:61586095:1 gene:B456_011G283200 transcript:KJB74254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKFPERQPIGTAAQSQDDKDYTEPPPAPLFEPSELTSWSFYRAGIAEFVATFLFLYISVLTVMGVVKDKTKCTTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLGRKLSLTRAIYYMVMQCLGAICGAGVVKGFMGKTRYGALGGGANSVNHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDKGWDDHWIFWVGPFIGAALAALYHVVVIRAIPFKSK >KJB70788 pep chromosome:Graimondii2_0_v6:11:9897225:9904785:1 gene:B456_011G091200 transcript:KJB70788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAALNLVNATLNWITFALDAPSARAVVFGVHIGGHLFVEVLLLVVIFFLLSQKSYKPPKRPLTKKEIDELCDEWVPESLIPPITQEMLSEPPVLESAAGPHTIINGKEVVNFASANYLGFVGHDKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCESRIAKFLGTHDSILYSYGLSTLFSAIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMDSLEKTLEKITAQNKRAKKLRRYIVVESVYQNSGQIAPLDKIIKLKEKYRFRVLLDETNSFGVLGRTGRGLTEYCGVPIEKIDIVTAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDILEQNPDLTSKLKENIGILWKGLSDIRGLSIASNPESPIVFLVLEKSTGSVKSDLQLLEDIADRALKQGSIFVMASKRSTLDKCPLPVGIRLFVSTAHSELDLLKACESLKRVAAAMLR >KJB70789 pep chromosome:Graimondii2_0_v6:11:9893033:9904785:1 gene:B456_011G091200 transcript:KJB70789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAALNLVNATLNWITFALDAPSARAVVFGVHIGGHLFVEVLLLVVIFFLLSQKSYKPPKRPLTKKEIDELCDEWVPESLIPPITQEMLSEPPVLESAAGPHTIINGKEVVNFASANYLGFVGHDKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCESRIAKFLGTHDSILYSYGLSTLFSAIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMDSLEKTLEKITAQNKRAKKLRRYIVVESVYQNSGQIAPLDKIIKLKEKYRFRVLLDETNSFGVLGRTGRGLTEYCGVPIEKIDIVTAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDILEQNPDLTSKLKENIGILWKGLSDIRGLSIASNPESPIVFLVLEKSTGSVKSDLQLLEDIADRALKQGSIFVMASKRSTLDKCPLPVGIRLFVSTAHSELDLLKACESLKRVAAAMLR >KJB70790 pep chromosome:Graimondii2_0_v6:11:9899604:9904675:1 gene:B456_011G091200 transcript:KJB70790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEPPVLESAAGPHTIINGKEVVNFASANYLGFVGHDKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCESRIAKFLGTHDSILYSYGLSTLFSAIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMDSLEKTLEKITAQNKRAKKLRRYIVVESVYQNSGQIAPLDKIIKLKEKYRFRVLLDETNSFGVLGRTGRGLTEYCGVPIEKIDIVTAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDILEQNPDLTSKLKENIGILWKGLSDIRGLSIASNPESPIVFLVLEKSTGSVKSDLQLLEDIADRALKQGSIFVMASKRSTLDKCPLPVGIRLFVSTAHSELDLLKACESLKRVAAAMLR >KJB70787 pep chromosome:Graimondii2_0_v6:11:9893033:9904675:1 gene:B456_011G091200 transcript:KJB70787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAALNLVNATLNWITFALDAPSARAVVFGVHIGGHLFVEVLLLVVIFFLLSQKSYKPPKRPLTKKEIDELCDEWVPESLIPPITQEMLSEPPVLESAAGPHTIINGKEVVNFASANYLGFVGHDKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCESRIAKFLGTHDSILYSYGLSTLFSAIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMDSLEKTLEKITAQNKRAKKLRRYIVVESVYQNSGQIAPLDKIIKLKEKYRFRVLLDETNSFGVLGRTGRGLTEYCGVPIEKIDIVTAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDILEQNPDLTSKLKENIGILWKGLSDIRGLSIASNPESPIVFLVLEKSTGSVKSDLQLLEDIADRALKQGSIFVMASKRSTLDKCPLPVGIRLFVSTAHSELDLLKACESLKRVAAAMLR >KJB70786 pep chromosome:Graimondii2_0_v6:11:9889513:9904675:1 gene:B456_011G091200 transcript:KJB70786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELCDEWVPESLIPPITQEMLSEPPVLESAAGPHTIINGKEVVNFASANYLGFVGHDKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCESRIAKFLGTHDSILYSYGLSTLFSAIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMDSLEKTLEKITAQNKRAKKLRRYIVVESVYQNSGQIAPLDKIIKLKEKYRFRVLLDETNSFGVLGRTGRGLTEYCGVPIEKIDIVTAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDILEQNPDLTSKLKENIGILWKGLSDIRGLSIASNPESPIVFLVLEKSTGSVKSDLQLLEDIADRALKQGSIFVMASKRSTLDKCPLPVGIRLFVSTAHSELDLLKACESLKRVAAAMLR >KJB72547 pep chromosome:Graimondii2_0_v6:11:43807399:43809002:1 gene:B456_011G184300 transcript:KJB72547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRFMAFKRNFGLGLPDQPIKRNPPLNALIPPSLILQQKRFYPLGISPKSRLGFLSHDSYGSVSCLSLNYLPKLQPLNRLGIGTEIPMIFPQIQTFLFCCLPERRVWSSSPPTSRGQSLQVRPPCSPVISPPMNPLPSPNQR >KJB72549 pep chromosome:Graimondii2_0_v6:11:43807399:43809002:1 gene:B456_011G184300 transcript:KJB72549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRFMAFKRNFGLGLPDQPIKRNPPLNALIPPSLILQQKRFYPLGISPKSRLGFLSHDSYGSVSCLSLNYLPKLQPLNRLGIGTEIPMIFPQIQTFLFCCLPERRVWSSSPPTSRGQSLQVRPPCSPVISPPMNPLPSPNQR >KJB72548 pep chromosome:Graimondii2_0_v6:11:43807399:43809002:1 gene:B456_011G184300 transcript:KJB72548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRFMAFKRNFGLGLPDQPIKRNPPLNALIPPSLILQQKRFYPLGISPKSRLGFLSHDSYGSVSCLSLNYLPKLQPLNRLGIGTEIPMIFPQIQTFLFCCLPERRVWSSSPPTSRGQSLQVRPPCSPVISPPMNPLPSPNQR >KJB68927 pep chromosome:Graimondii2_0_v6:11:4606484:4608521:-1 gene:B456_011G057700 transcript:KJB68927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKERLMKMAGAVRTGGKGSMRRYKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFINPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQAPGAGDGTGTGAVVTQEEDDEEVPDLVPGETFEAAAEEGQDTAK >KJB68928 pep chromosome:Graimondii2_0_v6:11:4606484:4608502:-1 gene:B456_011G057700 transcript:KJB68928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKERLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFINPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQAPGAGDGTGTGAVVTQEEDDEEVPDLVPGETFEAAAEEGQDTAK >KJB68926 pep chromosome:Graimondii2_0_v6:11:4606484:4608590:-1 gene:B456_011G057700 transcript:KJB68926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKERLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFINPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQAPGAGDGTGTGAVVTQEEDDEEVPDLVPGETFEAAAEEGQDTAK >KJB73825 pep chromosome:Graimondii2_0_v6:11:58452807:58454511:-1 gene:B456_011G255200 transcript:KJB73825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLDHNFFYTGMKYTTATFTTAMCNILPALTFALACIVKLERVEIGKVRSQAKVAGTAVAVGGAMIMTLIKGPILELPWTKGRNHYLGQHGASGAHKQDMVMGALLLLAGCCCWACFVISQARILKSYPAKLSLTALICIMGTFEGTILAFAVEWRNPSVWHIGFDSKLIASLYGGLVTAFALYAMGSVMKRRGPVFVSAFNPLSMVIVAILGSFFLAEDMYLGRVLGSIVIVIGLYLVLWGKSKEQPQSTPDIMVVRADQQMVIINGHIENPDPELIPVARSSQQTATIKGNIKNPDPKFITIE >KJB73826 pep chromosome:Graimondii2_0_v6:11:58452535:58454519:-1 gene:B456_011G255200 transcript:KJB73826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWTQLFNHGKPFLAMILMQSSYAVMSIIAKYALNQGMSPHVLVAYRLAVAAIIITPFAIVLERKTRPKMTFNIFIKIMLISLLEPVLDHNFFYTGMKYTTATFTTAMCNILPALTFALACIVKLERVEIGKVRSQAKVAGTAVAVGGAMIMTLIKGPILELPWTKGRNHYLGQHGASGAHKQDMVMGALLLLAGCCCWACFVISQARILKSYPAKLSLTALICIMGTFEGTILAFAVEWRNPSVWHIGFDSKLIASLYGGLVTAFALYAMGSVMKRRGPVFVSAFNPLSMVIVAILGSFFLAEDMYLGRVLGSIVIVIGLYLVLWGKSKEQPQSTPDIMVVRADQQMVIINGHIENPDPELIPVARSSQQTATIKGNIKNPDPKFITIE >KJB73827 pep chromosome:Graimondii2_0_v6:11:58452807:58453776:-1 gene:B456_011G255200 transcript:KJB73827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMTLIKGPILELPWTKGRNHYLGQHGASGAHKQDMVMGALLLLAGCCCWACFVISQARILKSYPAKLSLTALICIMGTFEGTILAFAVEWRNPSVWHIGFDSKLIASLYGGLVTAFALYAMGSVMKRRGPVFVSAFNPLSMVIVAILGSFFLAEDMYLGRVLGSIVIVIGLYLVLWGKSKEQPQSTPDIMVVRADQQMVIINGHIENPDPELIPVARSSQQTATIKGNIKNPDPKFITIE >KJB73828 pep chromosome:Graimondii2_0_v6:11:58452807:58454511:-1 gene:B456_011G255200 transcript:KJB73828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYTTATFTTAMCNILPALTFALACIVKLERVEIGKVRSQAKVAGTAVAVGGAMIMTLIKGPILELPWTKGRNHYLGQHGASGAHKQDMVMGALLLLAGCCCWACFVISQARILKSYPAKLSLTALICIMGTFEGTILAFAVEWRNPSVWHIGFDSKLIASLYGGLVTAFALYAMGSVMKRRGPVFVSAFNPLSMVIVAILGSFFLAEDMYLGRVLGSIVIVIGLYLVLWGKSKEQPQSTPDIMVVRADQQMVIINGHIENPDPELIPVARSSQQTATIKGNIKNPDPKFITIE >KJB71555 pep chromosome:Graimondii2_0_v6:11:18943700:18946247:-1 gene:B456_011G129200 transcript:KJB71555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPRVFPPRKRWPSTGSSISPKFADLNLVKSLLSLSQEISALKPIQCLLIQKSLSTINKSKLLAIVFEELLRNPVSTSFSPSILLCFEEMYLVFQRIKTLMEDCCSGSKMWLLMRIQPLANSFHELTLELSTLLDIFPVNELDLSQDVEELFVLVRKHCFQSKPSVDPRDDSLRRDVLALLHQIKKEIVPHHLKLKQILDNLGLRDQSSCREEIECLQDEIQNQIDEKSKLDIASLIGLLRYAKCVLFGSSTTQLEPDHRRRNSLSEIAVPADFRCPISLELMRDPVVVASGQTYDRESINQWIESGHNTCPKTGQTLAHTNLIPNRALRNLIAMWCRQQRVPFETVGSNEKVSGVKATKAAFEATKMTVYFLVNKLSASPSMEAANAVTYELRALAKTDSDSRACIAEAGAIPILVRCLGSGVGSEHPNLQVNAVTTILNLSILEANKTRIMETDGALNGVIDVLRFGATWEAKGNAAATIFSLSGVHTYRKRLGRKTRVIKGLMDLAKDGPTNSKRDALVTILNLAGDRETVGRLVEGGVIETVIGVINVLPEEAVTILEAVVKRGGLVAIAEAYNSIKKLGVILMEGSDTARESAAASLVTICRKGGLEVVAELAAVPSIERIIWEVMGTGTMRARRKAAVLLRILRRWSAGLDTNDVVDSSVMSVGTLTTMLPA >KJB74011 pep chromosome:Graimondii2_0_v6:11:59881340:59882767:-1 gene:B456_011G267000 transcript:KJB74011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTTFYWCYRCNRVVRLLNPDTVSCPDCYSGFIEEIDYQNDAARAFTPAAVYANPSPGTAVLRRGRRSGGNRSPFNPVIVLRGGTATSSPSGGDSSVEQNGRGFELYYDDGSGSGLRPLPPTMSEFLLGSGFERLIDQLSQMEIQNAGRNEQPPASKAAVEQFELGTKVRNMPCDHLYHSNCILPWLQLRNSCPVCRHELPAATVDGDEGAETDRVYSNLDETPVGLTVWRLPGGGFAVGRFQGIRGGDGENRGFPMVYTEVDGGFSGGGLPRRVSWASRGQRGRQRGGVFRRFLGNLFGCFSGSNSRLISR >KJB73634 pep chromosome:Graimondii2_0_v6:11:56805480:56808820:-1 gene:B456_011G241600 transcript:KJB73634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit B1 [Source:Projected from Arabidopsis thaliana (AT2G38880) UniProtKB/TrEMBL;Acc:F4ITZ0] MDTNMFHFHFPSDLRSFKDMADGMARGPTSPAGGSHESGGEQCSSHSTVREQDRYLPIANISRIMKRALPTNGKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKIYLARYREGDAKGSVRGEVPLKRDAVRVLSVPNPQFPIQGSLNYINSQAQGHHMIVPSMQGNE >KJB73636 pep chromosome:Graimondii2_0_v6:11:56805480:56809175:-1 gene:B456_011G241600 transcript:KJB73636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit B1 [Source:Projected from Arabidopsis thaliana (AT2G38880) UniProtKB/TrEMBL;Acc:F4ITZ0] MADGMARGPTSPAGGSHESGGEQCSSHSTVREQDRYLPIANISRIMKRALPTNGKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKIYLARYRELEGDAKGSVRGEVPLKRDAVRVLSVPNPQFPIQGSLNYINSQAQGHHMIVPSMQGNE >KJB73635 pep chromosome:Graimondii2_0_v6:11:56805480:56808960:-1 gene:B456_011G241600 transcript:KJB73635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit B1 [Source:Projected from Arabidopsis thaliana (AT2G38880) UniProtKB/TrEMBL;Acc:F4ITZ0] MHFGDLRSFKDMADGMARGPTSPAGGSHESGGEQCSSHSTVREQDRYLPIANISRIMKRALPTNGKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKIYLARYRELEGDAKGSVRGEVPLKRDAVRVLSVPNPQFPIQGSLNYINSQAQGHHMIVPSMQGNE >KJB73633 pep chromosome:Graimondii2_0_v6:11:56805909:56808805:-1 gene:B456_011G241600 transcript:KJB73633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit B1 [Source:Projected from Arabidopsis thaliana (AT2G38880) UniProtKB/TrEMBL;Acc:F4ITZ0] MDTNMFHFHFPSDLRSFKDMADGMARGPTSPAGGSHESGGEQCSSHSTVREQDRYLPIANISRIMKRALPTNGKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKIYLARYRELEGDAKGSVRGEVPLKRDAVRVLSVPNPQFPIQGSLNYINSQAQGHHMIVPSMQGNE >KJB74340 pep chromosome:Graimondii2_0_v6:11:62071218:62074338:1 gene:B456_011G289200 transcript:KJB74340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLRTVEEIFKDYNARRSALVRALTYDVDDFYSQCDPDKENLCLYGHPNEAWEVALPAEEVPSELPEPALGINFARDGMNKKDWLSLVAVHSDCWLLSVAFYFGARLNRNERKRLFSMMNDLPTVFEVVTGQKPVKDKPTVESGSKSRNSTKVNIRSPRSTRMLMEAMQTANTVIIVFSLA >KJB74339 pep chromosome:Graimondii2_0_v6:11:62071500:62074097:1 gene:B456_011G289200 transcript:KJB74339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCCFGSDVDDFYSQCDPDKENLCLYGHPNEAWEVALPAEEVPSELPEPALGINFARDGMNKKDWLSLVAVHSDCWLLSVAFYFGARLNRNERKRLFSMMNDLPTVFEVVTGQKPVKDKPTVESGSKSRNSTKRSIDGQPRSNPKLAE >KJB74341 pep chromosome:Graimondii2_0_v6:11:62071142:62074519:1 gene:B456_011G289200 transcript:KJB74341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLRTVEEIFKDYNARRSALVRALTYDVDDFYSQCDPDKENLCLYGHPNEAWEVALPAEEVPSELPEPALGINFARDGMNKKDWLSLVAVHSDCWLLSVAFYFGARLNRNERKRLFSMMNDLPTVFEVVTGQKPVKDKPTVESGSKSRNSTKPNEDDKEEQGDTFCGSCGGGYNSDEFWIGCDNCERWYHGKCVKITPAKAEMIKVYKCPSCQKKARQ >KJB74338 pep chromosome:Graimondii2_0_v6:11:62071218:62074338:1 gene:B456_011G289200 transcript:KJB74338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLRTVEEIFKDYNARRSALVRALTYDVDDFYSQCDPDKENLCLYGHPNEAWEVALPAEEVPSELPEPALGINFARDGMNKKDWLSLVAVHSDCWLLSVAFYFGARLNRNERKRLFSMMNDLPTVFEVVTGQKPVKDKPTVESGSKSRNSTKRSIDGQPRSNPKLAE >KJB73119 pep chromosome:Graimondii2_0_v6:11:51872061:51875496:1 gene:B456_011G215900 transcript:KJB73119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKDDGRDGSEAKYDREFFSKLLFQVPISEMKFLSKLAFLCNIAYVIPKIEGIYLRRYYGLYLIASSLETKAEATSSSKAKLEEFGSEKAIHSSKDQLLSMAAHQSFHSSPFEWIICDDPIRHTRIFVIQGPDSLTSWWRVLFFHPTKFEGKLDVFVHKGMYEAAKVIYEKVIPHAVDFLQTHGEHARFQFTGHSLGGSIAVLVSLMLLIRNVVRCSMVEPVVTFGSPFVLCGGRKLLDAQIYNVIMHRDIVPRGFSCNIPGFLISILKLFKRSLHSHPCLNENKFMYSPLGELLILQPNAKSSPGHPLLPPGTAFYALDTTGCKDTSNAAINGFLNSPHPLQTLFDGKAYGDDGTVSLNHDSSSYLKAIKGVLRLHIRATIVPKLREKKSLLWPLLVSPSPNSWQHERNPKPAN >KJB73118 pep chromosome:Graimondii2_0_v6:11:51872061:51873942:1 gene:B456_011G215900 transcript:KJB73118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKDDGRDGSEAKYDREFFSKLLFQVPISEMKFLSKLAFLCNIAYVIPKIEGIYLRRYYGLYLIASSLETKAEATSSSKAKLEEFGSEKAIHSSKDQLLSMAAHQSFHSSPFEWIICDDPIRHTRIFVIQGPDSLTSWWRVLFFHPTKFEGKLDVFVHKGMYEAAKVIYEKVIPHAVDFLQTHGEHARFQFTGHSLGGSIAVLVSLMLLIRNVVRCSMVEPVVTFGSPFVLCGGRKLLDAQIYNVIMHRDIVPRGFSCNIPGFLISILKLFKRSLHSHPCLNENVSLITFFFPTLVKINHYNN >KJB73117 pep chromosome:Graimondii2_0_v6:11:51871311:51875496:1 gene:B456_011G215900 transcript:KJB73117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHQSFHSSPFEWIICDDPIRHTRIFVIQGPDSLTSWWRVLFFHPTKFEGKLDVFVHKGMYEAAKVIYEKVIPHAVDFLQTHGEHARFQFTGHSLGGSIAVLVSLMLLIRNVVRCSMVEPVVTFGSPFVLCGGRKLLDAQIYNVIMHRDIVPRGFSCNIPGFLISILKLFKRSLHSHPCLNENKFMYSPLGELLILQPNAKSSPGHPLLPPGTAFYALDTTGCKDTSNAAINGFLNSPHPLQTLFDGKAYGDDGTVSLNHDSSSYLKAIKGVLRLHIRATIVPKLREKKSLLWPLLVSPSPNSWQHERNPKPAN >KJB69517 pep chromosome:Graimondii2_0_v6:11:2027719:2029966:1 gene:B456_011G027700 transcript:KJB69517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEALSLTIPFFTNLASKDAKLATNRYNLCRSTPRIRCSSSSAEGPVTADILENDYALTGTAYDFERGTISITRESLSSPKKVILVRHGLSTWNEEGRVQGSSNLSVLTEAGVKQAERCRHALAKMHFDKCFSSPISRAKTTAEVLWQGREEPLVFLDSLKEAHLFFLEGMKNVDAKVIYPKEYITWREDPANFYVNGVYPLRRLWATARDAWREILFTPGESFLVVTHKSIVRALICTALGLPPERYVIFLTYNYKCYHW >KJB69516 pep chromosome:Graimondii2_0_v6:11:2027701:2030412:1 gene:B456_011G027700 transcript:KJB69516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEALSLTIPFFTNLASKDAKLATNRYNLCRSTPRIRCSSSSAEGPVTADILENDYALTGTAYDFERGTISITRESLSSPKKVILVRHGLSTWNEEGRVQGSSNLSVLTEAGVKQAERCRHALAKMHFDKCFSSPISRAKTTAEVLWQGREEPLVFLDSLKEAHLFFLEGMKNEFGGSGC >KJB69515 pep chromosome:Graimondii2_0_v6:11:2027453:2030423:1 gene:B456_011G027700 transcript:KJB69515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEALSLTIPFFTNLASKDAKLATNRYNLCRSTPRIRCSSSSAEGPVTADILENDYALTGTAYDFERGTISITRESLSSPKKVILVRHGLSTWNEEGRVQGSSNLSVLTEAGVKQAERCRHALAKMHFDKCFSSPISRAKTTAEVLWQGREEPLVFLDSLKEAHLFFLEGMKNVDAKVIYPKEYITWREDPANFYVNGVYPLRRLWATARDAWREILFTPGESFLVVTHKSIVRALICTALGLPPERFRSIDVNNGGMSTFVFNKRGEAMLKSLNMTAHMYSDHVYLS >KJB69518 pep chromosome:Graimondii2_0_v6:11:2027719:2030369:1 gene:B456_011G027700 transcript:KJB69518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEALSLTIPFFTNLASKDAKLATNRYNLCRSTPRIRCSSSSAEGPVTADILENDYALTGTAYDFERGTISITRESLSSPKKVILVRHGLSTWNEEGRVQGSSNLSVLTEAGVKQAERCRHALAKMHFDKCFSSPISRAKTTAEVLWQGREEPLVFLDSLKEAHLFFLEGMKNGLFHSYIYTYKYNLEAVDAKVIYPKEYITWREDPANFYVNGVYPLRRLWATARDAWREILFTPGESFLVVTHKSIVRALICTALGLPPERFRSIDVNNGGMSTFVFNKRGEAMLKSLNMTAHMYSDHVYLS >KJB70051 pep chromosome:Graimondii2_0_v6:11:4413733:4414407:-1 gene:B456_011G056100 transcript:KJB70051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGIARSRLAEERKAWRKNHPHGFVAKPKTRADGSVDLMVWHCVIPGKKGVNWEGGYFPLTLNFSEEYPSKPPKCKFPNGFLHPNVYPSGIVCLSIISERRGWRPSITVKQIIVGIQDLLDQPNATDAAQTEGH >KJB72703 pep chromosome:Graimondii2_0_v6:11:45788817:45789625:1 gene:B456_011G191500 transcript:KJB72703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVSSFKLLGASVFDGLYVSISRGYSGAPPAAVTASFGRPGAMGKVERRDAMKESSSSETRAYSSAWAPDPVTGYYRPENCGAEIDAAELREMLLNHRVRSQ >KJB72702 pep chromosome:Graimondii2_0_v6:11:45788817:45789625:1 gene:B456_011G191500 transcript:KJB72702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVSSFKLLGASVFDGLYVSISRRGYSGAPPAAVTASFGRPGAMGKVERRDAMKESSSSETRAYSSAWAPDPVTGYYRPENCGAEIDAAELREMLLNHRVRSQ >KJB72701 pep chromosome:Graimondii2_0_v6:11:45788743:45789751:1 gene:B456_011G191500 transcript:KJB72701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVSSFKLLGASVFDGLYVSISRRGYSGAPPAAVTASFGRPGAMGKVERRDAMKESSSSETRAYSSAWAPDPVTGYYRPENCGAEIDAAELREMLLNHRVRSQ >KJB69232 pep chromosome:Graimondii2_0_v6:11:855098:857050:-1 gene:B456_011G012000 transcript:KJB69232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLAANIVPFPHPSFLNSKLPINREKGFFHCWPCKQRSSSSSPHNPTRLNCHKMFVPGFGEASPEAKAAKNLHNFFNYIAVKIVSAQLESYNPEAYEELMEFLDTHSLNDGDEFCASLMRESSRHKALGSICILQT >KJB69233 pep chromosome:Graimondii2_0_v6:11:856292:856940:-1 gene:B456_011G012000 transcript:KJB69233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLAANIVPFPHPSFLNSKLPINREKGFFHCWPCKQRSSSSSPHNPTRLNCHKMFVPGFGEASPEAKAAKNLHNFFNYIAVKIVSAQLESYNPEAYEELMEFLDTHSLNDGDEFCASLMRESSRHKALGMYLKLINAQSLLG >KJB69234 pep chromosome:Graimondii2_0_v6:11:855496:856940:-1 gene:B456_011G012000 transcript:KJB69234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLAANIVPFPHPSFLNSKLPINREKGFFHCWPCKQRSSSSSPHNPTRLNCHKMFVPGFGEASPEAKAAKNLHNFFNYIAVKIVSAQLESYNPEAYEELMEFLDTHSLNDGDEFCASLMRESSRHKALALRILEVRSAYCKRDFEWDNLKRLAFKMVDESNTKLMREYVLETSPATENETGK >KJB71369 pep chromosome:Graimondii2_0_v6:11:16192752:16198643:1 gene:B456_011G118900 transcript:KJB71369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKYGRVDYNNNANGRRSSSSSYGSTVTIVVFVGLCLVGIWMMTSSSVIPDQNVDDVAHEKKTDLDDQVTPVISNSNDSNNIVQFEDNPGVLPEDARKGDSNSLAKDDGNATGNLNTQENKENTEEIKLDESKKQLDSEGGRIDNISGENLPEDVRKVGSNASLAKDDVTASLNTQENKENSEESKLDESKEDKKQLDSEGGQTSSSRENLPERASKGDSNLSPDKDDGNLNTQENKKTEESKLDESKKDTKQLDSESRERNNNGENLGGLGGSEENSDDKKSNLGESNKKVVSNKNDEKSDSGGGERRPDKNSSETNDGQINGKVDENHNKESNKIYGDKKDLEGGKNLGGLGSSEENLDDKTSDPRESNKKAVSIDKNGEKYDSGVGEKRPDEKSSETYGGKVDGQVEGKFDQNDKKKSNKSSGEAKDNPQVESQNVNEAFPSASELLSERITRNGSFSTQAAVSKNEKKDRLPSNKYNWKLCNSTAGPDFIPCLDNWEAIKHLRTNKHYEHRERHCPEEPPTCLVPLPEGYKRPIKWPKSRERIWYSNVPHAQLAQIKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDFIEESMPDIAWGKRSRVILDVGCGVASFGGFLFDRNVLAMSLAPKDEHEAQVQFALERGIPAVSAVMGTKKLPYPGRVFDIVHCARCRVPWHIEGGKLLLELNRLLRPGGFFVWSAFPVYQKIPEDVEIWKAMVELTKAMCWELVNKTSKDAINRVAVAIFKKPTSNDCYNGRLKQEPPLCPENDDPNAAWNVSLKTCMHKIPVDASERGSKWPEKWPARLEKSPYWLLSSHVGVYGKAAPEDFVADYEHWKRGIKSYLNGMGINWSSIRNVMDMKAVYGGFAAALKGLNLWVMNVIPIRSPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKVKKRCKLVSVVAEVDRILRPGGKLIVRDNVDTINELEDMVMSMEWEVLKTYSKNKEGLLCVQKSMWRPKEVQTVTFAIS >KJB73603 pep chromosome:Graimondii2_0_v6:11:56647857:56654866:1 gene:B456_011G240500 transcript:KJB73603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39190) UniProtKB/TrEMBL;Acc:O80962] MHLLADAVGQSLSTRPDIIGPEISKALSELHDQIPPFPRPLAVKIIEEELGSPIGSFFSYISEEPVAAASFGQVYRGCTLDGSDVAVKVQRPNLRHVVVRDVYILRLGLGLLQKIAKRKSDPRLYADELGKGLVGELDYTLEAANASQFLDAHSHFSFMQVPKVFQHLTRKRVLTMEWMVGESSTDLLSITTSSSIKHGSKYLERQKVDAKRRLLDLVNKGVEASLTQLLETGMLHADPHPGNLRYTASGRIGFLDFGLLCRMEKKHQFAMLASIVHIVNGDWSSLLQALTEMDVVRPGTNIRRVTMDLEDALGEVELKDGIPDIKFSRVLGKIWSVALKYHFRMPPYYTLVLRSLASLEGLAVAADPSFKTFEAAYPFVVRKLLTENSAETRKILHSVVLNRKKEFRWERLALFMRVGATGRSLQLVEASSGETSLDNLPSRTDGVFDVAYLLLRLLPSKDGVVLRRLIMTADGASLVRAAVSKEAKAFRFQLCKIIADILYQRMVKALGQLVPVSQYSYKLRLAGGQQNTELHPSARLSASSTVYDYQSLLSDRRLKLILSKILNSARKEPALMLRFYWVSFVTFIAASALAFHRLLISLSAAYIGPASFIPKRFAISA >KJB73605 pep chromosome:Graimondii2_0_v6:11:56648699:56654866:1 gene:B456_011G240500 transcript:KJB73605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39190) UniProtKB/TrEMBL;Acc:O80962] MQVPKVFQHLTRKRVLTMEWMVGESSTDLLSITTSSSIKHGSKYLERQKVDAKRRLLDLVNKGVEASLTQLLETGMLHADPHPGNLRYTASGRIGFLDFGLLCRMEKKHQFAMLASIVHIVNGDWSSLLQALTEMDVVRPGTNIRRVTMDLEDALGEVELKDGIPDIKFSRVLGKIWSVALKYHFRMPPYYTLVLRSLASLEGLAVAADPSFKTFEAAYPFVVRKLLTENSAETRKILHSVVLNRKKEFRWERLALFMRVGATGRSLQLVEASSGETSLDNLPSRTDGVFDVAYLLLRLLPSKDGVVLRRLIMTADGASLVRAAVSKEAKAFRFQLCKIIADILYQRMVKALGQLVPVSQYSYKLRLAGGQQNTELHPSARLSASSTVYDYQSLLSDRRLKLILSKILNSARKEPALMLRFYWVSFVTFIAASALAFHRLLISLSAAYIGPASFIPKRFAISA >KJB73604 pep chromosome:Graimondii2_0_v6:11:56647947:56654866:1 gene:B456_011G240500 transcript:KJB73604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39190) UniProtKB/TrEMBL;Acc:O80962] MHLLADAVGQSLSTRPDIIGPEISKALSELHDQIPPFPRPLAVKIIEEELGSPIGSFFSYISEEPVAAASFGQVYRGCTLDGSDVAVKVQRPNLRHVVVRDVYILRLGLGLLQKIAKRKSDPRLYADELGKGLVGELDYTLEAANASQFLDAHSHFSFMQVPKVFQHLTRKRVLTMEWMVGESSTDLLSITTSSSIKHGSKYLERQKVDAKRRLLDLVNKGVEASLTQLLETGMLHADPHPGNLRYTASGRIGFLDFGLLCRMEKKHQFAMLASIVHIVNGDWSSLLQALTEMDVVRPGTNIRRVTMDLEDALGEVELKDGIPDIKFSRVLGKIWSVALKYHFRMPPYYTLVLRSLASLEGLAVAADPSFKTFEAAYPFVVRKLLTENSAETRKILHSVVLNRKKEFRWERLALFMRVGATGRSLQLVEASSGETSLDNLPSRTDGVFDVAYLLLRLLPSKDGVVLRRLIMTADGASLVRAAVSKEAKAFRFQLCKIIADILYQRMVKALGQLVPVSQYSYKLRLAGGQQNTELHPSARLSASSTVYDYQSLLSDRRLKLILSKILNSARKEPALMLRFYWVSFVTFIAASALAFHRLLISLSAAYIGPASFIPKRFAISA >KJB73602 pep chromosome:Graimondii2_0_v6:11:56645816:56654903:1 gene:B456_011G240500 transcript:KJB73602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39190) UniProtKB/TrEMBL;Acc:O80962] MALTTSLTASMSVTSLRESRVLKTTTYRRKQRVKQQQQPQRRVQAFFGNFSHFGDVVRRDMDFLKTGVQRGVEWANETFRIPQAKKAVDDVVWLRNLEDPNFSPPAQPPLWPQPYYPALSGMDLMMADLKALEAYVSYFYYQSKKWSKPLPEAYDAEEVTDYFSHRPHVVAFRLLEVFSSFASAAIRIRMAGLKKSLRPGSSKDIDENLSQYNFGMVLKETMLSLGPTFIKVGQSLSTRPDIIGPEISKALSELHDQIPPFPRPLAVKIIEEELGSPIGSFFSYISEEPVAAASFGQVYRGCTLDGSDVAVKVQRPNLRHVVVRDVYILRLGLGLLQKIAKRKSDPRLYADELGKGLVGELDYTLEAANASQFLDAHSHFSFMQVPKVFQHLTRKRVLTMEWMVGESSTDLLSITTSSSIKHGSKYLERQKVDAKRRLLDLVNKGVEASLTQLLETGMLHADPHPGNLRYTASGRIGFLDFGLLCRMEKKHQFAMLASIVHIVNGDWSSLLQALTEMDVVRPGTNIRRVTMDLEDALGEVELKDGIPDIKFSRVLGKIWSVALKYHFRMPPYYTLVLRSLASLEGLAVAADPSFKTFEAAYPFVVRKLLTENSAETRKILHSVVLNRKKEFRWERLALFMRVGATGRSLQLVEASSGETSLDNLPSRTDGVFDVAYLLLRLLPSKDGVVLRRLIMTADGASLVRAAVSKEAKAFRFQLCKIIADILYQRMVKALGQLVPVSQYSYKLRLAGGQQNTELHPSARLSASSTVYDYQSLLSDRRLKLILSKILNSARKEPALMLRFYWVSFVTFIAASALAFHRLLISLSAAYIGPASFIPKRFAISA >KJB73606 pep chromosome:Graimondii2_0_v6:11:56648699:56654866:1 gene:B456_011G240500 transcript:KJB73606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39190) UniProtKB/TrEMBL;Acc:O80962] MQVPKVFQHLTRKRVLTMEWMVGESSTDLLSITTSSSIKHGSKYLERQKVDAKRRLLDLVNKGVEASLTQLLETGMLHADPHPGNLRYTASGRIGFLDFGLLCRMEKKHQFAMLASIVHIVNGDWSSLLQALTEMDVVRPGTNIRRVTMDLEDALGEVELKDGIPDIKFSRVLGKIWSVALKYHFRMPPYYTLVLRSLASLEGLAVAADPSFKTFEAAYPFVVRKLLTENSAETRKILHSRLALFMRVGATGRSLQLVEASSGETSLDNLPSRTDGVFDVAYLLLRLLPSKDGVVLRRLIMTADGASLVRAAVSKEAKAFRFQLCKIIADILYQRMVKALGQLVPVSQYSYKLRLAGGQQNTELHPSARLSASSTVYDYQSLLSDRRLKLILSKILNSARKEPALMLRFYWVSFVTFIAASALAFHRLLISLSAAYIGPASFIPKRFAISA >KJB73405 pep chromosome:Graimondii2_0_v6:11:54837234:54837348:-1 gene:B456_011G2319001 transcript:KJB73405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVTDSFVSLGHWPSAGSFGVNTDILATNPMNLSVFL >KJB71727 pep chromosome:Graimondii2_0_v6:11:21584321:21591978:-1 gene:B456_011G139300 transcript:KJB71727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKTTANDVATEKRTCVVLGGRGFLGRSLVARLLQLGGWIVRVADSSSHSLLTDPSVSDSLLSNALCSGQASFCHVDVRDTSQIIIVTKGADVVFYMEPTDLDIRDFYNCYMIIVQGAKNVINACRECKVRRLVYNSSADIVFDGSRDIIDGDLSFICPGKFKDMLIDLKFQAEGLIRLANNIDGLLTCVLRPSNVFGPGDTRFVPLLVNLAKAGLAKFITGCGENMSDFTYTENVAHAHICAAETLDSRVVSVAGKAFFITNLEPIMFWEFVSLILGGLGYQRPYIKVPTWMVSCIVSLHQCRNDKLYNYSVSPHYMLQLASRTRTFDCSAAQNHLGYSPVVSMKDGIKLTIQSFSHLANDSFYTKYGNFNEQSKAEKMLGSGIVADILLWRDERRTFACFFTLVLVYCWFFLCGRTFASSFADLLLLVIVALYGHGILSSKICGFAVQEIPSSRFKVTESEAQQAMTSIAHTWNKGVRRIKSLAKGEDWSKFFRVVISIYLFKSIQAYSVAVLFGSVLVFAFTAFFVYDQYEAEIDALRILIFCGMVELKGLLLRKLPASISSFSQHDNVLHQEKVPARVKEWK >KJB71728 pep chromosome:Graimondii2_0_v6:11:21584159:21592060:-1 gene:B456_011G139300 transcript:KJB71728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKTTANDVATEKRTCVVLGGRGFLGRSLVARLLQLGGWIVRVADSSSHSLLTDPSVSDSLLSNALCSGQASFCHVDVRDTSQIIIVTKGADVVFYMEPTDLDIRDFYNCYMIIVQGAKNVINACRECKVRRLVYNSSADIVFDGSRDIIDGDLSFICPGKFKDMLIDLKFQAEGLIRLANNIDGLLTCVLRPSNVFGPGDTRFVPLLVNLAKAGLAKFITGCGENMSDFTYTENVAHAHICAAETLDSRVVSVAGKAFFITNLEPIMFWEFVSLILGGLGYQRPYIKVPTWMVSCIVSLHQCRNDKLYNYSVSPHYMLQLASRTRTFDCSAAQNHLGYSPVVSMKDGIKLTIQSFSHLANDSFYTKYGNFNEQSKAEKMLGSGIVADILLWRDERRTFACFFTLVLMWFCCSRDTFVSFQSHRIRSSTGNDIHSTYME >KJB71729 pep chromosome:Graimondii2_0_v6:11:21584779:21591850:-1 gene:B456_011G139300 transcript:KJB71729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKTTANDVATEKRTCVVLGGRGFLGRSLVARLLQLGGWIVRVADSSSHSLLTDPSVSDSLLSNALCSGQASFCHVDVRDTSQIIIVTKGADVVFYMEPTDLDIRDFYNCYMIIVQGAKNVINACRECKVRRLVYNSSADIVFDGSRDIIDGDLSFICPGKFKDMLIDLKFQAEGLIRLANNIDGLLTCVLRPSNVFGPGDTRFVPLLVNLAKAGLAKFITGCGENMSDFTYTENVAHAHICAAETLDSRVVSVAGKAFFITNLEPIMFWEFVSLILGGLGYQRPYIKVPTWMVSCIVSLHQCRNDKLYNYSVSPHYMLQLASRTRTFDCSAAQNHLGYSPVVSMKDGIKLTIQSFSHLANDSFYTKYGNFNEQSKAEKMLGSGIVADILLWRDERRTFACFFTLVLVYCWFFLCGRTFASSFADLLLLVIVALYGHGILSSKICGFAVQEIPSSRFKVTESEAQQAMTSIAHTWNKGVRRIKSLAKGEDWSKFFRVVISIYLFKSIQAYSVAVLFGSGEEKTNKLLPLINEHFLWFNCGY >KJB71726 pep chromosome:Graimondii2_0_v6:11:21584159:21590817:-1 gene:B456_011G139300 transcript:KJB71726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTDLDIRDFYNCYMIIVQGAKNVINACRECKVRRLVYNSSADIVFDGSRDIIDGDLSFICPGKFKDMLIDLKFQAEGLIRLANNIDGLLTCVLRPSNVFGPGDTRFVPLLVNLAKAGLAKFITGCGENMSDFTYTENVAHAHICAAETLDSRVVSVAGKAFFITNLEPIMFWEFVSLILGGLGYQRPYIKVPTWMVSCIVSLHQCRNDKLYNYSVSPHYMLQLASRTRTFDCSAAQNHLGYSPVVSMKDGIKLTIQSFSHLANDSFYTKYGNFNEQSKAEKMLGSGIVADILLWRDERRTFACFFTLVLVYCWFFLCGRTFASSFADLLLLVIVALYGHGILSSKICGFAVQEIPSSRFKVTESEAQQAMTSIAHTWNKGVRRIKSLAKGEDWSKFFRVVISIYLFKSIQAYSVAVLFGSVLVFAFTAFFVYDQYEAEIDALRILIFCGMVELKGLLLRKLPASISSFSQHDNVLHQEKVPARVKEWK >KJB72160 pep chromosome:Graimondii2_0_v6:11:30905767:30912132:1 gene:B456_011G163300 transcript:KJB72160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAVIRKKPGMVSVKDMPILQDGPPPGGFAPVRYARRIPNKGPSAMAIFLAAFGAFSYGMYQVGQGNKIRRALKEEKFAARRAVLPVLQAEEDERFVKEWKKYLEYEAEVMKDVPGWKVGENVYNSGRWMPPATGELRPEVW >KJB72159 pep chromosome:Graimondii2_0_v6:11:30906555:30911710:1 gene:B456_011G163300 transcript:KJB72159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAVIRKKPGMVSVKDMPILQDGPPPGGFAPVRYARRIPNKGPSAMAIFLAAFGAFSYGMYQVGQGNKIRRALKEEKFAARRAVLPVLQAEEDERYLMIQTTPIPLHS >KJB72196 pep chromosome:Graimondii2_0_v6:11:32008545:32010660:-1 gene:B456_011G164400 transcript:KJB72196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFHSCFRLRPLSHKELDIEKQKHNAWYIFSKYCRINTKTHIEYFYKTKNKGVTILLIHSPTFAFCSSKFIKKNCFVLLLFGRNNDYI >KJB72393 pep chromosome:Graimondii2_0_v6:11:40527591:40528376:1 gene:B456_011G175600 transcript:KJB72393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASRNANMREAVPLLNHARREQPGSAEELIACRICDHIFTSSRALFDHMELHLLLDEANAKRQLLLSHMVVAPQSGVSANHLIQNHPPPPPALQQDSQQQPRLVVPRQRFVRILPYPPLPSAIHPFLIGAGAPQQWTIRPPLVSYQPVVNQPLPTAPTPSPTAAEVAATAARQIMRMSRSRTNLFTRPFLNQLEANLLIEGMAAMAERELEGDCVRDQEVDVTLKL >KJB73158 pep chromosome:Graimondii2_0_v6:11:52556227:52556763:-1 gene:B456_011G218400 transcript:KJB73158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERVRQKCSHSEEKYQTNISLETLDSGCLNSATVCGVDWTTLPDDTVIQLFSYLNYRDRASLASTCRTFRLLGSLPCLWGSLDLRSYKFDTVAAASLSLRCKNLQRLKFPAAVSADAIVSLQERELREISGDFCRDITDAALSVFVISSLSTPLSNTGSAVMNWILRVSCLLFPIYF >KJB73156 pep chromosome:Graimondii2_0_v6:11:52555726:52558335:-1 gene:B456_011G218400 transcript:KJB73156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERVRQKCSHSEEKYQTNISLETLDSGCLNSATVCGVDWTTLPDDTVIQLFSYLNYRDRASLASTCRTFRLLGSLPCLWGSLDLRSYKFDTVAAASLSLRCKNLQRLKFPAAVSADAIVSLQERELREISGISGSA >KJB73159 pep chromosome:Graimondii2_0_v6:11:52555839:52558158:-1 gene:B456_011G218400 transcript:KJB73159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERVRQKCSHSEEKYQTNISLETLDSGCLNSATVCGVDWTTLPDDTVIQLFSYLNYRDRASLASTCRTFRLLGSLPCLWGSLDLRSYKFDTVAAASLSLRCKNLQRLKFPAAVSADAIVSLQERELREISGDFCRDITDAALSVFVISSLSTPLSNTGSAVMNWILRVYQEVHEKVRDAAIALIDKEREGEQIDRALLKNVLGIFVEIGMGQMDRYEDDFEEAMLQDTLLPRFP >KJB73157 pep chromosome:Graimondii2_0_v6:11:52555726:52558363:-1 gene:B456_011G218400 transcript:KJB73157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERVRQKCSHSEEKYQTNISLETLDSGCLNSATVCGVDWTTLPDDTVIQLFSYLNYRDRASLASTCRTFRLLGSLPCLWGSLDLRSYKFDTVAAASLSLRCKNLQRLKFPAAVSADAIVSLQERELREISGISGSA >KJB74302 pep chromosome:Graimondii2_0_v6:11:61813821:61817581:-1 gene:B456_011G285900 transcript:KJB74302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTAATVSHFFGTRIQTSNPSSGRIQARFGFGTKKAPPPPKKAAPKRPSDRLVWFPGANPPEWLDGTMIGDRGFDPFGFAKPAEYLQYDLDSLDQNLAKNVAGDIIGVISETAELKPTPFQPYTEVFGIQRFRECELIHGRWAMLGTLGAIAVEALTGVAWQDAGKVELVEGASYLGQPLPFSLTTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGYFDPLGLASDPEKIDNLKLAEIKHSRLAMIAFLIFGIQAAYTGKGPISFIASFNS >KJB72121 pep chromosome:Graimondii2_0_v6:11:29253691:29256636:1 gene:B456_011G160100 transcript:KJB72121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MTSLVPLSFNPPFLHSHSHSFSSKPSPLSPSPSNLFVCFSSSSPSSPSSSSSSSSSSSHNSSNFDREETRWLREEQRWLREEQRWLREEQRWLKEKESLLWEISQLKLQIQALENRNSFHGASVTETISRIGALLQVLKDKNRIAESGESARDMVFEEVKEKEVVVEEGVRVLEKKAKEVEKKIERKTLRVGSEGEQVREMQTHFYIHIREALGKLGFYSGEEDIEFSSFSSGTERAVKTWQATIGAREDGIMTAELLQRLFEEQEVKSSSSSNIATIWEKEGTNGTAITSLTEISEIQQKVVKEEGFTEAEVSQHRVFLLGENRWEEPSRLTGKDKQATGSENIDAKTSCHACRGEGRLMCAECDGTGEPNVEPQFLEWVDEGANCPYCDGLGYTTCEVCQGGAVV >KJB72118 pep chromosome:Graimondii2_0_v6:11:29253691:29256041:1 gene:B456_011G160100 transcript:KJB72118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MTSLVPLSFNPPFLHSHSHSFSSKPSPLSPSPSNLFVCFSSSSPSSPSSSSSSSSSSSHNSSNFDREETRWLREEQRWLREEQRWLREEQRWLKEKESLLWEISQLKLQIQALENRNSFHGASVTETISRIGALLQVLKDKNRIAESGESARDMVFEEVKEKEVVVEEGVRVLEKKAKEVEKKIERKTLRVGSEGEQVREMQEALGKLGFYSGEEDIEFSSFSSGTERAVKTWQATIGAREDGIMTAELLQRLFEEQEVKSSSSSNIATIWEKEGTNGTAITSLTEISEIQQKVVKEEGFTEAEVSQHRVFLLGENRWEEPSRLTGKDKQATGSENIDAKTSCHACRGEGRLMCAGNNYFLRLN >KJB72117 pep chromosome:Graimondii2_0_v6:11:29253663:29256718:1 gene:B456_011G160100 transcript:KJB72117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MTSLVPLSFNPPFLHSHSHSFSSKPSPLSPSPSNLFVCFSSSSPSSPSSSSSSSSSSSHNSSNFDREETRWLREEQRWLREEQRWLREEQRWLKEKESLLWEISQLKLQIQALENRNSFHGASVTETISRIGALLQVLKDKNRIAESGESARDMVFEEVKEKEVVVEEGVRVLEKKAKEVEKKIERKTLRVGSEGEQVREMQEALGKLGFYSGEEDIEFSSFSSGTERAVKTWQATIGAREDGIMTAELLQRLFEEQEVKSSSSSNIATIWEKEGTNGTAITSLTEISEIQQKVVKEEGFTEAEVSQHRVFLLGENRWEEPSRLTGKDKQATGSENIDAKTSCHACRGEGRLMCAECDGTGEPNVEPQFLEWVDEGANCPYCDGLGYTTCEVCQGGAVV >KJB72120 pep chromosome:Graimondii2_0_v6:11:29253691:29256636:1 gene:B456_011G160100 transcript:KJB72120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MTSLVPLSFNPPFLHSHSHSFSSKPSPLSPSPSNLFVCFSSSSPSSPSSSSSSSSSSSHNSSNFDREETRWLREEQRWLREEQRWLREEQRWLKEKESLLWEISQLKLQIQALENRNSFHGASVTETISRIGALLQVLKDKNRIAESGESARDMVFEEVKEKEVVVEEGVRVLEKKAKEVEKKIERKTLRVGSEGEQVREMQEALGKLGFYSGEEDIEFSSFSSGTERAVKTWQATIGAREDGIMTAELLQRLFEEQEVKSSSSSNIATIWEKVWDQWNCNNVFDRNLRDTTESCERGRFHRSRGISTPSFSAWRKPVGRTF >KJB72119 pep chromosome:Graimondii2_0_v6:11:29253691:29256189:1 gene:B456_011G160100 transcript:KJB72119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MTSLVPLSFNPPFLHSHSHSFSSKPSPLSPSPSNLFVCFSSSSPSSPSSSSSSSSSSSHNSSNFDREETRWLREEQRWLREEQRWLREEQRWLKEKESLLWEISQLKLQIQALENRNSFHGASVTETISRIGALLQVLKDKNRIAESGESARDMVFEEVKEKEVVVEEGVRVLEKKAKEVEKKIERKTLRVGSEGEQVREMQEALGKLGFYSGEEDIEFSSFSSGTERAVKTWQATIGAREDGIMTAELLQRLFEEQEVKSSSSSNIATIWEKEGTNGTAITSLTEISEIQQKVVKEEGFTEAEVSQHRVFLLGENRWEEPSRLTGKDKQATGSENIDAKTSCHACRGEGRLMCAECDGTGEPNVEPQVKMDFACSVQDLFKEV >KJB69397 pep chromosome:Graimondii2_0_v6:11:1541748:1546262:1 gene:B456_011G022000 transcript:KJB69397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKANVSKELNAKHTKILDGLLKLPENRECADCKSKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVSFIQSMGNEKSNNYWEAELPPNNDRVGIENFIRAKYVEKRWIPRGRKEKSSPSVNDKKESPHKLEALSGAYKNTNNVNPVSTEKKIIHQPVANNGIPTPKSSSQMNVNVPQKVKPDIKPEEPRYKPKPSAVTAEPVKQEVTNTPSVSKAESIKRDVNTTAPVIASSKIDYATELFNLLSMGDSGENDFGSAHDNSLQPTEAKSTKEASDVKQDTIFEKSSTVSPFSVHQQLTTLPKQQSITSTATKPNGRSQAFPINAHQFISNGFHFPSPNSGSVGHQVPGMVMPVASQQNHLQMGNNQQMHPAGNSINFSTSSFTTRVPVVPSSTINMKSIGGKPLPTPPVPKITPSQWGKHYDFSSLTQGMFTKR >KJB70214 pep chromosome:Graimondii2_0_v6:11:5380565:5382413:1 gene:B456_011G063900 transcript:KJB70214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNKQKVKRGLWSPEEDEKLINYITTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLISHDHVPALASFADVHSSNHTEEAGFISLNANPNLILTAQQDQLYLSPTAPVLQSFCHHADLVHHHFPLTPMLPPPPPPSNTASFDPAWTLPFGPQHDQDDDQHHQVQVFNNEAAQNFVSDKLMNPPFDNPLMGPPTVPKLCEILEGNMVCNIPQTSSVSLENNIDPLVSRLSSCFPPIPAGSNYAHDMQVGASQMEYIDTIITSIPSSSSSSSLSALSSGQYLTNPNLPSSSWDP >KJB72093 pep chromosome:Graimondii2_0_v6:11:28182638:28185225:-1 gene:B456_011G158400 transcript:KJB72093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCHPCPPLKSLSSRIGGMLCNYGSSNKYKKLDSKLERKMIEMKRSASGQSNFKSIDSIILRFPQFREGLRNLRDEDSNGTIDREELNRCLEKLQLHFTKEEVEDLFHSCDIDGSEGIQFNEFIVLLCLIYLLMKPSSSPDNTLKMHSLQLEATFDTIVEAFLFLDKNGDGKLNKKDMVKALNEASPWERSPARVTRTRFKEMDWDKNGKVSFREFLFAFINWVGIESDEELPDSGT >KJB72094 pep chromosome:Graimondii2_0_v6:11:28182877:28184919:-1 gene:B456_011G158400 transcript:KJB72094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCHPCPPLKSLSSRIGGMLCNYGSSNKYKKLDSKLERKMIEMKRSASGQSNFKSIDSIILRFPQFREGLRNLRGVFEQYDEDSNGTIDREELNRCLEKLQLHFTKEEVEDLFHSCDIDGSEGIQFNEFIVLLCLIYLLMKPSSSPDNTLKMHSLQLEATFDTIVEAFLFLDKNGDGKLNKKDMVKALNEASPWERSPARVTRTRFKEMDWDKNGKVSFREFLFAFINWVGIESDEELPDSGT >KJB74145 pep chromosome:Graimondii2_0_v6:11:60785579:60795340:-1 gene:B456_011G275400 transcript:KJB74145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRRLESPLFFFCTSSPCSFWVYYSIPDIIQVGELPINGTEDMDRLITTVPGMETYLRCRDLPTFCRKLDIEDSIYMKLVVEQTRKSLQADALILNTAEELDEPILSQIRTKCPRVYAVGRLHAQLNTRLNAKHGESCDHISNTLWEVDKSCIFWLNKQPNRSVIYVSFGSIMSMSREQPVELWYGLLNSKTKFLLVVRPNSVIGKDGEGEDVVMELMEKSKDRGYIVNWAPQEAVLNHPAIGGFFTHNGWNSTLESVVPGVPMICWPQFADQHVNSRVVSEVWKIGLDMKDVCDSKIVEKMVNDVMVDRKEEFAKSASEMAKVTNQSVNVGGSSYSNLDCLVEDIRIMSLKKLTK >KJB74144 pep chromosome:Graimondii2_0_v6:11:60785683:60787746:-1 gene:B456_011G275400 transcript:KJB74144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRRLESPLLFFCTSSPCSFWVYYSIPDIIQVGELPINGTEDMDRLITTVPGMETYLRCRDLPTFCRKLDIEDSIYMKLVVEQTRKSLQADALILNTAEELDEPILSQIRTKCPRVYAVGRLHAQLNTRLNAKHGESCDHISNTLWEVDKSCIFWLNKQPNRSVIYVSFGSIMSMSREQPVELWYGLLNSKTKFLLVVRPNSVIGKDGEGEDVVMELMEKSKDRGYIVNWAPQEAVLNHPAIGGFFTHNGWNSTLESVVPGVPMICWPQFADQHVNSRVVSEVWKIGLDMKDVCDSKIVEKMVNDVMVDRKEEFAKSASEMAKVTNQSVNVGGSSYSNLDCLVEDIRIMSLKKLTK >KJB74146 pep chromosome:Graimondii2_0_v6:11:60785611:60795317:-1 gene:B456_011G275400 transcript:KJB74146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQDRSSQPRLPHVLIFPLPIQGHINSMIKLAELLAIAGFKLTFLNSHHNHERLVKFNNIAAHFERYPGFEFKTITDGLPLDHPRAGSWFLDMFEDTMEPKMKQSLREVLVNSSPPVDCIIADGLLGFALDVAKELPINGTEDMDRLMTTVTGMETYLRCRDLPTFCRKLDTEDSIFMKLVVEQTRKSLQADALILNTAEELDGPILSQIRTKCPRVYAVGPLHAQLNTRLNAKHGESYDHFSNTLWEVDKSCIFWLNKQPGRSVIYVSFGSITSMSREQLVELWYGLLNSKTKFLLVVRPNSVIGKDGEGEDVVMELMEKSKDRGYIVNWAPQEAVLNHPAIGGFFTHNGWNSTLESVVPGVPMICWPQFADQHVNSRVVSVVWKIGLDMKDVCDSKIVEKMVNDVMVDRKEEFAKSASEMAKVTNQSVNVGGSSYSNLDCLVEDIRIMSLKKLTK >KJB74147 pep chromosome:Graimondii2_0_v6:11:60785579:60787814:-1 gene:B456_011G275400 transcript:KJB74147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQDRSSQPRPPHVLIFPLPLQGHINTMIKLAELLPIAGFKLTFLNSHHNHKRLVKFNNIAAHFERYPGFEFKTITDGLPLDHPRSGSWFLDMFEETMEPKMKQSLREVLVNSSPPALLLMLQRRLESPLLFFCTSSPCSFWVYYSIPDIIQVGELPINGTEDMDRLITTVPGMETYLRCRDLPTFCRKLDIEDSIYMKLVVEQTRKSLQADALILNTAEELDEPILSQIRTKCPRVYAVGRLHAQLNTRLNAKHGESCDHISNTLWEVDKSCIFWLNKQPNRSVIYVSFGSIMSMSREQPVELWYGLLNSKTKFLLVVRPNSVIGKDGEGEDVVMELMEKSKDRGYIVNWAPQEAVLNHPAIGGFFTHNGWNSTLESVVPGVPMICWPQFADQHVNSRVVSEVWKIGLDMKDVCDSKIVEKMVNDVMVDRKEEFAKSASEMAKVTNQSVNVGGSSYSNLDCLVEDIRIMSLKKLTK >KJB70700 pep chromosome:Graimondii2_0_v6:11:9197729:9200033:1 gene:B456_011G087900 transcript:KJB70700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGDENKSEVDNKEEKAAADEKEEPEGTETDGTETEEKPQEAENEGGEPEEPTPPPYTLETAFEDIEHFLSNLSTILKEKEEEKIEKEKKKKEEEEEKEKKKKEEEEKEEEEEENEEEEGKEKEEEGKEEEKEEKEKEEVEDEGLEIPEFIEKYLDLLEEKISKLESTAAETKVKGQQPPEDDPLFFKVVRQILKLYKDLSAPIKPESKQGPLINRICGIHFRALCYLEDEFKYLLEELRNVEPDPKQEVAAAAAADQGTEAGEGEDRPAEESKLQEYSDQVLAYMNKIAMEMISGGHEFECREIYMTCRMHIIGETLKNLGFEKISIDDAQKMPWELLERDIPPWITIFKQCANVHFPAERKLAETIFSGHPSLYETIITNLARGLFIQFLNFPEAAAFCKLTTEKLFKFLDMYEALRDNLFAIDSVFPKECANELRTETTTCRCRIGESAICIFCDLENSIKSDTGKTPVAGGAVHPLTRYIMNYLKYACEYKDTIEQVFKDHSKIERADSTSRPRDYESRSLKYKKNDAGEDRPPFQEQLMRIMDLLDSAIEAKSKLYKDISLSCIFMMNNGRYMLQKIKGTVELHKVVGDNWCRKRSSDVRNYHKSYQRETWTKLLGCISNGGLNVRGKVVKPVLKEKFKSFNAMFDEIHRTQSTWVVYDKQLQSELRVSIASVVIPAYRSFLGRYSQYLDPGRQTEKYIKFQAEDIETYIDDLFDGNPGGKKT >KJB73236 pep chromosome:Graimondii2_0_v6:11:53431389:53434265:1 gene:B456_011G223800 transcript:KJB73236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQSILVKLLSHFKDLEHVFSLNHFLQILDMMHGNSRGIVNMHILDMATRNGYVHDPTTIQLLFEISQALHSDTDLVNMKNDDNQQQARFISRFVRMVDHGVEYERHLAFLMECRGGLSNIIELKINEHVLSVCSKLIETAKLCLNAKDKYLTSTISFQDKNLPAAAVSSSIAI >KJB73235 pep chromosome:Graimondii2_0_v6:11:53431411:53434265:1 gene:B456_011G223800 transcript:KJB73235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQSILVKLLSHFKDLEHVFSLNHFLQILDMMHGNSRGIVNMHILDMATRNGYVHDPTTIQLLFEISQALHSDTDLVNMKNDDNQQQARFISRFVRMVDHGVEYERHLAFLMECRGGLSNIIELKINEHVLSVCSKLIETAKLCLNAKDKYLTSTISFQDKNLPAAAVSSSIAI >KJB74015 pep chromosome:Graimondii2_0_v6:11:59930720:59932367:-1 gene:B456_011G267300 transcript:KJB74015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVVKASVEKGEGLGWGKSLLVPNIQEILKNDSQSVPERYIQEPKDRPLISQNLFDSLQVPVIDFSMLAQKDANEIRKLDLACKEWGFFQIINHGVREEIMVNMKAAMAAFFELPFQEKSKCAKGANEIQGYGQNFVVSEKQKLDWCDMMFLKTFPHETRNFKFWPLTLPGFKEAVEEYSTEIRKVSNKIDANLSVLMGMDENGLKRKLGEFQQGIRMNYYPTCSRPDLVLGISPHSDGSLFTLLLQDDEINGLQIKHKEVWIDAKPIPNSLVVNIGDAIEILSNGMYKSIEHRAISNEKKPRMSIATFVMPGDEVQIGPLDSMVNEKHLPRSYRNIKYIDYIRQKFAMKMQGKAHTAIVKL >KJB74014 pep chromosome:Graimondii2_0_v6:11:59930718:59932367:-1 gene:B456_011G267300 transcript:KJB74014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVVKASVEKGEGLGWGKSLLVPNIQEILKNDSQSVPERYIQEPKDRPLISQNLFDSLQVPVIDFSMLAQKDANEIRKLDLACKEWGFFQIINHGVREEIMVNMKAAMAAFFELPFQEKSKCAKGANEIQGYGQNFVVSEKQKLDWCDMMFLKTFPHETRNFKFWPLTLPGFKEAVEEYSTEIRKVSNKIDANLSVLMGMDENGLKRKLGEFQQGIRMNYYPTCSRPDLVLGISPHSDGSLFTLLLQDDEINGLQIKHKEVWIDAKPIPNSLVVNIGDAIEVMKSK >KJB69238 pep chromosome:Graimondii2_0_v6:11:870136:875399:1 gene:B456_011G012200 transcript:KJB69238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAFTFWTCFVLLKEYETVASMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSETVEHFFLVNHPDTYLTNQVVCNANKLAKLVKQRKKKQNWLDYYQLKYSRNNAQRPIMKTGFLGLCGKKVDAIEHHEAEIGKLSKEIAEERERVKKDPKAIMPAAFVSFKSRWGAAVCAQTQQSRDPTSWLTEWAPEPCDVYWPNLPIPYVSLAIRRLIMAVAFFFLTFFFMIPIASVQALASIEGLEKVAPFLKPIIDMKFIKSVIQGILPGLALKLFLIFLPAILMIMAKFEGFTSKSSLERRAATRYYLFNLVNVFLGSIVAGSALEQLNTFIKQSANEIPRTIGVAVPLRATFFITYIMVDGWAGIAGEILMLKPLIIYHLKNFFLVKTEKDREEAMDPGSLGFNTGEPQIQLYFLLGLVYAAVTPALLPFIVIFFGLAYVVFRHQIINVYNQEYESAAAFWPDVHGRIIIALLISQVALIGLLTSKKAAQSTPFLIALVVLTIWFYTFCKARYEPAFVRYPLQEAMMKDTLERAREPNLNLKPYLQNAYIHPVFKEEDEEDEFDFKSENESVLVPTKRQSRRSTPAPSRISGASSPSLPPEVVPEHPERAS >KJB69239 pep chromosome:Graimondii2_0_v6:11:870116:875399:1 gene:B456_011G012200 transcript:KJB69239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGDIGVAAAFNLLSAFVFFIAFAVLRLQPFNDRVYFPKWYLKGLRTSPASSGAFVKKFVNLDFRSYLKFLNWMPEALKMPELELIDHAGLDSAVYLRIYLIGLKIFVPITIVAWAVLVPVNYTNKTLELQLKNVTSSDIDKLSISNIALESDRFWTHIVMAYAFTFWTCFVLLKEYETVASMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSETVEHFFLVNHPDTYLTNQVVCNANKLAKLVKQRKKKQNWLDYYQLKYSRNNAQRPIMKTGFLGLCGKKVDAIEHHEAEIGKLSKEVTEERERVKKDPKAIMPAAFVSFKSRWGAAVCAQTQQSRDPTSWLTEWAPEPCDVYWPNLPIPYVSLAIRRLIMAVAFFFLTFFFMIPIASVQALASIEGLEKVAPFLKPIIDMKFIKSVIQGILPGLALKLFLIFLPAILMIMAKFEGFTSKSSLERRAATRYYLFNLVNVFLGSIVAGSALEQLNTFIKQSANEIPRTIGVAVPLRATFFITYIMVDGWAGIAGEILMLKPLIIYHLKNFFLVKTEKDREEAMDPGSLGFNTGEPQIQLYFLLGLVYAAVTPALLPFIVIFFGLAYVVFRHQIINVYNQEYESAAAFWPDVHGRIIIALLISQVALIGLLTSKKAAQSTPFLIALVVLTIWFYTFCKARYEPAFVRYPLQEAMMKDTLERAREPNLNLKPYLQNAYIHPVFKEEDEEDEFDFKSENESVLVPTKRQSRRSTPAPSRISGASSPSLPPEVVPEHPERAS >KJB69241 pep chromosome:Graimondii2_0_v6:11:870116:875399:1 gene:B456_011G012200 transcript:KJB69241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAFTFWTCFVLLKEYETVASMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSETVEHFFLVNHPDTYLTNQVVCNANKLAKLVKQRKKKQNWLDYYQLKYSRNNAQRPIMKTGFLGLCGKKVDAIEHHEAEIGKLSKEIAEERERVKKDPKAIMPAAFVSFKSRWGAAVCAQTQQSRDPTSWLTEWAPEPCDVYWPNLPIPYVSLAIRRLIMAVAFFFLTFFFMIPIASVQALASIEGLEKVAPFLKPIIDMKFIKSVIQGILPGLALKLFLIFLPAILMIMAKFEGFTSKSSLERRAATRYYLFNLVNVFLGSIVAGSALEQLNTFIKQSANEYPERF >KJB69244 pep chromosome:Graimondii2_0_v6:11:870116:875399:1 gene:B456_011G012200 transcript:KJB69244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGDIGVAAAFNLLSAFVFFIAFAVLRLQPFNDRVYFPKWYLKGLRTSPASSGAFVKKFVNLDFRSYLKFLNWMPEALKMPELELIDHAGLDSAVYLRIYLIGLKIFVPITIVAWAVLVPVNYTNKTLELQLKNVTSSDIDKLSISNIALESDRFWTHIVMAYAFTFWTCFVLLKEYETVASMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSETVEHFFLVNHPDTYLTNQVVCNANKLAKLVKQRKKKQNWLDYYQLKYSRNNAQRPIMKTGFLGLCGKKVDAIEHHEAEIGKLSKEIAEERERVKKDPKAIMPAAFVSFKSRWGAAVCAQTQQSRDPTSWLTEWAPEPCDVYWPNLPIPYVSLAIRRLIMAVAFFFLTFFFMIPIASVQALASIEGLEKVAPFLKPIIDMKFIKSVIQGILPGLALKLFLIFLPAILMIMAKFEGFTSKSSLERRAATRYYLFNLVNVFLGSIVAGSALEQLNTFIKQSANEIPRTIGVAVPLRATFFITYIMVDGWAGIAGEILMLKPLIIYHLKNFFLVKTEKDREEAMDPGSLGFNTGEPQIQLYFLLGLVYAAVTPALLPFIVIFFGLAYVVFRHQIINVYNQEYESAAAFWPDVHGRIIIALLISQVALIGLLTSKKAAQSTPFLIALVVLTIWFYTFCKARYEPAFVRYPLQEAMMKDTLERAREPNLNLKPYLQNAYIHPVFKEEDEEDEFDFKSENESVLVPTKRQSRRSTPAPSRISGASSPSLPPEVVPEHPERAS >KJB69243 pep chromosome:Graimondii2_0_v6:11:870063:875420:1 gene:B456_011G012200 transcript:KJB69243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFNNQTNKKIRKKKAFAVLRLQPFNDRVYFPKWYLKGLRTSPASSGAFVKKFVNLDFRSYLKFLNWMPEALKMPELELIDHAGLDSAVYLRIYLIGLKIFVPITIVAWAVLVPVNYTNKTLELQLKNVTSSDIDKLSISNIALESDRFWTHIVMAYAFTFWTCFVLLKEYETVASMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSETVEHFFLVNHPDTYLTNQVVCNANKLAKLVKQRKKKQNWLDYYQLKYSRNNAQRPIMKTGFLGLCGKKVDAIEHHEAEIGKLSKEIAEERERVKKDPKAIMPAAFVSFKSRWGAAVCAQTQQSRDPTSWLTEWAPEPCDVYWPNLPIPYVSLAIRRLIMAVAFFFLTFFFMIPIASVQALASIEGLEKVAPFLKPIIDMKFIKSVIQGILPGLALKLFLIFLPAILMIMAKFEGFTSKSSLERRAATRYYLFNLVNVFLGSIVAGSALEQLNTFIKQSANEIPRTIGVAVPLRATFFITYIMVDGWAGIAGEILMLKPLIIYHLKNFFLVKTEKDREEAMDPGSLGFNTGEPQIQLYFLLGLVYAAVTPALLPFIVIFFGLAYVVFRHQIINVYNQEYESAAAFWPDVHGRIIIALLISQVALIGLLTSKKAAQSTPFLIALVVLTIWFYTFCKARYEPAFVRYPLQEAMMKDTLERAREPNLNLKPYLQNAYIHPVFKEEDEEDEFDFKSENESVLVPTKRQSRRSTPAPSRISGASSPSLPPEVVPEHPERAS >KJB69236 pep chromosome:Graimondii2_0_v6:11:870116:875399:1 gene:B456_011G012200 transcript:KJB69236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGDIGVAAAFNLLSAFVFFIAFAVLRLQPFNDRVYFPKWYLKGLRTSPASSGAFVKKFVNLDFRSYLKFLNWMPEALKMPELELIDHAGLDSAVYLRIYLIGLKIFVPITIVAWAVLVPVNYTNKTLELQLKNVTSSDIDKLSISNIALESDRFWTHIVMAYAFTFWTCFVLLKEYETVASMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSETVEHFFLVNHPDTYLTNQVVCNANKLAKLVKQRKKKQNWLDYYQLKYSRNNAQRPIMKTGFLGLCGKKVDAIEHHEAEIGKLSKEIAEERERVKKDPKAIMPAAFVSFKSRWGAAVCAQTQQSRDPTSWLTEWAPEPCDVYWPNLPIPYVSLAIRRLIMAVAFFFLTFFFMIPIASVQALASIEGLEKVAPFLKPIIDMKFIKSVIQGILPGLALKLFLIFLPAILMIMAKFEGFTSKSSLERRAATRYYLFNLVNVFLGSIVAGSALEQLNTFIKQSANEIPRTIGVAVPLRATFFITYIMVDGWAGIAGEILMLKPLIIYHLKNFFLVKTEKDREEAMDPGSLGFNTGEPQIQLYFLLGLVYAAVTPALLPFIVIFFGLAYVVFRHQIINVYNQEYESAAAFWPDVHGRIIIALLISQVALIGLLTSKKAAQSTPFLIALVVLTIWFYTFCKARYEPAFVRYPLQEAMMKDTLERAREPNLNLKPYLQNAYIHPVFKEEDEEDEFDFKSENESVLVPTKRQSRRSTPAPSRISGASSPSLPPEVVPEHPERAS >KJB69237 pep chromosome:Graimondii2_0_v6:11:870794:873967:1 gene:B456_011G012200 transcript:KJB69237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGDIGVAAAFNLLSAFVFFIAFAVLRLQPFNDRVYFPKWYLKGLRTSPASSGAFVKKFVNLDFRSYLKFLNWMPEALKMPELELIDHAGLDSAVYLRIYLIGLKIFVPITIVAWAVLVPVNYTNKTLELQLKNVTSSDIDKLSISNIALESDRFWTHIVMAYAFTFWTCFVLLKEYETVASMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSETVEHFFLVNHPDTYLTNQVVCNANKLAKLVKQRKKKQNWLDYYQLKYSRNNAQRPIMKTGFLGLCGKKVDAIEHHEAEIGKLSKEIAEERERVKKDPKAIMPAAFVSFKSRWGAAVCAQTQQSRDPTSWLTEWAPEPCDVYWPNLPIPYVSLAIRRLIMAVAFFFLTFFFMIPIASVQALASIEGLEKVAPFLKPIIDMKFIKSVIQGILPGLALKLFLIFLPAILMIMAKFEGFTSKSSLERRAATRYYLFNLVNVFLGSIVAGSALEQLNTFIKQSANEIPRTIGVAVPLRATFFITYIMVDGWAGIAGEILMLKPLIIYHLKNFFLVKTEKDREEAMDPGSLGFNTGEPQIQLYFLLGLVYAAVTPALLPFIVIFFGLAYVVFRHQVIFGKR >KJB69240 pep chromosome:Graimondii2_0_v6:11:870136:875399:1 gene:B456_011G012200 transcript:KJB69240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAFTFWTCFVLLKEYETVASMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSETVEHFFLVNHPDTYLTNQVVCNANKLAKLVKQRKKKQNWLDYYQLKYSRNNAQRPIMKTGFLGLCGKKVDAIEHHEAEIGKLSKEIAEERERVKKDPKAIMPAAFVSFKSRWGAAVCAQTQQSRDPTSWLTEWAPEPCDVYWPNLPIPYVSLAIRRLIMAVAFFFLTFFFMIPIASVQALASIEGLEKVAPFLKPIIDMKFIKSVIQGILPGLALKLFLIFLPAILMIMAKFEGFTSKSSLERRAATRYYLFNLVNVFLGSIVAGSALEQLNTFIKQSANEIPRTIGVAVPLRATFFITYIMVDGWAGIAGEILMLKPLIIYHLKNFFLVKTEKDREEAMDPGSLGFNTGEPQIQLYFLLGLVYAAVTPALLPFIVIFFGLAYVVFRHQIINVYNQEYESAAAFWPDVHGRIIIALLISQVALIGLLTSKKAAQSTPFLIALVVLTIWFYTFCKARYEPAFVRYPLQEAMMKDTLERAREPNLNLKPYLQNAYIHPVFKEEDEEDEFDFKSENESVLVPTKRQSRRSTPAPSRISGASSPSLPPEVVPEHPERAS >KJB69242 pep chromosome:Graimondii2_0_v6:11:870116:875399:1 gene:B456_011G012200 transcript:KJB69242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGDIGVAAAFNLLSAFVFFIAFAVLRLQPFNDRVYFPKWYLKGLRTSPASSGAFVKKFVNLDFRSYLKFLNWMPEALKMPELELIDHAGLDSAVYLRIYLIGLKIFVPITIVAWAVLVPVNYTNKTLELQLKNVTSSDIDKLSISNIALESDRFWTHIVMAYAFTFWTCFVLLKEYETVASMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSETVEHFFLVNHPDTYLTNQVVCNANKLAKLVKQRKKKQNWLDYYQLKYSRNNAQRPIMKTGFLGLCGKKVDAIEHHEAEIGKLSKEIAEERERVKKDPKAIMPAAFVSFKSRWGAAVCAQTQQSRDPTSWLTEWAPEPCDVYWPNLPIPYVSLAIRRLIMAVAFFFLTFFFMIPIASVQALASIEGLEKVAPFLKPIIDMKFIKSVIQGILPGLALKLFLIFLPAILMIMAKFEGFTSKSSLERRAATRYYLFNLVNVFLGSIVAGSALEQLNTFIKQSANEIPRTIGVAVPLRATFFITYIMVDGWAGIAGEILMLKPLIIYHLKNFFLVKTEKDREEAMDPGSLGFNTGEPQIQLYFLLGLVYAAVTPALLPFIVIFFGLAYVVFRHQIINVYNQEYESAAAFWPDVHGRIIIALLISQVALIGLLTSKKAAQSTPFLIALVVLTIWFYTFCKARYEPAFVRYPLQEAMMKDTLERAREPNLNLKPYLQNAYIHPVFKEEDEEDEFDFKSENESVLVPTKRQSRRSTPAPSRISGASSPSLPPEVVPEHPERAS >KJB70512 pep chromosome:Graimondii2_0_v6:11:7585603:7587990:-1 gene:B456_011G076900 transcript:KJB70512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIEDDARYPPNPFGVSYQQGYGSSNRQKLPLRNIPSSRPIRNQYVDDDEDGEDEVEDLGEEEETLNRSNGVRYVGKGMDDDNEDDDDDDEDDDLLDDDDDEDDYNEGGDDRKNVVANLGRHPKKRKLKSLVSSYEFAPRVPAPAVSAPSVLKSSYGGRNSLTDWTEHETLVLLDAWGDCFLQCGRKSLRTEEWQEVAEKVSEISKIERTETQCRNRLDTLKKKYKKEKAMLTETGGTTSKWVYFKKMDMLMSTPPQQGRLSCGFDSGEYVFMNPRVYLNRANGLDEMRDSPANSEFADSEEDVSDGLPPKKRRFGRQSGEDSSVKLLADSIQKFSDIYEKIENSKRQQMLELEKMRMDFHRELEMQKRQIMERAQAEIAELQHGENEANDASGENASG >KJB71043 pep chromosome:Graimondii2_0_v6:11:11643666:11645978:-1 gene:B456_011G102000 transcript:KJB71043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIKE COV 3 [Source:Projected from Arabidopsis thaliana (AT2G18460) UniProtKB/Swiss-Prot;Acc:F4IQJ6] MQLNLIPVSVVNNCEYGAESSSSFPSIPHSSSKEAFYRVIRSWASKKFMTGCQLLYQILCWLFKFSAKESMILNLVRQLHSIQLGFIHFVDGFFSPIYKHLGISIFGLGFVTSITFIFIVGVFMSSWLGASVLSLGEWLIKKMPLICYIYSASKQISTAISPEIGEYAFGFITSTVILHGSSAGSEELNCVYVPSNHLYIGDMFLISSNDIVRPNLSVREGIEVVNSGGMSVPRMLTTLDECVN >KJB69366 pep chromosome:Graimondii2_0_v6:11:1348834:1352346:1 gene:B456_011G019500 transcript:KJB69366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLVIDCLFIMNYQHHCRRCEGIFCYSCTQHRMVLRGHGDSPVRVCEPCKNLEEAARFESRHGYKSRAGRGRLKPTVNDEDVAFNQILGGGIKESSSSGVALNNDTTPSVQGATCSNVQEVVGHDGGGEIHKSPSVDQCMQNGMALSSPEKLRQQALDEKRKYKVLKGEGKSEEALRAFKRGKELERQAEKNGKNSFPSDNMSGILNKEVPEEYGRKNKVCHPASRDKDDLAAKLRELGWSDMDLRDDDKKNTNLSLDGELSSLLGEIPEKINGHGIDKTEVVAFKKKALMLKQKQLEEQELLAGAEDSDDEVSAIIRSMDNDKQDEILMQYEHTQGLDFDYLMETADDLGIDSNLEVTDQDMENPEIDATLKSFGWTEDSSPIEDVTTQSALVKREALLNEIISLKREALSQKRAGNVAEAMAMKGVNLKPASKSRLTIQKELLSLKKKALALRQQGRLDESDEELQKGRILEQQLEEMENTSNMKAAQVTSKGKDLKHEHPNVSDTLPVEGDVMEQDLHDPTCLSILRNLGWNDSDDELSNSSLKHSEQNGSKKIIESSSAHAPPKILTKASRRTKAEIQREILGLKRKTLLLRRQGNPDEAEEVLETAKALEAEMAEMEAPKKVVESRFPKEKAAISAHKGAAEEEDAENITENDMTDPPLLSMLKNLGWKDEEAEPITMQEEYSKTLARLEAPKSELVVESSKDSKSENFESFANHKRLGNSKNEVIVKKEPFVDNQPSVEKSDPVGLNPPSNQSANIMELSTVDDLTNSQIPAKLEETGHFESNFFSRGRPDVQLACQDVITKNEDSTGKTRLLNEEKSYLSQNSQDSRRRAVLSHKRKALALKRDGKLAEAREELRQAKLLEKSMAEDDTPPKFGSNDVSTSAFTVCSGAAIEQGTPAFAPKPLSGHVHFKLQQESLSHKRQALKLRREGRMQEAEAEFELAKSLEARLEELGGHASTKSSSNRAEPVDDVVVEDLLDPQLLSALKAIGLDDSSAMAQGPKRTEFV >KJB69365 pep chromosome:Graimondii2_0_v6:11:1348298:1352346:1 gene:B456_011G019500 transcript:KJB69365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKIGLRGTHWVIDASHCQGCSSQFTFINRKHHCRRCEGIFCYSCTQHRMVLRGHGDSPVRVCEPCKNLEEAARFESRHGYKSRAGRGRLKPTVNDEDVAFNQILGGGIKESSSSGVALNNDTTPSVQGATCSNVQEVVGHDGGGEIHKSPSVDQCMQNGMALSSPEKLRQQALDEKRKYKVLKGEGKSEEALRAFKRGKELERQAEKNGKNSFPSDNMSGILNKEVPEEYGRKNKVCHPASRDKDDLAAKLRELGWSDMDLRDDDKKNTNLSLDGELSSLLGEIPEKINGHGIDKTEVVAFKKKALMLKQKQLEEQELLAGAEDSDDEVSAIIRSMDNDKQDEILMQYEHTQGLDFDYLMETADDLGIDSNLEVTDQDMENPEIDATLKSFGWTEDSSPIEDVTTQSALVKREALLNEIISLKREALSQKRAGNVAEAMAMKGVNLKPASKSRLTIQKELLSLKKKALALRQQGRLDESDEELQKGRILEQQLEEMENTSNMKAAQVTSKGKDLKHEHPNVSDTLPVEGDVMEQDLHDPTCLSILRNLGWNDSDDELSNSSLKHSEQNGSKKIIESSSAHAPPKILTKASRRTKAEIQREILGLKRKTLLLRRQGNPDEAEEVLETAKALEAEMAEMEAPKKVVESRFPKEKAAISAHKGAAEEEDAENITENDMTDPPLLSMLKNLGWKDEEAEPITMQEEYSKTLARLEAPKSELVVESSKDSKSENFESFANHKRLGNSKNEVIVKKEPFVDNQPSVEKSDPVGLNPPSNQSANIMELSTVDDLTNSQIPAKLEETGHFESNFFSRGRPDVQLACQDVITKNEDSTGKTRLLNEEKSYLSQNSQDSRRRAVLSHKRKALALKRDGKLAEAREELRQAKLLEKSMAEDDTPPKFGSNDVSTSAFTVCSGAAIEQGTPAFAPKPLSGHVHFKLQQESLSHKRQALKLRREGRMQEAEAEFELAKSLEARLEELGGHASTKSSSNRAEPVDDVVVEDLLDPQLLSALKAIGLDDSSAMAQGPKRTEFV >KJB70848 pep chromosome:Graimondii2_0_v6:11:47274262:47275544:-1 gene:B456_011G1960001 transcript:KJB70848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSIRFGTSDDLKSLIDKAHELGILVLMDIVYSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQ >KJB70845 pep chromosome:Graimondii2_0_v6:11:47274262:47275466:-1 gene:B456_011G1960001 transcript:KJB70845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQEHSYYASFGYHVTNFFAPSIRFGTSDDLKSLIDKAHELGILVLMDIVYSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQ >KJB70852 pep chromosome:Graimondii2_0_v6:11:47274262:47275544:-1 gene:B456_011G1960001 transcript:KJB70852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSIRFGTSDDLKSLIDKAHELGILVLMDIVYSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQ >KJB70849 pep chromosome:Graimondii2_0_v6:11:47274262:47275544:-1 gene:B456_011G1960001 transcript:KJB70849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSIRFGTSDDLKSLIDKAHELGILVLMDIVYSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQ >KJB70843 pep chromosome:Graimondii2_0_v6:11:47274262:47276664:-1 gene:B456_011G1960001 transcript:KJB70843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQ >KJB70844 pep chromosome:Graimondii2_0_v6:11:47274262:47275544:-1 gene:B456_011G1960001 transcript:KJB70844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQ >KJB70842 pep chromosome:Graimondii2_0_v6:11:47274262:47275181:-1 gene:B456_011G1960001 transcript:KJB70842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVYSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQ >KJB70841 pep chromosome:Graimondii2_0_v6:11:47274262:47276664:-1 gene:B456_011G1960001 transcript:KJB70841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVYSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQ >KJB70853 pep chromosome:Graimondii2_0_v6:11:47274262:47275466:-1 gene:B456_011G1960001 transcript:KJB70853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQEHSYYASFGYHVTNFFAPSIRFGTSDDLKSLIDKAHELGILVLMDIVYSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQ >KJB70850 pep chromosome:Graimondii2_0_v6:11:47274262:47275544:-1 gene:B456_011G1960001 transcript:KJB70850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSIRFGTSDDLKSLIDKAHELGILVLMDIVYSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQ >KJB70847 pep chromosome:Graimondii2_0_v6:11:47274262:47275544:-1 gene:B456_011G1960001 transcript:KJB70847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSIRFGTSDDLKSLIDKAHELGILVLMDIVYSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQ >KJB70846 pep chromosome:Graimondii2_0_v6:11:47274262:47275466:-1 gene:B456_011G1960001 transcript:KJB70846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQEHSYYASFGYHVTNFFAPSIRFGTSDDLKSLIDKAHELGILVLMDIVYSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQ >KJB70851 pep chromosome:Graimondii2_0_v6:11:47274262:47275544:-1 gene:B456_011G1960001 transcript:KJB70851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSIRFGTSDDLKSLIDKAHELGILVLMDIVYSHASNNVLDGLNMFDGTDGHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYIHHGLQ >KJB69645 pep chromosome:Graimondii2_0_v6:11:55849515:55851020:-1 gene:B456_011G2375001 transcript:KJB69645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSLPDRSSGRSTGLNNADNPEGPDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAGVAVIVYDITSPESFTKAQYWVK >KJB69642 pep chromosome:Graimondii2_0_v6:11:55849515:55850886:-1 gene:B456_011G2375001 transcript:KJB69642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSNFGSFCFWSVLLLVVDRSSGRSTGLNNADNPEGPDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAGVAVIVYDITSPESFTKAQYWVK >KJB69643 pep chromosome:Graimondii2_0_v6:11:55849515:55851167:-1 gene:B456_011G2375001 transcript:KJB69643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSLPDRSSGRSTGLNNADNPEGPDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAGVAVIVYDITSPESFTKAQYWVK >KJB69641 pep chromosome:Graimondii2_0_v6:11:55849512:55851159:-1 gene:B456_011G2375001 transcript:KJB69641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSLPDRSSGRSTGLNNADNPEGPDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAGVAVIVYDITSPESFTKAQYWVKV >KJB69644 pep chromosome:Graimondii2_0_v6:11:55849515:55851303:-1 gene:B456_011G2375001 transcript:KJB69644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSLPDRSSGRSTGLNNADNPEGPDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAGVAVIVYDITSPESFTKAQYWVK >KJB72077 pep chromosome:Graimondii2_0_v6:11:27511826:27513340:1 gene:B456_011G157000 transcript:KJB72077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLALKRLVSSNILPSSLRVAIAPSISRLFNTNAATPDDHDDRRRADGFFPDMFDPLSPTRSLNMMDQVKGNRFLSTSRDIPGLGSEAKESNLRPLSPHLPIYKPQLSATLSITNRISGVFLTTALLFSYLLSLKMGSICFTYSNFYQFFFYSAKLAPVTVSIAALAVSYHLCYGVRHLLADFSGKLR >KJB72075 pep chromosome:Graimondii2_0_v6:11:27511752:27513340:1 gene:B456_011G157000 transcript:KJB72075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLALKRLVSSNILPSSLRVAIAPSISRLFNTNAATPDDHDDRRRADGFFPDLQGRRQGAKKDMFDPLSPTRSLNMMDQVKGNRFLSTSRDIPGLGSEAKESNLRPLSPHLPIYKPQLSATLSITNRISGVFLTTALLFSYLLSLKMGSICFTYSNFYQFFFYSAKLAPVTVSIAALAVSYHLCYGVRHLLADFSGKLR >KJB72076 pep chromosome:Graimondii2_0_v6:11:27511996:27512673:1 gene:B456_011G157000 transcript:KJB72076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMIAVVLTVSSQICRGGARGPKKVNFHFSSYRNYKIMIQSFCPLKKKIPADMFDPLSPTRSLNMMDQVKGNRFLSTSRDIPGLGSEAKESNLRPLSPHLPIYKPQLSATLSITNRISGVFLTTALLFSYLLSLKMGSICFTYSNFYQFFFYSAKLAPVTVSIAALAVSYHLCYGVRHLLADFSGKLR >KJB72417 pep chromosome:Graimondii2_0_v6:11:41279809:41289331:-1 gene:B456_011G177200 transcript:KJB72417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKELEAQLIPEWKEAFVNYWQLKKHVKKIKLSRTNKQSASAEFTHDFGRSILDPIRFIALKVASTTKHFFTSSNNITDEIIQVRSKSMDSDEEVLYQTELLQLFSEEDEVRLFFERLDEELNKVNQFYKTKESEFLERGEILNKQLQILLDLKQIVGDRLRRRKSNAGGLLHRSSASFSSTNSDSFSENPAEFNDSSTEMSQTDEVIAALERNGVNFMNSASSRAMMTKKGKPKVAMRIDIPATTPARTISAVTSMLWEDLVNHPKKEGSGDFINRKKIQCAEKMIRSAFVELYRGLGLLKTYSSLNMVAFTKILKKFDKVSNQQASASYLKAVKRSHFISSDKVVRLMDEVESIFTKHFANNDRKKAMKFLRPQQQKNSHVVTFFVGLFTGSFVSLFSVYIILAHLSGIFSPSKGIAYMETVYPVFSVFALLSLHLFLYGCNLFMWKATRINYHFIFEFAPSTALKYRDAFLICTTFMTSVVGAMVIHLLLRAGRFSPSHVDAIPGILLLIFIALLVCPFDIFYRPTRYCFLRIIRNIICSPLYKVLMVDFFMADQLTSQIPLLRHLESTACYFLAGSFKTHEYATCKNGKLYRQLAYVISFLPYYWRAMQCARRWFDEYDLNHLANMGKYVSAMVAAGARLTYATQSNHLWFSVVLVTSVVATVYQLYWDFVKDWGLLNPNSRNPWLRDDLILKNKSIYYLSIALNVVLRVAWIESIMRFRINPVETDLLDFFLASLEVIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRDADSDG >KJB71089 pep chromosome:Graimondii2_0_v6:11:12176612:12179212:-1 gene:B456_011G105300 transcript:KJB71089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSGMCRLVDTLIIKPPKKSPLFSRTIALWFAMLCGVYLYGTCLKHVGTFTMLKFQNIQLIQKPSLEALIPTLHYPKPESFSRGECALNPVRFFAIISMQRSGSGWFETLLNSHINVSSNGEIFSVIDRRNNVSNIIQTLERVYNLDWFTSASKNECSAAVGFKWMLNQGLMEHHREIVEYFNHRGISAIFLFRRNLLRRMVSVLANSYDRKAKLLNGTHKSHVHSEQEAAALSSYKPIINSTSLISDLKEVEMITARALENFNSTRHMVLYYEDLVTNRTKLKDVQEFLGLPLMELTSRQVKIHKGSLCDFVSNWDDVNKTLNGTEYERFLHADY >KJB71088 pep chromosome:Graimondii2_0_v6:11:12176509:12179686:-1 gene:B456_011G105300 transcript:KJB71088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYICLFSKDTLIIKPPKKSPLFSRTIALWFAMLCGVYLYGTCLKHVGTFTMLKFQNIQLIQKPSLEALIPTLHYPKPESFSRGECALNPVRFFAIISMQRSGSGWFETLLNSHINVSSNGEIFSVIDRRNNVSNIIQTLERVYNLDWFTSASKNECSAAVGFKWMLNQGLMEHHREIVEYFNHRGISAIFLFRRNLLRRMVSVLANSYDRKAKLLNGTHKSHVHSEQEAAALSSYKPIINSTSLISDLKEVEMITARALENFNSTRHMVLYYEDLVTNRTKLKDVQEFLGLPLMELTSRQVKIHKGSLCDFVSNWDDVNKTLNGTEYERFLHADY >KJB72020 pep chromosome:Graimondii2_0_v6:11:26512543:26515389:-1 gene:B456_011G153900 transcript:KJB72020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVSSWGNSSLDSVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRSRAIQAFHLDPTKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRAVADKCGALLLCDMAHISGLVAAQEANNPFEFCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQSMTPGFKAYAKQVKANAVALGKYLMGKGYQLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHRAVTITLNIQKQYGKLLKDFNKGLDNNKEIQELKVDVEKFSSSFDMPGFKMSEMKYKD >KJB72022 pep chromosome:Graimondii2_0_v6:11:26512561:26515389:-1 gene:B456_011G153900 transcript:KJB72022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVSSWGNSSLDSVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRSRAIQAFHLDPTKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRAVADKCGALLLCDMAHISGLVAAQEANNPFEFCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQSMTPGFKAYAKQVKANAVALGKYLMGKGYQLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHRAVTITLNIQKQYGKLLKDFNKGLDNNKEIQELKVDVEKFSSSFDMPGFKMSEMKYKD >KJB72019 pep chromosome:Graimondii2_0_v6:11:26512553:26515305:-1 gene:B456_011G153900 transcript:KJB72019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVSSWGNSSLDSVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRSRAIQAFHLDPTKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRAVADKCGALLLCDMAHISGLVAAQEANNPFEFCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQSMTPGFKAYAKQVKANAVALGKYLMGKGYQLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHRAVTITLNIQKQYGKLLKDFNKGLDNNKEIQELKVDVEKFSSSFDMPGFKMSEMKYKD >KJB72014 pep chromosome:Graimondii2_0_v6:11:26513176:26515242:-1 gene:B456_011G153900 transcript:KJB72014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVSSWGNSSLDSVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRSRAIQAFHLDPTKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRAVADKCGALLLCDMAHISGLVAAQEANNPFEFCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQSMTPGFKAYAKQVKANAVALGKYLMGKGYQLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGKLAYQNESFASERLPNTCKSGQIFISYGHLMRDVYA >KJB72021 pep chromosome:Graimondii2_0_v6:11:26512543:26515305:-1 gene:B456_011G153900 transcript:KJB72021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVSSWGNSSLDSVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRSRAIQAFHLDPTKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRAVADKCGALLLCDMAHISGLVAAQEANNPFEFCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQSMTPGFKAYAKQVKANAVALGKYLMGKGYQLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHRAVTITLNIQKQYGKLLKDFNKGLDNNKEIQELKVDVEKFSSSFDMPGFKMSEMKYKD >KJB72017 pep chromosome:Graimondii2_0_v6:11:26513139:26515242:-1 gene:B456_011G153900 transcript:KJB72017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVSSWGNSSLDSVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRSRAIQAFHLDPTKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRAVADKCGALLLCDMAHISGLVAAQEANNPFEFCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQSMTPGFKAYAKQVKANAVALGKYLMGKGYQLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGKYTCHDFKGFG >KJB72018 pep chromosome:Graimondii2_0_v6:11:26513394:26515242:-1 gene:B456_011G153900 transcript:KJB72018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVSSWGNSSLDSVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRSRAIQAFHLDPTKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRAVADKCGALLLCDMAHISGLVAAQEANNPFEFCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQSMTPGFKAYAKQVKANAVALGKYLMGKGYQLVTGGTENHLVLWDLRPLGLTGMAVELLGLKVVEQLPLMNSHLFLSLF >KJB72015 pep chromosome:Graimondii2_0_v6:11:26512543:26515305:-1 gene:B456_011G153900 transcript:KJB72015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVSSWGNSSLDSVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRSRAIQAFHLDPTKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRAVADKCGALLLCDMAHISGLVAAQQEANNPFEFCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQSMTPGFKAYAKQVKANAVALGKYLMGKGYQLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHRAVTITLNIQKQYGKLLKDFNKGLDNNKEIQELKVDVEKFSSSFDMPGFKMSEMKYKD >KJB72016 pep chromosome:Graimondii2_0_v6:11:26512543:26515305:-1 gene:B456_011G153900 transcript:KJB72016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVSSWGNSSLDSVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRSRAIQAFHLDPTKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRAVADKCGALLLCDMAHISGLVAAQEANNPFEFCDIVTTTTHKSLRGPRAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQSMTPGFKAYAKQVKANAVALGKYLMGKGYQLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHRAVTITLNIQKQYGKLLKDFNKGLDNNKEIQELKVDVEKFSSSFDMPGFKMSEMKYKD >KJB73438 pep chromosome:Graimondii2_0_v6:11:55103542:55105730:1 gene:B456_011G233200 transcript:KJB73438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKTKFKYQKVNFTHFILNLSLSTVFHNCERARDESVASKWLQGTITRPYNPSQDLPVPNRPARLTNVKLVSPSLMPKLGKAGSLQSRQAIVHSLVHTESWAIDLSWDIIARFGKKEAMPREFFTDFVKVAQDEGRHFSLLAAWLKELGSSYGALPAHDGLWDSAIATSKDLLARLAIEHCVHEARGLDVLPTTISRFRNGGDDDTADLLERVVYPEEITHCAAGVKWFKYLCLRSRNPSLYQDILALEESEAGRSETQMDKESEEVIQKFHAIVRTHFRGPLKPPFNESKESCWLRPSVV >KJB72286 pep chromosome:Graimondii2_0_v6:11:35262369:35264223:-1 gene:B456_011G169400 transcript:KJB72286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCGRIDLKSAPYDTIQLKSGPSWLDKSCGEFGPPLFSSSQGSRVPISSILPQVQMEAILWGLGTALGELPPYFISRAASLSGGKFNAMEELDASSSKDNGVIATRLNQIKRWLLSHSQHMNFFTILVLASVSYNSLFSFHNPIFEQSKILMLLLTFCFKCILVCLCYPCVFFFCMCKPIPPLSCPYFWNVY >KJB72285 pep chromosome:Graimondii2_0_v6:11:35261322:35264223:-1 gene:B456_011G169400 transcript:KJB72285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCGRIDLKSAPYDTIQLKSGPSWLDKSCGEFGPPLFSSSQGSRVPISSILPQVQMEAILWGLGTALGELPPYFISRAASLSGGKFNAMEELDASSSKDNGVIATRLNQIKRWLLSHSQHMNFFTILVLASLRERVLLTKRERSY >KJB74114 pep chromosome:Graimondii2_0_v6:11:60604829:60606011:-1 gene:B456_011G273700 transcript:KJB74114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMPRFEVPEALVMEILSKLPVKSLTRFNCVCKYWCSFFRTPHFISKHYHNNVKNNNLNLVLQRHDHGTANMPYFSQSSNQLPYVYGARHGLFCLYDCLTDKAAIWNPSTREFKILSPSSVQAILMPFSVSPIPKFFESYREHYIQELKELTDLLIYGLRMKEYGINNSALNLFRLVLFDPSSQEFKVVGIKTYMEHHQHYISVFAFVENRVPINGIQEHKDHIICQLVGDAPNKY >KJB69903 pep chromosome:Graimondii2_0_v6:11:3837363:3842226:1 gene:B456_011G049000 transcript:KJB69903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLGDIVQSVEMWLKLIRKPQPYIDPDLDPVLLVPGIAGSILKAVDHQNGNEERVWVRILEADSKFCSKLWSRFDPSTGKTVSLDPSTSITVPEERYGLYAIDVLDPDLIIGQESVYYFHDMIVEMIKWGFQEGKTLFGFGYDFRQSNRLQDTLDRLAAKLESVYKASGGKKINIISHSMGGLLVKCFMGVHSDVFEKYVKNWIAIAAPFRGAPGYIASTFLNGVSFVEGWEQNFFISKWSMHQLLIECPSIYELMACPYFHWEHMPLLEIWREKEGCNGIPQTILESYRPGESIDIFKESLSGNSIDYGGENIPLPFNLEILKWAKETHKVLSEAKVPSGVKFYNIYGINLETPHSVCYGSEEAPITDIRDLRFSEATYVCVDGDGTVPVESAKADGLNAEARVGIPGEHRGILCEPHLYRILKHWLKAGNPDPFYNPINDYVILPMAFEMESLHEKGMQVTSLKEEWEIITEDQGNQDANKNSLVSSISVSQGPSKQSSRSEAHATVIVHPQNEGKQHVELNAISVSIDT >KJB72912 pep chromosome:Graimondii2_0_v6:11:50669258:50670164:1 gene:B456_011G210300 transcript:KJB72912 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13050) UniProtKB/Swiss-Prot;Acc:Q8L539] MSNNDQEADNLESVFKQKRIIRSNVRQTLKSMDPSLRSQEDDIIQSIVLEAPWFKSSKRLCAYISCSALREVDTSKLLSQILSPAPDGNKLPTAKKLYVPRVEDKNSNMRMFNISRIDDLVANSMNILEPASVDADGNAREDGIQVDLYIFSLYVAMIIFFLVPV >KJB72919 pep chromosome:Graimondii2_0_v6:11:50669258:50672507:1 gene:B456_011G210300 transcript:KJB72919 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13050) UniProtKB/Swiss-Prot;Acc:Q8L539] MSNNDQEADNLESVFKQKRIIRSNVRQTLKSMDPSLRSQEDDIIQSIVLEAPWFKSSKRLCAYISCSALREVDTSKLLSQILSPAPDGNKLPTAKKLYVPRVEDKNSNMRMFNISRIDDLVANSMNILEPASVDADGNAREDVMHANDPVDLFILPGLAFDRSGRRLGRGGGYYDTFLKNYKELAKEKNWRLPLFVALSYSVQIMDEEVIPVTPNDVLVDALVSPTGVIPITSAALGRMKP >KJB72918 pep chromosome:Graimondii2_0_v6:11:50669258:50672178:1 gene:B456_011G210300 transcript:KJB72918 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13050) UniProtKB/Swiss-Prot;Acc:Q8L539] MSNNDQEADNLESVFKQKRIIRSNVRQTLKSMDPSLRSQEDDIIQSIVLEAPWFKSSKRLCAYISCSALREVDTSKLLSQILSPAPGSSIYQNFSIEVVIVLYLCVIYCVVFRYFFNLFFVSVLDGNKLPTAKKLYVPRVEDKNSNMRMFNISRIDDLVANSMNILEPASVDADGNAREDVMHANDPVDLFILPGLAFDRSGRRLGRGGGYYDTFLKNYKELAKEKNWRLPLFVALSYSVQIMDEEVIPVTPNDVLVDALVSPTGVIPITSAALGRMKP >KJB72913 pep chromosome:Graimondii2_0_v6:11:50669258:50672178:1 gene:B456_011G210300 transcript:KJB72913 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13050) UniProtKB/Swiss-Prot;Acc:Q8L539] MSNNDQEADNLESVFKQKRIIRSNVRQTLKSMDPSLRSQEDDIIQSIVLEAPWFKSSKRLCAYISCSALREVDTSKLLSQILSPAPDGNKLPTAKKLYVPRVEDKNSNMRMFNISRIDDLVANSMNILEPASVDADGNAREDVMHANDPVDLFILPGLAFDRSGRRLGRGGGQLHLLNFMLSCP >KJB72916 pep chromosome:Graimondii2_0_v6:11:50669397:50670856:1 gene:B456_011G210300 transcript:KJB72916 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13050) UniProtKB/Swiss-Prot;Acc:Q8L539] MSNNDQEADNLESVFKQKRIIRSNVRQTLKSMDPSLRSQEDDIIQSIVLEAPWFKSSKRLCAYISCSALREVDTSKLLSQILSPAPDGNKLPTAKKLYVPRVEDKNSNMRMFNISRIDDLVANSMNILEPASVDADGNAREDVMHANDPVDLFILPGLAFDRSGRRLGRGGGCVYHSY >KJB72914 pep chromosome:Graimondii2_0_v6:11:50669467:50671840:1 gene:B456_011G210300 transcript:KJB72914 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13050) UniProtKB/Swiss-Prot;Acc:Q8L539] MFARPSSPWIPPSDPKKVTPIYFLLIFDFINIFRILIPGSFFLDDIIQSIVLEAPWFKSSKRLCAYISCSALREVDTSKLLSQILSPAPGSSIYQNFSIEVVIVLYLCVIYCVVFRYFFNLFFVSVLDGNKLPTAKKLYVPRVEDKNSNMRMFNISRIDDLVANSMNILEPASVDADGNAREDVMHANDPVDLFILPGLAFDRSGRRLGRGGGYYDTFLKNYKELAKEKNWRLPLFVALSYSVQIMDEEVIPVTPNDVLVDALVSPTGVIPITSAALGRMKP >KJB72915 pep chromosome:Graimondii2_0_v6:11:50669258:50672178:1 gene:B456_011G210300 transcript:KJB72915 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13050) UniProtKB/Swiss-Prot;Acc:Q8L539] MFARPSSPWIPPSDPKKVTPIYFLLIFDFINIFRILIPGSFFLDDIIQSIVLEAPWFKSSKRLCAYISCSALREVDTSKLLSQILSPAPDGNKLPTAKKLYVPRVEDKNSNMRMFNISRIDDLVANSMNILEPASVDADGNAREDVMHANDPVDLFILPGLAFDRSGRRLGRGGGYYDTFLKNYKELAKEKNWRLPLFVALSYSVQIMDEEVIPVTPNDVLVDALVSPTGVIPITSAALGRMKP >KJB72917 pep chromosome:Graimondii2_0_v6:11:50669258:50672178:1 gene:B456_011G210300 transcript:KJB72917 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13050) UniProtKB/Swiss-Prot;Acc:Q8L539] MSNNDQEADNLESVFKQKRIIRSNVRQTLKSMDPSLRSQEDDIIQSIVLEAPWFKSSKRLCAYISCSALREVDTSKLLSQILSPAPDGNKLPTAKKLYVPRVEDKNSNMRMFNISRIDDLVANSMNILEPASVDADGNAREDVMHANDPVDLFILPGRCFCPVFCSVSLSFIFAFFNILLAMLLGLAFDRSGRRLGRGGGCVYHSY >KJB74211 pep chromosome:Graimondii2_0_v6:11:61138277:61138996:1 gene:B456_011G279500 transcript:KJB74211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLIVDIIKGTYQPKLDTIINIRIADYYITYTTFLGIINPNNIDNMAVETEGKKRKWHGSVSGIVDSPLDKVWTIVSQSKRLSQWMPMVERCIDLVGDEGVPGYVRLVSGFMFPQQDGERSWIKERLVAMDSTSHSYVYKMEASNVGLDGSINSLKLIDYGDGSTLVNWSFEIDPLEGTLEDNIIDYLGFLYKSCINRIQGAIEDANKKVYETC >KJB70336 pep chromosome:Graimondii2_0_v6:11:6126218:6127527:-1 gene:B456_011G069000 transcript:KJB70336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ECDWRTGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSEK >KJB70337 pep chromosome:Graimondii2_0_v6:11:6126218:6127771:-1 gene:B456_011G069000 transcript:KJB70337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSEK >KJB73543 pep chromosome:Graimondii2_0_v6:11:56176096:56179330:1 gene:B456_011G238500 transcript:KJB73543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPYLDKAICAQIRSATEPMFAEYVGKYQIEAIEFDNLSLGTIPPEIHGLKVCETNEKELVLEPAVRWAGNPNIVLTLKLLSFRITIQLVDLQIFMAPRITLKPLVPTFPCFATVAVSLLGKPDVDFGMSILGGDIMAIPGLYQFVQKTIKRQVASLYIWPQALEIPILDPATVAVKKPVGILHVKVVRAQKLLKKDILGTSDPYVKLNLSGERLPSKKTTIKKRNLNPEWNEKFKLIVKDPGSQVLQLQVFDWDKVGNHDRLGMQFVPLKFLTPYETKEFKLDLLKHTDSYDPQDKKQRGKIVVELMYAPFRADSGKLDGTQDGYSRKESGFDRTSDSEVFGGAGLLSVLIQGAEDVEGERHNNPYAVVFFRGETKRTKMIKRTRDPVWNEEFHYMLEEPPLNEKIHIEVMSKRTGFSFRSKEHLGNVDINLTDVVHNGRINQKYHLIDSKNGVIHVAIKWVTA >KJB73542 pep chromosome:Graimondii2_0_v6:11:56175816:56179330:1 gene:B456_011G238500 transcript:KJB73542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPYLDKAICAQIRSATEPMFAEYVGKYQIEAIEFDNLSLGTIPPEIHGLKVCETNEKELVLEPAVRWAGNPNIVLTLKLLSFRITIQLVDLQIFMAPRITLKPLVPTFPCFATVAVSLLGKPDVDFGMSILGGDIMAIPGLYQFVQKTIKRQVASLYIWPQALEIPILDPATVAVKKPVGILHVKVVRAQKLLKKDILGTSDPYVKLNLSGERLPSKKTTIKKRNLNPEWNEKFKLIVKDPGSQVLQLQVFDWDKVGNHDRLGMQFVPLKFLTPYETKEFKLDLLKHTDSYDPQDKKQRGKIVVELMYAPFRADSGKLDGTQDGYSRKESGFDRTSDSEVFGGAGLLSVLIQGAEDVEGERHNNPYAVVFFRGETKRTKMIKRTRDPVWNEEFHYMLEEPPLNEKIHIEVMSKRTGFSFRSKEHLGNVDINLTDVVHNGRINQKYHLIDSKNGVIHVAIKWVTA >KJB73541 pep chromosome:Graimondii2_0_v6:11:56174370:56180109:1 gene:B456_011G238500 transcript:KJB73541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSTLLGIFGFGIGTSIGLLIGFFLFIYSKPKTVKEPVPRPLYELDNDQLLDILPDIPLWVKCPDYERVDWLNKFVADMWPYLDKAICAQIRSATEPMFAEYVGKYQIEAIEFDNLSLGTIPPEIHGLKVCETNEKELVLEPAVRWAGNPNIVLTLKLLSFRITIQLVDLQIFMAPRITLKPLVPTFPCFATVAVSLLGKPDVDFGMSILGGDIMAIPGLYQFVQKTIKRQVASLYIWPQALEIPILDPATVAVKKPVGILHVKVVRAQKLLKKDILGTSDPYVKLNLSGERLPSKKTTIKKRNLNPEWNEKFKLIVKDPGSQVLQLQVFDWDKVGNHDRLGMQFVPLKFLTPYETKEFKLDLLKHTDSYDPQDKKQRGKIVVELMYAPFRADSGKLDGTQDGYSRKESGFDRTSDSEVFGGAGLLSVLIQGAEDVEGERHNNPYAVVFFRGETKRTKMIKRTRDPVWNEEFHYMLEEPPLNEKIHIEVMSKRTGFSFRSKEHLGNVDINLTDVVHNGRINQKYHLIDSKNGVIHVAIKWVTA >KJB73544 pep chromosome:Graimondii2_0_v6:11:56175926:56180109:1 gene:B456_011G238500 transcript:KJB73544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVNSHQETGFLEPVPRPLYELDNDQLLDILPDIPLWVKCPDYERVDWLNKFVADMWPYLDKAICAQIRSATEPMFAEYVGKYQIEAIEFDNLSLGTIPPEIHGLKVCETNEKELVLEPAVRWAGNPNIVLTLKLLSFRITIQLVDLQIFMAPRITLKPLVPTFPCFATVAVSLLGKPDVDFGMSILGGDIMAIPGLYQFVQKTIKRQVASLYIWPQALEIPILDPATVAVKKPVGILHVKVVRAQKLLKKDILGTSDPYVKLNLSGERLPSKKTTIKKRNLNPEWNEKFKLIVKDPGSQVLQLQVFDWDKVGNHDRLGMQFVPLKFLTPYETKEFKLDLLKHTDSYDPQDKKQRGKIVVELMYAPFRADSGKLDGTQDGYSRKESGFDRTSDSEVFGGAGLLSVLIQGAEDVEGERHNNPYAVVFFRGETKRTKMIKRTRDPVWNEEFHYMLEEPPLNEKIHIEVMSKRTGFSFRSKEHLGNVDINLTDVVHNGRINQKYHLIDSKNGVIHVAIKWVTA >KJB73540 pep chromosome:Graimondii2_0_v6:11:56174363:56179359:1 gene:B456_011G238500 transcript:KJB73540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLAVLVRVSKALFVFEPVPRPLYELDNDQLLDILPDIPLWVKCPDYERVDWLNKFVADMWPYLDKAICAQIRSATEPMFAEYVGKYQIEAIEFDNLSLGTIPPEIHGLKVCETNEKELVLEPAVRWAGNPNIVLTLKLLSFRITIQLVDLQIFMAPRITLKPLVPTFPCFATVAVSLLGKPDVDFGMSILGGDIMAIPGLYQFVQKTIKRQVASLYIWPQALEIPILDPATVAVKKPVGILHVKVVRAQKLLKKDILGTSDPYVKLNLSGERLPSKKTTIKKRNLNPEWNEKFKLIVKDPGSQVLQLQVFDWDKVGNHDRLGMQFVPLKFLTPYETKEFKLDLLKHTDSYDPQDKKQRGKIVVELMYAPFRADSGKLDGTQDGYSRKESGFDRTSDSEVFGGAGLLSVLIQGAEDVEGERHNNPYAVVFFRGETKRTKMIKRTRDPVWNEEFHYMLEEPPLNEKIHIEVMSKRTGFSFRSKEHLGNVDINLTDVVHNGRINQKYHLIDSKNGVIHVAIKWVTA >KJB71839 pep chromosome:Graimondii2_0_v6:11:22884714:22896808:-1 gene:B456_011G144000 transcript:KJB71839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPEKGDEEDADTPIRYVSLDRVYSSASVCVSATNSSNVMSKKVKARKLIVDNDHPLKTHNPPVVHVYSRRLKRPRQCVSFYDSLLEGESQKTAVKSEIDESLRKKRRIGSNELANLGVDSSVLCQSDRPRLRDCRNNCSVNNNVNSNSVKKRKHNSTLNSQRSFTASATAKKWVRLSFDGVDPKAFIGLQCKVFWPLDADWYLGRVVGYNLETNRHHVEYVDGDEEDLILSNERLKFHVSHEEMERLNLSFSVDSTKDDDHDYDEMVALAASRDDCQELEPGDIIWAKLTGHAMWPAIVVDESLLGDRKGLSKISGGRSVPVQFFGTHDFARIKLKQVVSFLKGLLSSFHRKCKKPRFSRGLEEARLFLCEQKLPRRMLQLQNGIVVDGGEASSEDEGGKDSIDDHLKKDQGIQITLGGHGGSSYVIGGLQIINLGKVVKDSEYFQDDGIIWPEGYTAVRKFTSVKDPSVCTLYRMEVLRDPQSKNHPLFRVTSDEEKFEGPDPSACWNMIYERIRKRQNDSSDCKAGKGRLFEPGADMFGFSNPEVTKLIQGLSKSRLSSKFSAFKLASGRFRDLPAGYRPVRVDWKDLDKCSVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPLDGILWLCNLCRPGAPESPPSCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDVKRMEPIDGLNRISKDRWKLLCSICGVSYGACIQCSNPTCRVAYHPLCARAAGLCVELEDEDRLFLLSVDEDDEDQCIRLLSFCKKHRQPSNDRVASDERFGRIARRCSDYTPPLNPSGCARTEPYSHFGRRGRKEPEALAAASLKRLFVENQPYLVGGCCQHGMSGSTVPNNRVSGIKFSFSLNKLKAPQLDAPNNILSVAEKYDYMKQTFRRRLAFGKSGIHGFGIFAKHPHRAGDMVIEYTGELVRPSIADRREHFIYNSLVGAGTYLFRIDNERVIDATRAGSIAHLINHSCEPNCYSRVISVHGNEHIIIFAKRDIKRWEELTYDYRFFSIDERLACYCGFPRCRGVVNDTEAEEQVSKILVNRGELIQWTGE >KJB71841 pep chromosome:Graimondii2_0_v6:11:22886559:22897029:-1 gene:B456_011G144000 transcript:KJB71841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPEKGDEEDADTPIRYVSLDRVYSSASVCVSATNSSNVMSKKVKARKLIVDNDHPLKTHNPPVVHVYSRRLKRPRQCVSFYDSLLEGESQKTAVKSEIDESLRKKRRIGSNELANLGVDSSVLCQSDRPRLRDCRNNCSVNNNVNSNSVKKRKHNSTLNSQRSFTASATAKKWVRLSFDGVDPKAFIGLQCKVFWPLDADWYLGRVVGYNLETNRHHVEYVDGDEEDLILSNERLKFHVSHEEMERLNLSFSVDSTKDDDHDYDEMVALAASRDDCQELEPGDIIWAKLTGHAMWPAIVVDESLLGDRKGLSKISGGRSVPVQFFGTHDFARIKLKQVVSFLKGLLSSFHRKCKKPRFSRGLEEARLFLCEQKLPRRMLQLQNGIVVDGGEASSEDEGGKDSIDDHLKKDQGIQITLGGHGGSSYVIGGLQIINLGKVVKDSEYFQDDGIIWPEGYTAVRKFTSVKDPSVCTLYRMEVLRDPQSKNHPLFRVTSDEEKFEGPDPSACWNMIYERIRKRQNDSSDCKAGKGRLFEPGADMFGFSNPEVTKLIQGLSKSRLSSKFSAFKLASGRFRDLPAGYRPVRVDWKDLDKCSVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPLDGILWLCNLCRPGAPESPPSCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDVKRMEPIDGLNRISKDRWKLLCSICGVSYGACIQCSNPTCRVAYHPLCARAAGLCVELEDEDRLFLLSVDEDDEDQCIRLLSFCKKHRQPSNDRVASDERFGRIARRCSDYTPPLNPSGCARTEPYSHFGRRGRKEPEALAAASLKRLFVENQPYLVGGCCQHGMSGSTVPNNRVSGIKFSFSLNKLKAPQLDAPNNILSVAEKYDYMKQTFRRRLAFEEAATCE >KJB71838 pep chromosome:Graimondii2_0_v6:11:22883173:22897029:-1 gene:B456_011G144000 transcript:KJB71838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPEKGDEEDADTPIRYVSLDRVYSSASVCVSATNSSNVMSKKVKARKLIVDNDHPLKTHNPPVVHVYSRRLKRPRQCVSFYDSLLEGESQKTAVKSEIDESLRKKRRIGSNELANLGVDSSVLCQSDRPRLRDCRNNCSVNNNVNSNSVKKRKHNSTLNSQRSFTASATAKKWVRLSFDGVDPKAFIGLQCKVFWPLDADWYLGRVVGYNLETNRHHVEYVDGDEEDLILSNERLKFHVSHEEMERLNLSFSVDSTKDDDHDYDEMVALAASRDDCQELEPGDIIWAKLTGHAMWPAIVVDESLLGDRKGLSKISGGRSVPVQFFGTHDFARIKLKQVVSFLKGLLSSFHRKCKKPRFSRGLEEARLFLCEQKLPRRMLQLQNGIVVDGGEASSEDEGGKDSIDDHLKKDQGIQITLGGHGGSSYVIGGLQIINLGKVVKDSEYFQDDGIIWPEGYTAVRKFTSVKDPSVCTLYRMEVLRDPQSKNHPLFRVTSDEEKFEGPDPSACWNMIYERIRKRQNDSSDCKAGKGRLFEPGADMFGFSNPEVTKLIQGLSKSRLSSKFSAFKLASGRFRDLPAGYRPVRVDWKDLDKCSVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPLDGILWLCNLCRPGAPESPPSCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDVKRMEPIDGLNRISKDRWKLLCSICGVSYGACIQCSNPTCRVAYHPLCARAAGLCVELEDEDRLFLLSVDEDDEDQCIRLLSFCKKHRQPSNDRVASDERFGRIARRCSDYTPPLNPSGCARTEPYSHFGRRGRKEPEALAAASLKRLFVENQPYLVGGCCQHGMSGSTVPNNRVSGIKFSFSLNKLKAPQLDAPNNILSVAEKYDYMKQTFRRRLAFGKSGIHGFGIFAKHPHRAGDMVIEYTGELVRPSIADRREHFIYNSLVGAGTYLFRIDNERVIDATRAGSIAHLINHSCEPNCYSRVISVHGNEHIIIFAKRDIKRWEELTYDYRFFSIDERLACYCGFPRCRGVVNDTEAEEQVSKILVNRGELIQWTGE >KJB71840 pep chromosome:Graimondii2_0_v6:11:22886559:22897018:-1 gene:B456_011G144000 transcript:KJB71840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPEKGDEEDADTPIRYVSLDRVYSSASVCVSATNSSNVMSKKVKARKLIVDNDHPLKTHNPPVVHVYSRRLKRPRQCVSFYDSLLEGESQKTAVKSEIDESLRKKRRIGSNELANLGVDSSVLCQSDRPRLRDCRNNCSVNNNVNSNSVKKRKHNSTLNSQRSFTASATAKKWVRLSFDGVDPKAFIGLQCKVFWPLDADWYLGRVVGYNLETNRHHVEYVDGDEEDLILSNERLKFHVSHEEMERLNLSFSVDSTKDDDHDYDEMVALAASRDDCQELEPGDIIWAKLTAFSAGHAMWPAIVVDESLLGDRKGLSKISGGRSVPVQFFGTHDFARIKLKQVVSFLKGLLSSFHRKCKKPRFSRGLEEARLFLCEQKLPRRMLQLQNGIVVDGGEASSEDEGGKDSIDDHLKKDQGIQITLGGHGGSSYVIGGLQIINLGKVVKDSEYFQDDGIIWPEGYTAVRKFTSVKDPSVCTLYRMEVLRDPQSKNHPLFRVTSDEEKFEGPDPSACWNMIYERIRKRQNDSSDCKAGKGRLFEPGADMFGFSNPEVTKLIQGLSKSRLSSKFSAFKLASGRFRDLPAGYRPVRVDWKDLDKCSVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPLDGILWLCNLCRPGAPESPPSCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDVKRMEPIDGLNRISKDRWKLLCSICGVSYGACIQCSNPTCRVAYHPLCARAAGLCVELEDEDRLFLLSVDEDDEDQCIRLLSFCKKHRQPSNDRVASDERFGRIARRCSDYTPPLNPSGCARTEPYSHFGRRGRKEPEALAAASLKRLFVENQPYLVGGCCQHGMSGSTVPNNRVSGIKFSFSLNKLKAPQLDAPNNILSVAEKYDYMKQTFRRRLAFEEAATCE >KJB71837 pep chromosome:Graimondii2_0_v6:11:22883173:22897018:-1 gene:B456_011G144000 transcript:KJB71837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPEKGDEEDADTPIRYVSLDRVYSSASVCVSATNSSNVMSKKVKARKLIVDNDHPLKTHNPPVVHVYSRRLKRPRQCVSFYDSLLEGESQKTAVKSEIDESLRKKRRIGSNELANLGVDSSVLCQSDRPRLRDCRNNCSVNNNVNSNSVKKRKHNSTLNSQRSFTASATAKKWVRLSFDGVDPKAFIGLQCKVFWPLDADWYLGRVVGYNLETNRHHVEYVDGDEEDLILSNERLKFHVSHEEMERLNLSFSVDSTKDDDHDYDEMVALAASRDDCQELEPGDIIWAKLTAFSAGHAMWPAIVVDESLLGDRKGLSKISGGRSVPVQFFGTHDFARIKLKQVVSFLKGLLSSFHRKCKKPRFSRGLEEARLFLCEQKLPRRMLQLQNGIVVDGGEASSEDEGGKDSIDDHLKKDQGIQITLGGHGGSSYVIGGLQIINLGKVVKDSEYFQDDGIIWPEGYTAVRKFTSVKDPSVCTLYRMEVLRDPQSKNHPLFRVTSDEEKFEGPDPSACWNMIYERIRKRQNDSSDCKAGKGRLFEPGADMFGFSNPEVTKLIQGLSKSRLSSKFSAFKLASGRFRDLPAGYRPVRVDWKDLDKCSVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPLDGILWLCNLCRPGAPESPPSCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDVKRMEPIDGLNRISKDRWKLLCSICGVSYGACIQCSNPTCRVAYHPLCARAAGLCVELEDEDRLFLLSVDEDDEDQCIRLLSFCKKHRQPSNDRVASDERFGRIARRCSDYTPPLNPSGCARTEPYSHFGRRGRKEPEALAAASLKRLFVENQPYLVGGCCQHGMSGSTVPNNRVSGIKFSFSLNKLKAPQLDAPNNILSVAEKYDYMKQTFRRRLAFGKSGIHGFGIFAKHPHRAGDMVIEYTGELVRPSIADRREHFIYNSLVGAGTYLFRIDNERVIDATRAGSIAHLINHSCEPNCYSRVISVHGNEHIIIFAKRDIKRWEELTYDYRFFSIDERLACYCGFPRCRGVVNDTEAEEQVSKILVNRGELIQWTGE >KJB72815 pep chromosome:Graimondii2_0_v6:11:48052142:48060160:-1 gene:B456_011G199100 transcript:KJB72815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKYSWRFLALVFMVVIANFHGLNYAEKGIKFEEKQIVTFSHSDMPAPKYSFIQEATSAPEVSFYDYIVIGGGTAGCPLAATLSARDAKVLVLERGGSPYTNTTKIRMENVISTLTDDSPNSISQSFISEDRVPGNRARVLGGGTVINLGFYSRAETLFLKEAGIDEALANESYEWVEKKIVYKPGAFQWQTAVRNGLLEVGVLPDNGFTYAHLNGTKTSGTIFDKNGNRHTAADLLEYANPTMIKVYLHAVVHKITFTIKDGQRPKADGVIFYDANGGRHIAYLKHESNNRSEIILSAGAIGSPQLLMLSGIGPTARLRSLGIKVVLDQPMVGREMADKPLNGLIIPYPTHIPLSLATVVGINKTSNYFESLNGFDLSALSGSGGAQPQTSNPSQEAMANSTRNQAFLVQTIFGPISKGYLELQNTNASDNPKLRFNYFQAAVDLRRCVSGMQTVINVVNSDSLSRFRFRNMTTQDLINMTVNRAGNLRRRNPNATTSLEQYCIDTVMTFWHYHGGCQIGKVVDKDYKVLGLDQLRVIDASTFSFSPGTNPQAAIMMLGRSMGRRILQSRRR >KJB70948 pep chromosome:Graimondii2_0_v6:11:10679070:10687860:1 gene:B456_011G097000 transcript:KJB70948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFKEALKDFQQVKRICPNDPDATKKLKECEKAVMKLKFEEAISVPESERRSVADSIDYRTIKVEPQYLGSKIEGDVVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQMREMLQALPSLVDINVPDGSLLTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAIYLARGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCYLPLAHVINQKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPYPGRGPSKRGVGLSFGADVTRRFLQDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFQ >KJB70944 pep chromosome:Graimondii2_0_v6:11:10679070:10688032:1 gene:B456_011G097000 transcript:KJB70944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMENEGSDVSRAEEIKNQANEAFKAHRYGQAIDLYTQAIELNSQNAVYWANRSLAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKRICPNDPDATKKLKECEKAVMKLKFEEAISVPESERRSVADSIDYRTIKVEPQYLGSKIEGDVVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQMREMLQALPSLVDINVPDGSLLTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAIYLARGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCYLPLAHVINQKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPYPGRGPSKRGVGLSFGADVTRRFLQDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFQ >KJB70946 pep chromosome:Graimondii2_0_v6:11:10679015:10688145:1 gene:B456_011G097000 transcript:KJB70946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMENEGSDVSRAEEIKNQANEAFKAHRYGQAIDLYTQAIELNSQNAVYWANRSLAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKRICPNDPDATKKLKECEKAVMKLKFEEAISVPESERRSVADSIDYRTIKVEPQYLGSKIEGDVVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQMREMLQALPSLVDINVPDGSLLTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAIYLARGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCYLPLAHVINQKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPYPGRGPSKRGVGLSFGADVTRRFLQDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFQ >KJB70945 pep chromosome:Graimondii2_0_v6:11:10679070:10687860:1 gene:B456_011G097000 transcript:KJB70945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMENEGSDVSRAEEIKNQANEAFKAHRYGQAIDLYTQAIELNSQNAVYWANRSLAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKRICPNDPDATKKLKECEKAVMKLKFEEAISVPESERRSVADSIDYRTIKVEPQYLGSKIEGDVVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQMREMLQALPSLVDINVPDGSLLTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAIYLARGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCYLPLAHVINQKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPYPGRGPSKRGVGLSFGADVTRRFLQDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFQ >KJB70947 pep chromosome:Graimondii2_0_v6:11:10678987:10688207:1 gene:B456_011G097000 transcript:KJB70947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMENEGSDVSRAEEIKNQANEAFKAHRYGQAIDLYTQAIELNSQNAVYWANRSLAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKRICPNDPDATKKLKECEKAVMKLKFEEAISVPESERRSVADSIDYRTIKVEPQYLGSKIEGDVVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQMREMLQALPSLVDINVPDGSLLTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAIYLARGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCYLPLAHVINQKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPYPGRGPSKRGVGLSFGADVTRRFLQDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPDVKPMAYANNFLRMFQ >KJB70184 pep chromosome:Graimondii2_0_v6:11:5154642:5158289:-1 gene:B456_011G062500 transcript:KJB70184 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC22 [Source:Projected from Arabidopsis thaliana (AT1G11890) UniProtKB/TrEMBL;Acc:A0A178WBU4] MVKLTMIARVTDGLPLAEGLDDGRDLTDAEMYKQQVKALFKNLSKGHNEASRMSVETGPYVFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGAQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNISKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKARDLNRQALIRKWAPVAIVLGVVFLLFWVKAKLW >KJB70185 pep chromosome:Graimondii2_0_v6:11:5156364:5158274:-1 gene:B456_011G062500 transcript:KJB70185 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC22 [Source:Projected from Arabidopsis thaliana (AT1G11890) UniProtKB/TrEMBL;Acc:A0A178WBU4] MVKLTMIARVTDGLPLAEGLDDGRDLTDAEMYKQQVKALFKNLSKGHNEASRMSVETGPYVFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGAQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNISKLNDELYEVHQIMTRNVQEVLGVGEKLDRKRFLFLF >KJB71647 pep chromosome:Graimondii2_0_v6:11:22309218:22312665:1 gene:B456_011G141500 transcript:KJB71647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVVMIDYGGKMPELQENLCAFLEHCRKESTIFEQLRVMVIEDMIYLIHVKEMAEYVSSSLSSEVELLFVDLEQDPPKMRTLDDKSLLGMQLISIQKLFSLYFPLEGMRNDLLPPDRAEPMADSKSLSEQIGSQSSVLMDLSSCMHDTEVTVPTVNGWLLGYPIVYLFSKDHIEDAIYNLSTKFLRIYKILVSRTSAPNKGSQPEELMSFSVPYELSMRGRNEAWAETFLACLQSKWGRCKQTWSSLEMEVSECYPQAIAL >KJB71642 pep chromosome:Graimondii2_0_v6:11:22308390:22312665:1 gene:B456_011G141500 transcript:KJB71642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAEVEETLKVLDASLSLIKWRLKSSSKRRLQIDVLAVCTRMRPVVMIDYGGKMPELQENLCAFLEHCRKESTIFEQLRVMVIEDMIYLIHVKEMAEYVSSSLSSEVELLFVDLEQDPPKMRTLDDKSLLGMQLISIQKLFSLYFPLEGMRNDLLPPDRAEPMADSKSLSEQIGSQSSVLMDLSSCMHDTEVTVPTVNGWLLGYPIVYLFSKDHIEDAIYNLSTKFLRIYKILVSRTSAPNKGSQPEELMSFSVPYELSMRGRNEAWAETFLACLQSKWGRCKQTWSSLEMEVSECYPQAIAL >KJB71646 pep chromosome:Graimondii2_0_v6:11:22308480:22312665:1 gene:B456_011G141500 transcript:KJB71646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAEVEETLKVLDASLSLIKWRLKSSSKRRLQIDVLAVCTRMRPVVMIDYGGKMPELQENLCAFLEHCRKESTIFEQLRVMVIEDMIYLIHVKEMAEYVSSSLSSEVELLFVDLEQDPPKMRTLDDKSLLGMQLISIQKLFSLYFPLEGMRNDLLPPDRAEPMADSKSLSEQIGSQSSVLMDLSSCMHDTEVTVPTVNGWFLLLWYLTYDFDFYPVADGFLVIQ >KJB71645 pep chromosome:Graimondii2_0_v6:11:22308390:22312665:1 gene:B456_011G141500 transcript:KJB71645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAEVEETLKVLDASLSLIKWRLKSSSKRRLQIDVLAVCTRMRPVVMIDYGGKMPELQENLCAFLEHCRKLRVMVIEDMIYLIHVKEMAEYVSSSLSSEVELLFVDLEQDPPKMRTLDDKSLLGMQLISIQKLFSLYFPLEGMRNDLLPPDRAEPMADSKSLSEQIGSQSSVLMDLSSCMHDTEVTVPTVNGWLLGYPIVYLFSKDHIEDAIYNLSTKFLRIYKILVSRTSAPNKGSQPEELMSFSVPYELSMRGRNEAWAETFLACLQSKWGRCKQTWSSLEMEVSECYPQAIAL >KJB71648 pep chromosome:Graimondii2_0_v6:11:22308480:22312665:1 gene:B456_011G141500 transcript:KJB71648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEDMIYLIHVKEMAEYVSSSLSSEVELLFVDLEQDPPKMRTLDDKSLLGMQLISIQKLFSLYFPLEGMRNDLLPPDRAEPMADSKSLSEQIGSQSSVLMDLSSCMHDTEVTVPTVNGWLLGYPIVYLFSKDHIEDAIYNLSTKFLRIYKILVSRTSAPNKGSQPEELMSFSVPYELSMRGRNEAWAETFLACLQSKWGRCKQTWSSLEMEVSECYPQAIAL >KJB71643 pep chromosome:Graimondii2_0_v6:11:22308575:22310851:1 gene:B456_011G141500 transcript:KJB71643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAEVEETLKVLDASLSLIKWRLKSSSKRRLQIDVLAVCTRMRPVVMIDYGGKMPELQENLCAFLEHCRKESTIFEQLRVMVIEDMIYLIHVKEMAEYVSSSLSSEVELLFVDLEQDPPKMRTLDDKSLLGMQLISIQKLFSLYFPLEGMRNDLLPPDRAEPMADSKSLSEQIGSQSSVLMDLSSCMHDTEVTVPTVNGFLRKIYVIWTWV >KJB71644 pep chromosome:Graimondii2_0_v6:11:22308480:22311984:1 gene:B456_011G141500 transcript:KJB71644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAEVEETLKVLDASLSLIKWRLKSSSKRRLQIDVLAVCTRMRPVVMIDYGGKMPELQENLCAFLEHCRKESTIFEQLRVMVIEDMIYLIHVKEMAEYVSSSLSSEVELLFVDLEQDPPKMRTLDDKSLLGMQLISIQKLFSLYFPLEGMRNDLLPPDRAEPMADSKSLSEQIGSQSSVLMDLSSCMHDTEVTVPTVNGWLLGYPIVYLFSKDHIEDAIYNLSTKFLRIYKILVSRTSAPNKGSQPEELMR >KJB71641 pep chromosome:Graimondii2_0_v6:11:22308575:22310851:1 gene:B456_011G141500 transcript:KJB71641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAEVEETLKVLDASLSLIKWRLKSSSKRRLQIDVLAVCTRMRPVVMIDYGGKMPELQENLCAFLEHCRKESTIFEQLRVMVIEDMIYLIHVKEMAEYVSSSLSSEVELLFVDLEQDPPKMRTLDDKSLLGMQLISIQKLFSLYFPLEGMRNDLLPPDRAEPMADSKSLSEQIGSQSSVLMDLSSCMHDTEVTVPTVNGFLRKIYVIWTWV >KJB74291 pep chromosome:Graimondii2_0_v6:11:61792776:61799036:1 gene:B456_011G285600 transcript:KJB74291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTVGLELTSFIDPDWTWKTVSKRNRSATRRSRKLVADSLTFGKGLADKNARTLEDVSVSESEKVGVDVLGRRFSEKVENVPIKKRRFMFQSSSPPPPSTPNPHLEASAQHVDFQPAPDQDSGSGAVQGQQLRKSDCSAKSFVSSIDVEKISEVINGVDDFSGIEILAAAACSDSICNEVTENEGNPLVEEPTQEKIQFSASSIHLEETTASLEVACSFPKESVNESKSEGSSSQDNSFAVLREFPSDKDTTPESSVPLPDDRLLWDLNVSMDAWPCDGGNVDSKKDSIDDISVRSEELQTKEPHDIKNDATNTVVASDVGGGNKMTSDSRTMPFGTDDSSTEKQESQGCSGYDSQKDSFDNISVGSEESPAKEPQDVKNGTTNEVVSSDVDGTTNEVISSDVDGTTNEVVSSDVGGGNRMTSDIRTVPVETDDLSTEKQESQGCSSYDSPKDSFDTISVRSEELQAKEPQDIKDDNTNDVVSPDVDGGNRMTSDLRTLPVETDDLNGEKQDTEGCSGYDSQFEDGELRESDVQCWEEAEQVDYDTEFEEERSFGLEAESGEQELKVERGSNPELTGSFKCYEARESLRKNSVSLKIGTVEVSDGETMKIDCLDRSNYDLRVDLSKVSKREILSCVEGSLSTDVRSRFDNFNGLYPRAERGSGSDRFVGCDGSSSHMRVRSPGGAHFFNPSANYRDSKRQDPSIYHGPYGFGRPRPKSAFDNREYPMGADQAPSEAAGVPRTDHRITRQFTGSNRSLLRRRSPIERADSYGMFPRMPNVRDTSPDRNRFRRYPQGVSRGIRDQYLRHIPDDSSRYESRMPHCIDRRERSISPHGGRPHHTVPYKRARSRSRSRSPIDWLLHRDRNEGSRRRNRSPDFRSDARIDRVRLPFAKRFAAGYGEFISSPRSRVSPQRNSKIFEDRSNPGLDHFRERKSHMRMIQQDQRFDQVRPFRRLNSHDYFNPMIRPRRFPDRTTGGKGCKYETSDDGKHSSRYPMIHRVRCYDTDGGARRFRYNEEDSYMAKNSLTVTNSTGVSSRRPDDADAPRTASDDR >KJB74293 pep chromosome:Graimondii2_0_v6:11:61792776:61799036:1 gene:B456_011G285600 transcript:KJB74293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTVGLELTSFIDPDWTWKTVSKRNRSATRRSRKLVADSLTFGKGLADKNARTLEDVSVSESEKVGVDVLGRRFSEKVENVPIKKRRFMFQSSSPPPPSTPNPHLEASAQHVDFQPAPDQDSGSGAVQGQQLRKSDCSAKSFVSSIDVEKISEVINGVDDFSGIEILAAAACSDSICNEVTENEGNPLVEEPTQEKIQFSASSIHLEETTASLEVACSFPKESVNESKSEGSSSQDNSFAVLREFPSDKDTTPESSVPLPDDRLLWDLNVSMDAWPCDGGNVDSKKDSIDDISVRSEELQTKEPHDIKNDATNTVVASDVGGGNKMTSDSRTMPFGTDDSSTEKQESQGCSGYDSQKDSFDNISVGSEESPAKEPQDVKNGTTNEVVSSDVDGTTNEVISSDVDGTTNEVVSSDVGGGNRMTSDIRTVPVETDDLSTEKQESQGCSSYDSPKDSFDTISVRSEELQAKEPQDIKDDNTNDVVSPDVDGGNRMTSDLRTLPVETDDLNGEKQDTEGCSGYDSQFEDGELRESDVQCWEEAEQVDYDTEFEEERSFGLEAESGEQELKVERGSNPELTGSFKCYEARESLRKNSVSLKIGTVEVSDGETMKIDCLDRSNYDLRVDLSKVSKREILSCVEGSLSTDVRSRFDNFNGLYPRAERGSGSDRFVGCDGSSSHMRVRSPGGAHFFNPSANYRDSKRQDPSIYHGPYGFGRPRPKSAFDNREYPMGADQAPSEAAGVPRTDHRITRQFTGSNRSLLRRRSPIERADSYGMFPRMPNVRDTSPDRNRFRRYPQGVSRGIRDQYLRHIPDDSSRYESRMPHCIDRRERSISPHGGRPHHTVPYKRARSRSRSRSPIDWLLHRDRNEGSRRRNRSPDFRSDARIDRVRLPFAKRFAAGYGEFISSPRSRVSPQRNSKIFEDRSNPGLDHFRERKSHMRMIQQDQRFDQVRPFRRLNSHDYFNPMIRPRRFPDRTTGGKGCKYETSDDGKHSSRYPMIHRVRCYDTDGGARRFRYNEEDSYMAKNSLTVTNSTGVSSRRPDDADAPRTASDDR >KJB74292 pep chromosome:Graimondii2_0_v6:11:61792776:61799036:1 gene:B456_011G285600 transcript:KJB74292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTVGLELTSFIDPDWTWKTVSKRNRSATRRSRKLVADSLTFGKGLADKNARTLEDVSVSESEKVGVDVLGRRFSEKVENVPIKKRRFMFQSSSPPPPSTPNPHLEASAQHVDFQPAPDQDSGSGAVQGQQLRKSDCSAKSFVSSIDVEKISEVINGVDDFSGIEILAAAACSDSICNEVTENEGNPLVEEPTQEKIQFSASSIHLEETTASLEVACSFPKESVNESKSEGSSSQDNSFAVLREFPSDKDTTPESSVPLPDDRLLWDLNVSMDAWPCDGGNVDSKKDSIDDISVRSEELQTKEPHDIKNDATNTVVASDVGGGNKMTSDSRTMPFGTDDSSTEKQESQGCSGYDSQKDSFDNISVGSEESPAKEPQDVKNGTTNEVVSSDVDGTTNEVISSDVDGTTNEVVSSDVGGGNRMTSDIRTVPVETDDLSTEKQESQGCSSYDSPKDSFDTISVRSEELQAKEPQDIKDDNTNDVVSPDVDGGNRMTSDLRTLPVETDDLNGEKQDTEGCSGYDSQFEDGELRESDVQCWEEAEQVDYDTEFEEERSFGLEAESGEQELKVERGSNPELTGSFKCYEARESLRKNSVSLKIGTVEVSDGETMKIDCLDRSNYDLRVDLSKVSKREILSCVEGSLSTDVRSRFDNFNGLYPRAERGSGSDRFVGCDGSSSHMRVRSPGGAHFFNPSANYRDSKRQDPSIYHGPYGFGRPRPKSAFDNREYPMGADQAPSEAAGVPRTDHRITRQFTGSNRSLLRRRSPIERADSYGMFPRMPNVRDTSPDRNRFRRYPQGVSRGIRDQYLRHIPDDSSRYESRMPHCIDRRERSISPHGGRPHHTVPYKRARSRSRSRSPIDWLLHRDRNEGSRRRNRSPDFRSDARIDRVRLPFAKRFAAGYGEFISSPRSRVSPQRNSKIFEDRSNPGLDHFRERKSHMRMIQQDQRFDQVRPFRRLNSHDYFNPMIRPRRFPDRTTGGKGCKYETSDDGKHSSRYPMIHRVRCYDTDGGARRFRYNEEDSYMAKNSLTVTNSTGVSSRRPDDADAPRTASDDR >KJB74290 pep chromosome:Graimondii2_0_v6:11:61792776:61799036:1 gene:B456_011G285600 transcript:KJB74290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTVGLELTSFIDPDWTWKTVSKRNRSATRRSRKLVADSLTFGKGLADKNARTLEDVSVSESEKVGVDVLGRRFSEKVENVPIKKRRFMFQSSSPPPPSTPNPHLEASAQHVDFQPAPDQDSGSGAVQGQQLRKSDCSAKSFVSSIDVEKISEVINGVDDFSGIEILAAAACSDSICNEVTENEGNPLVEEPTQEKIQFSASSIHLEETTASLEVACSFPKESVNESKSEGSSSQDNSFAVLREFPSDKDTTPESSVPLPDDRLLWDLNVSMDAWPCDGGNVDSKKDSIDDISVRSEELQTKEPHDIKNDATNTVVASDVGGGNKMTSDSRTMPFGTDDSSTEKQESQGCSGYDSQKDSFDNISVGSEESPAKEPQDVKNGTTNEVVSSDVDGTTNEVISSDVDGTTNEVVSSDVGGGNRMTSDIRTVPVETDDLSTEKQESQGCSSYDSPKDSFDTISVRSEELQAKEPQDIKDDNTNDVVSPDVDGGNRMTSDLRTLPVETDDLNGEKQDTEGCSGYDSQFEDGELRESDVQCWEEAEQVDYDTEFEEERSFGLEAESGEQELKVERGSNPELTGSFKCYEARESLRKNSVSLKIGTVEVSDGETMKIDCLDRSNYDLRVDLSKVSKREILSCVEGSLSTDVRSRFDNFNGLYPRAERGSGSDRFVGCDGSSSHMRVRSPGGAHFFNPSANYRDSKRQDPSIYHGPYGFGRPRPKSAFDNREYPMGADQAPSEAAGVPRTDHRITRQFTGSNRSLLRRRSPIERADSYGMFPRMPNVRDTSPDRNRFRRYPQGVSRGIRDQYLRHIPDDSSRYESRMPHCIDRRERSISPHGGRPHHTVPYKRARSRSRSRSPIDWLLHRDRNEGSRRRNRSPDFRSDARIDRVRLPFAKRFAAGYGEFISSPRSRVSPQRNSKIFEDRSNPGLDHFRERKSHMRMIQQDQRFDQVRPFRRLNSHDYFNPMIRPRRFPDRTTGGKGCKYETSDDGKHSSRYPMIHRVRCYDTDGGARRFRYNEEDSYMAKNSLTVTNSTGVSSRRPDDADAPRTASDDR >KJB69378 pep chromosome:Graimondii2_0_v6:11:1528040:1530430:1 gene:B456_011G021700 transcript:KJB69378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVVITTAGGPEVLQLQQVNDPEIKDDEILIKVEATALNRADTFQRNGSYPPPKGASPYLGLECSGTIQAVGKDVSRWKVGDQVCALLNGGGYAEKVAVHGGHVLPIPPGVSLKDAAGLPEVACTVWSTVFMMSRLSAGETFLVHGGSSGIGTFAIQIAKNKGATVLVTAGSEEKLAFCKKLGADVCINYKREDFVARAKEETGGKGVDVILDCIGASYLQRNLDSLNFDGRLFIIGFQGGAVTEIKLNTLLPKRLTVQGAALRPRSTENKAMVVSEVEKNVWPAVAAGKVKPIIYKSFPLSEAGEAHRLMESSEHIGKILLVP >KJB69379 pep chromosome:Graimondii2_0_v6:11:1528570:1530397:1 gene:B456_011G021700 transcript:KJB69379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVCALLNGGGYAEKVAVHGGHVLPIPPGVSLKDAAGLPEVACTVWSTVFMMSRLSAGETFLVHGGSSGIGTFAIQIAKNKGATVLVTAGSEEKLAFCKKLGADVCINYKREDFVARAKEETGGKGVDVILDCIGASYLQRNLDSLNFDGRLFIIGFQGGAVTEIKLNTLLPKRLTVQGAALRPRSTENKAMVVSEVEKNVWPAVAAGKVKPIIYKSFPLSEAGEAHRLMESSEHIGKILLVP >KJB68959 pep chromosome:Graimondii2_0_v6:11:61233:70524:-1 gene:B456_011G000800 transcript:KJB68959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSVLLREWFDRVDSGKTGSITATQLKSAFAIGNLNFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLVKVQQAFSDLERNRGFLATNDVYEAISKIGFVLDSPAFYTACESFDQKKNGRLHLDDFISLCIFLQSARNMFNAFDTGKQGRVTLDLNQFVYCTTRLTTDNACGSAMASRMVSVPAVQTHISLDFETFVFKKEKVSLAGQDEYIVRGGRDLFKLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLADAKSDIIVKVGLRKGSRSFDEARAAGFSEENGTLGDIWETISGSDLVLLLISDAAQADNYEKIFSYMKPNSILGLSHGFLLGHLQSKGLDFPKNISVIAVCPKGMGPSVRRLYVQGREINGAGINSSFGVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEDLAYKNTVECITGIISKTISTQVGMLAVYNSLSEEGKREFETAYSASYYPCMDILYECYEDVASGSEIRSVVLAGQRFYEKDGLPAFPMGKIDQTRMWKVGERVRKARPSGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDKGTPINQDLLSNFLSDPVHGAIEVCAQLRPTVDISVTPDADFVRPELRQSGN >KJB68956 pep chromosome:Graimondii2_0_v6:11:61233:65219:-1 gene:B456_011G000800 transcript:KJB68956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTFSPSFSTPSLNSSSRAHKTLTNPNLGFLASVSRSLRSLKATRLTTDNACGSAMASRMVSVPAVQTHISLDFETFVFKKEKVSLAGQDEYIVRGGRDLFKLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLADAKSDIIVKVGLRKGSRSFDEARAAGFSEENGTLGDIWETISGSDLVLLLISDAAQADNYEKIFSYMKPNSILGLSHGFLLGHLQSKGLDFPKNISVIAVCPKGMGPSVRRLYVQGREINGAGINSSFGVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEDLAYKNTVECITGIISKTISTQGMLAVYNSLSEEGKREFETAYSASYYPCMDILYECYEDVASGSEIRSVVLAGQRFYEKDGLPAFPMGKIDQTRMWKVGERVRKARPSGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDKGTPINQDLLSNFLSDPVHGAIEVCAQLRPTVDISVTPDADFVRPELRQSGN >KJB68960 pep chromosome:Graimondii2_0_v6:11:61233:70524:-1 gene:B456_011G000800 transcript:KJB68960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSVLLREWFDRVDSGKTGSITATQLKSAFAIGNLNFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLVKVQQAFSDLERNRGFLATNDVYEAISKIGFVLDSPAFYTACESFDQKKNGRLHLDDFISLCIFLQSARNMFNAFDTGKQGRVTLDLNQFVYCTTRLTTDNACGSAMASRMVSVPAVQTHISLDFETFVFKKEKVSLAGQDEYIVRGGRDLFKLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLADAKSDIIVKVGLRKGSRSFDEARAAGFSEENGTLGDIWETISGSDLVLLLISDAAQADNYEKIFSYMKPNSILGLSHGFLLGHLQSKGLDFPKNISVIAVCPKGMGPSVRRLYVQGREINGAGINSSFGVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEDLAYKNTVECITGIISKTISTQGMLAVYNSLSEEGKREFETAYSASYYPCMDILYECYEDVASGSEIRSVVLAGQRFYVKGWSPCFSNGKN >KJB68958 pep chromosome:Graimondii2_0_v6:11:61233:70524:-1 gene:B456_011G000800 transcript:KJB68958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSVLLREWFDRVDSGKTGSITATQLKSAFAIGNLNFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLVKVQQAFSDLERNRGFLATNDVYEAISKIGFVLDSPAFYTACESFDQKKNGRLHLDDFISLCIFLQSARNMFNAFDTGKQGRVTLDLNQFVYCTTRLTTDNACGSAMASRMVSVPAVQTHISLDFETFVFKKEKVSLAGQDEYIVRGGRDLFKLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLADAKSDIIVKVGLRKGSRSFDEARAAGFSEENGTLGDIWETISGSDLVLLLISDAAQADNYEKIFSYMKPNSILGLSHGFLLGHLQSKGLDFPKNISVIAVCPKGMGPSVRRLYVQGREINGAGINSSFGVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEDLAYKNTVECITGIISKTISTQGMLAVYNSLSEEGKREFETAYSASYYPCMDILYECYEDVASGSEIRSVVLAGQRFYEKDGLPAFPMGKIDQTRMWKVGERVRKARPSGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDKGTPINQDLLSNFLSDPVHGAIEVCAQLRPTVDISVTPDADFVRPELRQSGN >KJB68961 pep chromosome:Graimondii2_0_v6:11:61233:70592:-1 gene:B456_011G000800 transcript:KJB68961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSVLLREWFDRVDSGKTGSITATQLKSAFAIGNLNFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLVKVQQAFSDLERNRGFLATNDVYEAISKIGFVLDSPAFYTACESFDQKKNGRLHLDDFISLCIFLQSARNMFNAFDTGKQGRVTLDLNQFVYCTMASRMVSVPAVQTHISLDFETFVFKKEKVSLAGQDEYIVRGGRDLFKLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLADAKSDIIVKVGLRKGSRSFDEARAAGFSEENGTLGDIWETISGSDLVLLLISDAAQADNYEKIFSYMKPNSILGLSHGFLLGHLQSKGLDFPKNISVIAVCPKGMGPSVRRLYVQGREINGAGINSSFGVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEDLAYKNTVECITGIISKTISTQGMLAVYNSLSEEGKREFETAYSASYYPCMDILYECYEDVASGSEIRSVVLAGQRFYEKDGLPAFPMGKIDQTRMWKVGERVRKARPSGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDKGTPINQDLLSNFLSDPVHGAIEVCAQLRPTVDISVTPDADFVRPELRQSGN >KJB68957 pep chromosome:Graimondii2_0_v6:11:62063:70474:-1 gene:B456_011G000800 transcript:KJB68957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSVLLREWFDRVDSGKTGSITATQLKSAFAIGNLNFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLVKVQQAFSDLERNRGFLATNDVYEAISKIGFVLDSPAFYTACESFDQKKNGRLHLDDFISLCIFLQSARNMFNAFDTGKQGRVTLDLNQFVYCTTRLTTDNACGSAMASRMVSVPAVQTHISLDFETFVFKKEKVSLAGQDEYIVRGGRDLFKLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLADAKSDIIVKVGLRKGSRSFDEARAAGFSEENGTLGDIWETISGSDLVLLLISDAAQADNYEKIFSYMKPNSILGLSHGFLLGHLQSKGLDFPKNISVIAVCPKGMGPSVRRLYVQGREINGAGINSSFGVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEDLAYKNTVECITGIISKTISTQGMLAVYNSLSEEGKREFETAYSASYYPCMDILYECYEDVASGSEIRSVVLAGQRFYEKDGLPAFPMGKIDQTRMWKVGERVRKARPSGDLGPLYPFTAGVYVALMMAQV >KJB72491 pep chromosome:Graimondii2_0_v6:11:42903380:42904942:1 gene:B456_011G181300 transcript:KJB72491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGFEGFEKRLELHFFGDIDDDDGNNMLGLRLLDFESLEQVLLAVQCTVVSAVGNPFFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSIPPLIHFANNLGLSLQTCSYTRGNFIFPKSQPFPHTSFQEEVIYIEHNIPNNLCYRKASVMPSKLPSHSWHVFTATLLPPLKSPHHATFTVEVCMTELDRLIARKFFSRDSKTGDLAGRDMTELTGIDTINAGAFICDFAFDPCGYSMNGIDGDRYSTIHVTPEDGFSYASFECVGSVYDDPDDIVEILKKAVRVFMPATVSISTTRCSREVWTKMARALQPLGLKCRSFVMDEFPAAGTISYQTFTAAHRK >KJB69007 pep chromosome:Graimondii2_0_v6:11:213489:214501:-1 gene:B456_011G002500 transcript:KJB69007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTGPASFPIPTAKTISATDIIIDFGKHKGKMLGTLPSNYLRWVSKNLRAGNYERWAKLADQVLEDPVYKDRIEWEFAENVLSGNNAKGITTNDESSVSLLLEISERFGWDNEDKDGWSKVKFELLGTSNGGRLPRIGGNNGGNDNGGIREGKEGKKVRCEDGVLGDKRMERRERMRLKKERENIRNKKSWGGSGGDCAGGNVRLERNQGSGKDQMVESFNRFPGREALLKKKQFRTNIELID >KJB70795 pep chromosome:Graimondii2_0_v6:11:9930809:9933585:1 gene:B456_011G091500 transcript:KJB70795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTELLRLASLSLLLALVVQPHEAYGSTRGSFLSNDGSAAVSGICATSVTIHGYKCQEHEVKTKDGYILSMQRIPERRFEGNGNAGTKKQPILIQHGVLVDGMTWLLNSPQQNLPMILADNGFDVWIANTRGTRFCRKHVSLDSAQPEFWNWSWDELVSFDLPAVFDFVFNQTQQKIHYIGHSLGTLIGLASFSEGHQADTLKSAAFLSPIAYLSHMNTALGMVAAKAFVGEITTWFGVAEFNPKGQKASAFLKRLCNYPGVDCYDLLTAITGKNCCLNFSTVDLFIKNEPQSTATKNMVHLAQTVRDGVIAKYNYGRPDYNRMHYGEAKPPVYNISAIPRNLPIFISYGGQDALSDVKDVLLLLDSLKFHDEDKLMVQFIKDYAHADFIMGTNAKDIVYNQVLRFFKNQQ >KJB70794 pep chromosome:Graimondii2_0_v6:11:9930809:9933585:1 gene:B456_011G091500 transcript:KJB70794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTELLRLASLSLLLALVVQPHEAYGSTRGSFLSNDGSAAVSGICATSVTIHGYKCQEHEVKTKDGYILSMQRIPERRFEGNGNAGTKKQPILIQHGVLVDGMTWLLNSPQQNLPMILADNGFDVWIANTRGTRFCRKHVSLDSAQPEFWNWSWDELVSFDLPAVFDFVFNQTQQKIHYIGHSLGTLIGLASFSEGHQADTLKSAAFLSPIAYLSHMNTALGMVAAKAFVGEITTWFGVAEFNPKGQKASAFLKRLCNYPGVDCYDLLTAITAVRDGVIAKYNYGRPDYNRMHYGEAKPPVYNISAIPRNLPIFISYGGQDALSDVKDVLLLLDSLKFHDEDKLMVQFIKDYAHADFIMGTNAKDIVYNQVLRFFKNQQ >KJB71928 pep chromosome:Graimondii2_0_v6:11:24033329:24034024:1 gene:B456_011G147700 transcript:KJB71928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTHISKQATIYATQKETYKFMLTRLDRLNLVHVFLQSLFSLNSLTNISRLTVFTTSRFATYKARFHNFKHLNVNVFGTQLGASNFKGLEFGED >KJB69834 pep chromosome:Graimondii2_0_v6:11:3376410:3377525:-1 gene:B456_011G045000 transcript:KJB69834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGKGFQFELYLQGEPLIPGLPDDVALNCLLRLPVECHTACKAVCKRWHFLLGNKERFFTSRKELGFKDPWLFVLAFQKCTGEIEWQVLDLTNFSWHSIPTMPCKDNICPHGISCVSFPSDGALFVCGGMMASDVDSPLDLVFKYEIQKNNWTVMKKMNTARSFFASGVINGMIYVAGGNSADLFELDSAEVMDPAIGNWHPVASMGTNMASYDSAVFNGKLLVTEGWLWPFFVSPRGRVYDPITNTWENMALGLREGWTGSSVVVYGHLFVVSEHERMKLKVYYPDSDSWETTQGPPLPEQICKPFAVNAYDNIIYVIGRYLHVAMGYISKRNETGSSENKWRFSVEWQVIDGPKLSDLTPSTSQVLFA >KJB69833 pep chromosome:Graimondii2_0_v6:11:3376081:3378197:-1 gene:B456_011G045000 transcript:KJB69833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGKGFQFELYLQGEPLIPGLPDDVALNCLLRLPVECHTACKAVCKRWHFLLGNKERFFTSRKELGFKDPWLFVLAFQKCTGEIEWQVLDLTNFSWHSIPTMPCKDNICPHGISCVSFPSDGALFVCGGMMASDVDSPLDLVFKYEIQKNNWTVMKKMNTARSFFASGVINGMIYVAGGNSADLFELDSAEVMDPAIGNWHPVASMGTNMASYDSAVFNGKLLVTEGWLWPFFVSPRGRVYDPITNTWENMALGLREGWTGSSVVVYGHLFVVSEHERMKLKVYYPDSDSWETTQGPPLPEQICKPFAVNAYDNIIYVIGRYLHVAMGYISKRNETGSSENKWRFSVEWQVIDGPKLSDLTPSTSQVLFA >KJB69832 pep chromosome:Graimondii2_0_v6:11:3371561:3377780:-1 gene:B456_011G045000 transcript:KJB69832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGKGFQFELYLQGEPLIPGLPDDVALNCLLRLPVECHTACKAVCKRWHFLLGNKERFFTSRKELGFKDPWLFVLAFQKCTGEIEWQVLDLTNFSWHSIPTMPCKDNICPHGISCVSFPSDGALFVCGGMMASDVDSPLDLVFKYEIQKNNWTVMKKMNTARSFFASGVINGMIYVAGGNSADLFELDSAEVMDPAIGNWHPVASMGTNMASYDSAVFNGKLLVTEGWLWPFFVSPRGRVYDPITNTWENMALGLREGWTGSSVVVYGHLFVVSEHERMKLKVYYPDSDSWETTQGPPLPEQICKPFAVNAYDNIIYVIGRYLHVAMGYISKRNETGSSENKWRFSVEWQVIDGPKLSDLTPSTSQRERIKREGADGSNNKRGDSHSGSDIGMDSNRDRLQALPGERA >KJB72464 pep chromosome:Graimondii2_0_v6:11:42323424:42323663:1 gene:B456_011G180000 transcript:KJB72464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMKMQTTRTWTERPYEASLFLGIGFELFLRSLRGRRRRPPSGGLEPSLRYDSKRARIITIFQDLRANGQSQVDSFYGV >KJB69140 pep chromosome:Graimondii2_0_v6:11:569884:574434:-1 gene:B456_011G007600 transcript:KJB69140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPAQDDALKKLEYLSLVSKVSSELESHVGFADKVLAEFITDMGRHSNTVDEFDAKLKENGAELPDYFVRTLLTIIHAILPPKPKAADKDSKAENTGDGKKSKFKALAIADDKDRAKELEEEIEMEMRDRKDKDRDRDRDRDKRDRDRHRDRDRGRDRSRHRDRYKEEEEEEDRRDYGIRGRNRNRTRDNEDDRDYRNRGRNRDRDNVERDEDGGRRSNGKYRDNEPELYKVYKGRVSRVMDSGCFVQLNELRGKEGLVHVSQMASRRIPNAKDVVKRDQEVYVKVISISGQKLSLSMRDVDQNTGRDLLPLKKSSDDDAFRTNPSGGKEGPVTRTGLSGIRILEDEDAAPSRRPLKRMSSPERWEAKQLIASGVLSLDEYPMYDEDGDGMLYQEEGAEEELEIEMNEDEPAFLQGQTRYSVDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELVQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILIDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIFYVVDPGFAKQNVYNPKQGLDSLIITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGTITLQMKAMGINDLLSFDFMDPPPPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVVDPKWLVELAPRFFKVADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >KJB70054 pep chromosome:Graimondii2_0_v6:11:4437119:4440513:1 gene:B456_011G056300 transcript:KJB70054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIPPSRSRRLTADFLWPDLKKSGLKKGSGKRYSKPVIDLGDDFETDFQEFKDEESDIDDYDVDDVLADVKPFAFNARTKPASAVSHGSNSEKSMQFDGQAEKCGKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPNETPRTSPKHAVKTNSQKPLSKSNLSPVQPNLNQNYNYLNQPEQEYFDTMGFVEEKPSVNQFAYVDPVPMSIDAGFNQSDNAPLYFNSDQGSNSINCSDYGWGEQGAKTPEISSILEASVEGDEFLEDANPSKKLKPSSDNVMPAEDNSAKTLSDELLALDNQMKYFQMPPFIEGNWDATIDAFLNGDATQDGGNPMDLWNFDDFPTMEEGVF >KJB70056 pep chromosome:Graimondii2_0_v6:11:4437110:4441246:1 gene:B456_011G056300 transcript:KJB70056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIPPSRSRRLTADFLWPDLKKSGLKKGSGKRYSKPVIDLGDDFETDFQEFKDEESDIDDYDVDDVLADVKPFAFNARTKPASAVSHGSNSEKSMQFDGQAEKCGKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPNETPRTSPKHAVKTNSQKPLSKSNLSPVQPNLNQNYNYLNQPEQEYFDTMGFVEEKPSVNQFAYVDPVPMSIDAGFNQSDNAPLYFNSDQGSNSINCSDYGWGEQGAKTPEISSILEASVEGDEFLEDANPSKKLKPSSDNVMPAEDNSAKTLSDELLALDNQMKYFQMPPFIEGNWDATIDAFLNGDATQDGGNPMDLWNFDDFPTMEEGVF >KJB70055 pep chromosome:Graimondii2_0_v6:11:4437119:4440017:1 gene:B456_011G056300 transcript:KJB70055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIPPSRSRRLTADFLWPDLKKSGLKKGSGKRYSKPVIDLGDDFETDFQEFKDEESDIDDYDVDDVLADVKPFAFNARTKPASAVSHGSNSEKSMQFDGQAEKCGKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPNETPRTSPKHAVKTNSQKPLSKSNLSPVQPNLNQNYNYLNQPEQEYFDTMGFVEEKPSVNQFAYVDPVPMSIDAGFNQSDNAPLYFNSDQGSNSINCSDYGWGEQGAKTPEISSILEASVEGDEFLEDANPSKKLKPSSDNVMPAEDNSAKTLSDELLALDNQMKYFQMPPFIEGNWDATIDAFLNGDATQDGGNPMDLWNFDDFPTMEEGVF >KJB70053 pep chromosome:Graimondii2_0_v6:11:4437119:4440017:1 gene:B456_011G056300 transcript:KJB70053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIPPSRSRRLTADFLWPDLKKSGLKKGSGKRYSKPVIDLGDDFETDFQEFKDEESDIDDYDVDDVLADVKPFAFNARTKPASAVSHGSNSEKSMQFDGQAEKCGKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPNETPRTSPKHAVKTNSQKPLSKSNLSPVQPNLNQNYNYLNQPEQEYFDTMGFVEEKPSVNQFAYVDPVPMSIDAGFNQSDNAPLYFNSDQGSNSINCSDYGWGEQGAKTPEISSILEASVEGDEFLEDANPSKKLKPSSDNVMPAEDNSAKTLSDELLALDNQMKYFQMPPFIEGNWDATIDAFLNGDATQDGGNPMDLWNFDDFPTMEEGVF >KJB71308 pep chromosome:Graimondii2_0_v6:11:15778071:15779362:-1 gene:B456_011G117800 transcript:KJB71308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGRNLTHGDNFAAKRMRKLTQRRAVDYTSTVVRYMQGLPELLGIRTNIGLKRMGELDPKTFHDTCKSRFPPDEAEIQATTLYSSWQENLKNPDWHPIFRRN >KJB71312 pep chromosome:Graimondii2_0_v6:11:15778071:15779362:-1 gene:B456_011G117800 transcript:KJB71312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGRNLTHGDNFAAKRMRKLTQRRAVDYTSTVVRYMQFGPMPIDFVFITIQLLPTLSWLIQGLPELLGIRTNIGLKRMGELDPKTFHDTCKSRFPPDEAEIQATTLYSSWQENLKNPDWHPIFRRN >KJB71311 pep chromosome:Graimondii2_0_v6:11:15778071:15779362:-1 gene:B456_011G117800 transcript:KJB71311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLAHGDNFAAKRMRKLTQRRAVDYTSTVVRYMQFGPMPIDFVFITIQLLPTLSWLIQGLPELLGIRTNIGLKRMGELDPKTFHDTCKSRFPPDEAEIQATTLYSSWQENLKNPDWHPIFRRN >KJB71313 pep chromosome:Graimondii2_0_v6:11:15778271:15779362:-1 gene:B456_011G117800 transcript:KJB71313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLAHGDNFAAKRMRKLTQRRAVDYTSTVVRYMQFGPMPIDFVFITIQLLPTLSWLIQVLPTLSWLKTELRLPKPWITMQTQATGHKINVLFLL >KJB71307 pep chromosome:Graimondii2_0_v6:11:15777723:15780706:-1 gene:B456_011G117800 transcript:KJB71307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLAHGDNFAAKRMRKLTQRRAVDYTSTVVRYMQGLPELLGIRTNIGLKRMGELDPKTFHDTCKSRFPPDEAEIQATTLYSSWQENLKNPDWHPIFRRN >KJB71310 pep chromosome:Graimondii2_0_v6:11:15777723:15780706:-1 gene:B456_011G117800 transcript:KJB71310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGRNLTHGDNFAAKRMRKLTQRRAVDYTSTVVRYMQGLPELLGIRTNIGLKRMGELDPKTFHDTCKSRFPPDEAEIQATTLYSSWQENLKNPDWHPIFRRN >KJB71303 pep chromosome:Graimondii2_0_v6:11:15778271:15779362:-1 gene:B456_011G117800 transcript:KJB71303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLAHGDNFAAKRMRKLTQRRAVDYTSTVVRYMQFGPMPIDFVFITIQLLPTLSWLIQVLPTLSWLKTELRLPKPWITMQTQATGHKINVLFLL >KJB71309 pep chromosome:Graimondii2_0_v6:11:15778071:15779362:-1 gene:B456_011G117800 transcript:KJB71309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLAHGDNFAAKRMRKLTQRRAVDYTSTVVRYMQFGPMPIDFVFITIQLLPTLSWLIQGLPELLGIRTNIGLKRMGELDPKTFHDTCKSRFPPDEAEIQATTLYSSWQENLKNPDWHPIFRRN >KJB71304 pep chromosome:Graimondii2_0_v6:11:15778271:15779362:-1 gene:B456_011G117800 transcript:KJB71304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGRNLTHGDNFAAKRMRKLTQRRAVDYTSTVVRYMQFGPMPIDFVFITIQLLPTLSWLIQVLPTLSWLKTELRLPKPWITMQTQATGHKINVLFLL >KJB71306 pep chromosome:Graimondii2_0_v6:11:15777723:15780706:-1 gene:B456_011G117800 transcript:KJB71306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLAHGDNFAAKRMRKLTQRRAVDYTSTVVRYMQGLPELLGIRTNIGLKRMGELDPKTFHDTCKSRFPPDEAEIQATTLYSSWQENLKNPDWHPIFRRN >KJB71305 pep chromosome:Graimondii2_0_v6:11:15778271:15779362:-1 gene:B456_011G117800 transcript:KJB71305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGRNLTHGDNFAAKRMRKLTQRRAVDYTSTVVRYMQFGPMPIDFVFITIQLLPTLSWLIQVLPTLSWLKTELRLPKPWITMQTQATGHKINVLFLL >KJB71083 pep chromosome:Graimondii2_0_v6:11:12104084:12106151:1 gene:B456_011G104800 transcript:KJB71083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVKQDNRRQLCARQDQKETEDACRFAEQNAAAQRYSVEVLQVLIVFKFLVFLFIKFPLKHTLLRHLNSRICYLCILFCLQVEV >KJB71081 pep chromosome:Graimondii2_0_v6:11:12103118:12106151:1 gene:B456_011G104800 transcript:KJB71081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVKQDNRRQLCARILMRFEQDQKETEDACRFAEQNAAAQRYSVEVLQVLIVFKFLVFLFIKFPLKHTLLRHLNSRICYLCILFCLQVEV >KJB71082 pep chromosome:Graimondii2_0_v6:11:12102705:12106161:1 gene:B456_011G104800 transcript:KJB71082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVKQDNRRQLCARILMRFEQDQKETEDACRFAEQNAAAQRYSVEVLQVLIVFKFLVFLFIKFPLKHTLLRHLNSRICYLCILFCLQVEV >KJB71196 pep chromosome:Graimondii2_0_v6:11:13034187:13037377:-1 gene:B456_011G109600 transcript:KJB71196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWMASHIRTKQSKWMEQNLHDMEEKVSQVLKLIEEDGDSFMQKAEMYYKKRPEIISFVEEFFRGYRALADRYDHLSTELQNANNTIAAVCPDQVRFGIDDYDDDDCAPRPRKPSNNMCKVPKVPKLPVKELKFITNTKKKVQEKKATKAATVVPKSGLTKEEGIGNIDKLQKRILALQTEKEFVKSSYESGLAKYWEVENEIKEMQEKVDTLQDEFGEGKLIDDDEARNLMAATALKSCKDTLDHLQKTQERAVVEAEVEQNRINDARGKLDALKKVLLSNESAAEVSRIAEERAKKMEAKAVNMVEQRKEEMEPKDGDDDDIIDMAEKIDVLVNKVTSLETTVSSQTALIQRLRLENDELLALIQSLEDGKKDNLMDRNHDMKKLTEMEIKLLGVQDLNHSVEDRSNNLQSHLNAAHSNLDELSKKVKPVEDLETSKSLPASAKKETKEKPKRAKAGKEFLTPKPASSPVEIKTEKSSEVESEKRSEEHVQVPTPTATAMADESKAISSVPKSVEKADEPKAVSSVPKSVEEVNEPKAVSSVPKSAEEANKPRAVSSVPKSAEEGSVSRESSKVSDDYEELKAMAKVVGQASSLIANTVPKSDSKEHEYAELSRCLEETKKKLLELEANHQNELFELTLQLKELKNSNSKKDEEIRSLRLKVSRNQSGIAKTNNADQSEEFECIPASATTSAIPAEEKEVKEVKEVKQEKEKKEVALGQLLDSEKSAVEERFRTNIDELLEENLDFWFRFSSTLYEVQKYQTGVKDLESEVLKLEERSENQEGSSTSKYSVKSDVRPLYKHLREIQTELSLWVEKSMSLKEELKNRFTSLCEIQEEITKALKASAEDEEFRFTSFQAAKFQGEILNMKQENNRVGDELQAGLDLAAALQRDAEKALTKLSEDWGVQGSKSRHSSGQQDPRSNVPLRSFIFGVKQKKQKTSIFSVVQGQRKYHKSGNR >KJB73464 pep chromosome:Graimondii2_0_v6:11:55220464:55225193:1 gene:B456_011G234000 transcript:KJB73464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTESSYVSSPEAARKRSSPPPRSPTSENGEKATYIRFLVSNAAAGSVIGKGGSTITDFQSRSGARIQLSRNHEFFPGTSDRIIMVSGTVDEVLKVMELILAKLLNELNIEENDDVEPRTKVRLVVPNSSCGSIIGKGGATIKSFIEESQAGIKISPQDNNFYGLNDRLVTLTGTLDEQMRAIELILSKLSEDPHYSQAMHAPFSYAGVLFSGFHGIPHAYVLPSMGTATYNSMSYAPNGAGGKFPNPKEDRSKSITIGVSDGHIGLVLGRGGRNIMEISQASGARIKISDRGDFMSGTTDRKVTITGSQRAIQQAETMIMQKVANTTKG >KJB73465 pep chromosome:Graimondii2_0_v6:11:55220552:55225172:1 gene:B456_011G234000 transcript:KJB73465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTESSYVSSPEAARKRSSPPPRSPTSENGEKATYIRFLVSNAAAGSVIGKGGSTITDFQSRSGARIQLSRNHEFFPGTSDRIIMVSGTVDEVLKVMELILAKLLNELNIEENDDVEPRTKVRLVVPNSSCGSIIGKGGATIKSFIEESQAGIKISPQDNNFYGLNDRLVTLTGTLDEQMRAIELILSKLSEDPHYSQAMHAPFSYAATYNSMSYAPNGAGGKFPNPKEDRSKSITIGVSDGHIGLVLGRGGRNIMEISQASGARIKISDRGDFMSGTTDRKVTITGSQRAIQQAETMIMQKVANTTKG >KJB73463 pep chromosome:Graimondii2_0_v6:11:55220526:55225172:1 gene:B456_011G234000 transcript:KJB73463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTESSYVSSPEAARKRSSPPPRSPTSENGEKATYIRFLVSNAAAGSVIGKGGSTITDFQSRSGARIQLSRNHEFFPGTSDRIIMVSGTVDEVLKVMELILAKLLNELNIEENDDVEPRTKVRLVVPNSSCGSIIGKGGATIKSFIEESQAGIKISPQDNNFYGLNDRLVTLTGTLDEQMRAIELILSKLSEDPHYSQAMHAPFSYAGVLFSGFHGIPHAYVLPSMGTATYNSMSYAPNGAGGKFPNPKEDRSKSITIGVSDGHIGLVLGRGGRNIMEISQASGARIKISDRGDFMSGTTDRKVTITGSQRAIQQAETMIMQKVANTTKG >KJB70415 pep chromosome:Graimondii2_0_v6:11:6803673:6804484:1 gene:B456_011G072300 transcript:KJB70415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHADAFTRSNNFPKPPRLSNDSLHRTTSDISFQLSKEALDNYKEAAPVDVDENELPPISEVEDAKCECCGMSEECTPEYIDRVRNKYLGKWICGLCAEAVKEEKEKTGGKIEEALSAHMNRCAKFNKFGREYPALLTAEAMRDILRKGSRLEGKSLRAKTFSHRDNKGAQNKGGIARSSSCIPAIIREMNDLTVAN >KJB70882 pep chromosome:Graimondii2_0_v6:11:10176656:10179819:-1 gene:B456_011G093700 transcript:KJB70882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLTNPGPFHPSIPRPLHNPASAPAPAAAQPQVHDHGAAPANHNHDHLTSTTQLRTATPGASQPLMKSPSATHALIRGDRTAGMLTMSDDHVMMNQILATHAPDGRDVDVRPLLYLVEDILNRATEHVDFIIKGTQAQIEMEEKAQQANYIAMLEALTFTIDRIACELSYKALRGSDPHATTTAIFSFLSSYAWDAKLVLSLSAFALNYGEFWLLAQIYSTNQLAKSMAILKQLPSLLEHTAPLKPRFNALNTLIRTMMDVTRCVVEFKDLPSMYISPDAPALASAMTLIPTAVYWTIRSMVACATQISSLTSMGHEYGVSATESWELSTLAHKLQNIHEHLRKQLNLCYQHIEEKKDVDTFHMLLKLFDPNVAHIDNMKHLKALIYAGDDKLPLLDGATRRKAGLEVLRRRNVLLLISSLEFSGDELAILDQIYSDTRMHEAGMQSHYEVVWIPVVERSGVPLSEETPTRFEALRSSMPWYSVEDPFLIEKPVMRFIKEVWHFRTKPILVVLDPRGKVLSQNAIHMMWIWGSAAFPFTSYEEEKLWSKETWQLNLLIDGIDPLILDWIKDGKYIFLYGGDDMEWISKFVASARTVASAFGIPLEMVYVGKSNKREQVKKVAAIIKERKLSHCWEDPAMVWFFWTRLESMMFSKIQLGRADDLDPIVQGIKKLLSYGREGGWAVLSEGSNILVNGHSTTVLPTLVDYVKWRGNVAEKGFNLSFIEYHDQLHNVAHPCCRFEFLATTKAPESIRCPECHRVMEKHTAFVCCHDEQAIPDSLFAS >KJB69331 pep chromosome:Graimondii2_0_v6:11:1172501:1175519:-1 gene:B456_011G016900 transcript:KJB69331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPATIRWCALVLTLFLSLYISPSIAIYCDEDDCYDLLGVSQSANASEIKKAYYKLSLKYHPDKNPDPESRKLFVKIANAYEILKDEATREQYDYAIAHPEEVFYNTARYYRAYYGHKTDTRAVLVGVLLILSVFQYFNRLTRYNQAVDMVKKTPAYKNRLRALELERSGGTTNKKKSNRQIVKKKEEDLSNELELDIKGAEKPSIWELVGVRFILLPYTIGKLLLWYGCWFWRYKVKQAPYSWEDAAYLTRNSLRVPLDAWLNIDESTKEDLLQRRLWIKSNLDSYLSEMRKEHKRRR >KJB69330 pep chromosome:Graimondii2_0_v6:11:1172501:1175467:-1 gene:B456_011G016900 transcript:KJB69330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPATIRWCALVLTLFLSLYISPSIAIYCDEDDCYDLLGVSQSANASEIKKAYYKLSLKYHPDKNPDPESRKLFVKIANAYEILKDEATREQYDYAIAHPEEVFYNTARYYRAYYGHKTDTRAVLVGVLLILSVFQYFNRLTRYNQAVDMVKKTPAYKNRLRALELERSGGTTNKKKSNRKKEEDLSNELELDIKGAEKPSIWELVGVRFILLPYTIGKLLLWYGCWFWRYKVKQAPYSWEDAAYLTRNSLRVPLDAWLNIDESTKEDLLQRRLWIKSNLDSYLSEMRKEHKRRR >KJB68783 pep chromosome:Graimondii2_0_v6:11:12550131:12550488:-1 gene:B456_011G1073001 transcript:KJB68783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSMGHFMGKGLPSTQMLSYVFKSLHEQFTESEINSFDDFHAAILDIL >KJB70171 pep chromosome:Graimondii2_0_v6:11:5137677:5143083:1 gene:B456_011G062100 transcript:KJB70171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQRLKQQQQALMQQALLQQQSLYHPGILAPPQIEPIPSGNLPPGFVPSTCRSVYVGNIHTQVTEPLLQEVFASTGPVEGCKLVRKEKQSSYGFVHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDAMLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQSAINDLSGKWLGSRQIRCNWATKGASSNDDKQSSDAKSVVELTNGSSEDGKETTNTEAPENNPQYTTVYVGNLAPEVTQLELHRHFHALGAGVIEEVRVQRDKGFGFVRYSTHAEAALAIQMGNAQSYLCGKQIKCSWGSKPTPPGTSSNPLPPPAAPLPGFSATDLLAYERQLAMSKMGGVHALMHPQGQHPLKQAAMGVGAAGASQAIYDGGYPNVAAAQQLMYYQ >KJB70169 pep chromosome:Graimondii2_0_v6:11:5137672:5143083:1 gene:B456_011G062100 transcript:KJB70169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQRLKQQQQALMQQALLQQQSLYHPGILAPPQIEPIPSGNLPPGFVPSTCRSVYVGNIHTQVTEPLLQEVFASTGPVEGCKLVRKEKSSYGFVHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDAMLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQSAINDLSGKWLGSRQIRCNWATKGASSNDDKQSSDAKSVVELTNGSSEDGKETTNTEAPENNPQYTTVYVGNLAPEVTQLELHRHFHALGAGVIEEVRVQRDKGFGFVRYSTHAEAALAIQMGNAQSYLCGKQIKCSWGSKPTPPGTSSNPLPPPAAPLPGFSATDLLAYERQLAMSKMGGVHALMHPQGQHPLKQAAMGVGAAGASQAIYDGGYPNVAAAQQLMYYQ >KJB70170 pep chromosome:Graimondii2_0_v6:11:5137677:5143083:1 gene:B456_011G062100 transcript:KJB70170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQRLKQQQQALMQQALLQQQSLYHPGILAPPQIEPIPSGNLPPGFVPSTCRSVYVGNIHTQVTEPLLQEVFASTGPVEGCKLVRKEKSSYGFVHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDAMLFACFSVYPSCSVMWDQKTGRSRGFGFVSFRNQQEAQSAINDLSGKWLGSRQIRCNWATKGASSNDDKQSSDAKSVVELTNGSSEDGKETTNTEAPENNPQYTTVYVGNLAPEVTQLELHRHFHALGAGVIEEVRVQRDKGFGFVRYSTHAEAALAIQMGNAQSYLCGKQIKCSWGSKPTPPGTSSNPLPPPAAPLPGFSATDLLAYERQLAMSKMGGVHALMHPQGQHPLKQAAMGVGAAGASQAIYDGGYPNVAAAQQLMYYQ >KJB70172 pep chromosome:Graimondii2_0_v6:11:5137677:5143083:1 gene:B456_011G062100 transcript:KJB70172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQRLKQQQQALMQQALLQQQSLYHPGILAPPQIEPIPSGNLPPGFVPSTCRSVYVGNIHTQVTEPLLQEVFASTGPVEGCKLVRKEKSSYGFVHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDAMLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQSAINDLSGKWLGSRQIRCNWATKGASSNDDKQSSDAKKDGKETTNTEAPENNPQYTTVYVGNLAPEVTQLELHRHFHALGAGVIEEVRVQRDKGFGFVRYSTHAEAALAIQMGNAQSYLCGKQIKCSWGSKPTPPGTSSNPLPPPAAPLPGFSATDLLAYERQLAMSKMGGVHALMHPQGQHPLKQAAMGVGAAGASQAIYDGGYPNVAAAQQLMYYQ >KJB69809 pep chromosome:Graimondii2_0_v6:11:3193466:3195957:1 gene:B456_011G043400 transcript:KJB69809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTALRDLQSDLENKANDLSKLQKDIAKNHQVRKKYTIQLGENELVLKELDLLKEDANVYKLIGPVLVKQDLAEANANVRKRIEYISAELKRLDSSLQDLEEKQHSKREAILKVQQRIQSLQAGKAKA >KJB69810 pep chromosome:Graimondii2_0_v6:11:3193561:3195939:1 gene:B456_011G043400 transcript:KJB69810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTALRDLQSDLENKANDLSKLQKDIAKNHQVRKKYTIQLGENELVLKELDLLKEDANVYKLIGPVLVKQDLAEANANVRKRIEYISAEFGLIHLFKIWKRNNTAREKRY >KJB70623 pep chromosome:Graimondii2_0_v6:11:8470882:8474698:-1 gene:B456_011G083700 transcript:KJB70623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFKVRTLCFGLLLAFKICIAADQLVGRLYPGFQASQMQWVEHDGKFLLSKNKIFGFGFYTALDAQSFVLVIIHLQASQVVWTANRGGLLVEKSDKFVFDSNGNVYLERGDRFAWATNTTGERATSLELLDSGNLVLLGDNGRTLWQSFSHPTDTLLSGQDFVEGMRLKSFPRRKKSDYLEFESGDLVLYTGFQIPQTYWSLLHEIKTTGKNFTGTVYSAILGFNSWNFYDHNKVLIWQFNFSQNSDMNVTWAAKLGSDGAIVFYNLHQGRRPIAEAKKIPQNPCNIPETCPPFMVCYFDTVCQCPKQLAQTDCSPPITSTCNSADLLYVGEMLDYSVLEFVKPYMNADINACKKACMGTCSCSALFFENSTGNCFLFDQIGGLKRAEVGSSGFVSFLKVSRNESGWQNHAKGSTNEAKHIIFLVIIVTATILVVAGLLYVGFYYYRRQKRLLEYPTEISEGDTFLDGFSGMPVRYTHRELCKATKNFSIKVGQGGFGSVYQGEMPDGTQLAVKKLESIGQGKKEFRAEVRIIGSIHHVHLVKLKGFCSEGVHRLLVYEFMGKRSLDKWIFKNKEESSILDWNTRFNIAMGTAKGLAYLHEECEFKIVHCDIKPENVLLDDNFNAKVSDFGLAKLMSREESLVYTTLRGTRGYLAPEWITNNPISEKSDVYSYGMVLLEIIGGRKSYDSGEIPEKAHLPSFAFKMLEEGNLKEILDPKLVIDENDESIVSAIKVALWCIQEEMRLRPPMTKVVQMLEGLCDVPQPPMSLGPGARAYSGFLKWSGNEGTSSGLTEYNSDASLSDIRLSGPR >KJB70622 pep chromosome:Graimondii2_0_v6:11:8470658:8474698:-1 gene:B456_011G083700 transcript:KJB70622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFKVRTLCFGLLLAFKICIAADQLVGRLYPGFQASQMQWVEHDGKFLLSKNKIFGFGFYTALDAQSFVLVIIHLQASQVVWTANRGGLLVEKSDKFVFDSNGNVYLERGDRFAWATNTTGERATSLELLDSGNLVLLGDNGRTLWQSFSHPTDTLLSGQDFVEGMRLKSFPRRKKSDYLEFESGDLVLYTGFQIPQTYWSLLHEIKTTGKNFTGTVYSAILGFNSWNFYDHNKVLIWQFNFSQNSDMNVTWAAKLGSDGAIVFYNLHQGRRPIAEAKKIPQNPCNIPETCPPFMVCYFDTVCQCPKQLAQTDCSPPITSTCNSADLLYVGEMLDYSVLEFVKPYMNADINACKKACMGTCSCSALFFENSTGNCFLFDQIGGLKRAEVGSSGFVSFLKVSRNESGWQNHAKGSTNEAKHIIFLVIIVTATILVVAGLLYVGFYYYRRQKRLLEYPTEISEGDTFLDGFSGMPVRYTHRELCKATKNFSIKVGQGGFGSVYQGEMPDGTQLAVKKLESIGQGKKEFRAEVRIIGSIHHVHLVKLKGFCSEGVHRLLVYEFMGKRSLDKWIFKNKEESSILDWNTRFNIAMGTAKGLAYLHEECEFKIVHCDIKPENVLLDDNFNAKVSDFGLAKLMSREESLVYTTLRGTRGYLAPEWITNNPISEKSDVYSYGMVLLEIIGGRKSYDSGEIPEKAHLPSFAFKMLEEGNLKEILDPKLVIDENDESIVSAIKVALWCIQEEMRLRPPMTKVVQMLEGLCDVPQPPMSLGPGARAYSGFLKWSGNEGTSSGLTEYNSDASLSDIRLSGPR >KJB70624 pep chromosome:Graimondii2_0_v6:11:8471139:8474608:-1 gene:B456_011G083700 transcript:KJB70624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTWAAKLGSDGAIVFYNLHQGRRPIAEAKKIPQNPCNIPETCPPFMVCYFDTVCQCPKQLAQTDCSPPITSTCNSADLLYVGEMLDYSVLEFVKPYMNADINACKKACMGTCSCSALFFENSTGNCFLFDQIGGLKRAEVGSSGFVSFLKVSRNESGWQNHAKGSTNEAKHIIFLVIIVTATILVVAGLLYVGFYYYRRQKRLLEYPTEISEGDTFLDGFSGMPVRYTHRELCKATKNFSIKVGQGGFGSVYQGEMPDGTQLAVKKLESIGQGKKEFRAEVRIIGSIHHVHLVKLKGFCSEGVHRLLVYEFMGKRSLDKWIFKNKEESSILDWNTRFNIAMGTAKGLAYLHEECEFKIVHCDIKPENVLLDDNFNAKVSDFGLAKLMSREESLVYTTLRGTRGYLAPEWITNNPISEKSDVYSYGMVLLEIIGGRKSYDSGEIPEKAHLPSFAFKMLEEGNLKEILDPKLVIDENDESIVSAIKVALWCIQEEMRLRPPMTKVVQMLEGLCDVPQPPMSLGPGARAYSGFLKWSGNEGTSSGLTEYNSDASLSDIRLSGPR >KJB72270 pep chromosome:Graimondii2_0_v6:11:34122523:34128654:1 gene:B456_011G168000 transcript:KJB72270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSIQKKAKFDITDLNTIKVAKSCFVPALFGHAIGDDFIRPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGATLYDSIHDYFGKGTWAIPEVGFFPDSSTASKATSSTSDAINQVRSKRPMSRTEVPSDIPSEENLPGCQDKDTVDNGSSSSNMISFELSNGHPFDPHVPTTMDDDQYVEYQLDDLTGFPCNVEEEERMFMEAIIESLKDLDMRQPQTEEQAKACADSSESAKKDEINGRDTCSTTEKSSSLPLESTSTQVVHDQVPFETQSTLVINGSNVGLEHASPDTSVSSLGRAFDRSPSTEETVSTSCAQTDTSASTQSSSDADMSGSTKATVTVVRNPSNNIMDGLMRRWDLNFFRNSR >KJB72269 pep chromosome:Graimondii2_0_v6:11:34117126:34129363:1 gene:B456_011G168000 transcript:KJB72269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLVNFIIRPPRAEYNPESDLLDEEFMLKGKWYQRKDIEVKNGRGDVLQCSHYVPLVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSGGEHVTLGWNEKDDLKAVVDYLRADGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGIVLDSPFSDLVELMLELVDTYKFRLPKFTVKFAIQFMRKSIQKKAKFDITDLNTIKVAKSCFVPALFGHAIGDDFIRPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGATLYDSIHDYFGKGTWAIPEVGFFPDSSTASKATSSTSDAINQVRSKRPMSRTEVPSDIPSEENLPGCQDKDTVDNGSSSSNMISFELSNGHPFDPHVPTTMDDDQYVEYQLDDLTGFPCNVEEEERMFMEAIIESLKDLDMRQPQTEEQAKACADSSESAKKDEINGRDTCSTTEKSSSLPLESTSTQVVHDQVPFETQSTLVINGSNVGLEHASPDTSVSSLGRAFDRSPSTEETVSTSCAQTDTSASTQSSSDADMSGSTKATVTVVRNPSNNIMDGLMRRWDLNFFRNSR >KJB72271 pep chromosome:Graimondii2_0_v6:11:34123687:34128654:1 gene:B456_011G168000 transcript:KJB72271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGATLYDSIHDYFGKGTWAIPEVGFFPDSSTASKATSSTSDAINQVRSKRPMSRTEVPSDIPSEENLPGCQDKDTVDNGSSSSNMISFELSNGHPFDPHVPTTMDDDQYVEYQLDDLTGFPCNVEEEERMFMEAIIESLKDLDMRQPQTEEQAKACADSSESAKKDEINGRDTCSTTEKSSSLPLESTSTQVVHDQVPFETQSTLVINGSNVGLEHASPDTSVSSLGRAFDRSPSTEETVSTSCAQTDTSASTQSSSDADMSGSTKATVTVVRNPSNNIMDGLMRRWDLNFFRNSR >KJB72266 pep chromosome:Graimondii2_0_v6:11:34117199:34128654:1 gene:B456_011G168000 transcript:KJB72266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLVNFIIRPPRAEYNPESDLLDEEFMLKGKWYQRKDIEVKNGRGDVLQCSHYVPLVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSGGEHVTLGWNEKDDLKAVVDYLRADGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGIVLDSPFSDLVELMLELVDTYKFRLPKFTVKFAIQFMRKSIQKKAKFDITDLNTIKVAKSCFVPALFGHAIGDDFIRPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGATLYDSIHDYFGKGTWAIPEVGFFPDSSTASKATSSTSDAINQVRSKRPMSRTEVPSDIPSEENLPGCQDKDTVDNGSSSSNMISFELSNGHPFDPHVPTTMDDDQYVEYQLDDLTGFPCNVEEEERMFMEAIIESLKDLDMRQPQTEEQAKACADSSESAKKDEINGRDTCSTTEKSSSLPLESTSTQVVHDQVPFETQSTLVINGSNVGLEHASPDTSVSSLGRAFDRSPSTEETVSTSCAQTDTSASTQSSSDADMSGSTKATVTVVRNPSNNIMDGLMRRWDLNFFRNSR >KJB72267 pep chromosome:Graimondii2_0_v6:11:34117152:34128844:1 gene:B456_011G168000 transcript:KJB72267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLVNFIIRPPRAEYNPESDLLDEEFMLKGKWYQRKDIEVKNGRGDVLQCSHYVPLVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSGGEHVTLGWNEKDDLKAVVDYLRADGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGIVLDSPFSDLVELMLELVDTYKFRLPKFTVKFAIQFMRKSIQKKAKFDITDLNTIKVAKSCFVPALFGHAIGDDFIRPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGATLYDSIHDYFGKGTWAIPEVGFFPDSSTASKATSSTSDAINQVRSKRPMSRTEVPSDIPSEENLPGCQDKDTVDNGSSSSNMISFELSNGHPFDPHVPTTMDDDQYVEYQLDDLTGFPCNVEEEERMFMEAIIESLKDLDMRQPQTEEQAKACADSSESAKKDEINGRDTCSTTEKSSSLPLESTSTQVVHDQVPFETQSTLVINGSNVGLEHASPDTSVSSLGRAFDRSPSTEETVSTSCAQTDTSASTQSSSDADMSGSTKATVTVVRNPSNNIMDGLMRRWDLNFFRNSR >KJB72268 pep chromosome:Graimondii2_0_v6:11:34117181:34129338:1 gene:B456_011G168000 transcript:KJB72268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLVNFIIRPPRAEYNPESDLLDEEFMLKGKWYQRKDIEVKNGRGDVLQCSHYVPLVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSGGEHVTLGWNEKDDLKAVVDYLRADGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGIVLDSPFSDLVELMLELVDTYKFRLPKFTVKFAIQFMRKSIQKKAKFDITDLNTIKVAKSCFVPALFGHAIGDDFIRPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGATLYDSIHDYFGKGTWAIPEVGFFPDSSTASKATSSTSDAINQVRSKRPMSRTEVPSDIPSEENLPGCQDKDTVDNGSSSSNMISFELSNGHPFDPHVPTTMDDDQYVEYQLDDLTGFPCNVEEEERMFMEAIIESLKDLDMRQPQTEEQAKACADSSESAKKDEINGRDTCSTTEKSSSLPLESTSTQVVHDQISVNRGNSEHILCSN >KJB72492 pep chromosome:Graimondii2_0_v6:11:43004588:43006099:1 gene:B456_011G181400 transcript:KJB72492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVNGKCCSRYPSSPDGGSDHCGELRPYKSKHILTQRSLEIVHVSSHKFTLQYSILTQLGYYQDTVERENQDSFCIKTQIQCNPNVHVFGVFDGHGQYGAQCSNFFNDRLVEILSSDSTLLDDPLKAYTSAFLAINSELHDSEIDDTMSGTTAITVLVVGDTLYVANDGDSRAVIAVKNGDQILAEDLSVDQTSFRKDEYERVKLCGAKVLSIDQVEGFKDPNIQNWGEEENEGSDPPRLWIPNGMYPGTAFTRSVGDSTAEKIGVIADPEISVVKLTPNHLFFVVASDGVFEFLPSRTVVNMVAAYTDPKDACAAIAGESYTLWLENEDRTDDIIIIIIQIKALWIPVVGWWCHR >KJB73264 pep chromosome:Graimondii2_0_v6:11:53542919:53552203:1 gene:B456_011G224600 transcript:KJB73264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MLMGEAVRGVIVDHALLQYGTIQPENFKSNGTLSLLRKLLFSNIQTAISYVLPVSAEQVNLLQTMAKLHSFECLPLTASSPDIASREIAQTWSHISGTILYLLPNHDASPKITCTYFSIALDDEVTSAFHNSNRIYMEKLEELPLTICHLNKKAISNDLVTVGYIMKPSREEDFAKRGAFPICPTPNGLMFLPLTFELPISKQLEEVDVILHKATDEIVSIELNSSSESSYQIGYTKGMQELQRHIENHNDCFEVDPLNSIYPVLDRLKIQQLLLGLEDLNVGGRCKVRAPHFLKVNSFDEPDLVQRLHDATLSLPSIVKPQVACGVADAHSMAIVFKVEDFKVLNVPLPAVIQEYVDHSSTLFKFYVLGDRVFHTVKKSMPNADVLIKSSEKNGSKPLLFDSLKSLPTATANQHSEGWDPCLDLALVNKAAERLSKRLGLTIFGFDVVIQEGSGDHVVVDVNYLPSFKEIPDDVAVPAFWDAIKKKVDLKAVK >KJB73266 pep chromosome:Graimondii2_0_v6:11:53542882:53552588:1 gene:B456_011G224600 transcript:KJB73266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MLMGEAVRGVIVDHALLQYGTIQPENFKSNGTLSLLRKLLFSNIQTAISYVLPVSAEQVNLLQTMAKLHSFECLPLTASSPDIASREIAQTWSHISGTILYLLPNHDASPKITCTYFSIALDDEVTSAFHNSNRIYMEKLEELPLTICHLNKKAISNDLVTVGYIMKPSREEDFAKRGAFPICPTPNGLMFLPLTFELPISKQLEEVDVILHKATDEIVSIELNSSSESSYQIGYTKGMQELQRHIENHNDCFEVDPLNSIYPVLDRLKIQQLLLGLEDLNVGGRCKVRAPHFLKVNSFDEPDLVQRLHDATLSLPSIVKPQVACGVADAHSMAIVFKVEDFKVLNVPLPAVIQEYVDHSSTLFKFYVLGDRVFHTVKKSMPNADVLIKSSEKNGSKPLLFDSLKSLPTATANQHSEGWDPCLDLALVNKAAERLSKRLGLTIFGFDVVIQEGSGDHVVVDVNYLPSFKEIPDDVAVPAFWDAIKKKVDLKAVK >KJB73263 pep chromosome:Graimondii2_0_v6:11:53542882:53552588:1 gene:B456_011G224600 transcript:KJB73263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MLMGEAVRGVIVDHALLQYGTIQPENFKSNGTLSLLRKLLFSNIQTAISYVLPVSAEQVNLLQTMAKLHSFECLPLTASSPDIASREIAQTWSHISGTILYLLPNHDASPKITCTYFSIALDDEVTSAFHNSNRIYMEKLEELPLTICHLNKKAISNDLVTVGYIMKPSREEDFAKRGAFPICPTPNGLMFLPLTFELPISKQLEEVDVILHKATDEIVSIELNSSSESSYQIGYTKGMQELQRHIENHNDCFEVDPLNSIYPVLDRLKIQQLLLGLEDLNVGGRCKVRAPHFLKVNSFDEPDLVQRLHDATLSLPSIVKPQVACGVADAHSMAIVFKVEDFKVLNVPLPAVIQEYVDHSSTLFKFYVLGDRVFHTVKKSMPNADVLIKSSEKNGSKPLLFDSLKSLPTATANQHSEGWDPCLDLALVNKAAERLSKRLGLTIFGFDVVIQEGSGDHVVVDVNYLPSFKEIPDDVAVPAFWDAIKKKVDLKAVK >KJB73268 pep chromosome:Graimondii2_0_v6:11:53543110:53552096:1 gene:B456_011G224600 transcript:KJB73268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MLMGEAVRGVIVDHALLQYGTIQPENFKSNGTLSLLRKLLFSNIQTAISYVLPVSAEQVNLLQTMAKLHSFECLPLTASSPDIASREIAQTWSHISGTILYLLPNHDASPKITCTYFSIALDDEVTSAFHNSNRIYMEKLEELPLTICHLNKKAISNDLVTVGYIMKPSREEDFAKRGAFPICPTPNGLMFLPLTFELPISKQLEEVDVILHKATDEIVSIELNSSSESSYQIGYTKGMQELQRHIENHNDCFEVDPLNSIYPVLDRLKIQQLLLGLEDLNVGGRCKVRAPHFLKVNSFDEPDLVQRLHDATLSLPSIVKPQVACGVADAHSMAIVFKVEDFKVLNVPLPAVIQEYVDHSSTLFKFYVLGDRVFHTVKKSMPNADVLIKSSEKNGSKPLLFDSLKSLPTATANQHSEGWDPCLDLALVNKAAERLSKRLGLTIFGFDVVIQEGSGDHVVVDVNYLPSFKEIPDDVAVPAFWDAIKKKVDLKAVK >KJB73265 pep chromosome:Graimondii2_0_v6:11:53543035:53552096:1 gene:B456_011G224600 transcript:KJB73265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MLMGEAVRGVIVDHALLQYGTIQPENFKSNGTLSLLRKLLFSNIQTAISYVLPVSAEQVNLLQTMAKLHSFECLPLTASSPDIASREIAQTWSHISGTILYLLPNHDASPKITCTYFSIALDDEVTSAFHNSNRIYMEKLEELPLTICHLNKKAISNDLVTVGYIMKPSREEDFAKRGAFPICPTPNGLMFLPLTFELPISKQLEEVDVILHKATDEIVSIELNSSSESSYQIGYTKGMQELQRHIENHNDCFEVDPLNSIYPVLDRLKIQQLLLGLEDLNVGGRCKVRAPHFLKVNSFDEPDLVQRLHDATLSLPSIVKPQVACGVADAHSMAIVFKVEDFKVLNVPLPAVIQEYVDHSSTLFKFYVLGDRVFHTVKKSMPNADVLIKSSEKNGSKPLLFDSLKSLPTATANQHSEGWDPCLDLALVNKAAERLSKRLGLTIFGFDVVIQEGSGDHVVVDVNYLPSFKEIPDDVAVPAFWDAIKKKVDLKAVK >KJB73267 pep chromosome:Graimondii2_0_v6:11:53543521:53552096:1 gene:B456_011G224600 transcript:KJB73267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MLMGEAVRGVIVDHALLQYGTIQPENFKSNGTLSLLRKLLFSNIQTAISYVLPVSAEQVNLLQTMAKLHSFECLPLTASSPDIASREIAQTWSHISGTILYLLPNHDASPKITCTYFSIALDDEVTSAFHNSNRIYMEKLEELPLTICHLNKKAISNDLVTVGYIMKPSREEDFAKRGAFPICPTPNGLMFLPLTFELPISKQLEEVDVILHKATDEIVSIELNSSSESSYQIGYTKGMQELQRHIENHNDCFEVDPLNSIYPVLDRLKIQQLLLGLEDLNVGGRCKVRAPHFLKVNSFDEPDLVQRLHDATLSLPSIVKPQVACGVADAHSMAIVFKVEDFKVLNVPLPAVIQEYVDHSSTLFKFYVLGDRVFHTVKKSMPNADVLIKSSEKNGSKPLLFDSLKSLPTATANQHSEGWDPCLDLALVNKAAERLSKRLGLTIFGFDVVIQEGSGDHVVVDVNYLPSFKEIPDDVAVPAFWDAIKKKVDLKAVK >KJB71069 pep chromosome:Graimondii2_0_v6:11:11980834:11982571:1 gene:B456_011G103900 transcript:KJB71069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVAMVMEKAWSFGLVLLWFSTLSCYLVNAADDKNGGFGASFIFGDSLVDAGNNNYLPTLSRANIPPNGIDFKASGGNPTGRYTNGRTIGDIVGEELGVPNYAVPYLAPNSTGKAILYGVNYASGGGGIMNATGRIFVNRLGLDIQIDFFNNTRKQFDKLLGSSKAKDYISKRSIFSITIGANDFLNNYLLPVLSIGARISETPDGFIDDMINHLSNQLTRLYKLDARKFVIGNVGPIGCIPYQKTINQLNENECVDLANKLAMQYNGRLKELLTELNGKLKGAIFVHANVYDLVMELITNYAKYASEACCGNGGQYAGIIPCGPTSSMCKDRDKHVFWDPYHPSEAANLIIARQLLHGSTKYISPVNLEQLRNL >KJB71070 pep chromosome:Graimondii2_0_v6:11:11980834:11982571:1 gene:B456_011G103900 transcript:KJB71070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVAMVMEKAWSFGLVLLWFSTLSCYLVNAADDKNGGFGASFIFGDSLVDAGNNNYLPTLSRANIPPNGIDFKASGGNPTGRYTNGRTIGDIVGEELGVPNYAVPYLAPNSTGKAILYGVNYASGGGGIMNATGRIFVNRLGLDIQIDFFNNTRKQFDKLLGSSKAKDYISKRSIFSITIGANDFLNNYLLPVLSIGARISETPDGFIDDMINHLSNQLTRLYKLDARKFVIGNVGPIGCIPYQKTINQLNENECVDLANKLAMQYNGRLKELLTELNGKLKGAIFVHANVYDLVMELITNYAKYGFTTASEACCGNGGQYAGIIPCGPTSSMCKDRDKHVFWDPYHPSEAANLIIARQLLHGSTKYISPVNLEQLRNL >KJB69325 pep chromosome:Graimondii2_0_v6:11:1154673:1156142:-1 gene:B456_011G016400 transcript:KJB69325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQIDSVGKAFVDHYYHLFDNDRPAMSSLYQPTSMLTFEGQKLQGVEDIITKLTQLPLDQCRHVISTVDSQPASVTGGIVVFVSGSLQLPGENHPLQFSQMFHLSPTPQGNFFVLNDIFRLNY >KJB69613 pep chromosome:Graimondii2_0_v6:11:2518980:2521903:-1 gene:B456_011G034000 transcript:KJB69613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYFNNKIFLSGNCYSGFLDPFSRDLGPCNGISQSLVLDNEKSELVVKSPVKVGKKSVSEEKVIAALKSHSEAERRRRERINAHLDTLRTLLPYREKMDKATLLGEVVRQVKELKKAATEASKGVLVPVDDDEVIVETCHDEANGTYCFKASICCDYRPQLLTDLRQALDALPIKMVKAEISTLGSRLRKDFVFTGCRTTANGDDAESRQYLAGSVRRALNSVLEKASISPEYLPYSTFPKKRQRISYFDSSSSSS >KJB73900 pep chromosome:Graimondii2_0_v6:11:59093798:59095093:-1 gene:B456_011G259900 transcript:KJB73900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITEIEHFSHRHKLELSYSETPFQCDGCKELGFGSCYQCNNKKCDFHLHENCGVAKPIATHSFFKNSSFKFKKKGKRGKTCKACGKDVQGFMYKSKEAYLHPCCLTLPSTLNGNFNGGSLRLNLEVKASTKCLICQNKEIYKGKLKGWAYISSCGKHCYHVGCVNNMNIENWKMGYFNQSQSGGVAKELVFIKEENGESSNGRKENEGSLVKYALDLVVQAVLGGAVASLLGI >KJB69124 pep chromosome:Graimondii2_0_v6:11:524468:527564:-1 gene:B456_011G006600 transcript:KJB69124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGLEMENYQGDLNDIVRASAEPAHFSDMVPQLSSWQQEFPSSDPLSFSSSAMEDDYSTNTFGDPFSAIRDPLLLLQELNVVGTGSSSTYFNNSPNSTNDHHHMGGFDDMTSPCNIFSRIQISHSSNPLPPCDPPRMAAASTGLGGHKAPAVFPSDMVNAKSCLIDNTAAAASVPVQISSPRNLGIKRRKSQGKKVVCIPAPAAANSRSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPSKPNANNTGSKMGSPSDSEPQKTTKETIKEETEMDDMAKQQLDIDEATTVETQQQQHDQDFFADLDEILFDQQKENKPMDPFTLFDYNNASF >KJB69125 pep chromosome:Graimondii2_0_v6:11:524728:527314:-1 gene:B456_011G006600 transcript:KJB69125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGLEMENYQGDLNDIVRASAEPAHFSDMVPQLSSWQQEFPSSDPLSFSSSAMEDDYSTNTFGDPFSAIRDPLLLLQELNVVGTGSSSTYFNNSPNSTNDHHHMGGFDDMTSPCNIFSRIQISHSSNPLPPCDPPRMAAASTGLGGHKAPAVFPSDMVNAKSCLIDNTAAAASVPVQISSPRNLGIKRRLGKSQGKKVVCIPAPAAANSRSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPSKPNANNTGSKMGSPSDSEPQKTTKETIKEETEMDDMAKQQLDIDEATTVETQQQQHDQDFFADLDEILFDQQKENKPMDPFTLFDYNNASF >KJB73019 pep chromosome:Graimondii2_0_v6:11:50578747:50583847:1 gene:B456_011G209900 transcript:KJB73019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGTSDLKRKQGIVSSLCKHFSLDPKAFSSQVPGNDIKTLYINILKSSGKESPQNNDEVMKWIAFADSFPSDSKACHGGLNELNTDLAKKSVLLGNGFTPSEADVIVFSVIHSSMIALSTPEKEKLPHVMRWMDYIQNSEDLGALFEKILLEKPVFEPQIAKAAAKPEVTSSAKGTVQNTKIADKPDTEKNAKKSDSADAKKKAKGDKEAVPEKKAPEKEASDKDKELSVSLLNIQVGLIRKAWKHPSADSLLVEEIDVGEAKVRQVVSGLAKYCSPEDLTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHTKVEPLLPPEGAKPGECISFSGIDGKPEDVLNPKKKQLEKITPNLFTDEKGVATFKGIPFMTSAGPCTSSIPKASIK >KJB73021 pep chromosome:Graimondii2_0_v6:11:50578963:50583121:1 gene:B456_011G209900 transcript:KJB73021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGTSDLKRKQGIVSSLCKHFSLDPKAFSSQVPGNDIKTLYINILKSSGKESPQNNDEVMKWIAFADSFPSDSKACHGGLNELNTDLAKKSVLLGNGFTPSEADVIVFSVIHSSMIALSTPEKEKLPHVMRWMDYIQNSEDLGALFEKILLEKPVFEPQIAKAAAKPEVTSSAKGTVQNTKIADKPDTEKNAKKSDSADAKKKAKGDKEAVPEKKAPEKEASDKDKELSVSLLNIQVGLIRKAWKHPSADSLLVEEIDVGEAKVRQVVSGLAKYCSPEDLTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHTKVEPLLPPEGAKPGECISFSGIDGKPEDVLNPKKKQLEKITPVCFIF >KJB73020 pep chromosome:Graimondii2_0_v6:11:50578910:50582884:1 gene:B456_011G209900 transcript:KJB73020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGTSDLKRKQGIVSSLCKHFSLDPKAFSSQVPGNDIKTLYINILKSSGKESPQNNDEVMKWIAFADSFPSDSKACHGGLNELNTDLAKKSVLLGNGFTPSEADVIVFSVIHSSMIALSTPEKEKLPHVMRWMDYIQNSEDLGALFEKILLEKPVFEPQIAKAAAKPEVTSSAKGTVQNTKIADKPDTEKNAKKSDSADAKKKAKGDKEAVPEKKAPEKEASDKDKELSVSLLNIQVGLIRKAWKHPSADSLLVEEIDVGEAKVRQVVSGLAKYCSPEDLTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHTKVEPLLPPEGAKPGECISFSG >KJB73022 pep chromosome:Graimondii2_0_v6:11:50578910:50583847:1 gene:B456_011G209900 transcript:KJB73022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGTSDLKRKQGIVSSLCKHFSLDPKAFSSQVPGNDIKTLYINILKSSGKESPQNNDEVMKWIAFADSFPSDSKACHGGLNELNTDLAKKSVLLGNGFTPSEADVIVFSVIHSSMIALSTPEKEKLPHVMRWMDYIQNSEDLGALFEKILLEKPVFEPQIAKAAAKPEVTSSAKGTVQNTKIADKPDTEKNAKKSDSAKKAKGDKEAVPEKKAPEKEASDKDKELSVSLLNIQVGLIRKAWKHPSADSLLVEEIDVGEAKVRQVVSGLAKYCSPEDLTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHTKVEPLLPPEGAKPGECISFSGIDGKPEDVLNPKKKQLEKITPNLFTDEKGVATFKGIPFMTSAGPCTSSIPKASIK >KJB70950 pep chromosome:Graimondii2_0_v6:11:10708816:10709748:-1 gene:B456_011G097200 transcript:KJB70950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSCFLYLLLLSMLLLLSFSQGFSIRKMMETVAFEEPTAQLEENADTSGEMIEIMDYKDPGPNVNPRTGYIFSPPPQG >KJB70951 pep chromosome:Graimondii2_0_v6:11:10709135:10709564:-1 gene:B456_011G097200 transcript:KJB70951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSCFLYLLLLSMLLLLSFSQGFSIRKMMETVAFEEPTAQLEQENADTSGEMIEIMDYKDPGPNVNPRTGYIFSPPPQG >KJB73918 pep chromosome:Graimondii2_0_v6:11:59166119:59167137:-1 gene:B456_011G260800 transcript:KJB73918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKKKKKQKHEHPNDKTTKPTSEFSFKPSSEVKGLRFGGQFIVKSFTIRRAKPLELLKLLDFTPPSHKPPNNKIPFPSTTAFLPTNFTILAHQAWHTLTLGLGTKKSKVLLFVFESEAMKIAVDKIWPNEIPLGEVNKKLIRGLKGCEMARFKFRKGCLTFYVYAVRGNGNVGFRCADDLRIVLQSVVELNDFLDHTAMLAMPNQRSLNYSDPVAMAH >KJB71920 pep chromosome:Graimondii2_0_v6:11:24007693:24008715:1 gene:B456_011G147500 transcript:KJB71920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLAKGRRDKPKVVSVEETIVDQGLQNEESKDQIQTTSLTLPSKDELSDTKQTAEICLEKEEVDELGDGKKAKTGAACAIQVEEPKDQIQASALPDAVVGQETTVAQASITEEPTKLETKEDDKTMETEVKEDESPEKIQEQATEVEGASSVEVETSEKAFDNELIKEELVKEIDEENQCDKTNEIIVNEVSKEEVQGVAETSYPTSELELPVKDGLGEDELKGKLIEDKASETTQTEEHVVEAQKMSENEIAEKQIVCEDKTVGNPTQASVTKIETAIVLEQAKRFWN >KJB71570 pep chromosome:Graimondii2_0_v6:11:19417044:19422900:1 gene:B456_011G130200 transcript:KJB71570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHRFIPVRRLFSETKCSLPCQLRRRCFSQVARNEIDNRDGLVRKMPPFDYSPPPYTGPSADEILSKRKEYLSPSMFYFYTKPLNIVEGRMQYLFDDKGRRYLDAFGGIATVCCGHCHPDVVEAIVNQTKRLQHSTVLYLNHAIADFAEALANKLPGNLKVVFFTNSGTEANELAMMISRLYTGCHDIISLRNAYHGNAAATMGATAQSNWKFNVLQSGVHHALNPDPYRGVFGSDGEKYAKDVQDLIQFGTSGNVAGFISEAIQGVGGIIELAPGYLPAVYSTIKKAGGLCIADEVQAGFARTGSHFWGFENHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAEVLTRRSYFNTFGGNPVCTSGGLAVLKVIEKEKFQENAFVVGTYLKERLTALKNKYDLIGDVRGRGLMLGVELVTDQKLKTPAKLETIHVMDQMKELGVLVGKGGFYGNVFRITPPLCFTKEDADFLVDAMDYTMEKM >KJB71571 pep chromosome:Graimondii2_0_v6:11:19417906:19422781:1 gene:B456_011G130200 transcript:KJB71571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLFDDKGRRYLDAFGGIATVCCGHCHPDVVEAIVNQTKRLQHSTVLYLNHAIADFAEALANKLPGNLKVVFFTNSGTEANELAMMISRLYTGCHDIISLRNAYHGNAAATMGATAQSNWKFNVLQSGVHHALNPDPYRGVFGSDGEKYAKDVQDLIQFGTSGNVAGFISEAIQGVGGIIELAPGYLPAVYSTIKKAGGLCIADEVQAGFARTGSHFWGFENHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAEVLTRRSYFNTFGGNPVCTSGGLAVLKVIEKEKFQENAFVVGTYLKERLTALKNKYDLIGDVRGRGLMLGVELVTDQKLKTPAKLETIHVMDQMKELGVLVGKGGFYGNVFRITPPLCFTKEDADFLVDAMDYTMEKM >KJB73950 pep chromosome:Graimondii2_0_v6:11:59388294:59389788:1 gene:B456_011G2631002 transcript:KJB73950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFPSLPESIIHLTDLRMLCLKECAVDDITILGELKNLEILDLSNSGIKELPMEMAQLTQLRLLDLSWCIELEIIPPNVLSSLSKLEELYMGGSFVEWEKEGVVETERKNASLDELSSLPSLTTLYVHILDAQMIPKHQFVETLDKYNICVGDYNRFVWVQNHECSRTLKLKLCTNIYLENGLKMLLIKTEDLRLEGLEGIKNVLVESNNGKDLPHLNFCSQDERCSMSSKPLPLFNKQTCHWITNLRSLIIKECGKLEHLLSPSLARSLVQLQCFRIEDCKCLRDIILIEEIEEERKDVICFPRLNSLHIVGLPNLIFFNSGNHNIEFPLLKVLKIERCPKLIEFISQNSNQSGMHALF >KJB69346 pep chromosome:Graimondii2_0_v6:11:1266994:1267949:1 gene:B456_011G0182001 transcript:KJB69346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASNILLDEDMNPKISDFGMARIFGVDQTQGTTRRVVGTYGYMSPEYAMQGQFSVKSDAYSFGVLALEIVSGQRNSDFYETEGAQDLISYAWKLWKDGRSLELLDPVLRYNYSTNEVIRCIQLGLLCVQEDPADRPTMATVVLLLHSYSATLQVPKQPAFVLQSRTDGRMPDKGLESDQSTSRSMPWSNNEASITELYPR >KJB71577 pep chromosome:Graimondii2_0_v6:11:19625573:19629322:1 gene:B456_011G130700 transcript:KJB71577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITIKESAIVRPAEDTPKRSLWNSNLDIVMIRYHIPTVYYYKPNGSSDFFDTGRLKEALSKILVPFYPVAGRLGYDENGRLEIICNGEGVLFVEAETTSVMEHLIGDFTENHQVLRLVPKVDYSEGISSYPLILLQVTKFKCGGVCLGVGIQHTLGDGAAALHFINSWADTSRGLTPAIAPFIDRTLLRARDPPTPKLHHVEYDPSPALKSALKSQSDDHKPSIVSTFKLTADQLNTLKAKANVANANGGIKYSSFNILAAHIWRCVSKARGLPADQDTKLYFPVDGRYRLDPPLPPGYFGNVIFTTALIAQAGNLETESFTDTIKRIHERLNQINDEYLRSAIDYIEKVPDLNTLVRGPHTFRCPNLVVVPWNWLPIYEADFGWGRPIYMGPGNVVQEGKIYVLPSPTNDGSLTLAARLEIPHMKVFEKLIYEF >KJB71581 pep chromosome:Graimondii2_0_v6:11:19625593:19628314:1 gene:B456_011G130700 transcript:KJB71581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITIKESAIVRPAEDTPKRSLWNSNLDIVMIRYHIPTVYYYKPNGSSDFFDTGRLKEALSKILVPFYPVAGRLGYDENGRLEIICNGEGVLFVEAETTSVMEHLIGDFTENHQVLRLVPKVDYSEGISSYPLILLQVTKFKCGGVCLGVGIQHTLGDGAAALHFINSWADTSRGLTPAIAPFIDRTLLRARDPPTPKLHHVEYDPSPALKSALKSQSDDHKPSIVSTFKLTADQLNTLKAKANVANANGGIKYSSFNILAAHIWRCVSKARGLPADQDTKLYFPVDGRYRLDPPLPPGYFGNVIFTTALIAQAVCRMKIYGPN >KJB71579 pep chromosome:Graimondii2_0_v6:11:19625593:19628279:1 gene:B456_011G130700 transcript:KJB71579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITIKESAIVRPAEDTPKRSLWNSNLDIVMIRYHIPTVYYYKPNGSSDFFDTGRLKEALSKILVPFYPVAGRLGYDENGRLEIICNGEGVLFVEAETTSVMEHLIGDFTENHQVLRLVPKVDYSEGISSYPLILLQVTKFKCGGVCLGVGIQHTLGDGAAALHFINSWADTSRGLTPAIAPFIDRTLLRARDPPTPKLHHVEYDPSPALKSALKSQSDDHKPSIVSTFKLTADQLNTLKAKANVANANGGIKYSSFNILAAHIWRCVSKARGLPADQDTKLYFPVDGRYRLDPPLPPGYFGNVIFTTALIAQAGNLETESFTDTIKRIHERLNQINDEYLRSAIDYIEKVPDLNTLVRGPHTFRCPNLVVVPWNWLPIYEADFGWGRPIYMGPGNVVQEGKIYVLPSPTNDGSLTLAARLEIPHMKVFEKLIYEF >KJB71580 pep chromosome:Graimondii2_0_v6:11:19625593:19628279:1 gene:B456_011G130700 transcript:KJB71580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITIKESAIVRPAEDTPKRSLWNSNLDIVMIRYHIPTVYYYKPNGSSDFFDTGRLKEALSKILVPFYPVAGRLGYDENGRLEIICNGEGVLFVEAETTSVMEHLIGDFTENHQVLRLVPKVDYSEGISSYPLILLQVTKFKCGGVCLGVGIQHTLGDGAAALHFINSWADTSRGLTPAIAPFIDRTLLRARDPPTPKLHHVEYDPSPALKSALKSQSDDHKPSIVSTFKLTADQLNTLKAKANVANANGGIKYSSFNILAAHIWRCVSKARGLPADQDTKLYFPVDGRYRLDPPLPPGYFGNCVG >KJB71578 pep chromosome:Graimondii2_0_v6:11:19625593:19628279:1 gene:B456_011G130700 transcript:KJB71578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITIKESAIVRPAEDTPKRSLWNSNLDIVMIRYHIPTVYYYKPNGSSDFFDTGRLKEALSKILVPFYPVAGRLGYDENGRLEIICNGEGVLFVEAETTSVMEHLIGDFTENHQVLRLVPKVDYSEGISSYPLILLQVTKFKCGGVCLGVGIQHTLGDGAAALHFINSWADTSRGLTPAIAPFIDRTLLRARDPPTPKLHHVEYDPSPALKSALKSQSDDHKPSIVSTFKLTADQLNTLKAKANVANANGGIKYSSFNILAAHIWRCVSKARGLPADQDTKLYFPVDGRYRLDPPLPPGYFGNVIFTTALIAQAG >KJB72655 pep chromosome:Graimondii2_0_v6:11:47174697:47178280:1 gene:B456_011G195600 transcript:KJB72655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSKDPFEAALEEQEESPPNSPVGEDELNSQTPNEPQNQTNGGHSLLVDDDDYDEIGPNVKNSSHPSSTSTSKLHSTSINANVATGATTKNKEYDDDEEEENVEVELSKFPSSADPTKMAKMQAILSQFTEDQMSRYESFRRSALQRSNMRRLLVSITGSQKISLPMTIVVCGIAKMFVGELFEKARIVMTERKESGPIRPCHIREAYRRLKLEGKVPKRSVQRLFR >KJB72651 pep chromosome:Graimondii2_0_v6:11:47173628:47178280:1 gene:B456_011G195600 transcript:KJB72651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSKDPFEAALEEQEESPPNSPVGEDELNSQTPNEPQNQTNGGHSLLVDDDDYDEIGPNVKNSSHPSSTSTSKLHSTSINANVATGATTKNKEYDDDEEEENVEVELSKFPSSADPTKMAKMQAILSQFTEDQMSRYESFRRSALQRSNMRRLLVSITGSQKISLPMTIVVCGIAKMFVGELFEKARIVMTERKESGPIRPCHIREAYRRLKLEGKVPKRSVQRLFR >KJB72660 pep chromosome:Graimondii2_0_v6:11:47173628:47178280:1 gene:B456_011G195600 transcript:KJB72660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSKDPFEAALEEQEESPPNSPVGEDELNSQTPNEPQNQTNGGHSLLVDDDDYDEIGPNVKNSSHPSSTSTSKLHSTSINANVATGATTKNKEYDDDEEEENVEVELSKFPSSADPTKMAKMQAILSQFTEDQMSRYESFRRSALQRSNMRRLLVSITGSQKISLPMTIVVCGIAKMFVGELFEKARIVMTERKESGPIRPCHIREAYRRLKLEGKVPKRSVQRLFR >KJB72656 pep chromosome:Graimondii2_0_v6:11:47173628:47178280:1 gene:B456_011G195600 transcript:KJB72656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSKDPFEAALEEQEESPPNSPVGEDELNSQTPNEPQNQTNGGHSLLVDDDDYDEIGPNVKNSSHPSSTSTSKLHSTSINANVATGATTKNKEYDDDEEEENVEVELSKFPSSADPTKMAKMQAILSQFTEDQMSRYESFRRSALQRSNMRRLLVSITGSQKISLPMTIVVCGIAKMFVGELFEKARIVMTERKESGPIRPCHIREAYRRLKLEGKVPKRSVQRLFR >KJB72659 pep chromosome:Graimondii2_0_v6:11:47165572:47178280:1 gene:B456_011G195600 transcript:KJB72659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVSLKLSLFTNAVMKQSKDPFEAALEEQEESPPNSPVGEDELNSQTPNEPQNQTNGGHSLLVDDDDYDEIGPNVKNSSHPSSTSTSKLHSTSINANVATGATTKNKEYDDDEEEENVEVELSKFPSSADPTKMAKMQAILSQFTEDQMSRYESFRRSALQRSNMRRLLVSITGSQKISLPMTIVVCGIAKMFVGELFEKARIVMTERKESGPIRPCHIREAYRRLKLEGKVPKRSVQRLFR >KJB72661 pep chromosome:Graimondii2_0_v6:11:47165572:47178280:1 gene:B456_011G195600 transcript:KJB72661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVSLKLSLFTNAVEFDQNHPKRMKQSKDPFEAALEEQEESPPNSPVGEDELNSQTPNEPQNQTNGGHSLLVDDDDYDEIGPNVKNSSHPSSTSTSKLHSTSINANVATGATTKNKEYDDDEEEENVEVELSKFPSSADPTKMAKMQAILSQFTEDQMSRYESFRRSALQRSNMRRLLVSITGSQKISLPMTIVVCGIAKMFVGELFEKARIVMTERKESGPIRPCHIREAYRRLKLEGKVPKRSVQRLFR >KJB72658 pep chromosome:Graimondii2_0_v6:11:47165572:47178280:1 gene:B456_011G195600 transcript:KJB72658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSKDPFEAALEEQEESPPNSPVGEDELNSQTPNEPQNQTNGGHSLLVDDDDYDEIGPNVKNSSHPSSTSTSKLHSTSINANVATGATTKNKEYDDDEEEENVEVELSKFPSSADPTKMAKMQAILSQFTEDQMSRYESFRRSALQRSNMRRLLVSITGSQKISLPMTIVVCGIAKMFVGELFEKARIVMTERKESGPIRPCHIREAYRRLKLEGKVPKRSVQRLFR >KJB72662 pep chromosome:Graimondii2_0_v6:11:47173307:47178280:1 gene:B456_011G195600 transcript:KJB72662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSKDPFEAALEEQEESPPNSPVGEDELNSQTPNEPQNQTNGGHSLLVDDDDYDEIGPNVKNSSHPSSTSTSKLHSTSINANVATGATTKNKEYDDDEEEENVEVELSKFPSSADPTKMAKMQAILSQFTEDQMSRYESFRRSALQRSNMRRLLVSITGSQKISLPMTIVVCGIAKMFVGELFEKARIVMTERKESGPIRPCHIREAYRRLKLEGKVPKRSVQRLFR >KJB72653 pep chromosome:Graimondii2_0_v6:11:47173628:47178280:1 gene:B456_011G195600 transcript:KJB72653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSKDPFEAALEEQEESPPNSPVGEDELNSQTPNEPQNQTNGGHSLLVDDDDYDEIGPNVKNSSHPSSTSTSKLHSTSINANVATGATTKNKEYDDDEEEENVEVELSKFPSSADPTKMAKMQAILSQFTEDQMSRYESFRRSALQRSNMRRLLVSITGSQKISLPMTIVVCGIAKMFVGELFEKARIVMTERKESGPIRPCHIREAYRRLKLEGKVPKRSVQRLFR >KJB72657 pep chromosome:Graimondii2_0_v6:11:47173528:47178280:1 gene:B456_011G195600 transcript:KJB72657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSKDPFEAALEEQEESPPNSPVGEDELNSQTPNEPQNQTNGGHSLLVDDDDYDEIGPNVKNSSHPSSTSTSKLHSTSINANVATGATTKNKEYDDDEEEENVEVELSKFPSSADPTKMAKMQAILSQFTEDQMSRYESFRRSALQRSNMRRLLVSITGSQKISLPMTIVVCGIAKMFVGELFEKARIVMTERKESGPIRPCHIREAYRRLKLEGKVPKRSVQRLFR >KJB72652 pep chromosome:Graimondii2_0_v6:11:47173628:47178280:1 gene:B456_011G195600 transcript:KJB72652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSKDPFEAALEEQEESPPNSPVGEDELNSQTPNEPQNQTNGGHSLLVDDDDYDEIGPNVKNSSHPSSTSTSKLHSTSINANVATGATTKNKEYDDDEEEENVEVELSKFPSSADPTKMAKMQAILSQFTEDQMSRYESFRRSALQRSNMRRLLVSITGSQKISLPMTIVVCGIAKMFVGELFEKARIVMTERKESGPIRPCHIREAYRRLKLEGKVPKRSVQRLFR >KJB72654 pep chromosome:Graimondii2_0_v6:11:47173549:47178280:1 gene:B456_011G195600 transcript:KJB72654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSKDPFEAALEEQEESPPNSPVGEDELNSQTPNEPQNQTNGGHSLLVDDDDYDEIGPNVKNSSHPSSTSTSKLHSTSINANVATGATTKNKEYDDDEEEENVEVELSKFPSSADPTKMAKMQAILSQFTEDQMSRYESFRRSALQRSNMRRLLVSITGSQKISLPMTIVVCGIAKMFVGELFEKARIVMTERKESGPIRPCHIREAYRRLKLEGKVPKRSVQRLFR >KJB69322 pep chromosome:Graimondii2_0_v6:11:1142301:1146819:-1 gene:B456_011G016200 transcript:KJB69322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSDLYENLNMFDMFQRPSDSDQTERNGDDDNNDTKSGTEVDAPSADDDNQGPASSGPSRRRAKRKRYHRHTQRQIQEMEALFKECPHPDDKQRKQLSRELGLDPLQVKFWFQNKRTQLKAQTERHENGLLKAENEKLRAENHRYKEALNNTSCPTCGGPAALGEMSFEEQHLRLENARLREEIERISGVTAKYVGKPIGPSFSRFADRAPISFGTQPEFLGEYGGPGGGGGLGEVLRPVSVTNEADKPLIVELAVTAMEELIRMAQSGEPLWVTDENSIDVLNENEYLRIFPRGIGSKPFANLGFRSEASREAALIIMNPVNLVEILMDVNQWSRVFCGIVSRAMTLDVLSTGIAGNYNGALQVMTAEFQLPSPLVPTRENYFARYCKRHHDGIWAVVDVSLDNLRHAPFTRCRRRPSGCLIQELPNGYSKVIWVENVEVDDRGVSDIYKTLVNTSLAFGAKRWVATLDRQCERLASAMANSIPAGDLGVLNSSDGRKSILKLAERMVNSFCTGVGASTAHAWTTLTGSDEIRVMTRKSIDDPGRPPGIVLSAATSFWVAVPPRKAFNILRSEKFRSEWDILSNGGVVDEMAHIANGRDPGNCVSLLRVNSANASQSNMLILQESSNDATGSYVIYAPVDFAAMNIVLTGGDPDYVALLPSGFAILPDCEGPNRGIKITEIGSGGSLVTLAFQILVDSAPNSKISVGSVATVNSLIKCTLERIRTAVMCNDA >KJB69321 pep chromosome:Graimondii2_0_v6:11:1142335:1146058:-1 gene:B456_011G016200 transcript:KJB69321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSDLYENLNMFDMFQRPSDSDQTERNGDDDNNDTKSGTEVDAPSADDDNQGPASSGPSRRRAKRKRYHRHTQRQIQEMEALFKECPHPDDKQRKQLSRELGLDPLQVKFWFQNKRTQLKAQTERHENGLLKAENEKLRAENHRYKEALNNTSCPTCGGPAALGEMSFEEQHLRLENARLREEIERISGVTAKYVGKPIGPSFSRFADRAPISFGTQPEFLGEYGGPGGGGGLGEVLRPVSVTNEADKPLIVELAVTAMEELIRMAQSGEPLWVTDENSIDVLNENEYLRIFPRGIGSKPFANLGFRSEASREAALIIMNPVNLVEILMDVNQWSRVFCGIVSRAMTLDVLSTGIAGNYNGALQVMTAEFQLPSPLVPTRENYFARYCKRHHDGIWAVVDVSLDNLRHAPFTRCRRRPSGCLIQELPNGYSKVIWVENVEVDDRGVSDIYKTLVNTSLAFGAKRWVATLDRQCERLASAMANSIPAGDLGVLNSSDGRKSILKLAERMVNSFCTGVGASTAHAWTTLTGSDEIRVMTRKSIDDPGRPPGIVLSAATSFWVAVPPRKAFNILRSEKFRSEWDILSNGGVVDEMAHIANGRDPGNCVSLLRVNSANASQSNMLILQESSNDATGSYVIYAPVDFAAMNIVLTGGDPDYVALLPSGFAILPDCEGPNRGIKITEIGSGGSLVTLAFQILVDSAPNSKISVGSVATVNSLIKCTLERIRTAVMCNDA >KJB69323 pep chromosome:Graimondii2_0_v6:11:1143576:1146058:-1 gene:B456_011G016200 transcript:KJB69323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSDLYENLNMFDMFQRPSDSDQTERNGDDDNNDTKSGTEVDAPSADDDNQGPASSGPSRRRAKRKRYHRHTQRQIQEMEALFKECPHPDDKQRKQLSRELGLDPLQVKFWFQNKRTQLKAQTERHENGLLKAENEKLRAENHRYKEALNNTSCPTCGGPAALGEMSFEEQHLRLENARLREEIERISGVTAKYVGKPIGPSFSRFADRAPISFGTQPEFLGEYGGPGGGGGLGEVLRPVSVTNEADKPLIVELAVTAMEELIRMAQSGEPLWVTDENSIDVLNENEYLRIFPRGIGSKPFANLGFRSEASREAALIIMNPVNLVEILMDVNQWSRVFCGIVSRAMTLDVLSTGIAGNYNGALQVMTAEFQLPSPLVPTRENYFARYCKRHHDGIWAVVDVSLDNLRHAPFTRCRRRPSGCLIQELPNGYSKVIWVENVEVDDRGVSDIYKTLVNTSLAFGAKRWVATLDRQCERLASAMANSIPAGDLGGNI >KJB69376 pep chromosome:Graimondii2_0_v6:11:1406825:1410532:-1 gene:B456_011G020200 transcript:KJB69376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKVYIVYYSMYGHVEKLAQEIKKGAESVEGVEVKLWQVAETLPEEVLGKMGAPPKTDAPIITPDELTEADGVLFGFPTRFGMMAAQFKAFMDATGGLWRTQALAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMLFVPIGYTFGAGMFEMEKVKGGSPYGAGTFAGDGSRQPSELELGQAFHQGKYFSGIAKKLKGTIA >KJB70668 pep chromosome:Graimondii2_0_v6:11:8943279:8945091:-1 gene:B456_011G086200 transcript:KJB70668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFTKVAFRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >KJB70670 pep chromosome:Graimondii2_0_v6:11:8943363:8945006:-1 gene:B456_011G086200 transcript:KJB70670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFTKVAFRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >KJB70669 pep chromosome:Graimondii2_0_v6:11:8943279:8945006:-1 gene:B456_011G086200 transcript:KJB70669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFTKVAFRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >KJB71137 pep chromosome:Graimondii2_0_v6:11:12572130:12576365:-1 gene:B456_011G107500 transcript:KJB71137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETRSANPPLLLCLLLICAIVASRPPLAHAGAGYSKIDEISCSSRRRIMSKSRVYTDVNVLRPKQYWDYESLAVQWGDQDDYEVVRKVGRGKYSEVFEGINVNNNERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDVVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYHLELDPQLDALVGSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFSQVRAAESSRMRTQ >KJB71138 pep chromosome:Graimondii2_0_v6:11:12572411:12576275:-1 gene:B456_011G107500 transcript:KJB71138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETRSANPPLLLCLLLICAIVASRPPLAHAGAGYSKIDEISCSSRRRIMSKSRVYTDVNVLRPKQYWDYESLAVQWGDQDDYEVVRKVGRGKYSEVFEGINVNNNERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDVVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYHLELDPQLDALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFSQVRAAESSRMRTQ >KJB71136 pep chromosome:Graimondii2_0_v6:11:12573105:12576275:-1 gene:B456_011G107500 transcript:KJB71136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETRSANPPLLLCLLLICAIVASRPPLAHAGAGYSKIDEISCSSRRRIMSKSRVYTDVNVLRPKQYWDYESLAVQWGDQDDYEVVRKVGRGKYSEVFEGINVNNNERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDVVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYHLELDPQLDALVGRHSRKPWSKFINADNQHLVSPEVIFLLEANI >KJB68816 pep chromosome:Graimondii2_0_v6:11:59545230:59549641:1 gene:B456_011G264300 transcript:KJB68816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLHLYLQPHLKHKQLDQPRHTSLEFYSSVSFFFFWVWCSYSWVFVHLRLQLEFSFFLLLGSALKSSIEMENTNYDEELKNDCRLVCSLIYDINCRKERLSQMEREYNEMTATLRGLINGLIAKINSKDSNLWGWELQYNVTVRQLKGKNAALRLSFAEVYSKKKKKKKTNGNVKPFSSQPKENVPCRDLVELEKTTSDQIAALKEQLEETSEALKDMESRYSCLTVKQILINRELQDARKESISGLNDVLTSRTTLVVKRMGEIDQKAFEVASSGKFPNKDWQETCAKLCSLWQQNVQDPKWHPFKMINIRGNLQEIVDEDDEKLKELRNEYGDVVYEAVSTALMEMNEYNASGRYAVI >KJB69861 pep chromosome:Graimondii2_0_v6:11:3613439:3615834:-1 gene:B456_011G046500 transcript:KJB69861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QKKNLLESQAMSQEQNQPSPAAAQPDRRPPFDPSRMIGIIKRKALIKELAAVYHGECLAYCQELLELQKKWNEPFIDDKSPDDSRKKMKPPKRLKKSR >KJB69862 pep chromosome:Graimondii2_0_v6:11:3614551:3615564:-1 gene:B456_011G046500 transcript:KJB69862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QKKNLLESQAMSQEQNQPSPAAAQPDRRPPFDPSRMIGIIKRKALIKELAAVYHGECLAYCQELLELQKKWNEVFHLCISIE >KJB69860 pep chromosome:Graimondii2_0_v6:11:3613507:3615823:-1 gene:B456_011G046500 transcript:KJB69860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQNQPSPAAAQPDRRPPFDPSRMIGIIKRKALIKELAAVYHGECLAYCQELLELQKKWNEPFIDDKSPDDSRKKMKPPKRLKKSR >KJB69859 pep chromosome:Graimondii2_0_v6:11:3613549:3615564:-1 gene:B456_011G046500 transcript:KJB69859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQNQPSPAAAQPDRRPPFDPSRMIGIIKRKALIKELAAVYHGECLAYCQELLELQKKWNEPFIDDKSPDDSRKKMKPPKRLKKSR >KJB72458 pep chromosome:Graimondii2_0_v6:11:42227504:42230182:-1 gene:B456_011G179800 transcript:KJB72458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRSSSTPVFGSLIFPFTDSPNKDLDATYRLLQGNHGGKLDSHCQESLNLTSFSHNSSSESHSASGLFEFNPESGGFRLKGFRRAKSEGSIERLDYRSCDIAQFLDPRTPKRSFHRHHITMLHSAPSFSIFNEGVEDGKLGEESLERTVTIGENIDAVGNPDFSFRKKCMELIQEEEGDEEKKRLNRIRVSHNDEEEVELEPPSPPMYLATGLGIDCAGFGAMADGVDLSYMDLDEVDDQEEFHKRLVDEYPCHPLFLRNYAKFLQQSKGDLQGAEDYYHRATLADPEDCEILLQYAKILWDLHHDKDRALSYFERAVQASPQDSNVLGAYASFLWEIGDDAEEYGEQEYQGVKEEENMKVAKNSLHEEETKLARLSIHLPNPAGLGVHTDIQDIDIEDYHTRMVQENPGNPSVLSNYARFLHQSKGDVEGAKEYYLQAIQGDPRNGEPMSQYAKLIWDSHRDHDKASHYFELAVEANPENSNILAAYASFLWETEDEDNNTRQDQTQVSLQNRALSATNS >KJB72460 pep chromosome:Graimondii2_0_v6:11:42227199:42231164:-1 gene:B456_011G179800 transcript:KJB72460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRSSSTPVFGSLIFPFTDSPNKDLDATYRLLQGNHGGKLDSHCQESLNLTSFSHNSSSESHSASGLFEFNPESGGFRLKGFRRAKSEGSIERLDYRSCDIAQFLDPRTPKRSFHRHHITMLHSAPSFSIFNEGVEDGKLGEESLERTVTIGENIDAVGNPDFSFRKKCMELIQEEEGDEEKKRLNRIRVSHNDEEEVELEPPSPPMYLATGLGIDCAGFGAMADGVDLSYMDLDEVDDQEEFHKRLVDEYPCHPLFLRNYAKFLQSKGDLQGAEDYYHRATLADPEDCEILLQYAKILWDLHHDKDRALSYFERAVQASPQDSNVLGAYASFLWEIGDDAEEYGEQEYQGVKEEENMKVAKNSLHEEETKLARLSIHLPNPAGLGVHTDIQDIDIEDYHTRMVQENPGNPSVLSNYARFLHQSKGDVEGAKEYYLQAIQGDPRNGEPMSQYAKLIWDSHRDHDKASHYFELAVEANPENSNILAAYASFLWETEDEDNNTRQDQTQVSLQNRALSATNS >KJB72461 pep chromosome:Graimondii2_0_v6:11:42227199:42231164:-1 gene:B456_011G179800 transcript:KJB72461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRSSSTPVFGSLIFPFTDSPNKDLDATYRLLQGNHGGKLDSHCQESLNLTSFSHNSSSESHSASGLFEFNPESGGFRLKGFRRAKSEGSIERLDYRSCDIAQFLDPRTPKRSFHRHHITMLHSAPSFSIFNEGVEDGKLGEESLERTVTIGENIDAVGNPDFSFRKKCMELIQEEEGDEEKKRLNRIRVSHNDEEEVELEPPSPPMYLATGLGIDCAGFGAMADGVDLSYMDLDEVDDQEEFHKRLVDEYPCHPLFLRNYAKFLQSKGDLQGAEDYYHRATLADPEDCEILLQYAKILWDLHHDKDRALSYFERAVQASPQDSNVLGAYASFLWEIGDDAEEYGEQEYQGVKEEENMKVAKNSLHEEETKLARLSIHLPNPAGLGVHTDIQDIDIEDYHTRMVQENPGNPSVLSNYARFLHQSKGDVEGAKEYYLQAIQGDPRNGEPMSQYAKLIWDSHRDHDKASHYFELAVEANPENSNILAAYASFLWETEDEDNNTRQDQTQVSLQNRALSATNS >KJB72459 pep chromosome:Graimondii2_0_v6:11:42227504:42230182:-1 gene:B456_011G179800 transcript:KJB72459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRSSSTPVFGSLIFPFTDSPNKDLDATYRLLQGNHGGKLDSHCQESLNLTSFSHNSSSESHSASGLFEFNPESGGFRLKGFRRAKSEGSIERLDYRSCDIAQFLDPRTPKRSFHRHHITMLHSAPSFSIFNEGVEDGKLGEESLERTVTIGENIDAVGNPDFSFRKKCMELIQEEEGDEEKKRLNRIRVSHNDEEEVELEPPSPPMYLATGLGIDCAGFGAMADGVDLSYMDLDEVDDQEEFHKRLVDEYPCHPLFLRNYAKFLQQSKGDLQGAEDYYHRATLADPEDCEILLQYAKILWDLHHDKDRALSYFERAVQASPQDSNVLGAYASFLWEIGDDAEEYGEQEYQGVKEEENMKVAKNSLHEEETKLARLSIHLPNPAGLGVHTDIQDIDIEDYHTRMVQENPGNPSVLSNYARFLHQSKGDVEGAKEYYLQAIQGDPRNGEPMSQYAKLIWDSHRDHDKASHYFELAVEANPENSNILAAYASFLWETEDEDNNTRQDQTQVSLQNRALSATNS >KJB70083 pep chromosome:Graimondii2_0_v6:11:4581108:4583598:1 gene:B456_011G057400 transcript:KJB70083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGVLLKLLQSMNSNVKVLGEYRSVLLQVISIVPALTGSELWPNQGFFIKVSDSSHSTYVSLSQEDNELILNNKLQLGQFFYVERVEPGTPVPILVGVRPVPGRNPFIGNPKDLMQMLVPSEGLMVADNEGNSNGSKAKESVEVREESPRKKIVIKEEKASVASRYMQGVLPSNPKASGPDSNHSVKNTDNENGGAGKKAKSKQQEPKGQARPASPSRSRLEVPVSKPEVVVAPNTKETTVPAKSTTVKRSSSKHENLNSNCSANNKEKNSLPETGSWNSLPASLLKPGKGMLRRRNLASLVAAEAQREASMAANLVKCLSMFSDLCSSASPENPQLTLTKFFTLQQLIDQPSVTSHKDKHHQLPNLPSVVDTEKSNKRKGLIHDKSMSTRSSVQLSGAEKLEWAKGDGAKERKELRETLLHETRTWFLKFLEVALDVGFRIGSQEKKGKTGTTRLTEQDNHIAVTLSQLKFANEWLGKVKNNLSSDNNGMMETVERLNQKVYACLLSHVDSAASALENRP >KJB70085 pep chromosome:Graimondii2_0_v6:11:4581122:4583598:1 gene:B456_011G057400 transcript:KJB70085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGVLLKLLQSMNSNVKVLGEYRSVLLQVISIVPALTGSELWPNQGFFIKVSDSSHSTYVSLSQEDNELILNNKLQLGQFFYVERVEPGTPVPILVGVRPVPGRNPFIGNPKDLMQMLVPSEGLMVADNEGNSNGSKAKESVEVREESPRKKIVIKEEKASVASRYMQGVLPSNPKASGPDSNHSVKNTDNENGGAGKKAKSKQQEPKGQARPASPSRSRLEVPVSKPEVVVAPNTKETTVPAKSTTVKRSSSKHENLNSNCSANNKEKNSLPETGSWNSLPASLLKPGKGMLRRRNLASLVAAEAQREASMAANLVKCLSMFSDLCSSASPENPQLTLTKFFTLQQLIDQPSVTSHKDKHHQLPNLPSVVDTEKSNKRKGLIHDKSMSTRSSVQLSGAEKLEWAKGDGAKERKELRETLLHETRTWFLKFLEVALDVGFRIGSQEKKGKTGTTRLTEQDNHIAVTLSQLKFANEWLGKVKNNLSSDNNGMMETVERLNQKVYACLLSHVDSAASALENRP >KJB70084 pep chromosome:Graimondii2_0_v6:11:4581148:4583598:1 gene:B456_011G057400 transcript:KJB70084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGVLLKLLQSMNSNVKVLGEYRSVLLQVISIVPALTGSELWPNQGFFIKVSDSSHSTYVSLSQEDNELILNNKLQLGQFFYVERVEPGTPVPILVGVRPVPGRNPFIGNPKDLMQMLVPSEGLMVADNEGNSNGSKAKESVEVREESPRKKIVIKEEKASVASRYMQGVLPSNPKASGPDSNHSVKNTDNENGGAGKKAKSKQQEPKGQARPASPSRSRLEVPVSKPEVVVAPNTKETTVPAKSTTVKRSSSKHENLNSNCSANNKEKNSLPETGSWNSLPASLLKPGKGMLRRRNLASLVAAEAQREASMAANLVKCLSMFSDLCSSASPENPQLTLTKFFTLQQLIDQPSVTSHKDKHHQLPNLPSVVDTEKSNKRKGLIHDKSMSTRSSVQLSGAEKLEWAKGDGAKERKELRETLLHETRTWFLKFLEVALDVGFRIGSQEKKGKTGTTRLTEQDNHIAVTLSQLKFANEWLGKVKNNLSSDNNGMMETVERLNQKVYACLLSHVDSAASALENRP >KJB71079 pep chromosome:Graimondii2_0_v6:11:12088320:12092638:1 gene:B456_011G104700 transcript:KJB71079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWLQSLSFSSSSSSSSSSSSSSTCTGKNCKENHNNKNHKQCYNFGFRLGVSKLTRPRELRRVKDQEVAPTKEGTAPLLSAGYHTPLSSPTSSFRGTTPALPVPLPLPLPVPEGDSEQRLSPKEFGLGKGLEDRDKEKADGTPPNLSVFACRGCWKTTDHAKTRPMKALSQEMIIEDSFEDECRANVPIRSAPASPSSSHAIICPQSKNAGDMFPHHMFSPSYHAWSAPEMSTLGTPVVPPLAFYDYGGFSSDNTPFHSPPNRSPHRRQSSHSGPTSPIQQWVSPDISPSRLESNGHINVHPLPLPPGAAIACTSASITQVTTNPDPLPMNCQWQKGKLIGRGTFGSVYVASNRETGALCAMKEVEICPDDPKSAECIKQLEQEIKVLSHLKHPNIVQYYGSEIAEDKFYIYLEYVHPGSINKYVRDHYGAITESVIRNFTRHILSGLAYLHSTKTIHRDIKGANLLVDASGVVKLADFGMSKHLSGQRADLSLKGSPYWMAPELLQAVMQKDNRSELALAVDIWSLGCTIIEMYTGKAPWSEYEGAAAMFKVLRDTPPTPETLSPEGKDFLRCCFQRNPADRPSASMLLEHRFIKCTNSRVSHHLTDNVHGR >KJB71080 pep chromosome:Graimondii2_0_v6:11:12088320:12092638:1 gene:B456_011G104700 transcript:KJB71080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWLQSLSFSSSSSSSSSSSSSSTCTGKNCKENHNNKNHKQCYNFGFRLGVSKLTRPRELRRVKDQEVAPTKEGTAPLLSAGYHTPLSSPTSSFRGTTPALPVPLPLPLPVPEGDSEQRLSPKEFGLGKGLEDRDKEKADGTPPNLSVFACRGCWKTTDHAKTRPMKALSQEMIIEDSFEDECRANVPIRSAPASPSSSHAIICPQSKNAGDMFPHHMFSPSYHAWSAPEMSTLGTPVVPPLAFYDYGGFSSDNTPFHSPPNRSPHRRQSSHSGPTSPIQQWVSPDISPSRLESNGHINVHPLPLPPGAAIACTSASITQVTTNPDPLPMNCQWQKGKLIGRGTFGSVYVASNRETGALCAMKEVEICPDDPKSAECIKQLEQEIKVLSHLKHPNIVQYYGSEIAEDKFYIYLEYVHPGSINKYVRDHYGAITESVIRNFTRHILSGLAYLHSTKTIHRDIKGANLLVDASGVVKLADFGMSKHLSGQRADLSLKGSPYWMAPELLQAVMQKDNRSELALAVDIWSLGCTIIEMYTGKAPWSEYEGAAAMFKVLRDTPPTPETLSPEGKDFLRCCFQRNPADRPSASMLLEHRFIKCTNSRVSHHLTDNVHGR >KJB73816 pep chromosome:Graimondii2_0_v6:11:58391396:58392319:1 gene:B456_011G254600 transcript:KJB73816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTTASVFLLFIIFSITPLSFSFGVANTTNAPVLDSDGNELRTGTPYFVVSSIWGAGGGGLALGMPRGKPCPEVVAQRGSGDDGIPVIFSNSDSNDGVVRLSSDINIEFIPLRPRFCQTTTVWKVDDYDHSAGKWWVITDGVKGNPGANTLTSWFRIEKAGDLDYTFKYCPAVRGTCPALCNKITRDSDGEMIRLALSAGHGWPFFFKKLQTSAMEIEQVVHN >KJB70715 pep chromosome:Graimondii2_0_v6:11:9249309:9255868:1 gene:B456_011G088500 transcript:KJB70715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRQRKRLNGASIAGCNFKDQYRTKKKKLDSLQNDLNTKSCISLEWDGNQKKVVAKRDQIGLSWRHLRPFTDSTIHYHRVLADVLTLPHEIFDLENLTEVLSYEVWQTLLSENERNHLMQFLPTGTDKEHVLQALLAGDNFHFGNPFLKWGSLLCLGYLHPDAVIQEEQHLKDEKKAYYSQLQDYHNDIIDYLQKLKVKWESCKDPEQEIVHKFWRSRRASEKGIFSHSNESKLVNLEQDATGTSESCSWAADERACSSDNQNSSVVKGGELQRRMYKKGFIKDKGKGLLTAPDHTQTVEAKPRKGDKIRKCNIQQSNGAKYMSYVKISKKQHELIKNMRQSGRSIQYRSLNHVLGDIDSLHVLPYDTFVEEEMRNLHEHWLRLVKEDLQEAYASRREIQLQKREIAKLLEQNIEEKLNPAFEDEVEEDTEKFHDQEDNVGIKLDVQDVEKEIPEKLLEGQKDAEATDRESSMEEESVLALPQNQSPQQVSSIDSGNMLNCEEIESENKENLLKSDIAFSDLSERSKNLKTADATVNQEVHVSSTENVWSAYSMPQSYHDSTEGHDYTSCSGLPLAHQANADRQNHMIDLESGLHEESTGKVLLHGHSEDGSFSSYTNQDQSELLPSFFKDQVVLPYHSEQKHDGLDFQAPKNVLMEDGDFNGQFQGQLRPSLPLEEGQKRQDEVFVQQNMSGNVYSDGSRGRYLPPRQEHLPSGNMQDWGMNPAHMSAPFQHQLNGGQLLNQSWFTGEHQAEAGGGWAGSDGFSGPSESIAISRESNTDQSLFSVVSQCNQLGSRNPYRSMGSTEHLIQQRSNGINENSMEQAGGHPLDYLGVRDASMMVMGDEMGRMSMAHQNAVAALHDDQLAMGKPYLRSWNQQR >KJB70713 pep chromosome:Graimondii2_0_v6:11:9249305:9255860:1 gene:B456_011G088500 transcript:KJB70713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRQRKRLNGASIAGCNFKDQYRTKKKKLDSLQNDLNTKSCISLEWDGNQKKVVAKRDQIGLSWRHLRPFTDSTIHYHRVLADVLTLPHEIFDLENLTEVLSYEVWQTLLSENERNHLMQFLPTGTDKEHVLQALLAGDNFHFGNPFLKWGSLLCLGYLHPDAVIQEEQHLKDEKKAYYSQLQDYHNDIIDYLQKLKVKWESCKDPEQEIVHKFWRSRRASEKGIFSHSNESKLVNLEQDATGTSESCSWAADERACSSDNQNSSVVKGGELQRRMYKKGFIKDKGKGLLTAPDHTQTVEAKPRKGDKIRKCNIQQSNGAKYMSYVKISKKQHELIKNMRQSGRSIQYRSLNHVLGDIDSLHVLPYDTFVEEEMRNLHEHWLRLVKEDLQEAYASRREIQLQKREIAKLLEQNIEEKLNPAFEDEVEEDTEKFHDQEDNVGIKLDVQDVEKEIPEKLLEGQKDAEATDRESSMEEESVLALPQNQSPQQVSSIDSGNMLNCEEIESENKENLLKSDIAFSDLSERSKNLKTADATVNQEVHVSSTENVWSAYSMPQSYHDSTEGHDYTSCSGLPLAHQANADRQNHMIDLESGLHEESTGKVLLHGHSEDGSFSSYTNQDQSELLPSFFKDQVVLPYHSEQKHDGLDFQAPKNVLMEDGDFNGQFQGQLRPSLPLEEGQKRQDEVFVQQNMSGNVYSDGSRGRYLPPRQEHLPSGNMQDWGMNPAHMSAPFQHQLNGGQLLNQSWFTGEHQAEAGGGWAGSDGFSGPSESIAISRESNTDQSLFSVVSQCNQLGSRNPYRSMGSTEHLIQQRSNGINENSMEQAGGHPLDYLGVRDASMMVMGDEMGRMSMAHQNAVAALHDDQLAMGKPYLRSWNQQR >KJB70714 pep chromosome:Graimondii2_0_v6:11:9249365:9255752:1 gene:B456_011G088500 transcript:KJB70714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRQRKRLNGASIAGCNFKDQYRTKKKKLDSLQNDLNTKSCISLEWDGNQKKVVAKRDQIGLSWRHLRPFTDSTIHYHRVLADVLTLPHEIFDLENLTEVLSYEVWQTLLSENERNHLMQFLPTGTDKEHVLQALLAGDNFHFGNPFLKWGSLLCLGYLHPDAVIQEEQHLKDEKKAYYSQLQDYHNDIIDYLQKLKVKWESCKDPEQEIVHKFWRSRRASEKGIFSHSNESKLVNLEQDATGTSESCSWAADERACSSDNQNSSVVKGGELQRRMYKKGFIKDKGKGLLTAPDHTQTVEAKPRKGDKIRKCNIQQSNGAKYMSYVKISKKQHELIKNMRQSGRSIQYRSLNHVLGDIDSLHVLPYDTFVEEEMRNLHEHWLRLVKEDLQEAYASRREIQLQKREIAKLLEQNIEEKLNPAFEDEVEEDTEKFHDQEDNVGIKLDVQDVEKEIPEKLLEGQKDAEATDRESSMEEESVLALPQNQSPQQVSSIDSGNMLNCEEIESENKENLLKSDIAFSDLSERSKNLKTADATVNQEVHVSSTENVWSAYSMPQSYHDSTEGHDYTSCSGLPLAHQANADRQNHMIDLESGLHEESTGKVLLHGHSEDGSFSSYTNQDQSELLPSFFKDQVVLPYHSEQKHDGLDFQAPKNVLMEDGDFNGQFQGQLRPSLPLEEGQKRQDEVFVQQNMSGNVYSDGSRGRYLPPRQEHLPSGNMQDWGMNPAHMSAPFQHQLNGGQLLNQSWFTGEHQAEAGGGWAGSDGFSGPSESIAISRESNTDQSLFSVVSQCNQLGSRNPYRSMGSTEHLIQQRSNGINENSMEQAGGHPLDYLGVRDASMMVMGDEMGRMSMAHQNAVAALHDDQLAMGKPYLRSWNQQR >KJB72784 pep chromosome:Graimondii2_0_v6:11:47660847:47661445:1 gene:B456_011G197400 transcript:KJB72784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTYDYESTSPVAPSRLFKAFTVEAPKVWPTAAPNAVKSIEVEANPSSGSIVKINFVEGLPFQYMKHQIGGHDESNFSYSYDLIEGGPLGDKLEKISYENKFEAAAGGGSICKSSMKFYTVGDNVITEDEIKALIKGSEGVYKPVEAYLLANPESCN >KJB72342 pep chromosome:Graimondii2_0_v6:11:38604034:38607718:1 gene:B456_011G172400 transcript:KJB72342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKGRVLKRESERESMNERMRVQDALKGNSIEITEDSLLGLKYDPASIWGYFEQLHIEQGPVLELVGFPLTVVKGIATQTGMKMMKQRHKVEREANIMPKLDGRRIRKLPRYKACSHEQEIWNDSWVIFTCKNFQIHLFTSVDWVECWLHTFRLNVSVF >KJB68826 pep chromosome:Graimondii2_0_v6:11:2654243:2655614:1 gene:B456_011G035400 transcript:KJB68826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWYGVSGLVLVLSLFFGVKGEPQVPCYFIFGDSLVDNGNNNELRSLARADYLPYGIDFANGPTGRFSNGRTTVDVIAELLGFDDYIPPYSTASGRQILGGVNYASAAAGIREETGQQLGARISFSGQVKNYQQTVQQVVNVLGDEDSAANYLRQCIYSIGLGSNDYLNNYFMPLYYSTGRQYSPEEYANSLIQEYTEQLQVSIIQLWGKEVCVDRFGSDRLQSK >KJB68828 pep chromosome:Graimondii2_0_v6:11:2654148:2656422:1 gene:B456_011G035400 transcript:KJB68828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWYGVSGLVLVLSLFFGVKGEPQVPCYFIFGDSLVDNGNNNELRSLARADYLPYGIDFANGPTGRFSNGRTTVDVIAELLGFDDYIPPYSTASGRQILGGVNYASAAAGIREETGQQLGARISFSGQVKNYQQTVQQVVNVLGDEDSAANYLRQCIYSIGLGSNDYLNNYFMPLYYSTGRQYSPEEYANSLIQEYTEQLQALYNYGARKFVLIGLGQIGCSPNELAQNSGDGRTCVERINAANRIFNNKLRGLVDQFNNANSDAKFIYINAYGIFQDITSNPAAYGCCGVGRNNGQITCLPFQTPCQNRDEYLFWDAFHPSEAANVIIGRRSYSAQSPTDAYPIDIRRLAQL >KJB68827 pep chromosome:Graimondii2_0_v6:11:2654148:2656422:1 gene:B456_011G035400 transcript:KJB68827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWYGVSGLVLVLSLFFGVKGEPQVPCYFIFGDSLVDNGNNNELRSLARADYLPYGIDFANGPTGRFSNGRTTVDVIAELLGFDDYIPPYSTASGRQILGGVNYASAAAGIREETGQQLGARISFSGQVKNYQQTVQQVVNVLGDEDSAANYLRQCIYSIGLGSNDYLNNYFMPLYYSTGRQYSPEEYANSLIQEYTEQLQALYNYGARKFVLIGLGQIGCSPNELAQNSGDGRTCVERINAANRIFNNKLRGLVDQFNNANSDAKFIYINAYGIFQDITSNPAAYGNHTISCLQFDPNVIMY >KJB68825 pep chromosome:Graimondii2_0_v6:11:2654119:2656434:1 gene:B456_011G035400 transcript:KJB68825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWYGVSGLVLVLSLFFGVKGEPQVPCYFIFGDSLVDNGNNNELRSLARADYLPYGIDFANGPTGRFSNGRTTVDVIAELLGFDDYIPPYSTASGRQILGGVNYASAAAGIREETGQQLGARISFSGQVKNYQQTVQQVVNVLGDEDSAANYLRQCIYSIGLGSNDYLNNYFMPLYYSTGRQYSPEEYANSLIQEYTEQLQALYNYGARKFVLIGLGQIGCSPNELAQNSGDGRTCVERINAANRIFNNKLRGLVDQFNNANSDAKFIYINAYGIFQDITSNPAAYGFKVTNAGCCGVGRNNGQITCLPFQTPCQNRDEYLFWDAFHPSEAANVIIGRRSYSAQSPTDAYPIDIRRLAQL >KJB70621 pep chromosome:Graimondii2_0_v6:11:8466151:8468038:1 gene:B456_011G083600 transcript:KJB70621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRKMEMLTPFAPSPHHSYSFSKSLIFPPRFHPLSPSLPVRLRSFSPTRPPLCLSAGSPPPSPPDSDPPGLEGKLSRFQDRARIFFAILFWMSLFFWSSSWDGRNTGKPNKGSRFRR >KJB69222 pep chromosome:Graimondii2_0_v6:11:818108:818668:1 gene:B456_011G011500 transcript:KJB69222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAGLASCLTSVVIFLSILTSSLEFEDFRHRLPRSLSLGFTFLFFSVTSTMLTFTATILLLVHLEKRWTATLTYAAAFLPICVFAMFQFPLYYQYIVAAVDSVFDFLRKNLPGNSEFLRIKNDY >KJB71209 pep chromosome:Graimondii2_0_v6:11:13189459:13192637:-1 gene:B456_011G110300 transcript:KJB71209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVHFDECIAYIEDYMIKHGPFDGLLGFSQGGMLASVVPPMQREGAAFTSVPKIKFVIIISGFELRELKSGPPKLLANVYSVPIDCPSLHLIGDKDFLKERGFMLLRSFVNPLHIHHSMGHTVPKLDEKGSETMLKFIEKIKEMFPQELETGLGLKSAL >KJB71210 pep chromosome:Graimondii2_0_v6:11:13190611:13192637:-1 gene:B456_011G110300 transcript:KJB71210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNQVRRKKPRVLCLHGFRTSGEILKKMMAKWPHSVLNNFDFDFLDAPFHARGKSDVESLYDPPYYEWYQVNEMECVHFDECIAYIEDYMIKHGPFDGLLGFSQGGMLASVVPPMQREGAAFTSVPKIKFVIIISGFELRELKSGPPKLLANVYSVPIDCPSLHLIGNFRTYSCNFNSLYPLLLHFG >KJB71208 pep chromosome:Graimondii2_0_v6:11:13189457:13192637:-1 gene:B456_011G110300 transcript:KJB71208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNQVRRKKPRVLCLHGFRTSGEILKKMMAKWPHSVLNNFDFDFLDAPFHARGKSDVESLYDPPYYEWYQVNEMECVHFDECIAYIEDYMIKHGPFDGLLGFSQGGMLASVVPPMQREGAAFTSVPKIKFVIIISGFELRELKSGPPKLLANVYSVPIDCPSLHLIGDKDFLKERGFMLLRSFVNPLHIHHSMGHTVPKLDEKGSETMLKFIEKIKEMFPQELETGLGLKSAL >KJB71247 pep chromosome:Graimondii2_0_v6:11:13997281:14001151:1 gene:B456_011G112500 transcript:KJB71247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLNNTTTSDQEMADLERHDYVERVQWVLNTPKPPGLSQELIGTAVSWRNKVPFLNMQSGLKHELFSMLKAIFPILSWCQNYKPNQFKHDLLAGLTLASLCIPQSIGYATLAKLDPQYGLYTSVVPPLIYTLMGTSREIAIGPVAVISLLLSSMVQKLEDPMANPIAYHKLVLTVTFFAGTFQAAFGLFRSGFLIDFLSHAAIVGFMAGAAIVIVLQQLKGLLGITHFTNKTDVVSVLKVVWSSFQHPWNPYNFLLGCSFLIFILITRFLGRKNKKLFWLPAIAPLVSVVLATLIVFLTKADKHGVDIVKHIKGGLNPSSVDQLQFNGPHVGELAKIGLIVAIIALTEAIAVGRSFAAIKGYHLDGNKEMVAMGFMNIIGSFTSCYVATGSFSRTAVNFSAGCETAMSNIVMAVTVFISLELLTKLLYYTPVAILASIILSALPGLIDVNEACNIWKVDKLDFLACIGAFLGVLFATVEIGLLVAVTISFAKIIVVSIRPGTETLGKLPETDAFGDVNQYPMAVKTPGVLIMRLKSALLCFANANFVRERIMKWVIEEEKDIKLRNAEKTIQIVILDISNLMDIDTSGIASLEELHKNFDSNGMKLAIANPRWQVIHKLKLANFVPKIGGRVFLSIGEAMASFSI >KJB73586 pep chromosome:Graimondii2_0_v6:11:56468662:56471632:1 gene:B456_011G240000 transcript:KJB73586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTSSEMQEPSIDTDKLSYEIFSILESKFLFGYDDQQKLWIPKPISPASESLPLVQQGDENPMSAIKNQRGKICILSIDSGGMRGILSGKALAYLEHALKSKSGNPNARIADFFDVAAGSGVGGIFAAMLFATKDNSRPIFTAEETWRFLAENGKRMYRSGKGKNGGILNKFFKNGSTGSSSTGLEKAVKETFTADGRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFPLWEVCRATSAEPGLFEPVKMRSVDGQTSCVAVDGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDILVLSLGTGSLLEISYEYEKVKNWKVKDWAKPMARISGDGSADSVDQAVAMAFGQSRSSNYVRIQANGTSLGRCCPNVDTDPSPSNVKMLIAIAEEMLKQKNVESVLFGGKRLADQTNFEKLDGFAAQLVLEHQRRSCRIAPTVAFKQPNNPKPTTP >KJB73585 pep chromosome:Graimondii2_0_v6:11:56468595:56471918:1 gene:B456_011G240000 transcript:KJB73585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTSSEMQEPSIDTDKLSYEIFSILESKFLFGYDDQQKLWIPKPISPASESLPLVQQGDENPMSAIKNQRGKICILSIDSGGMRGILSGKALAYLEHALKSKSGNPNARIADFFDVAAGSGVGGIFAAMLFATKDNSRPIFTAEETWRFLAENGKRMYRSGKGKNGGILNKFFKNGSTGSSSTGLEKAVKETFTADGRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFPLWEVCRATSAEPGLFEPVKMRSVDGQTSCVAVDGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDILVLSLGTGSLLEISYEYEKVKNWKVKDWAKPMARISGDGSADSVDQAVAMAFGQSRSSNYVRIQANGTSLGRCCPNVDTDPSPSNVKMLIAIAEEMLKQKNVESVLFGGKRLADQTNFEKLDGFAAQLVLEHQRRSCRIAPTVAFKQPNNPKPTTP >KJB74353 pep chromosome:Graimondii2_0_v6:11:62122367:62123560:1 gene:B456_011G289800 transcript:KJB74353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPMTNSQSTAGSGPQSQPPITNPAFRTFLSRLTSSIRQGFSQRRPWYELIDRTAMARPDNLTDAYSRIRRNFSYFKVNYITLLALVLAFSLLSHPFSLLVLLGLLAAWLFLYLFRPSDQPLVIFGRTFSDRETLGILVVLTVFIVFLTSIGSLLISAILIGVAIVCIHGAFRVPEDLFLDDQDPANSGFLSFLGNAASSAAIAAAPAVASRV >KJB74352 pep chromosome:Graimondii2_0_v6:11:62122367:62125740:1 gene:B456_011G289800 transcript:KJB74352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPMTNSQSTAGSGPQSQPPITNPAFRTFLSRLTSSIRQGFSQRRPWYELIDRTAMARPDNLTDAYSRIRRNFSYFKVNYITLLALVLAFSLLSHPFSLLVLLGLLAAWLFLYLFRPSDQPLVIFGRTFSDRETLGILVVLTVFIVFLTSIGSLLISAILIGVAIVCIHGAFRVPEDLFLDDQDPANSGFLSFLGNAASSAAIAAAPAVASRV >KJB71199 pep chromosome:Graimondii2_0_v6:11:13130065:13130912:1 gene:B456_011G109900 transcript:KJB71199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNFSFIQEDTNFGFNPPQHHDQSSQTNIASDQRKETPFFNIGCDGNEFKADCLKQEKGAANETKSEDDKSTFWTVCPYCYHMYEYEKKYEDCCLVCQTCRKGFHGLAVAAPPEHVLMNGEVREYYWGYGFFPLGYSGDVFLRDKKQVGEGDNGKKPIVVEISDDSDDEKKGMDVKDVGGNVKAENLSNGEGKVVMKRVKSVLKNPKKVMGRGVKVDIGKMKVVEMNEVADIDCGSGGTRLGSDKNGGSDEYDDDELYEIRFYGDDDDEWFDG >KJB68963 pep chromosome:Graimondii2_0_v6:11:77807:81344:-1 gene:B456_011G001000 transcript:KJB68963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLMSSGKRVSVKLEVEESLEEELASLHKKRSKLDLYLQEGNVESGKFPISPSLYNPLDEPSPLGLRLKKSPSFLDLIQMKLSQQNADKLTAHNKKDSKGVSVSGVRDKLKASNFPAAILRIGSWEYKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIVAIKANYPDDVPGTLDVVLARQPLFFKETNPQPRKHTLWQATSDFTGGQASVHRQHFLQCPPGLLGKHFEKLIHCDPRLKFLSKQPEILLESAYFESRISGFDGLDDAGHSIELKSEEVPTIFRLQDQSSPSTVQSPSCINEQDFGVRASDNFCQGTPSPSSVREAHVIEEIRGSRAKEWDRINFPELNASMSMTDLVDHIGNCITEQMTASVESQNILEEITQYLLNDSQHTTASEEKSLMSRVNSLYCLLQKDSATEDGSSLVPMDDVAGSKLSHPMSRKDSIGDLLLNLPRIASMPKFLFNTLEDSDIKSRASKKNVL >KJB68964 pep chromosome:Graimondii2_0_v6:11:77810:81339:-1 gene:B456_011G001000 transcript:KJB68964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLMSSGKRVSVKLEVEESLEEELASLHKKRSKLDLYLQEGNVESGKFPISPSLYNPLDEPSPLGLRLKKSPSFLDLIQMKLSQQNADKLTAHNKKDSKGVSVSGVRDKLKASNFPAAILRIGSWEYKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIVAIKLARQPLFFKETNPQPRKHTLWQATSDFTGGQASVHRQHFLQCPPGLLGKHFEKLIHCDPRLKFLSKQPEILLESAYFESRISGFDGLDDAGHSIELKSEEVPTIFRLQDQSSPSTVQSPSCINEQDFGVRASDNFCQGTPSPSSVREAHVIEEIRGSRAKEWDRINFPELNASMSMTDLVDHIGNCITEQMTASVESQNILEEITQYLLNDSQHTTASEEKSLMSRVNSLYCLLQKDSATEDGSSLVPMDDVAGSKLSHPMSRKDSIGDLLLNLPRIASMPKFLFNTLEDSDIKSRASKKNVL >KJB72791 pep chromosome:Graimondii2_0_v6:11:47875579:47877089:1 gene:B456_011G198000 transcript:KJB72791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNPTCLCVFFTRKKIEKSVKILKIHEKSNLLIDNTRPRGHTVVGVHFDLVVGLAWAECLILKYEIQIICDPQT >KJB73899 pep chromosome:Graimondii2_0_v6:11:59086550:59089715:1 gene:B456_011G259800 transcript:KJB73899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLNLLSLATRSPVVKGNDADRRALLQFKAKITGDQLNIMESWNSSIHHCQWIGVTCGRKHRRVTKLKLRILKLSGSLSPYIGNLSFLRELDLVGNSFYNQIPQEIGGLRRLEALDLTNNSVSGEIPSNLSACSKLIFVYMSGNQLTGEIPSVLGLLSNLKELGFSRNSLKGNIPSSLGNLSSLEELRLSENALSGIIPEALGQLRNLSLFTVFENAISGIVPVAMFNLSNIRAFDIGVNKIQGTLHSDLEINMPHVEFFSVADNQIYGQIPISVSNATNLNVLQFNGNRFNGNVPSLEKLDKLFNLELGENHLGEGREGDLNFLCTLVNNTKLEYLAIGKNKFGGEFPKCISNFSSNLRGLGMGGNNIWGRIPDSIGNFINLEGLSISVNQLSGPIPFNIGRLQKLKEFSALNNSLSGTIPHSIGNLIELTELDLSFNNLQGSIPSGLGNCKNLILMDLSHNNLSGPIPSEILGLSSLSIVLSLSSNSLTGELAVEVEKLKNLGILDVSHNRLSGLLPKNLGSCVSLGKLFLEGNLFEGPIPSSLSSLRGLEALDLSDNNLSGGIPEFLVRFGALKYLNISFNDFERLIPSEGVFKNASATFVEGNSKLCGGIPELHLSRCNSKTSANTSLGLNITIIVVISGVTLVFSIFLIIWFRKRKEQKPTTTHVENSLLQLSYQSILRATNGFSSQNLVGSGSFGYVYKGILEANGAVIAVKVLNLLNHRASRSFLVECEALKSIRHRNLVKVLTAISGIDYQGNDFKALIYEFMENGSLEDWLHSSVGMNEPETMRNLNFIQRVNVAVDVAHALEYLHHRCETPIIHCDLKPSNVLLDGEMVGHISDFGLAKILSGDKSNFSTNESSSVGLRGTIGYAPPEYGMGSELSTNGDVYSYGILLLEMLTGKRPTNERFTEGLSLHNFVKTALPDRVVEIIDPILLQESVRGGIVPDITLNENNLGNDIRLQCLIAIFEIGLTCSTESPSERMDMSNVITKLCSIREKFLRPTRLRRGV >KJB69646 pep chromosome:Graimondii2_0_v6:11:2643061:2646076:-1 gene:B456_011G035300 transcript:KJB69646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITIFYLLLVLISLPLLALSVEKKVYIVYFGEHDGKKTLHEIENTHHSYLNSVKESHEDAKSSLLYSYKNSINGFAAKLTPDEASKLSKMKEVVSVIPSHGKYRSQTTRSWDFVGLNDHSWNRAQMGDDLLLNAQYGKNQIIGVVDSGVWPESASFSDVGMDPVPKTWKGICQEGVAFNKSHCNRKIIGARYYVKGFYNVFGAVNPSEDYLSPRDADGHGTHTASTATGRQVPNSAALGGIAIGIASGGAPMARLAIYKACWEMPGKPKSDGNTCMDEDVLAAIDDAIGDGVDILSLSLGFNEHSPLDQDVIAIGSLHAATKNILTVCSAGNGGPSPASLANIAPWVITVAASGIDRQFSSPVLLGNGMKIQGYSISPYAMSQMYPLVYARDVARLGVPQYLATQCQPDTLDSYKVNGKIVLCFIGQGRNVDKGIEVSKAGGVGLILANNQDNGNTIFYDSHFISATGVGYDGAVTILQYIYSTAYPTARILPVQSVSYTPAPFMAGYSSRGPNHVDAHILKPDITAPGLQILAAWSEASSPTKLPYDRRSTKFNLYSGTSMSCPHVSGVAALLRAVHPNWSISAIKSALMTTASVTDNLNNPIQDYTGNPATPFVFGSGHFQPIKASDPGLVYDISYDDYLHYLCTINPGLLNKVNAKINCPNQLASPLNLNYPSFVIPNLYGPVTVTRTVTNVFDGQSRYEFCWNLPQGVSILVSPTVLVFDHVGQSFSFTMTIFPANDYSVRNQYVFGWYMWSDGRHLVRSPFAVFLP >KJB74487 pep chromosome:Graimondii2_0_v6:11:42725705:42728398:1 gene:B456_011G181100 transcript:KJB74487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYERVSSTSASKADKVDLESGDTLYRGLSYGENQLRWGFIRKVYGILAAQLVLTTVVSAFVVFSAPVNELLRGNSGILLFLCLVPFILLWPLHVYHQKHPVNLIILGLFTVSLSLTVGVSCANTDGRIVLEALILTAGVVASLTGYTFWASKKGKDFSFLGPILFTSLIILILTGLIQMFFPLGSTSTAVYGGISALIFCGYIVYDTDNLIKRFTYDDYILASATLYLDILNLFISILRVLRSGDN >KJB74488 pep chromosome:Graimondii2_0_v6:11:42725723:42728332:1 gene:B456_011G181100 transcript:KJB74488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYERVSSTSASKADKVDLESGDTLYRGLSYGENQLRWGFIRKVYGILAAQLVLTTVVSAFVVFSAPVNELLRGNSGILLFLCLVPFILLWPLHVYHQKHPVNLIILGLFTVSLSLTVGVSCANTDGVVASLTGYTFWASKKGKDFSFLGPILFTSLIILILTGLIQMFFPLGSTSTAVYGGISALIFCGYIVYDTDNLIKRFTYDDYILASATLYLDILNLFISILRVLRSGDN >KJB71062 pep chromosome:Graimondii2_0_v6:11:11904152:11908570:-1 gene:B456_011G103300 transcript:KJB71062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALFSSWRNQMILCPAKLWSSRTVIVLFLSSRAKSVHSEKNKCHGSCVTNNFTTPLPYPFGFSPGVMIGGFHVLNVTSTYIIVGLPTKCDRQVSSINALFGENYAVSTSNNLLLQSCSKPIEAPCEIRPKFLEPPFNLNPCVSKGGNISCFAGNPGEKLLSFEEVNGTQCRFVLSSTSFVVDSARNSSISLELDRVNLEWWMKGQPDCDRNANYEPVKTGNTTVGFKCFCKGGFEGDGFRAGGGCRRVSKCNASKYMSGKCGGTARVVVLIGGLAVGASLMGGVALLCCCVRRRTNSINKRMNAKRLICEAAGNSSVPFYAYREIEKATNGFSDKQRLGIGAYGAVYSGKLQNVDWVAIKRFRFRDPASIDQVMNEIKLLSSVSHPNLVRPLGCCIEEGEPILVYEFMPNGTLLQHLQRERGEGFHGQDIKSSNILLDYNYRSKVADFGLSRLGMTESSHISTAPQGTPGYLDPQYHQYFHLSDKSDVYSFGVVLVEIITALKVVDFARQHSEVNLAALASDRIGKGCIDEIIDPYLDPHKDAWTMSSIHNVAELAFRCLAFHGDMRPSMSEVAEELEHIRLSAWVPSMSPSTSSYSSSDNESEKCLSTKMGSQRLVVKQREEDHSSPSANNLLGNASQRE >KJB72053 pep chromosome:Graimondii2_0_v6:11:27223273:27224541:-1 gene:B456_011G1561002 transcript:KJB72053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QETKKSDLDRGKSKVVE >KJB69714 pep chromosome:Graimondii2_0_v6:11:2881221:2884734:-1 gene:B456_011G038600 transcript:KJB69714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPKPEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILALGTAVMIPSFLVPLMGGTDDDKVRVAQTLLFVEGINTLLQTLFGTRLPTVIGGSYAFMVPIISIIHDTTLLSIEDNHMRFLYTMRAVQGALIVASSIQIILGYSQMWAICTRFFSPLGMIPVIALVGFGLFDKGFPVVGRCVEIGIPMLVLFIAFSQYLKNFHTKQLPILERFALIISITVIWAYAHLLTASGAYKHRPELTQLNCRTDKANLISSAPWIKIPYPLQWGAPTFDAGHAFGMMAAVLVSLIESTGSYKAAARLASATPPPAHILSRGIGWQGIGILLDGLFGTLTGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFTIFAAVYCVLFGIVASVGLSFMQFTNMNSMRNLFIIGVAMFLGLSVPEYYREYTAKALHGPAHTRAVWFNDFLNTIFFSSPTVALIVAVLLDNTLDYKDSARDRGMPWWANFRTFKGDGRSEEFYSLPFNLNRFFPPS >KJB69715 pep chromosome:Graimondii2_0_v6:11:2881351:2884734:-1 gene:B456_011G038600 transcript:KJB69715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPKPEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILALGTAVMIPSFLVPLMGGTDDDKVRVAQTLLFVEGINTLLQTLFGTRLPTVIGGSYAFMVPIISIIHDTTLLSIEDNHMRFLYTMRAVQGALIVASSIQIILGYSQMWAICTRFFSPLGMIPVIALVGFGLFDKGFPVVGRCVEIGIPMLVLFIAFSQYLKNFHTKQLPILERFALIISITVIWAYAHLLTASGAYKHRPELTQLNCRTDKANLISSAPWIKIPYPLQWGAPTFDAGHAFGMMAAVLVSLIESTGSYKAAARLASATPPPAHILSRGIGWQGIGILLDGLFGTLTGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSILALFASIPFTIFAAVYCVLFGIVASVGLSFMQFTNMNSMRNLFIIGVAMFLGLSVPEYYREYTAKALHGPAHTRAVWFNDFLNTIFFSSPTVALIVAVLLDNTLDYKDSARDRGMPWWANFRTFKGDGRSEEFYSLPFNLNRFFPPS >KJB72066 pep chromosome:Graimondii2_0_v6:11:28231184:28235705:-1 gene:B456_011G158500 transcript:KJB72066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSAIRSLPLDGRVGDYQGSLDGTNLPGDACLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGKQSCKESTDNSKDESQDTGSSTTSSSRMIAQDLNDGYQVTEALRAQMEVQRRLHEQLEVQHRLQLRIEAQSKYLQSMLEKACKALTDQDEAASTGLEAAREELSELAIKVSNDCQGMIPPHDNIKLPSLSELAVVLENNRTSNMPGRIGHCSVESCLTSSGSPVGSQAGIMKKRPRPVFGNGEPLPLDGNIRQEIEWGMPNIS >KJB72067 pep chromosome:Graimondii2_0_v6:11:28231184:28235726:-1 gene:B456_011G158500 transcript:KJB72067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSAIRSLPLDGRVGDYQGSLDGTNLPGDACLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGKQSCKESTDNSKDASCVAESQDTGSSTTSSSRMIAQDLNDGYQVTEALRAQMEVQRRLHEQLEVQHRLQLRIEAQSKYLQSMLEKACKALTDQDEAASTGLEAAREELSELAIKVSNDCQGMIPPHDNIKLPSLSELAVVLENNRTSNMPGRIGHCSVESCLTSSGSPVGSQAGIMKKRPRPVFGNGEPLPLDGNIRQEIEWGMPNIS >KJB71223 pep chromosome:Graimondii2_0_v6:11:13511099:13512937:1 gene:B456_011G111500 transcript:KJB71223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGFDEEGCFEELTVGHGGGAKKRRLSVDQVKALEKNFEVENKLEPDRKSKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKTSYESLKLNYNNLRHENEDLLKQISELKAKLIQPPGSSLGTSSEPAEVNCESFNDGGGNGSVGGGGDTVFPDLKDGSSDSDSSAILNEENNSGGDMEGGSPNNGAASSPSSMNNCFQLFKTTYQTPQYVKMEEHDFISSTADEVCNFFSDEQAPSLQWWI >KJB71222 pep chromosome:Graimondii2_0_v6:11:13511064:13513109:1 gene:B456_011G111500 transcript:KJB71222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLGSSDSLSALMSICPTSDEHSPRNNNNVYIREFQSMLEGFDEEGCFEELTVGHGGGAKKRRLSVDQVKALEKNFEVENKLEPDRKSKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKTSYESLKLNYNNLRHENEDLLKQISELKAKLIQPPGSSLGTSSEPAEVNCESFNDGGGNGSVGGGGDTVFPDLKDGSSDSDSSAILNEENNSGGDMEGGSPNNGAASSPSSMNNCFQLFKTTYQTPQYVKMEEHDFISSTADEVCNFFSDEQAPSLQWWI >KJB71124 pep chromosome:Graimondii2_0_v6:11:12518860:12519892:1 gene:B456_011G106900 transcript:KJB71124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSFANSYITTSLYQKLLVHKPFLIIENLWPMTFPRDEEINDADVEIPTLYLYQSGGENMETGGADDNLGVLLSLGLNRREAATSGECDKESKPANASNKVFSCNFCMRKFYSSQALGGHQNAHKRERGAGKRSQPHKTGFPVNPMGFRSLRVLQPHSVVHKDVRSEGSSMVARFSTDSSRPWFGVAGGTPFLGEKPMASIWPGSFRLENLQNQKPQLDADSQKLDLNLRL >KJB71519 pep chromosome:Graimondii2_0_v6:11:18107012:18113473:1 gene:B456_011G126800 transcript:KJB71519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENSWFLICMWVLLVGNCLGRFVVEKNSLKVTSPESIKGVYECAIGNFGVPQYGGTLVGTVVYPKANQGACKSFDDFDISFKSKPGGLPTFLLVDRGDCFFTLKAWNAQKAGAAAILVADSKDEPLITMDTPEEENANAEYLQNITIPSALISKSLGDSLKKALNGGEMVNMNLDWRESLPHPDERVEYEFWTNSNDECGPKCDSQIEFVKNFKGAAQILEQKGYTMFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACLFKVANESGKPWLWWDYVTDFAIRCPMKEKKYNKECADKVIQALGVDLNKVDNCIGDTEADVDNPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDRGAVLKAICAGFQETTEPAICLTEDIQTNECLENNGGCWQDKSANITACRDTFRGRVCECPLVNGVKFSGDGYTHCEASAALRCEINNGGCWRETQEGRTYSACIDDHSHGCKCPYGFRGDGVKSCEDVDECKEKLACQCPGCKCRNTWGSYECSCSGGSLYMREHDTCISKNVKTEVSWGSVWVIILGLVAAGAGGYAIYKYRIRRYMDSEIRAIMAQYMPLDNQPNNVHHPDI >KJB71518 pep chromosome:Graimondii2_0_v6:11:18107012:18111563:1 gene:B456_011G126800 transcript:KJB71518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENSWFLICMWVLLVGNCLGRFVVEKNSLKVTSPESIKGVYECAIGNFGVPQYGGTLVGTVVYPKANQGACKSFDDFDISFKSKPGGLPTFLLVDRGDCFFTLKAWNAQKAGAAAILVADSKDEPLITMDTPEEENANAEYLQNITIPSALISKSLGDSLKKALNGGEMVNMNLDWRESLPHPDERVEYEFWTNSNDECGPKCDSQIEFVKNFKGAAQILEQKGYTMFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACLFKVANESGKPWLWWDYVTDFAIRCPMKEKKYNKECADKVIQALGVDLNKVDNCIGDTEADVDNPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDRGAVLKAICAGFQETTEPAICLTEDIQTNECLENNGGCWQDKSANITACRDTFRGRVCECPLVNGVKFSGDGYTHCEASAALRCEINNGGCWRETQEGRTYSACIDDHSHGCKCPYGFRGDGVKSCEDVDECKEKLACQCPGCKCRNTWGSYECSCSGGSLYMREHDTCISEYMFEACRDSLY >KJB71520 pep chromosome:Graimondii2_0_v6:11:18107012:18112184:1 gene:B456_011G126800 transcript:KJB71520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENSWFLICMWVLLVGNCLGRFVVEKNSLKVTSPESIKGVYECAIGNFGVPQYGGTLVGTVVYPKANQGACKSFDDFDISFKSKPGGLPTFLLVDRGDCFFTLKAWNAQKAGAAAILVADSKDEPLITMDTPEEENANAEYLQNITIPSALISKSLGDSLKKALNGGEMVNMNLDWRESLPHPDERVEYEFWTNSNDECGPKCDSQIEFVKNFKGAAQILEQKGYTMFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACLFKVANESGKPWLWWDYVTDFAIRCPMKEKKYNKECADKVIQALGVDLNKVDNCIGDTEADVDNPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDRGAVLKAICAGFQETTEPAICLTEDIQTNECLENNGGCWQDKSANITACRDTFRGRVCECPLVNGVKFSGDGYTHCEASAALRCEINNGGCWRETQEGRTYSACIDDHSHGCKCPYGFRGDGVKSCEDVDECKEKLACQCPGCKCRNTWGSYECSCSGGSLYMREHDTCISKNVKTEVSWGSVWVIILGLVAAGAGGYAIYKYRIRRYMDSEIRAIMAQYMPLDNQPNNVHHPDI >KJB68882 pep chromosome:Graimondii2_0_v6:11:27828831:27829142:1 gene:B456_011G157900 transcript:KJB68882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSGFESLRIHESKTIEELYGKLCNLSNQALALGEEHFNAKLVRNVIRSLLVRFPNKVITIEKTKDLGRLAINELIDSLQTFEVNINKAKCSRTKGGINIAL >KJB71215 pep chromosome:Graimondii2_0_v6:11:13299475:13302222:-1 gene:B456_011G110800 transcript:KJB71215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGTQVTFKSVKLQKYVCAENGGGSDISVNRDAASSWETFTLWRVSESEFQFRTVQGQFLSCYGNGCVVSATAKSASSTETFQIERNNDDRVHIKTKSGAYLQATIDNQLVADYPGNPGWDDNASTFDMSIVANNLHGDYQLGNGYGHNKAKEVLERHRSTFIDAGDFEFLHRNGINTVRIPVGWWIAYDPNPPAPFIGGTLKALDNAFSWAHAYKIKCIIDLHAAPGSQNGMEHSASRDGTVGWTTSESVSQSLHVIDFLASRYANHPALLGIELLNEPSAASVPLDVLVPYYKHGYEIVRKHSPSAYVIVCQRIGNANPIELYQADIGSHNLVVDLHYYNLFDPFFVNLSPIENIRFIYESRESQIHALNDAKGPLVFIGEWVNEWNVTNGTQAEYQDFGRTQLEVYDAASFGWSYWTLKNDREHWDFEWNIKNNYLQLSNSRKNDIFNRLIWLLVALVWFHRCRIL >KJB71216 pep chromosome:Graimondii2_0_v6:11:13299398:13302222:-1 gene:B456_011G110800 transcript:KJB71216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVISKWVFAFSLFSWLIFSVAYSVEGLDEDSKVRGVNLGGWLVLEGWIKPSLFEGIPNPDMLDGTQVTFKSVKLQKYVCAENGGGSDISVNRDAASSWETFTLWRVSESEFQFRTVQGQFLSCYGNGCVVSATAKSASSTETFQIERNNDDRVHIKTKSGAYLQATIDNQLVADYPGNPGWDDNASTFDMSIVANNLHGDYQLGNGYGHNKAKEVLERHRSTFIDAGDFEFLHRNGINTVRIPVGWWIAYDPNPPAPFIGGTLKALDNAFSWAHAYKIKCIIDLHAAPGSQNGMEHSASRDGTVGWTTSESVSQSLHVIDFLASRYANHPALLGIELLNEPSAASVPLDVLVPYYKHGYEIVRKHSPSAYVIVCQRIGNANPIELYQADIGSHNLVVDLHYYNLFDPFFVNLSPIENIRFIYESRESQIHALNDAKGPLVFIGEWVNEWNVTNGTQAEYQDFGRTQLEVYDAASFGWSYWTLKNDREHWDFEWNIKNNYLQLSNSRKNDIFNRLIWLLVALVWFHRCRIL >KJB71017 pep chromosome:Graimondii2_0_v6:11:11244614:11248918:-1 gene:B456_011G100700 transcript:KJB71017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVQAETQDMELKQSGSVKKAARSASNKFRNSLSKRGRRSSKVMSIEIDDEIDAEEMQSVDALRQALILEEKLPARHDDYHTLLRFLKARRFDIEKSKEMWTNMLQWRKEFGTDTINEDFEFKEQAEVLKYYPQGYHGVDKDGRPVYIERLGLVDASKLMQVTTMDRYLKYHVREFEKTFSVKFPACSIAAKKHIDQSTTILDVQGVGLKSFTKAARDLVGSLQKIDGDNYPETLNRMFIINAGSGFRMLWNSVKSFLDPKTTAKINVLGNKYQSKLLEIIDESELPDFLGGTCTCADKGGCMLSDKGPWKDPEIIKMVQSGAHKGSKKSQDESTEEKTTSEVKTESPKSTPASEPVAAEAVPDAPIKQSDNPVEHSEPPSVQEISQVQKSPNENVVAVVNEPVMDLALAKPEQNGNFAPLQRDSFSKQASRKHPDAASSPVFNGVMTFVMGIATMVKVTRNMPRKAGDDKTDSSLAEGIGTKVKSAEGQAKLTPPPSPAISHDELASVMKRMAELEHKLSVVNRQSTTMPPEKEEMLNSALTRADALEQELMATKKALEDSFAQQQELVAYIEKKKKKRRTLIFW >KJB71020 pep chromosome:Graimondii2_0_v6:11:11244279:11249351:-1 gene:B456_011G100700 transcript:KJB71020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVQAETQDMELKQSGSVKKAARSASNKFRNSLSKRGRRSSKVMSIEIDDEIDAEEMQSVDALRQALILEEKLPARHDDYHTLLRFLKARRFDIEKSKEMWTNMLQWRKEFGTDTINEDFEFKEQAEVLKYYPQGYHGVDKDGRPVYIERLGLVDASKLMQVTTMDRYLKYHVREFEKTFSVKFPACSIAAKKHIDQSTTILDVQGVGLKSFTKAARDLVGSLQKIDGDNYPETLNRMFIINAGSGFRMLWNSVKSFLDPKTTAKINVLGNKYQSKLLEIIDESELPDFLGGTCTCADKGGCMLSDKGPWKDPEIIKMVQSGAHKGSKKSQDESTEEKTTSESTPASEPVAAEAVPDAPIKQSDNPVEHSEPPSVQEIVQKSPNENVVAVVNEPVMDLALAKPEQNGNFAPLQRDSFSKQASRKHPDAASSPVFNGVMTFVMGIATMVKVTRNMPRKAGDDKTDSSLAEGIGTKVKSAEGQAKLTPPPSPAISHDELASVMKRMAELEHKLSVVNRQSTTMPPEKEEMLNSALTRADALEQELMATKKALEDSFAQQQELVAYIEKKKKKRRTLIFW >KJB71018 pep chromosome:Graimondii2_0_v6:11:11244163:11249058:-1 gene:B456_011G100700 transcript:KJB71018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVQAETQDMELKQSGSVKKAARSASNKFRNSLSKRGRRSSKVMSIEIDDEIDAEEMQSVDALRQALILEEKLPARHDDYHTLLRFLKARRFDIEKSKEMWTNMLQWRKEFGTDTINEDFEFKEQAEVLKYYPQGYHGVDKDGRPVYIERLGLVDASKLMQVTTMDRYLKYHVREFEKTFSVKFPACSIAAKKHIDQSTTILDVQGVGLKSFTKAARDLVGSLQKIDGDNYPETLNRMFIINAGSGFRMLWNSVKSFLDPKTTAKINVLGNKYQSKLLEIIDESELPDFLGGTCTCADKGGCMLSDKGPWKDPEIIKMVQSGAHKGSKKSQDESTEEKTTSEVKTESPKSTPASEPVAAEAVPDAPIKQSDNPVEHSEPPSVQEIVQKSPNENVVAVVNEPVMDLALAKPEQNGNFAPLQRDSFSKQASRKHPDAASSPVFNGVMTFVMGIATMVKVTRNMPRKAGDDKTDSSLAEGIGTKVKSAEGQAKLTPPPSPAISHDELASVMKRMAELEHKLSVVNRQSTTMPPEKEEMLNSALTRADALEQELMATKKALEDSFAQQQELVAYIEKKKKKRRTLIFW >KJB71016 pep chromosome:Graimondii2_0_v6:11:11244163:11249400:-1 gene:B456_011G100700 transcript:KJB71016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVQAETQDMELKQSGSVKKAARSASNKFRNSLSKRGRRSSKVMSIEIDDEIDAEEMQSVDALRQALILEEKLPARHDDYHTLLRFLKARRFDIEKSKEMWTNMLQWRKEFGTDTINEDFEFKEQAEVLKYYPQGYHGVDKDGRPVYIERLGLVDASKLMQVTTMDRYLKYHVREFEKTFSVKFPACSIAAKKHIDQSTTILDVQGVGLKSFTKAARDLVGSLQKIDGDNYPETLNRMFIINAGSGFRMLWNSVKSFLDPKTTAKINVLGNKYQSKLLEIIDESELPDFLGGTCTCADKGGCMLSDKGPWKDPEIIKMVQSGAHKGSKKSQDESTEEKTTSEVKTESPKSTPASEPVAAEAVPDAPIKQSDNPVEHSEPPSVQEIVQKSPNENVVAVVNEPVMDLALAKPEQNGNFAPLQRDSFSKQASRKHPDAASSPVFNGVMTFVMGIATMVKVTRNMPRKAGDDKTDSSLAEGIGTKVKSAEGQAKLTPPPSPAISHDELASVMKRMAELEHKLSVVNRQSTTMPPEKEEMLNSALTRADALEQELMATKKALEDSFAQQQELVAYIEKKKKKRRTLIFW >KJB71019 pep chromosome:Graimondii2_0_v6:11:11244614:11248918:-1 gene:B456_011G100700 transcript:KJB71019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVQAETQDMELKQSGSVKKAARSASNKFRNSLSKRGRRSSKVMSIEIDDEIDAEEMQSVDALRQALILEEKLPARHDDYHTLLRFLKARRFDIEKSKEMWTNMLQWRKEFGTDTINEDFEFKEQAEVLKYYPQGYHGVDKDGRPVYIERLGLVDASKLMQVTTMDRYLKYHVREFEKTFSVKFPACSIAAKKHIDQSTTILDVQGVGLKSFTKAARDLVGSLQKIDGDNYPETLNRMFIINAGSGFRMLWNSVKSFLDPKTTAKINVLGNKYQSKLLEIIDESELPDFLGGTCTCADKGGCMLSDKGPWKDPEIIKMVQSGAHKGSKKSQDESTEEKTTSEVKTESPKSTPASEPVAAEAVPDAPIKQSDNPVEHSEPPSVQEISQVQKSPNENVVAVVNEPVMDLALAKPEQNGNFAPLQRDSFSKQASRKHPDAASSPVFNGVMTFVMGIATMVKVTRNMPRKAGDDKTDSSLAEGIGTKVKSAEGQAKLTPPPSPAISHDELASVMKRMAELEHKLSVVNRQSTTMPPEKEEMLNSALTRADALEQELMATKKALEDSFAQQQELVAYIEKKKKKRRTLIFW >KJB70767 pep chromosome:Graimondii2_0_v6:11:9691123:9700174:1 gene:B456_011G090700 transcript:KJB70767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQGRNKALFRAKLNAQKKEKRIDSPLISYNESDQPVCRVCDVVLKSVSHWDAHQASRKHHEAINTLKANAARRTQASNTQSGLPPRFFDNHGTEKQTTEIEKLPDPSSNKKPGISAQTHAKESLYSETEEDGCPQSSAIQTKMTQPPESRQVTQLETKKVKGSLPDNFFDRDETKLPMNLMKPPRENKLAAKQASAPETKTKHVKGSLPDDFFDKDDTKLPMNAMKPPRENIQASEQASAPETKQVKGALPEGFFDNKEADLRARGIKPVKIDVKDEYKEFEKLIQEDLQEVDNRMEEEEIDAAEMIEEAESLEQKVYKQKVETLWKRKLELETSRSNKRQRGKEADRQESNSEESTSDSDSDSDRDENFAVDWRAQHL >KJB70769 pep chromosome:Graimondii2_0_v6:11:9691123:9699912:1 gene:B456_011G090700 transcript:KJB70769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQGRNKALFRAKLNAQKKEKRIDSPLISYNESDQPVCRVCDVVLKSVSHWDAHQASRKHHEAINTLKANAARRTQASNTQSGLPPRFFDNHGTEKQTTEIEKLPDPSSNKKPGISAQTHAKESLYSETEEDGCPQSSAIQTKMTQPPESRQVTQLETKKVKGSLPDNFFDRDETKLPMNLMKPPRENKLAAKQASAPETKTKHVKGSLPDDFFDKDDTKLPMNAMKPPRENIQASEQASAPETKQVKGALPEGFFDNKEADLRARGIKPVKIDVKDEYKEFEKLIQEDLQEVDNRMEEEEIDAAEMIEEAESLEQKVYKQKVETLWKRKLELETSRSNKRQRGKEADRQESNSEESTSDSDSDSDRDENFAVDWRAQHL >KJB70765 pep chromosome:Graimondii2_0_v6:11:9691109:9700184:1 gene:B456_011G090700 transcript:KJB70765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQGRNKALFRAKLNAQKKEKRIDSPLISYNESDQPVCRVCDVVLKSVSHWDAHQASRKHHEAINTLKANAARRTQASNTQSGLPPRFFDNHGTEKQTTEIEKLPDPSSNKKPGISAQTHAKESLYSETEEDGCPQSSAIQTKMTQPPESRQVTQLETKKVKGSLPDNFFDRDETKLPMNLMKPPRENKLAAKQASAPETKTKHVKGSLPDDFFDKDDTKLPMNAMKPPRENIQASEQASAPETKQVKGALPEGFFDNKEADLRARGIKPVKIDVKDEYKEFEKLIQEDLQEVDNRMEEEEIDAAEMIEEAESLEQKVYKQKVETLWKRKLELETSRSNKRQRGKEADRQESNSEESTSDSDSDSDRDENFAVDWRAQHL >KJB70764 pep chromosome:Graimondii2_0_v6:11:9691109:9700184:1 gene:B456_011G090700 transcript:KJB70764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQGRNKALFRAKLNAQKKEKRIDSPLISYNESDQPVCRVCDVVLKSVSHWDAHQASRKHHEAINTLKANAARRTQASNTQSGLPPRFFDNHGTEKQTTEIEKLPDPSSNKKPGISAQTHAKESLYSETEEDGCPQSSAIQTKMTQPPESRQVTQLETKKVKGSLPDNFFDRDETKLPMNLMKPPRENKLAAKQASAPETKTKHVKGSLPDDFFDKDDTKLPMNAMKPPRENIQASEQASAPETKQVKGALPEGFFDNKEADLRARGIKPVKIDVKDEYKEFEKLIQEDLQEVDNRMEEEEIDAAEMIEEAESLEQKVYKQKVETLWKRKLELETSRSNKRQRGKEADRQESNSEESTSDSDSDSDRDENFAVDWRAQHL >KJB70770 pep chromosome:Graimondii2_0_v6:11:9691227:9692895:1 gene:B456_011G090700 transcript:KJB70770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQGRNKALFRAKLNAQKKEKRIDSPLISYNESDQPVCRVCDVVLKSVSHWDAHQASRKHHEVMSFSLYDPQNLDKNSLPKVGSKCCYNANVRTHQACSMMLSAFGSSL >KJB70768 pep chromosome:Graimondii2_0_v6:11:9691123:9700172:1 gene:B456_011G090700 transcript:KJB70768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQGRNKALFRAKLNAQKKEKRIDSPLISYNESDQPVCRVCDVVLKSVSHWDAHQASRKHHEAINTLKANAARRTQASNTQSGLPPRFFDNHGTEKQTTEIEKLPDPSSNKKPGISAQTHAKESLYSETEEDGCPQSSAIQTKMTQPPESRQVTQLETKKVKGSLPDNFFDRDETKLPMNLMKPPRENKLAAKQASAPETKTKHVKGSLPDDFFDKDDTKLPMNAMKPPRENIQASEQASAPETKQVKGALPEGFFDNKEADLRARGIKPVKIDVKDEYKEFEKLIQEDLQEGLQAESGNIVEEETGIGDL >KJB70766 pep chromosome:Graimondii2_0_v6:11:9691123:9696771:1 gene:B456_011G090700 transcript:KJB70766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQGRNKALFRAKLNAQKKEKRIDSPLISYNESDQPVCRVCDVVLKSVSHWDAHQASRKHHEAINTLKANAARRTQASNTQSGLPPRFFDNHGTEKQTTEIEKLPDPSSNKKPGISAQTHAKESLYSETEEDGCPQSSAIQTKMTQPPESRQVTQLETKKVKGSLPDNFFDRDETKLPMNLMKPPRENKLAAKQASAPETKTKHVKGSLPDDFFDKDDTKLPMNAMKPPRENIQASEQASAPETKQVKGALPEGFFDNKEADLRARGIKPVKIDVK >KJB68950 pep chromosome:Graimondii2_0_v6:11:22673:30409:-1 gene:B456_011G000300 transcript:KJB68950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLDRFEARKKSWSDLEETDLAVKKEPHSLRVPRSQCGGEVIKPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHCIHVRYIVGKDCEEEYIVARSAEEALMKARDKYGKDVEVYQDPDVLDTWFSSALWPFSTLGWPDLLAEDFKRFYQTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKVFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKL >KJB68947 pep chromosome:Graimondii2_0_v6:11:26733:30155:-1 gene:B456_011G000300 transcript:KJB68947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLDRFEARKKSWSDLEETDLAVKKEPHSLRVPRSQCGGEVIKPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHCIHVRYIVGKDCEEEYIVARSAEEALMKARDKYGKDVEVYQDPDVLDTWFSSALWPFSTLGWPDLLAEDFKRFYQTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKVFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKL >KJB68951 pep chromosome:Graimondii2_0_v6:11:24403:30409:-1 gene:B456_011G000300 transcript:KJB68951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLDRFEARKKSWSDLEETDLAVKKEPHSLRVPRSQCGGEVIKPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHCIHVRYIVGKDCEEEYIVARSAEEALMKARDKYGKDVEVYQDPDVLDTWFSSALWPFSTLGWPDLLAEDFKRFYQTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKVFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKL >KJB68949 pep chromosome:Graimondii2_0_v6:11:21209:30558:-1 gene:B456_011G000300 transcript:KJB68949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLDRFEARKKSWSDLEETDLAVKKEPHSLRVPRSQCGGEVIKPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHCIHVRYIVGKDCEEEYIVARSAEEALMKARDKYGKDVEVYQDPDVLDTWFSSALWPFSTLGWPDLLAEDFKRFYQTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKVFGTDALRFTLALGTSGQDLNLSTERLTANKAFTNKL >KJB68948 pep chromosome:Graimondii2_0_v6:11:21243:30409:-1 gene:B456_011G000300 transcript:KJB68948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLDRFEARKKSWSDLEETDLAVKKEPHSLRVPRSQCGGEVIKPLVSKQWFVTMQPLAEKALLAVEKGELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHCIHVRYIVGKDCEEEYIVARSAEEALMKARDKYGKDVEVYQDPDVLDTWFSSALWPFSTLGWPDLLAEDFKRFYQTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKVFGTDALRFTLALGTSGQLCVSTGKTHTQTINSRKIVFVRGRNP >KJB70615 pep chromosome:Graimondii2_0_v6:11:8382415:8385185:1 gene:B456_011G083000 transcript:KJB70615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PLDalpha MSPHFCSLSYCWMKFVLQLVANVQETIGIGKGVPKIYATIDLERARVGRTRTIENETSNPRWFESFHIYCAHNASNVVFTVKDDNPIGATLIGRAYVPVKDLTEGDEVDRWVEILDEDKNPIKSGGKIHVKLQYFGVTKDRNWDRGIVSRKFPGVPYTFYPQRKGCKVSLYQDAHIPDGFVPKIPLAGGKNYEPHRCWEDIFDAITNAKHMIYITGWSVYTEISLVRDSRRPKPGGDITIGELLKKKASEGVRVNMLVWDDRTSVGLLKKDGLMATHDEETEQFFKDTDVNCVLCPRNPDDGGSFVQELQISTMFTHHQKIVVVDAAMPNGDPEKRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTDASITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRRQGGKDVLLQLRELEDVIIPPSPVAFPDDHETWNVQLFRSIDGGAAFGFPETPEDAARAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWSPDDIKPEDINALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGIPESASVQAILDWQRRTMDMMYKDVIQALRAKGSDENPRNYLTFFCLGNREVKKSGEYEPSERPDPDTDYARAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSLREPARGQVHGFRLSLWYEHLGMLDDTFLAPESEECVRKVNQVADKYWDLYSSESLERDLPGHLLRYPIGVSSDGSVTELPGIEFFPDTKARVLGAKSDYLPPILTT >KJB70610 pep chromosome:Graimondii2_0_v6:11:8380557:8385681:1 gene:B456_011G083000 transcript:KJB70610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PLDalpha MEETLLHGTLHATIYEVDRLHDGGGNFFGKLVANVQETIGIGKGVPKIYATIDLERARVGRTRTIENETSNPRWFESFHIYCAHNASNVVFTVKDDNPIGATLIGRAYVPVKDLTEGDEVDRWVEILDEDKNPIKSGGKIHVKLQYFGVTKDRNWDRGIVSRKFPGVPYTFYPQRKGCKVSLYQDAHIPDGFVPKIPLAGGKNYEPHRCWEDIFDAITNAKHMIYITGWSVYTEISLVRDSRRPKPGGDITIGELLKKKASEGVRVNMLVWDDRTSVGLLKKDGLMATHDEETEQFFKDTDVNCVLCPRNPDDGGSFVQELQISTMFTHHQKIVVVDAAMPNGDPEKRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTDASITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRRQGGKDVLLQLRELEDVIIPPSPVAFPDDHETWNVQLFRSIDGGAAFGFPETPEDAARAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWSPDDIKPEDINALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGIPESASVQAILDWQRRTMDMMYKDVIQALRAKGSDENPRNYLTFFCLGNREVKKSGEYEPSERPDPDTDYARAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSLREPARGQVHGFRLSLWYEHLGMLDDTFLAPESEECVRKVNQVADKYWDLYSSESLERDLPGHLLRYPIGVSSDGSVTELPGIEFFPDTKARVLGAKSDYLPPILTT >KJB70611 pep chromosome:Graimondii2_0_v6:11:8380557:8385681:1 gene:B456_011G083000 transcript:KJB70611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PLDalpha MEETLLHGTLHATIYEVDRLHDGGGNFFGKLVANVQETIGIGKGVPKIYATIDLERARVGRTRTIENETSNPRWFESFHIYCAHNASNVVFTVKDDNPIGATLIGRAYVPVKDLTEGDEVDRWVEILDEDKNPIKSGGKIHVKLQYFGVTKDRNWDRGIVSRKFPGVPYTFYPQRKGCKVSLYQDAHIPDGFVPKIPLAGGKNYEPHRCWEDIFDAITNAKHMIYITGWSVYTEISLVRDSRRPKPGGDITIGELLKKKASEGVRVNMLVWDDRTSVGLLKKDGLMATHDEETEQFFKDTDVNCVLCPRNPDDGGSFVQELQISTMFTHHQKIVVVDAAMPNGDPEKRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTDASITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRRQGGKDVLLQLRELEDVIIPPSPVAFPDDHETWNVQLFRSIDGGAAFGFPETPEDAARAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWSPDDIKPEDINALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGIPESASVQAILDWQRRTMDMMYKDVIQALRAKGSDENPRNYLTFFCLGNREVKKSGEYEPSERPDPDTDYARAQEARRFMIYVHAKMMIGR >KJB70614 pep chromosome:Graimondii2_0_v6:11:8380525:8385705:1 gene:B456_011G083000 transcript:KJB70614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PLDalpha MEETLLHGTLHATIYEVDRLHDGGGNFFGKLVANVQETIGIGKGVPKIYATIDLERARVGRTRTIENETSNPRWFESFHIYCAHNASNVVFTVKDDNPIGATLIGRAYVPVKDLTEGDEVDRWVEILDEDKNPIKSGGKIHVKLQYFGVTKDRNWDRGIVSRKFPGVPYTFYPQRKGCKVSLYQDAHIPDGFVPKIPLAGGKNYEPHRCWEDIFDAITNAKHMIYITGWSVYTEISLVRDSRRPKPGGDITIGELLKKKASEGVRVNMLVWDDRTSVGLLKKDGLMATHDEETEQFFKDTDVNCVLCPRNPDDGGSFVQELQISTMFTHHQKIVVVDAAMPNGDPEKRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTDASITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRRQGGKDVLLQLRELEDVIIPPSPVAFPDDHETWNVQLFRSIDGGAAFGFPETPEDAARAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWSPDDIKPEDINALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGIPESASVQAILDWQRRTMDMMYKDVIQALRAKGSDENPRNYLTFFCLGNREVKKSGEYEPSERPDPDTDYARAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSLREPARGQVHGFRLSLWYEHLGMLDDTFLAPESEECVRKVNQVADKYWDLYSSESLERDLPGHLLRYPIGVSSDGSVTELPGIEFFPDTKARVLGAKSDYLPPILTT >KJB70613 pep chromosome:Graimondii2_0_v6:11:8380557:8385681:1 gene:B456_011G083000 transcript:KJB70613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PLDalpha MSPHFCSLSYCWMKFVLQLVANVQETIGIGKGVPKIYATIDLERARVGRTRTIENETSNPRWFESFHIYCAHNASNVVFTVKDDNPIGATLIGRAYVPVKDLTEGDEVDRWVEILDEDKNPIKSGGKIHVKLQYFGVTKDRNWDRGIVSRKFPGVPYTFYPQRKGCKVSLYQDAHIPDGFVPKIPLAGGKNYEPHRCWEDIFDAITNAKHMIYITGWSVYTEISLVRDSRRPKPGGDITIGELLKKKASEGVRVNMLVWDDRTSVGLLKKDGLMATHDEETEQFFKDTDVNCVLCPRNPDDGGSFVQELQISTMFTHHQKIVVVDAAMPNGDPEKRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTDASITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRRQGGKDVLLQLRELEDVIIPPSPVAFPDDHETWNVQLFRSIDGGAAFGFPETPEDAARAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWSPDDIKPEDINALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGIPESASVQAILDWQRRTMDMMYKDVIQALRAKGSDENPRNYLTFFCLGNREVKKSGEYEPSERPDPDTDYARAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSLREPARGQVHGFRLSLWYEHLGMLDDTFLAPESEECVRKVNQVADKYWDLYSSESLERDLPGHLLRYPIGVSSDGSVTELPGIEFFPDTKARVLGAKSDYLPPILTT >KJB70612 pep chromosome:Graimondii2_0_v6:11:8380814:8385681:1 gene:B456_011G083000 transcript:KJB70612 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PLDalpha MSPHFCSLSYCWMKFVLQLVANVQETIGIGKGVPKIYATIDLERARVGRTRTIENETSNPRWFESFHIYCAHNASNVVFTVKDDNPIGATLIGRAYVPVKDLTEGDEVDRWVEILDEDKNPIKSGGKIHVKLQYFGVTKDRNWDRGIVSRKFPGVPYTFYPQRKGCKVSLYQDAHIPDGFVPKIPLAGGKNYEPHRCWEDIFDAITNAKHMIYITGWSVYTEISLVRDSRRPKPGGDITIGELLKKKASEGVRVNMLVWDDRTSVGLLKKDGLMATHDEETEQFFKDTDVNCVLCPRNPDDGGSFVQELQISTMFTHHQKIVVVDAAMPNGDPEKRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTDASITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRRQGGKDVLLQLRELEDVIIPPSPVAFPDDHETWNVQLFRSIDGGAAFGFPETPEDAARAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWSPDDIKPEDINALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGIPESASVQAILDWQRRTMDMMYKDVIQALRAKGSDENPRNYLTFFCLGNREVKKSGEYEPSERPDPDTDYARAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSLREPARGQVHGFRLSLWYEHLGMLDDTFLAPESEECVRKVNQVADKYWDLYSSESLERDLPGHLLRYPIGVSSDGSVTELPGIEFFPDTKARVLGAKSDYLPPILTT >KJB69798 pep chromosome:Graimondii2_0_v6:11:3168505:3170337:-1 gene:B456_011G042800 transcript:KJB69798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATIPAKLCKRDSTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASKPNLFM >KJB69799 pep chromosome:Graimondii2_0_v6:11:3168505:3170562:-1 gene:B456_011G042800 transcript:KJB69799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFRFHQYQVVGRAHPTESDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATIPAKLCKRDSTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASKPNLFM >KJB69797 pep chromosome:Graimondii2_0_v6:11:3168505:3170284:-1 gene:B456_011G042800 transcript:KJB69797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVSPLIFIRYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATIPAKLCKRDSTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASKPNLFM >KJB72327 pep chromosome:Graimondii2_0_v6:11:38142037:38144980:-1 gene:B456_011G171200 transcript:KJB72327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSNLYAGLPEDEVKHETARTKNNKTRVSKHVSKPAAANVRTKHTVPQPFALATEKRASSGPRPAVSEANAGTGLNKSSSINCALHLNTRKQNQQPQLVLRKPLQPNNKKHSDDDESYSVTSLTLVSSQTAKPKSTVASAPTFRCSERAEKRKEFYSKLEEKHQALEAEKTQSEARTKEEMEAAIKQFRKSLTFKASPMPSFYHEGPPPKVELKKMPPTRAKSPKLGRRKSCSGPVSSSQGDKVKGTSHHKNRHSLGSQREAIIATTFSSANKKNQNNVPNGNSPLEFKDESSKAQEMSELVPPKANGHIDLDINFLS >KJB72324 pep chromosome:Graimondii2_0_v6:11:38142799:38144924:-1 gene:B456_011G171200 transcript:KJB72324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSNLYAGLPEDEVKHETARTKNNKTRVSKHVSKPAAANVRTKHTVPQPFALATEKRASSGPRPAVSEANAGTGLNKSSSINCALHLNTRKQNQQPQLVLRKPLQPNNKKHSDDDESYSVTSLTLVSSQTAKPKSTVASAPTFRCSERAEKRKEFYSKLEEKHQALEAEKTQSEARTKEEMEAAIKQFRKSLTFKASPMPSFYHEGPPPKVELKKMPPTRAKSPKLGRRKSCSGPVSSSQGDKVKGTSHHKNRHSLGSQREAIIATTFSSANKKNQNNVPNGNSPLEFKDESSKAQEMSELVPPKANGHIDLDINFLS >KJB72326 pep chromosome:Graimondii2_0_v6:11:38142276:38144980:-1 gene:B456_011G171200 transcript:KJB72326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSNLYAGLPEDEVKHETARTKNNKTRVSKHVSKPAAANVRTKHTVPQPFALATEKRASSGPRPAVSEANAGTGLNKSSSINCALHLNTRKQNQPQLVLRKPLQPNNKKHSDDDESYSVTSLTLVSSQTAKPKSTVASAPTFRCSERAEKRKEFYSKLEEKHQALEAEKTQSEARTKEEMEAAIKQFRKSLTFKASPMPSFYHEGPPPKVELKKMPPTRAKSPKLGRRKSCSGPVSSSQGDKVKGTSHHKNRHSLGSQREAIIATTFSSANKKNQNNVPNGNSPLEFKDESSKAQEMSELVPPKANGHIDLDINFLS >KJB72325 pep chromosome:Graimondii2_0_v6:11:38142799:38144924:-1 gene:B456_011G171200 transcript:KJB72325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSNLYAGLPEDEVKHETARTKNNKTRVSKHVSKPAAANVRTKHTVPQPFALATEKRASSGPRPAVSEANAGTGLNKSSSINCALHLNTRKQNQLLMKRLYVWQQPQLVLRKPLQPNNKKHSDDDESYSVTSLTLVSSQTAKPKSTVASAPTFRCSERAEKRKEFYSKLEEKHQALEAEKTQSEARTKEEMEAAIKQFRKSLTFKASPMPSFYHEGPPPKVELKKMPPTRAKSPKLGRRKSCSGPVSSSQGDKVKGTSHHKNRHSLGSQREAIIATTFSSANKKNQNNVPNGNSPLEFKDESSKAQEMSELVPPKANGHIDLDINFLS >KJB69603 pep chromosome:Graimondii2_0_v6:11:2456038:2458833:-1 gene:B456_011G033300 transcript:KJB69603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTIYLFGDSITEASFADGGWGAALANHFCRTLDVVLRGYSGYNTRWALKVLDRVFPTVGHDGAAAAPPVAKRWPKTLILLITPPPIDEDGRLRHPYVENPSGLPERTNEAAGSFAKACVETAEECGIPVVDLWTRMQQYTDWRKAYLSDGLHLTKEGNKVVFEEVMKKLEERGLSLEKLKADLPLIADIDHHDPLKAFQQ >KJB69602 pep chromosome:Graimondii2_0_v6:11:2456038:2458494:-1 gene:B456_011G033300 transcript:KJB69602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EYLIIPKLFVTKIQRQFSAVVKSFWFFFSDLTVFSEEDFDHFSFCHSNMRPTIYLFGDSITEASFADGGWGAALANHFCRTLDVVLRGYSGYNTRWALKVLDRVFPTVGHDGAAAAPPVAKRWPKTLILLITPPPIDEDGRLRHPYVENPSGLPERTNEAAGSFAKACVETAEECGIPVVDLWTRMQQYTDWRKAYLSDGLHLTKEGNKVVFEEVMKKLEERGLSLEKLKADLPLIADIDHHDPLKAFQQ >KJB74075 pep chromosome:Graimondii2_0_v6:11:60310849:60314422:-1 gene:B456_011G270800 transcript:KJB74075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAQGMDPAVLDDIIRRLTEVRSARPGKQVQLSESEIKQLCVASKDIFVQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPHANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKCFTECFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLSRPTAIPDTGLLCDLLWSDPDRDVKGWGMNDRGVSYTFGADKVSEFLAKHDLDLVCRAHQVVTCLHFFHPSIECCLILMVNWLFLCRLWRMVTNSLLIGNLLQYFQHLTIVVNLIMLVR >KJB74074 pep chromosome:Graimondii2_0_v6:11:60310802:60314435:-1 gene:B456_011G270800 transcript:KJB74074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAQGMDPAVLDDIIRRLTEVRSARPGKQVQLSESEIKQLCVASKDIFVQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPHANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKCFTECFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLSRPTAIPDTGLLCDLLWSDPDRDVKGWGMNDRGVSYTFGADKVSEFLAKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKAKFMSTKM >KJB74076 pep chromosome:Graimondii2_0_v6:11:60310849:60314422:-1 gene:B456_011G270800 transcript:KJB74076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAQGMDPAVLDDIIRRLTEVRSARPGKQVQLSESEIKQLCVASKDIFVQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPHANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKCFTECFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLSRPTAIPDTGLLCDLLWSDPDRDVKGWGMNDRGVSYTFGADKVSEFLAKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKAKFMSTKM >KJB69061 pep chromosome:Graimondii2_0_v6:11:313451:324743:-1 gene:B456_011G003900 transcript:KJB69061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIEELSELSEAIRQAAAVLADEDVDETSSSSSKRPSTFLNVVALGNVGAGKSAVLNSLIGHPLLPTGENGATRAPISIDLARDSSLSAKSIILQIDNKSQQVSASALRHSLQDRLSKGASGRSRDEIYLKLRTSTAPPLKLIDLPGLEQRIVDESLLREYVEHNDAILLVIVPAAQAPEISSSRALRIAKEYDSEGTRTVGIISKIDQAASDSKALAAVQALLLNQGPPKTSDIPWVALIGQSVSIASTQSGSASSDNSLETAWRAESESLKSILTGAPQNKLGRVALVDVLAGQIRNRIKLRLPNLLSGLQGKSQIIQDELVRLGEQMVTTAEGTRAVALELCREFEDKFLQHITGGEGNGWKIVSSFEGSFPNRIKQLPLDRHFDMNNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSLLCVDEVHRVLLDIVSAAANATPGLGRYAPFKREVVAIASVALDGFRTEARKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEEVKNRSSKKASDAEQSILNRASSPQTGGQQQSEGKFEGNLKSLKDKFSKKEKDVPPAPPEGSALKTAGPGGEITAGFLLKKSGKTNGWSRRWFVLNEKTGKLGYTKKQEERHFRGVITLEECNIEEVADDEGGSSKSSKDKKSSEKEPSLVFKITSRIPYKTVLKAHSAVVLKAESLADKTEWLEKLKNVVESKGGQVMVESAAPPMRQSLSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVILCQVEKAKEDMLIQLYSSVSLLWGNNFTLSCFGDQCHKQSQD >KJB69063 pep chromosome:Graimondii2_0_v6:11:318667:324743:-1 gene:B456_011G003900 transcript:KJB69063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIEELSELSEAIRQAAAVLADEDVDETSSSSSKRPSTFLNVVALGNVGAGKSAVLNSLIGHPLLPTGENGATRAPISIDLARDSSLSAKSIILQIDNKSQQVSASALRHSLQDRLSKGASGRSRDEIYLKLRTSTAPPLKLIDLPGLEQRIVDESLLREYVEHNDAILLVIVPAAQAPEISSSRALRIAKEYDSEGTRTVGIISKIDQAASDSKALAAVQALLLNQGPPKTSDIPWVALIGQSVSIASTQSGSASSDNSLETAWRAESESLKSILTGAPQNKLGRVALVDVLAGQIRNRIKLRLPNLLSGLQGKSQIIQDELVRLGEQMVTTAEGTRAVALELCREFEDKFLQHITGGEGNGWKIVSSFEGSFPNRIKQLPLDRHFDMNNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSLLCVDEVHRVLLDIVSAAANATPGLGRYAPFKREVVAIASVALDGFRTEARKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEEVKNRSSKKASDAEQSILNRASSPQTGGQQQSEGKFEGNLKSLKDKFSKKEKDVPPAPPEGSALKTAGPGGEITAGAMPQYVSKGSSPF >KJB69062 pep chromosome:Graimondii2_0_v6:11:313451:324835:-1 gene:B456_011G003900 transcript:KJB69062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIEELSELSEAIRQAAAVLADEDVDETSSSSSKRPSTFLNVVALGNVGAGKSAVLNSLIGHPLLPTGENGATRAPISIDLARDSSLSAKSIILQIDNKSQQVSASALRHSLQDRLSKGASGRSRDEIYLKLRTSTAPPLKLIDLPGLEQRIVDESLLREYVEHNDAILLVIVPAAQAPEISSSRALRIAKEYDSEGTRTVGIISKIDQAASDSKALAAVQALLLNQGPPKTSDIPWVALIGQSVSIASTQSGSASSDNSLETAWRAESESLKSILTGAPQNKLGRVALVDVLAGQIRNRIKLRLPNLLSGLQGKSQIIQDELVRLGEQMVTTAEGTRAVALELCREFEDKFLQHITGGEGNGWKIVSSFEGSFPNRIKQLPLDRHFDMNNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSLLCVDEVHRVLLDIVSAAANATPGLGRYAPFKREVVAIASVALDGFRTEARKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEEVKNRSSKKASDAEQSILNRASSPQTGGQQQSEGKFEGNLKSLKDKFSKKEKDVPPAPPEGSALKTAGPGGEITAGFLLKKSGKTNGWSRRWFVLNEKTGKLGYTKKQEERHFRGVITLEECNIEEVADDEGGSSKSSKDKKSSEKEPSLVFKITSRIPYKTVLKAHSAVVLKAESLADKTEWLEKLKNVVESKGGQVMVESAAPPMRQSLSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVILCQVEKAKEDMLIQLYSSVSAISNPRIEELLMEDQNVKRRRERYQKQSSLLLKLTRQLSVHDNRAAAASSWSNGSTADVESSPRTSAASSGDDWRSAFDAAANGPAGSGRHGSNGHSRRYSDPAQNGDEGLGSGSSSSSRRTPTRLPPAPPQSGSYRY >KJB69876 pep chromosome:Graimondii2_0_v6:11:3691279:3698651:1 gene:B456_011G047800 transcript:KJB69876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTIDPTPAASSAGASSPAVPTNVGSVDWSGHGQNSKAASQSCVGSQAPRISFSTSAGGSALGSSRTSCRPWERGDLLRRLATFKPMNWFGKPKVASSLACAQRGWVNIDVDKIVCETCGACLHFASSPSWATSEVEDAGEAFSKQLDIGHKVSCPWRGNSCPESLVQFPPTPQSALIAGYKDRCDGLVQFQSLPIIATSAMEHMRVSRGPQVDRLLSLLQNYVSEFESRSESVPELDVTRDGAFCLYSRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPNRAQVHLSQDPGPSKNALAPSAKDTGKNKVLVMESRSEFRVPLLDCSLCGATVRILDFLIVPRPARVAPNNIDIPDTSKKMGLTRGLSAASGISGWVAADDPEKELTEDRDEVGTTDERKLVPKTDVDLNLTMAGGLSFYKLGRATSSRNMNDADMGRDLMIGQPSGSEVGDRAASYESRGPSPRKRSLEIGASSDDRPQLCTQQADSVEETVIDRDGDKFNDCRQYSAGPSKRARDSDFFDTYCSPYPRDSSEAGPSHSVGFETHGDGGNRVALFRQGSNQVIEIPSVRDSMRASSVIAMDTLCHSAGGDSMESVENYRGDVDDIHFPSSSTYGHLDMNETSELNYSNQAQQSICFQPAAEEVPGEMGISSTNDGEEIFNAEPETVTAQARDGLSFGISGGSVGMCASHEAEIHGADVSVHRTDSVVGDVEPRIEDVENQGQTGESAPDPGLMDEVVPGEINREDPHGDSQEMLSRSLGRADSGSKVDGSVKAESVESGEKISQSCKLAPDNGAHPSLSCNANMYSGNETPKKEEKDAGKSSSINNCPEPESDFAVANGIGPPKGESNYEEAVEFDPVIHHNQFCPWVNGTVAAAGCNGSSADVVALCGWQLTLDALDALRSQGHIPVQTVQSESAASLYRDDHQTPGKKLHRRRPMNKNHGQ >KJB69872 pep chromosome:Graimondii2_0_v6:11:3691279:3698651:1 gene:B456_011G047800 transcript:KJB69872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTIDPTPAASSAGASSPAVPTNVGSVDWSGHGQNSKAASQSCVGSQAPRISFSTSAGGSALGSSRTSCRPWERGDLLRRLATFKPMNWFGKPKVASSLACAQRGWVNIDVDKIVCETCGACLHFASSPSWATSEVEDAGEAFSKQLDIGHKVSCPWRGNSCPESLVQFPPTPQSALIAGYKDRCDGLVQFQSLPIIATSAMEHMRVSRGPQVDRLLSLLQNYVSEFESRSESVPELDVTRDGAFCLYSRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPNRAQVHLSQDPGPSKNALAPSAKDTGKNKVLVMESRSEFRVPLLDCSLCGATVRILDFLIVPRPARVAPNNIDIPDTSKKMGLTRGLSAASGISGWVAADDPEKELTEDRDEVGTTDERKLVPKTDVDLNLTMAGGLSFYKLGRATSSRNMNDADMGRDLMIGQPSGSEVGDRAASYESRGPSPRKRSLEIGASSDDRPQLCTQQADSVEETVIDRDGDKFNDCRQYSAGPSKRARDSDFFDTYCSPYPRDSSEAGPSHSVGFETHGDGGNRVALFRQGSNQVIEIPSVRDSMRASSVIAMDTLCHSAGGDSMESVENYRGDVDDIHFPSSSTYGHLDMNETSELNYSNQAQQSICFQPAAEEVPGEMGISSTNDGEEIFNAEPETVTAQARDGLSFGISGGSVGMCASHEAEIHGADVSVHRTDSVVGDVEPRIEDVENQGQTGESAPDPGLMDEVVPGEINREDPHGDSQEMLSRSLGRADSGSKVDGSVKAESVESGEKISQSCKLAPDNGAHPSLSCNANMYSGNETPKKEEKDAGKSSSINNCPEPESDFAVANGIGPPKGESNYEEAVEFDPVIHHNQFCPWVNGTVAAAGCNGSSADVVALCGWQLTLDALDALRSQGHIPVQTVQSESAASLYRDDHQTPGKKLHRRRPMNKNHGQ >KJB69875 pep chromosome:Graimondii2_0_v6:11:3691260:3698730:1 gene:B456_011G047800 transcript:KJB69875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTIDPTPAASSAGASSPAVPTNVGSVDWSGHGQNSKAASQSCVGSQAPRISFSTSAGGSALGSSRTSCRPWERGDLLRRLATFKPMNWFGKPKVASSLACAQRGWVNIDVDKIVCETCGACLHFASSPSWATSEVEDAGEAFSKQLDIGHKVSCPWRGNSCPESLVQFPPTPQSALIAGYKDRCDGLVQFQSLPIIATSAMEHMRVSRGPQVDRLLSLLQNYVSEFESRSESVPELDVTRDGAFCLYSRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPNRAQVHLSQDPGPSKNALAPSAKDTGKNKVLVMESRSEFRVPLLDCSLCGATVRILDFLIVPRPARVAPNNIDIPDTSKKMGLTRGLSAASGISGWVAADDPEKELTEDRDEVGTTDERKLVPKTDVDLNLTMAGGLSFYKLGRATSSRNMNDADMGRDLMIGQPSGSEVGDRAASYESRGPSPRKRSLEIGASSDDRPQLCTQQADSVEETVIDRDGDKFNDCRQYSAGPSKRARDSDFFDTYCSPYPRDSSEAGPSHSVGFETHGDGGNRVALFRQGSNQVIEIPSVRDSMRASSVIAMDTLCHSAGGDSMESVENYRGDVDDIHFPSSSTYGHLDMNETSELNYSNQAQQSICFQPAAEEVPGEMGISSTNDGEEIFNAEPETVTAQARDGLSFGISGGSVGMCASHEAEIHGADVSVHRTDSVVGDVEPRIEDVENQGQTGESAPDPGLMDEVVPGEINREDPHGDSQEMLSRSLGRADSGSKVDGSVKAESVESGEKISQSCKLAPDNGAHPSLSCNANMYSGNETPKKEEKDAGKSSSINNCPEPESDFAVANGIGPPKGESNYEEAVEFDPVIHHNQFCPWVNGTVAAAGCNGSSADVVALCGWQLTLDALDALRSQGHIPVQTVQSESAASLYRDDHQTPGKKLHRRRPMNKNHGQ >KJB69874 pep chromosome:Graimondii2_0_v6:11:3691279:3698651:1 gene:B456_011G047800 transcript:KJB69874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTIDPTPAASSAGASSPAVPTNVGSVDWSGHGQNSKAASQSCVGSQAPRISFSTSAGGSALGSSRTSCRPWERGDLLRRLATFKPMNWFGKPKVASSLACAQRGWVNIDVDKIVCETCGACLHFASSPSWATSEVEDAGEAFSKQLDIGHKVSCPWRGNSCPESLVQFPPTPQSALIAGYKDRCDGLVQFQSLPIIATSAMEHMRVSRGPQVDRLLSLLQNYVSEFESRSESVPELDVTRDGAFCLYSRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPNRAQVHLSQDPGPSKNALAPSAKDTGKNKVLVMESRSEFRVPLLDCSLCGATVRILDFLIVPRPARVAPNNIDIPDTSKKMGLTRGLSAASGISGWVAADDPEKELTEDRDEVGTTDERKLVPKTDVDLNLTMAGGLSFYKLGRATSSRNMNDADMGRDLMIGQPSGSEVGDRAASYESRGPSPRKRSLEIGASSDDRPQLCTQQADSVEETVIDRDGDKFNDCRQYSAGPSKRARDSDFFDTYCSPYPRDSSEAGPSHSVGFETHGDGGNRVALFRQGSNQVIEIPSVRDSMRASSVIAMDTLCHSAGGDSMESVENYRGDVDDIHFPSSSTYGHLDMNETSELNYSNQAQQSICFQPAAEEVPGEMGISSTNDGEEIFNAEPETVTAQARDGLSFGISGGSVGMCASHEAEIHGADVSVHRTDSVVGDVEPRIEDVENQGQTGESAPDPGLMDEVVPGEINREDPHGDSQEMLSRSLGRADSGSKVDGSVKAESVESGEKISQSCKLAPDNGAHPSLSCNANMYSGNETPKKEEKDAGKSSSINNCPEPESDFAVANGIGPPKGESNYEEAVEFDPVIHHNQFCPWVNGTVAAAGCNGSSADVVALCGWQLTLDALDALRSQGHIPVQTVQSESAASLMITKLLVKSSIDGVL >KJB69873 pep chromosome:Graimondii2_0_v6:11:3691394:3696911:1 gene:B456_011G047800 transcript:KJB69873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTIDPTPAASSAGASSPAVPTNVGSVDWSGHGQNSKAASQSCVGSQAPRISFSTSAGGSALGSSRTSCRPWERGDLLRRLATFKPMNWFGKPKVASSLACAQRGWVNIDVDKIVCETCGACLHFASSPSWATSEVEDAGEAFSKQLDIGHKVSCPWRGNSCPESLVQFPPTPQSALIAGYKDRCDGLVQFQSLPIIATSAMEHMRVSRGPQVDRLLSLLQNYVSEFESRSESVPELDVTRDGAFCLYSRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPNRAQVHLSQDPGPSKNALAPSAKDTGKNKVLVMESRSEFRVPLLDCSLCGATVRILDFLIVPRPARVAPNNIDIPDTSKKMGLTRGLSAASGISGWVAADDPEKELTEDRDEVGTTDERKLVPKTDVDLNLTMAGGLSFYKLGRATSSRNMNDADMGRDLMIGQPSGSEVGDRAASYESRGPSPRKRSLEIGASSDDRPQLCTQQADSVEETVIDRDGDKFNDCRQYSAGPSKRARDSDFFDTYCSPYPRDSSEAGPSHSVGFETHGDGGNRVALFRQGSNQVIEIPSVRDSMRASSVIAMDTLCHSAGGDSMESVENYRGDVDDIHFPSSSTYGHLDMNETSELNYSNQAQQSICFQPAAEEVPGEMGISSTNDGEEIFNAEPETVTAQARDGLSFGISGGSVGMCASHEAEIHGADVSVHRTDSVVGDVEPRIEDVENQGQTGESAPDPGLMDEVVPGEINREDPHGDSQEMLSRSLGRADSGSKVDGSVKAESVESGEKISQSCKLAPDNGAHPSLSCNANMYSGNETPKKEEKDAGKSSSINNCPEPESDFAVANGIGPPKGESNYEEAVEFDPVIHHNQFCPWVNGTVAAAGCNGSSADVVALCGWQLTLDALDALRSQGHIPVQTVQSESAASLYRVCLS >KJB70617 pep chromosome:Graimondii2_0_v6:11:8408901:8409941:1 gene:B456_011G083200 transcript:KJB70617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAIEVSVEKVKVIWDKRLTEIFYDICIKEILKSNRPGTHFTKDEWLKIMTNFEKETGKGFSQRQLKNRWDALKKEWKAWKKLKGEDTGLWWNPIKRTVDASDDWWENRLQLDQMFMGIVATGDKAWAPSSGTLRKKNLEISSSHFKTRRKKSSKQIRGAARLSSQIENLCNAADNMSQATSSLTPVMNPYGIPQAVKMLDSMSEEVLEASPLYFFALKLLLNKDKRIMFLSINPKIRALWLKTEIEDI >KJB70399 pep chromosome:Graimondii2_0_v6:11:6599483:6607607:-1 gene:B456_011G071500 transcript:KJB70399 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 29 [Source:Projected from Arabidopsis thaliana (AT3G16340) UniProtKB/Swiss-Prot;Acc:Q94A18] MDGIEKARSSRSSSSHNNLSSGIRLSLSKNHWTMDDVFAARNSGAEEDDEEALKWAAIEKLPTYDRLKTSIMNSFVENVDGNTNKSGPREVDVRKLDMDDRQRFINALLKVTDEDNEKFLRRFRNRLDKVGIRLPKVEVRYEHLNIEAETYLGSRALPTLVNSAQNIAELALAMLGIRLSKPTKLNILKDISGIIKPSRMTLLLGPPSSGKTTLLLALAGKLNPSLKVSGEVSYNGYKLNEFVPQKTSAYISQHDVHVPEMTVQETLDFSARCQGVGTRYDLLSELARREKYAGIYPEPEVDLFMKATAIEGAESSLVTDYILKMLGLDICKDTIVGDEMIRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTYQVVKCLQQIAHLTDATIFMSLLQPAPETFDLFDDIVLISEGRIVYQGPREHVVEFFESCGFKCPERKGVADFLQEVTSKKDQAQYWADGSKPYSYFPVTEFANRFKKFHVGVQLANELSMPFDKSRGHKAALVFSRYSVTKLEILKACWDKEVLLMKRNSFFYIFKTTQIIIIAVFASTVFLRTRLHHRDEADALLYNGALLYSLITNMFNGYPEISLMITRLPVFFKQRDHLLYPAWAFAIPLLVTKIPIAIFETIAWMTLTYYPIGFAPGADRFFKKMLVVFMIQQMSASLFRLISGICRTIVVSNTGGMFALMFVAFLGGFSLPRTQIPKWWKWGYWLSPLSYGYTSLSVNEMYAPRWINRRASDNVTKLGEAVLEELEIYRNKNWYWIGVGALLGFCILFNVLFTLALTYLNPPAGPQAVLPQDTGDETDSQSNPTRATTKKGMVLPFTPLAMSFDKVNYYVDMPAEMKAQGVAENRLQLLREATGAFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGFPKRQETFARVSGYCEQTDIHSPQITVRESLIFSAFLRLPKEINNKEKMTFVDQVMKLVELDNLKDGLVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGRVIYFGRLGQNSQKIIQYFEAVPGIPKIKEKQNPATWMLEVSSTAVEAQLGIDFAEHYKSSSLYQQNQALVKALSTPPPGANDLHFITQYSQPMWGQFRCCLWKQFKAYWRTPEYNLVRLGFTLIAALIIGSIFWGAGTKLKNATQLSVITGGMYIAAMFLGVNNCQTAQPVVAIERSIFYRERAAGMYSALPYALAQRIPPWWIWYYWICPMAWTVYGLITSQYGDDERTIKVPGMKLDPTIKWYLEHHFGFHHDFLRTVAVVLVVFPLFFAFMFGLCIKLLNFQVR >KJB70398 pep chromosome:Graimondii2_0_v6:11:6599477:6607783:-1 gene:B456_011G071500 transcript:KJB70398 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 29 [Source:Projected from Arabidopsis thaliana (AT3G16340) UniProtKB/Swiss-Prot;Acc:Q94A18] MQENIYAMDGIEKARSSRSSSSHNNLSSGIRLSLSKNHWTMDDVFAARNSGAEEDDEEALKWAAIEKLPTYDRLKTSIMNSFVENVDGNTNKSGPREVDVRKLDMDDRQRFINALLKVTDEDNEKFLRRFRNRLDKVGIRLPKVEVRYEHLNIEAETYLGSRALPTLVNSAQNIAELALAMLGIRLSKPTKLNILKDISGIIKPSRMTLLLGPPSSGKTTLLLALAGKLNPSLKVSGEVSYNGYKLNEFVPQKTSAYISQHDVHVPEMTVQETLDFSARCQGVGTRYDLLSELARREKYAGIYPEPEVDLFMKATAIEGAESSLVTDYILKMLGLDICKDTIVGDEMIRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTYQVVKCLQQIAHLTDATIFMSLLQPAPETFDLFDDIVLISEGRIVYQGPREHVVEFFESCGFKCPERKGVADFLQEVTSKKDQAQYWADGSKPYSYFPVTEFANRFKKFHVGVQLANELSMPFDKSRGHKAALVFSRYSVTKLEILKACWDKEVLLMKRNSFFYIFKTTQIIIIAVFASTVFLRTRLHHRDEADALLYNGALLYSLITNMFNGYPEISLMITRLPVFFKQRDHLLYPAWAFAIPLLVTKIPIAIFETIAWMTLTYYPIGFAPGADRFFKKMLVVFMIQQMSASLFRLISGICRTIVVSNTGGMFALMFVAFLGGFSLPRTQIPKWWKWGYWLSPLSYGYTSLSVNEMYAPRWINRRASDNVTKLGEAVLEELEIYRNKNWYWIGVGALLGFCILFNVLFTLALTYLNPPAGPQAVLPQDTGDETDSQSNPTRATTKKGMVLPFTPLAMSFDKVNYYVDMPAEMKAQGVAENRLQLLREATGAFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGFPKRQETFARVSGYCEQTDIHSPQITVRESLIFSAFLRLPKEINNKEKMTFVDQVMKLVELDNLKDGLVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGRVIYFGRLGQNSQKIIQYFEAVPGIPKIKEKQNPATWMLEVSSTAVEAQLGIDFAEHYKSSSLYQQNQALVKALSTPPPGANDLHFITQYSQPMWGQFRCCLWKQFKAYWRTPEYNLVRLGFTLIAALIIGSIFWGAGTKLKNATQLSVITGGMYIAAMFLGVNNCQTAQPVVAIERSIFYRERAAGMYSALPYALAQVIVEIPFVVTQSSYFTLIVYAMMKFNWTAKKFFWFLFVNFCTFLYFTYYGMMAVAISPNVQVAAILAAAFYSLFNLFSGFYIPKPRIPPWWIWYYWICPMAWTVYGLITSQYGDDERTIKVPGMKLDPTIKWYLEHHFGFHHDFLRTVAVVLVVFPLFFAFMFGLCIKLLNFQVR >KJB71829 pep chromosome:Graimondii2_0_v6:11:22724819:22728064:-1 gene:B456_011G143600 transcript:KJB71829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIILLVLIILAIADVNSSNEISSNSYSACPENEKQALLVFKEGLIDDANRLASWDPHHHPDCCTWFGVVCGNMTGHILSLNLSLPPLDDTVDIDSYLMSMLKGKINPCLSNLKHLRYLDLSNNAFEGLLPYQLGNLSISGSFQLVATGNTRLPSLEELHLSNYHLQLGRPLLNVNLSTLAVLDLSYNFFTNQMNLGWVSKLNSLVVLNLAGSDFHGPIPNFLRNMTSLRHLDLSYNNFKSSIPEWLYRFSSLQVLSLSANELQGDISSAIFNISTLNEIDLSWNDLEGKLPRAVGNLCNLRSIVLSSVRLNQDISHIFEILSVVNFGQLTDQLEHFKNLKELSSNDNSIFGPIPTSLGKLANLEKVEIYNNLLEGVVSGKHFANHTKLRYFEGWDNSLVLRANPYRVPPFQLRLLGLRSWHIGPSFPFFNNFSGPLPQISMGSNPSMIDLSNNYFLGPLFHFLCFQLNATIGTRVLSLANNLLSGKIPDCWIKWQSLQVLRLDGNRFTGKIPSSMGTLSELQSLHLHNKLHGEIPLSLKNCRELDLTNLIILILRSNKFGGSIPDHLCALNSLHIFDLADNNFFGSIPRCISNFTAMVRGSGSWGNAILYAAIAGPLSESASVVMKGQLLEYDSTLNLVKVLDFSRNKFSGKIPHEVTSLQGLQSLNLSQNHLSGKIPENIGGMKSLESLDLSQNQLSGSVPESMSSMTFLSHLNLSSNNFTGRIPTSSQLQSFNESCYAGNHLCGSPLKDCKGSGNEHGVRNGGRGIGEGQEVNWFYVSMPLGFVSGFWCVLGPLVISRQWRIMYFRFLEQMWWKVCDFVAKIK >KJB74350 pep chromosome:Graimondii2_0_v6:11:62118487:62121984:1 gene:B456_011G289700 transcript:KJB74350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22410, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G22410) UniProtKB/Swiss-Prot;Acc:Q9SJZ3] MYVHNGVIHFLVSSGELELAGKVFDESCVRDLVSWNSLINGYVRSGGAKEAIGLYRKMQEEGVEPDEVTMIGIVSSCAQLEDLKLGRDFHKYIEDHGLNLTIPLSNALMDMYVKCGNLESAQRIFDDMEKKTIVSCTTMIVGYTRLGLLDAARKLFDEMPEKDVVPWNAIIGGYVQAKCSKEALTLFHEMQDAGIDPDEVTMVSCLSACSQLGALDVGIWIHHYIEKHKLHLNVVLGTALIDMYAKCGNITKALQVFHEMPSRNSLTWTSIIGALALHGNAHDALSYFSEMVEVGLRPDEVTFLGVLSACSHGGLVEEGRKYFTQMTSKFSLSPQLKHYSCMVDLLGRAGLLDEAEELVKSMAVEPDAVVWGALFFACRMHGNFVMGERAALKLLELDPHDSGIYVLLANMYGDANMWEEAGKVRKMMRERGVEKTPGCSSIEVNGTVYEFIVRDKSHPESEKIYGSLIQLTRQSGFAEFTYGLSELETHGASNLT >KJB74351 pep chromosome:Graimondii2_0_v6:11:62119079:62121984:1 gene:B456_011G289700 transcript:KJB74351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22410, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G22410) UniProtKB/Swiss-Prot;Acc:Q9SJZ3] MTLLYVISSNFSSLRLISKPLLNPYLLSSSSYSLYTRSLPPLKVKRSPTNCKKAQALFLRNPLLSILEECKCLPQLKEIQAQMTIKGLMSDWFFYSRLIAFCALSEHKNLDHSFKILYNLQNPNAFSWNVTIRGCAESENPIEAIFVYKRMLRNNGCIRPDNYTYPSLLKVCAFLMLKYLGFEILGHVLKLGFDADMYVHNGVIHFLVSSGELELAGKVFDESCVRDLVSWNSLINGYVRSGGAKEAIGLYRKMQEEGVEPDEVTMIGIVSSCAQLEDLKLGRDFHKYIEDHGLNLTIPLSNALMDMYVKCGNLESAQRIFDDMEKKTIVSCTTMIVGYTRLGLLDAARKLFDEMPEKDVVPWNAIIGGYVQAKCSKEALTLFHEMQDAGIDPDEVTMVSCLSACSQLGALDVGIWIHHYIEKHKLHLNVVLGTALIDMYAKCGNITKALQVFHEMPSRNSLTWTSIIGALALHGNAHDALSYFSEMVEVGLRPDEVTFLGVLSACSHGGLVEEGRKYFTQMTSKFSLSPQLKHYSCMVDLLGRAGLLDEAEELVKSMAVEPDAVVWGALFFACRMHGNFVMGERAALKLLELDPHDSGIYVLLANMYGDANMWEEAGKVRKMMRERGVEKTPGCSSIEVNGTVYEFIVRDKSHPESEKIYGSLIQLTRQSGFAEFTYGLSELETHGV >KJB72903 pep chromosome:Graimondii2_0_v6:11:49308106:49309787:-1 gene:B456_011G203900 transcript:KJB72903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRGRDCVPKAQSSEEDQMELRRGPWTVEEDFKLIDYIATHGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLVERIQAANAASSTSTTAVNTAVVGTEPMVFPDDHHLGGGAQQVTSSSNNNYTLENSSTTAASSDSFGTQVSPVSDFTDYYSNISINHNPNPNCFEAGNYYNNGLDFQCLEQNNPWLDTVDGSDSIFDAEDLYFLQQQFNFNM >KJB71466 pep chromosome:Graimondii2_0_v6:11:18497320:18498792:1 gene:B456_011G128500 transcript:KJB71466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EXP1 MATKTMMLQIFSLFFFLFSICNSIFLGANGDDNGGWQTAHATFYGGADATGTMGGACGYGNLYSQGYGTSTAALSTALFNNGLSCGACYELRCNNDPQWCISRTITVTATNFCPPNYALSSDNGGWCNPPREHFDLAEPAFLQIAEYRAGIVPVMFRRWVSCVKKGGIRYTMNGHSYFNMVLITNVGGAGDITSVSIKGSKTGWLPMSRNWGQNWQSNAYLNGQSLSFKVTASDSRTMTNYNVVPPGWQFGQTFEGGQF >KJB71464 pep chromosome:Graimondii2_0_v6:11:18497320:18498792:1 gene:B456_011G128500 transcript:KJB71464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EXP1 MATKTMMLQIFSLFFFLFSICNSIFLGANGDDNGGWQTAHATFYGGADATGTMGGACGYGNLYSQGYGTSTAALSTALFNNGLSCGACYELRCNNDPQWCISRTITVTATNFCPPNYALSSDNGGWCNPPREHFDLAEPAFLQIAEYRAGIVPVMFRRVSCVKKGGIRYTMNGHSYFNMVLITNVGGAGDITSVSIKGSKTGWLPMSRNWGQNCRTMTNYNVVPPGWQFGQTFEGGQF >KJB71461 pep chromosome:Graimondii2_0_v6:11:18497513:18498356:1 gene:B456_011G128500 transcript:KJB71461 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EXP1 MEMTMVVGKLPMPPSTVVLMLPAQWVRGACGYGNLYSQGYGTSTAALSTALFNNGLSCGACYELRCNNDPQWCISRTITVTATNFCPPNYALSSDNGGWCNPPREHFDLAEPAFLQIAEYRAGIVPVMFRRVSCVKKGGIRYTMNGHSYFNMVLITNVGGAGDITSVSIKGSKTGWLPMSRNWGQNWQSNAYLNGQSLSFKVTASDSRTMTNYNVVPPGWQFGQTFEGGQF >KJB71460 pep chromosome:Graimondii2_0_v6:11:18497292:18498792:1 gene:B456_011G128500 transcript:KJB71460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EXP1 MATKTMMLQIFSLFFFLFSICNSIFLGANGDDNGGWQTAHATFYGGADATGTMGGACGYGNLYSQGYGTSTAALSTALFNNGLSCGACYELRCNNDPQWCISRTITVTATNFCPPNYALSSDNGGWCNPPREHFDLAEPAFLQIAEYRAGIVPVMFRRVSCVKKGGIRYTMNGHSYFNMVLITNVGGAGDITSVSIKGSKTGWLPMSRNWGQNWQSNAYLNGQSLSFKVTASDSRTMTNYNVVPPGWQFGQTFEGGQF >KJB71463 pep chromosome:Graimondii2_0_v6:11:18497320:18498792:1 gene:B456_011G128500 transcript:KJB71463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EXP1 MEMTMVVGKLPMPPSTVVLMLPAQWVTCGYGNLYSQGYGTSTAALSTALFNNGLSCGACYELRCNNDPQWCISRTITVTATNFCPPNYALSSDNGGWCNPPREHFDLAEPAFLQIAEYRAGIVPVMFRRVSCVKKGGIRYTMNGHSYFNMVLITNVGGAGDITSVSIKGSKTGWLPMSRNWGQNWQSNAYLNGQSLSFKVTASDSRTMTNYNVVPPGWQFGQTFEGGQF >KJB71465 pep chromosome:Graimondii2_0_v6:11:18497636:18498356:1 gene:B456_011G128500 transcript:KJB71465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EXP1 MFLICDVSIGGACGYGNLYSQGYGTSTAALSTALFNNGLSCGACYELRCNNDPQWCISRTITVTATNFCPPNYALSSDNGGWCNPPREHFDLAEPAFLQIAEYRAGIVPVMFRRVSCVKKGGIRYTMNGHSYFNMVLITNVGGAGDITSVSIKGSKTGWLPMSRNWGQNWQSNAYLNGQSLSFKVTASDSRTMTNYNVVPPGWQFGQTFEGGQF >KJB71462 pep chromosome:Graimondii2_0_v6:11:18497320:18498792:1 gene:B456_011G128500 transcript:KJB71462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EXP1 MATKTMMLQIFSLFFFLFSICNSIFLGANGDDNGGWQTAHATFYGGADATGTMACGYGNLYSQGYGTSTAALSTALFNNGLSCGACYELRCNNDPQWCISRTITVTATNFCPPNYALSSDNGGWCNPPREHFDLAEPAFLQIAEYRAGIVPVMFRRVSCVKKGGIRYTMNGHSYFNMVLITNVGGAGDITSVSIKGSKTGWLPMSRNWGQNWQSNAYLNGQSLSFKVTASDSRTMTNYNVVPPGWQFGQTFEGGQF >KJB71876 pep chromosome:Graimondii2_0_v6:11:25842890:25846694:1 gene:B456_011G152100 transcript:KJB71876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTSFQLFSSSKDKPSLGLGFLESSEPQLPPPPPSVEVLSSEVSSSVKYTVEPVNLDGLTLLKGRVSTKEVLGLPNSDLVPGIYEGGLKLWEGSLDLVKALRSEIQNGCLSFEGKRVLELGCGHGLPGIFACLESAAEVHFQDFNAEVLRCLTIPNVNANLLEKAKPETVSEVRFFAGDWGEIHQLLPHARESEINLTSSSEHGQASAYDVILMAETIYSISAQRNLYGLIKKCMNQPNGVVYLAGKKHYFGVGGGTRQFLSMLEKEGVMAATLVTEVADGSSNVREVWKLSYK >KJB71880 pep chromosome:Graimondii2_0_v6:11:25842929:25846691:1 gene:B456_011G152100 transcript:KJB71880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTSFQLFSSSKDKPSLGLGFLESSEPQLPPPPPSVEVLSSEPVNLDGLTLLKGRVSTKEVLGLPNSDLVPGIYEGGLKLWEGSLDLVKALRSEIQNGCLSFEGKRVLELGCGHGLPGIFACLESAAEVHFQDFNAEVLRCLTIPNVNANLLEKAKPETVSEVRFFAGDWGEIHQLLPHARESEINLTSSSEHGQASAYDVILMAETIYSISAQRNLYGLIKKCMNQPNGVVYLAGKKHYFGVGGGTRQFLSMLEKEGVMAATLVTEVADGSSNVREVWKLSYK >KJB71879 pep chromosome:Graimondii2_0_v6:11:25842929:25845192:1 gene:B456_011G152100 transcript:KJB71879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTSFQLFSSSKDKPSLGLGFLESSEPQLPPPPPSVEVLSSEVSSSVKYTVEPVNLDGLTLLKGRVSTKEVLGLPNSDLVPGIYEGGLKLWEGSLDLVKALRSEIQNGCLSFEGKRVLELGCGHGLPGIFACLESAAEVHFQDFNAEVLRCLTIPNVNANLLEKAKPETVSEVRFFAGDWGEIHQLLPHARESEINLTSSSEHGQASAYDVILMAETIYSISAQRNLYGLIKKCMNQPNGVVYLAGKKHYFGVGGGTRQFLSMLEKEVHNTVVKA >KJB71877 pep chromosome:Graimondii2_0_v6:11:25843089:25844793:1 gene:B456_011G152100 transcript:KJB71877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTSFQLFSSSKDKPSLGLGFLESSEPQLPPPPPSVEVLSSEVSSSVKYTVEPVNLDGLTLLKGRVSTKEVLGLPNSDLVPGIYEGGLKLWEGSLDLVKALRSEIQNGCLSFEGKRVLELGCGHGLPGIFACLESAAEVHFQDFNAEVLRCLTIPNVNANLLEKAKPETVSEVRFFAGDWGEIHQLLPHARESEINLTSSSEHGQASAYDVILMAETIYSISAQRNLYGLIKKVFLYRVIQPLLLIVNS >KJB71878 pep chromosome:Graimondii2_0_v6:11:25842929:25845194:1 gene:B456_011G152100 transcript:KJB71878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTSFQLFSSSKDKPSLGLGFLESSEPQLPPPPPSVEVLSSEVSSSVKYTVEPVNLDGLTLLKGRVSTKEVLGLPNSDLVPGIYEGGLKLWEGSLDLVKALRSEIQNGCLSFEGKRVLELGCGHGLPGIFACLESAAEVHFQDFNAEVLRCLTIPNVNANLLEKAKPETVSEVRFFAGDWGEIHQLLPHARESEINLTSSSEHGQASAYDVILMAETIYSISAQRNLYGLIKKCMNQPNGVVYLAGKKHYFGVGGGTRQFLSMLEKEGNLILYRRDYVSGTRIYSLPIE >KJB68934 pep chromosome:Graimondii2_0_v6:11:18452669:18453712:-1 gene:B456_011G128400 transcript:KJB68934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LTP9 MARSMSLKLSCVVVLCLLVDAPLAQGAISCDQVKSSLLPCVGYVRGNNARPAPPNCCKGIRSLKSAARIRLDRQAACKCIKSLAADISDINYGVAAGLPGQCNVHIPYKISPSIDCKRVK >KJB71969 pep chromosome:Graimondii2_0_v6:11:25511459:25517023:1 gene:B456_011G151000 transcript:KJB71969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-III [Source:Projected from Arabidopsis thaliana (AT3G23710) UniProtKB/TrEMBL;Acc:A0A178V7Q4] MNSPSNSKTPKIFSPFKQTPPLQDLQQAFSNIHTHCSSLFRQTHNQLMDAFNSTFSHFNPPSFSPKGPVFARIADSSKTQIALSKKNGAAMPAEKLEERLAGVPVYALSNSEEEFVLVSGASTKKSLGLLCFKKEDAEALLEQMKSMDPGMRKGGSKVVAVALNKVVQLQVAGVALRLVPESTQIKNALRERERAGFSNDSFPGVPVFQSRSLVLRSQNKSYRPVFFRKEDLEQSLLRASRDQNQLNPAFRPGDIQVAVFEDIIKGMKDTSTSNWDDVVFIPPGFDVSTDPTQLQQ >KJB71971 pep chromosome:Graimondii2_0_v6:11:25511413:25517036:1 gene:B456_011G151000 transcript:KJB71971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-III [Source:Projected from Arabidopsis thaliana (AT3G23710) UniProtKB/TrEMBL;Acc:A0A178V7Q4] MNSPSNSKTPKIFSPFKQTPPLQDLQQAFSNIHTHCSSLFRQTHNQLMDAFNSTFSHFNPPSFSPKGPVFARIADSSKTQIALSKKNGAAMPAEKLEERLAGVPVYALSNSEEEFVLVSGASTKKSLGLLCFKKEDAEALLEQMKSMDPGMRKGGSKVVAVALNKVVQLQVAGVALRLVPESTQIKNALRERERAGFSNDSFPGVPVFQSRSLVLRSQNKSYRPVFFRKEDLEQSLLRASRDQNQLNPAFRPGDIQVAVFEDIIKGMKDTSTSNWDDVVFIPPGFDVSTDPTQLQQ >KJB71970 pep chromosome:Graimondii2_0_v6:11:25511459:25516927:1 gene:B456_011G151000 transcript:KJB71970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-III [Source:Projected from Arabidopsis thaliana (AT3G23710) UniProtKB/TrEMBL;Acc:A0A178V7Q4] MNSPSNSKTPKIFSPFKQTPPLQDLQQAFSNIHTHCSSLFRQTHNQLMDAFNSTFSHFNPPSFSPKGPVFARIADSSKTQIALSKKNGAAMPAEKLEERLAGVPVYALSNSEEEFVLVSGASTKKSLGLLCFKKEDAEALLEQMKSMDPGMRKGGSKVVAVALNKVVQLQVAGVALRLVPESTQIKNALRSRSLVLRSQNKSYRPVFFRKEDLEQSLLRASRDQNQLNPAFRPGDIQVAVFEDIIKGMKDTSTSNWDDVVFIPPGFDVSTDPTQLQQ >KJB69336 pep chromosome:Graimondii2_0_v6:11:1211792:1212559:1 gene:B456_011G017400 transcript:KJB69336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCETKSFYLWLLQVIGLLGILALCLWLAMRPKSPNYTIVNFSIPGANTSNESDHGSIQYELDIENPNQDSGIYYDDIFLMFYHGEDKVGSKTIPSFYQGKDKTRQVIDQVDVETRFWTVLRKAIMNATAELRVDLSTKIRYNTWGIKSKQHGINREGKIPIGKDGKISNKKKKVKLRHASKKWKQRSTRFLLST >KJB74309 pep chromosome:Graimondii2_0_v6:11:61870997:61872100:1 gene:B456_011G286500 transcript:KJB74309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKKKTIADVSSWANMMDDLLTHIARLTRSPRHHIRMTAVCRSWRASLADQKINFPAVCLMLREGGNCDNYNFYSMWEEIFDELDLPELRGRRYWGSPFGWLVSHGLNCEIRLFNPFSRATFPLPKNHSLIEKLILSINPEEPNSNCIVFAIYWGFLDRRYIAFAKPGDLAWRTLSYDGNDNDDGFKFLIDDAIYFKGNFYGCLNTGEIVLFEDLHGAHPKAVEFAPQPPNFHGGRTCYLFALGENLCMTCRDPCDDDDDYECNGYTIFKLDMDTKSWEKIYSLGDRSLFLGNCCTFTVAAADYPGCKPNCIYSTEESIHVESIGIYEVEKNRDKDIGLEPFPMSKQVEHLLPSLSPPVWIIPYPL >KJB71597 pep chromosome:Graimondii2_0_v6:11:20097335:20098375:-1 gene:B456_011G132200 transcript:KJB71597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRYILIFFFFFFFILRKPHATDENFRICNETRKCGNLSINFPFFMEEARRGYPGFNISCKNNINPIISLPDDGDYVIHNIFYQNQSFHISRVDPFDADDVCNNSIRSISIPQDRFFLPPKQVNMSLFFDCVLVVCEAKYGTNVTLSLLSNYNEYSELSYASWYCNKTVVLPAPVDLPGNETTVQGILNRGFILEWKSSKCSDCEASGGKCGFDDNANNFKCFCQDRPRPSSCAPGITSRPCQRALLTWTQIAPTRTRFADVDEQFMFFSLENFERP >KJB71318 pep chromosome:Graimondii2_0_v6:11:15320666:15322940:1 gene:B456_011G116500 transcript:KJB71318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQSGSGSVDSSDNVTNASVSQDDISKTHFWRYVTKLPKTVERVTLQHLAEMQKIVEDVKLKSQQKIVSLLPIYSFGPNTTLLQRHSLDSKRRKCPLEQSFNLAARENLDSEIARMFYSGGLPFHLARNPHYVNAFTLASKNLISETSNIERLLQPIKGMWREKGISLICDGWTDAQRQPLINFMVVSEGGVVFLKAVNYEKEYKDKFYVTTLIKDAISEVGAQNVVQVITENASICKDVGSLVETQHPHIFWTPCVVHTINLALKNICAAKNIEKNEVTYDVLCWINNVGDDAIFIRNFIMNHSMRLAIFNSFVPLKLLTVVDTLFASTIVMLKRFKLIKRGLQNMVIGDEWSTYREDDISKAYLVKEKILDDLWCDKVDYILTFTEPINDMLRIMDTNKPTLHLVYEMWDEMIEKVNTSIYKHERKKGDKRSTFYEVVYDILIDRWTKSSTPLHCMAHSLNPSDWLNEVPNHLPPHKDVEISEERNKCLRRYFPSTEERNMRNWSTYSFIHSMRRNKINPQCTQDLVFVHTNLRLLSRKTLHYKRENKMWDIRGDVFDSFEGVGILGVASLSLDEPDMEMVIFANEEEDMENANAMN >KJB71122 pep chromosome:Graimondii2_0_v6:11:12475001:12476799:1 gene:B456_011G106700 transcript:KJB71122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQEEEIQQIQSPPWILDALCCEENGNKICGESGTVKKETFLPLFLIEHDLFWEDDELISLISKEKETHLCYKDVNSDESLVLARKDALEWIFKVKAHHRFNALTIVLAVNYFDRFFASFKFQKDNPWMGQLAAVACLSLAAKVEETQVPLLLDLQVEESKYVFDSKTIQRMELLVLSTLKWRMNPVTPISFFDHITRRLGLRAHLHWEFLHSCEHLLLILIADSKFMLYMPSILAAATMLYVIKEIEPCHYLEYRKQLLRLLKTCEDEVDVCYELVSKLLESDCKQNEARKRKHGQMQGSPDGVVDASSSCGDSDGFWTAISSVSSSPQPVFKRSRSKDQQMRLPSVNRMFVDVLGSPR >KJB71121 pep chromosome:Graimondii2_0_v6:11:12475001:12476781:1 gene:B456_011G106700 transcript:KJB71121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQEEEIQQIQSPPWILDALCCEENGNKICGESGTVKKETFLPLFLIEHDLFWEDDELISLISKEKETHLCYKDVNSDESLVLARKDALEWIFKVKAHHRFNALTIVLAVNYFDRFFASFKFQKDNPWMGQLAAVACLSLAAKVEETQVPLLLDLQVEESKYVFDSKTIQRMELLVLSTLKWRMNPVTPISFFDHITRRLGLRAHLHWEFLHSCEHLLLILIAAAATMLYVIKEIEPCHYLEYRKQLLRLLKTCEDEVDVCYELVSKLLESDCKQNEARKRKHGQMQGSPDGVVDASSSCGDSDGFWTAISSVSSSPQPVFKRSRSKDQQMRLPSVNRMFVDVLGSPR >KJB73853 pep chromosome:Graimondii2_0_v6:11:58544554:58547610:1 gene:B456_011G256200 transcript:KJB73853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAYGHLPKVFRHFSSLRSTHCFDALASHRSTKMIDDQQLGFLANFLGIFIFGLVIAYHYVMADPKYEGN >KJB70374 pep chromosome:Graimondii2_0_v6:11:6342745:6351105:1 gene:B456_011G070300 transcript:KJB70374 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA family protein [Source: Projected from Oryza sativa (Os06g0151600)] MQIIEMKVLRSIYYTHTHFLHRNLSRTPISLSFFSRHFHSSNPRFSLNSLETDGTQRTPHAWTAYEGLTPDLSTPTSRHKGITQGNESASGDETDVEFETHRTYGKEKNANLSDGDKTQMAENARYIPKENTDGMSRKKAFSVNGFGLGDIVGNKKKGKSRVTWVCENCGYSDGQWWGVCRSCDFSGTMKRFSEVETKNRGLEFSETLARSWLPKDAGDVEPVKLIDVNSGVKKTDYRIPLFGPFGSEVARVLGGGVVPGSLVLIGGDPGVGKSTLLLQMAALIAEGHDSYQPTCVVYVSGEESVEQISSRAERMKIGANELYLYAGTEIKDILTKIQSLSPRALIVDSIQTVYLKEVTGSAGGLPQVRECTSALLRFAKKTNIPVLLAGHVTKSGDIAGPRVLEHIVDAVLYLEGEKCSSHRLLRSVKNRFGSVDELGIFEMSQLGLQVVLNPSEMFLSDQNSDSEFLAGLAVAVIMDGSRAFLIEIQALCVSSSTVSRHVNGIQASRADMLISVLAKQAGLKIQENAVFLNVVSGVSLTETAGDLAIAASICSSFLEFPIPSGVAFIGEIGLGGELRMVSRMDKRVNTVAKLGYKKCIVPMSAKKSLATLDLGELEIIGCNDLKGVINTVFTKN >KJB70376 pep chromosome:Graimondii2_0_v6:11:6342837:6350936:1 gene:B456_011G070300 transcript:KJB70376 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA family protein [Source: Projected from Oryza sativa (Os06g0151600)] MQIIEMKVLRSIYYTHTHFLHRNLSRTPISLSFFSRHFHSSNPRFSLNSLETDGTQRTPHAWTAYEGLTPDLSTPTSRHKGITQGNESASGDETDVEFETHRTYGKEKNANLSDGDKTQMAENARYIPKENTDGMSRKKAFSVNGFGLGDIVGNKKKGKSRVTWVCENCGYSDGQWWGVCRSCDFSGTMKRFSEVETKNRGLEFSETLARSWLPKDAGDVEPVKLIDVNSGVKKTDYRIPLFGPFGSEVARVLGGGVVPGSLVLIGGDPGVGKSTLLLQSVEQISSRAERMKIGANELYLYAGTEIKDILTKIQSLSPRALIVDSIQTVYLKEVTGSAGGLPQVRECTSALLRFAKKTNIPVLLAGHVTKSGDIAGPRVLEHIVDAVLYLEGEKCSSHRLLRSVKNRFGSVDELGIFEMSQLGLQVVLNPSEMFLSDQNSDSEFLAGLAVAVIMDGSRAFLIEIQALCVSSSTVSRHVNGIQASRADMLISVLAKQAGLKIQENAVFLNVVSGVSLTETAGDLAIAASICSSFLEFPIPSGVAFIGEIGLGGELRMVSRMDKRVNTVAKLGYKKCIVPMSAKKSLATLDLGELEIIGCNDLKGVINTVFTKN >KJB70375 pep chromosome:Graimondii2_0_v6:11:6342837:6350936:1 gene:B456_011G070300 transcript:KJB70375 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA family protein [Source: Projected from Oryza sativa (Os06g0151600)] MQIIEMKVLRSIYYTHTHFLHRNLSRTPISLSFFSRHFHSSNPRFSLNSLETDGTQRTPHAWTAYEGLTPDLSTPTSRHKGITQGNESASGDETDVEFETHRTYGKEKNANLSDGDKTQMAENARYIPKENTDGMSRKKAFSVNGFGLGDIVGNKKKGKSRVTWVCENCGYSDGQWWGVCRSCDFSGTMKRFSEVETKNRGLEFSETLARSWLPKDAGDVEPVKLIDVNSGVKKTDYRIPLFGPFGSEVARVLGGGVVPGSLVLIGGDPGVGKSTLLLQMAALIAEGHDSYQPTCVVYVSGEESVEQISSRAERMKIGANELYLYAGTEIKDILTKIQSLSPRALIVDSIQTVYLKEVTGSAGGLPQVRECTSALLRFAKKTNIPVLLAGHVTKSGDIAGPRVLEHIVDAVLYLEGEKCSSHRLLRSVKNRFGSVDELGIFEMSQLGLQVVLNPSEMFLSDQNSDSEFLAGLAVAVIMDGSRAFLIEIQALCVSSSTVSRHVNGIQASRADMLISVLAKQAGLKIQENAVFLNVVSGVSLTETAGDLAIAASICSRYQGWTKE >KJB70365 pep chromosome:Graimondii2_0_v6:11:6201652:6204590:1 gene:B456_011G069500 transcript:KJB70365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILIDQPDFGIEAGFNKADDVEKEGVLHGGFMMPHTNSFGHTFRDYHVESERQQGVETFYRTNHINQTYDFVKRMREEYGNLDRVEMSIWECCELLNDVVDESDPDLDEPQTEHLLQTAEAIRKDYPDEDWLHLTGLIHDLGKVLLHPSFGGLPQWAVVGDTYPVGCAFDKSIVHHKYFEENPDYHNPAYNTKYGVYSEGCGLNNVMMSWGHDDYMYLVAKENKTTLPSAALFIIRYHSFYALHRSGAYKQLMNGEDVENLKWLEIFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPAKLRW >KJB70366 pep chromosome:Graimondii2_0_v6:11:6202732:6204536:1 gene:B456_011G069500 transcript:KJB70366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEYGNLDRVEMSIWECCELLNDVVDESDPDLDEPQTEHLLQTAEAIRKDYPDEDWLHLTGLIHDLGKVLLHPSFGGLPQWAVVGDTYPVGCAFDKSIVHHKYFEENPDYHNPAYNTKYGVYSEGCGLNNVMMSWGHDDYMYLVAKENKTTLPSAALFIIRYHSFYALHRSGAYKQLMNGEDVENLKWLEIFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPAKLRW >KJB73199 pep chromosome:Graimondii2_0_v6:11:53011528:53011974:-1 gene:B456_011G221200 transcript:KJB73199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVKLSTALFFSVNLFFFALVSSYNVDNNPNGSSYPTKSRNPVVIRPGYKFPNDGSAQSYYGTCNPLNLGVCVNLLGGLVNLNLGNVPTQPCCSLIHGLADLEAAVCLCTAVRANVLDIKLNLPISLSLLLNNCGRRVATEYICAP >KJB70650 pep chromosome:Graimondii2_0_v6:11:8768932:8771650:1 gene:B456_011G085200 transcript:KJB70650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVHGCARMIFSISAKRLSPFSLISPIHRLFSSNSHSIFPNATPHFHSQSPNPLPDQSHVDHRTVHETLSCYSEDWKRAFEFFNWVETACHFTHTTDTFNKMLDILGKYFEFDLSWDLVRRMKNNPYSMPNHATFRIMFKRYITAHLVNEAIATFDRLGEFNLKDDISFCNLVDALCEYKHVIEAHELCFFGRSKDLGFNVNDTKIHNMILRGWFKMGWWSKCREFWEEMDKKGIKKDLHSYSIYMDIMCKCGKPWKAVKLYKEMKKKGMKLDVVAYNTVIRAIGVSDGAEFGVGVFREMRDLGCEPNVVTYNTVIKLLLENGRVRQAYSVLDQMLKNDCAPDVITYHCFFGSLEKPKEILKLFDLMIRNGVQPRMDTYVMLMRKFGRWGFLRPVFMVWKKMEELGSSPNEFAYNALIDALIEKGMLDMARKYDEEMLAKGISSKPREELGTKLLQGEPHT >KJB70651 pep chromosome:Graimondii2_0_v6:11:8768932:8771650:1 gene:B456_011G085200 transcript:KJB70651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPLSEYASSPFGSSNGVPEFQDRGLAWMAIVHGCARMIFSISAKRLSPFSLISPIHRLFSSNSHSIFPNATPHFHSQSPNPLPDQSHVDHRTVHETLSCYSEDWKRAFEFFNWVETACHFTHTTDTFNKMLDILGKYFEFDLSWDLVRRMKNNPYSMPNHATFRIMFKRYITAHLVNEAIATFDRLGEFNLKDDISFCNLVDALCEYKHVIEAHELCFFGRSKDLGFNVNDTKIHNMILRGWFKMGWWSKCREFWEEMDKKGIKKDLHSYSIYMDIMCKCGKPWKAVKLYKEMKKKGMKLDVVAYNTVIRAIGVSDGAEFGVGVFREMRDLGCEPNVVTYNTVIKLLLENGRVRQAYSVLDQMLKNDCAPDVITYHCFFGSLEKPKEILKLFDLMIRNGVQPRMDTYVMLMRKFGRWGFLRPVFMVWKKMEELGSSPNEFAYNALIDALIEKGMLDMARKYDEEMLAKGISSKPREELGTKLLQGEPHT >KJB68676 pep chromosome:Graimondii2_0_v6:11:6382:8787:-1 gene:B456_011G0001003 transcript:KJB68676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYNSLFCSVFEIYRYGSVCFFLVAFSETDFRFRHHCFVSCFKSIDLDLFVLFVCFLCTFSSGIQKRISSTPNAIKLIVFSFISISLAYTELKRIYERFKSRTNGSIRASFGFGER >KJB68678 pep chromosome:Graimondii2_0_v6:11:7491:8787:-1 gene:B456_011G0001003 transcript:KJB68678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYNSLFCSVFEIYRYGSVCFFLVAFSETDFRFRHHCFVSCFKSIDLDLFVLFVCFLCTFSSGIQKRISSTPNAIKLIVFSFISISLAYTELKRIYEGIRLTIGLC >KJB68679 pep chromosome:Graimondii2_0_v6:11:7390:8787:-1 gene:B456_011G0001003 transcript:KJB68679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYNSLFCSVFEIYRYGSVCFFLVAFSETDFSGIQKRISSTPNAIKLIVFSFISISLAYTELKRIYENMVTSLAACGIDQTE >KJB68677 pep chromosome:Graimondii2_0_v6:11:7390:8787:-1 gene:B456_011G0001003 transcript:KJB68677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYNSLFCSVFEIYRYGSVCFFLVAFSETDFRFRHHCFVSCFKSIDLDLFVLFVCFLCTFSSGIQKRISSTPNAIKLIVFSFISISLAYTELKRIYENMVTSLAACGIDQTE >KJB68680 pep chromosome:Graimondii2_0_v6:11:7491:8787:-1 gene:B456_011G0001003 transcript:KJB68680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYNSLFCSVFEIYRYGSVCFFLVAFSETDFSGIQKRISSTPNAIKLIVFSFISISLAYTELKRIYEGIRLTIGLC >KJB68675 pep chromosome:Graimondii2_0_v6:11:6041:8787:-1 gene:B456_011G0001003 transcript:KJB68675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYNSLFCSVFEIYRYGSVCFFLVAFSETDFRFRHHCFVSCFKSIDLDLFVLFVCFLCTFSSGIQKRISSTPNAIKLIVFSFISISLAYTELKRIYEIPNLSPPVDAYCNANQERTVQSERVSGLVSVKCAVASTPRVWKIVVNL >KJB70273 pep chromosome:Graimondii2_0_v6:11:5591248:5593982:1 gene:B456_011G066100 transcript:KJB70273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLSSFQLLPLCFSFSNNRVSFKICFSFLIAAQLKQFQHHQKGKEFPSTSSGAASYTMGQPPPPPPQPPKESFARRYKFLWPLLLAVNFTVGAYLFMRTKKKDTNLAEEDVDLSPPVSTTPVTAPPVTETPSPVSSITQPLKLPEPIPESQQRELFKYILEEKRKVKPKDPEEKKRLDEEKAILKQFIRAKSIPQL >KJB70272 pep chromosome:Graimondii2_0_v6:11:5590974:5593982:1 gene:B456_011G066100 transcript:KJB70272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGPKLYDNKPKKAQLKQFQHHQKGKEFPSTSSGAASYTMGQPPPPPPQPPKESFARRYKFLWPLLLAVNFTVGAYLFMRTKKKDTNLAEEDVDLSPPVSTTPVTAPPVTETPSPVSSITQPLKLPEPIPESQQRELFKYILEEKRKVKPKDPEEKKRLDEEKAILKQFIRAKSIPQL >KJB72990 pep chromosome:Graimondii2_0_v6:11:49996948:50005744:1 gene:B456_011G208000 transcript:KJB72990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSNPDGEDTRNQTIPSTSSTSSSDDYRLFDRQGSLHQFLGGGKAADILLWKRWSVSLGVIVVASVAWLIFEWSGLPFLSICSDVLLILIIVLFVHSNYAAYRDRQPQSLPELELSEEMVNNAAASFRVKINNMLLMAHDITLGKDFRLFFKVVTCLWLLSAIGSYCSFFTLAYIGTILSVTLPAFYSKYEEPVNKYCGIIQRKFSQQYKIVDDSVTNRIPRSFSKDKDT >KJB72992 pep chromosome:Graimondii2_0_v6:11:49996976:50005744:1 gene:B456_011G208000 transcript:KJB72992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSNPDGEDTRNQTIPSTSSTSSSDDYRLFDRQGSLHQFLGGGKAADILLWKRWSVSLGVIVVASVAWLIFEWSGLPFLSICSDVLLILIIVLFVHSNYAAYRDSRQPQSLPELELSEEMVNNAAASFRVKINNMLLMAHDITLGKDFRLFFKVVTCLWLLSAIGSYCSFFTLAYIGTILSVTLPAFYSKYEEPVNKYCGIIQRKFSQQYKIVDDSVTNRIPRSFSKDKDT >KJB72991 pep chromosome:Graimondii2_0_v6:11:49996976:50004200:1 gene:B456_011G208000 transcript:KJB72991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSNPDGEDTRNQTIPSTSSTSSSDDYRLFDRQGSLHQFLGGGKAADILLWKRWSVSLGVIVVASVAWLIFEWSGLPFLSICSDVLLILIIVLFVHSNYAAYRDRQPQSLPELELSEEMVNNAAASFRVKINNMLLMAHDITLGKDFRLFFKVS >KJB72993 pep chromosome:Graimondii2_0_v6:11:49996976:50005744:1 gene:B456_011G208000 transcript:KJB72993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSNPDGEDTRNQTIPSTSSTSSSDDYRLFDRQGSLHQFLGGGKAADILLWKRWSVSLGVIVVASVAWLIFEWSGLPFLSICSDVLLILIIVLFVHSNYAAYRDRQPQSLPELELSEEMVNNAAASFRVKINNMLLMAHDITLGKDFRLFFKVVTCLWLLSAIGSYCSFFTLAYIANTKSL >KJB73936 pep chromosome:Graimondii2_0_v6:11:59292571:59296905:1 gene:B456_011G261800 transcript:KJB73936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEREKEKHRDRGRERKDREREKEKERERAREKEREREKREREREREREREKREREREREREKEREREKKAREKEKRREYNSDDSKEEKERHRKRRRRERDDEDDCNDDYKERESKSNRGESPARKKSGEDELEKKGKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEEMEREKRGEGNAVEEEEAKVGKAWTLEGESDDDEAASSMNAEMSMEVDDNENAKPDGKVTGDAMHDGGNSEDGKDKMVVDQNGDNGVAENDDEIDPLDAFMNSMVLPEVEKLSNAVVDPPPSDSNGNLKTDKKDGLSNGGQEQPKKGSNKALGRIIPGEDSDSDYGDVENDEENLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMIPEEVSAYRKELELKLHGKDVPKPIKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKVMGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKISNLRRVTYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHSQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKASTALMQNPLSSGQLLPNAVLPISLPGVHGVSMPGTAAVVPGSGLSGLPNEEAARKAALQAALNLQHNLAKIQADVMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDISHQSLQLPGGTQPGRYQVL >KJB73933 pep chromosome:Graimondii2_0_v6:11:59292571:59296390:1 gene:B456_011G261800 transcript:KJB73933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEREKEKHRDRGRERKDREREKEKERERAREKEREREKREREREREREREKREREREREREKEREREKKAREKEKRREYNSDDSKEEKERHRKRRRRERDDEDDCNDDYKERESKSNRGESPARKKSGEDELEKKGKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEEMEREKRGEGNAVEEEEAKVGKAWTLEGESDDDEAASSMNAEMSMEVDDNENAKPDGKVTGDAMHDGGNSEDGKDKMVVDQNGDNGVAENDDEIDPLDAFMNSMVLPEVEKLSNAVVDPPPSDSNGNLKTDKKDGLSNGGQEQPKKGSNKALGRIIPGEDSDSDYGDVENDEENLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMIPEEVSAYRKELELKLHGKDVPKPIKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKVMGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKISNLRRVTYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHSQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKASTALMQNPLSSGQLLPNAVLPISLPGVHGVSMPGTAAVVPGSGLSGLPNEEAARKAALQAALNLQHNLAKIQADVMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDISHQSLQLPGGTQPGRYQVL >KJB73940 pep chromosome:Graimondii2_0_v6:11:59292571:59298623:1 gene:B456_011G261800 transcript:KJB73940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEREKEKHRDRGRERKDREREKEKERERAREKEREREKREREREREREREKREREREREREKEREREKKAREKEKRREYNSDDSKEEKERHRKRRRRERDDEDDCNDDYKERESKSNRGESPARKKSGEDELEKKGKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEEMEREKRGEGNAVEEEEAKVGKAWTLEGESDDDEAASSMNAEMSMEVDDNENAKPDGKVTGDAMHDGGNSEDGKDKMVVDQNGDNGVAENDDEIDPLDAFMNSMVLPEVEKLSNAVVDPPPSDSNGNLKTDKKDGLSNGGQEQPKKGSNKALGRIIPGEDSDSDYGDVENDEENLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMIPEEVSAYRKELELKLHGKDVPKPIKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKVMGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKISNLRRVTYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHSQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKASTALMQNPLSSGQLLPNAVLPISLPGVHGVSMPGTAAVVPGSGLSGLPNEEAARKAALQAALNLQHNLAKIQADVMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDISHQSLQLPGGTQPGRYQVL >KJB73937 pep chromosome:Graimondii2_0_v6:11:59292571:59298623:1 gene:B456_011G261800 transcript:KJB73937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEREKEKHRDRGRERKDREREKEKERERAREKEREREKREREREREREREKREREREREREKEREREKKAREKEKRREYNSDDSKEEKERHRKRRRRERDDEDDCNDDYKERESKSNRGESPARKKSGEDELEKKGKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEEMEREKRGEGNAVEEEEAKVGKAWTLEGESDDDEAASSMNAEMSMEVDDNENAKPDGKVTGDAMHDGGNSEDGKDKMVVDQNGDNGVAENDDEIDPLDAFMNSMVLPEVEKLSNAVVDPPPSDSNGNLKTDKKDGLSNGGQEQPKKGSNKALGRIIPGEDSDSDYGDVENDEENLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMIPEEVSAYRKELELKLHGKDVPKPIKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKVMGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKISNLRRVTYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHSQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKASTALMQNPLSSGQLLPNAVLPISLPGVHGVSMPGTAAVVPGSGLSGLPNEEAARKAALQAALNLQHNLAKIQADVMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDISHQSLQLPGGTQPGRYQVL >KJB73939 pep chromosome:Graimondii2_0_v6:11:59292567:59298642:1 gene:B456_011G261800 transcript:KJB73939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEREKEKHRDRGRERKDREREKEKERERAREKEREREKREREREREREREKREREREREREKEREREKKAREKEKRREYNSDDSKEEKERHRKRRRRERDDEDDCNDDYKERESKSNRGESPARKKSGEDELEKKGKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEEMEREKRGEGNAVEEEEAKVGKAWTLEGESDDDEAASSMNAEMSMEVDDNENAKPDGKVTGDAMHDGGNSEDGKDKMVVDQNGDNGVAENDDEIDPLDAFMNSMVLPEVEKLSNAVVDPPPSDSNGNLKTDKKDGLSNGGQEQPKKGSNKALGRIIPGEDSDSDYGDVENDEENLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMIPEEVSAYRKELELKLHGKDVPKPIKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKVMGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKISNLRRVTYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHSQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKASTALMQNPLSSGQLLPNAVLPISLPGVHGVSMPGTAAVVPGSGLSGLPNEEAARKAALQAALNLQHNLAKIQADVMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDISHQSLQLPGGTQPGRYQVL >KJB73938 pep chromosome:Graimondii2_0_v6:11:59292571:59298623:1 gene:B456_011G261800 transcript:KJB73938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEREKEKHRDRGRERKDREREKEKERERAREKEREREKREREREREREREKREREREREREKEREREKKAREKEKRREYNSDDSKEEKERHRKRRRRERDDEDDCNDDYKERESKSNRGESPARKKSGEDELEKKGKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEEMEREKRGEGNAVEEEEAKVGKAWTLEGESDDDEAASSMNAEMSMEVDDNENAKPDGKVTGDAMHDGGNSEDGKDKMVVDQNGDNGVAENDDEIDPLDAFMNSMVLPEVEKLSNAVVDPPPSDSNGNLKTDKKDGLSNGGQEQPKKGSNKALGRIIPGEDSDSDYGDVENDEENLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMIPEEVSAYRKELELKLHGKDVPKPIKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKVMGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKISNLRRVTYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHSQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKASTALMQNPLSSGQLLPNAVLPISLPGVHGVSMPGTAAVVPGSGLSGLPNEEAARKAALQAALNLQHNLAKIQADVMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDISHQSLQLPGGTQPGRYQVL >KJB73934 pep chromosome:Graimondii2_0_v6:11:59292571:59298623:1 gene:B456_011G261800 transcript:KJB73934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEREKEKHRDRGRERKDREREKEKERERAREKEREREKREREREREREREKREREREREREKEREREKKAREKEKRREYNSDDSKEEKERHRKRRRRERDDEDDCNDDYKERESKSNRGESPARKKSGEDELEKKGKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEEMEREKRGEGNAVEEEEAKVGKAWTLEGESDDDEAASSMNAEMSMEVDDNENAKPDGKVTGDAMHDGGNSEDGKDKMVVDQNGDNGVAENDDEIDPLDAFMNSMVLPEVEKLSNAVVDPPPSDSNGNLKTDKKDGLSNGGQEQPKKGSNKALGRIIPGEDSDSDYGDVENDEENLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMIPEEVSAYRKELELKLHGKDVPKPIKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKVMGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKISNLRRVTYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHSQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKASTALMQNPLSSGQLLPNAVLPISLPGVHGVSMPGTAAVVPGSGLSGLPNEEAARKAALQAALNLQHNLAKIQADVMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDISHQSLQLPGGTQPGRYQVL >KJB73935 pep chromosome:Graimondii2_0_v6:11:59292567:59298642:1 gene:B456_011G261800 transcript:KJB73935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEREKEKHRDRGRERKDREREKEKERERAREKEREREKREREREREREREKREREREREREKEREREKKAREKEKRREYNSDDSKEEKERHRKRRRRERDDEDDCNDDYKERESKSNRGESPARKKSGEDELEKKGKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEEMEREKRGEGNAVEEEEAKVGKAWTLEGESDDDEAASSMNAEMSMEVDDNENAKPDGKVTGDAMHDGGNSEDGKDKMVVDQNGDNGVAENDDEIDPLDAFMNSMVLPEVEKLSNAVVDPPPSDSNGNLKTDKKDGLSNGGQEQPKKGSNKALGRIIPGEDSDSDYGDVENDEENLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMIPEEVSAYRKELELKLHGKDVPKPIKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKVMGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKISNLRRVTYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHSQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKASTALMQNPLSSGQLLPNAVLPISLPGVHGVSMPGTAAVVPGSGLSGLPNEEAARKAALQAALNLQHNLAKIQADVMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDISHQSLQLPGGTQPGRYQVL >KJB72158 pep chromosome:Graimondii2_0_v6:11:30379085:30382800:1 gene:B456_011G162100 transcript:KJB72158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPSSRQSSSFFISFFFFFFSAATFAAPNHESSILFSWIHFSPTLHSSFSNWNSLDSTPCNWTYITCSPQGYVTEINIQSVPLQLPLPANLSAFQSLKRLVIYDANLTGTIPLDIGYCSQLTTITLGSNSLVGSIPASIGRLQYLQDLVLSSNQLTGKIPVELGNCTSLRKIEIYDNLLSGTIPADLGNLSLLQVLRAGGNKDIVGRIPDEIGNCSNLTVLGLADTRVSGSLPASLGKLSKLQTLSIYTTMLSGEIPPEIGNCSELVNLYLYENSLSGSIPPQIGKLEKLESLFLWQNSLVGSIPEEIGNCSKLINIDLSLNSLSGTIPLSLGGLSELQEFMISNNNVSGSIPSTLSNASNLLQLQLDTNQISGLIPPDLGMLSKLTSLAKLLLISNDISGSIPPEIGNCTFLVRLRLGNNRIIGGIPREIGSLKRLNFLDLSSNRLSGVVPYEIGGCTELQMIDLSNNILQGPLPISLSSLSGLQVLDASFNQFDGQIPASLGRLVSLNKLIFSKNSLSGSIPSALALCSSLQLLDLSSNKLTGGIPLELGRIEALEIALNLSCNGLTGPIPPQISALSKLSILDISHNKLEGDLAPLAALDNLVSLNLSYNNFEGYLPDNKLFRQLPQANLAGNEGLCPTSRDSCFLGSDGRAGPSRTENEMRRSRRLKLAVALLITLTVAMVIMGTIAIIRARRTIRDDDSELGDSWPWQFTPFQKLNFSVEQILKCLVDSNVIGKGCSGVVYRADMDNGEVIAVKKLWPATIAPSNGCNDDKSGVRDSFSAEVKTLAWGVSSMRELGMLWNGNLGTKYCWGQHKALLTCTMIVSLLLSIGTSRLIIS >KJB72157 pep chromosome:Graimondii2_0_v6:11:30378807:30382872:1 gene:B456_011G162100 transcript:KJB72157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPSSRQSSSFFISFFFFFFSAATFAAPNHESSILFSWIHFSPTLHSSFSNWNSLDSTPCNWTYITCSPQGYVTEINIQSVPLQLPLPANLSAFQSLKRLVIYDANLTGTIPLDIGYCSQLTTITLGSNSLVGSIPASIGRLQYLQDLVLSSNQLTGKIPVELGNCTSLRKIEIYDNLLSGTIPADLGNLSLLQVLRAGGNKDIVGRIPDEIGNCSNLTVLGLADTRVSGSLPASLGKLSKLQTLSIYTTMLSGEIPPEIGNCSELVNLYLYENSLSGSIPPQIGKLEKLESLFLWQNSLVGSIPEEIGNCSKLINIDLSLNSLSGTIPLSLGGLSELQEFMISNNNVSGSIPSTLSNASNLLQLQLDTNQISGLIPPDLGMLSKLTVFFAWQNQLEGSIPSSLSRCTGLQALDLSHNSLTGSIPPGLFRLQSLAKLLLISNDISGSIPPEIGNCTFLVRLRLGNNRIIGGIPREIGSLKRLNFLDLSSNRLSGVVPYEIGGCTELQMIDLSNNILQGPLPISLSSLSGLQVLDASFNQFDGQIPASLGRLVSLNKLIFSKNSLSGSIPSALALCSSLQLLDLSSNKLTGGIPLELGRIEALEIALNLSCNGLTGPIPPQISALSKLSILDISHNKLEGDLAPLAALDNLVSLNLSYNNFEGYLPDNKLFRQLPQANLAGNEGLCPTSRDSCFLGSDGRAGPSRTENEMRRSRRLKLAVALLITLTVAMVIMGTIAIIRARRTIRDDDSELGDSWPWQFTPFQKLNFSVEQILKCLVDSNVIGKGCSGVVYRADMDNGEVIAVKKLWPATIAPSNGCNDDKSGVRDSFSAEVKTLGTIRHKNIVRFLGCCWNRNTRLLMYDYMPNGSLGSLLHERTGNALEWELRYQILLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFARSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHIVDWVRQKRGGIEVLDPSLLSRPESEIEEMMQALGVALLCVNSCPDERPNMKDVAAMLKEIKHEREEYAKVDVLLKGSPTIDAKENNSSGVQATSSSKPAVQIQSLYPKSNNSSFSASSLLYSPSSNPTPGLK >KJB72849 pep chromosome:Graimondii2_0_v6:11:48666667:48669863:1 gene:B456_011G200800 transcript:KJB72849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGFMNLLSLCWKPFGHEKGNHGANGVLGGGGSSGGSKEGKDGLLWYRDIGKYGSGDFSMAVIQANQVLEDQSQIESGQYGTFVGIYDGHGGPETARYVCDHLFKHFRAIAAESQGVVTAETIQRAFRQTEEGFTTLVSESWSTRPNMATVGTCCLVGVIHQQTLFIANLGDSRVVLGKKVGNTGGIAALQLSTEHNANIAAIRQELKELHPNDPQIVVLKHGVWRVKGIIQLIGLSSKNQQKDADLGLMD >KJB72847 pep chromosome:Graimondii2_0_v6:11:48666445:48669937:1 gene:B456_011G200800 transcript:KJB72847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYPIQNKQKNIENNTHLLQRGTTPKQPTLLCSSTTEHPPPPPFLFIIHLQHLILRIPFSSIIIYHSFDSLFYHLVGGFFFFGFKPQITSSYCEIWNCTVVWDFGFLFVCFDDERCLMLQGFMNLLSLCWKPFGHEKGNHGANGVLGGGGSSGGSKEGKDGLLWYRDIGKYGSGDFSMAVIQANQVLEDQSQIESGQYGTFVGIYDGHGGPETARYVCDHLFKHFRAIAAESQGVVTAETIQRAFRQTEEGFTTLVSESWSTRPNMATVGTCCLVGVIHQQTLFIANLGDSRVVLGKKVGNTGGIAALQLSTEHNANIAAIRQELKELHPNDPQIVVLKHGVWRVKGIIQVSRSIGDVYMKHARYNREPINGKFRLPEPMNMPILSANPTIISHDLQPNDSFLIFASDGLWEHLSNEKAVDIVHSHPRAGSAKRLVKAALQEAARKREMRYSDLRKIDKKVRRHFHDDITVIVLFFNHDLISRGVVQDPPVSIRSALEHG >KJB72848 pep chromosome:Graimondii2_0_v6:11:48666667:48669863:1 gene:B456_011G200800 transcript:KJB72848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGFMNLLSLCWKPFGHEKGNHGANGVLGGGGSSGGSKEGKDGLLWYRDIGKYGSGDFSMAVIQANQVLEDQSQIESGQYGTFVGIYDGHGGPETARYVCDHLFKHFRAIAAESQGVVTAETIQRAFRQTEEGFTTLVSESWSTRPNMATVGTCCLVGVIHQQTLFIANLGDSRVVLGKKVGNTGGIAALQLSTEHNANIAAIRQELKELHPNDPQIVVLKHGVWRVKGIIQVSRSIGDVYMKHARYNREPINGKFRLPEPMNMPILSANPTIISHDLQPNDSFLIFASDGLWEHLSNEKAVDIVHSHPRAVRLSLLLCFF >KJB72114 pep chromosome:Graimondii2_0_v6:11:29078612:29079508:-1 gene:B456_011G159800 transcript:KJB72114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPHKSISENSTSIILSSQSYALLRLFVLLLLISPLFARPIYSSSSTTRNLHPFSTSSTSIPAAQSPGKNGATDGRFEADVHEVPSGPNPESNNFHFLLMCPDC >KJB72447 pep chromosome:Graimondii2_0_v6:11:42144441:42147461:-1 gene:B456_011G179200 transcript:KJB72447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQEHKARGAELYRQANNVIHRLMFELQINFIGNVQKSLILTKEQVTKLVIDWDIVDRLPMLKEQNSLQNIALELDASARNKADENAMKLAEDLKRLNEELHTRIVYLAWLKGDFECCQILIDKWEWETNKEQFMELAEDMKNEEFDNRIVEMERLKGIFECWLLEIDETKGGLFIAEDKVEIQRQRIMEADENALKLAFTFKGYHIFPCRSSIGLIVDMQAEELHNRVVEVERLKGIAEQELETTKERLSIAEDELEIQRQRTMEANENAMKLAQDLKRHNEELRNRVVEVEQLKGSAEQELETTKERLSIAEERIMVANENAMKLVVDLKRQNEELRNRVVEAEQLKGSAERKLETTKGHLSVAEGKVQHITEQSLGYCQEFGMHALAAVQLVHGPLDLSMINFRLIKELPEGFDPICPDGVAIERWKQTINMDEVINVTLGPVVDAADVTALGHEEEYKQCKEKCATRLSCSRSPSAKRSSHQHLGCKDKLHAEGARSSKKCLRKW >KJB72448 pep chromosome:Graimondii2_0_v6:11:42144441:42147462:-1 gene:B456_011G179200 transcript:KJB72448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELQINFIGNVQKSLILTKEQVTKLVIDWDIVDRLPMLKEQNSLQNIALELDASARNKADENAMKLAEDLKRLNEELHTRIVYLAWLKGDFECCQILIDKWEWETNKEQFMELAEDMKNEEFDNRIVEMERLKGIFECWLLEIDETKGGLFIAEDKVEIQRQRIMEADENALKLAFTFKGYHIFPCRSSIGLIVDMQAEELHNRVVEVERLKGIAEQELETTKERLSIAEDELEIQRQRTMEANENAMKLAQDLKRHNEELRNRVVEVEQLKGSAEQELETTKERLSIAEERIMVANENAMKLVVDLKRQNEELRNRVVEAEQLKGSAERKLETTKGHLSVAEGKVQHITEQSLGYCQEFGMHALAAVQLVHGPLDLSMINFRLIKELPEGFDPICPDGVAIERWKQTINMDEVINVTLGPVVDAADVTALGHEEEYKQCKEKCATRLSCSRSPSAKRSSHQHLGCKDKLHAEGARSSKKCLRKW >KJB72446 pep chromosome:Graimondii2_0_v6:11:42144760:42147083:-1 gene:B456_011G179200 transcript:KJB72446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQEHKARGAELYRQANNVIHRLMFELQINFIGNVQKSLILTKEQVTKLVIDWDIVDRLPMLKEQNSLQNIALELDASARNKADENAMKLAEDLKRLNEELHTRIVYLAWLKGDFECCQILIDKWEWETNKEQFMELAEDMKRQNEEFDNRIVEMERLKGIFECWLLEIDETKGGLFIAEDKVEIQRQRIMEADENALKLAFTFKGYHIFPCRSSIGLIVDMQAEELHNRVVEVERLKGIAEQELETTKERLSIAEDELEIQRQRTMEANENAMKLAQDLKRHNEELRNRVVEVEQLKGSAEQELETTKERLSIAEERIMVANENAMKLVVDLKRQNEELRNRVVEAEQLKGSAERKLETTKGHLSVAEGKVQHITEQSLGYCQEFGMHALAAVQLVHGPLDLSMINFRLIKELPEGFDPICPDGVAIERWKQTINMDEVINVTLGPVVDAADVTALGHEEEYKQCKEKCATRLSCSRSPSAKRSSHQHLGCKDKLHAEGARSSKKCLRKW >KJB72449 pep chromosome:Graimondii2_0_v6:11:42144760:42147014:-1 gene:B456_011G179200 transcript:KJB72449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELQINFIGNVQKSLILTKEQVTKLVIDWDIVDRLPMLKEQNSLQNIALELDASARNKADENAMKLAEDLKRLNEELHTRIVYLAWLKGDFECCQILIDKWEWETNKEQFMELAEDMKRQNEEFDNRIVEMERLKGIFECWLLEIDETKGGLFIAEDKVEIQRQRIMEADENALKLAFTFKGYHIFPCRSSIGLIVDMQAEELHNRVVEVERLKGIAEQELETTKERLSIAEDELEIQRQRTMEANENAMKLAQDLKRHNEELRNRVVEVEQLKGSAEQELETTKERLSIAEERIMVANENAMKLVVDLKRQNEELRNRVVEAEQLKGSAERKLETTKGHLSVAEGKVQHITEQSLGYCQEFGMHALAAVQLVHGPLDLSMINFRLIKELPEGFDPICPDGVAIERWKQTINMDEVINVTLGPVVDAADVTALGHEEEYKQCKEKCATRLSCSRSPSAKRSSHQHLGCKDKLHAEGARSSKKCLRKW >KJB72450 pep chromosome:Graimondii2_0_v6:11:42144863:42147461:-1 gene:B456_011G179200 transcript:KJB72450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQEHKARGAELYRQANNVIHRLMFELQINFIGNVQKSLILTKEQVTKLVIDWDIVDRLPMLKEQNSLQNIALELDASARNKADENAMKLAEDLKRLNEELHTRIVYLAWLKGDFECCQILIDKWEWETNKEQFMELAEDMKRQNEEFDNRIVEMERLKGIFECWLLEIDETKGGLFIAEDKVEIQRQRIMEADENALKLAFTFKGYHIFPCRSSIGLIVDMQAEELHNRVVEVERLKGIAEQELETTKERLSIAEDELEIQRQRTMEANENAMKLAQDLKRHNEELRNRVVEVEQLKGSAEQELETTKERLSIAEERIMVANENAMKLVVDLKRQNEELRNRVVEAEQLKGSAERKLETTKGHLSVAEGKVQHITEQSLGYCQEFGMHALAAVQLVHGPLDLSMINFRLIKELPEGFDPICPDGVAIERWKQTINMDEVINVTLGPVVDAADVTALGHEEEYKQCKEKCATRLSCSRSPSAKRSSHQHLGCKGKLWK >KJB72695 pep chromosome:Graimondii2_0_v6:11:45691455:45694607:-1 gene:B456_011G191200 transcript:KJB72695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNEENERCLLYLDAFTRKPLIATAERQLLERHIPAILDKGFMMLMDGHRIEDLQRMYSLFSRVNALESLRQAISSYIRRTGQSIVMDEEKDKDMVSSLLEFKASLDSIIEESFSKNEAFCNTIKDSFEHLINLRQNRPAELIAKFLDEKLRDGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGS >KJB72696 pep chromosome:Graimondii2_0_v6:11:45693178:45694290:-1 gene:B456_011G191200 transcript:KJB72696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNEENERCLLYLDAFTRKPLIATAERQLLERHIPAILDKGFMMLMDGHRIEDLQRMYSLFSRVNALESLRQAISSYIRRTGQSIVMDEEKDKDMVSSLLEFKASLDSIIEESFSKNEAFCNTIKDSFEHLINLRQNRPAELIAKFLDEKLRDGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGS >KJB70293 pep chromosome:Graimondii2_0_v6:11:5872211:5874066:-1 gene:B456_011G067300 transcript:KJB70293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVVIFDFDKTIIDCDSDNWVLDELGFTDLFNQLLPTMPWNPLMDKMMKELHAQGKTIDDIVEVLKRAPIHPRIVPAIKAAHALGCELRVVSDANMFFIETILEHLGLREYFSEIDSNPSFVDEEEKLRIFPYHDFTKSSHGCNLCPPNMCKGRVIERIQASLEGKKKIIYLGDGSGDYCPGLKLGEADYMMPRKNYPVWDLICRNPMLIKAEIYEWTDGEELEKVLLQLINMISLEEDDANSSQFISVDCKLQTMSASKSAFPHALPVPQ >KJB70296 pep chromosome:Graimondii2_0_v6:11:5872211:5874066:-1 gene:B456_011G067300 transcript:KJB70296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVVIFDFDKTIIDCDSDNWVLDELGFTDLFNQLLPTMPWNPLMDKMMKELHAQGKTIDDIVEVLKRAPIHPRIVPAIKAAHALGVVSDANMFFIETILEHLGLREYFSEIDSNPSFVDEEEKLRIFPYHDFTKSSHGCNLCPPNMCKGRVIERIQASLEGKKKIIYLGDGSGDYCPGLKLGEADYMMPRKNYPVWDLICRNPMLIKAEIYEWTDGEELEKVLLQLINMISLEEDDANSSQFISVDCKLQTMSASKSAFPHALPVPQ >KJB70295 pep chromosome:Graimondii2_0_v6:11:5872203:5874315:-1 gene:B456_011G067300 transcript:KJB70295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVVIFDFDKTIIDCDSDNWVLDELGFTDLFNQLLPTMPWNPLMDKMMKELHAQGKTIDDIVEVLKRAPIHPRIVPAIKAAHALGCELRVVSDANMFFIETILEHLGLREYFSEIDSNPSFVDEEEKLRIFPYHDFTKSSHGCNLCPPNMCKGRVIERIQASLEGKKKIIYLGDGSGDYCPGLKLGEADYMMPRKNYPVWDLICRNPMLIKAEIYEWTDGEELEKVLLQLINMISLEEDDANSSQFISVDCKLQTMSASKSAFPHALPVPQ >KJB70294 pep chromosome:Graimondii2_0_v6:11:5872556:5873678:-1 gene:B456_011G067300 transcript:KJB70294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKELHAQGKTIDDIVEVLKRAPIHPRIVPAIKAAHALGCELRVVSDANMFFIETILEHLGLREYFSEIDSNPSFVDEEEKLRIFPYHDFTKSSHGCNLCPPNMCKGRVIERIQASLEGKKKIIYLGDGSGDYCPGLKLGEADYMMPRKNYPVWDLICRNPMLIKAEIYEWTDGEELEKVLLQLINMISLEEDDANSSQFISVDCKLQTMSASKSAFPHALPVPQ >KJB71284 pep chromosome:Graimondii2_0_v6:11:14797039:14800542:1 gene:B456_011G114800 transcript:KJB71284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLQLPLSQTQRIRLQRALEKLQSLSWHGTTDLNGDLVATLCGVVERINKLVYVRALRARYKPEVGDIVVGRVVEVSQKRWRLEINFSLDAILMLSSMNMPDGIQRRRTALDELNMRCIFEENDVVCAEVRNFQHDGSLQLQARSQKYGKLEKGQLLIVDPYLVKKSKQHFHHLEQFGIDLILGRNGFIWVGEHVEARDSMVVDQANSSEQSRVPEEKNQTHTPLEMRQNICRIANAVRVLSTLGFSIDADLILETVELSSTVKIDIHDMLGSEFHVLVAEREPERRSLMGKRKR >KJB71286 pep chromosome:Graimondii2_0_v6:11:14798626:14800542:1 gene:B456_011G114800 transcript:KJB71286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCIFEENDVVCAEVRNFQHDGSLQLQARSQKYGKLEKGQLLIVDPYLVKKSKQHFHHLEQFGIDLILGRNGFIWVGEHVEARDSMVVDQANSSEQSRVPEEKNQTHTPLEMRQNICRIANAVRVLSTLGFSIDADLILETVELSSTVKIDIHDMLGSEFHVLVAEREPERRSLMGKRKR >KJB71283 pep chromosome:Graimondii2_0_v6:11:14797039:14800601:1 gene:B456_011G114800 transcript:KJB71283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLQLPLSQTQRIRLQRALEKLQSLSWHGTTDLNGDLVATLCGVVERINKLVYVRALRARYKPEVGDIVVGRVVEVSQKRWRLEINFSLDAILMLSSMNMPDGIQRRRTALDELNMRCIFEENDVVCAEVRNFQHDGSLQLQARSQKYGKLEKGQLLIVDPYLVKKSKQHFHHLEQFGIDLILGRNGFIWVGEHVEARDSMVVDQANSSEQSRVPEEKNQTHTPLEMRQNICRIANAVRVLSTLGFSIDADLILETVELSSTVKIDIHDMLGSEFHVLVAEREPERRSLMGKRKR >KJB71285 pep chromosome:Graimondii2_0_v6:11:14798721:14800601:1 gene:B456_011G114800 transcript:KJB71285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCIFEENDVVCAEVRNFQHDGSLQLQARSQKYGKLEKGQLLIVDPYLVKKSKQHFHHLEQFGIDLILGRNGFIWVGEHVEARDSMVVDQANSSEQSRVPEEKNQTHTPLEMRQNICRIANAVRVLSTLGFSIDADLILETVELSSTVKIDIHDMLGSEFHVLVAEREPERRSLMGKRKR >KJB71859 pep chromosome:Graimondii2_0_v6:11:23332355:23340900:1 gene:B456_011G144900 transcript:KJB71859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKALGQTVRDLKRGVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQIIMAVIWKRISDTGKNWRHVYKGLTVLEHLVAHGSERVIDDIREHAYQISSLSDFQYIDSSGRDQGSNIRKKSQSLVVLVNDKERIIEVRQKAAANKDKFRSTSTGGMNRAGLGGQGDRYDYDYQYGYRDDDRYGRYTDSNSRDGDRYSRDNEDRYGRDGYRDDDYKGRSTSVDGDQYGTRSRSSDRDRAFDDDGDSSRGSNARADDYSQDGRRHEQKFSEQNNGAPPSYEAVNESHSPVHSERDGETSVAAAPRSSSPPASNNPNLATSDFGNLASPSNKNVEAFNAFDPRVSVSAASAGAPVSTPAPAPPTASTSSEIDLLGALSDSWAVVPTLSETPAAEADAHAKGSIPSFAANPSASNSGNQGFDDPFGDGPFKAFPSGDAASAQQQISTPATTFQATMSQIMEASQPPSVKSEIVTGFDFGESFSASAYSTLNASNSQPPSGNSQFLPQDLSDPNQEDDILAEILPPSGPANDVASHTVFSAPSSQSSLPVATYGQHAQSGANMYQPAQPSANPHGQLAQPSANPYGQNGQPSANPYGQPAQPSANPYGQNGQPSANPYGQPAQPSANPYGQNGHSSANPYGQPVQPSANPYSQNGQPSANPYGLPAQPSANPYGQSSANPYGQPTQPNAIPYGQSAQPNANPYGQPAQPNANPYSQPVQVHANPYGQPAQPNANPYSQPTQPNANPYSQPTQPNANPYGQPVQPSANPYAQPTQSVSAAPQIPGSAAQSSNGSLMIGSNGPLCSQMAAQPPSPAAPATQFNTGSFISQQGSAVPVESQSAPQTTNDSGAHNNSDVLGGFFSQPGSNTSVAPQTATPSSIGALAIVPQPSKDKFEPKSAVWADTLSRGLVNLNISGPKTNPLSDIGVDFDAINRKEKRLEKPAPTAVTSTVTMGKAMGSGSGIGRAGASVLRAPANPMVGSGMGMGMGGGPVGGVGMGGYGGMNQQPMGMGMGMNMGMNPGMGMNNMGMNPGMGMSNMGMNPGMGMNMGMGQATHMQSQSGMPGGYNPMMGSSAYSQQPHGGDGGYR >KJB71856 pep chromosome:Graimondii2_0_v6:11:23332355:23340900:1 gene:B456_011G144900 transcript:KJB71856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKALGQTVRDLKRGVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQIIMAVIWKRISDTGKNWRHVYKGLTVLEHLVAHGSERVIDDIREHAYQISSLSDFQYIDSSGRDQGSNIRKKSQSLVVLVNDKERIIEVRQKAAANKDKFRSTSTGGMNRAGLGGQGDRYDYDYQYGYRDDDRYGRYTDSNSRDGDRYSRDNEDRYGRDGYRDDDYKGRSTSVDGDQYGTRSRSSDRDRAFDDDGDSSRGSNARADDYSQDGRRHEQKFSEQNNGAPPSYEAVNESHSPVHSERDGETSVAAAPRSSSPPASNNPNLATSDFGNLASPSNKNVEAFNAFDPRVSVSAASAGAPVSTPAPAPPTASTSSEIDLLGALSDSWAVVPTLSETPAAEADAHAKGSIPSFAANPSASNSGNQGFDDPFGDGPFKAFPSGDAASAQQQISTPATTFQATMSQIMEASQPPSVKSEIVTGFDFGESFSASAYSTLNASNSQPPSGNSQFLPQDLSDPNQEDDILAEILPPSGPANDVASHTVFSAPSSQSSLPVATYGQHAQSGANMYQPAQPSANPHGQLAQPSANPYGQNGQPSANPYGQPAQPSANPYGQNGQPSANPYGQPAQPSANPYGQNGHSSANPYGQPVQPSANPYSQNGQPSANPYGLPAQPSANPYGQSSANPYGQPTQPNAIPYGQSAQPNANPYGQPAQPNANPYSQPVQVHANPYGQPAQPNANPYSQPTQPNANPYSQPTQPNANPYGQPVQPSANPYAQPTQSVSAAPQIPGSAAQSSNGSLMIGSNGPLCSQMAAQPPSPAAPATQFNTGSFISQQGSAVPVESQSAPQTTNDSGAHNNSDVLGGFFSQPGSNTSVAPQTATPSSIGALAIVPQPSKDKFEPKSAVWADTLSRGLVNLNISGPKTNPLSDIGVDFDAINRKEKRLEKPAPTAVTSTVTMGKAMGSGSGIGRAGASVLRAPANPMVGSGMGMGMGGGPVGGVGMGGYGGMNQQPMGMGMGMNMGMNPGMGMNNMGMNPGMGMSNMGMNPGMGMSNMGMNQGMGMNMGMNPGMGMNMGMGQATHMQSQSGMPGGYNPMMGSSAYSQQPHGGDGGYR >KJB71861 pep chromosome:Graimondii2_0_v6:11:23332355:23340900:1 gene:B456_011G144900 transcript:KJB71861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKALGQTVRDLKRGVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQIIMAVIWKRISDTGKNWRHVYKGLTVLEHLVAHGSERVIDDIREHAYQISSLSDFQYIDSSGRDQGSNIRKKSQSLVVLVNDKERIIEVRQKAAANKDKFRSTSTGGMNRAGLGGQGDRYDYDYQYGYRDDDRYGRYTDSNSRDGDRYSRDNEDRYGRDGYRDDDYKGRSTSVDGDQYGTRSRSSDRDRAFDDDGDSSRGSNARADDYSQDGRRHEQKFSEQNNGAPPSYEAVNESHSPVHSERDGETSVAAAPRSSSPPASNNPNLATSDFGNLASPSNKNVEAFNAFDPRVSVSAASAGAPVSTPAPAPPTASTSSEIDLLGALSDSWAVVPTLSETPAAEADAHAKGSIPSFAANPSASNSGNQGFDDPFGDGPFKAFPSGDAASAQQQISTPATTFQATMSQIMEASQPPSVKSEIVTGFDFGESFSASAYSTLNASNSQPPSGNSQFLPQDLSDPNQEDDILAEILPPSGPANDVASHTVFSAPSSQSSLPVATYGQHAQSGANMYQPAQPSANPHGQLAQPSANPYGQNGQPSANPYGQPAQPSANPYGQNGQPSANPYGQPAQPSANPYGQNGHSSANPYGQPVQPSANPYSQNGQPSANPYGLPAQPSANPYGQSSANPYGQPTQPNAIPYGQSAQPNANPYGQPAQPNANPYSQPVQVHANPYGQPAQPNANPYSQPTQPNANPYSQPTQPNANPYGQPVQPSANPYAQPTQSVSAAPQIPGSAAQSSNGSLMIGSNGPLCSQMAAQPPSPAAPATQFNTGSFISQQGSAVPVESQSAPQTTNDSGAHNNSDVLGGFFSQPGSNTSVAPQTATPSSIGALAIVPQPSKDKFEPKSAVWADTLSRGLVNLNISGPKTNPLSDIGVDFDAINRKEKRLEKPAPTAVTSTVTMGKAMGSGSGIGRAGASVLRAPANPMVGSGMGMGMGGGPVGGVGMGGYGGMNQQPMGMGMGMNMGMNPGMGMNNMGMNPGMGMSNMGMNPGMGMNMGMNPGMGMNNMGMNRGMEMNNIGMSAGMGMNMGMGQATHMQSQSGMPGGYNPMMGSSAYSQQPHGGDGGYR >KJB71854 pep chromosome:Graimondii2_0_v6:11:23332151:23340900:1 gene:B456_011G144900 transcript:KJB71854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKALGQTVRDLKRGVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQIIMAVIWKRISDTGKNWRHVYKGLTVLEHLVAHGSERVIDDIREHAYQISSLSDFQYIDSSGRDQGSNIRKKSQSLVVLVNDKERIIEVRQKAAANKDKFRSTSTGGMNRAGLGGQGDRYDYDYQYGYRDDDRYGRYTDSNSRDGDRYSRDNEDRYGRDGYRDDDYKGRSTSVDGDQYGTRSRSSDRDRAFDDDGDSSRGSNARADDYSQDGRRHEQKFSEQNNGAPPSYEAVNESHSPVHSERDGETSVAAAPRSSSPPASNNPNLATSDFGNLASPSNKNVEAFNAFDPRVSVSAASAGAPVSTPAPAPPTASTSSEIDLLGALSDSWAVVPTLSETPAAEADAHAKGSIPSFAANPSASNSGNQGFDDPFGDGPFKAFPSGDAASAQQQISTPATTFQATMSQIMEASQPPSVKSEIVTGFDFGESFSASAYSTLNASNSQPPSGNSQFLPQDLSDPNQEDDILAEILPPSGPANDVASHTVFSAPSSQSSLPVATYGQHAQSGANMYQPAQPSANPHGQLAQPSANPYGQNGQPSANPYGQPAQPSANPYGQNGQPSANPYGQPAQPSANPYGQNGHSSANPYGQPVQPSANPYSQNGQPSANPYGLPAQPSANPYGQSSANPYGQPTQPNAIPYGQSAQPNANPYGQPAQPNANPYSQPVQVHANPYGQPAQPNANPYSQPTQPNANPYSQPTQPNANPYGQPVQPSANPYAQPTQSVSAAPQIPGSAAQSSNGSLMIGSNGPLCSQMAAQPPSPAAPATQFNTGSFISQQGSAVPVESQSAPQTTNDSGAHNNSDVLGGFFSQPGSNTSVAPQTATPSSIGALAIVPQPSKDKFEPKSAVWADTLSRGLVNLNISGPKTNPLSDIGVDFDAINRKEKRLEKPAPTAVTSTVTMGKAMGSGSGIGRAGASVLRAPANPMVGSGMGMGMGGGPVGGVGMGGYGGMNQQPMGMGMGMNMGMNPGMGMNNMGMNPGMGMSNMGMNPGMGMSNMGMNQGMGMNMGMNPGMGMNNMGMNRGMEMNNIGMSAGMGMNMGMGQATHMQSQSGMPGGYNPMMGSSAYSQQPHGGDGGYR >KJB71855 pep chromosome:Graimondii2_0_v6:11:23332355:23340900:1 gene:B456_011G144900 transcript:KJB71855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKALGQTVRDLKRGVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQIIMAVIWKRISDTGKNWRHVYKGLTVLEHLVAHGSERVIDDIREHAYQISSLSDFQYIDSSGRDQGSNIRKKSQSLVVLVNDKERIIEVRQKAAANKDKFRSTSTGGMNRAGLGGQGDRYDYDYQYGYRDDDRYGRYTDSNSRDGDRYSRDNEDRYGRDGYRDDDYKGRSTSVDGDQYGTRSRSSDRDRAFDDDGDSSRGSNARADDYSQDGRRHEQKFSEQNNGAPPSYEAVNESHSPVHSERDGETSVAAAPRSSSPPASNNPNLATSDFAASAGAPVSTPAPAPPTASTSSEIDLLGALSDSWAVVPTLSETPAAEADAHAKGSIPSFAANPSASNSGNQGFDDPFGDGPFKAFPSGDAASAQQQISTPATTFQATMSQIMEASQPPSVKSEIVTGFDFGESFSASAYSTLNASNSQPPSGNSQFLPQDLSDPNQEDDILAEILPPSGPANDVASHTVFSAPSSQSSLPVATYGQHAQSGANMYQPAQPSANPHGQLAQPSANPYGQNGQPSANPYGQPAQPSANPYGQNGQPSANPYGQPAQPSANPYGQNGHSSANPYGQPVQPSANPYSQNGQPSANPYGLPAQPSANPYGQSSANPYGQPTQPNAIPYGQSAQPNANPYGQPAQPNANPYSQPVQVHANPYGQPAQPNANPYSQPTQPNANPYSQPTQPNANPYGQPVQPSANPYAQPTQSVSAAPQIPGSAAQSSNGSLMIGSNGPLCSQMAAQPPSPAAPATQFNTGSFISQQGSAVPVESQSAPQTTNDSGAHNNSDVLGGFFSQPGSNTSVAPQTATPSSIGALAIVPQPSKDKFEPKSAVWADTLSRGLVNLNISGPKTNPLSDIGVDFDAINRKEKRLEKPAPTAVTSTVTMGKAMGSGSGIGRAGASVLRAPANPMVGSGMGMGMGGGPVGGVGMGGYGGMNQQPMGMGMGMNMGMNPGMGMNNMGMNPGMGMSNMGMNPGMGMSNMGMNQGMGMNMGMNPGMGMNNMGMNRGMEMNNIGMSAGMGMNMGMGQATHMQSQSGMPGGYNPMMGSSAYSQQPHGGDGGYR >KJB71858 pep chromosome:Graimondii2_0_v6:11:23332355:23340900:1 gene:B456_011G144900 transcript:KJB71858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKALGQTVRDLKRGVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQIIMAVIWKRISDTGKNWRHVYKGLTVLEHLVAHGSERVIDDIREHAYQISSLSDFQYIDSSGRDQGSNIRKKSQSLVVLVNDKERIIEVRQKAAANKDKFRSTSTGGMNRAGLGGQGDRYDYDYQYGYRDDDRYGRYTDSNSRDGDRYSRDNEDRYGRDGYRDDDYKGRSTSVDGDQYGTRSRSSDRDRAFDDDGDSSRGSNARADDYSQDGRRHEQKFSEQNNGAPPSYEAVNESHSPVHSERDGETSVAAAPRSSSPPASNNPNLATSDFGNLASPSNKNVEAFNAFDPRVSVSAASAGAPVSTPAPAPPTASTSSEIDLLGALSDSWAVVPTLSETPAAEADAHAKGSIPSFAANPSASNSGNQGFDDPFGDGPFKAFPSGDAASAQQQISTPATTFQATMSQIMEASQPPSVKSEIVTGFDFGESFSASAYSTLNASNSQPPSGNSQFLPQDLSDPNQEDDILAEILPPSGPANDVASHTVFSAPSSQSSLPVATYGQHAQSGANMYQPAQPSANPHGQLAQPSANPYGQNGQPSANPYGQPAQPSANPYGQNGQPSANPYGQPAQPSANPYGQNGHSSANPYGQPVQPSANPYSQNGQPSANPYGLPAQPSANPYGQSSANPYGQPTQPNAIPYGQSAQPNANPYGQPAQPNANPYSQPVQPNANPYSQPTQPNANPYGQPVQPSANPYAQPTQSVSAAPQIPGSAAQSSNGSLMIGSNGPLCSQMAAQPPSPAAPATQFNTGSFISQQGSAVPVESQSAPQTTNDSGAHNNSDVLGGFFSQPGSNTSVAPQTATPSSIGALAIVPQPSKDKFEPKSAVWADTLSRGLVNLNISGPKTNPLSDIGVDFDAINRKEKRLEKPAPTAVTSTVTMGKAMGSGSGIGRAGASVLRAPANPMVGSGMGMGMGGGPVGGVGMGGYGGMNQQPMGMGMGMNMGMNPGMGMNNMGMNPGMGMSNMGMNPGMGMSNMGMNQGMGMNMGMNPGMGMNNMGMNRGMEMNNIGMSAGMGMNMGMGQATHMQSQSGMPGGYNPMMGSSAYSQQPHGGDGGYR >KJB71857 pep chromosome:Graimondii2_0_v6:11:23332355:23340900:1 gene:B456_011G144900 transcript:KJB71857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKALGQTVRDLKRGVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQIIMAVIWKRISDTGKNWRHVYKGLTVLEHLVAHGSERVIDDIREHAYQISSLSDFQYIDSSGRDQGSNIRKKSQSLVVLVNDKERIIEVRQKAAANKDKFRSTSTGGMNRAGLGGQGDRYDYDYQYGYRDDDRYGRYTDSNSRDGDRYSRDNEDRYGRDGYRDDDYKGRSTSVDGDQYGTRSRSSDRDRAFDDDGDSSRGSNARADDYSQDGSFYRRHEQKFSEQNNGAPPSYEAVNESHSPVHSERDGETSVAAAPRSSSPPASNNPNLATSDFGNLASPSNKNVEAFNAFDPRVSVSAASAGAPVSTPAPAPPTASTSSEIDLLGALSDSWAVVPTLSETPAAEADAHAKGSIPSFAANPSASNSGNQGFDDPFGDGPFKAFPSGDAASAQQQISTPATTFQATMSQIMEASQPPSVKSEIVTGFDFGESFSASAYSTLNASNSQPPSGNSQFLPQDLSDPNQEDDILAEILPPSGPANDVASHTVFSAPSSQSSLPVATYGQHAQSGANMYQPAQPSANPHGQLAQPSANPYGQNGQPSANPYGQPAQPSANPYGQNGQPSANPYGQPAQPSANPYGQNGHSSANPYGQPVQPSANPYSQNGQPSANPYGLPAQPSANPYGQSSANPYGQPTQPNAIPYGQSAQPNANPYGQPAQPNANPYSQPVQVHANPYGQPAQPNANPYSQPTQPNANPYSQPTQPNANPYGQPVQPSANPYAQPTQSVSAAPQIPGSAAQSSNGSLMIGSNGPLCSQMAAQPPSPAAPATQFNTGSFISQQGSAVPVESQSAPQTTNDSGAHNNSDVLGGFFSQPGSNTSVAPQTATPSSIGALAIVPQPSKDKFEPKSAVWADTLSRGLVNLNISGPKTNPLSDIGVDFDAINRKEKRLEKPAPTAVTSTVTMGKAMGSGSGIGRAGASVLRAPANPMVGSGMGMGMGGGPVGGVGMGGYGGMNQQPMGMGMGMNMGMNPGMGMNNMGMNPGMGMSNMGMNPGMGMSNMGMNQGMGMNMGMNPGMGMNNMGMNRGMEMNNIGMSAGMGMNMGMGQATHMQSQSGMPGGYNPMMGSSAYSQQPHGGDGGYR >KJB71860 pep chromosome:Graimondii2_0_v6:11:23332355:23340900:1 gene:B456_011G144900 transcript:KJB71860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKALGQTVRDLKRGVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQIIMAVIWKRISDTGKNWRHVYKGLTVLEHLVAHGSERVIDDIREHAYQISSLSDFQYIDSSGRDQGSNIRKKSQSLVVLVNDKERIIEVRQKAAANKDKFRSTSTGGMNRAGLGGQGDRYDYDYQYGYRDDDRYGRYTDSNSRDGDRYSRDNEDRYGRDGYRDDDYKGRSTSVDGDQYGTRSRSSDRDRAFDDDGDSSRGSNARADDYSQDGRRHEQKFSEQNNGAPPSYEAVNESHSPVHSERDGETSVAAAPRSSSPPASNNPNLATSDFGNLASPSNKNVEAFNAFDPRVSVSAASAGAPVSTPAPAPPTASTSSEIDLLGALSDSWAVVPTLSETPAAEADAHAKGSIPSFAANPSASNSGNQGFDDPFGDGPFKAFPSGDAASAQQQISTPATTFQATMSQIMEASQPPSVKSEIVTGFDFGESFSASAYSTLNASNSQPPSGNSQFLPQDLSDPNQEDDILAEILPPSGPANDVASHTVFSAPSSQSSLPVATYGQHAQSGANMYQPAQPSANPHGQLAQPSANPYGQNGQPSANPYGQPAQPSANPYGQNGQPSANPYGQPAQPSANPYGQNGHSSANPYGQPVQPSANPYSQNGQPSANPYGLPAQPSANPYGQSSANPYGQPTQPNAIPYGQSAQPNANPYGQPAQPNANPYSQPVQVHANPYGQPAQPNANPYSQPTQPNANPYSQPTQPNANPYGQPVQPSANPYAQPTQSVSAAPQIPGSAAQSSNGSLMIGSNGPLCSQMAAQPPSPAAPATQFNTGSFISQQGSAVPVESQSAPQTTNDSGAHNNSDVLGGFFSQPGSNTSVAPQTATPSSIGALAIVPQPSKDKFEPKSAVWADTLSRGLVNLNISGPKTNPLSDIGVDFDAINRKEKRLEKPAPTAVTSTVTMGKATVV >KJB72236 pep chromosome:Graimondii2_0_v6:11:32881541:32884321:1 gene:B456_011G166300 transcript:KJB72236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFDKSVAKSPDALNAPDNPQAISALKNGFLPNHFASVYPGSVSISLGSSGVMAYSLEKQNPLLPRLFAVVDDIFCLFQGHIENIAVLKQQYGLNKTANEGIIVIEAYRTLRDRGPYPPDQDADGSVPFFWGIDAENHLVLADDVETVKKGCGKSFAPFPKGCFFSSTGGLKSYEHPLNELKAMPRVDSSGQVCGATFSVDVEAKKESTGMKKVGSAANWSSNY >KJB72237 pep chromosome:Graimondii2_0_v6:11:32881541:32884321:1 gene:B456_011G166300 transcript:KJB72237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFDKSVAKSPDALNAPDNPQAISALKNGFLPNHFASVYPGSVSISLGSSGVMAYSLEKQNPLLPRLFAVVDDIFCLFQGHIENIAVLKQQYGLNKTANEGIIVIEAYRTLRDRGPYPPDQVVRDIQGKFAFIIYDSSSKATFIASDADGSVPFFWGIDAENHLVLADDVETVKKGCGKSFAPFPKGCFFSSTGGLKSYEHPLNELKAMPRVDSSGQVCGATFSVDVEAKKESTGMKKVGSAANWSSNY >KJB72235 pep chromosome:Graimondii2_0_v6:11:32881733:32883190:1 gene:B456_011G166300 transcript:KJB72235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFDKSVAKSPDALNAPDNPQAISALKNGFLPNHFASVYPGSVSISLGSSGVMAYSLEKQNPLLPRLFAVVDDIFCLFQGHIENIAVLKQQYGLNKTANEGIIVIEAYRTLRDRGPYPPDQVVRDIQGKFAFIIYDSSSKATFIASDADGSVPFFWGIDAENHLVLADDVETVKKGCGKSFAPFPKGKLVFGTSR >KJB70094 pep chromosome:Graimondii2_0_v6:11:4625269:4629515:-1 gene:B456_011G057900 transcript:KJB70094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRRCFCSSAPRPWLFVGLGNPGDKYKGTRHNVGFEMIDAFAKAQGIEMNTLNCKAIFGQGFVGDAPLLLAKPQTYMNLSGESSGALAAYYKLPLNRVIVFHDDMDLPCGVLRLQDKGGHGSHNGLKSVIYHFRGNREFARLRIGIGKPPGQMDPKAFLLQKFNASARERIDTALQEGVEGLKLLLLKGLTESARRFNTEQKYKHIRLQTVPT >KJB70092 pep chromosome:Graimondii2_0_v6:11:4625012:4629874:-1 gene:B456_011G057900 transcript:KJB70092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRRCFCSSAPRPWLFVGLGNPGDKYKGTRHNVGFEMIDAFAKAQGIEMNTLNCKAIFGQGFVGDAPLLLAKPQTYMNLSGESSGALAAYYKLPLNRVIVFHDDMDLPCGVLRLQDKGGHGSHNGLKSVIYHFRGNREFARLRIGIGKPPGQMDPKAFLLQKFNASARERIDTALQEGVEGLKLLLLKGLTESARRFNTEQKYKHIRLQTVPT >KJB70098 pep chromosome:Graimondii2_0_v6:11:4626458:4629814:-1 gene:B456_011G057900 transcript:KJB70098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRRCFCSSAPRPWLFVGLGNPGDKYKGTRHNVGFEMIDAFAKAQGIEMNTLNCKAIFGQGFVGDAPLLLAKPQTYMNLSGESSGALAAYYKLPLNRVIVFHDDMDLPCGVLRLQDKGGHGSHNGLKSVIYHFRGNREFARLRIGIGKPPGQMDPKAFLLQKFNASARERVRFNIPLISCLAIINLLFTSCSPKGSGIRPDSALKIYWKI >KJB70093 pep chromosome:Graimondii2_0_v6:11:4625269:4629515:-1 gene:B456_011G057900 transcript:KJB70093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRRCFCSSAPRPWLFVGLGNPGDKYKGTRHNVGFEMIDAFAKAQGIEMNTLNCKAIFGQGFVGDAPLLLAKPQTYMNLSGESSGALAAYYKLPLNRVIVFHDDMDLPCGVLRLQDKGGHGSHNGLKSVIYHFRGNREFARLRIGIGKPPGQMDPKAFLLQKFNASARERIDTALQEGVEGLKLLLLKGLTESARRFNTEQKYKHIRLQTVPT >KJB70097 pep chromosome:Graimondii2_0_v6:11:4625064:4629814:-1 gene:B456_011G057900 transcript:KJB70097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRRCFCSSAPRPWLFVGLGNPGDKYKGTRHNVGFEMIDAFAKAQGIEMNTLNCKAIFGQGFVGDAPLLLAKPQTYMNLSGESSGALAAYYKLPLNRVIVFHDDMDLPCGVLRLQDKGGHGSHNGYEWRMLKSVIYHFRGNREFARLRIGIGKPPGQMDPKAFLLQKFNASARERIDTALQEGVEGLKLLLLKGLTESARRFNTEQKYKHIRLQTVPT >KJB70096 pep chromosome:Graimondii2_0_v6:11:4625012:4629907:-1 gene:B456_011G057900 transcript:KJB70096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRRCFCSSAPRPWLFVGLGNPGDKYKGTRHNVGFEMIDAFAKAQGIEMNTLNCKAIFGQGFVGDAPLLLAKPQTYMNLSGESFHDDMDLPCGVLRLQDKGGHGSHNGLKSVIYHFRGNREFARLRIGIGKPPGQMDPKAFLLQKFNASARERIDTALQEGVEGLKLLLLKGLTESARRFNTEQKYKHIRLQTVPT >KJB70095 pep chromosome:Graimondii2_0_v6:11:4625012:4629907:-1 gene:B456_011G057900 transcript:KJB70095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRRCFCSSAPRPWLFVGLGNPGDKYKGTRHNVGFEMIDAFAKAQGIEMNTLNCKAIFGQGFVGDAPLLLAKPQTYMNLSGESFHDDMDLPCGVLRLQDKGGHGSHNGLKSVIYHFRGNREFARLRIGIGKPPGQMDPKAFLLQKFNASARERIDTALQEGVEGLKLLLLKGLTESARRFNTEQKYKHIRLQTVPT >KJB73251 pep chromosome:Graimondii2_0_v6:11:53512026:53532637:-1 gene:B456_011G224400 transcript:KJB73251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLAQLEALCERLYNSQDSAERAHAENTLKCFSVNTDYISQCQYILENALTPYALMLASSSLLKQVTDHSLALNLRLDIWSYIFNYLATRGPKLQPFVTASLIQLLCRVTKFGWFDDERFRDVVKESANFLSQGTSEHYAIGLKILNQLVSEMNQPNPGLPSTHHRRVACSFRDQSLFQIFQMSLTSLRHLQSDVASRLQELALSLAHKCLSFDFVGTSIDESSEEFGTVQIPSSWRPLLEDSSTLQIFFDYYSITKAPLSKEALECLVRLASVRRSLFVNDAARSKFLAHLMTGTKEILQSGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLLSWQWASSSVYYLLGLWSRLVSSVPYLKGDAPSLLDEFVPKITESFLTSRFNSVQVGFPDDLSENPLDNVELLQDQLDCFPYLCRFQYESSGSYIINMMEPVLQSYTEKARLQTCDKNDLSIIEAKLTWVVHIIAAILKIKQCTGCSMESQEVLDAELSARVLQLINVTDSGLHSQRYGEVSKQRLDRAILTFFQHFRKSYVGDQAMHSSKLYARLSELLGLHDHLLLLNVIVGKIATNLKCYTESEQVIDHTLSLFLELASGYMTGKLLLKLDTVKFIIANHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPIKFKSSMEPLLQVFVSLESTPDAVFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLILKGITHWTDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGTRILSLPNPADIYAFKYKGIWISLTILARGLAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYFSFLEVLFNSHINFILNLDAATFMHIVGSLESGLKGLDINISSQCAAAVDNLAAFYFNNITMGEAPTSPASVKLAQHIADCPSLFPQILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFADLKAQILASQPVDHHQRLSICFDKLMSDVTRSLDSKNRDKFTQNLTVFRHEFRIK >KJB73248 pep chromosome:Graimondii2_0_v6:11:53512886:53532284:-1 gene:B456_011G224400 transcript:KJB73248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLAQLEALCERLYNSQDSAERAHAENTLKCFSVNTDYISQCQYILENALTPYALMLASSSLLKQVTDHSLALNLRLDIWSYIFNYLATRGPKLQPFVTASLIQLLCRVTKFGWFDDERFRDVVKESANFLSQGTSEHYAIGLKILNQLVSEMNQPNPGLPSTHHRRVACSFRDQSLFQIFQMSLTSLRHLQSDVASRLQELALSLAHKCLSFDFVGTSIDESSEEFGTVQIPSSWRPLLEDSSTLQIFFDYYSITKAPLSKEALECLVRLASVRRSLFVNDAARSKFLAHLMTGTKEILQSGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLLSWQWASSSVYYLLGLWSRLVSSVPYLKGDAPSLLDEFVPKITESFLTSRFNSVQVGFPDDLSENPLDNVELLQDQLDCFPYLCRFQYESSGSYIINMMEPVLQSYTEKARLQTCDKNDLSIIEAKLTWVVHIIAAILKIKQCTGCSMESQEVLDAELSARVLQLINVTDSGLHSQRYGEVSKQRLDRAILTFFQHFRKSYVGDQAMHSSKQLYARLSELLGLHDHLLLLNVIVGKIATNLKCYTESEQVIDHTLSLFLELASGYMTGKLLLKLDTVKFIIANHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPIKFKSSMEPLLQVFVSLESTPDAVFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLILKGITHWTDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGTRILSLPNPADIYAFKYKGIWISLTILARGLAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYFSFLEVLFNSHINFILNLDAATFMHIVGSLESGLKGLDINISSQCAAAVDNLAAFYFNNITMGEAPTSPASVKLAQHIADCPSLFPQILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFADLKAQILASQPVDHHQRLSICFDKLMSDVTRSLDSKNRDKFTQNLTVFRHEFRIK >KJB73249 pep chromosome:Graimondii2_0_v6:11:53512886:53532284:-1 gene:B456_011G224400 transcript:KJB73249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLAQLEALCERLYNSQDSAERAHAENTLKCFSVNTDYISQCQYILENALTPYALMLASSSLLKQVTDHSLALNLRLDIWSYIFNYLATRGPKLQPFVTASLIQLLCRVTKFGWFDDERFRDVVKESANFLSQGTSEHYAIGLKILNQLVSEMNQPNPGLPSTHHRRVACSFRDQSLFQIFQMSLTSLRHLQSDVASRLQELALSLAHKCLSFDFVGTSIDESSEEFGTVQIPSSWRPLLEDSSTLQIFFDYYSITKAPLSKEALECLVRLASVRRSLFVNDAARSKFLAHLMTGTKEILQSGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLLSWQWASSSVYYLLGLWSRLVSSVPYLKGDAPSLLDEFVPKITESFLTSRFNSVQVGFPDDLSENPLDNVELLQDQLDCFPYLCRFQYESSGSYIINMMEPVLQSYTEKARLQTCDKNDLSIIEAKLTWVVHIIAAILKIKQCTGCSMESQEVLDAELSARVLQLINVTDSGLHSQRYGEVSKQRLDRAILTFFQHFRKSYVGDQAMHSSKQLYARLSELLGLHDHLLLLNVIVGKIATNLKCYTESEQVIDHTLSLFLELASGYMTGKLLLKLDTVKFIIANHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPIKFKSSMEPLLQVFVSLESTPDAVFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLILKGITHWTDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGTRILSLPNPADIYAFKYKGIWISLTILARGLAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYFSFLEVLFNSHINFILNLDAATFMHIVGSLESGLKGLDINISSQCAAAVDNLAAFYFNNITMGEAPTSPASVKLAQHIADCPSLFPQILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFADLKAQILASQPVDHHQRLSICFDKLMSDVTRSLDSKNRDKFTQNLTVFRHEFRIK >KJB73246 pep chromosome:Graimondii2_0_v6:11:53512886:53532284:-1 gene:B456_011G224400 transcript:KJB73246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLAQLEALCERLYNSQDSAERAHAENTLKCFSVNTDYISQCQYILENALTPYALMLASSSLLKQVTDHSLALNLRLDIWSYIFNYLATRGPKLQPFVTASLIQLLCRVTKFGWFDDERFRDVVKESANFLSQGTSEHYAIGLKILNQLVSEMNQPNPGLPSTHHRRVACSFRDQSLFQIFQMSLTSLRHLQSDVASRLQELALSLAHKCLSFDFVGTSIDESSEEFGTVQIPSSWRPLLEDSSTLQIFFDYYSITKAPLSKEALECLVRLASVRRSLFVNDAARSKFLAHLMTGTKEILQSGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLLSWQWASSSVYYLLGLWSRLVSSVPYLKGDAPSLLDEFVPKITESFLTSRFNSVQVGFPDDLSENPLDNVELLQDQLDCFPYLCRFQYESSGSYIINMMEPVLQSYTEKARLQTCDKNDLSIIEAKLTWVVHIIAAILKIKQCTGCSMESQEVLDAELSARVLQLINVTDSGLHSQRYGEVSKQRLDRAILTFFQHFRKSYVGDQAMHSSKLYARLSELLGLHDHLLLLNVIVGKIATNLKCYTESEQVIDHTLSLFLELASGYMTGKLLLKLDTVKFIIANHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPIKFKSSMEPLLQVFVSLESTPDAVFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLILKGITHWTDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGTRILSLPNPADIYAFKYKGIWISLTILARGLAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYFSFLEVLFNSHINFILNLDAATFMHIVGSLESGLKGLDINISSQCAAAVDNLAAFYFNNITMGEAPTSPASVKLAQHIADCPSLFPQILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFADLKAQILASQPVDHHQRLSICFDKLMSDVTRSLDSKNRDKFTQNLTVFRHEFRIK >KJB73250 pep chromosome:Graimondii2_0_v6:11:53512886:53532284:-1 gene:B456_011G224400 transcript:KJB73250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLAQLEALCERLYNSQDSAERAHAENTLKCFSVNTDYISQCQYILENALTPYALMLASSSLLKQVTDHSLALNLRLDIWSYIFNYLATRGPKLQPFVTASLIQLLCRVTKFGWFDDERFRDVVKESANFLSQGTSEHYAIGLKILNQLVSEMNQPNPGLPSTHHRRVACSFRDQSLFQIFQMSLTSLRHLQSDVASRLQELALSLAHKCLSFDFVGTSIDESSEEFGTVQIPSSWRPLLEDSSTLQIFFDYYSITKAPLSKEALECLVRLASVRRSLFVNDAARSKFLAHLMTGTKEILQSGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLLSWQWASSSVYYLLGLWSRLVSSVPYLKGDAPSLLDEFVPKITESFLTSRFNSVQVGFPDDLSENPLDNVELLQDQLDCFPYLCRFQYESSGSYIINMMEPVLQSYTEKARLQTCDKNDLSIIEAKLTWVVHIIAAILKIKQCTGCSMESQEVLDAELSARVLQLINVTDSGLHSQRYGEVSKQRLDRAILTFFQHFRKSYVGDQAMHSSKQLYARLSELLGLHDHLLLLNVIVGKIATNLKCYTESEQVIDHTLSLFLELASGYMTGKLLLKLDTVKFIIANHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPIKFKSSMEPLLQVFVSLESTPDAVFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLILKGITHWTDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGTRILSLPNPADIYAFKYKGIWISLTILARGLAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYFSFLEVLFNSHINFILNLDAATFMHIVGSLESGLKGLDINISSQCAAAVDNLAAFYFNNITMGEAPTSPASVKLAQHIADCPSLFPQILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFADLKAQILASQPVDHHQRLSICFDKLMSDVTRSLDSKNRDKFTQNLTVFRHEFRIK >KJB73252 pep chromosome:Graimondii2_0_v6:11:53515882:53532637:-1 gene:B456_011G224400 transcript:KJB73252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLAQLEALCERLYNSQDSAERAHAENTLKCFSVNTDYISQCQYILENALTPYALMLASSSLLKQVTDHSLALNLRLDIWSYIFNYLATRGPKLQPFVTASLIQLLCRVTKFGWFDDERFRDVVKESANFLSQGTSEHYAIGLKILNQLVSEMNQPNPGLPSTHHRRVACSFRDQSLFQIFQMSLTSLRHLQSDVASRLQELALSLAHKCLSFDFVGTSIDESSEEFGTVQIPSSWRPLLEDSSTLQIFFDYYSITKAPLSKEALECLVRLASVRRSLFVNDAARSKFLAHLMTGTKEILQSGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLLSWQWASSSVYYLLGLWSRLVSSVPYLKGDAPSLLDEFVPKITESFLTSRFNSVQVGFPDDLSENPLDNVELLQDQLDCFPYLCRFQYESSGSYIINMMEPVLQSYTEKARLQTCDKNDLSIIEAKLTWVVHIIAAILKIKQCTGCSMESQEVLDAELSARVLQLINVTDSGLHSQRYGEVSKQRLDRAILTFFQHFRKSYVGDQAMHSSKQLYARLSELLGLHDHLLLLNVIVGKIATNLKCYTESEQVIDHTLSLFLELASGYMTGKLLLKLDTVKFIIANHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPIKFKSSMEPLLQVFVSLESTPDAVFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLILKGITHWTDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGTRILSLPNPADIYAFKYKGIWISLTILARGLAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYFSFLEVLFNSHINFILNLDAATFMHIVGSLESGLKGLDINISSQCAAAVDNLAAFYFNNITMGEAPTSPASVKLAQHIADCPSLFPQVSD >KJB73247 pep chromosome:Graimondii2_0_v6:11:53512026:53532637:-1 gene:B456_011G224400 transcript:KJB73247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLAQLEALCERLYNSQDSAERAHAENTLKCFSVNTDYISQCQYILENALTPYALMLASSSLLKQVTDHSLALNLRLDIWSYIFNYLATRGPKLQPFVTASLIQLLCRVTKFGWFDDERFRDVVKESANFLSQGTSEHYAIGLKILNQLVSEMNQPNPGLPSTHHRRVACSFRDQSLFQIFQMSLTSLRHLQSDVASRLQELALSLAHKCLSFDFVGTSIDESSEEFGTVQIPSSWRPLLEDSSTLQIFFDYYSITKAPLSKEALECLVRLASVRRSLFVNDAARSKFLAHLMTGTKEILQSGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLLSWQWASSSVYYLLGLWSRLVSSVPYLKGDAPSLLDEFVPKITESFLTSRFNSVQVGFPDDLSENPLDNVELLQDQLDCFPYLCRFQYESSGSYIINMMEPVLQSYTEKARLQTCDKNDLSIIEAKLTWVVHIIAAILKIKQCTGCSMESQEVLDAELSARVLQLINVTDSGLHSQRYGEVSKQRLDRAILTFFQHFRKSYVGDQAMHSSKLYARLSELLGLHDHLLLLNVIVGKIATNLKCYTESEQVIDHTLSLFLELASGYMTGKLLLKLDTVKFIIANHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPIKFKSSMEPLLQVFVSLESTPDAVFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLILKGITHWTDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGTRILSLPNPADIYAFKYKGIWISLTILARGLAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYFSFLEVLFNSHINFILNLDAATFMHIVGSLESGLKGLDINISSQCAAAVDNLAAFYFNNITMGEAPTSPASVKLAQHIADCPSLFPQILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFADLKAQILASQPVDHHQRLSICFDKLMSDVTRSLDSKNRDKFTQNLTVFRHEFRIK >KJB74294 pep chromosome:Graimondii2_0_v6:11:62009791:62010362:-1 gene:B456_011G288700 transcript:KJB74294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFIIATLVFAATWQIEHHLQTSNNNSSIHRLIVTKISLFSGSLAAVVLVLLIFPAIGWFLLLVWTLILMKQLYEACQMLRRLYHSISLVSYVFNEVCGRRAHLSRGRNGLIA >KJB70961 pep chromosome:Graimondii2_0_v6:11:10790392:10791261:1 gene:B456_011G097600 transcript:KJB70961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPHTTEPCPEQTISVANETYQGMELVQRGQWIRAAILGANDGLLSTTSLMLGIGAANDDKWSMILSGLAGAIAGACSMAVGEFVSVSTQRDIEQASKTDTGMQNDGVNKLDMTCCATTAKASRLRETNLDVPCTRDPIEKMFSPVIILEPNLPQGLSPRRSPIIKVMTDDLKTKAPVTLVQDDDHDKENTLPNPCKAASASALSFLCGAVVPLASAAFIEQHLIRIVVIAVVSSIALAVFGCFGACLGGSPVRISAARVLFGGWVAMAITYGLLKPFDRYHHGSDTD >KJB72251 pep chromosome:Graimondii2_0_v6:11:34317163:34317944:1 gene:B456_011G168500 transcript:KJB72251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVIFLGLGAFIEDNRRVSTGSRFPTSSETTLLSRLVSSKSGRFIEPSPLNQRPIPSPRTVCKSTAALDRLPLSAATGKCWAAMSKSERSKTPQAWPVGMTPKPRLLNAI >KJB71116 pep chromosome:Graimondii2_0_v6:11:12437623:12441853:1 gene:B456_011G106500 transcript:KJB71116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDFKSIPIIDVAPLLAKCDDPKMVQDPAVREVVKQLDQACREAGFFYAKGHGIPETMVKEVRNITHKFFDLPYEEKLKIKMTPAAGYRGYQRIGENITKGVPDMHEAIDCYKELKQGMYGALGKPMEGCNQWPHYPPNFKELMEEYISLCTEVSRKIMRGIALALGGSPDEFEGERGGDAFWVMRLIGYPGASTANGKCTSENDIGCGAHTDYGLLTLVNQDENITALQVRNQAGEWISAPPNPGTFVCNIGDMLKPNYDAAVEPLEVCVRKRGGTRKFEKAVYGEHLVSKVQTNFVT >KJB71117 pep chromosome:Graimondii2_0_v6:11:12438113:12441853:1 gene:B456_011G106500 transcript:KJB71117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDPAVREVVKQLDQACREAGFFYAKGHGIPETMVKEVRNITHKFFDLPYEEKLKIKMTPAAGYRGYQRIGENITKGVPDMHEAIDCYKELKQGMYGALGKPMEGCNQWPHYPPNFKELMEEYISLCTEVSRKIMRGIALALGGSPDEFEGERGGDAFWVMRLIGYPGASTANGKCTSENDIGCGAHTDYGLLTLVNQDENITALQVRNQAGEWISAPPNPGTFVCNIGDMLKILSNGLYESTLHRVINKSQTYRVCVAFFYEPNYDAAVEPLEVCVRKRGGTRKFEKAVYGEHLVSKVQTNFVT >KJB71113 pep chromosome:Graimondii2_0_v6:11:12437612:12441860:1 gene:B456_011G106500 transcript:KJB71113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDFKSIPIIDVAPLLAKCDDPKMVQDPAVREVVKQLDQACREAGFFYAKGHGIPETMVKEVRNITHKFFDLPYEEKLKIKMTPAAGYRGYQRIGENITKGVPDMHEAIDCYKELKQGMYGALGKPMEGCNQWPHYPPNFKELMEEYISLCTEVSRKIMRGIALALGGSPDEFEGERGGDAFWVMRLIGYPGASTANGKCTSENDIGCGAHTDYGLLTLVNQDENITALQVRNQAGEWISAPPNPGTFVCNIGDMLKILSNGLYESTLHRVINKSQTYRVCVAFFYEPNYDAAVEPLEVCVRKRGGTRKFEKAVYGEHLVSKVQTNFVT >KJB71114 pep chromosome:Graimondii2_0_v6:11:12437792:12441406:1 gene:B456_011G106500 transcript:KJB71114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDFKSIPIIDVAPLLAKCDDPKMVQDPAVREVVKQLDQACREAGFFYAKGHGIPETMVKEVRNITHKFFDLPYEEKLKIKMTPAAGYRGYQRIGENITKGVPDMHEAIDCYKELKQGMYGALGKPMEGCNQWPHYPPNFKELMEEYISLCTEVSRKIMRGIALALGGSPDEFEGERGGDAFWVMRLIGYPGASTANGKCTSENDIGCGAHTDYGLLTLVNQDENITALQVRNQAGEWISAPPNPGTFVCNIGDMLKILSNGLYESTLHRVINKSQTYRVCVAFFYEVNIYYDLIKG >KJB71112 pep chromosome:Graimondii2_0_v6:11:12437612:12441940:1 gene:B456_011G106500 transcript:KJB71112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDFKSIPIIDVAPLLAKCDDPKMVQDPAVREVVKQLDQACREAGFFYAKGHGIPETMVKEVRNITHKFFDLPYEEKLKIKMTPAAGYRGYQRIGENITKGVPDMHEAIDCYKELKQGMYGALGKPMEGCNQWPHYPPNFKELMEEYISLCTEVSRKIMRGIALALGGSPDEFEGERGGDAFWVMRLIGYPGASTANGKCTSENDIGCGAHTDYGLLTLVNQDENITALQVRNQAGEWISAPPNPGTFVCNIGDMLKILSNGLYESTLHRVINKSQTYRVCVAFFYEPNYDAAVEPLEVCVRKRGGTRKFEKAVYGEHLVSKVQTNFVT >KJB71119 pep chromosome:Graimondii2_0_v6:11:12440007:12441853:1 gene:B456_011G106500 transcript:KJB71119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILVLSILHLVADLKVRLSFGVDVLLSCNIVFDRPHYPPNFKELMEEYISLCTEVSRKIMRGIALALGGSPDEFEGERGGDAFWVMRLIGYPGASTANGKCTSENDIGCGAHTDYGLLTLVNQDENITALQVRNQAGEWISAPPNPGTFVCNIGDMLKILSNGLYESTLHRVINKSQTYRVCVAFFYEPNYDAAVEPLEVCVRKRGGTRKFEKAVYGEHLVSKVQTNFVT >KJB71115 pep chromosome:Graimondii2_0_v6:11:12437623:12441853:1 gene:B456_011G106500 transcript:KJB71115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLCWPNAMIQKWFKTLLYVKLLSNWIRLAVRLGSSMRRVMVSLRPWLKRGYQRIGENITKGVPDMHEAIDCYKELKQGMYGALGKPMEGCNQWPHYPPNFKELMEEYISLCTEVSRKIMRGIALALGGSPDEFEGERGGDAFWVMRLIGYPGASTANGKCTSENDIGCGAHTDYGLLTLVNQDENITALQVRNQAGEWISAPPNPGTFVCNIGDMLKILSNGLYESTLHRVINKSQTYRVCVAFFYEPNYDAAVEPLEVCVRKRGGTRKFEKAVYGEHLVSKVQTNFVT >KJB71118 pep chromosome:Graimondii2_0_v6:11:12439263:12441860:1 gene:B456_011G106500 transcript:KJB71118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFQLNSKCYKELKQGMYGALGKPMEGCNQWPHYPPNFKELMEEYISLCTEVSRKIMRGIALALGGSPDEFEGERGGDAFWVMRLIGYPGASTANGKCTSENDIGCGAHTDYGLLTLVNQDENITALQVRNQAGEWISAPPNPGTFVCNIGDMLKILSNGLYESTLHRVINKSQTYRVCVAFFYEPNYDAAVEPLEVCVRKRGGTRKFEKAVYGEHLVSKVQTNFVT >KJB69855 pep chromosome:Graimondii2_0_v6:11:3559232:3561030:1 gene:B456_011G046200 transcript:KJB69855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIIASVKEAMPNGKVKKGKVVYGVVVRAAMQRGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRQKKHVKILTLAEHIA >KJB69854 pep chromosome:Graimondii2_0_v6:11:3559155:3561030:1 gene:B456_011G046200 transcript:KJB69854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFASRCSRVGRSLMGGLGNNLSNLRSTSSEITCSSFLSQQQRTFIQMRTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIIASVKEAMPNGKVKKGKVVYGVVVRAAMQRGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRQKKHVKILTLAEHIA >KJB69535 pep chromosome:Graimondii2_0_v6:11:2120068:2121396:1 gene:B456_011G028900 transcript:KJB69535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATRLSCRTKHEPLHPPISQKIHLQPDLSFRHFSYTTTLMGILQGNCALGKWRSQSSILPVSHGNTSSIVKPVPPAFIGAPMENSKAFITKDLALFGVLKTALAPFERVKLLMQNQNHLIKSSQLPKPYNGILDCFARTIRNEGVLSLWRGYTAMTLADVSLKVMRFAIFRYVLSREDIQWTYPRLLVLDSVVIVTNQLLFYPFLYAGARMATDVKAIGSTGNWQFNGIVDVFRKTLKSDGIAGLYRGFNIRLAEFGMMGAVSAGLKPWKQHYSSLLQNNVFSRHMVKFGFGICANMAIYPLDTVNKRMMMTSGAVKYKSTRLAIAQIMKTEGLKSFYSGAGAEILSCAVYKGTVLLIIYVADVIRAAKEKNDYCSRSTMEGSLRQMTRH >KJB70286 pep chromosome:Graimondii2_0_v6:11:5823438:5825123:-1 gene:B456_011G067000 transcript:KJB70286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDVVVVMDFDKTIIDCDSDNWVVDELGATELFNQLLPTMPWNSLMDRMMKELHSQGTKIEDIVAVLKRTPIHSRIIQAIKSAYALGCDLKIVSDANVFFIDTILKHHGLKECFSEINTNPSFVDEEGRLRIFPYHDFTEHPHGCPHPCPPNMCKGIVIERIQASLSAMEEKKTIIYMGDGLGDFCPSLKLGDGDYMLPRKDFPVWDLVCKNRSLIKAEVCEWSNGEEFEHALLHFITKITIDKSNTNGNNAAQLYSDCKVQTMPGSSHQAFSQTLYVPH >KJB70287 pep chromosome:Graimondii2_0_v6:11:5823675:5824534:-1 gene:B456_011G067000 transcript:KJB70287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFCRCDLKIVSDANVFFIDTILKHHGLKECFSEINTNPSFVDEEGRLRIFPYHDFTEHPHGCPHPCPPNMCKGIVIERIQASLSAMEEKKTIIYMGDGLGDFCPSLKLGDGDYMLPRKDFPVWDLVCKNRSLIKAEVCEWSNGEEFEHALLHFITKITIDKSNTNGNNAAQLYSDCKVQTMPGSSHQAFSQTLYVPH >KJB70288 pep chromosome:Graimondii2_0_v6:11:5823496:5825094:-1 gene:B456_011G067000 transcript:KJB70288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKELHSQGTKIEDIVAVLKRTPIHSRIIQAIKSAYALGCDLKIVSDANVFFIDTILKHHGLKECFSEINTNPSFVDEEGRLRIFPYHDFTEHPHGCPHPCPPNMCKGIVIERIQASLSAMEEKKTIIYMGDGLGDFCPSLKLGDGDYMLPRKDFPVWDLVCKNRSLIKAEVCEWSNGEEFEHALLHFITKITIDKSNTNGNNAAQLYSDCKVQTMPGSSHQAFSQTLYVPH >KJB69418 pep chromosome:Graimondii2_0_v6:11:1608221:1615731:-1 gene:B456_011G022700 transcript:KJB69418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] METDETPRVLPFQLQFDKPVASQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTIFPGRCITSLCWRPDGKAIAVGLEDGTISLHDVENGKLLRSLKSHTVAVVSLNWEEDGQVIKDDSVNNSTYEDRTSRFFPPAPRVPRMPGLAPGDTGFMDDSDDSFRELSNSSYQRFNILCSGDKDGSTCFSIFGIFPIGKINIHNLSIPTPYATEPATCRLSHASISKIALSKDLCHLIVMCSGELNHDEVESAERQLGVHGCHCLLLDTSIFWKRKNELHQVAQQASNIEDLIEVIRSSLSVMSKQWSDAMHTFREKFDSLSSLIIDHGLDSSPQEEFLSLLGGARTSPPVHQFLVNSLGESGVKRVSKVVCGAGKELQHVVLDHLQPAAEIIGFRMGELRGLSRWRTRFQGIGLDETLINNATEKSGILLVQVERFMRVLSSMVQQFSNFFNWLLKCIKLLNQEPSDQLLPYNSDLVVIFLKFLYDQDPVKPFLELSEVDIEPDMETLQRVKELVHFGGFSDCDFLRRTLAEEFKQMESSFKEAFLMPFTTISRKMLCKDVLPLFALPSSSASVSVSVPISVTYYKDASTSVSSYQTHEHGFIDYISFQIPGDSSSNIANCIGISRRFMHSLSNSSTEESASLEAVLLSVPDGYHCVDLSLYKEGQIVLLLNETTSASECSGESCMMIVQADDLPFASIPRSGCINTWNLDQLKDSVMHLRLENEKVRNIPHSVVAPLAVSASRGVACVFAARKRALVYILDEDEDDVSDSE >KJB69417 pep chromosome:Graimondii2_0_v6:11:1608531:1615731:-1 gene:B456_011G022700 transcript:KJB69417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] METDETPRVLPFQLQFDKPVASQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTIFPGRCITSLCWRPDGKAIAVGLEDGTISLHDVENGKLLRSLKSHTVAVVSLNWEEDGQVIKDDSVNNSTYEDRTSRFFPPAPRVPRMPGLAPGDTGFMDDSDDSFRELSNSSYQRFNILCSGDKDGSTCFSIFGIFPIGKINIHNLSIPTPYATEPATCRLSHASISKIALSKDLCHLIVMCSGELNHDEVESAERQLGVHGCHCLLLDTSIFWKRKNELHQVAQQASNIEDLIEVIRSSLSVMSKQWSDAMHTFREKFDSLSSLIIDHGLDSSPQEEFLSLLGGARTSPPVHQFLVNSLGESGVKRVSKVVCGAGKELQHVVLDHLQPAAEIIGFRMGELRGLSRWRTRFQGIGLDETLINNATEKSGILLVQVERFMRVLSSMVQQFSNFFNWLLKCIKLLNQEPSDQLLPYNSDLVVIFLKFLYDQDPVKPFLELSEVDIEPDMETLQRVKELVHFGGFSDCDFLRRTLAEEFKQMESSFKEAFLMPFTTISRKMLCKDVLPLFALPSSSASVSVSVPISVTYYKDASTSVSSYQTHEHGFIDYISFQIPGDSSSNIANCIGISRRFMHSLSNSSTEESASLEAVLLSVPDGYHCVDLSLYKEGQIVLLLNETTSASECSGESCMMIVQADDLPFASIPRSGCINTWNLDQLKDSVMHLRLENEKVRNIPHSVVAPLAVSASRGVACVFAARKRALVYILDEDEDDVSDSE >KJB72182 pep chromosome:Graimondii2_0_v6:11:31397528:31413398:1 gene:B456_011G164000 transcript:KJB72182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESLTLMELKHSKSQENEKYGASWNGGPFNDRFQTQHLSKDFQDTKSKEDDGSLKASAVVNLFPSEDFVTKISPQNSLQSKASDRSFLDSTQSTLGITGSALEVGQSLQGNAEFREPMSSKPGERTFSNYSSGFPSVPGPSAWTFGSSEFSFDAKSSTSHMPLQSVTNDTSSRKSRPSFLDSLNVSKASFGSLFQHNQPTKDAFPSHSSQFNSMNAIRSSPLDKPSMESETMGTFSKQRSPVFPSASEFPGHFAVPAKSNGDLLRLNENISEKKHEFYLAKQNEDFAALEQHIEDLTQEKFSLQRALEASRTLAESLAAENSSLTDSYNQQRSVVNQLKYDMEKLQEEIKAQLAELESLKMEYTNARLECNAADERAKILASEVISLEEKALRLRSNELKLERHLENSEAEISFFKKKMSSIEKEHQDFQSTIEALQEEKRVLQSKLRKASVTGKPFDVTKNPASKDMSTSTEDLISIDAATDDRENISNDASSLSLLPEDGQFEAASVYIPPDQMRMIQNINCLISELTLEKEELAQAFSSELSQSLRLKELNNELSRKLEAQTQRLELLSAQSMAGEHIPVRQYESKMMHDNTPYADEGDEVVERVLGWIMKLFPGGPSRRRTNMRLSY >KJB72179 pep chromosome:Graimondii2_0_v6:11:31397337:31413398:1 gene:B456_011G164000 transcript:KJB72179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQVLPSSRKQEHLEAGKRRLEEFRKKKAADRAKKAASTSQPHASDVNLNDKHLLETEDGRVTDFDGAGTSNGPDPSYVKIINDNNKINDVSDESQRTYSNNMLATPSVLVNDNNSYSTEVQKHSKSQENEKYGASWNGGPFNDRFQTQHLSKDFQDTKSKEDDGSLKASAVVNLFPSEDFVTKISPQNSLQSKASDRSFLDSTQSTLGITGSALEVGQSLQGNAEFREPMSSKPGERTFSNYSSGFPSVPGPSAWTFGSSEFSFDAKSSTSHMPLQSVTNDTSSRKSRPSFLDSLNVSKASFGSLFQHNQPTKDAFPSHSSQFNSMNAIRSSPLDKPSMESETMGTFSKQRSPVFPSASEFPGHFAVPAKSNGDLLRLNENISEKKHEFYLAKQNEDFAALEQHIEDLTQEKFSLQRALEASRTLAESLAAENSSLTDSYNQQRSVVNQLKYDMEKLQEEIKAQLAELESLKMEYTNARLECNAADERAKILASEVISLEEKALRLRSNELKLERHLENSEAEISFFKKKMSSIEKEHQDFQSTIEALQEEKRVLQSKLRKASVTGKPFDVTKNPASKDMSTSTEDLISIDAATDDRENISNDASSLSLLPEDGQFEAASVYIPPDQMRMIQNINCLISELTLEKEELAQAFSSELSQSLRLKELNNELSRKLEAQTQRLELLSAQSMAGEHIPVRQYESKMMHDNTPYADEGDEVVERVLGWIMKLFPGGPSRRRTNMRLSY >KJB72180 pep chromosome:Graimondii2_0_v6:11:31399161:31412951:1 gene:B456_011G164000 transcript:KJB72180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATPSVLVNDNNSYSTEVQKHSKSQENEKYGASWNGGPFNDRFQTQHLSKDFQDTKSKEDDGSLKASAVVNLFPSEDFVTKISPQNSLQSKASDRSFLDSTQSTLGITGSALEVGQSLQGNAEFREPMSSKPGERTFSNYSSGFPSVPGPSAWTFGSSEFSFDAKSSTSHMPLQSVTNDTSSRKSRPSFLDSLNVSKASFGSLFQHNQPTKDAFPSHSSQFNSMNAIRSSPLDKPSMESETMGTFSKQRSPVFPSASEFPGHFAVPAKSNGDLLRLNENISEKKHEFYLAKQNEDFAALEQHIEDLTQEKFSLQRALEASRTLAESLAAENSSLTDSYNQQRSVVNQLKYDMEKLQEEIKAQLAELESLKMEYTNARLECNAADERAKILASEVISLEEKALRLRSNELKLERHLENSEAEISFFKKKMSSIEKEHQDFQSTIEALQEEKRVLQSKLRKASVTGKPFDVTKNPASKDMSTSTEDLISIDAATDDRENISNDASSLSLLPEDGQFEAASVYIPPDQMRMIQNINCLISELTLEKEELAQAFSSELSQSLRLKELNNELSRKLEAQTQRLELLSAQSMAGEHIPVRQYESKMMHDNTPYADEGDEVVERVLGWIMKLFPGGPSRRRTNMRLSY >KJB72178 pep chromosome:Graimondii2_0_v6:11:31397329:31413398:1 gene:B456_011G164000 transcript:KJB72178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQVLPSSRKQEHLEAGKRRLEEFRKKKAADRAKKAASTSQPHASDVNLNDKHLLETEDGRVTDFDGADESQRTYSNNMLATPSVLVNDNNSYSTEVQKHSKSQENEKYGASWNGGPFNDRFQTQHLSKDFQDTKSKEDDGSLKASAVVNLFPSEDFVTKISPQNSLQSKASDRSFLDSTQSTLGITGSALEVGQSLQGNAEFREPMSSKPGERTFSNYSSGFPSVPGPSAWTFGSSEFSFDAKSSTSHMPLQSVTNDTSSRKSRPSFLDSLNVSKASFGSLFQHNQPTKDAFPSHSSQFNSMNAIRSSPLDKPSMESETMGTFSKQRSPVFPSASEFPGHFAVPAKSNGDLLRLNENISEKKHEFYLAKQNEDFAALEQHIEDLTQEKFSLQRALEASRTLAESLAAENSSLTDSYNQQRSVVNQLKYDMEKLQEEIKAQLAELESLKMEYTNARLECNAADERAKILASEVISLEEKALRLRSNELKLERHLENSEAEISFFKKKMSSIEKEHQDFQSTIEALQEEKRVLQSKLRKASVTGKPFDVTKNPASKDMSTSTEDLISIDAATDDRENISNDASSLSLLPEDGQFEAASVYIPPDQMRMIQNINCLISELTLEKEELAQAFSSELSQSLRLKELNNELSRKLEAQTQRLELLSAQSMAGEHIPVRQYESKMMHDNTPYADEGDEVVERVLGWIMKLFPGGPSRRRTNMRLSY >KJB72183 pep chromosome:Graimondii2_0_v6:11:31397337:31413194:1 gene:B456_011G164000 transcript:KJB72183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESLTLMELKHSKSQENEKYGASWNGGPFNDRFQTQHLSKDFQDTKSKEDDGSLKASAVVNLFPSEDFVTKISPQNSLQSKASDRSFLDSTQSTLGITGSALEVGQSLQGNAEFREPMSSKPGERTFSNYSSGFPSVPGPSAWTFGSSEFSFDAKSSTSHMPLQSVTNDTSSRKSRPSFLDSLNVSKASFGSLFQHNQPTKDAFPSHSSQFNSMNAIRSSPLDKPSMESETMGTFSKQRSPVFPSASEFPGHFAVPAKSNGDLLRLNENISEKKHEFYLAKQNEDFAALEQHIEDLTQEKFSLQRALEASRTLAESLAAENSSLTDSYNQQRSVVNQLKYDMEKLQEEIKAQLAELESLKMEYTNARLECNAADERAKILASEVISLEEKALRLRSNELKLERHLENSEAEISFFKKKMSSIEKEHQDFQSTIEALQEEKRVLQSKLRKASVTGKPFDVTKNPASKDMSTSTEDLISIDAATDDRENISNDASSLSLLPEDGQFEAASVYIPPDQMRMIQNINCLISELTLEKEELAQAFSSELSQSLRLKELNNELSRKLEAQTQRLELLSAQSMAGEHIPVRQYESKMMHDNTPYADEGDEVVERVLGWIMKLFPGGPSRRRTNMRLSY >KJB72181 pep chromosome:Graimondii2_0_v6:11:31397528:31411805:1 gene:B456_011G164000 transcript:KJB72181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESLTLMELKHSKSQENEKYGASWNGGPFNDRFQTQHLSKDFQDTKSKEDDGSLKASAVVNLFPSEDFVTKISPQNSLQSKASDRSFLDSTQSTLGITGSALEVGQSLQGNAEFREPMSSKPGERTFSNYSSGFPSVPGPSAWTFGSSEFSFDAKSSTSHMPLQSVTNDTSSRKSRPSFLDSLNVSKASFGSLFQHNQPTKDAFPSHSSQFNSMNAIRSSPLDKPSMESETMGTFSKQRSPVFPSASEFPGHFAVPAKSNGDLLRLNENISEKKHEFYLAKQNEDFAALEQHIEDLTQEKFSLQRALEASRTLAESLAAENSSLTDSYNQQRSVVNQLKYDMEKLQEEIKAQLAELESLKMEYTNARLECNAADERAKILASEVISLEEKALRLRSNELKLERHLENSEAEISFFKKKMSSIEKEHQDFQSTIEALQEEKRVLQSKLRKASVTGKPFDVTKNPASKDMSTSTEDLISIDAATDDRENISNDASSLSLLPEDGQFEAASVYIPPDQMRMIQNINCLISEVSSST >KJB72184 pep chromosome:Graimondii2_0_v6:11:31397828:31413398:1 gene:B456_011G164000 transcript:KJB72184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATPSVLVNDNNSYSTEVQKHSKSQENEKYGASWNGGPFNDRFQTQHLSKDFQDTKSKEDDGSLKASAVVNLFPSEDFVTKISPQNSLQSKASDRSFLDSTQSTLGITGSALEVGQSLQGNAEFREPMSSKPGERTFSNYSSGFPSVPGPSAWTFGSSEFSFDAKSSTSHMPLQSVTNDTSSRKSRPSFLDSLNVSKASFGSLFQHNQPTKDAFPSHSSQFNSMNAIRSSPLDKPSMESETMGTFSKQRSPVFPSASEFPGHFAVPAKSNGDLLRLNENISEKKHEFYLAKQNEDFAALEQHIEDLTQEKFSLQRALEASRTLAESLAAENSSLTDSYNQQRSVVNQLKYDMEKLQEEIKAQLAELESLKMEYTNARLECNAADERAKILASEVISLEEKALRLRSNELKLERHLENSEAEISFFKKKMSSIEKEHQDFQSTIEALQEEKRVLQSKLRKASVTGKPFDVTKNPASKDMSTSTEDLISIDAATDDRENISNDASSLSLLPEDGQFEAASVYIPPDQMRMIQNINCLISELTLEKEELAQAFSSELSQSLRLKELNNELSRKLEAQTQRLELLSAQSMAGEHIPVRQYESKMMHDNTPYADEGDEVVERVLGWIMKLFPGGPSRRRTNMRLSY >KJB73152 pep chromosome:Graimondii2_0_v6:11:52753029:52753708:1 gene:B456_011G219700 transcript:KJB73152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVKASTALVLSLNLLFFAFVSSHNVENPVFIHPGDVYHNGRITHGHPGTCNPLNLGVCLGLLDLVGVSVGNVPTEPCCSVIQGLVDLEAAVCLCTAVRANVLGIPIHLPISLSLLLNKCGREVATEYICSP >KJB70570 pep chromosome:Graimondii2_0_v6:11:8044529:8046511:1 gene:B456_011G080200 transcript:KJB70570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVAVFVLLLVCTTSQIASSAKDANLLKNGNFEEGPYIFPILTSEGLIIPPEIEDDHSPLPGWIIESLKAIKYIDSEHLSVPQGKWAIELIAGKESAVAQIVKTTIGRNYVLSFVVGDANNGCEGPMMVEAFAGKNMVMVSYNSKGKGGFKAARLAFRAESTRTRIMFYSTIYTIKSDNSGSLCGPVLDDVMLLSVRKLHHL >KJB72799 pep chromosome:Graimondii2_0_v6:11:48036788:48037936:1 gene:B456_011G198800 transcript:KJB72799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTCFFTCNIHVWSCHPIVNQKDLFVQQMLTLGTNMLKNKFRVQFEKNLRQIFKFKSEFLGKTILFLSSPKSPSQSRIFFLASEMAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKSGESATEEE >KJB72800 pep chromosome:Graimondii2_0_v6:11:48036788:48037936:1 gene:B456_011G198800 transcript:KJB72800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTCFFTCNIHVWSCHPIVNQKDLFVQQMLTLGTNMLKNKFRVQFEKNLRQIFKFKSEFLGKTILFLSSPKSPSQSRIFFLASEMAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGVSFLNLLIEFSVSLRLKINKNGFFLVDIYRWIPSS >KJB72708 pep chromosome:Graimondii2_0_v6:11:45942066:45947461:-1 gene:B456_011G191800 transcript:KJB72708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNNGRTTLEVGADGVAIITIINPPVNSLSPDVLYSLKESYDEALQRDDVKAIVVTGTNGKFSGGFDITSFDGMQSGKVEQPKLGFVSVEIVSDTLEAAKKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGVIPGFGGTQRLPRLVGLTKSLEMMLTSKPVKGEEAVGLGLVDAVVSSHELIETARRWALDVLARRKPWVATLYKTEKLEPLGEAREIFNFARAQARKRAPNLKHPLVCIDVIEEGIVAGPRAGLWKEAEAFQVLLKSDTCKSLVHIFFAMRGTSKVPGITDRGLMPRQVRKVAVLGGGLMGSGIATALILSNYTVILKEVNEKFLEAGIDRVKANLQSRVKKGKMSQEKFEKTISLLSGVLDYERFRDVDMVIEAVIENVSLKQQIFADLEKFCSPHCILASNTSTIDLNLIGERTKSQDRIVGAHFFSPAHVMPLLEIVRTRQTSPQIIVDMLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQLGLFLVERGTDVYRIDRVITKFGMPMGPFRLADLVGFGVAIASGMQFIENFPERTYKSMLVPLMQEDKRTGEGTRKGFYSYDNERKPSPDPELYKYIEKSRSISGVAVDPKFVKLSDKDIVEMIFFPVVNETCRVLAEGIAVKAADLDIASVMGMGFPPYRGGIMFWADSLGSNYIYCRLEEWTKMYGEFFKPCAFLAELAAKGAPLSAAVEQAKSRL >KJB72706 pep chromosome:Graimondii2_0_v6:11:45942059:45947784:-1 gene:B456_011G191800 transcript:KJB72706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNNGRTTLEVGADGVAIITIINPPVNSLSPDVLYSLKESYDEALQRDDVKAIVVTGTNGKFSGGFDITSFDGMQSGKVEQPKLGFVSVEIVSDTLEAAKKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGVIPGFGGTQRLPRLVGLTKSLEMMLTSKPVKGEEAVGLGLVDAVVSSHELIETARRWALDVLARRKPWVATLYKTEKLEPLGEAREIFNFARAQARKRAPNLKHPLVCIDVIEEGIVAGPRAGLWKEAEAFQVLLKSDTCKSLVHIFFAMRGTSKVPGITDRGLMPRQVRKVAVLGGGLMGSGIATALILSNYTVILKEVNEKFLEAGIDRVKANLQSRVKKGKMSQEKFEKTISLLSGVLDYERFRDVDMVIEAVIENVSLKQQIFADLEKFCSPHCILASNTSTIDLNLIGERTKSQDRIVGAHFFSPAHVMPLLEIVRTRQTSPQIIVDMLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQLGLFLVERGTDVYRIDRVITKFGMPMGPFRLADLVGFGVAIASGMQFIENFPERTYKSMLVPLMQEDKRTGEGTRKGFYSYDNERKPSPDPELYKYIEKSRSISGVAVDPKFVKLSDKDIVEMIFFPVVNETCRVLAEGIAVKAADLDIASVMGMGFPPYRGGIMFWADSLGSNYIYCRLEEWTKMYGEFFKPCAFLAELAAKGAPLSAAVEQAKSRL >KJB72710 pep chromosome:Graimondii2_0_v6:11:45942174:45947461:-1 gene:B456_011G191800 transcript:KJB72710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFLVVLISHLLMECNQEKPKLGFVSVEIVSDTLEAAKKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGVIPGFGGTQRLPRLVGLTKSLEMMLTSKPVKGEEAVGLGLVDAVVSSHELIETARRWALDVLARRKPWVATLYKTEKLEPLGEAREIFNFARAQARKRAPNLKHPLVCIDVIEEGIVAGPRAGLWKEAEAFQVLLKSDTCKSLVHIFFAMRGTSKVPGITDRGLMPRQVRKVAVLGGGLMGSGIATALILSNYTVILKEVNEKFLEAGIDRVKANLQSRVKKGKMSQEKFEKTISLLSGVLDYERFRDVDMVIEAVIENVSLKQQIFADLEKFCSPHCILASNTSTIDLNLIGERTKSQDRIVGAHFFSPAHVMPLLEIVRTRQTSPQIIVDMLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQLGLFLVERGTDVYRIDRVITKFGMPMGPFRLADLVGFGVAIASGMQFIENFPERTYKSMLVPLMQEDKRTGEGTRKGFYSYDNERKPSPDPELYKYIEKSRSISGVAVDPKFVKLSDKDIVEMIFFPVVNETCRVLAEGIAVKAADLDIASVMGMGFPPYRGGIMFWADSLGSNYIYCRLEEWTKMYGEFFKPCAFLAELAAKGAPLSAAVEQAKSRL >KJB72711 pep chromosome:Graimondii2_0_v6:11:45942174:45947461:-1 gene:B456_011G191800 transcript:KJB72711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNNGRTTLEVGADGVAIITIINPPVNSLSPDVLYSLKESYDEALQRDDVKAIVVTGTNGKFSGGFDITSFDGMQSGKVEQPKLGFVSVEIVSDTLEAAKKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGVIPGFGGTQRLPRLVGLTKSLEMMLTSKPVKGEEAVGLGLVDAVVSSHELIETARRWALDVLARRKPWVATLYKTEKLEPLGEAREIFNFARAQARKRAPNLKHPLVCIDVIEEGIVAGPRAGLWKEAEAFQVLLKSDTCKSLVHIFFAMRGTSKVPGITDRGLMPRQVRKVAVLGGGLMGSGIATALILSNYTVILKEVNEKFLEAGIDRVKANLQSRVKKGKMSQEKFEKTISLLSGVLDYERFRDVDMVIEAVIENVSLKQQIFADLEKFCSPHCILASNTSTIDLNLIGERTKSQDRIVGAHFFSPAHVMPLLEIVRTRQTSPQIIVDMLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQLGLFLVERGTDVYRIDRVITKFGMPMGPFSGMQFIENFPERTYKSMLVPLMQEDKRTGEGTRKGFYSYDNERKPSPDPELYKYIEKSRSISGVAVDPKFVKLSDKDIVEMIFFPVVNETCRVLAEGIAVKAADLDIASVMGMGFPPYRGGIMFWADSLGSNYIYCRLEEWTKMYGEFFKPCAFLAELAAKGAPLSAAVEQAKSRL >KJB72707 pep chromosome:Graimondii2_0_v6:11:45942924:45947435:-1 gene:B456_011G191800 transcript:KJB72707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNNGRTTLEVGADGVAIITIINPPVNSLSPDVLYSLKESYDEALQRDDVKAIVVTGTNGKFSGGFDITSFDGMQSGKVEQPKLGFVSVEIVSDTLEAAKKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGVIPGFGGTQRLPRLVGLTKSLEMMLTSKPVKGEEAVGLGLVDAVVSSHELIETARRWALDVLARRKPWVATLYKTEKLEPLGEAREIFNFARAQARKRAPNLKHPLVCIDVIEEGIVAGPRAGLWKEAEAFQVLLKSDTCKSLVHIFFAMRGTSKVPGITDRGLMPRQVRKVAVLGGGLMGSGIATALILSNYTVILKEVNEKFLEAGIDRVKANLQSRVKKGKMSQEKFEKTISLLSGVLDYERFRDVDMVIEAVIENVSLKQQIFADLEKFCSPHCILASNTSTIDLNLIGERTKSQDRIVGAHFFSPAHVMPLLEIVRTRQTSPQIIVDMLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQLGLFLVERGTDVYRIDRVITKFGMPMGPFRLADLVGFGVAIASGMQFIENFPERTYKSMLVPLMQEDKRTGEGTRKGFYSYDNERKPSPDPELYKYIEKSRSISGVAVDPKFVKLSDKDIVEMIFFPVVNETCRVLAEGIAVKAADLDIASVMGMGFPPYRFGRNHVLGRLSRI >KJB72709 pep chromosome:Graimondii2_0_v6:11:45943284:45947435:-1 gene:B456_011G191800 transcript:KJB72709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNNGRTTLEVGADGVAIITIINPPVNSLSPDVLYSLKESYDEALQRDDVKAIVVTGTNGKFSGGFDITSFDGMQSGKVEQPKLGFVSVEIVSDTLEAAKKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGVIPGFGGTQRLPRLVGLTKSLEMMLTSKPVKGEEAVGLGLVDAVVSSHELIETARRWALDVLARRKPWVATLYKTEKLEPLGEAREIFNFARAQARKRAPNLKHPLVCIDVIEEGIVAGPRAGLWKEAEAFQVLLKSDTCKSLVHIFFAMRGTSKVPGITDRGLMPRQVRKVAVLGGGLMGSGIATALILSNYTVILKEVNEKFLEAGIDRVKANLQSRVKKGKMSQEKFEKTISLLSGVLDYERFRDVDMVIEAVIENVSLKQQIFADLEKFCSPHCILASNTSTIDLNLIGERTKSQDRIVGAHFFSPAHVMPLLEIVRTRQTSPQIIVDMLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQLGLFLVERGTDVYRIDRVITKFGMPMGPFRLADLVGFGVAIASGMQFIENFPERTYKSMLVPLMQEDKRTGEGTRKGFYSYDNERKPSPDPELYKYIEKSRSISGVAVDPKVRFVFETVVFGVTLLLSLKL >KJB70774 pep chromosome:Graimondii2_0_v6:11:9700467:9711633:1 gene:B456_011G090800 transcript:KJB70774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFLAKEEGKKSEAKETRMDFEKSSVSIKDDTEMADDDTAQNPESSRKLSSDSDSSDSEDEAEQTQQLRALESDLSTNPSNYDAHILYIKLLRRRGEIEKLREARENMNVLFPLTPAMWVEWAKDEASLLNDSDSESVEKLYERGISEYLSIPLWREYLNYVLQHDPKVCDSSVDGISKARNLFERAVTAAALHVAQGSQIWDAYTQFEQSILLTIDQSDIQAKENQVQRIRSIFHRQLSIPFANMKSTLLSYKAWEVEQGNSLDAESDNVDGISSHVASSYRKAEEMYNARAHLEEHITRQGISESERYQHFMAFEKSVQCTFSTLEEYLDLFLTRVDGLRRRLSSARGDDVLNYSLIRESFQQATDYLSPHLKNTDGLLRLHAYWACLELKLNNNLTAARGVWGSLLKTCGSMLEAWQGFIAMEIALGHINEARAIYKRCYSKRFSGTGSEDICHAWLRFEREFGTLDDLDHAVQKVMPRLEELQLFRLEQESKSLTEVTNQRERPLKKTASEKRKLGSIAIDEQSPAKRQKSTQNQKKLHEKENTRGLKLAEANDGEEKKGKVEEQVNEQLVKDTYSSKTRLYTDQCTAFASNLNIRAIDEDLKQFFSDVGGVTAIRILHDKFTGKSRGLAYVDFKDEEHLAAALAKNKQMLLGKKLSITRSNPKRGKRESGALTTSEHDSNQSGIEGSSASKESVEISKGSSVAPQVPHSRKHFESIQLKGKNTFAVPRNVKPLGWTTSKPGTKKEEDEKPKSNDEFRKMFMTS >KJB70776 pep chromosome:Graimondii2_0_v6:11:9701888:9711633:1 gene:B456_011G090800 transcript:KJB70776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSYLDFEKSFGDPARVQSLYERAITDFPVSSDLWLDYTRYLDKTLKAGNIVKDVYSRATRKCPWVGELWVRYLLCLEHGLASEKEISAAFEKSVQCTFSTLEEYLDLFLTRVDGLRRRLSSARGDDVLNYSLIRESFQQATDYLSPHLKNTDGLLRLHAYWACLELKLNNNLTAARGVWGSLLKTCGSMLEAWQGFIAMEIALGHINEARAIYKRCYSKRFSGTGSEDICHAWLRFEREFGTLDDLDHAVQKVMPRLEELQLFRLEQESKSLTEVTNQRERPLKKTASEKRKLGSIAIDEQSPAKRQKSTQNQKKLHEKENTRGLKLAEANDGEEKKGKVEEQVNEQLVKDTYSSKTRLYTDQCTAFASNLNIRAIDEDLKQFFSDVGGVTAIRILHDKFTGKSRGLAYVDFKDEEHLAAALAKNKQMLLGKKLSITRSNPKRGKRESGALTTSEHDSNQSGIEGSSASKESVEISKGSSVAPQVPHSRKHFESIQLKGKNTFAVPRNVKPLGWTTSKPGTKKEEDEKPKSNDEFRKMFMTS >KJB70771 pep chromosome:Graimondii2_0_v6:11:9700467:9706087:1 gene:B456_011G090800 transcript:KJB70771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFLAKEEGKKSEAKETRMDFEKSSVSIKDDTEMADDDTAQNPESSRKLSSDSDSSDSEDEAEQTQQLRALESDLSTNPSNYDAHILYIKLLRRRGEIEKLREARENMNVLFPLTPAMWVEWAKDEASLLNDSDSESVEKLYERGISEYLSIPLWREYLNYVLQHDPKVCDSSVDGISKARNLFERAVTAAALHVAQGSQIWDAYTQFEQSILLTIDQSDIQAKENQVQRIRSIFHRQLSIPFANMKSTLLSYKAWEVEQGNSLDAESDNVDGISSHVASSYRKAEEMYNARAHLEEHITRQGISESERYQHFMSYLDFEKSFGDPARVQSLYERAITDFPVSSDLWLDYTRYLDKTLKAGNIVKDVYSRATRKCPWVGELWVRYLLCLEHGLASEKEISAAFEKSVQCTFSTLEEYLDLFLTRVDGLRRRLSSARGDDVLNYSLIRESFQQATDYLSPHLKNTDGLLRLHAYWACLELKLNNNLTAARGVWGSLLKTCGSMLEAWQGFIAMEIALGHINEARAIYKRCYSKRFSGTGSEDICHAWLRFEREFGTLDDLDHAVQKVMPRLEELQLFRLEQESKSLTEVTNQRERPLKKTASEKRKLGSIAIDEQSPAKRQKSTQNQKKLHEKENTRGLKLAEANDGEEKKGKVEEQVNEQLVKDTYSSKTRLYTDQCTAFASNLNIRAIDEDLKQFFSDVGGVTAIRILHDKFTGKSRVSLSVSLSEFVYADMHVPS >KJB70773 pep chromosome:Graimondii2_0_v6:11:9700467:9711633:1 gene:B456_011G090800 transcript:KJB70773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFLAKEEGKKSEAKETRMDFEKSSVSIKDDTEMADDDTAQNPESSRKLSSDSDSSDSEDEAEQTQQLRALESDLSTNPSNYDAHILYIKLLRRRGEIEKLREARENMNVLFPLTPAMWVEWAKDEASLLNDSDSESVEKLYERGISEYLSIPLWREYLNYVLQHDPKVCDSSVDGISKARNLFERAVTAAALHVAQGSQIWDAYTQFEQSILLTIDQSDIQAKENQVQRIRSIFHRQLSIPFANMKSTLLSYKAWEVEQGNSLDAESDNVDGISSHVASSYRKAEEMYNARAHLEEHITRQGISESERYQHFMAFEKSVQCTFSTLEEYLDLFLTRVDGLRRRLSSARGDDVLNYSLIRESFQQATDYLSPHLKNTDGLLRLHAYWACLELKLNNNLTAARGVWGSLLKTCGSMLEAWQGFIAMEIALGHINEARAIYKRCYSKRFSGTGSEDICHAWLRFEREFGTLDDLDHAVQKVMPRLEELQLFRLEQESKSLTEVTNQRERPLKKTASEKRKLGSIAIDEQSPAKRQKSTQNQKKLHEKENTRGLKLAEANDGEEKKGKVEEQVNEQLVKDTYSSKTRLYTDQCTAFASNLNIRAIDEDLKQFFSDVGGVTAIRILHDKFTGKSRGLAYVDFKDEEHLAAALAKNKQMLLGKKLSITRSNPKRGKRESGALTTSEHDSNQSGIEGSSASKESVEISKGSSVAPQVPHSRKHFESIQLKGKNTFAVPRNVKPLGWTTSKPGTKKEEDEKPKSNDEFRKMFMTS >KJB70775 pep chromosome:Graimondii2_0_v6:11:9700467:9711768:1 gene:B456_011G090800 transcript:KJB70775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFLAKEEGKKSEAKETRMDFEKSSVSIKDDTEMADDDTAQNPESSRKLSSDSDSSDSEDEAEQTQQLRALESDLSTNPSNYDAHILYIKLLRRRGEIEKLREARENMNVLFPLTPAMWVEWAKDEASLLNDSDSESVEKLYERGISEYLSIPLWREYLNYVLQHDPKVCDSSVDGISKARNLFERAVTAAALHVAQGSQIWDAYTQFEQSILLTIDQSDIQAKENQVQRIRSIFHRQLSIPFANMKSTLLSYKAWEVEQGNSLDAESDNVDGISSHVASSYRKAEEMYNARAHLEEHITRQGISESERYQHFMSYLDFEKSFGDPARVQSLYERAITDFPVSSDLWLDYTRYLDKTLKAGNIVKDVYSRATRKCPWVGELWVRYLLCLEHGLASEKEISAAFEKSVQCTFSTLEEYLDLFLTRVDGLRRRLSSARGDDVLNYSLIRESFQQATDYLSPHLKNTDGLLRLHAYWACLELKLNNNLTAARGVWGSLLKTCGSMLEAWQGFIAMEIALGHINEARAIYKRCYSKRFSGTGSEDICHAWLRFEREFGTLDDLDHAVQKVMPRLEELQLFRLEQESKSLTEVTNQRERPLKKTASEKRKLGSIAIDEQSPAKRQKSTQNQKKLHEKENTRGLKLAEANDGEEKKGKVEEQVNEQLVKDTYSSKTRLYTDQCTAFASNLNIRAIDEDLKQFFSDVGGVTAIRILHDKFTGKSRGLAYVDFKDEEHLAAALAKNKQMLLGKKLSITRSNPKRGKRESGALTTSEHDSNQSGIEGSSASKESVEISKGSSVAPQVPHSRKHFESIQLKGKNTFAVPRNVKPLGWTTSKPGTKKEEDEKPKSNDEFRKMFMTS >KJB70772 pep chromosome:Graimondii2_0_v6:11:9700467:9711447:1 gene:B456_011G090800 transcript:KJB70772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFLAKEEGKKSEAKETRMDFEKSSVSIKDDTEMADDDTAQNPESSRKLSSDSDSSDSEDEAEQTQQLRALESDLSTNPSNYDAHILYIKLLRRRGEIEKLREARENMNVLFPLTPAMWVEWAKDEASLLNDSDSESVEKLYERGISEYLSIPLWREYLNYVLQHDPKVCDSSVDGISKARNLFERAVTAAALHVAQGSQIWDAYTQFEQSILLTIDQSDIQAKENQVQRIRSIFHRQLSIPFANMKSTLLSYKAWEVEQGNSLDAESDNVDGISSHVASSYRKAEEMYNARAHLEEHITRQGISESERYQHFMAGNIVKDVYSRATRKCPWVGELWVRYLLCLEHGLASEKEISAAFEKSVQCTFSTLEEYLDLFLTRVDGLRRRLSSARGDDVLNYSLIRESFQQATDYLSPHLKNTDGLLRLHAYWACLELKLNNNLTAARGVWGSLLKTCGSMLEAWQGFIAMEIALGHINEARAIYKRCYSKRFSGTGSEDICHAWLRFEREFGTLDDLDHAVQKVMPRLEELQLFRLEQESKSLTEVTNQRERPLKKTASEKRKLGSIAIDEQSPAKRQKSTQNQKKLHEKENTRGLKLAEANDGEEKKGKVEEQVNEQLVKDTYSSKTRLYTDQCTAFASNLNIRAIDEDLKQFFSDVGGVTAIRILHDKFTGKSRGLAYVDFKDEEHLAAALAKNKQMLLGKKLSITRSNPKRGKRESGALTTSEHDSNQSGIEGSSASKESVEISKGSSVAPQVPHSRKHFESIQLKGKNTFAVPRNVKPLGWTTSKPGTKKEEDEKPKSNDEFRKMFMTS >KJB73298 pep chromosome:Graimondii2_0_v6:11:53778403:53784973:-1 gene:B456_011G226200 transcript:KJB73298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRTSFEDFYSIESAFSSSSRRSNFSVQSKASGGNSIREVNFGDLGTKPVRYGSHGADSETYSISMSQKEINDEDARLVHINDPVQTNERFEFSGNSIRTGKYSILTFLPRNLFEQFHRVAYIYFLLIAVLNQLPQLAVFGRGASILPLAFVLLVTAVKDAYEDYRRHRSDRIENNRLASVLVDDQFQEKKWKNIQVGEIIKIYANETIPCDMVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETLMKIPENDKVIGLIKCEKPNRNIYGFQANMEVDGKQLSLGPSNIILRGCELKNTAWAVGVAVYAGRETKAMLNSSGAPSKRSRLETHMNLEIIFLSLFLIALCTVVSICAAVWLRRHRKELDYLPFYRRKEFSDGEEENYNYYGWGLEICFTFLMSVIVFQIMIPISLYISMELVRVGQAYFMIRDTQMYDESSNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIWGVDYSGGNAISLDQNDGYFVKVDGKVLRPKMKVRTDPELLQFARNRKETQEGSHVYDFFLALAACNTIVPLIVDTPDPTVKLIDYQGESPDEQALVYAAASYGFMLIERTSGHIVIDIQGERQRFNVFGLHEFDSDRKRMSVILGFPDRSVKVFVKGADTSIFSVIDRSMDMKVIRTTEAHLHSYSSLGLRTLVVGMRELSTSEFKQWHSTFEAASTALMGRASLLRKVANNIENNLHILGASGIEDKLQQGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTSKMTQIIINSKSMESCRKSLEDAIIMSKKPTTTSAISGTTNNTGGTSGAGSTPIALIMDGTSLVYILDSELEERLFQLSCNCSVVLCCRVAPLQKAGIVSLVKKRTADMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVLVLFWYVLFTSFTLTTAITEWSSVLYSVIYTALPTIVVGILDKDLSRRTLLKYPQLYRAGQNQECYNKKLFWITMIDTFWQSAVAFFIPLLAYWGSTIDTSSIGDLWTLAVVILVNLHLAMDVNRWNWLTHAAIWGSIIATFICVMVIDALPFLVGYWAIFEIAKTGLFWLCLLAIIVAALIPRFVVKALYQLYAPCDVQIAREAEKFRTLCESGAVEIEMNSILEVPRR >KJB73297 pep chromosome:Graimondii2_0_v6:11:53778404:53784847:-1 gene:B456_011G226200 transcript:KJB73297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRTSFEDFYSIESAFSSSSRRSNFSVQSKASGGNSIREVNFGDLGTKPVRYGSHGADSETYSISMSQKEINDEDARLVHINDPVQTNERFEFSGNSIRTGKYSILTFLPRNLFEQFHRVAYIYFLLIAVLNQLPQLAVFGRGASILPLAFVLLVTAVKDAYEDYRRHRSDRIENNRLASVLVDDQFQEKKWKNIQVGEIIKIYANETIPCDMVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETLMKIPENDKVIGLIKCEKPNRNIYGFQANMEVDGKQLSLGPSNIILRGCELKNTAWAVGVAVYAGRETKAMLNSSGAPSKRSRLETHMNLEIIFLSLFLIALCTVVSICAAVWLRRHRKELDYLPFYRRKEFSDGEEENYNYYGWGLEICFTFLMSVIVFQIMIPISLYISMELVRVGQAYFMIRDTQMYDESSNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIWGVDYSGGNAISLDQNDGYFVKVDGKVLRPKMKVRTDPELLQFARNRKETQEGSHVYDFFLALAACNTIVPLIVDTPDPTVKLIDYQGESPDEQALVYAAASYGFMLIERTSGHIVIDIQGERQRFNVFGLHEFDSDRKRMSVILGFPDRSVKVFVKGADTSIFSVIDRSMDMKVIRTTEAHLHSYSSLGLRTLVVGMRELSTSEFKQWHSTFEAASTALMGRASLLRKVANNIENNLHILGASGIEDKLQQGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTSKMTQIIINSKSMESCRKSLEDAIIMSKKPTTTSAISGTTNNTGGTSGAGSTPIALIMDGTSLVYILDSELEERLFQLSCNCSVVLCCRVAPLQKAGIVSLVKKRTADMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVLVLFWYVLFTSFTLTTAITEWSSVLYSVIYTALPTIVVGILDKDLSRRTLLKYPQLYRAGQNQECYNKKLFWITMIDTFWQSAVAFFIPLLAYWGSTIDTSSIGDLWTLAVVILVNLHLAMDVNRWNWLTHAAIWGSIIATFICVMVIDALPFLVGYWAIFEIAKTGLFWLCLLAIIVAALIPRFVVKALYQLYAPCDVQIAREAEKFRTLCESGAVEIEMNSILEVPRR >KJB72959 pep chromosome:Graimondii2_0_v6:11:49775010:49778127:1 gene:B456_011G206300 transcript:KJB72959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETAPKVRLVRCPKCLLVLQEVADVPIYKCGGCDAILVAKNKKAIAKTTSVLQEAKAVGNQLVRLSERGESSGSGLQDVVPSPLESRLSQESGENRDVSTGSHSEEHGEDVSLEARYEKDQNTSRDSDGNGEMLDENRSTGSHSEEQDQHLLVEGRRNGCYEKEQNASRDSDGDMLDENRSTDSFSKKHGENLSIEGQRNGRYEKDQDTSRDGDGDGDMVDESRSIDSHSEEHGENLSVEGHNGSYEADQIASRDGDGDMVDENGPNEGQQNGTGLLQTESLEHCDVRLPGVSIEGSISAELHHENDELVLEAETNLEAEANVMSLQLEGGNSQLETNDKVDSNTGGSPKGEHHRFNSVRSMDTYGTIDFVSIGSEFSGPIEYLSKSTTIRSSHAYDGSISSYDGMDDHFPDQQLHSFENNYKPANLSSDFSNKKHYAMRRYGKWHRDEPLEPVTHHRPLRNWPRLERDQYPSQIPLSQRVPLHGYESAGPSHESRDEFPFDSAFHPFKKAEYGKEEKMKLLKMVYELQDQISKTCQLNEKTNGNASSDVPWKQEHFSTYNHQKEPPEDEILYPTYYGRRGPRSSWSQQSRFSHVPFSGGAINTRHGIDNTCLCCHPQAWQRSEQLPPPVFPHNRGFCRACRGHNCYNSFSSCPSSPQRYLGSDFSNWIHESQPDDQRYRDHEMKRYLREKHHSARRHIRPTAGGAPFLTCYYCITPLQLPADFLLFKRRFHQLRCGACSKVLKLSLQEGTHIVPYDLVAEAPPPSEVEDHSEVINVGISGLASSSHGQQC >KJB74223 pep chromosome:Graimondii2_0_v6:11:61217469:61222097:-1 gene:B456_011G280400 transcript:KJB74223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDALINGLAGAGGGIIAQIITYPLQTVNARQQTERDLKKEKRKVGAIEQMCQVVKQEGWERLYGGLTPSLVGTAASQGVYYYFYQIFRNKAETAALQSQKKGIGDGSVGMLSSLVVAALSGCVNVLLTNPIWVVVTRMQTHTKISKKDHSNRLATTAPEETALPVIEPLSYGTSHVIQEVYDEAGLFGFWKGVFPTLIMVSNPSIQFMLYETMLKKLKKRRALSKQGNNGVTALEIFLLGALAKLGATVVTYPLLVVKSRLQAKQVTTGDKRHHYKGTLDAILKMIRYEGFAGFYKGMNTKIIQSVLAAAVLFMVKEELVKGVRLLLIKDGINTMKPKPL >KJB74225 pep chromosome:Graimondii2_0_v6:11:61218771:61222050:-1 gene:B456_011G280400 transcript:KJB74225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDALINGLAGAGGGIIAQIITYPLQTVNARQQTERDLKKEKRKVGAIEQMCQVVKQEGWERLYGGLTPSLVGTAASQGVYYYFYQIFRNKAETAALQSQKKGIGDGSVGMLSSLVVAALSGCVNVLLTNPIWVVVTRMQTHTKISKKDHSNRLATTAPEETALPVIEPLSYGTSHVIQEVYDEAGLFGFWKGVFPTLIMVSNPSIQFMLYETMLKKLKKRRALSKQGNNGVTALEVDSELISLC >KJB74224 pep chromosome:Graimondii2_0_v6:11:61215976:61222050:-1 gene:B456_011G280400 transcript:KJB74224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDALINGLAGAGGGIIAQIITYPLQTVNARQQTERDLKKEKRKVGAIEQMCQVVKQEGWERLYGGLTPSLVGTAASQGVYYYFYQIFRNKAETAALQSQKKGIGDGSVGMLSSLVVAALSGCVNVLLTNPIWVVVTRMQTHTKISKKDHSNRLATTAPEETALPVIEPLSYGTSHVIQEVYDEAGLFGFWKGVFPTLIMVSNPSIQFMLYETMLKKLKKRRALSKQGNNGVTALEIFLLGALAKLGATVVTYPLLVVKSRLQAKQVTTGDKRHHYKGTLDAILKMIRYEGFAGFYKGMNTKIIQSVLAAAVLFMVKEELVKGVRLLLIKDGINTMKPKPL >KJB72909 pep chromosome:Graimondii2_0_v6:11:49452548:49460718:1 gene:B456_011G204200 transcript:KJB72909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTGDPSSRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWLRRQNSAALTIQKYFRGRKVVEAEHAKVREHFYQTYRKHCQNVDRHCFGPDSEFLRQLIFFFDAHNLNDFSVLVETCRLIQNFVRDSGDTVGLFAGMDYSPNHSLVAYRLKRLSFACIQAIHQNRNQLKDQLLMAPEEATASTTILLQTLLLFLDPKLPWACKAVGYLMQRNVFSLFREVILMVKENISAGGSFGKISTLERVLALMISHVGQSTCVCSNVDSQWSFSSQILTIPFIWQLFPYLKVVFASWRLTLHYTNKMVLCVQNHANLLPTDISNKFPGYACLLGNILETAGAALSQPDCSFEMAMDLAAVTTFLLDALPPIKSSSRESPTVAEDGMIIGDEIEEIVLDSNLEQQITNAIDSRFLLQLTNVLFGGISAACDPHNEGPDDKEVAAVTAACAFLHVTFNTLPLERIMTVLAYRTELVPVLWNFIKRCHHNQKWSPLPERFSYLLGDAPGWLLPLAVFCPVYKHMLMIVDNEEFYEQEKPLSLKDVRCLIVILRQALWQLLWVIPSVHPTCGKSISNTSSHKRQLVETIQNRVGTVVSELLSQLQDWNNRRQFTPPSDFHADGVNDFFISQAAVEGSKAHDILKQAPFLIPFTSRAKIFTSQLASVRQRHGAHGVFTRNRFRIRRDHILEDAYNQMSQLSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFFHFLGTLLAKAMFEGILVDIPFATFLLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYKGDISELELYFVIVNNEYGEQTEEELLPGGKNIHVTNENVITFIHLVSNHRLNFQIRQQSSHFLRGFQQLMQKDWIDMFNEHELQVLSSTSNLTACAYFVVNIVS >KJB72908 pep chromosome:Graimondii2_0_v6:11:49452548:49459332:1 gene:B456_011G204200 transcript:KJB72908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTGDPSSRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWLRRQNSAALTIQKYFRGRKVVEAEHAKVREHFYQTYRKHCQNVDRHCFGPDSEFLRQLIFFFDAHNLNDFSVLVETCRLIQNFVRDSGDTVGLFAGMDYSPNHSLVAYRLKRLSFACIQAIHQNRNQLKDQLLMAPEEATASTTILLQTLLLFLDPKLPWACKAVGYLMQRNVFSLFREVILMVKENISAGGSFGKISTLERVLALMISHVGQSTCVCSNVDSQWSFSSQILTIPFIWQLFPYLKVVFASWRLTLHYTNKMVLCVQNHANLLPTDISNKFPGYACLLGNILETAGAALSQPDCSFEMAMDLAAVTTFLLDALPPIKSSSRESPTVAEDGMIIGDEIEEIVLDSNLEQQITNAIDSRFLLQLTNVLFGGISAACDPHNEGPDDKEVAAVTAACAFLHVTFNTLPLERIMTVLAYRTELVPVLWNFIKRCHHNQKWSPLPERFSYLLGDAPGWLLPLAVFCPVYKHMLMIVDNEEFYEQEKPLSLKDVRCLIVILRQALWQLLWVIPSVHPTCGKSISNTSSHKRQLVETIQNRVGTVVSELLSQLQDWNNRRQFTPPSDFHADGVNDFFISQAAVEGSKAHDILKQAPFLIPFTSRAKIFTSQLASVRQRHGAHGVFTRNRFRIRRDHILEDAYNQMSQLSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKVVNLC >KJB72910 pep chromosome:Graimondii2_0_v6:11:49452548:49463195:1 gene:B456_011G204200 transcript:KJB72910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTGDPSSRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWLRRQNSAALTIQKYFRGRKVVEAEHAKVREHFYQTYRKHCQNVDRHCFGPDSEFLRQLIFFFDAHNLNDFSVLVETCRLIQNFVRDSGDTVGLFAGMDYSPNHSLVAYRLKRLSFACIQAIHQNRNQLKDQLLMAPEEATASTTILLQTLLLFLDPKLPWACKAVGYLMQRNVFSLFREVILMVKENISAGGSFGKISTLERVLALMISHVGQSTCVCSNVDSQWSFSSQILTIPFIWQLFPYLKVVFASWRLTLHYTNKMVLCVQNHANLLPTDISNKFPGYACLLGNILETAGAALSQPDCSFEMAMDLAAVTTFLLDALPPIKSSSRESPTVAEDGMIIGDEIEEIVLDSNLEQQITNAIDSRFLLQLTNVLFGGISAACDPHNEGPDDKEVAAVTAACAFLHVTFNTLPLERIMTVLAYRTELVPVLWNFIKRCHHNQKWSPLPERFSYLLGDAPGWLLPLAVFCPVYKHMLMIVDNEEFYEQEKPLSLKDVRCLIVILRQALWQLLWVIPSVHPTCGKSISNTSSHKRQLVETIQNRVGTVVSELLSQAAVEGSKAHDILKQAPFLIPFTSRAKIFTSQLASVRQRHGAHGVFTRNRFRIRRDHILEDAYNQMSQLSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFFHFLGTLLAKAMFEGILVDIPFATFLLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYKGDISELELYFVIVNNEYGEQTEEELLPGGKNIHVTNENVITFIHLVSNHRLNFQIRQQSSHFLRGFQQLMQKDWIDMFNEHELQLLISGSLDSLDVDDLRCHTNYAGGYHGEHYVIDMFWEVLKSFSLENQKKFLKFVTGCSRGPLLGFKYLEPLFCIQRAAGSASEEALDRLPTSATCMNLLKLPPYRSKEQLEAKLVYAINADAGFDLS >KJB72911 pep chromosome:Graimondii2_0_v6:11:49452548:49463195:1 gene:B456_011G204200 transcript:KJB72911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTGDPSSRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWLRRQNSAALTIQKYFRGRKVVEAEHAKVREHFYQTYRKHCQNVDRHCFGPDSEFLRQLIFFFDAHNLNDFSVLVETCRLIQNFVRDSGDTVGLFAGMDYSPNHSLVAYRLKRLSFACIQAIHQNRNQLKDQLLMAPEEATASTTILLQTLLLFLDPKLPWACKAVGYLMQRNVFSLFREVILMENISAGGSFGKISTLERVLALMISHVGQSTCVCSNVDSQWSFSSQILTIPFIWQLFPYLKVVFASWRLTLHYTNKMVLCVQNHANLLPTDISNKFPGYACLLGNILETAGAALSQPDCSFEMAMDLAAVTTFLLDALPPIKSSSRESPTVAEDGMIIGDEIEEIVLDSNLEQQITNAIDSRFLLQLTNVLFGGISAACDPHNEGPDDKEVAAVTAACAFLHVTFNTLPLERIMTVLAYRTELVPVLWNFIKRCHHNQKWSPLPERFSYLLGDAPGWLLPLAVFCPVYKHMLMIVDNEEFYEQEKPLSLKDVRCLIVILRQALWQLLWVIPSVHPTCGKSISNTSSHKRQLVETIQNRVGTVVSELLSQLQDWNNRRQFTPPSDFHADGVNDFFISQAAVEGSKAHDILKQAPFLIPFTSRAKIFTSQLASVRQRHGAHGVFTRNRFRIRRDHILEDAYNQMSQLSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFFHFLGTLLAKAMFEGILVDIPFATFLLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYKGDISELELYFVIVNNEYGEQTEEELLPGGKNIHVTNENVITFIHLVSNHRLNFQIRQQSSHFLRGFQQLMQKDWIDMFNEHELQLLISGSLDSLDVDDLRCHTNYAGGYHGEHYVIDMFWEVLKSFSLENQKKFLKFVTGCSRGPLLGFKYLEPLFCIQRAAGSASEEALDRLPTSATCMNLLKLPPYRSKEQLEAKLVYAINADAGFDLS >KJB72907 pep chromosome:Graimondii2_0_v6:11:49452529:49463498:1 gene:B456_011G204200 transcript:KJB72907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTGDPSSRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWLRRQNSAALTIQKYFRGRKVVEAEHAKVREHFYQTYRKHCQNVDRHCFGPDSEFLRQLIFFFDAHNLNDFSVLVETCRLIQNFVRDSGDTVGLFAGMDYSPNHSLVAYRLKRLSFACIQAIHQNRNQLKDQLLMAPEEATASTTILLQTLLLFLDPKLPWACKAVGYLMQRNVFSLFREVILMVKENISAGGSFGKISTLERVLALMISHVGQSTCVCSNVDSQWSFSSQILTIPFIWQLFPYLKVVFASWRLTLHYTNKMVLCVQNHANLLPTDISNKFPGYACLLGNILETAGAALSQPDCSFEMAMDLAAVTTFLLDALPPIKSSSRESPTVAEDGMIIGDEIEEIVLDSNLEQQITNAIDSRFLLQLTNVLFGGISAACDPHNEGPDDKEVAAVTAACAFLHVTFNTLPLERIMTVLAYRTELVPVLWNFIKRCHHNQKWSPLPERFSYLLGDAPGWLLPLAVFCPVYKHMLMIVDNEEFYEQEKPLSLKDVRCLIVILRQALWQLLWVIPSVHPTCGKSISNTSSHKRQLVETIQNRVGTVVSELLSQLQDWNNRRQFTPPSDFHADGVNDFFISQAAVEGSKAHDILKQAPFLIPFTSRAKIFTSQLASVRQRHGAHGVFTRNRFRIRRDHILEDAYNQMSQLSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFFHFLGTLLAKAMFEGILVDIPFATFLLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYKGDISELELYFVIVNNEYGEQTEEELLPGGKNIHVTNENVITFIHLVSNHRLNFQIRQQSSHFLRGFQQLMQKDWIDMFNEHELQLLISGSLDSLDVDDLRCHTNYAGGYHGEHYVIDMFWEVLKSFSLENQKKFLKFVTGCSRGPLLGFKYLEPLFCIQRAAGSASEEALDRLPTSATCMNLLKLPPYRSKEQLEAKLVYAINADAGFDLS >KJB73165 pep chromosome:Graimondii2_0_v6:11:52719387:52722912:1 gene:B456_011G219600 transcript:KJB73165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMPASASDLLKSFKLKTKQQELLIRVSILCLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTENGFYDFWNWFDYESWYPLGRIVGGTLYPGLMVTAVLIYRILRFLRFAVHIREVCVLTAPFFASNTTLVAYFFGKEIWDSGAGLVAAILIAVCPGYISRSVAGSYDNEGVAIFALLFTFYVFVKAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNCMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKHMLSDTKLFQAFLRITVTSAVAVGGIALGLGMVSGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLTVLLRSKNKVVQTGSTKGSGGSKSSSKALLDQSQPFQKNGAIALLFGAFYLLSRYATHCTWVTSEAYSSPSIVLAARGAHGNRVIFDDYREAYFWLRQNTPQDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAIEIIRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLM >KJB73166 pep chromosome:Graimondii2_0_v6:11:52719244:52723986:1 gene:B456_011G219600 transcript:KJB73166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMPASASDLLKSFKLKTKQQELLIRVSILCLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTENGFYDFWNWFDYESWYPLGRIVGGTLYPGLMVTAVLIYRILRFLRFAVHIREVCVLTAPFFASNTTLVAYFFGKEIWDSGAGLVAAILIAVCPGYISRSVAGSYDNEGVAIFALLFTFYVFVKAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNCMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKHMLSDTKLFQAFLRITVTSAVAVGGIALGLGMVSGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLTVLLRSKNKVVQTGSTKGSGGSKSSSKALLDQSQPFQKNGAIALLFGAFYLLSRYATHCTWVTSEAYSSPSIVLAARGAHGNRVIFDDYREAYFWLRQNTPQDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAIEIIRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLIYDRARGVEIGNKDVKLEHLEEAFTTSNWIVRIYRVKPPNNRW >KJB73162 pep chromosome:Graimondii2_0_v6:11:52719190:52724097:1 gene:B456_011G219600 transcript:KJB73162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMPASASDLLKSFKLKTKQQELLIRVSILCLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTENGFYDFWNWFDYESWYPLGRIVGGTLYPGLMVTAVLIYRILRFLRFAVHIREVCVLTAPFFASNTTLVAYFFGKEIWDSGAGLVAAILIAVCPGYISRSVAGSYDNEGVAIFALLFTFYVFVKAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNCMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKHMLSDTKLFQAFLRITVTSAVAVGGIALGLGMVSGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLTVLLRSKNKVVQTGSTKGSGGSKSSSKALLDQSQPFQKNGAIALLFGAFYLLSRYATHCTWVTSEAYSSPSIVLAARGAHGNRVIFDDYREAYFWLRQNTPQDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAIEIIRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGEMMTEYGKPPGYDRARGVEIGNKDVKLEHLEEAFTTSNWIVRIYRVKPPNNRW >KJB73163 pep chromosome:Graimondii2_0_v6:11:52719244:52721659:1 gene:B456_011G219600 transcript:KJB73163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMPASASDLLKSFKLKTKQQELLIRVSILCLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTENGFYDFWNWFDYESWYPLGRIVGGTLYPGLMVTAVLIYRILRFLRFAVHIREVCVLTAPFFASNTTLVAYFFGKEIWDSGAGLVAAILIAVCPGYISRSVAGSYDNEGVAIFALLFTFYVFVKAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNCMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKHMLSDTKLFQAFLRITVTSAVAVGGIALGLGMVSGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLTVLLRSKNKVVQTGSTKGSGGSKSSSKVTSLCQSLSHTDRFALVLLLA >KJB73164 pep chromosome:Graimondii2_0_v6:11:52719244:52723327:1 gene:B456_011G219600 transcript:KJB73164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMPASASDLLKSFKLKTKQQELLIRVSILCLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTENGFYDFWNWFDYESWYPLGRIVGGTLYPGLMVTAVLIYRILRFLRFAVHIREVCVLTAPFFASNTTLVAYFFGKEIWDSGAGLVAAILIAVCPGYISRSVAGSYDNEGVAIFALLFTFYVFVKAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNCMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKHMLSDTKLFQAFLRITVTSAVAVGGIALGLGMVSGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLTVLLRSKNKVVQTGSTKGSGGSKSSSKALLDQSQPFQKNGAIALLFGAFYLLSRYATHCTWVTSEAYSSPSIVLAARGAHGNRVIFDDYREAYFWLRQNTPQDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAIEIIRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGEMMTEYGKPPG >KJB74042 pep chromosome:Graimondii2_0_v6:11:61359487:61360044:1 gene:B456_011G281700 transcript:KJB74042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTLMLIWILIVCLSLSLPYHPKPVKVTHLHFFFHETLGSENPTAVVIAQANIPSNHNNSSVPFATLYALDDPLKIGPEHDSEVIGNAQGLAVLAGTNTTDAVMYVDFAFTTGKFNGSSLSIFSRNPIREVEREVAVIGGRGQFKMATGFALLKAYFINSTNVINEYNVTVIHY >KJB69057 pep chromosome:Graimondii2_0_v6:11:306330:311534:1 gene:B456_011G003800 transcript:KJB69057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALSYCKPSPFIGQFPSNFGKPVSLRSIEISTQASRITALFWGSKKSVKHQPVDSSLGDFTLTGSETEELKENPTKGKKVSVSIISSILDVSSHEWDSCALDATGPEKFNPFLSHGFLSSLEETGCAVKETGWMPSHIIAKDESENILGVAPLYLKSHSYGEFVFDHSWADAYYSFGARYYPKFQCCVPFTPVTGPRILVRNTSFKDQVFDVIVTALKDLTAKSQVSSLHITFPSEAEWYKLKDRGFLQRIGMQYHWKNRNYKSFDEFLMDMKQSKRKNIRQERKKIPAQDLTMKRLRGYEIKANHWDSFYKFYRNTTDNKWGSPYLTRDFFHEMGSKMGDDVLLVVAEKRDELVAGALNLIGGDTIYGRLWGCDPQVYYPSLHFEACYYQVRISKTPLKMKENGSFISIELPQT >KJB69054 pep chromosome:Graimondii2_0_v6:11:306315:313072:1 gene:B456_011G003800 transcript:KJB69054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALSYCKPSPFIGQFPSNFGKPVSLRSIEISTQASRITALFWGSKKSVKHQPVDSSLGDFTLTGSETEELKENPTKGKKVSVSIISSILDVSSHEWDSCALDATGPEKFNPFLSHGFLSSLEETGCAVKETGWMPSHIIAKDESENILGVAPLYLKSHSYGEFVFDHSWADAYYSFGARYYPKFQCCVPFTPVTGPRILVRNTSFKDQVFDVIVTALKDLTAKSQVSSLHITFPSEAEWYKLKDRGFLQRIGMQYHWKNRNYKSFDEFLMDMKQSKRKNIRQERKKIPAQDLTMKRLRGYEIKANHWDSFYKFYRNTTDNKWGSPYLTRDFFHEMGSKMGDDVLLVVAEKRDELVAGALNLIGGDTIYGRLWGCDPQVYYPSLHFEACYYQAIEAAIELNLSTVEAGAQGEHKIQRGYLPVPTYSCHYFIDEGFKQAIGEFLVRESNQVDLVMKLFHESGPFKEGIH >KJB69056 pep chromosome:Graimondii2_0_v6:11:306330:310385:1 gene:B456_011G003800 transcript:KJB69056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALSYCKPSPFIGQFPSNFGKPVSLRSIEISTQASRITALFWGSKKSVKHQPVDSSLGDFTLTGSETEELKENPTKGKKVSVSIISSILDVSSHEWDSCALDATGPEKFNPFLSHGFLSSLEETGCAVKETGWMPSHIIAKDESENILGVAPLYLKSHSYGEFVFDHSWADAYYSFGARYYPKFQCCVPFTPVTGPRILVRNTSFKDQVFDVIVTALKDLTAKSQVSSLHITFPSEAEWYKLKDRGFLQRIGMQYHWKNRNYKSFDEFLMDMKQSKRKNIRQERKKIPAQDLTMKRLRGYEIKVDSTVIFPNIYADT >KJB69059 pep chromosome:Graimondii2_0_v6:11:306330:313044:1 gene:B456_011G003800 transcript:KJB69059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALSYCKPSPFIGQFPSNFGKPVSLRSIEISTQASRITALFWGSKKSVKHQPVDSSLGDFTLTGSETEELKENPTKGKKVSVSIISSILDVSSHEWDSCALDATGPEKFNPFLSHGFLSSLEETGCAVKETGWMPSHIIAKDESENILGVAPLYLKSHSYGEFVFDHSWADAYYSFGARYYPKFQCCVPFTPVTGPRILVRNTSFKDQVFDVIVTALKDLTAKSQVSSLHITFPSEAEWYKLKDRGFLQRIGMQYHWKNRNYKSFDEFLMDMKQSKRKNIRQERKKIPAQDLTMKRLRGYEIKANHWDSFYKFYRNTTDNKLILILKPSFDFYVTFSNSSSMLLRILCLIRVGQSIPNKRFLSRNGIKDGR >KJB69060 pep chromosome:Graimondii2_0_v6:11:306355:310929:1 gene:B456_011G003800 transcript:KJB69060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALSYCKPSPFIGQFPSNFGKPVSLRSIEISTQASRITALFWGSKKSVKHQPVDSSLGDFTLTGSETEELKENPTKGKKVSVSIISSILDVSSHEWDSCALDATGPEKFNPFLSHGFLSSLEETGCAVKETGWMPSHIIAKDESENILGVAPLYLKSHSYGEFVFDHSWADAYYSFGARYYPKFQCCVPFTPVTGPRILVRNTSFKDQVFDVIVTALKDLTAKSQVSSLHITFPSEAEWYKLKDRGFLQRIGMQYHWKNRNYKSFDEFLMDMKQSKRKNIRQERKKIPAQDLTMKRLRGYEIKANHWDSFYKFYRNTTDNKLILILKPSFDFYVTFSNSSSMLLRILCLIRVPISNTSMLNCF >KJB69058 pep chromosome:Graimondii2_0_v6:11:306330:313044:1 gene:B456_011G003800 transcript:KJB69058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALSYCKPSPFIGQFPSNFGKPVSLRSIEISTQASRITALFWGSKKSVKHQPVDSSLGDFTLTGSETEELKENPTKGKKVSVSIISSILDVSSHEWDSCALDATGPEKFNPFLSHGFLSSLEETGCAVKETGWMPSHIIAKDESENILGVAPLYLKSHSYGEFVFDHSWADAYYSFGARYYPKFQCCVPFTPVTGPRILVRNTSFKDQVFDVIVTALKDLTAKSQVSSLHITFPSEAEWYKLKDRGFLQRIGMQYHWKNRNYKSFDEFLMDMKQSKRKNIRQERKKIPAQDLTMKRLRGYEIKANHWDSFYKFYRNTTDNKWGSPYLTRDFFHEMGSKMGDDVLLVVAEKRDELVAGALNLIGGDTIYGRLWGCDPQVYYPSLHFEACYYQAIEAAIELNLSTVEAGAQGEHKIQRGYLPVPTYSCHYFIDEGFKQAIGEFLVRESNQVDLVMKLFHESGPFKEGIH >KJB69055 pep chromosome:Graimondii2_0_v6:11:306330:308963:1 gene:B456_011G003800 transcript:KJB69055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALSYCKPSPFIGQFPSNFGKPVSLRSIEISTQASRITALFWGSKKSVKHQPVDSSLGDFTLTGSETEELKENPTKGKKVSVSIISSILDVSSHEWDSCALDATGPEKFNPFLSHGFLSSLEETGCAVKETGWMPSHIIAKDESENILGVAPLYLKSHSYGEFVFDHSWADAYYSFGARYYPKFQCCVPFTPVTGPRILVRNTSFKDQVFDVIVTALKDLTAKSQVSSLHITFPSEAEWYKLKDRGFLQRIGMQYHWKNRNYKRLMLPELFLGYDKTLAFSLVHG >KJB74239 pep chromosome:Graimondii2_0_v6:11:61383201:61386905:-1 gene:B456_011G282000 transcript:KJB74239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPPPPPQVKHQVFLSFRGEDTRNSFTSHLLKALKDTGLDVFFDEEKLEKGEKLSDALSQAIAASNLSILVLSKDYASSKSCLGELSGIMDRNRKPTDKHIALPIFYHVDPSHVRNIGGTFKTSFEQHESKGPVDEVKRWKVAFTEVGTLKGWHIEGGKFDRSETEYIKDVVEYVLKKLNSNCTSVFEDLVGIDDQKGLILGLIDQADSRVIGLWGMGGIGKTTLADVVYKEVSPKFESRLFLQNVSEKIKKQGNEILRNELLSKILKEKEICIDTPSIGYPYNERLNNKRVLVVLDDIRDPDQIDFMGVKHFGPGSKIIVTSRDRQILNNGGANEIHEVKKLNTNDSLQLFSTFAFKQLNPAADFRDLSCKFVEYAQGNPLALRVCGSKLYKKSRKEWESEVDKLRECAQPQIVQILKSSFNGLDEIEKNIFLDIAIFFKGERRENVEEILNCCYKGVDGVIRSLLDKSLLDTKRYSEISMHDMLEEMGRDIVRQESRRPEKQSRLWNPKDVDQVLKYNKGTDLTKGIKVCMSPTDIRPISPTALQNMHNLRFIYFSLTKWVGGYAYDQVFDIAYLPNELRCICWDYYPFKSLSSNFSPENLVVLRLRGSNVEQLWDEDEHQDLVNLRQIDVSYCKKLRKIPNLLRAINLKRVICSWCDNLVEISCLDHLESLDELEFEGCCNLKMFPKVPNIFSELDLSNTGIEEVPDSIGYLDLLECLDLSHSKVQSVSSNILKLKNLDDLDLSYSMITKFPETPKNLTSLNLSGTKIKEVSLSSNPLSNLRELDMGFSSIQKLQCNIALFCSGETTVDAPSPILRFKSLGCLTVHECNSLKLLSELPPYLRQLDANYCLSLEEVSFSAQHQDLYELHSSFDNFDCYMLFNNCFSLNQDSIDNISANAMLKIRFLAKKWVSKYHLRPPVFYSCFPGNEIPSNKFEYQSNHSSLTLKIAPNGCNGSRFLVFSICLVADLTELLLDSSEFICECQLTAASGARHEKFKSVWQGKQYHSASMGFMGDHVLILFGGDMVKKDKGYEQASFEFYLKYLGEENMKVKKCGVDVSYVDEEPKPLSTT >KJB74333 pep chromosome:Graimondii2_0_v6:11:62000369:62003874:-1 gene:B456_011G288600 transcript:KJB74333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSFDVEAVMEFLRKNGLKEAEKALQQDMIEKNEDGEKMGAFDFEKFLFPMPPPVRIPATTRRSEEDEKEKSGDGWGSDGDEFVSLRSSSSDVCSSEFVNPYGLNSASQANSDTSSDRLSQFGTARDYPDFEMQNDLFWHEEKDEDDFMTPCFAGSDFYGCPSQDKFVTTLEMEKQRDNTRSSYDKSEGFGTEDGLDYLDKPCLFNMTGIDGESDIRVMDYYCFDKCNGLEGDNDIVPELKDCAYDENLLNFGCIGSKGTNFDDFEVKVAGDVITDYNGTSECISKTNHYTAKRGSNDWIEGFIGDSDLVNKISEKSLSPDTIDTNEVEDGELNEPQVATNEEGDATDELLMYSNEDEYEEFNLRIVHRKNRTGFEENKDLPIVLNSVIAGRYYVTEYLGSAAFSKVVQAHDLLTGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPGDEYHILRLYDYFYHQEHLFIVCELLRANLYEFQKFNQESGGEAYFTISRLQVITRQCLEALDYLHELGIIHCDLKPENILIKSYRRCEIKIIDLGSSCFRTDNLCLYVQSRSYRAPEVILGLPYDQKIDLWSLGCILAELCSGEVLFPNDAVVMILARMVGMLGPIDMEMLENGQETYKYFTKDYDLYHINEETDQLEYIISEESSLEHHLQVSDVLFVDFVRHLLEMNPRRRPNAREALKHPWLSHSY >KJB74332 pep chromosome:Graimondii2_0_v6:11:62001096:62003644:-1 gene:B456_011G288600 transcript:KJB74332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSFDVEAVMEFLRKNGLKEAEKALQQDMIEKNEDGEKMGAFDFEKFLFPMPPPVRIPATTRRSEEDEKEKSGDGWGSDGDEFVSLRSSSSDVCSSEFVNPYGLNSASQANSDTSSDRLSQFGTARDYPDFEMQNDLFWHEEKDEDDFMTPCFAGSDFYGCPSQDKFVTTLEMEKQRDNTRSSYDKSEGFGTEDGLDYLDKPCLFNMTGIDGESDIRVMDYYCFDKCNGLEGDNDIVPELKDCAYDENLLNFGCIGSKGTNFDDFEVKVAGDVITDYNGTSECISKTNHYTAKRGSNDWIEGFIGDSDLVNKISEKSLSPDTIDTNEVEDGELNEPQVATNEEGDATDELLMYSNEDEYEEFNLRIVHRKNRTGFEENKDLPIVLNSVIAGRYYVTEYLGSAAFSKVVQAHDLLTGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPGDEYHILRLYDYFYHQEHLFIVCELLRANLYEFQKFNQESGGEAYFTISRLQVITRQCLEALDYLHELGIIHCDLKPENILIKSYRRCEIKIIDLGSSCFRTDNLCLYVQSRSYRAPEVILGLPYDQKIDLWSLGCILAELCSGEVCLRFIIDSIR >KJB74331 pep chromosome:Graimondii2_0_v6:11:62000369:62003854:-1 gene:B456_011G288600 transcript:KJB74331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALQANSDTSSDRLSQFGTARDYPDFEMQNDLFWHEEKDEDDFMTPCFAGSDFYGCPSQDKFVTTLEMEKQRDNTRSSYDKSEGFGTEDGLDYLDKPCLFNMTGIDGESDIRVMDYYCFDKCNGLEGDNDIVPELKDCAYDENLLNFGCIGSKGTNFDDFEVKVAGDVITDYNGTSECISKTNHYTAKRGSNDWIEGFIGDSDLVNKISEKSLSPDTIDTNEVEDGELNEPQVATNEEGDATDELLMYSNEDEYEEFNLRIVHRKNRTGFEENKDLPIVLNSVIAGRYYVTEYLGSAAFSKVVQAHDLLTGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPGDEYHILRLYDYFYHQEHLFIVCELLRANLYEFQKFNQESGGEAYFTISRLQVITRQCLEALDYLHELGIIHCDLKPENILIKSYRRCEIKIIDLGSSCFRTDNLCLYVQSRSYRAPEVILGLPYDQKIDLWSLGCILAELCSGEVLFPNDAVVMILARMVGMLGPIDMEMLENGQETYKYFTKDYDLYHINEETDQLEYIISEESSLEHHLQVSDVLFVDFVRHLLEMNPRRRPNAREALKHPWLSHSY >KJB71345 pep chromosome:Graimondii2_0_v6:11:15681825:15688198:1 gene:B456_011G117600 transcript:KJB71345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 3 [Source:Projected from Arabidopsis thaliana (AT3G05270) UniProtKB/Swiss-Prot;Acc:Q9MA92] MDRRSWLWRRKSTEKSPGETDSSGGSISSFSERFSDEQASATISSQSLEVTSKAVPVDEENNNVRSLTEKLSAALMNISAKEELVKQHAKVAEEAVSGWEKAEKDVVALKQQLDAAMKKNAALEDRVGHLDGALKECVRQLRQAREEQERKIHEAVSKKCHEWESSKSELESQLLNLKAQLETAKNDTAASVDPDLQLKLDAFEKENSALKLQLHSRAEELERRIIERDLSTQAAETASKQHLESIKKLAKLEIECRRLKAIARKASPANDQKSYPASSICVESFTDSQSDSGERLLAVETDMQKMNGLEMNGCDRSSSDAWASALITELDQFRKEKAVGRNIMAPSVEINLMDDFLEMERLAALPDTESGSGFNDAGPVSYQTSIVENPLKADLETLVHRVAELEEKLALTEEEKSEMQIAFTESQKQLKTLQNQLSEAEIRFKDVQTQLALADNSKQAAEKEVKVANMNREVAESRLRDAETEIKTLMSKVTSLEEALGKEQALSTENMNKCKELENELSKMKCETKLRQEAELQHAAKYNEELKVQQDKELSIAACKFAECQKTIASLGQQLKSLATLEDFLIDSDKPLELVDGGLKCTGNSEKQPKLGVTGMEFPRRGSPEFSKIVGEYTKSLENQNSNAIIKESTLPVKPVILSSRTRTGFGNIFPRSRSGKPI >KJB72686 pep chromosome:Graimondii2_0_v6:11:45540519:45544799:1 gene:B456_011G190600 transcript:KJB72686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALASNRKRYGDECFNSNFCHKKKSPYSNSPEFQLSKKPRLSLMNESPEKANLSSNSTVLRISRYPEAKVSFPREVHAPVRRLKFGLSASKPGQDSVESYMGNFLSSRLSDARRQAWGALRHFKKEKEVIFVEDDEEKEKGLVSDDVSVEEVELIEKGKNNVEEERHFQPSSSSAVTELNNGSLRMESSLDMLSLREVSNGYDLEAYRKLIESAERRSSKLKDLGFQIELNEKRIAGLQALRPEKKPEEEQHEVLPTEPFIPLTEEEMALVSRALSVKNWRKVLVSHENSSIDIRGEILQCLKPGAWLNDEVINLYLELLKERENREPKKFLKCHFCNTFFYKKLVNPESGYNYRAVKRWTSQRKLGYCLLDCDKIFVPIHKDIHWCLAVINKKDQKFQYLDSLKGRDPHVLRALV >KJB72687 pep chromosome:Graimondii2_0_v6:11:45540519:45544799:1 gene:B456_011G190600 transcript:KJB72687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALASNRKRYGDECFNSNFCHKKKSPYSNSPEFQLSKKPRLSLMNESPEKANLSSNSTVLRISRYPEAKVSFPREVHAPVRRLKFGLSASKPGQDSVESYMGNFLSSRLSDARRQAWGALRHFKKEKEVIFVEDDEEKEKGLVSDDVSVEEVELIEKGKNNVEEERHFQPSSSSAVTELNNGSLRMESSLDMLSLREVSNGYDLEAYRKLIESAERRSSKLKDLGFQIELNEKRIAGLQALRPEKKPEEEQHEVLPTEPFIPLTEEEMALVSRALSVKNWRKVLVSHENSSIDIRGEILQCLKPGAWLNDEVINLYLELLKERENREPKKFLKCHFCNTFFYKKLVNPESGYNYRAVKRWTSQRKLGYCLLDCDKIFVPIHKDIHWCLAVINKKDQKFQYLDSLKGRDPHVLRALAKYFVEEVKDKSGKDIDISSWEQEFVEDLPAQENGFDCGMFMLKYIDFYSRGLSLCFEQEHMPYFRLRTAKEILKLKAD >KJB72685 pep chromosome:Graimondii2_0_v6:11:45540519:45544799:1 gene:B456_011G190600 transcript:KJB72685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALASNRKRYGDECFNSNFCHKKKSPYSNSPEFQLSKKPRLSLMNESPEKANLSSNSTVLRISRYPEAKVSFPREVHAPVRRLKFGLSASKPGQDSVESYMGNFLSSRLSDARRQAWGALRHFKKEKEVIFVEDDEEKEKGLVSDDVSVEEVELIEKGKNNVEEERHFQPSSSSAVTELNNGSLRMESSLDMLSLREVSNGYDLEAYRKLIESAERRSSKLKDLGFQIELNEKRIAGLQALRPEKKPEEEQHEVLPTEPFIPLTEEEMALVSRALSVKNWRKVLVSHENSSIDIRGEILQCLKPGAWLNDEVINLYLELLKERENREPKKFLKCHFCNTFFYKKLVNPESGYNYRAVKRWTSQRKLGYCLLDCDKV >KJB72389 pep chromosome:Graimondii2_0_v6:11:40377583:40379977:-1 gene:B456_011G175400 transcript:KJB72389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNLAENTLKAIKKIDKIRSDRASDHIKNRLKTGKVQRQKEARKQLEQGIHLVKAPLALAQDSSLCLPKIKVNVSQAQIEENQPMEE >KJB72390 pep chromosome:Graimondii2_0_v6:11:40377720:40379889:-1 gene:B456_011G175400 transcript:KJB72390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNLAENTLKAIKKIDKIRSDRASDHIKNRLKTGKVQRQKEARKQLEQGIHLVKAPLALAQDSSLCLPKIKVNVSQAQIEENQPMEE >KJB72391 pep chromosome:Graimondii2_0_v6:11:40377720:40379911:-1 gene:B456_011G175400 transcript:KJB72391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNLAENTLKAIKKIDKIRSDRASDHIKNRLKTGKVQRQKEARKQLEQGIHLVKAPLALAQDSSLCLPKIKVNVSQAQIEENQPMEE >KJB72767 pep chromosome:Graimondii2_0_v6:11:47281814:47283830:1 gene:B456_011G196100 transcript:KJB72767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVKTIDAFFKRKSSDASQSVMNENSSTIEVNTSKCPRLESEDHPNIYFTINNLEQDPRLCPRIWEYPINQQYEVIQAYLEMGSYQICFSEYPLSVDRIPEDFSLLSFANFLEILHILANKVRHKIREDIGDSKFYIIIDEAHDEKYVLFLDINCLDVQDIQGQGYDGASKMQDEWNGFQSLFLNDCPYAYYVHYLAHRFQLALVAASREVIPIHNLFSELNCIVNIISASSKCHDQLQAAQAIEIANMLAIDELETSKGLKQIGPMKQAGETCCSSHFSFVCSLIKMFDTTCSVLENATESGSNYSIHGDAATAYKKITSFDFVFILHLLKEIMGITYILCQQLHKKSQDIVNHNIDVLDMDSPYVVKHGRHQHVNFNMEHHYRVEIFNAAIDSQLLELNSRFNEQTIYLLILSSALDPKNAYKPFVKIERR >KJB72027 pep chromosome:Graimondii2_0_v6:11:26550224:26552425:1 gene:B456_011G154000 transcript:KJB72027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPTGGPDLIVDDGGDATLLIHEGVKAEEVYEKTGQLPDPSSTDNAEFQIVLTIIRDGLKADPKKYTRMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLGDVVSEADIFVTTTGNKDIIMVNHMRKMKNNAIVCNIGHFDNEIDMLGLENYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKATGKYEKKVYVLPKHLDEKVAALHLGKLGANLTKLTKDQADYISVPIEGPYKPPHYRY >KJB72025 pep chromosome:Graimondii2_0_v6:11:26550224:26552425:1 gene:B456_011G154000 transcript:KJB72025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCCSLLLMSMTLSPRFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLGDVVSEADIFVTTTGNKDIIMVNHMRKMKNNAIVCNIGHFDNEIDMLGLENYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKATGKYEKKVYVLPKHLDEKVAALHLGKLGANLTKLTKDQADYISVPIEGPYKPPHYRY >KJB72029 pep chromosome:Graimondii2_0_v6:11:26550224:26552425:1 gene:B456_011G154000 transcript:KJB72029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVEMPGLMACRAEFGPAQPFKGAKITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPTGGPDLIVDDGGDATLLIHEGVKAEEVYEKTGQLPDPSSTDNAEFQIVLTIIRDGLKADPKKYTRMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLGDVVSEADIFVTTTGNKDIIMVNHMRKMKNNAIVCNIGHFDNEIDMLGLENYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKATGKYEKKVYVLPKHLDEKVAALHLGKLGANLTKLTKDQADYISVPIEGPYKPPHYRY >KJB72026 pep chromosome:Graimondii2_0_v6:11:26550224:26552425:1 gene:B456_011G154000 transcript:KJB72026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVEKTAAGPVFAWKGETLQEYWWCTERALDWGPTGGPDLIVDDGGDATLLIHEGRLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLGDVVSEADIFVTTTGNKDIIMVNHMRKMKNNAIVCNIGHFDNEIDMLGLENYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKATGKYEKKVYVLPKHLDEKVAALHLGKLGANLTKLTKDQADYISVPIEGPYKPPHYRY >KJB72028 pep chromosome:Graimondii2_0_v6:11:26550187:26552434:1 gene:B456_011G154000 transcript:KJB72028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVEKTAAGREYKVKDMSQADFGRLEIELAEVEMPGLMACRAEFGPAQPFKGAKITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPTGGPDLIVDDGGDATLLIHEGVKAEEVYEKTGQLPDPSSTDNAEFQIVLTIIRDGLKADPKKYTRMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLGDVVSEADIFVTTTGNKDIIMVNHMRKMKNNAIVCNIGHFDNEIDMLGLENYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKATGKYEKKVYVLPKHLDEKVAALHLGKLGANLTKLTKDQADYISVPIEGPYKPPHYRELD >KJB72024 pep chromosome:Graimondii2_0_v6:11:26550320:26552060:1 gene:B456_011G154000 transcript:KJB72024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVEKTAAGREYKVKDMSQADFGRLEIELAEVEMPGLMACRAEFGPAQPFKGAKITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPTGGPDLIVDDGGDATLLIHEGVKAEEVYEKTGQLPDPSSTDNAEFQIVLTIIRDGLKADPKKYTRMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLGDVVSEADIFVTTTGNKDIIMVNHMRKMKNNAIVCNIGHFDNEIDMLGLENYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKATGKYEKKVYVLPKHLDEKVAALHLGKLGANLTKLTKDQADYISVPIEGPYKPPHYRY >KJB73513 pep chromosome:Graimondii2_0_v6:11:55803054:55806450:-1 gene:B456_011G236800 transcript:KJB73513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKYVDLLSFYYIVFIVVWNRHVVSFSDIRSLPGFSASLPFKLETGYIGVGDVEFFYYFIQSERDPSKDPLILWLTGGPGCSALSGLFFEIGPLQFNMVEYNGSLPTFVLNPYSWTKVANIIFLDAPVGTGFSYSTTLQGFETGDKRFANDGYNFLRKWLQSHLKFITNSLYITGDSYAGKIVPIIVHAISDGIEDESVPAFNLKGYLVGNPSTGSKYEDNSKIPFYNRMALISDELYESAKRNCKEEYVEVEMSNVKCAKDLQAISECIAYINKPHILEPHCPSEFNTPNSLVNERKYFLETREEDYLQAPAEYPKFGCRNYNTYLCKIWASDDGVQQALGIRKW >KJB73514 pep chromosome:Graimondii2_0_v6:11:55803566:55806332:-1 gene:B456_011G236800 transcript:KJB73514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKYVDLLSFYYIVFIVVWNRHVVSFSDIRSLPGFSASLPFKLETGYIGVGDVEFFYYFIQSERDPSKDPLILWLTGGPGCSALSGLFFEIGPLQFNMVEYNGSLPTFVLNPYSWTKVANIIFLDAPVGTGFSYSTTLQGFETGDKRFANDGYNFLRKWLQSHLKFITNSLYITGDSYAGKIVPIIVHAISDGIEDESVPAFNLKGYLVGNPSTGSKYEDNSKIPFYNRMALISDELYESAKRNCKEEYVEVEMSNVKCAKDLQAISECIAYINKPHILEPHCPSEFNTPNSLVNERKYFLETREEDYLQAPAEYPKFGCRNYNTYLCKIWASDDGVQQALGIRKGTIREWIRCNENLLYDKDVGSVVDYHLSLNTEGYRALIYSGDHDTVVPYVGTESWVKSLNLSIVDDWRPWFVDDQVAG >KJB73511 pep chromosome:Graimondii2_0_v6:11:55803287:55806332:-1 gene:B456_011G236800 transcript:KJB73511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKYVDLLSFYYIVFIVVWNRHVVSFSDIRSLPGFSASLPFKLETGYIGVGDVEFFYYFIQSERDPSKDPLILWLTGGPGCSALSGLFFEIGPLQFNMVEYNGSLPTFVLNPYSWTKVANIIFLDAPVGTGFSYSTTLQGFETGDKRFANDGYNFLRKWLQSHLKFITNSLYITGDSYAGKIVPIIVHAISDGIEDESVPAFNLKHLLQGYLVGNPSTGSKYEDNSKIPFYNRMALISDELYESAKRNCKEEYVEVEMSNVKCAKDLQAISECIAYINKPHILEPHCPSEFNTPNSLVNERKYFLETREEDYLQAPAEYPKFGCRNYNTYLCKIWASDDGVQQALGIRKGTIREWIRCNENLLYDKDVGSVVDYHLSLNTEGYRALIYSGDHDTVVPYVGTESWVKSLNLSIVDDWRPWFVDDQVAGYSREYGNNFTFATVKGGGHTAPEFKPKECFAMFSRWISKQAL >KJB73510 pep chromosome:Graimondii2_0_v6:11:55803018:55806436:-1 gene:B456_011G236800 transcript:KJB73510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKYVDLLSFYYIVFIVVWNRHVVSFSDIRSLPGFSASLPFKLETGYIGVGDVEFFYYFIQSERDPSKDPLILWLTGGPGCSALSGLFFEIGKVSDYFWCLVSPTGDSIVTCNDVNLGPLQFNMVEYNGSLPTFVLNPYSWTKVANIIFLDAPVGTGFSYSTTLQGFETGDKRFANDGYNFLRKWLQSHLKFITNSLYITGDSYAGKIVPIIVHAISDGIEDESVPAFNLKGYLVGNPSTGSKYEDNSKIPFYNRMALISDELYESAKRNCKEEYVEVEMSNVKCAKDLQAISECIAYINKPHILEPHCPSEFNTPNSLVNERKYFLETREEDYLQAPAEYPKFGCRNYNTYLCKIWASDDGVQQALGIRKGTIREWIRCNENLLYDKDVGSVVDYHLSLNTEGYRALIYSGDHDTVVPYVGTESWVKSLNLSIVDDWRPWFVDDQVAGYSREYGNNFTFATVKGGGHTAPEFKPKECFAMFSRWISKQAL >KJB73512 pep chromosome:Graimondii2_0_v6:11:55803018:55806460:-1 gene:B456_011G236800 transcript:KJB73512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKYVDLLSFYYIVFIVVWNRHVVSFSDIRSLPGFSASLPFKLETGYIGVGDVEFFYYFIQSERDPSKDPLILWLTGGPGCSALSGLFFEIGPLQFNMVEYNGSLPTFVLNPYSWTKVANIIFLDAPVGTGFSYSTTLQGFETGDKRFANDGYNFLRKWLQSHLKFITNSLYITGDSYAGKIVPIIVHAISDGIEDESVPAFNLKGYLVGNPSTGSKYEDNSKIPFYNRMALISDELYESAKRNCKEEYVEVEMSNVKCAKDLQAISECIAYINKPHILEPHCPSEFNTPNSLVNERKYFLETREEDYLQAPAEYPKFGCRNYNTYLCKIWASDDGVQQALGIRKGTIREWIRCNENLLYDKDVGSVVDYHLSLNTEGYRALIYSGDHDTVVPYVGTESWVKSLNLSIVDDWRPWFVDDQVAGYSREYGNNFTFATVKGGGHTAPEFKPKECFAMFSRWISKQAL >KJB71512 pep chromosome:Graimondii2_0_v6:11:18403959:18404401:-1 gene:B456_011G128200 transcript:KJB71512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LTP2 MASSMSLKLACVVVLCMVVGAPLAQGTVTCGQVTGSLAPCINYLRGNGAGAVPQGCCSGIKSLNSAAQTTPDRQAACKCIKSAAAGIPGINYGIASGLPGKCGVNIPYKISPSTDCSRVK >KJB71510 pep chromosome:Graimondii2_0_v6:11:18403963:18404401:-1 gene:B456_011G128200 transcript:KJB71510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LTP2 MASSMSLKLACVVVLCMVVGAPLAQGTVTCGQVTGSLAPCINYLRGNGAGAVPQGCCSGIKSLNSAAQTTPDRQAACKCIKSAAAGIPGINYGIASGLPGKCGVNIPYKISPSTDCSRFG >KJB71509 pep chromosome:Graimondii2_0_v6:11:18403673:18404491:-1 gene:B456_011G128200 transcript:KJB71509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LTP2 MASSMSLKLACVVVLCMVVGAPLAQGTVTCGQVTGSLAPCINYLRGNGAGAVPQGCCSGIKSLNSAAQTTPDRQAACKCIKSAAAGIPGINYGIASGLPGKCGVNIPYKISPSTDCSRF >KJB71511 pep chromosome:Graimondii2_0_v6:11:18403673:18404590:-1 gene:B456_011G128200 transcript:KJB71511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LTP2 MASSMSLKLACVVVLCMVVGAPLAQGTVTCGQVTGSLAPCINYLRGNGAGAVPQGCCSGIKSLNSAAQTTPDRQAACKCIKSAAAGIPGINYGIASGLPGLSEVLAWKVHQLVEAKITIATE >KJB70812 pep chromosome:Graimondii2_0_v6:11:11054656:11056132:1 gene:B456_011G099600 transcript:KJB70812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNKLASRHVRVGLENSSDGPGCDICENAPGFFYCEIDGSSLCLQCDMAIHVGGKRTHGRYLLLRQRVEFPGGKSGNVEDPASQQVGPNDTKRGQNQIAKTTAGEKQQNHKDFPVEEKDAKADGHHNMGSEMIDLNMKPHW >KJB70252 pep chromosome:Graimondii2_0_v6:11:5528803:5535151:-1 gene:B456_011G065300 transcript:KJB70252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVLNSKLPVVLNALLVVLLAISGVCLVSGSVSYDHKAITINGQRRILLSGSIHYPRSSPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPAPGKYYFQGNYDLVKFIKLVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYIPGIVFRTNNGPFKAQMQRFTKKIVDMMKAERLYESQGGPIILSQIENEYGPMEYELGAPGKAYSYWSAKMALGLATGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKANKPKMWTEAWTGWYTEFGGAVPYRPAEDLAFSVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLKRQPKWGHLKDLHRAIKLCEPALVYGDPTVIRLGNYQEAHVFKYKAGGCAAFLANYNPRDYATVSFRNNHYNLPPWSISILPDCKNTVYNTARIGAQIARKKMVPVPMHGGLSWQAYNEETASVADSSFTMVGLLEQINTTRDATDYLWYTTDVKIDPHEGFLRNGKSPVLTVLSAGHALHVFVNGQLSGSSYGSLEFPKLTFSQRVNLRAGINKISLLSIAVGLPNVGPHFETWNAGVLGPVTLNGLNEGRRDLSWQKWSYKIGLKGEALNLLSLSGSSSVEWAQRSFVSQRQPLTWYKTTFNAPAGNSPLALDMGSMGKGQIWINGKSIGRHWPAYKASGNCGVCSYAGTFNEKKCGTNCGEASQRWYHVPRSWLNPTGNLLVVFEEWGGDPNGITLVRRETDSVCADIYEWQPNLMNYLMKASGKVNKPLRPKVHLECDAGQKISAVKFASFGTPEGVCGSYREGSCHAHHSYDAFNRNFCSVTVAPEMFGGDPCPNVMKKLSVEVICS >KJB70254 pep chromosome:Graimondii2_0_v6:11:5529282:5534281:-1 gene:B456_011G065300 transcript:KJB70254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPDLIQKAKEGGLDVIQTYVFWNGHEPAPGKYYFQGNYDLVKFIKLVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYIPGIVFRTNNGPFKAQMQRFTKKIVDMMKAERLYESQGGPIILSQIENEYGPMEYELGAPGKAYSYWSAKMALGLATGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKANKPKMWTEAWTGWYTEFGGAVPYRPAEDLAFSVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLKRQPKWGHLKDLHRAIKLCEPALVYGDPTVIRLGNYQEAHVFKYKAGGCAAFLANYNPRDYATVSFRNNHYNLPPWSISILPDCKNTVYNTARIGAQIARKKMVPVPMHGGLSWQAYNEETASVADSSFTMVGLLEQINTTRDATDYLWYTTDVKIDPHEGFLRNGKSPVLTVLSAGHALHVFVNGQLSGSSYGSLEFPKLTFSQRVNLRAGINKISLLSIAVGLPNVGPHFETWNAGVLGPVTLNGLNEGRRDLSWQKWSYKIGLKGEALNLLSLSGSSSVEWAQRSFVSQRQPLTWYKTTFNAPAGNSPLALDMGSMGKGQIWINGKSIGRHWPAYKASGNCGVCSYAGTFNEKKCGTNCGEASQRWYHVPRSWLNPTGNLLVVFEEWGGDPNGITLVRRETDSVCADIYEWQPNLMNYLMKASGKVNKPLRPKVHLECDAGQKISAVKFASFGTPEGVCGSYREGSCHAHHSYDAFNRLCVGQNFCSVTVAPEMFGGDPCPNVMKKLSVEVICS >KJB70253 pep chromosome:Graimondii2_0_v6:11:5528822:5535151:-1 gene:B456_011G065300 transcript:KJB70253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVLNSKLPVVLNALLVVLLAISGVCLVSGSVSYDHKAITINGQRRILLSGSIHYPRSSPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPAPGKYYFQGNYDLVKFIKLVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYIPGIVFRTNNGPFKAQMQRFTKKIVDMMKAERLYESQGGPIILSQIENEYGPMEYELGAPGKAYSYWSAKMALGLATGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKANKPKMWTEAWTGWYTEFGGAVPYRPAEDLAFSVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLKRQPKWGHLKDLHRAIKLCEPALVYGDPTVIRLGNYQEAHVFKYKAGGCAAFLANYNPRDYATVSFRNNHYNLPPWSISILPDCKNTVYNTARIGAQIARKKMVPVPMHGGLSWQAYNEETASVADSSFTMVGLLEQINTTRDATDYLWYTTDVKIDPHEGFLRNGKSPVLTVLSAGHALHVFVNGQLSGSSYGSLEFPKLTFSQRVNLRAGINKISLLSIAVGLPNVGPHFETWNAGVLGPVTLNGLNEGRRDLSWQKWSYKIGLKGEALNLLSLSGSSSVEWAQRSFVSQRQPLTWYKTTFNAPAGNSPLALDMGSMGKGQIWINGKSIGRHWPAYKASGNCGVCSYAGTFNEKKCGTNCGEASQRWYHVPRSWLNPTGNLLVVFEEWGGDPNGITLVRRETDSVCADIYEWQPNLMNYLMKASGKGSVEATAKEAVMLTTLMMLLIGFVLDRTSAQ >KJB70255 pep chromosome:Graimondii2_0_v6:11:5529282:5533684:-1 gene:B456_011G065300 transcript:KJB70255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFTKKIVDMMKAERLYESQGGPIILSQIENEYGPMEYELGAPGKAYSYWSAKMALGLATGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKANKPKMWTEAWTGWYTEFGGAVPYRPAEDLAFSVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLKRQPKWGHLKDLHRAIKLCEPALVYGDPTVIRLGNYQEAHVFKYKAGGCAAFLANYNPRDYATVSFRNNHYNLPPWSISILPDCKNTVYNTARIGAQIARKKMVPVPMHGGLSWQAYNEETASVADSSFTMVGLLEQINTTRDATDYLWYTTDVKIDPHEGFLRNGKSPVLTVLSAGHALHVFVNGQLSGSSYGSLEFPKLTFSQRVNLRAGINKISLLSIAVGLPNVGPHFETWNAGVLGPVTLNGLNEGRRDLSWQKWSYKIGLKGEALNLLSLSGSSSVEWAQRSFVSQRQPLTWYKTTFNAPAGNSPLALDMGSMGKGQIWINGKSIGRHWPAYKASGNCGVCSYAGTFNEKKCGTNCGEASQRWYHVPRSWLNPTGNLLVVFEEWGGDPNGITLVRRETDSVCADIYEWQPNLMNYLMKASGKVNKPLRPKVHLECDAGQKISAVKFASFGTPEGVCGSYREGSCHAHHSYDAFNRLCVGQNFCSVTVAPEMFGGDPCPNVMKKLSVEVICS >KJB70251 pep chromosome:Graimondii2_0_v6:11:5528788:5535151:-1 gene:B456_011G065300 transcript:KJB70251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVLNSKLPVVLNALLVVLLAISGVCLVSGSVSYDHKAITINGQRRILLSGSIHYPRSSPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPAPGKYYFQGNYDLVKFIKLVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYIPGIVFRTNNGPFKAQMQRFTKKIVDMMKAERLYESQGGPIILSQIENEYGPMEYELGAPGKAYSYWSAKMALGLATGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKANKPKMWTEAWTGWYTEFGGAVPYRPAEDLAFSVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLKRQPKWGHLKDLHRAIKLCEPALVYGDPTVIRLGNYQEAHVFKYKAGGCAAFLANYNPRDYATVSFRNNHYNLPPWSISILPDCKNTVYNTARIGAQIARKKMVPVPMHGGLSWQAYNEETASVADSSFTMVGLLEQINTTRDATDYLWYTTDVKIDPHEGFLRNGKSPVLTVLSAGHALHVFVNGQLSGSSYGSLEFPKLTFSQRVNLRAGINKISLLSIAVGLPNVGPHFETWNAGVLGPVTLNGLNEGRRDLSWQKWSYKIGLKGEALNLLSLSGSSSVEWAQRSFVSQRQPLTWYKTTFNAPAGNSPLALDMGSMGKGQIWINGKSIGRHWPAYKASGNCGVCSYAGTFNEKKCGTNCGEASQRWYHVPRSWLNPTGNLLVVFEEWGGDPNGITLVRRETDSVCADIYEWQPNLMNYLMKASGKVNKPLRPKVHLECDAGQKISAVKFASFGTPEGVCGSYREGSCHAHHSYDAFNRLCVGQNFCSVTVAPEMFGGDPCPNVMKKLSVEVICS >KJB70798 pep chromosome:Graimondii2_0_v6:11:9940360:9944644:1 gene:B456_011G091700 transcript:KJB70798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKEKTSIDYVLEKASRPHFSGLRSPTATATSTALSDANGPRQPFVMGVSGGTASGKTTVCDMIIQQLHDHRVVLANQDSFYRGLTTEELKRVHEYNFDNPNAFDTEQLLGCIEKLKDGQSVQVNASDDIILEGILVFHDQCVRNLMNMKIFVDTDADVRLARRIRRDMVERGRDVSSVLEQYAKFVKPAFDGFVLPSKKYANVIIPRGGENHVAIDLIVQHLRTKLVIPNYFLLTCNYLLLLCLIRGMHTLIRDREISKHDFVFYSDRLIRLVVEHGLGHFPFTEKQVITPTASVYTGVDFAKSCAVFPFGESMENALRACCKGIKIGKILIHRDGDNGKHVRNSANHAIELLVQKGVPESHIIFLNLISAPEGIHCVCKQFPSLKVVTSKIDVALNGEFRVIPGMGEFGD >KJB72923 pep chromosome:Graimondii2_0_v6:11:49494187:49532589:-1 gene:B456_011G204400 transcript:KJB72923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAHVLHLLRRYLGEYVHDLSLETLKISVWKGDVVLKDLKLKAEALNSLNLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRVFLLAHPALYGQALKEDDREKLFKAKIQQIEEAESATLEAIAGSKLGNPSSGNSWLGSLIATIIGNLKITISNVHIRYEDCISNPGHPFASGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDNLPWKMDKKWEDLSPKEWIEVFEDGINEPATGCEVVSKWAMNRNYLVSPINGVLKYHRLGNQERNDPNIPFEKASLLLSDVSLTITEAQYHDWIKLVEVISRYKTYVEISHLRPMVPVSKESYFLWWRYAAQAALQQRKMCIRFSWDRISHMCKLRRRYVHLYASLLQQSSNVDKSEVRDIEKDLDSKVILLWRLLAHAKVESVKSKEAAERRRLKKKSWYSSIWRTQSEDASDGEALDGSQLTDEGLSKEEWEAINKLLSYQPAEDLMSHSGKDLQSMIRFMATVSISQAAARIININQTEIICGRFEQLHVSAKFKHRSTHCDVRLRFYGLSSPEGSIAQSVCSEKKVNALTASFVHSPVGENVDWRLSATISPCHVTILKESCDRFFEFVKRSNAVSPTVALETATALQTKIEKVTRRAQEQLQTVLEEQSRFALDIDLDAPKVRIPLRTRGSSKCQSHFLLDFGHFTLHTMESQSDEQRQNIYSRVYISGRDITAFFIDCGSDCQSCTSVEPNSSNRSMVMSPGLEKFGNFYSLIDKCGMAVVVDQIKVPRLNYPSTHVSVQVPNLGIHFSPERYCRLMELLDILYVAMDPCGQPGGVDFQAGVTPWSAADLATDAKILVWRGIGNSVASWQPCFIVLSGFYLYVLESEKSQNYSRYLSMAGRQVHEVPSTNIGGSPLCIAVGPRGMDTKKALESSGTWVIEFRGEEEKITWLRGLIRATYQASAPPSVDILGETSDGISESDDPQTRNSKAADLVINGAVVETKLYIYGKVMTGEGVAEKLEEQLILEVLASGGKVTMISLGSDLLLKTKLHSLKIKDELQGRLSGDPQYLACSVLKNDTSLQSHQICGSHGNQMSELHLDDDDTFKDALPEFMSLTDPGALSQYMDMQDASGFESSEVLNHQNSLLQGKRLSGEIFYEAQGGDDLDFVCVTFSKRGSGSPFYDGIDTQMSIRMSKLEFFCNRPTLVALIGFGLDLGSMSYPASVMDVHETLDDKSLMNKEKAEESGRVDGLLGHGKARVVFYLNMNVGSVTVFLNKEDGSQLAMFVQESFLLDLKVHPASLSIEGTLGNLRLRDMSLGTDNCLGWLCDIRNPGVESLIKFKFNSFSAGDDDYEGYDYSLFGRLSAVRVVFLYRFIQEITVYFMELATPHTEEVIKLVDKVGDFEWLIQKSEIDGTTALKLDLTLDTPIIIVPRNSLSRDFIQLDVGLLKVTNEITWHGFPEKDPSAVHLDVLHAEILGVNMYVGIDGCIGKPLIREGRGLDVYVRRSLRDVFRKVPSFALEVKVDFLHGVMSDKEYDVILNCTTMNFNETPNLPPSFRGGKSGSKDTMRLLVDKVNMNSQMLLSRSVTTVAVEVNYALLELCNGIHEESPLARIALEGLWVSYRMTSLSETDLYLTIPTFSVLDIRSNTKPEMRLMLGSSADASKQASNGNFPHLLNKRSSSRVNSEACFENVPISTMFLMDYRWRLSSQSFVLRVQQPRVLVVPDFLLALGEFFVPALGAITGREETMDPKNDPISKNNSIVLSDSIYKQEDDVVHLSPSRQLVADSHGIYEYTYDGCGKTIILSEENDAKESHSTSFRPIVIIGCGKRLRFVNVKIENGSLLRKHTYLGNDSSYSVSPEDDVDILLMDNLSSDGDKKNVDSMELINASKASSDLEDNQNSVESFTFEAQVVSPEFTFFDGTKSYLDDSSYGEKLLRAKMDLNFMYASKENDTWIRALVKDLTVEAGSGLIILDPLDISGGYTSIKDKTNMSLMLTDICIHLSLGAISLILNLLNQAAAALQFGNSIPLAPCINFDRIWVSPKENGSHNNLTIWRPQAPANYVILGDCVTSRPIPPSQVVLAVSNTYGRVRKPVGFNLIGSLSRVLGLEGEDGHSDVDSDCCLWMPVPPLGYTSMGCIANIGKHPPPNHAVYCLRSDLVTSTTYSECMMIIPSNQHFASGFSIWRLDNVIGSFSAHSSTTCPSRENSTDLSHLLLWNSVLSYASIKESIPGFAVDNDRASQKKGDQSASSSGWNILRSISKASSCYVSTRHFERMWWDVGSEYRRPVSIWRPISRRGYATVGDCIVEGLEPPAQGLIFKSDDPEISAKPVKFIKVAQITGKGLDEVFFWYPIAPPGYASLGCVVSRTDETPCVDSLCCPRMDLVNPANILEMPISKSSTSKAHQCWSLWKVENQACTFLACSDTKKPSTRLAYTIGDYVKPKTRENVTAEIKLRYFSLTVLDSLHGMMTPLFDVTIANIKLATHGRLEAMNAVLVASIAASTFNTQLEAWEPLVEPFDGIFKFETYDADVNSPSRLGKRMRIAATNIVNVNVSAANLETLVGTILSWRRQLELEQKTTKLIEEARAHSGHEDMAFSALDEEDLQTLTVENKLGDDLFLKRIEQDSNVVDQLHHGDCASVWIPPPRFSDRLNVAEESREARYSVAVQILFAKDLPLINDGNSHNFFCVLRLVVDGQATDQQKLFPQSARTRCVKPLVSDMEHQNKGIAKWNEIFIFEVPRKGVAKLEVEVTNLSAKAGKGEVVGALSFPVGHGASILKKVSSSRILSQINDIQNVESYPLRRKSNNTEDTHDHGYLSISTSYFERNTTANFQRDAESKDASPKDIGFWIRFGKEGSWESIRSLLPLSVVPKSLQSEFIAMEVVMKNGKKHAIFRGLATVVNDSDINLDISVSHASIIQDSGSSSHNIVVEEIFENQRYQPNSGQGNDPPLWSTKDFSYSSKDFFEPPLPSGWEWISTWSIDKSQFVDEDGWAYGPDYQNLRWPPTSSKSHVKSSHGVRRRRWIRRRQQIAERGKSCIKSDFTCISPGCSTVLPWRSTSKASDQCLRIRPCVDHPQPPYTWGRAIVAGVSSSFVSGKDQPFLDLGSLYRQDSFPQGSKMPNFALHLSQLEKKDVLLCCCPTVGSKQIWLSVGADASALPTELNQPVYDWKISVNSPLKLENRLPCPAAFTIWEKEKEGNYIEREHGMIFSRNSAQIYSVDIRRPVYLTFRVQGGWVLEKDPVLILDLSSSAHISSFWMFHQRSKRRLRVSIESDMGGTNAAPKTIRFFVPYWIINDSSLPLAYQVVEIEGSDSADTDSHSLSRAVKSARTVLRTPSYSMERRNSGLRRNIQVLEAIEDTSPIASMLSPQDSAGRSGVMLFPSQKDTYVSPRVGISVAIRDSEIYSPGISLLELEKKERVAVKAFGSDGSYYKLSAIVNMTSDRTKVIHLQPYMLFINRIGLSLCLRQCDSHTVEWIHPTDPPKPIGWQGSSKLELLKLRVDGYDWSTPFSVSNEGVMRVSLKNGNGSDQLFFKVEVRSGTKSSRYEVIFRHNSSSSPYRIENRSIFLPIRCRQVDGTSDSWHFLLPNTAVSFLWEDLGRQHLMEILADGTDPSRSEKYDIDEIADHLPVDVTGPARALRVTILKEEKLNVVKISDWMPENESAITGQRISSSLSDFSRNESWQQQLQPASECEIHFIVELSELGVSIIDHTPEELLYLSLQNLHLAYSTGLGSGCSRFKLRMRGIQMDNQLPLTPTPVLFRPQKIGQETDYIMKISVTLQTNGSLDLCVYPYIVFDVGMGPDNSAFLINIHEPIIWRIHEMMQKVNFSRLYDAKATAVSVDPIIQIGVLNISEVRLKVSMTMSPSQRPRGVLGFWASLMTALGNTENMTVKINQRFHENVCMRQSTMISNAVSNIKKDLLGQPLQLLSGLDILGNASSALGHMSKGVAALSMDKKFIQSRQRQESKGVEDLGGVIREGGGALAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAIASDDQLLRRRLPRVVSGDNLLRPYDEYKAQGQVILQLAQSTSFLGQVDLFKVRGKFALSDAYEDHFMLPKGKIIIVTHQRVILLQQSSNITQRKFNPMRDPCLVLWDVPWNDLATMELTQGKKDQFKAPPSQLILYLRTRPSDTKEQARVVKCSRDTHQAREVYTSIERAMKTYGQNISKVWILKT >KJB72921 pep chromosome:Graimondii2_0_v6:11:49494116:49532802:-1 gene:B456_011G204400 transcript:KJB72921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAHVLHLLRRYLGEYVHDLSLETLKISVWKGDVVLKDLKLKAEALNSLNLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRVFLLAHPALYGQALKEDDREKLFKAKIQQIEEAESATLEAIAGSKLGNPSSGNSWLGSLIATIIGNLKITISNVHIRYEDCISNPGHPFASGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDNLPWKMDKKWEDLSPKEWIEVFEDGINEPATGCEVVSKWAMNRNYLVSPINGVLKYHRLGNQERNDPNIPFEKASLLLSDVSLTITEAQYHDWIKLVEVISRYKTYVEISHLRPMVPVSKESYFLWWRYAAQAALQQRKMCIRFSWDRISHMCKLRRRYVHLYASLLQQSSNVDKSEVRDIEKDLDSKVILLWRLLAHAKVESVKSKEAAERRRLKKKSWYSSIWRTQSEDASDGEALDGSQLTDEGLSKEEWEAINKLLSYQPAEDLMSHSGKDLQSMIRFMATVSISQAAARIININQTEIICGRFEQLHVSAKFKHRSTHCDVRLRFYGLSSPEGSIAQSVCSEKKVNALTASFVHSPVGENVDWRLSATISPCHVTILKESCDRFFEFVKRSNAVSPTVALETATALQTKIEKVTRRAQEQLQTVLEEQSRFALDIDLDAPKVRIPLRTRGSSKCQSHFLLDFGHFTLHTMESQSDEQRQNIYSRVYISGRDITAFFIDCGSDCQSCTSVEPNSSNRSMVMSPGLEKFGNFYSLIDKCGMAVVVDQIKVPRLNYPSTHVSVQVPNLGIHFSPERYCRLMELLDILYVAMDPCGQPGGVDFQAGVTPWSAADLATDAKILVWRGIGNSVASWQPCFIVLSGFYLYVLESEKSQNYSRYLSMAGRQVHEVPSTNIGGSPLCIAVGPRGMDTKKALESSGTWVIEFRGEEEKITWLRGLIRATYQASAPPSVDILGETSDGISESDDPQTRNSKAADLVINGAVVETKLYIYGKTGEGVAEKLEEQLILEVLASGGKVTMISLGSDLLLKTKLHSLKIKDELQGRLSGDPQYLACSVLKNDTSLQSHQICGSHGNQMSELHLDDDDTFKDALPEFMSLTDPGALSQYMDMQDASGFESSEVLNHQNSLLQGKRLSGEIFYEAQGGDDLDFVCVTFSKRGSGSPFYDGIDTQMSIRMSKLEFFCNRPTLVALIGFGLDLGSMSYPASVMDVHETLDDKSLMNKEKAEESGRVDGLLGHGKARVVFYLNMNVGSVTVFLNKEDGSQLAMFVQESFLLDLKVHPASLSIEGTLGNLRLRDMSLGTDNCLGWLCDIRNPGVESLIKFKFNSFSAGDDDYEGYDYSLFGRLSAVRVVFLYRFIQEITVYFMELATPHTEEVIKLVDKVGDFEWLIQKSEIDGTTALKLDLTLDTPIIIVPRNSLSRDFIQLDVGLLKVTNEITWHGFPEKDPSAVHLDVLHAEILGVNMYVGIDGCIGKPLIREGRGLDVYVRRSLRDVFRKVPSFALEVKVDFLHGVMSDKEYDVILNCTTMNFNETPNLPPSFRGGKSGSKDTMRLLVDKVNMNSQMLLSRSVTTVAVEVNYALLELCNGIHEESPLARIALEGLWVSYRMTSLSETDLYLTIPTFSVLDIRSNTKPEMRLMLGSSADASKQASNGNFPHLLNKRSSSRVNSEACFENVPISTMFLMDYRWRLSSQSFVLRVQQPRVLVVPDFLLALGEFFVPALGAITGREETMDPKNDPISKNNSIVLSDSIYKQEDDVVHLSPSRQLVADSHGIYEYTYDGCGKTIILSEENDAKESHSTSFRPIVIIGCGKRLRFVNVKIENGSLLRKHTYLGNDSSYSVSPEDDVDILLMDNLSSDGDKKNVDSMELINASKASSDLEDNQNSVESFTFEAQVVSPEFTFFDGTKSYLDDSSYGEKLLRAKMDLNFMYASKENDTWIRALVKDLTVEAGSGLIILDPLDISGGYTSIKDKTNMSLMLTDICIHLSLGAISLILNLLNQAAAALQFGNSIPLAPCINFDRIWVSPKENGSHNNLTIWRPQAPANYVILGDCVTSRPIPPSQVVLAVSNTYGRVRKPVGFNLIGSLSRVLGLEGEDGHSDVDSDCCLWMPVPPLGYTSMGCIANIGKHPPPNHAVYCLRSDLVTSTTYSECMMIIPSNQHFASGFSIWRLDNVIGSFSAHSSTTCPSRENSTDLSHLLLWNSVLSYASIKESIPGFAVDNDRASQKKGDQSASSSGWNILRSISKASSCYVSTRHFERMWWDVGSEYRRPVSIWRPISRRGYATVGDCIVEGLEPPAQGLIFKSDDPEISAKPVKFIKVAQITGKGLDEVFFWYPIAPPGYASLGCVVSRTDETPCVDSLCCPRMDLVNPANILEMPISKSSTSKAHQCWSLWKVENQACTFLACSDTKKPSTRLAYTIGDYVKPKTRENVTAEIKLRYFSLTVLDSLHGMMTPLFDVTIANIKLATHGRLEAMNAVLVASIAASTFNTQLEAWEPLVEPFDGIFKFETYDADVNSPSRLGKRMRIAATNIVNVNVSAANLETLVGTILSWRRQLELEQKTTKLIEEARAHSGHEDMAFSALDEEDLQTLTVENKLGDDLFLKRIEQDSNVVDQLHHGDCASVWIPPPRFSDRLNVAEESREARYSVAVQILFAKDLPLINDGNSHNFFCVLRLVVDGQATDQQKLFPQSARTRCVKPLVSDMEHQNKGIAKWNEIFIFEVPRKGVAKLEVEVTNLSAKAGKGEVVGALSFPVGHGASILKKVSSSRILSQINDIQNVESYPLRRKSNNTEDTHDHGYLSISTSYFERNTTANFQRDAESKDASPKDIGFWIRFGKEGSWESIRSLLPLSVVPKSLQSEFIAMEVVMKNGKKHAIFRGLATVVNDSDINLDISVSHASIIQDSGSSSHNIVVEEIFENQRYQPNSGQGNDPPLWSTKDFSYSSKDFFEPPLPSGWEWISTWSIDKSQFVDEDGWAYGPDYQNLRWPPTSSKSHVKSSHGVRRRRWIRRRQQIAERGKSCIKSDFTCISPGCSTVLPWRSTSKASDQCLRIRPCVDHPQPPYTWGRAIVAGVSSSFVSGKDQPFLDLGSLYRQDSFPQGSKMPNFALHLSQLEKKDVLLCCCPTVGSKQIWLSVGADASALPTELNQPVYDWKISVNSPLKLENRLPCPAAFTIWEKEKEGNYIEREHGMIFSRNSAQIYSVDIRRPVYLTFRVQGGWVLEKDPVLILDLSSSAHISSFWMFHQRSKRRLRVSIESDMGGTNAAPKTIRFFVPYWIINDSSLPLAYQVVEIEGSDSADTDSHSLSRAVKSARTVLRTPSYSMERRNSGLRRNIQVLEAIEDTSPIASMLSPQDSAGRSGVMLFPSQKDTYVSPRVGISVAIRDSEIYSPGISLLELEKKERVAVKAFGSDGSYYKLSAIVNMTSDRTKVIHLQPYMLFINRIGLSLCLRQCDSHTVEWIHPTDPPKPIGWQGSSKLELLKLRVDGYDWSTPFSVSNEGVMRVSLKNGNGSDQLFFKVEVRSGTKSSRYEVIFRHNSSSSPYRIENRSIFLPIRCRQVDGTSDSWHFLLPNTAVSFLWEDLGRQHLMEILADGTDPSRSEKYDIDEIADHLPVDVTGPARALRVTILKEEKLNVVKISDWMPENESAITGQRISSSLSDFSRNESWQQQLQPASECEIHFIVELSELGVSIIDHTPEELLYLSLQNLHLAYSTGLGSGCSRFKLRMRGIQMDNQLPLTPTPVLFRPQKIGQETDYIMKISVTLQTNGSLDLCVYPYIVFDVGMGPDNSAFLINIHEPIIWRIHEMMQKVNFSRLYDAKATAVSVDPIIQIGVLNISEVRLKVSMTMSPSQRPRGVLGFWASLMTALGNTENMTVKINQRFHENVCMRQSTMISNAVSNIKKDLLGQPLQLLSGLDILGNASSALGHMSKGVAALSMDKKFIQSRQRQESKGVEDLGGVIREGGGALAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAIASDDQLLRRRLPRVVSGDNLLRPYDEYKAQGQVILQLAQSTSFLGQVDLFKVRGKFALSDAYEDHFMLPKGKIIIVTHQRVILLQQSSNITQRKFNPMRDPCLVLWDVPWNDLATMELTQGKKDQFKAPPSQLILYLRTRPSDTKEQARVVKCSRDTHQAREVYTSIERAMKTYGQNISKELLKKNVTKPYSPVTDSTAVEMSLKEGGPTWSPQQVPTSRPTFGSSSNN >KJB72925 pep chromosome:Graimondii2_0_v6:11:49494539:49532589:-1 gene:B456_011G204400 transcript:KJB72925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAHVLHLLRRYLGEYVHDLSLETLKISVWKGDVVLKDLKLKAEALNSLNLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRVFLLAHPALYGQALKEDDREKLFKAKIQQIEEAESATLEAIAGSKLGNPSSGNSWLGSLIATIIGNLKITISNVHIRYEDCISNPGHPFASGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDNLPWKMDKKWEDLSPKEWIEVFEDGINEPATGCEVVSKWAMNRNYLVSPINGVLKYHRLGNQERNDPNIPFEKASLLLSDVSLTITEAQYHDWIKLVEVISRYKTYVEISHLRPMVPVSKESYFLWWRYAAQAALQQRKMCIRFSWDRISHMCKLRRRYVHLYASLLQQSSNVDKSEVRDIEKDLDSKVILLWRLLAHAKVESVKSKEAAERRRLKKKSWYSSIWRTQSEDASDGEALDGSQLTDEGLSKEEWEAINKLLSYQPAEDLMSHSGKDLQSMIRFMATVSISQAAARIININQTEIICGRFEQLHVSAKFKHRSTHCDVRLRFYGLSSPEGSIAQSVCSEKKVNALTASFVHSPVGENVDWRLSATISPCHVTILKESCDRFFEFVKRSNAVSPTVALETATALQTKIEKVTRRAQEQLQTVLEEQSRFALDIDLDAPKVRIPLRTRGSSKCQSHFLLDFGHFTLHTMESQSDEQRQNIYSRVYISGRDITAFFIDCGSDCQSCTSVEPNSSNRSMVMSPGLEKFGNFYSLIDKCGMAVVVDQIKVPRLNYPSTHVSVQVPNLGIHFSPERYCRLMELLDILYVAMDPCGQPGGVDFQAGVTPWSAADLATDAKILVWRGIGNSVASWQPCFIVLSGFYLYVLESEKSQNYSRYLSMAGRQVHEVPSTNIGGSPLCIAVGPRGMDTKKALESSGTWVIEFRGEEEKITWLRGLIRATYQASAPPSVDILGETSDGISESDDPQTRNSKAADLVINGAVVETKLYIYGKVMTGEGVAEKLEEQLILEVLASGGKVTMISLGSDLLLKTKLHSLKIKDELQGRLSGDPQYLACSVLKNDTSLQSHQICGSHGNQMSELHLDDDDTFKDALPEFMSLTDPGALSQYMDMQDASGFESSEVLNHQNSLLQGKRLSGEIFYEAQGGDDLDFVCVTFSKRGSGSPFYDGIDTQMSIRMSKLEFFCNRPTLVALIGFGLDLGSMSYPASVMDVHETLDDKSLMNKEKAEESGRVDGLLGHGKARVVFYLNMNVGSVTVFLNKEDGSQLAMFVQESFLLDLKVHPASLSIEGTLGNLRLRDMSLGTDNCLGWLCDIRNPGVESLIKFKFNSFSAGDDDYEGYDYSLFGRLSAVRVVFLYRFIQEITVYFMELATPHTEEVIKLVDKVGDFEWLIQKSEIDGTTALKLDLTLDTPIIIVPRNSLSRDFIQLDVGLLKVTNEITWHGFPEKDPSAVHLDVLHAEILGVNMYVGIDGCIGKPLIREGRGLDVYVRRSLRDVFRKVPSFALEVKVDFLHGVMSDKEYDVILNCTTMNFNETPNLPPSFRGGKSGSKDTMRLLVDKVNMNSQMLLSRSVTTVAVEVNYALLELCNGIHEESPLARIALEGLWVSYRMTSLSETDLYLTIPTFSVLDIRSNTKPEMRLMLGSSADASKQASNGNFPHLLNKRSSSRVNSEACFENVPISTMFLMDYRWRLSSQSFVLRVQQPRVLVVPDFLLALGEFFVPALGAITGREETMDPKNDPISKNNSIVLSDSIYKQEDDVVHLSPSRQLVADSHGIYEYTYDGCGKTIILSEENDAKESHSTSFRPIVIIGCGKRLRFVNVKIENGSLLRKHTYLGNDSSYSVSPEDDVDILLMDNLSSDGDKKNVDSMELINASKASSDLEDNQNSVESFTFEAQVVSPEFTFFDGTKSYLDDSSYGEKLLRAKMDLNFMYASKENDTWIRALVKDLTVEAGSGLIILDPLDISGGYTSIKDKTNMSLMLTDICIHLSLGAISLILNLLNQAAAALQFGNSIPLAPCINFDRIWVSPKENGSHNNLTIWRPQAPANYVILGDCVTSRPIPPSQVVLAVSNTYGRVRKPVGFNLIGSLSRVLGLEGEDGHSDVDSDCCLWMPVPPLGYTSMGCIANIGKHPPPNHAVYCLRSDLVTSTTYSECMMIIPSNQHFASGFSIWRLDNVIGSFSAHSSTTCPSRENSTDLSHLLLWNSVLSYASIKESIPGFAVDNDRASQKKGDQSASSSGWNILRSISKASSCYVSTRHFERMWWDVGSEYRRPVSIWRPISRRGYATVGDCIVEGLEPPAQGLIFKSDDPEISAKPVKFIKVAQITGKGLDEVFFWYPIAPPGYASLGCVVSRTDETPCVDSLCCPRMDLVNPANILEMPISKSSTSKAHQCWSLWKVENQACTFLACSDTKKPSTRLAYTIGDYVKPKTRENVTAEIKLRYFSLTVLDSLHGMMTPLFDVTIANIKLATHGRLEAMNAVLVASIAASTFNTQLEAWEPLVEPFDGIFKFETYDADVNSPSRLGKRMRIAATNIVNVNVSAANLETLVGTILSWRRQLELEQKTTKLIEEARAHSGHEDMAFSALDEEDLQTLTVENKLGDDLFLKRIEQDSNVVDQLHHGDCASVWIPPPRFSDRLNVAEESREARYSVAVQILFAKDLPLINDGNSHNFFCVLRLVVDGQATDQQKLFPQSARTRCVKPLVSDMEHQNKGIAKWNEIFIFEVPRKGVAKLEVEVTNLSAKAGKGEVVGALSFPVGHGASILKKVSSSRILSQINDIQNVESYPLRRKSNNTEDTHDHGYLSISTSYFERNTTANFQRDAESKDASPKDIGFWIRFGKEGSWESIRSLLPLSVVPKSLQSEFIAMEVVMKNGKKHAIFRGLATVVNDSDINLDISVSHASIIQDSGSSSHNIVVEEIFENQRYQPNSGQGNDPPLWSTKDFSYSSKDFFEPPLPSGWEWISTWSIDKSQFVDEDGWAYGPDYQNLRWPPTSSKSHVKSSHGVRRRRWIRRRQQIAERGKSCIKSDFTCISPGCSTVLPWRSTSKASDQCLRIRPCVDHPQPPYTWGRAIVAGVSSSFVSGKDQPFLDLGSLYRQDSFPQGSKMPNFALHLSQLEKKDVLLCCCPTVGSKQIWLSVGADASALPTELNQPVYDWKISVNSPLKLENRLPCPAAFTIWEKEKEGNYIEREHGMIFSRNSAQIYSVDIRRPVYLTFRVQGGWVLEKDPVLILDLSSSAHISSFWMFHQRSKRRLRVSIESDMGGTNAAPKTIRFFVPYWIINDSSLPLAYQVVEIEGSDSADTDSHSLSRAVKSARTVLRTPSYSMERRNSGLRRNIQVLEAIEDTSPIASMLSPQDSAGRSGVMLFPSQKDTYVSPRVGISVAIRDSEIYSPGISLLELEKKERVAVKAFGSDGSYYKLSAIVNMTSDRTKVIHLQPYMLFINRIGLSLCLRQCDSHTVEWIHPTDPPKPIGWQGSSKLELLKLRVDGYDWSTPFSVSNEGVMRVSLKNGNGSDQLFFKVEVRSGTKSSRYEVIFRHNSSSSPYRIENRSIFLPIRCRQVDGTSDSWHFLLPNTAVSFLWEDLGRQHLMEILADGTDPSRSEKYDIDEIADHLPVDVTGPARALRVTILKEEKLNVVKISDWMPENESAITGQRISSSLSDFSRNESWQQQLQPASECEIHFIVELSELGVSIIDHTPEELLYLSLQNLHLAYSTGLGSGCSRFKLRMRGIQMDNQLPLTPTPVLFRPQKIGQETDYIMKISVTLQTNGSLDLCVYPYIVFDVGMGPDNSAFLINIHEPIIWRIHEMMQKVNFSRLYDAKATAVSVDPIIQIGVLNISEVRLKVSMTMSPSQRPRGVLGFWASLMTALGNTENMTVKINQRFHENVCMRQSTMISNAVSNIKKDLLGQPLQLLSGLDILGNASSALGHMSKGVAALSMDKKFIQSRQRQESKGVEDLGGVIREGGGALAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAIASDDQLLRRRLPRVVSGDNLLRPYDEYKAQGQVILQLAQSTSFLGQVDLFKVRGKFALSDAYEDHFMLPKGKIIIVTHQRVILLQQSSNITQRKFNPMRDPCLVLWDVPWNDLATMELTQGKKDQFKAPPSQLILYLRTRPSDTKEQARVVKCSRDTHQAREVYTSIERAMKTYGQNISKVRVAEEECY >KJB72922 pep chromosome:Graimondii2_0_v6:11:49494187:49532589:-1 gene:B456_011G204400 transcript:KJB72922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAHVLHLLRRYLGEYVHDLSLETLKISVWKGDVVLKDLKLKAEALNSLNLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRVFLLAHPALYGQALKEDDREKLFKAKIQQIEEAESATLEAIAGSKLGNPSSGNSWLGSLIATIIGNLKITISNVHIRYEDCISNPGHPFASGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDNLPWKMDKKWEDLSPKEWIEVFEDGINEPATGCEVVSKWAMNRNYLVSPINGVLKYHRLGNQERNDPNIPFEKASLLLSDVSLTITEAQYHDWIKLVEVISRYKTYVEISHLRPMVPVSKESYFLWWRYAAQAALQQRKMCIRFSWDRISHMCKLRRRYVHLYASLLQQSSNVDKSEVRDIEKDLDSKVILLWRLLAHAKVESVKSKEAAERRRLKKKSWYSSIWRTQSEDASDGEALDGSQLTDEGLSKEEWEAINKLLSYQPAEDLMSHSGKDLQSMIRFMATVSISQAAARIININQTEIICGRFEQLHVSAKFKHRSTHCDVRLRFYGLSSPEGSIAQSVCSEKKVNALTASFVHSPVGENVDWRLSATISPCHVTILKESCDRFFEFVKRSNAVSPTVALETATALQTKIEKVTRRAQEQLQTVLEEQSRFALDIDLDAPKVRIPLRTRGSSKCQSHFLLDFGHFTLHTMESQSDEQRQNIYSRVYISGRDITAFFIDCGSDCQSCTSVEPNSSNRSMVMSPGLEKFGNFYSLIDKCGMAVVVDQIKVPRLNYPSTHVSVQVPNLGIHFSPERYCRLMELLDILYVAMDPCGQPGGVDFQAGVTPWSAADLATDAKILVWRGIGNSVASWQPCFIVLSGFYLYVLESEKSQNYSRYLSMAGRQVHEVPSTNIGGSPLCIAVGPRGMDTKKALESSGTWVIEFRGEEEKITWLRGLIRATYQASAPPSVDILGETSDGISESDDPQTRNSKAADLVINGAVVETKLYIYGKVMTGEGVAEKLEEQLILEVLASGGKVTMISLGSDLLLKTKLHSLKIKDELQGRLSGDPQYLACSVLKNDTSLQSHQICGSHGNQMSELHLDDDDTFKDALPEFMSLTDPGALSQYMDMQDASGFESSEVLNHQNSLLQGKRLSGEIFYEAQGGDDLDFVCVTFSKRGSGSPFYDGIDTQMSIRMSKLEFFCNRPTLVALIGFGLDLGSMSYPASVMDVHETLDDKSLMNKEKAEESGRVDGLLGHGKARVVFYLNMNVGSVTVFLNKEDGSQLAMFVQESFLLDLKVHPASLSIEGTLGNLRLRDMSLGTDNCLGWLCDIRNPGVESLIKFKFNSFSAGDDDYEGYDYSLFGRLSAVRVVFLYRFIQEITVYFMELATPHTEEVIKLVDKVGDFEWLIQKSEIDGTTALKLDLTLDTPIIIVPRNSLSRDFIQLDVGLLKVTNEITWHGFPEKDPSAVHLDVLHAEILGVNMYVGIDGCIGKPLIREGRGLDVYVRRSLRDVFRKVPSFALEVKVDFLHGVMSDKEYDVILNCTTMNFNETPNLPPSFRGGKSGSKDTMRLLVDKVNMNSQMLLSRSVTTVAVEVNYALLELCNGIHEESPLARIALEGLWVSYRMTSLSETDLYLTIPTFSVLDIRSNTKPEMRLMLGSSADASKQASNGNFPHLLNKRSSSRVNSEACFENVPISTMFLMDYRWRLSSQSFVLRVQQPRVLVVPDFLLALGEFFVPALGAITGREETMDPKNDPISKNNSIVLSDSIYKQEDDVVHLSPSRQLVADSHGIYEYTYDGCGKTIILSEENDAKESHSTSFRPIVIIGCGKRLRFVNVKIENGSLLRKHTYLGNDSSYSVSPEDDVDILLMDNLSSDGDKKNVDSMELINASKASSDLEDNQNSVESFTFEAQVVSPEFTFFDGTKSYLDDSSYGEKLLRAKMDLNFMYASKENDTWIRALVKDLTVEAGSGLIILDPLDISGGYTSIKDKTNMSLMLTDICIHLSLGAISLILNLLNQAAAALQFGNSIPLAPCINFDRIWVSPKENGSHNNLTIWRPQAPANYVILGDCVTSRPIPPSQVVLAVSNTYGRVRKPVGFNLIGSLSRVLGLEGEDGHSDVDSDCCLWMPVPPLGYTSMGCIANIGKHPPPNHAVYCLRSDLVTSTTYSECMMIIPSNQHFASGFSIWRLDNVIGSFSAHSSTTCPSRENSTDLSHLLLWNSVLSYASIKESIPGFAVDNDRASQKKGDQSASSSGWNILRSISKASSCYVSTRHFERMWWDVGSEYRRPVSIWRPISRRGYATVGDCIVEGLEPPAQGLIFKSDDPEISAKPVKFIKVAQITGKGLDEVFFWYPIAPPGYASLGCVVSRTDETPCVDSLCCPRMDLVNPANILEMPISKSSTSKAHQCWSLWKVENQACTFLACSDTKKPSTRLAYTIGDYVKPKTRENVTAEIKLRYFSLTVLDSLHGMMTPLFDVTIANIKLATHGRLEAMNAVLVASIAASTFNTQLEAWEPLVEPFDGIFKFETYDADVNSPSRLGKRMRIAATNIVNVNVSAANLETLVGTILSWRRQLELEQKTTKLIEEARAHSGHEDMAFSALDEEDLQTLTVENKLGDDLFLKRIEQDSNVVDQLHHGDCASVWIPPPRFSDRLNVAEESREARYSVAVQILFAKDLPLINDGNSHNFFCVLRLVVDGQATDQQKLFPQSARTRCVKPLVSDMEHQNKGIAKWNEIFIFEVPRKGVAKLEVEVTNLSAKAGKAGEVVGALSFPVGHGASILKKVSSSRILSQINDIQNVESYPLRRKSNNTEDTHDHGYLSISTSYFERNTTANFQRDAESKDASPKDIGFWIRFGKEGSWESIRSLLPLSVVPKSLQSEFIAMEVVMKNGKKHAIFRGLATVVNDSDINLDISVSHASIIQDSGSSSHNIVVEEIFENQRYQPNSGQGNDPPLWSTKDFSYSSKDFFEPPLPSGWEWISTWSIDKSQFVDEDGWAYGPDYQNLRWPPTSSKSHVKSSHGVRRRRWIRRRQQIAERGKSCIKSDFTCISPGCSTVLPWRSTSKASDQCLRIRPCVDHPQPPYTWGRAIVAGVSSSFVSGKDQPFLDLGSLYRQDSFPQGSKMPNFALHLSQLEKKDVLLCCCPTVGSKQIWLSVGADASALPTELNQPVYDWKISVNSPLKLENRLPCPAAFTIWEKEKEGNYIEREHGMIFSRNSAQIYSVDIRRPVYLTFRVQGGWVLEKDPVLILDLSSSAHISSFWMFHQRSKRRLRVSIESDMGGTNAAPKTIRFFVPYWIINDSSLPLAYQVVEIEGSDSADTDSHSLSRAVKSARTVLRTPSYSMERRNSGLRRNIQVLEAIEDTSPIASMLSPQDSAGRSGVMLFPSQKDTYVSPRVGISVAIRDSEIYSPGISLLELEKKERVAVKAFGSDGSYYKLSAIVNMTSDRTKVIHLQPYMLFINRIGLSLCLRQCDSHTVEWIHPTDPPKPIGWQGSSKLELLKLRVDGYDWSTPFSVSNEGVMRVSLKNGNGSDQLFFKVEVRSGTKSSRYEVIFRHNSSSSPYRIENRSIFLPIRCRQVDGTSDSWHFLLPNTAVSFLWEDLGRQHLMEILADGTDPSRSEKYDIDEIADHLPVDVTGPARALRVTILKEEKLNVVKISDWMPENESAITGQRISSSLSDFSRNESWQQQLQPASECEIHFIVELSELGVSIIDHTPEELLYLSLQNLHLAYSTGLGSGCSRFKLRMRGIQMDNQLPLTPTPVLFRPQKIGQETDYIMKISVTLQTNGSLDLCVYPYIVFDVGMGPDNSAFLINIHEPIIWRIHEMMQKVNFSRLYDAKATAVSVDPIIQIGVLNISEVRLKVSMTMSPSQRPRGVLGFWASLMTALGNTENMTVKINQRFHENVCMRQSTMISNAVSNIKKDLLGQPLQLLSGLDILGNASSALGHMSKGVAALSMDKKFIQSRQRQESKGVEDLGGVIREGGGALAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAIASDDQLLRRRLPRVVSGDNLLRPYDEYKAQGQVILQLAQSTSFLGQVDLFKVRGKFALSDAYEDHFMLPKGKIIIVTHQRVILLQL >KJB72924 pep chromosome:Graimondii2_0_v6:11:49494187:49532787:-1 gene:B456_011G204400 transcript:KJB72924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAHVLHLLRRYLGEYVHDLSLETLKISVWKGDVVLKDLKLKAEALNSLNLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRVFLLAHPALYGQALKEDDREKLFKAKIQQIEEAESATLEAIAGSKLGNPSSGNSWLGSLIATIIGNLKITISNVHIRYEDCISNPGHPFASGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDNLPWKMDKKWEDLSPKEWIEVFEDGINEPATGCEVVSKWAMNRNYLVSPINGVLKYHRLGNQERNDPNIPFEKASLLLSDVSLTITEAQYHDWIKLVEVISRYKTYVEISHLRPMVPVSKESYFLWWRYAAQAALQQRKMCIRFSWDRISHMCKLRRRYVHLYASLLQQSSNVDKSEVRDIEKDLDSKVILLWRLLAHAKVESVKSKEAAERRRLKKKSWYSSIWRTQSEDASDGEALDGSQLTDEGLSKEEWEAINKLLSYQPAEDLMSHSGKDLQSMIRFMATVSISQAAARIININQTEIICGRFEQLHVSAKFKHRSTHCDVRLRFYGLSSPEGSIAQSVCSEKKVNALTASFVHSPVGENVDWRLSATISPCHVTILKESCDRFFEFVKRSNAVSPTVALETATALQTKIEKVTRRAQEQLQTVLEEQSRFALDIDLDAPKVRIPLRTRGSSKCQSHFLLDFGHFTLHTMESQSDEQRQNIYSRVYISGRDITAFFIDCGSDCQSCTSVEPNSSNRSMVMSPGLEKFGNFYSLIDKCGMAVVVDQIKVPRLNYPSTHVSVQVPNLGIHFSPERYCRLMELLDILYVAMDPCGQPGGVDFQAGVTPWSAADLATDAKILVWRGIGNSVASWQPCFIVLSGFYLYVLESEKSQNYSRYLSMAGRQVHEVPSTNIGGSPLCIAVGPRGMDTKKALESSGTWVIEFRGEEEKITWLRGLIRATYQASAPPSVDILGETSDGISESDDPQTRNSKAADLVINGAVVETKLYIYGKVMTGEGVAEKLEEQLILEVLASGGKVTMISLGSDLLLKTKLHSLKIKDELQGRLSGDPQYLACSVLKNDTSLQSHQICGSHGNQMSELHLDDDDTFKDALPEFMSLTDPGALSQYMDMQDASGFESSEVLNHQNSLLQGKRLSGEIFYEAQGGDDLDFVCVTFSKRGSGSPFYDGIDTQMSIRMSKLEFFCNRPTLVALIGFGLDLGSMSYPASVMDVHETLDDKSLMNKEKAEESGRVDGLLGHGKARVVFYLNMNVGSVTVFLNKEDGSQLAMFVQESFLLDLKVHPASLSIEGTLGNLRLRDMSLGTDNCLGWLCDIRNPGVESLIKFKFNSFSAGDDDYEGYDYSLFGRLSAVRVVFLYRFIQEITVYFMELATPHTEEVIKLVDKVGDFEWLIQKSEIDGTTALKLDLTLDTPIIIVPRNSLSRDFIQLDVGLLKVTNEITWHGFPEKDPSAVHLDVLHAEILGVNMYVGIDGCIGKPLIREGRGLDVYVRRSLRDVFRKVPSFALEVKVDFLHGVMSDKEYDVILNCTTMNFNETPNLPPSFRGGKSGSKDTMRLLVDKVNMNSQMLLSRSVTTVAVEVNYALLELCNGIHEESPLARIALEGLWVSYRMTSLSETDLYLTIPTFSVLDIRSNTKPEMRLMLGSSADASKQASNGNFPHLLNKRSSSRVNSEACFENVPISTMFLMDYRWRLSSQSFVLRVQQPRVLVVPDFLLALGEFFVPALGAITGREETMDPKNDPISKNNSIVLSDSIYKQEDDVVHLSPSRQLVADSHGIYEYTYDGCGKTIILSEENDAKESHSTSFRPIVIIGCGKRLRFVNVKIENGSLLRKHTYLGNDSSYSVSPEDDVDILLMDNLSSDGDKKNVDSMELINASKASSDLEDNQNSVESFTFEAQVVSPEFTFFDGTKSYLDDSSYGEKLLRAKMDLNFMYASKENDTWIRALVKDLTVEAGSGLIILDPLDISGGYTSIKDKTNMSLMLTDICIHLSLGAISLILNLLNQAAAALQFGNSIPLAPCINFDRIWVSPKENGSHNNLTIWRPQAPANYVILGDCVTSRPIPPSQVVLAVSNTYGRVRKPVGFNLIGSLSRVLGLEGEDGHSDVDSDCCLWMPVPPLGYTSMGCIANIGKHPPPNHAVYCLRSDLVTSTTYSECMMIIPSNQHFASGFSIWRLDNVIGSFSAHSSTTCPSRENSTDLSHLLLWNSVLSYASIKESIPGFAVDNDRASQKKGDQSASSSGWNILRSISKASSCYVSTRHFERMWWDVGSEYRRPVSIWRPISRRGYATVGDCIVEGLEPPAQGLIFKSDDPEISAKPVKFIKVAQITGKGLDEVFFWYPIAPPGYASLGCVVSRTDETPCVDSLCCPRMDLVNPANILEMPISKSSTSKAHQCWSLWKVENQACTFLACSDTKKPSTRLAYTIGDYVKPKTRENVTAEIKLRYFSLTVLDSLHGMMTPLFDVTIANIKLATHGRLEAMNAVLVASIAASTFNTQLEAWEPLVEPFDGIFKFETYDADVNSPSRLGKRMRIAATNIVNVNVSAANLETLVGTILSWRRQLELEQKTTKLIEEARAHSGHEDMAFSALDEEDLQTLTVENKLGDDLFLKRIEQDSNVVDQLHHGDCASVWIPPPRFSDRLNVAEESREARYSVAVQILFAKDLPLINDGNSHNFFCVLRLVVDGQATDQQKLFPQSARTRCVKPLVSDMEHQNKGIAKWNEIFIFEVPRKGVAKLEVEVTNLSAKAGKGEVVGALSFPVGHGASILKKVSSSRILSQINDIQNVESYPLRRKSNNTEDTHDHGYLSISTSYFERNTTANFQRDAESKDASPKDIGFWIRFGKEGSWESIRSLLPLSVVPKSLQSEFIAMEVVMKNGKKHAIFRGLATVVNDSDINLDISVSHASIIQDSGSSSHNIVVEEIFENQRYQPNSGQGNDPPLWSTKDFSYSSKDFFEPPLPSGWEWISTWSIDKSQFVDEDGWAYGPDYQNLRWPPTSSKSHVKSSHGVRRRRWIRRRQQIAERGKSCIKSDFTCISPGCSTVLPWRSTSKASDQCLRIRPCVDHPQPPYTWGRAIVAGVSSSFVSGKDQPFLDLGSLYRQDSFPQGSKMPNFALHLSQLEKKDVLLCCCPTVGSKQIWLSVGADASALPTELNQPVYDWKISVNSPLKLENRLPCPAAFTIWEKEKEGNYIEREHGMIFSRNSAQIYSVDIRRPVYLTFRVQGGWVLEKDPVLILDLSSSAHISSFWMFHQRSKRRLRVSIESDMGGTNAAPKTIRFFVPYWIINDSSLPLAYQVVEIEGSDSADTDSHSLSRAVKSARTVLRTPSYSMERRNSGLRRNIQVLEAIEDTSPIASMLSPQDSAGRSGVMLFPSQKDTYVSPRVGISVAIRDSEIYSPGISLLELEKKERVAVKAFGSDGSYYKLSAIVNMTSDRTKVIHLQPYMLFINRIGLSLCLRQCDSHTVEWIHPTDPPKPIGWQGSSKLELLKLRVDGYDWSTPFSVSNEGVMRVSLKNGNGSDQLFFKVEVRSGTKSSRYEVIFRHNSSSSPYRIENRSIFLPIRCRQVDGTSDSWHFLLPNTAVSFLWEDLGRQHLMEILADGTDPSRSEKYDIDEIADHLPVDVTGPARALRVTILKEEKLNVVKISDWMPENESAITGQRISSSLSDFSRNESWQQQLQPASECEIHFIVELSELGVSIIDHTPEELLYLSLQNLHLAYSTGLGSGCSRFKLRMRGIQMDNQLPLTPTPVLFRPQKIGQETDYIMKISVTLQTNGSLDLCVYPYIVFDVGMGPDNSAFLINIHEPIIWRIHEMMQKVNFSRLYDAKATAVSVDPIIQIGVLNISEVRLKVSMTMSPSQRPRGVLGFWASLMTALGNTENMTVKINQRFHENVCMRQSTMISNAVSNIKKDLLGQPLQLLSGLDILGNASSALGHMSKGVAALSMDKKFIQSRQRQESKGVEDLGGVIREGGGALAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAIASDDQLLRRRLPRVVSGDNLLRPYDEYKAQGQVILQLAQSTSFLGQVDLFKVRGKFALSDAYEDHFMLPKGKIIIVTHQRVILLQL >KJB72926 pep chromosome:Graimondii2_0_v6:11:49494187:49532802:-1 gene:B456_011G204400 transcript:KJB72926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAHVLHLLRRYLGEYVHDLSLETLKISVWKGDVVLKDLKLKAEALNSLNLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRVFLLAHPALYGQALKEDDREKLFKAKIQQIEPSSGNSWLGSLIATIIGNLKITISNVHIRYEDCISNPGHPFASGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDNLPWKMDKKWEDLSPKEWIEVFEDGINEPATGCEVVSKWAMNRNYLVSPINGVLKYHRLGNQERNDPNIPFEKASLLLSDVSLTITEAQYHDWIKLVEVISRYKTYVEISHLRPMVPVSKESYFLWWRYAAQAALQQRKMCIRFSWDRISHMCKLRRRYVHLYASLLQQSSNVDKSEVRDIEKDLDSKVILLWRLLAHAKVESVKSKEAAERRRLKKKSWYSSIWRTQSEDASDGEALDGSQLTDEGLSKEEWEAINKLLSYQPAEDLMSHSGKDLQSMIRFMATVSISQAAARIININQTEIICGRFEQLHVSAKFKHRSTHCDVRLRFYGLSSPEGSIAQSVCSEKKVNALTASFVHSPVGENVDWRLSATISPCHVTILKESCDRFFEFVKRSNAVSPTVALETATALQTKIEKVTRRAQEQLQTVLEEQSRFALDIDLDAPKVRIPLRTRGSSKCQSHFLLDFGHFTLHTMESQSDEQRQNIYSRVYISGRDITAFFIDCGSDCQSCTSVEPNSSNRSMVMSPGLEKFGNFYSLIDKCGMAVVVDQIKVPRLNYPSTHVSVQVPNLGIHFSPERYCRLMELLDILYVAMDPCGQPGGVDFQAGVTPWSAADLATDAKILVWRGIGNSVASWQPCFIVLSGFYLYVLESEKSQNYSRYLSMAGRQVHEVPSTNIGGSPLCIAVGPRGMDTKKALESSGTWVIEFRGEEEKITWLRGLIRATYQASAPPSVDILGETSDGISESDDPQTRNSKAADLVINGAVVETKLYIYGKTGEGVAEKLEEQLILEVLASGGKVTMISLGSDLLLKTKLHSLKIKDELQGRLSGDPQYLACSVLKNDTSLQSHQICGSHGNQMSELHLDDDDTFKDALPEFMSLTDPGALSQYMDMQDASGFESSEVLNHQNSLLQGKRLSGEIFYEAQGGDDLDFVCVTFSKRGSGSPFYDGIDTQMSIRMSKLEFFCNRPTLVALIGFGLDLGSMSYPASVMDVHETLDDKSLMNKEKAEESGRVDGLLGHGKARVVFYLNMNVGSVTVFLNKEDGSQLAMFVQESFLLDLKVHPASLSIEGTLGNLRLRDMSLGTDNCLGWLCDIRNPGVESLIKFKFNSFSAGDDDYEGYDYSLFGRLSAVRVVFLYRFIQEITVYFMELATPHTEEVIKLVDKVGDFEWLIQKSEIDGTTALKLDLTLDTPIIIVPRNSLSRDFIQLDVGLLKVTNEITWHGFPEKDPSAVHLDVLHAEILGVNMYVGIDGCIGKPLIREGRGLDVYVRRSLRDVFRKVPSFALEVKVDFLHGVMSDKEYDVILNCTTMNFNETPNLPPSFRGGKSGSKDTMRLLVDKVNMNSQMLLSRSVTTVAVEVNYALLELCNGIHEESPLARIALEGLWVSYRMTSLSETDLYLTIPTFSVLDIRSNTKPEMRLMLGSSADASKQASNGNFPHLLNKRSSSRVNSEACFENVPISTMFLMDYRWRLSSQSFVLRVQQPRVLVVPDFLLALGEFFVPALGAITGREETMDPKNDPISKNNSIVLSDSIYKQEDDVVHLSPSRQLVADSHGIYEYTYDGCGKTIILSEENDAKESHSTSFRPIVIIGCGKRLRFVNVKIENGSLLRKHTYLGNDSSYSVSPEDDVDILLMDNLSSDGDKKNVDSMELINASKASSDLEDNQNSVESFTFEAQVVSPEFTFFDGTKSYLDDSSYGEKLLRAKMDLNFMYASKENDTWIRALVKDLTVEAGSGLIILDPLDISGGYTSIKDKTNMSLMLTDICIHLSLGAISLILNLLNQAAAALQFGNSIPLAPCINFDRIWVSPKENGSHNNLTIWRPQAPANYVILGDCVTSRPIPPSQVVLAVSNTYGRVRKPVGFNLIGSLSRVLGLEGEDGHSDVDSDCCLWMPVPPLGYTSMGCIANIGKHPPPNHAVYCLRSDLVTSTTYSECMMIIPSNQHFASGFSIWRLDNVIGSFSAHSSTTCPSRENSTDLSHLLLWNSVLSYASIKESIPGFAVDNDRASQKKGDQSASSSGWNILRSISKASSCYVSTRHFERMWWDVGSEYRRPVSIWRPISRRGYATVGDCIVEGLEPPAQGLIFKSDDPEISAKPVKFIKVAQITGKGLDEVFFWYPIAPPGYASLGCVVSRTDETPCVDSLCCPRMDLVNPANILEMPISKSSTSKAHQCWSLWKVENQACTFLACSDTKKPSTRLAYTIGDYVKPKTRENVTAEIKLRYFSLTVLDSLHGMMTPLFDVTIANIKLATHGRLEAMNAVLVASIAASTFNTQLEAWEPLVEPFDGIFKFETYDADVNSPSRLGKRMRIAATNIVNVNVSAANLETLVGTILSWRRQLELEQKTTKLIEEARAHSGHEDMAFSALDEEDLQTLTVENKLGDDLFLKRIEQDSNVVDQLHHGDCASVWIPPPRFSDRLNVAEESREARYSVAVQILFAKDLPLINDGNSHNFFCVLRLVVDGQATDQQKLFPQSARTRCVKPLVSDMEHQNKGIAKWNEIFIFEVPRKGVAKLEVEVTNLSAKAGKGEVVGALSFPVGHGASILKKVSSSRILSQINDIQNVESYPLRRKSNNTEDTHDHGYLSISTSYFERNTTANFQRDAESKDASPKDIGFWIRFGKEGSWESIRSLLPLSVVPKSLQSEFIAMEVVMKNGKKHAIFRGLATVVNDSDINLDISVSHASIIQDSGSSSHNIVVEEIFENQRYQPNSGQGNDPPLWSTKDFSYSSKDFFEPPLPSGWEWISTWSIDKSQFVDEDGWAYGPDYQNLRWPPTSSKSHVKSSHGVRRRRWIRRRQQIAERGKSCIKSDFTCISPGCSTVLPWRSTSKASDQCLRIRPCVDHPQPPYTWGRAIVAGVSSSFVSGKDQPFLDLGSLYRQDSFPQGSKMPNFALHLSQLEKKDVLLCCCPTVGSKQIWLSVGADASALPTELNQPVYDWKISVNSPLKLENRLPCPAAFTIWEKEKEGNYIEREHGMIFSRNSAQIYSVDIRRPVYLTFRVQGGWVLEKDPVLILDLSSSAHISSFWMFHQRSKRRLRVSIESDMGGTNAAPKTIRFFVPYWIINDSSLPLAYQVVEIEGSDSADTDSHSLSRAVKSARTVLRTPSYSMERRNSGLRRNIQVLEAIEDTSPIASMLSPQDSAGRSGVMLFPSQKDTYVSPRVGISVAIRDSEIYSPGISLLELEKKERVAVKAFGSDGSYYKLSAIVNMTSDRTKVIHLQPYMLFINRIGLSLCLRQCDSHTVEWIHPTDPPKPIGWQGSSKLELLKLRVDGYDWSTPFSVSNEGVMRVSLKNGNGSDQLFFKVEVRSGTKSSRYEVIFRHNSSSSPYRIENRSIFLPIRCRQVDGTSDSWHFLLPNTAVSFLWEDLGRQHLMEILADGTDPSRSEKYDIDEIADHLPVDVTGPARALRVTILKEEKLNVVKISDWMPENESAITGQRISSSLSDFSRNESWQQQLQPASECEIHFIVELSELGVSIIDHTPEELLYLSLQNLHLAYSTGLGSGCSRFKLRMRGIQMDNQLPLTPTPVLFRPQKIGQETDYIMKISVTLQTNGSLDLCVYPYIVFDVGMGPDNSAFLINIHEPIIWRIHEMMQKVNFSRLYDAKATAVSVDPIIQIGVLNISEVRLKVSMTMSPSQRPRGVLGFWASLMTALGNTENMTVKINQRFHENVCMRQSTMISNAVSNIKKDLLGQPLQLLSGLDILGNASSALGHMSKGVAALSMDKKFIQSRQRQESKGVEDLGGVIREGGGALAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAIASDDQLLRRRLPRVVSGDNLLRPYDEYKAQGQVILQLAQSTSFLGQVDLFKVRGKFALSDAYEDHFMLPKGKIIIVTHQRVILLQQSSNITQRKFNPMRDPCLVLWDVPWNDLATMELTQGKKDQFKAPPSQLILYLRTRPSDTKEQARVVKCSRDTHQAREVYTSIERAMKTYGQNISKELLKKNVTKPYSPVTDSTAVEMSLKEGGPTWSPQQVPTSRPTFGSSSNN >KJB71916 pep chromosome:Graimondii2_0_v6:11:23924665:23925081:1 gene:B456_011G147200 transcript:KJB71916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DYLLKSYYKTASLIAASTKGVAIFSGANRSVTEKMYEYGKNLGLSFQFVDDILDFTQSAEQLGKRASSDLAKGNLTAPVIFALEKEPKLRDIIESEFCKTGSLDEAIKLVKQFGGIERAQELAKEKADISIRSLQCLP >KJB73637 pep chromosome:Graimondii2_0_v6:11:57314674:57316073:1 gene:B456_011G246800 transcript:KJB73637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVWFFFQGLLLLKASPTVMSLEICFELKMVIIYISLNEEANKDFRKPFSLHKFGHENDSFGKKYFNEGEIHCVEFKGYSPKTIFMVYLKLKSYSAILNQEKRSFLQFIRKSTICWLLNQYTPTLHYNLPCTVMRNLL >KJB70708 pep chromosome:Graimondii2_0_v6:11:9558249:9560941:-1 gene:B456_011G090100 transcript:KJB70708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGENKLKLYSYWRSSCSFRIRIALNLKGLEYQYIPVNLLKGEQFSPEFQKLNPIGYVPVLVDGDMIISDSFAIFMYLEEKYPQHPLLPSDLAKKALNFQAANIVSSSIQPLQNLAVLKYIEEKVSPDEKIPWTKFHIEKGFEALEKLLKDHAGKYATGDEVSMVNLFLAPQILAGIERFNVDMAKFPLLSRLNEAYSELPEFQNAKPENQPDAPSA >KJB70705 pep chromosome:Graimondii2_0_v6:11:9558249:9560532:-1 gene:B456_011G090100 transcript:KJB70705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISDSFAIFMYLEEKYPQHPLLPSDLAKKALNFQAANIVSSSIQPLQNLAVLKYIEEKVSPDEKIPWTKFHIEKGFEALEKLLKDHAGKYATGDEVSMADLFLAPQILAGIERFNVDMAKFPLLSRLNEAYSELPEFQNAKPENQPDAPSA >KJB70710 pep chromosome:Graimondii2_0_v6:11:9558249:9561007:-1 gene:B456_011G090100 transcript:KJB70710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGENKLKLYSYWRSSCSFRIRIALNLKGLEYQYIPVNLLKGEQFSPEFQKLNPIGYVPVLVDGDMIISDSFAIFMYLEEKYPQHPLLPSDLAKKALNFQAANIVSSSIQPLQNLAVLKYIEEKVSPDEKIPWTKFHIEKGFEALEKLLKDHAGKYATGDEVSMADLFLAPQILAGIERFNVDMAKFPLLSRLNEAYSELPEFQNAKPENQPDAPSA >KJB70709 pep chromosome:Graimondii2_0_v6:11:9558249:9560941:-1 gene:B456_011G090100 transcript:KJB70709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGENKLKLYSYWRSSCSFRIRIALNLKGLEYQYIPVNLLKGEQFSPEFQKLNPIGYVPVLVDGDMIISDSFAIFMYLEEKYPQHPLLPSDLAKKALNFQAANIVSSSIQPLQNLAKYIEEKVSPDEKIPWTKFHIEKGFEALEKLLKDHAGKYATGDEVSMADLFLAPQILAGIERFNVDMAKFPLLSRLNEAYSELPEFQNAKPENQPDAPSA >KJB70707 pep chromosome:Graimondii2_0_v6:11:9558249:9560677:-1 gene:B456_011G090100 transcript:KJB70707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISDSFAIFMYLEEKYPQHPLLPSDLAKKALNFQAANIVSSSIQPLQNLAVLKYIEEKVSPDEKIPWTKFHIEKGFEALEKLLKDHAGKYATGDEVSMADLFLAPQILAGIERFNVDMAKFPLLSRLNEAYSELPEFQNAKPENQPDAPSA >KJB70706 pep chromosome:Graimondii2_0_v6:11:9558201:9560863:-1 gene:B456_011G090100 transcript:KJB70706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISDSFAIFMYLEEKYPQHPLLPSDLAKKALNFQAANIVSSSIQPLQNLAVLKYIEEKVSPDEKIPWTKFHIEKGFEALEKLLKDHAGKYATGDEVSMADLFLAPQILAGIERFNVDMAKFPLLSRLNEAYSELPEFQNAKPENQPDAPSA >KJB70522 pep chromosome:Graimondii2_0_v6:11:7610582:7621200:1 gene:B456_011G077300 transcript:KJB70522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQKSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKIFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPDLPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKQNEIQTVNIKSVGADFEGTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALECVWSADGEYAVRESTSKIKIFSKSFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASETSFYILKYNRDVVQSYLDSGRPVDEEGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVAHFLESRGMVEDALEVATDPDYRFELAIQLGKLEIAKEIAAEVQSESKWKQLGKLALSTGKLAMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVTIWRKDLYKVNPKAAESLADPGEYPNLFEDWELSLSVESKLAETRDVYPPASGYLNHADRSQMTLVEAFRNMQVEDEEPLENGDLYHESAEPNGCNQISEQNGEEGSQEEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGSRSA >KJB70525 pep chromosome:Graimondii2_0_v6:11:7610582:7621200:1 gene:B456_011G077300 transcript:KJB70525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQKSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKIFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPDLPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKQNEIQTVNIKSVGADFEGTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALECVWSADGEYAVRESTSKIKIFSKSFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASETSFYILKYNRDVVQSYLDSGRPVDEEGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVAHFLESRGMVEDALEVATDPDYRFELAIQLGKLEIAKEIAAEVQSESKWKQLGKLALSTGKLAMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVTIWRKDLYKVNPKAAESLADPGEYPNLFEDWELSLSVESKLAETRDVYPPASGYLNHADRSQMTLVEAFRNMQVEDEEPLENGDLYHESAEPNGCNQISEQNGEEGSQEEAVVVDADSNDGAVLVNGNEPEEEWVLTPDH >KJB70524 pep chromosome:Graimondii2_0_v6:11:7610582:7621200:1 gene:B456_011G077300 transcript:KJB70524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQKSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKIFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPDLPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKQNEIQTVNIKSVGADFEGTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALECVWSADGEYAVRESTSKIKIFSKSFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASETSFYILKYNRDVVQSYLDSGRPVDEEGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVAHFLESRGMVEDALEVATDPDYRFELAIQLGKLEIAKEIAAEVQSESKWKQLGKLALSTGKLAMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVTIWRKDLYKVNPKAAESLADPGEYPNLFEDWELSLSVESKLAETRDVYPPASGYLNHADRSQMTLVEAFRNMQVEDEEPLENGDLYHESAEPNGCNQISEQNGEEGSQEEAVVVDADSNDGAVLVNGNEPEEEWVLTPDH >KJB70523 pep chromosome:Graimondii2_0_v6:11:7610582:7621200:1 gene:B456_011G077300 transcript:KJB70523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQKSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKIFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPDLPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKQNEIQTVNIKSVGADFEGTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALECVWSADGEYAVRESTSKIKIFSKSFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASETSFYILKYNRDVVQSYLDSGRPVDEEGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVAHFLESRGMVEDALEVATDPDYRFELAIQLGKLEIAKEIAAEVQSESKWKQLGKLALSTGKLAMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVTIWRKDLYKVNPKAAESLADPGEYPNLFEDWELSLSVESKLAETRDVYPPASGYLNHADRSQMTLVEAFRNMQVEDEEPLENGDLYHESAEPNGCNQISEQNGEEGSQEEAVVVDADSNDGAVLVNGNEPEEEWVLTPDH >KJB70520 pep chromosome:Graimondii2_0_v6:11:7610582:7620161:1 gene:B456_011G077300 transcript:KJB70520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQKSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKIFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPDLPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKQNEIQTVNIKSVGADFEGTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALECVWSADGEYAVRESTSKIKIFSKSFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASETSFYILKYNRDVVQSYLDSGRPVDEEGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVAHFLESRGMVEDALEVATDPDYRFELAIQLGKLEIAKEIAAEVQSESKWKQLGKLALSTGKLAMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVTIWRKDLYKVNPKAAESLADPGEYPNLFEDWELSLSVESKLAETRDVYPPASGYLNHADRSQMTLVEAFRNMQVEDEEPLENGDLYHESAEPNGCNQISEQNGEEGSQEEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGSRSA >KJB70521 pep chromosome:Graimondii2_0_v6:11:7610402:7621280:1 gene:B456_011G077300 transcript:KJB70521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQKSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKIFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPDLPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKQNEIQTVNIKSVGADFEGTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALECVWSADGEYAVRESTSKIKIFSKSFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASETSFYILKYNRDVVQSYLDSGRPVDEEGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVAHFLESRGMVEDALEVATDPDYRFELAIQLGKLEIAKEIAAEVQSESKWKQLGKLALSTGKLAMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVTIWRKDLYKVNPKAAESLADPGEYPNLFEDWELSLSVESKLAETRDVYPPASGYLNHADRSQMTLVEAFRNMQVEDEEPLENGDLYHESAEPNGCNQISEQNGEEGSQEEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGSRSA >KJB70518 pep chromosome:Graimondii2_0_v6:11:7610541:7621278:1 gene:B456_011G077300 transcript:KJB70518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQKSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKIFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPDLPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKQNEIQTVNIKSVGADFEGTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALECVWSADGEYAVRESTSKIKIFSKSFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASETSFYILKYNRDVVQSYLDSGRPVDEEGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVAHFLESRGMVEDALEVATDPDYRFELAIQLGKLEIAKEIAAEVQSESKWKQLGKLALSTGKLAMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVTIWRKDLYKVNPKAAESLADPGEYPNLFEDWELSLSVESKLAETRDVYPPASGYLNHADRSQMTLVEAFRNMQVEDEEPLENGDLYHESAEPNGCNQISEQNGEEGSQEEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGSRSA >KJB70519 pep chromosome:Graimondii2_0_v6:11:7610582:7621200:1 gene:B456_011G077300 transcript:KJB70519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQKSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKIFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPDLPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKQNEIQTVNIKSVGADFEGTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALECVWSADGEYAVRESTSKIKIFSKSFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASETSFYILKYNRDVVQSYLDSGRPVDEEGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVAHFLESRGMVEDALEVATDPDYRFELAIQLGKLEIAKEIAAEVQSESKWKQLGKLALSTGKLAMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVTIWRKDLYKVNPKAAESLADPGEYPNLFEDWELSLSVESKLAETRDVYPPASGYLNHADRSQMTLVEAFRNMQVEDEEPLENGDLYHESAEPNGCNQISEQNGEEGSQEEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGSRSA >KJB70526 pep chromosome:Graimondii2_0_v6:11:7610582:7621200:1 gene:B456_011G077300 transcript:KJB70526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQKSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKIFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPDLPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKQNEIQTVNIKSVGADFEGTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALECVWSADGEYAVRESTSKIKIFSKSFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASETSFYILKYNRDVVQSYLDSGRPVDEEGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVAHFLESRGMVEDALEVATDPDYRFELAIQLGKLEIAKEIAAEVQSESKWKQLGKLALSTGKLAMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVTIWRKDLYKVNPKAAESLADPGEYPNLFEDWELSLSVESKLAETRDVYPPASGYLNHADRSQMTLVEAFRNMQVEDEEPLENGDLYHESAEPNGCNQISEQNGEEGSQEEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGSRSA >KJB70591 pep chromosome:Graimondii2_0_v6:11:8264175:8265459:-1 gene:B456_011G081900 transcript:KJB70591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESMGNPLHLKSLNHISLVCKSVEESMNFYQDILGFAPIRRPGSFDFNGAWLFGYGIGIHLLQSEDPESLPKKKEINPKDNHISFQVISILFIPAYYFIPPWANPISKCEETTSCSLQINIGCI >KJB70590 pep chromosome:Graimondii2_0_v6:11:8263174:8265565:-1 gene:B456_011G081900 transcript:KJB70590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESMGNPLHLKSLNHISLVCKSVEESMNFYQDILGFAPIRRPGSFDFNGAWLFGYGIGIHLLQSEDPESLPKKKEINPKDNHISFQCESMGAVEKKLKEMELEYVRAIVEEGGIYVEQLFFHDPDGFMIEICNCDNLPVIPLAGEMPRSCSRLNLQHMQRQQIQQVVQQ >KJB70665 pep chromosome:Graimondii2_0_v6:11:8931748:8932488:1 gene:B456_011G085900 transcript:KJB70665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSNKLDKLLFFEQARKISEANYTSDPNDADNLTKWAESLLELSQCQCPQDSLKMIQDAIMKLEQALSINPRKHETLWCLGNAQTSLAFLTKTEDEARPYFKQAAKYFLQAVDEDPTNEVYLKSLEISSK >KJB70264 pep chromosome:Graimondii2_0_v6:11:5549785:5550694:-1 gene:B456_011G065500 transcript:KJB70264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRVASFVYLLALTFIVQTAFGADPLFHFCSNSGNFSAYDPYEANLKELTGYLSIQAPPKGFGLGSIGQKPNQAYGLALCRGDVSTPDCKTCVVEAGSEIRKRCPYNKGAIIWYDNCLFKYSNMEFFGQIDNRNRFYMWNLNNVSEPQSFNAKTKELLSELANQAYSNPKMYAVGETELYGSNKLYGLTQCTRDLSSTECKKCLDGIIEELPTCCDGKEGGRVVGGSCNFRYEIYPFVNA >KJB72367 pep chromosome:Graimondii2_0_v6:11:39637220:39639493:-1 gene:B456_011G174400 transcript:KJB72367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTSLLSFSVCLLVLFHGCFAQIDLVTNHHQDQPWGQPQQPQPRHQSQCQLQNLNALQPKHRFRSEAGETEFWDQNEDQFQCAGVAFLRHKIQRKGLLLPSFTSAPMLFYVEQGEGIHGAVFPGCPETYQSQSQQSIQDRPQRDQHQKLRRLKEGDVVALPAGVAHWIFNNGRSQLVLVALVDVGNDANQLDENFRKFFLAGNPQGGVVTGGQSRDRNQRQSRTQRGEREEEESQESGGNNVLSGFRDNLLAQAFGIDTRLARKLQNERDNRGAIVRMEHGFEWPEEGQRRQGREEEGEEEREPKWQRRQESQEEGSEEEEREERGRGRRRSGNGLEETFCSMRLKHRTPASSADVFNPRGGRITTVNSFNLPILQYLQLSAERGVLYNNAIYAPHWNMNAHSIVYITRGNGRIQIVSENGEAIFDEQVERGQVITVPQNHAVVKKAGRRGFEWIAFKTNANAKISQIAGRVSIMRGLPVDVLANSFGISREEAMRLKHNRQEVSVFSPRQGSQQ >KJB68713 pep chromosome:Graimondii2_0_v6:11:49655603:49657910:-1 gene:B456_011G2051001 transcript:KJB68713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g06430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06430) UniProtKB/Swiss-Prot;Acc:Q9SQU6] MASSMSLSFSSSLLSSALLRPEPMPKSKPHPPNSFIVPSAVAGAVSDKKRHWKEGEYPGLSHHSIPGSSKKTPLKNLKKKLDRKNAAKAWVSTVTETLSDCILKKQWLQALQVFEMLREQPFYQPKEGTYMKLLVLLGKSGQPHRARQLFDEMVEEGCEPTPELYTALLAAYCRNNLIDDAFSTLNQMKTLPRCQPDVFTYSTLIKACVDASRFDLVESLYEEMDERLITPNTVTQNIVLSGYGKAGKFDQMEKVLSGMLESSACKPDVWTMNTILSVFGNKGQIDMMERWYEKFRNFGIEPETRSFNILIGAYGKKRMYDKMSSVMEYMRKLQFPWTTSTYNNVIEAFADVGDVKHMEYTFDQMRAEGMKADTKTFCCLINGYANAGLFHKVISTAQLAAKFEIPENTSFYNAVIFACAKAEDLMEMERVFNRMKDKQCPPNELTFSIMVDAYRKEGMNDKIYYLEQLQQELLNNGCLPSQ >KJB68712 pep chromosome:Graimondii2_0_v6:11:49655848:49657836:-1 gene:B456_011G2051001 transcript:KJB68712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g06430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06430) UniProtKB/Swiss-Prot;Acc:Q9SQU6] MASSMSLSFSSSLLSSALLRPEPMPKSKPHPPNSFIVPSAVAGAVSDKKRHWKEGEYPGLSHHSIPGSSKKTPLKNLKKKLDRKNAAKAWVSTVTETLSDCILKKQWLQALQVFEMLREQPFYQPKEGTYMKLLVLLGKSGQPHRARQLFDEMVEEGCEPTPELYTALLAAYCRNNLIDDAFSTLNQMKTLPRCQPDVFTYSTLIKACVDASRFDLVESLYEEMDERLITPNTVTQNIVLSGYGKAGKFDQMEKVLSGMLESSACKPDVWTMNTILSVFGNKGQIDMMERWYEKFRNFGIEPETRSFNILIGAYGKKRMYDKMSSVMEYMRKLQFPWTTSTYNNVIEAFADVGDVKHMEYTFDQMRAEGMKADTKTFCCLINGYANAGLFHKVISTAQLAAKFEIPENTSFYNAVIFACAKAEDLMEMERVFNRMKDKQCPPNELTFSIMVDAYRKEGMNDKIYYLEQLQQELLNNGCLPSQ >KJB69023 pep chromosome:Graimondii2_0_v6:11:259767:264405:1 gene:B456_011G003100 transcript:KJB69023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPISSAPSRTGSFGGAASHSGPIMPTTAAPRAGYTTSGPGPTGGMSGSTSLKKSNSGPLNRHGDPVKRTSGPQSGGLTSSGRQNSGPIPVLPATGLITSGPISSGPLNSSGAPRKVSGPLDTMGSMKVHGSAVNILNHDDDEFSFKRNFPKPILWSLILLFVMGFIAGGFILGAVHNVILLIVVVVLFGTVAALFAWNSCWGRRAIMGFIARYPDAELRNAKNGQLVKISGVVTCGNVPLESSFQKVPRCVYTSTNLYEYRGWDSKAANPKHRRFTWGLRLSERRAVDFYISDFQSGLRALVKTGYGARVTPYVDDSVVIDVDPASETLPPDFIRWLGERNLSSDDRVMRIKEGYIKEGSTVSVMGVVQRNDNVLMIVPPSEPITTGCQCAKCIFPAGLEGIIVRCEDTSKTDVIPV >KJB69020 pep chromosome:Graimondii2_0_v6:11:259503:264405:1 gene:B456_011G003100 transcript:KJB69020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPISSAPSRTGSFGGAASHSGPIMPTTAAPRAGYTTSGPGPTGGMSGSTSLKKSNSGPLNRHGDPVKRTSGPQSGGLTSSGRQNSGPIPVLPATGLITSGPISSGPLNSSGAPRKVSGPLDTMGSMKVHGSAVNILNHDDDEFSFKRNFPKPILWSLILLFVMGFIAGGFILGAVHNVILLIVVVVLFGTVAALFAWNSCWGRRAIMGFIARYPDAELRNAKNGQLVKISGVVTCGNVPLESSFQKVPRCVYTSTNLYEYRGWDSKAANPKHRRFTWGLRLSERRAVDFYISDFQSGLRALVKTGYGARVTPYVDDSVVIDVDPASETLPPDFIRWLGERNLSSDDRVMRIKEGYIKEGSTVSVMGVVQRNDNVLMIVPPSEPITTGCQCAKCIFPAGLEGIIVRCEDTSKTDVIPV >KJB69019 pep chromosome:Graimondii2_0_v6:11:259503:264405:1 gene:B456_011G003100 transcript:KJB69019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPISSAPSRTGSFGGAASHSGPIMPTTAAPRAGYTTSGPGPTGGMSGSTSLKKSNSGPLNRHGDPVKRTSGPQSGGLTSSGRQNSGPIPVLPATGLITSGPISSGPLNSSGAPRKVSGPLDTMGSMKVHGSAVNILNHDDDEFSFKRNFPKPILWSLILLFVMGFIAGGFILGAVHNVILLIVVVVLFGTVAALFAWNSCWGRRAIMGFIARYPDAELRNAKNGQLVKISGVVTCGNVPLESSFQKVPRCVYTSTNLYEYRGWDSKAANPKHRRFTWGLRLSERRAVDFYISDFQSGLRALVKTGYGARVTPYVDDSVVIDVDPASETLPPDFIRWLGERNLSSDDRVMRIKEGYIKEGSTVSVMGVVQRNDNVLMIVPPSEPITTGCQCAKCIFPAGLEGIIVRCEDTSKTDVIPV >KJB69022 pep chromosome:Graimondii2_0_v6:11:259767:264405:1 gene:B456_011G003100 transcript:KJB69022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPISSAPSRTGSFGGAASHSGPIMPTTAAPRAGYTTSGPGPTGGMSGSTSLKKSNSGPLNRHGDPVKRTSGPQSGGLTSSGRQNSGPIPVLPATGLITSGPISSGPLNSSGAPRKVSGPLDTMGSMKVHGSAVNILNHDDDEFSFKRNFPKPILWSLILLFVMGFIAGGFILGAVHNVILLIVVVVLFGTVAALFAWNSCWGRRAIMGFIARYPDAELRNAKNGQLVKISGVVTCGNVPLESSFQKVPRCVYTSTNLYEYRGWDSKAANPKHRRFTWGLRLSEVKACG >KJB69021 pep chromosome:Graimondii2_0_v6:11:262012:262923:1 gene:B456_011G003100 transcript:KJB69021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPISSAPSRTGSFGGAASHSGPIMPTTAAPRAGYTTSGPGPTGGMSGSTSLKKSNSGPLNRHGDPVKRTSGPQSGGLTSSGRQNSGPIPVLPATGLITSGPISSGPLNSSGAPRKVSGPLDTMGSMKVHGSAVNILNHDDDEFSFKRNFPKPILWSLILLFVMGFIAGGFILGAVHNVILLIVVVVLFGTVAALFAWNSCWGRRAIMGFIARYPDAELRNAKNGQLVKISGVCDLMFVY >KJB70579 pep chromosome:Graimondii2_0_v6:11:8146023:8148768:1 gene:B456_011G081000 transcript:KJB70579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELPTFKEKNPQLEVVTELIRGQHPHLKGFCKNKNERVVCVKNMTPEDILLYATRLRNALERKVVKLKTRHVTKHPSVQGTWTTDVKF >KJB70578 pep chromosome:Graimondii2_0_v6:11:8146415:8148728:1 gene:B456_011G081000 transcript:KJB70578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELPTFKEKNPQLEVVTELIRGQHPHLKGFCKNKNERVVCVKNMTPEDILLYATRLRNALERKVVKLKTRHVTKHPSVQGTWTTDVKF >KJB73536 pep chromosome:Graimondii2_0_v6:11:56072946:56077149:1 gene:B456_011G238300 transcript:KJB73536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTAALNASFTSYSLSFNKILTPKNSMAAVSFLASFSPRIHSLSSPFPSLSIKPKRPTNTFQVRSVAAPTEDVAGFDDMVSGKQRKYYMLGGKGGVGKTSCAASLAVKFANNGHHTLVVSTDPAHSLSDSFAQDLTGGMLVPVEGPDFPLFALEINPEKAREEFRDAAKNNGVTGVKDFMDGMGLGMLAEQLGELKLGELLDTPPPGLDEAIAISKVMQFLDSPQYSKFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLREKIASATSAIKSVFGQEETRQNAADKLEQLRERMVKVRDLFRDTDSTEFVIVTIPTVMAVSESSRFRASLSKENVPVRRLIVNQILPPSAFDCKFCAVKRKMRALDMIRNDPELSSLKLIQSPLVDMEIRGVPALKFMGDFVWK >KJB73533 pep chromosome:Graimondii2_0_v6:11:56072946:56076234:1 gene:B456_011G238300 transcript:KJB73533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTAALNASFTSYSLSFNKILTPKNSMAAVSFLASFSPRIHSLSSPFPSLSIKPKRPTNTFQVRSVAAPTEDVAGFDDMVSGKQRKYYMLGGKGGVGKTSCAASLAVKFANNGHHTLVVSTDPAHSLSDSFAQDLTGGMLVPVEGPDFPLFALEINPEKAREEFRDAAKNNGVTGVKDFMDGMGLGMLAEQLGELKLGELLDTPPPGLDEAIAISKVMQFLDSPQYSKFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLREKIASATSAIKSVFGQEETRQNAADKLEQLRERMVKVRDLFRDTDSTEFVIVTIPTVTPFTILIQGSISIADHFSFLYMNITVIICCLQAIGYILPTFA >KJB73534 pep chromosome:Graimondii2_0_v6:11:56072949:56075708:1 gene:B456_011G238300 transcript:KJB73534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTAALNASFTSYSLSFNKILTPKNSMAAVSFLASFSPRIHSLSSPFPSLSIKPKRPTNTFQVRSVAAPTEDVAGFDDMVSGKQRKYYMLGGKGGVGKTSCAASLAVKFANNGHHTLVVSTDPAHSLSDSFAQDLTGGMLVPVEGPDFPLFALEINPEKAREEFRDAAKNNGVTGVKDFMDGMGLGMLAEQLGELKLGELLDTPPPGLDEAIAISKVMQFLDSPQYSKFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLREKIASATSAIKSVFGQEETRQNAADKLEQLRERMVKVRDLFRDTDSTEFVIVTIPTVTPFTILIQGSISIADHFSFLYMNITVIICCLQAIGYILPTFA >KJB73532 pep chromosome:Graimondii2_0_v6:11:56072820:56077193:1 gene:B456_011G238300 transcript:KJB73532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTAALNASFTSYSLSFNKILTPKNSMAAVSFLASFSPRIHSLSSPFPSLSIKPKRPTNTFQVRSVAAPTEDVAGFDDMVSGKQRKYYMLGGKGGVGKTSCAASLAVKFANNGHHTLVVSTDPAHSLSDSFAQDLTGGMLVPVEGPDFPLFALEINPEKAREEFRDAAKNNGVTGVKDFMDGMGLGMLAEQLGELKLGELLDTPPPGLDEAIAISKVMQFLDSPQYSKFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLREKIASATSAIKSVFGQEETRQNAADKLEQLRERMVKVRDLFRDTDSTEFVIVTIPTVMAVSESSRFRASLSKENVPVRRLIVNQILPPSAFDCKFCAVKRKDQMRALDMIRNDPELSSLKLIQSPLVDMEIRGVPALKFMGDFVWK >KJB73535 pep chromosome:Graimondii2_0_v6:11:56072949:56076817:1 gene:B456_011G238300 transcript:KJB73535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTAALNASFTSYSLSFNKILTPKNSMAAVSFLASFSPRIHSLSSPFPSLSIKPKRPTNTFQVRSVAAPTEDVAGFDDMVSGKQRKYYMLGGKGGVGKTSCAASLAVKFANNGHHTLVVSTDPAHSLSDSFAQDLTGGMLVPVEGPDFPLFALEINPEKAREEFRDAAKNNGVTGVKDFMDGMGLGMLAEQLGELKLGELLDTPPPGLDEAIAISKVMQFLDSPQYSKFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLREKIASATSAIKSVFGQEETRQNAADKLEQLRERMVKVRDLFRDTDSTEFVIVTIPTVTPFTILIQGSISIADHFSFLYMNITVIICCLQAIGYILPTFA >KJB73843 pep chromosome:Graimondii2_0_v6:11:58597587:58599030:1 gene:B456_011G256800 transcript:KJB73843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSSVGLGLSIVFCCLFLALIIELYYLLWWKKRLTSTRDIENDYNNPASELFYMFCWKKTALNPQEIRFQDQQQDQDLFFKPFDDGVDDDDAEHDEDGDDDVIGPRRLLFTIIEETKEDLESEEDLNSLMMPPSSSPPPKFKFLQEAEEKLRRKMTFVGQVHNNGGSDSHLSPTLKHLKDEEDGSFITIIVDKNKENRV >KJB73844 pep chromosome:Graimondii2_0_v6:11:58597587:58599030:1 gene:B456_011G256800 transcript:KJB73844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSSVGLGLSIVFCCLFLALIIELYYLLWWKKRLTSTRDIENDYNNPASELFYMFCWKKTALNPQEIRFQDQQQDQDLFFKPFDDGVDDDDAEHDEDGDDDVIGPRRLLFTIIEETKEDLESEEGKSKKGRSLSDVLLNVETPYLTPLASPPFLTPPLTPLEASCYSHHGFNNPLFESTSFADLNSLMMPPSSSPPPKFKFLQEAEEKLRRKMTFVGQVHNNGGSDSHLSPTLKHLKDEEDGSFITIIVDKNKENRV >KJB68955 pep chromosome:Graimondii2_0_v6:11:53667:55965:1 gene:B456_011G000700 transcript:KJB68955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESCLTLFVNALGLLLTLLQALNKLPVNLTVLQMCSIGKSVNLLRTHRNVEIQKKARSLVDTWKKRVQVELDAKYGPNQSVPWSDVAKCGIKHYRPSEVAVKSSATQFSATKIGFVKLFQGGTATKSASAMPVPMKASTLPASARTKARNATIVGTSDPQTITRDEKINSSSQSHNNTLSCSSDHAKMGEIPVKEDARSSAAGSGTVTKISGSSSRHWKFINGLPGPLGVQRETGPCNNSSLHRNSVPGKVPQSSLTCEKAVDALIAEGNGNKFIVKIPNRGFLEDQLVTNSRASSPVLSEKQEQFKHNMKEKSGTYQENVMTDVNNESWQSNDVKDLLTGSDEGESSPAAVPDEEYCRTGEDLKKITEVTKVASSSSNACMPVADGAGMNLLACVAAGEIPKSDVASAIDSPLRNENRR >KJB70974 pep chromosome:Graimondii2_0_v6:11:10907105:10910808:1 gene:B456_011G098200 transcript:KJB70974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MGKRNTIVISSSDDEDYEPSSRLTRSYQKPKSTSSLTRTNPSRAKKPRLPGSRLTKQSSNVDEMRLAFRDFDEVLNGVKVSAGSRRSNPKELWVDKHKPSSLEELAVNKKKVEEVKSWFEERLMAPKYLQDEVGRSSVLILSGQAGVGKSATVQVIASKIGAQLCEWNTPTPTIWQEHVHNSSAGMNYTSKLDEFENFVERVRKYGLISSSLNGNSKSSIILVIDDLPVTNGRSAFERLQRCLIQLVRSTRVPTAILATDCGNADSSDLTARWLEELQLTLESAGASKVAFNPITNNSIKKTLSRICRQELCNVAAEEIDLIARASGGDIRHAITSLQLFCLKPNVELDLSSSNSTPSCPKENANLLNEFSGGFSSQYGRDETLTLFHALGKFLHNKRDTENVVALDQNAFRVGEKFSRLPFKMDSPEKILCQAHGQSRPITDFLHENVLDFVSDEAMDDAWAVASYLGDADMLLATSRRTLNRHSEIGNVLQSAAASVAVRGVLYGNFHPSPSRFYFHALV >KJB70972 pep chromosome:Graimondii2_0_v6:11:10907224:10909702:1 gene:B456_011G098200 transcript:KJB70972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MGKRNTIVISSSDDEDYEPSSRLTRSYQKPKSTSSLTRTNPSRAKKPRLPGSRLTKQSSNVDEMRLAFRDFDEVLNGVKVSAGSRRSNPKELWVDKHKPSSLEELAVNKKKVEEVKSWFEERLMAPKYLQDEVGRSSVLILSGQAGVGKSATVQVIASKIGAQLCEWNTPTPTIWQEHVHNSSAGMNYTSKLDEFENFVERVRKYGLISSSLNGNSKSSIILVIDDLPVTNGRSAFERLQRCLIQLVRSTRVPTAILATDCGNADSSDLTARWLEELQLTLESAGASKVAFNPITNNSIKKTLSRICRQELCNVAAEEIDLIARASGGDIRHAITSLQLFCLKPNVELDLSSSNSTPSCPKENANLLNEFSGGFSSQYGRDETLTLFHALGKFLHNKRDTENVVALDQNAFRVGEKFSRLPFKMDSPEKILCQAHGQSRPITDFLHENGNFFLSSICLCYE >KJB70973 pep chromosome:Graimondii2_0_v6:11:10907105:10910808:1 gene:B456_011G098200 transcript:KJB70973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MGKRNTIVISSSDDEDYEPSSRLTRSYQKPKSTSSLTRTNPSRAKKPRLPGSRLTKQSSNVDEMRLAFRDFDEVLNGVKVSAGSRRSNPKELWVDKHKPSSLEELAVNKKKVEEVKSWFEERLMAPKYLQDEVGRSSVLILSGQAGVGKSATVQVIASKIGAQLCEWNTPTPTIWQEHVHNSSAGMNYTSKLDEFENFVERVRKYGLISSSLNGNSKSSIILVIDDLPVTNGRSAFERLQRCLIQLVRSTRVPTAILATDCGNADSSDLTARWLEELQLTLESAGASKVAFNPITNNSIKKTLSRICRQELCNVAAEEIDLIARASGGDIRHAITSLQLFCLKPNVELDLSSSNSTPSCPKENANLLNEFSGGFSSQYGRDETLTLFHALGKFLHNKRDTENVVALDQNAFRVGEKFSRLPFKMDSPEKILCQAHGQSRPITDFLHENVLDFVSDEAMDDAWAVASYLGDADMLLATSRRTLNRHSEIGNVLQSAAASVAVRGVLYGNFHPSPSRWHAIRKPKLWQIEQSSSHNQVDAKAEVYGRWWIVKLFRSIGYSYRV >KJB70969 pep chromosome:Graimondii2_0_v6:11:10906893:10910766:1 gene:B456_011G098200 transcript:KJB70969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MGKRNTIVISSSDDEDYEPSSRLTRSYQKPKSTSSLTRTNPSRAKKPRLPGSRLTKQSSNVDEMRLAFRDFDEVLNGVKVSAGSRRSNPKELWVDKHKPSSLEELAVNKKKVEEVKSWFEERLMAPKDEVGRSSVLILSGQAGVGKSATVQVIASKIGAQLCEWNTPTPTIWQEHVHNSSAGMNYTSKLDEFENFVERVRKYGLISSSLNGNSKSSIILVIDDLPVTNGRSAFERLQRCLIQLVRSTRVPTAILATDCGNADSSDLTARWLEELQLTLESAGASKVAFNPITNNSIKKTLSRICRQELCNVAAEEIDLIARASGGDIRHAITSLQLFCLKPNVELDLSSSNSTPSCPKENANLLNEFSGGFSSQYGRDETLTLFHALGKFLHNKRDTENVVALDQNAFRVGEKFSRLPFKMDSPEKILCQAHGQSRPITDFLHENVLDFVSDEAMDDAWAVASYLGDADMLLATSRRTLNRHSEIGNVLQSAAASVAVRGVLYGNFHPSPSRWHAIRKPKLWQIEQSSSHNQNEMLRQRFMEDGGSSSFSEVSVIATEYRPVLKWVGYRKAGDVETHGSEDESLKGMSIDEEESEISDDDDIEDW >KJB70971 pep chromosome:Graimondii2_0_v6:11:10906893:10910978:1 gene:B456_011G098200 transcript:KJB70971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MGKRNTIVISSSDDEDYEPSSRLTRSYQKPKSTSSLTRTNPSRAKKPRLPGSRLTKQSSNVDEMRLAFRDFDEVLNGVKVSAGSRRSNPKELWVDKHKPSSLEELAVNKKKVEEVKSWFEERLMAPKYLQDEVGRSSVLILSGQAGVGKSATVQVIASKIGAQLCEWNTPTPTIWQEHVHNSSAGMNYTSKLDEFENFVERVRKYGLISSSLNGNSKSSIILVIDDLPVTNGRSAFERLQRCLIQLVRSTRVPTAILATDCGNADSSDLTARWLEELQLTLESAGASKVAFNPITNNSIKKTLSRICRQELCNVAAEEIDLIARASGGDIRHAITSLQLFCLKPNVELDLSSSNSTPSCPKENANLLNEFSGGFSSQYGRDETLTLFHALGKFLHNKRDTENVVALDQNAFRVGEKFSRLPFKMDSPEKILCQAHGQSRPITDFLHENVLDFVSDEAMDDAWAVASYLGDADMLLATSRRTLNRHSEIGNVLQSAAASVAVRGVLYGNFHPSPSRWHAIRKPKLWQIEQSSSHNQNEMLRQRFMEDGGSSSFSEVSVIATEYRPVLKWVGYRKAGDVETHGSEDESLKGMSIDEEESEISDDDDIEDW >KJB70970 pep chromosome:Graimondii2_0_v6:11:10906994:10912122:1 gene:B456_011G098200 transcript:KJB70970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MGKRNTIVISSSDDEDYEPSSRLTRSYQKPKSTSSLTRTNPSRAKKPRLPGSRLTKQSSNVDEMRLAFRDFDEVLNGVKVSAGSRRSNPKELWVDKHKPSSLEELAVNKKKVEEVKSWFEERLMAPKYLQDEVGRSSVLILSGQAGVGKSATVQVIASKIGAQLCEWNTPTPTIWQEHVHNSSAGMNYTSKLDEFENFVERVRKYGLISSSLNGNSKSSIILVIDDLPVTNGRSAFERLQRCLIQLVRSTRVPTAILATDCGNADSSDLTARWLEELQLTLESAGASKVAFNPITNNSIKKTLSRICRQELCNVAAEEIDLIARASGGDIRHAITSLQLFCLKPNVELDLSSSNSTPSCPKENANLLNEFSGGFSSQYGRDETLTLFHALGKFLHNKRDTENVVALDQNAFRVGEKFSRLPFKMDSPEKILCQAHGQSRPITDFLHENVLDFVSDEAMDDAWAVASYLGDADMLLATSRRTLNRHSEIGNVLQSAAASVAVRGVLYGNFHPSPSRWHAIRKPKLWQIEQSSSHNQNEMLRQRFMEDGGSSSFSEVSVIATEYRPVLKWVGYRKAGDVETHGSEDESLKGMSIDEEESEISDDDDIEDW >KJB73970 pep chromosome:Graimondii2_0_v6:11:59589660:59591567:1 gene:B456_011G264700 transcript:KJB73970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTNREMALKSSNEMENKELKNDRKLDCSLIYEINCRKEQLSQMEREYNEMTATLGGLINGLIAKINSKDSNLWGW >KJB73971 pep chromosome:Graimondii2_0_v6:11:59589660:59592171:1 gene:B456_011G264700 transcript:KJB73971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTNREMALKSSNEMENKELKNDRKLDCSLIYEINCRKEQLSQMEREYNEMTATLGGLINGLIAKINSKDSNLWGW >KJB72042 pep chromosome:Graimondii2_0_v6:11:27024254:27028553:-1 gene:B456_011G155400 transcript:KJB72042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLGILTALFFLFSSSTSLHPSSQTNLQNHCLDDQRSALLQLQHHLYYAPNFTFSSKLELWDPNTQCCSWKGVTCDALGHVIGIDLSYQNLSGSFYSIFNLHHLQRLNLAGNNFNTTLFQYGFGKLPNLTHLDLSASCFHDQIPVGISYLTRLVSLNLSYQDDCYWRNDQSSASLELEKPNFKILIKKMRSLRELYLDGVNISSQSSEWCETTSLSLPKLSVLSMSNCDLNGHFPAEFFLLPKMQRIDISDNSRLMGQLPEFPINHTLEVLSLQYTNFSGKLPESVSNLKLLRVLTLSKLSLNFNSISGSIPPSIANLSNLVELDLSGNNFNGLIPPFHRSGVPNLAYLDLSRNRLSGSIPSSLFTLSTLQTLSLGYNSFSDYQLKLDMFFQLNNLRFLDLSNMTLPIGSHNKSLTFPQLEGLALRSCNLTEFPEFIKSQNKLTSLHLSNNRIHGLIPNWLWKTTLIWVDLSSNRIDIPNQIAFDDAISSFPMLRWLHLQSCNISTFPAFLKSQESLEDLDLSNNKISGAVPNWVWKKSLQSLNLSNNSLTSLDQFSSNQDSLRAPICNLSQLQSFDASFNKLSGSIPSCLGNINTLSFLYLQQNNFSGSIPDFGGATQLYALKLKDNKLEGKLPRSLANCTMLYAVNLGNNTLHDTFPLWLGKLPGLMVLILRANRFYGPIKHLENNFPKLDVLDIASNNFSGQLAIEFFQATHKLRSLKINGNNLEGKLPRSLANCKKLEVLDLGKNMIHDTFPYWLVKLPLLKVLILRSNRFYGSIKFFEDGNAFPMLHILDLAYNNFSGEVSVDFFQSLRGMMVIDGNKVKPSYVGDNYYYKDSVTIVNRGFEIFYQKILTLLTCLDLSNNNFHGRILEEVQDLKSLHVLNLSYNGLFGPIPSALGSLTELESLDLSRNSFSGKIPPQLTSLTFLAVLNLSYNQLDGRIPESNQFGTFSNDSYIGNPRLCGVPLTRKCNEVGSKMLPPKEDEDLWIDGLSVWKVVLMGYGCGLVIGFSIGYTVLNEFRNKWIAIFIQNWNQKRRRSK >KJB72041 pep chromosome:Graimondii2_0_v6:11:27024077:27028553:-1 gene:B456_011G155400 transcript:KJB72041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLGILTALFFLFSSSTSLHPSSQTNLQNHCLDDQRSALLQLQHHLYYAPNFTFSSKLELWDPNTQCCSWKGVTCDALGHVIGIDLSYQNLSGSFYSIFNLHHLQRLNLAGNNFNTTLFQYGFGKLPNLTHLDLSASCFHDQIPVGISYLTRLVSLNLSYQDDCYWRNDQSSASLELEKPNFKILIKKMRSLRELYLDGVNISSQSSEWCETTSLSLPKLSVLSMSNCDLNGHFPAEFFLLPKMQRIDISDNSRLMGQLPEFPINHTLEVLSLQYTNFSGKLPESVSNLKLLRVLTLSKLSLNFNSISGSIPPSIANLSNLVELDLSGNNFNGLIPPFHRSGVPNLAYLDLSRNRLSGSIPSSLFTLSTLQTLSLGYNSFSDYQLKLDMFFQLNNLRFLDLSNMTLPIGSHNKSLTFPQLEGLALRSCNLTEFPEFIKSQNKLTSLHLSNNRIHGLIPNWLWKTTLIWVDLSSNRIDIPNQIAFDDAISSFPMLRWLHLQSCNISTFPAFLKSQESLEDLDLSNNKISGAVPNWVWKKSLQSLNLSNNSLTSLDQFSSNQDSLRAPICNLSQLQSFDASFNKLSGSIPSCLGNINTLSFLYLQQNNFSGSIPDFGGATQLYALKLKDNKLEGKLPRSLANCTMLYAVNLGNNTLHDTFPLWLGKLPGLMVLILRANRFYGPIKHLENNFPKLDVLDIASNNFSGQLAIEFFQATHKLRSLKINGNNLEGKLPRSLANCKKLEVLDLGKNMIHDTFPYWLVKLPLLKVLILRSNRFYGSIKFFEDGNAFPMLHILDLAYNNFSGEVSVDFFQSLRGMMVIDGNKVKPSYVGDNYYYKDSVTIVNRGFEIFYQKILTLLTCLDLSNNNFHGRILEEVQDLKSLHVLNLSYNGLFGPIPSALGSLTELESLDLSRNSFSGKIPPQLTSLTFLAVLNLSYNQLDGRIPESNQFGTFSNDSYIGNPRLCGVPLTRKCNEVGSKMLPPKEDEDLWIDGLSVWKVVLMGYGCGLVIGFSIGYTVLNEFRNKWIAIFIQNWNQKRRRSK >KJB73802 pep chromosome:Graimondii2_0_v6:11:58180756:58182035:-1 gene:B456_011G253300 transcript:KJB73802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPTHFGWQIELNNPNNLSHHQPTPSTFFVKTLNLISVNHLSNEAASCMVSKFELLENLKIIGCHGLESMSIASNTKLLSLTIFDCPHLKSLHIRSYKLRTLLYRGKFPWFLPEFHFNLGNAMLDSRQGPAYNTFKTCDFDRVLLTIKNSEILTLCKWTFEIDYNSYVMPNATKCSEQVGRYTKLQHLGGLKLEGFDNQEDEILLAERLQDVSEA >KJB69968 pep chromosome:Graimondii2_0_v6:11:4082693:4090253:-1 gene:B456_011G052300 transcript:KJB69968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLMMKPPLSSSKGLLFFYLVVLFSCSQSIDAQTNQTNATTDPSEVRALISIFQQWDLQAPDTWNISGEPCSGTALTTSFSEFEDPSNNPAIRCDCSFNASTVCHITSLRVFGLDKRGVIPDEILELPYLDFLKIDKNFFSGSLPAFIGNLSRLGLLSIAQNNFSGPIPKEIGNLKKLYLLSLGNNDLSGTLPPELGNLVELGELYINSCGLSAEIPSSFANLKEMRIVWASDNAFTGKIPDFVGNWTKLTQLRFEGNSFEGPIPSSFSNLTSLNSLRIGDIYNGSSSSLDFVRNLKNLTDLVLRNVLLTGNFPSYITELQSLQKLDLSFNNLTGQIPSTLFNMNSLIYLFLGNNSLSGSIPSQKSETLQTIDLSYNFLSGNLPSWVNSRLQLNFVANNFTLNSSNIRVLPGLECLQRSFPCFRNAPRYANFSLNCGGPAIIADGIQFEAENRTLGPAKFNVTSTQKWAVSNAGLFADRQNQQFVENNGGQVRSTNTPELYETSRISPGSLRYYGLGLENGPYTVRLFFAETGFPERTSGSWRSLARRVFDVYIQGARRLRDFDISKEAGGVQRAISRNFTTNVTANHLEIHLFWAGKGTSGTPEDGYYGPSISAISVVPNFIPTVSGIPPSNPKEKNHTALIAGVTVPVVALALILIFAIIYVKRKKEDDDEEVLLGISPRPNTFTYSELKAATEDFSPSNKLGEGGFGPVYKGTLSDGRVVAVKQLSVASNQGKDQFVAEIATISAVQHRNLVKLLGCCIGGNRRLLVYEYLVNKSLDQALWGKQDLHLDWPTRFNICLSTARGLAYLHEESMPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHITTRAAGTIGYLAPEYAMRGHLTEKVDVFGFGVLALEIISGRPNSYNSVENDRIYLLEWAWTLHENNQLLSLLDPKLVEFDEDEALRMIRVALLCIQASPSMRPPMSRVVGMLAGDIEVTNVTTKPSYITDWDFKDVTGTFMDESQTSIPSDHSGSDIKSKNKIISDADDQPVLSPLNISGFRESFGEGR >KJB69970 pep chromosome:Graimondii2_0_v6:11:4082495:4090238:-1 gene:B456_011G052300 transcript:KJB69970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPPLSSSKGLLFFYLVVLFSCSQSIDAQTNQTNATTDPSEVRALISIFQQWDLQAPDTWNISGEPCSGTALTTSFSEFEDPSNNPAIRCDCSFNASTVCHITSLRVFGLDKRGVIPDEILELPYLDFLKIDKNFFSGSLPAFIGNLSRLGLLSIAQNNFSGPIPKEIGNLKKLYLLSLGNNDLSGTLPPELGNLVELGELYINSCGLSAEIPSSFANLKEMRIVWASDNAFTGKIPDFVGNWTKLTQLRFEGNSFEGPIPSSFSNLTSLNSLRIGDIYNGSSSSLDFVRNLKNLTDLVLRNVLLTGNFPSYITELQSLQKLDLSFNNLTGQIPSTLFNMNSLIYLFLGNNSLSGSIPSQKSETLQTIDLSYNFLSGNLPSWVNSRLQLNFVANNFTLNSSNIRVLPGLECLQRSFPCFRNAPRYANFSLNCGGPAIIADGIQFEAENRTLGPAKFNVTSTQKWAVSNAGLFADRQNQQFVENNGGQVRSTNTPELYETSRISPGSLRYYGLGLENGPYTVRLFFAETGFPERTSGSWRSLARRVFDVYIQGARRLRDFDISKEAGGVQRAISRNFTTNVTANHLEIHLFWAGKGTSGTPEDGYYGPSISAISVVPNFIPTVSGIPPSNPKEKNHTALIAGVTVPVVALALILIFAIIYVKRKKEDDDEEVLLGISPRPNTFTYSELKAATEDFSPSNKLGEGGFGPVYKVNDVKHF >KJB69969 pep chromosome:Graimondii2_0_v6:11:4082483:4085666:-1 gene:B456_011G052300 transcript:KJB69969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMADANFSLNCGGPAIIADGIQFEAENRTLGPAKFNVTSTQKWAVSNAGLFADRQNQQFVENNGGQVRSTNTPELYETSRISPGSLRYYGLGLENGPYTVRLFFAETGFPERTSGSWRSLARRVFDVYIQGARRLRDFDISKEAGGVQRAISRNFTTNVTANHLEIHLFWAGKGTSGTPEDGYYGPSISAISVVPNFIPTVSGIPPSNPKEKNHTALIAGVTVPVVALALILIFAIIYVKRKKEDDDEEVLLGISPRPNTFTYSELKAATEDFSPSNKLGEGGFGPVYKGTLSDGRVVAVKQLSVASNQGKDQFVAEIATISAVQHRNLVKLLGCCIGGNRRLLVYEYLVNKSLDQALWGKQDLHLDWPTRFNICLSTARGLAYLHEESMPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHITTRAAGTIGYLAPEYAMRGHLTEKVDVFGFGVLALEIISGRPNSYNSVENDRIYLLEWAWTLHENNQLLSLLDPKLVEFDEDEALRMIRVALLCIQASPSMRPPMSRVVGMLAGDIEVTNVTTKPSYITDWDFKDVTGTFMDESQTSIPSDHSGSDIKSKNKIISDADDQPVLSPLNISGFRESFGEGR >KJB69967 pep chromosome:Graimondii2_0_v6:11:4082466:4090580:-1 gene:B456_011G052300 transcript:KJB69967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLMMKPPLSSSKGLLFFYLVVLFSCSQSIDAQTNQTNATTDPSEVRALISIFQQWDLQAPDTWNISGEPCSGTALTTSFSEFEDPSNNPAIRCDCSFNASTVCHITSLRVFGLDKRGVIPDEILELPYLDFLKIDKNFFSGSLPAFIGNLSRLGLLSIAQNNFSGPIPKEIGNLKKLYLLYINSCGLSAEIPSSFANLKEMRIVWASDNAFTGKIPDFVGNWTKLTQLRFEGNSFEGPIPSSFSNLTSLNSLRIGDIYNGSSSSLDFVRNLKNLTDLVLRNVLLTGNFPSYITELQSLQKLDLSFNNLTGQIPSTLFNMNSLIYLFLGNNSLSGSIPSQKSETLQTIDLSYNFLSGNLPSWVNSRLQLNFVANNFTLNSSNIRVLPGLECLQRSFPCFRNAPRYANFSLNCGGPAIIADGIQFEAENRTLGPAKFNVTSTQKWAVSNAGLFADRQNQQFVENNGGQVRSTNTPELYETSRISPGSLRYYGLGLENGPYTVRLFFAETGFPERTSGSWRSLARRVFDVYIQGARRLRDFDISKEAGGVQRAISRNFTTNVTANHLEIHLFWAGKGTSGTPEDGYYGPSISAISVVPNFIPTVSGIPPSNPKEKNHTALIAGVTVPVVALALILIFAIIYVKRKKEDDDEEVLLGISPRPNTFTYSELKAATEDFSPSNKLGEGGFGPVYKGTLSDGRVVAVKQLSVASNQGKDQFVAEIATISAVQHRNLVKLLGCCIGGNRRLLVYEYLVNKSLDQALWGKQDLHLDWPTRFNICLSTARGLAYLHEESMPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHITTRAAGTIGYLAPEYAMRGHLTEKVDVFGFGVLALEIISGRPNSYNSVENDRIYLLEWAWTLHENNQLLSLLDPKLVEFDEDEALRMIRVALLCIQASPSMRPPMSRVVGMLAGDIEVTNVTTKPSYITDWDFKDVTGTFMDESQTSIPSDHSGSDIKSKNKIISDADDQPVLSPLNISGFRESFGEGR >KJB69971 pep chromosome:Graimondii2_0_v6:11:4084378:4090238:-1 gene:B456_011G052300 transcript:KJB69971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPPLSSSKGLLFFYLVVLFSCSQSIDAQTNQTNATTDPSEVRALISIFQQWDLQAPDTWNISGEPCSGTALTTSFSEFEDPSNNPAIRCDCSFNASTVCHITSLRVFGLDKRGVIPDEILELPYLDFLKIDKNFFSGSLPAFIGNLSRLGLLSIAQNNFSGPIPKEIGNLKKLYLLSLGNNDLSGTLPPELGNLVELGELYINSCGLSAEIPSSFANLKEMRIVWASDNAFTGKIPDFVGNWTKLTQLRFEGNSFEGPIPSSFSNLTSLNSLRIGDIYNGSSSSLDFVRNLKNLTDLVLRNVLLTGNFPSYITELQSLQKLDLSFNNLTGQIPSTLFNMNSLIYLFLGNNSLSGSIPSQKSETLQTIDLSYNFLSGNLPSWVNSRLQLNFVANNFTLNSSNIRVLPGLECLQRSFPCFRNAPRYANFSLNCGGPAIIADGIQFEAENRTLGPAKFNVTSTQKWAVSNAGLFADRQNQQFVENNGGQVRSTNTPELYETSRISPGSLRYYGLGLENGPYTVRLFFAETGFPERTSGSWRSLARRVFDVYIQGARRLRDFDISKEAGGVQRAISRNFTTNVTANHLEIHLFWAGKGTSGTPEDGYYGPSISAISVVPNFIPTVSGIPPSNPKEKNHTALIAGVTVPVVALALILIFAIIYVKRKKEDDDEEGKRISLL >KJB73556 pep chromosome:Graimondii2_0_v6:11:56199456:56201822:1 gene:B456_011G238700 transcript:KJB73556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARIRARVNLALTFFALLFFVAGSSPPRQFVISNAERRIDLSSHIINVHLTLKVENAGTRSASEVVLALTPTEVDHLAMVDASAIKGKRKKTTSVRLEVKPTELPDAPPIDTKYFTIYLANPLNSGESTTLEVLYVFTHFLEPFPAEIAQSESQLVFYHDTALILSPYHIKQQTTFIKTPSTKVESFIRMEPTNRVGTEIKYGPYEDRPPYSISPIHVHFENNSPFAVVEELVQEIEISHWGNIQVTEHYKLIHAGARHKGVFSRVDYQSRQSSNGASSFRYLLARLPPRVHSVYYRDEIGNISSSHLRTDSLKVFRYVFLLFSLVPCSKKLF >KJB73558 pep chromosome:Graimondii2_0_v6:11:56199456:56203930:1 gene:B456_011G238700 transcript:KJB73558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARIRARVNLALTFFALLFFVAGSSPPRQFVISNAERRIDLSSHIINVHLTLKVENAGTRSASEVVLALTPTEVDHLAMVDASAIKGKRKKTTSVRLEVKPTELPDAPPIDTKYFTIYLANPLNSGESTTLEVLYVFTHFLEPFPAEIAQSESQLVFYHDTALILSPYHIKQQTTFIKTPSTKVESFIRMEPTNRVGTEIKYGPYEDRPPYSISPIHVHFENNSPFAVVEELVQEIEISHWGNIQVTEHYKLIHAGARHKGVFSRVDYQSRQSSNGASSFRYLLARLPPRVHSVYYRDEIGNISSSHLRTDSLKSELQIEPRYPLFGGCATRGVNRPFPRGSLPCGASS >KJB73555 pep chromosome:Graimondii2_0_v6:11:56199387:56204304:1 gene:B456_011G238700 transcript:KJB73555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARIRARVNLALTFFALLFFVAGSSPPRQFVISNAERRIDLSSHIINVHLTLKVENAGTRSASEVVLALTPTEVDHLAMVDASAIKGKRKKTTSVRLEVKPTELPDAPPIDTKYFTIYLANPLNSGESTTLEVLYVFTHFLEPFPAEIAQSESQLVFYHDTALILSPYHIKQQTTFIKTPSTKVESFIRMEPTNRVGTEIKYGPYEDRPPYSISPIHVHFENNSPFAVVEELVQEIEISHWGNIQVTEHYKLIHAGARHKGVFSRVDYQSRQSSNGASSFRYLLARLPPRVHSVYYRDEIGNISSSHLRTDSLKSELQIEPRYPLFGGWKATFVIGYGLPLQDFLFESSDDKRYLNFTFGCPLIGMVVDKLTIKVVLPEGSTDPSPVVPFPVEHHLEAKYSSLDVVGRTVVVLEKKNFVPMHNSHFQVYYSFKPIFMLAEPLMLASAFFMLFVACVAYIHIDLSIRK >KJB73557 pep chromosome:Graimondii2_0_v6:11:56199456:56203879:1 gene:B456_011G238700 transcript:KJB73557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARIRARVNLALTFFALLFFVAGSSPPRQFVISNAERRIDLSSHIINVHLTLKVENAGTRSASEVVLALTPTEVDHLAMVDASAIKGKRKKTTSVRLEVKPTELPDAPPIDTKYFTIYLANPLNSGESTTLEVLYVFTHFLEPFPAEIAQSESQLVFYHDTALILSPYHIKQQTTFIKTPSTKVESFIRMEPTNRVGTEIKYGPYEDRPPYSISPIHVHFENNSPFAVVEELVQEIEISHWGNIQVTEHYKLIHAGARHKGVFSRVDYQSRQSSNGASSFRYLLARLPPRVHSVYYRDEIGNISSSHLRTDSLKSELQIEPRYPLFGGWKATFVIGYGLPLQDFLFESSDDKRYLNFTFGCPLIGMVVDKLTIKVGLVE >KJB73912 pep chromosome:Graimondii2_0_v6:11:59152384:59154991:1 gene:B456_011G260600 transcript:KJB73912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNSPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDYEQELETSKTDSSVEKTYELPDGQIITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGISDRMTKEISSLAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KJB73914 pep chromosome:Graimondii2_0_v6:11:59152400:59154990:1 gene:B456_011G260600 transcript:KJB73914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNSPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDYEQELETSKTDSSVEKTYELPDGQIITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGISDRMTKEISSLAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KJB73913 pep chromosome:Graimondii2_0_v6:11:59153049:59154582:1 gene:B456_011G260600 transcript:KJB73913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNSPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDYEQELETSKTDSSVEKTYELPDGQIITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGISDRMTKEISSLAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQVS >KJB69782 pep chromosome:Graimondii2_0_v6:11:3118913:3123206:-1 gene:B456_011G042100 transcript:KJB69782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPRILLFATFLLLLSFWVDLCHQADDEEEEDDEQGFLEALIQNSLNRPRSSPADSRRSCYPFRLIHVGSRQKIVILVTVLVFLLMMTFAVLIWIGMEENPIDSSTVSRVYVDLFATAILLLGAALACYGLLLCRKMRNVRSERASSEIWKVAGLAIVSVLSFTSSALVALFTDIPVLYHWHELHIDGVYTSLLLILYYFIGSSVPSAFVLWVMRELPPMTIANTQEESTTVTFITDSSAQIRRPQNWTTSASSQNQVSRGSPI >KJB69781 pep chromosome:Graimondii2_0_v6:11:3118826:3125957:-1 gene:B456_011G042100 transcript:KJB69781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEEKGWSCSFPEELVGLNLVFACFDVLIAILAFTQLARIRSRNKQLGWTRQKVFHILIGTTNTGYFIYFVLSLVAACRGWLCWSYSCGFVAMAFPRILLFATFLLLLSFWVDLCHQADDEEEEDDEQGFLEALIQNSLNRPRSSPADSRRSCYPFRLIHVGSRQKIVILVTVLVFLLMMTFAVLIWIGMEENPIDSSTVSRVYVDLFATAILLLGAALACYGLLLCRKMRNVRSERASSEIWKVAGLAIVSVLSFTSSALVALFTDIPVLYHWHELHIDGVYTSLLLILYYFIGSSVPSAFVLWVMRELPPMTIANTQEESTTVTFITDSSAQIRRPQNWTTSASSQNQVSRGSPI >KJB69783 pep chromosome:Graimondii2_0_v6:11:3118913:3125715:-1 gene:B456_011G042100 transcript:KJB69783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEEKGWSCSFPEELVGLNLVFACFDVLIAILAFTQLARIRSRNKQLGWTRQKADDEEEEDDEQGFLEALIQNSLNRPRSSPADSRRSCYPFRLIHVGSRQKIVILVTVLVFLLMMTFAVLIWIGMEENPIDSSTVSRVYVDLFATAILLLGAALACYGLLLCRKMRNVRSERASSEIWKVAGLAIVSVLSFTSSALVALFTDIPVLYHWHELHIDGVYTSLLLILYYFIGSSVPSAFVLWVMRELPPMTIANTQEESTTVTFITDSSAQIRRPQNWTTSASSQNQVSRGSPI >KJB73745 pep chromosome:Graimondii2_0_v6:11:57384070:57385518:-1 gene:B456_011G247900 transcript:KJB73745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANTVSLKLLVESTSQRVLFAEAGKDFVDFLFNILSLPVGTVIWLLKKQEMVGCLGNLYDSLETMNYTYIQPTANKDTLLKPITSINAANVPPLLPTTESSKSIEIYRCDNSYSSRSCGLYVSYDSKSICPSCNKNVKQIATVVNPEKKDSSTDEGGYVKGVIKYMIMDDLVVRPMSAISCITLLNRFSIKDVGVLEEKTIDVGVDEGVKLLKASLQSKTVLTDVFIQKKVGETDASNSAGEVHSIEI >KJB69215 pep chromosome:Graimondii2_0_v6:11:783546:786768:-1 gene:B456_011G011100 transcript:KJB69215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENETQSLLPKNRSSNPSYSTPEGLELRSFRLGLNWFCLDQSNLWKVSLSWSFFFVLAIGVPIISHYVLLCSNCDEKHRRPYDAVVQLSLSSFAAVSFISLSSWARKYGIRKFLFLDKLCDVSDKVRKGYAEELQKSMKLLCVFVLPCLAAESAYRIWWYATGASQIPYLGNMYVSDIIVCALQLASWLYRTSIFILACIFYQLTCHLQILRLEDFAQVFQKETEVRSILAEHLRIRRNLRIISHRFRLFLLLSLVLVTASQFFALLMTTRTTTAVNIYEVGELALCSISLVTGLFICLRSATKITHRAQAITGLAAKWHVCATINSFDEADGETPMAQIVSPQMYPVDVDWESDEEDEGDDLDNTKLVPIFAHTVSFQKRQALVTYLEHNRAGITVYGFMVDRTGIHTIFGIELALLLWLLNKTIVNLT >KJB69214 pep chromosome:Graimondii2_0_v6:11:783546:786738:-1 gene:B456_011G011100 transcript:KJB69214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENETQSLLPKNRSSNPSYSTPEGLELRSFRLGLNWFCLDQSNLWKVSLSWSFFFVLAIGVPIISHYVLLCSNCDEKHRRPYDAVVQLSLSSFAAVSFISLSSWARKYGIRKFLFLDKLCDVSDKVRKGYAEELQKSMKLLCVFVLPCLAAESAYRIWWYATGASQIPYLGNMYVSDIIVCALQLASWLYRTSIFILACIFYQLTCHLQILRLEDFAQVFQKETEVRSILAEHLRIRRNLRIISHRFRLFLLLSLVLVTASQFFALLMTTRTTTAVNIYEVGELALCSISLVTGLFICLRSATKITHRAQAITGLAAKWHVCATINSFDEADGETPMAQIVSPQMYPVDVDWESDEEDEGDDLDNTKLVPIFAHT >KJB69216 pep chromosome:Graimondii2_0_v6:11:784488:786738:-1 gene:B456_011G011100 transcript:KJB69216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENETQSLLPKNRSSNPSYSTPEGLELRSFRLGLNWFCLDQSNLWKVSLSWSFFFVLAIGVPIISHYVLLCSNCDEKHRRPYDAVVQLSLSSFAAVSFISLSSWARKYGIRKFLFLDKLCDVSDKVRKGYAEELQKSMKLLCVFVLPCLAAESAYRIWWYATGASQIPYLGNMYVSDIIVCALQLASWLYRTSIFILACIFYQLTCHLQILRLEDFAQVFQKETEVRSILAEHLRIRRNLRIISHRFRLFLLLSLVLVTASQFFALLMTTRTTTAVNIYEVGELALCSISLVTGLFICLRSATKITHRAQAITGLAAKWHVCATINSFDEADGETPMAQIVSPQMYPVDVDWESDEEDEGDDLDNTKLVPIFAHTVSFQKRQALG >KJB72931 pep chromosome:Graimondii2_0_v6:11:49581143:49586771:-1 gene:B456_011G204700 transcript:KJB72931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGRRYLPNQQLDLQQILQEAQHRWLRPVEVCEILGNYTKFRLSDKPPSRPSAGSLYLFDRKTIRYFRKDGHDWRKKKDGKTVREAHEKLKIGSVDVLHCYYAHGQFNENFQRRCYWMLDGQFEHIVFVHYREVKEGYRSGISCLLADPGSLSESLQTGSTPSPAHENSPAATIQVSPASTSKIGWNRKTLSSEFEDVDSGDDPSSDAPDQPIYGSKSCTASVEPEVAESGRNPPGSWLGESNFNHNTVYGSSFWPGSHHLATNNISMLDHKLYVEQPTTSDFIIKEAQVRLHDVSDAVTCGDKLINDGDVQAVGEYPEKLIQELQGHDFNFIGLQSQNYSGPQKVVSTSMQNEKEPKGIDKNNDEPGELKKLDSFGRWMDKEIGGDCDDSLMASDSANYWSTLNTETDDKEVSSLSRHMQLDIDSLGPSLSQEQLFSIVDFSPDWAYSGAGTKVLLVGNFLKNKELPSAAKWGCMFGEIEVSAEVLTKNVIRCQVPSHVPGRVPFYITCSNRLACSEVREFEYREKPPGFSFFTAVKCTAQEEMHLQVCLAKLLHTGPGRKWLDCSVEECDKCKLKSSICSMGEASANDCIQSKEGLILNLLKQKLSQWLIQKVHEDGKGPLILDDKGHGVIHLAASLGYEWAMNPIVAAGISPNFRDAKGRTALHWASYFGREETVIALIKLGASPGAVDDPTPNFPGGRTAADLASSRGHKGIAGYLAEANLTTHLSSLTVNQNVVGNDAATKPAQEAIETPSEVAPSNRTLDDNCSLKGSLAAVRKSAHAAALIQAAFRTRSAHFRQLTKGNDDMSEISLELGILGSLNRLQKTSHFGDYLHTAASKIQQKYRGWKGRKEFLKIRNRIVKIQAHVRGHQVRRQYTKLVWSVGLVEKIILRWRRKGAGLRGFRVQTATNKTVAGIEIDDEYEFLQVGQQQKVDGIEKALARVKSMARDQEAREQYMRLTTKFGESKDSD >KJB72932 pep chromosome:Graimondii2_0_v6:11:49581487:49586771:-1 gene:B456_011G204700 transcript:KJB72932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGRRYLPNQQLDLQQILQEAQHRWLRPVEVCEILGNYTKFRLSDKPPSRPSAGSLYLFDRKTIRYFRKDGHDWRKKKDGKTVREAHEKLKIGSVDVLHCYYAHGQFNENFQRRCYWMLDGQFEHIVFVHYREVKEGYRSGISCLLADPGSLSESLQTGSTPSPAHENSPAATIQVSPASTSKIGWNRKTLSSEFEDVDSGDDPSSDAPDQPIYGSKSCTASVEPEVAGFPESGRNPPGSWLGESNFNHNTVYGSSFWPGSHHLATNNISMLDHKLYVEQPTTSDFIIKEAQVRLHDVSDAVTCGDKLINDGDVQAVGEYPEKLIQELQGHDFNFIGLQSQNYSGPQKVVSTSMQNEKEPKGIDKNNDEPGELKKLDSFGRWMDKEIGGDCDDSLMASDSANYWSTLNTETDDKEVSSLSRHMQLDIDSLGPSLSQEQLFSIVDFSPDWAYSGAGTKVLLVGNFLKNKELPSAAKWGCMFGEIEVSAEVLTKNVIRCQVPSHVPGRVPFYITCSNRLACSEVREFEYREKPPGFSFFTAVKCTAQEEMHLQVCLAKLLHTGPGRKWLDCSVEECDKCKLKSSICSMGEASANDCIQSKEGLILNLLKQKLSQWLIQKVHEDGKGPLILDDKGHGVIHLAASLGYEWAMNPIVAAGISPNFRDAKGRTALHWASYFGREETVIALIKLGASPGAVDDPTPNFPGGRTAADLASSRGHKGIAGYLAEANLTTHLSSLTVNQNVVGNDAATKPAQEAIETPSEVAPSNRTLDDNCSLKGSLAAVRKSAHAAALIQAAFRTRSAHFRQLTKGNDDMSEISLELGILGSLNRLQKTSHFGDYLHTAASKIQQKYRGWKGRKEFLKIRNRIVKIQAHVRGHQVRRQYTKLVWSVGLVEKIILRWRRKGAGLRGFRVQTATNKTVAGIEIDDEYEFLQVGQQQKVDGIEKALARVKSMARDQEAREQYMRLTTKFGESKVNFQLLL >KJB72934 pep chromosome:Graimondii2_0_v6:11:49581875:49586771:-1 gene:B456_011G204700 transcript:KJB72934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGRRYLPNQQLDLQQILQEAQHRWLRPVEVCEILGNYTKFRLSDKPPSRPSAGSLYLFDRKTIRYFRKDGHDWRKKKDGKTVREAHEKLKIGSVDVLHCYYAHGQFNENFQRRCYWMLDGQFEHIVFVHYREVKEGYRSGISCLLADPGSLSESLQTGSTPSPAHENSPAATIQVSPASTSKIGWNRKTLSSEFEDVDSGDDPSSDAPDQPIYGSKSCTASVEPEVAGFPESGRNPPGSWLGESNFNHNTVYGSSFWPGSHHLATNNISMLDHKLYVEQPTTSDFIIKEAQVRLHDVSDAVTCGDKLINDGDVQAVGEYPEKLIQELQGHDFNFIGLQSQNYSGPQKVVSTSMQNEKEPKGIDKNNDEPGELKKLDSFGRWMDKEIGGDCDDSLMASDSANYWSTLNTETDDKEVSSLSRHMQLDIDSLGPSLSQEQLFSIVDFSPDWAYSGAGTKVLLVGNFLKNKELPSAAKWGCMFGEIEVSAEVLTKNVIRCQVPSHVPGRVPFYITCSNRLACSEVREFEYREKPPGFSFFTAVKCTAQEEMHLQVCLAKLLHTGPGRKWLDCSVEECDKCKLKSSICSMGEASANDCIQSKEGLILNLLKQKLSQWLIQKVHEDGKGPLILDDKGHGVIHLAASLGYEWAMNPIVAAGISPNFRDAKGRTALHWASYFGREETVIALIKLGASPGAVDDPTPNFPGGRTAADLASSRGHKGIAGYLAEANLTTHLSSLTVNQNVVGNDAATKPAQEAIETPSEVAPSNRTLDDNCSLKGSLAAVRKSAHAAALIQAAFRTRSAHFRQLTKGNDDMSEISLELGILGSLNRLQKTSHFGDYLHTAASKIQQKYRGWKGRKEFLKIRNRIVKIQVLHINLFFFILGRVMESFKKYSFTQ >KJB72929 pep chromosome:Graimondii2_0_v6:11:49581107:49587132:-1 gene:B456_011G204700 transcript:KJB72929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGRRYLPNQQLDLQQILQEAQHRWLRPVEVCEILGNYTKFRLSDKPPSRPSAGSLYLFDRKTIRYFRKDGHDWRKKKDGKTVREAHEKLKIGSVDVLHCYYAHGQFNENFQRRCYWMLDGQFEHIVFVHYREVKEGYRSGISCLLADPGSLSESLQTGSTPSPAHENSPAATIQVSPASTSKIGWNRKTLSSEFEDVDSGDDPSSDAPDQPIYGSKSCTASVEPEVAGFPESGRNPPGSWLGESNFNHNTVYGSSFWPGSHHLATNNISMLDHKLYVEQPTTSDFIIKEAQVRLHDVSDAVTCGDKLINDGDVQAVGEYPEKLIQELQGHDFNFIGLQSQNYSGPQKVVSTSMQNEKEPKGIDKNNDEPGELKKLDSFGRWMDKEIGGDCDDSLMASDSANYWSTLNTETDDKEVSSLSRHMQLDIDSLGPSLSQEQLFSIVDFSPDWAYSGAGTKVLLVGNFLKNKELPSAAKWGCMFGEIEVSAEVLTKNVIRCQVPSHVPGRVPFYITCSNRLACSEVREFEYREKPPGFSFFTAVKCTAQEEMHLQVCLAKLLHTGPGRKWLDCSVEECDKCKLKSSICSMGEASANDCIQSKEGLILNLLKQKLSQWLIQKVHEDGKGPLILDDKGHGVIHLAASLGYEWAMNPIVAAGISPNFRDAKGRTALHWASYFGREETVIALIKLGASPGAVDDPTPNFPGGRTAADLASSRGHKGIAGYLAEANLTTHLSSLTVNQNVVGNDAATKPAQEAIETPSEVAPSNRTLDDNCSLKGSLAAVRKSAHAAALIQAAFRTRSAHFRQLTKGNDDMSEISLELGILGSLNRLQKTSHFGDYLHTAASKIQQKYRGWKGRKEFLKIRNRIVKIQAHVRGHQVRRQYTKLVWSVGLVEKIILRWRRKGAGLRGFRVQTATNKTVAGIEIDDEYEFLQVGQQQKVDGIEKALARVKSMARDQEAREQYMRLTTKFGESKDSD >KJB72930 pep chromosome:Graimondii2_0_v6:11:49582680:49586771:-1 gene:B456_011G204700 transcript:KJB72930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGRRYLPNQQLDLQQILQEAQHRWLRPVEVCEILGNYTKFRLSDKPPSRPSAGSLYLFDRKTIRYFRKDGHDWRKKKDGKTVREAHEKLKIGSVDVLHCYYAHGQFNENFQRRCYWMLDGQFEHIVFVHYREVKEGYRSGISCLLADPGSLSESLQTGSTPSPAHENSPAATIQVSPASTSKIGWNRKTLSSEFEDVDSGDDPSSDAPDQPIYGSKSCTASVEPEVAGFPESGRNPPGSWLGESNFNHNTVYGSSFWPGSHHLATNNISMLDHKLYVEQPTTSDFIIKEAQVRLHDVSDAVTCGDKLINDGDVQAVGEYPEKLIQELQGHDFNFIGLQSQNYSGPQKVVSTSMQNEKEPKGIDKNNDEPGELKKLDSFGRWMDKEIGGDCDDSLMASDSANYWSTLNTETDDKEVSSLSRHMQLDIDSLGPSLSQEQLFSIVDFSPDWAYSGAGTKVLLVGNFLKNKELPSAAKWGCMFGEIEVSAEVLTKNVIRCQVPSHVPGRVPFYITCSNRLACSEVREFEYREKPPGFSFFTAVKCTAQEEMHLQVCLAKLLHTGPGRKWLDCSVEECDKCKLKSSICSMGEASANDCIQSKEGLILNLLKQKLSQWLIQKVHEDGKGPLILDDKGHGVIHLAASLGYEWAMNPIVAAGISPNFRDAKGRTALHWASYFGRSRLVQYLSYI >KJB72933 pep chromosome:Graimondii2_0_v6:11:49581143:49586771:-1 gene:B456_011G204700 transcript:KJB72933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGRRYLPNQQLDLQQILQEAQHRWLRPVEVCEILGNYTKFRLSDKPPSRPSAGSLYLFDRKTIRYFRKDGHDWRKKKDGKTVREAHEKLKIGSVDVLHCYYAHGQFNENFQRRCYWMLDGQFEHIVFVHYREVKEGYRSGISCLLADPGSLSESLQTGSTPSPAHENSPAATIQVSPASTSKIGWNRKTLSSEFEDVDSGDDPSSDAPDQPIYGSKSCTASVEPEVAGFPESGRNPPGSWLGESNFNHNTVYGSSFWPGSHHLATNNISMLDHKLYVEQPTTSDFIIKEAQVRLHDVSDAVTCGDKLINDGDVQAVGEYPEKLIQELQGHDFNFIGLQSQNYSGPQKVVSTSMQNEKEPKGIDKNNDEPGELKKLDSFGRWMDKEIGGDCDDSLMASDSANYWSTLNTETDDKEVSSLSRHMQLDIDSLGPSLSQEQLFSIVDFSPDWAYSGAGTKVLLVGNFLKNKELPSAAKWGCMFGEIEVSAEVLTKNVIRCQVPSHVPGRVPFYITCSNRLACSEVREFEYREKPPGFSFFTAVKCTAQEEMHLQVCLAKLLHTGPGRKWLDCSVEECDKCKLKSSICSMGEASANDCIQSKEGLILNLLKQKLSQWLIQKVHEDGKGHGVIHLAASLGYEWAMNPIVAAGISPNFRDAKGRTALHWASYFGREETVIALIKLGASPGAVDDPTPNFPGGRTAADLASSRGHKGIAGYLAEANLTTHLSSLTVNQNVVGNDAATKPAQEAIETPSEVAPSNRTLDDNCSLKGSLAAVRKSAHAAALIQAAFRTRSAHFRQLTKGNDDMSEISLELGILGSLNRLQKTSHFGDYLHTAASKIQQKYRGWKGRKEFLKIRNRIVKIQAHVRGHQVRRQYTKLVWSVGLVEKIILRWRRKGAGLRGFRVQTATNKTVAGIEIDDEYEFLQVGQQQKVDGIEKALARVKSMARDQEAREQYMRLTTKFGESKDSD >KJB74460 pep chromosome:Graimondii2_0_v6:11:62625913:62627737:1 gene:B456_011G2959002 transcript:KJB74460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFQSKIPHQLPPPPPPPPQTNSDLDGNGEQQAVPAFNEFSLAELRAASNGFSTDLIVSESGEKAPNVVYRGKLKNNRVVAIKRFSRQSWPDPHQFVNEAAMVGNLRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWEKQPLPWEMRLRVAYHIAQALEHCNAQGLKIYHDLNAYRVLFDELFCFFSNFNFILCQEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVVYSYGTVLLDLLSGKHIPPSH >KJB74456 pep chromosome:Graimondii2_0_v6:11:62625913:62627737:1 gene:B456_011G2959002 transcript:KJB74456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFQSKIPHQLPPPPPPPPQTNSDLDGNGEQQAVPAFNEFSLAELRAASNGFSTDLIVSESGEKAPNVVYRGKLKNNRVVAIKRFSRQSWPDPHQFVNEAAMVGNLRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWEKQPLPWEMRLRVAYHIAQALEHCNAQGLKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVVYSYGTVLLDLLSGKHIPPSH >KJB74454 pep chromosome:Graimondii2_0_v6:11:62625710:62627737:1 gene:B456_011G2959002 transcript:KJB74454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFQSKIPHQLPPPPPPPPQTNSDLDGNGEQQAVPAFNEFSLAELRAASNGFSTDLIVSESGEKAPNVVYRGKLKNNRVVAIKRFSRQSWPDPHQFVNEAAMVGNLRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWEKQPLPWEMRLRVAYHIAQALEHCNAQGLKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVVYSYGTVLLDLLSGKHIPPSH >KJB74458 pep chromosome:Graimondii2_0_v6:11:62625695:62627737:1 gene:B456_011G2959002 transcript:KJB74458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFQSKIPHQLPPPPPPPPQTNSDLDGNGEQQAVPAFNEFSLAELRAASNGFSTDLIVSESGEKAPNVVYRGKLKNNRVVAIKRFSRQSWPDPHQFVNEAAMVGNLRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWEKQPLPWEMRLRVAYHIAQALEHCNAQGLKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVVYSYGTVLLDLLSGKHIPPSH >KJB74457 pep chromosome:Graimondii2_0_v6:11:62625695:62627737:1 gene:B456_011G2959002 transcript:KJB74457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNLRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWEKQPLPWEMRLRVAYHIAQALEHCNAQGLKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVVYSYGTVLLDLLSGKHIPPSH >KJB74455 pep chromosome:Graimondii2_0_v6:11:62625913:62627737:1 gene:B456_011G2959002 transcript:KJB74455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFQSKIPHQLPPPPPPPPQTNSDLDGNGEQQAVPAFNEFSLAELRAASNGFSTDLIVSESGEKAPNVVYRGKLKNNRVVAIKRFSRQSWPDPHQFVNEAAMVGNLRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWEKQPLPWEMRLRVAYHIAQALEHCNAQGLKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVVYSYGTVLLDLLSGKHIPPSH >KJB74461 pep chromosome:Graimondii2_0_v6:11:62626511:62627737:1 gene:B456_011G2959002 transcript:KJB74461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAINNAGEKQPLPWEMRLRVAYHIAQALEHCNAQGLKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVVYSYGTVLLDLLSGKHIPPSH >KJB74459 pep chromosome:Graimondii2_0_v6:11:62626315:62627737:1 gene:B456_011G2959002 transcript:KJB74459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNLRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWEKQPLPWEMRLRVAYHIAQALEHCNAQGLKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVVYSYGTVLLDLLSGKHIPPSH >KJB69815 pep chromosome:Graimondii2_0_v6:11:3277285:3278972:1 gene:B456_011G043800 transcript:KJB69815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHSQSAALDHCSLIKTCKPTTSVFKGIPVVDLRDPEAKTLIVKACEEYGFFKLVNHGVPMEFFTRLEAEALKFFNLPQSDKDKAGPPDPFGYGVKKIGSNGDVGWVEYLLLNTNPQITSLKSLTVFRETPEIFRSSAVNDYIQAVKRMTFEVVELMADGLKIEPRDALSRLLRDEKSDSCFRLNHYPPCPELQALGGRNLIGFGEHTDPQIISVLRSNNTSGLQICLSDKTWVSVPPDQTSFFINVGDALQVMTNGRLRSVRHRVLAESMRSRVSMIYFGGPPLSEKIAPLASLMAKGEESLYEEFTWWEYKTSAYKSRLGDYRLGLFEKKTGGAAGQ >KJB69814 pep chromosome:Graimondii2_0_v6:11:3277195:3279060:1 gene:B456_011G043800 transcript:KJB69814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHSQSAALDHCSLIKTCKPTTSVFKGIPVVDLRDPEAKTLIVKACEEYGFFKLVNHGVPMEFFTRLEAEALKFFNLPQSDKDKAGPPDPFGYGVKKIGSNGDVGWVEYLLLNTNPQITSLKSLTVFRETPEIFRSAVNDYIQAVKRMTFEVVELMADGLKIEPRDALSRLLRDEKSDSCFRLNHYPPCPELQALGGRNLIGFGEHTDPQIISVLRSNNTSGLQICLSDKTWVSVPPDQTSFFINVGDALQVMTNGRLRSVRHRVLAESMRSRVSMIYFGGPPLSEKIAPLASLMAKGEESLYEEFTWWEYKTSAYKSRLGDYRLGLFEKKTGGAAGQ >KJB72056 pep chromosome:Graimondii2_0_v6:11:27235881:27245154:1 gene:B456_011G156300 transcript:KJB72056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSGLHRQHGKQGAGGGGGGAKGIYAKLTIAVVVLLICTLSLLFSATIGGNRGSLEPSEINAEELWESAKSSGWRPSSAPRSDWPPPPRETNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDANSFWHDESGFQGIYDVEHFIQTLKYDVQIVESIPEIRKNGKTKKIKGYQLRPPRDAPIEWYTTVALKKMQEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPNIMQLSESIVDKLRAQGHFMSIHLRFEMDMLAFAGCIDIFNLEEQSILKKYRKENFAEKRLVYKERRAIGKCPLTPEEVGLILHAMGFDNSTRIYLAAGELFGGERFMKPFRDLFPRLENHSSVDSSEELVTNTQGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDRENGRTAGFEEAVRRVMLKTNFGGPHKRVSPESFYTNSWPECFCQVSPKNPADKCPPDNVLEVLDSRLENKVTSDPETLAEKNSTSRTER >KJB72057 pep chromosome:Graimondii2_0_v6:11:27235961:27245071:1 gene:B456_011G156300 transcript:KJB72057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSGLHRQHGKQGAGGGGGGAKGIYAKLTIAVVVLLICTLSLLFSATIGGNRGSLEPSEINAEELWESAKSSGWRPSSAPRSDWPPPPRETNGYLRVRCNGGLNQQRSAVCVNLFAICNAVLAARIMNATLVLPELDANSFWHDESGFQGIYDVEHFIQTLKYDVQIVESIPEIRKNGKTKKIKGYQLRPPRDAPIEWYTTVALKKMQEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPNIMQLSESIVDKLRAQGHFMSIHLRFEMDMLAFAGCIDIFNLEEQSILKKYRKENFAEKRLVYKERRAIGKCPLTPEEVGLILHAMGFDNSTRIYLAAGELFGGERFMKPFRDLFPRLENHSSVDSSEELVTNTQGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDRENGRTAGFEEAVRRVMLKTNFGGPHKRVSPESFYTNSWPECFCQVSPKNPADKCPPDNVLEVLDSRLENKVTSDPETLAEKNSTSRTER >KJB72055 pep chromosome:Graimondii2_0_v6:11:27235961:27244399:1 gene:B456_011G156300 transcript:KJB72055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSGLHRQHGKQGAGGGGGGAKGIYAKLTIAVVVLLICTLSLLFSATIGGNRGSLEPSEINAEELWESAKSSGWRPSSAPRSDWPPPPRETNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDANSFWHDESGFQGIYDVEHFIQTLKYDVQIVESIPEIRKNGKTKKIKGYQLRPPRDAPIEWYTTVALKKMQEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPNIMQLSESIVDKLRAQGHFMSIHLRFEMDMLAFAGCIDIFNLEEQSILKKYRKENFAEKRLVYKERRAIGKCPLTPEEVGLILHAMGFDNSTRIYLAAGELFGGERFMKPFRDLFPRLENHSSVDSSEELVTNTQGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDRENGRTAGFEEAVRRVMLKTNFGGPHKRVSPESFYTNSWPECFCQVSPKNPADKCPPDNVLEVLDSRLENKVTSDPETLAEKNSTSRTER >KJB72527 pep chromosome:Graimondii2_0_v6:11:43549947:43552468:-1 gene:B456_011G183100 transcript:KJB72527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYQVFHSGIPITVVPLDATNTIPVTEEFFRAFEESQGTYEAEYCFQSLKTKTAFRSSNQPNTYSYFMWDSFMAGVAVSIMCSSDPNNGENEFAEMEYMNITVITSNKPYGISDGSNPFFDNLEVPKFKLKKDGVHSGHVQTGLRDPFCFVENGIGMCKDGYTMEVTGPDAVQVLVATKAKPNPDIGSKLDRQFFLSFLDVLSRPQHTGRFNLSTEFPYYREVLYKPDFKNKKLGKPVVFDMDMSAGDFVSLFYLLKVPVEVLNLKAILVTPTGWANAATIDIIYDLLHMMGRDDIPVGLGDVFAMNQSDNVFPGVGDCKYAKSVPHGSGGFLDSDTLYGLARDLPRSPRRYTAENAVNLPRQPLALEIWTSILKTMDPGSKINILTNGPLTGLANIITKTKTASLIQDAYIVGGHISQSRHDKGNVFTISSNKYAEFNMFLDPLAAKTVFESGLNITLIPLGTQRKVSQFPEILEKLKLTRMTPEAQFVERLLFKLYTLQQSHHRYHHMVMFCNFLH >KJB72529 pep chromosome:Graimondii2_0_v6:11:43549404:43552468:-1 gene:B456_011G183100 transcript:KJB72529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYQVFHSGIPITVVPLDATNTIPVTEEFFRAFEESQGTYEAEYCFQSLKTKTAFRSSNQPNTYSYFMWDSFMAGVAVSIMCSSDPNNGENEFAEMEYMNITVITSNKPYGISDGSNPFFDNLEVPKFKLKKDGVHSGHVQTGLRDPFCFVENGIGMCKDGYTMEVTGPDAVQVLVATKAKPNPDIGSKLDRQFFLSFLDVLSRPQHTGRFNLSTEFPYYREVLYKPDFKNKKLGKPVVFDMDMSAGDFVSLFYLLKVPVEVLNLKAILVTPTGWANAATIDIIYDLLHMMGRDDIPVGLGDVFAMNQSDNVFPGVGDCKYAKSVPHGSGGFLDSDTLYGLARDLPRSPRRYTAENAVNLPRQPLALEIWTSILKTMDPGSKINILTNGPLTGLANIITKTKTASLIQDAYIVGGHISQSRHDKGNVFTISSNKYAEFNMFLDPLAAKTVFESGLNITLIPLGTQRKVSQFPEILEKLKLTRMTPEAQFVERLLFKLYTLQQSHHRYHHMETFMGEIIGAIFMGGDHHNLKPTIEEMPIKVIAEGDESMDGQILIDNKQEKSVKVLKNVDTMAYYHLFADLMGDQNQSAVLGSYDEQRKMWSTPPNEMYCESALSFCENRVNFSCI >KJB72528 pep chromosome:Graimondii2_0_v6:11:43549404:43552468:-1 gene:B456_011G183100 transcript:KJB72528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDSFMAGVAVSIMCSSDPNNGENEFAEMEYMNITVITSNKPYGISDGSNPFFDNLEVPKFKLKKDGVHSGHVQTGLRDPFCFVENGIGMCKDGYTMEVTGPDAVQVLVATKAKPNPDIGSKLDRQFFLSFLDVLSRPQHTGRFNLSTEFPYYREVLYKPDFKNKKLGKPVVFDMDMSAGDFVSLFYLLKVPVEVLNLKAILVTPTGWANAATIDIIYDLLHMMGRDDIPVGLGDVFAMNQSDNVFPGVGDCKYAKSVPHGSGGFLDSDTLYGLARDLPRSPRRYTAENAVNLPRQPLALEIWTSILKTMDPGSKINILTNGPLTGLANIITKTKTASLIQDAYIVGGHISQSRHDKGNVFTISSNKYAEFNMFLDPLAAKTVFESGLNITLIPLGTQRKVSQFPEILEKLKLTRMTPEAQFVERLLFKLYTLQQSHHRYHHMETFMGEIIGAIFMGGDHHNLKPTIEEMPIKVIAEGDESMDGQILIDNKQEKSVKVLKNVDTMAYYHLFADLMGDQNQSAVLGSYDEQRKMWSTPPNEMYCESALSFCENRVNFSCI >KJB69726 pep chromosome:Graimondii2_0_v6:11:2907067:2908591:1 gene:B456_011G038900 transcript:KJB69726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DOG1-like 4 [Source:Projected from Arabidopsis thaliana (AT4G18650) UniProtKB/Swiss-Prot;Acc:Q84JC2] MTSPVGERFSEFFDKWICQLDGYLQQLVRVSREGLSESEHQTLVSKLTAHYKEYYTVKWAAAHEDVLVFYCPVWLSKLENACSWLTGWKPSMIFGVVESMRRKSVAELTEEQVRKIEQLRVKIKLEEEKVEREMERQQVAMADRKVVELVRTARRIRNEELVVVVGNHQVEGLVEVALKGVLAGLERVMKAADCVRLKALKGVLDVLNPSQSLDFLAGICMLQIQIRKWGQNRDNQKGSNPIILGELHNNVIF >KJB73245 pep chromosome:Graimondii2_0_v6:11:53498425:53501180:-1 gene:B456_011G224300 transcript:KJB73245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGPLSCMICQALLFDFTKCIPCSHLFCQVCTSRFKDCLLCGADIEKLEADTNLQSMVDRFIEGHARMKRPHVDANGGGGEQVVNDDKKVIYEDISLDRGAFLVQQAMRKTGNTSEFCSQLGAVLGMLGDCCRAMGDSASAINYFEESVEFLTKLPTDDLEITHALSVSLNKIGDLKYYDGDLQAARSYYLRSLGVRRDVIKNNSGVASQVIDIAVSLAKVADVDRILGKDDEAIDGFQEAIKLLRVTISEIQRS >KJB73244 pep chromosome:Graimondii2_0_v6:11:53498228:53501180:-1 gene:B456_011G224300 transcript:KJB73244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGPLSCMICQALLFDFTKCIPCSHLFCQVCTSRFKDCLLCGADIEKLEADTNLQSMVDRFIEGHARMKRPHVDANGGGGEQVVNDDKKVIYEDISLDRGAFLVQQAMRKTGNTSEFCSQLGAVLGMLGDCCRAMGDSASAINYFEESVEFLTKLPTDDLEITHALSVSLNKIGDLKYYDGDLQAARSYYLRSLGVRRDVIKNNSGVASQMSIEFSEKMMRLLMDFKKP >KJB71593 pep chromosome:Graimondii2_0_v6:11:19958736:19959272:1 gene:B456_011G1319001 transcript:KJB71593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGMVHSMAITEDGALFYWVSSDPHLRCQQLYSLCEKTIVSISAGKYWAATATAIGDVYMLDGKKSMDKPPVATRLHRVKGKKIP >KJB72513 pep chromosome:Graimondii2_0_v6:11:43507870:43511761:1 gene:B456_011G182800 transcript:KJB72513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGRSYSLQILILNLIASILYQSCESFLTTPSSIINPSKVKQVSWKPRAFVYEGFLTDLECDHLISLAKSELKRSAVADNVSGQSKLSEVRTSSGMFIPKGKDPIVAGIEDKISTWTFLPKENGEDIQVLRYEHGQKYDPHYDYFTDKVNIARGGHRIATVLMYLTNVTKGGETVFPQAEEPSRRRTPPKDYLSECAKKGIAVKPRRGDALLFFSLFPTAIPDQNSLHAGCPVIEGEKWSATKWIHVDSFEKNLDIGGNCTDLNESCERWAALGECTKNREYMIGTAELPGYCRRSCKVC >KJB72514 pep chromosome:Graimondii2_0_v6:11:43507841:43511761:1 gene:B456_011G182800 transcript:KJB72514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGRSYSLQILILNLIASILYQSCESFLTTPSSIINPSKVKQVSWKPRAFVYEGFLTDLECDHLISLAKSELKRSAVADNVSGQSKLSEVRTSSGMFIPKGKDPIVAGIEDKISTWTFLPKENGEDIQVLRYEHGQKYDPHYDYFTDKVNIARGGHRIATVLMYLTNVTKGGETVFPQAEEPSRRRTPPKDYLSECAKKGIAVKPRRGDALLFFSLFPTAIPDQNSLHAGCPVIEGEKWSATKWIHVDSFEKNLDIGGNCTDLNESCERWAALGECTKNREYMIGTAELPGYCRRSCKVC >KJB71071 pep chromosome:Graimondii2_0_v6:11:11989423:11990824:1 gene:B456_011G104000 transcript:KJB71071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDSGDPNRRLTKALNSGAPPPPGQEQLPCPRCDSTNTKFCYYNNYNFSQPRHFCKSCRRYWTLGGTLRDIPVGGGTRKNAKRSRTTHSTFISSSTNAGATTTATTTSYNDFQLPATQVLLPVSGNQGSSGVVGESKGNGFASLLNPQGPGFLALSGFGLGIVPALEDVGFGLGRGMWPFSMGDGAVGGGGNGGAATGMGNPWQFEGAEAGPVGAGDCFSWPELAISTPGNWLK >KJB73893 pep chromosome:Graimondii2_0_v6:11:58995359:58995718:-1 gene:B456_011G259400 transcript:KJB73893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMEINQFIHCYKLELSYFKMPYQCDGCKELGFGSCYQCNNKKCDFHLHENCGVPKPITTHSFFKNSNFKFKKKRKRGKTCKACGKDVQGFMYKFKETYLHPCWLKLPSTLNGNFNRG >KJB71936 pep chromosome:Graimondii2_0_v6:11:24192447:24193496:-1 gene:B456_011G148500 transcript:KJB71936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FAIGSRFFVICFSNVFTHTVPFVLGLRSLNHHEKPCDRDKESFCLLCALRDHIELSLHSSGGVVSPTKIFYNLNYFSSFFQRYQQEDAHEFLQCLLDRLESCCSKLKNDLSSIDDCLVKKVFGGRLVSRLCCCNCGHVSSTYEPLNDLSLEIEDADSLSTALESFTKVEKIEDLELNFRCENCKEQVSVEKQLMLAQAPSVATFHSKRFKTERTYIKTIDKHV >KJB70058 pep chromosome:Graimondii2_0_v6:11:4447316:4448434:-1 gene:B456_011G056400 transcript:KJB70058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB70057 pep chromosome:Graimondii2_0_v6:11:4442988:4448434:-1 gene:B456_011G056400 transcript:KJB70057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB73804 pep chromosome:Graimondii2_0_v6:11:58195763:58196419:1 gene:B456_011G253500 transcript:KJB73804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FNNCFSYSCNECEDPDTCVPCVKNSDTFTCQGHEHRLFFYEKYEGQCNGCGENLQYAYACKECKFALDYTCLTLPEKIQHKCDEHPLMLTYVEDNIYSQYHYCDICERRRNASRWFYRCAICDNSAHKDCVIDAYSYMKLGKTYTAKDHPHPLTFTRKIYDYPPECHICEEHCEDLSAECLESGCNYIVHWKCIDPYRKDILRKLRWRPKGEDEEVHD >KJB74471 pep chromosome:Graimondii2_0_v6:11:58880115:58881712:1 gene:B456_011G2588003 transcript:KJB74471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLSTERHVKMHDVVRQFALWIASSRKDISFGTVETLPMDESFKHYTAISFETDQTDELPKGVGFPYLKLLLLGSFMETSSECFEGMKALQVCALNHLLISLSTFQFQMNLQTLYLIDCKLSDISMLGKLKTLHILSLSRSEITELPTEAGDLENLRLLDLSYCYELRRITPNLIRRLSNLEELYLPGCSSLKWATENSTKRQSYSSLSELNLLPKLVVISLDISSEHFLDGFVFRRLWSFDVCIGIKREQRYRKRDLETCPISRSLRINKSVDAYVESLQLNKVEGHPNLIPSLDLGFRKLTSLDLRRCDSVQCLIDASKQQVPITALSNLRKLSLSHMFHLEEMCNAPQPQGFLQKLEEVIVSDCGEMQVLFPIAELRSIEQEGPSRYLSLQSLKIVEIERCNNLKYIFPMSVANSLRQLHTLKIKSCSQLEDIIQDRQVEYKCLLQSLREKLKVHNCPQLTHFIISTTIQ >KJB73652 pep chromosome:Graimondii2_0_v6:11:56906200:56915250:-1 gene:B456_011G242200 transcript:KJB73652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSVRVKKKCILSKDEQKTSQGKPLTPTEDARSPKGASEEDSDDEFYDAERSDPVQDSPTSGSGSTRTGGGADDAAPTESLFPWKEELEVLVRGGVPMALRGELWQAFVGVRARRVENYYQDLLANETNCGNNTEQQRLQSDSKGSTTESIGGPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLYEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEKRLHDLREKHRSAVIAAVEERSKGLQAWRDSQGLASKLYNFKQDPKSMIMETNKTKANGDLSHSESGSTNSDEVLISLTGDADTGAVPDLQEQVVWLKVELCRLLEEKRSAVLRSEELETALMEMVKQDNRRQLSARVEQLEQEVAELRMALSEKQEQENAMLQVLMRVEQDQRVTEDARRFAEQDAAAQRYAVQVLQEKYEEATASLAEMEKRVVMAESMLEATLQYQSGQSKVQPSPRSSHPDSSARSNQEPQQEIPARKISILSRPFGLGWRDRNKGKPGNVDGPNDAKPSNEGQNTEIPQKDTNDKETNGKDTNEKELNGRDTDDKGTNDIEEQHKE >KJB73650 pep chromosome:Graimondii2_0_v6:11:56906165:56915476:-1 gene:B456_011G242200 transcript:KJB73650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSSTAAAATISTTNKGVNPVIAFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWNDFLERQAESAQLPVNGRPSEEGKETSHAAEDGDSEVKKGTEKDDLCERKSGSDNLSENDTEKEKVQSAPEKKVHRIQIWTEIRPSLQAIEDMMSVRVKKKCILSKDEQKTSQGKSDPVQDSPTSGSGSTRTGGGADDAAPTESLFPWKEELEVLVRGGVPMALRGELWQAFVGVRARRVENYYQDLLANETNCGNNTEQQRLQSDSKGSTTESIGGPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLYEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEKRLHDLREKHRSAVIAAVEERSKGLQAWRDSQGLASKLYNFKQDPKSMIMETNKTKANGDLSHSESGSTNSDEVLISLTGDADTGAVPDLQEQVVWLKVELCRLLEEKRSAVLRSEELETALMEMVKQDNRRQLSARVEQLEQEVAELRMALSEKQEQENAMLQVLMRVEQDQRVTEDARRFAEQDAAAQRYAVQVLQEKYEEATASLAEMEKRVVMAESMLEATLQYQSGQSKVQPSPRSSHPDSSARSNQEPQQEIPARKISILSRPFGLGWRDRNKGKPGNVDGPNDAKPSNEGQNTEIPQKDTNDKETNGKDTNEKELNGRDTDDKGTNDIEEQHKE >KJB73653 pep chromosome:Graimondii2_0_v6:11:56906200:56915250:-1 gene:B456_011G242200 transcript:KJB73653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSSTAAAATISTTNKGVNPVIAFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWNDFLERQAESAQLPVNGRPSEEGKETSHAAEDGDSEVKKGTEKDDLCERKSGSDNLSENDTEKEKVQSAPEKKVHRIQIWTEIRPSLQAIEDMMSVRVKKKCILSKDEQKTSQGKPLTPTEDARSPKGASEEDSDDEFYDAERSDPVQDSPTSGSGSTRTGGGADDAAPTESLFPWKEELEVLVRGGVPMALRGELWQAFVGVRARRVENYYQDLLANETNCGNNTEQQRLQSDSKGSTTESIGGPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLYEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEKRLHDLREKHRSAVIAAVEERSKGLQAWRDSQGLASKLYNFKQDPKSMIMETNKTKANGDLSHSESGSTNSDEVLISLTGDADTGAVPDLQEQVVWLKVELCRLLEEKRSAVLRSEELETALMEMVKQDNRRQLSARVEQLEQEVAELRMALSEKQEQENAMLQVLMRVEQDQRVTEDARRFAEQDAAAQRYAVQVLQEKYEEATASLAEMEKRVVMAESMLEATLQYQSGQSKVQPSPRCVNNLLPI >KJB73654 pep chromosome:Graimondii2_0_v6:11:56907053:56915048:-1 gene:B456_011G242200 transcript:KJB73654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSSTAAAATISTTNKGVNPVIAFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWNDFLERQAESAQLPVNGRPSEEGKETSHAAEDGDSEVKKGTEKDDLCERKSGSDNLSENDTEKEKVQSAPEKKVHRIQIWTEIRPSLQAIEDMMSVRVKKKCILSKDEQKTSQGKPLTPTEDARSPKGASEEDSDDEFYDAERSDPVQDSPTSGSGSTRTGGGADDAAPTESLFPWKEELEVLVRGGVPMALRGELWQAFVGVRARRVENYYQDLLANETNCGNNTEQQRLQSDSKGSTTESIGGPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLYEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEKRLHDLREKHRSAVIAAVEERSKGLQAWRDSQGLASKLYNFKQDPKSMIMETNKTKANGDLSHSESGSTNSDEVLISLTGDADTGAVPDLQEQVVWLKVELCRLLEEKRSAVLRSEELETALMEMVKQDNRRQLSARVEQLEQEVAELRMALSEKQEQENAMLQVLMRVEQDQRVTEDARRFAEQDAAAQRYAVQVLQEKYEEATASLAEMEKRVVMAESMLEATLQYQSGQSKVQPSPRSSHPDSSARSNQEPQQEIPARKISILSRPFGLGWRDRNKVSFFTNLLSFKFFDDQMISLLD >KJB73651 pep chromosome:Graimondii2_0_v6:11:56906197:56915358:-1 gene:B456_011G242200 transcript:KJB73651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSSTAAAATISTTNKGVNPVIAFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWNDFLERQAESAQLPVNGRPSEEGKETSHAAEDGDSEVKKGTEKDDLCERKSGSDNLSENDTEKEKVQSAPEKKVHRIQIWTEIRPSLQAIEDMMSVRVKKKCILSKDEQKTSQGKPLTPTEDARSPKGASEEDSDDEFYDAERSDPVQDSPTSGSGSTRTGGGADDAAPTESLFPWKEELEVLVRGGVPMALRGELWQAFVGVRARRVENYYQDLLANETNCGNNTEQQRLQSDSKGSTTESIGGPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLYEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEKRLHDLREKHRSAVIAAVEERSKGLQAWRDSQGLASKLYNFKQDPKSMIMETNKTKANGDLSHSESGSTNSDEVLISLTGDADTGAVPDLQEQVVWLKVELCRLLEEKRSAVLRSEELETALMEMVKQDNRRQLSARVEQLEQEVAELRMALSEKQEQENAMLQVLMRVEQDQRVTEDARRFAEQDAAAQRYAVQVLQEKYEEATASLAEMEKRVVMAESMLEATLQYQSGQSKVQPSPRSSHPDSSARSNQEPQQEIPARKISILSRPFGLGWRDRNKGKPGNVDGPNDAKPSNEGQNTEIPQKDTNDKETNGKDTNEKELNGRDTDDKGTNDIEEQHKE >KJB72615 pep chromosome:Graimondii2_0_v6:11:44723870:44728086:-1 gene:B456_011G187900 transcript:KJB72615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein phosphatase 2A regulatory subunit B'' subunit TON2 [Source:Projected from Arabidopsis thaliana (AT5G18580) UniProtKB/Swiss-Prot;Acc:Q9FEE2] MYSGSSDGESHEAAAQRKIPPVSSMLWVRNLRRYIGSGAGLGSEALMELETKRILLDIFKEKQQKSAEAGTIPSFYKKKPEEGSISHRVQKLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPAAFVQMYCRIAAHKFSFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELKEYADGTLTEIFIERVFDEHVRRCKIGAGSNREMDFDSFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHSLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRITLADLLACKQGGTVASMLIDVRGFWAHDNRENLLQEEGEPEEES >KJB72612 pep chromosome:Graimondii2_0_v6:11:44723870:44728079:-1 gene:B456_011G187900 transcript:KJB72612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein phosphatase 2A regulatory subunit B'' subunit TON2 [Source:Projected from Arabidopsis thaliana (AT5G18580) UniProtKB/Swiss-Prot;Acc:Q9FEE2] MYSGSSDGESHEAAAQRKIPPVSSMLWVRNLRRYIGSGAGLGSEALMELETKRILLDIFKEKQQKSAEAGTIPSFYKKKPEEGSISHRVQKLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPAAFVQMYCRIAAHKFSFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICGVYIGHIQFL >KJB72613 pep chromosome:Graimondii2_0_v6:11:44724769:44727866:-1 gene:B456_011G187900 transcript:KJB72613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein phosphatase 2A regulatory subunit B'' subunit TON2 [Source:Projected from Arabidopsis thaliana (AT5G18580) UniProtKB/Swiss-Prot;Acc:Q9FEE2] MYSGSSDGESHEAAAQRKIPPVSSMLWVRNLRRYIGSGAGLGSEALMELETKRILLDIFKEKQQKSAEAGTIPSFYKKKPEEGSISHRVQKLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPAAFVQMYCRIAAHKFSFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELKEYADGTLTEIFIERVFDEHVRRCKIGAGSNREMDFDSFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHSLFR >KJB72614 pep chromosome:Graimondii2_0_v6:11:44724576:44727866:-1 gene:B456_011G187900 transcript:KJB72614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein phosphatase 2A regulatory subunit B'' subunit TON2 [Source:Projected from Arabidopsis thaliana (AT5G18580) UniProtKB/Swiss-Prot;Acc:Q9FEE2] MYSGSSDGESHEAAAQRKIPPVSSMLWVRNLRRYIGSGAGLGSEALMELETKRILLDIFKEKQQKSAEAGTIPSFYKKKPEEGSISHRVQKLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPAAFVQMYCRIAAHKFSFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELKEYADGTLTEIFIERVFDEHVRRCKIGAGSNREMDFDSFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHSLFSNRAYLEKNGSEEGEHHRDEMLPDTTFLHKILYFPACST >KJB72611 pep chromosome:Graimondii2_0_v6:11:44723870:44728079:-1 gene:B456_011G187900 transcript:KJB72611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein phosphatase 2A regulatory subunit B'' subunit TON2 [Source:Projected from Arabidopsis thaliana (AT5G18580) UniProtKB/Swiss-Prot;Acc:Q9FEE2] MSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPAAFVQMYCRIAAHKFSFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELKEYADGTLTEIFIERVFDEHVRRCKIGAGSNREMDFDSFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHSLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRITLADLLACKQGGTVASMLIDVRGFWAHDNRENLLQEEGEPEEES >KJB72976 pep chromosome:Graimondii2_0_v6:11:49878965:49879528:1 gene:B456_011G207100 transcript:KJB72976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFTIWVFAESRAIIRYYAEKYKSQGTDLLGKTVEESGQVENWLEVEAHNFNPPIYALTLHLMFASKMGFPPYENLIKESEEKLGKVLDIYEERLSKNKYLAGDFFSLADLSHLPFTQYLVGQMGKEYMTTSRNHVSA >KJB71441 pep chromosome:Graimondii2_0_v6:11:17431862:17432601:1 gene:B456_011G123800 transcript:KJB71441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCDGDCRPLGFLLGLPFAFLSLIISIVGVVVWIVGLLLTLICPCCLCVTVLVEIALELVKAPIHVMEWFTEQIPC >KJB73286 pep chromosome:Graimondii2_0_v6:11:53759830:53767248:1 gene:B456_011G225900 transcript:KJB73286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTSNSINSNPEASQSLINKIYGVFSNNDARFSFPISSSRTTEMELVRGVVRMLQGFSGSLFSWDEKGRRFCVRNGIYVPHLSQSSLAVILNQFMYAATSLELVQIAVSKVESQLRSPPPTLRAFASSVSSWLKRLRDIALNEETKISSSNAGSTLTLLGLTSSLSSLCSGAEYLLQIVHGAIPQAYFEPTSNISAADIATYILDYLYIKLDEACLVQGGEGDEYKMLIHIFVGSLLPYIEGLDSWLFEGTLDDPFEEMFLYANKAISVDEPEFWEKSCLPRIMQNYNLKVDPSAPNYSHDYVHGMSDKKETTEKEFVSTSGSVKGKEQNSRDLIVCPLFIKDIAKSIVSAGKSLQLIRHVPMASTVPSCKNSDKVDDGSGNYNDCDINKMNHWQGMAGVTLAEIFCVSLAGLLGHGDRISRYFFEGDQYKAETISSLSACMKEQIMESGTDGALPPSTYSEKIWYKFLVNSLLKKEVLDIEPADEDSCCFPDIKAENVIVGIENKYLSQRSFCPENPVITVCQTLLDKNRNSWEALNLSQKFCLPPLNDECLRKAVFGEKSENVSGPHGTNYMFGFQFSESEHLRAQHDTKLLEVLLPFPTLLPSLQDNISMSKLLPFQKNSTLSSSVLSWIQTVQPRISPLPTVIMQECLTVYIKKQMDYIGCLILSKLMNDWRLMDELAVLRAIYLLGSGDLMQHFLTVIFNKLDRGETWDDDFELNTILQESIRNSADGSLLSAPEALVVSISKTHGFDSDELSNTATVGSTPRKIRSQSYGIDGLDSLKFTYKGSWPLELIANSEAIKKYNQVMAFLLKVKRAKFALDKARRWMWKDKGTVRNSRKHHWLVVQKLLHFVDAFHQYVMDRVYHSAWRELCEGMAAAGSLDEVIEVHEAYLLSIHRQCFVAPDKLWALIASRINSILALALDFYSLQQTLSSAGAVSAIKARCEMEVGRVEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNNFYMSDGGNLMTAPSSETAAARPGKAFAS >KJB73288 pep chromosome:Graimondii2_0_v6:11:53759835:53766860:1 gene:B456_011G225900 transcript:KJB73288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPASYKGDEYKMLIHIFVGSLLPYIEGLDSWLFEGTLDDPFEEMFLYANKAISVDEPEFWEKSCLPRIMQNYNLKVDPSAPNYSHDYVHGMSDKKETTEKEFVSTSGSVKGKEQNSRDLIVCPLFIKDIAKSIVSAGKSLQLIRHVPMASTVPSCKNSDKVDDGSGNYNDCDINKMNHWQGMAGVTLAEIFCVSLAGLLGHGDRISRYFFEGDQYKAETISSLSACMKEQIMESGTDGALPPSTYSEKIWYKFLVNSLLKKEVLDIEPADEDSCCFPDIKAENVIVGIENKYLSQRSFCPENPVITVCQTLLDKNRNSWEALNLSQKFCLPPLNDECLRKAVFGEKSENVSGPHGTNYMFGFQFSESEHLRAQHDTKLLEVLLPFPTLLPSLQDNISMSKLLPFQKNSTLSSSVLSWIQTVQPRISPLPTVIMQECLTVYIKKQMDYIGCLILSKLMNDWRLMDELAVLRAIYLLGSGDLMQHFLTVIFNKLDRGETWDDDFELNTILQESIRNSADGSLLSAPEALVVSISKTHGFDSDELSNTATVGSTPRKIRSQSYGIDGLDSLKFTYKGSWPLELIANSEAIKKYNQVMAFLLKVKRAKFALDKARRWMWKDKGTVRNSRKHHWLVVQKLLHFVDAFHQYVMDRVYHSAWRELCEGMAAAGSLDEVIEVHEAYLLSIHRQCFVAPDKLWALIASRINSILALALDFYSLQQTLSSAGAVSAIKARCEMEVGRVEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNNFYMSDGGNLMTAPSSETAAARPGKAFAS >KJB73287 pep chromosome:Graimondii2_0_v6:11:53759835:53767123:1 gene:B456_011G225900 transcript:KJB73287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPASYKGDEYKMLIHIFVGSLLPYIEGLDSWLFEGTLDDPFEEMFLYANKAISVDEPEFWEKSCLPRIMQNYNLKVDPSAPNYSHDYVHGMSDKKETTEKEFVSTSGSVKGKEQNSRDLIVCPLFIKDIAKSIVSAGKSLQLIRHVPMASTVPSCKNSDKVDDGSGNYNDCDINKMNHWQGMAGVTLAEIFCVSLAGLLGHGDRISRYFFEGDQYKAETISSLSACMKEQIMESGTDGALPPSTYSEKIWYKFLVNSLLKKEVLDIEPADEDSCCFPDIKAENVIVGIENKYLSQRSFCPENPVITVCQTLLDKNRNSWEALNLSQKFCLPPLNDECLRKAVFGEKSENVSGPHGTNYMFGFQFSESEHLRAQHDTKLLEVLLPFPTLLPSLQDNISMSKLLPFQKNSTLSSSVLSWIQTVQPRISPLPTVIMQECLTVYIKKQMDYIGCLILSKLMNDWRLMDELAVLRAIYLLGSGDLMQHFLTVIFNKLDRGETWDDDFELNTILQESIRNSADGSLLSAPEALVVSISKTHGFDSDELSNTATVGSTPRKIRSQSYGIDGLDSLKFTYKGSWPLELIANSEAIKKYNQVMAFLLKVKRAKFALDKARRWMWKDKGTVRNSRKHHWLVVQKLLHFVDAFHQYVMDRVYHSAWRELCEGMAAAGSLDEVIEVHEAYLLSIHRQCFVAPDKLWALIASRINSILALALDFYSLQQTLSSAGAVSAIKARCEMEVGRVEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNNFYMSDGGNLMTAPSSETAAARPGKAFAS >KJB70304 pep chromosome:Graimondii2_0_v6:11:6119493:6122272:1 gene:B456_011G068800 transcript:KJB70304 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MALPYTHHYDLSFSALNLKKFGSSHLQPICCLRCNSSVSSSPFTEKHSLQRYQRDQWVYNNDNQQRPSLSCDDEAASCSVPADSDSIRLNDITLQLPELRKLLQVLKHKRESCGGEVPKSGLGDVFLVGTGPGDPDLLTLKAVKVIQKADILLYDRLVSNAVLDLVGPDARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFFQQQGIQVKVIPELGIPLTHRGIANSVRFLTGHSRKGGTDPLFVAENAADPDSTLVVYMGLSTLPSLAQKLIHHGLPPDTPATAVERGTTPQQRMVFAELKDLADKIKTAELVSPTLIIIGKVVALSPFWPQSLTEASRLVEV >KJB70305 pep chromosome:Graimondii2_0_v6:11:6119570:6122272:1 gene:B456_011G068800 transcript:KJB70305 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MALPYTHHYDLSFSALNLKKFGSSHLQPICCLRCNSSVSSSPFTEKHSLQRYQRDQWVYNNDNQQRPSLSCDDEAASCSVPADSDSIRLNDITLQLPELRKLLQVLKHKRESCGGEVPKSGLGDVFLVGTGPGDPDLLTLKAVKVIQKADILLYDRLVSNAVLDLVGPDARLLYVGKTAGYHSRTQQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFFQQQGIQVKVIPGITAASGISAELGIPLTHRGIANSVRFLTGHSRKGGTDPLFVAENAADPDSTLVVYMGLSTLPSLAQKLIHHGLPPDTPATAVERGTTPQQRMVFAELKDLADKIKTAELVSPTLIIIGKVVALSPFWPQSLTEASRLVEV >KJB70297 pep chromosome:Graimondii2_0_v6:11:6119267:6122302:1 gene:B456_011G068800 transcript:KJB70297 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MALPYTHHYDLSFSALNLKKFGSSHLQPICCLRCNSSVSSSPFTEKHSLQRYQRDQWVYNNDNQQRPSLSCDDEAASCSVPADSDSIRLNDITLQLPELRKLLQVLKHKRESCGGEVPKSGLGDVFLVGTGPGDPDLLTLKAVKVIQKADILLYDRLVSNAVLDLVGPDARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFFQQQGIQVKVIPGITAASGISAELGIPLTHRGIANSVRFLTGHSRKGGTDPLFVAENAADPDSTLVVYMGLSTLPSLAQKLIHHGLPPDTPATAVERGTTPQQRMVFAELKDLADKIKTAELVSPTLIIIGKVVALSPFWPQSLTEASRLVEV >KJB70300 pep chromosome:Graimondii2_0_v6:11:6119670:6121657:1 gene:B456_011G068800 transcript:KJB70300 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MALPYTHHYDLSFSALNLKKFGSSHLQPICCLRCNSSVSSSPFTEKHSLQRYQRDQWVYNNDNQQRPSLSCDDEAASCSVPADSDSIRLNDITLQLPELRKLLQVLKHKRESCGGEVPKSGLGDVFLVGTGPGDPDLLTLKAVKVIQKADILLYDRLVSNAVLDLVGPDARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFFQQQGIQVKVIPGITAASGISAELGIPLTHRGIANSVRFLTGHSRKGGTDPLFVAENAADPDSTLVVYMGLSTLPSLAQKLIHHGLPPDTPATAVERGTTPQQRMVSTMSCITTPRTSSGSIFM >KJB70301 pep chromosome:Graimondii2_0_v6:11:6119493:6122272:1 gene:B456_011G068800 transcript:KJB70301 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MALPYTHHYDLSFSALNLKKFGSSHLQPICCLRCNSSVSSSPFTEKHSLQRYQRDQWVYNNDNQQRPSLSCDDEAASCSVPADSDSIRLNDITLQLPELRKLLQVLKHKRESCGGEVPKSGLGDVFLVGTGPGDPDLLTLKAVKVIQKADILLYDRLVSNAVLDLVGPDARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFFQQQGIQVKVIPAELGIPLTHRGIANSVRFLTGHSRKGGTDPLFVAENAADPDSTLVVYMGLSTLPSLAQKLIHHGLPPDTPATAVERGTTPQQRMVFAELKDLADKIKTAELVSPTLIIIGKVVALSPFWPQSLTEASRLVEV >KJB70302 pep chromosome:Graimondii2_0_v6:11:6119493:6122272:1 gene:B456_011G068800 transcript:KJB70302 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MALPYTHHYDLSFSALNLKKFGSSHLQPICCLRCNSSVSSSPFTEKHSLQRYQRDQWVYNNDNQQRPSLSCDDEAASCSVPADSDSIRLNDITLQLPELRKLLQVLKHKRESCGGEVPKSGLGDVFLVGTGPGDPDLLTLKAVKVIQKADILLYDRLVSNAVLDLVGPDARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFFQQQGIQVKVIPGITAASGISAELGIPLTHRGIANSVRFLTGHSRKGGTDPLFVAENAADPDSTLVVYMGLSTLPSLAQKLIHHGLPPDTPATAVERGTTPQQRMDLADKIKTAELVSPTLIIIGKVVALSPFWPQSLTEASRLVEV >KJB70299 pep chromosome:Graimondii2_0_v6:11:6119493:6122272:1 gene:B456_011G068800 transcript:KJB70299 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MALPYTHHYDLSFSALNLKKFGSSHLQPICCLRCNSSVSSSPFTEKHSLQRYQRDQWVYNNDNQQRPSLSCDDEAASCSVPADSDSIRLNDITLQLPELRKLLQVLKHKRESCGGEVPKSGLGDVFLVGTGPGDPDLLTLKAVKVIQKADILLYDRLVSNAVLDLVGPDARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFFQQQGIQVKVIPALGFSQVTQEKGEPILFLLQRMLLTLIQL >KJB70303 pep chromosome:Graimondii2_0_v6:11:6119670:6121211:1 gene:B456_011G068800 transcript:KJB70303 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MALPYTHHYDLSFSALNLKKFGSSHLQPICCLRCNSSVSSSPFTEKHSLQRYQRDQWVYNNDNQQRPSLSCDDEAASCSVPADSDSIRLNDITLQLPELRKLLQVLKHKRESCGGEVPKSGLGDVFLVGTGPGDPDLLTLKAVKVIQKADILLYDRLVSNAVLDLVGPDARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFFQQQGIQVKVIPDC >KJB70298 pep chromosome:Graimondii2_0_v6:11:6119493:6122272:1 gene:B456_011G068800 transcript:KJB70298 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MALPYTHHYDLSFSALNLKKFGSSHLQPICCLRCNSSVSSSPFTEKHSLQRYQRDQWVYNNDNQQRPSLSCDDEAASCSVPADSDSIRLNDITLQLPELRKLLQVLKHKRESCGGEVPKSGLGDVFLVGTGPGDPDLLTLKAVKVIQKADILLYDRLVSNAVLDLVGPDARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFFQQQGIQVKVIPALGFSQVTQEKGEPILFLLQRMLLTLIQL >KJB71930 pep chromosome:Graimondii2_0_v6:11:24101522:24108726:-1 gene:B456_011G147900 transcript:KJB71930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEGSEKPIEVRAMEALGKGFDISGDFRLKYAKGARLVVLDETDKRDIVLPGVFTIKDVSQDIRLDKGDRIRFKSDVLEFNQMSEFLNQKSSIQGKVPSGYLNTIFDLTGDWLHDAADTKNLAFDGYFISLYHLHLTASPLVLHDSVKKSVPSHWDPEALSRFIQTYGTHIIVGMAVGGQDLLCVRQNYSSAIPSSELRGYLEDLGDVMFSDGKSPSLLQRKTRDGKQKVPEVFNHIFKSNSLQLASIAETSSKDGLTIISSKRGGNVLLHNHSNWLQTVPTKPEGILFKFVPITSLLTGIPGSGYLSHAINLYLRYKPAPEDLRYFLEFQVPRQWAPMFCELPLKHQRKKSSCPSLQFAFLCPKIQVSCAQVSSDLKPVVGLRLYLEGKKCNRLALHVQHLSSLPNMMTTSGRPCQWRGSDDYRPSDQYLEPVRWKRYSNVCTSVVKHDPNWLQEVSSGVFIVTGAQLLCTGKWAKTVLHLRLLYTHIPNCTIRKTEWAVAPETTRKANFLTNLSTTFTVTQRTVNGQQKQAPTALNSGVYPDGPPAPIRSKKLLKYMDMSEVVRGPHDAPGHWLVTAAKLVNEGGKISLQVKFALLDYP >KJB71953 pep chromosome:Graimondii2_0_v6:11:25170355:25177597:-1 gene:B456_011G150300 transcript:KJB71953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFMLPRNTILREPMENTPSSPNPTPSKSKRKHPKPSKENAPPPDPNSQPSPASTAKFKSQLPPRPPSSNPLKRKLYTETLPDNASLSGISDSGVKVVVRMRPPIKEEEEGDTIVQKVTSDSLSINGQTFTFDSVASSDATQLDIFQLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTIWGPANALLEENLSSDQQGLTPRVFERLFARINEEQIKHADKQLKYQCRCSFLEIYNEQITDLLDPNQRNLQIREDVKSGVYVENLTEEYVSSMKDVTQLLIKGLSNRRTGATSINAESSRSHSVFTCVVESRCKSVADGVSSFKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSKLTFLLQESLGGNAKLAMVCAISPAQSCKSETFSTLRFAQRAKAIKNKAVVNEVMQDDVNFLREVIRQLKDELHRMKSDGNNQTDPNGSYSTGWNARRSLNLLKFSLHHPRTLPHVDEDGDEEMEIDEEAVEDLCAQIGLQPADIYLHSNELTKQEIIESISGNTTSENGCAGNLVPNSSETFKVQDAEDTDVNMEEEISEEPKTSEIMIVECVETATNTPNIFSAHESVKQDPCQLTVETTDGDSPAILKSPTPSVSPRVNQSRKSLRTSSMYSASQKDLRDDKPETMRVTPTEHLAASLHRGLEIIDSHRQSLALRRSSFRFSLKPADSKPILAARKVDVGVQTFPQEEDPVVFLCSNCTQRTNLDGKEDTENSNLQLVPVDESDSGEKTKKQVPKAVEKVLAGSIRREMALEEFCAKQASEIMQLNRLVQQYKHERECNAIIGQTREDKILRLESLMDGVLPTEEFMEEELVSLTHEHKLLKEKYENHPEVLRTKIELKRAQDELERFRNFHDLGEREVLLEEIQDLRNQLQYYIDPSSTSARRRNSLLKLTYSCESNVPLPLRAIPETNEESAEEKFEQERIRWTEAEGKWISLAEELRTELDASKLLADKRKLELDMEKKCAEELKEAMQMAMAGHARMLEQYADLEEKHMQLLARHRNIQEGIDDVKKAAARAGVKGAESKFINALAAEISALKVEREKERRYLRDENRGLQAQLRDTAEAVQAAGELLVRLKEAEEAVAAAQKRAMESEQETEKAHRQIEKLKRKHEHEISSLNELLTESRLRKEGTQHTFDNVDLAKHDAGEFHDADSDQQWRQVFDPFYNGEDGKFNDANDTDQQWQQVFEPFYNGEDHELSKLEENSSWFSGYDRCNI >KJB71954 pep chromosome:Graimondii2_0_v6:11:25170509:25177348:-1 gene:B456_011G150300 transcript:KJB71954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFMLPRNTILREPMENTPSSPNPTPSKSKRKHPKPSKENAPPPDPNSQPSPASTAKFKSQLPPRPPSSNPLKRKLYTETLPDNASLSGISDSGVKVVVRMRPPIKEEEEGDTIVQKVTSDSLSINGQTFTFDSVASSDATQLDIFQLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTIWGPANALLEENLSSDQQGLTPRVFERLFARINEEQIKHADKQLKYQCRCSFLEIYNEQITDLLDPNQRNLQIREDVKSGVYVENLTEEYVSSMKDVTQLLIKGLSNRRTGATSINAESSRSHSVFTCVVESRCKSVADGVSSFKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSKLTFLLQESLGGNAKLAMVCAISPAQSCKSETFSTLRFAQRAKAIKNKAVVNEVMQDDVNFLREVIRQLKDELHRMKSDGNNQTDPNGSYSTGWNARRSLNLLKFSLHHPRTLPHVDEDGDEEMEIDEEAVEDLCAQIGLQPADIYLHSNELTKQEIIESISGNTTSENGCAGNLVPNSSETFKVQDAEDTDVNMEEEISEEPKTSEIMIVECVETATNTPNIFSAHESVKQDPCQLTVETTDGDSPAILKSPTPSVSPRVNQSRKSLRTSSMYSASQKDLRDDKPETMRVTPTEHLAASLHRGLEIIDSHRQSLALRRSSFRFSLKPADSKPILAARKVDVGVQTFPQEEDPVVFLCSNCTQRTNLDGKEDTENSNLQLVPVDESDSGEKTKKQVPKAVEKVLAGSIRREMALEEFCAKQASEIMQLNRLVQQYKHERECNAIIGQTREDKILRLESLMDGVLPTEEFMEEELVSLTHEHKLLKEKYENHPEVLRTKIELKRAQDELERFRNFHDLGEREVLLEEIQDLRNQLQYYIDPSSTSARRRNSLLKLTYSCESNVPLPLRAIPETNEESAEEKFEQERIRWTEAEGKWISLAEELRTELDASKLLADKRKLELDMEKKCAEELKEAMQMAMAGHARMLEQYADLEEKHMQLLARHRNIQEGIDDVKKAAARAGVKGAESKFINALAAEISALKVEREKERRYLRDENRGLQAQLRDTAEAVQAAGELLVRLKEAEEAVAAAQKRAMESEQETEKAHRQIEKLKRKHEHEISSLNELLTESRLRKEGTQHTFDNVDLAKHDAGEFHDADSDQQWRQVFDPFYNGEDESCLPKEVIQHTFDNVDIADHDAGKFNDANDTDQQWQQVFEPFYNGEDHELSKLEENSSWFSGYDRCNI >KJB71952 pep chromosome:Graimondii2_0_v6:11:25170949:25177348:-1 gene:B456_011G150300 transcript:KJB71952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFMLPRNTILREPMENTPSSPNPTPSKSKRKHPKPSKENAPPPDPNSQPSPASTAKFKSQLPPRPPSSNPLKRKLYTETLPDNASLSGISDSGVKVVVRMRPPIKEEEEGDTIVQKVTSDSLSINGQTFTFDSVASSDATQLDIFQLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTIWGPANALLEENLSSDQQGLTPRVFERLFARINEEQIKHADKQLKYQCRCSFLEIYNEQITDLLDPNQRNLQIREDVKSGVYVENLTEEYVSSMKDVTQLLIKGLSNRRTGATSINAESSRSHSVFTCVVESRCKSVADGVSSFKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSKLTFLLQESLGGNAKLAMVCAISPAQSCKSETFSTLRFAQRAKAIKNKAVVNEVMQDDVNFLREVIRQLKDELHRMKSDGNNQTDPNGSYSTGWNARRSLNLLKFSLHHPRTLPHVDEDGDEEMEIDEEAVEDLCAQIGLQPADIYLHSNELTKQEIIESISGNTTSENGCAGNLVPNSSETFKVQDAEDTDVNMEEEISEEPKTSEIMIVECVETATNTPNIFSAHESVKQDPCQLTVETTDGDSPAILKSPTPSVSPRVNQSRKSLRTSSMYSASQKDLRDDKPETMRVTPTEHLAASLHRGLEIIDSHRQSLALRRSSFRFSLKPADSKPILAARKVDVGVQTFPQEEDPVVFLCSNCTQRTNLDGKEDTENSNLQLVPVDESDSGEKTKKQVPKAVEKVLAGSIRREMALEEFCAKQASEIMQLNRLVQQYKHERECNAIIGQTREDKILRLESLMDGVLPTEEFMEEELVSLTHEHKLLKEKYENHPEVLRTKIELKRAQDELERFRNFHDLGEREVLLEEIQDLRNQLQYYIDPSSTSARRRNSLLKLTYSCESNVPLPLRAIPETNEESAEEKFEQERIRWTEAEGKWISLAEELRTELDASKLLADKRKLELDMEKKCAEELKEAMQMAMAGHARMLEQYADLEEKHMQLLARHRNIQEGIDDVKKAAARAGVKGAESKFINALAAEISALKVEREKERRYLRDENRGLQAQLRDTAEAVQAAGELLVRLKEAEEAVAAAQKRAMESEQETEKAHRQIEKLKRKHEHEISSLNELLTESRLRKEGTQHTFDNVDLAKHDAGEFHDADSDQQWRQVFDPFYNGEDGELSKLEENSSWFSGYDRCNI >KJB73987 pep chromosome:Graimondii2_0_v6:11:59699159:59699464:1 gene:B456_011G2655001 transcript:KJB73987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEYEIKMKAIMVFFFSATTPLGIVLGIGLSKVYSETSPTSLMVVGLLNACSAGLLNYMALVDLLAADFLGPKLQTNMKLQAWSYVAVLLGAGFMSLMAKWA >KJB69617 pep chromosome:Graimondii2_0_v6:11:2545080:2546727:-1 gene:B456_011G034400 transcript:KJB69617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSKKRKAAKKNKEQAAKNENSSTNNNPHGNDDSKSQDEGDTYGGDVGSPASQDDHNHHHRFNHREEERERAPSPVESHATMEKSVDKVTRDAESREKCGQVFSYSSSPPAEASNVTEKTQDSESHDHSKKQPLVASTPPAVQTTSFLSCCGLFDVLTGSGR >KJB69619 pep chromosome:Graimondii2_0_v6:11:2544488:2546902:-1 gene:B456_011G034400 transcript:KJB69619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSKKRKAAKKNKEQAAKNENSSTNNNPHGNDDSKSQDEGDTYGGDVGSPASQDDHNHHHRFNHREEERERAPSPVESHATMEKSVDKVTRDAESREKCGQVFSYSSSPPAEASNVTEKTQDSESHDHSKKQPLVASTPPAVQTTSFLSCCGLFDVLTGSGR >KJB69618 pep chromosome:Graimondii2_0_v6:11:2544757:2546805:-1 gene:B456_011G034400 transcript:KJB69618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSKKRKAAKKNKEQAAKNENSSTNNNPHGNDDSKSQDEGDTYGGDVGSPASQDDHNHHHRFNHREEERERAPSPVESHATMEKSVDKVTRDAESREKCGQVFSYSSSPPAEASNVTEKTQDSESHDHSKKQPLVASTPPAVQTTSFLSCCGLFDVLTGSGR >KJB69415 pep chromosome:Graimondii2_0_v6:11:1579292:1583036:-1 gene:B456_011G022500 transcript:KJB69415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGGQLDNLSPILSDQVLVNGTAVPLTLTGDGKLRFLGKRQRCLTLEKEVLGFAVEEGSRIRIKAVVEEREGICCCGNRGDLVRESFVFEPLSEDSLALWSQKLRDYIDSLETKHQLHAKEVAKTMDLSKYDGIVCVSGDGILVEVVNGLLEREDWSAAINMPIGMVPAGTGNGMVKSLLHAAGEPCCVSNAILAVIRGYKHSLDVATISQGKTRFFSVLMLAWGLIADIDIESEKYRWMGSARLDFYAIQRIFHLRHYNGRICFVPAPGFEDYGEPMSYHCKPTDEESPKQEESLKTQQHGYLGSDVKLEDMHWRTISGPFVSVWLHNVPWGSEDVMAAPNAKFSDGYLDLIMVKGLPKLALLSMMSNMNDGSHVESPYVTYIKVKAFILEPGTRVEDPTKEGIIDSDGEVIARGNVTYKGNQKPLMAYDKVQITVDQGLATLFAPK >KJB69414 pep chromosome:Graimondii2_0_v6:11:1579809:1582882:-1 gene:B456_011G022500 transcript:KJB69414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGGQLDNLSPILSDQVLVNGTAVPLTLTGDGKLRFLGKRQRCLTLEKEVLGFAVEEGSRIRIKAVVEEREGICCCGNRGDLVRESFVFEPLSEDSLALWSQKLRDYIDSLGRPKRLLIFLNPFGGKKSATKIFSEDVKPYLEDADIQITVIETKHQLHAKEVAKTMDLSKYDGIVCVSGDGILVEVVNGLLEREDWSAAINMPIGMVPAGTGNGMVKSLLHAAGEPCCVSNAILAVIRGYKHSLDVATISQGKTRFFSVLMLAWGLIADIDIESEKYRWMGSARLDFYAIQRIFHLRHYNGRICFVPAPGFEDYGEPMSYHCKPTDEESPKQEESLKTQQHGYLGSDVKLEDMHWRTISGPFVSVWLHNVPWGSEDVMAAPNAKFSDGYLDLIMVKGLPKLALLSMMSNMNDGSHVESPYVTYIKVSSQMIHT >KJB69413 pep chromosome:Graimondii2_0_v6:11:1579217:1583090:-1 gene:B456_011G022500 transcript:KJB69413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGGQLDNLSPILSDQVLVNGTAVPLTLTGDGKLRFLGKRQRCLTLEKEVLGFAVEEGSRIRIKAVVEEREGICCCGNRGDLVRESFVFEPLSEDSLALWSQKLRDYIDSLGRPKRLLIFLNPFGGKKSATKIFSEDVKPYLEDADIQITVIETKHQLHAKEVAKTMDLSKYDGIVCVSGDGILVEVVNGLLEREDWSAAINMPIGMVPAGTGNGMVKSLLHAAGEPCCVSNAILAVIRGYKHSLDVATISQGKTRFFSVLMLAWGLIADIDIESEKYRWMGSARLDFYAIQRIFHLRHYNGRICFVPAPGFEDYGEPMSYHCKPTDEESPKQEESLKTQQHGYLGSDVKLEDMHWRTISGPFVSVWLHNVPWGSEDVMAAPNAKFSDGYLDLIMVKGLPKLALLSMMSNMNDGSHVESPYVTYIKVKAFILEPGTRVEDPTKEGIIDSDGEVIARGNVTYKGNQKPLMAYDKVQITVDQGLATLFAPK >KJB69557 pep chromosome:Graimondii2_0_v6:11:2276121:2282277:-1 gene:B456_011G030500 transcript:KJB69557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIDETALDRLCLVTQMTKHIRVKAGARTTTASELGQFSPIFVWLLRDFYLDLVEDNKKITPRDYLELALRPVDGSGKDIAAKNEIRDSIRALFPDRECFTLVRPLNSENDLQRLDQISLDKLRPEFRAGLDALTKFVFERTRPKQVGATILTGPVLIGITESYLDALNKGAVPTISSSWQSVEEAECRRAYDSASEIYMSTFDRTKSPEEAALREAHEEAVQRSLAVYNASAVGVGSMRKKYEELLQKFFKKAFDDYKRNAFMEADLKCSNAIQSMGKRLRAACHASDASVEKIVKVLDALLSEYEASCHGPGKWQKLAVFLQQSMEGPILDFTRRHIDQIVSEKNSLVLKCRAIEDKMKLVNKQLEDSEKYKSEYLKRYDDAINDKKKLADEYASRMNNLQGDNSSLKERCSSLMKTLDSAKQETLDWRRKYDQVLSKQKAREDQTASEIEVLKSRSTAAEARLAAAREQAESAQEEAEEWKRKYDFAVREAKTALEKAATAQERSSKEIQLREDSLREEFSHSLAEKEEEIKDKTAKVEHAEQCLTTLRLELKAAESKIRSYDAEISSLKVEIRELADKLENANSKAQSFEGKARILEQEKIYLEQKYSSEFNRFAEVEERCRIAEKEARKATELADKARAESVAAQKEKNEMQRTAMERLACIERAERQIENLEREKTDLEDELHRIRVSEMDAVSKVALLEGRVEEREKEIESLLKTNNEQRASTVKVLQDLLDSERAAHADANNRAEALSLQLQAAQAKLDQLQQELTSVRLNETALDSKLKAASHGKRLRTDDEVGVGSVQDIDMSDRFLRANKKSKSTTSPLRYSPSEDGGSVFKADDDNQNQQNNQEDYTKFTVQKLKQELTKHNFGAELLALRNPNKKEILALYEKCVLQKS >KJB69556 pep chromosome:Graimondii2_0_v6:11:2276101:2282314:-1 gene:B456_011G030500 transcript:KJB69556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKYFGRGKGSAADVSPQSFGHSASPSSASASPVTGPARPIRLVYCDEKGKFRMDPEAVAALQLVKEPIGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWLWSAPLKRTALDGTEYNLLLLDSEGIDAYDQTGTYSTQIFSLAVLLSSMFIYNQMGGIDETALDRLCLVTQMTKHIRVKAGARTTTASELGQFSPIFVWLLRDFYLDLVEDNKKITPRDYLELALRPVDGSGKDIAAKNEIRDSIRALFPDRECFTLVRPLNSENDLQRLDQISLDKLRPEFRAGLDALTKFVFERTRPKQVGATILTGPVLIGITESYLDALNKGAVPTISSSWQSVEEAECRRAYDSASEIYMSTFDRTKSPEEAALREAHEEAVQRSLAVYNASAVGVGSMRKKYEELLQKFFKKAFDDYKRNAFMEADLKCSNAIQSMGKRLRAACHASDASVEKIVKVLDALLSEYEASCHGPGKWQKLAVFLQQSMEGPILDFTRRHIDQIVSEKNSLVLKCRAIEDKMKLVNKQLEDSEKYKSEYLKRYDDAINDKKKLADEYASRMNNLQGDNSSLKERCSSLMKTLDSAKQETLDWRRKYDQVLSKQKAREDQTASEIEVLKSRSTAAEARLAAAREQAESAQEEAEEWKRKYDFAVREAKTALEKAATAQERSSKEIQLREDSLREEFSHSLAEKEEEIKDKTAKVEHAEQCLTTLRLELKAAESKIRSYDAEISSLKVEIRELADKLENANSKAQSFEGKARILEQEKIYLEQKYSSEFNRFAEVEERCRIAEKEARKATELADKARAESVAAQKEKNEMQRTAMERLACIERAERQIENLEREKTDLEDELHRIRVSEMDAVSKVALLEGRVEEREKEIESLLKTNNEQRASTVKVLQDLLDSERAAHADANNRAEALSLQLQAAQAKLDQLQQELTSVRLNETALDSKLKAASHGKRLRTDDEVGVGSVQDIDMSDRFLRANKKSKSTTSPLRYSPSEDGGSVFKADDDNQNQQNNQEDYTKFTVQKLKQELTKHNFGAELLALRNPNKKEILALYEKCVLQKS >KJB73097 pep chromosome:Graimondii2_0_v6:11:51541204:51542588:1 gene:B456_011G214600 transcript:KJB73097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIITIAIGDALLTSMWVFSMPLLKIFTFKIVGFLGAQTLPLATLMVSTVLVSILVMIFSFIGNVFGGASFNPAASMTFHVAGLKKNDSILSMAVRFPAQAAGGVAGVKAALGLIPAEYSNTLTGPSLKVDLHTGAVAEGLFTFGRSLALLLIMFKGPKNGWVKQWLVSLATAILVLTGSRFTGAPMNPASAFGWAYVNNRHNSWELYYVYWIGALFGATLGAWVFRFMVSLPLPPPTKQKKA >KJB74270 pep chromosome:Graimondii2_0_v6:11:61679079:61680463:1 gene:B456_011G2842001 transcript:KJB74270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPVAVENLKNLGQLYVSQNRLSGLLPKTLGSCVSLEKLFLDGNLFEGPIPSSLSSLRGLEALDVSNNNLSGVIPSGGIFKNASATLVEGNSKLCGGIPELHMLRCNLKTSSSNSLRLKVAIIVATLGVTLAFTCLLILWIGKKKEKQATATSVENSVLQLSYQSIVRATDGFSTQNLVGSGSFGSVYKGVLEASGAVIAVKVLNLLNRGASRSFLAECEALKNIRHRNLVKVLTAISGVDYQGTDFKALVYEFMENGSLEDWLHPLIGMNGPETVRNLNFFQRVSVAIDVAHALQYLHHHCEEPIIHCDLKPSNILLDEKMVGHISDFGLAKILSTDRLNYSANKSSSLGFRGTIGYAPPEYGMGSELSTKGDVYSYGILLLEMFTGKRPTDERFREGL >KJB69251 pep chromosome:Graimondii2_0_v6:11:1162920:1164203:-1 gene:B456_011G016600 transcript:KJB69251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB69254 pep chromosome:Graimondii2_0_v6:11:1162920:1164206:-1 gene:B456_011G016600 transcript:KJB69254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTRLL >KJB69249 pep chromosome:Graimondii2_0_v6:11:1162882:1164451:-1 gene:B456_011G016600 transcript:KJB69249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB69252 pep chromosome:Graimondii2_0_v6:11:1162920:1164203:-1 gene:B456_011G016600 transcript:KJB69252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB69250 pep chromosome:Graimondii2_0_v6:11:1162920:1164203:-1 gene:B456_011G016600 transcript:KJB69250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB69253 pep chromosome:Graimondii2_0_v6:11:1162920:1164206:-1 gene:B456_011G016600 transcript:KJB69253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKENRLFIWFYVFEVGCRSSSRL >KJB73613 pep chromosome:Graimondii2_0_v6:11:56658652:56660187:1 gene:B456_011G240600 transcript:KJB73613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLEPIWGSKEFLKFIFIVNFLTSVCVFITAIALYYLTMQEKYLYMPLSGFHGVLAGFLVGIKQIVPDQELYLLKIKVKWLPSLMLLLSIAISFFTPESATYLPTLIFGTYIGWIYLRYLQRKPESKLRGDPSEDFAFSTFFPEFLRPIIDPIASIFHRMLCGKSEVSSNPQGYTLGGAPLLGSDPIEASRRRERGARALEERLAAEKLAAGRDSEEPHIEGNDHV >KJB73611 pep chromosome:Graimondii2_0_v6:11:56656793:56660510:1 gene:B456_011G240600 transcript:KJB73611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGSGMLGGFTKLCKGLAVILIGGHVVVQLLPTAVTYLALIPARTIPFGWNLITAGYIEQSVHGVVVSTLGLLFMGKLLEPIWGSKEFLKFIFIVNFLTSVCVFITAIALYYLTMQEKYLYMPLSGFHGVLAGFLVGIKQIVPDQELYLLKIKVKWLPSLMLLLSIAISFFTPESATYLPTLIFGTYIGWIYLRYLQRKPESKLRGDPSEDFAFSTFFPEFLRPIIDPIASIFHRMLCGKSEVSSNPQGYTLGGAPLLGSDPIEASRRRERGARALEERLAAEKLAAGRDSEEPHIEGNDHV >KJB73617 pep chromosome:Graimondii2_0_v6:11:56658976:56660506:1 gene:B456_011G240600 transcript:KJB73617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYYAKFDLIYLLFMLIILSYMPLSGFHGVLAGFLVGIKQIVPDQELYLLKIKVKWLPSLMLLLSIAISFFTPESATYLPTLIFGTYIGWIYLRYLQRKPESKLRGDPSEDFAFSTFFPEFLRPIIDPIASIFHRMLCGKSEVSSNPQGYTLGGAPLLGSDPIEASRRRERGARALEERLAAEKLAAGRDSEEPHIEGNDHV >KJB73616 pep chromosome:Graimondii2_0_v6:11:56658025:56660506:1 gene:B456_011G240600 transcript:KJB73616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLEPIWGSKEFLKFIFIVNFLTSVCVFITAIALYYLTMQEKYLYMPLSGFHGVLAGFLVGIKQIVPDQELYLLKIKVKWLPSLMLLLSIAISFFTPESATYLPTLIFGTYIGWIYLRYLQRKPESKLRGDPSEDFAFSTFFPEFLRPIIDPIASIFHRMLCGKSEVSSNPQGYTLGGAPLLGSDPIEASRRRERGARALEERLAAEKLAAGRDSEEPHIEGNDHV >KJB73614 pep chromosome:Graimondii2_0_v6:11:56658003:56660506:1 gene:B456_011G240600 transcript:KJB73614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPFGWNLITAGYIEQSVHGVVVSTLGLLFMGKLLEPIWGSKEFLKFIFIVNFLTSVCVFITAIALYYLTMQEKYLYMPLSGFHGVLAGFLVGIKQIVPDQELYLLKIKVKWLPSLMLLLSIAISFFTPESATYLPTLIFGTYIGWIYLRYLQRKPESKLRGDPSEDFAFSTFFPEFLRPIIDPIASIFHRMLCGKSEVSSNPQGYTLGGAPLLGSDPIEASRRRERGARALEERLAAEKLAAGRDSEEPHIEGNDHV >KJB73615 pep chromosome:Graimondii2_0_v6:11:56658423:56660506:1 gene:B456_011G240600 transcript:KJB73615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLEPIWGSKEFLKFIFIVNFLTSVCVFITAIALYYLTMQEKYLYMPLSGFHGVLAGFLVGIKQIVPDQELYLLKIKVKWLPSLMLLLSIAISFFTPESATYLPTLIFGTYIGWIYLRYLQRKPESKLRGDPSEDFAFSTFFPEFLRPIIDPIASIFHRMLCGKSEVSSNPQGYTLGGAPLLGSDPIEASRRRERGARALEERLAAEKLAAGRDSEEPHIEGNDHV >KJB73612 pep chromosome:Graimondii2_0_v6:11:56656951:56660506:1 gene:B456_011G240600 transcript:KJB73612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGSGMLGGFTKLCKGLAVILIGGHVVVQLLPTAVTYLALIPARTIPFGWNLITAGYIEQSVHGVVVSTLGLLFMGKLLEPIWGSKEFLKFIFIVNFLTSVCVFITAIALYYLTMQEKYLYMPLSGFHGVLAGFLVGIKQIVPDQELYLLKIKVKWLPSLMLLLSIAISFFTPESATYLPTLIFGTYIGWIYLRYLQRKPESKLRGDPSEDFAFSTFFPEFLSFIKSSGLYIGRCTITWF >KJB70533 pep chromosome:Graimondii2_0_v6:11:7671244:7673853:-1 gene:B456_011G077900 transcript:KJB70533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYTGAVIISFRDLEARRPLVSRQNLINGKQDATQAVASGIFQINTAVSTFQRLVNALGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHSAGISASKKVADAKLAKDFQAVLKEFQKAQRLAAERETSYAPSVPKAVLPSSYSADE >KJB72090 pep chromosome:Graimondii2_0_v6:11:28114236:28116738:-1 gene:B456_011G158200 transcript:KJB72090 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRXIIF [Source:Projected from Arabidopsis thaliana (AT3G06050) UniProtKB/TrEMBL;Acc:A0A178VBA5] MASTILRRATSSVIKSSLLTEASRRSYASVAVGTDILSAASQVSLQKARSWDEGVTSNFSTTSVNDIFKGKKVVIFGLPGAYTGVCSQQHVPSYKKNIDKFKAKGIDSVICVAVNDPYVMNAWADKLQANDIEFYGDFDGSFHQSLELGKDLSAALLGPRSERWSAYVVDGKVKALNVEGAPSDFKVSGAEVILEQI >KJB72091 pep chromosome:Graimondii2_0_v6:11:28114836:28116738:-1 gene:B456_011G158200 transcript:KJB72091 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRXIIF [Source:Projected from Arabidopsis thaliana (AT3G06050) UniProtKB/TrEMBL;Acc:A0A178VBA5] MASTILRRATSSVIKSSLLTEASRRSYASVAVGTDILSAASQVSLQKARSWDEGVTSNFSTTSVNDIFKGKKVVIFGLPGAYTGVCSQQHVPSYKKNIDKFKAKGIDSVICVAVNDPYVMNAWADKLQANDVIEFYGDFDGSFHQSLELGKDLSAALLGPRSERYF >KJB72088 pep chromosome:Graimondii2_0_v6:11:28114276:28116663:-1 gene:B456_011G158200 transcript:KJB72088 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRXIIF [Source:Projected from Arabidopsis thaliana (AT3G06050) UniProtKB/TrEMBL;Acc:A0A178VBA5] MASTILRRATSSVIKSSLLTEASRRSYASVAVGTDILSAASQVSLQKARSWDEGVTSNFSTTSVNDIFKKNSFQGKKVVIFGLPGAYTGVCSQQHVPSYKKNIDKFKAKGIDSVICVAVNDPYVMNAWADKLQANDVIEFYGDFDGSFHQSLELGKDLSAALLGPRSERWSAYVVDGKVKALNVEGAPSDFKVSGAEVILEQI >KJB72086 pep chromosome:Graimondii2_0_v6:11:28114223:28116745:-1 gene:B456_011G158200 transcript:KJB72086 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRXIIF [Source:Projected from Arabidopsis thaliana (AT3G06050) UniProtKB/TrEMBL;Acc:A0A178VBA5] MASTILRRATSSVIKSSLLTEASRRSYASVAVGTDILSAASQVSLQKARSWDEGVTSNFSTTSVNDIFKGKKVVIFGLPGAYTGVCSQQHVPSYKKNIDKFKAKGIDSVICVAVNDPYVMNAWADKLQANDVIEFYGDFDGSFHQSLELGKDLSAALLGPRSERWSAYVVDGKVKALNVEGAPSDFKVSGAEVILEQI >KJB72089 pep chromosome:Graimondii2_0_v6:11:28114276:28116676:-1 gene:B456_011G158200 transcript:KJB72089 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRXIIF [Source:Projected from Arabidopsis thaliana (AT3G06050) UniProtKB/TrEMBL;Acc:A0A178VBA5] MASTILRRATSSVIKSSLLTEASRRSYASVAVGTDILSAASQVSLQKARSWDEGVTSNFSTTSVNDIFKGKKVVIFGLPVTYTGVCSQQHVPSYKKNIDKFKAKGIDSVICVAVNDPYVMNAWADKLQANDVIEFYGDFDGSFHQSLELGKDLSAALLGPRSERWSAYVVDGKVKALNVEGAPSDFKVSGAEVILEQI >KJB72087 pep chromosome:Graimondii2_0_v6:11:28114665:28116575:-1 gene:B456_011G158200 transcript:KJB72087 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRXIIF [Source:Projected from Arabidopsis thaliana (AT3G06050) UniProtKB/TrEMBL;Acc:A0A178VBA5] MASTILRRATSSVIKSSLLTEASRRSYASVAVGTDILSAASQVSLQKARSWDEGVTSNFSTTSVNDIFKGKKVVIFGLPGAYTGVCSQQHVPSYKKNIDKFKAKGIDSVICVAVNDPYVMNAWADKLQANDVSLYRLNFMGTLMGASTRALNWEKISLLLCLGLALKDGQPTWSMER >KJB71342 pep chromosome:Graimondii2_0_v6:11:15560173:15564102:1 gene:B456_011G117300 transcript:KJB71342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFKAFDLGCHQIARRVWKDYYAKVRREKISERMKYLQDLVPGCNKITDKAGMLNEIINYVQSLQWQVEVKK >KJB73601 pep chromosome:Graimondii2_0_v6:11:56597086:56599097:1 gene:B456_011G240400 transcript:KJB73601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSWKARREIAVGIGRALAYIHEEVKPHIVHRDIKLSNILLDQNFAPKVSDFGLSRLFFDSVTHLTTGVAGTLGYLAPEYAVSGRLTRKADVYSFGVLLLEIVSGRTAIDFDPDVGEFFLVQKAWEMYRSNKLVQMVDPVLNEMRFSKQDVDRFLKVALMCVQQKARLRPYMSTVVKMMCNEIDIRNMQISDPGLITNIMDVKIGNPRSCSSSFSKMLSPQFQTS >KJB73599 pep chromosome:Graimondii2_0_v6:11:56594871:56599097:1 gene:B456_011G240400 transcript:KJB73599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHVPTDSQALWNLQAFSYDELKIATDGFRSSNKIGEGGFGFVYKGILEDGRRVAVKVLSAGSKQGDREFMSEIASISNIRHENLVKLHGGCIDGSSKLLVYEYMENNSLAHILLGGEENRAMLSWKARREIAVGIGRALAYIHEEVKPHIVHRDIKLSNILLDQNFAPKVSDFGLSRLFFDSVTHLTTGVAGTLGYLAPEYAVSGRLTRKADVYSFGVLLLEIVSGRTAIDFDPDVGEFFLVQKAWEMYRSNKLVQMVDPVLNEMRFSKQDVDRFLKVALMCVQQKARLRPYMSTVVKMMCNEIDIRNMQISDPGLITNIMDVKIGNPRSCSSSFSKMLSPQFQTS >KJB73600 pep chromosome:Graimondii2_0_v6:11:56597086:56599139:1 gene:B456_011G240400 transcript:KJB73600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIASISNIRHENLVKLHGGCIDGSSKLLVYEYMENNSLAHILLGGEENRAMLSWKARREIAVGIGRALAYIHEEVKPHIVHRDIKLSNILLDQNFAPKVSDFGLSRLFFDSVTHLTTGVAGTLGYLAPEYAVSGRLTRKADVYSFGVLLLEIVSGRTAIDFDPDVGEFFLVQKAWEMYRSNKLVQMVDPVLNEMRFSKQDVDRFLKVALMCVQQKARLRPYMSTVVKMMCNEIDIRNMQISDPGLITNIMDVKIGNPRSCSSSFSKMLSPQFQTS >KJB69408 pep chromosome:Graimondii2_0_v6:11:1568905:1573136:-1 gene:B456_011G022400 transcript:KJB69408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSVATSSSRICFNSVCNDLKSERPRKGWQLRTGELAELCDRCASIYDEGRFCDAFHLNASGWRTCESCGKRVHCGCIVSAYAFALLDAGGILCIACSRKNAVLGPNSSWPPSLLFNSSLPERFKDCSAKGWSQLTGSGPAPWRQAPGLFNSSTSQPELHSRVPYEVDLSTGIDRLNVSERLSIPSLEKKKNEDFPERLMNGPLKPSAHDIHENGNTGINCEEQHTSCLTKPQLPSLKEDSSNPPFGLAVPYTSIDEANGQMGVSGTHLRPNPQPSLAKQFHSNPHNGLDSSGETQMRNGRPRADGRGRNQLFPRYWPRFTDQDLQQISADSNSIITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDSKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDVVTFSRLEPGGKLVMGFRKASAASASEQENEAKNSNGVSTHGDAELADPSSWSKVDKSGYIAKEALGTKVAVPRKRKNSVLGSKSKRLRIDNEDMIELKLTWEEAQGLLRPPPNHVANVVSIEGFEFEEYEVSYIFI >KJB69406 pep chromosome:Graimondii2_0_v6:11:1566753:1573234:-1 gene:B456_011G022400 transcript:KJB69406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSVATSSSRICFNSVCNDLKSERPRKGWQLRTGELAELCDRCASIYDEGRFCDAFHLNASGWRTCESCGKRVHCGCIVSAYAFALLDAGGILCIACSRKNAVLGPNSSWPPSLLFNSSLPERFKDCSAKGWSQLTGSGPAPWRQAPGLFNSSTSQPELHSRVPYEVDLSTGIDRLNVSERLSIPSLEKKKNEDFPERLMNGPLKPSAHDIHENGNTGINCEEQHTSCLTKPQLPSLKEDSSNPPFGLAVPYTSIDEANGQMGVSGTHLRPNPQPSLAKQFHSNPHNGLDSSGETQMRNGRPRADGRGRNQLFPRYWPRFTDQDLQQISADSNSIITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDSKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDVVTFSRLEPGGKLVMGFRKASAASASEQENEAKNSNGVSTHGDAELADPSSWSKVDKSGYIAKEALGTKVAVPRKRKNSVLGSKSKRLRIDNEDMIELKLTWEEAQGLLRPPPNHVANVVSIEGFEFEEYEDAPVLGKPTIFATDKSGEKIQWAQCEDCFKWRRLPSDVLLPSKWTCSSNSWDPERSSCSATQELTAEELENLLPHCNLAASKKMKAAKQESENVDALEGLDTLANLAILGEGDVLPASQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFRTLMLRREKKQSQKEADATRKKQQPSLPDKVVGDEPLPCTTSAGNSSLNPEKVVSENLDDDTNRLKSSSSPFKGQIDLNIQPEREEELSPGSDSGGTMRLLQDATDKYHRQQSILSSSGNSNIEVTQTQPGSGTELGKINSSIDLRASHRDADMDHPAIFPIKTFAPTSATG >KJB69407 pep chromosome:Graimondii2_0_v6:11:1566841:1573136:-1 gene:B456_011G022400 transcript:KJB69407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSVATSSSRICFNSVCNDLKSERPRKGWQLRTGELAELCDRCASIYDEGRFCDAFHLNASGWRTCESCGKRVHCGCIVSAYAFALLDAGGILCIACSRKNAVLGPNSSWPPSLLFNSSLPERFKDCSAKGWSQLTGSGPAPWRQAPGLFNSSTSQPELHSRVPYEVDLSTGIDRLNVSERLSIPSLEKKKNEDFPERLMNGPLKPSAHDIHENGNTGINCEEQHTSCLTKPQLPSLKEDSSNPPFGLAVPYTSIDEANGQMGVSGTHLRPNPQPSLAKQFHSNPHNGLDSSGETQMRNGRPRADGRGRNQLFPRYWPRFTDQDLQQISADSNSIITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDSKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDVVTFSRLEPGGKLVMGFRKASAASASEQENEAKNSNGVSTHGDAELADPSSWSKVDKSGYIAKEALGTKVAVPRKRKNSVLGSKSKRLRIDNEDMIELKLTWEEAQGLLRPPPNHVANVVSIEGFEFEEYEDAPVLGKPTIFATDKSGEKIQWAQCEDCFKWRRLPSDVLLPSKWTCSSNSWDPERSSCSATQELTAEELENLLPHCNLAAFIAR >KJB72772 pep chromosome:Graimondii2_0_v6:11:47319635:47322096:-1 gene:B456_011G196400 transcript:KJB72772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDMDGFKLLEHIGLEMDLPVIMMSADDGKQVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKNEWKEFEQSGSVEEGDRQPKQSDDADYSSSANEGNWKGSKRRKDEEEETDERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQSNLSTIISAQDPTFGSLSSLSGLDLQTLAATGQLPAQSLARLQAAGLGRATAKSGIPITLVDQRNIFSFENPKLRFGEGQQQHMTNKQQVNLLHGIPTTMEAKQLVSLRHAAQSVGNMNMQVPPPGPQSSQNNPLLMQMGQQQQQQQSRGQILVDSTINHAPRLSSMGQPILSNGMATNVSSRNGIPENIRAPGYSQTPSMLNFPMNHASELPGNCFPLGSTPGVSNLTSKGAFQEDVNSEIKGSGGFMPSYDVFNDLNQHKPQSWELQNVGIAFDSSQHSNSLQGNLDLTQSALGQQGFSSGQMNGHNRSAAVASKAVFSTGDVKELRSAQNVNQHLNNLLVDNTIRVKSERVCDTSPANIFPDHFGQDDLMSALLKQQESVASSENEFDFDGYSMNNIPV >KJB72774 pep chromosome:Graimondii2_0_v6:11:47319635:47322608:-1 gene:B456_011G196400 transcript:KJB72774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSSVKGSMSISSSTSTWKAGDTISDQFPAGLRVLVVDDDPTCLMILEKMLTACLYKVTKCNRAETALSKLRENKNGYDIVLSDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKQVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKNEWKEFEQSGSVEEGDRQPKQSDDADYSSSANEGNWKGSKRRKDEEEETDERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQSNLSTIISAQDPTFGSLSSLSGLDLQTLAATGQLPAQSLARLQAAGLGRATAKSGIPITLVDQRNIFSFENPKLRFGEGQQQHMTNKQQVNLLHGIPTTMEAKQLVSLRHAAQSVGNMNMQVPPPGPQSSQNNPLLMQMGQQQQQQQSRGQILVDSTINHAPRLSSMGQPILSNGMATNVSSRNGIPENIRAPGYSQTPSMLNFPMNHASELPGNCFPLGSTPGVSNLTSKGAFQEDVNSEIKGSGGFMPSYDVFNDLNQHKPQSWELQNVGIAFDSSQHSNSLQGNLDLTQSALGQQGFSSGQMNGHNRSAAVASKAVFSTGDVKELRSAQNVNQHLNNLLVDNTIRVKSERVCDTSPANIFPDHFGQDDLMSALLKQQESVASSENEFDFDGYSMNNIPV >KJB72771 pep chromosome:Graimondii2_0_v6:11:47319062:47322906:-1 gene:B456_011G196400 transcript:KJB72771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDMDGFKLLEHIGLEMDLPVIMMSADDGKQVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKNEWKEFEQSGSVEEGDRQPKQSDDADYSSSANEGNWKGSKRRKDEEEETDERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDTVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQSNLSTIISAQDPTFGSLSSLSGLDLQTLAATGQLPAQSLARLQAAGLGRATAKSGIPITLVDQRNIFSFENPKLRFGEGQQQHMTNKQQVNLLHGIPTTMEAKQLVSLRHAAQSVGNMNMQVPPPGPQSSQNNPLLMQMGQQQQQQQSRGQILVDSTINHAPRLSSMGQPILSNGMATNVSSRNGIPENIRAPGYSQTPSMLNFPMNHASELPGNCFPLGSTPGVSNLTSKGAFQEDVNSEIKGSGGFMPSYDVFNDLNQHKPQSWELQNVGIAFDSSQHSNSLQGNLDLTQSALGQQGFSSGQMNGHNRSAAVASKAVFSTGDVKELRSAQNVNQHLNNLLVDNTIRVKSERVCDTSPANIFPDHFGQDDLMSALLKQQESVASSENEFDFDGYSMNNIPV >KJB72773 pep chromosome:Graimondii2_0_v6:11:47319062:47322913:-1 gene:B456_011G196400 transcript:KJB72773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSSVKGSMSISSSTSTWKAGDTISDQFPAGLRVLVVDDDPTCLMILEKMLTACLYKVTKCNRAETALSKLRENKNGYDIVLSDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKQVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKNEWKEFEQSGSVEEGDRQPKQSDDADYSSSANEGNWKGSKRRKDEEEETDERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDTVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQSNLSTIISAQDPTFGSLSSLSGLDLQTLAATGQLPAQSLARLQAAGLGRATAKSGIPITLVDQRNIFSFENPKLRFGEGQQQHMTNKQQVNLLHGIPTTMEAKQLVSLRHAAQSVGNMNMQVPPPGPQSSQNNPLLMQMGQQQQQQQSRGQILVDSTINHAPRLSSMGQPILSNGMATNVSSRNGIPENIRAPGYSQTPSMLNFPMNHASELPGNCFPLGSTPGVSNLTSKGAFQEDVNSEIKGSGGFMPSYDVFNDLNQHKPQSWELQNVGIAFDSSQHSNSLQGNLDLTQSALGQQGFSSGQMNGHNRSAAVASKAVFSTGDVKELRSAQNVNQHLNNLLVDNTIRVKSERVCDTSPANIFPDHFGQDDLMSALLKQQESVASSENEFDFDGYSMNNIPV >KJB71011 pep chromosome:Graimondii2_0_v6:11:11179964:11184240:-1 gene:B456_011G100500 transcript:KJB71011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MSLSQWQWETATAGAVAGFATVAAMYPLDIVRTRFQVNDGRVTNFPAYKNTAHAIFTITRLEGLKGLYAGFLPAVLGSTVSWGLYFFFYGRAKQRYSKNREEKLSSGHHLASAAEAGALVSLCTNPIWLIKTRLQLQNPLHQSRRYSGIYGLPFILRYFANALRTILREEGWTALYKGLGPGLLMVSHGAIQFTAYEELRRIMVDYKEKKQKSEGASNLLNSFDYALLGGSSKIAAILITYPFQVIRTRAQQRPSKEGIPRYMNSWHVVKETARFEGIRGFYKGITPNLLKNVPASSITFIVYENVLKLLRPKRRND >KJB71010 pep chromosome:Graimondii2_0_v6:11:11179964:11184240:-1 gene:B456_011G100500 transcript:KJB71010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MSLSQWQWETATAGAVAGFATVAAMYPLDIVRTRFQVNDGRVTNFPAYKNTAHAIFTITRLEGLKGLYAGFLPAVLGSTVSWGLYFFFYGRAKQRYSKNREEKLSSGHHLASAAEAGALVSLCTNPIWLIKTRLQLQNPLHQSRRYSGIYDALRTILREEGWTALYKGLGPGLLMQVSHGAIQFTAYEELRRIMVDYKEKKQKSEGASNLLNSFDYALLGGSSKIAAILITYPFQVIRTRAQQRPSKEGIPRYMNSWHVVKETARFEGIRGFYKGITPNLLKNVPASSITFIVYENVLKLLRPKRRND >KJB71013 pep chromosome:Graimondii2_0_v6:11:11180969:11184240:-1 gene:B456_011G100500 transcript:KJB71013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MSLSQWQWETATAGAVAGFATVAAMYPLDIVRTRFQVNDGRVTNFPAYKNTAHAIFTITRLEGLKGLYAGFLPAVLGSTVSWGLYFFFYGRAKQRYSKNREEKLSSGHHLASAAEAGALVSLCTNPIWLIKTRLQLQNPLHQSRRYSGIYDALRTILREEGWTALYKGLGPGLLMVSHGAIQFTAYEELRRIMVDYKEKKQKSEGASNLLNSFDYALLGGSSKIAAILITYPFQVIRTRAQVK >KJB71012 pep chromosome:Graimondii2_0_v6:11:11179964:11184279:-1 gene:B456_011G100500 transcript:KJB71012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MSLSQWQWETATAGAVAGFATVAAMYPLDIVRTRFQVNDGRVTNFPAYKNTAHAIFTITRLEGLKGLYAGFLPAVLGSTVSWGLYFFFYGRAKQRYSKNREEKLSSGHHLASAAEAGALVSLCTNPIWLIKTRLQLQNPLHQSRRYSGIYDALRTILREEGWTALYKGLGPGLLMVSHGAIQFTAYEELRRIMVDYKEKKQKSEGASNLLNSFDYALLGGSSKIAAILITYPFQVIRTRAQQRPSKEGIPRYMNSWHVVKETARFEGIRGFYKGITPNLLKNVPASSITFIVYENVLKLLRPKRRND >KJB74157 pep chromosome:Graimondii2_0_v6:11:60878556:60880498:-1 gene:B456_011G276400 transcript:KJB74157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLRPLIFYILTALAIFMLISPSHSSLDNVNDRVTLSLYYETSLCQHCASFISNDLVKVFHTDLHTIINLRLVPWGNVEIHCQKEHLEFIRCTEQQSLKGGPVEALWKNCSEKLRLNEEIINKCYTTGFGYKLLLQHANETAHLKPPQEYVPWVVVNNQPLRQLQDLENFVKYVCEAYKGDHKPAACKAQSSGLSPTIHALPQPPPIPVVGFYKLAVQWPPSVCKSTLNCKKPILPEFKIHGIWAQDAHDRPVPQYGPRNPCTHPNPNLDKKKLKGFLQSDPVLWIDLPRLWPNLILGKSDISFWHDEWTKHGTCSDFAQRPLSYFQSAIQLKKKLSRVIGLTPDSTYTVQQAVNAVFQLIHAYPQISCNRNRTNNRQLLLSEMYICYERPTSSNLLGTLKNCSHLYHGQCNSLSDIISFPR >KJB74159 pep chromosome:Graimondii2_0_v6:11:60878508:60880553:-1 gene:B456_011G276400 transcript:KJB74159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLRPLIFYILTALAIFMLISPSHSSLDNVNDRVTLSLYYETSLCQHCASFISNDLVKVFHTDLHTIINLRLVPWGNVEIHCQKEHLEFIRCTEQQSLKGGPVEALWKNCSEKLRLNEEIINKCYTTGFGYKLLLQHANETAHLKPPQEYVPWVVVNNQPLRQDLENFVKYVCEAYKGDHKPAACKAQSSGLSPTIHALPQPPPIPVVGFYKLAVQWPPSVCKSTLNCKKPILPEFKIHGIWAQDAHDRPVPQYGPRNPCTHPNPNLDKKKLKGFLQSDPVLWIDLPRLWPNLILGKSDISFWHDEWTKHGTCSDFAQRPLSYFQSAIQLKKKLSRVIGLTPDSTYTVQQAVNAVFQLIHAYPQISCNRNRTNNRQLLLSEMYICYERPTSSNLLGTLKNCSHLYHGQCNSLSDIISFPR >KJB74158 pep chromosome:Graimondii2_0_v6:11:60878556:60880498:-1 gene:B456_011G276400 transcript:KJB74158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLRPLIFYILTALAIFMLISPSHSSLDNVNDRVTLSLYYETSLCQHCASFISNDLVKVFHTDLHTIINLRLVPWGNVEIHCQHGEEECYLNTIHSCVIHFWPDVKEHLEFIRCTEQQSLKGGPVEALWKNCSEKLRLNEEIINKCYTTGFGYKLLLQHANETAHLKPPQEYVPWVVVNNQPLRQLQDLENFVKYVCEAYKGDHKPAACKAQSSGLSPTIHALPQPPPIPVVGFYKLAVQWPPSVCKSTLNCKKPILPEFKIHGIWAQDAHDRPVPQYGPRNPCTHPNPNLDKKKLKGFLQSDPVLWIDLPRLWPNLILGKSDISFWHDEWTKHGTCSDFAQRPLSYFQSAIQLKKKLSRVIGLTPDSTYTVQQAVNAVFQLIHAYPQISCNRNRTNNRQLLLSEMYICYERPTSSNLLGTLKNCSHLYHGQCNSLSDIISFPR >KJB74160 pep chromosome:Graimondii2_0_v6:11:60878508:60880553:-1 gene:B456_011G276400 transcript:KJB74160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLRPLIFYILTALAIFMLISPSHSSLDNVNDRVTLSLYYETSLCQHCASFISNDLVKVFHTDLHTIINLRLVPWGNVEIHCQHGEEECYLNTIHSCVIHFWPDVKEHLEFIRCTEQQSLKGGPVEALWKNCSEKLRLNEEIINKCYTTGFGYKLLLQHANETAHLKPPQEYVPWVVVNNQPLRQDLENFVKYVCEAYKGDHKPAACKAQSSGLSPTIHALPQPPPIPVVGFYKLAVQWPPSVCKSTLNCKKPILPEFKIHGIWAQDAHDRPVPQYGPRNPCTHPNPNLDKKKLKGFLQSDPVLWIDLPRLWPNLILGKSDISFWHDEWTKHGTCSDFAQRPLSYFQSAIQLKKKLSRVIGLTPDSTYTVQQAVNAVFQLIHAYPQISCNRNRTNNRQLLLSEMYICYERPTSSNLLGTLKNCSHLYHGQCNSLSDIISFPR >KJB69283 pep chromosome:Graimondii2_0_v6:11:1040623:1041254:1 gene:B456_011G014600 transcript:KJB69283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFTHENSYWADEDWGSLVSTHSWHRYDDEINNTEEKVKLLGHGEKIAGNTSEVKLTISKKELEQLVHMMEVQGSTLEQALARMLVDGGGDVYEVEQRRPWKPVLQSIPEVN >KJB68790 pep chromosome:Graimondii2_0_v6:11:17716983:17719402:-1 gene:B456_011G125100 transcript:KJB68790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARGWTQKYAMG >KJB68791 pep chromosome:Graimondii2_0_v6:11:17717019:17719336:-1 gene:B456_011G125100 transcript:KJB68791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKDQGFPSKHQ >KJB68786 pep chromosome:Graimondii2_0_v6:11:17716983:17719099:-1 gene:B456_011G125100 transcript:KJB68786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARGWTQKYAMG >KJB68792 pep chromosome:Graimondii2_0_v6:11:17717703:17719336:-1 gene:B456_011G125100 transcript:KJB68792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKV >KJB68788 pep chromosome:Graimondii2_0_v6:11:17716983:17719336:-1 gene:B456_011G125100 transcript:KJB68788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAAEDMFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARGWTQKYAMG >KJB68785 pep chromosome:Graimondii2_0_v6:11:17717341:17718279:-1 gene:B456_011G125100 transcript:KJB68785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARGWTQKYAMG >KJB68787 pep chromosome:Graimondii2_0_v6:11:17716983:17719336:-1 gene:B456_011G125100 transcript:KJB68787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARGWTQKYAMG >KJB68793 pep chromosome:Graimondii2_0_v6:11:17717795:17719336:-1 gene:B456_011G125100 transcript:KJB68793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKVVCFTWIVLLSLFCVCEMLFEWYVLFSWIMLPSCLGCI >KJB68789 pep chromosome:Graimondii2_0_v6:11:17716983:17719336:-1 gene:B456_011G125100 transcript:KJB68789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARGWTQKYAMG >KJB68794 pep chromosome:Graimondii2_0_v6:11:17718052:17718279:-1 gene:B456_011G125100 transcript:KJB68794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKVVCFTWIVLLSLFCVCEMLFEWYVLFSWIMLPSCLGCI >KJB71619 pep chromosome:Graimondii2_0_v6:11:20269510:20273174:-1 gene:B456_011G134000 transcript:KJB71619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAQIHVLGGIGFASSRKPNHHSPRTVFLGQRLNKTSPLNAAFLRLAKTNNNGKRYSVGPVRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKTGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYKVIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAENFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQANISLPFITATADGPKHIETTITRVKFEELCSDLLDRLKTPVENSLRDAKLSFKDIDEVILVGGSTRIPAVQELVRKMTGKEPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVSPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPNDEVDRMVKEAEKFSKEDKERRDAIDTKNQADSVVYQTEKQLKELGDKVPGPVKEKVEAKLQELRDAISGGSTQGMKDAMAALNQEVMQLGQSLYNQPGAAGGPGGPAPGGETGPSDSSNKGPDGDVIEADFTDSK >KJB71620 pep chromosome:Graimondii2_0_v6:11:20269452:20273199:-1 gene:B456_011G134000 transcript:KJB71620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAQIHVLGGIGFASSRKPNHHSPRTVFLGQRLNKTSPLNAAFLRLAKTNNNGKRYSVGPVRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKTGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYKVIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAENFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQANISLPFITATADGPKHIETTITRVKFEELCSDLLDRLKTPVENSLRDAKLSFKDIDEVILVGGSTRIPAVQELVRKMTGKEPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVSPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPNDEVDRMVKEAEKFSKEDKERRDAIDTKNQADSVVYQTEKQLKELGDKVPGPVKEKVEAKLQELRDAISGGSTQGMKDAMAALNQEVMQLGQSLYNQPGAAGGPGGPAPGGETGPSDSSNKGPDGDVIEADFTDSK >KJB71197 pep chromosome:Graimondii2_0_v6:11:13056222:13058816:-1 gene:B456_011G109700 transcript:KJB71197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFSCFPWRFWSIGSKDKQLVSNGSSLNSSSSETVIFQTKITPSKGKGKWQGGEKTRVVQSDGVYLSGYESDGPEWSIGWEEPHGPGFQSDNEDDGGFVVLVPCYKPGCKQLVEGPNSQLLSAIKNLSNGCSSEGSNSVQQWFSSLENF >KJB71198 pep chromosome:Graimondii2_0_v6:11:13056227:13058816:-1 gene:B456_011G109700 transcript:KJB71198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFSCFPWRFWSIGSKDKQLVSNGSSLNSSSSETVIFQTKITPSKGKGKWQGGEKTRVVQSDGVYLSGYESDGPEWSIGWEEPHGPGFQSDNEDDGGFVVLVPCYKPGCKQLVEGPNSQLLSAIKNLSNGCSSDPSLGGF >KJB71188 pep chromosome:Graimondii2_0_v6:11:12891947:12894654:-1 gene:B456_011G109100 transcript:KJB71188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSRLKLSIPANTNTLLISFSDNLFPITLELPLISSFSHLPCKIKPRRTKQSHSPSLEKNLLFNLCLQASSITNAEVEEKVDVEVAEGYTMTQFCDKIIDVFLNEKPRVKDWKKYLILREEWSKYRETFYNRCRIRADKEIDPTMKQKLVSLENKVKKIDDEMDRHCELFKEIQDSPTDINAIITRRRKDFTDEFFQYLNLVSETCDSLEDRDEVSRLAARCLSAVGTYDKTLEAVENLDSAQAKFDDLLNSPSVDVACEKIKSLAKGKELDSSLVLLINSAWASAKDSTTMKNEVKDIMYRLYKVTKSSLKSMAPKEIKLLKHLLNITDPEERFSALATAFSPGNEHEAKDPRALYTGMTFSIWLPFPC >KJB71187 pep chromosome:Graimondii2_0_v6:11:12892029:12894654:-1 gene:B456_011G109100 transcript:KJB71187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSRLKLSIPANTNTLLISFSDNLFPITLELPLISSFSHLPCKIKPRRTKQSHSPSLEKNLLFNLCLQASSITNAEVEEKVDVEVAEGYTMTQFCDKIIDVFLNEKPRVKDWKKYLILREEWSKYRETFYNRCRIRADKEIDPTMKQKLVSLENKVKKIDDEMDRHCELFKEIQDSPTDINAIITRRRKDFTDEFFQYLNLVSETCDSLEDRDEVSRLAARCLSAVGTYDKTLEAVENLDSAQAKFDDLLNSPSVDVACEKIKSLAKGKELDSSLVLLINSAWASAKDSTTMKNEVKDIMYRLYKVTKSSLKSMAPKEIKLLKHLLNITDPEERFSALATAFSPGNEHEAKDPRALYT >KJB71185 pep chromosome:Graimondii2_0_v6:11:12891335:12894828:-1 gene:B456_011G109100 transcript:KJB71185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSRLKLSIPANTNTLLISFSDNLFPITLELPLISSFSHLPCKIKPRRTKQSHSPSLEKNLLFNLCLQASSITNAEVEEKVDVEVAEGYTMTQFCDKIIDVFLNEKPRVKDWKKYLILREEWSKYRETFYNRCRIRADKEIDPTMKQKLVSLENKVKKIDDEMDRHCELFKEIQDSPTDINAIITRRRKDFTDEFFQYLNLVSETCDSLEDRDEVSRLAARCLSAVGTYDKTLEAVENLDSAQAKFDDLLNSPSVDVACEKIKSLAKGKELDSSLVLLINSAWASAKDSTTMKNEVKDIMYRLYKVTKSSLKSMAPKEIKLLKHLLNITDPEERFSALATAFSPGNEHEAKDPRALYTTPKELHKWIKIMLDAYTLHKEETDIKEAKKMTQPVVIQRLFILKETIEEEYLDQTMAPRTEDKTKLEEL >KJB71189 pep chromosome:Graimondii2_0_v6:11:12891732:12894654:-1 gene:B456_011G109100 transcript:KJB71189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSRLKLSIPANTNTLLISFSDNLFPITLELPLISSFSHLPCKIKPRRTKQSHSPSLEKNLLFNLCLQASSITNAEVEEKVDVEVAEGYTMTQFCDKIIDVFLNEKPRVKDWKKYLILREEWSKYRETFYNRCRIRADKEIDPTMKQKLVSLENKIDDEMDRHCELFKEIQDSPTDINAIITRRRKDFTDEFFQYLNLVSETCDSLEDRDEVSRLAARCLSAVGTYDKTLEAVENLDSAQAKFDDLLNSPSVDVACEKIKSLAKGKELDSSLVLLINSAWASAKDSTTMKNEVKDIMYRLYKVTKSSLKSMAPKEIKLLKHLLNITDPEERFSALATAFSPGNEHEAKDPRALYTTPKELHKWIKIMLDAYTLHKEETDIKEAKKMTQPVVIQRLFILKETIEEEYLDQTMAPRTEDKTKLEEL >KJB71186 pep chromosome:Graimondii2_0_v6:11:12891525:12894828:-1 gene:B456_011G109100 transcript:KJB71186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHCELFKEIQDSPTDINAIITRRRKDFTDEFFQYLNLVSETCDSLEDRDEVSRLAARCLSAVGTYDKTLEAVENLDSAQAKFDDLLNSPSVDVACEKIKSLAKGKELDSSLVLLINSAWASAKDSTTMKNEVKDIMYRLYKVTKSSLKSMAPKEIKLLKHLLNITDPEERFSALATAFSPGNEHEAKDPRALYTTPKELHKWIKIMLDAYTLHKEETDIKEAKKMTQPVVIQRLFILKETIEEEYLDQTMAPRTEDKTKLEEL >KJB69999 pep chromosome:Graimondii2_0_v6:11:5000566:5001087:1 gene:B456_011G061200 transcript:KJB69999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRQRGRSYRQRGNNRQLSYSRQRTNHPKPEPLPAWEKTFCIEVGAMPWERFVKAKKNLHEHDRVFEWDDSAGLIAFQEAKQRFWEIYHGYPCENKLPSNAADLYIDDVDWNSEIDPELYAEIKSLTDDEDGEKDNAKEMDWFSIPLEEIQATGWDEYEEPTPRLPSIVGSP >KJB72607 pep chromosome:Graimondii2_0_v6:11:45470342:45471085:1 gene:B456_011G190300 transcript:KJB72607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPESSFAHQNNIALENKVYTQLFGPDKDGKMLGYGRGMTKSRLFGYGSVTRGSQSTLVISTLIKEISTKHVEKI >KJB69806 pep chromosome:Graimondii2_0_v6:11:3180738:3184683:-1 gene:B456_011G043200 transcript:KJB69806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHVEPTIATAGPTPLSPPRDSLDLIPVGSVEAEEEIETLSPPSEEDHDHANGQNRDQKQNQENSSATAVLTDDLKNKIIKQVEYYFSDENLPTDKYMMSLTKKNKEGFVAISVIASFRRMKRLSRSYPSIVAALKESSLLVVSSDGKMVKRRNSLPSIEVRDPKLFTVLVENLPEDHSVENIRRIFGEVGNIKNISLRDPHAVEESKKSVRVDLLVSSKLHALVEYETVEAAEKAVATLNDERDWRNGMHVKLLKRIMGKHAQRRPAWRGPDPAEKNSNARASDQTGDDENNASNQHHEGLPDDEDGENLSKEKNGHRPRNRGRARKPRTRGTNGLGIRISHSSKLFVFPSFPYDNNLLDNILCYTGHGTTSSSHAIEPSKPPPGPRMPDGTRGFTMGRGRPLVSRQG >KJB69803 pep chromosome:Graimondii2_0_v6:11:3180372:3184810:-1 gene:B456_011G043200 transcript:KJB69803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHVEPTIATAGPTPLSPPRDSLDLIPVGSVEAEEEIETLSPPSEEDHDHANGQNRDQKQNQENSSATAVLTDDLKNKIIKQVEYYFSDENLPTDKYMMSLTKKNKEGFVAISVIASFRRMKRLSRSYPSIVAALKESSLLVVSSDGKMVKRRNSLPSIEVRDPKLFTVLVENLPEDHSVENIRRIFGEVGNIKNISLRDPHAVEESKKSVRVDLLVSSKLHALVEYETVEAAEKAVATLNDERDWRNGMHVKLLKRIMGKHAQRRPAWRGPDPAEKNSNARASDQTGDDENNASNQHHEGLPDDEDGENLSKEKNGHRPRNRGRARKPRTRGTNGLGHGTTSSSHAIEPSKPPPGPRMPDGTRGFTMGRGRPLVSRQG >KJB69805 pep chromosome:Graimondii2_0_v6:11:3180402:3184790:-1 gene:B456_011G043200 transcript:KJB69805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHVEPTIATAGPTPLSPPRDSLDLIPVGSVEAEEEIETLSPPSEEDHDHANGQNRDQKQNQENSSATAVLTDDLKNKIIKQVEYYFSDENLPTDKYMMSLTKKNKEGFVAISVIASFRRMKRLSRSYPSIVAALKESSLLVVSSDGKMVKRRNSLPSIEVRDPKLFTVLVENLPEDHSVENIRRIFGEVGNIKNISLRDPHAVEESKKSVRVDLLVSSKLHALVEYETVEAAEKAVATLNDERDWRNGMHVKLLKRIMGKHAQRRPAWRGPDPAEKNSNARASDQTGDDENNASNQHHEGLPDDEDGENLSKEKNGHRPRNRGRARKPRTRGHGTTSSSHAIEPSKPPPGPRMPDGTRGFTMGRGRPLVSRQG >KJB69804 pep chromosome:Graimondii2_0_v6:11:3180402:3184376:-1 gene:B456_011G043200 transcript:KJB69804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLTKKNKEGFVAISVIASFRRMKRLSRSYPSIVAALKESSLLVVSSDGKMVKRRNSLPSIEVRDPKLFTVLVENLPEDHSVENIRRIFGEVGNIKNISLRDPHAVEESKKSVRVDLLVSSKLHALVEYETVEAAEKAVATLNDERDWRNGMHVKLLKRIMGKHAQRRPAWRGPDPAEKNSNARASDQTGDDENNASNQHHEGLPDDEDGENLSKEKNGHRPRNRGRARKPRTRGTNGLGHGTTSSSHAIEPSKPPPGPRMPDGTRGFTMGRGRPLVSRQG >KJB71373 pep chromosome:Graimondii2_0_v6:11:16341761:16343520:1 gene:B456_011G119300 transcript:KJB71373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAQMTIPHLFRCPISLDLFSDPVTLSTGQTYDRSSIEKWFAFGNLTCPVTMQKLHDPSLVPNHNLRHLIEQWRQMGHHFHPEYSTTIDPSLYLKRNLESPHSTLQDKLQTLEKIRVLMDKTPSKTPFLLQIGFLPLLSQLLFGKLDPQFSGKYADFEEKLLSCILKLIPFGEFQCLNMLKEASKLESFLVLFENGSGMMKQNLCRLVGAISSSPETRELCAMIGRNHRFLQGIDHLIQQNFETSEAGIEAISALCCLESNRESLVREGLINGLITYILNTETKERSSSGTAMRTLEELVGSERSGKEALIKDPRGVKAVVKMVFRVSDHGGSESALNSLIMVCYESLEAREKAIGAGVLTQLLLLLQSQCSCRIKSKARTLLKLLRSKWDEEQ >KJB71548 pep chromosome:Graimondii2_0_v6:11:18734064:18737741:-1 gene:B456_011G128700 transcript:KJB71548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCLLVLLLLCLPFISVAYRPGDIVPMSKMGQYHSSRTVWHDVIGKHCPIFAVNREVLIPIAKPTGYTGADPYKISFQVGKEKFLVPWLFLINRKSSEVPMIDMHLRYSGGDLHGVTAKIVDMPHHYVEIHPNIRKQFWDPQHWPKHVLVRYTWEEQSEIDVTSGFYVLFGSACLDVPIKCA >KJB71549 pep chromosome:Graimondii2_0_v6:11:18734064:18737741:-1 gene:B456_011G128700 transcript:KJB71549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCLLVLLLLCLPFISVAYRPGDIVPMSKMGQYHSSRTVWHDVIGKHCPIFAVNREVLIPIAKPTGYTGADPYKISFQVGKEKFLVPWLFLINRKSSEVPMIDMHLRYSGGDLHGVTAKIVDMPHHYVEIHPNIRKQFWDPQHWPKHVLVRYTWEEQSEIDVTSGFYVLFGSACLDVPIKCA >KJB71546 pep chromosome:Graimondii2_0_v6:11:18732917:18737917:-1 gene:B456_011G128700 transcript:KJB71546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCLLVLLLLCLPFISVAYRPGDIVPMSKMGQYHSSRTVWHDVIGKHCPIFAVNREVLIPIAKPTGYTGADPYKISFQVGKEKFLVPWLFLINRKSSEVPMIDMHLRYSGGDLHGVTAKIVDMPHHYVEIHPNIRS >KJB71551 pep chromosome:Graimondii2_0_v6:11:18734784:18737917:-1 gene:B456_011G128700 transcript:KJB71551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCLLVLLLLCLPFISVAYRPGDIVPMSKMGQYHSSRTVWHDVIGKHCPIFAVNREVLIPIAKPTGYTGADPYKISFQVGKEKFLVPWLFLINRKSSEVPMIDMHLRYSGGDLHGVTAKIVDMPHHYVEIHPNIRKQFWDPQHWPKHVLVRYT >KJB71550 pep chromosome:Graimondii2_0_v6:11:18732917:18737917:-1 gene:B456_011G128700 transcript:KJB71550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCLLVLLLLCLPFISVAYRPGDIVPMSKMGQYHSSRTVWHDVIGKHCPIFAVNREVLIPIAKPTGYTGADPYKISFQVGKEKFLVPWLFLINRKSSEVPMIDMHLRYSGGDLHGVTAKIVDMPHHYVEIHPNIRKQFWDPQHWPKHVLVRYTWEEQSEIDVTSGFYVLFGSGLMLSFILSIYILQSSRDKLARFVMETVAESSAPGVGVAKVE >KJB71545 pep chromosome:Graimondii2_0_v6:11:18732917:18737921:-1 gene:B456_011G128700 transcript:KJB71545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCLLVLLLLCLPFISVAYRPGDIVPMSKMGQYHSSRTVWHDVIGKHCPIFAVNREVLIPIAKPTGYTGADPYKISFQVGKEKFLVPWLFLINRKSSEVPMIDMHLRYSGGDLHGVTAKIVDMPHHYVEIHPNIRKQFWDPQHWPKHVLVRYTWEEQSEIDVTSGFYVLFGSGLMLSFILSIYILQSSRDKLARFVMETVAESSAPGVGVAKVE >KJB71544 pep chromosome:Graimondii2_0_v6:11:18733549:18737741:-1 gene:B456_011G128700 transcript:KJB71544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCLLVLLLLCLPFISVAYRPGDIVPMSKMGQYHSSRTVWHDVIGKHCPIFAVNREVLIPIAKPTGYTGADPYKISFQVGKEKFLVPWLFLINRKSSEVPMIDMHLRYSGGDLHGVTAKIVDMPHHYVEIHPNIRKQFWDPQHWPKHVLVRYTWSGGTIRDRCDIWILRSVWVRSNAVIYSLNLYLAVIKGQISKVRNGDCCGKQCTWSRSGKG >KJB71547 pep chromosome:Graimondii2_0_v6:11:18735126:18737741:-1 gene:B456_011G128700 transcript:KJB71547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCLLVLLLLCLPFISVAYRPGDIVPMSKMGQYHSSRTVWHDVIGKHCPIFAVNREVLIPIAKPTGYTGADPYKISFQVGKEKFLVPWLFLINRKSSEVPMIDMHLRYSGGDLHGVTAKIVDMPHHYVEIHPNIRKQFWDPQHWPKHVLVRYTWNNQR >KJB71598 pep chromosome:Graimondii2_0_v6:11:20106321:20107136:-1 gene:B456_011G132300 transcript:KJB71598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRYILIFFFFFFFILRKPHATDENFRICNETRKCGNLSINFPFFMEEARCGYPGFNISCKNINPIISLPDDGDISIPQDRFFLPPKQVNMSLFFDCVSVSELPRSLGFFKVVCDAKYGTNVTLSLLSNYNEYSELSYASRYCNKTVVLPAPVDLPDNETTVQGILNRGFILEWKSSKCSDCEASGGKCGFDDNANNFKCFCQDRPCPSSCAPVMFFSHSILLYLFIKGKLPKKVLFF >KJB72349 pep chromosome:Graimondii2_0_v6:11:39077858:39078595:-1 gene:B456_011G173100 transcript:KJB72349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTYLLVLFLGVVAVTTPSFGTYETPSYGKQPKKPEPKSPKPPVHELPKKPPTYDPKPPVHKLPKKEKLEHKPPSDELPNKPPMYKPTPSIHEPPKKPPMYKPKPPVHEFPKKPPIHEPKPPKPAVHEPPKKEKPEHKPPIYEPPKKPPIHEPPKKPPAYKPKPPVHEPPKKEKSKPKSPVHEPPKKPPMHEFKPPKPPIHEPPKKEKPEPKPPVYEPPKKPPYGHYPGHPPLEKLPSPSHLKN >KJB72751 pep chromosome:Graimondii2_0_v6:11:46969942:46974720:-1 gene:B456_011G194600 transcript:KJB72751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWDDEIPPIPAKKLPKSKWDDEDVDDTDVKESWEDEDEDEPTQQPVAKAPEEKAPKKPASKATEKKGKSIEVAKQEPLDPVAEKLRQQRLVEEADYKSTAELFAKKGDEKTLDNFIPKSESDFLEYAELISHKLCPYEKSFHYIALLKAVIRLSVTSLKAADTKDIASSIAAIANEKLKAEKETTSKKKTGGKKKQLHVDKPDDDLVVNAYDDIDEYDFM >KJB72752 pep chromosome:Graimondii2_0_v6:11:46969942:46974698:-1 gene:B456_011G194600 transcript:KJB72752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWDDEIPPIPAKKLPKSKWDDEDVDDTDVKESWEDEDEDEPTQQPVAKAPEEKAPKKPASKATEKKGKSIEVAKQEPLDPVAEKLRQQRLVEEADYKSTAELFAKKGDEKTLDNFIPKSESDFLEYAELISHKLCPYEKSFHYIALLKAVIRLSVTSLKAADTKDIASSIAAIANEKLKAEKETTSKKKTGGKKKQLHVDKPDDDLVVNAYDDIDEYDFM >KJB73785 pep chromosome:Graimondii2_0_v6:11:57979583:57981260:-1 gene:B456_011G251800 transcript:KJB73785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERMIGAAQTGDINILYELILNDPYVLQRIDDVPFFHTPLHVAASAGHIDFMMEMINLKPSFARKLNQAGFSPMHLALQNQKTLAVLRLLRFDEGLVRVKGREGFTPLHHVVQNGNVDFLIKFLEVCPEAIEDVTVRDETVFHLAVKNDRFEAFQVLVGWLIRSRHKAANRWEKELLSWADIDGNTVLHVAAIRNRPQVVKVLLKRLCGDHINAKNAEGLTALDIPSQYTLDEGRVDYKESIKDMISKAGGLRGSSSSLPKASISSIPIESLKGKVSVLKKFATVASRGKKGIPHETRNTFLVVTVLIITATYTATLNPPKQPDTISNSQDFHLTYDASLGSTSTGPVPSPSPAEEKKIENILDVSTMFWLYNTLTFWATTVLTAYLLPSRSMSLFILITLSLFGTCYMLLVAVSIRTLELQYPLYLSPPGSVFYSKLSITNYCFATVLALVTLYRTSYYMLYRFVPKRSFFLLLQVVSLCIFAVILILAILKSEFILAITKYGI >KJB70609 pep chromosome:Graimondii2_0_v6:11:8335605:8336783:-1 gene:B456_011G082900 transcript:KJB70609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSKKFRGVRQRQWGTWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRSAKTNFPVPKNQTGDENTTTCNALSSILSEKLRKCCKIPSPPSLTCLRLDTENSHIGVWQRRAGSRSDSCWVMTVELGKKNGEVTETNKMPASDEPITNKMVRQEVTDNGLNEEEKAALQMIEELLNRN >KJB70608 pep chromosome:Graimondii2_0_v6:11:8335742:8336322:-1 gene:B456_011G082900 transcript:KJB70608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRVWLGTFETAEEAARAYDEAAILMSGRSAKTNFPVPKNQTGDENTTTCNALSSILSEKLRKCCKIPSPPSLTCLRLDTENSHIGVWQRRAGSRSDSCWVMTVELGKKNGEVTETNKMPASDEPITNKMVRQEVTDNGLNEEEKAALQMIEELLNRN >KJB72254 pep chromosome:Graimondii2_0_v6:11:33318553:33322031:-1 gene:B456_011G166900 transcript:KJB72254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCLGILFDLMGDVEIEEVSGGVNGGAEVQQGEEGLGRGRGEGNRMYLVWEELTVALPNFGNGPTRRLLDGVTGCAQPGRIMAIMGPSSSGKSTLLNALASFRLYPSPNLTTTILNIMAAFMSREYKYNRQAFNQKAQSMTEKYAKAGAGESSCSYQCTETKVDSTMCAEESRQYGISGVLSYGLLNTIYYLITFLLV >KJB74260 pep chromosome:Graimondii2_0_v6:11:61623925:61626706:-1 gene:B456_011G283700 transcript:KJB74260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPSKADKKIAYDAKLCQLLDEYTQILIAAADNVGSKQLQNIRKGLRGDSVVLMGKNTMMKRSVRMHAEKTGNEAFLNLIPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKVFSPEVLDLTEDDLIEKFATGVSMVTALSLAISYPTLAAAPHMFINGYKNILAVAVATEYSFPQADKVKEYLADPSKFAVAAAPVAAAGGGAAPAAAPAEEEKKPEPEEESDDDMGFSLFD >KJB74261 pep chromosome:Graimondii2_0_v6:11:61623925:61626832:-1 gene:B456_011G283700 transcript:KJB74261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPSKADKKIAYDAKLCQLLDEYTQILIAAADNVGSKQLQNIRKGLRGDSVVLMGKNTMMKRSVRMHAEKTGNEAFLNLIPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGEKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFSPEVLDLTEDDLIEKFATGVSMVTALSLAISYPTLAAAPHMFINGYKNILAVAVATEYSFPQADKVKEYLADPSKFAVAAAPVAAAGGGAAPAAAPAEEEKKPEPEEESDDDMGFSLFD >KJB73818 pep chromosome:Graimondii2_0_v6:11:58406459:58406613:1 gene:B456_011G2547002 transcript:KJB73818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTTASVFLLFIIFSITPLSFSFGVANTTNAPVLDSDGNELRTGTPYFVV >KJB68807 pep chromosome:Graimondii2_0_v6:11:26092273:26112993:-1 gene:B456_011G152900 transcript:KJB68807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSHAQAVKSLNKSEGRRRFVFKTFSQRIDDIDINVFRSLEKIKSEPSQGSTFLCDCLIEWRELNTAEDFISFYVETMPLVQTLPSVLLHKDLIFDKLISRLQMKARLSLEPILRLLAAFSRDLLKDFLSFLPRIVDSLVSLLKSGADREPDILEQKYLIRDVIHVLKVTVRLRYYPKDHVQEFMAEATSFLLRNAPVEQLIKGIRKTMFEVVKKPLPIRKSGVSALLCYIMLGTSSRFHSGAQRVLRLLVDNSIFAIGDKFPEGSDAILEVVITSFQKLTEELEAKELNLMWECLYQEISETLAHGSCLHLSRLLSLLISSLQVNSGRGILDYRRMLEVVESLVLKVVLPSSKGNGSLSDVVDKWAPIFELRNTSAMNDLVESSQEEVLYLLLSFFERLQVHPQSTKFLDEMSEGRLSKICDYMQGVISNWIKLINDITIGNPLTAQIDEVKLAILWGIISCYPYVFDVQASESALIELIDALQRLLMIEDGVSKHTWESLVGAALGSRNKWHNVKKVGFGEISKVLDLAKACKSSSQVLFAVADYLDNVNGPAVQADSRKETYHPLLKGENMVDAVGIFAYSLCHPDKGIRLPSLRILCHYEPLNCETSAKDQHAEKKMKTEVSQAGIIDTDESNVLQLLMSIEATPLSISTSRKVTLLISKIQTGLSAGRIPKTYVPLVLNGIIGIFHNRFSYLWDAASECLAVLISNHTGLVWDKFISYFDRFQSLIQAPDVQHDRDNGNLSDSSSDLVRRFDLFVNPASDNTPGTAVLSLLLQSLQKIPSVAESRSRQIIPLFLRFLGYDSDNLVSPGSFNSDIYEGKEWKGILKEWLGLLKLMRNPRAFYRSQFLKDVLQSRLLDDNDSDIQARVLDCLLSWKDDFLLPYDQHLKNLINSKYLREELTTWSLSKEAGLIEEGHRVHLVPLVVRLLIPKIRNLKTLAPRKNASVHLRKAVLGFIAQLDSNELHLFFALLLKPLQIIPNEDGYASNLFSNPIDEFHSLNFLKYFTVENITALSWKKRYGFLHVIEDVMGVFDEFRVRPFLDLLMGCVVRVLASCSSNIDTAKVAESSPVSDHPDAEMISDDKDSAEANHVKIGTGMKQFKDLRSLCLKIVSLVLNKYEDHDFGTEFWDLFFTSLKPLIYAFKQEGSSSEKPSSLFSCFLAMSRSLQLVSLLCRERNLVPDIFSILTVPTASEAIVSCVLKFISNLLDLDCELDYENCPIKSLICPNLEALVCSLHHLFQSDKASKRKLVRCPGETEIRIFKLLLKYIRNPLLAKKFVDILLPFLSKRVQGSDICLEAIQVIQDIIPVLGNERTPEILNAVAPLLVYAKLDIRVLICNLLEALARTNSSVLVVARHVRQLNATSAFELDELDYDTIGQAYEGIGIGFFHSVPVEHALLILSQTVYDMSSDELILRHYAYRLLLTFLDFSGKILGQEVTDHHETAEEIMKVDEGCWTRACVQCIINKFLLKHMGDAISRGTSVRKEWIDLLREMVIKLPQLENLNFFRALCSEDADQDFFNNIIHLQKHKRAKALSRFADVINKTYMSMDIINKVFLPLFFNMLFDLQHGKDEHIRTACMQALASVSAKMEWKSYYALLLRCFSEMKKNPDKRKVLLRLICFILDRFDYSKFCSSQEAINSVDNILGSETNSIVSSAMQKGGSSIMVSEIQTSLQKTVLPKIQKLLSSDSDNVNVSISLAALKLLKLLPGDVMESQLSSIIHRISNFLKNRLESIRDEARSALAECLKVLGLEYLQFIIRVLRATLKRGFELHVLGYTLNFLLSKTLSSSSDGSLDYCLEDLLGVVENDILGDVAEEKEVDKIASKMKETRKCKSFETLKLIAQSITFKIHALKLLSPITSHLQKHLTPKVKSKLENMLKHIADGIECNQSVNQTDLFIFVYGLITDATNDENGSGVSSIGTEANKHANVVSEKIVSPDRAFKTKSACSHLITTFALGVLQNRIKSMKLDRNDEQLLSMLDPFVQLLGNCLSSKYEDILSACLRCLTPLVRLPLPSLESQADKLKVTLLGIAQGSVNAGNPLMESCLKLLTVLLRSTKITLSSDQLHMLVQFPVFVDLERNPSFVALSLLKAIVNRKLVVHEIYDIVVQVAELMVTSQVEPIRKKCSQILLQFLLDYHLSEKRLQQHLDFLLANLRYQHPTGRESVLEMLHTIMIKFPKAIVDEQSQTIFVHLVVCLANDQDNKVRSMTGAVIKLLIGCISQHSLNSILEYSLSWYLGEKQQLWSAGAQVLGLVVEVMKKNFQRHISSILPVTKRILHSAIDAFTNMQMDLPDEAAIPFWKESYYSLIMLEKMLHHFRDLIFERELEVIWEMICELLLHPHAWLRNVSNRLLSLYFTSANESKRGSVVKSNGSLFLMKPSRLFMIAASLCCQLKGPIDDDEAAVMDVKLGAKKENEKNHNHRSGLIAKNLVFSICCLNSLMKEWAGVNRREFWSTFEQHEQERFLKAFRLLNSREATGMLLSVTGATDDQNDADHSEDLQYLLVSNLLKELGKLALQMEAIQMRIVFYSFQKILPEIDQDDSQHYASLMMFPLYKVCEGFAGKIMTDDLKQLAQEVLGSIRNSIGSQEFAQVYSEIKKKLKSKRDKRKRDEKRMAVINPVRNAKRKLRIAAKNRANKKRRIMAMKMERWMR >KJB68808 pep chromosome:Graimondii2_0_v6:11:26092354:26112743:-1 gene:B456_011G152900 transcript:KJB68808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSHAQAVKSLNKSEGRRRFVFKTFSQRIDDIDINVFRSLEKIKSEPSQGSTFLCDCLIEWRELNTAEDFISFYVETMPLVQTLPSVLLHKDLIFDKLISRLQMKARLSLEPILRLLAAFSRDLLKDFLSFLPRIVDSLVSLLKSGADREPDILEQIFTSWSYIMMYLQKYLIRDVIHVLKVTVRLRYYPKDHVQEFMAEATSFLLRNAPVEQLIKGIRKTMFEVVKKPLPIRKSGVSALLCYIMLGTSSRFHSGAQRVLRLLVDNSIFAIGDKFPEGSDAILEVVITSFQKLTEELEAKELNLMWECLYQEISETLAHGSCLHLSRLLSLLISSLQVNSGRGILDYRRMLEVVESLVLKVVLPSSKGNGSLSDVVDKVLQLVLHILDGLHGSNNLSTISGCLLQWAPIFELRNTSLLTFLRELLLRDPCVIYFFKDYALSAMNDLVESSQEEVLYLLLSFFERLQVHPQSTKFLDEMSEGRLSKICDYMQGVISNWIKLINDITIGNPLTAQIDEVKLAILWGIISCYPYVFDVQASESALIELIDALQRLLMIEDGVSKHTWESLVGAALGSRNKWHNVKKVGFGEISKVLDLAKACKSSSQVLFAVADYLDNVNGPAVQADSRKETYHPLLKGENMVDAVGIFAYSLCHPDKGIRLPSLRILCHYEPLNCETSAKDQHAEKKMKTEVSQAGIIDTDESNVLQLLMSIEATPLSISTSRKVTLLISKIQTGLSAGRIPKTYVPLVLNGIIGIFHNRFSYLWDAASECLAVLISNHTGLVWDKFISYFDRFQSLIQAPDVQHDRDNGNLSDSSSDLVRRFDLFVNPASDNTPGTAVLSLLLQSLQKIPSVAESRSRQIIPLFLRFLGYDSDNLVSPGSFNSDIYEGKEWKGILKEWLGLLKLMRNPRAFYRSQFLKDVLQSRLLDDNDSDIQARVLDCLLSWKDDFLLPYDQHLKNLINSKYLREELTTWSLSKEAGLIEEGHRVHLVPLVVRLLIPKIRNLKTLAPRKNASVHLRKAVLGFIAQLDSNELHLFFALLLKPLQIIPNEDGYASNLFSNPIDEFHSLNFLKYFTVENITALSWKKRYGFLHVIEDVMGVFDEFRVRPFLDLLMGCVVRVLASCSSNIDTAKVAESSPVSDHPDAEMISDDKDSAEANHVKIGTGMKQFKDLRSLCLKIVSLVLNKYEDHDFGTEFWDLFFTSLKPLIYAFKQEGSSSEKPSSLFSCFLAMSRSLQLVSLLCRERNLVPDIFSILTVPTASEAIVSCVLKFISNLLDLDCELDYENCPIKSLICPNLEALVCSLHHLFQSDKASKRKLVRCPGETEIRIFKLLLKYIRNPLLAKKFVDILLPFLSKRVQGSDICLEAIQVIQDIIPVLGNERTPEILNAVAPLLVYAKLDIRVLICNLLEALARTNSSVLVVARHVRQLNATSAFELDELDYDTIGQAYEGIGIGFFHSVPVEHALLILSQTVYDMSSDELILRHYAYRLLLTFLDFSGKILGQEVTDHHETAEEIMKVDEGCWTRACVQCIINNTFSNGWEWFQEWIDLLREMVIKLPQLENLNFFRALCSEDADQDFFNNIIHLQKHKRAKALSRFADVINKTYMSMDIINKVFLPLFFNMLFDLQHGKDEHIRTACMQALASVSAKMEWKSYYALLLRCFSEMKKNPDKRKVLLRLICFILDRFDYSKFCSSQEAINSVDNILGSETNSIVSSAMQKGGSSIMVSEIQTSLQKTVLPKIQKLLSSDSDNVNVSISLAALKLLKLLPGDVMESQLSSIIHRISNFLKNRLESIRDEARSALAECLKVLGLEYLQFIIRVLRATLKRGFELHVLGYTLNFLLSKTLSSSSDGSLDYCLEDLLGVVENDILGDVAEEKEVDKIASKMKETRKCKSFETLKLIAQSITFKIHALKLLSPITSHLQKHLTPKVKSKLENMLKHIADGIECNQSVNQTDLFIFVYGLITDATNDENGSGVSSIGTEANKHANVVSEKIVSPDRAFKTKSACSHLITTFALGVLQNRIKSMKLDRNDEQLLSMLDPFVQLLGNCLSSKYEDILSACLRCLTPLVRLPLPSLESQADKLKVTLLGIAQGSVNAGNPLMESCLKLLTVLLRSTKITLSSDQLHMLVQFPVFVDLERNPSFVALSLLKAIVNRKLVVHEIYDIVVQVAELMVTSQVEPIRKKCSQILLQFLLDYHLSEKRLQQHLDFLLANLRYQHPTGRESVLEMLHTIMIKFPKAIVDEQSQTIFVHLVVCLANDQDNKVRSMTGAVIKLLIGCISQHSLNSILEYSLSWYLGEKQQLWSAGAQVLGLVVEVMKKNFQRHISSILPVTKRILHSAIDAFTNMQMDLPDEAAIPFWKESYYSLIMLEKMLHHFRDLIFERELEVIWEMICELLLHPHAWLRNVSNRLLSLYFTSANESKRGSVVKSNGSLFLMKPSRLFMIAASLCCQLKGPIDDDEAAVMDVKLGAKKENEKNHNHRSGLIAKNLVFSICCLNSLMKEWAGVNRREFWSTFEQHEQERFLKAFRLLNSREATGMLLSVTGATDDQNDADHSEDLQYLLVSNLLKELGKLALQMEAIQMRIVFYSFQKILPEIDQDDSQHYASLMMFPLYKVCEGFAGKIMTDDLKQLAQEVLGSIRNSIGSQEFAQVYSEIKKKLKSKRDKRKRDEKRMAVINPVRNAKRKLRIAAKNRANKKRRIMAMKMERWMR >KJB68806 pep chromosome:Graimondii2_0_v6:11:26092273:26112743:-1 gene:B456_011G152900 transcript:KJB68806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSHAQAVKSLNKSEGRRRFVFKTFSQRIDDIDINVFRSLEKIKSEPSQGSTFLCDCLIEWRELNTAEDFISFYVETMPLVQTLPSVLLHKDLIFDKLISRLQMKARLSLEPILRLLAAFSRDLLKDFLSFLPRIVDSLVSLLKSGADREPDILEQIFTSWSYIMMYLQKYLIRDVIHVLKVTVRLRYYPKDHVQEFMAEATSFLLRNAPVEQLIKGIRKTMFEVVKKPLPIRKSGVSALLCYIMLGTSSRFHSGAQRVLRLLVDNSIFAIGDKFPEGSDAILEVVITSFQKLTEELEAKELNLMWECLYQEISETLAHGSCLHLSRLLSLLISSLQVNSGRGILDYRRMLEVVESLVLKVVLPSSKGNGSLSDVVDKWAPIFELRNTSAMNDLVESSQEEVLYLLLSFFERLQVHPQSTKFLDEMSEGRLSKICDYMQGVISNWIKLINDITIGNPLTAQIDEVKLAILWGIISCYPYVFDVQASESALIELIDALQRLLMIEDGVSKHTWESLVGAALGSRNKWHNVKKVGFGEISKVLDLAKACKSSSQVLFAVADYLDNVNGPAVQADSRKETYHPLLKGENMVDAVGIFAYSLCHPDKGIRLPSLRILCHYEPLNCETSAKDQHAEKKMKTEVSQAGIIDTDESNVLQLLMSIEATPLSISTSRKVTLLISKIQTGLSAGRIPKTYVPLVLNGIIGIFHNRFSYLWDAASECLAVLISNHTGLVWDKFISYFDRFQSLIQAPDVQHDRDNGNLSDSSSDLVRRFDLFVNPASDNTPGTAVLSLLLQSLQKIPSVAESRSRQIIPLFLRFLGYDSDNLVSPGSFNSDIYEGKEWKGILKEWLGLLKLMRNPRAFYRSQFLKDVLQSRLLDDNDSDIQARVLDCLLSWKDDFLLPYDQHLKNLINSKYLREELTTWSLSKEAGLIEEGHRVHLVPLVVRLLIPKIRNLKTLAPRKNASVHLRKAVLGFIAQLDSNELHLFFALLLKPLQIIPNEDGYASNLFSNPIDEFHSLNFLKYFTVENITALSWKKRYGFLHVIEDVMGVFDEFRVRPFLDLLMGCVVRVLASCSSNIDTAKVAESSPVSDHPDAEMISDDKDSAEANHVKIGTGMKQFKDLRSLCLKIVSLVLNKYEDHDFGTEFWDLFFTSLKPLIYAFKQEGSSSEKPSSLFSCFLAMSRSLQLVSLLCRERNLVPDIFSILTVPTASEAIVSCVLKFISNLLDLDCELDYENCPIKSLICPNLEALVCSLHHLFQSDKASKRKLVRCPGETEIRIFKLLLKYIRNPLLAKKFVDILLPFLSKRVQGSDICLEAIQVIQDIIPVLGNERTPEILNAVAPLLVYAKLDIRVLICNLLEALARTNSSVLVVARHVRQLNATSAFELDELDYDTIGQAYEGIGIGFFHSVPVEHALLILSQTVYDMSSDELILRHYAYRLLLTFLDFSGKILGQEVTDHHETAEEIMKVDEGCWTRACVQCIINKFLLKHMGDAISRGTSVRKEWIDLLREMVIKLPQLENLNFFRALCSEDADQDFFNNIIHLQKHKRAKALSRFADVINKTYMSMDIINKVFLPLFFNMLFDLQHGKDEHIRTACMQALASVSAKMEWKSYYALLLRCFSEMKKNPDKRKVLLRLICFILDRFDYSKFCSSQEAINSVDNILGSETNSIVSSAMQKGGSSIMVSEIQTSLQKTVLPKIQKLLSSDSDNVNVSISLAALKLLKLLPGDVMESQLSSIIHRISNFLKNRLESIRDEARSALAECLKVLGLEYLQFIIRVLRATLKRGFELHVLGYTLNFLLSKTLSSSSDGSLDYCLEDLLGVVENDILGDVAEEKEVDKIASKMKETRKCKSFETLKLIAQSITFKIHALKLLSPITSHLQKHLTPKVKSKLENMLKHIADGIECNQSVNQTDLFIFVYGLITDATNDENGSGVSSIGTEANKHANVVSEKIVSPDRAFKTKSACSHLITTFALGVLQNRIKSMKLDRNDEQLLSMLDPFVQLLGNCLSSKYEDILSACLRCLTPLVRLPLPSLESQADKLKVTLLGIAQGSVNAGNPLMESCLKLLTVLLRSTKITLSSDQLHMLVQFPVFVDLERNPSFVALSLLKAIVNRKLVVHEIYDIVVQVAELMVTSQVEPIRKKCSQILLQFLLDYHLSEKRLQQHLDFLLANLRYQHPTGRESVLEMLHTIMIKFPKAIVDEQSQTIFVHLVVCLANDQDNKVRSMTGAVIKLLIGCISQHSLNSILEYSLSWYLGEKQQLWSAGAQVLGLVVEVMKKNFQRHISSILPVTKRILHSAIDAFTNMQMDLPDEAAIPFWKESYYSLIMLEKMLHHFRDLIFERELEVIWEMICELLLHPHAWLRNVSNRLLSLYFTSANESKRGSVVKSNGSLFLMKPSRLFMIAASLCCQLKGPIDDDEAAVMDVKLGAKKENEKNHNHRSGLIAKNLVFSICCLNSLMKEWAGVNRREFWSTFEQHEQERFLKAFRLLNSREATGMLLSVTGATDDQNDADHSEDLQYLLVSNLLKELGKLALQMEAIQMRIVFYSFQKILPEIDQDDSQHYASLMMFPLYKVCEGFAGKIMTDDLKQLAQEVLGSIRNSIGSQEFAQVYSEIKKKLKSKRDKRKRDEKRMAVINPVRNAKRKLRIAAKNRANKKRRIMAMKMERWMR >KJB68805 pep chromosome:Graimondii2_0_v6:11:26092273:26105286:-1 gene:B456_011G152900 transcript:KJB68805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAVGIFAYSLCHPDKGIRLPSLRILCHYEPLNCETSAKDQHAEKKMKTEVSQAGIIDTDESNVLQLLMSIEATPLSISTSRKVTLLISKIQTGLSAGRIPKTYVPLVLNGIIGIFHNRFSYLWDAASECLAVLISNHTGLVWDKFISYFDRFQSLIQAPDVQHDRDNGNLSDSSSDLVRRFDLFVNPASDNTPGTAVLSLLLQSLQKIPSVAESRSRQIIPLFLRFLGYDSDNLVSPGSFNSDIYEGKEWKGILKEWLGLLKLMRNPRAFYRSQFLKDVLQSRLLDDNDSDIQARVLDCLLSWKDDFLLPYDQHLKNLINSKYLREELTTWSLSKEAGLIEEGHRVHLVPLVVRLLIPKIRNLKTLAPRKNASVHLRKAVLGFIAQLDSNELHLFFALLLKPLQIIPNEDGYASNLFSNPIDEFHSLNFLKYFTVENITALSWKKRYGFLHVIEDVMGVFDEFRVRPFLDLLMGCVVRVLASCSSNIDTAKVAESSPVSDHPDAEMISDDKDSAEANHVKIGTGMKQFKDLRSLCLKIVSLVLNKYEDHDFGTEFWDLFFTSLKPLIYAFKQEGSSSEKPSSLFSCFLAMSRSLQLVSLLCRERNLVPDIFSILTVPTASEAIVSCVLKFISNLLDLDCELDYENCPIKSLICPNLEALVCSLHHLFQSDKASKRKLVRCPGETEIRIFKLLLKYIRNPLLAKKFVDILLPFLSKRVQGSDICLEAIQVIQDIIPVLGNERTPEILNAVAPLLVYAKLDIRVLICNLLEALARTNSSVLVVARHVRQLNATSAFELDELDYDTIGQAYEGIGIGFFHSVPVEHALLILSQTVYDMSSDELILRHYAYRLLLTFLDFSGKILGQEVTDHHETAEEIMKVDEGCWTRACVQCIINKFLLKHMGDAISRGTSVRKEWIDLLREMVIKLPQLENLNFFRALCSEDADQDFFNNIIHLQKHKRAKALSRFADVINKTYMSMDIINKVFLPLFFNMLFDLQHGKDEHIRTACMQALASVSAKMEWKSYYALLLRCFSEMKKNPDKRKVLLRLICFILDRFDYSKFCSSQEAINSVDNILGSETNSIVSSAMQKGGSSIMVSEIQTSLQKTVLPKIQKLLSSDSDNVNVSISLAALKLLKLLPGDVMESQLSSIIHRISNFLKNRLESIRDEARSALAECLKVLGLEYLQFIIRVLRATLKRGFELHVLGYTLNFLLSKTLSSSSDGSLDYCLEDLLGVVENDILGDVAEEKEVDKIASKMKETRKCKSFETLKLIAQSITFKIHALKLLSPITSHLQKHLTPKVKSKLENMLKHIADGIECNQSVNQTDLFIFVYGLITDATNDENGSGVSSIGTEANKHANVVSEKIVSPDRAFKTKSACSHLITTFALGVLQNRIKSMKLDRNDEQLLSMLDPFVQLLGNCLSSKYEDILSACLRCLTPLVRLPLPSLESQADKLKVTLLGIAQGSVNAGNPLMESCLKLLTVLLRSTKITLSSDQLHMLVQFPVFVDLERNPSFVALSLLKAIVNRKLVVHEIYDIVVQVAELMVTSQVEPIRKKCSQILLQFLLDYHLSEKRLQQHLDFLLANLRYQHPTGRESVLEMLHTIMIKFPKAIVDEQSQTIFVHLVVCLANDQDNKVRSMTGAVIKLLIGCISQHSLNSILEYSLSWYLGEKQQLWSAGAQVLGLVVEVMKKNFQRHISSILPVTKRILHSAIDAFTNMQMDLPDEAAIPFWKESYYSLIMLEKMLHHFRDLIFERELEVIWEMICELLLHPHAWLRNVSNRLLSLYFTSANESKRGSVVKSNGSLFLMKPSRLFMIAASLCCQLKGPIDDDEAAVMDVKLGAKKENEKNHNHRSGLIAKNLVFSICCLNSLMKEWAGVNRREFWSTFEQHEQERFLKAFRLLNSREATGMLLSVTGATDDQNDADHSEDLQYLLVSNLLKELGKLALQMEAIQMRIVFYSFQKILPEIDQDDSQHYASLMMFPLYKVCEGFAGKIMTDDLKQLAQEVLGSIRNSIGSQEFAQVYSEIKKKLKSKRDKRKRDEKRMAVINPVRNAKRKLRIAAKNRANKKRRIMAMKMERWMR >KJB74411 pep chromosome:Graimondii2_0_v6:11:62419636:62421731:1 gene:B456_011G292800 transcript:KJB74411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLFGKEAKQELVKLVHGKCLKVLVYGEYQYSCCVADVYYNGIFVQEVLLKNELAWHYVAYDQRVELATRLGQRELASGYNQT >KJB70703 pep chromosome:Graimondii2_0_v6:11:9210052:9212017:-1 gene:B456_011G088100 transcript:KJB70703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTESQHVVGQEEDEDEEEQDNNEARSKMRVPQNNGLVKDVVEQEPEMSPRHASTSPLSPQLSSFGTPRMGPSPKAWDPFNAFGPPPVFSRNFPSDDDRMLIQVYLINHGESELDLRPDIVGGRYDAAAMTSNGKRQARVLAVFLHSQGIRFDAIYCSPLDRARSMALYVCQEMNVAEAKIQSSDALMDLNMGHWEGCIRSEIYTPEVLSLRERYQHDFSAPAGESLRQLEFRMIQFLNGKVLGLPEKFRSEFFLHQNESRRSTNHSTRAQNNSVHGQPKKKSGKSRLKTVSDMIEHDADDEMSPRVANNQPGLPNLTVRTSSLPCTNTSSASSCVGIFTHSLPIKCLITALLGCSPIMSHKICIEDSSVTVLQHSWKTGWQIKQLNDTTHLRLL >KJB73989 pep chromosome:Graimondii2_0_v6:11:59707808:59708017:1 gene:B456_011G265600 transcript:KJB73989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVIAIVGSIVAKAVEYTISPIKNHVKYLSNHQKNVETLKNRANRLKDARDGVQHSVDEAKQNEKYIR >KJB73806 pep chromosome:Graimondii2_0_v6:11:58228633:58230308:1 gene:B456_011G253700 transcript:KJB73806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHHHFSHQHPLEFIEEHSLNNEKANCSGCGELVSGPSYSCTECEFYLDKKCSEVSSEINHPFHPNHSLKLLASPPYIGSWAICDFCDKRCEQFVYHCSCKLDFHIKCALFSKTIAENKVGELEGVSQKDLLVSSENGSEELEETECFACRKPVLDSPYISFDSRFYLHKKCLDLPIEVNHLFHSQHPLVLQFNSQRLPCQICKTTQPRGLVYCCSPCEFTLHIACVERPTRINHPCHRHHPLILQLNLKSLLCQICRKTQALSPAYYCSACKFGLHVKCVSPEPSIKGEIHEHPFTLFWRLVPFICDACGTSGDCISYICSPCGLIVHESCISLQPIIKRFPRHGHSISHTFILGQYEIKSWKCKICHEEVNSKHGCYCCSDCNYVVHANCAIKAYRWYKIFDDGIEETGELLNNSAFVVIKETRLGENDVIPTEIKHLNHPHKLIFSNDVKDDKYCDGCVLFISTSFYYCAQCDFFLHKSCAELPKKTYDWSHVHQCPLTLNLHADFLCLYCTFEFNNCFSYDCNFCGSDDNINNNIIRNI >KJB71023 pep chromosome:Graimondii2_0_v6:11:11379456:11380391:-1 gene:B456_011G100900 transcript:KJB71023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYDFDSVKEEKAKAMKRYNWLQAPAKVFHFLELLIALLFLAWTFERLPFAVKISGDFVLKLCGVIASPLFVFLVCNVIIAILIAKSGIFSTVNNADPKLYEEITKNVEEDRSKLESQEEDEDRDKEIISEVKKCSRTREEMERPSESDSEVDNPGVYRRSKSEKLAVKKKEEKAKKELRRSASKKCRKNENIDDELLPEDELSNEEFQRTIEDFINKQLRFRREESLSIVLQRYA >KJB72176 pep chromosome:Graimondii2_0_v6:11:31335333:31335692:1 gene:B456_011G163800 transcript:KJB72176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQHSVNTLHHASQSDFDRRNEQQINKMAWKELRRLYYPKIIAFDLNIEEKHVFQNKYNVNTIYGWNIDGMFEYNILRLLQQMTMVSNVFKTQNQNGLISDNAIVNLLVARFIRQLKG >KJB70165 pep chromosome:Graimondii2_0_v6:11:5106820:5108835:-1 gene:B456_011G061900 transcript:KJB70165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGWLFKLFLLMLLPPLTFSVSDSEALLQLKKFFTNSSALNSWVPSSVPCNKQTPWGGLLCHNGVVQGLRLEGMGLSGSIDVDALVEIKGLRSLSVINNSFQGVIPQLNRLGALKALFLSGNQFSGEIPPHFFTNMKSLKKVWLSHNKFIGGIPFSLGQLPHLIELHLENNQFSGHIPSFDRPNLKSINLSNNRLGGEIPISLSNFSANSFAGNPGLCGKILGVNCTKPVQNTAKLVTNQKRVVGKSGKKLTPKIIVALITLGVMLVFVIILAAMRWMKKKKKKGPNGPTTSSEGAIEVQVSVPTAKEEEVNRKRSGSSSRKGSGLVKGGGGAAELVMVNDEKGSFGLTELMKAAAEVLGNGELGYCYKVTMANEVPVVVKRMRDMNALGKDEFHKQVKQFGNLRHPNILTPLAYHYRREEKLLVYQYLPNGCLLYQLHGEHDTSHVGLDWPARLKIVQGIAKGLDYLHTELASLDVPHGNLKSSNVLLGPDNHPFLSDYGFCSLVNTDRVEALFAYKTPDVIQHGNVSPKSDVYCLGIVILEILTGRFPSQYLNDGNRGTDVVQWAESAFAESRQAEMLDPEITSSQNSSLANMEKLLHIGLLCTQTSVETRLEIKEALRMIEEVKVEEGPPLDISIE >KJB71005 pep chromosome:Graimondii2_0_v6:11:11166536:11169009:1 gene:B456_011G100200 transcript:KJB71005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEGQVYGCHNLESWNEQLQKGNDSKKLVVVDFTASWCGPCRFIAPFLAELAKRFPSVIFLKVDVDELKEVATDWAVEAMPTFMFIKEGKIVDKVTGAKKEELQQTVIKHMAATSTTSSA >KJB72401 pep chromosome:Graimondii2_0_v6:11:40993353:40995184:1 gene:B456_011G176700 transcript:KJB72401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSAAERPHVGSSEEEIPVYLKLVKTVAWKVKPTETVKDLKALLYEKGIVSETIRDLCFAGKLLTDDERLVDHGIQRNSTLHLLLQNFDVVKLHIKIPSEQRTIIVEARANDTVESIKSLIEVTEGIQLNRFSLIYEGKLLEEDWSLSSLDVKNESTICVVFSQTDVLSIYVKALSGKVAKLKVKVTFSVADVKAIADTMLGTSAGSLFYLGQQLEDSKILACYDIKEESMLQILHPLFQVFVKTWSGRTLTLDVQQNMTVQDVKDKIFKKLKIPVHLQSIIFSGKRLEGGRDLASYRIQKHSTLSMVLAPSSTIMRIEVGKITSSISHFSTVRTVKEMIRSKKGITVKEILYGEKALDDEFSLEHYGINKETELTV >KJB68766 pep chromosome:Graimondii2_0_v6:11:1444903:1445405:1 gene:B456_011G020600 transcript:KJB68766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQLVVLALVLIVLAGVVSTDASSAGGSPTSAPTGASVDGSAASSSATSQATAPSPSGGILIDLDRE >KJB71621 pep chromosome:Graimondii2_0_v6:11:20274774:20275193:-1 gene:B456_011G134100 transcript:KJB71621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSYFLVEFFLSKTSVIFVVTCNLIDFFFFEGVMEKVNEAAGMEIDDPNSNISDQISLKFSINVGFRFAKLANWRMTWTPATDDDDGRLFADGGC >KJB69682 pep chromosome:Graimondii2_0_v6:11:2845585:2850001:1 gene:B456_011G037900 transcript:KJB69682 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CelA1 MMESGVPVCHTCGEHVGLNVNGEPFVACHECNFPICKSCFEYDLKEGRKACLRCGSPYDENLLDDVEKATGDQSTMAAHLNKSQDVGIHARHISSVSTLDSEMAEDNGNSIWKNRVESWKEKKNKKKKPATTKVEREAEIPPEQQMEDKPAPDASQPLSTIIPIPKSRLAPYRTVIIMRLIILGLFFHYRVTNPVDSAFGLWLTSVICEIWFAFSWVLDQFPKWYPVNRETYIDRLSARYEREGEPDELAAVDFFVSTVDPLKEPPLITANTVLSILALDYPVDKVSCYISDDGAAMLTFESLVETADFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEYKIRINALVAKAQKTPDEGWTMQDGTSWPGNNPRDHPGMIQVFLGYSGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPQVGRDVCYVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYGPPSMPSFPKSSSSSCSCCCPGKKEPKDPSELYRDAKREELDAAIFNLREIDNYDEYERSMLISQTSFEKTFGLSSVFIESTLMENGGVAESANPSTLIKEAIHVISCGYEEKTAWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFGGGRLKWLQRLAYINTIVYPFTSLPLIAYCSLPAICLLTGKFIIPTVMNASNPCLFLVFNIIYIHCFTFSNLMISSQTWQVFSFLAFSFPLS >KJB69681 pep chromosome:Graimondii2_0_v6:11:2845472:2850096:1 gene:B456_011G037900 transcript:KJB69681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CelA1 MMESGVPVCHTCGEHVGLNVNGEPFVACHECNFPICKSCFEYDLKEGRKACLRCGSPYDENLLDDVEKATGDQSTMAAHLNKSQDVGIHARHISSVSTLDSEMAEDNGNSIWKNRVESWKEKKNKKKKPATTKVEREAEIPPEQQMEDKPAPDASQPLSTIIPIPKSRLAPYRTVIIMRLIILGLFFHYRVTNPVDSAFGLWLTSVICEIWFAFSWVLDQFPKWYPVNRETYIDRLSARYEREGEPDELAAVDFFVSTVDPLKEPPLITANTVLSILALDYPVDKVSCYISDDGAAMLTFESLVETADFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEYKIRINALVAKAQKTPDEGWTMQDGTSWPGNNPRDHPGMIQVFLGYSGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPQVGRDVCYVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYGPPSMPSFPKSSSSSCSCCCPGKKEPKDPSELYRDAKREELDAAIFNLREIDNYDEYERSMLISQTSFEKTFGLSSVFIESTLMENGGVAESANPSTLIKEAIHVISCGYEEKTAWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFGGGRLKWLQRLAYINTIVYPFTSLPLIAYCSLPAICLLTGKFIIPTLSNLASVLFLGLFLSIIVTAVLELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTAKAADDADFGELYIVKWTTLLIPPTTLLIVNMVGVVAGFSDALNKGYEAWGPLFGKVFFSFWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLVWVRINPFVSTADSTTVSQSCISIDC >KJB72684 pep chromosome:Graimondii2_0_v6:11:45532459:45535833:-1 gene:B456_011G190500 transcript:KJB72684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKPHVSFSAAEDTQSSSGSLLIRAPLTADSDFDYGSNSNETESTSGGSTVNSFENNNNNDDSELELGIKEFFSGDEEFDASSEMWRLGLDEDNDTDSLENSSVDEENSGVSIGFMGSFISNLIVPIAQLSRDNEGFSEMFTDDEKMVTDVEDGEFSGVIQVESDLEDFIAKGKGLSLDVSKFDDGIPLQNPDDERIESKPVDKIVVSDMEEFKVEETRVEVGEPMSCESTECNNGDIIIVNTGNVSLFKTADGDTNSDPFKFPASVLGLDQEIEPEVEAIDKHIADNVCIYDESVVQMISRSSETTSTSLSKVAFEDQIWITSQQIVMDSDEAEAKMEHEAKELFNSAALATLSEDPTVVETDGGGLTVISPCGSRVFSLDCAAHSGSSFHSLKVAPSNMDNISFEENLSDEDKLFERLQLIRVKFLRLIHRLGHSPNDPMVAPVLYRLALASGNPFCQEFTLESSMKTAMQLEAEGKDELDFSLTLLVLGKTGVGKSASINSIFCEQKSMIDAFEPATTTVKEIVGTFDGVKIKIFDTPGLRSPVTEEATNCKLLASIKRSIRKFPPDVVLYVDRLDTYDRDLTDFLLLKSLTDSLGSSIWEKAIVTLTHAASASPEGPVGEPLSFEAFVAQRSNVVHRGISQAVGDLLLMNPSMMQPVALVENHPLCQGDRNGEFLLPNGKTWRSQLLLLCYSVKILSEASCLSKPQDPLDHHKLFSSQIHSPPLPYFLSSLLQSRPHPKLPNNQGDEDVILEIELGDSSNYDEYDKHPPFKSLGRSQVDKLCKEQRKPYFEENDYRVKLLQKKQWRNDVKRLMETKKKEKDGDGNDDDDDVGDNANIEEVDPTTISVPLPEMVLPPSFNGDNPTYLYRFSESASQLLTRPVLDSQVWDRDIGYDGVSLERSLAIAGYLPGAFAVQITKDKREFNVHLDSSVHSKHRGNGSTMLGFNIQTVGKQLAYVLRTETRFRNFNINRTTVGLSGTFFGGNMATGVKIEDWISIGKRLVLAGNAGAVACQGQAAYGANIEIRLKDNFPVEQIQTILGLSFVKWRHDPALMDNLQSQFSIGCSSSVAVHGGLKHQFCGQITLKVSSSEQLYMTFASLIPIAVSIFRMIYPGSDIKKF >KJB72377 pep chromosome:Graimondii2_0_v6:11:39878912:39882204:1 gene:B456_011G174800 transcript:KJB72377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSKTEVNFQRLLAAAPQQRNHSKLMHYVATLRELLEQLAEERTPEGLPRVSSAVVNDYSKKIEEIASELTAPLPEIKVSQEPITRNSFKQSPKSDSENHMPSSPGLRRRVVPASNIKDRTHEITEADASVPIKLDAAAEAHIQKHRKLQEDLTDEMVGLAQQLKERSLMMSRSLENTEKILDSTETAIEQSLATTGHTNVRAMKIYSETSKTTCFQWLLMLAMICVFIMVVLLIRVT >KJB72379 pep chromosome:Graimondii2_0_v6:11:39878072:39882204:1 gene:B456_011G174800 transcript:KJB72379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSKTEVNFQRLLAAAPQQRNHSKLMHYVATLRELLEQLAEERTPEGLPRVSSAVVNDYSKKIEEIASELTAPLPEIKVSQEPITRNSFKQSPKSDSENHMPSSPGLRRRVVPASNIKDRTHEITEADASVPIKLDAAAEAHIQKHRKLQEDLTDEMVGLAQQLKERSLMMSRSLENTEKILDSTETAIEQSLATTGHTNVRAMKIYSETSKTTCFQWLLMLAMICVFIMVVLLIRVT >KJB72375 pep chromosome:Graimondii2_0_v6:11:39877946:39882323:1 gene:B456_011G174800 transcript:KJB72375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSKTEVNFQRLLAAAPQQRNHSKLMHYVATLRELLEQLAEERTPEGLPRVSSAVVNDYSKKIEEIASELTAPLPEIKVSQEPITRNSFKQSPKSDSENHMPSSPGLRRRVVPASNIKDRTHEITEADASVPIKLDAAAEAHIQKHRKLQEDLTDEMVGLAQQLKERSLMMSRSLENTEKILDSTETAIEQSLATTGHTNVRAMKIYSETSKTTCFQWLLMLAMICVFIMVVLLIRVT >KJB72378 pep chromosome:Graimondii2_0_v6:11:39878231:39882204:1 gene:B456_011G174800 transcript:KJB72378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKRQSFVLGRTTKLRNGLEMGRSKTEVNFQRLLAAAPQQRNHSKLMHYVATLRELLEQLAEERTPEGLPRVSSAVVNDYSKKIEEIASELTAPLPEIKVSQEPITRNSFKQSPKSDSENHMPSSPGLRRRVVPASNIKDRTHEITEADASVPIKLDAAAEAHIQKHRKLQEDLTDEMVGLAQQLKERSLMMSRSLENTEKILDSTETAIEQSLATTGHTNVRAMKIYSETSKTTCFQWLLMLAMICVFIMVVLLIRVT >KJB72376 pep chromosome:Graimondii2_0_v6:11:39877946:39882323:1 gene:B456_011G174800 transcript:KJB72376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSKTEVNFQRLLAAAPQQRNHSKLMHYVATLRELLEQLAEERTPEGLPRVSSAVVNDYSKKIEEIASELTAPLPEIKVSQEPITRNSFKQSPKSDSENHMPSSPGLRRRVVPASNIKDRTHEITEADASVPIKLDAAAEAHIQKHRKLQEDLTDEMVGLAQQLKERSLMMSRSLENTEKILDSTETAIEQSLATTGHTNVRAMKIYSETSKTTCFQWLLMLAMICVFIMVVLLIRVT >KJB73720 pep chromosome:Graimondii2_0_v6:11:57204940:57208056:-1 gene:B456_011G246100 transcript:KJB73720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNTATPSVIARLMGLDELQSQQAVNKERKQQRVLSENYLRKVASIGAWEKRLFNERRSFRFSIEEQKEFKVAFEVIESLDLRLSREQRHIKGPNCFEKSDYMITGQEGVPSQENPKLFQELENGFVNDSRREYGTSMFPRFHLESSNERWPSSRKIVILKPKSGEAETDKSNKGFLGRRKGNLYTQVKERKNFPDDVKSTGCRSIHSSETEVSFEPPRLGFSDAQGLTNEPELMMVSSRSNSDMNNWYKPLCHDLDGSYVAQEAKKQISERWRMNKEFRENGPSFGGRGRSRTLGEMLLLSDHAKRANFRGPLGISSRDGWKNRGNGDLIKSRSQVYSTSVRSPTIRTTPKAFHVDSYMTMRPVFPWSRRKWVKQGSNGKDCPKQRNSGPKCKQYPSSPDRESQKNHLPEDKPLINNKYEENDLEKLDPESLKRGIVHSGSENEIIPIDQWNNIKGRKMSTEDYPESSTYSPASRTIVPDVVVVVETTDAGKSTQDNNEHRFEPMDCTISDRDHDSSFGIPDTWNQQVRYISMKISEQYGTDPDFLVNLEAANQPSPVSVLEAPFMEGNLLSSKCFLSVTASLNDVKRQLEFLKSESIEDYSEGPGMVVSSDDETDPTEDSLKECDVNEYSTKSFRIAESRDFSYLVDVLTEAGFHTRNPDILNGWHSAETPISLSVFETLEKKYGEQISWKRSARRLLFDRINLGLIEILQPCLGDPMWTKPVARRLISYAQNLKEIEEELYMLLVSQENEAKTNSSEKVFGKDDGWLSLGYYIEAIGREIENSLIDELAAEIVSL >KJB73718 pep chromosome:Graimondii2_0_v6:11:57204927:57208476:-1 gene:B456_011G246100 transcript:KJB73718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNTATPSVIARLMGLDELQSQQAVNKERKQQRVLSENYLRKVASIGAWEKRLFNERRSFRFSIEEQKEFKVAFEVIESLDLRLSREQRHIKGPNCFEKSDYMITGQEGVPSQENPKLFQELENGFVNDSRREYGTSMFPRFHLESSNERWPSSRKIVILKPKSGEAETDKSNKGFLGRRKGNLYTQVKERKNFPDDVKSTGCRSIHSSETEVSFEPPRLGFSDAQGLTNEPELMMVSSRSNSDMNNWYKPLCHDLDGSYVAQEAKKQISERWRMNKEFRENGPSFGGRGRSRTLGEMLLLSDHAKRANFRGPLGISSRDGWKNRGNGDLIKSRSQVYSTSVRSPTIRTTPKAFHVDSYMTMRPVFPWSRRKWVKQGSNGKDCPKQRNSGPKCKQYPSSPDRESQKNHLPEDKPLINNKYEENDLEKLDPESLKRGIVHSGSENEIIPIDQWNNIKGRKMSTEDYPESSTYSPASRTIVPDVVVVVETTDAGKSTQDNNEHRFEPMDCTISDRDHDSSFGIPDTWNQQEDISMKISEQYGTDPDFLVNLEAANQPSPVSVLEAPFMEGNLLSSKCFLSVTASLNDVKRQLEFLKSESIEDYSEGPGMVVSSDDETDPTEDSLKECDVNEYSTKSFRIAESRDFSYLVDVLTEAGFHTRNPDILNGWHSAETPISLSVFETLEKKYGEQISWKRSARRLLFDRINLGLIEILQPCLGDPMWTKPVARRLISYAQNLKEIEEELYMLLVSQENEAKTNSSEKVFGKDDGWLSLGYYIEAIGREIENSLIDELAAEIVSL >KJB73719 pep chromosome:Graimondii2_0_v6:11:57204916:57209051:-1 gene:B456_011G246100 transcript:KJB73719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNTATPSVIARLMGLDELQSQQAVNKERKQQRVLSENYLRKVASIGAWEKRLFNERRSFRFSIEEQKEFKVAFEVIESLDLRLSREQRHIKGPNCFEKSDYMITGQEGVPSQENPKLFQELENGFVNDSRREYGTSMFPRFHLESSNERWPSSRKIVILKPKSGEAETDKSNKGFLGRRKGNLYTQVKERKNFPDDVKSTGCRSIHSSETEVSFEPPRLGFSDAQGLTNEPELMMVSSRSNSDMNNWYKPLCHDLDGSYVAQEAKKQISERWRMNKEFRENGPSFGGRGRSRTLGEMLLLSDHAKRANFRGPLGISSRDGWKNRGNGDLIKSRSQVYSTSVRSPTIRTTPKAFHVDSYMTMRPVFPWSRRKWVKQGSNGKDCPKQRNSGPKCKQYPSSPDRESQKNHLPEDKPLINNKYEENDLEKLDPESLKRGIVHSGSENEIIPIDQWNNIKGRKMSTEDYPESSTYSPASRTIVPDVVVVVETTDAGKSTQDNNEHRFEPMDCTISDRDHDSSFGIPDTWNQQEDISMKISEQYGTDPDFLVNLEAANQPSPVSVLEAPFMEGNLLSSKCFLSVTASLNDVKRQLEFLKSESIEDYSEGPGMVVSSDDETDPTEDSLKECDVNEYSTKSFRIAESRDFSYLVDVLTEAGFHTRNPDILNGWHSAETPISLSVFETLEKKYGEQISWKRSARRLLFDRINLGLIEILQPCLGDPMWTKPVARRLISYAQNLKEIEEELYMLLVSQENEAKTNSSEKVFGKDDGWLSLGYYIEAIGREIENSLIDELAAEIVSL >KJB73299 pep chromosome:Graimondii2_0_v6:11:53810852:53813044:1 gene:B456_011G226400 transcript:KJB73299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKLIKSAHSAVFKGSDSLEGICTRIEGYDFNQGVNYSRLLKSMLSSGFQASNFGEAIEIVNEMLNWRLSDEPIAEDSSEEEKDPTYRESVRSKVFLGFTSNLISSGVRDTVRYLTEHHMVDVIVTTTGGIEEDLIKCLAPTYKGDFSLPGAQLRSRGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQHEENVLWTPSKLIARLGREINNGSSYVYWAYKNNIPVFCPGLTDGSLGDMLYFHSFRSPGLIIDVVQDIRAMNGEAVRASPRKTGMIILGGGLPKHHICNANMMRNGADYAVYINTAQEYDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFASKSKNLA >KJB73258 pep chromosome:Graimondii2_0_v6:11:53536607:53540953:-1 gene:B456_011G224500 transcript:KJB73258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTAMSSVGSFVAPNGLVMDKKLSSSSNRLSSLASISSSSFVSRRNVVLRRSRLPKISAAKELHFNKDGSAIKRLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTRALVSELKAISKEVEDSELADVAAVSAGNNNEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLINILEDAIRSGYPILIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLSLDKASKEVLGHASKVVLTKDTTTIVGDGSTQEAVNKRVAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDSLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSNDNPRYGFNAATGNYEDLMSAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPVPAGNPMDNSGYGY >KJB73260 pep chromosome:Graimondii2_0_v6:11:53536863:53540113:-1 gene:B456_011G224500 transcript:KJB73260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTAMSSVGSFVAPNGLVMDKKLSSSSNRLSSLASISSSSFVSRRNVVLRRSRLPKISAAKELHFNKDGSAIKRLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTRALVSELKAISKEVEDSELADVAAVSAGNNNEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLINILEDAIRSGYPILIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGLYSTVIRDEVGLSLDKASKEVLGHASKVVLTKDTTTIVGDGSTQEAVNKRVVQIKNLIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDSLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSNDNPRYGFNAATGNYEDLMSAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPVPAGNPMDNSGYGY >KJB73254 pep chromosome:Graimondii2_0_v6:11:53536607:53542417:-1 gene:B456_011G224500 transcript:KJB73254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTAMSSVGSFVAPNGLVMDKKLSSSSNRLSSLASISSSSFVSRRNVVLRRSRLPKISAAKELHFNKDGSAIKRLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTRALVSELKAISKEVEDSELADVAAVSAGNNNEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLINILEDAIRSGYPILIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLSLDKASKEVLGHASKVVLTKDTTTIVGDGSTQEAVNKRVVQIKNLIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDSLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSNDNPRYGFNAATGNYEDLMSAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPVPAGNPMDNSGYGY >KJB73255 pep chromosome:Graimondii2_0_v6:11:53536577:53540846:-1 gene:B456_011G224500 transcript:KJB73255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTAMSSVGSFVAPNGLVMDKKLSSSSNRLSSLASISSSSFVSRRNVVLRRSRLPKISAAKELHFNKDGSAIKRLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTRALVSELKAISKEVEDSELADVAAVSAGNNNEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLINILEDAIRSGYPILIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLSLDKASKEVLGHASKVVLTKDTTTIVGDGSTQEAVNKRVVQIKNLIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDSLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSNDNPRYGFNAATGNYEDLMSAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPVPAGNPMDNSGYGY >KJB73259 pep chromosome:Graimondii2_0_v6:11:53536607:53540953:-1 gene:B456_011G224500 transcript:KJB73259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTAMSSVGSFVAPNGLVMDKKLSSSSNRLSSLASISSSSFVSRRNVVLRRSRLPKISAAKELHFNKDGSAIKRLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTRALVSELKAISKEVEDSELADVAAVSAGNNNEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLINILEDAIRSGYPILIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLSLDKASKEVLGHASKVVLTKDTTTIVGDGSTQEAVNKRVVQIKNLIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDSLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVLSNDNPRYGFNAATGNYEDLMSAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPVPAGNPMDNSGYGY >KJB73261 pep chromosome:Graimondii2_0_v6:11:53536607:53540886:-1 gene:B456_011G224500 transcript:KJB73261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTAMSSVGSFVAPNGLVMDKKLSSSSNRLSSLASISSSSFVSRRNVVLRRSRLPKISAAKELHFNKDGSAIKRLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTRALVSELKAISKEVEDSELADVAAVSAGNNNEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLINILEDAIRSGYPILIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLSLDKASKEVLGHASKVVLTKDTTTIVGDGSTQEAVNKRVVQIKNLIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDSLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSNDNPRYGFNAATGNYEDLMSAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPVPAGNPMDNSGYGY >KJB73253 pep chromosome:Graimondii2_0_v6:11:53536577:53540812:-1 gene:B456_011G224500 transcript:KJB73253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTAMSSVGSFVAPNGLVMDKKLSSSSNRLSSLASISSSSFVSRRNVVLRRSRLPKISAAKELHFNKDGSAIKRLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTRALVSELKAISKEVEDSELADVAAVSAGNNNEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLINILEDAIRSGYPILIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLSLDKASKEVLGHASKVVLTKDTTTIVGDGSTQEAVNKRVVQIKNLIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDSLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSNDNPRYGFNAATGNYEDLMSAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPVPAGNPMDNSGYGY >KJB73256 pep chromosome:Graimondii2_0_v6:11:53536863:53540113:-1 gene:B456_011G224500 transcript:KJB73256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTAMSSVGSFVAPNGLVMDKKLSSSSNRLSSLASISSSSFVSRRNVVLRRSRLPKISAAKELHFNKDGSAIKRLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTRALVSELKAISKEVEDSELADVAAVSAGNNNEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLINILEDAIRSGYPILIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLSLDKASKEVLGHASKVVLTKDTTTIVGDGSTQEAVNKRVVQIKNLIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDSLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSNDNPRYGFNAATGNYEDLMSAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPVPAGNPMDNSGYGY >KJB73257 pep chromosome:Graimondii2_0_v6:11:53536577:53540953:-1 gene:B456_011G224500 transcript:KJB73257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTAMSSVGSFVAPNGLVMDKKLSSSSNRLSSLASISSSSFVSRRNVVLRRSRLPKISAAKELHFNKDGSAIKRLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTRALVSELKAISKEVEDSELADVAAVSAGNNNEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLINILEDAIRSGYPILIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLSLDKASKEVLGHASKVVLTKDTTTIVGDGSTQEAVNKRVAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKVVRCCLEHAASDTATKADVEGKPNKVFNLHGSNDETCLE >KJB73262 pep chromosome:Graimondii2_0_v6:11:53537293:53540953:-1 gene:B456_011G224500 transcript:KJB73262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTAMSSVGSFVAPNGLVMDKKLSSSSNRLSSLASISSSSFVSRRNVVLRRSRLPKISAAKELHFNKDGSAIKRLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTRALVSELKAISKEVEDSELADVAAVSAGNNNEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLINILEDAIRSGYPILIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLSLDKASKEVLGHASKVVLTKDTTTIVGDGSTQEAVNKRVVQIKNLIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDSLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVRDMMFQVTMTSTLLSTQ >KJB68760 pep chromosome:Graimondii2_0_v6:11:47246942:47251418:1 gene:B456_011G195800 transcript:KJB68760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGTSMAMESSENGTDLSQDDNGTIVEMPEDTILSQQTSVNLVPFIGQRFVSQDAAYEFYCSFAKQCGFSIRRHRTRGKDGIGRGVTRRDFTCHRGGYPQMKLSEDGKMQRNRKSSRCGCRAYMRIVKRADFNVPEWRVTAFSNIHNHELLKANEVCLLPAYCTITPDDKARICMFAKAGMSVRQMLRLMELEKGIKLGCLPFTEIDVRNLLQSFRNVNRDNDPIDLIAMCKKLKDENPNFQYDFKLDGHNRLEHIAWTYASSVQLYVSFGDAVVFDTTHRLDAYDMLLGVWVGVDNHGMTTFFGCVLLRDENIQSFSWGLKTFLGFMKGKAPQTLLTDQNMWLKEAIAIEMPETKHAFSICHIISKFSDWFSVLLGSRYDDWKSDFYRLYSLELLEEFEEEWREMIDKYGLHDNKHIISLYALRTFWALPFLRPYFFAGLTSLCQSETTTTFIQRILSAQSRLDRFVEQVAEVVEFNDRAGSKQKQPRKSQKVCLKTGSPIESHAATVLTPYAFGKLQEELLLAPQYASFVVDEGCFEVKHHTQMDGGCKVICVLCEEQISCSCHHFEFSDPYLPSRWRLNSSSSINPLSNAMREHSEKIQLLESLTSALIAESIETKERLDVACEQTAMVLSHIKDLPRTTQSANGIVYNCPSDLILPEVEDTDGIVQSFTMGTSHEPLTSGKLKDRRPRDGIDITRKRRHYSDSCCGHFEHDSSDCPMMEGDNLNGDALGYI >KJB68757 pep chromosome:Graimondii2_0_v6:11:47246813:47251418:1 gene:B456_011G195800 transcript:KJB68757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGTSMAMESSENGTDLSQDDNGTIVEMPEDTILSQQTSVNLVPFIGQRFVSQDAAYEFYCSFAKQCGFSIRRHRTRGKDGIGRGVTRRDFTCHRGGYPQMKLSEDGKMQRNRKSSRCGCRAYMRIVKRADFNVPEWRVTAFSNIHNHELLKANEVCLLPAYCTITPDDKARICMFAKAGMSVRQMLRLMELEKGIKLGCLPFTEIDVRNLLQSFRNVNRDNDPIDLIAMCKKLKDENPNFQYDFKLDGHNRLEHIAWTYASSVQLYVSFGDAVVFDTTHRLDAYDMLLGVWVGVDNHGMTTFFGCVLLRDENIQSFSWGLKTFLGFMKGKAPQTLLTDQNMWLKEAIAIEMPETKHAFSICHIISKFSDWFSVLLGSRYDDWKSDFYRLYSLELLEEFEEEWREMIDKYGLHDNKHIISLYALRTFWALPFLRPYFFAGLTSLCQSETTTTFIQRILSAQSRLDRFVEQVAEVVEFNDRAGSKQKQPRKSQKVCLKTGSPIESHAATVLTPYAFGKLQEELLLAPQYASFVVDEGCFEVKHHTQMDGGCKVICVLCEEQISCSCHHFEFSGILCRHVLRVLSSNNCFHIPDPYLPSRWRLNSSSSINPLSNAMREHSEKIQLLESLTSALIAESIETKERLDVACEQTAMVLSHIKDLPRTTQSANGIVYNCPSDLILPEVEDTDGIVQSFTMGTSHEPLTSGKLKDRRPRDGIDITRKRRHYSDSCCGHFEHDSSDCPMMEGDNLNGDALGYI >KJB68756 pep chromosome:Graimondii2_0_v6:11:47246779:47251446:1 gene:B456_011G195800 transcript:KJB68756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGTSMAMESSENGTDLSQDDNGTIVEMPEDTILSQQTSVNLVPFIGQRFVSQDAAYEFYCSFAKQCGFSIRRHRTRGKDGIGRGVTRRDFTCHRGGYPQMKLSEDGKMQRNRKSSRCGCRAYMRIVKRADFNVPEWRVTAFSNIHNHELLKANEVCLLPAYCTITPDDKARICMFAKAGMSVRQMLRLMELEKGIKLGCLPFTEIDVRNLLQSFRNVNRDNDPIDLIAMCKKLKDENPNFQYDFKLDGHNRLEHIAWTYASSVQLYVSFGDAVVFDTTHRLDAYDMLLGVWVGVDNHGMTTFFGCVLLRDENIQSFSWGLKTFLGFMKGKAPQTLLTDQNMWLKEAIAIEMPETKHAFSICHIISKFSDWFSVLLGSRYDDWKSDFYRLYSLELLEEFEEEWREMIDKYGLHDNKHIISLYALRTFWALPFLRPYFFAGLTSLCQSETTTTFIQRILSAQSRLDRFVEQVAEVVEFNDRAGSKQKQPRKSQKVCLKTGSPIESHAATVLTPYAFGKLQEELLLAPQYASFVVDEGCFEVKHHTQMDGGCKVICVLCEEQISCSCHHFEFSGILCRHVLRVLSSNNCFHIPDPYLPSRWRLNSSSSINPLSNAMREHSEKIQLLESLTSALIAESIETKERLDVACEQTAMVLSHIKDLPRTTQSANGIVYNCPSDLILPEVEDTDGIVQSFTMGTSHEPLTSGKLKDRRPRDGIDITRKRRHYSDSCCGHFEHDSSDCPMMEGDNLNGDALGYI >KJB68761 pep chromosome:Graimondii2_0_v6:11:47246942:47251418:1 gene:B456_011G195800 transcript:KJB68761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGTSMAMESSENGTDLSQDDNGTIVEMPEDTILSQQTSVNLVPFIGQRFVSQDAAYEFYCSFAKQCGFSIRRHRTRGKDGIGRGVTRRDFTCHRGGYPQMKLSEDGKMQRNRKSSRCGCRAYMRIVKRADFNVPEWRVTAFSNIHNHELLKANEVCLLPAYCTITPDDKARICMFAKAGMSVRQMLRLMELEKGIKLGCLPFTEIDVRNLLQSFRNVNRDNDPIDLIAMCKKLKDENPNFQYDFKLDGHNRLEHIAWTYASSVQLYVSFGDAVVFDTTHRLDAYDMLLGVWVGVDNHGMTTFFGCVLLRDENIQSFSWGLKTFLGFMKGKAPQTLLTDQNMWLKEAIAIEMPETKHAFSICHIISKFSDWFSVLLGSRYDDWKSDFYRLYSLELLEEFEEEWREMIDKYGLHDNKHIISLYALRTFWALPFLRPYFFAGLTSLCQSETTTTFIQRILSAQSRLDRFVEQVAEVVEFNDRAGSKQKQPRKSQKVCLKTGSPIESHAATVLTPYAFDPYLPSRWRLNSSSSINPLSNAMREHSEKIQLLESLTSALIAESIETKERLDVACEQTAMVLSHIKDLPRTTQSANGIVYNCPSDLILPEVEDTDGIVQSFTMGTSHEPLTSGKLKDRRPRDGIDITRKRRHYSDSCCGHFEHDSSDCPMMEGDNLNGDALGYI >KJB68758 pep chromosome:Graimondii2_0_v6:11:47246942:47251418:1 gene:B456_011G195800 transcript:KJB68758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGTSMAMESSENGTDLSQDDNGTIVEMPEDTILSQQTSVNLVPFIGQRRHRTRGKDGIGRGVTRRDFTCHRGGYPQMKLSEDGKMQRNRKSSRCGCRAYMRIVKRADFNVPEWRVTAFSNIHNHELLKANEVCLLPAYCTITPDDKARICMFAKAGMSVRQMLRLMELEKGIKLGCLPFTEIDVRNLLQSFRNVNRDNDPIDLIAMCKKLKDENPNFQYDFKLDGHNRLEHIAWTYASSVQLYVSFGDAVVFDTTHRLDAYDMLLGVWVGVDNHGMTTFFGCVLLRDENIQSFSWGLKTFLGFMKGKAPQTLLTDQNMWLKEAIAIEMPETKHAFSICHIISKFSDWFSVLLGSRYDDWKSDFYRLYSLELLEEFEEEWREMIDKYGLHDNKHIISLYALRTFWALPFLRPYFFAGLTSLCQSETTTTFIQRILSAQSRLDRFVEQVAEVVEFNDRAGSKQKQPRKSQKVCLKTGSPIESHAATVLTPYAFGKLQEELLLAPQYASFVVDEGCFEVKHHTQMDGGCKVICVLCEEQISCSCHHFEFSGILCRHVLRVLSSNNCFHIPDPYLPSRWRLNSSSSINPLSNAMREHSEKIQLLESLTSALIAESIETKERLDVACEQTAMVLSHIKDLPRTTQSANGIVYNCPSDLILPEVEDTDGIVQSFTMGTSHEPLTSGKLKDRRPRDGIDITRKRRHYSDSCCGHFEHDSSDCPMMEGDNLNGDALGYI >KJB68759 pep chromosome:Graimondii2_0_v6:11:47248387:47250213:1 gene:B456_011G195800 transcript:KJB68759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGTSMAMESSENGTDLSQDDNGTIVEMPEDTILSQQTSVNLVPFIGQRFVSQDAAYEFYCSFAKQCGFSIRRHRTRGKDGIGRGVTRRDFTCHRGGYPQMKLSEDGKMQRNRKSSRCGCRAYMRIVKRADFNVPEWRVTAFSNIHNHELLKANEVCLLPAYCTITPDDKARICMFAKAGMSVRQMLRLMELEKGIKLGCLPFTEIDVRNLLQSFRNVNRDNDPIDLIAMCKKLKDENPNFQYDFKLDGHNRLEHIAWTYASSVQLYVSFGDAVVFDTTHRLDAYDMLLGVWVGVDNHGMTTFFGCVLLRDENIQSFSWGLKTFLGFMKGKAPQTLLTDQNMWLKEAIAIEMPETKHAFSICHIISKFSDWFSVLLGSRYDDWKSDFYRLYSLELLEEFEEEWREMIDKYGLHDNKHIISLYALRTFWALPFLRPYFFAGLTSLCQSETTTTFIQRILSAQSRLDRFVEQVRFIFFSSFRILLI >KJB73824 pep chromosome:Graimondii2_0_v6:11:58432156:58435717:1 gene:B456_011G255100 transcript:KJB73824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSESNKSLVGLIYRAAEQLVGIEQDPAKFTPSNTRFQRGSSSIRRRFRTNEITSTPPTGVAYVSTPVMEEDEAIHGDFYLLAKSYFDCREYRRAAHVLRDQTGKKSVFLRCYALYLAGEKRKEEEMIELEGPLGKSDAVNRELVSLERELATLCKNNTIDPFGLYLYGLVLKEKGNENLARKVLVESVNSYPWNWSAWSELQSLCTTVDILNGLNLSNHWMKEFFLASIYQELRMHNESLSKYENLQGMFTFSNYIQAQIAKARYSLREFEQVEVIFEDLLRNDPYRVEDMDTYSNVLYTKECFSALSYLAHRVIMTDKYRPESCCIIGNYYSLKGQHEKSVVYFRRALKLNKNYLSAWTLMGHEYVEMKNTPAAVDAYRRAVDINPRDYRAWYGLGQAYEMMGMPHYALHYFRKSVFFQPIDSRLWIAMAQCYESEQLHMLEEAIKCYKRAANCNDTEAIALHRLAMLHRELDQPEEAAFYYKKDLERMEAEEREGPNLVEALMFLATHYKTQKKFEEAEVYCTRLLDYTGPERETAKSLLRGMRIAQSGFPSMDVEHFHP >KJB73823 pep chromosome:Graimondii2_0_v6:11:58431815:58435854:1 gene:B456_011G255100 transcript:KJB73823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKESCRIELRTAIRQLSDRCLYSASKWAAEQLVGIEQDPAKFTPSNTRFQRGSSSIRRRFRTNEITSTPPTGVAYVSTPVMEEDEAIHGDFYLLAKSYFDCREYRRAAHVLRDQTGKKSVFLRCYALYLAGEKRKEEEMIELEGPLGKSDAVNRELVSLERELATLCKNNTIDPFGLYLYGLVLKEKGNENLARKVLVESVNSYPWNWSAWSELQSLCTTVDILNGLNLSNHWMKEFFLASIYQELRMHNESLSKYENLQGMFTFSNYIQAQIAKARYSLREFEQVEVIFEDLLRNDPYRVEDMDTYSNVLYTKECFSALSYLAHRVIMTDKYRPESCCIIGNYYSLKGQHEKSVVYFRRALKLNKNYLSAWTLMGHEYVEMKNTPAAVDAYRRAVDINPRDYRAWYGLGQAYEMMGMPHYALHYFRKSVFFQPIDSRLWIAMAQCYESEQLHMLEEAIKCYKRAANCNDTEAIALHRLAMLHRELDQPEEAAFYYKKDLERMEAEEREGPNLVEALMFLATHYKTQKKFEEAEVYCTRLLDYTGPERETAKSLLRGMRIAQSGFPSMDVEHFHP >KJB73074 pep chromosome:Graimondii2_0_v6:11:51429552:51434514:1 gene:B456_011G213500 transcript:KJB73074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQHGNSDTKPPSGSGSRLYDLLCAEQPYWNRPSDADSTPWNFRESCKSSGAKGRLEKLLKESGNGVCADCGTPDPKWVSLTLGVFICIKCSGVHRSLGVHISKVLSVKLDEWTDEQVDVLVNLGGNNVANNKYEALIPENLKKPSPDSSNEERADFIRRKYEMLQFFDGNKHDPHSPQRTLSSSSQGSLSNLFGQDKRQYEKQPTRHRIGQKFRNSWGRRDSDHHKSVRKSNSLAGMVEFIGMIKVNVVKGTNLAVRDMVTSDPYVMLTLGQQSVKTRVIKNNLNPVWNESLMLSIPDSIPPLKVIVYDKDTFSHDDFMGEAEIDIQPLVAAAKAHERSEIQESMQLGKWVASKDNTLEKDGIITVTDGKVKQDISLRLQKVERGVLEIELECVPLTQ >KJB73075 pep chromosome:Graimondii2_0_v6:11:51429642:51434514:1 gene:B456_011G213500 transcript:KJB73075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQHGNSDTKPPSGSGSRLYDLLCAEQPYWNRPSDADSTPWNFRESCKSSGAKGRLEKLLKESGNGVCADCGTPDPKWVSLTLGVFICIKCSGVHRSLGVHISKVLSVKLDEWTDEQVDVLVNLGGNNVANNKYEALIPENLKKPSPDSSNEERADFIRRKYEMLQFFDGNKHDPHSPQRTLSSSSQGSLSNLFGQDKRQYEKQPTRHRIGQKFRNSWGRRDSDHHKSVRKSNSLAGMVEFIGMIKVNVVKGTNLAVRDMVTSDPYVMLTLGQQSVKTRVIKNNLNPVWNESLMLSIPDSIPPLKVIVYDKDTFSHDDFMGEAEIDIQPLVAAAKAHERSEIQESMQLGKWVASKDNTLEKDGIITVTDGKVKQDISLRLQKVERGVLEIELECVPLTQ >KJB73076 pep chromosome:Graimondii2_0_v6:11:51429865:51434514:1 gene:B456_011G213500 transcript:KJB73076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQHGNSDTKPPSGSGSRLYDLLCAEQPYWNRPSDADSTPWNFRESCKSSGAKGRLEKLLKESGNGVCADCGTPDPKWVSLTLGVFICIKCSGVHRSLGVHISKVLSVKLDEWTDEQVDVLVNLGGNNVANNKYEALIPENLKKPSPDSSNEERADFIRKYEMLQFFDGNKHDPHSPQRTLSSSSQGSLSNLFGQDKRQYEKQPTRHRIGQKFRNSWGRRDSDHHKSVRKSNSLAGMVEFIGMIKVNVVKGTNLAVRDMVTSDPYVMLTLGQQSVKTRVIKNNLNPVWNESLMLSIPDSIPPLKVIVYDKDTFSHDDFMGEAEIDIQPLVAAAKAHERSEIQESMQLGKWVASKDNTLEKDGIITVTDGKVKQDISLRLQKVERGVLEIELECVPLTQ >KJB69315 pep chromosome:Graimondii2_0_v6:11:1308539:1310833:1 gene:B456_011G019000 transcript:KJB69315 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSA32 [Source:Projected from Arabidopsis thaliana (AT4G21320) UniProtKB/TrEMBL;Acc:A0A178UV51] MSLYYRWKNFEEDEDRPEKPRRFGVTEMRGPNHTLLTQNALQDIFESMGQFVDGLKFSGGSHSLMPKSFLKQVIDMAHQHNVYVSTGDWAEHLIRKGPSAFKDYVECKQMGFDTIELNVTSLEVPEDTLLRYVRLIKGGGLKAKPQFDVKFNKSDIPIGGDRAFGAYVPPPPRSTELVEDVDLLIRRAERCLEAGADMIMIDADDLCKHADSVRADVIAKVIGRLGLEKTMFDASNARASEWFVRQYGPKVNLFVDHSQVMDLECLRGCNLGKNHNSVLGSSYFLF >KJB69316 pep chromosome:Graimondii2_0_v6:11:1308520:1311212:1 gene:B456_011G019000 transcript:KJB69316 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSA32 [Source:Projected from Arabidopsis thaliana (AT4G21320) UniProtKB/TrEMBL;Acc:A0A178UV51] MSLYYRWKNFEEDEDRPEKPRRFGVTEMRGPNHTLLTQNALQDIFESMGQFVDGLKFSGGSHSLMPKSFLKQVIDMAHQHNVYVSTGDWAEHLIRKGPSAFKDYVEECKQMGFDTIELNVTSLEVPEDTLLRYVRLIKGGGLKAKPQFDVKFNKSDIPIGGDRAFGAYVPPPPRSTELVEDVDLLIRRAERCLEAGADMIMIDADDLCKHADSVRADVIAKVIGRLGLEKTMFDASNARASEWFVRQYGPKVNLFVDHSQVMDLECLRGCNLGKNHNSVLGSSYFLF >KJB69314 pep chromosome:Graimondii2_0_v6:11:1308539:1311254:1 gene:B456_011G019000 transcript:KJB69314 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSA32 [Source:Projected from Arabidopsis thaliana (AT4G21320) UniProtKB/TrEMBL;Acc:A0A178UV51] MSLYYRWKNFEEDEDRPEKPRRFGVTEMRGPNHTLLTQNALQDIFESMGQFVDGLKFSGGSHSLMPKSFLKQVIDMAHQHNVYVSTGDWAEHLIRKGPSAFKDYVEECKQMGFDTIELNVTSLEVPEDTLLRYVRLIKGGGLKAKPQFDVKFNKSDIPIGGDRAFGAYVPPPPRSTELVEDVDLLIRRAERCLEAGADMIMIDADDLCKHADSVRADVIAKVIGRLGLEKTMFDASNARASEWFVRQYGPKVNLFVDHSQVMDLECLRGCNLGKNHNSVLGSSYFLF >KJB69609 pep chromosome:Graimondii2_0_v6:11:2498677:2501840:1 gene:B456_011G033700 transcript:KJB69609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGKVRLAMGLQKSPKHGTPPKPPLPSPSSANKTNSSQKTVFSRSFGVYFPRSSAQVQPRPPDVTELLRLVEELRDRESRLKTELLEHKLLKESVSIVPLLENDIAVKKAELERALREMENLRNENEKLRTEVEEIKRKVEEERKEKERKVREMESEISELKKMVTSHPVCNGKAEVFGENEDLLSSSQRFNGLVEVSAKSNLVKNLKRSNSKCWETVVFSASSNEKVESLEFKREELEADRQRHSRCNSDELAESTLLNIRSRVPRIPKPPPRPSSSSLISSNGSPDFTEKQIPPPPPPPPPPAPVAAVKQLAPPPPPPPPPVKAIAPPPPPPPPKGTKAIVAKVRRVPEVVEFYHSLMRRDSKREAAGGCSAPEVLPATANARDMIGEIENRSSYLLAIKTDVETQGDFIRFLIKEVENAAFTDIEDVVPFVKWLDDELSYLVDERAVLKHFEWPEQKADALREAAFGYCDLKKLESEAASIRDDARQPCGPALKKMQALLEKLEHGVYNLSRMRESATKRYKGFQIPMDWMLETGIVSQVT >KJB69608 pep chromosome:Graimondii2_0_v6:11:2498528:2501876:1 gene:B456_011G033700 transcript:KJB69608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGKVRLAMGLQKSPKHGTPPKPPLPSPSSANKTNSSQKTVFSRSFGVYFPRSSAQVQPRPPDVTELLRLVEELRDRESRLKTELLEHKLLKESVSIVPLLENDIAVKKAELERALREMENLRNENEKLRTEVEEIKRKVEEERKEKERKVREMESEISELKKMVTSHPVCNGKAEVFGENEDLLSSSQRFNGLVEVSAKSNLVKNLKRSNSKCWETVVFSASSNEKVESLEFKREELEADRQRHSRCNSDELAESTLLNIRSRVPRIPKPPPRPSSSSLISSNGSPDFTEKQIPPPPPPPPPPAPVAAVKQLAPPPPPPPPPVKAIAPPPPPPPPKGTKAIVAKVRRVPEVVEFYHSLMRRDSKREAAGGCSAPEVLPATANARDMIGEIENRSSYLLAIKTDVETQGDFIRFLIKEVENAAFTDIEDVVPFVKWLDDELSYLVDERAVLKHFEWPEQKADALREAAFGYCDLKKLESEAASIRDDARQPCGPALKKMQALLEKLEHGVYNLSRMRESATKRYKGFQIPMDWMLETGIVSQIKLASVKLAMKYMRRISSELEAVGGGGPEEEELIVQGVRFAFRVHQFAGGFDVETMRAFEELRDKARSCNVQCQNQQKFICRSTTPS >KJB69468 pep chromosome:Graimondii2_0_v6:11:1828764:1834207:1 gene:B456_011G025000 transcript:KJB69468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGLKVVVFIMLAFVSTISSVLPVTGGSHWRWYKKKGLSSLGNNDDHLVANLPGQPPVDFRHYAGYVTVNEKNGRALFYWFYEAMSQPDEKPLVLWLNGGPGCSSVGYGATQEIGPFIVDTDGRGIKFNNFSWNKEANMLFLESPIGVGFSYSNTSTDYKNIGDEFTANDAYTFLHKWFVMFPSYRTRSFYIAGESYAGKYVPELADLIYDNNKDPSLYIELKGILLGNPETNDAEDWRGMVDYAWSHAIVSDETYKIIIESCDFKSNDTWSSEICSQGVAEVLKQYHEIDIYSLYTPVCIRDTAASDDRSMPQVMMKRTSNMIPRIMGGFDPCLDDYAKAFYNRLDVQKALHVSDGHHLKNWSICNMTIYYNWTDSKPSVLPIYKKLIAAGVRIWVYSGDTDGRVPVLSTRYSISTLGLPITKTWRPWYHEKQVSGWFQEYKGLTFATFRGAGHAVPCFKPSSSLAFFSSFLLGETPPSSR >KJB71220 pep chromosome:Graimondii2_0_v6:11:13352089:13352667:1 gene:B456_011G111200 transcript:KJB71220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSDNSGMTNHKLRNFSLRMAVFHALLKIKFQSERNIESPFETQSVIVAAFVICILLYATTLCTPYFPELIDDINLLAGSLATILLTFILFPGLGWVIIVIWVIFFVKLVCRAIGKFCQLYHDMPSISDLFNRVFLGRQAHQNEERLLPLHACLYYFYLITNLARKRSKSYYLRKIIWYTVSEIFRLYKYNWS >KJB71889 pep chromosome:Graimondii2_0_v6:11:23568644:23573834:-1 gene:B456_011G145900 transcript:KJB71889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G43330) UniProtKB/Swiss-Prot;Acc:Q8VZR6] MTLESLPGSSGYLDLFPERRMSYFSNPYVLGLTVIAGIGGLLFGYDTGVISGALLYIKDDFEIVRQSSFLQETIVSMALVGAMIGAASGGWINDAYGRKKATLLADVVFTAGAIVMAAAPDPYVLILGRLLVGLGVGTASVTAPVYIAEASPSEVRGGLVSTNVLMITGGQFLSYLVNLAFTQVRGTWRWMLGVSAVPAVIQFFLMLCLPESPRWLFMKVRVVLRGNIFVDSVYSFNEPPHHIVQNEKDKAIAVLSKIYDIARLEDEVDHLSAALEEEQQRKHTVRYLDVFRTKEIRLAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFNSNQLALLLSLIVAAMNAGGTVVGIYLIDHFGRKKLALSSLSGVIVSLVILAGAFFAETPGSSNGIYGWLAVIGLALYIAFFAPGMGPVPWTVNSEIYPEQYRGICGGMSATVNWISNLIVAQTFLTIAEALGTGVTFLILAGIALLAVVFVIVYVPETKGLTFVEVERIWKERAWGSSYNTESLLEHGNEST >KJB71888 pep chromosome:Graimondii2_0_v6:11:23568603:23573841:-1 gene:B456_011G145900 transcript:KJB71888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G43330) UniProtKB/Swiss-Prot;Acc:Q8VZR6] MTLESLPGSSGYLDLFPERRMSYFSNPYVLGLTVIAGIGGLLFGYDTGVISGALLYIKDDFEIVRQSSFLQETIVSMALVGAMIGAASGGWINDAYGRKKATLLADVVFTAGAIVMAAAPDPYVLILGRLLVGLGVGTASVTAPVYIAEASPSEVRGGLVSTNVLMITGGQFLSYLVNLAFTQVRGTWRWMLGVSAVPAVIQFFLMLCLPESPRWLFMKNEKDKAIAVLSKIYDIARLEDEVDHLSAALEEEQQRKHTVRYLDVFRTKEIRLAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFNSNQLALLLSLIVAAMNAGGTVVGIYLIDHFGRKKLALSSLSGVIVSLVILAGAFFAETPGSSNGIYGWLAVIGLALYIAFFAPGMGPVPWTVNSEIYPEQYRGICGGMSATVNWISNLIVAQTFLTIAEALGTGVTFLILAGIALLAVVFVIVYVPETKGLTFVEVERIWKERAWGSSYNTESLLEHGNEST >KJB71630 pep chromosome:Graimondii2_0_v6:11:20329909:20335060:-1 gene:B456_011G134800 transcript:KJB71630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFNPITFQSQKLPSFALPPMAGLRSPKFFMASTLRSGSKEVDLKKPFMPPREVHVQVTHSMPPQKIEIFKSLEDWAENNILTYLKPVEKCWQPQDFLPDPASDGFHEQVKELRERAKEIPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASLTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARLAKEHGDIKLAQICGNIASDEKRHETAYTKIVEKLFEIDPDGTVLAFADMMRKKISMPAHLMYDGQDDNLFDNFSAVAQRLGVYTARDYADILEFLVNKWKVTEITGLSADGRKAQDYVCGLPPRIRRLEERAQGRAKEAPRVPFSWIFDREVQL >KJB73886 pep chromosome:Graimondii2_0_v6:11:58866679:58869662:1 gene:B456_011G258700 transcript:KJB73886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLNLLCLATASPLVRGNDTDQQALLQLKAKITGDQLNIMESWNSSIHFCQWIGVTCGRKHPRVTKLKLRVLKLSGSLSPYIGNLSFLRELDLAGNSFYNQIPREIGGLRRLGALDLSNNSINGEIPSNLSACSKLILVDMSNNQLTGEIPSLLGLLSNLKNLVFFNNSLRGNIPPSLGNLSSLEKLGLTYNALSGIIPEALGELRNLRFFGCAGNAISGIIPVTMFNLSNIRDFDIGINKIRGTLHSDLEINMPHVEFFSVWGNHISGQIPNSLFNATYLEFLQLDKNRFTGNVPSLEKLDKLFNLALGGNHLGQRREGDLNFLCTLVNNTKLGFVNVAENNFGGEFPECISNFSSNLRGLGMGGNNIWGRIPDRIGNLINLEVISVSINQLSGPIPFNIGSLQKLKQFSADNNGLSGTIPHSIGNLIALTELDLSSNNLQGSIPSGLGNCKNLILMDLSYNNLSGPIPSEILGLSSLSIVLSLSSNSLTGELAVEVEKLKNLGTLGVSHNRLSGLLPKNLGSCVSLEKLFLEGNLFEGPIPSSLSSLRGLEALDLSDNNLSSGIPEFLERFGALTYLNLSFNDFEGVIPSEGVFKNASATFIEGNSKLCGGIPELHLSRCNSKTSANTSLKLKIAIIVVISGVTLVFSIFLIIWFRKKKEQKPTTTLVENSLLQLSYQSILRATNGFSPQNLVGSGSFGSVYKGIIEANGAVIAVKVFNLLNHRASRSFLVECEALKNIRHRNLVKVLTAISSIDYKGNDFKALVYEFMENGSLEDWLHPSVGMNKPETMRNLNFFQRFNVAIDVANALEYLHHRCETPIIHCDLKPSNVLLDGEMVGHISDFGLAKILSGDKPNFSTNESSSVGLRGTIGYAPPEYGMGSELSRNGDVYSYGILLLEMLTGKRPTNERFTEGLSLHNFVKTALPDRVVEIIDPILLQESVRGGIVADIT >KJB71514 pep chromosome:Graimondii2_0_v6:11:17989547:17991200:-1 gene:B456_011G126200 transcript:KJB71514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISPFTYKITPLILVFVYYIRAAMASTACFLHHHALTSAPRSSSTPPSTSQRQVAIVKSNQLLACTSQKQAVQENDDSGSNALISRRLALTLLIGTAAVGSKVSPADAAYGEAANVFGKPKTNTEFIPYNGEGFKLSIPSKWNPSKEVEYPGQVLRYEDNFDSTTNVAVMVTPTDKKSITDFGSPENFLSKVDYLLGKQAYSGLTDAEGGFDSNAVATANILETSTPVIGGKQYYFLSVLTRTADGDEGGKHQLITATVNNGKLYICKAQAGDKRWFKGARKFVESAANSFSVA >KJB70073 pep chromosome:Graimondii2_0_v6:11:4485949:4488311:-1 gene:B456_011G056700 transcript:KJB70073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATVPAAARQLSPKEADIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGCHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIAPGQKWDVMVDLFFYREPEEAKQQEEEEAIAAPDYGLPAADYGMVSLATDQWPAQIGDQWSADMVQPPISGVPAGNWGDQVAVAVTSDVWDAAAAPAQIPGAPVDVSAPAATGWE >KJB70074 pep chromosome:Graimondii2_0_v6:11:4485978:4488276:-1 gene:B456_011G056700 transcript:KJB70074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATVPAAARQLSPKEADIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGCHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIAPGQKWDVMVDLFFYREPEEAKQQEEEEAIAAPDYGLPAADYGMVSLATDQWPAQIGDQWSADMVQPPISGVPAGNWGDQVAVTSDVWDAAAAPAQIPGAPVDVSAPAATGWE >KJB69339 pep chromosome:Graimondii2_0_v6:11:1239743:1242834:1 gene:B456_011G017800 transcript:KJB69339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSTILITLWLLVIVLSVLSLITEARPPTYLWHVCPNTTTFPRNSTYQANRDTLLTSLSSNGSRGNGFYNTTAGRNPDTVYGLFLCRGDLSTSVCQACVTFASTDISRRCPVEIAAVVWYDECLLRYSDENIFSAVAEEPAIILFNTQNISDQVRFDSQVQEVMSGTATQAANAAPGAKKFATREADANFTSSFRTLYVLAQCTPDLPTSDCDRCLRYVTGNLPRGSQRGRVLSPSCNVRYEVYLFYNLNQTAVASPPPPLVPGNGRRSWSIIIAIVAPIAAFILLFILTCWLLKRGTRKYNATHGENGYDITTIESLKYHFTTIEAATDKFSDANKLGKGGFGEVYKGILPNKQEIAVKRLSRGSGQGTEEFENEVVLIAKLQHRNLVSVLGFCLERGEKILVYEYVPNKSLDYFIFDRAEEGQLDWSRRYKIIGRIARGILYLHEDSRLRIIHRDLKASNILLDGDMTPQISDFGMARIFGIDQTQGTTRKIVGTYGYMSPEYAMHGQFSMKSDVYSFGVLVLEIISGLRNRDFYETDGAEDLISYAWKLWKDERPLELLNPVLRNDYSRNEVTKCIQLGLLCVQEDPADRPTMVTIVLKLNRHSAKLPMPKQPAFVFDSRTDGRMPDKGLESDQSTTQSMPSSINEVSITELYPR >KJB69340 pep chromosome:Graimondii2_0_v6:11:1239743:1242834:1 gene:B456_011G017800 transcript:KJB69340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSTILITLWLLVIVLSVLSLITEARPPTYLWHVCPNTTTFPRNSTYQANRDTLLTSLSSNGSRGNGFYNTTAGRNPDTVYGLFLCRGDLSTSVCQACVTFASTDISRRCPVEIAAVVWYDECLLRYSDENIFSAVAEEPAIILFNTQNISDQVRFDSQVQEVMSGTATQAANAAPGAKKFATREADANFTSSFRTLYVLAQCTPDLPTSDCDRCLRYVTGNLPRGSQRGRVLSPSCNVRYEVYLFYNLNQTAVASPPPPLVPEGNGRRSWSIIIAIVAPIAAFILLFILTCWLLKRGTRKYNATHGENGYDITTIESLKYHFTTIEAATDKFSDANKLGKGGFGEVYKGILPNKQEIAVKRLSRGSGQGTEEFENEVVLIAKLQHRNLVSVLGFCLERGEKILVYEYVPNKSLDYFIFDRAEEGQLDWSRRYKIIGRIARGILYLHEDSRLRIIHRDLKASNILLDGDMTPQISDFGMARIFGIDQTQGTTRKIVGTYGYMSPEYAMHGQFSMKSDVYSFGVLVLEIISGLRNRDFYETDGAEDLISYAWKLWKDERPLELLNPVLRNDYSRNEVTKCIQLGLLCVQEDPADRPTMVTIVLKLNRHSAKLPMPKQPAFVFDSRTDGRMPDKGLESDQSTTQSMPSSINEVSITELYPR >KJB70424 pep chromosome:Graimondii2_0_v6:11:6846073:6848867:-1 gene:B456_011G072700 transcript:KJB70424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIIYRRKKDSKENNGSRSRRIGGRSQRKMAAEEELLHRQALSMVLHQHQLSQRFDGSMSRRIGSTSSRRHTDPLANNEKKVVESLENIKFRRVVLIHGEGFGAWCWYKTIAQLEEVGLLPTAMDLTGSGIDLTDTNTVTTLAEYSKPLIQYLEALPEDEKVILVGHSSGGACLSYALENFPEKISKAIFLCATMVSNGQRPFDVFAEELGSAERFMQESEFLIYGNGKDEPPTGFMFEKQLMKGLYFNQSPTKVLLQPYRM >KJB70421 pep chromosome:Graimondii2_0_v6:11:6846055:6848984:-1 gene:B456_011G072700 transcript:KJB70421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIIYRRKKDSKENNGSRSRRIGGRSQRKMAAEEELLHRQALSMVLHQHQLSQRFDGSMSRRIGSTSSRRHTDPLANNEKKVVESLENIKFRRVVLIHGEGFGAWCWYKTIAQLEEVGLLPTAMDLTGSGIDLTDTNTVTTLAEYSKPLIQYLEALPEDEKVILVGHSSGGACLSYALENFPEKISKAIFLCATMVSNGQRPFDVFAEELGSAERFMQESEFLIYGNGKDEPPTGFMFEKQLMKGLYFNQSPTKDVALAMVTMRPTPLGPIMEKLSLSPEKYRSGRRFYIQTLDDRALSPDVQEKLVRENPPEGVYKIKGSDHCPFFSKPQSLHKILVEIVQIP >KJB70422 pep chromosome:Graimondii2_0_v6:11:6846073:6848867:-1 gene:B456_011G072700 transcript:KJB70422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIIYRRKKDSKENNGSRSRRIGGRSQRKMAAEEELLHRQALSMVLHQHQLSQRFDGSMSRRIGSTSSRRHTDPLANNEKKVVESLENIKFRRVVLIHGEGFGAWCWYKTIAQLEEVGLLPTAMDLTGSGIDLTDTNTVTTLAEYSKPLIQYLEALPEDEKVILVGHSSGGACLSYALENFPEKISKAIFLCATMVSNGQRPFDVFAEEVFLSMPCCRFLYIK >KJB70425 pep chromosome:Graimondii2_0_v6:11:6847324:6848611:-1 gene:B456_011G072700 transcript:KJB70425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIIYRRKKDSKENNGSRSRRIGGRSQRKMAAEEELLHRQALSMVLHQHQLSQRFDGSMSRRIGSTSSRRHTDPLANNEKKVVESLENIKFRRVVLIHGEGFGAWCWYKTIAQLEEVGLLPTAMDLTGSGIDLTDTNTVTTLAEYSKPLIQYLEALPEDEKVILVGHSSGGACLSYALENFPEKISKAIFLCATMVSNGQRPFDVFAEEVFLSMPCCRFLYIK >KJB70426 pep chromosome:Graimondii2_0_v6:11:6846754:6848867:-1 gene:B456_011G072700 transcript:KJB70426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIIYRRKKDSKENNGSRSRRIGGRSQRKMAAEEELLHRQALSMVLHQHQLSQRFDGSMSRRIGSTSSRRHTDPLANNEKKVVESLENIKFRRVVLIHGEGFGAWCWYKTIAQLEEVGLLPTAMDLTGSGIDLTDTNTVTTLAEYSKPLIQYLEALPEDEKVILVGHSSGGACLSYALENFPEKISKAIFLCATMVSNGQRPFDVFAEELGSAERFMQESEFLIYGNGKDEPPTGFMFEKQLMKGLYFNQSPTKVLLQPYRSRTSYQ >KJB70423 pep chromosome:Graimondii2_0_v6:11:6846073:6848867:-1 gene:B456_011G072700 transcript:KJB70423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIIYRRKKDSKENNGSRSRRIGGRSQRKMAAEEELLHRQALSMVLHQHQLSQRFDGSMSRRIGSTSSRRHTDPLANNEKKVVVESLENIKFRRVVLIHGEGFGAWCWYKTIAQLEEVGLLPTAMDLTGSGIDLTDTNTVTTLAEYSKPLIQYLEALPEDEKVILVGHSSGGACLSYALENFPEKISKAIFLCATMVSNGQRPFDVFAEELGSAERFMQESEFLIYGNGKDEPPTGFMFEKQLMKGLYFNQSPTKDVALAMVTMRPTPLGPIMEKLSLSPEKYRSGRRFYIQTLDDRALSPDVQEKLVRENPPEGVYKIKGSDHCPFFSKPQSLHKILVEIVQIP >KJB72530 pep chromosome:Graimondii2_0_v6:11:43552556:43553839:-1 gene:B456_011G183200 transcript:KJB72530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWRRTKSWVALLLIIQVLGAIFYGVEAKPFRILLDTDVDTDDFFALFYLLKLNPSEFHLEAITINANIWSDAGHTVNHIYDMLYMMNRDDIAVGVGGEGGILDDGTILPNVGGYLPIIEQGMTTTGYCRYRQAVPVGRGGRLDTDTNFGLRKAFLPQGTRKYSPLQQPTAQQVMIEAISAGPITVFLIGAHTNFALFLMNNPQLKKNVEHIYVMGGGVRSENPNGCCPRNASSS >KJB70086 pep chromosome:Graimondii2_0_v6:11:4591185:4594870:-1 gene:B456_011G057500 transcript:KJB70086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGAQYNPRTVEEVFRDFKGRRAGMIKALTTDVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKADRKRLFNMINDLPTIFEVVTGGVAKKQTKEKSLVSNHSSNKSKSNSKVRESQAKHSKASQPKDEEQGLDEEDEDELGETLCGACGENYASDEFWICCDACEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >KJB70087 pep chromosome:Graimondii2_0_v6:11:4591196:4594754:-1 gene:B456_011G057500 transcript:KJB70087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGAQYNPRTVEEVFRDFKGRRAGMIKALTTDVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKADRKRLFNMINDLPTIFEVVTGGVAKKQTKEKSLVSNHSSNKSKSNSKVAKHSKASQPKDEEQGLDEEDEDELGETLCGACGENYASDEFWICCDACEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >KJB72490 pep chromosome:Graimondii2_0_v6:11:42782445:42783515:-1 gene:B456_011G181200 transcript:KJB72490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase-like 4 [Source:Projected from Arabidopsis thaliana (AT3G06260) UniProtKB/Swiss-Prot;Acc:Q9M8J2] MALWRTLSIPIASPHGLILSFLLLLHSAVTTTVAIRVRTIIHDPSPVKLPVFREAPAFRNGDSCGSNKDDRIHIAMTLDENYLRGTMAAVLSMLQHSTCPENLSFHFLCAHSDVKLVSSIESTFPYLNFKIYRFDSNRVRGKISKSIRQALDQPLNYARIYLADILPADVKRVLYLDSDLVVVDDIGKLWDVDMEDKVLAAPEYCHANFTVYFNDAFWSDPVLSNTFQGRNPCYFNTGVMVVDVDKWRKGGYTKKVEQWMAFHKKKRIYHLGSLPPFLLVLAGNIKPVDHRWNQHGLGGDNFEGKCRNLHPGPISLLHWSGKGKPWLRLDSRKPCVVDHLWAPYDLYRSSRHFLEE >KJB74467 pep chromosome:Graimondii2_0_v6:11:62655302:62656246:1 gene:B456_011G296200 transcript:KJB74467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLELCLCLSLPFHFYFGFRSIFFFTYISVGLFLCRFFVAIFVTPASSEADFRYESLLQHCLRLSLF >KJB73138 pep chromosome:Graimondii2_0_v6:11:52270072:52270818:-1 gene:B456_011G217100 transcript:KJB73138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFINSSYLLLHPFTLFFISSMDALRVHQMKRSKKSSKRSNSKKDFKVVYISTPVKVKTCASQFRSLVQELTGKDSDVADRLADYDSSTPDNSPTNSDMTGVAAGDRVNNGQPLLNSSVIGSVFDPFCDELMSEGSFMGMFTSNLSHGPSQFDAITRFGSV >KJB73497 pep chromosome:Graimondii2_0_v6:11:55510399:55514754:-1 gene:B456_011G235100 transcript:KJB73497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEENRIFVGGLSWDVTERQLEHAFSPFGKILESQIMLERDTGRPRGFGFITFADRRSMDEAIREMHGRELGERTISVNKAQPKMGEDLDHGYRGGYSSSGRGRYAGGDRPVAQDECFKCGRFGHWARDCPSAGGGRGGSGGMFSSRSRYGGADDRGDRFRDRDRYIDDRYDGGRYGDRDRFDSRDDRYGSRDRYISDRYPPTGDRFGDRYAGSDRFPQNGFGKERGYRDVAPRGNDRYGAGGPARNDGRSYRNRTGPYDRPGMGGRPSSFDRY >KJB73495 pep chromosome:Graimondii2_0_v6:11:55510374:55514155:-1 gene:B456_011G235100 transcript:KJB73495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERDTGRPRGFGFITFADRRSMDEAIREMHGRELGERTISVNKAQPKMGEDLDHGYRGGYSSSGRGRYAGGDRPVAQDECFKCGRFGHWARDCPSAGGGRGGSGGMFSSRSRYGGADDRGDRFRDRDRYIDDRYDGGRYGDRDRFDSRDDRYGSRDRYISDRYPPTGDRFGDRYAGSDRFPQNGFGKERGYRDVAPRGNDRYGAGGPARNDGRSYRNRTGPYDRPGMGGRPSSFDRY >KJB73496 pep chromosome:Graimondii2_0_v6:11:55510374:55514946:-1 gene:B456_011G235100 transcript:KJB73496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEENRIFVGGLSWDVTERQLEHAFSPFGKILESQIMLERDTGRPRGFGFITFADRRSMDEAIREMHGRELGERTISVNKAQPKMGEDLDHGYRGGYSSSGRGRYAGGDRPVAQDECFKCGRFGHWARDCPSAGGGRGGSGGMFSSRSRYGGADDRGDRFRDRDRYIDDRYDGGRYGDRDRFDSRDDRYGSRDRYISDRYPPTGDRFGDRYAGSDRFPQNGFGKERGYRDVAPRGNDRYGAGGPARNDGRSYRNRTGPYDRPGMGGRPSSFDRY >KJB74453 pep chromosome:Graimondii2_0_v6:11:62628566:62630238:1 gene:B456_011G2959001 transcript:KJB74453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALDLIREKNLLLLMDSSLEGQYANEDARELVELASKCLQYEARDRPDTKFLHSAVAPLQKQEVASHILMGLSKTPVVLPTMISPLGKACARMDLTAVHDILLKTGYKEEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAIDYYSKLVAMMSVPSGTVFVRRALSYLIIGQPELALRDAMQAQVCLPECPTAFYMQALALSKLGMETDAQDMLNDGASFEAKKQNGWRV >KJB74447 pep chromosome:Graimondii2_0_v6:11:62628566:62629831:1 gene:B456_011G2959001 transcript:KJB74447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALDLIREKNLLLLMDSSLEGQYANEDARELVELASKCLQYEARDRPDTKFLHSAVAPLQKQEVASHILMGLSKTPVVLPTMISPLGKACARMDLTAVHDILLKTGYKEEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAIDYYSKLVAMMSVPSGTVFVRRALSYLIIGQPELALRDAMQAQVCLPECPTAFYMQALALSKLGMETDAQDMLNDGASFEAKKQNGWRV >KJB74446 pep chromosome:Graimondii2_0_v6:11:62628566:62629298:1 gene:B456_011G2959001 transcript:KJB74446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALDLIREKNLLLLMDSSLEGQYANEDARELVELASKCLQYEARDRPDTKFLHSAVAPLQKQEVASHILMGLSKTPVVLPTMISPLGKACARMDLTAVHDILLKTGYKEEEGAENEVGYGYFTLSMSHNNWLCIVSFVCPFCGLICWHCVIGEAVIPRVDTTGSGYAEYKEIWRYCI >KJB74450 pep chromosome:Graimondii2_0_v6:11:62628566:62629831:1 gene:B456_011G2959001 transcript:KJB74450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALDLIREKNLLLLMDSSLEGQYANEDARELVELASKCLQYEARDRPDTKFLHSAVAPLQKQEVASHILMGLSKTPVVLPTMISPLGKACARMDLTAVHDILLKTGYKEEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAIDYYSKLVAMMSVPSGTVFVRRALSYLIIGQPELALRDAMQAQVCLPECPTAFYMQALALSKLGMETDAQDMLNDGASFEAKKQNGWRV >KJB74449 pep chromosome:Graimondii2_0_v6:11:62628566:62629202:1 gene:B456_011G2959001 transcript:KJB74449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALDLIREKNLLLLMDSSLEGQYANEDARELVELASKCLQYEARDRPDTKFLHSAVAPLQKQEVASHILMGLSKTPVVLPTMISPLGKACARMDLTAVHDILLKTGYKEEEGAENEVGYGYFTLSMSHNNWLCIVSFVCPFCGLICWHCVIGEAVIPRVDTTGSGYAEYKEIWRYCI >KJB74451 pep chromosome:Graimondii2_0_v6:11:62628566:62630238:1 gene:B456_011G2959001 transcript:KJB74451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALDLIREKNLLLLMDSSLEGQYANEDARELVELASKCLQYEARDRPDTKFLHSAVAPLQKQEVASHILMGLSKTPVVLPTMISPLGKACARMDLTAVHDILLKTGYKEEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAIDYYSKLVAMMSVPSGTVFVRRALSYLIIGQPELALRDAMQAQVCLPECPTAFYMQALALSKLGMETDAQDMLNDGASFEAKKQNGWRV >KJB74448 pep chromosome:Graimondii2_0_v6:11:62628566:62630256:1 gene:B456_011G2959001 transcript:KJB74448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALDLIREKNLLLLMDSSLEGQYANEDARELVELASKCLQYEARDRPDTKFLHSAVAPLQKQEVASHILMGLSKTPVVLPTMISPLGKACARMDLTAVHDILLKTGYKEEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAIDYYSKLVAMMSVPSGTVFVRRALSYLIIGQPELALRDAMQAQVCLPECPTAFYMQALALSKLGMETDAQDMLNDGASFEAKKQNGWRV >KJB74452 pep chromosome:Graimondii2_0_v6:11:62628566:62629831:1 gene:B456_011G2959001 transcript:KJB74452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALDLIREKNLLLLMDSSLEGQYANEDARELVELASKCLQYEARDRPDTKFLHSAVAPLQKQEVASHILMGLSKTPVVLPTMISPLGKACARMDLTAVHDILLKTGYKEEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAIDYYSKLVAMMSVPSGTVFVRRALSYLIIGQPELALRDAMQAQVCLPECPTAFYMQALALSKLGMETDAQDMLNDGASFEAKKQNGWRV >KJB72280 pep chromosome:Graimondii2_0_v6:11:34350554:34362744:-1 gene:B456_011G168600 transcript:KJB72280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MDGFYVIFFSGTGFAAVFINISVENFAGWKFSLTFKIIQKSYLAGCLIYILINLALVFSSVYIITQFAPAAAGSGIPEIKGYLNGIDIPGILLLRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHISSRWLQGFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFSLEEVTSWWRSQLMWRVFFTSAIVAVVVRAAMGWCKSGNCGHFGSGGFIIWDISGGQEDYSFEELLPMAVIGVIGGLLGALFNQLTIYVTRWRRNYLHKKGNRVKIYEACLISVITSVISFGLPLLRKCSPCPDSDPASEIECPRAPGMYGNYVNFYCSKEKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLAFLVMFYTLAVITFGTAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRGIPLLESRPKYEMRKMTAKEACRNQKVLSLPRVVKVADVVSILQSNKHNGFPVIDHTRNGETLVIGLMLRSHLLVLLQSKIDFQHSPLPCDPRGGPQGIRHNFSEFAKPVSSKGISINDIHLSSDDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNIFRQLGLRHIFVVPRASRMIGVITRKDLLIEEETDSTAMELQSTSVRFNGRGQRHEKRLLVGNADAERPLLNGLLIQDHDIQHHTSTT >KJB72284 pep chromosome:Graimondii2_0_v6:11:34350599:34362744:-1 gene:B456_011G168600 transcript:KJB72284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MDGFYVIFFSGTGFAAVFINISVENFAGWKFSLTFKIIQKSYLAGCLIYILINLALVFSSVYIITQFAPAAAGSGIPEIKGYLNGIDIPGILLLRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHISSRWLQGFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFSLEEVTSWWRSQLMWRVFFTSAIVAVVVRAAMGWCKSGNCGHFGSGGFIIWDISGGQEDYSFEELLPMAVIGVIGGLLGALFNQLTIYVTRWRRNYLHKKGNRVKIYEACLISVITSVISFGLPLLRKCSPCPDSDPASEIECPRAPGMYGNYVNFYCSKEKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLAFLVMFYTLAVITFGTAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRGIPLLESRPKYEMRKMTAKEACRNQKVLSLPRVVKVADVVSILQSNKHNGFPVIDHTRNGETLVIGLMLRSHLLVLLQSKIDFQHSPLPCDPRGGPQGIRHNFSEFAKPVSSKGISINDIHLSSDDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNIFRQLGLRHIFVVPRASRMIGVITRKDLLIEEETDSTAMELQSTSTLKLYRGLSFKSLRWLCANLKGGMRTIICLTNNVVCKSTCRHNSKNHSVLVLR >KJB72283 pep chromosome:Graimondii2_0_v6:11:34351770:34361156:-1 gene:B456_011G168600 transcript:KJB72283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MDGFYVIFFSGTGFAAVFINISVENFAGWKFSLTFKIIQKSYLAGCLIYILINLALVFSSVYIITQFAPAAAGSGIPEIKGYLNGIDIPGILLLRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHISSRWLQGFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFSLEEVTSWWRSQLMWRVFFTSAIVAVVVRAAMGWCKSGNCGHFGSGGFIIWDISGGQEDYSFEELLPMAVIGVIGGLLGALFNQLTIYVTRWRRNYLHKKGNRVKIYEACLISVITSVISFGLPLLRKCSPCPDSDPASEIECPRAPGMYGNYVNFYCSKEKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLAFLVMFYTLAVITFGTAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRGIPLLESRPKYEMRKMTAKEACRNQKVLSLPRVVKVADVVSILQSNKHNGFPVIDHTRNGETLVIGLMLRSHLLVLLQSKIDFQHSPLPCDPRGGPQGIRHNFSEFAKPVSSKGISINDIHLSSDDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNIFRQLGLRHIFVVPRASRMIGVITRKDLLIEEETDSTAMELQSTSVRL >KJB72281 pep chromosome:Graimondii2_0_v6:11:34351770:34362500:-1 gene:B456_011G168600 transcript:KJB72281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MLSNRFQNGMETARLVWSRLPRSEEGDLDGVGLLSTASNRNCVESLDYEVIENYAYREEQAQRGKLFVGYNVGMKWFFALLIGIGTGFAAVFINISVENFAGWKFSLTFKIIQKSYLAGCLIYILINLALVFSSVYIITQFAPAAAGSGIPEIKGYLNGIDIPGILLLRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHISSRWLQGFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFSLEEVTSWWRSQLMWRVFFTSAIVAVVVRAAMGWCKSGNCGHFGSGGFIIWDISGGQEDYSFEELLPMAVIGVIGGLLGALFNQLTIYVTRWRRNYLHKKGNRVKIYEACLISVITSVISFGLPLLRKCSPCPDSDPASEIECPRAPGMYGNYVNFYCSKEKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLAFLVMFYTLAVITFGTAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRGIPLLESRPKYEMRKMTAKEACRNQKVLSLPRVVKVADVVSILQSNKHNGFPVIDHTRNGETLVIGLMLRSHLLVLLQSKIDFQHSPLPCDPRGGPQGIRHNFSEFAKPVSSKGISINDIHLSSDDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNIFRQLGLRHIFVVPRASRMIGVITRKDLLIEEETDSTAMELQSTSVRL >KJB72282 pep chromosome:Graimondii2_0_v6:11:34350594:34362793:-1 gene:B456_011G168600 transcript:KJB72282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MLSNRFQNGMETARLVWSRLPRSEEGDLDGVGLLSTASNRNCVESLDYEVIENYAYREEQAQRGKLFVGYNVGMKWFFALLIGIGTGFAAVFINISVENFAGWKFSLTFKIIQKSYLAGCLIYILINLALVFSSVYIITQFAPAAAGSGIPEIKGYLNGIDIPGILLLRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHISSRWLQGFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFSLEEVTSWWRSQLMWRVFFTSAIVAVVVRAAMGWCKSGNCGHFGSGGFIIWDISGGQEDYSFEELLPMAVIGVIGGLLGALFNQLTIYVTRWRRNYLHKKGNRVKIYEACLISVITSVISFGLPLLRKCSPCPDSDPASEIECPRAPGMYGNYVNFYCSKEKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLAFLVMFYTLAVITFGTAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRGIPLLESRPKYEMRKMTAKEACRNQKVLSLPRVVKVADVVSILQSNKHNGFPVIDHTRNGETLVIGLMLRSHLLVLLQSKIDFQHSPLPCDPRGGPQGIRHNFSEFAKPVSSKGISINDIHLSSDDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNIFRQLGLRHIFVVPRASRMIGVITRKDLLIEEETDSTAMELQSTSTLKLYRGLSFKSLRWLCANLKGGMRTIICLTNNVVCKSTCRHNSKNHSVLVLR >KJB72279 pep chromosome:Graimondii2_0_v6:11:34350554:34362500:-1 gene:B456_011G168600 transcript:KJB72279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MLSNRFQNGMETARLVWSRLPRSEEGDLDGVGLLSTASNRNCVESLDYEVIENYAYREEQAQRGKLFVGYNVGMKWFFALLIGIGTGFAAVFINISVENFAGWKFSLTFKIIQKSYLAGCLIYILINLALVFSSVYIITQFAPAAAGSGIPEIKGYLNGIDIPGILLLRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHISSRWLQGFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFSLEEVTSWWRSQLMWRVFFTSAIVAVVVRAAMGWCKSGNCGHFGSGGFIIWDISGGQEDYSFEELLPMAVIGVIGGLLGALFNQLTIYVTRWRRNYLHKKGNRVKIYEACLISVITSVISFGLPLLRKCSPCPDSDPASEIECPRAPGMYGNYVNFYCSKEKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLAFLVMFYTLAVITFGTAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRGIPLLESRPKYEMRKMTAKEACRNQKVLSLPRVVKVADVVSILQSNKHNGFPVIDHTRNGETLVIGLMLRSHLLVLLQSKIDFQHSPLPCDPRGGPQGIRHNFSEFAKPVSSKGISINDIHLSSDDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNIFRQLGLRHIFVVPRASRMIGVITRKDLLIEEETDSTAMELQSTSV >KJB69194 pep chromosome:Graimondii2_0_v6:11:742007:742348:1 gene:B456_011G010200 transcript:KJB69194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARLGRSSTRYGPATVFTGPVRKWKKKWVHVSPSNTGNSSNNNNNNHSHNNQQTTINGTSNGNNVSHLLLFKWTPLSQTQTNNNDNDNSSKDDAVAAPEEPPRRKFKYIP >KJB69334 pep chromosome:Graimondii2_0_v6:11:1196211:1197132:1 gene:B456_011G017200 transcript:KJB69334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKRKDSNFFNMHPSLQILPFHSPNLPRKNPSPIHRKTMSSSDRLPIRDTRPQQQPVKHHHSARYYAQRVRESFTTRVTKVLCAIFLSFLLVALIVFFIVWLSLRPHRPRFHMIDFTVPGLAQKPGLDNAVITFNVTARNSNQHIGIYYDSMEGFVYYKDKQIGSTPLLHPFFQEPKTTTVVYGQFGIGTATLAVNSRRWKEFVNDRQHGTVHFRLGIMSVIRFKVSTWKSVHQKMHVNCDVAVDSDGLILPAWKNRKCSVYFS >KJB70752 pep chromosome:Graimondii2_0_v6:11:9606538:9623662:-1 gene:B456_011G090300 transcript:KJB70752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTSSGNGLLHLNDVEAGLSKDNADLDHHLDPDAGASDPFDIAHTKNASPETLKRWRQAALVLNASRRFRYTLDLKKEEEKEQRKRMIRAHAQVIRAALLFKLAGENQIVSGAPVASPSAGDDYKIGLEQLASMTRDHKLSALEQYGGVKGLSGLLRTNLEKGIDEDEADLLNRRNAFGSNTYPRKKGRSFWMFLWEAWQDLTLIILIIAAAVSLGLGIKTEGLKEGWYDGGSIFFAVFLVILVTATSDYRQSLQFQNLNEEKRNIQLEVVRGGRTVKVSIYDLVVGDLVPLKIGDQVPADGVLIAGHSLAIDESSMTGESKIVHKNQNDPFLMSGCKVADGFGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVSVLAILLARYFTGNTEDPNGATQFIKGRTKFDDAFNDVVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAFVGKKKINPPAESSQLHLSVVSLLSEGVAQSTTGNVFVSKDGDDVEISGSPTEKAILSWAIELGMKFDAIRSESTILHVFPFNSEKKRGGVALRRSDAEVHIHWKGAAEIVLAACSGYLDSNGCLQSMNEDKEFFEAAIDEMAANCLRCIALAYRLCEQEKVPSNEESFDDWVLPEDNLVLLAIVGIKDPCRQGVKDAVKICTDAGVKVRMVTGDNIQTAQAIALECGILSSAQDVTEPTIIEGRVFRALTEKEREQVARKIMVMGRSSPNDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIVILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISTGDVPLNSVQLLWVNLIMDTLGALALATEPPTDNLMHRSPVGRREPLITNIMWRNLLIQALYQVTVLLVLNFRGMTILQLEDDGNREHAYKVKNSLIFNAFVMCQIFNEFNARKPEEINCFKGVTKNYLFMGIIGFTFILQIIIIEFLGKFTSTVRLDWQLWLVSLGIGIISWPLAIVGKLIPVPKTPVASYFIKPFQQCKRSRDA >KJB70753 pep chromosome:Graimondii2_0_v6:11:9606538:9623819:-1 gene:B456_011G090300 transcript:KJB70753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTSSGNGLLHLNDVEAGLSKDNADLDHHLDPDAGASDPFDIAHTKNASPETLKRWRQAALVLNASRRFRYTLDLKKEEEKEQRKRMIRAHAQVIRAALLFKLAGENQIVSGAPVASPSAGDDYKIGLEQLASMTRDHKLSALEQYGGVKGLSGLLRTNLEKGIDEDEADLLNRRNAFGSNTYPRKKGRSFWMFLWEAWQDLTLIILIIAAAVSLGLGIKTEGLKEGWYDGGSIFFAVFLVILVTATSDYRQSLQFQNLNEEKRNIQLEVVRGGRTVKVSIYDLVVGDLVPLKIGDQVPADGVLIAGHSLAIDESSMTGESKIVHKNQNDPFLMSGCKVADGFGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVSVLAILLARYFTGNTEDPNGATQFIKGRTKFDDAFNDVVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAFVGKKKINPPAESSQLHLSVVSLLSEGVAQSTTGNVFVSKDGDDVEISGSPTEKAILSWAIELGMKFDAIRSESTILHVFPFNSEKKRGGVALRRSDAEVHIHWKGAAEIVLAACSGYLDSNGCLQSMNEDKEFFEAAIDEMAANCLRCIALAYRLCEQEKVPSNEESFDDWVLPEDNLVLLAIVGIKDPCRQGVKDAVKICTDAGVKVRMVTGDNIQTAQAIALECGILSSAQDVTEPTIIEGRVFRALTEKEREQVARKIMVMGRSSPNDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIVILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISTGDVPLNSVQLLWVNLIMDTLGALALATEPPTDNLMHRSPVGRREPLITNIMWRNLLIQALYQVTVLLVLNFRGMTILQLEDDGNREHAYKVKNSLIFNAFVMCQIFNEFNARKPEEINCFKGVTKNYLFMGIIGFTFILQIIIIEFLGKFTSTVRLDWQLWLVSLGIGIISWPLAIVGKLIPVPKTPVASYFIKPFQQCKRSRDA >KJB70755 pep chromosome:Graimondii2_0_v6:11:9613277:9623819:-1 gene:B456_011G090300 transcript:KJB70755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTSSGNGLLHLNDVEAGLSKDNADLDHHLDPDAGASDPFDIAHTKNASPETLKRWRQAALVLNASRRFRYTLDLKKEEEKEQRKRMIRAHAQVIRAALLFKLAGENQIVSGAPVASPSAGDDYKIGLEQLASMTRDHKLSALEQYGGVKGLSGLLRTNLEKGIDEDEADLLNRRNAFGSNTYPRKKGRSFWMFLWEAWQDLTLIILIIAAAVSLGLGIKTEGLKEGWYDGGSIFFAVFLVILVTATSDYRQSLQFQNLNEEKRNIQLEVVRGGRTVKVSIYDLVVGDLVPLKIGDQVPADGVLIAGHSLAIDESSMTGESKIVHKNQNDPFLMSGCKVADGFGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVSVLAILLARYFTGNTEDPNGATQFIKGRTKFDDAFNDVVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAFVGKKKINPPAESSQLHLSVVSLLSEGVAQSTTGNVFVSKDGDDVEISGSPTEKAILSWAIELGMKFDAIRSESTILHVFPFNSEKKRGGVALRRSDAEVHIHWKGAAEIVLAACSGYLDSNGCLQSMNEDKEFFEAAIDEMAANCLRCIALAYRLCEQEKVPSNEESFDDWVLPEDNLVLLAIVGIKVNCF >KJB70754 pep chromosome:Graimondii2_0_v6:11:9610472:9623819:-1 gene:B456_011G090300 transcript:KJB70754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTSSGNGLLHLNDVEAGLSKDNADLDHHLDPDAGASDPFDIAHTKNASPETLKRWRQAALVLNASRRFRYTLDLKKEEEKEQRKRMIRAHAQVIRAALLFKLAGENQIVSGAPVASPSAGDDYKIGLEQLASMTRDHKLSALEQYGGVKGLSGLLRTNLEKGIDEDEADLLNRRNAFGSNTYPRKKGRSFWMFLWEAWQDLTLIILIIAAAVSLGLGIKTEGLKEGWYDGGSIFFAVFLVILVTATSDYRQSLQFQNLNEEKRNIQLEVVRGGRTVKVSIYDLVVGDLVPLKIGDQVPADGVLIAGHSLAIDESSMTGESKIVHKNQNDPFLMSGCKVADGFGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVSVLAILLARYFTGNTEDPNGATQFIKGRTKFDDAFNDVVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAFVGKKKINPPAESSQLHLSVVSLLSEGVAQSTTGNVFVSKDGDDVEISGSPTEKAILSWAIELGMKFDAIRSESTILHVFPFNSEKKRGGVALRRSDAEVHIHWKGAAEIVLAACSGYLDSNGCLQSMNEDKEFFEAAIDEMAANCLRCIALAYRLCEQEKVPSNEESFDDWVLPEDNLVLLAIVGIKDPCRQGVKDAVKICTDAGVKVRMVTGDNIQTAQAIALECGILSSAQDVTEPTIIEGRVFRALTEKEREQVARKIMVMGRSSPNDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIVILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISTGDVPLNSVQVLPFLVINEIWCFS >KJB70750 pep chromosome:Graimondii2_0_v6:11:9606511:9623981:-1 gene:B456_011G090300 transcript:KJB70750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTSSGNGLLHLNDVEAGLSKDNADLDHHLDPDAGASDPFDIAHTKNASPETLKRWRQAALVLNASRRFRYTLDLKKEEEKEQRKRMIRAHAQVIRAALLFKLAGENQIVSGAPVASPSAGDDYKIGLEQLASMTRDHKLSALEQYGGVKGLSGLLRTNLEKGIDEDEADLLNRRNAFGSNTYPRKKGRSFWMFLWEAWQDLTLIILIIAAAVSLGLGIKTEGLKEGWYDGGSIFFAVFLVILVTATSDYRQSLQFQNLNEEKRNIQLEVVRGGRTVKVSIYDLVVGDLVPLKIGDQVPADGVLIAGHSLAIDESSMTGESKIVHKNQNDPFLMSGCKVADGFGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVSVLAILLARYFTGNTEDPNGATQFIKGRTKFDDAFNDVVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAFVGKKKINPPAESSQLHLSVVSLLSEGVAQSTTGNVFVSKDGDDVEISGSPTEKAILSWAIELGMKFDAIRSESTILHVFPFNSEKKRGGVALRRSDAEVHIHWKGAAEIVLAACSGYLDSNGCLQSMNEDKEFFEAAIDEMAANCLRCIALAYRLCEQEKVPSNEESFDDWVLPEDNLVLLAIVGIKDPCRQGVKDAVKICTDAGVKVRMVTGDNIQTAQAIALECGILSSAQDVTEPTIIEGRVFRALTEKEREQVARKIMVMGRSSPNDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIVILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISTGDVPLNSVQLLWVNLIMDTLGALALATEPPTDNLMHRSPVGRREPLITNIMWRNLLIQALYQVTVLLVLNFRGMTILQLEDDGNREHAYKVKNSLIFNAFVMCQIFNEFNARKPEEINCFKGVTKNYLFMGIIGFTFILQIIIIEFLGKFTSTVRLDWQLWLVSLGIGIISWPLAIVGKLIPVPKTPVASYFIKPFQQCKRSRDA >KJB70751 pep chromosome:Graimondii2_0_v6:11:9606511:9624012:-1 gene:B456_011G090300 transcript:KJB70751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTSSGNGLLHLNDVEAGLSKDNADLDHHLDPDAGASDPFDIAHTKNASPETLKRWRQAALVLNASRRFRYTLDLKKEEEKEQRKRMIRAHAQVIRAALLFKLAGENQIVSGAPVASPSAGDDYKIGLEQLASMTRDHKLSALEQYGGVKGLSGLLRTNLEKGIDEDEADLLNRRNAFGSNTYPRKKGRSFWMFLWEAWQDLTLIILIIAAAVSLGLGIKTEGLKEGWYDGGSIFFAVFLVILVTATSDYRQSLQFQNLNEEKRNIQLEVVRGGRTVKVSIYDLVVGDLVPLKIGDQVPADGVLIAGHSLAIDESSMTGESKIVHKNQNDPFLMSGCKVADGFGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVSVLAILLARYFTGNTEDPNGATQFIKGRTKFDDAFNDVVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAFVGKKKINPPAESSQLHLSVVSLLSEGVAQSTTGNVFVSKDGDDVEISGSPTEKAILSWAIELGMKFDAIRSESTILHVFPFNSEKKRGGVALRRSDAEVHIHWKGAAEIVLAACSGYLDSNGCLQSMNEDKEFFEAAIDEMAANCLRCIALAYRLCEQEKVPSNEESFDDWVLPEDNLVLLAIVGIKDPCRQGVKDAVKICTDAGVKVRMVTGDNIQTAQAIALECGILSSAQDVTEPTIIEGRVFRALTEKEREQVARKIMVMGRSSPNDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIVILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISTGDVPLNSVQLLWVNLIMDTLGALALATEPPTDNLMHRSPVGRREPLITNIMWRNLLIQALYQVTVLLVLNFRGMTILQLEDDGNREHAYKVKNSLIFNAFVMCQIFNEFNARKPEEINCFKGVTKNYLFMGIIGFTFILQIIIIEFLGKFTSTVRLDWQLWLVSLGIGIISWPLAIVGKLIPVPKTPVASYFIKPFQQCKRSRDA >KJB73402 pep chromosome:Graimondii2_0_v6:11:54742000:54743054:1 gene:B456_011G231600 transcript:KJB73402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILVHSDFFARQKLDNDAQEEGYVLTNSSRILLKNNPFCVTPTLKATMDPIITKPWSFLGTWFQNDYHTPFATAYGKTLWGYFTHDPQLKDLINDGLASDSQLVTSVLVDKCKGAFEGLNSLVDVGGGTGTTAKAIADTFPLMECTVFDLPNIVAGLQGSKNLKYVGGNMFEAFPTGDAILLKKVLHDWNDEGCLTILKRCKEAISSQDTVGRKLIIIDMVARENKQVNDEASSLTKTQLFFDMLMLVLVAGKERREEEWAKLFLAAGFSSFKITPIVGLTSLIEVYP >KJB71350 pep chromosome:Graimondii2_0_v6:11:15824062:15840528:1 gene:B456_011G117900 transcript:KJB71350 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) UniProtKB/TrEMBL;Acc:F4IA34] MMVEDLGVEAKEAAVREVAKILPLPELLQSISTIKADYITRQQANDAQLSTMVAEQVEQAQAGLESLNSSQKTISQLRENFISIEKLCQECQNLIENHDQIKLLSNVRNNLNTTLKDVEGMMSISVEASEARDSLSDDKELVNTYERLTALDGKRRFALAAVASHKEEVGRLREYFEDVDRTWETFEKTLWGHISNFYKLSKESPQTLVRALRVVEMQEILDQQLAEEAAEAEGDEAMAAIANPRRAGKKSTKSSASSKNLAQQKLKVQGKGYKDKCYEQIRKTVEERFNHLLTELVYEDLKAALEEVKTLGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANELTNIEILKVTGWVVEYQESLIGLGVDETLAQVCSESGAMDPLMNSYVERMRATTRKWYLNILEADKVQPPKKTEEGKLYTPAAVDLFRILGEQVQIVRDNSTDAMLYRIALAIIQVMIDFQAAEKQRLEEPASDIGLEPLCAMINNNLRCYDLALELSNSIIEALPQNYADQVNFEDTCKGFLEVAKEAVRQTVNVIFEDPGVQELLVKLYHTEWCEGQVTEYLVATFGDYFTDVKM >KJB71349 pep chromosome:Graimondii2_0_v6:11:15823994:15842149:1 gene:B456_011G117900 transcript:KJB71349 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) UniProtKB/TrEMBL;Acc:F4IA34] MMVEDLGVEAKEAAVREVAKILPLPELLQSISTIKADYITRQQANDAQLSTMVAEQVEQAQAGLESLNSSQKTISQLRENFISIEKLCQECQNLIENHDQIKLLSNVRNNLNTTLKDVEGMMSISVEASEARDSLSDDKELVNTYERLTALDGKRRFALAAVASHKEEVGRLREYFEDVDRTWETFEKTLWGHISNFYKLSKESPQTLVRALRVVEMQEILDQQLAEEAAEAEGDEAMAAIANPRRAGKKSTKSSASSKNLAQQKLKVQGKGYKDKCYEQIRKTVEERFNHLLTELVYEDLKAALEEVKTLGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANELTNIEILKVTGWVVEYQESLIGLGVDETLAQVCSESGAMDPLMNSYVERMRATTRKWYLNILEADKVQPPKKTEEGKLYTPAAVDLFRILGEQVQIVRDNSTDAMLYRIALAIIQVMIDFQAAEKQRLEEPASDIGLEPLCAMINNNLRCYDLALELSNSIIEALPQNYADQVNFEDTCKGFLEVAKEAVRQTVNVIFEDPGVQELLVKLYHTEWCEGQVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEETAIVYVDHLLTQKNYIKEETIERMRLDEEVLMDFFREYISVSKVESRVRILSDLRDLASAESLDTFTLIYSNILEHQPDCPPDVVEKLVSLREGIPRKDAKEVVQECKEIYENSLVGGKPPRTGFVFPRVKCLTATKGYIWRKLT >KJB71352 pep chromosome:Graimondii2_0_v6:11:15824062:15842147:1 gene:B456_011G117900 transcript:KJB71352 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) UniProtKB/TrEMBL;Acc:F4IA34] MMVEDLGVEAKEAAVREVAKILPLPELLQSISTIKADYITRQQANDAQLSTMVAEQVEQAQAGLESLNSSQKTISQLRENFISIEKLCQECQNLIENHDQIKLLSNVRNNLNTTLKDVEGMMSISVEASEARDSLSDDKELVNTYERLTALDGKRRFALAAVASHKEEVGRLREYFEDVDRTWETFEKTLWGHISNFYKLSKESPQTLVRALRVVEMQEILDQQLAEEAAEAEGDEAMAAIANPRRAGKKSTKSSASSKNLAQQKLKVQGKGYKDKCYEQIRKTVEERFNHLLTELVYEDLKAALEEVKTLGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANELTNIEILKVTGWVVEYQESLIGLGVDETLAQVCSESGAMDPLMNSYVERMRATTRKWYLNILEADKVQPPKKTEEGKLYTPAAVDLFRILGEQVQIVRDNSTDAMLYRIALAIIQVMIDFQAAEKQRLEEPASDIGLEPLCAMINNNLRCYDLALELSNSIIEALPQNYADQVNFEDTCKGFLEVAKEAVRQTVNVIFEDPGVQELLVKLYHTEWCEGQVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEETAIVYVDHLLTQKNYIKEETIERMRLDEEVLMDFFREYISVSKVESRVRILSDLRDLASAESLDTFTLIYSNILEHPTQRCKGGGARMQRNLRELTCWWEASKDRICFSKGQVFDGN >KJB71351 pep chromosome:Graimondii2_0_v6:11:15824181:15841070:1 gene:B456_011G117900 transcript:KJB71351 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) UniProtKB/TrEMBL;Acc:F4IA34] MMVEDLGVEAKEAAVREVAKILPLPELLQSISTIKADYITRQQANDAQLSTMVAEQVEQAQAGLESLNSSQKTISQLRENFISIEKLCQECQNLIENHDQIKLLSNVRNNLNTTLKDVEGMMSISVEASEARDSLSDDKELVNTYERLTALDGKRRFALAAVASHKEEVGRLREYFEDVDRTWETFEKTLWGHISNFYKLSKESPQTLVRALRVVEMQEILDQQLAEEAAEAEGDEAMAAIANPRRAGKKSTKSSASSKNLAQQKLKVQGKGYKDKCYEQIRKTVEERFNHLLTELVYEDLKAALEEVKTLGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANELTNIEILKVTGWVVEYQESLIGLGVDETLAQVCSESGAMDPLMNSYVERMRATTRKWYLNILEADKVQPPKKTEEGKLYTPAAVDLFRILGEQVQIVRDNSTDAMLYRIALAIIQVMIDFQAAEKQRLEEPASDIGLEPLCAMINNNLRCYDLALELSNSIIEALPQNYADQVNFEDTCKGFLEVAKEAVRQTVNVIFEDPGVQELLVKLYHTEWCEGQVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEETAIVYVDHLLTQKNYIKEETIERMRLDEEVLMDFFREYISVSVSDAS >KJB70281 pep chromosome:Graimondii2_0_v6:11:5684750:5690606:1 gene:B456_011G066600 transcript:KJB70281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFTLIFEGKLDFGSFCIQRTIIDFINLVFLFVFYLLLYVRSVIKQQRRVVNKRDRISIVVSICCALISVLYLSVGLWNLIARNGGFHSLSWLIELIRGLIWISLSVSLLVHTSQPVKILISVWWVSFTLLVSILHIEVLYRTHRIEIFDIFPWLVNILLLFSAFRNFIDLDRKHESLSESLLEEKEEKDQIEVCEANFFSKLSFSWINPLLSRGYLRPLALEDIPSISAEDESNLAYQKFANAWESLIIEGGSICAVLRTIAVAALPLLLYAFGIVLLGCLVVSKVVESLSQRYWYFSSRRSGMRMRSALMVAVYQKQLKLSSSGRQRHSTGDIVNYIAVDAYRMGEFPWWFHSTWSLVLQLFMSIGVLFSVVGFGAILGTVPLAICGFLNTPFAKIIQKCQSEFMISQDERLRAISEILNNMKIIKLQSWEEKFKSSIESLRGNEFKWLSKQQFLRPYGTFLYWISPTIVSSIVFLGCALFGSAPMNAGTVFTVLATLRSMSEPVRMLPEALSILIQVKVSFDRINTFLLDDELRHDEVRRFPLQSSDKSVTVEAGNFSWVPEIASPTLRNLELEIKRGQKIAVCGPVGAGKSSILYAMLGEIPKLSGTVSLFGSIAYVSQVSWIQSGTIRNNILYGNPMDADKYDKAIKACALDKDINCFDHGDLTEIGQRGINMSGGQKQRIQLARAVYDDADVYLLDDPFSAVDAHTASVLFNDCVMTALEKKTVILVTHQVEFLSQVDRILVMDGGQITQSGSYEELLMAGTAFEQLVNAHRDSITALGTLNGDGGGESQETAAEMSNRCYPTKQISEGESSVKGPSGIQLTQDEEIEIGDVGWKPFMDYVSISKGFLYLSLIILAQSMFSVLRVASSYWLAFAIQIPNITSSMLIGVYSGIATLSAVFVFFRSYYGAHLGLKASKAFSSGLINSIFRAPMFFFDSTPVGRILTRASSDMSILDFDIPFSIVFVAAGATDFIATIGAMAFITWQVLIVAVLAMVAVNYIQRYYMSSARELIRINGTTKAPVMNYAAETSLGVVTIRAFNMVDRFFRNNLKLVNTDATLFFLSNAAMEWLVLRIETLQNLTVFTAAFFVLLLPKNQARPGLVGLSLSYALSLTSTQIFASRWYCNLLNYLISVERIKQFMNIPEEPPAIIEDNRPPSSWPYKGRIELQELKIRYRSNAPLVLKGITCTFLEGTRVGVVGRTGSGKTTLISALFRLVEPSSGRILIDGLDICSMGLKDLRMKLSIIPQEPTLFRGSIRTNLDPLGLYSDDEIWKALEKCQLKTTISALPNKLDSSVSDEGENWSVGQRQLFCLGRVLLKRNRILVLDEATASIDSATDAILQRIIREEFSNCTVITVAHRVPTVIDSDMVMVLSYGKLLEYDEPSNLMATKSSFSKLVAEYWSSCRRNSIKNYQ >KJB71295 pep chromosome:Graimondii2_0_v6:11:15054168:15054695:-1 gene:B456_011G115400 transcript:KJB71295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSLRFLLVGTFSHLGRNLISLFPSHQIVFFPQRIVMSFYGIASLFISSYLWCTIFWNVGSGYDQFDRKEGIVCIFRWDFPEKNRRIFLRFLMKYIQSIRIEVKKGIYARRVLYMEIRGQGAVPLTRTCFLWAEKKAQRLWDSNNHFFPI >KJB71758 pep chromosome:Graimondii2_0_v6:11:22139093:22143853:1 gene:B456_011G140400 transcript:KJB71758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKSPGLLPTSGRFSGFSSSGIAPNNFNPKTETGTFGQTSENNHFSHDIRKMPDNPPKKLGHRRAHSEILTLPDDISFDSDTGIVGAADGPSYSDEAEEDLFSMYLDMDKFNSSSATSTCQVGESSEAAAPVAVPAKSGLSSGENISNGIASSMKPRVRHQHSQSMDGSTSIKPEMLMSGSEEVSPADSKKSMSAANLAELALIDPKRAKRVWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLVQRDTNGLTAENSELKLRLQAMEQQVHLQDALNDAMKEEIQHLKMLTVQPMPNGGGMMNFASFGASQQYYPNNHAKHTLLAAQQFQQLQLHSQKHQHQHQHQFAPNQLHHQQEQTGEMRARGSMPSPNQKDSSSDVNSTASKD >KJB71702 pep chromosome:Graimondii2_0_v6:11:21162830:21169837:-1 gene:B456_011G137800 transcript:KJB71702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKSHIISGFTIPLKPTASSSSSGNSSTLCLVKKPLTSSFFNGGVASLKLTSLRSVPGRSHCYRQRGGALGTRMNLFDRFARVVKSYANALLSSFEDPEKILDQAVLEMNDDLVKMRQATAQVLASQKRLENKYKAAQQASEDWYRKAQLALQKGEEDLAREALKRRKSFADNANSLKAQLDQQQTVVDNLVSNTRLLESKIQEAKSKKETLKARAQSAKTATKVNEMVGNVNTGSALAAFEKMEEKVLAMESEAEALGQLTTDDLEGKFALLESTSVDDDLAKMKRELSGSSQKGELPPGRTVSASNNTAFPYRDSEIEMELNELRRKAKDF >KJB71703 pep chromosome:Graimondii2_0_v6:11:21163376:21169814:-1 gene:B456_011G137800 transcript:KJB71703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKSHIISGFTIPLKPTASSSSSGNSSTLCLVKKPLTSSFFNGGASLKLTSLRSVPGRSHCYRQRGGALGTRMNLFDRFARVVKSYANALLSSFEDPEKILDQAVLEMNDDLVKMRQATAQVLASQKRLENKYKAAQQASEDWYRKAQLALQKGEEDLAREALKRRKSFADNANSLKAQLDQQQTVVDNLVSNTRLLESKIQEAKSKKETLKARAQSAKTATKVNEMVGNVNTGSALAAFEKMEEKVLAMESEAEALGQLTTDDLEGKFALLESTSVDDDLAKMKRELSGSSQKGELPPGRTVSASNNTAFPYRDSEIEMELNELRRKAKDF >KJB70312 pep chromosome:Graimondii2_0_v6:11:5974970:5976526:1 gene:B456_011G067500 transcript:KJB70312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPMGFHQMKAPAFQEQEQQQLKCPRCDSTNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGALRNIPVGGGTRKGTKRSSSSSTNNPKRQPNPSPDPTPNQKIPDPSPPPPTSSSSSMFPQQIVLSSGAQNSDLDIDSTRMYLLPVDHQDGKMMDIGGSFSSLLASTGQFGNLLEGFNSNGSGLKTLNHFGGNLDSGCEMDQSSGGDPRFGESSKNGESYLDAQGGRDTSCWSGDSNGWPDLSIYTPGSSFRR >KJB73364 pep chromosome:Graimondii2_0_v6:11:54270186:54272154:1 gene:B456_011G229300 transcript:KJB73364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTKRYAVVTGGNKGIGLEICKQVASKGTMVVLTARDEKRGLEAVEKLKDLGLSGNVVFHQLDVADPASVASLVDFVKTQFGKVDILVNNAGIGGVKGDFEALRAAAFGKVNVSNEWAKAVLSDAGNLTEDKVDEILSQYMKDFKEGSLQGKGWPAFMSAYILSKAAMNAYTRILAKKYINFIINCVCPGFVKTDINFNSGIISVEEGAESPVRLALLPNNGPSGLFFVRKEESEF >KJB73362 pep chromosome:Graimondii2_0_v6:11:54270297:54270871:1 gene:B456_011G229300 transcript:KJB73362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTKRYAVVTGGNKGIGLEICKQVASKGTMVVLTARDEKRGLEAVEKLKDLGLSGNVVFHQLDVADPASVASLVDFVKTQFGKVDILVNNAGIGGVKGDFEALRAAAFGKVVSYQSTWFPLTTVENCLFNP >KJB73363 pep chromosome:Graimondii2_0_v6:11:54270186:54272154:1 gene:B456_011G229300 transcript:KJB73363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTKRYAVVTGGNKGIGLEICKQVASKGTMVVLTARDEKRGLEAVEKLKDLGLSGNVVFHQLDVADPASVASLVDFVKTQFGKVDILVNNAGIGGVKGDFEALRAAAFGKPGAQPNWGSLLTQSPELSEQCLQTNYYGAKRVCVTYSASPAIQFTENCKCFFLYWEVKKMYRTNGQKQS >KJB73360 pep chromosome:Graimondii2_0_v6:11:54270183:54272154:1 gene:B456_011G229300 transcript:KJB73360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTKRYAVVTGGNKGIGLEICKQVASKGTMVVLTARDEKRGLEAVEKLKDLGLSGNVVFHQLDVADPASVASLVDFVKTQFGKVNNAGIGGVKGDFEALRAAAFGKPGAQPNWGSLLTQSPELSEQCLQTNYYGAKRVCVTYSASPAIQFTENCKCFFLYWEVKKMYRTNGQKQS >KJB73361 pep chromosome:Graimondii2_0_v6:11:54270186:54271907:1 gene:B456_011G229300 transcript:KJB73361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTKRYAVVTGGNKGIGLEICKQVASKGTMVVLTARDEKRGLEAVEKLKDLGLSGNVVFHQLDVADPASVASLVDFVKTQFGKVDILVNNAGIGGVKGDFEALRAAAFAWCTTKLG >KJB69172 pep chromosome:Graimondii2_0_v6:11:940486:941948:-1 gene:B456_011G013500 transcript:KJB69172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKLEHPLERRQAEAGRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFVKNTLPPTAALMSAIYEENRDEDGFLYMTYSGENTFGSPLLLA >KJB69170 pep chromosome:Graimondii2_0_v6:11:940288:942317:-1 gene:B456_011G013500 transcript:KJB69170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKLEHPLERRQAEAGRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFVKNTLPPTAALMSAIYEENRDEDGFLYMTYSGENTFGSPLLLA >KJB69171 pep chromosome:Graimondii2_0_v6:11:940283:942317:-1 gene:B456_011G013500 transcript:KJB69171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKLEHPLERRQAEAGRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFVKNTLPPTAALMSAIYEENRDEDGFLYMTYSGENTFGSPLLLA >KJB69173 pep chromosome:Graimondii2_0_v6:11:940247:942364:-1 gene:B456_011G013500 transcript:KJB69173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKLEHPLERRQAEAGRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFVKNTLPPTAALMSAIYEENRDEDGFLYMTYSGENTFGSPLLLA >KJB68704 pep chromosome:Graimondii2_0_v6:11:27233602:27235003:-1 gene:B456_011G1561001 transcript:KJB68704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSFRTLSKTLTRSFSTKSSHHNHHQKTHKYLDPNSFLGSWKTPNDPKEAEKKLALLRRDYAKQVKNVRKEYIHEMELLRLEKLRKEEARKEAIRVANEERKRLKAEAAKVRAQERMVAEEEFRQTLLKERAEKLENWRMKRTLHENKKKEAKDLVRRRSSMWIEEQELE >KJB68703 pep chromosome:Graimondii2_0_v6:11:27233073:27235003:-1 gene:B456_011G1561001 transcript:KJB68703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSFRTLSKTLTRSFSTKSSHHNHHQKTHKYLDPNSFLGSWKTPNDPKEAEKKLALLRRDYAKQVKNVRKEYIHEMELLRLEKLRKEEARKEAIRVANEERKRLKAEAAKVRAQERMVAEEEFRQTLLKERAEKLENWRMKRTLHENKKKEAKDLVRRRSSMWIEEQELESKIFSAIVF >KJB72374 pep chromosome:Graimondii2_0_v6:11:39878066:39878585:-1 gene:B456_011G174700 transcript:KJB72374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIREKIHTNMKIILHNFIKDLEVGKDRLIFNVSRNTCSRLRVDLLLNWECSSSPGSLRSFWPLDLSRQ >KJB72243 pep chromosome:Graimondii2_0_v6:11:32956613:32962560:1 gene:B456_011G166400 transcript:KJB72243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPDSTDIIDNLPENPDQKLNQPKDESHDDDNDSNSTAEAAAVDGNDDNNNRSNGCTDGDSVLDVSGKSVEFSILGDSKESVDGLYLYKNVFNLIPKSVGALSRLRNLKFFGNEINLFPSEVGGLVGLECLQVKISSPGFNGMSLSKLKGLKELELSRVPPRSSVLTLLSEISGLKCLTKLSVCYFSIRYLPPEIGCLKNLEYLDLSFNKIKSLPIEISYLNDLISLKVANNKLVELPLGLSSLQRLENLDLSNNRLTSLGSLELSLMPNLQTLNLQYNKLVSCFQTPSWICCNLEGNGRAVSSDEFTSSSVEMDVYETTGQDNDGSVSYNGSHKTSSGILTVPLANSRYIAARRSSKRWKRRHYLQQRARQERLNNSRKWKGEGHAEVHTVKAGGEYPGDNDVLASSTGIEAASELVGKDDDKPLHILEAKNEKISSVRLEDDTVTYEKRLEVKNSTSDGYESRSKGSEDECSRLDASLALVRGAIEQDEGSSSEISKSNFKSKRQSDRDLSNPKPCKSRKPADYCSNLSRKYSTTSFCGTEDYLPDGFYDAGRDRPFMPLSSYEQIFHLESREVILVDRERDEELDAIALSAQALVFHLKHLNGLAKDKERVPVDNFQIASLLALFISDHFGGSDRSGMVERTRKAVSGSNYKKPFICTCTTGNGDSACASNKTLNTVEDIVFSDLCERSLRSIKSRRKSIVVPLGTLQFGVCRHRALLMKYLCDRMEPPVPCELIRGYLDFMPHAWNIIPIKRGDSWVRLVVDACHPHDIREEIDPEYFCRYIPLSRTKVPVTSESIPVLSSFPSLTTSDEIERVASSSLLRCKFGSLDAAAKVRTLEINGASLDEVKNFEYSCLGEVRILGALKHACIVEMYGHQITSKWISVGDGEAEHRILQSTILMEYMKGGSLKTHIEKLAKAGEKHIPVDFALCIARDVASALAELHSKHIIHRDIKSENILIDLDGKRVDGSPVVKLCDFDRAVPLRSSLHTCCIAHLGIPPPDVCVGTPRWMAPEVLGAMHKRNPYGLVSFLLPFWH >KJB72246 pep chromosome:Graimondii2_0_v6:11:32956613:32963433:1 gene:B456_011G166400 transcript:KJB72246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPDSTDIIDNLPENPDQKLNQPKDESHDDDNDSNSTAEAAAVDGNDDNNNRSNGCTDGDSVLDVSGKSVEFSILGDSKESVDGLYLYKNVFNLIPKSVGALSRLRNLKFFGNEINLFPSEVGGLVGLECLQVKISSPGFNGMSLSKLKGLKELELSRVPPRSSVLTLLSEISGLKCLTKLSVCYFSIRYLPPEIGCLKNLEYLDLSFNKIKSLPIEISYLNDLISLKVANNKLVELPLGLSSLQRLENLDLSNNRLTSLGSLELSLMPNLQTLNLQYNKLVSCFQTPSWICCNLEGNGRAVSSDEFTSSSVEMDVYETTGQDNDGSVSYNGSHKTSSGILTVPLANSRYIAARRSSKRWKRRHYLQQRARQERLNNSRKWKGEGHAEVHTVKAGGEYPGDNDVLASSTGIEAASELVGKDDDKPLHILEAKNEKISSVRLEDDTVTYEKRLEVKNSTSDGYESRSKGSEDECSRLDASLALVRGAIEQDEGSSSEISKSNFKSKRQSDRDLSNPKPCKSRKPADYCSNLSRKYSTTSFCGTEDYLPDGFYDAGRDRPFMPLSSYEQIFHLESREVILVDRERDEELDAIALSAQALVFHLKHLNGLAKDKERVPVDNFQIASLLALFISDHFGGSDRSGMVERTRKAVSGSNYKKPFICTCTTGNGDSACASNKTLNTVEDIVFSDLCERSLRSIKSRRKSIVVPLGTLQFGVCRHRALLMKYLCDRMEPPVPCELIRGYLDFMPHAWNIIPIKRGDSWVRLVVDACHPHDIREEIDPEYFCRYIPLSRTKVPVTSESIPVLSSFPSLTTSDEIERVASSSLLRCKFGSLDAAAKVRTLEINGASLDEVKNFEYSCLGEVRILGALKHACIVEMYGHQITSKWISVGDGEAEHRILQSTILMEYMKGGSLKTHIEKLAKAGEKHIPVDFALCIARDVASALAELHSKHIIHRDIKSENILIDLDGKRVDGSPVVKLCDFDRAVPLRSSLHTCCIAHLGIPPPDVCVGTPRWMAPEVLGAMHKRNPYGLVSGHLVIWMPAL >KJB72245 pep chromosome:Graimondii2_0_v6:11:32956613:32963433:1 gene:B456_011G166400 transcript:KJB72245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPDSTDIIDNLPENPDQKLNQPKDESHDDDNDSNSTAEAAAVDGNDDNNNRSNGCTDGDSVLDVSGKSVEFSILGDSKESVDGLYLYKNVFNLIPKSVGALSRLRNLKFFGNEINLFPSEVGGLVGLECLQVKISSPGFNGMSLSKLKGLKELELSRVPPRSSVLTLLSEISGLKCLTKLSVCYFSIRYLPPEIGCLKNLEYLDLSFNKIKSLPIEISYLNDLISLKVANNKLVELPLGLSSLQRLENLDLSNNRLTSLGSLELSLMPNLQTLNLQYNKLVSCFQTPSWICCNLEGNGRAVSSDEFTSSSVEMDVYETTGQDNDGSVSYNGSHKTSSGILTVPLANSRYIAARRSSKRWKRRHYLQQRARQERLNNSRKWKGEGHAEVHTVKAGGEYPGDNDVLASSTGIEAASELVGKDDDKPLHILEAKNEKISSVRLEDDTVTYEKRLEVKNSTSDGYESRSKGSEDECSRLDASLALVRGAIEQDEGSSSEISKSNFKSKRQSDRDLSNPKPCKSRKPADYCSNLSRKYSTTSFCGTEDYLPDGFYDAGRDRPFMPLSSYEQIFHLESREVILVDRERDEELDAIALSAQALVFHLKHLNGLAKDKERVPVDNFQIASLLALFISDHFGGSDRSGMVERTRKAVSGSNYKKPFICTCTTGNGDSACASNKTLNTVEDIVFSDLCERSLRSIKSRRKSIVVPLGTLQFGVCRHRALLMKYLCDRMEPPVPCELIRGYLDFMPHAWNIIPIKRGDSWVRLVVDACHPHDIREEIDPEYFCRYIPLSRTKVPVTSESIPVLSSFPSLTTSDEIERVASSSLLRCKFGSLDAAAKVRTLEINGASLDEVKNFEYSCLGEVRILGALKHACIVEMYGHQITSKWISVGDGEAEHRILQSTILMEYMKGGSLKLKLVRNISLWILPCVLHVMLRLHWPSCTQSTLFIVI >KJB72247 pep chromosome:Graimondii2_0_v6:11:32959373:32963044:1 gene:B456_011G166400 transcript:KJB72247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSSYEQIFHLESREVILVDRERDEELDAIALSAQALVFHLKHLNGLAKDKERVPVDNFQIASLLALFISDHFGGSDRSGMVERTRKAVSGSNYKKPFICTCTTGNGDSACASNKTLNTVEDIVFSDLCERSLRSIKSRRKSIVVPLGTLQFGVCRHRALLMKYLCDRMEPPVPCELIRGYLDFMPHAWNIIPIKRGDSWVRLVVDACHPHDIREEIDPEYFCRYIPLSRTKVPVTSESIPVLSSFPSLTTSDEIERVASSSLLRCKFGSLDAAAKVRTLEINGASLDEVKNFEYSCLGEVRILGALKHACIVEMYGHQITSKWISVGDGEAEHRILQSTILMEYMKGGSLKTHIEKLAKAGEKHIPVDFALCIARDVASALAELHSKHIIHRDIKSENILIDLDGKRVDGSPVVKLCDFDRAVPLRSSLHTCCIAHLGIPPPDVCVGTPRWMAPEVLGAMHKRNPYGLEVDIWSFGCLLYELLTLQVPYSGLSELHIHELIQMGERPRLPEELEALELTESVMTQSETEAETETLRFLVDIFRKCTEENPVDRPTANNLYDMLVKHTNDFRNSS >KJB72244 pep chromosome:Graimondii2_0_v6:11:32956613:32963044:1 gene:B456_011G166400 transcript:KJB72244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVYETTGQDNDGSVSYNGSHKTSSGILTVPLANSRYIAARRSSKRWKRRHYLQQRARQERLNNSRKWKGEGHAEVHTVKAGGEYPGDNDVLASSTGIEAASELVGKDDDKPLHILEAKNEKISSVRLEDDTVTYEKRLEVKNSTSDGYESRSKGSEDECSRLDASLALVRGAIEQDEGSSSEISKSNFKSKRQSDRDLSNPKPCKSRKPADYCSNLSRKYSTTSFCGTEDYLPDGFYDAGRDRPFMPLSSYEQIFHLESREVILVDRERDEELDAIALSAQALVFHLKHLNGLAKDKERVPVDNFQIASLLALFISDHFGGSDRSGMVERTRKAVSGSNYKKPFICTCTTGNGDSACASNKTLNTVEDIVFSDLCERSLRSIKSRRKSIVVPLGTLQFGVCRHRALLMKYLCDRMEPPVPCELIRGYLDFMPHAWNIIPIKRGDSWVRLVVDACHPHDIREEIDPEYFCRYIPLSRTKVPVTSESIPVLSSFPSLTTSDEIERVASSSLLRCKFGSLDAAAKVRTLEINGASLDEVKNFEYSCLGEVRILGALKHACIVEMYGHQITSKWISVGDGEAEHRILQSTILMEYMKGGSLKTHIEKLAKAGEKHIPVDFALCIARDVASALAELHSKHIIHRDIKSENILIDLDGKRVDGSPVVKLCDFDRAVPLRSSLHTCCIAHLGIPPPDVCVGTPRWMAPEVLGAMHKRNPYGLEVDIWSFGCLLYELLTLQVPYSGLSELHIHELIQMGERPRLPEELEALELTESVMTQSETEAETETLRFLVDIFRKCTEENPVDRPTANNLYDMLVKHTNDFRNSS >KJB72242 pep chromosome:Graimondii2_0_v6:11:32956538:32963474:1 gene:B456_011G166400 transcript:KJB72242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPDSTDIIDNLPENPDQKLNQPKDESHDDDNDSNSTAEAAAVDGNDDNNNRSNGCTDGDSVLDVSGKSVEFSILGDSKESVDGLYLYKNVFNLIPKSVGALSRLRNLKFFGNEINLFPSEVGGLVGLECLQVKISSPGFNGMSLSKLKGLKELELSRVPPRSSVLTLLSEISGLKCLTKLSVCYFSIRYLPPEIGCLKNLEYLDLSFNKIKSLPIEISYLNDLISLKVANNKLVELPLGLSSLQRLENLDLSNNRLTSLGSLELSLMPNLQTLNLQYNKLVSCFQTPSWICCNLEGNGRAVSSDEFTSSSVEMDVYETTGQDNDGSVSYNGSHKTSSGILTVPLANSRYIAARRSSKRWKRRHYLQQRARQERLNNSRKWKGEGHAEVHTVKAGGEYPGDNDVLASSTGIEAASELVGKDDDKPLHILEAKNEKISSVRLEDDTVTYEKRLEVKNSTSDGYESRSKGSEDECSRLDASLALVRGAIEQDEGSSSEISKSNFKSKRQSDRDLSNPKPCKSRKPADYCSNLSRKYSTTSFCGTEDYLPDGFYDAGRDRPFMPLSSYEQIFHLESREVILVDRERDEELDAIALSAQALVFHLKHLNGLAKDKERVPVDNFQIASLLALFISDHFGGSDRSGMVERTRKAVSGSNYKKPFICTCTTGNGDSACASNKTLNTVEDIVFSDLCERSLRSIKSRRKSIVVPLGTLQFGVCRHRALLMKYLCDRMEPPVPCELIRGYLDFMPHAWNIIPIKRGDSWVRLVVDACHPHDIREEIDPEYFCRYIPLSRTKVPVTSESIPVLSSFPSLTTSDEIERVASSSLLRCKFGSLDAAAKVRTLEINGASLDEVKNFEYSCLGEVRILGALKHACIVEMYGHQITSKWISVGDGEAEHRILQSTILMEYMKGGSLKTHIEKLAKAGEKHIPVDFALCIARDVASALAELHSKHIIHRDIKSENILIDLDGKRVDGSPVVKLCDFDRAVPLRSSLHTCCIAHLGIPPPDVCVGTPRWMAPEVLGAMHKRNPYGLEVDIWSFGCLLYELLTLQVPYSGLSELHIHELIQMGERPRLPEELEALELTESVMTQSETEAETETLRFLVDIFRKCTEENPVDRPTANNLYDMLVKHTNDFRNSS >KJB72010 pep chromosome:Graimondii2_0_v6:11:26498335:26502177:-1 gene:B456_011G153800 transcript:KJB72010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKENNSFSLDWDDRFTEDVIRLMDAIEATPPPPPSSSSKKRKSAVHHQDNLKTGRQLPHSILSPPPSFPSSFARCQSNTRLRYPPLRFGGHILYSFTEDEVENAAMELLKIVEIKKKEMGQVALGFDIEWKPSFQKGILPGKAAVMQICCDSQYCYVMHIFHSGIPQSLQVLLEDSEIIKVGVAIDGDAVKVFSDYKVSVNALEDLSDLANQKFDSDCRHWSLAALTEEFICKELLKPKKIRLGNWELYPLSNAQLQYAATDAFASWQIYQVLKSLPNAVKDPADKQSETISSQ >KJB72012 pep chromosome:Graimondii2_0_v6:11:26498349:26502129:-1 gene:B456_011G153800 transcript:KJB72012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKENNSFSLDWDDRFTEDVIRLMDAIEATPPPPPSSSSKKRKSAVHHQDNLKTGRQLPHSILSPPPSFPSSFARCQSNTRLRYPPLRFGGHILYSFTEDEVENAAMELLKIVEIKKKEMGQVALGFDIEWKPSFQKGILPGKAAVMQICCDSQYCYVMHIFHSGIPQSLQVGVAIDGDAVKVFSDYKVSVNALEDLSDLANQKFDSDCRHWSLAALTEEFICKELLKPKKIRLGNWELYPLSNAQLQYAATDAFASWQIYQVLKSLPNAVKDPADKQSETISSQ >KJB72013 pep chromosome:Graimondii2_0_v6:11:26498349:26502129:-1 gene:B456_011G153800 transcript:KJB72013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKENNSFSLDWDDRFTEDVIRLMDAIEATPPPPPSSSSKKRKSAVHHQDNLKTGRQLPHSILSPPPSFPSSFARCQSNTRLRYPPLRFGGHILYSFTEDEVENAAMELLKIVEIKKKEMGQVALGFDIEWKPSFQKGILPGKAAVMQICCDSQYCYVMHIFHSGIPQSLQVLLEDSEIIKVISLHLIC >KJB72011 pep chromosome:Graimondii2_0_v6:11:26499366:26502087:-1 gene:B456_011G153800 transcript:KJB72011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKENNSFSLDWDDRFTEDVIRLMDAIEATPPPPPSSSSKKRKSAVHHQDNLKTGRQLPHSILSPPPSFPSSFARCQSNTRLRYPPLRFGGHILYSFTEDEVENAAMELLKIVEIKKKEMGQVALGFDIEWKPSFQKGILPGKAAVMQICCDSQYCYVMHIFHSGIPQSLQVLLEDSEIIKVGVAIDGDAVKVFSDYKVSVNALEDLSDLANQKFDSDCRHWSLAALTEEFICKEVSI >KJB72789 pep chromosome:Graimondii2_0_v6:11:47859399:47861628:-1 gene:B456_011G197900 transcript:KJB72789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAFDHWLLGVEGYNVVLISNHQTEADAITISLLLEKANPHIAENMLPGWYIAWLLLKMEHYFIGFPQILILDANSYIPFVRKQL >KJB72790 pep chromosome:Graimondii2_0_v6:11:47859704:47861562:-1 gene:B456_011G197900 transcript:KJB72790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAFDHWLLGVEGYNVVLISNHQTEADAITISLLLEKANPHIAENMLPGWYIAWLLLKMEHYFIGFPQILILDANRFYSIVFVDFNFPWSEAFIYFIWMNLYSYIPFVRKQL >KJB73735 pep chromosome:Graimondii2_0_v6:11:57330860:57331670:-1 gene:B456_011G246900 transcript:KJB73735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNSNTVSLKLFIDPNSNRLLFAEAGKDFVDFLFSIMLLPVGTVIRLIGKQGTGCIGNIYDSIENLGNSYMLSENITDILLKTQAFNYGVYKPGLWSSLSTSIYTKFYRCSNYKPKEDCSKYMANGFSWCPSCYKQMDLDFTFVNFRDKVGVGFVKESVTYMITDDLAVRPMSAKSIFTLLNHLNIKDAGDLEEKVIAVGANEGVELLRASMQTKTVLTAVFLGGKKESSIKSEPIH >KJB72730 pep chromosome:Graimondii2_0_v6:11:46855530:46858778:-1 gene:B456_011G193700 transcript:KJB72730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLICDTLTVATSKGVGFAKFILDRHHHIAGNGCLSLSSDSSPDNVGILHVSAGIAPVDAVAPPPTKPSSYKTLPRTLLRRRRRTKRKLYSGDDSNDGEIYGFIFGSDGNDGYGPFGGGGGGGGSSWGGSGWNFGGFGGQNWDESSSSSPWTANAMDFVYEVICWIALSNCVHFAFKKVVRIVANGIEEAGDREKVPMRLVSVC >KJB72732 pep chromosome:Graimondii2_0_v6:11:46857816:46858436:-1 gene:B456_011G193700 transcript:KJB72732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLICDTLTVATSKGVGFAKFILDRHHHIAGNGCLSLSSDSSPDNVGILHVSAGIAPVDAVAPPPTKPSSYKTLPRTLLRRRRRTKRKLYSGDDSNDGEIYGFIFGSDGNDGYGPFGGGGGGGGSSWGGSGWNFGGFGGQNWDESSSSSPWTANAMDFVYEVICWIALSNCVHFAFKKVVRIVANGIEEAGDREKVPMRLVSVC >KJB72731 pep chromosome:Graimondii2_0_v6:11:46855530:46858598:-1 gene:B456_011G193700 transcript:KJB72731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLICDTLTVATSKGVGFAKFILDRHHHIAGNGCLSLSSDSSPDNVGILHVSAGIAPVDAVAPPPTKPSSYKTLPRTLLRRRRRTKRKLYSGDDSNDGEIYGFIFGSDGNDGYGPFGGGGGGGGSSWGGSGWNFGGFGGQNWDESSSSSPWTANAMDFVYEVICWIALSNCVHFAFKKVVRIVANGIEEAGDREKVPMRLVSVC >KJB70863 pep chromosome:Graimondii2_0_v6:11:10200825:10204688:-1 gene:B456_011G094000 transcript:KJB70863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLDGVQPTRDTFEALIMGTMRGSRLQDALFFRDEMKAMGLVPEVSLYNFLISTCGKCKNANLAIQILEEMKRYNVKPNGQTYVCLINACAAAGRLDQVLAIVRDMTAAGGELNKFCYAGLIIAHMNKTPRSDDVAAKIIELAEQSKGWSSVEESGNTGNTMLGISEEELYNLPTAEFVHRRFFIYRQFTVYHVAFHACADLKNVEATETLLEMLKKDGKVPDTFITMQIMRCYLHAGNIDHAVQIFEDYMNGGKPPAMELYATLIEGAMVGYTPRGMELAQETLVNMTKRNFFLNSKFGSDLLLIAAGEKTGGYTNANYIWDLMQARKIVPSLPAVEAYYSGLKGREIPEDDPRLQLVTRTLNNLRARFGPSLGRP >KJB70865 pep chromosome:Graimondii2_0_v6:11:10200825:10205150:-1 gene:B456_011G094000 transcript:KJB70865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLFRFISGPNRTLLRSLGRRQFSDLTGTKAKRNYADNVSEYNTVLASLNAKRRHFLLRDVFDDMMLDGVQPTRDTFEALIMGTMRGSRLQDALFFRDEMKAMGLVPEVSLYNFLISTCGKCKNANLAIQILEEMKRYNVKPNGQTYVCLINACAAAGRLDQVLAIVRDMTAAGGELNKFCYAGLIIAHMNKTPRSDDVAAKIIELAEQSKGWSSVEESGNTGNTMLGISEEELYNLPTAEFVHRRFFIYRQFTVYHVAFHACADLKNVEATETLLEMLKKDGKVPDTFITMQIMRCYLHAGNIDHAVQIFEDYMNGGKPPAMELYATLIEGAMVGYTPRGMELAQETLVNMTKRNFFLNSKFGSDLLLIAAGEKTGGYTNANYIWDLMQARKIVPSLPAVEAYYSGLKGREIPEDDPRLQLVTRTLNNLRARFGPSLGRP >KJB70864 pep chromosome:Graimondii2_0_v6:11:10201097:10204292:-1 gene:B456_011G094000 transcript:KJB70864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLDGVQPTRDTFEALIMGTMRGSRLQDALFFRDEMKAMGLVPEVSLYNFLISTCGKCKNANLAIQILEEMKRYNVKPNGQTYVCLINACAAAGRLDQVLAIVRDMTAAGGELNKFCYAGLIIAHMNKTPRSDDVAAKIIELAEQSKGWSSVEESGNTGNTMLGISEEELYNLPTAEFVHRRFFIYRQFTVYHVAFHACADLKNVEATETLLEMLKKDGKVPDTFITMQIMRCYLHAGNIDHAVQIFEDYMNGGKPPAMELYATLIEGAMVGYTPRGMELAQETLVNMTKRNFFLNSKFGSDLLLIAAGEKTGGYTNANYIWDLMQARKIVPSLPAVEAYYSGLKGREIPEDDPRLQLVTRTLNNLRARFGPSLGRP >KJB70866 pep chromosome:Graimondii2_0_v6:11:10200823:10205118:-1 gene:B456_011G094000 transcript:KJB70866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLFRFISAGPNRTLLRSLGRRQFSDLTGTKAKRNYADNVSEYNTVLASLNAKRRHFLLRDVFDDMMLDGVQPTRDTFEALIMGTMRGSRLQDALFFRDEMKAMGLVPEVSLYNFLISTCGKCKNANLAIQILEEMKRYNVKPNGQTYVCLINACAAAGRLDQVLAIVRDMTAAGGELNKFCYAGLIIAHMNKTPRSDDVAAKIIELAEQSKGWSSVEESGNTGNTMLGISEEELYNLPTAEFVHRRFFIYRQFTVYHVAFHACADLKNVEATETLLEMLKKDGKVPDTFITMQIMRCYLHAGNIDHAVQIFEDYMNGGKPPAMELYATLIEGAMVGYTPRGMELAQETLVNMTKRNFFLNSKFGSDLLLIAAGEKTGGYTNANYIWDLMQARKIVPSLPAVEAYYSGLKGREIPEDDPRLQLVTRTLNNLRARFGPSLGRP >KJB73485 pep chromosome:Graimondii2_0_v6:11:55356465:55363658:-1 gene:B456_011G234600 transcript:KJB73485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RNA-directed DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT5G04290) UniProtKB/Swiss-Prot;Acc:F4JW79] MQKFIDMKSLGTKLQIISAFSVDHIKGFFYIEADKQCDINEACKGLTYIYSSRVAPVPSNEVYHLLNVRTKRSEVSKGMWARIKNGKYKGDLAQVVFVNNDKKRATVKLIPRIDLQAMAAKFGAGVSINRTVIPAPKLISSIELEEFRPLIQFRRDRDTGIGFQILDGMMLKDGYLYKKLSIDSLSCWGVMPTEEELLKFSHSDNNESDDLEWLSQLYGEKKKKKTTTNEKGGEKGEGSSGFGLDDGFELYNLVCFSRKDFGLIVGMEKDDRYKILKEAPEGPVVVTVEKRELKNGPMDTKFTALDHHSKTISISDTVKVLEGQYEGKQGIVKQIYRGTIFLYDENETDNGGYFCCKSLKCEKIKQFLDICSDKGGDPGGTSDFGDFVSSPKSPISPKKPWHSQDKEAKSDFNRGNRDGMFSIGQTLRIRVGPLKGYLCRVLAVYYSDVTVKLDSKQKVLTVKNEHLAEVQGKSSAANTNEHDGSSSFKPFDLLGSEGSSGDWLNRAGTSAEGGGWNAEGSSWPSFSGPGTTQQTETDQKRDGEDSAWETKVTPNQNSSWGAAVCSGDNDKKTDGSSIAWENKTSTKQNSAWAAGGSDQNASWGSWNKAAPKIDSSGGASDAWGKATSSGDPSGASKDVGGSWGQSKLETGNLADSSNITAWEKDTRINVGNDGWKKSESWDNGKNVTEGSSGAWDKGKGVAEPGSWGKNENSSSDWNNNAVGSNQQGGWGKKNDAGGFEDNSWGKAVEKLNNKDGTGGSKGNWGSSKLAAEDSKGGWGSASGNDFSGNQTTNWGIKKDANECAAGGWTKGGSQNQSDGWGKQHGGSSWGGGASQNAGQNSGWNSGSGNANQDSGWAKKNDSDFGSGDATKDSSWGKRSDWSSGSADANQESECGKKSSWGAGSNDAGQDSGWGKRGSWNSGSGSANEDGWAKKNDSNFGSGDATNDSSWGKKGSADASQDSNWGKKNWGTGNDSGQDSGWGNKGSWNPSSSSAGEDSGWGKKSNWNSGSGDANQESGWKPKSDWSSGSGNEDQKEPFSNRGGGSWRGGFGGRDGSDRGFRGRGDTDRGGFRGRGRSDRGWGRSDRGGFGGRGGDGGGYRGRGGDGGGFGGRGGDRGGYRGRGGRGGDRGGFGGRGRGRRDQNGGWNNGGDSGENKSFGWNKEGGNQGWNGGTSGGADQGGGQSSSWSQSGGWNKEGGNQGWNGGTSGGGGKSWNQSGADQGGQSSSWSQSGGWNKEGGNGGTSGGGAKTWNQSTADQGGQSSSWSQSGGWNKGSSSTNEGGGSQDNNRKSSNSSDGDKWSSWNQSSGSKEVNESNNQGNGWGKSSTTSAGGWGNQGSGSGDQSQSKTADEAPSSGWNKLKDGGENRGNQDPWGKASSTSTWGQGSGGSKGGW >KJB73483 pep chromosome:Graimondii2_0_v6:11:55356125:55365686:-1 gene:B456_011G234600 transcript:KJB73483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RNA-directed DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT5G04290) UniProtKB/Swiss-Prot;Acc:F4JW79] MSSKGKGKGKGKEKEVVYGKRKVSAGRSGGGAEENRRKRKNRGVLQFFEDAADVDDNEASDDSDFDDYFMEEEPDLNANNNPWKAHNLPFVPKEEVIEEEFDKMMEERYRDGARFTTFDEDSYEAKGSIDKNSTMPPSKDPIIWKVKCVVGRERHSAFCLMQKFIDMKSLGTKLQIISAFSVDHIKGFFYIEADKQCDINEACKGLTYIYSSRVAPVPSNEVYHLLNVRTKRSEVSKGMWARIKNGKYKGDLAQVVFVNNDKKRATVKLIPRIDLQAMAAKFGAGVSINRTVIPAPKLISSIELEEFRPLIQFRRDRDTGIGFQILDGMMLKDGYLYKKLSIDSLSCWGVMPTEEELLKFSHSDNNESDDLEWLSQLYGEKKKKKTTTNEKGGEKGEGSSGFGLDDGFELYNLVCFSRKDFGLIVGMEKDDRYKILKEAPEGPVVVTVEKRELKNGPMDTKFTALDHHSKTISISDTVKVLEGQYEGKQGIVKQIYRGTIFLYDENETDNGGYFCCKSLKCEKIKQFLDICSDKGGDPGGTSDFGDFVSSPKSPISPKKPWHSQDKEAKSDFNRGNRDGMFSIGQTLRIRVGPLKGYLCRVLAVYYSDVTVKLDSKQKVLTVKNEHLAEVQGKSSAANTNEHDGSSSFKPFDLLGSEGSSGDWLNRAGTSAEGGGWNAEGSSWPSFSGPGTTQTETDQKRDGEDSAWETKVTPNQNSSWGAAVCSGDNDKKTDGSSIAWENKTSTKQNSAWAAGGSDQNASWGSWNKAAPKIDSSGGASDAWGKATSSGDPSGASKDVGGSWGQSKLETGNLADSSNITAWEKDTRINVGNDGWKKSESWDNGKNVTEGSSGAWDKGKGVAEPGSWGKNENSSSDWNNNAVGSNQQGGWGKKNDAGGFEDNSWGKAVEKLNNKDGTGGSKGNWGSSKLAAEDSKGGWGSASGNDFSGNQTTNWGIKKDANECAAGGWTKGGSQNQSDGWGKQHGGSSWGGGASQNAGQNSGWNSGSGNANQDSGWAKKNDSDFGSGDATKDSSWGKRSDWSSGSADANQESECGKKSSWGAGSNDAGQDSGWGKRGSWNSGSGSANEDGWAKKNDSNFGSGDATNDSSWGKKGSADASQDSNWGKKNWGTGNDSGQDSGWGNKGSWNPSSSSAGEDSGWGKKSNWNSGSGDANQESGWKPKSDWSSGSGNEDQKEPFSNRGGGSWRGGFGGRDGSDRGFRGRGDTDRGGFRGRGRSDRGWGRSDRGGFGGRGGDGGGYRGRGGDGGGFGGRGGDRGGYRGRGGRGGDRGGFGGRGRGRRDQNGGWNNGGDSGENKSFGWNKEGGNQGWNGGTSGGGGKSWNQSGADQGGQSSSWSQSGGWNKEGGNGGTSGGGAKTWNQSTADQGGQSSSWSQSGGWNKGSSSTNEGGGSQDNNRKSSNSSDGDKWSSWNQSSGSKEVNESNNQGNGWGKSSTTSAGGWGNQGSGSGDQSQSKTADEAPSSGWNKLKDGGENRGNQDPWGKASSTSTWGQGSGGSKGGW >KJB73482 pep chromosome:Graimondii2_0_v6:11:55356465:55365580:-1 gene:B456_011G234600 transcript:KJB73482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RNA-directed DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT5G04290) UniProtKB/Swiss-Prot;Acc:F4JW79] MSSKGKGKGKGKEKEVVYGKRKVSAGRSGGGAEENRRKRKNRGVLQFFEDAADVDDNEASDDSDFDDYFMEEEPDLNANNNPWKAHNLPFVPKEEVIEEEFDKMMEERYRDGARFTTFDEDSYEAKGSIDKNSTMPPSKDPIIWKVKCVVGRERHSAFCLMQKFIDMKSLGTKLQIISAFSVDHIKGFFYIEADKQCDINEACKGLTYIYSSRVAPVPSNEVYHLLNVRTKRSEVSKGMWARIKNGKYKGDLAQVVFVNNDKKRATVKLIPRIDLQAMAAKFGAGVSINRTVIPAPKLISSIELEEFRPLIQFRRDRDTGIGFQILDGMMLKDGYLYKKLSIDSLSCWGVMPTEEELLKFSHSDNNESDDLEWLSQLYGEKKKKKTTTNEKGGEKGEGSSGFGLDDGFELYNLVCFSRKDFGLIVGMEKDDRYKILKEAPEGPVVVTVEKRELKNGPMDTKFTALDHHSKTISISDTVKVLEGQYEGKQGIVKQIYRGTIFLYDENETDNGGYFCCKSLKCEKIKQFLDICSDKGGDPGGTSDFGDFVSSPKSPISPKKPWHSQDKEAKSDFNRGNRDGMFSIGQTLRIRVGPLKGYLCRVLAVYYSDVTVKLDSKQKVLTVKNEHLAEVQGKSSAANTNEHDGSSSFKPFDLLGSEGSSGDWLNRAGTSAEGGGWNAEGSSWPSFSGPGTTQTETDQKRDGEDSAWETKVTPNQNSSWGAAVCSGDNDKKTDGSSIAWENKTSTKQNSAWAAGGSDQNASWGSWNKAAPKIDSSGGASDAWGKATSSGDPSGASKDVGGSWGQSKLETGNLADSSNITAWEKDTRINVGNDGWKKSESWDNGKNVTEGSSGAWDKGKGVAEPGSWGKNENSSSDWNNNAVGSNQQGGWGKKNDAGGFEDNSWGKAVEKLNNKDGTGGSKGNWGSSKLAAEDSKGGWGSASGNDFSGNQTTNWGIKKDANECAAGGWTKGGSQNQSDGWGKQHGGSSWGGGASQNAGQNSGWNSGSGNANQDSGWAKKNDSDFGSGDATKDSSWGKRSDWSSGSADANQESECGKKSSWGAGSNDAGQDSGWGKRGSWNSGSGSANEDGWAKKNDSNFGSGDATNDSSWGKKGSADASQDSNWGKKNWGTGNDSGQDSGWGNKGSWNPSSSSAGEDSGWGKKSNWNSGSGDANQESGWKPKSDWSSGSGNEDQKEPFSNRGGGSWRGGFGGRDGSDRGFRGRGDTDRGGFRGRGRSDRGWGRSDRGGFGGRGGDGGGYRGRGGDGGGFGGRGGDRGGYRGRGGRGGDRGGFGGRGRGRRDQNGGWNNGGDSGENKSFGWNKEGGNQGWNGGTSGGADQGGGQSSSWSQSGGWNKEGGNQGWNGGTSGGGGKSWNQSGADQGGQSSSWSQSGGWNKEGGNGGTSGGGAKTWNQSTADQGGQSSSWSQSGGWNKGSSSTNEGGGSQDNNRKSSNSSDGDKWSSWNQSSGSKEVNESNNQGNGWGKSSTTSAGGWGNQGSGSGDQSQSKTADEAPSSGWNKLKDGGENRGNQDPWGKASSTSTWGQGSGGSKGGW >KJB73480 pep chromosome:Graimondii2_0_v6:11:55356465:55365580:-1 gene:B456_011G234600 transcript:KJB73480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RNA-directed DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT5G04290) UniProtKB/Swiss-Prot;Acc:F4JW79] MSSKGKGKGKGKEKEVVYGKRKVSAGRSGGGAEENRRKRKNRGVLQFFEDAADVDDNEASDDSDFDDYFMEEEPDLNANNNPWKAHNLPFVPKEEVIEEEFDKMMEERYRDGARFTTFDEDSYEAKGSIDKNSTMPPSKDPIIWKVKCVVGRERHSAFCLMQKFIDMKSLGTKLQIISAFSVDHIKGFFYIEADKQCDINEACKGLTYIYSSRVAPVPSNEVYHLLNVRTKRSEVSKGMWARIKNGKYKGDLAQVVFVNNDKKRATVKLIPRIDLQAMAAKFGAGVSINRTVIPAPKLISSIELEEFRPLIQFRRDRDTGIGFQILDGMMLKDGYLYKKLSIDSLSCWGVMPTEEELLKFSHSDNNESDDLEWLSQLYGEKKKKKTTTNEKGGEKGEGSSGFGLDDGFELYNLVCFSRKDFGLIVGMEKDDRYKILKEAPEGPVVVTVEKRELKNGPMDTKFTALDHHSKTISISDTVKVLEGQYEGKQGIVKQIYRGTIFLYDENETDNGGYFCCKSLKCEKIKQFLDICSDKGGDPGGTSDFGDFVSSPKSPISPKKPWHSQDKEAKSDFNRGNRDGMFSIGQTLRIRVGPLKGYLCRVLAVYYSDVTVKLDSKQKVLTVKNEHLAEVQGKSSAANTNEHDGSSSFKPFDLLGSEGSSGDWLNRAGTSAEGGGWNAEGSSWPSFSGPGTTQQTETDQKRDGEDSAWETKVTPNQNSSWGAAVCSGDNDKKTDGSSIAWENKTSTKQNSAWAAGGSDQNASWGSWNKAAPKIDSSGGASDAWGKATSSGDPSGASKDVGGSWGQSKLETGNLADSSNITAWEKDTRINVGNDGWKKSESWDNGKNVTEGSSGAWDKGKGVAEPGSWGKNENSSSDWNNNAVGSNQQGGWGKKNDAGGFEDNSWGKAVEKLNNKDGTGGSKGNWGSSKLAAEDSKGGWGSASGNDFSGNQTTNWGIKKDANECAAGGWTKGGSQNQSDGWGKQHGGSSWGGGASQNAGQNSGWNSGSGNANQDSGWAKKNDSDFGSGDATKDSSWGKRSDWSSGSADANQESECGKKSSWGAGSNDAGQDSGWGKRGSWNSGSGSANEDGWAKKNDSNFGSGDATNDSSWGKKGSADASQDSNWGKKNWGTGNDSGQDSGWGNKGSWNPSSSSAGEDSGWGKKSNWNSGSGDANQESGWKPKSDWSSGSGNEDQKEPFSNRGGGSWRGGFGGRDGSDRGFRGRGDTDRGGFRGRGRSDRGWGRSDRGGFGGRGGDGGGYRGRGGDGGGFGGRGGDRGGYRGRGGRGGDRGGFGGRGRGRRDQNGGWNNGGDSGENKSFGWNKEGGNQGWNGGTSGGADQGGGQSSSWSQSGGWNKEGGNQGWNGGTSGGGGKSWNQSGADQGGQSSSWSQSGGWNKEGGNGGTSGGGAKTWNQSTADQGGQSSSWSQSGGWNKGSSSTNEGGGSQDNNRKSSNSSDGDKWSSWNQSSGSKEVNESNNQGNGWGKSSTTSAGGWGNQGSGSGDQSQSKTADEAPSSGWNKLKDGGENRGNQDPWGKASSTSTWGQGSGGSKGGW >KJB73478 pep chromosome:Graimondii2_0_v6:11:55356465:55365580:-1 gene:B456_011G234600 transcript:KJB73478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RNA-directed DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT5G04290) UniProtKB/Swiss-Prot;Acc:F4JW79] MSSKGKGKGKGKEKEVVYGKRKVSAGRSGGGAEENRRKRKNRGVLQFFEDAADVDDNEASDDSDFDDYFMEEEPDLNANNNPWKAHNLPFVPKEEVIEEEFDKMMEERYRDGARFTTFDEDSYEAKGSIDKNSTMPPSKDPIIWKVKCVVGRERHSAFCLMQKFIDMKSLGTKLQIISAFSVDHIKGFFYIEADKQCDINEACKGLTYIYSSRVAPVPSNEVYHLLNVRTKRSEVSKGMWARIKNGKYKGDLAQVVFVNNDKKRATVKLIPRIDLQAMAAKFGAGVSINRTVIPAPKLISSIELEEFRPLIQFRRDRDTGIGFQILDGMMLKDGYLYKKLSIDSLSCWGVMPTEEELLKFSHSDNNESDDLEWLSQLYGEKKKKKTTTNEKGGEKGEGSSGFGLDDGFELYNLVCFSRKDFGLIVGMEKDDRYKILKEAPEGPVVVTVEKRELKNGPMDTKFTALDHHSKTISISDTVKVLEGQYEGKQGIVKQIYRGTIFLYDENETDNGGYFCCKSLKCEKIKQFLDICSDKGGDPGGTSDFGDFVSSPKSPISPKKPWHSQDKEAKSDFNRGNRDGMFSIGQTLRIRVGPLKGYLCRVLAVYYSDVTVKLDSKQKVLTVKNEHLAEVQGKSSAANTNEHDGSSSFKPFDLLGSEGSSGDWLNRAGTSAEGGGWNAEGSSWPSFSGPGTTQQTETDQKRDGEDSAWETKVTPNQNSSWGAAVCSGDNDKKTDGSSIAWENKTSTKQNSAWAAGGSDQNASWGSWNKAAPKIDSSGGASDAWGKATSSGDPSGASKDVGGSWGQSKLETGNLADSSNITAWEKDTRINVGNDGWKKSESWDNGKNVTEGSSGAWDKGKGVAEPGSWGKNENSSSDWNNNAVGSNQQGGWGKKNDAGGFEDNSWGKAVEKLNNKDGTGGSKGNWGSSKLAAEDSKGGWGSASGNDFSGNQTTNWGIKKDANECAAGGWTKGGSQNQSDGWGKQHGGSSWGGGASQNAGQNSGWNSGSGNANQDSGWAKKNDSDFGSGDATKDSSWGKRSDWSSGSADANQESECGKKSSWGAGSNDAGQDSGWGKRGSWNSGSGSANEDGWAKKNDSNFGSGDATNDSSWGKKGSADASQDSNWGKKNWGTGNDSGQDSGWGNKGSWNPSSSSAGEDSGWGKKSNWNSGSGDANQESGWKPKSDWSSGSGNEDQKEPFSNRGGGSWRGGFGGRDGSDRGFRGRGDTDRGGFRGRGRSDRGWGRSDRGGFGGRGGDGGGYRGRGGDGGGFGGRGGDGGGYGGRGGDRGGYRGRGGRGGDRGGFGGRGRGRRDQNGGWNNGGDSGENKSFGWNKEGGNQGWNGGTSGGADQGGGQSSSWSQSGGWNKEGGNQGWNGGTSGGGGKSWNQSGADQGGQSSSWSQSGGWNKEGGNGGTSGGGAKTWNQSTADQGGQSSSWSQSGGWNKGSSSTNEGGGSQDNNRKSSNSSDGDKWSSWNQSSGSKEVNESNNQGNGWGKSSTTSAGGWGNQGSGSGDQSQSKTADEAPSSGWNKLKDGGENRGNQDPWGKASSTSTWGQGSGGSKGGW >KJB73481 pep chromosome:Graimondii2_0_v6:11:55356465:55365580:-1 gene:B456_011G234600 transcript:KJB73481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RNA-directed DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT5G04290) UniProtKB/Swiss-Prot;Acc:F4JW79] MSSKGKGKGKGKEKEVVYGKRKVSAGRSGGGAEENRRKRKNRGVLQFFEDAADVDDNEASDDSDFDDYFMEEEPDLNANNNPWKAHNLPFVPKEEVIEEEFDKMMEERYRDGARFTTFDEDSYEAKGSIDKNSTMPPSKDPIIWKVKCVVGRERHSAFCLMQKFIDMKSLGTKLQIISAFSVDHIKGFFYIEADKQCDINEACKGLTYIYSSRVAPVPSNEVYHLLNVRTKRSEVSKGMWARIKNGKYKGDLAQVVFVNNDKKRATVKLIPRIDLQAMAAKFGAGVSINRTVIPAPKLISSIELEEFRPLIQFRRDRDTGIGFQILDGMMLKDGYLYKKLSIDSLSCWGVMPTEEELLKFSHSDNNESDDLEWLSQLYGEKKKKKTTTNEKGGEKGEGSSGFGLDDGFELYNLVCFSRKDFGLIVGMEKDDRYKILKEAPEGPVVVTVEKRELKNGPMDTKFTALDHHSKTISISDTVKVLEGQYEGKQGIVKQIYRGTIFLYDENETDNGGYFCCKSLKCEKIKQFLDICSDKVGGDPGGTSDFGDFVSSPKSPISPKKPWHSQDKEAKSDFNRGNRDGMFSIGQTLRIRVGPLKGYLCRVLAVYYSDVTVKLDSKQKVLTVKNEHLAEVQGKSSAANTNEHDGSSSFKPFDLLGSEGSSGDWLNRAGTSAEGGGWNAEGSSWPSFSGPGTTQQTETDQKRDGEDSAWETKVTPNQNSSWGAAVCSGDNDKKTDGSSIAWENKTSTKQNSAWAAGGSDQNASWGSWNKAAPKIDSSGGASDAWGKATSSGDPSGASKDVGGSWGQSKLETGNLADSSNITAWEKDTRINVGNDGWKKSESWDNGKNVTEGSSGAWDKGKGVAEPGSWGKNENSSSDWNNNAVGSNQQGGWGKKNDAGGFEDNSWGKAVEKLNNKDGTGGSKGNWGSSKLAAEDSKGGWGSASGNDFSGNQTTNWGIKKDANECAAGGWTKGGSQNQSDGWGKQHGGSSWGGGASQNAGQNSGWNSGSGNANQDSGWAKKNDSDFGSGDATKDSSWGKRSDWSSGSADANQESECGKKSSWGAGSNDAGQDSGWGKRGSWNSGSGSANEDGWAKKNDSNFGSGDATNDSSWGKKGSADASQDSNWGKKNWGTGNDSGQDSGWGNKGSWNPSSSSAGEDSGWGKKSNWNSGSGDANQESGWKPKSDWSSGSGNEDQKEPFSNRGGGSWRGGFGGRDGSDRGFRGRGDTDRGGFRGRGRSDRGWGRSDRGGFGGRGGDGGGYRGRGGDGGGFGGRGGDRGGYRGRGGRGGDRGGFGGRGRGRRDQNGGWNNGGDSGENKSFGWNKEGGNQGWNGGTSGGADQGGGQSSSWSQSGGWNKEGGNQGWNGGTSGGGGKSWNQSGADQGGQSSSWSQSGGWNKEGGNGGTSGGGAKTWNQSTADQGGQSSSWSQSGGWNKGSSSTNEGGGSQDNNRKSSNSSDGDKWSSWNQSSGSKEVNESNNQGNGWGKSSTTSAGGWGNQGSGSGDQSQSKTADEAPSSGWNKLKDGGENRGNQDPWGKASSTSTWGQGSGGSKGGW >KJB73477 pep chromosome:Graimondii2_0_v6:11:55356465:55365580:-1 gene:B456_011G234600 transcript:KJB73477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RNA-directed DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT5G04290) UniProtKB/Swiss-Prot;Acc:F4JW79] MSSKGKGKGKGKEKEVVYGKRKVSAGRSGGGAEENRRKRKNRGVLQFFEDAADVDDNEASDDSDFDDYFMEEEPDLNANNNPWKAHNLPFVPKEEVIEEEFDKMMEERYRDGARFTTFDEDSYEAKGSIDKNSTMPPSKDPIIWKVKCVVGRERHSAFCLMQKFIDMKSLGTKLQIISAFSVDHIKGFFYIEADKQCDINEACKGLTYIYSSRVAPVPSNEVYHLLNVRTKRSEVSKGMWARIKNGKYKGDLAQVVFVNNDKKRATVKLIPRIDLQAMAAKFGAGVSINRTVIPAPKLISSIELEEFRPLIQFRRDRDTGIGFQILDGMMLKDGYLYKKLSIDSLSCWGVMPTEEELLKFSHSDNNESDDLEWLSQLYGEKKKKKTTTNEKGGEKGEGSSGFGLDDGFELYNLVCFSRKDFGLIVGMEKDDRYKILKEAPEGPVVVTVEKRELKNGPMDTKFTALDHHSKTISISDTVKVLEGQYEGKQGIVKQIYRGTIFLYDENETDNGGYFCCKSLKCEKIKQFLDICSDKGGDPGGTSDFGDFVSSPKSPISPKKPWHSQDKEAKSDFNRGNRDGMFSIGQTLRIRVGPLKGYLCRVLAVYYSDVTVKLDSKQKVLTVKNEHLAEVQGKSSAANTNEHDGSSSFKPFDLLGSEGSSGDWLNRAGTSAEGGGWNAEGSSWPSFSGPGTTQTETDQKRDGEDSAWETKVTPNQNSSWGAAVCSGDNDKKTDGSSIAWENKTSTKQNSAWAAGGSDQNASWGSWNKAAPKIDSSGGASDAWGKATSSGDPSGASKDVGGSWGQSKLETGNLADSSNITAWEKDTRINVGNDGWKKSESWDNGKNVTEGSSGAWDKGKGVAEPGSWGKNENSSSDWNNNAVGSNQQGGWGKKNDAGGFEDNSWGKAVEKLNNKDGTGGSKGNWGSSKLAAEDSKGGWGSASGNDFSGNQTTNWGIKKDANECAAGGWTKGGSQNQSDGWGKQHGGSSWGGGASQNAGQNSGWNSGSGNANQDSGWAKKNDSDFGSGDATKDSSWGKRSDWSSGSADANQESECGKKSSWGAGSNDAGQDSGWGKRGSWNSGSGSANEDGWAKKNDSNFGSGDATNDSSWGKKGSADASQDSNWGKKNWGTGNDSGQDSGWGNKGSWNPSSSSAGEDSGWGKKSNWNSGSGDANQESGWKPKSDWSSGSGNEDQKEPFSNRGGGSWRGGFGGRDGSDRGFRGRGDTDRGGFRGRGRSDRGWGRSDRGGFGGRGGDGGGYRGRGGDGGGFGGRGGDGGGYGGRGGDRGGYRGRGGRGGDRGGFGGRGRGRRDQNGGWNNGGDSGENKSFGWNKEGGNQGWNGGTSGGADQGGGQSSSWSQSGGWNKEGGNQGWNGGTSGGGGKSWNQSGADQGGQSSSWSQSGGWNKEGGNGGTSGGGAKTWNQSTADQGGQSSSWSQSGGWNKGSSSTNEGGGSQDNNRKSSNSSDGDKWSSWNQSSGSKEVNESNNQGNGWGKSSTTSAGGWGNQGSGSGDQSQSKTADEAPSSGWNKLKDGGENRGNQDPWGKASSTSTWGQGSGGSKGGW >KJB73479 pep chromosome:Graimondii2_0_v6:11:55356125:55364696:-1 gene:B456_011G234600 transcript:KJB73479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RNA-directed DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT5G04290) UniProtKB/Swiss-Prot;Acc:F4JW79] MEEEPDLNANNNPWKAHNLPFVPKEEVIEEEFDKMMEERYRDGARFTTFDEDSYEAKGSIDKNSTMPPSKDPIIWKVKCVVGRERHSAFCLMQKFIDMKSLGTKLQIISAFSVDHIKGFFYIEADKQCDINEACKGLTYIYSSRVAPVPSNEVYHLLNVRTKRSEVSKGMWARIKNGKYKGDLAQVVFVNNDKKRATVKLIPRIDLQAMAAKFGAGVSINRTVIPAPKLISSIELEEFRPLIQFRRDRDTGIGFQILDGMMLKDGYLYKKLSIDSLSCWGVMPTEEELLKFSHSDNNESDDLEWLSQLYGEKKKKKTTTNEKGGEKGEGSSGFGLDDGFELYNLVCFSRKDFGLIVGMEKDDRYKILKEAPEGPVVVTVEKRELKNGPMDTKFTALDHHSKTISISDTVKVLEGQYEGKQGIVKQIYRGTIFLYDENETDNGGYFCCKSLKCEKIKQFLDICSDKGGDPGGTSDFGDFVSSPKSPISPKKPWHSQDKEAKSDFNRGNRDGMFSIGQTLRIRVGPLKGYLCRVLAVYYSDVTVKLDSKQKVLTVKNEHLAEVQGKSSAANTNEHDGSSSFKPFDLLGSEGSSGDWLNRAGTSAEGGGWNAEGSSWPSFSGPGTTQQTETDQKRDGEDSAWETKVTPNQNSSWGAAVCSGDNDKKTDGSSIAWENKTSTKQNSAWAAGGSDQNASWGSWNKAAPKIDSSGGASDAWGKATSSGDPSGASKDVGGSWGQSKLETGNLADSSNITAWEKDTRINVGNDGWKKSESWDNGKNVTEGSSGAWDKGKGVAEPGSWGKNENSSSDWNNNAVGSNQQGGWGKKNDAGGFEDNSWGKAVEKLNNKDGTGGSKGNWGSSKLAAEDSKGGWGSASGNDFSGNQTTNWGIKKDANECAAGGWTKGGSQNQSDGWGKQHGGSSWGGGASQNAGQNSGWNSGSGNANQDSGWAKKNDSDFGSGDATKDSSWGKRSDWSSGSADANQESECGKKSSWGAGSNDAGQDSGWGKRGSWNSGSGSANEDGWAKKNDSNFGSGDATNDSSWGKKGSADASQDSNWGKKNWGTGNDSGQDSGWGNKGSWNPSSSSAGEDSGWGKKSNWNSGSGDANQESGWKPKSDWSSGSGNEDQKEPFSNRGGGSWRGGFGGRDGSDRGFRGRGDTDRGGFRGRGRSDRGWGRSDRGGFGGRGGDGGGYRGRGGDGGGFGGRGGDRGGYRGRGGRGGDRGGFGGRGRGRRDQNGGWNNGGDSGENKSFGWNKEGGNQGWNGGTSGGADQGGGQSSSWSQSGGWNKEGGNQGWNGGTSGGGGKSWNQSGADQGGQSSSWSQSGGWNKEGGNGGTSGGGAKTWNQSTADQGGQSSSWSQSGGWNKGSSSTNEGGGSQDNNRKSSNSSDGDKWSSWNQSSGSKEVNESNNQGNGWGKSSTTSAGGWGNQGSGSGDQSQSKTADEAPSSGWNKLKDGGENRGNQDPWGKASSTSTWGQGSGGSKGGW >KJB73484 pep chromosome:Graimondii2_0_v6:11:55356125:55365686:-1 gene:B456_011G234600 transcript:KJB73484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RNA-directed DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT5G04290) UniProtKB/Swiss-Prot;Acc:F4JW79] MSSKGKGKGKGKEKEVVYGKRKVSAGRSGGGAEENRRKRKNRGVLQFFEDAADVDDNEASDDSDFDDYFMEEEPDLNANNNPWKAHNLPFVPKEEVIEEEFDKMMEERYRDGARFTTFDEDSYEAKGSIDKNSTMPPSKDPIIWKVKCVVGRERHSAFCLMQKFIDMKSLGTKLQIISAFSVDHIKGFFYIEADKQCDINEACKGLTYIYSSRVAPVPSNEVYHLLNVRTKRSEVSKGMWARIKNGKYKGDLAQGAGVSINRTVIPAPKLISSIELEEFRPLIQFRRDRDTGIGFQILDGMMLKDGYLYKKLSIDSLSCWGVMPTEEELLKFSHSDNNESDDLEWLSQLYGEKKKKKTTTNEKGGEKGEGSSGFGLDDGFELYNLVCFSRKDFGLIVGMEKDDRYKILKEAPEGPVVVTVEKRELKNGPMDTKFTALDHHSKTISISDTVKVLEGQYEGKQGIVKQIYRGTIFLYDENETDNGGYFCCKSLKCEKIKQFLDICSDKGGDPGGTSDFGDFVSSPKSPISPKKPWHSQDKEAKSDFNRGNRDGMFSIGQTLRIRVGPLKGYLCRVLAVYYSDVTVKLDSKQKVLTVKNEHLAEVQGKSSAANTNEHDGSSSFKPFDLLGSEGSSGDWLNRAGTSAEGGGWNAEGSSWPSFSGPGTTQQTETDQKRDGEDSAWETKVTPNQNSSWGAAVCSGDNDKKTDGSSIAWENKTSTKQNSAWAAGGSDQNASWGSWNKAAPKIDSSGGASDAWGKATSSGDPSGASKDVGGSWGQSKLETGNLADSSNITAWEKDTRINVGNDGWKKSESWDNGKNVTEGSSGAWDKGKGVAEPGSWGKNENSSSDWNNNAVGSNQQGGWGKKNDAGGFEDNSWGKAVEKLNNKDGTGGSKGNWGSSKLAAEDSKGGWGSASGNDFSGNQTTNWGIKKDANECAAGGWTKGGSQNQSDGWGKQHGGSSWGGGASQNAGQNSGWNSGSGNANQDSGWAKKNDSDFGSGDATKDSSWGKRSDWSSGSADANQESECGKKSSWGAGSNDAGQDSGWGKRGSWNSGSGSANEDGWAKKNDSNFGSGDATNDSSWGKKGSADASQDSNWGKKNWGTGNDSGQDSGWGNKGSWNPSSSSAGEDSGWGKKSNWNSGSGDANQESGWKPKSDWSSGSGNEDQKEPFSNRGGGSWRGGFGGRDGSDRGFRGRGDTDRGGFRGRGRSDRGWGRSDRGGFGGRGGDGGGYRGRGGDGGGFGGRGGDRGGYRGRGGRGGDRGGFGGRGRGRRDQNGGWNNGGDSGENKSFGWNKEGGNQGWNGGTSGGADQGGGQSSSWSQSGGWNKEGGNQGWNGGTSGGGGKSWNQSGADQGGQSSSWSQSGGWNKEGGNGGTSGGGAKTWNQSTADQGGQSSSWSQSGGWNKGSSSTNEGGGSQDNNRKSSNSSDGDKWSSWNQSSGSKEVNESNNQGNGWGKSSTTSAGGWGNQGSGSGDQSQSKTADEAPSSGWNKLKDGGENRGNQDPWGKASSTSTWGQGSGGSKGGW >KJB73476 pep chromosome:Graimondii2_0_v6:11:55356075:55365733:-1 gene:B456_011G234600 transcript:KJB73476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RNA-directed DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT5G04290) UniProtKB/Swiss-Prot;Acc:F4JW79] MSSKGKGKGKGKEKEVVYGKRKVSAGRSGGGAEENRRKRKNRGVLQFFEDAADVDDNEASDDSDFDDYFMEEEPDLNANNNPWKAHNLPFVPKEEVIEEEFDKMMEERYRDGARFTTFDEDSYEAKGSIDKNSTMPPSKDPIIWKVKCVVGRERHSAFCLMQKFIDMKSLGTKLQIISAFSVDHIKGFFYIEADKQCDINEACKGLTYIYSSRVAPVPSNEVYHLLNVRTKRSEVSKGMWARIKNGKYKGDLAQVVFVNNDKKRATVKLIPRIDLQAMAAKFGAGVSINRTVIPAPKLISSIELEEFRPLIQFRRDRDTGIGFQILDGMMLKDGYLYKKLSIDSLSCWGVMPTEEELLKFSHSDNNESDDLEWLSQLYGEKKKKKTTTNEKGGEKGEGSSGFGLDDGFELYNLVCFSRKDFGLIVGMEKDDRYKILKEAPEGPVVVTVEKRELKNGPMDTKFTALDHHSKTISISDTVKVLEGQYEGKQGIVKQIYRGTIFLYDENETDNGGYFCCKSLKCEKIKQFLDICSDKGGDPGGTSDFGDFVSSPKSPISPKKPWHSQDKEAKSDFNRGNRDGMFSIGQTLRIRVGPLKGYLCRVLAVYYSDVTVKLDSKQKVLTVKNEHLAEVQGKSSAANTNEHDGSSSFKPFDLLGSEGSSGDWLNRAGTSAEGGGWNAEGSSWPSFSGPGTTQTETDQKRDGEDSAWETKVTPNQNSSWGAAVCSGDNDKKTDGSSIAWENKTSTKQNSAWAAGGSDQNASWGSWNKAAPKIDSSGGASDAWGKATSSGDPSGASKDVGGSWGQSKLETGNLADSSNITAWEKDTRINVGNDGWKKSESWDNGKNVTEGSSGAWDKGKGVAEPGSWGKNENSSSDWNNNAVGSNQQGGWGKKNDAGGFEDNSWGKAVEKLNNKDGTGGSKGNWGSSKLAAEDSKGGWGSASGNDFSGNQTTNWGIKKDANECAAGGWTKGGSQNQSDGWGKQHGGSSWGGGASQNAGQNSGWNSGSGNANQDSGWAKKNDSDFGSGDATKDSSWGKRSDWSSGSADANQESECGKKSSWGAGSNDAGQDSGWGKRGSWNSGSGSANEDGWAKKNDSNFGSGDATNDSSWGKKGSADASQDSNWGKKNWGTGNDSGQDSGWGNKGSWNPSSSSAGEDSGWGKKSNWNSGSGDANQESGWKPKSDWSSGSGNEDQKEPFSNRGGGSWRGGFGGRDGSDRGFRGRGDTDRGGFRGRGRSDRGWGRSDRGGFGGRGGDGGGYRGRGGDGGGFGGRGGDGGGYGGRGGDRGGYRGRGGRGGDRGGFGGRGRGRRDQNGGWNNGGDSGENKSFGWNKEGGNQGWNGGTSGGGGKSWNQSGADQGGQSSSWSQSGGWNKEGGNGGTSGGGAKTWNQSTADQGGQSSSWSQSGGWNKGSSSTNEGGGSQDNNRKSSNSSDGDKWSSWNQSSGSKEVNESNNQGNGWGKSSTTSAGGWGNQGSGSGDQSQSKTADEAPSSGWNKLKDGGENRGNQDPWGKASSTSTWGQGSGGSKGGW >KJB71064 pep chromosome:Graimondii2_0_v6:11:11918053:11919028:1 gene:B456_011G103400 transcript:KJB71064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDKRLTEIFCDICIKEILKGNRPVVPEAQKFRTSGIDPEFEEKLDQIFMGIVAIGDKAWAPSSGILRSDFFEDVNNEIPEENEEDMGNDVHILNDVHISNDVQIDGNNQKRKNPEISSSHFKTGNKLCNAYDNMSQATSSLTPVMDPYGIPQAVKVLDSMLEEVPKASLLYFFALKLLLNKDKRIMFLSINPNIRSLCLKAEMEDS >KJB71063 pep chromosome:Graimondii2_0_v6:11:11916454:11919028:1 gene:B456_011G103400 transcript:KJB71063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVAIGDKAWAPSSGILRSDFFEDVNNEIPEENEEDMGNDVHILNDVHISNDVQIDGNNQKRKNPEISSSHFKTGNKLCNAYDNMSQATSSLTPVMDPYGIPQAVKVLDSMLEEVPKASLLYFFALKLLLNKDKRIMFLSINPNIRSLCLKAEMEDS >KJB72193 pep chromosome:Graimondii2_0_v6:11:31488168:31499558:1 gene:B456_011G164100 transcript:KJB72193 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-hydroxymethyl chlorophyll a reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G04620) UniProtKB/Swiss-Prot;Acc:Q8GS60] MTSVVSSFSRPIFSSSSSSSKESNSDAKKIVKLRDDWRKRSKPIPPGGTYPAKDHCSRCGLCDTYYIAHVKNACAFLGDGMSKIENLEPVVHGRGRKLDSLDETYLGVYDKLLYARKVKPVEGAQWTGIVTTIAIEMLKSGMVEAVICVQSDPDDRLSPRPILARTPEEVLAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEHHLNLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVNVIAPSCYSCFDYTNALATGSGGWIYGCKYSGISMTQHPQYITVRNERGREMLSLIENLLEITPTTSSGNRHPFVMETVKADDNAKFGKGPSQPAPRFIGNLIAFVLNLIGPKGLEFARYSLDYHTIRNYLYVNRTWGTQRADRHIPSYAKKLVDAYNQNGVIDQMLTREKPSTW >KJB68945 pep chromosome:Graimondii2_0_v6:11:243154:245065:-1 gene:B456_011G0028001 transcript:KJB68945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSSSTRFCPYCRLVCLSFRKQFSRHWFHLSIIGQCWRCLVMLFAMWRLFSRPCTFTVIILKDPSAALQASVLFCFNPASIFYSSIYSESLYALFSVGGCYYLVSRANNIAVLWLALSGFARSNGVLNAGYFGFQAMHQAYDAFYLKKSAF >KJB68942 pep chromosome:Graimondii2_0_v6:11:243154:245698:-1 gene:B456_011G0028001 transcript:KJB68942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGYSKSPLELLNSSHQSKVLKAAIFSRFVLLILSILWRTLLAPYDTSAPLNPTCLHNPSPPLPSPLLPSLGSAIEKGVVWDSVYFVRIAQCGYEYEQFYAFLPLLPACMFVFSQTVLALSGYVVCNVAFIFTAMYFYRLSVIILKDPSAALQASVLFCFNPASIFYSSIYSESLYALFSVGGCYYLVSRANNIAVLWLALSGFARSNGVLNAGYFGFQAMHQAYDAFYLKKSAF >KJB68946 pep chromosome:Graimondii2_0_v6:11:243154:245631:-1 gene:B456_011G0028001 transcript:KJB68946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFYRLSVIILKDPSAALQASVLFCFNPASIFYSSIYSESLYALFSVGGCYYLVSRANNIAVLWLALSGFARSNGVLNAGYFGFQAMHQAYDAFYLKKSAF >KJB68943 pep chromosome:Graimondii2_0_v6:11:243154:245698:-1 gene:B456_011G0028001 transcript:KJB68943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGYSKSPLELLNSSHQSKVLKAAIFSRFVLLILSILWRTLLAPYDTSAPLNPTCLHNPSPPLPSPLLPSLGSAIEKGVVWDSVYFVRIAQCGYEYEQFYAFLPLLPACMFVFSQTVFAPLVPLIDYRAVLALSGYVVCNVAFIFTAMYFYRYSESLYALFSVGGCYYLVSRANNIAVLWLALSGFARSNGVLNAGYFGFQAMHQAYDAFYLKKSAF >KJB68941 pep chromosome:Graimondii2_0_v6:11:243154:245631:-1 gene:B456_011G0028001 transcript:KJB68941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFYRLSVIILKDPSAALQASVLFCFNPASIFYSSIYSESLYALFSVGGCYYLVSRANNIAVLWLALSGFARSNGVLNAGYFGFQAMHQAYDAFYLKKSAF >KJB68944 pep chromosome:Graimondii2_0_v6:11:243154:245348:-1 gene:B456_011G0028001 transcript:KJB68944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGYSKSPLELLNSSHQSKVLKAAIFSRFVLLILSILWRTLLAPYDTSAPLNPTCLHNPSPPLPSPLLPSLGSAIEKGVVWDSVYFVRIAQCGYEYEQFYAFLPLLPACMFVFSQTVFAPLVPLIDYRAVLALSGYVVCNVAFIFTAMYFYRLSVIILKDPSAALQASVLFCFNPASIFYSSIYSESLYALFSVGGCYYLVSRANNIAVLWLALSGFARSNGVLNAGYFGFQAMHQAYDAFYLKKSAF >KJB71370 pep chromosome:Graimondii2_0_v6:11:16255284:16264014:-1 gene:B456_011G119100 transcript:KJB71370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGKELAAAIKAASLAARLCRKVQKALLQSDVRSKHGRNKSPVTVADYGSQALVSFVLQQEFPGEFSLVAEEDSNDLRKDGGGEIVERITKLVNESLTSDGSYGVSLSSEDVLKAIDSGKSEGGSQGRHWVLDPIDGTKGFVRGDQYAVALALLDRGNVVLGVLACPNLPLTSISVAHPHSPNNEVGCLFFAEVGRGTYMQLLDGSSTVKVQVSAVENPEEASFFESYESAHSMHDLSSLIAQKLGVKAAPIRMDSQVKYGALSRGDGAIYLRFPRNGYREKIWDHAAGSIVVTEAGGVVTDAAGKQLDFSKGKYLDLDTGIIVSNQKLMPLLFDAVRASLAQKSKPQP >KJB71371 pep chromosome:Graimondii2_0_v6:11:16255286:16263861:-1 gene:B456_011G119100 transcript:KJB71371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGKELAAAIKAASLAARLCRKVQKALLQSDVRSKHGRNKSPVTVADYGSQALVSFVLQQEFPGEFSLVAEEDSNDLRKDGGGEIVERITKLVNESLTSDGSYGVSLSSEDVLKAIDSGKSEGGSQGRHWVLDPIDGTKGFVRGDQYAVALALLDRGNVVLGVLACPNLPLTSISVAHPHSPNNEVGCLFFAEVGRGTYMQLLDGSSTVKVQVSAVENPEEASFFESYESAHSMHDLSSLIAQKLGVKAAPIRMDSQVKYGALSRGDGAIYLRFPRNGYREKIWDHAAGSIVVTGNTYLS >KJB71468 pep chromosome:Graimondii2_0_v6:11:17792558:17797004:1 gene:B456_011G125600 transcript:KJB71468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRLKHLLLCLLLAISFLECITADGQNVISQRIRAPHKNVGDTVIDGTGTEKVITSDDNDKEMNDWKGSYSKVSVSTVMLFTLAMAAATGLGAVPFFFVELDPQWAGICNGMAAGVMLAASFDLIQEGQEHGAGTWVVIGILAGGIFILLCKKLLEQYGEVSMLDIKGAEATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFTQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSFICADAFNKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASPTAVASAATLSVAFMEALSTLFLNFTHDYNSEDASGFFVSLLFGLGPLLGGLILVAFAVAFCLKHALLMGAASGIAFILGAWRPLQLLVFSKMGFFPLVSLLAAGAAFVHVSSSSILKIMCNKRASSNNLPSVTGFPVSVLTLQSVLACGTVAFHALAEGLALGVAAPKAYGLGRHMVVPVSLHGIPRGAAVASCIFGATESWHGSLAAAALIGFVGPISAIGAILAGIDYSGLDHVMVLACGGLIPCFVRIVGRAIRLDVRKTSCGVAIGIGFATLCLTCTKLVCLHTPYCDSAPEAVR >KJB71467 pep chromosome:Graimondii2_0_v6:11:17792427:17796808:1 gene:B456_011G125600 transcript:KJB71467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRLKHLLLCLLLAISFLECITADGQNVISQRIRAPHKNVGDTVIDGTGTEKVITSDDNDKEMNDWKGSYSKVSVSTVMLFTLAMAAATGLGAVPFFFVELDPQWAGICNGMAAGVMLAASFDLIQEGQEHGAGTWVVIGILAGGIFILLCKKLLEQYGEVSMLDIKGAEATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFTQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSFICADAFNKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASPTAVASAATLSVAFMEALSTLFLNFTHDYNSEDASGFFVSLLFGLGPLLGGLILVAFAVAFCLKHALLMGAASGIAFILGAWRPLQLLVFSKMGFFPLVSLLAAGAAFVHVSSSSILKIMCNKRASSNNLPSVTGFPVSVLTLQSVLACGTVAFHALAEGLALGVAAPKAYGLGRHMVVPVSLHGIPRGAAVASCIFGATESWHGSLAAAALIGFVGPISAIGAILAGIDYSGLDHVMVLACGGLIPCFVRIVGRAIRLDVRKTSCGVAIGIGFATLCLTCTKLVCLHTPYCDSAPEAVR >KJB71469 pep chromosome:Graimondii2_0_v6:11:17792202:17796938:1 gene:B456_011G125600 transcript:KJB71469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRLKHLLLCLLLAISFLECITADGQNVISQRIRAPHKNVGDTVIDGTGTEKVITSDDNDKEMNDWKGSYSKVSVSTVMLFTLAMAAATGLGAVPFFFVELDPQWAGICNGMAAGVMLAASFDLIQEGQEHGAGTWVVIGILAGGIFILLCKKLLEQYGEVSMLDIKGAEATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFTQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSFICADAFNKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASPTAVASAATLSVAFMEALSTLFLNFTHDYNSEDASGFFVSLLFGLGPLLGGLILVAFAVAFCLKHALLMGAASGIAFILGAWRPLQLLVFSKMGFFPLVSLLAAGAAFVHVSSSSILKIMCNKRASSNNLPSVTGFPVSVLTLQSVLACGTVAFHALAEGLALGVAAPKAYGLGRHMVVPVSLHGIPRGAAVASCIFGATESWHGSLAAAALIGFVGPISAIGAILAGIDYSGLDHVMVLACGGLIPCFVRIVGRAIRLDVRKTSCGVAIGIGFATLCLTCTKLVCLHTPYCDSAPEAVR >KJB73084 pep chromosome:Graimondii2_0_v6:11:51465850:51468244:-1 gene:B456_011G214000 transcript:KJB73084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDSCLARVTAGVAVGGAVGGAVGAVYGTYEAIRYKVPGLLKIRYIGQTTLGSAAIFGLFLGAGSLIHCGKSY >KJB73278 pep chromosome:Graimondii2_0_v6:11:53705626:53710658:1 gene:B456_011G225600 transcript:KJB73278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAGLKLLSFPPDSPPLSIISAAKIAGIDLPIQTSSSASSPTLSFSNGLKLHGNYVILRYIGRLAPIPNFYGDNAFHAAQIDEWLEYTPTISCGSEFENACGYMEVYLEKRTFFVSHYFSIADIAIWSGLAGTGQRWESIRKSNKYPNLVRWYNSISAEYSNPLMEVTALYVGKKGLGKPVAAKSKEVKNVTGDSSDKAKAGSRSSSEIDLPDAEMGKVWLRFAPEPSGFLHIGHAKAALLNQYFAQRYHGEVILRFDDTNPTKESNEFVENLIKDVETLGIKYQKITYTSDYFSKLMALAEKLIKEGKAYVDDTPREQMQKERMDGIESKCRSNSVEENLKLWKEMIAGSERGLQCCLRGKLDMQDPNKSLRDPVYYRCNPVPHHRIGSKYKIYPTYDFACPFVDAEEGITHALRSSEYHDRNAQYHRIQEDMGMRKVHIYEFSRLNMVYTLLSKRKLLWFVQNGKVDGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTLNKKIIDPVCPRHTAVIEERRLLLTLTNGPDKPFVRIIPRHKKYDGAGEKATTYTKRIWLDYADAECISVDEEVTLMDWGNAIVKEIIKDQDGNITQLVGVLHLEGSVKTTKLKLTWLAETNELVNLSLVEFDYLITKKKLEEGEDFLDALNPCTKKEIAAIGDSNMHNLKQGEILQLERKGYYRCDVPFTRPLKPVVLFAIPDGRQQSVMK >KJB73279 pep chromosome:Graimondii2_0_v6:11:53707209:53710566:1 gene:B456_011G225600 transcript:KJB73279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNILYSIGTGQRWESIRKSNKYPNLVRWYNSISAEYSNPLMEVTALYVGKKGLGKPVAAKSKEVKNVTGDSSDKAKAGSRSSSEIDLPDAEMGKVWLRFAPEPSGFLHIGHAKAALLNQYFAQRYHGEVILRFDDTNPTKESNEFVENLIKDVETLGIKYQKITYTSDYFSKLMALAEKLIKEGKAYVDDTPREQMQKERMDGIESKCRSNSVEENLKLWKEMIAGSERGLQCCLRGKLDMQDPNKSLRDPVYYRCNPVPHHRIGSKYKIYPTYDFACPFVDAEEGITHALRSSEYHDRNAQYHRIQEDMGMRKVHIYEFSRLNMVYTLLSKRKLLWFVQNGKVDGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTLNKKIIDPVCPRHTAVIEERRLLLTLTNGPDKPFVRIIPRHKKYDGAGEKATTYTKRIWLDYADAECISVDEEVTLMDWGNAIVKEIIKDQDGNITQLVGVLHLEGSVKTTKLKLTWLAETNELVNLSLVEFDYLITKKKLEEGEDFLDALNPCTKKEIAAIGDSNMHNLKQGEILQLERKGYYRCDVPFTRPLKPVVLFAIPDGRQQSVMK >KJB69139 pep chromosome:Graimondii2_0_v6:11:567886:569605:1 gene:B456_011G007500 transcript:KJB69139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPLSPPSLLPLLLVAFNICFSLAASNSVYESFVQCLKTRSNSSDNISDIVYSHSNATYETVLEQYIRNARFNTSSTPKPVIIITPLTESHVSAAVICSNNIGFQLRIRSGGHDFEGLSYVSDQPFFILDMFNLRSISINMADQSVWVQSGATLGELYYRIWEESKVYGFPAGVCPTVGVGGHISGAGYGNMVRKYGLSVDYVVDAKIVDVNGNILDRKAMGEDLFWAIRGGGGASFGVILAFNIKLVDVPETVTVFKLERTLEQNATDVVYKWQSVAPTTDDNLFMRMLVQPVTLNKQKTIKISIMALYLGDVNSVVPLLVEDFPELGLVTEDCFEMSWIESALWWASFGKGTSPTVLLDRESYHVKFMKRKSDYVKTPISKDGLQWLWKKMIELEEPGLVFNPYGGKMNEIKETETPFPHRAGNLFKIQYSINWKDMGIEADKRSRSLVNRLHSYMTSFVSKNPRSAYLNYRDLDIGITKNWSYQEGKVYGESYFNGNFERLVDVKTVVDPHNFFRNEQSIPPRTIKAWNEKNEGSIPPSTSKAWNKSKPYVMIILFMAIGHII >KJB71669 pep chromosome:Graimondii2_0_v6:11:20961261:20964316:1 gene:B456_011G136700 transcript:KJB71669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTARPGPTARPKYGKVRTIGSAIAASLLPMALRGVWQLKKLIVSYCDWGGSSRGIRAFMESELPTFKEKNPQLEVVTELIRGQHPHLKGFYKNKNERVVCVKNMTPEDILLYATRLRNALGRKVVKLKTRHVTKHPSVQGTWTTDVKF >KJB71670 pep chromosome:Graimondii2_0_v6:11:20961719:20964352:1 gene:B456_011G136700 transcript:KJB71670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGVWQLKKLIVSYCDWGGSSRGIRAFMESELPTFKEKNPQLEVVTELIRGQHPHLKGFYKNKNERVVCVKNMTPEDILLYATRLRNALGRKVVKLKTRHVTKHPSVQGTWTTDVKF >KJB71671 pep chromosome:Graimondii2_0_v6:11:20961850:20964316:1 gene:B456_011G136700 transcript:KJB71671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGVWQLKKLIVSYCDWGGSSRGIRAFMESELPTFKEKNPQLEVVTELIRGQHPHLKGFYKNKNERVVCVKNMTPEDILLYATRLRNALGRKVVKLKTRHVTKHPSVQGTWTTDVKF >KJB72129 pep chromosome:Graimondii2_0_v6:11:29555809:29557095:-1 gene:B456_011G160400 transcript:KJB72129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCKKYQEYMQGQQKKLPGVGFKKILKNCRREFQSKNDVHGVLHNQTCPQHCPDIMHRIWRLLDYEPKLERMRNDLQNKIGI >KJB74007 pep chromosome:Graimondii2_0_v6:11:59859611:59861316:-1 gene:B456_011G266800 transcript:KJB74007 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDIR1 [Source:Projected from Arabidopsis thaliana (AT3G55530) UniProtKB/TrEMBL;Acc:A0A178V9G1] MSFVFRGSRSDLESGFPVLIPERRTVRVHAGRPVNSNSFVFLVTVLLLFMILNSQQLSPNFLLWLVLGVFFMATTLRMYATCQQLQAQAQAHAAAASALLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDQEFDDLDYETLRALDSGNGPSSSSMSDEEINALPVHKYKVSAPQSNDPSMQQASSSNSPQVMLLPPLFLIHLGLCFLCLNGWCKRI >KJB74006 pep chromosome:Graimondii2_0_v6:11:59859050:59861019:-1 gene:B456_011G266800 transcript:KJB74006 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDIR1 [Source:Projected from Arabidopsis thaliana (AT3G55530) UniProtKB/TrEMBL;Acc:A0A178V9G1] MILNSQQLSPNFLLWLVLGVFFMATTLRMYATCQQLQAQAQAHAAAASALLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDQEFDDLDYETLRALDSGNGPSSSSMSDEEINALPVHKYKVSAPQSNDPSMQQASSSNSPQKRQDSTNLVSIKKGSDDELTCSVCLEQVNAGDLIRSLPCLHQFHANCIDPWLRQQGTCPVCKFRAGSVWQEPGGIDASYMV >KJB74008 pep chromosome:Graimondii2_0_v6:11:59859050:59862022:-1 gene:B456_011G266800 transcript:KJB74008 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDIR1 [Source:Projected from Arabidopsis thaliana (AT3G55530) UniProtKB/TrEMBL;Acc:A0A178V9G1] MSFVFRGSRSDLESGFPVLIPERRTVRVHAGRPVNSNSFVFLVTVLLLFMILNSQQLSPNFLLWLVLGVFFMATTLRMYATCQQLQAQAQAHAAAASALLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDQEFDDLDYETLRALDSGNGPSSSSMSDEEINALPVHKYKVSAPQSNDPSMQQASSSNSPQDSTNLVSIKKGSDDELTCSVCLEQVNAGDLIRSLPCLHQFHANCIDPWLRQQGTCPVCKFRAGSVWQEPGGIDASYMV >KJB74009 pep chromosome:Graimondii2_0_v6:11:59859050:59862057:-1 gene:B456_011G266800 transcript:KJB74009 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDIR1 [Source:Projected from Arabidopsis thaliana (AT3G55530) UniProtKB/TrEMBL;Acc:A0A178V9G1] MSFVFRGSRSDLESGFPVLIPERRTVRVHAGRPVNSNSFVFLVTVLLLFMILNSQQLSPNFLLWLVLGVFFMATTLRMYATCQQLQAQAQAHAAAASALLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDQEFDDLDYETLRALDSGNGPSSSSMSDEEINALPVHKYKVSAPQSNDPSMQQASSSNSPQRQDSTNLVSIKKGSDDELTCSVCLEQVNAGDLIRSLPCLHQFHANCIDPWLRQQGTCPVCKFRAGSVWQEPGGIDASYMV >KJB74004 pep chromosome:Graimondii2_0_v6:11:59859050:59860756:-1 gene:B456_011G266800 transcript:KJB74004 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDIR1 [Source:Projected from Arabidopsis thaliana (AT3G55530) UniProtKB/TrEMBL;Acc:A0A178V9G1] MATTLRMYATCQQLQAQAQAHAAAASALLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDQEFDDLDYETLRALDSGNGPSSSSMSDEEINALPVHKYKVSAPQSNDPSMQQASSSNSPQKRQDSTNLVSIKKGSDDELTCSVCLEQVNAGDLIRSLPCLHQFHANCIDPWLRQQGTCPVCKFRAGSVWQEPGGIDASYMV >KJB74003 pep chromosome:Graimondii2_0_v6:11:59859039:59862070:-1 gene:B456_011G266800 transcript:KJB74003 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDIR1 [Source:Projected from Arabidopsis thaliana (AT3G55530) UniProtKB/TrEMBL;Acc:A0A178V9G1] MSFVFRGSRSDLESGFPVLIPERRTVRVHAGRPVNSNSFVFLVTVLLLFMILNSQQLSPNFLLWLVLGVFFMATTLRMYATCQQLQAQAQAHAAAASALLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDQEFDDLDYETLRALDSGNGPSSSSMSDEEINALPVHKYKVSAPQSNDPSMQQASSSNSPQKRQDSTNLVSIKKGSDDELTCSVCLEQVNAGDLIRSLPCLHQFHANCIDPWLRQQGTCPVCKFRAGSVWQEPGGIDASYMV >KJB74005 pep chromosome:Graimondii2_0_v6:11:59859050:59862022:-1 gene:B456_011G266800 transcript:KJB74005 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDIR1 [Source:Projected from Arabidopsis thaliana (AT3G55530) UniProtKB/TrEMBL;Acc:A0A178V9G1] MILNSQQLSPNFLLWLVLGVFFMATTLRMYATCQQLQAQAQAHAAAASALLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDQEFDDLDYETLRALDSGNGPSSSSMSDEEINALPVHKYKVSAPQSNDPSMQQASSSNSPQKRQDSTNLVSIKKGSDDELTCSVCLEQVNAGDLIRSLPCLHQFHANCIDPWLRQQGTCPVCKFRAGSVWQEPGGIDASYMV >KJB68715 pep chromosome:Graimondii2_0_v6:11:53077457:53078772:1 gene:B456_011G2218002 transcript:KJB68715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPNFLFSLLFSISIPILLFNNLIAESTFCPETMATTTTLGGVHPSQGSQNSVELENLARFAVDEHNKKENAMVEFVRVVKASEQVVAGTLHHLTVEAVDAGKKKLYEAKVWVKPWMNFKELQEFKHAGDADSSASFTACDLGAKKDVQGPMLQAVATNDPVVQDAADHAVKTIQQRSNSLLPYELKEIVHANAEVSTKLFLFPRIFVMF >KJB68714 pep chromosome:Graimondii2_0_v6:11:53077360:53079533:1 gene:B456_011G2218002 transcript:KJB68714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPNFLFSLLFSISIPILLFNNLIAESTFCPETMATTTTLGGVHPSQGSQNSVELENLARFAVDEHNKKENAMVEFVRVVKASEQVVAGTLHHLTVEAVDAGKKKLYEAKVWVKPWMNFKELQEFKHAGDADSSASFTACDLGAKKDVQGPMLQAVATNDPVVQDAADHAVKTIQQRSNSLLPYELKEIVHANAEVLEDFAKLNMVLKVKRGDKEEKFKVEVHHKNEGTYHVNHMEQDQS >KJB68716 pep chromosome:Graimondii2_0_v6:11:53077457:53079259:1 gene:B456_011G2218002 transcript:KJB68716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPNFLFSLLFSISIPILLFNNLIAESTFCPETMATTTTLGGVHPSQGSQNSVELENLARFAVDEHNKKENAMVEFVRVVKASEQVVAGTLHHLTVEAVDAGKKKLYEAKVWVKPWMNFKELQEFKHAGDADSSASFTACDLGAKKDVQGPMLQAVATNDPVVQDAADHAVKTIQQRSNSLLPYELKEIVHANAEVLEDFAKLNMVLKVKRGDKEEKFKVEVHHKNEGTYHVNHMEQDQS >KJB69119 pep chromosome:Graimondii2_0_v6:11:506219:509391:-1 gene:B456_011G006300 transcript:KJB69119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFARGRSQARPNRSMPIAVMEYADPKRKSNMFGKLLMAAALTALCIIMLKLSPSFSTPTHFSRHEKGVTHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDPNAVDKIFSENAFDAVIHFAAVAYVGESTTDPLKYYHNITSNTLMVLKSMAAHGVGTLIYSSTCATYGEPEKMPITEETPQVAINPYGKAKKMAEDMILDFSKNSDMAIMILRYFNVIGSDPEGRLGEAPRPELREQGRISGACFDAARGIIPGIKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALQHAKPHNVGIYNVGTGKGRSVKEFVEACKKATGVDIKVEYLPRRPGDYAEVFSDPTKIRRELNWTARFTDLQESLGTAWRWQKAHRNGYSSS >KJB69120 pep chromosome:Graimondii2_0_v6:11:506334:508726:-1 gene:B456_011G006300 transcript:KJB69120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFARGRSQARPNRSMPIAVMEYADPKRKSNMFGKLLMAAALTALCIIMLKLSPSFSTPTHFSRHEKGVTHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDPNAVDKIFSENAFDAVIHFAAVAYVGESTTDPLKYYHNITSNTLMVLKSMAAHGVGTLIYSSTCATYGEPEKMPITEETPQVAINPYGKAKKMAEDMILDFSKNSDMAIMILRYFNVIGSDPEGRLGEAPRPELREQGRISGACFDAARGIIPGIKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALQHAKPHNVGIYNVGTGKGRSVKEFVEACKKATGVDIKVEYLPRRPGDYAEVFSDPTKIRRELNWTARFTDLQESLGTAWRWQKAHRNGYSSS >KJB70534 pep chromosome:Graimondii2_0_v6:11:7707217:7708435:-1 gene:B456_011G078000 transcript:KJB70534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMELIPNLPNDVARECLIRVKYDQFATLLSTCNGWKTEIERPEFFQLRKVTSHGQKLLVMAQSRPHSDIKQPAHKGRSVKTAFGLSVLELDTGIWVDLPLLPHHFLYGIPYFCHLVAVGYDLLLIGGLDPVTWDASPSVFVFNFLTAKWRRGADMPGARRSMFGCASGHGPMVYVAGGHDEEKNALKSALAYDVVRDEWTPLPDMSRERDEVKGVFSRGKFHAVGGYCTETQGRFERSAEVFDVETWRWDHVREDFLEASTCPSTCTPGDDMDMYMIYESNVVASKDAKWRVIAKLPGDVGKMSYMTRWQDKLMVIGSSRLDEPRNAYVLSLEKSEWTKLSIPQKYSGHVHTGCYLEM >KJB70231 pep chromosome:Graimondii2_0_v6:11:5444001:5447842:1 gene:B456_011G064300 transcript:KJB70231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFVGAVKLFISLVLWLNTLSSIQGARDFYEFRYPFIKRASSFSSSSSFSSSSNDEVTYDYIIVGGGTAGCPLAATLSQNFSVLLLERGGVPFSNANVSFLRNFHIALADTSPTSASQPFVSTDGVINARARVLGGGTCINAGFYTRANSDFIRRVGWDARLVNESYPWVEKQIVHQPKLAPWQDAFKDSLLDVGVSPYNGFTYDHIYGTKVGGTIFDRFGRRHTAAELLASANPKMLTVLVYATVQKVLFDKSAGKRPKAMGVMFKDENGNQHQAFLTNNRRSEVILSCGAIGTPQLLMLSGIGPKAELQRLNISMVLHNEFVGKGMADNPMNSVFVPMTRSVEQSLIQTVGITKMGVYIEASSGFGQSQDSIHCHHGLLSAEIGQLSTVPPKQRTRQAIEEFIKRKRDLPREAFKGGFILEKIAMPLSTGHLNLINTNIDNNPSVTFNYFGHPRDLRRCVNGIRMAAKVIQSDRFTNFTKCDKPTVERLLNMSVKANINFIPKHTNDTKSLEQFCKDTVITIWHYHGGCHVGKVVDPNHKVLGTRRLRIVDGSTFSESPGTNPQGTVLMMGR >KJB70232 pep chromosome:Graimondii2_0_v6:11:5444001:5447842:1 gene:B456_011G064300 transcript:KJB70232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSPSSETSTSPWLTLPQPLLPNLSFPPMVLLMLGLGFWVVALALMLAFIPELTQSIFIRRVGWDARLVNESYPWVEKQIVHQPKLAPWQDAFKDSLLDVGVSPYNGFTYDHIYGTKVGGTIFDRFGRRHTAAELLASANPKMLTVLVYATVQKVLFDKSAGKRPKAMGVMFKDENGNQHQAFLTNNRRSEVILSCGAIGTPQLLMLSGIGPKAELQRLNISMVLHNEFVGKGMADNPMNSVFVPMTRSVEQSLIQTVGITKMGVYIEASSGFGQSQDSIHCHHGLLSAEIGQLSTVPPKQRTRQAIEEFIKRKRDLPREAFKGGFILEKIAMPLSTGHLNLINTNIDNNPSVTFNYFGHPRDLRRCVNGIRMAAKVIQSDRFTNFTKCDKPTVERLLNMSVKANINFIPKHTNDTKSLEQFCKDTVITIWHYHGGCHVGKVVDPNHKVLGTRRLRIVDGSTFSESPGTNPQGTVLMMGRYMGVKILRRRLGKAAGV >KJB70233 pep chromosome:Graimondii2_0_v6:11:5445422:5447842:1 gene:B456_011G064300 transcript:KJB70233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVLVYATVQKVLFDKSAGKRPKAMGVMFKDENGNQHQAFLTNNRRSEVILSCGAIGTPQLLMLSGIGPKAELQRLNISMVLHNEFVGKGMADNPMNSVFVPMTRSVEQSLIQTVGITKMGVYIEASSGFGQSQDSIHCHHGLLSAEIGQLSTVPPKQRTRQAIEEFIKRKRDLPREAFKGGFILEKIAMPLSTGHLNLINTNIDNNPSVTFNYFGHPRDLRRCVNGIRMAAKVIQSDRFTNFTKCDKPTVERLLNMSVKANINFIPKHTNDTKSLEQFCKDTVITIWHYHGGCHVGKVVDPNHKVLGTRRLRIVDGSTFSESPGTNPQGTVLMMGRYMGVKILRRRLGKAAGV >KJB70230 pep chromosome:Graimondii2_0_v6:11:5443864:5447871:1 gene:B456_011G064300 transcript:KJB70230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFVGAVKLFISLVLWLNTLSSIQGARDFYEFRYPFIKRASSFSSSSSFSSSSNDEVTYDYIIVGGGTAGCPLAATLSQNFSVLLLERGGVPFSNANVSFLRNFHIALADTSPTSASQPFVSTDGVINARARVLGGGTCINAGFYTRANSDFIRRVGWDARLVNESYPWVEKQIVHQPKLAPWQDAFKDSLLDVGVSPYNGFTYDHIYGTKVGGTIFDRFGRRHTAAELLASANPKMLTVLVYATVQKVLFDKSAGKRPKAMGVMFKDENGNQHQAFLTNNRRSEVILSCGAIGTPQLLMLSGIGPKAELQRLNISMVLHNEFVGKGMADNPMNSVFVPMTRSVEQSLIQTVGITKMGVYIEASSGFGQSQDSIHCHHGLLSAEIGQLSTVPPKQRTRQAIEEFIKRKRDLPREAFKGGFILEKIAMPLSTGHLNLINTNIDNNPSVTFNYFGHPRDLRRCVNGIRMAAKVIQSDRFTNFTKCDKPTVERLLNMSVKANINFIPKHTNDTKSLEQFCKDTVITIWHYHGGCHVGKVVDPNHKVLGTRRLRIVDGSTFSESPGTNPQGTVLMMGRYMGVKILRRRLGKAAGV >KJB70008 pep chromosome:Graimondii2_0_v6:11:4162581:4164306:1 gene:B456_011G052900 transcript:KJB70008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVEKKMTAQVSSSLVRVLSGHMEEQHAGKSDILITKDLLGNLSNAKPTTPKAIDLEFKGGRVEAQKCSSSSSSSPSASASSPKSPLSAKGSASPDGKSFKTPLSNFLQDSKLQDLNFPPINLFDEMTTTSLDLKLQSCSTPSPYQSVCTLDKVKHALERAEKGGNMKKRSSSPPPPPSSPPATSSSSTPRMFAAACPGCLLYVIASNTNPRCPRCNSFVPSSLPMKKPRIDLNASF >KJB70007 pep chromosome:Graimondii2_0_v6:11:4162581:4164306:1 gene:B456_011G052900 transcript:KJB70007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQVSSSLVRVLSGHMEEQHAGKSDILITKDLLGNLSNAKPTTPKAIDLEFKGGRVEAQKCSSSSSSSPSASASSPKSPLSAKGSASPDGKSFKTPLSNFLQDSKLQDLNFPPINLFDEMTTTSLDLKLQSCSTPSPYQSVCTLDKVKHALERAEKGGNMKKRSSSPPPPPSSPPATSSSSTPRMFAAACPGCLLYVIASNTNPRCPRCNSFVPSSLPMKKPRIDLNASF >KJB68780 pep chromosome:Graimondii2_0_v6:11:11508222:11509591:1 gene:B456_011G101700 transcript:KJB68780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSLTSSRTSGSSWTAKQNKLFEKALAKYDKDTPDRWHNIAKAVGGKSVEEVKLHYEILVRDLKDIESGRYPYPYPTN >KJB68910 pep chromosome:Graimondii2_0_v6:11:2372321:2374307:-1 gene:B456_011G031700 transcript:KJB68910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVSISPYFASIICTKNKQKFLLPSLNKHHRNLQIRAMRAVVQRVASASVEVDGRIVSEIGPGLLVLVGIHDSDTESDADYIKVLNMRLFTNENTGKGWDQNVMQRNYGVLLVSQFTLYGILKGNKPDFHVAMPPQTAKPFYESIVDKFRKAYKPDAVKDGVFGAMMKVSLVNDGPVTMQLDSSQPSKNTTGVAEES >KJB68912 pep chromosome:Graimondii2_0_v6:11:2372968:2374307:-1 gene:B456_011G031700 transcript:KJB68912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVSISPYFASIICTKNKQKFLLPSLNKHHRNLQIRAMRAVVQRVASASVEVDGRIVSEIGPGLLVLVGIHDSDTESDADYICRKVLNMRLFTNENTGKGWDQNVMQRNYGVLLVSQFTLYGILKGNKPDFHVAMPPQTAKPFYESIVDKFRKAYKPDAVKGYLPS >KJB68909 pep chromosome:Graimondii2_0_v6:11:2372247:2374401:-1 gene:B456_011G031700 transcript:KJB68909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVSISPYFASIICTKNKQKFLLPSLNKHHRNLQIRAMRAVVQRVASASVEVDGRIVSEIGPGLLVLVGIHDSDTESDADYICRKVLNMRLFTNENTGKGWDQNVMQRNYGVLLVSQFTLYGILKGNKPDFHVAMPPQTAKPFYESIVDKFRKAYKPDAVKDGVFGAMMKVSLVNDGPVTMQLDSSQPSKNTTGVAEES >KJB68911 pep chromosome:Graimondii2_0_v6:11:2372321:2374307:-1 gene:B456_011G031700 transcript:KJB68911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVSISPYFASIICTKNKQKFLLPSLNKHHRNLQIRAMRAVVQRVASASVEVDGRIVSEIGPGLLVLVGIHDSDTESDADYICRKVLNMRLFTNENTGKGWDQNVMQRNYGVLLDGVFGAMMKVSLVNDGPVTMQLDSSQPSK >KJB71004 pep chromosome:Graimondii2_0_v6:11:11150677:11152880:1 gene:B456_011G100100 transcript:KJB71004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQLEMEEQVDSDRQLMMEGGFTAPHSMDIDPSRDRFPCCIVWAPLPVLSWLVPFIGHVGICREDGIILDFAGPNFVCVDHFTFGPVARYLQINKDKECGISPHSSALKGDEEYQDDEPRRESLTWDEALRKSTMEFQHRSYNLFTCNCHSFVANNLNKLGFRYGGWNVVNVALLLLLKGQWVSKLAFFRSFMPFVVVTGLGLTFGGTTYLFSLALFAALLVCWFLLGTHCFKNLINL >KJB73516 pep chromosome:Graimondii2_0_v6:11:55807505:55810951:-1 gene:B456_011G236900 transcript:KJB73516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARANRHGVQFQFSFLLTWILAVQGASQTQSQTPKLQYGSIVTGNGKDHPSVSSHPSPANGLGRSCNIVDLCRQIILSLTDTSGTRVETVVNVTMGKGALNGSVAGLEALAAGATHWFYNKTHSTGQLNFTMDPISDAANASTMLDLEAVSRGIFRAKPDMYAPTSTNDSNIAPRVVGYFVTKANSTSNVVELTFYAIDEVSVEEDDMKLVLKCAESILLAGKAYSCTSLKKCC >KJB73515 pep chromosome:Graimondii2_0_v6:11:55808863:55810668:-1 gene:B456_011G236900 transcript:KJB73515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARANRHGVQFQFSFLLTWILAVQGASQTQSQTPKLQYGSIVTGNGKDHPSVSSHPSPANGLGRSCNIVDLCRQIILSLTDTSGTRVETVVNVTMGKGALNGSVAGLEALAAGATHWFYNKTHSTGQLNFTMDPISDAANASTMLDLEAVSRGIFRAKPDMYAPTSTNDSNIAPRVVGYFVTKESKERKCGMIER >KJB71422 pep chromosome:Graimondii2_0_v6:11:17125703:17129306:1 gene:B456_011G122600 transcript:KJB71422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSDEKVKEMWDKRLTEIFCDICIKEILKGNRPDTHFTKDGWLKIITNFEKETGKGFSQRQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQVVPEAKKFKTSGIDLEFEGKLDQMFMGIVATGDKAWAPSSGTLRSDFFEDVNNEIPEESEEENMKNDVHISNDVQIDGNGQKRKKTLRC >KJB72209 pep chromosome:Graimondii2_0_v6:11:32687276:32688702:1 gene:B456_011G165600 transcript:KJB72209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRALPWSDQVDVISSDESSSSSSSSSSYSSFDVEVQVNDETKNVAVDQPPKELSSDGLLIRKAEIYQEYMKQLPIPTQRGSVIPFTTWMGLGRSIKQLYGQPLHYLTNILLKQWDHSRVGSEDEHRPLDIIVHPCKAEATVWLVEELHRQTSSHHHMAKLWQSDPMHHAFIDSIFPQL >KJB72212 pep chromosome:Graimondii2_0_v6:11:32687995:32688372:1 gene:B456_011G165600 transcript:KJB72212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGLLIRKAEIYQEYMKQLPIPTQRGSVIPFTTWMGLGRSIKQLYGQPLHYLTNILLKQWDHSRVGSEDEHRPLDIIVHPCKAEATVWLVEELHRQTSSHHHMAKLWQSDPMHHAFIDSIFPQL >KJB72210 pep chromosome:Graimondii2_0_v6:11:32687235:32688702:1 gene:B456_011G165600 transcript:KJB72210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNCNLHSLSLSVNKRNFGVNIMKRALPWSDQVDVISSDESSSSSSSSSSYSSFDVEVQVNDETKNVAVDQPPKELSSDGLLIRKAEIYQEYMKQLPIPTQRGSVIPFTTWMGLGRSIKQLYGQPLHYLTNILLKQWDHSRVGSEDEHRPLDIIVHPCKAEATVWLVEELHRQTSSHHHMAKLWQSDPMHHAFIDSIFPQL >KJB72211 pep chromosome:Graimondii2_0_v6:11:32687247:32688702:1 gene:B456_011G165600 transcript:KJB72211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRALPWSDQVDVISSDESSSSSSSSSSYSSFDVEVQVNDETKNVAVDQPPKELSSDGLLIRKAEIYQEYMKQLPIPTQRGSVIPFTTWMGLGRSIKQLYGQPLHYLTNILLKQWDHSRVGSEDEHRPLDIIVHPCKAEATVWLVEELHRQTSSHHHMAKLWQSDPMHHAFIDSIFPQL >KJB71038 pep chromosome:Graimondii2_0_v6:11:11487493:11490500:1 gene:B456_011G101600 transcript:KJB71038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAESNDRKSGKLCEYASRNPLRIPKITSNLEQRCFKDLRNENFGCVKAVLCIYRKLLSSCKEQMPLFASCLLGIIRALLEQTRQDEMRILGCNALVCFMGEHCHISMDFDSLSENLRSLALKRLHYFQTFED >KJB71039 pep chromosome:Graimondii2_0_v6:11:11487493:11491239:1 gene:B456_011G101600 transcript:KJB71039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAESNDRKSGKLCEYASRNPLRIPKITSNLEQRCFKDLRNENFGCVKAVLCIYRKLLSSCKEQMPLFASCLLGIIRALLEQTRQDEMRILGCNALVCFMGEHCHISMDFDSEHMPYALFSFKDRQGNSETES >KJB72796 pep chromosome:Graimondii2_0_v6:11:47889419:47890476:1 gene:B456_011G198300 transcript:KJB72796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTISFCIKKEERVSEGKTFVVVSGIEDGNFLKHVSLLVHKRQQKSGSKGDLFVNFSTITPKKPNSALRKVARVWLTSKFEIIAYVPGIGQNSQEHSVVLVRGRKVKDLPNVRYPIVRGTLDVIGASMRQKIFFLKRFNSELLYVQGPILK >KJB70114 pep chromosome:Graimondii2_0_v6:11:4706004:4707623:-1 gene:B456_011G058500 transcript:KJB70114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDVFSGFSNGTQIDGKVLHTFQKSFVQVQDILDQNRLLINEINQNHESKIPNNLSRNVGLIKELNNNIRRVVDLYADLSTSYTRSMEVSSEGDSSAGTFKSDGKATSQKRIRSG >KJB70115 pep chromosome:Graimondii2_0_v6:11:4705996:4707728:-1 gene:B456_011G058500 transcript:KJB70115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDVFSGFSNGTQIDGKVLHTFQKSFVQVQDILDQNRLLINEINQNHESKIPNNLSRNVGLIKELNNNIRRVVDLYADLSTSYTRSMEVSSEGDSSAGTFKSDGKATSQKRIRSG >KJB70762 pep chromosome:Graimondii2_0_v6:11:9682684:9689615:1 gene:B456_011G090600 transcript:KJB70762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRCRDANLVSLVTPSAKRMLGANKNSKKNGSNFDVFSRYEQKKNGVNRNSVYSRKLLKAHPALALSLGRDDSVDQSDDTEDYSNSEDFRKISGASPLLLKLKPKNWPHSSSRLLKADRKEDSSYSYSTPALSTSSYNKYFNHNPSVVGSWDATTTSLNDGDDDVDDPLDLPGRQGCGIPCYWTKRTPKHRVVCGSCYSPSLSDTLRRKGSSILCGSQSMYHRHRRSLSLSNKRKNALRSAQGVLPLLSNSADGRGGSSIGTRCSDDELSTNFGELDLEALSRLDGRRWSSSCRSQDGLEIVALTGEAEEEGTPENIKSLSQKYKPMFFDELIGQNIVVQSLMNAVSKGRIAPFYLFQGPRGTGKTSTARIFSAALNCQTTDDDKPCGCCTECTEFISGKRREFWEFDSTNRRGIDRVRYLLKSLSTGLASSSSRYKVFVIDECHLLPSKIWLALLKFLEDPPPRLVFIFITTDLDNVPRTVQSRCQKYLFNKIKDGDIMARLRKMSADENLEVESDALDLIALNADGSLRDAETMLDQLSLLGKRITASLVNELVGVVSDEKLLELLELAMSSDTAETVKRARELMDSGVDPMVLMSQLASLIMDIIAGTYNIVDSKYSHSFFGGRALTEAEVERLKDALKLLSEAEKQLRVSSERSTWFTATLLQLGSLPSPDLSQSGSSRRQSSKTIEDDLQSTSREAIAYKPKSGTQCMPWKSTSASLQKSVNGNSTRQGELVSRIDGYGSNSKTSHGRYLDGSATPAACDNSQNGNMILACRNSEKLDDIWAKCINKCHSKTLRQLLLAHGKLLSLAEDEGVLIAYLAFADGDIKSRAERFLSSITNSIEIVMRRNVEVRIILLADVGISLNLANPAEMLESLQQVEAVAGIGSERKAIPKNVLDGISSLDLHQESRKVSKGSFSDLEGKLRGVQDYSNYSSQSIVRTPELLAEGKDDIDSSKESRQEIPMQRIESIIREQRLETAWLQAAEKGTPGSLSRLKPEKNQVLPQEVYRQSNLGSMDSSAFSSQQWDDELNRELKILKTNDGQEIQKDQLGRRADHYPMSPSLLHNSNLSKENLGYESGSGTGGCSGLFCWNNSKPRRRAKAKGTPVRSCRTRRFSLFGECGKSKKIQNKCRR >KJB70760 pep chromosome:Graimondii2_0_v6:11:9683110:9689317:1 gene:B456_011G090600 transcript:KJB70760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLRMPDPSRLHLKKELTQIRKAARVLRDPGTTSSWKSPINSSRSVAAAVAAGTGSTSTCTASRNHLGSESLSRSNGNARLDLSLLPFRVESNGHGRITNSNGNEKDKRVFLYNWRSQKSSSVNVDDDGDDDDDFDDGDDGDQSSSWIQGSVDENSLSDARKCGDSKSDTCLGESRSASMLFRCRDANLVSLVTPSAKRMLGANKNSKKNGSNFDVFSRYEQKKNGVNRNSVYSRKLLKAHPALALSLGRDDSVDQSDDTEDYSNSEDFRKISGASPLLLKLKPKNWPHSSSRLLKADRKEDSSYSYSTPALSTSSYNKYFNHNPSVVGSWDATTTSLNDGDDDVDDPLDLPGRQGCGIPCYWTKRTPKHRVVCGSCYSPSLSDTLRRKGSSILCGSQSMYHRHRRSLSLSNKRKNALRSAQGVLPLLSNSADGRGGSSIGTRCSDDELSTNFGELDLEALSRLDGRRWSSSCRSQDGLEIVALTGEAEEEGTPENIKSLSQKYKPMFFDELIGQNIVVQSLMNAVSKGRIAPFYLFQGPRGTGKTSTARIFSAALNCQTTDDDKPCGCCTECTEFISGKRREFWEFDSTNRRGIDRVRYLLKSLSTGLASSSSRYKVFVIDECHLLPSKIWLALLKFLEDPPPRLVFIFITTDLDNVPRTVQSRCQKYLFNKIKDGDIMARLRKMSADENLEVESDALDLIALNADGSLRDAETMLDQLSLLGKRITASLVNELVGVVSDEKLLELLELAMSSDTAETVKRARELMDSGVDPMVLMSQLASLIMDIIAGTYNIVDSKYSHSFFGGRALTEAEVERLKDALKLLSEAEKQLRVSSERSTWFTATLLQLGSLPSPDLSQSGSSRRQSSKTIEDDLQSTSREAIAYKPKSGTQCMPWKSTSASLQKSVNGNSTRQGELVSRIDGYGSNSKTSHGRYLDGSATPAACDNSQNGNMILACRNSEKLDDIWAKCINKCHSKTLRQLLLAHGKLLSLAEDEGVLIAYLAFADGDIKSRAERFLSSITNSIEIVMRRNVEVRIILLADVGISLNLANPAEMLESLQQVEAVAGIGSERKAIPKNVLDGISSLDLHQESRKVSKGSFSDLEGKLRGVQDYSNYSSQSIVRTPELLAEGKDDIDSSKESRQEIPMQRIESIIREQRLETAWLQAAEKGTPGSLSRLKPEKNQVLPQEVYRQSNLGSMDSSAFSSQQWDDELNRELKILKTNDGQEIQKDQLGRRADHYPMSPSLLHNSNLSKENLGYESGSGTGGCSGLFCWNNSKPRRRAKAKGTPVRSCRTRRFSLFGECGKSKKIQNKCRR >KJB70761 pep chromosome:Graimondii2_0_v6:11:9682655:9689680:1 gene:B456_011G090600 transcript:KJB70761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLRMPDPSRLHLKKELTQIRKAARVLRDPGTTSSWKSPINSSRSVAAAVAAGTGSTSTCTASRNHLGSESLSRSNGNARLDLSLLPFRVESNGHGRITNSNGNEKDKRVFLYNWRSQKSSSVNVDDDGDDDDDFDDGDDGDQSSSWIQGSVDENSLSDARKCGDSKSDTCLGESRSASMLFRCRDANLVSLVTPSAKRMLGANKNSKKNGSNFDVFSRYEQKKNGVNRNSVYSRKLLKAHPALALSLGRDDSVDQSDDTEDYSNSEDFRKISGASPLLLKLKPKNWPHSSSRLLKADRKEDSSYSYSTPALSTSSYNKYFNHNPSVVGSWDATTTSLNDGDDDVDDPLDLPGRQGCGIPCYWTKRTPKHRVVCGSCYSPSLSDTLRRKGSSILCGSQSMYHRHRRSLSLSNKRKNALRSAQGVLPLLSNSADGRGGSSIGTRCSDDELSTNFGELDLEALSRLDGRRWSSSCRSQDGLEIVALTGEAEEEGTPENIKSLSQKYKPMFFDELIGQNIVVQSLMNAVSKGRIAPFYLFQGPRGTGKTSTARIFSAALNCQTTDDDKPCGCCTECTEFISGKRREFWEFDSTNRRGIDRVRYLLKSLSTGLASSSSRYKVFVIDECHLLPSKIWLALLKFLEDPPPRLVFIFITTDLDNVPRTVQSRCQKYLFNKIKDGDIMARLRKMSADENLEVESDALDLIALNADGSLRDAETMLDQLSLLGKRITASLVNELVGVVSDEKLLELLELAMSSDTAETVKRARELMDSGVDPMVLMSQLASLIMDIIAGTYNIVDSKYSHSFFGGRALTEAEVERLKDALKLLSEAEKQLRVSSERSTWFTATLLQLGSLPSPDLSQSGSSRRQSSKTIEDDLQSTSREAIAYKPKSGTQCMPWKSTSASLQKSVNGNSTRQGELVSRIDGYGSNSKTSHGRYLDGSATPAACDNSQNGNMILACRNSEKLDDIWAKCINKCHSKTLRQLLLAHGKLLSLAEDEGVLIAYLAFADGDIKSRAERFLSSITNSIEIVMRRNVEVRIILLADVGISLNLANPAEMLESLQQVEAVAGIGSERKAIPKNVLDGISSLDLHQESRKVSKGSFSDLEGKLRGVQDYSNYSSQSIVRTPELLAEGKDDIDSSKESRQEIPMQRIESIIREQRLETAWLQAAEKGTPGSLSRLKPEKNQVLPQEVYRQSNLGSMDSSAFSSQQWDDELNRELKILKTNDGQEIQKDQLGRRADHYPMSPSLLHNSNLSKENLGYESGSGTGGCSGLFCWNNSKPRRRAKAKGTPVRSCRTRRFSLFGECGKSKKIQNKCRSHVRLMIGKLM >KJB70143 pep chromosome:Graimondii2_0_v6:11:4902788:4905393:-1 gene:B456_011G060300 transcript:KJB70143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVKGDLLTKTRKLVNGLAKPQPVWLKAMEQAPPATFPRPDGKLKAISLPEDVYIKKFFQKYPVAKGHDAIKISAYDPPPARLFGLRVLELKELGVTEEEAVAVADMEYRMEKKEKKKAYARLKQLARLQGKKPSPNPYPSAIKERQALERKFVRERFSSPEIWKIIEKIKEERRAERFNGTVSSGF >KJB70142 pep chromosome:Graimondii2_0_v6:11:4902788:4905080:-1 gene:B456_011G060300 transcript:KJB70142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILDFVFYRAPPATFPRPDGKLKAISLPEDVYIKKFFQKYPVAKGHDAIKISAYDPPPARLFGLRVLELKELGVTEEEAVAVADMEYRMEKKEKKKAYARLKQLARLQGKKPSPNPYPSAIKERQALERKFVRERFSSPEIWKIIEKIKEERRAERFNGTVSSGF >KJB70144 pep chromosome:Graimondii2_0_v6:11:4902788:4905489:-1 gene:B456_011G060300 transcript:KJB70144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVKGDLLTKTRKLVNGLAKPQPVWLKAMEQISAYDPPPARLFGLRVLELKELGVTEEEAVAVADMEYRMEKKEKKKAYARLKQLARLQGKKPSPNPYPSAIKERQALERKFVRERFSSPEIWKIIEKIKEERRAERFNGTVSSGF >KJB70644 pep chromosome:Graimondii2_0_v6:11:8755239:8760432:-1 gene:B456_011G085100 transcript:KJB70644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVQLNLRSFKAPFNLKPIAKQFSRPGRIRCAATTPTKRYSITLLPGDGIGPEVITVAKNVLKLVGSLEGIEFSFQEMPMGGAALDLTGVPLPEETLSAAQRADAVLLGAIGGYKWDKNEKHLKPETGLLQLREGLKVFANLRPATVLPQLVDSSTLKKDVAEGVDLIVVRELTGGIYFGKPRGFGTNEKGEDIGFNTEVYSTHEIDRIARVAFEIARKRRGQLCSVDKANVLEASMLWRKRVTAIASEYPDVELSHMYVDNAAMQLVRYPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEANAANRIENAVLDTLNRGFRTGDIYSTGNKLVGCKEMGEEVLKSVDSPVPTAI >KJB70648 pep chromosome:Graimondii2_0_v6:11:8755168:8760454:-1 gene:B456_011G085100 transcript:KJB70648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVQLNLRSFKAPFNLKPIAKQFSRPGRIRCAATTPTKRYSITLLPGDGIGPEVITVAKNVLKLVGSLEGIEFSFQEMPMGGAALDLTGVPLPEETLSAAQRADAVLLGAIGGYKWDKNEKHLKPETGLLQLREGLKVFANLRPATVLPQLVDSSTLKKDVAEGVDLIVVRELTGGIYFGKPRGFGTNEKGEDIGFNTEVYSTHEIDRIARVAFEIARKRRGQLCSVDKANVLEASMLWRKRVTAIASEYPDVELSHMYVDNAAMQLVRYPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEANAANRIENAVLDTLNRGFRTGDIYSTGNKLVGCKEMGEEVLKSVDSPVPTAI >KJB70643 pep chromosome:Graimondii2_0_v6:11:8754301:8760432:-1 gene:B456_011G085100 transcript:KJB70643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVQLNLRSFKAPFNLKPIAKQFSRPGRIRCAATTPTKRYSITLLPGDGIGPEVITVAKNVLKLVGSLEGIEFSFQEMPMGGAALDLTGVPLPEETLSAAQRADAVLLGAIGGYKWDKNEKHLKPETGLLQLREGLKVFANLRPATVLPQLVDSSTLKKDVAEGVDLIVVRELTGGIYFGKPRGFGTNEKGEDIGFNTEVYSTHEIDRIARVAFEIARKRRGQLCSVDKANVLEASMLWRKRVTAIASEYPDVELSHMYVDNAAMQLVRYPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEANAANRIENAVLDTLNRGFRTGDIYSTGNKLVGCKEMGEEVLKSVDSPVPTAI >KJB70647 pep chromosome:Graimondii2_0_v6:11:8755593:8760252:-1 gene:B456_011G085100 transcript:KJB70647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVQLNLRSFKAPFNLKPIAKQFSRPGRIRCAATTPTKRYSITLLPGDGIGPEVITVAKNVLKLVGSLEGIEFSFQEMPMGGAALDLTGVPLPEETLSAAQRADAVLLGAIGGYKWDKNEKHLKPETGLLQLREGLKVFANLRPATVLPQLVDSSTLKKDVAEGVDLIVVRELTGGIYFGKPRGFGTNEKGEDIGFNTEVYSTHEIARKRRGQLCSVDKANVLEASMLWRKRVTAIASEYPDVELSHMYVDNAAMQLVRYPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEANAANRIENAVLDTLNRGFRTGDIYSTGNKLVGCKEMGEEVLKSVDSPVPTAI >KJB70645 pep chromosome:Graimondii2_0_v6:11:8756550:8760252:-1 gene:B456_011G085100 transcript:KJB70645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVQLNLRSFKAPFNLKPIAKQFSRPGRIRCAATTPTKRYSITLLPGDGIGPEVITVAKNVLKLVGSLEGIEFSFQEMPMGGAALDLTGVPLPEETLSAAQRADAVLLGAIGGYKWDKNEKHLKPETGLLQLREGLKVFANLRPATVLPQLVDSSTLKKDVAEGVDLIVVRELTGGIYFGKPRGFGTNEKGEDIGFNTEVYSTHEIDRIARVAFEIARKRRGQLCSVDKANVLEASMLWRKRVTAIASEYPDVELSHMYVDNAAMQLVRYPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGESV >KJB70646 pep chromosome:Graimondii2_0_v6:11:8755168:8760432:-1 gene:B456_011G085100 transcript:KJB70646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVQLNLRSFKAPFNLKPIAKQFSRPGRIRCAATTPTKRYSITLLPGDGIGPEVITVAKNVLKLVGSLEGIEFSFQEMPMGGAALDLTGVPLPEETLSAAQRADAVLLGAIGGYKWDKNEKHLKPETGLLQLREGLKVFANLRPATVLPQLVDSSTLKKDVAEGVDLIVVRELTGGIYFGKPRGFGTNEKGEDIGFNTEVYSTHEASMLWRKRVTAIASEYPDVELSHMYVDNAAMQLVRYPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEANAANRIENAVLDTLNRGFRTGDIYSTGNKLVGCKEMGEEVLKSVDSPVPTAI >KJB70649 pep chromosome:Graimondii2_0_v6:11:8755593:8760252:-1 gene:B456_011G085100 transcript:KJB70649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVQLNLRSFKAPFNLKPIAKQFSRPGRIRCAATTPTKRYSITLLPGDGIGPEVITVAKNVLKLVGSLEGIEFSFQEMPMGGAALDLTGVPLPEETLSAAQRADAVLLGAIGGYKWDKNEKHLKPETGLLQLREGLKVFANLRPATVLPQLVDSSTLKKDVAEGVDLIVVRELTGGIYFGKPRGFGTNEKGEDIGFNTEVYSTHEIDRIARVAFEIARKRRGQLCSVDKANVLEASMLWRKRVTAIASEYPDVELSHMYVDNAAMQLVRYPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEANAANRIENAVLDTLNRGFRTGDIYSTGNKLVGCKEMGEEVLKSVDSPVPTAI >KJB72728 pep chromosome:Graimondii2_0_v6:11:46824805:46825768:-1 gene:B456_011G193500 transcript:KJB72728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNRETKVTKKVDIGGILGNRDSKLGKSSIPRRRQWVKRRETWVVILGVILHAVYMLSIFDIYFKTPIVHGMDLVSHRFSPPAKRLVLLVGKRSLMKLD >KJB70681 pep chromosome:Graimondii2_0_v6:11:9062285:9064732:1 gene:B456_011G086900 transcript:KJB70681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKGSKELPNFLSLPTQPQQQLQNMGESKTAETKDVVVADKEEGKKQQLAPKRSSNKDRHIKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGSGTIPASALAAAGASVSQQGGSLSAGLHQKMEDLGGSSVGSGSSRTNWGMVGGNLGRPPHVAAGSWPPVSGYGFQSSSAPSTTNLGSEGSNYLQKIGFPGFDLTATTMGQMSFTSMLGAANQQLPGLELGLSQEGHFGVLNPQALTQIYQQMGQARVHQQQQQQNHHHHHHHHNQPSAKDDSEGSGE >KJB73223 pep chromosome:Graimondii2_0_v6:11:53359323:53361324:1 gene:B456_011G223400 transcript:KJB73223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYPKCLVQDVISFYSLPSPMSEYLKMYKIGNTIISCWFVKPSLSRKSCLVAQSSTLALSMCLSLSHPRTYVSMSLQIRILQTDITLLSTHAIYLDFYLCFLL >KJB72092 pep chromosome:Graimondii2_0_v6:11:28179900:28182398:1 gene:B456_011G158300 transcript:KJB72092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNRLCFILPADFNEIAPLDYPPVEKPVKKEGKKHPYRDCGAHFVGFIGDSLRRFYGSRCLLHCANPRRQQSSVFHDLEGVQMSEKVGGDNPRIFSYAELYIGSKGFCQDEILGSGGFGRVYKAVLPSDGTVVAVKCLAEKGERFEKTFAAELVAVAHLRHRNLVRLRGWCVHEDQLLLVYDYMPNRSLDRVLFRRPENTGAPPLNWDRRRKIVRGLAAALFYLHEQLETQIIHRDVKTSNVMLDSQYNARLGDFGLARWLEHELEYQIRTPATKRHQFRLVDTTRIGGTIGYLPPESFQKRSVATTKSDVFSFGVVVLEVVSGRRAVDLTFPDEQIILLDWIRRLSDEDKLLQAGDSRLIDGSYKLADMERFLHIGLLCTLHNPLLRPNMKWVVEVLSGNISGKLPTLPSFESHPLYISLSSSSNTSGSKSTASSRLSTATATTSSVNITVSFASSDYVTATEETIYETAEFGVNGSNLSTSSSRRPTNFFMVDTPREIPFKELIVATDNFAESRRVAELDFGTAYQGFLDNRHHILVKRLGMTKCPALRTRFSSELQNLARLRHRNLVQLRGWCTEQGEMLVVYDYSANQLLSHLLFHHNNITGSSILQWRHRYNIIKSLASAILYLHEEWDEQVIHRNITSSAIILDPDMNPRLSSFALAEFLTRNDHGHHAATNKNKSVRGIFGYMSPEYIESGEATAMADVYSFGVVVLEVVSGYMAADFRQPEVLLVKRVHNFETRKRPFEELVDIRLKEEYNTEEFLRLTKLGIACTRSDPTLRPTIRQIVSILDGNDKSFMEEWQRKEGSEEWKERNACSLSLVRRIHALGLH >KJB73894 pep chromosome:Graimondii2_0_v6:11:59012846:59017634:1 gene:B456_011G259500 transcript:KJB73894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYMRLGSPPLDEGTDQFRGLNLLRLATASPLVRGNDTDQQALLQLKAKITGDQLNIMESWNSSIHLCQWIDVTCGRKHPRVTKLKLRVLKLSRSLSPYIGNLSFLRELNLTGNSFYNQIPQEIGGLRRLEALHLANNSISGEVPSNLSACSKLILVDMSNNQLTSEIPSLLDLLSNLKVLGFINNNLKGSIPPSLGNLSSLEKLGLEDNALSGIIPEAFGRLSNLSFFAISGNAISGIVHVPMFNLSNIRAFDIGINKIQGTLHSDLEINMLYVEFFSVMENQISRQIPISLSNATYLHVLEFSGNRFTGNVPSLEKLDKLSNLELGKNYLGHGREGDLNFLCSLFNNTKLSFLSIGKNNFGGEFPKCISNFSRTLRGLAMGENNILGKIPDGIGNLINLEVLVFSINQLSGPIPFNIGRLQKLKRFFANSNFLSGTIPHSIGNLSELIELNLNFNNLQGSIPSGLVLGLPSLSILLNLSSNYLTGELSVGVEKLKILSILDVSQNRLSGLLPKNLCSCVSLEKLFLEGNLFEGPIPLSLSSLRGLEALDLSDNNLSGGIPKFLMRFGALKYLNLSFNDLEGLIPSEGVFKNTSATFVEGNSKLCGGIPELHLSRCNSKKSSKTSLKLKITIMVVISGVTSVFSIFFIIWFRMKKEQKPMTTHVENSLLQLPYQSILRTTNGFCPQNLVGSGSFGSVYKGILEANGAVIAVKVFNLLNHRASRSFLVECEALKNIRHRNLVKFMENGSLEDWLHPSVGMNKPETMRNLNFFQRLNVAIDVAHALEYLHHRCETPIIHCDLKPSNVLLDGEMVGHISDFGLAKIHSVDKLNYSTNESSSLGLRGTIGYAPPEYGTGSELSTNGYVYSYGILLLEMLTGQRPTNERFKEGLSLNNFVKTALPDRVVEIIDPVLLQENVRGGTAAYITLNENNLGNDIHLQCLNSIFEIGLACSTESPSERMNMSNVITKLCSIRDKLLRPTRLRRGI >KJB69109 pep chromosome:Graimondii2_0_v6:11:463106:467037:-1 gene:B456_011G005600 transcript:KJB69109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVQVQGSGNTNILLCLSKAPSPPFPMLFPLRLHKAKRCCFVAAHSTSSTKATPLSSNHRESGRPLANFPPDIWGDHFLTLSFDISELDRCSTQIEVLKEMVKDMLMTSTTDPLHNILLINSLCRLGVSYHFETEVEQQLTRCFDTLSQLIHNNDYNLHETAIMFQVFRSHGYNMSSDIFNKFKKRNCEFKISDIKEMITLYEAAQFKINGEFILDEAFNFTTTQLKSIVSQASTHYAQYIENALNCPYQKGMKMKQGIIHLKFAKYDFNRIQMMLQQELSSLCSEWKEENMESRFPYARQRIVESFFSATVFYFEPCYARARNIYAKLLSTLAFIDDTYDAYGTYEELQHFTDAMQRFDISVIDELPTDYLKLLYETTLNVHNEIEDKVGKEGRSYAVSYTKNELKEVALAYLVERRWVHGCYMPTFDEYPETALKTCVAILSVCQALVGMEEADETAYQWLINTDNKLHKALNIIARLYDDIATNEAEEKRGLVCGTSCYMKQYGITRQEAVEAYREMIEVAWKDMNEGCLKPMPVSNKVALRPLNFARLVLVAYMKDDGFTRPELSMKDVIAKVLIHPIPL >KJB69108 pep chromosome:Graimondii2_0_v6:11:463007:467119:-1 gene:B456_011G005600 transcript:KJB69108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDMLMTSTTDPLHNILLINSLCRLGVSYHFETEVEQQLTRCFDTLSQLIHNNDYNLHETAIMFQVFRSHGYNMSSDIFNKFKKRNCEFKISDIKEMITLYEAAQFKINGEFILDEAFNFTTTQLKSIVSQASTHYAQYIENALNCPYQKGMKMKQGIIHLKFAKYDFNRIQMMLQQELSSLCSEWKEENMESRFPYARQRIVESFFSATVFYFEPCYARARNIYAKLLSTLAFIDDTYDAYGTYEELQHFTDAMQRFDISVIDELPTDYLKLLYETTLNVHNEIEDKVGKEGRSYAVSYTKNELKEVALAYLVERRWVHGCYMPTFDEYPETALKTCVAILSVCQALVGMEEADETAYQWLINTDNKLHKALNIIARLYDDIATNEAEEKRGLVCGTSCYMKQYGITRQEAVEAYREMIEVAWKDMNEGCLKPMPVSNKVALRPLNFARLVLVAYMKDDGFTRPELSMKDVIAKVLIHPIPL >KJB71440 pep chromosome:Graimondii2_0_v6:11:17423325:17423846:-1 gene:B456_011G123700 transcript:KJB71440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERGKDIAEGSSLIADHHQPPSPSRYESQKRRDWNTFGQYLKNQRPPVPLSQCNSNHVLDFLRYLDQFGKTKVHLQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPESNPFATGAIRVYLREVRESQAKARGIPYKKQKKKPKQDKPSDESSSTLFFS >KJB68809 pep chromosome:Graimondii2_0_v6:11:26446163:26447462:-1 gene:B456_011G153700 transcript:KJB68809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFEDLKQFGDTDKGGAVFDASQYAFFGNDVLEEVEFGG >KJB69423 pep chromosome:Graimondii2_0_v6:11:1640471:1644994:1 gene:B456_011G023000 transcript:KJB69423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFNFFGRLCTAFHDYPSLSKIIVVSTLSSGSLIAYSEANTNNGNRGRVAHADAVASKKKKVVVLGTGWAAMTFLKNLNNSIYEVEVVSPRNFFLFTPLLPSVTCGKVEARSIVEPIRNIIRKKNIDVSYREAECTKIDPANNKIYCRATANTNSKRREEFAIDYDYLIIAVGAQVNTFNTPGVEGNCHFLKEIDDAQKIRRNVIDAFEKASLPNLTEEERKKILHFVVVGGGPTGVEFAAELHDFVNEDVVKLYPMVQNFVKITVLEATDHILNMFDKRITKFAEHKFGRDNIEVKLGSMVTKVTENEICTKAKGSDKTTSMPYGMVLWSTGIGPRPIVKDFMKQIGQGNRRALATDEWLRVEGVGNVYALGDCATINQRKVMEDITEIFKKADADNSGTLTLKEFQDISNDICERYPQMQLYLKSKPVRNIFDRPSQVKGKASKESIELSIEEFKSALSEVDTQLKNLPATAQVANQQGAYLAKCFNRMEECEINPEGPTRIRGTGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSIYASKQVSWRTRALVVSDWIRRFIFGRDTSGI >KJB71772 pep chromosome:Graimondii2_0_v6:11:22287861:22288949:1 gene:B456_011G141400 transcript:KJB71772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPSSYLTTWDFSIDPCDNIGPKFLGILCSSPSNNSKSRITTLELDGAGYDGFLPPRIGTLTELTSLDLSRNRFRGPLPDALKNLNKLTRLSLSGNFFTGGIWTWIYRLKKVERIDLSENCLSGRIPARISKLRRLTQLSLSKNEFSERIPNIYALQKLQILDLDSNMLIGSLPKLPPRLRTLRLSHNKLTGHITSLVNLDHLISVDVSDNWFTGPINRGVLALPRLSHLNVSFNQFTKMEVNNYFGSGSRLRTLDAQRNHLRGHLPVKLVNFERLEVINLAHNQFTGQIPMAYGQRLGRPWRTLFLDYNFLSGRIPPEFGPVAVRIRGSLANNCLSCPLRIPLCRGQQRHASACHGVTDG >KJB72200 pep chromosome:Graimondii2_0_v6:11:32183320:32186239:-1 gene:B456_011G164900 transcript:KJB72200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPREEFPTKGLEGAPSNDIGWHFGTPVPNAKGNIVCKLCSKVVKGGITRFKEHIAHKTGNVAPCPNVIGVIRESMMNMLKEGNTKKIDKKRRKDEFLSQLREEEDEHEEFIDEVSAIRQATRENVYLESEYQRVRDWVNGLKTHWKELGATLMCDGWTNSLNQMHIINFLVYCSKGTIFWKSVDVSSVRSRDAEFYYCLLDLVVEEIGENYIVQIPSVAKVLDEAKKVICFIYNHIWTVDLMKKYTQGKQILRPALTRFATHFIQLEEITRQKQGYFLNPQFQFGVEHSENVLIETLEVFTYYNMRLKMRHQKRMSTDDINASFNPISLDHIFEDVDPLSEWLHEKVNPLLDGENAGVLPVDTSDDEIDVDQSQQQILSQSSSSSTLSQSGDGPDGDGLSPIDVDEWSIFYETIIKNRSMLNYI >KJB69385 pep chromosome:Graimondii2_0_v6:11:1456488:1456880:1 gene:B456_011G020800 transcript:KJB69385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQLVVLALILIVLTGVVSADTSSAGGSPASAPAGASTGGSAASSSPTSQPTTPSLSGDIPIDDP >KJB72221 pep chromosome:Graimondii2_0_v6:11:32740783:32745005:1 gene:B456_011G165900 transcript:KJB72221 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MASNSASQILAITKTAPYSKPRASGFLQSRKPYLNLCCLSQKFSNDNALFCLPNSKSFLLAKELEERNSNNHSPTLREICQDHVPGNVLRRLEEVGYLVPTDVQREALPVLFSGNDCILHAQTGSGKTLTYLLLIYSQINPKKSAVQALIVVPTRELGMQITKVARMLAAARHMDPELEQKSYTVMALLDGGMLRRHKSWLKAEPPAIVVATIGSLSQLLEKQIFKLDSLRVLVVDEVDFLFKSSKQVSSLRKLLTSYSSCNNRQTVFASASILQHRRFIHDCIQQKWTKGDVVHVHVNRIMPMPACLYHRFVICGRKAKHQVLLSLLQSDLPESGIIFVGEQDVRKGDGYLLVSTDIVARGIDLPETTHIYNFDLPKTAIDYLHRAGRTGRKPFSDKKYYVTNIILSEERFVLQRFENELMFHCEELTMETQG >KJB72227 pep chromosome:Graimondii2_0_v6:11:32741262:32744686:1 gene:B456_011G165900 transcript:KJB72227 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MFLTDAVAFQTGRGWIFSANRCTEGSFACSLLWKRLHSSCSGSGKTLTYLLLIYSQINPKKSAVQALIVVPTRELGMQITKVARMLAAARHMDPELEQKSYTVMALLDGGMLRRHKSWLKAEPPAIVVATIGSLSQLLEKQIFKLDSLRVLVVDEVDFLFKSSKQVSSLRKLLTSYSSCNNRQTVFASASILQHRRFIHDCIQQKWTKGDVVHVHVNRIMPMPACLYHRFVICGRKAKHQVLLSLLQSDLPESGIIFVGEQSEKSKKSGQASSTTVLIDFLRASYEGPLDILLLEEDMNFNSRAASLTDVRKGDGYLLVSTDIVARGIDLPETTHIYNFDLPKTAIDYLHRAGRTGRKPFSDKKYYVTNIILSEERFVLQRFENELMFHCEELTMETQG >KJB72223 pep chromosome:Graimondii2_0_v6:11:32740839:32744996:1 gene:B456_011G165900 transcript:KJB72223 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MASNSASQILAITKTAPYSKPRASGFLQSRKPYLNLCCLSQKFSNDNALFCLPNSKSFLLAKELEERNSNNHSPTLREICQDHVPGNVLRRLEEVGYLVPTDVQREALPVLFSGNDCILHAQTGSGKTLTYLLLIYSQINPKKSAVQALIVVPTRELGMQITKVARMLAAARHMDPELEQKSYTVMALLDGGMLRRHKSWLKAEPPAIVVATIGSLSQLLEKQIFKLDSLRVLVVDEVDFLFKSSKQVSSLRKLLTSYSSCNNRQTVFASASILQHRRFIHDCIQQKWTKGDVVHVHVNRIMPMPACLYHRFVICGRKAKHQVLLSLLQSDLPESGIIFVGEQSEKSKKSGQASSTTVLIDFLRASYEGPLDILLLEEDMNFNSRAASLTDVRKGDGYLLVSTDIVARGIDLPETTHIYNFDLPKTAIDYLHRAGRTEAG >KJB72225 pep chromosome:Graimondii2_0_v6:11:32740919:32743218:1 gene:B456_011G165900 transcript:KJB72225 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MASNSASQILAITKTAPYSKPRASGFLQSRKPYLNLCCLSQKFSNDNALFCLPNSKSFLLAKELEERNSNNHSPTLREICQDHVPGNVLRRLEEVGYLVPTDVQREALPVLFSGNDCILHAQTGSGKTLTYLLLIYSQINPKKSAVQALIVVPTRELGMQITKVARMLAAARHMDPELEQKSYTVMALLDGGMLRRHKSWLKAEPPAIVVATIGSLSQLLEKQIFKLDSLRVLVVDEVDFLFKSSKQVSSLRKLLTSYSSCNNRQTVFASASILQHRRFIHDCIQQKWTKGDVVHVHVNRIMPMPACLYHRFVVRKF >KJB72226 pep chromosome:Graimondii2_0_v6:11:32740870:32744686:1 gene:B456_011G165900 transcript:KJB72226 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MASNSASQILAITKTAPYSKPRASGFLQSRKPYLNLCCLSQKFSNDNALFCLPNSKSFLLAKELEERNSNNHSPTLREICQDHVPGNVLRRLEEVGYLVPTDVQREALPVLFSGNDCILHAQTGSGKTLTYLLLIYSQINPKKSAVQALIVVPTRELGMQAEPPAIVVATIGSLSQLLEKQIFKLDSLRVLVVDEVDFLFKSSKQVSSLRKLLTSYSSCNNRQTVFASASILQHRRFIHDCIQQKWTKGDVVHVHVNRIMPMPACLYHRFVICGRKAKHQVLLSLLQSDLPESGIIFVGEQSEKSKKSGQASSTTVLIDFLRASYEGPLDILLLEEDMNFNSRAASLTDVRKGDGYLLVSTDIVARGIDLPETTHIYNFDLPKTAIDYLHRAGRTGRKPFSDKKYYVTNIILSEERFVLQRFENELMFHCEELTMETQG >KJB72224 pep chromosome:Graimondii2_0_v6:11:32740870:32744361:1 gene:B456_011G165900 transcript:KJB72224 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MASNSASQILAITKTAPYSKPRASGFLQSRKPYLNLCCLSQKFSNDNALFCLPNSKSFLLAKELEERNSNNHSPTLREICQDHVPGNVLRRLEEVGYLVPTDVQREALPVLFSGNDCILHAQTGSGKTLTYLLLIYSQINPKKSAVQALIVVPTRELGMQITKVARMLAAARHMDPELEQKSYTVMALLDGGMLRRHKSWLKAEPPAIVVATIGSLSQLLEKQIFKLDSLRVLVVDEVDFLFKSSKQVSSLRKLLTSYSSCNNRQTVFASASILQHRRFIHDCIQQKWTKGDVVHVHVNRIMPMPACLYHRFVICGRKAKHQVLLSLLQSDLPESGIIFVGEQVCMA >KJB72222 pep chromosome:Graimondii2_0_v6:11:32740783:32745005:1 gene:B456_011G165900 transcript:KJB72222 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MASNSASQILAITKTAPYSKPRASGFLQSRKPYLNLCCLSQKFSNDNALFCLPNSKSFLLAKELEERNSNNHSPTLREICQDHVPGNVLRRLEEVGYLVPTDVQREALPVLFSGNDCILHAQTGSGKTLTYLLLIYSQINPKKSAVQALIVVPTRELGMQITKVARMLAAARHMDPELEQKSYTVMALLDGGMLRRHKSWLKAEPPAIVVATIGSLSQLLEKQIFKLDSLRVLVVDEVDFLFKSSKQVSSLRKLLTSYSSCNNRQTVFASASILQHRRFIHDCIQQKWTKGDVVHVHVNRIMPMPACLYHRFVICGRKAKHQVLLSLLQSDLPESGIIFVGEQSEKSKKSGQASSTTVLIDFLRASYEGPLDILLLEEDMNFNSRAASLTDVRKGDGYLLVSTDIVARGIDLPETTHIYNFDLPKTAIDYLHRAGRTGRKPFSDKKYYVTNIILSEERFVLQRFENELMFHCEELTMETQG >KJB72413 pep chromosome:Graimondii2_0_v6:11:41826659:41828462:-1 gene:B456_011G178200 transcript:KJB72413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGFFSKPFFLFLSFLVFFTNLELYPLVESAPDYTTLVYKGCAKQSFTDPAGIYSQALSALFQTLLSQSMKVKFYKTTTGTGQTTITGLFQCRGDLSNSDCYSCASRLPTLADKLCGKTLAARIQLYGCYMLYEVAGFAQISGMEMLFKTCGATNVAGTGFEERRDTAFSVLESGVVSNHGFYTTNYQSVYLLGQCEGDVGDSDCGECVKSAVQKAQVECGSSISGQIYLHKCFISYNYYPNGVPRRSSSSSYPHPSSSSSGTGQNTGKTVAIILGGAASVGFLVILLMFARGVMKKKHDDY >KJB72411 pep chromosome:Graimondii2_0_v6:11:41826700:41828399:-1 gene:B456_011G178200 transcript:KJB72411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGFFSKPFFLFLSFLVFFTNLELYPLVESAPDYTTLVYKGCAKQSFTDPAGIYSQALSALFQTLLSQSMKVKFYKTTTGTGQTTITGLFQCRGDLSNSDCYSCASRLPTLADKLCGKTLAARIQLYGCYMLYEVAGFAQISGMEMLFKTCGATNVAGTGFEERRDTAFSVLESGVVSNHGFYTTNYQSVYLLGQCEGDVGDSDCGECVKSAVQKAQVECGSSISGQIYLHKCFISYNYYPNGVPRRSSSSSYPHPSSSSSGQNTGKTVAIILGGAASVGFLVILLMFARGVMKKKHDDY >KJB72410 pep chromosome:Graimondii2_0_v6:11:41826717:41828399:-1 gene:B456_011G178200 transcript:KJB72410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGFFSKPFFLFLSFLVFFTNLELYPLVESAPDYTTLVYKGCAKQSFTDPAGIYSQALSALFQTLLSQSMKVKFYKTTTGTGQTTITGLFQCRGDLSNSDCYSCASRLPTLADKLCGKTLAARIQLYGCYMLYEVAGFAQISGMEMLFKTCGATNVAGTGFEERRDTAFSVLESGVVSNHGFYTTNYQSVYLLGQCEGDVGDSDCGECVKSAVQKAQVECGSSISGQIYLHKCFISYNYYPNGVPRRSSSSSYPHPSSSSSGTGQNTGKTVAIILGGAASVGFLVILLMFARGVMKKKHDDY >KJB72412 pep chromosome:Graimondii2_0_v6:11:41827314:41828111:-1 gene:B456_011G178200 transcript:KJB72412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGFFSKPFFLFLSFLVFFTNLELYPLVESAPDYTTLVYKGCAKQSFTDPAGIYSQALSALFQTLLSQSMKVKFYKTTTGTGQTTITGLFQCRGDLSNSDCYSCASRLPTLADKLCGKTLAARIQLYGCYMLYEVAGFAQISGMEMLFKTCGATNVAGTGFEERRDTAFSVLESGVVSNHGFYTTNYQSVYLLGQCEGDVGDSDCGECVKSAVQKAQVECGSSISGQIYLHKCFISYNYYPNGVPRRSSSSSYPHPSSSSSGIV >KJB73413 pep chromosome:Graimondii2_0_v6:11:55106251:55116647:-1 gene:B456_011G233300 transcript:KJB73413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MALSMAVSRLRNPVLSRALPSLLRARFLSSFASPTPLSRSSNVERPFKDWDGSCLRSNALSTIIGVYGNSSKFKIGVRHFSSSDLPEHTVLGMPALSPTMSQGNIAKWKKKEGDKIEPGDILCEIETDKATLEFECLEEGFLAKILVPEGSKDVPVGQPIAVMVEDEENITKIPSTLGAGSDVEEKTAHQDVRNSEKEEEPSSTNIKASDLPPHIVIGMPALSPTMNQGNIFKWTKKEGEKIEVGDIICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGQPIAITVEDPDDIEAVKTSASGDSAVKKQEPTQNESKSEVREQKSGFTKISPSAKLLISEYGLDASSLKASGPHGTLLKGDVLAAIKSGKGSPKISPSEKSKPSPQTSSQKSPSVRPESKAPQQSDSFEDLPNTQIRKVIARRLLESKQTTPHLYLSSDVVLDPLLSFRKELKEKHDNKVSVNDIVIKAVAVALKNVPEANAYWDVEKGEIILCDSVDISIAVATEKGLMTPIVRNADQKSISSISSEVKQLAEKARAGKLLPNEFQGGTFSISNLGMFPVDQFCAIINPPQAGILAVGRGNKFVEPVVGSDGIERPAVVTKMNLTLSADHRVFDGKVGGAFVSALKANFSDIRRLLL >KJB73416 pep chromosome:Graimondii2_0_v6:11:55106285:55116619:-1 gene:B456_011G233300 transcript:KJB73416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MALSMAVSRLRNPVLSRALPSLLRARFLSSFASPTPLSRSNVERPFKDWDGSCLSRSNALSTIIGVYGNSSKFKIGVRHFSSSDLPEHTVLGMPALSPTMSQGNIAKWKKKEGDKIEPGDILCEIETDKATLEFECLEEGFLAKILVPEGSKDVPVGQPIAVMVEDEENITKIPSTLGAGSDVEEKTAHQDVRNSEKEEEPSSTNIKASDLPPHIVIGMPALSPTMNQGNIFKWTKKEGEKIEVGDIICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGQPIAITVEDPDDIEAVKTSASGDSAVKKQEPTQNESKSEVREQKSGFTKISPSAKLLISEYGLDASSLKASGPHGTLLKGDVLAAIKSGKGSPKISPSEKSKPSPQTSSQKSPSVRPESKAPQQSDSFEDLPNTQIRKVIARRLLESKQTTPHLYLSSDVVLDPLLSFRKELKEKHDNKVSVNDIVIKAVAVALKNVPEANAYWDVEKGEIILCDSVDISIAVATEKGLMTPIVRNADQKSISSISSEVKQLAEKARAGKLLPNEFQGGTFSISNLGMFPVDQFCAIINPPQAGILAVGRGNKFVEPVVGSDGIERPAVVTKMNLTLSADHRVFDGKVGGAFVSALKANFSDIRRLLL >KJB73414 pep chromosome:Graimondii2_0_v6:11:55106681:55116419:-1 gene:B456_011G233300 transcript:KJB73414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MALSMAVSRLRNPVLSRALPSLLRARFLSSFASPTPLSRSSNVERPFKDWDGSCLSRSNALSTIIGVYGNSSKFKLQIGVRHFSSSDLPEHTVLGMPALSPTMSQGNIAKWKKKEGDKIEPGDILCEIETDKATLEFECLEEGFLAKILVPEGSKDVPVGQPIAVMVEDEENITKIPSTLGAGSDVEEKTAHQDVRNSEKEEEPSSTNIKASDLPPHIVIGMPALSPTMNQGNIFKWTKKEGEKIEVGDIICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGQPIAITVEDPDDIEAVKTSASGDSAVKKQEPTQNESKSEVREQKSGFTKISPSAKLLISEYGLDASSLKASGPHGTLLKGDVLAAIKSGKGSPKISPSEKSKPSPQTSSQKSPSVRPESKAPQQSDSFEDLPNTQIRKVIARRLLESKQTTPHLYLSSDVVLDPLLSFRKELKEKHDNKVSVNDIVIKAVAVALKNVPEANAYWDVEKGEIILCDSVDISIAVATEKGLMTPIVRNADQKSISSISSEVKQLAEKARAGKLLPNEFQGGTFSISNLGMFPVDQFCAIINPPQAGILAVGRGNKFVEPVVGSDGIERPAVVTKMNLTLSADHRVFDGKVGGAFVSALKANFSDIRRLLL >KJB73420 pep chromosome:Graimondii2_0_v6:11:55106289:55116572:-1 gene:B456_011G233300 transcript:KJB73420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MALSMAVSRLRNPVLSRALPSLLRARFLSSFASPTPLSRSSNVERPFKDWDGSCLRSNALSTIIGVYGNSSKFKLQIGVRHFSSSDLPEHTVLGMPALSPTMSQGNIAKWKKKEGDKIEPGDILCEIETDKATLEFECLEEGFLAKILVPEGSKDVPVGQPIAVMVEDEENITKIPSTLGAGSDVEEKTAHQDVRNSEKEEEPSSTNIKASDLPPHIVIGMPALSPTMNQGNIFKWTKKEGEKVKVGDIICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGQPIAITVEDPDDIEAVKTSASGDSAVKKQEPTQNESKSEVREQKSGFTKISPSAKLLISEYGLDASSLKASGPHGTLLKGDVLAAIKSGKGSPKISPSEKSKPSPQTSSQKSPSVRPESKAPQQSDSFEDLPNTQIRKVIARRLLESKQTTPHLYLSSDVVLDPLLSFRKELKEKHDNKVSVNDIVIKAVAVALKNVPEANAYWDVEKGEIILCDSVDISIAVATEKGLMTPIVRNADQKSISSISSEVKQLAEKARAGKLLPNEFQGGTFSISNLGMFPVDQFCAIINPPQAGILAVGRGNKFVEPVVGSDGIERPAVVTKMNLTLSADHRVFDGKVGGAFVSALKANFSDIRRLLL >KJB73421 pep chromosome:Graimondii2_0_v6:11:55110199:55116572:-1 gene:B456_011G233300 transcript:KJB73421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MALSMAVSRLRNPVLSRALPSLLRARFLSSFASPTPLSRSSNVERPFKDWDGSCLRSNALSTIIGVYGNSSKFKLQIGVRHFSSSDLPEHTVLGMPALSPTMSQGNIAKWKKKEGDKIEPGDILCEIETDKATLEFECLEEGFLAKILVPEGSKDVPVGQPIAVMVEDEENITKIPSTLGAGSDVEEKTAHQDVRNSEKEEEPSSTNIKASDLPPHIVIGMPALSPTMNQGNIFKWTKKEGEKIEVGDIICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGQPIAITVEDPDDIEAVKTSASGDSAVKKQEPTQNESKSEVREQKSGFTKISPSAKLLISEYGLDASSLKASGPHGTLLKGDVLAAIKSGKGSPKISPSEKSKPSPQTSSQKSPSVRPESKAPQQSDSFEDLPNTQIRKVRFIDLL >KJB73419 pep chromosome:Graimondii2_0_v6:11:55107585:55116419:-1 gene:B456_011G233300 transcript:KJB73419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MALSMAVSRLRNPVLSRALPSLLRARFLSSFASPTPLSRSSNVERPFKDWDGSCLRSNALSTIIGVYGNSSKFKLQIGVRHFSSSDLPEHTVLGMPALSPTMSQGNIAKWKKKEGDKIEPGDILCEIETDKATLEFECLEEGFLAKILVPEGSKDVPVGQPIAVMVEDEENITKIPSTLGAGSDVEEKTAHQDVRNSEKEEEPSSTNIKASDLPPHIVIGMPALSPTMNQGNIFKWTKKEGEKIEVGDIICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGQPIAITVEDPDDIEAVKTSASGDSAVKKQEPTQNESKSEVREQKSGFTKISPSAKLLISEYGLDASSLKASGPHGTLLKGDVLAAIKSGKGSPKISPSEKSKPSPQTSSQKSPSVRPESKAPQQSDSFEDLPNTQIRKVIARRLLESKQTTPHLYLSSDVVLDPLLSFRKELKEKHDNKVSVNDIVIKAVAVALKNVPEANAYWDVEKGEIILCDSVDISIAVATEKGLMTPIVRNADQKSISSISSEVKQLAEKARAGKLLPNEFQGGTFRYGFYLYIWDVSSHTAFLIVGRNIEKF >KJB73415 pep chromosome:Graimondii2_0_v6:11:55106681:55116419:-1 gene:B456_011G233300 transcript:KJB73415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MALSMAVSRLRNPVLSRALPSLLRARFLSSFASPTPLSRSNVERPFKDWDGSCLSRSNALSTIIGVYGNSSKFKLQIGVRHFSSSDLPEHTVLGMPALSPTMSQGNIAKWKKKEGDKIEPGDILCEIETDKATLEFECLEEGFLAKILVPEGSKDVPVGQPIAVMVEDEENITKIPSTLGAGSDVEEKTAHQDVRNSEKEEEPSSTNIKASDLPPHIVIGMPALSPTMNQGNIFKWTKKEGEKIEVGDIICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGQPIAITVEDPDDIEAVKTSASGDSAVKKQEPTQNESKSEVREQKSGFTKISPSAKLLISEYGLDASSLKASGPHGTLLKGDVLAAIKSGKGSPKISPSEKSKPSPQTSSQKSPSVRPESKAPQQSDSFEDLPNTQIRKVIARRLLESKQTTPHLYLSSDVVLDPLLSFRKELKEKHDNKVSVNDIVIKAVAVALKNVPEANAYWDVEKGEIILCDSVDISIAVATEKGLMTPIVRNADQKSISSISSEVKQLAEKARAGKLLPNEFQGGTFSISNLGMFPVDQFCAIINPPQAGILAVGRGNKFVEPVVGSDGIERPAVVTKMNLTLSADHRVFDGKVGGAFVSALKANFSDIRRLLL >KJB73412 pep chromosome:Graimondii2_0_v6:11:55106251:55116592:-1 gene:B456_011G233300 transcript:KJB73412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MALSMAVSRLRNPVLSRALPSLLRARFLSSFASPTPLSRSSNVERPFKDWDGSCLRSNALSTIIGVYGNSSKFKLQIGVRHFSSSDLPEHTVLGMPALSPTMSQGNIAKWKKKEGDKIEPGDILCEIETDKATLEFECLEEGFLAKILVPEGSKDVPVGQPIAVMVEDEENITKIPSTLGAGSDVEEKTAHQDVRNSEKEEEPSSTNIKASDLPPHIVIGMPALSPTMNQGNIFKWTKKEGEKIEVGDIICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGQPIAITVEDPDDIEAVKTSASGDSAVKKQEPTQNESKSEVREQKSGFTKISPSAKLLISEYGLDASSLKASGPHGTLLKGDVLAAIKSGKGSPKISPSEKSKPSPQTSSQKSPSVRPESKAPQQSDSFEDLPNTQIRKVIARRLLESKQTTPHLYLSSDVVLDPLLSFRKELKEKHDNKVSVNDIVIKAVAVALKNVPEANAYWDVEKGEIILCDSVDISIAVATEKGLMTPIVRNADQKSISSISSEVKQLAEKARAGKLLPNEFQGGTFSISNLGMFPVDQFCAIINPPQAGILAVGRGNKFVEPVVGSDGIERPAVVTKMNLTLSADHRVFDGKVGGAFVSALKANFSDIRRLLL >KJB73418 pep chromosome:Graimondii2_0_v6:11:55106289:55116572:-1 gene:B456_011G233300 transcript:KJB73418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MALSMAVSRLRNPVLSRALPSLLRARFLSSFASPTPLSRSNVERPFKDWDGSCLRSNALSTIIGVYGNSSKFKLQIGVRHFSSSDLPEHTVLGMPALSPTMSQGNIAKWKKKEGDKIEPGDILCEIETDKATLEFECLEEGFLAKILVPEGSKDVPVGQPIAVMVEDEENITKIPSTLGAGSDVEEKTAHQDVRNSEKEEEPSSTNIKASDLPPHIVIGMPALSPTMNQGNIFKWTKKEGEKIEVGDIICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGQPIAITVEDPDDIEAVKTSASGDSAVKKQEPTQNESKSEVREQKSGFTKISPSAKLLISEYGLDASSLKASGPHGTLLKGDVLAAIKSGKGSPKISPSEKSKPSPQTSSQKSPSVRPESKAPQQSDSFEDLPNTQIRKVIARRLLESKQTTPHLYLSSDVVLDPLLSFRKELKEKHDNKVSVNDIVIKAVAVALKNVPEANAYWDVEKGEIILCDSVDISIAVATEKGLMTPIVRNADQKSISSISSEVKQLAEKARAGKLLPNEFQGGTFSISNLGMFPVDQFCAIINPPQAGILAVGRGNKFVEPVVGSDGIERPAVVTKMNLTLSADHRVFDGKVGGAFVSALKANFSDIRRLLL >KJB73417 pep chromosome:Graimondii2_0_v6:11:55106285:55116647:-1 gene:B456_011G233300 transcript:KJB73417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MALSMAVSRLRNPVLSRALPSLLRARFLSSFASPTPLSRSSNVERPFKDWDGSCLSRSNALSTIIGVYGNSSKFKIGVRHFSSSDLPEHTVLGMPALSPTMSQGNIAKWKKKEGDKIEPGDILCEIETDKATLEFECLEEGFLAKILVPEGSKDVPVGQPIAVMVEDEENITKIPSTLGAGSDVEEKTAHQDVRNSEKEEEPSSTNIKASDLPPHIVIGMPALSPTMNQGNIFKWTKKEGEKIEVGDIICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGQPIAITVEDPDDIEAVKTSASGDSAVKKQEPTQNESKSEVREQKSGFTKISPSAKLLISEYGLDASSLKASGPHGTLLKGDVLAAIKSGKGSPKISPSEKSKPSPQTSSQKSPSVRPESKAPQQSDSFEDLPNTQIRKVIARRLLESKQTTPHLYLSSDVVLDPLLSFRKELKEKHDNKVSVNDIVIKAVAVALKNVPEANAYWDVEKGEIILCDSVDISIAVATEKGLMTPIVRNADQKSISSISSEVKQLAEKARAGKLLPNEFQGGTFSISNLGMFPVDQFCAIINPPQAGILAVGRGNKFVEPVVGSDGIERPAVVTKMNLTLSADHRVFDGKVGGAFVSALKANFSDIRRLLL >KJB72698 pep chromosome:Graimondii2_0_v6:11:45752046:45756579:1 gene:B456_011G191300 transcript:KJB72698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPQCCSNPPILDPASGAGHVEKLAGFNTYVSGSIQSNLTILLVSDIHGYEAPNLRKLADKVAAAGFYVVAPDFFYGEPFVRDKPDRPFPAWIKDHGPDKGFEDAKLIIDALKSKGVSSIGAAGFCWGAKVVVELAKVALIQAAVMLHPSFVTVDDIKSVKVPIAILGAEIDNLSPPELVKQFDEILKVDRFVKIFPKCAHGWTVRYDVNDTTAVSCANEAHQDMLEWFAKYVK >KJB72699 pep chromosome:Graimondii2_0_v6:11:45752046:45756609:1 gene:B456_011G191300 transcript:KJB72699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPQCCSNPPILDPASGAGHVEKLAGFNTYVSGSIQSNLTILLVSDIHGYEAPNLRKLADKVAAAGFYVVAPDFFYGEPFVRDKPDRPFPAWIKDHGPDKGFEDAKLIIDALKSKGVSSIGAAGFCWGAKVVVELAKVALIQAAVMLHPSFVTVDDIKSVKVPIAILGAEIDNLSPPELVKQFDEILKASEVDRFVKIFPKCAHGWTVRYDVNDTTAVSCANEAHQDMLEWFAKYVK >KJB72697 pep chromosome:Graimondii2_0_v6:11:45752233:45755611:1 gene:B456_011G191300 transcript:KJB72697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPQCCSNPPILDPASGAGHVEKLAGFNTYVSGSIQSNLTILLVSDIHGYEAPNLRKLADKVAAAGFYVVAPDFFYGEPFVRDKPDRPFPAWIKDHGPDKGFEDAKLIIDALKSKGVSSIGAAGFCWGAKVVVELAKVALIQAAVMLHPSFVTVDDIKSVKVPIAILGAEIDNLSPPELVKQFDEILKASEV >KJB70633 pep chromosome:Graimondii2_0_v6:11:8665579:8677110:-1 gene:B456_011G084400 transcript:KJB70633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSNNNNGAQIAPPGTGGSTIPPPPAAQPSYTVLAPQSTPQDAEAKLEEKARKWMQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVHAWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLCVYHTPMVMYIKTEDPDLPAFYYDPLIHPITTTNKERREKKIYDDDDEDDFVLPEGVEPLLNDTQLYTDTTAAGVSLLFAPRPFNMRSGRMRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWVEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSEGQCVVMLQTKFEKFFDKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDRVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDMFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGFTYIMPKNILKKFICIADLRTQIAGYLYGISPPDNPQVKEIRCIAMPPQWGTHQQVNLPSALPEHDFLNDLEPLGWLHTQPNELPQLSPQDVTSHSRILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRVNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMIPDNGPWNYNFMGVKHTVSMKYGVKLGTPKEYYNEEHRPTHFLEFSNLEEGDTAEGDREDTFT >KJB70632 pep chromosome:Graimondii2_0_v6:11:8665848:8677110:-1 gene:B456_011G084400 transcript:KJB70632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSNNNNGAQIAPPGTGGSTIPPPPAAQPSYTVLAPQSTPQDAEAKLEEKARKWMQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVHAWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLCVYHTPMVMYIKTEDPDLPAFYYDPLIHPITTTNKERREKKIYDDDDEDDFVLPEGVEPLLNDTQLYTDTTAAGVSLLFAPRPFNMRSGRMRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWVEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSEGQCVVMLQTKFEKFFDKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDRVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDMFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGFTYIMPKNILKKFICIADLRTQIAGYLYGISPPDNPQVKEIRCIAMPPQWGTHQQVNLPSALPEHDFLNDLEPLGWLHTQPNELPQLSPQDVTSHSRILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRVNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMIPDNGPWNYNFMGVKHTVSMKYGVKLGTPKEYYNEEHRPTHFLEFSNLEEGDTAEGDREDTFT >KJB70986 pep chromosome:Graimondii2_0_v6:11:10942160:10943344:1 gene:B456_011G098500 transcript:KJB70986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKTRGTPKQMKTSNLCMPRWKGNNKNKRVSPMNLLDRFREAVFRLIMLSALTKAAATHPHTTPAASGAPRRYYQPADTHHSEAVADCIEFIKKKSSREENRVSGASSCTSEVVMAVPVMI >KJB71968 pep chromosome:Graimondii2_0_v6:11:25488678:25491511:-1 gene:B456_011G150900 transcript:KJB71968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVSNGTLEHLDVGLSYSKDSEDRTASVSGVVPTEAETLKGVPEESREVGAGASAAECSVEQPTNTNGNEEGKLNANLLDGLILPSEQAAGEVLRTVPTSEKTLGLTLDIFQLNEDFSDRLASKTSMNETGEQEETGGNGNPGTGIERNVIDIVTLNSEGITSVTESAEKTVEPSVEVHDSVLQDKPDTALTNQLYEDIEIDASYMHVAKDSYELGGNHEAIVKEVLFEGKAGLLQDRKWSDELPPVDADSTENEKDQEVGSLQEQQPVYVADDLDPTGFLGSMINDLPEGKPLVVDADIEAGRLNNVVGEDVICVPNDNLGRIDDQTYVKNSSCESMNNSSPSHTNPASNLLEVDNSDDIGEKKTEKHDINVVESGDEIEDLIKANSTSQSPDVIEEVNKTKGPHLDMVSDREYEELELSMDYKIQGEGAGKDLVSFAVDNNGGGNGFERTSIDQSKKELMHSLSYSNPTSQNSGAVDDNHTRESGLDAFGTSTVILQGEADNGPIKPQPDTTVGDVSIGSSSHTDSLEAHWGSISVLSTQSDAFSEAEKTKKSKAVSKQHFDTSDEFEPPSFMTLVEPGGSNEKSTISEIQAVQTAESTRTIPLQAGWFPSVTHGANESLGRKKNEEIIKKVTNWNAKQHTPLKNLLSESNSETKPMSPNSKQSATPVVGRNYDKVGEDNEDMGGKASSTAGPETPVAEPTNIEVEKEWSSPARFPAEIKRGKRRVKGRPLWFQFVCCSSIN >KJB71967 pep chromosome:Graimondii2_0_v6:11:25488605:25493031:-1 gene:B456_011G150900 transcript:KJB71967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGRHHSSGHESHGVHLCHKCGWPFPNPHPSAKHRRAHKKICGTIEGFKLVPSAEQITRTNGSDDEPVPYDDHKNPNAAMEFQDGRFGVGRQDSLDNVGKPDTVAEKDLPATISLKHLEDSDIVQPPQNSAESSQNKNPFTKSMLFVSNGTLEHLDVGLSYSKDSEDRTASVSGVVPTEAETLKGVPEESREVGAGASAAECSVEQPTNTNGNEEGKLNANLLDGLILPSEQAAGEVLRTVPTSEKTLGLTLDIFQLNEDFSDRLASKTSMNETGEQEETGGNGNPGTGIERNVIDIVTLNSEGITSVTESAEKTVEPSVEVHDSVLQDKPDTALTNQLYEDIEIDASYMHVAKDSYELGGNHEAIVKEVLFEGKAGLLQDRKWSDELPPVDADSTENEKDQEVGSLQEQQPVYVADDLDPTGFLGSMINDLPEGKPLVVDADIEAGRLNNVVGEDVICVPNDNLGRIDDQTYVKNSSCESMNNSSPSHTNPASNLLEVDNSDDIGEKKTEKHDINVVESGDEIEDLIKANSTSQSPDVIEEVNKTKGPHLDMVSDREYEELELSMDYKIQGEGAGKDLVSFAVDNNGGGNGFERTSIDQSKKELMHSLSYSNPTSQNSGAVDDNHTRESGLDAFGTSTVILQGEADNGPIKPQPDTTVGDVSIGSSSHTDSLEAHWGSISVLSTQSDAFSEAEKTKKSKAVSKQHFDTSDEFEPPSFMTLVEPGGSNEKSTISEIQAVQTAESTRTIPLQAGWFPSVTHGANESLGRKKNEEIIKKVTNWNAKQHTPLKNLLSESNSETKPMSPNSKQSATPVVGRNYDKVGEDNEDMGGKASSTAGPETPVAEPTNIEVEKEWSSPARFPAEIKRGKRRVKGRPLWFQFVCCSSIN >KJB72275 pep chromosome:Graimondii2_0_v6:11:34141966:34145077:-1 gene:B456_011G168100 transcript:KJB72275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTRIQNLNIRYYTPSPPNPILNLIHMDRGISLDDDMSVHLQINELRKLSETCGSNTIFEPQSSCEARECNAESVPSAPVLRAPEKKLTLFALRLSIFEKSATGLGTLGFIWATVVLLGGFAITLDKTDFWFITIILLIEGTRIFSRSHELEWQHQATWSITDAGISSFRALRSSSHILIKAVKQTFNPLSLIRKPSHNTREVTQVDHLHVGKWNHSRTPTRTWISSDVPLLPYGKWVFLSRNISKVLYWLQLLSATACVVLSSTRLVNHNYGEVQKGDSDKRNRQSALNIFYALALAEALLFLTEKAYWEWKVIYCKLLEEVNKECELGPSGMVSIKRFFYDAYSRCVNGSIFDGLKMDMVTFAIDLLASSSPDEQLIGARILRQFAISARYSDDTLQKIGVNLSVVERLVEMLNWKDPQEEEIRRSAAEILSKLAGKRQNCLRVAGIPGAMESISSLLQTNRSSGDGADEIGEKNLILDHANYSFWTFNHLGLLILKKLACDHDNCGKIGNTRGLLPKIIDFTHAGEKLLRDKNVAPSQILTVKRCLQLVQLLASTTGATGKHLRKEISEVVFTISNIRDILRHGEKHPTLQKLSIEILTNLALEEEARERIGGTGGVLKELFTIFLNQGMPEHQNQVRRSAGEALAMLALESRANCHRILRLQVLERLIAALEFPMLRVTAARILRNLCTYRGSDCFYLLKGVIAAAPTVLKAIMSEENKLQEVMVGLAAQVFNHMTSNESSIMFERAGIKEEELAKALVQILEKYRHPSAKVPRIRRFTIELAIWMMHDNVKNVYIFKDLGMEKELEGVLETTAELESFNIFSGSVGLSRHNTTIHSLVETALNLLKDQ >KJB73964 pep chromosome:Graimondii2_0_v6:11:59537252:59538512:1 gene:B456_011G2642001 transcript:KJB73964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DTFPVLEELTLTTNDMVKGICDGQLSLQCFQNLKHLNLQFFPETSTTLPYSFIRSLPKLHKLVVDNASICQIVQSEGLSDQERHTSAFYQLKELSLSQLPELTLKTFEPSLLSFKNLTTLKVSRCHGFINLIACSTAKCLTLLERLSIDDCEMIEEIITCEAEEIQGGIVFPKLNFSLAHHSLEFQVLLMVMVTKCPQMRNFCQGDLSTPRLEQMHLTRDEEGELQWKGDLNTTIKHMFDEMNVQNSDVTEATDQLPKLE >KJB73963 pep chromosome:Graimondii2_0_v6:11:59537252:59538867:1 gene:B456_011G2642001 transcript:KJB73963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DTFPVLEELTLTTNDMVKGICDGQLSLQCFQNLKHLNLQFFPETSTTLPYSFIRSLPKLHKLVVDNASICQIVQSEGLSDQERHTSAFYQLKELSLSQLPELTLKTFEPSLLSFKNLTTLKVSRCHGFINLIACSTAKCLTLLERLSIDDCEMIEEIITCEAEEIQGGIVFPKLNFSLAHHSLEFQVLLMVMVTKCPQMRNFCQGDLSTPRLEQMHLTRDEEGELQWKGDLNTTIKHMFDEMNVQNSDVTEATDQLPKLE >KJB69843 pep chromosome:Graimondii2_0_v6:11:3486083:3487788:-1 gene:B456_011G045500 transcript:KJB69843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPIFLAGFLVLSCMAITMQRNLDESDIVMEEGELLGLFDVIGSLLDEPGWAEVHPEPCTNTPWPGIECEIGQDPPIFHVTAIHIGPDVATPPCQPSAKISDSLLKLPYLRTLSIFNCFVTSTVMLSPTLFGALSSLEHLSLQSNPSLSGNVPPSLGNLTRLRVLSLSQNNLQGNIPGELGWLVNLEQLDLSYNNLSGEIPQEIEGLKTLAILELSSNDLTGVLPFALGQLQHLQKVDLCSNRIHGKVPPELGKLNSFSGCGLIGPIPNSLSSLKNLSALSLDNNTLTGTIPSNLGSLPNLDQLNLSHNKLSGELLLPQDFINRLGKRLDVRGNNGLCISYHLASNISTYLQTRTCLGNEGKVDNITCPQPYDLKGKKPSWYNGSRTSSFAPSKAPQLILICFLLFNMLSFL >KJB69577 pep chromosome:Graimondii2_0_v6:11:2333234:2335040:-1 gene:B456_011G031200 transcript:KJB69577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRGHTDANIVIMLVGNKADLRHLRAVSIDDAKAFAERENTFFMETSALESLNVESAFTEVLAQIYRVVSKKALDVGDDPSALPKGQTINVGSKDDVSAVKGAGCCSA >KJB73433 pep chromosome:Graimondii2_0_v6:11:55711017:55713997:1 gene:B456_011G235800 transcript:KJB73433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAKALAKEIVMSRKAVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPQMAATMQEFSKEMIKAGVIEEMVNDALDSALDSEDIEVETEEEVDKVLSEIAGETAAELPEAVRKERVRVSAHKESTSREEEAIVEGANDEEELEEIRARLARVRS >KJB73431 pep chromosome:Graimondii2_0_v6:11:55710496:55713997:1 gene:B456_011G235800 transcript:KJB73431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFKNMLKPKPNPQQLLRDWQRKLRQECRNIERQIRDVQREEKGVQKAIREAAKRNDMGSAKALAKEIVMSRKAVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPQMAATMQEFSKEMIKAGVIEEMVNDALDSALDSEDIEVETEEEVDKVLSEIAGETAAELPEAVRKERVRVSAHKESTSREEEAIVEGANDEEELEEIRARLARVRS >KJB73434 pep chromosome:Graimondii2_0_v6:11:55711017:55713997:1 gene:B456_011G235800 transcript:KJB73434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAKALAKEIVMSRKAVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPQMAATMQEFSKEMIKAGVIEEMVNDALDSALDSEDIEVETEEEVDKVLSEIAGETAAELPEAVRKERVRVSAHKESTSREEEAIVEGANDEEELEEIRARLARVRS >KJB73432 pep chromosome:Graimondii2_0_v6:11:55711017:55713997:1 gene:B456_011G235800 transcript:KJB73432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAKALAKEIVMSRKAVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPQMAATMQEFSKEMIKAGVIEEMVNDALDSALDSEDIEVETEEEVDKVLSEIAGETAAELPEAVRKERVRVSAHKESTSREEEAIVEGANDEEELEEIRARLARVRS >KJB73430 pep chromosome:Graimondii2_0_v6:11:55710949:55713997:1 gene:B456_011G235800 transcript:KJB73430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFKNMLKPKPNPQQLLRDWQRKLRQECRNIERQIRDVQREEKGVQKAIREAAKRNDMGSAKALAKEIVMSRKAVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPQMAATMQEFSKEMIKAGVIEEMVNDALDSALDSEDIEVETEEEVDKVLSEIAGETAAELPEAVRKERVRVSAHKESTSREEEAIVEGANDEEELEEIRARLARVRS >KJB73820 pep chromosome:Graimondii2_0_v6:11:58423464:58426790:1 gene:B456_011G254900 transcript:KJB73820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTTASVFLLFIIFSVTLSSILFGVANATNDPVLDIDGNEVQTGTPYYVVSSIWGAGGGGLALGRPSGNKCPEVVTQRRSGDNGIPVIFSNSDSNDGVVRQSSDINIEFIPLRPKLCRTTTVWKVDDYDHSAGKWWVITDGVKGNPGANTLTSWFRIEKGGVLGYKFKYCPAVCGTCPALCNEIGRDSDGDMVRLALSTDNGWPFIFKKKESSLRGIQQVIRT >KJB70932 pep chromosome:Graimondii2_0_v6:11:10600044:10601721:1 gene:B456_011G096500 transcript:KJB70932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATLSTAAFFAALVKVPLKVLADAANVSDVVNSGVFCSTCESAAKMIITGFSLSTLPNTLILGIPLLKAMYGDEPAGLLAQIVVLQSLIWYNLLLFMFEFNAAKPASEITPTSEDIEDTEGQGKEEKKRQKPEQANPRLCSFSRLWKRSWWEIKFPAIIQNSLSILASGGLGMSMISLFMASQRSRIACGIRMTAVAMVMKFMAALPPGVVPFVFAKEFEFHPDILSAGVIFGMLIALPVALIYYLVLAL >KJB71939 pep chromosome:Graimondii2_0_v6:11:24253586:24254719:1 gene:B456_011G148800 transcript:KJB71939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEVVKRRRNILLKQKFFKRNGGLLLQQHLSSNTSYFETIKMFTSKEIEKATDYYNENRILGQGGQGTVYKGMLTDGSIVAVKKSRMEEGKKFGEKKVEQFINEVIILSQINHRNVVKLLGCCLEAETPLLVYEFIPNGTLYDLIHGQNEELLLTWEMRLRIATEIANALFYLHSAASVSIYHRDIKSSNILLDDKYKAKVSDFGTLRSIALEQTHLTTRVQGTFGYMDPEYFRSNQFTEKSDVYSFGVVLVELLIGEKPITSKQSDEEISLVSLFLLSMQENSLSDILDSKVANDGLEKEIIAVAKLAKRCLNLNGKKRPTMEQVAMELELIKASEEGNVIEGSGDEESEIDDIFESWDINPSCSMTRSTTTDNLT >KJB73704 pep chromosome:Graimondii2_0_v6:11:57107482:57118393:1 gene:B456_011G244900 transcript:KJB73704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLRTAVNKAVEVGNNNNITRNIKNYADTVVHHAGQAVAEGAKLFQDRIGSHSIRSVRQTVKRLEEAAISCRGFERAMLLRRWLVALKEIEKLSEGSQNSLEQVLASDEAKDTPKRPSLVLYYDSDFGDAPMNFQEVFLQSQALECITISMIIEAPNEEEISLLQEMFRLCLTGGKEVHNAVVSSIQDLAFAFSSYQDEVLVKREELLQFVQSAITGLKTSADLLRSDIEASDLKKKLNKLSTRKEGHDNASEKTAKVTIEALREALADIRNCSTLEKILLKKKSLNNGDSPEIHAQKVDKLKILSESLASSCAKAEKRISDHRLQKEEALTVRVAKANEAEGKEKEIVAEISVLEKQRDELEAELKKVNISLAAANVRLRDVREERDQFNEANNQIVSHLKTKEDELSNSISACRAEAEVLHTWINFLEDTWLIQSTYAETKNKQVDEELEQHEDFFVDLALNLLSDYKKELTPSISRIGKFVENLKKLNERSEKASGPSDEDAKELNPRKHLEEEYLDYEAKIITTFSVVDNMKDQFCKHETLSRKGDPKVKELFNDIEKLRVEFEAIERPTLEIETPKIDTPNENMQMVPESTQPKPDNTKAKTEAQPLLDPAAELAKLESEFGKGSQDYSTEEIGDWEFDELERELRAGDTAPGK >KJB73805 pep chromosome:Graimondii2_0_v6:11:58217249:58219496:1 gene:B456_011G253600 transcript:KJB73805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHHHFSHQHPLEFMEEHNIKSEKANCSGCGEQVSGPSYSCTECEFYLDKKCFEASPEVNHPFHPKHSLKLLASPPYIGSWAICAFCDRRCENFVYHCSCKLDLHVKCALFSKTIAENKIGELEGVSQNDLLVSSENGSEELEETECFAFHESCISLQPIIKRFPRHGHSISHTFILGKYEIKSGKCKICHEEVNSKHGCYCCSDCNYIVHTNCGIEDYRWYKIFDDGSEEKGELLNNSAFDVIKEAKLGENDVIPTEIKHLSHPHNLIFSNDVKDDKYCDGCVLFISTSFYHCAQCDFFLHKSCAKLPKKMYDWDHIHQRPLTLDLQAVLLCRLCGFEFNNCFSYSCNVCKDHHTCVPCIKTSDTFTCQGHEHRLFFYEKYEGQCNGCGENLENAYACKECKFALEYNCLTLPDKIQHKCDEHPLMLTYVEDNIYSKYHYCDICERRRNASRWFYRCAICDNSAHTDCVIDAYSYMKLGKTYTAKDHPHPLTFTRKIYDYPPECHICEEHCEDLSAECLENGCNYIVHWKCIDPYRKDILQWLRWRHMGEDKEVHD >KJB73660 pep chromosome:Graimondii2_0_v6:11:56961651:56965404:-1 gene:B456_011G242700 transcript:KJB73660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRRGSGTRLYVGHLSSRTRSRDLEDMFSRYGRIRDVDMKRDYAFVEFSDPRDADDARYSLNGRDLDGSRIIVEFAKGVPRGPGGSRDYLGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPRRGRSRSRSYSRRSRSPVKRERIFEREDKRSRSPKRHRGSPSPSRGRKHSPVPDERSPQEKGSPSPREGKGRLNNGSDYSASPRGRNRSPDREADAEDKDYKNPAKEKENGQSRSPSPLPREDRSPIYDDDDNHASPRRSESN >KJB73655 pep chromosome:Graimondii2_0_v6:11:56962005:56963916:-1 gene:B456_011G242700 transcript:KJB73655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDYAFVEFSDPRDADDARYSLNGRDLDGSRIIVEFAKGVPRGPGGSRDYLGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPRRGRSRSRSYSRSRSRSPVKRERIFEREDKRSRSPKRHRGSPSPSRGRKHSPVPDERSPQEKGSPSPREGKGRLNNGSDYSASPRGRNRSPDREADAEDKDYKNPAKEKENGQSRSPSPLPREDRSPIYDDDDNHASPRRSESN >KJB73657 pep chromosome:Graimondii2_0_v6:11:56962005:56963916:-1 gene:B456_011G242700 transcript:KJB73657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDYAFVEFSDPRDADDARYSLNGRDLDGSRIIVEFAKGVPRGPGGSRDYLGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPRRGRSRSRSYSRSRSRSPVKRERIFEREDKRSRSPKRHRGSPSPSRGRKHSPVPDERSPQEKGSPSPREGKGRLNNGSDYSASPRGRNRSPDREADAEDKDYKNPAKEKENGQSRSPSPLPREDRSPIYDDDDNHASPRRSESN >KJB73659 pep chromosome:Graimondii2_0_v6:11:56961712:56965345:-1 gene:B456_011G242700 transcript:KJB73659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDYAFVEFSDPRDADDARYSLNGRDLDGSRIIVEFAKGVPRGPGGSRDYLGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPRRGRSRSRSYSRSRSRSPVKRERIFEREDKRSRSPKRHRGSPSPSRGRKHSPVPDERSPQEKGSPSPREGKGRLNNGSDYSASPRGRNRSPDREADAEDKDYKNPAKEKENGQSRSPSPLPREDRSPIYDDDDNHASPRRSESN >KJB73656 pep chromosome:Graimondii2_0_v6:11:56961651:56965408:-1 gene:B456_011G242700 transcript:KJB73656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRRGSGTRLYVGHLSSRTRSRDLEDMFSRYGRIRDVDMKRDYAFVEFSDPRDADDARYSLNGRDLDGSRIIVEFAKGVPRGPGGSRDYLGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPRRGRSRSRSYSRSRSRSPVKRERIFEREDKRSRSPKRHRGSPSPSRGRKHSPVPDERSPQEKGSPSPREGKGRLNNGSDYSASPRGRNRSPDREADAEDKDYKNPAKEKENGQSRSPSPLPREDRSPIYDDDDNHASPRRSESN >KJB73658 pep chromosome:Graimondii2_0_v6:11:56961712:56965386:-1 gene:B456_011G242700 transcript:KJB73658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDYAFVEFSDPRDADDARYSLNGRDLDGSRIIVEFAKGVPRGPGGSRDYLGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPRRGRSRSRSYSRRSRSPVKRERIFEREDKRSRSPKRHRGSPSPSRGRKHSPVPDERSPQEKGSPSPREGKGRLNNGSDYSASPRGRNRSPDREADAEDKDYKNPAKEKENGQSRSPSPLPREDRSPIYDDDDNHASPRRSESN >KJB70758 pep chromosome:Graimondii2_0_v6:11:9631265:9639510:1 gene:B456_011G090400 transcript:KJB70758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYPRSGQFSKLPNRKCIPVRIPSIVISKYDKRDPILSFLHLGVEAKSSLVRFSYRFIFHHLHRKSRDSIFQLQNPFQPPHAESIEIPKLYPKYF >KJB72188 pep chromosome:Graimondii2_0_v6:11:33028471:33030254:-1 gene:B456_011G166500 transcript:KJB72188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLDHPLGDYCEEEINEFASWLGGSYATDVTKPLDGVLTIPLANGDNMNLHMSKKVHKEFASKLFALYRIIRKAMERHEDLSQTLHRPAELIIGSFDGIKHDTDGFDKQGMRLLLATLNRIFDSLQTTYEGFQTSTVKAIYIYI >KJB72192 pep chromosome:Graimondii2_0_v6:11:33027884:33030587:-1 gene:B456_011G166500 transcript:KJB72192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLDHPLGDYCEEEINEFASWLGGSYATDVTKPLDGVLTIPLANGDNMNLHMSKKVHKEFASKLFALYRIIRKAMERHEDLSQTLHRPAELIIGSFDGIKHDTDGFDKQGMRLLLATLNRIFDSLQTTYEGFQTSTVKAIYIYI >KJB72189 pep chromosome:Graimondii2_0_v6:11:33027884:33030587:-1 gene:B456_011G166500 transcript:KJB72189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLDHPLGDYCEEEINEFASWLGGSYATDVTKPLDGVLTIPLANGDNMNLHMSKKVHKEFASKLFALYRIIRKAMERHEDLSQTLHRPAELIIGSFDGIKHDTDGFDKQGMRLLLATLNRIFDSLQTTYEVSSSVEKCILTKVGCKW >KJB72190 pep chromosome:Graimondii2_0_v6:11:33028692:33030254:-1 gene:B456_011G166500 transcript:KJB72190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLDHPLGDYCEEEINEFASWLGGSYATDVTKPLDGVLTIPLANGDNMNLHMSKKVHKEFASKLFALYRIIRKAMERHEDLSQTLHRPAELIIGSFDGIKHDTDGFDKQGMRLLLATLNRIFDSLQTTYEGFQTSTVKAIYIYI >KJB72191 pep chromosome:Graimondii2_0_v6:11:33028109:33030601:-1 gene:B456_011G166500 transcript:KJB72191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLDHPLGDYCEEEINEFASWLGGSYATDVTKPLDGVLTIPLANGDNMNLHMSKKVHKEFASKLFALYRIIRKAMERHEDLSQTLHRPAELIIGSFDGIKHDTDGFDKQGMRLLLATLNRIFDSLQTTYEVSSSVEKCILTKVGCKW >KJB72187 pep chromosome:Graimondii2_0_v6:11:33027883:33030601:-1 gene:B456_011G166500 transcript:KJB72187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLDHPLGDYCEEEINEFASWLGGSYATDVTKPLDGVLTIPLANGDNMNLHMSKKVHKEFASKLFALYRIIRKAMERHEDLSQTLHRPAELIIGSFDGIKHDTDGFDKQGMRLLLATLNRIFDSLQTTYEDHVGAKFAVQIRSRAQKFFYKAHWFEFITRFP >KJB72996 pep chromosome:Graimondii2_0_v6:11:50005819:50008231:-1 gene:B456_011G208100 transcript:KJB72996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITQKNTSLMIKKTSERDKFEVVGFSRMASATGGKEGEEVGNIFKLSETTVLKIQKGDITLWFLNGSSDAILYIEQLDQNWLKHAIKSQKLNLMFVVPLEKQGLPRFKLPASHVIHTVGPIYDSDKDPKASLTSAHKNCLSVAKENNIEYIAFTAISCGVYGYPFEEAATIAISTVKNFADGIKELH >KJB72995 pep chromosome:Graimondii2_0_v6:11:50005819:50007753:-1 gene:B456_011G208100 transcript:KJB72995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNINTMIPAIHRAAGPELVEACYKVPEVEPDVRCPTGEARITPGFKLPASHVIHTVGPIYDSDKDPKASLTSAHKNCLSVAKENNIEYIAFTAISCGVYGYPFEEAATIAISTVKNFADGIKELH >KJB72997 pep chromosome:Graimondii2_0_v6:11:50005819:50008250:-1 gene:B456_011G208100 transcript:KJB72997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITQKNTSLMIKKTSERDKFEVVGFSRMASATGGKEGEEVGNIFKLSETTVLKIQKGDITLWFLNGSSDAIVNPANERMLGGGGADGAIHRAAGPELVEACYKVPEVEPDVRCPTGEARITPGFKLPASHVIHTVGPIYDSDKDPKASLTSAHKNCLSVAKENNIEYIAFTAISCGVYGYPFEEAATIAISTVKNFADGIKELH >KJB72994 pep chromosome:Graimondii2_0_v6:11:50005819:50008117:-1 gene:B456_011G208100 transcript:KJB72994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNINTMIPAIHRAAGPELVEACYKVPEVEPDVRCPTGEARITPGFKLPASHVIHTVGPIYDSDKDPKASLTSAHKNCLSVAKENNIEYIAFTAISCGVYGYPFEEAATIAISTVKNFADGIKELH >KJB70574 pep chromosome:Graimondii2_0_v6:11:8066907:8070246:1 gene:B456_011G080500 transcript:KJB70574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIATGHEREELESELQGKKILEDVNNPVGPFGTKEAPAVVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCSQYFVLEVVGPGGPPDGHGDDDHH >KJB70575 pep chromosome:Graimondii2_0_v6:11:8067406:8069773:1 gene:B456_011G080500 transcript:KJB70575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPILVLRFLISIALVSVADTAVKKRVEDVMPIATGHEREELESELQGKKILEDVNNPVGPFGTKEAPAVVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCSQYFVLEVVGPGGPPDGHGDDDHH >KJB73354 pep chromosome:Graimondii2_0_v6:11:54256136:54258001:1 gene:B456_011G229000 transcript:KJB73354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTKRYAVVSGANKGIGLEICKQMASKGTMVVLTARDEKRGLEAVDKLKDLGLSDNVVFHQLDVADPASVASLVDFVKTQFGKLDILVNNAGIGGVKGDFEALRAAGFGKPGAQPNWGSLLTQSPELSEQCLQTNYYGAKRMCESLIQLLQLSSSPRINVSNEWAKAVLSDAGNLTEDKVDEILSQYMKDFKEGSLQEKGWPAFMSAYILSKAAMNAYTRILAKKYPNFIINCVCPGFVKTDMNFNSGILSVEEGAESPVRLALLPNNGPSGLFFARKEESEF >KJB73355 pep chromosome:Graimondii2_0_v6:11:54256191:54258001:1 gene:B456_011G229000 transcript:KJB73355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLVASKVILKLLELQALPGAQPNWGSLLTQSPELSEQCLQTNYYGAKRMCESLIQLLQLSSSPRIVNVSSSMGKLKNVSNEWAKAVLSDAGNLTEDKVDEILSQYMKDFKEGSLQEKGWPAFMSAYILSKAAMNAYTRILAKKYPNFIINCVCPGFVKTDMNFNSGILSVEEGAESPVRLALLPNNGPSGLFFARKEESEF >KJB73353 pep chromosome:Graimondii2_0_v6:11:54256174:54258001:1 gene:B456_011G229000 transcript:KJB73353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTKRYAVVSGANKGIGLEICKQMASKGTMVVLTARDEKRGLEAVDKLKDLGLSDNVVFHQLDVADPASVASLVDFVKTQFGKLDILVNNAGIGGVKGDFEALRAAGFGKNVSNEWAKAVLSDAGNLTEDKVDEILSQYMKDFKEGSLQEKGWPAFMSAYILSKAAMNAYTRILAKKYPNFIINCVCPGFVKTDMNFNSGILSVEEGAESPVRLALLPNNGPSGLFFARKEESEF >KJB73352 pep chromosome:Graimondii2_0_v6:11:54257164:54257793:1 gene:B456_011G229000 transcript:KJB73352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGAQPNWGSLLTQSPELSEQCLQTNYYGAKRMCESLIQLLQLSSSPRIVNVSSSMGKLKNVSNEWAKAVLSDAGNLTEDKVDEILSQYMKDFKEGSLQEKGWPAFMSAYILSKAAMNAYTRILAKKYPNFIINCVCPGFVKTDMNFNSGILSVEEGAESPVRLALLPNNGPSGLFFARKEESEF >KJB73351 pep chromosome:Graimondii2_0_v6:11:54256005:54258001:1 gene:B456_011G229000 transcript:KJB73351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTKRYAVVSGANKGIGLEICKQMASKGTMVVLTARDEKRGLEAVDKLKDLGLSDNVVFHQLDVADPASVASLVDFVKTQFGKLDILVNNAGIGGVKGDFEALRAAGFGKPGAQPNWGSLLTQSPELSEQCLQTNYYGAKRMCESLIQLLQLSSSPRIVNVSSSMGKLKNVSNEWAKAVLSDAGNLTEDKVDEILSQYMKDFKEGSLQEKGWPAFMSAYILSKAAMNAYTRILAKKYPNFIINCVCPGFVKTDMNFNSGILSVEEGAESPVRLALLPNNGPSGLFFARKEESEF >KJB70023 pep chromosome:Graimondii2_0_v6:11:4236690:4241146:1 gene:B456_011G053900 transcript:KJB70023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:Projected from Arabidopsis thaliana (AT1G17260) UniProtKB/TrEMBL;Acc:A0A178W776] MSEELDKPLLDPENFNREGIDLERLPLEEVFEQLRTSRAGLTSEDAEVRVHIFGQNKLEEKPENKFLKFLSFMWNPLSWVMEAAAVMAIVLANGGGEGPDWQDFVGIICLLIINSTISFIEENNAGNAAAALMARLAPKTKVLRDGQWQERDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKRTGDEVFSGSTCKHGEIEAVVIATGVHSFFGKAAHLVDSTEVVGHFQQVLTSIGNFCICSIAVGMVLEIIVMFPIQLRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLVEVFSKNMDKDLVVLLAARASRLENQDAIDAAIINMLADPKEARANIKEVHFLPFNPVDKRTAITYIDSDGNWYRASKGAPEQILNLCLEKDEIAGRVHAIIDKFAERGLRSLGVAFQEVPERTKESPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVDVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGREKDESEALPADELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADATDAARSAADIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFATGVVIGTYLALVTVLFYWIVIDTDFFETHFNVRSISDNTEQISSAVYLQVSIISQALIFVTRSRSWSFVERPGVLLMCAFVVAQLVRFSDKKLCLRIRINGKSG >KJB70028 pep chromosome:Graimondii2_0_v6:11:4236386:4242222:1 gene:B456_011G053900 transcript:KJB70028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:Projected from Arabidopsis thaliana (AT1G17260) UniProtKB/TrEMBL;Acc:A0A178W776] MSEELDKPLLDPENFNREGIDLERLPLEEVFEQLRTSRAGLTSEDAEVRVHIFGQNKLEEKPENKFLKFLSFMWNPLSWVMEAAAVMAIVLANGGGEGPDWQDFVGIICLLIINSTISFIEENNAGNAAAALMARLAPKTKVLRDGQWQERDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKRTGDEVFSGSTCKHGEIEAVVIATGVHSFFGKAAHLVDSTEVVGHFQQVLTSIGNFCICSIAVGMVLEIIVMFPIQLRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLVEVFSKNMDKDLVVLLAARASRLENQDAIDAAIINMLADPKEARANIKEVHFLPFNPVDKRTAITYIDSDGNWYRASKGAPEQILNLCLEKDEIAGRVHAIIDKFAERGLRSLGVAFQEVPERTKESPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVDVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGREKDESEALPADELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADATDAARSAADIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRILGFVLLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFATGVVIGTYLALVTVLFYWIVIDTDFFETHFNVRSISDNTEQISSAVYLQVSIISQALIFVTRSRSWSFVERPGVLLMCAFVVAQLVATLIAVYAHMSFADISGIGWGWAGVIWLYSLVFYVPLDIIKFTVRYALSGEAWNLLFDRKTAFTSKKDYGKDDRAAQWILSQRSLQGLMAADLDFNGRRSRTSLIADQARRRAEIARLGELHTLRGHVESVMRLKNLDLNAIKSAHTV >KJB70025 pep chromosome:Graimondii2_0_v6:11:4236386:4242222:1 gene:B456_011G053900 transcript:KJB70025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:Projected from Arabidopsis thaliana (AT1G17260) UniProtKB/TrEMBL;Acc:A0A178W776] MSEELDKPLLDPENFNREGIDLERLPLEEVFEQLRTSRAGLTSEDAEVRVHIFGQNKLEEKPENKFLKFLSFMWNPLSWVMEAAAVMAIVLANGGGEGPDWQDFVGIICLLIINSTISFIEENNAGNAAAALMARLAPKTKVLRDGQWQERDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQATLTGESLPVTKRTGDEVFSGSTCKHGEIEAVVIATGVHSFFGKAAHLVDSTEVVGHFQQVLTSIGNFCICSIAVGMVLEIIVMFPIQLRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLVEVFSKNMDKDLVVLLAARASRLENQDAIDAAIINMLADPKEARANIKEVHFLPFNPVDKRTAITYIDSDGNWYRASKGAPEQILNLCLEKDEIAGRVHAIIDKFAERGLRSLGVAFQEVPERTKESPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVDVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGREKDESEALPADELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADATDAARSAADIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFATGVVIGTYLALVTVLFYWIVIDTDFFETHFNVRSISDNTEQISSAVYLQVSIISQALIFVTRSRSWSFVERPGVLLMCAFVVAQLVATLIAVYAHMSFADISGIGWGWAGVIWLYSLVFYVPLDIIKFTVRYALSGEAWNLLFDRKTAFTSKKDYGKDDRAAQWILSQRSLQGLMAADLDFNGRRSRTSLIADQARRRAEIARLGELHTLRGHVESVMRLKNLDLNAIKSAHTV >KJB70026 pep chromosome:Graimondii2_0_v6:11:4236386:4242222:1 gene:B456_011G053900 transcript:KJB70026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:Projected from Arabidopsis thaliana (AT1G17260) UniProtKB/TrEMBL;Acc:A0A178W776] MSEELDKPLLDPENFNREGIDLERLPLEEVFEQLRTSRAGLTSEDAEVRVHIFGQNKLEEKPENKFLKFLSFMWNPLSWVMEAAAVMAIVLANGGGEGPDWQDFVGIICLLIINSTISFIEENNAGNAAAALMARLAPKTKVLRDGQWQERDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKRTGDEVFSGSTCKHGEIEAVVIATGVHSFFGKAAHLVDSTEVVGHFQQVLTSIGNFCICSIAVGMVLEIIVMFPIQLRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLVEVFSKNMDKDLVVLLAARASRLENQDAIDAAIINMLADPKEARANIKEVHFLPFNPVDKRTAITYIDSDGNWYRASKGAPEQILNLCLEKDEIAGRVHAIIDKFAERGLRSLGVAFQEVPERTKESPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVDVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGREKDESEALPADELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADATDAARSAADIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFATGVVIGTYLALVTVLFYWIVIDTDFFETHFNVRSISDNTEQISSAVYLQVSIISQALIFVTRSRSWSFVERPGVLLMCAFVVAQLVATLIAVYAHMSFADISGIGWGWAGVIWLYSLVFYVPLDIIKFTVRYALSGEAWNLLFDRKDCLS >KJB70022 pep chromosome:Graimondii2_0_v6:11:4236370:4242731:1 gene:B456_011G053900 transcript:KJB70022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:Projected from Arabidopsis thaliana (AT1G17260) UniProtKB/TrEMBL;Acc:A0A178W776] MSEELDKPLLDPENFNREGIDLERLPLEEVFEQLRTSRAGLTSEDAEVRVHIFGQNKLEEKPENKFLKFLSFMWNPLSWVMEAAAVMAIVLANGGGEGPDWQDFVGIICLLIINSTISFIEENNAGNAAAALMARLAPKTKVLRDGQWQERDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKRTGDEVFSGSTCKHGEIEAVVIATGVHSFFGKAAHLVDSTEVVGHFQQVLTSIGNFCICSIAVGMVLEIIVMFPIQLRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLVEVFSKNMDKDLVVLLAARASRLENQDAIDAAIINMLADPKEARANIKEVHFLPFNPVDKRTAITYIDSDGNWYRASKGAPEQILNLCLEKDEIAGRVHAIIDKFAERGLRSLGVAFQEVPERTKESPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVDVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGREKDESEALPADELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADATDAARSAADIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFATGVVIGTYLALVTVLFYWIVIDTDFFETHFNVRSISDNTEQISSAVYLQVSIISQALIFVTRSRSWSFVERPGVLLMCAFVVAQLVATLIAVYAHMSFADISGIGWGWAGVIWLYSLVFYVPLDIIKFTVRYALSGEAWNLLFDRKTAFTSKKDYGKDDRAAQWILSQRSLQGLMAADLDFNGRRSRTSLIADQARRRAEIARLGELHTLRGHVESVMRLKNLDLNAIKSAHTV >KJB70027 pep chromosome:Graimondii2_0_v6:11:4236386:4242222:1 gene:B456_011G053900 transcript:KJB70027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:Projected from Arabidopsis thaliana (AT1G17260) UniProtKB/TrEMBL;Acc:A0A178W776] MSEELDKPLLDPENFNREGIDLERLPLEEVFEQLRTSRAGLTSEDAEVRVHIFGQNKLEEKPENKFLKFLSFMWNPLSWVMEAAAVMAIVLANGGGEGPDWQDFVGIICLLIINSTISFIEENNAGNAAAALMARLAPKTKVLRDGQWQERDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKRTGDEVFSGSTCKHGEIEAVVIATGVHSFFGKAAHLVDSTEVVGHFQQVLTSIGNFCICSIAVGMVLEIIVMFPIQLRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLVEVFSKNMDKDLVVLLAARASRLENQDAIDAAIINMLADPKEARANIKEVHFLPFNPVDKRTAITYIDSDGNWYRASKGAPEQILNLCLEKDEIAGRVHAIIDKFAERGLRSLGVAFQEVPERTKESPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVDVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGREKDESEALPADELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADATDAARSAADIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFATGVVIGTYLALVTVLFYWIVIDTDFFETHFNVRSISDNTEQISSAVYLQVSIISQALIFVTRSRSWSFVERPGVLLMCAFVVAQLVATLIAVYAHMSFADISGIGWGWAGVIWLYSLVFYVPLDIIKFTVRYALSGEAWNLLFDRKTAFTSKKDYGKDDRAAQWILSQRSLQGLMAADLDFNGRRSRTSLIADQARRRAEIAR >KJB70024 pep chromosome:Graimondii2_0_v6:11:4236386:4242222:1 gene:B456_011G053900 transcript:KJB70024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:Projected from Arabidopsis thaliana (AT1G17260) UniProtKB/TrEMBL;Acc:A0A178W776] MSEELDKPLLDPENFNREGIDLERLPLEEVFEQLRTSRAGLTSEDAEVRVHIFGQNKLEEKPENKFLKFLSFMWNPLSWVMEAAAVMAIVLANGGGEGPDWQDFVGIICLLIINSTISFIEENNAGNAAAALMARLAPKTKVLRDGQWQERDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKRTGDEVFSGSTCKHGEIEAVVIATGVHSFFGKAAHLVDSTEVVGHFQQVLTSIGNFCICSIAVGMVLEIIVMFPIQLRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLVEVFSKNMDKDLVVLLAARASRLENQDAIDAAIINMLADPKEARANIKEVHFLPFNPVDKRTAITYIDSDGNWYRASKGAPEQILNLCLEKDEIAGRVHAIIDKFAERGLRSLGVAFQEVPERTKESPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVDVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGREKDESEALPADELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADATDAARSAADIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFATGVVIGTYLALVTVLFYWIVIDTDFFETHFNVRSISDNTEQISSAVYLQVSIISQALIFVTRSRSWSFVERPGVLLMCAFVVAQLVATLIAVYAHMSFADISGIGWGWAGVIWLYSLVFYVPLDIIKFTVRYALSGEAWNLLFDRKVSVLFLVSYLIGFKPFLEEAFHGIPVQQTAFTSKKDYGKDDRAAQWILSQRSLQGLMAADLDFNGRRSRTSLIADQARRRAEIARLGELHTLRGHVESVMRLKNLDLNAIKSAHTV >KJB69546 pep chromosome:Graimondii2_0_v6:11:2225703:2229248:-1 gene:B456_011G030100 transcript:KJB69546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLFPAIFTGFFFFISLTSQDQLKNNDHNLLIRELDDAKLKLSRLESVLEETIQSIDAKTLLLKEREKLLEGMENKITHLQSVISTLKDDSLLADEKLKALQEEVRLLWDASRKNNFELHVMESEAQDTEDRVEAVNLKVEKMAEVVTEQWIQIQHLEQALHLAQRRALQDQRQRYMRCSFLKFFNDLSERHLPKMLGALEYYSFGKGSTIKYYMSQALQQLRRFYSAIKKYHHQLQGFIKQEMRRNEFTAAFVNDELVFFLASALITFPVLGAWMVLSSQFS >KJB69547 pep chromosome:Graimondii2_0_v6:11:2225728:2229203:-1 gene:B456_011G030100 transcript:KJB69547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLFPAIFTGFFFFISLTSQDQLKNNDHNLLIRELDDAKLKLSRLESVLEETIQSIDAKTLLLKEREKLLEGMENKITHLQSVISTLKDDSLLADEKLKALQEEVRLLWDASRKNNFELHVMESEAQDTEDRVEAVNLKVEKMAEVVTEQWIQIQHLEQALHLAQESIARSKAKVHEMLILEVL >KJB69548 pep chromosome:Graimondii2_0_v6:11:2226919:2229202:-1 gene:B456_011G030100 transcript:KJB69548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLFPAIFTGFFFFISLTSQDQLKNNDHNLLIRELDDAKLKLSRLESVLEETIQSIDAKTLLLKEREKLLEGMENKITHLQSVISTLKDDSLLADEKLKALQEEVRLLWDASRKNNFELHVMESEAQDTEDRVEAVNLKVEKMAEVVTEQWIQIQHLEQALHLAQRRALQDQRQRYMRCSFLKFFNDLSERHLPKMLGALEYYSFGKGSTIKYYMSQALQQLRRFYSAIKKYHHQVCLALHCDFL >KJB74441 pep chromosome:Graimondii2_0_v6:11:62592611:62597700:-1 gene:B456_011G295500 transcript:KJB74441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYLRKNFAVEPKRPSEEALRRWRSAVALVKNRSRRFRMVADLAKRAEADRRRKIIQEKIRVALYVQKAALNFIDAGKQAESKLPEDVRKAGFHIGADELASIVRSHDMSSFEEHGGVEGLAKKVSVSLTNGVVPTDISFRQNIYGNNKFDEKPARSFWMFVWEALHDLTLIILIVCAVVSIGVGVATEGWPGGLYDGLGIVLCIFLVVFVTAISDYKQSLQFKDLDKEKKNILVQVTREGCRQKISIYDLVVGDIVHLSIGDQVPADGVLISGYSLSIDESSLSGESEPVKVTQERPFLLSGTKVQDGSGKMLVTTVGMRTEWGRLMVTLSEGGVDETPLQVKLNGVATVIGKIGLVFAVLTFLVLTIRFMVTKAQLGEIEKWGMSDVLVLLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMSDKALVRHLSACETMGSATCICTDKTGTLTTNHMVVDKIWTCGRTISIAGDNKREDVLRSSIAGEVLDLLLQSIFQNTGAEVVKGKDGKNNILGSPTETAILEFGLLLGGEFKKYRKESTILKVEPFNSEKKRMSVLVSLSNGGENRAFCKGASEIILESCNKVINVDGKAEHLSKEQKKYITDVINGFACEALRTLCLAFKDVKDTSDVHSDSIPQENYTLIAVIGIKDPVRPGVRQAVETCLSAGIKVRIVTGDNINTAKAIARECGILTENGLAIEGPEFRDMSPRQMEETIPKLQVLARSLPLDKHKLVTYLRKEFKEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFATIQNVARWGRAVYINIQKFVQFQLTVNIVALMLNFVSACISVIFRFCPSDGCSATLGEHDHGHSRCIGIGHRTSS >KJB74442 pep chromosome:Graimondii2_0_v6:11:62592611:62597748:-1 gene:B456_011G295500 transcript:KJB74442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYLRKNFAVEPKRPSEEALRRWRSAVALVKNRSRRFRMVADLAKRAEADRRRKIIQEKIRVALYVQKAALNFIDAGKQAESKLPEDVRKAGFHIGADELASIVRSHDMSSFEEHGGVEGLAKKVSVSLTNGVVPTDISFRQNIYGNNKFDEKPARSFWMFVWEALHDLTLIILIVCAVVSIGVGVATEGWPGGLYDGLGIVLCIFLVVFVTAISDYKQSLQFKDLDKEKKNILVQVTREGCRQKISIYDLVVGDIVHLSIGDQVPADGVLISGYSLSIDESSLSGESEPVKVTQERPFLLSGTKVQDGSGKMLVTTVGMRTEWGRLMVTLSEGGVDETPLQVKLNGVATVIGKIGLVFAVLTFLVLTIRFMVTKAQLGEIEKWGMSDVLVLLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMSDKALVRHLSACETMGSATCICTDKTGTLTTNHMVVDKIWTCGRTISIAGDNKREDVLRSSIAGEVLDLLLQSIFQNTGAEVVKGKDGKNNILGSPTETAILEFGLLLGGEFKKYRKESTILKVEPFNSEKKRMSVLVSLSNGGENRAFCKGASEIILESCNKVINVDGKAEHLSKEQKKYITDVINGFACEALRTLCLAFKDVKDTSDVHSDSIPQENYTLIAVIGIKDPVRPGVRQAVETCLSAGIKVRIVTGDNINTAKAIARECGILTENGLAIEGPEFRDMSPRQMEETIPKLQVLARSLPLDKHKLVTYLRKEFKEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFATIQNVARWGRAVYINIQKFVQFQLTVNIVALMLNFVSACISGSAPLTAVQLLWVNMIMDTLGALALATEPPHEGLMKRPPIGRDVAFITRVMWRNIIGQTIYQLIVLAILKFDGERLLKISGSNATAILNTLIFNSFVFCQVFNEINSRDMEKINVFRGFFDSWLFIMVMVCTVGFQSIIVELLGTVADTVPLSWELWLTSILLGAGSLIVAVILKCIPVENCKEASTTKHHDGYEPLPTGPDMA >KJB69857 pep chromosome:Graimondii2_0_v6:11:3561031:3564035:-1 gene:B456_011G046300 transcript:KJB69857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVHTGTDASAFKECFSLTWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKSVDRQTVLQESIVSMAVAGAIIGAAVGGWMNDRFGRRMAILIADFLFFIGAVVMASAPNPALLIVGRVFVGLGVGMASMTSPLYISEASPAKIRGALVSTNGFLITGGQFLSYLINLAFTNAPGTWRWMLGIAGLPALLQFILMLLLPESPRWLFRKGREEEAKVILRKIYPADDVEKEIQDLKESVEAEIREEGCAKINIMKLLKTKTVRRGLIAGVGLQVFQQFVGINTVMYYSPTIVQLAGFASNKTALLLSLVTAGLNALGSIVSIYFIDRTGRKKLLLISLFGVAISLGLLAGVFHETTSHTPMVSRIQTSHFSNYTCPDYSSATNPGAWDCMKCLKALSPDCGFCASPTDKLLPGACLLSNDTVKDLCHDESRLWYTRGCPSKYGWLALVGLALYIIFFSPGMGTAPWIVNSEIYPLRFRGVCGGIAATANWISNLIVAQSFLSLTEAIGTSWTFLIFGVISVVGLLFVIVYVPETKGLPIEEIEKMLETRSLHFRFWEKSQKPQEKKSQAV >KJB69856 pep chromosome:Graimondii2_0_v6:11:3561031:3563916:-1 gene:B456_011G046300 transcript:KJB69856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVHTGTDASAFKECFSLTWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKSVDRQTVLQESIVSMAVAGAIIGAAVGGWMNDRFGRRMAILIADFLFFIGAVVMASAPNPALLIVGRVFVGLGVGMASMTSPLYISEASPAKIRGALVSTNGFLITGGQFLSYLINLAFTNAPGTWRWMLGIAGLPALLQFILMLLLPESPRWLFRKGREEEAKVILRKIYPADDVEKEIQDLKESVEAEIREEGCAKINIMKLLKTKTVRRGLIAGVGLQVFQQFVGINTVMYYSPTIVQLAGFASNKTALLLSLVTAGLNALGSIVSIYFIDRTGRKKLLLISLFGVAISLGLLAGVFHETTSHTPMVSRIQTSHFSNYTCPDYSSATNPGAWDCMKCLKALSPDCGFCASPTDKVKSHDISIFLQSLVFVFPSLIGFLLLLQLLPGACLLSNDTVKDLCHDESRLWYTRGCPSKYGWLALVGLALYIIFFSPGMGTAPWIVNSEIYPLRFRGVCGGIAATANWISNLIVAQSFLSLTEAIGTSWTFLIFGVISVVGLLFVIVYVPETKGLPIEEIEKMLETRSLHFRFWEKSQKPQEKKSQAV >KJB74070 pep chromosome:Graimondii2_0_v6:11:60218512:60220761:-1 gene:B456_011G270300 transcript:KJB74070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVTKSFTALESTPTPSPKSEETSITPPEAWDGITRAGSLDSPKLASLAPPRRFLTYAERISTTSAFSDGTSHLETGAETREKRFNSLLLRSDSLTAAASGVLPAMNGGTLQPPKASQQDPQQGSNFTLQLFQRILEETLDSFQKSLHGDMRNLHIEILRQFHMQEMEMSRVMSSILQNQAELMEEVKSLRKENQQLRQLL >KJB72868 pep chromosome:Graimondii2_0_v6:11:48929816:48938304:-1 gene:B456_011G201900 transcript:KJB72868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYNNINTDTNTNFNDKFDAQDPYQHRRRSPSNFRGSGGGGGHRPFDSPPRQHHNSAGGGGSFRPIGGGGGGGGFRPMAGGFEGNYPNPSPHHLQPAHTGQKRPFPFSGRGGVSPNRDRFGGAGGGGNFAKLFVGSVPRTAREEDIRHLFEEHGDVIEVALIKDKKTGLPQGCCFIKYATLEEADRAIRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQATEMDVQEIFSRFGRVEDVYLMRDESKQSRGCGFVKYSDREMALAAIDALNGIYTMRGCDQPLTVRFADPKRPRQGPGDSRGGAATFGGPGFGPRFQTPRPRPAPNFGDAMGDRVPPTAWHPMSPQNMGPTSNPGIRSMGNQLLPRSADLAIPLNPGAPFGGPSDGSLPGLSVSSSSTSVQGFNQSSSQIPTVGHQISPLQNPLESPQNLPPSFQLHPQAPMSYSQTQTSHVGQLQVPPASHTPFSQALPSQHLAGLSGQLPASRPLVQPNVSSGAALQNPLNVNLPPNSAASAANQQQLPAPNQQQPLQPLQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQLMQPSNQNMTLRQNSQASKHQWAGMMPQAVGSAPAKTPGTDVPSSASAAMKPVVECHWTEHTSPDGFKYYHNSVTRESKWEKPEELTLFEQQQQQQQKPPVQQPQTQLHAAQQASQQAQLQTQLQTQIRHPHQLQHPIYPTAVGF >KJB72871 pep chromosome:Graimondii2_0_v6:11:48928464:48938647:-1 gene:B456_011G201900 transcript:KJB72871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYNNINTDTNTNFNDKFDAQDPYQHRRRSPSNFRGSGGGGGHRPFDSPPRQHHNSAGGGGSFRPIGGGGGGGGFRPMAGGFEGNYPNPSPHHLQPAHTGQKRPFPFSGRGGVSPNRDRFGGAGGGGNFAKLFVGSVPRTAREEDIRHLFEEHGDVIEVALIKDKKTGLPQGCCFIKYATLEEADRAIRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQATEMDVQEIFSRFGRVEDVYLMRDESKQSRGCGFVKYSDREMALAAIDALNGIYTMRGCDQPLTVRFADPKRPRQGPGDSRGGAATFGGPGFGPRFQTPRPRPAPNFGDAMGDRVPPTAWHPMSPQNMGPTSNPGIRSMGNQLLPRSADLAIPLNPGAPFGGPSDGSLPGLSVSSSSTSVQISPLQNPLESPQNLPPSFQLHPQAPMSYSQTQTSHVGQLQVPPASHTPFSQALPSQHLAGLSGQLPASRPLVQPNVSSGAALQNPLNVNLPPNSAASAANQQQLPAPNQQQPLQPLQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQLMQPSNQNMTLRQNSQASKHQWAGMMPQAVGSAPAKTPGTDVPSSASAAMKPVVECHWTEHTSPDGFKYYHNSVTRESKWEKPEELTLFEQQQQQQQKPPVQQPQTQLHAAQQASQQAQLQTQLQTQIRHPHQLQHPIYPTAYPASGVRNQQSTQELGYGQLPVAPSPNDPSRFQQGPQMVQDLAWKNKP >KJB72873 pep chromosome:Graimondii2_0_v6:11:48928464:48938600:-1 gene:B456_011G201900 transcript:KJB72873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYNNINTDTNTNFNDKFDAQDPYQHRRRSPSNFRGSGGGGGHRPFDSPPRQHHNSAGGGGSFRPIGGGGGGGGFRPMAGGFEGNYPNPSPHHLQPAHTGQKRPFPFSGRGGVSPNRDRFGGAGGGGNFAKLFVGSVPRTAREEDIRHLFEEHGDVIEVALIKDKKTGLPQGCCFIKYATLEEADRAIRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQATEMDVQEIFSRFGRVEDVYLMRDESKQSRGCGFVKYSDREMALAAIDALNGIYTMRGCDQPLTVRFADPKRPRQGPGDSRGGAATFGGPGFGPRFQTPRPRPAPNFGDAMGDRVPPTAWHPMSPQNMGPTSNPGIRSMGNQLLPRSADLAIPLNPGAPFGGPSDGSLPGLSVSSSSTSVQGFNQSSSQIPTVGHQISPLQNPLESPQNLPPSFQLHPQAPMSYSQTQTSHVGQLQVPPASHTPFSQALPSQHLAGLSGQLPASRPLVQPNVSSGAALQNPLNVNLPPNSAASAANQQQLPAPNQQQPLQPLQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQLMQPSNQNMTLRQNSQASKHQWAGMMPQAVGSAPAKTPGTDVPSSASAAMKPVVECHWTEHTSPDGFKYYHNSVTRESKWEKPEELTLFEQQQQQQQKPPVQQPQTQLHAAQQASQQAQLQTQLQTQIRHPHQLQHPIYPTAYPASGVRNQQSTQELGYGQLPVAPSPNDPSRFQQGPQMVQDLAWKNKP >KJB72869 pep chromosome:Graimondii2_0_v6:11:48928464:48938600:-1 gene:B456_011G201900 transcript:KJB72869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYNNINTDTNTNFNDKFDAQDPYQHRRRSPSNFRGSGGGGGHRPFDSPPRQHHNSAGGGGSFRPIGGGGGGGGFRPMAGGFEGNYPNPSPHHLQPAHTGQKRPFPFSGRGGVSPNRDRFGGAGGGGNFAKLFVGSVPRTAREEDIRHLFEEHGDVIEVALIKDKKTGLPQELKRCGCAGCCFIKYATLEEADRAIRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQATEMDVQEIFSRFGRVEDVYLMRDESKQSRGCGFVKYSDREMALAAIDALNGIYTMRGCDQPLTVRFADPKRPRQGPGDSRGGAATFGGPGFGPRFQTPRPRPAPNFGDAMGDRVPPTAWHPMSPQNMGPTSNPGIRSMGNQLLPRSADLAIPLNPGAPFGGPSDGSLPGLSVSSSSTSVQGFNQSSSQIPTVGHQISPLQNPLESPQNLPPSFQLHPQAPMSYSQTQTSHVGQLQVPPASHTPFSQALPSQHLAGLSGQLPASRPLVQPNVSSGAALQNPLNVNLPPNSAASAANQQQLPAPNQQQPLQPLQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQLMQPSNQNMTLRQNSQASKHQWAGMMPQAVGSAPAKTPGTDVPSSASAAMKPVVECHWTEHTSPDGFKYYHNSVTRESKWEKPEELTLFEQQQQQQQKPPVQQPQTQLHAAQQASQQAQLQTQLQTQIRHPHQLQHPIYPTAYPASGVRNQQSTQELGYGQLPVAPSPNDPSRFQQGPQMVQDLAWKNKP >KJB72872 pep chromosome:Graimondii2_0_v6:11:48929236:48938304:-1 gene:B456_011G201900 transcript:KJB72872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYNNINTDTNTNFNDKFDAQDPYQHRRRSPSNFRGSGGGGGHRPFDSPPRQHHNSAGGGGSFRPIGGGGGGGGFRPMAGGFEGNYPNPSPHHLQPAHTGQKRPFPFSGRGGVSPNRDRFGGAGGGGNFAKLFVGSVPRTAREEDIRHLFEEHGDVIEVALIKDKKTGLPQGCCFIKYATLEEADRAIRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQATEMDVQEIFSRFGRVEDVYLMRDESKQSRGCGFVKYSDREMALAAIDALNGIYTMRGCDQPLTVRFADPKRPRQGPGDSRGGAATFGGPGFGPRFQTPRPRPAPNFGDAMGDRVPPTAWHPMSPQNMGPTSNPGIRSMGNQLLPRSADLAIPLNPGAPFGGPSDGSLPGLSVSSSSTSVQGFNQSSSQIPTVGHQISPLQNPLESPQNLPPSFQLHPQAPMSYSQTQTSHVGQLQVPPASHTPFSQALPSQHLAGLSGQLPASRPLVQPNVSSGAALQNPLNVNLPPNSAASAANQQQLPAPNQQQPLQPLQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQLMQPSNQNMTLRQNSQASKHQWAGMMPQAVGSAPAKTPGTDVPSSASAAMKPVVECHWTEHTSPDGFKYYHNSVTRESKWEKPEELTLFEQQQQQQQKPPVQQPQTQLHAAQQASQQAQLQTQLQTQIRHPHQLQHPIYPTAYPASGVRNQQSTQELGYGQLPVAPSPNDPSRFQQV >KJB72870 pep chromosome:Graimondii2_0_v6:11:48928464:48938636:-1 gene:B456_011G201900 transcript:KJB72870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYNNINTDTNTNFNDKFDAQDPYQHRRRSPSNFRGSGGGGGHRPFDSPPRQHHNSAGGGGSFRPIGGGGGGGGFRPMAGGFEGNYPNPSPHHLQPAHTGQKRPFPFSGRGGVSPNRDRFGGAGGGGNFAKLFVGSVPRTAREEDIRHLFEEHGDVIEVALIKDKKTGLPQGCCFIKYATLEEADRAIRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQATEMDVQEIFSRFGRVEDVYLMRDESKQSRGCGFVKYSDREMALAAIDALNGIYTMRGCDQPLTVRFADPKRPRQGPGDSRGGAATFGGPGFGPRFQTPRPRPAPNFGDAMGDRVPPTAWHPMSPQNMGPTSNPGIRSMGNQLLPRSADLAIPLNPGAPFGGPSDGSLPGLSVSSSSTSVQGFNQSSSQIPTVGHQISPLQNPLESPQNLPPSFQLHPQAPMSYSQTQTSHVGQLQVPPASHTPFSQALPSQHLAGLSGQLPASRPLVQPNVSSGAALQNPLNVNLPPNSAASAANQQQLPAPNQQQPLQPLQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQLMQPSNQNMTLRQNSQASKHQWAGMMPQAVGSAPAKTPGTDVPSSASAAMKPVVECHWTEHTSPDGFKYYHNSVTRESKWEKPEELTLFEQQQQQQQKPPVQQPQTQLHAAQQASQQAQLQTQLQTQIRHPHQLQHPIYPTAYPASGVRNQQSTQELGYGQLPVAPSPNDPSRFQQGPQMVQDLAWKNKP >KJB69036 pep chromosome:Graimondii2_0_v6:11:286791:296648:1 gene:B456_011G003500 transcript:KJB69036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRSSSSSSKFRYCNPSYYLKRPKRLALLLILFVSASSFVWDRQTLVREHEFEVSKLNDEVRRLQNMLEEFTNRVPANVPIEMQRREKVKEAMIHAWSAYEKYAWGNDELLPQTQSGENSFGGLGATIIDSLDTLLIMGLDEQFQKAREWVANTLDFNKTYDASVFETTIRVVGGLLSTYDLSGDNIFLEKARDIADRLLPAWDTPSGIPYNIIDLAHGNAHNPGWTGGDSILADSGTEQLEFIALSQRTGDPKYQEKVEKAIVALNKTFPADGLVPIYVNPNDGTAYGTITFGAMGDSFYEYLLKAWIQGNKTSSVKPYRDMWETSMKGLVSLIRRSSPSSFAYICEKTRETLTDKMDELACFAPGMLALGSSGYGKVEAKKILSLAEELAWTCYNFYQSTHTKLSGENYLFNPGRDMSVGTTWNILRPETVESLFYLWRLTGNVTYQEWGWNIFQAFEKNCRIESGYVGLTDVNLALKDNKMQTFFLAETLKYLYLLFSPPTVIPLDEWVFNTEAHPLRIVNRNDNIAGSERQHKPTVGLQGRKTGRFGGTRMI >KJB69033 pep chromosome:Graimondii2_0_v6:11:286990:296229:1 gene:B456_011G003500 transcript:KJB69033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRSSSSSSKFRYCNPSYYLKRPKRLALLLILFVSASSFVWDRQTLVREHEFEVSKLNDEVRRLQNMLEEFTNRVPANVPIEMQRREKVKEAMIHAWSAYEKYAWGNDELLPQTQSGENSFGGLGATIIDSLDTLLIMGLDEQFQKAREWVANTLDFNKTYDASVFETTIRVVGGLLSTYDLSGDNIFLEKARDIADRLLPAWDTPSGIPYNIIDLAHGNAHNPGWTGGDSILADSGTEQLEFIALSQRTGDPKYQEKVEKAIVALNKTFPADGLVPIYVNPNDGTAYGTITFGAMGDSFYEYLLKAWIQGNKTSSVKPYRDMWETSMKGLVSLIRRSSPSSFAYICEKTRETLTDKMDELACFAPGMLALGSSGYGKVEAKKILSLAEELAWTCYNFYQSTHTKLSGENYLFNPGRDMSVGTTWNILRPETVESLFYLWRLTGNVTYQEWGWNIFQAFEKNCRIESGYVGLTDVNLALKDNKMQTFFLAETLKYLYLLFSPPTVIPLDEWVFNTEAHPLRIVNRNDNIAGSERQHKPTVGLQGRKTGRFGGN >KJB69038 pep chromosome:Graimondii2_0_v6:11:288128:296648:1 gene:B456_011G003500 transcript:KJB69038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRREKVKEAMIHAWSAYEKYAWGNDELLPQTQSGENSFGGLGATIIDSLDTLLIMGLDEQFQKAREWVANTLDFNKTYDASVFETTIRVVGGLLSTYDLSGDNIFLEKARDIADRLLPAWDTPSGIPYNIIDLAHGNAHNPGWTGGDSILADSGTEQLEFIALSQRTGDPKYQEKVEKAIVALNKTFPADGLVPIYVNPNDGTAYGTITFGAMGDSFYEYLLKAWIQGNKTSSVKPYRDMWETSMKGLVSLIRRSSPSSFAYICEKTRETLTDKMDELACFAPGMLALGSSGYGKVEAKKILSLAEELAWTCYNFYQSTHTKLSGENYLFNPGRDMSVGTTWNILRPETVESLFYLWRLTGNVTYQEWGWNIFQAFEKNCRIESGYVGLTDVNLALKDNKMQTFFLAETLKYLYLLFSPPTVIPLDEWVFNTEAHPLRIVNRNDNIAGSERQHKPTVGLQGRKTGRFGGN >KJB69034 pep chromosome:Graimondii2_0_v6:11:286903:296648:1 gene:B456_011G003500 transcript:KJB69034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRSSSSSSKFRYCNPSYYLKRPKRLALLLILFVSASSFVWDRQTLVREHEFEVSKLNDEVRRLQNMLEEFTNRVPANVPIEMQRREKVKEAMIHAWSAYEKYAWGNDELLPQTQSGENSFGGLGATIIDSLDTLLIMGLDEQFQKAREVVGGLLSTYDLSGDNIFLEKARDIADRLLPAWDTPSGIPYNIIDLAHGNAHNPGWTGGDSILADSGTEQLEFIALSQRTGDPKYQEKVEKAIVALNKTFPADGLVPIYVNPNDGTAYGTITFGAMGDSFYEYLLKAWIQGNKTSSVKPYRDMWETSMKGLVSLIRRSSPSSFAYICEKTRETLTDKMDELACFAPGMLALGSSGYGKVEAKKILSLAEELAWTCYNFYQSTHTKLSGENYLFNPGRDMSVGTTWNILRPETVESLFYLWRLTGNVTYQEWGWNIFQAFEKNCRIESGYVGLTDVNLALKDNKMQTFFLAETLKYLYLLFSPPTVIPLDEWVFNTEAHPLRIVNRNDNIAGSERQHKPTVGLQGRKTGRFGGN >KJB69035 pep chromosome:Graimondii2_0_v6:11:286903:296648:1 gene:B456_011G003500 transcript:KJB69035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRSSSSSSKFRYCNPSYYLKRPKRLALLLILFVSASSFVWDRQTLVREHEFEVSKLNDEVRRLQNMLEEFTNRVPANVPIEMQRREKVKEAMIHAWSAYEKYAWGNDELLPQTQSGENSFGGLGATIIDSLDTLLIMGLDEQFQKAREWVANTLDFNKTYDASVFETTIRVVGGLLSTYDLSGDNIFLEKARDIADRLLPAWDTPSGIPYNIIDLAHGNAHNPGWTGGDSILADSGTEQLEFIALSQRTGDPKYQEKVEKAIVALNKTFPADGLVPIYVNPNDGTAYGTITFGAMVYCSFYEYLLKAWIQGNKTSSVKPYRDMWETSMKGLVSLIRRSSPSSFAYICEKTRETLTDKMDELACFAPGMLALGSSGYGKVEAKKILSLAEELAWTCYNFYQSTHTKLSGENYLFNPGRDMSVGTTWNILRPETVESLFYLWRLTGNVTYQEWGWNIFQAFEKNCRIESGYVGLTDVNLALKDNKMQTFFLAETLKYLYLLFSPPTVIPLDEWVFNTEAHPLRIVNRNDNIAGSERQHKPTVGLQGRKTGRFGGN >KJB69037 pep chromosome:Graimondii2_0_v6:11:286903:296648:1 gene:B456_011G003500 transcript:KJB69037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRSSSSSSKFRYCNPSYYLKRPKRLALLLILFVSASSFVWDRQTLVREHEFEVSKLNDEVRRLQNMLEEFTNRVPANVPIEMQRREKVKEAMIHAWSAYEKYAWGNDELLPQTQSGENSFGGLGATIIDSLDTLLIMGLDEQFQKAREWVANTLDFNKTYDASVFETTIRLHLTGGLLSTYDLSGDNIFLEKARDIADRLLPAWDTPSGIPYNIIDLAHGNAHNPGWTGGDSILADSGTEQLEFIALSQRTGDPKYQEKVEKAIVALNKTFPADGLVPIYVNPNDGTAYGTITFGAMGDSFYEYLLKAWIQGNKTSSVKPYRDMWETSMKGLVSLIRRSSPSSFAYICEKTRETLTDKMDELACFAPGMLALGSSGYGKVEAKKILSLAEELAWTCYNFYQSTHTKLSGENYLFNPGRDMSVGTTWNILRPETVESLFYLWRLTGNVTYQEWGWNIFQAFEKNCRIESGYVGLTDVNLALKDNKMQTFFLAETLKYLYLLFSPPTVIPLDEWVFNTEAHPLRIVNRNDNIAGSERQHKPTVGLQGRKTGRFGGN >KJB70888 pep chromosome:Graimondii2_0_v6:11:10244569:10246604:1 gene:B456_011G094300 transcript:KJB70888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKVFSIIIAVFSVLSVESVGLKDLKTCNFGAVYNFGDSNSDTGGGSAAFWPAGKPCGETFFGRPVGRGCDGRLIIDFIAEHLGLPHLSPYLDSIGTSFRYGANFAIGGSTIRPQNESMSLNGVSPFSLDIQIVQFDQFKDRTGHFYNRSYPRHRRNLPRPEDFSKALYIFDIGQNDIAAGLRKKNDSAFHASVPDIVDQLAKAVQNLYEHGARTFWVHNTGPIGCLPVSLHYHDIKPEELDEQGCLKAQNAYSMEFNRQLKSRVIKLREELPHAALTYVDIYAAKYELIGNAKKQGFVEAANICCGFHEDEIQVYCGHKQNINGTEIYAGSCENPSDFISWDGVHYTEAANRWVAHRIINGSFSDPPLPINHACHIP >KJB74493 pep chromosome:Graimondii2_0_v6:11:58826768:58827994:1 gene:B456_011G2584003 transcript:KJB74493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLSITENNFGGEFPKCISNFSSTLQGLSMSWNNILGKVPDEIGNLINLEVLDLAKNQLLGPIPFGIGRLWKLNIFYAHSNYLFGTIPHSIGNLTELTELVLYFNNLQGSIPLGLGNCKNLILLDLSHNNLSGPIPPQILGLSSLSIVLSLSSNSLTGELPVEVEKLKSLGQLDVSHNRLSGLLPNSLGSCVSLVELYLEGNLFEGPIPPYLSSLRGLEAFDASNNNLSGGIPEFLVRFGTLKYLNLSFNNFEGVVPSEGVFKNTSAIFVEGNNKLCGGIPELHLSRCNSKASSKTSLKLKITIIVVISGVTLVFSILLIIWFRKKKEQKPTTTHVENSLLQLSYQSILRATDGFSPQNLVGLGSFGSVYKGILEANGAVIAVKVLNLLNHRASRSFLVECEALKNIRH >KJB73920 pep chromosome:Graimondii2_0_v6:11:59186693:59188771:-1 gene:B456_011G261000 transcript:KJB73920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTSLQLFLFLSTTVFNLFSSTAAIGVNYGMVADNLPSPTEVANFIKTKTIFDSVKIFDTNPNVLRAFTNTGITMTVTIPNGEIPNLANEGAASAWVNANIQPFHPQTRIKYISIGNEVVLLDNPVHIHGLVPAMKALTEALRKAGPQFQDIKVTSAHALNLFQGLPVPSLARFRIDLTETFFKPLLQFHQQNKSPFMINPYPYFELNVMSDNIDYAVFRKTPGVFDPTSKKTYSNALDFLLDKTYTAMTALGFGDVDIVIGETGWPSLGDPGNKAAGMDNAASYNGHLIRKIVSGAGTPLMPNRKFETYIFALFNENQKPGPIAEKNWGLFQPDFSPVYTSGALRDGPQPNLSNPGFDVEAKV >KJB69264 pep chromosome:Graimondii2_0_v6:11:932495:932674:-1 gene:B456_011G013100 transcript:KJB69264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLISFFSCFSGSQVEDGSPSSTKANTASNAKLKESKAKKSPPIPMSYFPIGSNFSRL >KJB73701 pep chromosome:Graimondii2_0_v6:11:57095333:57099626:-1 gene:B456_011G244700 transcript:KJB73701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKPATKRSRDHHDKHHRSHHLDSDHHHGHDSHRRSERKSSSSRREERERSFEREGSRDRKHREDSYEEAEAKKKRKEREESEERGEKRAKVTEGNREVKRERRKFGDKAKEEEIEFSNAASGGDPVQNGAALASLPRTGHSPSTKVSSVSTAENKAYSITGSHEVPGSSTDGSSAVGRSGGNLSLDALAKAKKALQMQKDLAEKLKKIPLLNKGTGSSSVATGVTVEGPVSSVTTAIASGLSSSSVLPSTSAAAAPMKPPAGGVAAVPGLASISNLEAVKRAQELAAKMGFRQDPQFAPLINLFPGQVQADILVPQKPTKAPVLRVDALGREIDEHGNIINATKPSNLSTLKVNINKQKKDSFQILKPELEVDAESNPHFDVRMGINKDKLLRPKRMTFQFVEEGKWSKDAEIIKLKSQFGEAKAKELKAKQAQLAKAKADINPNLIEVSERITKEKLKDPIPEIEWWDLPILVSGSYDDIADGGVMTKDKLKKEKITIYVEHPKPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDKQEMIRQGLIEPPKPKVKLSNLMKVLGSEATQDPTKLEMEIRSAAAEREQAHVDRNIARKLTPAERREKKERKLFDDPNTVETIVSVYRINDLSHPKTSFKVDVNAQENRLTGCAVISEGISVVVVEGGSKSIKRYGKLMLRRINWAEAVNDNDDDGDEDEEKPPNKCVLVWQGSVAKSSFSRFSVHECITEAAARKVFADAGVGHYWDLAVNFTDDEF >KJB73702 pep chromosome:Graimondii2_0_v6:11:57095333:57099639:-1 gene:B456_011G244700 transcript:KJB73702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKDLAEKLKKIPLLNKGTGSSSVATGVTVEGPVSSVTTAIASGLSSSSVLPSTSAAAAPMKPPAGGVAAVPGLASISNLEAVKRAQELAAKMGFRQDPQFAPLINLFPGQVQADILVPQKPTKAPVLRVDALGREIDEHGNIINATKPSNLSTLKVNINKQKKDSFQILKPELEVDAESNPHFDVRMGINKDKLLRPKRMTFQFVEEGKWSKDAEIIKLKSQFGEAKAKELKAKQAQLAKAKADINPNLIEVSERITKEKLKDPIPEIEWWDLPILVSGSYDDIADGGVMTKDKLKKEKITIYVEHPKPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDKQEMIRQGLIEPPKPKVKLSNLMKVLGSEATQDPTKLEMEIRSAAAEREQAHVDRNIARKLTPAERREKKERKLFDDPNTVETIVSVYRINDLSHPKTSFKVDVNAQENRLTGCAVISEGISVVVVEGGSKSIKRYGKLMLRRINWAEAVNDNDDDGDEDEEKPPNKCVLVWQGSVAKSSFSRFSVHECITEAAARKVFADAGVGHYWDLAVNFTDDEF >KJB72760 pep chromosome:Graimondii2_0_v6:11:47083570:47086337:-1 gene:B456_011G195300 transcript:KJB72760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGTRAPSVVARLMGLDSLPTYSEPYSTPLFDTQSLRDAHFRNRNLNYHHDKLGVYPGDLFSKIEGPVRNFMESMPQKTVSKPIEKFQIESLPPKAAKTIPITHHKLLSPIKSPGFIPIKNAAHIMETAARIIQPGPQAATRAKMPQVGSSSVPVKVRDYKEKMEAAQKMPVVRSSSVPLKAQDLREKTESAHNTSRLTERTRRPVESNAAKYLKGQSLNKSWNGYIETTSPRTSDTEEISSALKNKGRSISLAIQAKVNVQKREGLASSSNRNLLGSKDQSEVKCSQAFKIQPSTQKSLHKKPSMHNSSSVLRQNNQKQNSIADKDKLPSKNAGANLHSRKVLSGDSAFAQHRMSVKTVGNSKTGSRKLGLVTADSEKGGPYSSTKNPRKKRSIDRDFHFEKNQVVDSLLIDRNQKKDHPVTERNISWVEDSKKKGMDVVSFTFTAPLTRSMETSQVSQKNNSFCLDNRGKRLLLDTESLKLPSSGYNVIGGDALSMLLEQKLRELSNAVESSCQKSLNSGSPSSSTSFSHDFVQSTPNAFNSLPQLHDKLGSCHSSDLSCADFQLLGLKHKLQGGVDECSSSPLDAWQPSPVSILEPTFSTESCNSSDSTDSFSIEGSKQCSSVQAQEVLGLSSLKKLRSLEADTELSDSASSMYSRTVAKCNENIVVMSESMKSSNWELEYVKLILCNVELMFKDFALGRARETINPHLFDQLESRSVGFGRDGESRLERKVLFDSVSECMDLRCRRYVGGGYKTWTNGMTILRRNEWLAEEVYKEICGWRGMGDCMVDELVDKDMRSQHGKWLDFEVDVFALGADIEGQILNALVDEMISEFLPF >KJB72759 pep chromosome:Graimondii2_0_v6:11:47083178:47087973:-1 gene:B456_011G195300 transcript:KJB72759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDKEGPKNGGYVGGFFQLFDWKAKSRKKLFSSKSDFPERSKQGKRNDGNLPMTRLHLTDEDELGAGTSIKGSSDYSCASSVTDDDMYGTRAPSVVARLMGLDSLPTYSEPYSTPLFDTQSLRDAHFRNRNLNYHHDKLGVYPGDLFSKIEGPVRNFMESMPQKTVSKPIEKFQIESLPPKAAKTIPITHHKLLSPIKSPGFIPIKNAAHIMETAARIIQPGPQAATRAKMPQVGSSSVPVKVRDYKEKMEAAQKMPVVRSSSVPLKAQDLREKTESAHNTSRLTERTRRPVESNAAKYLKGQSLNKSWNGYIETTSPRTSDTEEISSALKNKGRSISLAIQAKVNVQKREGLASSSNRNLLGSKDQSEVKCSQAFKIQPSTQKSLHKKPSMHNSSSVLRQNNQKQNSIADKDKLPSKNAGANLHSRKVLSGDSAFAQHRMSVKTVGNSKTGSRKLGLVTADSEKGGPYSSTKNPRKKRSIDRDFHFEKNQVVDSLLIDRNQKKDHPVTERNISWVEDSKKKGMDVVSFTFTAPLTRSMETSQVSQKNNSFCLDNRGKRLLLDTESLKLPSSGYNVIGGDALSMLLEQKLRELSNAVESSCQKSLNSGSPSSSTSFSHDFVQSTPNAFNSLPQLHDKLGSCHSSDLSCADFQLLGLKHKLQGGVDECSSSPLDAWQPSPVSILEPTFSTESCNSSDSTDSFSIEGSKQCSSVQAQEVLGLSSLKKLRSLEADTELSDSASSMYSRTVAKCNENIVVMSESMKSSNWELEYVKLILCNVELMFKDFALGRARETINPHLFDQLESRSVGFGRDGESRLERKVLFDSVSECMDLRCRRYVGGGYKTWTNGMTILRRNEWLAEEVYKEICGWRGMGDCMVDELVDKDMRSQHGKWLDFEVDVFALGADIEGQILNALVDEMISEFLPF >KJB72761 pep chromosome:Graimondii2_0_v6:11:47083178:47087732:-1 gene:B456_011G195300 transcript:KJB72761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDKEGPKNGGYVGGFFQLFDWKAKSRKKLFSSKSDFPERSKQGKRNDGNLPMTRLHLTDEDELGAGTSIKGSSDYSCASSVTDDDMYGTRAPSVVARLMGLDSLPTYSEPYSTPLFDTQSLRDAHFRNRNLNYHHDKLGVYPGDLFSKIEGPVRNFMESMPQKTVSKPIEKFQIESLPPKAAKTIPITHHKLLSPIKSPGFIPIKNAAHIMETAARIIQPGPQAATRAKMPQVGSSSVPVKVRDYKEKMEAAQKMPVVRSSSVPLKAQDLREKTESAHNTSRLTERTRRPVESNAAKYLKGQSLNKSWNGYIETTSPRTSDTEEISSALKNKGRSISLAIQAKVNVQKREGLASSSNRNLLGSKDQSEVKCSQAFKIQPSTQKSLHKKPSMHNSSSVLRQNNQKQNSIADKDKLPSKNAGANLHSRKVLSGDSAFAQHRMSVKTVGNSKTGSRKLGLVTADSEKGGPYSSTKNPRKKRSIDRDFHFEKNQVVDSLLIDRNQKKDHPVTERNISWVEDSKKKGMDVVSFTFTAPLTRSMETSQVSQKNNSFCLDNRGKRLLLDTESLKLPSSGYNVIGGDALSMLLEQKLRELSNAVESSCQKSLNSGSPSSSTSFSHDFVQSTPNAFNSLPQLHDKLGSCHSSDLSCADFQLLGLKHKLQGGVDECSSSPLDAWQPSPVSILEPTFSTESCNSSDSTDSFSIEGSKQCSSVQAQEVLGLSSLKKLRSLEADTELSDSASSMYSRTVAKCNENIVVMSESMKSSNWELEYVKLILCNVELMFKDFALGRARETINPHLFDQLESRSVGFGRDGESRLERKVLFDSVSECMDLRCRRYVGGGYKTWTNGMTILRRNEWLAEEVYKEICGWRGMGDCMVDELVDKDMRSQHGKWLDFEVDVFALGADIEGQILNALVDEMISEFLPF >KJB74077 pep chromosome:Graimondii2_0_v6:11:60326775:60330405:1 gene:B456_011G270900 transcript:KJB74077 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related protein 2 [Source:Projected from Arabidopsis thaliana (AT2G39830) TAIR;Acc:AT2G39830] MAPPPPPPPPPPPSVVNHLSHPCIYGDFNNSYPERKSRIMKWLSKLFKSSSSGRRGGGSGGYNPHYLGEENMVVRAPVRMPDERPRSKKEQEELDHAIALSLSEGMGKPNGYNEWRRDNNYGGALPRGADNGGLNSSAYPPYGNMQYHPVGYRVCAGCHREIGYGNYLGCMGAYFHPNCFRCHSCGYPITEHEFSLSGRDPYHKTCFKELTHPKCDVCLQFIPTNGAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESWNVRYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVERQALNEAIVGEKNGYHHMPETRGLCLSEEQTVTSILKRPRIGGRQLIGMRTQPQKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVLPGSSSRASTSAASSSSSSSKKGGKSNVENKLGEFFIHQIAHDASPAYGGGFRAANAAVNRYGLRQTLDHIRLTGEFPIVKT >KJB74272 pep chromosome:Graimondii2_0_v6:11:61681937:61685702:-1 gene:B456_011G284300 transcript:KJB74272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWNSSVHFCQWHGVTCSRKLRRVTKLELQLLKLSGSLSPYIGNLSFLKELNLEGNSFYNQIPQEICRLRRLETLELSNNSITGEIPSNLSACYKLTLVGMWGNQLTGEIPASLGLLSNLKVLNFAINRLRGSIPPSLGNLSSLEELSLWTNSLSGVIPEAIGQLTNLSVFLVEENAISGTIPFTMFNLSNMRSFDIGGNNIQGTLPSDLAITMPYLEFFSAWKNQISGKIPISISNASNLNILQLNENRLIGNVPYLEKLDKLANLLLGPNHLGNGREGDLNFLCSLINNTKIETIDIQTNNFGGVLPECISNFSSTLLFLVIENNKILGKIPDGIGNLINLQVLRVSQNQLSGPIPLNIGRIQKLNRFDARYKFLTGTIPHSIGNLTGLGHCQNLLTLGLSYNNLSGSIPPQVLALSSLSILLNLSSNYLTGELPAEVEKLKNLGDLDVSKNKLSGLLPNSLGSCVRLEKLFLGGNLFEGPIPSSMSSLRGLAALDVSDNNLSGEIPEFLASFGALEYLNLSFNDFEGIIPSGGVFKNSSATFVEGNDKLCGGITELHLSRCNSEKSSNTSLRLKLVIVSVILGVTLIFLFLLIMLFRKKKEHQPTATCAENSLLRLSYQSILRATNGFSTQNLVGLGSFGSVYRGILEETGEVIAVKVLNLLNHGASRSFLAECEVLKNIRHRNLVKLLTAVSGADYQGNDFKALVYEFMVNGSLEDWLHPPAGANEPETIRNLNLFQRLNVAIDVAHALEYLHHPSQILIIHCDLKPSNILLDAEMVAHISDFGLAKILSADRLNYSASQSSSLGLRGTIGYAPPEYGMGSELSTKGDVYSYGILLLEMFTGKRPTDEKFKEGLSLHNFVKSALPDRVIEILDPILVEERVQQGTLKGKCLRNEIHLQCLNLIYEIGLICSAESPSARMDTSDAVTKLCSIRDKLYPTGLHHERSIVDIYLMKP >KJB70489 pep chromosome:Graimondii2_0_v6:11:7233077:7238506:1 gene:B456_011G075600 transcript:KJB70489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSIVSAVLEKLSSFLMIPGEIKHNFELALALEEEIGKVIAHFQDIQSVLQDAESKQVKDANVRNWLKKLKDVAYDVDDVLDEWNSEKLKSQIEKEQKEARNFPLLKKMRNSISSFTFQTILYYKIASKLNGVNEQLDSIATEKDRYKFGLEKVVEEPRRLITASFIDDEEVYGRCQETEILVNVLVGENSSGGGLKLPVISIMGMGGIGKTTLAQLVYNHSDVECHFDKRIWICVSDPFDEIRIAKEILEAFKGESLNLVGKDNILQEIRSHVSGKKVLLVLDDVWSEDATRWEQLRTSLKHCSPGSRILITTRNEKVAIIMGTTSSNLFLLNTLSLEECWSLLSRRAYYGRTREECENLDDIGWKIAEKCQGLPLAAKILGGLLRFKRTREQWQNVLDSEMWDIEEAEQDLFPPLFLSYYELPLAFKQCISYCAVFPKGMILRKDELIKLWMAQDYLKGVRCEEMEIVGEEYFDELHMRSFFQDFRGDELDSGIMKYKMHDIIHDFLLVLMKTECVMLVNHDSEELKADLSCESARYGTLIRKEAAPTEPNIFRFRKLRSLLIDSSYHDTSSLRLYLPRLFDQLTCLRTLNLSNGLFRNSIEELPDEIGKLVHLRYLNLKNNKKLKELPESLCGLCNLQTLNLKWCDSLKELPCGIGKIINLRHLENDQTNLSLMAIPKGIGRLTNLQTLSLFVVMGNSDTSSLGDLQNLIHLRGLLKISGLGAVCDVTEAKKAELENKKGLRTLILDFTISKGLMSNRWQRDDGRLLEALRPPPYLEELEIWWYSSPTISANWMMGLTKLSHVSLGYCLHLKSLPPLGKLPSLESLYIGGMSRVEKVSVEFLGVEREEILAPSSSLESPLSSVIAFPSLKHLEFEFFDLEEWEDWMPLTSREEHISIMPRLCSLTINSCPKLKALPCYVLHNTSLKQLNISQSPILSERCRKETGEDWLKISHIPSIMIDSVRIQVNCSSVEEFGVLQFQPLHSSSLSSKNFLKAFSQN >KJB70488 pep chromosome:Graimondii2_0_v6:11:7233077:7238487:1 gene:B456_011G075600 transcript:KJB70488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSIVSAVLEKLSSFLMIPGEIKHNFELALALEEEIGKVIAHFQDIQSVLQDAESKQVKDANVRNWLKKLKDVAYDVDDVLDEWNSEKLKSQIEKEQKEARNFPLLKKMRNSISSFTFQTILYYKIASKLNGVNEQLDSIATEKDRYKFGLEKVVEEPRRLITASFIDDEEVYGRCQETEILVNVLVGENSSGGGLKLPVISIMGMGGIGKTTLAQLVYNHSDVECHFDKRIWICVSDPFDEIRIAKEILEAFKGESLNLVGKDNILQEIRSHVSGKKVLLVLDDVWSEDATRWEQLRTSLKHCSPGSRILITTRNEKVAIIMGTTSSNLFLLNTLSLEECWSLLSRRAYYGRTREECENLDDIGWKIAEKCQGLPLAAKILGGLLRFKRTREQWQNVLDSEMWDIEEAEQDLFPPLFLSYYELPLAFKQCISYCAVFPKGMILRKDELIKLWMAQDYLKGVRCEEMEIVGEEYFDELHMRSFFQDFRGDELDSGIMKYKMHDIIHDFLLVLMKTECVMLVNHDSEELKADLSCESARYGTLIRKEAAPTEPNIFRFRKLRSLLIDSSYHDTSSLRLYLPRLFDQLTCLRTLNLSNGLFRNSIEELPDEIGKLVHLRYLNLKNNKKLKELPESLCGLCNLQTLNLKWCDSLKELPCGIGKIINLRHLENDQTNLSLMAIPKGIGRLTNLQTLSLFVVMGNSDTSSLGDLQNLIHLRGLLKISGLGAVCDVTEAKKAELENKKGLRTLILDFTISKGLMSNRWQRDDGRLLEALRPPPYLEELEIWWYSSPTISANWMMGLTKLSHVSLGYCLHLKSLPPLGKLPSLESLYIGGMSRVEKVSVEFLGVEREEILAPSSSLESPLSSVIAFPSLKHLEFEFFDLEEWEDWMPLTSREEHISIMPRLCSLTINSCPKLKALPCYVLHNTSLKQLNISQSPILSERCRKETGEDWLKISHIPSIMIDSVRIQVNCSSVEEFGVLQFQPLHSSSLSSKNFLKAFSQN >KJB70490 pep chromosome:Graimondii2_0_v6:11:7233077:7239197:1 gene:B456_011G075600 transcript:KJB70490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSIVSAVLEKLSSFLMIPGEIKHNFELALALEEEIGKVIAHFQDIQSVLQDAESKQVKDANVRNWLKKLKDVAYDVDDVLDEWNSEKLKSQIEKEQKEARNFPLLKKMRNSISSFTFQTILYYKIASKLNGVNEQLDSIATEKDRYKFGLEKVVEEPRRLITASFIDDEEVYGRCQETEILVNVLVGENSSGGGLKLPVISIMGMGGIGKTTLAQLVYNHSDVECHFDKRIWICVSDPFDEIRIAKEILEAFKGESLNLVGKDNILQEIRSHVSGKKVLLVLDDVWSEDATRWEQLRTSLKHCSPGSRILITTRNEKVAIIMGTTSSNLFLLNTLSLEECWSLLSRRAYYGRTREECENLDDIGWKIAEKCQGLPLAAKILGGLLRFKRTREQWQNVLDSEMWDIEEAEQDLFPPLFLSYYELPLAFKQCISYCAVFPKGMILRKDELIKLWMAQDYLKGVRCEEMEIVGEEYFDELHMRSFFQDFRGDELDSGIMKYKMHDIIHDFLLVLMKTECVMLVNHDSEELKADLSCESARYGTLIRKEAAPTEPNIFRFRKLRSLLIDSSYHDTSSLRLYLPRLFDQLTCLRTLNLSNGLFRNSIEELPDEIGKLVHLRYLNLKNNKKLKELPESLCGLCNLQTLNLKWCDSLKELPCGIGKIINLRHLENDQTNLSLMAIPKGIGRLTNLQTLSLFVVMGNSDTSSLGDLQNLIHLRGLLKISGLGAVCDVTEAKKAELENKKGLRTLILDFTISKGLMSNRWQRDDGRLLEALRPPPYLEELEIWWYSSPTISANWMMGLTKLSHVSLGYCLHLKSLPPLGKLPSLESLYIGGMSRVEKVSVEFLGVEREEILAPSSSLESPLSSVIAFPSLKHLEFEFFDLEEWEDWMPLTSREEHISIMPRLCSLTINSCPKLKALPCYVLHNTSLKQLNISQSPILSERCRKETGEDWLKISHIPSIMIDSVRIQVNCSSVEEFGVLQFQPLHSSSLSSKNFLKAFSQN >KJB68688 pep chromosome:Graimondii2_0_v6:11:7658571:7659474:-1 gene:B456_011G0776002 transcript:KJB68688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASKKVADAKLAKDFQAVLKEFQKAQRLAAERETSYAPSVPKAVLPSSYSADEVDVGSDAGAVQQAFLLESRRSFTCST >KJB68689 pep chromosome:Graimondii2_0_v6:11:7658571:7659484:-1 gene:B456_011G0776002 transcript:KJB68689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLYSKPFFWNQEEASPVVHEETGPSEANLFSGKYILDSNQAPRKQVKPVARLQKILKFRLLLDEDVQVETNSQNNSIL >KJB72372 pep chromosome:Graimondii2_0_v6:11:39835453:39839855:1 gene:B456_011G174600 transcript:KJB72372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQQSKDELLYNQVNYGNAEGIKSLFREGAGLEWMDREGKTPLILACLNPQLFDVAKTLIELGSNVNAYRPGRHGGTPLHHAAKRGHLNTVKLLLFHGANPLVMNDDCQTPLDVARVKGNVNVVRAIEDHICLFSGWMREFYGPGFIEMFVPQLLSRKVWVVVLPTGSRNNSKPFKLELAIYSTLQDAQPRTVIALWKANLEEPKLDQPDPSVAILDNSSISRRGRRRRTIYTSREARCKAGIVRRKHETRIKLAPGNENDRQQLQWFCDACKGIPQASGPAFLHVSQPPTAPADAEDLELAMAINASIQSAIAETPNFELHTGNEASSSSSWGNSASTSAHGGSVGPVEVPPSKASTSEWTMTEASSSGDSTAGTNVHNSNISSVLMGVQTADSVPTAPPAMDEIVEDGPIQYPSIDSTPINMPTSGAENLAANAGQTKEDGGPSSCTICLDAPSEAACVPCGHVAGCMSCLNEIKAKKWGCPVCRAKIEQVIKLYRV >KJB72369 pep chromosome:Graimondii2_0_v6:11:39836515:39839639:1 gene:B456_011G174600 transcript:KJB72369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNMFYLKVKSSLISNLFELSANPLVMNDDCQTPLDVARVKGNVNVVRAIEDHICLFSGWMREFYGPGFIEMFVPQLLSRKVWVVVLPTGSRNNSKPFKLELAIYSTLQDAQPRTVIALWKANLEEPKLDQPDPSVAILDNSSISRRGRRRRTIYTSREARCKAGIVRRKHETRIKLAPGNENDRQQLQWFCDACKGIPQASGPAFLHVSQPPTAPADAEDLELAMAINASIQSAIAETPNFELHTGNEASSSSSWGNSASTSAHGGSVGPVEVPPSKASTSEWTMTEASSSGDSTAGTNVHNSNISSVLMGVQTADSVPTAPPAMDEIVEDGPIQYPSIDSTPINMPTSGAENLAANAGQTKEDGGPSSCTICLDAPSEAACVPCGHVAGCMSCLNEIKAKKWGCPVCRAKIEQVIKLYRV >KJB72371 pep chromosome:Graimondii2_0_v6:11:39835453:39839828:1 gene:B456_011G174600 transcript:KJB72371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQQSKDELLYNQVNYGNAEGIKSLFREGAGLEWMDREGKTPLILACLNPQLFDVAKTLIELGSNVNAYRPGRHGGTPLHHAAKRGHLNTVKLLLFHGANPLVMNDDCQTPLDVARVKGNVNVVRAIEDHICLFSGWMREFYGPGFIEMFVPQLLSRKVWVVVLPTGSRNNSKPFKLELAIYSTLQDAQPRTVIALWKANLEEPKLDQPDPSVAILDNSSISRRGRRRRTIYTSREARCKAGIVRRKHETRIKLAPGNENDRQQLQWFCDACKGIPQPPTAPADAEDLELAMAINASIQSAIAETPNFELHTGNEASSSSSWGNSASTSAHGGSVGPVEVPPSKASTSEWTMTEASSSGDSTAGTNVHNSNISSVLMGVQTADSVPTAPPAMDEIVEDGPIQYPSIDSTPINMPTSGAENLAANAGQTKEDGGPSSCTICLDAPSEAACVPCGHVAGCMSCLNEIKAKKWGCPVCRAKIEQVIKLYRV >KJB72373 pep chromosome:Graimondii2_0_v6:11:39835453:39839855:1 gene:B456_011G174600 transcript:KJB72373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQQSKDELLYNQVNYGNAEGIKSLFREGAGLEWMDREGKTPLILACLNPQLFDVAKTLIELGSNVNAYRPGRHGGTPLHHAAKRGHLNTVKLLLFHGANPLVMNDDCQTPLDVARVKGNVNVVRAIEDHICLFSGWMREFYGPGFIEMFVPQLLSRKVWVVVLPTGSRNNSKPFKLELAIYSTLQDAQPRTVIALWKANLEEPKLDQPDPSVAILDNSSKTRIKLAPGNENDRQQLQWFCDACKGIPQASGPAFLHVSQPPTAPADAEDLELAMAINASIQSAIAETPNFELHTGNEASSSSSWGNSASTSAHGGSVGPVEVPPSKASTSEWTMTEASSSGDSTAGTNVHNSNISSVLMGVQTADSVPTAPPAMDEIVEDGPIQYPSIDSTPINMPTSGAENLAANAGQTKEDGGPSSCTICLDAPSEAACVPCGHVAGCMSCLNEIKAKKWGCPVCRAKIEQVIKLYRV >KJB72370 pep chromosome:Graimondii2_0_v6:11:39835453:39839828:1 gene:B456_011G174600 transcript:KJB72370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQQSKDELLYNQVNYGNAEGIKSLFREGAGLEWMDREGKTPLILACLNPQLFDVAKTLIELGSNVNAYRPGRHGGTPLHHAAKRGHLNTVKLLLFHGANPLVMNDDCQTPLDVARVKGNVNVVRAIEDHICLFSGWMREFYGPGFIEMFVPQLLSRKVWVVVLPTGSRNNSKPFKLELAIYSTLQDAQPRTVIALWKANLEEPKLDQPDPSVAILDNSSKTRIKLAPGNENDRQQLQWFCDACKGIPQPPTAPADAEDLELAMAINASIQSAIAETPNFELHTGNEASSSSSWGNSASTSAHGGSVGPVEVPPSKASTSEWTMTEASSSGDSTAGTNVHNSNISSVLMGVQTADSVPTAPPAMDEIVEDGPIQYPSIDSTPINMPTSGAENLAANAGQTKEDGGPSSCTICLDAPSEAACVPCGHVAGCMSCLNEIKAKKWGCPVCRAKIEQVIKLYRV >KJB73705 pep chromosome:Graimondii2_0_v6:11:57122538:57123832:1 gene:B456_011G245000 transcript:KJB73705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIDNEEDDEYIDMEITSFSNYFTNSRNSREFEFQMSSISIEKEPTSSPADELFYNGKLLPLHLPPQLLQFSGSGSGYGDFNNGVVEELYGTPLTTTVTTPTSTSTPFESCNISPCDSCYVSGELNPDEYSSTSLFYEYSTETEVSRCFDENPKKSWTKKLKLSSKLKASRAYLKALFGKSGCTDESSAAAKDGNQTTVSKPKRRNNADKGKLVDNSDGNGNSNRHRRSLSTTATKVS >KJB73706 pep chromosome:Graimondii2_0_v6:11:57122538:57123832:1 gene:B456_011G245000 transcript:KJB73706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIDNEEDDEYIDMEITSFSNYFTNSRNSREFEFQMSSISIEKEPTSSPADELFYNGKLLPLHLPPQLLQFSGSGSGYGDFNNGVVEELYGTPLTTTVTTPTSTSTPFESCNISPCDSCYVSGELNPDEYSSTSLFYEYSTETEVSRCFDENPKKSWTKKLKLSSKLKASRAYLKALFGKSGCTDESSAAAKDGNQTTVSKPKRRNNADKGKLVDNSDGNGNSNRHRRSLSTTATKGYSLTNKSSTSSSSSSSSSSNSNGSNGFPYLQFLKRSSSVNTEIENPIQGAIAHCKRSQAQKMMASTKIVGEVGYYSLSASNIPVFEEQDRPDHCRG >KJB71848 pep chromosome:Graimondii2_0_v6:11:23110303:23112724:-1 gene:B456_011G144500 transcript:KJB71848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFASLAFQTQHHRPKSHSPVFSLLFSSLLFCPVSTPKSEGKAMSDDEGTGEDYLFKIVIIGDSAVGKSNLLSRYARNEFSPHSKATIGVEFQTQTMEINGKEVKAQIWDTAGQERFRAVTSAYYRGAAGALIVYDISRRTTFDSVGRWLDELKTHSDTTVARILVGNKCDLETIRDVSVEEGKSLAEAEGLFFMETSALDSTNVNKAFELVIREIYNNVSRKVLNSDTQKAELTVNRVTLTETDGSKKTQNFSCCSR >KJB70454 pep chromosome:Graimondii2_0_v6:11:16055223:16055308:-1 gene:B456_011G1186002 transcript:KJB70454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PFLLEAPLKVCSLAAFVRKSAAKGHGL >KJB72321 pep chromosome:Graimondii2_0_v6:11:37890799:37892831:-1 gene:B456_011G171000 transcript:KJB72321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVETEVAAAGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMISHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KJB69304 pep chromosome:Graimondii2_0_v6:11:1079029:1080339:-1 gene:B456_011G015300 transcript:KJB69304 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBP45B [Source:Projected from Arabidopsis thaliana (AT1G11650) UniProtKB/TrEMBL;Acc:A0A178WLD6] MMQQPAQAMVPPPMAPPPSMAGAPQTQQQYQYQQPPPAPVPQTQQPYMMMNMMQPPQSHPPPMWPPQQGSAGVPAQQQPAGQPANADEVRTLWIGDLQYYMDENYLLSCFAQTGEVASVKVIRNKQTGQVEGYGFIEFVSRAAAERVLQTYNGTPMPNGESNYRLNWASFSSGDRRDETPEFTIFVGDLAADVTDYMLQETFRAHFPSVKGAKVVIDRITGRTKGYGFVRFGDETEYNRAMTEMNGAFCSTRPMRIGPATNKKTGAAQQYPAGMLAGGCLFYPSPLGYFDSS >KJB69301 pep chromosome:Graimondii2_0_v6:11:1076714:1080434:-1 gene:B456_011G015300 transcript:KJB69301 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBP45B [Source:Projected from Arabidopsis thaliana (AT1G11650) UniProtKB/TrEMBL;Acc:A0A178WLD6] MMQQPAQAMVPPPMAPPPSMAGAPQTQQQYQYQQPPPAPVPQTQQPYMMMNMMQPPQSHPPPMWPPQQGSAGVPAQQQPAGQPANADEVRTLWIGDLQYYMDENYLLSCFAQTGEVASVKVIRNKQTGQVEGYGFIEFVSRAAAERVLQTYNGTPMPNGESNYRLNWASFSSGDRRDETPEFTIFVGDLAADVTDYMLQETFRAHFPSVKGAKVVIDRITGRTKGYGFVRFGDETEYNRAMTEMNGAFCSTRPMRIGPATNKKTGAAQQYPAASSQGTQNENDPNNTTIFVGNLDSNVTEDHLREVFSPYGQLVHVKIPQNKRCGFVQFADRSCAEEALRILNGTQLGGQSIRLSWGRSTSNKQPLACFAFQAQADPNQWNAGYYGYSQGYGYGYGAAPQDPNMYYGGYPGYGNYQQPQQQQQVGYS >KJB69303 pep chromosome:Graimondii2_0_v6:11:1076714:1080513:-1 gene:B456_011G015300 transcript:KJB69303 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBP45B [Source:Projected from Arabidopsis thaliana (AT1G11650) UniProtKB/TrEMBL;Acc:A0A178WLD6] MMQQPAQAMVPPPMAPPPSMAGAPQTQQQYQYQQPPPAPVPQTQQPYMMMNMMQPPQSHPPPMWPPQQGSAGVPAQQQPAGQPANADEVRTLWIGDLQYYMDENYLLSCFAQTGEVASVKVIRNKQTGQVEGYGFIEFVSRAAAERVLQTYNGTPMPNGESNYRLNWASFSSGDRRDETPEFTIFVGDLAADVTDYMLQETFRAHFPSVKGAKVVIDRITGRTKGYGFVRFGDETEYNRAMTEMNGAFCSTRPMRIGPATNKKTGAAQQYPAASSQGTQNENDPNNTTIFVGNLDSNVTEDHLREVFSPYGQLVHVKIPQNKRCGFVQFADRSCAEEALRILNGTQLGGQSIRLSWGRSTSNKQAQADPNQWNAGYYGYSQGYGYGYGAAPQDPNMYYGGYPGYGNYQQPQQQQQVGYS >KJB69305 pep chromosome:Graimondii2_0_v6:11:1077971:1080434:-1 gene:B456_011G015300 transcript:KJB69305 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBP45B [Source:Projected from Arabidopsis thaliana (AT1G11650) UniProtKB/TrEMBL;Acc:A0A178WLD6] MMQQPAQAMVPPPMAPPPSMAGAPQTQQQYQYQQPPPAPVPQTQQPYMMMNMMQPPQSHPPPMWPPQQGSAGVPAQQQPAGQPANADEVRTLWIGDLQYYMDENYLLSCFAQTGEVASVKVIRNKQTGQVEGYGFIEFVSRAAAERVLQTYNGTPMPNGESNYRLNWASFSSGDRRDETPEFTIFVGDLAADVTDYMLQETFRAHFPSVKGAKVVIDRITGRTKGYGFVRFGDETEYNRAMTEMNGAFCSTRPMRIGPATNKKTGAAQQYPAEQGARRLYHLGAWN >KJB69302 pep chromosome:Graimondii2_0_v6:11:1076714:1080434:-1 gene:B456_011G015300 transcript:KJB69302 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBP45B [Source:Projected from Arabidopsis thaliana (AT1G11650) UniProtKB/TrEMBL;Acc:A0A178WLD6] MMQQPAQAMVPPPMAPPPSMAGAPQTQQQYQYQQPPPAPVPQTQQPYMMMNMMQPPQSHPPPMWPPQQGSAGVPAQQQPAGQPANADEVRTLWIGDLQYYMDENYLLSCFAQTGEVASVKVIRNKQTGQVEGYGFIEFVSRAAAERVLQTYNGTPMPNGESNYRLNWASFSSGDRRDETPEFTIFVGDLAADVTDYMLQETFRAHFPSVKGAKVVIDRITGRTKGYGFVRFGDETEYNRAMTEMNGAFCSTRPMRIGPATNKKTGAAQQYPAASSQGTQNENDPNNTTVGTLSL >KJB72818 pep chromosome:Graimondii2_0_v6:11:48130614:48142103:1 gene:B456_011G199200 transcript:KJB72818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQEKPQGNANSMQRVKVYRLNDDGKWDDQGTGHVTVDYLERSEELALFVFDEEDNETLLSHRISPDDIYRKQEDTIISWRDSEYCTDLALSFQENTGCSYIWEHICKVQGNMHFNSLNHETFHTMNNELRELPAVELSTLPIILKIVTESSLADQMQLTELILNDQAFFQKLMDLFRICEDLENVDGLHMMFKIVKGIIMLNSPQVFEKIFGDELIIDIIGSLEYDPFVPQVQQYRKFLKEQAVFKEVIPFKNPVVLSKIHQTYRVGYLKDVVLARVFDEATVASLNSIIHSNNAIVISLLKDDSTFIQELFARLRSPTTSAESKKNLVYFLHEFCSLSKSLQMGQQLPIFRDLMNEGIVDIITDALQSEDKKIVLMGTDILILFLNQDPNLLRSYVVRQEENLLLGLLVKGMITDFGEDMHCQFLEILRSLLDSVTFTGAQRDTIVETFYEKHLGQLIDVIILSCPHDEVSTGKLAGTAGRVESQNSTKPEILLNICELLCFCVVHHPYRIKCNFLLNNAIDKVLLLTQRREKYLVAAAVRFVRTILSRHDEHLISHFVKYSLLKSIVDAFVANGNRYNVLNSAVLELFEYIRKENLKLLVKHIVDSFWNQLVQFEHLPSLQSFKVKYKQFLENCGPETNVNAPDPRKRIDERALEKEEEDYFNEESDEEDTTSASHPQKVQSQPVLSNGVSVSCPSSRPRSGGLVDYDDEDDEDYRPPPRKQTETSEDDEGSMESLGLKRKLTPKEKEPGLAKKQRLGKSSKPRDSVFAALCSTLSQAVLPSKKTANAMHLSTQSGEENHIEKESGSPRSCDNNSPNEGNLREKESPRNCSEYLHSPSQLSGEDPRSLLPSKSSPEMAVNGS >KJB72817 pep chromosome:Graimondii2_0_v6:11:48130716:48140562:1 gene:B456_011G199200 transcript:KJB72817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQEKPQGNANSMQRVKVYRLNDDGKWDDQGTGHVTVDYLERSEELALFVFDEEDNETLLSHRISPDDIYRKQEDTIISWRDSEYCTDLALSFQENTGCSYIWEHICKVQGNMHFNSLNHETFHTMNNELRELPAVELSTLPIILKIVTESSLADQMQLTELILNDQAFFQKLMDLFRICEDLENVDGLHMMFKIVKGIIMLNSPQVFEKIFGDELIIDIIGSLEYDPFVPQVQQYRKFLKEQAVFKEVIPFKNPVVLSKIHQTYRVGYLKDVVLARVFDEATVASLNSIIHSNNAIVISLLKDDSTFIQELFARLRSPTTSAESKKNLVYFLHEFCSLSKSLQMGQQLPIFRDLMNEGIVDIITDALQSEDKKIVLMGTDILILFLNQDPNLLRSYVVRQEENLLLGLLVKGMITDFGEDMHCQFLEILRSLLDSVTFTGAQRDTIVETFYEKHLGQLIDVIILSCPHDEVSTGKLAGTAGRVESQNSTKPEILLNICELLCFCVVHHPYRIKCNFLLNNAIDKVLLLTQRREKYLVAAAVRFVRTILSRHDEHLISHFVKYSLLKSIVDAFVANGNRYNVLNSAVLELFEYIRKENLKLLVKHIVDSFWNQLVQFEHLPSLQSFKVKYKQVFQFLTSFPILQL >KJB72816 pep chromosome:Graimondii2_0_v6:11:48130449:48142103:1 gene:B456_011G199200 transcript:KJB72816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQEKPQGNANSMQIVTESSLADQMQLTELILNDQAFFQKLMDLFRICEDLENVDGLHMMFKIVKGIIMLNSPQVFEKIFGDELIIDIIGSLEYDPFVPQVQQYRKFLKEQAVFKEVIPFKNPVVLSKIHQTYRVGYLKDVVLARVFDEATVASLNSIIHSNNAIVISLLKDDSTFIQELFARLRSPTTSAESKKNLVYFLHEFCSLSKSLQMGQQLPIFRDLMNEGIVDIITDALQSEDKKIVLMGTDILILFLNQDPNLLRSYVVRQEENLLLGLLVKGMITDFGEDMHCQFLEILRSLLDSVTFTGAQRDTIVETFYEKHLGQLIDVIILSCPHDEVSTGKLAGTAGRVESQNSTKPEILLNICELLCFCVVHHPYRIKCNFLLNNAIDKVLLLTQRREKYLVAAAVRFVRTILSRHDEHLISHFVKYSLLKSIVDAFVANGNRYNVLNSAVLELFEYIRKENLKLLVKHIVDSFWNQLVQFEHLPSLQSFKVKYKQFLENCGPETNVNAPDPRKRIDERALEKEEEDYFNEESDEEDTTSASHPQKVQSQPVLSNGVSVSCPSSRPRSGGLVDYDDEDDEDYRPPPRKQTETSEDDEGSMESLGLKRKLTPKEKEPGLAKKQRLGKSSKPRDSVFAALCSTLSQAVLPSKKTANAMHLSTQSGEENHIEKESGSPRSCDNNSPNEGNLREKESPRNCSEYLHSPSQLSGEDPRSLLPSKSSPEMAVNGS >KJB71791 pep chromosome:Graimondii2_0_v6:11:22484812:22493111:1 gene:B456_011G142400 transcript:KJB71791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKRDKGFYHSLSRKELQSLCKKYGLPANRSSSDMGKSVASYLETQRLGSMTTTERSDEIQESGIPLALKPPFRNADKDFYGLISCPADSFNGGNYPQAVKCNAFGCCAGDKFYHKDGYGVGSTFFQQTPQPQFVTQYNDNDSRNDEFPTTFFNRNCLTLTRDGRMNDMPQTEHKDTNVGACSNEAAFCSSINTPTLSPFQFHVSSEEGINLYVDLNSNPSDWVEKLKGEVSICQEMSHSKSQSFHKEHGCFGEGSKPVKDSFQLNVDAEKIKDSHIHSGLPPSLIIKENDALQLDHLDGDDDGPLDSTIMTSCAEAVEVSQLLEGHQGMSSFEALPDFQDEVNYSGVSSAKDGCLITLDSNINSPQEMLASDGVLNISDGPLNLLTVKHQNSNLENEICDNSALHKGSNLVSSGEIVPGCLSDGSLQMPMPKDVVHQKNKLHPPRGNGQFVNLVDPKHNIYADPGGLVGSTGLDQETYRNQLPILVEERMLTQ >KJB71787 pep chromosome:Graimondii2_0_v6:11:22484980:22492076:1 gene:B456_011G142400 transcript:KJB71787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKRDKGFYHSLSRKELQSLCKKYGLPANRSSSDMGKSVASYLETQRLGSMTTTERSDEIQESGIPLALKPPFRNADKDFYGLISCPADSFNGGNYPQAVKCNAFGCCAGDKFYHKDGYGVGSTFFQQTPQPQFVTQYNDNDSRNDEFPTTFFNRNCLTLTRDGRMNDMPQTEHKDTNVGACSNEAAFCSSINTPTLSPFQFHVSSEEGINLYVDLNSNPSDWVEKLKGEVSICQEMSHSKSQSFHKEHGCFGEGSKPVKDSFQLNVDAEKIKDSHIHSGLPPSLIIKENDALQLDHLDGDDDGPLDSTIMTSCAEAVEVSQLLEGHQGMSSFEALPDFQDEVNYSGVSSAKDGCLITLDSNINSPQEMLASDGVLNISDGPLNLLTVKHQNSNLENEICDNSALHKGSNLVSSGEIVPGCLSDGSLQMPMPKDVVHQKNKLHPPRGNGQFVNLVDPKHNIYADPGGLVGSTGLDQETYRNQLPILVEERDRSKIINWGESLECSHNESFENCGMLDNVDSNGLGKKGAYVSGDQNNCSMLDAKVLRSAKHLSRKVLPRRSMRLVSK >KJB71788 pep chromosome:Graimondii2_0_v6:11:22484812:22493111:1 gene:B456_011G142400 transcript:KJB71788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKRDKGFYHSLSRKELQSLCKKYGLPANRSSSDMGKSVASYLETQRLGSMTTTERSDEIQESGIPLALKPPFRNADKDFYGLISCPADSFNGGNYPQAVKCNAFGCCAGDKFYHKDGYGVGSTFFQQTPQPQFVTQYNDNDSRNDEFPTTFFNRNCLTLTRDGRMNDMPQTEHKDTNVGACSNEAAFCSSINTPTLSPFQFHVSSEEGINLYVDLNSNPSDWVEKLKGEVSICQEMSHSKSQSFHKEHGCFGEGSKPVKDSFQLNVDAEKIKDSHIHSGLPPSLIIKENDALQLDHLDGDDDGPLDSTIMTSCAEAVEVSQLLEGHQGMSSFEALPDFQDEVNYSGVSSAKDGCLITLDSNINSPQEMLASDGVLNISDGPLNLLTVKHQNSNLENEICDNSALHKGSNLVSSGEIVPGCLSDGSLQMPMPKDVVHQKNKLHPPRGNGQFVNLVDPKHNIYADPGGLVGSTGLDQETYRNQLPILVEERDRSKIINWGESLECSHNESFENCGMLDNVDSNGLGKKGAYVSGDQNNCSMLDAKVLRSAKHLSRKVLPRRSMRLVSKVTSFFAEQFLSDNNKMFYQKSGQ >KJB71794 pep chromosome:Graimondii2_0_v6:11:22486713:22493111:1 gene:B456_011G142400 transcript:KJB71794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTERSDEIQESGIPLALKPPFRNADKDFYGLISCPADSFNGGNYPQAVKCNAFGCCAGDKFYHKDGYGVGSTFFQQTPQPQFVTQYNDNDSRNDEFPTTFFNRNCLTLTRDGRMNDMPQTEHKDTNVGACSNEAAFCSSINTPTLSPFQFHVSSEEGINLYVDLNSNPSDWVEKLKGEVSICQEMSHSKSQSFHKEHGCFGEGSKPVKDSFQLNVDAEKIKDSHIHSGLPPSLIIKENDALQLDHLDGDDDGPLDSTIMTSCAEAVEVSQLLEGHQGMSSFEALPDFQDEVNYSGVSSAKDGCLITLDSNINSPQEMLASDGVLNISDGPLNLLTVKHQNSNLENEICDNSALHKGSNLVSSGEIVPGCLSDGSLQMPMPKDVVHQKNKLHPPRGNGQFVNLVDPKHNIYADPGGLVGSTGLDQETYRNQLPILVEERDRSKIINWGESLECSHNESFENCGMLDNVDSNGLGKKGAYVSGDQNNCSMLDAKVLRSAKHLSRKVLPRRSMRLVSKVTSFFAEQFLSDNNKMFYQKSGQ >KJB71795 pep chromosome:Graimondii2_0_v6:11:22487097:22490742:1 gene:B456_011G142400 transcript:KJB71795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTERSDEIQESGIPLALKPPFRNADKDFYGLISCPADSFNGGNYPQAVKCNAFGCCAGDKFYHKDGYGVGSTFFQQTPQPQFVTQYNDNDSRNDEFPTTFFNRNCLTLTRDGRMNDMPQTEHKDTNVGACSNEAAFCSSINTPTLSPFQFHVSSEEGINLYVDLNSNPSDWVEKLKGEVSICQEMSHSKSQSFHKEHGCFGEGSKPVKDSFQLNVDAEKIKDSHIHSGLPPSLIIKENDALQLDHLDGDDDGPLDSTIMTSCAEAVEVSQLLEGHQGMSSFEALPDFQDEVNYSGVSSAKDGCLITLDSNINSPQEMLASDGVLNISDGPLNLLTVKHQNSNLENEICDNSALHKGSNLVSSGEIVPGCLSDGSLQMPMPKDVVHQKNKLHPPRGNGQFVNLVDPKHNIYADPGGLVGSTGLDQETYRNQLPILVEERDRSKIINWGESLECSHNESFENCGMLDNVDSNGLGKKGAYVSGDQNNCSMLDAKVLRSAKHLSRKVLPRRSMRLVSK >KJB71792 pep chromosome:Graimondii2_0_v6:11:22484812:22493111:1 gene:B456_011G142400 transcript:KJB71792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKRDKGFYHSLSRKELQSLCKKYGLPANRSSSDMGKSVASYLETQRLGSMTTTERSDEIQESGIPLALKPPFRNADKDFYGLISCPADSFNGGNYPQAVKCNAFGCCAGDKFYHKDGYGVGSTFFQQTPQPQFVTQYNDNDSRNDEFPTTFFNRNCLTLTRDGRMNDMPQTEHKDTNVGACSNEAAFCSSINTPTLSPFQFHVSSEEGINLYVDLNSNPSDWVEKLKGEVSICQEMSHSKSQSFHKEHGCFGEGSKPVKDSFQLNVDAEKIKDSHIHSGLPPSLIIKENDALQLDHLDGDDDGPLDSTIMTSCAEAVEVSQLLEGHQGMSSFEALPDFQDEVNYSGVSSAKDGCLITLDSNINSPQEMLASDGVLNISDGPLNLLTVKHQNSNLENEICDNSALHKGSNLVSSGEIVPGCLSDGSLQMPMPKDVVHQKNKLHPPRGNGQFVNLVDPKHNIYADPGGLVGSTGLDQETYRNQLPILVEERMLTQ >KJB71790 pep chromosome:Graimondii2_0_v6:11:22484812:22493111:1 gene:B456_011G142400 transcript:KJB71790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKRDKGFYHSLSRKELQSLCKKYGLPANRSSSDMGKSVASYLETQRLGSMTTTERSDEIQESGIPLALKPPFRNADKDFYGLISCPADSFNGGNYPQAVKCNAFGCCAGDKFYHKDGYGVGSTFFQQTPQPQFVTQYNDNDSRNDEFPTTFFNRNCLTLTRDGRMNDMPQTEHKDTNVGACSNEAAFCSSINTPTLSPFQFHVSSEEGINLYVDLNSNPSDWVEKLKGEVSICQEMSHSKSQSFHKEHGCFGEGSKPVKDSFQLNVDAEKIKDSHIHSGLPPSLIIKENDALQLDHLDGDDDGPLDSTIMTSCAEAVEVSQLLEGHQGMSSFEALPDFQDEVNYSGVSSAKDGCLITLDSNINSPQEMLASDGVLNISDGPLNLLTVKHQNSNLENEICDNSALHKGSNLVSSGEIVPGCLSDGSLQMPMPKDVVHQKNKLHPPRGNGQFVNLVDPKHNIYADPGGLVGSTGLDQETYRNQLPILVEERMLTQ >KJB71796 pep chromosome:Graimondii2_0_v6:11:22484980:22491535:1 gene:B456_011G142400 transcript:KJB71796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTERSDEIQESGIPLALKPPFRNADKDFYGLISCPADSFNGGNYPQAVKCNAFGCCAGDKFYHKDGYGVGSTFFQQTPQPQFVTQYNDNDSRNDEFPTTFFNRNCLTLTRDGRMNDMPQTEHKDTNVGACSNEAAFCSSINTPTLSPFQFHVSSEEGINLYVDLNSNPSDWVEKLKGEVSICQEMSHSKSQSFHKEHGCFGEGSKPVKDSFQLNVDAEKIKDSHIHSGLPPSLIIKENDALQLDHLDGDDDGPLDSTIMTSCAEAVEVSQLLEGHQGMSSFEALPDFQDEVNYSGVSSAKDGCLITLDSNINSPQEMLASDGVLNISDGPLNLLTVKHQNSNLENEICDNSALHKGSNLVSSGEIVPGCLSDGSLQMPMPKDVVHQKNKLHPPRGNGQFVNLVDPKHNIYADPGGLVGSTGLDQETYRNQLPILVEERMLTQ >KJB71798 pep chromosome:Graimondii2_0_v6:11:22486713:22493111:1 gene:B456_011G142400 transcript:KJB71798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDMPQTEHKDTNVGACSNEAAFCSSINTPTLSPFQFHVSSEEGINLYVDLNSNPSDWVEKLKGEVSICQEMSHSKSQSFHKEHGCFGEGSKPVKDSFQLNVDAEKIKDSHIHSGLPPSLIIKENDALQLDHLDGDDDGPLDSTIMTSCAEAVEVSQLLEGHQGMSSFEALPDFQDEVNYSGVSSAKDGCLITLDSNINSPQEMLASDGVLNISDGPLNLLTVKHQNSNLENEICDNSALHKGSNLVSSGEIVPGCLSDGSLQMPMPKDVVHQKNKLHPPRGNGQFVNLVDPKHNIYADPGGLVGSTGLDQETYRNQLPILVEERMLTQ >KJB71793 pep chromosome:Graimondii2_0_v6:11:22485562:22490742:1 gene:B456_011G142400 transcript:KJB71793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKRDKGFYHSLSRKELQSLCKKYGLPANRSSSDMGKSVASYLETQRLGSMTTTERSDEIQESGIPLALKPPFRNADKDFYGLISCPADSFNGGNYPQAVKCNAFGCCAGDKFYHKDGYGVGSTFFQQTPQPQFVTQYNDNDSRNDEFPTTFFNRNCLTLTRDGRMNDMPQTEHKDTNVGACSNEAAFCSSINTPTLSPFQFHVSSEEGINLYVDLNSNPSDWVEKLKGEVSICQEMSHSKSQSFHKEHGCFGEGSKPVKDSFQLNVDAEKIKDSHIHSGLPPSLIIKENDALQLDHLDGDDDGPLDSTIMTSCAEAVEVSQLLEGHQGMSSFEALPDFQDEVNYSGVSSAKDGCLITLDSNINSPQEMLASDGVLNISDGPLNLLTVKHQNSNLENEICDNSALHKGSNLVSSGEIVPGCLSDGSLQMPMPKDVVHQKNKLHPPRGNGQFVNLVDPKHNIYADPGGLVGSTGLDQETYRNQLPILVEERDRSKIINWGESLECSHNESFENCGMLDNVDSNGLGKKGAYVSGDQNNCSMLDAKVLRSAKHLSRKVLPRRSMRLVSK >KJB71789 pep chromosome:Graimondii2_0_v6:11:22484812:22493111:1 gene:B456_011G142400 transcript:KJB71789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKRDKGFYHSLSRKELQSLCKKYGLPANRSSSDMGKSVASYLETQRLGSMTTTERSDEIQESGIPLALKPPFRNADKDFYGLISCPADSFNGGNYPQAVKCNAFGCCAGDKFYHKDGYGVGSTFFQQTPQPQFVTQYNDNDSRNDEFPTTFFNRNCLTLTRDGRMNDMPQTEHKDTNVGACSNEAAFCSSINTPTLSPFQFHVSSEEGINLYVDLNSNPSDWVEKLKGEVSICQEMSHSKSQSFHKEHGCFGEGSKPVKDSFQLNVDAEKIKDSHIHSGLPPSLIIKENDALQLDHLDGDDDGPLDSTIMTSCAEAVEVSQLLEGHQGMSSFEALPDFQDEVNYSGVSSAKDGCLITLDSNINSPQEMLASDGVLNISDGPLNLLTVKHQNSNLENEICDNSALHKGSNLVSSGEIVPGCLSDGSLQMPMPKDVVHQKNKLHPPRGNGQFVNLVDPKHNIYADPGGLVGSTGLDQETYRNQLPILVEERDRSKIINWGESLECSHNESFENCGMLDNVDSNGLGKKGAYVSGDQNNCSMLDAKVLRSAKHLSRKVLPRRSMRLVSKVTSFFAEQFLSDNNKMFYQKSGQ >KJB71786 pep chromosome:Graimondii2_0_v6:11:22484812:22493111:1 gene:B456_011G142400 transcript:KJB71786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKRDKGFYHSLSRKELQSLCKKYGLPANRSSSDMGKSVASYLETQRLGSMTTTERSDEIQESGIPLALKPPFRNADKDFYGLISCPADSFNGGNYPQAVKCNAFGCCAGDKFYHKDGYGVGSTFFQQTPQPQFVTQYNDNDSRNDEFPTTFFNRNCLTLTRDGRMNDMPQTEHKDTNVGACSNEAAFCSSINTPTLSPFQFHVSSEEGINLYVDLNSNPSDWVEKLKGEVSICQEMSHSKSQSFHKEHGCFGEGSKPVKDSFQLNVDAEKIKDSHIHSGLPPSLIIKENDALQLDHLDGDDDGPLDSTIMTSCAEAVEVSQLLEGHQGMSSFEALPDFQDEVNYSGVSSAKDGCLITLDSNINSPQEMLASDGVLNISDGPLNLLTVKHQNSNLENEICDNSALHKGSNLVSSGEIVPGCLSDGSLQMPMPKDVVHQKNKLHPPRGNGQFVNLVDPKHNIYADPGGLVGSTGLDQETYRNQLPILVEERDRSKIINWGESLECSHNESFENCGMLDNVDSNGLGKKGAYVSGDQNNCSMLDAKVLRSAKHLSRKVLPRRSMRLVSK >KJB71797 pep chromosome:Graimondii2_0_v6:11:22487609:22493111:1 gene:B456_011G142400 transcript:KJB71797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDMPQTEHKDTNVGACSNEAAFCSSINTPTLSPFQFHVSSEEGINLYVDLNSNPSDWVEKLKGEVSICQEMSHSKSQSFHKEHGCFGEGSKPVKDSFQLNVDAEKIKDSHIHSGLPPSLIIKENDALQLDHLDGDDDGPLDSTIMTSCAEAVEVSQLLEGHQGMSSFEALPDFQDEVNYSGVSSAKDGCLITLDSNINSPQEMLASDGVLNISDGPLNLLTVKHQNSNLENEICDNSALHKGSNLVSSGEIVPGCLSDGSLQMPMPKDVVHQKNKLHPPRGNGQFVNLVDPKHNIYADPGGLVGSTGLDQETYRNQLPILVEERDRSKIINWGESLECSHNESFENCGMLDNVDSNGLGKKGAYVSGDQNNCSMLDAKVLRSAKHLSRKVLPRRSMRLVSK >KJB73469 pep chromosome:Graimondii2_0_v6:11:55231345:55235496:-1 gene:B456_011G234200 transcript:KJB73469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGETSLTLVPGPTSPPPSAAAAKTGGSATALAPGFRFHPTDEELVSYYLKRKISNKPVRFNAMAEVDIYKHEPWDLSDKAKLQTRDQEWYFFSLLDKKYGNGGRMNRATNQGYWKATGKDREVRHNTHLIGMKKTLVFHSGRAPDGMRTNWVMHEYRLVEEELEKIGALQGYVLCRVFHKNNIGPPNGNRYAPFIEAEWDDGSIPLVLGVDTGDDGVAGNDAIAGSDVATTENAAVENNADQMIGFEQETEHAGKDALPNDEVPRETLNDKTDDCPPLPPCKVERPDDCPPICMLNREASLPLLRYKRRRHTDLGPNHANASENSTRTTQDRCSSTTTTAAAATTTSPSSATTTAISALLEFSLMESLELKENNHRVPPPTFDIANLDSVVPPSCMKLINELQKEIRKISVERETLKLEMMSAQTMINILHSRIDFLSKENEELKRSN >KJB71426 pep chromosome:Graimondii2_0_v6:11:17132030:17134035:1 gene:B456_011G122800 transcript:KJB71426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKLGIDPITHKPKSDALLSTDAQSKSAANLSHMAQWESARLEAEARLVRESKLRSHSHSFQHRLTRPPTAAFASSAGRLVNKTAWNSTAGWSKSSEVNNGVVNNGFGDLESPKSTLTSSENGVGLSSMGMPDFVGTASASSEIKQEGEQEWKGFGSSTNLAMENGFNDIGNAMEDGFINLLLNDSTDPSLSDSGKESDGNSGDGTASDDHYEDNKNYWNSILDLVNSSPSGSPMF >KJB71424 pep chromosome:Graimondii2_0_v6:11:17131798:17134197:1 gene:B456_011G122800 transcript:KJB71424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPVKAGLQRCGKSCRLRWTNYLRPDIKRGKFSMQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKLGIDPITHKPKSDALLSTDAQSKSAANLSHMAQWESARLEAEARLVRESKLRSHSHSFQHRLTRPPTAAFASSAGRLVNKTAWNSTAGWSKSSEVNNGVVNNGFGDLESPKSTLTSSENGVGLSSMGMPDFVGTASASSEIKQEGEQEWKGFGSSTNLAMENGFNDIGNAMEDGFINLLLNDSTDPSLSDSGKESDGNSGDGTASDDHYEDNKNYWNSILDLVNSSPSGSPMF >KJB71425 pep chromosome:Graimondii2_0_v6:11:17132253:17134035:1 gene:B456_011G122800 transcript:KJB71425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWESARLEAEARLVRESKLRSHSHSFQHRLTRPPTAAFASSAGRLVNKTAWNSTAGWSKSSEVNNGVVNNGFGDLESPKSTLTSSENGVGLSSMGMPDFVGTASASSEIKQEGEQEWKGFGSSTNLAMENGFNDIGNAMEDGFINLLLNDSTDPSLSDSGKESDGNSGDGTASDDHYEDNKNYWNSILDLVNSSPSGSPMF >KJB72201 pep chromosome:Graimondii2_0_v6:11:32235860:32237973:1 gene:B456_011G165000 transcript:KJB72201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLGTSKGVLEIAKFGLYVTIPIVLMYTFANNTKNLQKFMGNRSYIVYPPEGPRPPSPEELREMARELARKRNNH >KJB71883 pep chromosome:Graimondii2_0_v6:11:23693006:23697644:-1 gene:B456_011G146700 transcript:KJB71883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NTH1 MYEVVPRSFSLRFGIGFGLALGGMKSNNKMPKTRFSAKALSSSSSDQNPNPGSGLTNNVSLPQVRVFTRKKRLKKTLDVVKENPKPENEDHNSCSLPDIEEFAYKKVDGPARSGKLKSACDELNVGVSIASPIGVGGKAPANWEKVLEGIRKMRSLEDAPVDTMGCEKAGSVLPPKERRFAVLVSSLLSSQTKDHVTHGAIQRLSQNCLLTPDAIDKADEATIKDLIYPVGFYTRKAINMKKIANICLMKYDGDIPSSLEELLLLPGIGPKMAHLVMNVAWNDVQGICVDTHVHRISNRLGWVSRSGTKQKTSSPEETRVALQQWLPKEEWVPINPLLVCSHFIYSICSHINMHSYI >KJB71886 pep chromosome:Graimondii2_0_v6:11:23692557:23697028:-1 gene:B456_011G146700 transcript:KJB71886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NTH1 MQSCSLPDIEEFAYKKVDGPARSGKLKSACDELNVGVSIASPIGVGGKAPANWEKVLEGIRKMRSLEDAPVDTMGCEKAGSVLPPKERRFAVLVSSLLSSQTKDHVTHGAIQRLSQNCLLTPDAIDKADEATIKDLIYPVGFYTRKAINMKKIANICLMKYDGDIPSSLEELLLLPGIGPKMAHLVMNVAWNDVQGICVDTHVHRISNRLGWVSRSGTKQKTSSPEETRVALQQWLPKEEWVPINPLLVGFGQTICTPLRPRCETCSITLFCPSAFKEASSPSKVKKSGAREKL >KJB71882 pep chromosome:Graimondii2_0_v6:11:23692343:23697746:-1 gene:B456_011G146700 transcript:KJB71882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NTH1 MYEVVPRSFSLRFGIGFGLALGGMKSNNKMPKTRFSAKALSSSSSDQNPNPGSGLTNNVSLPQVRVFTRKKRLKKTLDVVKENPKPENEDHNSCSLPDIEEFAYKKVDGPARSGKLKSACDELNVGVSIASPIGVGGKAPANWEKVLEGIRKMRSLEDAPVDTMGCEKAGSVLPPKERRFAVLVSSLLSSQTKDHVTHGAIQRLSQNCLLTPDAIDKADEATIKDLIYPYDGDIPSSLEELLLLPGIGPKMAHLVMNVAWNDVQGICVDTHVHRISNRLGWVSRSGTKQKTSSPEETRVALQQWLPKEEWVPINPLLVGFGQTICTPLRPRCETCSITLFCPSAFKEASSPSKVKKSGAREKL >KJB71885 pep chromosome:Graimondii2_0_v6:11:23692557:23697644:-1 gene:B456_011G146700 transcript:KJB71885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NTH1 MYEVVPRSFSLRFGIGFGLALGGMKSNNKMPKTRFSAKALSSSSSDQNPNPGSGLTNNVSLPQVRVFTRKKRLKKTLDVVKENPKPENEDHNSCSLPDIEEFAYKKVDGPARSGKLKSACDELNVGVSIASPIGVGGKAPANWEKVLEGIRKMRSLEDAPVDTMGCEKAGSVLPPKERRFAVLVSSLLSSQTKDHVTHGAIQRLSQNCLLTPDAIDKADEATIKDLIYPVGFYTRKAINMKKIANICLMKYDGDIPSSLEELLLLPGIGPKMAHLVMNVAWNDVQGICVDTHVHRISNRLGWVSRSGTKQKTSSPEETRVALQQWLPKEEWVPINPLLFEQVGFGQTICTPLRPRCETCSITLFCPSAFKEASSPSKVKKSGAREKL >KJB71884 pep chromosome:Graimondii2_0_v6:11:23692355:23697691:-1 gene:B456_011G146700 transcript:KJB71884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NTH1 MYEVVPRSFSLRFGIGFGLALGGMKSNNKMPKTRFSAKALSSSSSDQNPNPGSGLTNNVSLPQVRVFTRKKRLKKTLDVVKENPKPENEDHNSCSLPDIEEFAYKKVDGPARSGKLKSACDELNVGVSIASPIGVGGKAPANWEKVLEGIRKMRSLEDAPVDTMGCEKAGSVLPPKERRFAVLVSSLLSSQTKDHVTHGAIQRLSQNCLLTPDAIDKADEATIKDLIYPVGFYTRKAINMKKIANICLMKYDGDIPSSLEELLLLPGIGPKMAHLVMNVAWNDVQGICVDTHVHRISNRLGWVSRSGTKQVGFGQTICTPLRPRCETCSITLFCPSAFKEASSPSKVKKSGAREKL >KJB71881 pep chromosome:Graimondii2_0_v6:11:23692355:23697715:-1 gene:B456_011G146700 transcript:KJB71881 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NTH1 MYEVVPRSFSLRFGIGFGLALGGMKSNNKMPKTRFSAKALSSSSSDQNPNPGSGLTNNVSLPQVRVFTRKKRLKKTLDVVKENPKPENEDHNSCSLPDIEEFAYKKVDGPARSGKLKSACDELNVGVSIASPIGVGGKAPANWEKVLEGIRKMRSLEDAPVDTMGCEKAGSVLPPKERRFAVLVSSLLSSQTKDHVTHGAIQRLSQNCLLTPDAIDKADEATIKDLIYPVGFYTRKAINMKKIANICLMKYDGDIPSSLEELLLLPGIGPKMAHLVMNVAWNDVQGICVDTHVHRISNRLGWVSRSGTKQKTSSPEETRVALQQWLPKEEWVPINPLLVGFGQTICTPLRPRCETCSITLFCPSAFKEASSPSKVKKSGAREKL >KJB74432 pep chromosome:Graimondii2_0_v6:11:62531139:62537442:-1 gene:B456_011G294700 transcript:KJB74432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVICFPRLNFLRMNYLRNLISFCSGNYNIEFPLLKELNIVGCPKLKEFISESSIVSGMHALFNEKVAIPSLERMTISELTKAKMIFHNELAPDSFQNLRELSVSDCESLKNLFPALIAKHLPQLEHLWIRRCGVEEIVSEGEGVEEQPVSFEFPKVSSLTVDHLEKLKCFYKGQHTIVWPMLKNLTTDCSALLMIVGLEDVRIQETKGNGEAVLLVEEVIPNLETLELRNFSDTDQFPSDLFPRIKVFEVGGGFHSGSSYIFPLVRRFYNLETLNFSHFDFKHVVPCKGDVGTLSPIKNLELLSSKNLKHVWRKDSELGHILSNLQKLRISYCGDLKNIGASSLSFQNLTTLVVSNCKMMTNLVTPLVVKNLVQLTTMRVNDCTEMTEIVTNEGDYHQTIVVRKLKCLEIIHLQRLTSFCPGSYTFNFPCLEEVVVEMCPRLKIFSDGVLSTPQLQRVKQGPYNRKVYWKGDLNTTIQQLYTKKGGFNRPFDLNISDTFPKLIEIWKRNPQEILESKNLGRMEIYKCSSLNYIFTPSMLLSLKQLERIEVKECNTMEQVIREDEEGATIHNLIFPELSFVKIETCSNLTNFYLGSRPLEIPNLWNITIVECPKMTAFSSTISRKSEKVIDEQDVEDYTAVLFCDKVVIPHLEYLKLSSININKIWHHPSYSPERNQMFSTLRSLCLEDLPKLKDTCFENVFEFPWLRDLTLTNCPLLRTFISKSVCGDEPQIHQPTQTNDSAVLNQKVVFPRLEKLLIQGCDSLEEIIELQALNANEAQSTSATRSTMAETVMTKFVFPHLTHLGLDKVPSLKSFYSRMHTTQWPSLKFMKVVECPKAQIFGEVEIPNQQPLFCVNEDTFPVLQELTLKTNDMIKGICDGQLSLQCFPNLKLLNLQFFPETSTTLPYSFIQSLPKLEKLVINNASISEIVRFEGLINEERHTSACYQLEALRLSQLPELTLKTLEPFLLSFKNLLSMEVSRCHGFINLMACSTAKSLTLLERLSIADSALVEEIISCEGEDLQARIVFPKLKYLQLSHLPSLASFSLAHHSLEFPVLQMVIVTDCPKMKNFSQGELSTPRLEHMHLTRDEDGELQWEGDLSTTIKHMFDQMNMQSSQAIEVTDQLLQLE >KJB74430 pep chromosome:Graimondii2_0_v6:11:62530870:62540431:-1 gene:B456_011G294700 transcript:KJB74430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVICFPRLNFLRMNYLRNLISFCSGNYNIEFPLLKELNIVGCPKLKEFISESSIVSGMHALFNEKVAIPSLERMTISELTKAKMIFHNELAPDSFQNLRELSVSDCESLKNLFPALIAKHLPQLEHLWIRRCGVEEIVSEGEGVEEQPVSFEFPKVSSLTVDHLEKLKCFYKGQHTIVWPMLKNLTTDCSALLMIVGLEDVRIQETKGNGEAVLLVEEVFEVGGGFHSGSSYIFPLVRRFYNLETLNFSHFDFKHVVPCKGDVGTLSPIKNLELLSSKNLKHVWRKDSELGHILSNLQKLRISYCGDLKNIGASSLSFQNLTTLVVSNCKMMTNLVTPLVVKNLVQLTTMRVNDCTEMTEIVTNEGDYHQTIVVRKLKCLEIIHLQRLTSFCPGSYTFNFPCLEEVVVEMCPRLKIFSDGVLSTPQLQRVKQGPYNRKVYWKGDLNTTIQQLYTKKGGFNRPFDLNISDTFPKLIEIWKRNPQEILESKNLGRMEIYKCSSLNYIFTPSMLLSLKQLERIEVKECNTMEQVIREDEEGATIHNLIFPELSFVKIETCSNLTNFYLGSRPLEIPNLWNITIVECPKMTAFSSTISRKSEKVIDEQDVEDYTAVLFCDKVVIPHLEYLKLSSININKIWHHPSYSPERNQMFSTLRSLCLEDLPKLKDTCFENVFEFPWLRDLTLTNCPLLRTFISKSVCGDEPQIHQPTQTNDSAVLNQKVVFPRLEKLLIQGCDSLEEIIELQALNANEAQSTSATRSTMAETVMTKFVFPHLTHLGLDKVPSLKSFYSRMHTTQWPSLKFMKVVECPKAQIFGEVEIPNQQPLFCVNEDTFPVLQELTLKTNDMIKGICDGQLSLQCFPNLKLLNLQFFPETSTTLPYSFIQSLPKLEKLVINNASISEIVRFEGLINEERHTSACYQLEALRLSQLPELTLKTLEPFLLSFKNLLSMEVSRCHGFINLMACSTAKSLTLLERLSIADSALVEEIISCEGEDLQARIVFPKLKYLQLSHLPSLASFSLAHHSLEFPVLQMVIVTDCPKMKNFSQGELSTPRLEHMHLTRDEDGELQWEGDLSTTIKHMFDQMNMQSSQAIEVTDQLLQLE >KJB74431 pep chromosome:Graimondii2_0_v6:11:62530932:62537321:-1 gene:B456_011G294700 transcript:KJB74431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVICFPRLNFLRMNYLRNLISFCSGNYNIEFPLLKELNIVGCPKLKEFISESSIVSGMHALFNEKVAIPSLERMTISELTKAKMIFHNELAPDSFQNLRELSVSDCESLKNLFPALIAKHLPQLEHLWIRRCGVEEIVSEGEGVEEQPVSFEFPKVSSLTVDHLEKLKCFYKGQHTIVWPMLKNLTTDCSALLMIVGLEDVRIQETKGNGEAVLLVEEVFEVGGGFHSGSSYIFPLVRRFYNLETLNFSHFDFKHVVPCKGDVGTLSPIKNLELLSSKNLKHVWRKDSELGHILSNLQKLRISYCGDLKNIGASSLSFQNLTTLVVSNCKMMTNLVTPLVVKNLVQLTTMRVNDCTEMTEIVTNEGDYHQTIVVRKLKCLEIIHLQRLTSFCPGSYTFNFPCLEEVVVEMCPRLKIFSDGVLSTPQLQRVKQGPYNRKVYWKGDLNTTIQQLYTKKGGFNRPFDLNISDTFPKLIEIWKRNPQEILESKNLGRMEIYKCSSLNYIFTPSMLLSLKQLERIEVKECNTMEQVIREDEEGATIHNLIFPELSFVKIETCSNLTNFYLGSRPLEIPNLWNITIVECPKMTAFSSTISRKSEKVIDEQDVEDYTAVLFCDKVVIPHLEYLKLSSININKIWHHPSYSPERNQMFSTLRSLCLEDLPKLKDTCFENVFEFPWLRDLTLTNCPLLRTFISKSVCGDEPQIHQPTQTNDSAVLNQKVVFPRLEKLLIQGCDSLEEIIELQALNANEAQSTSATRSTMAETVMTKFVFPHLTHLGLDKVPSLKSFYSRMHTTQWPSLKFMKVVECPKAQIFGEVEIPNQQPLFCVNEVCSLIVYIPCSLLEFISKSNEVPYKLPFELTQI >KJB68817 pep chromosome:Graimondii2_0_v6:11:1213709:1214626:1 gene:B456_011G017500 transcript:KJB68817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCETKNLCLWLVQLVYMLGLLGFCLWLAVHPRSPDYTIIKFSVPLTNHNNGSSHGRIEYELDIKNPNRDSCILYDDTFLTFYYGEDKVGNKTIPCFYQGKNREKSEIHRLSDQMDVETRLWTDLRKAIMNASAELRVDLSTKIVYYTWGIKSKQHGINREGKIRIGKDGMLLNNKEVKLRHASKKWKL >KJB70021 pep chromosome:Graimondii2_0_v6:11:4226052:4226228:-1 gene:B456_011G053800 transcript:KJB70021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFHSVQCNCGVKASTKRKRNGFSTKCASLVKQQRARLYILRRCATMLLCWYIHGDD >KJB70215 pep chromosome:Graimondii2_0_v6:11:5383520:5388635:-1 gene:B456_011G064000 transcript:KJB70215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTKKNMLPPGLVNNLQEVLLSRKGGNSNTNNDEQQQKPDAVTDSTETSTSASDENDNSKPVVLVTNGEGIDSLGLVYLVQALVRSGLYNVHVCAPQSDKSVSSHSVTVRETITVTPAEIDGATAYEVSGTPVDCVSLALSGALFSWSKPLLVISGINRGSSCGHHMFYSGVVAGAREALVSGVPSLSISLNWKREESQESDFKDAVAVCLPLITAAIRDIEKGVFPKSCFLSIEIPTSPSANKGFKLTKQSMWRSAPNWLAVSANRHPSSAAHFMSNQQSLGLQLAQLSRDASAAGAARRISAQRQNVEVESVGAVKSDTNKVKKYFRLEFINKEQEEAEEDLDFKALDNGFVAVTPFSLCPQIEVDIQTAASDWISGALQVEQ >KJB70218 pep chromosome:Graimondii2_0_v6:11:5383558:5388524:-1 gene:B456_011G064000 transcript:KJB70218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNKNPTPLLILLRHLLLLLMKTTTPSLSFWLPTVKGLIHLALFISFKLWSVLASTMSMFYSGVVAGAREALVSGVPSLSISLNWKREESQESDFKDAVAVCLPLITAAIRDIEKGVFPKSCFLSIEIPTSPSANKGFKLTKQSMWRSAPNWLAVSANRHPSSAAHFMSNQQSLGLQLAQLSRDASAAGAARRISAQRQNVEVESVGAVKSDTNKVKKYFRLEFINKEQEEAEEDLDFKALDNGFVAVTPFSLCPQIEVDIQTAASDWISGALQVEQ >KJB70217 pep chromosome:Graimondii2_0_v6:11:5383558:5388524:-1 gene:B456_011G064000 transcript:KJB70217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNKNPTPLLILLRHLLLLLMKTTTPSLSFWLPTVKGLIHLALFISFKLWDKSVSSHSVTVRETITVTPAEIDGATAYEVSGTPVDCVSLALSGALFSWSKPLLVISGINRGSSCGHHMFYSGVVAGAREALVSGVPSLSISLNWKREESQESDFKDAVAVCLPLITAAIRDIEKGVFPKSCFLSIEIPTSPSANKGFKLTKQSMWRSAPNWLAVSANRHPSSAAHFMSNQQSLGLQLAQLSRDASAAGAARRISAQRQNVEVESVGAVKSDTNKVKKYFRLEFINKEQEEAEEDLDFKALDNGFVAVTPFSLCPQIEVDIQTAASDWISGALQVEQ >KJB70216 pep chromosome:Graimondii2_0_v6:11:5384755:5388297:-1 gene:B456_011G064000 transcript:KJB70216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTKKNMLPPGLVNNLQEVLLSRKGGNSNTNNDEQQQKPDAVTDSTETSTSASDENDNSKPVVLVTNGEGIDSLGLVYLVQALVRSGLYNVHVCAPQSDKSVSSHSVTVRETITVTPAEIDGATAYEVSGTPVDCVSLALSGALFSWSKPLLVISGINRGSSCGHHMFYSGVVAGAREALVSGVPSLSISLNWKREESQESDFKDAVAVCLPLITAAIRDIEKGVFPKSCFLSIEIPTSPSANKGFKLTKQSMWRSAPNWLAVSANRHPSSAAHFMSNQQSLGLQLAQLSRDASAAGAARRISAQRQNVEVESVGAVKSDTNKVKKYFRLEVCKIPTMKSESFLISMKPN >KJB70219 pep chromosome:Graimondii2_0_v6:11:5383558:5388524:-1 gene:B456_011G064000 transcript:KJB70219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTKKNMLPPGLVNNLQEVLLSRKGGNSNTNNDEQQQKPDAVTDSTETSTSASDENDNSKPVVLVTNGEGIDSLGLVYLVQALVRSGLYNVHVCAPQSDKSVSSHSVTVRETITVTPAEIDGATAYEVSGTPVDCVSLALSGALFSWSKPLLVISGINRGSSCGHHMFYSGVVAGAREALVSGVPSLSISLNWKREESQESDFKDAVAVCLPLITAAIRDIEKGVFPKSCFLSIEIPTSPSANKGFKLTKQSMWRSAPNWLAVSANRHPSSAAHFMSNQQSLGLQLAQLSRDASAAGAARRISAQRQNVEVESVGAVKSDTNKVKKYFRLENRKKQKRIWISRPLTTDLLQ >KJB70328 pep chromosome:Graimondii2_0_v6:11:6044003:6044296:1 gene:B456_011G068000 transcript:KJB70328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKKTLALTNGNETVKSNVMDTNTKRRCFNHCFSFKEASVEPVSSLNDLDSNKLKAEIKRWAKAVVAYARQVSGSFGSSGRSYRRHGSSRSSHHMP >KJB68869 pep chromosome:Graimondii2_0_v6:11:20583630:20586726:-1 gene:B456_011G135700 transcript:KJB68869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLYSCSENGIEVRTMMENKRSPCSVDHGSFTPLASKRQKSDLSISTKDRKDKVGERIVTLQQLVSPYGKTDTASVLLQAMEYIQFLHEQVKVLSAPYLQTSPTNNMQASIKPAQELEHYSLRSQGLCLVPLSYTMGVVHSNGADIWAPIKTTSPKFDKPFSQFN >KJB68871 pep chromosome:Graimondii2_0_v6:11:20583231:20586935:-1 gene:B456_011G135700 transcript:KJB68871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLYSCENGIEVRTMMENKRSPCSVDHGSFTPLASKRQKSDLSISTKDRKDKVGERIVTLQQLVSPYGKIQLLSSCRQWNTYSFFMNKLRF >KJB68870 pep chromosome:Graimondii2_0_v6:11:20583630:20586726:-1 gene:B456_011G135700 transcript:KJB68870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLYSCENGIEVRTMMENKRSPCSVDHGSFTPLASKRQKSDLSISTKDRKDKVGERIVTLQQLVSPYGKTDTASVLLQAMEYIQFLHEQVKVLSAPYLQTSPTNNMQELEHYSLRSQGLCLVPLSYTMGVVHSNGADIWAPIKTTSPKFDKPFSQFN >KJB68873 pep chromosome:Graimondii2_0_v6:11:20583981:20586726:-1 gene:B456_011G135700 transcript:KJB68873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLYSCENGIEVRTMMENKRSPCSVDHGSFTPLASKRQKSDLSISTKDRKDKVGERIVTLQQLVSPYGKTDTASVLLQAMEYIQFLHEQVKVLSAPYLQTSPTNNMQASIKPAQVQKED >KJB68872 pep chromosome:Graimondii2_0_v6:11:20583766:20586726:-1 gene:B456_011G135700 transcript:KJB68872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLYSCENGIEVRTMMENKRSPCSVDHGSFTPLASKRQKSDLSISTKDRKDKVGERIVTLQQLVSPYGKTDTASVLLQAMEYIQFLHEQVKVLSAPYLQTSPTNNMQARARAL >KJB68868 pep chromosome:Graimondii2_0_v6:11:20583151:20587225:-1 gene:B456_011G135700 transcript:KJB68868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLYSCENGIEVRTMMENKRSPCSVDHGSFTPLASKRQKSDLSISTKDRKDKVGERIVTLQQLVSPYGKTDTASVLLQAMEYIQFLHEQVKVLSAPYLQTSPTNNMQASIKPAQELEHYSLRSQGLCLVPLSYTMGVVHSNGADIWAPIKTTSPKFDKPFSQFN >KJB73859 pep chromosome:Graimondii2_0_v6:11:58588656:58592615:1 gene:B456_011G256700 transcript:KJB73859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDDAFNLQNTRLSVILVGFGSAALVITIYHCIAMGWCHHFRNNRGQTSQPQRDHHHLHHQQQQRVYGHEMLEIMSFENSTAELIPAHKYQKGMGLVDEDGMCSVCLSQFEEGEELRTLPECLHSYHAPCIDMWLYSHSSCPMCRTDATPLPQISHLRSDSGFVRLDIDSPQL >KJB69471 pep chromosome:Graimondii2_0_v6:11:1839911:1843859:-1 gene:B456_011G025100 transcript:KJB69471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTMPFLFASLFHLLWHVHQVTASSPVNSTHRSQQAYTHSLLANGVARNPPMGWNSWNHFHCDIDEKTIKSTVDAIVSTGLARLGYKYVNLDDCWAEGERDNAGNLRAKASTFPSGIKALADYVHSKGLKLGIYADAGKRTCSNKMPGSLGHEYQDARTFAEWGVDYLKYDNCYNDGSKPQTRYAAMSRALRNAGRPILFSLCEWGQEDPAKWAGSYGHTWRTTGDINDTWASITSIADSNNIWGRYAGPGRWNDPDMLEVGNGGMNIEEYRSHFSIWALMKAPLLIGCDVRSASKETLTILGNKEVIDVNQDSLGVQGRKIRSNGGLEVNRFEHTT >KJB69472 pep chromosome:Graimondii2_0_v6:11:1840113:1843859:-1 gene:B456_011G025100 transcript:KJB69472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTMPFLFASLFHLLWHVHQVTASSPVNSTHRSQQAYTHSLLANGVARNPPMGWNSWNHFHCDIDEKTIKSTVDAIVSTGLARLGYKYVNLDDCWAEGERDNAGNLRAKASTFPSGIKALADYVHSKGLKLGIYADAGKRTCSNKMPGSLGHEYQDARTFAEWGVDYLKYDNCYNDGSKPQTRYAAMSRALRNAGRPILFSLCEWGQEDPAKWAGSYGHTWRTTGDINDTWASITSIADSNNIWGRYAGPGRWNDPDMLEVGNGGMNIEEYRSHFSIWALMKVSVSQFHLVHINP >KJB69469 pep chromosome:Graimondii2_0_v6:11:1839259:1844061:-1 gene:B456_011G025100 transcript:KJB69469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLKDCPLPISISPFLLHRFNSISPFFISHHPSLPLSLYSMGLTMPFLFASLFHLLWHVHQVTASSPVNSTHRSQQAYTHSLLANGVARNPPMGWNSWNHFHCDIDEKTIKSTVDAIVSTGLARLGYKYVNLDDCWAEGERDNAGNLRAKASTFPSGIKALADYVHSKGLKLGIYADAGKRTCSNKMPGSLGHEYQDARTFAEWGVDYLKYDNCYNDGSKPQTRYAAMSRALRNAGRPILFSLCEWGQEDPAKWAGSYGHTWRTTGDINDTWASITSIADSNNIWGRYAGPGRWNDPDMLEVGNGGMNIEEYRSHFSIWALMKAPLLIGCDVRSASKETLTILGNKEVIDVNQDSLGVQGRKIRSNGGLEVWAGPLSGKRVVVVLWNRSQARAIISVKWREIGLSPSTPVAIRDLWKHSFVSMNKRYRMGAYVAGHACKMYIMTPVRG >KJB69474 pep chromosome:Graimondii2_0_v6:11:1840356:1843867:-1 gene:B456_011G025100 transcript:KJB69474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTMPFLFASLFHLLWHVHQVTASSPVNSTHRSQQAYTHSLLANGVARNPPMGWNSWNHFHCDIDEKTIKSTVDAIVSTGLARLGYKYVNLDDCWAEGERDNAGNLRAKASTFPSGIKALADYVHSKGLKLGIYADAGKRTCSNKMPGSLGHEYQDARTFAEWGVDYLKYDNCYNDGSKPQTRYAAMSRALRNAGRPILFSLCEWGQEDPAKWAGSYGHTWRTTGDINDTWASITSIADSNNIWGRYAGPGRWNGSCKFLTSCIQTHTHTCIHTHMRKL >KJB69470 pep chromosome:Graimondii2_0_v6:11:1839520:1843867:-1 gene:B456_011G025100 transcript:KJB69470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTMPFLFASLFHLLWHVHQVTASSPVNSTHRSQQAYTHSLLANGVARNPPMGWNSWNHFHCDIDEKTIKSTVDAIVSTGLARLGYKYVNLDDCWAEGERDNAGNLRAKASTFPSGIKALADYVHSKGLKLGIYADAGKRTCSNKMPGSLGHEYQDARTFAEWGVDYLKYDNCYNDGSKPQTRYAAMSRALRNAGRPILFSLCEWGQEDPAKWAGSYGHTWRTTGDINDTWASITSIADSNNIWGRYAGPGRWNDPDMLEVGNGGMNIEEYRSHFSIWALMKAPLLIGCDVRSASKETLTILGNKEVIDVNQDSLGVQGRKIRSNGGLEVNRFEHTT >KJB69473 pep chromosome:Graimondii2_0_v6:11:1839520:1843867:-1 gene:B456_011G025100 transcript:KJB69473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTMPFLFASLFHLLWHVHQVTASSPVNSTHRSQQAYTHSLLANGVARNPPMGWNSWNHFHCDIDEKTIKMDAIVSTGLARLGYKYVNLDDCWAEGERDNAGNLRAKASTFPSGIKALADYVHSKGLKLGIYADAGKRTCSNKMPGSLGHEYQDARTFAEWGVDYLKYDNCYNDGSKPQTRYAAMSRALRNAGRPILFSLCEWGQEDPAKWAGSYGHTWRTTGDINDTWASITSIADSNNIWGRYAGPGRWNDPDMLEVGNGGMNIEEYRSHFSIWALMKAPLLIGCDVRSASKETLTILGNKEVIDVNQDSLGVQGRKIRSNGGLEVNRFEHTT >KJB69663 pep chromosome:Graimondii2_0_v6:11:2711556:2712476:1 gene:B456_011G035900 transcript:KJB69663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEKPKEPISKRQQLHHIFHIRRCFSGKKNNAANSITIFASINKCFDKCTHQLTKVLSVLARKVTPSCRIKGFDVIEKKDKPQFDYVDVKAVRPALQLQFEPCSFNVLPLPTPFLVLQRNLLPPLGPDKKGTIVLDLDETLVHSRLGPPPPRYDFAVSRVMDGVTIYFYVFKRPGVDEFLEIISKKYEVVVFTAGHKAYASKVIDTLDPKGLISHRFYRDSCKQVRGKFIKDLSEIGRDLRKTVIVDDNPKSYSLQPENGIPIKPFYGDELWDRELMKLAGFFERCDVFQDMRDAVNHYLRGAKD >KJB69286 pep chromosome:Graimondii2_0_v6:11:1042755:1047692:1 gene:B456_011G014700 transcript:KJB69286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGVSLEHNFSMLLLKLFVVLACFHECLSDLQVHHKGYMLTGRATGDFVPKITPTASPQPFLPLLAPSPLSTFTNSTSPKLSGLCMLNFTAAQSLISITSIDCWAAFAPLLANVICCPQLHATLVILVGQLSKETGVLALNRTLAKPCLSDIEQVLAGQGAGDGLNQVCSIHSSNLTEASCPVKDVDEFENMVNSSELLASCEKIDPVKECCDQVCQGAISDAATRLALKASDPLSMDGPHVLPQHTTRINDCKTVVLRWLASKLDPYHAKEVLRGLTNCNVNKVCPLVFPNMKHVANSCGNGISDQTACCDAMNSYVSHLQKQTLITNLQALDCATSLGLKLQKYNITKDVYSLCHISLKDFSLQVGSQESGCLLPSLPSDATLDKFSGISFICDLNDNIPAQWPSLSLLPASSCNKTIRIPALPAATNAQSEYIVVYLLVAYSTAIMMLL >KJB69288 pep chromosome:Graimondii2_0_v6:11:1042755:1047692:1 gene:B456_011G014700 transcript:KJB69288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGVSLEHNFSMLLLKLFVVLACFHECLSDLQVHHKGYMLTGRATGDFVPKITPTASPQPFLPLLAPSPLSTFTNSTSPKLSGLCMLNFTAAQSLISITSIDCWAAFAPLLANVICCPQLHATLVILVGQLSKETGVLALNRTLAKPCLSDIEQVLAGQGAGDGLNQVCSIHSSNLTEASCPVKDVDEFENMVNSSELLASCEKIDPVKECCDQVCQGAISDAATRLALKASDPLSMDGPHVLPQHTTRINDCKTVVLRWLASKLDPYHAKEVLRGLTNCNVNKVCPLVFPNMKHVANSCGNGISDQTACCDAMNSYVSHLQKQTLITNLQALDCATSLGLKLQKYNITKDVYSLCHISLKDFSLQGWFP >KJB69285 pep chromosome:Graimondii2_0_v6:11:1042755:1046021:1 gene:B456_011G014700 transcript:KJB69285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGVSLEHNFSMLLLKLFVVLACFHECLSDLQVHHKGYMLTGRATGDFVPKITPTASPQPFLPLLAPSPLSTFTNSTSPKLSGLCMLNFTAAQSLISITSIDCWAAFAPLLANVICCPQLHATLVILVGQLSKETGVLALNRTLAKPCLSDIEQVLAGQGAGDGLNQVCSIHSSNLTEASCPVKDVDEFENMVNSSELLASCEKIDPVKECCDQVCQGAISDAATRLALKASDPLSMDGPHVLPQHTTRINDCKTVVLRWLASKLDPYHAKEVLRGLTNCNVNKGINAPSILSS >KJB69284 pep chromosome:Graimondii2_0_v6:11:1042670:1047710:1 gene:B456_011G014700 transcript:KJB69284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGVSLEHNFSMLLLKLFVVLACFHECLSDLQVHHKGYMLTGRATGDFVPKITPTASPQPFLPLLAPSPLSTFTNSTSPKLSGLCMLNFTAAQSLISITSIDCWAAFAPLLANVICCPQLHATLVILVGQLSKETGVLALNRTLAKPCLSDIEQVLAGQGAGDGLNQVCSIHSSNLTEASCPVKDVDEFENMVNSSELLASCEKIDPVKECCDQVCQGAISDAATRLALKASDPLSMDGPHVLPQHTTRINDCKTVVLRWLASKLDPYHAKEVLRGLTNCNVNKVCPLVFPNMKHVANSCGNGISDQTACCDAMNSYVSHLQKQTLITNLQALDCATSLGLKLQKYNITKDVYSLCHISLKDFSLQVGSQESGCLLPSLPSDATLDKFSGISFICDLNDNIPAQWPSLSLLPASSCNKTIRIPALPAATNAQSGLNTEYIVVYLLVAYSTAIMMLL >KJB69287 pep chromosome:Graimondii2_0_v6:11:1042755:1047692:1 gene:B456_011G014700 transcript:KJB69287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGVSLEHNFSMLLLKLFVVLACFHECLSDLQVHHKGYMLTGRATGDFVPKITPTASPQPFLPLLAPSPLSTFTNSTSPKLSGLCMLNFTAAQSLISITSIDCWAAFAPLLANVICCPQLHATLVILVGQLSKETGVLALNRTLAKPCLSDIEQVLAGQGAGDGLNQVCSIHSSNLTEASCPVKDVDEFENMVNSSELLASCEKIDPVKECCDQVCQGAISDAATRLALKASDPLSMDGPHVLPQHTTRINDCKTVVLRWLASKLDPYHAKEVLRGLTNCNVNKVCPLVFPNMKHVANSCGNGISDQTACCDAMNSYVSHLQKQTLITNLQALDCATSLGLKLQKYNITKDVYSLCHISLKDFSLQVGSQESGCLLPSLPSDATLDKFSGISFICDLNDNIPAQWPSLSLLPASSCNKSMYSHWWFTLILNYFNAISLIISLFSLVFMSYSYQNSCTTCRHKCSKWS >KJB71524 pep chromosome:Graimondii2_0_v6:11:18281149:18282457:-1 gene:B456_011G127700 transcript:KJB71524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSASVNMHRRLLGTEVSLPPENGNKTHDSYLSETNFDTNLVVILAALLCALICAVGLNSIVRCALRCSRRFATETPEQAAARLATTGLKKRDLRQIPVAVYGEGVGLPSTECPICLGEFVDGEKVRVLPKCNHGFHVRCIDTWLLSHSSCPNCRHSLLEVDASKTETSQQVTSLRQPQNGLGGDHPNGSVVVVVG >KJB71523 pep chromosome:Graimondii2_0_v6:11:18281090:18282457:-1 gene:B456_011G127700 transcript:KJB71523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSASVNMHRRLLGTEVSLPPENGNKTHDSYLSETNFDTNLVVILAALLCALICAVGLNSIVRCALRCSRRFATETPEQAAARLATTGLKKRDLRQIPVAVYGEGVGLPSTECPICLGEFVDGEKVRVLPKCNHGFHVRCIDTWLLSHSSCPNCRHSLLEVDASKTETSQQVTSLRQPQNGLGGDHPNGSVVVVVG >KJB70495 pep chromosome:Graimondii2_0_v6:11:7372805:7373975:1 gene:B456_011G076100 transcript:KJB70495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPHKLGCIKHENDGFYIHWHFKGLIFNIENGDVSDIKLIETDTTLDLSQKRIILQFGTNYFNLKLIGAARTQAPTATNYDVGSPSWGDLKAAPLPSAMQVTALGH >KJB72335 pep chromosome:Graimondii2_0_v6:11:38233200:38236001:1 gene:B456_011G171700 transcript:KJB72335 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4 [Source:Projected from Arabidopsis thaliana (AT3G23100) UniProtKB/Swiss-Prot;Acc:Q682V0] MESSSTATARHTCLKLEIPSTDPLFVKGTWFDTHFHLSVTDGLRAWLCNATEEEVQERAAQWDQPVAEYIELAERYLGFQQPGTVYRFVDAGDDHKRLSWTFEREGTKLEWRWKFQPSYDSRKITAGILDFLMDANINLSEEVVRKTQSFEKLKLEAEKCLEQSERFTNEKMEFESEIYAKFLGVLNSKKAKLRELRDQLSKQEIAGKASVDEEESTDKTESYHSGSNAEESEEEAEKNITSSSKDIPAGRDRGRKRATRK >KJB70661 pep chromosome:Graimondii2_0_v6:11:8907708:8915696:-1 gene:B456_011G085800 transcript:KJB70661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACSRKRDQLDNVDGFDRGVSGRYCKSGSSKWLATTLSRPILEIQREKKKCPSLLELCTHKIREDIDNYVSFSTLPRDLSQQIFNELVKSQRLTDVSLEAFRDCALQDLYLGDYPGVNENWMDVISSQGSSLLSLDLSGSDVSDPGLIYLKDCVNLQDLNLNYCDQISDRGLKHISGLSNLRSLTFRRNSAITAQGMAALSGLVNLMKLDLEKCPGIHGGLIHIKGLTKLESLSIKWCNCITDADMKPLSGLTNLKSLQISCSKVTDFGITYLKGLQNLSVLNLEGCPVTASCFDTLSVLVSLLYLNLSRCNLSDDGCEKLSKLGNLKVLNLGFNDISDACLIHLKGLTNLESLNLDSCRIGDNGLVHLTGLQNLKCLELSDTEVGSNGLRYLSGLCKLESINLSFTVVSDGGLRELSGLTSLKSLNLDARQITDAGLAALTSLTGLTHLDLFGARITDSGTSHLRNLKNLRSLEICGGGLTDAGVKNIKDLSSLSLLNLSQNCNLTDKTLEMISGLTGLISLNVSNSRVTSAGLRHLKPLKNLRSLTLEACKVTANDIRRLQSAGLPNLVNFRPE >KJB70658 pep chromosome:Graimondii2_0_v6:11:8907288:8917001:-1 gene:B456_011G085800 transcript:KJB70658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACSRKRDQLDNVDGFDRGVSGRYCKSGSSKWLATTLSRPILEIQREKKKCPSLLELCTHKIREDIDNYVSFSTLPRDLSQQIFNELVKSQRLTDVSLEAFRDCALQDLYLGDYPGVNENWMDVISSQGSSLLSLDLSGSDVSDPGLIYLKDCVNLQDLNLNYCDQISDRGLKHISGLSNLRSLTFRRNSAITAQGMAALSGLVNLMKLDLEKCPGIHGGLIHIKGLTKLESLSIKWCNCITDADMKPLSGLTNLKSLQISCSKVTDFGITYLKGLQNLSVLNLEGCPVTASCFDTLSVLVSLLYLNLSRCNLSDDGCEKLSKLGNLKVLNLGFNDISDACLIHLKGLTNLESLNLDSCRIGDNGLVHLTGLQNLKCLELSDTEVGSNGLRYLSGLCKLESINLSFTVVSDGGLRELSGLTSLKSLNLDARQITDAGLAALTSLTGLTHLDLFGARITDSGTSHLRNLKNLRSLEICGGGLTDAGVKNIKDLSSLSLLNLSQNCNLTDKTLEMISGLTGLISLNVSNSRVTSAGLRHLKPLKNLRSLTLEACKVTANDIRRLQSAGLPNLVNFRPE >KJB70657 pep chromosome:Graimondii2_0_v6:11:8907708:8915696:-1 gene:B456_011G085800 transcript:KJB70657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACSRKRDQLDNVDGFDRGVSGRYCKSGSSKWLATTLSRPILEIQREKKKCPSLLELCTHKIREDIDNYVSFSTLPRDLSQQIFNELVKSQRLTDVSLEAFRDCALQDLYLGDYPGVNENWMDVISSQGSSLLSLDLSGSDVSDPGLIYLKDCVNLQDLNLNYCDQISDRGLKHISGLSNLRSLTFRRNSAITAQGMAALSGLVNLMKLDLEKCPGIHGGLIHIKGLTKLESLSIKWCNCITDADMKPLSGLTNLKSLQISCSKVTDFGITYLKGLQNLSVLNLEGCPVTASCFDTLSVLVSLLYLNLSRCNLSDDGCEKLSKLGNLKVLNLGFNDISDACLIHLKGLTNLESLNLDSCRIGDNGLVHLTGLQNLKCLELSDTEVGSNGLRYLSGLCKLESINLSFTVVSDGGLRELSGLTSLKSLNLDARQITDAGLAALTSLTGLTHLDLFGARITDSGTSHLRNLKNLRSLEICGGGLTDAGVKNIKDLSSLSLLNLSQNCNLTDKTLEMISGLTGLISLNVSNSRVTSAGLRHLKPLKNLRSLTLEACKVTANDIRRLQSAGLPNLVNFRPE >KJB70659 pep chromosome:Graimondii2_0_v6:11:8907336:8916823:-1 gene:B456_011G085800 transcript:KJB70659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACSRKRDQLDNVDGFDRGVSGRYCKSGSSKWLATTLSRPILEIQREKKKCPSLLELCTHKIREDIDNYVSFSTLPRDLSQQIFNELVKSQRLTDVSLEAFRDCALQDLYLGDYPGVNENWMDVISSQGSSLLSLDLSGSDVSDPGLIYLKDCVNLQDLNLNYCDQISDRGLKHISGLSNLRSLTFRRNSAITAQGMAALSGLVNLMKLDLEKCPGIHGGLIHIKGLTKLESLSIKWCNCITDADMKPLSGLTNLKSLQISCSKVTDFGITYLKGLQNLSVLNLEGCPVTASCFDTLSVLVSLLYLNLSRCNLSDDGCEKLSKLGNLKVLNLGFNDISDACLIHLKGLQNLKCLELSDTEVGSNGLRYLSGLCKLESINLSFTVVSDGGLRELSGLTSLKSLNLDARQITDAGLAALTSLTGLTHLDLFGARITDSGTSHLRNLKNLRSLEICGGGLTDAGVKNIKDLSSLSLLNLSQNCNLTDKTLEMISGLTGLISLNVSNSRVTSAGLRHLKPLKNLRSLTLEACKVTANDIRRLQSAGLPNLVNFRPE >KJB70660 pep chromosome:Graimondii2_0_v6:11:8907336:8916823:-1 gene:B456_011G085800 transcript:KJB70660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACSRKRDQLDNVDGFDRGVSGRYCKSGSSKWLATTLSRPILEIQREKKKCPSLLELCTHKIREDIDNYVSFSTLPRDLSQQIFNELVKSQRLTDVSLEAFRDCALQDLYLGDYPGVNENWMDVISSQGSSLLSLDLSGSDVSDPGLIYLKDCVNLQDLNLNYCDQISDRGLKHISGLSNLRSLTFRRNSAITAQGMAALSGLVNLMKLDLEKCPGIHGGLIHIKGLTKLESLSIKWCNCITDADMKPLSGLTNLKSLQISCSKVTDFGITYLKGLQNLSVLNLEGCPVTASCFDTLSVLVSLLYLNLSRCNLSDDGCEKLSSKFHIYPFLVCRVKKVLRWPLTYGYFLLTELGNLKVLNLGFNDISDACLIHLKGLQNLKCLELSDTEVGSNGLRYLSGLCKLESINLSFTVVSDGGLRELSGLTSLKSLNLDARQITDAGLAALTSLTGLTHLDLFGARITDSGTSHLRNLKNLRSLEICGGGLTDAGVKNIKDLSSLSLLNLSQNCNLTDKTLEMISGLTGLISLNVSNSRVTSAGLRHLKPLKNLRSLTLEACKVTANDIRRLQSAGLPNLVNFRPE >KJB70662 pep chromosome:Graimondii2_0_v6:11:8907708:8915138:-1 gene:B456_011G085800 transcript:KJB70662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVISSQGSSLLSLDLSGSDVSDPGLIYLKDCVNLQDLNLNYCDQISDRGLKHISGLSNLRSLTFRRNSAITAQGMAALSGLVNLMKLDLEKCPGIHGGLIHIKGLTKLESLSIKWCNCITDADMKPLSGLTNLKSLQISCSKVTDFGITYLKGLQNLSVLNLEGCPVTASCFDTLSVLVSLLYLNLSRCNLSDDGCEKLSKLGNLKVLNLGFNDISDACLIHLKGLTNLESLNLDSCRIGDNGLVHLTGLQNLKCLELSDTEVGSNGLRYLSGLCKLESINLSFTVVSDGGLRELSGLTSLKSLNLDARQITDAGLAALTSLTGLTHLDLFGARITDSGTSHLRNLKNLRSLEICGGGLTDAGVKNIKDLSSLSLLNLSQNCNLTDKTLEMISGLTGLISLNVSNSRVTSAGLRHLKPLKNLRSLTLEACKVTANDIRRLQSAGLPNLVNFRPE >KJB72441 pep chromosome:Graimondii2_0_v6:11:42102936:42105479:1 gene:B456_011G178800 transcript:KJB72441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAAEEANVPAAAAAEAPTTGTEKQPHKLERKWTFWFDNQSKPKQGAAWGSSLRKVYTFDTVEEFWCLYDQIFKPSKLPGNADFHLFKAGIEPKWEDPECANGGKWSVTSNRKANLENMWLESLMALIGEQFDEADEICGVVASVRQRQDKLALWTKTATNEAAQMGIGRKWKEIIDINDKITYSFHDDSRRERSAKGRYNV >KJB71065 pep chromosome:Graimondii2_0_v6:11:11919597:11919842:1 gene:B456_011G103500 transcript:KJB71065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTSLLRILEIRYNLQTSRNISSSDMFGIFLYILGTGAKVSQCREIFQRSRSTISRHFAIVLEKVSRMATDLIAPEDPFF >KJB71098 pep chromosome:Graimondii2_0_v6:11:13059611:13061360:-1 gene:B456_011G109800 transcript:KJB71098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IIGCYAQTELGHGSNVQRLETTATFDPQTDEFVIHSLTLTSRKWWPGGLGKVSTHAVVYARLRTDGQDYGVHGFIVQLRSLDDHSPLPGMTVGDIGMKFGSGAYNSMDNGLLRFDHVRIPRNKMLMHLSQGAKEGKYVQSNVPRQQVYGTMVYVRQIIVSEASCALSRKVCISTRYSVVRRQFGTETQVINHKAKQSKLFPLLASAYAFRFVGEWMKWLYTDVSKRLQANDSYINVKIL >KJB73885 pep chromosome:Graimondii2_0_v6:11:58846357:58850862:1 gene:B456_011G258600 transcript:KJB73885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLATKSPVIRGNVTDQLALLQFKAKITGDQLKIMESWNSSSHFCQWRGVTCDRKHQRVTKLELQFLKLSGSLSPYIGNLSFLKGLNLANNNFYNQIPQEFGRLRRLETLELSNNSLSGEIPSNLSACSMLTFVHMRSNQLKGEIPASLGLLSNLIHLRFYNNSLTGSIPPSLGNLSSLKELHLALNGLTGNIPETLVRLTNLSFFSIGGNAIFGIVPVGMFNLSSIRVFDIGGNKIQGTLHSDLEITMPYVEFFSSTIGLMPSPRGTEFHKLMLYSLLQRWHFFKVYFLDFNNNMLDGNVPSLEKLDNLFDLELGINHFGHGRKGDLNFLCTLVNKTKLEYLSIVKNNFGGEFPECISNFSSNLRGLNMGGNNILGKIPDEIGNLINLEVLDVGHNQLLGSIPFDIGRLWKLNIFYALSNFLSGTIPHSIGNLTELTKLILDINNIQGNIPSNLGKCQNLLSLGLSNNNLSGTIPPEILGLSSLSIVLNLSSNSLTGELPVEVEKLKNLGLLDVSHNRLSGLIPNNLGSCVSLVELYLEGNLFEGPIPLSLSSLRGLGALDVSNNNLSGGIPEFLVRFGALKYLNLSFNNFEGVIPSEGVFKNTSAIFVEGNNKLCGGILELHLSRCNSKTSSKASAKLKIAIIVKKEQKPTTCAEHSLLQLSYQSILRATNGFCMENLVGSGSFGSVYKGILEESGVAIAVKVLNLLHHRASKSFFTECEALKNIRLRNLVKVLTAISALVYEFMENGSLEDWLHPYVSMNESEMTGNLNFFQRVNMAIDVAHALQYLHHHCETSIIHCDLKPSNILLDGEMVGHIGDFGLAKILSADRLNYSSNQSSSLGLRGTIGYAPPEYGIGSDLSTKGDVYSYGILLLEMFTGKRPTDERFKEGLSLHKHVKAALANRVIEIIDPILLQESVRGGTIIDITLNENSLGNDRHLQCLNLIFETGLTCSAQSPSERMDMSDIVTKLCSIRDQLFRPARVHRI >KJB74320 pep chromosome:Graimondii2_0_v6:11:61946804:61947204:1 gene:B456_011G287600 transcript:KJB74320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSSHQMKHQVFLSFRGEDTRLNFTSHILKPLKDIGINIFFDEDTLERGDQLSLTLSQTIAISNLSIIVLSDTEGHIVLPIFYRVDLFGLQNLTRRFKTSFDDHEPEKLDQ >KJB70154 pep chromosome:Graimondii2_0_v6:11:4999303:4999686:-1 gene:B456_011G061100 transcript:KJB70154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQQERRQNFSKRLTQIELENRMILFSYTVVADFFEFQADHLFFMNATDSVGKEWIFVGKFHASDNVGNYVSISLPWFAVEKGLKRNDEIIFTEIPQGNRPWKNFKVVIKRKIRLFGQDIWGELMV >KJB74208 pep chromosome:Graimondii2_0_v6:11:61133977:61137346:1 gene:B456_011G279400 transcript:KJB74208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPAEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGRDQVAAAMGIFGPRTTYVLALKDIPGTHEFLLLDEGKWQHVKDTYEIGEGKMFSPGNLRATFDNPEYDKLINYYVREKYTLRYTGGMVPDVNQIIVKEKGIFTNVASPSAKAKLRLLFEVAPLGFLIEKAGGYSSDGQKSVLDKVIENLDDRTQVAYGSKNEIIRFEEMLYGSSRLNAGVPVGATA >KJB74209 pep chromosome:Graimondii2_0_v6:11:61133977:61141521:1 gene:B456_011G279400 transcript:KJB74209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSVTCYARGIVLPGVSSKHSSALVSPPSISPSFSSKSLKTSSLFGESLRVVPRSSLKVSKAKNTTSLVTKCEIGESLEEFLTKATTDKALIRLMMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDLLANKLLFEALTYSHFCKYACSEEIPELQDMGGPAEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGRDQVAAAMGIFGPRTTYVLALKDIPGTHEFLLLDEGKWQHVKDTYEIGEGKMFSPGNLRATFDNPEYDKLINYYVREKYTLRYTGGMVPDVNQIIVKEKGIFTNVASPSAKAKLRLLFEVAPLGFLIEKAGGYSSDGQKSVLDKVIENLDDRTQVAYGSKNEIIRFEEMLYGSSRLNAGVPVGATA >KJB74207 pep chromosome:Graimondii2_0_v6:11:61133942:61137346:1 gene:B456_011G279400 transcript:KJB74207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSVTCYARGIVLPGVSSKHSSALVSPPSISPSFSSKSLKTSSLFGESLRVVPRSSLKVSKAKNTTSLVTKCEIGESLEEFLTKATTDKALIRLMMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDLLANKLLFEALTYSHFCKYACSEEIPELQDMGGPAEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGRDQVAAAMGIFGPRTTYVLALKDIPGTHEFLLLDEGKWQHVKDTYEIGEGKMFSPGNLRATFDNPEYDKLINYYVREKYTLRYTGGMVPDVNQIIVKEKGIFTNVASPSAKAKLRLLFEVAPLGFLIEKAGGYSSDGQKSVLDKVIENLDDRTQVAYGSKNEIIRFEEMLYGSSRLNAGVPVGATA >KJB71801 pep chromosome:Graimondii2_0_v6:11:22951115:22965061:-1 gene:B456_011G144200 transcript:KJB71801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFQLQNYIDVRVMDILSCQEVKPEIISWSPRIIVLHNFLSNEECDYLRAIARPRLQVSTVVDVKTGKGIKSNVRTSSGMFLSPTEKKYPMIQAIEKRISVFSQIPAENGELIQVLRYEKDQFYKPHHDYFSDTFNLKRGGQRIATMLMYLSDDVEGGETYFPMAGTGDCSCGGKTVKGMSVKPIKGDAVLFWSMGLDGQSDPNSIHGGCEVLSGEKWSATKWMRQKPTF >KJB71800 pep chromosome:Graimondii2_0_v6:11:22951115:22964598:-1 gene:B456_011G144200 transcript:KJB71800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGNAGALFQLAFIRGLEDSYGDDFPTTKLHRRQSDGYLKLPRGMSHWHGDKEAEILRLGFVKPEIISWSPRIIVLHNFLSNEECDYLRAIARPRLQVSTVVDVKTGKGIKSNVRTSSGMFLSPTEKKYPMIQAIEKRISVFSQIPAENGELIQVLRYEKDQFYKPHHDYFSDTFNLKRGGQRIATMLMYLSDDVEGGETYFPMAGTGDCSCGGKTVKGMSVKPIKGDAVLFWSMMDSRIQIAYMEDVKFYQEKNGLQQNG >KJB71802 pep chromosome:Graimondii2_0_v6:11:22951115:22965067:-1 gene:B456_011G144200 transcript:KJB71802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPMKIVFGLLTFVTVGMIIGALFQLAFIRGLEDSYGDDFPTTKLHRRQSDGYLKLPRGMSHWHGDKEAEILRLGFVKPEIISWSPRIIVLHNFLSNEECDYLRAIARPRLQVSTVVDVKTGKGIKSNVRTSSGMFLSPTEKKYPMIQAIEKRISVFSQIPAENGELIQVLRYEKDQFYKPHHDYFSDTFNLKRGGQRIATMLMYLSDDVEGGETYFPMAGTGDCSCGGKTVKGMSVKPIKGDAVLFWSMGLDGQSDPNSIHGGCEVLSGEKWSATKWMRQKPTF >KJB71799 pep chromosome:Graimondii2_0_v6:11:22952072:22964421:-1 gene:B456_011G144200 transcript:KJB71799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGNAGALFQLAFIRGLEDSYGDDFPTTKLHRRQSDGYLKLPRGMSHWHGDKEAEILRLGFVKPEIISWSPRIIVLHNFLSNEECDYLRAIARPRLQVSTVVDVKTGKGIKSNVRTSSGMFLSPTEKKYPMIQAIEKRISVFSQIPAENGELIQVLRYEKDQFYKPHHDYFSDTFNLKRGGQRIATMLMYLSDDVEGGETYFPMAGTGDCSCGGKTVKGMSVKPIKGDAVLFWSMGLDGQSDPNSIHGGCEVLSGEKWSATKWMRQKPTF >KJB74391 pep chromosome:Graimondii2_0_v6:11:62335627:62346058:-1 gene:B456_011G292200 transcript:KJB74391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPIAMKEVLTLPSIGISPQFITFTNVTMESEKYICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIELKAKIKSHQMPEQVVFWKWISPKLLGLVTQTSVYHWSIEGESEPVKMFERTANLANNQIINYKCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSILISFATKSFNAGQITSKLHVIELGAQPGKSSFSKKQADLFFPPDFQDDFPVAMQISHKYALIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASAVGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTAPLLQYFSILLTWGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYSELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGVDSCIKIFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIRASEDADVYPDLVRYLLMVRQKVKEPKVDGELIYAYAKIDRLGEIEEFILIPNVANLQNVGDRLFDEALYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPESWDHMQFKDIIVKVASVELYYKAVHFYLQEHPDLINDMLNVLALRVDHTRVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYKDAMETASQSGERELAEELLVYFIEQGKKECFASCLFVCYDLLRADVVLELAWIHNMIDFAFPYLLQFIREYTGKVDELIKDKIEAQKEVKAKEQEEKEVIAQQNMYAQLLPLALPAPPMPGMGGGFAPPPPPMGGMGMPPFGMPPMGSY >KJB74389 pep chromosome:Graimondii2_0_v6:11:62335627:62346001:-1 gene:B456_011G292200 transcript:KJB74389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPIAMKEVLTLPSIGISPQFITFTNVTMESEKYICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIELKAKIKSHQMPEQVVFWKWISPKLLGLVTQTSVYHWSIEGESEPVKMFERTANLANNQIINYKCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSILISFATKSFNAGQITSKLHVIELGAQPGKSSFSKKQADLFFPPDFQDDFPVAMQISHKYALIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASAVGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTAPLLQYFSILLTWGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYSELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGVDSCIKIFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIRASEDADVYPDLVRYLLMVRQKVKEPKVDGELIYAYAKIDRLGEIEEFILIPNVANLQNVGDRLFDEALYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPESWDHMQFKDIIVKVASVELYYKAVHFYLQEHPDLINDMLNVLALRVDHTRVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKQIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYKDAMETASQSGERELAEELLVYFIEQGKKECFASCLFVCYDLLRADVVLELAWIHNMIDFAFPYLLQFIREYTGKVDELIKDKIEAQKEVKAKEQEEKEVIAQQNMYAQLLPLALPAPPMPGMGGGFAPPPPPMGGMGMPPFGMPPMGSY >KJB74390 pep chromosome:Graimondii2_0_v6:11:62335627:62346001:-1 gene:B456_011G292200 transcript:KJB74390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPIAMKEVLTLPSIGISPQFITFTNVTMESEKYICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIELKAKIKSHQMPEQVVFWKWISPKLLGLVTQTSVYHWSIEGESEPVKMFERTANLANNQIINYKCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSILISFATKSFNAGQITSKLHVIELGAQPGKSSFSKKQADLFFPPDFQDDFPVAMQISHKYALIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASAVGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTAPLLQYFSILLTWGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYSELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGVDSCIKIFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIRASEDADVYPDLVRYLLMVRQKVKEPKVDGELIYAYAKIDRLGEIEEFILIPNVANLQNVGDRLFDEALYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPESWDHMQFKDIIVKVASVELYYKAVHFYLQEHPDLINDMLNVLALRVDHTRVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYKDAMETASQSGERELAEELLVYFIEQVPKLIPKYCVRHSCSTGRPIMLVWSVLLVNRAGLHGK >KJB72581 pep chromosome:Graimondii2_0_v6:11:44297760:44300186:1 gene:B456_011G185900 transcript:KJB72581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTISCVSNFIFLSKNSISSSSLPFFKKPIPIFQSFNFSSSSSLQVSASSTSGKAMVGENDLLIVGPGVLGRLVAEKWREEHPGCQIYGQTVTTDHHNELISLGINPFLKETKTDQKFPYVIFCAPPSKTPDYAGDIRLAALSWNGEGSFVFTSSSAPYDCNDNGPCDEDTPTVPIGRSPRTDTLLKAEKVVLEFDGCVVRLAGLYKMDRGAHFYWLHKGTVDARPDHILNLIHYEDAASLSVTILKKKLRGRIFLGCDNHPLSRQEVMDLVDKSGKFDKKFQGFTGTSDPLGKKLNNSNTRKELGWEPKYPSFAHFLGVSE >KJB72583 pep chromosome:Graimondii2_0_v6:11:44298080:44300186:1 gene:B456_011G185900 transcript:KJB72583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGENDLLIVGPGVLGRLVAEKWREEHPGCQIYGQTVTTDHHNELISLGINPFLKETKTDQKFPYVIFCAPPSKTPDYAGDIRLAALSWNGEGSFVFTSSSAPYDCNDNGPCDEDTPTVPIGRSPRTDTLLKAEKVVLEFDGCVVRLAGLYKMDRGAHFYWLHKGTVDARPDHILNLIHYEDAASLSVTILKKKLRGRIFLGCDNHPLSRQEVMDLVDKSGKFDKKFQGFTGTSDPLGKKLNNSNTRKELGWEPKYPSFAHFLGVSE >KJB72580 pep chromosome:Graimondii2_0_v6:11:44297757:44300196:1 gene:B456_011G185900 transcript:KJB72580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTISCVSNFIFLSKNSISSSSLPFFKKPIPIFQSFNFSSSSSLQVSASSTSGKAMVGENDLLIVGPGVLGRLVAEKWREEHPGCQIYGQTVTTDHHNELISLGINPFLKETKTDQKFPYVIFCAPPSKTPDYAGDIRLAALSWNGEGSFVFTSSSAPYDCNDNGPCDEDTPTVPIGRSPRTDTLLKAEKVVLEFDGCVVRGAHFYWLHKGTVDARPDHILNLIHYEDAASLSVTILKKKLRGRIFLGCDNHPLSRQEVMDLVDKSGKFDKKFQGFTGTSDPLGKKLNNSNTRKELGWEPKYPSFAHFLGVSE >KJB72584 pep chromosome:Graimondii2_0_v6:11:44298308:44300186:1 gene:B456_011G185900 transcript:KJB72584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCELHDLWKQEHPGCQIYGQTVTTDHHNELISLGINPFLKETKTDQKFPYVIFCAPPSKTPDYAGDIRLAALSWNGEGSFVFTSSSAPYDCNDNGPCDEDTPTVPIGRSPRTDTLLKAEKVVLEFDGCVVRLAGLYKMDRGAHFYWLHKGTVDARPDHILNLIHYEDAASLSVTILKKKLRGRIFLGCDNHPLSRQEVMDLVDKSGKFDKKFQGFTGTSDPLGKKLNNSNTRKELGWEPKYPSFAHFLGVSE >KJB72582 pep chromosome:Graimondii2_0_v6:11:44297760:44300186:1 gene:B456_011G185900 transcript:KJB72582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTISCVSNFIFLSKNSISSSSLPFFKKPIPIFQSFNFSSSSSLQVSASSTSGKAMVGENDLLIVGPGVLGRLVAEKWRESIRLAALSWNGEGSFVFTSSSAPYDCNDNGPCDEDTPTVPIGRSPRTDTLLKAEKVVLEFDGCVVRLAGLYKMDRGAHFYWLHKGTVDARPDHILNLIHYEDAASLSVTILKKKLRGRIFLGCDNHPLSRQEVMDLVDKSGKFDKKFQGFTGTSDPLGKKLNNSNTRKELGWEPKYPSFAHFLGVSE >KJB73190 pep chromosome:Graimondii2_0_v6:11:52894021:52898385:1 gene:B456_011G220500 transcript:KJB73190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLTLPPTLPVCFPLLRVEITTKRAGIFFRNYCVGGFENQELVDFSLEKLASSDLLQFATQKKVLEEIQNLRKELKQIRRVLDDAEERQMKEQLVKDWLIDLQNLAFDVEDVLDEFATEIGRRNLMMERRGSSSKRSRLNIPHSFNDVLFNRDIVSKIRDLTAKLKDLEPQRNKLELRMTACERPTRREERLQPTSLEIENHVYGRDKDKQTILDLLLKSDDERNFVIPIVGMGGIGKTTLAQLVYNDASIQHHFHLKAWACVSDYFDVLRITKEILQAITSVSCNDNDLNIVQEKLQKELSGKKFLIVLDDVWNENYHDWTILQSPFKTRTQGSKIIVTTRNHGVSSTMGALHAHSLELLSDDDCLSVFAQHALGARDLEGHPSLKEVAEKIVRKCNGLPLAAKTVGGLLRTNVDLHAWEDILESEIWKLSKDQSSIIPALQLSYHHLPLHLKRCFMYCAIIPSDYEFEKEEIILLWRAQGYLQEARDKQCIHDLGHKYFNDLVSRSLFQVAINNNSRFVMHDLINDLAQSVAGEVCFKMEGSQQISKHARHLSYIAERFDGIKKFEGIYEAQHLRTFLPLRFSSVFRTDNYLTNHVLTNLLPNLRCLRALSLEGYEIIMLPDFVGDLKLLRYLNFSRNSVIKCLPESVSTLYNLETFLLKGCCNLEKLPSGMEKLVNLCYLDITGAYKLESMASNFSMLTNLQKLSSFVLGKEKGHKIRELMNLSNLRGELCISGLQNIAEPQDAWMARLSDKSRLENLELQWSKDFENRREEVEKKVLDGFQPSKKLRELSIKFFCGEMLANWLGDSSFNCLQSLCLDDCRNLLSLPSIGKLPLLKKVRIKGLRSVRTVGVEFFGENKTNTFSSLEILEFVDMLNWEKWNLCEVDEAARTFPKLRELFIENCPLLLGSMPEYLPSLKKLAIRSCGKLIISVQNFPLLSELEIHGCHEFSWATECLRLRSIKVESFEIGDCEELCSSRENNWGLLAQSISPQDLRIEKCSQLVSIATEEEREELMQMKIPSSIVRMTIRNWGRLEKLSTTLYSLTLLMELELHGCPKLISVARSNLPSNLKVLRIIKCKNLQCLLLDEGKDVDSNNAYALQQLDIFKCESLERVNRSELPSTLKELRISKCAKLDGLPTPNLEVLRLRSCILLQALPRNMHSLNSLKNLGIRNCPNLTSILEEGIPTNLTSLIIDGPNIWKAILERDLHTLTCLKSLSISNGCPDAVSFPQDEIEVTLPSSLSHLYISDFPKLENLSSNGFRNLTSLQHLTIKNCPNLKTLSGNNMLSSLLELNIVGCPMMEEWCKRDKGPEWSKITHIPCVAFWN >KJB69354 pep chromosome:Graimondii2_0_v6:11:1310845:1313037:-1 gene:B456_011G019100 transcript:KJB69354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTCELGLVFGTTIGVGVGIILAMAIAWYIMYRKKRFDRSHDTEKVGPRADNHPIRIHGSDSSTVLSDSNHSRDSPRTSEWSNMPQWLEGLRRKSVASACGIPKYSYKYERDEMFCRELQKATWNFTTNIGEGAFGPVYKAQITTGQTVAVKVLATDSKQGAMEFLTEVLLLGRLHHRNLVNLMGYCAERGLHMLVYVYMSNGSLASHLYNEKLEPLTWNLRVQIALDVARGLEYLHYGAVPPVVHRDIKSSNILLDHFMKARVADFGISRQEKSALHSSSDIKGTFGYVDPEYISTSIFTKKSDVYSFGVLLFELMSGKNAQKNLMEYVEFVALGVEDNEGWEEIADPRLEGKFDVQQLNFMGGLAYNCVNPVSRKRPSMRKIVLALSEILKPRNSETYRVITEETTFELDLQGTFDSSLTER >KJB70138 pep chromosome:Graimondii2_0_v6:11:4815955:4817820:1 gene:B456_011G059600 transcript:KJB70138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTRYLSLKNIIPSCIKPKDSSSGPKIHATRQSPSQRLSLTDVSHSGTPLSLSDLSTSLISLHIFTLKELGVITHNFSKANFLGEGGFGPVYKGFIDDKFKPALKAQSVAVKVLDLDGTQGHREWLAEVIFLGQLKHPHLVNLIGYCYEEEHRLLVYEYMERGNLENQLFKRCGPPLPWLTRLKIALGTAKGLAFLHEEEKPVIYRDFKTSNILLDLAYNAKVSDFGLATDGPEGEKSHVTTAVMGTEGYAAPEYITTGHLTTMSDVFSFGVVLLELLTGRRSVDKSRPAREKNLVEWARPSLKDPYKLDAIMDPRLEGQYSTEGVKKVAALAYQCLSNHPKSRPTMSNVVKALEPLLDLTDIPTGPFVYIVPTNGNSEPIIQNIIHREEKQHDELRIEKNIDHKEEKKEKNRLPHRKAQKHRPRVKPSRSRAVYSDTDLYKVLGSSLYTPKH >KJB72567 pep chromosome:Graimondii2_0_v6:11:44035930:44041694:1 gene:B456_011G185300 transcript:KJB72567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQAKRGTPCYMAPELFEDGDVHSFASDFWALGCVLYECYTGRPPFVGRQFTQLVKSILSDPTPPLSGSPSSSFVNIVNSLLIKNPAERIKWSELCLHAFWRTKFSLVALPPQPAFENMIELYAKPCLSERNGDRSSQSKTPKYREKDSKGASRKDENSLMALRGQETAVKGTPIGCKTQTKPSGRAVEEKHKDQSSAIKRVNLLRLSRIAKTNLQKDNEKENYRRPIPNSSENESEVKIENTDMELDFDENTEEDVPDEPDGSDVPTCTTEGKISCQNQQLLKAEERDNNMDQSDSQAISNLLASDESKAHDQESSSNHVEVASTPPSVSSQNRNQRIKESPVSAPDSDCSKSSNNISQVLWHPSDLSVRPVIPSRKADKLSEVIPSLPFEAPQPSDFVKMSKEQLDALNNRIIAIFGGNAGISEKQNVIRYLEMLSNNADAANILTNRPIMLMLVKMLRQSKTSALRVQLASLIGLLIRHSTFIEDEFANSGILGALTDGLRDRQEKVRRFSMAALGELLFYISTQNEHARDNNPPEFPSKDNKPVSGWQVPNSLISLVSSVLRKGEDDMTQLYALRTIENICSQGGHWAARFTSQDVISNLCYIYRAAGKQESMRLTAGSCLVRLVRFNPQSIQSVIDRFSLKDIASTLSKGSLREQQISLNLLNLAFLGSHLFSNIGRYLLPLVEDRNLVPSLLSLIEQGSEVLRGKALVFVALLCKNGKRWLPQFFCNARLLPTVDRLAKEKDNYLKQCLDSFLHVVATTIPSLLDCITGDIQQMMAGRRQISALTSRSAPKNNINLFPVVLHLLGCSSFRNRVVTQQVLRQLANLIQVVETPFQGRDDFQITLLRILESIPEESPVILENPNIFIRGILPSLAVLYEGNRDGNARFLCLKIMFNVMDIILNESSLKDQRSEDLKLISNSHFLPLYPTLIEDEDPIPMYAQKLLVMLIEFDYIKISDILDLKMVSKCFEFLLGDLANANVNNVKLCLALASAPEMDSKLLSQLKVVRKIGNLLEFVYAKDMEDFLEPTIGLFRAFLLCSIGSRKGFVYTKEPTLLGPISSEPSAQIDLQQCILDITDFGSNVGVLLELSASHEASIADIASECIILLLKTAPREATTGFLTNLPKAGLILESWRKGTSYLILQRILHTVGYSCRQCLSHAMILSISKPEITWIERIVSDLKSSNIPGLADVASLVLSELQRLPRCI >KJB72566 pep chromosome:Graimondii2_0_v6:11:44034963:44041694:1 gene:B456_011G185300 transcript:KJB72566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHYHIYEVIGRGKYSTVYKGRKKKTIEYFAIKSVDKSQRHKVLQEVRILHSLNDPYILKFYSWYETSAHLWLVLEYCTGGDLMTLLRQDGKLPEDSIYFLAYDLVRALQYLHSKGIIYCDLKPSNILLDENGHTKLCDFALARKLSDISKTPSSMLPQAKRGTPCYMAPELFEDGDVHSFASDFWALGCVLYECYTGRPPFVGRQFTQLVKSILSDPTPPLSGSPSSSFVNIVNSLLIKNPAERIKWSELCLHAFWRTKFSLVALPPQPAFENMIELYAKPCLSERNGDRSSQSKTPKYREKDSKGASRKDENSLMALRGQETAVKGTPIGCKTQTKPSGRAVEEKHKDQSSAIKRVNLLRLSRIAKTNLQKDNEKENYRRPIPNSSENESEVKIENTDMELDFDENTEEDVPDEPDGSDVPTCTTEGKISCQNQQLLKAEERDNNMDQSDSQAISNLLASDESKAHDQESSSNHVEVASTPPSVSSQNRNQRIKESPVSAPDSDCSKSSNNISQVLWHPSDLSVRPVIPSRKADKLSEVIPSLPFEAPQPSDFVKMSKEQLDALNNRIIAIFGGNAGISEKQNVIRYLEMLSNNADAANILTNRPIMLMLVKMLRQSKTSALRVQLASLIGLLIRHSTFIEDEFANSGILGALTDGLRDRQEKVRRFSMAALGELLFYISTQNEHARDNNPPEFPSKDNKPVSGWQVPNSLISLVSSVLRKGEDDMTQLYALRTIENICSQGGHWAARFTSQDVISNLCYIYRAAGKQESMRLTAGSCLVRLVRFNPQSIQSVIDRFSLKDIASTLSKGSLREQQISLNLLNLAFLGSHLFSNIGRYLLPLVEDRNLVPSLLSLIEQGSEVLRGKALVFVALLCKNGKRWLPQFFCNARLLPTVDRLAKEKDNYLKQCLDSFLHVVATTIPSLLDCITGDIQQMMAGRRQISALTSRSAPKNNINLFPVVLHLLGCSSFRNRVVTQQVLRQLANLIQVVETPFQGRDDFQITLLRILESIPEESPVILENPNIFIRGILPSLAVLYEGNRDGNARFLCLKIMFNVMDIILNESSLKDQRSEDLKLISNSHFLPLYPTLIEDEDPIPMYAQKLLVMLIEFDYIKISDILDLKMVSKCFEFLLGDLANANVNNVKLCLALASAPEMDSKLLSQLKVVRKIGNLLEFVYAKDMEDFLEPTIGLFRAFLLCSIGSRKGFVYTKEPTLLGPISSEPSAQIDLQQCILDITDFGSNVGVLLELSASHEASIADIASECIILLLKTAPREATTGFLTNLPKAGLILESWRKGTSYLILQRILHTVGYSCRQCLSHAMILSISKPEITWIERIVSDLKSSNIPGLADVASLVLSELQRLPRCI >KJB72964 pep chromosome:Graimondii2_0_v6:11:49888780:49889961:-1 gene:B456_011G207300 transcript:KJB72964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMYGNIRSYSLLILILLITAVIAGSIFDAFFYLLLCGLLGLVVLGAFFFLRSRNRFQEVEEDHLDNMLGMPTRFSYEELKNITKNFSNKLGEGGLGSVSQGTLPSGSQVAVKHLFGIGPVNKSFVAEVQTIGSIHHFNLVSLVGFCAEKFNRLLVYEYMANGSLDRWIFNKNQDLSLDWQVRKKIILDIAKGLAYLHEDCNRKIIHLDIKPQNILLDENFNAKVSDFGLSKLIEKDQSQVVTSMRGTPGYMAPEWLSLVITQKVDVYSFGIIVLEVLCGRRNIDGSQQEEDRHLLKLFGRKQEEGQLLDLVDKRPSMSAVVKVFEGSVDVVIDMDEDFLNEITPEVGECFATTVLPSMLSGPR >KJB73207 pep chromosome:Graimondii2_0_v6:11:53099376:53101921:-1 gene:B456_011G222100 transcript:KJB73207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPATHTKISSYHNNNNSCKSIKPCFGWWSCMNVSRSVWRILEREQFAVGFPLRASPVSHKHRRDLHYMV >KJB73357 pep chromosome:Graimondii2_0_v6:11:54258252:54260478:-1 gene:B456_011G229100 transcript:KJB73357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMILSDPSSAASVHRLSFTRFSNSLVFLRRFVGPLHVFSHTAALPRALSLHAPCSSRFITASMAAEPSAKVIDGKSVAKQIREEISAEVTKLKEAIGVVPGLAVVLVGDRKDSATYVRNKKIACESVGIKSFEVNLPNDASEQEVLKYISDFNGEPLVHGILVQLPLPSHMNEQNILNAVMIEKDVDGFHPLNIGRLAMRGREPMFVPCTPKGCIELLHRYGVDIKGKRAVVIGRSNIVGMPAALLLQCLDIGRGKMLL >KJB73358 pep chromosome:Graimondii2_0_v6:11:54258252:54260478:-1 gene:B456_011G229100 transcript:KJB73358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMILSDPSSAASVHRLSFTRFSNSLVFLRRFVGPLHVFSHTAALPRALSLHAPCSSRFITASMAAEPSAKVIDGKSVAKQIREEISAEVTKLKEAIGVVPGLAVVLVGDRKDSATYVRNKKIACESVGIKSFEVNLPNDASEQEVLKYISDFNGEPLVHGILVQLPLPSHMNEQNILNAVMIEKDVDGFHPLNIGRLAMRGREPMFVPCTPKGCIELLHRYGVDIKGKRAVVIGRSNIVGMPAALLLQREDATVTIVHSRTKNPEEITRQADIIISAVGQPNMVRGSWIKPGAVIIDVGINPVEVGGLFHSFLSYLCPLLTWLVTL >KJB73356 pep chromosome:Graimondii2_0_v6:11:54258123:54260478:-1 gene:B456_011G229100 transcript:KJB73356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMILSDPSSAASVHRLSFTRFSNSLVFLRRFVGPLHVFSHTAALPRALSLHAPCSSRFITASMAAEPSAKVIDGKSVAKQIREEISAEVTKLKEAIGVVPGLAVVLVGDRKDSATYVRNKKIACESVGIKSFEVNLPNDASEQEVLKYISDFNGEPLVHGILVQLPLPSHMNEQNILNAVMIEKDVDGFHPLNIGRLAMRGREPMFVPCTPKGCIELLHRYGVDIKGKRAVVIGRSNIVGMPAALLLQREDATVTIVHSRTKNPEEITRQADIIISAVGQPNMVRGSWIKPGAVIIDVGINPVEDASSPRGYRLVGDVCYDEACKIAAAITPVPGGVGPMTIAMLLSNTVSSAKRAYNFN >KJB73507 pep chromosome:Graimondii2_0_v6:11:55767416:55768840:1 gene:B456_011G236500 transcript:KJB73507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQDPSKNNEVDEIFNQARQLGAVERPIEQLNPSSSSTSFTGTGRLHSGETVSSVPQLPQTVIHNIVLWANGFTVNDVPLRRLDDPENAHFLESIRKFGEPKKHQTKFQGVGTTLSKQVTSNNTSATPEQTSSTSPLNTAPSPSPGLVVEESLPSTSIWLRLADGTRMVAHFNSHNTIGDIRSFIDAFRPESATIYQLQMMEFPPKLLVDPTQTVEQAGLINSVVIQKF >KJB69352 pep chromosome:Graimondii2_0_v6:11:1299376:1301107:1 gene:B456_011G018700 transcript:KJB69352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMASMTGLRGSSQAALEGSLQLSGQTRLNVASTNRLAVARPGFTVRAQQVPAEPETGRRAVLGLVAAGLATGSFVQAVLADARSIKVGPPPPPSGGLPGTLNSDEPRDLDLPYKDRFFLQPLTPAQAAQRAKESAKDILGVKTLIDKKAWPYVMNDLRLKAEYLRFDLKTVISSRPKDEKKSLDELTKKLFNTIDGLDHAAKIKSTPEAEKYYAETASALNEVITKLG >KJB72079 pep chromosome:Graimondii2_0_v6:11:27553741:27559261:1 gene:B456_011G157200 transcript:KJB72079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKEFVIKIIINLLCLTMISVVPFMFKFQKFIVDEEEKNCFEDKEKILIVAIENYTKGKALTKATRLVDFNFPEDLNGHGSACASIVVGTLTELKWLKNISGIFDSKMQGANPFARIASYKVSSDKVKIDKSVEVSKKSLIDAMKKATSDKVDVKIVSLSTDTLSNLSSYLYDPINMRGYLAMKENIVVWTSSGNHGDCYYTLSGGVLQEVLMEKTSSTLWKRLEIFYATNSLANRLVLKQCLFTFCMNESELLRYRISQFITLFKDLKNIEVKINDEDQTILLLCFLPSSYKSFRETLIYGRDKLSFEDLLNPVDPQQLCSYSINDFQSYELHKPVVYVTGKDGISIQKYIDKKKNVKANAKIYQTIYEEQDGDLCKVNLISGIGPNPYDPYVLKNCSYNCYFEDILCANKYDAQNMYAHYQGMSVTSMANAVEKKIFGMDLNLPNFSLVLDKTSKYIFNRTLTNVGCPKCSYKAEIRLYGRIDTSRKGKNNIEERKCFKVIVRSSMAFRDQFLLAHATLIWREQKEDNGRNQYITISSPILILSKSLWELLNIKDDFVNDFEVPRK >KJB70137 pep chromosome:Graimondii2_0_v6:11:4803081:4809444:1 gene:B456_011G059500 transcript:KJB70137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MDDVEKAILISFDESGAIDSELKLQAVNFCQKIKETPSICSLCIEKLCFCKLVQVQFWCLQALQEVIRVKYGSMSLEEKNFIRKSIFSMACLEGINDKMCVVLDSPAFIKNKLSQVLVTLIYFEYPLIWSSVFVDFLPHLSKGAVVIDMFSRLLNALDDELVSMDYPRTAEEVAVAGRVKDAMRNQCVPQIVRAWYDIVSMYRNTDPETCVTVLDCMRRYVSWIDIGLIVNDAFIPLLFELILVDGLSEQLRAAAAGCVLAVVSKRMDAHSKLSLLKSLQISRVFGLVSDDNDSDLVSAIAALITGYAVEVLECSKRVNTEDAKVISMELLDEVLPTVFYVMQNCEMDAAFSIVQFLSGYVATMKTHSSLQENQMLHISQILEVIRTQIRYDPMYRNNLDMVDMIGVEEESRMLEFRKDLFVLLRNVGRVAPEVTRIFIRNSLASAISSSSDKNAEEVEAALSLLYALGETMSDEAMRVGNGLLSELVTNLLSTRFPCHSNRLVALVYLETIARYMKFIQENVQYIPLVLAAFLDERGIHHPNIYVSRRASYLFMRIVKLLKSKLVPFIETILQSLQDVVARFTSMNLASREPAGSEDGAYVFEAIGLLIGMEDVSLEKQSDYLSSLLTPLCQQVEVTLMNAKALNPDESSLQIANIQQIIVAINALSKGFSERLVTSSRPAIGHMFKQTLDVLLQILVVFPKVEPLRTKVLSFIHRMVDTLGASVFPYLPKALEQLLAESEPKEMVGFLLLLNQLICKFSTLVRDILEEVFPTIAGRVFSAIQRVVDSSVTETNTEEIRELQELQKTLYTFLHVIATHDLSSVFLSPRSRDYLTSIMQLLLHTSCHHKDIVTRKACVQIFIKLIKDWCAKSSGEEKVPGFKSFIIETFATNCCLYSVLDKSFEFGDANTLVLFGEIVLAQKVMYEKFGDDFLVHFVSKGFPSPQNLAEQYCQKLKGNDIKALRSYYQSLIEHLRVQQNGSLVFR >KJB70135 pep chromosome:Graimondii2_0_v6:11:4802737:4809284:1 gene:B456_011G059500 transcript:KJB70135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MDDVEKAILISFDESGAIDSELKLQAVNFCQKIKETPSICSLCIEKLCFCKLVQVQFWCLQALQEVIRVKYGSMSLEEKNFIRKSIFSMACLEGINDKMCVVLDSPAFIKNKLSQVLVTLIYFEYPLIWSSVFVDFLPHLSKGAVVIDMFSRLLNALDDELVSMDYPRTAEEVAVAGRVKDAMRNQCVPQIVRAWYDIVSMYRNTDPETCVTVLDCMRRYVSWIDIGLIVNDAFIPLLFELILVDGLSEQLRAAAAGCVLAVVSKRMDAHSKLSLLKSLQISRVFGLVSDDNDSDLVSAIAALITGYAVEVLECSKRVNTEDAKVISMELLDEVLPTVFYVMQNCEMDAAFSIVQFLSGYVATMKTHSSLQENQMLHISQILEVIRTQIRYDPMYRNNLDMVDMIGVEEESRMLEFRKDLFVLLRNVGRVAPEVTRIFIRNSLASAISSSSDKNAEEVEAALSLLYALGETMSDEAMRVGNGLLSELVTNLLSTRFPCHSNRLVALVYLETIARYMKFIQENVQYIPLVLAAFLDERGIHHPNIYVSRRASYLFMRIVKLLKSKLVPFIETILQSLQDVVARFTSMNLASREPAGSEDGAYVFEAIGLLIGMEDVSLEKQSDYLSSLLTPLCQQVEVTLMNAKALNPDESSLQIANIQQIIVAINALSKGFSERLVTSSRPAIGHMFKQTLDVLLQILVVFPKVEPLRTKVLSFIHRMVDTLGASVFPYLPKALEQLLAESEPKEMVGFLLLLNQLICKFSTLVRDILEEVFPTIAGRVFSAIQRVVDSSVTETNTEEIRELQELQKTLYTFLHVIATHDLSSVFLSPRSRDYLTSIMQLLLHTSCHHKDIVTRKACVQIFIKLIKDWCAKSSGEEKVPGFKSFIIETFATNCCLYSVLDKSFEFGDANTLVLFGEIVLAQKVMYEKFGDDFLVHFVSKGFPSPQNLAEQYCQKLKVSLCKYQMCYVACFHGQRGIF >KJB70134 pep chromosome:Graimondii2_0_v6:11:4802737:4809284:1 gene:B456_011G059500 transcript:KJB70134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MDDVEKAILISFDESGAIDSELKLQAVNFCQKIKETPSICSLCIEKLCFCKLVQVQFWCLQALQEVIRVKYGSMSLEEKNFIRKSIFSMACLEGINDKMCVVLDSPAFIKNKLSQVLVTLIYFEYPLIWSSVFVDFLPHLSKGAVVIDMFSRLLNALDDELVSMDYPRTAEEVAVAGRVKDAMRNQCVPQIVRAWYDIVSMYRNTDPETCVTVLDCMRRYVSWIDIGLIVNDAFIPLLFELILVDGLSEQLRAAAAGCVLAVVSKRMDAHSKLSLLKSLQISRVFGLVSDDNDSDLVSAIAALITGYAVEVLECSKRVNTEDAKVISMELLDEVLPTVFYVMQNCEMDAAFSIVQFLSGYVATMKTHSSLQENQMLHISQILEVIRTQIRYDPMYRNNLDMVDMIGVEEESRMLEFRKDLFVLLRNVGRVAPEVTRIFIRNSLASAISSSSDKNAEEVEAALSLLYALGETMSDEAMRVGNGLLSELVTNLLSTRFPCHSNRLVALVYLETIARYMKFIQENVQYIPLVLAAFLDERGIHHPNIYVSRRASYLFMRIVKLLKSKLVPFIETILQSLQDVVARFTSMNLASREPAGSEDGAYVFEAIGLLIGMEDVSLEKQSDYLSSLLTPLCQQVEVTLMNAKALNPDESSLQIANIQQIIVAINALSKGFSERLVTSSRPAIGHMFKQTLDVLLQILVVFPKVEPLRTKVLSFIHRMVDTLGASVFPYLPKALEQLLAESEPKEMVGFLLLLNQLICKFSTLVRDILEEVFPTIAGRVFSAIQRVVDSSVTETNTEEIRELQELQKTLYTFLHVIATHDLSSVFLSPRSRDYLTSIMQLLLHTSCHHKDIVTRKACVQIFIKLIKDWCAKSSGEEKVPGFKSFIIETFATNCCLYSVLDKSFEFGDANTLVLFGEIVLAQKVMYEKFGDDFLVHFVSKGFPSPQNLAEQYCQKLKGNDIKALRSYYQSLIEHLRVQQNGSLVFR >KJB70136 pep chromosome:Graimondii2_0_v6:11:4803081:4808422:1 gene:B456_011G059500 transcript:KJB70136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MDDVEKAILISFDESGAIDSELKLQAVNFCQKIKETPSICSLCIEKLCFCKLVQVQFWCLQALQEVIRVKYGSMSLEEKNFIRKSIFSMACLEGINDKMCVVLDSPAFIKNKLSQVLVTLIYFEYPLIWSSVFVDFLPHLSKGAVVIDMFSRLLNALDDELVSMDYPRTAEEVAVAGRVKDAMRNQCVPQIVRAWYDIVSMYRNTDPETCVTVLDCMRRYVSWIDIGLIVNDAFIPLLFELILVDGLSEQLRAAAAGCVLAVVSKRMDAHSKLSLLKSLQISRVFGLVSDDNDSDLVSAIAALITGYAVEVLECSKRVNTEDAKVISMELLDEVLPTVFYVMQNCEMDAAFSIVQFLSGYVATMKTHSSLQENQMLHISQILEVIRTQIRYDPMYRNNLDMVDMIGVEEESRMLEFRKDLFVLLRNVGRVAPEVTRIFIRNSLASAISSSSDKNAEEVEAALSLLYALGETMSDEAMRVGNGLLSELVTNLLSTRFPCHSNRLVALVYLETIARYMKFIQENVQYIPLVLAAFLDERGIHHPNIYVSRRASYLFMRIVKLLKSKLVPFIETILQSLQDVVARFTSMNLASREPAGSEDGAYVFEAIGLLIGMEDVSLEKQSDYLSSLLTPLCQQVEVTLMNAKALNPDESSLQIANIQQIIVAINALSKGFSERLVTSSRPAIGHMFKQTLDVLLQILVVFPKVEPLRTKVLSFIHRMVDTLGASVFPYLPKALEQLLAESEPKEMVGFLLLLNQLICKFSTLVRDILEEVFPTIAGRVFSAIQRVVDSSVTETNTEEIRELQELQKTLYTFLHVIATHDLSSVFLSPRSRDYLTSIMQLLLHTSCHHKDIVTRKACVQIFIKLIKDWCAKSSGEEKVPGFKSFIIETFATNCCLYSVLDKSFEFGDANTLVLFGEIVLAQKVMYEKFGDDFLVHFVSKGFPSPQNLAEQYCQKLKVLFFITHLYLICHA >KJB71271 pep chromosome:Graimondii2_0_v6:11:14635214:14635925:1 gene:B456_011G114000 transcript:KJB71271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSRRCFTLSLLLLHFIVLSHQILWVVGETGQNQIKNEDKPSFFQVVSNTFSLLKKSHKNSLEKIKTIVHDFRLQFTSSKPDEEAMKTNFETNKEKVQETEKSTEETLQNTAEKVEDKKESRDEL >KJB71391 pep chromosome:Graimondii2_0_v6:11:16592245:16592843:-1 gene:B456_011G120500 transcript:KJB71391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQLVVLALVLIALAGVVSVAASSPGGSPPSARTGASRDGSATSSSRPSQATATSPTGDVPLDLEKYKKK >KJB69551 pep chromosome:Graimondii2_0_v6:11:2230392:2236808:-1 gene:B456_011G030200 transcript:KJB69551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLVSWAVGLPKRAPIDSNLLKLLIPVAVCHGLGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPITLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALFVCIPPALIFEGPQLLKHGFNDAIAKVGMTKFISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNKISTQTGIGTAVAIAGVAAYSFIKAKMEEEKRQMKAA >KJB69549 pep chromosome:Graimondii2_0_v6:11:2233129:2236298:-1 gene:B456_011G030200 transcript:KJB69549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLVSWAVGLPKRAPIDSNLLKLLIPVAVCHGLGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPITLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALFVCIPPALIFEGPQLLKHGFNDAIAKVGMTKFISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNKISTQTGIGTAVAIAGVAAYSFIKAKMEEEKRQMKAA >KJB69550 pep chromosome:Graimondii2_0_v6:11:2233129:2236298:-1 gene:B456_011G030200 transcript:KJB69550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLVSWAVGLPKRAPIDSNLLKLLIPVAVCHGLGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPITLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALFVCIPPALIFEGPQLLKHGFNDAIAKVGMTKFISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNKISTQTGIGTAVAIAGVAAYSFIKAKMEEEKRQMKAA >KJB69552 pep chromosome:Graimondii2_0_v6:11:2232824:2236877:-1 gene:B456_011G030200 transcript:KJB69552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRLLSRASVITGLPQLRPKLSPGIVNVSYASAKPIGAVGEGGNAIWRRQLRPSLLLECSSVSKREVLKPVSAAASSPAEGGSDSAGEGKIAPVGFFEKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLVSWAVGLPKRAPIDSNLLKLLIPVAVCHGLGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPITLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALFVCIPPALIFEGPQLLKHGFNDAIAKVGMTKFISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNKISTQTGIGTAVAIAGVAAYSFIKAKMEEEKRQMKAA >KJB73573 pep chromosome:Graimondii2_0_v6:11:56340588:56340980:1 gene:B456_011G239200 transcript:KJB73573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYALVALWGLIIVLIMILMVVLCFRMDSSNRHPENGVSNYPIAEQGMQGPPLQKQFKEASRPIMVGTVVQYKNEEGTESRCTECVICLEEFKDGDSCRVLTNCNHLYHQLCMDELLVRNSHCPLCRGSTM >KJB74436 pep chromosome:Graimondii2_0_v6:11:62571239:62576198:-1 gene:B456_011G295200 transcript:KJB74436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g30610, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30610) UniProtKB/Swiss-Prot;Acc:Q9SA76] MLFVFCFLSTTLLLCNRRKCDSNSESYEDNVNLIKFGGFSKEVKMSKVFKYNEVNSKNEGIRRTRSRKGFVEEGEDDDLKIERTAFKNFEESNDIVNKPRVSKMEMEERIQKLAKSLNGADIDMPEWMFSKMMRSAKIKFSDYCILRVIQVLGKLGNWRRVLQVIEWLEVRERFKSHRLRHIYTTALDVLGKARRPVEALNLFRSMQQQMASYPDIVAYHSIAVTLGQAGHMTELFDVIDSMRSPPKKKFKTGPLGKWDPRLEPDIVVYNAVLNACARRKQWEGAFWVLQQLKQQHLLPSTTTYGLVMEVMFECGKYNLVHEFFRKMDKSCIPNALTYRVLVNTLWKEDRIDEAVSVVQVMEKRGIVGSAALYYDLARCLCSAGRCQEALMQIEKICKVANKPLVVTYTGLIQACLDSGNIENGAYIFNQMQKYCSPNLVTCNIMLKAYLDHGLFDEAKELFQKMSKDANENSSKCNDLNGVVADSYTFNIMLDACVQRNRWDEFEHVYRRMLRHGFHFNAKRHLRMILDAARAGKGELLETTWEEMDRAERTPPLPLIKERFCMKLEKNDYASAVSCITIHPASELQALSKSVWLNLYKDNASRFQQETIIGLVEAVDMIIGKSESPNPVLDNLLASSKQILRTGLV >KJB74437 pep chromosome:Graimondii2_0_v6:11:62571239:62577010:-1 gene:B456_011G295200 transcript:KJB74437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g30610, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30610) UniProtKB/Swiss-Prot;Acc:Q9SA76] MVMVSAQNRMGIFSFESNRIYTSVSSPKPFPASGFFSCWRPTSRVALWSKNPSKKKRKFALRVVNSGGGVLEKEFEFKPSFDEYLKTMESVREKKQSFKSNRGKSSRNFGENENMSKIEHNDVKMKSEGAVRARSKKALLVKSEDDDVKALRDNPQVSRIQTEERTKESAKLRKCDSNSESYEDNVNLIKFGGFSKEVKMSKVFKYNEVNSKNEGIRRTRSRKGFVEEGEDDDLKIERTAFKNFEESNDIVNKPRVSKMEMEERIQKLAKSLNGADIDMPEWMFSKMMRSAKIKFSDYCILRVIQVLGKLGNWRRVLQVIEWLEVRERFKSHRLRHIYTTALDVLGKARRPVEALNLFRSMQQQMASYPDIVAYHSIAVTLGQAGHMTELFDVIDSMRSPPKKKFKTGPLGKWDPRLEPDIVVYNAVLNACARRKQWEGAFWVLQQLKQQHLLPSTTTYGLVMEVMFECGKYNLVHEFFRKMDKSCIPNALTYRVLVNTLWKEDRIDEAVSVVQVMEKRGIVGSAALYYDLARCLCSAGRCQEALMQIEKICKVANKPLVVTYTGLIQACLDSGNIENGAYIFNQMQKYCSPNLVTCNIMLKAYLDHGLFDEAKELFQKMSKDANENSSKCNDLNGVVADSYTFNIMLDACVQRNRWDEFEHVYRRMLRHGFHFNAKRHLRMILDAARAGKGELLETTWEEMDRAERTPPLPLIKERFCMKLEKNDYASAVSCITIHPASELQALSKSVWLNLYKDNASRFQQETIIGLVEAVDMIIGKSESPNPVLDNLLASSKQILRTGLV >KJB74061 pep chromosome:Graimondii2_0_v6:11:60155035:60158357:-1 gene:B456_011G269800 transcript:KJB74061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFPPNNGSGRGYQTLEAPAESFEQQPPNNWKGVFNISSYTQYFNVDTDVVINRLISSFHPTAGDFFNKIEANPDLYGLIWITTTLVFMLSCFGNFATYLMQKHTDGTTTWSFDVGYVNVAASGIYGYAIAVPMAFYFLLQYLGSNASLIRFWCMWGYSLSIFMPTALHHALLL >KJB74063 pep chromosome:Graimondii2_0_v6:11:60155554:60157838:-1 gene:B456_011G269800 transcript:KJB74063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFPPNNGSGRGYQTLEAPAESFEQQPPNNWKGVFNISSYTQYFNVDTDVVINRLISSFHPTAGDFFNKIEANPDLYGLIWITTTLVFMLSCFGNFATYLMQKHTDGTTTWSFDVGYVNVAASGIYGYAIAVPMAFYFLLQYLGSNASLIRFWCMWGYSLSIFMPTAFLLLIPVEFLRWIIILITGTASSCFVALNLRSYIEGGNDLTIIVIAAFLLQMALSIFIKVWFFP >KJB74064 pep chromosome:Graimondii2_0_v6:11:60155228:60158244:-1 gene:B456_011G269800 transcript:KJB74064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSFLNLPTSHLLGSVPSVINEEKKSSYAAPEASMQIFPPNNGSGRGYQTLEAPAESFEQQPPNNWKGVFNISSYTQYFNVDTDVVINRLISSFHPTAGDFFNKIEANPDLYGLIWITTTLVFMLSCFGNFATYLMQKHTDGTTTWSFDVGYVNVAASGIYGYAIAVPMAFYFLLQYLGSNASLIRFWCMWGYSLSIFMPTAFLLLIPVEFLRWIIILITGTASSCFVALNLRSYIEGGNDLTIIVIAAFLLQMALSIFIKVWFFP >KJB74062 pep chromosome:Graimondii2_0_v6:11:60155035:60158357:-1 gene:B456_011G269800 transcript:KJB74062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSFLNLPTSHLLGSVPSVINEEKKSSYAAPEASMQIFPPNNGSGRGYQTLEAPAESFEQQPPNNWKGVFNISSYTQYFNVDTDVVINRLISSFHPTAGDFFNKIEANPDLYGLIWITTTLVFMLSCFGNFATYLMQKHTDGTTTWSFDVGYVNVAASGIYGYAIAVPMAFYFLLQYLGSNASLIRFWCMWGYSLSIFMPTALHHALLL >KJB74065 pep chromosome:Graimondii2_0_v6:11:60155554:60157838:-1 gene:B456_011G269800 transcript:KJB74065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFPPNNGSGRGYQTLEAPAESFEQQPPNNWKGVFNISSYTQYFNVDTDVVINRLISSFHPTAGDFFNKIEANPDLYGLIWITTTLVFMLSCFGNFATYLMQKHTDGTTTWSFDVGYVNVAASGIYGYAIAVPMAFYFLLQYLGSNASLIRFWCMWGYSLSIFMPTAFLLLIPVEFLRWIIILITGTASSCFVALNLRSYIEGGNDLTIIVIAAFLLQMALSIFIKVWFFP >KJB69591 pep chromosome:Graimondii2_0_v6:11:2409712:2417022:1 gene:B456_011G032400 transcript:KJB69591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFWKPGTEKPRLVEDEDGGVIFLSSSYSSSSSGYGYVSIEKQRQRLPVYKYRTAILYLVESHATTIVVGETGSGKTTQIPQFLKEAGWADGGRVIACTQPRRLAVQAVASRVAEEMGVKLGEEVGYTIRFEDISNPDLTRIKFLTDGVLLREMMDDPLLTKYSVIMVDEAHERSISTDIVLGLLKKIQKRRPELRLIISSATIEAKSMSNFFLSSKRRQALECEELRPRLEPAILSVEGRGFNVQIHYVEDPVRDYIQAAVSTVLLINDKEPPGDILVFLTGQDDIDAAIKLLTEEARSNGKNSSGLIILPLYSGLTRAEQDLIFSPTPKGKRKVVISTNIAETSLTLEGIVYVVDSGFSKQRFYNPISDIENLVVAPISKASARQRAGRAGRLRPGMCYRLYTEEYFLNEMSVQGIPEIQRSNLVSCVIQLKALGIDNILGFNWPASPSPESMIRALEVLFSLGVLDDDAKLTSPVGFQVAEIPLEPMIAKMILSSNELGCSDEIITIAAVLSIQSIWFSARGAQKELDEAKLRFAAAEGDHVTFLNIYKGFLQSGRSSKWCHKNFINYHAMKKVMEIREQLKRIALRLGIVLKSCETDMQLVRKAVTAGFFANACRLEAYSHGGMYKTIRGSQEVYIHPSSVLFRVNPKCVIYHSLVSTDRQYMRNVISIDPSWLTEVAPHFYQQQRHNPTIH >KJB71190 pep chromosome:Graimondii2_0_v6:11:12904404:12905596:-1 gene:B456_011G109200 transcript:KJB71190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTPFGASNIPRIIIISTITIAITILLWSLKLSVPFFFYPQPPIIKLNNLLVSNFRVLDSNLVSIWLGNITVYNPNIALSIHTNQLEASILYKHDYPLSLTTVDNLELYVWEKRDVFVKFVTTGNESDQPIIEYPLMKEIEKDWKTGKLNFRVRFSARIRYEIDCPGLRGRTVIMNPHTIDLDVIVEDGRRRRRKRGENSEIYDIIGDIPKDGRSWLIMVNDD >KJB69752 pep chromosome:Graimondii2_0_v6:11:3031236:3036122:1 gene:B456_011G040800 transcript:KJB69752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSVDHLVSDPTKIPRENASKKVESAWRILSGSDAYHASTDATLFSSSLPVLPHEKLNLNDTDNNYQSIDGITSDLNNLSQDVESNDPLGDIEAHALGNLLPDDENELLAGIMDDFDLSGLPNSLEDLEEYDLFGSGGGMELETDPQESLTIGMSKVSLSDAVVGNGMPHYALPNGVGTIAGEHPYGEHPSRTLFVRNINSNVEDSELRALFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDVNQGTLVVFNLDPSVSNEDLRQIFGVYGEVKEIRETPYKRHHKFIEFYDVRAAEQALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQDLEQDESRGFRHQVGSPITNSPPGSWAQFNSPIEHSPMHSFSKSPVFGTMSPTTSNQLSGLASILHPQVSSSVKVAPIGKDQARGGHVEHALTNTNSTHGAGFQLSHSLPEPKLSQYHGTIPTFGPSNGSRMETLSGPQFLWGNPNSYTDRTNSSVWPTSSMEHPFSSNGNGHGFPYTGRQGSFSGSSHHHHHMGSAPSGVPLERHFGFFPESSENSFISPATFGGMSVGHNEGFMVNMGSRAPMSSGIGIPRNVSENSSSMRMMSSPRLSPVFLGNGLYPGLLPNSMEGLAERGRSRRVENNRNQLDNKKQFQLDLDKIISGEDTRTTLMIKNIPNKSVHPL >KJB69754 pep chromosome:Graimondii2_0_v6:11:3031236:3037130:1 gene:B456_011G040800 transcript:KJB69754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSVDHLVSDPTKIPRENASKKVESAWRILSGSDAYHASTDATLFSSSLPVLPHEKLNLNDTDNNYQSIDGITSDLNNLSQDVESNDPLGDIEAHALGNLLPDDENELLAGIMDDFDLSGLPNSLEDLEEYDLFGSGGGMELETDPQESLTIGMSKVSLSDAVVGNGMPHYALPNGVGTIAGEHPYGEHPSRTLFVRNINSNVEDSELRALFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDVNQGTLVVFNLDPSVSNEDLRQIFGVYGEVKEIRETPYKRHHKFIEFYDVRAAEQALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQDLEQDESRGFRHQVGSPITNSPPGSWAQFNSPIEHSPMHSFSKSPVFGTMSPTTSNQLSGLASILHPQVSSSVKVAPIGKDQARGGHVEHALTNTNSTHGAGFQLSHSLPEPKLSQYHGTIPTFGPSNGSRMETLSGPQFLWGNPNSYTDRTNSSVWPTSSMEHPFSSNGNGHGFPYTGRQGSFSGSSHHHHHMGSAPSGVPLERHFGFFPESSENSFISPATFGGMSVGHNEGFMVNMGSRAPMSSGNGLYPGLLPNSMEGLAERGRSRRVENNRNQLDNKKQFQLDLDKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDENHQGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFHSEGPDGGDQTIPEHLHSSLNIIRQPNGLPSGDSSGSPKKKDAGEELETF >KJB69755 pep chromosome:Graimondii2_0_v6:11:3031168:3037147:1 gene:B456_011G040800 transcript:KJB69755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSVDHLVSDPTKIPRENASKKVESAWRILSGSDAYHASTDATLFSSSLPVLPHEKLNLNDTDNNYQSIDGITSDLNNLSQDVESNDPLGDIEAHALGNLLPDDENELLAGIMDDFDLSGLPNSLEDLEEYDLFGSGGGMELETDPQESLTIGMSKVSLSDAVVGNGMPHYALPNGVGTIAGEHPYGEHPSRTLFVRNINSNVEDSELRALFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDVNQGTLVVFNLDPSVSNEDLRQIFGVYGEVKEIRETPYKRHHKFIEFYDVRAAEQALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQDLEQDESRGFRHQVGSPITNSPPGSWAQFNSPIEHSPMHSFSKSPVFGTMSPTTSNQLSGLASILHPQVSSSVKVAPIGKDQARGGHVEHALTNTNSTHGAGFQLSHSLPEPKLSQYHGTIPTFGPSNGSRMETLSGPQFLWGNPNSYTDRTNSSVWPTSSMEHPFSSNGNGHGFPYTGRQGSFSGSSHHHHHMGSAPSGVPLERHFGFFPESSENSFISPATFGGMSVGHNEGFMVNMGSRAPMSSGIGIPRNVSENSSSMRMMSSPRLSPVFLGNGLYPGLLPNSMEGLAERGRSRRVENNRNQLDNKKQFQLDLDKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDENHQGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFHSEGPDGGDQTIPEHLHSSLNIIRQPNGLPSGDSSGSPKKKDAGEELETF >KJB69753 pep chromosome:Graimondii2_0_v6:11:3031236:3037130:1 gene:B456_011G040800 transcript:KJB69753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSVDHLVSDPTKIPRENASKKVESAWRILSGSDAYHASTDATLFSSSLPVLPHEKLNLNDTDNNYQSIDGITSDLNNLSQDVESNDPLGDIEAHALGNLLPDDENELLAGIMDDFDLSGLPNSLEDLEEYDLFGSGGGMELETDPQESLTIGMSKVSLSDAVVGNGMPHYALPNGVGTIAGEHPYGEHPSRTLFVRNINSNVEDSELRALFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDVNQGTLVVFNLDPSVSNEDLRQIFGVYGEVKEIRETPYKRHHKFIEFYDVRAAEQALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQDLEQDESRGFRHQVGSPITNSPPGSWAQFNSPIEHSPMHSFSKSPVFGTMSPTTSNQLSGLASILHPQVSSSVKVAPIGKDQARGGHVEHALTNTNSTHGAGFQLSHSLPEPKLSQYHGTIPTFGPSNGSRMETLSGPQFLWGNPNSYTDRTNSSVWPTSSMEHPFSSNGNGHGFPYTGRQGSFSGSSHHHHHMGSAPSGVPLERHFGFFPESSENSFISPATFGGMSVGHNEGFMVNMGSRAPMSSGIGIPRNVSENSSSMRMMSSPRLSPVFLGNGLYPGLLPNKRCWRGA >KJB69751 pep chromosome:Graimondii2_0_v6:11:3031479:3037130:1 gene:B456_011G040800 transcript:KJB69751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSVDHLVSDPTKIPRENASKKVESAWRILSGSDAYHASTDATLFSSSLPVLPHEKLNLNDTDNNYQSIDGITSDLNNLSQDVESNDPLGDIEAHALGNLLPDDENELLAGIMDDFDLSGLPNSLEDLEEYDLFGSGGGMELETDPQESLTIGMSKVSLSDAVVGNGMPHYALPNGVGTIAGEHPYGEHPSRTLFVRNINSNVEDSELRALFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDVNQGTLVVFNLDPSVSNEDLRQIFGVYGEVKEIRETPYKRHHKFIEFYDVRAAEQALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQDLEQDESRGFRHQVGSPITNSPPGSWAQFNSPIEHSPMHSFSKSPVFGTMSPTTSNQLSGLASILHPQVSSSVKVAPIGKDQARGGHVEHALTNTNSTHGAGFQLSHSLPEPKLSQYHGTIPTFGPSNGSRMETLSGPQFLWGNPNSYTDRTNSSVWPTSSMEHPFSSNGNGHGFPYTGRQGSFSGSSHHHHHMGSAPSGVPLERHFGFFPESSENSFISPATFGGMSVGHNEGFMVNMGSRAPMSSGIGIPRNVSENSSSMRMMSSPRLSPVFLGNGLYPGLLPNSMEGLAERGRSRRVENNRNQLDNKKQFQLDLDKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDENHQGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFHSEGPDGGDQTIPEHLHSSLNIIRQPNGLPSGDSSGSPKKKDAGEELETF >KJB74148 pep chromosome:Graimondii2_0_v6:11:60799186:60800402:-1 gene:B456_011G275500 transcript:KJB74148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQDRSSQPRPPHVLIFPLPLQGHINTMIKLAELLPIAGFKLTFLNSHHNHKRLVKFNNIAAHFERYPGFKFKTITDGLPLDHPRSGSWFLDMFEETMEPKMKQSLREVLVNSSPPVDCVIADGRLESPLFFFHTSSSCCFWVSYSIPDIIQAGELPINGSEDMDRLITTVPGMETYLRCRDLPTFCRKLDIED >KJB72689 pep chromosome:Graimondii2_0_v6:11:45545213:45551891:-1 gene:B456_011G190700 transcript:KJB72689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSNAAATALYDHAGVGSLHNAGPTGGDAGDAVMARRLQSAGLQHLASPLASTGIDQRLLPNLLMQGYGAQSTEEKQRLFKLMRNLNINGESGLEPYKPTAQNSGGPAASDGFYSPEFRGDFGAELLDLHAMDDTELLSEHVTSEPFEPSLFIPGVNKAFENDFNATTNWQQKEQSDADASASLFSANEKEISSTRENNVAKIKVVVRKRPLNKKEISRKEDDIVTVSENALTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVNNDEVYHATVEPIIPIIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAQDLVRFLHQPIYRNQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQVVKEYIERGNSARSTGSTGANEESSRSHAILQLVIKKHPEIKESKRNNDVNESKAGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSSSRSGNPKKDQTVNSLPPSNRDVSSLSLLATADVEDVYERQPEVEVVDTGRRPVEKDVYTFDFDKQPSTFIGREESGMASGPTDREKIEVNNSYGGSRSLRVYSSNSQNSADMEEKVRKVSPPRRKVTREEKPEKMGNRTKKDGGGSDLSTQNSMQSNSNNHNSTNDVGRRRYNPEPPTDGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >KJB72688 pep chromosome:Graimondii2_0_v6:11:45545833:45551268:-1 gene:B456_011G190700 transcript:KJB72688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSNAAATALYDHAGVGSLHNAGPTGGDAGDAVMARRLQSAGLQHLASPLASTGIDQRLLPNLLMQGYGAQSTEEKQRLFKLMRNLNINGESGLEPYKPTAQNSGGPAASDGFYSPEFRGDFGAELLDLHAMDDTELLSEHVTSEPFEPSLFIPGVNKAFENDFNATTNWQQKEQSDADASASLFSANEKEISSTRENNVAKIKVVVRKRPLNKKEISRKEDDIVTVSENALTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVNNDEVYHATVEPIIPIIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAQDLVRFLHQPIYRNQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQVVKEYIERGNSARSTGSTGANEESSRSHAILQLVIKKHPEIKESKRNNDVNESKAGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSSSRSGNPKKDQTVNSLPPSNRDVSSLSLLATADVEDVYERQPEVEVVDTGRRPVEKDVYTFDFDKQPSTFIGREESGMASGPTDREKIEVNNSYGGSRSLRVYSSNSQNSADMEEKVRKVSPPRRKVTREEKPEKMGNRTKKDGGGSDLSTQNSMQSNSNNHNSTNDVGRRRYNPEPPTDGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >KJB71665 pep chromosome:Graimondii2_0_v6:11:20882252:20883296:1 gene:B456_011G136300 transcript:KJB71665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLEILSKLPVKSLTRFRCVCKPWCSSFQTPHFITKHHRNNLHNNNLNLLLKRCQGNTRDDIYYFSQLSIEKGQNFSAQHNIHLPFFEDCWYAPVVSGPCNGLLCLHDADKVALWNPSTREFKSLPQSTVQRPPSVDSTSFDCFGIGFDSQSGDYKVVNFLYSLKTDSWKEISVPGVEPYGSPLFNNYVNGFYYWRATGDSDRLILSFDMVNEKFSTLPLPEFGGSLAEYYLELLDFNGLLGAIVYPRGGADKSFDLWVMNGSWTKQFSIESLLGVERPLGLWKNGELFLESSDHKLVLFDPSTRELKNLGIHAYQETMQIIAYVESL >KJB68683 pep chromosome:Graimondii2_0_v6:11:4344719:4347219:1 gene:B456_011G0552001 transcript:KJB68683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGTLVPYYLDEATGWGLEVSELKKQLQEAKSNGITVRALVVINPGNPTGQVLAEENQKAIVEFCKEEGLVLLADEVYQENVYVPEKKFHSFKKVARSMGYGEKDIHLVSFQSVSKGYYGECGKRGGYMEVTGFGADVREHIYKLASVNLCSNITGQILASLVMSPPKVGDKSYESYIAERDGILSSLARRAKTLEDAFNKLEGITCNKAEGAMYLFPRINLPKKAIKAAEEVKTAPDLFYCRRLLNETGIVFVPGSGFGQVPGTWHFRCTILPQEEKIPAIVTRLTDFHKRFMDEFRD >KJB68682 pep chromosome:Graimondii2_0_v6:11:4344727:4347219:1 gene:B456_011G0552001 transcript:KJB68682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQLLIRSEKDGILCPIPQYPLYSASIALHGGTLVPYYLDEATGWGLEVSELKKQLQEAKSNGITVRALVVINPGNPTGQVLAEENQKAIVEFCKEEGLVLLADEVYQENVYVPEKKFHSFKKVARSMGYGEKDIHLVSFQSVSKGYYGECGKRGGYMEVTGFGADVREHIYKLASVNLCSNITGQILASLVMSPPKVGDKSYESYIAERDGILSSLARRAKTLEDAFNKLEGITCNKAEGAMYLFPRINLPKKAIKAAEEVKTAPDLFYCRRLLNETGIVFVPGSGFGQVPGTWHFRCTILPQEEKIPAIVTRLTDFHKRFMDEFRD >KJB70884 pep chromosome:Graimondii2_0_v6:11:10182980:10184125:1 gene:B456_011G093800 transcript:KJB70884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINNHGMMMCMRKLKSRKKGSVGCMGTISTSSLKFAPSSSKSQQNEKIERLKTEVQDLKEVLGNVLTLLQTKFPDDNVNVVTVMRAFNREVFDASSGLNLSQRPRN >KJB70883 pep chromosome:Graimondii2_0_v6:11:10182898:10184125:1 gene:B456_011G093800 transcript:KJB70883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTISTSSLKFAPSSSKSQQNEKIERLKTEVQDLKEVLGNVLTLLQTKFPDDNVNVVTVMRAFNREVFDASSGLNLSQRPRN >KJB72122 pep chromosome:Graimondii2_0_v6:11:29283264:29291302:-1 gene:B456_011G160200 transcript:KJB72122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLVDGDLNQTNNEGAEYDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVIGGVGGTCVIRGCVPKKILVYGATFGGEIEDARNYGWEVNEKLDFNWKKLLQKKTDEINRLNGIYKRLLSNASVKLLEGEGKIVGPNKVEVTQPDGTKLSYSAKHILIATGSRAHRPPIPGQELAITSDEALSLDDLPKRAVVFGGGYIAVEFASIWRGLGATVDLFYRKELPLRGFDDEMRAVVARNLEGRGIKLHPQTNLMELVKTDNGIKVITDHGGELIADVVLFATGRLPNTKRLNLEAVGVEIDKTGAVKVDEYSRTNIPSIWAVGDVTNRMNLTPVALMEGTCFATTVFGGESSKPDYRNIPCAVFSIPPLSIVGLSEEEAIEQVNGDVLVFTSTFNPMKNTVSGRQEKTIMKLVVDAETDKVLGASMCGPDAPEIMQGIAVALKCGATKAQFDSTVGIHPSAAEEFVTMRSVSRRVTARGKAKTNL >KJB72288 pep chromosome:Graimondii2_0_v6:11:34508978:34509457:-1 gene:B456_011G168800 transcript:KJB72288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTLTLVEFAVGKNCKWSNNGVGRAMKKVRRHTGVPPDLNDSTVDENGQAVLDAYLPQASYKAKLLGASFVQNLSTNAEEDFELQDGNVAMEVVDGVPSITFSDLVHQFIEQKMALTVIVKLLGKKVGLNALLNRVSTLWNLGNRFQIMDLKNEFYLV >KJB70379 pep chromosome:Graimondii2_0_v6:11:6403827:6406517:-1 gene:B456_011G070600 transcript:KJB70379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSERKVSFSSPPIKSYASPNGSDENDRSNQQMGLNLQTQKKQAGKTFMSPTICAASKVSFPRKKVLAERNESLGSNSSNTHFSKSPCLDSKPSPKIISKACQKNSPNLDHKVASKDPSSHNTPLSYNSRDGTPSPGPYDPLNNYLSPRPQFLRYNPSRRKEIFLRLEMEGKEGDGGADTVSSDNSSLASSPSQEDEEIGDEYESLLEQEDEESDTECEEEAEEEVAWSLRGVLKYFLLSVVLLLSTSYISSMNSPVSAPAFESPILGFHNLSFGIGEGFEVGYKFLDGKQEHLGLLSFTQAIADEVIEEEMTENASMGHIVNVSLELEDRIVEAEEMVEEENKKACEEELIMMEEASEELAENIELQEIEETGEQIEDVKEDGETHDVIVEELVETGEVFDEMVRDIEQQEQQTAEVVVFLQGDHQASLLSEGTDSSEEIRVVPKETSDMVQSQIMRFRNAVSVLEKWSESLALNLQEVNPLKGLNQRMGTEVFLKVAFGVLTISAIVASFALGSNIRRKGTAASKQSSLVDKQSTQPAVKEKPSLPLPVEREEPKELAIPNTMPLITSAVESGAPSVELLAEFEVGVISRSLKSSAISSRMNDEVSSSHSYSSEKDLGNKDHQQGFSEMSAVNSTSSERSTSSERSTAKKKHLGKELGSNDSAGAKGEGRNKEVTTPLRRSARIRNRADIVSP >KJB71627 pep chromosome:Graimondii2_0_v6:11:20305065:20309124:1 gene:B456_011G134500 transcript:KJB71627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLVDQFHFSSTVSLHSSNRWWSGDTVAVVTGANKGIGLAVVKRFAELGLTVVLTARDEERGKKATEKLREEGLGNVRFFALDVSKAASIKTFVSWVETTFGGLDILVNNAGVSFNDIHENSVEFAETVIKTNFHGPKLLTESLLPLFRLSPSISRILNISSRLGSINVSSYYIFFINFTFPAWINDVILQKVRNHNIKETLQKERLSEEEIEGVVKMFLGDVKEGRWEREGWPQIWTDYSVSKLALNAYSRVLAKRFEGGRLSVNCFCPGYTQTSMTRGQGTHTPDAAGEVAVSLALLPPHDLPTGHFFLGFGPYNQSRL >KJB71626 pep chromosome:Graimondii2_0_v6:11:20305052:20309269:1 gene:B456_011G134500 transcript:KJB71626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLVDQFHFSSTVSLHSSNRWWSGDTVAVVTGANKGIGLAVVKRFAELGLTVVLTARDEERGKKATEKLREEGLGNVRFFALDVSKAASIKTFVSWVETTFGGLDILVNNAGVSFNDIHENSVEFAETVIKTNFHGPKLLTESLLPLFRLSPSISRILNISSRLGSINKVRNHNIKETLQKERLSEEEIEGVVKMFLGDVKEGRWEREGWPQIWTDYSVSKLALNAYSRVLAKRFEGGRLSVNCFCPGYTQTSMTRGQGTHTPDAAGEVAVSLALLPPHDLPTGHFFLGFGPYNQSRL >KJB73289 pep chromosome:Graimondii2_0_v6:11:53794415:53797785:1 gene:B456_011G226300 transcript:KJB73289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKLVNSVRSTVFKDSDSLEGTCTRIEGYDFNQGVNYSRLLKSMLSTGFQASNFGEAVEIVNEMLDWRLSDEPIAEDSSEEEKDPTYRESVRSKVFLGFTSNLISSGVRDTVRYLTEHHMVDVIVTTTGGIEEDIIKCLAPTYKGDFSLPGAQLRSRGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQHEENVLWTPSKLIARLGREINNGKSYLYWAYKNNIPVFCPGLTDGSLGDMLYFHSFRSPGLIIDVVQDIRAMNGEAVHASPRKTGMIILGGGLPKHHICNANMMRNGADYAVYINTAQEYDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFASRSKNFAA >KJB73291 pep chromosome:Graimondii2_0_v6:11:53795263:53797661:1 gene:B456_011G226300 transcript:KJB73291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDWRLSDEPIAEDSSEEEKDPTYRESVRSKVFLGFTSNLISSGVRDTVRYLTEHHMVDVIVTTTGGIEEDIIKCLAPTYKGDFSLPGAQLRSRGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQHEENVLWTPSKLIARLGREINNGKSYLYWAYKNNIPVFCPGLTDGSLGDMLYFHSFRSPGLIIDVVQDIRAMNGEAVHASPRKTGMIILGGGLPKHHICNANMMRNGADYAVYINTAQEYDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFASRSKNFAA >KJB73290 pep chromosome:Graimondii2_0_v6:11:53794499:53797661:1 gene:B456_011G226300 transcript:KJB73290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKLVNSGVNYSRLLKSMLSTGFQASNFGEAVEIVNEMLDWRLSDEPIAEDSSEEEKDPTYRESVRSKVFLGFTSNLISSGVRDTVRYLTEHHMVDVIVTTTGGIEEDIIKCLAPTYKGDFSLPGAQLRSRGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQHEENVLWTPSKLIARLGREINNGKSYLYWAYKNNIPVFCPGLTDGSLGDMLYFHSFRSPGLIIDVVQDIRAMNGEAVHASPRKTGMIILGGGLPKHHICNANMMRNGADYAVYINTAQEYDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFASRSKNFAA >KJB69388 pep chromosome:Graimondii2_0_v6:11:1489784:1492242:1 gene:B456_011G021100 transcript:KJB69388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGKDSIKPFDACCLCLKPFIDPMSCQKGHVFCKECILECLLAQKKDIQRKLAAHAAQQKQEKEEEEERLILEKARELDAFDQQNHGALPQYNDKNHSRDKNGFHGANSVKVTSFEEEALRTMKAFWLPSATPEAPVKVDTPSTSTVCPEGKEKLKLKTLFPIYFTEDESEQKKSNLDKTYICPSCKVTLTNTLSLVAVSSCGHVFCKKCANRFMAVDKVCLVCDKPCRERNLVTLEKGGTGFAGHGDHLEATDFKHLGSGSGLGLVRPAAKT >KJB73693 pep chromosome:Graimondii2_0_v6:11:57064521:57066872:1 gene:B456_011G244000 transcript:KJB73693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQHFSHQHPLVFIENQCHEIEKVYCFGCGELVSGSSFGCVQCGFYLHKQCAEAPAEMDHPFHRNHNLNLLTRNPYEIGTGTCDFCRKPCENFVYHCSCKLDFHIKCALFSHSIAEKRNAEFQDIPRIDPSINTGNVTEELKKAECFACMKPLLDSVYFSPNCGFYLHAKCVDLPAEINHLFHQEHPLFLQFNSQRLSCKICREPQRPGFVYCCSPCKFLLHIQCATVPTKINQPFHREHPVLLQNVHECLPCQICQETTELDDVVYFCSICKFVLHIRCVSSPPTIEDKLHHEHPFTLFPRQVSFCDACGTLGDYVPYICSTCGIFVHKKCISVPRIIKFFRHQHSISHTYFIERREHETWECRVCLEEVNTKHGSYFCSKCNYIVHVKCATKNRHWYYEVDSTETEATDSDELVDLREIVADTWIKHSWHHHNLTLSGDFKDFKQCDGCFLPIDTPHYYCSQCDFFLHKACAELPVKKHIWFHFCQRLNKLTSGRIFRCDVCNYVTSGFAYNCDECVASYCLRCSLVSDLARYQGHEHLLHPFLFNYEELCSACGESVGFRYAAMRCKPCNFNLHRTCITLPLTAQHSSDVHPFKLTYHEDDDNYSEGHYCDICEAERNPKHWFYHCSACNTSAHPKCVLKEYPFIKPGTIYKEEVYPHHLTFVKRVGFYPECDKCGKHCLDLSLQCKTVGCSYVVHWECR >KJB68686 pep chromosome:Graimondii2_0_v6:11:7063154:7073894:-1 gene:B456_011G0741002 transcript:KJB68686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQDCGLQKENHYLIQFWPLWVRKVRRVLLNHLENLNLHMLHPKLRKKKRLKLTGSDSREAKLDTAEVSPVEVAEPVLAESSTAVDMHETNEQKTQMNEILEKGSPIKSEESSDSQADAGNGPDEPTPSSSNSVVVEETKSAQALLSPTELVFLENDESAKTVEVDRQINDGEADAKEELRLSSAAATSDSADTIHELEKVKMELKMMESALQGAARQAQAKADEISKLMNENEQLKAVIEDLKKKSNEAEMESLREEYHQRVSTLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQAAQEAQIRKLRAQIRELEEEKKGLTTKLQVEENKVESIKKDKTATEKLLQETIEKHQAELAAQKDFYTNALNAAKEAEALAEARANNEARTELESRLREAEEREAMLVQTLEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETTSRRAEAWAAVERSLNSRLQEAEAKAAAAEERERSLNDRLSQTLSRINVLEAQISCLRAEQTQISRSLEKERQRAAENRQEYLAAKEEADTQEGRASQLEEEIRELRRKHKQELQDAYVQQERLQQEVEREKDARLDMERTTHVRSMAISEQAPMARHNSASENGSLSRKLSTASSLGSMEESYYLQASLDSSDGFSEKRNIGEATLSPLYMKSMTPSAFESALRQKEGELASYMSRLSSMEAIRDSLAEELVKMTEQCEKLKAEAATLPGIRAELEALRRRHTAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQIMNSSAGNTY >KJB68685 pep chromosome:Graimondii2_0_v6:11:7062986:7073894:-1 gene:B456_011G0741002 transcript:KJB68685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFSGKVSLGGFPDLAGAVNKLQESVKNIEKNFDTALGFEEKSESSNNEASGLWSSERKPLFDPVLAFMGQKSEESAAESSGKLESSHAPPEAEEKEKAETDRSVHSHVKTTVEEDKQADELEKDNEHLETVNREDTATLDPCKAESESESETVSAEPSESVSMNVDSSDSPDNEQQKESTDVVPSAGSDSREAKLDTAEVSPVEVAEPVLAESSTAVDMHETNEQKTQMNEILEKGSPIKSEESSDSQADAGNGPDEPTPSSSNSVVVEETKSAQALLSPTELVFLENDESAKTVEVDRQINDGEADAKEELRLSSAAATSDSADTIHELEKVKMELKMMESALQGAARQAQAKADEISKLMNENEQLKAVIEDLKKKSNEAEMESLREEYHQRVSTLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQAAQEAQIRKLRAQIRELEEEKKGLTTKLQVEENKVESIKKDKTATEKLLQETIEKHQAELAAQKDFYTNALNAAKEAEALAEARANNEARTELESRLREAEEREAMLVQTLEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETTSRRAEAWAAVERSLNSRLQEAEAKAAAAEERERSLNDRLSQTLSRINVLEAQISCLRAEQTQISRSLEKERQRAAENRQEYLAAKEEADTQEGRASQLEEEIRELRRKHKQELQDAYVQQERLQQEVEREKDARLDMERTTHVRSMAISEQAPMARHNSASENGSLSRKLSTASSLGSMEESYYLQASLDSSDGFSEKRNIGEATLSPLYMKSMTPSAFESALRQKEGELASYMSRLSSMEAIRDSLAEELVKMTEQCEKLKAEAATLPGIRAELEALRRRHTAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQIMNSSAGNTY >KJB68687 pep chromosome:Graimondii2_0_v6:11:7065061:7073894:-1 gene:B456_011G0741002 transcript:KJB68687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFSGKVSLGGFPDLAGAVNKLQESVKNIEKNFDTALGFEEKSESSNNEASGLWSSERKPLFDPVLAFMGQKSEESAAESSGKLESSHAPPEAEEKEKAETDRSVHSHVKTTVEEDKQADELEKDNEHLETVNREDTATLDPCKAESESESETVSAEPSESVSMNVDSSDSPDNEQQKESTDVVPSAGSDSREAKLDTAEVSPVEVAEPVLAESSTAVDMHETNEQKTQMNEILEKGSPIKSEESSDSQADAGNGPDEPTPSSSNSVVVEETKSAQALLSPTELVFLENDESAKTVEVDRQINDGEADAKEELRLSSAAATSDSADTIHELEKVKMELKMMESALQGAARQAQAKADEISKLMNENEQLKAVIEDLKKKSNEAEMESLREEYHQRVSTLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQAAQEAQIRKLRAQIRELEEEKKGLTTKLQVEENKVESIKKDKTATEKLLQETIEKHQAELAAQKDFYTNALNAAKEAEALAEARANNEARTELESRLREAEEREAMLVQTLEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETTSRRAEAWAAVERSLNSRLQEAEAKAAAAEERERSLNDRLSQTLSRINVLEAQISCLRAEQTQISRSLEKERQRAAENRQEYLAAKEEADTQEGRASQLEEEIRELRRKHKQELQDAYVQQERLQQVVLLIHC >KJB68684 pep chromosome:Graimondii2_0_v6:11:7063154:7073536:-1 gene:B456_011G0741002 transcript:KJB68684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFSGKVSLGGFPDLAGAVNKLQESVKNIEKNFDTALGFEEKSESSNNEASGLWSSERKPLFDPVLAFMGQKSEESAAESSGKLESSHAPPEAEEKEKAETDRSVHSHVKTTVEEDKQADELEKDNEHLETVNREDTATLDPCKAESESESETVSAEPSESVSMNVDSSDSPDNEQQKESTDVVPSAGSDSREAKLDTAEVSPVEVAEPVLAESSTAVDMHETNEQKTQMNEILEKGSPIKSEESSDSQADAGNGPDEPTPSSSNSVVVEETKSAQALLSPTELVFLENDESAKTVEVDRQINDGEADAKEELRLSSAAATSDSADTIHELEKVKMELKMMESALQGAARQAQAKADEISKLMNENEQLKAVIEDLKKKSNEAEMESLREEYHQRVSTLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQAAQEAQIRKLRAQIRELEEEKKGLTTKLQVEENKVESIKKDKTATEKLLQETIEKHQAELAAQKDFYTNALNAAKEAEALAEARANNEARTELESRLREAEEREAMLVQTLEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETTSRRAEAWAAVERSLNSRLQEAEAKAAAAEERERSLNDRLSQTLSRINVLEAQISCLRAEQTQISRSLEKERQRAAENRQEYLAAKEEADTQEGRASQLEEEIRELRRKHKQELQDAYVQQERLQQEVEREKDARLDMERTTHVRSMAISEQAPMARHNSASENGSLSRKLSTASSLGSMEESYYLQASLDSSDGFSEKRNIGEATLSPLYMKSMTPSAFESALRQKEGELASYMSRLSSMEAIRDSLAEELVKMTEQCEKLKAEAATLPGIRAELEALRRRHTAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQIMNSSAGNTY >KJB74072 pep chromosome:Graimondii2_0_v6:11:60266357:60268459:-1 gene:B456_011G270500 transcript:KJB74072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKKEHLPNDKKPSSLLFPSGRRFAFADDGASDHPILDWKSSSMSQQDVTKSFTVLEFTPTPSSKSEETSITPSEAWGGEKISDKFAHLRQLPSRFGMPASGGLTTSSIYAGQDQSSMLSQTISNMSYENVHTKDVSSNQETSSLEFPEHFSSSSMSALSFGSKGITRAGSLDSPKLASLALPRMFSTYAERISTTSAFSDGTSHLVASPKIKKMRAETREDLFNSLLSRSDSLTVAASGVLPAMNGGTLQPPKASQQDPQQGSNFTLQLFQRTLEETLDSFQKSIHGDMRNLLIEILRQFHMQEMEMSRVMSSILQNQAELMEEVKSLRKENQQLRQLP >KJB71341 pep chromosome:Graimondii2_0_v6:11:15467039:15470437:1 gene:B456_011G117200 transcript:KJB71341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQHDSAEYVTIGEVPTPPADNFKKVSVLPLIFLIFYEVSGGPFGVEDSVQAAGPFLALLGFLVFPFIWSIPEALITAEMGTMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPALGGGWPRIFAVLALTLVLTYMNYRGLTIVGWVAVLLGVFSILPFVVMGFVSIPKLKPSRWLVVNMHHVDWNLYLNTLFWNLNYWDSISTLAGEVENPKKTLPKALFYALILVVIGYFFPLLVGTGALPLDRELWTDGYFSDIAKLLGGVWLRWWIQAAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFSKRSRYGTPLIGILFSASGVLLLSWLSFQEIVAAENFLYCFGMILEFIAFIRLRMKYPAASRPYKIPVGTVGSILMCIPPTILICVVLAISSLKVAVISLVAVVIGLVMQPGLKYVEKRRWLKFSTSANLPDLHNDSSLR >KJB71338 pep chromosome:Graimondii2_0_v6:11:15466984:15470513:1 gene:B456_011G117200 transcript:KJB71338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRHTPNTRASSAMGQHDSAEYVTIGEVPTPPADNFKKVSVLPLIFLIFYEVSGGPFGVEDSVQAAGPFLALLGFLVFPFIWSIPEALITAEMGTMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPALGGGWPRIFAVLALTLVLTYMNYRGLTIVGWVAVLLGVFSILPFVVMGFVSIPKLKPSRWLVVNMHHVDWNLYLNTLFWNLNYWDSISTLAGEVENPKKTLPKALFYALILVVIGYFFPLLVGTGALPLDRELWTDGYFSDIAKLLGGVWLRWWIQAAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFSKRSRYGTPLIGILFSASGVLLLSWLSFQEIVAAENFLYCFGMILEFIAFIRLRMKYPAASRPYKIPVGTVGSILMCIPPTILICVVLAISSLKVAVISLVAVVIGLVMQPGLKYVEKRRWLKFSTSANLPDLHNDSSLR >KJB71339 pep chromosome:Graimondii2_0_v6:11:15466984:15470516:1 gene:B456_011G117200 transcript:KJB71339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQHDSAEYVTIGEVPTPPADNFKKVSVLPLIFLIFYEVSGGPFGVEDSVQAAGPFLALLGFLVFPFIWSIPEALITAEMGTMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPALGGGWPRIFAVLALTLVLTYMNYRGLTIVGWVAVLLGVFSILPFVVMGFVSIPKLKPSRWLVVNMHHVDWNLYLNTLFWNLNYWDSISTLAGEVENPKKTLPKALFYALILVVIGYFFPLLVGTGALPLDRELWTDGYFSDIAKLLGGVWLRWWIQAAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFSKRSRYGTPLIGILFSASGVLLLSWLSFQEIVAAENFLYCFGMILEFIAFIRLRMKYPAASRPYKIPVGTVGSILMCIPPTILICVVLAISSLKVAVISLVAVVIGLVMQPGLKYVEKRRWLKFSTSANLPDLHNDSSLR >KJB71340 pep chromosome:Graimondii2_0_v6:11:15467039:15470477:1 gene:B456_011G117200 transcript:KJB71340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQHDSAEYVTIGEVPTPPADNFKKVSVLPLIFLIFYEVSGGPFGVEDSVQAAGPFLALLGFLVFPFIWSIPEALITAEMGTMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPALGGGWPRIFAVLALTLVLTYMNYRGLTIVGWVAVLLGVFSILPFVVMGFVSIPKLKPSRWLVVNMHHVDWNLYLNTLFWNLNYWDSISTLAGEVENPKKTLPKALFYALILVVIGYFFPLLVGTGALPLDRELWTDGYFSDIAKLLGGVWLRWWIQAAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFSKRSRYGTPLIGILFSASGVLLLSWLSFQEIVAAENFLYCFGMILEFIAFIRLRMKYPAASRPYKIPVGTVGSILMCIPPTILICVVLAISSLKVAVISLVAVVIGLVMQPGLKYVEKRRWLKFSTSANLPDLHNDSSLR >KJB73209 pep chromosome:Graimondii2_0_v6:11:53130765:53134535:1 gene:B456_011G222500 transcript:KJB73209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTCTFPCNPVFQFQRLFTRSRLQQHHSAPPLLPSNLKPSSSSSSSHHYSHTFSLIQDSAVIACLRANSAEQAMEAARAAVNGGIAVLEIVRSTPGVFEVLQALANEYPTKAFGVGTVLTAKDARTAIKAGAKFLMSPATVNDILDDVRDTDVLYMPGVMTPTEIYSAHNAGAKIVKIYPVSALGGVRYISAIRKPFSHIPMVASQGITIDSVGDYIAQGAISVVLSDAIFDKEAMSQNNFDVVNRLATSAALQGKTAVERKKR >KJB73210 pep chromosome:Graimondii2_0_v6:11:53130765:53134535:1 gene:B456_011G222500 transcript:KJB73210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTCTFPCNPVFQFQRLFTRSRLQQHHSAPPLLPSNLKPSSSSSSSHHYSHTFSLIQDSAVIACLRANSAEQAMEAARAAVNGGIAVLEIVRSTPGVFEVLQALANEYPTKAFGVGTVLTAKDARTAIKAGAKFLMSPATVNDILDDVRDTDVLYMPGVMTPTEIYSAHNAGAKIVKVAYDTYQQLGSLFLTSPWLLPRA >KJB70605 pep chromosome:Graimondii2_0_v6:11:8317244:8321507:-1 gene:B456_011G082500 transcript:KJB70605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLCQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALTRDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSTSDSDVLNQVQERFEVDIKELPEQIDTSTYSKKLPTMQCYMTEALVHNSLLS >KJB70603 pep chromosome:Graimondii2_0_v6:11:8317757:8320516:-1 gene:B456_011G082500 transcript:KJB70603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLCQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALTRDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVSLFLTLQLN >KJB70602 pep chromosome:Graimondii2_0_v6:11:8316574:8321873:-1 gene:B456_011G082500 transcript:KJB70602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKDNDAYEEELLDYEEEDEKAPDSASTKAADSAKKGYVGIHSSGFRDFLLKPELLRSIVDSGFEHPSEVQHECIPQAILGMDVLCQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALTRDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSTSDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KJB70604 pep chromosome:Graimondii2_0_v6:11:8316713:8321507:-1 gene:B456_011G082500 transcript:KJB70604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLCQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALTRDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSTSDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KJB72778 pep chromosome:Graimondii2_0_v6:11:47499963:47500800:1 gene:B456_011G196800 transcript:KJB72778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTYEFEVTSPVAPTRLFKAFVLEGAKVYPKAAPHAIKSVELEGDGKPGSIVKINFVEGLPFQYMKHMIGGHDESNLSYSYSLIEGGPLGDKLEKISYENQFVADASGGSVCKSSIKFYTIGDYVITEDEIKALIQRSEVVYKAIEAYLLANTDACN >KJB72897 pep chromosome:Graimondii2_0_v6:11:49211775:49213097:-1 gene:B456_011G203400 transcript:KJB72897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVQLEHENVTEFVLVTLRDRVEEGRRFSNEKKDPMTSKELNEDKGDLSVNFSIITPKKPNSALRKVARLRLTSVFEITTYIPGIGHNSQEHSVVLVRGGRVKDLPGVRYHIVQGTLDAVGVKDRQQGRSSAVKKPK >KJB69592 pep chromosome:Graimondii2_0_v6:11:2418697:2421155:-1 gene:B456_011G032500 transcript:KJB69592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNNTSNIVGVRDMANGSGGGDYESSILRKAKKQRIPKRGPGVAELEKILREQEQKDGHTSSSLVPSLPNFYPSLPRSASFLADNHHHHHHPSSPPPSMAALHGNCSGSSQLRSCGNVGGNNGPGKGVYINGSGVYLPEQTLLPISWGSSEEPAPKMAADFSFPMPLSNGSGHTMLQRNHLSMMDLLPLSTLSSSSTTPSSTGVYHHVEPPSNQKPCYISTLLPEEDKMMSAKRSRPNVPVENWPAAAPSRMSFQQPIRPQVSRLDPSSSSTNNGVFSLGISGDPMPTNPLELKLKTCVNDNPSGNGNGNGNGSRPFITLLTSPTTTLPSTTQNCQPDLPKFIKQFPFQENNEGCLLQKSSSESEVLPVHNKSFFSFLLQPAEEVQRGSTSAEATLCLKTGNCCTEKTGDFIDLNLKL >KJB69593 pep chromosome:Graimondii2_0_v6:11:2418910:2420764:-1 gene:B456_011G032500 transcript:KJB69593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNNTSNIVGVRDMANGSGGGDYESSILRKAKKQRIPKRGPGVAELEKILREQEQKDGHTSSSLVPSLPNFYPSLPRSASFLADNHHHHHHPSSPPPSMAALHGNCSGSSQLRSCGNVGGNNGPGKGVYINGSGVYLPEQTLLPISWGSSEEPAPKMAADFSFPMPLSNGSGHTMLQRNHLSMMDLLPLSTLSSSSTTPSSTGVYHHVEPPSNQKPCYISTLLPEEDKFLLWISSLMFASKNMMSAKRSRPNVPVENWPAAAPSRMSFQQPIRPQVSRLDPSSSSTNNGVFSLGISGDPMPTNPLELKLKTCVNDNPSGNGNGNGNGSRPFITLLTSPTTTLPSTTQNCQPDLPKFIKQFPFQENNEGCLLQKSSSESEVLPVHNKSFFSFLLQPAEEVQRGSTSAEATLCLKTGNCCTEKTGDFIDLNLKL >KJB73749 pep chromosome:Graimondii2_0_v6:11:57454104:57454483:1 gene:B456_011G248400 transcript:KJB73749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FCMPVGTVIRLLTKQRMVRCLGNLYGRIKNLAKKDTLSKPMISNYAANNVPLLFPNMKSSTTTTKIYGCSDYCCFTYLENDRNQRSKGSSSAGGGYVEGVVTHMVMNDLVVAYVQNF >KJB72250 pep chromosome:Graimondii2_0_v6:11:33190490:33198771:-1 gene:B456_011G166600 transcript:KJB72250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRQMKDNSEQHLVIKNHLQNTMNPIQRTPKTAQNGKGPPAGHEPHNTKQSHNHTSPPTKNKGRRRGRGGRKSDQGDVCMRPSSRHCTVAQKPVNPGSADILAATSNGSIQNDHNLSGMEMGFPTSSKSLNFASRPGFGQVGTKCIVKANHFFAELPDKDLNQYDVTITPEVTSRTMNRAIMAELVKLYKGSVLGMRLPAYDGRKSLYTAGEFPFSWKEFSVKLVDEDDGINGPKREREYKVVVKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSTKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDLLSRPLSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTSQPTRELVFPVDDNSTMKSIVEYFQEMYGFTIQHTHLPCLQVGNQRKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDREHDILQTVQHNAYNQDPYAKEFGVKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYSARPELVEKALKHVYNATMNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVALKINVKTGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYSRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANSHRDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMQENGSNSCSNGQAKGTRAVGESGVRPLPALKENVKRVMFYC >KJB72248 pep chromosome:Graimondii2_0_v6:11:33190434:33199058:-1 gene:B456_011G166600 transcript:KJB72248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRQMKDNSEQHLVIKNHLQNTMNPIQRTPKTAQNGKGPPAGHEPHNTKQSHNHTSPPTKNKGRRRGRGGRKSDQGDVCMRPSSRHCTVAQKPVNPGSADILAATSNGSIQNDHNLSGMEMGFPTSSKSLNFASRPGFGQVGTKCIVKANHFFAELPDKDLNQYDVTITPEVTSRTMNRAIMAELVKLYKGSVLGMRLPAYDGRKSLYTAGEFPFSWKEFSVKLVDEDDGINGPKREREYKVVVKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSTKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDLLSRPLSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTSQPTRELVFPVDDNSTMKSIVEYFQEMYGFTIQHTHLPCLQVGNQRKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDREHDILQTVQHNAYNQDPYAKEFGVKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYSARPELVEKALKHVYNATMNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVALKINVKTGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANSHRDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMQENGSNSCSNGQAKGTRAVGESGVRPLPALKENVKRVMFYC >KJB72249 pep chromosome:Graimondii2_0_v6:11:33190434:33197635:-1 gene:B456_011G166600 transcript:KJB72249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRQMKDNSEQHLVIKNHLQNTMNPIQRTPKTAQNGKGPPAGHEPHNTKQSHNHTSPPTKNKGRRRGRGGRKSDQGDVCMRPSSRHCTVAQKPVNPGSADILAATSNGSIQNDHNLSGMEMGFPTSSKSLNFASRPGFGQVGTKCIVKANHFFAELPDKDLNQYDVTITPEVTSRTMNRAIMAELVKLYKGSVLGMRLPAYDGRKSLYTAGEFPFSWKEFSVKLVDEDDGINGPKREREYKVVVKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSTKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDLLSRPLSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTSQPTRELVFPVDDNSTMKSIVEYFQEMYGFTIQHTHLPCLQVGNQRKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDREHDILQTVQHNAYNQDPYAKEFGVKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYSARPELVEKALKHVYNATMNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVALKINVKTGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANSHRDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMQENGSNSCSNGQAKGTRAVGESGVRPLPALKENVKRVMFYC >KJB73404 pep chromosome:Graimondii2_0_v6:11:54801102:54802505:-1 gene:B456_011G231800 transcript:KJB73404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVNANGEHVNELLQAQAHVWNHIFNFINSMSLKCAIDLGIPDIIQNHGKPMTITELVAALPMLNPTKACNIYRLMRILVHSGFFAQRNLCNDAQEDGYVLTNASRLLLKDNPLSVTPFLKAMLDPILTEPWHCLGTWFKNNDHTPFDTTHGKTFWDYAGHDQKLNSLFNEGMASDARLVNSILIDKCKKVFEGLNSLVDVGGGTGTLSKAIVDAFPHLECIVLDLPHVVANLQDSGNLKYVAGDMFKEIPASDASLLKWILHDWNDDECLKILKRCKEAISRQNKKGGKVMIIDMVLMKNEKMNGEAFNSTETQLFFDMLMMVLVTGKERQEEEWAKLFFAAGFSNYKITPILGLRSLIQVFP >KJB72229 pep chromosome:Graimondii2_0_v6:11:32781908:32784733:1 gene:B456_011G166000 transcript:KJB72229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCEYDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKECKVGTPERPLSGLGLLSYRGCVENVVGCVLCNSPLLCPNGNLCSCLGVVNAYTELSDMTAIKAEDILTTLQSLELIQYRKGQDVIYANPKVLDRHLKATGRGGLEVDVSKLIWTPYKEQS >KJB70544 pep chromosome:Graimondii2_0_v6:11:8002533:8006453:1 gene:B456_011G079800 transcript:KJB70544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNGETGDWIGTFEGHKGAVWSSCLDTNALRVASASADFSAKLWDALTGDELHSFEHKHIVRACAFSEDTHHLLTGGVEKILRIFDLNRLDAAPREVDNSPGSIRTVAWLHSDQTILSSCSDTSGVRFWDLRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDSNHFELVKSYDMPCNVESASLEPKHGDKFIAGGEDMWVHVFDFHTGKELGCNKGHHGPIHCVRFAPGGESYASGSEDGTIRIWLTSPVSREESDAVPGNALNPKVKAVADEVTRKIKTSLHIGTA >KJB70540 pep chromosome:Graimondii2_0_v6:11:8001948:8006483:1 gene:B456_011G079800 transcript:KJB70540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKVALPLVCHGHSRPVVDLFYSPITPDGYFLISASKDSTPMLRNGETGDWIGTFEGHKGAVWSSCLDTNALRVASASADFSAKLWDALTGDELHSFEHKHIVRACAFSEDTHHLLTGGVEKILRIFDLNRLDAAPREVDNSPGSIRTVAWLHSDQTILSSCSDTSGVRFWDLRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDSNHFELVKSYDMPCNVESASLEPKHGDKFIAGGEDMWVHVFDFHTGKELGCNKGHHGPIHCVRFAPGGESYASGSEDGTIRIWLTSPVSREESDAVPGNALNPKVKAVADEVTRKIKTSLHIGTA >KJB70545 pep chromosome:Graimondii2_0_v6:11:8002530:8006453:1 gene:B456_011G079800 transcript:KJB70545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNGETGDWIGTFEGHKGAVWSSCLDTNALRVASASADFSAKLWDALTGDELHSFEHKHIVRACAFSEDTHHLLTGGVEKILRIFDLNRLDAAPREVDNSPGSIRTVAWLHSDQTILSSCSDTSGVRFWDLRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDSNHFELVKSYDMPCNVESASLEPKHGDKFIAGGEDMWVHVFDFHTGKELGCNKGHHGPIHCVRFAPGGESYASGSEDGTIRIWLTSPVSREESDAVPGNALNPKVKAVADEVTRKIKTSLHIGTA >KJB70541 pep chromosome:Graimondii2_0_v6:11:8004152:8006270:1 gene:B456_011G079800 transcript:KJB70541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHHLLTGGVEKILRIFDLNRLDAAPREVDNSPGSIRTVAWLHSDQTILSSCSDTSGVRFWDLRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDSNHFELVKSYDMPCNVESASLEPKHGDKFIAGGEDMWVHVFDFHTGKELGCNKGHHGPIHCVRFAPGGESYASGSEDGTIRIWLTSPVSREESDAVPGNALNPKVKAVADEVTRKIKTSLHIGTA >KJB70543 pep chromosome:Graimondii2_0_v6:11:8001948:8006483:1 gene:B456_011G079800 transcript:KJB70543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNGETGDWIGTFEGHKGAVWSSCLDTNALRVASASADFSAKLWDALTGDELHSFEHKHIVRACAFSEDTHHLLTGGVEKILRIFDLNRLDAAPREVDNSPGSIRTVAWLHSDQTILSSCSDTSGVRFWDLRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDSNHFELVKSYDMPCNVESASLEPKHGDKFIAGGEDMWVHVFDFHTGKELGCNKGHHGPIHCVRFAPGGESYASGSEDGTIRIWLTSPVSREESDAVPGNALNPKVKAVADEVTRKIKTSLHIGTA >KJB70542 pep chromosome:Graimondii2_0_v6:11:8002470:8006453:1 gene:B456_011G079800 transcript:KJB70542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNGETGDWIGTFEGHKGAVWSSCLDTNALRVASASADFSAKLWDALTGDELHSFEHKHIVRACAFSEDTHHLLTGGVEKILRIFDLNRLDAAPREVDNSPGSIRTVAWLHSDQTILSSCSDTSGVRFWDLRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDSNHFELVKSYDMPCNVESASLEPKHGDKFIAGGEDMWVHVFDFHTGKELGCNKGHHGPIHCVRFAPGGESYASGSEDGTIRIWLTSPVSREESDAVPGNALNPKVKAVADEVTRKIKTSLHIGTA >KJB71604 pep chromosome:Graimondii2_0_v6:11:20165823:20169229:1 gene:B456_011G132700 transcript:KJB71604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNQAYKAMQRARLGSSSAGPEEIEDGMVDGSFHSPEWHAARLASLKTSHTVTWEEFKKKQKEDALRKGELEADTDRMMREYRAQLDAERASKLAHGRNHSSSKSSHKKDRKDKDSKKRSSKKRKRSRRRSSESSSSSSSSESSSSDDEERESRRSKSKSRREKKKKHKSRNKNSSTENEEGEGPVPLSRFFGSVKS >KJB73960 pep chromosome:Graimondii2_0_v6:11:59521701:59523517:1 gene:B456_011G263900 transcript:KJB73960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTTLWPSLKQMSIIECPKVQIFTRQCPVSQVGISNQQPLFCVNEDTFPVLEELTLKTNDMVKGICDGQLSLQCFQNLKHLNLRFFPETSTTLPYSFIRSLPKLQKLVINNASISEIVRSEGLSEEERHTLVFYQLKDLRLSQLPQLTLKTFEPSLLSFKNLTTLKVSRCHGFINLIACSTAKCLRLLERLSIDDCEMIEEIIACKAEEIQGGIVFPKLKYLQLSCLPRLASFSLADHSLEFPVLLMVKVTKCPKMRNFCQGDLSTPRLEQMHLTRDEEGELQWEGDLNTTIKHMFDEMNVQNSEVTEVTDQLPKLE >KJB73800 pep chromosome:Graimondii2_0_v6:11:58169335:58170644:1 gene:B456_011G253100 transcript:KJB73800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLIADKLTNNGSSTPAGLVAASLSHAFALFVAVSVGANISGGHVNPAVTFGAFIGGHITLLRSFSYWIAQLLGSVVACLLLKFASGGMETSAFALSSGVSTWNALIFEIVMTFGLVYTVYATAVDPKKGDLGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWTNHWVYWVGPFIGAAIAAIIYDNIFICDGTHQPLPSNDF >KJB73799 pep chromosome:Graimondii2_0_v6:11:58169273:58170660:1 gene:B456_011G253100 transcript:KJB73799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIHRIAVGAPGEASHPTVIKAALAEFFSMIIFVFAGEGSGMAYNKLTNNGSSTPAGLVAASLSHAFALFVAVSVGANISGGHVNPAVTFGAFIGGHITLLRSFSYWIAQLLGSVVACLLLKFASGGMETSAFALSSGVSTWNALIFEIVMTFGLVYTVYATAVDPKKGDLGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWTNHWVYWVGPFIGAAIAAIIYDNIFICDGTHQPLPSNDF >KJB69802 pep chromosome:Graimondii2_0_v6:11:3177564:3179426:1 gene:B456_011G043100 transcript:KJB69802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVPGIISELQLYCIAIGALVFAASMLFAGWFHYHKAAPILAWFQYVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAEFLTFRGGLDPVTGGLWLTDIAHHHLAIAILFLIAGHMYKTNRGIGHSLKDILEAHKCPFTG >KJB72958 pep chromosome:Graimondii2_0_v6:11:49767186:49770666:1 gene:B456_011G206200 transcript:KJB72958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLSSSSSSSSSSSSSSRIVAAFLKLHFNRLQVNPFSSSHHHHQLPSNPTSSNSALSHFLSRSPHPHARTTPFSNLYIAKPNHPFSPSGFRFFSFKSSNSAPKFDAHFAKNLLQKPANVFASSLSRYREAVALHFDAFFKRNYLFLFGVGGVLLCALLWRIMFGIANTFVGLSEGMAKYGFLALSTAIVSFAGLYVRSRLTINPDRVYRIAMRRLNTAPGILEVMGAPLAGTDLRAYVMSGGGLTLKNFKPKFRRKRCFLIFPVQGSERKGLVSVEVKKKKGEYDMKLLAVDIPMASGPDQRLFLIGDEEEYKVGGGLISMLRDPVVKAMAATKEFDDLDQIEEEEDAERELQEAERKRLEEIEKLEKDGNQ >KJB70857 pep chromosome:Graimondii2_0_v6:11:10125892:10129626:1 gene:B456_011G093200 transcript:KJB70857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLAVKLNSVFFKFLFKQRLQNRIQTPLNESPNQYGITTRPEESVSASNPSFTDGVATKDIHIDPFTALSIRIFLPESSLSPPEQTGPKTKPKSSQQVYPISLIQRRKSYGLLNMEAPRNDMRRSSFEGLDLRSDNNAYQGYAPSPQNCKKLPIMLQFHGGGWVSGSNDSVANDFFCRRIAKLCDVIVVAVGYRLAPENKYPAAFEDGLKVLHWLGKQANLAECSKSMGSGARGVGAEFTKAEVQRHIVDAIGASVVEPWLAAHGDLSRCVLLGLSCGANIADYVARKAVEAGKLFDPVKVVAQVLMYPFFIGSVPTESEKKLANTYFYDKEMCTLAWKLFLPEEELSLDHPAGNPLILDRSPPLKLMPPTLTIVAEHDWMRDRAIAYSEALRNVNVVAPVLEYKDAVHEFANLDILLKTPQAQACAEDIVIWVKKYISRRDNEFSY >KJB70457 pep chromosome:Graimondii2_0_v6:11:7087485:7089553:-1 gene:B456_011G074500 transcript:KJB70457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTLGLFNFRGKNEKRRSKSMSVGNGSVLEGSAAGNEEAADGTQGSDHPSDLPESDQNEKLRQVMDDLAATFQAREKQLLTDMEQMKEKFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQSRLEPMTAERKSRWRKEIDWLLSVSDCIVEFVPSQQKAKDGSNMEIMVTKQRFDLLMNIPALRTLDTMLLDCLDSFKDQDEFYYLSKDATDEEKGNSKRKDDKWWLPTVKVPEQGLSDAAKKNLQSQREAMTQVLKAAMSINAQVLTEMEIPENYLDSLPKNGRASLGDSTYRSITVEFFDPNQFLATTDLSSEHKILDLKNRIEASIVIWKRKMHQKDGKSAWSSAVSYEKRELFEERAETILRILKHQYPGIPQSSLDISKIQYNRDVGQALLESYSRILESLAFTVLSRIGDVLYADSVARNPSQATSKSPANPSSGNDNEKSNLMTLSDLLTWSGDLLDNDFEDSKDCKDDLSKDMDEKNLNVATSKVSYLESLGGVRSPKERH >KJB72142 pep chromosome:Graimondii2_0_v6:11:29876142:29878870:-1 gene:B456_011G161200 transcript:KJB72142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVEEVRKAQRAQGPATVLAIGTSTPPNCVDQSTYPDYYFRITNSEHKTELKEKFKRMCEKSMIKKRYMYLTEEILNENPNVCEYMAPSLDARQDMVVVEVPKLGKEAATKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRVAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIIGADPMPEIEKPMFELVSVAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLVEAFQPLGISDWNSLFWIAHPGGPAILDQVEAKLALKPEKLRATRHVLSEYGNMSSACVLFILDEMRKKSREDGLQTTGEGLEWGVLFGFGPGLTVETVVLHSVAA >KJB72143 pep chromosome:Graimondii2_0_v6:11:29876201:29878801:-1 gene:B456_011G161200 transcript:KJB72143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLDARQDMVVVEVPKLGKEAATKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRVAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIIGADPMPEIEKPMFELVSVAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLVEAFQPLGISDWNSLFWIAHPGGPAILDQVEAKLALKPEKLRATRHVLSEYGNMSSACVLFILDEMRKKSREDGLQTTGEGLEWGVLFGFGPGLTVETVVLHSVAA >KJB72451 pep chromosome:Graimondii2_0_v6:11:42183836:42184145:-1 gene:B456_011G179300 transcript:KJB72451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein YLS8 [Source:Projected from Arabidopsis thaliana (AT5G08290) UniProtKB/Swiss-Prot;Acc:Q9FE62] MDEMFASVAETIENFVVIYLVNITEVPDINTINKHIMIALSIDNNNKINWALKDKQELIDIIETVYRGVKKGRGLVITPKDYSTKYRY >KJB71763 pep chromosome:Graimondii2_0_v6:11:22196339:22205381:-1 gene:B456_011G140700 transcript:KJB71763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein-ubiquinone oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43400) UniProtKB/Swiss-Prot;Acc:O22854] MHRFLSISSKSTSLKNQKQLHLPLVSSVSSATPKGQSCSHYFNQKSPSLGSRLGFDRFISSGYFPNRVNLRGHERNGNGFLRLKGLVGEIRNYSSETDRESIDYDVVIVGAGPAGLSAAIRFKQLCREKNADFSVCVVEKGAEVGAHILSGNVFEPRALNELLPQWKDEEAPINVPVSSDKFWFLTKDRAISLPSPFDNKGNYVISLSQLVRWMGVKAEELGVEIYPGFAASEILYDEDNNVVGIGTNDMGVAKDGSRKENFQRGVALKGRITLLAEGCRGSLSQKIMKEYKLREKVQAQHQTYALGIKEVWEIDEKKHKPGAVLHTLGWPLDSKTYGGSFLYHMKDRQISIGLVVALNYHNPFLNPYEEFQKLKHHPSIKSLLEGGSVLQYGARTLNEGGFQSVPYPVFPGGAIIGCSAGFLNVPKIKGSHTAMKSGMLAAEATFGVLHGGSNMEAYWDALRSSWVWEELHRARNYRPAFEYGLFPGLALSALEHYVLKGKSPFTFKHGKPDHEATNVAKLHSSIQYPKPDGVLSFDVPTSLHRSNTNHNHDQPAHLRLRDPDIPETVNLPEYAGPESRYCPARVYEYVPDEKNQLKLQINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYSVM >KJB71761 pep chromosome:Graimondii2_0_v6:11:22197642:22205125:-1 gene:B456_011G140700 transcript:KJB71761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein-ubiquinone oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43400) UniProtKB/Swiss-Prot;Acc:O22854] MHRFLSISSKSTSLKNQKQLHLPLVSSVSSATPKGQSCSHYFNQKSPSLGSRLGFDRFISSGYFPNRVNLRGHERNGNGFLRLKGLVGEIRNYSSETDRESIDYDVVIVGAGPAGLSAAIRFKQLCREKNADFSVCVVEKGAEVGAHILSGNVFEPRALNELLPQWKDEEAPINVPVSSDKFWFLTKDRAISLPSPFDNKGNYVISLSQLVRWMGVKAEELGVEIYPGFAASEILYDEDNNVVGIGTNDMGVAKDGSRKENFQRGVALKGRITLLAEGCRGSLSQKIMKEYKLREKVQAQHQTYALGIKEVWEIDEKKHKPGAVLHTLGWPLDSKTYGGSFLYHMKDRQISIGLVVALNYHNPFLNPYEEFQKLKHHPSIKSLLEGGSVLQYGARTLNEGGFQSVPYPVFPGGAIIGCSAGFLNVPKIKGSHTAMKSGMLAAEATFGVLHGGSNMEAYWDALRSSWVWEELHRARNYRPAFEYGLFPGLALSALEHYVLKGKSPFTFKHGKPDHEATNVAKLHSSIQYPKPDGVLSFDVPTSLHRSNTNHNHDQPAHLRLRDPDIPETVNLPEYAGPESRYCPARVYEYVPDEKNQLKLQINAQNCLHCKVIPGTIS >KJB71762 pep chromosome:Graimondii2_0_v6:11:22196339:22205317:-1 gene:B456_011G140700 transcript:KJB71762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein-ubiquinone oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43400) UniProtKB/Swiss-Prot;Acc:O22854] MHRFLSISSKSTSLKNQKQLHLPLVSSVSSATPKGQSCSHYFNQKSPSLGSRLGFDRFISSGYFPNRVNLRGHERNGNGFLRLKGLVGEIRNYSSETDRESIDYDVVIVGAGPAGLSAAIRFKQLCREKNADFSVCVVEKGAEVGAHILSGNVFEPRALNELLPQWKDEEAPINVPVSSDKFWFLTKDRAISLPSPFDNKGNYVISLSQLVRWMGVKAEELGVEIYPGFAASEILYDEDNNVVGIGTNDMGVAKDGSRKENFQRGVALKGRITLLAEGCRGSLSQKIMKEYKLREKVQAQHQTYALGIKEVWEIDEKKHKPGAVLHTLGWPLDSKTYGGSFLYHMKDRQISIGLVVALNYHNPFLNPYEEFQKLKHHPSIKSLLEGGSVLQYGARTLNEGGFQSVPYPVFPGGAIIGCSAGFLNVPKIKGSHTAMKSGMLAAEATFGVLHGGSNMEAYWDALRSSWVWEELHRARNYRPAFEYGLFPGLALSALEHYVLKGKSPFTFKHGKPDHEATNVAKLHSSIQYPKPDGVLSFDVPTSLHRSNTNHNHDQPAHLRLRDPDIPETVNLPEYAGPESRYCPARVYEYVPDEKNQLKLQINAQNCLHCKTQVVNMVFQQK >KJB71446 pep chromosome:Graimondii2_0_v6:11:17529900:17534674:-1 gene:B456_011G124100 transcript:KJB71446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMDLKGITWVGHVYQKFEAMCLEAEEIMYQDTVKYVEDQVQTVGASVKRFYSDVMQDVMQDLLLPSSLEPVKAVAASDTAIEKNVGTFKKPQVGLKVAAVKDEGEQLIEDSEVTSDVIENAAHVPSSCQLHMVDNIFHSCPRSFMERESSYFLSREHNNRSMLVKANVENLPAAGAETVSEVACMGNEVGRLSSFSGNANAIIEESCQQIPRTSTRVTVGEDDCDSIEESCNEIESASESVPEILDNDLQFFESIGIKMDGRCSSSVIGSAEPNGQSNNWTMDSSGSAVGRKESGTVPPLDKTGVDESCIIVNEAELHCHPHRQGKHRPYQVLSHSCLFFHAAPAPLVIFS >KJB71445 pep chromosome:Graimondii2_0_v6:11:17529349:17536357:-1 gene:B456_011G124100 transcript:KJB71445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMDLKGITWVGHVYQKFEAMCLEAEEIMYQDTVKYVEDQVQTVGASVKRFYSDVMQDVMQDLLLPSSLEPVKAVAASDTAIEKNVGTFKKPQVGLKVAAVKDEGEQLIEDSEVTSDVIENAAHVPSSCQLHMVDNIFHSCPRSFMERESSYFLSREHNNRSMLVKANVENLPAAGAETVSEVACMGNEVGRLSSFSGNANAIIEESCQQIPRTSTRVTVGEDDCDSIEESCNEIESASESVPEILDNDLQFFESIGIKMDGRCSSSVIGSAEPNGQSNNWTMDSSGSAVGRKESGTVPPLDKTGVDESCIIVNEAELHCHPHRQGKHRPYQKKIRDAISSRMRSARKMEYKQLAKWYGDVGKCDEDSKGSSMSAQTRETTMRSSTQDLLDSEWELL >KJB71449 pep chromosome:Graimondii2_0_v6:11:17532848:17536232:-1 gene:B456_011G124100 transcript:KJB71449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMDLKGITWVGHVYQKFEAMCLEAEEIMYQDTVKYVEDQVQTVGASVKRFYSDVMQDVMQDLLLPSSLEPVKAVAASDTAIEKNVGTFKKPQVGLKVAAVKDEGEQLIEDSEVTSDVIENAAHVPSSCQLHMVDNIFHSCPRSFMERESSYFLSREHNNRSMLVKANVENLPAAGAETVSEVACMGNEVGRLSSFSGNANAIIEESCQQIPRTSTRVTVGEDDCDSIEESCNEIESASESVPEILDNDLQFFESIGIKMDGRCSSSVIGSAEPNGENS >KJB71448 pep chromosome:Graimondii2_0_v6:11:17529481:17536232:-1 gene:B456_011G124100 transcript:KJB71448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDVMQDLLLPSSLEPVKAVAASDTAIEKNVGTFKKPQVGLKVAAVKDEGEQLIEDSEVTSDVIENAAHVPSSCQLHMVDNIFHSCPRSFMERESSYFLSREHNNRSMLVKANVENLPAAGAETVSEVACMGNEVGRLSSFSGNANAIIEESCQQIPRTSTRVTVGEDDCDSIEESCNEIESASESVPEILDNDLQFFESIGIKMDGRCSSSVIGSAEPNGQSNNWTMDSSGSAVGRKESGTVPPLDKTGVDESCIIVNEAELHCHPHRQGKHRPYQKKIRDAISSRMRSARKMEYKQLAKWYGDVGKCDEDSKGSSMSAQTRETTMRSSTQDLLDSEWELL >KJB71447 pep chromosome:Graimondii2_0_v6:11:17529481:17536315:-1 gene:B456_011G124100 transcript:KJB71447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHSKSLREHNNRSMLVKANVENLPAAGAETVSEVACMGNEVGRLSSFSGNANAIIEESCQQIPRTSTRVTVGEDDCDSIEESCNEIESASESVPEILDNDLQFFESIGIKMDGRCSSSVIGSAEPNGQSNNWTMDSSGSAVGRKESGTVPPLDKTGVDESCIIVNEAELHCHPHRQGKHRPYQKKIRDAISSRMRSARKMEYKQLAKWYGDVGKCDEDSKGSSMSAQTRETTMRSSTQDLLDSEWELL >KJB72801 pep chromosome:Graimondii2_0_v6:11:48050900:48051780:-1 gene:B456_011G198900 transcript:KJB72801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVENEIPVATKGVVMIKAQKNITDQKLKDLKAKKISQCLDYAYICMKIVCFYSENGGFKISDEDKSYMQSCKVAVFTCAFGGGDDLYQPIGMSEASLKKIVQQIQNMMTHDKSDRETVLVRRMLQDRLLDVVCLKH >KJB74041 pep chromosome:Graimondii2_0_v6:11:60141863:60144472:1 gene:B456_011G269600 transcript:KJB74041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASVFRKSANALAPLAIRLTRVQRNYHSSIFRALNHGLQSQKPAVNRFYPNSFHLSTAVASKKPSSDESLIRVLESEIQFAEGDSSDQVVGTPSGFPFEVEDNPGTQTVTLTREYNGELIKVDVHMLDLNEDEQDEDEVEDNDGDNNNARIPFVVTVSKKDGPSLEFSCTALHDGIGIDSLSFRNPNPGDDELAYEGPDFNDLDENLQKGFYRYLEVRGIKPSTTNYLREYMADKDNREYLLWLKNLKKFVEE >KJB69520 pep chromosome:Graimondii2_0_v6:11:2035242:2040623:-1 gene:B456_011G027900 transcript:KJB69520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLLTDSDWETFSESGSSEEQEDTEFLYGGRACTILSSLEESIGKIDDLLSFERGFFHGDIVRSVTDPCGQMGRVVDIDMFVDLETVNGKVIKDINSNQLLKIRSISVGDYVVNSTWIGKVDKVVDRVTIVFDDGSKCEVTAMGQDKLVPVSPNLIDDLQYPYYPGQRVRVVPSDYSSSTGWLCGTWRENRDEGTVSSVEAGFVYVDWISSAHLDHDMSASPPSHLQEAKDLTLLVSFPHANWQLGDWCMLSFADGKGTSRKFLHPSTRDLINDNWKLEKGFKIGNPGSRLEELFVIIKTRTKVNVMWQDGTCSMGLDSHTLLPVSVTNAHEFWPHQFVFEKGTNGDTQRWGVVRGVDAKERTVKVLWRNKAVTQANDFDREQMEETVSAYELVEHPNYCYCFGDIVVKAIRNHFGDQAEKGTEAASEGKNMKRDENNRPCAYCPSCIGVVIGFEDGNLEVKWASGIPTKVAPYEISRIDKCEGSATTPGLYEENTEDFNEEMFVHEAQSNSHKGKELLSFDSAHGSGEKFSWAPASFFRPQAAIELFSSIASSFLGSLASVTLLSQESSSFISHGAKEDDILLEKEVSETCNDSAELDPSEMQIFETTNIKQEVEEIEENNMMPRLDETSSRYRQFDMVSDCSDHHFLGESKVLAMSQVKRSWVKKVQQEWSILEKNLPEIIYVRVYEERMDLLRAVLVGAPGTPYHDGLFFFDIFLPSNYPYEPPSVHYHSWGLRLNPNLYESGKVCLSLLNTWTGSDTEVWNPGSSTILQVLLSLQALVLNEKPYFNEAGYDRQLGRPEGETNSVSYNENAFLVTCQSMLYVLRKPPKHFEALVKEHFSKHAETIISACNAYMEGAPVGYALECGKKDHDENFKGSSTGFKIMLSKLLPKLVEAFSDQGIDCSQFRGLNK >KJB69523 pep chromosome:Graimondii2_0_v6:11:2035269:2041094:-1 gene:B456_011G027900 transcript:KJB69523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLLTDSDWETFSESGSSEEQEDTEFLYGGRACTILSSLEESIGKIDDLLSFERGFFHGDIVRSVTDPCGQMGRVVDIDMFVDLETVNGKVIKDINSNQLLKIRSISVGDYVVNSTWIGKVDKVVDRVTIVFDDGSKCEVTAMGQDKLVPVSPNLIDDLQYPYYPGQRVRVVPSDYSSSTGWLCGTWRENRDEGTVSSVEAGFVYVDWISSAHLDHDMSASPPSHLQEAKDLTLLVSFPHANWQLGDWCMLSFADGKGTSRKFLHPSTRDLINDNWKLEKGFKIGNPGSRLEELFVIIKTRTKVNVMWQDGTCSMGLDSHTLLPVSVTNAHEFWPHQFVFEKGTNGDTQRWGVVRGVDAKERTVKVLWRNKAVTQANDFDREQMEETVSAYELVEHPNYCYCFGDIVVKAIRNHFGDQAEKGTEAASEGKNMKRDENNRPCAYCPSCIGVVIGFEDGNLEVKWASGIPTKVAPYEISRIDKCEGSATTPGLYEENTEDFNEEMFVHEAQSNSHKGKELLSFDSAHGSGEKFSWAPASFFRPQAAIELFSSIASSFLGSLASVTLLSQESSSFISHGAKEDDILLEKEVSETCNDSAELDPSEMQIFETTNIKQEVEEIEENNMMPRLDETSSRYRQFDMVSDCSDHHFLGESKVLAMSQVKRSWVKKVQQEWSILEKNLPAEIIYVRVYEERMDLLRAVLVGAPGTPYHDGLFFFDIFLPSNYPYEPPSVHYHSWGLRLNPNLYESGKVCLSLLNTWTGSDTEVWNPGSSTILQVLLSLQALVLNEKPYFNEAGYDRQLGRPEGETNSVSYNENAFLVTCQSMLYVLRKPPKHFEALVKEHFSKHAETIISACNAYMEGAPVGYALECGKKDHDENFKGSSTGFKIMLSKLLPKLVEAFSDQGIDCSQFRGLNK >KJB69521 pep chromosome:Graimondii2_0_v6:11:2035158:2041296:-1 gene:B456_011G027900 transcript:KJB69521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLLTDSDWETFSESGSSEEQEDTEFLYGGRACTILSSLEESIGKIDDLLSFERGFFHGDIVRSVTDPCGQMGRVVDIDMFVDLETVNGKVIKDINSNQLLKIRSISVGDYVVNSTWIGKVDKVVDRVTIVFDDGSKCEVTAMGQDKLVPVSPNLIDDLQYPYYPGQRVRVVPSDYSSSTGWLCGTWRENRDEGTVSSVEAGFVYVDWISSAHLDHDMSASPPSHLQEAKDLTLLVSFPHANWQLGDWCMLSFADGKGTSRKFLHPSTRDLINDNWKLEKGFKIGNPGSRLEELFVIIKTRTKVNVMWQDGTCSMGLDSHTLLPVSVTNAHEFWPHQFVFEKGTNGDTQRWGVVRGVDAKERTVKVLWRNKAVTQANDFDREQMEETVSAYELVEHPNYCYCFGDIVVKAIRNHFGDQAEKGTEAASEGKNMKRDENNRPCAYCPSCIGVVIGFEDGNLEVKWASGIPTKVAPYEISRIDKCEGSATTPGLYEENTEDFNEEMFVHEAQSNSHKGKELLSFDSAHGSGEKFSWAPASFFRPQAAIELFSSIASSFLGSLASVTLLSQESSSFISHGAKEDDILLEKEVSETCNDSAELDPSEMQIFETTNIKQEVEEIEENNMMPRLDETSSRYRQFDMVSDCSDHHFLGESKVLAMSQVKRSWVKKVQQEWSILEKNLPEIIYVRVYEERMDLLRAVLVGAPGTPYHDGLFFFDIFLPSNYPYEPPSVHYHSWGLRLNPNLYESGKVCLSLLNTWTGSDTEVWNPGSSTILQVLLSLQALVLNEKPYFNEAGYDRQLGRPEGETNSVSYNENAFLVTCQSMLYVLRKPPKHFEALVKEHFSKHAETIISACNAYMEGAPVGYALECGKKDHDENFKGSSTGFKIMLSKLLPKLVEAFSDQGIDCSQFRGLNK >KJB69522 pep chromosome:Graimondii2_0_v6:11:2035269:2039036:-1 gene:B456_011G027900 transcript:KJB69522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLLTDSDWETFSESGSSEEQEDTEFLYGGRACTILSSLEESIGKIDDLLSFERGFFHGDIVRSVTDPCGQMGRVVDIDMFVDLETVNGKVIKDINSNQLLKIRSISVGDYVVNSTWIGKVDKVVDRVTIVFDDGSKCEVTAMGQDKLVPVSPNLIDDLQYPYYPGQRVRVVPSDYSSSTGWLCGTWRENRDEGTVSSVEAGFVYVDWISSAHLDHDMSASPPSHLQEAKDLTLLVSFPHANWQLGDWCMLSFADGKGTSRKFLHPSTRDLINDNWKLEKGFKIGNPGSRLEELFVIIKTRTKVNVMWQDGTCSMGLDSHTLLPVSVTNAHEFWPHQFVFEKGTNGDTQRWGVVRGVDAKERTVKVLWRNKAVTQANDFDREQMEETVSAYELVEHPNYCYCFGDIVVKAIRNHFGDQAEKGTEAASEGKNMKRDENNRPCAYCPSCIGVVIGFEDGNLEVKWASGIPTKVAPYEISRIDKCEGSATTPGLYEENTEDFNEEMFVHEAQSNSHKGKELLSFDSAHGSGEKFSWAPASFFRPQAAIELFSSIASSFLGSLASVTLLSQESSSFISHGAKEDDILLEKEVSETCNDSAELDPSEMQIFETTNIKQEVEEIEENNMMPRLDETSSRYRQFDMVSDCSDHHFLGESKVLAMSQVKRSWVKKVQQEWSILEKNLPEIIYVRVYEERMDLLRAVLVGAPGTPYHDGLFFFDIFLPSNYPYEPPSVHYHSWGLRLNPNLYESGKVCLSLLNTWTGSDTEVWNPGSSTILQVLLSLQALVLNEKPYFNEAGYDRQLGRPEGETNSVSYNENAFLVTCQSMLYVLRKPPKVTLESHSVK >KJB69784 pep chromosome:Graimondii2_0_v6:11:3143063:3143327:1 gene:B456_011G042200 transcript:KJB69784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTRTKRWMLFKRLMKKELWRWKFLGSAFKWKKMPSLNIHLSFIDDVLFKIASVLEAIFLVSTLCFFYLCCGCHF >KJB74031 pep chromosome:Graimondii2_0_v6:11:60043670:60047512:1 gene:B456_011G268300 transcript:KJB74031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HESO1 [Source:Projected from Arabidopsis thaliana (AT2G39740) UniProtKB/Swiss-Prot;Acc:Q5XET5] MNSQSQVESTLKEILEVVKPLHEDWVTRFKIINELREVVQSIENLRGATVEPFGSFVSNLFSRWGDLDISIEVSFGQCVSSAGKKRKQTLLGELLRALRIQGGWSRLKFIPSARVPILKIVSKWQNISCDISIDNIQGEIKSKFLFWLNEIDGRFRDMVLLVKEWAKANGINNPKTGTFNSYSLSLLVIFHFQTCVPPILPPLKDIYPTNVVDDLTGAKVDAERRIAQVCSSNIARFKSSTSRIVNRSSLSELFISFIAKFSEINLKASELGICTFTGQWEYIANNTRWLPRTYAIFIEDPFEQPENSARAVGQKQLVKIAEVFETTRCILISANITRNTLLPTLVGPQISRFLIKKHPTVYPNSNYRYYRNTPPQAHRVVQSPVQTQYHLWQPQYTNSRPSTSQMQHQGPRMVPSTPKPQSQFARMRVPNGPRLPNHQFQKPNPSVPQDQVQVQVQPQIQRPRTEGYNVKFGTRGPHQVQHNQGQMWRPKYDK >KJB74029 pep chromosome:Graimondii2_0_v6:11:60043670:60047512:1 gene:B456_011G268300 transcript:KJB74029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HESO1 [Source:Projected from Arabidopsis thaliana (AT2G39740) UniProtKB/Swiss-Prot;Acc:Q5XET5] MNSQSQVESTLKEILEVVKPLHEDWVTRFKIINELREVVQSIENLRGATVEPFGSFVSNLFSRWGDLDISIEVSFGQCVSSAGKKRKQTLLGELLRALRIQGGWSRLKFIPSARVPILKIVSKWQNISCDISIDNIQGEIKSKFLFWLNEIDGRFRDMVLLVKEWAKANGINNPKTGTFNSYSLSLLVIFHFQTCVPPILPPLKDIYPTNVVDDLTGAKVDAERRIAQVCSSNIARFKSSTSRIVNRSSLSELFISFIAKFSEINLKASELGICTFTGQWEYIANNTRWLPRTYAIFIEDPFEQPENSARAVGQKQLVKIAEVFETTRCILISANITRNTLLPTLVGPQISRFLIKKHPTVYPNSNYRYYRNTPPQAHRVVQSPVQTQYHLWQPQYTNSRPSTSQMQHQGPRMVPSTPKPQSQFARMRVPNGPRLPNHQFQKPNPSVPQDQVQVQVQPQIQRPRTEGYNVKFGTRGPHQVQHNQGQMWRPKYDK >KJB74030 pep chromosome:Graimondii2_0_v6:11:60043670:60047512:1 gene:B456_011G268300 transcript:KJB74030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HESO1 [Source:Projected from Arabidopsis thaliana (AT2G39740) UniProtKB/Swiss-Prot;Acc:Q5XET5] MNSQSQVESTLKEILEVVKPLHEDWVTRFKIINELREVVQSIENLRGATVEPFGSFVSNLFSRWGDLDISIEVSFGQCVSSAGKKRKQTLLGELLRALRIQGGWSRLKFIPSARVPILKIVSKWQNISCDISIDNIQGEIKSKFLFWLNEIDGRFRDMVLLVKEWAKANGINNPKTGTFNSYSLSLLVIFHFQTCVPPILPPLKDIYPTNVVDDLTGAKVDAERRIAQVCSSNIARFKSSTSRIVNRSSLSELFISFIAKFSEINLKASELGICTFTGQWEYIANNTRWLPRTYAIFIEDPFEQPENSARAVGQKQLVKIAEVFETTRCILISANITRNTLLPTLVGPQISRFLIKKHPTVYPNSNYRYYRNTPPQAHRVVQSPVQTQYHLWQPQYTNSRPSTSQMQHQGPRMVPSTPKPQSQFARMRVPNGPRLPNHQFQKPNPSVPQDQVQVQVQPQIQRPRTEGYNVKFGTRGPHQVQHNQGQMWRPKYDK >KJB68899 pep chromosome:Graimondii2_0_v6:11:28549488:28549910:1 gene:B456_011G158700 transcript:KJB68899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIQDELHSEPPESLLGQENWGRRKIEIKIIENKDDRLISFSKRCTRIYKKTFELFTLFGSEILFIILSPTGKSYSFGHHFFEIVTKRILNTNQPLNETTHAPFEAYHKLRIHLLV >KJB70018 pep chromosome:Graimondii2_0_v6:11:4206407:4208090:-1 gene:B456_011G053600 transcript:KJB70018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWHKMIFPVRRFWFTVSSPLKARKNGLLKLRDDIQTCGYQDVQVMWEMLRRSETEHHHPHPKRKQRPFWRVFVWSSSNNTSSTSLSADHA >KJB70017 pep chromosome:Graimondii2_0_v6:11:4206350:4208157:-1 gene:B456_011G053600 transcript:KJB70017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWHKMIFPVRRFWFTVSSPLKARKNGAGLLKLRDDIQTCGYQDVQVMWEMLRRSETEHHHPHPKRKQRPFWRVFVWSSSNNTSSTSLSADHA >KJB74370 pep chromosome:Graimondii2_0_v6:11:62220767:62224061:1 gene:B456_011G290900 transcript:KJB74370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKASPAFSLLKNPQVETRVPLFSRNGSGFGSGAVVVCATKGANNKPLTGVIFEPFEEVKKELNLVPTVPQMSLARQKFADECEAAINEQINVEYNVSYVYHAMYAYFDRDNVALKGLAKFFKESSLEEREHAEKLMEYQNKRGGQVKLQSILMPCSEFDHAEKGDALYAMELALSLEKLTNEKLLNLHNVESIKKISEYVAQLRRVGKGHGVWHFDQMLLHEGAVA >KJB74372 pep chromosome:Graimondii2_0_v6:11:62220767:62224061:1 gene:B456_011G290900 transcript:KJB74372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKASPAFSLLKNPQVETRVPLFSRNGSGFGSGAVVVCATKGANNKPLTGVIFEPFEEVKKELNLVPTVPQMSLARQKFADECEAAINEQINVEYNVSYVYHAMYAYFDRDNVALKGLAKFFKESSLEEREHAEKLMEYQNKRGGQVKLQSILMPCSEFDHAEKGDALYAMELALSLEKLTNEKLLNLHNVAERNHDSQLTDFIEGEFLAEQVESIKKISEYVAQLRRVGKGHGVWHFDQMLLHEGAVA >KJB74371 pep chromosome:Graimondii2_0_v6:11:62220767:62224061:1 gene:B456_011G290900 transcript:KJB74371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKASPAFSLLKNPQVETRVPLFSRNGSGFGSGAVVVCATKGANNKPLTGVIFEPFEEVKKELNLVPTVPQMSLARQKFADECEAAINEQINVEYNVSYVYHAMYAYFDRDNVALKGLAKFFKESSLEEREHAEKLMEYQNKRGGQVKLQSILMPCSEFDHAEKGDALYAMELALSLEKLTNEKLLNLHNVAERNHDSQLTDFIEGEFLAEQVESIKKISEYVAQLRRVGKGHGMNLKLLRVLVFNKNILFIITIRCSSI >KJB74135 pep chromosome:Graimondii2_0_v6:11:60965881:60966081:-1 gene:B456_011G277100 transcript:KJB74135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPRFELPEALVIEILSKLPVKSLTRFNCVCKYWCSSIQTPHFISNNLENNNLNLLLSRLDGNAF >KJB71963 pep chromosome:Graimondii2_0_v6:11:25325946:25330862:-1 gene:B456_011G150600 transcript:KJB71963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDFDFPTANNVEEDEMGIPEDDPVNSLLEVGEEKEIGKNGLKKKLVKQGEGWDTPSNGDEVEVHYTGTLHDGTKFDSSRDRGTPFKFKLGLGQVIKGWDEGIKTMKKGENAFFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSFKDICKDGGIFKKILVEGEKWENPKDLDEVFVKYEACLEDGTLVSQSGGLEFTVGDGYFCPALAKAVKTMKKGEKVLLIVKPQYAFGEDGRPALGSEGAVPPNATLHVTLELVSWKSVSDVTKNKKVLKKILKEGEGYERPHDGTIVQVKFIGKLEDGKIFVKKGHDDELFEFKIDEEQVIDGLDKTVKTMKKGELALITIQPEYAFGSSESQQELAVVPANSTVYYEVEMVSFVKEKESWDMNAQEKIEAAGKKKEEGNALFKAGKYERALKRYEKAFRFIEYDSSFSDEEKQQAKMLKVTCNLNNAACKLKLKDYKKAKKLCTEVLELDDRNVKALYRRAQAYMELVDFDLAEADIKKALEIDPDNRDVKLEYRVLKEKIRQYNKKDAQFYGNIIAKMSKSEQEKATKKEPRHMTIGRKQVCRLPVLLVCIFLILPVIAAVIWQKWSDPLVDRVVM >KJB71964 pep chromosome:Graimondii2_0_v6:11:25325946:25331015:-1 gene:B456_011G150600 transcript:KJB71964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDFDFPTANNVEEDEMGIPEDDPVNSLLEVGEEKEIGKNGLKKKLVKQGEGWDTPSNGDEVEVHYTGTLHDGTKFDSSRDRGTPFKFKLGLGQVIKGWDEGIKTMKKGENAFFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSFKDICKDGGIFKKILVEGEKWENPKDLDEVFVKYEACLEDGTLVSQSGGLEFTVGDGYFCPALAKAVKTMKKGEKVLLIVKPQYAFGEDGRPALGSEGAVPPNATLHVTLELVSWKSVSDVTKNKKVLKKILKEGEGYERPHDGTIVQVKFIGKLEDGKIFVKKGHDDELFEFKIDEEQVIDGLDKTVKTMKKGELALITIQPEYAFGSSESQQELAVVPANSTVYYEVEMVSFVKEKESWDMNAQEKIEAAGKKKEEGNALFKAGKYERALKRYEKAFRFIEYDSSFSDEEKQQAKMLKVTCNLNNAACKLKLKDYKKAKKLCTEVLELDDRNVKALYRRAQAYMELVDFDLAEADIKKALEIDPDNRDVKLEYRVLKEKIRQYNKKDAQFYGNIIAKMSKSEQEKATKKEPRHMTIGRKVCRLPVLLVCIFLILPVIAAVIWQKWSDPLVDRVVM >KJB74321 pep chromosome:Graimondii2_0_v6:11:61953567:61954193:1 gene:B456_011G287700 transcript:KJB74321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPRNNANKKLKHHRNQDSDDFDGNPEAWATLDKNFKQVQSVLDRNRMLIQQVNDNHQSKNPDNMVKNVALIQELNGNISRVVSLYSDMSSNFSTSFHNNGHHKEG >KJB73992 pep chromosome:Graimondii2_0_v6:11:59736586:59737696:1 gene:B456_011G265800 transcript:KJB73992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHEVEVRRSLPVTLNDRDWPTKMALDCQFWPQFLLMLVHSVFDPLTLAFTISLALATFVIRAKLKYRKPLIVNLIPPRFRHGTLKNHTKKEMKIGSNLLTLIVEMRLSQHIG >KJB70839 pep chromosome:Graimondii2_0_v6:11:10077709:10080620:-1 gene:B456_011G092800 transcript:KJB70839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRSGSWRSVIVAKEAIAFGQRTFAAAAGKSKKGSKGAASDAPKASILSKEVKSTTVVGANILKDGTDPKIMPDSEYPDWVWHLLDKRPALSELRRKNIETLPYEDLKRFVKLDNRALIKENNSIKAKN >KJB70838 pep chromosome:Graimondii2_0_v6:11:10077789:10080509:-1 gene:B456_011G092800 transcript:KJB70838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLWILFFFQSRDIAMALTRSGSWRSVIVAKEAIAFGQRTFAAAAGKSKKGSKGAASDAPKASILSKEVKSTTVVGANILKDGTDPKIMPDSEYPDWVWHLLDKRPALSELRRKNIETLPYEDLKRFVKLDNRALIKENNSIKAKN >KJB70837 pep chromosome:Graimondii2_0_v6:11:10077789:10080512:-1 gene:B456_011G092800 transcript:KJB70837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRSGSWRSVIVAKEAIAFGQRTFAAAAGKSKKGSKGAASDAPKASILSKEVKSTTVVGANILKDGTDPKIMPDSEYPDWVWHLLDKRPALSELRRKNIETLPYEDLKRFVKLDNRALIKENNSIKAKN >KJB71560 pep chromosome:Graimondii2_0_v6:11:19029018:19031611:-1 gene:B456_011G129400 transcript:KJB71560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKGIMDVRVGLLFLFVLGASWVCDARQLEAAPVVLSGASVVQTNQGQDEEVVENIVWKDNVCTLCEEFATEAIDFLSQNKTQTEIVEVLRKSCSRIPSFEQQCITLVDYYVPLFFVEISSIQPEVLCKEVNLCQKFALISTQIREDCCGVCHHAVSEVLTKLKDPDTQLEIIELLLKGCDSVQNYVKKVGVFEELR >KJB71557 pep chromosome:Graimondii2_0_v6:11:19028162:19030972:-1 gene:B456_011G129400 transcript:KJB71557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRVGLLFLFVLGASWVCDARQLEAAPVVLSGASVVQTNQGQDEEVVENIVWKDNVCTLCEEFATEAIDFLSQNKTQTEIVEVLRKSCSRIPSFEQQCITLVDYYVPLFFVEISSIQPEVLCKEVNLCQKFALISTQIREDCCGVCHHAVSEVLTKLKDPDTQLEIIELLLKGCDSVQNYVKKCKSLVFEYGPLILANTEHFLETTDVCTILHACNGAKQTLVADS >KJB71558 pep chromosome:Graimondii2_0_v6:11:19028196:19031492:-1 gene:B456_011G129400 transcript:KJB71558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCCIMDVRVGLLFLFVLGASWVCDARQLEAAPVVLSGASVVQTNQGQDEEVVENIVWKDNVCTLCEEFATEAIDFLSQNKTQTEIVEVLRKSCSRIPSFEQQCITLVDYYVPLFFVEISSIQPEVLCKEVNLCQKFALISTQIREDCCGVCHHAVSEVLTKLKDPDTQLEIIELLLKGCDSVQNYVKKCKSLVFEYGPLILANTEHFLETTDVCTILHACNGAKQTLVADS >KJB71559 pep chromosome:Graimondii2_0_v6:11:19028196:19031683:-1 gene:B456_011G129400 transcript:KJB71559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKGIMDVRVGLLFLFVLGASWVCDARQLEAAPVVLSGASVVQTNQGQDEEVVENIVWKDNVCTLCEEFATEAIDFLSQNKTQTEIVEVLRKSCSRIPSFEQQCITLVDYYVPLFFVEISSIQPEVLCKEVNLCQKFALISTQIREDCCGVCHHAVSEVLTKLKDPDTQLEIIELLLKGCDSVQNYVKKCKSLVFEYGPLILANTEHFLETTDVCTILHACNGAKQTLVADS >KJB72590 pep chromosome:Graimondii2_0_v6:11:44436274:44438955:-1 gene:B456_011G186700 transcript:KJB72590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFILGRWDMDANIIGGDVRFELPVATRFFGVAIATMRLRMLKNPMDRHEIVRQDVKQVICSVCDTEQPVAQLCSNCGVNMGEYFCAICMFFDDDTEKEHFHCHDCGICRVGGRDNFFHCKKCGSCYAIALRDNHLCVENSMRHHCPICYEYLFDSLKDTTVMKCGHTMHCECYDEMIKRNKYCCPICSKSVIDMSRTWKRIDEEIEATVMPEDYRHKKVWILCNDCNDTTEVYFHIIGQKCSHCKSYNTRTIAPPILPH >KJB72588 pep chromosome:Graimondii2_0_v6:11:44436144:44439015:-1 gene:B456_011G186700 transcript:KJB72588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSANERLHFGKMGYGCQHYRRRCKIRAPCCNEVFWCRHCHNEASSMLKNPMDRHEIVRQDVKQVICSVCDTEQPVAQLCSNCGVNMGEYFCAICMFFDDDTEKEHFHCHDCGICRVGGRDNFFHCKKCGSCYAIALRDNHLCVENSMRHHCPICYEYLFDSLKDTTVMKCGHTMHCECYDEMIKRNKYCCPICSKSVIDMSRTWKRIDEEIEATVMPEDYRHKKVWILCNDCNDTTEVYFHIIGQKCSHCKSYNTRTIAPPILPH >KJB72589 pep chromosome:Graimondii2_0_v6:11:44436274:44438954:-1 gene:B456_011G186700 transcript:KJB72589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGLAFFSLHLRIHDNPRKFKSHLPNSGNPLFFLGFLWIIREEKNKICLPILLEMEASSANERLHFGKMGYGCQHYRRRCKIRAPCCNEVFWCRHCHNEASSMLKNPMDRHEIVRQDVKQVICSVCDTEQPVAQLCSNCGVNMGEYFCAICMFFDDDTEKEHFHCHDCGICRVGGRDNFFHCKKCGSCYAIALRDNHLCVENSMRHHCPICYEYLFDSLKDTTVMKCGHTMHCECYDEMIKRNK >KJB70291 pep chromosome:Graimondii2_0_v6:11:5843123:5846069:-1 gene:B456_011G067100 transcript:KJB70291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIVDYTVKYTEHVFPLAKLMNVSEDESQLSVIARQGSGSACRSLFSGFIKWIMGKVQRDRAAELLGLRACNFRPRHSSKLGNEFRVFTNYDPEERLGG >KJB70290 pep chromosome:Graimondii2_0_v6:11:5843617:5845200:-1 gene:B456_011G067100 transcript:KJB70290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIVDYTVKYTEHVFPLAKLMNVSEDESQLSVIARQGSGSACRSLFSGFIKWIMGKERCRGIELQSFWVCVHAIFGHDIQANLEMSFGCSQTMTLRRD >KJB70289 pep chromosome:Graimondii2_0_v6:11:5843617:5845200:-1 gene:B456_011G067100 transcript:KJB70289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIVDYTVKYTEHVFPLAKLMNVSEDESQLSVIARQGSGSACRSLFSGFIKWIMGKERCRGIELQSFWVCVHAIFGHDIQANLEMSFGCSQTMTLRRD >KJB73066 pep chromosome:Graimondii2_0_v6:11:51353604:51357277:1 gene:B456_011G213000 transcript:KJB73066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGNPFFDDLRSKPEVIDLPQNEDHLDSESVNEPTQTATKPNLIVSSNVRELLECPVCLNAMYPPIHQCLNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSYGCVGIYPYYSKLKHESQCSYRPYSCPYAGSECTVVGDIPFLVAHLKDDHKVDMHSGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYSYSLEVGGNGRKLIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KJB69889 pep chromosome:Graimondii2_0_v6:11:3901772:3906219:-1 gene:B456_011G050100 transcript:KJB69889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHQLLKEEGFEKGKLLKNQPDDSTALPIYICRGRKSSEITEHKDEKTVIRNGSSLFSSFKPKSDEPVIDEAAIKAVISILGGYTGRYLKDESYRAMVKDKCTSCLLSRRKAGSDGGIFMNMELGIESIDKLVEDRGNKKELRMKLLRNSIRLLSIVASLNCEKSRNGSTCGVPHSHLSACAQLYLSIVYKLEKNHRLSARHLLQVFCDSAFLARTHLLPDLWDHFFLPHLLHLKVWYHKELEHLSNLGNALKETKMKALSKLYNDQMDMGTAMFAMYYKEWLKIGAKVPPVPTVPLPSSSSYGSSRRRSSESHASVSSINRNLYQTVFGAATEWQSMELNHRIRTSIDICRLEAEENEFKYENYNQNKKKTHRRSSSSHIYSTPRTELLPETKKSGHFRFFSCQSRPKGCLVNGKINVRNNSMRNLEHLDLPLSDLSKAIATICSSDVLSDCEIAIRVMTKAWLDSHGDSTIEAALTKAPIIEGVLEVLFASNDDEVMELAILILAEFITRSKVNRQIILNSDPQLEIFLKLLKNSSLFLKAAVLLYLLRPKAKQMISTEWIPLSLRVLEFGEHLQTLYTIRCSPQVAALYFLDQLLTGFNEDRNLENACQVVSLGGLNLLMRNVEFGGVLERNKAALIISCCIRADGSCRHYVADKLNKAALIELMVGNCKDSNGSVIALLTELLCLNRRTQMMKFLNELLRGWGGLNTMHILLACLHKALPEERPLVAALLLQLDLLGDPFRCSVYREEAVEVIIETLDCEKCNDKIQQQSAKALTMLGGRFSYMGEATTESWLLKQAGFHENLEDSFQKKEIGDNFLDEGEEEIENWQKKAAIALLNSGNKRFLAALSNSMAKDIPSLARASLVTIAWMSCFLHLAGDKDFQAMASSILTPRLLESLNSNRVLEERVLATFSLQQIRKSSEYIA >KJB69890 pep chromosome:Graimondii2_0_v6:11:3902176:3906308:-1 gene:B456_011G050100 transcript:KJB69890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHQLLKEEGFEKGKLLKNQPDDSTALPIYICRGRKSSEITEHKDEKTVIRNGSSLFSSFKPKSDEPVIDEAAIKAVISILGGYTGRYLKDESYRAMVKDKCTSCLLSRRKAGSDGGIFMNMELGIESIDKLVEDRGNKKELRMKLLRNSIRLLSIVASLNCEKSRNGSTCGVPHSHLSACAQLYLSIVYKLEKNHRLSARHLLQVFCDSAFLARTHLLPDLWDHFFLPHLLHLKVWYHKELEHLSNLGNALKETKMKALSKLYNDQMDMGTAMFAMYYKEWLKIGAKVPPVPTVPLPSSSSYGSSRRRSSESHASVSSINRNLYQTVFGAATEWQSMELNHRIRTSIDICRLEAEENEFKYENYNQNKKKTHRRSSSSHIYSTPRTELLPETKKSGHFRFFSCQSRPKGCLVNGKINVRNNSMRNLEHLDLPLSDLSKAIATICSSDVLSDCEIAIRVMTKAWLDSHGDSTIEAALTKAPIIEGVLEVLFASNDDEVMELAILILAEFITRSKVNRQIILNSDPQLEIFLKLLKNSSLFLKAAVLLYLLRPKAKQMISTEWIPLSLRVLEFGEHLQTLYTIRCSPQVAALYFLDQLLTGFNEDRNLENACQVVSLGGLNLLMRNVEFGGVLERNKAALIISCCIRADGSCRHYVADKLNKAALIELMVGNCKDSNGSVIALLTELLCLNRRTQMMKFLNELLRGWGGLNTMHILLACLHKALPEERPLVAALLLQLDLLGDPFRCSVYREEAVEAFMKTWRIHFKRRK >KJB72713 pep chromosome:Graimondii2_0_v6:11:46055483:46057249:1 gene:B456_011G192000 transcript:KJB72713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEATKRSTTGALTVKQRKTDELKPSPALTAEPKKVIIKSADMKDEMQKEAVNIAISAFEKNNVEKDVAEYIKKEFDKKHGPTWHCIVGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >KJB72714 pep chromosome:Graimondii2_0_v6:11:46055590:46056455:1 gene:B456_011G192000 transcript:KJB72714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEATKRSTTGALTVKQRKTDELKPSPALTAEPKKVIIKSADMKDEMQKEAVNIAISAFEKNNVEKDVAEYIKKEFDKKHGPTWHCIVGRNFGKPKFPLDSIFMENIVQDICYF >KJB68823 pep chromosome:Graimondii2_0_v6:11:2440102:2445890:1 gene:B456_011G033000 transcript:KJB68823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEPQKATSSAVDSKSKEKKSMLDEEIGKDFLGSWKSMSVKDDALDFSFETTTKGKNKAFNFDKLDIDFNLDDDFGKFSSFKVDMPDLDFSSPAKKDAKAKEKSKEETNSGKKQEKKDRLAFSFNFNELDDFDFDSTLNIGEKTSKKNQEGKAAASESTEVSKIDQALEDDLITERLPESRDAANSKAETSKGRVEACKSTDNPCPSKAVPARGPAPEKMVTAQGSRISPETSLDTNAEETYKSSPSPEREVSSELYDQQSLQSSPMDSRNVNNSNPETISDMQAEVCSQGRRTKTSSASEQNVKDNVIINESIQENLHRKNSFPLSESDREGRKGAGGNIPAEIDDSILVQDDIVLKGINTAGLSTDNIAAKKYIQNPTPKLPSVSLDRCSEPTDTEQTARKEKEAGAIRSRFFRRQVENRSQLHQPSEIGKEVSSFSGKNNGGMHLSPVYEKREDFGASEAQSVRKLVDYSKLSSQELTKRRSVLQQSENNIGSSSDIRAGFAVGAIQNGANKLISKSSLQDKAARKGDPVLLRTEKNASLQANPPNHTEKTTESSVQKSLNPKLQIPKMHSIQNSMFHSEAHKITKKAPALSCIKSTRSIGPKTDHMSSQKETNSLGNLEQNKDTRGNASNIVLPVGVAETQTPKFPSLKRKTFQVQNHKNHVEVSTKNVLYDHLTSGSEVPREVNMTEPEFASVIENDGKVEKAEAYGKELEDICNMLKKKNEEAKQVLVRAIVNNNNLLMLNHPILKEKINMVQKFAEVLISKEMPT >KJB68824 pep chromosome:Graimondii2_0_v6:11:2445116:2445890:1 gene:B456_011G033000 transcript:KJB68824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPEFASVIENDGKVEKAEAYGKELEDICNMLKKKNEEAKQVLVRAIVNNNNLLMLNHPILKEKINMVQKFAEVLISKEMPT >KJB70959 pep chromosome:Graimondii2_0_v6:11:10785733:10788471:1 gene:B456_011G097500 transcript:KJB70959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELRLVGEYGLRCKRELWRVQYALSRIRNAARDLLTLDEKNPRRIFEGEALLRRMNRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQRAAAKKAAGGDGDDEEDE >KJB70960 pep chromosome:Graimondii2_0_v6:11:10785733:10788491:1 gene:B456_011G097500 transcript:KJB70960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELRLVGEYGLRCKRELWRVQYALSRIRNAARDLLTLDEKNPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQRAAAKKAAGGDGDDEEDE >KJB72750 pep chromosome:Graimondii2_0_v6:11:46967511:46968307:-1 gene:B456_011G194500 transcript:KJB72750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNSYSDEYSSTIPPARLFKALILDSHNLIPKLMPLAIQSIEISGDGGACTIRQINFAEGSQVKFIKNRVDELDEKNFWFKYSLIEGDGMMDKLEKITYEVKFESTTDGGSINKMTSTYYTKGDFVLTEEEIKAGKEKALAMYKVVEA >KJB71443 pep chromosome:Graimondii2_0_v6:11:17489537:17492199:-1 gene:B456_011G124000 transcript:KJB71443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLLLSRFSLLVLLFFLLQHSPTKGEDFNAINNTNASGRTRSLASNCNWFRGKWVYDPSYPLYDPYKCPFIEGEFDCQKYGRPDNTYLKYRWQPFSCSLPRFNGLYFLRKWRGKKIMFVGDSLSLNQFQSLTCMIQAWVPNSQISYIKRDGLTSVTFLDYDVNIMLYRTPYLVDIVNQKYGRVLKLDSIRGGDSWKGVDMLIFNTWHWWTHTGRTQPFDYIEDGGKTWKDMNRMVAFYKGLTTWARWVNRNVDPLKTKVFFQGISPTHYEGKDWNKPTESCSGQTQPFFGMRYPGGTPMAWVVVKKVLSRIKKPVFLLDVTGLSQYRKDAHPSAYRGMDGGTDCSHWCLPGVPDTWNSLLYAAVF >KJB71444 pep chromosome:Graimondii2_0_v6:11:17489571:17492181:-1 gene:B456_011G124000 transcript:KJB71444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLLLSRFSLLVLLFFLLQHSPTKGEDFNAINNTNASGRTRSLASNCNWFRGKWVYDPSYPLYDPYKCPFIEGEFDCQKYGRPDNTYLKYRWQPFSCSLPRFNGLYFLRKWRGKKIMFVGDSLSLNQFQSLTCMIQAWVPNSQISYIKRDGLTSVTFLDYDVNIMLYRTPYLVDIVNQKYGRVLKLDSIRGGDSWKGVDMLIFNTWHWWTHTGRTQPFDYIEDGGKTWKDMNRMVAFYKGLTTWARWVNRNVDPLKTKVFFQGISPTHYE >KJB73056 pep chromosome:Graimondii2_0_v6:11:51179012:51182531:-1 gene:B456_011G212500 transcript:KJB73056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTDSRAFPELISELVASVEEVSSIAKDSEKGLFIEFSCLLNKLGLILSDIKDNKDVMDTRTIRKAIESIEKELQRVKTLIKSPDSKQPNTWIENVTQDLGRSLGLVLFASIDLHLEMKEKISALHKEFMNVRFNGSLAPSSSPSLGPSPSSSRPPSPSHGYEFVTANASETEIEEERTEIIEERSNLTIDDVILQLKYGDDEEFNFALLWFNESIRQGLITNEWINEGGIVLILVNRLSSCKPNNRLIILRILQKLASENSENKEKMADAASLSALVKSLTRDTEERREAVGLLLDLSDLQAVWRRLGRIQGCIVMLVTMLNGDDPIASSNAGKLLNALSSNTQNALHMAEAGYFKPLVHYLKEGSDMSKILMATALSRMELTDQSRASLGEDEAVEPLVKMFNAGKLEAKLSALNALQNLSNLSENVQRLINSGIVVSLLQLLFSVTSVLMTLREPASAILARIAKSESILVNQDVAQQMLSLLNLSSPKIQCHLLQALNSIAGHPNASKVRSKMKENGVIHLLLPFLTESNMKIRTGALDLLYTLSQHLPEELTEQLRESHLNTIVNIISSSPLETDKAAAVGILSNIPISNKKATEVLKKSNLLPILISMMNSSPSMISNSLAEGVAGVLIRFTVPSDKKLQLLAAQNEAIPLLVKLLSCGSLVAKCRAAAALAQLSQNSVSLRKSKKKSWFCVPPSAAAFCNVHDGYCIVNNTFCLVKAGAIPPLIQILEGKEREADEAVLNAMATLLQDEIWENGSDYIAKNAGVEAIIKIMETASVKAQEKALWILERVFGVEELRVKYGESAQVVLIDLAQKGDPRLKSTTAKLLAQLELLQFQSSYF >KJB72534 pep chromosome:Graimondii2_0_v6:11:43599469:43602278:-1 gene:B456_011G183400 transcript:KJB72534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFRKNVDACNDGNAPKGYCANQDYSEGSDYLVHDIAQHTNLRSGPHELLSRGVLGKRKLPVSPVKMLVGREGNCTGRGRFSSADGCHVLSRYLPVNGPRWVDRLQSRAYVSQFSADGSLFVAGFQKSHIRIYDVDKGWKVQKNILAKSLRWTITDTSLSPDQRFLVYSSLSPVVHIVNVRSAASESIANITEIHDGLDFSVDGYNDDDYDALGIFSVKFSTDGKELVAASSDNSIYVYDLESKRPSLRIPAHRSDVNTVCFADETGHLLFSGSDDHLCKVWDRRCFGTRGKAAGVLIGHLEGITFIDSRGDGRYFISNGKDQTTKLWDIRKMSSKTP >KJB72531 pep chromosome:Graimondii2_0_v6:11:43597829:43601749:-1 gene:B456_011G183400 transcript:KJB72531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFRKNVDACNDGNAPKGYCANQDYSEGSDYLVHDIAQHTNLRSGPHELLSRGVLGKRKLPVSPVKMLVGREGNCTGRGRFSSADGCHVLSRYLPVNGPRWVDRLQSRAYVSQFSADGSLFVAGFQKSHIRIYDVDKGWKVQKNILAKSLRWTITDTSLSPDQRFLVYSSLSPVVHIVNVRSAASESIANITEIHDGLDFSVDGYNDDDYDALGIFSVKFSTDGKELVAASSDNSIYVYDLESKRPSLRIPAHRSDVNTVCFADETGHLLFSGSDDHLCKVWDRRCFGTRGKAAGVLIGHLEGITFIDSRGDGRYFISNGKDQTTKLWDIRKMSSKTPYTRRPRDSDFDYRWMDYPTHARALKHPHDQSLATYRGHNVLRTLIRCYFSPAYSTGQKYIYTGSSDGSLYIYDLVTGAQVASCHGHEKPVRDCSWHPLYPMIITSSWDGVIARWEFPGSEEEPSEAGGRRQEKEKEGLAFED >KJB72533 pep chromosome:Graimondii2_0_v6:11:43599097:43602278:-1 gene:B456_011G183400 transcript:KJB72533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFRKNVDACNDGNAPKGYCANQDYSEGSDYLVHDIAQHTNLRSGPHELLSRGVLGKRKLPVSPVKMLVGREGNCTGRGRFSSADGCHVLSRYLPVNGPRWVDRLQSRAYVSQFSADGSLFVAGFQKSHIRIYDVDKGWKVQKNILAKSLRWTITDTSLSPDQRFLVYSSLSPVVHIVNVRSAASESIANITEIHDGLDFSVDGYNDDDYDALGIFSVKFSTDGKELVAASSDNSIYVYDLESKRPSLRIPAHRSDVNTVCFADETGHLLFSGSDDHLCKVWDRRCFGTRGKAAGVLIGHLEGITFIDSRGDGRYFISNGKDQTTKLWDIRKMSSKTPYTRRPRDSDFDYRWMDYPTHARALKHPHDQSLATYRGHNVLRTLIRCYFSPAYR >KJB72532 pep chromosome:Graimondii2_0_v6:11:43597758:43602301:-1 gene:B456_011G183400 transcript:KJB72532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFRKNVDACNDGNAPKGYCANQDYSEGSDYLVHDIAQHTNLRSGPHELLSRGVLGKRKLPVSPVKMLVGREGNCTGRGRFSSADGCHVLSRYLPVNGPRWVDRLQSRAYVSQFSADGSLFVAGFQKSHIRIYDVDKGWKVQKNILAKSLRWTITDTSLSPDQRFLVYSSLSPVVHIVNVRSAASESIANITEIHDGLDFSVDGYNDDDYDALGIFSVKFSTDGKELVAASSDNSIYVYDLESKRPSLRIPAHRSDVNTVCFADETGHLLFSGSDDHLCKVWDRRCFGTRGKAAGVLIGHLEGITFIDSRGDGRYFISNGKDQTTKLWDIRKMSSKTPYTRRPRDSDFDYRWMDYPTHARALKHPHDQSLATYRGHNVLRTLIRCYFSPAYSTGQKYIYTGSSDGSLYIYDLVTGAQVASCHGHEKPVRDCSWHPLYPMIITSSWDGVIARWEFPGSEEEPSEAGGRRQEKEKEGLAFED >KJB70279 pep chromosome:Graimondii2_0_v6:11:5623883:5629723:-1 gene:B456_011G066500 transcript:KJB70279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKGKQEKGGSNVAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSPSSGRRWGFPRFAGDCASRKSQLGSSSEQKSDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVAAEAKRALASWVVLDKQLKHEEKRCIEELQCNIVVMKNSQAKVLRLNLVGSPEKEAEASSQLNSGRDEASEKYPQNKDTSSGSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDLGTSPFFNSAGNGDLKKDESLVIKEIQDLDESGSDPESENLSLSSTSLRFQPWITEYLTSQHQSSRHLEETSVRAHDGVQASTTKALLEKFSKLDREAGIGISSFRSDSEFSGNVREAVSLSRNAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGLLPDGQAIAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLIELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDELVDPRLEDRYSEHEVYCMLHAASLCVRRDPQSRPRMSQVLRILEGDVLMNTNYTSPGYDVGNRSGRFWAEQQRSYSGPLTSDSLDEFSGKLSLDGARPRTRRTSCEDHL >KJB70280 pep chromosome:Graimondii2_0_v6:11:5624220:5628297:-1 gene:B456_011G066500 transcript:KJB70280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKGKQEKGGSNVAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSPSSGRRWGFPRFAGDCASRKSQLGSSSEQKSDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVAAEAKRALASWVVLDKQLKHEEKRCIEELQCNIVVMKNSQAKVLRLNLVGSPEKEAEASSQLNSGRDEASEKYPQNKDTSSGSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDLGTSPFFNSAGNGDLKKDESLVIKEIQDLDESGSDPESENLSLSSTSLRFQPWITEYLTSQHQSSRHLEETSVRAHDGVQASTTKALLEKFSKLDREAGIGISSFRSDSEFSGNVREAVSLSRNAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGLLPDGQAIAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLIELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDELVDPRLEDRYSEHEVYCMLHAASLCVRRDPQSRPRMSQVLRILEGDVLMNTNYTSPGYDVGNRSGRFWAEQQRSYSGPLTSDSLDEFSGKLSLDGARPRTRRTSCEDHL >KJB70276 pep chromosome:Graimondii2_0_v6:11:5625024:5628297:-1 gene:B456_011G066500 transcript:KJB70276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKGKQEKGGSNVAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSPSSGRRWGFPRFAGDCASRKSQLGSSSEQKSDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVAAEAKRALASWVVLDKQLKHEEKRCIEELQCNIVVMKNSQAKVLRLNLVGSPEKEAEASSQLNSGRDEASEKYPQNKDTSSGSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDLGTSPFFNSAGNGDLKKDESLVIKEIQDLDESGSDPESENLSLSSTSLRFQPWITEYLTSQHQSSRHLEETSVRAHDGVQASTTKALLEKFSKLDREAGIGISSFRSDSEFSGNVREAVSLSRNAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGLLPDGQAIAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLIELVTGRKAVDLNRPKGQQCLTEWVFSELCFYMLVFIPIYMVPSYE >KJB70278 pep chromosome:Graimondii2_0_v6:11:5623883:5630004:-1 gene:B456_011G066500 transcript:KJB70278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKGKQEKGGSNVAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSPSSGRRWGFPRFAGDCASRKSQLGSSSEQKSDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVAAEAKRALASWVVLDKQLKHEEKRCIEELQCNIVVMKNSQAKVLRLNLVGSPEKEAEASSQLNSGRDEASEKYPQNKDTSSGSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDLGTSPFFNSAGNGDLKKDESLVIKEIQDLDESGSDPESENLSLSSTSLRFQPWITEYLTSQHQSSRHLEETSVRAHDGVQASTTKALLEKFSKLDREAGIGISSFRSDSEFSGNVREAVSLSRNAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGLLPDGQAIAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLIELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDELVDPRLEDRYSEHEVYCMLHAASLCVRRDPQSRPRMSQVLRILEGDVLMNTNYTSPGYDVGNRSGRFWAEQQRSYSGPLTSDSLDEFSGKLSLDGARPRTRRTSCEDHL >KJB70277 pep chromosome:Graimondii2_0_v6:11:5623883:5629509:-1 gene:B456_011G066500 transcript:KJB70277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKGKQEKGGSNVAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSPSSGRRWGFPRFAGDCASRKSQLGSSSEQKSDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVAAEAKRALASWVVLDKQLKHEEKRCIEELQCNIVVMKNSQAKVLRLNLVGSPEKEAEASSQLNSGRDEASEKYPQNKDTSSGSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDLGTSPFFNSAGNGDLKKDESLVIKEIQDLDESGSDPESENLSLSSTSLRFQPWITEYLTSQHQSSRHLEETSVRAHDGVQASTTKALLEKFSKLDREAGIGISSFRSDSEFSGNVREAVSLSRNAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGLLPDGQAIAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLIELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDELVDPRLEDRYSEHEVYCMLHAASLCVRRDPQSRPRMSQVLRILEGDVLMNTNYTSPGYDVGNRSGRFWAEQQRSYSGPLTSDSLDEFSGKLSLDGARPRTRRTSCEDHL >KJB71221 pep chromosome:Graimondii2_0_v6:11:13410532:13412313:-1 gene:B456_011G111400 transcript:KJB71221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVTLCTDVVIAIILITTTTGVSARDRVTTVLALASKDSKSEYFNLPGHIFPLNWHTEASVDLAVLVGLEPLAILCEIADDDGSMAGLPKLREFAQAEKLKIISAADLIKYRRKRERLVELAAAALIPTMWGPFKAYCYRSLLDGIEHTAMVKGEISDDQDILVRVQSECLTGYVFGSARCDSMKQIEASGRGVLIYLRGHEGRGIGLGHKLRAYNLQDDGHDRVEANEELYLLILGNTGIGAQILGDLGVHTMSLMTNNPAKYVGLKGYGLAISGRVPLLNPKTKENKRYLETKQEKLGHIYGSDINATCNTAYQEI >KJB70317 pep chromosome:Graimondii2_0_v6:11:6008850:6016950:1 gene:B456_011G067700 transcript:KJB70317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLLPGGGLGMNPTMDDMNLIQAQRHLVRDIGEEIDLEIGPGDDDPSFANTPLLGGPSQEPSAEEQGESKQMSMVSEIPNADQDILKAQPAKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKPIYVKALMSKTAGSIIEAALKRDPHEAEFIQSVQEAVHALERVIAKNPHYVNIMERLLEPERMIIFRVPWVDDRGETHVNRGFRVHFNQALGPCRGGIRFHPKMNLSVAKFLGFQQTLKNALSPYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMNEIYRYFGTDKDLPSEEMGVGIREMGFLFGQYRRLAGNFQGSFTGPRIFWSGSSLRTEATAYGLVFFARLILAELNKDVKGLRCVVSGYGKIAMHVLEKLVAVGALPITVSDSKGYLVDEDGFDYMKISFLRDLKSQQRSLRDYSKTYARSKYYDEAKPWNERCDFAIPCGSQNEIDQADAINLVNSGCRILVEGSNMPCTPEAVDVLKKANVIIAPAMAAGAGGVVAGEIELNHECNVMHWSPEDFESKLQEAMKQTFHRALKAADDFGYQKESPEALLHGAVISAFLTIAQAMTDQGCV >KJB70315 pep chromosome:Graimondii2_0_v6:11:6008808:6016956:1 gene:B456_011G067700 transcript:KJB70315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLLPGGGLGMNPTMDDMNLIQAQRHLVRDIGEEIDLEIGPGDDDPSFANTPLLGGPSQEPSAEEQGESKQMSMVSEIPNADQDILKAQPAKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKPIYVKALMSKTAGSIIEAALKRDPHEAEFIQSVQEAVHALERVIAKNPHYVNIMERLLEPERMIIFRVPWVDDRGETHVNRGFRVHFNQALGPCRGGIRFHPKMNLSVAKFLGFQQTLKNALSPYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMNEIYRYFGTDKDLPSEEMGVGIREMGFLFGQYRRLAGNFQGSFTGPRIFWSGSSLRTEATAYGLVFFARLILAELNKDVKGLRCVVSGYGKIAMHVLEKLVAVGALPITVSDSKGYLVDEDGFDYMKISFLRDLKSQQRSLRDYSKTYARSKYYDEAKPWNERCDFAIPCGSQNEIDQADAINLVNSGCRILVEGSNMPCTPEAVDVLKKANVIIAPAMAAGAGGVVAGEIELNHECNVMHWSPEDFESKLQEAMKQTFHRALKAADDFGYQKESPEALLHGAVISAFLTIAQAMTDQGCV >KJB70316 pep chromosome:Graimondii2_0_v6:11:6010196:6016092:1 gene:B456_011G067700 transcript:KJB70316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLLPGGGLGMNPTMDDMNLIQAQRHLVRDIGEEIDLEIGPGDDDPSFANTPLLGGPSQEPSAEEQGESKQMSMVSEIPNADQDILKAQPAKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKPIYVKALMSKTAGSIIEAALKRDPHEAEFIQSVQEAVHALERVIAKNPHYVNIMERLLEPERMIIFRVPWVDDRGETHVNRGFRVHFNQALGPCRGGIRFHPKMNLSVAKFLGFQQTLKNALSPYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMNEIYRYFGTDKDLPSEEMGVGIREMGFLFGQYRRLAGNFQGSFTGPRIFWSGSSLRTEATAYGLVFFARLILAELNKDVKGLRCVVSGYGKIAMHVLEKLVAVGALPITVSDSKGYLVDEDGFDYMKISFLRDLKSQQRSLRDYSKTYARSKYYDEAKPWNERCDFAIPCGSQNEIDQADAINLVNSGCRILVEGSNMPCTPEAVDVLKKANVIIAPAMAAGAGGVVAGEIELNHECNVMHWSPEDFESKLQEAMKQTFHRALKAADDFGYQKESPE >KJB70314 pep chromosome:Graimondii2_0_v6:11:6008808:6016956:1 gene:B456_011G067700 transcript:KJB70314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLLPGGGLGMNPTMDDMNLIQAQRHLVRDIGEEIDLEIGPGDDDPSFANTPLLGGPSQEPSAEEQGESKQMSMVSEIPNADQDILKAQPAKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKPIYVKALMSKTAGSIIEAALKRDPHEAEFIQSVQEAVHALERVIAKNPHYVNIMERLLEPERMIIFRVPWVDDRGETHVNRGFRVHFNQALGPCRGGIRFHPKMNLSVAKFLGFQQTLKNALSPYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMNEIYRYFGTDKDLPSEEMGVGIREMGFLFGQYRRLAGNFQGSFTGPRIFWSGSSLRTEATAYGLVFFARLILAELNKDVKGLRCVVSGYGKIAMHVLEKLVAVGALPITVSDSKGYLVDEDGFDYMKISFLRDLKSQQRSLRDYSKTYARSKYYDEAKPWNERCDFAIPCGSQNEIDQADAINLVNSGCRILVEGSNMPCTPEAVDVLKKANVIIAPAMAAGAGGVVAGEIELNHECNVMHWSPEDFESKLQEAMKQTFHRALKAADDFGYQKESPEALLHGAVISAFLTIAQAMTDQGCV >KJB69271 pep chromosome:Graimondii2_0_v6:11:976559:982911:-1 gene:B456_011G013800 transcript:KJB69271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQKRKQQKNNEQKEDSSIPKLSEFVEPNNREIELKVDEVENKEEKEDLSSIGKELDIRLSNHNVVNGNGNGMILGDVVGLEKKEISSENGTASIGLEKKEIGSENGTVSTLRDVVSEGKHIDDTKTGEIVTVEVESVEVDEKTVQDKSLQLKLEMETNLRKQEIEGLADENFLRGDKFFVYPQIIKPDGEIEVFFNRSLSNLKNEPDVLIMGSFNDWRWRSFTVRLNKTHLKGDWWSCLIRVPKEAYKMDFVFFNGQNVYENNDKNDFCITVEGGIDVFAFEDILVEEKRMELEKIAKEQAEKERQEEEQRRIGVEKAASEAERAQARIEIERRREILQQLMKKAAQSFDNIWFIEPKEFKGAEKVRLYYNKSSSHLTHANELWIHGGHNNWNDGLTIAEKLVKSEKEGGDWWYAEVVIPDGALVLDWVFANGPPKAATIYDNNNRQDFHAIVQKSIPEEQFWVEEEHRIFEKLQKDRKLREEAIHAKAEKTTQMKGEMKERTLKRFLLSQKNVVYTEPLDIHAGSMVTIFYNPANTVLNGKPEVWFRCSFNRWTHSMGPLPPQRMLPVDNGFHVKATVKVPLDAYMMDFVFSEREDGGIFDNNGGTDYHIRVVGGIVKEPPMHVVHIAVEMAPIAKVGGLGDIVTSLSRAVQDLNHNVNIVFPKYDCLKFEHVTDFHYQRCYSWGGTEIEVWFGKVEGLSVYFLDPQNGFFSTGCVYGRGNDAQRFGFFCHAALEFLHQSGFHPDIIHCHDWSSAPVAWLLKDHYMHYDGLSKSRVVFTIHNLEFGAHFIAKAMVYADKATTVSHTYSKEVAGNPAIAPHLHKFHGILNGIDLDIWDPFNDKFIPVSYNSENVIEGKQAAKKALQQRLGLKKSDLPLVGIISRLTHQKGIHLIKHAISRTLELNGQVVLLGSAPDPRIQNDFVNLANQLHSSHADRARLCLNYDEPLSHLIYAGSDFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGLYDTVFDVDNDKARAEAQGLEPNGFNFDGADGSGVDYALNRAITAWYGARDWFNSLCKTVMEQDWSWNRPALEYIELYHATKKY >KJB69274 pep chromosome:Graimondii2_0_v6:11:977332:982588:-1 gene:B456_011G013800 transcript:KJB69274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLQLQRFSSYGCFKIEPFLDSFPSVKTTQFTPWRSKCSVICLSHRVTASAADFSKRRQRRLSKGATPKGFTSKSRMSTQKRKQQKNNEQKEDSSIPKLSEFVEPNNREIELKVDEVENKEEKEDLSSIGKELDIRLSNHNVVNGNGNGMILGDVVGLEKKEISSENGTASIGLEKKEIGSENGTVSTLRDVVSEGKHIDDTKTGEIVTVEVESVEVDEKTVQDKSLQLKLEMETNLRKQEIEGLADENFLRGDKFFVYPQIIKPDGEIEVFFNRSLSNLKNEPDVLIMGSFNDWRWRSFTVRLNKTHLKGDWWSCLIRVPKEAYKMDFVFFNGQNVYENNDKNDFCITVEGGIDVFAFEDILVEEKRMELEKIAKEQAEKERQEEEQRRIGVEKAASEAERAQARIEIERRREILQQLMKKAAQSFDNIWFIEPKEFKGAEKVRLYYNKSSSHLTHANELWIHGGHNNWNDGLTIAEKLVKSEKEGGDWWYAEVVIPDGALVLDWVFANGPPKAATIYDNNNRQDFHAIVQKSIPEEQFWVEEEHRIFEKLQKDRKLREEAIHAKAEKTTQMKGEMKERTLKRFLLSQKNVVYTEPLDIHAGSMVTIFYNPANTVLNGKPEVWFRCSFNRWTHSMGPLPPQRMLPVDNGFHVKATVKVPLDAYMMDFVFSEREDGGIFDNNGGTDYHIRVVGGIVKEPPMHVVHIAVEMAPIAKVGGLGDIVTSLSRAVQDLNHNVNIVFPKYDCLKFEHVTDFHYQRCYSWGGTEIEVWFGKVEGLSVYFLDPQNGFFSTGCVYGRGNDAQRFGFFCHAALEFLHQSGFHPDIIHCHDWSSAPVAWLLKDHYMHYDGLSKSRVVFTIHNLEFGAHFIAKAMVYADKATTVSHTYSKEVAGNPAIAPHLHKFHGILNGIDLDIWDPFNDKFIPVSYNSENVIEGKQAAKKALQQRLGLKKSDLPLVGIISRLTHQKGIHLIKHAISRTLELNGQVVLLGSAPDPRIQNDFVNLANQLHSSHADRARLCLNYDEPLSHLIYAGSDFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGAYSSLNSVLLSVIITLC >KJB69270 pep chromosome:Graimondii2_0_v6:11:976783:982077:-1 gene:B456_011G013800 transcript:KJB69270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQKRKQQKNNEQKEDSSIPKLSEFVEPNNREIELKVDEVENKEEKEDLSSIGKELDIRLSNHNVVNGNGNGMILGDVVGLEKKEISSENGTASIGLEKKEIGSENGTVSTLRDVVSEGKHIDDTKTGEIVTVEVESVEVDEKTVQDKSLQLKLEMETNLRKQEIEGLADENFLRGDKFFVYPQIIKPDGEIEVFFNRSLSNLKNEPDVLIMGSFNDWRWRSFTVRLNKTHLKGDWWSCLIRVPKEAYKMDFVFFNGQNVYENNDKNDFCITVEGGIDVFAFEDILVEEKRMELEKIAKEQAEKERQEEEQRRIGVEKAASEAERAQARIEIERRREILQQLMKKAAQSFDNIWFIEPKEFKGAEKVRLYYNKSSSHLTHANELWIHGGHNNWNDGLTIAEKLVKSEKEGGDWWYAEVVIPDGALVLDWVFANGPPKAATIYDNNNRQDFHAIVQKSIPEEQFWVEEEHRIFEKLQKDRKLREEAIHAKAEKTTQMKGEMKERTLKRFLLSQKNVVYTEPLDIHAGSMVTIFYNPANTVLNGKPEVWFRCSFNRWTHSMGPLPPQRMLPVDNGFHVKATVKVPLDAYMMDFVFSEREDGGIFDNNGGTDYHIRVVGGIVKEPPMHVVHIAVEMAPIAKVGGLGDIVTSLSRAVQDLNHNVNIVFPKYDCLKFEHVTDFHYQRCYSWGGTEIEVWFGKVEGLSVYFLDPQNGFFSTGCVYGRGNDAQRFGFFCHAALEFLHQSGFHPDIIHCHDWSSAPVAWLLKDHYMHYDGLSKSRVVFTIHNLEFGAHFIAKAMVYADKATTVSHTYSKEVAGNPAIAPHLHKFHGILNGIDLDIWDPFNDKFIPVSYNSENVIEGKQAAKKALQQRLGLKKSDLPLVGIISRLTHQKGIHLIKHAISRTLELNGQVVLLGSAPDPRIQNDFVNLANQLHSSHADRARLCLNYDEPLSHLIYAGSDFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGLYDTVFDVDNDKARAEAQGLEPNGFNFDGADGSGVDYALNRAITAWYGARDWFNSLCKTVMEQDWSWNRPALEYIELYHATKKY >KJB69273 pep chromosome:Graimondii2_0_v6:11:976559:982911:-1 gene:B456_011G013800 transcript:KJB69273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQKRKQQKNNEQKEDSSIPKLSEFVEPNNREIELKVDEVENKEEKEDLSSIGKELDIRLSNHNVVNGNGNGMILGDVVGLEKKEISSENGTASIGLEKKEIGSENGTVSTLRDVVSEGKHIDDTKTGEIVTVEVESVEVDEKTVQDKSLQLKLEMETNLRKQEIEGLADENFLRGDKFFVYPQIIKPDGEIEVFFNRSLSNLKNEPDVLIMGSFNDWRWRSFTVRLNKTHLKGDWWSCLIRVPKEAYKMDFVFFNGQNVYENNDKNDFCITVEGGIDVFAFEDILVEEKRMELEKIAKEQAEKERQEEEQRRIGVEKAASEAERAQARIEIERRREILQQLMKKAAQSFDNIWFIEPKEFKGAEKVRLYYNKSSSHLTHANELWIHGGHNNWNDGLTIAEKLVKSEKEGGDWWYAEVVIPDGALVLDWVFANGPPKAATIYDNNNRQDFHAIVQKSIPEEQFWVEEEHRIFEKLQKDRKLREEAIHAKAEKTTQMKGEMKERTLKRFLLSQKNVVYTEPLDIHAGSMVTIFYNPANTVLNGKPEVWFRCSFNRWTHSMGPLPPQRMLPVDNGFHVKATVKVPLDAYMMDFVFSEREDGGIFDNNGGTDYHIRVVGGIVKEPPMHVVHIAVEMAPIAKVGGLGDIVTSLSRAVQDLNHNVNIVFPKYDCLKFEHVTDFHYQRCYSWGGTEIEVWFGKVEGLSVYFLDPQNGFFSTGCVYGRGNDAQRFGFFCHAALEFLHQSGFHPDIIHCHDWSSAPVAWLLKDHYMHYDGLSKSRVVFTIHNLEFGAHFIAKAMVYADKATTVSHTYSKEVAGNPAIAPHLHKFHGILNGIDLDIWDPFNDKFIPVSYNSENVIEGKQAAKKALQQRLGLKKSDLPLVGIISRLTHQKGIHLIKHAISRTLELNGQVVLLGSAPDPRIQNDFVNLANQLHSSHADRARLCLNYDEPLSHLIYAGSDFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGLYDTVFDVDNDKARAEAQGLEPNGFNFDGADGSGVDYALNRAITAWYGARDWFNSLCKTVMEQDWSWNRPALEYIELYHATKKY >KJB69272 pep chromosome:Graimondii2_0_v6:11:976928:982911:-1 gene:B456_011G013800 transcript:KJB69272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLQLQRFSSYGCFKIEPFLDSFPSVKTTQFTPWRSKCSVICLSHRVTASAADFSKRRQRRLSKGATPKGFTSKSRMSTQKRKQQKNNEQKEDSSIPKLSEFVEPNNREIELKVDEVENKEEKEDLSSIGKELDIRLSNHNVVNGNGNGMILGDVVGLEKKEISSENGTASIGLEKKEIGSENGTVSTLRDVVSEGKHIDDTKTGEIVTVEVESVEVDEKTVQDKSLQLKLEMETNLRKQEIEGLADENFLRGDKFFVYPQIIKPDGEIEVFFNRSLSNLKNEPDVLIMGSFNDWRWRSFTVRLNKTHLKGDWWSCLIRVPKEAYKMDFVFFNGQNVYENNDKNDFCITVEGGIDVFAFEDILVEEKRMELEKIAKEQAEKERQEEEQRRIGVEKAASEAERAQARIEIERRREILQQLMKKAAQSFDNIWFIEPKEFKGAEKVRLYYNKSSSHLTHANELWIHGGHNNWNDGLTIAEKLVKSEKEGGDWWYAEVVIPDGALVLDWVFANGPPKAATIYDNNNRQDFHAIVQKSIPEEQFWVEEEHRIFEKLQKDRKLREEAIHAKAEKTTQMKGEMKERTLKRFLLSQKNVVYTEPLDIHAGSMVTIFYNPANTVLNGKPEVWFRCSFNRWTHSMGPLPPQRMLPVDNGFHVKATVKVPLDAYMMDFVFSEREDGGIFDNNGGTDYHIRVVGGIVKEPPMHVVHIAVEMAPIAKVGGLGDIVTSLSRAVQDLNHNVNIVFPKYDCLKFEHVTDFHYQRCYSWGGTEIEVWFGKVEGLSVYFLDPQNGFFSTGCVYGRGNDAQRFGFFCHAALEFLHQSGFHPDIIHCHDWSSAPVAWLLKDHYMHYDGLSKSRVVFTIHNLEFGAHFIAKAMVYADKATTVSHTYSKEVAGNPAIAPHLHKFHGILNGIDLDIWDPFNDKFIPVSYNSENVIEGKQAAKKALQQRLGLKKSDLPLVGIISRLTHQKGIHLIKHAISRTLELNGQVVLLGSAPDPRIQNDFVNLANQLHSSHADRARLCLNYDEPLSHLIYAGSDFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGAYSSLNSVLLSVIITLC >KJB69269 pep chromosome:Graimondii2_0_v6:11:976540:982993:-1 gene:B456_011G013800 transcript:KJB69269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLQLQRFSSYGCFKIEPFLDSFPSVKTTQFTPWRSKCSVICLSHRVTASAADFSKRRQRRLSKGATPKGFTSKSRMSTQKRKQQKNNEQKEDSSIPKLSEFVEPNNREIELKVDEVENKEEKEDLSSIGKELDIRLSNHNVVNGNGNGMILGDVVGLEKKEISSENGTASIGLEKKEIGSENGTVSTLRDVVSEGKHIDDTKTGEIVTVEVESVEVDEKTVQDKSLQLKLEMETNLRKQEIEGLADENFLRGDKFFVYPQIIKPDGEIEVFFNRSLSNLKNEPDVLIMGSFNDWRWRSFTVRLNKTHLKGDWWSCLIRVPKEAYKMDFVFFNGQNVYENNDKNDFCITVEGGIDVFAFEDILVEEKRMELEKIAKEQAEKERQEEEQRRIGVEKAASEAERAQARIEIERRREILQQLMKKAAQSFDNIWFIEPKEFKGAEKVRLYYNKSSSHLTHANELWIHGGHNNWNDGLTIAEKLVKSEKEGGDWWYAEVVIPDGALVLDWVFANGPPKAATIYDNNNRQDFHAIVQKSIPEEQFWVEEEHRIFEKLQKDRKLREEAIHAKAEKTTQMKGEMKERTLKRFLLSQKNVVYTEPLDIHAGSMVTIFYNPANTVLNGKPEVWFRCSFNRWTHSMGPLPPQRMLPVDNGFHVKATVKVPLDAYMMDFVFSEREDGGIFDNNGGTDYHIRVVGGIVKEPPMHVVHIAVEMAPIAKVGGLGDIVTSLSRAVQDLNHNVNIVFPKYDCLKFEHVTDFHYQRCYSWGGTEIEVWFGKVEGLSVYFLDPQNGFFSTGCVYGRGNDAQRFGFFCHAALEFLHQSGFHPDIIHCHDWSSAPVAWLLKDHYMHYDGLSKSRVVFTIHNLEFGAHFIAKAMVYADKATTVSHTYSKEVAGNPAIAPHLHKFHGILNGIDLDIWDPFNDKFIPVSYNSENVIEGKQAAKKALQQRLGLKKSDLPLVGIISRLTHQKGIHLIKHAISRTLELNGQVVLLGSAPDPRIQNDFVNLANQLHSSHADRARLCLNYDEPLSHLIYAGSDFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGLYDTVFDVDNDKARAEAQGLEPNGFNFDGADGSGVDYALNRAITAWYGARDWFNSLCKTVMEQDWSWNRPALEYIELYHATKKY >KJB71133 pep chromosome:Graimondii2_0_v6:11:12543411:12545986:-1 gene:B456_011G107200 transcript:KJB71133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSRTLYVGNLPGDTRMREVEDFFYKYGPIVDIDLKIPPRPHGYAFVEDPRDAEDAIRGRDGYKFNGNRLRVELAHGGRRPPSSVDRYSSYSGNSRRGPSRRSDYRVLVTGLPSSASWQNLKDHIRKAGDVCFSQVFRDRMIGIVDYTNYDDMKYVGETMEMSLARLVPLTKKSN >KJB71132 pep chromosome:Graimondii2_0_v6:11:12543985:12545986:-1 gene:B456_011G107200 transcript:KJB71132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSRTLYVGNLPGDTRMREVEDFFYKYGPIVDIDLKIPPRPHGYAFVEDPRDAEDAIRGRDGYKFNGNRLRVELAHGGRRPPSSVDRYSSYSGNSRRGPSRRSDYRVLVTGLPSSASWQNLKDHIRKAGDVCFSQVFRDRMIGIVDYTNYDDMKYVIRKLDDSEFRNPFSQAYMRVEYDSRYDSRRSYFRSPSRSPYSRNPNLELNLSILFQQVKLWR >KJB69884 pep chromosome:Graimondii2_0_v6:11:3744664:3752083:-1 gene:B456_011G048200 transcript:KJB69884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSAGFNPPNQEDTAGEKRVLNSELWHACAGPLVSLPHVGSRVVYFPQGHSEQVAATTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKEAYLPAELGTPGKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVITLSKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFHGLKDDDLGMSSLMWLQGDAGRGMQHLNFQGIGVSPWMQSRLDASMLGLQTDMYQAMAAAALQEMRTVDPSRSGTASLQFQQPQNGPCRPAALVQPQMLQQTLPQAILQGVEDNQHQSQPHLLQQQLQHQNSFNNQQQHQQQPLFNNQQQQQPQHSMSQQHQQLVDHQQIPSPVSAMSQYASASQSQSSPLQSIPSLGQQQSFSDSNGNPVTSPVVSPLHSLLGSFPQDESSNLLNLPRTNPIMTTSAWPSKRVAVDVLSSGSPQCVLPQVEQLGPSQTNMSQNSISLPPFPGRECSIDQGGTDSQSHLLFGVNIEPSSVLMQNGMSGLRGVGTDSDSTSIPFFSNYMSTAGNDFSVNPAMTPSSCIDESGFLQSQENVGQSNPQTRTFVKGVREQCLVYQDTFPTGSAANGQTRPGASKLCASSEAL >KJB69885 pep chromosome:Graimondii2_0_v6:11:3744664:3752083:-1 gene:B456_011G048200 transcript:KJB69885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSAGFNPPNQEDTAGEKRVLNSELWHACAGPLVSLPHVGSRVVYFPQGHSEQVAATTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKEAYLPAELGTPGKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVITLSKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFHGLKDDDLGMSSLMWLQGDAGRGMQHLNFQGIGVSPWMQSRLDASMLGLQTDMYQAMAAAALQEMRTVDPSRSGTASLQFQQPQNGPCRPAALVQPQMLQQTLPQAILQGVEDNQHQSQPHLLQQQLQHQNSFNNQQQHQQQPLFNNQQQQQPQHSMSQQHQQLVDHQQIPSPVSAMSQYASASQSQSSPLQSIPSLGQQQSFSDSNGNPVTSPVVSPLHSLLGSFPQDESSNLLNLPRTNPIMTTSAWPSKRVAVDVLSSGSPQCVLPQVEQLGPSQTNMSQNSISLPPFPGRECSIDQGGTDSQSHLLFGVNIEPSSVLMQNGMSGLRGVGTDSDSTSIPFFSNYMSTAGNDFSVNPAMTPSSCIDESGFLQSQENVGQSNPQTRTFVKVYKSGSFGRSLDISAFSNYNELRSELAHMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPVWCIKILSPQEVQQMGKRGLELLNSVPVQRLSNGSCDDYVSRQDSRNLSSGIASVGSLDY >KJB69886 pep chromosome:Graimondii2_0_v6:11:3744664:3752182:-1 gene:B456_011G048200 transcript:KJB69886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSAGFNPPNQEDTAGEKRVLNSELWHACAGPLVSLPHVGSRVVYFPQGHSEQVAATTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKEAYLPAELGTPGKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVITLSKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFHGLKDDDLGMSSLMWLQGDAGRGMQHLNFQGIGVSPWMQSRLDASMLGLQTDMYQAMAAAALQEMRTVDPSRSGTASLQFQQPQNGPCRPAALVQPQMLQQTLPQAILQGVEDNQHQSQPHLLQQQLQHQNSFNNQQQHQQQPLFNNQQQQQPQHSMSQQHQQLVDHQQIPSPVSAMSQYASASQSQSSPLQSIPSLGQQQSFSDSNGNPVTSPVVSPLHSLLGSFPQDESSNLLNLPRTNPIMTTSAWPSKRVAVDVLSSGSPQCVLPQVEQLGPSQTNMSQNSISLPPFPGRECSIDQGGTDSQSHLLFGVNIEPSSVLMQNGMSGLRGVGTDSDSTSIPFFSNYMSTAGNDFSVNPAMTPSSCIDESGFLQSQENVGQSNPQTRTFVKVYKSGSFGRSLDISAFSNYNELRSELAHMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQQMGKRGLELLNSVPVQRLSNGSCDDYVSRQDSRNLSSGIASVGSLDY >KJB70680 pep chromosome:Graimondii2_0_v6:11:9060736:9061954:1 gene:B456_011G086800 transcript:KJB70680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDPTHQYLLQCLTQSIPTDIASSIIISKSNPPYTSVLRAYTRNARFNTSSTPKPVIIITPFPPPLFVPKSLGFNSKFVVAALITKGYLMFLINPFLFSICLNLRSVSVNMADETAWVDAGATLGELFITFGKTVKFMGFGRGFVLLSASVVI >KJB72422 pep chromosome:Graimondii2_0_v6:11:41410598:41417322:-1 gene:B456_011G177400 transcript:KJB72422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSRRKEKHEKVGELPQHDSNEGTSARTRPFNYDELMSKRNSKKVDEKVESVKEGNTEVRKIEKDSLIHKDFNVNRYDGGNGHGKEFSVGHERHLLGDREKRSSRKKIENADRRNDSISKQKARENHEPERRLKSEVRKDTDAKDESKYEKQTHVKRKTEKTAGGSENVYAKKHTRDLVERDRHVSRNEGKSERDDKRKHQTRDDEENRERNTTKRHETRKGHASETSDRKEKKEPSRSHHEDSNHKRRRSQSRECEDRHRRSISLSPRVHKRASHHVSEHELSSHGVKVRSGKHSDDRSRMTSNGSSGHHRRHGGSSSGLGGYSPRKRKTEASVRTPSPVHRSMEKRTAKWDLAPAETENMVSGSASTNLQASSQLVSINMHAMVNALPSVSVTGNPLVVSSTGSLSFDSVQLTEATRPMRRLYVENVPASASEKAMMESFNNFLLSSGVNHIRGTKPCISCIIHKGKGQALVEFLTPEDASAALSFDGSTLSGSILKIRRPKDFVEVTGEPEKSKATVTTVNDVVEGSHDRIFIGGISKALSSEMFVEIANAFGPLKAYHFDNNEDLHEQYAILEYVDQSVTHKACAGLNGMKLGGQVITAVQAVLDGFSSGNGGDRNSCIIPQLARPLLQKPTQVLKLKNLFPEDFSSLSEAEVEEILEDVRLECSRFGTIKSVNVVKHAKSIMANGENKMNDNMRETGTGEKLADDEINVETETMEEVTYGNSGRTAVVNFPSNSHKLVAGNSYNDEKPAGNLLDNELCRQGEFEGDINNEDVNHGSLDDELYPPGGLDSNSSAGAQLDTEMAVEDLTLEIVGKTISREAPNPIHTSKEESNYHFDRNADKIKSEAMNVEKISVVEENANLEEVNRKLPEGLSKIEDPSFKSESITSFQEIPAQLNTQKEEPDSQNDKVDDNIKSEIINVDKKLVPKEELQQEVGAGKLPKAVDGSAGSTRMEFNAIEKDENREKNNLKKIFEPGCVFVQYRRTEASCTAAHSIHGRLFDNRIVTVEYIDPNLYRSAGTICCNI >KJB72421 pep chromosome:Graimondii2_0_v6:11:41410598:41416950:-1 gene:B456_011G177400 transcript:KJB72421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSRRKEKHEKVGELPQHDSNEGTSARTRPFNYDELMSKRNSKKVDEKVESVKEGNTEVRKIEKDSLIHKDFNVNRYDGGNGHGKEFSVGHERHLLGDREKRSSRKKIENADRRNDSISKQKARENHEPERRLKSEVRKDTDAKDESKYEKQTHVKRKTEKTAGGSENVYAKKHTRDLVERDRHVSRNEGKSERDDKRKHQTRDDEENRERNTTKRHETRKGHASETSDRKEKKEPSRSHHEDSNHKRRRSQSRECEDRHRRSISLSPRVHKRASHHVSEHELSSHGVKVRSGKHSDDRSRMTSNGSSGHHRRHGGSSSGLGGYSPRKRKTEASVRTPSPVHRSMEKRTAKWDLAPAETENMVSGSASTNLQASSQLVSINMHAMVNALPSVSVTGNPLVVSSTGSLSFDSVQLTEATRPMRRLYVENVPASASEKAMMESFNNFLLSSGVNHIRGTKPCISCIIHKGKGQALVEFLTPEDASAALSFDGSTLSGSILKIRRPKDFVEVTGEPEKSKATVTTVNDVVEGSHDRIFIGGISKALSSEMFVEIANAFGPLKAYHFDNNEDLHEQYAILEYVDQSVTHKACAGLNGMKLGGQVITAVQAVLDGFSSGNGGDRNSCIIPQLARPLLQKPTQVLKLKNLFPEDFSSLSEAEVEEILEDVRLECSRFGTIKSVNVVKHAKSIMANGENKMNDNMRETGTGEKLADDEINVETETMEEVTYGNSGRTAVVNFPSNSHKLVAGNSYNDEKPAGNLLDNELCRQGEFEGDINNEDVNHGSLDDELYPPGGLDSNSSAGAQLDTEMAVEDLTLEIVGKTISREAPNPIHTSKEESNYHFDRNADKIKSEAMNVEKISVVEENANLEEVNRKLPEGLSKIEDPSFKSESITSFQEIPAQLNTQKEEPDSQNDKVDDNIKSEIINVDKKLVPKEELQQEVGAGKLPKAVDGSAGSTRMEFNAIEKDENREKNNLKKIFEPGCVFVQYRRTEASCTAAHSIHGRLFDNRIVTVEYIDPNLYRVKFPE >KJB72420 pep chromosome:Graimondii2_0_v6:11:41411094:41416604:-1 gene:B456_011G177400 transcript:KJB72420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSRRKEKHEKVGELPQHDSNEGTSARTRPFNYDELMSKRNSKKVDEKVESVKEGNTEVRKIEKDSLIHKDFNVNRYDGGNGHGKEFSVGHERHLLGDREKRSSRKKIENADRRNDSISKQKARENHEPERRLKSEVRKDTDAKDESKYEKQTHVKRKTEKTAGGSENVYAKKHTRDLVERDRHVSRNEGKSERDDKRKHQTRDDEENRERNTTKRHETRKGHASETSDRKEKKEPSRSHHEDSNHKRRRSQSRECEDRHRRSISLSPRVHKRASHHVSEHELSSHGVKVRSGKHSDDRSRMTSNGSSGHHRRHGGSSSGLGGYSPRKRKTEASVRTPSPVHRSMEKRTAKWDLAPAETENMVSGSASTNLQASSQLVSINMHAMVNALPSVSVTGNPLVVSSTGSLSFDSVQLTEATRPMRRLYVENVPASASEKAMMESFNNFLLSSGVNHIRGTKPCISCIIHKGKGQALVEFLTPEDASAALSFDGSTLSGSILKIRRPKDFVEVTGEPEKSKATVTTVNDVVEGSHDRIFIGGISKALSSEMFVEIANAFGPLKAYHFDNNEDLHEQYAILEYVDQSVTHKACAGLNGMKLGGQVITAVQAVLDGFSSGNGGDRNSCIIPQLARPLLQKPTQVLKLKNLFPEDFSSLSEAEVEEILEDVRLECSRFGTIKSVNVVKHAKSIMANGENKMNDNMRETGTGEKLADDEINVETETMEEVTYGNSGRTAVVNFPSNSHKLVAGNSYNDEKPAGNLLDNELCRQGEFEGDINNEDVNHGSLDDELYPPGGLDSNSSAGAQLDTEMAVEDLTLEIVGKTISREAPNPIHTSKEESNYHFDRNADKIKSEAMNVEKISVVEENANLEEVNRKLPEGLSKIEDPSFKSESITSFQEIPAQLNTQKEEPDSQNDKVDDNIKSEIINVDKKLVPKEELQQEVGAGKLPKAVDGSAGSTRMEFNAIEKDENREKNNLKKIFEPGCVFVQYRRTEASCTAAHSIHGRLFDNRIVTVEYIDPNLYRVKFPE >KJB72794 pep chromosome:Graimondii2_0_v6:11:47878166:47880027:-1 gene:B456_011G198100 transcript:KJB72794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCKHGDEEFDTQANEETAKGKKYGGLAPKKKPLISKDHERAFFDSADWALCKQGAGVNQKSTVAIETLRPKLQRTPHQQLPPRRPTCTSGRDNMVIDLMNWCENLMKVMKMGRFIYIYVNYLSFSVCLLRR >KJB72792 pep chromosome:Graimondii2_0_v6:11:47878156:47880074:-1 gene:B456_011G198100 transcript:KJB72792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCKHGDEEFDTQANEETAKGKKYGGLAPKKKPLISKDHERAFFDSADWALCKQGAGVNQKSTVAIETLRPKLQRTPHQQLPPRRPTCTSGRDNMGQ >KJB72793 pep chromosome:Graimondii2_0_v6:11:47878487:47879762:-1 gene:B456_011G198100 transcript:KJB72793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCKHGDEEFDTQANEETAKGKKYGGLAPKKKPLISKDHERAFFDSADWALCKQGAGVNQKSTVAIETLRPKLQRTPHQQLPPRRPTCTSGRDNMVS >KJB70192 pep chromosome:Graimondii2_0_v6:11:5497456:5499858:-1 gene:B456_011G065100 transcript:KJB70192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTLLAKPNINNLLSSTAMALCSAFCPRISANFRPKPFSSSPSKPLLSLSFKVFSSQATDASSQDKFSGRVGFLGLGIMGSPMAQNLIKAGCDVIVWNRTKSKCDPLISLGAKYSSSPEEVAANCDVTFAMLADPESAIDVACGKNGAVSGMGPGKGYVDISTVDVATSKLINEHIKARGALFLEAPVSGSKKPAEDGQLIFLTAGDRSLYELVSPLLDILGKVFCLVSHNTQIFIGGILKPVIELVIASNS >KJB70194 pep chromosome:Graimondii2_0_v6:11:5496376:5500001:-1 gene:B456_011G065100 transcript:KJB70194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTLLAKPNINNLLSSTAMALCSAFCPRISANFRPKPFSSSPSKPLLSLSFKVFSSQATDASSQDKFSGRVGFLGLGIMGSPMAQNLIKAGCDVIVWNRTKSKCDPLISLGAKYSSSPEEVAANCDVTFAMLADPESAIDVACGKNGAVSGMGPGKGYVDISTVDVATSKLINEHIKARGALFLEAPVSGSKKPAEDGQLIFLTAGDRSLYELVSPLLDILGKSRFYLGEVGNGAAMKLVVNMIMGSMMASFSEGILLSKKVGLDPSVLVEVVSQGAISAPMYSLKGPSMVKSQYPTAFPLKHQQKDLRLALGLAESVSQSTPIAAAANELYKVAKSYGLSDEDFSAVIEALKAKSQDTA >KJB70191 pep chromosome:Graimondii2_0_v6:11:5496376:5499858:-1 gene:B456_011G065100 transcript:KJB70191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTLLAKPNINNLLSSTAMALCSAFCPRISANFRPKPFSSSPSKPLLSLSFKVFSSQATDASSQDKFSGRVGFLGLGIMGSPMAQNLIKAGCDVIVWNRTKSKCDPLISLGAKYSSSPEEVAANCDVTFAMLADPESAIDVACGKNGAVSGMGPGKGYVDISTVDVATSKLINEHIKARGALFLEAPVSGSKKPAEDGQLIFLTAGDRSLYELVSPLLDILGKSRFYLGEVGNGAAMKLVVNMIMGSMMASFSEGILLSKKVGLDPSVLVEVVSQGAISAPMYSLKGPSMVKSQYPTAFPLKHQQKV >KJB70190 pep chromosome:Graimondii2_0_v6:11:5496376:5499858:-1 gene:B456_011G065100 transcript:KJB70190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTLLAKPNINNLLSSTAMALCSAFCPRISANFRPKPFSSSPSKPLLSLSFKVFSSQATDASSQDKFSGRVGFLGLGIMGSPMAQNLIKAGCDVIVWNRTKSKCDPLISLGAKYSSSPEEVAANCDVTFAMLADPESAIDVACGKNGAVSGMGPGKGYVDISTVDVATSKLINEHIKARGALFLEAPVSGSKKPAEDGQLIFLTAGDRSLYELVSPLLDILGKSRFYLGEVGNGAAMKLVVNMIMGR >KJB70193 pep chromosome:Graimondii2_0_v6:11:5496376:5499949:-1 gene:B456_011G065100 transcript:KJB70193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTLLAKPNINNLLSSTAMALCSAFCPRISANFRPKPFSSSPSKPLLSLSFKVFSSQATDASSQDKFSGRVGFLGLGIMGSPMAQNLIKAGCDVIVWNRTKSKCDPLISLGAKYSSSPEEVAANCDVTFAMLADPESAIDVACGKNGAVSGMGPGKGYVDISTVDVATSKLINEHIKARGALFLEAPVSGSKKPAEDGQLIFLTAGDRSLYELVSPLLDILGKSRFYLGEVGNGAAMKLVVNMIMGSMMASFSEGILLSKKVGLDPSVLVEVVSQGAISAPMYSLKGPSMVKSQYPTAFPLKHQQKKLMLTQDLRLALGLAESVSQSTPIAAAANELYKVAKSYGLSDEDFSAVIEALKAKSQDTA >KJB68782 pep chromosome:Graimondii2_0_v6:11:11808854:11809255:-1 gene:B456_011G102900 transcript:KJB68782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELSIWLSTNSQCYKWVNLNAIKRLVEADALKMEIIPNPKVVNGIKVLQLETAVGVAIREENCTSYGYDVLKCKKFCLTMCFPYVIKFF >KJB72185 pep chromosome:Graimondii2_0_v6:11:32052132:32053936:-1 gene:B456_011G164500 transcript:KJB72185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGSKSAATMLLFLNLGLYIIVTIVAGWAINHAIERTHETASVLSIPARIFPIFFPMGNMATGFFVIFALIAGVVGVSTSVTGLTNVFQWDAPNLNAAAASSLLTWALTLLAMGLACKEINIGWTDANLRTLEVMTIIVSGTQLFCTGAIHAGAEDDALNRMPSASAGTV >KJB72186 pep chromosome:Graimondii2_0_v6:11:32052182:32053777:-1 gene:B456_011G164500 transcript:KJB72186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGSKSAATMLLFLNLGLYIIVTIVAGWAINHAIERTHETASVLSIPARIFPIFFPMGNMATGFFVIFALIAGVVGVSTSVTGLTNVFQWDAPNLNAAAASSLLTWALTLLAMGDQHWLDRCQFADFGSDDNNCERHAAVLHGCYPRWG >KJB72344 pep chromosome:Graimondii2_0_v6:11:38641150:38643816:-1 gene:B456_011G172500 transcript:KJB72344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIEVWDFLDFSFIDENTSPDILLPNYSGREIVVHQEKEFVDRDCSRKRGRSGSCSRPGTKACREKLRREKLNERFLDLSSTLEPGRPARTDKSAILDDAIRVLTQLRTDAQELKETNEKLLEEIKSLKAEKNEIREEKLVLKANKERIEQQLKTLNVSAAGYLPAHPAGYHAAANKMAVFPGYGLVPVWQYLPPSARDTSQDHEHRPPAA >KJB72343 pep chromosome:Graimondii2_0_v6:11:38641127:38644001:-1 gene:B456_011G172500 transcript:KJB72343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIEVWDFLDFSFIDENTSPDILLPNYSSGREIVVHQEKEFVDRDCSRKRGRSGSCSRPGTKACREKLRREKLNERFLDLSSTLEPGRPARTDKSAILDDAIRVLTQLRTDAQELKETNEKLLEEIKSLKAEKNEIREEKLVLKANKERIEQQLKTLNVSAAGYLPAHPAGYHAAANKMAVFPGYGLVPVWQYLPPSARDTSQDHEHRPPAA >KJB72345 pep chromosome:Graimondii2_0_v6:11:38641257:38643784:-1 gene:B456_011G172500 transcript:KJB72345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIEVWDFLDFSFIDENTSPDILLPNYREIVVHQEKEFVDRDCSRKRGRSGSCSRPGTKACREKLRREKLNERFLDLSSTLEPGRPARTDKSAILDDAIRVLTQLRTDAQELKETNEKLLEEIKSLKAEKNEIREEKLVLKANKERIEQQLKTLNVSAAGYLPAHPAGYHAAANKMAVFPGYGLVPVWQYLPPSARDTSQDHEHRPPAA >KJB71344 pep chromosome:Graimondii2_0_v6:11:15630501:15634437:-1 gene:B456_011G117500 transcript:KJB71344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKYSEPSNDHLRVKSDGNRSRRKRHSRLDRDREKGERGRNHDDKRAIRNRKRPGERIRDDDNDKSRRRATVDENSEPDRRNDRRLESENDRHKNRDRRCRSRHDSDDDQEEDHRRRDDRRPESRNDRYRNRDHCRRARHDSDDDDDEKRKGRSNRNTEGENRSDDRRPESENDRYGNSDQHRHSRHVSDDGDEDHGRRRDNNNQPSSRNRDDNSFKEKVKGEIKQKQSAPQETNLNGDTANLGRSGGIYIPPFKLVRMMKETQDKSSDEYQRLTWDALRKSINGLVNKVNATNIKNIVPELFAENLIRGRGLFCRSCMKSQMASPSFTDVFAALVTVVNTKFPEVGDLLLRRIVLQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAHEIIALELLTVLLEYPTDDSVEVAVGFVTECGSLLQDLSPKCLHGIFERFRFFRLKIRKKHWKEKFTKSEDQLERVEYLKLIEELYEKHKDLFSMFNYHYMLKYDDNSHSSNFEKTELFKKQSDEEKTSTDNIHYLELTTKTFIRFFTFG >KJB71845 pep chromosome:Graimondii2_0_v6:11:23070390:23072725:1 gene:B456_011G144400 transcript:KJB71845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETSFARPRLVTKKFLPKHQREGDGAVVRRCIGRSELKTLDPFLMMDDFTVSPPAGFPDHPHRGFETVTYMLQGGITHQDFAGHKGTIHTGDVQWMTAGRGIIHSEMPAGEGVHKGLQLWINLSSQDKMIEPRYQELLSKDIPSAEEEGVEVRVIAGESMGVQSPVYTRTPAMYLDFSLRPSTQVHQHIPESWNAFAYVIEGEGVFGYQNNSPIPPNHMAVLGPGNGLSVWNRSSKPLRFVLIAGQPLNEPVVQYGPFVMNTQAEIDQTIEDYHYSKNGFEMAKHWRSH >KJB71847 pep chromosome:Graimondii2_0_v6:11:23071211:23072464:1 gene:B456_011G144400 transcript:KJB71847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQWMTAGRGIIHSEMPAGEGVHKGLQLWINLSSQDKMIEPRYQELLSKDIPSAEEEGVEVRVIAGESMGVQSPVYTRTPAMYLDFSLRPSTQVHQHIPESWNAFAYVIEGEGVFGYQNNSPIPPNHMAVLGPGNGLSVWNRSSKPLRFVLIAGQPLNEPVVQYGPFVMNTQAEIDQTIEDYHYSKNGFEMAKHWRSH >KJB71846 pep chromosome:Graimondii2_0_v6:11:23070426:23072464:1 gene:B456_011G144400 transcript:KJB71846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGGITHQDFAGHKGTIHTGDVQWMTAGRGIIHSEMPAGEGVHKGLQLWINLSSQDKMIEPRYQELLSKDIPSAEEEGVEVRVIAGESMGVQSPVYTRTPAMYLDFSLRPSTQVHQHIPESWNAFAYVIEGEGVFGYQNNSPIPPNHMAVLGPGNGLSVWNRSSKPLRFVLIAGQPLNEPVVQYGPFVMNTQAEIDQTIEDYHYSKNGFEMAKHWRSH >KJB69481 pep chromosome:Graimondii2_0_v6:11:1904792:1907713:-1 gene:B456_011G025800 transcript:KJB69481 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIP5 [Source:Projected from Arabidopsis thaliana (AT1G61040) UniProtKB/TrEMBL;Acc:A0A178WDP6] MADLENLLLEAAGRTGTGGRNRHSLPPSRRRREGSYSDGGSDSRDDDSDDDHGYASRKPSGSQVPLKKRLDPAERDDDQGSQEEGDYNDAGSGRERDSSDESDVGDDLYKNEEDRRQLAQLTELEREMILSERADKRGDKKFTEKIRSKRENDRPSRSQRETPPLPSRGVRSSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDASRGSSGNRGLSPVKRKPFTASSLSSSSQSESESRSNSEDEGSTGDGGMVDSEDERGTWGPNGPTFNDIKEITIRRSKLAKWLMEPFFEELIVGCFVRVGIGRSKTGAIYRLCMVRNVDATDPDRTYKLENKTTYKYLNVVWGNESSAARWQMAMISDSPPLEEEFRQLIREVERSGGRMPSKQDVLEKKEALQKAKTFVYSAATVKQMLQEKKSSSSRPLNVAAEKDRLRRDLEIAQSKHDDVEVERIKKRLQQLEASRQSQEKDAKAVRLAEMNRKNRVENFKNASGLKPVNTGLKAGEAGYDPFSRRWTRSRNYYNAKAPGGDAAAVANGDTNGAIGSGNGNDAGAAAAEAGRAATAAALQEAAGAGKLVDTNAPVDEGTESNMLHDFELPISLDVLRKFGGHEGAVAGFMARKQRIEATVGCRVPENDGRRHALTLTVSDYKRRRGLL >KJB69482 pep chromosome:Graimondii2_0_v6:11:1904766:1907764:-1 gene:B456_011G025800 transcript:KJB69482 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIP5 [Source:Projected from Arabidopsis thaliana (AT1G61040) UniProtKB/TrEMBL;Acc:A0A178WDP6] MADLENLLLEAAGRTGTGGRNRHSLPPSRRRREGSYSDGGSDSRDDDSDDDHGYASRKPSGSQVPLKKRLDPAERDDDQGSQEEGDYNDAGSGRERDSSDESDVGDDLYKNEEDRRQLAQLTELEREMILSERADKRGDKKFTEKIRSKRENDRPSRSQRETPPLPSRGVRSSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDASRGSSGNRGLSPVKRKPFTASSLSSSSQSESESRSNSEDEGSTGDGGMVDSEDERGTWGPNGPTFNDIKEITIRRSKLAKWLMEPFFEELIVGCFVRVGIGRSKTGAIYRLCMVRNVDATDPDRTYKLENKTTYKYLNVVWGNESSAARWQMAMISDSPPLEEEFRQLIREVERSGGRMPSKQDVLEKKEALQKAKTFVYSAATVKQMLQEKKSSSSRPLNVAAEKDRLRRDLEIAQSKHDDVEVERIKKRLQQLEASRQSQEKDAKAVRLAEMNRKNRVENFKNASGLKPVNTGLKAGEAGYDPFSRRWTRSRNYYNAKAPGGDAAAVANGDTNGAIGSGNGNDAGAAAAEAGRAATAAALQEAAGAGKLVDTNAPVDEGTESNMLHDFELPISLDVLRKFGGHEGAVAGFMARKQRIEATVGCRVPENDGRRHALTLTVSDYKRRRGLL >KJB69483 pep chromosome:Graimondii2_0_v6:11:1904774:1907561:-1 gene:B456_011G025800 transcript:KJB69483 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIP5 [Source:Projected from Arabidopsis thaliana (AT1G61040) UniProtKB/TrEMBL;Acc:A0A178WDP6] MADLENLLLEAAGRTGTGGRNRHSLPPSRRRREGSYSDGGSDSRDDDSDDDHGYASRKPSGSQVPLKKRLDPAERDDDQGSQEEGDYNDAGSGRERDSSDESDVGDDLYKNEEDRRQLAQLTELEREMILSERADKRGDKKFTEKIRSKRENDRPSRSQRETPPLPSRGVRSSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDASRGSSGNRGLSPVKRKPFTASSLSSSSQSESESRSNSEDEGSTGDGGMVDSEDERGTWGPNGPTFNDIKEITIRRSKLAKWLMEPFFEELIVGCFVRVGIGRSKTGAIYRLCMVRNVDATDPDRTYKLENKTTYKYLNVVWGNESSAARWQMAMISDSPPLEEEFRQLIREVERSGGRMPSKQDVLEKKEALQKAKTFVYSAATVKQMLQEKKSSSSRPLNVAAEKDRLRRDLEIAQSKHDDVEVERIKKRLQQLEASRQSQEKDAKAVRLAEMNRKNRVENFKNASGLKPVNTGLKAGEAGYDPFSRRWTRSRNYYNAKAPGGDAAAVANGDTNGAIGSGNGNDAGAAAAEAGRAATAAALQEAAGAGKLVDTNAPVDEGTESNMLHDFELPISLDVLRKFGGHEGAVAGFMARKQRIEATVGCRVPENDGRRHALTLTVSDYKRRRGLL >KJB73082 pep chromosome:Graimondii2_0_v6:11:51458081:51465849:1 gene:B456_011G213900 transcript:KJB73082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLTQRRAVDYTSTVVRYMQIRMSQRDSRDRTTLQPTPAAAIDMLPTAAYLDNPSTSFAAKFVHTSLNKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQNNMNNVKVNKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNVVKLWDAKTGRELCSFHGHKNTVLCVKWNQNGNWVLTAAKDQIIKLYDIRSMKELESFRGHRKDVTALAWHPFHEEYFVSGSFDGSIFHWLVGHETPQIELPNAHDNSVWDIAWHPIGYLLCSGSNDHTTKFWCRNRPGDTPRDKFNIGQNQGYGEQNPALASRMPGNFAAPEGPTTPGPFATRNEGTIPGIGVPMPLSVPSLDASSQGDQKQLHPGSLPFGAPPLPPGPHPSLLANQQHGYQQNPQQIQQQQQQGMQQQMPPMPMGPPNMQLQPPSHMPLLPHPHLQRPPPQMPPHGMASQMPGSLNPPSSMPTSHPMPMPGPMGMQGTMNQMPPSMPQGHFMGMNPMHSGSLPTSGGPSVGGFPNGMQNMQGPGNMGGGQMYPQGSGFNRAQGGQMPMMPGYNPYQSGGQSGMPPPPTGPTPHGQTPQ >KJB73083 pep chromosome:Graimondii2_0_v6:11:51458901:51465849:1 gene:B456_011G213900 transcript:KJB73083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGVMVYILRKKIRMSQRDSRDRTTLQPTPAAAIDMLPTAAYLDNPSTSFAAKFVHTSLNKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQNNMNNVKVNKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNVVKLWDAKTGRELCSFHGHKNTVLCVKWNQNGNWVLTAAKDQIIKLYDIRSMKELESFRGHRKDVTALAWHPFHEEYFVSGSFDGSIFHWLVGHETPQIELPNAHDNSVWDIAWHPIGYLLCSGSNDHTTKFWCRNRPGDTPRDKFNIGQNQGYGEQNPALASRMPGNFAAPEGPTTPGPFATRNEGTIPGIGVPMPLSVPSLDASSQGDQKQLHPGSLPFGAPPLPPGPHPSLLANQQHGYQQNPQQIQQQQQQGMQQQMPPMPMGPPNMQLQPPSHMPLLPHPHLQRPPPQMPPHGMASQMPGSLNPPSSMPTSHPMPMPGPMGMQGTMNQMPPSMPQGHFMGMNPMHSGSLPTSGGPSVGGFPNGMQNMQGPGNMGGGQMYPQGSGFNRAQGGQMPMMPGYNPYQSGGQSGMPPPPTGPTPHGQTPQ >KJB73081 pep chromosome:Graimondii2_0_v6:11:51457412:51465849:1 gene:B456_011G213900 transcript:KJB73081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYGDPQQQQNQPHPQAGEFQRGPPPPQMMRQPSASSTTLNSEYHHPGAPPPQMPPYDAHGDNFAAKRMRKLTQRRAVDYTSTVVRYMQIRMSQRDSRDRTTLQPTPAAAIDMLPTAAYLDNPSTSFAAKFVHTSLNKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQNNMNNVKVNKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNVVKLWDAKTGRELCSFHGHKNTVLCVKWNQNGNWVLTAAKDQIIKLYDIRSMKELESFRGHRKDVTALAWHPFHEEYFVSGSFDGSIFHWLVGHETPQIELPNAHDNSVWDIAWHPIGYLLCSGSNDHTTKFWCRNRPGDTPRDKFNIGQNQGYGEQNPALASRMPGNFAAPEGPTTPGPFATRNEGTIPGIGVPMPLSVPSLDASSQGDQKQLHPGSLPFGAPPLPPGPHPSLLANQQHGYQQNPQQIQQQQQQGMQQQMPPMPMGPPNMQLQPPSHMPLLPHPHLQRPPPQMPPHGMASQMPGSLNPPSSMPTSHPMPMPGPMGMQGTMNQMPPSMPQGHFMGMNPMHSGSLPTSGGPSVGGFPNGMQNMQGPGNMGGGQMYPQGSGFNRAQGGQMPMMPGYNPYQSGGQSGMPPPPTGPTPHGQTPQ >KJB70310 pep chromosome:Graimondii2_0_v6:11:5955408:5963546:1 gene:B456_011G067400 transcript:KJB70310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDEVVTAPAGPPQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDHDALRRDLERMDHPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNLDPPKAVGNGCVASSSNSNSPTPCLANGGSPDNDFSFPPGGLPSLRLPVVTSQETNLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFAKDGRYMLSRDYMTLKLWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEATTLEASKNPVRRQVQTPSRPSRSLSSITRVVRRGAETSGIDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >KJB70309 pep chromosome:Graimondii2_0_v6:11:5955408:5963842:1 gene:B456_011G067400 transcript:KJB70309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDEVVTAPAGPPQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDHDALRRDLERMDHPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNLDPPKAVGNGCVASSSNSNSPTPCLANGGSPDNDFSFPPGGLPSLRLPVVTSQETNLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFAKDGRYMLSRDYMTLKLWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEATTLEASKNPVRRQVQTPSRPSRSLSSITRVVRRGAETSGIDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >KJB70307 pep chromosome:Graimondii2_0_v6:11:5955260:5963804:1 gene:B456_011G067400 transcript:KJB70307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDEVVTAPAGPPQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDHDALRRDLERMDHPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNLDPPKAVGNGCVASSSNSNSPTPCLANGGSPDNDFSFPPGGLPSLRLPVVVTSQETNLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFAKDGRYMLSRDYMTLKLWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEATTLEASKNPVRRQVQTPSRPSRSLSSITRVVRRGAETSGIDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >KJB70308 pep chromosome:Graimondii2_0_v6:11:5955408:5961841:1 gene:B456_011G067400 transcript:KJB70308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDEVVTAPAGPPQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDHDALRRDLERMDHPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNLDPPKAVGNGCVASSSNSNSPTPCLANGGSPDNDFSFPPGGLPSLRLPVVTSQETNLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFAKDGRYMLSRDYMTLKVWLCFILFVPFFPL >KJB70311 pep chromosome:Graimondii2_0_v6:11:5957082:5963771:1 gene:B456_011G067400 transcript:KJB70311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNLDPPKAVGNGCVASSSNSNSPTPCLANGGSPDNDFSFPPGGLPSLRLPVVVTSQETNLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFAKDGRYMLSRDYMTLKLWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEATTLEASKNPVRRQVQTPSRPSRSLSSITRVVRRGAETSGIDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >KJB70306 pep chromosome:Graimondii2_0_v6:11:5955260:5963771:1 gene:B456_011G067400 transcript:KJB70306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDEVVTAPAGPPQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDHDALRRDLERMDHPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNLDPPKAVGNGCVASSSNSNSPTPCLANGGSPDNDFSFPPGGLPSLRLPVVVTSQETNLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFAKDGRYMLSRDYMTLKLWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEATTLEASKNPVRRQVQTPSRPSRSLSSITRVVRRGAETSGIDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >KJB69375 pep chromosome:Graimondii2_0_v6:11:1399539:1401863:1 gene:B456_011G020100 transcript:KJB69375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTYECPPTLLFDTDPQQQSVFNNNNQSINYMSCSSLSSSPSWPKLAPPLTTKQQQQPPVGTGTLQFSNNTSFWNASATGNILPSLYEEKPNCPTLTTKTNIEEVRKNSNSKKASNETPLKRPRLETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVNVLSTPYMKQAAASIQQSTDKLKDHQEGPKQDLRSRGLCLVPVSSTFPVANETPADFWTPTFGGTFR >KJB69374 pep chromosome:Graimondii2_0_v6:11:1399539:1401863:1 gene:B456_011G020100 transcript:KJB69374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFQAAICGGGGGTWWNIPTKTMFSGCNSPLYSTTIADMGSFGWGNDMVATDDIIIKPRSCYNSDNSGTNNNSSILIDSTLGFGLSSSDNWNQSLLRSNNQEFPMDSTYECPPTLLFDTDPQQQSVFNNNNQSINYMSCSSLSSSPSWPKLAPPLTTKQQQQPPVGTGTLQFSNNTSFWNASATGNILPSLYEEKPNCPTLTTKTNIEEVRKNSNSKKASNETPLKRPRLETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVNVLSTPYMKQAAASIQQVIKKTKIF >KJB69373 pep chromosome:Graimondii2_0_v6:11:1399539:1401139:1 gene:B456_011G020100 transcript:KJB69373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFQAAICGGGGGTWWNIPTKTMFSGCNSPLYSTTIADMGSFGWGNDMVATDDIIIKPRSCYNSDNSGTNNNSSILIDSTLGFGLSSSDNWNQSLLRSNNQEFPMDSTYECPPTLLFDTDPQQQSVFNNNNQSINYMSCSSLSSSPSWPKLAPPLTTKQQQQPPVGTGTLQFSNNTSFWNASATGNILPSLYEEKPNCPTLTTKTNIEEVRKNSNSKKASNETPLKRPRLETPSPLPTFKVRKEKLGDRITALQQLVSPFGKVNFYHIRTRCVT >KJB69372 pep chromosome:Graimondii2_0_v6:11:1399348:1401960:1 gene:B456_011G020100 transcript:KJB69372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFQAAICGGGGGTWWNIPTKTMFSGCNSPLYSTTIADMGSFGWGNDMVATDDIIIKPRSCYNSDNSGTNNNSSILIDSTLGFGLSSSDNWNQSLLRSNNQEFPMDSTYECPPTLLFDTDPQQQSVFNNNNQSINYMSCSSLSSSPSWPKLAPPLTTKQQQQPPVGTGTLQFSNNTSFWNASATGNILPSLYEEKPNCPTLTTKTNIEEVRKNSNSKKASNETPLKRPRLETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVNVLSTPYMKQAAASIQQSTDKLKDHQEGPKQDLRSRGLCLVPVSSTFPVANETPADFWTPTFGGTFR >KJB72436 pep chromosome:Graimondii2_0_v6:11:42028352:42030668:1 gene:B456_011G178500 transcript:KJB72436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KJB72438 pep chromosome:Graimondii2_0_v6:11:42028492:42030614:1 gene:B456_011G178500 transcript:KJB72438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KJB72437 pep chromosome:Graimondii2_0_v6:11:42028492:42030614:1 gene:B456_011G178500 transcript:KJB72437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KJB69698 pep chromosome:Graimondii2_0_v6:11:2858338:2861356:-1 gene:B456_011G038100 transcript:KJB69698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAAPNLCFSPLTLNQNRKENFFSSQNGCFIHKPSLKTTFLSPIFRSCIPIRISATPTRTIDHQVTDYNAKILHFCQLGDLENAMELVCMCEKSELETKTYGSVLQLCAGLKSLTDGKKVHSIIKSNSVGVDEALGLKLVSFYATCGDLKEGRRVFDTMEKKNVYLWNFMVSEYAKIGDFKESICLFKIMVEKGIEVNSYTFSCVLKCFAALGSLKEGECVHGYLLKLGFGSCNSVVNSLIAFYFKGKRSESASELFDKLCDRDVISWNSMISGYVSNGLTERGLGIYKQMMYLGIDVDLATIISVLVGCAKSGTLSLGKAVHSLAIKSSFERRINFSNTLLDMYSKCGDLDGALRVFEKMGERNVVSWTSMIAGYTRDGWSDGAIILLQQMEKEGVKLDVVAITSILHACARSGSLDNGKDVHDYIKANNMASNLFVCNALMDMYAKCGSMEGANSVFSTMVVKDIISWNTMVGGYSKNCLPNEALKTFAAMLKELKPDSRTMACILPACASLSALERGKEIHGYILRNGYSSDRHVANALVDLYVKCGVLGLARLLFDMIPSKDLVSWTVMIAGYGMHGYGNEAIATFNEMRDAGIEPDEVSFISILYACSHSGLLEQGWRFFYIMKNDFNIEPKLEHYACMVDLLSRTGNLSKAYKFIETLPIAPDATIWGALLCGCRIYHDIELAEKVAERVFELEPENTGYYVLLANIYAEAEKWEEVKRMREKIGKKGLRKNPGCSWIEIKGRVNLFVSGNNSSHPHSKKIESLLKKMRRKMKEEGYFPKTKYALINADEMQKEMALCGHSEKLAMAFGLLTLPPRKTIRVTKNLRVCGDCHEMAKFMSKETRREIVLRDSNRFHHFKDGYCSCRGFW >KJB71768 pep chromosome:Graimondii2_0_v6:11:22233251:22233799:1 gene:B456_011G141000 transcript:KJB71768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSNNNVAMFLNLVLMISFLLIINMAESKLFPSGFIEHGKAKELTPTCVSIYGQQEGDTCFSITQAFNLTLDFFLQINPNLNCDSIFVGEWLCVDGFLS >KJB73930 pep chromosome:Graimondii2_0_v6:11:59269329:59275900:-1 gene:B456_011G261600 transcript:KJB73930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADSKLPLQSSNNVNTKASKTIEETYQKKTQLEHILLRPDTYIGSIEQHTQRLWVYENDEMVHRDIKYVPGLYKIFDEILVNAADNKQRDPSMDSIKVVIDAEQNLISVYNNGDGVPVEIHQEEKVYVPELIFGHLLTSSNYDDNVKKTTGGRNGYGAKLTNIFSTEFVIETADGKRQKKYKQVFKNNMGSKTEPVITKCKEGENWTKVTFKPDLAKFNMTHLEDDVVALMRKRVFDLAGCLGKTVKVELNGKRIAVKSFLDYVNLYLSAASKNKSEPLPRISEKVNARWEVCVSLSEGQFQQVSFVNSIATIKGGTHVDYVTNQISNYVMNAVNKKNKNANVKAHNVKNHLWVFVNALIDNPAFDSQTKETLTLRQSSFGSKCELPEDFLKKVAKSGVVDNLLQWAEFKHSKDLKKTDGTKSGSIRGIPKLDDANEAGGRNSDKCTLILTEGDSAKSLAVAGLSVVGRNHYGVYPLRGKLLNVREASHKQLMENAEIQNLKRILGLQQNKEYTDVKSLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVKSFMVEFITPIVKATRKVNKQEEELCFYTMPEYQAWKESLGTNAKSWRIKYYKGLGTSTGPEGKKYFKDIDKHMKEFVWEGDMDGDAIELAFSKKKIEARKNWLRQFEPGTHLDHNEKLINYSDFINKELILFSMADLQRSIPSMVDGLKPGQRKILFCAFKRNFVHEAKVSQFSGYVSEHSAYHHGEQSLCSTIVGMAQDFVGSNNINLLRPGGQFGTRNQGGKDAASARYIFTNLTTITRYLFRKDDDGLLNYLNEDGQSIEPSWYVPVIPMVLVNGSEGIGTGWSSYIPNYNPRDIVANVRRLLNGEPMEPMHPWYRGFKGTIEKTASKESGVTYTISGIVEEVDETTLKITELPIRRWTQDYKEFLESVITANDSFIKEFKQYSDDRTVHFEVFMTEENMMLAKQEGLMKKFKLTTTVSTSNMHLFDSRGMIKKYDTPEEILDEFYHLRLEFYEKRRKHMLDTLELELLKMDNKVRFILDVVKGNIIVNNRKRADLFLELQEKGFTPFPKKTKAVEVAVAGDIDHEGEPELSPEATRASDYDYLLSMAIGTLTLEKVQELCSDRDKLEHEVEELRKHTPKSLWLKDLEELEKQLDEQDQADLEAEEENSKNRAKGGVAGKKARRPVASKNPKKVNKKDDPQVSEASEISSTTAMEAEKAPAVVKPKGRAGAKKKTKKQDDSDDDDDDNDDFDIPDLRERLAKHNIDSSPDHSADMETEMFQEPAGKKGPAKRAAATKKNPVISLSESIGEINISDGELEVVEPAPAATKKGGRKPAAPKAGKPPAAAKKRGPAAGKQQKLLTQMLKPATEAEGSGISPEKKVRKMRASPFNKKSGSVLGKTSSSSLSSMPEIESDDDEEVAVVVEPRARPQRANRTKTTYVVSDSETEEEVNDDSDFEEDED >KJB73929 pep chromosome:Graimondii2_0_v6:11:59269286:59276048:-1 gene:B456_011G261600 transcript:KJB73929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADSKLPLQSSNNVNTKASKTIEETYQKKTQLEHILLRPDTYIGSIEQHTQRLWVYENDEMVHRDIKYVPGLYKIFDEILVNAADNKQRDPSMDSIKVVIDAEQNLISVYNNGDGVPVEIHQEEKVYVPELIFGHLLTSSNYDDNVKKTTGGRNGYGAKLTNIFSTEFVIETADGKRQKKYKQVFKNNMGSKTEPVITKCKEGENWTKVTFKPDLAKFNMTHLEDDVVALMRKRVFDLAGCLGKTVKVELNGKRIAVKSFLDYVNLYLSAASKNKSEPLPRISEKVNARWEVCVSLSEGQFQQVSFVNSIATIKGGTHVDYVTNQISNYVMNAVNKKNKNANVKAHNVKNHLWVFVNALIDNPAFDSQTKETLTLRQSSFGSKCELPEDFLKKVAKSGVVDNLLQWAEFKHSKDLKKTDGTKSGSIRGIPKLDDANEAGGRNSDKCTLILTEGDSAKSLAVAGLSVVGRNHYGVYPLRGKLLNVREASHKQLMENAEIQNLKRILGLQQNKEYTDVKSLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVKSFMVEFITPIVKATRKVNKQEEELCFYTMPEYQAWKESLGTNAKSWRIKYYKGLGTSTGPEGKKYFKDIDKHMKEFVWEGDMDGDAIELAFSKKKIEARKNWLRQFEPGTHLDHNEKLINYSDFINKELILFSMADLQRSIPSMVDGLKPGQRKILFCAFKRNFVHEAKVSQFSGYVSEHSAYHHGEQSLCSTIVGMAQDFVGSNNINLLRPGGQFGTRNQGGKDAASARYIFTNLTTITRYLFRKDDDGLLNYLNEDGQSIEPSWYVPVIPMVLVNGSEGIGTGWSSYIPNYNPRDIVANVRRLLNGEPMEPMHPWYRGFKGTIEKTASKESGVTYTISGIVEEVDETTLKITELPIRRWTQDYKEFLESVITANDSFIKEFKQYSDDRTVHFEVFMTEENMMLAKQEGLMKKFKLTTTVSTSNMHLFDSRGMIKKYDTPEEILDEFYHLRLEFYEKRRKHMLDTLELELLKMDNKVRFILDVVKGNIIVNNRKRADLFLELQEKGFTPFPKKTKAVEVAVAGDIDHEGEPELSPEATRASDYDYLLSMAIGTLTLEKVQELCSDRDKLEHEVEELRKHTPKSLWLKDLEELEKQLDEQDQADLEAEEENSKNRAKGGVAGKKARRPVASKNPKKVNKKDDPQVSEASEISSTTAMEAEKAPAVVKPKGRAGAKKKTKKDDSDDDDDDNDDFDIPDLRERLAKHNIDSSPDHSADMETEMFQEPAGKKGPAKRAAATKKNPVISLSESIGEINISDGELEVVEPAPAATKKGGRKPAAPKAGKPPAAAKKRGPAAGKQQKLLTQMLKPATEAEGSGISPEKKVRKMRASPFNKKSGSVLGKTSSSSLSSMPEIESDDDEEVAVVVEPRARPQRANRTKTTYVVSDSETEEEVNDDSDFEEDED >KJB74154 pep chromosome:Graimondii2_0_v6:11:60841852:60843336:-1 gene:B456_011G276100 transcript:KJB74154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPRFELPEALVMEILSKLPVKSLTRFNCVCKYWCSSFQTPHFISNNYHNNLENNNLNLLLSRCDGNTFQPYLSQLSNEKDQNYIVKQNIHLPFFEDDSPSVYGACHGLLCLFDSSKDKAAIWNPSTREFKILPPSSIQRPPYFSPFEETYLTLDDVSFNDAAFGFDSKTDDYKFIRFVTLTFVNSEEEYAHPDFIYQVELYSLRSNSWKEIPYPDYNPNGKTLGNNYVDGICYWKTETGAYLDFRGLILSFDMRNDKFSVLPIPEFVGSFPEYYVDLLVFNGSLGAIVYPLQRIDTSFDLWVTSEGVWTKQFNIKSIPGVVHPLGFGKNGDLFLRDANDEVLVFDASTQELKELEINTYLDHHRFAISLHTYLESLVHINGIQEVEKYVIRQPTRNASNEY >KJB74420 pep chromosome:Graimondii2_0_v6:11:62488627:62489737:-1 gene:B456_011G294000 transcript:KJB74420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIIHLPESIIHLINLRMLCLGGWRVEDITIIGELKNLEILDLALSRIKELPKKIAQLTRLWLLDLSWCGALKIIPPNVLSSLSKLEELYMEGSFAEWENEGVVGNERRNARLDELNNLSRLTTLHVNIPDVQMIPKHGFIETLDRYKVLVGDYNEFE >KJB69029 pep chromosome:Graimondii2_0_v6:11:279035:285143:1 gene:B456_011G003400 transcript:KJB69029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRPPPETIQIRLLCPSAKTGALIGKGGSVIRQLQSLTSAKIRILDDPFEERIIQIVADNKTPLVSNSADNPNANANAEPKKDSSEDGCKSASGGGGGGGGTAGAGEEETTSSWSPLQKAVIRVFERIVKGDAADDKESEKESENLVACCRMLLAFNQAACLLGRGGWFLEKIGKENGTQIRVLTRDQLLPCAAPGDELLQITGNFSAVKKALFSISSFLQENIAHSQPDQFPPWGYQSGHHGADYHSRGYPPNPGHENAVAHNRGGLEEEVVFKLLCQADKVGSLIGKGGSVVRAMQNDTGAAIKIADTSHDSDERIVVISAREHAEQRYSPAQDAVVRVQSRIAEIGFEPGAPIVARLLVPSQQVGYLLGKGGHIVSEMRRATGANIRVSSKEQLSKSAGLQNDEVVQVIGSLQSVQDALFHITGRLRESILPMKPPFPGINPPPYLPPYPEMPPPLFRPRHNHVPPCPYPSPGGPFHGIDPSVGPPQPLDHQPPFSHGMDHMGPHNVDRGPYSYGGDRHGHGPMFDGPSSPGSWTPQAGTPRGVYDAGPGFVARNGRPGSGNQAPVLSNTKVEIVIPQIYLCHVYGESNSNLGHIRQISGANLVIHDPKPGATEGLVVVSGTSDQLRTAQSFIQAFILCGQTAA >KJB69031 pep chromosome:Graimondii2_0_v6:11:279035:283672:1 gene:B456_011G003400 transcript:KJB69031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRPPPETIQIRLLCPSAKTGALIGKGGSVIRQLQSLTSAKIRILDDPFEERIIQIVADNKTPLVSNSADNPNANANAEPKKDSSEDGCKSASGGGGGGGGTAGAGEEETTSSWSPLQKAVIRVFERIVKGDAADDKESEKESENLVACCRMLLAFNQAACLLGRGGWFLEKIGKENGTQIRVLTRDQLLPCAAPGDELLQITGNFSAVKKALFSISSFLQENIAHSQPDQFPPWGYQSGHHGADYHSRGYPPNPGHENAVAHNRGGLEEEVVFKLLCQADKVGSLIGKGGSVVRAMQNDTGAAIKIADTSHDSDERIVVISAREHAEQRYSPAQDAVVRVQSRIAEIGFEPGAPIVARLLVPSQQVGYLLGKGGHIVSEMRRATGANIRVSSKEQLSKSAGLQNDEVVQVIGSLQSVQDALFHITGRLRESILPMKPPFPGINPPPYLPPYPEMPPPLFRPRHNHVPPCPYPSPGGPFHGIDPSVGPPQPLDHQPPFSHGMDHMGPHNVDRGPYSYGGDRHGHGPMFDGPSSPGSWTPQAGTPRGVYDAGPGFVARNGRPGR >KJB69032 pep chromosome:Graimondii2_0_v6:11:279059:284590:1 gene:B456_011G003400 transcript:KJB69032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRPPPETIQIRLLCPSAKTGALIGKGGSVIRQLQSLTSAKIRILDDPFEERIIQIVADNKTPLVSNSADNPNANANAEPKKDSSEDGCKSASGGGGGGGGTAGAGEEETTSSWSPLQKAVIRVFERIVKGDAADDKESEKESENLVACCRMLLAFNQAACLLGRGGWFLEKIGKENGTQIRVLTRDQLLPCAAPGDELLQITGNFSAVKKALFSISSFLQENIAHSQPDQFPPWGYQSGHHGADYHSRGYPPNPGHENAVAHNRGGLEEEVVFKLLCQADKVGSLIGKGGSVVRAMQNDTGAAIKIADTSHDSDERIVVISAREHAEQRYSPAQDAVVRVQSRIAEIGFEPGAPIVARLLVPSQQVGYLLGKGGHIVSEMRRATGANIRVSSKEQLSKSAGLQNDEVVQVIGSLQSVQDALFHITGRLRESILPMKPPFPGINPPPYLPPYPEMPPPLFRPRHNHVPPCPYPSPGGPFHGIDPSVGPPQPLDHQPPFSHGMDHMGPHNVDRGPYSYGGDRHGHGPMFDGPSSPGSWTPQAGTPRGVYDAGPGFVARNGRPGSGNQAPVLSNTKVEIVIPQIYLCHVYGESNSNLGHIRQISGANLVIHDPKPGATEGLVVVSGTSDQLRTAQSFIQAFILCGQTAA >KJB69030 pep chromosome:Graimondii2_0_v6:11:279013:285758:1 gene:B456_011G003400 transcript:KJB69030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRPPPETIQIRLLCPSAKTGALIGKGGSVIRQLQSLTSAKIRILDDPFEERIIQIVADNKTPLVSNSADNPNANANAEPKKDSSEDGCKSASGGGGGGGGTAGAGEEETTSSWSPLQKAVIRVFERIVKGDAADDKESEKESENLVACCRMLLAFNQAACLLGRGGWFLEKIGKENGTQIRVLTRDQLLPCAAPGDELLQITGNFSAVKKALFSISSFLQENIAHSQPDQFPPWGYQSGHHGADYHSRGYPPNPGHENAVAHNRGGLEEEVVFKLLCQADKVGSLIGKGGSVVRAMQNDTGAAIKIADTSHDSDERIVVISAREHAEQRYSPAQDAVVRVQSRIAEIGFEPGAPIVARLLVPSQQVGYLLGKGGHIVSEMRRATGANIRVSSKEQLSKSAGLQNDEVVQVIGSLQSVQDALFHITGRLRESILPMKPPFPGINPPPYLPPYPEMPPPLFRPRHNHVPPCPYPSPGGPFHGIDPSVGPPQPLDHQPPFSHGMDHMGPHNVDRGPYSYGGDRHGHGPMFDGPSSPGSWTPQAGTPRGVYDAGPGFVARNGRPGSGNQAPVLSNTKVEIVIPQIYLCHVYGESNSNLGHIRQISGANLVIHDPKPVTTKGLVVVPGTLYQLRTTQTLIQAYILCGQTAT >KJB72864 pep chromosome:Graimondii2_0_v6:11:48807766:48808647:-1 gene:B456_011G201600 transcript:KJB72864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPPHLVDAKNLALNSKPDRAIKTLAPPLWLHHPIFLLSLNVILLNPSFTFPLSVYIYYNCNLFLSFQVLKFLWMMKSFVAIFFAILLFLATMEADGKRMTLEKEREPSNHQLGRKADIGAKDDIDLTGEDGSAIELIASKNRLIDSRHHIYMNDTSPIPRKHP >KJB72863 pep chromosome:Graimondii2_0_v6:11:48807714:48808739:-1 gene:B456_011G201600 transcript:KJB72863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPPHLVDAKNLALNSKPDRAIKTLAPPLWLHHPIFLLSLNVLKFLWMMKSFVAIFFAILLFLATMEADGKRMTLEKEREPSNHQLGRKADIGAKDDIDLTGEDGSAIELIASKNRLIDSRHHIYMNDTSPIPRKHP >KJB70983 pep chromosome:Graimondii2_0_v6:11:13404928:13405615:1 gene:B456_011G111300 transcript:KJB70983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKECLEKVTQTISFLAQPRESHLLLLTGEVQRDRAAELLGLRACNFRPRHSSKLGNEFRVFTNYDPGERLGGWEQE >KJB72834 pep chromosome:Graimondii2_0_v6:11:48497428:48500306:1 gene:B456_011G200300 transcript:KJB72834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSAEELLKKIQILEAGHADLKQEISILKQSGGDSKANSTHQRSHSTSPRRPRFPGNAAKLAAWKKDSASFRHSSPLRRESRNNDTVNGDSGTGGDLGVGGRGGAIERTGNVGPAAVNFTNSQYLNIFQSMGQSVHIFDLSGRIIYWNKAAEKLYGYSAAEALEQDAIQLLVDHRDFAVALNIVHCVMTGDSWTGQFPFKNKMGERFLAVATYTPFYDEDDDDDSSLVGIICVSSDTQPLQERRAAVLAGKQPEGDSTFSRSKNAVSAKLGLDPQQPMQTAIASELTNLALKVSNKMKSKFKMGEYCVDREGESGDGHYLEHGCSIAAHSDLKEDAVSSGACTPRGSIYPSAFSVFYPLDEKSPMNTSHNSGDESEGKPAIQKIMTLVGKKGISWPWKENDRAGSEARTTRFVWPGLANDQENDLFVQKSSYSAAKSEGHVNENNTPVNNEPSGSWSSSVNVYSTSSVSSFGSTSSSAVNRAEMDVDCLDYEILWEDLTIGDQIGQGSCGTVYHGLWFESVCSTPVPSLSGRRTKHYR >KJB72833 pep chromosome:Graimondii2_0_v6:11:48497401:48503294:1 gene:B456_011G200300 transcript:KJB72833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSAEELLKKIQILEAGHADLKQEISILKQSGGDSKANSTHQRSHSTSPRRPRFPGNAAKLAAWKKDSASFRHSSPLRRESRNNDTVNGDSGTGGDLGVGGRGGAIERTGNVGPAAVNFTNSQYLNIFQSMGQSVHIFDLSGRIIYWNKAAEKLYGYSAAEALEQDAIQLLVDHRDFAVALNIVHCVMTGDSWTGQFPFKNKMGERFLAVATYTPFYDEDDDDDSSLVGIICVSSDTQPLQERRAAVLAGKQPEGDSTFSRSKNAVSAKLGLDPQQPMQTAIASELTNLALKVSNKMKSKFKMGEYCVDREGESGDGHYLEHGCSIAAHSDLKEDAVSSGACTPRGSIYPSAFSVFYPLDEKSPMNTSHNSGDESEGKPAIQKIMTLVGKKGISWPWKENDRAGSEARTTRFVWPGLANDQENDLFVQKSSYSAAKSEGHVNENNTPVNNEPSGSWSSSVNVYSTSSVSSFGSTSSSAVNRAEMDVDCLDYEILWEDLTIGDQIGQGSCGTVYHGLWFESEVAVKVFSKQEYSDDVINAFREEVSLMKRLRHPNVLLFMGVVVSSQRLCIVTEFLPRGSLFQLLQRNTTKLGWRRRVSMALDIARGMNYLHNCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHKTYLTTKSGKGTPQWMAPEVLRSEPSDEKSDVYSFGVILWELATEKIPWEKHNAMQLVAAVGFMNQRLEIPKELDPRWASIIKICWNSDPKSRPTFQELLNKLRDLQRQYTLQLQQARNSAEEKEI >KJB72836 pep chromosome:Graimondii2_0_v6:11:48497428:48503237:1 gene:B456_011G200300 transcript:KJB72836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSAEELLKKIQILEAGHADLKQEISILKQSGGDSKANSTHQRSHSTSPRRPRFPGNAAKLAAWKKDSASFRHSSPLRRESRNNDTVNGDSGTGGDLGVGGRGGAIERTGNVGPAAVNFTNSQYLNIFQSMGQSVHIFDLSGRIIYWNKAAEKLYGYSAAEALEQDAIQLLVDHRDFAVALNIVHCVMTGDSWTGQFPFKNKMGERFLAVATYTPFYDEDDDDDSSLVGIICVSSDTQPLQERRAAVLAGKQPEGDSTFSRSKNAVSAKLGLDPQQPMQTAIASELTNLALKVSNKMKSKFKMGEYCVDREGESGDGHYLEHGCSIAAHSDLKEDAVSSGACTPRGSIYPSAFSVFYPLDEKSPMNTSHNSGDESEGKPAIQKIMTLVGKKGISWPWKENDRAGSEARTTRFVWPGLANDQENDLFVQKSSYSAAKSEGHVNENNTPVNNEPSGSWSSSVNVYSTSSVSSFGSTSSSAVNRAEMDVDCLDYEILWEDLTIGDQIGQGSCGTVYHGLWFESEVAVKVFSKQEYSDDVINAFREEVSLMKRLRHPNVLLFMGVVVSSQRLCIVTEFLPRGSLFQLLQRNTTKLGWRRRVSMALDIARGMNYLHNCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHKTYLTTKSGKGTPQWMAPEVLRSEPSDEKYVDPIPHPLNCTSPTFIVLASYYGS >KJB72835 pep chromosome:Graimondii2_0_v6:11:48497428:48503237:1 gene:B456_011G200300 transcript:KJB72835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSAEELLKKIQILEAGHADLKQEISILKQSGGDSKANSTHQRSHSTSPRRPRFPGNAAKLAAWKKDSASFRHSSPLRRESRNNDTVNGDSGTGGDLGVGGRGGAIERTGNVGPAAVNFTNSQYLNIFQSMGQSVHIFDLSGRIIYWNKAAEKLYGYSAAEALEQDAIQLLVDHRDFAVALNIVHCVMTGDSWTGQFPFKNKMGERFLAVATYTPFYDEDDDDDSSLVGIICVSSDTQPLQERRAAVLAGKQPEGDSTFSRSKNAVSAKLGLDPQQPMQTAIASELTNLALKVSNKMKSKFKMGEYCVDREGESGDGHYLEHGCSIAAHSDLKEDAVSSGACTPRGSIYPSAFSVFYPLDEKSPMNTSHNSGDESEGKPAIQKIMTLVGKKGISWPWKENDRAGSEARTTRFVWPGLANDQENDLFVQKSSYSAAKSEGHVNENNTPVNNEPSGSWSSSVNVYSTSSVSSFGSTSSSAVNRAEMDVDCLDYEILWEDLTIGDQIGQGSCGTVYHGLWFESEVAVKVFSKQEYSDDVINAFREEVSLMKRLRHPNVLLFMGVVVSSQRLCIVTEFLPRGSLFQLLQRNTTKLGWRRRVSMALDIARGMNYLHNCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHKTYLTTKSGKGTPQWMAPEVLRSEPSDEKYVDPIPHPLNCTSKCPSPQPYHVCLSFTADLVFLVLCGT >KJB72837 pep chromosome:Graimondii2_0_v6:11:48497428:48503237:1 gene:B456_011G200300 transcript:KJB72837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSAEELLKKIQILEAGHADLKQEISILKQSGGDSKANSTHQRSHSTSPRRPRFPGNAAKLAAWKKDSASFRHSSPLRRESRNNDTVNGDSGTGGDLGVGGRGGAIERTGNVGPAAVNFTNSQYLNIFQSMGQSVHIFDLSGRIIYWNKAAEKLYGYSAAEALEQDAIQLLVDHRDFAVALNIVHCVMTGDSWTGQFPFKNKMGERFLAVATYTPFYDEDDDDDSSLVGIICVSSDTQPLQERRAAVLAGKQPEGDSTFSRSKNAVSAKLGLDPQQPMQTAIASELTNLALKVSNKMKSKFKMGEYCVDREGESGDGHYLEHGCSIAAHSDLKEDAVSSGACTPRGSIYPSAFSVFYPLDEKSPMNTSHNSGDESEGKPAIQKIMTLVGKKGISWPWKENDRAGSEARTTRFVWPGLANDQENDLFVQKSSYSAAKSEGHVNENNTPVNNEPSGSWSSSVNVYSTSSVSSFGSTSSSAVNRAEMDVDCLDYEILWEDLTIGDQIGQGSCGTVYHGLWFESEVAVKVFSKQEYSDDVINAFREEVSLMKRLRHPNVLLFMGVVVSSQRLCIVTEFLPRGSLFQLLQRNTTKLGWRRRVSMALDIARGMNYLHNCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHKTYLTTKSGKGTPQWMAPEVLRSEPSDEKYVDPIPHPLNCTSPTFIVLASYYGS >KJB70539 pep chromosome:Graimondii2_0_v6:11:7771377:7776268:-1 gene:B456_011G078300 transcript:KJB70539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSPEEGSEQTDHYPLLMERVESHNGEEHIIDIMRRDDASSSTSQDERPSGVDLTQSEDRPSSSTQTPTNRTSFSSNRLNSRNSSFARNSDGYGRRRRSPLNSGLWISVELVVTVSQIIASVVVLSLSRDEKPQAPLFAWIVGYASGCVATLPILYWRFRNRNWGIEHDLSHSHEGSSLGNPNESTPYTAISVTQASDEENNGNTESATGNTQTAGTLSTRLNGLVDHFKMALDCFFAVWFVVGSVWIFGGHASPSDAPKLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISILGTREDLSQTRGATTESINALPTYKFKSKKTANNDDREDSAGEGGVLAAGTEKERLISGEDAACCICLANYVDNDELRELPCDHVFHVECVDKWLKINASCPLCKSEIGESSAASPLARDSN >KJB72106 pep chromosome:Graimondii2_0_v6:11:28865693:28873240:-1 gene:B456_011G159400 transcript:KJB72106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHVTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQMQRRDPNHPSLGGPVNATGSEGMLGQSNASALAAKMYEERMKHPNAINSETSQPLLDARMALLKSATNHPGQLVQGNQGSVTAALQQIQDIKGDVNLGGPQRAMPMDPSSIYGQGIMQSKPGIGNSGLNPGVGSLPLKGWPLTGIDQIRPNLGAQVQKPFIQNANQFQLLPQQQQQQVLAQVQTQGNMGSSPMYGDMDPQRFSGLSRGTLNAKEGQPIVNDGSIGSPMQSTSSKQMNMPPIRQSSSQQDPLQSQHVQQNNRKRKGASSSGAANSTGTGNTVGPSNSQPSTPSTHTPGDAVAAVSNMQHGSSMSKNLMMYGSDGTGGIASSTNQLDDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHATETSKGFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLETECTPEEHTHIITDIRFRPNSTQLATSSFDTTVRVWDAAQPSYCMWKYTGHTAQVMSLDFHPKKNDLFCSCDGNSEIRFWNINQYSCTRISKGGCSNVRFQPRIGQFLAAAAETVVSIVDVETDRRTQLLQGHNTEVHSICWDTNGDFLASVSQDSVRVWSLASGECIHELNSSGNKFHSCVFHPSFPALLVVGGYQSLELWNTAENKCMTIPAHDCVISALTQSQVTGMVASASYDKSVKIWK >KJB72107 pep chromosome:Graimondii2_0_v6:11:28865790:28873044:-1 gene:B456_011G159400 transcript:KJB72107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHVTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQMQRRDPNHPSLGGPVNATGSEGMLGQSNASALAAKMYEERMKHPNAINSETSQPLLDARMALLKSATNHPGQLVQGNQGSVTAALQQIQDIKGDVNLGGPQRAMPMDPSSIYGQGIMQSKPGIGNSGLNPGVGSLPLKGWPLTGIDQIRPNLGAQVQKPFIQNANQFQLLPQQQQQQVLAQVQTQGNMGSSPMYGDMDPQRFSGLSRGTLNAKEGQPIVNDGSIGSPMQSTSSKMNMPPIRQSSSQQDPLQSQHVQQNNRKRKGASSSGAANSTGTGNTVGPSNSQPSTPSTHTPGDAVAAVSNMQHGSSMSKNLMMYGSDGTGGIASSTNQLDDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHATETSKGFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLETECTPEEHTHIITDIRFRPNSTQLATSSFDTTVRVWDAAQPSYCMWKYTGHTAQVMSLDFHPKKNDLFCSCDGNSEIRFWNINQYSCTRISKGGCSNVRFQPRIGQFLAAAAETVVSIVDVETDRRTQLLQGHNTEVHSICWDTNGDFLASVSQDSVRVWSLASGECIHELNSSGNKFHSCVFHPSFPALLVVGGYQSLELWNTAENKCMTIPAHDCVISALTQSQVTGMVASASYDKSVKIWK >KJB72103 pep chromosome:Graimondii2_0_v6:11:28865693:28873044:-1 gene:B456_011G159400 transcript:KJB72103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHVTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQMQRRDPNHPSLGGPVNATGSEGMLGQSNASALAAKMYEERMKHPNAINSETSQPLLDARMALLKSATNHPGQLVQGNQGSVTAALQQIQDIKGDVNLGGPQRAMPMDPSSIYGQGIMQSKPGIGNSGLNPGVGSLPLKGWPLTGIDQIRPNLGAQVQKPFIQNANQFQLLPQQQQQQVLAQVQTQGNMGSSPMYGDMDPQRFSGLSRGTLNAKEGQPIVNDGSIGSPMQSTSSKQMNMPPIRQSSSQQDPLQSQHVQQNNRKRKGASSSGAANSTGTGNTVGPSNSQPSTPSTHTPGDAVAAVSNMQHGSSMSKNLMMYGSDGTGGIASSTNQLDDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHATETSKGFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLETECTPEEHTHIITDIRFRPNSTQLATSSFDTTVRVWDAAQPSYCMWKYTGHTAQVMSLDFHPKKNDLFCSCDGNSEIRFWNINQYSCTRISKGGCSNVRFQPRIGQFLAAAAETVVSIVDVETDRRTQLLQGHNTEVHSICWDTNGDFLASVSQDSVRVWSLASGECIHELNSSGNKFHSCVFHPSFPALLVVGGYQSLELWNTAENKCMTIPAHDCVISALTQSQVTGMVASASYDKSVKIWK >KJB72109 pep chromosome:Graimondii2_0_v6:11:28865754:28873240:-1 gene:B456_011G159400 transcript:KJB72109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHVTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQMQRRDPNHPSLGGPVNATGSEGMLGQSNASALAAKMYEERMKHPNAINSETSQPLLDARMALLKSATNHPGQLVQGNQGSVTAALQQIQDIKGDVNLGGPQRAMPMDPSSIYGQGIMQSKPGIGNSGLNPGVGSLPLKGWPLTGIDQIRPNLGAQVQKPFIQNANQFQLLPQQQQQQVLAQVQTQGNMGSSPMYGDMDPQRFSGLSRGTLNAKEGQPIVNDGSIGSPMQSTSSKMNMPPIRQSSSQQDPLQSQHVQQNNRKRKGASSSGAANSTGTGNTVGPSNSQPSTPSTHTPGDAVAAVSNMQHGSSMSKNLMMYGSDGTGGIASSTNQLDDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHATETSKGFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLETECTPEEHTHIITDIRFRPNSTQLATSSFDTTVRVWDAAQPSYCMWKYTGHTAQVMSLDFHPKKNDLFCSCDGNSEIRFWNINQYSCTRISKGGCSNVRFQPRIGQFLAAAAETVVSIVDVETDRRTQLLQGHNTEVHSICWDTNGDFLASVSQDSVRVWSLASGECIHELNSSGNKFHSCVFHPSFPALLVVGGYQSLELWNTAENKCMTIPAHDCVISALTQSQVTGMVASASYDKSVKIWK >KJB72102 pep chromosome:Graimondii2_0_v6:11:28865693:28873044:-1 gene:B456_011G159400 transcript:KJB72102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHVTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQMQRRDPNHPSLGGPVNATGSEGMLGQSNASALAAKMYEERMKHPNAINSETSQPLLDARMALLKSATNHPGQLVQGNQGSVTAALQQIQDIKGDVNLGGPQRAMPMDPSSIYGQGIMQSKPGIGNSGLNPGVGSLPLKGWPLTGIDQIRPNLGAQVQKPFIQNANQFQLLPQQQQQQVLAQVQTQGNMGSSPMYGDMDPQRFSGLSRGTLNAKEGQPIVNDGSIGSPMQSTSSKMNMPPIRQSSSQQDPLQSQHVQQNNRKRKGASSSGAANSTGTGNTVGPSNSQPSTPSTHTPGDAVAAVSNMQHGSSMSKNLMMYGSDGTGGIASSTNQLDDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHATETSKGFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLETECTPEEHTHIITDIRFRPNSTQLATSSFDTTVRVWDAAQPSYCMWKYTGHTAQVMSLDFHPKKNDLFCSCDGNSEIRFWNINQYSCTRISKGGCSNVRFQPRIGQFLAAAAETVVSIVDVETDRRTQLLQGHNTEVHSICWDTNGDFLASVSQDSVRVWSLASGECIHELNSSGNKFHSCVFHPSFPALLVVGGYQSLELWNTAENKCMTIPAHDCVISALTQSQVTGMVASASYDKSVKIWK >KJB72104 pep chromosome:Graimondii2_0_v6:11:28866152:28873044:-1 gene:B456_011G159400 transcript:KJB72104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHVTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQMQRRDPNHPSLGGPVNATGSEGMLGQSNASALAAKMYEERMKHPNAINSETSQPLLDARMALLKSATNHPGQLVQGNQGSVTAALQQIQDIKGDVNLGGPQRAMPMDPSSIYGQGIMQSKPGIGNSGLNPGVGSLPLKGWPLTGIDQIRPNLGAQVQKPFIQNANQFQLLPQQQQQQVLAQVQTQGNMGSSPMYGDMDPQRFSGLSRGTLNAKEGQPIVNDGSIGSPMQSTSSKMNMPPIRQSSSQQDPLQSQHVQQNNRKRKGASSSGAANSTGTGNTVGPSNSQPSTPSTHTPGDAVAAVSNMQHGSSMSKNLMMYGSDGTGGIASSTNQLDDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHATETSKGFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLETECTPEEHTHIITDIRFRPNSTQLATSSFDTTVRVWDAAQPSYCMWKYTGHTAQVMSLDFHPKKNDLFCSCDGNSEIRFWNINQYSCTRISKGGCSNVRFQPRIGQFLAAAAETVVSIVDVETDRRTQLLQGHNTEVHSICWDTNGDFLASVSQDSVRVWSLASGECIHELNSSGNKFHSCVFHPSFPALLVVGGYQSLELWNTAENKCMTIPAHDCVISALTQSQVTGMVASASYDKSVKIWK >KJB72108 pep chromosome:Graimondii2_0_v6:11:28866530:28872699:-1 gene:B456_011G159400 transcript:KJB72108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHVTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQMQRRDPNHPSLGGPVNATGSEGMLGQSNASALAAKMYEERMKHPNAINSETSQPLLDARMALLKSATNHPGQLVQGNQGSVTAALQQIQDIKGDVNLGGPQRAMPMDPSSIYGQGIMQSKPGIGNSGLNPGVGSLPLKGWPLTGIDQIRPNLGAQVQKPFIQNANQFQLLPQQQQQQVLAQVQTQGNMGSSPMYGDMDPQRFSGLSRGTLNAKEGQPIVNDGSIGSPMQSTSSKMNMPPIRQSSSQQDPLQSQHVQQNNRKRKGASSSGAANSTGTGNTVGPSNSQPSTPSTHTPGDAVAAVSNMQHGSSMSKNLMMYGSDGTGGIASSTNQLDDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHATETSKGFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLETECTPEEHTHIITDIRFRPNSTQLATSSFDTTVRVWDAAQPSYCMWKYTGHTAQVMSLDFHPKKNDLFCSCDGNSEIRFWNINQYSCTRISKGGCSNVRFQPRIGQFLAAAAETVVSIVDVETDRRTQLLQGHNTEVHSICWDTNGDFLASVSQDSVRVWSLASGECIHELNSSGNKFHSCVFHPSFPALLVVGGYQVPFRILKLSFAICFTHSSTPCS >KJB72105 pep chromosome:Graimondii2_0_v6:11:28866275:28872699:-1 gene:B456_011G159400 transcript:KJB72105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHVTAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQMQRRDPNHPSLGGPVNATGSEGMLGQSNASALAAKMYEERMKHPNAINSETSQPLLDARMALLKSATNHPGQLVQGNQGSVTAALQQIQDIKGDVNLGGPQRAMPMDPSSIYGQGIMQSKPGIGNSGLNPGVGSLPLKGWPLTGIDQIRPNLGAQVQKPFIQNANQFQLLPQQQQQQVLAQVQTQGNMGSSPMYGDMDPQRFSGLSRGTLNAKEGQPIVNDGSIGSPMQSTSSKQMNMPPIRQSSSQQDPLQSQHVQQNNRKRKGASSSGAANSTGTGNTVGPSNSQPSTPSTHTPGDAVAAVSNMQHGSSMSKNLMMYGSDGTGGIASSTNQLDDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHATETSKGFGFNEVGSIRKSNGKVTCCHFSSDGKLLASAGHDKKAVLWNMETLETECTPEEHTHIITDIRFRPNSTQLATSSFDTTVRVWDAAQPSYCMWKYTGHTAQVMSLDFHPKKNDLFCSCDGNSEIRFWNINQYSCTRISKGGCSNVRFQPRIGQFLAAAAETVVSIVDVETDRRTQLLQGHNTEVHSICWDTNGDFLASVSQDSVRVWSLASGECIHELNSSGNKFHSCVFHPSFPALLVVGGYQSLELWNTAENKCMTIPAHDCVISALTQSQVTGMVASASYDKSVKIWK >KJB73625 pep chromosome:Graimondii2_0_v6:11:56738790:56740059:-1 gene:B456_011G2412001 transcript:KJB73625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLG >KJB74241 pep chromosome:Graimondii2_0_v6:11:61426779:61430324:1 gene:B456_011G282200 transcript:KJB74241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPSSRQTKHQVFLSFRGEDTRLNFTSHLLKALKDTGLNVFFDEETLERGEQISPALSNAIMASNLSIIILSKDYASSKSCLAEISCIMYCKHTRRQIVLPIFYHVDPFDVRNLGGSFKTSFSDHESKSLDQVRRWKKAFADVGKLKGWHIEGGKFDRPETKYIKDIVEYVTKKLMNNKSSTAFEELVGIDYQKKTILKLINQKDCRVIGLWGMAGIGKTTLANVVYHEICSKFKSCWFLQNVREKIRQQGMESLRNNFVSKILNQQIDILTPSIGSAFIRERLNNKKVIVVVDDVDDPDLIDYLGVKHFGDGSKIILISRDRQVLKNGGADKIHKVNRLNEKSSLQLFSTFAFKQLNPTANFRDLSNQFVRYAQGNPLALKVLGCKLYTKSRKEWESEVDRLKEYGQPKISQILKSSFDELGELEKNLFLDIACFFKGKYKEEVEDILCSLYNACAAGPLIISMHDILEEMGKDIIRQEAIGIGNCSRLWSPNNVHQMLRYNKGNEAIKGIKLDMSQIDNLKLSPTVFENMLNLRFIHFYFPRKFGECWNNKLLADQVDIVSLPDELRYLCWECYPFKSLSSSFNPKNLVVLKLPHGDMEQLWNGDNHQDLVSLKEIDLFDCKNLRKIPSLLGAINLKSLCCRGCESLVELPCLTHLASLKSFDLHGCHNLKKIPEIPSHFHYLDLEGTGIEEVPDSIEHLIWLRQLRLSNSRVKNVSNNILKLESLNDLDLSHCPISKFPEIPKSLRRLNLSKTQIEQVSLSFDYIGILADLDMSGSGIQKLQCYISLSGSRKIPTIDVPSSILSFKSLRNLRMNHCKSLKLLLDLPPYLWRLDAHDCPSLEKVSFIQQHGFLGGAYELSMMFSNCSNLNQDSIDSIEANAMFKIGSIAEKWRGQNIFFPRASVCCFPGTEISANKFESQNVYSSLTLKIAPNVC >KJB69312 pep chromosome:Graimondii2_0_v6:11:1104404:1107356:1 gene:B456_011G015800 transcript:KJB69312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSCCGVEVQHRTGGLGGNSNNNGKSLRSLVNNLSRKAGANKQKIAEEIQKVGKVKVSAHIFTFRELAVATDNFNPDCLIGEGGFGRVYKGYIENLDQIVAVKRLDRNGTQGSREFFSEVLMLSLVNHQNLVNLIGYCADGDQRILVYEYMANGSLEHHLLDLPPGKEPLDWNTRMKVAEGAAKGLEYLHDFADPPIIYRDFKASNILLDENFNPKLSDFGLAKLGPTEGKDHVSTRVMGTYGYCAPEYAMTGQLTTKSDVYSFGVVFLELISGRRAIDLERPAEEQNLVLWAEPLLKDRQKFTKIIDPLLEENYPVKGLYQALAIAAMCLQEDANSRPLIGDVLTAVEFLARPKVDGQVTAESQRNSSFHVKSVKERSSKRDRDL >KJB69313 pep chromosome:Graimondii2_0_v6:11:1104600:1106549:1 gene:B456_011G015800 transcript:KJB69313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSCCGVEVQHRTGGLGGNSNNNGKSLRSLVNNLSRKAGANKQKIAEEIQKVGKVKVSAHIFTFRELAVATDNFNPDCLIGEGGFGRVYKGYIENLDQIVAVKRLDRNGTQGSREFFSEVLMLSLVNHQNLVNLIGYCADGDQRILVYEYMANGSLEHHLLDLPPGKEPLDWNTRMKVAEGAAKGLEYLHDFADPPIIYRDFKASNILLDENFNPKLSDFGLAKLGPTEGKDHVSTRVMGTYGYCAPEYAMTGQLTTKSDVYSFGVVFLELISGRRAIDLERPAEEQNLVLWVCSWNPKSLVLSSVLSL >KJB72927 pep chromosome:Graimondii2_0_v6:11:49552008:49553708:-1 gene:B456_011G204500 transcript:KJB72927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQSFRDNCFHKKHSSDLLMRIQFAEEKKSVTNLPQTKLEEFEDVKEEAVLTTLRSALDFYSTIQADDGHWPGDYGGPMSLLPGLVITLYVTGALNTILSKEHQYEICRYLYNHQNRYGGWGLHIEGPSTMFGTVLNYVSLRLLGEGAEGGEGAIEKAREWILEHGSFQKFVSK >KJB70005 pep chromosome:Graimondii2_0_v6:11:4142829:4143791:1 gene:B456_011G052800 transcript:KJB70005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLMRRLSRVRVNHSTQYSMLRSEASDPPADPTKRRPDVPEGHFPVYVGMDQTSTRRFIVSAELLRRPIFVELLNRSAQEYGYEQRGVLRIPINIVIFERVLESLRQGRDPSSLDELI >KJB70006 pep chromosome:Graimondii2_0_v6:11:4142866:4143738:1 gene:B456_011G052800 transcript:KJB70006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLMRRLSRVRVNHSTQYSMLRSEASDPPADPTKRRPDVPEGHFPVYVGMDQTSTRRFIVSAELLRRPIFVELLNRSAQEYGYEQRGVLRIPINIVIFERVLESLRQGRDPSSLDELI >KJB72555 pep chromosome:Graimondii2_0_v6:11:43944421:43948091:1 gene:B456_011G184700 transcript:KJB72555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSKTNPECPNMARLPSPIFWKRCSSLPAKPDSNLFPSVDVPSSEKLSEEQNKSDKGGKDAVVSRSLSVPGRSVVIVRSASFDTHKKNVPADNGDDKSKVVPLESNDEEIPEEEALCRICMDVCEEGNTLKMECSCKGALQLVHESCAVKWFSTKGNKNCEVCMQEVRNLPVTLLRLPPNSLRGNRRARRGSRQNVNSQNSPSESASAWQDFVLLVLISSVCYFFFLEQLLILNLKAQAIVIAAPFALTLALLASILAVILAIKQYIWTYAAFEFTLVAIIFYVLYSLLHLKPIVAILLSGTFSFGIAMAVNALYIYYFDMRVRITENPNPV >KJB72553 pep chromosome:Graimondii2_0_v6:11:43943877:43947249:1 gene:B456_011G184700 transcript:KJB72553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEMLEVSGRQSDLSLGTPPRSIGFGSRSGKGLLSGKSGSSSGCLLHGLCFKKTGISVICDGERSLLLMPDSKTNPECPNMARLPSPIFWKRCSSLPAKPDSNLFPSVDVPSSEKLSEEQNKSDKGGKDAVVSRSLSVPGRSVVIVRSASFDTHKKNVPADNGDDKSKVVPLESNDEEIPEEEALCRICMDVCEEGNTLKMECSCKGALQLVHESCAVKWFSTKGNKNCEVCMQEVRNLPVTLLRLPPNSLRGNRRARRGSRQNVNSQNSPSESASAWQDFVLLVLISSVCYFFFLEQLLILNLKAQAIVIAAPFALTLALLASILAVILAIKQYIWTYAAFEFTLVAIIFYVLYSLLHLKPIVAILLSGTFSFGIAMAVNALYIYYFDMRVRITENPNPV >KJB72554 pep chromosome:Graimondii2_0_v6:11:43944616:43947567:1 gene:B456_011G184700 transcript:KJB72554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSKTNPECPNMARLPSPIFWKRCSSLPAKPDSNLFPSVDVPSSEKLSEEQNKSDKGGKDAVVSRSLSVPGRSVVIVRSASFDTHKKNVPADNGDDKSKVVPLESNDEEIPEEEALCRICMDVCEEGNTLKMECSCKGALQLVHESCAVKWFSTKGNKNCEVCMQEVRNLPVTLLRLPPNSLRGNRRARRGSRQNVNSQNSPSESASAWQDFVLLVLISSVCYFFFLEQLLILNLKAQAIVIAAPFALTLALLASILAVILAIKQYIWTYAAFEFTLVAIIFYVLYSLLHLKPIVAILLSGTFSFGIAMAVNALYIYYFDMRVRITENPNPV >KJB73126 pep chromosome:Graimondii2_0_v6:11:52112277:52115029:1 gene:B456_011G216400 transcript:KJB73126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKETNNQENPSLLSNNNTNKEDCSPKKHPGSSTVTGGGGGSNDRLKRDEWSEGAVSSLLEAYENKWVLRNRAKLKGHDWEDVARYVSARANCTKSPKTQTQCKNKIESMKKRYRSESATAEGSSWPLYPRLDLLLRGNAAAAAAAAAPSPPPSLPLPPPPQQLHLSAVVQPQPPGPLFTNLPLTLPEASTLVVLQQQQQPPPPPLPPAAPPPALAPQGLGTAQNSHGSNGFEKIPKDDGAGTKVSDHLSDKVAIETDSSTPGLYSDKEKLRSKKLKMKTMENKKKKRRKKEEYREIGESIRILAEVVLKSEESRMETLREIEKMRIEAETKRGEMELKRTEIIANTQLEIAKLFAGSSNKGIDPSLRIGRS >KJB72454 pep chromosome:Graimondii2_0_v6:11:43463944:43465423:-1 gene:B456_011G182400 transcript:KJB72454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEVLRPQDCLIERIRLPPTACPRRRYGNGSFNPSYYHNYNNNNGNGRSNRKPVQRKRIGSEQGISKKYSSADDLKTVRNNVMVEKVTIMRRGESLDSKIKIDNGGGGREGGLVVMGTDRLGPDPEMVPKQIKIVDAKSSVTVTSDVYAGSAFSVSPAPSSLPLPTFSKKKQVPVDDSATRDLRRLLRLDL >KJB72575 pep chromosome:Graimondii2_0_v6:11:44204602:44207725:-1 gene:B456_011G185700 transcript:KJB72575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYDWSGYLAVAEMVQKAGLKLHVSLCFHASSQPRIPLPKWVTKIGESQSSIFFADRSGQHYQQCLSLAVDDLAVLDGKTPVQVYQGFCESFKSTFSPFIGSTITGISMGLGPDGELRYPSHHKPAKSGTITGVGEFQCYDTNMLNLLKQYAEANGNPLWGLGGPHDAPTYDQAPNLNSFFKDHGGSWESPYGDFFLSWYSSELVSHGNRLLSLASSIFGDTEVNVYGKVPLMHSWYKTRAHPSELTAGFYNTASRNGYEAVAEMFARNSCKIILPGMDLSDEHQPHDSLSSPESLLAQIRTTCNKHRVEVAGQNLASGAPGGLEQIKKNMLGENPIDLFTYQRMGAHFFSPEHFPSFTEFVRSLSQPELHPDDLPSDEAEATESVQTSSDPNIHLQTA >KJB72576 pep chromosome:Graimondii2_0_v6:11:44204932:44207444:-1 gene:B456_011G185700 transcript:KJB72576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSVIRSSSQAKISKTELGCRDLRFCFGKSNDKNKIFSRKPNSVCFDSQISRFRKAGLRFTLKAVHSDPILESKSPATSKSLDRLRLFVGLPLDAVSDGNSVNHARAIGAGLKALKLLGVEGVELPVWWGVVENEMGKYDWSGYLAVAEMVQKAGLKLHVSLCFHASSQPRIPLPKWVTKIGESQSSIFFADRSGQHYQQCLSLAVDDLAVLDGKTPVQVYQGFCESFKSTFSPFIGSTITGISMGLGPDGELRYPSHHKPAKSGTITGVGEFQCYDTNMLNLLKQYAEANGNPLWGLGGPHDAPTYDQAPNLNSFFKDHGGSWESPYGDFFLSWYSSELVSHGNRLLSLASSIFGDTEVNVYGKVPLMHSWYKTRAHPSELTAGFYNTASRNGYEAVAEMFARNSCKIILPGMDLSDEHQPHDSLSSPESLLAQIRTTCNKHRVEVAGQNLASGAPGGLEQIKKNMLGENPIDLFTYQRMGAHFFSPEHFPSFTEFVRSLSQPELHPDDLPSDEAEATESVQTSSDPNIHLQTA >KJB73642 pep chromosome:Graimondii2_0_v6:11:56881215:56885220:1 gene:B456_011G241900 transcript:KJB73642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSRCSRAATAATTANATTATTFAYLIRSLSPFSSSSSSSTFDPQNDLNNRNKPAVLGTLKRESKGRNVQWVFLGCPGVGKGTYASRLSNLLGVPHISTGDLVRDELASSGPLSSQLKEIVTQGKLVSDEIIIDLLSKRLEAGEAKGESGFILDGFPRTVRQAEILEGVTDIDLVINLKLREEALLAKCLGRRICSECGGNYNVACIDIKAENGRPGMYMAPLPPPPQCASKLITRPDDTEEVVKQRLRIYQAMTRPVEDFYRSRGKLLEFDLPGGIPESWPKLLCALNLEDREDKQSAAA >KJB73641 pep chromosome:Graimondii2_0_v6:11:56881183:56885249:1 gene:B456_011G241900 transcript:KJB73641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSRCSRAATAATTANATTATTFAYLIRSLSPFSSSSSSSTFDPQNDLNNRNKPAVLGTLKRESKGRNVQWVFLGCPGVGKGTYASRLSNLLGVPHISTGDLVRDELASSGPLSSQLKEIVTQGKLVSDEIIIDLLSKRLEAGEAKGESGFILDGFPRTVRQAEILEGVTDIDLVINLKLREEALLAKCLGRRICSECGGNYNVACIDIKAENGRPGMYMAPLPPPPQCASKLITRPDDTEEVVKQRLRIYQAMTRPVEDFYRSRGKLLEFDLPGGIPESWPKLLCALNLEDREDKQSAAA >KJB73643 pep chromosome:Graimondii2_0_v6:11:56881215:56885200:1 gene:B456_011G241900 transcript:KJB73643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSRCSRAATAATTANATTATTFAYLIRSLSPFSSSSSSSTFDPQNDLNNRNKPAVLGTLKRESKGRNVQWVFLGCPGVGKGTYASRLSNLLGVPHISTGDLVRDELASSGPLSSQLKEIVTQGKLVSDEIIIDLLSKRLEAGEAKGESGFILDGFPRTVRQAEILEGVTDIDLVINLKLREEALLAKCLGRRICSECGGNYNVACIDIKAENGRPGMYMAPLPPPPQCASKLITRPDDTEEVVKQRLRIYQAMTRPVEDFYRSRGKLLEFDLPGGIPESWPKLLCALNLEDREDKQSAAA >KJB73754 pep chromosome:Graimondii2_0_v6:11:57492588:57493354:1 gene:B456_011G248900 transcript:KJB73754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSKVSLKLLIDCKSNKVLFAEAGKDFVDFLFNLLSLPLGTVIKLLKTNSIVGSLGSLYESIEKLSETYMHPNQNKDSLLNPRARTPASGVPLLLVNDAAGRKVYMCPDSNHRNVADDSGMACPQCKKRMATEVTVVGRNVGEGKTSDEGGFVKGVHICMTMLNKFNVTDVGALQEKVVDLGMNEALWLLKASLKSKTVLTSVFLGNKKF >KJB71292 pep chromosome:Graimondii2_0_v6:11:14908413:14908787:1 gene:B456_011G115100 transcript:KJB71292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRYLFHVLVLVYVLATVTAARQLPISSKPGRLEENGGLAECWNALNELKSCTDEIILFFVNGQTDIGPECCGGIEVITRKCWPTMLTSLGFTSEEGNILRGYCDASSTPAAAAPLGASSPVS >KJB74278 pep chromosome:Graimondii2_0_v6:11:61745693:61748306:-1 gene:B456_011G2849001 transcript:KJB74278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QVFSKTPTGLLVRGNDTDQQALLQFKAKITDDQLRVMESWNSSIHFCQWHGVTCGHKHQRVNKLKLQFLKLSGSLSPFIGNLSFLKELNLSGNSFYNQIPQEVGHLRRLEILDLTSNSISGEIPPNLSSCSKLKIVRMGSNQLTGEIPSFLGFLSNLKVLSFYNNSLRGSIPPSLGNLSSLETLSNNALDGIIPETLAQLTNLSSFLAAANTISGTVPVAMFNLSNIRFFDIGVNKIQGTLYTDLAITMPYVEFFSVRGNKISGQIPVSISNASNLNVLQFNDNRLSGKVPSLEKLDKLSTLQLSVNRLGRGREGDLNFLCTLVNNTKLEFLYISDNNLGGVFPKCISNLSNTLLRLGIHQNKITGRIPDGIRNLINLEELFASENQLSGPIPFVIGRLQKLQNFFAHNNFLSGTIPHSIGNLTLLKKLALDFNNLHGNIPLSLGNCQSLLGLSVSYNNLSGPIPPQLLGVSSMSIILDLSSNYLTGEIPVAVENLKNLGELYVSQNRLSGLLPENLGSCVSLEKLFLDGNFFEGPIPSSLSSLRGLEALDVSNNNLSGEVPEFLVRFGALRYLNLSFNNFEGVIPSGGVFKNGSATFVEGNSKLCGGVPELHLLICNSKTSSSNSLRLKVAIIVVTLGVTLVFTCLLILWFRKKKEQSTTTCVESSLLQLSYQSIVRATDGFSTQNLVGSGSFGSVYKGVLEASGAVIAVKVLNLLNRGASRSFLAECEALKNIRHRNLVKVLTATSGVNYQGNDFKALVYEFMENGSLEDWLHPLIGMNEPETARNLNFFQRVSVAIDVAHALEYLHHHCEEPIIHCDLKPSNILLDEEMVGHISDFGLAKILSTDRLNYPANKSSSLGLRGTIGYTPP >KJB74161 pep chromosome:Graimondii2_0_v6:11:60881903:60883144:-1 gene:B456_011G276500 transcript:KJB74161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMPRFEVPEALVTEILSKLPVKSLMRFNCICKYWCSSFRTPHFISKHYHNNLKNNNLNPLLQRFDGNANLAYLSQLSVEKDENFLVKQNIRLPFFIHDSPYVWGACHGLFCLHSSFMNDMEVAIWNPSTREFKILPQSSVQRPTYPGLTCKYVIFDCGAFEFDFKNDDYKFIRFVTLCYVDSERGIESPDDVSQVELYSLKCDSWKEIPSPNYRPLDYCLSNNCLDGICYWQIVTGNSPYEKVMILSFDMANEKFSVSPILEFVGFFSKTNINVLVFNGSVGVLVYPVEGIDKSFDLWVMNGKEWTKQFSIESIPGVVNPLGFWKNNELFLLNTNYEVVLFDPSTQELKVLGINSYLDHHREYVSLFFYVESLVSINGIQEHRDHIIRQLVGDASNNINRNIDQVDTKYDDG >KJB70967 pep chromosome:Graimondii2_0_v6:11:10841326:10847503:-1 gene:B456_011G097800 transcript:KJB70967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKAEPVQKLYTRMRLWEFPDEYVIEPTDGSSASSSLSISLVDASMKLIDSIPECSSFRVPKIQMIFGVVGMLKLVAGSYLFVITERKCVGSYLGHPIFKVISLKVLPCVHSVKNSTPEQKKMENEFSRLLKVAERTTGLYFSYDTNLTLSTQRLYDLGNESKMLPLWRQAEPRFLWNSYMLEALIDKKLDPYLLPVVQGSFHNFQATIGKEIVDVTLIARRCTRRNGTRMWRRGADSEGHVANFVETEQIIQINGFTSSFVQVRGSIPFLWEQIVDLTYKPKFEIVRPEEAPQIAERHFLDLRKMYGSVLAVDLLNKICGHVHFERLSFLYDQIADFLVKNGYFLLNEESEKMEQLGVVRTNCIDCLDRTNITQSMIGRKILELQLRRIGVFAAEETISSHPKLDRCFRILWANHGDDISIQYSGTAALKGDLVRSGQRRVQGILKDRYISFKRYYLNNFSDGTKQDAIDLLQGHYKVSVGGDITPPSQTGGLEAIASFPLALCLVLIGLLLTTMSLGQVGNDPRHLLFSVVWGSISVGIASFVRAKGRIFCNRPRLQLHDKPGY >KJB70965 pep chromosome:Graimondii2_0_v6:11:10841416:10847461:-1 gene:B456_011G097800 transcript:KJB70965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWEFPDEYVIEPTDGSSASSSLSISLVDASMKLIDSIPECSSFRVPKIQMIFGVVGMLKLVAGSYLFVITERKCVGSYLGHPIFKVISLKVLPCVHSVKNSTPEQKKMENEFSRLLKVAERTTGLYFSYDTNLTLSTQRLYDLGNESKMLPLWRQAEPRFLWNSYMLEALIDKKLDPYLLPVVQGSFHNFQATIGKEIVDVTLIARRCTRRNGTRMWRRGADSEGHVANFVETEQIIQINGFTSSFVQVRGSIPFLWEQIVDLTYKPKFEIVRPEEAPQIAERHFLDLRKMYGSVLAVDLLNKHGGEGRLSDMFSNAMQPIVSEDLRYLHFDFTKICGHVHFERLSFLYDQIADFLVKNGYFLLNEESEKMEQLGVVRTNCIDCLDRTNITQSMIGRKILELQLRRIGVFAAEETISSHPKLDRCFRILWANHGDDISIQYSGTAALKGDLVRSGQRRVQGILKDRYISFKRYYLNNFSDGTKQDAIDLLQGHYKVSVGGDITPPSQTGGLEAIASFPLALCLVLIGLLLTTMSLGQVGNDPRHLLFSVVWGSISVGIASFVRAKGRIFCNRPRLQLHDKPGY >KJB70963 pep chromosome:Graimondii2_0_v6:11:10841283:10848063:-1 gene:B456_011G097800 transcript:KJB70963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKAEPVQKLYTRMRLWEFPDEYVIEPTDGSSASSSLSISLVDASMKLIDSIPECSSFRVPKIQMIFGVVGMLKLVAGSYLFVITERKCVGSYLGHPIFKVISLKVLPCVHSVKNSTPEQKKMENEFSRLLKVAERTTGLYFSYDTNLTLSTQRLYDLGNESKMLPLWRQAEPRFLWNSYMLEALIDKKLDPYLLPVVQGSFHNFQATIGKEIVDVTLIARRCTRRNGTRMWRRGADSEGHVANFVETEQIIQINGFTSSFVQVRGSIPFLWEQIVDLTYKPKFEIVRPEEAPQIAERHFLDLRKMYGSVLAVDLLNKHGGEGRLSDMFSNAMQPIVSEDLRYLHFDFTKICGHVHFERLSFLYDQIADFLVKNGYFLLNEESEKMEQLGVVRTNCIDCLDRTNITQSMIGRKILELQLRRIGVFAAEETISSHPKLDRCFRILWANHGDDISIQYSGTAALKGDLVRSGQRRVQGILKDRYISFKRYYLNNFSDGTKQDAIDLLQGHYKVSVGGDITPPSQTGGLEAIASFPLALCLVLIGLLLTTMSLGQVGNDPRHLLFSVVWGSISVGIASFVRAKGRIFCNRPRLQLHDKPGY >KJB70964 pep chromosome:Graimondii2_0_v6:11:10841292:10848012:-1 gene:B456_011G097800 transcript:KJB70964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWEFPDEYVIEPTDGSSASSSLSISLVDASMKLIDSIPECSSFRVPKIQMIFGVVGMLKLVAGSYLFVITERKCVGSYLGHPIFKVISLKVLPCVHSVKNSTPEQKKMENEFSRLLKVAERTTGLYFSYDTNLTLSTQRLYDLGNESKMLPLWRQAEPRFLWNSYMLEALIDKKLDPYLLPVVQGSFHNFQATIGKEIVDVTLIARRCTRRNGTRMWRRGADSEGHVANFVETEQIIQINGFTSSFVQVRGSIPFLWEQIVDLTYKPKFEIVRPEEAPQIAERHFLDLRKMYGSVLAVDLLNKHGGEGRLSDMFSNAMQPIVSEDLRYLHFDFTKICGHVHFERLSFLYDQIADFLVKNGYFLLNEESEKMEQLGVVRTNCIDCLDRTNITQSMIGRKILELQLRRIGVFAAEETISSHPKLDRCFRILWANHGDDISIQYSGTAALKGDLVRMRSISSKDITKSL >KJB70966 pep chromosome:Graimondii2_0_v6:11:10841326:10846308:-1 gene:B456_011G097800 transcript:KJB70966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSIPAFCGTRMWRRGADSEGHVANFVETEQIIQINGFTSSFVQVRGSIPFLWEQIVDLTYKPKFEIVRPEEAPQIAERHFLDLRKMYGSVLAVDLLNKHGGEGRLSDMFSNAMQPIVSEDLRYLHFDFTKICGHVHFERLSFLYDQIADFLVKNGYFLLNEESEKMEQLGVVRTNCIDCLDRTNITQSMIGRKILELQLRRIGVFAAEETISSHPKLDRCFRILWANHGDDISIQYSGTAALKGDLVRSGQRRVQGILKDRYISFKRYYLNNFSDGTKQDAIDLLQGHYKVSVGGDITPPSQTGGLEAIASFPLALCLVLIGLLLTTMSLGQVGNDPRHLLFSVVWGSISVGIASFVRAKGRIFCNRPRLQLHDKPGY >KJB73841 pep chromosome:Graimondii2_0_v6:11:58525076:58530822:1 gene:B456_011G255900 transcript:KJB73841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 1 [Source:Projected from Arabidopsis thaliana (AT5G13680) UniProtKB/Swiss-Prot;Acc:Q9FNA4] MKNLKLYSEITSNLQLQSEGEVLLFSAYDIERNRFFFASSYNLIYTLHLSSLQNERAWTKGPLQAEIDPLDLEPEDVITSFDYLMEKEALIVGTSNGLLLLHNVDGKETEVVGRVEGGVKCISPSPDGDLLGVTTGLGQLLVMTHDWDLLYETALEDQPDGVDVREPKFPSGEMFGSPITWRGDGKHFATLSEVLNSSSKRLKVWERDGGAVHASSEPKELMGSILDWMPSGAKIAAVCDRKAQNEGPSIVFFERNGLERSSFLIGEPIDATVEHLKWNCSSDLLAAVVRCGNYDSVKIWFFSNNHWYLKHEIKYSRKDGVRFMWDPTKSQQLICWSLDGQVTVYKFFWVTAVTDESNALVIDGSKILVTPLPVFLMPPPMYLFSLNFPSAVREVAFYSMNGKNRLAALLSNGCLCVAELPTPDTWESLEGKEFSVEPCASAIPLGSFVHLIWLDPHVLLAVSHHGFNHSNCYFQPSSNEDRLLGFYLQEIELSCSEDNVPGLLTCSGWHAKVSYRNLLEGLVIGVAPNPAKRSSAFVQFDGGEVFEYTSKLGINKLDFKHDELRFSSSCSWMNVVLVGVTEPSKLLLFGLDDMGRLHVGRKILCSNCSSFSFYSNLADNVITHLILATKQDLLFIVDIGDILHGELELTYENFIHVGNKRREEENINFINIWERGAKVVGVLHGDEAAVILQTNRGNLECIYPRKLVLASIVNALNQRRFRDALLMVRRHRIDFNVIVDYCGLQVFIQLASEFVKQVKNLSYITDFVCAIKHENVTEKLYKKFLSPPSCKEQKDLLTNDFKGSDASLDANNKVSLVLLAIRRALDEQVPESPARELCILTTLARSDPPALEEALERVKVIREMELSGSDDPRQVNFPSAEEALKHLLWLSDPEAVFEAALGLYDLNLAAIVALNSQRDPKEFLPFLQELERMPDLLMRYNIDLRLHRFEKALKHIVSAGDSHFFDCMNLMKKNPQLFPLGLQLITDNTKRGQVLEAWGDHLSDEKCFEDAAATYLCCTNLQKALKAYRECGHWSGMLTVAGLMKLEKNEVLQLAHELSEELQALGKPGEAAKIALEYCGDINGGINLLISARDWEEALRVAFLHSREDLVSVVKNAALECASSLIDEYKEGLEKVGKYLARYLAVRQRRLLLAAKLQSEERSINDLDDDTASEASSTFSAMSVYTKGTRKSSAASTASTTASRARDTRRQRSRGKIRPGSPGEEMALVEHLKGMSLTARAKQELKSLLVSLVMLGEEETARKLQHVGENFQLPHMAAVRLADDTLSDDTINEHGHTLECYLQKVKTELKDSDAFSWRCRVFVSP >KJB73842 pep chromosome:Graimondii2_0_v6:11:58525167:58530323:1 gene:B456_011G255900 transcript:KJB73842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 1 [Source:Projected from Arabidopsis thaliana (AT5G13680) UniProtKB/Swiss-Prot;Acc:Q9FNA4] MFGSPITWRGDGKHFATLSEVLNSSSKRLKVWERDGGAVHASSEPKELMGSILDWMPSGAKIAAVCDRKAQNEGPSIVFFERNGLERSSFLIGEPIDATVEHLKWNCSSDLLAAVVRCGNYDSVKIWFFSNNHWYLKHEIKYSRKDGVRFMWDPTKSQQLICWSLDGQVTVYKFFWVTAVTDESNALVIDGSKILVTPLPVFLMPPPMYLFSLNFPSAVREVAFYSMNGKNRLAALLSNGCLCVAELPTPDTWESLEGKEFSVEPCASAIPLGSFVHLIWLDPHVLLAVSHHGFNHSNCYFQPSSNEDRLLGFYLQEIELSCSEDNVPGLLTCSGWHAKVSYRNLLEGLVIGVAPNPAKRSSAFVQFDGGEVFEYTSKLGINKLDFKHDELRFSSSCSWMNVVLVGVTEPSKLLLFGLDDMGRLHVGRKILCSNCSSFSFYSNLADNVITHLILATKQDLLFIVDIGDILHGELELTYENFIHVGNKRREEENINFINIWERGAKVVGVLHGDEAAVILQTNRGNLECIYPRKLVLASIVNALNQRRFRDALLMVRRHRIDFNVIVDYCGLQVFIQLASEFVKQVKNLSYITDFVCAIKHENVTEKLYKKFLSPPSCKEQKDLLTNDFKGSDASLDANNKVSLVLLAIRRALDEQVPESPARELCILTTLARSDPPALEEALERVKVIREMELSGSDDPRQVNFPSAEEALKHLLWLSDPEAVFEAALGLYDLNLAAIVALNSQRDPKEFLPFLQELERMPDLLMRYNIDLRLHRFEKALKHIVSAGDSHFFDCMNLMKKNPQLFPLGLQLITDNTKRGQVLEAWGDHLSDEKCFEDAAATYLCCTNLQKALKAYRECGHWSGMLTVAGLMKLEKNEVLQLAHELSEELQALGKPGEAAKIALEYCGDINGGINLLISARDWEEALRVAFLHSREDLVSVVKNAALECASSLIDEYKEGLEKVGKYLARYLAVRQRRLLLAAKLQSEERSINDLDDDTASEASSTFSAMSVYTKGTRKSSAASTASTTASRARDTRRQRSRGKIRPGSPGEEMALVEHLKGMSLTARAKQELKSLLVSLVMLGEEETARKLQHVGENFQLPHMAAVRLADDTLSDDTINEHGHTLECYLQKVKTELKDSDAFSWRCRVFVSP >KJB69068 pep chromosome:Graimondii2_0_v6:11:342128:345591:1 gene:B456_011G004100 transcript:KJB69068 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein DRT111, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30480) UniProtKB/Swiss-Prot;Acc:P42698] MLGGLYGDLPPPSDEDNQPSSNATVWSSSTKMAPPTLRKPFSGFAPPQTIIRSQNKPKNPIPKTTTSSSVSISVSPSPVAVAPDGMVQHQPALVGVTSSVIEEYDPARPNDYEEYRRERKRKAMEEEMRRELERRRREEEEREREREERERERERDFNDSRLNISGEEAWRRRAAMSGGVPRSPSPPPGKAEGFTIGKSETSGLGVGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDRRAGVIVNASETSKPDKKVKSVSFNGPPTRVLLLRNMVGPGEVDDELEDEVGSECAKYGTVTRVLIFEITEPNFPADEAVRIFIQFERSEETTKALIDLDGRFFGGRVVKASFYDEERFGKNELAPMPGEIPGFS >KJB72276 pep chromosome:Graimondii2_0_v6:11:34212640:34214781:-1 gene:B456_011G168200 transcript:KJB72276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTQKFYSFPSLDKVSKPELLRALESSLGSSFSSDRICRAPNPLIIVISGPSGVGKDAVIKRLREVRENLHFVVTATSRGIRPGEVDGKDYYFVSKEEFLSMVEKDELVEYAVVYGDYKGIPKKQIREFMAKGCDIVLRVDIQGAETLRKTLGDSGVFIFLVAESELALVERLIERKTESKEELLVRIATAREEVKFVKRFDYVVVNAHGKLEEAVNLVGSIIDAEKAKKITKMKG >KJB72277 pep chromosome:Graimondii2_0_v6:11:34213660:34214376:-1 gene:B456_011G168200 transcript:KJB72277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTQKFYSFPSLDKVSKPELLRALESSLGSSFSSDRICRAPNPLIIVISGPSGVGKDAVIKRLREVRENLHFVVTATSRGIRPGEVDGKDYYFVSKEEFLSMVEKDELVEYAVVYGDYKGIPKKQIREFMAKGCDIVLRVDIQGAETLRKTLGDSGVFIFLVAESELALVERLIERKTESKEELLVRIATAREEVKFVKRFDYVVVNAHGKLEEAVNLVGSIIDAEKAKVRQRTPFI >KJB73678 pep chromosome:Graimondii2_0_v6:11:56974634:56984811:1 gene:B456_011G242800 transcript:KJB73678 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein A [Source:Projected from Arabidopsis thaliana (AT2G39340) UniProtKB/Swiss-Prot;Acc:F4IUY8] MMNQATNTQTLASVDPNAVENRYVVNANQGQTSLYVPSTTGSEAASWNMYRVENHPAESGSFSNSTYHHVQHSEPSSRTVQDGSNATSLATSSSLGAANTQPDYGGYTSYSNSAGTYSHGSAGYQGYYSGYQQQPNPSYSQPVGAYQNTGAPYQPISSFQNTGSYAGPTSYSSTYYNPGDYQTAGGYPSSSYTHQTTTWNGSNYANYTTQQYSNYTQDSSGAYAAGNAGANSLHYQQHYKQWADYYNQTEVSCAPGTENLSVVSTSTQLPQVSQVPGVSGGYPTSNSQAPTTFAPPWRPESSSSQTPLLQPGATVTGAYDSYRTHGAPSFQNQHPSPVQPHFVKPLESKSSYGNFQEQQKTACPQLPNLQYPVAQQAPQNYQPPTQTVQSLETQRVSKLQIQTNPRIASNLPLGLPKLDKEGPNNNAIAKPAYISVSLPKSSEKVLSNDAADTVLKAGVFPKSLKSYVQRALALCKDEKQSAACQEIMKEIITKATSDGTIHTRDWDAEPLFPIPNTDMTDKNNSQNPTPVSLFSKYKKSPTRRTKSRWEPLEEKSVDKLGSLNNYAAKYSSRVPLDEKDRKPIGASSEGKTDVMKKIRFPQMEQKSASKTIQRQAKRQRLADASAADNGDASSDSDKEQNLTAYYSGAIALANTPEERKRRENRSKRFEKVQGNRAESNHFKAKNAGTGNLYARRTTALVLSKSFEDGGNRAVEDIDWDALTVRGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMVQNSQKNYLYKCDQLKSIRQDLTVQRIRNHLTVKVYEAHARFALEVGDLPEYNQCQSQLKILYAEGIKGCHMEFAAYNLLCVIMHSNNNRDLLSSMSRLSAEAKKDQAVQHALAVRAAVTSGNYVLFFRLYKTAPNLNSCLMDLYVEKMRYKAVSCMSRSYRPQVPVSYIAQVLGFSNGVLTNEGSDEKESDGLEDCVDWLKAHGACLVVDSNGEMQLDAKASSSTIYMPDPEDAVAHGDSSLAVNDFLTRTSS >KJB73679 pep chromosome:Graimondii2_0_v6:11:56975104:56983577:1 gene:B456_011G242800 transcript:KJB73679 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein A [Source:Projected from Arabidopsis thaliana (AT2G39340) UniProtKB/Swiss-Prot;Acc:F4IUY8] MMNQATNTQTLASVDPNAVENRYVVNANQGQTSLYVPSTTGSEAASWNMYRVENHPAESGSFSNSTYHHVQHSEPSSRTVQDGSNATSLATSSSLGAANTQPDYGGYTSYSNSAGTYSHGSAGYQGYYSGYQQQPNPSYSQPVGAYQNTGAPYQPISSFQNTGSYAGPTSYSSTYYNPGDYQTAGGYPSSSYTHQTTTWNGSNYANYTTQQYSNYTQDSSGAYAAGNAGANSLHYQQHYKQWADYYNQTEVSCAPGTENLSVVSTSTQLPQVSQVPGVSGGYPTSNSQAPTTFAPPWRPESSSSQTPLLQPGATVTGAYDSYRTHGAPSFQNQHPSPVQPHFVKPLESKSSYGNFQEQQKTACPQLPNLQYPVAQQAPQNYQPPTQTVQSLETQRVSKLQIQTNPRIASNLPLGLPKLDKEGPNNNAIAKPAYISVSLPKSSEKVLSNDAADTVLKAGVFPKSLKSYVQRALALCKDEKQSAACQEIMKEIITKATSDGTIHTRDWDAEPLFPIPNTDMTDKNNSQNPTPVSLFSKYKKSPTRRTKSRWEPLEEKSVDKLGSLNNYAAKYSSRVPLDEKDRKPIGASSEGKTDVMKKIRFPQMEQKSASKTIQRQAKRQRLADASAADNGDASSDSDKEQNLTAYYSGAIALANTPEERKRRENRSKRFEKVQGNRAESNHFKAKNAGTGNLYARRTTALVLSKSFEDGGNRAVEDIDWDALTVRGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMVQNSQKNYLYKCDQLKSIRQDLTVQRIRNHLTVKVYEAHARFALEVGDLPEYNQCQSQLKILYAEGIKGCHMEFAAYNLLCVIMHSNNNRDLLSSMSRLSAEAKKDQAVQHALAVRAAVTSGNYVLFFRLYKTAPNLNSCLMGKVYSTGLSQC >KJB73680 pep chromosome:Graimondii2_0_v6:11:56974660:56983899:1 gene:B456_011G242800 transcript:KJB73680 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein A [Source:Projected from Arabidopsis thaliana (AT2G39340) UniProtKB/Swiss-Prot;Acc:F4IUY8] MMNQATNTQTLASVDPNAVENRYVVNANQGQTSLYVPSTTGSEAASWNMYRVENHPAESGSFSNSTYHHVQHSEPSSRTVQDGSNATSLATSSSLGAANTQPDYGGYTSYSNSAGTYSHGSAGYQGYYSGYQQQPNPSYSQPVGAYQNTGAPYQPISSFQNTGSYAGPTSYSSTYYNPGDYQTAGGYPSSSYTHQTTTWNGSNYANYTTQQYSNYTQDSSGAYAAGNAGANSLHYQQHYKQWADYYNQTEVSCAPGTENLSVVSTSTQLPQVSQVPGVSGGYPTSNSQAPTTFAPPWRPESSSSQTPLLQPGATVTGAYDSYRTHGAPSFQNQHPSPVQPHFVKPLESKSSYGNFQEQQKTACPQLPNLQYPVAQQAPQNYQPPTQTVQSLETQRVSKLQIQTNPRIASNLPLGLPKLDKEGPNNNAIAKPAYISVSLPKSSEKVLSNDAADTVLKAGVFPKSLKSYVQRALALCKDEKQSAACQEIMKEIITKATSDGTIHTRDWDAEPLFPIPNTDMTDKNNSQNPTPVSLFSKYKKSPTRRTKSRWEPLEEKSVDKLGSLNNYAAKYSSRVPLDEKDRKPIGASSEGKTDVMKKIRFPQMEQKSASKTIQRQAKRQRLADASAADNGDASSDSDKEQNLTAYYSGAIALANTPEERKRRENRSKRFEKVQGNRAESNHFKAKNAGTGNLYARRTTALVLSKSFEDGGNRAVEDIDWDALTVRGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMVQNSQKNYLYKCDQLKSIRQDLTVQRIRNHLTVKVYEAHARFALEVGDLPEYNQCQSQLKILYAEGIKGCHMEFAAYNLLCVIMHSNNNRDLLSSMSRLSAEAKKDQAVQHALAVRAAVTSGNYVLFFRLYKTAPNLNSCLMDLYVEKMRYKAVSCMSRSYRPQVPVSYIAQVLGFSNGVLTNEGSDEKESDGLEDCVDWLKAHGACLVVDSNGEMQLDAKVS >KJB72127 pep chromosome:Graimondii2_0_v6:11:29340645:29345281:-1 gene:B456_011G160300 transcript:KJB72127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQDGQFDRENFNIGPSMPSSPGETLCAAVSASAWVEPHGKCTIAFALAWSSPKIKFSKGSSYHRRYTKFYGTSERAAVDLVHDALTNYKHWEEEIEKWQSPILEDIRLPEWYKFTLFNELYFLVAGGTVWIDSSSPSADVKSDQDPPIKVESKNIKVAEAEMNCRHSTGFEHTSTSGCNSSTGVGLKQNGSSTIPRKKRSCKHFSHHLKTEDQLDGNEDVGRFLYLEGVEYIMWCTYDVHFYASFALLELFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNYGIRKVRGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNSKFVLQVYRDFAATGDMAFGIEVWPAVRTAMEYMEQFDRDDDGLIENDGFPDQTYDAWTVHGVSAYCGCLWLAALQAAAAMAQRLGDKFFAETCKTKFFSAKSAFEKKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYTSSSGLGPIFDEFKTRSSLQKIFDFNVMKVKGGRMGAVNGMHPNGKVDESCMQSREVWTGVTYAVAANMLLAGMEEEAFTTAEGIFIAGWSEEGFGYWFQTPEGWTTNGHYRSLIYMRPLAIWSMQWVLSPPKAIIEAPKINMMDRIVISPATFSLSLPETRVRKIANKTACFGNSSLQCTC >KJB72128 pep chromosome:Graimondii2_0_v6:11:29340645:29351057:-1 gene:B456_011G160300 transcript:KJB72128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIQMVRLGIRLWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIIPGTCNISPVMANQFSIFISRDGGNKKYASVLAPGQHEGLGKTSDEGISSWGWNLNGQHSTYHALFPRAWTIYDGEPDPDLKVSCRQISPFVPHDYRDSSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGISHLSGDHVNEPFIGEDGVSGVLLHHKTAKGNPPVTFAVAASETQNVNVTVLPCFGLTEGSFITARKIWGKMMQDGQFDRENFNIGPSMPSSPGETLCAAVSASAWVEPHGKCTIAFALAWSSPKIKFSKGSSYHRRYTKFYGTSERAAVDLVHDALTNYKHWEEEIEKWQSPILEDIRLPEWYKFTLFNELYFLVAGGTVWIDSSSPSADVKSDQDPPIKVESKNIKVAEAEMNCRHSTGFEHTSTSGCNSSTGVGLKQNGSSTIPRKKRSCKHFSHHLKTEDQLDGNEDVGRFLYLEGVEYIMWCTYDVHFYASFALLELFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNYGIRKVRGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNSKFVLQVYRDFAATGDMAFGIEVWPAVRTAMEYMEQFDRDDDGLIENDGFPDQTYDAWTVHGVSAYCGCLWLAALQAAAAMAQRLGDKFFAETCKTKFFSAKSAFEKKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYTSSSGLGPIFDEFKTRSSLQKIFDFNVMKVKGGRMGAVNGMHPNGKVDESCMQSREVWTGVTYAVAANMLLAGMEEEAFTTAEGIFIAGWSEEGFGYWFQTPEGWTTNGHYRSLIYMRPLAIWSMQWVLSPPKAIIEAPKINMMDRIVISPATFSLSLPETRVRKIANKTACFGNSSLQCTC >KJB72124 pep chromosome:Graimondii2_0_v6:11:29340605:29346934:-1 gene:B456_011G160300 transcript:KJB72124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKGNPPVTFAVAASETQNVNVTVLPCFGLTEGSFITARKIWGKMMQDGQFDRENFNIGPSMPSSPGETLCAAVSASAWVEPHGKCTIAFALAWSSPKIKFSKGSSYHRRYTKFYGTSERAAVDLVHDALTNYKHWEEEIEKWQSPILEDIRLPEWYKFTLFNELYFLVAGGTVWIDSSSPSADVKSDQDPPIKVESKNIKVAEAEMNCRHSTGFEHTSTSGCNSSTGVGLKQNGSSTIPRKKRSCKHFSHHLKTEDQLDGNEDVGRFLYLEGVEYIMWCTYDVHFYASFALLELFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNYGIRKVRGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNSKFVLQVYRDFAATGDMAFGIEVWPAVRTAMEYMEQFDRDDDGLIENDGFPDQTYDAWTVHGVSAYCGCLWLAALQAAAAMAQRLGDKFFAETCKTKFFSAKSAFEKKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYTSSSGLGPIFDEFKTRSSLQKIFDFNVMKVKGGRMGAVNGMHPNGKVDESCMQSREVWTGVTYAVAANMLLAGMEEEAFTTAEGIFIAGWSEEGFGYWFQTPEGWTTNGHYRSLIYMRPLAIWSMQWVLSPPKAIIEAPKINMMDRIVISPATFSLSLPETRVRKIANKTACFGNSSLQCTC >KJB72126 pep chromosome:Graimondii2_0_v6:11:29340605:29352103:-1 gene:B456_011G160300 transcript:KJB72126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGNLFHSKKNSWPPEEYVSRNTLQLFNFDSAAPPKQAWRRRLNSHANILKEFSVTFMEAIQMVRLGIRLWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIIPGTCNISPVMANQFSIFISRDGGNKKYASVLAPGQHEGLGKTSDEGISSWGWNLNGQHSTYHALFPRAWTIYDGEPDPDLKVSCRQISPFVPHDYRDSSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGISHLSGDHVNEPFIGEDGVSGVLLHHKTAKGNPPVTFAVAASETQNVNVTVLPCFGLTEGSFITARKIWGKMMQDGQFDRENFNIGPSMPSSPGETLCAAVSASAWVEPHGKCTIAFALAWSSPKIKFSKGSSYHRRYTKFYGTSERAAVDLVHDALTNYKHWEEEIEKWQSPILEDIRLPEWYKFTLFNELYFLVAGGTVWIDSSSPSADVKSDQDPPIKVESKNIKVAEAEMNCRHSTGFEHTSTSGCNSSTGVGLKQNGSSTIPRKKRSCKHFSHHLKTEDQLDGNEDVGRFLYLEGVEYIMWCTYDVHFYASFALLELFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNYGIRKVRGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNSKFVLQVYRDFAATGDMAFGIEVWPAVRTAMEYMEQFDRDDDGLIENDGFPDQTYDAWTVHGVSAYCGCLWLAALQAAAAMAQRLGDKFFAETCKTKFFSAKSAFEKKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYTSSSGLGPIFDEFKTRSSLQKIFDFNVMKVKGGRMGAVNGMHPNGKVDESCMQSREVWTGVTYAVAANMLLAGMEEEAFTTAEGIFIAGWSEEGFGYWFQTPEGWTTNGHYRSLIYMRPLAIWSMQWVLSPPKAIIEAPKINMMDRIVISPATFSLSLPETRVRKIANKTACFGNSSLQCTC >KJB72125 pep chromosome:Graimondii2_0_v6:11:29340605:29346996:-1 gene:B456_011G160300 transcript:KJB72125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQDGQFDRENFNIGPSMPSSPGETLCAAVSASAWVEPHGKCTIAFALAWSSPKIKFSKGSSYHRRYTKFYGTSERAAVDLVHDALTNYKHWEEEIEKWQSPILEDIRLPEWYKFTLFNELYFLVAGGTVWIDSSSPSADVKSDQDPPIKVESKNIKVAEAEMNCRHSTGFEHTSTSGCNSSTGVGLKQNGSSTIPRKKRSCKHFSHHLKTEDQLDGNEDVGRFLYLEGVEYIMWCTYDVHFYASFALLELFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNYGIRKVRGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNSKFVLQVYRDFAATGDMAFGIEVWPAVRTAMEYMEQFDRDDDGLIENDGFPDQTYDAWTVHGVSAYCGCLWLAALQAAAAMAQRLGDKFFAETCKTKFFSAKSAFEKKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYTSSSGLGPIFDEFKTRSSLQKIFDFNVMKVKGGRMGAVNGMHPNGKVDESCMQSREVWTGVTYAVAANMLLAGMEEEAFTTAEGIFIAGWSEEGFGYWFQTPEGWTTNGHYRSLIYMRPLAIWSMQWVLSPPKAIIEAPKINMMDRIVISPATFSLSLPETRVRKIANKTACFGNSSLQCTC >KJB73039 pep chromosome:Graimondii2_0_v6:11:50740475:50744202:-1 gene:B456_011G210900 transcript:KJB73039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKGYTQDGTVDLRGRPVLASKTGKWKACAFLVGYEAFERMAFYGVASNLVNYLTTQLHEDTVSSVRNVNNWSGSVWITPIIGAYIADTYLGRFWTFTVSSLIYVVGMILLTTTVSMKSLRPTCTDGICNKASTLQIVFFYTSLYTIAIGAGGTKPNISTFGADQFDDFNPQEKELKVSFFNWWMFSSFLGALVATLALVYIQENLGWGLGYGIPTVGLLFSLFVFYLGTPIYRHKVKKTKSPARDLIQVPITAFRNRKLQLPNHPCQLHEHEPQQYINSGKRQVHYTPIFRFLDKAAVKDGNSSKPPCTVTQVEGTKLVLGMLLIWLVTLIPSTIWAQINTLFVKQGTTMDRSLGSSFQIPAASLGSFVTSSMLLSVPMYDRYFVPFMRQKTGNPRGITLLQRLGIGFVIQIAAIAIAYAIEVRRMHVIRVHHIMGPKETVPMSIFCLLPQYVLLGIADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSFLVTVVDKITGGGNGKSWIGDNLNDSHLDYYYGFLLVISTLNLGAFLWASSKYVYKRETIEFNEGCIELESKALEISPLGLQV >KJB71087 pep chromosome:Graimondii2_0_v6:11:12162671:12164119:-1 gene:B456_011G105200 transcript:KJB71087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKTALFKRHSNYNISVDEIGMKLDFVWAPYVLNLTHLLTDFKIKKKYPDVMVMGAGLWHMLHVSNPSDYELVLQTLKSSLVSLFPFSADIANAYAEVVVMLVTTALVTLVMLLIWQTNLLMALCFPLLIGSIKLIYFSAVLSKHYGSVLKYQSEVREKISMDFMIELGSKLGTVRVPGIDLLYNELVHGIPSIFGQFLLSLPAIHFTIIFVCIKYVPVPVVPQEERFLFRRVGPKDYHIFKCIARYENFLLKEAQDLALESRLHEMDIDSVFVSSKDHRNRDVPGNKELKIPLMRERRSEEAISCILKKAPASLPSSVMSSDEDLGLEYELSALRQAIDSGFTYFLAHGDVRAKKNILFLKKLVINYFYAFLQRNCRVGAANMSVPHMNILQVGMTYMV >KJB72387 pep chromosome:Graimondii2_0_v6:11:40345818:40351533:-1 gene:B456_011G175300 transcript:KJB72387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEIVGDVASLDPDLLQLPELSPLALKSNPFLAEQLFSLWLSLPETGRLVKNLLADVKTGTSTGNSMSVNVSTTNSLPSMFPAASTPPLSPRSTSGSPRTVKQRSGLSSLGSPLKIVSEPVREVIPQFYFKNGRPPPNELKELCLFAIDKHFYGHLGLQIHEFKAVTKEICKLPSFFSTALFRKIDINNRGIVTGDQFVNYWIGGNMLTVDLATQIYTILKQPDCRYLTQDDFRPLLHELLASHPGLEFLQSTPEFQERYAETVIYRIFYYINRSETGRLTLRELKRGNLIAAMQHVDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFRSRVEGKMGYEDFVYFILSEEDKSSEPGLEYWFKCIDLDGNGVLTPNEMQFFYEEQLHRMECMTQEPVLFEDILCQIFDMIGPENEGYITLRDLKGCKLSGNVFNILFNLNKFIAFESRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSGDIWDESFEAPF >KJB72388 pep chromosome:Graimondii2_0_v6:11:40345818:40351524:-1 gene:B456_011G175300 transcript:KJB72388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEIVGDVASLDPDLLQLPELSPLALKSNPFLAEQLFSLWLSLPETGRLVKNLLADVKTGTSTGNSMSVNVSTTNSLPSMFPAASTPPLSPRSTSGSPRTVKQRSGLSSLGSPLKIVSEPVREVIPQFYFKNGRPPPNELKELCLFAIDKHFYGHLGLQIHEFKAVTKEICKLPSFFSTALFRKIDINNRGIVTGDQFVNYWIGGNMLTVDLATQIYTILKQPDCRYLTQDDFRPLLHELLASHPGLEFLQSTPEFQERYAETVIYRIFYYINRSETGRLTLRELKRGNLIAAMQHVDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFRSRVEGKMGYEDFVYFILSEEDKSSEPGLEYWFKCIDLDGNGVLTPNEMQFFYEEQLHRMECMTQEPVLFEDILCQIFDMIGPENEGYITLRDLKGCKLSGNVFNILFNLNKFIAFESRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSGDIWDESFEAPF >KJB72386 pep chromosome:Graimondii2_0_v6:11:40345818:40351468:-1 gene:B456_011G175300 transcript:KJB72386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEIVGDVASLDPDLLQLPELSPLALKSNPFLAEQLFSLWLSLPETGRLVKNLLADVKTGTSTGNSMSVNVSTTNSLPSMFPAASTPPLSPRSTSGSPRTVKQRSGLSSLGSPLKIVSEPVREVIPQFYFKNGRPPPNELKELCLFAIDKHFYGHLGLQIHEFKAVTKEICKLPSFFSTALFRKIDINNRGIVTGDQFVNYWIGGNMLTVDLATQIYTILKQPDCRYLTQDDFRPLLHELLASHPGLEFLQSTPEFQERYAETVIYRIFYYINRSETGRLTLRELKRGNLIAAMQHVDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFRSRVEGKMGYEDFVYFILSEEDKSSEPGLEYWFKCIDLDGNGVLTPNEMQFFYEEQLHRMECMTQEPVLFEDILCQIFDMIGPEVTPGFSTDNEGYITLRDLKGCKLSGNVFNILFNLNKFIAFESRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSGDIWDESFEAPF >KJB70149 pep chromosome:Graimondii2_0_v6:11:4974308:4974691:-1 gene:B456_011G060600 transcript:KJB70149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQEQGRRTFSKRLTPIEVEKRIILFFYTVVAEFFEFEEGRQFFMDVTDNLGKEWTFAGTFHANNIVENHVSISWAQFSLEKGLKANDEVTFTEKPQGNGPWKKFKVVIKRKIRLFGQDIWGELTV >KJB72857 pep chromosome:Graimondii2_0_v6:11:48716461:48717489:1 gene:B456_011G201000 transcript:KJB72857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPSERNLSRPAMEKSSSTEFRSAFEVLDVDRDGKISREDLRIFYSGFCSGKSGFDDDEMIGTMISLADSNSDGFVEYEEFERVLGLSGNKASGFGVMEDIFKVMDKDGDGRLSHEDLRSYMKWAGFSASDEDIKAMIRLGGGDGNGVSFNGLLKILALNFAT >KJB73628 pep chromosome:Graimondii2_0_v6:11:56755794:56756189:1 gene:B456_011G2414001 transcript:KJB73628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMIKMVPTKPMVVETFSAYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKGGK >KJB71363 pep chromosome:Graimondii2_0_v6:11:15891966:15904655:-1 gene:B456_011G118200 transcript:KJB71363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDVRMTEVTGPFRQVLFISAGASHSIALLSGNIVCSWGRGEDGQLGHGDAEDRLSPTRLSALDGLDIISVTCGADHTTAYSLSCTEVYSWGWGDFGRLGHGNFSDLFTPQPIKALHGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGSTEDSLVPQKIQAFQGISIKMVAAGAEHTAAVSEEGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNGEKMNMVACGWRHTISVSDTGRLYTYGWSKYGQLGHGDFEDHLVPHKVESLADSFIKQIAGGWRHTMALTSDGKLYGWGWNKFGQVGVGDNADHCSPVLVKFPHEQKVVQVSCGWRHTLAITEEKNVFSWGRGTNGQLGHGESMDRNVPKIIEALSVDGSSGQQIESSKLDPLSGKTWVSPTERYAIVPDERTNGSFGKGEWG >KJB71357 pep chromosome:Graimondii2_0_v6:11:15891966:15898216:-1 gene:B456_011G118200 transcript:KJB71357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTVTDTRGDFGRLGHGNFSDLFTPQPIKALHGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGSTEDSLVPQKIQAFQGISIKMVAAGAEHTAAVSEEGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNGEKMNMVACGWRHTISVSDTGRLYTYGWSKYGQLGHGDFEDHLVPHKVESLADSFIKQIAGGWRHTMALTSDGKLYGWGWNKFGQVGVGDNADHCSPVLVKFPHEQKVVQVSCGWRHTLAITEEKNVFSWGRGTNGQLGHGESMDRNVPKIIEALSVDGSSGQQIESSKLDPLSGKTWVSPTERYAIVPDESGQTVHSGKGNGGDVSVPENDVKRIRM >KJB71362 pep chromosome:Graimondii2_0_v6:11:15891966:15904655:-1 gene:B456_011G118200 transcript:KJB71362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDVRMTEVTGPFRQVLFISAGASHSIALLSGNIVCSWGRGEDGQLGHGDAEDRLSPTRLSALDGLDIISVTCGADHTTAYSLSCTEVYSWGWGDFGRLGHGNFSDLFTPQPIKALHGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGSTEDSLVPQKIQAFQGISIKMVAAGAEHTAAVSEEGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNGEKMNMVACGWRHTISVSDTGRLYTYGWSKYGQLGHGDFEDHLVPHKVESLADSFIKQIAGGWRHTMALTSDGKLYGWGWNKFGQKVVQVSCGWRHTLAITEEKNVFSWGRGTNGQLGHGESMDRNVPKIIEALSVDGSSGQQIESSKLDPLSGKTWVSPTERYAIVPDESGQTVHSGKGNGGDVSVPENDVKRIRM >KJB71359 pep chromosome:Graimondii2_0_v6:11:15892315:15904454:-1 gene:B456_011G118200 transcript:KJB71359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDVRMTEVTGPFRQVLFISAGASHSIALLSGNIVCSWGRGEDGQLGHGDAEDRLSPTRLSALDGLDIISVTCGADHTTAYSLSCTEVYSWGWGDFGRLGHGNFSDLFTPQPIKALHGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGSTEDSLVPQKIQAFQGISIKMVAAGAEHTAAVSEEGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNGEKMNMVACGWRHTISVSDTGRLYTYGWSKYGQLGHGDFEDHLVPHKVESLADSFIKQIAGGWRHTMALTSDGKLYGWGWNKFGQVGVGDNADHCSPVLVKFPHEQKVVQVSCGWRHTLAITEEKNVFSWGRGTNGQLGHGESMDRNVPKIIEALSVDGSSGQQIESSKLDPLSAGKTWVSPTERYAIVPDESGQTVHSGKGNGGDVSVPENDVKRIRM >KJB71360 pep chromosome:Graimondii2_0_v6:11:15892315:15897699:-1 gene:B456_011G118200 transcript:KJB71360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEVQSWGRNQNGQLGLGSTEDSLVPQKIQAFQGISIKMVAAGAEHTAAVSEEGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNGEKMNMVACGWRHTISVSDTGRLYTYGWSKYGQLGHGDFEDHLVPHKVESLADSFIKQIAGGWRHTMALTSDGKLYGWGWNKFGQVGVGDNADHCSPVLVKFPHEQKVVQVSCGWRHTLAITEEKNVFSWGRGTNGQLGHGESMDRNVPKIIEALSVDGSSGQQIESSKLDPLSGKTWVSPTERYAIVPDESGQTVHSGKGNGGDVSVPENDVKRIRM >KJB71355 pep chromosome:Graimondii2_0_v6:11:15891944:15904900:-1 gene:B456_011G118200 transcript:KJB71355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDVRMTEVTGPFRQVLFISAGASHSIALLSGNIVCSWGRGEDGQLGHGDAEDRLSPTRLSALDGLDIISVTCGADHTTAYSLSCTEVYSWGWGDFGRLGHGNFSDLFTPQPIKALHGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGSTEDSLVPQKIQAFQGISIKMVAAGAEHTAAVSEEGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNGEKMNMVACGWRHTISVSDTGRLYTYGWSKYGQLGHGDFEDHLVPHKVESLADSFIKQIAGGWRHTMALTSDGKLYGWGWNKFGQVGVGDNADHCSPVLVKFPHEQKVVQVSCGWRHTLAITEEKNVFSWGRGTNGQLGHGESMDRNVPKIIEALSVDGSSGQQIESSKLDPLSGKTWVSPTERYAIVPDESGQTVHSGKGNGGDVSVPENDVKRIRM >KJB71358 pep chromosome:Graimondii2_0_v6:11:15891966:15904655:-1 gene:B456_011G118200 transcript:KJB71358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDVRMTEVTGPFRQVLFISAGASHSIALLSGNIVCSWGRGEDGQLGHGDAEDRLSPTRLSALDGLDIISVTCGADHTTAYSLSCTEVYSWGWGDFGRLGHGNFSDLFTPQPIKALHGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGSTEDSLVPQKIQAFQGISIKMVAAGAEHTAAVSEEGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNGEKMNMVACGWRHTISVSDTGRLYTYGWSKYGQLGHGDFEDHLVPHKVESLADSFIKQIAGGWRHTMALTSDGKLYGWGWNKFGQVGVGDNADHCSPVLVKFPHEQVSCGWRHTLAITEEKNVFSWGRGTNGQLGHGESMDRNVPKIIEALSVDGSSGQQIESSKLDPLSGKTWVSPTERYAIVPDESGQTVHSGKGNGGDVSVPENDVKRIRM >KJB71361 pep chromosome:Graimondii2_0_v6:11:15891966:15904655:-1 gene:B456_011G118200 transcript:KJB71361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDVRMTEVTGPFRQVLFISAGASHSIALLSGNIVCSWGRGEDGQLGHGDAEDRLSPTRLSALDGLDIISVTCGADHTTAYSLSCTEVYSWGWGDFGRLGHGNFSDLFTPQPIKALHGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGSTEDSLVPQKIQAFQGISIKMVAAGAEHTAAVSEEGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNGEKMNMVACGWRHTISVSDTGRLYTYGWSKYGQLGHGDFEDHLVPHKVESLADSFIKQIAGGWRHTMALTSDGKLYGWGWNKVGVGDNADHCSPVLVKFPHEQKVVQVSCGWRHTLAITEEKNVFSWGRGTNGQLGHGESMDRNVPKIIEALSVDGSSGQQIESSKLDPLSGKTWVSPTERYAIVPDESGQTVHSGKGNGGDVSVPENDVKRIRM >KJB71356 pep chromosome:Graimondii2_0_v6:11:15891966:15896904:-1 gene:B456_011G118200 transcript:KJB71356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGAEHTAAVSEEGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNGEKMNMVACGWRHTISVSDTGRLYTYGWSKYGQLGHGDFEDHLVPHKVESLADSFIKQIAGGWRHTMALTSDGKLYGWGWNKFGQVGVGDNADHCSPVLVKFPHEQKVVQVSCGWRHTLAITEEKNVFSWGRGTNGQLGHGESMDRNVPKIIEALSVDGSSGQQIESSKLDPLSGKTWVSPTERYAIVPDESGQTVHSGKGNGGDVSVPENDVKRIRM >KJB68900 pep chromosome:Graimondii2_0_v6:11:28554751:28555089:-1 gene:B456_011G158800 transcript:KJB68900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGKKNWGRRKIEIKIIENEDDRLISFSKRCTIIYKKTSKLSTLFGGEILFIIFSPTSKPYSFCHPSIESVVKRFWNPNQPHNETTHAPIEAYPKARINLLVRDFNELHD >KJB69742 pep chromosome:Graimondii2_0_v6:11:2991874:2992772:1 gene:B456_011G040200 transcript:KJB69742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAGQEAEGQGIKLFGKTITLHGRQVVKEEQNKEDNHHHHPTVSKRPDKIIPCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKVKPQGRDLGGFSEGCLYDDGSSGLVQQFELEGIVLGEWHVAASNGGFRQVFPMKRRRISCSGGQLY >KJB69986 pep chromosome:Graimondii2_0_v6:11:4522071:4524714:1 gene:B456_011G057100 transcript:KJB69986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRSGTGNNFPGKIMFKCFENFVCLLGQRWHPDRCSASGNSKFVEEAKKKFQTIQQAYSVLSDANKRFLYDVGAYDSDDDENGMGDFLNEMAVMMSQTKSNKNGEESFEELQELFEEMFQVDIDSFGSNGRPTTSCSSSSSFASYGESSGSNKRNSADMSSGETMPESGSSFNVQFHGFCVGVEHKADMRQQRGAKGGMVGGVGSSRRRNGRKQKVSSGHDVSSNDCRISGS >KJB69984 pep chromosome:Graimondii2_0_v6:11:4522071:4524714:1 gene:B456_011G057100 transcript:KJB69984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGEKNNDFYAVLGLNKECTPTELRTAYKKLALRWHPDRCSASGNSKFVEEAKKKFQTIQQAYSVLSDANKRFLYDVGAYDSDDDENVGMGDFLNEMAVMMSQTKSNKNGEESFEELQELFEEMFQVDIDSFGSNGRPTTSCSSSSSFASYGESSGSNKRNSADMSSGETMPESGSSFNVQFHGFCVGVEHKADMRQQRGAKGGMVGGVGSSRRRNGRKQKVSSGHDVSSNDCRISGS >KJB69985 pep chromosome:Graimondii2_0_v6:11:4522071:4524714:1 gene:B456_011G057100 transcript:KJB69985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGEKNNDFYAVLGLNKECTPTELRTAYKKLALRWHPDRCSASGNSKFVEEAKKKFQTIQQAYSVLSDANKRFLYDVGAYDSDDDENGMGDFLNEMAVMMSQTKSNKNGEESFEELQELFEEMFQVDIDSFGSNGRPTTSCSSSSSFASYGESSGSNKRNSADMSSGETMPESGSSFNVQFHGFCVGTGGTQGRYEATERSQRRNGRRSRQ >KJB69983 pep chromosome:Graimondii2_0_v6:11:4522071:4524714:1 gene:B456_011G057100 transcript:KJB69983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFLNEMAVMMSQTKSNKNGEESFEELQELFEEMFQVDIDSFGSNGRPTTSCSSSSSFASYGESSGSNKRNSADMSSGETMPESGSSFNVQFHGFCVGVEHKADMRQQRGAKGGMVGGVGSSRRRNGRKQKVSSGHDVSSNDCRISGS >KJB69982 pep chromosome:Graimondii2_0_v6:11:4522010:4524714:1 gene:B456_011G057100 transcript:KJB69982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGEKNNDFYAVLGLNKECTPTELRTAYKKLALRWHPDRCSASGNSKFVEEAKKKFQTIQQAYSVLSDANKRFLYDVGAYDSDDDENGMGDFLNEMAVMMSQTKSNKNGEESFEELQELFEEMFQVDIDSFGSNGRPTTSCSSSSSFASYGESSGSNKRNSADMSSGETMPESGSSFNVQFHGFCVGVEHKADMRQQRGAKGGMVGGVGSSRRRNGRKQKVSSGHDVSSNDCRISGS >KJB72755 pep chromosome:Graimondii2_0_v6:11:47001969:47003055:1 gene:B456_011G194900 transcript:KJB72755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSSYSDEYSSTIPPARLFKALILDSHNLIPKLMPLAIQSIEISGDGGAGTIRQINFAEGSQVKFIKNRVDELDEKNFSFKYSLIEGDGMMDKLEKISYEVKFEGTADGGSMNKMTSTYYTKGDFVLTEEEIKAGKEKALAMYKVVEAYLLQNPDAYA >KJB69475 pep chromosome:Graimondii2_0_v6:11:1850576:1851246:-1 gene:B456_011G025200 transcript:KJB69475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRCASSAVNLCRKILQPHRVASVWPSPPPPQPPLCDIFINHRGVDTKRTIAGLLHGHLFRLGLRPFLDSMNMKAGDKLYGKIDPAIRSCKLGVAIISPNYCDSYFCLHELALLMECKKRVVPIFYDVKPSQLRVVDYGTSSAEELERFSWALEEAKYTVGLTFDSLQGDWSGFLNCATDAVIKNLVELEDAN >KJB70132 pep chromosome:Graimondii2_0_v6:11:5310349:5312261:1 gene:B456_011G063400 transcript:KJB70132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAPEGSQFDARQYDQKMTELLQTDGDDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYAVVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPAKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDVIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTTDDERMLFDIQKFYNVVIEELPSNVADLL >KJB70133 pep chromosome:Graimondii2_0_v6:11:5308916:5312265:1 gene:B456_011G063400 transcript:KJB70133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAPEGSQFDARQYDQKMTELLQTDGDDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYAVVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPAKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDVIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTTDDERMLFDIQKFYNVVIEELPSNVADLL >KJB73925 pep chromosome:Graimondii2_0_v6:11:59265918:59268879:-1 gene:B456_011G261500 transcript:KJB73925 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:Projected from Arabidopsis thaliana (AT3G12530) UniProtKB/Swiss-Prot;Acc:Q9C7A8] MAGQSDPHISLFSAEEVEFMAEDELIEIVPNMRMDPLNFICGDFGPFLPQIASQVPLWLAVALKKRGKCAIRPPQWMSVENLTRVLEGERESQGAFQVLPFHYVEISRLLFDHAREDIPDMYMVRSLIEDIRDVRIHKVETSLEKFSGTSAVKIPNLSAMEVNIIRPFVGRALQAFYKHDNPEKIPDVDRASSAQTRAANNEPRRQLRR >KJB73488 pep chromosome:Graimondii2_0_v6:11:55525130:55529455:-1 gene:B456_011G235300 transcript:KJB73488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILREDVVVISPAEKAGDPTVISVNCPDKTGLGCDLCRIVLHFGLSIARGDFSTDGKWCYIVLWVDGKTNTRWDLLEKRLLEVCPSYFSTSGIDYYRPVNQQPKQLDVYLLKFWCSCVRKGLLHDITLVLFDLELTIKRVKVSTTPDGKVMDLFFITDTRELLHTKERQGETILHFKTALGDILESCEIESAGEEITACSQGTSFLPSAITEDMFSLELPARHPGGLLASDPISVTVDNSLSPSHTLIQILCQDHKGLIYDSMRTLKDYNIQVSYGRFFANRRGGCELDLFIVQADGKKIIDRNKQNALCSRLRMELFRPLRVAVVSRGPDTELLVANPVELSGRGRPLVFHDITLALKNLNIQIFSVEIGRHMICDREWEVYRILLDEGDSHHVSRNMIEEGVRKLLMGWE >KJB70175 pep chromosome:Graimondii2_0_v6:11:5146636:5149733:-1 gene:B456_011G062300 transcript:KJB70175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISDPESFQDYNEIFEEKDCCNNSESDPDYHLGTDSSYSNDKDTSTKFHNLSIKKKSSFAKDSDFSNVKDPELGMDFSHIDDKSYENVQNIIKDGRLEKLKVDECKVYLRRNGLRLSGNKDVLIQRIKEHLEISNGGGEKKYPLSSFAVNCKGDACTGDVVMFEQNVYEMFNMASRRASGPPCGTRIVVGRIVKESYGSAKQQHTFTIEVLWSKGEKPLPPLHPLLIKGRNLYRLKTLRQRWEDEGERQKVLVEKHSRGLLARSDREVRIQEKERRKMLRSNRVLKREEKNKKKSQTQLSSTTTTKEIQPRQPSSYTNSGFIAPQHQQSGSIVEGGHLTSQNHGSGLLFDLEKLSIRSRQSVSSHNNHLRDQVPHNLVETSGIQEVKLQKGDKIYLNSIQPNFPMDRYWNLTNGRFIANEFPETNYRRQRLKSMNHCHPATPPQRQGFLSNKFADIILKDDAIMEKIANFCMNQESCM >KJB72747 pep chromosome:Graimondii2_0_v6:11:46949023:46952737:1 gene:B456_011G194200 transcript:KJB72747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMPVALLLLLALCNAIKIHGEESNGLCDVNLTLRSRPHSVSILEFGAVGDGKTLNTIAFQNAIFYLKSFADKGGAQLYVPPGRWLTGSFNLTSHLTLFLEKGAVILGSQDPSHWDIVEPLPSYGPGTELPGKRYRSLVNGYMLRDVVITGDNGTIDGQGSVWWEWFTSHSLNYSCPHLVEFVSSESILVSNITFLNAPAYNMHPVYCSHVHIHHITVYAPPGSPYTVGIVPDSSDNVCIEDCSISMGYDAIALKSGWDEYGIAYGRPTANVHIRRVQLQSFSGSSLAFGSQMSGGISDVQVQQLHLYDSLTGIEFRTTKGRGGYIKEIAISDVNMLNIKTAFGANGHFGSHPDDKFDPDALPLVAKITIQNIIGTNISMAGNFTGIEESPFTSICLSNVSLSINSASSSPWQCSYVSGFSESVFPEPCSELNNPDASSSCFSLLKPNGRAAVL >KJB74194 pep chromosome:Graimondii2_0_v6:11:61089901:61091370:1 gene:B456_011G278600 transcript:KJB74194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFSIHSYPFSFNLQILIFLFFTAKPVSSFALQNNPNFDPYISLFGDARVENGSSNVILTRSHAPSSGLLLLDKPFKFVGEPVSFSTEFTFSLSPGNADGLALVFVPNGFQARFTSQGSFGLFGENMYLGIEFDTQKDDKVGDFNANHIGIDINSLESIKVSNLSSSSMVLNNGEPLKSWVDYDSSSKLLQVRLSTFDSKRPFSPILTYSIDLLEMWGTKDVFVGIISTSINGSSFSNVYSWRFRVRHVLNSMHSLPANPHKDSDGFRTGKNKFCALTFLAGLIFGTGCGALFAFVVLFMWAILVSRHSVFPVECQVSPEDFRYEMVDVVVEKDNQFDKN >KJB72232 pep chromosome:Graimondii2_0_v6:11:32787380:32798639:1 gene:B456_011G166100 transcript:KJB72232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKLEQQQQEEEPCLDNLQKVKSLICALNFVSRNLPLPPDLFGVVSSICFDEQEGFLEVIDDGTQVEAGSDVPGHAQTSLDDPSISKKDDLLCDLDGALSKQRSKCMSGFGLAESKENRHKSLIHHRLNELEDLPSSKGEDLQAKCLLELYGLKLAELQSKIRSYISSEYWLHINCAYPDKQLFDWGMTRLPFPPYGIFVPFNTEADDQTRKKRDYERLSRLREEERNHLENRKKKFFSEIVNAFRDFQLQIQATLKRRKQRNDGVQAWHGRQRQRATRAEKLRFQALKADDQEAYMRLVKESKNERLTMLLSETNKLLVNLGAAVQRQKDAKHSDGIEDLKDLDSDSPELDASKDGTPGDSLPEEDIGATDSDQNDESSDLLEGQRQYNLAIHSIQEKVAEQPSMLQGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLMENKGVTGPHLIVAPKAVLPNWIHEFSTWAPSIHAVLYDGRLDERKVMREEISRDGKFNVLITHYDLIMRDKAFLKKIHWYYMIVDEGHRLKNHECALARTLISGYQIQRRLLLTGTPIQNSLQELWSLLNFLLPNIFNSVQNFEEWFNAPFADRGDVSLTDEEQLLIIRRLHHVIRPFILRRKKDEVEKYLPGKSQVILKCDLSAWQKAYYQQVTEKGRVGLDNGSGKSKSLQNLTMQLRKCCNHPYLFVPTHNMWQREEIVRASGKFELLDRLLPKLHRTGHRVLLFSQMTHLMDILEIYLRLNNFMYLRLDGSTKTEERGTLLKKFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTGQKRNAGRNHAERNKLTWNRCSK >KJB72231 pep chromosome:Graimondii2_0_v6:11:32787373:32798664:1 gene:B456_011G166100 transcript:KJB72231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKLEQQQQEEEPCLDNLQKVKSLICALNFVSRNLPLPPDLFGVVSSICFDEQEGFLEVIDDGTQVEAGSDVPGHAQTSLDDPSISKKDDLLCDLDGALSKQRSKCMSGFGLAESKENRHKSLIHHRLNELEDLPSSKGEDLQAKCLLELYGLKLAELQSKIRSYISSEYWLHINCAYPDKQLFDWGMTRLPFPPYGIFVPFNTEADDQTRKKRDYERLSRLREEERNHLENRKKKFFSEIVNAFRDFQLQIQATLKRRKQRNDGVQAWHGRQRQRATRAEKLRFQALKADDQEAYMRLVKESKNERLTMLLSETNKLLVNLGAAVQRQKDAKHSDGIEDLKDLDSDSPELDASKDGTPGDSLPEEDIGATDSDQNDESSDLLEGQRQYNLAIHSIQEKVAEQPSMLQGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLMENKGVTGPHLIVAPKAVLPNWIHEFSTWAPSIHAVLYDGRLDERKVMREEISRDGKFNVLITHYDLIMRDKAFLKKIHWYYMIVDEGHRLKNHECALARTLISGYQIQRRLLLTGTPIQNSLQELWSLLNFLLPNIFNSVQNFEEWFNAPFADRGDVSLTDEEQLLIIRRLHHVIRPFILRRKKDEVEKYLPGKSQVILKCDLSAWQKAYYQQVTEKGRVGLDNGSGKSKSLQNLTMQLRKCCNHPYLFVPTHNMWQREEIVRASGKFELLDRLLPKLHRTGHRVLLFSQMTHLMDILEIYLRLNNFMYLRLDGSTKTEERGTLLKKFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRKEMLEEIMRRGTSSLGTDVPSEREINRLAARSDDEFRMFEQMDEERRVKENYRSRLMEDHEVPEWVFVLNDDRKGKASESYVELGKRKRKGGNYYPDTLSDLQFMRAVENAEDMAKLPSKRKRKDHLPADEDSELPINNIGVEFRNENMAAISEGTSEDTTYGSSAPKKPEYRDLGVEKSEHHGGGSSWNEQIITWNTIKKKKRSSYVFPSSSSDSRGQNSNGRGNGWV >KJB71733 pep chromosome:Graimondii2_0_v6:11:21553652:21558098:-1 gene:B456_011G139100 transcript:KJB71733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPAAIQRSPTTTSSSPLHRLSTFKPPPASSTAASPHPPPPPSSVLDSFAKDPILSPFLSPSFSSTSFSSAALSSGSPASTAEHLLQAIRQLDSQIRSHVLSNHPLLLTQLSSLNNAEISLSTLRSSISSLQSSVRRVRSELSEPHNSILSKTVQLSNLHRTSELLSHSIRAIRLSKKLRDLMASAEAEPDKLDLAKAAQLHTDILTLYEEYDLGGIDMIDEEINAVKEIGTKLRSEAMKVLEKGMEGLNQAEVGTGLQVFYNLGELRATVEQLVSKYKGMGVKSVSVALDMKAISAGGGGGGFGPGGIRGSGTPQIGGSGKAREALWQRMGSCMDQLHSIVVAIWHLQRVLSKKRDPFTHVLLLDEVIKEGDPMPTDRVWEALVKAFATQMKSAFTASSFVKEIFTNGYPKLYSMMENLLDGISHDTDVKGVLPAITLEGKAQMVAAIETFQMAFLGSCLSRLSDIVNSVFPVSSRGSVPSKEQISRILSRIQEEIEAVQLDARLTLLVLREISKVLLLIAERAEYLISTGPEARQVSGPATAAQVKNFALCQHLQEIHTRVTSMIMGLPTIAADVLSPSLGAIYGVACDSITSLFQAMIDRLESCILQIHDQNFSALGMDAAMDNNASPYMEELQKCILHFRREFLSRLLPSSANATTAGTETICTRLVRSMASRVLILFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPLIFLETSQLGASPLLQDLPPSVILHHLYSRGPDDLQSPLQRNKLTHLQYSLWLDSQGEDQIWKGIKATLDDYATKVRVRGDKEFSPVYPLMLRLGSSLSETAAASQK >KJB71734 pep chromosome:Graimondii2_0_v6:11:21553704:21558044:-1 gene:B456_011G139100 transcript:KJB71734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPAAIQRSPTTTSSSPLHRLSTFKPPPASSTAASPHPPPPPSSVLDSFAKDPILSPFLSPSFSSTSFSSAALSSGSPASTAEHLLQAIRQLDSQIRSHVLSNHPLLLTQLSSLNNAEISLSTLRSSISSLQSSVRRVRSELSEPHNSILSKTVQLSNLHRTSELLSHSIRAIRLSKKLRDLMASAEAEPDKLDLAKAAQLHTDILTLYEEYDLGGIDMIDEEINAVKEIGTKLRSEAMKVLEKGMEGLNQAEVGTGLQVFYNLGELRATVEQLVSKYKGMGVKSVSVALDMKAISAGGGGGGFGPGGIRGSGTPQIGGSGKAREALWQRMGSCMDQLHSIVVAIWHLQRVLSKKRDPFTHVLLLDEVIKEGDPMPTDRVWEALVKAFATQMKSAFTASSFVKEIFTNGYPKLYSMMENLLDGISHDTDVKGVLPAITLEGKAQMVAAIETFQMAFLGSCLSRLSDIVNSVFPVSSRGSVPSKEQISRILSRIQEEIEAVQLDARLTLLVLREISKVLLLIAERAEYLISTGPEARQVSGPATAAQVKNFALCQHLQEIHTRVTSMIMGLPTIAADVLSPSLGAIYGVACDSITSLFQAMIDRLESCILQIHDQNFSALGMDAAMDNNASPYMEELQKCILHFRREFLSRLLPSSANATTAGTETICTRLVRSMASRVLILFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPLIFLETSQLGASPLLQDLPPSVILHHLYSRGPDDLQSPLQRNKLTHLQYSLWLDSQGEDQIWKGIKATLDDYATKVRVRGDKEFSPVYPLMLRLGSSLSETAAASQK >KJB73125 pep chromosome:Graimondii2_0_v6:11:59359929:59360446:1 gene:B456_011G262800 transcript:KJB73125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLQQQCTGHWHPPVRHHSGARLSDRGHSKIYFLPNDHLAVPSGTSDKIGTIQRRLAWPLRKDDTHKSRN >KJB70473 pep chromosome:Graimondii2_0_v6:11:7163832:7170163:-1 gene:B456_011G075200 transcript:KJB70473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMGRQGKRSGAAGLQVHHQRQYSDNFLETTSNGRWLQSAGLQHLHSSNNSIPPLQDYAFYGGGDGGGQGSRMYRNIQREFSMGNDFFTEPTTPPVGSRPSSQRMNGDQSPNEFSPGLLDLHSFDTELLPEMPVPNLYNGPSLYNPVRGRSFDDSEPYISNKQTGGSGVVPENELLKSFAVDKEKVNSVAKIKVVVRKRPLNKKELAKNEEDIIETLSNSLVVHETKLKVDLTEYMEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFQRTKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRNQGFHLFVSFFEIYGGKLYDLLSDRKKLCMREDGKQQVCIVGLKEFRVSDVETIKELIEKGNATRSTGTTGANEESSRSHAILQLAIKRSVDGKESKPPRVVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFMGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNSKKDVLSSTMNLKESTAQPLSSGLPTASTFEDDINDTWPDQNERDDFNAPEDSFEQEKLMWKKNGKLDQYGFSTAENKLRKPNGQTKWKEPVRSDIKHSKSDDDLNALLQEEEDLVNAHRKQVEETMNIVKEEMNLLVEADQPGNQLDDYISRLTTILSQKAAGITQLQTRLANFQRRLKEHNVLVSSSGY >KJB70471 pep chromosome:Graimondii2_0_v6:11:7163832:7168133:-1 gene:B456_011G075200 transcript:KJB70471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFAQVRKRPLNKKELAKNEEDIIETLSNSLVVHETKLKVDLTEYMEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFQRTKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRNQGFHLFVSFFEIYGGKLYDLLSDRKKLCMREDGKQQVCIVGLKEFRVSDVETIKELIEKGNATRSTGTTGANEESSRSHAILQLAIKRSVDGKESKPPRVVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFMGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNSKKDVLSSTMNLKESTAQPLSSGLPTASTFEDDINDTWPDQNERDDFNAPEDSFEQEKLMWKKNGKLDQYGFSTAENKLRKPNGQTKWKEPVRSDIKHSKSDDDLNALLQEEEDLVNAHRKQVEETMNIVKEEMNLLVEADQPGNQLDDYISRLTTILSQKAAGITQLQTRLANFQRRLKEHNVLVSSSGY >KJB70472 pep chromosome:Graimondii2_0_v6:11:7164229:7167764:-1 gene:B456_011G075200 transcript:KJB70472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDMLCTSKIMLNILFNLYFWLLLPPPSFYGFWLQVRKRPLNKKELAKNEEDIIETLSNSLVVHETKLKVDLTEYMEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFQRTKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRNQGFHLFVSFFEIYGGKLYDLLSDRKKLCMREDGKQQVCIVGLKEFRVSDVETIKELIEKGNATRSTGTTGANEESSRSHAILQLAIKRSVDGKESKPPRVVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFMGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNSKKDVLSSTMNLKESTAQPLSSGLPTASTFEDDINDTWPDQNERDDFNAPEDSFEQEKLMWKKNGKLDQYGFSTAENKLRKPNGQTKWKEPVRSDIKHSKSDDDLNALLQEEEDLVNAHRKQVEETMNIVKEEMNLLVEADQPGNQLDDYISRLTTILSQKAAGITQLQTRLANFQRRLKEHNVLVSSSGY >KJB73100 pep chromosome:Graimondii2_0_v6:11:51579350:51580493:-1 gene:B456_011G214900 transcript:KJB73100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERERRSYQEMERLGYPKSIDGNHAFIKACDEDLRKMIDQNHGLIKAHDEEMERIKQMADDMFTMEQESMGHCFPHKRRKIEKLLLMSEIINLRHNKMMNEMALLEADERMSILAQEHQKRMNLRDELRSLKGRLMINE >KJB73101 pep chromosome:Graimondii2_0_v6:11:51579403:51580431:-1 gene:B456_011G214900 transcript:KJB73101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERERRSYQEMERLGYPKSIDGNHAFIKACDEDLRKMIDQNHGLIKAHDEEMERIKQMADDMFTMEQESMGHCFPHKRRKIEKLLLMSEIINLRHNKMMNEMALLEADERMSILAQEHQTEKDEFERRIKELERKVDDKRVEEVEIQSLKEEIKVKPKVEAIEEEEVKVKEEEA >KJB70988 pep chromosome:Graimondii2_0_v6:11:10962785:10963661:-1 gene:B456_011G098700 transcript:KJB70988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFLDAKSCLLNMLRDIESAPNKSMAPHLLKFRCKSFPSCSCRRGVPRSLPFNTWDWGHPIYNDPNIMKKAHKCLRMHGGSRASFDSGSPIHLFVPSRRN >KJB70655 pep chromosome:Graimondii2_0_v6:11:8886679:8891478:1 gene:B456_011G085700 transcript:KJB70655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKLKAGSRPPWVGLAAALWVQISAGNATNFTLYSSALKSVSGFSQQQLTFLGVANDIGESVGLLPGIACNRFPPWTVLLVGVFACFLGYGVIWLDVSQTIHPLPYWVLWIALVVASNSNAWFSTAVLVTNMRNFPLSRGTVAGILKGYSGISAAVYTVLYSLLLEQSASKLLLFLTLGIPVICLAMMYFVRPCTPPSGEDSSVHVHFVFTQAASVLLAIYLLTVTIIYDKVSLSDAVSYVLLAIVLLFLLSPLGIPIKMTLFRANAETITPLAGSTEHLAEGEGAPSQSVPLLSPSSSTSNLGSFFESEYASDVETLLAEGEGAVKKKRRPRRGEDFKFREAFIKADFWLLWIVYFLGVGSGVTVLNNLAQIGLAFGVENTTILLSLFSFCNFVGRLGSGALSEHFVRTRTIPRTLWMACTLIVMVIAFVLYALAFSGTLYVSTALLGICYGFQYNLMVPTASELFGLEHFGIIYSFMLLGNPVGALLFSGLLAGYVYDAEAAKQGSSTCLGPECFRLTFFVLAGICGLGSFLSLILTIRIRPVYQMLYASGSFRLPRASDH >KJB70656 pep chromosome:Graimondii2_0_v6:11:8886808:8891459:1 gene:B456_011G085700 transcript:KJB70656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEKVLDSSLVLPVIVSLLGLCCLLGSLPVSWDMVLSGLMLAKLFILCLTGCRGTVAGILKGYSGISAAVYTVLYSLLLEQSASKLLLFLTLGIPVICLAMMYFVRPCTPPSGEDSSVHVHFVFTQAASVLLAIYLLTVTIIYDKVSLSDAVSYVLLAIVLLFLLSPLGIPIKMTLFRANAETITPLAGSTEHLAEGEGAPSQSVPLLSPSSSTSNLGSFFESEYASDVETLLAEGEGAVKKKRRPRRGEDFKFREAFIKADFWLLWIVYFLGVGSGVTVLNNLAQIGLAFGVENTTILLSLFSFCNFVGRLGSGALSEHFVRTRTIPRTLWMACTLIVMVIAFVLYALAFSGTLYVSTALLGICYGFQYNLMVPTASELFGLEHFGIIYSFMLLGNPVGALLFSGLLAGYVYDAEAAKQGSSTCLGPECFRLTFFVLAGICGLGSFLSLILTIRIRPVYQMLYASGSFRLPRASDH >KJB70654 pep chromosome:Graimondii2_0_v6:11:8886679:8891459:1 gene:B456_011G085700 transcript:KJB70654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKLKAGSRPPWVGLAAALWVQISAGNATNFTLYSSALKSVSGFSQQQLTFLGVANDIGESVGLLPGIACNRFPPWTVLLVGVFACFLGYGVIWLDVSQTIHPLPYWVLWIALVVASNSNAWFSTAVLVTNMRNFPLSRGTVAGILKGYSGISAAVYTVLYSLLLEQSASKLLLFLTLGIPVICLAMMYFVRPCTPPSGEDSSVHVHFVFTQAASVLLAIYLLTVTIIYDKVSLSDAVSYVLLAIVLLFLLSPLGIPIKMTLFRANAETITPLAGSTEHLAEGEGAPSQSVPLLSPSSSTSNLGSFFESEYASDVETLLAEGEGAVKKKRRPRRGEDFKFREAFIKADFWLLWIVYFLGVGSGVTVLNNLAQIGLAFGVENTTILLSLFSFCNFVGRLGSGALSEHFVRSFLIIKF >KJB73334 pep chromosome:Graimondii2_0_v6:11:54159715:54164809:-1 gene:B456_011G228500 transcript:KJB73334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSISKNGFFQSVSAAMWRSRMPDDSVSSSNGGTGSEVAAREPESPLPVLNKPPEQVTIPKSETKQEAKQETKPETKPETEPDKPAKPKFPHMKRVSSAGLRTESVLQTRTGNFKEYFSLGRKLGQGQFGTTFLCVEKSTGREYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAALTRTIVGVVEACHSLGVMHRDLKPENFLFVNQQEDALLKAIDFGLSIFFKPGERFTDVVGSPYYVAPEVLRKHYGPEADVWSAGVILYILLSGVPPFWAENEQGIFEEVLHGDLDFDSDPWPSISESAKDLVRKMLIRDPRKRLTAHEVLCHPWIQIDGVAPDKPLDSAVLSRMKQFSAMNKLKKIALRVIAESLSEEEIAGLKEMFKMIDADNSGQITFEELEVGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFVAATLHLNKIEKEDHLFAAFSYFDKDGSGYITPDELQKACEEFGIEDVRLEEMIREVDQDNDGRIDYNEFVAMMQGGNVAGAGKKGLQNSSSIKFREALKF >KJB73335 pep chromosome:Graimondii2_0_v6:11:54159668:54164704:-1 gene:B456_011G228500 transcript:KJB73335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSISKNGFFQSVSAAMWRSRMPDDSVSSSNGGTGSEVAAREPESPLPVLNKPPEQVTIPKSETKQEAKQETKPETKPETEPDKPAKPKFPHMKRVSSAGLRTESVLQTRTGNFKEYFSLGRKLGQGQFGTTFLCVEKSTGREYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAALTRTIVGVVEACHSLGVMHRDLKPENFLFVNQQEDALLKAIDFGLSIFFKPGERFTDVVGSPYYVAPEVLRKHYGPEADVWSAGVILYILLSGVPPFWAENEQGIFEEVLHGDLDFDSDPWPSISESAKDLVRKMLIRDPRKRLTAHEVLCHPWIQIDGVAPDKPLDSAVLSRMKQFSAMNKLKKIALRVIAESLSEEEIAGLKEMFKMIDADNSGQITFEELEVGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFVAATLHLNKIEKEDHLFAAFSYFDKDGSGYITPDELQKACEEFGIEDVRLEEMIREVDQDNDGRIDYNEFVAMMQGGNVAGAGKKGLQNSSSIKFREALKF >KJB73333 pep chromosome:Graimondii2_0_v6:11:54159490:54164972:-1 gene:B456_011G228500 transcript:KJB73333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSISKNGFFQSVSAAMWRSRMPDDSVSSSNGGTGSEVAAREPESPLPVLNKPPEQVTIPKSETKQEAKQETKPETKPETEPDKPAKPKFPHMKRVSSAGLRTESVLQTRTGNFKEYFSLGRKLGQGQFGTTFLCVEKSTGREYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAALTRTIVGVVEACHSLGVMHRDLKPENFLFVNQQEDALLKAIDFGLSIFFKPGERFTDVVGSPYYVAPEVLRKHYGPEADVWSAGVILYILLSGVPPFWAENEQGIFEEVLHGDLDFDSDPWPSISESAKDLVRKMLIRDPRKRLTAHEVLCHPWIQIDGVAPDKPLDSAVLSRMKQFSAMNKLKKIALRVIAESLSEEEIAGLKEMFKMIDADNSGQITFEELEVGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFVAATLHLNKIEKEDHLFAAFSYFDKDGSGYITPDELQKACEEFGIEDVRLEEMIREVDQDNDGRIDYNEFVAMMQGGNVAGAGTS >KJB73336 pep chromosome:Graimondii2_0_v6:11:54161792:54164389:-1 gene:B456_011G228500 transcript:KJB73336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSISKNGFFQSVSAAMWRSRMPDDSVSSSNGGTGSEVAAREPESPLPVLNKPPEQVTIPKSETKQEAKQETKPETKPETEPDKPAKPKFPHMKRVSSAGLRTESVLQTRTGNFKEYFSLGRKLGQGQFGTTFLCVEKSTGREYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAALTRTIVGVVEACHSLGVMHRDLKPENFLFVNQQEDALLKAIDFGLSIFFKPGERFTDVVGSPYYVAPEVLRKHYGPEADVWSAGVILYILLSGVPPFWAENEQGIFEEVLHGDLDFDSDPWPSISESAKDLVRKMLIRDPRKRLTAHEVLCHPWIQIDGVAPDKPLDSAVLSRMKQFSAMNKLKKIALRVSLLTC >KJB73337 pep chromosome:Graimondii2_0_v6:11:54159715:54164972:-1 gene:B456_011G228500 transcript:KJB73337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSISKNGFFQSVSAAMWRSRMPDDSVSSSNGGTGSEVAAREPESPLPVLNKPPEQVTIPKSETKQEAKQETKPETKPETEPDKPAKPKFPHMKRVSSAGLRTESVLQTRTGNFKEYFSLGRKLGQGQFGTTFLCVEKSTGREYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAALTRTIVGVVEACHSLGVMHRDLKPENFLFVNQQEDALLKAIDFGLSIFFKPGERFTDVVGSPYYVAPEVLRKHYGPEADVWSAGVILYILLSGVPPFWAENEQGIFEEVLHGDLDFDSDPWPSISESAKDLVRKMLIRDPRKRLTAHEVLCHPWIQIDGVAPDKPLDSAVLSRMKQFSAMNKLKKIALRVIAESLSEEEIAGLKEMFKMIDADNSGQITFEELEVGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFVAATLHLNKIEKEDHLFAAFSYFDKDGSGYITPDELQKACEEFGIEDVRLEEMIREVDQDNDGRIDYNEFVAMMQGGNVAGAGKKGLQNSSSIKFREALKF >KJB74237 pep chromosome:Graimondii2_0_v6:11:61376755:61377885:1 gene:B456_011G2819001 transcript:KJB74237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPEIPSHFHYLDLEGTRIEEVPDSIEHLIWLRRLRLRNSRVKNVSNNILKLESLYDLELSHCPITKFPEIPKSLCSLNLSKTQIEQVSLSFDYVGNLADLDMSGSSIQKLQCNISLSGSRKIPTIDVPSSILWFESLRNLTMNHCKSLKLLLDLPPHLWRLDARDCPSLEKVSFIQQHGFLGDPYKLCMMFFNCINLNQDSIDSIEANAMFKIGSIAETWAGQNIFLPSLVCCFPGTEISANKFESQNVYSSLTLKIAPNVCGKRRFLAFAICLVADLTHCSGFGKLELTCEYQLTVSSCSDGVGGYEKFKSVLYDRGSLESEWNCMGDHVLILFQEDMVKEDKNYEEATFEFYIRSYSYNEEGEKICLHDFKVKKC >KJB70347 pep chromosome:Graimondii2_0_v6:11:6078379:6081109:1 gene:B456_011G068400 transcript:KJB70347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSMIFSKLVSFVLILQLLNPIVVLSSSSSLSSSNGLTEIPKKFLDFAKRKEVVDWMVGIRRKIHENPELGYEEFETSKLIRLELDKLGIPYKYPVSVTGIVGFVGTGEPPFVAIRADMDALAIQETVDWEHKSKNPGKMHACGHDAHVSMVLGAAKILKQHLQELKGTVVLVFQPAEEGGGGAKKMLDAGVLDNIDAIFGLHVLHSAPIGTVASKPGPLLAGSGLFDAVISGKGGHAAIPQHSIDPILAASNVVVSLQHLVSREADPLDSQVVTVAKFQGGGAFNVIPDSVTIGGTFRAFSKASLIQLKKRIEEVIKGQAAVQRCSATVDFSNTKKPMLLFPPTVNDKDLHEHFLKVAGDMLGNDKVKDMKPVMGSEDFAFYQEAFPGYFFFIGMQDENSPKLSSVHTPNFTINEDILPYGAALHASLATTYLLEAESKLRPTGGNLHDEL >KJB70348 pep chromosome:Graimondii2_0_v6:11:6078601:6081047:1 gene:B456_011G068400 transcript:KJB70348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSKLVSFVLILQLLNPIVVLSSSSSLSSSNGLTEIPKKFLDFAKRKEVVDWMVGIRRKIHENPELGYEEFETSKLIRLELDKLGIPYKYPVSVTGIVGFVGTGEPPFVAIRADMDALAIQETVDWEHKSKNPGKMHACGHDAHVSMVLGAAKILKQHLQELKGTVVLVFQPAEEGGGGAKKMLDAGVLDNIDAIFGLHVLHSAPIGTVASKPGPLLAGSGLFDAVISGKGGHAAIPQHSIDPILAASNVVVSLQHLVSREADPLDSQVVTVAKFQGGGAFNVIPDSVTIGGTFRAFSKASLIQLKKRIEEVSRQVHILLMKGSASDMFI >KJB73133 pep chromosome:Graimondii2_0_v6:11:52215816:52229588:-1 gene:B456_011G216900 transcript:KJB73133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPCCSVCQTRYNEEDRVPLLLQCGHGFCKECLSKMFSASPDTSLSCPRCRHVSLVGNSVQALKKNYGILALLNSNPNSVGSNSRNDFNCDYTDEEEDDDEEREDDDENGDFFEELAGGGRINRSSHASISGAGAAGCGPVIELTAHPEVKLVRKLEGEEKGGRAGVETWAAVVSGTHGRGGGRRCKHKVAVKKVGAMEGMDWEWVQGQLESLRRASMWCRNVCTFHGVVKLEQSSLGIVMDRCHGSIRSAMLNNGGRLTLEQVLRYAADIARGVAELHAAGVVCMNIKPSNLLLNASGHAVVSDYGLAAILKKPACQKAQTECDSSKIHSCMDCTMLSPHYAAPEAWEPVKKSLNLFWDDAIGISAESDAWSFGCTLVEMCTGSIPWAGLSADEIYRAVVKARKLPPQYASVVGVGLPRELWKMIGECLQFKPSKRPTFNAMLAIFLRHLQEIPRSPPASPDNGFVKLPGSNAVEPPPMSGLEVLPDDPNYLHQLVSEGGVSGLRDFLGKASSGNSGSSFSSILEAQNADGQTALHLACRRGSAELVEAILEYAEANVDVLDKDGDPPLVFALAAGSPECVLALIRRGADVQSRLRDGFGPSVAHVCAYHGQPDCMRELLLAGADPNAVDDEGESVLHRAVAKKYTDCALVILEYGGCRSMAVLNSKNLTPLHLCVATWNVAVVKRWVEVASPDEIADAIEIPSLVGTALCMAATLKKNHEIEGRELVRILLAAGADPTAQDAQHGRTALHIAAMANDVELVKIILDAGVDVNIRNVHNTTPLHVALARGASSCIGLLLSSGADCNLQGDEGDNAFHIAADTAKMIRESLEWLIVMLGNPDAAVEVRNHGGKTLRDFLEALPREWISEDLMEALTNRGVQLSPTIYEVGDWVKFRRSVTTPTYGWQDATHKSVGFVQNVVDRDNLIVSFCSGEAHVLVNEVVKVIPLDRGQHVKLREDVKEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPTLTTAKHGLGSVTPGSIGIVYCIRPDSSLLLDLSYLPNRWHCEPEEVEPVTPFRIGERVCVKRSVAEPRYAWGGETHHSVGRITEIETDGLLMIEIPNRPIPWQADPSDMERVEDFKVGDWVRVKASVPSPLYGWEDISRNSIGIIHSLEDDGDIGIAFCFRSKPFSCSVTDVERVPPFEVGQQVHVMPSVSQPRLGWSNETPATVGKISKIDMDGALNVKVDGRHSLWKVSPGDAERLSGLEVGDWVRSKPSLGARPSYDWNTIGKESLAVVHSVKDTGYLELACCFRKGRWITHFSDVENVPNYKVGQHVRFRAGLIEPRWGWRGVQSDSRGIVTSVHADGEVRVAFFGLPGMWRGDPADLEIEHMFEVGEWVQLRENASNWKSIVPGSIGVVQGIGYEGDEWDRNTFVAFCGEQERWTGPTSHLQRVETLIMGHKVRVKLSVKQPRFGWSGHSHRSIGTIAAIDADGKLRIYTPVGSKTWMLDPSEVELVKEQELCIGDWVRVRPSVSIPTHHWGEVTHSSIGVVHRMENGDLWVAFCFMERLWLCKASEMEQVRPFKIGDSVKIREGLVTPRWGWGMETHASKGQVVGVDANGKLRIKFQWREGRPWIGDPADIILDDSSSGTTNTS >KJB69933 pep chromosome:Graimondii2_0_v6:11:3950834:3958209:1 gene:B456_011G050600 transcript:KJB69933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYRGRSQTTKGIWIAHCVGIEPFTIAMDLEGTDGRERGEDDTTFEKQSALFALAIADIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLEYLEPILREDIQKIWNVVSKPAAHKDTPLSEFFNVEVTALSSYEEKEELFKEQVAQLRQRFFNSISPGGLAGDRRGVVPASGFSFSAQQIWRVIKENKDLDLPAHKVMVATVRCEEIANEKFCRLSSDEDWLALEEAVQFGSVSGFGRRLSSILETYFSEYDSEATYFDEDVRNAKRKHLESKALDLVHPAYLNLLGHLRFKALENFKSRLERMLKEAEGFAASARACTESCMHEFDQGCADAAIKQANWDASKVREKLRRDIDAHKLSVRDAKLSELVARYEEKLRQLLCEPVESLFDAAGRDTWASIRQLLRRETETAVLEFSTAISSFELDQPTIESMLQGLRDYARNLVVKKAREEAGKVLILMKDRFSTVFSHDNELMPRVWTGKEDIKTITKDARAASLRLLAVMAAIRLDEKPDKIENILLSSLMEGTVTSPDPLASSTWEEVPPENTLITPVQCKSLWRQFKSETEYTVTQAISAQEAYKRSNNWLPPPWAIVAMVVLGFNEFMLLLRNPLYLMFLFVAFLLSKAMWVQMDVPGQFQHGTLAGLISISSRFLPTVMNLLKRLAEEAQGHRTPESPTQQQSSVAFQSFRNQSQLNPSSSIAQSSVSSNVSVSDSSVEYSSPNLMQRRSTKVQEAELSQ >KJB69932 pep chromosome:Graimondii2_0_v6:11:3950081:3958209:1 gene:B456_011G050600 transcript:KJB69932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTDHRCSTQLIDGDGEFNVVGLDNFMRTTKFSHCGLSYAVVAIMGPQSSGKSTLLNHLFQTNFREMDAYRGRSQTTKGIWIAHCVGIEPFTIAMDLEGTDGRERGEDDTTFEKQSALFALAIADIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLEYLEPILREDIQKIWNVVSKPAAHKDTPLSEFFNVEVTALSSYEEKEELFKEQVAQLRQRFFNSISPGGLAGDRRGVVPASGFSFSAQQIWRVIKENKDLDLPAHKVMVATVRCEEIANEKFCRLSSDEDWLALEEAVQFGSVSGFGRRLSSILETYFSEYDSEATYFDEDVRNAKRKHLESKALDLVHPAYLNLLGHLRFKALENFKSRLERMLKEAEGFAASARACTESCMHEFDQGCADAAIKQANWDASKVREKLRRDIDAHKLSVRDAKLSELVARYEEKLRQLLCEPVESLFDAAGRDTWASIRQLLRRETETAVLEFSTAISSFELDQPTIESMLQGLRDYARNLVVKKAREEAGKVLILMKDRFSTVFSHDNELMPRVWTGKEDIKTITKDARAASLRLLAVMAAIRLDEKPDKIENILLSSLMEGTVTSPDPLASSTWEEVPPENTLITPVQCKSLWRQFKSETEYTVTQAISAQEAYKRSNNWLPPPWAIVAMVVLGFNEFMLLLRNPLYLMFLFVAFLLSKAMWVQMDVPGQFQHGTLAGLISISSRFLPTVMNLLKRLAEEAQGHRTPESPTQQQSSVAFQSFRNQSQLNPSSSIAQSSVSSNVSVSDSSVEYSSPNLMQRRSTKVQEAELSQ >KJB69934 pep chromosome:Graimondii2_0_v6:11:3951692:3958209:1 gene:B456_011G050600 transcript:KJB69934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLEYLEPILREDIQKIWNVVSKPAAHKDTPLSEFFNVEVTALSSYEEKEELFKEQVAQLRQRFFNSISPGGLAGDRRGVVPASGFSFSAQQIWRVIKENKDLDLPAHKVMVATVRCEEIANEKFCRLSSDEDWLALEEAVQFGSVSGFGRRLSSILETYFSEYDSEATYFDEDVRNAKRKHLESKALDLVHPAYLNLLGHLRFKALENFKSRLERMLKEAEGFAASARACTESCMHEFDQGCADAAIKQANWDASKVREKLRRDIDAHKLSVRDAKLSELVARYEEKLRQLLCEPVESLFDAAGRDTWASIRQLLRRETETAVLEFSTAISSFELDQPTIESMLQGLRDYARNLVVKKAREEAGKVLILMKDRFSTVFSHDNELMPRVWTGKEDIKTITKDARAASLRLLAVMAAIRLDEKPDKIENILLSSLMEGTVTSPDPLASSTWEEVPPENTLITPVQCKSLWRQFKSETEYTVTQAISAQEAYKRSNNWLPPPWAIVAMVVLGFNEFMLLLRNPLYLMFLFVAFLLSKAMWVQMDVPGQFQHGTLAGLISISSRFLPTVMNLLKRLAEEAQGHRTPESPTQQQSSVAFQSFRNQSQLNPSSSIAQSSVSSNVSVSDSSVEYSSPNLMQRRSTKVQEAELSQ >KJB70225 pep chromosome:Graimondii2_0_v6:11:16025920:16027074:-1 gene:B456_011G118400 transcript:KJB70225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSFIPENSCLLFTKMDSQICVSISNQSPYYYHFHRSTPKFPCFIPKALRPHSHENNKNLKKATLLSPLSLTKRALNSSSLLLIFYGFFPHNSKAFSDKDLDLERYTDFEQGFTLLRPSSWNKVEKAGATVLFEEANVGSNNVGVVVSPVRLNSLGEFGTPQFVADKLIQAEKRKESTKDAEVIGVAERPGQGGLQVYEFEYKVDSTRGGMKRILSAAFVASKKLYLLNIAHSDKPESPLDTHTRAVLEEILHSFDALPST >KJB70223 pep chromosome:Graimondii2_0_v6:11:16025200:16027026:-1 gene:B456_011G118400 transcript:KJB70223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQICVSISNQSPYYYHFHRSTPKFPCFIPKALRPHSHENNKNLKKATLLSPLSLTKRALNSSSLLLIFYGFFPHNSKAFSDKDLDLERYTDFEQGFTLLRPSSWNKVEKAGATVLFEEANVGSNNVGVVVSPVRLNSLGEFGTPQFVADKLIQAEKRKESTKDAEVIGVAERPGQGGLQVYEFEYKVDSTRGGMKRILSAAFVASKKLYLLNIAHSDKPESPLDTHTRAVLEEILHSFDALPST >KJB70226 pep chromosome:Graimondii2_0_v6:11:16025920:16027026:-1 gene:B456_011G118400 transcript:KJB70226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQICVSISNQSPYYYHFHRSTPKFPCFIPKALRPHSHENNKNLKKATLLSPLSLTKRALNSSSLLLIFYGFFPHNSKAFSDKDLDLERYTDFEQGFTLLRPSSWNKVEKAGATVLFEEANVGSNNVGVVVSPVRLNSLGEFGTPQFVADKLIQAEKRKESTKDAEVIGVAERPGQGGLQVYEFEYKVDSTRGGMKRILSAAFVASKKLYLLNIAHSDKPESPLDTHTRAVLEEILHSFDALPST >KJB70224 pep chromosome:Graimondii2_0_v6:11:16025156:16027081:-1 gene:B456_011G118400 transcript:KJB70224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSFIPENSCLLFTKMDSQICVSISNQSPYYYHFHRSTPKFPCFIPKALRPHSHENNKNLKKATLLSPLSLTKRALNSSSLLLIFYGFFPHNSKAFSDKDLDLERYTDFEQGFTLLRPSSWNKESTKDAEVIGVAERPGQGGLQVYEFEYKVDSTRGGMKRILSAAFVASKKLYLLNIAHSDKPESPLDTHTRAVLEEILHSFDALPST >KJB70227 pep chromosome:Graimondii2_0_v6:11:16025201:16027026:-1 gene:B456_011G118400 transcript:KJB70227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQICVSISNQSPYYYHFHRSTPKFPCFIPKALRPHSHENNKNLKKATLLSPLSLTKRALNSSSLLLIFYGFFPHNSKAFSDKDLDLERYTDFEQGFTLLRPSSWNKVEKAGATVLFEEANVGSNNVGVVVSPVRLNSLGEFGTPQFVADKLIQAEKRKESTKDAEVIGVAERPGQGGLQVYEFEYKVDSTRGGMKRILSAAFVPSGYPYKSRIRRNSPLF >KJB70222 pep chromosome:Graimondii2_0_v6:11:16025102:16027027:-1 gene:B456_011G118400 transcript:KJB70222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQICVSISNQSPYYYHFHRSTPKFPCFIPKALRPHSHENNKNLKKATLLSPLSLTKRALNSSSLLLIFYGFFPHNSKAFSDKDLDLERYTDFEQGFTLLRPSSWNKESTKDAEVIGVAERPGQGGLQVYEFEYKVDSTRGGMKRILSAAFVASKKLYLLNIAHSDKPESPLDTHTRAVLEEILHSFDALPST >KJB70228 pep chromosome:Graimondii2_0_v6:11:16026225:16027026:-1 gene:B456_011G118400 transcript:KJB70228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQICVSISNQSPYYYHFHRSTPKFPCFIPKALRPHSHENNKNLKKATLLSPLSLTKRALNSSSLLLIFYGFFPHNSKAFSDKDLDLERYTDFEQGFTLLRPSSWNKVEKAGATVLFEEANVGSNNVGVVVSPVRLNSLGEFGTPQFVADKLIQAEKRKVLTLSSKSV >KJB73523 pep chromosome:Graimondii2_0_v6:11:55881392:55884292:-1 gene:B456_011G237700 transcript:KJB73523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELIGPILDVIKFIGRKASKYLKFQRKFTEYVDDFNQAQEDLRAKEADFRQQLKDEHHFGKMPKQEVERWFEKVEQKLGHAQHVEDKISKGKYLFRSCFGKLVDEATQAMKEVRAEGNFSGGLVVNDPSTIAVNLPTPEVVGAIDVREEIYQYLMGDAVGLIGVWGMGGIGKTTIMKDVHNRLLKESKFRKLIWVTVSQDFDIRRLQNNIASQLERNLSDDEDTIVRAGKLSEMLKGQMRYVLILDDVWRSLSLEDFGILEPATNNGCKLVLTTRSERVVESMGLKKVKVPCFSMEEAMNLFLSKVGQDMLPNPTLESLMKLAVRECDGLPLAVVTLAGCMRGKSDPRMWENAIDELRGYIRNIHDMEDRVYGCLKFSYDRLQRIHQDCLLYCALYPEDHEIYKDEITEKWMEEGLIDEMGSRKAMEGSSHSILQELEENCLLERVQDRPCIKMHDLVRDMALHITRKRFLVKAGMQLEELPNEEDWGEDLEKVSLMHNCISTIPQMMKSPKFPKLTTLLLSWNALKEIPESFFEHFPNLKILDLSDTRLESLPNSISFLEKLTVLLLRGCLCLKRLPCLSKLQALKKLDLGSSGIREIPQGLEMLVNLRYLNLKYTSHLAWIPTGTLSKLCRLQYLAIHLKLSAKELRELNKLEVFEGWFHNVGDLNTFASKRKTLYKFSILVCYQMTSFRPSTSSNLVTFVRVTLDVGDEIILPYGIEKLSLLGCGGVRSINDFGLRDATDLKVCELRVCRELESVISSQCEQLQTLESLYLSDLENLKVIVEVGAGESSVGIFSSLRRTCLSRCGKIKKLFSADWVLSNLEEIDVDDCSELEEIITESEKKRLGSNKDTIKFPFPKLRFLLLNSLVQLQRICSENGVMVCDSLQHISISDCPKLKRIPLCLPQLEIDDEGKLSPSNSLQRIQVHPIDWWEAVEWEHPNFNIKKVVRPLVRFRKDIIEEWRSV >KJB73501 pep chromosome:Graimondii2_0_v6:11:55729657:55742641:1 gene:B456_011G236100 transcript:KJB73501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEREGLQPVGALQDIRSDLSKLQGILFFKILEDLHAHLYNKGEFSSVASSMHEKDDEVPTTTVVAITANSLQPVSLSPVDGRSSYDGHDGDSSLEPNDERLNGGDGKDVKVHQIPLWLFNSTPDEFVETIKKSDAPIHVKYLQTMVECLSLLNKIAAASALICQRLRPTVHGIITSRIKAHSEFINSSVDKATRTGATSLHLMKGQLESYQLSKQKRQNGISLAGNLLAVSPVSPVMAPTGKAQAAAKELLNSILDSVVRIFGNHIVIGELIESKSSPQIDTKILKSMSTDAYLDSKASHFSIGFSLTVLQSECQQLICEILRATPEAASADAAVQTARLASKAPTKEKRQITKIRPIYNLGMGFIVKRASVNIDDVGVGGFQNDGSEDGLTFAFRFTDATLSVPNKARGSLLKISVSFQNVKFSGLVLSNDVNSNPICLIYQAAGGGWSTKGSNVSQEGYGSAAVLPEQGMYLAASVYRPVHQFTERLASMLPKRYSQLGNDGLLAFVENFVKDHLLPTMFVDYRKSVQAAISSKFRPAAFRPRAHNHASYASSIEKGRPVLQGLLAIDYLAKEASVLGWAQAIPKFAADLMKYVQTFLERTYERCRTSYMEAVLEKQSYMIIGRHDIDKLMRLDPASACLPNAFSQSNVRNNSSGAGSIDVESELSDLLLNLRPIRQVDICLVTLLPYWFEISF >KJB73503 pep chromosome:Graimondii2_0_v6:11:55733578:55744517:1 gene:B456_011G236100 transcript:KJB73503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQLESYQLSKQKRQNGISLAGNLLAVSPVSPVMAPTGKAQAAAKELLNSILDSVVRIFGNHIVIGELIESKSSPQIDTKILKSMSTDAYLDSKASHFSIGFSLTVLQSECQQLICEILRATPEAASADAAVQTARLASKAPTKEKRQITKIRPIYNLGMGFIVKRASVNIDDVGVGGFQNDGSEDGLTFAFRFTDATLSVPNKARGSLLKISVSFQNVKFSGLVLSNDVNSNPICLIYQAAGGGWSTKGSNVSQEGYGSAAVLPEQGMYLAASVYRPVHQFTERLASMLPKRYSQLGNDGLLAFVENFVKDHLLPTMFVDYRKSVQAAISSKFRPAAFRPRAHNHASYASSIEKGRPVLQGLLAIDYLAKEASVLGWAQAIPKFAADLMKYVQTFLERTYERCRTSYMEAVLEKQSYMIIGRHDIDKLMRLDPASACLPNAFSQSNVRNNSSGAGSIDVESELSDLLLNLRPIRQENLIRDNHKIVLLASLSYSLEYVADSIERQAHPSHLMSPQNVESGKPSQTSSSPARDLALSANEYRKLAIDCLKVLRVEMQLETIFHLQEMTHREYLENQDAEEPDDFVISLTAQITCRDEEIAPFVSGVKRNYIFGGICGTAANASIKALADFKSINLFGVQQICRNSIALEQTLAAIPSIDSEAAQQKLEHIPGREVPLDAQDRMKEILSQ >KJB73502 pep chromosome:Graimondii2_0_v6:11:55731069:55744854:1 gene:B456_011G236100 transcript:KJB73502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEKDDEVPTTTVVAITANSLQPVSLSPVDGRSSYDGHDGDSSLEPNDERLNGGDGKDVKVHQIPLWLFNSTPDEFVETIKKSDAPIHVKYLQTMVECLSLLNKIAAASALICQRLRPTVHGIITSRIKAHSEFINSSVDKATRTGATSLHLMKGQLESYQLSKQKRQNGISLAGNLLAVSPVSPVMAPTGKAQAAAKELLNSILDSVVRIFGNHIVIGELIESKSSPQIDTKILKSMSTDAYLDSKASHFSIGFSLTVLQSECQQLICEILRATPEAASADAAVQTARLASKAPTKEKSDGSEDGLTFAFRFTDATLSVPNKGVEFKRQGGWSTKGSNVSQEGYGSAAVLPEQGMYLAASVYRPVHQFTERLASMLPKRYSQLGNDGLLAFVENFVKDHLLPTMFVDYRKSVQAAISSPAAFRPRAHNHASYASSIEKGRPVLQGLLAIDYLAKEVLGWAQAIPKFAADLMKYVQTFLERTYERCRTSYMEAVLEKQSYMIIGRHDIDKLMRLDPASACLPNAFSQSNVRNNSSGAGSIDVESELSDLLLNLRPIRQENLIRDNHKIVLLASLSYSLEYVADSIERLVQVSPQNVESGKPSQTSSSPARDLALSANEYRKLAIDCLKVLRVEMQLETIFHLQEMTHREYLENQDAEEPDDFVISLTAQITCRDEEIAPFVSGVKRNYIFGGICGTAANASIKALADFKSINLFGVQQICRNSIALEQTLAAIPSIDSEAAQQKLEHVRTYYELLNMTSEALLTFVTEHEHLFTPSEYINLLKVQIPGREVPLDAQDRMKEILSQ >KJB73500 pep chromosome:Graimondii2_0_v6:11:55724950:55744517:1 gene:B456_011G236100 transcript:KJB73500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEREGLQPVGALQDIRSDLSKLQGILFFKILEDLHAHLYNKGEFSSVASSMHEKDDEVPTTTVVAITANSLQPVSLSPVDGRSSYDGHDGDSSLEPNDERLNGGDGKDVKVHQIPLWLFNSTPDEFVETIKKSDAPIHVKYLQTMVECLSLLNKIAAASALICQRLRPTVHGIITSRIKAHSEFINSSVDKATRTGATSLHLMKGQLESYQLSKQKRQNGISLAGNLLAVSPVSPVMAPTGKAQAAAKELLNSILDSVVRIFGNHIVIGELIESKSSPQIDTKILKSMSTDAYLDSKASHFSIGFSLTVLQSECQQLICEILRATPEAASADAAVQTARLASKAPTKEKRQITKIRPIYNLGMGFIVKRASVNIDDVGVGGFQNDGSEDGLTFAFRFTDATLSVPNKARGSLLKISVSFQNVKFSGLVLSNDVNSNPICLIYQAAGGGWSTKGSNVSQEGYGSAAVLPEQGMYLAASVYRPVHQFTERLASMLPKRYSQLGNDGLLAFVENFVKDHLLPTMFVDYRKSVQAAISSKFRPAAFRPRAHNHASYASSIEKGRPVLQGLLAIDYLAKEASVLGWAQAIPKFAADLMKYVQTFLERTYERCRTSYMEAVLEKQSYMIIGRHDIDKLMRLDPASACLPNAFSQSNVRNNSSGAGSIDVESELSDLLLNLRPIRQENLIRDNHKIVLLASLSYSLEYVADSIERQAHPSHLMSPQNVESGKPSQTSSSPARDLALSANEYRKLAIDCLKVLRVEMQLETIFHLQEMTHREYLENQDAEEPDDFVISLTAQITCRDEEIAPFVSGVKRNYIFGGICGTAANASIKALADFKSINLFGVQQICRNSIALEQTLAAIPSIDSEAAQQKLEHIPGREVPLDAQDRMKEILSQ >KJB69972 pep chromosome:Graimondii2_0_v6:11:6492487:6493524:-1 gene:B456_011G071100 transcript:KJB69972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNPIRRQLAIMRQSLFDQGHVDEQFVQLEELQDDANPNFVEEVVTLYYRDSARIILNIEQALERKPFDFRKLDAYMHQFKGSSSSIGAKKVKAESTLFRECCKSGNGEGCMRSFQQLKKEYATLRKKFGAYFQLARQAGPVETASRPK >KJB69403 pep chromosome:Graimondii2_0_v6:11:1563254:1564568:1 gene:B456_011G022200 transcript:KJB69403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYENFAELYAIIKATEKLEKAYVRDIISSSEYETECQKLIAHFKTLAFTLKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAATSATNSAAIVAECVQNFITAMDSLKLNMVAVDQVHPLLSDLSASLNKLGILPPDFEGKMKMKEWISRLSKMGAADELTEQQARQLHFDLESSYNSFMAALPSACT >KJB69402 pep chromosome:Graimondii2_0_v6:11:1563030:1564603:1 gene:B456_011G022200 transcript:KJB69402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLWNDKREREMYENFAELYAIIKATEKLEKAYVRDIISSSEYETECQKLIAHFKTLAFTLKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAATSATNSAAIVAECVQNFITAMDSLKLNMVAVDQVHPLLSDLSASLNKLGILPPDFEGKMKMKEWISRLSKMGAADELTEQQARQLHFDLESSYNSFMAALPSACT >KJB69404 pep chromosome:Graimondii2_0_v6:11:1563293:1564568:1 gene:B456_011G022200 transcript:KJB69404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLWNDKREREMYENFAELYAIIKATEKLEKAYVRDIISSSEYETECQKLIAHFKTLAFTLKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAATSATNSAAIVAECVQNFITAMDSLKLNMVAVDQVHPLLSDLSASLNKLGILPPDFEGKMKMKEWISRLSKMGAADELTEQQARQLHFDLESSYNSFMAALPSACT >KJB69401 pep chromosome:Graimondii2_0_v6:11:1563030:1564603:1 gene:B456_011G022200 transcript:KJB69401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLWNDKREREMYENFAELYAIIKATEKLEKAYVRDIISSSEYETECQKLIAHFKTLAFTLKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAATSATNSAAIVAECVQNFITAMDSLKLNMVAVDQVHPLLSDLSASLNKLGILPPDFEGKMKMKEWISRLSKMGAADELTEQQARQLHFDLESSYNSFMAALPSACT >KJB74046 pep chromosome:Graimondii2_0_v6:11:60080589:60084991:1 gene:B456_011G268800 transcript:KJB74046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLNLLSTALLLLTCAVGVTVSVNRCPNCGSTAVPYPLSTGPSCGDQNYKIRCDAGSLVFDTLNNTYPITSINRFNQRLVIQPASLIPNTCVTTDLRFEGVQLNSSLPFNITSSNTVLFLNCTDSILRSPLDCSSNGLCHEYVNESSRVAACEASPICCTFKAGGGATAYAIRARETGCRAYTSFVNLQSDLPVNRWPEPGMELQWVSPPEPVCGTQADCDRNSTCGSDPNSNGTRRCFCNSGLFWDPIEGVCTEINTCQNPDGCSDSNRTALIAGLTAGLGTALVAVIIGILVYRRHRRIVEAQERLRKERETILNANNGGKAAKVFTGKEIKKATNNFSKDRILGAGGYGEVYKGILDDGTPVAVKCAKLGNTKGTDQVLNEVRILCQVNHRSLVGLLGCCVELDQPIMVYEFIENGNLLDHLQSPNINDRGLLTWTRRLQIARDTAEGLAYLHFSAVPPIYHRDVKSSNILLDVKHNAKVSDFGLSRLAHTDMSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTSQKAIDFNRDADDVNLAIYVKRMTDEEKLMDVIDPILKEKASPLEIDTMKALGFLALSCLEERRQSRPSMKEVTEEIEYIICIATGKTV >KJB69955 pep chromosome:Graimondii2_0_v6:11:4183712:4187986:-1 gene:B456_011G053200 transcript:KJB69955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIVKTLKGSHFEIRVQPNDTIMAVKKNIEDIQGKDNYPCGQQLLIHNGKVLKDETTLAENKVSEDGFLVVMLSKSKSLGSTGASSAQPASSTPSTTVPASAPSSNSTPATGAPAQASQQTDTYSQAASNLVAGNNLEQTIQQLMDMGGGNWDKETVTRALRAAYNNPERAVDYLYSGIPESAEVAVPVARFSTSQTTETGAAPAAPVSGAPNSSPLNMFPQETLSGAAAGGDGSLDFLRNNQQFQALRTMVQSNPQILQPMLQELGKQNPQLLRLIQEHHAEFLQLINEPLEGSEGDIFDQAEQEMPHAINVTPAEQEAIERLEAMGFDRALVIEAFLACDRNEELAANYLLENGGDFED >KJB69952 pep chromosome:Graimondii2_0_v6:11:4183712:4188118:-1 gene:B456_011G053200 transcript:KJB69952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIVKTLKGSHFEIRVQPNDTIMAVKKNIEDIQGKDNYPCGQQLLIHNGKVLKDETTLAENKVSEDGFLVVMLSKSKSLGSTGASSAQPASSTPSTTVPASAPSSNSTPATGAPAQASQQTDTYSQAASNLVAGNNLEQTIQQLMDMGGGNWDKETVTRALRAAYNNPERAVDYLYSGIPESAEVAVPVARFSTSQTTETGAAPAAPVSGAPNSSPLNMFPQETLSGAAAGGDGSLDFLRNNQQFQALRTMVQSNPQILQPMLQELGKQNPQLLRLIQEHHAEFLQLINEPLEGSEGDIFDQAEQEMPHAINVTPAEQEAIERLEAMGFDRALVIEAFLACDRNEELAANYLLENGGDFED >KJB69954 pep chromosome:Graimondii2_0_v6:11:4183712:4187986:-1 gene:B456_011G053200 transcript:KJB69954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIVKTLKGSHFEIRVQPNDTIMAVKKNIEDIQGKDNYPCGQQLLIHNGKVLKDETTLAENKVSEDGFLVVMLSKSKSLGSTGASSAQPASSTPSTTVPASAPSSNSTPATGAPAQASQQTDTYSQAASNLVAGNNLEQTIQQLMDMGGGNWDKETVTRALRAAYNNPERAVDYLYSETLSGAAAGGDGSLDFLRNNQQFQALRTMVQSNPQILQPMLQELGKQNPQLLRLIQEHHAEFLQLINEPLEGSEGDIFDQAEQEMPHAINVTPAEQEAIERLEAMGFDRALVIEAFLACDRNEELAANYLLENGGDFED >KJB69953 pep chromosome:Graimondii2_0_v6:11:4184248:4187820:-1 gene:B456_011G053200 transcript:KJB69953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIVKTLKGSHFEIRVQPNDTIMAVKKNIEDIQGKDNYPCGQQLLIHNGKVLKDETTLAENKVSEDGFLVVMLSKSKSLGSTGASSAQPASSTPSTTVPASAPSSNSTPATGAPAQASQQTDTYSQAASNLVAGNNLEQTIQQLMDMGGGNWDKETVTRALRAAYNNPERAVDYLYSGIPESAEVAVPVARFSTSQTTETGAAPAAPVSGAPNSSPLNMFPQETLSGAAAGGDGSLDFLRNNQQFQALRTMVQSNPQILQPMLQELGKQNPQLLRLIQEHHAEFLQLINEPLEGSEGDIFDQAEQEMPHAINVTPAEQEAIERYFVFCL >KJB71253 pep chromosome:Graimondii2_0_v6:11:14162639:14166334:-1 gene:B456_011G112900 transcript:KJB71253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFSPERRSGCGILNAVFGKRNFWPRRTTSTGSLHIINNNNNNVINDKTAANSNTKRRRSGSDETEFFGPEAPPLKPSVKSVPNHPKPHQQNLVQKPVVEQSRATTTATQGYGNGNLGRKVPKETISISGELESMIVDHQKSKGNSNLLRASSSNMMIYGNLGNLRQPAAGGNTTTNSYSVAKDNVSTPNGKYPNTVMGNVVKKPVEEKRKEEQQQPASLCRALSTRMDPEQLKFMGNEDYKNGRFGEALALYEAAIAIDPNKASYRSNKSAALAALGRVLEAVFECREAIKIEPHYHRAHHRLANLYLRLGDVEKAIYHYKHAGLEADQDDIAKAKTVQTHLNKCTEAKRRRDWNSLLKESDSAINDGADSAPQIFALKAEALLKLHRHQDADKTLLGGPIFNFDDLNKYFGPIGNANLLVVRAQVDMAAGRFDEALGAIQRAVKLDPNNKEANTVMRKARAVAAARSNGNEHFKASKFSEACLAYVEGLEHDPHNAVLLCNRAACWSKLGHLEKAVDDCTHALNLRPAYTKARLRRADCNFKMKKWEASIQDYTILVKETPDNEEVKKGLSQAQMQLNKHNGEVV >KJB70446 pep chromosome:Graimondii2_0_v6:11:6985617:6994521:-1 gene:B456_011G073700 transcript:KJB70446 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G16170) TAIR;Acc:AT3G16170] MEGIITYSFKGLNYVSLLRPSAFHRYRYCYLNPFVTPPFTNASFSSSLPSNSLGSEPATLMEVVKAAWRPSVAGSIAIRADQKSYTYSQLLSSACNISRLLFSTNIKINNKGVSGHGNLGGARIGIVAKPSAEFVAGMLGTWLSGGVAVPLALSYPEAELLHVMNDSDISFVLSTEDYSETMQSIATGCAAQFSLIPPVSSTFSSPNMTDELQTGEIEADGILIDENPALIVYTSGTTGKPKGVVHTHKSISAQVKMLADAWEYTSTDQFLHLLGLRIFFTLISLTRTIHVHGLFNALLAPLYAGSTVEFIPKFSVRSIWQRWRESYPKNGAKADDAITVFTGVPTMYARLIQGYQAMDPEQQALSAYAAQQLRLMMSGSSALPQPVMHEWETITGHCLLERYGMTEFVMAISNPLRGARKAGTVGKPFPGVQVRIAEDKSGGGTIGEGELCVKSPSLFKEYWKLPQVTKESFTDDGFFKTGDACRVDEDGYYVILGRTSADIMKVGGYKLSALEIESVLLQHPDIAECCVFGLPDKDYGEAVSAIVVLDSEQKRKQEESNSAFSLEELCNWAKDKLAPYKLPTRLMLWDSLPRNAMGKVNKKELKRQLGNEK >KJB72594 pep chromosome:Graimondii2_0_v6:11:44528628:44535161:1 gene:B456_011G187000 transcript:KJB72594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSKPASSDEPLSNGSDSSEDERINDRMEEDDDDDEELEAVARSDAASDEDDEPVPEEIADEDESNGDDPEVSKREKERLKEMQKLKKQKVQEILDAQNAAIDADMNNRGKGRLKYLLQQTELFAHFAKGDQSSSQKKVKGRGRHASKVTEEEEDEECLKEEEDGLSGNTRLVTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEYRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPEERRYIREELLVAGKFDVCVTSFEMAIKEKSTLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIESFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEAATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDLKKIVSENWIEPPKRERKRNYSESEYFKQTMRQSAPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQVKDTIDVDEPEGGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIKSIASEMEGKTDQEVERYAKVFKERFKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLEMKIQYGQNKGKLYNEECDRFMVCMVHKLGYGNWEELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQECDERERQARKEKKLAKNITPSKRGGRQPTESPTQQKKRKQLSMDDYANSGKKRK >KJB72595 pep chromosome:Graimondii2_0_v6:11:44528649:44535161:1 gene:B456_011G187000 transcript:KJB72595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSKPASSDEPLSNGSDSSEDERINDRMEEDDDDDEELEAVARSDAASDEDDEPVPEEIADEDESNGDDPEVSKREKERLKEMQKLKKQKVQEILDAQNAAIDADMNNRGKGRLKYLLQQTELFAHFAKGDQSSSQKKVKGRGRHASKVTEEEEDEECLKEEEDGLSGNTRLVTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEYRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPEERRYIREELLVAGKFDVCVTSFEMAIKEKSTLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIESFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEAATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDLKKIVSENWIEPPKRERKRNYSESEYFKQTMRQSAPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQVKDTIDVDEPEGGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIKSIASEMEGKTDQEVERYAKVFKERFKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLEMKIQYGQNKGKLYNEECDRFMVCMVHKLGYGNWEELKAAN >KJB72593 pep chromosome:Graimondii2_0_v6:11:44528408:44535161:1 gene:B456_011G187000 transcript:KJB72593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSKPASSDEPLSNGSDSSEDERINDRMEEDDDDDEELEAVARSDAASDEDDEPVPEEIADEDESNGDDPEVSKREKERLKEMQKLKKQKVQEILDAQNAAIDADMNNRGKGRLKYLLQQTELFAHFAKGDQSSSQKKVKGRGRHASKVTEEEEDEECLKEEEDGLSGNTRLVTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEYRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPEERRYIREELLVAGKFDVCVTSFEMAIKEKSTLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIESFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEAATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDLKKIVSENWIEPPKRERKRNYSESEYFKQTMRQSAPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQVKDTIDVDEPEVGGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIKSIASEMEGKTDQEVERYAKVFKERFKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLEMKIQYGQNKGKLYNEECDRFMVCMVHKLGYGNWEELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQECDERERQARKEKKLAKNITPSKRGGRQPTESPTQQKKRKQLSMDDYANSGKKRK >KJB70499 pep chromosome:Graimondii2_0_v6:11:7414752:7418948:-1 gene:B456_011G076300 transcript:KJB70499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSIVSAVLEKLSSLLMIPGEIKHNFELALALEEEIGKVIAHFQDIQSVLQDAESKQVKDANVRNWLKKLKDVAYDVDDVVDEWNSEKLKSQIDKEQKGARNFPLLKKMRNSISCFTFQTILYYKIASKLSGVNEQLDSIATEKDRYKFGLEKVVEEPRRLITASFIDDEEVYGRCQETEILVNMLVGNSSSGGGLKLPVISIVGMGGIGKTTLAQLVYNHSDVECHFGKRIWICVSDPFDEIRIAKEILEAFKGESSNLVGKDNILQEIRSHVSGKKVLLVLDDVWSEDATRWEQLKTSLKHCSPGSRILITTRNEKVAIIMGTTSSNLFLLNTLSLEECWSLLSRRAYYGRTREESENLDDIGWKIADKCQGLPLAVKILGGLLRFKRTREQWQNVLDSEMWDFEEAEQDLFPPLFLSYYELPLALKQCISYCAVFPKGMILRKNELIKLWMAQDYLKGVRCEEMEIVGEEYFDELHMRSFFQDFGGGELDSGIMKYKMHDLIHDFLLVLMKTECVMLVNHDSEELKVDLSCERARYGTLFRKEAAPTESNIFRFRKLRSLLIDSSYHDTSSLRLYLPRLFDQLTCLRTLNLSNGLFRNSIEELPDEIGKLVHLRYLNLKNNKKLKELPESLCGLCNLQTLNLKWCDSLKELPCGIGKIINLRHLENDQTNLSLMAIPRGIGRLTNLQTLSLFVVKGNSDASTLGDLQNLIHLRGLLKISGLGAVCDVTEAKKAELENKKGLRTLIFDFTISKGLMSNRWQRDDGRLLEALRPPPCLEELEIWWYSSPTISANWMMGLTKLSRVSLGYCLHLKSLPPLGKLPSLESLYIGGMSRVEKVSVEFLGVEREEILAPSSSLESPSSSVIAFPSLKHLEFEFFDLEEWEDWMPLTSREEHISIMPRLCSLTINSCPKFKALPCYVLHNTSLKQLNISQSPILSERCRKETGEDWLKISHIPSIMIDSVRIQVNCSSVEEFGVLQFQPLHSSSLSSKNFLKAFSQN >KJB70498 pep chromosome:Graimondii2_0_v6:11:7414752:7418948:-1 gene:B456_011G076300 transcript:KJB70498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSIVSAVLEKLSSLLMIPGEIKHNFELALALEEEIGKVIAHFQDIQSVLQDAESKQVKDANVRNWLKKLKDVAYDVDDVVDEWNSEKLKSQIDKEQKGARNFPLLKKMRNSISCFTFQTILYYKIASKLSGVNEQLDSIATEKDRYKFGLEKVVEEPRRLITASFIDDEEVYGRCQETEILVNMLVGNSSSGGGLKLPVISIVGMGGIGKTTLAQLVYNHSDVECHFGKRIWICVSDPFDEIRIAKEILEAFKGESSNLVGKDNILQEIRSHVSGKKVLLVLDDVWSEDATRWEQLKTSLKHCSPGSRILITTRNEKVAIIMGTTSSNLFLLNTLSLEECWSLLSRRAYYGRTREESENLDDIGWKIADKCQGLPLAVKILGGLLRFKRTREQWQNVLDSEMWDFEEAEQDLFPPLFLSYYELPLALKQCISYCAVFPKGMILRKNELIKLWMAQDYLKGVRCEEMEIVGEEYFDELHMRSFFQDFGGGELDSGIMKYKMHDLIHDFLLVLMKTECVMLVNHDSEELKVDLSCERARYGTLFRKEAAPTESNIFRFRKLRSLLIDSSYHDTSSLRLYLPRLFDQLTCLRTLNLSNGLFRNSIEELPDEIGKLVHLRYLNLKNNKKLKELPESLCGLCNLQTLNLKWCDSLKELPCGIGKIINLRHLENDQTNLSLMAIPRGIGRLTNLQTLSLFVVKGNSDASTLGDLQNLIHLRGLLKISGLGAVCDVTEAKKAELENKKGLRTLIFDFTISKGLMSNRWQRDDGRLLEALRPPPCLEELEIWWYSSPTISANWMMGLTKLSRVSLGYCLHLKSLPPLGKLPSLESLYIGGMSRVEKVSVEFLGVEREEILAPSSSLESPSSSVIAFPSLKHLEFEFFDLEEWEDWMPLTSREEHISIMPRLCSLTINSCPKFKALPCYVLHNTSLKQLNISQSPILSERCRKETGEDWLKISHIPSIMIDSVRIQVNCSSVEEFGVLQFQPLHSSSLSSKNFLKAFSQN >KJB70497 pep chromosome:Graimondii2_0_v6:11:7413852:7419220:-1 gene:B456_011G076300 transcript:KJB70497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSIVSAVLEKLSSLLMIPGEIKHNFELALALEEEIGKVIAHFQDIQSVLQDAESKQVKDANVRNWLKKLKDVAYDVDDVVDEWNSEKLKSQIDKEQKGARNFPLLKKMRNSISCFTFQTILYYKIASKLSGVNEQLDSIATEKDRYKFGLEKVVEEPRRLITASFIDDEEVYGRCQETEILVNMLVGNSSSGGGLKLPVISIVGMGGIGKTTLAQLVYNHSDVECHFGKRIWICVSDPFDEIRIAKEILEAFKGESSNLVGKDNILQEIRSHVSGKKVLLVLDDVWSEDATRWEQLKTSLKHCSPGSRILITTRNEKVAIIMGTTSSNLFLLNTLSLEECWSLLSRRAYYGRTREESENLDDIGWKIADKCQGLPLAVKILGGLLRFKRTREQWQNVLDSEMWDFEEAEQDLFPPLFLSYYELPLALKQCISYCAVFPKGMILRKNELIKLWMAQDYLKGVRCEEMEIVGEEYFDELHMRSFFQDFGGGELDSGIMKYKMHDLIHDFLLVLMKTECVMLVNHDSEELKVDLSCERARYGTLFRKEAAPTESNIFRFRKLRSLLIDSSYHDTSSLRLYLPRLFDQLTCLRTLNLSNGLFRNSIEELPDEIGKLVHLRYLNLKNNKKLKELPESLCGLCNLQTLNLKWCDSLKELPCGIGKIINLRHLENDQTNLSLMAIPRGIGRLTNLQTLSLFVVKGNSDASTLGDLQNLIHLRGLLKISGLGAVCDVTEAKKAELENKKGLRTLIFDFTISKGLMSNRWQRDDGRLLEALRPPPCLEELEIWWYSSPTISANWMMGLTKLSRVSLGYCLHLKSLPPLGKLPSLESLYIGGMSRVEKVSVEFLGVEREEILAPSSSLESPSSSVIAFPSLKHLEFEFFDLEEWEDWMPLTSREEHISIMPRLCSLTINSCPKFKALPCYVLHNTSLKQLNISQSPILSERCRKETGEDWLKISHIPSIMIDSVRIQVNCSSVEEFGVLQFQPLHSSSLSSKNFLKAFSQN >KJB72983 pep chromosome:Graimondii2_0_v6:11:52602643:52604738:1 gene:B456_011G218600 transcript:KJB72983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGSSGGGVEWHVRPPNPKNPIVFFDITIGSIPAGRIKMELFADIAPKTAENFRKAGLPVGYKGCQFHRVIKDFMIQAGDFVKGDGSGCTSIYGHKFEDENFIAKHTGPGLLSMANSGPNTNGCQFFITCAKCEWLDNKHVVFGRVIGDSLLVVRKIENVATGPNNRPKLPCIIAECGEM >KJB72980 pep chromosome:Graimondii2_0_v6:11:52602546:52604917:1 gene:B456_011G218600 transcript:KJB72980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGSSGGGVEWHVRPPNPKNPIVFFDITIGSIPAGRIKMELFADIAPKTAENFRQLCTGEYRKAGLPVGYKGCQFHRVIKDFMIQAGDFVKGDGSGCTSIYGHKFEDENFIAKHTGPGLLSMANSGPNTNGCQFFITCAKCEWLDNKHVVFGRVIGDSLLVVRKIENVATGPNNRPKLPCIIAECGEM >KJB72981 pep chromosome:Graimondii2_0_v6:11:52602636:52604758:1 gene:B456_011G218600 transcript:KJB72981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGSSGGGVEWHVRPPNPKNPIVFFDITIGSIPAGRIKMELFADIAPKTAENFRQLCTGEYRKAGLPVGYKGCQFHRVIKDFMIQAGDFVKGDGSGCTSIYGHKFEDENFIAKHTGPGLLSMFFITCAKCEWLDNKHVVFGRVIGDSLLVVRKIENVATGPNNRPKLPCIIAECGEM >KJB72979 pep chromosome:Graimondii2_0_v6:11:52602586:52604885:1 gene:B456_011G218600 transcript:KJB72979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGSSGGGVEWHVRPPNPKNPIVFFDITIGSIPAGRIKMELFADIAPKTAENFRQLCTGEYRKAGLPVGYKGCQFHRVIKDFMIQAGDFVKGDGSGCTSIYGHKFEDENFIAKHTGPGLLSMANSGPNTNGCQFFITCAKCEWLDNKHVVFGRVIGDSLLVVRKIENVATGPNNRPKLPCIIAECGEM >KJB72982 pep chromosome:Graimondii2_0_v6:11:52602643:52603804:1 gene:B456_011G218600 transcript:KJB72982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGSSGGGVEWHVRPPNPKNPIVFFDITIGSIPAGRIKMELFADIAPKTAENFRQLCTGEYRKAGLPVGYKGCQFHRVIKDFMIQAGDFVKGDGSGCTSIYGHKFEDENFIAKHTGPGLLSMVCCFLCNV >KJB70583 pep chromosome:Graimondii2_0_v6:11:8181029:8182816:-1 gene:B456_011G081400 transcript:KJB70583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKEWANFISSIASLMYFLLIIFQIPLFRVPCRFGMCKTPIEVTTSQLMASELFPTYVVKVLLYPGAVANTIIKRRTLPRYSKLLKLYNISALRKSTAVSDLQRLEILAGSYLSVVGAFIGLSRRARMSLFGTMLIIWSLVRDIVLGQFHNMFPTKSIQMYPTLILAVVCAFLSIKKDVRKLIHSPRARRVKLS >KJB72727 pep chromosome:Graimondii2_0_v6:11:46802550:46805089:1 gene:B456_011G193400 transcript:KJB72727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNYGKGSSGSLKSFDFDLGLGSGRPKSLNDQKNKTTSSFSSYSSATSAPSKPAWQPNKPSWTHQPAPNQASQAAISGPTSMVGDIFGKSWSSSGGNSSGIGIVNKNPNLFGDLVSSALGQGKSNSNSNVPLKNANPTPNSSSKNPYSMGNLADSLPKSSGNWGTSSGYNNNSNGIGGSSNINVNINNSKNQNLGGASMKSMAGGAAGGGKSGIGSKDPFGSLVDFLSKPSGTLNSGSNKGNKANVAHDAFGDFQNASKPSTTAFPSSGFSAFGDFQNASKPSTMAFPSSSFGSTSNTNDSGMPAKNVGSQSQPSVQSSGGDPLDMFFSSSSASGGSAQASGGGGGQQFSEVDWGLDSEFGGAGGGNDGGSTTELEGLPPPPAGVTANSAKSKGIDNQKQGQYADAIKWLSWAVVLLEKTNDKSGTMEVLSCRASCYKEVGEYKKAVADCSKVLEHDESNVSVLVQRALLYESMEKCKLGAEDLRTVLKIDPGNRIARSTVHRLAKMAD >KJB74253 pep chromosome:Graimondii2_0_v6:11:61573194:61576621:-1 gene:B456_011G283100 transcript:KJB74253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDRTVLQFNSPSSASLSAKVHPLVIFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHTESAEQVALDIEYHHNMLVSHQKVNPKEVIVGWYSTGLGVTGGSALIQDFYSREVPNPIHLTVDTGFRNGEGTVKAFVSVNLALGDRQLAAQFQEIPLDLCMVEAERVGFDILKKTAVDKLPNDLEGMEVTMGRLLALIDDVYKYVDDVVEGRVPADNNIGRFIAETVASLPKLSPPAFDKLMNDSLQDQLLLLYLSSIARTQLGLAEKLNTAAQIL >KJB69745 pep chromosome:Graimondii2_0_v6:11:3005727:3006531:1 gene:B456_011G040500 transcript:KJB69745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYNTVSLHCNLLDGSLPACIEKFEWHKALCGVPRLQVLPCKDSTQLKYILPDYHLRDPANVLVLKYIYQLQHLQYC >KJB72882 pep chromosome:Graimondii2_0_v6:11:49070899:49075837:1 gene:B456_011G202400 transcript:KJB72882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIFGPITPGQVSFFLGVFPVISAWIYAEYLQYKKNSLASKARHSDVSLVEIGNVAVKEEDRAVLLEGGGLQSGSPKARSSTSSVSPILKFIMMDETFLIENRLTLRAISEFGVLLAYYYICDRTDVFASSKKSYNRDLFLFLYFLLIIVSAITSFKIHHDKSPFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRIFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVFFCCVVLNNSYMLYYICPMHTLFTLMVYGALGILNKYNEKGSVIALKIIACFLVVILVWEVPGVFELLWSPFTFFLGYTDPAKPNLPLLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEETEVKRRVSIKIAVAIIALMVGFLWFEHIYKLDKVTYNKYHPYTSWIPITVYICLRNVTQSFRSYSLTLFAWLGKITLETYISQIHIWLRSGVPDGQPKLLLSLIPDYPMLNFMLTTSIYLAISYRLFDLTNILKSAFVPTKDNKRLLHNLITGVVVSSIVYSLSFVFLRIPQMLV >KJB72883 pep chromosome:Graimondii2_0_v6:11:49070979:49075837:1 gene:B456_011G202400 transcript:KJB72883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIFGPITPGQVSFFLGVFPVISAWIYAEYLQYKKNSLASKAHSDVSLVEIGNVAVKEEDRAVLLEGGGLQSGSPKARSSTSSVSPILKFIMMDETFLIENRLTLRAISEFGVLLAYYYICDRTDVFASSKKSYNRDLFLFLYFLLIIVSAITSFKIHHDKSPFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRIFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVFFCCVVLNNSYMLYYICPMHTLFTLMVYGALGILNKYNEKGSVIALKIIACFLVVILVWEVPGVFELLWSPFTFFLGYTDPAKPNLPLLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEETEVKRRVSIKIAVAIIALMVGFLWFEHIYKLDKVTYNKYHPYTSWIPITVYICLRNVTQSFRSYSLTLFAWLGKITLETYISQIHIWLRSGVPDGQPKLLLSLIPDYPMLNFMLTTSIYLAISYRLFDLTNILKSAFVPTKDNKRLLHNLITGVVVSSIVYSLSFVFLRIPQMLV >KJB73739 pep chromosome:Graimondii2_0_v6:11:57346318:57347189:-1 gene:B456_011G247300 transcript:KJB73739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKPTTVSKKLLIDPKSDRILFAEAGKDFVDFLFYIMSLPVGTVVRLLGKQRTVGCLGNIYESIENLNDYYYMKSAATKVILLKPMSKYTNLYWCKNHQNYNGGTAYVANHPTAACPSCGHYFMKSNDVLFVNPTNNGVPDSGEEALIKRPATFMIMDDLVVRPMYTNSIITLLDKFNIKDVNDLEEKTIGVGVNEAVELLRASMQSKTVLTDVFLGGKKKSSEV >KJB74071 pep chromosome:Graimondii2_0_v6:11:60234517:60237704:1 gene:B456_011G270400 transcript:KJB74071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAIVSLAVERISDLLIHEAVFLKDVKDQVESLRAELKRMQCFLKDADRNLEQDARFQNRVSEIRDLAYDAEDVIDSFILKAAYQRDSHGIVKRFTSIFTKPYHLHKIGVQLKAIKTELQNISENLPAFEIPGDGEGSSSIFKVQQRLRRAYSHVEEEDVVSLEVSTKDVMTKLMTEEDRPHAVVSIVGMGGLGKTTLARKVYNHVDVRRHFDFLAWFSISQQCKPREVLLSVLKKVLSPSNNDREKIEKMDEIELTRTLFDALKEKRYLVVLDDIWRSEDWDILKPAFPRGRKGSKILFTTRNRNVASRADSCNTPIELSFLTDDESWNLLCKKAFPRSKMGSQCCSEEFVKLGKDMVKKCGGLPLAIVVLGCLLATKQSVAQWEMVHKNIHGHLNELQHQDRQYGAVNRILVSSYNDLPYPLKPCFLYLSHYPEDWEIPKKELIRLWIAEGFIPENEEFLMEDLGEKFLEELIDRSLVQVSTRDYTGTNVETCRVHDLLRDFCMKKAREEKFLEIIQQPLHELEVTLAESMLRRISTHPSESKVYLKGKHPKLRSLLFSHSEKLVELCISKYKSFKFLRVLTLAKRSDCNQPWHVSTEIGNLQHLRYLKFYYNNKVILPRSIGRLKNLYTLYIKFCDDIVIPDGVFKLERLRHIVIKSVGKFHVDGFRWRQGFTSKNIETLKFIVVDEKAAENNAVLRWTNIQSLGIEFTRAEYVKPTLILLAKLQRLRSVSLCFFYCSHLDLEPLSQYYHLSKLKLLGPIKDEPHPNGHVLKFLPLNIVKLTLFDCGLRQDPMAVLEKLCHLRILFLKGAYIGSKMVCSTNGFPKLDYLLIMLIMLEEWEIEEGAMPCLRELTLANVESLRMLPEGLRYMTTLQKLNLIGISSLLKERIKVIDGREGEEFYKVRHIPFIRHFLMPK >KJB68876 pep chromosome:Graimondii2_0_v6:11:19946710:19947416:-1 gene:B456_011G1317001 transcript:KJB68876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFFGMFGYGGPIASMNLESCVEVSSKTKQSKKVYKLHLAREALLGNSGSECSWSTDGGIRDPLDEEIKESPHGSFTKVVILNPVVRNLDISKLQCKLKDIYFPYIH >KJB71066 pep chromosome:Graimondii2_0_v6:11:11922050:11922619:-1 gene:B456_011G103600 transcript:KJB71066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQNDIDLLNPPAELEKKKHKFKHLVQTPNSFFMIYVCVGINFFFPRALAFVCHSQIVVVCGNCQTILCQPIGSKARLTVMTR >KJB71294 pep chromosome:Graimondii2_0_v6:11:14962977:14963588:-1 gene:B456_011G115300 transcript:KJB71294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISISTQVQCLLGDFHSRRLLMLHAPPSPTPTMAAPTNTETNHPYTGNNSFDANVIMVMSVLLCAIMFTLVLNSIIRCVLRCSNLLASGSGGSTSGQPPNRGINRKALKTFPTVNYSADLNMPGLDSECVICLSDFKPGDRVRLLPMCNHGFHVQCINKWLSCRSSCPKCRHCLVETCQKIVVFSSQASSSQPTPVQETAVP >KJB73073 pep chromosome:Graimondii2_0_v6:11:51417607:51418301:-1 gene:B456_011G213400 transcript:KJB73073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTGEAYLSYSLGALSVFSFIACCFVWFNNTTYPSEFYGPTGLEASQAQASTFLFRDQRLEANVGSAQGPTGLGKYLMRSLTGEVIFGGETMRFWDLHALCLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDLTGGR >KJB72273 pep chromosome:Graimondii2_0_v6:11:35156192:35158165:1 gene:B456_011G169200 transcript:KJB72273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDARVKTKTNRLSLWTINTFFEYNAQLHNVMRVTHSMYRACNTSSPLATYTTGNDSIKITTKGHHFFFCGVPGHCQAGQKVDINVLRLPETAPASAPSGSTLSPPPVPSVSVPVSSPSNALTLRASKGNFAKLGLAITVLQFLYQVSH >KJB70049 pep chromosome:Graimondii2_0_v6:11:4402341:4403053:1 gene:B456_011G055900 transcript:KJB70049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSFTIRVNHEHLKYALIKHLSKKLYTLHEKAHHYVDIEELSASSHVIAKKKLIPIVGIFNPPEQKDSSHVSSGVHTRDIIIGGRNVFILDLSLLFTSFLRTRH >KJB73359 pep chromosome:Graimondii2_0_v6:11:54267661:54269956:1 gene:B456_011G229200 transcript:KJB73359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVTKRYAVVTGANKGIGLEICKQLAQNGITVVLTARDEKRGLEALESLQHSGLSDYLIFHQLDVTDPESIASLADFVKKQFGKLDILVNNAGVYSATFLFTPGTTVKLSDLPSKVTEGNYELSEECLKINYYGAKRTAEALISLLQLSDLPRIVNVSSSAVMLEGKCEKLKGVLTGVTTEEKLNDLITEYLKDFKEGLHGSKGWPAVASAYTVSKVALNAYTRILANKYPDFCINSVCPGYAKTDINLNAGIITAEEAAVTPVKLALLPKGGPSGLFFVKGEPATPEP >KJB74106 pep chromosome:Graimondii2_0_v6:11:60498648:60501058:1 gene:B456_011G272900 transcript:KJB74106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVEFIEIYLLIFLLLIFVITKVLNRTRCKHMNLPPSPPTLPIAGHLHLLKEPFNQSLFALSQKHSPIFSLRLGSRLAIVVSSPSVVEECLTKNDIVFANRPCFWVGKYISYDYTTIISSPYGDHWRNLRRICKLEIFSANRLNSSSSIRRDEIKNLLWKLYYSSSDDNFVKVELKPLLSKLTFNITLRMIAGKQHKPEAVKLHGLQQELLKLGISPIVGDFFPFLRWANFFGYKKKVVKLTREINGLLQGLVDEHQRNKYGFEKEDTVISHLLCLQESEAQYYTDEIIKGIVQDMLLGGTNTVVIALEWSVSHLLNNLNTMQKSKSELDFHVGHGRLLDETDLPRLRYLQNIISETLRLNPAVPLLVPHVSSDRCNLLGYNIPKGTMLLVNAWAIHRDPKLWDEATFFKPERFENGRAEGYKMMPFGLRRRACPGKDLAQRVMGLVLGSLIQCFEWKR >KJB72038 pep chromosome:Graimondii2_0_v6:11:26891195:26896980:1 gene:B456_011G155000 transcript:KJB72038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSSAHSTIFSKTSLFHHINGFKRFSFCLPFSSSAVASLSSKKKWRQPVASSMLELGGIKIAKDDVVRDDPTNNVPDTIFSKLGLQLHRRNNHPLGILKNGIYEYFDTNFPNMFHKFDDLCPVVSVKQNFDDVLVPADHVSRSYNDTYYVDSQTVLRCHTSAHQAELLRRGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGVRVFTPANWEASGLDPTSYAAEDLKKSLEGLARHLFGAVEMRWIDAYFPFTNPSYELEIYFQGKWMEVLGCGVMEQEILKSNGRSNSVAWAFGLGLERLAMVLFDIPDIRLFWSTDERFTSQFSEGQMGVKFKPFSKYPPCYKDMSFWINDSFTENNLCEVIRGIAGDLVEEVSLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINDLQWQVREQVQNKLNVVLR >KJB73041 pep chromosome:Graimondii2_0_v6:11:50861358:50862719:1 gene:B456_011G211100 transcript:KJB73041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEACSLLLLLVFVLSGAPVEGRHGSKLSQNYYKSTCPEVFSIVQAEVEAALNKERRMGASLLRLHFHDCFVNGCDGSILLDDNATFIGEKTAPPNVNSTRGFNVVDDIKARLEDACPGVVSCADILAIAARDSTVILGGPSWKVKLGRKDATTASNAAATEFIPRPNLNISALVSSFDAQGLSLKDLVALSGAHTIGLAKCETFRAHIHNDSNIDPTFAKSLQRKCPRAGKDNIHQQLDLQTPTSFDNSYFKNLLKKKGLLRSDQELFSGTSADSLVKKYAADSSEFFKHFSKSMIKLGNIKPITGSSGKIRINCRKVN >KJB74248 pep chromosome:Graimondii2_0_v6:11:61541734:61553559:1 gene:B456_011G282700 transcript:KJB74248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPLILLSKTMIFPDQKSNLEDLKLSVSDLPMLSCHYIQKGCLFTRPSIPINSLISLLKQSLSKTLSFFPPLAGRFYTDPNGYIYITCNDAGVEFHHAKCDTKFIRDVIGPVHVPELVKEFFTFDKTVSYRGHYKPIMAVQFTELADGVFIGCSVNHAVTDGTSFWNFFNTFAEICRKVSNNNNQSVEKISRRPDFSRESVLISSAVLRVPEGGPKVTFNENEPLRERIFSFSREAIMELKAKVNNKNDAFQLTAGDFNFNAVEIMGKQSNDKYLPGIFENFFKCAAVSTTPEISSFQSLSALLWRAVTRARKLPASKTTTFRMAVNCRHRLNPKMDPLYFGNAIQSIPTYASAGDVTSRDIRWCAEQLNESVKAHDDETVRRFICNWEKDPRCFPLGNFDGASMTMGSSPRFPMYDNDFGWGRPLAVRSGGANKFDGKISAFPGREGNGSVDLEVVLAPETMAAIELDGEFMRYVSN >KJB74249 pep chromosome:Graimondii2_0_v6:11:61551797:61553567:1 gene:B456_011G282700 transcript:KJB74249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPSSQFPINNLSIHFHFPSLSILPNQKRVKFSLKTNMPSSSSTFPVTLVSKTMIFPDQKSNLGELKLSVSDLPMLSCHYIQKGCLFTRPSIPINSLISVLKQALSKTLSFFSPLAGRLYTDRNGYIYITCNDAGVEFHHAKVSYQGHYMPIMAVQLTELADGVFIGCSVNHAVTDGTSFWNFFNSFAEICKRISDNCCNQSIEKITRRPDFTRETVLNSPAVLRLPEGGPKVTFNENEPLRERIFSFSKEAIMELKAKANNFQLNAGDFNSAGEIMGKQSNDKYKPIPEISSFQSLSALLWRAVTRGRKLPASKTTTFRMAVNCRHRLSPKLNTLYFGNAIQSIPTYASAGDVTSRDLRWCAQRLNENVKAHDDETVRRFIKEWEKDPRCFPLGNFDGASMTMGSSPRFPMYDNDFGWGRPLAVRSGGANKFDGKISAFPGREGNGSVDLEVVLAPETMAAIELDGEFMRYVSN >KJB73677 pep chromosome:Graimondii2_0_v6:11:56955809:56960395:1 gene:B456_011G242600 transcript:KJB73677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPLPNGTGSTAATTTTETTVTATLPTATSNTTPSSKLSQLTESLKLEHQFLRVPFEHYKKTIRANHRTAEKEVSAVISSVADVADSNEISKDDAVLSLTSLVSRLQGLKRKLEEGSRTENLQVQNCRARLDHLESVDAENLSEWNNVRFKRILVDYMLRMSYYDTARKLAESSKIEELVDLEVFQEAKKVVDALKNQEVGPALAWCAENKSRLKKSKSKFEFQLRLQEFIELVRAENYMRAILYARRYLAPWGATHLKELQLVMTTLAFRSNTECTKYKVLFEPKQWDFLVDQFKQEFYKLHGMTLEPLLNIYLQAGLSALKTPYPYSSYHYVTAYLFIHIYLSFRDFSDFSKACLLTHMLACITIKFFRTSFHCFDISATTIFPLT >KJB73674 pep chromosome:Graimondii2_0_v6:11:56955809:56959849:1 gene:B456_011G242600 transcript:KJB73674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPLPNGTGSTAATTTTETTVTATLPTATSNTTPSSKLSQLTESLKLEHQFLRVPFEHYKKTIRANHRTAEKEVSAVISSVADVADSNEISKDDAVLSLTSLVSRLQGLKRKLEEGSRTENLQVQNCRARLDHLESVDAENLSEWNNVRFKRILVDYMLRMSYYDTARKLAESSKIEELVDLEVFQEAKKVVDALKNQEVGPALAWCAENKSRLKKSKSKFEFQLRLQEFIELVRAENYMRAILYARRYLAPWGATHLKELQLVMTTLAFRSNTECTKYKVLFEPKQWDFLVDQFKQEFYKLHGMTLEPLLNIYLQAGLSALKTPCCFEDGCTKEDPLSQENFRKLAMPLPYSKQHHSKLVCYITKELMDTENPPQVLPNGYVYSTKVRILPHKLTFLLLIFIFPLDCLVLERTEQTNQSNRLTQELVACSVRHYM >KJB73676 pep chromosome:Graimondii2_0_v6:11:56955809:56960395:1 gene:B456_011G242600 transcript:KJB73676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPLPNGTGSTAATTTTETTVTATLPTATSNTTPSSKLSQLTESLKLEHQFLRVPFEHYKKTIRANHRTAEKEVSAVISSVADVADSNEISKDDAVLSLTSLVSRLQGLKRKLEEGSRTENLQVQNCRARLDHLESVDAENLSEWNNVRFKRILVDYMLRMSYYDTARKLAESSKIEELVDLEVFQEAKKVVDALKNQEVGPALAWCAENKSRLKKSKSKFEFQLRLQEFIELVRAENYMRAILYARRYLAPWGATHLKELQLVMTTLAFRSNTECTKYKVLFEPKQWDFLVDQFKQEFYKLHGMTLEPLLNIYLQAGLSALKTPCCFEDGCTKEDPLSQENFRKLAMPLPYSKQHHSKLVCYITKELMDTENPPQVLPNGYVYSTKLDPCFSENIKIDKIPVSKCQAGFFNHVLCCRLSKKWPRKTMVKLHVRGLVWSAIILTWLRPISHKTTPSTLQR >KJB73673 pep chromosome:Graimondii2_0_v6:11:56955769:56960482:1 gene:B456_011G242600 transcript:KJB73673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPLPNGTGSTAATTTTETTVTATLPTATSNTTPSSKLSQLTESLKLEHQFLRVPFEHYKKTIRANHRTAEKEVSAVISSVADVADSNEISKDDAVLSLTSLVSRLQGLKRKLEEGSRTENLQVQNCRARLDHLESVDAENLSEWNNVRFKRILVDYMLRMSYYDTARKLAESSKIEELVDLEVFQEAKKVVDALKNQEVGPALAWCAENKSRLKKSKSKFEFQLRLQEFIELVRAENYMRAILYARRYLAPWGATHLKELQLVMTTLAFRSNTECTKYKVLFEPKQWDFLVDQFKQEFYKLHGMTLEPLLNIYLQAGLSALKTPCCFEDGCTKEDPLSQENFRKLAMPLPYSKQHHSKLVCYITKELMDTENPPQVLPNGYVYSTKALKEMAEKNNGKITCPRTGLVCNYSDLVKAYIS >KJB73675 pep chromosome:Graimondii2_0_v6:11:56955809:56960103:1 gene:B456_011G242600 transcript:KJB73675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPLPNGTGSTAATTTTETTVTATLPTATSNTTPSSKLSQLTESLKLEHQFLRVPFEHYKKTIRANHRTAEKEVSAVISSVADVADSNEISKDDAVLSLTSLVSRLQGLKRKLEEGSRTENLQVQNCRARLDHLESVDAENLSEWNNVRFKRILVDYMLRMSYYDTARKLAESSKIEELVDLEVFQEAKKVVDALKNQEVGPALAWCAENKSRLKKSKSKFEFQLRLQEFIELVRAENYMRAILYARRYLAPWGATHLKELQLVMTTLAFRSNTECTKYKVLFEPKQWDFLVDQFKQEFYKLHGMTLEPLLNIYLQAGLSALKTPWLHQGGPTVTGKLPEVSDAIALLEAASFKACLLHNQRANGYREPTSSPT >KJB74388 pep chromosome:Graimondii2_0_v6:11:62329724:62331632:-1 gene:B456_011G292100 transcript:KJB74388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCYPFSLLEPPNCDGCNAAAISNEPPFVVPWPVEPLFSASSSVRCHGFTSPAIPDKATVASKSHSEAEKRRRDRINSQLTALRKLIPKSNKMDKAALLGSAIEQVKDLKRKATEIGKAFAIPSETDEVSVDYNVPEDKTFIRVSICCDDRPEVFSELIRVLKGLRLSIVEAEISSVGGRTKSNLILCNESDNREGVSSNLKQSLNVVVNKINALSSDESKSNYRIRSKRQRLFLSS >KJB72819 pep chromosome:Graimondii2_0_v6:11:48142491:48144278:1 gene:B456_011G199300 transcript:KJB72819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSEAKSLLDELRFDKGDIFDLGHPLLNWTAQSFVKTAGIGALQAIAREACHIAFEGKRTGPIKSTDITGVKNKKQGFPGLRGETSRNSLEAMVKHTGKESLQWGLAAGLYSGLTYGLKEARGSHDWTNSAVAGAITGMAVALTCDNTTHEQVLHYAISGAALSTAANLLDF >KJB70933 pep chromosome:Graimondii2_0_v6:11:10601786:10603422:-1 gene:B456_011G096600 transcript:KJB70933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWCIGSQLAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAEKANDAFYKLSNPRTQIQAYVFDVIRASVPKLDLDDAFEQKNDIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKIIQIKRAEGEAESKYLSGVGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVLDMVLITQYFDTMKEIGAASKSSSVFIPHGPGAVRDIATQIRDGLLQASHHHE >KJB70934 pep chromosome:Graimondii2_0_v6:11:10601808:10603422:-1 gene:B456_011G096600 transcript:KJB70934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWCIGSQLAGHLTLRLQQLDDNVFVNVVASIQYRALAEKANDAFYKLSNPRTQIQAYVFDVIRASVPKLDLDDAFEQKNDIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKIIQIKRAEGEAESKYLSGVGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVLDMVLITQYFDTMKEIGAASKSSSVFIPHGPGAVRDIATQIRDGLLQASHHHE >KJB70936 pep chromosome:Graimondii2_0_v6:11:10601808:10603422:-1 gene:B456_011G096600 transcript:KJB70936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWCIGSQLAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKIIQIKRAEGEAESKYLSGVGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVLDMVLITQYFDTMKEIGAASKSSSVFIPHGPGAVRDIATQIRDGLLQASHHHE >KJB70935 pep chromosome:Graimondii2_0_v6:11:10601808:10603422:-1 gene:B456_011G096600 transcript:KJB70935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWCIGSQLAGHLTLRLQQLDVRCETKTKYRALAEKANDAFYKLSNPRTQIQAYVFDVIRASVPKLDLDDAFEQKNDIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKIIQIKRAEGEAESKYLSGVGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVLDMVLITQYFDTMKEIGAASKSSSVFIPHGPGAVRDIATQIRDGLLQASHHHE >KJB69532 pep chromosome:Graimondii2_0_v6:11:2105642:2106907:1 gene:B456_011G028600 transcript:KJB69532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIRVSCRTEHEPLHPPISQKIHWQLDLSFRHFNYTTPLIESIRGNGASSVVKPMPAAFVGAPMESSKASFITKMVLLDAAKAAVAPFERVKLLMQNQNDIIKSGRLPKPYNGIFHCFATTIRHEGIFSLWRGYPVMAIGDVFSKVLRYNIFEYAKTREDIRWTSMRVLELNCVAFLATQLLVHPFLYAGTRMATDVKITGNSGQRQFNGITDVYRKTLKSYGIVGLYRGFNITLVELVMLGALSRGLSPWKQYYSYILQNDYLSRVMVEFGFDICGKMATYSMDTVSRRMMMTWGGVKYKSTLHAIAQIWKTEGVKTFYNGAGAEILLCAAYTGTVMLVIYVADVIRAATEKGSDDGRSALGFTARWKDPRGK >KJB71213 pep chromosome:Graimondii2_0_v6:11:13230925:13233158:1 gene:B456_011G110600 transcript:KJB71213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTSCSSDSMIGFGVGCYNPKAFLISLTTNKSSLGNPVLSFTTQMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB74187 pep chromosome:Graimondii2_0_v6:11:61036886:61038659:1 gene:B456_011G278000 transcript:KJB74187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKIASRHRGKVKAFPKDDPIKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVGAGALDYSLTCRLSR >KJB74188 pep chromosome:Graimondii2_0_v6:11:61036886:61039095:1 gene:B456_011G278000 transcript:KJB74188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKIASRHRGKVKAFPKDDPIKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVGAGALDYSLTCRLSRVVFGSNWLRLGQNSGRT >KJB74186 pep chromosome:Graimondii2_0_v6:11:61036886:61037763:1 gene:B456_011G278000 transcript:KJB74186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKIASRHRGKVKAFPKDDPIKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMYFGFLTL >KJB74189 pep chromosome:Graimondii2_0_v6:11:61036886:61039095:1 gene:B456_011G278000 transcript:KJB74189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKIASRHRGKVKAFPKDDPIKPCRLTAFLGYKAGMTHIVREVEKPGSMLALVLSTTL >KJB73572 pep chromosome:Graimondii2_0_v6:11:56333528:56333944:1 gene:B456_011G239100 transcript:KJB73572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTMSFIIMHALLALWALIVALIMILMAILCFRKDSSNRDHEIGVTNYPIAEQGMHGPPLQKHEEASRPIMVGTVVQYKNEEGTESRCTECVICLEEFKDGDSCRVLTNCNHLYHQLCIDEWLVKDSHCPLCRGSTM >KJB70749 pep chromosome:Graimondii2_0_v6:11:9598390:9599550:-1 gene:B456_011G090200 transcript:KJB70749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEYQYILVNLLKGEQFNPEFQKLNPIGYVPVLVDGDIIISDNCNFHAEKYPQHPLLPSDPVKKALNFQEYIEEKVSPDEKIPWTKVHIEKGFEALKKLLKDHARRYATGDEDLPDKELELTIEFDREEHHDKLISS >KJB71813 pep chromosome:Graimondii2_0_v6:11:22655685:22657384:-1 gene:B456_011G143300 transcript:KJB71813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GQMVLRVNPNWVPPFQLRLLQLGSWQTGPSFPLWLCSQKDLEYLNISNSRISDVVPSDVNLSRNQISGQIQYLPQSQVYFFVHLSFNNFSGPLPRISGNDTLAMVVLGLANNLFSGEIPYCWIKWQSLRVLRLDGNRVSGKIPISMGTSTKFQSLHLHNNRLHGEIPLSLKSCTNLVAINFGENELNGHIPGWMGHGLPNLIILILRSNKFGGNIPDHLCALTSLQILDLSNNHLFGSIPRCISNFAAMMFMNLLSVVMKGQFLEYGNTLNLVRLVDLSRNNLSGEIPQEVVNLQALQTLNLSQNHLTGKIPKLIGAMILIETLDLSQNQLTGSIPESISGMTFLSHLNLSFNNLTGIIPTSTQLQSFNESCYAGNHLCGPPLKGCRGSGKETDVKNRAKGIGKGREINWFYVSMPLGFVTGFWCVLGPLVFNRRWRTELWWKVYDLVGKF >KJB74329 pep chromosome:Graimondii2_0_v6:11:61992622:61994888:-1 gene:B456_011G288400 transcript:KJB74329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELTRAEVYTPRSMQVWRALLNWLAFFFQIFAQIIRAVGQYPLLSSSSTTETKTTTSSSTHRFKPLPVVDSVEIECPSTVEIAAVLDSPAPVDDDRTEKLTVVLDLDETLVCAYETSSLPLALRSQATDAGLKWFELECVSSDKEFEGKPKISYVTVFERPGLQEFLNQLSEFAELVLFTAGLEGLRTIKHCFVFIHFHPFICFPNPPQAMLDHLLTELMRKIDLVIDFIGLLQFVLSFENM >KJB74327 pep chromosome:Graimondii2_0_v6:11:61991839:61995097:-1 gene:B456_011G288400 transcript:KJB74327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELTRAEVYTPRSMQVWRALLNWLAFFFQIFAQIIRAVGQYPLLSSSSTTETKTTTSSSTHRFKPLPVVDSVEIECPSTVEIAAVLDSPAPVDDDRTEKLTVVLDLDETLVCAYETSSLPLALRSQATDAGLKWFELECVSSDKEFEGKPKISYVTVFERPGLQEFLNQLSEFAELVLFTAGLEGYARPLVDRIDAENRFSHRLYRPSTICTEFREHVKDLSCLSKNLCRTVIVDNNPFSFLLQPLNGIPCIPFSAGQPHDTQLLDVLLPLLKHLSLQKDVRPFLYDRFHMPEWFQKQGIPSSSWSA >KJB74328 pep chromosome:Graimondii2_0_v6:11:61991864:61995068:-1 gene:B456_011G288400 transcript:KJB74328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELTRAEVYTPRSMQVWRALLNWLAFFFQIFAQIIRAVGQYPLLSSSSTTETKTTTSSSTHRFKPLPVVDSVEIECPSTVEIAAVLDSPAPVDDDRTEKLTVVLDLDETLVCAYETSSLPLALRSQATDAGLKWFELECVSSDKEFEGKPKISYVTVFERPGLQEFLNQLSYARPLVDRIDAENRFSHRLYRPSTICTEFREHVKDLSCLSKNLCRTVIVDNNPFSFLLQPLNGIPCIPFSAGQPHDTQLLDVLLPLLKHLSLQKDVRPFLYDRFHMPEWFQKQGIPSSSWSA >KJB69209 pep chromosome:Graimondii2_0_v6:11:774227:778552:-1 gene:B456_011G010900 transcript:KJB69209 gene_biotype:protein_coding transcript_biotype:protein_coding description:EH domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT4G05520) UniProtKB/Swiss-Prot;Acc:B3LF48] MEASSLPIDSCSKEHQKIYRDWFNIADSDRDGRVTGNDATKFFAMSKLSRQELKQIWAIADSKRQGYLGLKEFIVAMQLISWAQAGHEITFDILKSSADLENIGLPAMEGVDALAAKKDFATNGVHDINGSNHHQVPASAKWFTSKSSKKIPPSAVTSVVDGLKRLYIEKLKPLESTYRFNDFVSPSLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLQCDYPGAHIGPEPTTDRFVVVMSGPDERSIPGNTIAVQADLPFGGLTTFGGAFLSKFECSQMPHPLLDQITFVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDLILLLFDPHKLDISDEFKRVISCLRGNDDKIRVVLNKAHQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDNQINEADVDQLGQDLFEKEQDDLLKDLLDIPRKACDRRINEFVKRARAAKINAYIVSHLKKEMPSMMGKAKAQQRLIDNLQDEFAKVQREYHLPPGDFPSVEHYREVLNNYNIDKFEKLKPKLVQAVDDMLGYEIPELLKNFRNPYE >KJB69208 pep chromosome:Graimondii2_0_v6:11:774182:778610:-1 gene:B456_011G010900 transcript:KJB69208 gene_biotype:protein_coding transcript_biotype:protein_coding description:EH domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT4G05520) UniProtKB/Swiss-Prot;Acc:B3LF48] MEASSLPIDSCSKEHQKIYRDWFNIADSDRDGRVTGNDATKFFAMSKLSRQELKQIWAIADSKRQGYLGLKEFIVAMQLISWAQAGHEITFDILKSSADLENIGLPAMEGVDALAAKKKDFATNGVHDINGSNHHQVPASAKWFTSKSSKKIPPSAVTSVVDGLKRLYIEKLKPLESTYRFNDFVSPSLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLQCDYPGAHIGPEPTTDRFVVVMSGPDERSIPGNTIAVQADLPFGGLTTFGGAFLSKFECSQMPHPLLDQITFVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDLILLLFDPHKLDISDEFKRVISCLRGNDDKIRVVLNKAHQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDNQINEADVDQLGQDLFEKEQDDLLKDLLDIPRKACDRRINEFVKRARAAKINAYIVSHLKKEMPSMMGKAKAQQRLIDNLQDEFAKVQREYHLPPGDFPSVEHYREVLNNYNIDKFEKLKPKLVQAVDDMLGYEIPELLKNFRNPYE >KJB74066 pep chromosome:Graimondii2_0_v6:11:60160797:60162347:1 gene:B456_011G269900 transcript:KJB74066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPPFLCFFFFVSFLPLSTPLTSSPYLSPTIFHPNYQKMTQNFKIYAYPPPETLSFDSQVESLFYSSLIHSHFITQNPEEAHLFFIPFSFHSGLSARAAAYVVGNYRTEFIYWNRTLGADHFFLSCSGIVHGADRNVVELKKNSVQVSCFPTTAGLFIPHKDVSLPPLANVHAPVHAPGRKSSSYLGYVKYNWVKESNIKEQLLADPEFEVESEPSDQVTYEERLAGSKFCLFEYGPEISAIGEAMSFGCVPVVITDRPIQDLPLMDLLTWQQIAVFVGSSGGVNEIKRVLGRVVMAEYEDMRESAAVASKHFVWNDTPQPFDAFHMVMYQLWLRRHTIRYAEREWA >KJB68898 pep chromosome:Graimondii2_0_v6:11:26769022:26773654:1 gene:B456_011G154600 transcript:KJB68898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFSILAKAIWDVLSIKEVIDIIESAPACSSAARTLVQTAVRAWRYKYPTSKVDDCAVVIIRFSVATHEL >KJB73681 pep chromosome:Graimondii2_0_v6:11:56987666:56989732:-1 gene:B456_011G242900 transcript:KJB73681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLRHFSHEHPLIFNEERSHENEVYCSACGELVLGPRFSCMECGFHLDKNCAEAPDVMNHPFHRKHNLELKASSPYDDDNECTAHDCGLCHEDVNMESGSYYCSKCKFIIHVNCALKDARWYYKIESKDKVNAMSAVGALDPSFFVVKTTKIGEEIINAEIQHFSHQHNLVLSNEVKDRRYCDGCSQLILTSFYGCLECDFFLHKYCAKLPKKKQVISLYHQDPLSLIPHCIFKCALCRFMRSGFAYKCEVYMCNDLICVRCAEIHLPYKSQGHKHPIRFYLQLNGLSCNACGGSTDGVSIYGCKTCSFAVHYQCILAPQIAWHEGDKHFLTLRYNEDNDYSEHHYCDICEEKRSPNTWFYHCAICDNSAHIHCVLGDYPFIKRGRTFITNDHQHTLISVQKAHHPKCCKCGEPCFDLTLECLEEECKYISHWRCNSNYKILWKHSKNLV >KJB73944 pep chromosome:Graimondii2_0_v6:11:59309030:59312474:1 gene:B456_011G261900 transcript:KJB73944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSSRIKAAESPLHHGVNLSSKMSPVSVPITEGEILQASNLKSFNFNDLRTATRNFRPDSVLGEGGFGCVFKGWIDENTFTASKPGTGMVIAVKRLNQEGFQGHHEWLAEINYLGQLDHPNLVKLVGYCLEDDHRLLVYEFMPKGSLENHLFRRNSYFQPLSWNLRMKVALGAAKGLEFLHSDEVKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTHGYAAPEYVATGHLTARSDVYSFGVVLLEMLTGKRAVDKNRPSREHNLVDWAKPYLTSKRKFFQIMDVRIKGQYTPEAALKAAYLALQCLSTEPKVRPKMTAVVKALEQLQDSGDDKGVHQNAAAHSSRPNSRYALKKNPDGPQPSASPLST >KJB69598 pep chromosome:Graimondii2_0_v6:11:2436350:2437330:-1 gene:B456_011G032900 transcript:KJB69598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIRVVFGDPYNSDPEDPESMGIEVREKVVNGEELTITMTKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKNPKEFRDSYKAEQEKLQQQIASARSSLSSVQIDQDLKVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDKVIAEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFG >KJB69599 pep chromosome:Graimondii2_0_v6:11:2436222:2438057:-1 gene:B456_011G032900 transcript:KJB69599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLGNSTAILASRSLVSPSLKPAFPSISINPGQGYGRKLYGGIGIQGRKGRPQFHIAVTNVATEINSVEQAQKLAAKESQRPVFPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIRVVFGDPYNSDPEDPESMGIEVREKVVNGEELTITMTKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKNPKEFRDSYKAEQEKLQQQIASARSSLSSVQIDQDLKVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDKVIAEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFG >KJB69597 pep chromosome:Graimondii2_0_v6:11:2436222:2438042:-1 gene:B456_011G032900 transcript:KJB69597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKNPKEFRDSYKAEQEKLQQQIASARSSLSSVQIDQDLKVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDKVIAEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFG >KJB73578 pep chromosome:Graimondii2_0_v6:11:56349055:56354712:1 gene:B456_011G239400 transcript:KJB73578 gene_biotype:protein_coding transcript_biotype:protein_coding description:decapping 2 [Source:Projected from Arabidopsis thaliana (AT5G13570) TAIR;Acc:AT5G13570] MSGLHRSSSAPLKNGLPPQELLDDLCSRFVLNVPKEDQQSFERILFLVEYAHWFYEDNTVEKNPSLKSLNLKEFTSLLFNSCDVLRPYVAHIDDIFKDFTDYKVRVPVTGAIILDETYERCILVKGWKGTSWSFPRGKKNKDEEDHACAIREVLEETGFDVSALLNKDEYIEVIFGQQRVRLYIIAGVKDDTPFAPLTKKEISEIAWHRIDDLQPATNEVISRGITGLKLYMVAPFLASLKSWISKHPSPLPPRPDLPLKGVSIWKAKNSSIGSNSMIVESQSNKLQSDAKPPDTGPGKSFRNFRFDTAAVLRALEGSFST >KJB73579 pep chromosome:Graimondii2_0_v6:11:56349171:56353498:1 gene:B456_011G239400 transcript:KJB73579 gene_biotype:protein_coding transcript_biotype:protein_coding description:decapping 2 [Source:Projected from Arabidopsis thaliana (AT5G13570) TAIR;Acc:AT5G13570] MSGLHRSSSAPLKNGLPPQELLDDLCSRFVLNVPKEDQQSFERILFLVEYAHWFYEDNTVEKNPSLKSLNLKEFTSLLFNSCDVLRPYVAHIDDIFKDFTDYKVRVPVTGAIILDETYERCILVKGWKGTSWSFPRGKKNKDEEDHACAIREVLEETGFDVSALLNKDEYIEVIFGQQRVRLYIIAGVKDDTPFAPLTKKEISEIAWHRIDDLQPATNEVISRGITGLKLYMVAPFLASLKSWISKHPSPLPPRPDLPLKGFSLPFILEGD >KJB69347 pep chromosome:Graimondii2_0_v6:11:1263969:1265572:1 gene:B456_011G0182002 transcript:KJB69347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cysteine-rich receptor-like protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT4G23170) UniProtKB/Swiss-Prot;Acc:O65469] MQISTVSTNLLLLVIVLSLLSPAIEAQQPTYRFHSCPNTTTFTVNSTYQANRNTVLSSLSSNSTRGDGFYNTTAGRSPDMVYGLFLCRGDLSTSVCQACVTFATTDISQRCPNQTTAVVWYDECLLRYSNQNIFSVVTEEPEVRLRNTQNVTDNQDLFNQQVLAMMNDTANQAANTPEGAKKFATREEDVNFSSTFEALYTLGQCTPDLISMDCNRCLRMVISTLPTGWQGARVLNPSCNVRYEIYPFYNQTGVASPPSPTPSPPAPGGNGRRSWPIIVAIVVPIAASILLLLLMCWLLKRRAKKKYDTNVGYDITTIDSLQYDYATIEAATDKFSDANKLGKGGFGEVYKGILSNQQEIAVKKLSRGSGQGDEEFKNEAVLVAKLQHRNLVRLLGFCLKREERIL >KJB70129 pep chromosome:Graimondii2_0_v6:11:4772838:4775907:1 gene:B456_011G059300 transcript:KJB70129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREVYEEKLRNGNLHHDPTINPGLGSARCPRCLSLLNPDSDKAEWTINSVLEDATAVIVDCYNMCCIFCCKLAGSGIGGMLSAVHGFNTGIPFLQNHIKGPKWLPFVTGIPLLLMFSGASAAFGVPRIMEFHCSRDTLKMHMLLLLNKKG >KJB70128 pep chromosome:Graimondii2_0_v6:11:4772838:4775907:1 gene:B456_011G059300 transcript:KJB70128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREVYEEKLRNGNLHHDPTINPGLGSARCPRCLSLLNPDSDKAEWTINSVLEDATAVIVDCYNMCCIFCCKLAGSGIGGMLSAVHGFNTGIPFLQNHIKGPKWLPFVTGIPLLLMFSGASAAFGGYALPKFAQLTVTSYYAASSASHYGISLLTRHIEDAYATPSQQERLR >KJB70130 pep chromosome:Graimondii2_0_v6:11:4772838:4775600:1 gene:B456_011G059300 transcript:KJB70130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREVYEEKLRNGNLHHDPTINPGLGSARCPRCLSLLNPDSDKAEWTINSVLEDATAVIVDCYNMCCIFCCKLAGSGIGGMLSAVHGFNTGIPFLQNHIKGPKWLPFVTGIPLLLMFSGASAAFGVCRLCTSKVCSAHRHFLLCCL >KJB70155 pep chromosome:Graimondii2_0_v6:11:5001787:5002176:-1 gene:B456_011G061300 transcript:KJB70155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQEQGRQSFSKHLTQSEVKDRIIFFSYTDVAPFFEFQEGRLFFMDVTDNLGKAWTFIGTFYANPEVGKYVSIKWPQFSSEKGLKANDEVIFTERPRREGEAPWKKFNVIIKRKIRLFGQDIWGELKV >KJB72578 pep chromosome:Graimondii2_0_v6:11:44267374:44271536:-1 gene:B456_011G185800 transcript:KJB72578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGREVSGRPVGREERKREEVGRVSKRSEKGEEVVRDGSNSQRVDEEKAEEEEAAAAAAAAAKARAKRRSSRPNPRLSNPPKHVHGEQVAAGWPSWLSIVAGEAISGWTPRRADSFEKIDKIGQGTYSNVYKARDTLSGKIVALKKVRFDNLEPESVKFMAREIKILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPAIKFTESQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLIDNGGVLKIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPSDEYWKKSKLPHATIFKPQQSYKRCIAETFKDFPPSSLPLIDTLLAIDPVERLTATAALNSEFFTTKPYACDPSSLPKYPPCKEMDAKLRDEEARRLRAAGRPNAGVKKARPRDRAARAIPAPEANAELQANLD >KJB72577 pep chromosome:Graimondii2_0_v6:11:44267367:44271782:-1 gene:B456_011G185800 transcript:KJB72577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGREVSGRPVGREERKREEVGRVSKRSEKGEEVVRDGSNSQRVDEEKAEEEEAAAAAAAAAKARAKRRSSRPNPRLSNPPKHVHGEQVAAGWPSWLSIVAGEAISGWTPRRADSFEKIDKIGQGTYSNVYKARDTLSGKIVALKKVRFDNLEPESVKFMAREIKILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPAIKFTESQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLIDNGGVLKIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPSDEYWKKSKLPHATIFKPQQSYKRCIAETFKDFPPSSLPLIDTLLAIDPVERLTATAALNSEFFTTKPYACDPSSLPKYPPCKEMDAKLRDEEARRLRAAGRPNAGVKKARPRDRAARAIPAPEANAELQANLDRRRLITHANAKSKSEKFPPPHQDGTLGYPLGSAHPIDPGYDPPDVPFSTSFSYSNKAPIQTWSGPLIDSSAVGAPRRKKHMSGDGHSHSKSTKKDKNSTRI >KJB72579 pep chromosome:Graimondii2_0_v6:11:44267374:44271536:-1 gene:B456_011G185800 transcript:KJB72579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGREVSGRPVGREERKREEVGRVSKRSEKGEEVVRDGSNSQRVDEEKAEEEEAAAAAAAAAKARAKRRSSRPNPRLSNPPKHVHGEQVAAGWPSWLSIVAGEAISGWTPRRADSFEKIDKIGQGTYSNVYKARDTLSGKIVALKKVRFDNLEPESVKFMAREIKILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPAIKFTESQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLIDNGGVLKIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPSDEYWKKSKLPHATIFKPQQSYKRCIAETFKDFPPSSLPLIDTLLAIDPVERLTATAALNSEFFTTKPYACDPSSLPKYPPCKEMDAKLRDEEARRA >KJB71595 pep chromosome:Graimondii2_0_v6:11:20000414:20001656:-1 gene:B456_011G132000 transcript:KJB71595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRELNIKGRRIKKAEKKMKEMKASTEEMRNEMTHLINLAENCHNAFLQIREAVNPLILSAQSLDAQVDELVSSFLAQNHGD >KJB74476 pep chromosome:Graimondii2_0_v6:11:59713396:59713829:1 gene:B456_011G2657003 transcript:KJB74476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TWTRNPQEILGFKKLSSLQFYKCSSLKYIFTPSMLLSLNQLESLEVKECSSMKQVVREEEEAMTHKFTFLSLLSVTIESCSNLTNFHLGSQALEFPELWKIRIAECPKMTAFSSSVSRESGDASENVVGEGGIYDNTATLFSNK >KJB69737 pep chromosome:Graimondii2_0_v6:11:2962866:2967620:-1 gene:B456_011G039700 transcript:KJB69737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGVAKDSAEGSSRSSGNHHQQPQPAPLSRYESQKRRDWNTFGQYLKNQRPPVPLSQCNCNHVLDFLRYLDQFGKTKVHLHGCVFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEEHGGSPETNPFGNGAIRVYLREVRECQAKARGIPYKKKKKRKHQIKPNNVDEEAKSSSKQLPS >KJB69765 pep chromosome:Graimondii2_0_v6:11:3053141:3059671:1 gene:B456_011G041100 transcript:KJB69765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGGGGGNNGIGKGNNSGLSGIPPGSRKMVQSLKEIVNCPEPEIYAMLKDCNMDPNEAVNRLLSQDPFHEVKSKRDKKKESKDSVDARSRGANNLGSRGGRSGSDRYTGRGGSSHYSSNESGPSHGKPAQKRENGGHPVAGSSSSASGMQGNNMNRRPQSHSEVAVSEHKISTVGLGDGVSSSSQPTGYQSAWLGVPGQVSMADIVKMGRPQNKTSVVPNPSQQSTNNRHHVVPPPAALQSNLQDQASKVADISYEPDGTKNQQVSSRDEWPPIENPSAASVTSVLESPAESGLYANASNLPLGRSNQILKSQLEEAQAVDDGPLETVNNNHVRSPSISSRNIQEDNSRGSSLYDNDLYKDMNSYQPQIPAFENEEAEDGSSSVAVDLQQLNLHNDDREPPTEEDNPSVIIPNHLQVHTPDCSHLSFGSFGPGIGSGFSGQFASMASKNNLDDVPEVADASSIGHSDNRNPEYYADEHLRSDTEGNIINRSNVSTANYEVPEDSQPEVLKQDVSEAAQGSQYSFPPSASGYNYENSEQLNPSFAHMQTSSQMQNLNPFSSQAYTNSLPSTLLTSTVQTAREPDLPYSPFPVTQSMPTRYSNATSSISGPTISMPEALRATGISAAQPTQQSLPGASVATGPALPQHLAMHPFPQPTLPLGHFANMISYPFLPQSYTYMPSAFQQTFTGNSNYPQSLAAMLPQYKNSVSVSSLPQSAAIPSGYGFGSSTNIPGGLPLNPPTAPAGTTIGYDDVLSSQYKDNNHLMSLQQNENSGMWIHGPGSRTMSAVPASTYYSFQGQNQQAGGFRQGQQPPSQHFGSLGYPNFYHSQMGVSLDPQQQNPRDGSLSGSQGQPPSKQTQQLWQNNY >KJB69766 pep chromosome:Graimondii2_0_v6:11:3053141:3059671:1 gene:B456_011G041100 transcript:KJB69766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGGGGGNNGIGKGNNSGLSGIPPGSRKMVQSLKEIVNCPEPEIYAMLKDCNMDPNEAVNRLLSQDPFHEVKSKRDKKKESKDSVDARSRGANNLGSRGGRSGSDRYTGRGGSSHYSSNESGPSHGKPAQKRENGGHPVAGSSSSASGMQGNNMNRRPQSHSEVAVSEHKISTVGLGDGVSSSSQPTGYQSAWLGVPGQVSMADIVKMGRPQNKTSVVPNPSQQSTNNRHHVVPPPAALQSNLQDQASKVADISYEPDGTKNQQVSSRDEWPPIENPSAASVTSVLESPAESGLYANASNLPLGRSNQILKSQLEEAQAVDDGPLETVNNNHVRSPSISSRNIQEDNSRGSSLYDNDLYKDMNSYQPQIPAFENEEAEDGSSSVAVDLQQLNLHNDDREPPTEEDNPSVIIPNHLQVHTPDCSHLSFGSFGPGIGSGFSGQFASMASKNNLDDVPEVADASSIGHSDNRNPEYYADEHLRSDTEGNIINRSNVSTANYEVPEDSQPEVLKQDVSEAAQGSQYSFPPSASGYNYENSEQLNPSFAHMQTSSQMQNLNPFSSVMQAYTNSLPSTLLTSTVQTAREPDLPYSPFPVTQSMPTRYSNATSSISGPTISMPEALRATGISAAQPTQQSLPGASVATGPALPQHLAMHPFPQPTLPLGHFANMISYPFLPQSYTYIHHQSRPSSYGKTITNLVSSWFFRVL >KJB69763 pep chromosome:Graimondii2_0_v6:11:3052983:3059671:1 gene:B456_011G041100 transcript:KJB69763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGGGGGNNGIGKGNNSGLSGIPPGSRKMVQSLKEIVNCPEPEIYAMLKDCNMDPNEAVNRLLSQDPFHEVKSKRDKKKESKDSVDARSRGANNLGSRGGRSGSDRYTGRGGSSHYSSNESGPSHGKPAQKRENGGHPVAGSSSSASGMQGNNMNRRPQSHSEVAVSEHKISTVGLGDGVSSSSQPTGYQSAWLGVPGQVSMADIVKMGRPQNKTSVVPNPSQQSTNNRHHVVPPPAALQSNLQDQASKVADISYEPDGTKNQQVSSRDEWPPIENPSAASVTSVLESPAESGLYANASNLPLGRSNQILKSQLEEAQAVDDGPLETVNNNHVRSPSISSRNIQEDNSRGSSLYDNDLYKDMNSYQPQIPAFENEEAEDGSSSVAVDLQQLNLHNDDREPPTEEDNPSVIIPNHLQVHTPDCSHLSFGSFGPGIGSGFSGQFASMASKNNLDDVPEVADASSIGHSDNRNPEYYADEHLRSDTEGNIINRSNVSTANYEVPEDSQPEVLKQDVSEAAQGSQYSFPPSASGYNYENSEQLNPSFAHMQTSSQMQNLNPFSSVMQAYTNSLPSTLLTSTVQTAREPDLPYSPFPVTQSMPTRYSNATSSISGPTISMPEALRATGISAAQPTQQSLPGASVATGPALPQHLAMHPFPQPTLPLGHFANMISYPFLPQSYTYMPSAFQQTFTGNSNYPQSLAAMLPQYKNSVSVSSLPQSAAIPSGYGFGSSTNIPGGLPLNPPTAPAGTTIGYDDVLSSQYKDNNHLMSLQQNENSGMWIHGPGSRTMSAVPASTYYSFQGQNQQAGGFRQGQQPPSQHFGSLGYPNFYHSQMGVSLDPQQQNPRDGSLSGSQGQPPSKQTQQLWQNNY >KJB69764 pep chromosome:Graimondii2_0_v6:11:3053141:3057009:1 gene:B456_011G041100 transcript:KJB69764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGGGGGNNGIGKGNNSGLSGIPPGSRKMVQSLKEIVNCPEPEIYAMLKDCNMDPNEAVNRLLSQDPFHEVKSKRDKKKESKDSVDARSRGANNLGSRGGRSGSDRYTGRGGSSHYSSNESGPSHGKPAQKRENGGHPVAGSSSSASGMQGNNMNRRPQSHSEVAVSEHKISTVGLGDGVSSSSQPTGYQSAWLGVPGQVSMADIVKMGRPQNKTSVVPNPSQQSTNNRHHVVPPPAALQSNLQDQASKVADISYEPDGTKNQQVSSRDEWPPIENPSAASVTSVLESPAESGLYANASNLPLGRSNQILKSQLEEAQAVDDGPLETVNNNHVRSPSISSRNIQEDNSRGSSLYDNDLYKDMNSYQPQIPAFENEEAEDGSSSVAVDLQQLNLHNDDREPPTEEDNPSVIIPNHLQVHTPDCSHLSFGSFGPGIGSGFSGQFASMASKNNLDDVPEVADASSIGHSDNRNPEYYADEHLRSDTEGNIINRSNVSTANYEVPEDSQPEVLKQDVSEAAQGSQYSFPPSASGYNYENSEQLNPSFAHMQTSSQMQNLNPFSSVMVMQLCKFVFNA >KJB69767 pep chromosome:Graimondii2_0_v6:11:3053141:3059671:1 gene:B456_011G041100 transcript:KJB69767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGGGGGNNGIGKGNNSGLSGIPPGSRKMVQSLKEIVNCPEPEIYAMLKDCNMDPNEAVNRLLSQDPFHEVKSKRDKKKESKDSVDARSRGANNLGSRGGRSGSDRYTGRGGSSHYSSNESGPSHGKPAQKRENGGHPVAGSSSSASGMQGNNMNRRPQSHSEVAVSEHKISTVGLGDGVSSSSQPTGYQSAWLGVPGQVSMADIVKMGRPQNKTSVVPNPSQQSTNNRHHVVPPPAALQSNLQDQASKVADISYEPDGTKNQQVSSRDEWPPIENPSAASVTSVLESPAESGLYANASNLPLGRSNQILKSQLEEAQAVDDGPLETVNNNHVRSPSISSRNIQEDNSRGSSLYDNDLYKDMNSYQPQIPAFENEEAEDGSSSVAVDLQQLNLHNDDREPPTEEDNPSVIIPNHLQVHTPDCSHLSFGSFGPGIGSGFSGQFASMASKNNLDDVPEVADASSIGHSDNRNPEYYADEHLRSDTEGNIINRSNVSTANYEVPEDSQPEVLKQDVSEAAQGSQYSFPPSASGYNYENSEQLNPSFAHMQTSSQMQNLNPFSSVMQAYTNSLPSTLLTSTVQTAREPDLPYSPFPVTQSMPTRYSNATSSISGPTISMPERSPHNSHCLVRVLLQDLHFRNI >KJB69851 pep chromosome:Graimondii2_0_v6:11:3523368:3528925:-1 gene:B456_011G046000 transcript:KJB69851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDEIQTQTCKFSTVGNGRNETSKIGQKGSDSNFPDDEEDGELIKRAAATAVANGGVADTIGTNSLRGWHNSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAASDAIAELPSLNTSFPDTPKQLSDEKRGSGGIEQGFDSAEVELDGDPSNYQQHLSLSKSASSSTSETRKNSSLSLSRSGTRVNRVKARERARERTAKEKEGHQQQNVSPISQNSSFTELLTSGIGNVSNNNTSPSASASASAHQDPSAESDFYQKANSTARLWPVTPMDYFASGLLGPSSSRGHHSSGFPGGQIHLVNSLQQPMTTPPFTVSGENHQEMQHFSFVPNPDHLIPVATTQPGQGVDYNLNFTISSGLAGFNRGTLQSNSPFLPPHLQRFSSIDGSSPLYIGTPPVENHHHHQFTAGLDGRLQLCYGDGNRSSDQKGKGKN >KJB69850 pep chromosome:Graimondii2_0_v6:11:3523288:3528930:-1 gene:B456_011G046000 transcript:KJB69850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDEIQTQTCKFSTVGNGRNETSKIGQKGSDSNFPDDEEDGELIKRAAATAVANGGVADTIGTNSLRGWHNSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAASDAIAELPSLNTSFPDTPKQLSDEKRGSGGIEQGFDSAEVELDGDPSNYQQHLSLSKSASSSTSETRKNSSLSLSRSGTRVNRVKARERARERTAKEKEGHQQQNVSPISQNSSFTELLTSGIGNVSNNNTSPSASASASAHQDPSAESDFYQKANSTARLWPVTPMDYFASGLLGPSSSRGHHSSGFPGGQIHLVNSLQQPMTTPPFTVSGENHQEMQHFSFVPNPDHLIPVATTQPGQGVDYNLNFTISSGLAGFNRGTLQSNSPFLPPHLQRFSSIDGSSPLYIGTPPVENHHHHQFTAGLDGRLQLCYGDGNRSSDQKGKGKN >KJB72884 pep chromosome:Graimondii2_0_v6:11:49074681:49076794:-1 gene:B456_011G202500 transcript:KJB72884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQGDSSSSEKKSKEVMNDVSTFRAENLQNNMKVVYYSRTFLSIIGGAIAGILGLTGFVGFILYFIVMAITSIGLIAKAKFSLHSYFDCWNRIILDGFLGGLMSFVLFWTLAYDIVHIF >KJB72886 pep chromosome:Graimondii2_0_v6:11:49075844:49076412:-1 gene:B456_011G202500 transcript:KJB72886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQGDSSSSEKKSKEVMNDVSTFRAENLQNNMKVVYYSRTFLSIIGGAIAGILGLTGFVGFILYFIVMAITSIGLIAKAKFSLHSYFDCWNRIILDGFLGGLMSFVLFWTYPFL >KJB72885 pep chromosome:Graimondii2_0_v6:11:49074681:49077127:-1 gene:B456_011G202500 transcript:KJB72885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQGDSSSSEKKSKEVMNDVSTFRAENLQNNMKVVYYSRTFLSIIGGAIAGILGLTGFVGFILYFIVMAITSIGLIAKAKFSLHSYFDCWNRIILDGFLGGLMSFVLFWTLAYDIVHIF >KJB69525 pep chromosome:Graimondii2_0_v6:11:2058257:2060311:1 gene:B456_011G028100 transcript:KJB69525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKHPKLVLFVLMFFIITISQPISSLDFVFNGFNNSTDVLLYGIADIDSSNILTLTNETDFVVGRGLYSSKIPTKSPNSSHVLPFSTSFIFSMTPSSNKETLPGHGIVFIFTPSPGIDGTTSSQHLGLFNLTNDGDSDNHVFGVEFDVFLNQEFEDMDDNHVGIDVNSLKSTSSHTAGYWPDNGDQGFEELKLNSGENYQVWIEYADSVVNVTMAPIGTKRPKRPLLNVSLDLSDVFEDEMYVGFTSSTGRLVQSHRILAWSFSNSNFRLSERLITTGLPSFIIPKTPVYKHRSFIVGVTMGSFLVLVLIALFSLFLVKKKARERAAMEDWEFEYWPHKMTYREIDAATDGFSDENVIGFGGNGKVHKGVLQGGTEIAVKRISHENDGMREFLAEVSSLGRLKHRSLVSLKGWCKKEKGTFMLVYDYMENGSLDKRIYYDCDETKMLNYNDRIRIIKDVASAILYLHEGWEAKVLHRDIKASNVLLDKYMNGRLGDFGLARMHGHGQVASTTRVVGTVGYLAPEVFRCQRASTRTDVFSFGILILEVMCGRRPVEEGKPGLVNWVWELMMQNQLLAAVDPRLRTNDEEVEKVLHLGLLCSYPDPNSRPTMRQVVKVLEGKNERCEAETEDMEAYLLHKVKTRDMWINYSRNFGYALHPTIDNIRRPHSSFMSLSWTNSIVEGR >KJB69526 pep chromosome:Graimondii2_0_v6:11:2058257:2060572:1 gene:B456_011G028100 transcript:KJB69526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKHPKLVLFVLMFFIITISQPISSLDFVFNGFNNSTDVLLYGIADIDSSNILTLTNETDFVVGRGLYSSKIPTKSPNSSHVLPFSTSFIFSMTPSSNKETLPGHGIVFIFTPSPGIDGTTSSQHLGLFNLTNDGDSDNHVFGVEFDVFLNQEFEDMDDNHVGIDVNSLKSTSSHTAGYWPDNGDQGFEELKLNSGENYQKKARERAAMEDWEFEYWPHKMTYREIDAATDGFSDENVIGFGGNGKVHKGVLQGGTEIAVKRISHENDGMREFLAEVSSLGRLKHRSLVSLKGWCKKEKGTFMLVYDYMENGSLDKRIYYDCDETKMLNYNDRIRIIKDVASAILYLHEGWEAKVLHRDIKASNVLLDKYMNGRLGDFGLARMHGHGQVASTTRVVGTVGYLAPEVFRCQRASTRTDVFSFGILILEVMCGRRPVEEGKPGLVNWVWELMMQNQLLAAVDPRLRTNDEEVEKVLHLGLLCSYPDPNSRPTMRQVVKVLEGKNERCEAETEDMEAYLLHKVKTRDMWINYSRNFGYALHPTIDNIRRPHSSFMSLSWTNSIVEGR >KJB72749 pep chromosome:Graimondii2_0_v6:11:46958995:46961054:-1 gene:B456_011G194400 transcript:KJB72749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLQLEQIPPYYEILMKVVNFTASLNLQSFFTFGLGSNIWEENLSESILIFFFFRLLKFVNEFKSIEKFKIFNTNNLGQWNQGSSTGNCNWCNN >KJB72813 pep chromosome:Graimondii2_0_v6:11:48237479:48242898:-1 gene:B456_011G199800 transcript:KJB72813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] MRLFSTSSSSPKPNFPLFSPSQNPKRSTSKTLPPFKTRLPSPSFLSLPPCTANSSPSFPVLDQEADREDSLEPKQDTFKKVLVVRRPVMDVSGEENDDGSEDGEAEGDDVISKPSGIDAGLEEFAKKMPLFEPERGESGGTLEKPLAVNLDLSLYKARVLARKYRYEEAENILEKCIYYWPEDGRSYVTLGKILSKQAKRTEARAVYERGCQATQGENPYIWQCWAVLENKMGNIRRARELFDAATVADKRHIAAWHGWAVLELKQGNVKKARHLLAKGLKFCGGNEYVYQTLALLEAKANRYEQARYLFRQATKCNPKSCASWLAWAQLEVQQENNRAARLLFEKAVQASPKNRFAWHVWGVFEANIGNVDMGRKLLKIGHALNPRDPVLLQSLALLEYKHSTANLSRVLFRRASELDPRHQPVWIAWGWMEWKEGNISTARELYQRALSIDSTTESAARCLQAWGVLEQRAALEEDQGNSVRAEEIRNLYFQQRTEVVDDASWVTGFLDVIDPALDSIKRLLNLDQGLINKAREPSKDAESIEDGAEEPFLTTSLGLNDGNDIGYGSRFDLNAFIKDKLSLDPSKLDLLMENSGKSSPKRVTSPRRMWRSQNRTTMTLP >KJB72811 pep chromosome:Graimondii2_0_v6:11:48238364:48242872:-1 gene:B456_011G199800 transcript:KJB72811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] MRLFSTSSSSPKPNFPLFSPSQNPKRSTSKTLPPFKTRLPSPSFLSLPPCTANSSPSFPVLDQEADREDSLEPKQDTFKKVLVVRRPVMDVSGEENDDGSEDGEAEGDDVISKPSGIDAGLEEFAKKMPLFEPERGESGGTLEKPLAVNLDLSLYKARVLARKYRYEEAENILEKCIYYWPEDGRSYVTLGKILSKQAKRTEARAVYERGCQATQGENPYIWQCWAVLENKMGNIRRARELFDAATVADKRHIAAWHGWAVLELKQGNVKKARHLLAKGLKFCGGNEYVYQTLALLEAKANRYEQARYLFRQATKCNPKSCASWLAWAQLEVQQENNRAARLLFEKAVQASPKNRFAWHVWGVFEANIGNVDMGRKLLKIGHALNPRDPVLLQSLALLEYKHSTANLSRVLFRRASELDPRHQPVWILVRHGDGWNGRKGIYQRRGSCTNEHFRLTRQLKVLLDAYRLGVFWNKELVTYLQLEGYLDRRSI >KJB72812 pep chromosome:Graimondii2_0_v6:11:48237518:48242872:-1 gene:B456_011G199800 transcript:KJB72812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] MRLFSTSSSSPKPNFPLFSPSQNPKRSTSKTLPPFKTRLPSPSFLSLPPCTANSSPSFPVLDQEADREDSLEPKQDTFKKVLVVRRPVMDVSGEENDDGSEDGEAEGDDVISKPSGIDAGLEEFAKKMPLFEPERGESGGTLEKPLAVNLDLSLYKARVLARKYRYEEAENILEKCIYYWPEDGRSYVTLGKILSKQAKRTEARAVYERGCQATQGENPYIWQCWAVLENKMGNIRRARELFDAATVADKRHIAAWHGWAVLELKQGNVKKARHLLAKGLKFCGGNEYVYQTLALLEAKANRYEQARYLFRQATKCNPKSCASWLAWAQLEVQQENNRAARLLFEKAVQASPKNRFAWHVWGVFEANIGNVDMGRKLLKIGHALNPRDPVLLQSLALLEYKHSTANLSRVLFRRASELDPRHQPVWIAWGWMEWKEGNISTARELYQRALSIDSTTESAARCLQAWGVLEQRAGNLSAARRLFRSSLNINSQSYVTWMTWAALEEDQGNSVRAEEIRNLYFQQVCIWGK >KJB72810 pep chromosome:Graimondii2_0_v6:11:48237438:48242952:-1 gene:B456_011G199800 transcript:KJB72810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] MRLFSTSSSSPKPNFPLFSPSQNPKRSTSKTLPPFKTRLPSPSFLSLPPCTANSSPSFPVLDQEADREDSLEPKQDTFKKVLVVRRPVMDVSGEENDDGSEDGEAEGDDVISKPSGIDAGLEEFAKKMPLFEPERGESGGTLEKPLAVNLDLSLYKARVLARKYRYEEAENILEKCIYYWPEDGRSYVTLGKILSKQAKRTEARAVYERGCQATQGENPYIWQCWAVLENKMGNIRRARELFDAATVADKRHIAAWHGWAVLELKQGNVKKARHLLAKGLKFCGGNEYVYQTLALLEAKANRYEQARYLFRQATKCNPKSCASWLAWAQLEVQQENNRAARLLFEKAVQASPKNRFAWHVWGVFEANIGNVDMGRKLLKIGHALNPRDPVLLQSLALLEYKHSTANLSRVLFRRASELDPRHQPVWIAWGWMEWKEGNISTARELYQRALSIDSTTESAARCLQAWGVLEQRAGNLSAARRLFRSSLNINSQSYVTWMTWAALEEDQGNSVRAEEIRNLYFQQRTEVVDDASWVTGFLDVIDPALDSIKRLLNLDQGLINKAREPSKDAESIEDGAEEPFLTTSLGLNDGNDIGYGSRFDLNAFIKDKLSLDPSKLDLLMENSGKSSPKRVTSPRRMWRSQNRTTMTLP >KJB74173 pep chromosome:Graimondii2_0_v6:11:60998826:61005111:-1 gene:B456_011G277600 transcript:KJB74173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLRPLIFYILAALAIFMLISPTHSSLDNVNDKVTVSLYYETSLCPRCASFISNDLVKVFHTDLHTIINLRLVPWSNAEIHCQQEECHLNTIHSCVIRFWPDVGGPVEALWKNCSERQRLNEEIINKCYTTGFGYKLLLQYANETAHLKPPQEYVPWPVVNNQPLRQDFENFVKYVCQAYKGDHKPAACKAQSSNLSPTIYALPQPPIIPVVDFYKLALQWPPSVCSSTLNCKLPIPTEFKIHGIWVQYAHDMPVPPYDTHHPRTNPQPTILQSKLRVCIRTFFA >KJB71951 pep chromosome:Graimondii2_0_v6:11:25069343:25071776:1 gene:B456_011G150200 transcript:KJB71951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDQVFMGEGLNRAAAVQAGWNSCSFGMEMETDELNCVGSCFLNPKWHNSMDQSDPFESALSSMVCSPAASNAGSTNFPASGDNTMMTDLIGKLGNICNTGDISPQSLIKPPNNNNNCSSNTSCYTSPLDSPPKLNLSMMGGNQILKHPSLVPVSADPGFAERAARFSCFNGLNPQVGVTEAEFVQLTRVSTNQSIMVTGSQVHVPDSNKNTLQDGNSCSDKRNSRLSRCSSPENGDSKDESSISEQIPGVGSSIKAQNDANARKRKSMPRGKAKETPSPAAAEAKIAEENNKSTAKRSKQQNDSAKAENKENPKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLATVNPRMNANTEALLSKDVNVSVSWIFAAFSLSNGFFCAGIWFKVPTPARASYEQWHIQ >KJB71950 pep chromosome:Graimondii2_0_v6:11:25069134:25071776:1 gene:B456_011G150200 transcript:KJB71950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDQVFMGEGLNRAAAVQAGWNSCSFGMEMETDELNCVGSCFLNPKWHNSMDQSDPFESALSSMVCSPAASNAGSTNFPASGDNTMMTDLIGKLGNICNTGDISPQSLIKPPNNNNNCSSNTSCYTSPLDSPPKLNLSMMGGNQILKHPSLVPVSADPGFAERAARFSCFNGLNPQVGVTEAEFVQLTRVSTNQSIMVTGSQVHVPDSNKNTLQDGNSCSDKRNSRLSRCSSPENGDSKDESSISEQIPGVGSSIKAQNDANARKRKSMPRGKAKETPSPAAAEAKIAEENNKSTAKRSKQQNDSAKAENKENPKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLATVNPRMNANTEALLSKDMFRSRGSLPHSHYPMDSSALAFGLRYQPQQGLPMNNGISNDTQIKFSMNPANAALHKTQRLQLPPVDGISDANPQIGSFWDDDLQSIVQMGFGQNQPQSCQSPP >KJB71949 pep chromosome:Graimondii2_0_v6:11:25069024:25071776:1 gene:B456_011G150200 transcript:KJB71949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDQVFMGEGLNRAAAVQAGWNSCSFGMEMETDELNCVGSCFLNPKWHNSMDQSDPFESALSSMVCSPAASNAGSTNFPASGDNTMMTDLIGKLGNICNTGDISPQSLIKPPNNNNNCSSNTSCYTSPLDSPPKLNLSMMGGNQILKHPSLVPVSADPGFAERAARFSCFNGLNPQVGVTEAEFVQLTRVSTNQSIMVTGSQVHVPDSNKNTLQDGNSCSDKRNSRLSRCSSPENGDSKDESSISEQIPGVGSSIKAQNDANARKRKSMPRGKAKETPSPAAAEAKIAEENNKSTAKRSKQQNDSAKAENKENPKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLATVNPRMNANTEALLSKDMFRSRGSLPHSHYPMDSSALAFGLRYQPQQGLPMNNGISNDTQIKFSMNPANAALHKTQRLQLPPVDGISDANPQIGSFWDDDLQSIVQMGFGQNQPQSCQSKHS >KJB74113 pep chromosome:Graimondii2_0_v6:11:60571145:60571864:-1 gene:B456_011G273600 transcript:KJB74113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMPRFEVPEALVMEILCKVPVKSLLRFNCLCKYWCSSFQTPRFISKHYHNNLKNNLNPVLQRFDANANIPYFSQLSVEKDENFLVKQNICFPFFMHDTPYVWGARHGLFCLHSPCMDDTEVAIWNPSGREFKILPPSSVQRLTYPGLTCDFVHFDCGAFEFDSKTDDYKIIRFVTLSFVDSECETSSGDGMSQVELYSLKCDSWKEIPSPNYRPFDLYFSNNYLDGFFFANINGEHSL >KJB69785 pep chromosome:Graimondii2_0_v6:11:3154603:3158096:1 gene:B456_011G042400 transcript:KJB69785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAQDYADRKKDISQFLSQDIYQDEIKAMINHKRRRLIINISDLHSFNNLAPRILKNPSEFMQPFCDAVTEAAKGIDPKYLKEGEALHVGFEGPFVSRRVTPRDLLSEFIGSMVCVVGIVTKCSLVRPKVVKSVHFCPATENFTVREYRDITSNNGLPTGSVYPTRDENGNLLVTEYGLCQYKDHQTLSIQEVPENAAPGQLPRTVDVIVEDDLVDSCKPGDRVAIVGLYKALPGKSKGSVNGVFRTVLIANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIYGHSWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIINIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLGDRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDADIDRHISEHVLRMHRFRSPIDGGEAALDGSSRYGREDEADADSSVFVKYNRMLHGRKTERGRKRDTLTIKFLKKYIHYAKHRIQPELTDEASEHIATAYAELRNASSNAKTGGTLPITARTLETIIRLSTAHAKLKLSRKVTKVDVEAALKVLNFAIYHKELTEMEDREQERQREEARTHRADRQG >KJB69787 pep chromosome:Graimondii2_0_v6:11:3154440:3159013:1 gene:B456_011G042400 transcript:KJB69787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAQDYADRKKDISQFLSQDIYQDEIKAMINHKRRRLIINISDLHSFNNLAPRILKNPSEFMQPFCDAVTEAAKGIDPKYLKEGEALHVGFEGPFVSRRVTPRDLLSEFIGSMVCVVGIVTKCSLVRPKVVKSVHFCPATENFTVREYRDITSNNGLPTGSVYPTRDENGNLLVTEYGLCQYKDHQTLSIQEVPENAAPGQLPRTVDVIVEDDLVDSCKPGDRVAIVGLYKALPGKSKGSVNGVFRTVLIANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIYGHSWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIINIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLGDRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDADIDRHISEHVLRMHRFRSPIDGGEAALDGSSRYGREDEADADSSVFVKYNRMLHGRKTERGRKRDTLTIKFLKKYIHYAKHRIQPELTDEASEHIATAYAELRNASSNAKTGGTLPITARTLETIIRLSTAHAKLKLSRKVTKVDVEAALKVLNFAIYHKELTEMEDREQERQREEARTHRADRQGPTTTAMEVDDPPRAQQPTAIGSLERIEAFKAIFGQHMRVNHKDTISISEVENVVNEGADGHYSRAEIVAILEVMLVYGLLDRNKVFIFLKDECPCFSSILV >KJB69786 pep chromosome:Graimondii2_0_v6:11:3154440:3159013:1 gene:B456_011G042400 transcript:KJB69786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAQDYADRKKDISQFLSQDIYQDEIKAMINHKRRRLIINISDLHSFNNLAPRILKNPSEFMQPFCDAVTEAAKGIDPKYLKEGEALHVGFEGPFVSRRVTPRDLLSEFIGSMVCVVGIVTKCSLVRPKVVKSVHFCPATENFTVREYRDITSNNGLPTGSVYPTRDENGNLLVTEYGLCQYKDHQTLSIQEVPENAAPGQLPRTVDVIVEDDLVDSCKPGDRVAIVGLYKALPGKSKGSVNGVFRTVLIANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIYGHSWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIINIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLGDRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDADIDRHISEHVLRMHRFRSPIDGGEAALDGSSRYGREDEADADSSVFVKYNRMLHGRKTERGRKRDTLTIKFLKKYIHYAKHRIQPELTDEASEHIATAYAELRNASSNAKTGGTLPITARTLETIIRLSTAHAKLKLSRKVTKVDVEAALKVLNFAIYHKELTEMEDREQERQREEARTHRADRQGPTTTAMEVDDPPRAQQPTAIGSLERIEAFKAIFGQHMRVNHKDTISISEVENVVNEGADGHYSRAEIVAILEKLQDDNILMIAGETVHMIV >KJB70003 pep chromosome:Graimondii2_0_v6:11:4136807:4139726:1 gene:B456_011G052700 transcript:KJB70003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRGRYPSVLGRGGGANANPSFQSRPEQPQYAQRNLVQNHHHFQQQQHHHHHLQQQQHQQQWLRRNQLPGGNDSTVVDEVEKTVQSEAGDSSSQDWKARLKMPPSDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEERIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQGAMPRDVFNTLPPFSCGL >KJB70004 pep chromosome:Graimondii2_0_v6:11:4136807:4139726:1 gene:B456_011G052700 transcript:KJB70004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRGRYPSVLGRGGGANANPSFQSRPEQPQYAQRNLVQNHHHFQQQQHHHHHLQQQQHQQQWLRRNQLPGGNDSTVVDEVEKTVQSEAGDSSSQDWKARLKMPPSDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEERIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQGLPDSVL >KJB68692 pep chromosome:Graimondii2_0_v6:11:8627193:8628004:-1 gene:B456_011G0842001 transcript:KJB68692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTTGLIAAGNKLLLKIHALVSLFPVLYLPSIPGGVVCKMLKQAIWKVLSKRVVSDSGDKLLLPCLYLPMTSFHAGQ >KJB68691 pep chromosome:Graimondii2_0_v6:11:8627193:8627724:-1 gene:B456_011G0842001 transcript:KJB68691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEARNRRCKLLLVSLLLVTSYCLKFMVTNLLQRKLFIFLRIEAKLFTVELSSPALVSLFPVLYLPSIPGGVVCKMLKQAIWKVLSKRVVSDSGDKLLLPCLYLPMTSFHAGQ >KJB68690 pep chromosome:Graimondii2_0_v6:11:8627193:8628069:-1 gene:B456_011G0842001 transcript:KJB68690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTTGLIAAGNKLLLKIHALVSLFPVLYLPSIPGGVVCKMLKQAIWKVLSKRVVSDSGDKLLLPCLYLPMTSFHAGQ >KJB70510 pep chromosome:Graimondii2_0_v6:11:7571922:7577498:1 gene:B456_011G076800 transcript:KJB70510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFIGTAGAASSTMNPKNSISQIKRLIGRQFSDPELQRDLKSLPFNVTEGPDGYPLIHARYLGEMRSFTPTQILGMVLLNLKSIAEKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLRLIHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGFKKGQLTILAHSFDRSLGGRDFDEVLFQHFAAKFKEEYKIDVLQNARASIRLRTACEKLKKILSANPEAPLNIECLMDEKDVRGFIKREEFEQISAPILQRVKRPLEKALADAGLAVENVHMVEVVGSASRVPAMLKILTEFFGKEPRRTMNASECVARGCALECAILSPTFKVREFQVNESFPFPVSLSWKGSGPDSQAGGAEQQQLVFPKGNPIPSIKAVTFHKSSTFTVDVQYSDVSDLQAPPKISTYTIGPFPTIRSERPKLKVKVRLNLHGIVSVESATLLEEEEVEVPVSKEPEKDNSKMETDEAPGGTAAPSANETDVNMQDAKGTADAAGADNGVAKSGDKPVKMETDTKVEAPKKKVKKTNVPVAELVYGAMLPADVQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLSDKYHDFVTAPEKEELTAKLQETEDWLYEDGEDETKGVYVAKLEELKKQGDPIEERYKEYMERGTVIDQLAYCINSYREAAMSNDPKFDHIELAEKQKVLNECVEAEAWLREKKQQQDQLPKYATPVLLSADVRKKAEALDRFCRPIMTKPKPTKPASPETRANPPPQGEGQSQGADANASPNHNNVPGENATAGSGEAAPASAEPMETDKSETASTTV >KJB70509 pep chromosome:Graimondii2_0_v6:11:7571676:7577548:1 gene:B456_011G076800 transcript:KJB70509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFIGTAGAASSTMNPKNSISQIKRLIGRQFSDPELQRDLKSLPFNVTEGPDGYPLIHARYLGEMRSFTPTQILGMVLLNLKSIAEKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLRLIHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGFKKGQLTILAHSFDRSLGGRDFDEVLFQHFAAKFKEEYKIDVLQNARASIRLRTACEKLKKILSANPEAPLNIECLMDEKDVRGFIKREEFEQISAPILQRVKRPLEKALADAGLAVENVHMVEVVGSASRVPAMLKILTEFFGKEPRRTMNASECVARGCALECAILSPTFKVREFQVNESFPFPVSLSWKGSGPDSQAGGAEQQQLVFPKGNPIPSIKAVTFHKSSTFTVDVQYSDVSDLQAPPKISTYTIGPFPTIRSERPKLKVKVRLNLHGIVSVESATLLEEEEVEVPVSKEPEKDNSKMETDEAPGGTAAPSANETDVNMQDAKGTADAAGADNGVAKSGDKPVKMETDTKVEAPKKKVKKTNVPVAELVYGAMLPADVQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLSDKYHDFVTAPEKEELTAKLQETEDWLYEDGEDETKGVYVAKLEELKKQGDPIEERYKEYMERGTVIDQLAYCINSYREAAMSNDPKFDHIELAEKQKVLNECVEAEAWLREKKQQQDQLPKYATPVLLSADVRKKAEALDRFCRPIMTKPKPTKPASPETRANPPPQGEGQSQGADANASPNHNNVPGENATAGSGEAAPASAEPMETDKSETASTTV >KJB70511 pep chromosome:Graimondii2_0_v6:11:7573193:7576322:1 gene:B456_011G076800 transcript:KJB70511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFIGTAGAASSTMNPKNSISQIKRLIGRQFSDPELQRDLKSLPFNVTEGPDGYPLIHARYLGEMRSFTPTQILGMVLLNLKSIAEKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLRLIHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGFKKGQLTILAHSFDRSLGGRDFDEVLFQHFAAKFKEEYKIDVLQNARASIRLRTACEKLKKILSANPEAPLNIECLMDEKDVRGFIKREEFEQISAPILQRVKRPLEKALADAGLAVENVHMVEVVGSASRVPAMLKILTEFFGKEPRRTMNASECVARGCALECAILSPTFKVREFQVNESFPFPVSLSWKGSGPDSQAGGAEQQQLVFPKGNPIPSIKAVTFHKSSTFTVDVQYSDVSDLQAPPKISTYTIGPFPTIRSERPKLKVKVRLNLHGIVSVESATLLEEEEVEVPVSKEPEKDNSKMETDEAPGGTAAPSANETDVNMQDAKGTADAAGADNGVAKSGDKPVKMETDTKVEAPKKKVKKTNVPVAELVYGAMLPADVQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLSDKYHDFVTAPEKEELTAKLQETEDWLYEDGEDETKGVYVAKLEELKKQGDPIEERYKEYMERGTVIDQLAYCINSYREAAMSNDPKFDHIELAEKQKVVAWSLSLPLSTSFC >KJB71864 pep chromosome:Graimondii2_0_v6:11:23531096:23535348:1 gene:B456_011G145700 transcript:KJB71864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWLCCTRQVNENYRSHESEHFKSPVFLSDGQEKDTRVAADVKPEVHKSAPPIEVPALSLEELKEKTDNFGSKALVGEGSYGRVYYANLDNGKAVAVKKLDTSAEPDSTVEFLTQVSMVSRLKNENVVELQGYCVERNLRILAYEFATMGSLHDILHGRKGVQGAQAGPVLDWMQRVRIAIDAARGLEYLHEKAQPAIIHRDIRSSNVLLFEDFKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLNGEYPPKGVAKLAAVAALCVQYESEFRPNMGIVVKALQPLAKGQAAAAASET >KJB71865 pep chromosome:Graimondii2_0_v6:11:23532533:23535348:1 gene:B456_011G145700 transcript:KJB71865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNLLIWYLKFDILSKGQEKDTRVAADVKPEVHKSAPPIEVPALSLEELKEKTDNFGSKALVGEGSYGRVYYANLDNGKAVAVKKLDTSAEPDSTVEFLTQVSMVSRLKNENVVELQGYCVERNLRILAYEFATMGSLHDILHGRKGVQGAQAGPVLDWMQRVRIAIDAARGLEYLHEKAQPAIIHRDIRSSNVLLFEDFKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLNGEYPPKGVAKLAAVAALCVQYESEFRPNMGIVVKALQPLAKGQAAAAASET >KJB71863 pep chromosome:Graimondii2_0_v6:11:23531096:23535348:1 gene:B456_011G145700 transcript:KJB71863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWLCCTRQVNENYRSHESEHFKSPVFLSDGQEKDTRVAADVKPEVHKSAPPIEVPALSLEELKEKTDNFGSKALVGEGSYGRVYYANLDNGKAVAVKKLDTSAEPDSTVEFLTQVSMVSRLKNENVVELQGYCVERNLRILAYEFATMGSLHDILHGRKGVQGAQAGPVLDWMQRVRIAIDAARGLEYLHEKAQPAIIHRDIRSSNVLLFEDFKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLNGEYPPKGVAKLAAVAALCVQYESEFRPNMGIVVKALQPLAKGQAAAAASET >KJB72153 pep chromosome:Graimondii2_0_v6:11:30129380:30132491:1 gene:B456_011G161800 transcript:KJB72153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSISPNRIYQQPDEYQTFLFHCFTHLHNLACLLHLKKQRNSMTQPTLFSFIFFLTNLLVSGKHIPTTLQGPFHPITIHFDPSLRRGSDDIPMDDPRLKKNVTSMFPEQIALALCTPTSMWVSWVTGDAQIGPNVTALDPTSVASEVWYGKESGKYSSKKRGNATVYSQLYPFEGLFNYTSAIIHHVRIDGLEPETKYYYRCGDSSLPAMSEEHVFETLPLPAQNSYPRRIAVIGDLGLTRNSSTTIDHLSKNDPSLILMVGDLTYANQYLTTGGKGVPCFSCAFPDAPIRETYQPRWDGWGRYMEPLVSRVPMMVIEGNHEIEPQAAGITFKSYLTRFAVPAKESGSSSNLYYSFDAGGVHFIMLGAYVDYNSTGAQYAWLKEDLRKVDRTVTPWLVAAWHPPWYNSYSSHYQEFECMRQEMEQLLYHYGVDIVFSGHVHAYERMNRVYNYTLDACGPIYITVGDGGNIEKVDVDHADEPGKCPSQGDNMPEIGGVCHLNFSSGPAKGNFCWDRQPEWSAYRESSFGHGILEVLNSTYALWSWHRNQDTYKEDSPGDQIYIVRQPDICSPSTSLKVISHFNIYSH >KJB72154 pep chromosome:Graimondii2_0_v6:11:30129380:30132545:1 gene:B456_011G161800 transcript:KJB72154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSISPNRIYQQPDEYQTFLFHCFTHLHNLACLLHLKKQRNSMTQPTLFSFIFFLTNLLVSGKHIPTTLQGPFHPITIHFDPSLRRGSDDIPMDDPRLKKNVTSMFPEQIALALCTPTSMWVSWVTGDAQIGPNVTALDPTSVASEVWYGKESGKYSSKKRGNATVYSQLYPFEGLFNYTSAIIHHVRIDGLEPETKYYYRCGDSSLPAMSEEHVFETLPLPAQNSYPRRIAVIGDLGLTRNSSTTIDHLSKNDPSLILMVGDLTYANQYLTTGGKGVPCFSCAFPDAPIRETYQPRWDGWGRYMEPLVSRVPMMVIEGNHEIEPQAAGITFKSYLTRFAVPAKESGSSSNLYYSFDAGGVHFIMLGAYVDYNSTGAQYAWLKEDLRKVDRTVTPWLVAAWHPPWYNSYSSHYQEFECMRQEMEQLLYHYGVDIVFSGHVHAYERMNRVYNYTLDACGPIYITVGDGGNIEKVDVDHADEPGKCPSQGDNMPEIGGVCHLNFSSGPAKGNFCWDRQPEWSAYRESSFGHGILEVLNSTYALWSWHRNQDTYKEDSPGDQIYIVRQPDICSPSTSLKGNNESEQVKGAAVSAKCLCVLLLFMVAFVIYQLLLCD >KJB71141 pep chromosome:Graimondii2_0_v6:11:12632775:12634611:1 gene:B456_011G107800 transcript:KJB71141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFINLVFFLSVSLSSCLFPGTASVKLRRNYYHKTCPNVENIVRAAVTKKFQQTFVTAPATIRLFFHDCFVQGCDASIMIASSDGSKAEKDHPDNLSLAGDGFDTVIKAKEAVDAVPSCRNKVSCADILAMATRDVIALAGGPSYEVELGRLDGLSSTAGSVDGKLPQPFFNLNQLNSLFAANGLTQKNMIALSAAHTVGFSHCSKFANRIHNFSRETAVDPALNQGYAAQLRGMCPKNVDTRIAIDMDPKTPRKFDNVYFQNLKKGKGLFSSDQVLFHDPRSKPTVNNWANDSHAFKRAFIAAITKLGRVGVKTGKNGNIRRNCAAFN >KJB73140 pep chromosome:Graimondii2_0_v6:11:52324495:52329926:1 gene:B456_011G217200 transcript:KJB73140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFDSQLPVTNILCSEGSTIHPFPVSNFGTFDQSVGFRLEDAVNLSGNCAVFDSAKVSRQEVPSDRDLIIGTSDKTPTSFTNYPSTNQVESPRLQLEKGQETNLVSIPSGNTENWGESNMADGSPKTDISTDADTDEKSQRTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMGGNGAMAFDVEYARWLEEQNRQINELRTAVNSHASDAELRIIVDGVMAHYDEIFRLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGIGNLQQSSQQAEDALSQGMEALQQSLAETLSTGSLGSSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >KJB73141 pep chromosome:Graimondii2_0_v6:11:52324495:52329926:1 gene:B456_011G217200 transcript:KJB73141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFDSQLPVTNILCSEGSTIHPFPVSNFGTFDQSVGFRLEDAVNLSGNCAVFDSAKVSRQEVPSDRDLIIGTSDKTPTSFTNYPSTNQVESPRLQLEKGQETNLVSIPSGNTENWGESNMADGSPKTDISTDADTDEKSQRFDRGKSSIVAVSDSSDRSKSNLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMGGNGAMAFDVEYARWLEEQNRQINELRTAVNSHASDAELRIIVDGVMAHYDEIFRLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGIGNLQQSSQQAEDALSQGMEALQQSLAETLSTGSLGSSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >KJB73139 pep chromosome:Graimondii2_0_v6:11:52324495:52329926:1 gene:B456_011G217200 transcript:KJB73139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFDSQLPVTNILCSEGSTIHPFPVSNFGTFDQSVGFRLEDAVNLSGNCAVFDSAKVSRQEVPSDRDLIIGTSDKTPTSFTNYPSTNQVESPRLQLEKGQETNLVSIPSGNTENWGESNMADGSPKTDISTDADTDEKSQRFDRGKSSIVAVSDSSDRSKSNLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMGGNGAMAFDVEYARWLEEQNRQINELRTAVNSHASDAELRIIVDGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGIGNLQQSSQQAEDALSQGMEALQQSLAETLSTGSLGSSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >KJB73142 pep chromosome:Graimondii2_0_v6:11:52325089:52329926:1 gene:B456_011G217200 transcript:KJB73142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSPKTDISTDADTDEKSQRFDRGKSSIVAVSDSSDRSKSNLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMGGNGAMAFDVEYARWLEEQNRQINELRTAVNSHASDAELRIIVDGVMAHYDEIFRLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGIGNLQQSSQQAEDALSQGMEALQQSLAETLSTGSLGSSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >KJB69696 pep chromosome:Graimondii2_0_v6:11:2840415:2843352:1 gene:B456_011G037800 transcript:KJB69696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPRRLRGHKATATCCTASRDKPGLVATSGEDGCICWFDMRCKDVKFVMDVSNEPISSLCFNSGNENIIYVSTGNEVKCFDVHTLADNSWKPLESYNYNKEEINQVTCNSRSSFLASADDGGEIKIIDIRQKRIFKTLRAGHTSICSSVQFIPWRPWEIVTGGLDSKFIIWDFSKGCPSKIVDFGLPDMHSGSNAGQCLNPAFVHSVKVPDVDMLDKIGKICVVARGDGVIDVINTESELTATKPKSSTKSRKGTQSKGSDGGVPDENGRKWLHLDYSLGGHTAAVSCMTFSLFGEKGKFLVSGGNDKLVKVWDCSRCLDSWQTGDTNELLHLNINLTKKVNWLCTTPAESDNLVVCDTTKLVKVYTVS >KJB69455 pep chromosome:Graimondii2_0_v6:11:1750331:1754296:-1 gene:B456_011G024300 transcript:KJB69455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRRALEKSEDSIGSLESKLESLKAEKRDDSRVGYDSSQTESVLPCVKSEGVEFSSKDTYKDGLSAGSFTQEAETKWAPDCLVPVAVPAEEMDSKLGNSPTSAEREKISTIDNLADALLGGQLRSIRKRRGKRKRKYCSPKEGSVGESEFLGTSDFASVSWCKETSASNSAQIARSSGVEDQSRDSSKDRIDEIMGIFSSVAENDCASVFRRRLDSQKRGRYKKMILRHMDFDTIKSRIGSNSINSVRELFRDMLLVANNALVFYSKNTREYKSALLLRHIVTASLRQHLKECRTKIPITTFTPTRPIHKPPAKPRSIRPGNRKPPGNAANNRNPVVGNSNVSKKPTNASSPPSMESLVVTKKGSARPRKGGCAQASQKSESPAKGRKRTRAR >KJB69454 pep chromosome:Graimondii2_0_v6:11:1750331:1751806:-1 gene:B456_011G024300 transcript:KJB69454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKLGNSPTSAEREKISTIDNLADALLGGQLRSIRKRRGKRKRKYCSPKEGSVGESEFLGTSDFASVSWCKETSASNSAQIARSSGVEDQSRDSSKDRIDEIMGIFSSVAENDCASVFRRRLDSQKRGRYKKMILRHMDFDTIKSRIGSNSINSVRELFRDMLLVANNALVFYSKNTREYKSALLLRHIVTASLRQHLKECRTKIPITTFTPTRPIHKPPAKPRSIRPGNRKPPGNAANNRNPVVGNSNVSKKPTNASSPPSMESLVVTKKGSARPRKGGCAQASQKSESPAKGRKRTRAR >KJB69453 pep chromosome:Graimondii2_0_v6:11:1750288:1754351:-1 gene:B456_011G024300 transcript:KJB69453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDMVTGRWGTWEELLLGGAVLRHGARDWNLVASELRTRSISPFDFTPEVCKAKYEDLQQRYSGSTAWFEELRKQRMEELRRALEKSEDSIGSLESKLESLKAEKRDDSRVGYDSSQTESVLPCVKSEGVEFSSKDTYKDGLSAGSFTQEAETKWAPDCLVPVAVPAEEMDSKLGNSPTSAEREKISTIDNLADALLGGQLRSIRKRRGKRKRKYCSPKEGSVGESEFLGTSDFASVSWCKETSASNSAQIARSSGVEDQSRDSSKDRIDEIMGIFSSVAENDCASVFRRRLDSQKRGRYKKMILRHMDFDTIKSRIGSNSINSVRELFRDMLLVANNALVFYSKNTREYKSALLLRHIVTASLRQHLKECRTKIPITTFTPTRPIHKPPAKPRSIRPGNRKPPGNAANNRNPVVGNSNVSKKPTNASSPPSMESLVVTKKGSARPRKGGCAQASQKSESPAKGRKRTRAR >KJB70345 pep chromosome:Graimondii2_0_v6:11:6064358:6065873:1 gene:B456_011G068200 transcript:KJB70345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTEKLLNQIMDLKFTSKSLQRQAKKCEKEEKSEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLASRLDAVVARLDTQAKMTTINKSMGNIVKSLESSLATGNLQKMSETMDKFEKQFVNMEVQAEFMESAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAVPTKTQEKVDEDDLSRRLAELKARG >KJB74026 pep chromosome:Graimondii2_0_v6:11:60030586:60032564:1 gene:B456_011G268200 transcript:KJB74026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVCCGVVPESETAAAVEQTSKSSRRRRMEVRPFKFVADAAVQPPSENGRKRQKLDLDLVLPASPRDCDNAVESSGTNKVNGDQEINEGLNSNGIVELEKELPKFGLTSVCGRRRDMEDSVSIHPSFCKLTSEAQISSDIHFFAVFDGHGCSHVAMKCRDRFHEIVKEEIEACGGEKAVEWKRTMERSFERMDMEVQQSTVDSVENPNCRCELQTPQCDAVGSTAVVAVVTQDKIIVANCGDSRAVLCQNGVALPLSDDHKPDRPDELLRIEEAGGRVIYWDGARVLGVLAMSRAIGDNYLKPFVIPEPEVTITERSSRDECLILGSDGLWDVVTNETACGVARMCLRAQKPASPPMSPDSDAVVRGAGVESSDKACWDASILLTKLALARHSTDNVSVVVVDLKENQQL >KJB74027 pep chromosome:Graimondii2_0_v6:11:60030714:60031627:1 gene:B456_011G268200 transcript:KJB74027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVCCGVVPESETAAAVEQTSKSSRRRRMEVRPFKFVADAAVQPPSENGRKRQKLDLDLVLPASPRDCDNAVESSGTNKVNGDQEINEGLNSNGIVELEKELPKFGLTSVCGRRRDMEDSVSIHPSFCKLTSEAQISSDIHFFAVFDGHGCSHVAMKCRDRFHEIVKEEIEACGGEKAVEWKRTMERSFERMDMEVQQSTVDSVENPNCRCELQTPQCDAVGSTAVVAVVTQDKIIVANCGDSRAVLCQNGVALPLSDDHKVFYLFHIISLFLN >KJB74028 pep chromosome:Graimondii2_0_v6:11:60030601:60032536:1 gene:B456_011G268200 transcript:KJB74028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVCCGVVPESETAAAVEQTSKSSRRRRMEVRPFKFVADAAVQPPSENGRKRQKLDLDLVLPASPRDCDNAVESSGTNKVNGDQEINEGLNSNGIVELEKELPKFGLTSVCGRRRDMEDSVSIHPSFCKLTSEAQISSDIHFFAVFDGHGCSHVAMKCRDRFHEIVKEEIEACGGEKAVEWKRTMERSFERMDMEVQQSTVDSVENPNCRCELQTPQCDAVGSTAVVAVVTQDKIIVANCGDSRAVLCQNGVALPLSDDHKPDRPDELLRIEEAGGRVIYWDGARVLGVLAMSRAIGIFF >KJB70431 pep chromosome:Graimondii2_0_v6:11:6890820:6892367:1 gene:B456_011G072900 transcript:KJB70431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G16250) UniProtKB/Swiss-Prot;Acc:Q9LU21] MGTLQLSIHGLATTSSVPCKFNFRSRSSTTHLRFSTPKIRAVSTVPDSESTAKDKEPDEPPAVDFAFVHSVLLPDGTPDVHFRRACGGQKLRDIMLDNNMELYGPYGRPLLNCAGGGTCGTCMVEVVEGKELLTPRTDKEKEHLKKKPKNWRLACQTIVGKPDSKGLLVIQQLPEWKAHEWSYEKILPTEEP >KJB70432 pep chromosome:Graimondii2_0_v6:11:6891193:6891798:1 gene:B456_011G072900 transcript:KJB70432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G16250) UniProtKB/Swiss-Prot;Acc:Q9LU21] MGNFAVFFQSVLLPDGTPDVHFRRACGGQKLRDIMLDNNMELYGPYGRPLLNCAGGGTCGTCMVEVVEGKELLTPRTDKEKEHLKKVFYSLRLTHHSTLCVYI >KJB70434 pep chromosome:Graimondii2_0_v6:11:6890858:6892296:1 gene:B456_011G072900 transcript:KJB70434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G16250) UniProtKB/Swiss-Prot;Acc:Q9LU21] MGTLQLSIHGLATTSSVPCKFNFRSRSSTTHLRFSTPKIRAVSTVPDSESTAKDKEPDEPPAVDFAFVHSVLLPDGTPDVHFRRACGGQKLRDIMLDNNMELYGPYGRPLLNCAGGGTCGTCMVEVNPILFYNINMIFISSKPRKKKQLSISVLDTYLYPTFTWE >KJB70436 pep chromosome:Graimondii2_0_v6:11:6890858:6892296:1 gene:B456_011G072900 transcript:KJB70436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G16250) UniProtKB/Swiss-Prot;Acc:Q9LU21] MGTLQLSIHGLATTSSVPCKFNFRSRSSTTHLRFSTPKIRAVSTVPDSESTAKDKEPDEPPAVDFAFVHSVLLPDGTPDVHFRRACGGQKLRDIMLDNNMELYGPYGRPLLNCAGGGTCGTCMVEVNPILFYNINMIFISSKPRKKKQLSISVLDTYLYPTFTWE >KJB70435 pep chromosome:Graimondii2_0_v6:11:6890836:6892296:1 gene:B456_011G072900 transcript:KJB70435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G16250) UniProtKB/Swiss-Prot;Acc:Q9LU21] MGTLQLSIHGLATTSSVPCKFNFRSRSSTTHLRFSTPKIRAVSTVPDSESTAKDKEPDEPPAVDFAFVHSVLLPDGTPDVHFRRACGGQKLRDIMLDNNMELYGPYGRPLLNCAGGGTCGTCMVEVVEGKELLTPRTDKEKEHLKKCSSLVICRNQKTGDWPAKQLLVNQIQRACW >KJB70433 pep chromosome:Graimondii2_0_v6:11:6890857:6892296:1 gene:B456_011G072900 transcript:KJB70433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G16250) UniProtKB/Swiss-Prot;Acc:Q9LU21] MGTLQLSIHGLATTSSVPCKFNFRSRSSTTHLRFSTPKIRAVSTVPDSESTAKDKEPDEPPAVDFAFVHSVLLPDGTPDVHFRRACGGQKLRDIMLDNNMELYGPYVVEGKELLTPRTDKEKEHLKKKPKNWRLACQTIVGKPDSKGLLVIQQLPEWKAHEWSYEKILPTEEP >KJB70718 pep chromosome:Graimondii2_0_v6:11:9286671:9288613:-1 gene:B456_011G088600 transcript:KJB70718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLSFNINSSSPSFPTSPQSQSQTQIGLNSCPPQSQGNSTDGTPRSSSSSSSTQLSPSFNISHEYTLALQANSYNEIRSRIEDQVLVENAIESEDMDSHQLHLSQVLHPNRECVHQALRHTNPKATLTRLVSTYFDHSEDISTLCLALYQCISRARTLYAPITDLLQVFPYDLSSINLSQCNLAFDIFLQFDSLDNPFPSPESHNFNEMRRSFSLLKEQLDQRLHKSRSGGRFLHRAVGGIVGLIATPLCPIYIPSHLKKKQLARTAQLDAASSGTFFHIKDLDTIVCLVDWLHASVENDRDLVRFGLERGRDIYPIYEVVKHLRNNHNKFFDQLKELEEHICLCFNAVNKFRAKLLNQIHLHQSTDS >KJB71267 pep chromosome:Graimondii2_0_v6:11:14559991:14563180:-1 gene:B456_011G113800 transcript:KJB71267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEEENAAELKIGEEFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDDAIERMLNDLSLIKKFE >KJB71269 pep chromosome:Graimondii2_0_v6:11:14560342:14562841:-1 gene:B456_011G113800 transcript:KJB71269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEEENAAELKIGEEFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDDAIERMLNDLSLIKKFE >KJB71268 pep chromosome:Graimondii2_0_v6:11:14560342:14562841:-1 gene:B456_011G113800 transcript:KJB71268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEEENAAELKIGEEFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDDAIERMLNDLSLIKKFE >KJB69224 pep chromosome:Graimondii2_0_v6:11:820236:823377:1 gene:B456_011G011600 transcript:KJB69224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MYSSLNTNGNAAAFETGPVSGRFAAVYSEVQNSRIDHALPLPSVLRNPFKVVEGPPSSAAGNPDEIAKLFPNLFGQPSAMLVPNEADSLRSDLKLKIGVVLSGGQAPGGHNVISGIFDYLQDRAKGSILYGFRGGPAGIMKCKYIELNADYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAVKLDLDGLVVIGGDDSNTNACLLAENFRGKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTQPNITIIGEEVAAKKQTLKNVTDYMVDVICKRAELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDEDGIWKKKLTNQSLKLFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQEGSYKGHFKGQSHFFGYEGRCGLPTNFDASYCYALGYGAAALLHSGKTGLISSVGNLGAPVEEWTVGGTALTSLMDSFC >KJB69225 pep chromosome:Graimondii2_0_v6:11:820236:823455:1 gene:B456_011G011600 transcript:KJB69225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MYSSLNTNGNAAAFETGPVSGRFAAVYSEVQNSRIDHALPLPSVLRNPFKVVEGPPSSAAGNPDEIAKLFPNLFGQPSAMLVPNEADSLRSDLKLKIGVVLSGGQAPGGHNVISGIFDYLQDRAKGSILYGFRGGPAGIMKCKYIELNADYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAVKLDLDGLVVIGGDDSNTNACLLAENFRGKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTQPNITIIGEEVAAKKQTLKNVTDYMVDVICKRAELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDEDGIWKKKLTNQSLKLFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQEGSYKGHFKGQSHFFGYEGRCGLPTNFDASYCYALGYGAAALLHSGKTGLISSVGNLGAPVEEWTVGGTALTSLMDVERRHGMVTSPYHFKHFAPFTKKKGKLVLLLKISAISTVKNCPCTSS >KJB69223 pep chromosome:Graimondii2_0_v6:11:820017:824604:1 gene:B456_011G011600 transcript:KJB69223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MYSSLNTNGNAAAFETGPVSGRFAAVYSEVQNSRIDHALPLPSVLRNPFKVVEGPPSSAAGNPDEIAKLFPNLFGQPSAMLVPNEADSLRSDLKLKIGVVLSGGQAPGGHNVISGIFDYLQDRAKGSILYGFRGGPAGIMKCKYIELNADYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAVKLDLDGLVVIGGDDSNTNACLLAENFRGKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTQPNITIIGEEVAAKKQTLKNVTDYMVDVICKRAELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDEDGIWKKKLTNQSLKLFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQEGSYKGHFKGQSHFFGYEGRCGLPTNFDASYCYALGYGAAALLHSGKTGLISSVGNLGAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASVREDWALKNCYISPGPIQFVGPSSNAVSHTLLLELGAQA >KJB69226 pep chromosome:Graimondii2_0_v6:11:820236:824510:1 gene:B456_011G011600 transcript:KJB69226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MYSSLNTNGNAAAFETGPVSGRFAAVYSEVQNSRIDHALPLPSVLRNPFKVVEGPPSSAAGNPDEIAKLFPNLFGQPSAMLVPNEADSLRSDLKLKIGVVLSGGQAPGDYLQDRAKGSILYGFRGGPAGIMKCKYIELNADYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAVKLDLDGLVVIGGDDSNTNACLLAENFRGKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTQPNITIIGEEVAAKKQTLKNVTDYMVDVICKRAELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDEDGIWKKKLTNQSLKLFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQEGSYKGHFKGQSHFFGYEGRCGLPTNFDASYCYALGYGAAALLHSGKTGLISSVGNLGAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASVREDWALKNCYISPGPIQFVGPSSNAVSHTLLLELGAQA >KJB72404 pep chromosome:Graimondii2_0_v6:11:40995398:40996302:-1 gene:B456_011G176800 transcript:KJB72404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLLRGLKYISQIFEDEKEPEMQIGNPTDVKHVAHIGMDGPSANKPSWMDEFNSEFSSTPVNSNQQLKPPPAGNQDSLPPTSNEKQKKSRRKQLASIGSPGGGSPKVSDKKARRQRTSNLSMESTNRDSSSRERRNRRATEPSPQVVLTDIPKKSRQKKPKESCGSDRSPSPSRFEY >KJB72402 pep chromosome:Graimondii2_0_v6:11:40994873:40996584:-1 gene:B456_011G176800 transcript:KJB72402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFNSEFSSTPVNSNQQLKPPPAGNQDSLPPTSNEKQKKSRRKQLASIGSPGGGSPKVSDKKARRQRTSNLSMESTNRDSSSRERRNRRATEPSPQVVLTDIPKKSRQKKPKESCGSDRSPSPSRFEY >KJB72403 pep chromosome:Graimondii2_0_v6:11:40995398:40996302:-1 gene:B456_011G176800 transcript:KJB72403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLLRGLKYISQIFEDEKEPEMQIGNPTDVKHVAHIGMDGPSANKPSWMDEFNSEFSSTPVNSNQQLKPPPAGNQDSLPPTSNEKQKKSRRKQLASIGSPGGGSPKVSDKKARRQRTSNLSMESTNRDSSSRERRNRRATEPSPQVVLTDIPKKSRQKKPKESCGSDRSPSPSRFEY >KJB71214 pep chromosome:Graimondii2_0_v6:11:13277365:13278319:1 gene:B456_011G110700 transcript:KJB71214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPTVTSTTTHLANAGVTSVDCQKQVRSWRLLRSLIALLIPTCNRTLIQDPENKHQTHHPRHLYSSNYSVITGTIFSYRRGKVRFCIQANSKSTNPILLLEFAVPTPVLAREMRGGILRITLDCTDSESGFPMPLWTMYCNGRKVGYAVKRQPSKADTDALRKMSSVVVGTGTISGKEVDNEDDELMYLRANFHRIRGSTDSESFHLIDPEGNVGQELSIFFFRSRSSRN >KJB73550 pep chromosome:Graimondii2_0_v6:11:56730845:56736064:-1 gene:B456_011G241100 transcript:KJB73550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVTMSTPDIAEVDQFRCRVDEIFQKIDKLEKKVNEVEQFYLNANKKKKSSSKGNSIREEQDKGRHVPSIKKQQQDASQRESTAAKRMQELMRQFGTILRQISQHKWAWPFMQPVDVKGLGLHDYYKVIEKPMDLGTIKNQMEAKDGTGYKNVRAICADVRLVFDNAMKYNEEGSDVHLMAKTLLEKFEEKWQLLLPKVTEEEKRREEEEAEAQLNIQLVREASHAKRVQAISNELYEVDTHLEQLRETVVQKCSCLSIDRKMSTKDKRNLGTAVARLSTEDLNKALEIIAQSNPGLQAMAEEVEIDIDAQSESTLWRLNFFVKDVFEGRDKSTAGNNKKKRKKGICDAIAQTVKKKSKKPSS >KJB73545 pep chromosome:Graimondii2_0_v6:11:56730808:56736118:-1 gene:B456_011G241100 transcript:KJB73545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVTMSTPDIAEVDQFRCRVDEIFQKIDKLEKKVNEVEQFYLNANKKKKSSSKGNSIREEQDKGRHVPSIKKQQQDASQRESTAAKRMQELMRQFGTILRQISQHKWAWPFMQPVDVKGLGLHDYYKVIEKPMDLGTIKNQMEAKDGTGYKNVRAICADVRLVFDNAMKYNEEGSDVHLMAKTLLEKFEEKWQLLLPKVTEEEKRREEEEAEAQLNIQLVREASHAKRVQAISNELYEVDTHLEQLRETVVQKCRKMSTKDKRNLGTAVARLSTEDLNKALEIIAQSNPGLQAMAEEVEIDIDAQSESTLWRLNFFVKDVFEGRDKSTAGNNKKKRKKGICDAIAQTVKKKSKKPSS >KJB73551 pep chromosome:Graimondii2_0_v6:11:56732350:56735298:-1 gene:B456_011G241100 transcript:KJB73551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVTMSTPDIAEVDQFRCRVDEIFQKIDKLEKKVNEVEQFYLNANKKKKSSSKGNSIREEQDKGRHVPSIKKQQQDASQRESTAAKRMQELMRQFGTILRQISQHKWAWPFMQPVDVKGLGLHDYYKVIEKPMDLGTIKNQMEAKDGTGYKNVRAICADVRLVFDNAMKYNEEGSDVHLMAKTLLEKFEEKWQLLLPKVTEEEKRREEEEAEAQLNIQLVREASHAKRVQAISNELYEVDTHLEQLRETVVQKCSCLSIDRSLESYYLYIHV >KJB73546 pep chromosome:Graimondii2_0_v6:11:56732485:56735298:-1 gene:B456_011G241100 transcript:KJB73546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVTMSTPDIAEVDQFRCRVDEIFQKIDKLEKKVNEVEQFYLNANKKKKSSSKGNSIREEQDKGRHVPSIKKQQQDASQRESTAAKRMQELMRQFGTILRQISQHKWAWPFMQPVDVKGLGLHDYYKVIEKPMDLGTIKNQMEAKDGTGYKNVRAICADVRLVFDNAMKYNEEGSDVHLMAKTLLEKFEEKWQLLLPKVTEEEKRREEEEAEAQLNIQLVREASHAKRVQAISNELYEVDTHLEQLRETVVQKCSCLSIDRLDQQSF >KJB73549 pep chromosome:Graimondii2_0_v6:11:56732499:56736064:-1 gene:B456_011G241100 transcript:KJB73549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVTMSTPDIAEVDQFRCRVDEIFQKIDKLEKKVNEVEQFYLNANKKKKSSSKGNSIREEQDKGRHVPSIKKQQQDASQRESTAAKRMQELMRQFGTILRQISQHKWAWPFMQPVDVKGLGLHDYYKVIEKPMDLGTIKNQMEAKDGTGYKNVRAICADVRLVFDNAMKYNEEGSDVHLMAKTLLEKFEEKWQLLLPKVTEEEKRREEEEAEAQLNIQLVREASHAKRVQAISNELYEVDTHLEQLRETVVQKCSTCNYLGCMC >KJB73553 pep chromosome:Graimondii2_0_v6:11:56732624:56735298:-1 gene:B456_011G241100 transcript:KJB73553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVTMSTPDIAEVDQFRCRVDEIFQKIDKLEKKVNEVEQFYLNANKKKKSSSKGNSIREEQDKGRHVPSIKKQQQDASQRESTAAKRMQELMRQFGTILRQISQHKWAWPFMQPVDVKGLGLHDYYKVIEKPMDLGTIKNQMEAKDGTGYKNVRAICADVRLVFDNAMKYNEEGSDVHLMAKTLLEKFEEKWQLLLPKVTEEEKRREEEEAEAQLNIQLVREASHAKRVQAISNELYEVDTHLEQLRETVVQKCRCGSQIYVWQSEYSYLRR >KJB73548 pep chromosome:Graimondii2_0_v6:11:56730808:56736118:-1 gene:B456_011G241100 transcript:KJB73548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTVFLFHLHFKNQMETVTMSTPDIAEVDQFRCRVDEIFQKIDKLEKKVNEVEQFYLNANKKKKSSSKGNSIREEQDKGRHVPSIKKQQQDASQRESTAAKRMQELMRQFGTILRQISQHKWAWPFMQPVDVKGLGLHDYYKVIEKPMDLGTIKNQMEAKDGTGYKNVRAICADVRLVFDNAMKYNEEGSDVHLMAKTLLEKFEEKWQLLLPKVTEEEKRREEEEAEAQLNIQLVREASHAKRVQAISNELYEVDTHLEQLRETVVQKCRKMSTKDKRNLGTAVARLSTEDLNKALEIIAQSNPGLQAMAEEVEIDIDAQSESTLWRLNFFVKDVFEGRDKSTAGNNKKKRKKGICDAIAQTVKKKSKKPSS >KJB73552 pep chromosome:Graimondii2_0_v6:11:56732319:56736118:-1 gene:B456_011G241100 transcript:KJB73552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVTMSTPDIAEVDQFRCRVDEIFQKIDKLEKKVNEVEQFYLNANKKKKSSSKGNSIREEQDKGRHVPSIKKQQQDASQRESTAAKRMQELMRQFGTILRQISQHKWAWPFMQPVDVKGLGLHDYYKVIEKPMDLGTIKNQMEAKDGTGYKNVRAICADVRLVFDNAMKYNEEGSDVHLMAKTLLEKFEEKWQLLLPKVTEEEKRREEEEAEAQLNIQLVREASHAKRVQAISNELYEVDTHLEQLRETVVQKCRSLESYYLYIHV >KJB73547 pep chromosome:Graimondii2_0_v6:11:56732485:56735343:-1 gene:B456_011G241100 transcript:KJB73547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTVFLFHLHFKNQMETVTMSTPDIAEVDQFRCRVDEIFQKIDKLEKKVNEVEQFYLNANKKKKSSSKGNSIREEQDKGRHVPSIKKQQQDASQRESTAAKRMQELMRQFGTILRQISQHKWAWPFMQPVDVKGLGLHDYYKVIEKPMDLGTIKNQMEAKDGTGYKNVRAICADVRLVFDNAMKYNEEGSDVHLMAKTLLEKFEEKWQLLLPKVTEEEKRREEEEAEAQLNIQLVREASHAKRVQAISNELYEVDTHLEQLRETVVQKCSCLSIDRLDQQSF >KJB73113 pep chromosome:Graimondii2_0_v6:11:51824384:51828513:1 gene:B456_011G215700 transcript:KJB73113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRKNMGRASPLVLVTLVLGFCFATYNLVTMIMHSRAISKWVEDDANGGIFFDPVIKMPENVRKPKNARLPFHVAVTATDATYSQWQCRIMYYWYKKKKDLPGSDMGGFTRILHSGSPDNFMDEIPTIVVDPLPEGLDRGYIVLNRPWAFVQWLEKATIEEDYILMAEPDHIFINPLPNLASGGFPAAFPFFYIKPADYGKVLRKFFPEEMGPVTNIDPIGNSPVIIKKDLLKKIAPTWMNVSLKMKDDPETDEAFGWVLEMYAYAVGSALHGVQHILRKDFMLQPPWDFEIGKKFIIHYTYGCDYNMKGELMYGEIGEWRFDKRSFLRGPPPRNLSLPPPGVPESVVTLVKMVNEATANIPNWEA >KJB72099 pep chromosome:Graimondii2_0_v6:11:28779371:28781575:-1 gene:B456_011G159100 transcript:KJB72099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEENYAANFVGPDYSLDHHQHHHQHQQPRIGESCGDNNNGMVDYMLNNPQQHQHISSSSGFYTTPASFDKLSFADVMQFADFGPKLALNQTRIPEDETGIDPSGYFLRFPVLNDKLEAESLMVPPSGIENVEELVEDKHGEDEARVSDNAAVQLRFFGEDDVHNRNPIAPTDPAKNKRKRPRTIKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLYGEASRQMGDTSMAAIQQQQQQQQQPVFPPMSTLPNDQMKVMEYETGLREETAENKSCLADVEVKLLGFDAMIKILCRRRPGQLVKTIAALEDLQLNILHTNITTIEQTVLYSFNVKVTSESGFSAEDIASSVQQIFSFIHANSSM >KJB72098 pep chromosome:Graimondii2_0_v6:11:28779371:28781086:-1 gene:B456_011G159100 transcript:KJB72098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCYKLDFLQANFVGPDYSLDHHQHHHQHQQPRIGESCGDNNNGMVDYMLNNPQQHQHISSSSGFYTTPASFDKLSFADVMQFADFGPKLALNQTRIPEDETGIDPSGYFLRFPVLNDKLEAESLMVPPSGIENVEELVEDKHGEDEARVSDNAAVQLRFFGEDDVHNRNPIAPTDPAKNKRKRPRTIKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLYGEASRQMGDTSMAAIQQQQQQQQQPVFPPMSTLPNDQMKVMEYETGLREETAENKSCLADVEVKLLGFDAMIKILCRRRPGQLVKTIAALEDLQLNILHTNITTIEQTVLYSFNVKVTSESGFSAEDIASSVQQIFSFIHANSSM >KJB71396 pep chromosome:Graimondii2_0_v6:11:16736489:16738400:1 gene:B456_011G1210002 transcript:KJB71396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKGNGNPFVSGGINNPSSKGKDISGSSSSKVEQLSQGVADMNLGLPQDDGEWEVIQRKPKNRTGSSAARPWGPQNSNGKPRGAMRTNAVSGRASGNAWDAHNTDSRMATGRGNARPQTCNKAIENNNVPPHPVIRPPLQYGWNWQSRTGSNPSGGLQDDHGKNNVNTEVEEVNDIDDVEDDSDDNAIDDSDDELLTDDFDSDSSQKSHETRKNNRWFKKFFSSLDALRIEEINDPARQWHCPACQGGPGAIDWYRGLQPLMTHAKTKGAKRVKLHRELAELLDEELCRRGTSVIPSGEAFGKWKGLKDEEKDHEIVWPPMVMIMNTRLEQDENDKWIGMGNQELLDYFSSYAAVKARHSYGPQGHRGMSVLIFESTARGYLEAERLHKHFAEQGTHREAWERRRVLFYPGGKRQLYGYMAMKEDLDSFNQHSQGKSRLKFEMRSYQEMVVKQIRQMSEDNQQLIFYKNKVAKEQRQKVALEESFGIVSERLRKTMEENRIVRQRTKMQHEQNKEE >KJB74155 pep chromosome:Graimondii2_0_v6:11:60844526:60845314:-1 gene:B456_011G276200 transcript:KJB74155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WPPSVCSSTLNCKLPIPTGFKIHGIWAQDAHDVSVPLYNARKPCTHPQPILTRPPLQQLLISDVALWNQLPTLWPNLASTGSNIEFWFKEWMKHGTCSDFAQHPQSYFQSAIQLRKNLNSRASPQISCNKHRRTRVLLLGEMFIYYGRPRPSHTFGTPQNCSNLFYGLYSSGSDTIEFP >KJB74103 pep chromosome:Graimondii2_0_v6:11:60463119:60464987:-1 gene:B456_011G272600 transcript:KJB74103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSQHSIEYWLTLDLLASKFSENPSSREAIRVKNSSEVDLIFVPFFSSLSYNRYSKKLVKFVMSQKEWKHVVLAHHPNSMLNARMQLWPAIFILSDFGRYPINVANLDKDVIAPYKHVLKSYINDSSDFDSRKTLLYFQGAIYRKNISGGFARQELYYLLKDEKDVHFSFGSVQRNGIRQASQGMHSSKFCLNIAGDTPSSSRLFDAIASHCVPVIISDEIELPYEDILDYTEFCIFIRTSDAVKKGFLINRIRSITKDKWAQMWEKLKQVEPLFEFQYPSKEGDAVQMIWQSLSHKVSSVKMKINKSKRYSRFVPRKRRGLRTRTK >KJB71272 pep chromosome:Graimondii2_0_v6:11:14636261:14638292:-1 gene:B456_011G114100 transcript:KJB71272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVWWSLLGAAIPAVIAGQAFRMKKRHAEDQKVKSARGREKTADDVFVCERVCTSKRMLKKVGAFSKDPIRDTCVTVCGVSELDACSDACARTVCVNQHQVPNWNDICLRRCQSECLRLSASNS >KJB71274 pep chromosome:Graimondii2_0_v6:11:14636292:14638213:-1 gene:B456_011G114100 transcript:KJB71274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVWWSLLGAAIPAVIAGQAFRMKKRHAEDQKVKSARGREKTADDVFVCERVCTSKRMLKKVGAFSKDPIRDTCVTVCGVSELDACSDACARTVCVNQHQVPNWNDICLRRCQSECLRLSASNS >KJB71273 pep chromosome:Graimondii2_0_v6:11:14636559:14636936:-1 gene:B456_011G114100 transcript:KJB71273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVWWSLLGAAIPAVIAGQAFRMKKRHAEDQKVKSARGREKTADDVFVCERVCTSKRMLKKVGAFSKDPIRDTCVTVCGVSELDACSDACARTVCVNQHQVPNWNDICLRRCQSECLRLSASNS >KJB69160 pep chromosome:Graimondii2_0_v6:11:646347:652147:-1 gene:B456_011G008700 transcript:KJB69160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSFPLFNDRDPAVLSTLKRYLSQPNNNDEDNGDGYATFCFHYKGAILRSIEQRTGNSTVTDAVLDSLILIENLDRRRGVLPSESMKAAFCAVAVHCTVSCLPVSWDNYFDAFQRIWGLRIKSLEESGKSDLISSELVQWGTVIEAGLWDLETSQRLSSVNTRGKALLRIKGYLEEAFRSMSPALSRLASASTTEPTVDHAASANPHPSTDEGNMDNVVSASAQVPSSPHPCIDERNIPSSPHPCSDEGKMGNVVSASAEASPSPHPCADKGNVDNVISSSAQVPSSPHPCTNKKKKIRRASFQARHKPRPRCKQPRGVVITDMEEDQPLCTKNGTPSSFEVNGCLQVASKTRSAALLDGVTDSPSEALEVLESVALVMAGKNFHPKGSVEDSNKDKGDPTTSFYPTTHHCTEKGKQILMVNSQTSGNPNSSHRHCEGPAATADIEGYRTLSTPDIDKLRDALTSSIADLTATVADPLPKALEVAATLVSFMEAAKSLSTDGAEGDVRKEKGVPAAPMNCNFEQAQAERRAPDKAIREDQDKMARSVPASSVGHSVEPSQAKEGNETFSRPKKVPKRNLMKRNDAAHTHERRAPYEGIREDYNKVDGSVPAPSVNHTAEPSHSKEGNEAVIRPKKVRKRSIMERNDTAHASEWEDSIDGSDGGTSGCSNRCTLPSPKTNHVSPLKESEPQKQKNRRKTNWWTHEEEQALIKGYREYGTQWKLILESYRDILKKRTQVDLKDKWRNLSK >KJB69159 pep chromosome:Graimondii2_0_v6:11:646829:652049:-1 gene:B456_011G008700 transcript:KJB69159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSFPLFNDRDPAVLSTLKRYLSQPNNNDEDNGDGYATFCFHYKGAILRSIEQRTGNSTVTDAVLDSLILIENLDRRRGVLPSESMKAAFCAVAVHCTVSCLPVSWDNYFDAFQRIWGLRIKSLEESGKSDLISSELVQWGTVIEAGLWDLETSQRLSSVNTRGKALLRIKGYLEEAFRSMSPALSRLASASTTEPTVDHAASANPHPSTDEGNMDNVVSASAQVPSSPHPCIDERNMDNVVYASAQVPSSPHPCSDEGKMGNVVSASAEASPSPHPCADKGNVDNVISSSAQVPSSPHPCTNKKKKIRRASFQARHKPRPRCKQPRGVVITDMEEDQPLCTKNGTPSSFEVNGCLQVASKTRSAALLDGVTDSPSEALEVLESVALVMAGKNFHPKGSVEDSNKDKGDPTTSFYPTTHHCTEKGKQILMVNSQTSGNPNSSHRHCEGPAATADIEGYRTLSTPDIDKLRDALTSSIADLTATVADPLPKALEVAATLVSFMEAAKSLSTDGAEGDVRKEKGVPAAPMNCNFEQAQAERRAPDKAIREDQDKMARSVPASSVGHSVEPSQAKEGNETFSRPKKVPKRNLMKRNDAAHTHERRAPYEGIREDYNKVDGSVPAPSVNHTAEPSHSKEGNEAVIRPKKVRKRSIMERNDTAHASEWEDSIDGSDGGTSGCSNRCTLPSPKTNHVSPLKESEPQKQKNRRKTNWWTHEEEQALIKGYREYGTQWKLILESYRDILKKRTQVDLKDKWRNLSK >KJB69163 pep chromosome:Graimondii2_0_v6:11:646377:652099:-1 gene:B456_011G008700 transcript:KJB69163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSFPLFNDRDPAVLSTLKRYLSQPNNNDEDNGDGYATFCFHYKGAILRSIEQRTGNSTVTDAVLDSLILIENLDRRRGVLPSESMKAAFCAVAVHCTVSCLPVSWDNYFDAFQRIWGLRIKSLEESGKSDLISSELVQWGTVIEAGLWDLETSQRLSSVNTRGKALLRIKGYLEEAFRSMSPALSRLASASTTEPTVDHAASANPHPSTDEGNMDNVVSASAQVPSSPHPCIDERNMDNVVYASAQVPSSPHPCSDEGKMGNVVSASAEASPSPHPCADKGNVDNVISSSAQVPSSPHPCTNKKKKIRRASFQARHKPRPRCKQPRGVVITDMEEDQPLCTKNGTPSSFEVNGCLQVASKTRSAALLDGVTDSPSEALEVLESVALVMAGKNFHPKGSVEDSNKDKGDPTTSFYPTTHHCTEKGKQILMVNSQTSGNPNSSHRHCEGPAATADIEGYRTLSTPDIDKLRDALTSSIADLTATVADPLPKALEVAATLVSFMEAAKSLSTDGAEGDVRKEKGVPAAPMNCNFEQAQAERRAPDKAIREDQDKMARSVPASSVGHSVEPSQAKEGNETFSRPKKVPKRNLMKRNDAAHTHERRAPYEGIREDYNKVDGSVPAPSVNHTAEPSHSKEGNEAVIRPKKVRKRSIMERNDTAHASEVYFTKP >KJB69162 pep chromosome:Graimondii2_0_v6:11:646829:651019:-1 gene:B456_011G008700 transcript:KJB69162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPTVDNVVYASAQVPSSPHPCSDEGKMGNVVSASAEASPSPHPCADKGNVDNVISSSAQVPSSPHPCTNKKKKIRRASFQARHKPRPRCKQPRGVVITDMEEDQPLCTKNGTPSSFEVNGCLQVASKTRSAALLDGVTDSPSEALEVLESVALVMAGKNFHPKGSVEDSNKDKGDPTTSFYPTTHHCTEKGKQILMVNSQTSGNPNSSHRHCEGPAATADIEGYRTLSTPDIDKLRDALTSSIADLTATVADPLPKALEVAATLVSFMEAAKSLSTDGAEGDVRKEKGVPAAPMNCNFEQAQAERRAPDKAIREDQDKMARSVPASSVGHSVEPSQAKEGNETFSRPKKVPKRNLMKRNDAAHTHERRAPYEGIREDYNKVDGSVPAPSVNHTAEPSHSKEGNEAVIRPKKVRKRSIMERNDTAHASEWEDSIDGSDGGTSGCSNRCTLPSPKTNHVSPLKESEPQKQKNRRKTNWWTHEEEQALIKGYREYGTQWKLILESYRDILKKRTQVDLKDKWRNLSK >KJB69165 pep chromosome:Graimondii2_0_v6:11:648261:652099:-1 gene:B456_011G008700 transcript:KJB69165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSFPLFNDRDPAVLSTLKRYLSQPNNNDEDNGDGYATFCFHYKGAILRSIEQRTGNSTVTDAVLDSLILIENLDRRRGVLPSESMKAAFCAVAVHCTVSCLPVSWDNYFDAFQRIWGLRIKSLEESGKSDLISSELVQWGTVIEAGLWDLETSQRLSSVNTRGKALLRIKGYLEEAFRSMSPALSRLASASTTEPTVDHAASANPHPSTDEGNMDNVVSASAQVPSSPHPCIDERNMDNVVYASAQVPSSPHPCSDEGKMGNVVSASAEASPSPHPCADKGNVDNVISSSAQVPSSPHPCTNKKKKIRRASFQARHKPRPRCKQPRGVVITDMEEDQPLCTKNGTPSSFEVNGCLQVASKTRSAALLDGVTDSPSEALEVLESVALVMAGKNFHPKGSVEDSNKDKGDPTTSFYPTTHHCTEKGKQILMVNSQTSGNPNSSHRHCEGPAATADIEGYRTLSTPDIDKLRDALTSSIADLTATVADPLPKALEVAATLVSFMEAAKSLSTDGAEGDVRKEKGVPAAPMNCNFEQAQAERRAPDKAIREDQDKMARSVPASSVGHSVEPSQAKEGNETFSRPKKVPKRNLMKRNDAAHTHEVCIRMCTFLHLVSLLDCWFLSLHCILILLP >KJB69164 pep chromosome:Graimondii2_0_v6:11:646377:652099:-1 gene:B456_011G008700 transcript:KJB69164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSFPLFNDRDPAVLSTLKRYLSQPNNNDEDNGDGYATFCFHYKGAILRSIEQRTGNSTVTDAVLDSLILIENLDRRRGVLPSESMKAAFCAVAVHCTVSCLPVSWDNYFDAFQRIWGLRIKSLEESGKSDLISSELVQWGTVIEAGLWDLETSQRLSSVNTRGKALLRIKGYLEEAFRSMSPALSRLASASTTEPTVDHAASANPHPSTDEGNMGNVVSASAEASPSPHPCADKGNVDNVISSSAQVPSSPHPCTNKKKKIRRASFQARHKPRPRCKQPRGVVITDMEEDQPLCTKNGTPSSFEVNGCLQVASKTRSAALLDGVTDSPSEALEVLESVALVMAGKNFHPKGSVEDSNKDKGDPTTSFYPTTHHCTEKGKQILMVNSQTSGNPNSSHRHCEGPAATADIEGYRTLSTPDIDKLRDALTSSIADLTATVADPLPKALEVAATLVSFMEAAKSLSTDGAEGDVRKEKGVPAAPMNCNFEQAQAERRAPDKAIREDQDKMARSVPASSVGHSVEPSQAKEGNETFSRPKKVPKRNLMKRNDAAHTHERRAPYEGIREDYNKVDGSVPAPSVNHTAEPSHSKEGNEAVIRPKKVRKRSIMERNDTAHASEWEDSIDGSDGGTSGCSNRCTLPSPKTNHVSPLKESEPQKQKNRRKTNWWTHEEEQALIKGYREYGTQWKLILESYRDILKKRTQVDLKDKWRNLSK >KJB69161 pep chromosome:Graimondii2_0_v6:11:646829:652049:-1 gene:B456_011G008700 transcript:KJB69161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSFPLFNDRDPAVLSTLKRYLSQPNNNDEDNGDGYATFCFHYKGAILRSIEQRTGNSTVTDAVLDSLILIENLDRRRGVLPSESMKAAFCAVAVHCTVSCLPVSWDNYFDAFQRIWGLRIKSLEESGKSDLISSELVQWGTVIEAGLWDLETSQRLSSVNTRGKALLRIKGYLEEAFRSMSPALSRLASASTTEPTVDHAASANPHPSTDEGNMDNVVSASAQVPSSPHPCIDERNMGNVVSASAEASPSPHPCADKGNVDNVISSSAQVPSSPHPCTNKKKKIRRASFQARHKPRPRCKQPRGVVITDMEEDQPLCTKNGTPSSFEVNGCLQVASKTRSAALLDGVTDSPSEALEVLESVALVMAGKNFHPKGSVEDSNKDKGDPTTSFYPTTHHCTEKGKQILMVNSQTSGNPNSSHRHCEGPAATADIEGYRTLSTPDIDKLRDALTSSIADLTATVADPLPKALEVAATLVSFMEAAKSLSTDGAEGDVRKEKGVPAAPMNCNFEQAQAERRAPDKAIREDQDKMARSVPASSVGHSVEPSQAKEGNETFSRPKKVPKRNLMKRNDAAHTHERRAPYEGIREDYNKVDGSVPAPSVNHTAEPSHSKEGNEAVIRPKKVRKRSIMERNDTAHASEWEDSIDGSDGGTSGCSNRCTLPSPKTNHVSPLKESEPQKQKNRRKTNWWTHEEEQALIKGYREYGTQWKLILESYRDILKKRTQVDLKDKWRNLSK >KJB70687 pep chromosome:Graimondii2_0_v6:11:9109141:9112963:1 gene:B456_011G087200 transcript:KJB70687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDSDSFKQSLLPSFLYSSAPNSFSLDRLINANSPAFSASRSTIPGAPAASSSPSIKARTFMIPSPNEPGKKIEMYSPQFYAACTFGGILSCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGPEYFAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKYGIPTPKEQCSKNLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGVAPAPAAVEPAKA >KJB70688 pep chromosome:Graimondii2_0_v6:11:9109338:9111954:1 gene:B456_011G087200 transcript:KJB70688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDSDSFKQSLLPSFLYSSAPNSFSLDRLINANSPAFSASRSTIPGAPAASSSPSIKARTFMIPSPNEPGKKIEMYSPQFYAACTFGGILSCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGPEYFAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPCKFSMYGLLSTAFIIIYHCLGTCTFIWSCLFFPLPLLSNPLFLFIVLAFAKCSEV >KJB70689 pep chromosome:Graimondii2_0_v6:11:9109207:9112931:1 gene:B456_011G087200 transcript:KJB70689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDSDSFKQSLLPSFLYSSAPNSFSLDRLINANSPAFSASRSTIPGAPAASSSPSIKARTFMIPSPNEPGKKIEMYSPQFYAACTFGGILSCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGPEYFAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKYGIPTPKEQCSKNLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDVSCEEAWIMGSVYPWSSPPYCDDWNSNWSSVGYL >KJB70686 pep chromosome:Graimondii2_0_v6:11:9109207:9112931:1 gene:B456_011G087200 transcript:KJB70686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDSDSFKQSLLPSFLYSSAPNSFSLDRLINANSPAFSASRSTIPGAPAASSSPSIKARTFMIPSPNEPGKKIEMYSPQFYAACTFGGILSCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGPEYFAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKYGIPTPKEQCSKNLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGVAPAPAAVEPAKA >KJB72867 pep chromosome:Graimondii2_0_v6:11:48923571:48925654:1 gene:B456_011G201800 transcript:KJB72867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKENLISMANLRFSDENPSAVAAAAAATSFGGSIFDMTTGAGACDGDTKWGSSLGFMDLLGIHQDFIAPSLFDSFQPPPILPPPPPSTSSEPLLHQQLDTKQLQALPSPASIVPESSEVLNNPATPNSSSFSSSSNEAANDHEPTKAEDDEEEEQDQDKTKKQLKPKKKNQKRQREPRFAFMTKSEVDHLDDGYRWRKYGQKAVKNSPFPRSYYRCTSAGCGVKKRVERSSDDPSIVVTTYEGQHKHPYPITPRGSIGINMDPSSSFGPSFVVPQPQYLHQQQQLQPYIYNSSPSLNITSITRSGSSFNASIPDFLQDRRFNTPPTSSASLLRDHGLLQDLVPTQMRKEAKEQ >KJB69157 pep chromosome:Graimondii2_0_v6:11:641925:643288:1 gene:B456_011G008600 transcript:KJB69157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDEKANTVTITVVCCSPEKIRDKLCYKGGGSIKSIELKSPAKPKEPEKKPDKPKEAEKKPEKPKEAEKKPEKPKEAAEKKPEKPKEAEKKPEKPKDGEKKPEKPKEAAAEKKPDKPKEAAAAPPQKVAEPAAAAPLPPMAYAVGYTCSEGYYNGYGGGPSYYGGPPQQPFPCYETYGRPVYDSWGGGGGGGGYYRYGGRTGECFSEENPQGCSIM >KJB69158 pep chromosome:Graimondii2_0_v6:11:641812:643288:1 gene:B456_011G008600 transcript:KJB69158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKVDLQCSKCYKKVKKVLCKYPQIRDQMYDEKANTVTITVVCCSPEKIRDKLCYKGGGSIKSIELKSPAKPKEPEKKPDKPKEAEKKPEKPKEAEKKPEKPKEAAEKKPEKPKEAEKKPEKPKDGEKKPEKPKEAAAEKKPDKPKEAAAAPPQKVAEPAAAAPLPPMAYAVGYTCSEGYYNGYGGGPSYYGGPPQQPFPCYETYGRPVYDSWGGGGGGGGYYRYGGRTGECFSEENPQGCSIM >KJB69156 pep chromosome:Graimondii2_0_v6:11:641770:643332:1 gene:B456_011G008600 transcript:KJB69156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVTIMVLKVDLQCSKCYKKVKKVLCKYPQIRDQMYDEKANTVTITVVCCSPEKIRDKLCYKGGGSIKSIELKSPAKPKEPEKKPDKPKEAEKKPEKPKEAEKKPEKPKEAAEKKPEKPKEAEKKPEKPKDGEKKPEKPKEAAAEKKPDKPKEAAAAPPQKVAEPAAAAPLPPMAYAVGYTCSEGYYNGYGGGPSYYGGPPQQPFPCYETYGRPVYDSWGGGGGGGGYYRYGGRTGECFSEENPQGCSIM >KJB69538 pep chromosome:Graimondii2_0_v6:11:2126712:2128093:1 gene:B456_011G029200 transcript:KJB69538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNQQQGRRLKPLMAAEHHHHQQQQQQHTPQKCPRCESRNTKFCYYNNYSLSQPRYFCKACRRYWTQGGILRNVPVGGGCRKGKRPKLSSSGDDNPTRQATQRNLISPPVQLQAKESDSLVSSSAAMGSYYPASGFLSSLAAIQPMNQPQCLKQPLNQALRIGGGDLGSSSSNLGLLQGYGVPFLGSQHHQQTQFQWPAAGNSYYQQNWHQNFINEAVTSTAKVTNTSNSKTASSLSPNQWPDLPAYGAP >KJB69539 pep chromosome:Graimondii2_0_v6:11:2126504:2128093:1 gene:B456_011G029200 transcript:KJB69539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNQQQGRRLKPLMAAEHHHHQQQQQQHTPQKCPRCESRNTKFCYYNNYSLSQPRYFCKACRRYWTQGGILRNVPVGGGCRKGKRPKLSSSGDDNPTRQATQRNLISPPVQLQAKESDSLVSSSAAMGSYYPASGFLSSLAAIQPMNQPQCLKQPLNQALRIGGGDLGSSSSNLGLLQGYGVPFLGSQHHQQTQFQWPAAGNSYYQQNWHQNFINEAVTSTAKVTNTSNSKTASSLSPNQWPDLPAYGAP >KJB69544 pep chromosome:Graimondii2_0_v6:11:2174274:2179467:1 gene:B456_011G029600 transcript:KJB69544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFTLLWLLVFFLSLVSSSARPAPFAMRISCGARQNVRTAPTYALWYKDFGYTGGIPANATTPSFITPPLKTLRYFPLSEGPENCYGINRVPKGHYTLRIFFGLIKQPDFDDEPLFEISVEGTLIHSLKSGWTSHDDQVFAEALVFLLDGTVSICFHSTGHGDPAIISIEILQVDDKAYYSGPEWGKGVILKTTSRLTCGTSKPRFDEDYSGDHWGGDRYWEPIRTFGQNADEPRSTENSIKQASDAPNFYPEALYQSAVVSTDSQPDLAYTIDVDPNKNYSIWLHFAEIDALVTDAGQRVFDVLLNGDTIFKEIDILKMSGDRYTALVLNTTVAVSGRTLTITLHPKEGHRAIINAIEIFQVIAAESKTSSAEVRALQALKRSLGLPNRFAWNGDPCVPKEHPWSGADCQFDKSGSKWFIDGLDLANQGLKGFLPDDISKLIHLQSLNLSGNTIHGVIPSSLGTITSLELLDLSYNFLNGSIPESLGNLTALRRLNLNGNSLSGRVPGALGGRLLNGASFNFTDNAGLCGIPGLPTCGPHLSAGAKVGIAFGVSLSFLLLVICSVCYWKRRQNILRAQQIAARGAPYAKARTQFSHDIQMSRHHNHGHTRTAAENGPSLLS >KJB69543 pep chromosome:Graimondii2_0_v6:11:2174274:2179469:1 gene:B456_011G029600 transcript:KJB69543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFTLLWLLVFFLSLVSSSARPAPFAMRISCGARQNVRTAPTYALWYKDFGYTGGIPANATTPSFITPPLKTLRYFPLSEGPENCYGINRVPKGHYTLRIFFGLIKQPDFDDEPLFEISVEGTLIHSLKSGWTSHDDQVFAEALVFLLDGTVSICFHSTGHGDPAIISIEILQVDDKAYYSGPEWGKGVILKTTSRLTCGTSKPRFDEDYSGDHWGGDRYWEPIRTFGQNADEPRSTENSIKQASDAPNFYPEALYQSAVVSTDSQPDLAYTIDVDPNKNYSIWLHFAEIDALVTDAGQRVFDVLLNGDTIFKEIDILKMSGDRYTALVLNTTVAVSGRTLTITLHPKEGHRAIINAIEIFQVIAAESKTSSAEVRALQALKRSLGLPNRFAWNGDPCVPKEHPWSGADCQFDKSGSKWFIDGLDLANQGLKGFLPDDISKLIHLQSLNLSGNTIHGVIPSSLGTITSLELLDLSYNFLNGSIPESLGNLTALRRLNLNGNSLSGRVPGALGGRLLNGASFNFTDNAGLCGIPGLPTCGPHLSAGAKVGIAFGVSLSFLLLVICSVCYWKRRQNILRAQQIAARGAPYAKARTQFSHDIQMSRHHNHGHTRTAAENGPSLLS >KJB72998 pep chromosome:Graimondii2_0_v6:11:50014332:50015836:1 gene:B456_011G208200 transcript:KJB72998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKMILRMNAADHEISYANNSSFQKQVILKIRPIIEESVTDTFKKIVPVCMKVADLGCSSGPNFFLAIWHIIDTVHGICQQEQLKLPEFEVLLNDLPENDFNFVFKSIPGFYERLKKERGDMLQERCFIGGVAGSFYHRLFPTKSLHFVHSSYGIHWLSKVPVGLEDNKGNVYMARSSPPSVFKAYAGQFQKDFTNFLSLRSEEIMPQGCCYKNNENLYFGVVKEADVDSFNLPHYTPCKEEIAEIVEREGSFAIKRLQVFEANHSAVLSREEQLHNQDLDFNVYLEMGKKTANSVRAISEPLLCSHFGDAIMDNLFRRLASHAADGFSNSKSDK >KJB69867 pep chromosome:Graimondii2_0_v6:11:3652006:3652209:-1 gene:B456_011G047200 transcript:KJB69867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDIRYMQELGEVAPSLVIYHHKSSSCPRLEPIAEEECGNVEVLKKRVFVLLPVLLSLSVSVFLYR >KJB70927 pep chromosome:Graimondii2_0_v6:11:10577920:10579291:1 gene:B456_011G096000 transcript:KJB70927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGFSIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHVTLFSSPFLDPAKLESVVKSFASKQGPLPLSFTSIGSFPNDKNVLFLAPVPTMALIQFQAQLCEAIKKEGIEIGEEFKPDSWIPFCAVAQDVPKTRIAEAFCVLRESKLPVSGYAMDIGLVEFSPVREYFSFELGNTVEA >KJB74427 pep chromosome:Graimondii2_0_v6:11:62565927:62568192:-1 gene:B456_011G295000 transcript:KJB74427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWRHRRPRRFDRQDIAPKYPPSYHVPESSFSEFSNDGVPLWEKKFCTLVGKVSWRKIVDAKNFTSHNNNVLNWDDSAGEEAFRNAKSQYWAKINGFSCDISAPDPNAYIEEINWNPYIDPELIRELEREYFAPPTEVEEDSVVEHENKITRNLSSAPTEECNTIPSKVDDNPWESNNVTQGSSKDFISWGHLVLKVDDARSGNNPWESSITQGNESGKHNSWGDYGSRDWNTGNNSWGHNYKGIDSKMDDGWGDFKRTSWGRNRQDAKELPNRNNSWERSYVQHNAGCDGNSWGRKAGGNHDVGSRKLDYKSSSSGGAWHSGSRKREGSHQYTPGYKSSRFQQDDNQANHYWRNTKSNKRVSFVRE >KJB68818 pep chromosome:Graimondii2_0_v6:11:1496258:1498528:1 gene:B456_011G021300 transcript:KJB68818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSVENRALKKGAWSPEEDKKLIAYIKRYGIWNWAEMAKPAGLQRSGKSCRLRWVNYLRPGIKHGNFTKEEEETIIDLHEKLGNRWSVIASKLPGRTDNEIKNHWHAHLSKRLKYDLNSLPDMSDAEIDQYSSFETDPPPTNVPNALISESSAATSTNSLPSSSSNPKQ >KJB68819 pep chromosome:Graimondii2_0_v6:11:1496538:1498526:1 gene:B456_011G021300 transcript:KJB68819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSVENRALKKGAWSPEEDKKLIAYIKRYGIWNWAEMAKPAGLQRSGKSCRLRWVNYLRPGIKHGNFTKEEEETIIDLHEKLGNRWSVIASKLPGRTDNEIKNHWHAHLSKRLKYDLNSLPDMSDAEIDQYSSFETDPPPTNVPNALISESSAATSTNSLPSSSSNPKQ >KJB68820 pep chromosome:Graimondii2_0_v6:11:1496328:1498528:1 gene:B456_011G021300 transcript:KJB68820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSVENRALKKGAWSPEEDKKLIAYIKRYGIWNWAEMAKPAGLQRSGKSCRLRWVNYLRPGIKHGNFTKEEEETIIDLHEKLGNRWSVIASKLPGRTDNEIKNHWHAHLSKRLKYDLNSLPDMSDAEIDQYSSFETDPPPTNVPNALISESSAATSTNSLPSSSSNPKQ >KJB70059 pep chromosome:Graimondii2_0_v6:11:4449532:4450231:-1 gene:B456_011G056500 transcript:KJB70059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB74034 pep chromosome:Graimondii2_0_v6:11:60049951:60055023:-1 gene:B456_011G268400 transcript:KJB74034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGNVDLLTSQTAIKFAAFIFISVSFFYLGKHWSDGSRQLIFFSRQSPSATASHIPTVGISPNFNKEFNISALISATEPETESKPVDPVKNDGKPVSASEAHSPQHPPMTPPPPPVIKSYGIVDENGTMSDEFEIGEFDPNLVENWGNGTETQEETKTEGATSTFRVKKFGLCDESMREYIPCLDNVEAIKRLKSTEKGERFERHCPEKGKGLNCLVPAPKGYRPPIPWPRSRDEVWFYNVPHTRLVDDKGGQNWISKKGKDKFSFPGGGTQFIHGADQYLNQISKMVPEITFGQHIRVVLDVGCGVASFGAYLLSRNVITMSIAPKDVHENQIQFALERGVPAMAAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEQALEEQWEEMLNLTTHLCWTLVKKEGYIAIWQKPFNNSCYLSREAGTIPPLCDPNDDPDNVWYVDLKACISRIPENGYGANVAPWPARLQTPPDRLQSIHIESYIARKELFKAESKYWNEIVASYVRALHWKKYKLRNVMDMRAGFGGFAAAMIDNQLDAWVLNVVPVSGPNTLPVIYDRGLIGVMHDWCESFDTYPRTYDLLHAAGLFSVERKR >KJB74033 pep chromosome:Graimondii2_0_v6:11:60048790:60055023:-1 gene:B456_011G268400 transcript:KJB74033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGNVDLLTSQTAIKFAAFIFISVSFFYLGKHWSDGSRQLIFFSRQSPSATASHIPTVGISPNFNKEFNISALISATEPETESKPVDPVKNDGKPVSASEAHSPQHPPMTPPPPPVIKSYGIVDENGTMSDEFEIGEFDPNLVENWGNGTETQEETKTEGATSTFRVKKFGLCDESMREYIPCLDNVEAIKRLKSTEKGERFERHCPEKGKGLNCLVPAPKGYRPPIPWPRSRDEVWFYNVPHTRLVDDKGGQNWISKKGKDKFSFPGGGTQFIHGADQYLNQISKMVPEITFGQHIRVVLDVGCGVASFGAYLLSRNVITMSIAPKDVHENQIQFALERGVPAMAAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEQALEEQWEEMLNLTTHLCWTLVKKEGYIAIWQKPFNNSCYLSREAGTIPPLCDPNDDPDNVWYVDLKACISRIPENGYGANVAPWPARLQTPPDRLQSIHIESYIARKELFKAESKYWNEIVASYVRALHWKKYKLRNVMDMRAGFGGFAAAMIDNQLDAWVLNVVPVSGPNTLPVIYDRGLIGVMHDWCESFDTYPRTYDLLHAAGLFSVERKRCNMSTIMLEMDRILRPGGRAYIRDSLDVMDELQDIAKAMGWHPTLRDTSEGPHASYRILVCDKRLLRS >KJB74032 pep chromosome:Graimondii2_0_v6:11:60050615:60054890:-1 gene:B456_011G268400 transcript:KJB74032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGNVDLLTSQTAIKFAAFIFISVSFFYLGKHWSDGSRQLIFFSRQSPSATASHIPTVGISPNFNKEFNISALISATEPETESKPVDPVKNDGKPVSASEAHSPQHPPMTPPPPPVIKSYGIVDENGTMSDEFEIGEFDPNLVENWGNGTETQEETKTEGATSTFRVKKFGLCDESMREYIPCLDNVEAIKRLKSTEKGERFERHCPEKGKGLNCLVPAPKGYRPPIPWPRSRDEVWFYNVPHTRLVDDKGGQNWISKKGKDKFSFPGGGTQFIHGADQYLNQISKMVPEITFGQHIRVVLDVGCGVASFGAYLLSRNVITMSIAPKDVHENQIQFALERGVPAMAAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEQALEEQWEEMLNLTTHLCWTLVKKEGYIAIWQKPFNNSCYLSREAGTIPPLCDPNDDPDNVWYVDLKACISRIPENGYGANVAPWPARLQTPPDRLQSIHIESYIARKELFKAESKYWNEIVASYVRALHWKKYKLRNVMDMRAGFGG >KJB73554 pep chromosome:Graimondii2_0_v6:11:56194214:56197081:-1 gene:B456_011G238600 transcript:KJB73554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMIMKLGSKSEVFHRDGQTWLCSTGLPSDLVVEVGEMAFHLHKFPLLSRSQVIENRINDYSSEDDEKCVLQFHDLPGGAKTFLLVAKFCYGIRLELTALNIVGIRCAAEYLQMTEDRGDGNLIMLSESFLNEMFGHWTDTIKALETCKEFLPYSEELHIVSRCIDSLAMKACADTNLFSWPLPENGIAQCPEGNHVLWNGICSTSKPQPLSEDWWYEDASFLRLSSYKRLILAVESRGMKPERIAGSVVHYAKRHLPLLCRQPSFRGRNHAAPRSLVSHPSDADQRNLLEEIVALVPIQKGATPTNFLLRLLRTSMVLQARPSCQDKLEKQIGAQLDQAALEDLLIPNIGYSMETLYDIDCVQRILDHFAHIDNEPLDPSNYIIDEGQLMGGSHPLTPMTMVATLVDGYLAEVAPDVNLKLPKFQSLAAVIPDYARPLNDGIYCAIDIYLKAHPWLTDSEREQICSLMNCQKLSLEASTHATQNERLPLRVIVQVLFFEQLRLRTSISGWLFVSDNLKNSQNPNGNLANAGNNTRVGAVRDHLVEADDIMERVSELEKECLGMKEELEKLVKAKRGWNLFLRKFGFRSKSKSIDPKAVKSCLLIQKNQSP >KJB73734 pep chromosome:Graimondii2_0_v6:11:57312344:57313082:1 gene:B456_011G246700 transcript:KJB73734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAENLSDACIQPAINKDTLLKYLNLQAYKYNHWQHVLYWCLNARRDICRFYYESDPARSCPSCSNDMNDPATFVIPLQGLELIKASPTVQDSVS >KJB72634 pep chromosome:Graimondii2_0_v6:11:44933884:44943294:-1 gene:B456_011G188400 transcript:KJB72634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDPESDPQPEWAAPGPETGLEEPVWRLGLGGESESYPERPNKANCIYYLRTGFCGYGSKCRFNHPRDRTGVMGAASGDVGEYPERVGQPVCQYYMRKGTCKFGSSCKYHHPKQGGDSVSPVPLNYLGYPLRPGEKECSYFVKTGQCKFGATCKFHHPVPPAVEVPAPSPTSQVAPLPTPLSAPTLYHRTQSPSGPLSQQYGVVMASSPLMPGSYVQGPYGQLLISPGMVSFPSWNPYPAAVSVGTQPAVGSSSMFGVTPLSPSAPAYTRSYQPTPSSVVPSSSIQERSFPERPGQPECQYYMKTRNCKYGSSCKYHHPPEVIAPKVDVILNPLGLPICPGAPPCIHYSQHGVCKFGVACKFDHPMGTLSYSPSASSLTDMPVAPYPIGSTGGTLAPSSSSSDLRPEQLSRSNKESAPPIMSSSVSASSESVGSIFSKGGLVHHSSAQQSSQSSFGSGNNSSTTKAQASS >KJB72632 pep chromosome:Graimondii2_0_v6:11:44934415:44938184:-1 gene:B456_011G188400 transcript:KJB72632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDPESDPQPEWAAPGPETGLEEPVWRLGLGGESESYPERPNKANCIYYLRTGFCGYGSKCRFNHPRDRTGVMGAASGDVGEYPERVGQPVCQYYMRKGTCKFGSSCKYHHPKQGGDSVSPVPLNYLGYPLRPGEKECSYFVKTGQCKFGATCKFHHPVPPAVEVPAPSPTSQVAPLPTPLSAPTLYHRTQSPSGPLSQQYGVVMASSPLMPGSYVQGPYGQLLISPGMVSFPSWNPYPAAVSVGTQPAVGSSSMFGVTPLSPSAPAYTRSYQPTPSSVVPSSSIQERSFPERPGQPECQYYMKTRNCKYGSSCKYHHPPEVIAPKVDVILNPLGLPICPGAPPCIHYSQHGVCKFGVACKFDHPMGTLSYSPSASSLTDMPVAPYPIGSTGGTLAPSSSSSDLRPEQLSRSNKESAPPIMSSSVSASSESVGSIFSKGGLVHHSSAQQSSQSSFGSGNNSSTTKAQASS >KJB72635 pep chromosome:Graimondii2_0_v6:11:44936027:44943258:-1 gene:B456_011G188400 transcript:KJB72635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDPESDPQPEWAAPGPETGLEEPVWRLGLGGESESYPERPNKANCIYYLRTGFCGYGSKCRFNHPRDRTGVMGAASGDVGEYPERVGQPVCQYYMRKGTCKFGSSCKYHHPKQGGDSVSPVPLNYLGYPLRPGEKECSYFVKTGQCKFGATCKFHHPVPPAVEVPAPSPTSQVAPLPTPLSAPTLYHRTQSPSGPLSQQYGVVMASSPLMPGSYVQGPYGQLLISPGMVSFPSWNPYPAAVSVGTQPAVGSSSMFGVTPLSPSAPAYTRSYQPTPSSVVPSSSIQERSFPERPGQPECQYYMKTRNCKYGSSCKYHHPPEVIAPKVDVILNPLGLPICPVSVHKFLYKCDAFYKIGT >KJB72633 pep chromosome:Graimondii2_0_v6:11:44933884:44938695:-1 gene:B456_011G188400 transcript:KJB72633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDPESDPQPEWAAPGPETGLEEPVWRLGLGGESESYPERPNKANCIYYLRTGFCGYGSKCRFNHPRDRTGVMGAASGDVGEYPERVGQPVCQYYMRKGTCKFGSSCKYHHPKQGGDSVSPVPLNYLGYPLRPGEKECSYFVKTGQCKFGATCKFHHPVPPAVEVPAPSPTSQVAPLPTPLSAPTLYHRTQSPSGPLSQQYGVVMASSPLMPGSYVQGPYGQLLISPGMVSFPSWNPYPAAVSVGTQPAVGSSSMFGVTPLSPSAPAYTRSYQPTPSSVVPSSSIQERSFPERPGQPECQYYMKTRNCKYGSSCKYHHPPEVIAPKVDVILNPLGLPICPGAPPCIHYSQHGVCKFGVACKFDHPMGTLSYSPSASSLTDMPVAPYPIGSTGGTLAPSSSSSDLRPEQLSRSNKESAPPIMSSSVSASSESVGSIFSKGGLVHHSSAQQSSQSSFGSGNNSSTTKAQASS >KJB73661 pep chromosome:Graimondii2_0_v6:11:56921773:56923619:-1 gene:B456_011G242300 transcript:KJB73661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADVINKAFLATEEDFLALVRKQWLNDPHMASVGSCCLVGIVCSGMLYIANAGDSRVVLGRLDKTYKEVKAVPLSSEHNASVESVREELRSLHPTDPQIVVLKHTVWRVKGIIQISRSIGDAYLKSAEFNRDPLLPKFRVPEPFDKPILSAEPETLVQKLDPEDQFLIFASDGLWEHLSSQEAVDIVNTCPRNGIARKLVKAALRVASKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDFHLISQSYWQGPLVSIRGGVGVSGHGIC >KJB73662 pep chromosome:Graimondii2_0_v6:11:56921492:56925662:-1 gene:B456_011G242300 transcript:KJB73662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGSLMRMMPPCWRPSVEGEDSSRGDDANGRVDGLLWYKDLGDHVTGEFSMAVIQANNLLEDHSQLESGPLSLYESGPHGTFVGIYDGHGGPATARFINEHLFGYIKKFTTENGGMSADVINKAFLATEEDFLALVRKQWLNDPHMASVGSCCLVGIVCSGMLYIANAGDSRVVLGRLDKTYKEVKAVPLSSEHNASVESVREELRSLHPTDPQIVVLKHTVWRVKGIIQISRSIGDAYLKSAEFNRDPLLPKFRVPEPFDKPILSAEPETLVQKLDPEDQFLIFASDGLWEHLSSQEAVDIVNTCPRNGIARKLVKAALRVASKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDFHLISQSYWQGPLVSIRGGVGVSGHGIC >KJB72064 pep chromosome:Graimondii2_0_v6:11:27635005:27638642:-1 gene:B456_011G157600 transcript:KJB72064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSFAKQTLVPMKEPVSSYTPYPPPLARYEDVVASPKLFLATLEKLHATMGTKFMIPIIGGKELDLHKLFVEVTSRGGIEKIVRERRWKEVTSVFNFPSTATNASFVLRKYYVSLLHHYEQIYFFKARGWIPVPSDPLRNQLLPQTPTQGAVRPATDIHSAAVQQPRVNIAESPAVRPTSTAGTPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQAPQGAAPQVPHQYGVFAGKSDSAHASSGVQRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLHPGKDREISRMIGELWNNLTESEKTVYQDKALKDKERYRIEMEDYRERLRTGQIISDAVPLQQRLPEPDVEMTEANMRLDGAEGGDSQTLEHDSSSGGSDFEDDKTADKDLGMEESPVVGVGGESMNEDVEISGELMIEKFSIEGEENLGNALSEANKEPMHSEEH >KJB72063 pep chromosome:Graimondii2_0_v6:11:27634462:27640110:-1 gene:B456_011G157600 transcript:KJB72063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSFAKQTLVPMKEPVSSYTPYPPPLARYEDVVASPKLFLATLEKLHATMGTKFMIPIIGGKELDLHKLFVEVTSRGGIEKIVRERRWKEVTSVFNFPSTATNASFVLRKYYVSLLHHYEQIYFFKARGWIPVPSDPLRNQLLPQTPTQGAVRPATDIHSAAVQQPRVNIAESPAVRPTSTAGTPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQAPQGAAPQVPHQYGVFAGKSDSAHASSGVQRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLHPGKDREISRMIGELWNNLTESEKTVYQDKALKDKERYRIEMEDYRERLRTGQIISDAVPLQQRLPEPDVEMTEANMRLDGAEGGDSQTLEHDSSSGGSDFEDDKTADKDLGMEESPVVGVGGESMNEDVEISGELMIEKFSIEGEENLGNALSEANKEPMHSEEH >KJB72065 pep chromosome:Graimondii2_0_v6:11:27634462:27638719:-1 gene:B456_011G157600 transcript:KJB72065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSFAKQTLVPMKEPVSSYTPYPPPLARYEDVVASPKLFLATLEKLHATMGTKFMIPIIGGKELDLHKLFVEVTSRGGIEKIVRERRWKEVTSVFNFPSTATNASFVLRKYYVSLLHHYEQIYFFKARGWIPVPSDPLRNQLLPQTPTQGAVRPATDIHSAAVQQPRVNIAESPAVRPTSTAGTPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQAPQGAAPQVPHQYGVFAGKSDSAHASSGVQRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLHPGKDREISRMIGELWNNLTESEKTVYQDKALKDKERYRIEMEDYRERLRTGQIISDAVPLQQRLPEPDVEMTEANMRLDGAEGGDSQTLEHDSSSGGSDFEDDKTADKDLGMEESPVVGVGGESMNEDVEISGELMIEKFSIEGEENLGNALSEANKEPMHSEEH >KJB72851 pep chromosome:Graimondii2_0_v6:11:48675404:48681906:1 gene:B456_011G200900 transcript:KJB72851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPVPTAIFIVNFDKVRMDPRNKEVDLDSLMYSKLTPLTNEEMKQQEGDYIYRYRYNGGGASQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSPRTLPRIRSILFPGGLASVSNRATHDNFMGHLAALVATTVEHVIAPDVRFETVDLTTRLLIPIIILQNHNRYNIMVKGHNYSIDIEAIEAEVKKLVHDDQEVVIIGGSHALHHHEKLAIAVSKAMRGHSLQETKRDGRFHVHTKTYLDGAILKEEMERSADVLAAGLLEMADPSLSNKFFLRQHWMDESENSTDSVLKHKPLWASYNSKVGKDKKKKKQKKKGDLHPTYGTRVIPVFVLSLADVDPQLMMEDEGFVWTGNDVVIVLEHQSPNIPLSYVSETERRHAIPSQAQRHIVAGLASAVGGLSAPYEKASHVHERPVVNWLWAAGCHPFGPFSNTSRISQMLQDVALRNTIYARVDSALRTIRDTSEAVQSFAAEYLKTPLGEPVKGKKNKSTTELWLEKFYKKTTNLPEPFPHELVERLEKYLDNLEEQLVDLSSLLYDHRLQDAHLNSSDILQSTMFTQQYVENVLTSERERMRCCNIEFKYLMHSSQTFVYGGILLAGFFVYFIVIFFSSPPGQ >KJB72852 pep chromosome:Graimondii2_0_v6:11:48675404:48681906:1 gene:B456_011G200900 transcript:KJB72852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRSRLSSMLSYAIFLVTLSGLATLSDSTQQAFKRDPGLPHWHHSAFLEVRDSVRSDVRRTLHTRAEVPFQVPLEVNVVLIGLNGDGGYRYNVDSQKLEEFLRVSFPSHRPSCLETEEPLDIEHHVVYNTFPAGQPELIALEKALKGVMVPAGSAREPDFGREVPLFEVEATAVEPVFQKLYSYIFDIKSGGYSAKEMDRPVPTAIFIVNFDKVRMDPRNKEVDLDSLMYSKLTPLTNEEMKQQEGDYIYRYRYNGGGASQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSPRTLPRIRSILFPGGLASVSNRATHDNFMGHLAALVATTVEHVIAPDVRFETVDLTTRLLIPIIILQNHNRYNIMVKGHNYSIDIEAIEAEVKKLVHDDQEVVIIGGSHALHHHEKLAIAVSKAMRGHSLQETKRDGRFHVHTKTYLDGAILKEEMERSADVLAAGLLEMADPSLSNKFFLRQHWMDESENSTDSVLKHKPLWASYNSKVGKDKKKKKQKKKGDLHPTYGTRVIPVFVLSLADVDPQLMMEDEGFVWTGNDVVIVLEHQSPNIPLSYVSETERRHAIPSQAQRHIVAGLASAVGGLSAPYEKASHVHERPVVNWLWAAGCHPFGPFSNTSRISQMLQDVALVS >KJB72854 pep chromosome:Graimondii2_0_v6:11:48675404:48681906:1 gene:B456_011G200900 transcript:KJB72854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRSRLSSMLSYAIFLVTLSGLATLSDSTQQAFKRDPGLPHWHHSAFLEVRDSVRSDVRRTLHTRAEVPFQVPLEVNVVLIGLNGDGGYRYNVDSQKLEEFLRVSFPSHRPSCLETEEPLDIEHHVVYNTFPAGQPELIALEKALKGVMVPAGSAREPDFGREVPLFEVEATAVEPVFQKLYSYIFDIKSGGYSAKEMDRPVPTAIFIVNFDKVRMDPRNKEVDLDSLMYSKLTPLTNEEMKQQEGDYIYRYRYNGGGASQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSPRTLPRIRSILFPGGLASVSNRATHDNFMGHLAALVATTVEHVIAPDVRFETVDLTTRLLIPIIILQNHNRYNIMVKGHNYSIDIEAIEAEVKKLVHDDQEVVIIGGSHALHHHEKLAIAVSKAMRGHSLQETKRDGRFHVHTKTYLDGAILKEEMERSADVLAAGLLEMADPSLSNKFFLRQQHWMDESENSTDSVLKHKPLWASYNSKVGKDKKKKKQKKKGDLHPTYGTRVIPVFVLSLADVDPQLMMEDEGFVWTGNDVVIVLEHQSPNIPLSYVSETERRHAIPSQAQRHIVAGLASAVGGLSAPYEKASHVHERPVVNWLWAAGCHPFGPFSNTSRISQMLQDVALRNTIYARVDSALRTIRDTSEAVQSFAAEYLKTPLGEPVKGKKNKSTTELWLEKFYKKTTNLPEPFPHELVERLEKYLDNLEEQLVDLSSLLYDHRLQDAHLNSSDILQSTMFTQQYVENVLTSERERMRCCNIEFKYLMHSSQTFVYGGILLAGFFVYFIVIFFSSPPGQ >KJB72853 pep chromosome:Graimondii2_0_v6:11:48675404:48681906:1 gene:B456_011G200900 transcript:KJB72853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRSRLSSMLSYAIFLVTLSGLATLSDSTQQAFKRDPGLPHWHHSAFLEVRDSVRSDVRRTLHTRAEVPFQVPLEVNVVLIGLNGDGGYRYNVDSQKLEEFLRVSFPSHRPSCLETEEPLDIEHHVVYNTFPAGQPELIALEKALKGVMVPAGSAREPDFGREVPLFEVEATAVEPVFQKLYSYIFDIKSGGYSAKEMDRPVPTAIFIVNFDKVRMDPRNKEVDLDSLMYSKLTPLTNEEMKQQEGDYIYRYRYNGGGASQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSPRTLPRIRSILFPGGLASVSNRATHDNFMGHLAALVATTVEHVIAPDVRFETVDLTTRLLIPIIILQNHNRYNIMVKGHNYSIDIEAIEAEVKKLVHDDQEVVIIGGSHALHHHEKLAIAVSKAMRGHSLQETKRDGRFHVHTKTYLDGAILKEEMERSADVLAAGLLEMADPSLSNKFFLRQHWMDESENSTDSVLKHKPLWASYNSKVGKDKKKKKQKKKGDLHPTYGTRVIPVFVLSLADVDPQLMMEDEGFVWTGNDVVIVLEHQSPNIPLSYVSETERRHAIPSQAQRHIVAGLASAVGGLSAPYEKASHVHERPVVNWLWAAGCHPFGPFSNTSRISQMLQDVALRNTIYARVDSALRTIRDTSEAVQSFAAEYLKTPLGEPVKGKKNKSTTELWLEKFYKKTTNLPEPFPHELVERLEKYLDNLEEQLVDLSSLLYDHRLQDAHLNSSDILQSTMFTQQ >KJB72855 pep chromosome:Graimondii2_0_v6:11:48675404:48682051:1 gene:B456_011G200900 transcript:KJB72855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRSRLSSMLSYAIFLVTLSGLATLSDSTQQAFKRDPGLPHWHHSAFLEVRDSVRSDVRRTLHTRAEVPFQVPLEVNVVLIGLNGDGGYRYNVDSQKLEEFLRVSFPSHRPSCLETEEPLDIEHHVVYNTFPAGQPELIALEKALKGVMVPAGSAREPDFGREVPLFEVEATAVEPVFQKLYSYIFDIKSGGYSAKEMDRPVPTAIFIVNFDKVRMDPRNKEVDLDSLMYSKLTPLTNEEMKQQEGDYIYRYRYNGGGASQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSPRTLPRIRSILFPGGLASVSNRATHDNFMGHLAALVATTVEHVIAPDVRFETVDLTTRLLIPIIILQNHNRYNIMVKGHNYSIDIEAIEAEVKKLVHDDQEVVIIGGSHALHHHEKLAIAVSKAMRGHSLQETKRDGRFHVHTKTYLDGAILKEEMERSADVLAAGLLEMADPSLSNKFFLRQHWMDESENSTDSVLKHKPLWASYNSKVGKDKKKKKQKKKGDLHPTYGTRVIPVFVLSLADVDPQLMMEDEGFVWTGNDVVIVLEHQSPNIPLSYVSETERRHAIPSQAQRHIVAGLASAVGGLSAPYEKASHVHERPVVNWLWAAGCHPFGPFSNTSRISQMLQDVALRNTIYARVDSALRTIRDTSEAVQSFAAEYLKTPLGEPVKGKKNKSTTELWLEKFYKKTTNLPEPFPHELVERLEKYLDNLEEQLVDLSSLLYDHRLQDAHLNSSDILQSTMFTQQYVENVLTSERERMRCCNIEFKYLMHSSQTFVYGGILLAGFFVYFIVIFFSSPPGQ >KJB72850 pep chromosome:Graimondii2_0_v6:11:48675404:48679716:1 gene:B456_011G200900 transcript:KJB72850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRSRLSSMLSYAIFLVTLSGLATLSDSTQQAFKRDPGLPHWHHSAFLEVRDSVRSDVRRTLHTRAEVPFQVPLEVNVVLIGLNGDGGYRYNVDSQKLEEFLRVSFPSHRPSCLETEEPLDIEHHVVYNTFPAGQPELIALEKALKGVMVPAGSAREPDFGREVPLFEVEATAVEPVFQKLYSYIFDIKSGGYSAKEMDRPVPTAIFIVNFDKVRMDPRNKEVDLDSLMYSKLTPLTNEEMKQQEGDYIYRYRYNGGGASQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSPRTLPRIRSILFPGGLASVSNRATHDNFMGHLAALVATTVEHVIAPDVRFETVDLTTRLLIPIIILQNHNRYNIMVKGHNYSIDIEAIEAEVKKLVHDDQEVVIIGGSHALHHHEKLAIAVSKAMRGHSLQETKRDGRFHVHTKTYLDGAILKEEMERSADVLAAGLLEMADPSLSNKFFLRQHWMDESENSTDSVLKHKPLWASYNSKVGKDKKKKKQKKKGDLHPTYGTRVIPV >KJB70245 pep chromosome:Graimondii2_0_v6:11:16844176:16845064:-1 gene:B456_011G121500 transcript:KJB70245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELERAKKYLEKGVDGNADGNEGMFENFMMQGLGLLLDSIERGRVLCSMKVPVRLLNPGNTFHGGATTSLVDLIGSAVILTYGLTSTGVSVEITLSLLDAAFANEEIEIEGRALRIGKTVAVVNVEFRKKSTAKIFAQARHTKYLHLPPPPPVQTKM >KJB74130 pep chromosome:Graimondii2_0_v6:11:60677995:60680258:1 gene:B456_011G274600 transcript:KJB74130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELMDDAEFWLPAKFLTDDDVLMKKGNLENEIGGKMGTELLVSSHGFPTEFPYEFDSFSPLSSPVESVVGSTETESSDEDEFLAGLTRRLAYSTSQKLTVPATFSMDKNEKNGALASSPRSTLSGLGGCWSSSSNGSPNGPSQVPSPPTTPFGPQNDTWDLIYAAAGQVARLKMSNEVPKYTNFNHGRTLSKPQNHSFMKNNTTTNSLYSDQTLPFNQVQQQHQQQQQHQHQHQIQTRLRNNVVGGRPLGLPQSSWPPLQVQPQQQKQAQNGMRAMFLGGSSGVKRESTGTGVFIPRRYGNTTNTTTHEPRKKSGCSTVLLPAKVVQALNLNFDDTNNHVQPQFNPTFASNYDALVARRNALLTQARRNYRADGSLNLPQEWTY >KJB74131 pep chromosome:Graimondii2_0_v6:11:60677995:60680258:1 gene:B456_011G274600 transcript:KJB74131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELMDDAEFWLPAKFLTDDDVLMKKGNLENEIGGKMGTELLVSSHGFPTEFPYEFDSFSPLSSPVESVVGSTETESSDEDEFLAGLTRRLAYSTSQKLTVPATFSMDKNEKNGALASSPRSTLSGLGGCWSSSSNGSPNGPSQVPSPPTTPFGPQNDTWDLIYAAAGQVARLKMSNEVPKYTNFNHGRTLSKPQNHSFMKNNTTTNSLYSDQTLPFNQFNGRQVKASNWHAQVQQQHQQQQQHQHQHQIQTRLRNNVVGGRPLGLPQSSWPPLQVQPQQQKQAQNGMRAMFLGGSSGVKRESTGTGVFIPRRYGNTTNTTTHEPRKKSGCSTVLLPAKVVQALNLNFDDTNNHVQPQFNPTFASNYDALVARRNALLTQARRNYRADGSLNLPQEWTY >KJB74132 pep chromosome:Graimondii2_0_v6:11:60678037:60680191:1 gene:B456_011G274600 transcript:KJB74132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELMDDAEFWLPAKFLTDDDVLMKKGNLENEIGGKMGTELLVSSHGFPTEFPYEFDSFSPLSSPVESVVGSTETESSDEDEFLAGLTRRLAYSTSQKLTVPATFSMDKNEKNGALASSPRSTLSGLGGCWSSSSNGSPNGPSQVPSPPTTPFGPQNDTWDLIYAAAGQVARLKMSNEVPKYTNFNHGRTLSKPQNHSFMKNNTTTNSLYSDQTLPFNQFNGRQVKASNWHAQVQQQHQQQQQHQHQHQIQTRLRNNVVGGRPLGLPQSSWPPLQVQPQQQKQAQNGMRAMFLGGSSGVKRESTGTGVFIPRRYGNTTNTTTHEPRKKSGTKNIN >KJB74201 pep chromosome:Graimondii2_0_v6:11:61121015:61123681:-1 gene:B456_011G279100 transcript:KJB74201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIGTQQKCKACEKTVYPVELVSVDGVPFHKSCFKCSHCKGTLKWGNYSSMEGVLYCKPHFEQLFKEAGNYNKNFQSPAKSAEKLTQLTRSPSKAAGMFSGTQDKCATCGKTAYPLEKVTVEGQSYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRTAASVPEA >KJB74202 pep chromosome:Graimondii2_0_v6:11:61120923:61124168:-1 gene:B456_011G279100 transcript:KJB74202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIGTQQKCKACEKTVYPVELVSVDGVPFHKSCFKCSHCKGTLKWGNYSSMEGVLYCKPHFEQLFKEAGNYNKNFQSPAKSAEKLTQLTRSPSKAAGMFSGTQDKCATCGKTAYPLEKVTVEGQSYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRTAASVPEA >KJB73348 pep chromosome:Graimondii2_0_v6:11:54221431:54225684:1 gene:B456_011G228800 transcript:KJB73348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSSSSLSSPIVYALTLFVSLSFLLLFAPQILPLGKHRQVPISAQDELDDLHLFREAITAASSRGGSSKVSHLGTINPKPKIAFLFLTNSDLVFAPLWERFFESNDGLFNVYIHADPSTKLNPLQWSIKANFIASRRTARASPTLISAARRLLANAIIDDPFNLYFALVSQHCIPLHSFKYVYTSLLGNPSSATKAFLTPRSHQSYIEILSDAPYLHNRYVARGDGMMVPEIPYDEFRVGSQFFVLAKRHALLVLKERKLWKKFKLPCLDLESCYPEEHYFPTLLSMEDPKGSSHYTLTRVNWTDSVDGHPHTYHSLEISPELIHTLRESNSSYSYFFARKFSPDCLKPLMGIAKDVIFRD >KJB73347 pep chromosome:Graimondii2_0_v6:11:54221632:54224231:1 gene:B456_011G228800 transcript:KJB73347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSSSSLSSPIVYALTLFVSLSFLLLFAPQILPLGKHRQVPISAQDELDDLHLFREAITAASSRGGSSKVSHLGTINPKPKIAFLFLTNSDLVFAPLWERFFESNDGLFNVYIHADPSTKLNPLQWSIKANFIASRRTARASPTLISAARRLLANAIIDDPFNLYFALVSQHCIPLHSFKYVYTSLLGNPSSATKAFLTPRSHQSYIEILSDAPYLHNRYVARGDGMMVPEIPYDEFRVGSQFFVLAKRHALLVLKERKLWKKFKLPCLDLESCYPEEHYFPTLLSMEDPKGSSHYTLTRVNWTDSVDGHPHTYHSLEISPELIHTLRESNSSYSYFFARKFSPDCLKPLMGIAKDVIFRD >KJB73345 pep chromosome:Graimondii2_0_v6:11:54221431:54224307:1 gene:B456_011G228800 transcript:KJB73345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSSSSLSSPIVYALTLFVSLSFLLLFAPQILPLGKHRQVPISAQDELDDLHLFREAITAASSRGGSSKVSHLGTINPKPKIAFLFLTNSDLVFAPLWERFFESNDGLFNVYIHADPSTKLNPLQWSIKANFIASRRTARASPTLISAARRLLANAIIDDPFNLYFALVSQHCIPLHSFKYVYTSLLGNPSSATKAFLTPRSHQSYIEILSDAPYLHNRYVARGDGMMVPEIPYDEFRVGSQFFVLAKRHALLVLKERKLWKKFKLPCLDLESCYPEEHYFPTLLSMEDPKGSSHYTLTRVNWTDSVDGHPHTYHSLEISPELIHTLRESNSSYSYFFARKFSPDCLKPLMGIAKDVIFRD >KJB73346 pep chromosome:Graimondii2_0_v6:11:54221632:54224231:1 gene:B456_011G228800 transcript:KJB73346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSSSSLSSPIVYALTLFVSLSFLLLFAPQILPLGKHRQVPISAQDELDDLHLFREAITAASSRGGSSKVSHLGTINPKPKIAFLFLTNSDLVFAPLWERFFESNDGLFNVYIHADPSTKLNPLQWSIKANFIASRRTARASPTLISAARRLLANAIIDDPFNLYFALVSQHCIPLHSFKYVYTSLLGNPSSATKAFLTPRSHQSYIEILSDAPYLHNRYVARGDGMMVPEIPYDEFRVGSQFFVLAKRHALLVLKERKLWKKFKLPCLDLESCYPEEHYFPTLLSMEDPKGSSHYTLTRVNWTDSVDGHPHTYHSLEISPELIHTLRESNSSYSYFFARKFSPDCLKPLMGIAKDVIFRD >KJB73349 pep chromosome:Graimondii2_0_v6:11:54221431:54225684:1 gene:B456_011G228800 transcript:KJB73349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSSSSLSSPIVYALTLFVSLSFLLLFAPQILPLGKHRQVPISAQDELDDLHLFREAITAASSRGGSSKVSHLGTINPKPKIAFLFLTNSDLVFAPLWERFFESNDGLFNVYIHADPSTKLNPLQWSIKANFIASRRTARASPTLISAARRLLANAIIDDPFNLYFALVSQHCIPLHSFKYVYTSLLGNPSSATKAFLTPRSHQSYIEILSDAPYLHNRYVARGDGMMVPEIPYDEFRVGSQFFVLAKRHALLVLKERKLWKKFKLPCLDLESCYPEEHYFPTLLSMEDPKGSSHYTLTRVNWTDSVDGHPHTYHSLEISPELIHTLRESNSSYSYFFARKFSPDCLKPLMGIAKDVIFRD >KJB70921 pep chromosome:Graimondii2_0_v6:11:10401637:10407160:-1 gene:B456_011G095700 transcript:KJB70921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDGIRREVQTMSLIDHPNLLPAHCSFTAGHCLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILIDSNGAVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSLGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPASEKLLKHHFFKHARSYDYLVHTILDGLAPLGERLLKTKEADLLVQNKALYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQYYDDVSNAEDQDGSMKQMERSDVEGLSEERMSPETVTTSIAAASQEDRLGLHDLEGSLASFPIQPLQALKGCFDIGEDDKGANGSNGKGFTGSESEQLIIKSSRAMDQNAGKNEGEDSRQSSSLPRQAIPEYKTFSGSLMPDNTFSSRKVTGDEDRNFPQLKFQSDRNYSGPLYRQRRETNNTDNASESAVVQRGRFKVTSADLSSKGATNCIFSPSTGGPSPNVTASAILSSLQYILQQNTVQREELIRLIKYLEQTSGKLGDLTEVGTNELLQLEQHSMGLLVCALPC >KJB70919 pep chromosome:Graimondii2_0_v6:11:10401171:10407160:-1 gene:B456_011G095700 transcript:KJB70919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDGIRREVQTMSLIDHPNLLPAHCSFTAGHCLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILIDSNGAVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSLGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPASEKLLKHHFFKHARSYDYLVHTILDGLAPLGERLLKTKEADLLVQNKALYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQYYDDVSNAEDQDGSMKQMERSDVEGLSEERMSPETVTTSIAAASQEDRLGLHDLEGSLASFPIQPLQALKGCFDIGEDDKGANGSNGKGFTGSESEQLIIKSSRAMDQNAGKNEGEDSRQSSSLPRQAIPEYKTFSGSLMPDNTFSSRKVTGDEDRNFPQLKFQSDRNYSGPLYRQRRETNNTDNASESAVVQRGRFKVTSADLSSKGATNCIFSPSTGGPSPNVTASAILSSLQYILQQNTVQREELIRLIKYLEQTSGKLGDLTEVGTNELLQIPPSSARERELQSLLFQLQQRIGDLAGELQNQKVKNLQLEKQLHALGNNKV >KJB70918 pep chromosome:Graimondii2_0_v6:11:10401171:10407160:-1 gene:B456_011G095700 transcript:KJB70918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDGIRREVQTMSLIDHPNLLPAHCSFTAGHCLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILIDSNGAVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSLGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPASEKLLKHHFFKHARSYDYLVHTILDGLAPLGERLLKTKEADLLVQNKALYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQYYDDVSNAEDQDGSMKQMERSDVEGLSEERMSPETVTTSIAAASQEDRLGLHDLEGSLASFPIQPLQALKGCFDIGEDDKGANGSNGKGFTGSESEQLIIKSSRAMDQNAGKNEGEDSRQSSSLPRQAIPEYKTFSGSLMPDNTFSSRKVTGDEDRNFPQLKFQSDRNYSGPLYRQRRETNNTDNASESAVVQRGRFKVTSADLSSKGATNCIFSPSTGGPSPNVTASAILSSLQYILQQNTVQREELIRLIKYLEQTSGKLGDLTEVGTNELLQIPPSSARERELQSLLFQLQQRIGDLAGELQNQKVKNLQLEKQLHALGNNKV >KJB70915 pep chromosome:Graimondii2_0_v6:11:10400141:10408705:-1 gene:B456_011G095700 transcript:KJB70915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPLEKRYPVSAQDYKLYEEVGDGVSTTVFRALCIPLNEIVAIKVLDLEKCNNDQDGIRREVQTMSLIDHPNLLPAHCSFTAGHCLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILIDSNGAVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSLGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPASEKLLKHHFFKHARSYDYLVHTILDGLAPLGERLLKTKEADLLVQNKALYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQYYDDVSNAEDQDGSMKQMERSDVEGLSEERMSPETVTTSIAAASQEDRLGLHDLEGSLASFPIQPLQALKGCFDIGEDDKGANGSNGKGFTGSESEQLIIKSSRAMDQNAGKNEGEDSRQSSSLPRQAIPEYKTFSGSLMPDNTFSSRKVTGDEDRNFPQLKFQSDRNYSGPLYRQRRETNNTDNASESAVVQRGRFKVTSADLSSKGATNCIFSPSTGGPSPNVTASAILSSLQYILQQNTVQREELIRLIKYLEQTSGKLGDLTEVGTNELLQIPPSSARERELQSLLFQLQQRIGDLAGELQNQKVKNLQLEKQLHALGNNKV >KJB70923 pep chromosome:Graimondii2_0_v6:11:10403502:10407844:-1 gene:B456_011G095700 transcript:KJB70923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDGIRREVQTMSLIDHPNLLPAHCSFTAGHCLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILIDSNGAVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSLGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPASEKLLKHHFFKHARSYDYLVHTILDGLAPLGERLLKTKEADLLVQNKALYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQYYDDVSNAEDQDGSMKQMERSDVEGLSEERMSPETVTTSIAAASQEDRLGLHDLEGSLASFPIQPLQALKGCFDIGEDDKGANGSNGKGFTGSESEQLIIKSSRAMDQNAGKNEGEDSRQSSSLPRQAIPEYKTFSGSLMPDNTFSSRKVTGDEDR >KJB70916 pep chromosome:Graimondii2_0_v6:11:10400484:10407844:-1 gene:B456_011G095700 transcript:KJB70916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPLEKRYPVSAQDYKLYEEVGDGVSTTVFRALCIPLNEIVAIKVLDLEKCNNDQDGIRREVQTMSLIDHPNLLPAHCSFTAGHCLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILIDSNGAVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSLGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPASEKLLKHHFFKHARSYDYLVHTILDGLAPLGERLLKTKEADLLVQNKALYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQYYDDVSNAEDQDGSMKQMERSDVEGLSEERMSPETVTTSIAAASQEDRLGLHDLEGSLASFPIQPLQALKGCFDIGEDDKGANGSNGKGFTGSESEQLIIKSSRAMDQNAGKNEGEDSRQSSSLPRQAIPEYKTFSGSLMPDNTFSSRKVTGDEDRNFPQLKFQSDRNYSGPLYRQRRETNNTDNASESAVVQRGRFKVTSADLSSKGATNCIFSPSTGGPSPNVTASAILSSLQYILQQNTVQREELIRLIKYLEQTSGKLGDLTEVGTNELLQIPPSSARERELQSLLFQLQQRIGDLAGELQNQKVKNLQLEKQLHALGNNKV >KJB70917 pep chromosome:Graimondii2_0_v6:11:10400484:10406273:-1 gene:B456_011G095700 transcript:KJB70917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEVMQQLHGYDFKADIWSLGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPASEKLLKHHFFKHARSYDYLVHTILDGLAPLGERLLKTKEADLLVQNKALYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQYYDDVSNAEDQDGSMKQMERSDVEGLSEERMSPETVTTSIAAASQEDRLGLHDLEGSLASFPIQPLQALKGCFDIGEDDKGANGSNGKGFTGSESEQLIIKSSRAMDQNAGKNEGEDSRQSSSLPRQAIPEYKTFSGSLMPDNTFSSRKVTGDEDRNFPQLKFQSDRNYSGPLYRQRRETNNTDNASESAVVQRGRFKVTSADLSSKGATNCIFSPSTGGPSPNVTASAILSSLQYILQQNTVQREELIRLIKYLEQTSGKLGDLTEVGTNELLQIPPSSARERELQSLLFQLQQRIGDLAGELQNQKVKNLQLEKQLHALGNNKV >KJB70922 pep chromosome:Graimondii2_0_v6:11:10400760:10408705:-1 gene:B456_011G095700 transcript:KJB70922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPLEKRYPVSAQDYKLYEEVGDGVSTTVFRALCIPLNEIVAIKVLDLEKCNNDQDGIRREVQTMSLIDHPNLLPAHCSFTAGHCLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILIDSNGAVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSLGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPASEKLLKHHFFKHARSYDYLVHTILDGLAPLGERLLKTKEADLLVQNKALYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQYYDDVSNAEDQDGSMKQMERSDVEGLSEERMSPETVTTSIAAASQEDRLGLHDLEGSLASFPIQPLQALKGCFDIGEDDKGANGSNGKGFTGSESEQLIIKSSRAMDQNAGKNEGEDSRQSSSLPRQAIPEYKTFSGSLMPDNTFSSRKVTGDEDRNFPQLKFQSDRNYSGPLYRQRRETNNTDNASESAVVQRGRFKVTSADLSSKGATNCIFSPSTGGPSPNVTASAILSSLQYILQQNTVQREELIRLIKYLEQTSGKLGDLTEVGTNELLQIPPSSARERELQSLLFQLQQRIGDLAGELQNQKVKNLQLEKQLHALGNNKV >KJB70920 pep chromosome:Graimondii2_0_v6:11:10401762:10407160:-1 gene:B456_011G095700 transcript:KJB70920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDGIRREVQTMSLIDHPNLLPAHCSFTAGHCLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILIDSNGAVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSLGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPASEKLLKHHFFKHARSYDYLVHTILDGLAPLGERLLKTKEADLLVQNKALYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQYYDDVSNAEDQDGSMKQMERSDVEGLSEERMSPETVTTSIAAASQEDRLGLHDLEGSLASFPIQPLQALKGCFDIGEDDKGANGSNGKGFTGSESEQLIIKSSRAMDQNAGKNEGEDSRQSSSLPRQAIPEYKTFSGSLMPDNTFSSRKVTGDEDRNFPQLKFQSDRNYSGPLYRQRRETNNTDNASESAVVQRGRFKVTSADLSSKGATNCIFSPSTGGPSPNVTASAILSSLQYILQQNTVQREELIRLIKYLEQTSGKLGDLTEVGTNELLQVIIQP >KJB68888 pep chromosome:Graimondii2_0_v6:11:43450803:43452215:-1 gene:B456_011G182300 transcript:KJB68888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTVAKVTVVGSGISGSVCAATLARNGISVTLFDSARVPGGRMSQRREISEDGRELLFDHGAPYFTVTNPDVLSVVTEWESRGLVAEWKSNFGSFDCFTNKIVNTEHQA >KJB68891 pep chromosome:Graimondii2_0_v6:11:43449955:43452275:-1 gene:B456_011G182300 transcript:KJB68891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRREISEDGRELLFDHGAPYFTVTNPDVLSVVTEWESRGLVAEWKSNFGSFDCFTNKIVNTEHQVLVTIIILFVLHFFLLHLMVLLFVYLHGFILIIS >KJB68889 pep chromosome:Graimondii2_0_v6:11:43450989:43452215:-1 gene:B456_011G182300 transcript:KJB68889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTVAKVTVVGSGISGSVCAATLARNGISVTLFDSARVPGGRMSQRREISEDGRELLFDHGAPYFTVTNPDVLSVVTEWESRGLVAEWKSNFGSFDCFTNKIVNTEHQFSV >KJB68890 pep chromosome:Graimondii2_0_v6:11:43450486:43452215:-1 gene:B456_011G182300 transcript:KJB68890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTVAKVTVVGSGISGSVCAATLARNGISVTLFDSARVPGGRMSQRREISEDGRELLFDHGAPYFTVTNPDVLSVVTEWESRGLVAEWKSNFGSFDCFTNKIVNTEHQILVLSLRALVTK >KJB68887 pep chromosome:Graimondii2_0_v6:11:43449938:43452730:-1 gene:B456_011G182300 transcript:KJB68887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRREISEDGRELLFDHGAPYFTVTNPDVLSVVTEWESRGLVAEWKSNFGSFDCFTNKIVNTEHQILVLSLRALVTK >KJB73916 pep chromosome:Graimondii2_0_v6:11:59158100:59161350:-1 gene:B456_011G260700 transcript:KJB73916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLKKREAKDKSFSYEVLIVDDGSRDGTKRVAFDFVKKYGVDKVRAILLGKNHGKGEAIRKGMLHSRGELLLMLDADGATKVTDLEKLENQIHAVARKEHRGDSAACDTTFKISDIPIVAFGSRAHLEEKAIATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRSAARKLFTNIRLKRWCFDVELVFLCKWFRIPVLEVSVNWSEIPGSKVNLLSIPNMLWELALMSVGYRTQMWKINS >KJB73915 pep chromosome:Graimondii2_0_v6:11:59158047:59161350:-1 gene:B456_011G260700 transcript:KJB73915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEFLFTILAALISIVALGLVSVIVFEAYRRSLNNAHVDAPAIFEDPKSLKQVPCPDIFDPAKKYLSLIIPAFNEEHRLPGALNETMNYLKKREAKDKSFSYEVLIVDDGSRDGTKRVAFDFVKKYGVDKVRAILLGKNHGKGEAIRKGMLHSRGELLLMLDADGATKVTDLEKLENQIHAVARKEHRGDSAACDTTFKISDIPIVAFGSRAHLEEKAIATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRSAARKLFTNIRLKRWCFDVELVFLCKWFRIPVLEVSVNWSEIPGSKVNLLSIPNMLWELALMSVGYRTQMWKINS >KJB73917 pep chromosome:Graimondii2_0_v6:11:59158134:59161333:-1 gene:B456_011G260700 transcript:KJB73917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEFLFTILAALISIVALGLVSVIVFEAYRRSLNNAHVDAPAIFEDPKSLKQVPCPDIFDPAKKYLSLIIPAFNEEHRLPGALNETMNYLKKREAKDKSFSYEVLIVDDGSRDGTKRVAFDFVKKYGVDKVRAILLGKNHGKGEAIRKGMLHSRGELLLMLDADGATKVTDLEKLENQIHAVARKEHRGDSAACDTTFKISDIPIVAFGSRAHLEEKAIATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRSAARKLFTNIRLKRWCFDVELVFLCKWFRIPVLEVSVNWSEIPGSKNSNVEN >KJB73034 pep chromosome:Graimondii2_0_v6:11:50681408:50683860:-1 gene:B456_011G210600 transcript:KJB73034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDPQNSPMEGFPATPKIREVRPESGFENFGFCTDPCRVRGGEPNSGIRRVSLRAEIDTSPPFGSVKEAVTRFGGNGLWVPLNKFGEYHGIEEFDLKKVEEQAAELEKDLIVKELETLDVLEELGTTKKIVEELKRQLQSEAMKCMNSTSPGLDSDDIKEMNKEYYGQVRIGYSKPCSISSPDSILMELKQAKLNLGKTINDLGVIQASVERLNKKMKKEKSLLEMTRERLTYKFAGLALKPQVGNNGKPEQFKQMVDPTMNEVPRSMPLPGNEQNKPCIRTAEMRWIAAKKMEEAAMAAKALAVIEMKGLSSNENSSGFSLPEPEPSPRTPKVQRAEEVSSREAIHAMNKLAEANISKLTILRKLEEASEEVKHSKEALEEALNRVELANRKQLDAEEALRRMIPDQEQKKQVVYNATKINNFPLPHPHPHQHQHIPRSPLHDLNNQNPTVDDGANPALRPTVSMGDILSKKQVPTKGQTERQKVALSQMLHELREEHLAFSPKPGQKDDQKQYLNQRRKFGFIHISLPLPKQNKKKPQAVNTM >KJB74413 pep chromosome:Graimondii2_0_v6:11:62428355:62430750:1 gene:B456_011G292900 transcript:KJB74413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNALSLLYTHFCKPTTTAGDAGSFGSHGGVSALAHDIFQFELTSQVPEGLNNHVVSSKKAQANWYRKLLDAWSEAKPPPKTSEEASKFVIDNLKKHQKADVEGLLAFYGLPLPQTLGQPSANSETSLPQGVKFELRTLPVDVKAIPDGDTITVYVSTTEPWESSNIPKDVRVAAAQRSKACADKNYTKADALQKKITISGYRVLNVRNQEILARKYRIRLR >KJB74414 pep chromosome:Graimondii2_0_v6:11:62428355:62430750:1 gene:B456_011G292900 transcript:KJB74414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSLNSLHRYRKLLDAWSEAKPPPKTSEEASKFVIDNLKKHQKADVEGLLAFYGLPLPQTLGQPSANSETSLPQGVKFELRTLPVDVKAIPDGDTITVYVSTTEPWESSNIPKDVRVAAAQRSKACADKNYTKADALQKKITISGYRVLNVRNQEILARKYRIRLRGIDAPESSMPYGKEAKEELVKLVGGKCLRVLVYGEDRYGRCVGDIYCNGKFVQEIMLKKGLAWHYSAYDQRIELATWEKEARAKRVGLWALPNPEKPWEWRKDKRQGR >KJB74412 pep chromosome:Graimondii2_0_v6:11:62428026:62430854:1 gene:B456_011G292900 transcript:KJB74412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLTPSKPYNFLSCFLFSSFILPLILGFCCLPREDLKMGNALSLLYTHFCKPTTTAGDAGSFGSHGGVSALAHDIFQFELTSQVPEGLNNHVVSSKKAQANWYRKLLDAWSEAKPPPKTSEEASKFVIDNLKKHQKADVEGLLAFYGLPLPQTLGQPSANSETSLPQGVKFELRTLPVDVKAIPDGDTITVYVSTTEPWESSNIPKDVRVAAAQRSKACADKNYTKADALQKKITISGYRVLNVRNQEILARKYRIRLRGIDAPESSMPYGKEAKEELVKLVGGKCLRVLVYGEDRYGRCVGDIYCNGKFVQEIMLKKGLAWHYSAYDQRIELATWEKEARAKRVGLWALPNPEKPWEWRKDKRQGR >KJB73147 pep chromosome:Graimondii2_0_v6:11:52409538:52413060:-1 gene:B456_011G217800 transcript:KJB73147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFSIPFKLIFFFLFFASSHAFSSKTIPSREAEALLKWKASLPRNTQTLLSSLWGRSNHCNWTGITCNNAGSITKVILGEFELKLRGTLHNLNFFYFPNLIWLQLRNNSLYGSIPSNIGNLSNLNFLDLSYNNFSGNIPLEIGLLKSLSLISLGDNSLSGSIPREIGKLSTISYIYFTRNNLTGPIPIEILNLTKLHDLDLSQNNISGSIPSDIGRLSSLSILFLNQNHLTGTIPISIGDLQNLSQFVLVDNRLNGSIPKEIGMVTSLRLLDLSNNHLTGPIPTCIGNLSNMKWLYLWSNNLSGSIPNEIGMLKSLLAFQLAYNNLTGVIPHSIGNLTNLDNLVLNFNGLSGSIPSEIGMLKSLFDLQLSDNNLTGLIPDSIGNLTNLGNLVLHFNGLSGSIPTSMGNLNKLSILEICENSLSGLVPQTLNNLTHLRILDLSHNHLRGSLPENVCLGGLLTRIAAIKNNLTGLIPSSLRNCTSLYRVRLEGNHLTGNISEAFGIYPNLNFISLSNNNIFGELSSNWGQCHNLTSLKITNNNISGKIPFQLGQATQLQELDLSSNHLNGELPKELGKLTMLSRLYLSDNQFSGKIPLEIGLLSKLEQLDLALNNLSGPIPDDLGNCFKLFNLNLSKNNLEENIPSSISYINALQSLDLSQNSLIGGIPQQFGKLQSLEVLNLSHNMLNGSIPEAFNNLRGLRFVNISFNQFEGPIPNLKAFHEASFDALRNNKGLCGNATGLMACVPSFLANHGHGKRTKVIISVMLPLFGGLLLLFLLVGSFFTFYKKTQTKESEPREEQQGDIFTVLGFNGRVLHDNIIEATEDFSSDYCIGSGGYGSVYKAALPTGQVVAVKKLYRSEDNIHINNLKAFESEVMALLEIRHRNIVQMYGFCSHPKHSFLVYELAERGSLRMVLSNNEQAKELDWKKRVNVVKGLANALSYMHHGHSQPIVHRDISSNNVLLDLDYEARVSDFGTARILKPDSSNWTSLAGTYGYIAPELAYTMRVDEKCDVYSFGVLTMEVCMGRHAGDLISYFSSLESTSSLMSNDQQVLLKDTIDQRLSPPVGQSAKDLVSTMKIAVTCLNGNPQLRPTMQQVSQALGRQSLPLPSPFNSIQLEEVLGEVVCNG >KJB73148 pep chromosome:Graimondii2_0_v6:11:52409189:52413060:-1 gene:B456_011G217800 transcript:KJB73148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFSIPFKLIFFFLFFASSHAFSSKTIPSREAEALLKWKASLPRNTQTLLSSLWGRSNHCNWTGITCNNAGSITKVILGEFELKLRGTLHNLNFFYFPNLIWLQLRNNSLYGSIPSNIGNLSNLNFLDLSYNNFSGNIPLEIGLLKSLSLISLGDNSLSGSIPREIGKLSTISYIYFTRNNLTGPIPIEILNLTKLHDLDLSQNNISGSIPSDIGRLSSLSILFLNQNHLTGTIPISIGDLQNLSQFVLVDNRLNGSIPKEIGMVTSLRLLDLSNNHLTGPIPTCIGNLSNMKWLYLWSNNLSGSIPNEIGMLKSLLAFQLAYNNLTGVIPHSIGNLTNLDNLVLNFNGLSGSIPSEIGMLKSLFDLQLSDNNLTGLIPDSIGNLTNLGNLVLHFNGLSGSIPTSMGNLNKLSILEICENSLSGLVPQTLNNLTHLRILDLSHNHLRGSLPENVCLGGLLTRIAAIKNNLTGLIPSSLRNCTSLYRVRLEGNHLTGNISEAFGIYPNLNFISLSNNNIFGELSSNWGQCHNLTSLKITNNNISGKIPFQLGQATQLQELDLSSNHLNGELPKELGKLTMLSRLYLSDNQFSGKIPLEIGLLSKLEQLDLALNNLSGPIPDDLGNCFKLFNLNLSKNNLEENIPSSISYINALQSLDLSQNSLIGGIPQQFGKLQSLEVLNLSHNMLNGSIPEAFNNLRGLRFVNISFNQFEGPIPNLKAFHEASFDALRNNKGLCGNATGLMACVPSFLANHGHGKRTKVIISVMLPLFGGLLLLFLLVGSFFTFYKKTQTKESEPREEQQGDIFTVLGFNGRVLHDNIIEATEDFSSDYCIGSGGYGSVYKAALPTGQVVAVKKLYRSEDNIHINNLKAFESEVMALLEIRHRNIVQMYGFCSHPKHSFLVYELAERGSLRMVLSNNEQAKELDWKKRVNVVKGLANALSYMHHGHSQPIVHRDISSNNVLLDLDYEARVSDFGTARILKPDSSNWTSLAGTYGYIAPELAYTMRVDEKCDVYSFGVLTMEVCMGRHAGDLISYFSSLESTSSLMSNDQQVLLKDTIDQRLSPPVGQSAKDLVSTMKIAVTCLNGNPQLRPTMQQVSQALGRQSLPLPSPFNSIQLEEVLGEVVCNG >KJB69637 pep chromosome:Graimondii2_0_v6:11:2603334:2604579:-1 gene:B456_011G035000 transcript:KJB69637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTVISSVYEVCSDAAGIAGNIFAFGLFLSPISTFRRIIRNGSTEQFSGMPYIYALLNCLICLWYGFPIISPGIILVTTVNSIGAVFQLIYIIIFIAYAEKPMKLKMLGFLVSVFAVFASIVFVSLQFLNSSSRQLFVGYLSVASLISMFASPLLIINLVIKMRSVEYMPFSLSLATFLMSLAFFVYGLLKHDPFIYVRSTKSWFLLRDFQFI >KJB69636 pep chromosome:Graimondii2_0_v6:11:2602382:2604579:-1 gene:B456_011G035000 transcript:KJB69636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTVISSVYEVCSDAAGIAGNIFAFGLFLSPISTFRRIIRNGSTEQFSGMPYIYALLNCLICLWYGFPIISPGIILVTTVNSIGAVFQLIYIIIFIAYAEKPMKLKMLGFLVSVFAVFASIVFVSLQFLNSSSRQLFVGYLSVASLISMFASPLLIINLVIKMRSVEYMPFSLSLATFLMSSQWDWNSTGDSPIGLVCLFQKSFTRGIEASIDCSLKES >KJB69635 pep chromosome:Graimondii2_0_v6:11:2602434:2604579:-1 gene:B456_011G035000 transcript:KJB69635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTVISSVYEVCSDAAGIAGNIFAFGLFLSPISTFRRIIRNGSTEQFSGMPYIYALLNCLICLWYGFPIISPGIILVTTVNSIGAVFQLIYIIIFIAYAEKPMKLKMLGFLVSVFAVFASIVFVSLQFLNSSSRQLFVGYLSVASLISMFASPLLIIAPNGIGTVLGTVQLALYAYFKRASQGELRHPLIVP >KJB69634 pep chromosome:Graimondii2_0_v6:11:2602771:2604487:-1 gene:B456_011G035000 transcript:KJB69634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTVISSVYEVCSDAAGIAGNIFAFGLFLSPISTFRRIIRNGSTEQFSGMPYIYALLNCLICLWYGFPIISPGIILVTTVNSIGAVFQLIYIIIFIAYAEKPMKLKMLGFLVSVFAVFASIVFVSLQFLNSSSRQLFVGYLSVASLISMFASPLLIINLVIKMRSVEYMPFSLSLATFLMSLAFFVYGLLKHDPFIYAPNGIGTVLGTVQLALYAYFKRASQGELRHPLIVP >KJB72006 pep chromosome:Graimondii2_0_v6:11:26285877:26288239:1 gene:B456_011G153300 transcript:KJB72006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSKLGSLLRLNGQIPATSILSSIHCMSTSTKKLFIGGLSYGTDDQTLKEAFSGFGDVTEAKIIIDRDTGRSRGFGFVNFADDESASNALSAMDGQELNGRNIRVSYANERPSGGPRAYGGNGGFRGGDSFGRDAGY >KJB72007 pep chromosome:Graimondii2_0_v6:11:26286062:26288231:1 gene:B456_011G153300 transcript:KJB72007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSKLGSLLRLNGQIPATSILSSIHCMSTSTKKLFIGGLSYGTDDQTLKEAFSGFGDVTEAKIIIDRDTGRSRGFGFVNFADDESASNALSAMDGTQWPKYSSKLCQ >KJB70679 pep chromosome:Graimondii2_0_v6:11:9047847:9052631:1 gene:B456_011G086700 transcript:KJB70679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEISEPLILDKESIDDGAGIESELVPEWKDQITIRGLVVSALLGTLFCIITHKLNLTVGIIPSLNVGAGLLGFFFVKSWTGFLTKLGFQVSPFTKQENTVIQTCVVACYGLAFSGGFGSYMIAMDERTYKLIGADYPGNRAEDIKNPGLGWMTGFLFVVSFLGLFSLTPLRKVMVLDYKLTYPSGTATAMLINSFHTHSGAELAGKQVKCLGKYLSISFIWSCFKWFFSGIGDSCGFDNFPSFGLMLFRNTFYFDFSPTYVGCGLICPHIVNCSVLLGAIISWGFLWPFISAHAGDWYPSGLESNNFKGLYGYKVFIAIALILGDGLYNLIKIIYISAKEVCNKSTKQSKLPIVNEVIDNESSKVLAEQRKRDDIFLKDRIPTWFAASGYVALAAISTATIPIIFPSLKWYLVLVSYIIAPALAFCNSYGTGLTDWNLSSTYGKIGLFIIASLVGSDGGVMAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSAKSMFVSQLLGTAMGCVIAPLTFWMFWTAFEVGSPDGPYKAPYAVIFREMAILGIEGFSELPKHCLALCFGFFIGAVLVNLLRDVTPKEISQFIPIPMAMAVPFYIGAYFAVDMFVGTVILFIWERINQKEAEDYAGAVASGLICGDGIWTIPSAILSILRINPPICMYFGPSLSS >KJB69411 pep chromosome:Graimondii2_0_v6:11:1814637:1819452:-1 gene:B456_011G024900 transcript:KJB69411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWIVIRRLAEIEERMATSKKVITREEWEKRLNDVKIRKEDMNKLVMNFLVTEGYVEAAEKFRMESGTEPDIDLATISDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKIEEALEFAQEELAPRGEENQTFLEELERTVSLLAFEDVSNCPLGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAAYPRINNLSNATLEDPTV >KJB69409 pep chromosome:Graimondii2_0_v6:11:1814994:1819115:-1 gene:B456_011G024900 transcript:KJB69409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWIVIRRLAEIEERMATSKKVITREEWEKRLNDVKIRKEDMNKLVMNFLVTEGYVEAAEKFRMESGTEPDIDLATISDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKIEEALEFAQEELAPRGEENQTFLEELERTVSLLAFEDVSNCPLGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAAYPRINNLSNATLEDPTV >KJB69410 pep chromosome:Graimondii2_0_v6:11:1814641:1819425:-1 gene:B456_011G024900 transcript:KJB69410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWIVIRRLAEIEERMATSKKVITREEWEKRLNDVKIRKEDMNKLVMNFLVTEGYVEAAEKFRMESGTEHIDLATISDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKIEEALEFAQEELAPRGEENQTFLEELERTVSLLAFEDVSNCPLGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAAYPRINNLSNATLEDPTV >KJB71700 pep chromosome:Graimondii2_0_v6:11:21157120:21157891:-1 gene:B456_011G137600 transcript:KJB71700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRKVSTLLMICVISMAVLSDVSVEAARVLREDLGNGNHMETYSSVYHKARSTMSYWLQRLASGPSPQGPGH >KJB73878 pep chromosome:Graimondii2_0_v6:11:58795414:58799295:-1 gene:B456_011G258100 transcript:KJB73878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSSSPQRDTCLNFITHLLETLKHTIMNIFFHEQDLEDAEQPSQALPQTIVSSYSSRQLKHQVFLSFRGEDTRLNFTAHLLKALKDTGMNVFFDEEKLEKGEQLSSALSQAIAASNLSIIVLSVDYASSKSCLAEVSDIMDRKATQGHIVLPIFYHVDPSNVRNIGGSFKTSFEDHELKRPIDEVKRWKAAFVEVGKLKGWHIIGGNFDRPETEYIKDIVEYVIKKLMNNYFRNASEELVGIDDQKKMILGLIEQEDTRIIGLWGMGGIGKTTLADVVYKEVSQKFEDSCFLHNVSEKIEKQGMESLRDDFLTELLKQEIHIRTPSIGQGFIQERLNNKKVIVVLDDVNDSDLMNDLGVQHFGEGSKIIITSRDKQVLKNGGANKIHEVVKLNENDSLQLFSIFAFRQLNPAIDFLNLSYKFVRYAQGSPLALKVLGSRLYTKSKRDWESEVDKLKEYAQPKISQILKRSFDGLDELEKSVFLDIACFFKNESKEDAEEILSRCYRGAVSGISNLLDKCLIDIITAIPFISIDYCECISMHDMLEEMGKDIVRQEAKELWKHSRLWNPKDVYQVLRYNKGTDLIQGLKLDMSQIDNLQLCPTVFDNMYNLRVILFYFSGRKFWKKCSEKKLFADQDDSVSLPDELRYLFWDYYPFKSLSSFNPINLVVLRLPHGDMKFLWNEDSYQNLVNLREIDLTQCKKLRKIPNLLSAINLKSLCCNGCESLVQHPCLDHLAYLKTLELEGCHNFKKFPEVPNHFSILELDETGIEEVPDSVEHLTRLEQLCLRKSGVKKVSSNISKLGFLRSLYLSHCPITEFPKNPSELYLSETQTEFPGNSILKFRSLEFMHIDHCNNLKFLSELPPYLRYLVAHDCSSLEKVSFTNQNLYELESSDDSHEFFMLFSNCFNLNQDSINNIEANAMIKIGSLVKKWEKESDCDPPSLVCCFPGNEISANTFEYQSMSSSLILRLSPNGCSGRRYLVFVICLVADFAHDHKYEDLICSCECQLTATGGHYEKLKSEWFCSPEFELVQYMGDHVFILFSGAMVKNDEGYQEASFEFHIKKLDLSGEEEPMKVEKCGVHVSYVA >KJB73879 pep chromosome:Graimondii2_0_v6:11:58795113:58799319:-1 gene:B456_011G258100 transcript:KJB73879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSSSPQRDTCLNFITHLLETLKHTIMNIFFHEQDLEDAEQPSQALPQTIVSSYSSRQLKHQVFLSFRGEDTRLNFTAHLLKALKDTGMNVFFDEEKLEKGEQLSSALSQAIAASNLSIIVLSVDYASSKSCLAEVSDIMDRKATQGHIVLPIFYHVDPSNVRNIGGSFKTSFEDHELKRPIDEVKRWKAAFVEVGKLKGWHIIGGNFDRPETEYIKDIVEYVIKKLMNNYFRNASEELVGIDDQKKMILGLIEQEDTRIIGLWGMGGIGKTTLADVVYKEVSQKFEDSCFLHNVSEKIEKQGMESLRDDFLTELLKQEIHIRTPSIGQGFIQERLNNKKVIVVLDDVNDSDLMNDLGVQHFGEGSKIIITSRDKQVLKNGGANKIHEVVKLNENDSLQLFSIFAFRQLNPAIDFLNLSYKFVRYAQGSPLALKVLGSRLYTKSKRDWESEVDKLKEYAQPKISQILKRSFDGLDELEKSVFLDIACFFKNESKEDAEEILSRCYRGAVSGISNLLDKCLIDIITAIPFISIDYCECISMHDMLEEMGKDIVRQEAKELWKHSRLWNPKDVYQVLRYNKGTDLIQGLKLDMSQIDNLQLCPTVFDNMYNLRVILFYFSGRKFWKKCSEKKLFADQDDSVSLPDELRYLFWDYYPFKSLSSFNPINLVVLRLPHGDMKFLWNEDSYQNLVNLREIDLTQCKKLRKIPNLLSAINLKSLCCNGCESLVQHPCLDHLAYLKTLELEGCHNFKKFPEVPNHFSILELDETGIEEVPDSVEHLTRLEQLCLRKSGVKKVSSNISKLGFLRSLYLSHCPITEFPKNPSELYLSETQTEFPGNSILKFRSLEFMHIDHCNNLKFLSELPPYLRYLVAHDCSSLEKVSFTNQNLYELESSDDSHEFFMLFSNCFNLNQDSINNIEANAMIKIGSLVKKWEKESDCDPPSLVCCFPGNEISANTFEYQSMSSSLILRLSPNGCSGRRYLVFVICLVADFAHDHKYEDLICSCECQLTATGGHYEKLKSEWFCSPEFELVQYMGDHVFILFSGAMVKNDEGYQEASFEFHIKKLDLSGEEEPMKVEKCGVHVSYVA >KJB69167 pep chromosome:Graimondii2_0_v6:11:656906:659382:-1 gene:B456_011G008900 transcript:KJB69167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLGVGISPGNVPVYHGTNPMVIERRVRIAELLLRCLICVLSVLTAFLVGTDSQVKEIFSIQKKARFTDMKALVFLVVANGVAAAYSLVQVVRCVVSMVKGSVLVNKPLAWTIFSGDQAMAYLNVAAVGAAAQSAVFAKLGQTELQWMKICNLYGKFCNQVGEGISMAVLASVCMVLQSGISAFTLFRLCGVNKAKGNSGW >KJB72797 pep chromosome:Graimondii2_0_v6:11:47922617:47925947:-1 gene:B456_011G198400 transcript:KJB72797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRDIDDLPKNAANYMALTPLWFLERAATVHPTRKAVIHGSRTYTWLQTYQRCRRLASALSKRSIGVGSSVAIIAPNVPALYEAHFGIPMSGAVVNTINIRLNASTIAFLLGHSQSTIVIVDQEFFNLAEDALRIMKEKSQSNFTPPLVIVIADESCNPETLKYALGQGAVEYEKFLESGDPDFAWKPPQDEWQSIALGYTSGTTASPKGVVLHHRGAYLMALSNPIIWEMNEGAVYLWTLPMFHCNGWCFPWTLAAVCGINICLRQVTAKGIYSAIAKYRVTHFCAAPVVLNSIVNASPEDTILPLPHVVRVMTAGAAPPPSVLSGMSQKGFRVTHTYGLSETYGPSTVCAWKPEWDSLPPETQARLNARQGVRYVGLECLDVISTQTGQPVPADGKTIGEIVFRGNIVMKGYLKNPKANEETFANGWFHSGDLGVKNPDGYIEIKDRSKDIIISGGENISSVEVENNLYLHPAVMEASVVARADERWGESPCAFVTLKPGVDKSDEQRLAEDIMKFCRSKMPAYWVPKSVIFGPLPKTATGKIQKHVLRAKAKELGPVRMSKL >KJB74381 pep chromosome:Graimondii2_0_v6:11:62273772:62275162:1 gene:B456_011G291500 transcript:KJB74381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHEPRSTSSCAACKLLKRRCSPTCIFAPYFRSDEPKKFAKVHKVFGASNVSKILIEVPEEQREDTVNSLVYEAEARLRDPVYGCIGAIALLQRKMIELQHDLALARARLARYAANYSTGVAGTELDRLTVTGLVRDEAKNLLQHLHHIRG >KJB74382 pep chromosome:Graimondii2_0_v6:11:62273680:62275375:1 gene:B456_011G291500 transcript:KJB74382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHEPRSTSSCAACKLLKRRCSPTCIFAPYFRSDEPKKFAKVHKVFGASNVSKILIEVPEEQREDTVNSLVYEAEARLRDPVYGCIGAIALLQRKMIELQHDLALARARLARYAANYSTGVAGTELDRLTVTGLGMKLRICYNIYIISGDEGRKKFLEGQKIILQSY >KJB69748 pep chromosome:Graimondii2_0_v6:11:3006762:3010234:-1 gene:B456_011G040600 transcript:KJB69748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLCKKIVKKRVKKFKRPQSDRKISVKANWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVGELELLMMHNRTYSAEIAHDVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >KJB69749 pep chromosome:Graimondii2_0_v6:11:3006542:3010319:-1 gene:B456_011G040600 transcript:KJB69749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLCKKIVKKRVKKFKRPQSDRKISVKANWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVGELELLMMHNRTYSAEIAHDVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >KJB69746 pep chromosome:Graimondii2_0_v6:11:3006913:3010234:-1 gene:B456_011G040600 transcript:KJB69746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLCKKIVKKRVKKFKRPQSDRKISVKANWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVGELELLMMHNRTYSAEIAHDVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >KJB69747 pep chromosome:Graimondii2_0_v6:11:3007343:3010234:-1 gene:B456_011G040600 transcript:KJB69747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLCKKIVKKRVKKFKRPQSDRKISVKANWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVGELELLMMHNRTYSAEIAHDVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >KJB74216 pep chromosome:Graimondii2_0_v6:11:61165683:61169645:-1 gene:B456_011G279900 transcript:KJB74216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENDSQRRRTVPFTGMSDVVLVCVLPYIQDARDRAAVSLVCRRLYEHDALTRKHITIAFCYTTTTDRLRRRFPHLESLELKGKPRAAMFNLIPEDWGGYVTPWVFEIAENFNFLKSVHFRRMIVKDTDLEILARSRGNVLQGLKLDKCSGFSTDGLFLIGSMCRQLRTLFLEESSIVEKDGRWLHEIAVKNSVLETLNFYMTDLAQVSFDDLERIARNCPNLTSVKISDCEILNLAGFFRAAAALEEFCGGSFNEQSERYNAVRFPPRLCRLGLTYMGKNEMPIVFPFASLLKKLDLLYALLDTEDHCLLIQRCPNLEVLETRNVIGDRGLEVLAQSCKKLTRLRIERGADEQGMGDEEGLVSHRGLTALAQGCLELKYIAVYVSDITNASLESLGTYSKNLCDFRLVLLDREERITDLPLDNGVRALLRGCEKLRRFALYLRAGGLTDVGLSYIGKYSPNVRWMLLGYVGESDAGLLAFSRGCPSLVKLEMRGCCFSEQAIAQAVVRLPSLRYLWVQGYRASPAGLDLLAMARPFWNIELIPARRVIMTNQVGEPVVVEHPAHILAYYSLAGPRTDFPDTVIPLDPVL >KJB69685 pep chromosome:Graimondii2_0_v6:11:2785352:2789403:-1 gene:B456_011G037000 transcript:KJB69685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRSYTNLLDLASGNFPAMGQPREKKRLPRVMTVPGVISELDDDQANSVTSDAPSSAIQDRIIIVANQLPVKAKRRPDNKGWSFSWDDDSLLLQLKDGLPEEMEVLYVGSLKVDVDPVEQDDVSQLLLDKFKCVPAFLPSDILTKFYHGFCKQHLWPLFHYMLPFSASHGGRFDRSLWEAYVAANKIFSQRVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEIMKALLNSDLIGFHTYDYARHFLSCCSRMLGLEYQSKRGYIGVEYYGRTIGIKIMPVGIHMGQIKSVLSLADKEWRVAELKQQFEGKTVLLGVDDMDVFKGIDLKLLAMEQMLKQHPKWQGRAVLVQIANPSRGRGKDLEDIQAEIQASCKRINETFGQPGYEPIVLIDRPVSLCERFAYYTIAECVVVTAVRDGMNLTPYEYIVGRQGVSESESSSESSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNTESTAEAMNEAISMSDAEKQLRHEKHYRYVSSHDVAFWSRSFFQDLERTCKDHFRRRCWGIGLSFGFRVVALDPNFGKLSIDHIVSVYLRSKNRAILLDYDGTVMPQTSHNKTPSAEVISIINALSGDTKNTVFVVSGRGRESLGKWFSPCKKLGIAAEHGFFMRWSANDEWELCGQNSEFGWKQIAEPVMKLYTESTDGSSIETKESALVWHHRDADPGFGSSQAKEMLDHLESVLANEPVAVKSGQFIVEVKPQGVSKGMVAEKIFTTMSEKGKQADFVLCIGDDRSDEEMFEIISNAISSGILSSSTSVFACTVGQKPSKARYYLDDPAEVLNMLEALAEASDPESFTDTESEGSL >KJB69686 pep chromosome:Graimondii2_0_v6:11:2785412:2789394:-1 gene:B456_011G037000 transcript:KJB69686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRSYTNLLDLASGNFPAMGQPREKKRLPRVMTVPGVISELDDDQANSVTSDAPSSAIQDRIIIVANQLPVKAKRRPDNKGWSFSWDDDSLLLQLKDGLPEEMEVLYVGSLKVDVDPVEQDDVSQLLLDKFKCVPAFLPSDILTKFYHGFCKQHLWPLFHYMLPFSASHGGRFDRSLWEAYVAANKIFSQRVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEIMKALLNSDLIGFHTYDYARHFLSCCSRMLGLEYQSKRGYIGVEYYGRTIGIKIMPVGIHMGQIKSVLSLADKEWRVAELKQQFEGKTVLLGVDDMDVFKGIDLKLLAMEQMLKQHPKWQGRAVLVQIANPSRGRGKDLEDIQAEIQASCKRINETFGQPGYEPIVLIDRPVSLCERFAYYTIAECVVVTAVRDGMNLTPYEYIVGRQGVSESESSSESSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNTESTAEAMNEAISMSDAEKQLRHEKHYRYVSSHDVAFWSRSFFQDLERTCKDHFRRRCWGIGLSFGFRVVALDPNFGKLSIDHIVSVYLRSKNRAILLDYDGTVMPQTSHNKTPSAEVISIINALSGDTKNTVFVVSGRGRESLGKWFSPCKKLGIAAEHGFFMRWSANDEWELCGQNSEFGWKQIAEPVMKLYTESTDGSSIETKESALVWHHRDADPGFGSSQAKEMLDHLESVLANEPVAVKSGQFIVEVKPQVFLP >KJB70042 pep chromosome:Graimondii2_0_v6:11:4356843:4359960:-1 gene:B456_011G055400 transcript:KJB70042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLASPKCFQAPLLFPSNGEPGVETNASLYGQSNGNPFADTFSDPLCKLNLKETSEFVKSFPMAASNTTTTTTTTGLDVSMQRRRGVNSVTTQRRVLETPCTPGRPVFSFSGGNLARKSFPSKWDDAEKWLISSSCHESPAHTIKSLLESSKVTKQCDNFKQQAEVFAEKSRVTEEKITKVVKGPVSLEHHNPTRGFSGIPGSTDVFLKDKFTDEVEPILPNFRCSEPSREGFLFRNSVSETMTDAGTEMYHDVKHKDAGTEMTPLGSSRTSRCHTPCKSSSPARHNTPANRSGSQYDSITSNWSSREEEEEEVSKSLRHFETGSACRKSVSDSRTPAWEEEERTKCCLRYRREEAKIQAWVNLQNAKAEAQSRKLEVKIQKMRSKLEEKLMKRMAVVHRKAEEWRASAQQQHAEQMQKPNNILISNRSNLQFSGNISCGCFPCNNSS >KJB70041 pep chromosome:Graimondii2_0_v6:11:4356818:4359960:-1 gene:B456_011G055400 transcript:KJB70041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLASPKCFQAPLLFPSNGEPGVETNASLYGQSNGNPFADTFSDPLCKLNLKETSEFVKSFPMAASNTTTTTTTTGLDVSMQRRRGVNSVTTQRRVLETPCTPGRPVFSFSGGNLARKSFPSKWDDAEKWLISSSCHESPAHTIKSLLESSKVTKQCDNFKQQAEVFAEKSRVTEEKITKVVKGPVSLEHHNPTRGFSGIPGSTDVFLKDKFTDEVEPILPNFRCSEPSREGFLFRNSVSETMTDAGTEMYHDVKHKDAGTEMTPLGSSRTSRCHTPCKSSSPARHNTPANRSGPLASGNSNSSNSTIDISQLQECHLAKLQLGSQYDSITSNWSSREEEEEEVSKSLRHFETGSACRKSVSDSRTPAWEEEERTKCCLRYRREEAKIQAWVNLQNAKAEAQSRKLEVKIQKMRSKLEEKLMKRMAVVHRKAEEWRASAQQQHAEQMQKPNNILISNRSNLQFSGNISCGCFPCNNSS >KJB70043 pep chromosome:Graimondii2_0_v6:11:4357774:4359960:-1 gene:B456_011G055400 transcript:KJB70043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLASPKCFQAPLLFPSNGEPGVETNASLYGQSNGNPFADTFSDPLCKLNLKETSEFVKSFPMAASNTTTTTTTTGLDVSMQRRRGVNSVTTQRRVLETPCTPGRPVFSFSGGNLARKSFPSKWDDAEKWLISSSCHESPAHTIKSLLESSKVTKQCDNFKQQAEVFAEKSRVTEEKITKVVKGPVSLEHHNPTRGFSGIPGSTDVFLKDKFTDEVEPILPNFRCSEPSREGFLFRNSVSETMTDAGTEMYHDVKHKDAGTEMTPLGSSRTSRCHTPCKSSSPARHNTPANRSGPLASGNSNSSNSTIDISQLQECHLAKLQLGSQYDSITSNWSSREEEEEEVSKSLRHFETGSACRKSVSDSRTPAWEEEERTKCCLRYRREEAKIQAWVNLQNAKAEAQSRKLEVLFFNPFSAIQHVPIRALY >KJB70044 pep chromosome:Graimondii2_0_v6:11:4357959:4359960:-1 gene:B456_011G055400 transcript:KJB70044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLASPKCFQAPLLFPSNGEPGVETNASLYGQSNGNPFADTFSDPLCKLNLKETSEFVKSFPMAASNTTTTTTTTGLDVSMQRRRGVNSVTTQRRVLETPCTPGRPVFSFSGGNLARKSFPSKWDDAEKWLISSSCHESPAHTIKSLLESSKVTKQCDNFKQQAEVFAEKSRVTEEKITKVVKGPVSLEHHNPTRGFSGIPGSTDVFLKDKFTDEVEPILPNFRCSEPSREGFLFRNSVSETMTDAGTEMYHDVKHKDAGTEMTPLGSSRTSRCHTPCKSSSPARHNTPANRSGPLASGNSNSSNSTIDISQLQECHLAKLQLGSQYDSITSNWSSREEEEEEVSKSLRHFETGSACRKSVSDSRTPAWEEEERTKCCLR >KJB69479 pep chromosome:Graimondii2_0_v6:11:1890671:1891380:-1 gene:B456_011G025600 transcript:KJB69479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSKQVSSDGGHGWSQSLCDSLNTKFCYYNNYNKSQPRHFCKACKRHWTKGGTLRNVPVGGGRKNQRLKPGIKAASTAIKTSTASSLEFPFSSSSSSSISPSNYNYTGEANSSRSQTWQVPSASMDMANYWNLDDIDELVSTDLNNIPWVDSEIKP >KJB70900 pep chromosome:Graimondii2_0_v6:11:10314100:10317626:1 gene:B456_011G095100 transcript:KJB70900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTAKFLLPFRQSFSQSRNLFHGKIRPLKTWVHIFETKLQSRASKFEYMLSIQRKYVQDCCFSGSRHMRSIFGASVMFGAVYCWSHISHAMDGLDIFADDNHLESFDASEGEDDRHKLWLLMRKLWLPLFFVFTVLVNWDNPFAVITRILLFLLSTKPSPSSIYLFVEKLCHGYMRQKPHFYKYKALHASKVEVQDYKLLCLAIVEIGDEKIRLIGILGGWWSLPSSLGLYFLAPEQSSSSSL >KJB70903 pep chromosome:Graimondii2_0_v6:11:10314229:10317559:1 gene:B456_011G095100 transcript:KJB70903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTAKFLLPFRQSFSQSRNLFHGKIRPLKTWVHIFETKLQSRASKFEYMLSIQRKYVQDCCFSGNRHMRSIFGASVMFGAVYCWSHISHAMDGLDIFADDNHLESFDASEGEDDRHKLWLLMRKLWLPLFFVFTVLVNWDNPFAVITRILLFLLSTKPSPSSIYLFVEKLCHGYMRQKPHFYKYKALHASKVEVQDYKLLCLAIVEIGDEKIRLIGILGGWWSLPSSLGLYFLAPEQSSSSSL >KJB70901 pep chromosome:Graimondii2_0_v6:11:10315234:10317054:1 gene:B456_011G095100 transcript:KJB70901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWMVSLDIFADDNHLESFDASEGEDDRHKLWLLMRKLWLPLFFVFTVLVNWDNPFAVITRILLFLLSTKPSPSSIYLFVEKLCHGYMRQKPHFYKYKALHASKVEVQDYKLLCLAIVEIGDEKIRLIGILGGWWSLPSSLGLYFLAPEQSSSSSL >KJB70902 pep chromosome:Graimondii2_0_v6:11:10314229:10317480:1 gene:B456_011G095100 transcript:KJB70902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTAKFLLPFRQSFSQSRNLFHGKIRPLKTWVHIFETKLQSRASKFEYMLSIQRKYVQDCCFSGNRHMRSIFGASVMFGAVYCWSHISHAMDGLDIFADDNHLESFDASEGEDDRHKLCTKPSPSSIYLFVEKLCHGYMRQKPHFYKYKALHASKVEVQDYKLLCLAIVEIGDEKIRLIGILGGWWSLPSSLGLYFLAPEQSSSSSL >KJB70904 pep chromosome:Graimondii2_0_v6:11:10314229:10318182:1 gene:B456_011G095100 transcript:KJB70904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTAKFLLPFRQSFSQSRNLFHGKIRPLKTWVHIFETKLQSRASKFEYMLSIQRKYVQDCCFSGNRHMRSIFGASVMFGAVYCWSHISHAMDGLDIFADDNHLESFDASEGEDDRHKLWLLMRKLWLPLFFVFTVLVNWDNPFAVITRILLFLLSTKPSPSSIYLFVEKLCHGYMRQKPHFYKYKALHASKVEVQDYKLLCLAIVEIGDEKIRLIGILGGWWSLPSSLGLYFLAPEQSSSSSL >KJB74269 pep chromosome:Graimondii2_0_v6:11:61662379:61665790:-1 gene:B456_011G284100 transcript:KJB74269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISKKHLEVVIILSCFNLRGPNLLGLATATTVIRGNDTDQQALLHLKAKIIGDQLKVMESWNSSIHFCQWHGITCGCKHQRVTKLELQFLELSGSLSPYIGNLSFLKELNLASNNFYDHIPQEVGHLRRLESLRGSIPPALGNLSSLEELYLTYNTLSGVLPEALGRLTNLTHFSADENSISGIIPTAMFNLSNIIVFSIGGNKIQGTLHSDLAITMPHVGAFSVWGNQIYGKIPISISNASNLYQLQLDENRLSGNVPSLEKLENLFVLNLYTNHLGHGIEGDLNFVCTLVNNTKLGILSIHNNNFGGVFPKCIRNFSRTFSVLGIEHNNILGRIPDGIGNLNSLEVLRASQNQLSGPIPSDIGRLQKLKKFYVDSNFLSGIIPHSIGNLTMLIELALDVNKLKGSIPSSLGNCQNLLRMTLSHNNLSGSIPPQVLALSSLSILLNLSSNYLTGELPFEVEKLKNLGELDVSKNRLSGLLPNNLGSCVSLEKLFLDGNLFEGPIPSSLSSLRGLAALDVSDNNLSGKVPEFLASFGALKYLNLSFNDFEGVIPSEGVFKNSSATFVEGNNKLCGGITELHLSRCNSAKSSNTSLRLKIVIVAVILGVTLIFLFLLILLLRKKKEQQPTTTCAENSLLRLSYQSILRATNGFSTQNLVGLGSFGSVYRGILEETGEVIAVKVLNLLNHGASRSFLAECEVLKNIRHRNLVKVLTAISGVDYQGNDFKALVYEFMVNGSLEDWLHPPAGTNEPETIRNLNLFQRLNMAIDVAHALEYLHHHCEILIIHCDLKPSNILLDEEMVAHISDFGLAKILSTDKLNYSASQSSSLGLRGTIGYAPPEYGMGSELSTKGDVYSYGVLLLEMFTGKRPTDERFKEGLSLHNFVKTALPERMIEIIDPILLQERVKQGTITDITLGGNIIENDRCLQCMNSILEIGLTCSTESPSERVDMCDVVTELCSIRDQIYLTRLGGLS >KJB71985 pep chromosome:Graimondii2_0_v6:11:25868593:25871784:-1 gene:B456_011G152400 transcript:KJB71985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGNGCCKLAGPGYGTPLEAMSGPREALIYVTCVYTGTGREKPDFLATVDVDPNSPTYSKVIHRLPVPYIGDELHHSGWNACSSCHGDPLADRRFLILPSLVSGRIYVVDTKTNPKAPSLHKVVQPDDIVKKTSLAYPHTSHCLASGDVMVSCLGDKDGNAKGNGFLLLDSQFNVKGRWEKPGHSPSFGYDFWYQPRHKTMISSSWGAPAAFTKGFNLQHVADGLYGRHLFVYSWPDGELKQTMDLGNTGLVPLEIRFLHDPSKDTGYVGCALSSNMVLFFKTQDGSWNHEVAISVQPLKVQNWILPEMPGLITDFLISLDDRFLYFVNWLHGDVRQYNIEDPKNPVLAGQVWVGGLVQKGSPIVAVTEDGQTWQSNVPEIQERSLRGGPQMIQLSLDGKRLYVTNSLFSTWDRQFYPELMEKGSHMLQIDVDTEKGGLKINPNFFVDFGAEPDGPSLAHEMRYPGGDCTSDIWI >KJB74466 pep chromosome:Graimondii2_0_v6:11:62646302:62646824:-1 gene:B456_011G296100 transcript:KJB74466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCCGGSARAATTSRGIYIYTIGRYAWQWHFIIRCRFSFNLSGTFSFHLIRLRFPFSPVLVGEIRAVVFIMVINNNHLKKRTSFSRKRKKKRGKYETREWRKT >KJB71823 pep chromosome:Graimondii2_0_v6:11:22579563:22584067:-1 gene:B456_011G143000 transcript:KJB71823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSKSWLSLSWVFVIQLLFQSSSTIAATRKEIGFPERRICRTTVQGRYLLSDDNGYVCDALSLDPQYRCCPERGDKFSCRGCNVLSQCCNSYEFCVSCCLHPARTQKEQVLKLKIAKPSTSGTYLSVFDFCAGRCRHNSESVVHENAYVNDFHHCFSLPSNSSGSSISPVEARLNGINVVIGRQGESCDSVCKSNGQSCVLNKLLILNQCDIIQKYMSCKGACLASVGPDQPAEVVDDAPKNLNPGACLYTRTQSMLSCDGSHRHTRRLCPCA >KJB71824 pep chromosome:Graimondii2_0_v6:11:22579579:22583980:-1 gene:B456_011G143000 transcript:KJB71824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSKSWLSLSWVFVIQLLFQSSSTIAATRKEIGFPERRICRTTVQGRYLLSDDNGYVCDALSLDPQYRCCPERGDKFSCRGCNVLSQCCNSYEFCVSCCLHPARTQKEQVLKLKIAKPSTSGTYLSVFDFCAGRCRHNSESVVHENAYVNDFHHCFSLPSNSSGSSISPVEARLNGINVVIGRQGESCDSVCKSNGQSCVLNKLLILNQCDIIQKYMSCKGACLASVGPDQPAEVVDDAPKNLNPGACLYTRTQSMLSCDGSHRHTRRLCPCA >KJB71378 pep chromosome:Graimondii2_0_v6:11:16418563:16431463:-1 gene:B456_011G119600 transcript:KJB71378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSNQAEFDYLFKLLMIGDSGVGKSSLLLSFTSDSFEELSPTIGVDFKVKYVNAGDKKLKLAIWDTAGQERFRTLTSSYYRGAQGVIMVYDVTRRETFTNLSEVWAKEIELYSTNQDCIKMLVGNKVDKESERVVTKKEGINFAREYGCLFIECSAKTRVNVQQCFDELVLKILDTPSLLAEGSKGGKKNIFKQKPPQPDASASGCC >KJB71379 pep chromosome:Graimondii2_0_v6:11:16418563:16431470:-1 gene:B456_011G119600 transcript:KJB71379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSNQAEFDYLFKLLMIGDSGVGKSSLLLSFTSDSFEELSPTIGVDFKVKYVNAGDKKLKLAIWDTAGQERFRTLTSSYYRGAQGVIMVYDVTRRETFTNLSEVWAKEIELYSTNQDCIKMLVGNKVDKESERVVTKKEGINFAREYGCLFIECSAKTRVNVQQCFDELVLKILDTPSLLAEGSKGGKKNIFKQKPPQPDASASGCC >KJB71377 pep chromosome:Graimondii2_0_v6:11:16418563:16431824:-1 gene:B456_011G119600 transcript:KJB71377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSNQAEFDYLFKLLMIGDSGVGKSSLLLSFTSDSFEELSPTIGVDFKVKYVNAGDKKLKLAIWDTAGQERFRTLTSSYYRGAQGVIMVYDVTRRETFTNLSEVWAKEIELYSTNQDCIKMLVGNKVDKESERVVTKKEGINFAREYGCLFIECSAKTRVNVQQCFDELVLKILDTPSLLAEGSKGGKKNIFKQKPPQPDASASGCC >KJB71376 pep chromosome:Graimondii2_0_v6:11:16418563:16428084:-1 gene:B456_011G119600 transcript:KJB71376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCREKFLFSFSLDLFMLILHAAGQERFRTLTSSYYRGAQGVIMVYDVTRRETFTNLSEVWAKEIELYSTNQDCIKMLVGNKVDKESERVVTKKEGINFAREYGCLFIECSAKTRVNVQQCFDELVLKILDTPSLLAEGSKGGKKNIFKQKPPQPDASASGCC >KJB73132 pep chromosome:Graimondii2_0_v6:11:52195427:52201137:1 gene:B456_011G216800 transcript:KJB73132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLVARTGRLQQRYEAGFRLVAGCIPFRYRSSNEADDVKFGKVVEVLMINSTSGPGLLFPKGGWENDETVEEAAVREAIEEAGVRGDLMEELNSWPEQSTRTRNWLTIPKALQSCRHEWMKDALENGFCKWLAQNK >KJB73130 pep chromosome:Graimondii2_0_v6:11:52195302:52201199:1 gene:B456_011G216800 transcript:KJB73130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLVARTGRLQQRYEAGFRLVAGCIPFRYRSSNEADDVKFGKVVEVLMINSTSGPGLLFPKGGWENDETVEEAAVREAIEEAGVRGDLMDFIGFYHFKSKTHQDEFSPEGLCKAAMFALFVKEELNSWPEQSTRTRNWLTIPKALQSCRHEWMKDALENGFCKWLAQNK >KJB73131 pep chromosome:Graimondii2_0_v6:11:52195427:52201137:1 gene:B456_011G216800 transcript:KJB73131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLVARTGRLQQRCIPFRYRSSNEADDVKFGKVVEVLMINSTSGPGLLFPKGGWENDETVEEAAVREAIEEAGVRGDLMDFIGFYHFKSKTHQDEFSPEGLCKAAMFALFVKEELNSWPEQSTRTRNWLTIPKALQSCRHEWMKDALENGFCKWLAQNK >KJB73790 pep chromosome:Graimondii2_0_v6:11:58042377:58043956:1 gene:B456_011G252300 transcript:KJB73790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERMMEAAQTGDINLLYELILNDPYALQRIDDVPFFHTPLHVAASAGHIEFMMEMINLKPSFARKLNQAGFSPLHLALQNNRTQGVLQLLKFDNGLVRVKGREGFTPLHHVIQTGDVDLLIKFLKVCPEAIKDVTVRNETVFHLAVKSDMFEAFQVLVGWLIRSHHVSAQRWENELLSWADIDGNTVLHIAAITNSPRVVKVLLGHLRRDQINAKNLEGLTALDIQSQYPWNERQADRIIDMLSKAGGLSASSPSLPNTSFTSIYIKSLKDKMSWIQKWETRAGRGMKRMPHEMRSTFLVVTVLIITTTFEASLNPPNKPDDSSSMKYQVSLSQDQPPLNSHTFWHKTDFNTAPIPSPSAVDVSKKDAGTSEYSLFWFYNTLTFWAALFLTAILLPSHLFSSLILLILLSFGFTFINLFKVSSWSWEHSYEFSKKSAHILFNVTSIFNNVFLFILLFLIPSQLLFDFATLVNINKTYSISLPLIVYGSIMIFTGIL >KJB69633 pep chromosome:Graimondii2_0_v6:11:46057723:46058949:-1 gene:B456_011G192100 transcript:KJB69633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSVVLENQKSGNRNIFSKKTPQLISKTTMLINTSSKVSSSSSSSSRLSPPTTTSHTVPSFLEQCFLCKQKLLPGKDIYMYKGDRGFCSVECRCRQIFMDEEESLKRENCCLASMKIKPSTPSPSSSSV >KJB69632 pep chromosome:Graimondii2_0_v6:11:46058052:46058569:-1 gene:B456_011G192100 transcript:KJB69632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSVVLENQKSGNRNIFSKKTPQLISKTTMLINTSSKVSSSSSSSSRLSPPTTTSHTVPSFLEQCFLCKQKLLPGKDIYMYKGDRGFCSVECRCRQIFMDEEESLKRENCCLASMKIKPSTPSPSSSSGSHHHRKAY >KJB69575 pep chromosome:Graimondii2_0_v6:11:2327008:2328982:1 gene:B456_011G031000 transcript:KJB69575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKISNPFLCFRALYRTKTHFVVIVVPISGNADLPYWVYLRSVAEDIILLPSLTRCLKLKIHFTSSKKRFKNLSINCSQVFTNGRGFVPTTNNEFVSRKNYSPIARALSGRKVDELDKAISVAARDPSLYGIDEVELENRRRWTSSARTQVGNLKKAVVAGKENGNSASAMHRELMRLPDRSNMYTVEDNDEFISSESDRQMLLIRQQDEELDELSASVERIGGVGLTIHEELLAQENIIDDLGNEMDSTTNRLDFVQKKVAMVMKKASATGQCMMISFLLGLFIILFILVFFT >KJB69574 pep chromosome:Graimondii2_0_v6:11:2326831:2329073:1 gene:B456_011G031000 transcript:KJB69574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAQDPFYIVKEEIQESIDKLQSSFHQWERIRPDNEQRVRLTKELFTNCESIEWQVDELDKAISVAARDPSLYGIDEVELENRRRWTSSARTQVGNLKKAVVAGKENGNSASAMHRELMRLPDRSNMYTVEDNDEFISSESDRQMLLIRQQDEELDELSASVERIGGVGLTIHEELLAQENIIDDLGNEMDSTTNRLDFVQKKVAMVMKKASATGQCMMISFLLGLFIILFILVFFT >KJB69576 pep chromosome:Graimondii2_0_v6:11:2327356:2328982:1 gene:B456_011G031000 transcript:KJB69576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFVFSFGIFSHWLTFRVFGFRSINCSQVFTNGRGFVPTTNNEFVSRKNYSPIARALSGRKVDELDKAISVAARDPSLYGIDEVELENRRRWTSSARTQVGNLKKAVVAGKENGNSASAMHRELMRLPDRSNMYTVEDNDEFISSESDRQMLLIRQQDEELDELSASVERIGGVGLTIHEELLAQENIIDDLGNEMDSTTNRLDFVQKKVAMVMKKASATGQCMMISFLLGLFIILFILVFFT >KJB69868 pep chromosome:Graimondii2_0_v6:11:3656317:3656997:-1 gene:B456_011G047300 transcript:KJB69868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGMKYWETAYEKAPALVISRKKPSSCPRLETIFEEGPELNPVVVEKILFFVLIPLSLSVLSYVVLCR >KJB71522 pep chromosome:Graimondii2_0_v6:11:18114897:18117568:-1 gene:B456_011G126900 transcript:KJB71522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSIKSLNPTCALLPLKTFSRASASPLPPCLSPSLLLKPPSGCRVSLAAPRGLALSFRPLDRRLSFSQSVVAFAASHEESKHSEIEVEREKNEESSNEAWQRALEAFKEQALKMQNVSQEAYEVYSKKALVTLKETSEQLKIQAEKARNDLIEIVKETSEEGKVYLSTAAENSPPQVKEIVGTYYSSADDFNDISKVLDFHVGIPYGTILSVGGFLSFMLTGSVSAIRFGVILGGALLASSVSSLKLYKGGQSSPLAIKGQAVISSVLFFRALSSLIQTSTLGSFLTTLVSGAVAAFYVYKLLPNDKPGLKPGIGN >KJB71521 pep chromosome:Graimondii2_0_v6:11:18114961:18117518:-1 gene:B456_011G126900 transcript:KJB71521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSIKSLNPTCALLPLKTFSRASASPLPPCLSPSLLLKPPSGCRVSLAAPRGLALSFRPLDRRLSFSQSVVAFAASHEESKHSEIEVEREKNEESSNEAWQRALEAFKEQALKMQNVSQEAYEVYSKKALVTLKETSEQLKIQAEKARNDLIEIVKETSEEGKVYLSTAAENSPPQVKEIVGTYYSSADDFNDISKVLDFHVGIPYGTILSVGGFLSFMLTGSVSAIRFGVILGGALLASSVSSLKLYKGGQSSPLAIKGQAVISSVLFFRALSSLIQTSTLGSFLTTLVSGAVAAFYVYKLLPNDKPGLKPGIGN >KJB70928 pep chromosome:Graimondii2_0_v6:11:10582226:10583390:1 gene:B456_011G096100 transcript:KJB70928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLQVAAVLLLLFSGHSLAHTVTFYVHNKCPFPIWPATAPNTGHPVIANGGFYLPPGQMQRFEAPWTWNGRIWARTGCNFNSNWQPACETGDCDGRLQCNGLIGIPPATLVQVALQGDKGKPNFYDVSLVDGYNLPVSVTTRPFSPKCTIGSCSKNPNNFCPQELQVVNKNGEVVACKSACLAFDIDSFCCRNEFGTPEKCKPSVYAKMFKDACPSYYSYAFDMPPPLVNCASKDYVITFCPSAWGTDQASS >KJB72502 pep chromosome:Graimondii2_0_v6:11:44300556:44300814:1 gene:B456_011G186000 transcript:KJB72502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFCCMESDDGGGLDLTGILIVLVIAVALMAVCLQQPRPTGYTVYRYR >KJB71289 pep chromosome:Graimondii2_0_v6:11:14893404:14897733:1 gene:B456_011G115000 transcript:KJB71289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAEEKESTSIPLSQAENGSTDPEDPAKSPPSSPNSSTRKACCFVLQSWFSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYERLGVDIFGLGFITSLLFVFFVGVFVSSWMGAAVFSVGEWVIKQMPFVRHIYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVILQVLFLISSLLFKTCIVFPCIPFQLDMQSWIIQGEFKNVIYLFYACEIVLT >KJB71291 pep chromosome:Graimondii2_0_v6:11:14894314:14898756:1 gene:B456_011G115000 transcript:KJB71291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCSPELVLEEVHDWMVLSFGIFRKFSFLFVPCDISRLTMFNMKNCSVVLFPVAVTFFITWWFIQFVDGFFSPLYERLGVDIFGLGFITSLLFVFFVGVFVSSWMGAAVFSVGEWVIKQMPFVRHIYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVILQRENEDEELCSVFVPTNHLYIGDIFLVNSKEIIRPNLSIREGIEIIVSGGMTMPQVISPQERVARPNERIPMNRMV >KJB71288 pep chromosome:Graimondii2_0_v6:11:14893382:14899054:1 gene:B456_011G115000 transcript:KJB71288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAEEKESTSIPLSQAENGSTDPEDPAKSPPSSPNSSTRKACCFVLQSWFSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYERLGVDIFGLGFITSLLFVFFVGVFVSSWMGAAVFSVGEWVIKQMPFVRHIYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVILQRENEDEELCSVFVPTNHLYIGDIFLVNSKEIIRPNLSIREGIEIIVSGGMTMPQVISPQERVARPNERIPMNRMV >KJB71290 pep chromosome:Graimondii2_0_v6:11:14893404:14899030:1 gene:B456_011G115000 transcript:KJB71290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAEEKESTSIPLSQAENGSTDPEDPAKSPPSSPNSSTRKACCFVLQSWFSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYERLGVDIFGLGFITSLLFVFFVGVFVSSWMGAAVFSVGEWVIKQMPFVRHIYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVILQRENEDEELCSVFNPNEQNGVIQYRRGTM >KJB70076 pep chromosome:Graimondii2_0_v6:11:4488980:4497135:1 gene:B456_011G056800 transcript:KJB70076 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT1G72440) UniProtKB/TrEMBL;Acc:F4IDC2] MSNSKTAQDVELLKSDIASFASSLGFSADSSLPYSGFNDVDFRKAGPLKPPKPPKTANTPKQNSQLEKKRSNTQTPKTDKNTKNNQRPKPKPPALSLDDSNKSNRFSRDPDKFKNLPALPLVKASALSAWYEDESELEKKLFGEEGKGKKAVNVRNVEELERLVEKKTELGERLMWQYAKDYELSKGKSGDMKMVLASQRSGTAADKVSAFSFVVADNPVANLKSLDGLLGLVTSKVGKRYAFTGFEALKELFISKLLPDRKLKTLIQRPVNELPETKDGYSLLLFWYWEDCLKQRYERFVVALEEASRDMLPALKDKTMYVLLKSKPEQERKLLSSLVNKLGDPQNKGASNADYYLSNLLSDHPNMKAVVIDEVDTFLFRPHLGLRAKYHAVNFLSQIRLSHKGDGPRVAKRLIEVYFALFKVLISEAEKGQPVDDKSNKAVKSTHKSKENKRKGSRESHVELDSRLLSALLMGVNRAFPYVSSNEADDIVDIETPILFQLVHSKNFNVGVQALMLLDKISSKNQVVSDRFYRALYSKLLLPAAMNSSKAEMFIGLLLRAMKTDVNLKRVSAFSKRILQVALQQPPQYACGCLFLISEVLKARPQLWNMMLQNESVDEDLEHFEDIVEETASESSLPSKKEENNADICVGEAANSDSYSSEDEGVLPSSYSDDDISEDEKELFREIPKDQHHKEPKIISNQNALTSPKSTAKPFLPGGYDPRHREPSYSNADRASWWELMVLSTHVHPSVATMAATLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKASSWHGGSQIEPAKKLDMNNYLIGQEILSLAETDVPPEDLVFHKFYMNKMNSSKKPKKKKKKKAAEGEAAEELFDVGGNVVDDDYVDGGDDSDNEEIENILDSANPSLDADGDYDYDDLDNVANEDDDDLIGDASDAEMDIPSDDTDGEGFDVDAGTDSINDDGDDAIAIGDADDLSDGEDEFHQRKRKRKSGKKTSASPFASLEDYEHLLNEDSPTEKDSIRTKKSKPRKKKLSK >KJB70078 pep chromosome:Graimondii2_0_v6:11:4490355:4497135:1 gene:B456_011G056800 transcript:KJB70078 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT1G72440) UniProtKB/TrEMBL;Acc:F4IDC2] MVLVNFMYFSNLLITHLNHFRLLPDRKLKTLIQRPVNELPETKDGYSLLLFWYWEDCLKQRYERFVVALEEASRDMLPALKDKALKTMYVLLKSKPEQERKLLSSLVNKLGDPQNKGASNADYYLSNLLSDHPNMKAVVIDEVDTFLFRPHLGLRAKYHAVNFLSQIRLSHKGDGPRVAKRLIEVYFALFKVLISEAEKGQPVDDKSNKAVKSTHKSKENKRKGSRESHVELDSRLLSALLMGVNRAFPYVSSNEADDIVDIETPILFQLVHSKNFNVGVQALMLLDKISSKNQVVSDRFYRALYSKLLLPAAMNSSKAEMFIGLLLRAMKTDVNLKRVSAFSKRILQVALQQPPQYACGCLFLISEVLKARPQLWNMMLQNESVDEDLEHFEDIVEETASESSLPSKKEENNADICVGEAANSDSYSSEDEGVLPSSYSDDDISEDEKELFREIPKDQHHKEPKIISNQNALTSPKSTAKPFLPGGYDPRHREPSYSNADRASWWELMVLSTHVHPSVATMAATLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKASSWHGGSQIEPAKKLDMNNYLIGQEILSLAETDVPPEDLVFHKFYMNKMNSSKKPKKKKKKKAAEGEAAEELFDVGGNVVDDDYVDGGDDSDNEEIENILDSANPSLDADGDYDYDDLDNVANEDDDDLIGDASDAEMDIPSDDTDGEGFDVDAGTDSINDDGDDAIAIGDADDLSDGEDEFHQRKRKRKSGKKTSASPFASLEDYEHLLNEDSPTEKDSIRTKKSKPRKKKLSK >KJB70077 pep chromosome:Graimondii2_0_v6:11:4489049:4495964:1 gene:B456_011G056800 transcript:KJB70077 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT1G72440) UniProtKB/TrEMBL;Acc:F4IDC2] MSNSKTAQDVELLKSDIASFASSLGFSADSSLPYSGFNDVDFRKAGPLKPPKPPKTANTPKQNSQLEKKRSNTQTPKTDKNTKNNQRPKPKPPALSLDDSNKSNRFSRDPDKFKNLPALPLVKASALSAWYEDESELEKKLFGEEGKGKKAVNVRNVEELERLVEKKTELGERLMWQYAKDYELSKGKSGDMKMVLASQRSGTAADKVSAFSFVVADNPVANLKSLDGLLGLVTSKVGKRYAFTGFEALKELFISKLLPDRKLKTLIQRPVNELPETKDGYSLLLFWYWEDCLKQRYERFVVALEEASRDMLPALKDKALKTMYVLLKSKPEQERKLLSSLVNKLGDPQNKGASNADYYLSNLLSDHPNMKAVVIDEVDTFLFRPHLGLRAKYHAVNFLSQIRLSHKGDGPRVAKRLIEVYFALFKVLISEAEKGQPVDDKSNKAVKSTHKSKENKRKGSRESHVELDSRLLSALLMGVNRAFPYVSSNEADDIVDIETPILFQLVHSKNFNVGVQALMLLDKISSKNQVVSDRFYRALYSKLLLPAAMNSSKAEMFIGLLLRAMKTDVNLKRVSAFSKRILQVALQQPPQYACGCLFLISEVLKARPQLWNMMLQNESVDEDLEHFEDIVEETASESSLPSKKEENNADICVGEAANSDSYSSEDEGVLPSSYSDDDISEDEKELFREIPKDQHHKEPKIISNQNALTSPKSTAKPFLPGGYDPRHREPSYSNADRASWWELMVLSTHVHPSVATMAATLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKASSWHGGSQIEPAKKVSFIFSKSFCFDFEVSITFEELSSLCARLLID >KJB70075 pep chromosome:Graimondii2_0_v6:11:4488969:4497135:1 gene:B456_011G056800 transcript:KJB70075 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT1G72440) UniProtKB/TrEMBL;Acc:F4IDC2] MSNSKTAQDVELLKSDIASFASSLGFSADSSLPYSGFNDVDFRKAGPLKPPKPPKTANTPKQNSQLEKKRSNTQTPKTDKNTKNNQRPKPKPPALSLDDSNKSNRFSRDPDKFKNLPALPLVKASALSAWYEDESELEKKLFGEEGKGKKAVNVRNVEELERLVEKKTELGERLMWQYAKDYELSKGKSGDMKMVLASQRSGTAADKVSAFSFVVADNPVANLKSLDGLLGLVTSKVGKRYAFTGFEALKELFISKLLPDRKLKTLIQRPVNELPETKDGYSLLLFWYWEDCLKQRYERFVVALEEASRDMLPALKDKALKTMYVLLKSKPEQERKLLSSLVNKLGDPQNKGASNADYYLSNLLSDHPNMKAVVIDEVDTFLFRPHLGLRAKYHAVNFLSQIRLSHKGDGPRVAKRLIEVYFALFKVLISEAEKGQPVDDKSNKAVKSTHKSKENKRKGSRESHVELDSRLLSALLMGVNRAFPYVSSNEADDIVDIETPILFQLVHSKNFNVGVQALMLLDKISSKNQVVSDRFYRALYSKLLLPAAMNSSKAEMFIGLLLRAMKTDVNLKRVSAFSKRILQVALQQPPQYACGCLFLISEVLKARPQLWNMMLQNESVDEDLEHFEDIVEETASESSLPSKKEENNADICVGEAANSDSYSSEDEGVLPSSYSDDDISEDEKELFREIPKDQHHKEPKIISNQNALTSPKSTAKPFLPGGYDPRHREPSYSNADRASWWELMVLSTHVHPSVATMAATLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKASSWHGGSQIEPAKKLDMNNYLIGQEILSLAETDVPPEDLVFHKFYMNKMNSSKKPKKKKKKKAAEGEAAEELFDVGGNVVDDDYVDGGDDSDNEEIENILDSANPSLDADGDYDYDDLDNVANEDDDDLIGDASDAEMDIPSDDTDGEGFDVDAGTDSINDDGDDAIAIGDADDLSDGEDEFHQRKRKRKSGKKTSASPFASLEDYEHLLNEDSPTEKDSIRTKKSKPRKKKLSK >KJB74200 pep chromosome:Graimondii2_0_v6:11:61116668:61120435:1 gene:B456_011G279000 transcript:KJB74200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNSRFFTIGLVASWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSRVQFLKISALSLVFCVSVVFGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTLKREAWLTYLTLVPVVTGVIIASGGEPSFHLFGFIMCVAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEENVVGITIALARDDMKIVWYLIFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVFGVILYSEAKKRNK >KJB73371 pep chromosome:Graimondii2_0_v6:11:54304175:54312414:1 gene:B456_011G229600 transcript:KJB73371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT5G03540) UniProtKB/TrEMBL;Acc:F4KGM7] MGIAVAGMDFLSDRASMMREALQKSQTITDNVVSILGSFDSRLSALETAMRPTQIRTHAIRKAHENIDKSLKSAEVILAQFDLSRQAEAKILKGPHEDLEGYLGAIDQLRSNIRFFSSNKNFKNSEGVINHSNTLLAKAISKLEDEFKQRLNSYSKPIEPDRLFDGLPNSLRPSSGSPGNQGEPGGRGPSHNHSEHQNVDLETAVYTPPTLIPPRIIPLLHDLAKQMVRAGHNQQLLKIYKETRSLVLEESLRKLGVEKLGKDDVQKMQWEVLEAKIGNWIHFMRIAVKLLFAGERKVCDEMFEGFDSLSDQCFAEVTASSVSVLLSFGEAIARSKRSPEKLFVLLDMYEIMRELHSEIETIFKGKACNEIRESALGLTKRLAQTAQETFGDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQSTLTQLFQEFETGDGKVSQLASVTMRIMQALQTNLDGKSKQYRDPALTHLFLMNNIHYMVRSVRRSEAKDLLGDDWVQIHRRIVQQHANQYKRNAWQKILQCLSIQGLTSSGGGSSVGDGGNSSGVSRALIKDRFKIFNMQFEELHQRQSQWTVPDTELRESLRLAVAEVLLPAYRSFLKRFGPLVENGKNPQKYVKYTAEDLERMLGEFFEGKNINEPKR >KJB73372 pep chromosome:Graimondii2_0_v6:11:54304185:54311689:1 gene:B456_011G229600 transcript:KJB73372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT5G03540) UniProtKB/TrEMBL;Acc:F4KGM7] MGIAVAGMDFLSDRASMMREALQKSQTITDNVVSILGSFDSRLSALETAMRPTQIRTHAIRKAHENIDKSLKSAEVILAQFDLSRQAEAKILKGPHEDLEGYLGAIDQLRSNIRFFSSNKNFKNSEGVINHSNTLLAKAISKLEDEFKQRLNSYSKPIEPDRLFDGLPNSLRPSSGSPGNQGEPGGRGPSHNHSEHQNVDLETAVYTPPTLIPPRIIPLLHDLAKQMVRAGHNQQLLKIYKETRSLVLEESLRKLGVEKLGKDDVQKMQWEVLEAKIGNWIHFMRIAVKLLFAGERKVCDEMFEGFDSLSDQCFAEVTASSVSVLLSFGEAIARSKRSPEKLFVLLDMYEIMRELHSEIETIFKGKACNEIRESALGLTKRLAQTAQETFGDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQSTLTQLFQEFETGDGKVSQLASVTMRIMQALQTNLDGKSKQYRDPALTHLFLMNNIHYMVRSVRRSEAKDLLGDDWVQIHRRIVQQHANQYKRNAWQKILQCLSIQGLTSSGGGSSVGDGGNSSGVSRALIKDRFKIFNMQFEELHQRQSQWTVPDTELRESLRLAVAEVLLPAYRSFLKRFGYILLIHKPIILSKTKILKNL >KJB69188 pep chromosome:Graimondii2_0_v6:11:710385:712906:-1 gene:B456_011G009800 transcript:KJB69188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDQEIARGVEILLRQSDPNAVTTLNGVVQQLEAKLGLDLSHKAGFIRDHISLLLRSHPTTPKDHFTLQQQHTQFLSYPHQQFPARFAPQHHHYNHHPHFPSHDLSFRQHPQSHSRAPFTAQPPPPPVQPQQQQLQPVVTKGQVNATTVAATEVLKESAPVGTKRRGGPGGLNKVCSVSPALQVIVGEPALPRTEIVKQLWAYIRKNSLQDPSNKRKIICDDALRIVFETDCTDMFKMNKLLAKHITAFEPSKESSQSKRAQADAEPKTESVELSDSPVVISEALAEFLDAEGREMQATEAERRVWEYIKLNHLEDPSNSMVVLCDAKLRELLGCESISVMGIHDSLQCHHLFKPS >KJB69189 pep chromosome:Graimondii2_0_v6:11:709816:713193:-1 gene:B456_011G009800 transcript:KJB69189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDQEIARGVEILLRQSDPNAVTTLNGVVQQLEAKLGLDLSHKAGFIRDHISLLLRSHPTTPKDHFTLQQQHTQFLSYPHQQFPARFAPQHHHYNHHPHFPSHDLSFRQHPQSHSRAPFTAQPPPPPVQPQQQQLQPVVTKGQVNATTVAATEVLKESAPVGTKRRGGPGGLNKVCSVSPALQVIVGEPALPRTEIVKQLWAYIRKNSLQDPSNKRKIICDDALRIVFETDCTDMFKMNKLLAKHITAFEPSKESSQSKRYIKLNHLEDPSNSMVVLCDAKLRELLGCESISVMGIHDSLQCHHLFKPS >KJB70573 pep chromosome:Graimondii2_0_v6:11:8056897:8058929:-1 gene:B456_011G080400 transcript:KJB70573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGSKVEVLTMKEVPTGAWRCAEIISGNGHTYCVKYGWFPITGKAALVERVPRKAIRPCPPPINGTDDWVPGDVVEVFDELCWKPAVIVRVFGGNNMFSVRILGLKSQLKAHQSRLRVRQSWEDGNWLLVGKGSSNSSGPPKRKRSSLGFPEAGGKKMKVIEKGSFGGQRLIVRLPCPASEKVDAFVYPKNILGERCMPSSFRRLDDTLSCTSSVGSCSGLGNNGLNLSPSYATNGCENLEDYCSDADSYSERCCGEDGSSVSPSVELGTDFHRSELHAYQKALWALHASGPLTWEKEEKVTNLRRSLNISNDEHLRELRKLRHDDNRFFISCC >KJB70572 pep chromosome:Graimondii2_0_v6:11:8056509:8058964:-1 gene:B456_011G080400 transcript:KJB70572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGSKVEVLTMKEVPTGAWRCAEIISGNGHTYCVKYGWFPITGKAALVERVPRKAIRPCPPPINGTDDWVPGDVVEVFDELCWKPAVIVRVFGGNNMFSVRILGLKSQLKAHQSRLRVRQSWEDGNWLLVGKGSSNSSGPPKRKRSSLGFPEAGGKKMKVIEKGSFGGQRLIVRLPCPASEKVDAFVYPKNILGERCMPSSFRRLDDTLSCTSSVGSCSGLGNNGLNLSPSYATNGCENLEDYCSDADSYSERCCGEDGSSVSPSVELGTDFHRSELHAYQKALWALHASGPLTWEKEEKNISWPMLEASILCF >KJB72887 pep chromosome:Graimondii2_0_v6:11:49079947:49082568:-1 gene:B456_011G202600 transcript:KJB72887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLHSSTKIVAPTLPKLQIKCGFFGNQHSFSIFPQQNSCLGRRKLFIVEAKKNKNYDNKQDSHSFIPKPDETTGFFPEAVLLKEKKVQEDGRLLPEFADEEEQELYESLNLELQSSLNLERMRHYEVVYLVHEKHDEEVESVNEKVQDFLREKKGRLWRLNDWGLRRLAYKIKKAKNAHYILMNFELEARWINEFKTMLDQDERVIRHLVIKRDEAITEDCPPPPDWHTVRAGGDDEAEVEDDEDYDDGDDVYEVDDDEELEEEDWDGEDDVDIFEDGIVAGDDDYIEESNHKSGSVGNEGRRNLKAQKVSK >KJB71822 pep chromosome:Graimondii2_0_v6:11:22543659:22547367:1 gene:B456_011G142900 transcript:KJB71822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPKQTSMAIKSYKNQAQMLVKNYLLADPFAPYTSILGGILAFKVVYDLADLINNFYIKTYPSLTKIQRVDWNNRGISITHAISVSALSLYFIFWSDLFSDPHLMGLMVFRSSQLSTFGLGVFSAFGFVHKITTLEKTVGFQSGIYILNGDWCY >KJB71821 pep chromosome:Graimondii2_0_v6:11:22543659:22549407:1 gene:B456_011G142900 transcript:KJB71821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPKQTSMAIKSYKNQAQMLVKNYLLADPFAPYTSILGGILAFKVVYDLADLINNFYIKTYPSLTKIQRVDWNNRGISITHAISVSALSLYFIFWSDLFSDPHLMGLMVFRSSQLSTFGLGVFSAFGFVHKITTLEKTVGFQSGIYILNGDWCY >KJB70414 pep chromosome:Graimondii2_0_v6:11:6784095:6784751:-1 gene:B456_011G072200 transcript:KJB70414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNLPVITKRVWSIVRALLFMMRKGIFSKKKFMVDLNMVLKRGKIAGAKAIGNLMFHHHHRQVSPKCSMDVSTAAVAKAAVQEYEFSCSNTPNYTFPFNLAAKKKNGGIHNYYHHFFGCAHAPPTHEDDMVTMNAVKVVLEMLNNNDNTMMEATVAASPMLPGFGQTPLARQLRITDSPFPLRDVDEDNGYVDKAAEDFINRFYKNLRQQDKRLSEL >KJB72052 pep chromosome:Graimondii2_0_v6:11:27190297:27195841:1 gene:B456_011G155900 transcript:KJB72052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase, Sucrose synthesis in pollen germinatio [Source: Projected from Oryza sativa (Os01g0919400)] MDNSLEWEELQRLAKRRWERELVRKDATEDLAEDLSEGEKGDTVSELIHAETPRVSFHSNASSLELWSDDKKEKKLYIILISLHGLVRGENMELGRDSDTGGQVKYVVELSRALAKMPGVYRVDLFTRQVLSPEVDWSYGEPTEMLTTASEDADGNDVGESSGAYIIRIPFGPRDKYLHKELLWPYIQEFVDGALAHILNMSKVLGEQVGGGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSMYKIMRRIEAEELSLDVAELVITSTQQEIEEQWGLYDGFDVKLEKILRARARRGVNCHGRYMPRMVVIPPGMDFSSVVVQEDASEVDGELPTVIGGTDGSSPKAIPTIWSEVMRFLTNPHKPMILALSRPDPKKNITTLLKAFGECRPLRELANLTLIMGNRDDIDEMTGGNASVLITVLKLIDKYDLYGLVAYPKHHKQTDVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIQRALNNGLLVDPHDQQAIADALLKLVSEKNLWHDCRRNGWKNIHLYSWPEHCRTYLTKVAACRMRHTQWKTDTPGDEVSAEVSSLNDSLKDVHDMSLRLSVDDKASLTGSLDPVASSSGEPDVQDQVKRVLSKIKKPETNSKENEGVKLENVPSKYPILRRRHRLVVLILDCYDSNGLPEKKMAQIIQDIFKAVRLDTQNAKFTGFAISTAMPVSETMEFLKSAKIQVNEFDALICGSGSEVYYPGTYTAEDGKLFADPDYASHIAFHWGYEGLKKTIWKLMNPEGEQKSPCAEEDVKSSNAHCVAYFVKELSKAKKVDDLRQKLRMRGLRCHPMYCRNSTRMQVVPLLASRAQALRYLFVRWRLNVANMFVIVGETGDTDYEELIAGAHKTLIMKEVVTKGSEALLRTTDLRNDIVPSDSLLIASIKGGATPEEISEALKALSKASL >KJB72051 pep chromosome:Graimondii2_0_v6:11:27189106:27195620:1 gene:B456_011G155900 transcript:KJB72051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase, Sucrose synthesis in pollen germinatio [Source: Projected from Oryza sativa (Os01g0919400)] MAGNEWINGYLEAILDSGAAAIEEQKPMVDLRERGHFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNARERSSRLENMCWRIWHLTRKKKQLEWEELQRLAKRRWERELVRKDATEDLAEDLSEGEKGDTVSELIHAETPRVSFHSNASSLELWSDDKKEKKLYIILISLHGLVRGENMELGRDSDTGGQVKYVVELSRALAKMPGVYRVDLFTRQVLSPEVDWSYGEPTEMLTTASEDADGNDVGESSGAYIIRIPFGPRDKYLHKELLWPYIQEFVDGALAHILNMSKVLGEQVGGGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSMYKIMRRIEAEELSLDVAELVITSTQQEIEEQWGLYDGFDVKLEKILRARARRGVNCHGRYMPRMVVIPPGMDFSSVVVQEDASEVDGELPTVIGGTDGSSPKAIPTIWSEVMRFLTNPHKPMILALSRPDPKKNITTLLKAFGECRPLRELANLTLIMGNRDDIDEMTGGNASVLITVLKLIDKYDLYGLVAYPKHHKQTDVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIQRALNNGLLVDPHDQQAIADALLKLVSEKNLWHDCRRNGWKNIHLYSWPEHCRTYLTKVAACRMRHTQWKTDTPGDEVSAEVSSLNDSLKDVHDMSLRLSVDDKASLTGSLDPVASSSGEPDVQDQVKRVLSKIKKPETNSKENEGVKLENVPSKYPILRRRHRLVVLILDCYDSNGLPEKKMAQIIQDIFKAVRLDTQNAKFTGFAISTAMPVSETMEFLKSAKIQVNEFDALICGSGSEVYYPGTYTAEDGKLFADPDYASHIAFHWGYEGLKKTIWKLMNPEGEQKSPCAEEDVKSSNAHCVAYFVKELSKVYIPIGNIRTNSIFCLNLMVAAFTGQES >KJB72050 pep chromosome:Graimondii2_0_v6:11:27188825:27195841:1 gene:B456_011G155900 transcript:KJB72050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase, Sucrose synthesis in pollen germinatio [Source: Projected from Oryza sativa (Os01g0919400)] MAGNEWINGYLEAILDSGAAAIEEQKPMVDLRERGHFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNARERSSRLENMCWRIWHLTRKKKQLEWEELQRLAKRRWERELVRKDATEDLAEDLSEGEKGDTVSELIHAETPRVSFHSNASSLELWSDDKKEKKLYIILISLHGLVRGENMELGRDSDTGGQVKYVVELSRALAKMPGVYRVDLFTRQVLSPEVDWSYGEPTEMLTTASEDADGNDVGESSGAYIIRIPFGPRDKYLHKELLWPYIQEFVDGALAHILNMSKVLGEQVGGGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSMYKIMRRIEAEELSLDVAELVITSTQQEIEEQWGLYDGFDVKLEKILRARARRGVNCHGRYMPRMVVIPPGMDFSSVVVQEDASEVDGELPTVIGGTDGSSPKAIPTIWSEVMRFLTNPHKPMILALSRPDPKKNITTLLKAFGECRPLRELANLTLIMGNRDDIDEMTGGNASVLITVLKLIDKYDLYGLVAYPKHHKQTDVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIQRALNNGLLVDPHDQQAIADALLKLVSEKNLWHDCRRNGWKNIHLYSWPEHCRTYLTKVAACRMRHTQWKTDTPGDEVSAEVSSLNDSLKDVHDMSLRLSVDDKASLTGSLDPVASSSGEPDVQDQVKRVLSKIKKPETNSKENEGVKLENVPSKYPILRRRHRLVVLILDCYDSNGLPEKKMAQIIQDIFKAVRLDTQNAKFTGFAISTAMPVSETMEFLKSAKIQVNEFDALICGSGSEVYYPGTYTAEDGKLFADPDYASHIAFHWGYEGLKKTIWKLMNPEGEQKSPCAEEDVKSSNAHCVAYFVKELSKAKKVDDLRQKLRMRGLRCHPMYCRNSTRMQVVPLLASRAQALRYLFVRWRLNVANMFVIVGETGDTDYEELIAGAHKTLIMKEVVTKGSEALLRTTDLRNDIVPSDSLLIASIKGGATPEEISEALKALSKASL >KJB72049 pep chromosome:Graimondii2_0_v6:11:27188825:27196163:1 gene:B456_011G155900 transcript:KJB72049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase, Sucrose synthesis in pollen germinatio [Source: Projected from Oryza sativa (Os01g0919400)] MAGNEWINGYLEAILDSGAAAIEEQKPMVDLRERGHFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNARERSSRLENMCWRIWHLTRKKKQLEWEELQRLAKRRWERELVRKDATEDLAEDLSEGEKGDTVSELIHAETPRVSFHSNASSLELWSDDKKEKKLYIILISLHGLVRGENMELGRDSDTGGQVKYVVELSRALAKMPGVYRVDLFTRQVLSPEVDWSYGEPTEMLTTASEDADGNDVGESSGAYIIRIPFGPRDKYLHKELLWPYIQEFVDGALAHILNMSKVLGEQVGGGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSMYKIMRRIEAEELSLDVAELVITSTQQEIEEQWGLYDGFDVKLEKILRARARRGVNCHGRYMPRMVVIPPGMDFSSVVVQEDASEVDGELPTVIGGTDGSSPKAIPTIWSEVMRFLTNPHKPMILALSRPDPKKNITTLLKAFGECRPLRELANLTLIMGNRDDIDEMTGGNASVLITVLKLIDKYDLYGLVAYPKHHKQTDVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIQRALNNGLLVDPHDQQAIADALLKLVSEKNLWHDCRRNGWKNIHLYSWPEHCRTYLTKVAACRMRHTQWKTDTPGDEVSAEVSSLNDSLKDVHDMSLRLSVDDKASLTGSLDPVASSSGEPDVQDQVKRVLSKIKKPETNSKENEGVKLENVPSKYPILRRRHRLVVLILDCYDSNGLPEKKMAQIIQDIFKAVRLDTQNAKFTGFAISTAMPVSETMEFLKSAKIQVNEFDALICGSGSEVYYPGTYTAEDGKLFADPDYASHIAFHWGYEGLKKTIWKLMNPEGEQKSPCAEEDVKSSNAHCVAYFVKELSKAKKVDDLRQKLRMRGLRCHPMYCRNSTRMQVVPLLASRAQALRYLFVRWRLNVANMFVIVGETGDTDYEELIAGAHKTLIMKEVVTKGSEALLRTTDLRNDIVPSDSLLIASIKGGATPEEISEALKALSKASL >KJB70494 pep chromosome:Graimondii2_0_v6:11:7315150:7315529:1 gene:B456_011G076000 transcript:KJB70494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKIMRNYIPMVWTKPQCKTKTTLENPNSKLLSMSSPPKNINLISCGSMIHVSDIKLIRTDTTLDLSQKAEKGISLNSY >KJB74240 pep chromosome:Graimondii2_0_v6:11:61410267:61414616:1 gene:B456_011G282100 transcript:KJB74240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSRQMKHQVFLSFRGEDTRLNFTSHLLKALKDTGVNVFFDEDTLEKGDQLSLALSQAIATSNLSIIVLSVNYASSKSCLAELSDIMDRKDTEGHIVLPIFYHVDPSDVRNLAGRFKTSFDVHESEKLDQVQQWQAAFVEVGKLKGWRIEGGKFDRPETEYIKDIVEYVIKKLMNSKFGSASEEFIGIDDQKDTILRLIEQEDSRVIGLWGMGGIGKTTLADAVYKEAFPKFKDHCFLLNVSQKSEKQGIESLRNEFLSELLNQKIHIGTLSIGFPLIERLNNKRVIVVLDDVNDPDQIDFMGVKYFGDGSKIIITSRDRQVLKNGGADKIYEVKKLNENDSLQLFSTFAFKLLNPSVDFRDLSNKFVKYAQGNPLALKVLGSKLYTKTKKDWECEVEKLKEYGQPKILQILKRSFDGLEELEKNIFLDIACFFKWISKEEAENILSSCYKGAVCGISNLIDKCLLDISPVEHISVHDMLEEMAKDIVRQESKSIGMRSRLWDPKDVDKVLRYNKGTESIEGIELDMSQIKHVLRLHPSTVGNMLNLKYLNFYSRNTDKKLLADEHDNVSLPNELRYLWWRYYPFRNLLSFNPKNLVILGLSDGNMEQLWDDDDHQDLVNLRTIVLNGCKNLRKFPKLLGAINLEDIECRECESLVELPCLNHLASLASITLHKCRSLKEFLELPKNISYLDLGETGVEEVPDSIEHLTRLEVLNLRDTMVKNVSTRISKLEHLWLLDLSYSSIAEFPEIPINLQHLRLADTEIEEVPSRFDCQSSLTLSDLSGTSITTVDVATFIRFENLEHLKMNYCHKLKLLSEVPPNLSYLEAHGCTSLEKVTFIDRNRFEVPNEIFMIFSDCFNLNKDSIDNIEETAMFKVGAQVERWISLWESGCESQRLFFCFPGNEISANKFEFQSLNSSINLKIAPNWRIGGRFLAFAIFLVADLTNCSRYEYIERICEYQLKATSGGNEKFKTKWSDRRVLDFESVFECNGEHVLILCWNKETITGCFVSCLNSKPRALVKKQTQKQKQKNETS >KJB71674 pep chromosome:Graimondii2_0_v6:11:20997161:21003239:-1 gene:B456_011G136900 transcript:KJB71674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVEETAKAVSDLALDSSAAGETQSKNARKKELKNKQREEERRRKEEEKAAKQAAAKVSTQSQKHAAADDEDMDPTQFHENRLKFLAAQKAEGKNPYPHKFFTSMSIVEYIDKYGSLGNGEHIEDATVSLAGRIMSKRASSSKLFFYDLHGGGAKVQVMADASKSGLDEAEFAKFHSTVKRGDIVGVTGFPGKTKRGELSIFPKSFLVLSHCLHMMPRQKAGPDASVKKTDVWIPGSTRNPETYILKDQETRYRQRYLDLMLNLEVRQIFKTRSKIISYVRSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMKLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMELTEKMLSGMVKELTGSYKIKYHANGLDNAPIEIDFTPPFRRLDMVEELEKMANLNIPKDFSSDETNKYLADACAKLEIKCPPPQTTARLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELCNAYTELNDPVVQRQRFAEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLAMLLTDSQNIKEVLLFPAMKPQDEPSTKAAPAT >KJB71675 pep chromosome:Graimondii2_0_v6:11:20997226:21002243:-1 gene:B456_011G136900 transcript:KJB71675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTQFHENRLKFLAAQKAEGKNPYPHKFFTSMSIVEYIDKYGSLGNGEHIEDATVSLAGRIMSKRASSSKLFFYDLHGGGAKVQVMADASKSGLDEAEFAKFHSTVKRGDIVGVTGFPGKTKRGELSIFPKSFLVLSHCLHMMPRQKAGPDASVKKTDVWIPGSTRNPETYILKDQETRYRQRYLDLMLNLEVRQIFKTRSKIISYVRSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMKLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMELTEKMLSGMVKELTGSYKIKYHANGLDNAPIEIDFTPPFRRLDMVEELEKMANLNIPKDFSSDETNKYLADACAKLEIKCPPPQTTARLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELCNAYTELNDPVVQRQRFAEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLAMLLTDSQNIKEVLLFPAMKPQDEPSTKAPAT >KJB71676 pep chromosome:Graimondii2_0_v6:11:20999068:21003239:-1 gene:B456_011G136900 transcript:KJB71676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVEETAKAVSDLALDSSAAGETQSKNARKKELKNKQREEERRRKEEEKAAKQAAAKVSTQSQKHAAADDEDMDPTQFHENRLKFLAAQKAEGKNPYPHKFFTSMSIVEYIDKYGSLGNGEHIEDATVSLAGRIMSKRASSSKLFFYDLHGGGAKVQVMADASKSGLDEAEFAKFHSTVKRGDIVGVTGFPGKTKRGELSIFPKSFLVLSHCLHMMPRQKAGPDASVKKTDVWIPGSTRNPETYILKDQETRYRQRYLDLMLNLEVRQIFKTRSKIISYVRSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMKLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMELTEKMLSGK >KJB71673 pep chromosome:Graimondii2_0_v6:11:20997065:21003264:-1 gene:B456_011G136900 transcript:KJB71673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVEETAKAVSDLALDSSAAGETQSKNARKKELKNKQREEERRRKEEEKAAKQAAAKVSTQSQKHAAADDEDMDPTQFHENRLKFLAAQKAEGKNPYPHKFFTSMSIVEYIDKYGSLGNGEHIEDATVSLAGRIMSKRASSSKLFFYDLHGGGAKVQVMADASKSGLDEAEFAKFHSTVKRGDIVGVTGFPGKTKRGELSIFPKSFLVLSHCLHMMPRQKAGPDASVKKTDVWIPGSTRNPETYILKDQETRYRQRYLDLMLNLEVRQIFKTRSKIISYVRSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMKLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMELTEKMLSGMVKELTGSYKIKYHANGLDNAPIEIDFTPPFRRLDMVEELEKMANLNIPKDFSSDETNKYLADACAKLEIKCPPPQTTARLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELCNAYTELNDPVVQRQRFAEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLAMLLTDSQNIKEVLLFPAMKPQDEPSTKAPAT >KJB72428 pep chromosome:Graimondii2_0_v6:11:41586108:41588086:1 gene:B456_011G177900 transcript:KJB72428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSRAGSRRCNIHRRLFSGAARRIEDEGDWLYSPEWWGSESESEFNGNTVFRSVSDKGNGVISVHAYPSSRPNKVHWPETEKWLQQRYGEIYPGYEHSGSFKILGYQWRVLRFNDNTRQSTVKIMAAYRDTEPGSIFIMQQAQCLAIPSVHGKKRMHILCIGHGGGSLPLFLASKIQGAEIHVVEIDPIVISASVQAMGFPGFSVMTPSGERALLEPDTINEVMWKGIHERLYLYETDAEKYVVENNNLYDIIFVDAYDGDDIFPNKLWDPHSPFLKSLSDRLHPEHGTVVVNLHADSDISNPSETVSYFYQRLLPMGKYVSRVCKAYKDVLIRNKVTRGGKFGCGLGFAISVPWVCNTSLVVSRGFGLTSGFVNRDMVMNTLVSSSVKVDHMLNLPFSCLEYINIKRDCTLI >KJB72427 pep chromosome:Graimondii2_0_v6:11:41586099:41588138:1 gene:B456_011G177900 transcript:KJB72427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSRAGSRRCNIHRRLFSGAARRIEDEGDWLYSPEWWGSESESEFNGNTVFRSVSDKGNGVISVHAYPSSRPNKVHWPETEKWLQQRYGEIYPGYEHSGSFKILGYQWRVLRFNDNTRQSTVKIMAAYRDTEPGSIFIMQQAQCLAIPYVKSMVSLGLSTIASSKYDLMAAVHGKKRMHILCIGHGGGSLPLFLASKIQGAEIHVVEIDPIVISASVQAMGFPGFSVMTPSGERALLEPDTINEVMWKGIHERLYLYETDAEKYVVENNNLYDIIFVDAYDGDDIFPNKLWDPHSPFLKSLSDRLHPEHGTVVVNLHADSDISNPSETVSYFYQRLLPMGKYVSRVCKAYKDVLIRNKVTRGGKFGCGLGFAISVPWVCNTSLVVSRGFGLTSGFVNRDMVMNTLVSSSVKVDHMLNLPFSCLEYINIKRDCTLI >KJB73582 pep chromosome:Graimondii2_0_v6:11:56384545:56388154:1 gene:B456_011G239600 transcript:KJB73582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFLLKNQWNETDRLALLTLKQHLVGANSPGPLLSWNASLHFCYWYGIRCDRNRQRVIGLQLGTLKVAAAGTITPSIGNLSALRVVNLSDNSLQGTIPREFGYLKQLRLLDLSNNDLHGNIPIELNNCSSMQNINLILNSLTGKIPFGSSDYHMKNLTMLTLAANYLSGGIPSSLANLSSLDFLELSRNQLEGNLPNDLSRLSNLKVLVFSRNNLSGTIPSSIYNLSSLTYIDMGSNQLSGEIAPEIGFLFPKLEILYIGGNQFTGKIPRSLANISSLDQLDINSNGFCGPVPENLGKLQNLTLLAIDYNHLGSGKDGDLDFVSSLTNCSGLKLLAIHNNRLGGVLPDSVANLSTQIEILFMGENRISGNIQGIGNLFKLTQLDLGGNLLTGKIPSSIGKLQNLVTFNLSMNHLSGPIPSSIGNLSQLSLLDLNGNNLEGRVPLTLKNCKDMSKLYLSGNELHGDLSNQLIGSFEKLITLNLSHNSFTGVFPSDIVNSKDLVELYVNNNNFIGRIPSQIAIESLDLSANNLSNTIPSELQKLPFLLSLNLSFNQLEGEVPREGVFKNTSQFSIIGNQNLCGGIPEIQLPRCSVNQEAKNKGSALSTQALLIMILSILIVSILVALIVVICWRKRSRREPINRPATPLLSVGCSRVSYQELLEATNGFSASNLLGEGAFGTVYKGILHQHKNPIVVRVLNLQNVEAIKSFEVECEALRKIRHRNLVKAIVLEFMANGSLDKWLKCDSSRHLNFGQTLDVAIDVGNALDHLHHQCESMIIHRDLKPANVLLNNDMVAHVSDFGLAMILSDTTSKLGFVQATSSLIKGTIGYIAPEYGMGGPTSPEGDIYSYGILLLEIITGKMPTDELFNNGSSLQNFCKMGLMSLQQLKEIVDIRLLKQINDPNKSQNMEVDGDDIIWKCFVAFINVGVACSVEVPFERMKIEDAIKELQAIKRLYQYHHIVC >KJB69720 pep chromosome:Graimondii2_0_v6:11:2886830:2889889:-1 gene:B456_011G038700 transcript:KJB69720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKKESEGIALLSMYNDEDDEEMGDIEEGDHRQNQQTGEEEEQQQEGQPLEEENEYRESNNNMEEDSRTNDNTPPFPHQNTNLSQQQQEPSVSSPQQPQPFVASKRSGGGRLTIVDYGHDESAMSPEPEEGELGSSDDLMIGIEQQNANGDFQGKTPPAAVQLTPQSSDLEPLQPETLTNAVNESDGVEVEEAVSLDNVDPLDKFLPPPPKVKCSEELQRKIDKFLNLKRAGKSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFYDEIEADMKCERERKEQESKKNQKVEFVPGGNQPGAVLPAPKVSMPTAGPTAVDNNIVRDGRQNKKSKWDKVDSDRRNPLPAGAQHSLSAAGAHTVILSAANAGTGYTAFAQQKRRETEEKKSSEKRLDRRS >KJB69724 pep chromosome:Graimondii2_0_v6:11:2888203:2890058:-1 gene:B456_011G038700 transcript:KJB69724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKKESEGIALLSMYNDEDDEEMGDIEEGDHRQNQQTGEEEEQQQEGQPLEEENEYRESNNNMEEDSRTNDNTPPFPHQNTNLSQQQQEPSVSSPQQPQPFVASKRSGGGRLTIVDYGHDESAMSPEPEEGELGSSDDLMIGIEQQNANGDFQGKTPPAAVQLTPQSSDLEPLQPETLTNAVNESDGVEVEEAVSLDNVDPLDKFLPPPPKVKCSEELQRKIDKFLNLKRAGKSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFYDEIGYNISFYNSLFYILNQCCQG >KJB69721 pep chromosome:Graimondii2_0_v6:11:2886204:2890058:-1 gene:B456_011G038700 transcript:KJB69721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKKESEGIALLSMYNDEDDEEMGDIEEGDHRQNQQTGEEEEQQQEGQPLEEENEYRESNNNMEEDSRTNDNTPPFPHQNTNLSQQQQEPSVSSPQQPQPFVASKRSGGGRLTIVDYGHDESAMSPEPEEGELGSSDDLMIGIEQQNANGDFQGKTPPAAVQLTPQSSDLEPLQPETLTNAVNESDGVEVEEAVSLDNVDPLDKFLPPPPKVKCSEELQRKIDKFLNLKRAGKSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFYDEIEADMKCERERKEQESKKNQKVEFVPGGNQPGAVLPAPKVSMPTAGPTAVDNNIVRDGRQNKKSKWDKVDSDRRNPLPAGAQHSLSAAGAHTVILSAANAGTGYTAFAQQKRRETEEKKSSEKSFNAVKQA >KJB69717 pep chromosome:Graimondii2_0_v6:11:2886089:2890093:-1 gene:B456_011G038700 transcript:KJB69717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKKESEGIALLSMYNDEDDEEMGDIEEGDHRQNQQTGEEEEQQQEGQPLEEENEYRESNNNMEEDSRTNDNTPPFPHQNTNLSQQQQEPSVSSPQQPQPFVASKRSGGGRLTIVDYGHDESAMSPEPEEGELGSSDDLMIGIEQQNANGDFQGKTPPAAVQLTPQSSDLEPLQPETLTNAVNESDGVEVEEAVSLDNVDPLDKFLPPPPKVKCSEELQRKIDKFLNLKRAGKSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFYDEIEADMKCERERKEQESKKNQKVEFVPGGNQPGAVLPAPKVSMPTAVAAGSGLPSGPTAVDNNIVRDGRQNKKSKWDKVDSDRRNPLPAGAQHSLSAAGAHTVILSAANAGTGYTAFAQQKRRETEEKKSSEKSFNAVKQA >KJB69722 pep chromosome:Graimondii2_0_v6:11:2886830:2889889:-1 gene:B456_011G038700 transcript:KJB69722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKKESEGIALLSMYNDEDDEEMGDIEEGDHRQNQQTGEEEEQQQEGQPLEEENEYRESNNNMEEDSRTNDNTPPFPHQNTNLSQQQQEPSVSSPQQPQPFVASKRSGGGRLTIVDYGHDESAMSPEPEEGELGSSDDLMIGIEQQNANGDFQGKTPPAAVQLTPQSSDLEPLQPETLTNAVNESDGVEVEEAVSLDNVDPLDKFLPPPPKVKCSEELQRKIDKFLNLKRAGKSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFYDEIEADMKCERERKEQESKKNQKVEFVPGGNQPGAVLPAPKVSMPTAVAAGSGLPSGPTAVDNNIVRDGRQNKKSKWDKVDSDRRNPLPAGAQHSLSAAGAHTVILSAANAGTGYTAFAQQKRRETEEKKSSEKRLDRRS >KJB69718 pep chromosome:Graimondii2_0_v6:11:2886808:2889889:-1 gene:B456_011G038700 transcript:KJB69718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKKESEGIALLSMYNDEDDEEMGDIEEGDHRQNQQTGEEEEQQQEGQPLEEENEYRESNNNMEEDSRTNDNTPPFPHQNTNLSQQQQEPSVSSPQQPQPFVASKRSGGGRLTIVDYGHDESAMSPEPEEGELGSSDDLMIGIEQQNANGDFQGKTPPAAVQLTPQSSDLEPLQPETLTNAVNESDGVEVEEAVSLDNVDPLDKFLPPPPKVKCSEELQRKIDKFLNLKRAGKSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFYDEIEADMKCERERKEQESKKNQKVEFVPGGNQPGAVLPAPKVSMPTAVAAGSGLPSGPTAVDNNIVRDGRQNKKSKWDKVDSDRRNPLPAGAQHSLSAAGAHTVILSAANAGTGYTAFARNGEKQKRKNPVKRGWIEDLKLIIYSS >KJB69719 pep chromosome:Graimondii2_0_v6:11:2886204:2890058:-1 gene:B456_011G038700 transcript:KJB69719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKKESEGIALLSMYNDEDDEEMGDIEEGDHRQNQQTGEEEEQQQEGQPLEEENEYRESNNNMEEDSRTNDNTPPFPHQNTNLSQQQQEPSVSSPQQPQPFVASKRSGGGRLTIVDYGHDESAMSPEPEEGELGSSDDLMIGIEQQNANGDFQGKTPPAAVQLTPQSSDLEPLQPETLTNAVNESDGVEVEEAVSLDNVDPLDKFLPPPPKVKCSEELQRKIDKFLNLKRAGKSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFYDEIEADMKCERERKEQESKKNQKVEFVPGGNQPGAVLPAPKVSMPTAGPTAVDNNIVRDGRQNKKSKWDKVDSDRRNPLPAGAQHSLSAAGAHTVILSAANAGTGYTAFARNGEKQKRKNPVKRGWIEDLKLIIYSS >KJB69723 pep chromosome:Graimondii2_0_v6:11:2886204:2890058:-1 gene:B456_011G038700 transcript:KJB69723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKKESEGIALLSMYNDEDDEEMGDIEEGDHRQNQQTGEEEEQQQEGQPLEEENEYRESNNNMEEDSRTNDNTPPFPHQNTNLSQQQQEPSVSSPQQPQPFVASKRSGGGRLTIVDYGHDESAMSPEPEEGELGSSDDLMIGIEQQNANGDFQGKTPPAAVQLTPQSSDLEPLQPETLTNAVNESDGVEVEEAVSLDNVDPLDKFLPPPPKVKCSEELQRKIDKFLNLKRAGKSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFYDEIEADMKCERERKEQESKKNQKVEFVPGGNQPGAVLPAPKVSMPTAVVCLQVQLQWITTLCGMVDKTRNQNGIRWIVIEGILFLLEHSILYLLLEHIQLYYQLLMLEPGTQLLPETARNRREKIQ >KJB71154 pep chromosome:Graimondii2_0_v6:11:12766389:12768708:-1 gene:B456_011G108400 transcript:KJB71154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGFNGVRGPFTPSQWIELEHQALIYKYITSNVAVPSNLLNPLKKSLYPYGFTSSPAGSLPPNSLGWGSFHLGYSGSTDPEPERCRRTDGKKWRCSQDAVANQKYCERHINRGRHRSRKPVEGQTGHAASGTTNPKVVPMSSSMSTSVITGSGASSSLAIAQQHQFKNLQSGATHHSADALVNRIQDPWASSVMSSTTNLKSNNSTFMITKQGVPFAEFSPSDFGHVAYDSLVNLLHRSSIVESKEYGPYLDFTTDQETLDQNLLHQFFDDWPKDESIHSVITWPGELRSDWTQLSMSIPMTSSEFSSSSSSPAQEKLALSPLSLSREFDPIQMGSVVKNDISDF >KJB71153 pep chromosome:Graimondii2_0_v6:11:12766207:12769548:-1 gene:B456_011G108400 transcript:KJB71153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGVPVLDGILGPENGAPSQPQPKLERSEDHCKCSKLQRSGDLLTPKTMPLHQPSPLLRSNSLVLADTGPHEHMLCFSSLNSEVPFINIKDGDFLEISTQNSGFSYCQPTPSSYTRNAGYGSGSLNASMRGGFNGVRGPFTPSQWIELEHQALIYKYITSNVAVPSNLLNPLKKSLYPYGFTSSPAGSLPPNSLGWGSFHLGYSGSTDPEPERCRRTDGKKWRCSQDAVANQKYCERHINRGRHRSRKPVEGQTGHAASGTTNPKVVPMSSSMSTSVITGSGASSSLAIAQQHQFKNLQSGATHHSADALVNRIQDPWASSVMSSTTNLKSNNSTFMITKQGVPFAEFSPSDFGHVAYDSLVNLLHRSSIVESKEYGPYLDFTTDQETLDQNLLHQFFDDWPKDESIHSVITWPGELRSDWTQLSMSIPMTSSEFSSSSSSPAQEKLALSPLSLSREFDPIQMGSVVKNDISDF >KJB69064 pep chromosome:Graimondii2_0_v6:11:372141:376097:1 gene:B456_011G004500 transcript:KJB69064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATMITKTTSVNRRRLRNLVNKSDNRICADCGAPDPRWASSNIGVFICLKCCDVHRSLGTHISKVLSVTLDEWSNEQIDAMSEVGGNSAANAIYEAYIPEGFSKPGPDAGIEERKRFIRSKYELQEFMKSSLRISTGKSSPSFKSIFSSKFMDSFRIRPTDDEDGKVEFIGLLKVTVVKGTNLAIRDMMTSDPYVVLTLGQQTIQTAVIPSNLNPVWDEDLMLSVPNNYGPLKLEVYDHDMFSADDIMGEAQIDIQPLISAAMAYGDPEMFGNMQIGKWLKSDDNALIEDSIINIIDGKVKQDVQLKLQNVECGELHLEVEWLPLDQ >KJB69065 pep chromosome:Graimondii2_0_v6:11:372141:376097:1 gene:B456_011G004500 transcript:KJB69065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLSVTLDEWSNEQIDAMSEVGGNSAANAIYEAYIPEGFSKPGPDAGIEERKRFIRSKYELQEFMKSSLRISTGKSSPSFKSIFSSKFMDSFRIRPTDDEDGKVEFIGLLKVTVVKGTNLAIRDMMTSDPYVVLTLGQQTIQTAVIPSNLNPVWDEDLMLSVPNNYGPLKLEVYDHDMFSADDIMGEAQIDIQPLISAAMAYGDPEMFGNMQIGKWLKSDDNALIEDSIINIIDGKVKQDVQLKLQNVECGELHLEVEWLPLDQ >KJB69327 pep chromosome:Graimondii2_0_v6:11:1167849:1171351:-1 gene:B456_011G016800 transcript:KJB69327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase 1 [Source:Projected from Arabidopsis thaliana (AT5G15170) UniProtKB/Swiss-Prot;Acc:Q8H1D9] MPRSQIGYLVAVNKNLEEVASLPKLPLFHGPNFIGRNDVSVPDKRLSRKHIALTTFPDGSADLLVEGTNPVVIRSGELRKKLVSLEKTAINNGDIIELIPGNHLFKYVSASTGEKKRNFSEANSNEQSPKLSGKKIRNQGPKNEETSADKLKAEDKDSNKEQNCVEAIRGFHVSNDKLPHTFRLLKVQGLPTWANTNSVSIGDAIEGDVLLAVLSNYMVDIDWLISACPKLAKIPNVLVIHGEGDSRLDLMKRSKPTNWILHKPPLPISFGTHHSKAMLLVYPQGVRVIVHTANLIYVDWNNKSQGLWMQDFPWKDQSNSTKGCGFENDLVDYLSTLKWPEFTANLPSLGNFNINSAFFKKFDYSESKVRLIASVPGYHTGSNLKKWGHMKLRTVLQDCVFDKEFRRSPLVYQFSSLGSLDEKWMAELASSMSSGYSEDKTPLGLGESLIIWPTVEDVRCSLEGYAAGNAIPSPLKNVEKGFLKKYWAKWKASHTGRCRAMPHIKTYTRYKGQNLVWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPSRIKVDGPSREFSCTDKGGSSKGSGKSNSPGNPVPAMTKLVTLAWQGTKTSEVIQLPVPYELPPEPYSSQGSYSFYLMPPSPSICVL >KJB69329 pep chromosome:Graimondii2_0_v6:11:1167650:1171351:-1 gene:B456_011G016800 transcript:KJB69329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase 1 [Source:Projected from Arabidopsis thaliana (AT5G15170) UniProtKB/Swiss-Prot;Acc:Q8H1D9] MPRSQIGYLVAVNKNLEEVASLPKLPLFHGPNFIGRNDVSVPDKRLSRKHIALTTFPDGSADLLVEGTNPVVIRSGELRKKLVSLEKTAINNGDIIELIPGNHLFKYVSASTGEKKRNFSEANSNEQSPKLSGKKIRNQGPKNEETSADKLKAEDKDSNKEQNCVEAIRGFHVSNDKLPHTFRLLKVQGLPTWANTNSVSIGDAIEGDVLLAVLSNYMVDIDWLISACPKLAKIPNVLVIHGEGDSRLDLMKRSKPTNWILHKPPLPISFGTHHSKAMLLVYPQGVRVIVHTANLIYVDWNNKSQGLWMQDFPWKDQSNSTKGCGFENDLVDYLSTLKWPEFTANLPSLGNFNINSAFFKKFDYSESKVRLIASVPGYHTGSNLKKWGHMKLRTVLQDCVFDKEFRRSPLVYQFSSLGSLDEKWMAELASSMSSGYSEDKTPLGLGESLIIWPTVEDVRCSLEGYAAGNAIPSPLKNVEKGFLKKYWAKWKASHTGRCRAMPHIKTYTRYKGQNLVWFLLTSANLSKAAWGALQKNNSQLMIRSYEVHTSDRMSIIFS >KJB69328 pep chromosome:Graimondii2_0_v6:11:1167498:1171527:-1 gene:B456_011G016800 transcript:KJB69328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase 1 [Source:Projected from Arabidopsis thaliana (AT5G15170) UniProtKB/Swiss-Prot;Acc:Q8H1D9] MPRSQIGYLVAVNKNLEEVASLPKLPLFHGPNFIGRNDVSVPDKRLSRKHIALTTFPDGSADLLVEGTNPVVIRSGELRKKLVSLEKTAINNGDIIELIPGNHLFKYVSASTGEKKRNFSEANSNEQSPKLSGKKIRNQGPKNEETSADKLKAEDKDSNKEQNCVEAIRGFHVSNDKLPHTFRLLKVQGLPTWANTNSVSIGDAIEGDVLLAVLSNYMVDIDWLISACPKLAKIPNVLVIHGEGDSRLDLMKRSKPTNWILHKPPLPISFGTHHSKAMLLVYPQGVRVIVHTANLIYVDWNNKSQGLWMQDFPWKDQSNSTKGCGFENDLVDYLSTLKWPEFTANLPSLGNFNINSAFFKKFDYSESKVRLIASVPGYHTGSNLKKWGHMKLRTVLQDCVFDKEFRRSPLVYQFSSLGSLDEKWMAELASSMSSGYSEDKTPLGLGESLIIWPTVEDVRCSLEGYAAGNAIPSPLKNVEKGFLKKYWAKWKASHTGRCRAMPHIKTYTRYKGQNLVWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPSRIKVDGPSREFSCTDKGGSSKGSGKSNSPGNPVPAMTKLVTLAWQGTKTSEVIQLPVPYELPPEPYSSQDIPWSWDRRYSKKDVYGQVWPR >KJB70746 pep chromosome:Graimondii2_0_v6:11:9523481:9525886:-1 gene:B456_011G089900 transcript:KJB70746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEPTSIEDSVTPPTLIDSENLGVGASSQTKGTTGKRKATPQRLEVWSHFTKNINSEGPSKAKCNYCQKEFCCDMKINGTGSLK >KJB69151 pep chromosome:Graimondii2_0_v6:11:625278:630367:-1 gene:B456_011G008300 transcript:KJB69151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGIHPYHQQWPPAPAPPPPPATATAVPPPPPPVHHPPPVSSHDEVRTIFITGLPEDVKERELQNLLRWLPGYEASQVNYKGEKPMGFALFSSAQLAIAAKDALQEMVFDAESKSVLHIEMAKKNLFVKRDSNSYDQSKRLRTGGDYSHSAYTTPPPFHPPPAPVWGPHGYLSPTPPYDPYGGYPVPPVPMPTPTPVPAPSSYVPVQNTKDNPPCNTLFIGNLGENINEEELRGLFSAQPGFKQMKILRQERHTVCFIEFEDVNSASNVHHSLQGAVIPSSGSIGMRIQYSKNPFGKRKDSNHPIASPGANGAPPAMTYQ >KJB69150 pep chromosome:Graimondii2_0_v6:11:627056:630260:-1 gene:B456_011G008300 transcript:KJB69150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGIHPYHQQWPPAPAPPPPPATATAVPPPPPPVHHPPPVSSHDEVRTIFITGLPEDVKERELQNLLRWLPGYEASQVNYKGEKPMGFALFSSAQLAIAAKDALQEMVFDAESKSVLHIEMAKKNLFVKRGIVADSNSYDQSKRLRTGGDYSHSAYTTPPPFHPPPAPVWGPHGYLSPTPPYDPYGGYPVPPVPMPTPTPVPAPSSYVPVQNTKDNPPCNTLFIGNLGENINEEELRGLFSAQPGFKQMKILRQERHTVCFIEFEDVNSASNVHHSLQGAVIPSSGSIGMRIQYPFCICGFTVLNVLVFLCFVSWYGNYCIYISALYM >KJB69149 pep chromosome:Graimondii2_0_v6:11:625096:630459:-1 gene:B456_011G008300 transcript:KJB69149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGIHPYHQQWPPAPAPPPPPATATAVPPPPPPVHHPPPVSSHDEVRTIFITGLPEDVKERELQNLLRWLPGYEASQVNYKGEKPMGFALFSSAQLAIAAKDALQEMVFDAESKSVLHIEMAKKNLFVKRGIVADSNSYDQSKRLRTGGDYSHSAYTTPPPFHPPPAPVWGPHGYLSPTPPYDPYGGYPVPPVPMPTPTPVPAPSSYVPVQNTKDNPPCNTLFIGNLGENINEEELRGLFSAQPGFKQMKILRQERHTVCFIEFEDVNSASNVHHSLQGAVIPSSGSIGMRIQYSKNPFGKRKDSNHPIASPGANGAPPAMTYQ >KJB70378 pep chromosome:Graimondii2_0_v6:11:6395253:6396760:1 gene:B456_011G070500 transcript:KJB70378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQILQQIPIYNARYICISQQKLMAHQSAAMKLPVIDLFDENSKPGTQAWISKCKDVRVAFEQYGCFLATFDRVSLRHQDDVFLSLQQLFHLPTQIKVQNTSDKPYFGYFQHPSMPLSESMGIDNPTILRATQSFTNLMWPNGNNTFCGRIHAYAKLVSELDRMVKKMVFESYGVGKYYDSHVKSTEYLLRLIKYRVPHEDERDLNGSPDHTDKSFITILHDNDVAGLQIKTKDGDWIGVEPSGSMFLVMAGDAFLAWSNGRIHSPIHRVKVEAEKERYSLAFFSFSGEIIETPKELVDEAHPLLFKPFHNMDMLRLYSLENVQKYVDFISQAKCGA >KJB70101 pep chromosome:Graimondii2_0_v6:11:4634401:4640956:1 gene:B456_011G058000 transcript:KJB70101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGARTEGHISARVSKTIQSIKEIVGNHSDDDIYVALKEANMDPNETAQKLLHQDTFHLVRRKRDRKKESIGYQGSMDPRKFSENAGQVMKFRTYPERGSRRGGYSRNTLPDARVNREFRVVRDNRVNQNANKDTKPPVSPCSTSLNEQVPMNVAEKGSTGTSNQRPFSFQSSSQTASAPSSSHPRHARDANSSGAYRKEISEEKRIFIPNSASRSHAVKPNNIQAHSATQSSSSSVVGVYSSSTDPVHVPSPDSRSSGAVGAIKREVGVVGVRRHPPEDASKDSSGSSTLSNSLVGKDASSEAFQPFPSISRAEREVRDNHTSASESAMSGISGSRSFVSNPYGSRQHQHVLVHQKANLHNKEWKPKSSQKSSVNNPGVIGTPKKSASPPAEDAKGLDPATAKLQEKFSQVNIYENENVVIAQHIRVPENDRHGLTFGSFGVEHDSSRNFAPGFHATGVAEDSNGESAASLSVAPDTSSDDSAAGKPINIIDDQLRNSGSNSPPSGTASEHQFPDKKDASSPQNLGSYADIGMVQDNGQSYAPSEPQQQQDPPELPSFSAYDPQTGYDLPYFRPSMDETARGQGLLSPQEALSGHAANIPASTIPMVQQQQPPVAQMYPQVHVPHYANLMPYRQFVSPIYLPQMAMPGYSSNPAFPHPSNGSSYLLMPGGSTHLGANGVKYGIQQFKPVPAGNPTGFGNFTSPSGYAINAPGVVGSATGLEDSSRTKYKDGNIYVQNQQNPREVPSLQSAAYYNMPQTPHGYMPSHTGHASFNAAATQSTHMQFSGLYHPPPQPAAMANPHHLNPAMGANVGFGVAPAAPGAQVGAYQQPQLGHLNWTTNF >KJB70100 pep chromosome:Graimondii2_0_v6:11:4634200:4641047:1 gene:B456_011G058000 transcript:KJB70100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGARTEGHISARVSKTIQSIKEIVGNHSDDDIYVALKEANMDPNETAQKLLHQDTFHLVRRKRDRKKESIGYQGSMDPRKFSENAGQVMKFRTYPERGSRRGGYSRNTLPDARVNREFRVVRDNRVNQNANKDTKPPVSPCSTSLNEQVPMNVAEKGSTGTSNQRPFSFQSSSQTASAPSSSHPRHARDANSSGAYRKEISEEKRIFIPNSASRSHAVKPNNIQAHSATQSSSSSVVGVYSSSTDPVHVPSPDSRSSGAVGAIKREVGVVGVRRHPPEDASKDSSGSSTLSNSLVGKDASSEAFQPFPSISRAEREVRDNHTSASESAMSGISGSRSFVSNPYGSRQHQHVLVHQKANLHNKEWKPKSSQKSSVNNPGVIGTPKKSASPPAEDAKGLDPATAKLQEKFSQVNIYENENVVIAQHIRVPENDRHGLTFGSFGVEHDSSRNFAPGFHATGVAEDSNGESAASLSVAPDTSSDDSAAGKPINIIDDQLRNSGSNSPPSGTASEHQFPDKKDASSPQNLGSYADIGMVQDNGQSYAPSEPQQQQDPPELPSFSQAYDPQTGYDLPYFRPSMDETARGQGLLSPQEALSGHAANIPASTIPMVQQQQPPVAQMYPQVHVPHYANLMPYRQFVSPIYLPQMAMPGYSSNPAFPHPSNGSSYLLMPGGSTHLGANGVKYGIQQFKPVPAGNPTGFGNFTSPSGYAINAPGVVGSATGLEDSSRTKYKDGNIYVQNQQADTSDLWMQNPREVPSLQSAAYYNMPQTPHGYMPSHTGHASFNAAATQSTHMQFSGLYHPPPQPAAMANPHHLNPAMGANVGFGVAPAAPGAQVGAYQQPQLGHLNWTTNF >KJB70103 pep chromosome:Graimondii2_0_v6:11:4634921:4641068:1 gene:B456_011G058000 transcript:KJB70103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRKFSENAGQVMKFRTYPERGSRRGGYSRNTLPDARVNREFRVVRDNRVNQNANKDTKPPVSPCSTSLNEQVPMNVAEKGSTGTSNQRPFSFQSSSQTASAPSSSHPRHARDANSSGAYRKEISEEKRIFIPNSASRSHAVKPNNIQAHSATQSSSSSVVGVYSSSTDPVHVPSPDSRSSGAVGAIKREVGVVGVRRHPPEDASKDSSGSSTLSNSLVGKDASSEAFQPFPSISRAEREVRDNHTSASESAMSGISGSRSFVSNPYGSRQHQHVLVHQKANLHNKEWKPKSSQKSSVNNPGVIGTPKKSASPPAEDAKGLDPATAKLQEKFSQVNIYENENVVIAQHIRVPENDRHGLTFGSFGVEHDSSRNFAPGFHATGVAEDSNGESAASLSVAPDTSSDDSAAGKPINIIDDQLRNSGSNSPPSGTASEHQFPDKKDASSPQNLGSYADIGMVQDNGQSYAPSEPQQQQDPPELPSFSAYDPQTGYDLPYFRPSMDETARGQGLLSPQEALSGHAANIPASTIPMVQQQQPPVAQMYPQVHVPHYANLMPYRQFVSPIYLPQMAMPGYSSNPAFPHPSNGSSYLLMPGGSTHLGANGVKYGIQQFKPVPAGNPTGFGNFTSPSGYAINAPGVVGSATGLEDSSRTKYKDGNIYVQNQQADTSDLWMQNPREVPSLQSAAYYNMPQTPHGYMPSHTGHASFNAAATQSTHMQFSGLYHPPPQPAAMANPHHLNPAMGANVGFGVAPAAPGAQVGAYQQPQLGHLNWTTNF >KJB70099 pep chromosome:Graimondii2_0_v6:11:4634172:4640956:1 gene:B456_011G058000 transcript:KJB70099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGARTEGHISARVSKTIQSIKEIVGNHSDDDIYVALKEANMDPNETAQKLLHQDTFHLVRRKRDRKKESIGYQGSMDPRKFSENAGQVMKFRTYPERGSRRGGYSRNTLPDARVNREFRVVRDNRVNQNANKDTKPPVSPCSTSLNEQVPMNVAEKGSTGTSNQRPFSFQSSSQTASAPSSSHPRHARDANSSGAYRKEISEEKRIFIPNSASRSHAVKPNNIQAHSATQSSSSSVVGVYSSSTDPVHVPSPDSRSSGAVGAIKREVGVVGVRRHPPEDASKDSSGSSTLSNSLVGKDASSEAFQPFPSISRAEREVRDNHTSASESAMSGISGSRSFVSNPYGSRQHQHVLVHQKANLHNKEWKPKSSQKSSVNNPGVIGTPKKSASPPAEDAKGLDPATAKLQEKFSQVNIYENENVVIAQHIRVPENDRHGLTFGSFGVEHDSSRNFAPGFHATGVAEDSNGESAASLSVAPDTSSDDSAAGKPINIIDDQLRNSGSNSPPSGTASEHQFPDKKDASSPQNLGSYADIGMVQDNGQSYAPSEPQQQQDPPELPSFSAYDPQTGYDLPYFRPSMDETARGQGLLSPQEALSGHAANIPASTIPMVQQQQPPVAQMYPQVHVPHYANLMPYRQFVSPIYLPQMAMPGYSSNPAFPHPSNGSSYLLMPGGSTHLGANGVKYGIQQFKPVPAGNPTGFGNFTSPSGYAINAPGVVGSATGLEDSSRTKYKDGNIYVQNQQADTSDLWMQNPREVPSLQSAAYYNMPQTPHGYMPSHTGHASFNAAATQSTHMQFSGLYHPPPQPAAMANPHHLNPAMGANVGFGVAPAAPGAQVGAYQQPQLGHLNWTTNF >KJB70102 pep chromosome:Graimondii2_0_v6:11:4634401:4640956:1 gene:B456_011G058000 transcript:KJB70102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGARTEGHISARVSKTIQSIKEIVGNHSDDDIYVALKEANMDPNETAQKLLHQDTFHLVRRKRDRKKESIGYQGSMDPRKFSENAGQVMKFRTYPERGSRRGGYSRNTLPDARVNREFRVVRDNRVNQNANKDTKPPVSPCSTSLNEQVPMNVAEKGSTGTSNQRPFSFQSSSQTASAPSSSHPRHARDANSSGAYRKEISEEKRIFIPNSASRSHAVKPNNIQAHSATQSSSSSVVGVYSSSTDPVHVPSPDSRSSGAVGAIKREVGVVGVRRHPPEDASKDSSGSSTLSNSLVGKDASSEAFQPFPSISRAEREVRDNHTSASESAMSGISGSRSFVSNPYGSRQHQHVLVHQKANLHNKEWKPKSSQKSSVNNPGVIGTPKKSASPPAEDAKGLDPATAKLQEKFSQVNIYENENVVIAQHIRVPENDRHGLTFGSFGVEHDSSRNFAPGFHATGVAEDSNGESAARFLSVAPDTSSDDSAAGKPINIIDDQLRNSGSNSPPSGTASEHQFPDKKDASSPQNLGSYADIGMVQDNGQSYAPSEPQQQQDPPELPSFSQAYDPQTGYDLPYFRPSMDETARGQGLLSPQEALSGHAANIPASTIPMVQQQQPPVAQMYPQVHVPHYANLMPYRQFVSPIYLPQMAMPGYSSNPAFPHPSNGSSYLLMPGGSTHLGANGVKYGIQQFKPVPAGNPTGFGNFTSPSGYAINAPGVVGSATGLEDSSRTKYKDGNIYVQNQQADTSDLWMQNPREVPSLQSAAYYNMPQTPHGYMPSHTGHASFNAAATQSTHMQFSGLYHPPPQPAAMANPHHLNPAMGANVGFGVAPAAPGAQVGAYQQPQLGHLNWTTNF >KJB70104 pep chromosome:Graimondii2_0_v6:11:4635051:4641047:1 gene:B456_011G058000 transcript:KJB70104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRKFSENAGQVMKFRTYPERGSRRGGYSRNTLPDARVNREFRVVRDNRVNQNANKDTKPPVSPCSTSLNEQVPMNVAEKGSTGTSNQRPFSFQSSSQTASAPSSSHPRHARDANSSGAYRKEISEEKRIFIPNSASRSHAVKPNNIQAHSATQSSSSSVVGVYSSSTDPVHVPSPDSRSSGAVGAIKREVGVVGVRRHPPEDASKDSSGSSTLSNSLVGKDASSEAFQPFPSISRAEREVRDNHTSASESAMSGISGSRSFVSNPYGSRQHQHVLVHQKANLHNKEWKPKSSQKSSVNNPGVIGTPKKSASPPAEDAKGLDPATAKLQEKFSQVNIYENENVVIAQHIRVPENDRHGLTFGSFGVEHDSSRNFAPGFHATGVAEDSNGESAASLSVAPDTSSDDSAAGKPINIIDDQLRNSGSNSPPSGTASEHQFPDKKDASSPQNLGSYADIGMVQDNGQSYAPSEPQQQQDPPELPSFSQAYDPQTGYDLPYFRPSMDETARGQGLLSPQEALSGHAANIPASTIPMVQQQQPPVAQMYPQVHVPHYANLMPYRQFVSPIYLPQMAMPGYSSNPAFPHPSNGSSYLLMPGGSTHLGANGVKYGIQQFKPVPAGNPTGFGNFTSPSGYAINAPGVVGSATGLEDSSRTKYKDGNIYVQNQQADTSDLWMQNPREVPSLQSAAYYNMPQTPHGYMPSHTGHASFNAAATQSTHMQFSGLYHPPPQPAAMANPHHLNPAMGANVGFGVAPAAPGAQVGAYQQPQLGHLNWTTNF >KJB72257 pep chromosome:Graimondii2_0_v6:11:33382477:33383082:-1 gene:B456_011G167100 transcript:KJB72257 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATARFC1 [Source:Projected from Arabidopsis thaliana (AT3G22950) UniProtKB/TrEMBL;Acc:A0A384KMJ2] LESWWPRKTYYHGTHAVIVVMDCTDRARITLIKDELFRLLRHEDRQHFVILVFANKQDIKDAKTAETTDALSLRCIKTHDWHIQACCALTGYTLYDGLGWTAQQQVEKASPN >KJB69256 pep chromosome:Graimondii2_0_v6:11:887653:889611:1 gene:B456_011G012500 transcript:KJB69256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSCLVLLSLIFSNFPHSLAGYYTSKPLAYNVPKKPMNVIDSCWRTKSDWAINRKALADCVVGYGKATLGGKYGAIYVVTSPYDDPVNPKPGTLRYGVIQSVPLWIIFARDMVIKLKNELIVNSFKTIDGRGAKVEIAYGPCITIQGVTNVIIHGISIHDCKPGMAGRVRSSPTHVGMRGGSDGDAINVFASSNIWIDHCYLARAKDGLIDVIHASTSVTISNNYFTQHDKVMLLGHNDKFIADKVMKVTLVFNHFGEGLIERMPRVRIGYAHVANNKYDEWKMYAIGGSANPTIFSEGNYFVAPDNRSSKQVTKREATNWQNWKWQSSKDVFINGAYFVPSGYGSSAPLYTRVQSFTVAPGYMVPVLTSNAGPLHCLVGKPC >KJB70313 pep chromosome:Graimondii2_0_v6:11:5993436:5994715:1 gene:B456_011G067600 transcript:KJB70313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLLQFLGFPPKPPIFPYFVFPFWSSKNRNVRKCVGGDKLSSTCRETKGPKVVNGLESKIACDSSKVSYALDREVFLFQAKQKLVLDQNN >KJB71264 pep chromosome:Graimondii2_0_v6:11:14419050:14423676:-1 gene:B456_011G1135002 transcript:KJB71264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIGSSFGLESRWRPITLSIGYIGQETHSSQLSTILTKSSFRRNPSNNLSSPIKESTQMKEKSPSAMASVKGSVNKEEEIESLKMEVGKNEESDKEASFNKMKDESGMVKSSESDPTESLSEDQQRIHQLSEQIKERQESEKKIYDSYVAQSKEFEQAKVSLEHSKNQINSLLENLQKWEHPSNVSFQTSLGNDHLKRLELELQFTKEKLVRAQEDEQASSLRAKNLTEEVNFLKSEMKFIADAEENNKKAMDDLALALKEVITEANEAKNKLSATQFELEKTKGLVENLKMKLKMVEGKYNEAKKEADRYKNTSERLRIEAEESLMAWNTREIGFVDCIRKAEDERNAAKDESKALLESLEEAENMNKKAKEENQKLRDIMKQAINEANVAKEAANIAREENSQLKDSIAKKDEALNFLSQENETLKINEAAACEMIKELKQLRNKGV >KJB70515 pep chromosome:Graimondii2_0_v6:11:7590959:7591807:1 gene:B456_011G077100 transcript:KJB70515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQNAERNEQPLVFEVATKAMSMMEISKIHINNKPCCAVCKEQFWLGVKVLNIPWDHFVSFQLHTLMASIMKLKHCSLPRITRQR >KJB74218 pep chromosome:Graimondii2_0_v6:11:61199484:61200939:-1 gene:B456_011G280100 transcript:KJB74218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERLRTAARTGNVSDLYSLIEIDGNALKQFDEEEFVETPLHIAAEEGYCIRFAMEMMSLKPSFASKLNKQGLTPLHLAVTKGHTSMVLRFLEINKDLARVKGKNGKTPLHIITEVGNHNGLLDRVLEICPQSIRDVTVENRNALHIAVKNDRLDVLRVLLQTLRKTDCYRDVVNQKDQDGNTALHLAAFHNQPEMLKLLLNCNADQHATNQAGLMALDIADQNHNEDSITVLRGCFIPGVSNFKHNLEKQVRKASSLISHEIDNISGEDRNALLVILGLLLTATFQASLSPPGGVWQGDNTSKSEGSYDEMALGKSILDEFNFLFYYIPTYLVFIVTFFLTLALLKPYPHCFRTALQVLLAFFAMSFDLSVSFIAPTRFTTSVMAVFSVLVFILTVLMVSTSRVSKLSVAILGCREFGYSFSYMMNSGKELF >KJB72311 pep chromosome:Graimondii2_0_v6:11:37467254:37478114:-1 gene:B456_011G170600 transcript:KJB72311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTVYTKELQGHRPKLTTGTVSSFFLLSLMQLDFPNRLVKMIHDLFPHLLQILAARSLPLACISSSLNKPTPLNLDLSLPSIQDIRWNFARLLYLFNIQMEKNVATFLVVLLVACCSFVVIGGFLFFNFRGNTQSLEDCLWEAWACLCSSSTHLRQRTRIERVIGFILAIWGILFYSRLLSTMTEQFRNNMQKLREGAQVQVLETDHIIICGINGRLAFILKQINKYHEFAVRLGTATARKQRILLMSDLPRKQVDKLADNIAKDFNHIDILTKSCSLSLTKSFERAAADKARAIIVLPTKGDQYEVDTDAFLSVLALQPIPKMNSVPTVVEVSNSNTCELLKSISELKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYQKNVFNLCHFPRLTGLTYQQIRRGFQEAIVCGLYRSGKIYFHPADDEILQQTDKVLLIAPIHRTTKHQLALSDVGNQTNTLQSPNVLKNNSDTPTPALELRQERLLNVVKRANKLESKASDRSLGPKEYILMLGWRPDVVQMIEEYDNYLGRGSVLEILSDVPLEERKKASYISGQRKLKNVQVIHRIGNSMNYDTLEETIMNIQNFIKQVNQIPLSVVVITNKEWLLGDPSRADKRSAYSLLLAENICNKLRVTVQNLVAEISDSKFGKQITRIKPSVTYIAAEEVMSLVTAQVAEHSELNEVWKDILNAEGDEIYVKDKTYT >KJB72313 pep chromosome:Graimondii2_0_v6:11:37467254:37479164:-1 gene:B456_011G170600 transcript:KJB72313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFQLQSPQPCFLPPRISRLTQTSSSSTRTQGTRGSNNMILLQKSMPCSYWWNNSSPNNAPNCIHKGGKWKPSSQKATDKLECVSNNSNVKFFRIDLQNSSQLQGHRPKLTTGTVSSFFLLSLMQLDFPNRLVKMIHDLFPHLLQILAARSLPLACISSSLNKPTPLNLDLSLPSIQDIRWNFARLLYLFNIQMEKNVATFLVVLLVACCSFVVIGGFLFFNFRGNTQSLEDCLWEAWACLCSSSTHLRQRTRIERVIGFILAIWGILFYSRLLSTMTEQFRNNMQKLREGAQVQVLETDHIIICGINGRLAFILKQINKYHEFAVRLGTATARKQRILLMSDLPRKQVDKLADNIAKDFNHIDILTKSCSLSLTKSFERAAADKARAIIVLPTKGDQYEVDTDAFLSVLALQPIPKMNSVPTVVEVSNSNTCELLKSISELKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYQKNVFNLCHFPRLTGLTYQQIRRGFQEAIVCGLYRSGKIYFHPADDEILQQTDKVLLIAPIHRTTKHQLALSDVGNQTNTLQSPNVLKNNSDTPTPALELRQERLLNVVKRANKLESKASDRSLGPKEYILMLGWRPDVVQMIEEYDNYLGRGSVLEILSDVPLEERKKASYISGQRKLKNVQVIHRIGNSMNYDTLEETIMNIQNFIKQVNQIPLSVVVITNKEWLLGDPSRADKRSAYSLLLAENICNKLRVTVQNLVAEISDSKFGKQITRIKPSVTYIAAEEVMSLVTAQGLEIAEHSELNEVWKDILNAEGDEIYVKDKTYT >KJB72312 pep chromosome:Graimondii2_0_v6:11:37467254:37479164:-1 gene:B456_011G170600 transcript:KJB72312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFQLQSPQPCFLPPRISRLTQTSSSSTRTQGTRGSNNMILLQKSMPCSYWWNNSSPNNAPNCIHKGGKWKPSSQKATDKLECVSNNSNVKFFRIDLQNSSQLQGHRPKLTTGTVSSFFLLSLMQLDFPNRLVKMIHDLFPHLLQILAARSLPLACISSSLNKPTPLNLDLSLPSIQDIRWNFARLLYLFNIQMEKNVATFLVVLLVACCSFVVIGGFLFFNFRGNTQSLEDCLWEAWACLCSSSTHLRQRTRIERVIGFILAIWGILFYSRLLSTMTEQFRNNMQKLREGAQVQVLETDHIIICGINGRLAFILKQINKYHEFAVRLGTATARKQRILLMSDLPRKQVDKLADNIAKDFNHIDILTKSCSLSLTKSFERAAADKARAIIVLPTKGDQYEVDTDAFLSVLALQPIPKMNSVPTVVEVSNSNTCELLKSISELKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYQKNVFNLCHFPRLTGLTYQQIRRGFQEAIVCGLYRSGKIYFHPADDEILQQTDKVLLIAPIHRTTKHQLALSDVGNQTNTLQSPNVLKNNSDTPTPALELRQERLLNVVKRANKLESKASDRSLGPKEYILMLGWRPDVVQMIEEYDNYLGRGSVLEILSDVPLEERKKASYISGQRKLKNVQVIHRIGNSMNYDTLEETIMNIQNFIKQVNQIPLSVVVITNKEWLLGDPSRADKRSAYSLLLAENICNKLRVTVQNLVAEISDSKFGKQITRIKPSVTYIAAEEVMSLVTAQVAEHSELNEVWKDILNAEGDEIYVKDKTYT >KJB72310 pep chromosome:Graimondii2_0_v6:11:37466730:37479559:-1 gene:B456_011G170600 transcript:KJB72310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTVYTKELQGHRPKLTTGTVSSFFLLSLMQLDFPNRLVKMIHDLFPHLLQILAARSLPLACISSSLNKPTPLNLDLSLPSIQDIRWNFARLLYLFNIQMEKNVATFLVVLLVACCSFVVIGGFLFFNFRGNTQSLEDCLWEAWACLCSSSTHLRNNMQKLREGAQVQVLETDHIIICGINGRLAFILKQINKYHEFAVRLGTATARKQRILLMSDLPRKQVDKLADNIAKDFNHIDILTKSCSLSLTKSFERAAADKARAIIVLPTKGDQYEVDTDAFLSVLALQPIPKMNSVPTVVEVSNSNTCELLKSISELKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYQKNVFNLCHFPRLTGLTYQQIRRGFQEAIVCGLYRSGKIYFHPADDEILQQTDKVLLIAPIHRTTKHQLALSDVGNQTNTLQSPNVLKNNSDTPTPALELRQERLLNVVKRANKLESKASDRSLGPKEYILMLGWRPDVVQMIEEYDNYLGRGSVLEILSDVPLEERKKASYISGQRKLKNVQVIHRIGNSMNYDTLEETIMNIQNFIKQVNQIPLSVVVITNKEWLLGDPSRADKRSAYSLLLAENICNKLRVTVQNLVAEISDSKFGKQITRIKPSVTYIAAEEVMSLVTAQVAEHSELNEVWKDILNAEGDEIYVKDKTYT >KJB70082 pep chromosome:Graimondii2_0_v6:11:4573905:4580121:1 gene:B456_011G057300 transcript:KJB70082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSFEFDFSSSLSHHQRYNTPASRYASSTVMPRRFARGSRATPQRFHHNTPATPFATDDDMSWQSEVSWQFEPSGWQDNRNLGAALSPWAASSASSSNGQAFRRRSASEYYLSHTSRSFVNPSYELSGYYAVPSGRLELQSYVARDNDSSSHLHFGDRSRSHHDISRLATIKESSSRNAGSPLVDEDELSSVDYYTPRAVERHIHLLGTDPNHHTRADSRRSSVSQANTGDDRDDNSIGGHHRFHRLSHQGDHEHGRSHHTRHKVDNDLDVVMQQELGGKSTSHHFLGNHQYDDMSLSMDFSEDFITGHGHGHGLSHHSVHSDLEGHHQMRHKLEGLDHNLQSASHQFGGDHKYDDFDPPPNFKEDDYEEEEDVEPPKPASLFSLFKYSTKWDMVLVFLGCLGALINGGSLPWYSFLFGKFVNKIAQESLKGELTQMMKDVDMICKFMSCLAAVVVVGAYLEITCWRLVGERSAQRIRTKYLRAVLRQDISFFDTEVSTGDIMHGISSDVAQIQEVMGEKMAHFIHHVFTFICGYIVGFLASWKVSLVVFAVTPLMMFCGIAYKAIYGGLTAKEEVSYRKAGTIAEQAISSIRTVFSFVGEDNLAARYGELLANSVPLGAKIGFAKGAGIGVIYLVTYSTWALAFWYGSILVARKEISGGDAIACFFGVNVGGRGLALALTYFAQFAQGTVAAGRVFDIIDRVPEIDPYNPEGRMLSSVRGKIEFKGVTFAYPSRPDTTILSSLNLVIRSAKTLALVGASGGGKSTIFALIDRFYDPDKGTVTLDGYDLKTLQVKWLRRQIGMVGQEPVLFATTILENVMMGKENATKKEAVAACVAANAHGFIYDLPLGYDTQVGAKGTQLSGGQKQRIALARALIKDPRILLLDEPTSALDSEAEAVVQQAIDKISKGRTTVVIAHRLATVRNANTIVVLDNGSVAESGSHHQLMEREGAYYKLVKLASEAVSNTELNETNTQKGMEFSTYDKSAYEASRSLYAYDISKSKYLKSIQVVNQVEEEMQQKQKPREYQISKIWTLQRPELITLLLGFFFGIHAGAILSIFPLFLGIALQAYFDDTPKALKEEVNKLALALVGLGFGSIIFLTGQQGFCGWAGTKLTVRVRDLLFRSILKQEPGWFDFEDNATGILVSRLSIDCLSFRSVLGDRYSVLLMGVSAAAVGLGISFYLEWRLALVAAAVTPFTLGASYLNLIINIGPRLDNKAYDKASTIASGAISNIRTVATFSSQEEIVKSFDQALSDPRKLSVKRSQILGLALGLSQGAMYCAYTLTLWVGASLMKQRITGFGEVYKIFLILVLSSFSVGQLAGLSPDTTMAATAIPAVFDIINRKPLIGNFRDKGKKIERSKPLDIELKMVTFAYPSRPQVIVLRDFCLKVKGGSMVALVGGSGSGKSTVIWLVQRFYDPYQGKVMMGGIDLKELNLKWLRKQVALVGQEPALFAGSIRENIAFGNPNATWGEIEEAAKEAYIHKFISGLPQGYETQVGESGVQLSGGQKQRIAIARAILKKSKVLLLDEASSALDLESEKHIQDALRRVSQSATTIIVAHRLSTIREANTIAVVKDGAVVEYGSHDKLLTSHVDGVYASLVRAEREANAFS >KJB73422 pep chromosome:Graimondii2_0_v6:11:54944808:54946763:1 gene:B456_011G232500 transcript:KJB73422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLNVPKLPSNPLFVSLILLFTLLIWLKLAKRKHLNLPPSPPKLPIIGNIHQLGKLPHRSLRDLSRNYGSLLLLHLGCNPKLLVSSADMVREILKDHDVVFSDRPSSTATNILFYGCRDMGFAPYGEYWRQQKKLSVVELLSHRRVHSFQFVRDEEVELLINKIRRACLKGESINLSEMLKLVSSNIVSRCVISRRSEEEEEEEEDGCCKFGQLAKRTGVLLTSFCVGDLFPYLRWVDVLTGYIPRLKALFGELDSFFDQIIKEHTTLKTDDQVSNKDFISTIMQLQEDGMLEIDLTNIKAILLDMFVAGTDTTGATTEWMMAELLKRPNVMKKVQEEVRNVVGNKYKVDMEDINKMKYLKCVLKETLRLHPTVPLLVPRQTSASVELGGYHIPSNMTILINAWAIQRDPKWWENPEEFIPERFENSSIDLNGQDVQFIPFGFGRRSCPGMPFAVTVIEYVMANLLYWFDWKLPVGEIAENLDMTELYGQTINRKNPLHVVPMSHFSF >KJB72829 pep chromosome:Graimondii2_0_v6:11:48392544:48397890:1 gene:B456_011G200100 transcript:KJB72829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASYRSAAASSMSALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIVHCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALREAGAVVPTSYEALEATIKETFEQLVEEGKITPVKEVKPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGLGVGDVISLLWFKRSLPRYCTKFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTNFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >KJB72832 pep chromosome:Graimondii2_0_v6:11:48392531:48397919:1 gene:B456_011G200100 transcript:KJB72832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASYRSAAASSMSALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIVHCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALREAGAVVPTSYEALEATIKETFEQLVEEGKITPVKEVKPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGLGVGDVISLLWFKRSLPRYCTKFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTNFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >KJB72830 pep chromosome:Graimondii2_0_v6:11:48393139:48397198:1 gene:B456_011G200100 transcript:KJB72830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASYRSAAASSMSALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIVHCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALREAGAVVPTSYEALEATIKETFEQLVEEGKITPVKEVKPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGLGVGDVISLLWFKRSLPRYCTKFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTNFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIG >KJB72831 pep chromosome:Graimondii2_0_v6:11:48392682:48397890:1 gene:B456_011G200100 transcript:KJB72831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGTGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASYRSAAASSMSALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIVHCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALREAGAVVPTSYEALEATIKETFEQLVEEGKITPVKEVKPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGLGVGDVISLLWFKRSLPRYCTKFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTNFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >KJB74336 pep chromosome:Graimondii2_0_v6:11:62055398:62056258:-1 gene:B456_011G289000 transcript:KJB74336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVTTVTNIVSSISEPIFDVLYLPEIQGTRCWGSPFSWLVTYALDFETRPFNPFSRTRIPLPSLSTIAEEEDCSPGELFEYSLRKLILCTSPEESDCIVLANTSDGWFFAKPSDEAWTLIGLSDHNDLDDAIYFEGNFYGRLHTGEIVFWEATHPKVVRFAPPPPDLRYFYPGIIINYLFDLGGKLCIACRHVDTYYVTVGFVIFKLDMDTKSWEKIYSLGDRSLFLGNCSTSAIAAAHYPGCKPNCIYFSDDSPLLGPTTPLDVGIYDCQNLKLEKQREIVIFVSE >KJB73385 pep chromosome:Graimondii2_0_v6:11:54443878:54444138:1 gene:B456_011G230500 transcript:KJB73385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKQLVGFGGSGPGEEAGGGFIIDTSTGLLLLCMVIFSLSLISMVIFACGDDNSGKSRRSSGGGGGGGGCGGGGGGCGGGGCGGD >KJB69258 pep chromosome:Graimondii2_0_v6:11:896914:899719:-1 gene:B456_011G012700 transcript:KJB69258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGWGLTLDSDPVTSNFFTNKSNSTTVAGGSFLGLKQHHHRDMFQFPVSLAGSRDNDRRGTSASSSPSDDTKIPVDEVDFFSDKKVRVVDDVKKESIETPASDLDVNTGLLLLTAGSDQSTVDDGVSSDMEDKRAKNELAQLQDELKRMNAENQKLKDMLSHVSNNYSALHMHLVTLMQQQQQPPQRNRETQAIQKSKPEEVTPLQILDLSRPSAAGAEAAETISHSSSEERTRSGTPPSNVEKRVNREESPESESWGPNCKVQKLSSGKPVDQSTEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCADDRTILITTYEGNHNHPLPPAAMAMASTTAAAASMLLSGSMPSADGIMNPNLLARAILPCSSSMATISASAPFPTVTLDLTHSPNPLQFQRPPPPPTQFQVPFPGQPAPVSAPQLPQVFGQPLYNNNQSKFSGLQLSQEMGSSQLGRQQQQQHQSPPQQPPTLADTVSAATAAITNDPNFTAALAAAISSIISGGTHPNSSNNNNRQ >KJB71653 pep chromosome:Graimondii2_0_v6:11:20572139:20583105:1 gene:B456_011G135600 transcript:KJB71653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIETALVVEYLVPSSWEIKVAVATSVFLIVSYWIYTLQVGSGSGGGRSLLQEDFADAILDDNDKIIKFKGDLPTNSAFIIKVELLAAKNLVSTNLNGTSDPYAIITCDSEKRFSSMIPGSRNPMWGEEFNFFVDKLPVQIHVTIYDWDIVWKSATLGSVTVRVESEGDSTPVWHILDKPPGQVCLHIKTIKSPVKSSRRVDGYAGAKARRSTSDKQGPRVVHQKPGPLQTIFNLLPDEVIIPFGDVDEVRKSQHAFINPAITIILRMGAGGHGVPPLGSSDGRVRYKFASFWNRNHTVRALQRAAKNYHAMLEAERKERAASALRAHSSSMKGIRTQATAPQEKAPSTEKLLRFVKEQVLVDIYKDVFPCTAEQLFNLLLSNGSSFTNEYRTSRNDKNLTMGQWHVADEYDGQVREITFRTICNNPMCPPDTAMTEYQHFVLSSDKKKLVFETVQQAHDVPFGSYFEVHCRWTAETNGENSNLLDIKAGAHFKKWCVMQSKIKSGAIEEYKKEMETMLNVARSYIKSRTSGGETNNMNKSRTSEVRPITGRHHSERTISANLGN >KJB71655 pep chromosome:Graimondii2_0_v6:11:20572408:20581430:1 gene:B456_011G135600 transcript:KJB71655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIETALVVEYLVPSSWEIKVAVATSVFLIVSYWIYTLQVGSGSGGGRSLLQEDFADAILDDNDKIIKFKGDLPTNSAFIIKVELLAAKNLVSTNLNGTSDPYAIITCDSEKRFSSMIPGSRNPMWGEEFNFFVDKLPVQIHVTIYDWDIVWKSATLGSVTVRVESEGDSTPVWHILDKPPGQVCLHIKTIKSPVKSSRRVDGYAGAKARRSTSDKQGPRVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMFVSAWHICFHSNVFSKQLKVIIPFGDVDEVRKSQHAFINPAITIILRMGAGGHGVPPLGSSDGRVRYKFASFWNRNHTVRALQRAAKNYHAMLEAERKERAASALRAHSSSMKGIRTQATAPQEKAPSTEKLLRFVKEQVLVDIYKDVFPCTAEQLFNLLLSNGSSFTNEYRTSRNDKNLTVS >KJB71654 pep chromosome:Graimondii2_0_v6:11:20572139:20583105:1 gene:B456_011G135600 transcript:KJB71654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIETALVVEYLVPSSWEIKVAVATSVFLIVSYWIYTLQVGSGSGGGRSLLQEDFADAILDDNDKIIKFKGDLPTNSAFIIKVELLAAKNLVSTNLNGTSDPYAIITCDSEKRFSSMIPGSRNPMWGEEFNFFVDKLPVQIHVTIYDWDIVWKSATLGSVTVRVESEGDSTPVWHILDKPPGQVCLHIKTIKSPVKSSRRVDGYAGAKARRSTSDKQGPRVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMFVSAWHICFHSNVFSKQLKVIIPFGDVDEVRKSQHAFINPAITIILRMGAGGHGVPPLGSSDGRVRYKFASFWNRNHTVRALQRAAKNYHAMLEAERKERAASALRAHSSSMKGIRTQATAPQEKAPSTEKLLRFVKEQVLVDIYKDVFPCTAEQLFNLLLSNGSSFTNEYRTSRNDKNLTMGQWHVADEYDGQVREITFRTICNNPMCPPDTAMTEYQHFVLSSDKKKLVFETVQQAHDVPFGSYFEVHCRWTAETNGENSNLLDIKAGAHFKKWCVMQSKIKSGAIEEYKKEMETMLNVARSYIKSRTSGGETNNMNKSRTSEVRPITGRHHSERTISANLGN >KJB71656 pep chromosome:Graimondii2_0_v6:11:20572355:20582141:1 gene:B456_011G135600 transcript:KJB71656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIETALVVEYLVPSSWEIKVAVATSVFLIVSYWIYTLQVGSGSGGGRSLLQEDFADAILDDNDKIIKFKGDLPTNSAFIIKVELLAAKNLVSTNLNGTSDPYAIITCDSEKRFSSMIPGSRNPMWGEEFNFFVDKLPVQIHVTIYDWDIVWKSATLGSVTVRVESEGDSTPVWHILDKPPGQVCLHIKTIKSPVKSSRRVDGYAGAKARRSTSDKQGPRVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMFVSAWHICFHSNVFSKQLKVIIPFGDVDEVRKSQHAFINPAITIILRMGAGGHGVPPLGSSDGRVRYKFASFWNRNHTVRALQRAAKNYHAMLEAERKERAASALRAHSSSMKGIRTQATAPQEKAPSTEKLLRFVKEQVLVDIYKDVFPCTAEQLFNLLLSNGSSFTNEYRTSRNDKNLTMGQWHVADEYDGQVREITFRTICNNPMCPPDTAMTEYQHFVLSSDKKKLVFETVQQAHDVPFGSYFEVSI >KJB69370 pep chromosome:Graimondii2_0_v6:11:1378464:1379768:1 gene:B456_011G019900 transcript:KJB69370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor DYT1 [Source:Projected from Arabidopsis thaliana (AT4G21330) UniProtKB/Swiss-Prot;Acc:O81900] MGRRKLEDDDDNNNSKEFKSKNLQAERRRRQKLSDRLLTLRSLVPIITNMNKATIIDDAITYIQELQKTSQVLSEQLLEMEGSSEESVMPMKLEIAVAQHDMKKCGIKEEVKVSNIDGNKFLIKIIVEKKRGCFTQLIEAMNYLGFELSETNVTTFSGAMLFSSCVHGKYGDTLMVEHIEELLSEMMRSMKTSSQSAIELGNTN >KJB70372 pep chromosome:Graimondii2_0_v6:11:6329778:6332829:-1 gene:B456_011G070100 transcript:KJB70372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 6 [Source:Projected from Arabidopsis thaliana (AT3G16380) UniProtKB/Swiss-Prot;Acc:O04319] MASAAQPPSLPLPLQASNVAGGGWNSLQRASLYVGDLDPDVTETDLINKFSAIAPVSSLRLCRCLRTRKSLRYAYINFFSHFHARKAFACLNHTDLKGKPMRIMWSQRDPFPRKIGIGNLFVKNLDPSISSACLEGIFCRFGTILSCKIAEENGKSKGFGFVQFDSEGSAMAAMTALHDTMLEGRKLYVAKFVRKSERTTSSEEEKFTNLYVKNLFDDMTEDLLKEMFSRYGKVCSVVIMKDGNGMSRGFGFVNFQSPDDAKKALEAMNGLQLGSKNLFVGRAQKKAERTKLLKNKYKDVFNCRFEKLKASNLYVKNLNDSIDDKRLQEVFGRFGRITSARVMRLENGRSKGFGFVCFSSPEEATAALHGLNGTFFEGKFLYVAVAQRKEDRCKELQYYYMQNTPVQSSYQSSCNGGTPQFHSFYFNFPPCPTVSPLLCQPSLYQHFVTNVGQYPFATTDEQQNFSYDQMRHVHPSIAGIRRDCMYKQHSMTYNSNVRLRELDHVNSGSKKVGFRKKGNKRCEPAENSSVALAAIEPVTAATSPGNSENNENLSHPFVENLKVCNFSFQ >KJB74044 pep chromosome:Graimondii2_0_v6:11:60071659:60074976:1 gene:B456_011G268700 transcript:KJB74044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEGKESKEVKMEEAVNKERVVFMWGYLPGALPQRTPLLSPVNVRIPASAGCSWTDVCGGGCGFAMAISDSGKLITWGSTDDLGQSYVTSGKHGEIPEPFPLPTEVSVVKAAAGWAHCAAVTETAEVYTWGWKECIPSGKVFGDLPMGTSLEKDVFERQNSLLAEQVSPRSQGSRSSGGTFSAADSKGGGEEGTKRRRTSSAKHSAESSSSGDETLSALPCLVTLNPGVRIATVATGGRHTLALSDTGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIEPSSLGKDRASALSRGSLSSERQSFRVPGSYVKGIACGGRHSAVITDAGALLTFGWGLYGQVRCRHHNLIVAVHIE >KJB74045 pep chromosome:Graimondii2_0_v6:11:60071659:60077737:1 gene:B456_011G268700 transcript:KJB74045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEGKESKEVKMEEAVNKERVVFMWGYLPGALPQRTPLLSPVNVRIPASAGCSWTDVCGGGCGFAMAISDSGKLITWGSTDDLGQSYVTSGKHGEIPEPFPLPTEVSVVKAAAGWAHCAAVTETAEVYTWGWKECIPSGKVFGDLPMGTSLEKDVFERQNSLLAEQVSPRSQGSRSSGGTFSAADSKGGGEEGTKRRRTSSAKHSAESSSSGDETLSALPCLVTLNPGVRIATVATGGRHTLALSDTGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIEPSSLGKDRASALSRGSLSSERQSFRVPGSYVKGIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGIRIESVAAGLWHTVCVSTDGDVYAFGGNQFGQLGTGGDQAETLPRLLDAPSLENTNVKIVSCGARHSAIITEDGKIFCWGWNKYGQLGLGDVIDRNIPSEVTIEGCSPKNIACGWWHTLLLAESPT >KJB70631 pep chromosome:Graimondii2_0_v6:11:8630559:8631314:-1 gene:B456_011G084300 transcript:KJB70631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADWGPVFVAVGLFILLSPGLLFQLPSRTRVIEFGNMCTSGIAILIHAIIYFCIITILVIAIGVHIHIE >KJB71526 pep chromosome:Graimondii2_0_v6:11:18193183:18197674:-1 gene:B456_011G127100 transcript:KJB71526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIAITTAILLFSIVADVSNASSFSKLRFLADKSPPKNDTTAATPPSSQLPAKKLDPKPNSQSKSDPNITHTATQSPVDKKDQKLSDKPGKVIPPPQREIDSEKNSSSTSNSTNFKTVGDNEGKKKNIDSGKKPNITETEQGAVVSKEDNNKKQQQKTDDDGNETQSGIVETCDGVANSCKDRNSLTACIKGSETGSKQLAVLVHNSGEKTLKVNVAGLPGESFAKILKVPKRGTRKINISLAISETSELKLSAGNGDCVLHMNPLVPEGNFFLNLPSYDKLLTPVNGAYFLIATIVILGGSWACCMFRKGRRHDGGIPYQELEMGLPESMQATEVETAEGWDQGWDSDWDEDKAVKSPMGRRQVPNISANGLTARSSNRDGWENNWDD >KJB71610 pep chromosome:Graimondii2_0_v6:11:20203277:20207287:1 gene:B456_011G133300 transcript:KJB71610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVITAAVEVALSKAISLLEDQINLAWDFKDKLRKLRSLLVLTRAFLQDAERRQVDEAVKVWLEQLRDIAYEADDVLDELACEHVRRKVDNQMSKKVRDFLSPSKNHLAFSLKMAKKVENISLSLDEITDRASKFGLQQRLQNTTAPVFSGVGGTNSFLDSSRVVGREADVLKVVDLLISSATHQRLSIVSIVGMAGLGKTTLAKSVCNNGTIKNHFKKIIWVCVAENFDVRRILLEMLESLTRKPCEIKNGDVVLREIQKELKEKTFLLVLDDVWDEDIKNWLDLKGSLLGMNGSKQSCILVTSRSENVALVRESPPYHRHHLKMMIDEECWSIIRERAFGNSSISPELEVIGRDIACKCGGVPLVATVIGGTMCNKWDKDEWVSFRDSSIWGSLEKNEDFRIQREELIQLWMAEGFLQRSKGSSQLAFEDIGSEYFNDLLSNSLLQDVEKDLYGRIISCKMHDLVHDLAQSISDIKQQNVFDDVKLWRSLFLNSSFTFIGKDFKGLRVLKFGGADIVSLPDAIGKLKHLRYFDISRTRIPRLPKSFTQLYLLETLRLLSCEMLKKLPEGMKNLVNLRHLYISHGRHVPIGIGCLISLQTLPVFHVGTERGTGIGELGFLVELGGELVIEGLENVIDKEEARGAKMWEKKKLHKLQYQYRWDNRREGYCNHDEEVLEGLEPHSNLESLSIERYKGEYYPSWLLGNFCGEPNTCFQLVNMVELRLLDCENVNNLPSLGQYPSLKFLEIGGLYRVRCIGNEFYMNGCDKNKPIILFPALQNFVLRNMPEVEEWLEVEPTIPVFPSLLVLEIVDCYNLSSIPRMSRFSSLETIIIQNCEELSWRDNELFPSSLKELRITDCWNLRFIPSVEGGISGEGLLASTCLTDVDISECPNLRSAPFNGQSQSLLSLRLRNCEELLEIGGGLSASTRLETLEIANCPIMISIPSLDGFSSLLELELNKCEALTSLPSGLSTCTSLWRLFIYNCNNLESIPEDVGQLRCLEELRICDCQSMKRLPEESLGCLTSLKRLELGPFSEELEEFPGLGSIHHLHSSLKELTLWGWDKACTLPNQLQHLTALERLLINDFNGLKVLPEWLGNLSSLRELSFDRCNNLEHEPSKEALQRLSNLQLFRIPDFPSNVGVLTNFAVSGGR >KJB72902 pep chromosome:Graimondii2_0_v6:11:49291731:49294668:1 gene:B456_011G203800 transcript:KJB72902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSRMDVWFFFSSISNLIKRVRWHPLSQPCHQLAGVNKFADLVGVTLGPKGRNVVLESKYGSPKIVNDGVIVAKEVVRCCLEHATSVAKTFLMSYCVVVEIKKPEPVPAGNPMDNSGYGY >KJB74486 pep chromosome:Graimondii2_0_v6:11:47252478:47254235:1 gene:B456_011G195900 transcript:KJB74486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTVGVTHADLAPSRRSTELGSKTGVAFIVVTIICGLFCFVLCLIAEATRSQEKWVESEEDGKDVKYECIYSGSGKMALLCSAVAFVGLAVVMLVEHMYMLIAVSKSPPPVLLSWDPDSTQFKTLTWQAGFFFVTTWLCFAVAEILLLIGLSVESGHLKNWSKPRESCLIIREGLFCAAGVLTLMTVFLAAGLYLTALHAQKLFQQQQLVRQQVLETTVLYTSPPGSPPHRLITMAREDPVIRELPNQPPPFSFSGGFQKAI >KJB73168 pep chromosome:Graimondii2_0_v6:11:52673487:52676176:1 gene:B456_011G219000 transcript:KJB73168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTLWVGSSHCNWVGITCDKVGSITNLSLAGYGLRLKGTLHNLNFLSFPRLISLNLQNNSLYGSIPSHIGNLSKLVFLDLSYNNFYGNIPSQIGMLKSLIELKLSKNSFYGPIPPTFNNLTYLQHLQLGHNHLTGPLPKNICRGRSLAYFGAMNNNLIGQIPSSLRDCKSLYGVRLEGNHLTGNISKTFGIYPNLSFIALSDNRFYGELSPKWSQCHNLQSLQIANNNITGKIPLELGHATQLQELNLSSNHLIGEIPKELGALTKLSRLSLSGNQLSGKIPFGIGLLSNLRQLNLASNKLSGSIPDQLGNCSRLRNLNLSRNNLRERIPFSISYINGLQSLDLSHNSLTGGIPRQLGELHSLKILDLSHNLLNGSIPKAFRDLHGLTIVNVSFNRLEDLQAFHEVSFDAIKNNKGLSSNATELWPHFVPSRANYGLKKATKDFILVVFPNIGGLLLLLIMVATFRRFCMKTPTKNSESTEEENGDILTVLGFDGRILHEDIIEATENFSSNYCIGSGGYGTVYKATLRTGQVVAVKKFHQYANNILNNSKAFESEIAALLEIKHRNIVQMYGFCKHRKHSFLVFEFVEKGSLKMVLSNNEQAEELDWKKRLNVVKGLANALSYMHHDCSQPIIHRDISSNNVLLDSDYEAHVSDFGTAKLLKPDSSNWTSLAGTYGYIAPELAYTMRADEKCDVYSFGVLTLEVLLGRHPGDLLSSTSASMSNDKQVLLQDEIDPRLPPPKNQVAKDIVPTIMIAVSCLNSNPQLRPTMKQVAQALSCKSHPLPSPFSTIACHG >KJB69828 pep chromosome:Graimondii2_0_v6:11:3367875:3373807:1 gene:B456_011G044900 transcript:KJB69828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPTKRSLSSNAAVASSSSSSSSSSHFQPSMKKAKSQAVACSLDPNKNGLHNHHNNQGDNDVVFDPSSPMSLDDDSKSDDARAPAAANLSRKKATPPQPAKKLVIKFVKAKPTVPTNFEEETWAKLKSAINAIFLKQPDSCDLEKLYQAVNDLCLHRMGGSLYQRIEKECEARISAALRSLVGQSPDLVVFLSLVEKCWQDLCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFCKHLSLAPEVEHKTVTGLLRMIESERLGEAVDRTLLNHLLKMFTALGIYSESFEKPFLERTSEFYAAEGMKYMQQSDVPDYLKHVEMRLNEENERCLLYLDALTRKPLIATAERQLLERHIPAILDKGFMMLMDGHRIEDLQRMYSLFSRVSALESLRQALSSYIRRTGQSIVMDEEKDKDMVSSLLEFKASLDSILEESFSKNEAFCNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLRSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFSKGKKELAVSLFQTVVLMLFNDAQKLSFQDIKDSTGIEDKELRRTLQSLACGKVRVLQKLPKGRDVEDDDSFIFNEGFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFEQVI >KJB69827 pep chromosome:Graimondii2_0_v6:11:3367713:3374332:1 gene:B456_011G044900 transcript:KJB69827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPTKRSLSSNAAVASSSSSSSSSSHFQPSMKKAKSQAVACSLDPNKNGLHNHHNNQGDNDVVFDPSSPMSLDDDSKSDDARAPAAANLSRKKATPPQPAKKLVIKFVKAKPTVPTNFEEETWAKLKSAINAIFLKQPDSCDLEKLYQAVNDLCLHRMGGSLYQRIEKECEARISAALRSLVGQSPDLVVFLSLVEKCWQDLCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFCKHLSLAPEVEHKTVTGLLRMIESERLGEAVDRTLLNHLLKMFTALGIYSESFEKPFLERTSEFYAAEGMKYMQQSDVPDYLKHVEMRLNEENERCLLYLDALTRKPLIATAERQLLERHIPAILDKGFMMLMDGHRIEDLQRMYSLFSRVSALESLRQALSSYIRRTGQSIVMDEEKDKDMVSSLLEFKASLDSILEESFSKNEAFCNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLRSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFSKGKKELAVSLFQTVVLMLFNDAQKLSFQDIKDSTGIEDKELRRTLQSLACGKVRVLQKLPKGRDVEDDDSFIFNEGFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFEQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >KJB74244 pep chromosome:Graimondii2_0_v6:11:61465710:61469701:1 gene:B456_011G282400 transcript:KJB74244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVFFHDEKPEQLSQPLSPEIASSSRLKHQVFLSFSGEDTRLNFTAHLLKALKDKGMNVFFDEETLEKGEQLSQALSLAIAASNLSIIVLSVDYASSKSCLAELSDIMRRKDTQGHIVLPIFYHVDPSDVRNHGGSFKTSFNHHESNRLPQVQRWKTAFAEVGKLKGWHIEGGKFDRPETEYIKDIVEYLIKKLMSGKLKNASAELVGIDDQKQTILTLIEQEDSRLIGLWGKVYEDSCFLLNVREKLKKQGMESLRNELLPKLLNQAIHVDTPSIGSTLIQERLSNKRVLVVLNDVNDSDQIDCFGVKHFGDGSKIIVTSRDRQVLKNGGVDKIHKVKKLKDNDSLQLFSTFAFKQLNPAVEFQDLSKKFVEYAQGKYERPKISQILRSSFDDLDELEKNIFLDIAIFFKGTLRKDVEKILSCCYKGVVSGISNLIDKCLLDSTPYIEWIFMHDMLEEMGKDIVRKESIDPEKRSRLWGAKDVFQVLRYNKGINRIEGMKLDISQIDNLRLHRSAFEGMINLKVIFFYTDDQVDSVSLPEELRYLRWDYYPFKSLSGFNPKNLVVLKLIRGDIEYLWNDDDHQGLVNLKEINVADCKNLRKIPSLLGAINLEILECSGCESLVELPWLNHLTSLNKLGLTGCCNLKTFPVMESLRDLNLSHCPIVKFPEIPRTLIKLNLSGTQIEEVSLSLDSLGNLQTLDMSGSRVKTVSIKMEIALRDLNLSHCPMTEFPEIPRSLRKLNLFRTQIKEVTLSLDSLNNLQMLKMSCSSIQKLQCSIIPFGLREIPTVDVSSPILMSKSIYRLQMDHCESLIVGS >KJB72300 pep chromosome:Graimondii2_0_v6:11:36785570:36787834:-1 gene:B456_011G170000 transcript:KJB72300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLLIMPPSSKSTATASSTTGAATSSATKLTGYHHHHHQNPHSHSPLLLCKHSPSATLDLLILILVLFSGTFLLSSYFSYLFHSLSLLLSPLLSTQSASFSLPFVSYLLGCTLFFVTTLISIEFCCGSRSRKCEKPGCKGLKKALEFDLQLQTEDCVKNGSKEIDRLPWKGGSEGNPDYECLRAELRKMAPPNGRAVLLFRARCGCPIAKLEGWGPKRGRRHKNINLGN >KJB72298 pep chromosome:Graimondii2_0_v6:11:36784580:36787834:-1 gene:B456_011G170000 transcript:KJB72298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLLIMPPSSKSTATASSTTGAATSSATKLTGYHHHHHQNPHSHSPLLLCKHSPSATLDLLILILVLFSGTFLLSSYFSYLFHSLSLLLSPLLSTQSASFSLPFVSYLLGCTLFFVTTLISIEFCCGSRSRKCEKPGCKGLKKALEFDLQLQTEDCVKNGSKEIDRLPWKGGSEGNPDYECLRAELRKMAPPNGRAVLLFRARCGCPIAKLEGWGPKRGRRHKK >KJB72299 pep chromosome:Graimondii2_0_v6:11:36784580:36787854:-1 gene:B456_011G170000 transcript:KJB72299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLLIMPPSSKSTATASSTTGAATSSATKLTGYHHHHHQNPHSHSPLLLCKHSPSATLDLLILILVLFSGTFLLSSYFSYLFHSLSLLLSPLLSTQSASFSLPFVSYLLGCTLFFVTTLISIEFCCGSRSRKCEKPGCKGLKKALEFDLQLQTEDCVKNGSKEIDRLPWKGGSEGNPDYECLRAELRKMAPPNGRAVLLFRARCGCPIAKLEGWGPKRGRRHKKGLASSAQNGDHR >KJB70716 pep chromosome:Graimondii2_0_v6:11:9391137:9395002:1 gene:B456_011G089600 transcript:KJB70716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MSAEEESNSLEFTPTWVVAAVCFIIVLISIIAERGLHRLGKYLKHKKQDALFEALQKLKEELMLLGFISLLLTVFQGLVCEICIPAYFATSLLPCKRHSEEKTHEEYTSQAINNRRRLFSTDSGSDHCSREGKVPLLSREALHHLHIFIFVLAVVHVIFCVITMVLGGARIRQWKQWEELILKHGNEDTDHHHTFFARHAQGYWRRAAVVSWIMSFFKQFYDSVTKSDYLALREGFIRTQGHRNFDFHGYMIRTLEVDFKKIVGISWYLWLFVVVFLLLNVEGWHTYFWLSFLPVVLLLLVGTKLEHIITRLAQEVDVSEATQVKPSDTHFWFEKPGIVLHLIHFILFQNAFELAFFFWILCTYGFHSCIMERMSYIIPRLIMGVMVQVLCSYSTLPLYALVTLMGSQLKEGLFKEFVRSSLDKWFTERKGEASKHESTNTTQMDRMVKESYQSMPTGMSIAGETTLSITVQNSGPNP >KJB70717 pep chromosome:Graimondii2_0_v6:11:9391167:9394591:1 gene:B456_011G089600 transcript:KJB70717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MSAEEESNSLEFTPTWVVAAVCFIIVLISIIAERGLHRLGKYLKHKKQDALFEALQKLKEELMLLGFISLLLTVFQGLVCEICIPAYFATSLLPCKRHSEEKTHEEYTSQAINNRRRLFSTDSGSDHCSREGKVPLLSREALHHLHIFIFVLAVVHVIFCVITMVLGGARIRQWKQWEELILKHGNEDTDHHHTFFARHAQGYWRRAAVVSWIMSFFKQFYDSVTKSDYLALREGFIRTQGHRNFDFHGYMIRTLEVDFKKIVGISWYLWLFVVVFLLLNVEGWHTYFWLSFLPVVLLLLVGTKLEHIITRLAQEVDVSEATQVKPSDTHFWFEKPGIVLHLIHFILFQNAFELAFFFWILCTYGFHSCIMERMSYIIPRLIMGVMVQVLCSYSTLPLYALVTLVGISTQICLSISEVALACVF >KJB73770 pep chromosome:Graimondii2_0_v6:11:57712102:57713657:-1 gene:B456_011G250300 transcript:KJB73770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSFRTISRTADFSELYKLIQSDGNVLRSFDEVEFIETPLHVAANEGKLNQQGLKPIHLALEKGHKEMVLRFLQMDKDLVRVTGKNGETPLHYIIKVGNHDSLLETFLKACPDCIRDVTTENRSALHIAAEKKRLDVLQILIRMLKKKDYYQEEVNRKDEDGNTALHIAARNNQTVMLKLLLSSKADKYATNQSDLTALGVAEQHNNRKSIRILHGCFIPGVADIKSKWEKQIVKYTAKASSVIFDDLDNISSDDLVLGLLLTGTYQATLSPPGSVLQGDDTSSPGKSVLDRTDFLLFYIPTYVVFMVTFFLILALLKPFPHGLKKTIQVLLAYFAICFDQSISFIAPTDFAFKVIWEYFSYCFLF >KJB69920 pep chromosome:Graimondii2_0_v6:11:3919517:3923173:1 gene:B456_011G050200 transcript:KJB69920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLSEPSSSLSFSSSSHLSNGSITHNIPPFSVPETGANLEALSLTKLSSSLEQLIVDNCPVFSDADIVVEGVAVGVHRCILAVRSKFFNEVFKEGSGSSEKDGKPSYNMSELLPYGKIGLEAFQVFLSYLYTGKLKPSPMEVSTCVDNVCAHDACRPAISFAVELMYASSIFQIPELVPLFQRRLLNFVEKALLEDIIPILVVAFHCQCSQLVSQCVDRVARSDLDSICIEKELPYEVTESIRLLRRKSPSDGEGNEAVVDPLQEKRIRRIHKALDSDDVELVKLLLTESDITLDDATALHYAAAYCDPKVVSEVLGLRLADVNLRNSRGYTVLHIAAMRKEPSVIMALLAKGASASTLTFDGQSAVNICRRLTRPKDYHAKTEQGKETNKDRICIDILEREMRRNPMAGDVSVASHALSDDLHMRLLYLENRVALARLLFPSEAKLAIDIAHAETTSELATGFPSKCSNGNLRQVDLNETPIMQKQRLLARMQALMKTVEMGRRYFPHCSEVLDKFMEDDLPDLSYLETGTPEEQRIERSRFRELKEDVQRAFKKDKAEFNRNGLSSSSSSSSFRDGGPYKYRKL >KJB69919 pep chromosome:Graimondii2_0_v6:11:3919517:3923173:1 gene:B456_011G050200 transcript:KJB69919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLSEPSSSLSFSSSSHLSNGSITHNIPPFSVPETGANLEALSLTKLSSSLEQLIVDNCPVFSDADIVVEGVAVGVHRCILAVRSKFFNEVFKEGSGSSEKDGKPSYNMSELLPYGKIGLEAFQVFLSYLYTGKLKPSPMEVSTCVDNVCAHDACRPAISFAVELMYASSIFQIPELVPLFQRRLLNFVEKALLEDIIPILVVAFHCQCSQLVSQCVDRVARSDLDSICIEKELPYEVTESIRLLRRKSPSDGEGNEAVVDPLQEKRIRRIHKALDSDDVELVKLLLTESDITLDDATALHYAAAYCDPKVVSEVLGLRLADVNLRNSRGYTVLHIAAMRKEPSVIMALLAKGASASTLTFDGQSAVNICRRLTRPKDYHAKTEQGKETNKDRICIDILEREMRRNPMAGDVSVASHALSDDLHMRLLYLENRVALARLLFPSEAKLAIDIAHAETTSELATGFPSKCSNGNLRQVDLNETPIMQKQRLLARMQALMKTGEGLRFKYLYIQVHASLPCHPFYFCIRRFIFCLCPVQASSF >KJB69916 pep chromosome:Graimondii2_0_v6:11:3920126:3921797:1 gene:B456_011G050200 transcript:KJB69916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLSEPSSSLSFSSSSHLSNGSITHNIPPFSVPETGANLEALSLTKLSSSLEQLIVDNCPVFSDADIVVEGVAVGVHRCILAVRSKFFNEVFKEGSGSSEKDGKPSYNMSELLPYGKIGLEAFQVFLSYLYTGKLKPSPMEVSTCVDNVCAHDACRPAISFAVELMYASSIFQIPELVPLFQRRLLNFVEKALLEDIIPILVVAFHCQCSQLVSQCVDRVARSDLDSICIEKELPYEVTESIRLLRRKSPSDGEGNEAVVDPLQEKRIRRIHKALDSDDVELVKLLLTESDITLDDATALHYAAAYCDPKVVSEVLGLRLADVNLRNSRGYTVLHIAAMRKEPSVIMALLAKGASASTLTFDGQSAVNICRRLTRPKDYHAKTEQGKETNKDRICIDILEREMRRNPMAGDVSVASHALSDDLHMRLLYLENRGITLFGGFHVALSNWFVILHFPAFHLCCRTS >KJB69918 pep chromosome:Graimondii2_0_v6:11:3919517:3923173:1 gene:B456_011G050200 transcript:KJB69918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLSEPSSSLSFSSSSHLSNGSITHNIPPFSVPETGANLEALSLTKLSSSLEQLIVDNCPVFSDADIVVEGVAVGVHRCILAVRSKFFNEVFKEGSGSSEKDGKPSYNMSELLPYGKIGLEAFQVFLSYLYTGKLKPSPMEVSTCVDNVCAHDACRPAISFAVELMYASSIFQIPELVPLFQRRLLNFVEKALLEDIIPILVVAFHCQCSQLVSQCVDRVARSDLDSICIEKELPYEVTESIRLLRRKSPSDGEGNEAVVDPLQEKRIRRIHKALDSDDVELVKLLLTESDITLDDATALHYAAAYCDPKVDYHAKTEQGKETNKDRICIDILEREMRRNPMAGDVSVASHALSDDLHMRLLYLENRVALARLLFPSEAKLAIDIAHAETTSELATGFPSKCSNGNLRQVDLNETPIMQKQRLLARMQALMKTVEMGRRYFPHCSEVLDKFMEDDLPDLSYLETGTPEEQRIERSRFRELKEDVQRAFKKDKAEFNRNGLSSSSSSSSFRDGGPYKYRKL >KJB69917 pep chromosome:Graimondii2_0_v6:11:3920126:3921797:1 gene:B456_011G050200 transcript:KJB69917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLSEPSSSLSFSSSSHLSNGSITHNIPPFSVPETGANLEALSLTKLSSSLEQLIVDNCPVFSDADIVVEGVAVGVHRCILAVRSKFFNEVFKEGSGSSEKDGKPSYNMSELLPYGKIGLEAFQVFLSYLYTGKLKPSPMEVSTCVDNVCAHDACRPAISFAVELMYASSIFQIPELVPLFQRRLLNFVEKALLEDIIPILVVAFHCQCSQLVSQCVDRVARSDLDSICIEKELPYEVTESIRLLRRKSPSDGEGNEAVVDPLQEKRIRRIHKALDSDDVELVKLLLTESDITLDDATALHYAAAYCDPKVVSEVLGLRLADVNLRNSRGYTVLHIAAMRKEPSVIMALLAKGASASTLTFDGQSAVNICRRLTRPKDYHAKTEQGKETNKDRICIDILEREMRRNPMAGDVSVASHALSDDLHMRLLYLENRGITLFGGFHVALSNWFVILHFPAFHLCCRTS >KJB70460 pep chromosome:Graimondii2_0_v6:11:7124456:7127137:-1 gene:B456_011G074700 transcript:KJB70460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVHDVNVMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVDAAFECIARNALKNEPEEEIYLPETIDVASGGRPQRSTGCEC >KJB70461 pep chromosome:Graimondii2_0_v6:11:7124529:7127081:-1 gene:B456_011G074700 transcript:KJB70461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVHDVNVMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVDAAFECIARNALKNEPEEEM >KJB70462 pep chromosome:Graimondii2_0_v6:11:7125691:7126950:-1 gene:B456_011G074700 transcript:KJB70462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVHDVNVMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVDAAFECIARNALKNEPEEEM >KJB70463 pep chromosome:Graimondii2_0_v6:11:7124529:7127081:-1 gene:B456_011G074700 transcript:KJB70463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVHDVNVMKSFDNLNNWREEFLIQVASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVDAAFECIARNALKNEPEEEIYLPETIDVASGGRPQRSTGCEC >KJB72306 pep chromosome:Graimondii2_0_v6:11:37143663:37145626:-1 gene:B456_011G170400 transcript:KJB72306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRVAFIMVCHWRELNYDTIEMKFGLPRSIIYGSNQVNIFHLYHPNISRILADGGSSYVILQTLGQTGILYRQGRAFKIAFFHRKQIYQILSYNTKKNMLGCNSVCPKPARIPKLRPWQRCSRLVKEQGTIFYIIWRCTVLLLRWEEQSLEA >KJB69506 pep chromosome:Graimondii2_0_v6:11:2219454:2220695:-1 gene:B456_011G030000 transcript:KJB69506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPQLISYFFLLAFFSVEIHGREFFSKIPSVNTNEKEVTNKEEQTTLGKKEQEPRFVPETQNGYGLYGHESGQLPPSTTSTKETYEPYVTPVKYHPDEPYNSIPASKTNNKDSYFYSKTNAYGNTEQQSEARFNEKGWTTKETNNYNATTITMVTMRPCSLRKDGVLRKTRTTTTTITMVTMSTTMLRSKE >KJB69505 pep chromosome:Graimondii2_0_v6:11:2219450:2220737:-1 gene:B456_011G030000 transcript:KJB69505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPQLISYFFLLAFFSVEIHGREFFSKIPSVNTNEKEVTNKEEQTTLGKKEQEPRFVPETQNGYGLYGHESGQLPPSTTSTKETYEPYVTPVKYHPDEPYNSIPASKTNNKDSYFYSKTNAYGNTEQQSEARFNEKGWTTKETNNYNGNNEALFTEKGWSTKENQNSNNYYNGNNEAMFTEKGWSTKENQNNNNNYYNGNNEYNNVEKQGMSDTRYLENGKYYYGVGSENNYYPNQFENSRGVGSRNEFNENRYNNMGKYNQNQEEFEEEFEP >KJB73798 pep chromosome:Graimondii2_0_v6:11:58162013:58164923:1 gene:B456_011G253000 transcript:KJB73798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIRKMEVSIVLVLFFFTFLFFVQSINCSGFTPVDGFLIDCGGSNPVEVDGSRVFRPDNSFRNVRLSPSTGIVVSGDVINGFSNSALYSSVRIFEKTSVYVIGTKQIGRHWLRLHFFPVQNTRYNLKSAVFSVMANGITLHRFSFSKSSQNSPVMKEYVIEIGDSGLELTFSPGNGSVAFVHGIEVVALPDTNFPSGVVPVPFGPEVEIPKRVAFETVYRINMGGPVLTPKNDSMWRTWETDQAYLINAASANNVSVNPNLIKYIDGVSAEIAPNSVYATAQEMAEANVTDQRFNISWRFDVDEGFTYFIRLHFCDIVSTVLNSLIFNVYINKQSALPSFDISSKTMVLSAAYYADFVTKVSMGSNQILVQVGPPDFRNLPTNAILNGLEIMKMSNHCGSLDGNVCKIRKNSKFPRRKLVALAISSAAVFAVLVFVVAALLLRLHRPKKPKRCPSTWFSLPANVGNSDSKVSICSLASTAQSHGLGRVLSFAEIREATKNFDESLVIGVGGFGKVYKGVLENGVMVAVKRGNPGSRQGLTEFRTEILMLSRLRHRHLVSLIGYCEEQNEMILVYEFMAGGPLRKHLYGSNLPSLSWKQRLEICIGAAKGLHYLHTGASDTIIHRDVKTTNILLDENFTAKVADFGLSKLGPTLDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEILCARPAINPALPREQVNIAEWGMYWQKRGQLQRIIDSNLVGLINIDSLRKFGETAEKCLAEHGVERPTMGDVLWNLEYALQLQEASIQNDSSDNSANHIPEIPGWIPQVESVDTDCYDIVSDQVASDATTTSDVFSQIMDPRGR >KJB72046 pep chromosome:Graimondii2_0_v6:11:27100376:27102033:-1 gene:B456_011G155600 transcript:KJB72046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTEKERYFSCYIGKWDEAKRLLADTVNKGISPDVYIVNALVSALCKDGKIQEAISVFDLMTQRGIRPDVITYTTLIHAFYSIIDILCKEGESSKAIEILELMTRKGACHSGKWEEVTNLLNRIMNEGVHPNLVTFNSLINALCKEKRIEEAITMLNLMSQGRFTFNSLINALCKEKRTEEAITMLELLSQRGVKLYGVTYNIMIRLYCGQGEMNKAKDVFDSMGTQGL >KJB70440 pep chromosome:Graimondii2_0_v6:11:6925335:6928708:1 gene:B456_011G073400 transcript:KJB70440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGNPSWWSMHLHPPSQHPSTLLTTPSPSLFPQYVVEYSATSLPDNQELPQSCSQLLSGGFSGEEERLCPSNFQPKKLENWENQILNPSLRVPVVEVIKQEVTQQHSNLYGHHHASEEFQAPRLPVPPAAWSQIMGTAVLSPSPRSCITTSSSNMLDFTYNKATDGSISNTQPPVDHSSECNSKGSGGVFKKARVQTSSSQPPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSSPYLGTASPNMRNQQSGQGERNCAFPEDEGQEKQEPKSKDLRSRGLCLVPVSCTQQVGSDNGADYWSPAFGAAFN >KJB70442 pep chromosome:Graimondii2_0_v6:11:6925523:6927485:1 gene:B456_011G073400 transcript:KJB70442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGNPSWWSMHLHPPSQHPSTLLTTPSPSLFPQYVVEYSATSLPDNQELPQSCSQLLSGGFSGEEERLCPSNFQPKKLENWENQILNPSLRVPVVEVIKQEVTQQHSNLYGHHHASEEFQAPRLPVPPAAWSQIMGTAVLSPSPRSCITTSSSNMLDFTYNKATDGSISNTQPPVDHSSECNSKGSGGVFKKARVQTSSSQPPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEVMFLQHLPIHLYTLCMPYTPAY >KJB70441 pep chromosome:Graimondii2_0_v6:11:6925663:6926643:1 gene:B456_011G073400 transcript:KJB70441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGNPSWWSMHLHPPSQHPSTLLTTPSPSLFPQYVVEYSATSLPDNQELPQSCSQLLSGGFSGEEERLCPSNFQPKKLENWENQILNPSLRVPVVEVIKQEVTQQHSNLYGHHHASEEFQAPRLPVPPAAWSQIMGTAVLSPSPRSCITTSSSNMLDFTYNKATDGSISNTQPPVDHSSECNSKGSGGVFKKARVQTSSSQPPLKVNTRIFLSFSLLILGACAFVFVCLECYLYIYVVLDCPFSSMSYSFKILPATIL >KJB74203 pep chromosome:Graimondii2_0_v6:11:61125304:61126564:1 gene:B456_011G279200 transcript:KJB74203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFLFISLLLSFIHFSFSLPSIGVTYSTTTTTASPPPDKISATISTLKIPNVRLPDADPSLIKAFAFTNTSLFLSIPNPLLPAVAANRSLALRWLYRHVLPFYPRSKITLISVGNAVLDSVAEQDFTPYLLPAMRNLHLALHELGIKKIPVSTTFSFFSTITTAFPPSSAEFQQPAGDLIIKPLLQFLEETNSSFLINLYPYNLYRLNSEIPVGFALFQDYPFNFRDDLVTGVRYFNLFDMMADSVLTAMAVMGYESVPVIVAETGWPSGGGEAGEVEANEAYAEMYLKGLVRHLKSGVGTPLKKDGVAEVYVYELMDHEGGNNDNNKVKGRKWGILTENMTRKFNVEISSGVKNYGLMGIFLFLIAIFYAFP >KJB72974 pep chromosome:Graimondii2_0_v6:11:49830999:49832993:1 gene:B456_011G206900 transcript:KJB72974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARFFRLFPLLLSSSFVVLSPLASSASDSEALLRLKESLISAGALDSWVPGSNPCNGSQGTWEGLRCSNGVVTGLRLEGMGLSGYIDVEALVEIQGLRVFSVANNSFTDVIPEINRLGSLKVLFLSMNQFSGVIPSEYFDNMGSLKKVWLSNNKFTGNIPVSLSRLSRLTDLHLENNQFGGQIPAFDNPTLKYINVSNNQLEGEIPSSLSKFNADSFAGNPGLCGEQVGVECSKADQPTPNDTSKTIVAALITLGAVLFIAVIFFAFRWRKKKQQNDLKELKTGNSNDAVEVPVSVITDKKEESVKSACSTRKDSNPRRLSTVTELVMVNDEKGVIGLPDLLKSAAEVLGNGSLGSSYKVKMTNGVALVVKRMRQMNALGNDAFDAEVRKLGNLRHPNVLPPLAYHYRKEEKLFVYEYFSKGSLFYQLHGDGGKSGGELDWPTRVKIVRGIAEGLDYLHKEFPLRDVPHGNLKSSNVLLGPDNHPFLSDYGFHPLVNIDGLQALCAYKTPEAVQQKTVSPKSDVYCLGIIILEILTGEIPCQCLNDSKGGTDIVQWVASAFSEGRQAELLDTGMEGCRNSVGSMEKLLRVGALCTETSPEKRLDIKETIRMIEEIQVEGSVE >KJB68952 pep chromosome:Graimondii2_0_v6:11:3378:3716:-1 gene:B456_011G0001002 transcript:KJB68952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEGDSMRILPCNHEFHRTCIDNWLKEVHRNISVISCTGISSTSQALLFSFKHYFFW >KJB69831 pep chromosome:Graimondii2_0_v6:11:3636109:3639338:1 gene:B456_011G047100 transcript:KJB69831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLFIGFIFIPVGLVTLRASHSVVEIVERYDIGCVPEPFRINKVSYIQDDSIPKNCSLSFKVPKYMKAPIYIYYQLDNYYQNHRRYVKSRSDKQLLHGQNQHGISSCQPVEVNNDRPIIPCGLIAWSLFNDTFTFIRNRAELKVNRKNIAWKSDREHKFGKNVYPFNFQNGTLIGGGKLNPRIPLSDQEDLIVWMRTSALPSFRKLYGRIEKDLDVDDVVVVHLMNNYNTYSFGGKKKLVLSTTSWLGGKNDFLGLAYVFIGSSSVTIAVVFTLLHLLSQRH >KJB69830 pep chromosome:Graimondii2_0_v6:11:3635018:3639338:1 gene:B456_011G047100 transcript:KJB69830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTDRGTQRDRDSAFYLFTQQNLPACKPVLTPEWVVEIVERYDIGCVPEPFRINKVSYIQDDSIPKNCSLSFKVPKYMKAPIYIYYQLDNYYQNHRRYVKSRSDKQLLHGQNQHGISSCQPVEVNNDRPIIPCGLIAWSLFNDTFTFIRNRAELKVNRKNIAWKSDREHKFGKNVYPFNFQNGTLIGGGKLNPRIPLSDQEDLIVWMRTSALPSFRKLYGRIEKDLDVDDVVVVHLMNNYNTYSFGGKKKLVLSTTSWLGGKNDFLGLAYVFIGSSSVTIAVVFTLLHLLSQRH >KJB69829 pep chromosome:Graimondii2_0_v6:11:3635018:3639338:1 gene:B456_011G047100 transcript:KJB69829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTDRGTQRDRDSAFYLFTQQNLPACKPVLTPEWVFMTFLFIGFIFIPVGLVTLRASHSVVEIVERYDIGCVPEPFRINKVSYIQDDSIPKNCSLSFKVPKYMKAPIYIYYQLDNYYQNHRRYVKSRSDKQLLHGQNQHGISSCQPVEVNNDRPIIPCGLIAWSLFNDTFTFIRNRAELKVNRKNIAWKSDREHKFGKNVYPFNFQNGTLIGGGKLNPRIPLSDQEDLIVWMRTSALPSFRKLYGRIEKDLDVDDVVVVHLMNNYNTYSFGGKKKLVLSTTSWLGGKNDFLGLAYVFIGSSSVTIAVVFTLLHLLSQRH >KJB70500 pep chromosome:Graimondii2_0_v6:11:8085403:8087833:-1 gene:B456_011G080700 transcript:KJB70500 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRX4 [Source:Projected from Arabidopsis thaliana (AT3G15660) UniProtKB/TrEMBL;Acc:A0A178VHP8] MARLLSSNAVLKAIATLPAALSSRNVIGSFYPSGLKYSTSVPGDPDTHEDFRPTNKIQSSDVSLKDVVEQDVKENPVMIYMKGVPDFPQCGFSSLAVRVLKHYNVPLSARNILEDAELKSAVKAFSHWPTFPQIFIKGEFIGGSDIILNMHQNGELKEKLKDIVGSPKLE >KJB70501 pep chromosome:Graimondii2_0_v6:11:8085188:8088046:-1 gene:B456_011G080700 transcript:KJB70501 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRX4 [Source:Projected from Arabidopsis thaliana (AT3G15660) UniProtKB/TrEMBL;Acc:A0A178VHP8] MARLLSSNAVLKAIATLPAALSSRNVIGSFYPSGLKYSTSVPGDPDTHEDFRPTNKIQSSDVSLKDVVEQDVKENPVMIYMKGVPDFPQCGFSSLAVRVLKHYNVPLSARNILEDAELKSAVKAFSHWPTFPQIFIKGEFIGGSDIILNMHQNGELKEKLKDIVGSPKLE >KJB70502 pep chromosome:Graimondii2_0_v6:11:8085169:8088098:-1 gene:B456_011G080700 transcript:KJB70502 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRX4 [Source:Projected from Arabidopsis thaliana (AT3G15660) UniProtKB/TrEMBL;Acc:A0A178VHP8] MARLLSSNAVLKAIATLPAALSSRNVIGSFYPSGLKYSTSVPGDPDTHEDFRPTNKIQSSDVSLKDVVEQDVKENPVMIYMKGVPDFPQCGFSSLAVRVLKHYNVPLSARNILEDAELKSAVKAFSHWPTFPQIFIKGEFIGGSDIILNMHQNGELKEKLKDIVGSPKLE >KJB72821 pep chromosome:Graimondii2_0_v6:11:48152307:48154130:1 gene:B456_011G199500 transcript:KJB72821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRLGKDFLQRIKQNGFIDFKNRKGQFEYRVTQESLMDRLTTDTRAACLSIDQNCRVLTIHGSMDKIVPAKDALEFARFIRNHKLHIIEGVDHEYTAHQDELATVVLDFVKAVREDRNTAELLQSCERAVNFIKARI >KJB74093 pep chromosome:Graimondii2_0_v6:11:60387183:60388467:1 gene:B456_011G271700 transcript:KJB74093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDSKGVHTLCGSSCLDPFFLTQIAQTFKDISNAIDKFAPWSGAWVSESGGAYNSGGQLVWYKFAFGFWYFDQLGMTSVYNHKVYCKQALIGGNYALLNTATFIPNPDYALLWHRVMGRKVLSVTHKGSPYLCVYSHCAKKEIIFIILRGVSFVFINLSKNTSFEIDIFHDLNLNGESPNFEFKRQLKPKLVDGMMPTSIASHSIAFITIRHLNAPACS >KJB72892 pep chromosome:Graimondii2_0_v6:11:49120383:49121849:-1 gene:B456_011G202900 transcript:KJB72892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSFSQPHFVLIPFMCQGHLIPMVDIARLLAERGVIVTVITTPKNAARFSSSINGAIKSGLAIRVEQLGFPAAEVGLPEGCETIDNLPSMELMSRFYAALSLLQQPVERMLEELKPRPSCIIYDRNFTWIVTLASKYQIPKFWFDGKNCFSLLCYHNIITSRVHECVSQGETFPVPGLPDRIELTPAQVPGFLHTMKEHAEKAMEAERGADGVIINSFQELETEYCESLGKVKKQNVWCIGPVSLSNKNDFDKARRGNEASITDEDRCLKWLDSWPPSSVIYVCFGSLNRLIPTQLIELGLSLEASRRPFIWVIRGGYKKEEMEKWLKEDGFEDRIKGRGLLIRGWAPQVLILSHPSIGGFLTHCGWNSTLEGICAGVPMITWPLFSEQFMNQKLLIQILKVGVSIGVEVAVQMGEEEKFGAMVKKGDIMKAMESLMDGGDEGEDRRKRAKKLAKMARVAVEAGGSSYLNITLLIEYIMQQATSQN >KJB70035 pep chromosome:Graimondii2_0_v6:11:4315440:4316303:1 gene:B456_011G054800 transcript:KJB70035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPGFYESTAVAITGKIMAIGIIVLFLVVIFVLGLHLYAKWFWWRNEEPTSHPSRRSSRRRFVFAPGQDTTHPQRTSKGLDSTIIGSLPALIFRQDEFKEGLECAVCLCEVIEGEKARLLPKCNHGFHVDCIDMWFQSHSTCPLCRNPIVIGGEFENPSHLVDETNVQAHVQSPNDGSGSGYSTDSPSFPTNVLFWGNDTQVSSGSSCLDEGSSASSASIGSSAMASTSSRQEGMLVIDVPMNGNENPPEEESKSAMPTRLRSLKRLLSRERRVAPNSSGSCSVDA >KJB69697 pep chromosome:Graimondii2_0_v6:11:2856373:2857680:1 gene:B456_011G038000 transcript:KJB69697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPPPSLNSLPLFLFLLLSAVISAATTTTNATSKLPPTPSPTTETPSSSSSSSSTLDPKQIEALESLNIPTARDPCIQPSPHNATVCDSSKPFRHLVSLHLSNCSADLSLSFTALKSLSSVHSLSFTNCHTYPIRFPYDLSLSLTSFTCIRSLRRLTGVWLSRFVNLTDLTVSFTPVNTSGLYVILGNMHKLKTVTISHANLTGSLPRHLHLNLTHVDLSDNKLKGNIPTSLTLLEDLEYLNLSSNGLNGEIPTEFGDLISLKNLSLASNSFSGSIPDSISAIPGFVHVDLSNNQLNGTVPRFFSQLKGLKVLNLENNELHGVLPFNASFIKKLAVFKVGGNSNLCYNHSVLSSKMKLGIARCDKHGLPMSPPPSKESSGDSDSSDYEDDSADDTSENKEHHHGPNKVVLGVAIGLSSIVFLIVFLVLLSKWCG >KJB71031 pep chromosome:Graimondii2_0_v6:11:11391201:11394580:-1 gene:B456_011G101100 transcript:KJB71031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFTAIALDRLIESGDSKSVHKSSRYLKPPIPPNSKLRRRNSTSAAERKGNRPQISPALYATPDATPLPDSTSFFPSSPYIVNHKRRGPCLSRSSSEILSRQITLEEDEVNSKVKVADKASLAETKYVDLSKDVPFTSSVRKPNEEKHRNGVFNGHVNAEKANVHGGSIQDEHKNGVRDGELGSNNGEVGSCLMSNGLAKDRAVPKVGPPNSDRCSDKEDFFDLNESTSATSNTDGDDHTAAEGAGKSAASGVEFYDAWDELSSDNALHTFVRDIEAELHEIRSNLLVEIEKRKKAEETLNQMRCKWQRISQEFAVAGLALPVDPVDATDDELVKPVEELRQQESVARLVSLSVGRGFARAEMQMEMESQIKLKNFEVARLLDRLHYYKAVNQEMSQRNQEAIEMARRDRQRKKRRQKWVWGSIAAAISLGMTALAWSYLPSGKASSASSARITQAPVSDDATTKTAK >KJB71032 pep chromosome:Graimondii2_0_v6:11:11391555:11393401:-1 gene:B456_011G101100 transcript:KJB71032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFTAIALDRLIESGDSKSVHKSSRYLKPPIPPNSKLRRRNSTSAAERKGNRPQISPALYATPDATPLPDSTSFFPSSPYIVNHKRRGPCLSRSSSEILSRQITLEEDEVNSKVKVADKASLAETKYVDLSKDVPFTSSVRKPNEEKHRNGVFNGHVNAEKANVHGGSIQDEHKNGVRDGELGSNNGEVGSCLMSNGLAKDRAVPKVGPPNSDRCSDKEDFFDLNESTSATSNTDGDDHTAAEGAGKSAASGVEFYDAWDELSSDNALHTFVRDIEAELHEIRSNLLVEIEKRKKAEETLNQMRCKWQRISQEFAVAGLALPVDPVDATDDELVKPVEELRQQESVARLVSLSVGRGFARAEMQMEMESQIKLKNFEVARLLDRLHYYKAVNQEMSQRNQEAIEMARRDRQRKKRRQKWVWGSIAAAISLGMTALAWSYLPSGKASSASSARITQAPVSDDATTKTAK >KJB74058 pep chromosome:Graimondii2_0_v6:11:60351576:60352752:-1 gene:B456_011G271200 transcript:KJB74058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTVVSSFKCVSGLGNAAPYVYSAFKAIAKHFSCLKNAILDQIRFAGKTVSIVKNGGVDKVNVPSVWTSDQQGINNQNPIFLHHPLWRSQRGLPDHAVAVLKTWLFEHFLHPYPSDTEKLMLAQQTGLSRTQVSNWFINARVRLWKPMVEEIHNLELSQAQIQTQTTNQDPKLPHFITSQEVENVQTKQPRNKAVYDEETELQKSTSPCIAQIPCNQHNNIGVGGTSGFCLALCLNQNNGVELEQQPLLPMNLCHNFRLESDGELSLKPGFDVGRQ >KJB74057 pep chromosome:Graimondii2_0_v6:11:60351566:60352752:-1 gene:B456_011G271200 transcript:KJB74057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFVLLLTCIRYIGSTSCIANKCKQLFHRLNVFPGSETLHRKTVSIVKNGGVDKVNVPSVWTSDQQGINNQNPIFLHHPLWRSQRGLPDHAVAVLKTWLFEHFLHPYPSDTEKLMLAQQTGLSRTQVSNWFINARVRLWKPMVEEIHNLELSQAQIQTQTTNQDPKLPHFITSQEVENVQTKQPRNKAVYDEETELQKSTSPCIAQIPCNQHNNIGVGGTSGFCLALCLNQNNGVELEQQPLLPMNLCHNFRLESDGELSLKPGFDVGRQ >KJB72557 pep chromosome:Graimondii2_0_v6:11:44201423:44204423:1 gene:B456_011G185600 transcript:KJB72557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKCIARLRSRRVVQDQLQTPNEVEAEGGCCWANLPQELLWEVLLMIEETESIWPQRKNVVACAGVCRSWRFIIQQIVKLPQLSGKLTFPISVKQPGPKDSLLQCFIKRNRSTQTYYLYLGLTNSALSDGGKFLLAARKCRRPTCTDYIISLQAEDFSKGTNTYAGRLRSNFLGTKFTVFDGQSPQAGAKMGKSRSSRLANLRQVSPSSNYPMAHISYELNLLGSRGPRRMQCNMNTIPATSIAPGGLDPTTQAELNPYQSTPTSFLSKSASMENSVSDQKNGGLVLINKAPRWHEQLQCWCLNFHGRVTIASVKNFQLVASPENEPGGPEHEKIILQFGKVGKDLFTMDYRYPISAFQAFAICLSSFETRIACE >KJB72558 pep chromosome:Graimondii2_0_v6:11:44201498:44204282:1 gene:B456_011G185600 transcript:KJB72558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKCIARLRSRRVVQDQLQTPNEVEAEGGCCWANLPQELLWEVLLMIEETESIWPQRKNVVACAGVCRSWRFIIQQIVKLPQLSGKLTFPISVKQPGPKDSLLQCFIKRNRSTQTYYLYLGLTNSLSDGGKFLLAARKCRRPTCTDYIISLQAEDFSKGTNTYAGRLRSNFLGTKFTVFDGQSPQAGAKMGKSRSSRLANLRQVSPSSNYPMAHISYELNLLGSRGPRRMQCNMNTIPATSIAPGGLDPTTQAELNPYQSTPTSFLSKSASMENSVSDQKNGGLVLINKAPRWHEQLQCWCLNFHGRVTIASVKNFQLVASPENEPGGPEHEKIILQFGKVGKDLFTMDYRYPISAFQAFAICLSSFETRIACE >KJB72523 pep chromosome:Graimondii2_0_v6:11:44300985:44302837:-1 gene:B456_011G186100 transcript:KJB72523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQADSVIKFVEKCLQSESIQVNDYLKAIECLKLMGFGFKDVQMLLLKPKLNVLLNLVGLHYCLNILKVPASDVMEALNSSNIKNRQICIKWWKLGRWFYGFRMRDEFHFRCLSLEDLASSKDDEDVLGVLQRGAIHEVLQVQISIVSSRSNAWA >KJB72525 pep chromosome:Graimondii2_0_v6:11:44300985:44302837:-1 gene:B456_011G186100 transcript:KJB72525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRGFYIKQHKEMKDQADSVIKFVEKCLQSESIQVNDYLKAIECLKLMGFGFKDVQMLLLKPKLNVLLNLVGLHYCLNILKVPASDVMEALNSSNIKNRQICIKWWKLGRWFYGFRMRDEFHFRCLSLEDLASSKDDEDVLGVLQRGAIHEVLQVQISIVSSRSNAWA >KJB72526 pep chromosome:Graimondii2_0_v6:11:44301901:44302742:-1 gene:B456_011G186100 transcript:KJB72526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESDYTYSSIPNDVALKIASSLAVTDLSCLSCCSRVWRDLWGSDCLWEPLFKQRWPLLYEDVLKDPDFKGCRGFYIKQHKEMKDQADSVIKFVEKCLQSESIQVNDYLKAIECLKLMGFGFKDVQMLLLKPKLNVLLNLVGLHYCLNILKVPVTFHVLCIFHAFFSCMLVLFTLWN >KJB72524 pep chromosome:Graimondii2_0_v6:11:44300957:44302846:-1 gene:B456_011G186100 transcript:KJB72524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQADSVIKFVEKCLQSESIQVNDYLKAIECLKLMGFGFKDVQMLLLKPKLNVLLNLVGLHYCLNILKVPASDVMEALNSSNIKNRQICIKWWKLGRWFYGFRMRDEFHFRCLSLEDLASSKDDEDVLGVLQRGAIHEVLQVQISIVSSRSNAWA >KJB72522 pep chromosome:Graimondii2_0_v6:11:44300929:44302866:-1 gene:B456_011G186100 transcript:KJB72522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESDYTYSSIPNDVALKIASSLAVTDLSCLSCCSRVWRDLWGSDCLWEPLFKQRWPLLYEDVLKDPDFKGCRGFYIKQHKEMKDQADSVIKFVEKCLQSESIQVNDYLKAIECLKLMGFGFKDVQMLLLKPKLNVLLNLVGLHYCLNILKVPASDVMEALNSSNIKNRQICIKWWKLGRWFYGFRMRDEFHFRCLSLEDLASSKDDEDVLGVLQRGAIHEVLQVQISIVSSRSNAWA >KJB73667 pep chromosome:Graimondii2_0_v6:11:56942596:56949393:-1 gene:B456_011G242500 transcript:KJB73667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFFFKSSSSSSNNNAAVPSPSADKQVFWENTLESGLNDQHSDKAEYGFLSPIRFFGKSRKQIPDSPSFCDSPVLRRSRSLSSAAFLVDGLEQQDFPSSNDQNRSPNITSHQQYDQSSRRRAVIPEKKSKAKRCEVAAIGSSRMRHDSSGSSSSCSSNVSSKVVDRYIDGEQLQESSKSKNSSKRNNFGNGGGRFPPRVQYTAPSSPTDSVKEKNKFHSFREAKGTRHQFSSRDWVENGFGHESPRMIAKNVVERLSQTHIPRSSSKEFDLHIPITTEDVYGGYLNRCPESKLDMLAQKGCVTDEPYENDIGYHEDFSGLEKQHCFFGGCSNGLNSSQTEEDIDMELQRRSKEAEERVLLLSEALGQETFLRDIGFNVSSLIETIRHLSEDKLNLALEVSELLQSRIAERVHTRDELRMARAELESQTKKIETEKHEIQLGLEKELDRRSSDWSSKLEKHRLEEQRLRERVRELAEQNVSLQREVSSFNEKETENRSMMTSSAEQLKELTRRVEKLNDENEVLRQNLSQSQEKHQAAIEDIDCIRRNFEEKDKECKEMHKSIAKLFRTCKEQEKTIEGLREGYNQEIEKKHSMEKNEVWVKNLQMEQMRLTGVELALRREVESCRHEVDSLRHENIDLLNRLKGNGKDVGALTFKLDKEMRNRVYYLQDQGLSMLNESAHLSSKLIEFIKGKTSQLQETQQGLDSQFIVESDVKVQCFKRGIESLTRSLQTISALLQEKSNPVASESDSESTKLNNQSSEEILRTELKSETLLTNLLREKLYSKELEVEQLQAEVAAGVRGNDILRCELQNAMDNISCLTHRLKDLELQMLKKDDNISRLRNDLQESMKELSILRGILPKVSEERDLMWEEVKQYSEKNMLLNSEIEVLKKKIETLDEDILLKEGQITILKDTLSNNKSFNLLGSPDLTREFLLE >KJB73670 pep chromosome:Graimondii2_0_v6:11:56942596:56949040:-1 gene:B456_011G242500 transcript:KJB73670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFFFKSSSSSSNNNAAVPSPSADKQVFWENTLESGLNDQHSDKAEYGFLSPIRFFGKSRKQIPDSPSFCDSPVLRRSRSLSSAAFLVDGLEQQDFPSSNDQNRSPNITSHQQYDQSSRRRAVIPEKKSKAKRCEVAAIGSSRMRHDSSGSSSSCSSNVSSKVVDRYIDGEQLQESSKSKNSSKRNNFGNGGGRFPPRVQYTAPSSPTDSVKEKNKFHSFREAKGTRHQFSSRDWVENGFGHESPRMIAKNVVERLSQTHIPRSSSKEFDLHIPITTEDVYGGYLNRCPESKLDMLAQKGCVTDEPYENDIGYHEDFSGLEKQHCFFGGCSNGLNSSQTEEDIDMELQRRSKEAEERVLLLSEALGQETFLRDIGFNVSSLIETIRHLSEDKLNLALEVSELLQSRIAERVHTRDELRMARAELESQTKKIETEKHEIQLGLEKELDRRSSDWSSKLEKHRLEEQRLRERVRELAEQNVSLQREVSSFNEKETENRSMMTSSAEQLKELTRRVEKLNDENEVLRQNLSQSQEKHQAAIEDIDCIRRNFEEKDKECKEMHKSIAKLFRTCKEQEKTIEGLREGYNQEIEKKHSMEKNEVWVKNLQMEQMRLTGVELALRREVESCRHEVDSLRHENIDLLNRLKGNGKDVGALTFKLDKEMRNRVYYLQDQGLSMLNESAHLSSKLIEFIKGKTSQLQETQQGLDSQFIVESDVKVQCFKRGIESLTRSLQTISALLQEKSNPVASESDSESTKLNNQSSEEILRTELKSETLLTNLLREKLYSKELEVEQLQAEVAAGVRGNDILRCELQNAMDNISCLTHRLKDLELQMLKKDDNISRLRNDLQESMKELSILRGILPKVSEERDLMWEEVKQYSEKNMLLNSEIEVLKKKIETLDEDILLKEGQITILKDTLSNNKSFNLLGSPDLTREFLLE >KJB73666 pep chromosome:Graimondii2_0_v6:11:56942596:56949296:-1 gene:B456_011G242500 transcript:KJB73666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFFFKSSSSSSNNNAAVPSPSADKQVFWENTLESGLNDQHSDKAEYGFLSPIRFFGKSRKQIPDSPSFCDSPVLRRSRSLSSAAFLVDGLEQQDFPSSNDQNRSPNITSHQQYDQSSRRRAVIPEKKSKAKRCEVAAIGSSRMRHDSSGSSSSCSSNVSSKVVDRYIDGEQLQESSKSKNSSKRNNFGNGGGRFPPRVQYTAPSSPTDSVKEKNKFHSFREAKGTRHQFSSRDWVENGFGHESPRMIAKNVVERLSQTHIPRSSSKEFDLHIPITTEDVYGGYLNRCPESKLDMLAQKGCVTDEPYENDIGYHEDFSGLEKQHCFFGGCSNGLNSSQTEEDIDMELQRRSKEAEERVLLLSEALGQETFLRDIGFNVSSLIETIRHLSEDKLNLALEVSELLQSRIAERVHTRDELRMARAELESQTKKIETEKHEIQLGLEKELDRRSSDWSSKLEKHRLEEQRLRERVRELAEQNVSLQREVSSFNEKETENRSMMTSSAEQLKELTRRVEKLNDENEVLRQNLSQSQEKHQAAIEDIDCIRRNFEEKDKECKEMHKSIAKLFRTCKEQEKTIEGLREGYNQEIEKKHSMEKNEVWVKNLQMEQMRLTGVELALRREVESCRHEVDSLRHENIDLLNRLKGNGKDVGALTFKLDKEMRNRVYYLQDQGLSMLNESAHLSSKLIEFIKGKTSQLQETQQGLDSQFIVESDVKVQCFKRGIESLTRSLQTISALLQEKSNPVASESDSESTKLNNQSSEEILRTELKSETLLTNLLREKLYSKELEVEQLQAEVAAGVRGNDILRCELQNAMDNISCLTHRLKDLELQMLKKDDNISRLRNDLQESMKELSILRGILPKVSEERDLMWEEVKQYSEKNMLLNSEIEVLKKKIETLDEDILLKEGQITILKDTLSNNKSFNLLGSPDLTREFLLE >KJB73669 pep chromosome:Graimondii2_0_v6:11:56943039:56949393:-1 gene:B456_011G242500 transcript:KJB73669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFFFKSSSSSSNNNAAVPSPSADKQVFWENTLESGLNDQHSDKAEYGFLSPIRFFGKSRKQIPDSPSFCDSPVLRRSRSLSSAAFLVDGLEQQDFPSSNDQNRSPNITSHQQYDQSSRRRAVIPEKKSKAKRCEVAAIGSSRMRHDSSGSSSSCSSNVSSKVVDRYIDGEQLQESSKSKNSSKRNNFGNGGGRFPPRVQYTAPSSPTDSVKEKNKFHSFREAKGTRHQFSSRDWVENGFGHESPRMIAKNVVERLSQTHIPRSSSKEFDLHIPITTEDVYGGYLNRCPESKLDMLAQKGCVTDEPYENDIGYHEDFSGLEKQHCFFGGCSNGLNSSQTEEDIDMELQRRSKEAEERVLLLSEALGQETFLRDIGFNVSSLIETIRHLSEDKLNLALEVSELLQSRIAERVHTRDELRMARAELESQTKKIETEKHEIQLGLEKELDRRSSDWSSKLEKHRLEEQRLRERVRELAEQNVSLQREVSSFNEKETENRSMMTSSAEQLKELTRRVEKLNDENEVLRQNLSQSQEKHQAAIEDIDCIRRNFEEKDKECKEMHKSIAKLFRTCKEQEKTIEGLREGYNQEIEKKHSMEKNEVWVKNLQMEQMRLTGVELALRREVESCRHEVDSLRHENIDLLNRLKGNGKDVGALTFKLDKEMRNRVYYLQDQGLSMLNESAHLSSKLIEFIKGKTSQLQETQQGLDSQFIVESDVKVQCFKRGIESLTRSLQTISALLQEKSNPVASESDSESTKLNNQSSEEILRTELKSETLLTNLLREKLYSKELEVEQLQAEVAAGVRGNDILRCELQNAMDNISCLTHRLKDLELQMLKKDDNISRLRNDLQESMKELSILRGILPKVSEERDLMWEEVKQYSEKNMLLNSEIEVLKKKIETLDEDILLKEGQITILKDTLSNNKSFNLLGSPDLTREFLLE >KJB73671 pep chromosome:Graimondii2_0_v6:11:56943039:56948714:-1 gene:B456_011G242500 transcript:KJB73671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHDSSGSSSSCSSNVSSKVVDRYIDGEQLQESSKSKNSSKRNNFGNGGGRFPPRVQYTAPSSPTDSVKEKNKFHSFREAKGTRHQFSSRDWVENGFGHESPRMIAKNVVERLSQTHIPRSSSKEFDLHIPITTEDVYGGYLNRCPESKLDMLAQKGCVTDEPYENDIGYHEDFSGLEKQHCFFGGCSNGLNSSQTEEDIDMELQRRSKEAEERVLLLSEALGQETFLRDIGFNVSSLIETIRHLSEDKLNLALEVSELLQSRIAERVHTRDELRMARAELESQTKKIETEKHEIQLGLEKELDRRSSDWSSKLEKHRLEEQRLRERVRELAEQNVSLQREVSSFNEKETENRSMMTSSAEQLKELTRRVEKLNDENEVLRQNLSQSQEKHQAAIEDIDCIRRNFEEKDKECKEMHKSIAKLFRTCKEQEKTIEGLREGYNQEIEKKHSMEKNEVWVKNLQMEQMRLTGVELALRREVESCRHEVDSLRHENIDLLNRLKGNGKDVGALTFKLDKEMRNRVYYLQDQGLSMLNESAHLSSKLIEFIKGKTSQLQETQQGLDSQFIVESDVKVQCFKRGIESLTRSLQTISALLQEKSNPVASESDSESTKLNNQSSEEILRTELKSETLLTNLLREKLYSKELEVEQLQAEVAAGVRGNDILRCELQNAMDNISCLTHRLKDLELQMLKKDDNISRLRNDLQESMKELSILRGILPKVSEERDLMWEEVKQYSEKNMLLNSEIEVLKKKIETLDEDILLKEGQITILKDTLSNNKSFNLLGSPDLTREFLLE >KJB73668 pep chromosome:Graimondii2_0_v6:11:56943039:56948848:-1 gene:B456_011G242500 transcript:KJB73668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHDSSGSSSSCSSNVSSKVVDRYIDGEQLQESSKSKNSSKRNNFGNGGGRFPPRVQYTAPSSPTDSVKEKNKFHSFREAKGTRHQFSSRDWVENGFGHESPRMIAKNVVERLSQTHIPRSSSKEFDLHIPITTEDVYGGYLNRCPESKLDMLAQKGCVTDEPYENDIGYHEDFSGLEKQHCFFGGCSNGLNSSQTEEDIDMELQRRSKEAEERVLLLSEALGQETFLRDIGFNVSSLIETIRHLSEDKLNLALEVSELLQSRIAERVHTRDELRMARAELESQTKKIETEKHEIQLGLEKELDRRSSDWSSKLEKHRLEEQRLRERVRELAEQNVSLQREVSSFNEKETENRSMMTSSAEQLKELTRRVEKLNDENEVLRQNLSQSQEKHQAAIEDIDCIRRNFEEKDKECKEMHKSIAKLFRTCKEQEKTIEGLREGYNQEIEKKHSMEKNEVWVKNLQMEQMRLTGVELALRREVESCRHEVDSLRHENIDLLNRLKGNGKDVGALTFKLDKEMRNRVYYLQDQGLSMLNESAHLSSKLIEFIKGKTSQLQETQQGLDSQFIVESDVKVQCFKRGIESLTRSLQTISALLQEKSNPVASESDSESTKLNNQSSEEILRTELKSETLLTNLLREKLYSKELEVEQLQAEVAAGVRGNDILRCELQNAMDNISCLTHRLKDLELQMLKKDDNISRLRNDLQESMKELSILRGILPKVSEERDLMWEEVKQYSEKNMLLNSEIEVLKKKIETLDEDILLKEGQITILKDTLSNNKSFNLLGSPDLTREFLLE >KJB69536 pep chromosome:Graimondii2_0_v6:11:2122832:2124337:1 gene:B456_011G029000 transcript:KJB69536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEPLHPPISQKMHWQPGLSFRHFNYITIMENIRCSGASLTRIPRSPVHPVIQGSAVSVVKSSPPAFVGAPMENSKASSFIKGLALLGVMRTAGAPFERVKLLMQNQNEMIISGRLPKRYNGIFDCFATTIRNEGIVSLWRGNIAFVTAYLSSEVIAKARHHYGNSREDIEWTHTRRKVAVFLSAVVNQFLVYPLYYAGTRMANDVITSSNSRKRQFNGIFDVYRKTLKSDGIAGVYRGFNIMIPKIALLRAVTAVSKPWQQFLLHHFQGSVLGRAVVNTLYASCRSMAVHPLDTVSRRMMMTSGAVKYRHSLHAITCIFYNEGVKSFYSGAKAQILTLAVYQVYGLCLRYVVGVLRRKNTGDK >KJB72452 pep chromosome:Graimondii2_0_v6:11:42188892:42189983:1 gene:B456_011G179400 transcript:KJB72452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNLSSDIIVEILMRLPVKSICRFKCVCKLWCLLISDPTFIKRHLNLAIIDKDIEHQRQKLILRSSSTPSLYHVECDAMTSNDVMAVKLHFPLISSFDKVKFIGSFNGLLCISLEPERLVLFNPATREQKVKRIPNLYIDINRSLDDRVSRPPIYGFGYDHSFDDYNVVKIMYESIVYVYSLKTDTWRRAQRFSYRRFNSDSGVHLNGAVHWVFARGKDSPLVSMPRLIVAFDFAEGKFRELPRPYDDAENVTAVGVLGGCLCWLGEQQDFWVMKEYGVKESWTKVVIGVPFLNLRPLCFLKNDEALLVINEGLIVYNPREDTHREIVIHGINGRGKLEIETYVESLVSPNVWNWELKEISD >KJB68821 pep chromosome:Graimondii2_0_v6:11:2330024:2332533:1 gene:B456_011G031100 transcript:KJB68821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKAKPTGQRHFSTPEEMLAGTSSRPRTFKRQEAAYKEEEESEEESGQESGEESEDETEQKRKGTQGVIEVNNPNLVKPKNLKARDLDAGKTTELSRREREELEKQRAHERYMRLQEQGKTEQARKDLERLALIRQQREEAAKKREEEKAAREQKKVEARK >KJB68822 pep chromosome:Graimondii2_0_v6:11:2330088:2331641:1 gene:B456_011G031100 transcript:KJB68822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKAKPTGQRHFSTPEEMLAGTSSRPRTFKRQEAAYKEEEESEEESGQESGEESEDETEQKRKGTQGVIEVNNPNLVKPKNLKARDLDAGKTTELSRRERFVKYALSFLFVLCFWYFQVMVCFLFILCSIIYILSNCL >KJB69144 pep chromosome:Graimondii2_0_v6:11:594502:602606:-1 gene:B456_011G007900 transcript:KJB69144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEFPGTPGTSESSVADAPLEDVQVSRCCQVWKNKYSKAERGRVFLKQGIRILEKGYDDIQAENLTLKKAYEEEQALTKVEKEGREKELALRVSLENELSVLKSEISNLKKKGVSDIEEKIEEIKHLKARVSDMNKEISWLKELVEKEKKRADLEKRKAAEASTYAATERGKAGEEHRLKQLETLRKDVSEAKSKLDSEKSKIDEETKKLQEEKKKAVEERKFVDLEMAKASELRKIAEETKKKAVDERKCADLEMAKAEKQRKIAKETKKKAVEERKRADLEMAEAVKQRKIAEENMKKAVEVRKQADIEMAKAEEQRKIAEENKKAVEGAKVEEQRKVVEATKKKAVKEKLHNNNLTKQLEQARRRNEELHKNLHELSGSRNMEEAPFDQPDRNTIAAKTEKTAQFKVLKEDADKSRAVSGSLQVEDIGKEKTISERKKADSNTRKAEKKRKLVEVNTKTMKREHRGDHLSKLLEDSKLKINELQKQIHELSSNEKKIGELFVSSNNGISAEVAEVKLLKKQLKFEKERVKHAKDVGRLEKCRSNLLQQELGCMKLKLIQLLDRLDAVDNCFLAPAEGIYDMEKAGDFSSIQRTKLKKKLRSLELRQTCLQTENQFLKTRYMDTTASNPLGETFRLDDHLLPIRGGNCCESITGINAKLESLFGGSNKTMLQSSAINSSTAYFSDRQLVGSQERGAHSVTTSAKLGEENLNLQPTVSSMSGEVMKNRCSENPAVVAENSVKSPLGRVKGRVRKRKMMLDTVECIKTLCCESKKLHLQLEDNISVLHGMVQMDKPSEEAKSLRCNLQDIAYSVHDRSRKRRKVSHEETLAMEQYCDGQQIKQMQGCSEHLCNPDTIDPKTMVGFEEIVYKNYMKLLDLDDAAEEECYRMAVERPVSPTLPEMEFPGIKSFEVDEFRPVQDENCERFSLENENPASSDKFDVMNLNSSIQLQCSRVDTSPKLQHENGCSFGSFDFLKRNEKGFCSTLLVERAILSHSQNSGVDVEMSVAPSSGDGVVNIPSESEIRSTIESTPKCVMFSDIKDDSSLSRIFRATKTCMVQCSLPAWKQFVVHRISHALKLEEELLPREKACVFFSLVLLNFCTATSKNCSLLKDFIPCLHLFAEHINEVISDAEARSVVDELCLDELLSLIEEFLIEGRVMLCAAPSSETSVECDSRRHAIFDGSAVVFTHEAASADLLVAGSIILGSICAAADRAGFLCEAAYSIFRMHRYDTSVVLVILHAFAYVGGNKMFTLGNYSLTMTVLKSIVMFLESERAPMATATHSFVGDVLPQFHACVGCPFSKDALSVDIVISLLFTKLQNFARLAGIRSGSVVTETLCDISDILSLMELLACNMSWNWTCNKIIAQLWSTLESSALENLSVAIVILLGQLGRIGVDAVGYEDKEVKNLRTKLNAFLLRETTIRAGLPIQLATVAALLGLTSLDLNNIELVSTMSGQFVPANLLKNWFPLLTEEQQAVSIRLFQSVD >KJB69143 pep chromosome:Graimondii2_0_v6:11:594493:602606:-1 gene:B456_011G007900 transcript:KJB69143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEFPGTPGTSESSVADAPLEDVQVSRCCQVWKNKYSKAERGRVFLKQGIRILEKGYDDIQAENLTLKKAYEEEQALTKVEKEGREKELALRVSLENELSVLKSEISNLKKKGVSDIEEKIEEIKHLKARVSDMNKEISWLKELVEKEKKRADLEKRKAAEASTYAATERGKAGEEHRLKQLETLRKDVSEAKSKLDSEKSKIDEETKKLQEEKKKAVEERKFVDLEMAKASELRKIAEETKKKAVDERKCADLEMAKAEKQRKIAKETKKKAVEERKRADLEMAEAVKQRKIAEENMKKAVEVRKQADIEMAKAEEQRKIAEENKKAVEGAKVEEQRKVVEATKKKAVKEKLHNNNLTKQLEQARRRNEELHKNLHELSGSRNMEEAPFDQPDRNTIAAKTEKTAQFKVLKEDADKSRAVSGSLQVEDIGKEKTISERKKADSNTRKAEKKRKLVEVNTKTMKREHRGDHLSKLLEDSKLKINELQKQIHELSSNEKKIGELFVSSNNGISAEVAEVKLLKKQLKFEKERVKHAKDVGRLEKCRSNLLQQELGCMKLKLIQLLDRLDAVDNCFLAPAEGIYDMEKAGDFSSIQRTKLKKKLRSLELRQTCLQTENQFLKTRYMDTTASNPLGETFRLDDHLLPIRGGNCCESITGINAKLESLFGGSNKTMLQSSAINSSTAYFSDRQLVGSQERGAHSVTTSAKLGEENLNLQPTVSSMSGEVMKNRCSENPAVVAENSVKSPLGRVKGRVRKRKMMLDTVECIKTLCCESKKLHLQLEDNISVLHGMVQMDKPSEEAKSLRCNLQDIAYSVHDRSRKRRKVSHEETLAMEQYCDGQQIKQMQGCSEHLCNPDTIDPKTMVGFEEIVYKNYMKLLDLDDAAEEECYRMAVERPVSPTLPEMEFPGIKSFEVDEFRPVQDENCERFSLENENPASSDKFDVMNLNSSIQLQCSRVDTSPKLQHENGCSFGSFDFLKRNEKGFCSTLLVERAILSHSQNSGVDVEMSVAPSSGDGVVNIPSESEIRSTIESTPKCVMFSDIKDDSSLSRIFRATKTCMVQCSLPAWKQFVVHRISHALKLEEELLPREKACVFFSLVLLNFCTATSKNCSLLKDFIPCLHLFAEHINEVISDAEARSVVDELCLDELLSLIEEFLIEGRVMLCAAPSSETSVECDSRRHAIFDGSAVVFTHEAASADLLVAGSIILGSICAAADRAGFLCEAAYSIFRMHRYDTSVVLVILHAFAYVGGNKMFTLGNYSLTMTVLKSIVMFLESERAPMATATHSFVGDVLPQFHACVGCPFSKDALSVDIVISLLFTKLQNFARSGFMHQNLKANSSNSSVMSIENMAEQNLSCLLDMNVSCCLDKCSLAGIRSGSVVTETLCDISDILSLMELLACNMSWNWTCNKIIAQLWSTLESSALENLSVAIVILLGQLGRIGVDAVGYEDKEVKNLRTKLNAFLLRETTIRAGLPIQLATVAALLGLTSLDLNNIELVSTMSGQFVPANLLKNWFPLLTEEQQAVSIRLFQSVD >KJB69145 pep chromosome:Graimondii2_0_v6:11:597622:602419:-1 gene:B456_011G007900 transcript:KJB69145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEFPGTPGTSESSVADAPLEDVQVSRCCQVWKNKYSKAERGRVFLKQGIRILEKGYDDIQAENLTLKKAYEEEQALTKVEKEGREKELALRVSLENELSVLKSEISNLKKKGVSDIEEKIEEIKHLKARVSDMNKEISWLKELVEKEKKRADLEKRKAAEASTYAATERGKAGEEHRLKQLETLRKDVSEAKSKLDSEKSKIDEETKKLQEEKKKAVEERKFVDLEMAKASELRKIAEETKKKAVDERKCADLEMAKAEKQRKIAKETKKKAVEERKRADLEMAEAVKQRKIAEENMKKAVEVRKQADIEMAKAEEQRKIAEENKKAVEGAKVEEQRKVVEATKKKAVKEKLHNNNLTKQLEQARRRNEELHKNLHELSGSRNMEEAPFDQPDRNTIAAKTEKTAQFKVLKEDADKSRAVSGSLQVEDIGKEKTISERKKADSNTRKAEKKRKLVEVNTKTMKREHRGDHLSKLLEDSKLKINELQKQIHELSSNEKKIGELFVSSNNGISAEVAEVKLLKKQLKFEKERVKHAKDVGRLEKCRSNLLQQELGCMKLKLIQLLDRLDAVDNCFLAPAEGIYDMEKAGDFSSIQRTKLKKKLRSLELRQTCLQTENQFLKTRYMDTTASNPLGETFRLDDHLLPIRGGNCCESITGINAKLESLFGGSNKTMLQSSAINSSTAYFSDRQLVGSQERGAHSVTTSAKLGEENLNLQPTVSSMSGEVMKNRCSENPAVVAENSVKSPLGRVKGRVRKRKMMLDTVECIKTLCCESKKLHLQLEDNISVLHGMVQMDKPSEEAKSLRCNLQDIAYSVHDRSRKRRKVSHEETLAMEQYCDGQQIKQMQGCSEHLCNPDTIDPKTMVGFEEIVYKNYMKLLDLDDAAEEECYRMAVERPVSPTLPEMEFPGIKSFEVDEFRPVQDENCERFSLENENPASSDKFDVMNLNSSIQLQCSRVDTSPKLQHENGCSFGSFDFLKRNEKGFCSTLLVERAILSHSQNSGVDVEMSVAPSSGDGVVNIPSESEIRSTIESTPKCVMFSDIKDDSSLSRIFRATKTCMVQCSLPAWKQFVVHRISHALKLEEELLPREKACVFFSLVLLNFCTATSKNCSLLKDFIPCLHLFAEHINEGTFTIFICV >KJB72101 pep chromosome:Graimondii2_0_v6:11:28800202:28802703:1 gene:B456_011G159300 transcript:KJB72101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEKKFARKLSEINVVDEQVKKLQEETDLHLALASAIEHCGSPSSSSPGKLPDKQLTSGLFLVTGGHYIFYCYPCFVIDLLTALKYWMYDICYSIARWIYEHVC >KJB70213 pep chromosome:Graimondii2_0_v6:11:5369674:5370997:-1 gene:B456_011G063800 transcript:KJB70213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPKHHPTHTPSEKDVKAPNIIERGKEEIEALFHHDKKPHHHKETHGRSDDIDEDTAVDDVRGPNVFERVKEEVEAIVGAIHPKKESKGP >KJB70212 pep chromosome:Graimondii2_0_v6:11:5369842:5370845:-1 gene:B456_011G063800 transcript:KJB70212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPKHHPTHTPSEKDVKAPNIIERGKEEIEALFHHDKKPHHHKETHGRSDDIDEDTAVDDVRGPNVFERVKEEVEAIVGAIHPKKESKGP >KJB71105 pep chromosome:Graimondii2_0_v6:11:12363528:12365062:-1 gene:B456_011G106200 transcript:KJB71105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDCPYKARDDEYEGCSTQRTSKYGDAGNCKRFGSMSNSCSSAVVIEDGSEEEEDREGVKTALKKKGSKIFGFSVPYDEEESMQSSDPTPVTRQLFPLDEDPEMGTGAGPGFPRAHWVGIKFRQSEPYLCGGKSVESSGPMKKSRRGPRSRSSQYRGVTYYRRTGRWESHIWDCGKQVYLGGFDAPHAAARAYDRAAIKFRGVEADINFSVEDYEEDLKQMRNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARLGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYGNELNNGGGRYGLPFVEIAFPIFIRSMKMSFHNFFLFRNFRQCWWRSQS >KJB71110 pep chromosome:Graimondii2_0_v6:11:12362562:12365479:-1 gene:B456_011G106200 transcript:KJB71110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDCPYKARDDEYEGCSTQRTSKYGDAGNCKRFGSMSNSCSSAVVIEDGSEEEEDREGVKTALKKKGSKIFGFSVPYDEEESMQTGPGFPRAHWVGIKFRQSEPYLCGGKSVESSGPMKKSRRGPRSRSSQYRGVTYYRRTGRWESHIWDCGKQVYLGGFDAPHAAARAYDRAAIKFRGVEADINFSVEDYEEDLKQMRNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARLGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYGNELNNGGGNAGGGHNLDLSLGNSVSKQNNPESGPPQTDWRYQGFKPEEIQQQEQACRGDDPHRRSDKHIQSPLSVKSNEMQRYGQLRRPGPGENHMSHILPPRIVNPSIYQIHIASNSGNGVQIGSDVSLSTSAQQGPHQLIANAGAASSGFPTQTWQQRNGFHSFITPSRLF >KJB71104 pep chromosome:Graimondii2_0_v6:11:12362562:12365479:-1 gene:B456_011G106200 transcript:KJB71104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDCPYKARDDEYEGCSTQRTSKYGDAGNCKRFGSMSNSCSSAVVIEDGSEEEEDREGVKTALKKKGSKIFGFSVPYDEEESMQSSDPTPVTRQLFPLDEDPEMGTGAGPGFPRAHWVGIKFRQSEPYLCGGKSVESSGPMKKSRRGPRSRSSQYRGVTYYRRTGRWESHIWDCGKQVYLGGFDAPHAAARAYDRAAIKFRGVEADINFSVEDYEEDLKQMRNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARLGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYGNELNNGGGNAGGGHNLDLSLGNSVSKQNNPESGPPQTDWRYQGFKPEEIQQQEQACRGDDPHRRSDKHIQSPLSVKSNEMQRYGQLRRPGPGENHMSHILPPRIVNPSIYQIHIASNSGNGVQIGSDVSLSTSAQQGPHQLIANAGAASSGFPTQTWQQRNGFHSFITPSRLF >KJB71107 pep chromosome:Graimondii2_0_v6:11:12363317:12365062:-1 gene:B456_011G106200 transcript:KJB71107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDCPYKARDDEYEGCSTQRTSKYGDAGNCKRFGSMSNSCSSAVVIEDGSEEEEDREGVKTALKKKGSKIFGFSVPYDEEESMQSSDPTPVTRQLFPLDEDPEMGTGAGPGFPRAHWVGIKFRQSEPYLCGGKSVESSGPMKKSRRGPRSRSSQYRGVTYYRRTGRWESHIWDCGKQVYLGGFDAPHAAARAYDRAAIKFRGVEADINFSVEDYEEDLKQMRNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARLGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYGNELNNGGGNAGGGHNLDLSLGNSVSKQNNPESGPPQTDWRYQGFKPEVLFFTFISESSTYKCSIGSGILCYFVDCVPGNSAARTSL >KJB71108 pep chromosome:Graimondii2_0_v6:11:12363082:12365062:-1 gene:B456_011G106200 transcript:KJB71108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDCPYKARDDEYEGCSTQRTSKYGDAGNCKRFGSMSNSCSSAVVIEDGSEEEEDREGVKTALKKKGSKIFGFSVPYDEEESMQSSDPTPVTRQLFPLDEDPEMGTGAGPGFPRAHWVGIKFRQSEPYLCGGKSVESSGPMKKSRRGPRSRSSQYRGVTYYRRTGRWESHIWDCGKQVYLGGFDAPHAAARAYDRAAIKFRGVEADINFSVEDYEEDLKQMRNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARLGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYGNELNNGGGNAGGGHNLDLSLGNSVSKQNNPESGPPQTDWRYQGFKPEEIQQQEQACRGDDPHRRSDKHIQSPLSVKSNEMQRYGQLRRPGPGENHMSHILPPRIVNPSIYQVCFYNDISVNALYNKKVQLTSRT >KJB71101 pep chromosome:Graimondii2_0_v6:11:12362541:12365503:-1 gene:B456_011G106200 transcript:KJB71101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDCPYKARDDEYEGCSTQRTSKYGDAGNCKRFGSMSNSCSSAVVIEDGSEEEEDREGVKTALKKKGSKIFGFSVPYDEEESMQSSDPTPVTRQLFPLDEDPEMGTGAGPGFPRAHWVGIKFRQSEPYLCGGKSVESSGPMKKSRRGPRSRSSQYRGVTYYRRTGRWESHIWDCGKQVYLGGFDAPHAAARAYDRAAIKFRGVEADINFSVEDYEEDLKQMRNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARLGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYGNELNNGGGNAGGGHNLDLSLGNSVSKQNNPESGPPQTDWRYQGFKPEEIQQQEQACRGDDPHRRSDKHIQSPLSVKSNEMQRYGQLRRPGPGENHMSHILPPRIVNPSIYQIHIASNSGNGVQIGSDVSLSTSAQQGPHQLIANAGAASSGFPTQTWQQRNGFHSFITPSRLF >KJB71102 pep chromosome:Graimondii2_0_v6:11:12362562:12365479:-1 gene:B456_011G106200 transcript:KJB71102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDCPYKARDDEYEGCSTQRTSKYGDAGNCKRFGSMSNSCSSAVVIEDGSEEEEDREGVKTALKKKGSKIFGFSVPYDEEESMQSSDPTPVTRQLFPLDEDPEMGTGAGPGFPRAHWVGIKFRQSEPYLCGGKSVESSGPMKKSRRGPRSRSSQYRGVTYYRRTGRWESHIWDCGKQVYLGGFDAPHAAARAYDRAAIKFRGVEADINFSVEDYEEDLKQMRNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARLGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYGNELNNGGETSGNAGGGHNLDLSLGNSVSKQNNPESGPPQTDWRYQGFKPEEIQQQEQACRGDDPHRRSDKHIQSPLSVKSNEMQRYGQLRRPGPGENHMSHILPPRIVNPSIYQIHIASNSGNGVQIGSDVSLSTSAQQGPHQLIANAGAASSGFPTQTWQQRNGFHSFITPSRLF >KJB71109 pep chromosome:Graimondii2_0_v6:11:12363528:12365062:-1 gene:B456_011G106200 transcript:KJB71109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDCPYKARDDEYEGCSTQRTSKYGDAGNCKRFGSMSNSCSSAVVIEDGSEEEEDREGVKTALKKKGSKIFGFSVPYDEEESMQSSDPTPVTRQLFPLDEDPEMGTGAGPGFPRAHWVGIKFRQSEPYLCGGKSVESSGPMKKSRRGPRSRSSQYRGVTYYRRTGRWESHIWDCGKQVYLGGFDAPHAAARAYDRAAIKFRGVEADINFSVEDYEEDLKQMRNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARLGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYGNELNNGGGRYGLPFVEIAFPIFIRSMKMSFHNFFLFRNFRQCWWRSQS >KJB71106 pep chromosome:Graimondii2_0_v6:11:12363528:12365062:-1 gene:B456_011G106200 transcript:KJB71106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDCPYKARDDEYEGCSTQRTSKYGDAGNCKRFGSMSNSCSSAVVIEDGSEEEEDREGVKTALKKKGSKIFGFSVPYDEEESMQSSDPTPVTRQLFPLDEDPEMGTGAGPGFPRAHWVGIKFRQSEPYLCGGKSVESSGPMKKSRRGPRSRSSQYRGVTYYRRTGRWESHIWDCGKQVYLGGFDAPHAAARAYDRAAIKFRGVEADINFSVEDYEEDLKQMRNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARLGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYGNELNNGGGRYGLPFVEIAFPIFIRSMKMSFHNFFLFRNFRQCWWRSQS >KJB71103 pep chromosome:Graimondii2_0_v6:11:12363317:12365062:-1 gene:B456_011G106200 transcript:KJB71103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDCPYKARDDEYEGCSTQRTSKYGDAGNCKRFGSMSNSCSSAVVIEDGSEEEEDREGVKTALKKKGSKIFGFSVPYDEEESMQSSDPTPVTRQLFPLDEDPEMGTGAGPGFPRAHWVGIKFRQSEPYLCGGKSVESSGPMKKSRRGPRSRSSQYRGVTYYRRTGRWESHIWDCGKQVYLGGFDAPHAAARAYDRAAIKFRGVEADINFSVEDYEEDLKQMRNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARLGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYGNELNNGGGNAGGGHNLDLSLGNSVSKQNNPESGPPQTDWRYQGFKPEVLFFTFISESSTYKCSIGSGILCYFVDCVPGNSAARTSL >KJB71434 pep chromosome:Graimondii2_0_v6:11:17362957:17365195:-1 gene:B456_011G123200 transcript:KJB71434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGEDPEFSYSYYRRKERIALVAIVVLASVAVASLLVAFSYYCYIRNKVLSKRSKSHSTVVDSKDKACSTDLEVAMDEGLHVFTFKQLHSATGGFSKSNVVGHGGFGSVYRGVLSNGRKVAVKLMDQAGKQGEEEFKMEVELLKRLRSPYLLDLIGYCSNSSRKLLVYEFMANGGLQEHLYPINGSNNVNLKLDWETRLRIALEAAKGLEYLHEHVSPPVIHRDFKSSNILLDKNFHAKVSDFGLAKLGSDKAGGHVSTRVLGTQGYVAPEYEITSSYSKVEGLLLLI >KJB71433 pep chromosome:Graimondii2_0_v6:11:17361917:17365249:-1 gene:B456_011G123200 transcript:KJB71433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGEDPEFSYSYYRRKERIALVAIVVLASVAVASLLVAFSYYCYIRNKVLSKRSKSHSTVVDSKDKACSTDLEVAMDEGLHVFTFKQLHSATGGFSKSNVVGHGGFGSVYRGVLSNGRKVAVKLMDQAGKQGEEEFKMEVELLKRLRSPYLLDLIGYCSNSSRKLLVYEFMANGGLQEHLYPINGSNNVNLKLDWETRLRIALEAAKGLEYLHEHVSPPVIHRDFKSSNILLDKNFHAKVSDFGLAKLGSDKAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDAKRPHGEGVLVSWFLCVVYGTGFASVNR >KJB71431 pep chromosome:Graimondii2_0_v6:11:17361917:17365249:-1 gene:B456_011G123200 transcript:KJB71431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGEDPEFSYSYYRRKERIALVAIVVLASVAVASLLVAFSYYCYIRNKVLSKRSKSHSTVVDSKDKACSTDLEVAMDEGLHVFTFKQLHSATGGFSKSNVVGHGGFGSVYRGVLSNGRKVAVKLMDQAGKQGEEEFKMEVELLKRLRSPYLLDLIGYCSNSSRKLLVYEFMANGGLQEHLYPINGSNNVNLKLDWETRLRIALEAAKGLEYLHEHVSPPVIHRDFKSSNILLDKNFHAKVSDFGLAKLGSDKAGGHVSTRVLGTQGYVAPEYEITSSYSKVEGYALTGHLTTKSDVYSYGVVLLELLTGRVPVDAKRPHGEGVLVSWALPQLTDREKVVQIMDPTLEGQYSMKEVIQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKTHRSTANVGGCSSFQ >KJB71432 pep chromosome:Graimondii2_0_v6:11:17361867:17365471:-1 gene:B456_011G123200 transcript:KJB71432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGEDPEFSYSYYRRKERIALVAIVVLASVAVASLLVAFSYYCYIRNKVLSKRSKSHSTVVDSKDKACSTDLEVAMDEGLHVFTFKQLHSATGGFSKSNVVGHGGFGSVYRGVLSNGRKVAVKLMDQAGKQGEEEFKMEVELLKRLRSPYLLDLIGYCSNSSRKLLVYEFMANGGLQEHLYPINGSNNVNLKLDWETRLRIALEAAKGLEYLHEHVSPPVIHRDFKSSNILLDKNFHAKVSDFGLAKLGSDKAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDAKRPHGEGVLVSWALPQLTDREKVVQIMDPTLEGQYSMKEVIQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKTHRSTANVGGCSSFQ >KJB72303 pep chromosome:Graimondii2_0_v6:11:37133339:37140665:1 gene:B456_011G170300 transcript:KJB72303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPINAVLAARMLFNTAASVSESVGEGIPFGSPWWFIYAGISCFLVLFAGMMSGLTLGLMSLGLVELEILQRSGTSTEKKQAAAILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKLFNQYVAIILSVTFVLAFGEVIPQAICTRYGLAVGANLAGLVWILMIICYPIAYPIGKVLDWVLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTADEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKGKNKNLPLTVDGLKSEENKVSSADTQLTTPLLSKLDEKPESIVVDVGKLSRPTNTSRLDAVTYGLSVTSEDIEDGEVIGIITLEDVFEELLQVIPLLYLVLFIHMSSI >KJB72304 pep chromosome:Graimondii2_0_v6:11:37133271:37141226:1 gene:B456_011G170300 transcript:KJB72304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPINAVLAARMLFNTAASVSESVGEGIPFGSPWWFIYAGISCFLVLFAGMMSGLTLGLMSLGLVELEILQRSGTSTEKKQAAAILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKLFNQYVAIILSVTFVLAFGEVIPQAICTRYGLAVGANLAGLVWILMIICYPIAYPIGKVLDWVLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTADEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKGKNKNLPLTVDGLKSEENKVSSADTQLTTPLLSKLDEKPESIVVDVGKLSRPTNTSRLDAVTYGLSVTSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLTGQKGVVRKCYF >KJB72305 pep chromosome:Graimondii2_0_v6:11:37133271:37142529:1 gene:B456_011G170300 transcript:KJB72305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALPIYLDKLFNQYVAIILSVTFVLAFGEVIPQAICTRYGLAVGANLAGLVWILMIICYPIAYPIGKVLDWVLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTADEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKGKNKNLPLTVDGLKSEENKVSSADTQLTTPLLSKLDEKPESIVVDVGKLSRPTNTSRLDAVTYGLSVTSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLTGQKGVGVQSKGQATKKPA >KJB72302 pep chromosome:Graimondii2_0_v6:11:37133237:37142573:1 gene:B456_011G170300 transcript:KJB72302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPINAVLAARMLFNTAASVSESVGEGIPFGSPWWFIYAGISCFLVLFAGMMSGLTLGLMSLGLVELEILQRSGTSTEKKQAAAILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKLFNQYVAIILSVTFVLAFGEVIPQAICTRYGLAVGANLAGLVWILMIICYPIAYPIGKVLDWVLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTADEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKGKNKNLPLTVDGLKSEENKVSSADTQLTTPLLSKLDEKPESIVVDVGKLSRPTNTSRLDAVTYGLSVTSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLTGQKGVGVQSKGQATKKPA >KJB70032 pep chromosome:Graimondii2_0_v6:11:4296941:4299503:-1 gene:B456_011G054500 transcript:KJB70032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFIMLGCPETDIATAQPSLQSPLVPVRAQTLIHAQVLSNPWKSCPLPSRISFHVGHLENHSGKLQVKAVATLEPKCSVPKQGEHNNKSQLGGDSCPSPTQPESWKTGDSDDELDEREKLRRMRISKANKGNTPWNKGRKHSAETLQRIRERTKLAMQNPKVKMKLVNLGHAQSKETREKIGEGVRMGWERRREKLMLQETCHFEWMNLIAEASRKGYLGEEELRWDSYKILDEQLTKEWLESVEQRKSMPRPKGSNRAPKSPEQRKKIAEAIAAKWLILKPKRKPAAGTQSKQSPPKRKASDTNYSSGSETISPIERLRIRRRNKPLYKDPMASSKLEMLKNLRAQRAAEELKKTEAVERARLLIAEAEKAAKALEVAAMKSHVARASLIETRKLIAEAIQSIESIEIRHVSSDENSGYISVDSAEPVSHVENKMQSESSGSDQAEQKEVNGTKHEEYNFSNFIFPKIVNGDNAKELTSPCSNNHSISTLNFESSINSDSSKQVGHLETNGMIQHEKNPMLNGTEVELKNNDVPSKAVAVTKKWVRGRLVEVTEEAS >KJB69319 pep chromosome:Graimondii2_0_v6:11:1120589:1122109:-1 gene:B456_011G016000 transcript:KJB69319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIDIFIIGLALIFIRFWWRYWSVTGGGPKNLPPGPPGWPLVGNLIQVILQRRHFIFIIRELRKKYGPIFTMQMGQRTMVIVTDSKLIHEALVQRGPDFASRPPDSPIRLLFSVGKCAINSAEYGPLWRTLRKNFVTELITPTRVKQCSWIRKWAIENHMKRIKREAFENGFLEVMSNCRLTVCSILICLCFGAKISEERIKTIESILKDVMMITSPQLPDFLPVLTPLFRRQMKEAKALRKKQLECLVPLIKNRRAFVEKGENPNQEMVSPIGAAYVDSLFGLEPPTRGPLGDEEYVTLCSEAISAGTDTSATTVEWAMLHLVMNQEIQEKLYQEIIDCVGKDGEIKEEDVEKMPYLEAIVKETFRRHPPGHFLLSHAATKDTELAGYTIPAGVYVEIYTAWITEDPDIWSDPSEFRPERFLHGDGVGVDVTGTRAVKMLPFGAGRRICPAWNLGILHINLLIAKMVQAFKWLPVPDAPPDPAETYAFTVVMKNPLKAVILPRV >KJB70139 pep chromosome:Graimondii2_0_v6:11:4865995:4868398:-1 gene:B456_011G060100 transcript:KJB70139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSWFSYLTPWLATLALILFSLRLCRRRKLNLPPGPKPWPIIGNLNLIGSLPHQSIHALSRKYGPIMQLKFGSFPVVVASSVEMAKAVLKTNDVIFTDRPKTAAGKYTTYNYSDITWSPYGPYWRQARKICLTELFNAKRLESYQYIRREEMNLFLKRLYESSGTQIVLKDHLSSLSLNVISRMVFGKKYTEGSGENEIVTPNEFKEMLDELFLLNGVLDIGDSIPWLSFLDLQGYIKRMKALSKRFDRFLEHVLDEHNARREGAEDYVAKDMVDVLLQLSEDPNLEVKLERHGVKAFTQDMIAGGTESSAVTVEWAISELLKKPEILAKATEELDMVIGRERWVEEKDVVSLPYIDSIAKETMRLHPVAPMLVPRVARQDCEIAGYDIPKGTRAFVNVWTIGRDPSLWDNPNEFWPDRFMGKSIDVKGHDFELLPFGAGRRMCPGYPLGIKVIQASLANVLHGFTWKLPNNTTKEDLNMEEIFGLSTPKKYPLEAIAEPRLPLHMYS >KJB70140 pep chromosome:Graimondii2_0_v6:11:4866114:4874265:-1 gene:B456_011G060100 transcript:KJB70140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTPWVAFLTAWLATLALILLSLHLRRRRKLNLPPGPKPWPIIGNLNLIGSLPHQSIHALSQKYGPIMQLKFGSFPIVVASSVEMAKAILKTNDAIFSDRPKTAAGKYTTYNYSDITWSSYGPYWRQARKMCLTELFSAKRLESYEYIRREERNLFLKRLYESSGTQIVLKEHLLSLNLNVISRMVFGKKYTERTGESEIVTPNEFNEMLNELFFLNGVLDIGDSIPWLSFLDLQGYIKRMKALSKKFDRFLEHVLDEHNARRKRVKDYVAKDMVDVLLQLSEDPNLEVKLERHGVKAFTQDMIAGGTESSAVTVEWAISELLKKPEILAKATEELDMVIGRERWVEEKDVVSLPYIDSIAKETMRLHPVAPMLVPRVARQDCEIAGYDIPKGTRAFVNVWTIGRDPSLWDNPNEFWPDRFMGKSIDVKGHDFELLPFGAGRRMCPGYPLGIKVIQASLANVLHGFTWKLPNNTTKEDLNMEEIFGLSTPKKYPLEAIAEPRLPLHMYS >KJB70459 pep chromosome:Graimondii2_0_v6:11:7115413:7117440:1 gene:B456_011G074600 transcript:KJB70459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTKSESDITSLAPSSPSRSPKRPVYYVQSPSRDSHDGDKSSTMQPSPMESPSHPSSVGRHSRNSSASRFSGIFRSSSGRKGGRKRNDKGWPECGVIMEEGPYDEFEDKAFTRRCQALIALFTFVVLFTVFCLIIWGASRPYKAEILVKSFAVHNFYIGEGSDFSGVPTKLLTVNGTLKLSVYNPATIFGIHVTSNPVNLVYSEIPVATGQLKKYYQPRKSRRTVSVVLEGKKVPLYGAGSTLTFTQNGAAEIPLVLKFEVRSRGNVVGKLVRTKHRKQISCPLVIDSTKTKLIKFKKTTCTYH >KJB70458 pep chromosome:Graimondii2_0_v6:11:7115488:7117334:1 gene:B456_011G074600 transcript:KJB70458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTKSESDITSLAPSSPSRSPKRPVYYVQSPSRDSHDGDKSSTMQPSPMESPSHPSSVGRHSRNSSASRFSGIFRSSSGRKGGRKRNDKGWPECGVIMEEGPYDEFEDKAFTRRCQALIALFTFVVLFTVFCLIIWGASRPYKAEILVKSFAVHNFYIGEGSDFSGVPTKLLTVNGTLKLSVYNPATIFGIHVTSNPVNLVYSEIPVATGQLKKYYQPRKSRRTVSVVLEGKKVPLYGAGSTLTFTQNGAAEIPLVLKFEVRSRGNVVGKLVRTKHRKQISCPLVIDSTKTKLIKFKKTTCTYH >KJB70601 pep chromosome:Graimondii2_0_v6:11:8311885:8314398:-1 gene:B456_011G082400 transcript:KJB70601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSISYAFYVVSLLTICISAKEFNVLSYGASGNGITDDSLAFMKAWKDTCSEAASSATLVIPKGKQFLVHPLIFTGPCKSGAINVMLSGTILAPNGPDQWNASDLSTWLAFEGVTGLSISGFGTIDGRGKGWWDRSCRYHPGQGCFTLAPTALRFQNCNNLKMLNTNFHNSPQTHVLLLGCQNVELGFLNIQSPGTSPNTDGIHIQFGRNVSIHNSQIADGDDCISIGDKTYDVKINDIKCGPGHGVSIGSLGRDGEVVQVNNIKVKRVSFHGTTNGVRIKTWQTGRGLVQNVTFTNINFTAVENPIIIDQYYCDKPDSCKPTDTGVHINDVRYSKLIGTSQTEVAINLNCSNNVPCTGITLDNVRLVSATHQFNQLVSSCNHVSGLNRGIIEPKSCLKN >KJB71412 pep chromosome:Graimondii2_0_v6:11:17029713:17030244:1 gene:B456_011G122000 transcript:KJB71412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMNRSEPCILEKSLEISSAKSFCHICYGVQIDITGQWKLHYMCKNKVLQRKVTLKHSIKVVSSSIFIANHITLYYVAWTIHLCSTHIWTRVYKLFLQIYENF >KJB72205 pep chromosome:Graimondii2_0_v6:11:32429651:32431766:1 gene:B456_011G165300 transcript:KJB72205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVKRFADLTVEETQDLWLTAQKIGGRLECFHKASSLTFTIQDGPKAGQTVPHVHIHILPRKDGDFERNDEIYDEIDVKENELKEKLNLDKERKDRSLEEMCKEAEEYRSLFI >KJB72204 pep chromosome:Graimondii2_0_v6:11:32429449:32431441:1 gene:B456_011G165300 transcript:KJB72204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEFYSFGPYKIDPKEVFYSTPLSYAMVNLRPVVPVKRFADLTVEETQDLWLTAQKIGGRLECFHKASSLTFTIQDGPKAGQTVPHVHIHILPRKDGDFERNDEIYDEIDVKENELKEKLNLDKERKDRSLEEMCKEAEEYRSLFI >KJB70697 pep chromosome:Graimondii2_0_v6:11:9166841:9167302:-1 gene:B456_011G087600 transcript:KJB70697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGISRLEIIKEGDASQLYTQLSLVHRPNDCAITHSRLVSKKTLPNKREEHAKGEGIHNKKVNPEEKGIKQKENEEAFIDKQGFEDDVYDRNDDISYTRSPSFRVYCIPSQSDDGNNEFDHNLEEIKDDQQVHVENDKKVCITDFWFFLRGG >KJB71659 pep chromosome:Graimondii2_0_v6:11:20688045:20689948:1 gene:B456_011G135900 transcript:KJB71659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETRFEVPEMVRLEILSKLPVKSLTRFRCVCKSWCSSFQTPHFITKHQQNNLHNNNLNLLLKRCLGNTRDDIYYFSQLSTEKGQNFSVQDNIHLPFFEDCWYAPVVSGPCNGLLCLHDADKVALWNPSTREFKTLPQSTVQRPPSVDSTSFGCVGIGFDSQNGDYKVVRFVTNYFEENEDEGLMGDWNHQVELYSLKSDSWKEISVPGVQPYGSPLFNNYVNGFYYWQAIGDSDYLILSFDMVNEKFSALPLPEFGGSLAEYYLELLDFNGLLGAIIYPREGTDKSFDLWVMNGSWTKQFSIESLPRVERPLGFWKNGELFLESSDHELVLFDPSTRELKSLGIHAYQETMQIITYVESLVPINGRSEREELIIRRPAGDASN >KJB71658 pep chromosome:Graimondii2_0_v6:11:20688045:20690432:1 gene:B456_011G135900 transcript:KJB71658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETRFEVPEMVRLEILSKLPVKSLTRFRCVCKSWCSSFQTPHFITKHQQNNLHNNNLNLLLKRCLGNTRDDIYYFSQLSTEKGQNFSVQDNIHLPFFEDCWYAPVVSGPCNGLLCLHDADKVALWNPSTREFKTLPQSTVQRPPSVDSTSFGCVGIGFDSQNGDYKVVRFVTNYFEENEDEGLMGDWNHQVELYSLKSDSWKEISVPGVQPYGSPLFNNYVNGFYYWQAIGDSDYLILSFDMVNEKFSALPLPEFGGSLAEYYLELLDFNGLLGAIIYPREGTDKSFDLWVMNGSWTKQFSIESLPRVERPLGFWKNGELFLESSDHELVLFDPSTRELKSLGIHAYQETMQIITYVESLVPINGRSEREELIIRRPAGDASN >KJB71660 pep chromosome:Graimondii2_0_v6:11:20688099:20689803:1 gene:B456_011G135900 transcript:KJB71660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETRFEVPEMVRLEILSKLPVKSLTRFRCVCKSWCSSFQTPHFITKHQQNNLHNNNLNLLLKRCLGNTRDDIYYFSQLSTEKGQNFSVQDNIHLPFFEDCWYAPVVSGPCNGLLCLHDADKVALWNPSTREFKTLPQSTVQRPPSVDSTSFGCVGIGFDSQNGDYKVVRFVTNYFEENEDEGLMGDWNHQVELYSLKSDSWKEISVPGVQPYGSPLFNNYVNGFYYWQAIGDSDYLILSFDMVNEKFSALPLPEFGGSLAEYYLELLDFNGLLGAIIYPREGTDKSFDLWVMNGSWTKQFSIESLPRVERPLGFWKNGNDADYYLC >KJB71657 pep chromosome:Graimondii2_0_v6:11:20688079:20689873:1 gene:B456_011G135900 transcript:KJB71657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETRFEVPEMVRLEILSKLPVKSLTRFRCVCKSWCSSFQTPHFITKHQQNNLHNNNLNLLLKRCLGNTRDDIYYFSQLSTEKGQNFSVQDNIHLPFFEDCWYAPVVSGPCNGLLCLHDADKVALWNPSTREFKTLPQSTVQRPPSVDSTSFGCVGIGFDSQNGDYKVVRFVTNYFEENEDEGLMGDWNHQVELYSLKSDSWKEISVPGVQPYGSPLFNNYVNGFYYWQAIGDSDYLILSFDMVNEKFSALPLPEFGGSLAEYYLELLDFNGLLGAIIYPREGTDKSFDLWVMNGSWTKQFSIESLPRVERPLGFWKNGELFLESSDHELVLFDPSTRELKSLGIHAYQETMQIITYVESLVPINGRSEREELIIRRPAGDASN >KJB73993 pep chromosome:Graimondii2_0_v6:11:59743678:59745201:1 gene:B456_011G2659002 transcript:KJB73993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVIAIVGSIVAKAVEYTISPIKNHVKYLSNHQKNVETLKNRANRLKDARDGVQHSVDATKRNGEEIEGDVDKWLSAVDKMILEQVEKVMQDEERAKKKCFIGLCPNFRTRYKLSLKAEEEAKAVAELLEHGEFERVSYRAAPQGIVVAPVKGYEEFESRTSILNGIMEVLKDDSVSIVGVHGTGGIGKTTLIKEIARKVKDKLFDSVVIATVTQAIDIEKIQNQIADFLGLKFEEQSMVGKAFRLRERLKKEERILVVLDDIWEKVDIEEVGIPLGDEHKGCKLLLTSREFNVLSNGMDAQKNFHIGFLNEKEAWDLFKKKAGDCDESCDLKPIAMEVAKKCARLPIAIATVAGALRNKRLFEWKNALRELERPSSTNFTGIAAAYSAIELSFNYLESEEVKLTFLLCSVIGHNGLVEDLVRYTLGLGLFDGVNTMEEARNKVLTVVANLKASALLLDSYNDKHFDIHDVVWDAAIAIALKDYRMLVLRDHAPKEWSDKEKMKTWC >KJB73994 pep chromosome:Graimondii2_0_v6:11:59743678:59745201:1 gene:B456_011G2659002 transcript:KJB73994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVIAIVGSIVAKAVEYTISPIKNHVKYLSNHQKNVETLKNRANRLKDARDGVQHSVDATKRNGEEIEGDVDKWLSAVDKMILEQVEKVMQDEERAKKKCFIGLCPNFRTRYKLSLKAEEEAKAVAELLEHGEFERVSYRAAPQGIVVAPVKGYEEFESRTSILNGIMEVLKDDSVSIVGVHGTGGIGKTTLIKEIARKVKDKLFDSVVIATVTQAIDIEKIQNQIADFLGLKFEEQSMVGKAFRLRERLKKEERILVVLDDIWEKVDIEEVGIPLGDEHKGCKLLLTSREFNVLSNGMDAQKNFHIGFLNEKEAWDLFKKKAGDCDESCDLKPIAMEVAKKCARLPIAIATVAGALRNKRLFEWKNALRELERPSSTNFTGIAAAYSAIELSFNYLESEEVKLTFLLCSVIGHNGLVEDLVRYTLGLGLFDGVNTMEEARNKVLTVVANLKASALLLDSYNDKHFDIHDVVWDAAIAIALKDYRMLVLRDHAPKEWSDKEKMKTWC >KJB73683 pep chromosome:Graimondii2_0_v6:11:57019877:57020562:1 gene:B456_011G243200 transcript:KJB73683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSPQESAPHNLHTTESHPIPNSVHLPQVDEHEVAYPDPHYPQRVSPSVGYPQPPVVSPVVAYPDPHYPQAPVNSPVVAYPDPYYPQVNTLPTNNVAAQTEPPVQAPVSSSVATNPPQGYLPAPAGPSYVEPTKPSSCCGCIVL >KJB71528 pep chromosome:Graimondii2_0_v6:11:18232952:18233478:1 gene:B456_011G127300 transcript:KJB71528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLKTMETLSKTLDLSVYRTNFRARCLLVERMAARASPWISLSKANSRAFKQGKKKSRGAYFAASDPLPEAGGSQP >KJB72115 pep chromosome:Graimondii2_0_v6:11:29147549:29151237:1 gene:B456_011G159900 transcript:KJB72115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRIWIFLLYSTMAATLFIHCDARKPIGLVKDLKHGRNSMTKHTNRLQLMSKLMSLVIQPTDVSNTQPYRVSSPFSLPPFDSLPPVNYPPYCSPPNAPASTLPTPIGMSTPSFPPTLPGLSPPPSTTGTSLSPPEFSITPNTPQAIPTPTIYEPSPPAIVISPPFYVPSPIGFNPSPPVFLPPIVYPPPTGPPPPNVAPSTALWCVAKPSVPDPIIQEAMNYACGSGADCNSIQPSGSCFHPDTLYAHASYAFNSYWQRTKYSGGTCEFGGTAILVTVDPSYDGCHFEYD >KJB70147 pep chromosome:Graimondii2_0_v6:11:4927594:4932106:-1 gene:B456_011G060400 transcript:KJB70147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKEMMGCSSFVSSSKVFLNSTYGFQQKQNRFLFVKPVWVPMEQKRRVHLRKAAKVPVVAAISEDLIKAEAKAVPAQKEKAVEFKVTATITIRNKNKEDFKETLVKHFDAFTDMIGRNVVLELISTEEDPKTMAPKRSKEAVLKDWSKKANVKAEKVYYTAEFTVDSNFGVPGAITVTNKHQKEFFLESITIEDFAYGPLHFPCNSWVQSNKHHPRKRIFFTNQPYLPDQTPKGLRALREKELDDLRGNGKGVRKLSDRVYDFDVYNDLGNPDKGIDYARPMLGGEKVPYPRRCRTGRGPSETDMEAESRVEKPLPIYVPRDEQFEESKQNAFSAGRLRAVLHNLLPQLKASISAHNRDLNSFSDIDGLYKEGLLLKLGFHETVKKLPKMVSKLQESSEGLLKYETPKVVSKDKFAWLRDDEFGRQALAGVNPVNIERLASFPPVSMLDPDIYGPQESALKEEHIAGQLNGMTVQQALDENKLFMVDYHDIYLPFLDRINALDGRKSYATRTIFFLTPSGTLKPVAIELSLPHTSPNSRSKRVVTPPVDATTNWIWQLAKAHVCSNDAGVHQLVNHWLRTHACMEPFILAAHRQLSAMHPIFKLLDPHMRYTLEINALARQSLICADGVIENCFTPGRYCMEMSAAAYRSHWRFDKEGLPADLIRRGIAVPDPTQPHGLKLLIEDYPYASDGLLIWNALENWVRTYVNRYYPNSSLVCNDRELQQWYHESVHVGHADLSKESWWPSLKTTDDLVSILTTLIWLASAQHAALNFGQYPYGGYVPNRPPLMRRLIPDENDLEYANFLADPQKYFLSALPSLLQATKFMAVVDTLSTHSPDEEYLGERQHPSIWSGDAEIIEAFYGFSAEIRRIEKEIEKRNADPNLKNRCGAGVLPYELLAPSSGPGVTCRGVPNSVSI >KJB70146 pep chromosome:Graimondii2_0_v6:11:4927869:4931036:-1 gene:B456_011G060400 transcript:KJB70146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDIQLPFLFEQPYLPDQTPKGLRALREKELDDLRGNGKGVRKLSDRVYDFDVYNDLGNPDKGIDYARPMLGGEKVPYPRRCRTGRGPSETDMEAESRVEKPLPIYVPRDEQFEESKQNAFSAGRLRAVLHNLLPQLKASISAHNRDLNSFSDIDGLYKEGLLLKLGFHETVKKLPKMVSKLQESSEGLLKYETPKVVSKDKFAWLRDDEFGRQALAGVNPVNIERLASFPPVSMLDPDIYGPQESALKEEHIAGQLNGMTVQQALDENKLFMVDYHDIYLPFLDRINALDGRKSYATRTIFFLTPSGTLKPVAIELSLPHTSPNSRSKRVVTPPVDATTNWIWQLAKAHVCSNDAGVHQLVNHWLRTHACMEPFILAAHRQLSAMHPIFKLLDPHMRYTLEINALARQSLICADGVIENCFTPGRYCMEMSAAAYRSHWRFDKEGLPADLIRRGIAVPDPTQPHGLKLLIEDYPYASDGLLIWNALENWVRTYVNRYYPNSSLVCNDRELQQWYHESVHVGHADLSKESWWPSLKTTDDLVSILTTLIWLASAQHAALNFGQYPYGGYVPNRPPLMRRLIPDENDLEYANFLADPQKYFLSALPSLLQATKFMAVVDTLSTHSPDEEYLGERQHPSIWSGDAEIIEAFYGFSAEIRRIEKEIEKRNADPNLKNRCGAGVLPYELLAPSSGPGVTCRGVPNSVSI >KJB70145 pep chromosome:Graimondii2_0_v6:11:4929132:4932021:-1 gene:B456_011G060400 transcript:KJB70145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKEMMGCSSFVSSSKVFLNSTYGFQQKQNRFLFVKPVWVPMEQKRRVHLRKAAKVPVVAAISEDLIKAEAKAVPAQKEKAVEFKVTATITIRNKNKEDFKETLVKHFDAFTDMIGRNVVLELISTEEDPKTMAPKRSKEAVLKDWSKKANVKAEKVYYTAEFTVDSNFGVPGAITVTNKHQKEFFLESITIEDFAYGPLHFPCNSWVQSNKHHPRKRIFFTNQPYLPDQTPKGLRALREKELDDLRGNGKGVRKLSDRVYDFDVYNDLGNPDKGIDYARPMLGGEKVPYPRRCRTGRGPSETDMEAESRVEKPLPIYVPRDEQFEESKQNAFSAGRLRAVLHNLLPQLKASISAHNRDLNSFSDIDGLYKEGLLLKLGFHETVKKLPKMVSKLQESSEGLLKYETPKVVSKDKFAWLRDDEFGRQALAGVNPVNIERLASFPPVSMLDPDIYGPQESALKEEHIAGQLNGMTVQQALDENKLFMVDYHDIYLPFLDRINALDGRKSYATRTIFFLTPSGTLKPVAIELSLPHTSPNSRSKRVVTPPVDATTNWIWQLAKAHVCSNDAGVHQLVNHWLRTHACMEPFILAAHRQLSAMHPIFKLLDPHMRYTLEINALARQSLICADGVIENCFTPGRYCMEMSAAAYRSHWRFDKEGLPADLIRR >KJB70427 pep chromosome:Graimondii2_0_v6:11:6850254:6856585:-1 gene:B456_011G072800 transcript:KJB70427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYVSPNLRLLFSPPLRPTLSVPLFISKPNPKPFSFSTILASSSSKRPRSFSNLSRRSNSTFKDRKGGGRDMTMEETTGQSKDSSSSFGLNKRRAEGRDKSDRPKKNPQLKERKLNPTNTIAYVQILGTGMDTQDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKVKLSKIDHIFLSRVCSETAGGLPGLLLTLAGMGEEGYSVKIWGPSDLNFLVGAMKSFIPHATMVHTQSFGQSPISDAAENVTAPIKASDPIVLVKDEVVKISAILLQPHCLQQSQIKPGEMSVLYICELPELMGKFDPKKAAALGLKAGPKYSELQHGKSVKSDRLDIMVHPADVMDPPVPGPIVILVDCPTESHLQELLSIECLNGYYTDVSSHLTESTKMVNCVIHLSPASVVSSPNYQKWMKKFGSAQHIMAGHGKKTLEVPILKSSARVTSRLNYLCPQFFPASGFLSLQHLNYSTSDDISSSEGPTLKICESIPAENLLKFTLRPYAQLGLDRSHIPTPMGQSQVVDELHLEIPEIADAAQHVREFWQELKESREVLPTSNDNGVMIEEPWLTEDTLPGCLENIRRDDLEIVLLGTGSSQPSKYRNVSSVYINLFSKGSLLLDCGEGTLGQLKRRYGVDGADKAIRNLKCVWISHIHADHHTGLARVLALRRGLLKGVPHEPLLVIGPRQLKRYLDAYQRLEDLDMQFLDCRSTTKASWDTFVRDGASNNDGSSPQSPRHSNAKNESMQEINQTLFAKGSRMQSYLWQPGSPVDHSAAYPFLKSLKKMLGEAGLEALISFPVVHCPQAFGIVLEAAERVNSVGKVIPGWKIVYSGDTRPCPELVDACRGATVLIHEATFEDGLVDEAIARNHSTTKEAIEVGNSAGAYRIVLTHFSQRYPKIPVFDETHMHKTCIAFDMMSINIADLPVLPKVLPYLKLLFRNEVAVDESDDILDALVFNFQFMYGEKEGKKSRLSLVGRRGQLQSNLIKDRGHTGFY >KJB70429 pep chromosome:Graimondii2_0_v6:11:6850818:6856539:-1 gene:B456_011G072800 transcript:KJB70429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFWGLEWIHKTHRLQSCSSLTNKDLFLMPERFCTEHKVKLSKIDHIFLSRVCSETAGGLPGLLLTLAGMGEEGYSVKIWGPSDLNFLVGAMKSFIPHATMVHTQSFGQSPISDAAENVTAPIKASDPIVLVKDEVVKISAILLQPHCLQQSQIKPGEMSVLYICELPELMGKFDPKKAAALGLKAGPKYSELQHGKSVKSDRLDIMVHPADVMDPPVPGPIVILVDCPTESHLQELLSIECLNGYYTDVSSHLTESTKMVNCVIHLSPASVVSSPNYQKWMKKFGSAQHIMAGHGKKTLEVPILKSSARVTSRLNYLCPQFFPASGFLSLQHLNYSTSDDISSSEGPTLKICESIPAENLLKFTLRPYAQLGLDRSHIPTPMGQSQVVDELHLEIPEIADAAQHVREFWQELKESREVLPTSNDNGVMIEEPWLTEDTLPGCLENIRRDDLEIVLLGTGSSQPSKYRNVSSVYINLFSKGSLLLDCGEGTLGQLKRRYGVDGADKAIRNLKCVWISHIHADHHTGLARVLALRRGLLKGVPHEPLLVIGPRQLKRYLDAYQRLEDLDMQFLDCRSTTKASWDTFVRDGASNNDGSSPQSPRHSNAKNESMQEINQTLFAKGSRMQSYLWQPGSPVDHSAAYPFLKSLKKMLGEAGLEALISFPVVHCPQAFGIVLEAAERVNSVGKVIPGWKIVYSGDTRPCPELVDACRGATVLIHEATFEDGLVDEAIARNHSTTKEAIEVGNSAGAYRIVLTHFSQRYPKIPVFDETHMHKTCIAFDMMSINIADLPVLPKVLPYLKLLFRNEVAVDESDDILDALV >KJB70430 pep chromosome:Graimondii2_0_v6:11:6851160:6856469:-1 gene:B456_011G072800 transcript:KJB70430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYVSPNLRLLFSPPLRPTLSVPLFISKPNPKPFSFSTILASSSSKRPRSFSNLSRRSNSTFKDRKGGGRDMTMEETTGQSKDSSSSFGLNKRRAEGRDKSDRPKKNPQLKERKLNPTNTIAYVQILGTGMDTQDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKVKLSKIDHIFLSRVCSETAGGLPGLLLTLAGMGEEGYSVKIWGPSDLNFLVGAMKSFIPHATMVHTQSFGQSPISDAAENVTAPIKASDPIVLVKDEVVKISAILLQPHCLQQSQIKPGEMSVLYICELPELMGKFDPKKAAALGLKAGPKYSELQHGKSVKSDRLDIMVHPADVMDPPVPGPIVILVDCPTESHLQELLSIECLNGYYTDVSSHLTESTKMVNCVIHLSPASVVSSPNYQKWMKKFGSAQHIMAGHGKKTLEVPILKSSARVTSRLNYLCPQFFPASGFLSLQHLNYSTSDDISSSEGPTLKICESIPAENLLKFTLRPYAQLGLDRSHIPTPMGQSQVVDELHLEIPEIADAAQHVREFWQELKESREVLPTSNDNGVMIEEPWLTEDTLPGCLENIRRDDLEIVLLGTGSSQPSKYRNVSSVYINLFSKGSLLLDCGEGTLGQLKRRYGVDGADKAIRNLKCVWISHIHADHHTGLARVLALRRGLLKGVPHEPLLVIGPRQLKRYLDAYQRLEDLDMQFLDCRSTTKASWDTFVRDGASNNDGSSPQSPRHSNAKNESMQEINQTLFAKGSRMQSYLWQPGSPVDHSAAYPFLKSLKKMLGEAGLEALISFPVVHCPQAFGIVLEAAERVNSVGKVIPGWKIVYSGDTRPCPELVDACRGATVLIHEATFEDGLVDEAIARNHSTTKEAIEVGNSAGAYRIVLTHFSQRYPKIPVFDETHMHKTCIAFDMMSINIADLPVLPKVLPYLKLLFRNEVAVDESDDILDALV >KJB70428 pep chromosome:Graimondii2_0_v6:11:6850818:6856536:-1 gene:B456_011G072800 transcript:KJB70428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYVSPNLRLLFSPPLRPTLSVPLFISKPNPKPFSFSTILASSSSKRPRSFSNLSRRSNSTFKDRKGGGRDMTMEETTGQSKDSSSSFGLNKRRAEGRDKSDRPKKNPQLKERKLNPTNTIAYVQILGTGMDTQDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKVKLSKIDHIFLSRVCSETAGGLPGLLLTLAGMGEEGYSVKIWGPSDLNFLVGAMKSFIPHATMVHTQSFGQSPISDAAENVTAPIKASDPIVLVKDEVVKISAILLQPHCLQQSQIKPGEMSVLYICELPELMGKFDPKKAAALGLKAGPKYSELQHGKSVKSDRLDIMVHPADVMDPPVPGPIVILVDCPTESHLQELLSIECLNGYYTDVSSHLTESTKMVNCVIHLSPASVVSSPNYQKWMKKFGSAQHIMAGHGKKTLEVPILKSSARVTSRLNYLCPQFFPASGFLSLQHLNYSTSDDISSSEGPTLKICESIPAENLLKFTLRPYAQLGLDRSHIPTPMGQSQVVDELHLEIPEIADAAQHVREFWQELKESREVLPTSNDNGVMIEEPWLTEDTLPGCLENIRRDDLEIVLLGTGSSQPSKYRNVSSVYINLFSKGSLLLDCGEGTLGQLKRRYGVDGADKAIRNLKCVWISHIHADHHTGLARVLALRRGLLKGVPHEPLLVIGPRQLKRYLDAYQRLEDLDMQFLDCRSTTKASWDTFVRDGASNNDGSSPQSPRHSNAKNESMQEINQTLFAKGSRMQSYLWQPGSPVDHSAAYPFLKSLKKMLGEAGLEALISFPVVHCPQAFGIVLEAAERVNSVGKVIPGWKIVYSGDTRPCPELVDACRGATVLIHEATFEDGLVDEAIARNHSTTKEAIEVGNSAGAYRIVLTHFSQRYPKIPVFDETHMHKTCIAFDMMSINIADLPVLPKVLPYLKLLFRNEVAVDESDDILDALVL >KJB73836 pep chromosome:Graimondii2_0_v6:11:58509376:58523443:1 gene:B456_011G255800 transcript:KJB73836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIFSPGRSPGSSRLQLGTASGVSRLRSSSLKKPPEPLRRSVADCLSSSSSSSFSPAAGAGGLSSYHHGSQLVLNEASRTLRDYLAAPSTTDQSYIVILEHTIAERERSDHSAYVQDMSAHNFLEVGAAALLVGDMEAKMNGQPWKYFGTADMPYLDQLLQPSPVTTIANSASARSHLRAITALKRSKGGPHQIWDDSPASTFRPRARPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSETSLTNANTMTVSSRLSNNSAKPSMDVAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLSSPRSACRVRAFDLILNLAVHAHLLEPLIIDDNSAIEEEYSQELLLNSEDQLTTQGRRKLASSKKLGSTSAIDKFESWILNILYDILLLLVQIDEMEEAVWASALSCLLYFVCDRGNIWRNRLKGLDIRVVKSILVISRINSWAEVVHCKLVCILTNMLYQVPDESTKAVMSTANFLVDQLDLIGGIDFIFIEYSLSTSRDERKHLYLVLFDYVLHQINETCISTGAFEYNDDEIQPIAALLTLADAPEAFYISVKLGVEGIGELLRRSLSTTLDRYPNGERLHTLLENITEKLDRIISSFTHLDTEFFQLKQITKSNKLKGNVEGSSTRNSVAMKAKLAWSILHSLLHSDRILYRQNGFIWLGDLLIAEISDSRNGSIWSNVRSLQNKIAYAGGHDSSDPSDIPLSIWLMCGLLKSKNNSIRWGFLVVLERLLMRCKFLLDESEMQQPSNSDVSPGNRDTRLEKAITVIDIMSSALSLVAQINETDRINILKMCDILFSQLCLKVPNSNLMPFGEGIQRPKVFTRTEEIRKTSNINFVSQQESCPWDQIMEETDSKSGYSVSSHFVCETASMAALLLRGQAIVPMQLVARVPAALFYWPLIQLAGAATDNIALGVAVGSKGRGNLPGATSDIRATLLLLLVGKCTADPTAFQEVGGEEFFRELLDDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQKLIYKAQQSNNEKLLENPYLQMRGIFQLSNEL >KJB73839 pep chromosome:Graimondii2_0_v6:11:58517267:58523443:1 gene:B456_011G255800 transcript:KJB73839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKLAWSILHSLLHSDRILYRQNGFIWLGDLLIAEISDSRNGSIWSNVRSLQNKIAYAGGHDSSDPSDIPLSIWLMCGLLKSKNNSIRWGFLVVLERLLMRCKFLLDESEMQQPSNSDVSPGNRDTRLEKAITVIDIMSSALSLVAQINETDRINILKMCDILFSQLCLKVPNSNLMPFGEGIQRPKVFTRTEEIRKTSNINFVSQQESCPWDQIMEETDSKSGYSVSSHFVCETASMAALLLRGQAIVPMQLVARVPAALFYWPLIQLAGAATDNIALGVAVGSKGRGNLPGATSDIRATLLLLLVGKCTADPTAFQEVGGEEFFRELLDDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQKLIYKAQQSNNEKLLENPYLQMRGIFQLSNEL >KJB73838 pep chromosome:Graimondii2_0_v6:11:58515870:58523576:1 gene:B456_011G255800 transcript:KJB73838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAVWASALSCLLYFVCDRGNIWRNRLKGLDIRVVKSILVISRINSWAEVVHCKLVCILTNMLYQVPDESTKAVMSTANFLVDQLDLIGGIDFIFIEYSLSTSRDERKHLYLVLFDYVLHQINETCISTGAFEYNDDEIQPIAALLTLADAPEAFYISVKLGVEGIGELLRRSLSTTLDRYPNGERLHTLLENITEKLDRIISSFTHLDTEFFQLKQITKSNKLKGNVEGSSTRNSVAMKAKLAWSILHSLLHSDRILYRQNGFIWLGDLLIAEISDSRNGSIWSNVRSLQNKIAYAGGHDSSDPSDIPLSIWLMCGLLKSKNNSIRWGFLVVLERLLMRCKFLLDESEMQQPSNSDVSPGNRDTRLEKAITVIDIMSSALSLVAQINETDRINILKMCDILFSQLCLKVPNSNLMPFGEGIQRPKVFTRTEEIRKTSNINFVSQQESCPWDQIMEETDSKSGYSVSSHFVCETASMAALLLRGQAIVPMQLVARVPAALFYWPLIQLAGAATDNIALGVAVGSKGRGNLPGATSDIRATLLLLLVGKCTADPTAFQEVGGEEFFRELLDDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQKLIYKAQQSNNEKLLENPYLQMRGIFQLSNEL >KJB73835 pep chromosome:Graimondii2_0_v6:11:58509376:58520571:1 gene:B456_011G255800 transcript:KJB73835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIFSPGRSPGSSRLQLGTASGVSRLRSSSLKKPPEPLRRSVADCLSSSSSSSFSPAAGAGGLSSYHHGSQLVLNEASRTLRDYLAAPSTTDQSYIVILEHTIAERERSPAVVGRCVALLKRYLLRYKPSEETLLQIDRFCVSLIAECDISPNQRLSPWSRSLNQQSGSSAISTSSASASPLLPVSSFASVALVKSLNYVRSLVAQHIPKRSFQPAGFAGATLASRQSFPSLTSLLSRSFNSQRCPVNVGESSEKKDATALSVSNLSNIEDADRIENPEYIAHDVLKWRWLRDHQSPFTESDHSAYVQDMSAHNFLEVGAAALLVGDMEAKMNGQPWKYFGTADMPYLDQLLQPSPVTTIANSASARSHLRAITALKRSKGGPHQIWDDSPASTFRPRARPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSETSLTNANTMTVSSRLSNNSAKPSMDVAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLSSPRSACRVRAFDLILNLAVHAHLLEPLIIDDNSAIEEEYSQELLLNSEDQLTTQGRRKLASSKKLGSTSAIDKFESWILNILYDILLLLVQIDEMEEAVWASALSCLLYFVCDRGNIWRNRLKGLDIRVVKSILVISRINSWAEVVHCKLVCILTNMLYQVPDESTKAVMSTANFLVDQLDLIGGIDFIFIEYSLSTSRDERKHLYLVLFDYVLHQINETCISTGAFEYNDDEIQPIAALLTLADAPEAFYISVKLGVEGIGELLRRSLSTTLDRYPNGERLHTLLENITEKLDRIISSFTHLDTEFFQLKQITKSNKLKGNVEGSSTRNSVAMKAKLAWSILHSLLHSDRILYRQNGFIWLGDLLIAEISDSRNGSIWSNVRSLQNKIAYAGGHDSSDPSDIPLSIWLMCGLLKSKNNSIRWGFLVVLERLLMRCKFLLDESEMQQPSNSDVSPGNRDTRLEKAITVIDIMSSALSLVAQINETDRINILKMCDILFSQLCLKVPNSNLMPFGEGIQRPKVFTRTEEIRKTSNINFVSQQESCPWDQIMEETDSKSGYSVSSHFVCETASMAALLLRGQAIVPMQLVARVPAALFYWPLIQLAGAATDNIALGVAVGSKGRGNLPGATSDIRATLLLLLVGKCTADPTAFQEVGGEEFFR >KJB73840 pep chromosome:Graimondii2_0_v6:11:58515907:58523443:1 gene:B456_011G255800 transcript:KJB73840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYQVPDESTKAVMSTANFLVDQLDLIGGIDFIFIEYSLSTSRDERKHLYLVLFDYVLHQINETCISTGAFEYNDDEIQPIAALLTLADAPEAFYISVKLGVEGIGELLRRSLSTTLDRYPNGERLHTLLENITEKLDRIISSFTHLDTEFFQLKQITKSNKLKGNVEGSSTRNSVAMKAKLAWSILHSLLHSDRILYRQNGFIWLGDLLIAEISDSRNGSIWSNVRSLQNKIAYAGGHDSSDPSDIPLSIWLMCGLLKSKNNSIRWGFLVVLERLLMRCKFLLDESEMQQPSNSDVSPGNRDTRLEKAITVIDIMSSALSLVAQINETDRINILKMCDILFSQLCLKVPNSNLMPFGEGIQRPKVFTRTEEIRKTSNINFVSQQESCPWDQIMEETDSKSGYSVSSHFVCETASMAALLLRGQAIVPMQLVARVPAALFYWPLIQLAGAATDNIALGVAVGSKGRGNLPGATSDIRATLLLLLVGKCTADPTAFQEVGGEEFFRELLDDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQKLIYKAQQSNNEKLLENPYLQMRGIFQLSNEL >KJB73837 pep chromosome:Graimondii2_0_v6:11:58509376:58523443:1 gene:B456_011G255800 transcript:KJB73837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIFSPGRSPGSSRLQLGTASGVSRLRSSSLKKPPEPLRRSVADCLSSSSSSSFSPAAGAGGLSSYHHGSQLVLNEASRTLRDYLAAPSTTDQSYIVILEHTIAERERSPAVVGRCVALLKRYLLRYKPSEETLLQIDRFCVSLIAECDISPNQRLSPWSRSLNQQSGSSAISTSSASASPLLPVSSFASVALVKSLNYVRSLVAQHIPKRSFQPAGFAGATLASRQSFPSLTSLLSRSFNSQRCPVNVGESSEKKDATALSVSNLSNIEDADRIENPEYIAHDVLKWRWLRDHQSPFTESDHSAYVQDMSAHNFLEVGAAALLVGDMEAKMNGQPWKYFGTADMPYLDQLLQPSPVTTIANSASARSHLRAITALKRSKGGPHQIWDDSPASTFRPRARPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSETSLTNANTMTVSSRLSNNSAKPSMDVAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLSSPRSACRVRAFDLILNLAVHAHLLEPLIIDDNSAIEEEYSQELLLNSEDQLTTQGRRKLASSKKLGSTSAIDKFESWILNILYDILLLLVQIDEMEEAVWASALSCLLYFVCDRGNIWRNRLKGLDIRVVKSILVISRINSWAEVVHCKLVCILTNMLYQVPDESTKAVMSTANFLVDQLDLIGGIDFIFIEYSLSTSRDERKHLYLVLFDYVLHQINETCISTGAFEYNDDEIQPIAALLTLADAPEAFYISVKLGVEGIGELLRRSLSTTLDRYPNGERLHTLLENITEKLDRIISSFTHLDTEFFQLKQITKSNKLKGNVEGSSTRNSVAMKAKLAWSILHSLLHSDRILYRQNGFIWLGDLLIAEISDSRNGSIWSNVRSLQNKIAYAGGHDSSDPSDIPLSIWLMCGLLKSKNNSIRWGFLVVLERLLMRCKFLLDESEMQQPSNSDVSPGNRDTRLEKAITVIDIMSSALSLVAQINETDRINILKMCDILFSQLCLKVPNSNLMPFGEGIQRPKVFTRTEEIRKTSNINFVSQQESCPWDQIMEETDSKSGYSVSSHFVCETASMAALLLRGQAIVPMQLVARVPAALFYWPLIQLAGAATDNIALGVAVGSKGRGNLPGATSDIRATLLLLLVGKCTADPTAFQEVGGEEFFRELLDDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQKLIYKAQQSNNEKLLENPYLQMRGIFQLSNEL >KJB70235 pep chromosome:Graimondii2_0_v6:11:5455135:5455875:-1 gene:B456_011G064500 transcript:KJB70235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISRTGSNGTTQACAACKYQRRKCAPDCILAPYFPHDRQRQFQNAHKLFGVSNITKIIKNLNPPEKDIAMRTIVFQSDARANDPVGGCYRIIQELQRQIEYSQAELDLVLHQLAICRAQAAQQQHSDLTLGCDMINPQDPLNSYNSNYYYVQEPHEELFAVNDNNQQHQLQENYDHSWGIQESTSALSTLNIKQSFMKQSEHNDVHEDEVKHDYGIACERHEMKFENDDIVERRFVPSTQLVMSS >KJB72680 pep chromosome:Graimondii2_0_v6:11:45444644:45445303:1 gene:B456_011G190200 transcript:KJB72680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEILQIKRQVKYDMYQTSEATRVRVTSEDKKAQTMKALRSLTIAIAIPLSLTLFIIFKFGSPKRHRTIMAKPIWFPPLWLINLASIGSSFSMSLAAWFVWVNRGFHMNSDALPLYISQISLSIVWHPLQLINDSVWFGFLVCLLHFGTIFACYLSFRKFNHFATDLVKPCLVWSAFLTIITCKLLII >KJB69324 pep chromosome:Graimondii2_0_v6:11:1150349:1152584:-1 gene:B456_011G016300 transcript:KJB69324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKSKIKGIYKSFKYISQLFVVKEREMEIGYPTDVKHVAHIGWDGPPGSGTAPSWMNEFKTVPDFTTTSIDFGQSMGSQPATEIIRNLSAKDMPNVPKKQKWKKKSSSSKSSLKATYTQLGSSTDFEA >KJB69332 pep chromosome:Graimondii2_0_v6:11:1181513:1187686:1 gene:B456_011G017000 transcript:KJB69332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETHNLMTNINQSLGMDSDPPFHETYKTLFAVTKPLNNDWLAAVMAVEELELPSIDLTWLSLESPEKERCKNDIARAANEWGFFQVVNHGISRDVLEKMRDEQVKVFKQPFQHKCREDKFMNVSAGSYRWGTPTATCLRQLSWSEAFHIPMTDISSLGDTSIFSSSSLHQFATEVASLAQKLAEILAEKSGKKSTFFQENSLPSTCYLRLNRYPPCPIPLFGLMPHTDSDFLTVLYQDQVGGLQLVKDGKWVAVKPNPEALFINIGDLFQAWSNDCYKSVQHCAVTNPTKERFSVAYFFCPSYDTVIESCSGDKPSVYRRFSFKEYRQQVQEDVQNYGYKIGLPRFLV >KJB73969 pep chromosome:Graimondii2_0_v6:11:59583467:59584438:-1 gene:B456_011G264600 transcript:KJB73969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWFTKVESWSENILVNYRRTFGVPIHACPISTFKNIANHWGSFISVDDGAAHPKPFVKGNIHFNCVKLMRW >KJB70156 pep chromosome:Graimondii2_0_v6:11:5003379:5005688:-1 gene:B456_011G061400 transcript:KJB70156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISFIFFLSLLLIPFSSSSSSDCPQNFIIHVSKSHKPSLFSSHHHWYSSILHSLPPSPHPIKLLYTYQLSINGFSARLTSSQANKLKHFPGILSARQIHTTRTPHFLGLSDGVGLWQNSHYGDGIIIGVLDTGIWPERPSFLDSGLPPVPNTWKGTCETGPDFPASACNRKIIGARAFYKGYESYLEGPIDEMKESKSPRDTEGHGTHTASTAAGSMVSNASLFEFAYGEARGMATNARIAAYKICWKMGCFDSDILAAMDQAIADGVDVISLSVGATGYAPQYDHDSIAIGAFGAANHGIVVSCSAGNSGPGPSTAVNIAPWILTVGASTIDREFPADVVLGDGRIFGGVSLYSGEPLGDSKLPLVYGGDCGDRYCHMGSLNSSKVGGKIVVCDRGGNARVEKGGAVKLAGGLGMILENTADNGEELISDAHLIPATMVGEAAGNKILEYIKTTQFPTATISFRGTVIGPSPPAPKVAAFSSRGPNHLTPEILKPDVIAPGVNILAGWTGAAAPTDLDIDPRRVDFNIISGTSMSCPHVSGLAALLKKAYPNWSPAAIKSALMTTAYNLDNSGHTINDLATGEEASPFIYGAGHVDPNRALNPGLVYDTDSSDYIAFLCSIGYDSKRIEVFVREPNSSDVCATKLATPGDLNYPSFSVVFNSNDHVVKYRRKVKNVGTSAGAVYEAKVNAPPGVKISVSPSKLEFSAVNQTLSYEVSFASDSLGLSSVESQGFGSIEWSDGVHLVRSPIAVRWIQGVQEESF >KJB74433 pep chromosome:Graimondii2_0_v6:11:62545908:62556393:-1 gene:B456_011G294800 transcript:KJB74433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLRDHIPKEWSDKAKMNSLRVISLPCPQIVAELPKEMEYSGLSFFLMAHDDSVAIRPDFFRRIESLKVLDLPSYSYLPDSINHLIDLRMLCLRGCLVEDITIIGELKNLEILDLAFSWIKELPKEIAQLTRLRLLDLSECRELKIIPPNVLSSLSKLEELYMEGSFAEWENEGVVDNDRRNASLDELNSLPRLTTLYVHIPDAQMIPKHRFIETLDRYMIFVGDYNVYEWCQKHECLRTLKLKLYTNIDLDNGVKMLLKKTQDLYLDLDGIQGIKNVLEELNNGEDFPYLKRVHVKNGKQVQYITMNKIGFSELRSITLEYLPQLISFCSQDERYSMSSEPLPLFNKQFVSRHLESLQLCSINIKRIWHNQTYPWLSNLTSLFINKCGNLEHLLSPSLANSMVQLQRFQILDCESLREIIFIEKLEEEKIDVICFPRLNFLRIEGLQNLIFFCSRNYNVEFPLLKELEIEACPKLKEFICQTSTKSSIQALFSEKVAVPSLERMTISYLSYVKMIFDNELAPGSFCKLEEITVAFCDELLTIFSSKCLIRVFNCLQMLQVWRCESLEHIFEVRGLNTNKVHAADSQLSPELKHVDLQGQEILTFQNLRQVVLEDCWSLKNLFPVSIAKHLPQLEHLRISRCGVEEIVSAGEGVEEQPVRFKFPKLSSLEVTDLEKLKCFYKGQHAIVWPMLKKMRTDSSTLRKIVPSEHLRLIQETNGNGKPVLLVEEVFPNLEELQVVILGDMDQFPPDLFHNIKLFRLSCSSHGGSSYIFPFLRRFYNLGTLLLSGFDFKDVVHCKGDARTLTRIKNLKLQCSRNLKHVWRKDSVLGYILSNLQTLEVWNCEDFINIGAWSLSFQNLTTLHVSFCKMMKNLVAPSVVENLVQLTTMRVKGCTKMTEIVAHEGDYHQTIVAGKLKCLQLSELQSLTSFCPGSYTFNFPCLEEVVVERCPKLKIFSEGVLSTPQLQRVKQETFDEKGRWTGDLNTTIQQLYTEKGGFNGPRDLNISDTFPKLIETWKRNPQEILELQNLREMEFYKCSSLKYIFTPSMLLSLKQLDRIEVKECNTMEQVIREEEEATIHKLTFPKLSFVKIEACSNLTNFYLGSRPLEFPKFIDITIVDCPKMTAFSSSVSRECGDASENVVGEGDIDNNTANFFSDKVVIPLLMDLKLSSVNIHNIWHYPSSSSLGHLYHLRVEGCHNLKYLFPSSLVKHLVQLKILQIWDCNMMEQVIFTDGLGAEDQWRNQTIFSKLDLLSLKDLPKLTSFCFQNYSEFPCLTNLRLKKCPFLKAFISISVSRDEPRADHHLQASNLGHNSAVLNEKVVFPSLEKLQIQNCDSLEEIIEAQGLIADTSTTQSIVRETTTIRFVFPKLIYLRLNTVPRLKSFCSRMHTTQWPSLKHMEVIECPKAHIFALKCPKSQVEISNQQPLFCVNEDTFPVLEELTLKMNDMMKGICDGQLSLQCFPNLKLLNLHCFPETSTTLPYCFIQSLPKLQKLVINNASISEIVWSEGLSDKGRRTSAFYQLKELRLSKLPQLTLKTFQPSLLSFKKLTTLEVISCHGFINLMACSTAKSLMLLERLSVADCEMIEEIIACEGEEIQGSIIFPKLKYLKLSGLPRLASFSSTHHSLEFPVLQMVMVTKCPKMRKFCQGDLSTSNLQQMHVARDEEDELWWEGDLNTTIKQMFQVMAISGLVKFVTQVLIQQT >KJB70368 pep chromosome:Graimondii2_0_v6:11:6308028:6318803:1 gene:B456_011G069900 transcript:KJB70368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIKKVALWKALLAPTYYYLRNTSILREKMLHNLLLLFVVVITCFRYLILATATATAGVINNKYLQRTLATDENPGFVSIDCGVEDDYFDNSTGIWFKSDTEFISSGENHDTFPEYFLNNEQFGKRYETVRSFPNGMKNCYTLKLEQATNNSFRIRASFAYGNYDRKNKIPKFDLYLGVNYWATVNLTNFEGFFYEIIHVFPADTEYVCLANTGTGTPFISALEIRPSNISTYGNGSSLELINLGLYDLSFYPGQSPGVRSRNEENGIGLGENKSSRIVERLRLYPRLKIKLQARGLSASSSLYLLSRNAS >KJB69778 pep chromosome:Graimondii2_0_v6:11:3108390:3109234:-1 gene:B456_011G041900 transcript:KJB69778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTNPSSIAPKNYKHWVRIGLYVLFGLSGQSIAMLLGRLYYEKGGKSQWLATLMQLAGFPYSHSYYCFSSPTIHPGSRVDLCLHWPTCSNILLSLLDGVVIPSHSSTPNGVSRGKSVIGFICTIVASAGNGLYLSLTHFCFQKVIKRESFYQSLVASSAIMLGLFVTGEWKSLKGEMEEHELGKTSYIMALVWMCILWQGVTIGRCYSDPSCYLSHVYQHYLDDRESKSEHTNINVNNEA >KJB71091 pep chromosome:Graimondii2_0_v6:11:12249228:12251664:-1 gene:B456_011G105500 transcript:KJB71091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYRGASRKDKQKGRHHGLSQQKRQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGAIDFDEFVHMMTAKIGERDTKEELMKAFQIIDQDNNGKISADDVKRIAKELGEHFSEKDIQDMIEEADRDHDGEVSIEEFMRMMKRTTYGY >KJB72893 pep chromosome:Graimondii2_0_v6:11:49135788:49137062:1 gene:B456_011G203000 transcript:KJB72893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDVQVISEQIVKPSSPTDDRLRRYQLSFLDQQTPLLYNAMVYFYQKICNIEANKITIFDRLKHSISNALTCFYPLAGRIMEDQLFVDCNDEGIPFLEARVKCQLLDVLNNPTPKELNKLLPFEFHVSGTDAEHVLLGIQFNVFDCGGIGIGICISHKIGDALSFFSFVNIWASIARGETNLIVPEFKSASLFPPRAIPEAPQLKKEQIVTKRFVFGATKVEEIRRKYGENASQKRPSRVEALSAFIWDRFVTAFGLRSRPDTLCTIIHAVNLRARIDPPLPGSSFGNLYSLAVTIPSMDNNIVTQIRDSFKALNSEYVKKLQDGNDHLKYFRERTNYAKGEILTFGFTSLCRLPMYEADFGWGKPIWASSVDRQIKNVTTFMDTINGDGIEAWIALNEEEMAKFDCDEELLAYVNNPKSLQR >KJB73318 pep chromosome:Graimondii2_0_v6:11:54051659:54053010:1 gene:B456_011G227400 transcript:KJB73318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMKSLILPHSAKTILSSSSATLAMASYSAHCISNASNSIFISLELLNISFPSANIFSLSFCASSTCTWRAASLFSTCFTSDSTSLYLIKLRSNLCVIVNLIDSFSVRKFLSCNAFAARSASSFKLSSMANNSFFCSIVRVVEEQSKLASILNACLSGESFPES >KJB72858 pep chromosome:Graimondii2_0_v6:11:48722807:48723759:-1 gene:B456_011G201100 transcript:KJB72858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELSIWLSTNSQCYKRVNLNAIKRLVEADALKMEIIPNPKVVNGIKVLQLETAVGAAIRFFDHAIGINVPQL >KJB73664 pep chromosome:Graimondii2_0_v6:11:56937565:56941409:1 gene:B456_011G242400 transcript:KJB73664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G08790) UniProtKB/Swiss-Prot;Acc:Q94JV5] MICFPESFSFLGPKSEDSLLVAEALDGPIMQKYCSLAREYSIWLSLGGFQEKGHDAHLRNTHVIVDDAGNIRSTYSKIHLFDVDVPGGSTYRESSFTEPGKDIAAVDSPIGRLGLTICYDLRFPEIYQQLRFNHDAQVILVPSAFTPVTGQAHWEILLRARAIETQCYVIASAQAGKHNKTRESYGDTLIIDPWGTVVGRLPDRLSTGITVADIDLSLIDSVRKKMPIAEQRKPFDFWRPASL >KJB73665 pep chromosome:Graimondii2_0_v6:11:56937565:56941409:1 gene:B456_011G242400 transcript:KJB73665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G08790) UniProtKB/Swiss-Prot;Acc:Q94JV5] MLNCCLHFCLNGARLPRPLRSSHVNSYSNFSAVRSSADSAMAALNSVRVAAVQMTSVNDLASNFSTCSHLVKEAASAGAKMICFPESFSFLGPKSEDSLLVAEALDGPIMQKYCSLAREYSIWLSLGGFQEKGHDAHLRNTHVIVDDAGNIRSTYSKIHLFDVDVPGGSTYRESSFTEPGKDIAAVDSPIGRLGLTICYDLRFPEIYQQLRFNHDAQVILVPSAFTPVTGQAHWEILLRARAIETQCYVWNPFPFSIILFLKSVSLY >KJB73663 pep chromosome:Graimondii2_0_v6:11:56937547:56941461:1 gene:B456_011G242400 transcript:KJB73663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G08790) UniProtKB/Swiss-Prot;Acc:Q94JV5] MLNCCLHFCLNGARLPRPLRSSHVNSYSNFSAVRSSADSAMAALNSVRVAAVQMTSVNDLASNFSTCSHLVKEAASAGAKMICFPESFSFLGPKSEDSLLVAEALDGPIMQKYCSLAREYSIWLSLGGFQEKGHDAHLRNTHVIVDDAGNIRSTYSKIHLFDVDVPGGSTYRESSFTEPGKDIAAVDSPIGRLGLTICYDLRFPEIYQQLRFNHDAQVILVPSAFTPVTGQAHWEILLRARAIETQCYVIASAQAGKHNKTRESYGDTLIIDPWGTVVGRLPDRLSTGITVADIDLSLIDSVRKKMPIAEQRKPFDFWRPASL >KJB71650 pep chromosome:Graimondii2_0_v6:11:20497050:20499828:-1 gene:B456_011G135500 transcript:KJB71650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQILMDVNPDSKLHCAPKAAVEKEKPTPFDSLDGKSSRCMNNDNMEGLLQKQKAPKNSEDIEVDIIGCTNNNDIRTVKIEDPDVTECSSSFADTTSDAEKCSGLSDAEVETQFLGDAAFGSAYEPFSSVFHMRKKRLTSHWRSFIRPLMWRCKWAELRIKQIESQAVKYSREISAYEQSKFSRIDQSALEGFGSKSLPFCSQYNRRKAIKRRRRKRIEETTDIASYMSCHNLFSYFENRKTIPDGAYIADDFPNTANMDQDTERNDKFDVNNDQLLFEFRDENNSLEQVLRKIEIVHAKVQKLRSQLDQVMSKNASKFSSSENLSLLAACDAQTSSAPSPTFSAGNGDTISVGPAYNTTQQISEYVGDLVMPASAVSSYGEAFHVPDIIESTVGLLSSAEVTCHQPQLVDSCEDIVENVLIQNEGNAGDKQVLTTTNSRSTKQQHQTEKVEEVESTNPSRILTSEPNHATKNVVSQEQSTLRQCLAADICFPKSKRKRGERKAGSGGWSRKQSGEPDNSQ >KJB71652 pep chromosome:Graimondii2_0_v6:11:20497194:20500896:-1 gene:B456_011G135500 transcript:KJB71652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDNMEGLLQKQKAPKNSEDIEVDIIGCTNNNDIRTVKIEDPDVTECSSSFADTTSDAEKCSGLSDAEVETQFLGDAAFGSAYEPFSSVFHMRKKRLTSHWRSFIRPLMWRCKWAELRIKQIESQAVKYSREISAYEQSKFSRIDQSALEGFGSKSLPFCSQYNRRKAIKRRRRKRIEETTDIASYMSCHNLFSYFENRKTIPDGAYIADDFPNTANMDQDTERNDKFDVNNDQLLFEFRDENNSLEQVLRKIEIVHAKVQKLRSQLDQVMSKNASKFSSSENLSLLAACDAQTSSAPSPTFSAGNGDTISVGPAYNTTQQISEYVGDLVMPASAVSSYGEAFHVPDIIESTVGLLSSAEVTCHQPQLVDSCEDIVENVLIQNEGNAGDKQVLTTTNSRSTKQQHQTEKVEEVESTNPSRILTSEPNHATKNVVSQEQSTLRQCLAADICFPKSKRKRGERKAGSGGWSRKQSGEPDNSQ >KJB71651 pep chromosome:Graimondii2_0_v6:11:20497194:20499824:-1 gene:B456_011G135500 transcript:KJB71651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRKKRLTSHWRSFIRPLMWRCKWAELRIKQIESQAVKYSREISAYEQSKFSRIDQSALEGFGSKSLPFCSQYNRRKAIKRRRRKRIEETTDIASYMSCHNLFSYFENRKTIPDGAYIADDFPNTANMDQDTERNDKFDVNNDQLLFEFRDENNSLEQVLRKIEIVHAKVQKLRSQLDQVMSKNASKFSSSENLSLLAACDAQTSSAPSPTFSAGNGDTISVGPAYNTTQQISEYVGDLVMPASAVSSYGEAFHVPDIIESTVGLLSSAEVTCHQPQLVDSCEDIVENVLIQNEGNAGDKQVLTTTNSRSTKQQHQTEKVEEVESTNPSRILTSEPNHATKNVVSQEQSTLRQCLAADICFPKSKRKRGERKAGSGGWSRKQSGEPDNSQ >KJB70797 pep chromosome:Graimondii2_0_v6:11:10986069:10987780:1 gene:B456_011G099100 transcript:KJB70797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAKVSFKTYWEMEKDERISIHSRNHETRSLQSSPLAQLSTWNGQTNVKTARDPTQAKPNPHSITLKPPTQVTSSSYQARRPIRRERAIYTISPF >KJB69231 pep chromosome:Graimondii2_0_v6:11:850123:855615:1 gene:B456_011G011900 transcript:KJB69231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKALAILMRARMRPNHLTNFALSPISNELNQILSNSHGNRSGENGEHHAMEHGKEKLNHQRSFEVVRESMHSVISMNKTEVGDSVLNEFLEGYCSLSFENRRKLLLTLAKEYDLNRAQVRELIKQYLGLQPPGSEAQSGGVADEGFLSTFYRIERNLRHSLKPVYETLFERLNTHPEGLKFLTIIRADILSILTEGNIASLRALDSYLKEKLTTWLSPAALELHQITWDDPASLLEKIVFYEAVHPISNLIDLKRRLGVGRRCFGYFHSAIPREPLIFIEVALLKNIAETIQEVLWDNPPIAESEATCALFYSISSTQPGLAGINLGKFLIKRVITLVKIDMPHISVFATLSPIPGFMQWLLSKLASQSKLAKAEDISRSPADRSGLTFYENILEPEEERALIDSSGDLASGKSGMEIMLSLLTPTTHEWTNSDKLLSALKPPLMRLCARYLLQEKKRGKALDSVANFHLQNGAMVQRINWMADQSEKGLNQSAGIMVNYVYRPENIEEYAQLYFSKGHIHSSYDVKRYIQPVLENESKDSLL >KJB70418 pep chromosome:Graimondii2_0_v6:11:6830613:6833844:1 gene:B456_011G072600 transcript:KJB70418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVVHRTPKSGRQSLFFQDLASPISARKGNFSSPGQAAAVSALWRDNFRGSDLPPPPMYTLEDRVDFSPESGALDYPISSEIKSDPRTPVRSSGRDFSTPATNKSRASTSFAVLNGQSNQQSPGSSSWWSPKASSSEQDDKGKGSPVEGMAQPGALITLPPPRAVARPEIQRNSVPTANLDEEEWVTVYGFSPADTNLVLREFEKCGVILKHVPGPRDANWIHILYSKRSEAQRALGRNGMQINGVLMVGVEPVDQMQREALNERLNHQGFMTLTPASSRTSECNNFRPPRPYYLQNGNANARQSEGVMASPTKSLGNKVMEYLFGS >KJB70420 pep chromosome:Graimondii2_0_v6:11:6830613:6833844:1 gene:B456_011G072600 transcript:KJB70420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVVHRTPKSGRQSLFFQDLASPISARKGNFSSPGQAAAVSALWRDNFRGSDLPPPPMYTLEDRVDFSPESGALDYPISSEIKSDPRTPVRSSGRDFSTPATNKSRASTSFAVLNGQSNQQSPGSSSWWSPKASSSEQDDKGKGSPVEGMAQPGALITLPPPRAVARPEIQRNSVPTANLDEEEWVTVYGFSPADTNLVLREFEKCGVILKHVPGPRDANWIHILYSKRSEAQRALGRNGMQINGVLMVGVEPVDQMQREALNERLNHQGFMTLTPASSRTSECNNFRPPRPYYLQNGNANARQSEGVMASPTKSLGNKVMEYLFGS >KJB70419 pep chromosome:Graimondii2_0_v6:11:6830808:6833787:1 gene:B456_011G072600 transcript:KJB70419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVVHRTPKSGRQSLFFQDLASPISARKGNFSSPGQAAAVSALWRDNFRGSDLPPPPMYTLEDRVDFSPESGALDYPISSEIKSDPRTPVRSSGRDFSTPATNKSRASTSFAVLNGQSNQQSPGSSSWWSPKASSSEQDDKGKGSPVEGMAQPGALITLPPPRAVARPEIQRNSVPTANLDEEEWVTVYGFSPADTNLVLREFEKCGVILKHVPGPRDANWIHILYSKRSEAQRALGRNGMQINGVLMVGVEPVDQMQREALNERLNHQGFMTLTPASSRTSECNNFRPPRPYYLQNGNANARQSEGVMASPTKSLGNKVMEYLFGS >KJB70560 pep chromosome:Graimondii2_0_v6:11:7953653:7958520:-1 gene:B456_011G079600 transcript:KJB70560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVLQTRGLLSLPPHPTRVRSLLNPSQGLKHRLFASKPLGLNGLSLSYKRVPSVVAKPNGLSPKEKSFICKAEAAAAADGQPLFGETPTPKFLGIELVTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLANVLSKKALFYTVILPFIAFFGAFGFLLYPLSNHIHPEALADKLLAVLGPRFLGPLAIMRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKRFYPLFGLGANIALIFSGRTVKYFSNLRQNLGPGVDGWAISLKGMMSIVVLMGLAICFLYWWVNKFVPLPTRSKKKKEKPKMGTMESLKFLVSSKYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATVGSRILLLFIQRILAKNVNARLYYKSSISLDVELSVCLVIVLFRIAFGSTPIETLF >KJB70561 pep chromosome:Graimondii2_0_v6:11:7954689:7958226:-1 gene:B456_011G079600 transcript:KJB70561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVLQTRGLLSLPPHPTRVRSLLNPSQGLKHRLFASKPLGLNGLSLSYKRVPSVVAKPNGLSPKEKSFICKAEAAAAADGQPLFGETPTPKFLGIELVTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLANVLSKKALFYTVILPFIAFFGAFGFLLYPLSNHIHPEALADKLLAVLGPRFLGPLAIMRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKRFYPLFGLGANIALIFSGRTVKYFSNLRQNLGPGVDGWAISLKGMMSIVVLMGLAICFLYWWVNKFVPLPTRSKKKKEKPKMGTMESLKFLVSSKYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQFIFDKYGWGVAAGITPTVLLLSGVGFFSLILFGGPLGPTLAKFGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVWNLCSQFEVLISYATSGH >KJB70559 pep chromosome:Graimondii2_0_v6:11:7954331:7958559:-1 gene:B456_011G079600 transcript:KJB70559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVLQTRGLLSLPPHPTRVRSLLNPSQGLKHRLFASKPLGLNGLSLSYKRVPSVVAKPNGLSPKEKSFICKAEAAAAADGQPLFGETPTPKFLGIELVTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLANVLSKKALFYTVILPFIAFFGAFGFLLYPLSNHIHPEALADKLLAVLGPRFLGPLAIMRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKRFYPLFGLGANIALIFSGRTVKYFSNLRQNLGPGVDGWAISLKGMMSIVVLMGLAICFLYWWVNKFVPLPTRSKKKKEKPKMGTMESLKFLVSSKYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQFIFDKYGWGVAAGITPTVLLLSGVGFFSLILFGGPLGPTLAKFGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLSAAKSLDSQFTELRREEELEKEMERAAVKIPVMSESGNGSLASGSAETSETSTPSNI >KJB70562 pep chromosome:Graimondii2_0_v6:11:7954322:7958375:-1 gene:B456_011G079600 transcript:KJB70562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVLQTRGLLSLPPHPTRVRSLLNPSQGLKHRLFASKPLGLNGLSLSYKRVPSVVAKPNGLSPKEKSFICKAEAAAAADGQPLFGETPTPKFLGIELVTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLANVLSKKALFYTVILPFIAFFGAFGFLLYPLSNHIHPEALADKLLAVLGPRFLGPLAIMRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKRFYPLFGLGANIALIFSGRTVKYFSNLRQNLGPGVDGWAISLKGMMSIVVLMGLAICFLYWWVNKFVPLPTRSKKKKEKPKMGTMESLKFLVSSKYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQFIFDKYGWGVAAGITPTVLLLSGVGFFSLILFGGPLGPTLAKFGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLSAAKSLDSQFTELRREEELEKEMERAAVKIPVMSESGNGSLASGSAETSETSTPSNI >KJB72256 pep chromosome:Graimondii2_0_v6:11:33379182:33382655:1 gene:B456_011G167000 transcript:KJB72256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSLIQQAKKLLVLALLFFFFLFVSSKVVFCSNPNLLAFCCVLDFPFPLLLAVSIGFITKEMQSGRPQSVVSPIPQRIHSFQAADTRGKHRIQAELKRLEQEARFLEEELELIERMEKASAACKEMFSNVESRPDPLLPMYVSTHIHEYVRACVHAHILSFRIFLTIPKNCFHQFLTAQMAL >KJB72255 pep chromosome:Graimondii2_0_v6:11:33379182:33382655:1 gene:B456_011G167000 transcript:KJB72255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSLIQQAKKLLVLALLFFFFLFVSSKVVFCSNPNLLAFCCVLDFPFPLLLAVSIGFITKEMQSGRPQSVVSPIPQRIHSFQAADTRGKHRIQAELKRLEQEARFLEEELELIERMEKASAACKEMFSNVESRPDPLLPITNGPINPMWDQWFEGPQEAQGCKCWIL >KJB69221 pep chromosome:Graimondii2_0_v6:11:812204:816517:1 gene:B456_011G011400 transcript:KJB69221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEIIISKPDMNRFNEMSEPYKKVLANDVHGLEAIYSNNPDALLNPITARKDTIFHIAVYGGREEVLHVLLRKVPQSKKAEVLKVKNIYGNTILHEVATTTHVKAARLLMEEVLSVLPANDNWKREEILGAQNKLGETPLFMAAEYSSKTMVEYLATAIERTGNLESHYRRNDGTSILHIAVIGQKFDTAIWFLGKSPELATYKDKNGNTSLHLLASMASAFKSSSPTKGIFKEFIYYCLPSDSRQKEGTNELTKNRQNRDLEQGEQNRGLNQQDYCKGWKMIVQIWKQKKMHESAVKLAKLLAETDASWFEPHEPEEDDTIIMERKEEEKEETSESNATANTERSPEPDTPLLIATKTGIVEIVNEILTRYPQAVYQFGKNGQNILHVAIMHRQYKVFNVVKNKEEAKRLARGIDNHGCTILHHAANTKYYHGGTKPTPALKLQQELTWFEDVKNQMPSHFFMHLNRKDTTADNLFKDNHRDLLKTAQEWVKNTSQSCSTVAILVATVVFTAAYTAPGGFLQNGRPILLDEPLYSFFTVMDVAGLASSLTSVVIFLSILTSSLEFEDFHHRLPRNLSLGFTFLFFSVTSTMMTFTATILLLVHLEKKWTATLTYAAAFLPICIFAMFQFPLYYQYFVAAVKSILDFLRSNLPGNWDFLQIIDDY >KJB73192 pep chromosome:Graimondii2_0_v6:11:52964971:52966277:1 gene:B456_011G2207001 transcript:KJB73192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISKFSWAAECLRLRSIKVESFEIGDCKELCSSGDNNWGLLTQSISPQDLIIEKCPQLVSIATEEEREELMQLKIPSSIVNMRIENCERLEKLSTTLYSLALLMKLELYGCSKLISIARSNLPSNLKVLGIRSCKNLQCLLLDEGEDVDSNNACVLQELGISDCESLKRINRSVLPSTLKVLLINECPKLESISQEIQDNSSLESIEIHVCDMRKGLPQGLNKLKHCKSLFIANSSNLISLAESGLPTTSLELLSLWYCRRLQALPGNMHSLNALKGLAIWNCPNVESILEEGIPSNLTSLTIGGPNIWKAKLERDLHTLTCLKSLSISNGCPDAVSFPQDEIGVTLPSSLTNLEISDFPKLESLSSNGFRNLTSLQCLTIANCPNLKTLPGNNMLSSLLELEIRRCPMMEERCKRDKGPEWSKITHIPRVAFWK >KJB73690 pep chromosome:Graimondii2_0_v6:11:57050630:57052562:-1 gene:B456_011G243900 transcript:KJB73690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGCLANPCESVEKLSDSFIQLTTNNDTLLKPKYSSSLPANVPVLLPSSTTQRGHYVYNHGRPISTISIVAMLHKFNLEQVEDLEEEVVNVGMNEGLELLKSPLKSKTVLTDLFLTQHKRPGRSVVNDGKCLMHR >KJB69559 pep chromosome:Graimondii2_0_v6:11:2367435:2371527:1 gene:B456_011G031600 transcript:KJB69559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Negative regulator of systemic acquired resistance SNI1 [Source:Projected from Arabidopsis thaliana (AT4G18470) UniProtKB/Swiss-Prot;Acc:Q9SWA6] MPKMTVRTLSGMDFLEAVRIASIVPENGTPPTKKMVEAVFQILRAGKSLELIMSSYELLNEIEKRFPRIYVSESSGNGSPELVVIEEAWLPFMVSLDVMSSEKETNRRKLRGLFDPNGFHELITGLAEMAYKTNSQRLDTKFLGNMLLFQYLVNVLEGDFLARISMYKESMNWNFLRECLINMLLASKRVNYRVLMKECLHTICGLYQDYAGIRNEPDSSDEHSSENHNTDVAIALLEVQRTTCMAMQKLIIMIMEIDMSKQQANMLGLTTRSDGLRIPLIEIILDELTYYRKILPRFLQIFNDPKWKLEIIVQYLLKYTAKPVRTRRSNGPSEDSTFLGVLKSFSDSSSVRSIIKKLNVEVIQLLLAHAFLAYMSLTSQQQLPGMPGCNEAVIDSLSLVEISKNVAAAFNSLREADKKIQISSLGKEALFTATMIISTS >KJB69558 pep chromosome:Graimondii2_0_v6:11:2367140:2371792:1 gene:B456_011G031600 transcript:KJB69558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Negative regulator of systemic acquired resistance SNI1 [Source:Projected from Arabidopsis thaliana (AT4G18470) UniProtKB/Swiss-Prot;Acc:Q9SWA6] MEKRETINNCGNVKGRMNRGCIEANTLAIIDSAEIHKDSQDAKDDRMDFLEAVRIASIVPENGTPPTKKMVEAVFQILRAGKSLELIMSSYELLNEIEKRFPRIYVSESSGNGSPELVVIEEAWLPFMVSLDVMSSEKETNRRKLRGLFDPNGFHELITGLAEMAYKTNSQRLDTKFLGNMLLFQYLVNVLEGDFLARISMYKESMNWNFLRECLINMLLASKRVNYRVLMKECLHTICGLYQDYAGIRNEPDSSDEHSSENHNTDVAIALLEVQRTTCMAMQKLIIMIMEIDMSKQQANMLGLTTRSDGLRIPLIEIILDELTYYRKILPRFLQIFNDPKWKLEIIVQYLLKYTAKPVRTRRSNGPSEDSTFLGVLKSFSDSSSVRSIIKKLNVEVIQLLLAHAFLAYMSLTSQQQLPGMPGCNEAVIDSLSLVEISKNVAAAFNSLREADKKIQISSLGKEALFTATMIISTS >KJB69560 pep chromosome:Graimondii2_0_v6:11:2367286:2371744:1 gene:B456_011G031600 transcript:KJB69560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Negative regulator of systemic acquired resistance SNI1 [Source:Projected from Arabidopsis thaliana (AT4G18470) UniProtKB/Swiss-Prot;Acc:Q9SWA6] MEKRETINNCGNVKGRMNRGCIEANTLAIIDSAEIHKDSQDAKDDRMDFLEAVRIASIVPENGTPPTKKMVEAVFQILRAGKSLELIMSSYELLNEIEKRFPRIYVSESSGNGSPELVVIEEAWLPFMVSLDVMSSEKETNRRKLRGLFDPNGFHELITGLAEMAYKTNSQRLDTKFLGNMLLFQYLVNVLEGDFLARISMYKESMNWNFLRECLINMLLASKRVNYRVLMKECLHTICGLYQDYAGIRNEPDSSDEHSSENHNTDVAIALLEVQRTTCMAMQKLIIMIMEIDMSKQQANMLGLTTRSDGLRIPLIEIILDELTYYRKILPRFLQIFNDPKWKLEIIVQYLLKYTAKPVRTRRSNGPSEDSTFLGVLKSFSDSSSAYMSLTSQQQLPGMPGCNEAVIDSLSLVEISKNVAAAFNSLREADKKIQISSLGKEALFTATMIISTS >KJB73296 pep chromosome:Graimondii2_0_v6:11:53770726:53778270:1 gene:B456_011G226100 transcript:KJB73296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Myb-like DNA-binding domain, SHAQKYF class family protein, expressed [Source: Projected from Oryza sativa (Os03g0654600)] MATATSSSTCQFLTHFGSPRPHPIIFSPISKQTHFQPYRIIANGSLRNNYYSPTPTISSNSPLLVKAQASINGEREPMVPPYNVLITGSSKGIGYALAKKFLIAGDNVIICSRSAERVESAVNNLREEYGEQRVWGTQCDVREAESVKNLVLFAQKNLGYIDIWINNAGSNAYSYKPLAEASDEDLIEVVSTNTLGLMICCREAIKMMLQQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMQDVKNVVVHNLSPGMVTTDLLMSGATTKQAKFFINVLAEPAEVVRLRVADYLVPNIRSIPASGSWKPTYIRFLTGLKAYSQIFSRLAFGARRNRYVLED >KJB73294 pep chromosome:Graimondii2_0_v6:11:53770643:53778292:1 gene:B456_011G226100 transcript:KJB73294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Myb-like DNA-binding domain, SHAQKYF class family protein, expressed [Source: Projected from Oryza sativa (Os03g0654600)] MATATSSSTCQFLTHFGSPRPHPIIFSPISKQTHFQPYRIIANGSLRNNYYSPTPTISSNSPLLVKAQASINGEREPMVPPYNVLITGSSKGIGYALAKKFLIAGDNVIICSRSAERVESAVNNLREEYGEQRVWGTQCDVREAESVKNLVLFAQKNLGYIDIWINNAGSNAYSYKPLAEASDEDLIEVVSTNTLGLMICCREAIKMMLQQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMQDVKNVVVHNLSPGMVTTDLLMSGATTKQAKFFINVLAEPAEVVADYLVPNIRSIPASGSWKPTYIRFLTGLKAYSQIFSRLAFGARRNRYVLED >KJB73295 pep chromosome:Graimondii2_0_v6:11:53770726:53777584:1 gene:B456_011G226100 transcript:KJB73295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Myb-like DNA-binding domain, SHAQKYF class family protein, expressed [Source: Projected from Oryza sativa (Os03g0654600)] MATATSSSTCQFLTHFGSPRPHPIIFSPISKQTHFQPYRIIANGSLRNNYYSPTPTISSNSPLLVKAQASINGEREPMVPPYNVLITGSSKGIGYALAKKFLIAGDNVIICSRSAERVESAVNNLREEYGEQRVWGTQCDVREAESVKNLVLFAQKNLGYIDIWINNAGSNAYSYKPLAEASDEDLIEVVSTNTLGLMICCREAIKMMLQQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMQDVKNVVVHNLSPGMVTTDLLMSGATTKQAKFFINVLAEPAEVVRLRVVIYKLNLWFFIYSFLVLTCRDYT >KJB74345 pep chromosome:Graimondii2_0_v6:11:62104585:62105971:1 gene:B456_011G289500 transcript:KJB74345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGITSARDAALAAEAGANFIGMILWPKSKRSISLSAAKEISKVASEYRAEPVGVFADDDLDTILRASDSSDLEFVQLVQENRIIYVLHANEDGDLQNQISDEDCSLVDWVLVDSAKGGNGKGFNWAQFKLPSITSKHGWLLAGGINPNKGCEAINTLKPHGVDVSSGICAPDGIQKDRSRIYSFMNGVRSAPY >KJB74346 pep chromosome:Graimondii2_0_v6:11:62104625:62105971:1 gene:B456_011G289500 transcript:KJB74346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGITSARDAALAAEAGANFIGMILWPKSKRSISLSAAKEISKVASEYRAEPVGVFADDDLDTILRASDSSDLEFVQLVQENRIIYVLHANEDGDLQNQISDEDCSLVDWVLVDSAKGGNGKGFNWAQFKLPSITSKHGWLLAGGINPNKGCEAINTLKPHGVDVSSGICAPDGIQKDRSRIYSFMNGVRSAPY >KJB74344 pep chromosome:Graimondii2_0_v6:11:62102964:62105971:1 gene:B456_011G289500 transcript:KJB74344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLTAGSQFQSKVLNFHSSQITGRNGGKLKNIVRCNIAQSDQDFSLIKGDEKNHPLVKMCGITSARDAALAAEAGANFIGMILWPKSKRSISLSAAKEISKVASEYRAEPVGVFADDDLDTILRASDSSDLEFVQLVQENRIIYVLHANEDGDLQNQISDEDCSLVDWVLVDSAKGGNGKGFNWAQFKLPSITSKHGWLLAGGINPNKGCEAINTLKPHGVDVSSGICAPDGIQKDRSRIYSFMNGVRSAPY >KJB69531 pep chromosome:Graimondii2_0_v6:11:2102324:2104556:1 gene:B456_011G028500 transcript:KJB69531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVFEVGAVPFNPDGWGPPDSAAAASITTTLPLHVPFAPFSRSDKLGRIADFTRSFPSSNANPSNRPSSRHGAGNPADSPFDFSLDVDAFPLANPEDDSSFRLVDAKPPPRPKFGPKWRFNQNRPQLPQRRDEEVEARKREAEKERARRDRLYNLNRSNQNQQRREAAIFKSSVDIQPEWNMLDQIPFSTFSKLSFSVPEPEDLLICGSLEYYDRSFDRITPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILSTLMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAYSLSVEAAYINQNFSQQVLVRDGNKVSFDEPNPFANEGDEVASVAYRYRRWKLDNGMHLVARCEVQSVVDVNNQKSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQAILANADLMKLGYVSRVHPRDHFNHVILGVVGYKPRDFAAQINLNTANMWGIVKSIVDLCMKLNEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLPEEEQVQPPTEDPEDGEANGTTNDVEDKEIEAQN >KJB70593 pep chromosome:Graimondii2_0_v6:11:8295364:8298176:-1 gene:B456_011G082100 transcript:KJB70593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERCTVSGMIVTSALIIWKGLMCVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNIDGREIPIVHRVIKVHERQDTGEVDVLTKGDNNYGDDRLLYAQGQQYLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >KJB70594 pep chromosome:Graimondii2_0_v6:11:8295956:8298472:-1 gene:B456_011G082100 transcript:KJB70594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIGDTVDSIKSIQIRQLLTQVVSLGMIVTSALIIWKGLMCVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNIDGREIPIVHRVIKVHERQDTGEVDVLTKGDNNYGDDRLLYAQGQQYLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKVRFPCDMDCLN >KJB70595 pep chromosome:Graimondii2_0_v6:11:8295364:8298508:-1 gene:B456_011G082100 transcript:KJB70595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIGDTVDSIKSIQIRQLLTQVVSLGMIVTSALIIWKGLMCVTGSESPVVVVLSGSMEPGFKRGREIPIVHRVIKVHERQDTGEVDVLTKGDNNYGDDRLLYAQGQQYLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >KJB70596 pep chromosome:Graimondii2_0_v6:11:8295364:8298631:-1 gene:B456_011G082100 transcript:KJB70596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIGDTVDSIKSIQIRQLLTQVVSLGMIVTSALIIWKGLMCVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNIDGREIPIVHRVIKVHERQDTGEVDVLTKGDNNYGDDRLLYAQGQQYLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >KJB73698 pep chromosome:Graimondii2_0_v6:11:57085049:57087233:1 gene:B456_011G244400 transcript:KJB73698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQHFSHQHPLVFIETHVHEIEKVNCSGCGELVSGSSFGCVECGFYLHKQCAEAPVEMDHPFHRNHNLNLLARNPYKTGMGTCDFCRKPCENFVYHCSCNLNFHIKCALFSHSIAEKRNAEFQDIPRIDPSINTGNVTEELKKAECFACWKPLLDSVYFSPNCGFYLHAKCVDLPAEINHLFHQEHPLFLIFNSQRLSCKICQKPQRRGFVYCCSLCKFALHIQCATIPTKINRPFHRKHPLILQSVDECLPCQICQETTNLNDVVYFCSICKFVLHIRCVSSPPIIEDKLHHEHPFTLLPRQVSFCDACGTLGNYVPYLCSTCGIFVHKNCISVPRIIKFYRHQHRIAHTYFLDQNESESWECRFCLEEVKKEHGGYFCSKCNYIVHVKCATKNSRWYYEVDSTEPEEETDSDEPVDLREIHPHNLILSGDIRDFKQCDGCLLPIDTSYRYCSQCDFFLHKACAALRAKKHVWFHYCQKLVKLTSGCIFKCTVCYNETSGFAYTCGKCGESFCLRCSLLSDMPKCEGHEHRLLPFISKNKELCRGCGKSAHKLFTCKICNFNLDRTCFKLPLTAQHSSDVHPFKLTYHENDENYSEGHYCDICEEERNPKYWFYHCSACNTSAHPKCVLKEYPLIKPGTIYKEEDHPHHLTFVKRVEFYPECHRCGKHCLDLSLQCKKVGCSYIIHWKCRVDNIY >KJB72650 pep chromosome:Graimondii2_0_v6:11:45385916:45389341:-1 gene:B456_011G189700 transcript:KJB72650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGENFINSEREIKVSFGYQCNSPRGIPCSAANGREIWSGSEMPRTGSFSCLSGAALSANATLANTNICNGVIGGEILPSLDSPKSFRRVPSSPSLPRLDILSSSLQSSISNLSCSSPSPVDSPETDSFLLKPMSAPSRTDSFLNAMEVQMAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYETIIFYFTLLDRESKQNVPTGNGCFEYFHDDSLVGNKAKPTSRIDGKNYASAESLMKKTADCKMEVPCDSFRHGVLDSLQHALSQAENDFLYMVEQEMEDRPDLVSIGSCVLVVFLHGNDMYTLNLGDSRAVLATYDDANDMGRTEKLKAIQLTDIHTVDNEVERTRLLHEHPDDSMPVVAGKVKGKLKVTRAFGVGYLKKQ >KJB72648 pep chromosome:Graimondii2_0_v6:11:45385199:45389509:-1 gene:B456_011G189700 transcript:KJB72648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGENFINSEREIKVSFGYQCNSPRGIPCSAANGREIWSGSEMPRTGSFSCLSGAALSANATLANTNICNGVIGGEILPSLDSPKSFRRVPSSPSLPRLDILSSSLQSSISNLSCSSPSPVDSPETDSFLLKPMSAPSRTDSFLNAMEVQMAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYETIIFYFTLLDRESKQNVPTGNGCFEYFHDDSLVGNKAKPTSRIDGKNYASAESLMKKTADCKMEVPCDSFRHGVLDSLQHALSQAENDFLYMVEQEMEDRPDLVSIGSCVLVVFLHGNDMYTLNLGDSRAVLATYDDANDMGRTEKLKAIQLTDIHTVDNEVERTRLLHEHPDDSMPVVAGKVKGKLKVTRAFGVGYLKKKKMNDAVMGIIRVHNLISPPYISTEPSLNVHTISRSDHFVIIGSDGLFDFFSNDEAVKLVLSYISSNPYGDPAKFLLEQLVIKAAHSAGFSKEELMNIPAGRRRKYHDDVTVMVIILGTNHRTSKASTCGSWLLGCWSVRGGVGRTVAFGSVFFLVGQLVRHMVAQGGQ >KJB72649 pep chromosome:Graimondii2_0_v6:11:45385854:45389509:-1 gene:B456_011G189700 transcript:KJB72649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGENFINSEREIKVSFGYQCNSPRGIPCSAANGREIWSGSEMPRTGSFSCLSGAALSANATLANTNICNGVIGGEILPSLDSPKSFRRVPSSPSLPRLDILSSSLQSSISNLSCSSPSPVDSPETDSFLLKPMSAPSRTDSFLNAMEVQMAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYETIIFYFTLLDRESKQNVPTGNGCFEYFHDDSLVGNKAKPTSRIDGKNYASAESLMKKTADCKMEVPCDSFRHGVLDSLQHALSQAENDFLYMVEQEMEDRPDLVSIGSCVLVVFLHGNDMYTLNLGDSRAVLATYDDANDMGRTEKLKAIQLTDIHTVDNEVERTRLLHEHPDDSMPVVAGKVKGKLKVTRAFGVGYLKKKKMNDAVMGIIRVHNLISPPYISTEPSLNVHTISRSDHFVIIGSDGLFDFFSNDEAVKLVLSYISSNPYGDPAKFLLEQLVIKAAHSAGFSKEELMNIPAGRRRKYHDDVTVMVIILGTNHRTSKASTCV >KJB69735 pep chromosome:Graimondii2_0_v6:11:2959298:2964055:1 gene:B456_011G039600 transcript:KJB69735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTDSVMQSAVITPPSTTPNTRTLPVREDCWSEEATSTLVDAWGRRYVELNRGNLRQKDWQDVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKIEKTRVSSSNGTLTSSWPFFERLDALIGSNFAAKKLSTSPKISPKPSPRLSPRIPGSPPVALPLLLPYRRTPPAPTAIVALPQKRPAVDDGYFKRNYSGRDEEIGKSSRKVWSSV >KJB69736 pep chromosome:Graimondii2_0_v6:11:2958973:2962895:1 gene:B456_011G039600 transcript:KJB69736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTDSVMQSAVITPPSTTPNTRTLPVREDCWSEEATSTLVDAWGRRYVELNRGNLRQKDWQDVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKIEKTRVSSSNGTLTSSWPFFERLDALIGSNFAAKKLSTSPKISPKPSPRLSPRIPGSPPVALPLLLPYRRTPPAPTAIVALPQKRPAVDDGYFKRNYSAVAAAAAAAAAESDEEEGEESEGEESEGEGEEREGMKRLARALERFGQVYERVEGEKLRQMVELEKQRMQFAKDLEVQRMRMFMDTQVQLEKIKRGKRSSGSSDIYS >KJB69734 pep chromosome:Graimondii2_0_v6:11:2959298:2964055:1 gene:B456_011G039600 transcript:KJB69734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTDSVMQSAVITPPSTTPNTRTLPVREDCWSEEATSTLVDAWGRRYVELNRGNLRQKDWQDVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKIEKTRVSSSNGTLTSSWPFFERLDALIGSNFAAKKLSTSPKISPKPSPRLSPRIPGSPPVALPLLLPYRRTPPAPTAIVALPQKRPAVDDGYFKRNYSAVAAAAAAAAAESDEEEGEESEGEESEGEGEEREGMKRLARALERFGQVYERVEGEKLRQMVELEKQRMQFAKDLEVQRMRMFMDTQVQLEKIKRGKRSSGSSDIYS >KJB69716 pep chromosome:Graimondii2_0_v6:11:3089411:3090521:1 gene:B456_011G041600 transcript:KJB69716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTMALSSPSFAGKAVKFSPSTPEIRGTGRVSMRKTTKPVPSGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEVTDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >KJB74363 pep chromosome:Graimondii2_0_v6:11:62167195:62171483:-1 gene:B456_011G290400 transcript:KJB74363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPLSSSTSSSSGILRRSRLSTYLYTLLAFIVFVAVIHGEYSIANFGRVDPIIPSSVISTTPVKKREKVVVQLPSFAVEESEEGCDIFSGKWVKDELTRPHYGESECPYIQPQLTCRAHGRPDTEYQKWRWQPHGCDIPRFNATLMLESLRGKRMMFVGDSLNRGQYVSMVCLLHRLIPEDKKSMETYNNDALTVFRVKDYNATIEFYWAPFLLESNSDNAVVHRISDRIVRKGSMNKHGRHWKGVDILVFNTYLWWVTGQDMKILYGHSRMLRKK >KJB74360 pep chromosome:Graimondii2_0_v6:11:62166815:62171483:-1 gene:B456_011G290400 transcript:KJB74360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPLSSSTSSSSGILRRSRLSTYLYTLLAFIVFVAVIHGEYSIANFGRVDPIIPSSVISTTPVKKREKVVVQLPSFAVEESEEGCDIFSGKWVKDELTRPHYGESECPYIQPQLTCRAHGRPDTEYQKWRWQPHGCDIPRFNATLMLESLRGKRMMFVGDSLNRGQYVSMVCLLHRLIPEDKKSMETYNNDALTVFRVKCRTTMPP >KJB74361 pep chromosome:Graimondii2_0_v6:11:62166815:62171483:-1 gene:B456_011G290400 transcript:KJB74361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRFNATLMLESLRGKRMMFVGDSLNRGQYVSMVCLLHRLIPEDKKSMETYNNDALTVFRVKDYNATIEFYWAPFLLESNSDNAVVHRISDRIVRKGSMNKHGRHWKGVDILVFNTYLWWVTGQDMKILKGSFKDVEKEIMQMSTEDAYRMAMKSLLRWVTRNLDRERTRVFFTSMSPTHSKGDWGGEASENCYNQTTPIADSNYWGSDSRKSIMKVIGEEFSKSEFPITFLNITQLSSYRKDAHTSIYKKQWNPLTTEQLANPASYADCIHWCLPGLQDTWNELLFAKLFHPDLI >KJB74364 pep chromosome:Graimondii2_0_v6:11:62168047:62171483:-1 gene:B456_011G290400 transcript:KJB74364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPLSSSTSSSSGILRRSRLSTYLYTLLAFIVFVAVIHGEYSIANFGRVDPIIPSSVISTTPVKKREKVVVQLPSFAVEESEEGCDIFSGKWVKDELTRPHYGESECPYIQPQLTCRAHGRPDTEYQKWRWQPHGCDIPRFNATLMLESLRGKRMMFVGDSLNRGQYVSMVCLLHRLIPEDKKSMETYNNDALTVFRVKDYNATIEFYWAPFLLESNSDNAVVHRISDRIVRKGSMNKHGRHWKGVDILVFNTYLWWVTGQDMKILKGSFKDVEKEIMQMSTEDAYRMAMKSLLRWVTRNLDRERTRVFFTSMSPTHSK >KJB74362 pep chromosome:Graimondii2_0_v6:11:62166815:62171791:-1 gene:B456_011G290400 transcript:KJB74362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPLSSSTSSSSGILRRSRLSTYLYTLLAFIVFVAVIHGEYSIANFGRVDPIIPSSVISTTPVKKREKVVVQLPSFAVEESEEGCDIFSGKWVKDELTRPHYGESECPYIQPQLTCRAHGRPDTEYQKWRWQPHGCDIPRFNATLMLESLRGKRMMFVGDSLNRGQYVSMVCLLHRLIPEDKKSMETYNNDALTVFRVKDYNATIEFYWAPFLLESNSDNAVVHRISDRIVRKGSMNKHGRHWKGVDILVFNTYLWWVTGQDMKILKGSFKDVEKEIMQMSTEDAYRMAMKSLLRWVTRNLDRERTRVFFTSMSPTHSKGDWGGEASENCYNQTTPIADSNYWGSDSRKSIMKVIGEEFSKSEFPITFLNITQLSSYRKDAHTSIYKKQWNPLTTEQLANPASYADCIHWCLPGLQDTWNELLFAKLFHPDLI >KJB69913 pep chromosome:Graimondii2_0_v6:11:3889984:3890858:-1 gene:B456_011G049800 transcript:KJB69913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLALVTIALFFRAILYHLSSGDVVLEWDDLDMILGRFMLLQSDEGIHRVKFLEARQLVWGLNNQKIAFARACLTARMVNRTLLMPSLSASLFYKEPDSLQPVLFNNVFRFERFNSLREGFIRLAHYSDLKNRTRVYNLRAVEANGLKWTVERDLEQLKQSIINGPIEMHDVIRMAGKNPFLWHDHWPVKDYARVFEWLVLVDEISKEADKVVSKIRRMGRQLRSELGEGSSLQLAPYVTVHANSVRPCYAYSIAAGETNGP >KJB69553 pep chromosome:Graimondii2_0_v6:11:2240347:2240976:-1 gene:B456_011G030300 transcript:KJB69553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKFQANADDESHEHLLQDLAVLPAPERKTLIQIAISQTFQSTAHLANLLPTGTVLAFQLLSPIFTNQGDCDSVCRSMTAGLILLCGLSCFFTSFTDSFRDKDGNVCYGLATLNGLWVIDGSATLPPESAAKYRLRFIDFVHAFLSILVFAAVALFDQNVVNCFYPAPSRQAQEMLTALPVGIGVLGSMLFVVFPTTRHGIGFPLSAN >KJB73789 pep chromosome:Graimondii2_0_v6:11:58018687:58020730:1 gene:B456_011G252200 transcript:KJB73789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRMIEAAQTGDINLLYELILNDPYVLQRIDDVPFFHTPLHVAASAGHIEFIMEMINLKPSFARKLNQAGFSPLHLALQNNRTQGVLQLLKFDNGLVRVKGREGFTPLHHVIQTGDVNLLIKFLEVCPEAIKDVTVRNETVFHLAVKSDMFEAFQVLVGWLVRSRHESAQRWENELLSWADIDGNTVLHIAAIRNRPRVVKVLLGHLRRDQINAKNLEGLTALDIQSQYPWNERQADRIIDMLRKAGGLSASSPSLPSTSTSVYIKSLKDKTSWHQKWATRAGRGMKRMPHEMRNTFLVVTVLIITTTFEASLNPPNKPDNSSSMKYQVSLSQDQPPLNYHTFWHKTDFNTAPIPSPSAMDVSKKDDGTSEYSLFWYYNTLTFWAALFLTAILLPSHLFSSLILLIFLSFGMSFINLFKVLYNII >KJB69904 pep chromosome:Graimondii2_0_v6:11:3842541:3843011:-1 gene:B456_011G049100 transcript:KJB69904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDESGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >KJB71774 pep chromosome:Graimondii2_0_v6:11:22334525:22337781:-1 gene:B456_011G141600 transcript:KJB71774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNGVVSDKSSIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVSRLGSKASFIGKLGDDEFGHMLADILKQNGVSGDGILFDQGARTALAFVTLRADGEREFMFYRNPSADMLLTPEELNLDLIRSAKVFHYGSISLIVEPCRSAHLKAMEVAKESGALLSYDPNLRLPLWPSADEARKQILSIWDKADIIKVSDVELEFLTGSNKIDDETAMKLWRPNLTLLLITLGEKGSMYYTKYFHGSVDAFHVNTVDTTGAGDSFVGALLCKIVEDPTILEVTHFVYYLLIKIVIYIVILNGYFILFYKIKSE >KJB71775 pep chromosome:Graimondii2_0_v6:11:22335283:22337781:-1 gene:B456_011G141600 transcript:KJB71775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNGVVSDKSSIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVSRLGSKASFIGKLGDDEFGHMLADILKQNGVSGDGILFDQGARTALAFVTLRADGEREFMFYRNPSADMLLTPEELNLDLIRSAKVFHYGSISLIVEPCRSAHLKAMEVAKESGALLSYDPNLRLPLWPSADEARKQILSIWDKADIIKVSDVELEFLTGSNKIDDETAMKLWRPNLTLLLITLGEKGSMYYTKVC >KJB71773 pep chromosome:Graimondii2_0_v6:11:22334442:22337840:-1 gene:B456_011G141600 transcript:KJB71773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNGVVSDKSSIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVSRLGSKASFIGKLGDDEFGHMLADILKQNGVSGDGILFDQGARTALAFVTLRADGEREFMFYRNPSADMLLTPEELNLDLIRSAKVFHYGSISLIVEPCRSAHLKAMEVAKESGALLSYDPNLRLPLWPSADEARKQILSIWDKADIIKVSDVELEFLTGSNKIDDETAMKLWRPNLTLLLITLGEKGSMYYTKYFHGSVDAFHVNTVDTTGAGDSFVGALLCKIVEDPTILENESKLREVLKFANACGAITTTKKGAIPALPTEAEVLALINGA >KJB71517 pep chromosome:Graimondii2_0_v6:11:18022945:18026695:-1 gene:B456_011G126600 transcript:KJB71517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIVKCMYEDYDSDFCNFVVFNCAEAHTKFEEYGSAIQDATKAIEEDPKYSKGYYRQGAAYLGMGKFKEALKDFQQVNSFPLWGLKRFINA >KJB70330 pep chromosome:Graimondii2_0_v6:11:6044725:6047951:-1 gene:B456_011G068100 transcript:KJB70330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTRWMIFPATFGLILQLIDFGSLKLLVFPAFFISIVLWAVLFFQFWKRKNSALSARWHLNFSVSTSEGYKLSGREWNSLQPPLELVKNSGIDKTKEKEALQRYEWFGYLKRFRNDVIIILSIICLQLPFELAYAHLYEVLKSDMVKFGLTVVYLLVIQYFTKIGGKISIRLIKHENNENTEYQADSLVYKVFGLYFMQSYIGVFYHALLHRNFMTLRQVLIQRLILYEVLENLVENSLPYLKYSYKKYRAVRNKKKREKGLIGKIQFTTRVEKEYLKPTYSASISEELEDGLFDDFLELALQFGMIMMFACAFPLAFAFSALNNIAEMRTDALKLLAMLRRPVPRAAATIGAWLNIFQFLILMSICTNSALLVWLYDQEGKWKIEPGLAAILVMEHVLLLIKFGFSRFVPEEPAWVRAKRMKNATQAQDMCSKQLLRTISGREKAFGGYIRRKKPDGTNKTQSCRNQ >KJB70329 pep chromosome:Graimondii2_0_v6:11:6044626:6050464:-1 gene:B456_011G068100 transcript:KJB70329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVPEDLIVYEIALVVPKRNLNEENKGYDCVEVLVNEFRNVGLIVERVLGLSDEFIKLAAPLETLGRVAAKMQMKKTTHIGIDLQFEWEEIKAFVRQPDGSLFSWCERFQCYRHLIYKIVNKKNSDVTLKFDGKEIQWEAGKSLLQRLELEGIVKQVFPLHDEIKRKKLLRSWALNWWDFTAQPIDEIYAYFGTKIAVYFAFLGMYTRWMIFPATFGLILQLIDFGSLKLLVFPAFFISIVLWAVLFFQFWKRKNSALSARWHLNFSVSTSEGYKLSGREWNSLQPPLELVKNSGIDKTKEKEALQRYEWFGYLKRFRNDVIIILSIICLQLPFELAYAHLYEVLKSDMVKFGLTVVYLLVIQYFTKIGGKISIRLIKHENNENTEYQADSLVYKVFGLYFMQSYIGVFYHALLHRNFMTLRQVLIQRLILYEVLENLVENSLPYLKYSYKKYRAVRNKKKREKGLIGKIQFTTRVEKEYLKPTYSASISEELEDGLFDDFLELALQFGMIMMFACAFPLAFAFSALNNIAEMRTDALKLLAMLRRPVPRAAATIGAWLNIFQFLILMSICTNSALLVWLYDQEGKWKIEPGLAAILVMEHVLLLIKFGFSRFVPEEPAWVRAKRMKNATQAQDMCSKQLLRTISGREKAFGGYIRRKKPDGTNKTQSCRNQ >KJB70335 pep chromosome:Graimondii2_0_v6:11:6046310:6049868:-1 gene:B456_011G068100 transcript:KJB70335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVPEDLIVYEIALVVPKRNLNEENKGYDCVEVLVNEFRNVGLIVERVLGLSDEFIKLAAPLETLGRVAAKMQMKKTTHIGIDLQFEWEEIKAFVRQPDGSLFSWCERFQCYRHLIYKIVNKKNSDVTLKFDGKEIQWEAGKSLLQRLELEGIVKQVFPLHDEIKRKKLLRSWALNWWDFTAQPIDEIYAYFGTKIAVYFAFLGMYTRWMIFPATFGLILQLIDFGSLKLLVFPAFFISIVLWAVLFFQFWKRKNSALSARWHLNFSVSTSEGYKLSGREWNSLQPPLELVKNSGIDKTKEKEALQRYEWFGYLKRFRNDVIIILSIICLQLPFELAYAHLYEVLKSDMVKFGLTVVYLLVIQYFTKIGGKISIRLIKHENNENTEYQADSLVYKVFGLYFMQSYIGVFYHALLHRNFMTLRQVLIQRLILYEVLENLVENSLPYLKYSYKKYRAVRNKKKREKGLIGKIQFTTRVEKEYLKPTYSASISEELEDGLFDGNVPNME >KJB70334 pep chromosome:Graimondii2_0_v6:11:6044725:6050169:-1 gene:B456_011G068100 transcript:KJB70334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVPEDLIVYEIALVVPKRNLNEENKGYDCVEVLVNEFRNVGLIVERVLGLSDEFIKLAAPLETLGRVAAKMQMKKTTHIGIDLQFEWEEIKAFVRQPDGSLFSWCERFQCYRHLIYKIVNKKNSDVTLKFDGKEIQWEAGKSLLQRLELEGIVKQVFPLHDEIKRKKLLRSWALNWWDFTAQPIDEIYAYFGTKIAVYFAFLGMYTRWMIFPATFGLILQLIDFGWHLNFSVSTSEGYKLSGREWNSLQPPLELVKNSGIDKTKEKEALQRYEWFGYLKRFRNDVIIILSIICLQLPFELAYAHLYEVLKSDMVKFGLTVVYLLVIQYFTKIGGKISIRLIKHENNENTEYQADSLVYKVFGLYFMQSYIGVFYHALLHRNFMTLRQVLIQRLILYEVLENLVENSLPYLKYSYKKYRAVRNKKKREKGLIGKIQFTTRVEKEYLKPTYSASISEELEDGLFDDFLELALQFGMIMMFACAFPLAFAFSALNNIAEMRTDALKLLAMLRRPVPRAAATIGAWLNIFQFLILMSICTNSALLVWLYDQEGKWKIEPGLAAILVMEHVLLLIKFGFSRFVPEEPAWVRAKRMKNATQAQDMCSKQLLRTISGREKAFGGYIRRKKPDGTNKTQSCRNQ >KJB70332 pep chromosome:Graimondii2_0_v6:11:6044725:6050169:-1 gene:B456_011G068100 transcript:KJB70332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVPEDLIVYEIALVVPKRNLNEENKGYDCVEVLVNEFRNVGLIVERVLGLSDEFIKLAAPLETLGRVAAKMQMKKTTHIGIDLQFEWEEIKAFVRQPDGSLFSWCERFQCYRHLIYKIVNKKNSDVTLKFDGKEIQWEAGKSLLQRLELEGIVKQVFPLHDEIKRKKLLRSWALNWWDFTAQPIDEIYAYFGTKIAVYFAFLGMYTRWMIFPATFGLILQLIDFGSLKLLVFPAFFISIVLWAVLFFQFWKRKNSALSARWHLNFSVSTSEGYKLSGREWNSLQPPLELVKNSGIDKTKEKEALQRYEWFGYLKRFRNDVIIILSIICLQLPFELAYAHLYEVLKSDMVKFGLTVVYLLVIQYFTKIGGKISIRLIKHENNENTEYQADSLVYKVFGLYFMQSYIGVFYHALLHRNFMTLRQVLIQRLILYEVLENLVENSLPYLKYSYKKYRAVRNKKKREKGLIGKIQFTTRVEKEYLKPTYSASISEELEDGLFDDFLELALQFGMIMMFACAFPLAFAFSALNNIAEMRTDALKLLAMLRRPVPRAAATIGAWLNIFQYGYTIRKENGKLSLALQPFL >KJB70333 pep chromosome:Graimondii2_0_v6:11:6045683:6049868:-1 gene:B456_011G068100 transcript:KJB70333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVPEDLIVYEIALVVPKRNLNEENKGYDCVEVLVNEFRNVGLIVERVLGLSDEFIKLAAPLETLGRVAAKMQMKKTTHIGIDLQFEWEEIKAFVRQPDGSLFSWCERFQCYRHLIYKIVNKKNSDVTLKFDGKEIQWEAGKSLLQRLELEGIVKQVFPLHDEIKRKKLLRSWALNWWDFTAQPIDEIYAYFGTKIAVYFAFLGMYTRWMIFPATFGLILQLIDFGSLKLLVFPAFFISIVLWAVLFFQFWKRKNSALSARWHLNFSVSTSEGYKLSGREWNSLQPPLELVKNSGIDKTKEKEALQRYEWFGYLKRFRNDVIIILSIICLQLPFELAYAHLYEVLKSDMVKFGLTVVYLLVIQYFTKIGGKISIRLIKHENNENTEYQADSLVYKVFGLYFMQSYIGVFYHALLHRNFMTLRQVLIQRLILYEVLENLVENSLPYLKYSYKKYRAVRNKKKREKGLIGKIQFTTRVEKEYLKPTYSASISEELEDGLFDDFLELALQFGMIMMFACAFPLAFAFSALNNIAEMRTDALKLLAMLRRPVPRAAATIGAWLNIFQVFLLSKMSIFH >KJB70331 pep chromosome:Graimondii2_0_v6:11:6044725:6049262:-1 gene:B456_011G068100 transcript:KJB70331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVFPLYFCWIVSKWLGMGYKVILSRVAYYLSFFQLAAPLETLGRVAAKMQMKKTTHIGIDLQFEWEEIKAFVRQPDGSLFSWCERFQCYRHLIYKIVNKKNSDVTLKFDGKEIQWEAGKSLLQRLELEGIVKQVFPLHDEIKRKKLLRSWALNWWDFTAQPIDEIYAYFGTKIAVYFAFLGMYTRWMIFPATFGLILQLIDFGSLKLLVFPAFFISIVLWAVLFFQFWKRKNSALSARWHLNFSVSTSEGYKLSGREWNSLQPPLELVKNSGIDKTKEKEALQRYEWFGYLKRFRNDVIIILSIICLQLPFELAYAHLYEVLKSDMVKFGLTVVYLLVIQYFTKIGGKISIRLIKHENNENTEYQADSLVYKVFGLYFMQSYIGVFYHALLHRNFMTLRQVLIQRLILYEVLENLVENSLPYLKYSYKKYRAVRNKKKREKGLIGKIQFTTRVEKEYLKPTYSASISEELEDGLFDDFLELALQFGMIMMFACAFPLAFAFSALNNIAEMRTDALKLLAMLRRPVPRAAATIGAWLNIFQFLILMSICTNSALLVWLYDQEGKWKIEPGLAAILVMEHVLLLIKFGFSRFVPEEPAWVRAKRMKNATQAQDMCSKQLLRTISGREKAFGGYIRRKKPDGTNKTQSCRNQ >KJB71628 pep chromosome:Graimondii2_0_v6:11:20312051:20312619:1 gene:B456_011G134600 transcript:KJB71628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQIIDPNPNPNTPTPTPSARGRCPRDALKLGVCANVFNLVNVIVGSPPVMPCCSLLNGLVDLEAAACLCTAIRANILGINLNIPVSLSLLLNVCSRNVPTGFQC >KJB74407 pep chromosome:Graimondii2_0_v6:11:62401541:62409097:1 gene:B456_011G292700 transcript:KJB74407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVSMSTPYMLHLHPVTIPSTISDNVGLESTKFEDTDSIDGTMTDGMENIFSSCTQLRYVRDLRLNEVRRLLCSARPVAIQTSANPSASDRDLQQAQLWQLAQRPTARPLGRGAFTLATIYTLFSEVNLDPSIRNSQELKSWPEFHNAVAAGLRLAPLQGKVSRTWIIYNRPEEPNAVHTGLLLALGLHGFLRVLTITDTYQYFSQEHEATTVGLMLGLAASYRGTMQPSISKINTHLLCHLT >KJB74410 pep chromosome:Graimondii2_0_v6:11:62401541:62409097:1 gene:B456_011G292700 transcript:KJB74410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVSMSTPYMLHLHPVTIPSTISDNVGLESTKFEDTDSIDGTMTDGMENIFSSCTQLRYVRDLRLNEVRRLLCSARPVAIQTSANPSASDRDLQQAQLWQLAQRPTARPLGRGAFTLATIYTLFSEVNLDPSIRNSQELKSWPEFHNAVAAGLRLAPLQGKVSRTWIIYNRPEEPNAVHTGLLLALGLHGFLRVLTITDTYQYFSQEHEATTVGLMLGLAASYRGTMQPSISKINTHLLCHLT >KJB74409 pep chromosome:Graimondii2_0_v6:11:62401541:62406160:1 gene:B456_011G292700 transcript:KJB74409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVSMSTPYMLHLHPVTIPSTISDNVGLESTKFEDTDSIDGTMTDGMENIFSSCTQLRYVRDLRLNEVRRLLCSARPVAIQTSANPSASDRDLQQAQLWQLAQRPTARPLGRGAFTLATIYTLFSEVNLDPSIRNSQELKSWPEFHNAVAAGLRLAPLQGKVSRTWIIYNRPEEPNAVHTGLLLALGLHGFLRVLTITDTYQYFSQEHEATTVGLMLGLAASYRGTMQPSISKINTHLLCHLT >KJB74408 pep chromosome:Graimondii2_0_v6:11:62401541:62407020:1 gene:B456_011G292700 transcript:KJB74408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVSMSTPYMLHLHPVTIPSTISDNVGLESTKFEDTDSIDGTMTDGMENIFSSCTQLRYVRDLRLNEVRRLLCSARPVAIQTSANPSASDRDLQQAQLWQLAQRPTARPLGRGAFTLATIYTLFSEVNLDPSIRNSQELKSWPEFHNAVAAGLRLAPLQGKVSRTWIIYNRPEEPNAVHTGLLLALGLHGFLRVLTITDTYQYFSQEHEATTVGLMLGLAASYRGTMQPSISKINTHLLCHLT >KJB70274 pep chromosome:Graimondii2_0_v6:11:5596016:5603602:1 gene:B456_011G066200 transcript:KJB70274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNRIPREAFNDRHGFPPERSFLRGPPLPQQRPHPVLLEEELEMQHAEIRRLLTDNSRLVEDRIAIRQELGAAKEEIHRLNLFIGDVRAEQELYSRGLIDKGLKLEADLRATEPIKKEVVQLREEVQKLNNNKQELTGQVQALKQDVGRLQADNQQIPVLRAEIDGLHQELMHARNSIDYEKKANIEMMEQRQAIEKNMVSMAREVEKLRAELAIVDGRPWAAAQGGPYGMKFHSPEGAFPASYEGYGARLAGANKGPFHGPCPGTWEKSRNTHR >KJB73441 pep chromosome:Graimondii2_0_v6:11:55148522:55151091:-1 gene:B456_011G233500 transcript:KJB73441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQLKPCLVLLLTFSVLAFASAISRNRIKSLNQIREVNRKGPYIGLITVIATEEDAFFASGSFKPDPKFPFIDLSGRRFRFGVVRGRKVVYVRCGEGMTNAVAATQQMADLFDIKGIIHFGIAGNTNNSMSIGDVTIPNQIAHTGLWEWFNTNGTLDSADVAQLEIGDYNVPKGNGTNLLGHIGYMEEEYYSVAGEPNVAESLLWANISLQWLQLASNLEGMKLEQCVNSSLCLTERPKLVVGLRASTSNIFLDNAAYRDFLFQKFGVSSADMESADKLVKWVSSDSNKRAIRFSRKPRRRQCC >KJB73440 pep chromosome:Graimondii2_0_v6:11:55148809:55151025:-1 gene:B456_011G233500 transcript:KJB73440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQLKPCLVLLLTFSVLAFASAISRNRIKSLNQIREVNRKGPYIGLITVIATEEDAFFASGSFKPDPKFPFIDLSGRRFRFGVVRGRKVVYVRCGEGMTNAVAATQQMADLFDIKGIIHFGIAGNTNNSMSIGDVTIPNQIAHTGLWEWFNTNGTLDSADVAQLEIGDYNVPKGNGTNLLGHIGYMEEEYYSVAGEPNVAESLLWANISLQWLQLASNLEGMKLEQCVNSSLCLTERPKLVVGLRASTSNIFLDNAAYRDFLFQKFGVSSADMESAGVAMTSLSNGYPVIVIRGLSDLAGNQEGDNAVRKFGGLAAVNSVKAVLGFIRNLPSSTRRWS >KJB71991 pep chromosome:Graimondii2_0_v6:11:26035741:26036594:1 gene:B456_011G152700 transcript:KJB71991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFNFKFIFISIFLSLLFLHTCNSVSILDLDGALASSEIDARARRVCTKKLEDCLEEEEMESESNRRVLVMQRKYISYETLRRDMVPCATPGASYYDCNGGHQANHYNRGCEVITRCARGIKVLTSFC >KJB71992 pep chromosome:Graimondii2_0_v6:11:26035741:26037325:1 gene:B456_011G152700 transcript:KJB71992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFNFKFIFISIFLSLLFLHTCNSVSILDLDGALASSEIDARARRVCTKKLEDCLEEEEMESESNRRVLVMQRKYISYETLRRDMVPCATPGASYYDCNGGHQANHYNRGCEVITRCARGIKVFTSVEFKVIELILLQHIHIINDGLRTI >KJB69530 pep chromosome:Graimondii2_0_v6:11:2199977:2201483:-1 gene:B456_011G029800 transcript:KJB69530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPREKTADVKGNGNGCTKEVHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAAKAYDAAAREFRGAKAKTNFPLPGEILNNGSNCNNNGDTNCSNNNNNHSPSRSSTVESSSHEPPTVVKCSPLDLNLGHGAVIGYGSPAVRLPFPQISSVTGFFANGVPAVAAPQVFYFDALVRPGVMKGQQYQQRLRFDHRDYHETFNAGVQSDSDSSSVVDLNHHEIKRRPLLNIDLNQPAPEVA >KJB73783 pep chromosome:Graimondii2_0_v6:11:57935714:57937696:-1 gene:B456_011G251600 transcript:KJB73783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERMIGAAQTGDINILYELILNDPYVLQRIDDVPFFHTPLHVAASAGHIDFMMEMINLKPSFARKLNQAGFSPMHLALQNQKTLAVLRLLRFDEGLVRVKGREGFTPLHHVVQNGNVDFLIKFLEVCPEAIEDVTVRDETVFHLAVKNDRFEAFQVLVGWLIRSRHKAANRWEKELLSWADIDGNTVLHVAAIRNRPQVVKVLLKRLCGDHINAKNAEGLTALDIPSQYTLDEGRVDYKESIKDMISKAGGLRGSSSSLPKASISSIPIESLKGKVSVLQKFATVASRGKKGIPHETRNTFLVVTVLIITATYTATLNPPKQLDTISNSQDFHLTYDISLGSTSNGPVPSPSPTEEKKIKHILDVSTMFWLYNTLTFWAATVLTACLLPSRSICLFILITLSLFGTCYMLLVAVSIRTLELQYPLYLSPPGSVSYSKLSITNYCFATVLALVTLYRTSYYMLYRFVPKRRFFLLLQVVSLCIFAVILIPAILNSEFILAITKYGI >KJB72955 pep chromosome:Graimondii2_0_v6:11:49742333:49748988:1 gene:B456_011G205900 transcript:KJB72955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFMELEGQDGVRMAWNVIPGTKQEASNCVVPVSAVYTPIKPFPNMPVLPYAPLRCRNCRSVLNPFSIVDFAAKIWICPFCFQRNHFPPHYASISDENLPAELFPQYTTIEYQSPGEASSIPPVFMFVVDTSIIEEEMAFLKSALSQAIGLLPDNSLVGLITFGTLVHVHELGFGTIPKTYVFKGSKDVSKEQLLEQMNFFLIKPKPPTGVIAGARDGLSSESIARFLLPASDCEFALNSILEELQKDPWPTPVDQRASRCSGTALSIAASLLGACVPGSGARILAFIGGPSTEGPGAIVSKNLSDPIRSHKDLDKDSAPHYHKAVKFYEALAKQLVHQGHVLDLFACALDQVGVAELKVAVEKTGGLVVLAESFGHSVFKDSLRRVFRSEDKDLGLSSNGIFEINCSKDIKVQGILGPCASLEKKGPLCSDTIVGQGSTSAWKMCGLDQATSLCLIFEIVKKEIPDATLQSSNNQFYFQFLTYYQHSTAEMRLRVTSLSRRWVAGPGSIQDLIAGFDQEAAAVVMARLVSFKMEIEAEFDPIRWLDKALIHMCSRFGDYQKDSPSSFSLSPRFSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLISYSFQSAPEPALLDVAAIAADRILLLDSYFTIVIFHGSTIAQWRKAGYHNQPEHQV >KJB72953 pep chromosome:Graimondii2_0_v6:11:49741647:49750815:1 gene:B456_011G205900 transcript:KJB72953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFMELEGQDGVRMAWNVIPGTKQEASNCVVPVSAVYTPIKPFPNMPVLPYAPLRCRNCRSVLNPFSIVDFAAKIWICPFCFQRNHFPPHYASISDENLPAELFPQYTTIEYQSPGEASSIPPVFMFVVDTSIIEEEMAFLKSALSQAIGLLPDNSLVGLITFGTLVHVHELGFGTIPKTYVFKGSKDVSKEQLLEQMNFFLIKPKPPTGVIAGARDGLSSESIARFLLPASDCEFALNSILEELQKDPWPTPVDQRASRCSGTALSIAASLLGACVPGSGARILAFIGGPSTEGPGAIVSKNLSDPIRSHKDLDKDSAPHYHKAVKFYEALAKQLVHQGHVLDLFACALDQVGVAELKVAVEKTGGLVVLAESFGHSVFKDSLRRVFRSEDKDLGLSSNGIFEINCSKDIKVQGILGPCASLEKKGPLCSDTIVGQGSTSAWKMCGLDQATSLCLIFEIVKKEIPDATLQSSNNQFYFQFLTYYQHSTAEMRLRVTSLSRRWVAGPGSIQDLIAGFDQEAAAVVMARLVSFKMEIEAEFDPIRWLDKALIHMCSRFGDYQKDSPSSFSLSPRFSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLISYSFQSAPEPALLDVAAIAADRILLLDSYFTIVIFHGSTIAQWRKAGYHNQPEHQAFAQLLQAPRDDADAIINERFPVPRLVICDQHGSQARFLLAKLNPSATYNSDGHHPGGDIIFTDDVSFEVFLDHLQRLAVQ >KJB72956 pep chromosome:Graimondii2_0_v6:11:49742333:49750808:1 gene:B456_011G205900 transcript:KJB72956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFMELEGQDGVRMAWNVIPGTKQEASNCVVPVSAVYTPIKPFPNMPVLPYAPLRCRNCRSVLNPFSIVDFAAKIWICPFCFQRNHFPPHYASISDENLPAELFPQYTTIEYQSPGEASSIPPVFMFVVDTSIIEEEMAFLKSALSQAIGLLPDNSLVGLITFGTLVHVHELGFGTIPKTYVFKGSKDVSKEQLLEQMNFFLIKPKPPTGVIAGARDGLSSESIARFLLPASDCEFALNSILEELQKDPWPTPVDQRASRCSGTALSIAASLLGACVPGSGARILAFIGGPSTEGPGAIVSKNLSDPIRSHKDLDKDSAPHYHKAVKFYEALAKQLVHQGHVLDLFACALDQVGVAELKVAVEKTGGLVVLAESFGHSVFKDSLRRVFRSEDKDLGLSSNGIFEINCSKDIKVQGILGPCASLEKKGPLCSDTIVGQGSTSAWKMCGLDQATSLCLIFEIVKKEIPDATLQSSNNQFYFQFLTYYQHSTAEMRLRVTSLSRRWVAGPGSIQDLIAGFDQEAAAVVMARLVSFKMEIEAEFDPIRWLDKALIHMCSRFGDYQKDSPSSFSLSPRFSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLISYSFQSAPEPALLDVAAIAADRILLLDSYFTIVIFHGSTIAQWRKAGYHNQPEHQAFAQLLQAPRDDADAIINERFPVPRLVICDQHGSQLQLEMSKTSEMFRLQPALSL >KJB72954 pep chromosome:Graimondii2_0_v6:11:49742333:49748738:1 gene:B456_011G205900 transcript:KJB72954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFMELEGQDGVRMAWNVIPGTKQEASNCVVPVSAVYTPIKPFPNMPVLPYAPLRCRNCRSVLNPFSIVDFAAKIWICPFCFQRNHFPPHYASISDENLPAELFPQYTTIEYQSPGEASSIPPVFMFVVDTSIIEEEMAFLKSALSQAIGLLPDNSLVGLITFGTLVHVHELGFGTIPKTYVFKGSKDVSKEQLLEQMNFFLIKPKPPTGVIAGARDGLSSESIARFLLPASDCEFALNSILEELQKDPWPTPVDQRASRCSGTALSIAASLLGACVPGSGARILAFIGGPSTEGPGAIVSKNLSDPIRSHKDLDKDSAPHYHKAVKFYEALAKQLVHQGHVLDLFACALDQVGVAELKVAVEKTGGLVVLAESFGHSVFKDSLRRVFRSEDKDLGLSSNGIFEINCSKDIKVQGILGPCASLEKKGPLCSDTIVGQGSTSAWKMCGLDQATSLCLIFEIVKKEIPDATLQSSNNQFYFQFLTYYQHSTAEMRLRVTSLSRRWVAGPGSIQDLIAGFDQEAAAVVMARLVSFKMEIEAEFDPIRWLDKALIHMCSRFGDYQKDSPSSFSLSPRFSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLISYSFQSAPEPALLDVAAIAADRILLLDSYFTIVIFHGSTIAQWRKAGYHNQPEHQVIFLEFGIYMFS >KJB72952 pep chromosome:Graimondii2_0_v6:11:49741430:49750821:1 gene:B456_011G205900 transcript:KJB72952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFMELEGQDGVRMAWNVIPGTKQEASNCVVPVSAVYTPIKPFPNMPVLPYAPLRCRNCRSVLNPFSIVDFAAKIWICPFCFQRNHFPPHYASISDENLPAELFPQYTTIEYQSPGEASSIPPVFMFVVDTSIIEEEMAFLKSALSQAIGLLPDNSLVGLITFGTLVHVHELGFGTIPKTYVFKGSKDVSKEQLLEQMNFFLIKPKPPTGVIAGARDGLSSESIARFLLPASDCEFALNSILEELQKDPWPTPVDQRASRCSGTALSIAASLLGACVPGSGARILAFIGGPSTEGPGAIVSKNLSDPIRSHKDLDKDSAPHYHKAVKFYEALAKQLVHQGHVLDLFACALDQVGVAELKVAVEKTGGLVVLAESFGHSVFKDSLRRVFRSEDKDLGLSSNGIFEINCSKDIKVQGILGPCASLEKKGPLCSDTIVGQGSTSAWKMCGLDQATSLCLIFEIVKKEIPDATLQSSNNQFYFQFLTYYQHSTAEMRLRVTSLSRRWVAGPGSIQDLIAGFDQEAAAVVMARLVSFKMEIEAEFDPIRWLDKALIHMCSRFGDYQKDSPSSFSLSPRFSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLISYSFQSAPEPALLDVAAIAADRILLLDSYFTIVIFHGSTIAQWRKAGYHNQPEHQAFAQLLQAPRDDADAIINERFPVPRLVICDQHGSQARFLLAKLNPSATYNSDGHHPGGDIIFTDDVSFEVFLDHLQRLAVQ >KJB73764 pep chromosome:Graimondii2_0_v6:11:57603196:57604434:-1 gene:B456_011G249700 transcript:KJB73764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERLRTAARTGNVSDLYILIQRDGNLHVAADEGCIGFAMEMMKLKPSFARKLNQQYLSPLHLAVRKEHRRMVLRFLEIDKNLIRVKGKNGNTPLHIISEVGNRNGLLDRFLEICPQSIREVTIENRNALHIAVENDRLDTDYYCEVMNQKDEDGNTALHLSAFHNQPEHSTNQAGWMALDIAERYGNKDSITVLRGCFIPGVSNFNCKLEKQIVKYVTKASSPIFHGMVNISGEDRNALLVILGLLLTVTYQASLSPPPGGVWQVDNTSKSKGSDDSTIIGRSVMDQSSFLHFYIPIYIVFLVTFFLILALLKPFPHGFRTALQLLLAFLAMCLNHDVVS >KJB69179 pep chromosome:Graimondii2_0_v6:11:680196:685209:-1 gene:B456_011G009300 transcript:KJB69179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDIVRQTRDLLMYVGRSSDSREAKREEKMAELFKNIRELKCILYGNSESEPVSEACAQLTHEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVNSRLIASDYLETNLDLMDILVAGYENTDMALHYGAMLRECIRHQTVARYVLESQHMKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESSNYITRRQAVKLLGDILLDRSNSAVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPADIVSILVANKSKLLRLFADFKTDKEDEQFEADKAQVVKEIASLELRDRLE >KJB69176 pep chromosome:Graimondii2_0_v6:11:681277:684915:-1 gene:B456_011G009300 transcript:KJB69176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVPPTVVKPSGKRRFFLMAELFKNIRELKCILYGNSESEPVSEACAQLTHEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVNSRLIASDYLETNLDLMDILVAGYENTDMALHYGAMLRECIRHQTVARYVLESQHMKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESSNYITRRQAVKLLGDILLDRSNSAVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPADIVSILVANKSKLLRLFADFKTDKEDEQFEADKAQVVKEIASLELRDRLE >KJB69180 pep chromosome:Graimondii2_0_v6:11:680949:685209:-1 gene:B456_011G009300 transcript:KJB69180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDIVRQTRDLLMYVGRSSDSREAKREEKMAELFKNIRELKCILYGNSESEPVSEACAQLTHEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVNSRLIASDYLETNLDLMDILVAGYENTDMALHYGAMLRECIRHQTVARYVLESQHMKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESSNYITRRQAVKLLGDILLDRSNSAVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPADIVSILVANKSKLLRLFADFKTDKEDEQFEADKAQVVKEIASLELRDRLE >KJB69178 pep chromosome:Graimondii2_0_v6:11:680839:685242:-1 gene:B456_011G009300 transcript:KJB69178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDIVRQTRDLLMYVGRSSDSREAKREEKMAELFKNIRELKCILYGNSESEPVSEACAQLTHEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVNSRLIASDYLETNLDLMDILVAGYENTDMALHYGAMLRECIRHQTVARYVLESQHMKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESSNYITRRQAVKLLGDILLDRSNSAVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPADIVSILVANKSKLLRLFADFKTDKEDEQFEADKAQVVKEIASLELRDRLE >KJB69177 pep chromosome:Graimondii2_0_v6:11:681277:684988:-1 gene:B456_011G009300 transcript:KJB69177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDIVRQTRDLLMYVGRSSDSREAKREEKMAELFKNIRELKCILYGNSESEPVSEACAQLTHEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVNSRLIASDYLETNLDLMDILVAGYENTDMALHYGAMLRECIRHQTVARYVLESQHMKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESSNYITRRQAVKLLGDILLDRSNSAVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPADIVSILVANKSKLLRLFADFKTDKEDEQFEADKAQVVKEIASLELRDRLE >KJB69181 pep chromosome:Graimondii2_0_v6:11:681846:685209:-1 gene:B456_011G009300 transcript:KJB69181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDIVRQTRDLLMYVGRSSDSREAKREEKMAELFKNIRELKCILYGNSESEPVSEACAQLTHEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVNSRLIASDYLETNLDLMDILVAGYENTDMALHYGAMLRECIRHQTVARYVLESQHMKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESSNYITRRQAVKLLGDILLDRSNSAVMTRYVSSRDNLRILMNLLRV >KJB72167 pep chromosome:Graimondii2_0_v6:11:30745116:30746479:1 gene:B456_011G163000 transcript:KJB72167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyethylthiazole kinase [Source:Projected from Arabidopsis thaliana (AT3G24030) UniProtKB/Swiss-Prot;Acc:Q9LIQ4] MEDGEEREWGAKAWTYLSSVRSLSPLIQCITNFVSMDLTANTLLSAGASPAMLHSPNESPDFTPQVNALYINVGTLSDNWLPAMELAAQLASKLNKPWVLDPVAAGASRFRLKACLDLISLKPTVIRGNASEIIALAKASFPSTKGVDSSHDSTDAVEAAKSLANSSGAVVAVSGAVDFVTDGNRIVGARNGVPMMQKITATGCAVTALIAAFVATDPSHAMEATASALAVFGVAGELGMETARGPASLRTHLIDSLHGLDQTAVISGVKITAI >KJB73375 pep chromosome:Graimondii2_0_v6:11:54379787:54385750:1 gene:B456_011G230000 transcript:KJB73375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVVEDLGAPDSWEVADLDATMSRLMLSSNKESKPEFPDATSSASASGSPDEKVVSEDAINEVDQFLREAIQNPRERLSILRMEQDVEKFIRDPNQQQFEFQQLPTSYLRIIVCKTSECRLPRIRLADIPVNLPSEDPGVVKVAIKQRPQKRSQLVSNSNSNSMKSNSSKSVEERKEEYNRARARIFNSSSSSSGSGGKPPSEPRLQDVNYYGSSRMPNMEEKSVSVVADVNSGSGLIEYSSSSSRSARSRTEKEPIGRSKPHNRVAIFRDRETDRKDPDYDRNYDRYMQRFDPGFGFNSGPYTMQPMYTPAINYNTEFPQLGSTHRPQIATEHQPRPLPQHIPGPWVAPPTATGISYGHPETMMPPFNPNHVGARSTSAIYLHSSQYPLQRPGMPFIHPHEHVHQPFSQPHQHQPDASFGLARPQ >KJB73373 pep chromosome:Graimondii2_0_v6:11:54379787:54385750:1 gene:B456_011G230000 transcript:KJB73373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVVEDLGAPDSWEVADLDATMSRLMLSSNKESKPEFPDATSSASASGSPDEKVVSEDAINEVDQFLREAIQNPRERLSILRMEQDVEKFIRDPNQQQFEFQQLPTSYLRLAAHRIAQHYSLQSMVLLDNNLPDGSGSRIIVCKTSECRLPRIRLADIPVNLPSEDPGVVKVAIKQRPQKRSQLVSNSNSNSMKSNSSKSVEERKEEYNRARARIFNSSSSSSGSGGKPPSEPRLQDVNYYGSSRMPNMEEKSVSVVADVNSGSGLIEYSSSSSRSARSRTEKEPIGRSKPHNRVAIFRDRETDRKDPDYDRNYDRYMQRFDPGFGFNSGPYTMQPMYTPAINYNTEFPQLGSTHRPQIATEHQPRPLPQHIPGPWVAPPTATGISYGHPETMMPPFNPNHVGARSTSAIYLHSSQYPLQRPGMPFIHPHEHVHQPFSQPHQHQPDASFGLARPQ >KJB73376 pep chromosome:Graimondii2_0_v6:11:54382252:54385750:1 gene:B456_011G230000 transcript:KJB73376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDVEKFIRDPNQQQFEFQQLPTSYLRLAAHRIAQHYSLQSMVLLDNNLPDGSGSRIIVCKTSECRLPRIRLADIPVNLPSEDPGVVKVAIKQRPQKRSQLVSNSNSNSMKSNSSKSVEERKEEYNRARARIFNSSSSSSGSGGKPPSEPRLQDVNYYGSSRMPNMEEKSVSVVADVNSGSGLIEYSSSSSRSARSRTEKEPIGRSKPHNRVAIFRDRETDRKDPDYDRNYDRYMQRFDPGFGFNSGPYTMQPMYTPAINYNTEFPQLGSTHRPQIATEHQPRPLPQHIPGPWVAPPTATGISYGHPETMMPPFNPNHVGARSTSAIYLHSSQYPLQRPGMPFIHPHEHVHQPFSQPHQHQPDASFGLARPQ >KJB73374 pep chromosome:Graimondii2_0_v6:11:54379782:54385805:1 gene:B456_011G230000 transcript:KJB73374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVVEDLGAPDSWEVADLDATMSRLMLSSNKESKPEFPDATSSASASGSPDEKVVSEDAINEVDQFLREAIQNPRERLSILRMEQDVEKFIRDPNQQQFEFQQLPTSYLRLAAHRIAQHYSLQSMVLLDNNLPDGSGSRIIVCKTSECRLPRIRLADIPVNLPSEDPGVVKVAIKQRPQKRSQLVSNSNSNSMKSNSSKSVEERKEEYNRARARIFNSSSSSSGSGGKPPSEPRLQDVNYYGSSRMPNMEEKSVSVVADVNSGSGLIEYSSSSSRSARSRTEKEPIGRSKPHNRVAIFRDRETDRKDPDYDRNYDRYMQRFDPGFGFNSGPYTMQPMYTPAINYNTEFPQLGSTHRPQIATEHQPRPLPQHIPGPWVAPPTATGISYGHPETMMPPFNPNHVGARSTSAIYLHSSQYPLQRPGMPFIHPHEHVHQPFSQPHQHQPDASFGLARPQ >KJB73079 pep chromosome:Graimondii2_0_v6:11:51446569:51451623:1 gene:B456_011G213700 transcript:KJB73079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNLVSAGVHSFNLKAGKGFSISKPKGCKLGPRAALVEAGPRGDGSPAALQVSRGDLAADLQAEARAMARAANASVYSPELLANKYGSRPVQAAKRTLEILVALGSFALQLLVDQRNGTLDRNKRKRAIKLKGIFTRLGPTFVKLGQGLSTRPDLCPPEYLEELAELQDALPTFADADAFSCIETELGMPIESLFSSISPSPIAAASLGQVYKAQLKYSGQTVAVKVQRPGIEEAIGLDFYLIRGLGFFINKYVDIITTDVIALIDEFARRVYQELNYVQEGQNARKFKKLYADKEDILVPDIYWNYTSGKVLTMEWVNGVKLNQQAAIESQGLKVLDLVTTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEDARSAIVGHVVHMVNRDYEAMARDYYALDFLSTDVDVSPIVPALRDFFDDALNYTVSELNFKTLVDGLGNVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGRFRWNRLEDLLIEGRKDRDFSAKDALQPVLRLLLGPDGEELRNLTIKEAVRVTEAIVLGSVADTYNSAPSFIQTLMINGNGNGALAISTADLEATMELRNQVFRIWGLLSSSENFDPALLQPILQVSKPYFWYSLFYV >KJB73078 pep chromosome:Graimondii2_0_v6:11:51446421:51452735:1 gene:B456_011G213700 transcript:KJB73078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNLVSAGVHSFNLKAGKGFSISKPKGCKLGPRAALVEAGPRGDGSPAALQVSRGDLAADLQAEARAMARAANASVYSPELLANKYGSRPVQAAKRTLEILVALGSFALQLLVDQRNGTLDRNKRKRAIKLKGIFTRLGPTFVKLGQGLSTRPDLCPPEYLEELAELQDALPTFADADAFSCIETELGMPIESLFSSISPSPIAAASLGQVYKAQLKYSGQTVAVKVQRPGIEEAIGLDFYLIRGLGFFINKYVDIITTDVIALIDEFARRVYQELNYVQEGQNARKFKKLYADKEDILVPDIYWNYTSGKVLTMEWVNGVKLNQQAAIESQGLKVLDLVTTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEDARSAIVGHVVHMVNRDYEAMARDYYALDFLSTDVDVSPIVPALRDFFDDALNYTVSELNFKTLVDGLGNVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGRFRWNRLEDLLIEGRKDRDFSAKDALQPVLRLLLGPDGEELRNLTIKEAVRVTEAIVLGSVADTYNSAPSFIQTLMINGNGNGALAISTADLEATMELRNQVFRIWGLLSSSENFDPALLQPILQVLQQPEGRSLGGRVVGGVTQRLAARLLQQLLRTPTVPTSSSL >KJB71120 pep chromosome:Graimondii2_0_v6:11:12454838:12456828:-1 gene:B456_011G106600 transcript:KJB71120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSPSAALDRESSFSHRFGFLSSSSSGSSDGFSDEILANRDYTAEIPDECLAYVFQFLGPGDRNRCSLVCKRWLCVDGWSRHRLSLDAQSEIEVSLPSIFMRFDSVTKLTLRCSRRSISLNDGALVAISVRCQNLTRLKLRGCREISDEGMSTFAKNSKNLRKLSCGSCTFGAKALNAVLDYCSNLEELSVKRLRGIHDGAEAIGPGAAASSLKTICLKELVNGQSFEPLVVGAKNLKALKIIHCLGDWDRVLQLIGNRNRNGKENLHLNNYNSNGNNDALMEIHLERLQVSDIGLSAISKCTKIENLHIVKTPDCSNYGLVSVAEHCKLLKKLHVDGWRTNRIGDEGLVAVAKHCPNLEELVLVGVNATHLSLGAIASNCSKLERLALCGSGTIGNTEIACIAAKCMALKKLCIKGCPISDIAIEALGSGCPSLVKIKLRKCRGVSCEAGEWLREQRGSLVINMDACEVDGGFEASVSNGGIHEVGVDYPQVVSQVTDRDASTSSNGRLALLRSKFGLFASRNFVACTFRRWSNNEDSFNGNL >KJB74000 pep chromosome:Graimondii2_0_v6:11:59807848:59808369:1 gene:B456_011G266500 transcript:KJB74000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPIISEPFFFLLMEIYEQEEGFMTPRRHSQATAPPPCPAAPKKKQAVHIKRKSPKNEFFHPPDLEALFSITTSMRQTQKAAPPLCPPAPKKKQAVYVKREPPRNGFFQPPDLEALFSIMAPKKETCVSFESACRF >KJB69005 pep chromosome:Graimondii2_0_v6:11:204861:210508:-1 gene:B456_011G002400 transcript:KJB69005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAALNLVNATLNWITFALDAPSARAVVFGVHIGGHLFVEVLLLVVILFLLSQKSYKPPKRPLTKKEIDELCDEWVPESLIPPITQEMLSEPPVLESAAGLHTIIDGKEVVNFASANYLGFVGHDKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTHDSILYSYGLSTLFSAIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMESLEKTLEKITAQNKRAKKLRRYIVVESVYQNSGQIAPLDKIIKLKEKYRFRVLLDETNSFGVLGRTGRGLTEYCGVPIEKIDIVTAAMGHALATEGGFCTGSARVIDHQVCSL >KJB69003 pep chromosome:Graimondii2_0_v6:11:202905:210586:-1 gene:B456_011G002400 transcript:KJB69003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAALNLVNATLNWITFALDAPSARAVVFGVHIGGHLFVEVLLLVVILFLLSQKSYKPPKRPLTKKEIDELCDEWVPESLIPPITQEMLSEPPVLESAAGLHTIIDGKEVVNFASANYLGFVGHDKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTHDSILYSYGLSTLFSAIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMESLEKTLEKITAQNKRAKKLRRYIVVESVYQNSGQIAPLDKIIKLKEKYRFRVLLDETNSFGVLGRTGRGLTEYCGVPIEKIDIVTAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDILEQNPDLTSKLKENIAILWKGLSDIRGLSIASNPESPIVFLVLEKSTSSVKSDLQLLEDIADRALKQESIFVVVSKRSTLDKCPLPVGIRLFVSAAHSESDLLKACESLKRVAAAMLR >KJB69006 pep chromosome:Graimondii2_0_v6:11:205848:210508:-1 gene:B456_011G002400 transcript:KJB69006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAALNLVNATLNWITFALDAPSARAVVFGVHIGGHLFVEVLLLVVILFLLSQKSYKPPKRPLTKKEIDELCDEWVPESLIPPITQEMLSEPPVLESAAGLHTIIDGKEVVNFASANYLGFVGHDKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTHDSILYSYGLSTLFSAIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMESLEKTLEKITAQNKRAKKLRRYIVVESVYQVCYVKFSQASTIVSLVCPCCT >KJB69004 pep chromosome:Graimondii2_0_v6:11:203581:210508:-1 gene:B456_011G002400 transcript:KJB69004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAALNLVNATLNWITFALDAPSARAVVFGVHIGGHLFVEVLLLVVILFLLSQKSYKPPKRPLTKKEIDELCDEWVPESLIPPITQEMLSEPPVLESAAGLHTIIDGKEVVNFASANYLGFVGHDKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTHDSILYSYGLSTLFSAIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMESLEKTLEKITAQNKRAKKLRRYIVVESVYQNSGQIAPLDKIIKLKEKYRFRVLLDETNSFGVLGRTGRGLTEYCGVPIEKIDIVTAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDILEQNPDLTSKLKENIAILWKGLSDIRGLSIASNPESPIVFLVLEKSTSSVKSDLQLLEDIADRVSTPFSCFLLTSSGELYYSEIFIFLEIFDIRT >KJB69732 pep chromosome:Graimondii2_0_v6:11:2949282:2950725:-1 gene:B456_011G039400 transcript:KJB69732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) UniProtKB/Swiss-Prot;Acc:Q9XF57] MPFFKTPFNGYSVRFSPFYESRLAVATAQNFGILGNGRVHVIDLSPNAPIINELISYDTADGVYDVAWSESHDSVLVAAVADGSIKVYDTALPPHSNPLRSLKEHAREVHGLDYNPTRRDSFLSASWDDSVKLWTLDRPASLRTFREHAYCVYSVAWNPKHADVFASASGDCTVRIWDVREPGSTMIIPGHEHEILSCDWNKYDECLIASASVDKSIKIWDVRNYRVPVSVLNGHGYAVRKIKFSPHRRSLIVSCSYDMTVCLWDFMIEDALVGRYDHHTEFAVGVDLSVLVDGLMASTGWDELVYVWQQGMDPRQVLHVMAADRESFVQTLQP >KJB68801 pep chromosome:Graimondii2_0_v6:11:21761649:21764230:-1 gene:B456_011G140000 transcript:KJB68801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDAHSVKIKKSRDVVKFKVRCSKYLYTLCVSDAEKADKLKQSLPPGLSVQDL >KJB68802 pep chromosome:Graimondii2_0_v6:11:21763054:21764182:-1 gene:B456_011G140000 transcript:KJB68802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDAHSVKIKKSRDVVKFKVRCSKYLYTLCVSDAEKADKLKQSLPPGNS >KJB70243 pep chromosome:Graimondii2_0_v6:11:16051993:16053506:-1 gene:B456_011G118500 transcript:KJB70243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARAEAGNYIIFMGLDKYKNAELIKYGFIEDIWYKKLLSSVDLTKDFFFSYSYNVMCSLQKKLYNNEPGEVLYETRSFKLLLIARRSRHYEPAKR >KJB70152 pep chromosome:Graimondii2_0_v6:11:4983648:4984037:-1 gene:B456_011G060900 transcript:KJB70152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQEQGRQSFSKHLTQSEVKDRIIFFSYTDVAPFFEFQEGHLFFMDVTDSLGKAWTFIGTFYANPEVGKYVSIKWPQFSSEKGLKANDEVIFTERPQREGEAPWKKFNVVIKRKIRLFGQDIWGELKV >KJB71531 pep chromosome:Graimondii2_0_v6:11:18260118:18267613:1 gene:B456_011G127500 transcript:KJB71531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSCMNGLCGATTSIEWRKGWALRSGDFANLCDKCGSAYEQSIFCDIFHSKDAGWRECSSCGKRLHCGCIVSRSLLELLDSGGGIICISCAKKSGLNPMIEDEKPNGFGIVKIDAGQLHSISADNQLISISNENLKLMQLSNNAESIGLRQLLQLHNDDSSRSLLQMKQEEVLPPAIDIGSTCLSNTNQASNGSVQAVKPAIFKANISETLPQTNLSISLGSSLGNQNVFPGSVVDEKGKMSSVLQQASKSLHLLPKPPKPVLAGLEVNAGMVPQIRVARPPVEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCMQSMQLQAGDTVTFSRMDPEGKLVMGFRKATNNAVVQENLPSAIPNGTLSSESLFSGVFENLPIISGYSGLLQSPKGSTDPHLNALSKHLSSTGGDISWNKSGKHEDRIREGLLLPSMLTPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPSIKPSVVTIEDHDFEEYDEPPVFGKRSIFAVRSTGGQEQWAQCDSCSKWRRLPVDVLLPPKWTCADNNWDQSRSSCSAPEELTPRELENLLRLNRDFKKRRIAAFTRPTQEHESSSGLDALANAAILGDNADNSGTTSVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCVVCMTVKRRFKTLTMRKKKRQSEREAEIAQRNQQAWGPSEEAEVDSSAKHVSSSHLNPFENEARSANELESKSQSSNKLVEANKGQIDLNCDPDREDDSQLGPNRTSMTSLVRVASLPLETYLKENGLTNLVYEQQGNSASNAPPQSMSGTVEGETQENSCFPSATEEPESKDEENGETGSDRVDDNNDKDP >KJB71532 pep chromosome:Graimondii2_0_v6:11:18260172:18267613:1 gene:B456_011G127500 transcript:KJB71532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSCMNGLCGATTSIEWRKGWALRSGDFANLCDKCGSAYEQSIFCDIFHSKDAGWRECSSCGKRLHCGCIVSRSLLELLDSGGGIICISCAKKSGLNPMIEDEKPNGFGIVKIDAGQLHSISADNQLISISNENLKLMQLSNNAESIGLRQLLQLHNDDSSRSLLQMKQEEVLPPAIDIGSTCLSNTNQASNGSVQAVKPAIFKANISETLPQTNLSISLGSSLGNQNVFPGSVVDEKGKMSSVLQQASKSLHLLPKPPKPVLAGLEVNAGMVPQIRVARPPVEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCMQSMQLQAGDTVTFSRMDPEGKLVMGFRKATNNAVVQSPKGSTDPHLNALSKHLSSTGGDISWNKSGKHEDRIREGLLLPSMLTPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPSIKPSVVTIEDHDFEEYDEPPVFGKRSIFAVRSTGGQEQWAQCDSCSKWRRLPVDVLLPPKWTCADNNWDQSRSSCSAPEELTPRELENLLRLNRDFKKRRIAAFTRPTQEHESSSGLDALANAAILGDNADNSGTTSVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCVVCMTVKRRFKTLTMRKKKRQSEREAEIAQRNQQAWGPSEEAEVDSSAKHVSSSHLNPFENEARSANELESKSQSSNKLVEANKGQIDLNCDPDREDDSQLGPNRTSMTSLVRVASLPLETYLKENGLTNLVYEQQGNSASNAPPQSMSGTVEGETQENSCFPSATEEPESKDEENGETGSDRVDDNNDKDP >KJB71530 pep chromosome:Graimondii2_0_v6:11:18260118:18267626:1 gene:B456_011G127500 transcript:KJB71530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSCMNGLCGATTSIEWRKGWALRSGDFANLCDKCGSAYEQSIFCDIFHSKDAGWRECSSCGKRLHCGCIVSRSLLELLDSGGGIICISCAKKSGLNPMIEDEKPNGFGIVKIDAGQLHSISADNQLISISNENLKLMQLSNNAESIGLRQLLQLHNDDSSRSLLQMKQEEVLPPAIDIGSTCLSNTNQASNGSVQAVKPAIFKANISETLPQTNLSISLGSSLGNQNVFPGSVVDEKGKMSSVLQQASKSLHLLPKPPKPVLAGLEVNAGMVPQIRVARPPVEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCMQSMQLQAGDTVTFSRMDPEGKLVMGFRKATNNAVVQENLPSAIPNGTLSSESLFSGVFENLPIISGYSGLLQSPKGSTDPHLNALSKHLSSTGGDISWNKSGKHEDRIREGLLLPSMLTPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPSIKPSVVTIEDHDFEEYDEPPVFGKRSIFAVRSTGGQEQWAQCDSCSKWRRLPVDVLLPPKWTCADNNWDQSRSSCSAPEELTPRELENLLRLNRDFKKRRIAAFTRPTQEHESSSGLDALANAAILGDNADNSGTTSVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCVVCMTVKRRFKTLTMRKKKRQSEREAEIAQRNQQAWGPSEEAEVDSSAKHVSSSHLNPFENEARSANELESKSQSSNKLVEANKGQIDLNCDPDREDDSQLGPNRTSMTSLVRVASLPLETYLKENGLTNLVYEQQGNSASNAPPQSMSGTVEGETQENSCFPSATEEPESKDEENGETGSDRVDDNNDKDP >KJB71533 pep chromosome:Graimondii2_0_v6:11:18260468:18267613:1 gene:B456_011G127500 transcript:KJB71533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYIWSRSAYEQSIFCDIFHSKDAGWRECSSCGKRLHCGCIVSRSLLELLDSGGGIICISCAKKSGLNPMIEDEKPNGFGIVKIDAGQLHSISADNQLISISNENLKLMQLSNNAESIGLRQLLQLHNDDSSRSLLQMKQEEVLPPAIDIGSTCLSNTNQASNGSVQAVKPAIFKANISETLPQTNLSISLGSSLGNQNVFPGSVVDEKGKMSSVLQQASKSLHLLPKPPKPVLAGLEVNAGMVPQIRVARPPVEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCMQSMQLQAGDTVTFSRMDPEGKLVMGFRKATNNAVVQENLPSAIPNGTLSSESLFSGVFENLPIISGYSGLLQSPKGSTDPHLNALSKHLSSTGGDISWNKSGKHEDRIREGLLLPSMLTPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPSIKPSVVTIEDHDFEEYDEPPVFGKRSIFAVRSTGGQEQWAQCDSCSKWRRLPVDVLLPPKWTCADNNWDQSRSSCSAPEELTPRELENLLRLNRDFKKRRIAAFTRPTQEHESSSGLDALANAAILGDNADNSGTTSVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCVVCMTVKRRFKTLTMRKKKRQSEREAEIAQRNQQAWGPSEEAEVDSSAKHVSSSHLNPFENEARSANELESKSQSSNKLVEANKGQIDLNCDPDREDDSQLGPNRTSMTSLVRVASLPLETYLKENGLTNLVYEQQGNSASNAPPQSMSGTVEGETQENSCFPSATEEPESKDEENGETGSDRVDDNNDKDP >KJB72861 pep chromosome:Graimondii2_0_v6:11:48785648:48788206:-1 gene:B456_011G201500 transcript:KJB72861 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] MASGAGKWSAEQIDDYEALISTTDVELLKRAWRNEKASPEILPFEEALLKRAKEQIQLMEETVDDFAESGHDPLIASLYQMDLDRAQFLLRSYLRVRLQKIEKFMFHIWKMDTYRNRLSIEEEKFTERCIRDIGKHLEETVLSKLPDNYQSVLKQSIISEEDDMVPEPQLDTFVVAKCERATKPLYLDGSRQSASFDRMTTFKWCLEIYAFCVTGPFKRN >KJB72862 pep chromosome:Graimondii2_0_v6:11:48785997:48788047:-1 gene:B456_011G201500 transcript:KJB72862 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] MASGAGKWSAEQIDDYEALISTTDVELLKRAWRNEKASPEILPFEEALLKRAKEQIQLMEETVDDFAESGHDPLIASLYQMDLDRAQFLLRSYLRVRLQKIEKFMFHIWKMDTYRNRLSIEEEKFTERCIRDIGKHLEETVLSKLPDNYQSVLKQSIISEEDDMVPEPQLDTFVVAKCERATKPLYLDGSRQSASFDSRDDHFQMVPGDLCILRYRPFQEELMSGNISLV >KJB72860 pep chromosome:Graimondii2_0_v6:11:48785609:48788248:-1 gene:B456_011G201500 transcript:KJB72860 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] MASGAGKWSAEQIDDYEALISTTDVELLKRAWRNEKASPEILPFEEALLKRAKEQIQLMEETVDDFAESGHDPLIASLYQMDLDRAQFLLRSYLRVRLQKIEKFMFHIWKMDTYRNRLSIEEEKFTERCIRDIGKHLEETVLSKLPDNYQSVLKQSIISEEDDMVPEPQLDTFVVAKCERATKPLYLDGSRQSASFDSSRDDHFQMVPGDLCILRYRPFQEELMSGNISLV >KJB70010 pep chromosome:Graimondii2_0_v6:11:4178029:4179765:-1 gene:B456_011G053100 transcript:KJB70010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDGIVSSPLRRSASTRRQSSRDEFGSWSTLVERHRFLLTALGLLAFLCTIYLYFAVTLGATDTCSGLKGTEKATCNLQHVSSTLSHGKLKFL >KJB69945 pep chromosome:Graimondii2_0_v6:11:3971736:3973804:1 gene:B456_011G050900 transcript:KJB69945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYMSWRSSASNRRPTQPTNTSKNRGTIYPDLNQDPTPKPVIHFLAFALIVFLGLLQFLPASHFRHPSDPHRKWVPFNSHSSPSPTVKLTVDEDDGLIHIVSWMQCLDLKVLAVLANSTLSSSRYPDMLHFHFFTPQGDKDKVSFYKLKVLFPHSNLELHGQEKVKEIIKRASSEAEYDSLNLEEIAPFIIPSVHQSLTKFIYVSPNLILMLLRTALIG >KJB69947 pep chromosome:Graimondii2_0_v6:11:3971736:3974069:1 gene:B456_011G050900 transcript:KJB69947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYMSWRSSASNRRPTQPTNTSKNRGTIYPDLNQDPTPKPVIHFLAFALIVFLGLLQFLPASHFRHPSDPHRKWVPFNSHSSPSPTVKLTVDEDDGLIHIVSWMQCLDLKVLAVLANSTLSSSRYPDMLHFHFFTPQGDKDKVSFYKLKVLFPHSNLELHGEE >KJB69944 pep chromosome:Graimondii2_0_v6:11:3971736:3973804:1 gene:B456_011G050900 transcript:KJB69944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYMSWRSSASNRRPTQPTNTSKNRGTIYPDLNQDPTPKPVIHFLAFALIVFLGLLQFLPASHFRHPSDPHRKWVPFNSHSSPSPTVKLTVDEDDGLIHIVSWMQCLDLKVLAVLANSTLSSSRYPDMLHFHFFTPQGDKDKVSFYKLKVLFPHSNLELHGQEKVKEIIKRASSEAEYDSLNLEEIAPFIIPSVHQSLTKFIYVSPNLILMGRIEELTGIDLSAHAAAAAEDCSNRLNSYVSSDVLDAIQRSASKPWISVTPYVKDACMPDLSLLLINGKKLEEFLEAVLWWSKVLNWSDRFLVFSLPP >KJB69946 pep chromosome:Graimondii2_0_v6:11:3971736:3974069:1 gene:B456_011G050900 transcript:KJB69946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYMSWRSSASNRRPTQPTNTSKNRGTIYPDLNQDPTPKPVIHFLAFALIVFLGLLQFLPASHFRHPSDPHRKWVPFNSHSSPSPTVKLTVDEDDGLIHIVSWMQCLDLKVLAVLANSTLSSSRYPDMLHFHFFTPQGDKDKVSFYKLKVLFPHSNLELHGQEKVKEIIKRASSEAEYDSLNLEEIAPFIIPSVHQSLTKFIYVSPNLILMGRIEELTGIDLSAHAAAAAEDCSNRLNSYVSSDVLDAIQRSASKPWISVTPYVKDACMPDLSLLLINGKKLEEFLEAVLWWSKVLNWSDRSDKRNPAIGLALYNRYLKLSNSWLVKEPASVDTIETSMITHYDGPKIVCSEFGNDTIPGSSHGNLWIKYLPSMSNQILGI >KJB69943 pep chromosome:Graimondii2_0_v6:11:3971736:3973804:1 gene:B456_011G050900 transcript:KJB69943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYMSWRSSASNRRPTQPTNTSKNRGTIYPDLNQDPTPKPVIHFLAFALIVFLGLLQFLPASHFRHPSDPHRKWVPFNSHSSPSPTVKLTVDEDDGLIHIVSWMQCLDLKVLAVLANSTLSSSRYPDMLHFHFFTPQGDKDKVSFYKLKVLFPHSNLELHGQEKVKEIIKRASSEAEYDSLNLEEIAPFIIPSVHQSLTKFIYVSPNLILMTWLSLQGRIEELTGIDLSAHAAAAAEDCSNRLNSYVSSDVLDAIQRSASKPWISVTPYVKDACMPDLSLLLINGKKLEEFLEAVLWWSKVLNWSDRFLVFSLPP >KJB70856 pep chromosome:Graimondii2_0_v6:11:10109536:10115410:1 gene:B456_011G093100 transcript:KJB70856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDREKEREIELESAMYTNCLLLGLDPPIIGLGASNVTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSARDFDRVWPIFDSAQSRDFRKVVQGIISELEAQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVYRRNFAADVASNPLPVPLTDVAFSHAATLLPITKARIALERRRFLKNAETTVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGGLWDDLVSTSSQNSHLVSKATCLWESILSRKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSQAPYSDVLSVQSGDLANKDQNGGCNTQVNEETFSQVDDRSGRVNQTVDVAEIIRRWTHALQRIHKHSLLLAKANDGEGPDILRSAQDGSTSGHADSLATTLAEHQQHLASFQVLINQLKEVAPAIQKSISNCTGKVNGISSNLPSMAKHHGQATSPIQAQSSGRTLESSSDDVGDITPKMSKVQLDKISPSSPALKLPQLFSLSPNSLVKGGNMQKQHTLAPQTNQIGTLSESSSLDQPLANNGLDNPPQDTDDSFVQNLKRSVRQAALSVPSHDSESSRDSQSDESSEHFFVPVSSFNFYHGGPENKVGSIRSKKLFSTQKDNSLLDSRASDGRIRSNYDDVSHMLNKLDSLNDYDPVNVFLSAAASSSSASDGQRSFFDLEEAQDQVFSPSFLMGASLLSDSYEDLLAPLSETDTALMEH >KJB70855 pep chromosome:Graimondii2_0_v6:11:10109431:10115410:1 gene:B456_011G093100 transcript:KJB70855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDREKEREIELESAMYTNCLLLGLDPPIIGLGASNVTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSARDFDRVWPIFDSAQSRDFRKVVQGIISELEAQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVYRRNFAADVASNPLPVPLTDVAFSHAATLLPITKARIALERRRFLKNAETTVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGGLWDDLVSTSSQNSHLVSKATCLWESILSRKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSQAPYSDVLSVQSGDLANKDQNGGCNTQVNEETFSQVDDRSGRVNQTVDVAEIIRRWTHALQRIHKHSLLLAKANDGEGPDILRSAQDGSTSGHADSLATTLAEHQQHLASFQVLINQLKEVAPAIQKSISNCTGKVNGISSNLPSMAKHHGQATSPIQAQSSGRTLESSSDDVGDITPKMSKVQLDKISPSSPALKLPQLFSLSPNSLVKGGNMQKQHTLAPQTNQIGTLSESSSLDQPLANNGLDNPPQDTDDSFVQNLKRSVRQAALSVPSHDSESSRDSQSDESSEHFFVPVSSFNFYHGGPENKVGSIRTAASSSSASDGQRSFFDLEEAQDQVFSPSFLMGASLLSDSYEDLLAPLSETDTALMEH >KJB70854 pep chromosome:Graimondii2_0_v6:11:10109306:10117484:1 gene:B456_011G093100 transcript:KJB70854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDREKEREIELESAMYTNCLLLGLDPPIIGLGASNVTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSARDFDRVWPIFDSAQSRDFRKVVQGIISELEAQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVYRRNFAADVASNPLPVPLTDVAFSHAATLLPITKARIALERRRFLKNAETTVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGGLWDDLVSTSSQNSHLVSKATCLWESILSRKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSQAPYSDVLSVQSGDLANKDQNGGCNTQVNEETFSQVDDRSGRVNQTVDVAEIIRRWTHALQRIHKHSLLLAKANDGEGPDILRSAQDGSTSGHADSLATTLAEHQQHLASFQVLINQLKEVAPAIQKSISNCTGKVNGISSNLPSMAKHHGQATSPIQAQSSGRTLESSSDDVGDITPKMSKVQLDKISPSSPALKLPQLFSLSPNSLVKGGNMQKQHTLAPQTNQIGTLSESSSLDQPLANNGLDNPPQDTDDSFVQNLKRSVRQAALSVPSHDSESSRDSQSDESSEHFFVPVSSFNFYHGGPENKVGSIRSKKLFSTQKDNSLLDSRASDGRIRSNYDDVSHMLNKLDSLNDYDPVNVFLSAAASSSSASDGQRSFFDLEEAQDQVFSPSFLMGASLLSDSYEDLLAPLSETDTALMEH >KJB72629 pep chromosome:Graimondii2_0_v6:11:44818706:44824024:1 gene:B456_011G188100 transcript:KJB72629 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3138 [Source:Projected from Arabidopsis thaliana (AT5G18570) UniProtKB/TrEMBL;Acc:A0A178UG16] MLDTDDDDNEQQEEENESLGFQDETFDGKIVDFYNGDGSSDFEEEGEEEVYYYDSDGKLVNLPDDEFDDLGLEVKEKGVPAVMRCFDRAKIYVKAGDGGNGVVAFRREKYVPLGGPSGGDGGRGGNVYLEVDGAMNSLLPFRNCVHYRAGRGAHGQGRMMCGRKGEDVVVKVAPGTVVREAGNDEVLLELLYPGQRALLLPGGRGGRGNASFKSGNNKVPKIAENGEEGPEMWLELELKLVADVGIVGAPNAGKSTLLSVISAAQPEIANYRFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALVHVVDGSGQQPEFEFDAVRLELEMFSPELAEKPFIVAYNKMDLPEAYENWPSFKEKLEGLGIETCCMSAVKREGTHEVISAAYKLLQETKEPNEGFEGFEDPKDLNHVADMVQKQRSSSISEFEITRDGSCSTWYVNGAGLQRFVQMTNWRYIDSDKRFQHVLEACGVNRSLMKLGVKEGDTVIIGEMEMVWHDSAENSGTPNMKKRSTESIKWPHLK >KJB73411 pep chromosome:Graimondii2_0_v6:11:54943508:54944346:1 gene:B456_011G232400 transcript:KJB73411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTVSIIGSENISCTDLGEYGVVIIPDFVLSIDDYLQILTRMARHTVNGVLHSFLTKDDSQHAGPLIEILEQCGQEVAEELRNL >KJB72679 pep chromosome:Graimondii2_0_v6:11:45437357:45438640:-1 gene:B456_011G190100 transcript:KJB72679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADIDAFDSILCCIVFILSYILQNGDDSVDKKLENETMAAFVQTLLQQIVGSYFELFWYSIRNFRTINFKQI >KJB74473 pep chromosome:Graimondii2_0_v6:11:59390637:59390979:1 gene:B456_011G2631004 transcript:KJB74473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VECGSLKNLFPVSIAKDLPQLEHLRITNCGVEEIVSKGDGVEEQPVRFKFPQVSYLEVTWVEKLKCFYEGQHALVWPMLKKLRTDSSALLKIVASEHLRLTQGNEQPVLLVE >KJB70221 pep chromosome:Graimondii2_0_v6:11:5399026:5401395:1 gene:B456_011G064100 transcript:KJB70221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQNLSNKAMAEGAGEEKKKFSIWDLPDVPMGQLPPHLELQRSRVSCNKDAPIHTESIQYSGAYASMGIDNSSRLDRFSNNFRVEVVRLNEDDMEFDMIVIDAAIANSFRRILIAEVFLIANKTSIIQDEVLAHRLGLVPIRVDPRLFDYLSENDQPNEKNTIVSKLHVQCKRGSPRITEQNQNTEAVTVEHDASSIIKSSRLVASMTTADALEELQSYKEMKNLLLSEGEGFFVKVIFEV >KJB70220 pep chromosome:Graimondii2_0_v6:11:5399026:5401395:1 gene:B456_011G064100 transcript:KJB70220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAGEEKKKFSIWDLPDVPMGQLPPHLELQRSRVSCNKDAPIHTESIQYSGAYASMGIDNSSRLDRFSNNFRVEVVRLNEDDMEFDMIVIDAAIANSFRRILIAEVFLIANKTSIIQDEVLAHRLGLVPIRVDPRLFDYLSENDQPNEKNTIVSKLHVQCKRGSPRITEQNQNTEAVTVEHDASSIIKSSRLVASMTTADALEELQSYKEMKNLLLSEGEGFFVKVIFEV >KJB69601 pep chromosome:Graimondii2_0_v6:11:2451146:2451394:1 gene:B456_011G033200 transcript:KJB69601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWRFLACLILILYSVSSSECRLLNPNIDGKNPTGSFRMLSLATSSGKVYQFNIGIGDESGNENLYESKRRSPGGPDPKHH >KJB73564 pep chromosome:Graimondii2_0_v6:11:56307680:56311254:1 gene:B456_011G238900 transcript:KJB73564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVMDSRKEVVKNSEKCLDPQLWHACAGGMVQMPSVNSKVFYFPQGHAEHANGNVDFGNLPIPSLVLCRVSAVRFMADPETDEVYAKIMLVPLRENSFGVEDDGFDGNVGVENPEKSASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYNAEPPVQTILAKDVHGEVWKFRHIYRGTPRRHLLTTGWSNFVNHKKLVAGDSIVFLRADNGDLCVGIRRAKRGLGGGHEFPGWNSASGTSGSQIGSYSPFLREGESKLMRKDCSGDPRGRVRADSVIEAASRAASGQPFEVVYYPRTSTPEFCVKASSVRAAMQIQWYPGMRFKMPFETEDSSRISWFMGTISTAKVVDPIRWPNSPWRLLQVAWDEPDLLHNVKRVSPWLVELVTNIPAINLNPFSPPRKKMRLPQHPDFSFLNQIPMPSFSGNTFRSSSPMRCITDNIPGGIQGARHEPFGLSSSDLRSNKLHSGLFPSGFHQLDRTAPPIRLSGDNFCSDHPNNTNISSLLTIGNPTQSLKQSNDSKTPHIVLFGQLIFCEQRASQSCSGDTVGNSSSDGNTEKTAISSDGTGSVLHQNVRENSSDEGFPWCKEHQKTDLGLETGHCKVFMESENVGRTLDLSVLGSYEELYGKLANMFGIESSEMLSSVLYRDAAGSVKHTGDEPFSEFMKTARRLTILMDSSSDNLER >KJB73562 pep chromosome:Graimondii2_0_v6:11:56307905:56310995:1 gene:B456_011G238900 transcript:KJB73562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVMDSRKEVVKNSEKCLDPQLWHACAGGMVQMPSVNSKVFYFPQGHAEHANGNVDFGNLPIPSLVLCRVSAVRFMADPETDEVYAKIMLVPLRENSFGVEDDGFDGNVGVENPEKSASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYNAEPPVQTILAKDVHGEVWKFRHIYRGTPRRHLLTTGWSNFVNHKKLVAGDSIVFLRADNGDLCVGIRRAKRGLGGGHEFPGWNSASGTSGSQIGSYSPFLREGESKLMRKDCSGDPRGRVRADSVIEAASRAASGQPFEVVYYPRTSTPEFCVKASSVRAAMQIQWYPGMRFKMPFETEDSSRISWFMGTISTAKVVDPIRWPNSPWRLLQVAWDEPDLLHNVKRVSPWLVELVTNIPAINLNPFSPPRKKMRLPQHPDFSFLNQIPMPSFSGNTFRSSSPMRCITDNIPGGIQGARHEPFGLSSSDLRSNKLHSGLFPSGFHQLDRTAPPIRLSGDNFCSDHPNNTNISSLLTIGNPTQSLKQSNDSKTPHIVLFGQLIFCEQRASQSCSGDTVGNSSSDGNTEKTAISSDGTGSVLHQNVRENSSDEGFPWCKEHQKTDLGLETGHCKVFMESENVGRTLDLSVLGSYEELYGKLANMFGIESSEMLSSVLYRDAAGSVKHTGDEPFSEFMKTARRLTILMDSSSDNLER >KJB73563 pep chromosome:Graimondii2_0_v6:11:56308265:56310492:1 gene:B456_011G238900 transcript:KJB73563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVMDSRKEVVKNSEKCLDPQLWHACAGGMVQMPSVNSKVFYFPQGHAEHANGNVDFGNLPIPSLVLCRVSAVRFMADPETDEVYAKIMLVPLRENSFGVEDDGFDGNVGVENPEKSASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYNAEPPVQTILAKDVHGEVWKFRHIYRGTPRRHLLTTGWSNFVNHKKLVAGDSIVFLRADNGDLCVGIRRAKRGLGGGHEFPGWNSASGTSGSQIGSYSPFLREGESKLMRKDCSGDPRGRVRADSVIEAASRAASGQPFEVVYYPRTSTPEFCVKASSVRAAMQIQWYPGMRFKMPFETEDSSRISWFMGTISTAKVVDPIRWPNSPWRLLQVAWDEPDLLHNVKRVSPWLVELVTNIPAINLNPFSPPRKKMRLPQHPDFSFLNQIPMPSFSGNTFRSSSPMRCITDNIPGGIQGARHEPFGLSSSDLRSNKLHSGLFPSGFHQLDRTAPPIRLSGDNFCSDHPNNTNISSLLTIGNPTQSLKQSNDSKTPHIVLFGQLIFCEQRASQSCSGDTVGNSSSDGNTEKTAISSDGTGSVLHQNVRENSSDEGFPWCKEHQKTDLGLETGHCKVFMESENVGRTLDLSVLGSYEELYGKLANMFGIESSEMLSSVLYRDAAGSVKHTGDEPFRYNNFLSITSLKLTKYFSFQFI >KJB71730 pep chromosome:Graimondii2_0_v6:11:21515010:21518822:1 gene:B456_011G139000 transcript:KJB71730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTNGTRLMVPLSLMVLILALNFIEIGGSASSPDTNSSNEDDTVRVDPLDNFNKYRGGFDITNKHYWSSVVFTGIYGYAIGLLWLLCGILYGIYLLATTYCCKRNRKPDIKSVCHKQCYLWPILLAMIFTILAVAASGLVLGGTVRFHSEAKTVVDIIIRTANEASDTIYNTTGAMKEMRDSLGDTNGAGEASSFLTTTSRRLDVEADDIARQARKNRRMIDRGLQIVFIVTTVTISLNLVAVIALSVTGILRIRRPLYWLIGICWILTILCWLFFGIYFVLENFSGDTCTALENFQENPYNNTLSSILPCDELLSAESVLSDVSAGIYNLVNEVNANISELRATTYPNLAHVCNPFTAPPEYTYQPNNCPANTIRIGDIPKILEVFTCSDANNGSCGEGQFISSNDYKTVEAYTSSVQNLLNVYPGMENLVQCQSVKDAFSEILGHHCKPWKRAARMAWGAMVFLSIIMVILVLIWTAQAHHDRQLHSSDISTKPQSQTMGSLGLEANNEVKIKHHDSV >KJB71731 pep chromosome:Graimondii2_0_v6:11:21515131:21518822:1 gene:B456_011G139000 transcript:KJB71731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTNGTRLMVPLSLMVLILALNFIEIGGSASSPDTNSSNEDDTVRVDPLDNFNKYRGGFDITNKHYWSSVVFTGIYGYAIGLLWLLCGILYGIYLLATTYCCKRNRKPDIKSVCHKQCYLWPILLAMIFTILAVAASGLVLGGTVRFHSEAKTVVDIIIRTANEASDTIYNTTGAMKEMRDSLGDTNGAGEASSFLTTTSRRLDVEADDIARQARKNRRMIDRGLQIVFIVTTVTISLNLVAVIALSVTGILRIRRPLYWLIGICWILTILCWLFFGIYFVLENFSGDTCTALENFQENPYNNTLSSILPCDELLSAESVLSDVSAGIYNLVNEVNANISELRATTYPNLAHVCNPFTAPPEYTYQPNNCPANTIRIGDIPKILEVFTCSDANNGSCGEGQFISSNDYKTVEAYTSSVQNLLNVYPGMENLVQCQSVKDAFSEILGHHCKPWKRAARMAWGAMVFLSIIMVILVLIWTAQAHHDRQLHSSDISTKPQSQTMGSLGLEANNEVKIKHHDSV >KJB71732 pep chromosome:Graimondii2_0_v6:11:21514435:21518822:1 gene:B456_011G139000 transcript:KJB71732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTNGTRLMVPLSLMVLILALNFIEIGGSASSPDTNSSNEDDTVRVDPLDNFNKYRGGFDITNKHYWSSVVFTGIYGYAIGLLWLLCGILYGIYLLATTYCCKRNRKPDIKSVCHKQCYLWPILLAMIFTILAVAASGLVLGGTVRFHSEAKTVVDIIIRTANEASDTIYNTTGAMKEMRDSLGDTNGAGEASSFLTTTSRRLDVEADDIARQARKNRRMIDRGLQIVFIVTTVTISLNLVAVIALSVTGILRIRRPLYWLIGICWILTILCWLFFGIYFVLENFSGDTCTALENFQENPYNNTLSSILPCDELLSAESVLSDVSAGIYNLVNEVNANISELRATTYPNLAHVCNPFTAPPEYTYQPNNCPANTIRIGDIPKILEVFTCSDANNGSCGEGQFISSNDYKTVEAYTSSVQNLLNVYPGMENLVQCQSVKDAFSEILGHHCKPWKRAARMAWGAMVFLSIIMVILVLIWTAQAHHDRQLHSSDISTKPQSQTMGSLGLEANNEVKIKHHDSV >KJB74262 pep chromosome:Graimondii2_0_v6:11:61628336:61631018:1 gene:B456_011G283800 transcript:KJB74262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-31 [Source:Projected from Arabidopsis thaliana (AT5G05760) UniProtKB/Swiss-Prot;Acc:Q9FFK1] MATPHWTTLTTEETTRMNFVLIFSQVTVSLQFLNINPFTGSRQPNLPFSGRLKRRRFPPPMGSPYRDRTAEFRSLSQTLQKLGGGISTVNHNNNHLQDDHVSKLLPSPSSRSEFNKKASLIGSGIHETSQKIGRLAKLAKRSSMFDDPLVEIQELTALIKTDITTLNMALSDLQTLQNMEIADGNYSEDRIVHSTTVCDDLKNKLMGATKHFQEVLTARTENIKAHENRKQLFSKSTIRENPFQHQTRSVAEPPPWSSSSKVPTSSPLLGPPPNGVQAGSQLRRRPAVDGTPSNHMEMPMLQQVVPRQEEYSQGRAVALQNVESTISELSGIFTHLATMVAHQGELAIRIDEDMDQSLANVEGARSALLRHLNQISSNRWLLIKIFAAIIFFLVIFIIFVA >KJB74263 pep chromosome:Graimondii2_0_v6:11:61628336:61631018:1 gene:B456_011G283800 transcript:KJB74263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-31 [Source:Projected from Arabidopsis thaliana (AT5G05760) UniProtKB/Swiss-Prot;Acc:Q9FFK1] MATPHWTTLTTEETTRMNFVLIFSQVTVSLQFLNINPFTGSRQPNLPFSGRLKRRRFPPPMGSPYRDRTAEFRSLSQTLQKLGGGISTVNHNNNHLQDDHVSKLLPSPSSRSEFNKKASLIGSGIHETSQKIGRLAKLAKRSSMFDDPLVEIQELTALIKTDITTLNMALSDLQTLQNMEIADGNYSEDRIVHSTTVCDDLKNKLMGATKHFQEVLTARTENIKAHENRKQLFSKSTIRENPFQHQTRSVAEPPPWSSSSKVPTSSPLLGPPPNGVQAGSQLRRRPAVDGTPSNHMEMPMLQQVVPRQEEYSQGRAVALQNVESTISELSGIFTHLATMVAHQGELAIRIDEDMDQSLANVEGARSALLRHLNQISSNRWLLIKIFAAIIFFLVIFIIFVA >KJB68850 pep chromosome:Graimondii2_0_v6:11:3064815:3067316:1 gene:B456_011G041300 transcript:KJB68850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELMARQGVGNQQNPEQQKAQEDAKREADERRQMMLSQILSSEARERLARIALVKPEKARGVEDVLLRAAQTGQIAEKVSEERLISLLEQINTQTTKQTKVTIQRRRSVLDDDD >KJB68849 pep chromosome:Graimondii2_0_v6:11:3064923:3066678:1 gene:B456_011G041300 transcript:KJB68849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPELEAIRQRRMQELMARQGVGNQQNPEQQKAQEDAKREADERRQMMLSQILSSEARERLARIALVKPEKARGVEDVLLRAAQTGQIAEKVW >KJB68847 pep chromosome:Graimondii2_0_v6:11:3064800:3067316:1 gene:B456_011G041300 transcript:KJB68847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPELEAIRQRRMQELMARQGVGNQQNPEQQKAQEDAKREADERRQMMLSQILSSEARERLARIALVKPEKARGVEDVLLRAAQTGQIAEKRRGLYRCWNR >KJB68845 pep chromosome:Graimondii2_0_v6:11:3064789:3067316:1 gene:B456_011G041300 transcript:KJB68845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPELEAIRQRRMQELMARQGGNQQNPEQQKAQEDAKREADERRQMMLSQILSSEARERLARIALVKPEKARGVEDVLLRAAQTGQIAEKVSEERLISLLEQINTQTTKQTKVTIQRRRSVLDDDD >KJB68848 pep chromosome:Graimondii2_0_v6:11:3064800:3067316:1 gene:B456_011G041300 transcript:KJB68848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPELEAIRQRRMQELMARQGVGNQQNPEQQKAQEDAKREADERRQMMLSQILSSEARERLARIALVKPEKARGVEDVLLRAAQTGQIAEKPSFDKELKCIWLNPCKGFRGEAYIAVGTDKHPNN >KJB68844 pep chromosome:Graimondii2_0_v6:11:3064736:3067386:1 gene:B456_011G041300 transcript:KJB68844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPELEAIRQRRMQELMARQGVGNQQNPEQQKAQEDAKREADERRQMMLSQILSSEARERLARIALVKPEKARGVEDVLLRAAQTGQIAEKVSEERLISLLEQINTQTTKQTKVTIQRRRSVLDDDD >KJB68846 pep chromosome:Graimondii2_0_v6:11:3064800:3067316:1 gene:B456_011G041300 transcript:KJB68846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPELEAIRQRRMQELMARQGVGNQQNPEQQKAQEDAKREADERRQMMLSQILSSEARERLARIALVKPEKARGVEDVLLRAAQTGQIAEKVSEERLISLLEQINTQTTKQTKVTRRRSVLDDDD >KJB71123 pep chromosome:Graimondii2_0_v6:11:12497706:12498287:-1 gene:B456_011G106800 transcript:KJB71123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVKCFLSFSSFVLVLSSIVVVTEARDPLNVLMSRKFGGGKLPDLFDIGLYLGAIKGSGPSAPGEGHRFVNGRTLGGIKTSGPSPGVGHKLTDSLGLGGIKDSGPSGGGEGHKFTDTRTLGGIKNSGPSPGEGHSSTLGGIKVSGSNGNRLTISINLGGIKDSGPSPGVGNKFTDSRTQAIKDSGPSPGVGN >KJB72511 pep chromosome:Graimondii2_0_v6:11:43500865:43503472:1 gene:B456_011G182600 transcript:KJB72511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKESGGSTFDLPEELLQVLPSDPFDQLDVARKITSIALSTRVSLLEAESSSLRDKLTEKDQQIADLCAQIDALETSLSETSNKLVMADQEKESLLKDNASLSNTVRKLQRDVSKLEVFRRKLMQSLQEDDESSATGGPPIIAKPTLSEDDSALISRTTSMRSQYSDSGAEDRDSDVSASRPGLPHGLLLASQTSTPRLTPPGDMQFRFLPQEACLMIGHQYQAPILDHSLVALGLMGKNSSVKSGAACRMSSLVHSWQMLKN >KJB72507 pep chromosome:Graimondii2_0_v6:11:43500865:43503472:1 gene:B456_011G182600 transcript:KJB72507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKESGGSTFDLPEELLQVLPSDPFDQLDVARKITSIALSTRVSLLEAESSSLRDKLTEKDQQIADLCAQIDALETSLSETSNKLVMADQEKESLLKDNASLSNTVRKLQRDVSKLEVFRRKLMQSLQEDDESSATGGPPIIAKPTLSEDDSALISRTTSMRSQYSDSGAEDRDSDVSASRPGLPHGLLLASQTSTPRLTPPGSPPSVSASVSPTRTSKPVSPRRHAVSFSTSRGMFDDRSSISSSDSGSQSGRTRVDGKEFFRQVSRLSYEQFGAFLANVKELNSHKQTREETLRKAEEIFGPDNRDLYAIFEGLINRNVH >KJB72506 pep chromosome:Graimondii2_0_v6:11:43500865:43503472:1 gene:B456_011G182600 transcript:KJB72506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKESGGSTFDLPEELLQVLPSDPFDQLDVARKITSIALSTRVSLLEAESSSLRDKLTEKDQQIADLCAQIDALETSLSETSNKLVMADQEKESLLKDNASLSNTVRKLQRDVSKLEVFRRKLMQSLQEDDESSATGGPPIIAKPTLSEDDSALISRTTSMRSQYSDSGAEDRDSDASRPGLPHGLLLASQTSTPRLTPPGSPPSVSASVSPTRTSKPVSPRRHAVSFSTSRGMFDDRSSISSSDSGSQSGRTRVDGKEFFRQVRSRLSYEQFGAFLANVKELNSHKQTREETLRKAEEIFGPDNRDLYAIFEGLINRNVH >KJB72503 pep chromosome:Graimondii2_0_v6:11:43500655:43503546:1 gene:B456_011G182600 transcript:KJB72503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKESGGSTFDLPEELLQVLPSDPFDQLDVARKITSIALSTRVSLLEAESSSLRDKLTEKDQQIADLCAQIDALETSLSETSNKLVMADQEKESLLKDNASLSNTVRKLQRDVSKLEVFRRKLMQSLQEDDESSATGGPPIIAKPTLSEDDSALISRTTSMRSQYSDSGAEDRDSDVSASRPGLPHGLLLASQTSTPRLTPPGSPPSVSASVSPTRTSKPVSPRRHAVSFSTSRGMFDDRSSISSSDSGSQSGRTRVDGKEFFRQVRSRLSYEQFGAFLANVKELNSHKQTREETLRKAEEIFGPDNRDLYAIFEGLINRNVH >KJB72505 pep chromosome:Graimondii2_0_v6:11:43501090:43503156:1 gene:B456_011G182600 transcript:KJB72505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPVRSPPLLSPPACLYSKPSLLLFETSSPRKTNKSPTCVPRSMLSRLLCLKPRISSLWPTKKRYSLLKDNASLSNTVRKLQRDVSKLEVFRRKLMQSLQEDDESSATGGPPIIAKPTLSEDDSALISRTTSMRSQYSDSGAEDRDSDVSASRPGLPHGLLLASQTSTPRLTPPGSPPSVSASVSPTRTSKPVSPRRHAVSFSTSRGMFDDRSSISSSDSGSQSGRTRVDGKEFFRQVRSRLSYEQFGAFLANVKELNSHKQTREETLRKAEEIFGPDNRDLYAIFEGLINRNVH >KJB72509 pep chromosome:Graimondii2_0_v6:11:43500865:43503472:1 gene:B456_011G182600 transcript:KJB72509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKESGGSTFDLPEELLQVLPSDPFDQLDVARKITSIALSTRVSLLEAESSSLRDKLTEKDQQIADLCAQIDALETSLSETSNKLVMADQEKESLLKDNASLSNTVRKLQRDVSKLEVFRRKLMQSLQEDDESSATGGPPIIAKPTLSDDSALISRTTSMRSQYSDSGAEDRDSDVSASRPGLPHGLLLASQTSTPRLTPPGSPPSVSASVSPTRTSKPVSPRRHAVSFSTSRGMFDDRSSISSSDSGSQSGRTRVDGKEFFRQVRSRLSYEQFGAFLANVKELNSHKQTREETLRKAEEIFGPDNRDLYAIFEGLINRNVH >KJB72504 pep chromosome:Graimondii2_0_v6:11:43500865:43502686:1 gene:B456_011G182600 transcript:KJB72504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKESGGSTFDLPEELLQVLPSDPFDQLDVARKITSIALSTRVSLLEAESSSLRDKLTEKDQQIADLCAQIDALETSLSETSNKLVMADQEKESLLKDNASLSNTVRKLQRDVSKLEVFRRKLMQSLQEDDESSATGGPPIIAKPTLSEDDSALISRTTSMRSQYSDSGAEDRDSDVSASRPGLPHGLLLASQTSTPRLTPPGSPPSVSASVSPTRTSKPVSPRRHAVSFSTSRGMFDDRSSISSSDSGSQSG >KJB72508 pep chromosome:Graimondii2_0_v6:11:43500865:43503472:1 gene:B456_011G182600 transcript:KJB72508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKESGGSTFDLPEELLQVLPSDPFDQLDVARKITSIALSTRVSLLEAESSSLRDKLTEKDQQIADLCAQIDALETSLSETSNKLVMADQEKSLLKDNASLSNTVRKLQRDVSKLEVFRRKLMQSLQEDDESSATGGPPIIAKPTLSEDDSALISRTTSMRSQYSDSGAEDRDSDVSASRPGLPHGLLLASQTSTPRLTPPGSPPSVSASVSPTRTSKPVSPRRHAVSFSTSRGMFDDRSSISSSDSGSQSGRTRVDGKEFFRQVRSRLSYEQFGAFLANVKELNSHKQTREETLRKAEEIFGPDNRDLYAIFEGLINRNVH >KJB72510 pep chromosome:Graimondii2_0_v6:11:43500865:43503472:1 gene:B456_011G182600 transcript:KJB72510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKESGGSTFDLPEELLQVLPSDPFDQLDVARKITSIALSTRVSLLEAESSSLRDKLTEKDQQIADLCAQIDALETSLSETSNKLVMADQEKESLLKDNASLSNTVRKLQRDVSKLEVFRRKLMQSLQEDDESSATGGPPIIAKPTLSEDDSALISRTTSMRSQYSDSGAEDRDSDVSASRPGLPHGLLLASQTSTPRLTPPGSPPSVSASVSPTRTSKPVSPRRHAVSFSTSRGMFDDRSSISSSDSGSQSGRTRVDGKEFFRQVRSRLSYEQFGAFLANVKELNSHKQTREVCDFLTNSSSLFYLFHNAAFSSCLFLTYAGDFEESRGDFWPG >KJB69338 pep chromosome:Graimondii2_0_v6:11:1225564:1230117:1 gene:B456_011G017700 transcript:KJB69338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSITKRRQISTNFINLVLLLTVLPLLSPATEAQQHRFGIPSCPNTTTFTINSTYQANRDTLLSSLSSNVTRGVFFYNTTAGKSPDMVYGLFLCRGDISTSTCQACVTYAATHISRRCPLDKTAVVWYEECFLRYSNRNIFSVVTETPTIYFLSGRSSVVTISEEDRFEQFVLKVINETIAMAESRPAGVSKYVTRVENISSFQTLYIRVDCTPDLLGADCGRCLRRARAYIPAGRQGGRAFNPSCSVRYEFNPFFNLTAVAALPAKGGEKHIKLNRIRILITSLSVIFGVAVVFISGFFIWRRRNSQDKENIHEVQLLDLENEHSKETSSGENWERSQEFPSIQLDILHAATNHFSDENKLGEGGFGPVYKGTLANGKEIAVKRLSRTSGQGLVEFKNEVLLIARLQHKNLVRLLGCCLEKNEKLLVYEFMPNRSLDVFLFDSNLATQLDWQKRFNIIKGIVRGIMYLHEDSRLRIIHRDLKASNILLDHKMNPKISDFGMARIFCEDINQANTNRVVGTYGYMAPEYAMEGLFSIKSDVFSFGVLLLEIISGKKNNGFHLAKRGESLLTFAWKLWSKGEGMELIDQLLVPSCVAFEVLKCIHIGLLCVQEDPADRPTMSSVIFMLASDGSIKLPRPTEPAFSVGRVVTKSIEPISSEEVFSVNEITVSNFLPR >KJB71853 pep chromosome:Graimondii2_0_v6:11:23315555:23316552:1 gene:B456_011G144800 transcript:KJB71853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQETLFNRCGITPLNTSELIVFDVDLLTVMSSLKKSHEENWFRINILLLILTNNRKLVYSRVCFIRINLLNSKFVVDAAKSGVTHETLQNAVRRGGKVMTEQEARLILGVSEETAWEEILKKYEILFEKNAKNGSFYLQSKVHRAKECLESVYQGKAEGSPPS >KJB71852 pep chromosome:Graimondii2_0_v6:11:23313268:23316796:1 gene:B456_011G144800 transcript:KJB71852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKILANLIVMGSGILARAVVQAYRQALSNAAKSGVTHETLQNAVRRGGKVMTEQEARLILGVSEETAWEEILKKYEILFEKNAKNGSFYLQSKVHRAKECLESVYQGKAEGSPPS >KJB74243 pep chromosome:Graimondii2_0_v6:11:61604645:61606119:-1 gene:B456_011G283500 transcript:KJB74243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNFAPKSMFIIKDPLKSASLISAAWLAIHSGFNQQRLTYSLVHHSTLFSSRNFEWHSLKLFRHAQFNGFSDGAEVHPFDDANTNANDEQRMDSSLPVELLDPNLLGIWPDWPEKDKVCRISIEQKANSFGIPHSLQMIKRKQRWKEGFVDAGEFAYCSVKKAFSSLVLIIRELQSYTLVIKEGLNCEDLIDLMNKMQRDMTITFVWLFQQVFSTTPTLMVYVMLLLANYSVHSMTNKAFIGVFQYPRLYENVVVAETDELGLWKSMVAEASRMQGGVYYKVMVSPLNMRLKLDDNHGMRLRMNLIYQMRIAEEPYNPFLLSNYAQFLSFVIKNHDRAEEYFKRAVRVEPQEVEALELYAEFLWKVRNNLPEAEEWYLQATAVDIENPFCASKYANFLRSTGGEETCYPLR >KJB70729 pep chromosome:Graimondii2_0_v6:11:9327773:9335054:1 gene:B456_011G089200 transcript:KJB70729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP96 [Source:Projected from Arabidopsis thaliana (AT1G80680) UniProtKB/Swiss-Prot;Acc:Q8LLD0] MASGTVCEIHSTASEISRRGTDVNTENSDLHVTLYKKRKISAISDFLPHVMRRIEESLPSLHSPDYYMEPCLEDMVRMERLEPGYCSRVPDFVVGRSGYGCVKFFGKTDVRGLDLDQIVKFHRHEVIVYEDESNKPMVGQGLNKTAEVTLKLQIENLGLEKQEVDSIVKKLRQSMRSQGAHFIAFDPSNGEWKFLVDHFSRFGLSEDDEEDIIMDDATGAIQDPGVMNGSGNPEIDEDMQLDTNGPLLSHSLPAHLGLDPVKMREMRMLMFPVEEEEDIEDFRGTGSNQKQAFAKEYIKSSLHNSSQRMPNRTSPHVVRKTPVALLEYNSGTFDSSSCGTVLMTQENKGLPLKTTKREGFKLDIKQETPVTGSHSHNIVDAALFMGRSFRVGWGPTGILVHSGAPVGSNDGQRVLSSVINVEKVAIDKVVRDENSKVKKELVDFAFDAPLNLHKALNYEEKEVDVGSFQLKLLKVVSNRLELSGICRSYIDIIERQLEVPGLSSSARLVLMHQVMVWELIKVLFSERENTGQLKSMAADNEEDMMQDIKEGPPEVDLEALPLIRRAEFSCWLQESVCHRVQEEVSSVNDSGYLEHLFFLLTGRQLDAAVELAASKGDVRLACLLSQAGGSTVNRSDVSRQLDIWRINGLDFNFIEKDRIRLYELLAGNIHGALHGIKIDWKRFLGLLMWYHLPSDTALPVVFRTYQHLLDDGKAPFPVPIYIDEGPVEENANLSRVERFDLSYYLMLLHASEESQLCSLKAMFSTFSSTHDPLDYHMIWHQRAILEAVGAFHSNDLQALDMGLVSQLLCQEQCHWAIYVALHMPYRDDYPYLQATLIRGILFQYCESWSSQESQRRFIEDLGIPLQWLHEAMAVYYNYIGDLPRALEHFLECENWQKAHSIFMTSVAHALFLSAKDSEVWRIATSMENHKSEIENWDLGAGIYISFYVLRSSFQEDNNTMAELGSLNSKNAACRDFLGCLNESSAVWGGRLHVDARVAYSKMADEICNLLLSDTSGSRTHDEQLSCYDTVFSAPIPEDLHSSHLQDAVAVFTFQLSEVLS >KJB70728 pep chromosome:Graimondii2_0_v6:11:9327701:9335125:1 gene:B456_011G089200 transcript:KJB70728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP96 [Source:Projected from Arabidopsis thaliana (AT1G80680) UniProtKB/Swiss-Prot;Acc:Q8LLD0] MASVAGTVCEIHSTASEISRRGTDVNTENSDLHVTLYKKRKISAISDFLPHVMRRIEESLPSLHSPDYYMEPCLEDMVRMERLEPGYCSRVPDFVVGRSGYGCVKFFGKTDVRGLDLDQIVKFHRHEVIVYEDESNKPMVGQGLNKTAEVTLKLQIENLGLEKQEVDSIVKKLRQSMRSQGAHFIAFDPSNGEWKFLVDHFSRFGLSEDDEEDIIMDDATGAIQDPGVMNGSGNPEIDEDMQLDTNGPLLSHSLPAHLGLDPVKMREMRMLMFPVEEEEDIEDFRGTGSNQKQAFAKEYIKSSLHNSSQRMPNRTSPHVVRKTPVALLEYNSGTFDSSSCGTVLMTQENKGLPLKTTKREGFKLDIKQETPVTGSHSHNIVDAALFMGRSFRVGWGPTGILVHSGAPVGSNDGQRVLSSVINVEKVAIDKVVRDENSKVKKELVDFAFDAPLNLHKALNYEEKEVDVGSFQLKLLKVVSNRLELSGICRSYIDIIERQLEVPGLSSSARLVLMHQVMVWELIKVLFSERENTGQLKSMAADNEEDMMQDIKEGPPEVDLEALPLIRRAEFSCWLQESVCHRVQEEVSSVNDSGYLEHLFFLLTGRQLDAAVELAASKGDVRLACLLSQAGGSTVNRSDVSRQLDIWRINGLDFNFIEKDRIRLYELLAGNIHGALHGIKIDWKRFLGLLMWYHLPSDTALPVVFRTYQHLLDDGKAPFPVPIYIDEGPVEENANLSRVERFDLSYYLMLLHASEESQLCSLKAMFSTFSSTHDPLDYHMIWHQRAILEAVGAFHSNDLQALDMGLVSQLLCQEQCHWAIYVALHMPYRDDYPYLQATLIRGILFQYCESWSSQESQRRFIEDLGIPLQWLHEAMAVYYNYIGDLPRALEHFLECENWQKAHSIFMTSVAHALFLSAKDSEVWRIATSMENHKSEIENWDLGAGIYISFYVLRSSFQEDNNTMAELGSLNSKNAACRDFLGCLNESSAVWGGRLHVDARVAYSKMADEICNLLLSDTSGSRTHDEQLSCYDTVFSAPIPEDLHSSHLQDAVAVFTFQLSEVLS >KJB71077 pep chromosome:Graimondii2_0_v6:11:12044036:12046844:-1 gene:B456_011G104600 transcript:KJB71077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPCAACKFLRRKCTQECVFAPYFPPDNPQKFANVHKVFGASNVAKLLNELNTSQREDAVNSLAYEAEARLRDPVYGCVGLISILQHKLKQMQHDLNNAKKELSTYIGPQAMLPILQPSVFLQQHVGNPSSSSSSSAVMQHNMMPMMGIPTAAAAASGQLVLREPQQQQIFEAQQQLAAVIAAREQQEMFRGYEQHHGGQQPEIVRFNNGFDGSASVTATGFNQITTAAAAATMSPSLALGSFENPYQIQAQQDHHHCHGGHHPLQAQLLLQPQQGQPQNQQQQPQRSGSEEARSIGPSS >KJB71078 pep chromosome:Graimondii2_0_v6:11:12045560:12046474:-1 gene:B456_011G104600 transcript:KJB71078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPCAACKFLRRKCTQECVFAPYFPPDNPQKFANVHKVFGASNVAKLLNELNTSQREDAVNSLAYEAEARLRDPVYGCVGLISILQHKLKQMQHDLNNAKKELSTYIGPQAMLPILQPSVFLQQHVGNPSSSSSSSAVMQHNMMPMMGIPTAAAAASGQLVLREPQQQQIFEAQQQLAAVIAAREQQEMFRGYEQHHGGQQPEIVRFNNGFDGSASVTATGFNQITTAAAAATMSPSLALGSFENPYQIQAQQDHHHCHGGHHPLQAQLLLQPQQGQPQNQQQQPQRSGSEEARSIGPSS >KJB73581 pep chromosome:Graimondii2_0_v6:11:56374454:56376626:1 gene:B456_011G239500 transcript:KJB73581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGENSTFHARDYVDPPPAPLIDASELKKWSFYRALIAEFIATMLFLYITVLTVIGHKSQVATSPDACSGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAIAYMVAQSLGAICGVGLVKAFQSAYYNRYGGGANTLADGYSTGTGLGAEIIGTFVLVYTVFSATDPKRKARDSHVPVLAPLPIGFAVFMVHLATIPITAFYHQFILRAGAAKALGSFRSQTHV >KJB73580 pep chromosome:Graimondii2_0_v6:11:56374377:56376660:1 gene:B456_011G239500 transcript:KJB73580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGENSTFHARDYVDPPPAPLIDASELKKWSFYRALIAEFIATMLFLYITVLTVIGHKSQVATSPDACSGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAIAYMVAQSLGAICGVGLVKAFQSAYYNRYGGGANTLADGYSTGTGLGAEIIGTFVLVYTVFSATDPKRKARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIFNSKKAWDDHWIFWVGPMIGAAIAAFYHQFILRAGAAKALGSFRSQTHV >KJB70698 pep chromosome:Graimondii2_0_v6:11:9185263:9187926:-1 gene:B456_011G087800 transcript:KJB70698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAVNVTDVPNLDQVPENNASLSLYSSRFNSEGLELNSEATFKVPKFLVIGHRGHGMNILQSSDSRMKAIKENTILSFNSAAKFPIDFIEFDVQVTKDDCPVIFHDDFIFSEENGTVFEKRVTELSLEEFLCFGPQREAGKQGKSLLRKTKDGKILNWNVETDDSFCTLAETFQKVDPSLGFNIELKFDDHIVYQQDHLIHVLQVILQVVSEYAKDRPIIFSSFHPDAAQLVRKLQNTYPVFFLTNGGTQVYYDVRRNSLEEAIKVCMEGGLQGIVSEVKGVFGNPGAVPRIKESKLSLLTYGKLNNVPEAVCMQHLMGIDGVIVDFVQEISHAVDDMIIKPLNEGLREGDEETETKSKLQFSQQELSFLLKLIPELIQH >KJB70699 pep chromosome:Graimondii2_0_v6:11:9185275:9187780:-1 gene:B456_011G087800 transcript:KJB70699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAVNVTDVPNLDQVPENNASLSLYSSRFNSEGLELNSEATFKVPKFLVIGHRGHGMNILQSSDSRMKAIKENTILSFNSAAKFPIDFIEFDVQGTVFEKRVTELSLEEFLCFGPQREAGKQGKSLLRKTKDGKILNWNVETDDSFCTLAETFQKVDPSLGFNIELKFDDHIVYQQDHLIHVLQVILQVVSEYAKDRPIIFSSFHPDAAQLVRKLQNTYPVFFLTNGGTQVYYDVRRNSLEEAIKVCMEGGLQGIVSEVKGVFGNPGAVPRIKESKLSLLTYGKLNNVPEAVCMQHLMGIDGVIVDFVQEISHAVDDMIIKPLNEGLREGDEETETKSKLQFSQQELSFLLKLIPELIQH >KJB70445 pep chromosome:Graimondii2_0_v6:11:6970383:6971673:-1 gene:B456_011G073600 transcript:KJB70445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKADTSKEEAQSMTMMHLQLQRSLKWLDDVTHGIIGYELESRSLAGLQVIEARTGFLRCNFIVPLLASDINGNWHVGAIATIIDNVGGAAIYSTGHHLKVTVNFNITYYSTAKIQDEAEIEAKVKGNKGKLTSMAVEVRNKSSGGQLIASATQWTAANDYRSPQPQSRAKL >KJB70444 pep chromosome:Graimondii2_0_v6:11:6970272:6971949:-1 gene:B456_011G073600 transcript:KJB70444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKADTSKEEAQSMTMMHLQLQRSLKWLDDVTHGIIGYELESRSLAGLQVIEARTGFLRCNFIVPLLASDEAEIEAKVKGNKGKLTSMAVEVRNKSSGGQLIASATQWTAANDYRSPQPQSRAKL >KJB69487 pep chromosome:Graimondii2_0_v6:11:1919270:1922235:1 gene:B456_011G026000 transcript:KJB69487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFDAYGMEGEEIHAAAAPNNHPFDVDDDESYSNYGSYSNFTDNQQFPADGGDVAVDHLASSPEIFGFGSSDPPSSFSQSPFGTTIPVENGNGTNGFSGANDDVFSSDGPVLPPPTEMAPEEGFLLREWRRQNAIQLEEKEKKEKELRNQIIEEAEEYKRAFYEKREKTIETNKTNNREREKQLYVANQEKFHKTADTHYWTAIAELIPREVPNIEKRGKKDAEKKPSVFVVQGPKPGKPTDLSRMRHILVKLKHSPPPHMIPPPPAPAKDTKDGKDAKDGKDSKNVDKAASNGTAEKGATVSSAKDGAATSSEQDAAATTAPAKDQTVAESEATAA >KJB69486 pep chromosome:Graimondii2_0_v6:11:1919185:1922255:1 gene:B456_011G026000 transcript:KJB69486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFDAYGMEGEEIHAAAAPNNHPFDVDDDESYSNYGSYSNFTDNQQFPADGGDVAVDHLASSPEIFGFGSSDPPSSFSQSPFGTTIPVENGNGTNGFSGANDDVFSSDGPVLPPPTEMAPEEGFLLREWRRQNAIQLEEKEKKEKELRNQIIEEAEEYKRAFYEKREKTIETNKTNNREREKLYVANQEKFHKTADTHYWTAIAELIPREVPNIEKRGKKDAEKKPSVFVVQGPKPGKPTDLSRMRHILVKLKHSPPPHMIPPPPAPAKDTKDGKDAKDGKDSKNVDKAASNGTAEKGATVSSAKDGAATSSEQDAAATTAPAKDQTVAESEATAA >KJB73942 pep chromosome:Graimondii2_0_v6:11:59348312:59349687:-1 gene:B456_011G262600 transcript:KJB73942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSGESSSRRNATSADAEQKQLSPLSIITLQNIKNRPHQKSNRKLPGGAVRYFDNNDPRYSWLLDGWLAEERHVPSGRLYRYYYDLVGRQYRTKIKVLCAWEKMGVIFIHP >KJB71588 pep chromosome:Graimondii2_0_v6:11:19868952:19871170:1 gene:B456_011G131400 transcript:KJB71588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHLNLRDVKAEEVREATLDAVAETLRTSSSLRVSEDGKKVGRSTALFEPEELLEQLDSRTIAASPFEFNVKMEDVEAFFGKYAKVTSVRLPRHVANKKYFCGTALIEFSAEEDAQKVLEQSLVYAGAELQLKPKKDFDAIREEEAEEYEDNHPVTGSNRDNRSNAEDNFFIAIMFVIKVHVMVCHKYPKGLVVAFALKNISGGDSAEKNGSDEPAKDGATEKNEEKTTENDEDNKDKVDDKQPVSGDETENKSPVQKDEGTEHKNTASVFKDEMNVVLREDLKEAFQKFGTVKYIDLKAGEEKGYIRFDEPEVAQKARAAAVLANEGGLVVKNFIATLEPVTGDAEREYWSLLRSNQEKHRGNKRFQGRGGKHFRGGKRGRGRENYSPNKARRS >KJB71589 pep chromosome:Graimondii2_0_v6:11:19868952:19871093:1 gene:B456_011G131400 transcript:KJB71589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHLNLRDVKAEEVREATLDAVAETLRTSSSLRVSEDGKKVGRSTALFEPEELLEQLDSRTIAASPFEFNVKMEDVEAFFGKYAKVTSVRLPRHVANKKYFCGTALIEFSAEEDAQKVLEQSLVYAGAELQLKPKKDFDAIREEEAEEYEDNHPVTGSNRDNRSNAEDNFFIAIMFVIKVHVMVCHKYPKGLVVAFALKNISGGDSAEKNGSDEPAKDGATEKNEEKTTENDEDNKDKVDDKQPVSGDETENKSPVQKDEGTEHKNTASVFKDEMNVVLREDLKEAFQKFGTVKYIDLKAGEEKGYIRFDEPEVAQKARAAAVLANEGGLVVKNFIATLEPVTGDAEREYWSLLRSNQEKHRGNKRFQGRSGRKALQRRKAWPGKRKLFTKQS >KJB71276 pep chromosome:Graimondii2_0_v6:11:14703431:14706107:1 gene:B456_011G114300 transcript:KJB71276 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G10500 protein [Source:Projected from Arabidopsis thaliana (AT1G10500) UniProtKB/TrEMBL;Acc:B9DF88] MAITSISTNWSSLFRFSNHSKHSLSLAQSTISLRFSRSSLSCGKPLSIRATAVPAAPTAEGLEPAITLTDNALRHLNKMRAERDEDLCLRIGVKQGGCSGMSYTMDFEKRSNARADDSIIEYNGFTIVCDPKSLLFLFGMQLDYSDALIGGGFSFRNPNATQTCGCGKSFTAEM >KJB71277 pep chromosome:Graimondii2_0_v6:11:14703459:14706106:1 gene:B456_011G114300 transcript:KJB71277 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G10500 protein [Source:Projected from Arabidopsis thaliana (AT1G10500) UniProtKB/TrEMBL;Acc:B9DF88] MAITSISTNWSSLFRFSNHSKHSLSLAQSTISLRFSRSSLSCGKPLSIRATAVPAAPTAEGLEPAITLTDNALRIGVKQGGCSGMSYTMDFEKRSNARADDSIIEYNGFTIVCDPKSLLFLFGMQLDYSDALIGGGFSFRNPNATQTCGCGKSFTAEM >KJB71155 pep chromosome:Graimondii2_0_v6:11:12793195:12795820:1 gene:B456_011G108500 transcript:KJB71155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMTCSRIFLCYSTPRSQFPTVISSPSHFLTPKKATYKSTKHITLNANGGNSRFMARVSSSSAAEFPTNIGDKLSDVTIFTASGQPVLFKDLWDQNEGVAVVAFLRHFGCFCCWELASALKEAKPKFDSAGVKLIAVGVGTPDRARILAERVPFPMDSLYADPERKAYDVLGLYYGLGRTFFNPASAKVFSRLDKVKKAMENYTIKATPDDTSSVLQQGGMFVFKGKQLLYARKDEGTGDHAPLDDIFDVCCKTPVA >KJB71158 pep chromosome:Graimondii2_0_v6:11:12793196:12795820:1 gene:B456_011G108500 transcript:KJB71158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMTCSRIFLCYSTPRSQFPTVISSPSHFLTPKKATYKSTKHITLNANGGNSRFMARVSSSSAAEFPTNIGDKLSDVTIFTASGQPVLFKDLWDQNEVPFPMDSLYADPERKAYDVLGLYYGLGRTFFNPASAKVFSRLDKVKKAMENYTIKATPDDTSSVLQQGGMFVFKGKQLLYARKDEGTGDHAPLDDIFDVCCKTPVA >KJB71157 pep chromosome:Graimondii2_0_v6:11:12793196:12795820:1 gene:B456_011G108500 transcript:KJB71157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMTCSRIFLCYSTPRSQFPTVISSPSHFLTPKKATYKSTKHITLNANGGNSRFMARVSSSSAAEFPTNIGDKLSDVTIFTASGQPVLFKDLWDQNEGVAVVAFLRHFGCFCCWELASALKEAKPKFDSAGVKLIAVGVGTPDRARILAERVPFPMDSLYADPERKVICCWFYILSLSIGCAYVSDIDMFNIYQDFHVFGGSLEDNTLIPVSRYVSEMCVKHRTLIDMFYLLIMLI >KJB71156 pep chromosome:Graimondii2_0_v6:11:12793775:12795446:1 gene:B456_011G108500 transcript:KJB71156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLVYFWELASALKEAKPKFDSAGVKLIAVGVGTPDRARILAERVPFPMDSLYADPERKAYDVLGLYYGLGRTFFNPASAKVFSRLDKVKKAMENYTIKATPDDTSSVLQQGGMFVFKGKQLLYARKDEGTGDHAPLDDIFDVCCKTPVA >KJB70369 pep chromosome:Graimondii2_0_v6:11:6327506:6329447:1 gene:B456_011G070000 transcript:KJB70369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYSRRLMFLVFAFALVSIGYAQESNSTTLVPAILTFGDSVVDVGNNDYLPTIFRANYPPYGRDFANQKPTGRFCNGKLATDITAETLGFTTYPPAYLSPEASGNNLLIGANFASAGSGYDDRAASLNHAITLSQQVEYFKEYQAKLAKVAGSSKSASIIKDALYVLSAGSGDFLQNYYVNPLVNHAYTPDQYGSFLIDAFTRFVQNVYGLGARKIGVTSLPPLGCIPLARTLFGYHEKGCVSRFNTDAQQFNKKLNAAATNLQKQHPDLKIVVFDIYKALLDVVNTPSNYGFVEATRGCCGTGTVETTEFLCNPHTLGTCPNASQYVFWDSVHPSQAANQVLADTLIVQGIPLL >KJB70371 pep chromosome:Graimondii2_0_v6:11:6327537:6329438:1 gene:B456_011G070000 transcript:KJB70371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYSRRLMFLVFAFALVSIGYAQESNSTTLVPAILTFGDSVVDVGNNDYLPTIFRANYPPYGRDFANQKPTGRFCNGKLATDITAETLGFTTYPPAYLSPEASGNNLLIGANFASAGSGYDDRAASLNHAITLSQQVEYFKEYQAKLAKVAGSSKSASIIKDALYVLSAGSGDFLQNYYVNPLVNHAYTPDQYGSFLIDAFTRFVQNVYGLGARKIGVTSLPPLGCIPLARTLFGYHEKGCVSRFNTDAQQFNKKLNAAATNLQKQHPDLKIVVFDIYKALLDVVNTPSNYEATRGCCGTGTVETTEFLCNPHTLGTCPNASQYVFWDSVHPSQAANQVLADTLIVQGIPLL >KJB70370 pep chromosome:Graimondii2_0_v6:11:6327537:6329438:1 gene:B456_011G070000 transcript:KJB70370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYSRRLMFLVFAFALVSIGYAQESNSTTLVPAILTFGDSVVDVGNNDYLPTIFRANYPPYGRDFANQKPTGRFCNGKLATDITAETLGFTTYPPAYLSPEASGNNLLIGANFASAGSGYDDRAASLNHAITLSQQVEYFKEYQAKLAKVAGSSKSASIIKDALYVLSAGSGDFLQNYYVNPLVNHAYTPDQYGSFLIDAFTRFVQNVYGLGARKIGVTSLPPLGCIPLARTLFGYHEKGCVSRFNTDAQQFNKKLNAAATNLQKQHPDLKIVVFDIYKALLDVVNTPSNYGRGRVFPCFSLVGEKRDFFNGVENRYYVTTANDCFDLVGFVEATRGCCGTGTVETTEFLCNPHTLGTCPNASQYVFWDSVHPSQAANQVLADTLIVQGIPLL >KJB71623 pep chromosome:Graimondii2_0_v6:11:20276428:20276949:-1 gene:B456_011G1342002 transcript:KJB71623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGQISLLLSGNSKELKEKEPGTHCESILFVMLCREFMHRVVTGYLPSVILILFLYAVPPTMMLFSAMEGNISRSQRKRSACIKVLYNMGIPYFTLKLES >KJB71622 pep chromosome:Graimondii2_0_v6:11:20276428:20276848:-1 gene:B456_011G1342002 transcript:KJB71622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EFMHRVVTGYLPSVILILFLYAVPPTMMLFSAMEGNISRSQRKRSACIKVLYNMGIPYFTLKLES >KJB68705 pep chromosome:Graimondii2_0_v6:11:42598353:42600085:1 gene:B456_011G1810001 transcript:KJB68705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPLPKWVTGEIEKDPDLAYTDQWGRRNYEYLSLGCDTLPVLKGRTPVQCYADFMRAFRDNFKHLLGDTIVEIQVGMGPAGELRYPSYPEANGTWKFPGIGAFQCYDKYMLSSLKAAAEAAGKPEWGSTGPTDAGHYNNWPEDTPFFKKEGGGWNTPYGEFFLTWYSQMLLEHGARILSSATSIFDGAGVKISVKVAGIHWHYGTRSHAPELTAGYYNTRFRDGYLPIAQMLARHGAIFNFTCIEMRDHEQPQDALCAPEKLVKQVALATGAAQVPLAGENALPRYDEYAHEQILRASSLNVDGSAVDREMCAFTYLRMNPSLFHPDNWRRFVAFVKKMNEGKGARRCWEEVEREAEQFVHVTQPFIQEAAVALMH >KJB68707 pep chromosome:Graimondii2_0_v6:11:42598549:42600115:1 gene:B456_011G1810001 transcript:KJB68707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPLPKWVTGEIEKDPDLAYTDQWGRRNYEYLSLGCDTLPVLKGRTPVQCYADFMRAFRDNFKHLLGDTIVEIQVGMGPAGELRYPSYPEANGTWKFPGIGAFQCYDKYMLSSLKAAAEAAGKPEWGSTGPTDAGHYNNWPEDTPFFKKEGGGWNTPYGEFFLTWYSQMLLEHGARILSSATSIFDGAGVKISVKVAGIHWHYGTRSHAPELTAGYYNTRFRDGYLPIAQMLARHGAIFNFTCIEMRDHEQPQDALCAPEKLVKQVALATGAAQVPLAGENALPRYDEYAHEQILRASSLNVDGSAVDREMCAFTYLRMNPSLFHPDNWRRFVAFVKKMNEGKGARRCWEEVEREAEQFVHVTQPFIQEAAVALMH >KJB68706 pep chromosome:Graimondii2_0_v6:11:42598549:42600085:1 gene:B456_011G1810001 transcript:KJB68706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPLPKWVTGEIEKDPDLAYTDQWGRRNYEYLSLGCDTLPVLKGRTPVQCYADFMRAFRDNFKHLLGDTIVEIQVGMGPAGELRYPSYPEANGTWKFPGIGAFQCYDKYMLSSLKAAAEAAGKPEWGSTGPTDAGHYNNWPEDTPFFKKEDALCAPEKLVKQVALATGAAQVPLAGENALPRYDEYAHEQILRASSLNVDGSAVDREMCAFTYLRMNPSLFHPDNWRRFVAFVKKMNEGKGARRCWEEVEREAEQFVHVTQPFIQEAAVALMH >KJB70796 pep chromosome:Graimondii2_0_v6:11:9935684:9939143:1 gene:B456_011G091600 transcript:KJB70796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAYSPLCSGPTTKRLFGSLYSDSPESVTGLLPLLRVTGITLPQRLLAIPPPFHLLFSIFYPHHKASIFCPILPRFLAQRYFSSSHSSPISSLQECLAKAGEHESRGGYGAVCCPCFR >KJB73185 pep chromosome:Graimondii2_0_v6:11:52874654:52879543:1 gene:B456_011G220400 transcript:KJB73185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSIPSDYQLPQKYTPNFTYFQGFWCRSELVPNITCFQNHFQALDADIVLASKPKTGTTWLKALAFSILNRHRFPLSNSPLASSNPHDLVPYFEMTLYNNGCHPDFADVSSPRLFATHLSYHALAESIKNSKARIVYVTRNPPDVIVSMWHFATSRPERAHWPIDVCFEQFCRGEEGVPDLSGTMFLITGNNQRQTKQGLVPQIRRNERKPSGSNKKDGGVHGMSVLRGGRESGCH >KJB69130 pep chromosome:Graimondii2_0_v6:11:536810:538407:-1 gene:B456_011G006900 transcript:KJB69130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILITCLLLMFIAYFEPTLPATVIEDLANLHPPSNFNTTIVSNCLKNPSLRYCGSSPMDLDAIFKFTIVASHLCNESKNPNCVESFPKINLRNRPKITPLYLSFTFFWKYCPVTILSIDLSNNSLKGTFPIDVLFCTQIHVLDLSHNGLSGDVPIQRLSCVTNLTILNLSYNHFSEIKISDSWFFRRFNSSCFFNSGILPSHGRYKIKAVMLLLGFPLVVVFTVGGLWWLCFRRPDFLPRVLQNERKFTTVMLKAATNRFSKKNMVGKGDGFVMYRGILRDGTQVRIEIYSNNRGNHREYVEECKVLVQLRHRNLVKVYGWCSNRNIRALVTEWIGRVSIETWLSESAPCWKHRYKVLVGVLKAMCYLHEQWPEIGCDIKTSSLLLHENGDPLIARFRVGENSTSNKIHRFGILVLEMMTDQTLQEEFKGDETGFVEYVKMLYPRKLQKVLDERMKLTENTFDETRQTICIGLTCADHQTCQQLSIGQIYNMIIKVHPRTNTY >KJB69879 pep chromosome:Graimondii2_0_v6:11:3705645:3709869:-1 gene:B456_011G048000 transcript:KJB69879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEDSRELSLFGDNNGGYQRNRSLNFDGFDEIEEEEGDNCRADDDFVVLNSYNVQCEQTEDVWRIELEEDELRHPLVREICRLIQCRSAWNAKLERDMRHLLRSLKPRQVCAVLLSQDDERVALQFFYWADRQWRYRHNPIVYYAMLEILSKTKLCQGAKRVLRLIARRGIECRPEAFGYLMVSYSRAGNLRNAMKVLTLMQKAGVELNLAVCNTAIHVLVRANKLEKALRFFERMQIVGIIPNVVAYNCLIKGYCNVNQVENALQLISDMPSKNCSPDKVSYYTIMSFFCKEKQVKEVRDLMEKMVKESNLFPDMVTYDTLIHILSKHGHADEALEFLQEAEARGFQIDKVGHSAIVHAYCKQGKIDEAKGIVSEMLSKGCNPDVVTYTAVVDGFCRVGKLEQAKKMLQHMYKHGCKPNTVSYTALLTGLCRNGSSLQAREMLTGSEEEFWTPNAITYSAVMNGLRKEGKLSEACDVVKEMISKGFFPNPVEINLIIESLCREGKMNEAKRFLEECLNKGCAVNVVNFTTLIHGYCQNDDLEAALSLLDDMYLSNKHPDAVTYTAIIDALGKNGRIEEATDLTMKMLKKGLLPTPVTYRTVIHRYCQMGKVEDLLKLLEKMLLRQKCKTAYNQVIEKLCSFGNLQEADKLLSRVLKTASRNDANTCNMLLESYLSKGMPLSAYKVACRMFNRNLIPNLKLSDKLSKRLMLEGKSAEADNLMLRFVERGHLSPQCE >KJB69880 pep chromosome:Graimondii2_0_v6:11:3707171:3709384:-1 gene:B456_011G048000 transcript:KJB69880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEDSRELSLFGDNNGGYQRNRSLNFDGFDEIEEEEGDNCRADDDFVVLNSYNVQCEQTEDVWRIELEEDELRHPLVREICRLIQCRSAWNAKLERDMRHLLRSLKPRQVCAVLLSQDDERVALQFFYWADRQWRYRHNPIVYYAMLEILSKTKLCQGAKRVLRLIARRGIECRPEAFGYLMVSYSRAGNLRNAMKVLTLMQKAGVELNLAVCNTAIHVLVRANKLEKALRFFERMQIVGIIPNVVAYNCLIKGYCNVNQVENALQLISDMPSKNCSPDKVSYYTIMSFFCKEKQVKEVRDLMEKMVKESNLFPDMVTYDTLIHILSKHGHADEALEFLQEAEARGFQIDKVGHSAIVHAYCKQGKIDEAKGIVSEMLSKGCNPDVVTYTAVVDGFCRVGKLEQAKKMLQHMYKHGCKPNTVSYTALLTGLCRNGSSLQAREMLTGSEEEFWTPNAITYSAVMNGLRKEGKLSEACDVVKEMISKGFFPNPVEINLIIESLCREGKMNEAKRFLEECLNKGCAVNVVNFTTLIHGYCQNDDLEAALSLLDDMYLSNKHPDAVTYTAIIDALGKNGRIEEATDLTMKMLKKGLLPTPVTYRTVIHRYCQMGKVEDLLKLLEKMLLRQKCKTAYNQVIEKLCSFGNLQEADKLLSRVLKTASRNDANTCNMLLESYLSKGMPLSAYKVACRMFNRNLIPNLKLSDKLSKRLMLEGKSAEADNLMLRFVERGHLSPQCE >KJB69882 pep chromosome:Graimondii2_0_v6:11:3707171:3709384:-1 gene:B456_011G048000 transcript:KJB69882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEDSRELSLFGDNNGGYQRNRSLNFDGFDEIEEEEGDNCRADDDFVVLNSYNVQCEQTEDVWRIELEEDELRHPLVREICRLIQCRSAWNAKLERDMRHLLRSLKPRQVCAVLLSQDDERVALQFFYWADRQWRYRHNPIVYYAMLEILSKTKLCQGAKRVLRLIARRGIECRPEAFGYLMVSYSRAGNLRNAMKVLTLMQKAGVELNLAVCNTAIHVLVRANKLEKALRFFERMQIVGIIPNVVAYNCLIKGYCNVNQVENALQLISDMPSKNCSPDKVSYYTIMSFFCKEKQVKEVRDLMEKMVKESNLFPDMVTYDTLIHILSKHGHADEALEFLQEAEARGFQIDKVGHSAIVHAYCKQGKIDEAKGIVSEMLSKGCNPDVVTYTAVVDGFCRVGKLEQAKKMLQHMYKHGCKPNTVSYTALLTGLCRNGSSLQAREMLTGSEEEFWTPNAITYSAVMNGLRKEGKLSEACDVVKEMISKGFFPNPVEINLIIESLCREGKMNEAKRFLEECLNKGCAVNVVNFTTLIHGYCQNDDLEAALSLLDDMYLSNKHPDAVTYTAIIDALGKNGRIEEATDLTMKMLKKGLLPTPVTYRTVIHRYCQMGKVEDLLKLLEKMLLRQKCKTAYNQVIEKLCSFGNLQEADKLLSRVLKTASRNDANTCNMLLESYLSKGMPLSAYKVACRMFNRNLIPNLKLSDKLSKRLMLEGKSAEADNLMLRFVERGHLSPQCE >KJB69881 pep chromosome:Graimondii2_0_v6:11:3705635:3709869:-1 gene:B456_011G048000 transcript:KJB69881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEDSRELSLFGDNNGGYQRNRSLNFDGFDEIEEEEGDNCRADDDFVVLNSYNVQCEQTEDVWRIELEEDELRHPLVREICRLIQCRSAWNAKLERDMRHLLRSLKPRQVCAVLLSQDDERVALQFFYWADRQWRYRHNPIVYYAMLEILSKTKLCQGAKRVLRLIARRGIECRPEAFGYLMVSYSRAGNLRNAMKVLTLMQKAGVELNLAVCNTAIHVLVRANKLEKALRFFERMQIVGIIPNVVAYNCLIKGYCNVNQVENALQLISDMPSKNCSPDKVSYYTIMSFFCKEKQVKEVRDLMEKMVKESNLFPDMVTYDTLIHILSKHGHADEALEFLQEAEARGFQIDKVGHSAIVHAYCKQGKIDEAKGIVSEMLSKGCNPDVVTYTAVVDGFCRVGKLEQAKKMLQHMYKHGCKPNTVSYTALLTGLCRNGSSLQAREMLTGSEEEFWTPNAITYSAVMNGLRKEGKLSEACDVVKEMISKGFFPNPVEINLIIESLCREGKMNEAKRFLEECLNKGCAVNVVNFTTLIHGYCQNDDLEAALSLLDDMYLSNKHPDAVTYTAIIDALGKNGRIEEATDLTMKMLKKGLLPTPVTYRTVIHRYCQMGKVEDLLKLLEKMLLRQKCKTAYNQVIEKLCSFGNLQEADKLLSRVLKTASRNDANTCNMLLESYLSKGMPLSAYKVACRMFNRNLIPNLKLSDKLSKRLMLEGKSAEADNLMLRFVERGHLSPQCE >KJB74394 pep chromosome:Graimondii2_0_v6:11:62455315:62458150:-1 gene:B456_011G293300 transcript:KJB74394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSKTSRKGKKAWRANISTEDIHDFFEKSTKDALSGGSLTSAPTESLFFIDKSQDLSVKKKIEKKREKVLRVDSMLKKNPFVEAVPSSKQKSSKKKKKEALNAKDVVQDVPKDETVPDSGQHSGKARQVSKQSIIPAVEVEPPGCSYNPTFDSHQDSLAQAVAEEMQKAYKIELGPQPVPLTVMGEVVDEDDKYFIEADDGNDDQMDEENLSEDDKATEKRPLKTKRVTRVELNKRARRKEQQKKEAEAKKAVEFSKDIDNLPDILQEIAKEDEEKQKRHLRKAIAKQERLKACPPRLGKYKFQPAPPQVLLSEELTGSLRKLKGCATLARDRFKSLEKRGLIPPSAKSGRK >KJB74392 pep chromosome:Graimondii2_0_v6:11:62455295:62458257:-1 gene:B456_011G293300 transcript:KJB74392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSKTSRKGKKAWRANISTEDIHDFFEKSTKDALSGGSLTSAPTESLFFIDKSQDLSVKKKIEKKREKVLRVDSMLKKNPFVEAVPSSKQKSSKKKKKEALNAKDVVQDVPKDETVPDSGMVPIWGDGGQHSGKARQVSKQSIIPAVEVEPPGCSYNPTFDSHQDSLAQAVAEEMQKAYKIELGPQPVPLTVMGEVVDEDDKYFIEADDGNDDQMDEENLSEDDKATEKRPLKTKRVTRVELNKRARRKEQQKKEAEAKKAVEFSKDIDNLPDILQEIAKEDEEKQKRHLRKAIAKQERLKACPPRLGKYKFQPAPPQVLLSEELTGSLRKLKGCATLARDRFKSLEKRGLIPPSAKSGRK >KJB74393 pep chromosome:Graimondii2_0_v6:11:62455315:62458150:-1 gene:B456_011G293300 transcript:KJB74393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSKTSRKGKKAWRANISTEDIHDFFEKSTKDALSGGSLTSAPTESLFFIDKSQDLSVKKKIEKKREKVLRVDSMLKKNPFVEAVPSSKQKSSKKKKKEALNAKDVVQDVPKDETVPDSGMVPIWGDGVEVEPPGCSYNPTFDSHQDSLAQAVAEEMQKAYKIELGPQPVPLTVMGEVVDEDDKYFIEADDGNDDQMDEENLSEDDKATEKRPLKTKRVTRVELNKRARRKEQQKKEAEAKKAVEFSKDIDNLPDILQEIAKEDEEKQKRHLRKAIAKQERLKACPPRLGKYKFQPAPPQVLLSEELTGSLRKLKGCATLARDRFKSLEKRGLIPPSAKSGRK >KJB74395 pep chromosome:Graimondii2_0_v6:11:62455776:62458093:-1 gene:B456_011G293300 transcript:KJB74395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSKTSRKGKKAWRANISTEDIHDFFEKSTKDALSGGSLTSAPTESLFFIDKSQDLSVKKKIEKKREKVLRVDSMLKKNPFVEAVPSSKQKSSKKKKKEALNAKDVVQDVPKDETVPDSGMVPIWGDGGQHSGKARQVSKQSIIPAVEVEPPGCSYNPTFDSHQDSLAQAVAEEMQKAYKIELGPQPVPLTVMGEVVDEDDKYFIEADDGNDDQMDEENLSEDDKATEKRPLKTKRVTRVELNKRARRKEQQKKEAEAKKAVEFSKDIDNLPDILQEIAKEDEEKQKRHLRKAIAKQERLKACPPRLGKYKFQPAPPQVLLSEELTGSLRKLKGCATLARDRFKSLEKRGLIPPSAKSGRSVES >KJB69398 pep chromosome:Graimondii2_0_v6:11:1557207:1559378:1 gene:B456_011G022100 transcript:KJB69398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CFE5D MASASTWMLSMKNNGENEKTEELMQQQPRSKILVEQRPDLEYEMKSSLDFDSVETVVYEQKEIVQEVETTVFEAETNVAVVDDGDGGDVFAISKSEWIPPRRMDSSEIPLDVLFPVEKPPASSRFGHRKPVKANPEGGRALKVAKPKRHETLESTWKMITEGRAMPLTRHLKKLDTFENHGREINVETMADSPLVKKSETFRDRTNYQLPPSSSPASGKLRKEPSLSQDELNRRVEAFIKKFNEEMRLQRQESLNQYMEMVNRGC >KJB69400 pep chromosome:Graimondii2_0_v6:11:1557281:1559378:1 gene:B456_011G022100 transcript:KJB69400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CFE5D MASASTWMLSMKVLFISSGVLSIALGLKLSVPLVLEFSVSQAPLLWSTFRSWLKPPYLYFVINGIIITIAVSSRFHQNNGENEKTEELMQQQPRSKILVEQRPDLEYEMKSSLDFDSVETVVYEQKEIVQEVETTVFEAETNVAVVDDGDGGDVFAISKSEWIPPRRMDSSEIPLDVLFPVEKPPASSRFGHRKPVKANPEGGRALKVAKPKRHETLESTWKMITEGRAMPLTRHLKKLDTFENHGREINVETMADSPLVKKSETFRDRTNYQLPPSSSPASGKLRKEPSLSQDELNRRVEAFIKKFNEEMRLQRQESLNQYMEMVNRGC >KJB69399 pep chromosome:Graimondii2_0_v6:11:1557281:1559356:1 gene:B456_011G022100 transcript:KJB69399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CFE5D MASASTWMLSMKVLFISSGVLSIALGLKLSVPLVLEFSVSQAPLLWSTFRSWLKPPYLYFVINGIIITIAVSSRFHQNNGENEKTEELMQQQPRSKILVEQRPDLEYEMKSSLDFDSVETVVYEQKEIVQEVETTVFEAETNVAVVDDGDGGDVFAISKSEWIPPRRMDSSEIPLDVLFPVEKPPASSRFGHRKPVKANPEGGRALKVAKPKRHETLESTWKMITEGRAMPLTRHLKKLDTFENHGREINVETMADSPLVKKSETFRDRTNYQLPPSSSPASGKLRKEPSLSQDELNRRVEAFIKKFNEEMRLQRQESLNQYMEMVNRGC >KJB70105 pep chromosome:Graimondii2_0_v6:11:57151401:57153268:-1 gene:B456_011G245300 transcript:KJB70105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRQKSKTDLLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKSALREAYKKKKFLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKEMYFPMRKYAIKV >KJB70106 pep chromosome:Graimondii2_0_v6:11:57151973:57153179:-1 gene:B456_011G245300 transcript:KJB70106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRQKSKTDLLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKSALREAYKKKKFLPLDLRPKKTRAIRRRLTKHQV >KJB71029 pep chromosome:Graimondii2_0_v6:11:11385250:11390617:-1 gene:B456_011G101000 transcript:KJB71029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLALHISPTLARECFLTASNSKSCVFPRYVRNRHGKSRFRFQARKGGSRSWNPNKISRLDLIQQVLERNNLIEDSQEEDEDEISGDDSSFLSLSEKPDRNMALLDEYELEELDFTSPTNHRSGYVAVLGKPNVGKSTLANQMIGQKLSIVTDKPQTTRHRVLGICSGPEYQMILYDTPGVIEKKMHKLDSMMMKNVRSAALNADCVLVIVDACKVPENINEVLEEGVGDFKCTLPTLLVLNKKDLIKPGEIAKKLEWYEKFTDVDEVIPVSAKYGHGVDDIKDWILSKLPTGPPYYPKDIVSEHPERFFVAEIVREKIFMQYRNEVPYACQVNVVSYKTRPNAKEFIQVEIVVEKDSQKIILIGKGGKALKTLATAARLDIEDFLQKKVFLEVEVKVKENWRQDEGLLRYYGYGGQIQAL >KJB71026 pep chromosome:Graimondii2_0_v6:11:11386401:11389498:-1 gene:B456_011G101000 transcript:KJB71026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPGVSELCLQMILYDTPGVIEKKMHKLDSMMMKNVRSAALNADCVLVIVDACKVPENINEVLEEGVGDFKCTLPTLLVLNKKDLIKPGEIAKKLEWYEKFTDVDEVIPVSAKYGHGVDDIKDWILSKLPTGPPYYPKDIVSEHPERFFVAEIVREKIFMQYRNEVPYACQVNVVSYKTRPNAKEFIQVEIVVEKDSQKIILIGKGGKALKTLATAARLDIEDFLQKKVFLEVEVKVKENWRQDEGLLRYYGYGGQIQAL >KJB71028 pep chromosome:Graimondii2_0_v6:11:11386811:11390463:-1 gene:B456_011G101000 transcript:KJB71028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLALHISPTLARECFLTASNSKSCVFPRYVRNRHGKSRFRFQARKGGSRSWNPNKISRLDLIQQVLERNNLIEDSQEEDEDEISGDDSSFLSLSEKPDRNMALLDEYELEELDFTSPTNHRSGYVAVLGKPNVGKSTLANQMIGQKLSIVTDKPQTTRHRVLGICSGPEYQMILYDTPGVIEKKMHKLDSMMMKNVRSAALNADCVLVIVDACKVPENINEVLEEGVGDFKCTLPTLLVLNKKDLIKPGEIAKKLEWYEKFTDVDEVIPVSAKYGHGVDDIKDWILSKLPTGPPYYPKDIVSEHPERFFVAEIVREKIFMQYRNEVPYACQVNVVSYKTRPNAKEFIQVEIVVEKDSQKIILIGKGGKALKTLATAARLDIEDFLQKKVFLEGSIP >KJB71025 pep chromosome:Graimondii2_0_v6:11:11385291:11390545:-1 gene:B456_011G101000 transcript:KJB71025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLALHISPTLARECFLTASNSKSCVFPRYVRNRHGKSRFRFQARKGGSRSWNPNKISRLDLIQQVLERNNLIEDSQEEDEDEISGDDSSFLSLSEKPDRNMALLDEYELEELDFTSPTNHRSGYVAVLGKPNVGKSTLANQMIGQKLSIVTDKPQTTRHRVLGICSGPEYQWYEKFTDVDEVIPVSAKYGHGVDDIKDWILSKLPTGPPYYPKDIVSEHPERFFVAEIVREKIFMQYRNEVPYACQVNVVSYKTRPNAKEFIQVEIVVEKDSQKIILIGKGGKALKTLATAARLDIEDFLQKKVFLEVEVKVKENWRQDEGLLRYYGYGGQIQAL >KJB71024 pep chromosome:Graimondii2_0_v6:11:11385878:11390617:-1 gene:B456_011G101000 transcript:KJB71024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLALHISPTLARECFLTASNSKSCVFPRYVRNRHGKSRFRFQARKGGSRSWNPNKISRLDLIQQVLERNNLIEDSQEEDEDEISGDDSSFLSLSEKPDRNMALLDEYELEELDFTSPTNHRSGYVAVLGKPNVGKSTLANQMIGQKLSIVTDKPQTTRHRVLGICSGPEYQMILYDTPGVIEKKMHKLDSMMMKNVRSAALNADCVLVIVDACKVPENINEVLEEGVGDFKCTLPTLLVLNKKDLIKPGEIAKKLEWYEKFTDVDEVIPVSAKYGHGVDDIKDWILSKLPTGPPYYPKDIVSEHPERFFVAEIVREKIFMQYRNEVPYACQVNVVSYKTRPNAKEFIQVEIVVEKDSQKIILIGKGGKALKTLATAARLDIEDFLQKKVFLEVEVKVKENWRQDEGLLRYYGYGGQIQAL >KJB71027 pep chromosome:Graimondii2_0_v6:11:11385291:11390617:-1 gene:B456_011G101000 transcript:KJB71027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLALHISPTLARECFLTASNSKSCVFPRYVRNRHGKSRFRFQARKGGSRSWNPNKISRLDLIQQVLERNNLIEDSQEEDEDEISGDDSSFLSLSEKPDRNMALLDEYELEELDFTSPTNHRSGYVAVLGKPNVGKSTLANQMIGQKLSIVTDKPQTTRHRVLGICSGPEYQMILYDTPGVIEKKMHKLDSMMMKNVRSAALNADCVLVIVDACKVPENINEVLEEGVGDFKCTLPTLLVLNKKDLIKPGEIAKKLEWYEKFTDVDEVIPVSAKYGHGVDDIKDWILSKLPTGPPYYPKDIVSEHPERFFVAEIVREKIFMQYRNEVPYACQVNVVSYKTRPNAKEFIQVEIVVEKDSQKIILIGKGGKALKTLATAARLDIEDFLQKKVFLEVEVKVKENWRQDEGLLRYYGYGGQIQAL >KJB71030 pep chromosome:Graimondii2_0_v6:11:11386274:11390617:-1 gene:B456_011G101000 transcript:KJB71030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLALHISPTLARECFLTASNSKSCVFPRYVRNRHGKSRFRFQARKGGSRSWNPNKISRLDLIQQVLERNNLIEDSQEEDEDEISGDDSSFLSLSEKPDRNMALLDEYELEELDFTSPTNHRSGYVAVLGKPNVGKSTLANQMIGQKLSIVTDKPQTTRHRVLGICSGPEYQMILYDTPGVIEKKMHKLDSMMMKNVRSAALNADCVLVIVDACKVPENINEVLEEGVGDFKCTLPTLLVLNKKDLIKPGEIAKKLEWYEKFTDVDEVIPVSAKYGHGVDDIKDWILSKLPTGPPYYPKDIVSEHPERFFVAEIVREKIFMQYRNEVPYACQVNVVSYKTRPNAKEFIQVEIVVEKDSQKIILIGKGGKALKTLATAARLDIEDFLQKKVFLEGSIP >KJB71584 pep chromosome:Graimondii2_0_v6:11:19792154:19802769:1 gene:B456_011G131000 transcript:KJB71584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAQLNRGISRQFSTGSIKRSFSRQFTRQTSLDPRKNNQRFSFGRQSSLDPIRRSPLHDDMAVPENLDATMQLLFMACRGDAKGVEDLLNEGVDVNSIDLDGRTALHIAACEGHVEVVKLLLVRKANIDARDRWGSTAAADAKYYGNMDVYNILKARGAKVPKIRKTPMAVTNPREIPEYELNPLELQVRKSDGITKGSYQVAKWNGTKVAVKILDTDSFSDPETINAFKHELTLLEKVRHPNVVQFVGAVTQNFPMMIVSEYHPKGDLGSYLQKKGRLSPSKALRFALDIARGMNYLHECKPEPIIHCNLRTKNVLMDNGGQLKVSGFGLLRLTQISPDKAKLAHPDYYVDPSNVYAAPELYKNLVFDRSVDSYSFGVILYEMIEGVVPFHPKPPEEILKLMCLEEKRPPIKTKSKSYPPELKELIEECWHTEAVVRPTFSEIIVRLNKIDANCSKQGWWKDAFKLPWK >KJB72407 pep chromosome:Graimondii2_0_v6:11:41016279:41019528:-1 gene:B456_011G176900 transcript:KJB72407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTPSSSSKLSQTHMRTPQSKQRLNFSSTRNNPQHPNSIAAKENPPGEHPVEVIGRIRNYPGDQKDKNPIPFLHINPDNNTLRVRADIGYRDFSLDGVSSSEEEDLDAFYKKFIESRINGVKMGAKCTVMMYGPTGSGKSHTMFGCPKQPGIVYRSLKGILGEHGEDENGGERLGVGTFVQVTVLEIYNEEIYDLLSTNGGGGGFGFGWPKGGNGSKAKLEVMGKKVKNANFISGNEAGKISKEIQKVEKRRIVKSTLCNERSSRSHCMIILDVPTVGGRLMLVDMAGSENIDQAGQVGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDQSKILMILCASPDPKEIHKTVCTLEYGAKAKCIVRGPHTPTKDKNEDSSSAALLGSRLAAMDQFIHKLQMENKLREKERNEAHKELLKKKEEAAALRALVEGKGTSGATEEEINAKVNEQALILKLELEKKLDKCRRMAEELVEMERRRMEERILQQQEEVEMLRRRLQEIEFELCCSRDGRNAVENEAKELDSGSSNFAKRLIGIYGDEIPGMVKSMDLDMGDPEPVMHDSSGIHPDGFAPQFGPKTGLSTVYEEEEIEEDEEQKENEEDEVVEKLIIEEKRVCSSEIVNDLRPKQSDSDTASSRLLRIQNIFTLCGNQRELTQQISTETPTKPMAETIDHYWSEVKSLDKENSPPGLIAACLNKKETPYNDFADAQIEVYVKWEASKEIPGKFITTLKVVKDATLADLRKLIEIYLAADNQAFTFLLLGPVGSY >KJB72405 pep chromosome:Graimondii2_0_v6:11:41015102:41019666:-1 gene:B456_011G176900 transcript:KJB72405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDQSKILMILCASPDPKEIHKTVCTLEYGAKAKCIVRGPHTPTKDKNEDSSSAALLGSRLAAMDQFIHKLQMENKLREKERNEAHKELLKKKEEAAALRALVEGKGTSGATEEEINAKVNEQALILKLELEKKLDKCRRMAEELVEMERRRMEERILQQQEEVEMLRRRLQEIEFELCCSRDGRNAVENEAKELDSGSSNFAKRLIGIYGDEIPGMVKSMDLDMGDPEPVMHDSSGIHPDGFAPQFGPKTGLSTVYEEEEIEEDEEQKENEEDEVVEKLIIEEKRVCSSEIVNDLRPKQSDSDTASSRLLRIQNIFTLCGNQRELTQQISTETPTKPMAETIDHYWSEVKSLDKENSPPGLIAACLNKKETPYNDFADAQIEVYVKWEASKEIPGKFITTLKVVKDATLADLRKLIEIYLAADNQAFTFLLLGPDLTEAPVKKENEGTVKASKLPLCSNSYMACLRPAKCMQVTNHLPLSTLPLTPMENKLPLTPNNSILASR >KJB72408 pep chromosome:Graimondii2_0_v6:11:41015330:41019528:-1 gene:B456_011G176900 transcript:KJB72408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTPSSSSKLSQTHMRTPQSKQRLNFSSTRNNPQHPNSIAAKENPPGEHPVEVIGRIRNYPGDQKDKNPIPFLHINPDNNTLRVRADIGYRDFSLDGVSSSEEEDLDAFYKKFIESRINGVKMGAKCTVMMYGPTGSGKSHTMFGCPKQPGIVYRSLKGILGEHGEDENGGERLGVGTFVQVTVLEIYNEEIYDLLSTNGGGGGFGFGWPKGGNGSKAKLEVMGKKVKNANFISGNEAGKISKEIQKVEKRRIVKSTLCNERSSRSHCMIILDVPTVGGRLMLVDMAGSENIDQAGQVGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDQSKILMILCASPDPKEIHKTVCTLEYGAKAKCIVRGPHTPTKDKNEDSSSAALLGSRLAAMDQFIHKLQMENKLREKERNEAHKELLKKKEEAAALRALVEGKGTSGATEEEINAKVNEQALILKLELEKKLDKCRRMAEELVEMERRRMEERILQQQEEVEMLRRRLQEIEFELCCSRDGRNAVENEAKELDSGSSNFAKRLIGIYGDEIPGMVKSMDLDMGDPEPVMHDSSGIHPDGFAPQFGPKTGLSTVYEEEEIEEDEEQKENEEDEVVEKLIIEEKRVCSSEIVNDLRPKQSDSDTASSRLLRIQNIFTLCGNQRELTQQISTETPTKPMAETIDHYWSEVKSLDKENSPPGLIAACLNKKETPYNDFADAQIEVYVKWEASKEIPGKFITTLKVVKDATLADLRKLIEIYLAADNQAFTFLLLGPDLTEAPVKKENEGTVKASKLPLCSNSYMACLRPAKCMQVTNHLPLSTLPLTPMENKLPLTPNNSILASR >KJB72409 pep chromosome:Graimondii2_0_v6:11:41015861:41018361:-1 gene:B456_011G176900 transcript:KJB72409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLYLQAKLEVMGKKVKNANFISGNEAGKISKEIQKVEKRRIVKSTLCNERSSRSHCMIILDVPTVGGRLMLVDMAGSENIDQAGQVGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDQSKILMILCASPDPKEIHKTVCTLEYGAKAKCIVRGPHTPTKDKNEDSSSAALLGSRLAAMDQFIHKLQMENKLREKERNEAHKELLKKKEEAAALRALVEGKGTSGATEEEINAKVNEQALILKLELEKKLDKCRRMAEELVEMERRRMEERILQQQEEVEMLRRRLQEIEFELCCSRDGRNAVENEAKELDSGSSNFAKRLIGIYGDEIPGMVKSMDLDMGDPEPVMHDSSGIHPDGFAPQFGPKTGLSTVYEEEEIEEDEEQKENEEDEVVEKLIIEEKRVCSSEIVNDLRPKQSDSDTASSRLLRIQNIFTLCGNQRELTQQISTETPTKPMAETIDHYWSEVKSLDKENSPPGLIAACLNKKETPYNDFADAQIEVYVKWEASKEIPGKFITTLKVVKDATLADLRKLIEIYLAADNQAFTFLLLGPDLTEAPVKKENEGTVKASKLPLCSNSYMACLRPAKCMQVTNHLPLSTLPLTPMENKLPLTPNNSILASR >KJB72406 pep chromosome:Graimondii2_0_v6:11:41016004:41019528:-1 gene:B456_011G176900 transcript:KJB72406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTPSSSSKLSQTHMRTPQSKQRLNFSSTRNNPQHPNSIAAKENPPGEHPVEVIGRIRNYPGDQKDKNPIPFLHINPDNNTLRVRADIGYRDFSLDGVSSSEEEDLDAFYKKFIESRINGVKMGAKCTVMMYGPTGSGKSHTMFGCPKQPGIVYRSLKGILGEHGEDENGGERLGVGTFVQVTVLEIYNEEIYDLLSTNGGGGGFGFGWPKGGNGSKAKLEVMGKKVKNANFISGNEAGKISKEIQKVEKRRIVKSTLCNERSSRSHCMIILDVPTVGGRLMLVDMAGSENIDQAGQVGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDQSKILMILCASPDPKEIHKTVCTLEYGAKAKCIVRGPHTPTKDKNEDSSSAALLGSRLAAMDQFIHKLQMENKLREKERNEAHKELLKKKEEAAALRALVEGKGTSGATEEEINAKVNEQALILKLELEKKLDKCRRMAEELVEMERRRMEERILQQQEEVEMLRRRLQEIEFELCCSRDGRNAVENEAKELDSGSSNFAKRLIGIYGDEIPGMVKSMDLDMGDPEPVMHDSSGIHPDGFAPQFGPKTGLSTVYEEEEIEEDEEQKENEEDEVVEKLIIEEKRVCSSEIVNDLRPKQSDSDTASSRLLRIQNIFTLCGNQRELTQQISTETPTKPMAETIDHYWSEVKSLDKENSPPGLIAACLNKKETPYNDFADAQIEVYVKWEASKEIPGKFITTLKVVKDATLADLRKLIEIYLAADNQAFTFLLLGPDLTEAPVKKENEGTVKASKLPLCSNSYMACLRPAKCMQVTNHLPLSTLPLTPMENKLPLTPNNSILASR >KJB69459 pep chromosome:Graimondii2_0_v6:11:1770785:1775557:-1 gene:B456_011G024500 transcript:KJB69459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G11130) UniProtKB/TrEMBL;Acc:C0LGE3] MGFVSWGIVRVGLLLVLTLPFSTGDTDPRDVSAINSLYTSLGSPPLLGWIPAGGDPCGEGWQGVSCVFSNITELRLSGLNLGGILDEGIGGFESILIMDLSHNQIGGSIPSNIPLTIKNLFLSGNQFNGSIPVTLSALTQLTQLFLDDNRLSDNIPDSFQELRSLVDLDLSGNNLTGQLPPSFGNLSSLTTLHLQNNKISGVLDVLQDLPLSDLNVENNILSGPIPPKLLNIPNFRKDGNPFNTTILPSPPAALPPFIAWAPSPLHGPPRGRPADGPSSSLVLPQWSKGRVFWTNKRVIVIAAAGIVTLIVLGVVLLLVLRCFRGNKDSNKHGANAYNSSSKKLNQVQKSSSQPAYQTEKVDKATVTRPMDGYGLESGDTGISPNLHDEQLLDANTRPASSRHQKNHEINKGGLDVKSLSIRPPLPPPLLPTVEEASVSPIMPTEVNGPGRSSRGRDSCSLDVTAFTIASLQQYTNSFAEENFIGEGMLGGVYRAELPDGKLLAIKKLDTRASRWKTDAEFLQLISTISKLRHPNIVELVGYCNEHGQRLLVYQYCRNGTLYDALHIDDEMHKKLSWNARVRVALGVARAIQYLHEACQPPIMHKNIKSVNILLDDKLAVRVSECGLAPLLSSGSTSEFSGSLFVSYGYAAPEIEFGSYTCQSDVYSLGVVMLELLTGRESFDRSRPLGEQFLVIWAIQQLHDIDALARMVDITLNGVYPVKSLSRFADIISRCVQSEPGFRPPISEIVQDLLHMI >KJB69460 pep chromosome:Graimondii2_0_v6:11:1770324:1775846:-1 gene:B456_011G024500 transcript:KJB69460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G11130) UniProtKB/TrEMBL;Acc:C0LGE3] MDLSHNQIGGSIPSNIPLTIKNLFLSGNQFNGSIPVTLSALTQLTQLFLDDNRLSDNIPDSFQELRSLVDLDLSGNNLTGQLPPSFGNLSSLTTLHLQNNKISGVLDVLQDLPLSDLNVENNILSGPIPPKLLNIPNFRKDGNPFNTTILPSPPAALPPFIAWAPSPLHGPPRGRPADGPSSSLVLPQWSKGRVFWTNKRVIVIAAAGIVTLIVLGVVLLLVLRCFRGNKDSNKHGANAYNSSSKKLNQVQKSSSQPAYQTEKVDKATVTRPMDGYGLESGDTGISPNLHDEQLLDANTRPASSRHQKNHEINKGGLDVKSLSIRPPLPPPLLPTVEEASVSPIMPTEVNGPGRSSRGRDSCSLDVTAFTIASLQQYTNSFAEENFIGEGMLGGVYRAELPDGKLLAIKKLDTRASRWKTDAEFLQLISTISKLRHPNIVELVGYCNEHGQRLLVYQYCRNGTLYDALHIDDEMHKKLSWNARVRVALGVARAIQYLHEACQPPIMHKNIKSVNILLDDKLAVRVSECGLAPLLSSGSTSEFSGSLFVSYGYAAPEIEFGSYTCQSDVYSLGVVMLELLTGRESFDRSRPLGEQFLVIWAIQQLHDIDALARMVDITLNGVYPVKSLSRFADIISRCVQSEPGFRPPISEIVQDLLHMI >KJB69458 pep chromosome:Graimondii2_0_v6:11:1770324:1775846:-1 gene:B456_011G024500 transcript:KJB69458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G11130) UniProtKB/TrEMBL;Acc:C0LGE3] MGFVSWGIVRVGLLLVLTLPFSTGDTDPRDVSAINSLYTSLGSPPLLGWIPAGGDPCGEGWQGVSCVFSNITELRLSGLNLGGILDEGIGGFESILIMDLSHNQIGGSIPSNIPLTIKNLFLSGNQFNGSIPVTLSALTQLTQLFLDDNRLSDNIPDSFQELRSLVDLDLSGNNLTGQLPPSFGNLSSLTTLHLQNNKISGVLDVLQDLPLSDLNVENNILSGPIPPKLLNIPNFRKDGNPFNTTILPSPPAALPPFIAWAPSPLHGPPRGRPADGPSSSLVLPQWSKGRVFWTNKRVIVIAAAGIVTLIVLGVVLLLVLRCFRGNKDSNKHGANAYNSSSKKLNQVQKSSSQPAYQTEKVDKATVTRPMDGYGLESGDTGISPNLHDEQLLDANTRPASSRHQKNHEINKGGLDVKSLSIRPPLPPPLLPTVEEASVSPIMPTEVNGPGRSSRGRDSCSLDVTAFTIASLQQYTNSFAEENFIGEGMLGGVYRAELPDGKLLAIKKLDTRASRWKTDAEFLQLISTISKLRHPNIVELVGYCNEHGQRLLVYQYCRNGTLYDALHIDDEMHKKLSWNARVRVALGVARAIQYLHEACQPPIMHKNIKSVNILLDDKLAVRVSECGLAPLLSSGSTSEPFCIVRLCSPGNRVRKLYLPE >KJB72894 pep chromosome:Graimondii2_0_v6:11:49172024:49174072:-1 gene:B456_011G203100 transcript:KJB72894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMHAKKVKLSHLFNTFFYKKLVNLESGYNYRAIKRWTSQRKLGYCLLDCDKLSIRKIRSSYILIH >KJB69185 pep chromosome:Graimondii2_0_v6:11:697683:701114:-1 gene:B456_011G009500 transcript:KJB69185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDLVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSFQVPDPKIVKEGQVIVRARNLRGAANFIPPKSFRSRRVYFSNEENGLLFVPKGQAQNAQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENAMDDTQRMMQMGGFGFDPSKSLSAEKDGLDIVQHDWALPKFEHRAEAVLKKLVS >KJB69106 pep chromosome:Graimondii2_0_v6:11:437274:444761:1 gene:B456_011G005400 transcript:KJB69106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLKIATNSHMKEDHSSQLSMKRDNNLESLGSPRHRRVSTRWDPNEPCRPNIDDAPVFYPTIEEFEDTLAYIEKIRAEAQSFGICRIVPPPSWTPPCPLKEKDIWEHAKFSTRIQQVDLLQNREPMRKKNRSRKRKRRRHSRMGATRRQANSSSESVVTSETDEKFGFNSGSEFTLEEFQRYADEFKKMYFQRDCSEDLEPSMIECRKWEPSWEDIEGEYWRIVEQPKDEVEVYYGADLETGTFGSGFPKLSSVLTGNDADKYATSGWNLNNFPRLQGSVLSFEGCDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHFGDPKIWYGVPGNQATSLEAAMRKHLPDLFEEQPDLLNELVTQLSPSVLKAEGVPVYRAVQHSGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLEHGQLAVELYSEQHRKTSLSHDKLLLGSARQAIQALRELHVLGRETPGNSRWKRVCGKDGILTKAVRMRKQMEEERVNCLPPDMPVRKMEKDFDLESERECFSCFYDLHLSACSCKCSPERFACLKHVKNFCSCQDEDRFVLLRYTVDELQMLVEALEGGLDAVKLWASKDLGLVSGIDCDVYLSKWVQDSEVLKFEPARESFSCSSRVEEKVDINTPYLYGHFSSEVSPSECQPATKLKTSHVTLDSHKNVVNVGVLVMENRTNSEQEACIDLNLDIVSDYPATARKSICDSDSSSNHSVTDVETFLREKSCGFDEVREPVLKRLKSDCSSSVSREYSEKYQHSISTGHRGPDGFEGKKLFGVELQFPHINAGQSNTLLKAETLNCSDVIASMAHQGHPLLNHAVEPLNFGSVIFGKLWCNSQAIFPKGFRSRVKYFSVLDPTKISSYISEVLDIGLLGPLFKVTLEGCPTITFSNVLPNKCWEMVLQQLNQEILRRKNLGESGLLPLQSLQSINGLEMFGFLSPSIIQAIEALDPNHQCSEYWNDKTTRDINEVKKYALGLSCSVGESKAKIFGVDLTKQDHEDPNQHSVDEEIQVVLRGLFKKASPEELKIMRRILCSDSRSPERQVAYETLTEEIRKTCR >KJB73780 pep chromosome:Graimondii2_0_v6:11:57899093:57899903:-1 gene:B456_011G251300 transcript:KJB73780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSLTISLFWCEELIMNPLISATSVFAAGSAVELASIGLELVKAEGKIQGTLLLSLAFMEALTIYGLVVALALLFVNLFV >KJB71777 pep chromosome:Graimondii2_0_v6:11:22381462:22382630:1 gene:B456_011G141800 transcript:KJB71777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALTKTILPTARHIQYDDQIRAAISHQATWRTQNINNHQQQIFLPPKSKVEVVILNQDAHRYHLCIH >KJB71009 pep chromosome:Graimondii2_0_v6:11:11172087:11180531:1 gene:B456_011G100400 transcript:KJB71009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWRATSNLRRSLKSILNRQLSSVSEFRYLNEKRSCQSSFNLIRDCKSLGQVNAIQHRCFSSASTLVQRNPSFSTLNSDDISYFKGLLGEKSVIQDEDRLETVNTDWMHKYKGSSKLLLLPRSTEEVAQILRYCNSRCLAVVPQGGNTGLVGGSVPVFDEVIVNISSMNNIISFDKVSGILVCEAGCILENLISFLDNQGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGNVLGLEAVLANGDVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLSSVNIAFLACNDYSSCQKLLMEAKRKLGEILSAFEFLDTEAMNLVLHQLDGVRNPLPASMHNFYILIETTGSDESYNREKLEAFLLSSMEGGLISDGVLAQDINQASSFWRIREGVPEALMKAGAVYKYDLSLPVEKMYDLVDDMRIRLGDLAKVVGYGHLGDGNLHLNVSAPEYDDKILEQIEPYVYEWTSKHRGSISAEHGLGLMKANKIYYSKSAETVQTMASIKKLLDPNGILNPYKVLPHLLNS >KJB71008 pep chromosome:Graimondii2_0_v6:11:11172087:11180526:1 gene:B456_011G100400 transcript:KJB71008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWRATSNLRRSLKSILNRQLSSVSEFRYLNEKRSCQSSFNLIRDCKSLGQVNAIQHRCFSSASTLVQRNPSFSTLNSDDISYFKGLLGEKSVIQDEDRLETVNTDWMHKYKGSSKLLLLPRSTEEVAQILRYCNSRCLAVVPQGGNTGLVGGSVPVFDEVIVNISSMNNIISFDKVSGILVCEAGCILENLISFLDNQGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGNVLGLEAVLANGDVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLSSVNIAFLACNDYSSCQKLLMEAKRKLGEILSAFEFLDTEAMNLVLHQLDGVRNPLPASMHNFYILIETTGSDESYNREKLEAFLLSSMEGGLISDGVLAQDINQASSFWRIREGVPEALMKAGAVYKYDLSLPVEKMYDLVDDMRIRLGDLAKVVGYGHLGDGNLHLNVSAPEYDDKILEQIEPYVYEWTSKHRGSISAEHGLGLMKANKIYYSKSAETVSFPLNKKMQRISLFAGCVSPSHGCTSCLN >KJB72331 pep chromosome:Graimondii2_0_v6:11:38996092:38996325:-1 gene:B456_011G172900 transcript:KJB72331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLIFIAAGLAVGLVPIGPRVVQGTAVGQAIEGIARQLEAKGKIQGTLLLSLAFMEALTIYGLVVALALLFADPFD >KJB71324 pep chromosome:Graimondii2_0_v6:11:15357628:15365894:-1 gene:B456_011G116800 transcript:KJB71324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMLNFEEQCKILNLLKSSSEQKSIEEMLTEFFTNPKLRHFNVCYSLSLLLQDKMMLSSTERLVAFAILHRSYSSQKSAANPFISFLVNAACDEGAENYERAFILHLLGFGNSNSSKEFLKQSASEYIKKFDPSSQTFPQKEQLQKQYLEKAHPEPYACLLKSTAVKNVLADPDVPPGCDANSAEFDLEPGAKSKLGSGDRDEALSGLIANLSLEGLIPHWIRPLPPRFPVDEELVWLNPDNNHELQWDQGMCADTSRGAAVRDLIAKALRGPLAPNQQEQVLVELANDPKLVYHCGLTPRKLPELVESNPLIAVDVLIKLINSPEIAEYFTVLVNMDMSLHSMEVVNRLTTAVELPKEFVRTYITNCISSCENIKDKYMQNRLVRLVCVFLQSLIRNRIIDGKICLSKFKPSALSFQGLERQRVYLGC >KJB71326 pep chromosome:Graimondii2_0_v6:11:15362114:15365761:-1 gene:B456_011G116800 transcript:KJB71326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMLNFEEQCKILNLLKSSSEQKSIEEMLTEFFTNPKLRHFNVCYSLSLLLQDKMMLSSTERLVAFAILHRSYSSQKSAANPFISFLVNAACDEGAENYERAFILHLLGFGNSNSSKEFLKQSASEYIKKFDPSSQTFPQKEQLQKQYLEKAHPEPYACLLKSTAVKNVLADPDVPPGCDANSAEFDLEPGAKSKLGSGDRDEALSGLIANLSLEGLIPHWIRPLPPRFPVDEEVKHFSGLLFNRLVEFTCFTCQEFFS >KJB71322 pep chromosome:Graimondii2_0_v6:11:15357587:15365992:-1 gene:B456_011G116800 transcript:KJB71322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMLNFEEQCKILNLLKSSSEQKSIEEMLTEFFTNPKLRHFNVCYSLSLLLQDKMMLSSTERLVAFAILHRSYSSQKSAANPFISFLVNAACDEGAENYERAFILHLLGFGNSNSSKEFLKQSASEYIKKFDPSSQTFPQKEQLQKQYLEKAHPEPYACLLKSTAVKNVLADPDVPPGCDANSAEFDLEPGAKSKLGSGDRDEALSGLIANLSLEGLIPHWIRPLPPRFPVDEELVWLNPDNNHELQWDQGMCADTSRGAAVRDLIAKALRGPLAPNQQEQVLVELANDPKLVYHCGLTPRKLPELVESNPLIAVDVLIKLINSPEIAEYFTVLVNMDMSLHSMEVVNRLTTAVELPKEFVRTYITNCISSCENIKDKYMQNRLVRLVCVFLQSLIRNRIIDVKDLFIEVQAFCIEFSRIREAAGLFRLLKTLE >KJB71325 pep chromosome:Graimondii2_0_v6:11:15361656:15365894:-1 gene:B456_011G116800 transcript:KJB71325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMLNFEEQCKILNLLKSSSEQKSIEEMLTEFFTNPKLRHFNVCYSLSLLLQDKMMLSSTERLVAFAILHRSYSSQKSAANPFISFLVNAACDEGAENYERAFILHLLGFGNSNSSKEFLKQSASEYIKKFDPSSQTFPQKEQLQKQYLEKAHPEPYACLLKSTAVKNVLADPDVPPGCDANSAEFDLEPGAKSKLGSGDRDEALSGLIANLSLEGLIPHWIRPLPPRFPVDEELVWLNPDNNHELQWDQGMCADTSRGAAVRDLIAKALRGPLAPNQQEVYTLQSNNCLFSNNVIFIYLCKAT >KJB71323 pep chromosome:Graimondii2_0_v6:11:15357586:15365969:-1 gene:B456_011G116800 transcript:KJB71323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMLNFEEQCKILNLLKSSSEQKSIEEMLTEFFTNPKLRHFNVCYSLSLLLQDKMMLSSTERLVAFAILHRSYSSQKSAANPFISFLVNAACDEGAENYERAFILHLLGFGNSNSSKEFLKQSASEYIKKFDPSSQTFPQKEQLQKQYLEKAHPEPYACLLKSTAVKNVLADPDVPPGCDANSAEFDLEPGAKSKLGSGDRDEALSGLIANLSLEGLIPHWIRPLPPRFPVDEELVWLNPDNNHELQWDQGMCADTSRGAAVRDLIAKALRGPLAPNQQEQVLVELANDPKLVYHCGLTPRKLPELVESNPLIAVDVLIKLINSPEIAEYFTVLVNMDMSLHSMEVVNRLTTAVELPKEFVRTYITNCISSCENIKDKYMQNRLVRLVCVFLQSLIRNRIIDVKDLFIEVQAFCIEFSRIREAAGLFRLLKTLE >KJB73777 pep chromosome:Graimondii2_0_v6:11:57869048:57870620:1 gene:B456_011G251000 transcript:KJB73777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERMIEAAQTGDFNLLYELILNDPYVLERIDDVPFFHTPLHVAASVGHIEFMMEMIKLKPTFARKLNQAGFSPMHLALQNHRTHAVLRLLRFDEGLVRVKGREDLTPLHHVVQNENLNLLKKFLEVCPEAIEDMTVRDETVFHLAVKNDMFEAFQVLVGWLMRSWYESPRWEKELLSWPDIDGNTVLHIAAIRNRPRVVNVLLEHMRRDQINAKNLEGLTALDIQSQYPWNERQADKIIDMLSKAGGLSGSSSSLPNTSISSFHIESLKDKMSRSQKWATRAGRGKKGMGHEMRNTFLVVTVLIITTTYEASLNPPKKPNDSPSMKYQVSSSQDEPLNSHTFLHKTDFNTAPIPSPSAIDVLDLDDWTFKYSSFLFCNTFTFWVAVFLTALLLPPHSFSSLILLTLSFFGRSYMNLFDVSAWSWGDSYEFSNENAHLLYVVASFCNVFFSTLLVFLVSYQTIFYFCSRVNITKPKFFFLLLVVVIGCMVFMFG >KJB69887 pep chromosome:Graimondii2_0_v6:11:3898177:3899514:-1 gene:B456_011G050000 transcript:KJB69887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSNCKLSRLFACNLTSIICKCLALVTIALFFRAILYHLSSGDVLERDDLDLIPGRSMLSQSDEGIRRNKFLEIAFVRACLTTRMLNRTLLMPSLSASLFCKKINRLQPISFDKVFKFERFNTLCEGFVQLARYSDLKNRTGVYDLRKGSGRKWTVERDLEQLKQSIINGPIDMHEVIRMVGKNPFLWHGHWPVKDYARVFECLVLVDEISKEADKVVSKIRQIGRKLRSEPGMGSSLRPAPYVAVHIRVEIDWMIHCKKLEQRSGVSQICSSKQEIIERVGKIINLEAPIVVYLAVADNLLNDSSLLSGWNKGLVPCEKKNLGVDGIYKKHPYLIQSAIDNEVCSKADIFVGNSFSTFSSHIVFERTQKMMRMGSTSSCKNENEVDVQWPSYAYNIAAGESNGPQKWMTNMSDSSLRVISYDSNAISCLG >KJB73310 pep chromosome:Graimondii2_0_v6:11:54056427:54058306:-1 gene:B456_011G227500 transcript:KJB73310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDTMKEFTWSVTSWGFPSFLPLSELHNPTRGYLVNDTCIVEAYVFTDRKIGFISHELIVKTDADKLKTKEADCVKAAMDNQKTVSTKPVEITNPSPTPPCQIMATEPEEPTEEDMNIFFTSLESKLWSSNTIFSREEAKEALTKVEKALNMTPVNFYGSWKLSPLKHAFKILASFDCSSTTLTIEQIKKELLAMEESLKELAD >KJB70663 pep chromosome:Graimondii2_0_v6:11:9174832:9176324:-1 gene:B456_011G087700 transcript:KJB70663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESKYFFSTRHDSYINNKCKRAAGSGYWKPIGKEKPILASGTNRVVGMRRALIFCQRKCSNGTQTRWLLHQYRLVDPVPTLDSTQRSRSEMCGPDWLVFRVFQRKRSRRGKKHEVVSHKSETSSAMNMPSCIDFTVEESSVFGPPPQPTSPSSTEITEVSSHGLDQEESSAFITSYYSNCCMRNQ >KJB70664 pep chromosome:Graimondii2_0_v6:11:9174832:9176527:-1 gene:B456_011G087700 transcript:KJB70664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQNFVVNGGVKMPIGFRFHPTDEELVVHYLKRKALCLPLPASVIPEFDVFQTDPWSMPGDMKESKYFFSTRHDSYINNKCKRAAGSGYWKPIGKEKPILASGTNRVVGMRRALIFCQRKCSNGTQTRWLLHQYRLVDPVPTLDSTQRSRSEMCGPDWLVFRVFQRKRSRRGKKHEVVSHKSETSSAMNMPSCIDFTVEESSVFGPPPQPTSPSSTEITEVSSHGLDQEESSAFITSYYSNCCMRNQ >KJB72274 pep chromosome:Graimondii2_0_v6:11:35245303:35246475:-1 gene:B456_011G169300 transcript:KJB72274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQVPNPLFDLAGIMCGQFGIPFWKFFLATLVGKAIIKTHIQTVFIISVCNNQLLDWIENELIWVLSSLIPGFDSYLPTLTEKLHAVKEKYLSAPHPVPSNAKEKWDFSFASIWNTIVWLMLMNFFVKIVNATAQDHLKRQQDKQLAKKVSASRH >KJB74141 pep chromosome:Graimondii2_0_v6:11:60755014:60756843:-1 gene:B456_011G2752001 transcript:KJB74141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQDRSSQSRPPHVLIFPLPLQGHINTMINLAELLAVAGFKLTFLNSHHNHKRLVKFNNIAAHFERYPGFEFKTITDGLPLDHPRSGNWFLDMFEDTMEPKMKQSLREVLVNSSPPVDCIIADWFLGFALDVAKEVGIPIIFFCTSSPCCFWVYYSIPDIIQAGELPINGSEDMDRLITTVPGMETYLRCRDLPTFCRKLDIEDSIMKLIVKQTRKSLQADALILNTAEELDGPILSQIRTKCSRVYAVGPLHAQLNTRLNAKHGQSYDHISNTLWEVDKSCIFWLNKQPNRSVIYVSFGSIASMSREQLVELWYGLLNSKTKFLLVVRPNSVIGKDGEGEDVVMELMEKSKDRGYIVNWAPQEAVLNHPAIGGFFTHNGWNSTLESVVAGVPMICWPQFADQHVNSRVVSVVWKIGLDMKD >KJB70890 pep chromosome:Graimondii2_0_v6:11:10269049:10271856:1 gene:B456_011G094400 transcript:KJB70890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFARLIFAGILISLLSNRVAAMAADLPPCKFKAIYNFGDSNSDTGAISAAIFPRQWPNGETFFRKPAGRVCDGRLIIDFIAERLGLPLLSSYLDSIGSNFRHGANFAAGGSTIVPQNKTIAESGLSPFALNVQIFQFDQFKARTSDLYKEKKKHSCRNQVLPRSKEFGDALYAVDIGQNDIAYGLRTVGDAQLLASIPDIITQLVLAVQHLYTQGARTFWIHNTGPIGCLPSTLLTITNPPPGFLDNHGCVKSQNDIAQEFNRQLKSRVMKLRTDLPHAAITYVDIYAAKYGLISSSKQHGFVEARKICCGYHKNGIDVGCGGILALPNGTQISGPSCEDPSTYISWDGVHYTEAANHWIASRLMNGSFSDPPVPITHACYTTS >KJB70889 pep chromosome:Graimondii2_0_v6:11:10268932:10271856:1 gene:B456_011G094400 transcript:KJB70889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLPPCKFKAIYNFGDSNSDTGAISAAIFPRQWPNGETFFRKPAGRVCDGRLIIDFIAERLGLPLLSSYLDSIGSNFRHGANFAAGGSTIVPQNKTIAESGLSPFALNVQIFQFDQFKARTSDLYKEKKKHSCRNQVLPRSKEFGDALYAVDIGQNDIAYGLRTVGDAQLLASIPDIITQLVLAVQHLYTQGARTFWIHNTGPIGCLPSTLLTITNPPPGFLDNHGCVKSQNDIAQEFNRQLKSRVMKLRTDLPHAAITYVDIYAAKYGLISSSKQHGFVEARKICCGYHKNGIDVGCGGILALPNGTQISGPSCEDPSTYISWDGVHYTEAANHWIASRLMNGSFSDPPVPITHACYTTS >KJB68771 pep chromosome:Graimondii2_0_v6:11:5327076:5327572:1 gene:B456_011G063500 transcript:KJB68771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFRSNSYKDGGEMQMKSYYGGGPSNMQGMRCYSENSVQQNQLGKEIKMKKSKSSRGSASKSWSFTDPELQRKKRVASYKVYAVEGKMKGSFRKSFRWIKDTATQVVYGWRGEVPLLFKNLESEIFINYGM >KJB73110 pep chromosome:Graimondii2_0_v6:11:51795121:51799114:1 gene:B456_011G215400 transcript:KJB73110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLHNIFLIAPPPQENQQQQAPPPPPPPPQPQPFNQNYNLTDTNLWTLKRSTAQESIPVFQKKDGALNNVEEDDDDESGAAGGCFKVCRDCGNRAKKECGYSRCRTCCKSRGYDCATHVKSTWVPAARRKDRKVLVIGDDDGGGNGGWSSGSSSCGGKRQRVLNLTSNAASKSLNFEAGTCHQDSGFKESLPVRVQAPAVFRCIQVTAISDGESEIAYQATVNISGHVFKGFLYDHGVDVKNEFPCISKVVFESSSSGRDRDSSSPVVDPANTFAASGD >KJB70600 pep chromosome:Graimondii2_0_v6:11:8309053:8311418:-1 gene:B456_011G082300 transcript:KJB70600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIFTVFITISYVLTPGASGATSLFNVVHYGAVGDGKTDDSAVFSKAWKATCNAPARNPILSIPAAKIFLLKPVTFSGPCKSTSIHVLMSGNIVAPNSKMAWKGFHINRWLAFTHVNGLTIIGSGTINGRGAAWWSQPCLHKVPKGVTCKRPTALTFYRCNGLVLKGIRHINSQRNHITISNCKDVTFSNLHISAPKTSPNTDGIDISVVAGDDCIATSSDSSHINITGIACGPGHGIREALEMHGGSHSRKSGSFEPIAPIIIDQYYCPSRVNCKNETSGIRISDVSYRSIIGTSTTDKVINLSSVQNVGCTDIQFNYVYITPTDVPGKKAYAFSFNAHGNYTHTSPVVKRLQA >KJB69220 pep chromosome:Graimondii2_0_v6:11:806335:808961:1 gene:B456_011G011300 transcript:KJB69220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVEGFQGKVYEAAELSPPRPNSPITTEDDNDNNGEELFVPPLNFAMVDNGVFRSGFPDSANFSFLQSLGLRSIIYLCPESYPEANNEFLKANGIRLFQFGIDGCKEPFVNIPEETIREALNVVLDVKNHPLLIHCKRGKHRTGCVVGCLRKLQRWCLSSIFDEYQRFAAAKARVSDQRFMEKFDVSGMKHLPITFSCSKR >KJB72332 pep chromosome:Graimondii2_0_v6:11:38163174:38166306:-1 gene:B456_011G171500 transcript:KJB72332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYLELILSFTNIYTIFFCQNKKLGQHQKHSTNMASCFSMARVFLAFLVVASSLGHVFSSPLLSDSGDRQRANHTKEIQKLKLKLRRINASLNKINKPAFKTIQSPDGDVIDCVLSHLQPAFDHPALQGQKPHFVQEDPPERPNGYKYTEAVAESESFQLWADSGESCPEGTVPIRRTRQKDIQRASSITRYGRRRVRRDSTGSGHEHAVAFEKGDEYYGAKASLNVWAPRVCNEYEFSLSQIWIISGSFGNDLNTIEAGWQTDAYRATGCYNLLCSGFIQTNNKVAIGAAISPTSSYNGRQFEIGLMVWKDPKHGSWWLELGSGILVGYWPAILFSHLRSHANMIQFGGEIVNSRSSSSGLHTSTQMGSGHFAQQGFGKAAYFRNLQRVDWDNNLLPITNLRLLADHSNCYDITQGRNNLWGTYFYYGGPGRNVRCP >KJB72333 pep chromosome:Graimondii2_0_v6:11:38163368:38166306:-1 gene:B456_011G171500 transcript:KJB72333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYLELILSFTNIYTIFFCQNKKLGQHQKHSTNMASCFSMARVFLAFLVVASSLGHVFSSPLLSDSGDRQRANHTKEIQKLKLKLRRINASLNKINKPAFKTIQSPDGDVIDCVLSHLQPAFDHPALQGQKPHFVQEDPPERPNGYKYTEAVAESESFQLWADSGESCPEGTVPIRRTRQKDIQRASSITRYGRRRVRRDSTGSGHEHAVAFEKGDEYYGAKASLNVWAPRVCNEYEFSLSQIWIISGSFGNDLNTIEAGWQVSPELYGDNNPRFFTYWTTDAYRATGCYNLLCSGFIQTNNKVAIGAAISPTSSYNGRQFEIGLMVWKDPKHGSWWLELGSGILVGYWPAILFSHLRSHANMIQFGGEIVNSRSSSSGLHTSTQMGSGHFAQQGFGKAAYFRNLQRVDWDNNLLPITNLRLLADHSNCYDITQGRNNLWGTYFYYGGPGRNVRCP >KJB69175 pep chromosome:Graimondii2_0_v6:11:677363:679867:-1 gene:B456_011G009200 transcript:KJB69175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGSPEEFLATAVDAAKKAGEIIRQGFYQTKNVEHKGEVDLVTETDKACEDLVFNHLKQHYPSHKFIGEETTAACGASELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKVPTIGVVYNPIMDELFTAVLGKGAFLNGTPIRVSSQTELVKSLLATEVGTKRDKLTVDVTTNRINRLLFKVKENLHGFLLLLHLENFKCITKFPLIKR >KJB69174 pep chromosome:Graimondii2_0_v6:11:677285:680019:-1 gene:B456_011G009200 transcript:KJB69174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNGSPEEFLATAVDAAKKAGEIIRQGFYQTKNVEHKGEVDLVTETDKACEDLVFNHLKQHYPSHKFIGEETTAACGASELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKVPTIGVVYNPIMDELFTAVLGKGAFLNGTPIRVSSQTELVKSLLATEVGTKRDKLTVDVTTNRINRLLFKVRSLRMSGSCALNLCGIACGRLDLFYELGYGGPWDVAAGVLIVNEAGGLVYDPFGKDFDITAPKVAASNPFLKDAFVEVLKQTE >KJB73105 pep chromosome:Graimondii2_0_v6:11:51663991:51668262:-1 gene:B456_011G215200 transcript:KJB73105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSVAPVTIDNAHRQNPTKLSSQKKPSKGGWKAAFFVISVEMAERFAFYGLAGNLITYLTNNLGEPVVTAAKNVNTWVGVSAIFPLLGAFIADSYLGRFKTILASSVIYFLGMVLLSLSVSVIPMHSRKAVFFTALYVLAIGEGGHKPCVQTFAADQFDENNPEEKAAKSSFFNWWYLGIVTGASVAIVVVIYLQDNVSWAAGFGVLSGSLAVALVVFLIGIRKYRKQRPTGSPFTSVAQVFVAAAKKWRVSETHGGRGICYEDDRRGGSHVKGQTMGRDLVRTRQFRFLDKAMIIDDKDTLGKTRDPWRLCSLNQVEEVKLVLRLIPIWLGCLMFSAVITQLHTFFTKQGSTMLRSIGPNFQVPPAALQSLVGLTILIAVPIYDRVFVPIARKITGHPSGITMLQRIGTGLFISILNMVVAGLVETARVNTATKHGLMDAPKAVVPMSVWWLLPQYVLTGLGDVFTIVGLQELFYDQMPEEMRSIGAAAYISVVGVGSFINTAVISVVQVISSRHGKEWLGDNLNRAKLNYFYWVLAGLSAFNLCAYIWISRRFVYKKVENNDERVGEGKESGMGGYLDVKV >KJB74193 pep chromosome:Graimondii2_0_v6:11:61060995:61063770:-1 gene:B456_011G278500 transcript:KJB74193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEKESNRQDSPAAGGSGSGSGGDELELIVAESELGGGGGSGVGGGGGSSSNNNNTRVKGPWSPEEDAVLSRLVAKFGARNWSLIARGIPGRSGKSCRLRWCNQLDPCLKRKPFTDEEDRIIISAHAIHGNKWASIAKLLPGRTDNAIKNHWNSTLRRRCMELGRFKPGPADTMEDGSFERTKASSEETLSVGDVNHFKHLEGRDMVMDDRPNLQEDKPPIQEDQFAIEPKNHPAVCRPVARVSAFSRYNTPSSSKTESGMTSRIPVQGPLAQSSRPDWGVGKILEDLRCEPIIPLRCGFGCCSTPCGGHSRTSLLGPEFVDYEEPHVFSSHELISIATDLNNIAWIKSGLENSCVRIPSNATSQRMSQGSDIHTKSDPMCFTDGQSKLTGMSTEVLPTQTCTMRSEVEGLS >KJB69300 pep chromosome:Graimondii2_0_v6:11:1070713:1073504:1 gene:B456_011G015100 transcript:KJB69300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQNQGVSLSSPADPPMRRKRGRPRKDETVQGDNSPVTPVCDNLNKNKQSVDMSDPASEDMVGQMVSGVIEGSFDAGYLLNVKVGDTNTHLRGVVFLPGRFTPITAANDVAPNAKMYKRKEIPFPFVSPQGHLDATSPSGKSEKPIEHKNDTPKVLDQGLHIGIQSGATPVSESQSASILIPPASNLPMNDTGLPLGQKVLQDLIADIGLQNNKAVGVGQDQSLQGFEAFKLMKGPNINAEAPKASAPMSATFTGTLPVSETVNLKPQVEHQAVSFDLKPQELFGDGKSLDLVNNQTPKFPEPEPRAISCEPTAIDVFGKQASKFPEPEPQVMPCEPGGINMFGKRPSKFPEPETQPMTCEPTGINMFGKQASKFAEPEPQGMPCEPAEIHMFGKQASKFAEP >KJB70387 pep chromosome:Graimondii2_0_v6:11:6519422:6527146:-1 gene:B456_011G071200 transcript:KJB70387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCEMTRKASRSRDMLLGLEERVVNLKDSLGDVKKTLEEVKRRIVELDLKEEQLNEVKRSCFLRIIGSSNSGSNCAFNIGKQRLAKPFDVRRIFVFKLFFEIVGGANVALVSNYCANELCRIVAEEWERGSSLDGWNKRWEVALCKAYERADNAFKDEALAPKSVGSTALVLIVSPCQIIAANCGDSRAVLCRGAQAIPLTVDQKPDRADELERITSSGGRILNWGCLRVEGILSMSRAIGDHDLKPWVISVPEVTFTTRTKEDECLILASDGLWDVLSNDDVVKLARKELRQRHRLAGANKSSFPPAWHVSQHVLKQALDAYSLDNISVIVVDLKNPKLKPQEKP >KJB73774 pep chromosome:Graimondii2_0_v6:11:57808709:57809608:-1 gene:B456_011G250700 transcript:KJB73774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERLRTAARTGNVTDLYNLIQRDGNVLRHFDEVEFVDTHIAAEEGCISPLHIAVKQGHKEMALRFLEMDNDLVRVKGKNGKTPLHFLSEAGNHDGMLDRILEICPQCIQYVPIENRNALHIAVENNRLDVLQLKLLLNCKADKHANNQAGWTLMDVAQQQHNRESITILRGCFIPEVSNFKCKLEKQIVKHVAKASSLIFHNLDNISG >KJB69727 pep chromosome:Graimondii2_0_v6:11:2926183:2929705:-1 gene:B456_011G039100 transcript:KJB69727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKLNFNAPLLSVRRFSGTSSFQDRNKQKIIENPAPAIRDALPWYSSDASLDQVTEPVAVPFVWEQIPGKAKGGVEHVFEMDKEASGTPRLPPGRVLQVVKPPVENDCVKQNMARPQPEIYSVNDNATKLDCLNDGMKEKCVSESENDDDDDVYSDALDTLSPTDSFSMNCSISGLSGLDGLVAKPSGTFSTDPQTQDFMLRRFLPAAKAMALETPQYALRKQSTAPEQPREVKKLVVADRKPLVNQYETAIVPYHNQDVDEEETDDESIDYQDSGNLSRKACGLLPRLCFKNSLCLLNPVPGLKSRTHSSMSSSRDVAKPCKATYLKSHSQIAEKNARDVVHEDKSARGVRSPQLPMNKSDGAFERPRLSKDKSGTAFQSPKLSKDKPDTAFQSPRLSRDKPNTDVQSPRLPEIGKELICVSNQFSSSNDQQIVNKSTPKKLPGSACISPYRRERPQSPFRGGGFLGMPKEAEKYKANMMVKYTRSNNSSQELVPYQSSRQGSGPLSPAVEKTLYVDTVNEMASSNSNSSDTKVQMDSIGKHSNTLEVNKVLEEPATMESPLQDTKGLSLLDGKGILEYETAGSVKSSRSSFSDKPDLRGQAEMIDCFKQNGGLDQEFKSLQRIKVRADGNLTPTDIDDSKAADETKAGSDHSPLPPPLPKTPSESWLWRALPSTTSRKSSLQPYTGTRFKPKKQEPKAPSTDTKWETIVKTSYLHHDHVRYSEELVTPFSQQSKT >KJB69729 pep chromosome:Graimondii2_0_v6:11:2926725:2929005:-1 gene:B456_011G039100 transcript:KJB69729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKLNFNAPLLSVRRFSGTSSFQDRNKQKIIENPAPAIRDALPWYSSDASLDQVTEPVAVPFVWEQIPGKAKGGVEHVFEMDKEASGTPRLPPGRVLQVVKPPVENDCVKQNMARPQPEIYSVNDNATKLDCLNDGMKEKCVSESENDDDDDVYSDALDTLSPTDSFSMNCSISGLSGLDGLVAKPSGTFSTDPQTQDFMLRRFLPAAKAMALETPQYALRKQSTAPEQPREVKKLVVADRKPLVNQYETAIVPYHNQDVDEEETDDESIDYQDSGNLSRKACGLLPRLCFKNSLCLLNPVPGLKSRTHSSMSSSRDVAKPCKATYLKSHSQIAEKNARDVVHEDKSARGVRSPQLPMNKSDGAFERPRLSKDKSGTAFQSPKLSKDKPDTAFQSPRLSRDKPNTDVQSPRLPEIGKELICVSNQFSSSNDQQIVNKSTPKKLPGSACISPYRRERPQSPFRGGGFLGMPKEAEKYKANMMVKYTRSNNSSQELVPYQSSRQGSGPLSPAVEKTLYVDTVNEMASSNSNSSDTKVQMDSIGKHSNTLEVNKVLEEPATMESPLQDTKGLSLLDGKGILEYETAGSVKSSRSSFSDKPDLRGQAEMIDCFKQNGGLDQEFKSLQRIKVRADGNLTPTDIDDSKAADETKAGSDHSPLPPPLPKTPSESWLWRALPSTTSRKSSLQPYTGTRFKPKKQEPKAPSTDTKWETIVKTSYLHHDHVRYSEVTFFIL >KJB69728 pep chromosome:Graimondii2_0_v6:11:2926161:2929879:-1 gene:B456_011G039100 transcript:KJB69728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKLNFNAPLLSVRRFSGTSSFQDRNKQKIIENPAPAIRDALPWYSSDASLDQVTEPVAVPFVWEQIPGKAKGGVEHVFEMDKEASGTPRLPPGRVLQVVKPPVENDCVKQNMARPQPEIYSVNDNATKLDCLNDGMKEKCVSESENDDDDDVYSDALDTLSPTDSFSMNCSISGLSGLDGLVAKPSGTFSTDPQTQDFMLRRFLPAAKAMALETPQYALRKQSTAPEQPREVKKLVVADRKPLVNQYETAIVPYHNQDVDEEETDDESIDYQDSGNLSRKACGLLPRLCFKNSLCLLNPVPGLKSRTHSSMSSSRDVAKPCKATYLKSHSQIAEKNARDVVHEDKSARGVRSPQLPMNKSDGAFERPRLSKDKSGTAFQSPKLSKDKPDTAFQSPRLSRDKPNTDVQSPRLPEIGKELICVSNQFSSSNDQQIVNKSTPKKLPGSACISPYRRERPQSPFRGGGFLGMPKEAEKYKANMMVKYTRSNNSSQELVPYQSSRQGSGPLSPAVEKTLYVDTVNEMASSNSNSSDTKVQMDSIGKHSNTLEVNKVLEEPATMESPLQDTKGLSLLDGKGILEYETAGSVKSSRSSFSDKPDLRGQAEMIDCFKQNGGLDQEFKSLQRIKVRADGNLTPTDIDDSKAADETKAGSDHSPLPPPLPKTPSESWLWRALPSTTSRKSSLQPYTGTRFKPKKQEPKAPSTDTKWETIVKTSYLHHDHVRYSEELVTPFSQQSKT >KJB69838 pep chromosome:Graimondii2_0_v6:11:3382879:3387259:-1 gene:B456_011G045100 transcript:KJB69838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHSGREDAQKHAVDKSCIYCNKVFDDYLALSGHLRIHEEETLRALNFPGRSSNSIDISRNPPAPLPNSQLSLASVNNLTPITRTPTLIDFCAMFGSCDANQANRSKSTGSNLGDAQTQIVMSPSGATFRHNSSASKTFAPVGANAALSSAACASSGGVVATGLPTDSSSYLRKYEVCQFNTDEFQISQDGLPPASRDAMQKTQGYNLGKLPNPTFAITNPDLGCESYQLPRSNGSIASLWLLPGPPPSSYVGKVGQSETILLMGEGSKRPCLADNPMTASLMNASKKPKIFPNALEEPKKLQIKELPLLKKLEDSLSALETCVGAEEEGPVDLDLSLHL >KJB69836 pep chromosome:Graimondii2_0_v6:11:3382851:3387110:-1 gene:B456_011G045100 transcript:KJB69836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHSGREDAQKHAVDKSCIYCNKVFDDYLALSGHLRIHEEETLRALNFPGRSSNSIDISRNPPAPLPNSQLSLASVNNLTPITRTPTLIDFCAMFGSCDANQANRSKSTGSNLGDAQTQIVMSPSGATFRHNSSASKTFAPVGANAALSSAACASSGGVVATGLPTDSSSYLRKYEVCQFNTDEFQISQDGLPPASRDAMQKTQGYNLGPPPSSYVGKVGQSETILLMGEGSKRPCLADNPMTASLMNASKKPKIFPNALEEPKKLQIKELPLLKKLEDSLSALETCVGAEEEGPVDLDLSLHL >KJB69837 pep chromosome:Graimondii2_0_v6:11:3382851:3387281:-1 gene:B456_011G045100 transcript:KJB69837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHSGREDAQKHAVDKSCIYCNKVFDDYLALSGHLRIHEEETLRALNFPGRSSNSIDISRNPPAPLPNSQLSLASVNNLTPITRTPTLIDFCAMFGSCDANQANRSKSTGSNLGDAQTQIVMSPSGATFRHNSSASKTFAPVGANAALSSAACASSGGVVATGLPTDSSSYLRKYEVCQFNTDEFQISQDGLPPASRDAMQKTQGYNLGPPPSSYVGKVGQSETILLMGEGSKRPCLADNPMTASLMNASKKPKIFPNALEEPKKLQIKELPLLKKLEDSLSALETCVGAEEEGPVDLDLSLHL >KJB69839 pep chromosome:Graimondii2_0_v6:11:3382879:3387175:-1 gene:B456_011G045100 transcript:KJB69839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHSGREDAQKHAVDKSCIYCNKVFDDYLALSGHLRIHEEETLRALNFPGRSSNSIDISRNPPAPLPNSQLSLASVNNLTPITRTPTLIDFCAMFGSCDANQANRSKSTGSNLGDAQTQIVMSPSGATFRHNSSASKTFAPVGANAALSSAACASSGGVVATGLPTDSSSYLRKYEVCQFNTDEFQISQDGLPPASRDAMQKTQGYNLGKLPNPTFAITNPDLGCESYQLPRSNGSIASLWLLPGPPPSSYVGKVGQSETILLMGEGSKRPCLADNPMTASLMNASKKPKIFPNALEEPKKLQIKELPLLKKLEDSLSALETCVGAEEEGPVDLDLSLHL >KJB68992 pep chromosome:Graimondii2_0_v6:11:179725:188934:1 gene:B456_011G002000 transcript:KJB68992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVDDVENGSLGPYQDRPRTFPNMRSKPYTPLIFRILMGINVRILVILLLLGSGYVFYIGASTSPIIVFVFSVCIISFLLSIYLTKWVLSKDEGPPEMAQISEAIRDGAEGFFRTQYGTISKMAILLALVILGIYLFRSTTPQQESSGIGRVTSAYITVAAFLLGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQVAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSIGILSIRSTRDSSVKAPIEDPMAILQKGYSVTIVLAVLTFGGSTRWLLYTEQAPSAWLNFALCGLVGIITAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIISAFWLGHTSGLVDETGSPNGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFANESFKQVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPIVVGFLFRVLGHYTGHPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKFIETGALGGKGSDSHKAAITGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >KJB68995 pep chromosome:Graimondii2_0_v6:11:179725:188319:1 gene:B456_011G002000 transcript:KJB68995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVDDVENGSLGPYQDRPRTFPNMRSKPYTPLIFRILMGINVRILVILLLLGSGYVFYIGASTSPIIVFVFSVCIISFLLSIYLTKWVLSKDEGPPEMAQISEAIRDGAEGFFRTQYGTISKMAILLALVILGIYLFRSTTPQQESSGIGRVTSAYITVAAFLLGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQVAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSIGILSIRSTRDSSVKAPIEDPMAILQKGYSVTIVLAVLTFGGSTRWLLYTEQAPSAWLNFALCGLVGIITAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIISAFWLGHTSGLVDETGSPNGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFANESFKQVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPIVVGFLFRVLGHYTGHPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKFIETGALGGKGSDSHKAAITGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >KJB68998 pep chromosome:Graimondii2_0_v6:11:180288:188404:1 gene:B456_011G002000 transcript:KJB68998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVDDVENGSLGPYQDRPRTFPNMRSKPYTPLIFRILMGINVRILVILLLLGSGYVFYIGASTSPIIVFVFSVCIISFLLSIYLTKWVLSKDEGPPEMAQISEAIRDGAEGFFRTQYGTISKMAILLALVILGIYLFRSTTPQQESSGIGRVTSAYITVAAFLLGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQVAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSIGILSIRSTRDSSVKAPIEDPMAILQKGYSVTIVLAVLTFGGSTRWLLYTEQAPSAWLNFALCGLVGIITAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIISAFWLGHTSGLVDETGSPNGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFANESFKQVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPIVVGFLFRVLGHYTGHPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKFIETGALGGKGSDSHKAAITGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >KJB68997 pep chromosome:Graimondii2_0_v6:11:179697:188404:1 gene:B456_011G002000 transcript:KJB68997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVDDVENGSLGPYQDRPRTFPNMRSKPYTPLIFRILMGINVRILVILLLLGSGYVFYIGASTSPIIVFVFSVCIISFLLSIYLTKWVLSKDEGPPEMAQISEAIRDGAEGFFRTQYGTISKMAILLALVILGIYLFRSTTPQQESSGIGRVTSAYITVAAFLLGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQVAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSIGILSIRSTRDSSVKAPIEDPMAILQKGYSVTIVLAVLTFGGSTRWLLYTEQAPSAWLNFALCGLVGIITAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIISAFWLGHTSGLVDETGSPNGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFANESFKQVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPIVVGFLFRVLGHYTGHPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKFIETGALGGKGSDSHKAAITGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >KJB68996 pep chromosome:Graimondii2_0_v6:11:179725:188309:1 gene:B456_011G002000 transcript:KJB68996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVDDVENGSLGPYQDRPRTFPNMRSKPYTPLIFRILMGINVRILVILLLLGSGYVFYIGASTSPIIVFVFSVCIISFLLSIYLTKWVLSKDEGPPEMAQISEAIRDGAEGFFRTQYGTISKMAILLALVILGIYLFRSTTPQQESSGIGRVTSAYITVAAFLLGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQVAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSIGILSIRSTRDSSVKAPIEDPMAILQKGYSVTIVLAVLTFGGSTRWLLYTEQAPSAWLNFALCGLVGIITAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIISAFWLGHTSGLVDETGSPNGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFANESFKQVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPIVVGFLFRVLGHYTGHPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKFIETGALGGKGSDSHKAAITGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >KJB68993 pep chromosome:Graimondii2_0_v6:11:179725:185562:1 gene:B456_011G002000 transcript:KJB68993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVDDVENGSLGPYQDRPRTFPNMRSKPYTPLIFRILMGINVRILVILLLLGSGYVFYIGASTSPIIVFVFSVCIISFLLSIYLTKWVLSKDEGPPEMAQISEAIRDGAEGFFRTQYGTISKMAILLALVILGIYLFRSTTPQQESSGIGRVTSAYITVAAFLLGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQVAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSIGILSIRSTRDSSVKAPIEDPMAILQKGYSVTIVLAVLTFGGSTRWLLYTEQAPSAWLNFALCGLVGIITAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIISAFWLGHTSGLVDETGSPNGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQVRYIGSPYFPVTNLRIAISSFQMI >KJB68994 pep chromosome:Graimondii2_0_v6:11:179725:186948:1 gene:B456_011G002000 transcript:KJB68994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVDDVENGSLGPYQDRPRTFPNMRSKPYTPLIFRILMGINVRILVILLLLGSGYVFYIGASTSPIIVFVFSVCIISFLLSIYLTKWVLSKDEGPPEMAQISEAIRDGAEGFFRTQYGTISKMAILLALVILGIYLFRSTTPQQESSGIGRVTSAYITVAAFLLGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQVAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSIGILSIRSTRDSSVKAPIEDPMAILQKGYSVTIVLAVLTFGGSTRWLLYTEQAPSAWLNFALCGLVGIITAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIISAFWLGHTSGLVDETGSPNGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFANESFKQVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPIVVGS >KJB74227 pep chromosome:Graimondii2_0_v6:11:61230953:61238858:1 gene:B456_011G280600 transcript:KJB74227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSYSTLMKYHVFLSFRGEDTRLNFTTHLLQALEDKGLDVSFDEEKLERGEQLSQALSRAIAVSNISIIVLSEDYASSKSCLVELSDIMDRYRVGQQIVRPIFYHVNPSDVENIGGSFKKSFDEHETRRSVDEVKQWKTAFAEVGKLEGWHIDGSISDRPETQSIEDIVAYVMQKLMKHQVFLSLGEDTRLNFSNHLVNALEKVGINVFPDNETLKKGEKLPPTYSRAISASNLSILVLSKAYASSESRLDELSDIMDRKHNPTDKHIVLPVFYHVDPSDVRNSGGHFKTSFEEHESEQPADRVEQWKTAFAEVGKLKGWHIEGGKFDRPETAYIKDVVEDVIKKLTNIGFESASEELVGIEYQKNAILKLIKQKDCRVIGLWGMGGQGKSTLAEAVYKKLSSEFESHWYLQNVREEIKKQGKESLRNEFLSKLLKSNVDISTPTIGPSFSQERLNMKKVLVVLDDVDDSDQIVCMGVKHFGYGSKTIITSRDRQVLESGGADTIHEVKGLNENDSLQLFSTFAFKQLNPAVGFQDLSRRFVKYTQGNPLALKVLGSDLNKRSKNYWESKVEKLKDCPPEKKISETLKSSYDGLDLVEQNIFLDIACFFKGEPLEKTKLFLSGCYKGAECGINKLVDKCLINVSYKELSDFYFGYEISMHDMLEEMGKDIVCQESKTLGKCSRLWNPKHVEKVLKYNKGTDRIQGIQVDISRISDLLFQPSVFESMINLRYTVFYFPWYFLEEEDEDCKKLYTYQDDIISLPDELRYLRWDYYPFKSLSSSFNPKNLVALKLPYGNMEQLWNEGHQDLVHLRKINLFRCKNLKKIPNLLGAVNLEKLACVECESLVKLPSLAHLTSLSLKKFPEIPNNFYELDLSETGIKEVPDFIEHLDRLRELNLTNSMVKKVSSNFSKLKSLNYLNLRGCPIVKSLFPTVDMPSPSLKYMHMDRCRSLNLLSELPPYLTYLNVNDCTSLEKVSFADQNLYQFGYLDADDGYSNEFTMLFFNCFNLNQESINNIEANAMLKIRSLAKKWAARYDWFRFSVWLGLFCCFPGNKISANKFKCQSMNSSLSLKIAPNGGSGSRFLVFSICLVPDLTHCYSFSHVECFCDYQLTVADGGYEKFESTIPFSAASKPEKCMGDHVFILSTIEMVKRDQNYEEASFEFYIRNRWYKENFIKVKRCGVHVSYVDAESETDAIEMVKWTKRSFRHDGEEGDGCPKRLK >KJB72068 pep chromosome:Graimondii2_0_v6:11:27806202:27806432:-1 gene:B456_011G157700 transcript:KJB72068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFEELSILYKMVLNVVGMEETEALAVLKFVVCVSGFDSSSKFNLSKVVLVLPISKECLGGILCGLLNINSTVPSWC >KJB72895 pep chromosome:Graimondii2_0_v6:11:49197392:49198013:1 gene:B456_011G203200 transcript:KJB72895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVTKEIKAKAEVYYGDETCREKFVSLLEEKVENSEASNLNTRERNSFYILSFRSVWLVIFKFFYLVLSWYQSPLDSGSCLSWLPLISQLIKNLTGVKAKEFLIWIALTDIYVDQSSSITFKTNLVGLSKSFPMSVVNV >KJB70282 pep chromosome:Graimondii2_0_v6:11:5748699:5748914:1 gene:B456_011G0667001 transcript:KJB70282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRYSSNAPLVLKGITCTFQEGTRVGVVGRTGSGKTTLISTSFRLVEPASGKILIDGLDICSMGLKDLRMKLS >KJB74104 pep chromosome:Graimondii2_0_v6:11:60471826:60472760:-1 gene:B456_011G272700 transcript:KJB74104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKRGSSITKIAFLCLFITTTMMFVLSLRGRPGLFDHKTMPAEKLLTVFNNGDSETSNQRNAESSNGSNRAILANSEKQRVEEGGFQVSCNRNLKEPLKIFLYDLDPEFHFRLLDWKPQGNSVWPDIRTKIPSYPGGLNLIRAKEVTLKGLFFLVVQSLEARHDN >KJB72960 pep chromosome:Graimondii2_0_v6:11:49795790:49809170:1 gene:B456_011G206500 transcript:KJB72960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSVKKRRGKREKEKPFDGGVLAGDGDIIEAYVTDLGNSQLARVLQFHSGPVLPSKPYDSNPKLFPCNTLFNATKFYLNLFPASICFAVRTMGRKKQANPRRSGALIIDSTGNVEPKQDAKLKGELVDIDKPFFVEVDRGSWLSDEHLDLSEVVLIDLNLREGYAGYRISEEFYGDSKYSLRFRVCNVSEFISRIKLGHWPVLSSSDVSLEFIEKSMSDGVETESLMLSGSFDGPDEGVSALVHLASLKFLTLRPVLEVTLSESLSSFRVRVEILKSVFDDCESLLGKTRQLWKKSMVNVMAWLRPEVMTSEAKYGVCESVNMEVDLYPVTEDETSRPGKRARFDVAEFYEAIKPSKENSMLKDDIPDLLPVLRPYQRRAAYWMIQREKGDSRSMEEWERSMLSSPLCIPVHFLDTSSKMFFNPFGGNVSLHLEPMSPYVYGGILADEMGLGKTVELLACIFAHQKPDSEGAVFKDTAIKVTTDGKVSLKRLKRERVECTCGAVSENRKYKGLWVQCDMCDAWQHSECVGYSPRGKARKVSENADEQGLQKLKRRKETTNIVVREGEHICTPCLELLQATDSPIATGATLIVCPAPILSQWHTEIIRHTRPGSLKICIYEGVRTPCLSNASRVDINELVSADIVLTTYDVLKEDLSHDSDRHEGDRRFLRFQKRYPVIPTFLTRIFWWRVCLDEAQMVESNTAAATEMAMRLYAKHRWCITGTPVQRKLDDLYGLLRFLKLSPFNVSRWWVEVIRDPYEKKDGGAMEFTHKFFRQIMWRSSKLHVADELHLPPQEESVSWLTFSPIEEHFYQRQHETCVSYASEVLESLKEDFLKREIPGAFSSGATFDPFITHTEAAKLLNALLKLRQACCHPQVGSFGLRSLQQAPMTMEEILNVLISKTKTEGEEALRMLVSALNGLAGIAIIEEKLSQAVSLYKEALDITKEHSEDFRLDPLLSIHIHHNLAQILPVVTTFPVQLPVETHQFSGNSEKASHVQNIEISDQSSVKRQKLEDLDDSKINAGNLQDIASEQSEKSTNNDRDCNGQCHMSSGALNEQSLRIECQNLKQKYLSVFTTKLSAAQQEFRKSYMQVSNALSDLNNEYRVWWLEALDHAEKDKDLSNELIRKIEEAISGSLKSRRTSQMSSWFQSITALKYHIQTGLDLLESFRGKLLDRLLEIDQTMEKPKEEDIERVRYCRNCQVIGDGPICVHCELEDLFQDYEARLFRVNKNDGEMVTSAEEAIVLQKKKSALNRFYWNLSQPTKNSTSSDVDNKELKRGVQETIVVGYFISYEFCF >KJB72963 pep chromosome:Graimondii2_0_v6:11:49795790:49814474:1 gene:B456_011G206500 transcript:KJB72963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSVKKRRGKREKEKPFDGGVLAGDGDIIEAYVTDLGNSQLARVLQFHSGPVLPSKPYDSNPKLFPCNTLFNATKFYLNLFPASICFAVRTMGRKKQANPRRSGALIIDSTGNVEPKQDAKLKGELVDIDKPFFVEVDRGSWLSDEHLDLSEVVLIDLNLREGYAGYRISEEFYGDSKYSLRFRVCNVSEFISRIKLGHWPVLSSSDVSLEFIEKSMSDGVETESLMLSGSFDGPDEGVSALVHLASLKFLTLRPVLEVTLSESLSSFRVRVEILKSVFDDCESLLGKTRQLWKKSMVNVMAWLRPEVMTSEAKYGVCESVNMEVDLYPVTEDETSRPGKRARFDVAEFYEAIKPSKENSMLKDDIPDLLPVLRPYQRRAAYWMIQREKGDSRSMEEWERSMLSSPLCIPVHFLDTSSKMFFNPFGGNVSLHLEPMSPYVYGGILADEMGLGKTVELLACIFAHQKPDSEGAVFKDTAIKVTTDGKVSLKRLKRERVECTCGAVSENRKYKGLWVQCDMCDAWQHSECVGYSPRGKARKVSENADEQGLQKLKRRKETTNIVVREGEHICTPCLELLQATDSPIATGATLIVCPAPILSQWHTEIIRHTRPGSLKICIYEGVRTPCLSNASRVDINELVSADIVLTTYDVLKEDLSHDSDRHEGDRRFLRFQKRYPVIPTFLTRIFWWRVCLDEAQMVESNTAAATEMAMRLYAKHRWCITGTPVQRKLDDLYGLLRFLKLSPFNVSRWWVEVIRDPYEKKDGGAMEFTHKFFRQIMWRSSKLHVADELHLPPQEESVSWLTFSPIEEHFYQRQHETCVSYASEVLESLKEDFLKREIPGAFSSGATFDPFITHTEAAKLLNALLKLRQACCHPQVGSFGLRSLQQAPMTMEEILNVLISKTKTEGEEALRMLVSALNGLAGIAIIEEKLSQAVSLYKEALDITKEHSEDFRLDPLLSIHIHHNLAQILPVVTTFPVQLPVETHQFSGNSEKASHVQNIEISDQSSVKRQKLEDLDDSKINAGNLQDIASEQSEKSTNNDRDCNGQCHMSSGALNEQSLRIECQNLKQKYLSVFTTKLSAAQQEFRKSYMQVSNALSDLNNEYRVWWLEALDHAEKDKDLSNELIRKIEEAISGSLKSRRTSQMSSWFQSITALKYHIQTGLDLLESFRGKLLDRLLEIDQTMEKPKEEDIERVRYCRNCQVIGDGPICVHCELEDLFQDYEARLFRVNKNDGEMVTSAEEAIVLQKKKSALNRFYWNLSQPTKNSTSSDVDNKELKRGVQETIVVSKSPSQLEVALGVIKSYCKAYLRKEGMLAATKQLQILESMRKEYRHARLLAIAQAQVLNAHDEIKMATTRLHIREFENDKSIDALSPNELASASVQNTSDKFMSLASLSSIKGKLRYLKGLVLSKNTVQMESSNNSTLTQDTTAMSTSIEQKSTCLFKAEGEACPICQEKLSTQKMVFQCGHVTCCKCLFSMTEQGLRHGNKSQNKWVMCPTCRQHTDVGNIALADDRQTSPNSAMLHAFQGGDSCEEFFTVQGSYGTKIEAVTRRILGIKSADPKAKVLVFSSWNDVLDVLEHAFAANDITYIRMKGGRKSHVAISEFRGQQVGGRGHKMQKSEPKFIQVLLLLVQHGANGLNLLEAQHVILVEPLLNPAVEAQAISRVHRIGQDKRTLFHRFIVKNTVEESIYKLNRSRNSSGFVGNTKNQDQPVLTLKDVESLFATAPSRAPKTDEDKTESESLRYLPPSMAAAIAAERRLKENLTA >KJB72962 pep chromosome:Graimondii2_0_v6:11:49795790:49814327:1 gene:B456_011G206500 transcript:KJB72962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSVKKRRGKREKEKPFDGGVLAGDGDIIEAYVTDLGNSQLARVLQFHSGPVLPSKPYDSNPKLFPCNTLFNATKFYLNLFPASICFAVRTMGRKKQANPRRSGALIIDSTGNVEPKQDAKLKGELVDIDKPFFVEVDRGSWLSDEHLDLSEVVLIDLNLREGYAGYRISEEFYGDSKYSLRFRVCNVSEFISRIKLGHWPVLSSSDVSLEFIEKSMSDGVETESLMLSGSFDGPDEGVSALVHLASLKFLTLRPVLEVTLSESLSSFRVRVEILKSVFDDCESLLGKTRQLWKKSMVNVMAWLRPEVMTSEAKYGVCESVNMEVDLYPVTEDETSRPGKRARFDVAEFYEAIKPSKENSMLKDDIPDLLPVLRPYQRRAAYWMIQREKGDSRSMEEWERSMLSSPLCIPVHFLDTSSKMFFNPFGGNVSLHLEPMSPYVYGGILADEMGLGKTVELLACIFAHQKPDSEGAVFKDTAIKVTTDGKVSLKRLKRERVECTCGAVSENRKYKGLWVQCDMCDAWQHSECVGYSPRGKARKVSENADEQGLQKLKRRKETTNIVVREGEHICTPCLELLQATDSPIATGATLIVCPAPILSQWHTEIIRHTRPGSLKICIYEGVRTPCLSNASRVDINELVSADIVLTTYDVLKEDLSHDSDRHEGDRRFLRFQKRYPVIPTFLTRIFWWRVCLDEAQMVESNTAAATEMAMRLYAKHRWCITGTPVQRKLDDLYGLLRFLKLSPFNVSRWWVEVIRDPYEKKDGGAMEFTHKFFRQIMWRSSKLHVADELHLPPQEESVSWLTFSPIEEHFYQRQHETCVSYASEVLESLKEDFLKREIPGAFSSGATFDPFITHTEAAKLLNALLKLRQACCHPQVGSFGLRSLQQAPMTMEEILNVLISKTKTEGEEALRMLVSALNGLAGIAIIEEKLSQAVSLYKEALDITKEHSEDFRLDPLLSIHIHHNLAQILPVVTTFPVQLPVETHQFSGNSEKASHVQNIEISDQSSVKRQKLEDLDDSKINAGNLQDIASEQSEKSTNNDRDCNGQCHMSSGALNEQSLRIECQNLKQKYLSVFTTKLSAAQQEFRKSYMQVSNALSDLNNEYRVWWLEALDHAEKDKDLSNELIRKIEEAISGSLKSRRTSQMSSWFQSITALKYHIQTGLDLLESFRGKLLDRLLEIDQTMEKPKEEDIERVRYCRNCQVIGDGPICVHCELEDLFQDYEARLFRVNKNDGEMVTSAEEAIVLQKKKSALNRFYWNLSQPTKNSTSSDVDNKELKRGVQETIVVSKSPSQLEVALGVIKSYCKAYLRKEGMLAATKQLQILESMRKEYRHARLLAIAQAQVLNAHDEIKMATTRLHIREFENDKSIDALSPNELASASVQNTSDKFMSLASLSSIKGKLRYLKGLVLSKNTVQMESSNNSTLTQDTTAMSTSIEQKSTCLFKAEGEACPICQEKLSTQKMVFQCGHVTCCKCLFSMTEQGLRHGNKSQNKWVMCPTCRQHTDVGNIALADDRQTSPNSAMLHAFQGGDSCEEFFTVQGSYGTKIEAVTRRILGIKSADPKAKVLVFSSWNDVLDVLEHAFAANDITYIRMKGGRLKTPSKRAYINSTEAGTQVALLGTPRIKTSLF >KJB72961 pep chromosome:Graimondii2_0_v6:11:49795790:49810005:1 gene:B456_011G206500 transcript:KJB72961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSVKKRRGKREKEKPFDGGVLAGDGDIIEAYVTDLGNSQLARVLQFHSGPVLPSKPYDSNPKLFPCNTLFNATKFYLNLFPASICFAVRTMGRKKQANPRRSGALIIDSTGNVEPKQDAKLKGELVDIDKPFFVEVDRGSWLSDEHLDLSEVVLIDLNLREGYAGYRISEEFYGDSKYSLRFRVCNVSEFISRIKLGHWPVLSSSDVSLEFIEKSMSDGVETESLMLSGSFDGPDEGVSALVHLASLKFLTLRPVLEVTLSESLSSFRVRVEILKSVFDDCESLLGKTRQLWKKSMVNVMAWLRPEVMTSEAKYGVCESVNMEVDLYPVTEDETSRPGKRARFDVAEFYEAIKPSKENSMLKDDIPDLLPVLRPYQRRAAYWMIQREKGDSRSMEEWERSMLSSPLCIPVHFLDTSSKMFFNPFGGNVSLHLEPMSPYVYGGILADEMGLGKTVELLACIFAHQKPDSEGAVFKDTAIKVTTDGKVSLKRLKRERVECTCGAVSENRKYKGLWVQCDMCDAWQHSECVGYSPRGKARKVSENADEQGLQKLKRRKETTNIVVREGEHICTPCLELLQATDSPIATGATLIVCPAPILSQWHTEIIRHTRPGSLKICIYEGVRTPCLSNASRVDINELVSADIVLTTYDVLKEDLSHDSDRHEGDRRFLRFQKRYPVIPTFLTRIFWWRVCLDEAQMVESNTAAATEMAMRLYAKHRWCITGTPVQRKLDDLYGLLRFLKLSPFNVSRWWVEVIRDPYEKKDGGAMEFTHKFFRQIMWRSSKLHVADELHLPPQEESVSWLTFSPIEEHFYQRQHETCVSYASEVLESLKEDFLKREIPGAFSSGATFDPFITHTEAAKLLNALLKLRQACCHPQVGSFGLRSLQQAPMTMEEILNVLISKTKTEGEEALRMLVSALNGLAGIAIIEEKLSQAVSLYKEALDITKEHSEDFRLDPLLSIHIHHNLAQILPVVTTFPVQLPVETHQFSGNSEKASHVQNIEISDQSSVKRQKLEDLDDSKINAGNLQDIASEQSEKSTNNDRDCNGQCHMSSGALNEQSLRIECQNLKQKYLSVFTTKLSAAQQEFRKSYMQVSNALSDLNNEYRVWWLEALDHAEKDKDLSNELIRKIEEAISGSLKSRRTSQMSSWFQSITALKYHIQTGLDLLESFRGKLLDRLLEIDQTMEKPKEEDIERVRYCRNCQVIGDGPICVHCELEDLFQDYEARLFRVNKNDGEMVTSAEEAIVLQKKKSALNRFYWNLSQPTKNSTSSDVDNKELKRGVQETIVVSKSPSQLEVALGVIKSYCKAYLRKEGMLAATKQLQILESMRKEYRHARLLAIAQAQVLNAHDEIKMATTRLHIREFENDKSIDALSPNELASASVQNTSDKFMSLASLSSIKGKLRYLKVLQAGNSFAIKFNFPHSPYTPE >KJB70009 pep chromosome:Graimondii2_0_v6:11:4176763:4177721:-1 gene:B456_011G053000 transcript:KJB70009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KJB69311 pep chromosome:Graimondii2_0_v6:11:1100960:1102846:1 gene:B456_011G015700 transcript:KJB69311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSRIRTPATTAFRFRHFSTVSPNSSTSLTTHQNSRAALSRLKSERDPDSILEICRAARLTPSSHIDRIALSVAISKLSEGNHFQSIDTFLQELRSRPDLQNNRFASHSLILYGQAKMLNRAVTVFDEFYNKGFCRSTKSLNALLVAGLIAKDYEEVKRIFMEFPQRYKIDPDLETYNNAIKAFCESGSSNSVYSILVDMKKGGVKPNATTFGTLLAGFYVEEKYEDVGKVLNLMKEYGIPVGVNTYNIRIQTLCILKKSNEAKTLLHGMLSKGINPNSVTYNHLIHGFCKEGNLEEAKSLFNSMVNRGLEPDSNCYFNMVHFLCQGGDFEAALKICKDSMEKKWVPKFSTMKSLVNGLVSISKVEEAKELIKNVKKKFSKNADLWDEIEKGLL >KJB73060 pep chromosome:Graimondii2_0_v6:11:51284191:51286673:-1 gene:B456_011G212900 transcript:KJB73060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIDVPEQVSVLADAEALRKACKGWGTDEKAIISVLGHRNAVQRKQIRLAYEDLYQEDLIKRLESELSGDFEKAVYRWILDPADRDAVLANVAIKKLSPDHHVIVEISCTRSPEELLAVRRAYQARYKHSLEEDVAAHTKGDTRKLLVALVSAFRYDGEEINTRVANSEAKILHEAVKDKEFNHEEIIRILSTRSKMQLMATFNRYRDDHGTTITKNLEGDSGDEFLKTLRTTIRCLNDPKKYFEKVLRNSIRRVGTDEDALTRVIVTRAEKDLKDVKELYYKRNSVPLDQAVAKDTTGDYKALLLTLLGKED >KJB73062 pep chromosome:Graimondii2_0_v6:11:51284248:51288150:-1 gene:B456_011G212900 transcript:KJB73062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIAPEHTSAVEDAEKLQKACKGWGTDEKAIISVLGHRNAVQRKQIRLAYEDLYQEDLIKRLESELSGDFEKAVYRWILDPADRDAVLANVAIKKLSPDHHVIVEISCTRSPEELLAVRRAYQARYKHSLEEDVAAHTKGDTRKLLVALVSAFRYDGEEINTRVANSEAKILHEAVKDKEFNHEEIIRILSTRSKMQLMATFNRYRDDHGTTITKNLEGDSGDEFLKTLRTTIRCLNDPKKYFEKVLRNSIRRVGTDEDALTRVIVTRAEKDLKDVKELYYKRNSVPLDQAVAKDTTGDYKALLLTLLGKED >KJB73061 pep chromosome:Graimondii2_0_v6:11:51284248:51286472:-1 gene:B456_011G212900 transcript:KJB73061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIDVPEQVSVLADAEALRKACKGWGTDEKAIISVLGHRNAVQRKQIRLAYEDLYQEDLIKRLESELSGDFEKAVYRWILDPADRDAVLANVAIKKLSPDHHVIVEISCTRSPEELLAVRRAYQARYKHSLEEDVAAHTKGDTRKLLVALVSAFRYDGEEINTRVANSEAKILHEAVKDKEFNHEEIIRILSTRSKMQLMATFNRYRDDHGTTITKNLEGDSGDEFLKTLRTTIRCLNDPKKFCAIRSGGLEPMRMHLPE >KJB73767 pep chromosome:Graimondii2_0_v6:11:57637492:57639125:-1 gene:B456_011G250000 transcript:KJB73767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSFRTISPTEDVSELYKLIQRDGNVLRRFDEVEFIETPLHVAADEGCIGFAMEMMKLKPSFARKLNQQGLSPIHLALEKGHKEMVLRFLQMDKDLVRVTGKNGETPLHYICKVGNHDILLETFLKACPDCIRDVTTENRSALHIAAENKRLDVLQILIRMLKKRDCYREEVNRKDEDGNTALHIAARNNQTQMLKLLLSSKADKYATNQSDLTALGVAEQHNNKESIRILRGRFIPGVSNIKSKWEKQIAKYVEKVSSIIFDDLDNISSDDRNALLVVLGLLLTGTYQATLSPPGGVWQGDNSSCGKKSRKPGTSVLDQPFFLLFYIPTYVVFMVTFFLTLALLKPFPHGFKKTIQVLLAFFAICFDQSVSFLTPTEFAFKVMQVFTLLFFVLTLVMFYGDRVSKQSVAILACFLSPSRFEYVLRLAIGCWLFLFVKYDFWEGTIIVIGYCSYYIMEDVFAGAFKFGIPEMAFYLIPLGCWFFLYLCRFCFKQC >KJB69563 pep chromosome:Graimondii2_0_v6:11:2300397:2305722:-1 gene:B456_011G030800 transcript:KJB69563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAGEEETTTTSSETTLETTPTWVVATVVFVLILVSIFLEYLLHLLAKYFNKKRRKSLIQALDKIKSELMLLGFISLLLTVTEKRIANICIPKNVGETFLPCNDPLNDSEEEAKCQDQGKVSLLSRQGVKELQYLMFTLAFFHCLSCVLTFSLGMAKIRRWKSWEAETTTLEYLFSNDPRRFQLIHQTSFAMRHLRFWSDHKFLRWPACFARQFYSSVSKVDYFTLRHGFITAHFSEGSNFDFQKYIKRALVKDFGVVVGISLWIWIFSVLFIFFNAHDFHNYLWLPFIPLLMLLVVGTQLQGIITRMCLDSHNRSQVVRGTFPVRPSDDFFWFGWPKLLLHFMHFILFQSSFQLAFFTWTWYEFGLRSCFHRSTEDIVIRVVMGVLVHILCGYVTLPLYALVTQMGTSMKKAVFPENVVEGLKRWRANARKKIALKSNYISARPSLETASSFGTSPSFSLDPSHSVMFDRVPESDHLAVEMVEDEERENKITREAEMEQQKGDSFGGFDLRNVS >KJB71192 pep chromosome:Graimondii2_0_v6:11:12939365:12956833:1 gene:B456_011G109400 transcript:KJB71192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQSQAAFSGQKKMLPPPPGIFQDREELIEHVRDFGASQGYVVTIKKSRKDRRVILGCDRGGIYRNRRKIDESKRKRKACSRLINCPFEAIGKKEDDSWVLTIKNGEHNHEPLKDMSEHPYSRRFTEEEIRQIKLMTEAGIKPRQVLKALKQTNPELQSTPRHLYNLKAKIRQGNLSEKNFKSWRPNRSVPVNSNSSSTGELLKQNNQLVKVPNFIGGKFVDSLGSMVIDIINPATQEVICQVPSTTYEEFKDAVNAAKQAFPSWKNTPVTARQRIMFKLQELIHRNIDKLAMNITTEQGRTLKSAHGDVLRGLEIVEHACGVATLQMGEFVPNASNGIDTFCIREPLGVCAGICPSNFPAMIPLWMFPIAVTCGNTFVLKPCEKNPGASTILAALVKEAGLPDGVLNIVHGTDDIVNYICDDEDIKAISVVGSNTAGIHIYARAAAGGKRIQSTIGGKNHAIIMSDASIDATLNALVAEGFGAAGQGCMGLSTAVFVGGSMPWDEELKLRAKALKVNVGSDPGADVGPVISKEVKDKINRLVQCSVDAGARLLLDGRNIVVPGYENGNFIGPTIICDVASNMECYKEEIFGPVLLCMQANSLEEAITIVNRNKSMNGASIFTSSGYAARKFQNEILSGLVGINVPVPIPMPFSSFNGPKAFLAGDNNFVGKSGVHFYTQIRMVAQQWRDLPSVGVSSGLHLSSETDMTSRGVSSGLHPSSERDSLYHRVSPAVSQESEGNSPNHTMLLSTSERDLSNPTITSPPPTADGELPNHGASLVLPPTSEMDMENQDMSRTMSQGRKRDISSQGVSSITPYQSERVYTQQSQWKESSAPSERIYIPITSHRNNNAAPTVQRNRSISPASDQIYMMATTHLNDTMGQRIQRTDAPIFPTSERIYVPATPHRSDHMGSTSQRNDVALRPGSESLYMPATSRRNDNIASASHQADSMPQNSESMYMAPIVQRMYAQNTIISMDDYPNQGPTMTLPTSHRI >KJB71194 pep chromosome:Graimondii2_0_v6:11:12939720:12956811:1 gene:B456_011G109400 transcript:KJB71194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQSQAAFSGQKKMLPPPPGIFQDREELIEHVRDFGASQGYVVTIKKSRKDRRVILGCDRGGIYRNRRKIDESKRKRKACSRLINCPFEAIGKKEDDSWVLTIKNGEHNHEPLKDMSEHPYSRRFTEEEIRQIKLMTEAGIKPRQVLKALKQTNPELQSTPRHLYNLKAKIRQGNLSEKNFKSWRPNRSVPVNSNSSSTGELLKQNNQLVKVPNFIGGKFVDSLGSMVIDIINPATQEVICQVPSTTYEEFKDAVNAAKQAFPSWKNTPVTARQRIMFKLQELIHRNIDKLAMNITTEQGRTLKSAHGDVLRGLEIVEHACGVATLQMGEFVPNASNGIDTFCIREPLGVCAGICPSNFPAMIPLWMFPIAVTCGNTFVLKPCEKNPGASTILAALVKEAGLPDGVLNIVHGTDDIVNYICDDEDIKAISVVGSNTAGIHIYARAAAGGKRIQSTIGGKNHAIIMSDASIDATLNALVAEGFGAAGQGCMGLSTAVFVGGSMPWDEELKLRAKALKVNVGSDPGADVGPVISKEVKDKINRLVQCSVDAGARLLLDGRNIVVPGYENGNFIGPTIICDVASNMECYKEEIFGPVLLCMQANSLEEAITIVNRNKSMNGASIFTSSGYAARKFQNEILSGLVGINVPVPIPMPFSSFNGPKAFLAGDNNFVGKSGVHFYTQIRMVAQQWRDLPSVGVSSGLHLSSETDMTSRGVSSGLHPSSERDSLYHRVSPAVSQESEGNSPNHTMLLSTSERDLSNPTITSPPPTADGELPNHGASLVLPPTSEMDMENQDMSRTMSQGRKRDISSQGVSSITPYQSERVYTQQSQWKESSAPSERIYIPITSHRNNNAAPTVQRSDTAIGLTQERAYMPTSHKNDGLVPVSNRNRSISPASDQIYMMATTHLNDTMGQRIQRTDAPIFPTSERIYVPATPHRSDHMGSTSQRNDVALRPGSESLYMPATSRRNDNIASASHQADSMPQNSESMYMAPIVQRMYAQNTIISMDDYPNQGPTMTLPTSHRI >KJB71193 pep chromosome:Graimondii2_0_v6:11:12939365:12956894:1 gene:B456_011G109400 transcript:KJB71193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQSQAAFSGQKKMLPPPPGIFQDREELIEHVRDFGASQGYVVTIKKSRKDRRVILGCDRGGIYRNRRKIDESKRKRKACSRLINCPFEAIGKKEDDSWVLTIKNGEHNHEPLKDMSEHPYSRRFTEEEIRQIKLMTEAGIKPRQVLKALKQTNPELQSTPRHLYNLKAKIRQGNLSEKNFKSWRPNRSVPVNSNSSSTGELLKQNNQLVKVPNFIGGKFVDSLGSMVIDIINPATQEVICQVPSTTYEEFKDAVNAAKQAFPSWKNTPVTARQRIMFKLQELIHRNIDKLAMNITTEQGRTLKSAHGDVLRGLEIVEHACGVATLQMGEFVPNASNGIDTFCIREPLGVCAGICPSNFPAMIPLWMFPIAVTCGNTFVLKPCEKNPGASTILAALVKEAGLPDGVLNIVHGTDDIVNYICDDEDIKAISVVGSNTAGIHIYARAAAGGKRIQSTIGGKNHAIIMSDASIDATLNALVAEGFGAAGQGCMGLSTAVFVGGSMPWDEELKLRAKALKVNVGSDPGADVGPVISKEVKDKINRLVQCSVDAGARLLLDGRNIVVPGYENGNFIGPTIICDVASNMECYKEEIFGPVLLCMQANSLEEAITIVNRNKSMNGASIFTSSGYAARKFQNEILSGLVGINVPVPIPMPFSSFNGPKAFLAGDNNFVGKSGVHFYTQIRMVAQQWRDLPSVGVSSGLHLSSETDMTSRGVSSGLHPSSERDSLYHRVSPAVSQESEGNSPNHTMLLSTSERDLSNPTITSPPPTADGELPNHGASLVLPPTSEMDMENQDMSRTMSQGRKRDISSQGVSSITPYQSERVYTQQSQWKESSAPSERIYIPITSHRNNNAAPTVQRSDTAIGLTQERAYMPTSHKNDGLVPVSNRNRSISPASDQIYMMATTHLNDTMGQRIQRTDAPIFPTSERIYVPATPHRSDHMGSTSQRNDVALRPGSESLYMPATSRRNDNIASASHQADSMPQNSESMYMAPIVQRMYAQNTIISMDDYPNQGPTMTLPTSHRI >KJB69640 pep chromosome:Graimondii2_0_v6:11:2631473:2632281:-1 gene:B456_011G035200 transcript:KJB69640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEFQESEVIFSDNISNIHGSHDEDAAVIDGGCFNYRGGFSNKSDENTTKRKKNKKKKKKVVAASSLPVNIPCGVFHYGGRGGAYDFEEEDEDDMEEGEMVPPHVILGRRIAGKMAFSVCTGNGRTLKGRDLSQVRNSILRMTGFLEA >KJB71940 pep chromosome:Graimondii2_0_v6:11:24281693:24282428:1 gene:B456_011G148900 transcript:KJB71940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPEMLQLFIRVLSERLHLWACIVGQPYYTSTNRSHRGRSTTPGNQQHENFVINDSLSLSGSELREMVGTTNIHLVRTLDTHITIEDLRTHLMIRKDLLQEGWLEISCKNISPAQFIMTIFRPFFLIPWIILIMHIKEEPELFESNDDQSAQSEGNYVEALQNYYEAMRLEIDSYDRSYILYNIGLIHTSNGEHTKALEYYFQALERN >KJB73425 pep chromosome:Graimondii2_0_v6:11:55051480:55052885:-1 gene:B456_011G232800 transcript:KJB73425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLLLQLGYNPTVVVSSADIVREIVKNHDIVFSNRPRTTAIDILFYGCKDMAFAPYGEYWRQVKKISVVELFSHRRVHSFQFVRDEEVELLINKIRSASLKGQSINLSEMLTSVFSNIVSRCVLSHKSEDEDGCSKFGKLAKRLMILFTSFCIGDMFPYLRWLDVVTGYIPSLKAVNEEFDAFFDQVLQEHRALESDDQVSDRKDFVTIIMQLQKDGMYEMDLTQDNIKAILLDMFVGGTDTSTTTTEWMMAELLKHPNAMKKVQEEVKNVVGNKSKVDMEDISKMEYLKCVIKETLRLHPVAPLLAPRRTSASVKLGDYHIPSDTTVLINAWAIQRDPKWWEKPEEFIPERFENSSIDFKGQDFQLIPFGFGRKGCPGMPFGVASVEYVMANLLYWFDWKLPAGQNANNLDMTELYGLTVNKKIPLHVFPISHTSF >KJB68710 pep chromosome:Graimondii2_0_v6:11:45926819:45928451:-1 gene:B456_011G1917001 transcript:KJB68710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELSFIVGVIGNVISVLVFLSPVGTFWRIVKNGSTEDFESLPYVCTLLSSSLWTYYGITKPGAYLVATVNGFGILAEAVYVVLFLIYAPRKMRVKTGILVGILNVGFLAAAILVTHLALEGDTRIDAIGFMCAGLNIIMYGSPLAAMVPNGIGFLLGTAQLILYAIFRKARPSNDTISQGLLEQGFQ >KJB68709 pep chromosome:Graimondii2_0_v6:11:45926815:45928228:-1 gene:B456_011G1917001 transcript:KJB68709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELSFIVGVIGNVISVLVFLSPVGTFWRIVKNGSTEDFESLPYVCTLLSSSLWTYYGITKPGAYLVATVNGFGILAEAVYVVLFLIYAPRKMRVKTGILVGILNVGFLAAAILVTHLALEGDTRIDAIGFMCAGLNIIMYGSPLAAMKTVVTSKSVEYMPFFLSFFLFLNGGIWAFYALLEHDIFLGVPNGIGFLLGTAQLILYAIFRKARPSNDTISQGLLEQGFQK >KJB68711 pep chromosome:Graimondii2_0_v6:11:45926819:45928228:-1 gene:B456_011G1917001 transcript:KJB68711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELSFIVGVIGNVISVLVFLSPVGTFWRIVKNGSTEDFESLPYVCTLLSSSLWTYYGITKPGAYLVATVNGFGILAEAVYVVLFLIYAPRKMRVKTGILVGILNVGFLAAAILVTHLALEGDTRIDAIGFMCAGLNIIMYGSPLAAMKTVVTSKSVEYMPFFLSFFLFLNGGIWAFYALLEHDIFLGVPNGIGFLLGTAQLILYAIFRKARPSNDTISQGLLEQGFQ >KJB68708 pep chromosome:Graimondii2_0_v6:11:45926531:45928369:-1 gene:B456_011G1917001 transcript:KJB68708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELSFIVGVIGNVISVLVFLSPVGTFWRIVKNGSTEDFESLPYVCTLLSSSLWTYYGITKPGAYLVATVNGFGILAEAVYVVLFLIYAPRKMRVKTGILVGILNVGFLAAAILVTHLALEGDTRIDAIGFMCAGLNIIMYGSPLAAMVPNGIGFLLGTAQLILYAIFRKARPSNDTISQGLLEQGFQK >KJB72865 pep chromosome:Graimondii2_0_v6:11:48833832:48835368:1 gene:B456_011G201700 transcript:KJB72865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVTNPVTNANSDRTKRKKKKSMIMEDNQQSHARWKSEAQQQIYSSKLLQALSQVSLNTPSSSAPRGGRAVREAADRALAVAAKGRTRWSRAILTSRLKLKFRKQKRQRGVAVAAVTGSSRWKKPRFSVSKLKSKSLPAVQRKVKVLGRLVPGCRKQPLPIILEEATDYIAALEMQVRAMTTLAELLSGSGAASSGSALPPQSPPPSQ >KJB72866 pep chromosome:Graimondii2_0_v6:11:48833832:48836887:1 gene:B456_011G201700 transcript:KJB72866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVTNPVTNANSDRTKRKKKKSMIMEDNQQSHARWKSEAQQQIYSSKLLQALSQVSLNTPSSSAPRGGRAVREAADRALAVAAKGRTRWSRAILTSRLKLKFRKQKRQRGVAVAAVTGSSRWKKPRFSVSKLKSKSLPAVQRKVKVLGRLVPGCRKQPLPIILEEATDYIAALEMQVRAMTTLAELLSGSGAASSGSALPPQSPPPSNERRGVTSWP >KJB72568 pep chromosome:Graimondii2_0_v6:11:44127680:44128096:1 gene:B456_011G185400 transcript:KJB72568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCFLLLPIMIMYFIASSPLPSLASRLSSDTPTISASPVSVSDPPLSPFQPLSPDIAPLLPSPGGVVPTTGSSMPTIPSTPSPPNPDDFIAPGPTSAFPPFGPLPVSSSSPIFLLSSLHLTTFSVLVVPYCLLHLL >KJB72317 pep chromosome:Graimondii2_0_v6:11:37664833:37668809:-1 gene:B456_011G170800 transcript:KJB72317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVVPADPQAVVAVKKKAQSSRSWVLLDSTGETTVLDVDKYAIMHRVNIHARDLRILDPLLSYPSTILGRDGAIVLNLEHIKAIITSEERRLPPMNAIQQGQDYTGGQNDVEGDEDESPFEFRALEVALESICSFLAARTLELETAAYPALDELTSQISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGTSPVSGSGAANWYLASPTIGSKISRASRASIATARGDENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSIYSLVAAIFGMNIPYTWDTNHGYMFKWVVIVSGIFCATLFILIMSYARLKGLVGS >KJB72318 pep chromosome:Graimondii2_0_v6:11:37664833:37668884:-1 gene:B456_011G170800 transcript:KJB72318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVVPADPQAVVAVKKKAQSSRSWVLLDSTGETTVLDVDKYAIMHRVNIHARDLRILDPLLSYPSTILGRDGAIVLNLEHIKAIITSEEVLLRDPSDENVVPVVEELKRRLPPMNAIQQGQDYTGGQNDVEGDEDESPFEFRALEVALESICSFLAARTLELETAAYPALDELTSQISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGTSPVSGSGAANWYLASPTIGSKISRASRASIATARGDENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSIYSLVAAIFGMNIPYTWDTNHGYMFKWVVIVSGIFCATLFILIMSYARLKGLVGS >KJB72315 pep chromosome:Graimondii2_0_v6:11:37664833:37668113:-1 gene:B456_011G170800 transcript:KJB72315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAIQQGQDYTGGQNDVEGDEDESPFEFRALEVALESICSFLAARTLELETAAYPALDELTSQISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGTSPVSGSGAANWYLASPTIGSKISRASRASIATARGDENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSIYSLVAAIFGMNIPYTWDTNHGYMFKWVVIVSGIFCATLFILIMSYARLKGLVGS >KJB72316 pep chromosome:Graimondii2_0_v6:11:37664833:37668809:-1 gene:B456_011G170800 transcript:KJB72316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVVPADPQAVVAVKKKAQSSRSWVLLDSTGETTVLDVDKYAIMHRVNIHARDLRILDPLLSYPSTILGRDGAIVLNLEHIKAIITSEEVLLRDPSDENVVPVVEELKRRLPPMNAIQQGQDYTGGQNDVEGDEDESPFEFRALEVALESICSFLAARTLELETAAYPALDELTSQISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGTSPVSGSGAANWYLASPTIGSKISRASRASIATARGDENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQELCVYPSIL >KJB72319 pep chromosome:Graimondii2_0_v6:11:37665456:37668809:-1 gene:B456_011G170800 transcript:KJB72319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVVPADPQAVVAVKKKAQSSRSWVLLDSTGETTVLDVDKYAIMHRVNIHARDLRILDPLLSYPSTILGRDGAIVLNLEHIKAIITSEEVLLRDPSDENVVPVVEELKRRLPPMNAIQQGQDYTGGQNDVEGDEDESPFEFRALEVALESICSFLAARTLELETAAYPALDELTSQISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGTSPVSGSGAANWYLASPTIGSKISRASRASIATARGDENDVEELEMLLEVTPMIYFSC >KJB69638 pep chromosome:Graimondii2_0_v6:11:2618618:2624928:1 gene:B456_011G035100 transcript:KJB69638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease 1 [Source:Projected from Arabidopsis thaliana (AT1G29630) UniProtKB/Swiss-Prot;Acc:Q8L6Z7] MGIQGLLPLLKSIMVPIHIKELEGCSVAIDTYSWLHKGALSCSTQLCKGLPTSRHIEYCMHRVNLLRHHGVKPVLVFDGGLLPMKIEQENKRARARKENLARAVEHESCGNSAAAYECYQKAVDISPSIANELIMVLKRENVCYVVAPYEADAQMTFLAISKQVDAVITEDSDLIPFGCPRVIFKMDKFGQGVEFKSSMLQQNKELSFAGFTKQMLLEMCILSGCDYLQSLPGMGLRRAHALMKKFKSYDKVIKHLRYSTVSVPPLYEESFKKAILTFQCQRVYDPITEDIVHLSDISDNIGDDLDFLGPPIPQQIAQGIARGDLDPFTQMPFQAVRDGSQLALDRNLQLKSFKPESERKRLYLPVQKNLLTNYFCFASVEARRNFKAPRVSPKHSSPVANSSISPESDKDITVEDGSCQIDTLLSASPEFKNTNSIEENGFITKLPEYSESPNPDIEAKVDTMRSPDHVLPLESDRPTIRPPTASDKEHDNNTVPDAAKSKTITDSRKSIVTSRYFQKKQVDINDQEDKQGKNCCKGGITNQFPETGNLDGYGNTYFKGMASKRKNSFEYVETENVNPKQIYTNASCDGNGDCGPNLETFVETKTGEAKFGSNISHLGHYSDVAEKSMERFVSVISSFRFSSPGSRASGLRAPLKDARNTCNNRSSAAVDFSQFAYVPKTKKAKLASPRF >KJB69639 pep chromosome:Graimondii2_0_v6:11:2619414:2624928:1 gene:B456_011G035100 transcript:KJB69639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease 1 [Source:Projected from Arabidopsis thaliana (AT1G29630) UniProtKB/Swiss-Prot;Acc:Q8L6Z7] MHRVNLLRHHGVKPVLVFDGGLLPMKIEQENKRARARKENLARAVEHESCGNSAAAYECYQKAVDISPSIANELIMVLKRENVCYVVAPYEADAQMTFLAISKQVDAVITEDSDLIPFGCPRVIFKMDKFGQGVEFKSSMLQQNKELSFAGFTKQMLLEMCILSGCDYLQSLPGMGLRRAHALMKKFKSYDKVIKHLRYSTVSVPPLYEESFKKAILTFQCQRVYDPITEDIVHLSDISDNIGDDLDFLGPPIPQQIAQGIARGDLDPFTQMPFQAVRDGSQLALDRNLQLKSFKPESERKRLYLPVQKNLLTNYFCFASVEARRNFKAPRVSPKHSSPVANSSISPESDKDITVEDGSCQIDTLLSASPEFKNTNSIEENGFITKLPEYSESPNPDIEAKVDTMRSPDHVLPLESDRPTIRPPTASDKEHDNNTVPDAAKSKTITDSRKSIVTSRYFQKKQVDINDQEDKQGKNCCKGGITNQFPETGNLDGYGNTYFKGMASKRKNSFEYVETENVNPKQIYTNASCDGNGDCGPNLETFVETKTGEAKFGSNISHLGHYSDVAEKSMERFVSVISSFRFSSPGSRASGLRAPLKDARNTCNNRSSAAVDFSQFAYVPKTKKAKLASPRF >KJB69788 pep chromosome:Graimondii2_0_v6:11:3159301:3159681:-1 gene:B456_011G042500 transcript:KJB69788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKMAMILIAYVTVLAVFTNGATALREDQGAVAPSPMESAGAALGVPAAVAALASMAACLLLFQATGIVDGDRNFYSSFQVIIMLIKS >KJB69141 pep chromosome:Graimondii2_0_v6:11:576391:577980:1 gene:B456_011G007700 transcript:KJB69141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISKPLLVFFSLVFFNLSFSWAAPDPTYQSLLQCLSEIIPSPNVSAVIVSNNNPSFASILESRIHNARFNRTSTLKPTIIITPSDESHVSAAVICSQKVGFQLKIRSGGHDYEALSYTSDKPFFLLDMYNLRDVSVDIPDESAWVQTGATLGELYYHIWEKSNAHGFPAGVCPTVGVGGHIGGAGYGTMIRKYGLTTDYVIDAKIVDVNGKILDRKAMGEDLFWAIRGAGGTNFGVVTAYKIKLVKVPEKVTVFRVERFLDNNGTEVAFKWQTVGATTDPNLFTRMLLQPNMKDKQRTVKVTVMGLYLGDINGLLTLLNKDFPELRLNKENCTEMPWIDSVLWWANFDLGTPPNVLLDRNNTDTKFVKRKSDYVQTPIPRDGLESLWQKMVQNEKVGLTCNSYGGKMDEIDPKETAFPHRKGNLYKIQYSINWDDPSIEADIKYTTQAKAVHEFMTQFVSKNPRRAYLNYRDIDIGSAKTWSYEEGKVYGESYFAENFDRLVDVKTAVDPNNFFRNEQSIPPRSTKTA >KJB72756 pep chromosome:Graimondii2_0_v6:11:47045405:47050545:1 gene:B456_011G195000 transcript:KJB72756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVNAMARRVEVDNRIPLRYYYRIADSLLKQANIYREEKNIVDLYIILLRYSSLVSETIPFHRDYQVLLPKEKAVYRKRLLAVLDELESLKPEVQQRVEELNKAHTGARLVDLDDHEGPFHGSDKMSPSDWNPENRRLNMSLDIKQPANMEVQSSWKYNDNHNQIDRQFQKLSLNKPLPNKETLSRHSFLGPNGFHGQWLGPSAEIKVQYPSNKDLAPAENSSLNQAEQYGPLAVKDGEPGGVGSAMDSVLSLDDGRWLHPAEESCTSLTSEANQDLFQFVGMKQPSPPPVIAQVQPEFTPIHPSKVADPRPGPAKSSQDGLPNSSSFQHLHVPVQMMEDFLRLARANTEKNLETCGVLAGSLKNRVFHITALIIPKQESTSDSCSTLNEEEIFEVQDKLSLFPLGWIHTHPTQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDTSSPHGIFHLSDPGGVSIIRNCQQRGFHPHEEPSDGTPIYEHCSHVFMNPKIKFDVVDLR >KJB72758 pep chromosome:Graimondii2_0_v6:11:47046123:47050520:1 gene:B456_011G195000 transcript:KJB72758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQSSWKYNDNHNQIDRQFQKLSLNKPLPNKETLSRHSFLGPNGFHGQWLGPSAEIKVQYPSNKDLAPAENSSLNQAEQYGPLAVKDGEPGGVGSAMDSVLSLDDGRWLHPAEESCTSLTSEANQDLFQFVGMKQPSPPPVIAQVQPEFTPIHPSKVADPRPGPAKSSQDGLPNSSSFQHLHVPVQMMEDFLRLARANTEKNLETCGVLAGSLKNRVFHITALIIPKQESTSDSCSTLNEEEIFEVQDKLSLFPLGWIHTHPTQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDTSSPHGIFHLSDPGGVSIIRNCQQRGFHPHEEPSDGTPIYEHCSHVFMNPKIKFDVVDLR >KJB72757 pep chromosome:Graimondii2_0_v6:11:47045511:47050520:1 gene:B456_011G195000 transcript:KJB72757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVNAMARRVEVDNRIPLRYYYRIADSLLKQANIYREEKNIVDLYIILLRYSSLVSETIPFHRDYQVLLPKEKAVYRKRLLAVLDELESLKPEVQQRVEELNKAHTGARLVDLDDHEGPFHGSDKMSPSDWNPENRRLNMSLDIKQPANMEVQSSWKYNDNHNQIDRQFQKLSLNKPLPNKETLSRHSFLGPNGFHGQWLGPSAEIKVQYPSNKDLAPAENSSLNQAEQYGPLAVKDGEPGGVGSAMDSVLSLDDGRWLHPAEESCTSLTSEANQDLFQFVGMKQPSPPPVIAQVQPEFTPIHPSKVADPRPGPAKSSQDGLPNSSSFQHLHVPVQMMEDFLRLARANTEKNLETCGVLAGSLKNRVFHITALIIPKQESTSDSCSTLNEEEIFEVQDKLSLFPLGWIHTHPTQTCFMSSVDLHTHYSYQPTWYLSSV >KJB72444 pep chromosome:Graimondii2_0_v6:11:42140384:42143147:-1 gene:B456_011G179100 transcript:KJB72444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLGCFGISTKRKRRKPANRILPGPADSNLVSYEPLDSSINVDIPEDSIVSKPQLSNKPKERSSVKIRKKVSFNLNVQTYEPIPDEETTTYQFLQSVEEEEREKVNGGEAAKRRLPSLSDGISSSLQTSSYPCNYRYQNCRDSYEEEDEMVYEESDIEDDEFFSDEDDYGDDDDKHVDDQLDSLNMDSTKGASLVRLDDDRSKNQMPLGGSADGNLKSRSQYLCSVLNPVENTTQWKEIKARAAAKPKQMRKENVAAEGELQVPFSSDLRSNCSTNYNQSKPLLQDIAVDASLSNWLVSPVSPR >KJB72445 pep chromosome:Graimondii2_0_v6:11:42141270:42143147:-1 gene:B456_011G179100 transcript:KJB72445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLGCFGISTKRKRRKPANRILPGPADSNLVSYEPLDSSINVDIPEDSIVSKPQLSNKPKERSSVKIRKKVSFNLNVQTYEPIPDEETTTYQFLQSVEEEEREKVNGGEAAKRRLPSLSDGISSSLQTSSYPCNYRYQNCRDSYEEEDEMVYEESDIEDDEFFSDEDDYGDDDDKHVDDQLDSLNMDSTKGASLVRLDDDRSKNQMPLGGSADGNLKSRSQYLCSVLNPVENTTQWKEIKARAAAKPKQMRKENVAAEGELQVPFSSDLRSNCSTNYNQSKPLLQDIAVDASLSNWLVSPVSPR >KJB69430 pep chromosome:Graimondii2_0_v6:11:1698327:1702396:1 gene:B456_011G023700 transcript:KJB69430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPAMDLHLLRSPPGVRFFRRHPSPAPLRRRFVTVSSILPFAKEKAKYHIELEAAVELVERACSLCIDVQRSLLSDGRILEKNDQTPVTVADFGVQALVSLELGKLFPLIPLVAEEDSGFLQSQNLVDLVVSAVSDKTSFHEESFSHADVLEAIDRGERTEFGTKPATYWILDPIDGTRGFVKGSKALYVVGLSLVVEGEIMLGVMGCPNWVVDTSHRSINGVQGYKNSSPGLGIIMVTHFGCGTWTKRLRHMLDSSFKPSSDWTRCFVDGCSLVHKANFCIPDSQAWESLPLSVFYDATTNDNDDIRHKEIRLLPFCCGSLCKYLMVASGGASVFILEVKPERETKAWDHAAGMICVHEAGGKVTDWEGSELDLAADQVKRRIIYPAGGVLVTNGKIHQQIVEMISSSSTVVRH >KJB69431 pep chromosome:Graimondii2_0_v6:11:1698464:1701168:1 gene:B456_011G023700 transcript:KJB69431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPAMDLHLLRSPPGVRFFRRHPSPAPLRRRFVTVSSILPFAKEKAKYHIELEAAVELVERACSLCIDVQRSLLSDGRILEKNDQTPVTVADFGVQALVSLELGKLFPLIPLVAEEDSGFLQSQNLVDLVVSAVSDKTSFHEESFSHADVLEAIDRGERTEFGTKPATYWILDPIDGTRGFVKGSKALYVVGLSLVVEGEIMLGVMGCPNWVVDTSHRSINGVQGYKNSSPGLGIIMVTHFGCGTWTKRLRHMLDSSFKPSSDWTRCFVDGCSLVHKANFCIPDSQAWESLPLSVFYDATTNDNDDIRHKEIRLLPFCCGRFVGFLSQCFSTCVILDGAFTELHVYAST >KJB71869 pep chromosome:Graimondii2_0_v6:11:23416976:23418556:-1 gene:B456_011G145400 transcript:KJB71869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVCDLYCGSGADAEKWDGAQISHYVGIDVSSRINEVREAWESQRKSFTSEFFEADPCTDNLEALLQEKDIQADLVCCLQHLQVFCVLGFDSSCEQI >KJB70187 pep chromosome:Graimondii2_0_v6:11:5202954:5217746:-1 gene:B456_011G062700 transcript:KJB70187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-5 [Source:Projected from Arabidopsis thaliana (AT1G17580) UniProtKB/Swiss-Prot;Acc:Q39160] MAGPDNIIMGSHVWVEDPGLAWIDGEVIRINGNEIHVKTPHGKTVVTNISKAFPKDTEAPPGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYKGATFGELSPHVFAVGDAAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPPEDIERYKLGSPKTFHYLNQSSCYELDGVNDAHEYLATRRAMDIVGINDEEQESIFRVVAAILHLGNINFAKGKEIDSSVVKDEKSRFHLNMTAELLRCDAQSLEDALIKRVMVTPEEIITRSLDPENAVASRDALAKTVYSRLFDWLVDKINVSIGQDPNSKSIIGVLDIYGFESFKCNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTDFTISHYAGEVTYQANQFLDKNKDYVVAEHQALLTASECSFVRSLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLSATEPHYIRCVKPNNVLKPAIFENFNVIQQLRCGGVLEAIRISCAGYPTRRTFYDFLNRFGLLAPDVLEGNYDDKVACQLILDKKGLKGYQVGKTKIFLRAGQMAELDARRAEVLGNAARTIQRQIRTYIARKEFISLRGAAISLQSYLRGNMARKIYDELRREAGALNIQKNLRRHIDRKSYLTMRKSAIILQTGLRSMTARNEFRFRKQTKAAIIIQAHWRCYQAYSYYKSLQKAVLVSQCGWRCRVARRELRKLKMAARETGALKAAKDKLEKRVEELTWRLQLEKRLRTDLEEAKAQEIAKLQDALHEMQSQVEEAKSMVIKEREAARKAIEEAPPVVKETPVIVQDTEKINSLTSEVEKLKALLLAEKQTAKEAKQAHATEQAKNVDLTKKLEDDGKKVDQLQDSVQRLEEKLSNLESENQVLRQQALSMSPTGRALAARPKTTIFQRTPENGNVVNEEIKKALPNPQLTETEEKPQKSLNEKQQENQELLIKCISQDLGFSGGKPVAACLIYKCLLHWRSFEVERTSIFDRIIQTIGASIEVADNNDLLSYWLSNSSTLLLLLQRTLKASGAASLTPQRRRSTSASLFGRMSQGLRGSPQTSGFSFLNGRLLGGLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPVLASCIQAPRTSRASLGKGRSQANAVAQQALIAHWQSIVKTLNNYLKTMRANYVPSFLICKVFTQTFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCHDATEEFAGSAWDELRHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIASMRVKMTEDSNNAVSSSFLLDDDSSIPFSMDDISKSMQQTEVAEIDPPPLLRGHSGFSFLLQHTE >KJB70188 pep chromosome:Graimondii2_0_v6:11:5203070:5216740:-1 gene:B456_011G062700 transcript:KJB70188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-5 [Source:Projected from Arabidopsis thaliana (AT1G17580) UniProtKB/Swiss-Prot;Acc:Q39160] MAGPDNIIMGSHVWVEDPGLAWIDGEVIRINGNEIHVKTPHGKTVVTNISKAFPKDTEAPPGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYKGATFGELSPHVFAVGDAAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPPEDIERYKLGSPKTFHYLNQSSCYELDGVNDAHEYLATRRAMDIVGINDEEQESIFRVVAAILHLGNINFAKGKEIDSSVVKDEKSRFHLNMTAELLRCDAQSLEDALIKRVMVTPEEIITRSLDPENAVASRDALAKTVYSRLFDWLVDKINVSIGQDPNSKSIIGVLDIYGFESFKCNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTDFTISHYAGEVTYQANQFLDKNKDYVVAEHQALLTASECSFVRSLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLSATEPHYIRCVKPNNVLKPAIFENFNVIQQLRCGGVLEAIRISCAGYPTRRTFYDFLNRFGLLAPDVLEGNYDDKVACQLILDKKGLKGYQVGKTKIFLRAGQMAELDARRAEVLGNAARTIQRQIRTYIARKEFISLRGAAISLQSYLRGNMARKIYDELRREAGALNIQKNLRRHIDRKSYLTMRKSAIILQTGLRSMTARNEFRFRKQTKAAIIIQAHWRCYQAYSYYKSLQKAVLVSQCGWRCRVARRELRKLKMAARETGALKAAKDKLEKRVEELTWRLQLEKRLRTDLEEAKAQEIAKLQDALHEMQSQVEEAKSMVIKEREAARKAIEEAPPVVKETPVIVQDTEKINSLTSEVEKLKALLLAEKQTAKEAKQAHATEQAKNVDLTKKLEDDGKKVDQLQDSVQRLEEKLSNLESENQVLRQQALSMSPTGRALAARPKTTIFQRTPENGNVVNEEIKKALPNPQLTETEEKPQKSLNEKQQENQELLIKCISQDLGFSGGKPVAACLIYKCLLHWRSFEVERTSIFDRIIQTIGASIEVADNNDLLSYWLSNSSTLLLLLQRTLKASGAASLTPQRRRSTSASLFGRMSQGLRGSPQTSGFSFLNGRLLGGLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPVLASCIQAPRTSRASLGKGRSQANAVAQQALIAHWQSIVKTLNNYLKTMRANYVPSFLICKVFTQTFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCHDATEEFAGSAWDELRHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVS >KJB70189 pep chromosome:Graimondii2_0_v6:11:5203541:5216740:-1 gene:B456_011G062700 transcript:KJB70189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-5 [Source:Projected from Arabidopsis thaliana (AT1G17580) UniProtKB/Swiss-Prot;Acc:Q39160] MAGPDNIIMGSHVWVEDPGLAWIDGEVIRINGNEIHVKTPHGKTVVTNISKAFPKDTEAPPGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYKGATFGELSPHVFAVGDAAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPPEDIERYKLGSPKTFHYLNQSSCYELDGVNDAHEYLATRRAMDIVGINDEEQESIFRVVAAILHLGNINFAKGKEIDSSVVKDEKSRFHLNMTAELLRCDAQSLEDALIKRVMVTPEEIITRSLDPENAVASRDALAKTVYSRLFDWLVDKINVSIGQDPNSKSIIGVLDIYGFESFKCNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTDFTISHYAGEVTYQANQFLDKNKDYVVAEHQALLTASECSFVRSLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLSATEPHYIRCVKPNNVLKPAIFENFNVIQQLRCGGVLEAIRISCAGYPTRRTFYDFLNRFGLLAPDVLEGNYDDKVACQLILDKKGLKGYQVGKTKIFLRAGQMAELDARRAEVLGNAARTIQRQIRTYIARKEFISLRGAAISLQSYLRGNMARKIYDELRREAGALNIQKNLRRHIDRKSYLTMRKSAIILQTGLRSMTARNEFRFRKQTKAAIIIQAHWRCYQAYSYYKSLQKAVLVSQCGWRCRVARRELRKLKMAARETGALKAAKDKLEKRVEELTWRLQLEKRLRTDLEEAKAQEIAKLQDALHEMQSQVEEAKSMVIKEREAARKAIEEAPPVVKETPVIVQDTEKINSLTSEVEKLKALLLAEKQTAKEAKQAHATEQAKNVDLTKKLEDDGKKVDQLQDSVQRLEEKLSNLESENQVLRQQALSMSPTGRALAARPKTTIFQRTPENGNVVNEEIKKALPNPQLTETEEKPQKSLNEKQQENQELLIKCISQDLGFSGGKPVAACLIYKCLLHWRSFEVERTSIFDRIIQTIGASIEVADNNDLLSYWLSNSSTLLLLLQRTLKASGAASLTPQRRRSTSASLFGRMSQGLRGSPQTSGFSFLNGRLLGGLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPVLASCIQAPRTSRASLGKGRSQANAVAQQALIAHWQSIVKTLNNYLKTMRANYVPSFLICKVFTQTFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCHDATEEFAGSAWDELRHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVS >KJB69756 pep chromosome:Graimondii2_0_v6:11:3037381:3041637:-1 gene:B456_011G040900 transcript:KJB69756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESGERGKQPKRERPVAALSSSAASNMKSMDKGKLIAQYNADAGLMAEFEQSGVSGKSFNYSKSVLYAPHSVPEEQITAYLSRIQRGGLVQPFGCMIAVEEPSFRIIGYSENCFGLLGLDLDSEDEIKGVKSLIGIDVKSLFTPASGASLAKAAASREISLLNPIWVYSRSTQKPFYAILHRIDVGIVIDLEPARLGDPALSVAGAVHSQKLAVRAIARLQLLPGGDIGVLCDTVVEDVQKLTGYDRVMVYKFHDDGHGEVVSEIRRSDLEPYLGLHYPATDIPQAARFLFKQNRVRMICDCHANPVKVIQSDELKQHLCLVNSTLRSPHGCHTQYMANMGSIASLVMAVVINGNDSTKLWGLVVCHHTSPRYVPFPLRYACEFLMQAFGLQLYMELQLASQLTEKKILRAQTLLCDMLLRDAPFGIVTQSPNIMDLVKCDGAALYYRGKCWLLGVTPTESQVKDIAQWLLTTHEDSTGLSTDSLADAGYPGAALLGDAVCGIATARITSKDFLFWFRSHTAKEVKWGGAKHHLEDKDDSGRMHPRSSFNAFLEVVKSRSLPWEIPEINAIHSLQLIMRDSFQDMEESGSKELACGQQNDTETEGINEIISVAYEMVRLIETGTAPVFGVDTAGIINGWNAKVAELTGLQADHAIGKSLADEVVHEDSREVYENLIGRALQGEEDKNVELKLRNFKPHRKNAVVYIVVNACTSRDYANDIIGVCFVGQDITSEKVVLDKFIRLQGDYRAIIQSLSPLIPPIFASDENTCCSEWNAAMEKLTGYSRNEVIGKMLAGEIFGGLCQLKGQDSMTRFMIMLYQGISGRNAEKFPFSFFDRKGEFVEVYIVANKRTAADGNIIGCFCFLQVIVPDMQQASEEHKQEDKELFTKLKHLVYMRQEMKNPLNGIRFTHKLLETTAISENQKQFLETSDACERQISAIIEDMDFRSNDEGMELSMEEFVLGNVLDAVVSQVMILIKERNLQLFHETPKEIMALSLYGDRIRLQLVLSDFLLNMVHHAPSPDGWVEIKISPGLKLLRDGNEFLRLQFRMTHPGKGLPSTLIQEMLESGNSWTTQEGLGLNMSRKLLNRMNGHVQYVREHNKCYFLVDLEIKTKGRQKASLVEQHY >KJB69757 pep chromosome:Graimondii2_0_v6:11:3037284:3041792:-1 gene:B456_011G040900 transcript:KJB69757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESGERGKQPKRERPVAALSSSAASNMKSMDKGKLIAQYNADAGLMAEFEQSGVSGKSFNYSKSVLYAPHSVPEEQITAYLSRIQRGGLVQPFGCMIAVEEPSFRIIGYSENCFGLLGLDLDSEDEIKGVKSLIGIDVKSLFTPASGASLAKAAASREISLLNPIWVYSRSTQKPFYAILHRIDVGIVIDLEPARLGDPALSVAGAVHSQKLAVRAIARLQLLPGGDIGVLCDTVVEDVQKLTGYDRVMVYKFHDDGHGEVVSEIRRSDLEPYLGLHYPATDIPQAARFLFKQNRVRMICDCHANPVKVIQSDELKQHLCLVNSTLRSPHGCHTQYMANMGSIASLVMAVVINGNDSTKLWGLVVCHHTSPRYVPFPLRYACEFLMQAFGLQLYMELQLASQLTEKKILRAQTLLCDMLLRDAPFGIVTQSPNIMDLVKCDGAALYYRGKCWLLGVTPTESQVKDIAQWLLTTHEDSTGLSTDSLADAGYPGAALLGDAVCGIATARITSKDFLFWFRSHTAKEVKWGGAKHHLEDKDDSGRMHPRSSFNAFLEVVKSRSLPWEIPEINAIHSLQLIMRDSFQDMEESGSKELACGQQNDTETEGINEIISVAYEMVRLIETGTAPVFGVDTAGIINGWNAKVAELTGLQADHAIGKSLADEVVHEDSREVYENLIGRALQGEEDKNVELKLRNFKPHRKNAVVYIVVNACTSRDYANDIIGVCFVGQDITSEKVVLDKFIRLQGDYRAIIQSLSPLIPPIFASDENTCCSEWNAAMEKLTGYSRNEVIGKMLAGEIFGGLCQLKGQDSMTRFMIMLYQGISGRNAEKFPFSFFDRKGEFVEVYIVANKRTAADGNIIGCFCFLQVIVPDMQQASEEHKQEDKELFTKLKHLVYMRQEMKNPLNGIRFTHKLLETTAISENQKQFLETSDACERQISAIIEDMDFRSNDEGMELSMEEFVLGNVLDAVVSQVMILIKERNLQLFHETPKEIMALSLYGDRIRLQLVLSDFLLNMVHHAPSPDGWVEIKISPGLKLLRDGNEFLRLQFRMTHPGKGLPSTLIQEMLESGNSWTTQEGLGLNMSRKLLNRMNGHVQYVREHNKCYFLVDLEIKTKGRQKASLVEQHY >KJB69758 pep chromosome:Graimondii2_0_v6:11:3037408:3041746:-1 gene:B456_011G040900 transcript:KJB69758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESGERGKQPKRERPVAALSSSAASNMKSMDKGKLIAQYNADAGLMAEFEQSGVSGKSFNYSKSVLYAPHSVPEEQITAYLSRIQRGGLVQPFGCMIAVEEPSFRIIGYSENCFGLLGLDLDSEDEIKGVKSLIGIDVKSLFTPASGASLAKAAASREISLLNPIWVYSRSTQKPFYAILHRIDVGIVIDLEPARLGDPALSVAGAVHSQKLAVRAIARLQLLPGGDIGVLCDTVVEDVQKLTGYDRVMVYKFHDDGHGEVVSEIRRSDLEPYLGLHYPATDIPQAARFLFKQNRVRMICDCHANPVKVIQSDELKQHLCLVNSTLRSPHGCHTQYMANMGSIASLVMAVVINGNDSTKLWGLVVCHHTSPRYVPFPLRYACEFLMQAFGLQLYMELQLASQLTEKKILRAQTLLCDMLLRDAPFGIVTQSPNIMDLVKCDGAALYYRGKCWLLGVTPTESQVKDIAQWLLTTHEDSTGLSTDSLADAGYPGAALLGDAVCGIATARITSKDFLFWFRSHTAKEVKWGGAKHHLEDKDDSGRMHPRSSFNAFLEVVKSRSLPWEIPEINAIHSLQLIMRDSFQDMEESGSKELACGQQNDTETEGINEIISVAYEMVRLIETGTAPVFGVDTAGIINGWNAKVAELTGLQADHAIGKSLADEVVHEDSREVYENLIGRALQGEEDKNVELKLRNFKPHRKNAVVYIVVNACTSRDYANDIIGVCFVGQDITSEKVVLDKFIRLQGDYRAIIQSLSPLIPPIFASDENTCCSEWNAAMEKLTGYSRNEVIGKMLAGEIFGGLCQLKGQDSMTRFMIMLYQGISGRNAEKFPFSFFDRKGEFVEVYIVANKRTAADGNIIGCFCFLQVIVPDMQQASEEHKQEDKELFTKLKHLVYMRQEMKNPLNGIRFTHKLLETTAISENQKQFLETSDACERQISAIIEDMDFRSNDEGMELSMEEFVLGNVLDAVVSQVMILIKERNLQLFHETPKEIMALSLYGDRIRLQLVLSDFLLNMVHHAPSPDGWVEIKISPGLKLLRDGNEFLRLQFRMTHPGKGLPSTLIQEMLESGNSWTTQEGLGLNMSRKLLNRMNGHVQYVREHNKCYFLVDLEIKTKGRQKASLVEQHY >KJB72500 pep chromosome:Graimondii2_0_v6:11:43285119:43287246:1 gene:B456_011G182000 transcript:KJB72500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRKIQQFFCSNQGSSMLKVLRRKLWHLCSRIRWLMRKRPRPKVIIRRLGRLNSKVHRKGDVGTKSSDIDLYGNLGFCNPQRPIRIATFNVAMFSLAPAISEAEEAGLFSYRDDDYLGFKAPFEFDVHNKSPNCYPKSILKQSPLHDSHNQNKLSRSNLKVSINLPDNEISLAQSKILSFIEDVNEGSSDTITGRVNRRNVIMRSPVCLPSNMIKFWNEGGSKNGRSIAEVLRELNADIIALQDVKAQEEKGMKPLSDLASALKMKYVFAESWAPEYGNAILSKWPIKRWTVQKIADDNDFRNVLKVIIDVPWAGEVNFYCTQLDHLDENWRMKQMKAIIESNNTPHLLLGGLNSLNASDYSSERWTDIVKYYEDIGKLRPRTEVMKLLRGKEYTDSKDYAGECEPVVIIAKGQNVQGTCKYGTRVDYILASSNSPYAFVPGSYSVISSKGTSDHHIVKVEIVKECEKSQQSVIKKHRKTAQKLVCLTN >KJB70584 pep chromosome:Graimondii2_0_v6:11:8218390:8219505:1 gene:B456_011G081600 transcript:KJB70584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQRSAVSFRRQGSSGIVWDDRFLSELTQANQEQQDTKQEEQAQVAQDNNNPEKLDASKDVKPTRNLPPINTIERSRSNGERRGYRTGKVSPAIEPPSPKVSACGFCSAFGKQPKNHRKKPGKRRSR >KJB71335 pep chromosome:Graimondii2_0_v6:11:20179380:20183524:-1 gene:B456_011G1329001 transcript:KJB71335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKCSVAPSVEPMFQTFNGLQHLAETRRFKAWFLDQFGVLHDGKQPYPGAISTLEKLATTGAKMVIISNSSRRASTTIEKLKNLGFDPSLFVGAITSGELTHQYLQRRDNAWFAALGRSCIHMTWSDRGAISLEGLGLQVIENAEEADFILAHGTEALGLPSGLVRPMSLDGLEKILEHCAAKKIPMVVANPDFVTVEARALSIMPGTLAAKYEKLGGEVKWMGKPDK >KJB71334 pep chromosome:Graimondii2_0_v6:11:20179380:20182276:-1 gene:B456_011G1329001 transcript:KJB71334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIISNSSRRASTTIEKLKNLGFDPSLFVGAITSGELTHQYLQRRDNAWFAALGRSCIHMTWSDRGAISLEGLGLQVIENAEEADFILAHGTEALGLPSGLVRPMSLDGLEKILEHCAAKKIPMVVANPDFVTVEARALSIMPGTLAAKYEKLGGEVKWMGKPDK >KJB71336 pep chromosome:Graimondii2_0_v6:11:20179380:20182276:-1 gene:B456_011G1329001 transcript:KJB71336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIISNSSRRASTTIEKLKNLGFDPSLFVGAITSGELTHQYLQRRDNAWFAALGRSCIHMTWSDRGAISLEGLGLQVIENAEEADFILAHGTEALGLPSGLVRPMSLDGLEKILEHCAAKKIPMVVANPDFVTVEARALSIMPGTLAAKYEKLGGEVKWMGKPDK >KJB73092 pep chromosome:Graimondii2_0_v6:11:51615585:51617258:1 gene:B456_011G215100 transcript:KJB73092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQFNFLVLLLCLMFLMCGRGNAVRDLEEKYDFESHGRDDEVESLDDKYVTAYFHQTFDSANHFDGGDEVKNLEDKYSAAYFHKSLDSGNHGRDDKAKILEDNYATAYFHKTSVFGNHGEGDKLKSLEDKYSTAYFHKTISSKMMKDHNMEHHHHYHNHVESAEIGLFTIDELHTFHVGKKLPIFFPIKNHSLYPPLLPKQIADTIPFSSSQASNILRFFSVSPDSPKGKAVQDTLTKCELGAAQGETKICATSLESLHGFLSNAFGPHVDFKFISTSW >KJB73089 pep chromosome:Graimondii2_0_v6:11:51615342:51617258:1 gene:B456_011G215100 transcript:KJB73089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQFNFLVLLLCLMFLMCGRGNAVRDLEEKYDFESHGRDDEVESLDDKYVTAYFHQTFDSANHFDGGDEVKNLEDKYSAAYFHKSLDSGNHGRDDKAKILEDNYATAYFHKTSVFGNHGEGDKLKSLEDKYSTAYFHKTISSKMMKDHNMEHHHHYHNHVESAEIGLFTIDELHTFHVGKKLPIFFPIKNHSLYPPLLPKQIADTIPFSSSQASNILRFFSVSPDSPKGKAVQDTLTKCELGAAQGETKICATSLESLHGFLSNAFGPHVDFKFISTRHPTITTPLFQSYTVLESPQEIESPKKVACHPMPYLYAVYFCHFDATETKAFKLRLVGDVTGDKVDAVVLCHMDTSGWSSDHVAFRMLGIKQGNTVCHVFSQGNFVWINQPSDIAAGAI >KJB73090 pep chromosome:Graimondii2_0_v6:11:51614479:51617258:1 gene:B456_011G215100 transcript:KJB73090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQFNFLVLLLCLMFLMCGRGNAVRDLEEKYDFESHGRDDEVESLDDKYVTAYFHQTFDSANHFDGGDEVKNLEDKYSAAYFHKSLDSGNHGRDDKAKILEDNYATAYFHKTSVFGNHGEGDKLKSLEDKYSTAYFHKTISSKMMKDHNMEHHHHYHNHVESAEIGLFTIDELHTFHFLRTPPKAKLFKIHSQNANSELLKGRPKSVLPL >KJB73091 pep chromosome:Graimondii2_0_v6:11:51614479:51617258:1 gene:B456_011G215100 transcript:KJB73091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQFNFLVLLLCLMFLMCGRGNAVRDLEEKYDFESHGRDDEVESLDDKYVTAYFHQTFDSANHFDGGDEVKNLEDKYSAAYFHKSLDSGNHGRDDKAKILEDNYATAYFHKTSVFGNHGEGDKLKSLEDKYSTAYFHKTISSKMMKDHNMEHHHHYHNHVESAEIGLFTIDELHTFHVGKKLPIFFPIKNHSLYPPLLPKQIADTIPFSSSQASNILRFFSVSPDSPKGKAVQDTLTKCELGAAQGETKICATSLESLHGFLSNAFGPHVDFKFISTRHPTITTPLFQSYTVLESPQEIESPKKVACHPMPYLYAVYFCHFDATETKAFKLRLVGDVTGDKVDAVVLCHMDTSGWSSDHVAFRMLGIKQGNTVCHVFSQGNFVWINQPSDIAAGAI >KJB73146 pep chromosome:Graimondii2_0_v6:11:52390011:52391992:-1 gene:B456_011G217700 transcript:KJB73146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNNIFGELSPNWGQCHNLTNLQISNNNISGMIPFELGHATQLQELDLSSNHLNGEIPKELGKLTTMSRLFLSGNQFSGKIPSEIGLLSKLEQLDLASNNLSGPIPDDLGNCFKLFNLNLSKNNLEASIPSSISYTNALQSLDLSQNSLIGGIPQQFGKLQSLEVLNLSHNMLNGSIPEAFNDLRGLRFVNISFNQFEGPIPNLKAFHEASFDALRNNKGLCGNATGLMACVPSFVDNHGHGKRTKVIISVMFPLFGGLLFLFLLVGSFFTFCKKTQTKESEPRDEPQGDIFTVLGFNGRVLHDSIIEATEDFSSDYCIGLGGYGSVYKAALPTGQVVAVKKLHQSEDNILNNNLKAFESEISALLEIRHRNIVQMYGFCSHPKHSFLVYELVERGSLRMVLSNNERVKELDWKNRLNVVKGLANALSYMHRGHSQPIVHRDISSNNVLLDLDYEARVSDFGTARILKPDSSNWTSLAGTYGYIAPELAYTMRVDEKCDVYSFGVITMEVCLGRHPGDLISYFSSLESTSSSLSNDQQVLLKDIIDQRLSLPVGQSAEDLVCTMKIALACLNGNPQLRPTMQQVSQALGRQSLPLPSPFKSIKFEELLSDVVCND >KJB73531 pep chromosome:Graimondii2_0_v6:11:56066008:56072210:1 gene:B456_011G238200 transcript:KJB73531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVCTAAEAIFYFDTIFKGSNGNTLKPNRNCNLTSWVSGCEPGWACRTPSNEPDLENSQVIPSRTNSCQPCCEGFFCPRGLTCMIPCPLGSHCPVAKLNDQTGICEPYSYQLPPGKQNHTCGGANVWADVHSSGEVFCSAGSYCPTTVQKELCSKGHYCRKGSTSEKRCFKLTSCNASTSTQDLHAYGIMLIVATVTLLLIIYNCSDQVLTTRERRLAKAREAAARSARETAKARKRWIAAKNAAKKHASELQSHISQKFSFKKSTKDPEELKILDRTRFEADEDSYAPAYTSASSESLSSSAASKGQMHEIEDDIEKHDRFDVSSHDRKSKGHTTKGKQPHTNSQIFKYAYAELEKEKAKQEENKDLTFSGVVSMATNPEIRKRPLIEVSFKDLTLTLKGKDKHLLRCVTGKIKPGRITAVMGPSGAGKTTFISALAGKAMGCKMTGLILINGKNESIRSYRKIIGYVPQDDIVHGNLTVEENLWFNAKCRLPAHLSKPDKVLVVERVIEALGLQMVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSLQLLRALRHEALEGVNICMVLHQPSYSLFQMFDDLVLLAKGGFTVYHGSAKKAEQYFAGLGIHVPDRVNPPDHFIDILEGIVKPSQSSGVNYKELPVRWMLHNGYPVPPELQQTAARISMPSASPDLSDGISPHAGIDERSFAGELWQDVRTTVEIHRDTIRHNFLKFKDLSSRRTPGVLWQYRYFLGRLGKQRMREAKVQATDYLILLLAGACLGTIGKTGEENFGAIGYTYTIIAVSLLCKIAALRSFSLDKLQYLRERASGMSSLAYFLAKDTIDHFNTVIKPLVYLSMFFFFTNPRSSFAENYVVLLCLVYCVTGIGYAFAIFFHPGSAQLWSVLVPVVLTLVATRTQDNEVLKNISNLCYPKWALEAFVIANTEKYYGVWLITRCGALSKSDYNLHEWTLCIGILILTGIISRLIAFFGMITFRKK >KJB73530 pep chromosome:Graimondii2_0_v6:11:56066008:56072210:1 gene:B456_011G238200 transcript:KJB73530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMGLKKLKICSLWSVSIWGFVVLSLLVNMVQCQNFNDYEVNNPDVLPLVTTLVNSRLSNLTTVLSKDISKQASFCIKDPEAEWNKAFNFSSNLEFLASCIEKTQGSFMQRVCTAAEAIFYFDTIFKGSNGNTLKPNRNCNLTSWVSGCEPGWACRTPSNEPDLENSQVIPSRTNSCQPCCEGFFCPRGLTCMIPCPLGSHCPVAKLNDQTGICEPYSYQLPPGKQNHTCGGANVWADVHSSGEVFCSAGSYCPTTVQKELCSKGHYCRKGSTSEKRCFKLTSCNASTSTQDLHAYGIMLIVATVTLLLIIYNCSDQVLTTRERRLAKAREAAARSARETAKARKRWIAAKNAAKKHASELQSHISQKFSFKKSTKDPEELKILDRTRFEADEDSYAPAYTSASSESLSSSAASKGQMHEIEDDIEKHDRFDVSSHDRKSKGHTTKGKQPHTNSQIFKYAYAELEKEKAKQEENKDLTFSGVVSMATNPEIRKRPLIEVSFKDLTLTLKGKDKHLLRCVTGKIKPGRITAVMGPSGAGKTTFISALAGKAMGCKMTGLILINGKNESIRSYRKIIGYVPQDDIVHGNLTVEENLWFNAKCRLPAHLSKPDKVLVVERVIEALGLQMVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSLQLLRALRHEALEGVNICMVLHQPSYSLFQMFDDLVLLAKGGFTVYHGSAKKAEQYFAGLGIHVPDRVNPPDHFIDILEGIVKPSQSSGVNYKELPVRWMLHNGYPVPPELQQTAARISMPSASPDLSDGISPHAGIDERSFAGELWQDVRTTVEIHRDTIRHNFLKFKDLSSRRTPGVLWQYRYFLGRLGKQRMREAKVQATDYLILLLAGACLGTIGKTGEENFGAIGYTYTIIAVSLLCKIAALRSFSLDKLQYLRERASGMSSLAYFLAKDTIDHFNTVIKPLVYLSMFFFFTNPRSSFAENYVVLLCLVYCVTGIGYAFAIFFHPGSAQLWSVLVPVVLTLVATRTQDNEVLKNISNLCYPKWALEAFVIANTEKYYGVWLITRCGALSKSDYNLHEWTLCIGILILTGIISRLIAFFGMITFRKK >KJB73619 pep chromosome:Graimondii2_0_v6:11:56660672:56664127:-1 gene:B456_011G240700 transcript:KJB73619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MANDYKARSLEETPTWAVAVVCFVLVVISIFIEHAIHIVGKWFKKRHKTSLYEALEKIKAELMLMGFISLLLTVFQGPISEICIPAHVANTWHPCNTKTEAEKYSEKSSGRKLVEFSDDDSFYIPRRSLASKSDKCAEQGKVAFVSAYGIHQLHIFIFVLAVCHILYCIIIYALGRTKMRKWKAWENETKTIEYQFYNDPERFRFARDTSFGRRHLSFWSRSTLTLWIVCFFRQFFGSVTKVDYLTLRHGFIMAHLAPGNETKFDFQKYIKRSLEDDFKVVVGISPIIWFIAVLFLLAYTHGWYSYLWLPFIPLIIILMVGAKLQVIITKMGLRIQDRGDVVKGAPVVQPGDDLFWFGRPSFLLFLIHVVLFTNAFQLAFFVWSMYEFTIRSCYHEHIEDVIIRVSMGVIIQFLCSYVTLPLYALVTQMGTNMRPTIFNDRVAAALKNWHHTAKKHTKQSRLLHSENTTPFSSRPATPTHGMSPVHILHKHPQRSETYGISSGHSVDHWDPGLFHSPLQSHEINDPIHDRTQIEMRDVDRTVQESGSSQMDAETPQTIRTQHEIDIIPSDFSFAKN >KJB73875 pep chromosome:Graimondii2_0_v6:11:58746010:58747514:-1 gene:B456_011G257800 transcript:KJB73875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLEIYDKDGHPEHKLRLERSEVPFICGGCKELGFGLRYQWTRICDICALDIRGFLYQCSRGDYDLHPHCASLPLTFTLPGSNEVIKLREKIESRCLKCQRKERASGRVQGLSYVSSDGMLCYHVACLKEACLDNWTMGYFQLDALANEERKILALQNLAPNQEVRIRAGQSANAMRGIRLLITFLKLVVSAVLGEPFTLVSTLFQISQG >KJB70626 pep chromosome:Graimondii2_0_v6:11:8512774:8513317:1 gene:B456_011G083800 transcript:KJB70626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSIKIIQQALEGISGGPYENLEIRCFHQERDPEWNDFEYRFISKKPSPIFGLSRQEMCTRMESPKGELGKFLTEDQRGFTWRWKILVNRMKLANIMMILGTIDIIMGEMDIVNKRKLKR >KJB69731 pep chromosome:Graimondii2_0_v6:11:2937654:2939606:-1 gene:B456_011G039300 transcript:KJB69731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTSSNVHLHDIPDVILSNIFSLETETRTRNAMSLVCLKWLLLERSTRKSLTLRGNIRNLFLLPTCFRAVTHLDLSFLSPWGYPLLDSFSNPLVLAQFLRHAFPSVVSLIAYVRNPLTLHLLASQWPNLQHVKLVRWHQLWPAAPVGSDLVPLFDHCPMLSSLDVSHFYCWTEDLPPVIQAYPSIATSLSHLNILKHDSSTDGFKSHQLLSITACCPNLRKLLATCIFNPRFIGFISDQTLLTLALNCPLLSLLHLADSTSMSNVRPNLIEDASISFATLGDVFSKLPLLEELVLDVCHNVKHTWPALELLNTKCPMLKSLRLGQFQGICRGIASPPDGVALCQGLESLSIKNCTDLTDKALIAISHGCHRLSKFEVLGCNQLTRKGMWEFTHNLRKTLVDVKISYCKNLNAVSSLQALEPIRDRIVKLHIDCVWASIIGEEKSLKNWSKLKYLSLWIAVGELLNPLSLSGLNGCPSLEEIQIKIEGDCRDQPKPFMDAFGLSSLTCYPNLTRMILDCSGVTGYALTAPVGHTDLSLWERFFLSGIQDSTLNELNYWTAQDVDVNQRCLFLPAAGLLAQCGSLRKLFIHGTANEHLMMFLLGNPTLRDVQLREDYYPAPDNDTITEMRVGSCCRFEDALNSSSRHVPD >KJB74238 pep chromosome:Graimondii2_0_v6:11:61373445:61376249:1 gene:B456_011G2819002 transcript:KJB74238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKSSTAFEELVGIDYQKKTILKLINQNDCRVIGLWGMAGIGKTTLANVVYHEICSKFKSCWFLQNVGEKIRKQGMESLRNNFLSKILDQEIDVLTPSIGSAFIRERLNNKKVIVVVDDVDDPDLIDYLGVKHFGDGSKIILISRDRQVLKNGGAEKIHKVNELNEKSSLQLFSTFAFKQLNPTANFRDLSNQFVRYAQGNPLALKVLGSKLYTKSRKEWESEVDRLKEYGQPKISQILKSSFDELDELEKNLFLDIACFFKGKYKKEVEDILCSLYNGAVCGISNLVDKCLLDTIHFQDMLEEDLRLQDLRRSRSASTCQSAFAGRPLIISMHDILEEMGKDIIRQEATGIGNCSRLWSPNNVHQMLRYNKGNEAIKGIKLDMSQIDNLKLSPTVFENMLNLRFIHFYLPRKFGECWNKKLLADQVDIVSLPDELRYLCWEYYPFKSLSSSFNPKNLVVLKLPHGDMEQLWNGDNHQ >KJB68762 pep chromosome:Graimondii2_0_v6:11:228105:234451:-1 gene:B456_011G002700 transcript:KJB68762 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-dehydrocholesterol reductase [Source:Projected from Arabidopsis thaliana (AT1G50430) UniProtKB/Swiss-Prot;Acc:Q9LDU6] MTDILFIYTSNGLHKERFIISIEVKQRPKRREQRLRSDQKMAAAPETKTVHSPALTYFSMLSLLSLCPPFVILLWYTMVHADGSVAQTWNYLKQHGLQGFIDIWPRPTAIAWKIIFVYGAFEAALQLLLPGKRVEGPISPTGNRPVYKANGMAAYFVTLVTYISLWWFEIFNPTVVYDHLGEIYSALIFGSLIFCVFLYIKGHVAPSSTDSGSSGNFIIDFYWGMELYPRIGKNFDIKVFTNCRFGMMSWAVLAVTYCIKQYELNGKVSDSMLVNTTLMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVNLGMQLALYILVAGVLCIYINYDCDRQRQEFRRTNGKCKIWGKAPSKIEATYTTTSGETKTSLLLTSGWWGLARHFHYVPEILAAFFWTVPALFNHFLPYFYVVFLTILLFDRAKRDDDRCRSKYGKYWKLYCNKVPYKIIPGIY >KJB68764 pep chromosome:Graimondii2_0_v6:11:228106:234404:-1 gene:B456_011G002700 transcript:KJB68764 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-dehydrocholesterol reductase [Source:Projected from Arabidopsis thaliana (AT1G50430) UniProtKB/Swiss-Prot;Acc:Q9LDU6] MAAAPETKTVHSPALTYFSMLSLLSLCPPFVILLWYTMVHADGSVAQTWNYLKQHGLQGFIDIWPRPTAIAWKIIFVYGAFEAALQLLLPGKRVEGPISPTGNRPVYKANGMAAYFVTLVTYISLWWFEIFNPTVVYDHLGEIYSALIFGSLIFCVFLYIKGHVAPSSTDSGSSGNFIIDFYWGMELYPRIGKNFDIKVFTNCRFGMMSWAVLAVTYCIKQYELNGKVSDSMLVNTTLMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVNLGMQLALYILVAGVLCIYINYDCDRQRQEFRRTNGKCKIWGKAPSKIEATYTTTSGETKTSLLLTSGWK >KJB68763 pep chromosome:Graimondii2_0_v6:11:228106:234404:-1 gene:B456_011G002700 transcript:KJB68763 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-dehydrocholesterol reductase [Source:Projected from Arabidopsis thaliana (AT1G50430) UniProtKB/Swiss-Prot;Acc:Q9LDU6] MAAAPETKTVHSPALTYFSMLSLLSLCPPFVILLWYTMVHADGSVAQTWNYLKQHGLQGFIDIWPRPTAIAWKIIFVYGAFEAALQLLLPGKRVEGPISPTGNRPVYKANGMAAYFVTLVTYISLWWFEIFNPTVVYDHLGEIYSALIFGSLIFCVFLYIKGHVAPSSTDSGSSGNFIIDFYWGMELYPRIGKNFDIKVFTNCRFGMMSWAVLAVTYCIKQYELNGKVSDSMLVNTTLMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVNLGMQLALYILVAGVLCIYINYDCDRQRQEFRRTNGKCKIWGKAPSKIEATYTTTSGETKTSLLLTSGWWGLARHFHYVPEILAAFFWTVPALFNHFLPYFYVVFLTILLFDRAKRDDDRCRSK >KJB71608 pep chromosome:Graimondii2_0_v6:11:20184587:20185949:1 gene:B456_011G133100 transcript:KJB71608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDDLRRGKPTNHKVFCEDIAFLAGDALLAFAFEHVAISTVGVKPGRIVRAIGELAKSIRAEGLVAGPVVDINSEGLTDVGLDHLEFIHVHKTAALLEAVVVLGAILGGGCDEDVERLRKFAMYIGLLFQVVDDILDVTKSSKELGKTAGKDLLADKVTYPKLIGIEKSKEFAEKLRSDSLELLQGFDSEKAAPLIALANCIAYRQN >KJB68767 pep chromosome:Graimondii2_0_v6:11:2162670:2164162:1 gene:B456_011G029400 transcript:KJB68767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding-like protein 3 [Source:Projected from Arabidopsis thaliana (AT2G33810) UniProtKB/Swiss-Prot;Acc:P93015] MQNPEMATSKAEGKRRLKEMAEEEEEEEEDEDNSTTGDDDKKKKGKRGSSTVVGGSCPPACQVENCTADMTDAKRYHRRHKVCEFHAKAPVVRVAGIHQRFCQQCSRFHELSEFDETKRSCRRRLAGHNERRRKSSSEYHGEGSNY >KJB68768 pep chromosome:Graimondii2_0_v6:11:2162692:2164217:1 gene:B456_011G029400 transcript:KJB68768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding-like protein 3 [Source:Projected from Arabidopsis thaliana (AT2G33810) UniProtKB/Swiss-Prot;Acc:P93015] MVLIHDSPLLLLLFLPFFNLIISQRDYFFILPHRKPLHLIHLLFSFVIFKQNPEMATSKAEGKRRLKEMAEEEEEEEEDEDNSTTGDDDKKKKGKRGSSTVVGGSCPPACQVENCTADMTDAKRYHRRHKVCEFHAKAPVVRVAGIHQRFCQQCSRFHELSEFDETKRSCRRRLAGHNERRRKSSSEYHGEGSNY >KJB73897 pep chromosome:Graimondii2_0_v6:11:59034623:59036091:1 gene:B456_011G259700 transcript:KJB73897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLNLLNHRASRSFLVECEALKSIRHRNLVKVLTAISGIDYQGNDFKALVYEFMENGSLEDWLHSSVGMNEPETMRNLNFIQRVNVAVDVAHALEYLHHRCETPIIHCDLKPSNILLDEEMVGHISDFGLAKILSGDELNYSANESSSLGLRGTIGYAPPEYGMGSELSTNGDVYSYGILLLEMLTGKRPTNERFKEGLSLHNFVKAALPNRVVEIIDPILLQESVRGGTVGDITLNENNLGNDKYLQCLNSIFKIGLTCSAESPSERMDMSDVVTKLCSIRDKLLRPTRLYRGIRTAYDAKPTVAGI >KJB73896 pep chromosome:Graimondii2_0_v6:11:59034623:59036091:1 gene:B456_011G259700 transcript:KJB73896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLNLLNHRASRSFLVECEALKSIRHRNLVKVLTAISGIDYQGNDFKALVYEFMENGSLEDWLHSSVGMNEPETMRNLNFIQRVNVAVDVAHALEYLHHRCETPIIHCDLKPSNILLDEEMVGHISDFGLAKILSGDELNYSANESSSLGLRGTIGYAPPEYGMGSELSTNGDVYSYGILLLEMLTGKRPTNERFKEGLSLHNFVKAALPNRVVEIIDPILLQESVRGGTVGDITLNENNLGNDKYLQCLNSIFKIGLTCSAESPSERMDMSDVVTKLCSIRDKLLRPTRLYRGIRTAYDAKPTGI >KJB70159 pep chromosome:Graimondii2_0_v6:11:5044229:5045704:-1 gene:B456_011G061500 transcript:KJB70159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATIMSEPMVVSAPSPETQATSAKLAAQSDVQFAKCDCCGLTEECTPAYIETVRQRYQGKWICGLCAEAIKDEIIRTERLISTEEAMARHMNFCQKFVSSGPPPDPTVHLISAMRSILRKSLESSRGLRSTPPISPTGKVSKIRVQLGLNVVSLPCLVDRGIRDDGKGIKSLD >KJB70158 pep chromosome:Graimondii2_0_v6:11:5044481:5045005:-1 gene:B456_011G061500 transcript:KJB70158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATIMSEPMVVSAPSPETQATSAKLAAQSDVQFAKCDCCGLTEECTPAYIETVRQRYQGKWICGLCAEAIKDEIIRTERLISTEEAMARHMNFCQKFVSSGPPPDPTVHLISAMRSILRKSLESSRGLRSTPPISPTGKVSKIRVQLGLNVVSLPCLVDRGIRDDGKGIKSLD >KJB70157 pep chromosome:Graimondii2_0_v6:11:5044229:5045769:-1 gene:B456_011G061500 transcript:KJB70157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATIMSEPMVVSAPSPETQATSAKLAAQSDVQFAKCDCCGLTEECTPAYIETVRQRYQGKWICGLCAEAIKDEIIRTERLISTEEAMARHMNFCQKFVSSGPPPDPTVHLISAMRSILRKSLESSRGLRSTPPISPTGKVSKIRVQLGLNVVSLPCLVDRGIRDDGKGIKSLD >KJB70267 pep chromosome:Graimondii2_0_v6:11:5573377:5576978:1 gene:B456_011G065700 transcript:KJB70267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILTWTPPSLVAVTASWDTQQRPSYNPNAPRKLKPTPNLKPSPPITLPTRTDPSVFDILKRPTQEVTPVKVDLDDTYLGYERWLPTPPKVEKPRSVFNAATLAYIGDCIYELYARRHFLYPPLSIEEYNDRVTSVVRCEAQDALLQELLNDSFLSNTERDVLRWGKNINSAKTRTKKRAGAAVYNRASSLETLIGYLYLTNVNRLEKLMIRLGFSTGASAEMILKEINGAKPVQ >KJB70266 pep chromosome:Graimondii2_0_v6:11:5573377:5576978:1 gene:B456_011G065700 transcript:KJB70266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILTWTPPSLVAVTASWDTQQRPSYNPNAPRKLKPTPNLKPSPPITLPTRTDPSVFDILKRPTQEVTPVKVDLDDTYLGYERWLPTPPKVEKPRSVFNAATLAYIGDCIYELYARRHFLYPPLSIEEYNDRVTSVVRCEAQDALLQELLNDSFLSNTERDVLRWGKNINSAKTRTKKRAGAAVYNRASSLETLVQNQCSR >KJB73765 pep chromosome:Graimondii2_0_v6:11:57613641:57615893:-1 gene:B456_011G249800 transcript:KJB73765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERLRTAARTRNVSHLYSLIQRDGNVLRHFGEMEFVETPLHIAAEDGCIRFAMEMDLKPSFSRKLNQQGLSPLHLVVRKGHRRVVLRFLEIDKDLARVKGKNAADEGCIGFAMEMMKLKPSFARKLNQQGLSPIHLALEKGHKEMVLRFLQMDKDLVRVRGKNGETPLHYISKVGNHDSLLDRFLKACPDCIRDVTTENRSALHIATENNRLDVVQMLKLLLSCKADKHATNQSGLTALGVAEQHNNRESIRILRGCFIPGVSNIKYEWEKQVVKYVAKASSIIFNDLDNISSDDRNALLVVLGLLLTGTYQATLSPPGSVSQGDDTSSRGKSVLAQTDFLLFYIPTYVVFMVTFFLILALLKPFPHGFKKTIQVLLAFFAICFDQSISFIAPTDFALKVMEVFTLLFFVLTLVMFFTDRVSKPSVAILGCWLTPSELFDFVKGIPPDVDTLFLRLAIDGCWLFLFVKDDFWEGTIIVIGYCSYCIMYD >KJB69210 pep chromosome:Graimondii2_0_v6:11:966482:970074:-1 gene:B456_011G013600 transcript:KJB69210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVKNRGEYASKHVLPKKLAILLCFASFFAGMFFTNRMWMVPDAKSIVKKTSRTGDEQHVDCDMKIKVLKNEDNSSRGISGSQHSIETVDKAISDLEIKLMAARAERETVMKDTIISEDLKNVELTSRRKYLMVIGINTAFSSRKRRDSVRATWMPQGGKLKQLEEEKGIIIRFIIGHSSTSGGILDKAIEAEERMHGDFLRLQHVEGYLELSAKTKTYFSTAVSLWDAEFYVKVDDDVHVNLATLGMTLTEHRKKPRVYIGCMKSGPVLARKGVKYHEPEYWKFGEVGNKYFRHATGQLYVISKDLATYILINQDVLHKYANEDVSLGSWFIGLDVEHVDDRRLCCGTPPDCEWKAQAGNICAASFDWKCSGICRSVERIMEVHEQCGEDKNALWTTNFVQTTRSSNSGKIHMREQA >KJB69212 pep chromosome:Graimondii2_0_v6:11:965933:970339:-1 gene:B456_011G013600 transcript:KJB69212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIALEAFQGLNILLMDKAISDLEIKLMAARAERETVMKDTIISEDLKNVELTSRRKYLMVIGINTAFSSRKRRDSVRATWMPQGGKLKQLEEEKGIIIRFIIGHSSTSGGILDKAIEAEERMHGDFLRLQHVEGYLELSAKTKTYFSTAVSLWDAEFYVKVDDDVHVNLATLGMTLTEHRKKPRVYIGCMKSGPVLARKGVKYHEPEYWKFGEVGNKYFRHATGQLYVISKDLATYILINQDVLHKYANEDVSLGSWFIGLDVEHVDDRRLCCGTPPDCEWKAQAGNICAASFDWKCSGICRSVERIMEVHEQCGEDKNALWTTNFVQTTRSSNSGKIHMREQA >KJB69211 pep chromosome:Graimondii2_0_v6:11:965933:970339:-1 gene:B456_011G013600 transcript:KJB69211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVKNRGEYASKHVLPKKLAILLCFASFFAGMFFTNRMWMVPDAKSIVKKTSRTGDEQHVDCDMKIVLKNEDNSSRGISGSQHSIETVDKAISDLEIKLMAARAERETVMKDTIISEDLKNVELTSRRKYLMVIGINTAFSSRKRRDSVRATWMPQGGKLKQLEEEKGIIIRFIIGHSSTSGGILDKAIEAEERMHGDFLRLQHVEGYLELSAKTKTYFSTAVSLWDAEFYVKVDDDVHVNLATLGMTLTEHRKKPRVYIGCMKSGPVLARKGVKYHEPEYWKFGEVGNKYFRHATGQLYVISKDLATYILINQDVLHKYANEDVSLGSWFIGLDVEHVDDRRLCCGTPPDCEWKAQAGNICAASFDWKCSGICRSVERIMEVHEQCGEDKNALWTTNFVQTTRSSNSGKIHMREQA >KJB71406 pep chromosome:Graimondii2_0_v6:11:16929765:16931245:-1 gene:B456_011G121700 transcript:KJB71406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQVQVGAPPADKVVVVPDVPLAEKPPVAAAAAKEPPPVPESEEELVKQKQVEDAEALETKISDSVADGDDEEKVPQSGHYKEESTRVADLLENEKKAVEELKVLVREALNKHEFGGFAMPQQQQQQQPKDDSAKEEPKPKAEAVTETVAETKEESIAQAETGDDEEKVSTATVRSDAVEDDGAKTVEAIEDTIVSVSASVQPEQPPEAASKEPSDAKPNVEGKDAETVSNKVLPQEVSIWGIPLLADERSDVILLKFLRARDFKVKEAFTMLQNTIRWRKEFGIDELVEQDFGNDLEKVVFMHGFDKEGHPVCYNVYGEFQNKELYQKTFSDEEKRQNFLRWRIQFLEKSIRKLDFSPGGICTIVQINDLKNSPGLTKWELRQATKQALQLLQDNYPEFVARQVFINVPWWYLAVNKMISPFLTQRTRSKFVFAGPSKSAENLFRFVV >KJB71408 pep chromosome:Graimondii2_0_v6:11:16928683:16931360:-1 gene:B456_011G121700 transcript:KJB71408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQVQVGAPPADKVVVVPDVPLAEKPPVAAAAAKEPPPVPESEEELVKQKQVEDAEALETKISDSVADGDDEEKVPQSGHYKEESTRVADLLENEKKAVEELKVLVREALNKHEFGGFAMPQQQQQQQPKDDSAKEEPKPKAEAVTETVAETKEESIAQAETGDDEEKVSTATVRSDAVEDDGAKTVEAIEDTIVSVSASVQPEQPPEAASKEPSDAKPNVEGKDAETVSNKVLPQEVSIWGIPLLADERSDVILLKFLRARDFKVKEAFTMLQNTIRWRKEFGIDELVEQDFGNDLEKVVFMHGFDKEGHPVCYNVYGEFQNKELYQKTFSDEEKRQNFLRWRIQFLEKSIRKLDFSPGGICTIVQINDLKNSPGLTKWELRQATKQALQLLQDNYPEFVARQ >KJB71407 pep chromosome:Graimondii2_0_v6:11:16928606:16931442:-1 gene:B456_011G121700 transcript:KJB71407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQVQVGAPPADKVVVVPDVPLAEKPPVAAAAAKEPPPVPESEEELVKQKQVEDAEALETKISDSVADGDDEEKVPQSGHYKEESTRVADLLENEKKAVEELKVLVREALNKHEFGGFAMPQQQQQQQPKDDSAKEEPKPKAEAVTETVAETKEESIAQAETGDDEEKVSTATVRSDAVEDDGAKTVEAIEDTIVSVSASVQPEQPPEAASKEPSDAKPNVEGKDAETVSNKVLPQEVSIWGIPLLADERSDVILLKFLRARDFKVKEAFTMLQNTIRWRKEFGIDELVEQDFGNDLEKVVFMHGFDKEGHPVCYNVYGEFQNKELYQKTFSDEEKRQNFLRWRIQFLEKSIRKLDFSPGGICTIVQINDLKNSPGLTKWELRQATKQALQLLQDNYPEFVARQVFINVPWWYLAVNKMISPFLTQRTRSKFVFAGPSKSAENLFRYIAAEQVPVRYGGLSKDGEFGNTDAVTEITVKPAAKHTVEFPVTEACLLTWEVRVVGWEVNYGAEFVPSGEDSYTIIIQKSKKVGCSEGEVVCDNFKVGEPGKVVLTIHNPTSKKKKLLYRLKTMPASA >KJB74298 pep chromosome:Graimondii2_0_v6:11:61800807:61805632:-1 gene:B456_011G285700 transcript:KJB74298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSFRAQQETAMQTAALKQRQQLRASMMKDKEEELALFLEMRKREKEQSSFLNHCSEDFDAPLGSIPGTSPIFNLSASATAPARKMGGAADDFLNSESDKNDYEWLLTPPGTPLFPSLESQKTVMSQIGAPKASPTVLKSRLANPQPESAARSNLSPKQPALSSGLNSTGIRRPSSSGGPGSRPATPTGRPTLTGASKPTRSSTPTSRATLTSTRSSSSAAKPVTSAAKPAISATKSATKPVVHTTKPTVSAAKSASSTKPTVSARSATPTRSTARSSTPPARPSIPSSKPVSRAATPTRRPSSAANVSAPPIKSSPSVTKPTPSASRNPVPSRGGASPVVKSRPWKPSEMPGFSHDAPPNLRTTLPDRPLSATRGRPGAPSSRSSSVEPAPAGRPRRQSCSPSRGRLPNGSMLHVSGCSVPAISRGYSKVSDNVSPVVIGNKMVERVINMRKLVPPKQDDKHSPHGNLSVKSSSPDSSGFGRTLSKKSLDMAIRHMDIRRRIPCNLRPLMTNIPASSMYSVRSGPTRSRNISVSDSPLATSSNASSELSVNNNGICLDGSEIEDDIGSEIGGRSPASMHAR >KJB72484 pep chromosome:Graimondii2_0_v6:11:43680174:43682141:1 gene:B456_011G184100 transcript:KJB72484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKKKKTFMFIRLVSAAGTGFFYVKRKSAKKVAEKLEFRKYDPRVNRHVLFTEQKMK >KJB72941 pep chromosome:Graimondii2_0_v6:11:49686953:49689856:-1 gene:B456_011G205400 transcript:KJB72941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMRTAFEGMIKDIKGRSAFYKQDWTNGLRSGFRILAPTFYIFFASALPVIAFGEQLSRDTDDALGAVETLTSATSCGIIHSILGGQPLLIVGVAETTIIMYTYLYHFCKQRPDLGEGLGMLITVLFLQEAIKGVISEFHVPKGENPKLEKYQFPWLYTNGLLAIIFSFGVLLTSLKTLKARLWRYGTGWLRGFVADYGVPLMILCWTALSYTIPGQVSSGVPRRLFCPQLWDPETFHHWTVIKDMGKVPIMYIFVAIIPAVMIAGLYFFDHSVASQMAQQKEFNLKIPSAYHYDILLLGVMLKNLKEAVMKRDGKAIAKENSDPEKYIDAYLPVRVNEQRMSNLLQSLLVGLSLSALPIFPTAGILFPMPFFLLISIRQYILPKLFTPECLRELDAAEYQEIVGKPCKSIDKVVFRPERESTSEGTKDSICDAEILDEMTTHRGELKFRKEERLHKLIILVLEYGIRVRP >KJB69363 pep chromosome:Graimondii2_0_v6:11:1345913:1347649:1 gene:B456_011G019400 transcript:KJB69363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTLASFPGSFKKLFGYLMDETKDLKVLFHDFEDGAEGFELVAARFCYNKKSLDCISFWTWSELLVALKECQDLLSASDSSLILEKILDCVVTRLASLVVASPCTCSSENTRISWWFEDLLVLKIDLIDKVIRMSISRNIDHAMISKFLLCYQRSRFLTATPTEKCKIMEVMINLLSLLDRSSFSCKLLFGILRVVSSLKISSHHKSILENLIGSQLDRATIDFLLVPSSRRKGYVYDVNLVLRLVKAFYIEGRCFVLASRLRKVASLVDSYLVEVAADCHLSPSKFAALVLVLPDDARESHDRLFQAIDIYLEVHGGLCEAKKMKICSALNYAKLSTDALKHLARNPKFPSRIAIQVFINQQSKLENLFEDKTHLVDTFSSSVFAEESIDEKVSSDQVLVYAKRINLPNKAEHLDVQLQAMQCTITELEKYCGIMQTQIGNIPRTRLSSLGNNARFLPKLCS >KJB69362 pep chromosome:Graimondii2_0_v6:11:1345104:1347631:1 gene:B456_011G019400 transcript:KJB69362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKKTLASFPGSFKKLFGYLMDETKDLKVLFHDFEDGAEGFELVAARFCYNKKSLDCISFWTWSELLVALKECQDLLSASDSSLILEKILDCVVTRLASLVVASPCTCSSENTRISWWFEDLLVLKIDLIDKVIRMSISRNIDHAMISKFLLCYQRSRFLTATPTEKCKIMEVMINLLSLLDRSSFSCKLLFGILRVVSSLKISSHHKSILENLIGSQLDRATIDFLLVPSSRRKGYVYDVNLVLRLVKAFYIEGRCFVLASRLRKVASLVDSYLVEVAADCHLSPSKFAALVLVLPDDARESHDRLFQAIDIYLEVHGGLCEAKKMKICSALNYAKLSTDALKHLARNPKFPSRIAIQVFINQQSKLENLFEDKTHLVDTFSSSVFAEESIDEKVSSDQVLVYAKRINLPNKAEHLDVQLQAMQCTITELEKYCGIMQTQIGNIPRTRLSSLGNNARFLPKLCS >KJB70439 pep chromosome:Graimondii2_0_v6:11:6899853:6900814:1 gene:B456_011G073200 transcript:KJB70439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein THYLAKOID ASSEMBLY 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G27750) UniProtKB/Swiss-Prot;Acc:Q9LVW6] MAASLRFNPTSIPSLYPISTYKSFTPVRCGPRDNRGPLVKGRILSTEAIQAIQSLKRAQRNSSSTATVTPLPSLSRLIKSDLLAALRELLRQDQCALAVHVLSTVRSEYPPPDLSLYADVVVALARNHLKDEIDGLIEEIGSIECDDEKALVRLIKGVTGAGRKESTVRICGLMKENGVGSRKRVGEYVVKVLSKGLRRFGEVDLALEVEREFGELSRVNSDKLTIY >KJB74210 pep chromosome:Graimondii2_0_v6:11:61211321:61214654:-1 gene:B456_011G280300 transcript:KJB74210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKKAETANKNAKKANLLDHGSIKHILDESVTEIVKSRGYVEDVRMSNIRLLLGTIIIVIALVAQFYKKKFPENRDFLIVFNGVLQLVIYTKEKNAILFTYPPAGSVTSTGLVVSSKLPRFSDLYTLTIASADPKSISAGKPVELTKSVTQWFTKDGVLVEGLFWKDVETLINDYATEPKKKK >KJB72780 pep chromosome:Graimondii2_0_v6:11:47541865:47542459:1 gene:B456_011G197000 transcript:KJB72780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTYEFEVTSPVAPTRLFKAFVLEGAKSVELEDDGKPGSIVKINFVEGLPFQYLKHMIGRHYENNLSYSYSLIEGGPLGDKLEKISYENQFVAAASGGSVCKSSIKFYTVGDYIITEHEIKALIQRMR >KJB69121 pep chromosome:Graimondii2_0_v6:11:513334:515395:1 gene:B456_011G006400 transcript:KJB69121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSPTKQKTMTNQSKSQSSWGSSMVQGLKADKKPKAHIVTLQTKKLPLSNSDAANAKQKNPSLVSHSRAVEMSKEVKSTRNLEIEAVELRRLNKELQLEKRSLALKVSSLETQLASLVKANQRDVAKLKAEASMLRHTNENLGKQIEGLQMRQLNEVEELAYLRWVNSCLRDELRNSSSEKNGRKRLNLIKKIKKWSFSSHDSSTIDHAANHVEKDWKGQSNGIMCRKEMGKDGTIQRLKFLGNCDETNEKRASRIPNTPPKPSSSVSNGPKEGGCIQIPPPPPPPPPSRKFSVRSGIGSVQPAPQVVEFYHSLMKRVSRKDSHNGGTHDVPDVANVHSNMIGEIQNRSSHLLAIKADVETQGEFVNSLIKEVNNAVYHNIEDVVAFVKWLDDELSYLVSFYFIEMTTLAVAVSFT >KJB69122 pep chromosome:Graimondii2_0_v6:11:513334:517459:1 gene:B456_011G006400 transcript:KJB69122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSPTKQKTMTNQSKSQSSWGSSMVQGLKADKKPKAHIVTLQTKKLPLSNSDAANAKQKNPSLVSHSRAVEMSKEVKSTRNLEIEAVELRRLNKELQLEKRSLALKVSSLETQLASLVKANQRDVAKLKAEASMLRHTNENLGKQIEGLQMRQLNEVEELAYLRWVNSCLRDELRNSSSEKNGRKRLNLIKKIKKWSFSSHDSSTIDHAANHVEKDWKGQSNGIMCRKEMGKDGTIQRLKFLGNCDETNEKRASRIPNTPPKPSSSVSNGPKEGGCIQIPPPPPPPPPSRKFSVRSGIGSVQPAPQVVEFYHSLMKRVSRKDSHNGGTHDVPDVANVHSNMIGEIQNRSSHLLAIKADVETQGEFVNSLIKEVNNAVYHNIEDVVAFVKWLDDELSYLVDERAVLKHFEWPEKKADTLREAAFEYGDLKKLEYEILYHKHGDDDSTMPCDIALTKMATLFEKIQRTVYSIVRTRDSLMHSCKEFHIPTDWMLDNGITGKIKQGTVKLGKRYMKRVAMEVQLKATMEKDDPSMDYMLLQGVKFAFRIHQFAGGFDDETMHAFVELKNLVDLLSNNQTKK >KJB69610 pep chromosome:Graimondii2_0_v6:11:2502303:2504766:-1 gene:B456_011G033800 transcript:KJB69610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGCKALLCLFLTVVVVLLQEASARQQQYYYNVSGLRNRKQVSGCNLFQGQWVFDPSYPYYDSSGCPFIDAEFDCLKYGRPDKQYLKYSWKPDGCNLPRFDGASFLAKWRGKKIMFVGDSLSLNMWESMACMIHASVPNSKTTFVRKSPLSFVIFEDYGVTLYLYRTPYLVDIVKENVGDVLNLGSIKGGNAWIGMDLLIFNSWHWWTHKGKSQAWDYIRDGSALYKDMNRLEAYNKGLTTWANWVDTNVDPTKTKVFFQGISPTHYEGREWNQPKRSCYGEQEPLSGSTYQAGAPPSAAIVNKVLGSMNKPVYLLDITTLSQLRKDAHPSTYSGDHSGNDCSHWCLPGLPDTWNQLLYAALGM >KJB69976 pep chromosome:Graimondii2_0_v6:11:4045704:4050554:1 gene:B456_011G051700 transcript:KJB69976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFSIFLELRVPIYFPFEYPFWNRSFLGKIKKIKKRTHCFMDPEAGSVTRESRLKFYKATLCLAYQSFGVVYGDLSSSPLYVYKSTFSGRLRLHEEDDEILGVLSLVFWTLTLIPLCKYIIFVLGADDNGEGGTFALYSLLCRRAKLGLLSASDASDDDISAYNSGLPRKETVASSILKEFFDKYHSSRIVLLLLVLLGTSMVIGDGILTPSMSVLSAVNGIRIKAPSLHENYTVFIAGVILVGLFALQHFGTRNVGYLFAPILLAWLFCISVIGVYNTIYWNPHVVSALSPYYVYNFFKKAGKDGWSSLGGIVLCITGAEAMFADLGHFSQLSIRIAFTVIVYPCLVLAYMGEAAYLSKHKEDLQKSFYKAIPEVVFWPVFIIATLATMVGSQAIISGTFSVISQCRALKCFPRVKVVHTSNHIHGQIYIPEVNWILMVLCLAVLVGYRDTDTIGNAYGLAVITVMLVTTCLMFLVIVMVWKRNILGALAFVIVFGSVELLYFSACLAKVHKGGWFPLVLSLILLSTMCIWHYGTLKKQAYELNNRVCLDMLLSMGTNLGINRVPGIGLIYSNVATGVPPMFAHFVTNFPAFHRILVFVTVQSLTVPKVPANQRFVISRIGPPEFCLFQCVVRYGYKDQRNDTHDFENHLIETVSEFLRNGNNDGEAFRWETTNQQQSSPSDDVTASSFENVVSSESSKKTVRFRGVGCSKELEDLREARESGLAYMMGSTCVLACDTSSYLKKFAINIVYGFLRQNCRHPAIALGVPHTSLIEVGMVYRV >KJB69704 pep chromosome:Graimondii2_0_v6:11:2871501:2875307:-1 gene:B456_011G038400 transcript:KJB69704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHIMWVFWFFLHWFCSVHSVSDGDSLLSPKGVNYEVAALMSVKRELRDYKQVMDGWDINSVDPCTWNMVACSAEGFVISLLLQNNQLSGPIPDEIGKLSELQTLDLSGNHFVGAIPSTLGSLTHLSYLRLSKNNLSGPIPRHVANLTGLSFLDLSYNNLSGPTPKILAKGYSITGNNFLCASSEHICTDVSYPLNVAGSVSSSQVSGNHHWLLSVAIGIGFAFVVSVMLLACWVRWYRSRIMLPSYVQQDYDFEIGHLKRFSYRELQIATSNFNSKNILGQGGYGVVYKGCLPNRSVVAVKRLKDPNFTGEVQFQTEVEMIGLALHRNLLRLYGFCMTPDERLLVYPYMPNGSVADRLRDACHGKPALNWSRRMHIALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDKRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKTLDAGNGQVQKGMILDWVRTLHEERRLEVLVDRDLQGCFDTIELETVTELALQCTRPQPHLRPKMSEVLKVLEGLVQSGTEEPQGGTNHCETSAYSFSRNYSDVHEESSFIIEAMELSGPR >KJB69702 pep chromosome:Graimondii2_0_v6:11:2871464:2875311:-1 gene:B456_011G038400 transcript:KJB69702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHIMWVFWFFLHWFCSVHSVSDGDSLLSPKGVNYEVAALMSVKRELRDYKQVMDGWDINSVDPCTWNMVACSAEGFVISLEMASTGLSGLLSPSIGNLSHLRTMLLQNNQLSGPIPDEIGKLSELQTLDLSGNHFVGAIPSTLGSLTHLSYLRLSKNNLSGPIPRHVANLTGLSFLDLSYNNLSGPTPKILAKGYSITGNNFLCASSEHICTDVSYPLNGSVSSSQVSGNHHWLLSVAIGIGFAFVVSVMLLACWVRWYRSRIMLPSYVQQDYDFEIGHLKRFSYRELQIATSNFNSKNILGQGGYGVVYKGCLPNRSVVAVKRLKDPNFTGEVQFQTEVEMIGLALHRNLLRLYGFCMTPDERLLVYPYMPNGSVADRLRDACHGKPALNWSRRMHIALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDKRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKTLDAGNGQVQKGMILDWVRTLHEERRLEVLVDRDLQGCFDTIELETVTELALQCTRPQPHLRPKMSEVLKVLEGLVQSGTEEPQGGTNHCETSAYSFSRNYSDVHEESSFIIEAMELSGPR >KJB69703 pep chromosome:Graimondii2_0_v6:11:2871754:2874956:-1 gene:B456_011G038400 transcript:KJB69703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHIMWVFWFFLHWFCSVHSVSDGDSLLSPKGVNYEVAALMSVKRELRDYKQVMDGWDINSVDPCTWNMVACSAEGFVISLEMASTGLSGLLSPSIGNLSHLRTMLLQNNQLSGPIPDEIGKLSELQTLDLSGNHFVGAIPSTLGSLTHLSYLRLSKNNLSGPIPRHVANLTGLSFLDLSYNNLSGPTPKILAKGYSITGNNFLCASSEHICTDVSYPLNVAGSVSSSQVSGNHHWLLSVAIGIGFAFVVSVMLLACWVRWYRSRIMLPSYVQQDYDFEIGHLKRFSYRELQIATSNFNSKNILGQGGYGVVYKGCLPNRSVVAVKRLKDPNFTGEVQFQTEVEMIGLALHRNLLRLYGFCMTPDERLLVYPYMPNGSVADRLRDACHGKPALNWSRRMHIALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDKRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKTLDAGNGQVQKGMILDWVRTLHEERRLEVLVDRDLQGCFDTIELETVTELALQCTRPQPHLRPKMSEVLKVLEGLVQSGTEEPQGGTNHCETSAYSFSRNYSDVHEESSFIIEAMELSGPR >KJB72516 pep chromosome:Graimondii2_0_v6:11:43533749:43535166:1 gene:B456_011G182900 transcript:KJB72516 gene_biotype:protein_coding transcript_biotype:protein_coding description:AHP1 [Source:Projected from Arabidopsis thaliana (AT3G21510) UniProtKB/TrEMBL;Acc:A0A178V856] MEVGQMQRRLVDYTKSLFMEGFLDAQFLQLQQLQDESNPDFVVEVVSLFFDDSEKLLNDLTMALDQPNVDFKKVDAHVHQLKGSSSRYKALKQYDPTVSLQKLIKLVRSNHSRKTRLQNMKNEQNLGMFKLFD >KJB72518 pep chromosome:Graimondii2_0_v6:11:43533598:43535166:1 gene:B456_011G182900 transcript:KJB72518 gene_biotype:protein_coding transcript_biotype:protein_coding description:AHP1 [Source:Projected from Arabidopsis thaliana (AT3G21510) UniProtKB/TrEMBL;Acc:A0A178V856] MEVGQMQRRLVDYTKSLFMEGFLDAQFLQLQQLQDESNPDFVVEVVSLFFDDSEKLLNDLTMALDQPNVDFKKVDAHVHQLKGSSSSIGAQRVKNACIAFRSFCEEQNIDATLRCLQQVKQEYYLVKNKLETMLRVKQQIVAAGGSIPMIELGF >KJB72520 pep chromosome:Graimondii2_0_v6:11:43533749:43535166:1 gene:B456_011G182900 transcript:KJB72520 gene_biotype:protein_coding transcript_biotype:protein_coding description:AHP1 [Source:Projected from Arabidopsis thaliana (AT3G21510) UniProtKB/TrEMBL;Acc:A0A178V856] MLSFCSFNSFKMRATQILLLKLCLFSLMIQRNFLMISPWLCKKLTFSFLFSAMDVFFSSLNMSFFLYRDQPNVDFKKVDAHVHQLKGSSSSIGAQRVKNACIAFRSFCEEQNIDATLRCLQQVKQEYYLVKNKLETMLRLEQQIVAAGGSIPMIELGF >KJB72517 pep chromosome:Graimondii2_0_v6:11:43533598:43535166:1 gene:B456_011G182900 transcript:KJB72517 gene_biotype:protein_coding transcript_biotype:protein_coding description:AHP1 [Source:Projected from Arabidopsis thaliana (AT3G21510) UniProtKB/TrEMBL;Acc:A0A178V856] MEVGQMQRRLVDYTKSLFMEGFLDAQFLQLQQLQDESNPDFVVEVVSLFFDDSEKLLNDLTMALDQPNVDFKKVDAHVHQLKGSSSSIGAQRVKNACIAFRSFCEEQNIDATLRCLQQVKQEYYLVKNKLETMLRLEQQIVAAGGSIPMIELGF >KJB72519 pep chromosome:Graimondii2_0_v6:11:43534082:43534495:1 gene:B456_011G182900 transcript:KJB72519 gene_biotype:protein_coding transcript_biotype:protein_coding description:AHP1 [Source:Projected from Arabidopsis thaliana (AT3G21510) UniProtKB/TrEMBL;Acc:A0A178V856] MLSFCSFNSFKMRATQILLLKLCLFSLMIQRNFLMISPWLCKKLTFSFLFSAMDVFFSSLNMSFFLYRDQPNVDFKKVDAHVHQLKGSSSRYKALKQYDPTVSLQKLIKLVRSNHSRKTRLQNMKNEQNLGMFKLFD >KJB72515 pep chromosome:Graimondii2_0_v6:11:43533520:43535212:1 gene:B456_011G182900 transcript:KJB72515 gene_biotype:protein_coding transcript_biotype:protein_coding description:AHP1 [Source:Projected from Arabidopsis thaliana (AT3G21510) UniProtKB/TrEMBL;Acc:A0A178V856] MEVGQMQRRLVDYTKSLFMEGFLDAQFLQLQQLQDESNPDFVVEVVSLFFDDSEKLLNDLTMALDQPNVDFKKVDAHVHQLKGSSSSIGAQRVKNACIAFRSFCEEQNIDATLRCLQQVKQEYYLVKNKLETMLRLEQQIVAAGGSIPMIELGF >KJB72920 pep chromosome:Graimondii2_0_v6:11:49467833:49468193:-1 gene:B456_011G204300 transcript:KJB72920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PEEIPVKYGLHAVYIEESRQRYLVRSEIICHLPFQELIDRSSNEGKIVVYCEVVLFEHWVLESNGAQLGSMEELVEFYTC >KJB69678 pep chromosome:Graimondii2_0_v6:11:2754158:2759650:-1 gene:B456_011G036600 transcript:KJB69678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGVSDRILKDANGNISDHLRNHIHLTNCIHLKNHMHKHSPMLADRSLMRDLIVLQRSRSLRDPSASPPSWHSPSVVDLLYKKGDRGAVREGRRSLGAEVQADGRRVSVSSPPLAAMGEASGVNEGVPVTSDRSTKSGARDSRRVRREESGRRSSRTDLIGENKEPVMEQDGNDLAPDAISGNSELKNRKSKKVKGKQTQVVQIKTLSEQLNDVPLDNDDVASSNVCGRHARPEKTPEVAAVHGHSSGLNRVKRRKFRGTRRSRAAPSSREVGVQNELSVASNSFAQGSLHPKYGMEEEENEYDDRNVTRAPRNGCGIPFNWSRIHDRGKTFLDIAGRSFSCGLSDSRLRKGRSGSHGRNAPEMPVESDPSSSSAKSNAEALPLLIEASGSLDSTENARWVNDYSGELGIFADNLLKRNVDSDLASEARFGNQRKLGRNLRSRHQNITQKYMPRTFRDLVGQNLVSQALSNAVMKRKVGLLYVFYGPHGTGKTSCARIFARALNCQSVEQPKPCGVCNSCISHDMGKSRNIREVGPISNFDFESIMDLLDNMIISQLPSQYRVFIFDDCDTLSSDCWSAISKVIDRVPRRVVFILVSSSLDILPHIIVSRCQKFFFPKLKDADIIYSLQWIASREDIEIEKDAVKLIASRSDGSLRDAEMTLEQLSLLGRKISVLLVQELVGLISDEKLVDLLDLALSADTVNTVKSLRVIMETGVEPLALMSQLATVITDILAGSYDFTKERHRRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYILPISSAGTSSHHSPLPLSDVDRRHDVRKSSRGLSKNARPENLHAGSLGNFETGMVNGIHFDRKRHAASGMAPQQTSIVFTERQNLVKNRNGIEEIWLQVLEKIQVSGLKEFLYSEGKLISVSLGAAPTVQLMFSSPMTKSKAEKFRGHILQAFETVLGSSVTVEIRCEAKKDARAGVGPSQMVMDPESNSRNRMHAGVGSQAQQAGNEIVEIPASPREAKDNEHADNFESNIRGLTLADAATYRKPTLAGRRKLGELSKSQSIVRSKVSLAHVIQQAEGCTQRNGWSKRKAVSIAEKLEQENLRLEPQSKSLLCWKATRVTRRKLSRLKIRTRRPHSLLKFVSCGKCLSSKSPSLLPLPSRN >KJB69680 pep chromosome:Graimondii2_0_v6:11:2754334:2758628:-1 gene:B456_011G036600 transcript:KJB69680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGVSDRILKDANGNISDHLRNHIHLTNCIHLKNHMHKHSPMLADRSLMRDLIVLQRSRSLRDPSASPPSWHSPSVVDLLYKKGDRGAVREGRRSLGAEVQADGRRVSVSSPPLAAMGEASGVNEGVPVTSDRSTKSGARDSRRVRREESGRRSSRTDLIGENKEPVMEQDGNDLAPDAISGNSELKNRKSKKVKGKQTQVVQIKTLSEQLNDVPLDNDDVASSNVCGRHARPEKTPEVAAVHGHSSGLNRVKRRKFRGTRRSRAAPSSREVGVQNELSVASNSFAQGSLHPKYGMEEEENEYDDRNVTRAPRNGCGIPFNWSRIHDRGKTFLDIAGRSFSCGLSDSRLRKGRSGSHGRNAPEMPVESDPSSSSAKSNAEALPLLIEASGSLDSTENARWVNDYSGELGIFADNLLKRNVDSDLASEARFGNQRKLGRNLRSRHQNITQKYMPRTFRDLVGQNLVSQALSNAVMKRKVGLLYVFYGPHGTGKTSCARIFARALNCQSVEQPKPCGVCNSCISHDMGKSRNIREVGPISNFDFESIMDLLDNMIISQLPSQYRVFIFDDCDTLSSDCWSAISKVIDRVPRRVVFILVSSSLDILPHIIVSRCQKFFFPKLKDADIIYSLQWIASREDIEIEKDAVKLIASRSDGSLRDAEMTLEQLSLLGRKISVLLVQELVGLISDEKLVDLLDLALSADTVNTVKSLRVIMETGVEPLALMSQLATVITDILAGSYDFTKERHRRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYILPISSAGTSSHHSPLPLSDVDRRHDVRKSSRGLSKNARPENLHAGSLGNFETGMVNGIHFDRKRHAASGMAPQQTSIVFTERQNLVKNRNGIEEIWLQVLEKIQVSGLKEFLYSEGKLISVSLGAGRRKLGELSKSQSIVRSKVSLAHVIQQAEGCTQRNGWSKRKAVSIAEKLEQENLRLEPQSKSLLCWKATRVTRRKLSRLKIRTRRPHSLLKFVSCGKCLSSKSPR >KJB69679 pep chromosome:Graimondii2_0_v6:11:2754158:2759650:-1 gene:B456_011G036600 transcript:KJB69679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGVSDRILKDANGNISDHLRNHIHLTNCIHLKNHMHKHSPMLADRSLMRDLIVLQRSRSLRDPSASPPSWHSPSVVDLLYKKGDRGAVREGRRSLGAEVQADGRRVSVSSPPLAAMGEASGVNEGVPVTSDRSTKSGARDSRRVRREESGRRSSRTDLIGENKEPVMEQDGNDLAPDAISGNSELKNRKSKKVKGKQTQVVQIKTLSEQLNDVPLDNDDVASSNVCGRHARPEKTPEVAAVHGHSSGLNRVKRRKFRGTRRSRAAPSSREVGVQNELSVASNSFAQGSLHPKYGMEEEENEYDDRNVTRAPRNGCGIPFNWSRIHDRGKTFLDIAGRSFSCGLSDSRLRKGRSGSHGRNAPEMPVESDPSSSSAKSNAEALPLLIEASGSLDSTENARWVNDYSGELGIFADNLLKRNVDSDLASEARFGNQRKLGRNLRSRHQNITQKYMPRTFRDLVGQNLVSQALSNAVMKRKVGLLYVFYGPHGTGKTSCARIFARALNCQSVEQPKPCGVCNSCISHDMGKSRNIREVGPISNFDFESIMDLLDNMIISQLPSQYRVFIFDDCDTLSSDCWSAISKVIDRVPRRVVFILWIASREDIEIEKDAVKLIASRSDGSLRDAEMTLEQLSLLGRKISVLLVQELVGLISDEKLVDLLDLALSADTVNTVKSLRVIMETGVEPLALMSQLATVITDILAGSYDFTKERHRRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYILPISSAGTSSHHSPLPLSDVDRRHDVRKSSRGLSKNARPENLHAGSLGNFETGMVNGIHFDRKRHAASGMAPQQTSIVFTERQNLVKNRNGIEEIWLQVLEKIQVSGLKEFLYSEGKLISVSLGAAPTVQLMFSSPMTKSKAEKFRGHILQAFETVLGSSVTVEIRCEAKKDARAGVGPSQMVMDPESNSRNRMHAGVGSQAQQAGNEIVEIPASPREAKDNEHADNFESNIRGLTLADAATYRKPTLAGRRKLGELSKSQSIVRSKVSLAHVIQQAEGCTQRNGWSKRKAVSIAEKLEQENLRLEPQSKSLLCWKATRVTRRKLSRLKIRTRRPHSLLKFVSCGKCLSSKSPR >KJB69677 pep chromosome:Graimondii2_0_v6:11:2754687:2758628:-1 gene:B456_011G036600 transcript:KJB69677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGVSDRILKDANGNISDHLRNHIHLTNCIHLKNHMHKHSPMLADRSLMRDLIVLQRSRSLRDPSASPPSWHSPSVVDLLYKKGDRGAVREGRRSLGAEVQADGRRVSVSSPPLAAMGEASGVNEGVPVTSDRSTKSGARDSRRVRREESGRRSSRTDLIGENKEPVMEQDGNDLAPDAISGNSELKNRKSKKVKGKQTQVVQIKTLSEQLNDVPLDNDDVASSNVCGRHARPEKTPEVAAVHGHSSGLNRVKRRKFRGTRRSRAAPSSREVGVQNELSVASNSFAQGSLHPKYGMEEEENEYDDRNVTRAPRNGCGIPFNWSRIHDRGKTFLDIAGRSFSCGLSDSRLRKGRSGSHGRNAPEMPVESDPSSSSAKSNAEALPLLIEASGSLDSTENARWVNDYSGELGIFADNLLKRNVDSDLASEARFGNQRKLGRNLRSRHQNITQKYMPRTFRDLVGQNLVSQALSNAVMKRKVGLLYVFYGPHGTGKTSCARIFARALNCQSVEQPKPCGVCNSCISHDMGKSRNIREVGPISNFDFESIMDLLDNMIISQLPSQYRVFIFDDCDTLSSDCWSAISKVIDRVPRRVVFILVSSSLDILPHIIVSRCQKFFFPKLKDADIIYSLQWIASREDIEIEKDAVKLIASRSDGSLRDAEMTLEQLSLLGRKISVLLVQELVGLISDEKLVDLLDLALSADTVNTVKSLRVIMETGVEPLALMSQLATVITDILAGSYDFTKERHRRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYILPISSAGTSSHHSPLPLSDVDRRHDVRKSSRGLSKNARPENLHAGSLGNFETGMVNGIHFDRKRHAASGMAPQQTSIVFTERQNLVKNRNGIEEIWLQVLEKIQVSGLKEFLYSEGKLISVSLGAAPTVQLMFSSPMTKSKAEKFRGHILQAFETVLGSSVTVEIRCEAKKDARAGVGPSQMVMDPESNSRNRMHAGVGSQAQQAGNEIVEIPASPREAKDNEHADNFESNIRGLTLADAATYRKPTLAGRRKLGELSKSQSIVRSKVSLAHVIQQAEGCTQRNGWSKRKAVSIAEKLEQENLRLEPQSKSLLCWKATRVTRRKLSRLKIRTRRPHSLLKFVSCGKCLSSKSPR >KJB72985 pep chromosome:Graimondii2_0_v6:11:49933185:49934715:-1 gene:B456_011G207700 transcript:KJB72985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEKVLRMNPAYHEISYANNPVTQKEVILKIRPMIEESITDMFNKIVPIYIKVADLGCSSGPNTFITTSHIIDTIHGILFLNDLPENDFNSVFKSVPSFYDRLKKEKGDIVQERCFIGGVAGSFYHRLFPTKSLHFVHSSYGIHWLSKVPVGLEDNKGNVYMARSSPPSVFKAYADQFQKDFTNFLSLRSEEIMPQGCMVLTCTGRKNPNPSKEDYGWDLLSKSLLDLVVEGVVKEADVDSFNVPHYTPCKGEIAEIVEREGSFDIKRLQVFDANLSAVLSREEQLHNQDLDFNVYLEMGKKTANGVRAISEPLLSSHFGDAVIDKLFKRFATHVADDLSNSKFHKLTTIVVSLTKK >KJB72100 pep chromosome:Graimondii2_0_v6:11:28797216:28799559:1 gene:B456_011G159200 transcript:KJB72100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVRVCLEEKNDDISSVPPGFEPRALFTLKSKAQDTKRHERDNLICCSASTRAILVEKGTGLANDESSKITRSMSMRRRPWINYGQYDNSSEDEPDHGKLNQVTARWRLEEACRPDIEDVPVFYPTDEEFEDTLKYIASIRPRAE >KJB68729 pep chromosome:Graimondii2_0_v6:11:55971583:55974894:1 gene:B456_011G2379002 transcript:KJB68729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKNFLSIPIFSVFFVMGFVYYVTVFILIEDWVGLQTSAGSLNSMIFTTLACLCVLSFLVGVLTDPGRVPSSYIPDVEDTSFASDQEPKKNYYEGIRAAWLAKKSGLSYRHPFDISVYKNITLVLGPNTLRWFCPTSTSHLKDGVSFPTLRDSS >KJB68731 pep chromosome:Graimondii2_0_v6:11:55971583:55974894:1 gene:B456_011G2379002 transcript:KJB68731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKNFLSIPIFSVFFVMGFVYYVTVFILIEDWVGLQTSAGSLNSMIFTTLACLCVLSFLVGVLTDPGRVPSSYIPDVEDTSFASDQEPKKNVLQSKYCDKCAAYKPPRTHHCRVCKRCILRMLACGLMMLALSVTLGTLLGWHIYLITHNMTTIEYYEGIRAAWLAKKSGLSYRHPFDISVYKNITLVLGPNTLRWFCPTSTSHLKDGVSFPTLRDSS >KJB68734 pep chromosome:Graimondii2_0_v6:11:55971583:55974894:1 gene:B456_011G2379002 transcript:KJB68734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKNFLSIPIFSVFFVMGFVYYVTVFILIEDWVGLQTSAGSLNSMIFTTLACLCVLSFLVGVLTDPGRVPSSYIPDVEDTSFASDQEPKKNLACGLMMLALSVTLGTLLGWHIYLITHNMTTIEYYEGIRAAWLAKKSGLSYRHPFDISVYKNITLVLGPNTLRWFCPTSTSHLKDGVSFPTLRDSS >KJB68727 pep chromosome:Graimondii2_0_v6:11:55971534:55975108:1 gene:B456_011G2379002 transcript:KJB68727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKNFLSIPIFSVFFVMGFVYYVTVFILIEDWVGLQTSAGSLNSMIFTTLACLCVLSFLVGVLTDPGRVPSSYIPDVEDTSFASDQEPKKNVLQSKYCDKCAAYKPPRTHHCRVCKRCILRMDHHCLWINNCVGYWNYKAFFNLILYATIGSIHSSVIVISCFRQKDWNYSGTTPLKIFYLACGLMMLALSVTLGTLLGWHIYLITHNMTTIEYYEGIRAAWLAKKSGLSYRHPFDISVYKNITLVLGPNTLRWFCPTSTSHLKDGVSFPTLRDSS >KJB68730 pep chromosome:Graimondii2_0_v6:11:55971583:55974894:1 gene:B456_011G2379002 transcript:KJB68730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKNFLSIPIFSVFFVMGFVYYVTVFILIEDWVGLQTSAGSLNSMIFTTLACLCVLSFLVGVLTDPGRVPSSYIPDVEDTSFASDQEPKKNVIVISCFRQKDWNYSGTTPLKIFYLACGLMMLALSVTLGTLLGWHIYLITHNMTTIEYYEGIRAAWLAKKSGLSYRHPFDISVYKNITLVLGPNTLRWFCPTSTSHLKDGVSFPTLRDSS >KJB68733 pep chromosome:Graimondii2_0_v6:11:55971583:55974894:1 gene:B456_011G2379002 transcript:KJB68733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKNFLSIPIFSVFFVMGFVYYVTVFILIEDWVGLQTSAGSLNSMIFTTLACLCVLSFLVGVLTDPGRVPSSYIPDVEDTSFASDQEPKKNVLQSKYCDKCAAYKPPRTHHCRVCKRCILRMDHHCLWINNCVGYWNYKAFFNLILYATIGSIHSSVIVISCFRQKDWNYSGTTPLKIFYLACGLMMLALSVTLGTLLGWHIYLITHNMTTIEYYEGIRAAWLAKKSGLSYRHPFDISVYKNITLVLGPNTLRWFCPTSTSHLKDGVSFPTLRDSS >KJB68735 pep chromosome:Graimondii2_0_v6:11:55972180:55974894:1 gene:B456_011G2379002 transcript:KJB68735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHCLWINNCVGYWNYKAFFNLILYATIGSIHSSVIVISCFRQKDWNYSGTTPLKIFYLACGLMMLALSVTLGTLLGWHIYLITHNMTTIEYYEGIRAAWLAKKSGLSYRHPFDISVYKNITLVLGPNTLRWFCPTSTSHLKDGVSFPTLRDSS >KJB68728 pep chromosome:Graimondii2_0_v6:11:55971583:55973879:1 gene:B456_011G2379002 transcript:KJB68728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKNFLSIPIFSVFFVMGFVYYVTVFILIEDWVGLQTSAGSLNSMIFTTLACLCVLSFLVGVLTDPGRVPSSYIPDVEDTSFASDQEPKKNVLQSKYCDKCAAYKPPRTHHCRVCKRCILRMDHHCLWINNCVGYWNYKAFFNLILYATIGSIHSSVCIICSLSCFLKPI >KJB68732 pep chromosome:Graimondii2_0_v6:11:55971615:55974376:1 gene:B456_011G2379002 transcript:KJB68732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKNFLSIPIFSVFFVMGFVYYVTVFILIEDWVGLQTSAGSLNSMIFTTLACLCVLSFLVGVLTDPGRVPSSYIPDVEDTSFASDQEPKKNVLQSKYCDKCAAYKPPRTHHCRVCKRCILRMDHHCLWINNCVGYWNYKAFFNLILYATIGSIHSSVCNCNKLFPSEGLELQWDNSPQNFLSCVWINDACIISNTWDSLRLAYLPYNSQYDNYRVL >KJB69588 pep chromosome:Graimondii2_0_v6:11:2391300:2391849:1 gene:B456_011G032100 transcript:KJB69588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEDKDGGVIFLSSSYSSSSSGYGYVSIEKQRQRLPVYKYRTAILYLVESHATTIVVGETGSGKTAQIPQFLKEAGWADGGRVIACTQPRRQAVQEALNSYSSAFSSFS >KJB70160 pep chromosome:Graimondii2_0_v6:11:5074953:5076393:1 gene:B456_011G061600 transcript:KJB70160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFGWMQNKLNGKQGPSKSNTISATYHMKQEPREEFSDWPHGLLAIGTFGNNELKENPESQSTIQQEPIEIQDQEPCSSDDLQEFTVEEVGKLQKELTKLLSRKPNPNTKKEVASLPLDRFLNCPSSLEVDRRFSNAVCSDAGERSEEDIDRTISIILGRCKDIRGEDNKKKAIGKKSISFLLKKMFVCSGGFPPTPTLRDTLQESRMEKLLRVMLHKKIYSQNPTREPSMKKYLEDKQTPKRQKIPDENETVERKSEDGGKWVKTDSEYIVLEI >KJB73732 pep chromosome:Graimondii2_0_v6:11:57271358:57275969:1 gene:B456_011G246500 transcript:KJB73732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLSEKPHFIYTCLMLLAWVSQYVSLSTGFELNHSLTYIWPMPHELTSGNETLTVNPTLSLYLIGKGGNFKILREGFRRYKRIIFKQSSGVSIFKNLRRIRSIYDISQMRIIVNSDSDELKLGVDESYTLFITKTGGKSIAWEAIIEVNTVYGALRGLETFSQLCAYDYTTKSVQLSKAPWYIKDKPRFAYRGLLLDTSRHYFPVDVIKSIIDSMSYAKLNVLHWHIIDKQSFPLEIPKYPKLWNGAYTKWERYTVEDASKIVRFAKTRGIHVMAEVDVPGHAESWGVGYPDLWPSNSCREPLDVTKNFTFDLISGIFSDIRKIFPFELFHLGGDEVNIGCWNNTPHVKQWLKDNNMKPKDAYKYFVLKAQEIAISKNWTPVNWEETFNAFAGSLNPQTVVHNWLGPGVCPKAVAKGFKCIFSNSGVWYLDHLDVPWEQIYNAEPLEGIDNESKQKLVLGGEVCMWSETVDTSNVQQTIWPRAAAAAERLWSTKSALSAGNDTVLHRLHYFRCLLQRRGVQAAPVTNYYARQPPAGPDSCYWQ >KJB73724 pep chromosome:Graimondii2_0_v6:11:57237886:57245276:-1 gene:B456_011G246300 transcript:KJB73724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGILECIMGLLRLGWLIRFISHSVISGFTTASAVVIALSQAKYFLGYDIDRSSKIVPVIKSIIAGSDEFSWPPFVMGSTILAIIQTMKFLGKSRKHLRFLRAMGPLTAVVLGTTFVKIYHPSSITLVGDIPQGLPSFSIPKSFQYAKSLISTAVLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANIFGSFFSAYPTTGSFSRSAVNHESGAKTGLSGIISGTIMCCALLFLTPLFEYIPQCALAAIVISAVITLVDYEEAIFLWRVDKKDFLLWTITTTTTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVIVRIDAPIYFANISYIKDRLREYEVVGDKSTKRGPEVERIYFVILELAPVTYIDASAVQALKDLHQEYKSRDIQIAISNPNQEVLLTLSKAGVVEMIGKEWYFVRVHDAVQVCLQHVQTMSPKASDPSHEKSSFFQRIMKQRREDISVSELESGNSQMRSDSTQDDPQLEPLLSRRS >KJB73722 pep chromosome:Graimondii2_0_v6:11:57237881:57245466:-1 gene:B456_011G246300 transcript:KJB73722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITYASSSASAGMDITYASPSAGDLLRSSTAASGSTMPTPRTIKIIPLQHPDTSSYGTPGGFGNNYSSSSLWPNSWISRYRGKIKRMTFIDWIEMFLPCCRWIRTYRWREYFQVDLMAGTTVGIMLVPQAMSYAKLAGLEPIYGLYSGFVPIFIYAIFGSSRQLAIGPVALVSLLVSNVLSGIAESSDALYTELAILLALMVGILECIMGLLRLGWLIRFISHSVISGFTTASAVVIALSQAKYFLGYDIDRSSKIVPVIKSIIAGSDEFSWPPFVMGSTILAIIQTMKFLGKSRKHLRFLRAMGPLTAVVLGTTFVKIYHPSSITLVGDIPQGLPSFSIPKSFQYAKSLISTAVLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANIFGSFFSAYPTTGSFSRSAVNHESGAKTGLSGIISGTIMCCALLFLTPLFEYIPQCALAAIVISAVITLVDYEEAIFLWRVDKKDFLLWTITTTTTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVIVRIDAPIYFANISYIKDRLREYEVVGDKSTKRGPEVERIYFVILELAPVTYIDASAVQALKDLHQEYKSRDIQIAISNPNQEVLLTLSKAGVVEMIGKEWYFVRVHDAVQVCLQHVQTMSPKASDPSHEKSSFFQRIMKQRREDISVSELESGNSQMRSDSTQDDPQLEPLLSRRS >KJB73723 pep chromosome:Graimondii2_0_v6:11:57237886:57243544:-1 gene:B456_011G246300 transcript:KJB73723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTILAIIQTMKFLGKSRKHLRFLRAMGPLTAVVLGTTFVKIYHPSSITLVGDIPQGLPSFSIPKSFQYAKSLISTAVLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANIFGSFFSAYPTTGSFSRSAVNHESGAKTGLSGIISGTIMCCALLFLTPLFEYIPQCALAAIVISAVITLVDYEEAIFLWRVDKKDFLLWTITTTTTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVIVRIDAPIYFANISYIKDRLREYEVVGDKSTKRGPEVERIYFVILELAPVTYIDASAVQALKDLHQEYKSRDIQIAISNPNQEVLLTLSKAGVVEMIGKEWYFVRVHDAVQVCLQHVQTMSPKASDPSHEKSSFFQRIMKQRREDISVSELESGNSQMRSDSTQDDPQLEPLLSRRS >KJB73725 pep chromosome:Graimondii2_0_v6:11:57238525:57245187:-1 gene:B456_011G246300 transcript:KJB73725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITYASSSASAGMDITYASPSAGDLLRSSTAASGSTMPTPRTIKIIPLQHPDTSSYGTPGGFGNNYSSSSLWPNSWISRYRGKIKRMTFIDWIEMFLPCCRWIRTYRWREYFQVDLMAGTTVGIMLVPQAMSYAKLAGLEPIYGLYSGFVPIFIYAIFGSSRQLAIGPVALVSLLVSNVLSGIAESSDALYTELAILLALMVGILECIMGLLRLGWLIRFISHSVISGFTTASAVVIALSQAKYFLGYDIDRSSKIVPVIKSIIAGSDEFSWPPFVMGSTILAIIQTMKFLGKSRKHLRFLRAMGPLTAVVLGTTFVKIYHPSSITLVGDIPQGLPSFSIPKSFQYAKSLISTAVLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANIFGSFFSAYPTTGSFSRSAVNHESGAKTGLSGIISGTIMCCALLFLTPLFEYIPQCALAAIVISAVITLVDYEEAIFLWRVDKKDFLLWTITTTTTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVIVRIDAPIYFANISYIKDRLREYEVVGDKSTKRGPEVERIYFVILELAPVTYIDASAVQALKDLHQEYKSRDIQVE >KJB73834 pep chromosome:Graimondii2_0_v6:11:58491443:58499279:1 gene:B456_011G255600 transcript:KJB73834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNPNQLLIMRNALLSSLPLPSQFYNIGNNEAQMSYLDVLMRMETIGRNVEVDDAFSSESKTFNNGDQLGYGAFAAPYQSHFNSDLRDHQFGSVNFGTYFQPSMSLNNKLAIPHFESDIQNLQNGRKRPSIEFHQEQAPLNPTIALNEWNKNKRKKVSSFQQQQPAQQNIETVPGISDSRLNMPVRRSQKLSDKITALQKLVSPYGKTDIASVLEEASLYIKLLHQHIQNLFQMLRRSYNSLGAIHPPQETEKKKQDLRGRGLCLVPISFAQKVTEEEKTIDTNSISGTNNHP >KJB73832 pep chromosome:Graimondii2_0_v6:11:58491443:58499279:1 gene:B456_011G255600 transcript:KJB73832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNPNQLLIMRNALLSSLPLPSQFYNIGNNEAQMSYLDVLMRMETIGRNVEVDDAFSSESKTFNNGDQLGYGAFAAPYQSHFNSDLRDHQFGSVNFGTYFQPSMSLNNKLAIPHFESDIQNLQNGRKRPSIEFHQEQAPLNPTIALNEWNKNKRKKVSSFQQQQPAQQNIETVPGISDSRLNMPVRRSQKLSDKITALQKLVSPYGKNLFQMLRRSYNSLGAIHPPQETEKKKQDLRGRGLCLVPISFAQKVTEEEKTIDTNSISGTNNHP >KJB73833 pep chromosome:Graimondii2_0_v6:11:58491443:58499279:1 gene:B456_011G255600 transcript:KJB73833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNPNQLLIMRNALLSSLPLPSQFYNIGNNEAQMSYLDVLMRMETIGRNVEVDDAFSSESKTFNNGDQLGYGAFAAPYQSHFNSDLRDHQFGSVNFGTYFQPSMSLNNKLAIPHFESDIQNLQNGRKRPSIEFHQEQAPLNPTIALNEWNKNKRKKVSSFQQQQPAQQNIETVPGISDSRLNMPVRRSQKLSDKITALQKLVSPYGKTDIASVLEEASLYIKLLHQHIQNLFQMLRRSYNSLGAIHPPQETEKKKQDLRGRGLCLVPISFAQKVTEEEKTIDTNSISGTNNHP >KJB68999 pep chromosome:Graimondii2_0_v6:11:189926:192994:1 gene:B456_011G002100 transcript:KJB68999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYSKAPKMNNNDVMSDILLRLPLKDLVGCKCVCKWWNTLISDPIFKLNYSLRNPQYNISGFFLQKFLYLELHSKLLFFPCEGRVDAAPKPSLSFIEDDKGVCIQHSCNGLLICSSFRCGEEDRKYYICKPTTKQYFPLPNLECRTVFGISIAYDPNRSPHYKIVSICDSYLSKNHRQIKIYSPVTGSWKPSGKPFTVPDEDMLFNRGVFWNGSLHWIGMGNLALRFDPETELMLTMPMPPTQRKPGYFGESGGHLLLVEAYGPLTVGFNIMEMKADYSGWFVKYHLILDQVARRCQAIERANRLSILHIVHNHVEDVDESFIVIHVPGEFVSFKLRDYSFMDLQTNNHVDSGLGLWYSWEGVYPYTNTFFYL >KJB71139 pep chromosome:Graimondii2_0_v6:11:12579096:12579907:-1 gene:B456_011G107600 transcript:KJB71139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELLRPYDKEFVRMAMLKHEETFKQQVYELHRLYRIQKTLMKSFENSRTNGSFSLKNQTSRRRLDLEHSVHHHNETSEVIDESEIELTLGLPIKERQGTTLPRTWDFGPCFSSSSSESCHVTMGYRHGSKSTNDLEEQLRKEGSDQPPWILQVLTMNMSL >KJB68740 pep chromosome:Graimondii2_0_v6:11:59745308:59753293:1 gene:B456_011G2659003 transcript:KJB68740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IIANLPKEMECSGLSFFHMAYDGAVKIPLNFFKQTVGLKALDLVGMQFPSLPESIIHLADLRMLCLKKCAVDDITILGELKSLQVLNLSQSGIKELPKEMAQLTQLRLLDLRGCRELKIIPPNVLSGLSKLEELYMSGSFVEWEKGGVVENERKNASLDELNNLPCLTTLYVDILDVQMIPKYRFVETLDRFRIFIGNYGINDCYHNYEISLKALKLMLYTNIDLDNGMKMLLIKTEDLCLEGLEGVKNVLVKLNNGKDLPNLKRLHVKNGMHVQYIKMNKIGFSELCFITLENLPQLVSFCSPDERCSTKPLLLFNKQTCHWVTNLRSLIIKGCGKLEHLLSPSLARSLVQLQCFEIEDCNCLRDIILTEEIEEERKDVICFPRLNSLHIDGLPNLIFFNSGNHNIEFPLLKVLKIERCPKLIEFISQNSNQSGMHALFSEKVAVPSLEDMNIGDLSNVKMIFYNDLAPGSFKNLRKISVWGCGSLKNLFPVSIAKDLPQLEHLRITDCGVEEIVSKGDGVEEQPVRFEFPQVSYLEVSGVEKLKCFYEGKHTIVWPMLKKLKTDGSALLKIVAWEHLRLIQGNEEPVLLGEEVIPKHVVPCKGDAGTLPPVRNLRLVSARNLKHMWRKDSELDHILSNLQTLTVQNCDDWINIAAFSSSLQNLTTLNVFCCEMMANLVTPSVLKNLVQLTTIKVEDCTKMTEIVGNEGDCHQTIVVSKLKCLQLCNLKSLTSFCPWYYKFEFPCLEELVVEHCPWLKIFSEGDLSTPQLQRIKQSRYGEKWSWTSDLNTTIQQLYTEKDGLYDPDDFNISDTFPESIETWTRNPQEILGFKNLSCLQFYKCSSLKYIFTPSILLGLNLLQKIEVKECSSMEQVVRGEEEAMTHKFTFLSLLSVTIESCSNLTNFHLGSQALEFPELSYITIAECPKMTAFSSSVSRESGDASENVVGKGGIYDNTATFFSNKVVIPRLERLKLSSINIHKIWHHSSSPSIGYLNSLRVKRCHNLKYLFPSFLAKDLEQLRFLQILDCNMMEQVIFTDGLVEEHQGRNQMFFFNLRSLWLNDLPKLTSLCFENYFEFHCLASLSLGNCPLLKTFISKCVPGDEPQIGQHVQASNLAVHNSSLINEKVVFPSLKYLWIRNCDSLEEIIELERLIADESQSTSAAQSIVAETVTTKFVFPKLINLGLYKVPRLKSFYSRMHTTQWSSLKQMSIIECPKVQIFTRLCPVSQVGISNQQPLFCVNEDTFPVLEKLTLKTNDMVKGICDGQFSLQCFQNLKHLNLQFFPETSTTLPYSFIRSLPMLHKLVIDNASICQIVQSEGLSDQERHTSAFYQLKELSLSQLPELTLKTFEPSLLSFKNLTTLEVSRCDGFINLIACSTAKCLTLLERLSIDDCEMIEEIIACEAEEIQCGIVFPKLKYLQLSCLPCLASFSLAHHSLEFPVLLMVMVTKCPQMRNFCQGDLSTPRLEQMHLTRDEEGELQWEGDLNTTIKHMFDEMVRII >KJB68738 pep chromosome:Graimondii2_0_v6:11:59745308:59753293:1 gene:B456_011G2659003 transcript:KJB68738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSGLSFFHMAYDGAVKIPLNFFKQTVGLKALDLVGMQFPSLPESIIHLADLRMLCLKKCAVDDITILGELKSLQVLNLSQSGIKELPKEMAQLTQLRLLDLRGCRELKIIPPNVLSGLSKLEELYMSGSFVEWEKGGVVENERKNASLDELNNLPCLTTLYVDILDVQMIPKYRFVETLDRFRIFIGNYGINDCYHNYEISLKALKLMLYTNIDLDNGMKMLLIKTEDLCLEGLEGVKNVLVKLNNGKDLPNLKRLHVKNGMHVQYIKMNKIGFSELCFITLENLPQLVSFCSPDERCSTKPLLLFNKQTCHWVTNLRSLIIKGCGKLEHLLSPSLARSLVQLQCFEIEDCNCLRDIILTEEIEEERKDVICFPRLNSLHIDGLPNLIFFNSGNHNIEFPLLKVLKIERCPKLIEFISQNSNQSGMHALFSEKVAVPSLEDMNIGDLSNVKMIFYNDLAPGSFKNLRKISVWGCGSLKNLFPVSIAKDLPQLEHLRITDCGVEEIVSKGDGVEEQPVRFEFPQVSYLEVSGVEKLKCFYEGKHTIVWPMLKKLKTDGSALLKIVAWEHLRLIQGNEEPVLLGEEVIPKHVVPCKGDAGTLPPVRNLRLVSARNLKHMWRKDSELDHILSNLQTLTVQNCDDWINIAAFSSSLQNLTTLNVFCCEMMANLVTPSVLKNLVQLTTIKVEDCTKMTEIVGNEGDCHQTIVVSKLKCLQLCNLKSLTSFCPWYYKFEFPCLEELVVEHCPWLKIFSEGDLSTPQLQRIKQSRYGEKWSWTSDLNTTIQQLYTEKDGLYDPDDFNISDTFPESIETWTRNPQEILGFKNLSCLQFYKCSSLKYIFTPSILLGLNLLQKIEVKECSSMEQVVRGEEEAMTHKFTFLSLLSVTIESCSNLTNFHLGSQALEFPELSYITIAECPKMTAFSSSVSRESGDASENVVGKGGIYDNTATFFSNKVVIPRLERLKLSSINIHKIWHHSSSPSIGYLNSLRVKRCHNLKYLFPSFLAKDLEQLRFLQILDCNMMEQVIFTDGLVEEHQGRNQMFFFNLRSLWLNDLPKLTSLCFENYFEFHCLASLSLGNCPLLKTFISKCVPGDEPQIGQHVQASNLAVHNSSLINEKVVFPSLKYLWIRNCDSLEEIIELERLIADESQSTSAAQSIVAETVTTKFVFPKLINLGLYKVPRLKSFYSRMHTTQWSSLKQMSIIECPKVQIFTRLCPVSQVGISNQQPLFCVNEDTFPVLEKLTLKTNDMVKGICDGQFSLQCFQNLKHLNLQFFPETSTTLPYSFIRSLPMLHKLVIDNASICQIVQSEGLSDQERHTSAFYQLKELSLSQLPELTLKTFEPSLLSFKNLTTLEVSRCDGFINLIACSTAKCLTLLERLSIDDCEMIEEIIACEAEEIQCGIVFPKLKYLQLSCLPCLASFSLAHHSLEFPVLLMVMVTKCPQMRNFCQGDLSTPRLEQMHLTRDEEGELQWEGDLNTTIKHMFDEMVRII >KJB68739 pep chromosome:Graimondii2_0_v6:11:59747657:59753202:1 gene:B456_011G2659003 transcript:KJB68739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALFSEKVAVPSLEDMNIGDLSNVKMIFYNDLAPGSFKNLRKISVWGCGSLKNLFPVSIAKDLPQLEHLRITDCGVEEIVSKGDGVEEQPVRFEFPQVSYLEVSGVEKLKCFYEGKHTIVWPMLKKLKTDGSALLKIVAWEHLRLIQGNEEPVLLGEEVIPKHVVPCKGDAGTLPPVRNLRLVSARNLKHMWRKDSELDHILSNLQTLTVQNCDDWINIAAFSSSLQNLTTLNVFCCEMMANLVTPSVLKNLVQLTTIKVEDCTKMTEIVGNEGDCHQTIVVSKLKCLQLCNLKSLTSFCPWYYKFEFPCLEELVVEHCPWLKIFSEGDLSTPQLQRIKQSRYGEKWSWTSDLNTTIQQLYTEKDGLYDPDDFNISDTFPESIETWTRNPQEILGFKNLSCLQFYKCSSLKYIFTPSILLGLNLLQKIEVKECSSMEQVVRGEEEAMTHKFTFLSLLSVTIESCSNLTNFHLGSQALEFPELSYITIAECPKMTAFSSSVSRESGDASENVVGKGGIYDNTATFFSNKVVIPRLERLKLSSINIHKIWHHSSSPSIGYLNSLRVKRCHNLKYLFPSFLAKDLEQLRFLQILDCNMMEQVIFTDGLVEEHQGRNQMFFFNLRSLWLNDLPKLTSLCFENYFEFHCLASLSLGNCPLLKTFISKCVPGDEPQIGQHVQASNLAVHNSSLINEKVVFPSLKYLWIRNCDSLEEIIELERLIADESQSTSAAQSIVAETVTTKFVFPKLINLGLYKVPRLKSFYSRMHTTQWSSLKQMSIIECPKVQIFTRLCPVSQVGISNQQPLFCVNEDTFPVLEKLTLKTNDMVKGICDGQFSLQCFQNLKHLNLQFFPETSTTLPYSFIRSLPMLHKLVIDNASICQIVQSEGLSDQERHTSAFYQLKELSLSQLPELTLKTFEPSLLSFKNLTTLEVSRCDGFINLIACSTAKCLTLLERLSIDDCEMIEEIIACEAEEIQCGIVFPKLKYLQLSCLPCLASFSLAHHSLEFPVLLMVMVTKCPQMRNFCQGDLSTPRLEQMHLTRDEEGELQWEGDLNTTIKHMFDEMVRII >KJB68741 pep chromosome:Graimondii2_0_v6:11:59745325:59753300:1 gene:B456_011G2659003 transcript:KJB68741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IIANLPKEMECSGLSFFHMAYDGAVKIPLNFFKQTVGLKALDLVGMQFPSLPESIIHLADLRMLCLKKCAVDDITILGELKSLQVLNLSQSGIKELPKEMAQLTQLRLLDLRGCRELKIIPPNVLSGLSKLEELYMSGSFVEWEKGGVVENERKNASLDELNNLPCLTTLYVDILDVQMIPKYRFVETLDRFRIFIGNYGINDCYHNYEISLKALKLMLYTNIDLDNGMKMLLIKTEDLCLEGLEGVKNVLVKLNNGKDLPNLKRLHVKNGMHVQYIKMNKIGFSELCFITLENLPQLVSFCSPDERCSTKPLLLFNKQTCHWVTNLRSLIIKGCGKLEHLLSPSLARSLVQLQCFEIEDCNCLRDIILTEEIEEERKDVICFPRLNSLHIDGLPNLIFFNSGNHNIEFPLLKVLKIERCPKLIEFISQNSNQSGMHALFSEKVAVPSLEDMNIGDLSNVKMIFYNDLAPGSFKNLRKISVWGCGSLKNLFPVSIAKDLPQLEHLRITDCGVEEIVSKGDGVEEQPVRFEFPQVSYLEVSGVEKLKCFYEGKHTIVWPMLKKLKTDGSALLKIVAWEHLRLIQGNEEPVLLGEEVIPKHVVPCKGDAGTLPPVRNLRLVSARNLKHMWRKDSELDHILSNLQTLTVQNCDDWINIAAFSSSLQNLTTLNVFCCEMMANLVTPSVLKNLVQLTTIKVEDCTKMTEIVGNEGDCHQTIVVSKLKCLQLCNLKSLTSFCPWYYKFEFPCLEELVVEHCPWLKIFSEGDLSTPQLQRIKQSRYGEKWSWTSDLNTTIQQLYTEKDGLYDPDDFNISDTFPESIETWTRNPQEILGFKNLSCLQFYKCSSLKYIFTPSILLGLNLLQKIEVKECSSMEQVVRGEEEAMTHKFTFLSLLSVTIESCSNLTNFHLGSQALEFPELSYITIAECPKMTAFSSSVSRESGDASENVVGKGGIYDNTATFFSNKVVIPRLERLKLSSINIHKIWHHSSSPSIGYLNSLRVKRCHNLKYLFPSFLAKDLEQLRFLQILDCNMMEQVIFTDGLVEEHQGRNQMFFFNLRSLWLNDLPKLTSLCFENYFEFHCLASLSLGNCPLLKTFISKCVPGDEPQIGQHVQASNLAVHNSSLINEKVVFPSLKYLWIRNCDSLEEIIELERLIADESQSTSAAQSIVAETVTTKFVFPKLINLGLYKVPRLKSFYSRMHTTQWSSLKQMSIIECPKVQIFTRLCPVSQVGISNQQPLFCVNEDTFPVLEKLTLKTNDMVKGICDGQFSLQCFQNLKHLNLQFFPETSTTLPYSFIRSLPMLHKLVIDNASICQIVQSEGLSDQERHTSAFYQLKELSLSQLPELTLKTFEPSLLSFKNLTTLEVSRCDGFINLIACSTAKCLTLLERLSIDDCEMIEEIIACEAEEIQCGIVFPKLKYLQLSCLPCLASFSLAHHSLEFPVLLMVMVTKCPQMRNFCQGDLSTPRLEQMHLTRDEEGELQWEGDLNTTIKHMFDEMNVQNSE >KJB73401 pep chromosome:Graimondii2_0_v6:11:54735277:54736961:1 gene:B456_011G231500 transcript:KJB73401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMGNANGEHAIEALQAQAHIWRHAFNFVSFMSLKCALDLGILDIIHDHGKPMTITELVSALQMLNPAKACDIYRLMRILVHSDFFARQKLDNDAQEEGYVLTNSSRILLKNNPFCITPTLKATMDPIITKPWSFLGTWFQNDDHTPFATAYGKTLWDYFTHDPQLKDLINDGLASDSQLVTSVLVDKCKGAFGGLDSLVDVGGGTGTTAKAIADTFPLMECTVFDLPNIVAGLQGSKNLKYVGGNMFEAFPVADAILLKKVLHDWNDEGCLTILKRCKEAISSQDKVGRKLIIIDMVVRENEQVNDEASSLTKTQLFFDMFMLVLVAGKERREEEWAKLFLEAGFSYYKITTIVGLTSLIEVYP >KJB71661 pep chromosome:Graimondii2_0_v6:11:20693544:20694891:-1 gene:B456_011G136000 transcript:KJB71661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEENKKIRKEEVIAKLKDDGDFDKLCLKIIRKLKDKLLYIFLRLSNKFNFKLRNNIISAVKQSVALNHLGSENMKVRQLSDAIHDEIRNKVIGQISDSLWEIIRSEGSTRTEITKTVVSHRNNNESKLASCFPQPS >KJB71708 pep chromosome:Graimondii2_0_v6:11:21267637:21271938:1 gene:B456_011G138200 transcript:KJB71708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTWLRLLLISVLALEGAVWSDGCWDEERVALLQLQHFLTDIEYSREGPDCCEWKWVDCNITTRRVIQLSSLWGRLKIKDSNLFNASMFLPFEELKSLDLSGNDLVGCVENEAPLKWLSKLGGLETLDLSITNAKNNLLLHLGGLSSLITLILQQNDLKETIHLRGNDGQLSLINLEEIDLTVNLFNNSIMEELSGFSNLKSLKIGSNRLNGLVDLKELCALGHLETLDLSYNEVNRFVTSKGLCYLNNLEELSLNGNALGGAVAPCLGNLTSLRYLDISNNHFTGNIASTPLANLTTLQFLSLSNNQLQVPMSFRSFANHSNLKVLLADQNNMVADEPTAFQTWWPKFQLEVFSMSNCMIEEHGNLQLPNFLYFQHDLRDVDLSYCDFGGIRFPHWLLENNTRLEQLYMIDTSIVGPLFLPSHPSFNLKVFDISNNKIHHDIPRNFCSLFPHLEGLFMSKNDFKTDIPPCLGGLRRLQMLDLSHNDLFGEIPEELRMSSSLANLILSNNSLSGKIIPTVYHLIILLEELRLDGDKFEGEISYFSPISIKNLQVLDVSDNDISGELPTWLWDTISLKILDFSKNNFEGPITMQLCKLINLEFLDLSSNHLSGTIPSCSNLQRMRHVHLANNRLSGTLSNVIFKSSPLVTLDLSGNSFTGRIPNWISTLPILSVLLLKENQFDGEFPLQLCKLQSLSILDFSHNKLSGHLPSCLSNLTLKPSEKSYVDSGGYQFDFFDDVMVHMGLTIYNLTGLVSVYRGYPFTYHEEDVLFSTKGATYTYKGNILDLLSAIDLSCNQLTGIILPGFGNLSEIRGLNLSHNNLTGPIPSTFSELKQIESLDLSYNNLSGRIPSELTEMTSLEVFSVAHNNLSGPLPDRKNQFGTFDESNYEGNPLLCGPPLNKSCNGGNSPTAPNATSSEEEHGHIDMVDFYISFATSYAIIFLTTILVLYINPYWRRACFYFIEDRSIVCYFFIVDSLRQLPCFRRNI >KJB73873 pep chromosome:Graimondii2_0_v6:11:58710926:58711661:1 gene:B456_011G257600 transcript:KJB73873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVANQSFLSFASIILLLSSIAIVGEARDPFNTLMPQNFAGGRVSNIFDVGLYLGAIKEAGPSSGEGHRFTGSHTIGGIKNGGPSIAEGQKLRNGLALGGLKDSGLRPGVGNKFTDEGPSPGVGNKLIDSRTIGGIKDSGPSPGTGNKFTDSGPSPGTGNKFTDSGPSPGTGNKFTDSGPSPGTGNKFTDSGPSPGTGNRFTDSGPSPGVGNK >KJB73696 pep chromosome:Graimondii2_0_v6:11:57073040:57075546:1 gene:B456_011G244200 transcript:KJB73696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIGHRRRLHRNHNLNLLTRNPYKTGTATCDFCRKPCENFVYHCSCNLNFHIKCALFSHSIAEKRNAEFQDIPRIDPSINTGNVTEELKKAECFACWKPLLDSVYFSPNCGLYLHAKCVDLPAEINHFFHQEHPLFLQFNSQRLSCKICQKPQRPGFVYCCSLCKFALHIQCAAIPTKINQPFHRKHPLVLQSVDECLPCQICQETTNLNDVVYFCSICKFVLHIMCVSSPPIIEDKLHHEHPFPRQVSYCDACGTLGNYVPYICSTCGIFVHKNCISVPRIIKFYRHQHRIAHTYFLDQNESESWECRFCLEEVKKEHGGYFCSKCNYIVHVKCATKNSRWYYVVDSTETEEETDTDEPVDLREIHPHNLILSGDIRDFKQCDGCLLPIDTSYRYCSQCDFFLHKACAALRAKKHLWFHYCQKLQQLTSGHIFRCHVCNYVTSGFAYTCDKCKPKCEGHEHRLRRFLGKNEELCRGCACNTSAHPKCVLKEYPLIKPGTIYKEEIHPHHLTFVKRVEFYPECNKCGKHCLDLSLQ >KJB72356 pep chromosome:Graimondii2_0_v6:11:39146004:39149143:-1 gene:B456_011G173400 transcript:KJB72356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNGSISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRPAEEWEIDLAKLDLMNVIAPGTYGTVYRATYDNQDVAALKIML >KJB72355 pep chromosome:Graimondii2_0_v6:11:39146004:39149143:-1 gene:B456_011G173400 transcript:KJB72355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNGSISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRPAEEWEIDLAKLDLMNVIAPGTYGTVYRATYDNQDVAGT >KJB72357 pep chromosome:Graimondii2_0_v6:11:39147883:39148231:-1 gene:B456_011G173400 transcript:KJB72357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNGSISGKDMIFRADQIDLKSLDMQLEKHLSRVWSRNIEKQRPAEEWEIDLAKLDLMNVIAPGTYGTVYRATYDNQDVAALKIML >KJB74247 pep chromosome:Graimondii2_0_v6:11:61511411:61514657:1 gene:B456_011G282600 transcript:KJB74247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGVNMKVKVFQQDKIVMQIIYLLMVFSIDQKDMSWVCNSFDDLDELEKNIFLDIAILFEGTSEKDVKKFLSCCYKGVVCGISNLIDKYLLVRSYFGLIDMHDMLQEMGKDIVRQESMDPGRHSRLWKAKDVYQVLRYNNEQSTLKSLTVGGVSLVELPCLNHLASLKELELSGCHNLKTFPEVPKHFPILELSETKIKEVPDSIEHLVGLQKLCLKNSKVNILSSNISKLESLRHLNLSHCPMIEFPEIPRSLRELDLSGTQIKEATLSIDSLNNLQRLEMDHCESLKILSELPPYMRNLDARGCTSLEKVSFTDQISYQLDPNDDDDDWFLMIFCNCISLNQDSIENIEANAMLKFGYLAEKQRVIWEYPYFTNKFEHQSTSSSLVLKIAPKGSNGSRFLVFSICLVVDLTLCYYHEYLTFYCECQFKTGSGGDYETFRSQWKSFLNEDSNWRYMGDHVLILFSKNMVKQDKDYEEASFEFYIQNLPSSEEEDEEDEEETEDENIKVEKCGVHVSYVDEETSTTPTT >KJB74246 pep chromosome:Graimondii2_0_v6:11:61511411:61514657:1 gene:B456_011G282600 transcript:KJB74246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGVNMKVKVFQQDKIVMQIIYLLMVFSIDQKDMSWVCNSFDDLDELEKNIFLDIAILFEGTSEKDVKKFLSCCYKGVVCGISNLIDKYLLVRSYFGLIDMHDMLQEMGKDIVRQESMDPGRHSRLWKAKDVYQVLRYNNEQSTLKSLTVGGVSLVELPCLNHLASLKELELSGCHNLKTFPEVPKHFPILELSETKIKEVPDSIEHLVGLQKLCLKNSKVNILSSNISKLESLRHLNLSHCPMIEFPEIPRSLRELDLSGTQIKEATLSIDSLNNLQRLEMDHCESLKILSELPPYMRNLDARGCTSLEKVSFTDQISYQLDPNDDDDDWFLMIFCNCISLNQDSIENIEANAMLKFGYLAEKQRVIWEYPYFTNKFEHQSTSSSLVLKIAPKGSNGSRFLVFSICLVVDLTLCYYHEYLTFYCECQFKTGSGGDYETFRSQWKSFLNEDSNWRYMGDHVLILFSKNMVKQDKDYEEASFEFYIQNLPSSEEEDEEDEEETEDENIKVEKCGVHVSYVDEETSTTPTT >KJB69997 pep chromosome:Graimondii2_0_v6:11:4114898:4121937:-1 gene:B456_011G052600 transcript:KJB69997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKLRKTILRLRMKPRVKSSWKGLLYYFFFLVVVVLFSCSQSITAQTNATTDPSEVKALNSIFQQWNAQAVASWNTTGDPCSGTALSQGLSEFEDPSNNPAITCDCSFNDNTVCHITRLRVFGLDKRGVLPKELLDLPYLDFLKLDRNFFSGPLPAFIGNMSRLGLLSLAHNNFSGTIPKEFGNLKKLYLLSLGNNDIFGKLPPELGNLAELGELYINSCALSGEIPSTFAKLKDLRTVWASDNAFTGKIPDFFGNLTKLTALRFQGNSFEGPIPSSLGNLTSLTSLRIGDIYNGSSSSLDFIRNLKNLTDLVLRNVLLTGNLPSYITELQYLQKLDLSFNNLTGRIPSVLFTMKSLEYLFLGNNGLSGSIPSQKSETLTTIDLSYNFLSGNLPSWVNSRLQLNLVANNFTFNSSINRLLPGLECLQRSFPCFRNAPRYANFSIKCGGPAMIADGISFDVDNSTLGPATFNISSIRKWAVSNAGLFAERPIQQYVQNFAGTVSGTNTPKLYETSRLSPGSLRYYGLGLENGSYTVRLFFAETGFPELASQSWKSLGRRVFDVYIQGTRQLRDFDISKEAGGVNKAIIRNFTTNVTGNHLVIHLFWAGKGTTGIPTTGTYGPAISAISVVPNFKPSVSGLPPDNPKKKKHTALIAGVTIPVVALALILIFSILYMKREKEEDDDDEVLLGISPRPNTFSYSELKAATEDFNPSNKLGEGGFGPVYKGTLSDGKVVAVKQLSVASNQGKDQFVTEIATISAVQHRNLVKLYGCCIGGNRRLLVYEYLVNKSLDHALWGQKDLHLDWPTRFNICLLTAKGLAYLHEESRPKIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHITSRAAGTVHGPCMKITNS >KJB69991 pep chromosome:Graimondii2_0_v6:11:4114792:4121937:-1 gene:B456_011G052600 transcript:KJB69991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKLRKTILRLRMKPRVKSSWKGLLYYFFFLVVVVLFSCSQSITAQTNATTDPSEVKALNSIFQQWNAQAVASWNTTGDPCSGTALSQGLSEFEDPSNNPAITCDCSFNDNTVCHITRLRVFGLDKRGVLPKELLDLPYLDFLKLDRNFFSGPLPAFIGNMSRLGLLSLAHNNFSGTIPKEFGNLKKLYLLSLGNNDIFGKLPPELGNLAELGELYINSCALSGEIPSTFAKLKDLRTVWASDNAFTGKIPDFFGNLTKLTALRFQGNSFEGPIPSSLGNLTSLTSLRIGDIYNGSSSSLDFIRNLKNLTDLVLRNVLLTGNLPSYITELQYLQKLDLSFNNLTGRIPSVLFTMKSLEYLFLGNNGLSGSIPSQKSETLTTIDLSYNFLSGNLPSWVNSRLQLNLVANNFTFNSSINRLLPGLECLQRSFPCFRNAPRYANFSIKCGGPAMIADGISFDVDNSTLGPATFNISSIRKWAVSNAGLFAERPIQQYVQNFAGTVSGTNTPKLYETSRLSPGSLRYYGLGLENGSYTVRLFFAETGFPELASQSWKSLGRRVFDVYIQGTRQLRDFDISKEAGGVNKAIIRNFTTNVTGNHLVIHLFWAGKGTTGIPTTGTYGPAISAISVVPNFKPSVSGLPPDNPKKKKHTALIAGVTIPVVALALILIFSILYMKREKEEDDDDEVLLGISPRPNTFSYSELKAATEDFNPSNKLGEGGFGPVYKGTLSDGKVVAVKQLSVASNQGKDQFVTEIATISAVQHRNLVKLYGCCIGGNRRLLVYEYLVNKSLDHALWGQKDLHLDWPTRFNICLLTAKGLAYLHEESRPKIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHITSRAAGTVGYLAPEYAMRGHLSEKVDVFSFGVVALEIITGRPNSDNRLEDGRVYLLNWAWTLHENNQLLSLLDPTLVEFDENEALRVIGVAFLCTQASPSLRPSMSRVIAMLVGDTEVGNVTTKPSYLTDCDFKDVTGDYEYKTDTFMDKESQKSNASDHSSNGIKSKNNTLSGLNDQPILSPVNVSGFRESIGEGR >KJB69995 pep chromosome:Graimondii2_0_v6:11:4115039:4121904:-1 gene:B456_011G052600 transcript:KJB69995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRVKSSWKGLLYYFFFLVVVVLFSCSQSITAQTNATTDPSEVKALNSIFQQWNAQAVASWNTTGDPCSGTALSQGLSEFEDPSNNPAITCDCSFNDNTVCHITRLRVFGLDKRGVLPKELLDLPYLDFLKLDRNFFSGPLPAFIGNMSRLGLLSLAHNNFSGTIPKEFGNLKKLYLLSLGNNDIFGKLPPELGNLAELGELYINSCALSGEIPSTFAKLKDLRTVWASDNAFTGKIPDFFGNLTKLTALRFQGNSFEGPIPSSLGNLTSLTSLRIGDIYNGSSSSLDFIRNLKNLTDLVLRNVLLTGNLPSYITELQYLQKLDLSFNNLTGRIPSVLFTMKSLEYLFLGNNGLSGSIPSQKSETLTTIDLSYNFLSGNLPSWVNSRLQLNLVANNFTFNSSINRLLPGLECLQRSFPCFRNAPRYANFSIKCGGPAMIADGISFDVDNSTLGPATFNISSIRKWAVSNAGLFAERPIQQYVQNFAGTVSGTNTPKLYETSRLSPGSLRYYGLGLENGSYTVRLFFAETGFPELASQSWKSLGRRVFDVYIQGTRQLRDFDISKEAGGVNKAIIRNFTTNVTGNHLVIHLFWAGKGTTGIPTTGTYGPAISAISVVPNFKPSVSGLPPDNPKKKKHTALIAGVTIPVVALALILIFSILYMKREKEEDDDDEGKMLLGISPRPNTFSYSELKAATEDFNPSNKLGEGGFGPVYKGTLSDGKVVAVKQLSVASNQGKDQFVTEIATISAVQHRNLVKLYGCCIGGNRRLLVYEYLVNKSLDHALWGQKDLHLDWPTRFNICLLTAKGLAYLHEESRPKIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHITSRAAGTVGYLAPEYAMRGHLSEKVDVFSFGVVALEIITGRPNSDNRLEDGRVYLLNWAWTLHENNQLLSLLDPTLVEFDENEALRVIGVAFLCTQASPSLRPSMSRVIAMLVGDTEVGNVTTKPSYLTDCDFKDVTGDYEYKTDTFMDKESQKSNASDHSSNGIKSKNNTLSGLNDQPILSPVNVSGFRESIGEGR >KJB69998 pep chromosome:Graimondii2_0_v6:11:4115819:4121937:-1 gene:B456_011G052600 transcript:KJB69998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKLRKTILRLRMKPRVKSSWKGLLYYFFFLVVVVLFSCSQSITAQTNATTDPSEVKALNSIFQQWNAQAVASWNTTGDPCSGTALSQGLSEFEDPSNNPAITCDCSFNDNTVCHITRLRVFGLDKRGVLPKELLDLPYLDFLKLDRNFFSGPLPAFIGNMSRLGLLSLAHNNFSGTIPKEFGNLKKLYLLSLGNNDIFGKLPPELGNLAELGELYINSCALSGEIPSTFAKLKDLRTVWASDNAFTGKIPDFFGNLTKLTALRFQGNSFEGPIPSSLGNLTSLTSLRIGDIYNGSSSSLDFIRNLKNLTDLVLRNVLLTGNLPSYITELQYLQKLDLSFNNLTGRIPSVLFTMKSLEYLFLGNNGLSGSIPSQKSETLTTIDLSYNFLSGNLPSWVNSRLQLNLVANNFTFNSSINRLLPGLECLQRSFPCFRNAPRYANFSIKCGGPAMIADGISFDVDNSTLGPATFNISSIRKWAVSNAGLFAERPIQQYVQNFAGTVSGTNTPKLYETSRLSPGSLRYYGLGLENGSYTVRLFFAETGFPELASQSWKSLGRRVFDVYIQGTRQLRDFDISKEAGGVNKAIIRNFTTNVTGNHLVIHLFWAGKGTTGIPTTGTYGPAISAISVVPNFKPSVSGLPPDNPKKKKHTALIAGVTIPVVALALILIFSILYMKREKEEDDDDEVLLGISPRPNTFSYSELKAATEDFNPSNKLGEGGFGPVYKGTLSDGKVVAVKQLSVASNQGKDQFVTEIATISAVQHRNLVKLYGCCIGGNRRLLVYEYLVNKSLDHALWGQKDLHLDWPTRFNICLLTAKGLAYLHEESRPKIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHITSRAAGTV >KJB69996 pep chromosome:Graimondii2_0_v6:11:4114814:4122075:-1 gene:B456_011G052600 transcript:KJB69996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRVKSSWKGLLYYFFFLVVVVLFSCSQSITAQTNATTDPSEVKALNSIFQQWNAQAVASWNTTGDPCSGTALSQGLSEFEDPSNNPAITCDCSFNDNTVCHITRLRVFGLDKRGVLPKELLDLPYLDFLKLDRNFFSGPLPAFIGNMSRLGLLSLAHNNFSGTIPKEFGNLKKLYLLSLGNNDIFGKLPPELGNLAELGELYINSCALSGEIPSTFAKLKDLRTVWASDNAFTGKIPDFFGNLTKLTALRFQGNSFEGPIPSSLGNLTSLTSLRIGDIYNGSSSSLDFIRNLKNLTDLVLRNVLLTGNLPSYITELQYLQKLDLSFNNLTGRIPSVLFTMKSLEYLFLGNNGLSGSIPSQKSETLTTMNLVANNFTFNSSINRLLPGLECLQRSFPCFRNAPRYANFSIKCGGPAMIADGISFDVDNSTLGPATFNISSIRKWAVSNAGLFAERPIQQYVQNFAGTVSGTNTPKLYETSRLSPGSLRYYGLGLENGSYTVRLFFAETGFPELASQSWKSLGRRVFDVYIQGTRQLRDFDISKEAGGVNKAIIRNFTTNVTGNHLVIHLFWAGKGTTGIPTTGTYGPAISAISVVPNFKPSVSGLPPDNPKKKKHTALIAGVTIPVVALALILIFSILYMKREKEEDDDDEVLLGISPRPNTFSYSELKAATEDFNPSNKLGEGGFGPVYKGTLSDGKVVAVKQLSVASNQGKDQFVTEIATISAVQHRNLVKLYGCCIGGNRRLLVYEYLVNKSLDHALWGQKDLHLDWPTRFNICLLTAKGLAYLHEESRPKIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHITSRAAGTVGYLAPEYAMRGHLSEKVDVFSFGVVALEIITGRPNSDNRLEDGRVYLLNWAWTLHENNQLLSLLDPTLVEFDENEALRVIGVAFLCTQASPSLRPSMSRVIAMLVGDTEVGNVTTKPSYLTDCDFKDVTGDYEYKTDTFMDKESQKSNASDHSSNGIKSKNNTLSGLNDQPILSPVNVSGFRESIGEGR >KJB69994 pep chromosome:Graimondii2_0_v6:11:4114987:4121937:-1 gene:B456_011G052600 transcript:KJB69994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKLRKTILRLRMKPRVKSSWKGLLYYFFFLVVVVLFSCSQSITAQTNATTDPSEVKALNSIFQQWNAQAVASWNTTGDPCSGTALSQGLSEFEDPSNNPAITCDCSFNDNTVCHITRLRVFGLDKRGVLPKELLDLPYLDFLKLDRNFFSGPLPAFIGNMSRLGLLSLAHNNFSGTIPKEFGNLKKLYLLSLGNNDIFGKLPPELGNLAELGELYINSCALSGEIPSTFAKLKDLRTVWASDNAFTGKIPDFFGNLTKLTALRFQGNSFEGPIPSSLGNLTSLTSLRIGDIYNGSSSSLDFIRNLKNLTDLVLRNVLLTGNLPSYITELQYLQKLDLSFNNLTGRIPSVLFTMKSLEYLFLGNNGLSGSIPSQKSETLTTMNLVANNFTFNSSINRLLPGLECLQRSFPCFRNAPRYANFSIKCGGPAMIADGISFDVDNSTLGPATFNISSIRKWAVSNAGLFAERPIQQYVQNFAGTVSGTNTPKLYETSRLSPGSLRYYGLGLENGSYTVRLFFAETGFPELASQSWKSLGRRVFDVYIQGTRQLRDFDISKEAGGVNKAIIRNFTTNVTGNHLVIHLFWAGKGTTGIPTTGTYGPAISAISVVPNFKPSVSGLPPDNPKKKKHTALIAGVTIPVVALALILIFSILYMKREKEEDDDDEVLLGISPRPNTFSYSELKAATEDFNPSNKLGEGGFGPVYKGTLSDGKVVAVKQLSVASNQGKDQFVTEIATISAVQHRNLVKLYGCCIGGNRRLLVYEYLVNKSLDHALWGQKDLHLDWPTRFNICLLTAKGLAYLHEESRPKIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHITSRAAGTVGYLAPEYAMRGHLSEKVDVFSFGVVALEIITGRPNSDNRLEDGRVYLLNWVIQKDSFPFCNTIYSSTY >KJB69992 pep chromosome:Graimondii2_0_v6:11:4114814:4121937:-1 gene:B456_011G052600 transcript:KJB69992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKLRKTILRLRMKPRVKSSWKGLLYYFFFLVVVVLFSCSQSITAQTNATTDPSEVKALNSIFQQWNAQAVASWNTTGDPCSGTALSQGLSEFEDPSNNPAITCDCSFNDNTVCHITRLRVFGLDKRGVLPKELLDLPYLDFLKLDRNFFSGPLPAFIGNMSRLGLLSLAHNNFSGTIPKEFGNLKKLYLLSLGNNDIFGKLPPELGNLAELGELYINSCALSGEIPSTFAKLKDLRTVWASDNAFTGKIPDFFGNLTKLTALRFQGNSFEGPIPSSLGNLTSLTSLRIGDIYNGSSSSLDFIRNLKNLTDLVLRNVLLTGNLPSYITELQYLQKLDLSFNNLTGRIPSVLFTMKSLEYLFLGNNGLSGSIPSQKSETLTTMNLVANNFTFNSSINRLLPGLECLQRSFPCFRNAPRYANFSIKCGGPAMIADGISFDVDNSTLGPATFNISSIRKWAVSNAGLFAERPIQQYVQNFAGTVSGTNTPKLYETSRLSPGSLRYYGLGLENGSYTVRLFFAETGFPELASQSWKSLGRRVFDVYIQGTRQLRDFDISKEAGGVNKAIIRNFTTNVTGNHLVIHLFWAGKGTTGIPTTGTYGPAISAISVVPNFKPSVSGLPPDNPKKKKHTALIAGVTIPVVALALILIFSILYMKREKEEDDDDEVLLGISPRPNTFSYSELKAATEDFNPSNKLGEGGFGPVYKGTLSDGKVVAVKQLSVASNQGKDQFVTEIATISAVQHRNLVKLYGCCIGGNRRLLVYEYLVNKSLDHALWGQKDLHLDWPTRFNICLLTAKGLAYLHEESRPKIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHITSRAAGTVVCNARSSFRESRCF >KJB69993 pep chromosome:Graimondii2_0_v6:11:4114814:4121937:-1 gene:B456_011G052600 transcript:KJB69993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKLRKTILRLRMKPRVKSSWKGLLYYFFFLVVVVLFSCSQSITAQTNATTDPSEVKALNSIFQQWNAQAVASWNTTGDPCSGTALSQGLSEFEDPSNNPAITCDCSFNDNTVCHITRLRVFGLDKRGVLPKELLDLPYLDFLKLDRNFFSGPLPAFIGNMSRLGLLSLAHNNFSGTIPKEFGNLKKLYLLSLGNNDIFGKLPPELGNLAELGELYINSCALSGEIPSTFAKLKDLRTVWASDNAFTGKIPDFFGNLTKLTALRFQGNSFEGPIPSSLGNLTSLTSLRIGDIYNGSSSSLDFIRNLKNLTDLVLRNVLLTGNLPSYITELQYLQKLDLSFNNLTGRIPSVLFTMKSLEYLFLGNNGLSGSIPSQKSETLTTMNLVANNFTFNSSINRLLPGLECLQRSFPCFRNAPRYANFSIKCGGPAMIADGISFDVDNSTLGPATFNISSIRKWAVSNAGLFAERPIQQYVQNFAGTVSGTNTPKLYETSRLSPGSLRYYGLGLENGSYTVRLFFAETGFPELASQSWKSLGRRVFDVYIQGTRQLRDFDISKEAGGVNKAIIRNFTTNVTGNHLVIHLFWAGKGTTGIPTTGTYGPAISAISVVPNFKPSVSGLPPDNPKKKKHTALIAGVTIPVVALALILIFSILYMKREKEEDDDDEGKMLLGISPRPNTFSYSELKAATEDFNPSNKLGEGGFGPVYKGTLSDGKVVAVKQLSVASNQGKDQFVTEIATISAVQHRNLVKLYGCCIGGNRRLLVYEYLVNKSLDHALWGQKDLHLDWPTRFNICLLTAKGLAYLHEESRPKIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHITSRAAGTVGYLAPEYAMRGHLSEKVDVFSFGVVALEIITGRPNSDNRLEDGRVYLLNWAWTLHENNQLLSLLDPTLVEFDENEALRVIGVAFLCTQASPSLRPSMSRVIAMLVGDTEVGNVTTKPSYLTDCDFKDVTGDYEYKTDTFMDKESQKSNASDHSSNGIKSKNNTLSGLNDQPILSPVNVSGFRESIGEGR >KJB72844 pep chromosome:Graimondii2_0_v6:11:48600461:48600850:1 gene:B456_011G200500 transcript:KJB72844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLISKWVGVFLMILVFTIWIAECRKLERETLGVGIGGGVGGGVGGGIGIGIGGGVGGGVGGGIGIGIGGGGIGVGGGAGGGIGGGIGVGGGAGGGKSGGRGHGGGGGGGAGGGSKGGGHGGGSGRGGG >KJB70402 pep chromosome:Graimondii2_0_v6:11:6694158:6699386:-1 gene:B456_011G072100 transcript:KJB70402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLRNGARRGRAAAPKRQQQQQQQNPIEEGEAIATRTRRRRRAAEAAAAAAVDVPVTNNNNGDSENKNKNKKQRALGVNEKLAVGAAGEAATGKEDNNNNHNRVLVERERVEGEEVGEKPMDGCGSGGRRSNDKGNAGEDEGSTAPLPEKVQFGGSAVYRIEKKLGKGGFGQVCVGRRISAVSTNDKNGSGALEVALKFEHRSSKGCNYGPPHEWQVYNTLGGSHGIPRVHYKGRQGDYYVMVMDMLGPSLWDVWNNNNHTMSVEMVACIAIEAISILEKVHSKGYVHGDVKPENFLLGPVGTPDEKKLFLVDLGLATRWRDSSTGSHIEYDQRPDVFRGTVRYASVHAHLGRTCSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMSTSPEALCCFCPLPFKQFVEYVVNLKFAEEPNYAKYISLFDGIVGPNPDIRPINTEGALKLIYQVGQKRGRLSTDEEEDEQPKKKVRMGMPAMQWISVYNAHRPMKQRYHYNVSDGRLAQHVEKGNEDGLFISSVASCQNLWAVIMDAGTGFSAQVHQLSPYFLYKEWIMEQWEKNYYISAIAGATDGGSLVVMSKGKVPVSDFYWSNLVGFIYLVLIHRIQVHRICSSRTK >KJB70400 pep chromosome:Graimondii2_0_v6:11:6693012:6699774:-1 gene:B456_011G072100 transcript:KJB70400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLRNGARRGRAAAPKRQQQQQQQNPIEEGEAIATRTRRRRRAAEAAAAAAVDVPVTNNNNGDSENKNKNKKQRALGVNEKLAVGAAGEAATGKEDNNNNHNRVLVERERVEGEEVGEKPMDGCGSGGRRSNDKGNAGEDEGSTAPLPEKVQFGGSAVYRIEKKLGKGGFGQVCVGRRISAVSTNDKNGSGALEVALKFEHRSSKGCNYGPPHEWQVYNTLGGSHGIPRVHYKGRQGDYYVMVMDMLGPSLWDVWNNNNHTMSVEMVACIAIEAISILEKVHSKGYVHGDVKPENFLLGPVGTPDEKKLFLVDLGLATRWRDSSTGSHIEYDQRPDVFRGTVRYASVHAHLGRTCSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMSTSPEALCCFCPLPFKQFVEYVVNLKFAEEPNYAKYISLFDGIVGPNPDIRPINTEGALKLIYQVGQKRGRLSTDEEEDEQPKKKVRMGMPAMQWISVYNAHRPMKQRYHYNVSDGRLAQHVEKGNEDGLFISSVASCQNLWAVIMDAGTGFSAQVHQLSPYFLYKEWIMEQWEKNYYISAIAGATDGGSLVVMSKVVQSERIFSF >KJB70401 pep chromosome:Graimondii2_0_v6:11:6693130:6699543:-1 gene:B456_011G072100 transcript:KJB70401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLRNGARRGRAAAPKRQQQQQQQNPIEEGEAIATRTRRRRRAAEAAAAAAVDVPVTNNNNGDSENKNKNKKQRALGVNEKLAVGAAGEAATGKEDNNNNHNRVLVERERVEGEEVGEKPMDGCGSGGRRSNDKGNAGEDEGSTAPLPEKVQFGGSAVYRIEKKLGKGGFGQVCVGRRISAVSTNDKNGSGALEVALKFEHRSSKGCNYGPPHEWQVYNTLGGSHGIPRVHYKGRQGDYYVMVMDMLGPSLWDVWNNNNHTMSVEMVACIAIEAISILEKVHSKGYVHGDVKPENFLLGPVGTPDEKKLFLVDLGLATRWRDSSTGSHIEYDQRPDVFRGTVRYASVHAHLGRTCSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMSTSPEALCCFCPLPFKQFVEYVVNLKFAEEPNYAKYISLFDGIVGPNPDIRPINTEGALKLIYQVGQKRGRLSTDEEEDEQPKKKVRMGMPAMQWISVYNAHRPMKQRYHYNVSDGRLAQHVEKGNEDGLFISSVASCQNLWAVIMDAGTGFSAQVHQLSPYFLYKEWIMEQWEKNYYISAIAGATDGGSLVVMSKGTSYLQQSYKVSESFPFKWINKKWREGFYVTSMATSGSRWAVVMSRGAGFSDQVVELDFLYPSEGIHRRWDCGYRITATAATWDQAAFILSLPRRRPVDETQETLRTSAFPSTHVKEKWEKNLYLASICYGRTVS >KJB72552 pep chromosome:Graimondii2_0_v6:11:43875274:43877711:-1 gene:B456_011G184600 transcript:KJB72552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRAGYSIFLLILSLTVCNAGKLSNTFYKNTCPQVEKIVREIIQIHTRNNPSLGAQLIRMQFHDCFVRGCDASVLLDTVSNSKAEKEAIPNQSLGGFDVIDDIKAAIERVCPKVVSCADILALAARDAISSPFKKSMWSVQLGRRDGRVSLATEISGNLPSPFANFTSLVQLFKGKGLNVNDLLVLSGAHTLGDSRCGAFSRRLYNFTSKGDADPSLDPTYAKILRKKCPNPASPAITVEMDPGSSLSFDNHYYDILLQRKGLFVSDAALLTDKNSNKIVTRLQRSRSLFFTAFAKSMKKMAAIGVLTGNAGEIRQNCRVVNPGKN >KJB72486 pep chromosome:Graimondii2_0_v6:11:42532510:42534103:1 gene:B456_011G1809001 transcript:KJB72486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPLPKWVTGEIEKDPDLAYTDQWGRRNYEYLSLGCDTLPVLKGRTPVQCYADFMRAFRDNFKHLLGDTIVEIQVGMGPAGELRYPSYPEANGTWKFPGIGAFQCYDKYMLSSLKAAAEAAGKPEWGSTGPTDAGHYNNWPEDTPFFKKEGGGWNTPYGEFFLTWYSQMLLEHGARILSSATSIFDGAGVKISVKVAGIHWHYGTRSHAPELTAGYYNTRFRDGYLPIAQMLARHGAVFNFTCIEMRDHEQPQDALCAPEKLVKQVALATGAAHVPLAGENALPRYDEYAHEQILRASSLNVDGSAVDREMCAFTYLRMNPSLFHPDNWRRFVAFVKKMNEGKGARRCWEEVEREAEQFVHVTQPFIQEAAVALMH >KJB72164 pep chromosome:Graimondii2_0_v6:11:30718965:30722814:-1 gene:B456_011G162900 transcript:KJB72164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHHPKSPPFTPSTTAATTLSKDNSKCTPYIILASFFFSLLFILFLLSTSASQSLLTIRRDPSLFPNRPVSLTKIPYGPTPPSIAYLISGSAGDSARILRLLLATYHPRNHYLLHLDLSAPQTERDRLAVTIHSVPIFRAAQNVDVIGKANYAYQRGSSTVTSALHGASILLRLSSKWDWFISLSAGDYPLVTQDDLLHILSYLPKNLNFVNHSSYIGWKESKSLKPIIVDTGHYLLERDEIFYVTQKRVLPNSFRLFSAGVVIQGNYMQFLLLRSFSACFAKLEIPSFAGSAFAMLTRSFIEFCILGTDNFPRTLLMYLANMPYSFTNYFPTILCNSKFKSTVINHNLQYVAFNMSSSKKKLSSRDLAEFNAMIQTGDAFATQFKFDDPVLDRIDRKILKRKPGRVVQGGWCLGIPANDTCSVWGDADILRPGKGAKRLERRIVKLLSGDRFRSQQCLDR >KJB72166 pep chromosome:Graimondii2_0_v6:11:30718970:30722814:-1 gene:B456_011G162900 transcript:KJB72166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHHPKSPPFTPSTTAATTLSKDNSKCTPYIILASFFFSLLFILFLLSTSASQSLLTIRRDPSLFPNRPVSLTKIPYGPTPPSIAYLISGSAGDSARILRLLLATYHPRNHYLLHLDLSAPQTERDRLAVTIHSVPIFRAAQNVDVIGKANYAYQRGSSTVTSALHGASILLRLSSKWDWFISLSAGDYPLVTQDDLLHILSYLPKNLNFVNHSSYIGWKESKSLKPIIVDTGHYLLERDEIFYVTQKRVLPNSFRLFSAWQSCARWMVLRHSCK >KJB72163 pep chromosome:Graimondii2_0_v6:11:30718950:30722815:-1 gene:B456_011G162900 transcript:KJB72163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHHPKSPPFTPSTTAATTLSKDNSKCTPYIILASFFFSLLFILFLLSTSASQSLLTIRRDPSLFPNRPVSLTKIPYGPTPPSIAYLISGSAGDSARILRLLLATYHPRNHYLLHLDLSAPQTERDRLAVTIHSVPIFRAAQNVDVIGKANYAYQRGSSTVTSALHGASILLRLSSKWDWFISLSAGDYPLVTQDDLLHILSYLPKNLNFVNHSSYIGWKESKSLKPIIVDTGHYLLERDEIFYVTQKRVLPNSFRLFSGSAFAMLTRSFIEFCILGTDNFPRTLLMYLANMPYSFTNYFPTILCNSKFKSTVINHNLQYVAFNMSSSKKKLSSRDLAEFNAMIQTGDAFATQFKFDDPVLDRIDRKILKRKPGRVVQGGWCLGIPANDTCSVWGDADILRPGKGAKRLERRIVKLLSGDRFRSQQCLDR >KJB72165 pep chromosome:Graimondii2_0_v6:11:30721325:30722703:-1 gene:B456_011G162900 transcript:KJB72165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHHPKSPPFTPSTTAATTLSKDNSKCTPYIILASFFFSLLFILFLLSTSASQSLLTIRRDPSLFPNRPVSLTKIPYGPTPPSIAYLISGSAGDSARILRLLLATYHPRNHYLLHLDLSAPQTERDRLAVTIHSVPIFRAAQNVDVIGKANYAYQRGSSTVTSALHGASILLRLSSKWDWFISLSAGDYPLVTQDDLLHILSYLPKNLNFVNHSSYIGWKESKSLKPIIVDTGHYLLERDEIFYVTQKRVLPNSFRLFSGMCLCLTSSLCC >KJB71381 pep chromosome:Graimondii2_0_v6:11:16482817:16485727:1 gene:B456_011G119800 transcript:KJB71381 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit O, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74880) UniProtKB/Swiss-Prot;Acc:Q9S829] MYGNKQFFCLQNLAVRNKNKKKKKIIISYLYICRTPKKRSVKLHFKGVLVSMAFSSSALSHTSSSCLSSFPHAFATRKTHLRFPSFLLIKASSEPDKGNPTATQTKNAEGSSNAQPQPQVTPAAAAKPPPKKPVYSMKKGQIVRVDKDKYLNSINYLSVGHPPYYKGLDYIYEDRGEVLDVRIFETGEHALVAWVGIPTAPAWLPTDMLIKSEKLQYERL >KJB71383 pep chromosome:Graimondii2_0_v6:11:16482936:16484028:1 gene:B456_011G119800 transcript:KJB71383 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit O, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74880) UniProtKB/Swiss-Prot;Acc:Q9S829] MAFSSSALSHTSSSCLSSFPHAFATRKTHLRFPSFLLIKASSEPDKGNPTATQTKNAEGSSNAQPQPQVTPAAAAKPPPKKPVYSMKKGQIVRVDKDKYLNSINYLSVGHPPYYKGLDYIYEDRGEVLLSSH >KJB71382 pep chromosome:Graimondii2_0_v6:11:16482936:16485658:1 gene:B456_011G119800 transcript:KJB71382 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit O, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74880) UniProtKB/Swiss-Prot;Acc:Q9S829] MAFSSSALSHTSSSCLSSFPHAFATRKTHLRFPSFLLIKASSEPDKGNPTATQTKNAEGSSNAQPQPQVTPAAAAKPPPKKPVYSMKKGQIVRVDKDKYLNSINYLSVGHPPYYKGLDYIYEDRGEVLDVRIFETGEHALVAWVGIPTAPAWLPTDMLIKSEKLQYERL >KJB71384 pep chromosome:Graimondii2_0_v6:11:16482975:16483711:1 gene:B456_011G119800 transcript:KJB71384 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit O, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74880) UniProtKB/Swiss-Prot;Acc:Q9S829] MAFSSSALSHTSSSCLSSFPHAFATRKTHLRFPSFLLIKASSEPDKGNPTATQTKNAEGSSNAQPQPQVTPAAAAKPPPKKPVYSMKKGQIVRVDKDKYLNSINYLSVGHPPYYKGLDYIYEDRGEVLLSSH >KJB70674 pep chromosome:Graimondii2_0_v6:11:9032084:9035810:1 gene:B456_011G086500 transcript:KJB70674 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MAAFTFTASSLFGSRITEPLTLISSKSPSMLNFERTPVIKISCDYSCLEVKDVHYRPPGTQINLLNGVSFSLPEKSFGLIFGQSGSGKTTLLQLLAGLSKPTSGSIFIQSYTDDGKPTQSPKSLVPERVGIVFQFPERYFVADNVLDEVTFGWPRQRGGPQLKEHLALNLQRAFNWVGLNGFSLDKDPHSLSGGYKRRLALAIQLAQVPDLLILDEPLAGLDWKARADVVKLLKHLKKELTILVVSHDLNLWSVLVYFQRARRVS >KJB70676 pep chromosome:Graimondii2_0_v6:11:9032216:9034153:1 gene:B456_011G086500 transcript:KJB70676 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MAAFTFTASSLFGSRITEPLTLISSKSPSMLNFERTPVIKISCDYSCLEVKDVHYRPPGTQINLLNGVSFSLPEKSFGLIFGQSGSGKTTLLQLLAGLSKPTSGSIFIQSYTDDGKPTQSPKSLVPERVGIVFQFPERYFVADNVLDEVTFGWPRQRGGPQLKEHLALNLQRAFNWVGLNGFSLDKDPHSLSGGYKRRLALAIQLVRT >KJB70673 pep chromosome:Graimondii2_0_v6:11:9032084:9035810:1 gene:B456_011G086500 transcript:KJB70673 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MAAFTFTASSLFGSRITEPLTLISSKSPSMLNFERTPVIKISCDYSCLEVKDVHYRPPGTQINLLNGVSFSLPEKSFGLIFGQSGSGKTTLLQLLAGLSKPTSGSIFIQSYTDDGKPTQSPKSLVPERVGIVFQFPERYFVADNVLDEVTFGWPRQRGGPQLKEHLALNLQRAFNWVGLNGFSLDKDPHSLSGGYKRRLALAIQLAQVPDLLILDEPLAGLDWKARADVVKLLKHLKKELTILVVSHDLKELEELVDRSWRMEMGGFLREEHLVV >KJB70675 pep chromosome:Graimondii2_0_v6:11:9032069:9035839:1 gene:B456_011G086500 transcript:KJB70675 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MAAFTFTASSLFGSRITEPLTLISSKSPSMLNFERTPVIKISCDYSCLEVKDVHYRPPGTQINLLNGVSFSLPEKSFGLIFGQSGSGKTTLLQLLAGLSKPTSGSIFIQSYTDDGKPTQSPKSLVPERVGIVFQFPERYFVADNVLDEVTFGWPRQRGGPQLKEHLALNLQRAFNWVGLNGFSLDKDPHSLSGGYKRRLALAIQLAQVPDLLILDEPLAGLDWKARADVVKLLKHLKKELTILVVSHDLKELEELVDRSWRMEMGGFLREEHLVV >KJB71146 pep chromosome:Graimondii2_0_v6:11:12672189:12677270:-1 gene:B456_011G108000 transcript:KJB71146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYLNQNFDLKSKHSSDEALEKWRTVVGFVKNPKRRFRFTANLSKRYEAAAMRRTNQEKLRIAVLVSKAAFQFISGVQPSDYVVPEQVIAAGFQLCADELGSIVEGHDVKKLKFHGGVSGIAEKLSTSTNTGLSSDAALLSKRQEIYGINKFAEPEAKGFWVFVWEALQDMTLMILGACAIVSLVVGIAMEGWPAGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITIQVTRNDCRQKLSIYDLLPGDIVHLNIGDQVPADGLFVSGFSVLIDESSLTGESEPVVVNDKNPFMLSGTKLQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKVGLFFAVVTFAVMVQGLLRSKLQEGTIWSWSGDEALKLLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATGICSDKTGTLTTNRMTVVKSCICMSVKEINSTNKASFCSEIHESAIKLLLQSIFMNTGGEIVTSKDGRREILGTPTETALLEFGLSLGGDPQTERQASKTVKVEPFNSTKKRMGVVLELPGGGLRAHTKGASEIVLAGCDKVIDSNGEVVPLDEKSINHLNATINEFANEALRTLCLAYLELKNGFSSDNAIPVSGYTCLGIVGIKDPVRPGVKESVAICRTAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKSQEELLKLIPKIQVIARSSPMDKHTLVAKESADVIILDDNFSTILTVAKWGRSVYINIQKFVQFQLTVNIVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPTDELMKRAPVGRKGNFISNVMWRNIFGQSFYQLMVIWYLQARGKAMFELDGPDSTLKLNTLIFNSFVFCQVFNEISSRNMEEINVLSGILNNSVFVAVLGCTAVFQIIIIEFLGTFASTTPLTYSQWGLSVVIGFFSMPIAAALKLVSV >KJB71145 pep chromosome:Graimondii2_0_v6:11:12672035:12677270:-1 gene:B456_011G108000 transcript:KJB71145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYLNQNFDLKSKHSSDEALEKWRTVVGFVKNPKRRFRFTANLSKRYEAAAMRRTNQEKLRIAVLVSKAAFQFISGVQPSDYVVPEQVIAAGFQLCADELGSIVEGHDVKKLKFHGGVSGIAEKLSTSTNTGLSSDAALLSKRQEIYGINKFAEPEAKGFWVFVWEALQDMTLMILGACAIVSLVVGIAMEGWPAGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITIQVTRNDCRQKLSIYDLLPGDIVHLNIGDQVPADGLFVSGFSVLIDESSLTGESEPVVVNDKNPFMLSGTKLQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKVGLFFAVVTFAVMVQGLLRSKLQEGTIWSWSGDEALKLLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATGICSDKTGTLTTNRMTVVKSCICMSVKEINSTNKASFCSEIHESAIKLLLQSIFMNTGGEIVTSKDGRREILGTPTETALLEFGLSLGGDPQTERQASKTVKVEPFNSTKKRMGVVLELPGGGLRAHTKGASEIVLAGCDKVIDSNGEVVPLDEKSINHLNATINEFANEALRTLCLAYLELKNGFSSDNAIPVSGYTCLGIVGIKDPVRPGVKESVAICRTAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKSQEELLKLIPKIQVIARSSPMDKHTLVKQLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTILTVAKWGRSVYINIQKFVQFQLTVNIVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPTDELMKRAPVGRKGNFISNVMWRNIFGQSFYQLMVIWYLQARGKAMFELDGPDSTLKLNTLIFNSFVFCQVFNEISSRNMEEINVLSGILNNSVFVAVLGCTAVFQIIIIEFLGTFASTTPLTYSQWGLSVVIGFFSMPIAAALKLVSV >KJB71403 pep chromosome:Graimondii2_0_v6:11:16770714:16775527:1 gene:B456_011G121300 transcript:KJB71403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKSGALPDCQKLFRQMPTSDPVVWNIVFSGLSGYREYDDQVLHLFNSMRVSNEVKPNLVTFAIALPVYARLGDIDSGKILHSHAIKSGLVAHTLVGNALITMYAKCGLVKQDAFAAFCGIYDKDVVSWNAIIAGFSENNLLDDAFRLFREMLKGPIAPNDSTIVNILSVCSSFTKNVACYLGKEIHCFLLRRTEMGGDVSVCNALASFYLRVGHIDKAESVFQKMESRDLVSWNAIIAGYVANGYWLRALDLFQELLSARMFEPNSATLVSVLSACAHLKHLQVGKVIHGYILRHSSLYANTPVSNALVSFYAKCNDIGAAYQTFLMIPWRDLVSWNSILDAFAECASDAQFQGHLNCMLGEGFRPDFITILAIIRFSIHVSSPVKVKEIHSYCLKAAMLLGDNEPTVVNAIIDAYAKCGKIGYASRIFQNLSRKNLVTFNSMISGYVISGSYDDAFMIFNEMTIRDLTSWNLMVQACAENDCHRQALSLFHELQAQRMRPNAMTVMSILPVCAEMASVYLLRQCHGYAIRACYQDARLTGALIDVYAKCGSIRSAHKLFHLAPVKDLVMFTSMIGGYAMHGMGREALCLFSCMLELGLKPDHVIITAILSSCSHAGLVNEGLKIFDSLETAYGMKPRIEQYSCIVDLLARGGRINDAYSLVAGMSVEANANVWGALLGACWTHHEVGLGCVVADHLFQVEASNVGNYVVISNLYAADARWDSVMEVRKLMRTRDLKKSAGCSWIEVEKRNSVFIAGDCFHPKREIIYSTISTLDQQMKEPFLFDEINMFMYSI >KJB71404 pep chromosome:Graimondii2_0_v6:11:16770714:16773944:1 gene:B456_011G121300 transcript:KJB71404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKSGALPDCQKLFRQMPTSDPVVWNIVFSGLSGYREYDDQVLHLFNSMRVSNEVKPNLVTFAIALPVYARLGDIDSGKILHSHAIKSGLVAHTLVGNALITMYAKCGLVKQDAFAAFCGIYDKDVVSWNAIIAGFSENNLLDDAFRLFREMLKGPIAPNDSTIVNILSVCSSFTKNVACYLGKEIHCFLLRRTEMGGDVSVCNALASFYLRVGHIDKAESVFQKMESRDLVSWNAIIAGYVANGYWLRALDLFQELLSARMFEPNSATLVSVLSACAHLKHLQVGKVIHGYILRHSSLYANTPVSNALVSFYAKCNDIGAAYQTFLMIPWRDLVSWNSILDAFAECASDAQFQGHLNCMLGEGFRPDFITILAIIRFSIHVSSPVKVKEIHSYCLKAAMLLGDNEPTVVNAIIDAYAKCGKIGYASRIFQNLSRKNLVTFNSMISGYVISGSYDDAFMIFNEMTIRDLTSWNLMVQACAENDCHRQALSLFHELQAQRMRPNAMTVMSILPVCAEMASVYLLRQCHGYAIRACYQDARLTGALIDVYAKCGSIRSAHKLFHLAPVKDLVMFTSMIGGYAMHGMGREALCLFSCMLELGLKPDHVIITAILSSCSHAGLVNEGLKIFDSLETAYGMKPRIEQYSCIVDLLARGGRINDAYSLVAGMSVEANANVWGALLGACWTHHEVGLGCVVADHLFQVEASNVGNYVVISNLYAADARWDSVMEVRKLMRTRDLKKSAGCSWIEVEKRNSVFIAGDCFHPKREIIYSTISTLDQQMKEPFLFDEINMFMYSI >KJB72493 pep chromosome:Graimondii2_0_v6:11:43037560:43039588:1 gene:B456_011G181500 transcript:KJB72493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYLICFSAPLASTPVWERDFSSSEELITEFCRECRIVRKGWNGDLKNAMKDGKPIIIKVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKEVNGIKVLQLETAAGAAIRV >KJB69912 pep chromosome:Graimondii2_0_v6:11:3888386:3889943:1 gene:B456_011G049700 transcript:KJB69912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLVKIFSIFFIIICIFTPQALSVVPEECKTETDGCTNKEKALPLKIIAIFSILIASIIGVCSPLFTRSVPALNPERSLFVIVKCFASGIILATGFMHVLPDSFDMLTSKCLKENPWHKFPFTGFVAMLSAIVTLIVDSVATSIYSKKSNNEVIPEVASPAGGTQQDMAVVNVGHFHGHHHGLKPAQGAVDQQLLRNRVIAMVLELGIVVHSVVIGLSLGASNNTCTIKGLVAALCFHQMFEGMGLGGCILQAEYKAMKKFTMAFFFSVTTPFGTALGIALSNTYKDNSPTALITEGLLNASSAGLLIYMALVDLLSAEFMGQKLQGSIKLQIKCYAAVLLGAGFMSLMAKWA >KJB72082 pep chromosome:Graimondii2_0_v6:11:27632882:27634294:1 gene:B456_011G157500 transcript:KJB72082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVENQFPKTETLMNKEWPSYIYGTPTGPIIWGALSKSPPSSSSSSPSPDRLNSSSSPEESLEANLLKNIPGILRQDILNEHHSSLFVSGFSSVPPHGGLVSEVKDGFMPLNFLETFPKLNQAQVSEPPSPSTTSKFPNLNLFLQEPGMLDPSRRAIGSMGKKGEPGSTLCQNSSFQMPQIGQVQSQMGDEWLRMNQSLTSYQSKGFSDYWLSTTKTQPMKYTGRRLQNQHQKGSSSPGKLFRGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAEEAAMAYDTAAYMLRGEYAHLNFPDLKHQLKANSLNGNTAALLEAKLQAISQGIAGNKKSNDPSLTSAKVQDLNQNTEKREWQFEVKNKAVSEVNEISKKTQESVSSDVDAVQLSRMPSLDMDMIWDALSVSDS >KJB72145 pep chromosome:Graimondii2_0_v6:11:29972175:29973228:1 gene:B456_011G1614002 transcript:KJB72145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATILTLRTTVIPTNKPLTPPPNRRRCLLITAQSQAAVTPDPPSPPTVKLNKYSSRVTEPKSQGGSQAMLYGVGLSEDDMSKPQVGISSVWYEGNPCNMHLLKLSDKVKRGVEDAGMVGFRFNAVGVSDAISMGTRGMSFSLQSRDLIADSIETVMGASVLCLSLLIVRKRRGKKRICQVHFIAMGRLNRPSLMVYGGTIK >KJB73093 pep chromosome:Graimondii2_0_v6:11:51484862:51487202:1 gene:B456_011G214200 transcript:KJB73093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTEEEGQPLPYVSEIVLKKRKIRDELAITRRTQLELGKYGAKKSKKQSDVSDIKRPEQFIKEFRDKELDLIRMKQRAKRPKSMIPKLRSNLLFVIRLQGKNDMHPKTRKILYNLGLRKLFSGVFVKATEGVMEMLQKVEPYVTYGYPNLKNMKELIYKKGYARIDKKAVPLTDNNIIEQTLGKHGIICIEDIVHEIANVGPHFKEVIHFMGPLMLSKPEDGLIKGKKQPYKEGGDAGNRGDEINDLISKMN >KJB72071 pep chromosome:Graimondii2_0_v6:11:27385733:27388349:-1 gene:B456_011G156600 transcript:KJB72071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGIKDLYSVLTAVVPLYVTMFLAYGSVKWWNVFTPEQCAGINRFVAIFAVPLLSFEFVSRINPYKMDLLFLAADGVSKVLILFALLCWANFTKTGGLDWSITVFSLSTLPNTLVMGIPLLKSMYGDDKEYLMIQVVVLQCIIWYTLLLFLFEYRETRTEVLSKFKESSVSSKNCCSEDEVIDVIATISSNQQQQQQQTAQNANKIAPDQSQRFRPMVAASMEGEGKELHLFIWRCGCCISTDGTSTTCEKQSVQAGQREESSSTAKVVESVKQEDVENASIPSSFSSSMLLKILRKVWLKLVRNPNSYSSLLGLSWALVSCRWDIKKPQIMENSVTILSSAGLGMAMFSLGLFMALQPRIIACGKKLALYGMVARFIAGPAVMAIASIAVGLKGTTLKLSIVQAALPQGIVPFVFSREYNLHPDVLSTAVIFGMIVSLPITIVYYILLGI >KJB69561 pep chromosome:Graimondii2_0_v6:11:2284133:2285033:-1 gene:B456_011G030600 transcript:KJB69561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRFHPTDEELIIHYLNQKVFPSSNHHLMFSIIADVNIYKFNPWELPDKALFGENEWFFFSPRERKYPNGTRPNRAAASGYWKATGTDKPIIASVGSQCLGMKKALVFYKGRPPKGVKTDWMMIEYRLLDDCFVSQRPKGSMQLDDWVLCRVSHKGKAPLAGGYLQGQEMAIQITIGINEYDHQLPTMDQMIGLESEELDEKVFQEGSPEYPPTPIDTSVREVLKSIERVLSVGALDELVMASSSDDAV >KJB69341 pep chromosome:Graimondii2_0_v6:11:7085462:7086050:-1 gene:B456_011G074400 transcript:KJB69341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITSSLQSLKLSSPFLHGSSTSLYRLSKPNSSLSCQPLKSPAFLPPIRAMKSMQGKVVCATNDKTVSVEVVRLAPHPKYKRRVRKKKKFQAHDPDNQFQVGDIVQLEKSRPISKTKTFIAVPIPSKNGKPGNEETGELGIPLESNQP >KJB70981 pep chromosome:Graimondii2_0_v6:11:10871547:10873072:-1 gene:B456_011G098100 transcript:KJB70981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSRDGKVQQLHGKDYVDPPPARLLDMEELKTWSFYRALLAEFVATLLFLYILVATVIGHKKQTGLCDGVGPLGIAWAVGGMIFVLVYCTAGISGGHINPAVTLGLFVARKVSLFRAVAYMVAQCLGAICGAGLAKSLMKHPYNRLGGGTNLVDSGYSKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPDLLCLWFTWPPFQSLALA >KJB70979 pep chromosome:Graimondii2_0_v6:11:10871493:10873223:-1 gene:B456_011G098100 transcript:KJB70979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSRDGKVQQLHGKDYVDPPPARLLDMEELKTWSFYRALLAEFVATLLFLYILVATVIGHKKQTGLCDGVGPLGIAWAVGGMIFVLVYCTAGISGGHINPAVTLGLFVARKVSLFRAVAYMVAQCLGAICGAGLAKSLMKHPYNRLGGGTNLVDSGYSKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFVVHLATIPITGTGINPARSLGAAVIYNNEKAWDDQWIFWVGPFIGAIAAAAYHQYILRATAIKALKSFRSSPTN >KJB70980 pep chromosome:Graimondii2_0_v6:11:10871547:10873072:-1 gene:B456_011G098100 transcript:KJB70980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSRDGKVQQLHGKDYVDPPPARLLDMEELKTWSFYRALLAEFVATLLFLYILVATVIGHKKQTGLCDGVGPLGIAWAVGGMIFVLVYCTAGISVTLGLFVARKVSLFRAVAYMVAQCLGAICGAGLAKSLMKHPYNRLGGGTNLVDSGYSKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFVVHLATIPITGTGINPARSLGAAVIYNNEKAWDDQWIFWVGPFIGAIAAAAYHQYILRATAIKALKSFRSSPTN >KJB72591 pep chromosome:Graimondii2_0_v6:11:44461416:44462391:1 gene:B456_011G186800 transcript:KJB72591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGESKHAVIENTISRKNSKAGSKRGKSSQVIEETRKVDSEVSSSVQQEGKNDGLDIVGVAAGTTSISRRNSRARSKKGKSSETIEETKKVDGETSSMAKQKEVKNDNRDTDGNNSGTVVDGKKVAESIELKKGDKTMAEETKEKIMEEIKLVEETKDGEKLGEAKTMKKENLVERSKTITSTTTTEAKVSTLVEKQEEKPAGVEDLKKK >KJB73808 pep chromosome:Graimondii2_0_v6:11:58250515:58253565:1 gene:B456_011G253900 transcript:KJB73808 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHIP1 [Source:Projected from Arabidopsis thaliana (AT3G55340) UniProtKB/TrEMBL;Acc:A0A384KSV0] MVLSNKKLKQKIRAELLAKRVAESETVKKKPDSNLEPQSLKSLLDSVTQKPRLSKREKRRKSIPFLIGSNQEAEGKGKEGGEDEVQGNSEEDENKKKKKRKREEKVKEAKTTSENTESNNNSKKKKAKNKKKKKPKIVSEKQGVLQVENATESQVREDVATKVYVGGIPYRYTEDDIRYYFESCGIITDVDCMKFPDTGKFRGIAIISFETEAAAKEALALDRAEMGGMQLTIQPYKSTRANKATGFAPKMVEGYNRIYVGNLSWDITEDDLKRFFSDCNISSIRFGTNKETGEFRGYAHVDFSDSVSVAMALKLDQEIVCGRPAKISCAVPKNGVKSQSRSDPTSNEVCKKDEGALSVEAPVSVEAPTVNEVDDGGSSVTSGKLRRRTCYECGQKGHISSACPKGSVSVEAPVSVEAPTVNEVGNSGSMVNEAPTANEVDNGGSSITSGKLRRRTCYECGQKGHISSACPKGAASVKATVSVEAPTVNEVGNSGSTVNEAPTVNEVADGSAVSSGKLRRRTCYECGQKGHISSACPNKKSAVTTNTNTN >KJB73856 pep chromosome:Graimondii2_0_v6:11:58559141:58560132:1 gene:B456_011G256400 transcript:KJB73856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKVQVMLAYLLIVFLLLPSSQSHYSSIPMQITVNHDMKTRPPKPNRFLLAAWEHGTNVKGNMKKVPSAPNPKGNLHPPSKP >KJB69706 pep chromosome:Graimondii2_0_v6:11:2878870:2882015:1 gene:B456_011G038500 transcript:KJB69706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNNNNNNNKSVLRLKDYRVRSSDSLSKVVLLHNLKDDSGKFNPCKGGNRHTWFRRNVKSVAFMFLLTAFMFLLDSVMVSVFGSINFHGSSSTRNSDGREEDSVAHKERPTVEMYGRLLNLASSALVAEEFKQESLNFWEEPYQEASKWTPCADKRHPTSLGKPGENNGYIMVSANGGLNQQRVAICNAVAVASLLNATLVLPKFLYSNVWKDPSQFGDIYQEDYFMRMLKDDVHIVQELPLHLKSLDIEAIGSLITDADIVKEAKPIDYIRTVLPLLMKNKVVHFLGFGNRLGFDPFPPELQRLRCKCDFHALKFVPKIQEVGSLLIKKIRKFKYHAAERQLDKQLLGDFTPSISSKENYVERGSSRYLALHLRFEEDMVAYSQCDFGGGEHEKKELEAYREVHFPLLIERLKNSKPVSPAELRKLGKCPLTPEEAALVLAALGFKRGTYIYLAGSRIYGGSSRMHPFTNLYPNLVTKETLLTYNELAPFRNFSSQVFSDLDNRFTNFFGYIFVTWKKNLPWVQLAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGDGHAPTLRPNKKRLAAIFSENSTIGWTTFEDRVRKMIEEGQRVRVRGSGRSIYRQPRCPECMCRL >KJB69705 pep chromosome:Graimondii2_0_v6:11:2878742:2882015:1 gene:B456_011G038500 transcript:KJB69705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNNNNNNNKSVLRLKDYRVRSSDSLSKVVLLHNLKDDSGKFNPCKGGNRHTWFRRNVKSVAFMFLLTAFMFLLDSVMVSVFGSINFHGSSSTRNSDGREEDSVAHKERPTVEMYGRLLNLASSALVAEEFKQESLNFWEEPYQEASKWTPCADKRHPTSLGKPGENNGYIMVSANGGLNQQRVAICNAVAVASLLNATLVLPKFLYSNVWKDPSQFGDIYQEDYFMRMLKDDVHIVQELPLHLKSLDIEAIGSLITDADIVKEAKPIDYIRTVLPLLMKNKVVHFLGFGNRLGFDPFPPELQRLRCKCDFHALKFVPKIQEVGSLLIKKIRKFKYHAAERQLDKQLLGDFTPSISSKENYVERGSSRYLALHLRFEEDMVAYSQCDFGGGEHEKKELEAYREVHFPLLIERLKNSKPVSPAELRKLGKCPLTPEEAALVLAALGFKRGTYIYLAGSRIYGGSSRMHPFTNLYPNLVTKETLLTYNELAPFRNFSSQLAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGDGHAPTLRPNKKRLAAIFSENSTIGWTTFEDRVRKMIEEGQRVRVRGSGRSIYRQPRCPECMCRL >KJB73638 pep chromosome:Graimondii2_0_v6:11:56838443:56840626:-1 gene:B456_011G241700 transcript:KJB73638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLDIVSSESSCDENINNNNNNNNVLVNGGAVTLTQMEDSGSTKSSIINDEDFPSNAAVRDENSSDDASATFVFDILKKETGDKTTNNFNTIVKKVENPSPDFVTRQLFPVTGEKFGGLELEFGSTKPLARPQWLNLSYAESTGEAELTTFQIKPQPRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWECGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFTLCDYEEDMKQMKHMSKEEFVHALRRQSNGFSRGSSKNRGVALHKCGRLEARMGQFLGKKAYDMAAMKCSGSEAVTNFEPSTYEGGGNGHYNLDLSLGISATRNGSNDTGDFSFRNPTGTGESSGSVSVGVQPEHCQTIASKHPPMLSNVYPAALLPYNEGRAIQKRAEAISSNWAWQMQGTGKIITPTPVFPIAASSGFSSSIAIASSSSSPSPAFNNSGRNPCFPARATSTNNTSHYYNYRS >KJB73640 pep chromosome:Graimondii2_0_v6:11:56837621:56840633:-1 gene:B456_011G241700 transcript:KJB73640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLDIVSSESSCDENINNNNNNNNVLVNGGAVTLTQMEDSGSTKSSIINDEDFPSNAAVRDENSSDDASATFVFDILKKETGDKTTNNFNTIVKKVENPSPDFVTRQLFPVTGEKFGGLELEFGSTKPLARPQWLNLSYAESTGEAELTTFQIKPQPRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWECGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFTLCDYEEDMKQMKHMSKEEFVHALRRQSNGFSRGSSKNRGVALHKCGRLEARMGQFLGKKAYDMAAMKCSGSEAVTNFEPSTYEGGGNGHYNLDLSLGISATRNGSNDTGDFSFRNPTGTGESSGSVSVGVQPEHCQTIASKHPPMLSNVYPAALLPYNEGRAIQKRAEAISSNWAWQMQGTGKIITPTPVFPIAASSGFSSSIAIASSSSSPSPAFNNSGRNPCFPARATSTNNTSHYYNYRS >KJB73639 pep chromosome:Graimondii2_0_v6:11:56837621:56840988:-1 gene:B456_011G241700 transcript:KJB73639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLDIVSSESSCDENINNNNNNNNVLVNGGAVTLTQMEDSGSTKSSIINDEDFPSNAAVRDENSSDDASATFVFDILKKETGDKTTNNFNTIVKKVENPSPDFVTRQLFPVTGEKFGGLELEFGSTKPLARPQWLNLSYAESTGEAELTTFQIKPQPRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWECGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFTLCDYEEDMKQMKHMSKEEFVHALRRQSNGFSRGSSKNRGVALHKCGRLEARMGQFLGKKAYDMAAMKCSGSEAVTNFEPSTYEGGGNGHYNLDLSLGISATRNGSNDTGDFSFRNPTGTGESSGSVSVGVQPEHCQTIASKHPPMLSNVYPAALLPYNEKRAEAISSNWAWQMQGTGKIITPTPVFPIAASSGFSSSIAIASSSSSPSPAFNNSGRNPCFPARATSTNNTSHYYNYRS >KJB73196 pep chromosome:Graimondii2_0_v6:11:52999143:52999589:-1 gene:B456_011G220900 transcript:KJB73196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVKPSTALFFSLNLFFFALVSSYNVDNNPNGSSYPTKSRNPVVIRPGYKFPNDGSAQSYYGTCNPLNLGVCVNLLGGLVNLDLGNVPTQPCCSLIHGLADLEAAVCLCTAVRANILDIKLNLPISLSLLLNNCGRRVATEYICAP >KJB69419 pep chromosome:Graimondii2_0_v6:11:1621438:1622719:1 gene:B456_011G022800 transcript:KJB69419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVSRTSSLKLKHDCMNEKRGESLGLGFVRYTHGLRRKRIGISNEIDDGTKASSLKRRCSKRMMIDGDDNCYEKSALESLPQDIIVSSFKFWFSN >KJB69420 pep chromosome:Graimondii2_0_v6:11:1621438:1622719:1 gene:B456_011G022800 transcript:KJB69420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVSRTSSLKLKHDCMNEKRGESLGLGFVRYTHGLRRKRIGISNEIDDGTKASSLKRRCSKRMMIDGDDNCYEKSALESLPQDIIIKIICGVDHEDLKRLPIVSKSIREAVSTKYFNSIHFFLISLTI >KJB69421 pep chromosome:Graimondii2_0_v6:11:1621438:1622738:1 gene:B456_011G022800 transcript:KJB69421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVSRTSSLKLKHDCMNEKRGESLGLGFVRYTHGLRRKRIGISNEIDDGTKASSLKRRCSKRMMIDGDDNCYEKSALESLPQDIIIKIICGVDHEDLKRLPIVSKSIREAAMVAKQLHFAYSTPTKVKAFKTSIDFDEQSESNDLELEAPNAPQQWRSHRSINKKKLADISIQLFL >KJB74178 pep chromosome:Graimondii2_0_v6:11:61030431:61030682:-1 gene:B456_011G2779001 transcript:KJB74178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGKKSARKLSDINVVDEQELREASANIEPKHQNDIADLINSYKSLYPKWFFDL >KJB74180 pep chromosome:Graimondii2_0_v6:11:61030431:61030856:-1 gene:B456_011G2779001 transcript:KJB74180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRIALQSSEEEEFGFSRNYFLAKEMGSSGKKSARKLSDINVVDEQELREASANIEPKHQNDIADLINSYKSLYPKWFFDL >KJB74181 pep chromosome:Graimondii2_0_v6:11:61030431:61031277:-1 gene:B456_011G2779001 transcript:KJB74181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRIALQSSEEEEFGFSRNYFLAKEMGSSGKKSARKLSDINVVDEQELREASANIEPKHQNDIADLINSYKSLYPKWFFDL >KJB74179 pep chromosome:Graimondii2_0_v6:11:61030431:61031277:-1 gene:B456_011G2779001 transcript:KJB74179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGKKSARKLSDINVVDEQELREASANIEPKHQNDIADLINSYKSLYPKWFFDL >KJB74177 pep chromosome:Graimondii2_0_v6:11:61030431:61030682:-1 gene:B456_011G2779001 transcript:KJB74177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGKKSARKLSDINVVDEQELREASANIEPKHQNDIADLINSYKSLYPKWFFDL >KJB70119 pep chromosome:Graimondii2_0_v6:11:4726832:4733781:1 gene:B456_011G058700 transcript:KJB70119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTAAVTTTTSSTQSPNPMMMDPSQPSSSAMPTPAPPPPTAVTTTSRTLAPAPSTNSSILPQNNNNPSPSPSIDPSSLQNQQQQQQQQQISQILSPPLPQQQHQQQQQQQQTQPNVAALSNFQIQQSLQRSPSISRLNQLQQHQQQSQQQQQQQHQSQYNNVLRQGLYGQMNFGASTSVQANQQQNQQNQQMGNPNLSRSALIGQGGHLLGGAAAQLSLQSALLASPRQKAGMVQGSQFHPGNPTGQSLPGMQAMGMMNLGSQLRANGALYAQQRINQGQMRQQLSQQTQLTSPQVQSLPRTSQAFINSQLSGLAQNGQAGMMQNSLLQQQWLKQMPSMSGPGSPSLRLQRQSQVLLQQQLASSSQLHQNPMSLNPQHLSQLVQQQSQMGHPQMQQPQQHQQQQQQLLQQQQLQQHAQQQLQPQQPPLHQPQQQQSPRMSGPAGQKTLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSQGKLEPEVEDLLLEIADDFIDSVTTFACSLAKHRKSSTLESRDLLLHLERNWKLPVPGFSSEERNQTSPLSSDLHKKRLDMVRALMESSQPETNANNPKEMIRQGLGNPVSANHLSRPSPDSEQLVSQAAGSQMLQQITR >KJB70117 pep chromosome:Graimondii2_0_v6:11:4726724:4734694:1 gene:B456_011G058700 transcript:KJB70117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTAAVTTTTSSTQSPNPMMMDPSQPSSSAMPTPAPPPPTAVTTTSRTLAPAPSTNSSILPQNNNNPSPSPSIDPSSLQNQQQQQQQQQISQILSPPLPQQQHQQQQQQQQTQPNVAALSNFQIQQSLQRSPSISRLNQLQQHQQQSQQQQQQQHQSQYNNVLRQGLYGQMNFGASTSVQANQQQNQQNQQMGNPNLSRSALIGQGGHLLGGAAAQLSLQSALLASPRQKAGMVQGSQFHPGNPTGQSLPGMQAMGMMNLGSQLRANGALYAQQRINQGQMRQQLSQQTQLTSPQVQSLPRTSQAFINSQLSGLAQNGQAGMMQNSLLQQQWLKQMPSMSGPGSPSLRLQRQSQVLLQQQLASSSQLHQNPMSLNPQHLSQLVQQQSQMGHPQMQQPQQHQQQQQQLLQQQQLQQHAQQQLQPQQPPLHQPQQQQSPRMSGPAGQKTLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSQGKLEPEVEDLLLEIADDFIDSVTTFACSLAKHRKSSTLESRDLLLHLERNWKLPVPGFSSEERNQTSPLSSDLHKKRLDMVRALMESSQPETNANNPKEMIRQGLGNPVSANHLSRPSPDSEQLVSQAAGSQMLQQITR >KJB70118 pep chromosome:Graimondii2_0_v6:11:4726832:4737408:1 gene:B456_011G058700 transcript:KJB70118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTAAVTTTTSSTQSPNPMMMDPSQPSSSAMPTPAPPPPTAVTTTSRTLAPAPSTNSSILPQNNNNPSPSPSIDPSSLQNQQQQQQQQQISQILSPPLPQQQHQQQQQQQQTQPNVAALSNFQIQQSLQRSPSISRLNQLQQHQQQSQQQQQQQHQSQYNNVLRQGLYGQMNFGASTSVQANQQQNQQNQQMGNPNLSRSALIGQGGHLLGGAAAQLSLQSALLASPRQKAGMVQGSQFHPGNPTGQSLPGMQAMGMMNLGSQLRANGALYAQQRINQGQMRQQLSQQTQLTSPQVQSLPRTSQAFINSQLSGLAQNGQAGMMQNSLLQQQWLKQMPSMSGPGSPSLRLQRQSQVLLQQQLASSSQLHQNPMSLNPQHLSQLVQQQSQMGHPQMQQPQQHQQQQQQLLQQQQLQQHAQQQLQPQQPPLHQPQQQQSPRMSGPAGQKTLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSQGKLEPEVEDLLLEIADDFIDSVTTFACSLAKHRKSSTLESRDLLLHLERNWKLPVPGFSSEERNQTSPLSSDLHKKRLDMVRALMESSQPETNANNPKEMIRQGLGNPVSANHLSRPSPDSEQLVSQAAGSQMLQQITR >KJB69616 pep chromosome:Graimondii2_0_v6:11:2543620:2544735:1 gene:B456_011G034300 transcript:KJB69616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRQYLALLFILGIWVSQASSRSIPEVSMSDRFEQWMASYGRVYQDPSEKDKRFQIFKENVEYIESHNAGHTAKKYKLGVNEFTDLTNEEFKSMRNGYKMQRSTIASSSKTSFRYENVTAVPSSMDWRSKGVVTNIKDQGQCGCCWAFSAVAAMEGITKLKTGNLVSLSEQELVDCDINGEDEGCSGGLMDDAFEFIISNKGLTTESNYPYQGVDGTCNKKAAANHAAQITGYEDVPSNSESALLKAVANQPVSVAIDAGGADFQNYKSGVFTGDCGTSLDHGVTAVGYGEDDDGTKYWLVKNSWGTSWGEDGYIRMQRDIDAEEGLCGIAMEASYPTA >KJB71976 pep chromosome:Graimondii2_0_v6:11:25581662:25590747:1 gene:B456_011G151500 transcript:KJB71976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRGNPKNFDNVDDALILFNEMIDKYPRPSVVEFNKLLGTIVRMKHYAIVVSMYSQIELLGVPHDVYSFNILVNCYCQLGHIDFGFSISHATSLFDEMVDKGYQPNLIVYRTILNGLSKTGNTDRAVRFLRMMEDRGFEPNIVAYNTVIDSLCKKGSLNEALHLFSQVKVKGIRPDIVTYSCLIHAMYDLGQQEEATRLLNEMVDNNISLNIFTYNILIDAHCKDGKIFEALDTVDTMKKQGIEPNLVTYNILVDAHCKEGKVSKAEDIVDTMIKHSIEPNVVTYGALINGHCLQNRMDKARKVFRSMIKKGCAPDISSYNIMINGYCKAKRIDEAMELFHEISQKGPIADTVTYSTLMQGMCQLGRVSSACELLRKMIAFGQVPNVMTCSILLNGLCKSDKLEMALELFQAMRNSKLELDIVCYNILIDGLCKAGHIEVGKELFHKISLNGLKPDVYTYSIMINGFCKEGLQDEAYQLFGSMGDNNRLLDSCCYNVMIQGFLQNNYTSKATQVLKEMVREIQKKYYRFDNVDDAFNLFNKMIQRYPKPSIVEFTKLLAAIVRMKHYAIAVSMCSQMELLGVSWDVYSLSILEGMVSEAKDVGTMIKQGIEPDVVTYNILVDAHCREGMVSEAEDIVDAMIKHNIEPNVVTYSALINGHCLQNKMDKGRKVFQLMIKKGCAPDIFSYNIMINGYYKAQRIDEAMELFHEISQKGPNPDIVTYNTLMQCMCKLGRVSSACELLRKMIAFGQVPNVVTCSFLLNGLCKSDKLEEALELFQAMRNSKLELDTVCYNILIDGLCKSGYIEVGKELFHKLSVNGLKPS >KJB74404 pep chromosome:Graimondii2_0_v6:11:62460181:62461257:1 gene:B456_011G293400 transcript:KJB74404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVLLLSELVRHHEPNFGFVTPTPSTPSSSCGASMSCTAIVASKYEPEIVEEDPQELKVSVDLVWP >KJB72670 pep chromosome:Graimondii2_0_v6:11:45308248:45309048:1 gene:B456_011G189300 transcript:KJB72670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVVRLVENPAAESLDGSRQSSNTRRKVLVHTPSNEVITSYAVLEHKLWSLGWERYYEDPSLLQFHKRSTVHLISLPKDFNKFKSIHMYDIVVKNRNVFEVRDV >KJB73776 pep chromosome:Graimondii2_0_v6:11:57861218:57862836:-1 gene:B456_011G250900 transcript:KJB73776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAAQTGDINILYELILNDPYALQRIDDVPFFHTPLHVAASAGHIDFMMEMINLKPSFARKLNQAGFSPMHLALQNQKTQTVLRLLRFDEGLARVKGREGLTPLHDVVQNGNVDFLIKFLEVCPEAIEDMTVRDETVFHLAVKNDRFEAFQVLVGWLIRSRHKAASRWEKELLSWADIDGNTVLHVAAIRNRPQVVKVLLERLCGDHINAKNAEGLTALDIPSQYTLDEEKVDYKESIKDMISKAGGLSGSSSSLPKTSISSFHIAYLKGKVSVLQNFATIASRGKKGIPYEMRNTFLVVTVLIITATYTATLNPPKQPDTISNSQNFHLMYDASLGSTSTGPVPSPPPAEKEDLKNILDVSTMFWLYNTLTFWAATVLTAYLLPSRSICLFILITLSLFGTCYMLLVAVSIRTLELQYFFSLSTPGSVSYSRLSITNYCLATVLALVTLYRTSYYMLYRSVPKRRFFLLLQVVSLCIFAAILIPAILNSEFILEITKYGI >KJB73201 pep chromosome:Graimondii2_0_v6:11:53036932:53037377:-1 gene:B456_011G221400 transcript:KJB73201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVKPSTALFFSLNLFFFALFPNDGSAQSYYGTCNPLSLGVCVNLLGGLVNLDLGNVPTQPCCSLIHGLADLEAAVCLCTAVRANVLDIKLNLPISLSLLLDNCGRRVATEYICAP >KJB73880 pep chromosome:Graimondii2_0_v6:11:58806065:58808613:1 gene:B456_011G258200 transcript:KJB73880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKTLSNTTQSHQLRKQMEASNVFFLVYVEVIVLLSCFNLHGFNLLGLVTASPVVRGNGTDQHALLQFKTKVTGDPLKIMESWNISIHFCQWHGVTCGRKHQRVTKLDLQFLKLSGSLSPYIGNLSFLRGLNLADNSFYNQIPQEIGRLRRLETLQLTNNSIRGEIPSNLSACSKLTLVDMRSNQLAGEIPASLGLLSNLKFLNFANNSLKGSIPPLFGNLSSLEVLSLAINALSGTIPEALGQLTNLSFFSIYGNAISGNFPMSIFNLSKIRSFDIGANKIQGTLHSDLGITMPSIEFFSVAGNQISGQIPISISNASNLNVLLFNDNRLSGNVPSLEKLDKLVNLGLGKNHFGHGKEGDLKFLCSLVNATKLKFLYIGTSNFGGELPKCISNFSTTLLNLVIEQNKIMGRIPNGIGNLINLEVLSALENQLSGPIPSEIGRLQKLNIFFAYTNFLSGTIPNSIGNLTMLIKLALNENNLQGSIPISLGNCQNLLLLDLSNNNLSGSVPREVLRLQSLSIWLDLSSNYLTGELLVKNLGSCVSLETLSLDDNLFEGPIPSSWSSLRGLVQLDLLDNNLSGGIPEFFVTFGALKYLNLSFNDFDGVIPSEGVFKNASATFVEGNNKLCGGIPELHLSRCNSKTSSTTSVKLKIAIIVVMLGVALVFTCLLVLWFRKKRQQHPRTICEENWLLQLSYQSILRATNGFSTQNLVGAGSFGSVYKGILEESGEVIAVKVFHLLHSGASRSFLAECEALKNIRHRNLVKVLSAISGIDHKGNDFKALAYEFMENESLEDWLYPSTSMNEPETMRNLNFIQRVNVAVDVAH >KJB70166 pep chromosome:Graimondii2_0_v6:11:5133243:5135119:1 gene:B456_011G062000 transcript:KJB70166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGKKRVLGFGKKDDMYMVMFAGLETSRQHAKNMNFLSNMPNCSESSRPNLVASTSNVKSSDFFPEIGSFGASSSKEDTFNKTDFMKSAAVEPKNAQLTIFFGGQVFVYNDFPADKVKEIMAVANRGWSTACSGVVADSAMEKLNANLDKIDYSSPHIPDLNITSATANSPAQDPSVERCQYVGSDLRIARRNSLHKFFEKRKDRAAMRAPYQLNNHQGSPPPPKPDENKPSHEEGQSSKETPRDIDLNL >KJB70167 pep chromosome:Graimondii2_0_v6:11:5133243:5135119:1 gene:B456_011G062000 transcript:KJB70167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLGQKSPDRASFVNLLSQYLKEKRNLGDFSLGMTSKPDAKGLETSRQHAKNMNFLSNMPNCSESSRPNLVASTSNVKSSDFFPEIGSFGASSSKEDTFNKTDFMKSAAVEPKNAQLTIFFGGQVFVYNDFPADKVKEIMAVANRGWSTACSGVVADSAMEKLNANLDKIDYSSPHIPDLNITSATANSPAQDPSVERCQYVGSGN >KJB70168 pep chromosome:Graimondii2_0_v6:11:5133243:5135195:1 gene:B456_011G062000 transcript:KJB70168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLGQKSPDRASFVNLLSQYLKEKRNLGDFSLGMTSKPDAKGLETSRQHAKNMNFLSNMPNCSESSRPNLVASTSNVKSSDFFPEIGSFGASSSKEDTFNKTDFMKSAAVEPKNAQLTIFFGGQVFVYNDFPADKVKEIMAVANRGWSTACSGVVADSAMEKLNANLDKIDYSSPHIPDLNITSATANSPAQDPSVERCQYVGSDLRIARRNSLHKFFEKRKDRAAMRAPYQLNNHQGSPPPPKPDENKPSHEEGQSSKETPRDIDLNL >KJB74112 pep chromosome:Graimondii2_0_v6:11:60566542:60568457:-1 gene:B456_011G273500 transcript:KJB74112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLRPLIFFIMAALAIFMLISPSHSSLDNVNDKVTVSLYYETSLCPRCASFISNDLVKVFHTDLHTIINLRMSLDGRLCNNVHHGEEECHLNTIHSCVIHFWPDVKEHLEFIGCTEQQSLKGGPVEALWKNCSEKLRLNDNETKEKTLLLQQAYVLQHVIKLYAVQPKNVTAACFCCNMLTSEYVTWVVVNHQPLRQDFENFVKYVCQAYKGDHKPAACKAQASNLSPTIYASFAVPQPPVIQVVDFYKLALQWPPSVCSSTLNCKLPIPTEFKIHGIWAQDARDTLVPPYDTHHPYTNPQPMTSQSDLRVCIHTFFA >KJB71250 pep chromosome:Graimondii2_0_v6:11:14102454:14105542:-1 gene:B456_011G112800 transcript:KJB71250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNQTVEDESHPSITAASRRIQCLSLHLTPLPPSQPSPQLNMLTCAKAANKLEVDKVSLSTYMRGKNRDIQEKIIEFFESRPDLQTPVGVSMNEHRELCMRQLVALVREAKIKPFRYVVDDPAKYFAITEAIGSIDVSLGIKLGVQFSLWGGSVLNLGTEKHRDKYFDGIDNLDYPGCFAMTELHHGSNVQGLQTTATFDPVTDEFIIDTPNDGAIKWWIGNASVHGKFATVFAKLILPTHDSKKVSDMGVHAFIIPIRDLNTLQTLPGIEIHDCGHKVGLNGVDNGALRFRSVRIPRDNLLNRFGDVSQDGKYTSSLPTINKRFAAMLGELVGGRVGLAYASVGFLKISVTIAVRYSLLRQQFGPPEQPEVSILDYQSQQHKLMPMLASSYAFHFATQYLVQKYSEMKKMHDEQLVADVHALSAGLKAYVTSYTAKSLSTCREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLMQQVAADLLKQYKDKFQGGTLSVTWNYLRESMNTYLSQPNPIIARWESADHLRDPKFQLDAFRYRTSRLLQSVAARLRKHSKTLGTFGAWNRCLNHLLLLAESHTESVILAKFIEAVHNCPDASSRAALKLVCDLYALDHIWNDIGTYRNVDYVAPNKAKAIHKLTEYLCFQVRNIAGELVDGFDLPASVTRAPIAMQSEAYAQYTHHIGF >KJB71252 pep chromosome:Graimondii2_0_v6:11:14102640:14105427:-1 gene:B456_011G112800 transcript:KJB71252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNQTVEDESHPSITAASRRIQCLSLHLTPLPPSQPSPQLNMLTCAKAANKLEVDKVSLSTYMRGKNRDIQEKIIEFFESRPDLQTPVGVSMNEHRELCMRQLVALVREAKIKPFRYVVDDPAKYFAITEAIGSIDVSLGIKLGVQFSLWGGSVLNLGTEKHRDKYFDGIDNLDYPGCFAMTELHHGSNVQGLQTTATFDPVTDEFIIDTPNDGAIKWWIGNASVHGKFATVFAKLILPTHDSKKVSDMGVHAFIIPIRDLNTLQTLPGIEIHDCGHKVGLNGVDNGALRFRSVRIPRDNLLNRFGDVSQDGKYTSSLPTINKRFAAMLGELVGGRVGLAYASVGFLKISVTIAVRYSLLRQQFGPPEQPEVSILDYQSQQHKLMPMLASSYAFHFATQYLVQKYSEMKKMHDEQLVADVHALSAGLKAYVTSYTAKSLSTCREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLMQQVAADLLKQYKDKFQGGTLSVTWNYLRESMNTYLSQPNPIIARWESADHLRDPKFQLDAFRYRTSRLLQSVAARLRKHSKTLGTFGAWNRCLNHLLLLAESHTESVILAKFIEAVHKYILNSNIFRLPCAYFLSF >KJB71251 pep chromosome:Graimondii2_0_v6:11:14103338:14105427:-1 gene:B456_011G112800 transcript:KJB71251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNQTVEDESHPSITAASRRIQCLSLHLTPLPPSQPSPQLNMLTCAKAANKLEVDKVSLSTYMRGKNRDIQEKIIEFFESRPDLQTPVGVSMNEHRELCMRQLVALVREAKIKPFRYVVDDPAKYFAITEAIGSIDVSLGIKLGVQFSLWGGSVLNLGTEKHRDKYFDGIDNLDYPGCFAMTELHHGSNVQGLQTTATFDPVTDEFIIDTPNDGAIKWWIGNASVHGKFATVFAKLILPTHDSKKVSDMGVHAFIIPIRDLNTLQTLPGIEIHDCGHKVGLNGVDNGALRFRSVRIPRDNLLNRFGDVSQDGKYTSSLPTINKRFAAMLGELVGGRVGLAYASVGFLKISVTIAVRYSLLRQQFGPPEQPEVSILDYQSQQHKLMPMLASSYAFHFATQYLVQKYSEMKKMHDEQLVADVHALSAGLKAYVTSYTAKSLSTCREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLMQQVAADLLKQYKDKFQGGTLSVTWNYLRESMNTYLSQPNPIIARWESADHLRDPKFQLDAFRVSVIFVIVHYRFIV >KJB74073 pep chromosome:Graimondii2_0_v6:11:60303702:60308664:-1 gene:B456_011G270700 transcript:KJB74073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPDPSSELLAASGGDTVKIFDIKLEPNDPCVLSYSPSPSCIVNSVKWNHTNLVVASAGEDKKISLWRKNGQRIGTIPVDGTDSGDNIEESILAISFSNKGSRYICSGGSGQVVRIWDLQRKRCIKWLRGHTSSITGVMYNCKDEHLASISLSGDLILHNLASGAKAAELKDPDAQELKVLDYSRISRHILVTAGDDGSVHLWDTTGRNPKVSWLKQHSAPTAGISFSPSNDKIIASVGLDKKLYTYDSGSRRPSAFNSYEAPFSSLAFKDDGWTLAAGTGNGRVVFYDIRGKLQPFTVLRAYSSSEAVSSLCWQRSKPAFVNESTCTAETALLGGAMEDSVLMPDPLPSVTSTNLSSSTTVSGSRITGRSGPAEVSSLTSSSGSTSSTLNLSSSLETPIRSHLWPGGTLTRLHAPRSTFNFKDDMEVFSPLVDVHPITPSLDKLWDGHDGAKKEHLPNDKKPSSLLFPSSRRFAFSDDGASDHPILDWKSSSMSQQDVTKSFAALESTPTPSSKSEETSITPPEAWGGEKISDKFAHLRQLPSRFGMPASGGLTTSSIYAGHDHSSMLSQTMSNLSYENLHTKDVSSNQETSSLGFPEHFSSSSMSALSFGSKGITRAGSLDSPKLVSLAPPRRFSTYAERISTTSAFSDGTSHLVASPKTKKTGAETREDLFNSLLSRSDSLTAAASGVLPAMNGGTLQPPKASQQDPQQGSNFTLQLFQRTLEESLDSFQKSIHGDMRNLHIEILRQFHMQEMEMSRVMSSILQNQAELMEEVKSLRKENQQLHQLL >KJB71945 pep chromosome:Graimondii2_0_v6:11:24833639:24841443:-1 gene:B456_011G149900 transcript:KJB71945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCGSVSALYLNLQSSKISMGSVLAFRSGESMGNTLRIPFKKRSSKGAACPLQVVCIDYPRPELENTVNFLEAASLSASFRSASRPAKPLKVIIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPEVLPAPLNGIWAILKNNEMLTWPEKVKFAIGLLPAMLGGQPYVEAQDGLSVKDWMRKQGVPDRVTEEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVNHIDSLGGEVRLNSRIKKIELNEDGTVKSFLLNNGNTIEGDAYVVATPVDIFKLLLPEDWREISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVTCKEYYNPNQSMLELVFAPAEEWIACSDSEIIDATMKELAKLFPDEISADQSKAKVVKYHIVKTPRSVYKTVPNCEPCRPLQRSPIQGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDYELLRTLGQRKLTGASIH >KJB71943 pep chromosome:Graimondii2_0_v6:11:24833407:24841829:-1 gene:B456_011G149900 transcript:KJB71943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCGSVSALYLNLQSSKISMGSVLAFRSGESMGNTLRIPFKKRSSKGAACPLQVVCIDYPRPELENTVNFLEAASLSASFRSASRPAKPLKVIIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPEVLPAPLNGIWAILKNNEMLTWPEKVKFAIGLLPAMLGGQPYVEAQDGLSVKDWMRKQGVPDRVTEEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVNHIDSLGGEVRLNSRIKKIELNEDGTVKSFLLNNGNTIEGDAYVVATPVDIFKLLLPEDWREISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVTCKEYYNPNQSMLELVFAPAEEWIACSDSEIIDATMKELAKLFPDEISADQSKAKVVKYHIVKTPRSVYKTVPNCEPCRPLQRSPIQGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDYELLRTLGQRKLTGASIH >KJB71944 pep chromosome:Graimondii2_0_v6:11:24833413:24841677:-1 gene:B456_011G149900 transcript:KJB71944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCGSVSALYLNLQSSKISMGSVLAFRSGESMGNTLRIPFKKRSSKGAACPLQVVCIDYPRPELENTVNFLEAASLSASFRSASRPAKPLKVIIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPEVLPAPLNGIWAILKNNEMLTWPEKVKFAIGLLPAMLGGQPYVEAQDGLSVKDWMRKQGVPDRVTEEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVNHIDSLGGEVRLNSRIKKIELNEDGTVKSFLLNNGNTIEGDAYVVATPVDIFKLLLPEDWREISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVT >KJB73608 pep chromosome:Graimondii2_0_v6:11:56870891:56875538:1 gene:B456_011G241800 transcript:KJB73608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKQESLPPALLGSCNDRAKRLHASPSGRLLTALVCEYLDWAQLNHTLKVYQPECNLQKDSWKAELKDFSVKNGYDLNRNGDNPVLMDVLDCFLKFENLTQARGSGRRSQEIESSSSSESRNTRRPSSSTVAGGLPPLGRPVPASQSSDRRAGSSMSGYRKEEYSWRYDDDLPEDVIRASAALENLQLDRKARNLTSSWRHAGDSVSGDDDKVDHM >KJB73609 pep chromosome:Graimondii2_0_v6:11:56870942:56875538:1 gene:B456_011G241800 transcript:KJB73609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKQESLPPALLGSCNDRAKRLHASPSGRLLTALVCEYLDWAQLNHTLKVYQPECNLQKDSWKAELKDFSVKNGYDLNRNGDNPVLMDVLDCFLKFENLTQARGSGRRSQEIESSSSSESRNTRRPSSSTVAGGLPPLGRPVPASQSSDRRAGSSMSGYRKEEYSWRYDDDLPEDVIRASAALENLQLDRKACWGFSQRG >KJB73610 pep chromosome:Graimondii2_0_v6:11:56871075:56874534:1 gene:B456_011G241800 transcript:KJB73610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKQESLPPALLGSCNDRAKRLHASPSGRLLTALVCEYLDWAQLNHTLKVYQPECNLQKDSWKAELKDFSVKNGYDLNRNGDNPVLMDVLDCFLKFENLTQARGSGRRSQEIESSSSSESRNTRRPSSSTVAGGLPPLGRPVPASQSSGK >KJB73607 pep chromosome:Graimondii2_0_v6:11:56870994:56875538:1 gene:B456_011G241800 transcript:KJB73607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKQESLPPALLGSCNDRAKRLHASPSGRLLTALVCEYLDWAQLNHTLKVYQPECNLQKDSWKAELKDFSVKNGYDLNRNGDNPVLMDVLDCFLKFENLTQARGSGRRSQEIESSSSSESRNTRRPSSSTVAGGLPPLGRPVPASQSSGK >KJB71632 pep chromosome:Graimondii2_0_v6:11:20357441:20358350:-1 gene:B456_011G135000 transcript:KJB71632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILGCLTVVTFFGASDSDETESVMKLLWDLINPGTDSSIERKDSPAVLTAMISAWSFLLFTIDGWGLSHKNWQGAITYFSNILDSNNEAICAAACEALALVFESNCLEKFSSKTKDSNKELKDDIIKQLRSRLSETGNERISSQDPRTGFNSASVALDFLEVLI >KJB71633 pep chromosome:Graimondii2_0_v6:11:20357441:20358350:-1 gene:B456_011G135000 transcript:KJB71633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILGCLTVVTFFGASDSDETESVMKLLWDLINPGTDSSDRFPSMSHQIERKDSPAVLTAMISAWSFLLFTIDGWGLSHKNWQGAITYFSNILDSNNEAICAAACEALALVFESNCLEKFSSKTKDSNKELKDDIIKQLRSRLSETGNERISSQDPRTGFNSASVALDFLEVLI >KJB73226 pep chromosome:Graimondii2_0_v6:11:53373321:53382051:-1 gene:B456_011G223600 transcript:KJB73226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNVISDEEDELEVDEEGEPMEGDNINDRDPDDEDEEDEDGQDEYENDGFIVDDVEDEDVDEDEEREDSDEERRKKKKKRKKKEAEDLDEDDYELLRENDVNVPKGSKKFKRLKKARRDFDEEQFGLSDDEFDGGMKGSATAEEKLKRTLFGDDDGQPLEDIAEDEEPIEEEEDGDMGEEDEMADFIVEEDEEHGASVRRKKMKNKKSRHAPDVSSSALKEAIDIFGDVDELLLLRKQGLDSSEQKEGRLEDQFEPTVLSEKYMTEKDDQIRMTDVPERMQISEESTGTPPIDELSIIEESTWIFNQLINGAVPLFGKERQDHFINKDDIMRFLDLTHVQKLDIPFIAMYRKEQCLTLLQDPEQHDVDDDQDKSEKAPTMKWHRVLWAIQDLDRKWLLLQKRKSGLQLHYNKRFEEESRRIYDETRLNLNQQLFESILKDLRGAESEREVDDVDAKFNLHFPPGEVGVDEGQYKRPKRRSQYSICNKAGLWEVASKFGYSAEQLGAHLSLEKMDDELEDAKETPEEIASNFTCAMFETPQAVLKGARHMAAVEISCEPSVKRCVRNIFMDNAVVSTSPTPDGKIAIDSFHQFAGVKWLREKPLTRFDDAQWLLIQKAEEEKLLQVTIKLPEKFLNKLIKECNDQYLSNGVSKSAQQWNEQRKLILHDALFGFLLPSMEKEARSLLASRAKNRLLLEYGKDLWNKVSVGPYQKKESDVSSDEETAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYTAALTLRSQNVHDQQRKKNDQQRVLKFMTDHQPHVVVLGAVNLSCTRLKDDIYEIIFKMVEENPRDVGHEMDELSIVYGDESLPRLYENSRISTDQLPGQSGIVRRAVALGRYLQNPLAMVATLCGPGKEILSWKLNPMENFLTADEKYGMIEQVLVDVTNQVGLDANLAASHEWLFAPLQFISGLGPRKAASLQRSLVRVGTIFTRKDFLSAHGLDKKVFVNAVAFLRVRRSGLAANSSQFIDLLDDTRIHPESYLVAQELAKDVYDEDLKGDNDDEDALEMAIEHVRDRPGLLKRLRLDNYLKSKDRQNKRETFYDIRRELIQGFQDWRKPYKEPSQDEEFFMISGETEDTLAEGRIVQATVRRVQGGRAICALESGLTGIIMKEDYADDWRDIIELSDRLHEGDILTCKIKSIQKNRYQVFLVCKDSEMRSNRHQHVQNLDPYYHEERSSIQSEQEKARKEKELAKKHFKPRMIVHPRFQNITADEAVEYLCDKEPGESIVRPSSRGPSYLTLTLKVYDGVYAHKEIVEGGKEHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVSHLKAMLSYRKFRKGTKSEVDKLLTIERQEYPMRIVYCFGISHEHPGTFILTYIRKAYR >KJB73225 pep chromosome:Graimondii2_0_v6:11:53373313:53382096:-1 gene:B456_011G223600 transcript:KJB73225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNVISDEEDELEVDEEGEPMEGDNINDRDPDDEDEEDEDGQDEYENDGFIVDDVEDEDVDEDEEREDSDEERRKKKKKRKKKEAEDLDEDDYELLRENDVNVPKGSKKFKRLKKARRDFDEEQFGLSDDEFDGGMKGSATAEEKLKRTLFGDDDGQPLEDIAEDEEPIEEEEDGDMGEEDEMADFIVEEDEEHGASVRRKKMKNKKSRHAPDVSSSALKEAIDIFGDVDELLLLRKQGLDSSEQKEGRLEDQFEPTVLSEKYMTEKDDQIRMTDVPERMQISEESTGTPPIDELSIIEESTWIFNQLINGAVPLFGKERQDHFINKDDIMRFLDLTHVQKLDIPFIAMYRKEQCLTLLQDPEQHDVDDDQDKSEKAPTMKWHRVLWAIQDLDRKWLLLQKRKSGLQLHYNKRFEEESRRIYDETRLNLNQQLFESILKDLRGAESEREVDDVDAKFNLHFPPGEVGVDEGQYKRPKRRSQYSICNKAGLWEVASKFGYSAEQLGAHLSLEKMDDELEDAKETPEEIASNFTCAMFETPQAVLKGARHMAAVEISCEPSVKRCVRNIFMDNAVVSTSPTPDGKIAIDSFHQFAGVKWLREKPLTRFDDAQWLLIQKAEEEKLLQVTIKLPEKFLNKLIKECNDQYLSNGVSKSAQQWNEQRKLILHDALFGFLLPSMEKEARSLLASRAKNRLLLEYGKDLWNKVSVGPYQKKESDVSSDEETAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYTAALTLRSQNVHDQQRKKNDQQRVLKFMTDHQPHVVVLGAVNLSCTRLKDDIYEIIFKMVEENPRDVGHEMDELSIVYGDESLPRLYENSRISTDQLPGQSGIVRRAVALGRYLQNPLAMVATLCGPGKEILSWKLNPMENFLTADEKYGMIEQVLVDVTNQVGLDANLAASHEWLFAPLQFISGLGPRKAASLQRSLVRVGTIFTRKDFLSAHGLDKKVFVNAVAFLRVRRSGLAANSSQFIDLLDDTRIHPESYLVAQELAKDVYDEDLKGDNDDEDALEMAIEHVRDRPGLLKRLRLDNYLKSKDRQNKRETFYDIRRELIQGFQDWRKPYKEPSQDEEFFMISGETEDTLAEGRIVQATVRRVQGGRAICALESGLTGIIMKEDYADDWRDIIELSDRLHEGDILTCKIKSIQKNRYQVFLVCKDSEMRSNRHQHVQNLDPYYHEERSSIQSEQEKARKEKELAKKHFKPRMIVHPRFQNITADEAVEYLCDKEPGESIVRPSSRGPSYLTLTLKVYDGVYAHKEIVEGGKEHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVSHLKAMLSYRKFRKGTKSEVDKLLTIERQEYPMRIVYCFGISHEHPGTFILTYIRSTNPHHEYVGLYPKGFKFRKRMFEDIDRLVAYFQRHIDDPQNESAPSIRSVAAMVPMRSPAAGSSAGASTGSGWGGPTNEGSWRGQSFDRGQSSTPGSRTGRNDYRNSSSRDGHPSGAARPYGGRGRGRGSYNSSRGHNEGQDSSYNGTPKRDSGNKRGEDGLGNFPGAKVQNSPGREAFPGGWGGSAGTQSGSGSGWQ >KJB73227 pep chromosome:Graimondii2_0_v6:11:53374204:53381943:-1 gene:B456_011G223600 transcript:KJB73227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNVISDEEDELEVDEEGEPMEGDNINDRDPDDEDEEDEDGQDEYENDGFIVDDVEDEDVDEDEEREDSDEERRKKKKKRKKKEAEDLDEDDYELLRENDVNVPKGSKKFKRLKKARRDFDEEQFGLSDDEFDGGMKGSATAEEKLKRTLFGDDDGQPLEDIAEDEEPIEEEEDGDMGEEDEMADFIVEEDEEHGASVRRKKMKNKKSRHAPDVSSSALKEAIDIFGDVDELLLLRKQGLDSSEQKEGRLEDQFEPTVLSEKYMTEKDDQIRMTDVPERMQISEESTGTPPIDELSIIEESTWIFNQLINGAVPLFGKERQDHFINKDDIMRFLDLTHVQKLDIPFIAMYRKEQCLTLLQDPEQHDVDDDQDKSEKAPTMKWHRVLWAIQDLDRKWLLLQKRKSGLQLHYNKRFEEESRRIYDETRLNLNQQLFESILKDLRGAESEREVDDVDAKFNLHFPPGEVGVDEGQYKRPKRRSQYSICNKAGLWEVASKFGYSAEQLGAHLSLEKMDDELEDAKETPEEIASNFTCAMFETPQAVLKGARHMAAVEISCEPSVKRCVRNIFMDNAVVSTSPTPDGKIAIDSFHQFAGVKWLREKPLTRFDDAQWLLIQKAEEEKLLQVTIKLPEKFLNKLIKECNDQYLSNGVSKSAQQWNEQRKLILHDALFGFLLPSMEKEARSLLASRAKNRLLLEYGKDLWNKVSVGPYQKKESDVSSDEETAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYTAALTLRSQNVHDQQRKKNDQQRVLKFMTDHQPHVVVLGAVNLSCTRLKDDIYEIIFKMVEENPRDVGHEMDELSIVYGDESLPRLYENSRISTDQLPGQSGIVRRAVALGRYLQNPLAMVATLCGPGKEILSWKLNPMENFLTADEKYGMIEQVLVDVTNQVGLDANLAASHEWLFAPLQFISGLGPRKAASLQRSLVRVGTIFTRKDFLSAHGLDKKVFVNAVAFLRVRRSGLAANSSQFIDLLDDTRIHPESYLVAQELAKDVYDEDLKGDNDDEDALEMAIEHVRDRPGLLKRLRLDNYLKSKDRQNKRETFYDIRRELIQGFQDWRKPYKEPSQDEEFFMISGETEDTLAEGRIVQATVRRVQGGRAICALESGLTGIIMKEDYADDWRDIIELSDRLHEGDILTCKIKSIQKNRYQVFLVCKDSEMRSNRHQHVQNLDPYYHEERSSIQSEQEKARKEKELAKKHFKPRMIVHPRFQNITADEAVEYLCDKEPGESIVRPSSRGPSYLTLTLKVYDGVYAHKEIVEGGKEHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVSHLKAMLSYRKFRKGTKSEVDKLLTIERQEYPMRIVYCFGISHEHPGTFILTYIRSTNPHHEYVGLYPKGFKFRKRMFEDIDRLVAYFQRHIDDPQNESAPSIRSVAAMVPMRSPAAGSSAGASTGSGWGGPTNEGSWRGQSFDRGQSSTPGSRTGKY >KJB73026 pep chromosome:Graimondii2_0_v6:11:50626959:50631529:1 gene:B456_011G210100 transcript:KJB73026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELISRRRLDAFISIFVTVHPHEISALLHSSLCFFFILSAYFVVLPLRDEGAISLGLSNLPELFVGSLVLTLIAAPLSTLIFSLPNLSKVKALVFIHRLFSVSLVVFFILWHTSSTETLQSHSKNSVGISTELKVGIGHDSPIDAQGWGNHGWFYVSVRIGLFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFATGMAWLGSYLLLFAAVLMELAAQSSKGINKDIGYIPEELFPIRRADSDQQKDEDDDEQTVSALKVSSPKLTTSSVKPELWAILDGLRLVLSSTYLLHVSLFLWLSAVVSSFFYFQKVNVIAMTVASSVGRRRLFGQINSFIAVFILAGQLTLTGHILTVAGVTIALCCTPFVSFSNLVAVAIWPTWMVIAISETLRKVCQH >KJB73025 pep chromosome:Graimondii2_0_v6:11:50626959:50631233:1 gene:B456_011G210100 transcript:KJB73025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELISRRRLDAFISIFVTVHPHEISALLHSSLCFFFILSAYFVVLPLRDEGAISLGLSNLPELFVGSLVLTLIAAPLSTLIFSLPNLSKVKALVFIHRLFSVSLVVFFILWHTSSTETLQSHSKNSVGISTELKVGIGHDSPIDAQGWGNHGWFYVSVRIGLFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFATGMAWLGSCISSPICCRFDGTSSTVIKRDQQGYRLYP >KJB73028 pep chromosome:Graimondii2_0_v6:11:50626959:50632579:1 gene:B456_011G210100 transcript:KJB73028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELISRRRLDAFISIFVTVHPHEISALLHSSLCFFFILSAYFVVLPLRDEGAISLGLSNLPELFVGSLVLTLIAAPLSTLIFSLPNLSKVKALVFIHRLFSVSLVVFFILWHTSSTETLQSHSKNSVGISTELKVGIGHDSPIDAQGWGNHGWFYVSVRIGLFLWLMISCLRSISALLQSGSRLFGFIGAGATLGQLFGSLFATGMAWLGSYLLLFAAVLMELAAQSSKGINKDIGYIPEELFPIRRADSDQQKDEDDDEQTVSALKVSSPKLTTSSVKPELWAILDGLRLVLSSTYLLHVSLFLWLSAVVSSFFYFQKVNVIAMTVASSVGRRRLFGQINSFIAVFILAGQLTLTGHILTVAGVTIALCCTPFVSFSNLVAVAIWPTWMVIAISETLRKVVNYVVTKPGRELLFTVVSEDEKYKAKICIDVIVQRLGDAAAAAIYKLLFSIFKGRISTVSLYALPVCLLWLVTAFYLGRHQTRLARLQVGSS >KJB73024 pep chromosome:Graimondii2_0_v6:11:50626783:50632579:1 gene:B456_011G210100 transcript:KJB73024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELISRRRLDAFISIFVTVHPHEISALLHSSLCFFFILSAYFVVLPLRDEGAISLGLSNLPELFVGSLVLTLIAAPLSTLIFSLPNLSKVKALVFIHRLFSVSLVVFFILWHTSSTETLQSHSKNSVGISTELKVGIGHDSPIDAQGWGNHGWFYVSVRIGLFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFATGMAWLGSYLLLFAAVLMELAAQSSKGINKDIGYIPEELFPIRRADSDQQKDEDDDEQTVSALKVSSPKLTTSSVKPELWAILDGLRLVLSSTYLLHVSLFLWLSAVVSSFFYFQKVNVIAMTVASSVGRRRLFGQINSFIAVFILAGQLTLTGHILTVAGVTIALCCTPFVSFSNLVAVAIWPTWMVIAISETLRKVVNYVVTKPGRELLFTVVSEDEKYKAKICIDVIVQRLGDAAAAAIYKLLFSIFKGRISTVSLYALPVCLLWLVTAFYLGRHQTRLARLQVGSS >KJB73027 pep chromosome:Graimondii2_0_v6:11:50626959:50632579:1 gene:B456_011G210100 transcript:KJB73027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFINGNFTIPFKVGISTELKVGIGHDSPIDAQGWGNHGWFYVSVRIGLFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFATGMAWLGSYLLLFAAVLMELAAQSSKGINKDIGYIPEELFPIRRADSDQQKDEDDDEQTVSALKVSSPKLTTSSVKPELWAILDGLRLVLSSTYLLHVSLFLWLSAVVSSFFYFQKVNVIAMTVASSVGRRRLFGQINSFIAVFILAGQLTLTGHILTVAGVTIALCCTPFVSFSNLVAVAIWPTWMVIAISETLRKVVNYVVTKPGRELLFTVVSEDEKYKAKICIDVIVQRLGDAAAAAIYKLLFSIFKGRISTVSLYALPVCLLWLVTAFYLGRHQTRLARLQVGSS >KJB73029 pep chromosome:Graimondii2_0_v6:11:50626959:50632579:1 gene:B456_011G210100 transcript:KJB73029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELISRRRLDAFISIFVTVHPHEISALLHSSLCFFFILSAYFVVLPLRDEGAISLGLSNLPELFVGSLVLTLIAAPLSTLIFSLPNLSKVKALVFIHRLFSVSLVVFFILWHTSSTETLQSHSKNSVGISTELKVGIGHDSPIDAQGWGNHGWFYVSVRIGLFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFATGMAWLGSYLLLFAAVLMELAAQSSKGINKDIGYIPEELFPIRADSDQQKDEDDDEQTVSALKVSSPKLTTSSVKPELWAILDGLRLVLSSTYLLHVSLFLWLSAVVSSFFYFQKVNVIAMTVASSVGRRRLFGQINSFIAVFILAGQLTLTGHILTVAGVTIALCCTPFVSFSNLVAVAIWPTWMVIAISETLRKVVNYVVTKPGRELLFTVVSEDEKYKAKICIDVIVQRLGDAAAAAIYKLLFSIFKGRISTVSLYALPVCLLWLVTAFYLGRHQTRLARLQVGSS >KJB73499 pep chromosome:Graimondii2_0_v6:11:55722493:55723393:1 gene:B456_011G236000 transcript:KJB73499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFSTVDGFVEITESLAEMIKYIANEPSLGLSYVQKHTRDTVPNLLNLSNRLADKSRQATLHAQDLEDSITMVSSMKQCGFPIADEMIKDITDSLTLISVKQLKRGLIDSTDSSFTMRRTMSWGPMAWVYGSEDVQQDGSNYFLTMFKSAREKASGFRWPQLESKEPIEPAVRCPAPTIRVGSASTGSIPDNFQWPQLESKEPIETESQMPVQCQPADKLHGEERKVETDGEVSGESILFVSEDYNDFKADKESKLEPWLEGCEDKLDRSKGERETGGV >KJB73872 pep chromosome:Graimondii2_0_v6:11:58697663:58698241:-1 gene:B456_011G257500 transcript:KJB73872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTIKTGNHSSHPQHDLCHKISSAFYICKICGHSGFGPRFSCPQSCNFNLHEECCTNFTYHTAFNFPSFLAGSNFVVSRDPPRNLPCTACQLPIEGRYYQCNNKRTNLHLLCVRLPTTLTISGMQTTLSNRCNVRCSYRSCPNINGGWSYVSHNGTNYHVACWKAMMLRPDNVYKPQAFWNKIPRSTVARKN >KJB72787 pep chromosome:Graimondii2_0_v6:11:47790810:47797471:-1 gene:B456_011G197700 transcript:KJB72787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWAFKTLKRYRERFCMFNDDVQGTAGVALAGLLGTVRAQGRSLDDFPNHKIVVVGSGSAGLGVLSMAVQAVVRMTGNADIAAQNFFLLDKDVQFSAFFHINAVKVSGVVNSGAFCDAFTITLKNIIIIIRKPICKLVQTNLATYSTSLSCTNLSTHSHCTK >KJB73042 pep chromosome:Graimondii2_0_v6:11:50995700:50997325:1 gene:B456_011G211200 transcript:KJB73042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLYPHYEEENYSSNTANLLNPEEFRRQGHMIIDFIADYYQNMEKYPVLSQVQPGYLAKLLPKSAPNIPEPIETILLDIQQYIIPGITHWQSPNYFAYFPSSGSIAGFLGEVLSTGFNVVGFNWISSPAATELEFVTMDWLGQMLGLPQSFLFSGTGGGVIQGTTCEAILCTLVAARDQMLAKVGRENMGKLVFYGSDQTHCALLKAAKVAGLECKNFRAIKTMRSTAFQLSAESLRTTIRLDVEVGLIPAFLCATIGTTSTTAVDPIRSLSEVTKEYGMWIHVDAAYAGSACICPEYRHFIDGVENVNSFSFNAHKWFFTTLDCCCLWVKDPGALTKSLSSSAEYLKNNASDSKQVVDYKDWQITLSRRFRSMKLWLVLRSYGVENLRNFLRSHVKMAKRFQQLVESDNRFEVVVPRNFAMVCFRVLPTTLKKEPNGCKXXXXXXXXXXXXGKVFMTHSIVEGIYVIRFAVGATLTEDKHVIKAWKVVQETVDGMLATI >KJB73738 pep chromosome:Graimondii2_0_v6:11:57343294:57344168:-1 gene:B456_011G247200 transcript:KJB73738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANTVSLKLLVDSTSQRVLFSESGKDFVDFMFNILSLPIGSVIKLLTKEQMVGSLGNLYDSLENMNDTYIHSPENKDTLLKPIVPNNAANMPPLLPTLELSKPIGIYRCGNYLRRNCGLYVSSDSKFICPSCNNVMNQTATNVNPKKKDSSTNEGGYVKGVVTYIIMDDLVVRPMSAISCFNLLNKFNIKDVGVLQDKTIDIGIDECVKLLKASFQYKTVLSDVFLEKKMGESNASSSSGVNSKVI >KJB73716 pep chromosome:Graimondii2_0_v6:11:57199455:57200789:1 gene:B456_011G245900 transcript:KJB73716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAPMLTSKTFKAIIYLLLVAITFTCVNSARVLDEVEPQPQVVDDKPSGQVVPAATPSGPEDDPPVPTVAAPASEDEAPVATPAAPEAPADDDEAPVATPAAPVAGGAATGPGAAAATGANPGSHEPALSFFMHDILGGTHPSARVVTGVIANQEINGIPFSKTNNNIFPVEGAAPLLTGNNINNLKNINNLINPNNVPFLTGLTGAQTSAVVQNSHNSDSVINSDSNPFVTAGQLPPGSLQRLMFGTITVIDDQLTEAHELGSAILGKAQGFYLASSVDGSSQTIALTVLLHGGEHGHEIEDTISFFGVHRTVSPESQIALIGGTGKYENARGYATVETLLNQENQHITDGVDTILHFNVYLTE >KJB71275 pep chromosome:Graimondii2_0_v6:11:14639253:14641404:-1 gene:B456_011G114200 transcript:KJB71275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYLMLDGGVFEEDSSSQSIASSEKGLGGGNEISGATSKTPIIKCKTEAREKKLEQRHRVAFITKSEIEVMDDGYKWRKYGKKPVKNSPNPRNYYKCSSGGCNVKKRIERDRDDHSYVITTYEGSHNHYSPFTVYYNQMPPNAWT >KJB72544 pep chromosome:Graimondii2_0_v6:11:43771638:43775621:1 gene:B456_011G184200 transcript:KJB72544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCASSFPAIINWGAASDPQKSTPFASHFLGGSDLVLQSLKKLNQVKKRPGGVYASLSEGAEYHSQRPATPLLDTINYPIHMKNLSVKELKQLSEELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNAPRDKILWDVGHQSYPHKILTGRRHKMHTMRQTNGLAGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGERNHVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTANLDGPTPPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPMHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLVSILKEVKTTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVVKFDPATGKQFKGNSATQSYTTYFAEALIAEAEADKNIVAIHAAMGGGTGLNLFLRRFPQRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTFMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGIGVQLPPGKKGVPLEVGKGRVLIEGERVALLGYGSAVQSCLAAASLLESRGLRLTVADARFCKPLDHALIRKLAKSHEVLITVEEGSIGGFGSHVAQFLALDGLLDGKVKWRPLVLPDRYIDHGSPVDQLAEAGLTPSHIAATVFNVLEQKREAFEVMSSRN >KJB72545 pep chromosome:Graimondii2_0_v6:11:43771796:43775547:1 gene:B456_011G184200 transcript:KJB72545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCASSFPAIINWGAASDPQKSTPFASHFLGGSDLVLQSLKKLNQVKKRPGGVYASLSEGAEYHSQRPATPLLDTINYPIHMKNLSVKELKQLSEELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNAPRDKILWDVGHQSYPHKILTGRRHKMHTMRQTNGLAGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGERNHVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTANLDGPTPPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPMHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLVSILKEVKTTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVVKFDPATGKQFKGNSATQSYTTYFAEALIAEAEADKNIVAIHAAMGGGTGLNLFLRRFPQRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTFMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGIGVQLPPGKKGVPLEVGKGRVLIEGERVALLGYGSAVQSCLAAASLLESRGLRLTVADARFCKPLDHALIRKLAKSHEVLITVEEGSIGGFGSHVAQFLALDGLLDGKVKVCESKTMYPYTNNYFFALKYFPDLQWRPLVLPDRYIDHGSPVDQLAEAGLTPSHIAATVFNVLEQKREAFEVMSSRN >KJB72546 pep chromosome:Graimondii2_0_v6:11:43771796:43775604:1 gene:B456_011G184200 transcript:KJB72546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCASSFPAIINWGAASDPQKSTPFASHFLGGSDLVLQSLKKLNQVKKRPGGVYASLSEGAEYHSQRPATPLLDTINYPIHMKNLSVKELKQLSEELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNAPRDKILWDVGHQSYPHKILTGRRHKMHTMRQTNGLAGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGERNHVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTANLDGPTPPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPMHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLVSILKEVKTTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVVKFDPATGKQFKGNSATQSYTTYFAEALIAEAEADKNIVAIHAAMGGGTGLNLFLRRFPQRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTFMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGIGVQLPPGKKGVPLEVTFEKASKYSFL >KJB72843 pep chromosome:Graimondii2_0_v6:11:48559097:48559811:1 gene:B456_011G2004002 transcript:KJB72843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLISKRLGVFVMIFVFAIGIAECRKLEKETLGGGLGGGVGGGVGGGHDGGLGGGFGGGKGGGVGVGGGAGAGGGGGLGGGKGGGIGGGAGGGAGGGIGGGAGGGAGGGANGGFGGGKGGGIGGGAGGGKGGGIGAGGGVGGGTGGGAGGGFGGGKGGGIGGGAGGGKGGGIGVGGGAGGGAGGGFGGGK >KJB68880 pep chromosome:Graimondii2_0_v6:11:24645387:24646239:-1 gene:B456_011G149600 transcript:KJB68880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNPSVSLEVIFLLQKNLPLASAQKSVLQRPNMAYKISSVLFILVLLLSFSLLLPPSSPTNTHLIVQKINEVIRRDLIGAKPPPNDHHPGGGQNGR >KJB71984 pep chromosome:Graimondii2_0_v6:11:25847583:25848324:-1 gene:B456_011G152200 transcript:KJB71984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLHFFFLLFFFTLSCFSQTESSTVNDVLMHCNGSIRECNEANEMLMESEISRRFLEQKRYISPGALKRDQPVCRGGAGGEAYSKSGGCLPEPSNPYNRGCSKYYRCRSDS >KJB70064 pep chromosome:Graimondii2_0_v6:11:4476638:4484614:1 gene:B456_011G056600 transcript:KJB70064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENCSDGGQDPNPCYLQKFRLYETRSNFYMVGRDKSGTLCRILKIDRLDPSELTVLEDSTTYPEIECYDLLRRIHEGNRSTGGLKFVTACYGIIGFIKFLGPHYMLLITKRRKIGAICGHTIYAISKTQMITIGNSPVQSNMAYSKNEKRYKKLLCSVDLTKDFFFSYSYNVMHSLQRNLCKNETGLLNYETMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVELSVSSRDVKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPTYEATKLHFENLARRYGNPIIILNLIKRCEKKPRETILRSEFANAIRYINKSLTKENRLRFLHWDINRHSRKATNVLELLGRVADYALNLTGIFYCQVTPNCRPEGLLDLTCVLQNDEHFAQIPCDKSDDGEKLDIDVGSDNQSSTETVKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLMALGRQLHAMGFTESQTIDQSSPLAEDLMGVYEKMGDTLALQYGGSAAHNKIFCQRRGQWQAATQSQELFRTLQRYYSNAYMDAEKQSAINLFLGHFQPQQGKPALWELDSDQHYSVGRHGPNLLNEDARTSIKRSMSDGNILCGTDSPMSASNVRQESENRGEAISESTHEMPAYGISYSRFTPRMSCRQLFGEIEDQFLGSNRICYENGDECNCTNFDMDWLSSSGNSCDDDVYDSCRSAAGLSSENIGAELKTGMTTSPSESGSNIKVSTLLLKQIIAPFQLCVRGSSSYIYIFPTPSSPLTTKRSFIP >KJB70062 pep chromosome:Graimondii2_0_v6:11:4476638:4482131:1 gene:B456_011G056600 transcript:KJB70062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENCSDGGQDPNPCYLQKFRLYETRSNFYMVGRDKSGTLCRILKIDRLDPSELTVLEDSTTYPEIECYDLLRRIHEGNRSTGGLKFVTACYGIIGFIKFLGPHYMLLITKRRKIGAICGHTIYAISKTQMITIGNSPVQSNMAYSKNEKRYKKLLCSVDLTKDFFFSYSYNVMHSLQRNLCKNETGLLNYETMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVELSVSSRDVKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPTYEATKLHFENLARRYGNPIIILNLIKRCEKKPRETILRSEFANAIRYINKSLTKENRLRFLHWDINRHSRKATNVLELLGRVADYALNLTGIFYCQVTPNCRPEGLLDLTCVLQNDEHFAQIPCDKSDDGEKLDIDVGSDNQSSTETVKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLMALGRQLHAMGFTESQTIDQSSPLAEDLMGVYEKMGDTLALQYGGSAAHNKIFCQRRGQWQAATQSQELFRTLQRYYSNAYMDAEKQSAINL >KJB70060 pep chromosome:Graimondii2_0_v6:11:4476594:4484614:1 gene:B456_011G056600 transcript:KJB70060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENCSDGGQDPNPCYLQKFRLYETRSNFYMVGRDKSGTLCRILKIDRLDPSELTVLEDSTTYPEIECYDLLRRIHEGNRSTGGLKFVTACYGIIGFIKFLGPHYMLLITKRRKIGAICGHTIYAISKTQMITIGNSPVQSNMAYSKNEKRYKKLLCSVDLTKDFFFSYSYNVMHSLQRNLCKNETGLLNYETMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVELSVSSRDVKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPTYEATKLHFENLARRYGNPIIILNLIKRCEKKPRETILRSEFANAIRYINKSLTKENRLRFLHWDINRHSRKATNVLELLGRVADYALNLTGIFYCQVTPNCRPEGLLDLTCVLQNDEHFAQIPCDKSDDGEKLDIDVGSDNQSSTETVKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLMALGRQLHAMGFTESQTIDQSSPLAEDLMGVYEKMGDTLALQYGGSAAHNKIFCQRRGQWQAATQSQELFRTLQRYYSNAYMDAEKQSAINLFLGHFQPQQGKPALWELDSDQHYSVGRHGPNLLNEDARTSIKRSMSDGNILCGTDSPMSASNVRQESENRGEAISESTHEMPAYGISYSRFTPRMSCRQLFGEIEDQFLGSNRICYENGDECNCTNFDMDWLSSSGNSCDDDVYDRSAAGLSSENIGAELKTGMTTSPSESGSNIKVSTLLLKQIIAPFQLCVRGSSSYIYIFPTPSSPLTTKRSFIP >KJB70061 pep chromosome:Graimondii2_0_v6:11:4476594:4484614:1 gene:B456_011G056600 transcript:KJB70061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENCSDGGQDPNPCYLQKFRLYETRSNFYMVGRDKSGTLCRILKIDRLDPSELTVLEDSTTYPEIECYDLLRRIHEGNRSTGGLKFVTACYGIIGFIKFLGPHYMLLITKRRKIGAICGHTIYAISKTQMITIGNSPVQSNMAYSKNEKRYKKLLCSVDLTKDFFFSYSYNVMHSLQRNLCKNETGLLNYETMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVELSVSSRDVKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPTYEATKLHFENLARRYGNPIIILNLIKRCEKKPRETILRSEFANAIRYINKSLTKENRLRFLHWDINRHSRKATNVLELLGRVADYALNLTGIFYCQVTPNCRPEGLLDLTCVLQNDEHFAQIPCDKSDDGEKLDIDVGSDNQSSTETVKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLMALGRQLHAMGFTESQTIDQSSPLAEDLMGVYEKMGDTLALQYGGSAAHNKIFCQRRGQWQAATQSQELFRTLQRYYSNAYMDAEKQSAINLFLGHFQPQQGKPALWELDSDQHYSVGRHGPNLLNEDARTSIKRSMSDGNILCGTDSPMSASNVRQESENRGEAISESTHEMPAYGISYSRFTPRMSCRQLFGEIEDQFLGSNRICYENGDECNCTNFDMDWLSSSGNSCDDDVYDRSAAGLSSENIGAELKTGMTTSPSESGSNIKVGERSASEVTCDGLMDEYSESFVNWVTHGDLLIPVKFTCQ >KJB70063 pep chromosome:Graimondii2_0_v6:11:4476638:4484614:1 gene:B456_011G056600 transcript:KJB70063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENCSDGGQDPNPCYLQKFRLYETRSNFYMVGRDKSGTLCRILKIDRLDPSELTVLEDSTTYPEIECYDLLRRIHEGNRSTGGLKFVTACYGIIGFIKFLGPHYMLLITKRRKIGAICGHTIYAISKTQMITIGNSPVQSNMAYSKNEKRYKKLLCSVDLTKDFFFSYSYNVMHSLQRNLCKNETGLLNYETMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVELSVSSRDVKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPTYEATKLHFENLARRYGNPIIILNLIKRCEKKPRETILRSEFANAIRYINKSLTKENRLRFLHWDINRHSRKATNVLELLGRVADYALNLTGIFYCQVTPNCRPEGLLDLTCVLQNDEHFAQIPCDKSDDGEKLDIDVGSDNQSSTETVKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLMALGRQLHAMGFTESQTIDQSSPLAEDLMGVYEKMGDTLALQYGGSAAHNKIFCQRRGQWQAATQSQELFRTLQRYYSNAYMDAEKQSAINLFLGHFQPQQGKPALWELDSDQHYSVGRHGPNLLNEDARTSIKRSMSDGNILCGTDSPMSASNVRQESENRGEAISESTHEMPAYGISYSRFTPRMSCRQLFGEIEDQFLGSNRICYENGDECNCTNFDMDWLSSSGNSCDDDVYDSCRSAAGLSSENIGAELKTGMTTSPSESGSNIKVGERSASEVTCDGLMDEYSESFVNWVTHGDLLIPVKFTCQ >KJB70065 pep chromosome:Graimondii2_0_v6:11:4478714:4484614:1 gene:B456_011G056600 transcript:KJB70065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLQRNLCKNETGLLNYETMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVELSVSSRDVKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPTYEATKLHFENLARRYGNPIIILNLIKRCEKKPRETILRSEFANAIRYINKSLTKENRLRFLHWDINRHSRKATNVLELLGRVADYALNLTGIFYCQVTPNCRPEGLLDLTCVLQNDEHFAQIPCDKSDDGEKLDIDVGSDNQSSTETVKPPMFQTGVLRTNCIDCLDRTNVAQYAYGLMALGRQLHAMGFTESQTIDQSSPLAEDLMGVYEKMGDTLALQYGGSAAHNKIFCQRRGQWQAATQSQELFRTLQRYYSNAYMDAEKQSAINLFLGHFQPQQGKPALWELDSDQHYSVGRHGPNLLNEDARTSIKRSMSDGNILCGTDSPMSASNVRQESENRGEAISESTHEMPAYGISYSRFTPRMSCRQLFGEIEDQFLGSNRICYENGDECNCTNFDMDWLSSSGNSCDDDVYDRSAAGLSSENIGAELKTGMTTSPSESGSNIKVGERSASEVTCDGLMDEYSESFVNWVTHGDLLIPVKFTCQ >KJB74308 pep chromosome:Graimondii2_0_v6:11:61867809:61868934:1 gene:B456_011G286400 transcript:KJB74308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLECCSIFACGAQSSTISSLSPILSRYPSGKASSNLGLSLSPKGNLRTQMNDDDDDDEDDEEEYIGYRIKFVIFKLDMDTKSWEKIYSLGDRSLFLGNCCTFTVAAADYPGCKPSCIYYTDELSDVEVNGIYDVEKYLDEDIGLGSLVQPFPKLELMDDFLPPLCWIIPYPL >KJB74284 pep chromosome:Graimondii2_0_v6:11:61846515:61850568:1 gene:B456_011G286100 transcript:KJB74284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPCLPHGRSQEVKQLMSYDPGEWSESLRKEYLLVIEGFFTVPLPLFSTTYRRAIKARTKVAEALSLIVRERRKEYERGAKKNDMLAALLAGDDKFSDEQIVDFLVALLVAGYETTSTIMTLAVKFLTETPLALAQLKEEHEGIRAKKSESEALQWSDYKSMPFTQCVVNETLRVANIISGVFRRAMTDINIKGYTIPKGWRVFASFRAVHLDHNQFKDARTFNPWRWQSNSGISCPGHVFTPFGGGPRLCPGYELARVELSVFLHHLVTRFSWEPAEEDKLVFFPTTRTQKRYPINVRRRNRSFS >KJB74281 pep chromosome:Graimondii2_0_v6:11:61845824:61850882:1 gene:B456_011G286100 transcript:KJB74281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLHYLFITAIISAVSFLLHRSSRHRRLRLPPGNLGLPLVGETLQLIAAYKTENPEPFIDERVRRYGSIFTTHVFGEPTVFSAEPETNRFILQNEGKLFECSYPGSISNLLGKHSLLLMKGSLHKKMHSLTMSFANSSIIKDHLLVDIDRLIRLNLDSWTDRVFLMEEAKKITFELTVKQLMSYDPGEWSESLRKEYLLVIEGFFTVPLPLFSTTYRRAIKARTKVAEALSLIVRERRKEYERGAKKNDMLAALLAGDDKFSDEQIVDFLVALLVAGYETTSTIMTLAVKFLTETPLALAQLKEEHEGIRAKKSESEALQWSDYKSMPFTQCVVNETLRVANIISGVFRRAMTDINIKGYTIPKGWRVFASFRAVHLDHNQFKDARTFNPWRWQSNSGISCPGHVFTPFGGGPRLCPGYELARVELSVFLHHLVTRFSWEPAEEDKLVFFPTTRTQKRYPINVRRRNRSFS >KJB74283 pep chromosome:Graimondii2_0_v6:11:61845984:61850808:1 gene:B456_011G286100 transcript:KJB74283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLHYLFITAIISAVSFLLHRSSRHRRLRLPPGNLGLPLVGETLQLIAAYKTENPEPFIDERVRRYGSIFTTHVFGEPTVFSAEPETNRFILQNEGKLFECSYPGSISNLLGKHSLLLMKGSLHKKMHSLTMSFANSSIIKDHLLVDIDRLIRLNLDSWTDRVFLMEEAKKARTKVAEALSLIVRERRKEYERGAKKNDMLAALLAGDDKFSDEQIVDFLVALLVAGYETTSTIMTLAVKFLTETPLALAQLKEEHEGIRAKKSESEALQWSDYKSMPFTQCVVNETLRVANIISGVFRRAMTDINIKGYTIPKGWRVFASFRAVHLDHNQFKDARTFNPWRWQSNSGISCPGHVFTPFGGGPRLCPGYELARVELSVFLHHLVTRFSWEPAEEDKLVFFPTTRTQKRYPINVRRRNRSFS >KJB74282 pep chromosome:Graimondii2_0_v6:11:61845984:61847688:1 gene:B456_011G286100 transcript:KJB74282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLHYLFITAIISAVSFLLHRSSRHRRLRLPPGNLGLPLVGETLQLIAAYKTENPEPFIDERVRRYGSIFTTHVFGEPTVFSAEPETNRFILQNEGKLFECSYPGSISNLLGKHSLLLMKGSLHKKMHSLTMSFANSSIIKDHLLVDIDRLIRLNLDSWTDRVFLMEEAKKITFELTVKQLMSYDPGEWSESLRKEYLLVIEGFFTVPLPLFSTTYRRAIKARTKVAEALSLIVRERRKEYERGAKKNDMLAALLAGDDKFSDEQIVDFLVALLVAGYETTSTIMTLAVKFLTETPLALAQLKVYVFIPF >KJB70939 pep chromosome:Graimondii2_0_v6:11:10988418:10990080:1 gene:B456_011G099200 transcript:KJB70939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFKAHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLARVVFRHPFRYKKQKELFVAAEGMYTGQFVYCGKKATLVVGNVLPLRSIPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNDTTRIKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPLLKAGNAFHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKA >KJB72364 pep chromosome:Graimondii2_0_v6:11:39503476:39507273:-1 gene:B456_011G174200 transcript:KJB72364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEPVRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEQHAAPNCKVLVVANPANTNALILKEFAPSIPAKNITCLTRLDHNRALGQISEKLNVQVSDVKNVIIWGNHSSTQYPDVNHATVKTPSAEKPVRELVKDDAWLNGEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPEGTWVSMGVYSDGLYNAPAGVIYSFPVTCRNGEWTIVQGLVIDEFSRKKLDLTGAELTEEKELAYSCLS >KJB72365 pep chromosome:Graimondii2_0_v6:11:39503591:39507261:-1 gene:B456_011G174200 transcript:KJB72365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEPVRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGCVVATTDVVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEQHAAPNCKVLVVANPANTNALILKEFAPSIPAKNITCLTRLDHNRALGQISEKLNVQVSDVKNVIIWGNHSSTQYPDVNHATVKTPSAEKPVRELVKDDAWLNGEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPEGTWVSMGVYSDGLYNAPAGVIYSFPVTCRNGEWTIVQGLVIDEFSRKKLDLTGAELTEEKELAYSCLS >KJB72825 pep chromosome:Graimondii2_0_v6:11:48234348:48236442:1 gene:B456_011G199700 transcript:KJB72825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSIGDRVLVREFDDGRDIEVVGKLEKSSEIGSNNKGTSIFTNNTIDPLWRIRFFPLHLMLVAELQENRELVGVIRGCIKHVGTKYGRTNVKLGCILGLRVSPTHRRMGIGLKLVRAMEEWLMNNGAHYTFLATEKNNVASKNLFTAKCNYKNLSSLTIFVQPISFAMELGISQDIKVEKLNIRQAISLYDNKLKGKDLYFTDIDAILKEKLSLGTWVFYFKQDEWIGLHSEDEDEDIISTVPPSWAMFSIWNSCEAYKIDIKKPHYPLKLFHEILSHARDKILPCLKNIIPICDYSIEKPFGFLFLYGIHGEGENVGELMKCAWSLASRLGEDIKDCKMIITELGVSDPMIKHVPHASSKSRIDDLWYFKKVNGSSINDENELAMMGELENVVVDPRDF >KJB69381 pep chromosome:Graimondii2_0_v6:11:1423043:1428481:-1 gene:B456_011G020400 transcript:KJB69381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMGTLTTIHHFCFFFTVLFIFFPKISVSVDTLSGTQFITQNQTIVSQGDVFELGFFNLDNPGEWYVGIWYKNIPDRTYVWVANRDNPVSNSSGVFKVEDRNLVLLDEGNNLVWSSNITKGDADKYNPVAQLLDSGNLVLREANSNGETDYYLWRSFDYPTDTLLPDMKLGWDLNTGFNRFLTSWKTRNDPSSGDFTFKLDYKGFPEIFLRNKQDIEYRSGPWNGLRFSGVPEMKPLDYMNFSFVTNQDEVYYSFYITNNNLFSRLIVTPTGTLERLTWIPDTKQWNPFWYAPKDQCDEYSECGPYGICDTNASPVCKCPKGFTPKNEQAWDLRDGSNGCVRKNDLDCGKDKFLHLVNMKLPESTNTVVYRSVNFKDCQAFCARNCSCTAFSNWDIRNGGIGCVIWIGDLIDLRQYTSDGGGQDLFIRLAASDLGDGGNTTAVITGTTVGGGVLILVLIAIVIWKKKKAMKRSGKIEKKGPLERSQDFLLNEVVISGKKEYSGESNPDEIELPLFDFNTIVTATDNFADENKLGQGGFGSVYMGRLLEGEEIAVKRLSKNSGQGTEEFKNEVRLIARLQHRNLVRLVGCCVETDEKMLVYEYMENRSLDSVLFNKAKSSLLNWQKRFNIICGIARGLLYLHQDSRFRIVHRDLKASNILLDGKMNPKISDFGMARIFSGDQIEANTKRVVGTYGYMSPEYAMDGNFSTKSDVFSFGVLVLEIVSGKKNRGFYHSNSELNLLGHAWRSWKEGKGLELIDPAVGDTYDEQEVLRCIQVGLLCVQERAENRPTMSTVVLMLNSETATMAQPKTPGFCLGRNTHETDSSASKQDESCTVNQVTVTMLDAR >KJB69380 pep chromosome:Graimondii2_0_v6:11:1422721:1428743:-1 gene:B456_011G020400 transcript:KJB69380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMGTLTTIHHFCFFFTVLFIFFPKISVSVDTLSGTQFITQNQTIVSQGDVFELGFFNLDNPGEWYVGIWYKNIPDRTYVWVANRDNPVSNSSGVFKVEDRNLVLLDEGNNLVWSSNITKGDADKYNPVAQLLDSGNLVLREANSNGETDYYLWRSFDYPTDTLLPDMKLGWDLNTGFNRFLTSWKTRNDPSSGDFTFKLDYKGFPEIFLRNKQDIEYRSGPWNGLRFSGVPEMKPLDYMNFSFVTNQDEVYYSFYITNNNLFSRLIVTPTGTLERLTWIPDTKQWNPFWYAPKDQCDEYSECGPYGICDTNASPVCKCPKGFTPKNEQAWDLRDGSNGCVRKNDLDCGKDKFLHLVNMKLPESTNTVVYRSVNFKDCQAFCARNCSCTAFSNWDIRNGGIGCVIWIGDLIDLRQYTSDGGGQDLFIRLAASDLGDGGNTTAVITGTTVGGGVLILVLIAIVIWKKKKAMKRSGKIEKKGPLERSQDFLLNEVVISGKKEYSGESNPDEIELPLFDFNTIVTATDNFADENKLGQGGFGSVYMGRLLEGEEIAVKRLSKNSGQGTEEFKNEVRLIARLQHRNLVRLVGCCVETDEKMLVYEYMENRSLDSVLFNKAKSSLLNWQKRFNIICGIARGLLYLHQDSRFRIVHRDLKASNILLDGKMNPKISDFGMARIFSGDQIEANTKRVVGT >KJB71979 pep chromosome:Graimondii2_0_v6:11:25592237:25593910:-1 gene:B456_011G151700 transcript:KJB71979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHHQLSSSSSSMKSTTITMEDDVRTETRDSCYFPGCRKDANCKCEICLASINATLDLMPFSVQKSSFTKLSASKPKYANHSPISFDPSITSTPTSSSCCLVESPALKSTARLNLRENKEKKKKKEEGKKGGFQSVFWKLLMGLNLVFVMEVGFSWGVGGFLKPVLTSDVARSIGERASIMQDLNGKLRILQNELKGFHNLKVSNCSNTDSVWEIDQGSLLLNSRCLLYKSAMEEVKIWGWPLQTAGLLTTGFSSKSFTILSGRVTEVNDNLLILVFLFD >KJB71978 pep chromosome:Graimondii2_0_v6:11:25592212:25593973:-1 gene:B456_011G151700 transcript:KJB71978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHHQLSSSSSSMKSTTITMEDDVRTETRDSCYFPGCRKDANCKCEICLASINATLDLMPFSVQKSSFTKLSASKPKYANHSPISFDPSITSTPTSSSCCLVESPALKSTARLNLRENKEKKKKKEEGKKGGFQSVFWKLLMGLNLVFVMEVGFSWGVGGFLKPVLTSDVARSIGERASIMQDLNGKLRILQNELKGFHNLKVSNCSNTDSVWEIDQGSLLLNSRCLLYKSAMEEVKIWGWPLQTAGLLTTGFSSKSFTILSGRVTEWSNGRNGFIITRKANASWVQSKWGASVIQMDPNTWIFEYRRSWILDNPRLISATLLELLKDRLTKMVKKMSEEFLLFFVFDIQFIEFSRNEDQLMIPT >KJB73102 pep chromosome:Graimondii2_0_v6:11:51591039:51592579:1 gene:B456_011G215000 transcript:KJB73102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKMVQITLYNQEKEEKRILLKLNRVGCGDEADQYCYSISPSIPLLDLMLDFIKRVGVTFNSVRFYYEDKPINPALNAIWLNMKDGDTIAVSRRRNFRPTAATQSTLITLPLAVVGEKPVVLKVKHFRADGALYYYLIGRNTPMKNLLHDYADRINDLYEQVNLSCFRFCSIDMGKTADDLGLKDGDVIYAFLFAMRAC >KJB73104 pep chromosome:Graimondii2_0_v6:11:51591039:51592579:1 gene:B456_011G215000 transcript:KJB73104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKMVQITLYNQEKEEKRILLKLNRVGCGDEADQYCYSISPSIPLLDLMLDFIKRVGVTFNSVRFYYEDKPINPALNAIWLNMKDGDTIAVSRRRNFRPTAATQSTLITLPLAVVGEKPVVLKVKHFRADGALYYYLIGRNTPMKNLLHDYADRINDLYEQVNLSCFRFCSIDMGKTADDLGLKDGDVIYAFLFAMRAC >KJB73103 pep chromosome:Graimondii2_0_v6:11:51590988:51592580:1 gene:B456_011G215000 transcript:KJB73103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKMVQITLYNQEKEEKRILLKLNRVGCGDEADQYCYSISPSIPLLDLMLDFIKRVGVTFNSVRFYYEDKPINPALNAIWLNMKDGDTIAVSRRRNFRPTAATQSTLITLPLAVVGEKPVVLKVKHFRADGALYYYLIGRNTPMKNLLHDYADRINDLYEQVNLSCFRFCSIDMGKTADDLGLKDGDVIYAFLFAMRAC >KJB71582 pep chromosome:Graimondii2_0_v6:11:19665404:19667114:-1 gene:B456_011G130800 transcript:KJB71582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRERCFTWYYFTKVEVEVEVTFKYGRVLELSSLLGCFEKLGLVDRIWRALKEYGHGSHVSSSVRLKEELFLCWDKLANGWCKSNTDGSWFQDINCECKGSISIRNYNIDLAELRRILYGIDLAD >KJB70858 pep chromosome:Graimondii2_0_v6:11:10130369:10137251:-1 gene:B456_011G093300 transcript:KJB70858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRILEEHTEYVVYAIHRILDQYKESHDARESDGAATTGSLPRSVILIGHSMGGFVARAATIHPRLRRSAVETILTLSSPHQSPPLALQPSLGDYYKSINQEWRKGYEVQTTRTGRYVSGPKLSNVVVVSVSGGFNDYQVRSKLESLDGIVPPTHGFMMSSTSMKNVWLSMEHQTILWCNQLVVQVSHTLLSLVDSRTGQPFPDTQKRLAIFTKMLHSGIPQSFNWKMQPQSPWSAHVLAKDVKDTAVSQVHTLSDCPSSFHWNDDILERDLYIQTTTVTILAMDGRRRWLDIQKLGSNGKSHFIFVTNLAPCSGVRIHLWPQKGKSSSDLPAGKRVLEVTSKMVQIPAGPAPRQVEPGSQTEQAPPSAVLHLGPEEMHGFRFLTISVAPRPTISGRPPPATSMAVGQFFNPDEGEIDFTPVSMLLSIHSHKDIFLKEDHPLAFNLSFAISLGLLPVTFSLKTAGCGIKDSGLLDEAGDNGNTKLCKLRCFPPVALAWDPTSGLHVFPNLYSETLVVDSSPALRTSTGAEKTTVFLLLDPHCAYKASIAVSVTSAASRFWLLYFSQIAGFCVAVVFFALMRQAHARPIPSILKAVESNLRMPFPFLPFVAVPILLSLSFSFLVSQPFPPFSSFTIVLIICYLLANGIVILLILVSQLVFYVAAYLHVFIKTRWQLWEGNFGFLFLHWFMNLSSRFFSLKVVRILRANPLFVPISAAIVLSMFVHPALGLFILLLYHALCCHSSLCNSLTASLRSHARKKESDYKTEGNYLSQQLKAKPGSPSKENSSSYIQTQEDIFHLRHGLLVLHILATLMFVPSLVSWLQRIGMHQSFPRFLDSFLCICLILHGIFSSESLLNSEVPLPRIMGKEVRLNLIYLITGIYSYLSGMELAPYKVLYAMGAIGIISFALSILQAWTGATRFGRRRHWHRH >KJB70859 pep chromosome:Graimondii2_0_v6:11:10129859:10138432:-1 gene:B456_011G093300 transcript:KJB70859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNDMENERRNNNKNEDSRMRGFRPSLRGMMLVVAVIWIGVAALYGLLKPISNGCIMTYMYPTYIPISTTEGVSSAKYGLYLYHEGWKKIDFTEHLKKLNGIPVLFIPGNGGSYKQVRSLAAECDRAYQGGPLERTFYREAYLAYKEGGNAEIADIQLPNQYANRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHDARESDGAATTGSLPRSVILIGHSMGGFVARAATIHPRLRRSAVETILTLSSPHQSPPLALQPSLGDYYKSINQEWRKGYEVQTTRTGRYVSGPKLSNVVVVSVSGGFNDYQVRSKLESLDGIVPPTHGFMMSSTSMKNVWLSMEHQTILWCNQLVVQVSHTLLSLVDSRTGQPFPDTQKRLAIFTKMLHSGIPQSFNWKMQPQSPWSAHVLAKDVKDTAVSQVHTLSDCPSSFHWNDDILERDLYIQTTTVTILAMDGRRRWLDIQKLGSNGKSHFIFVTNLAPCSGVRIHLWPQKGKSSSDLPAGKRVLEVTSKMVQIPAGPAPRQVEPGSQTEQAPPSAVLHLGPEEMHGFRFLTISVAPRPTISGRPPPATSMAVGQFFNPDEGEIDFTPVSMLLSIHSHKDIFLKEDHPLAFNLSFAISLGLLPVTFSLKTAGCGIKDSGLLDEAGDNGNTKLCKLRCFPPVALAWDPTSGLHVFPNLYSETLVVDSSPALRTSTGAEKTTVFLLLDPHCAYKASIAVSVTSAASRFWLLYFSQIAGFCVAVVFFALMRQAHARPIPSILKAVESNLRMPFPFLPFVAVPILLSLSFSFLVSQPFPPFSSFTIVLIICYLLANGIVILLILVSQLVFYVAAYLHVFIKTRWQLWEGNFGFLFLHWFMNLSSRFFSLKVVRILRANPLFVPISAAIVLSMFVHPALGLFILLLYHALCCHSSLCNSLTASLRSHARKKESDYKTEGNYLSQQLKAKPGSPSKENSSSYIQTQEDIFHLRHGLLVLHILATLMFVPSLVSWLQRIGMHQSFPRFLDSFLCICLILHGIFSSESLLNSEVPLPRIMGKEVRLNLIYLITGIYSYLSGMELAPYKVLYAMGAIGIISFALSILQAWTGATRFGRRRHWHRH >KJB70861 pep chromosome:Graimondii2_0_v6:11:10130369:10137251:-1 gene:B456_011G093300 transcript:KJB70861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRILEEHTEYVVYAIHRILDQYKESHDARESDGAATTGSLPRSVILIGHSMGGFVARAATIHPRLRRSAVETILTLSSPHQSPPLALQPSLGDYYKSINQEWRKGYEVQTTRTGRYVSGPKLSNVVVVSVSGGFNDYQVRSKLESLDGIVPPTHGFMMSSTSMKNVWLSMEHQTILWCNQLVVQVSHTLLSLVDSRTGQPFPDTQKRLAIFTKMLHSGIPQSFNWKMQPQSPWSAHVLAKDVKDTAVSQVHTLSDCPSSFHWNDDILERDLYIQTTTVTILAMDGRRRWLDIQKLGSNGKSHFIFVTNLAPCSGVRIHLWPQKGKSSSDLPAGKRVLEVTSKMVQIPAGPAPRQVEPGSQTEQAPPSAVLHLGPEEMHGFRFLTISVAPRPTISGRPPPATSMAVGQFFNPDEGEIDFTPVSMLLSIHSHKDIFLKEDHPLAFNLSFAISLGLLPVTFSLKTAGCGIKDSGLLDEAGDNGNTKLCKLRCFPPVALAWDPTSGLHVFPNLYSETLVVDSSPALRTSTGAEKTTVFLLLDPHCAYKASIAVSVTSAASRFWLLYFSQIAGFCVAVVFFALMRQAHARPIPSILKAVESNLRMPFPFLPFVAVPILLSLSFSFLVSQPFPPFSSFTIVLIICYLLANGIVILLILVSQLVFYVAAYLHVFIKTRWQLWEGNFGFLFLHWFMNLSSRFFSLKVVRILRANPLFVPISAAIVLSMFVHPALGLFILLLYHALCCHSSLCNSLTASLRSHARKKESDYKTEGNYLSQQLKAKPGSPSKENSSSYIQTQEDIFHLRHGLLVLHILATLMFVPSLVSWLQRIGMHQSFPRFLDSFLCICLILHGIFSSESLLNSEVPLPRIMGKEVRLNLIYLITGIYSYLSGMELAPYKVLYAMGAIGIISFALSILQAWTGATRFGRRRHWHRH >KJB70862 pep chromosome:Graimondii2_0_v6:11:10130032:10138411:-1 gene:B456_011G093300 transcript:KJB70862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNDMENERRNNNKNEDSRMRGFRPSLRGMMLVVAVIWIGVAALYGLLKPISNGCIMTYMYPTYIPISTTEGVSSAKYGLYLYHEGWKKIDFTEHLKKLNGIPVLFIPGNGGSYKQARSLAAECDRAYQGGPLERTFYREAYLAYKEGGNAEIADIQLPNQYANRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHDARESDGAATTGSLPRSVILIGHSMGGFVARAATIHPRLRRSAVETILTLSSPHQSPPLALQPSLGDYYKSINQEWRKGYEVQTTRTGRYVSGPKLSNVVVVSVSGGFNDYQVRSKLESLDGIVPPTHGFMMSSTSMKNVWLSMEHQTILWCNQLVVQVSHTLLSLVDSRTGQPFPDTQKRLAIFTKMLHSGIPQSFNWKMQPQSPWSAHVLAKDVKDTAVSQVHTLSDCPSSFHWNDDILERDLYIQTTTVTILAMDGRRRWLDIQKLGSNGKSHFIFVTNLAPCSGVRIHLWPQKGKSSSDLPAGKRVLEVTSKMVQIPAGPAPRQVEPGSQTEQAPPSAVLHLGPEEMHGFRFLTISVAPRPTISGRPPPATSMAVGQFFNPDEGEIDFTPVSMLLSIHSHKDIFLKEDHPLAFNLSFAISLGLLPVTFSLKTAGCGIKDSGLLDEAGDNGNTKLCKLRCFPPVALAWDPTSGLHVFPNLYSETLVVDSSPALRTSTGAEKTTVFLLLDPHCAYKASIAVSVTSAASRFWLLYFSQIAGFCVAVVFFALMRQAHARPIPSILKAVESNLRMPFPFLPFVAVPILLSLSFSFLVSQPFPPFSSFTIVLIICYLLANGIVILLILVSQLVFYVAAYLHVFIKTRWQLWEGNFGFLFLHWFMNLSSRFFSLKVVRILRANPLFVPISAAIVLSMFVHPALGLFILLLYHALCCHSSLCNSLTASLRSHARKKESDYKTEGNYLSQQLKAKPGSPSKENSSSYIQTQEDIFHLRHGLLVLHILATLMFVPSLVSWLQRIGMHQSFPRFLDSFLCICLILHGIFSSESLLNSEVPLPRIMGKEVRLNLIYLITGIYSYLSGMELAPYKVLYAMGAIGIISFALSILQAWTGATRFGRRRHWHRH >KJB70860 pep chromosome:Graimondii2_0_v6:11:10129952:10138647:-1 gene:B456_011G093300 transcript:KJB70860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPFFLFRAMVVATNRSLAAECDRAYQGGPLERTFYREAYLAYKEGGNAEIADIQLPNQYANRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHDARESDGAATTGSLPRSVILIGHSMGGFVARAATIHPRLRRSAVETILTLSSPHQSPPLALQPSLGDYYKSINQEWRKGYEVQTTRTGRYVSGPKLSNVVVVSVSGGFNDYQVRSKLESLDGIVPPTHGFMMSSTSMKNVWLSMEHQTILWCNQLVVQVSHTLLSLVDSRTGQPFPDTQKRLAIFTKMLHSGIPQSFNWKMQPQSPWSAHVLAKDVKDTAVSQVHTLSDCPSSFHWNDDILERDLYIQTTTVTILAMDGRRRWLDIQKLGSNGKSHFIFVTNLAPCSGVRIHLWPQKGKSSSDLPAGKRVLEVTSKMVQIPAGPAPRQVEPGSQTEQAPPSAVLHLGPEEMHGFRFLTISVAPRPTISGRPPPATSMAVGQFFNPDEGEIDFTPVSMLLSIHSHKDIFLKEDHPLAFNLSFAISLGLLPVTFSLKTAGCGIKDSGLLDEAGDNGNTKLCKLRCFPPVALAWDPTSGLHVFPNLYSETLVVDSSPALRTSTGAEKTTVFLLLDPHCAYKASIAVSVTSAASRFWLLYFSQIAGFCVAVVFFALMRQAHARPIPSILKAVESNLRMPFPFLPFVAVPILLSLSFSFLVSQPFPPFSSFTIVLIICYLLANGIVILLILVSQLVFYVAAYLHVFIKTRWQLWEGNFGFLFLHWFMNLSSRFFSLKVVRILRANPLFVPISAAIVLSMFVHPALGLFILLLYHALCCHSSLCNSLTASLRSHARKKESDYKTEGNYLSQQLKAKPGSPSKENSSSYIQTQEDIFHLRHGLLVLHILATLMFVPSLVSWLQRIGMHQSFPRFLDSFLCICLILHGIFSSESLLNSEVPLPRIMGKEVRLNLIYLITGIYSYLSGMELAPYKVLYAMGAIGIISFALSILQAWTGATRFGRRRHWHRH >KJB73895 pep chromosome:Graimondii2_0_v6:11:59021283:59022588:-1 gene:B456_011G259600 transcript:KJB73895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITEIDHFSHRHKLELSYSEKPYQCDGCKELGFGSSYQCNNKKCDFHLHENCGLAKPIASHSFFKNSSFKFKKKGKRGKTCKACGKDVQGFMYKSKEAYLHPCCLELPSTLNGNFNGGSLRLNLEVKASTKCLICQNKEIYKGKLKGWAYISSCGKHCYHVGCVNNMNFENWKMGYFNQSQSGGVAKGLVFNKEENGESSNGRKENKGSLMKNALDFIVKAVLGDAVTSFLGIDFDSIANN >KJB71833 pep chromosome:Graimondii2_0_v6:11:22757811:22759484:-1 gene:B456_011G1438002 transcript:KJB71833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARHCCFNPMIKGEIPLHIAARHGHYKIVKFLIERAKVMPLHMKTWRIRKQVDKADNTAFHMAVECPPDDIDVVRLLVKELELDPEFSLSANKSGETPLYIAAMTTTDKKGRTPIHYTANFGNYSAVEQLLEMDASAAYVRDEERKMTALHMAAWVGNANIMQYINSHCPASCEIVDERDWNFLHYAALALKERISYAFTGQRCSKNQWPIQCHLYKYFIPYNLILPKQKREILKEIGNNAGEEGIRHHKSFRENMGNDNNPSTLKEVVDKLRETHLLVAALVATVAFTAANAVPGGYKADDKVNSAFKTLVMTNAMAVVYSLLAIFLHFLSVFIHLKAAESLVLKCILVTDGLTVFTMAAMVVSSSAGSYAVL >KJB71615 pep chromosome:Graimondii2_0_v6:11:20245679:20248350:-1 gene:B456_011G133700 transcript:KJB71615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVKAQKTKAYFKRFQVPYKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFSNKDVTAQIVSANIAGDMVLASAYSHELPRYGLEVGLTNYAAAYCTGLLLGRRVLKMLEMDEEYQGNVEATGEDFSVEPTDTRRPFRALLDVGLIRTTTGNRVFGALKGALDAGLDIPHSDKRFAGFSKDNKQLDAEVHSKYIYGGHVAAYMRTLMEDEPEKYQSHFSEYIKRGIEADNIESLYKKVHAAIRADPTAKKTEKEPPKQHKRSVFSAVQLV >KJB71614 pep chromosome:Graimondii2_0_v6:11:20245614:20248430:-1 gene:B456_011G133700 transcript:KJB71614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVKAQKTKAYFKRFQVPYKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFSNKDVTAQIVSANIAGDMVLASAYSHELPRYGLEVGLTNYAAAYCTGLLLGRRVLKMLEMDEEYQGNVEATGEDFSVEPTDTRRPFRALLDVGLIRTTTGNRVFGALKGALDAGLDIPHSDKRFAGFSKDNKQLDAEVHSKYIYGGHVAAYMRTLMEDEPEKYQSHFSEYIKRGIEADNIESLYKKVHAAIRADPTAKKTEKEPPKQHKRFNLKKLTYEERKAKLIERLHTLNAAAGDSEEED >KJB73444 pep chromosome:Graimondii2_0_v6:11:55169090:55175949:1 gene:B456_011G233700 transcript:KJB73444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDKLAGAFELLAATVKIQCLTPREKRRDEQWKDADLNLEDASLENDDLENKSDASDGTYARSVGSSSSNHLEGTIHPGELSSREPSLSASDSRNSFDSLDGSYRENFSPHNGVMSNLIGRQDSTGSQTSTPSGSYSFNDSSRSNHSSVAPKVSSSGSHPHNHREDLNRASRLVPSSPLRNTGSSKDLLEAAEITIGELRAEARMWEQNARKLMVDLEYSQKEFLDLSKHQKSLEAALSASQAECDCLKQEIKEVKILLEESQMKQAAANNLKFQTKNNGNVQKELEEEIRFQREENANLALQLKKTQESNIELVSILQELEETIEKQKVEIDNLSAAKQTRKSSDSDGESDIVEQRSRHLLAENRNLEIQFQLLQESHGKSESTIQALEKTLEEKNHEMETEQALRRQSLMDCEAEWNRKSAEKEETIINLEMKLSEAPDVQGLKEMDSEKEGNSNLIKEIEDLKLKVQELERDCNELTDENLELHFKLKESSRDHSTTSNSLLPDHPGKNSFSRHEPEVPSADHLQSQSVVLGNRCADLELQLEAFKEKTSYLDDELSKYRARADEQETELVTLQQQLQHYQQTEIQSKESSISESPDAFEFTTLLAELDEQIQLSLADLKRPEGTDFDDSEVLKSKDSTSQKQQVEIILKNFVQLKQFFREGTVGIGGYSKEASDLGKQLSDKISEIGKLKSDNLLKEDELVAIRHHQKELEAQVSSLQKEKIQLEENIEIMLGEGAVTAKCLGDLRSKMMVLNSNMDSQISTNKILVKKSEELESGKQELEVHLSELEEENLQLSERISGLEAQLRYLTDERESHRLELQNSESQAMELKGEITRLENEIEAQKVDMRQKMEEMQKRWLEVQEECEYLKVANPKLQATTESLIEECSVLQKANRELRKQKAELNEHCAVLEAELKESEKVFSNMTSEVEALEEKYSSMLEEIASKEKALNLELEALLEENKKQKEKLVLEESLLNQKYLEKTAEVENLQREVAHLTEQISATQDEKEKTASEAVLEVSHLRADKAMLEAALQDLQGKLKLSDGKLNTFQVESETEAQELKEELASAKQKQEILMADHEKLLDLLEDVKSNEDKLKGTVRGLELKLKASEYENQQLAEEISSLKVQLQKTTVLQDEILDLKKTISESKFENERLEASFQMLSRDYEELKVERTLLAEKVSNSQQAVSELDACRRRKVALEEKVLRLQGDLTAREALGTQEAALKNELAQIRRENSQLQRKIKKLEEEKDDCLKKAQGLEEELKQIKQDQNSPKTNIEENDNPSSSEKLFSETDQVQQHIDENHTQVDNNQNCNNETSQVSGAELLSKIQNLENELAEALEANDMYKAQLKSLLTKEVSFHSPGPEGDARKDRCDCQTSALEKELKELRERYSHMSLKYAEVEDQREQLMMQLRAASGRRRWF >KJB73442 pep chromosome:Graimondii2_0_v6:11:55167088:55175932:1 gene:B456_011G233700 transcript:KJB73442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLHKQKSDKSGERFDFKFSSFQANQVPKGWDKLFVSIISADTGKTVNKSNKALVRNGNCRWTDSFSESIWIARGDISKVLDECLFKLVVAMGSSRSGFLGEATINLANYISSKNSIPLSLPLKKCNHGTVLQVKIQCLTPREKRRDEQWKDADLNLEDASLENDDLENKSDASDGTYARSVGSSSSNHLEGTIHPGELSSREPSLSASDSRNSFDSLDGSYRENFSPHNGVMSNLIGRQDSTGSQTSTPSGSYSFNDSSRSNHSSVAPKVSSSGSHPHNHREDLNRASRLVPSSPLRNTGSSKDLLEAAEITIGELRAEARMWEQNARKLMVDLEYSQKEFLDLSKHQKSLEAALSASQAECDCLKQEIKEVKILLEESQMKQAAANNLKFQTKNNGNVQKELEEEIRFQREENANLALQLKKTQESNIELVSILQELEETIEKQKVEIDNLSAAKQTRKSSDSDGESDIVEQRSRHLLAENRNLEIQFQLLQESHGKSESTIQALEKTLEEKNHEMETEQALRRQSLMDCEAEWNRKSAEKEETIINLEMKLSEAPDVQGLKEMDSEKEGNSNLIKEIEDLKLKVQELERDCNELTDENLELHFKLKESSRDHSTTSNSLLPDHPGKNSFSRHEPEVPSADHLQSQSVVLGNRCADLELQLEAFKEKTSYLDDELSKYRARADEQETELVTLQQQLQHYQQTEIQSKESSISESPDAFEFTTLLAELDEQIQLSLADLKRPEGTDFDDSEVLKSKDSTSQKQQVEIILKNFVQLKQFFREGTVGIGGYSKEASDLGKQLSDKISEIGKLKSDNLLKEDELVAIRHHQKELEAQVSSLQKEKIQLEENIEIMLGEGAVTAKCLGDLRSKMMVLNSNMDSQISTNKILVKKSEELESGKQELEVHLSELEEENLQLSERISGLEAQLRYLTDERESHRLELQNSESQAMELKGEITRLENEIEAQKVDMRQKMEEMQKRWLEVQEECEYLKVANPKLQATTESLIEECSVLQKANRELRKQKAELNEHCAVLEAELKESEKVFSNMTSEVEALEEKYSSMLEEIASKEKALNLELEALLEENKKQKEKLVLEESLLNQKYLEKTAEVENLQREVAHLTEQISATQDEKEKTASEAVLEVSHLRADKAMLEAALQDLQGKLKLSDGKLNTFQVESETEAQELKEELASAKQKQEILMADHEKLLDLLEDVKSNEDKLKGTVRGLELKLKASEYENQQLAEEISSLKVQLQKTTVLQDEILDLKKTISESKFENERLEASFQMLSRDYEELKVERTLLAEKVSNSQQAVSELDACRRRKVALEEKVLRLQGDLTAREALGTQEAALKNELAQIRRENSQLQRKIKKLEEEKDDCLKKAQGLEEELKQIKQDQNSPKTQNIEENDNPSSSEKLFSETDQVQQHIDENHTQVDNNQNCNNETSQVSGAELLSKIQNLENELAEALEANDMYKAQLKSLLTKEVSFHSPGPEGDARKDRCDCQTSALEKELKELRERYSHMSLKYAEVEDQREQLMMQLRAASGRRRWF >KJB73445 pep chromosome:Graimondii2_0_v6:11:55170023:55175932:1 gene:B456_011G233700 transcript:KJB73445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQEPSLSASDSRNSFDSLDGSYRENFSPHNGVMSNLIGRQDSTGSQTSTPSGSYSFNDSSRSNHSSVAPKVSSSGSHPHNHREDLNRASRLVPSSPLRNTGSSKDLLEAAEITIGELRAEARMWEQNARKLMVDLEYSQKEFLDLSKHQKSLEAALSASQAECDCLKQEIKEVKILLEESQMKQAAANNLKFQTKNNGNVQKELEEEIRFQREENANLALQLKKTQESNIELVSILQELEETIEKQKVEIDNLSAAKQTRKSSDSDGESDIVEQRSRHLLAENRNLEIQFQLLQESHGKSESTIQALEKTLEEKNHEMETEQALRRQSLMDCEAEWNRKSAEKEETIINLEMKLSEAPDVQGLKEMDSEKEGNSNLIKEIEDLKLKVQELERDCNELTDENLELHFKLKESSRDHSTTSNSLLPDHPGKNSFSRHEPEVPSADHLQSQSVVLGNRCADLELQLEAFKEKTSYLDDELSKYRARADEQETELVTLQQQLQHYQQTEIQSKESSISESPDAFEFTTLLAELDEQIQLSLADLKRPEGTDFDDSEVLKSKDSTSQKQQVEIILKNFVQLKQFFREGTVGIGGYSKEASDLGKQLSDKISEIGKLKSDNLLKEDELVAIRHHQKELEAQVSSLQKEKIQLEENIEIMLGEGAVTAKCLGDLRSKMMVLNSNMDSQISTNKILVKKSEELESGKQELEVHLSELEEENLQLSERISGLEAQLRYLTDERESHRLELQNSESQAMELKGEITRLENEIEAQKVDMRQKMEEMQKRWLEVQEECEYLKVANPKLQATTESLIEECSVLQKANRELRKQKAELNEHCAVLEAELKESEKVFSNMTSEVEALEEKYSSMLEEIASKEKALNLELEALLEENKKQKEKLVLEESLLNQKYLEKTAEVENLQREVAHLTEQISATQDEKEKTASEAVLEVSHLRADKAMLEAALQDLQGKLKLSDGKLNTFQVESETEAQELKEELASAKQKQEILMADHEKLLDLLEDVKSNEDKLKGTVRGLELKLKASEYENQQLAEEISSLKVQLQKTTVLQDEILDLKKTISESKFENERLEASFQMLSRDYEELKVERTLLAEKVSNSQQAVSELDACRRRKVALEEKVLRLQGDLTAREALGTQEAALKNELAQIRRENSQLQRKIKKLEEEKDDCLKKAQGLEEELKQIKQDQNSPKTNIEENDNPSSSEKLFSETDQVQQHIDENHTQVDNNQNCNNETSQVSGAELLSKIQNLENELAEALEANDMYKAQLKSLLTKEVSFHSPGPEGDARKDRCDCQTSALEKELKELRERYSHMSLKYAEVEDQREQLMMQLRAASGRRRWF >KJB73443 pep chromosome:Graimondii2_0_v6:11:55167088:55175949:1 gene:B456_011G233700 transcript:KJB73443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLHKQKSDKSGERFDFKFSSFQANQVPKGWDKLFVSIISADTGKTVNKSNKALVRNGNCRWTDSFSESIWIARGDISKVLDECLFKLVVAMGSSRSGFLGEATINLANYISSKNSIPLSLPLKKCNHGTVLQVKIQCLTPREKRRDEQWKDADLNLEDASLENDDLENKSDASDGTYARSVGSSSSNHLEGTIHPGELSSREPSLSASDSRNSFDSLDGSYRENFSPHNGVMSNLIGRQDSTGSQTSTPSGSYSFNDSSRSNHSSVAPKVSSSGSHPHNHREDLNRASRLVPSSPLRNTGSSKDLLEAAEITIGELRAEARMWEQNARKLMVDLEYSQKEFLDLSKHQKSLEAALSASQAECDCLKQEIKEVKILLEESQMKQAAANNLKFQTKNNGNVQKELEEEIRFQREENANLALQLKKTQESNIELVSILQELEETIEKQKVEIDNLSAAKQTRKSSDSDGESDIVEQRSRHLLAENRNLEIQFQLLQESHGKSESTIQALEKTLEEKNHEMETEQALRRQSLMDCEAEWNRKSAEKEETIINLEMKLSEAPDVQGLKEMDSEKEGNSNLIKEIEDLKLKVQELERDCNELTDENLELHFKLKESSRDHSTTSNSLLPDHPGKNSFSRHEPEVPSADHLQSQSVVLGNRCADLELQLEAFKEKTSYLDDELSKYRARADEQETELVTLQQQLQHYQQTEIQSKESSISESPDAFEFTTLLAELDEQIQLSLADLKRPEGTDFDDSEVLKSKDSTSQKQQVEIILKNFVQLKQFFREGTVGIGGYSKEASDLGKQLSDKISEIGKLKSDNLLKEDELVAIRHHQKELEAQVSSLQKEKIQLEENIEIMLGEGAVTAKCLGDLRSKMMVLNSNMDSQISTNKILVKKSEELESGKQELEVHLSELEEENLQLSERISGLEAQLRYLTDERESHRLELQNSESQAMELKGEITRLENEIEAQKVDMRQKMEEMQKRWLEVQEECEYLKVANPKLQATTESLIEECSVLQKANRELRKQKAELNEHCAVLEAELKESEKVFSNMTSEVEALEEKYSSMLEEIASKEKALNLELEALLEENKKQKEKLVLEESLLNQKYLEKTAEVENLQREVAHLTEQISATQDEKEKTASEAVLEVSHLRADKAMLEAALQDLQGKLKLSDGKLNTFQVESETEAQELKEELASAKQKQEILMADHEKLLDLLEDVKSNEDKLKGTVRGLELKLKASEYENQQLAEEISSLKVQLQKTTVLQDEILDLKKTISESKFENERLEASFQMLSRDYEELKVERTLLAEKVSNSQQAVSELDACRRRKVALEEKVLRLQGDLTAREALGTQEAALKNELAQIRRENSQLQRKIKKLEEEKDDCLKKAQGLEEELKQIKQDQNSPKTNIEENDNPSSSEKLFSETDQVQQHIDENHTQVDNNQNCNNETSQVSGAELLSKIQNLENELAEALEANDMYKAQLKSLLTKEVSFHSPGPEGDARKDRCDCQTSALEKELKELRERYSHMSLKYAEVEDQREQLMMQLRAASGRRRWF >KJB74105 pep chromosome:Graimondii2_0_v6:11:60490630:60492556:-1 gene:B456_011G272800 transcript:KJB74105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVKALLDTISFLVFLFFDFVDVVLCVAYELLDKLFEAKPFPCYCGKKLETKELSETLFWRKNVFREMGFLSFGRKICEAVKKRDDGNRGEVVNRWSDCGCDSCVSWMKKSNQKLHVVVKEFPQVNSEDGPREEATTENVIFLHGFLSSSSYWTRSVFKYLSEPLNHQIYRYFAVDLLGFGKSPKPNDCLYTLNDHVEMIHKSVISAYELSSFHLVAHSMGCNIALALAAKYPKFVKSVTLVAPPYFSDSNDETSSSMALNTVARKTLWPPLAFGKSVMTWYEHVGRFFCFLICKNHTTWERIFKLFTQTRELNFMVVDLTRHTHHSAWHSMHNVICGGSKFMDDYVGILINAKVKVWVIHGDQDLTVPLDCSNNLKMKFPQLKLIIVQNVDHGTVIFHRKRDFVKSLQHIWATS >KJB73584 pep chromosome:Graimondii2_0_v6:11:56423112:56423594:-1 gene:B456_011G239800 transcript:KJB73584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLEKMISEDEDVLLPGFRFHSTDQELVGFYLRRKVEKKLFSIDIIKHVDIYKHDPWDLPSMISQKYRNSIRLNRVTGSGFWKATGIDKPIYSVGAFHNCIGLKKSLVYYRGVQGKAVKPIG >KJB73208 pep chromosome:Graimondii2_0_v6:11:53120607:53122376:1 gene:B456_011G222400 transcript:KJB73208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSGFNSLGFVLIVFVMLSACSTFAMTEFNVLNFGANLNGRTDSTNSFLKAWNAACGQCKSPQIIIRIDGTIVAPLDYGVLGKSDNWFSFEGVSGVSIIGRGIFDAKGPSLWACKAPNSNSCPSGARMFCKSNNIRINGLTSLNSQMFHIVINGCQNVHLRGVKIVAAGNSPNTDGIHVQLSRNVEILSTFIKTGDDCISIGPGTENLWIEQVTCGPGHGISIGSLAKDLKEEGVQNVTVKNTIFSNTQNGLRIKSWARPSSGYVQGVRFIGSVMTNIQNPIVIDQNYCPRNENCPGQVSGIKIKDIVYEDIRGTSSTPIAIEFDCSSKNPCTGIRLQNVNLTYLNKTTQSFCSNVVGKVFDLVRPNSCL >KJB73588 pep chromosome:Graimondii2_0_v6:11:56489379:56497794:-1 gene:B456_011G240100 transcript:KJB73588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLFDHKSDKLYYLTEIQAAGSTFGNYFRVTTFGESNGGGVGCIVDGCPPRIPLSEADLQGDLDRRRPGQSRVTTPRKETDTCRIYSGVSEGVTTGTPIHVLVPNTDHREQDYKEMSIAYRPSHADATYDMKYGVRAVQGGGRSSARETIGRVASGAIAKKILKQFSGTEVLAYVSQVQQVLLPDGSVDHDTVTLDQIESNIVRCPNPEYAEKMIAAIDAVRIRGDSVGGVVTCIVRNAPRGLGSPVFDKLEAELAKAVMSVPASKGFELGSGFSGAFLTGSEHNDEFYTDEHGRIRTRTNRSGGIQGGISNGEIINMRVAFKPTPAISRKQHTVTREKKEIELLVHGRHDPCVAPRAVPVVEAMVALVLVDQLMAQYAQCNLFPINAELQEPLSLGFPNFEPAMI >KJB73587 pep chromosome:Graimondii2_0_v6:11:56489356:56500241:-1 gene:B456_011G240100 transcript:KJB73587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIISKPFLRATKPNSSLSPDLQRLHFPSLRISIKPITHKKLQIQAAGSTFGNYFRVTTFGESNGGGVGCIVDGCPPRIPLSEADLQGDLDRRRPGQSRVTTPRKETDTCRIYSGVSEGVTTGTPIHVLVPNTDHREQDYKEMSIAYRPSHADATYDMKYGVRAVQGGGRSSARETIGRVASGAIAKKILKQFSGTEVLAYVSQVQQVLLPDGSVDHDTVTLDQIESNIVRCPNPEYAEKMIAAIDAVRIRGDSVGGVVTCIVRNAPRGLGSPVFDKLEAELAKAVMSVPASKGFELGSGFSGAFLTGSEHNDEFYTDEHGRIRTRTNRSGGIQGGISNGEIINMRVAFKPTPAISRKQHTVTREKKEIELLVHGRHDPCVAPRAVPVVEAMVALVLVDQLMAQYAQCNLFPINAELQEPLSLGFPNFEPAMI >KJB73769 pep chromosome:Graimondii2_0_v6:11:57678972:57679564:-1 gene:B456_011G250200 transcript:KJB73769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNAAQEGHINVLYELIQNDQCVLEHIDHVPFLDTPLHVAVSAGNIEFMMEMMNLKPTFARKLNQAGFSPMHLALQNHKTQAVLRLLRFDKGLVCVKGREVLTPLHRVVQNGNVDFLIKFLETVFHLAIKNDRFEAFQVLVGWLIRSRHEAANRWEKELLSWADIDGNTVLLIAASGNRPRVC >KJB71576 pep chromosome:Graimondii2_0_v6:11:19621052:19621849:1 gene:B456_011G130600 transcript:KJB71576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYICLKQLPSNCFTPLGTHGTFYYVAPKIRLLRIAYQSHFVCLIRIISIIGISISIGVCLVISKTHDALGFS >KJB74191 pep chromosome:Graimondii2_0_v6:11:61051309:61053566:1 gene:B456_011G278300 transcript:KJB74191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLCPNYDLPDGLETVLEVPIPEEMFVSNKTSNHRSWQNMKSWMTLKPNTEQQRPSMVTVFGGRNTEIQLLLGVIGAPLIPLPINHNDNFITKNIKDHPIEASMAKYIVKQYIAAVGGEKALGCIDSMYAMGKVKMAASEFSAGEGSVNSKVVKVRNLRHGGGGEVGGFVLWQKRPELWCLELVVSGCKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRFLQGLDPRSTANLFTNSVCVGEKTIDDEDCFVLKLEAEPSALRARSSTNLEIIRHTVWGCFSQRTGLLIQLEDSHLLRIKSPGNDGVFWETTMESSIQDYRTVDGINIAHAGHTRVSLFRFGENSESHSRTRMEEVWTIEEVDFNIKGLSTDCFLPPGDLKKEEEGFGIVPSDVRLPFKLRNDRSSRVHGSKIVAIDVDECDDISSSDDDDDDELF >KJB71925 pep chromosome:Graimondii2_0_v6:11:24483832:24484360:1 gene:B456_011G149300 transcript:KJB71925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKMISMLSILVLLLSLSLLLLASSTTSTRVGKMGNREIRRNLIYGGPKGGHDHPSSGNVCRGPRGGCG >KJB70242 pep chromosome:Graimondii2_0_v6:11:5488412:5491277:1 gene:B456_011G064900 transcript:KJB70242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNVAFFFFFALLIGHGIVELEASHHVYKHLLGLLSSAPANQPYRTGYHFQPPKNWINDPNGVMIYKGLYHFFYQYNPKGAVWGNIVWAHSTSNDLVNWTPHEPAIYPSQPSDINGCWSGSATILSSGKPAMLYTGIDSQNRQVQNLAIPKNLSDPYLTEWVKSAKNPLMQPTAQNHINASSFRDPTTAWLGPNKEWRVIIGSKVNRQGLAILYKSKNFVNWYQSKTPLHSADNTGMWECPDFFPALLHGQNGVDTSLNGPNVKHVLKVSLDDTKHDCYTIGSYDNVEDIYTPDEGSVEGDSGLRYDYGKYYASKTFFDNVQNRRILTSWINESSSVADDIKKGWSGVHAIPRKIWLDESGKQLVQWPVVEIEKLRANHVSLANKLLEGGSVIEVPGVTAAQADVEVSFEIKDFEKAEVLEPSWTNPQLLCSRKGASVKGSLGPFGLLVLASEGLKERTAVFFRIFKGHNKYMVLMCGDQSRSSLNQDNDMTTYGAFLDVDNRQHKLSLRSLIDHSIVESFGGGGEVCITSRVYPTLAINEAAHLYAFNNGNQSIKILELNAWSMKTAKIN >KJB71206 pep chromosome:Graimondii2_0_v6:11:13149649:13154464:-1 gene:B456_011G110200 transcript:KJB71206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKTETERKSSSMQSLSGEKNMKDSDPVLDEDDFVIVPTSEPETKEMQETQIPKQIQTEQSPSRRKSVHWSPELVSNSPPADHGSPKPTPNRSNPYITHTPAAESSSNSFKEKMDIVKDVLGRWGKKVEEATRKAEDLAENTWQHLKTNSSFTDAAMGRIAQGTKVLAEGGYERVFRQTFETVPKEQLLNSFACYLSTSAGPVMGVLYVSTAKLAYCSDNPLSYKNGSQTEWNLYKVVIPFHQLRAINPSSSKINPAEKYIQVICVDSHEFWFMGFLNYNGAVTCLQEASQLHGALSM >KJB71207 pep chromosome:Graimondii2_0_v6:11:13149660:13154599:-1 gene:B456_011G110200 transcript:KJB71207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKTETERKSSSMQSLSGEKNMKDSDPVLDEDDFVIVPTSEPETKEMQETQIPKQIQTEQSPSRRKSVHWSPELVSNSPPADHGSPKPTPNRSNPYITHTPAAESSSNSFKEKMDIVKDVLGRWGKKVEEATRKAEDLAENTWQHLKTNSSFTDAAMGRIAQGTKVLAEGGYERVFRQTFETVPKEQLLNSFACYLSTSAGPVMGVLYVSTAKLAYCSDNPLSYKNGSQTEWNLYKVVIPFHQLRAINPSSSKINPAEKYIQVICVDSHEFWFMGFLNYNGAVTCLQEASQLHGALSM >KJB70380 pep chromosome:Graimondii2_0_v6:11:6410504:6413686:1 gene:B456_011G070700 transcript:KJB70380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVAVRVAVVCGVAVAVTAAVVVHRKMKKSGKWEKAMEIVKEFEEKCATPISKLRQVADAMLVEMHAGLASEGGSKLKMLISYVDNLPTGNEKGLFYALDLGGTNFRVLRLHLGGKGRGIVSKQFEEVSIPRSLMTGTSDALFDFIVAELAKFVAQEGMDFELRPGRQRELGFTFSFPVLQSSISSGTLVRWTKGFSIEETVGRDVVAKLTKAMEKQGLNMRVSAFVNDPVGTLAGGRFTNNDVVAAVILGTGSNAAYVERAHAIPKWHGLPLKSGEMVINTEWGNFKSSHLPLTEYDHELDTETLHPGEQIYEKVTSGMYLGEIVRKVLRRMAEEAAFFGDTVPPKLKVPFILGTPIISAMHQDTSPDLKVVANRLKDILEISNTSLKMRKVIVELCYIVATRGARLSAAGILSILKKMGRDTMREGEKQRTVIAMDGGLYEHYTEYRNCLENTLNELLGKEVSETVVLELANDGSGIGAAILAACHSKYLETDE >KJB73973 pep chromosome:Graimondii2_0_v6:11:59640468:59642629:1 gene:B456_011G264900 transcript:KJB73973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGYCDPLCEEAPLLPKLRGYFAKFLRESCLTPLDWASGFSLEILSSQRELIKPCCSTSLASTSLVNFYKLSLQ >KJB73243 pep chromosome:Graimondii2_0_v6:11:53487692:53497198:1 gene:B456_011G224200 transcript:KJB73243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNMLFRLGVSLSLLSLFLFKSESAVSSIDLGSEWLKVAVVNLKPGQIPITIAINEMSKRKSPALVAFQSETRLLAEEAAGIVARYPDKVFSNLRDMVGKPYRDVKRFADAMYLPFDVVEDFRGAAMIRVSDDVSYSIEELLGMILKYAANLAEFHSKAMVKDMVISVPPYFGQAERKGLMTAAELAGINVISLINEHSGAALQYGIDKDFSNGSRHVIFYDMGSSSTYAALVYFSAYNAKEFGKTVSANQFQVKDVRWDSGLGGQNMELRLVEYFADEFNKQVGNGVDVRKYPKAMAKLKKQVKRTKEILSANTAAPISVESLHDDRDFRSTITREKFEELCGDLWDKSLMPVKEVLKHSGLQADDIYAVELIGGATRVPKLQVKLLEYFGRKDLDKHLDADEAIVLGAALHAANLSDGIKLNRKLGMVDGSSYSFFMELDGPDLSKDGDTRLLLVPRMKKLPSKIFKSFNRSKDFELLLAYDNEGLLPPGLSSPVFAQYAVSGLTDAANKYSSRNLSSPIKTNLHFSLSRSGILSLDQAEAAFQVTEWIEVPKRNLTVENSTIASSNVSVDLGAENTSEQNSNSLESDGEISNASNSTTEPNTVDLGTEKKLKKMTFRIPLKIVEKTMGPGIPLSKESLLNAKSKLEALDKKDAERRRTAELKNNLEEYIYATKEKFETSEDFERISSNDERQSVIKKLDEVQEWLYTDGEDATATEFQERLNLLKAATDPIFFRFKELTARPEAVKFARRYLNELQQTIRGWEKDKPWLPKDKVEELSINIDKFKTWLDEKEAEQQKMSGLSTPVFTSKEVYEKVTFVQNKADSIKKIPKPKPKTEKPINNEETEKDTSGDEKPAEESDSSKNEEDNAESEMHEEL >KJB73242 pep chromosome:Graimondii2_0_v6:11:53487698:53497168:1 gene:B456_011G224200 transcript:KJB73242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNMLFRLGVSLSLLSLFLFKSESAVSSIDLGSEWLKVAVVNLKPGQIPITIAINEMSKRKSPALVAFQSETRLLAEEAAGIVARYPDKVFSNLRDMVGKPYRDVKRFADAMYLPFDVVEDFRGAAMIRVSDDVSYSIEELLGMILKYAANLAEFHSKAMVKDMVISVPPYFGQAERKGLMTAAELAGINVISLINEHSGAALQYGIDKDFSNGSRHVIFYDMGSSSTYAALVYFSAYNAKEFGKTVSANQFQVKDVRWDSGLGGQNMELRLVEYFADEFNKQVGNGVDVRKYPKAMAKLKKQVKRTKEILSANTAAPISVESLHDDRDFRSTITREKFEELCGDLWDKSLMPVKEVLKHSGLQADDIYAVELIGGATRVPKLQVKLLEYFGRKDLDKHLDADEAIVLGAALHAANLSDGIKLNRKLGMVDGSSYSFFMELDGPDLSKDGDTRLLLVPRMKKLPSKIFKSFNRSKDFELLLAYDNEGLLPPGLSSPVFAQYAVSGLTDAANKYSSRNLSSPIKTNLHFSLSRSGILSLDQAEAAFQVTEWIEVPKRNLTVENSTIASSNVSVDLGAENTSEQNSNSLESDGEISNASNSTTEPNTVDLGTEKKLKKMTFRIPLKIVEKTMGPGIPLSKESLLNAKSKLEALDKKDAERRRTAELKNNLEEYIYATKEKFETSEDFERISSNDERQSVIKKLDEVQEWLYTDGEDATATEFQERLNLLKAATDPIFFRFKELTARPEAVKFARRYLNELQQTIRGWEKDKPWLPKDKVEELSINIDKFKTWLDEKEAEQQKMSGLSTPVFTSKEVYEKVTFVQNKADSIKKIPKPKPKTEKPINNEETEKDTSGDEKPAEESDSSKNEEDNAESEMHEEL >KJB69586 pep chromosome:Graimondii2_0_v6:11:2381980:2389729:-1 gene:B456_011G031900 transcript:KJB69586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQAKEDDHKVTNIGAVIDVTSRTGKEEITAMEIAVQSFNNKLNNHGLSLYVQSHGKDPMLAAAAAEKLIKENNVTVIIGLETWELAAPVAEIGTRARVPVLSFTAPSITLPLSALRWPFLAQMANNDSEEMTCIAAIIRSYGWKKVIAIYEDETYGGDSGKLAVLIEALQDIGSEIEHTLVLPPISSLSNTKEVMQEELMKLLSLESRVFVVLKASSVMTIHLFEEAKNMGFMGRDSAWIITDKITTYLDSFNTSIISSMAGVLGIKTYYTENSSEYESFYGQFKQVFRKKYEDEDNFEPGIYALKAYDAIQIITEAMEGMINTNISSKQLSEKILSSNFTGLSGEIQFERGMLSHNPTLRIVNVVGKKYKELDFWLPSFGFSRSLVNEETEHDGIGFSKNIGYVGNKSADFTGTVIWPDDSKLVPKGWEMPTNKKPMIIGVPARTAFEKFVKVDDGKHPGQKKYDGFCIELFYEVLTVLDYDLIYQFDPHNGTYDELVHKVYNKTYDAAVGDITILANRTTFVDFTQPYAATGLSMIVPVKPESSEWMFLKPFTAKMWLVTGSLLIYTMFIVWFLEHQSNPNFRGPWNNQIGTALWFTFSSIFFAHSEKIYSNLTRVVVVVWLFVALILTSSYTASLTSMLTVQQLEPNVTDIGSLKRSNVKIGCDGDSFVRTYLEDVLKFKSYNIENVSSEYNYEGEFKSNHIAAAFLELPYGKVFLNRYCKKFTTTAPTYIFGGLGFIFQKGSPIARDFSRAILKLSEDGTLMSLEQKWFAPSTECSANVTEERNTNSLSINSFLGLFLISGATSTVCLLLFLAYSLKRYWHHELENNAGNLSLVDEGVLIKAMRVAKYIYNGKVCVQGEVSAAPRAPDIYEWSSPTWDYDRSSSHISMENLEVKSQAETEIGIQLQVHN >KJB72951 pep chromosome:Graimondii2_0_v6:11:49778185:49780960:-1 gene:B456_011G206400 transcript:KJB72951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGRKNLKRAAKDQILNLQHGQSVMQVLSLRGSNLIEVIDARGQKSLALFPAKFQKSMWIKRGSFVVVDESGKEKALESGSKVACIVSQVLFFDQVRALQKSPEWPEIFKSSNLDDSNENDGGATSESLQRDTTPIEVNDEIESSDEDGLPPLEANLNRIRPFELQSDRESDSGSDTD >KJB70978 pep chromosome:Graimondii2_0_v6:11:10864311:10865262:1 gene:B456_011G098000 transcript:KJB70978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHFPVKSNLLDSDTTKFGFGGNEQPLCPKPRRLGPAIPDCLKPLRCTKHRQPYTDGRSGVLNMITVKTSEGRESSCNGCSPSCYGGSPPGRTGNPLVHDVNFIHQMELLSPLSRGKFSDKFGIASASPV >KJB74252 pep chromosome:Graimondii2_0_v6:11:61564361:61565812:-1 gene:B456_011G283000 transcript:KJB74252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGLSGFCIKGSHVRGNNGNNNGGSGTKCGRWNPTTEQVKVLTELFRSGLRTPSTDQIQKISTQLSFYGKIESKNVFYWFQNHKARERQKRRKVSIDENDFISRDNIFNNNNNKISSSKRFFEVKEYQSDQRVIETLQLFPLNSFDENEQEKLRFHANECRETSSFPYTINNPEMDHPPLDLRLSSL >KJB74025 pep chromosome:Graimondii2_0_v6:11:60010579:60011199:1 gene:B456_011G268100 transcript:KJB74025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGDEQCWMWMMKKRPSSSQLLRSHFEVSMECLSDTWEEQAFAEDAASFCNREFRSAQALGGHMNVHRRDRAKLKQSLNDHHQYPNNEEAASKSKPPVDEAACTLDHSIDYSTATKRAFNDVETSLSVGLSSVLFQNRPAVTCNKEAANNKRPKVAVSTLQCSPLQWQVLELKPASSMEDLDLELRLGVL >KJB73427 pep chromosome:Graimondii2_0_v6:11:55072109:55078959:1 gene:B456_011G233000 transcript:KJB73427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNHLLLEEPIRMASILEPSRPSFFPAMTKIVGTLGPKSRSVEIISDCLKAGMSVARFDFSWGDIEFHQETLENLKTAVKSTRKLCAIMLDTGGPELQVVNKTEHPISLEADTKVILTPDQDKPATSNLLPINYHGLSKAVKKGDTIFIGQYLFTGNETTSVWLEVDEVCSEDVVCLIKNSATLSGTLYTLHVSQIRIDMPTLTDKDKEVISIWGVQNNIDILSLSYTRHAEDIRHARSFLSKLGELNQTQIFAKIENIEGLTHFDEILQEADGIIFSRGNLGIDLPPEKVFLFQKAAVYKCNMMGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETVSTVGKICAEAEKVFNQDLYFKKTVKNVGEPMSHLESIASTAVRAAIKVKASAIICFTSSGRAARLIAKYRPTMPVISVVIPRLKTNQLRWTFSGAFEARQSLIVRGLFPMLADPRHPAESKSGSNESVLKVALDHGKASGVIKPHDRVVVCQKLGDASVVKILELED >KJB73429 pep chromosome:Graimondii2_0_v6:11:55074009:55078952:1 gene:B456_011G233000 transcript:KJB73429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLTDKDKEVISIWGVQNNIDILSLSYTRHAEDIRHARSFLSKLGELNQTQIFAKIENIEGLTHFDEILQEADGIIFSRGNLGIDLPPEKVFLFQKAAVYKCNMMGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETVSTVGKICAEAEKVFNQDLYFKKTVKNVGEPMSHLESIASTAVRAAIKVKASAIICFTSSGRAARLIAKYRPTMPVISVVIPRLKTNQLRWTFSGAFEARQSLIVRGLFPMLADPRHPAESKSGSNESVLKVALDHGKASGVIKPHDRVVVCQKLGDASVVKILELED >KJB73428 pep chromosome:Graimondii2_0_v6:11:55072229:55078952:1 gene:B456_011G233000 transcript:KJB73428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDTGGPELQVVNKTEHPISLEADTKVILTPDQDKPATSNLLPINYHGLSKAVKKGDTIFIGQYLFTGNETTSVWLEVDEVCSEDVVCLIKNSATLSGTLYTLHVSQIRIDMPTLTDKDKEVISIWGVQNNIDILSLSYTRHAEDIRHARSFLSKLGELNQTQIFAKIENIEGLTHFDEILQEADGIIFSRGNLGIDLPPEKVFLFQKAAVYKCNMMGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETVSTVGKICAEAEKVFNQDLYFKKTVKNVGEPMSHLESIASTAVRAAIKVKASAIICFTSSGRAARLIAKYRPTMPVISVVIPRLKTNQLRWTFSGAFEARQSLIVRGLFPMLADPRHPAESKSGSNESVLKVALDHGKASGVIKPHDRVVVCQKLGDASVVKILELED >KJB69740 pep chromosome:Graimondii2_0_v6:11:2982461:2988079:1 gene:B456_011G040000 transcript:KJB69740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRAEVRNEYRLGKPELYKEAIREDPKAVLDGVVVAGLVGILRQLGDLAEFAAEVFHGLQEQVMSTASRSHKLTVRVQRIEAALPPLEKAVLSQTSHIHFAYTAGSQWHPRMLNEKNHFISNDLPRFIMDSYEVCRDPPPLHLLDKFDAGGPGSCLKRYSDPTYFKKASGISIEEDAEKDPRNRKTRKSKKRRSSHRSSKLSRGASLLNHSGRMQFTSPVDDGRISSSQTASTVDMALKSEVGEHSSSFDSRTGSGYNESVSELGSSMLPEEHEPKELSSRLMHETDTLGSDFPVQQTRVIDDNFSVSSSQEQISPSPSYLTWDEKAEIVESKAGNWDRGEVPEMNFDVDVQETGVANIGDGDQMDIPSNHTDSVRSSSIENQNYEIESEPEYYMDALNTIESESENDIGCQCHTKRKVEQWSEKDVECQTKCEVEQNDGASHVNDKNREDGILAVADDNADHHQSIIESSASSNIISSNEISMSLPDPVPSANFASEQMLQILGKSSDPDNLSHSGLYMSDEIHENSQVESVISNPFESSASSNIILSDEISTSLPDPVSSQNFASEHMLQISGKSSDTDNLPCTDLYMSDEIQKNSQGESVINDPFESSASSNIITSNGISTSLPDPISSQNFASEQMLQISGKSSDPDNFPYTDLYMSGEIHKNSQVESVISDPFESSASSNIISSNGISTSLPDPVASQNFASEHMLQISGKSSDTDNLPCTDLYMSDEIQKNSQVESVINDPFESFASSNIIASNGISTSLPDPISSQNFASEQMLQISGKSSDPDNIPYTDLCTSDEIHKISQVESVISHPSSSSGSSVSNPASDRIINSVRDSQNSHREFCGVNSVGFWTNGGLLGLQPSKPPDFTVSTTGQGSAAKTSEAFGPQNLTVVALHNGPKGNSRKAVENAESTEKVPGSCSEKTSLPIADLDANLEKPDTSHRNSSLDNFNGVGLSLNSSFSDGNKHPVNPNVRAASIESDEENDDNSSSMFGLGHKLHVNGFHRKVSINHDIESEPATSTKTGVSEQRNGQQSTSNQEIPWTTFSQQNGNGSPVNSLTSSPPLEHMKISFNPIDGFETSKLRLQFPDANHYQESVRDMFPAFQLVPVPAIPVHDVASDSDDDTFCRSAPYMSDDCLSHCSESNSEQWESGETPESKDPELYDALSRLSSMESISSSLQIREAAINSIHVNGGNKSVVHGSGAEPSLFVLPDLPSFDTINPVLQDETKSNSNKKNI >KJB69769 pep chromosome:Graimondii2_0_v6:11:3060648:3063067:-1 gene:B456_011G041200 transcript:KJB69769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTMGLNFVLLLVMVATNILSLYHLSSTVQSPNPPPPVPVPDHLLRQLNTIRATINHLTRHNPSSSSTTAEPSSAVPRDLILHSQIGPIASSCHNHPDLLHRYMNYTPFSTCPYDPDLQETLILNGCHPLPRRRCFSRTPSKPPSSLPLTHFPTSLPDSGVMWNKYSCKSFACLSQNNPTGFDLNAQRSSLQKYTSELDLPLTQFMQLAKSANSPIRLGIDIGGGTGTFAALMKKSYNVTMLTTTMNVNAPYNEAVALRGLVPLHVPLQQRFPVFDGTMDLVRCGRAVNRWIPLSVMEFMFYDVDRVLRGGGYLWVDRFFSKAVDLEKIYGPLIGKLGYKKVKWAVANKTDPSGLKHGEVYLSALLQKPVSKS >KJB69768 pep chromosome:Graimondii2_0_v6:11:3060531:3063133:-1 gene:B456_011G041200 transcript:KJB69768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTMGLNFVLLLVMVATNILSLYHLSSTVQSPNPPPPVPVPDHLLRQLNTIRATINHLTRHNPSSSSTTAEPSSAVPRDLILHSQIGPIASSCHNHPDLLHRYMNYTPFSTCPYDPDLQETLILNGCHPLPRRRCFSRTPSKPPSSLPLTHFPTSLPDSGVMWNKYSCKSFACLSQNNPTGFDLNAQRSSLQKYTSELDLPLTQFMQLAKSANSPIRLGIDIGGGTGTFAALMKKSYNVTMLTTTMNVNAPYNEAVALRGLVPLHVPLQQRFPVFDGTMDLVRCGRAVNRWIPLSVMEFMFYDVDRVLRGGGYLWVDRFFSKAVDLEKIYGPLIGKLGYKKVKWAVANKTDPSGLKHGEVYLSALLQKPVSKS >KJB69979 pep chromosome:Graimondii2_0_v6:11:4053791:4056673:-1 gene:B456_011G052000 transcript:KJB69979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKEYNDEEVLLAIGPRPNTFSYAELRAATDDFSPSNKLGEGGYGAVYKGTLSDETVVAVKQLSVASQQGKSQFIAEVATISAVQHRNLVKLRGCCIEGKRHLLVYEYLENKSLDQALFGRSDLRLDWPTRYNICLATARGLAYLHEESRPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDEKTHISTRVAGTIGYLAPEYAMRGHLTEKADIFAFGIVALEILSGRPNSDNSLEDDKIYLLEWSWSLHENNQILDLVDPNLVEFDENEALRVVRIALLCTQGSPSMRPPMSRVVAMLAGDIEASGVITKPSYLTDWDFRDLTRSLVTKDAQTSTSSENKDNHNQNRISSGPGVAPSLCPVNVSEFSEIIERR >KJB69978 pep chromosome:Graimondii2_0_v6:11:4053715:4060864:-1 gene:B456_011G052000 transcript:KJB69978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RALNAIFQQWEIQAADGWNTSGDPCSGVALSQSDSTFEDPSNNPSIRCDCSFENATLCHITRLRVFSLEKRGTIPRELLAFRYLNYLKIDQNFFTGPLPTFIGNLSRLGLLSVAHNSLSGPIPKEIGNLKRLYLLSLGVNNFSGTIPPELGNLVELQQLYINSCGLTGEIPSTFANLQNLQIVAASDTAFTGNIPEFIGSNWTRLESLRLEGNSFEGPIPSNIGKLSSLTILRITGIYNGSSSLDVIRNLKNISDLVLRNVLLTGSIPSDISDFQSLQKLDLSFNNLTGQIPSKLFNMKSLTYLFLGNNSLSGTLPSQKSKILKSIDVSYNQLSGNLPSWIDSSLQLNVVANNFTLHSSDIRLLPGLQCLQRGFPCNRNAPRYANFAIKCGGLQMTADGIVFEAENNSLGTATFNVTSTQKWAVSSVGLYEDRENPMYVQNTFAQVKSTNTPGLFLTSRTSPVSLRYYGLGLENGPYTVNLFFAETAYPDRITRSWKSLGRRVFDIYIQGGLQVKGFDISKEAGGAERAITRRFVTNVTDNHLEIHLLWAGKGTCCVPELGYYGPSISAISVVPDFKPTVSGLPPGTSKRKTALLVGIVVAVGAVALVLIYLTIHFMRRKEYNDEEVLLAIGPRPNTFSYAELRAATDDFSPSNKLGEGGYGAVYKGTLSDETVVAVKQLSVASQQGKSQFIAEVATISAVQHRNLVKLRGCCIEGKRHLLVYEYLENKSLDQALFGRSDLRLDWPTRYNICLATARGLAYLHEESRPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDEKTHISTRVAGTIGYLAPEYAMRGHLTEKADIFAFGIVALEILSGRPNSDNSLEDDKIYLLEWGSPSMRPPMSRVVAMLAGDIEASGVITKPSYLTDWDFRDLTRSLVTKDAQTSTSSENKDNHNQNRISSGPGVAPSLCPVNVSEFSEIIERR >KJB71347 pep chromosome:Graimondii2_0_v6:11:15766444:15773709:-1 gene:B456_011G117700 transcript:KJB71347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLRDAGVVYKPVEQIDLGPCSNESYFKADKAPRMAGFLLKIFAWFLESRIIGALLLYIVKKNNQVHKLVSNATLEEPPMFVPLHPITDLNQQEVKQIDSDASPPERVQQAIKCIPVTSEKSLDDLKSSCFWRWTIADYSRAYSTGEITPLRVAEHFINAVRESCSPPLPMSFFINSDAEDILRQATESTLRYERGNPISALDGVLIAIKDEIDCYPYPTTGGTKWLHKFRPCTGDACCVMRLRSCGAILVGKTNMHELGAGVSGINPHYGPIRNPYNPKKICGGSSSGSAAVVSSGLCPVALGVDSGGSVRMPASLCGVFGFKPTFGCIPHSGVLPLNWTVGTVGILAATLEDAFIVYAAISGDLPSHKPTTLPPKVQFPLLNSTKPISNIRFAKYGEWFNDCSDEIRICCSNALNLLCEHYKWKTVGVTIPDIESMLLAHYVTISSECSTSLSSHMEKLNFAEIGWDVRVALRVCGAFHGKEYIQAQKMSRTAYSIVDDALKTGEVDCINGAALIRYQIAGNFLGLPAVTVPVGYDKAGLPIGLQFMGKPWSEPTLMHVAYAMQALCISEYRKPKVFYDLLHKN >KJB71346 pep chromosome:Graimondii2_0_v6:11:15766440:15773775:-1 gene:B456_011G117700 transcript:KJB71346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLRDAGVVYKPVEQIDLGPCSNESYFKADKAPRMAGFLLKIFAWFLESRIIGALLLYIVKKNNQVHKLVSNATLEEPPMFVPLHPITDLNQQEVKQIDSDASPPERVQQAIKCIPVTSEKSLDDLKSSCFWRWTIADYSRAYSTGEITPLRVAEHFINAVRESCSPPLPMSFFINSDAEDILRQATESTLRYERGNPISALDGVLIAIKDEIDCYPYPTTGGTKWLHKFRPCTGDACCVMRLRSCGAILVGKTNMHELGAGVSGINPHYGPIRNPYNPKKICGGSSSGSAAVVSSGLCPVALGVDSGGSVRMPASLCGVFGFKPTFGCIPHSGVLPLNWTVGTVGILAATLEDAFIVYAAISGDLPSHKPTTLPPKVQFPLLNSTKPISNIRFAKYGEWFNDCSDEIRICCSNALNLLCEHYKWKTVGVTIPDIESMLLAHYVTISSECSTSLSSHMEKLNFAEIGWDVRVALRVCGAFHGKEYIQAQKMRNRQMQIHNNIFAMADVIVAPTTGRTAYSIVDDALKTGEVDCINGAALIRYQIAGNFLGLPAVTVPVGYDKAGLPIGLQFMGKPWSEPTLMHVAYAMQALCISEYRKPKVFYDLLHKN >KJB71348 pep chromosome:Graimondii2_0_v6:11:15766444:15773744:-1 gene:B456_011G117700 transcript:KJB71348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLRDAGVVYKPVEQIDLGPCSNESYFKADKAPRMAGFLLKIFAWFLESRIIGALLLYIVKKNNQVHKLVSNATLEEPPMFVPLHPITDLNQQEVKQIDSDASPPERVQQAIKCIPVTSEKSLDDLKSSCFWRWTIADYSRAYSTGEITPLRVAEHFINAVRESCSPPLPMSFFINSDAEDILRQATESTLRYERGNPISALDGVLIAIKDEIDCYPYPTTGGTKWLHKFRPCTGDACCVMRLRSCGAILVGKTNMHELGAGVSGINPHYGPIRNPYNPKKICGGSSSGSAAVVSSGLCPVALGVDSGGSVRMPASLCGVFGFKPTFGCIPHSGVLPLNWTVGTVGILAATLEDAFIVYAAISGDLPSHKPTTLPPKVQFPLLNSTKPISNIRFAKYGEWFNDCSDEIRICCSNALNLLCEHYKWKTVGVTIPDIESMLLAHYVTISSECSTSLSSHMEKLNRQMQIHNNIFAMADVIVAPTTGRTAYSIVDDALKTGEVDCINGAALIRYQIAGNFLGLPAVTVPVGYDKAGLPIGLQFMGKPWSEPTLMHVAYAMQALCISEYRKPKVFYDLLHKN >KJB74265 pep chromosome:Graimondii2_0_v6:11:61648879:61652327:1 gene:B456_011G283900 transcript:KJB74265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKQAEEAIVSSLNETMEHEEEDQGDNSVFSVKSLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIILQVFYGVLGSWTAYLISVLYIEYRTRKEKENVSFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAALVHGQVEGVTHQGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTIPSASAVYWAFGDQLLNHSNAFSLLPHSAWRDAAVILMLIHQFITFGFACTPLYFVWEKVVGMHDTKSICLRAVSRLPVVIPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMLTYKSASARKNAAEKLPCFLPSWTAIYAVNTAIVIWVFVVGFGLGGWASMTNFIKQVDTFGLFAKCYQCPPSPSSKHH >KJB74264 pep chromosome:Graimondii2_0_v6:11:61648458:61652435:1 gene:B456_011G283900 transcript:KJB74264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKQAEEAIVSSLNETMEHEEEDQGDNSVFSVKSLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIILQVFYGVLGSWTAYLISVLYIEYRTRKEKENVSFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAALVHGQVEGVTHQGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTIPSASAVYWAFGDQLLNHSNAFSLLPHSAWRDAAVILMLIHQFITFGFACTPLYFVWEKVVGMHDTKSICLRAVSRLPVVIPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMLTYKSASARKNAAEKLPCFLPSWTAIYAVNTAIVIWVFVVGFGLGGWASMTNFIKQVDTFGLFAKCYQCPPSPSSKHH >KJB69132 pep chromosome:Graimondii2_0_v6:11:541687:543420:1 gene:B456_011G007100 transcript:KJB69132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPKCFNFLTLFISLLSLPSPTISQSSSLTNFLHCLHYGSDPIVSQSIYIASNPAFQTILQARIKNRRFLNPETLKPVAIVVPTRIDHVQGTVICAKDNGLQVRIRSGGHDYEGLSYRSNVTFIILDMSNFRSIDIDVKTETAWVQSGATLGELYYHIANKTNMHGFPSGVCPTVGIGGHFSGGGYGNLMRKYGLSVDNILDIIAVDALGNVHDRASMGEDLFWAIRGGGAASFAVVVSYKIKLVRVPNKVTVFRKGFTLEQGPTDLVHKWQQVAPNINEEFFIKVKLEPSFINGNQTVTATFIGFFLGRREKLLPIISKTFPELNLTQQDCHEMRWVETTLFWAGFPIGTPIETLLNRTIWTPLFFKNKSDYVKNVIPKESLNKIWKMTMAMMNRNDINKTRFDLECSPYGGKMNVIPESNTPFPHRKGNLFLIQYAFSWTDEGNNVSFNNIKKLRKLYDGMAPYVSKDPRECFLNYRDLDIGSNRSNETSFDDAKIYGRKYFKDNYTRLTKVKASVDPNNFFKYEQSIPPIK >KJB73120 pep chromosome:Graimondii2_0_v6:11:51896488:51897978:1 gene:B456_011G216000 transcript:KJB73120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKRRTARNLVAKLSSVSERTRNEALAELRLISRQDPESRPLIADAGAVPYLSETLYSSSPAIQENAAATLLNLSITSRDSLMSTRGLLDALSHALSNSASQGAVQSCAATLHSILIADESSRPIIGSKRDILYTLLSIIGDEHAPARSIKDALNALFGIALYQLNRASLVGLGAVPALMSLIVRDARKGIVEDATAVLAQIAGCEESEEAMRKAGGLEVLGDLLDEKTAASTRMRENAVGALLNLARCGGERGRKEVREMGVKVMDVIREVGENGSPKGKAKAVELLKFVVDGNENENEVRELRLKFNSMDDFIDHSI >KJB73327 pep chromosome:Graimondii2_0_v6:11:54121674:54125708:1 gene:B456_011G228300 transcript:KJB73327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMFFNSLLLLLLLLLQISCSRFSLALQENSSATVRSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEETVGKHNITIFAPKNEALERDLDPEFKRFLLEPGNLKSLQTLLLYHIVPARIDRHSWPKSTSALTHHFTLSNERVELSGDDSSGEKFIGSAKVISPNAVDRPDGVIHGIEQLLIPRSVQQDFNNRRSLRSISAVKPEGAPEVDPRTHRLKKPAPPVKPGAPPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFNGMRQVKDFIQTLIQYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEQIIYYHIIPEYQTEESMYNAVRRFGKVSYDTLRLPHKVLAQEADGSVRFGHSDGSAYLFDPDIYTDGRISVQGIDGVLFPPEEKAKEEKKTIKVATVKPRRGKLLEAACRMLVAIGQDSRFTSCRQ >KJB73326 pep chromosome:Graimondii2_0_v6:11:54121595:54125721:1 gene:B456_011G228300 transcript:KJB73326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNANLANILLQQFFKSVTQRLSPERERKKNTSLFLVYNIPHFVNSILDFYSSLMAFMFFNSLLLLLLLLLQISCSRFSLALQENSSATVRSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEETVGKHNITIFAPKNEALERDLDPEFKRFLLEPGNLKSLQTLLLYHIVPARIDRHSWPKSTSALTHHFTLSNERVELSGDDSSGEKFIGSAKVISPNAVDRPDGVIHGIEQLLIPRSVQQDFNNRRSLRSISAVKPEGAPEVDPRTHRLKKPAPPVKPGAPPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFNGMRQVKDFIQTLIQYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHIIPEYQTEESMYNAVRRFGKVSYDTLRLPHKVLAQEADGSVRFGHSDGSAYLFDPDIYTDGRISVQGIDGVLFPPEEKAKEEKKTIKVATVKPRRGKLLEAACRMLVAIGQDSRFTSCRQ >KJB71111 pep chromosome:Graimondii2_0_v6:11:12372491:12373140:1 gene:B456_011G106300 transcript:KJB71111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVRVVRIFTDMSISPSLSPRQCPDRYAFRVSQNLPDKEFRYLMTVIVTTAVHRGFGHQLPCHQPLYMVLRLCGDLCFW >KJB69533 pep chromosome:Graimondii2_0_v6:11:2106675:2108458:-1 gene:B456_011G028700 transcript:KJB69533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEPLHPPISQKMHFIPHFSFRHFNYTTPIMEIIRGNGGGGLVNWKSQGSASSSVKSKDSLTKELVTTGALLTAFAPLERVKNMMQNQNAIIKLGRLSKPYNGICDCFATTIRHEGFFSLWRGNTAYLIAHLSVQVVSTKLKFLTIVSIILEHGESRKDIEWTRVQTIGQFNGVIDVFGKTLKLDGIAGLYRGLIISVAETGIKAAVYVGLFPHYLHVSQNNILSRPMLESGVVICYEMAGYRLNTVSRRMMLTSGAVKYKGTLHAIAHILTTEGEKSFYSGAGAQILGCVVYAGTEFSKFVQLHAVYSCT >KJB71909 pep chromosome:Graimondii2_0_v6:11:23699414:23702142:-1 gene:B456_011G146800 transcript:KJB71909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVAGMATTSSFAMVKLHSIPSVSAKGKTGLKLMSVKVRAGSFKTGGAGVLERPSFDQSQFDPSSQALEGGDIGRSRDKKGTGSGDSYKVLLVDDARHSEKLVAKVLPQVVPSVTPNDARKLFHVSREHGLAVVIVTVKEHAEFYSQMMVRGGLRSTIVPDSDVV >KJB71907 pep chromosome:Graimondii2_0_v6:11:23699344:23702142:-1 gene:B456_011G146800 transcript:KJB71907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVAGMATTSSFAMVKLHSIPSVSAKGKTGLKLMSVKVRAGSFKTGGAGVLERPSFDQSQFDPSSQALEGGDIGRSRDKKGTGSGDSYKVLLVDDARHSEKLAVAKVLPQVVPSVTPNDARKLFHVSREHGLAVVIVTVKEHAEFYSQMMVRGGLRSTIVPDSDVV >KJB71910 pep chromosome:Graimondii2_0_v6:11:23699478:23702142:-1 gene:B456_011G146800 transcript:KJB71910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVAGMATTSSFAMVKLHSIPSVSAKGKTGLKLMSVKVRAGSFKTGGAGVLERPSFDQSQFDPSSQALEGGDIGRSRDKKGTGSGDSYKVLLVDDARHSEKLVAKVLPQVVPSVTPNDARKLFHVSREHGLAVVIVTVKEHAEFYSQMMVRGGLRSTIVPDSDVV >KJB71908 pep chromosome:Graimondii2_0_v6:11:23699344:23702193:-1 gene:B456_011G146800 transcript:KJB71908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVAGMATTSSFAMVKLHSIPSVSAKGKTGLKLMSVKVRAGSFKTGGAGVLERPSFDQSQFDPSSQALEGGDIGRSRDKKGTGSGDSYKVLLVDDARHSEKLVAKVLPQVVPSVTPNDARKLFHVSREHGLAVVIVTVKEHAEFYSQMMVRGGLRSTIVPDSDVV >KJB69281 pep chromosome:Graimondii2_0_v6:11:1028593:1031930:-1 gene:B456_011G014500 transcript:KJB69281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIGGTHPSATARRKTRRRRRRGGAPSTPLQYWTFHNNNEKNKHAWFSSDPGAGDESKFPGGGHVVELSARKLAAGLWWLRSLRYGIGGGFSTRRRSSDRSHFGPSVSRVNTMLSRNQSSKEHREHQLRRSESTGFGPKQGTLHKHSKSLKEGASRCSKASIEAYYFASHMRLLEDQVKTVSFVSSLQAELVQARLYIHDLEYEVRSSRNRVKYLARKLGVERRSQENKEHEKIFALIDDLKVQLSRERKKLQKMDVINSQLVNELAETKLSAMQSVQKHEDERRTRKLLEEVCQELARKIGESEAEVEAMRIEMMENREEVEEERNMLQVAEVLREERVQMKLFDAKLALESKYSQLNKLITVLETFLRSRSTSLDIRELKKAELIEQAVKAVSIQDMEEFSYEPLGSCDIFSIFEELQQVEVCKREIETWFNYSLTGDISDYHPVSPQENYHDNDHVPKRSSGFVDYNSDNEEDTKGHEGVNHVENQDWVEESGDSIVTIGACRSAQRCEIEWDETATGVSPNTETNEACLISAGHPKRNSSSAKIQASCASSSGSYKAITDEGNGQLSSRIISSPGAYLSKGKSIKVGLKHQKPMEQYGSMDIVNPHVVRGMKGFIERARGYENNTLKAKLSESSTESKKPQLRRHNTNQ >KJB69282 pep chromosome:Graimondii2_0_v6:11:1028593:1031940:-1 gene:B456_011G014500 transcript:KJB69282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIGGTHPSATARRKTRRRRRRGGAPSTPLQYWTFHNNNEKNKHAWFSSDPGAGDESKFPGGGHVVELSARKLAAGLWWLRSLRYGIGGGFSTRRRSSDRSHFGPSVSRVNTMLSRNQSSKEHREHQLRRSESTGFGPKQGTLHKHSKSLKEGASRCSKASIEAYYFASHMRLLEDQVKTVSFVSSLQAELVQARLYIHDLEYEVRSSRNRVKYLARKLGVERRSQENKEHEKIFALIDDLKVQLSRERKKLQKMDVINSQLVNELAETKLSAMQSVQKHEDERRTRKLLEEVCQELARKIGESEAEVEAMRIEMMENREEVEEERNMLQVAEVLREERVQMKLFDAKLALESKYSQLNKLITVLETFLRSRSTSLDIRELKKAELIEQAVKAVSIQDMEEFSYEPLGSCDIFSIFEELQQVEVCKREIETWFNYSLTGDISDYHPVSPQENYHDNDHVPKRSSGFVDYNSDNEEDTKGHEGVNHVENQDWVEESGDSIVTIGACRSAQRTPKAEFIFC >KJB70555 pep chromosome:Graimondii2_0_v6:11:8183669:8186599:-1 gene:B456_011G081500 transcript:KJB70555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRKAYELVKEFADGEKGHLKIFNNELFERVIEECNEHHNALQSLIRKMQEEGLEVQTARNADHYGALIHHLSLIRNKRCLMAYVYNRAEIIRELAWKVGLLHELPGEIQEKFSDSEEQYFKDHSKSLKSYMSQLSLDVNVDMVPPKDPYIKVRVLEDLGSGIILSDKSANFARHSMHFLKRTDAEQYVARGLMEELTG >KJB70556 pep chromosome:Graimondii2_0_v6:11:8183641:8186475:-1 gene:B456_011G081500 transcript:KJB70556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRKAYELVKEFADGEKGHLKIFNNELFERVIEECNEHHNALQSLIRKMQEEGLEVQTARNADHYGALIHHLSLIRNKRCLMAYVYNRAEIIRELAWKVGLLHELPGEIQEKFSDSEEQYFKDHSKSLKSYMSQLSLDVNVDMVPPKDPYIKVRVLEDLGSGIILSDKSANFARHSMHFLKRTDAEQYVARGLMEELTG >KJB70589 pep chromosome:Graimondii2_0_v6:11:8254409:8255370:1 gene:B456_011G081800 transcript:KJB70589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSSMPHPYHSSKVADPNVNGDHLKDNRGVRSNVTEKKSAAGAATNKLEKKPSVDINESAEAFIQKFRHQLLLQRLESIENYEKMLARGL >KJB72691 pep chromosome:Graimondii2_0_v6:11:45597519:45599781:1 gene:B456_011G190900 transcript:KJB72691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHNFIRKHADRNDADFMKYEDINWAYENIIDSENAHGRESNDDNDDDDDGDDDVKIVYLKPHDFRFRSILALLFKNTFETKLLFSTKFSTHSHFPQIKNPRNSFPPNFLPPRCRSPPILTTTSSLTLVLSLSRPKLITSSPSFRRQKMYSFYYCCLFVC >KJB71973 pep chromosome:Graimondii2_0_v6:11:25548219:25550099:1 gene:B456_011G151200 transcript:KJB71973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLNSSLFLRSIVNGGKNLYNFHSSISYSFKTLATHIDALSKNSMSVRGKRKKYDSFDNVDDAFTLFNKMIEKHPKPSIVEFTQLLGAIVRMKRYATVVFMCSQMELLGVPHNVYSLSILINCFCQLGHIDFGFSVLGKMLKLGVEPSVVTLSTLINGLCRQNKISQAVQLFDEMVEKGYQLNLIVYSTILNGLCKTGSGNTDRAVRFLRMMEERGFEPNIVAFNTVIDCLCKKGSLNEALDLFSHVTVKGIRPNTITYNCLIHAMCNSGQQREATRFLNEMVDNNISLNIVTYNILINAHCKDGMIVDAVNIVGTMRKRGIEPDVITYNILVDAHCREGMVSEAENIVDTMRKQGIEPNVVTYNTLIKDHCLRDRMDEAREVLQLMIEKGCAPDIRSYNIMINGYCKAERFDEAMELFHEISRKGPVPDTVTYNTLMQGMCKLGRVLTAYELFRKMIASRQVPDIVTFHILLDGLCKRGKLEEALKLFQAMWNSELELSIVCYNILIDGLCKAGHIEVGKELFHKLSVNGLKPDVYTYAIMVNGFCNEGLADEAYQLFRSMGDNDCLPNSCCYNVMIQGFLRNSYTLKATQLLTEMVSKGFSADLCTATLFLDLILRSDKSILI >KJB73403 pep chromosome:Graimondii2_0_v6:11:54781363:54783042:1 gene:B456_011G231700 transcript:KJB73403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMGNGNGEDAIEALQAQAHIWRHAFNFVSFMSLKCALDLGILDIIHDHGKPMTITQLVAALQMLNPTKACDIYRLMRILVHSDFFARQKLDNDAQEEGYVLTNSSRILLKNNPFCITPTLKATMDPIITKPWSFLGTWFQNDDHTPFATAYGKTLWDYFTHDPQLKDLINDGLASDSQLVTSVLVDKCKGAFEGLDSLVDVGGGTGTTAKAIADTFPLMDCTVFDLPNIVAGLQGSKNLKYVGGNMFEAFPTGDAILLKKVLHDWNDEGCLTILKRCKEAISSQDKVGRKLIIIDMVVRENEQVNDEASSLTKTQLFFDMLMLVLVAGKERREEEWAKLFLAAGFSSFKITPIVGLTSLIEVYP >KJB74142 pep chromosome:Graimondii2_0_v6:11:60765849:60767825:-1 gene:B456_011G275300 transcript:KJB74142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLITTVPGMETYLRCRDLPTFCRKLDIEDSIMKLVVKQTRKSLQADALILNTTEELDGPILSQIRTKCPRVYAVGPLHAQLNTRLNVKHGESYDHISNTLWEVDKSCIFWLNKQPNRSVIYVSFGSITSMSREQLVELWYGLLNCTTKFLLVVRPNSVIGKDGEGEDVVMELMEKSKDRGYIVNWAPQEAVFNHPAIGGFFTHNGWNSTLESVVAGVPMICWPQFADQHVNSRVVSVVWKIGLDMKDVCDRKIVEKMVNDVMVDRKEEFAKSASEMAKVTNQSVNVGGSSYSNLDCLVEDIRITSLKKLTK >KJB74143 pep chromosome:Graimondii2_0_v6:11:60765743:60768011:-1 gene:B456_011G275300 transcript:KJB74143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLAELLAIAGFKLTFLNSHHNHERLVKFNNIAAHFERYPGFEFKTITDGLPLDHPRSGSWFLAMFEDTMELKMKQSLREVFVNSNPSVDCIIADGSPCCFWVYYSIPDIIQAGELPINGSEDMDRLITTVPGMETYLRCRDLPTFCRKLDIEDSIMKLVVKQTRKSLQADALILNTTEELDGPILSQIRTKCPRVYAVGPLHAQLNTRLNVKHGESYDHISNTLWEVDKSCIFWLNKQPNRSVIYVSFGSITSMSREQLVELWYGLLNCTTKFLLVVRPNSVIGKDGEGEDVVMELMEKSKDRGYIVNWAPQEAVFNHPAIGGFFTHNGWNSTLESVVAGVPMICWPQFADQHVNSRVVSVVWKIGLDMKDVCDRKIVEKMVNDVMVDRKEEFAKSASEMAKVTNQSVNVGGSSYSNLDCLVEDIRITSLKKLTK >KJB72174 pep chromosome:Graimondii2_0_v6:11:31290617:31297277:1 gene:B456_011G163600 transcript:KJB72174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKLHGFWSSPFSHRVIWALKIKGVNYEYIEEDLSNKSELLLKYNPVYKKIPVLVHGGKPIAESLVILEYIEETWPNNPLLPIDPYDKAIARFWIQFGVDKGPIFSDFFRSTGGEEQEKTTKELLEALKIIEEQALGDKKFFGGNAINLVDISYGMIAYWFKIMEEVIEVNVLEPNTLPRLCQWAQNFMEVPVIKENIPDRHKVFAYLRHIRQKLLLEHLNK >KJB70030 pep chromosome:Graimondii2_0_v6:11:4274519:4280101:1 gene:B456_011G054100 transcript:KJB70030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKWKKALILVLFTVCILGFKPRCVNGETDQADASALGVMYTSLNSPQQLTGWTASNGDPCGQSWKGVTCSNKRVTEIKLSNLGLSGSVGYSFQSLTSLKELDLSHNNFAGDIPYFTLPNLQRLNLEYNQFTGSIPFAISQMPSLQYLNLAHNQLQNALTDMFGPLSSLSTLDLSFNSLNGGLPESFKNLTSISSMYLQNNQFSGTIDVLANLPLDTLDVSNNQFTGWVPDQLKSINLKKDGNSWSSGPAPPPPPGTPPATRNRKHKSGSDGSASDSGSGGGSSGSGIGGGAIAGIVISILIIGAISSSDVEKLDNQPLAPLASNEVQEIKSVKSSSSFDSQALDTPISISLRPPPIDRFKSFDDEEFSKKPVVVKKAVPAPTNVTSYSIADLQIATGSFSVENLLGEGSFGRVYRAQFADGKVLAVKKIDSSALPSNMSDNFMEMVSNISQLHHTNVTELVGYCSEHGQHLLVYEFHKNGSLYDFLHISDEYSKPLIWNTRVKIALGTARALEYLHEVCSPSVVHKNIKSANILLDAELNPHLSDSGLATFIPNADEVLNRDDVGSGYSAPEVTMSGQYSLKSDVYGFGVVMLELLTGRKPFDSTRHRLEQSLVRWATPQLHDIDALSKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVEALVRLVQRANMSKRTTGTDQGASPRTGNPDDTHDYMS >KJB73460 pep chromosome:Graimondii2_0_v6:11:55184919:55189014:-1 gene:B456_011G233900 transcript:KJB73460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLEKPVLAEDSLYGFKEVAEGAAIFVQKKLHSPGFESVDNKHNCNIEDLQAVGVDLLSKIHNLENRFATVFLESRISELAVAEFDDEIRFCLAELKQLCTLYSFANPKGAHGYDCLETLRSSDLISQTQQVSPCLEDGGLVKELSAKLIEIEKLKSDNLVKDNELEALRNRQKELEAHICSVEKQKSQLEENMEIMRREVVVTAKFLDDLRSEMMELNSNMDSRMSANKILVKKPSELEEGKKGMEVYLSQLEEENLLLSEGLCCLEEKLMYPNDGREYCHMELQIPEFDATNFKDEIIRRLEDEMEVRTFVMIQKVDEMQRQWSVVQEECECLKIENLTLIEECSMLQKANEDLRKQKMELNGRCTVLEVESKESANGCSNMPNKVDNLQRENQLLEEEISAQLQKKVLLQDEILALKETISEIKFENEMLEASFAMLLRDYEELEAETTLFVQKISNSCSCGKVFLEEALGAQKGLLKNEQAYILADNKQNCNTESQFMGVELAEVLDVDDLYKAQLKR >KJB73459 pep chromosome:Graimondii2_0_v6:11:55184949:55188832:-1 gene:B456_011G233900 transcript:KJB73459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLEKPVLAEDSLYGFKEVAEGAAIFVQKKLHSPGFESVDNKHNCNIEDLQAVGVDLLSKIHNLENRFATVFLESRISELAVAEFDDEIRFCLAELKQLCTLYSFANPKGAHGYDCLETLRSSDLISQTQQVSPCLEDGGLVKELSAKLIEIEKLKSDNLVKDNELEALRNRQKELEAHICSVEKQKSQLEENMEIMRREVVVTAKFLDDLRSEMMELNSNMDSRMSANKILVKKPSELEEGKKGMEVYLSQLEEENLLLSEGLCCLEEKLMYPNDGREYCHMELQIPEFDATNFKDEIIRRLEDEMEVRTFVMIQKVDEMQRQWSVVQEECECLKIENLTLIEECSMLQKANEDLRKQKMELNGRCTVLEVESKESANGCSNMPNKVDNLQRENQLLEEEISAQLQKKVLLQDEILALKETISEIKFENEMLEASFAMLLRDYEELEAETTLFVQKISNSCSCGKVFLEEALGAQKGLLKNEQAYILADNKQNCNTESQFMGVELAEVLDVDDLYKAQLKSLFLKNVSTHLDIPANSTGEGVGTKDRHECKVPTLEIEMSARYYMC >KJB73462 pep chromosome:Graimondii2_0_v6:11:55185999:55188832:-1 gene:B456_011G233900 transcript:KJB73462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLEKPVLAEDSLYGFKEVAEGAAIFVQKKLHSPGFESVDNKHNCNIEDLQAVGVDLLSKIHNLENRFATVFLESRISELAVAEFDDEIRFCLAELKQLCTLYSFANPKGAHGYDCLETLRSSDLISQTQQVSPCLEDGGLVKELSAKLIEIEKLKSDNLVKDNELEALRNRQKELEAHICSVEKQKSQLEENMEIMRREVVVTAKFLDDLRSEMMELNSNMDSRMSANKILVKKPSELEEGKKGMEVYLSQLEEENLLLSEGLCCLEEKLMYPNDGREYCHMELQIPEFDATNFKDEIIRRLEDEMEVRTFVMIQKVDEMQRQWSVVQEECECLKIENLTLIEECSMLQKANEDLRKQKMELNGRCTVLEVESKESANGCSNMPNKVDNLQRENQLLEEEISAQLQKKVLLQDEILALKETISEIKFENEMLEASFAMLLRDYEELEAETTLFVQKISNSCSCGKVFLEEALGAQKGLLKNEQAYILADNKQNCNTESQFMGVELAEVLDVDDLYKAQLKRCV >KJB73461 pep chromosome:Graimondii2_0_v6:11:55186282:55188832:-1 gene:B456_011G233900 transcript:KJB73461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLEKPVLAEDSLYGFKEVAEGAAIFVQKKLHSPGFESVDNKHNCNIEDLQAVGVDLLSKIHNLENRFATVFLESRISELAVAEFDDEIRFCLAELKQLCTLYSFANPKGAHGYDCLETLRSSDLISQTQQVSPCLEDGGLVKELSAKLIEIEKLKSDNLVKDNELEALRNRQKELEAHICSVEKQKSQLEENMEIMRREVVVTAKFLDDLRSEMMELNSNMDSRMSANKILVKKPSELEEGKKGMEVYLSQLEEENLLLSEGLCCLEEKLMYPNDGREYCHMELQIPEFDATNFKDEIIRRLEDEMEVRTFVMIQKVDEMQRQWSVVQEECECLKIENLTLIEECSMLQKANEDLRKQKMELNGRCTVLEVESKESANGCSNMPNKVDNLQRENQLLEEEISAQLQKKVLLQDEILALKETISEIKFENEMLEASFAMLLRDYEELEAETTLFVQKISNSCSCGKVFLEEALGAQKGLLKNEQAYILADNKQNCNTESQFMGVELAEVLDVDDLYKAQLKRCV >KJB69478 pep chromosome:Graimondii2_0_v6:11:1885245:1887673:1 gene:B456_011G025500 transcript:KJB69478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLLLALVFVIDLIAFGLAVAAEQRRSTATVGNNGKESYCVYDKDIATGLGVGSFLFLLLGQILIMVASRCLCCGKAMKPSGSRAWAVVLFITCWVFFLIAEVCLLAGSVRNAYHTKYKNLLDNPPSCATLRKGVFGAGAAFIFLTAVVSELYYVSYSKAARDEKPNNYGRDTGVRMGNL >KJB69908 pep chromosome:Graimondii2_0_v6:11:3849336:3850589:-1 gene:B456_011G049300 transcript:KJB69908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSRSKRKSNIHLQQPTVNPRDFQFMITKVAVSQICKSVGFRRSRVSALETLTLVATKYLETLVKSAASFSNAASRTQSNILDLTNALHDMSLQVGFMGASTLYDHNNNCLLKSSVLESLSDFVSSTNEIPFAKPIERAKERESEEGNAVPGSFQERGGHIPEWLPRFPDVGGTNENCDKRVYGEQLWENSSSVLGCQIDGFEEKRCGSNNVGKLPKGRSRIKFRVNNGGRTRVGLNGISCNNVFGQSKDEEEAEPVAVCEKSVKSEEKQILVYKRRKKLC >KJB68991 pep chromosome:Graimondii2_0_v6:11:175662:178144:-1 gene:B456_011G001900 transcript:KJB68991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTILGTRIAILSLWSLVIVSLGDMGDTENLLKFKDSLANPSSLRNWNASTSPCKGSMANWIGVICVKNKIWGLQLENMNLRGLVNIQILDKLPTLRIISLMNNDFEGIMVNIRKLEALKALYLSNNRFHGEIPDDAFEGMRSLKKVFLANNVFSGKIPSSLTVLPNLVILRLEGNQFEGHIPDFKQELKVVCLANNKLEGPIPKSLSRMSATMFSGNKNLCGKPLQACTSSPPRPPPSSKEKTLSSVKIALIVLSTALLLLIIAILILFLTWRTQTESQKANVVNEAKRVSKSGSMVKAAGFEHGSTLMILRDGAQRFDLQDLLKASAEVLGSGNLGASYKVEMEKDDGVVVAVVKRYKQMNNAGKDEFYEHMTRLGKLNHKNLLPLMAYHYMKEEKLLVSQYTDNGSLATHLHGNPCEDKPGLSWQTRLKIIKGIARGLSHLYKELPTLILPHGNLKSSNVLLDHTFKPLMCDYGLTPLINQEQVHMFMAAYKSPEYALKGRVSKKTDVWCLGILILELLTGKLPENYVQPKYNSKANLGSWVKEKMSSESVFDDGLLGTKDDKRDEVMSVLKIGLKCCEEDLNKRPELKQVVQEIEQLGDDEDEDEDDDDDDDDDEFCSTICEVNASICSEKCER >KJB69835 pep chromosome:Graimondii2_0_v6:11:4291226:4292577:-1 gene:B456_011G054300 transcript:KJB69835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KARLVVFPIKGKIWCFSRSIDHYASKSTAANTPSTAKELWKKMSSNSKQPLNANAELLVDFVSNKMNKAWIGLEKAPEGSFKNKLHGFGLPLLARVKPSEIFLKSITKDVTNVQITYPCSLNAWFVRRRLRHTALRGTVIHRKYYYSSVSLLPLTTAFAVLPLPNVIFFWVLFQTYSHWQALQGSEKLLQLVSDDSMVSNLKENESEHNDSKYGTKDSSCYQ >KJB69951 pep chromosome:Graimondii2_0_v6:11:3993800:3999987:-1 gene:B456_011G051300 transcript:KJB69951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLAGKVEETPRPLKDVILVSYEIIHKKDPAAAQRIKQKEVYEQQKELILLGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSQGSEVEGSAGGGASHRAPAKHPSGSEEKQASSRSAADHSSADNHGMPSRTAQNQSNDNGSGEMGSVITDHKMDMETKDNQHPEQLPHKENTREVSNKSRSANERTGEDDQERTGGRNDIQETGEWRDEGASRRASSVIGRNLDTRGGPISQSPKEAIKIDKDKVKAALEKRRKSRGETMKKKDVMDEDDLIERELEDGVELAVEDEKIKREHRQSWSRNENQDHVKDHGEMGEGNTFVTEGQSSRGFETEGAEEGEMLDDASPMVNSRKRKLGSPPDRQLEGKKRHEYMSSYNHDATEDGQKSGRSSYADKEHRRHAHENH >KJB69950 pep chromosome:Graimondii2_0_v6:11:3993800:3999985:-1 gene:B456_011G051300 transcript:KJB69950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMLPGDSSHHSTSDTGPSRNSQEKQEEVGRWYFSRKEIEENSPSRRDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFIRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAAQRIKQKEVYEQQKELILLGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSQGSEVEGSAGGGASHRAPAKHPSGSEEKQASSRSAADHSSADNHGMPSRTAQNQSNDNGSGEMGSVITDHKMDMETKDNQHPEQLPHKENTREVSNKSRSANERTGEDDQERTGGRNDIQETGEWRDEGASRRASSVIGRNLDTRGGPISQSPKEAIKIDKDKVKAALEKRRKSRGETMKKKDVMDEDDLIERELEDGVELAVEDEKIKREHRQSWSRNENQDHVKDHGEMGEGNTFVTEGQSSRGFETEGAEEGEMLDDASPMVNSRKRKLGSPPDRQLEGKKRHEYMSSYNHDATEDGQKSGRSSYADKEHRRHAHENH >KJB71948 pep chromosome:Graimondii2_0_v6:11:24877155:24887644:-1 gene:B456_011G150100 transcript:KJB71948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPKVIMVAEKPSIALSIASVLSRGQMSTRKGSTEVHEFNGKFLGFHAHYKVTSVIGHVFSVDFPASYQDWNATNPLDLFQASVVKTEANPRAHISKHLSQEARGCGYLVLWLDCDREGENICFEVIECTRFPMNEAKKRVYRARFSSVTEKDILKAMDNLVEPNRHEALAVDARQEIDLKVGVAFTRFQTSYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYLQINTFKPEKFWALRPYIIQNGYELQLEWKRQKLFDIDVAMMFQKLVTEDGILEVIDVSEKQETKGRPSGLNTVNLLKVASSALGYGPQTAMQIAERLYTQGFISYPRTESTAYPSSFDFKGTLKVLENNPSWSDCVQRLLAEGYHKPRTGTDAGDHPPITPMRPATEDVLGNDAWRLYQYICQHFMGTVSPDCKYVRTKVEFSIGGEFFHCIGQRVTVQGFTYIMPWLAINEKNLPQFTKGEKIEVSRVELYEGETSPPDYLSESELISLMEKNGIGTDASIPVHINNICERNYVQVQAGRRLVPTTLGITLIRGYQCIDPDLCLPDIRSFIEHQITLVAKGQANHFRVVQHVLQQFKQKFCYFLQQIENMDALFEAQFSPLADSGRALSKCGKCLRYMKYISAQPQRMFCGTCEEVYYLPQKGTIKLYKELTCPLDNFELLIFSMPGPEGKSFPLCPYCYNSPPFEGIDTLFGSAKIGSTGKLGKGAGMPCFLCLHPTCRHSVVAQGVCACPECSGTLVLDPVSAPKWRLYCNKCNCLVLLPEGAHRIATTRDRCAECDSTIIEVDFNKKTTPLEDGATLHTGCILCDELLHSLVEVKHGKSFGRGFGARGRGRGRGTGRGRGGYRGRGRTAGKKVDPRMSFRDF >KJB73682 pep chromosome:Graimondii2_0_v6:11:57001681:57002380:1 gene:B456_011G243000 transcript:KJB73682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSSKKPTVHNLQTTEDQQQITEEDHQHLIKKEVDVPKIHEPQVITSATNNLAESKPPPPAASPLVAHPDPHNPIDEPPFPAIVEPSSKSFSRKDYLPSSPASQTEAFPYPHPPQYEPRGYRQSTVASPVVGLPQVPEKVSPPASNPPQDYLHAKEVLLSSPQNQPSSRPCCSCIIL >KJB73996 pep chromosome:Graimondii2_0_v6:11:59799398:59801969:-1 gene:B456_011G266300 transcript:KJB73996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FIZZY-RELATED 3 [Source:Projected from Arabidopsis thaliana (AT5G13840) UniProtKB/Swiss-Prot;Acc:Q8LPL5] MESSQRRKSGLNLPAGMNETSLRLETSSPSSPCSSSFRSISISSPRMISSLSSPSSSKSTICSDRFIPCRSSSRLHTFGLMEKESPAKEGGNEAYCRILKSELFGSDFGSCFSPAGQGSPMSPNKNMLRFKTEHSGPNSPYSPSILGHDSGFSSEASTPPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNVLAVGLGTCVYLWTASNSKVTKLCDLGPNDSVCAVQWTREGSYISIGTNLGQVQVWDGTQCKKVRTMGGHQTRTGVLAWNSRILSSGSRDRNILQHDLRVSSDYVSKLVGHKSEVCGLKWSHDDRELASGGNDNQLLVWNQHSQQPILKLTEHTAAVKAIAWSPHQSNLLASGGGTADRCIRFWNTSNGHQLNNIDTGSQVCNLSWSKNVNEIVSTHGYSQNQIMVWKYPSMAKVATLTGHSFRVLYLAMSPDGQTIVTGAGDETLRFWNVFPSVKTPTPVKDTGLWSLGRTYIR >KJB73997 pep chromosome:Graimondii2_0_v6:11:59799777:59801678:-1 gene:B456_011G266300 transcript:KJB73997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FIZZY-RELATED 3 [Source:Projected from Arabidopsis thaliana (AT5G13840) UniProtKB/Swiss-Prot;Acc:Q8LPL5] MESSQRRKSGLNLPAGMNETSLRLETSSPSSPCSSSFRSISISSPRMISSLSSPSSSKSTICSDRFIPCRSSSRLHTFGLMEKESPAKEGGNEAYCRILKSELFGSDFGSCFSPAGQGSPMSPNKNMLRFKTEHSGPNSPYSPSILGHDSGFSSEASTPPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNVLAVGLGTCVYLWTASNSKVTKLCDLGPNDSVCAVQWTREGSYISIGTNLGQVQVWDGTQCKKVRTMGGHQTRTGVLAWNSRILSSGSRDRNILQHDLRVSSDYVSKLVGHKSEVCGLKWSHDDRELASGGNDNQLLVWNQHSQQPILKLTEHTAAVKAIAWSPHQSNLLASGGGTADRCIRFWNTSNGHQLNNIDTGSQVCNLSWSKNVNEIVSTHGYSQNQIMVWKYPSMAKVATLTGHSFRVLYLAMSPDGQTIVTGAGDETLRFWNVFPSVKTPTPVKDTGLWSLGRTYIR >KJB73773 pep chromosome:Graimondii2_0_v6:11:57804612:57806377:-1 gene:B456_011G250600 transcript:KJB73773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILNPFNLAARTGKVNELHRVIQRNGNVLRRVDEVEFIDTPLHIAAEEGCIEFAMEMMNLRPSFARKLNHQGLSPLHIAVRKGHKEMALRFLEIDKHLVRVRGKKGKTPLHYLCKVGNQLGLLDTFLEASPDCIQDVTIENRTALHIAIENNRLDALQLLIPTLKRKDYYWEVVNRKDKDGNTALHIAAIHNQPTADKHATNQVGFTALGIAQQHNNRENIAILKGCFIPVVSNLQRKLEKQVVKYVTKASLLIFQNMDNISADDRNALLVILGLLLTATYQATLSPPGGVWQGENTSKSKGSYDPMVLGKSVMGQTHFLLFYIPTYLVFLVTLFLTLALLKTFPHDFRSALQVLLAFLAVSFDESISELAPTYLTYAIINIFSGILFLLMVSMCIVCRVSKISVSKVGYWIFPSILYLFMESGIDMGVDQGLLLFFILYDEFWKGTFFVVCYCLFLSVHALLGNDIDFPYFFALIGCSLFLNLGRLCIIRCTHYTKTGFKRRLFRPLAALFSATKGICGTSTSAAKNAAIDNVANVCSVYRNKCR >KJB72175 pep chromosome:Graimondii2_0_v6:11:31334343:31335851:-1 gene:B456_011G163700 transcript:KJB72175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSPIKCLKIEKIKVGTTSWIFLELSIPCKLVPNVVGINNIEVLTILKFVICVSGFDSFSKFNLLKVVLVLPMSKEYLGGILSGLLNINSIVPSWC >KJB70447 pep chromosome:Graimondii2_0_v6:11:6995785:6997226:1 gene:B456_011G0738001 transcript:KJB70447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLIRSEKDGILCPIPQYPLYSASIALHGGTLVPYYLDEATGWGLEVSELKKQMQEAKSNGITVRALVVINPGNPIGQVLAEENQKAIVEFCKEECLVLLADEVYQENVYVPEKKFHSFKKVARSMGYGEKDIHLVSFQSVSKGYYGECGKRGGGYMEVTGFGADVREHIYKLASMNLCSNITGQILASLVMSPPKVISFAI >KJB74055 pep chromosome:Graimondii2_0_v6:11:60129817:60131062:-1 gene:B456_011G269500 transcript:KJB74055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKQKEEEEEEYFRLLPPGYRFKPRDEELVNYYLRLKISGLPLPPNRIREANLYRHDPETLTAMNKYTSSNEVEKEWYFFTPRERKYTNGSRPARKAGSGYWKATGADKEVILKGKKIGCKKTLVFYEGKPPRGFKTYWTMHEYVSSEAPVRVRHGKEDMKLDNWVLCRLYKNRREAKQKHRIPQEGNGLECQEKVEPHKMAEPVSEPINKVAPPVYDQYQQREVQPFNMNIGQDTNWFPEIDNLMPVSDEYGNYYMFDNNPTHGGGFQQVPNYDDFVTNAAAAAPAPFSSTFPLDNQFQFMGNAGFNLPPPPLQFQPHWPAPPSPPQQFSGANTGFDFSVDEYLLDPEFGMSPSEFC >KJB73053 pep chromosome:Graimondii2_0_v6:11:51140205:51140489:1 gene:B456_011G212200 transcript:KJB73053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIEVALTDSSKKMLMFLIVQALVYLILSKSSHIFSDNKMMTSSLSFKAVRSLCFCRILASMSDFPQVFEQPSPSSKSSRSAVEEYPEEYKTY >KJB71258 pep chromosome:Graimondii2_0_v6:11:14276580:14281465:-1 gene:B456_011G113200 transcript:KJB71258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSIEEEKPFLSGMGGGSTNVPVNIACFELIKPSFDETNHHCSLDVLPILIEETSFPVREKCSLNTSHGQDVYSISVLPEEGNTSPKCTPQFTFLSLLEVPFPSKNQMCLDAKLSCRNCIDLKVDGEDAYSSCILDINIEKELPDILTSSDEIVGNSKTEGVLTVSSIFLSL >KJB71260 pep chromosome:Graimondii2_0_v6:11:14277510:14281465:-1 gene:B456_011G113200 transcript:KJB71260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSIEEEKPFLSGMGGGSTNVPVNIACFELIKPSFDETNHHCSLDVLPILIEETSFPVREKCSLNTSHGQDVYSISVLPEEGNTSPKCTPQFTFLSLLEVPFPSKNQMCLDAKLSCRNCIDLKVDGEDAYSSCILDINIEKELPDILTSSDEIVGNSKTEGVLTNLQKVLQRQSSLNVEKSLTERVQEAPMNRWKRYKRAASFDSRKIVFLFSIL >KJB71256 pep chromosome:Graimondii2_0_v6:11:14276529:14281509:-1 gene:B456_011G113200 transcript:KJB71256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSIEEEKPFLSGMGGGSTNVPVNIACFELIKPSFDETNHHCSLDVLPILIEETSFPVREKCSLNTSHGQDVYSISVLPEEGNTSPKCTPQFTFLSLLEVPFPSKNQMCLDAKLSCRNCIDLKVDGEDAYSSCILDINIEKELPDILTSSDEIVGNSKTEGVLTNLQKVLQRQSSLNVEKSLTERVQEAPMNRWKRYKRAASFDSRKIVFLFSILSCLGTLILIYLTLRVTSNY >KJB71257 pep chromosome:Graimondii2_0_v6:11:14276573:14281465:-1 gene:B456_011G113200 transcript:KJB71257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSIEEEKPFLSGMGGGSTNVPVNIACFELIKPSFDETNHHCSLDVLPILIEETSFPVREKCSLNTSHGQDVYSISVLPEEGNTSPKCTPQFTFLSLLEVPFPSKNQMCLDAKLSCRNCIDLKVDGEDAYSSCILDINIEKELPDILTSSDEIVGNSKTEGVLTNLQKVLQRQSSLNKNH >KJB71259 pep chromosome:Graimondii2_0_v6:11:14276580:14281465:-1 gene:B456_011G113200 transcript:KJB71259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSIEEEKPFLSGMGGGSTNVPVNIACFELIKPSFDETNHHCSLDVLPILIEETSFPVREKCSLNTSHGQDVYSISVLPEEGNTSPKCTPQFTFLSLLEVPFPSKNQMCLDAKLSCRNCIDLKVDGEDAYSSCILDINIEKELPDILTSSDEIVGNSKTEGVLTNLQKVLQRQSSLNVEKSLTERVQEAPMNRWKRYKRAASFDSRKIVFLFSIL >KJB73954 pep chromosome:Graimondii2_0_v6:11:59414963:59416013:1 gene:B456_011G263300 transcript:KJB73954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTNYEEELKNDRRLVCSLIYEINCRKELLSQMEREYNEMTSTLRGLINGLIAKINSKDSNLWGWELQYNVTVRQLKGKNAALRLAFAEGKLNMETKST >KJB73952 pep chromosome:Graimondii2_0_v6:11:59414261:59416222:1 gene:B456_011G263300 transcript:KJB73952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTNYEEELKNDRRLVCSLIYEINCRKELLSQMEREYNEMTSTLRGLINGLIAKINSKDSNLWGWELQYNVTVRQLKVYSKKKKKKKTNGNVKPFSSQVNFFVN >KJB73953 pep chromosome:Graimondii2_0_v6:11:59414963:59415684:1 gene:B456_011G263300 transcript:KJB73953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTNYEEELKNDRRLVCSLIYEINCRKELLSQMEREYNEMTSTLRGLINGLIAKINSKDSNLWGWELQYNVTVRQLKGKNAALRLAFAEGFEPQT >KJB73955 pep chromosome:Graimondii2_0_v6:11:59414963:59416222:1 gene:B456_011G263300 transcript:KJB73955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTNYEEELKNDRRLVCSLIYEINCRKELLSQMEREYNEMTSTLRGLINGLIAKINSKDSNLWGWELQYNVTVRQLKGKNAALRLAFAEVYSKKKKKKKTNGNVKPFSSQVNFFVN >KJB73746 pep chromosome:Graimondii2_0_v6:11:57400804:57401920:-1 gene:B456_011G248000 transcript:KJB73746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLIDTKGKRVLYAEAGKDFIDFLFDLFLLPVEIVIRLLANQTMVGCLANLYDNIKNLGDAYILPTTNKDALLIMFLLLPNIELSTPLGFYRCLYRCGDNCHMYIAKDPTSTCPFYSGAMNSPATLVNPPNKVSTLLFDANEGGYIKGVITYKIMNDLTVTVMLTISSITMLNKLNLQQVDALEEKVIDVDINEKYKKLLKPAIFEGCL >KJB68978 pep chromosome:Graimondii2_0_v6:11:152414:155227:-1 gene:B456_011G001500 transcript:KJB68978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSEPSKGIGERYPIAAFPAHKILSIKSEGQSSMAGECSSRLSFPFNSPRSDAKNASSSSSVFCTSLYLSSSSTSETQRQLGNLPFLPHPPTRHQFISSVDSSKSPAMFSEDLNNPYNEGHSEIIMKDFLNLPADASSDGCFYGMHCESDDFGITTEQLELQFLSDELDIAITDHGENPRLDEIYETCPPSSAKPTVELKCNQNTNSVSPTIDGPAMSGAAAAVLKPRMRWTPELHERFVEAVNKLHGPEKATPKGVLKLMNVEGLTIYHVKSHLQKYRLAKYMPEKKEERKTSSSSEEKKPASSSNESDGKKRGGMHITEALRMQMEVQKQLHEQLEVFIMFVAECTN >KJB68977 pep chromosome:Graimondii2_0_v6:11:151715:157095:-1 gene:B456_011G001500 transcript:KJB68977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSEDLNNPYNEGHSEIIMKDFLNLPADASSDGCFYGMHCESDDFGITTEQLELQFLSDELDIAITDHGENPRLDEIYETCPPSSAKPTVELKCNQNTNSVSPTIDGPAMSGAAAAVLKPRMRWTPELHERFVEAVNKLHGPEKATPKGVLKLMNVEGLTIYHVKSHLQKYRLAKYMPEKKEERKTSSSSEEKKPASSSNESDGKKRGGMHITEALRMQMEVQKQLHEQLELQRSLQLRIEEHARYLQKMLEEQQKAGNALIPNSNSELPSKIASETEPCESKTESPTSLPSKHKAPNVEDCKLKSSPKRLRMDEAEAEVENPEQ >KJB68980 pep chromosome:Graimondii2_0_v6:11:152033:155227:-1 gene:B456_011G001500 transcript:KJB68980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSEPSKGIGERYPIAAFPAHKILSIKSEGQSSMAGECSSRLSFPFNSPRSDAKNASSSSSVFCTSLYLSSSSTSETQRQLGNLPFLPHPPTRHQFISSVDSSKSPAMFSEDLNNPYNEGHSEIIMKDFLNLPADASSDGCFYGMHCESDDFGITTEQLELQFLSDELDIAITDHGENPRLDEIYETCPPSSAKPTVELKCNQNTNSVSPTIDGPAMSGAAAAVLKPRMRWTPELHERFVEAVNKLHGPEKATPKGVLKLMNVEGLTIYHVKSHLQKYRLAKYMPEKKEERKTSSSSEEKKPASSSNESDGKKRGGMHITEALRMQMEVQKQLHEQLELQRSLQLRIEEHARYLQKMLEEQQKAGNALIPNSNSELPSKIASETEPCESKTESPTSLPSKHKAPNVEDCKLKSSPKRLRMDEAEAEVENPEQ >KJB68979 pep chromosome:Graimondii2_0_v6:11:151715:157095:-1 gene:B456_011G001500 transcript:KJB68979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSEPSKGIGERYPIAAFPAHKILSIKSEGQSSMAGECSSRLSFPFNSPRSDAKNASSSSSVFCTSLYLSSSSTSETQRQLDASSDGCFYGMHCESDDFGITTEQLELQFLSDELDIAITDHGENPRLDEIYETCPPSSAKPTVELKCNQNTNSVSPTIDGPAMSGAAAAVLKPRMRWTPELHERFVEAVNKLHGPEKATPKGVLKLMNVEGLTIYHVKSHLQKYRLAKYMPEKKEERKTSSSSEEKKPASSSNESDGKKRGGMHITEALRMQMEVQKQLHEQLELQRSLQLRIEEHARYLQKMLEEQQKAGNALIPNSNSELPSKIASETEPCESKTESPTSLPSKHKAPNVEDCKLKSSPKRLRMDEAEAEVENPEQ >KJB69071 pep chromosome:Graimondii2_0_v6:11:346848:353719:-1 gene:B456_011G004200 transcript:KJB69071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSMHKESLNNKQMDSSKYVRYTPEQVEALERVYNECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSHLVYENGYMKQQLQTASVTTTDNNSCESVVVSGQHQQQQNPTPRHPQRDANNPAGLLTIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCIDVLSIIPTANGGTIELIYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVICERSLTSSTGGPTGPPTTSFVRAEMLPSGFLIRPCDGGGSIIHIVDHVDLDVWSVPEVLRPLYESSKILAQKMTIAALRHIRQIAQETNGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFVDDGWSLMGSDGVEDVTIMINSSPGKFFGSQYNTSMVPSFGGGVLCAKASMLLQSVPPALLVRFLREHRSEWADYGIDTYSAACLKPNPYTVPCARPSGFPSSQVILPLAHTVEHEEFLEVVRLEGQAFTPEDVALARDMYLLQLCSGIDENAVGACAQLVFAPIDESFADNAPLLPSGFRVIPLDSKPDGPGAARTLDLASTLEVGPGSNRSTGDGEPNSYNLRSVLTIAFQFTFENHLRDDVASMARQYVRSVVGSVQRVAMAIAPSRISPGLGPKSLPGSPEALTLARWICRSYRIHTGGELLRADSQSGDTLLKQLWNHSDAIMCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLDKILDEAGRKSLCAEFSKIMQQGFAHLPAGICVSSMGRPVSYEQAIVWKVVDDDNDDAANHCLGLMFVNWSFV >KJB69072 pep chromosome:Graimondii2_0_v6:11:347135:353199:-1 gene:B456_011G004200 transcript:KJB69072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSMHKESLNNKQMDSSKYVRYTPEQVEALERVYNECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSHLVYENGYMKQQLQTASVTTTDNNSCESVVVSGQHQQQQNPTPRHPQRDANNPAGLLTIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCIDVLSIIPTANGGTIELIYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVICERSLTSSTGGPTGPPTTSFVRAEMLPSGFLIRPCDGGGSIIHIVDHVDLDVWSVPEVLRPLYESSKILAQKMTIAALRHIRQIAQETNGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFVDDGWSLMGSDGVEDVTIMINSSPGKFFGSQYNTSMVPSFGGGVLCAKASMLLQSVPPALLVRFLREHRSEWADYGIDTYSAACLKPNPYTVPCARPSGFPSSQVILPLAHTVEHEEFLEVVRLEGQAFTPEDVALARDMYLLQLCSGIDENAVGACAQLVFAPIDESFADNAPLLPSGFRVIPLDSKPVMDGPGAARTLDLASTLEVGPGSNRSTGDGEPNSYNLRSVLTIAFQFTFENHLRDDVASMARQYVRSVVGSVQRVAMAIAPSRISPGLGPKSLPGSPEALTLARWICRSYRIHTGGELLRADSQSGDTLLKQLWNHSDAIMCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLDKILDEAGRKSLCAEFSKIMQQGFAHLPAGICVSSMGRPVSYEQAIVWKVVDDDNDDAANHCLGLMFVNWSFV >KJB69070 pep chromosome:Graimondii2_0_v6:11:347135:351066:-1 gene:B456_011G004200 transcript:KJB69070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCIDVLSIIPTANGGTIELIYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVICERSLTSSTGGPTGPPTTSFVRAEMLPSGFLIRPCDGGGSIIHIVDHVDLDVWSVPEVLRPLYESSKILAQKMTIAALRHIRQIAQETNGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFVDDGWSLMGSDGVEDVTIMINSSPGKFFGSQYNTSMVPSFGGGVLCAKASMLLQSVPPALLVRFLREHRSEWADYGIDTYSAACLKPNPYTVPCARPSGFPSSQVILPLAHTVEHEEFLEVVRLEGQAFTPEDVALARDMYLLQLCSGIDENAVGACAQLVFAPIDESFADNAPLLPSGFRVIPLDSKPVMDGPGAARTLDLASTLEVGPGSNRSTGDGEPNSYNLRSVLTIAFQFTFENHLRDDVASMARQYVRSVVGSVQRVAMAIAPSRISPGLGPKSLPGSPEALTLARWICRSYRIHTGGELLRADSQSGDTLLKQLWNHSDAIMCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLDKILDEAGRKSLCAEFSKIMQQGFAHLPAGICVSSMGRPVSYEQAIVWKVVDDDNDDAANHCLGLMFVNWSFV >KJB69069 pep chromosome:Graimondii2_0_v6:11:346848:351401:-1 gene:B456_011G004200 transcript:KJB69069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCIDVLSIIPTANGGTIELIYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVICERSLTSSTGGPTGPPTTSFVRAEMLPSGFLIRPCDGGGSIIHIVDHVDLDVWSVPEVLRPLYESSKILAQKMTIAALRHIRQIAQETNGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFVDDGWSLMGSDGVEDVTIMINSSPGKFFGSQYNTSMVPSFGGGVLCAKASMLLQSVPPALLVRFLREHRSEWADYGIDTYSAACLKPNPYTVPCARPSGFPSSQVILPLAHTVEHEEFLEVVRLEGQAFTPEDVALARDMYLLQLCSGIDENAVGACAQLVFAPIDESFADNAPLLPSGFRVIPLDSKPDGPGAARTLDLASTLEVGPGSNRSTGDGEPNSYNLRSVLTIAFQFTFENHLRDDVASMARQYVRSVVGSVQRVAMAIAPSRISPGLGPKSLPGSPEALTLARWICRSYRIHTGGELLRADSQSGDTLLKQLWNHSDAIMCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLDKILDEAGRKSLCAEFSKIMQQGFAHLPAGICVSSMGRPVSYEQAIVWKVVDDDNDDAANHCLGLMFVNWSFV >KJB72603 pep chromosome:Graimondii2_0_v6:11:44535162:44539190:-1 gene:B456_011G187100 transcript:KJB72603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPSDKEPLDWNTRMRIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRAPGEQNLVAWARPLFRDRRKFPKMADPLLQGRYPIRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNAPGNQSNRVGPSTPRVKDDRRSMADGLDSPDARGRVGSPSTHRNSPDYRKKNHVREMSSGAELSRNEPGEGSGRKWGSLDESEQQESHTDSPMNSARARETSRNRDLDRERAVAEAKVWGETWREKKRANAMGGSNGRND >KJB72606 pep chromosome:Graimondii2_0_v6:11:44535162:44539807:-1 gene:B456_011G187100 transcript:KJB72606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFPCFGSSNKAGSNGGGSVKELSKKDSTKDSSVGQPHHVNRVNSDKAKSRSVSDPKKEPAVPKDGPTANIAAQTFTFRELAAATKNFRPECLLGEGGFGRVYKGHLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPSDKEPLDWNTRMRIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRAPGEQNLVAWARPLFRDRRKFPKMADPLLQGRYPIRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNAPGNQSNRVGPSTPRVKDDRRSMADGLDSPDARGRVGSPSTHRNSPDYRKKNHVREMSSGAELSRNEPGEGSGRKWGSLDESEQQESHTDSPMNSARARETSRNRDLDRERAVAEAKVWGETWREKKRANAMGGSNGRND >KJB72605 pep chromosome:Graimondii2_0_v6:11:44535162:44539798:-1 gene:B456_011G187100 transcript:KJB72605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTELIQVKSKSRSVSDPKKEPAVPKDGPTANIAAQTFTFRELAAATKNFRPECLLGEGGFGRVYKGHLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPSDKEPLDWNTRMRIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRAPGEQNLVAWARPLFRDRRKFPKMADPLLQGRYPIRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNAPGNQSNRVGPSTPRVKDDRRSMADGLDSPDARGRVGSPSTHRNSPDYRKKNHVREMSSGAELSRNEPGEGSGRKWGSLDESEQQESHTDSPMNSARARETSRNRDLDRERAVAEAKVWGETWREKKRANAMGGSNGRND >KJB72604 pep chromosome:Graimondii2_0_v6:11:44535162:44539306:-1 gene:B456_011G187100 transcript:KJB72604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPGYIGYKAKSRSVSDPKKEPAVPKDGPTANIAAQTFTFRELAAATKNFRPECLLGEGGFGRVYKGHLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPSDKEPLDWNTRMRIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRAPGEQNLVAWARPLFRDRRKFPKMADPLLQGRYPIRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNAPGNQSNRVGPSTPRVKDDRRSMADGLDSPDARGRVGSPSTHRNSPDYRKKNHVREMSSGAELSRNEPGEGSGRKWGSLDESEQQESHTDSPMNSARARETSRNRDLDRERAVAEAKVWGETWREKKRANAMGGSNGRND >KJB72602 pep chromosome:Graimondii2_0_v6:11:44535162:44536882:-1 gene:B456_011G187100 transcript:KJB72602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRAPGEQNLVAWARPLFRDRRKFPKMADPLLQGRYPIRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNAPGNQSNRVGPSTPRVKDDRRSMADGLDSPDARGRVGSPSTHRNSPDYRKKNHVREMSSGAELSRNEPGEGSGRKWGSLDESEQQESHTDSPMNSARARETSRNRDLDRERAVAEAKVWGETWREKKRANAMGGSNGRND >KJB72462 pep chromosome:Graimondii2_0_v6:11:43678168:43680099:-1 gene:B456_011G184000 transcript:KJB72462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYQPTPPFTSVQKSLNSSLSSPLQPYSLSQCLSENPLLDQERSDLFFYFFLGSVAEMAKVVDATGEPIPTSSVLMSSAKHIEIKCMSENVEFLKCKKKDPNPEKCLDKGRQATRCALGVLKDLYQRCKDPMEAYVGCMYYYTNEFDLCRKEQQAFEKACPLD >KJB73766 pep chromosome:Graimondii2_0_v6:11:57632663:57634254:-1 gene:B456_011G249900 transcript:KJB73766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERLRTAARTGNVADLYNLIQRDGNVLRHFDEVEFVDTPLHIAAEEGCIRFAMEMMNLKPAFARKLNQQGLSPLHIAVKQGHKEMALRFLEMDKDLVRVKGKNENNRLDVLQVLIRTIWKTDYYREVVNQMDEDGNTALHLAAFHNQLEMLKLLLNCKADKHANNQAGWTAMDVAQQQHNRESITILRGCFIPEVSNFKCKLEKQIVKHVTKASSLIFHNMDNISGEDRNALLVILGLLLAATYQASLSPPGGVWQGENTSTSKGSYDSTVPGKSVMDKSSFLHFYIPTYIVFIVTFFLILALLKPFPHGFRTALQVLLAFLAMCFDQSISFIAPTFLTSGILCIFSTIIFILMVFMCIAYQVSKFSVSIVGCWIFSSFFLSILGGEIVRGVIQGLLLFLFLSDESLKGTIVVVGYAPDTLLYY >KJB69088 pep chromosome:Graimondii2_0_v6:11:403069:407599:-1 gene:B456_011G004900 transcript:KJB69088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFRTLVLALFLVTAMGQLPSQDILALLEFKKGIKHDPTGYVLDSWNEESIDFNGCPSSWNGIVCNGGNVAGVILDNLGLSVDADLSVFSNLTKLVKLSILNNSMSGVIPDNIGEFKSLEYLDVSDNLFSLALPVGIGKLESLRNLSLAGNNFTGSIPDTISGLVSVQSLDLSRNSLSGTLPTTLTELNELLYLNLSSNQFTKRIPKGFDGIAGLQVLDLHGNMLDGSLDGEFFLLSNASHVDFSGNMLQSSSSGKLLPGISESIQFLNLSHNQLTGSLVGDAELRLFGSLKVLDLSYNQLSGELPGFNFAYDLQVLKLSNNRFTGFIPNVLLKGDSLLLTELDLSGNNLSGPISMIMSTNLQILNLSSNGITGELPLLTGSCAVLDLSNNKLEGNLTRMSKWGNIEYLDLSQNRLTGSIPELTPQFLRLNHLNLSHNLLTSSLPKAILQYPKLRVLDLGFNQFDGPFLNDLLNLATLEELHLGNNLISSDLKFSPSSESNLRVLDLSSNRLNGYFPDQIGSLAGLQVLNLAGNNLSGSLPTSLADMNSLSSLDISRNNFTGSLPNKVPNSLQSFNVSYNDLSGIVPENLRKFPTSSFYPGNSNLYFPGGPPGSNNSPAESKKKRINTIVKWVIVVSCVVALIILVLLAIFIHYIRISRRTPPEPIRSKGGVSKRAPRNSSSVVGTESGGATVVSAVDLVSSRKGSSSGIISPGEKMAVGTGYSPSKTSHLSWSPESGDSFTAEHLARLDVRSPDRLVGELHFLDDTITLTPEELSRAPAEVLGRSSHGTSYRATLDNGVFLTVKWLREGVAKQRKEFAKEAKKFTNIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLSWAQRLKIAVDVARGLNYLHFDRAVPHGNLKATNILLDGPDLNARVADYCLHRLMTQAGTMEQILDAGLLGYRAPELTDTKKPLLSFKSDVYAFGVILLELLTGRCAGDVIPGEEEGIGLIEWVRLKVAEGSGSSCFDSALAQEMGDPAAEKGMKEVLEIGLRCVRSLSERPGIKTIYEDLSSI >KJB70672 pep chromosome:Graimondii2_0_v6:11:9026814:9027105:1 gene:B456_011G086400 transcript:KJB70672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSFMELLVQISLKRRSIGDGVEVHIKTKPLKGYVEYYKIVNRFQSIDLLQKLLVCEAYIFIRVF >KJB72230 pep chromosome:Graimondii2_0_v6:11:34310662:34312453:-1 gene:B456_011G168400 transcript:KJB72230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYGRVKEEFPGASSSYPAGMPSMVTAQPMKGLHDAGPPPFLTKTYDIIDDSSTNNIISWGRANNSFIVWDPQAFSMTLLPRFFKHNNFSSFVRQLNTYGFRKVDTDRWEFGNEKFHRGQRHLLKNIRRRKTHQPQASQSALDHCVEVGRFGLDGEIDRLRRDKQVLMVELVKLRQQQQNTRTCLLTVEERLRKTEMKQQQMMSFLAKAMQNPSFVQQLIQQNDRSNELEEAITKKRQRRIDQRSMDVETSEILGVKIESEGYGVADFEVTELDKLVMNLQRLTGSQNNIELEHVEESKDHGSKGKSLDEGFWDDLMDGDTHDIDQEISVLDVEEEDEQYVDVLVEQLRYLGSSSK >KJB74056 pep chromosome:Graimondii2_0_v6:11:60297077:60297421:-1 gene:B456_011G270600 transcript:KJB74056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSVLMPDPLPSVTSTNLSSSTTVSGSCITGRSGPVEVSSLTSSSGSTSSTLNLSSSLETPIRSHLWLGGTLTRLHAPRSTFNFKDDIEIFSPIVDVHPITPSLQKLWDGHDC >KJB73095 pep chromosome:Graimondii2_0_v6:11:51495219:51497250:-1 gene:B456_011G214400 transcript:KJB73095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EYTRPGLGSGIGLGFGGNDSLPKSDGNGVADEVEGFGRKIKEGAQRREKERERLIMEKKSLGGRTKAGGGNGDVGSFEKHTKGIGMKLLEKMGYKGGGLGKNEQGIVAPIEAKMRPKNMGMGFNDFKEAKLPGLQQLEERKSVSQQPVGKAKERLRSKKAKRRKEVEYITVEELLAKKQEEGAEIVQKVIDMRGPQVRVLTNLENLDAEEKARENDVPMPELQHNLKLIFDLAEFDTQKIEEENSSVKLTLESLANSFRDLQKNYGDDYRLSNLSCIACSLALPLFIRMFQGWRGSAWKDLLQIEGSYDIWEDATTPYCQLISEVVLPAVRISGINTWEPRNPEPMLGFLESWEKLLPSPILQTILDTVVMRKLSREVDSWNPRKETVPIHVWVHPWLPKLGPKLEGLYQTIRMKLSDVLDAWHPSDPSAYAILSPWKTEFDSVSWEQLTRPYIKLDQFYWVLTWASAIPIHLMVDLMEKFFFVKWLQVLYHWLCSKPDFEEIKIWYMGWKGLLPQELLANESIRNQLHCGLEMMVRAAEHVSVVQPGLRENQHELVFKPKPGRMHNGLQIYGLGNISVIVDSLHQKVFAQKNNGWSLVSLDDLLKMHSNSPARRR >KJB70270 pep chromosome:Graimondii2_0_v6:11:5584384:5590544:1 gene:B456_011G066000 transcript:KJB70270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDSRSGDPTSYRDRRSDSGFGGASAYGGSGRSLSSRKDYDAAEPPRKLDLDGLTPFEKNFYVESPSVAAMSEMEVEEYRQRREITVEGRDVPKPVKSFADVGFPDYVLQEVSKAGFVEPTAIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEAAKFGASSRIKNTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLDSHHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLYNPYKVIIGSADLKANHAIRQHVDIVSESQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELIAILKEAGQKVSPELAAMGRGAPPPPSGHGGFRDRGKGYGGSRPWN >KJB70271 pep chromosome:Graimondii2_0_v6:11:5584414:5590538:1 gene:B456_011G066000 transcript:KJB70271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDSRSGDPTSYRDRRSDSGFGGASAYGGSGRSLSSRKDYDAAEPPRKLDLDGLTPFEKNFYVESPSVAAMSEMEVEEYRQRREITVEGRDVPKPVKSFADVGFPDYVLQEVSKAGFVEPTAIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEAAKFGASSRIKNTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLDSHHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLYNPYKVIIGSADLKANHAIRQHVDIVSESQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELIAILKEAGQKVSPELAAMGRGAPPPPSGHGGFRDRGKGYGGSRPWN >KJB74094 pep chromosome:Graimondii2_0_v6:11:60393576:60394028:1 gene:B456_011G271800 transcript:KJB74094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSYWEPPPTEWMKFNVAGVVLEEVAECAGVSKEEKGVACALFLGRVEARGTDLSEIMAIKIAVDMYTEVSRKAHVPLIIKSCSIAASEWLSKRYYRLWPLRKLLGDINCGTKQLAHFQIAVIDRKSNGMVDALANASTSRSSLFRASW >KJB70566 pep chromosome:Graimondii2_0_v6:11:8006867:8008083:1 gene:B456_011G079900 transcript:KJB70566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFPTDMIPNILCRLPVKTLLRFKCVSKPWGSLIDDSHFVKSHLHQSLKTNNNVKLFLDNGAEIDDNAYAVDFDSLNNLVQFPRPFTAEITKYRSRIIGSYNGLLAVYHREEGIALWNPSTRKCHYLPALDEDISMDHDTIPGYNYDNSTILGFGYDNITEDYKVVKMLRSKTQNCFKVTIYSQKSNTWRRIKDCPYDIPINYNDGAYINNAIHWVGDEILSGRNVIFGLHLTTEEYFEVPEGKRSSKDKKCGAYYCEGFSYMNVGVLGGCLCVSRDFSSCPIEDHVNIWVMKEYGVKESWTELLYLSRNQWVTNIFHTRAVGYARDGNKVLLDDGGGQQPAWFNLEDESSHLLCIPGAPQLVSTIIYVESLVSVS >KJB71574 pep chromosome:Graimondii2_0_v6:11:19478992:19490165:1 gene:B456_011G130300 transcript:KJB71574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMTSRFSQLSLAVSNHVMDGNGSNGDYLEDAASADMASQRRDFDTASTSSYANAVASTATVPTTMAYLPQTIVLCELRHAAFEASTPTGPSDSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALETIGKNLRDQYERWQPKARCKVELDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPISDLDSWLRTPSIYVFDCSAAGMIVNAFIELLDSGTSSYPGSARDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLHESLDCSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHELFRRLFRQDLLVASLFRNFLLAERIMRSANCSPVSYPMLPPTHQHHMWDAWDMAAEICLSQLPALVEDPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHVYFIRFLNSVEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIHVCLKHLHSSMQNDVQTEPLFLQWLCLCLGKLWEDFTEAQIIGLQADAPAICAPLLSEPQPEVRASAVFALATLLDIGFDSFRDGVGGDEECDDDEKTRAEIIVIIKSLLNIVSDGSPLVRAEVAVALARFAFGHKQHLKSIAAAYWKPQSNSLLSSLPSLANINGTGSGNIVSSQIGPLIRVGNDNSSVVRDGRVSTSSPLATAGVMHGSPLSDDSSQLSDSGILNDGISNGVIRHSRSKPLDNAMYSQCVPAMCTLAKDPSPRIATLGRRVLSIIGIEQVTKTVKSAGSSSARPGEPTTSSTTPSFAGLARSSSWFDMNGGHLPLTFRTPPVSPPRQNFLTGMRRVCSLEFRPHLMNSPDSGLADPLLGSSSGVSERSLLPQSTIYNFSCGHFSKPLLTASDDSEELLAIREERERFALERIAKSVSKLNNNSQIASWDTRFETGTRTALLQPFSPIVIAADESERIRVWNYEEATLLNGFDNHDFPEKGISKLCLLNELDESLLLVASGDGNIRIWKDYTVRGKQKLVTAFSSIQGHKPGVRSLSTVVDWQQQSGYLYASGEISSVMIWDLDKEQLINSIPSSSDCSVSALASSQVHAGQFAAGFVDGSVRLYDVRAPDMLVCATRPHTQQVERVVGIGFQPGLDQGKIVSASQAGDIQFLDIRNQRDAYLTIDAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLEGEQLGVIRYQHTFMAQKIGSVSCLTFHPYQVLLAAGAADACVSIYADDNSQTR >KJB71572 pep chromosome:Graimondii2_0_v6:11:19478905:19490203:1 gene:B456_011G130300 transcript:KJB71572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMTSRFSQLSLAVSNHVMDGNGSNGDYLEDAASADMASQRRDFDTASTSSYANAVASTATVPTTMAYLPQTIVLCELRHAAFEASTPTGPSDSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALETIGKNLRDQYERWQPKARCKVELDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPISDLDSWLRTPSIYVFDCSAAGMIVNAFIELLDSGTSSYPGSARDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLHESLDCSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHELFRRLFRQDLLVASLFRNFLLAERIMRSANCSPVSYPMLPPTHQHHMWDAWDMAAEICLSQLPALVEDPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHVYFIRFLNSVEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIHVCLKHLHSSMQNDVQTEPLFLQWLCLCLGKLWEDFTEAQIIGLQADAPAICAPLLSEPQPEVRASAVFALATLLDIGFDSFRDGVGGDEECDDDEKTRAEIIVIIKSLLNIVSDGSPLVRAEVAVALARFAFGHKQHLKSIAAAYWKPQSNSLLSSLPSLANINGTGSGNIVSSQIGPLIRVGNDNSSVVRDGRVSTSSPLATAGVMHGSPLSDDSSQLSDSGILNDGISNGVIRHSRSKPLDNAMYSQCVPAMCTLAKDPSPRIATLGRRVLSIIGIEQVTKTVKSAGSSSARPGEPTTSSTTPSFAGLARSSSWFDMNGGHLPLTFRTPPVSPPRQNFLTGMRRVCSLEFRPHLMNSPDSGLADPLLGSSSGVSERSLLPQSTIYNFSCGHFSKPLLTASDDSEELLAIREERERFALERIAKCQHSSVSKLNNNSQIASWDTRFETGTRTALLQPFSPIVIAADESERIRVWNYEEATLLNGFDNHDFPEKGISKLCLLNELDESLLLVASGDGNIRIWKDYTVRGKQKLVTAFSSIQGHKPGVRSLSTVVDWQQQSGYLYASGEISSVMIWDLDKEQLINSIPSSSDCSVSALASSQVHAGQFAAGFVDGSVRLYDVRAPDMLVCATRPHTQQVERVVGIGFQPGLDQGKIVSASQAGDIQFLDIRNQRDAYLTIDAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLEGEQLGVIRYQHTFMAQKIGSVSCLTFHPYQVLLAAGAADACVSIYADDNSQTR >KJB71573 pep chromosome:Graimondii2_0_v6:11:19479408:19488779:1 gene:B456_011G130300 transcript:KJB71573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMTSRFSQLSLAVSNHVMDGNGSNGDYLEDAASADMASQRRDFDTASTSSYANAVASTATVPTTMAYLPQTIVLCELRHAAFEASTPTGPSDSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALETIGKNLRDQYERWQPKARCKVELDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPISDLDSWLRTPSIYVFDCSAAGMIVNAFIELLDSGTSSYPGSARDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLHESLDCSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHELFRRLFRQDLLVASLFRNFLLAERIMRSANCSPVSYPMLPPTHQHHMWDAWDMAAEICLSQLPALVEDPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHVYFIRFLNSVEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIHVCLKHLHSSMQNDVQTEPLFLQWLCLCLGKLWEDFTEAQIIGLQADAPAICAPLLSEPQPEVRASAVFALATLLDIGFDSFRDGVGGDEECDDDEKTRAEIIVIIKSLLNIVSDGSPLVRAEVAVALARFAFGHKQHLKSIAAAYWKPQSNSLLSSLPSLANINGTGSGNIVSSQIGPLIRVGNDNSSVVRDGRVSTSSPLATAGVMHGSPLSDDSSQLSDSGILNDGISNGVIRHSRSKPLDNAMYSQCVPAMCTLAKDPSPRIATLGRRVLSIIGIEQVTKTVKSAGSSSARPGEPTTSSTTPSFAGLARSSSWFDMNGGHLPLTFRTPPVSPPRQNFLTGMRRVCSLEFRPHLMNSPDSGLADPLLGSSSGVSERSLLPQSTIYNFSCGHFSKPLLTASDDSEELLAIREERERFALERIAKCQHSSVSKLNNNSQIASWDTRFETGTRTALLQPFSPIVIAADESERIRVWNYEEATLLNGFDNHDFPEKGISKLCLLNELDESLLLVASGDGNIRIWKDYTVRGKQKLVTAFSSIQGHKPGVRSLSTVVDWQQQSGYLYASGEISSVMIWDLDKEQLINSIPSSSDCSVSALASSQVHAGQFAAGFVDGSVRLYDVRAPDM >KJB71934 pep chromosome:Graimondii2_0_v6:11:24181632:24182466:1 gene:B456_011G148300 transcript:KJB71934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTGPPPPIHLHLRSSTAEGSTQFQNQMCPRDALKLGVCANVLNLVNVTVGSPPVMPCCSLLNGLVNLEAAACLCTAIRANILGINLNIHVSLSLLLNVCSRNVPTGFQCKLSHHFSLHSFSTSLLFVCLVFL >KJB69310 pep chromosome:Graimondii2_0_v6:11:1095851:1099556:-1 gene:B456_011G015600 transcript:KJB69310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIRKIPLDLTLTEFPALVSSWWDEVNESTHWQDGIFYTLCAAYALVSSIALIQLIRIELRVPEYGWTTQKVFHLMNVIVNGVRAIVFGFHKQVFLLHPKVLIFVLLDLPSLLFFSTYTLLALFWAEIYHQARSLPTDKLRISYAAINGVIYVIQVCIWLYLWIDDNSVVEFIGKVFIAAISFIAALGFLLYGGRLFFMLKRFPIESKGRRKKLNEVGSVTAICFTCFLIRCSVVVLSAFDSDASLDVLDHPVLNLIYYMLVEILPSALVLYILRKLPPKRISAQYHPIL >KJB71405 pep chromosome:Graimondii2_0_v6:11:16866067:16869986:1 gene:B456_011G121600 transcript:KJB71405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLSHSERTSKDTHNCPSSEENEWLELGLGFSIAPKKQDDGQHQPNPNIAVPFPVSAAASSHLKAKQKPPGCSSSNSTKGLELGLSLGIDSETHEDDDEGGNYGQMAVWRNQDGGDDYGDDDDDYDDMAWWWPCHMNSGCFNDWQVPVPNNSHHYIPRTRPHSGLWFTLHSYTNRNGEALPQIPKAYIRVKDENVTIFMVKKYLVRKLGLSNEAEVEISCMGQRLVHTQTLKQVRDSVWLPRFLESESTFHHYSLQTNSANNHLMSLYYGRRCAFN >KJB71497 pep chromosome:Graimondii2_0_v6:11:17797288:17801552:-1 gene:B456_011G125700 transcript:KJB71497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTEHQKLGPFMQVLPICSRLLNQEIFRVSGMMANQGFGDLDRLRHRSPSPMASSNLMSNVSGTGLGGWNSLTQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANIVDTRLRQAQEIIEELLKPVDESQDFIKRQQLRELAMLNSNFREDSPGPSGSVSPFNSSGMKRPKTGR >KJB71496 pep chromosome:Graimondii2_0_v6:11:17797280:17801576:-1 gene:B456_011G125700 transcript:KJB71496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYNSNFSPARAASPQIRTTPDVDSQYLSELLAEHQKLGPFMQVLPICSRLLNQEIFRVSGMMANQGFGDLDRLRHRSPSPMASSNLMSNVSGTGLGGWNSLTQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANIVDTRLRQAQEIIEELLKPVDESQDFIKRQQLRELAMLNSNFREDSPGPSGSVSPFNSSGMKRPKTGR >KJB70833 pep chromosome:Graimondii2_0_v6:11:10041748:10044060:1 gene:B456_011G092500 transcript:KJB70833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLIHQVLTRKLQSQSTVPTFSWFTSRKFNEEARYAGMRTVALLGAGISGFLGFATIASADESEHGLEAPNYPWPHSGILSSYDHASIRRGHQVYQQVCASCHSMSLISFRDLVGVAYTEEETKTMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYHDPPAGVTVNFVLHYLA >KJB70835 pep chromosome:Graimondii2_0_v6:11:10043324:10045007:1 gene:B456_011G092500 transcript:KJB70835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIRRGHQVYQQVCASCHSMSLISFRDLVGVAYTEEETKTMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYHDPPAGVTIREGLHYNPYFPGGAIAMPKMLIDGALEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFLLSLALLQAAYYRRLRWSVLKSRRLVLDVVN >KJB70832 pep chromosome:Graimondii2_0_v6:11:10041652:10045007:1 gene:B456_011G092500 transcript:KJB70832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLIHQVLTRKLQSQSTVPTFSWFTSRKFNEEARYAGMRTVALLGAGISGFLGFATIASADESEHGLEAPNYPWPHSGILSSYDHASIRRGHQVYQQVCASCHSMSLISFRDLVGVAYTEEETKTMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYHDPPAGVTIREGLHYNPYFPGGAIAMPKMLIDGALEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFLLSLALLQAAYYRRLRWSVLKSRRLVLDVVN >KJB70831 pep chromosome:Graimondii2_0_v6:11:10041624:10045051:1 gene:B456_011G092500 transcript:KJB70831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLIHQVLTRKLQSQSTVPTFSWFTSRKFNEEARYAGMRTVALLGAGISGFLGFATIASADESEHGLEAPNYPWPHSGILSSYDHASIRRGHQVYQQVCASCHSMSLISFRDLVGVAYTEEETKTMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYHDPPAGVTIREGLHYNPYFPGGAIAMPKMLIDGALEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFLLSLALLQAAYYRRLRWSVLKSRRLVLDVVN >KJB70834 pep chromosome:Graimondii2_0_v6:11:10042831:10045007:1 gene:B456_011G092500 transcript:KJB70834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVALLGAGISGFLGFATIASADESEHGLEAPNYPWPHSGILSSYDHASIRRGHQVYQQVCASCHSMSLISFRDLVGVAYTEEETKTMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYHDPPAGVTIREGLHYNPYFPGGAIAMPKMLIDGALEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFLLSLALLQAAYYRRLRWSVLKSRRLVLDVVN >KJB72467 pep chromosome:Graimondii2_0_v6:11:42328675:42330485:1 gene:B456_011G180100 transcript:KJB72467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGELVLLGGGGGGGGGGCGSSGVSTNESAVLSKGEVVSEACSYPAESELELGLGLSLGGATGKTKPATATSSWGECGRILTAKDFPSVVSHRTKNGGPSVSVSGTKRAAESVSHEGGSPTGVSQVVGWPPIRAYRMNSLVNQAKSQRADEGDSGIGEKDKPKDALKKKLNYNGNKISSTTTVNEKGHLGFVKVNMDGIPIGRKVDLNAHSCYQSLAQALEDMFLRSTNSVGAEKEQLTKASKLLDGSSEFVLTYEDKEGDWMLVGDVPWRMFVSSVRRLRIMRTSEANGLGIHKKSMANHSCFSFSVSESCYSFVAAPRFHDSNERQRSKPI >KJB72466 pep chromosome:Graimondii2_0_v6:11:42328420:42330626:1 gene:B456_011G180100 transcript:KJB72466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGELVLLGGGGGGGGGGCGSSGVSTNESAVLSKGEVVSEACSYPAESELELGLGLSLGGATGKTKPATATSSWGECGRILTAKDFPSVVSHRTKNGGPSVSVSGTKRAAESVSHEGGSPTGVSGSSQVVGWPPIRAYRMNSLVNQAKSQRADEGDSGIGEKDKPKDALKKKLNYNGNKISSTTTVNEKGHLGFVKVNMDGIPIGRKVDLNAHSCYQSLAQALEDMFLRSTNSVGAEKEQLTKASKLLDGSSEFVLTYEDKEGDWMLVGDVPWRMFVSSVRRLRIMRTSEANGLAPRFHDSNERQRSKPI >KJB72465 pep chromosome:Graimondii2_0_v6:11:42328391:42330485:1 gene:B456_011G180100 transcript:KJB72465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGELVLLGGGGGGGGGGCGSSGVSTNESAVLSKGEVVSEACSYPAESELELGLGLSLGGATGKTKPATATSSWGECGRILTAKDFPSVVSHRTKNGGPSVSVSGTKRAAESVSHEGGSPTGVSQVVGWPPIRAYRMNSLVNQAKSQRADEGDSGIGEKDKPKDALKKKLNYNGNKISSTTTVNEKGHLGFVKVNMDGIPIGRKVDLNAHSCYQSLAQALEDMFLRSTNSVGAEKEQLTKASKLLDGSSEFVLTYEDKEGDWMLVGDVPWRMFVSSVRRLRIMRTSEANGLAPRFHDSNERQRSKPI >KJB69865 pep chromosome:Graimondii2_0_v6:11:3620995:3623100:-1 gene:B456_011G046700 transcript:KJB69865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAQRSRHFLSSFPLQFPHFLATQTRLFSRIFSSLLNLCKEQRHLPQIHARFILHGLHQNPTVSSHLIDSYANFGLLNLSLQLFDSITNPSSHLYNTMLRNLVTSGEYKRTLLVYKDMVVKKSICPDEKSYPLVIRACSCLSEADYGKMVHGQLAKLGFDSFEVVGDALVEFYWGLGEFEHKVVDEKPVKDLGYWKDLIAEASQSGNVASIINLLRTSVDLGSLGIGKAVHCWVLVSGLINDLSVNTALLSMYSKLGSLTNARLLFETMLEKDLVVWNIMVSAYSQNGKPKESLENLRCMANFGIKADMFTAIPTISSIRQLKSIEWGKQMHAYVTRNGLDYQVSVHNSLIDMYCECDCLIYARKIFDTLTNKTVVSWSSIIKGYVNHDQNLDALSLFSRMKTDGIKADFITVINILPACVNIGALEQVKCLHGYSLKFGLNLLSSVNSALLISYAKCGCIVFARKLFDEEKIDGKDVITWNSMISAYAKHGNWSECFELYNQMKPLNLKLDQVTFLGLLTACVNSGLVKEGRAVFKEMREIYGCQPSQEHYACMVDLLGRAGHINEAREVVKEMPFKPDTRVWGPLLSACKLHSQTKFAESAAEKLLTMEPENAGNYVLLSNIYAAAGKWDKFAKMRRLLKEKGLKKTPGCSWLQINGHVHEFRVADKSHPKANDIYALLGILEFSIKEAKEQTAEKVS >KJB72341 pep chromosome:Graimondii2_0_v6:11:38474365:38476490:-1 gene:B456_011G172100 transcript:KJB72341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSFVGRVLFASVFILSAWQEFNEFGVDGGPAAKALKPKFNVFSKTVTAHTGVEVPGFDIKVLVAAAVAFKGVGGILFIFGSTIGAYLLALQQVIITPILYDFYNYDTEKKEFGLLFSKFSQNLALLGALLFFIGMKNSIPRRQLKKKAPKTKTV >KJB72340 pep chromosome:Graimondii2_0_v6:11:38474266:38476544:-1 gene:B456_011G172100 transcript:KJB72340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSFVGRVLFASVFILSAWQEFNEFGVDGGPAAKALKPKFNVFSKTVTAHTGVEVPGFDIKVLVAAAVAFKGVGGILFIFGSTIGAYLLALQQVIITPILYDFYNYDTEKKEFGLLFSKFSQNLALLGALLFFIGMKNSIPRRQLKKKAPKTKTV >KJB72820 pep chromosome:Graimondii2_0_v6:11:48145366:48147340:1 gene:B456_011G199400 transcript:KJB72820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKEEKSQAAADRIKAAALTAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVRLGERKDKASMSTMGASQCQKCFQAGHWTYECKNERVYMSRPSRTQQLKNPKLRMKLSISYDLDIPDVKDKKDENRSKKSKRKYRSDSGSGSDSEASVFETDSGASSVTGSDYSSEGSSTDYSSSSESEEERKSRRRKKKQKQKKGRRRRYSSSSESSDSESASDSDSDDRSNRRKSSRHSRRH >KJB74101 pep chromosome:Graimondii2_0_v6:11:60940336:60941586:-1 gene:B456_011G277000 transcript:KJB74101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLQPLIFYILAALAIFSLISNGLLKVFRTDLHTITNLRLVPWGNAEIHCQKEHLEFIRCTEQQSLKEAPIEALWKYCSEKLRLNEEIINKCYTTGFGYKLQYSNVTAHMKPPQEYVPWVVVNNQPLRQDFENFVKYVCQAYKGDHKPATRKAQSSNLSPTIHALPQQPAIPDFYKLALQWPPSVCSSTLNCKLPIPTEFKIHGIWAQDAHDRPVPPYDTNHRCTNPQPMTSQLALRVCIRTFFA >KJB68697 pep chromosome:Graimondii2_0_v6:11:13146919:13148295:1 gene:B456_011G1101001 transcript:KJB68697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYFQKAATAYSKGELEYAAYLSDQGKIQTKLAREADERASQNIFKARNKGFENVITIDLHGQHVKQAMRL >KJB68698 pep chromosome:Graimondii2_0_v6:11:13146878:13148314:1 gene:B456_011G1101001 transcript:KJB68698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGDEYHKFRESAKEHWDSMRSYFQKAATAYSKGELEYAAYLSDQGKIQTKLAREADERASQNIFKARNKGFENVITIDLHGQHVKQAMRL >KJB68700 pep chromosome:Graimondii2_0_v6:11:13146919:13148295:1 gene:B456_011G1101001 transcript:KJB68700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGDEYHKFRESAKEHWDSMRSYFQKAATAYSKGELEYAAYLSDQGKIQTKLAREADERASQNIFKARNKGFENVITIDLHGQHVKQAMRL >KJB68699 pep chromosome:Graimondii2_0_v6:11:13146919:13148314:1 gene:B456_011G1101001 transcript:KJB68699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGDEYHKFRESAKEHWDSMRSYFQKAATAYSKGELEYAAYLSDQGKIQTKLAREADERASQNIFKARNKGFENVITIDLHGQHVKQAMRL >KJB73211 pep chromosome:Graimondii2_0_v6:11:53481483:53483870:1 gene:B456_011G224100 transcript:KJB73211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAATVGLRGLSLCTLSLPILRSAKIKLPIHSFPTSRSLKPLFSPYNPTILRGFPSFCASPDVNVEATATAPPATEAENSQSADDIKNAANLLDIRVGRIIKAWRHEEADSLYVEEVDVGEPEPRIICSGLVKYMPLDLLQDAKVVVLANLKPRNMRGVKSCGMLMAASDASHETVELLMPPDGTIPGERIWFGTEDDKDSQPEPATPNQVQKKKIWESVQPHLKIDASCTAMLGEYLMRTSAGVVVCKSLKNANIS >KJB73212 pep chromosome:Graimondii2_0_v6:11:53481426:53484003:1 gene:B456_011G224100 transcript:KJB73212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAATVGLRGLSLCTLSLPILRSAKIKLPIHSFPTSRSLKPLFSPYNPTILRGFPSFCASPDVNVEATATAPPATEAENSQSADDIKNAANLLDIRVGRIIKAWRHEEADSLYVEEVDVGEPEPRIICSGLVKYMPLDLLQDAKVVVLANLKPRNMRGVKSCGMLMAASDASHETVELLMPPDGTIPGERIWFGTEDDKDSQPEPATPNQVQKKKIWESVQPHLKIDASCTAMLGEYLMRTSAGVVVCKSLKNANIS >KJB73866 pep chromosome:Graimondii2_0_v6:11:58626486:58632499:1 gene:B456_011G257100 transcript:KJB73866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKLLWILLTTSLFLVQTQGCWQQERVALLQLHSVFDVSDWIAEKGSNCCHWKNIECHNTSGRVKGLSLPLKYSWNRGDSSWYLDVSLFLPFKELKSLDLYGNGIKDFIDNKVPLSTAFSNLEMLILSNNDFHDRMNVEELHLSGNRLKNSDLTYIKGLSVKSLNIGGNLLQGSIDIGEKLDLSYNSFNNTLLTHLGGLSNLKFLKLDVLINLEELVLDGLHLNNNILQNIHVLNSLKALSLNDCGLTGALPTQGWCDLRKLEVLVLSKNALEACLANLSSLYHLDISSNQFIGNGASIALANLTLLRFVSLSQNLFEVPSFFMSFANHSHLKVLSSDQNKLVKESTIQTWVPKFQIKVFRLSNCTTKELHNEVPKFLYYQYDLRAIDLSYNNFGGKVPLWLLQNNTRLGAFSMKGNSFINRSNSDNICSIFPQLWGLNLSSNILEGNIPPCLGSLKSGNNELYLDLSHNQLSGGIPENLAKSDSLVFLRLSNNHLSGRIIPTIFSSHSLRRLYLDGNNFDGRLPSIDVTIVRLSPIEDMVLSNNNLSGELPRWISNLLDLRELALSNNQLDGPIPMDLCHLNHLNILDLSQNNFSGPIPSCCGAQSIKHLHLNGNRLSGTLGNAFFNCSSLVTLDISENQLTGEIPNWIGTLSALRVLLLRANLFTGEIPIELCKLHSLSIMDLSQNNLSGPIPFCLSNLTLEPSDEKSSAKTDLPFLLLDWDIANYSESGEFEWSIRSGLFTTDVPLLIVNQREEKVDYTTKRASYTYKGNILTYMSGIDLSCNRLTGEIPPEIGNLSEIRSLNLSHNNLSGHIPFMFSRLNKIESLDLSHNNLSGIIPTELTKLYTLEVFNVSYNNLSGSIPSQKAQFATFDESSYMANPFLCGPPLPKDCSEPNSLSTTTPNASNDEEESGLMDMYVFQMTFFVSYVIVLLVIAVILYINLYWR >KJB74279 pep chromosome:Graimondii2_0_v6:11:61739835:61745487:-1 gene:B456_011G2849002 transcript:KJB74279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTGKRPTDERFKEGLSLHNFVKVAFPERVIEILDLIVLSLFSLAYAAPISGGNDTDLQALLRFKANIIGDQLGVMDSWNSSVHFCQWHGVTCSRKLRRVTKLELQLLKLSGSLSPYIGNLSFLKELNLEGNSFYNQIPQEICRLRRLETLELSNNSITGEIPSNLSACYKLTWVGMRGNQLTGEIPASLGLLSNLKVLSFAINRLRGSIPPSLGNLSSLEKLSLRTNSLSGVIPEGIGQLTNLSVFSVEENAISGTIPFTMFNLSNMRSFDIGGNNIQGTLPSDLAITMPYLEFFSAWENQISGKIPISISNASNLHILQLNENRLIGNVPSLEKLDKLANLLPGTNHLGNGREGDLNFLCSLVNNTKIETIDIQTNNFGGELPECISNFSSTLLFLVIENNKILGKIPDGIGNLINLQVLRVSQNQLSGPIPLNIGRIQKLKRFDARYNFLTGTIPHSIGNLTGLTFLALGVNNFQGNIPSSLGHCQNLLTLGLSYNNLSGSIPPQVLALSSLSILLNLSSNYLTGELPVEVEKLKNLGDLDVSKNKLSGLLPNSLGSCVRLEKLFLGGNLFEGPIPSSMSSLRGLAALDVSDNNLSGEIPEFLASFGALKYLNLSFNDFEGIIPSGGVFKNSSATFVEGNDKLCGGITELHLSRCNSEKSSNTSLRLKLVIVSVILGVTLIFLFLLIMLFRKKKEHQPTTTCAENSLLRLSYQSILRATNGFSTQNLVGLGSFGSVYRGILEETGEVIAVKVLNLLNHGASRSFLAECEVLKNIRHRNLVKVLTAISGADYQGNDFKALVYEFMVNGSLEDWLHPPAGTNEPETIRNLNLFHRLNVAIDVAHALEYLHHRSQILIIHCDLKPSNILLDAEMVAYISDFGLAKILSADRLNYSASQSSSLGLRGTIGYAPPEYGMGSELSTKGDVYSYGILLLEMFTGKRPTDEKFKEGLSLHNFVKSALPDRVIEILDPILFEERVQQETLKGKCLRNEIHLQCLNLIYEIGLICSAESPSARMDMSDVVTKLCSIRDKLYPT >KJB70747 pep chromosome:Graimondii2_0_v6:11:9547151:9549769:1 gene:B456_011G090000 transcript:KJB70747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPPAAIMSNDPNDNTNINVVDDRNNNNMSSSSNSKDEHEHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRGENSRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHHETERYQKAEISLCRVYKRPGVEDHPSLPRCLPTRRSAESSRGQQSEKKYPQEAAQQAMERFQAFGGGQPQQMEIEKLTETDGSSSTSTSDVTTALGLSKQNLYRPMPPISTTLGLPSGMEGEGMFLNQSKQGCCSLLPNSTTLFPVGSSSVPSNVVDDLHRLVSYQQVALNQQQYYNTNHPHPHQQQHQPQSEFSTLPPQSQAQPQQLSLNVLPSAIPSPTAFSDRLWEWNPIPEPNREYNNPFK >KJB70748 pep chromosome:Graimondii2_0_v6:11:9547316:9549652:1 gene:B456_011G090000 transcript:KJB70748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPPAAIMSNDPNDNTNINVVDDRNNNNMSSSSNSKDEHEHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRGENSRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHHETERYQKVHAEISLCRVYKRPGVEDHPSLPRCLPTRRSAESSRGQQSEKKYPQEAAQQAMERFQAFGGGQPQQMEIEKLTETDGSSSTSTSDVTTALGLSKQNLYRPMPPISTTLGLPSGMEGEGMFLNQSKQGCCSLLPNSTTLFPVGSSSVPSNVVDDLHRLVSYQQVALNQQQYYNTNHPHPHQQQHQPQSEFSTLPPQSQAQPQQLSLNVLPSAIPSPTAFSDRLWEWNPIPEPNREYNNPFK >KJB72723 pep chromosome:Graimondii2_0_v6:11:46676358:46677076:1 gene:B456_011G193000 transcript:KJB72723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKKKKPTNFYLLLCTKKRMACSVDHLKKVASEGFPLIDEKYARQLAEKTHRRAPLPRNQDHYHHHQKLVPHHYVYHGPQAVTVVQQPVTGSHHQIQNNQNHERWYVCQVSQGPICINKQQ >KJB71594 pep chromosome:Graimondii2_0_v6:11:19955962:19957208:1 gene:B456_011G1319002 transcript:KJB71594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSWCSPTNKTWCSTSSIGVQSQLGILSQLGIHIFHLRI >KJB72463 pep chromosome:Graimondii2_0_v6:11:42273331:42275390:1 gene:B456_011G179900 transcript:KJB72463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNNVFAPFQLLEINMISAQAIRSENCHTNPTWNDKFVFRVDEEFLHRDTSAVTIEIYAVHWFRNIHVGTVRAIIGNLIPPVWQPSGRPQGILNIGVALLDSSMRSMLLYLQMGSSAVGYQHLIGEEDPFQNSIVNPVSSTSKSNKYQLLVNGLLKPDLRRTKSDSSSILKLDLRPPGKSTKRSSIVDGRSMVNGTEIGKNNNKLNSRGSSMVNYIPKKGKSSSMVNGSKAFEKNIKINSRGSSMVNYVFEKTSKKGKQNSAAPPGKSPTGMRFTRLGCGVPRKLGGSTIWTDSELGPSPSEVAAAVAKNLQHKRMEEAESSLADGWSLDESIEGLRSKLERWRTALPPLYDRGDFLGYLSSEIPTTSTTKHTRN >KJB74152 pep chromosome:Graimondii2_0_v6:11:60830001:60830962:1 gene:B456_011G275900 transcript:KJB74152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIPSLFGNNNTTIFDPFSFNMFDPSTETSAAISNTRIDWKETPEAHIFKADVPGLEKEEVKVEVEEGKVLRISGERSVEKEDKNDTWHRTERSSGKFTRRFRLPENVKMDQIKATMENGVLCVVVPKEGEKKREVKNIEICG >KJB68954 pep chromosome:Graimondii2_0_v6:11:50606:51318:1 gene:B456_011G000600 transcript:KJB68954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRQNSLSPLDSQPAAATTHPSQPLSVAQLNPCNRQSVARLASSAIGSQLRSKLVIAVSHPEVIEEFQKCHLEHPITKFFGECTELKIKLDRCFRQEKALKRKANFEQSKKLKERLQALRKETAENDS >KJB69432 pep chromosome:Graimondii2_0_v6:11:1711464:1713121:1 gene:B456_011G023800 transcript:KJB69432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCDKNGLKKGPWTPEEDQKLIDYIQSHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEEAIIQLHSVLGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRLDLLDLSSILGCCSFYNQSQMNMSTRLLGGGGGVQPLVNPEILRLATSIMSSPQRENQNPDILFHENQYQQSPLMQNTNVAEPVMNNNPNVIIDQFPFNGCFTDWQNNANAVLPYLTEDNYVPVPSNCYGGGNGEPSFRTPSSSSPTPLNSNNSTYINSSSTEDESYSSDILKFEIPDFLDVNEFM >KJB69266 pep chromosome:Graimondii2_0_v6:11:937430:937633:-1 gene:B456_011G013300 transcript:KJB69266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFSTSFVACFFSGSNKVASQGEDTQPSSSTVSKAGSDAKLKEGKAKNNPPIPITYFPIGSKLSLL >KJB69146 pep chromosome:Graimondii2_0_v6:11:612802:618219:1 gene:B456_011G008100 transcript:KJB69146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKAKTGRSTRPTAPPKENGAKIEESLNVFKSDDFDADAFVQSKCSLDDKEIRQLCSYLLDLKRASAEEMRKSVYANYPAFIRTSKEISDLEGELSSIRNLLSTQATLIHSLAEGVHIDLSSPKASEDPTANGFLNIEDNEPSDLEKWSVQFPDLLDVLLAEKRVDEALAALDEGQRAVAEGKEKNSLSSEALASLETAIIERKQKLADQLAEAACQPSTHGAELRAAILALKKLGDGPRAHTLLLNAHFQRYQYNMLSLHPSSTSYGGAYTAALSQLVFSAIAQAASDSMRIFGEEPAYTSELVMWATKQTEAFALLVKRHALASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALNANLKRIEESTAALAAADDWVLTYPPGGTRQSGWPSSVSLCNTTIFQHKLTSSAHRFNSMVQDFFEDVGPLLSMELGGQTLEGLFRVFDSYVNMLIKALPGLMDEETNFEDTGNKIVRMAETEAQQIALLANASLLADELLPRAAMKLSPNLASYKDDQCRRTSDRQNRHPEQREWKRRLVSSVERLKNKFCQQHALDLIFTEEGDSHFTAEMYINMDTTTDEVEWFPSLIFQELYAKLNRMASLAADMFVGRERFATSLLMRLTESVIICLSEDQSFWDDIEEGPRPLGPLGLQQLYLDIKFVIGFASQGRYLSRNLHRVVKEIITKAMAAFAATGMDPNSVLPNDDWFDEICQDAIERLSGKPKPEPERDLNSPTASVSAQSISSVRSHGSY >KJB69147 pep chromosome:Graimondii2_0_v6:11:613911:618219:1 gene:B456_011G008100 transcript:KJB69147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLHPSSTSYGGAYTAALSQLVFSAIAQAASDSMRIFGEEPAYTSELVMWATKQTEAFALLVKRHALASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALNANLKRIEESTAALAAADDWVLTYPPGGTRQSGWPSSVSLCNTTIFQHKLTSSAHRFNSMVQDFFEDVGPLLSMELGGQTLEGLFRVFDSYVNMLIKALPGLMDEETNFEDTGNKIVRMAETEAQQIALLANASLLADELLPRAAMKLSPNLASYKDDQCRRTSDRQNRHPEQREWKRRLVSSVERLKNKFCQQHALDLIFTEEGDSHFTAEMYINMDTTTDEVEWFPSLIFQELYAKLNRMASLAADMFVGRERFATSLLMRLTESVIICLSEDQSFWDDIEEGPRPLGPLGLQQLYLDIKFVIGFASQGRYLSRNLHRVVKEIITKAMAAFAATGMDPNSVLPNDDWFDEICQDAIERLSGKPKPEPERDLNSPTASVSAQSISSVRSHGSY >KJB71915 pep chromosome:Graimondii2_0_v6:11:23867304:23867919:1 gene:B456_011G147100 transcript:KJB71915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFRFKNLISSGVFVENAAKVVKLVAPKFPIQIPNQSIFTETCFGSSIFVSSILQLVFLLKKKIFVSVSCKLSYEDREDEEGGRTFQFCFLVKQYLRVFDPFTSGNRSEMLLFLFIVGKVLFSFILLQVLALD >KJB72521 pep chromosome:Graimondii2_0_v6:11:43544854:43547288:1 gene:B456_011G183000 transcript:KJB72521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTNVQKWVTPLSGYLKFYIYGYMDGASSTASIEEAYPSNKSVRKRGLILKKTPILQKFLFCFDLVAPVGFAPDLMAIVVIGSCPGLLLCVGVWVCAMAVMTTFFSRV >KJB74190 pep chromosome:Graimondii2_0_v6:11:61043952:61045148:-1 gene:B456_011G278200 transcript:KJB74190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPRFELSEALMKEILSKLPVKSLTRFNCVCKYWCSSFRTPHFVSKHYHNNLKNNNVNLLLQQHVAKTYTHLKILLPPLVRRPPSRGYLTLQHVMYNDAAFGFDSETDNYKFIRFVTLNFDSEQEISDFRFASQVELYSLKSDSWKEISLPNFDIPQLPEWEAWTKQFSIESVPEVSHSLELWITGELFLTSTNNEIVLLDLSTQELKKLRIDTCPKCLRTLHIPFCLC >KJB70355 pep chromosome:Graimondii2_0_v6:11:6205098:6205490:1 gene:B456_011G069600 transcript:KJB70355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEYPRSVLIVLVIFSLVVSPMLPCATARPHVVCPACACCGPPPPGGACCSCGCASVQSPPSEMATP >KJB73967 pep chromosome:Graimondii2_0_v6:11:59562590:59566032:1 gene:B456_011G2645002 transcript:KJB73967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVIATVGSIVSKAVEYTISPIKNHVKYLSNHQQYVETLKDRAERLKHARDRVQHSVDAAKRNGEEIEGDVGKWLSAVDKKIPEQVEKVMQDEEKAKKKCFIGLCPNFRTRYKLSLKAEEEAKAVAELLEHDKFERVSYRAAPQGIMVAPVKGYEEFESRTSILNGIMEALKDDSVSVVGVHGIGGIGKTTLVKEIARKVKDKLFDSVVIATVTQAIDIEKIQNQIADFLGLKFEEQSMVGKAFRLRERLKKEERILVVLDDIWEKVDIEEVGIPLGDEHKGCKLLLTSRELNKMAGNCDESCDLKPIAMEVAKKCAGLPIAIATVARALRNKKLFEWKMLHENWRCLPIELSFNYLESEEVKLTFLLCSVIGHNGLVEDLVRYTLGLGLFDGVHTMEEARNKVLTVVANLKASALLLDSYHDKRFDIHDVVWDAAISIALKNYRMLVLRDHAPKEWSDKEKMKTWSVISLRCPQIIANLPKEMEFDNITILGELKNLEILDLAASGIKELPKEMAQLTQLRLLDLSWCRELKIIPPNVLSGLSKLEELYMSRSFGLKGVKNVLMKLNNGNDLPNLKRLHVKNGMHTCHWVTNLRSLIIKGCGKLEHLLSASLARSLVQLQRFEIEDCKCLRDIILTEEIEEERKDVICFPRLNSLHIGGLPNLIFFNSGNHNIEFPLLKVLKIQRCPKLIEFINQNSNQSGMHALFSEKVAVPSLEDMNISNLSNVKMIFYNDLAPGSFKNLRKISIGECGSLKNVFPVSIAKDLPQLENLIIINCGVEEIVSKGDGVEEQP >KJB73968 pep chromosome:Graimondii2_0_v6:11:59562590:59566032:1 gene:B456_011G2645002 transcript:KJB73968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVIATVGSIVSKAVEYTISPIKNHVKYLSNHQQYVETLKDRAERLKHARDRVQHSVDAAKRNGEEIEGDVGKWLSAVDKKIPEQVEKVMQDEEKAKKKCFIGLCPNFRTRYKLSLKAEEEAKAVAELLEHDKFERVSYRAAPQGIMVAPVKGYEEFESRTSILNGIMEALKDDSVSVVGVHGIGGIGKTTLVKEIARKVKDKLFDSVVIATVTQAIDIEKIQNQIADFLGLKFEEQSMVGKAFRLRERLKKEERILVVLDDIWEKVDIEEVGIPLGDEHKGCKLLLTSRELNKMAGNCDESCDLKPIAMEVAKKCAGLPIAIATVARALRNKKLFEWKMLHENWRCLPIELSFNYLESEEVKLTFLLCSVIGHNGLVEDLVRYTLGLGLFDGVHTMEEARNKVLTVVANLKASALLLDSYHDKRFDIHDVVWDAAISIALKNYRMLVLRDHAPKEWSDKEKMKTWSVISLRCPQIIANLPKEMEFDNITILGELKNLEILDLAASGIKELPKEMAQLTQLRLLDLSWCRELKIIPPNVLSGLSKLEELYMSRSFGLKGVKNVLMKLNNGNDLPNLKRLHVKNGMHTCHWVTNLRSLIIKGCGKLEHLLSASLARSLVQLQRFEIEDCKCLRDIILTEEIEEERKDVICFPRLNSLHIGGLPNLIFFNSGNHNIEFPLLKVLKIQRCPKLIEFINQNSNQSGMHALFSEKVAVPSLEDMNISNLSNVKMIFYNDLAPGSFKNLRKISIGECGSLKNVFPVSIAKDLPQLENLIIINCGVEEIVSKGDGVEEQP >KJB72574 pep chromosome:Graimondii2_0_v6:11:44378581:44378940:-1 gene:B456_011G186500 transcript:KJB72574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASDQLEPDFESPSPIMQPFLHNQNKPAHFHVQTPTSNNNSLLIEDKPAGFEQVIIKIIKCPHNSNQKTFGTNSIDQ >KJB73386 pep chromosome:Graimondii2_0_v6:11:54445852:54446719:1 gene:B456_011G230600 transcript:KJB73386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKQLVGFGGSGPGEEAGGGFIIHTSTGLLLLCMVIFSLSLISMVIFACGDGNSGKRRRRSGGGAGGLGGGCGGEGKRRRRSGAGGGGCGGEGGGCEGGGGGGGCGGGGGGGGCGVGWGGGC >KJB70112 pep chromosome:Graimondii2_0_v6:11:4678125:4686474:-1 gene:B456_011G058300 transcript:KJB70112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGNGRLVPATDDELMEVEGLLENEKRETHIVADTGQALGCISNEVSPSGMPQLESSEGLSQSENTEADTEKLSAYLEETVPSGAKSSSDDHVTQSGRVGECLKPLDGPLEGGSSTSTGCISSKPDFSKLKGEICLDNLSIKELHEVFKATFGRDTTVKDKLWLKRRIAMGLTNSCDVSATTFVIKDNKLVKKDNEDGFNNVNLGAGKEHLAVAVEYNEDLLNNLSSQIDEHQTTSEVRLGNNVVENNFASEDLAADQRAAKRVRKPTKRYIEELSEAESKEYSGRSIASTKSIGFRPLSSKAHARPARNVSLEGRTVITRLDSLGGFGIQVPCVYRIRRSRPRKNVTALLKFHPSGMGMTATFIKKGFDVHGSQMDNGSMNKVLEAKSTPEQAPEQFVAESKKETTPTDMGQNMGLKYVDPSGDTSDDNVVTVPTAKGGIRRKHHRAWTLSEVMKLVEGVSKYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQTPTDKGANSRKHPSMPIPASILLRVRELAEMQAQASLPNLSTGKLSACGGGSVNETRPGYL >KJB70110 pep chromosome:Graimondii2_0_v6:11:4678125:4686165:-1 gene:B456_011G058300 transcript:KJB70110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVVEAEGSDEGKFEETGLETSNFVLSSPKNVTDPVVYKLVRVDGNGRLVPATDDELMEVEGLLENEKRETHIVADTGQALGCISNEVSPSGMPQLESSEGLSQSENTEADTEKLSAYLEETVPSGAKSSSDDHVTQSGRVGECLKPLDGPLEGGSSTSTGCISSKPDFSKLKGEICLDNLSIKELHEVFKATFGRDTTVKDKLWLKRRIAMGLTNSCDVSATTFVIKDNKLVKKDNEDGFNNVNLGAGKEHLAVAVEYNEDLLNNLSSQIDEHQTTSEVRLGNNVVENNFASEDLAADQRAAKRVRKPTKRYIEELSEAESKEYSGRSIASTKSIGFRPLSSKAHARPARNVSLEGRTVITRLDSLGGFGIQVPCVYRIRRSRPRKNVTALLKFHPSGMGMTATFIKKGFDVHGSQMDNGSMNKVLEAKSTPEQAPEQFVAESKKETTPTDMGQNMGLKYVDPSGDTSDDNVVTVPTAKGGIRRKHHRAWTLSEVMKLVEGVSKYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQTPTDKGANSRKHPSMPIPASILLRVRELAEMQAQASLPNLSTGKLSACGGGSVNETRPGYL >KJB70111 pep chromosome:Graimondii2_0_v6:11:4678125:4686461:-1 gene:B456_011G058300 transcript:KJB70111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGLLENEKRETHIVADTGQALGCISNEVSPSGMPQLESSEGLSQSENTEADTEKLSAYLEETVPSGAKSSSDDHVTQSGRVGECLKPLDGPLEGGSSTSTGCISSKPDFSKLKGEICLDNLSIKELHEVFKATFGRDTTVKDKLWLKRRIAMGLTNSCDVSATTFVIKDNKLVKKDNEDGFNNVNLGAGKEHLAVAVEYNEDLLNNLSSQIDEHQTTSEVRLGNNVVENNFASEDLAADQRAAKRVRKPTKRYIEELSEAESKEYSGRSIASTKSIGFRPLSSKAHARPARNVSLEGRTVITRLDSLGGFGIQVPCVYRIRRSRPRKNVTALLKFHPSGMGMTATFIKKGFDVHGSQMDNGSMNKVLEAKSTPEQAPEQFVAESKKETTPTDMGQNMGLKYVDPSGDTSDDNVVTVPTAKGGIRRKHHRAWTLSEVMKLVEGVSKYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQTPTDKGANSRKHPSMPIPASILLRVRELAEMQAQASLPNLSTGKLSACGGGSVNETRPGYL >KJB70109 pep chromosome:Graimondii2_0_v6:11:4678125:4686461:-1 gene:B456_011G058300 transcript:KJB70109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVVEAEGSDEGKFEETGLETSNFVLSSPKNVTDPVVYKLVRVDGNGRLVPATDDELMEVEGLLENEKRETHIVADTGQALGCISNEVSPSGMPQLESSEGLSQSENTEADTEKLSAYLEETVPSGAKSSSDDHVTQSGRVGECLKPLDGPLEGGSSTSTGCISSKPDFSKLKGEICLDNLSIKELHEVFKATFGRDTTVKDKLWLKRRIAMGLTNSCDVSATTFVIKDNKLVKKDNEDGFNNVNLGAGKEHLAVAVEYNEDLLNNLSSQIDEHQTTSEVRLGNNVVENNFASEDLAADQRAAKRVRKPTKRYIEELSEAESKEYSGRSIASTKSIGFRPLSSKAHARPARNVSLEGRTVITRLDSLGGFGIQVPCVYRIRRSRPRKNVTALLKFHPSGMGMTATFIKKGFDVHGSQMDNGSMNKVLEAKSTPEQAPEQFVAESKKETTPTDMGQNMGLKYVDPSGDTSDDNVVTVPTAKGGIRRKHHRAWTLSEVMKLVEGVSKYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQTPTDKGANSRKHPSMPIPASILLRVRELAEMQAQASLPNLSTGKLSACGGGSVNETRPGYL >KJB70113 pep chromosome:Graimondii2_0_v6:11:4678125:4686738:-1 gene:B456_011G058300 transcript:KJB70113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVVEAEGSDEGKFEETGLETSNFVLSSPKNVTDPVVYKLVRVDGNGRLVPATDDELMEVEGLLENEKRETHIVADTGQALGCISNEVSPSGMPQLESSEGLSQSENTEADTEKLSAYLEETVPSGAKSSSDDHVTQSGRVGECLKPLDGPLEGGSSTSTGCISSKPDFSKLKGEICLDNLSIKELHEVFKATFGRDTTVKDKLWLKRRIAMGLTNSCDVSATTFVIKDNKLVKKDNEDGFNNVNLGAGKEHLAVAVEYNEDLLNNLSSQIDEHQTTSEVRLGNNVVENNFASEDLAADQRAAKRVRKPTKRYIEELSEAESKEYSGRSIASTKSIGFRPLSSKAHARPARNVSLEGRTVITRLDSLGGFGIQVPCVYRIRRSRPRKNVTALLKFHPSGMGMTATFIKKGFDVHGSQMDNGSMNKVLEAKSTPEQAPEQFVAESKKETTPTDMGQNMGLKYVDPSGDTSDDNVVTVPTAKGGIRRKHHRAWTLSEVMKLVEGVSKYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQTPTDKGANSRKHPSMPIPASILLRVRELAEMQAQASLPNLSTGKLSACGGGSVNETRPGYL >KJB71151 pep chromosome:Graimondii2_0_v6:11:15298945:15301540:1 gene:B456_011G116300 transcript:KJB71151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLKTRPCGDVVPEVKKFRTSGIDPEFEAKLDQMFMGIVATGDKAWAPSSGTLRNDFFQDVNNEIPEGNEEENMRNDAHILNDVHISKIKKSSKQIGGAARLSSQIENLCSAADNMSHATSSLTPITDPYGIPQAVKMLDSMPEEVPEASPLYFFALKLLLNKDKRIMFLSINLKIRALWLKTEMEDS >KJB71152 pep chromosome:Graimondii2_0_v6:11:15300732:15301622:1 gene:B456_011G116300 transcript:KJB71152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMGIVATGDKAWAPSSGTLRNDFFQDVNNEIPEGNEEENMRNDAHILNDVHISKIKKSSKQIGGAARLSSQIENLCSAADNMSHATSSLTPITDPYGIPQAVKMLDSMPEEVPEASPLYFFALKLLLNKDKRIMFLSINLKIRALWLKTEMEDS >KJB73221 pep chromosome:Graimondii2_0_v6:11:53354208:53356866:1 gene:B456_011G223300 transcript:KJB73221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQTDKEVEEILAAHLDQQKIHSEQPEQPVVEDDDDNDDDDDDDDDKDEDDAEGLHDVDGTGRSKQSRSEKKSRKAMLKLGMKPIPGVSRVTIKKSKNILFVISNPDVFKSPASDTYIVFGEAKIEDLSSQLQTQAAEQFKAPDLSHVISQPEPSTVAQDDEEVDETGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVSAIMELTT >KJB73222 pep chromosome:Graimondii2_0_v6:11:53354288:53356696:1 gene:B456_011G223300 transcript:KJB73222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQTDKEVEEILAAHLDQQKIHSEQPEQPVVEDDDDNDDDDDDDDDKDEDDAEGLHDVDGTGRSKQSRSEKKSRKAMLKLGMKPIPGVSRVTIKKSKNILFVISNPDVFKSPASDTYIVFGEAKIEDLSSQLQTQAAEQFKAPDLSHVISQPEPSTVAQDDEEVDETGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVSAIMELTT >KJB71044 pep chromosome:Graimondii2_0_v6:11:11657673:11659382:1 gene:B456_011G102200 transcript:KJB71044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNTESSTQEQRTYIPTLHTCPLTFSTCLNITRKKVSSIVFLSKQCSIISQPERRQAEMKAIRSVRAQPPAVFTPSLRASLSHHKSSVISFRPIITSCSKQPESMEQKDEKPKQKPGDVMSESFGEGYATRSDEEGFGGIYGENESISDIKNTRRFMKVTQLMTRRRGVK >KJB73498 pep chromosome:Graimondii2_0_v6:11:55715902:55716606:-1 gene:B456_011G235900 transcript:KJB73498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKDNHNPEMDSSLFPGSSRKRKRRDGLSVADTLKLWSENSEAKQSRKAPAKGSKKGCMKGKGGPQNQNCNYRGVRQRTWGKWVAEIRAPNKGKRLWLGTFPTAVEAASAYDEAAKAMYGDKAILNMPQGSDSDSVATPSHGFSQATTTTTATCGGSESAMDGPVDSEAPSTSGAMDMKGEGGEVDRRGDDTDYSWLEGLESLQFFDDIPMDYVGNNSVWDNCELFDIDEFLA >KJB73888 pep chromosome:Graimondii2_0_v6:11:58912003:58912326:-1 gene:B456_011G259000 transcript:KJB73888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WVKINVDARLSVAKKRGVSGFIIRNDEGFIMGSRFQGHNLVLSVVIAEALVVLHELQFALDLGFTNVILESDSGLVVNNIQQLSEDYSESRPFTWDVKNLARKFHFC >KJB73923 pep chromosome:Graimondii2_0_v6:11:59248690:59251455:-1 gene:B456_011G261300 transcript:KJB73923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIQANTFLLPRFNGSNPRKPELLFPSYPYLVCFNAKVPVTKKLIASSSLCSQQFAPLLKHKRCVSVSKCRQGTTVCKFGGQDKPAGDNEGSPWKSIEKAIGNFGKKQSIEDVLRQQIEKQDYYDEGSGQNPPRGGGGGSSGGGDGFGESEDEGPSGILDETMQVILATLGFIFLYVYIITGEELARLAKDYIKYLFGGSKSVRLKRTMYKWSQFFEKLTEKKEYDKFWLEKAIITTPTWYDSPDKYRRVLNSYIEYDDEDESDYDD >KJB74018 pep chromosome:Graimondii2_0_v6:11:59954418:59957556:-1 gene:B456_011G267600 transcript:KJB74018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVSTIGAVNRAPLSLNGSGAGASVPSSAFMGNSLKKVSARFNNNGKAPAGSFKIVAAKEIDEDTQTDQDRWKGLAYDISDDQQDITRGKGMVDSLFQAPMNDGTHYAVMSSYEYLSQGLRTYDLDNNMDGFYIAPAFMDKLVVHITKNFMTLPNIKVPLILGVWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCTGIFRTDNVPVDDLVKLVDTFPGQSIDFFGALRARVYDDEVRKWIGEVGVNGVGKKLVNSREGPPSFEQPKMTIEKLLEYGYMLVAEQENVKRVQLADKYLSEAALGNANDDAIKRGAF >KJB69452 pep chromosome:Graimondii2_0_v6:11:1733339:1736167:1 gene:B456_011G024100 transcript:KJB69452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNCTSLVFRAPHVLGQTRITNNTNKLTPTIHVGTCFPWYGKKTHQKKKLFHIVSQLKCRQNYPSSSVMEDERNLVVSSLGPDKYSKELDVAVKAVQMACSLCQKVQGSLISKTNSQIHSKEDNSPVTVAVETVNDCLVQAPHFGLKGPEKLLGSSDVLEAIGRCNSEGGPTGSFWALDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPKKKEWLSYHHRYHRIISKLTPTTSESWDKGCVLYATKGSGKAWMQPLHQTNKLLAWPNSAIPIRVSAIDDPALATFCEPVEKSNSSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAEIFMKFARTGYKEKIWDHAAGMIIIQEAGGVVTDAGGSPLDFSKGTFLEGLDRGIIACGGAKLHERIIKSVDASWKCSSL >KJB69451 pep chromosome:Graimondii2_0_v6:11:1733298:1736300:1 gene:B456_011G024100 transcript:KJB69451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNCTSLVFRAPHVLGQTRITNNTNKLTPTIHVGTCFPWYGKKTHQKKKLFHIVSQLKCRQNYPSSSVMEDERNLVVSSLGPDKYSKELDVAVKAVQMACSLCQKVQGSLISKTNSQIHSKEDNSPVTVADWSVQATISWILSKSFGCRNVSILAEEDVESLSKPDSKGLLSAVVETVNDCLVQAPHFGLKGPEKLLGSSDVLEAIGRCNSEGGPTGSFWALDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPKKKEWLSYHHRYHRIISKLTPTTSESWDKGCVLYATKGSGKAWMQPLHQTNKLLAWPNSAIPIRVSAIDDPALATFCEPVEKSNSSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAEIFMKFARTGYKEKIWDHAAGMIIIQEAGGVVTDAGGSPLDFSKGTFLEGLDRGIIACGGAKLHERIIKSVDASWKCSSL >KJB69262 pep chromosome:Graimondii2_0_v6:11:926416:928750:-1 gene:B456_011G013000 transcript:KJB69262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLLFMVIFSEMAVIMVLSFKTPLRKLTLMVLDRVKRGRGPLMVKTVAATIAVVMISSVYSMMTIQKRWIDDGSANPTEQILMVKHLLEATLMGGVLFLALMIDRLHHYIRELRIRRKSMEAIKKQGPEDGKSSGSDKVKALEGEVTTLQAKLKQLESDLETKTKEMDAAEANAVALRKQSEGFLLEYDRLLEENQNLRNQLESLEQSPSRSGNKKNT >KJB69263 pep chromosome:Graimondii2_0_v6:11:926416:928743:-1 gene:B456_011G013000 transcript:KJB69263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLLFMVIFSEMAVIMVLSFKTPLRKLTLMVLDRVKRGRGPLMVKTVAATIAVVMISSVYSMMTIQKRWIDDGSANPTEQILMVKHLLEATLMGGVLFLALMIDRLHHYIRELRIRRKSMEAIKKQGPEDGKSSGSDKVKALEGEVTTLQAKLKQLESDLETKTKEMDAAEANAVALRKQSEGFLLEYDRLLEENQNLRNQLESLEQSPSRSGNKKNT >KJB71817 pep chromosome:Graimondii2_0_v6:11:22526436:22526930:1 gene:B456_011G142700 transcript:KJB71817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGKQNEYAAFEEKVRRTVYLDNLSPNVTESVVRTALDQYGTVKSVEFIPNYLEPRNMPQCALVEMEKEKQAKVVISTLSEFPFMMSGMPRPVRARAAVAEMFGDRPRKPGRQIRIRWLDTADPDFEVASKLKQLVKRHATETAVMLKVNSKSCIKIHSFQGV >KJB71819 pep chromosome:Graimondii2_0_v6:11:22525363:22528458:1 gene:B456_011G142700 transcript:KJB71819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGKQNEYAAFEEKVRRTVYLDNLSPNVTESVVRTALDQYGTVKSVEFIPNYLEPRNMPQCALVEMEKEKQAKVVISTLSEFPFMMSGMPRPVRARAAVAEMFGDRPRKPGRQIRIRWLDTADPDFEVASKLKQLVKRHATETAVMLKHQLEKEEKLAKQQGETLKANYKKYEMIDSLMADGAARRLARCYNLRVSDDSGPSTFH >KJB71818 pep chromosome:Graimondii2_0_v6:11:22525363:22527427:1 gene:B456_011G142700 transcript:KJB71818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGKQNEYAAFEEKVRRTVYLDNLSPNVTESVVRTALDQYGTVKSVEFIPNYLEPRNMPQCALVEMEKEKQAKVVISTLSEFPFMMSGMPRPVRARAAVAEMFGDRPRKPGRQIRIRWLDTADPDFEVASKLKQLVKRHATETAVMLKEEKLAKQQGETLKANYKKYEMIDSLMADGAARRLARCYNLRVSDDSGPSTFH >KJB70955 pep chromosome:Graimondii2_0_v6:11:11880613:11882572:-1 gene:B456_011G103200 transcript:KJB70955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEQVPGALGTSASLALRLGQTIFSSASLLFMCLDVEFYNYTSFSYLVTVMALVTPWSVSLALIDAYSVFMKCLPRQPRVLLIVIVGDWALSFLSLAAACSTASVTSLLVNVGTPYCWSKLCSRYQFSAAMAFLSWFLSFASTLFNLWLLPSL >KJB70956 pep chromosome:Graimondii2_0_v6:11:11880872:11882266:-1 gene:B456_011G103200 transcript:KJB70956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEQVPGALGTSASLALRLGQTIFSSASLLFMCLDVEFYNYTSFSYLVTVMALVTPWSVSLALIDAYSVFMKCLPRQPRVLLIVIVGDWVYTKALSFLSLAAACSTASVTSLLVNVGTPYCWSKLCSRYQFSAAMAFLSWFLSFASTLFNLWLLPSL >KJB74001 pep chromosome:Graimondii2_0_v6:11:59821854:59823251:1 gene:B456_011G266600 transcript:KJB74001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNVTILFFTLALGFCFYKLCFIFFTSFLGFNFFGLGLGFYFAWDAMVMVVRMIVRQSLFAVGASSRFTILGFFFD >KJB70553 pep chromosome:Graimondii2_0_v6:11:7895042:7901899:-1 gene:B456_011G079200 transcript:KJB70553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGADIYRASGSLRRSPSAWRNNDLEVFSRSSREEDDEEALKWAALEKLPTVSRLRKGILTSSEGGASEINIHDLGWVERKALLERLVKVAEEDNEKFLLKLKNRVARVGIDFPTIEVRFEHLNIEAQAFVGTNALPTILNFITSLFEGFLIDMGILSSRKKKLTILNDVSGIIKPSRLTLLLGPPSSGKTTLLLALAGKLDPALKCSGKVTYNGHGLDEFVPQRTVAYISQHDLHIGEMTVRETLAFSARCQGVGDRYELLAELSRREKQANIKPDPDMDVYMKAVATEGQEANVITDYVLKVLGLEVCADIMVGDEMLRGISGGQRKRVTTGEMLVGPAKVLFMDEISTGLDSSTTFQIVNSLKQTVHILNGTAIISLLQPAPETYNLFDDIILLSDGQIVYQGPREHVLSFFESMGFKCPERKGVADFLQEVTSRKDQQQYWVHKDQPYRFVTANEFSEAFQSFHVGKELRDELGVPYEKTKSHPAALTTKKYGVGRKELLKACISREYLLMKRNSFVYIFKFIQLTIMAFITMTLFLRTEMRNDSIEGGGIYMGALFFGLIAIMFNGMSEISMTIAKLPVFFKQRDLLFFPSWAYALPTCILKIPISFLEVSLWVFLTYYVIGFDPNVERLFRQYLILVLVTQMSSGLFRFIAAAGRNMVVANTFGAFALLILFALGGFVLSRDDIKKWWIWGYWISPMMYGQNALMVNEFLGNQWRRILPGSNEPLGITILKSRGFFQDPRWYWFGVGGLLGFIVLFNFFYTMALAYLKPFEKIQAVISEELEEKEQAESSSEVQDDTKTSISSKSSSMDEVTTGNKKKGMVLPFEPHSITFDDIIYSVDMPQEIKEQGVNEDKLVLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITVSGFPKKQETFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLPEKVNAETRKMFIDEVMDLVELNPLRQAQVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGYHSKHLIDYFEGIQGVSKIKDGYNPATWMLEVSTTAQELALGVDFADIYKKSELYKRNKTLIKDLSRPAPGSKELYFPTQFSQPFLTQCAACLWKQRWSYWRNPAYTAVRLLFTTVIALMFGTLFWDLGTKTKKRQDLANAMGSMYAAVLFLGIQNAASVQPVVAVERTVFYREKAAGMYSAMPYAIAQVLIEIPYIFVQAVVYGLIVYVMIGFEWTAAKFLWYLFFMYFTLLYFTFYGMMAVAVTPNHHIAGIVSSAFYGIWNVFSGFIIPRPNSYMVEMVLLHLPGILDLVWFGCFTIWRYSGCP >KJB70552 pep chromosome:Graimondii2_0_v6:11:7895227:7901899:-1 gene:B456_011G079200 transcript:KJB70552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGADIYRASGSLRRSPSAWRNNDLEVFSRSSREEDDEEALKWAALEKLPTVSRLRKGILTSSEGGASEINIHDLGWVERKALLERLVKVAEEDNEKFLLKLKNRVARVGIDFPTIEVRFEHLNIEAQAFVGTNALPTILNFITSLFEGFLIDMGILSSRKKKLTILNDVSGIIKPSRLTLLLGPPSSGKTTLLLALAGKLDPALKCSGKVTYNGHGLDEFVPQRTVAYISQHDLHIGEMTVRETLAFSARCQGVGDRYELLAELSRREKQANIKPDPDMDVYMKAVATEGQEANVITDYVLKVLGLEVCADIMVGDEMLRGISGGQRKRVTTGEMLVGPAKVLFMDEISTGLDSSTTFQIVNSLKQTVHILNGTAIISLLQPAPETYNLFDDIILLSDGQIVYQGPREHVLSFFESMGFKCPERKGVADFLQEVTSRKDQQQYWVHKDQPYRFVTANEFSEAFQSFHVGKELRDELGVPYEKTKSHPAALTTKKYGVGRKELLKACISREYLLMKRNSFVYIFKFIQLTIMAFITMTLFLRTEMRNDSIEGGGIYMGALFFGLIAIMFNGMSEISMTIAKLPVFFKQRDLLFFPSWAYALPTCILKIPISFLEVSLWVFLTYYVIGFDPNVERLFRQYLILVLVTQMSSGLFRFIAAAGRNMVVANTFGAFALLILFALGGFVLSRDDIKKWWIWGYWISPMMYGQNALMVNEFLGNQWRRILPGSNEPLGITILKSRGFFQDPRWYWFGVGGLLGFIVLFNFFYTMALAYLKPFEKIQAVISEELEEKEQAESSSEVQDDTKTSISSKSSSMDEVTTGNKKKGMVLPFEPHSITFDDIIYSVDMPQEIKEQGVNEDKLVLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITVSGFPKKQETFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLPEKVNAETRKMFIDEVMDLVELNPLRQAQVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGYHSKHLIDYFEGIQGVSKIKDGYNPATWMLEVSTTAQELALGVDFADIYKKSELYKRNKTLIKDLSRPAPGSKELYFPTQFSQPFLTQCAACLWKQRWSYWRNPAYTAVRLLFTTVIALMFGTLFWDLGTKTKKRQDLANAMGSMYAAVLFLGIQNAASVQPVVAVERTVFYREKAAGMYSAMPYAIAQVLIEIPYIFVQAVVYGLIVYVMIGFEWTAAKFLWYLFFMYFTLLYFTFYGMMAVAVTPNHHIAGIVSSAFYGIWNVFSGFIIPRPRIPIWWRWYYYICPVSWTLYGLVVSQFGDIQDVLENGETVEQYLRNYLGFKHEFIGIVAVIIIAFAILFGAIFTVSIRLFNFQIR >KJB69695 pep chromosome:Graimondii2_0_v6:11:2833792:2834485:1 gene:B456_011G037700 transcript:KJB69695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSKKVMESFDYLWFFNNVLTLTPLHHKLNPPPTAPSVDVDTADEQKPPKPDILLHEAAAPVPGSEIPVPRCPNCGEIAVVTDQQRVVQPFAKATEKPPERRKRRRRRRKRSKRTVLGELDLGFYGNLDSESSFSEGTYGHKSFDSRHYIMKMPPSNDGFAMKEHLKSWAYAVACTVR >KJB69694 pep chromosome:Graimondii2_0_v6:11:2833712:2834537:1 gene:B456_011G037700 transcript:KJB69694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSKKVMESFDYLWFFNNVLTLTPLHHKLNPPPTAPSVDVDTADEQKPPKPDILLHEAAAPVPGSEIPVPRCPNCGEIAVVTDQQRVVQPFAKATEKPPERRKRRRRRRKRSKRTVLGELDLGFYGNLDSESSFSEGTYGHKSFDSRHYIMKMPPSNDGFAMKEHLKSWAYAVACTVR >KJB68773 pep chromosome:Graimondii2_0_v6:11:7811570:7812902:1 gene:B456_011G0785002 transcript:KJB68773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRFAMIVPRSRRFFSSKDSLELASTIAELNKEMESIFGEPPPDGLANSGNKSCMAQDAHHNSHVILVLCLKNLLFEET >KJB68795 pep chromosome:Graimondii2_0_v6:11:19941748:19941769:-1 gene:B456_011G1317002 transcript:KJB68795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein I >KJB71354 pep chromosome:Graimondii2_0_v6:11:15843000:15846400:-1 gene:B456_011G118100 transcript:KJB71354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIDMRPQTDINIGQKGRSVLRRRIKRRFLGENLVGSTPKIPFPLSLDYPAGTRTDDHPPTAEANGRPKYNSNKLYISDLISPRNLSFKFHHSSLSSPLNSILNSFNPSFNFALHCETSSYSFATACCRPPTSF >KJB73561 pep chromosome:Graimondii2_0_v6:11:56240721:56243646:1 gene:B456_011G238800 transcript:KJB73561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGPTNFIDEIDCGSFFDHIDDLLDFPNEDVEAGFSAPDSAVNAAAFPSIWTAHPESFPGSDSVFSNNSASDLSAELSVPYEDIVQLEWLSNFVEDSNCGASLTIKKQEPNSNNKDSPSHHDHDHHQFQTSSPVSVLESSSSYSGEKPVAAPGKCGRARSKRPRPATFNPRPAIQLISPSSSVNDNDVPQSLFVPKVPSDSENHAESRLLIKLPRQVNPEHKKKKKIKLTLPAAAAPPTDNNTTQNPSVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPSVHSNSHKKVLEMRTKCGTADAPEMIPNKSNPALDYI >KJB73560 pep chromosome:Graimondii2_0_v6:11:56240721:56243646:1 gene:B456_011G238800 transcript:KJB73560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGPTNFIDEIDCGSFFDHIDDLLDFPNEDVEAGFSAPDSAVNAAAFPSIWTAHPESFPGSDSVFSNNSASDLSAELSVPYEDIVQLEWLSNFVEDSNCGASLTIKKQEPNSNNKDSPSHHDHDHHQFQTSSPVSVLESSSSYSGEKPVAAPGKCGRARSKRPRPATFNPRPAIQLISPSSSVNDNDVPQSLFVPKVPSDSENHAESRLLIKLPRQVNPEHKKKKKIKLTLPAAAAPPTDNNTTQNPSVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPSVHSNSHKKVLEMRTKCGTADAPEMIPNKSNPALDYI >KJB73559 pep chromosome:Graimondii2_0_v6:11:56240592:56243646:1 gene:B456_011G238800 transcript:KJB73559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGPTNFIDEIDCGSFFDHIDDLLDFPNEDVEAGFSAPDSAVNAAAFPSIWTAHPESFPGSDSVFSNNSASDLSAELSVPYEDIVQLEWLSNFVEDSNCGASLTIKKQEPNSNNKDSPSHHDHDHHQFQTSSPVSVLESSSSYSGEKPVAAPGKCGRARSKRPRPATFNPRPAIQLISPSSSVNDNDVPQSLFVPKVPSDSENHAESRLLIKLPRQVNPEHKKKKKIKLTLPAAAAPPTDNNTTQNPSVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPSVHSNSHKKVLEMRTKCGTADAPEMIPNKSNPALDYI >KJB73775 pep chromosome:Graimondii2_0_v6:11:57820035:57822132:-1 gene:B456_011G250800 transcript:KJB73775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTPSGKNNQTLQYILNIITSSAKAFFLHSISIFCKFPHLAMDERMIGAAQTGDINILYELILNDPYVFQRIDDVPFFHTPLHVAASAGHIDFMMEMINLKPSFARKLNQAGFSPMHLALQNQHTQAVLRLLRFDEGLVRVKGREGFTPLHHVVQNGNVDFLIKFLEVCPEAIEDVTVRDETVFHLAVKNDRFEAFQVLVGWLIRSRHKAANRWEKELLSWADIDGNTVLHVAAIRNRPQVVKVLLERLCGDHINAKNAEGLTALDIPSQYPLVEGKVDYKASIKDMISKAGGLSGSSLPKTSVHIESLKGKVSVLQKFATMASRGKKGIPHEMRNTFLVVTVLIITATYTATLNPPKQPDTISNSRNFHLRYDASVGSTSTGPAPTPPPAEEEKFKNILDVSTMFWLYNTLTFWAATVLTAYLLPSRSICLFILITLSLFGTCYMLLVAVSIRTLALEYLFSLSTPGSVSYPRLSIANYCLATVLALVTLYRTSYYMLYRFVPKRRFFLLLQVVSLCIFAGILVPAILNSETILEITEYGM >KJB68874 pep chromosome:Graimondii2_0_v6:11:19894988:19895384:1 gene:B456_011G131600 transcript:KJB68874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCKGTGIHQTVCVGHFLPPVPLISEFIGDPVTNPLSSPDSSYQNTAFTSSNFFPFGPSERWVQPPAEFLPSRRSGSRIISPMQPPHAPLRLLHAVFF >KJB68875 pep chromosome:Graimondii2_0_v6:11:19894862:19896417:1 gene:B456_011G131600 transcript:KJB68875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCKGTGIHQTVCVGHFLPPVPLISEFIGDPVTNPLSSPDSSYQNTAFTSSNFFPFGPSERWVQPPAEFLPSRRSGSRIISPMQPPHAPLRLLHAFFNDSH >KJB73423 pep chromosome:Graimondii2_0_v6:11:55006160:55007943:1 gene:B456_011G232600 transcript:KJB73423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLNVAKLSFNLLFSSLILLLSLLIWLKLAKRKTLNLPPSPPKLPLIGNIHQLGKLPHRSLRDLSRKYGSLLLLQLGYNPTVVVSSADIVREIVENHDIVFANRPRTTAVDILFYGSKDMAFAPNNKFWKQVRKISVVELFSHQRVHSFQFVRDEEVELLTNKIRSACLKGESINLTDMLMFVSSNIVSRCFLSHKNEEEDGCTKFGELAKRLLILFTSSCIGDMFPYLRWVDVLTGYIPSMKAVSAELDAFLDQVIQEHKAFESNDQVSNKKDFVSIIMQLQKDGMYEMDLTQDNIKAILLDMFVGGTDTSATTKEWMMAELLKHPNAMKKVQEEVRNVVGNKLRVDAEDVSKMEYLKCVLKETLRLHPAGPLMVPRETTASVKLGGYDIPSNTTVLVNVWAIQRDPKWWENPEEFIPERFENSSIDFKGQDFQFIPFGFGRRRCPGMPFGVAAIEYVMANLLYWFDWKLPAGEIAENLDMTELFGLTVTKKTPLHVLPLSHFSF >KJB69668 pep chromosome:Graimondii2_0_v6:11:2726505:2728901:-1 gene:B456_011G036300 transcript:KJB69668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTRMPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEEDGTTYRKGCKPVDRMDIMGGSASASPCSSYHPSPGSSSFPSPASSHYTANANGNADANSLIPWLKNLSSGSSSASSKLAHHLFIAGGSISAPVTPPLSSPTSRTPRTRSDWDEMNAGPTCTGKRFSYLPASTPPSPSRQVFPDPGWLSRLEIPQSGPTSPTFSLVSRNPFGFKDEALSGGGSRMWTPGQSGTCSPAFPAGVDQTSDVPMSDAIAAEFAFGSNMTGLVKPWEGEKIHEECVANDLELTLGNSKTR >KJB69671 pep chromosome:Graimondii2_0_v6:11:2726423:2728901:-1 gene:B456_011G036300 transcript:KJB69671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTRMPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEEDGTTYRKGCKPVDRMDIMGGSASASPCSSYHPSPGSSSFPSPASSHYTANANGNADANSLIPWLKNLSSGSSSASSKLAHHLFIAGGSISAPVTPPLSSPTSRTPRTRSDWDEMNAGPTCTGKRFSYLPASTPPSPSRQVFPDPGWLSRLEIPQSGPTSPTFSLVSRNPFGFKDEALSGGGSRMWTPGQSGTCSPAFPAGVDQTSDVPMSDAIAAEFAFGSNMTGLVKPWEGEKIHEECVANDLELTLGNSKTR >KJB69669 pep chromosome:Graimondii2_0_v6:11:2725969:2729103:-1 gene:B456_011G036300 transcript:KJB69669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTRMPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEEDGTTYRKGCKPVDRMDIMGGSASASPCSSYHPSPGSSSFPSPASSHYTANANGNADANSLIPWLKNLSSGSSSASSKLAHHLFIAGGSISAPVTPPLSSPTSRTPRTRSDWDEMNAGPTCTGKRFSYLPASTPPSPSRQVFPDPDRAS >KJB69670 pep chromosome:Graimondii2_0_v6:11:2725969:2728994:-1 gene:B456_011G036300 transcript:KJB69670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTRMPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEEDGTTYRKGCKPVDRMDIMGGSASASPCSSYHPSPGSSSFPSPASSHYTANANGNADANSLIPWLKNLSSGSSSASSKLAHHLFIAGGSISAPVTPPLSSPTSRTPRTRSDWDEMNAGPTCTGKRFSYLPASTPPSPSRQVFPDPGGGSRMWTPGQSGTCSPAFPAGVDQTSDVPMSDAIAAEFAFGSNMTGLVKPWEGEKIHEECVANDLELTLGNSKTR >KJB73493 pep chromosome:Graimondii2_0_v6:11:55447387:55449161:-1 gene:B456_011G234900 transcript:KJB73493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FAISTTMATTASGSSGYVCCRFFLQVITGRWFMMFASFLIMACAGATYLFGVYSKDIKSTLGYDQSTLNLMSTFKDLGANVGVLSGLLAEVTPTWFVLLVGALMNFTGYFMIWLAVTKRVATPKVWQMCAYICIGANSQNFANTGSLVTCVKNFPQSRGMMLGLMKGFVGLSGAIFPQIYYAIYGNDSKSLILLIGWFPAAISLVFVYNIRAMKISTHPNETKVLHEYLGIATVLALLIMALTIAQKKTKEASASGCSSIFKPPKRGEDFSILQALFSIDMFLVFLGTFCGLGCSLTAVDNLGQIGESLGYPYQAVSTVVSLLSIWNYFGRVFAGFVSEIILLKYKIPRPLIMSLVFLLAAVGDLLIAFPWPGSVYLASLLLGFSFGAQQTLLFTIVSELFGLKYYATLFNCAQLSSPLGSFVLNVRIVGKLYDKEAWKQLAAKGMARSMVKELTCIGEQCYRLSFSILAATNTFGSVVILVLVLRTRKYYGGDIYKRFRDEIAENEKEMALKSAQEMQMVES >KJB73009 pep chromosome:Graimondii2_0_v6:11:50243498:50245059:-1 gene:B456_011G209100 transcript:KJB73009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAPLTATSLLLFVFSFFLQGTFGDYGGGWQGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMRCDNDPKWCLPGSITITATNFCPPNLALSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPISFRRVPCMKKGGIRFTINGHSYFNLVLISNVGGAGDVHAVSIKGSKTGWQSMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTVTSYNVAPANWQFGQTFEGGQF >KJB73099 pep chromosome:Graimondii2_0_v6:11:51564822:51565133:1 gene:B456_011G214800 transcript:KJB73099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKERVTAATQSTLISLSRVKEEDPIVVKVQGQTQANTLNYWMGRNTPLRFLMRDYCERTGAVFKYTTFHVDGLRIASTKTPHDLGMEDGDIIDANSNQSGG >KJB74325 pep chromosome:Graimondii2_0_v6:11:61981874:61985074:1 gene:B456_011G288200 transcript:KJB74325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFTPQNIFISILLLPSILYFITYLRKWLNSQSQSLTPPSPPILPIIGHLHLLTDMPHHTFTILAQKLGPIIYLQLGQVPTVIVSSPRLARLILKTHDHVFSNRPQLVSAQYLFFNCSDVTFSPYGPYWRQARKICVTELLSSKRVNSFQLIRDEEVSRLLTTLSAHPGSEVNVSELFLSLANDILCRVAFGRRFTERVGSSNHLAAVLRETQELFAGMSVGDFFPEWEWVHSVSGYKRRLMKNLNELRRVCDEVIQEHLQRGETGIKEDFVDVLLRVQKQDNLEVPITDDNLKALVLDMFVAGTDTSAATLEWTMTELAKHPEIMKQAQEEVRAVARRTGKVIDETHLQHLHFIKSIIKEAMRLHPTVPLLVPRESMDECIIDGYKIPPKTRLLINTYAIGRDPNSWDNPLQFNPNRFQDSNIDLKDQDFRFLPFGGGRRGCPGYTFGLATVEIALARLLFHFDWELPYGIHTDDVDVDEIFGLASRKRTPLILVPTVNKGL >KJB69198 pep chromosome:Graimondii2_0_v6:11:754023:757462:-1 gene:B456_011G010500 transcript:KJB69198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSCFNGFRLRKSRSKHLQLPSSSAKARLNSDTVNIDKKRFDSLESWSMILDSENVETWEVSKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPHHKEDTRLQLEQQFKSEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQCLETKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELMTALLPFQGMTPVQAAFAVAEKNERPPLPESCQPALAHLIKRCWAANPAKRPDFSDIVSTLEKYDECVKEGLPLTSHSGLVSRNVILERLKGCVSMSSSIPVQA >KJB70567 pep chromosome:Graimondii2_0_v6:11:8009459:8010790:1 gene:B456_011G080000 transcript:KJB70567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMLTPLYRRRSSLPHLYPQELIVAISLPLRLPKTLKSSMANALAFSFSAPIRASSESLKRPDPSRKNPVSSSSWWTPLFGWPSNPDYLNDSNAGNTSEAKPRCRYTLGSFTEEKARQLRKKTMENSSFHDMMYHSAIASRLASDISEK >KJB73064 pep chromosome:Graimondii2_0_v6:11:52331051:52338730:1 gene:B456_011G217300 transcript:KJB73064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLWGKIDPRSFGDRVYKGRPPELDEKLKKSKKKKERDPLAEPVPVRQSKRRRLREESVLTVTEEGVYQPKTKETRAAYEAMLSLIQQQLGGQPLNIVSGAADEILAVLKNEGIKNPDKKKEIEKLLNPIPSQVFDQLVSIGKLITDYQDGGDGAGGAAANGDEGLDDDVGVAVEFEENEDEEEESDLDMVQEDEEDDDDGVENGSGAMQMGGGIDDDDMHEANEGMNLNVQDIDAYWLQRKISQAYDQQIDPQQCQKLAEEVLKILAEGDDREVETKLLVHLQFDKFSLIKYLLRNRLKVVWCTRLARAEDQEERKKIEEEMMSLGPDLAAILEQLHATRATAKERQKNLEKSIREEARRLKDESGGDGDRERRGYADRDAEGGWLKGQRQLLDLDSLAFEQGGLLMANKKCDLPVGSYRHHSKGYEEVHVPALKAKPLESNERLVKISEMPDWAQPAFKGMQQLNRVQSKVYETALFSADNILLCAPTGAGKTNVAVLTILQQLALNMDADGSINHGNYKIVYVAPMKALVAEVVGNLSHRLEAYGVTVRELSGDQTLTRQQIDETQIIVTTPEKWDIITRKSGDRTYTQLVKLIIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNFEDVALFLRVNFEKGLFHFDNSYRPVPLSQQYIGITVKKPLQRFQLMNDICYEKVMAVAGKHQVLIFVHSRKETAKTARAVRDTALANDTLSRFLKEDAASREILQSHTDMVKSNDLKDLLPYGFAIHHAGLARTDRQIVEELFGDGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYSPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIITGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTVQNAREACNWIGYTYLYIRMLRNPTLYGLPADVLSRDLTLEERRADLIHSAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFRYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVYITQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVTKRMWNVQTPLRQFNGIPNEILMKLEKKDLAWDRYYDLSSQEIGELIRYPKMGRTLYRFIHQFPKLNLAAHVQPITRTVLRVELTITPDFQWEDKVHGYVEPFWVIIEDNDGEYILHHEYFLLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPISFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFAILRNHQKGPDSTLRVVYIAPLEAIAKERYRDWERKFGKGLGMRVVELTGELAMDLKLLEKGQVIISTPEKWDALSRRWKQRKFVQQVSLFIVDELHLIGGQGGPVLEVIVSRMRYIASQVEKKIRIVALSTSLANAKDLGEWIGASSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTFTAIVQHAKGGKPAIVYVPTRKHVRLTAVDLMSYSKVDNEDEPAFRLRSAEELKPFVDKISEETLRTTLEYGVGYLHEGLNSLDQEVVSQLFEAGWIQVCVMSSALCWGVPLSAHLVVVMGTQYYDGRENAHTDYPVTDLLQMMGHASRPLLDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNFNAEIVATVIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSELVENTLNDLEASKCITIEDDMDLSPLNLGMIASYYYISYTTIERFSSSLTSKTKMKGLLEILASASEYANLPIRPGEEEVLRRLINHQRFSFDNPRCTDPHVKANALLQAHFSRQHVGGNLSLDQREVLLFATRLLQAMVDVISSNGWLSLALLAMEVSQMVTQGMWERDSMLLQLPYFTKELAKRCQENPGKNIETIFDLVEMEDDERRELLQMSDLQLLDIAKFCNRFPNIDLSYEVIDSDNVRAGEYVTLQVTLERDLEGKTEVGPVDAPRYPKAKDEGWWLVVGDTNSNQLLAIKRVSLQRKAKVKLEFAAPTEATEKAYTLYFMCDSYLGCDQEYSFTVDVKEAARPGEDSGSE >KJB73065 pep chromosome:Graimondii2_0_v6:11:52331062:52338730:1 gene:B456_011G217300 transcript:KJB73065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLIQQQLGGQPLNIVSGAADEILAVLKNEGIKNPDKKKEIEKLLNPIPSQVFDQLVSIGKLITDYQDGGDGAGGAAANGDEGLDDDVGVAVEFEENEDEEEESDLDMVQEDEEDDDDGVENGSGAMQMGGGIDDDDMHEANEGMNLNVQDIDAYWLQRKISQAYDQQIDPQQCQKLAEEVLKILAEGDDREVETKLLVHLQFDKFSLIKYLLRNRLKVVWCTRLARAEDQEERKKIEEEMMSLGPDLAAILEQLHATRATAKERQKNLEKSIREEARRLKDESGGDGDRERRGYADRDAEGGWLKGQRQLLDLDSLAFEQGGLLMANKKCDLPVGSYRHHSKGYEEVHVPALKAKPLESNERLVKISEMPDWAQPAFKGMQQLNRVQSKVYETALFSADNILLCAPTGAGKTNVAVLTILQQLALNMDADGSINHGNYKIVYVAPMKALVAEVVGNLSHRLEAYGVTVRELSGDQTLTRQQIDETQIIVTTPEKWDIITRKSGDRTYTQLVKLIIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNFEDVALFLRVNFEKGLFHFDNSYRPVPLSQQYIGITVKKPLQRFQLMNDICYEKVMAVAGKHQVLIFVHSRKETAKTARAVRDTALANDTLSRFLKEDAASREILQSHTDMVKSNDLKDLLPYGFAIHHAGLARTDRQIVEELFGDGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYSPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIITGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTVQNAREACNWIGYTYLYIRMLRNPTLYGLPADVLSRDLTLEERRADLIHSAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFRYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVYITQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVTKRMWNVQTPLRQFNGIPNEILMKLEKKDLAWDRYYDLSSQEIGELIRYPKMGRTLYRFIHQFPKLNLAAHVQPITRTVLRVELTITPDFQWEDKVHGYVEPFWVIIEDNDGEYILHHEYFLLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPISFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFAILRNHQKGPDSTLRVVYIAPLEAIAKERYRDWERKFGKGLGMRVVELTGELAMDLKLLEKGQVIISTPEKWDALSRRWKQRKFVQQVSLFIVDELHLIGGQGGPVLEVIVSRMRYIASQVEKKIRIVALSTSLANAKDLGEWIGASSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTFTAIVQHAKGGKPAIVYVPTRKHVRLTAVDLMSYSKVDNEDEPAFRLRSAEELKPFVDKISEETLRTTLEYGVGYLHEGLNSLDQEVVSQLFEAGWIQVCVMSSALCWGVPLSAHLVVVMGTQYYDGRENAHTDYPVTDLLQMMGHASRPLLDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNFNAEIVATVIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSELVENTLNDLEASKCITIEDDMDLSPLNLGMIASYYYISYTTIERFSSSLTSKTKMKGLLEILASASEYANLPIRPGEEEVLRRLINHQRFSFDNPRCTDPHVKANALLQAHFSRQHVGGNLSLDQREVLLFATRLLQAMVDVISSNGWLSLALLAMEVSQMVTQGMWERDSMLLQLPYFTKELAKRCQENPGKNIETIFDLVEMEDDERRELLQMSDLQLLDIAKFCNRFPNIDLSYEVIDSDNVRAGEYVTLQVTLERDLEGKTEVGPVDAPRYPKAKDEGWWLVVGDTNSNQLLAIKRVSLQRKAKVKLEFAAPTEATEKAYTLYFMCDSYLGCDQEYSFTVDVKEAARPGEDSGSE >KJB73063 pep chromosome:Graimondii2_0_v6:11:52330942:52338804:1 gene:B456_011G217300 transcript:KJB73063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLWGKIDPRSFGDRVYKGRPPELDEKLKKSKKKKERDPLAEPVPVRQSKRRRLREESVLTVTEEGVYQPKTKETRAAYEAMLSLIQQQLGGQPLNIVSGAADEILAVLKNEGIKNPDKKKEIEKLLNPIPSQVFDQLVSIGKLITDYQDGGDGAGGAAANGDEGLDDDVGVAVEFEENEDEEEESDLDMVQEDEEDDDDGVENGSGAMQMGGGIDDDDMHEANEGMNLNVQDIDAYWLQRKISQAYDQQIDPQQCQKLAEEVLKILAEGDDREVETKLLVHLQFDKFSLIKYLLRNRLKVVWCTRLARAEDQEERKKIEEEMMSLGPDLAAILEQLHATRATAKERQKNLEKSIREEARRLKDESGGDGDRERRGYADRDAEGGWLKGQRQLLDLDSLAFEQGGLLMANKKCDLPVGSYRHHSKGYEEVHVPALKAKPLESNERLVKISEMPDWAQPAFKGMQQLNRVQSKVYETALFSADNILLCAPTGAGKTNVAVLTILQQLALNMDADGSINHGNYKIVYVAPMKALVAEVVGNLSHRLEAYGVTVRELSGDQTLTRQQIDETQIIVTTPEKWDIITRKSGDRTYTQLVKLIIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNFEDVALFLRVNFEKGLFHFDNSYRPVPLSQQYIGITVKKPLQRFQLMNDICYEKVMAVAGKHQVLIFVHSRKETAKTARAVRDTALANDTLSRFLKEDAASREILQSHTDMVKSNDLKDLLPYGFAIHHAGLARTDRQIVEELFGDGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYSPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIITGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTVQNAREACNWIGYTYLYIRMLRNPTLYGLPADVLSRDLTLEERRADLIHSAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFRYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVYITQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVTKRMWNVQTPLRQFNGIPNEILMKLEKKDLAWDRYYDLSSQEIGELIRYPKMGRTLYRFIHQFPKLNLAAHVQPITRTVLRVELTITPDFQWEDKVHGYVEPFWVIIEDNDGEYILHHEYFLLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPISFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFAILRNHQKGPDSTLRVVYIAPLEAIAKERYRDWERKFGKGLGMRVVELTGELAMDLKLLEKGQVIISTPEKWDALSRRWKQRKFVQQVSLFIVDELHLIGGQGGPVLEVIVSRMRYIASQVEKKIRIVALSTSLANAKDLGEWIGASSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTFTAIVQHAKGGKPAIVYVPTRKHVRLTAVDLMSYSKVDNEDEPAFRLRSAEELKPFVDKISEETLRTTLEYGVGYLHEGLNSLDQEVVSQLFEAGWIQVCVMSSALCWGVPLSAHLVVVMGTQYYDGRENAHTDYPVTDLLQMMGHASRPLLDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNFNAEIVATVIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSELVENTLNDLEASKCITIEDDMDLSPLNLGMIASYYYISYTTIERFSSSLTSKTKMKGLLEILASASEYANLPIRPGEEEVLRRLINHQRFSFDNPRCTDPHVKANALLQAHFSRQHVGGNLSLDQREVLLFATRLLQAMVDVISSNGWLSLALLAMEVSQMVTQGMWERDSMLLQLPYFTKELAKRCQENPGKNIETIFDLVEMEDDERRELLQMSDLQLLDIAKFCNRFPNIDLSYEVIDSDNVRAGEYVTLQVTLERDLEGKTEVGPVDAPRYPKAKDEGWWLVVGDTNSNQLLAIKRVSLQRKAKVKLEFAAPTEATEKAYTLYFMCDSYLGCDQEYSFTVDVKEAARPGEDSGSE >KJB70726 pep chromosome:Graimondii2_0_v6:11:9315238:9317037:-1 gene:B456_011G089000 transcript:KJB70726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLAPSLAKSEMLGNPLNFSVWAKSSAPTPSSSDTFKTVALFSKKKAAPPPKSKVASVSPANEELAKWYGPDRRIFLPEGLLNRSEIPEYLTGEVPGDYGYDPFGLSKKPEDFAKYQAFELIHARWAMLGAAGFIIPEAFNKFGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLIAAVIAEIVLVGGAEYYRIINGLVYIYIYICLPLYYYYE >KJB71501 pep chromosome:Graimondii2_0_v6:11:17927239:17929918:1 gene:B456_011G126000 transcript:KJB71501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPISLSLFTSVLLPKPLSCLFYVMNHLTLDAGNIGNVPLVLIAALCRDTSNPFGDTDTCTTQGTAYISFGQWVGAIILYTYVFNMLAPPVEGTFDLEDASLPLKIPQKDVSPEQAPLLVQEDASVDSDNSDTKGKIKSFLVFIYEKLKLKQILQPPIIASILAMILGAVPFLKKLIFTSDAPLYFLTDSCIILGEAMIPCILLALGGNLVEGPGPGSSRIGLKTLAAIIFGRLCLVPPAGLGIVMMADKLGFLPAGDKMFRFVLLLQHSMPTSVLSGAVANLRGCGKESAAVLFWVHIFAVFSMAGWIILYLYILF >KJB71500 pep chromosome:Graimondii2_0_v6:11:17926553:17929978:1 gene:B456_011G126000 transcript:KJB71500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERILSAVGGGGESLLGSIKIAVLPIAKVFTMCFLGFLMASKYVNILPANGRKLLNGLVFSLLLPCLIFSQLGQAITLQKMLEWWFIPVNVVLGSLVGSLIGFIVVTLVKPPYPYFKFSIVQIGIGNIGNVPLVLIAALCRDTSNPFGDTDTCTTQGTAYISFGQWVGAIILYTYVFNMLAPPVEGTFDLEDASLPLKIPQKDVSPEQAPLLVQEDASVDSDNSDTKGKIKSFLVFIYEKLKLKQILQPPIIASILAMILGAVPFLKKLIFTSDAPLYFLTDSCIILGEAMIPCILLALGGNLVEGPGPGSSRIGLKTLAAIIFGRLCLVPPAGLGIVMMADKLGFLPAGDKMFRFVLLLQHSMPTSVLSGAVANLRGCGKESAAVLFWVHIFAVFSMAGWIILYLYILF >KJB71502 pep chromosome:Graimondii2_0_v6:11:17927907:17929918:1 gene:B456_011G126000 transcript:KJB71502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIRMSFNHNIYQVGAIILYTYVFNMLAPPVEGTFDLEDASLPLKIPQKDVSPEQAPLLVQEDASVDSDNSDTKGKIKSFLVFIYEKLKLKQILQPPIIASILAMILGAVPFLKKLIFTSDAPLYFLTDSCIILGEAMIPCILLALGGNLVEGPGPGSSRIGLKTLAAIIFGRLCLVPPAGLGIVMMADKLGFLPAGDKMFRFVLLLQHSMPTSVLSGAVANLRGCGKESAAVLFWVHIFAVFSMAGWIILYLYILF >KJB71270 pep chromosome:Graimondii2_0_v6:11:14602570:14604090:-1 gene:B456_011G113900 transcript:KJB71270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVGILGILIAFICFLFLGCLKNSKDGQPINFPLVGMMPQLLLNVHRIHDWCTEILQMCHCTSLFMGPWFTQMNFLLTCDPANVHYVMISNFHNFPKGSDFMEIFEILGDGIFNADMDLWKYQRKVAQEFVRHQLFHRLLSTASRAKVENGLIPVLEHVAKRGLVVNLEDVLQRFTFDSTFILFTGNDPESLSVDFPEVPFSEALDDAEEALFYRLARPQSFIKLQKWLNMGQEHKYRKAWEVLDDVIAKCINQRRKELNQGLTKEADQVEGIDLLTSCITQEKATGLKCNDKFLRDTILNMMIAGRDTTSSALTWFIWLVSRHPKVEKKIIEELRSKIPEKETKKRRVLGAEEVKDLVYLHGALCEALRLYPPVPFQLKEPLKADILPSGHPVHPKMKIMFNLYSMGRMKSIWGEDSYEFKPERWITERGGIKYEASTKFLSFNAGPRICVGKKVAFVIMKTVASAIIYNYRINVLEETPVVPAASIILHTKDGLMARVSSRWD >KJB72030 pep chromosome:Graimondii2_0_v6:11:26565941:26569827:1 gene:B456_011G154100 transcript:KJB72030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQWYAKAVDKRLVSLDLSYQEDCYWRNDQNDVSFDYPTLKLEKPNFKILIKKMRSLRELYLDGVNISSPSSEWCETTSLSLPKLSVLSMSNCDLSGHFPAEFFLLPKMQRIDISGNSRLMGQLPEFPINNSLEVLSLRYTNFSGKLPESISNLKLLRVLILSKLGLNFNSSSGSIPASVANLSNLMELDLSHNNFNGSIPPFHRSGVPNLASLDLSWNHLSGSIPSSLFTFSTLQTLSLGYNNFSDYQLKLDSSCNLTEFPEFIKSQNKLTYLDLSNNRIHDTVISTFLAFLKSQESLIALDLSNNQISGAVPNWVWKKRLWFLYLSNNSLTSLDQFSSNQDSLQIPICNLSQLWVFNASFNKLSGSIPSCLGNINTLCILDLQQNNFSSSIPDFGGATLLSTLQLNDNKLEGKLPRSLANCTSLKILNLGNNTLHDTFPLWLGKLPRLMVLILRANRFYGPIKHLENNFPALDVLDITSNKFFGKLSIEFFQAIHKLRSLKINGNNLEGKLPKSLANCRNLEVLDLGKNMIHDTFPYWLVKLPLLKVLILRSNRFYGSIKFSGDGNAFPMLHILDLASNNFSGEVSIEFFQSLRGMMVIDGNKAKPSYVGDNFYYQDSMTLLNKGFEILYHKILTLLTCLDLSDNNFHGRILEEVQDLKSLHVLNFLTELESLDLSQNSLSRKIPLQLTSLTFLGVLNLSYNQLDGSISQRYQFGTFLNDSYIGNTRLCGVPLTKKCNEVGSQMLPQKEGEDSWIDGLSIWKVVLMGYGCGLVIVFSIGYTVLNEFGNKWIASFIRKWKRSR >KJB72814 pep chromosome:Graimondii2_0_v6:11:48001473:48003549:-1 gene:B456_011G198700 transcript:KJB72814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKRELDALLSDEALANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLTNSSVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >KJB73436 pep chromosome:Graimondii2_0_v6:11:55084237:55088954:-1 gene:B456_011G233100 transcript:KJB73436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYPVPVPVPVPRAESIHHKLYEFAKTALIKIFVHPYATVCDLYCGGGADAEKWDGAQISHYVGIDVVSSGINEVREAWESQRKSFTSEFFEADPCTDNLETLLQEKDIQADLVCCLQHLQLRFETEDKARRLLSNVSFLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSSSMKPNIVPNCIRSENYMITFEIEEEKFPLFGKKYQLKFSSDVAAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNKHDDECWSKSS >KJB73435 pep chromosome:Graimondii2_0_v6:11:55084237:55088501:-1 gene:B456_011G233100 transcript:KJB73435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIADVVSSGINEVREAWESQRKSFTSEFFEADPCTDNLETLLQEKDIQADLVCCLQHLQLRFETEDKARRLLSNVSFLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSSSMKPNIVPNCIRSENYMITFEIEEEKFPLFGKKYQLKFSSDVAAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNKSLFAGMMMNAGPNLLDPRGRLLPRSYDVLGLYTTFIFQKPDPDVVPPLATPLLPDSSYDNDEASISDINLLDQDTFFSFPKGP >KJB73437 pep chromosome:Graimondii2_0_v6:11:55084237:55089006:-1 gene:B456_011G233100 transcript:KJB73437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYPVPVPVPVPRAESIHHKLYEFAKTALIKIFVHPYATVCDLYCGGGADAEKWDGAQISHYVGIDVVSSGINEVREAWESQRKSFTSEFFEADPCTDNLETLLQEKDIQADLVCCLQHLQLRFETEDKARRLLSNVSFLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSSSMKPNIVPNCIRSENYMITFEIEEEKFPLFGKKYQLKFSSDVAAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNKSLFAGMMMNAGPNLLDPRGRLLPRSYDVLGLYTTFIFQKPDPDVVPPLATPLLPDSSYDNDESQWEGAGWGEDEMNGQAEQPPPPPPSAPAPAPTPAPPPPPHGLGKISEQKGILGPGPAELRFSEAL >KJB73116 pep chromosome:Graimondii2_0_v6:11:51838373:51840308:1 gene:B456_011G215800 transcript:KJB73116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHFFPLVFLLIFSLAQGLNPKCDIQDHGSNLQVFHVYSPCSPFKPSKPLSWEEDVLQTLAKDQARVQFLSSLVAKKSVVPIASGRQIVQSPTYIVKANIGTPPQPMLMAMDTSSDAAWVPCTGCIGCSSTAFDFAKSTTFKTLGCQAAQCKQVPNPTCGGSACEFNMTYGGSTIAGNLSQDTITLATDPIPNYTFGCLQKTAGSSVPPQGVLGLGRGPLSLLSQSQNLYQSTFSYCLPSIRSPNFSGSLRLGPSGQPVRIKYTPLLKNPRRPSLYYVNLIGIRVGRRIVDIPPSAIAFNPSTGAGTIIDSGNVSS >KJB73114 pep chromosome:Graimondii2_0_v6:11:51838297:51840313:1 gene:B456_011G215800 transcript:KJB73114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHFFPLVFLLIFSLAQGLNPKCDIQDHGSNLQVFHVYSPCSPFKPSKPLSWEEDVLQTLAKDQARVQFLSSLVAKKSVVPIASGRQIVQSPTYIVKANIGTPPQPMLMAMDTSSDAAWVPCTGCIGCSSTAFDFAKSTTFKTLGCQAAQCKQVPNPTCGGSACEFNMTYGGSTIAGNLSQDTITLATDPIPNYTFGCLQKTAGSSVPPQGVLGLGRGPLSLLSQSQNLYQSTFSYCLPSIRSPNFSGSLRLGPSGQPVRIKYTPLLKNPRRPSLYYVNLIGIRVGRRIVDIPPSAIAFNPSTGAGTIIDSGTVFTRLVEPAYVAVRDAFRRRVRVANVTSLGGFDTCYSVPINAPTITFMFSGMNVTLPQENLLLHSTAGSITCLAMASAPALNVIANMQQQNHRVVFDVPNSRMGVARERCS >KJB73115 pep chromosome:Graimondii2_0_v6:11:51838373:51840308:1 gene:B456_011G215800 transcript:KJB73115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFIIKLGSRYNMKHHRCFGASLTDTFLTFASCDFFQVPNPTCGGSACEFNMTYGGSTIAGNLSQDTITLATDPIPNYTFGCLQKTAGSSVPPQGVLGLGRGPLSLLSQSQNLYQSTFSYCLPSIRSPNFSGSLRLGPSGQPVRIKYTPLLKNPRRPSLYYVNLIGIRVGRRIVDIPPSAIAFNPSTGAGTIIDSGTVFTRLVEPAYVAVRDAFRRRVRVANVTSLGGFDTCYSVPINAPTITFMFSGMNVTLPQENLLLHSTAGSITCLAMASAPALNVIANMQQQNHRVVFDVPNSRMGVARERCS >KJB70268 pep chromosome:Graimondii2_0_v6:11:5577931:5578650:1 gene:B456_011G065800 transcript:KJB70268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIVYLLSLAFLLQTAFGADPLFHVCSNSGNFSAYNDPYEANLNVLTGYLSIQAPPSGFGLGSIGQNPNQAYGLALCRGDVSTPDCKTCAVEAGSEIRKRCPYDKGAIIWYDNCLFKYSNMEFFGHVDNQNKLYMWNLNNVSEPQSFNAKTKELLSELATQAYSNPKMYAAGEMELYGSKKLYGLTQCTRDLSSTECKKCLDGIIGELRSCCDGKEGGRVVGGSCNFRYEIYPFLNA >KJB73407 pep chromosome:Graimondii2_0_v6:11:54837939:54838184:-1 gene:B456_011G232000 transcript:KJB73407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLISSASVIPVGLVVGLASIGLGVGQGTAAGEAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFVNPCV >KJB71241 pep chromosome:Graimondii2_0_v6:11:13838278:13846238:-1 gene:B456_011G112200 transcript:KJB71241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQFVPSRRMDIYDPIQQIGMWGESFNANSNLHASVSMIAEMDNKISNESETASHEMLAPSNKYEQEATKRIDKIQRRLAQNREAARKSRLRKKAYVQQLENSRLKLIQLEQELVQMKQQGVYMGGGLVGGNLGFSAPVKSGIAAFETEYARWMDEQSKQICGLRAALNAEISDTDLQMLVETGMKHYSELFRMKSTAAKSDVFYVMSGMWKTSAEQFFSWIGGFRPSELLKVLLPQLDSLTEQQFFEVCNLKQSCQQAEDALSQGMEKLREIVSVTVADGQLGEGSYSSQVTTAMEKVEALVSFVNQADHIRQETLQQMSRILTIRQTARGLLALGEYFERLRALSSLWATCAREPA >KJB71237 pep chromosome:Graimondii2_0_v6:11:13838308:13844927:-1 gene:B456_011G112200 transcript:KJB71237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQFVPSRRMDIYDPIQQIGMWGESFNANSNLHASVSMIAEMDNKISNESETASHEMLAPSNKYEQEATKRIDKIQRRLAQNREAARKSRLRKKAYVQQLENSRLKLIQLEQELVQMKQQGVYMGGGLVGGNLGFSAPVKSGIAAFETEYARWMDEQSKQICGLRAALNAEISDTDLQMLVETGMKHYSELFRMKSTAAKSDVFYVMSGMWKTSAEQFFSWIGGFRPSELLKVLLPQLDSLTEQQFFEVCNLKQSCQQAEDALSQGMEKLREIVSVTVADGQLGEGSYSSQVTTAMEKVEALVSFVNQADHIRQETLQQMSRILTIRQTARGLLALGEYFERLRALSSLWATCAREPA >KJB71239 pep chromosome:Graimondii2_0_v6:11:13838308:13846218:-1 gene:B456_011G112200 transcript:KJB71239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQFVPSRRMDIYDPIQQIGMWGESFNANSNLHASVSMIAEMDNKISNESETASHEMLAPSNKYEQEATKRIDKIQRRLAQNREAARKSRLRKKAYVQQLENSRLKLIQLEQELVQMKQQGVYMGGGLVGGNLGFSAPVKSGIAAFETEYARWMDEQSKQICGLRAALNAEISDTDLQMLVETGMKHYSELFRMKSTAAKSDVFYVMSGMWKTSAEQFFSWIGGFRPSELLKVLLPQLDSLTEQQFFEVCNLKQSCQQAEDALSQGMEKLREIVSVTVADGQLGEGSYSSQVTTAMEKVEALVSFVNQADHIRQETLQQMSRILTIRQTARGLLALGEYFERLRALSSLWATCAREPA >KJB71238 pep chromosome:Graimondii2_0_v6:11:13839147:13843880:-1 gene:B456_011G112200 transcript:KJB71238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQFVPSRRMDIYDPIQQIGMWGESFNANSNLHASVSMIAEMDNKISNESETASHEMLAPSNKYEQEATKRIDKIQRRLAQNREAARKSRLRKKAYVQQLENSRLKLIQLEQELVQMKQQGVYMGGGLVGGNLGFSAPVKSGIAAFETEYARWMDEQSKQICGLRAALNAEISDTDLQMLVETGMKHYSELFRMKSTAAKSDVFYVMSGMWKTSAEQFFSWIGGFRPSELLKVLLPQLDSLTEQQFFEVCNLKQSCQQAEDALSQGMEKLREIVSVTVADGQLGEGSYSSQVTTAMEKVEALVSFVNQADHIRQETLQQMSRILTIRQTARGLLALGEYFERLRALSSLWATCAREPA >KJB71240 pep chromosome:Graimondii2_0_v6:11:13839147:13843880:-1 gene:B456_011G112200 transcript:KJB71240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQFVPSRRMDIYDPIQQIGMWGESFNANSNLHASVSMIAEMDNKISNESETASHEMLAPSNKYEQEATKRIDKIQRRLAQNREAARKSRLRKKAYVQQLENSRLKLIQLEQELVQMKQQGVYMGGGLVGGNLGFSAPVKSGIAAFETEYARWMDEQSKQICGLRAALNAEISDTDLQMLVETGMKHYSELFRMKSTAAKSDVFYVMSGMWKTSAEQFFSWIGGFRPSELLKVLLPQLDSLTEQQFFEVCNLKQSCQQAEDALSQGMEKLREIVSVTVADGQLGEGSYSSQVTTAMEKVEALVSFVNQADHIRQETLQQMSRILTIRQTARGLLALGEYFERLRALSSLWATCAREPA >KJB70977 pep chromosome:Graimondii2_0_v6:11:10852086:10858521:-1 gene:B456_011G097900 transcript:KJB70977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GRIP [Source:Projected from Arabidopsis thaliana (AT5G66030) UniProtKB/Swiss-Prot;Acc:Q8S2T0] MSGEGVEEVIQVPETREDESLKPEAQFSETNGDLSKENAELDANLSSDDAHDKLLQTVTELKFENEVIKSQLESFKNFQSENDVPSQQTNVSGKETKFSADVKELHDRIESLSRELNEEKQTRVAAEEALKHLREVYTEADAKAQDLSGKLAEAQKKLDQEIKEREEKYNELDSKFNRLHKRAKQRIQEVQKEKDDLEARLREVNETLEQASSQQSGLQQELERTRQQANEALKAMDAERQQLRSANNKLRDNIEELRRSMQPKEDAIEALQQSILEKDQMLEDLQGLLQLADEKRQASLAEAAAKHQKNIESLEAQLADALSDRSKATETISSMQVLLAEKESKIAEMDAASTGEAARLRAVVESIKGELAHLKHEHEKEKESWEAASLAFKTKLEVAESNCIRAEIEAAKMRSQLELEASLQTQMLSTREAELAAAKEEVSRLEREFSSYKIRAHALLQKKDAELAAAKESEQTKALEDALKEVERELSLVSAERDTVRQELQDILRNHDKEIAERDAALENTKQQIKSLESNLHSANARHQSEKAAWEIDLKNLEETWRYRCEALTAENEASSGEDTRKELEETKLQYKRLKEEHASLRDLADKMIEEKDKEISRLLDDNKNLQRSLESRQLVDHTENYNTATQKQDAPNLNTSAAEQQILLLARQQAQREEELAQSQRHILALQKLRSSNVKIVSTVNRKLC >KJB70975 pep chromosome:Graimondii2_0_v6:11:10852085:10858619:-1 gene:B456_011G097900 transcript:KJB70975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GRIP [Source:Projected from Arabidopsis thaliana (AT5G66030) UniProtKB/Swiss-Prot;Acc:Q8S2T0] MSGEGVEEVIQVPETREDESLKPEAQFSETNGDLSKENAELDANLSSDDAHDKLLQTVTELKFENEVIKSQLESFKNFQSENDVPSQQTNVSGKETKFSADVKELHDRIESLSRELNEEKQTRVAAEEALKHLREVYTEADAKAQDLSGKLAEAQKKLDQEIKEREEKYNELDSKFNRLHKRAKQRIQEVQKEKDDLEARLREVNETLEQASSQQSGLQQELERTRQQANEALKAMDAERQQLRSANNKLRDNIEELRRSMQPKEDAIEALQQSILEKDQMLEDLQGLLQLADEKRQASLAEAAAKHQKNIESLEAQLADALSDRSKATETISSMQVLLAEKESKIAEMDAASTGEAARLRAVVESIKGELAHLKHEHEKEKESWEAASLAFKTKLEVAESNCIRAEIEAAKMRSQLELEASLQTQMLSTREAELAAAKEEVSRLEREFSSYKIRAHALLQKKDAELAAAKESEQTKALEDALKEVERELSLVSAERDTVRQELQDILRNHDKEIAERDAALENTKQQIKSLESNLHSANARHQSEKAAWEIDLKNLEETWRYRCEALTAENEASSGEDTRKELEETKLQYKRLKEEHASLRDLADKMIEEKDKEISRLLDDNKNLQRSLESRQLVDHTENYNTATQKQDAPNLNTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQEAMLKEELRNMERSKRREGVDMTYLKNVIIKLLETGEVEALLPVVGMLLQFSPEEMQKCQQAYRTYTDVPSSPANEASGGPTLSLFSRFSFS >KJB70976 pep chromosome:Graimondii2_0_v6:11:10852086:10858358:-1 gene:B456_011G097900 transcript:KJB70976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GRIP [Source:Projected from Arabidopsis thaliana (AT5G66030) UniProtKB/Swiss-Prot;Acc:Q8S2T0] MSGEGVEEVIQVPETREDESLKPEAQFSETNGDLSKENAELDANLSSDDAHDKLLQTVTELKFENEVIKSQLESFKNFQSENDVPSQQTNVSGKETKFSADVKELHDRIESLSRELNEEKQTRVAAEEALKHLREVYTEADAKAQDLSGKLAEAQKKLDQEIKEREEKYNELDSKFNRLHKRAKQRIQEVQKEKDDLEARLREVNETLEQASSQQSGLQQELERTRQQANEALKAMDAERQQLRSANNKLRDNIEELRRSMQPKEDAIEALQQSILEKDQMLEDLQGLLQLADEKRQASLAEAAAKHQKNIESLEAQLADALSDRSKATETISSMQVLLAEKESKIAEMDAASTGEAARLRAVVESIKGELAHLKHEHEKEKESWEAASLAFKTKLEVAESNCIRAEIEAAKMRSQLELEASLQTQMLSTREAELAAAKEEVSRLEREFSSYKIRAHALLQKKDAELAAAKESEQTKALEDALKEVERELSLVSAERDTVRQELQDILRNHDKEIAERDAALENTKQQIKSLESNLHSANARHQSEKAAWEIDLKNLEETWRYRCEALTAENEASSGEDTRKELEETKLQYKRLKEEHASLRDLADKMIEEKDKEISRLLDDNKNLQRSLESRQLVDHTENYNTATQKQDAPNLNTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQEAMLKEELRNMERSKRREGVDMTYLKNVIIKLLETGEVEALLPVVGMLLQFSPEEMQKCQQAYRTYTDVPSSPANEASGGPTLSLFSRFSFS >KJB73959 pep chromosome:Graimondii2_0_v6:11:59505067:59506370:1 gene:B456_011G263800 transcript:KJB73959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVTKCLQMRNFCQGDLSTPRLEQMHLTRDEEGELQWKGDLNTTIKHMFDEMNVQNSEVTEVTDRSPKLE >KJB73713 pep chromosome:Graimondii2_0_v6:11:57190434:57194956:-1 gene:B456_011G245700 transcript:KJB73713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYNLTLQQATGIVSAINGNFSGGKIQEIVVARGKILSLLRPDDLGKLQTLHSVEIFGCIRSLAQFRLTGAQKDYIVVGSDSGRIVILEYNKEKNVFDKVHQETFGKSGCRRIVPGQYLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTIVYSICGVDCGFDNPIFAAIELDYSEADQDSTGVAAGEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPEERGVLIVSAATHKQKSMFFFLLQTEYGDIFKVTLEHGNEGVSELKIKYFDTIPVTSSMCVLKTGFLFAASEFGNHALYQFQAIGDDPDVESSSSTLMETEEGFQPVFFQPRGLKNLVRIDQAESLMPIMDMKIANLFEEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDAFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIVKVGSNGLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQVLSVQSVSSPPESLLFLEVKASVGGEDGADHPANLFLNAGLQNGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFSVKVRGRPAMLCLSSRPWLGYIHQGHFLLTPLSYETLEFAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNETAIPLRYTPRRFVLQPKRKLLVIIESDQGSYTAEEREAARKECFEAAGMGENGNGNMNQMENGGDDEDKEDPLSDEQYGYPKAESNKWVSCIRVLDPRTASTTCLLELQDNEAAFSVCTVNFHDKEYGTLLAVGTAKGLQFWPKRSLTAGFIHIYRFLEDGRSLELLHKTQVEGVPLALCQFQGRLLAGIGSVLRLYDLGKRRLLRKCENKLFPNTIISIQTYRDRIYVGDIQEVWFFKFSWILVSLARFFENYFYYCYACLLFCCLTCIWIL >KJB73711 pep chromosome:Graimondii2_0_v6:11:57190434:57194956:-1 gene:B456_011G245700 transcript:KJB73711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYNLTLQQATGIVSAINGNFSGGKIQEIVVARGKILSLLRPDDLGKLQTLHSVEIFGCIRSLAQFRLTGAQKDYIVVGSDSGRIVILEYNKEKNVFDKVHQETFGKSGCRRIVPGQYLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTIVYSICGVDCGFDNPIFAAIELDYSEADQDSTGVAAGEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPEERGVLIVSAATHKQKSMFFFLLQTEYGDIFKVTLEHGNEGVSELKIKYFDTIPVTSSMCVLKTGFLFAASEFGNHALYQFQAIGDDPDVESSSSTLMETEEGFQPVFFQPRGLKNLVRIDQAESLMPIMDMKIANLFEEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDAFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIVKVGSNGLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQVLSVQSVSSPPESLLFLEVKASVGGEDGADHPANLFLNAGLQNGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFSVKVRGRPAMLCLSSRPWLGYIHQGHFLLTPLSYETLEFAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNETAIPLRYTPRRFVLQPKRKLLVIIESDQGSYTAEEREAARKECFEAAGMGENGNGNMNQMENGGDDEDKEDPLSDEQYGYPKAESNKWVSCIRVLDPRTASTTCLLELQDNEAAFSVCTVNFHDKEYGTLLAVGTAKGLQFWPKRSLTAGFIHIYRFLEDGRSLELLHKTQVEGVPLALCQFQGRLLAGIGSVLRLYDLGKRRLLRKCENKLFPNTIISIQTYRDRIYVGDIQESFHFCKYRRDENQLYIFADDVVPRWLTASYHIDFDTMAGADKFGNVYFVRLPQDVSDEIEEDPTGGKIKWEQGRLNGAPNKVEEIVQFHVGDVVTSLQKASLIPGGGECVLYGTVMGSLGALLPFTSRDDVDFFSHLEMHMRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPLDLQRKIADELDRTPGEILKKLEEARNKII >KJB73714 pep chromosome:Graimondii2_0_v6:11:57190403:57195127:-1 gene:B456_011G245700 transcript:KJB73714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYNLTLQQATGIVSAINGNFSGGKIQEIVVARGKILSLLRPDDLGKLQTLHSVEIFGCIRSLAQFRLTGAQKDYIVVGSDSGRIVILEYNKEKNVFDKVHQETFGKSGCRRIVPGQYLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTIVYSICGVDCGFDNPIFAAIELDYSEADQDSTGVAAGEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPEERGVLIVSAATHKQKSMFFFLLQTEYGDIFKVTLEHGNEGVSELKIKYFDTIPVTSSMCVLKTGFLFAASEFGNHALYQFQAIGDDPDVESSSSTLMETEEGFQPVFFQPRGLKNLVRIDQAESLMPIMDMKIANLFEEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDAFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIVKVGSNGLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQVLSVQSVSSPPESLLFLEVKASVGGEDGADHPANLFLNAGLQNGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFSVKVRGRPAMLCLSSRPWLGYIHQGHFLLTPLSYETLEFAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNETAIPLRYTPRRFVLQPKRKLLVIIESDQGSYTAEEREAARKECFEAAGMGENGNGNMNQMENGGDDEDKEDPLSDEQYGYPKAESNKWVSCIRVLDPRTASTTCLLELQDNEAAFSVCTVNFHDKEYGTLLAVGTAKGLQFWPKRSLTAGFIHIYRFLEDGRSLELLHKTQVEGVPLALCQFQGRLLAGIGSVLRLYDLGKRRLLRKCENKLFPNTIISIQTYRDRIYVGDIQESFHFCKYRRDENQLYIFADDVVPRWLTASYHIDFDTMAGADKFGNVYFVRLPQDVSDEIEEDPTGGKIKWEQGRLNGAPNKVEEIVQFHVGDVVTSLQKASLIPGGGECVLYGTVMGSLGALLPFTSRDDVDFFSHLEMHMRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPLDLQRKIADELDRTPGEILKKLEEARNKII >KJB73712 pep chromosome:Graimondii2_0_v6:11:57190434:57194956:-1 gene:B456_011G245700 transcript:KJB73712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYNLTLQQATGIVSAINGNFSGGKIQEIVVARGKILSLLRPDDLGKLQTLHSVEIFGCIRSLAQFRLTGAQKDYIVVGSDSGRIVILEYNKEKNVFDKVHQETFGKSGCRRIVPGQYLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTIVYSICGVDCGFDNPIFAAIELDYSEADQDSTGVAAGEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPEERGVLIVSAATHKQKSMFFFLLQTEYGDIFKVTLEHGNEGVSELKIKYFDTIPVTSSMCVLKTGFLFAASEFGNHALYQFQAIGDDPDVESSSSTLMETEEGFQPVFFQPRGLKNLVRIDQAESLMPIMDMKIANLFEEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDAFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIVKVGSNGLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQVLSVQSVSSPPESLLFLEVKASVGGEDGADHPANLFLNAGLQNGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFSVKVRGRPAMLCLSSRPWLGYIHQGHFLLTPLSYETLEFAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNETAIPLRYTPRRFVLQPKRKLLVIIESDQGSYTAEEREAARKECFEAAGMGENGNGNMNQMENGGDDEDKEDPLSDEQYGYPKAESNKWVSCIRVLDPRTASTTCLLELQDNEAAFSVCTVNFHDKEYGTLLAVGTAKGLQFWPKRSLTAGFIHIYRFLEDGRSLELLHKTQVEGVPLALCQFQGRLLAGIGSVLRLYDLGKRRLLRKCENKLFPNTIISIQTYRDRIYVGDIQESFHFCKYRRDENQLYIFADDVVPRWLTASYHIDFDTMAGADKFGNVYFVRLPQDVSDEIEEDPTGGKIKWEQGRLNGAPNKVEEIVQFHVGDVVTSLQKASLIPGGGECVLYGTVMGSLGALLPFTSRDDVDFFSHLEMHMRQEHPPLCGRDHMAYRSAYFPVKVNK >KJB71705 pep chromosome:Graimondii2_0_v6:11:21177035:21181167:1 gene:B456_011G138000 transcript:KJB71705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNFLSYLGGFSSLRTLCLQGNNLQGTLHLQDFYNLKKLDLSQNRIESLQSSYGSGRHLKLISLEEIDLSSNLFNNNIFAELSGFLNLKSLNIRWNQLNGSIDVKEFCGWSNLESLDLSENEVNQFMTYEETRCLQKLKVLYLDAVSTDGNTSLVSLLEAFPFVKTLFLRDNCFLNKTMVNTQLHVLRNVENLIMDYTPLHINFLESIGILTSLKALSLFNCGLTGTLPAHGGLCFLNHLEELNLKGNDLAGAIASCLVNLTFLRYLDISNNHFTGNIASTPLTNLTMLQFLSFSKNQFQVPVSFRSFANHSNLKALLANENKLVAEPAGFQTWLPKFQLKIFSLLNCTIEEHGKLQLPNFLYFQHDLRYVDLSYCNFGEIRFPHWLLQNNTRLQELYMIDSSIAGPLTLSPHPNLKLSVLDISNNRIQHEIPRNFCSLFPYVEFLIMSKNAFKTTIPLCFSRMQRLERLDLSHNNLFGGIPEELATSTSLLRIRLSNNSLSGKIIPAIYHLIGSLEALYLDGNKFEGDISDVSTISSKSLQVLDLSNNRFSGKLPRWLWNHTDLWVLDLSKNRFEGLIPMELCNLVSLEFLDLSLNHLSGTIPSCSNLQMMKHVHLANNRLSGTLSKALCKSSSLVTLDFSENNLTGRIPGWISTLPALSVLLLKANQFHGEFPLHLCRLQSLSILDLSQNKLSGHVPSCLSNLTFKPKSEKSHINSANYGFGSFDHVLVDMGLTIYNLTKDYMGSVFPLRKYDHFTFAEEKIEFSTKGATYTYKGNILDLLSAIDLSCNQLTGIIPPGLGNLSEIRGLNLSHNNLTGPIPSTFSKLKQIESLDLSFNHLNGRIPPQLTEMDALEVFNVTHNNLSGPLPDRKAQFGTFDESSYEGNPLLCGLPLNKSCNHDDSRGTSSALASEEEHGLLGMGDFYISFAVSYAIMFLTTIIVLYINSYWRQACYYFIKDGSITCYFFVVDSLYRLPCFRRNI >KJB71706 pep chromosome:Graimondii2_0_v6:11:21177035:21181167:1 gene:B456_011G138000 transcript:KJB71706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSKLRHLQVLNLTGNHLNDSILSSLSKVSSLKSLSLAGNDLFTGSNRTNEIKLLSRLNSLEILDLSRTNMTNNFLSYLGGFSSLRTLCLQGNNLQGTLHLQDFYNLKKLDLSQNRIESLQSSYGSGRHLKLISLEEIDLSSNLFNNNIFAELSGFLNLKSLNIRWNQLNGSIDVKEFCGWSNLESLDLSENEVNQFMTYEETRCLQKLKVLYLDAVSTDGNTSLVSLLEAFPFVKTLFLRDNCFLNKTMVNTQLHVLRNVENLIMDYTPLHINFLESIGILTSLKALSLFNCGLTGTLPAHGGLCFLNHLEELNLKGNDLAGAIASCLVNLTFLRYLDISNNHFTGNIASTPLTNLTMLQFLSFSKNQFQVPVSFRSFANHSNLKALLANENKLVAEPAGFQTWLPKFQLKIFSLLNCTIEEHGKLQLPNFLYFQHDLRYVDLSYCNFGEIRFPHWLLQNNTRLQELYMIDSSIAGPLTLSPHPNLKLSVLDISNNRIQHEIPRNFCSLFPYVEFLIMSKNAFKTTIPLCFSRMQRLERLDLSHNNLFGGIPEELATSTSLLRIRLSNNSLSGKIIPAIYHLIGSLEALYLDGNKFEGDISDVSTISSKSLQVLDLSNNRFSGKLPRWLWNHTDLWVLDLSKNRFEGLIPMELCNLVSLEFLDLSLNHLSGTIPSCSNLQMMKHVHLANNRLSGTLSKALCKSSSLVTLDFSENNLTGRIPGWISTLPALSVLLLKANQFHGEFPLHLCRLQSLSILDLSQNKLSGHVPSCLSNLTFKPKSEKSHINSANYGFGSFDHVLVDMGLTIYNLTKDYMGSVFPLRKYDHFTFAEEKIEFSTKGATYTYKGNILDLLSAIDLSCNQLTGIIPPGLGNLSEIRGLNLSHNNLTGPIPSTFSKLKQIESLDLSFNHLNGRIPPQLTEMDALEVFNVTHNNLSGPLPDRKAQFGTFDESSYEGNPLLCGLPLNKSCNHDDSRGTSSALASEEEHGLLGMGDFYISFAVSYAIMFLTTIIVLYINSYWRQACYYFIKDGSITCYFFVVDSLYRLPCFRRNI >KJB71897 pep chromosome:Graimondii2_0_v6:11:23646201:23647760:-1 gene:B456_011G146400 transcript:KJB71897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKHRKPSVVEFNKLLGAIVRMKHYAIAVSMYSQMELLGVSYDIYSFNILINCFCQLGYIDFGSSVLGKMMKVGVQPDVVTLSTLINGLCKRSKISEALSLFDEMMEKGYRPNLIVYTTVLNGLCKTWNTDRALRFLRMMEERGFKPNIVAYSTVIDCFCKKGLLSEALDLFSKMKVKGIRPNIVIYNCLIHGVCNLGQQKEATRLLNEMAGNNISLDIVTYNILIDAHCKKRMISEAVDTVDAMRKQGIEPNVVTYSILVDAHCKEGMVSKAEDIVDTMRKKGIEPDVVTYNALINGHCLQNKMDEARRVFQLMSKKGCAPAIRSYNIMINGYCKAKRVDEAMELFHEISQKGPTPDIVTYSILMQGMCELGRLSSALKLFRAMLKSRLELDIVSYTILIDGFCTAGRIEVAKELFLQLSVNGLKPDVYTYGIMINGFCKKGLPDEAYQWFRSMGNNDFLPDSCCYNVMIQGFFRNSYTSEATQLLVEMVSMGFSADLCTATLFVDLILQSNKSILI >KJB71896 pep chromosome:Graimondii2_0_v6:11:23645984:23648172:-1 gene:B456_011G146400 transcript:KJB71896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEERGFKPNIVAYSTVIDCFCKKGLLSEALDLFSKMKVKGIRPNIVIYNCLIHGVCNLGQQKEATRLLNEMAGNNISLDIVTYNILIDAHCKKRMISEAVDTVDAMRKQGIEPNVVTYSILVDAHCKEGMVSKAEDIVDTMRKKGIEPDVVTYNALINGHCLQNKMDEARRVFQLMSKKGCAPAIRSYNIMINGYCKAKRVDEAMELFHEISQKGPTPDIVTYSILMQGMCELGRLSSALKLFRAMLKSRLELDIVSYTILIDGFCTAGRIEVAKELFLQLSVNGLKPDVYTYGIMINGFCKKGLPDEAYQWFRSMGNNDFLPDSCCYNVMIQGFFRNSYTSEATQLLVEMVSMGFSADLCTATLFVDLILQSNKSILI >KJB72622 pep chromosome:Graimondii2_0_v6:11:44722221:44723378:1 gene:B456_011G187800 transcript:KJB72622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSSSPKPLGKPNIQRFIRRVISPAIVDKTCPICLRTIDVPGAAVLTVCFHAYCLDCIHKWSDLKRNCPLCNSTFDSWFYKIDLSSLRYLKQQLPAISDCKSVIPRPWSTVADRRRIIERTRREMNDVNRRTRPLPWRRSFGRPGTMSPHVIAERKLQWRASVYNRRLQAVPIYHGNGFQQNVPRIRNDFEKEKLLRRIEPWIQRELEAILGDPDPSIILHVVSSLLFSRHEGKHDGSSASSTQLSFVNDNFLAPLEPFLHDRTNMFWHELR >KJB72623 pep chromosome:Graimondii2_0_v6:11:44722085:44723869:1 gene:B456_011G187800 transcript:KJB72623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSSSPKPLGKPNIQRFIRRVISPAIVDKTCPICLRTIDVPGAAVLTVCFHAYCLDCIHKWSDLKRNCPLCNSTFDSWFYKIDLSSLRYLKQQLPAISDCKSVIPRPWSTVADRRRIIERTRREMNDVNRRTRPLPWRRSFGRPGTMSPHVIAERKLQWRASVYNRRLQAVPIYHGNGFQQNVPRIRNDFEKEKLLRRIEPWIQRELEAILGDPDPSIILHVVSSLLFSRHEGKHDGSSASSTQLSFVNDNFLAPLEPFLHDRTNMFWHELRCFVDSPFTMETYDAVAEYRQLK >KJB72621 pep chromosome:Graimondii2_0_v6:11:44722123:44723869:1 gene:B456_011G187800 transcript:KJB72621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSSSPKPLGKPNIQRFIRRVISPAIVDKTCPICLRTIDVPGAAVLTVCFHAYCLDCIHKWSDLKRNCPLCNSTFDSWFYKIDLSSLRYLKQQLPAISDCKSVIPRPWSTVADRRRIIERTRREMNDVNRRTRPLPWRRSFGRPGTMSPHVIAERKLQWRASVYNRRLQAVPIYHGNGFQQNVPRIRNDFEKEKLLRRIEPWIQRELEAILGDPDPSIILHVVSSLLFSRHEGKHDGSSASSTQLSFVNDNFLAPLEPFLHDRTNMFWHELRCFVDSPFTMETYDAVAEYRQLK >KJB72624 pep chromosome:Graimondii2_0_v6:11:44722123:44723869:1 gene:B456_011G187800 transcript:KJB72624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSSSPKPLGKPNIQRFIRRVISPAIVDKTCPICLRTIDVPGAAVLTVCFHAYCLDCIHKWSDLKRNCPLCNSTFDSWFYKIDLSSLRYLKQQLPAISDCKSVIPRPWSTVADRRRIIERTRREMNDVNRRTRPLPWRRSFGRPGTMSPHVIAERKLQWRASVYNRRLQAVPIYHGNGFQQNVPRIRNDFEKEKLLRRIEPWIQRELEAILGDPDPSIILHVVSSLLFSRHEGKHDGSSASSTQLSFVNDNFLAPLEPFLHDRTNMFWHELR >KJB69662 pep chromosome:Graimondii2_0_v6:11:2696118:2698315:-1 gene:B456_011G035800 transcript:KJB69662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLGTYFLIFAGCAAVVVNVNNEKVVSLPGISMVWGLAVMVLVYSLGHISGAHFNPAVTIAFATCKRFPLKQVPAYVFAQVIGSTLAAGTLRLLFSGPHDVFAGTSPQGSDLQAFGIEFIITFYLMFIISGVATDNRAIGELAGLAIGATVLINVMFAGPITGASMNPARSLGPAIVSNHYKGIWIYLMSPTLGAVSGAWVYNMVRYTDKPLREITKSASFLKSPRNSG >KJB69661 pep chromosome:Graimondii2_0_v6:11:2697129:2698223:-1 gene:B456_011G035800 transcript:KJB69661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISGCNGNHEVVLNVNGETSHPPPPTSSAPKRKDSDLGFSVPFIQKLMAEVLGTYFLIFAGCAAVVVNVNNEKVVSLPGISMVWGLAVMVLVYSLGHISGAHFNPAVTIAFATCKRFPLKQVPAYVFAQVIGSTLAAGTLRLLFSGPHDVFAGTSPQGSDLQAFGIEFIITFYLMFIISGVATDNRAVNWRTCWTCHWCHCAH >KJB69660 pep chromosome:Graimondii2_0_v6:11:2696104:2698437:-1 gene:B456_011G035800 transcript:KJB69660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISGCNGNHEVVLNVNGETSHPPPPTSSAPKRKDSDLGFSVPFIQKLMAEVLGTYFLIFAGCAAVVVNVNNEKVVSLPGISMVWGLAVMVLVYSLGHISGAHFNPAVTIAFATCKRFPLKQVPAYVFAQVIGSTLAAGTLRLLFSGPHDVFAGTSPQGSDLQAFGIEFIITFYLMFIISGVATDNRAIGELAGLAIGATVLINVMFAGPITGASMNPARSLGPAIVSNHYKGIWIYLMSPTLGAVSGAWVYNMVRYTDKPLREITKSASFLKSPRNSG >KJB70985 pep chromosome:Graimondii2_0_v6:11:10936508:10937466:1 gene:B456_011G098400 transcript:KJB70985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSQRHKAKKKSKLYQSKVDKSIQPTTPRTKHRPDSGLLYKQTQSSPRKPKAKTESPQSSECTSEIESEKRATHEATTQISAPSDQPTKNETH >KJB74378 pep chromosome:Graimondii2_0_v6:11:62257481:62263043:1 gene:B456_011G291300 transcript:KJB74378 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56040) UniProtKB/Swiss-Prot;Acc:F4IY62] MAATTTITPCSSSILLHNNNKTNPFFHSLNPKKPSLLFHPFPTLSFSSSLSSSTPPPPLCAPRVSTATIEYAPPAPDSNFHHEISRLKALRLKLSSSTTLKQKLKILDSDAKVKFFLNTHGYKKVLSSLGLGLNELFLVKSMVAAGQDHVFDMGFGFEDREMSSVKTALYSLAKIIEKWDFSNGGNGNGGRENQNGGVLVGEDTQQDFNNLLKVLGEVEEFYDCIGGIIGYQIMVLELLAQSSFETQSINNSNHLHESMECQFLEIHPPIGCDLSSNTEYASQAALWGIEGLPDLGEIYPLGGSADRLGLVDSETGECLPAAMLPYCGRTLLEGLVRDLQAREFLYFKLYGKQCITPVAIMTSSAKNNHEHITSLCEKLGWFGRGRSSFRLFEQPLVPAVSAEDGQWLVRKPFVPVCKPGGHGVIWKLAYDKGVFQWFYDHGRKGATVRQVSNVVAATDVTLLALAGIGLHHGKKLGFASCKRNMGATEGINVLIEKNLDGEWAYGLSCIEYTEFDKFGITSGHHSPNSLQAEFPANTNILYVDLPSVELVASSRSERSLPGMVLNTKKSIVYTDYFGNRHSVPGGRLECTMQNIADNFLNTYPSRCFKGVEDNLDTFIVYNERRRVTSSAKKKRKPSDTSLHQTPEGSLLDIMRNAYDLLSWCDIDLPEVESNDKYVDSRPPFLIFLHPALGPVWEVTRQKFSGGSISKGSELQVEVAEFLWRNIQLDGSMIIVAENIMGSTRIDDKGELILQHGHRCGRCKLCNVTVLNDGVDWTSGDNVYWKHDIRRSEALKVILHGNAEFEAYNVIIQGNHVFEVPDGYKMKITAGDSGLVVQMDLIPQNLMDNGSWFWKYDTKGSHILLELVEL >KJB74377 pep chromosome:Graimondii2_0_v6:11:62257481:62263043:1 gene:B456_011G291300 transcript:KJB74377 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56040) UniProtKB/Swiss-Prot;Acc:F4IY62] MAATTTITPCSSSILLHNNNKTNPFFHSLNPKKPSLLFHPFPTLSFSSSLSSSTPPPPLCAPRVSTATIEYAPPAPDSNFHHEISRLKALRLKLSSSTTLKQKLKILDSDAKVKFFLNTHGYKKVLSSLGLGLNELFLVKSMVAAGQDHVFDMGFGFEDREMSSVKTALYSLAKIIEKWDFSNGGNGNGGRENQNGGVLVGEDTQQDFNNLLKVLGEVEEFYDCIGGIIGYQIMVLELLAQSSFETQSINNSNHLHESMECQFLEIHPPIGCDLSSNTEYASQAALWGIEGLPDLGEIYPLGGSADRLGLVDSETGECLPAAMLPYCGRTLLEGLVRDLQAREFLYFKLYGKQCITPVAIMTSSAKNNHEHITSLCEKLGWFGRGRSSFRLFEQPLVPAVSAEDGQWLVRKPFVPVCKPGGHGVIWKLAYDKGVFQWFYDHGRKGATVRQVSNVVAATDVTLLALAGIGLHHGKKLGFASCKRNMGATEGINVLIEKNLDGEWAYGLSCIEYTEFDKFGITSGHHSPNSLQAEFPANTNILYVDLPSVELVASSRSERSLPGMVLNTKKSIVYTDYFGNRHSVPGGRLECTMQNIADNFLNTYPSRCFKGVEDNLDTFIVYNERRRVTSSAKKKRKPSDTSLHQTPEGSLLDIMRNAYDLLSWCDIDLPEVESNDKYVDSRPPFLIFLHPALGPVWEVTRQKFSGGSISKGSELQVEVAEFLWRNIQLDGSMIIVAENIMGSTRIDDKGVEDVSYVT >KJB74379 pep chromosome:Graimondii2_0_v6:11:62257587:62262695:1 gene:B456_011G291300 transcript:KJB74379 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56040) UniProtKB/Swiss-Prot;Acc:F4IY62] MAATTTITPCSSSILLHNNNKTNPFFHSLNPKKPSLLFHPFPTLSFSSSLSSSTPPPPLCAPRVSTATIEYAPPAPDSNFHHEISRLKALRLKLSSSTTLKQKLKILDSDAKVKFFLNTHGYKKVLSSLGLGLNELFLVKSMVAAGQDHVFDMGFGFEDREMSSVKTALYSLAKIIEKWDFSNGGNGNGGRENQNGGVLVGEDTQQDFNNLLKVLGEVEEFYDCIGGIIGYQIMVLELLAQSSFETQSINNSNHLHESMECQFLEIHPPIGCDLSSNTEYASQAALWGIEGLPDLGEIYPLGGSADRLGLVDSETGECLPAAMLPYCGRTLLEGLVRDLQAREFLYFKLYGKQCITPVAIMTSSAKNNHEHITSLCEKLGWFGRGRSSFRLFEQPLVPAVSAEDGQWLVRKPFVPVCKPGGHGVIWKLAYDKGVFQWFYDHGRKGATVRQVSNVVAATDVTLLALAGIGLHHGKKLGFASCKRNMGATEGINVLIEKNLDGEWAYGLSCIEYTEFDKFGITSGHHSPNSLQAEFPANTNILYVDLPSVELVASSRSERSLPGMVLNTKKSIVYTDYFGNRHSVPGGRLECTMQNIADNFLNTYPSRCFKGVEDNLDTFIVYNERRRVTSSAKKKRKPSDTSLHQTPEGSLLDIMRNAYDLLSWCDIDLPEVESNDKYVDSRPPFLIFLHPALGPVWEVTRQKFSGGSISKGSELQVEVAEFLWRNIQLDGSMIIVAENIMGSTRIDDKGELILQHGHRCGRCKLCNVTVLNDGVDWTSGDNVYWKHDIRRSEALKVILHGNAEFEAYNVIIQVKSDNHQTI >KJB72944 pep chromosome:Graimondii2_0_v6:11:49717913:49721214:1 gene:B456_011G205600 transcript:KJB72944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-activated RelA/spot-like protein [Source:Projected from Arabidopsis thaliana (AT3G17470) UniProtKB/TrEMBL;Acc:A0A1I9LR76] MVAITSVEALFPKLKVGECVPKSHLLPLSSSIPQQQRPFICRAEASASNASTATATALEQSGGGKMVVELVGAFNQLTQEINVLSSSSSRLLFKSLKLSIPILQALPHAVDGRPLLSKALSLALLLAHLQMDAEVISAGLLIQVVEAGAISIYQVRDRIGIGTAHLLHESLRLKNIPSKVDVLDDDSAAALRKFCLTYYDIRALILDLAVKLDTMRHLDYLPRYQQQMLSLEVLKIYSPLAHAVGTNYLSLELEDLSFRYLFPYSYLYVDTWLRSYKTGNKSLIDIYKEELLQALKADPILADMVNGISVKGRYKSRYSTMKKLLRDGRKPEEVNDVLGLRVILNPRSGIDMSQVGERACYRTHEIVQSLWKEMPHRTKDYIARPKANGYKSLHMAVDVSDNGTPRPLMEIQIRTTEMDMLATGGTAAHSLYKGGLTDPEEAKRLKTIMIAAAELAALRLKDFPSTIHKGIEFGQGDEVFRLLDKNGDGKISIEELMEVMEELGASGEDAREMMQLLDSNSDGSLSTDEFDLFQKQVEFMRNLEDKDVKYKAMLNDKLQLADNSGLIQVYSKEFGDRLAN >KJB72943 pep chromosome:Graimondii2_0_v6:11:49717913:49721461:1 gene:B456_011G205600 transcript:KJB72943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-activated RelA/spot-like protein [Source:Projected from Arabidopsis thaliana (AT3G17470) UniProtKB/TrEMBL;Acc:A0A1I9LR76] MVAITSVEALFPKLKVGECVPKSHLLPLSSSIPQQQRPFICRAEASASNASTATATALEQSGGGKMVVELVGAFNQLTQEINVLSSSSSRLLFKSLKLSIPILQALPHAVDGRPLLSKALSLALLLAHLQMDAEVISAGLLIQVVEAGAISIYQVRDRIGIGTAHLLHESLRLKNIPSKVDVLDDDSAAALRKFCLTYYDIRALILDLAVKLDTMRHLDYLPRYQQQMLSLEVLKIYSPLAHAVGTNYLSLELEDLSFRYLFPYSYLYVDTWLRSYKTGNKSLIDIYKEELLQALKADPILADMVNGISVKGRYKSRYSTMKKLLRDGRKPEEVNDVLGLRVILNPRSGIDMSQVGERACYRTHEIVQSLWKEMPHRTKDYIARPKANGYKSLHMAVDVSDNGTPRPLMEIQIRTTEMDMLATGGTAAHSLYKGGLTDPEEAKRLKTIMIAAAELAALRLKDFPSTIHKGIEFGQGDEVFRLLDKNGDGKISIEELMEVMEELGASGEDAREMMQLLDSNSDGSLSTDEFDLFQKQVEFMRNLEDKDVKYKAMLNDKLQLADNSGLIQVYSKEFGDRLAN >KJB68839 pep chromosome:Graimondii2_0_v6:11:28368095:28370930:1 gene:B456_011G158600 transcript:KJB68839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVEMIKWGFQEGKTLFGFGYDFRQSNGLQDKLDRLAAKLESVNKASGGKKINIISHSMGGLLVKCFMGLHSDVFEKYVKN >KJB69858 pep chromosome:Graimondii2_0_v6:11:3592283:3592621:1 gene:B456_011G046400 transcript:KJB69858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEYPYEIVKQLASSNAVVLFSTSGNDCMCTVAKRLLLGLGVAPITIELDHHVAGPDIQAVLSRLAADGSRQPIPAVFVGGKFLGGIETLIASHINGTLIPLLKDAGALWL >KJB70373 pep chromosome:Graimondii2_0_v6:11:6341847:6342591:1 gene:B456_011G070200 transcript:KJB70373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWHSLLFSNSSCVLANWIQQCQNPGAAYPPNPLPPNRTTIPICHRPLSLIEPTFPSLNHHAENPHCYQNPHNTINYIEQDNKTSSQKKIRFKPETKTRLSEGFQVSVRQSER >KJB71535 pep chromosome:Graimondii2_0_v6:11:18411335:18412456:-1 gene:B456_011G128300 transcript:KJB71535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LTP5 MASSMSLKLTCVVVFCMVVGAPLAQGAISCGQITSALAPCIAYLKGNGAGSAPPACCNGIRSLNSAAKTTPDRQAACSCIKSAATGISGINYSTAAGLPGKCGINIPYKISPSTDCKRFH >KJB71534 pep chromosome:Graimondii2_0_v6:11:18411276:18412456:-1 gene:B456_011G128300 transcript:KJB71534 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LTP5 MASSMSLKLTCVVVFCMVVGAPLAQGAISCGQITSALAPCIAYLKGNGAGSAPPACCNGIRSLNSAAKTTPDRQAACSCIKSAATGISGINYSTAAGLPGKCGINIPYKISPSTDCKSIK >KJB72677 pep chromosome:Graimondii2_0_v6:11:45417239:45419245:-1 gene:B456_011G189900 transcript:KJB72677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQSPADQTPPSSGMQMSLEEKFKIIRSVGEECIQEDELLNLLNHKPEPICYDGFEPSGRMHIAQLLRNLLCFFLNVEFLWSSDEINSRASEYWPLVMDIARRNKLPRIMRCCQITGRSEQDELSAAQILYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKQIILSHRIALFQYLFWFLRSIECGEKLCRFKSLTKTNYFEMLEELDISDNQIRVLPESFRLLSKLRVFRADETALEVPPREVINLGAQVMLIWLQI >KJB71374 pep chromosome:Graimondii2_0_v6:11:16359204:16360340:-1 gene:B456_011G119400 transcript:KJB71374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTIWLLKHPNQNQIKEFNAQKLVDMFTKLNPLAKEFFPSSYHHNPTKTGDFNQAPVNKQGNENFPNRRVMPNIDT >KJB73919 pep chromosome:Graimondii2_0_v6:11:59184449:59186198:-1 gene:B456_011G260900 transcript:KJB73919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGQPLPKPVQPMPSPPADNAKKFCVPKPEATDAQLQNNLDYACGQGIDCRPIQAGGVCVEPATVRSRAAFAMNSYFKSKLGVDSACDFGGTGQLTTVDPSYGNCRYV >KJB70982 pep chromosome:Graimondii2_0_v6:11:11017631:11018512:1 gene:B456_011G099400 transcript:KJB70982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSLTISLFWCEELIMNPLISAASAIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQLEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >KJB72339 pep chromosome:Graimondii2_0_v6:11:38384119:38384646:1 gene:B456_011G172000 transcript:KJB72339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAGKRGSSDASSEENEDDQVKCHTGATTKRSYECTFCKRGFTNAQALGGHMNIHRKDRAKAKQPTSSAVLSMNPRYAPTIPTYEPSRHHPIVEAQTQTNHHMYFKPPLSSPRLPYAPARSPSLSINEELLNANFSLQIDPTKVDENEMTRKGIMKEGEVDLELRLGHDPYRYN >KJB69606 pep chromosome:Graimondii2_0_v6:11:2569176:2570722:-1 gene:B456_011G034700 transcript:KJB69606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEFVNLGKSMIVPSVQELAKYPLTKIPPRYLRPHEELHSISPSPHIPSVPIIDLHKLAAGDFVDSELQTLHSACSHWGFFQVVNHGVSISLLDEFKLEIVNFFKLPHEDKKLLWQKPDNHEGFGQAFVVSQDQKLDWSDMFYITTLPYNLRNIDVFEKLPFKLRETLEVYCVQVKNLAMRILGYMAKALNMDADEIKQLFNDGVQAMRMNYYPPCPEPDMVIGFSPHSDADALTILLQLDETDGLQVRKDGKWVPIKPLPNAFVVNIGDIMEILSNGIYRSIEHRAVVNSTKQRLSIATFYSSKLDSELGPALSLIGPNNPAIFQRIPLEKYLKEFFARKLDGKAYLDFMRIKSNEEHEN >KJB69821 pep chromosome:Graimondii2_0_v6:11:3319614:3321955:-1 gene:B456_011G044400 transcript:KJB69821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELTLDLEELRQLQSIAKRPRTLSLISSEIRNLEKTLKENENVASPVTVPQIPTPISTSVKSVKAPVNPALSYVTLASFSWDQDNDKVKIYVSLEGVEQEKIQTEFKPMSFDIKFHDVQGKNYRCAVPKLNKEIEPEKCKVMVKPTRVIITLFKASKGNWTDLQFKEDKLKPNLDKEGDPMAGIMDLMKNMYEEGDEEMKRTIAKAWTDARSGKTNDPLKGYR >KJB71561 pep chromosome:Graimondii2_0_v6:11:19036337:19037361:1 gene:B456_011G129500 transcript:KJB71561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTTSPDHLPHNKSLEIKQDDKFFSRLLSKENSVANPSFRVSVANPSFRVYYGGLPGAVPFMWESQPGTPKYTFSDTSIPPLTPPPSYYSNSNSKPIKNKHSRSGLFQALFPKMISLKKTSSLVPTSPPPPPSSSPMFLAIRPKKYQKRSRFFTPDDEADTAAIGSPTSTLCSDIGRGKYR >KJB72744 pep chromosome:Graimondii2_0_v6:11:47070524:47070637:-1 gene:B456_011G195200 transcript:KJB72744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVFLFGIDLGLIPITLAGLFVTVYLQYRRGDQLDL >KJB71492 pep chromosome:Graimondii2_0_v6:11:17756874:17757820:1 gene:B456_011G125300 transcript:KJB71492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWIWLEAALPLGIIAGMLCVMGNAQYFIHKAYHGRPKHIGNDMWDVAMERRDKKLFENLSSSD >KJB73932 pep chromosome:Graimondii2_0_v6:11:59286051:59289970:1 gene:B456_011G261700 transcript:KJB73932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKFFVGGNWKCNGTTEEVNKIVSTLNAGEVPSQDVVEVVVSPPFVFLPLVKTSLRPDFHVAAQNCWVKKGGAFTGEVSAEMLVNLSIPWVIIGHSERRLILKESNEFVADKVAYALAQGLKVIACIGETLEQREAGSTVAVVAEQTKAIAAKISNWTDVVLAYEPVWAIGTGKVATPAQAQEVHFELRKWLQANVSPEVAASTRIIYGGSVTAANCKELAAQPDVDGFLVGGASLKPEFIDIIKSAEVKKNA >KJB71006 pep chromosome:Graimondii2_0_v6:11:11168976:11171721:-1 gene:B456_011G100300 transcript:KJB71006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFSQPGCCFRIHRRCRFNSLRFFPSKPFASHSNSHRHFSHKPLRKSFVLDVSRSFSQSHHLLNADLPALHHFIAQSSLTASQPPPRIFPSDESVSEVASKGRIYHETYGCQMNINDMEIVLSIMKNAGYSETVEVPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRCWKSNVDSGRAQSLQPPKVVVLGCMAERLKDKILDADKMVDVVCGPDAYRDLPRLLEEVDYGQKGINTLLSLEETYADISPVRISKNAVMAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVKEVGELWKEGVKEVTLLGQNVNSYNDASGSENEIEPGNNWALSEGFKSMCKVKKMGLRFADLLDRLSTEFPEMRFRYTSPHPKDFPDELLYVMRDRHNICKCIHLPAQTGSTTVLERMRRGYSRGAYLELVQKIRRIIPDVGISSDFICGFCGETEEEHADTLSLIKAVGYDMAYIFAYSMREKTHAHRNYSDDVPEEVKQRRLSELIKAFHETTCQHYDSQVGTIQLVLVEGPNRKAPETELVGKSDRGHRVSFVNLPLLDRDNQGDSKQNPVVGDYVEVHITKSTRTSLYGEALAITKLTTFYNNVEKATVACGI >KJB71007 pep chromosome:Graimondii2_0_v6:11:11169021:11171612:-1 gene:B456_011G100300 transcript:KJB71007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFSQPGCCFRIHRRCRFNSLRFFPSKPFASHSNSHRHFSHKPLRKSFVLDVSRSFSQSHHLLNADLPALHHFIAQSSLTASQPPPRIFPSDESVSEVASKGRIYHETYGCQMNINDMEIVLSIMKNAGYSETVEVPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRCWKSNVDSGRAQSLQPPKVVVLGCMAERLKDKILDADKMVDYGQKGINTLLSLEETYADISPVRISKNAVMAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVKEVGELWKEGVKEVTLLGQNVNSYNDASGSENEIEPGNNWALSEGFKSMCKVKKMGLRFADLLDRLSTEFPEMRFRYTSPHPKDFPDELLYVMRDRHNICKCIHLPAQTGSTTVLERMRRGYSRGAYLELVQKIRRIIPDVGISSDFICGFCGETEEEHADTLSLIKAVGYDMAYIFAYSMREKTHAHRNYSDDVPEEVKQRRLSELIKAFHETTCQHYDSQVGTIQLVLVEGPNRKAPETELVGKSDRGHRVSFVNLPLLDRDNQGDSKQNPVVGDYVEVHITKSTRTSLYGEALAITKLTTFYNNVEKATVACGI >KJB72328 pep chromosome:Graimondii2_0_v6:11:38152756:38154538:1 gene:B456_011G171300 transcript:KJB72328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLKELIPKLDLAKKHISSQLHVNLPDIEVRQLVATGIILKGLGAILFVFGHGFGALLLFVYLLVSTPLLYDFYNYRPNEPQYSVLLGDFLQCVAQCDALIFFVGMKNSMPKRQLRRKAPKQKAT >KJB70153 pep chromosome:Graimondii2_0_v6:11:4992953:4993342:-1 gene:B456_011G061000 transcript:KJB70153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQEQGRQSFSKHLTQSEAKDRIIFFSYTDVAPFFEFQEGYMFFVNVTDSLGKAWTFIGTFYTNPEIGKYVSIKWPQFSSVKGLKANDEVIFMERPRRKSEAPWKKFKLVIKRKIRLFGQDIWGELKV >KJB71211 pep chromosome:Graimondii2_0_v6:11:13205067:13209662:1 gene:B456_011G110400 transcript:KJB71211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGGALEEAKVPLIDDLASTEKDYENGPLRERVWIESKKLWRIVGPAIFNRLASYSILVITQAFAGHLGDLELAAISIANNVIVGFNFGLLLGMASALETLCGQAFGAKKYYMLGIYMQRSWIILSMCCVLLLPLYLFASPVLKLLGQPNDVAELSGMVAIWMIPLHFSFALQFPLQRFLQCQLKNMVTAWVSLVALVVHGIVSWVLVYRFRVGVVGTVVSMNFSWWVLVFGHLGYTVCGGCPSTWTGFSMEAFSGLWGFIKLSAASGVMLCLENWYYRILILMTGNLENAKIAVDALSICMTINGWEMMIPLAFFAGTGVRVANELGAGNGKGAKFATTVSVVTSILIGIFFWLLIMILHDKFALIFSTSDPVLKAVTNLSFLLAFTVLLNSVQPILSGVAVGCGWQSYVAYINLGCYYLIGVPLGFFMGWGFHLGVMGIWGGMIFGGTAIQTLILALITLRCDWQKEAEKANLLLQKWSDRKASLEHV >KJB68907 pep chromosome:Graimondii2_0_v6:11:3146501:3148694:-1 gene:B456_011G042300 transcript:KJB68907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKASKSKNDRSSSTSVTSAKNPSTASNHQMALRVKSSEKMKKTAEESVVSEREKRPKSKSRPKSKLKKKTKIDAKMPKKPPTAFFYFLEDFRKDFQEQNPDIKSMRDIGKACGEKWKTMTYEEKVKYYDIATGKRAEFDRAMAEYIKRKWRR >KJB68906 pep chromosome:Graimondii2_0_v6:11:3146726:3148275:-1 gene:B456_011G042300 transcript:KJB68906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRVKSSEKMKKTAEESVVSEREKRPKSKSRPKSKLKKKTKIDAKMPKKPPTAFFYFLEDFRKDFQEQNPDIKSMRDIGKACGEKWKTMTYEEKVKYYDIATGKRAEFDRAMAEYIKRKESGEDEETEDDSEFDE >KJB68905 pep chromosome:Graimondii2_0_v6:11:3146501:3148694:-1 gene:B456_011G042300 transcript:KJB68905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKASKSKNDRSSSTSVTSAKNPSTASNQEDFRKDFQEQNPDIKSMRDIGKACGEKWKTMTYEEKVKYYDIATGKRAEFDRAMAEYIKRKESGEDEETEDDSEFDE >KJB68908 pep chromosome:Graimondii2_0_v6:11:3147037:3148694:-1 gene:B456_011G042300 transcript:KJB68908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKASKSKNDRSSSTSVTSAKNPSTASNHQMALRVKSSEKMKKTAEESVVSEREKRPKSKSRPKSKLKKKTKIDAKMPKKPPTAFFYFLEDFRKDFQEQNPDIKSMRDIGKACGEKWKTMTYEVCANSVFLTDSYN >KJB68904 pep chromosome:Graimondii2_0_v6:11:3146494:3148831:-1 gene:B456_011G042300 transcript:KJB68904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKASKSKNDRSSSTSVTSAKNPSTASNHQMALRVKSSEKMKKTAEESVVSEREKRPKSKSRPKSKLKKKTKIDAKMPKKPPTAFFYFLEDFRKDFQEQNPDIKSMRDIGKACGEKWKTMTYEEKVKYYDIATGKRAEFDRAMAEYIKRKESGEDEETEDDSEFDE >KJB69371 pep chromosome:Graimondii2_0_v6:11:1380732:1381461:-1 gene:B456_011G020000 transcript:KJB69371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHILTPITLFLLFPLMATSSIQDLLRSRGLPAGIFPDNVKSYKLNLDGRLEVELEKSCMAEFDGRVHFDRVVRANLSYGGLVGLEGLSQEELFLWLPVKCIIANDPSPGVMLFDIGVAHKQLSISLFEVPPPCMTQEDMEGKGDWKKGFEFQK >KJB73059 pep chromosome:Graimondii2_0_v6:11:51273691:51276097:-1 gene:B456_011G212800 transcript:KJB73059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKPTSIEGSVTPTLIDSENSGVGASIQTKGTTGKRKAPPQRSEVWSHFTKFINSEGASKAKCNYCEKEFCCDMKKKGVEGGEGNLSTWRFDQEACRKGLAQMILIDELPFKFVESEGFKKFMIVACPRFHIPSRTTMTRDVYQLYLDKRVKIKQLLRSFCSRVCLTTDTWTSLQGVNYLCITAHFIDNDWKLNKKILNFCPISSHKGESIGMLIEKCLLNWGIDKLFTVTVDNASSNDVAIGYLRKKFNPRGGLVQNGKYLHMRCMTHIVNLIVVEGLKEMNKSVERVRGAVRYVRQSPVRLQKFKECVVVEKIECKKMLCLDVCTRWNSTYLMLDTTQNFERAFERFEEQDTNFRAELERGEGWPSVDDWDNVRKLRDFLEHFYEVTWRISDTSYVTSNKFFDELSEIDILLQDAQLNSNIDFNVMAIKMKEKYDKYWGDIDKMNLLMFVACVLDPRQKLKYLEFALSEMSSSEKACEMMQKLKESLYELFDEYKPPLHSTCSQSSSSVSTHVSIGEPQQKMKRRMQALYKKRELEICGEDKTSELDKYLAEANEEFVEDFDILLWWKVNSPRFPTLSKIARDVLAIPVSTVASESAFSTGGRVLDQYRSSLTPKIVQALVCTQDWIRRSSSQEDINKIKEQIQEHDKIENGLMQMELFWRDEMDIFWKDEMGINGEC >KJB74380 pep chromosome:Graimondii2_0_v6:11:62262504:62264787:-1 gene:B456_011G291400 transcript:KJB74380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSIFLQSNSLLESLIHLSNEVSSIEKLPSIQVRNVSTMLRRIKVLTSLFEEIQESNNPMLPPSSVLCLSELFSVIRRVKSTMEGCKNGSSLWGLIQTERLSNQFHVFVKEIARALDILPLSLLTVTVDIKEQIELLHRQAKRVELYVDPKEIQTRDVLLTLMASEKNMFDVETVKHVFNSVGLKTVLDYEEEMSKLEDEVHKQAESEENDVRQVSGSVGKSTSAPFNVPDEFRCPISLDLMKDPVIVASGHTYDRSSIAQWINTGHYTCPKSGQRLIHMALIPNYALKSLVHQWCQENNIPLMECSSNAESEKKTCEKAVDHISATKVAIDSVKRTAEFVVGKLAMGSVDIQRQAAYELRLLAKTGMDNRRIIAEAGAIPFLVTMLSSNDPRTQENAVTALLNLSIFDNNKILIMAAGAIKNIVDVLESGKTMEARENAAAAIFSLSMIDDFKVAIGAHSQAIPALVGLLREGTTTGKRDAAMALCNLALYNANKLKTVAAGAVPLLIELLMDDKAGITDDALGVLALLLSCPQGLEAIKKSRPLVPLLIDLLRFGSAKGKENSITLLLGLCKDGGEEVARRLLINPRSIPSLQSLATDGQVRLMFNRSELKWLKLSDSEQ >KJB74096 pep chromosome:Graimondii2_0_v6:11:60408870:60416272:1 gene:B456_011G272000 transcript:KJB74096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQTSPLAWLSLISFTLAMLICCCHGSSDNRQVYIVYMGNLPKGEVSISSLHTSMLQQVLPSNIVGSDVLLYSYHRSFNGFAAKLTKDEAEKLKEKDGVVSVFLSQKRQLHTSRSWDFMGFNRKVRRSVFESDIIVGMLDTGIWPESQSFNDTEIGAIPAKWKGTCQTSANFTCNRKIIGAKYYKADGDFDPSEYKSPRDSEGHGSHTSSTAAGGLVSKASLYGLAKGTARGGVPAARIAVYKICWSIGCDDVDILAAFDDAIADGVDIISLSVGSFFSSEYFDDTIAIGAFHSMKNGILTSNSAGNSGPSLSTITNFSPWSLSVAASTIDRKFVTRVKLGNGEIYEGTSINTFDLKGKMYPFIAGAAAPNTSQGTLDETLVKGKIVFCDYTTYIEGPVEAGAVGVVVQSGRYKDYAFTYGLPLSNLNLNDGRNVLNYVNLTENPTATIFKTTVEDDQFAPFVVTFSSRGPNPATPDILKPDLTAPGVDILAAWSEAVPLTETEDDTRVVSYNIISGTSMSCPHATAAAAYVKSFCPTWSPASIKSALMTTAFPMSSENNLEAEFAYGAGHINPAQAAQPGLVYDAGEIDYVKFLCGQGYTPKQLKLITESNFTCSEETKGTVWDLNYPSFTLSTSPGNSITRVFHRTVTNVGSPVSTYKAVVNAPPGLIIQVQPSVLSFKSLGQKLTFVVTVGAEIGNSMISGSLIWDDGVHQVRSPIVAYASLLCIFNGKIAVSYREMRWSETNNYHNRFISIVQRKKSTPIDMYRLKIHWTVDN >KJB72694 pep chromosome:Graimondii2_0_v6:11:45673848:45674833:-1 gene:B456_011G191100 transcript:KJB72694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPERQGGGAPHGIILAVVVGIVVLAPFLFGDQGEAITEAISELLTPLGLLLLPIILLLTIQFLSSDRGSFVSAVFSTGEPDTIHRVSGSPFGVALFLLLILFLLYNRVSIFGGDDDSDD >KJB73740 pep chromosome:Graimondii2_0_v6:11:57358761:57359384:-1 gene:B456_011G247400 transcript:KJB73740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVPDNAANVPPLLPTVESSNSKPTGIYRCVNTYHRSSCGLYVANDSKFIFPSCNTVMNQIAIVVNPKKKDSPTDEGGYVKGVITYMITDNLAVRPMSAISCITLLNKINIKDVGVLEEKTVDIGIDEGVKLLKVSLQSKAVLTDAFLEKKAGESDASNSSGVHSIVI >KJB69133 pep chromosome:Graimondii2_0_v6:11:546869:548473:-1 gene:B456_011G007200 transcript:KJB69133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISSSIFPIISIFILLSISSVTPSDSDDFDDFFQCLPKQSDSSIPITDAILTPNNSSFQYIYQLRANNLRTFLSATSRPVAIITALHPSHAQAAVICAKRHDFQLRIRSGGHDYEGLSYTSDVPFVILDMFNLNSIDIDMSTETAWVQAGATTGELYYRIAEKSNVHGFPSGVCTTLGIGGHFSGGGYGFLIRKYGLSIDNVIDAQLIDANGRILNRKSMGEDVFWAIRGGGTTSFGIILSWRIKLVRVPPRVTVFTVQRTLEQGATELAYRWQQVAPKLPKDLFIRLQPEPINNGGNNKTVRVSFIGHFLGQADGLLRLMNVSFPELGLTRNDCLEMSWVESTLYWAGFSNGSSIDVLLDRVAVNKVFAKEKSDYYKAVIPKQGLETLWQVLMDIEDIFVQMNPYGGRMEEISDSETAFAHRAGNLFKVLYGIQWSESEGGVNATARYVELSRRLYNAMAPYASSNPREAFINYRDLDIGSNESDETDFEDAKEYGAKYFRNNFIRLADVKAKIDPKNFFKNEQSIPPLPSH >KJB72432 pep chromosome:Graimondii2_0_v6:11:41985755:41988335:1 gene:B456_011G178300 transcript:KJB72432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDMIHPQQQQQQQLSIEDIPSPISGPNFYFSDNDLFSDALQNSEVTDENSSYGNNLNIPPDIEQLNNGYQNNNRNTNPTTATSATSTNNTVATPAANNNNNLSIIFDSPDDMGNDISASIDFSESPSFSVPPFLTHQDHFNLSLVQSQMQLPDVSAEGLSQYTTDTVGPLLGPPLPSVFDDDCLSSVPSYVPLNPSSPSCSFLGPPMTSFMPAGTIADSSGVFAERILLNSELQPQDLEFQGDNAGIFCPDTVQRVFKPGDLQGLSSDNHQLVGVATTSSTPLASEMSSLEDSTFNKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDEFGDTHRQACSNPEEEYDDEVVVKEEEDMVDSSDIFAHISGVNSFKCNYPIQSWI >KJB69604 pep chromosome:Graimondii2_0_v6:11:2475173:2477343:-1 gene:B456_011G033400 transcript:KJB69604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGKGLVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIYNDYSSVGCSLLLKKEKAIIVQPDRVVIGNGPAFGCVLMKDFLRELAKRLKHNNTAYENYHRIFVPEEQPLKAAPKEPLRVNVLFQHIQKMLSGETTVIAETGDSWFNCQKLKLPAGCEYEFQMQYGSIGWSVGATLGYAQAVPEKWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCGQKTIIFLINNRGYTIEVEIHDGPYNVIKKWNYTALVDAIHNGEAKCWTTKVFCEEELVEAIKTAMGAKKDCLCFIEVIVHKDDTSKELLEWGSRVCAPSSRPPTPQ >KJB70931 pep chromosome:Graimondii2_0_v6:11:10596973:10599653:1 gene:B456_011G096400 transcript:KJB70931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKKSLVDAIEKGIDLRKQILELYNDYYHGGLMKLVVIGGGNIIMIILLDGAKSLDVLQHLVVELFSDVRQGSQGKPEFKVEGPVWRAGKLYRL >KJB70930 pep chromosome:Graimondii2_0_v6:11:10596973:10599653:1 gene:B456_011G096400 transcript:KJB70930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKKSLVDAIEKGIDLRKQILELYNDYYHGGLMKLVVIGGGNIIMIILLDGAKSLDVLQHLVVELFSDVRQGSQGKPEFKVEGPVWRAGKLYRL >KJB69973 pep chromosome:Graimondii2_0_v6:11:4017142:4020807:-1 gene:B456_011G051600 transcript:KJB69973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAWRKLKKSLIPKISTHRDDDRRRSSSSSSSSANGIVSSPSPSSVSRSSSRLSYSFSFRSSKKTCAICLGSLKKGEGQAIFTAECSHHFHFNCIATNVQHGNRICPICRSEWKDIPFQAPGSANDFRHNNSTILGFRHNWHPPSLPVLETDQFSLEEPLSATHVAPAPSTRSQPITIKALPEFPAVLASDAVSKFPVLVGVSAPPFHVDVRHFNRAPIDLVAVLDVSGSMAGKLSLLKRAVCFIIQNLGPLDRVSIVTFSSSARRIFPLRRMRGSGQDDAISAVNALSSGGGTNIAEGLKKGVRVLEERREQNPIASIMLLSDGHDTLNDDTRSLYRNVQNLTSNPNYSLQYLYLLPASICSRNTASGNEARRLSIPVHTFGFGSEHDSNAMHAISDLSGGTYSFIETVDNLQDAFARCIGGLLSVVAQDVRLTIQSLSRGVQIGSIHSGRYKNEIFNLGQKATIDVGSLYADEEKEFLVYVSIPASSRGEGEAKLDHMSLLEVLCSNKDSTSSEIVESRRERVVIRRPKVLSPTDKMVCLEVDRQKNRLAVADAIATAQRMAELGILDHAQAVLSEQKTTLLSSVSAQAGDDLCKWLEKELTETAQRMATLQLYEQSGRAYVLSGLSSHSWQRATTRGHSTTILPGEGASGINSYETPSMISMVSKSQCLNGGPSQQPQMVTKSCSLTPRHK >KJB69974 pep chromosome:Graimondii2_0_v6:11:4016905:4021007:-1 gene:B456_011G051600 transcript:KJB69974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAWRKLKKSLIPKISTHRDDDRRRSSSSSSSSANGIVSSPSPSSVSRSSSRLSYSFSFRSSKTCAICLGSLKKGEGQAIFTAECSHHFHFNCIATNVQHGNRICPICRSEWKDIPFQAPGSANDFRHNNSTILGFRHNWHPPSLPVLETDQFSLEEPLSATHVAPAPSTRSQPITIKALPEFPAVLASDAVSKFPVLVGVSAPPFHVDVRHFNRAPIDLVAVLDVSGSMAGKLSLLKRAVCFIIQNLGPLDRVSIVTFSSSARRIFPLRRMRGSGQDDAISAVNALSSGGGTNIAEGLKKGVRVLEERREQNPIASIMLLSDGHDTLNDDTRSLYRNVQNLTSNPNYSLQYLYLLPASICSRNTASGNEARRLSIPVHTFGFGSEHDSNAMHAISDLSGGTYSFIETVDNLQDAFARCIGGLLSVVAQDVRLTIQSLSRGVQIGSIHSGRYKNEIFNLGQKATIDVGSLYADEEKEFLVYVSIPASSRGEGEAKLDHMSLLEVLCSNKDSTSSEIVESRRERVVIRRPKVLSPTDKMVCLEVDRQKNRLAVADAIATAQRMAELGILDHAQAVLSEQKTTLLSSVSAQAGDDLCKWLEKELTETAQRMATLQLYEQSGRAYVLSGLSSHSWQRATTRGHSTTILPGEGASGINSYETPSMISMVSKSQCLNGGPSQQPQMVTKSCSLTPRHK >KJB72947 pep chromosome:Graimondii2_0_v6:11:49730225:49733152:-1 gene:B456_011G205800 transcript:KJB72947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPVIDAPSEAEAQCAALCKSGKVYAVASEDMDSLTFGTPKFLRHLMDPSSRKVPVMEFDVAKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIEEILQNINKERYSIPDDWPYEEARRLFKEPLVCMDDEQLEMKWSPPDLEGLITFLVNENGFNIDRVTKGVEKIKAAKNKSSQGRLESFFKPVANTSAPIKRKEIPEKTGKETNSKKLKAGGGRKKK >KJB72950 pep chromosome:Graimondii2_0_v6:11:49730429:49734038:-1 gene:B456_011G205800 transcript:KJB72950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGLKPVYVFDGQPPDLKKQELAKRYSKRADATEDLQEAMETGNKEDIEKFSKRTVKVTRQHNEDCKRLLRLMGVPVIDAPSEAEAQCAALCKSGKVYAVASEDMDSLTFGTPKFLRHLMDPSSRKVPVMEFDVAKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIEEILQNINKERYSIPDDWPYEEARRLFKEPLVCMDDEQLEMKWSPPDLEGLITFLVNENGFNIDRVTKGVEKIKAAKNKSSQGRLESFFKPVANTSAPIKRKEIPEKTGKETNSKKLKAGGGRKKK >KJB72949 pep chromosome:Graimondii2_0_v6:11:49730225:49734515:-1 gene:B456_011G205800 transcript:KJB72949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGLTKLLADNAPKAMKEQKLESYFGRKIAIDASMSIYQFLIVVGRMGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGLKPVYVFDGQPPDLKKQELAKRYSKRADAPSEAEAQCAALCKSGKVYAVASEDMDSLTFGTPKFLRHLMDPSSRKVPVMEFDVAKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIEEILQNINKERYSIPDDWPYEEARRLFKEPLVCMDDEQLEMKWSPPDLEGLITFLVNENGFNIDRVTKGVEKIKAAKNKSSQGRLESFFKPVANTSAPIKRKEIPEKTGKETNSKKLKAGGGRKKK >KJB72946 pep chromosome:Graimondii2_0_v6:11:49730176:49734668:-1 gene:B456_011G205800 transcript:KJB72946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGLTKLLADNAPKAMKEQKLESYFGRKIAIDASMSIYQFLIVVGRMGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGLKPVYVFDGQPPDLKKQELAKRYSKRADATEDLQEAMETGNKEDIEKFSKRTVKVTRQHNEDCKRLLRLMGVPVIDAPSEAEAQCAALCKSGKVYAVASEDMDSLTFGTPKFLRHLMDPSSRKVPVMEFDVAKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIEEILQNINKERYSIPDDWPYEEARRLFKEPLVCMDDEQLEMKWSPPDLEGLITFLVNENGFNIDRVTKGVEKIKAAKNKSSQGRLESFFKPVANTSAPIKRKEIPEKTGKETNSKKLKAGGGRKKK >KJB72948 pep chromosome:Graimondii2_0_v6:11:49730225:49733910:-1 gene:B456_011G205800 transcript:KJB72948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAGVILSHLQGMFTRTIRLLEAGLKPVYVFDGQPPDLKKQELAKRYSKRADATEDLQEAMETGNKEDIEKFSKRTVKVTRQHNEDCKRLLRLMGVPVIDAPSEAEAQCAALCKSGKVYAVASEDMDSLTFGTPKFLRHLMDPSSRKVPVMEFDVAKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIEEILQNINKERYSIPDDWPYEEARRLFKEPLVCMDDEQLEMKWSPPDLEGLITFLVNENGFNIDRVTKGVEKIKAAKNKSSQGRLESFFKPVANTSAPIKRKEIPEKTGKETNSKKLKAGGGRKKK >KJB69405 pep chromosome:Graimondii2_0_v6:11:1564997:1565920:-1 gene:B456_011G022300 transcript:KJB69405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLISSSFKKYTDLKEQAYLDEMEAGTESVNLDKFFEDVENVKEDMKGVERLYKALQEANEECKTAHNAKMMKQLRSRMDTDVEQVLKRVKIIKGKLEALEKSNAVSRNTPGCGPGSSADRTRTSVVSGLGKKLKDMMDNFQVLRAKMQSEYKETVERRYFTITGEKPDEDTIENLISSGESESFLQRAIQEQGRGQIMDTISEIQERHDAVKEIEKNLIELHQIFLDMAALVEAQGQQLNDIESHVAHASSFVRRGTEQLQEAREHQKNSRKWMCIAILAAILLIIVILIPLLPTIITLIQKKKM >KJB72936 pep chromosome:Graimondii2_0_v6:11:49629211:49630937:1 gene:B456_011G204800 transcript:KJB72936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVSDNLDEVERSISDTAPCHYILKIQSFSLLSKNGIEKYESGEFQAGGYKWKLVLYPNGNRSRNVKEHLSLYLVFVDVSSLFRHGLEVHADFRFFLLDQSKDNYLVVHDAKEKSRRFHRLKHQWGFDQLIPIRSFNDISNGYLLDDTCVFGAEVFITKETSSGRAECLSVMKDAISCKHVWKIENFSKLESEYLESQAFFSGDQNWKIQLYPKGRRHGSGTHISLYLALEDPVTLTAGSKMFVEFTLRVLDQMQSRHIAGKVSHWFSESSPESGWAKFVSLPYFHHTGAGCLVKDICMVEAEVIVHAVASIL >KJB72935 pep chromosome:Graimondii2_0_v6:11:49629211:49630549:1 gene:B456_011G204800 transcript:KJB72935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVSDNLDEVERSISDTAPCHYILKIQSFSLLSKNGIEKYESGEFQAGGYKWKLVLYPNGNRSRNVKEHLSLYLVFVDVSSLFRHGLEVHADFRFFLLDQSKDNYLVVHDAKEKSRRFHRLKHQWGFDQLIPIRSFNDISNGYLLDDTCVFGAEVFITKETSSGRAECLSVMKDAISCKHVWKIENFSKLESEYLESQAFFSGDQNWKIQLYPKGRRHGSGTHISLYLALEDPVTLTAGSKMFVEFTLRVLDQMQSRHIAGKGINADTIRLITFIFIKHIIS >KJB71704 pep chromosome:Graimondii2_0_v6:11:21170291:21172601:-1 gene:B456_011G137900 transcript:KJB71704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTVSLIQDQNLNVQFNGKANISKALRKASTGGRKPLGDLSNSVNPTAKQISKKENSKIITFTEKETVAFKLPNDSSRKKSVPKASEKVQASGRKALSDISNSGKPHLQGTSKNQTAKLCIPEDISEEGFLHNHEECIKAQKRAISTNEFLRILGLDDFCKHSASAKQHSLSNKMEPTSPSRYAKMNEITAMLIEELSPPKHKLSRKLDSCPASPEAPDHYMQWDDPKYIPSFKLIESP >KJB69131 pep chromosome:Graimondii2_0_v6:11:538783:540375:-1 gene:B456_011G007000 transcript:KJB69131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQSLVIIFLLCISMANSDHLKQNKTILQCLTDHSIASPSISSVTFFPTDPSFTSTLQSYTRNLRFTSTTTPKPLFIVVPSHVSHIQASIICCKTHGLEMRIRSGGHDYDGLSYVSKAPFMILDLFNLRSVIVDNGTAWVESGATLGELFYAISQKSKIHGFPAGVCPTVGVGGHFSGGGYGNMMRKFGLSVDNVIDAKLVDVNGNVLDRESMGEDLFWAIKGGGGASFGIIISWKIKLVSVPEIVTVFKIEKTLEQGVTGIVHKWQYIADKIDPNLFIRVVLLPVNKKHLQSIKAKFIGLFLGNGQELSSLMNEAFPELGLSFDQCIEMSWIESILFWSNYPKGTSLDVLLDRQPQQEKYLKKKSDYVQEPISKENLEGIWNKMIELKRPALTLNPYGGKMSEISEFETPFPHRAGNIYKIQYSVTWKDDGVEASGRSLDQIRKLYDYMTPYVSKSPRSSYLNYRDVDIGINENGNASYSEGVIWGRKYFKGNFERLVQVKSKVDPGNFFRYEQSIPCLGSWKSITAE >KJB72398 pep chromosome:Graimondii2_0_v6:11:40736191:40737314:-1 gene:B456_011G176200 transcript:KJB72398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSLISYLCFVLVICTNVIFTLSFSSAQSNGCSAKSGKFSTNSTYSQNLDLILSTLPSNGSQNGGRHCLWGCVECINSSIEGIIKQCPNQKETIYWGPRISSSTCMLRHANHNFFSVMEVIPSFTVPNPNDISPSSQDQFNETFPMLMEILMKNASSGSKFATGNMNFTDSEKVYGLVQCTPNISNTDCRICLQGAMVELSECCGKKQGGRVLRPSCIAWFELSLFYDSSSIDTPYLTPFDPPLTPGFSPPEATRNKFILLPFVIVSISCFFGY >KJB73788 pep chromosome:Graimondii2_0_v6:11:58014232:58015655:-1 gene:B456_011G252100 transcript:KJB73788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KANELYRVIQRNGNVLRRVDEVEFMETPLHISAEEGCIEFAMEMMNLKPSFSRKLNHQGLSPLHIAVRKGHKEMALRLLEIDKHLVRVRGKKGKTPLHYLSKVGNQLGLLDTFLEPSPDSIQDVTIENRTALHIAIQNNRLDVLQLLIPTLKRKDYHWEVVNRKDKDGNTALHIATIHNQPKADRHATNEVGLTALGVAQQHNNRENITILQGCFIPVVSNFKRKLEKQFVMYVTKASLLIFQNMDDISADDSNALLVILGLLLTATYQATLSPPGGVWQALLKTFPHEFRTALQVLLAFLAVSFDESISFIAPTHLTYAILNIFSGILFLLMLSIGMLDIPFNHILFRKWNKHGCSSRIIVIPYFI >KJB74198 pep chromosome:Graimondii2_0_v6:11:61108969:61111170:1 gene:B456_011G278800 transcript:KJB74198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-81 [Source:Projected from Arabidopsis thaliana (AT1G51740) UniProtKB/Swiss-Prot;Acc:P59277] MLLRQNWLQVTAFVKACKEQIDVLKNCINDEEASSKGWLGIRDSSNADTIAHKHGVVLILSEKLHSVTAQFDQMRAVRFQEAINRAMPRRKLKKVVDSNSIDTSKPLNLEHRETNENQPEPLRVQQELLDDETRALQVELTSLLDAVQDTETKMVEMSALNHLMSTHVLQQAQQIEHLYDQAVEATKNVELGNKELSQAIQRNRSSRTFLVLFFFVLTFSILFLDWYN >KJB74197 pep chromosome:Graimondii2_0_v6:11:61108112:61111407:1 gene:B456_011G278800 transcript:KJB74197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-81 [Source:Projected from Arabidopsis thaliana (AT1G51740) UniProtKB/Swiss-Prot;Acc:P59277] MSRFRDRTEDFKDAVRQSAISSGFNESKLAAIMASFIIHKPRQRTPFLKSALKTLESIGALDQFLSKHRKDYVDRHRTTEQERDSIEHEVTAFVKACKEQIDVLKNCINDEEASSKGWLGIRDSSNADTIAHKHGVVLILSEKLHSVTAQFDQMRAVRFQEAINRAMPRRKLKKVVDSNSIDTSKPLNLEHRETNENQPEPLRVQQELLDDETRALQVELTSLLDAVQDTETKMVEMSALNHLMSTHVLQQAQQIEHLYDQAVEATKNVELGNKELSQAIQRNRSSRTFLVLFFFVLTFSILFLDWYN >KJB72663 pep chromosome:Graimondii2_0_v6:11:45137674:45139580:1 gene:B456_011G188800 transcript:KJB72663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVPSLPIKVGHIDDVQELRKAKPATVPERFIRDMADRPKVVPNLSSSLDIPIIDLSKLMKTDKDEVMQLKTACEEWGFFQVLNHGIDLNVVEDIENVAKDFFMLPLQEKQKYPMAPGTVQGYGQAFVFSENQKLDWCNMFALGVEPPCIRNPKLWPSKPVNFRETLEIYSREVRKLCKTLLKYIAVSLGLKGDVFEEMFGVAVQAVRMNYYPPCSRPDLVLGLSPHSDGSALTVLQQGKDSSVGLQILKGQTWVPVQPIPNALVINIGDTLEVLTNGKYKSVEHRAVTHKERDRLSIVTFYAPSYDIELGPMAELVDEKNPCRYRRYNHGEYSKHYVTNKLQGKRTLDFAKIQSKTSN >KJB72664 pep chromosome:Graimondii2_0_v6:11:45137900:45139580:1 gene:B456_011G188800 transcript:KJB72664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVPSLPIKVGHIDDVQELRKAKPATVPERFIRDMADRPKVVPNLSSSLDIPIIDLSKLMKTDKDEVMQLKTACEEWGFFQVLNHGIDLNVVEDIENVAKDFFMLPLQEKQKYPMAPGTVQGYGQAFVFSENQKLDWCNMFALGVEPPCIRNPKLWPSKPVNFRETLEIYSREVRKLCKTLLKYIAVSLGLKGDVFEEMFGVAVQAVRMNYYPPCSRPDLVLGLSPHSDGSALTVLQQGKDSSVGLQILKGQTWVPVQPIPNALVINIGDTLEVRNPEPLWINTLLITMFQYQF >KJB74002 pep chromosome:Graimondii2_0_v6:11:59843969:59845246:1 gene:B456_011G266700 transcript:KJB74002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSSAAAPTPPPPPPTFYFDDKWKLSKKETSSSSSSSKGRSLSSSSTSNSSSFMIKTTPSSSSTSPPSSSKTKRCAFTRKCARLFKEQRARFYIMRRCVIMLICWREYTDS >KJB69987 pep chromosome:Graimondii2_0_v6:11:55867723:55868109:-1 gene:B456_011G237600 transcript:KJB69987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEESNTNRSFCGRHVGDRCKAFGRRCSRLVKEQRAKFYILRRCVTMLVCWHECGDT >KJB74199 pep chromosome:Graimondii2_0_v6:11:61111959:61114302:1 gene:B456_011G278900 transcript:KJB74199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMGDRVRVFMYPSNI >KJB69008 pep chromosome:Graimondii2_0_v6:11:220681:227754:1 gene:B456_011G002600 transcript:KJB69008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVYGSRLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDALWDFQPKKIGEGDLLTGGDLYATVFENSLMQHHVALPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKQFTMLQTWPVRTPRPVATKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYEKFDPDFISIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTP >KJB69010 pep chromosome:Graimondii2_0_v6:11:220681:227754:1 gene:B456_011G002600 transcript:KJB69010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVYGSRLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDALWDFQPKKIGEGDLLTGGDLYATVFENSLMQHHVALPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKQFTMLQTWPVRTPRPVATKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYEKFDPDFISIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIVHFNALANQAVEKAAGMDGQKITYSLIKHRLGDLFYRLVSQKFEDPAEGEEALVAKFKKLNEDLTAGFRALEDETR >KJB69009 pep chromosome:Graimondii2_0_v6:11:220681:227754:1 gene:B456_011G002600 transcript:KJB69009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVYGSRLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDALWDFQPKKIGEGDLLTGGDLYATVFENSLMQHHVALPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKQFTMLQTWPVRTPRPVATKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYEKFDPDFISIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIVHFNALANQVVGESCGDGRSEDNIQSYQASSRRSLLSFSVTKI >KJB69011 pep chromosome:Graimondii2_0_v6:11:220681:227754:1 gene:B456_011G002600 transcript:KJB69011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDALWDFQPKKIGEGDLLTGGDLYATVFENSLMQHHVALPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKQFTMLQTWPVRTPRPVATKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYEKFDPDFISIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIVHFNALANQAVEKAAGMDGQKITYSLIKHRLGDLFYRLVSQKFEDPAEGEEALVAKFKKLNEDLTAGFRALEDETR >KJB68769 pep chromosome:Graimondii2_0_v6:11:4327401:4328048:1 gene:B456_011G055000 transcript:KJB68769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNTSPALAVLTLLLLLSLFLSTGVNTAHASTASNKAKGSSSQGLGNLRADHKRNPQKLVGSSFRRIPPSTSNPIQNK >KJB70896 pep chromosome:Graimondii2_0_v6:11:10305112:10305853:1 gene:B456_011G094900 transcript:KJB70896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRDRDPDRNQPQEIQLLHPPPHYRQTGPSTTQIVAVLTLLPVGGSLLALAALTLTGTLIGLSIAIPLFIIFSPVIVPATIAISMAVAGFLSSGAFGLTGLSSLNYGLKRMIRANAGTGVGKGQAKRGMWDMVGYVGQKTKDVGQNMENKAHEGGGAVRT >KJB74384 pep chromosome:Graimondii2_0_v6:11:62301570:62303489:1 gene:B456_011G291700 transcript:KJB74384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLVHVKEAVIVTPAESTPTGVLPLSPLDSQLFLRFTIEYLLVYKPHLPSDVLNPRATVTRLKSALAKALVPYYPFAGRVRAKPDGDGGLEVVCRGQGVLFIEAVSDYEVNEFERAPRFVTQWRNFLSVHVADVLKGAPPLVVQLTWLKDGNAVVGVGINHCLCDGIGSAEFLNSFAELASMSQTKFSEFKFKPKPVWDRHLLNPPPPFRPSRNNNNSPSHPEFDRVPDISGFVARFSNEKLVPTSFIFNKTNLDELKKVALSTSKLTESNYTSFEVLSAHIWRSWARALNLPSNQILKLLFSINVRERVKPSLPSGFYGNAFVLGCAHTTVKDLTGKALGHAAMLVKRAKERVDNEFVKSVVESVSRSRASPDSVGVLILSQWSRLGLEKINFGMGKPVHVGPVCCDRYCLLLPVFNRTDAVKAMVAVPSTAAARYEHLVRSFCS >KJB73302 pep chromosome:Graimondii2_0_v6:11:53853552:53853958:-1 gene:B456_011G226700 transcript:KJB73302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLVANVGGLGLSKQPKKEIQWASKETSLMDNKQSELQESPNSPSWIAMYLQSHCGLAAIPPLFFHKLQVLDLSHTNIKALPHSLPNNLFALKKLLLRRCKLFMKLSPHVGKLDNLEELDLDELR >KJB72645 pep chromosome:Graimondii2_0_v6:11:45123704:45132743:1 gene:B456_011G188700 transcript:KJB72645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENSEPEEGEACYHRDDDDDINPDTAFSYLDEKIKNILGHFQKDFEGGVSAENLGAKFGGYGSFLPTYERSPTRLSCPKTPQGNSSTPRSTNNLSMEGAFQNLKAPPNAPPSGRPGKATCPSGSIAAKHDSHLSFNHVAEKPALKDDRFNRAEIPTDQKTLKVRIKVGSDNKVQKNSAIYSGLGLDDSPSSSLGNSPEESGGTVMGSQGTTNESPTKILQVEVLLVVFNVCLRTKKDVLIFYAFIQVMTSYHVPGGVLISPLHESLLCLLRKEKEGPSRESKSIPLLKACPENSSGLIDESMLGNGKQLREKKTKFLIGKSKKVVESKQGNRMNVENDKKMLIKNKLQNENAGGKELLSHDLKHTALSNSVNVADSVESMPRVCDLSAEANQDGSRGGLFSSDSSKKDSLESISGRSRASGKKKKKDIQRSSVEKVWEQSVVDTGKNASVDLGDNVGSKCYQNTAPLKCKEDSKTKVGQEATFPVQNETNIPSEMENTLFVGKKKSKGSNNAGKIADSMKESLTLDVSGTPKDTTSSSQSFSSGKSKMNKLKLQKDINKVRDNHRDALDTNFKQKRDKMDPSMRPFHNRPKDAGPTTDFERGHYGYLDKSKEIFSGGTVDNQLLGVDAPGVVPDLSDQTLASQTTALATTASVFIEENWVQCDRCHNWRLLPFDTRPEQLPEKWLCSMLNWLPGMNRCDISEEETTKALRALYQVPVAENQSNPENHANGTMSLVTSAHLQHLDQKNSSFNSQVSSIQGKKNHGQKELPKAGNSGLSLMSNSKKNQRQESLKSRSLKNVTQVPIESNLTKKSSFQQKEKYPVAGVTKQAKMKNKRESGLYANGSSKKVKTEVVYTTDKHQSANLDVRTVGLNSSTGLPTQANGRSMQKYNECTNSGDLKHDLKERSVVSVRKLVDQTQASSDGVSLDMKRCDEKASVKKRKLEDREDSENGNKLYSKEESSESGFRNGKKSRVSKIEGEQPHRNDVDGTSNRKSMDHLIGGANEVRSIDRNQQLRKHKKKSSHKTLDGLDLLRRDSETGQNLKAATSSSSKVSGSHKTRVNFEEARGSPVESVSSSPMRTSYPEKLASTKGEGSGYAAAANTGIPPSGNSRSWDREGTVELAQSVSEMKERVSGDFNPKSHKISTAGCQDRDSIRKISIKTKPSSRLGKSHLHNGDTHFSENGLNALEWPHGEDRVNKECQVVLPQKSDKGYISQTKNNERSSAADKMKVYDPAERQEDLCSRKNMKYQSDVDPEGHACLQETTADCKLNLPKPSKDGKNNVGRRDPSGQWSSDNRMEAQSNKKHEVDAKTAAACSTKGKTAPEKNVIQDFDGQTRQVETRNGISKSSLHCENESQQEIAGHLTAPEAEQGVVSDGFPVNGSDNVDFSKAIKLCGNAGTKIGSNHSLGKHIPDLCVAHSPVRVNSSNQTANDALTDAEKLRNYADRLKSSGFAFESNEIYFKAALKFLGVAARLETSNSESGRHCDLNQMQVYNTASKLCEMCALEYERRREMAATALAYKCMEVAYMRIVYCKQSTSSRDRNELQATLQMVPQGESPSSSASDVDNLNNQQSVEKAPLAKGLVSHVGGTHVIVARNRPSFVRLLDFTQDVSFAMEASRKSQNAFTTATASLEETQNTECIISVRKVIDFSFQDVEGLICLVQQAMEAITRSGLAGARD >KJB72647 pep chromosome:Graimondii2_0_v6:11:45123794:45132749:1 gene:B456_011G188700 transcript:KJB72647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENSEPEEGEACYHRDDDDDINPDTAFSYLDEKIKNILGHFQKDFEGGVSAENLGAKFGGYGSFLPTYERSPTRLSCPKTPQGNSSTPRSTNNLSMEGAFQNLKAPPNAPPSGRPGKATCPSGSIAAKHDSHLSFNHVAEKPALKDDRFNRAEIPTDQKTLKVRIKVGSDNKVQKNSAIYSGLGLDDSPSSSLGNSPEESGGTVMGSQGTTNESPTKILQVMTSYHVPGGVLISPLHESLLCLLRKEKEGPSRESKSIPLLKACPENSSGLIDESMLGNGKQLREKKTKFLIGKSKKVVESKQGNRMNVENDKKMLIKNKLQNENAGGKELLSHDLKHTALSNSVNVADSVESMPRVCDLSAEANQDGSRGGLFSSDSSKKDSLESISGRSRASGKKKKKDIQRSSVEKVWEQSVVDTGKNASVDLGDNVGSKCYQNTAPLKCKEDSKTKVGQEATFPVQNETNIPSEMENTLFVGKKKSKGSNNAGKIADSMKESLTLDVSGTPKDTTSSSQSFSSGKSKMNKLKLQKDINKVRDNHRDALDTNFKQKRDKMDPSMRPFHNRPKDAGPTTDFERGHYGYLDKSKEIFSGGTVDNQLLGVDAPGVVPDLSDQTLASQTTALATTASVFIEENWVQCDRCHNWRLLPFDTRPEQLPEKWLCSMLNWLPGMNRCDISEEETTKALRALYQVPVAENQSNPENHANGTMSLVTSAHLQHLDQKNSSFNSQVSSIQGKKNHGQKELPKAGNSGLSLMSNSKKNQRQESLKSRSLKNVTQVPIESNLTKKSSFQQKEKYPVAGVTKQAKMKNKRESGLYANGSSKKVKTEVVYTTDKHQSANLDVRTVGLNSSTGLPTQANGRSMQKYNECTNSGDLKHDLKERSVVSVRKLVDQTQASSDGVSLDMKRCDEKASVKKRKLEDREDSENGNKLYSKEESSESGFRNGKKSRVSKIEGEQPHRNDVDGTSNRKSMDHLIGGANEVRSIDRNQQLRKHKKKSSHKTLDGLDLLRRDSETGQNLKAATSSSSKVSGSHKTRVNFEEARGSPVESVSSSPMRTSYPEKLASTKGEGSGYAAAANTGIPPSGNSRSWDREGTVELAQSVSEMKERVSGDFNPKSHKISTAGCQDRDSIRKISIKTKPSSRLGKSHLHNGDTHFSENGLNALEWPHGEDRVNKECQVVLPQKSDKGYISQTKNNERSSAADKMKVYDPAERQEDLCSRKNMKYQSDVDPEGHACLQETTADCKLNLPKPSKDGKNNVGRRDPSGQWSSDNRMEAQSNKKHEVDAKTAAACSTKGKTAPEKNVIQDFDGQTRQVETRNGISKSSLHCENESQQEIAGHLTAPEAEQGVVSDGFPVNGSDNVDFSKAIKLCGNAGTKIGSNHSLGKHIPDLCVAHSPVRVNSSNQTANDALTDAEKLRNYADRLKSSGFAFESNEIYFKAALKFLGVAARLETSNSESGRHCDLNQMQVYNTASKLCEMCALEYERRREMAATALAYKCMEVAYMRIVYCKQSTSSRDRNELQATLQMVPQGESPSSSASDVDNLNNQQSVEKAPLAKGLVSHVGGTHVIVARNRPSFVRLLDFTQDVSFAMEASRKSQNAFTTATASLEETQNTECIISVRKVIDFSFQDVEGLICLVQQAMEAITRSGLAGARD >KJB72646 pep chromosome:Graimondii2_0_v6:11:45123794:45131000:1 gene:B456_011G188700 transcript:KJB72646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENSEPEEGEACYHRDDDDDINPDTAFSYLDEKIKNILGHFQKDFEGGVSAENLGAKFGGYGSFLPTYERSPTRLSCPKTPQGNSSTPRSTNNLSMEGAFQNLKAPPNAPPSGRPGKATCPSGSIAAKHDSHLSFNHVAEKPALKDDRFNRAEIPTDQKTLKVRIKVGSDNKVQKNSAIYSGLGLDDSPSSSLGNSPEESGGTVMGSQGTTNESPTKILQVMTSYHVPGGVLISPLHESLLCLLRKEKEGPSRESKSIPLLKACPENSSGLIDESMLGNGKQLREKKTKFLIGKSKKVVESKQGNRMNVENDKKMLIKNKLQNENAGGKELLSHDLKHTALSNSVNVADSVESMPRVCDLSAEANQDGSRGGLFSSDSSKKDSLESISGRSRASGKKKKKDIQRSSVEKVWEQSVVDTGKNASVDLGDNVGSKCYQNTAPLKCKEDSKTKVGQEATFPVQNETNIPSEMENTLFVGKKKSKGSNNAGKIADSMKESLTLDVSGTPKDTTSSSQSFSSGKSKMNKLKLQKDINKVRDNHRDALDTNFKQKRDKMDPSMRPFHNRPKDAGPTTDFERGHYGYLDKSKEIFSGGTVDNQLLGVDAPGVVPDLSDQTLASQTTALATTASVFIEENWVQCDRCHNWRLLPFDTRPEQLPEKWLCSMLNWLPGMNRCDISEEETTKALRALYQVPVAENQSNPENHANGTMSLVTSAHLQHLDQKNSSFNSQVSSIQGKKNHGQKELPKAGNSGLSLMSNSKKNQRQESLKSRSLKNVTQVPIESNLTKKSSFQQKEKYPVAGVTKQAKMKNKRESGLYANGSSKKVKTEVVYTTDKHQSANLDVRTVGLNSSTGLPTQANGRSMQKYNECTNSGDLKHDLKERSVVSVRKLVDQTQASSDGVSLDMKRCDEKASVKKRKLEDREDSENGNKLYSKEESSESGFRNGKKSRVSKIEGEQPHRNDVDGTSNRKSMDHLIGGANEVRSIDRNQQLRKHKKKSSHKTLDGLDLLRRDSETGQNLKAATSSSSKVSGSHKTRVNFEEARGSPVESVSSSPMRTSYPEKLASTKGEGSGYAAAANTGIPPSGNSRSWDREGTVELAQSVSEMKERVSGDFNPKSHKISTAGCQDRDSIRKISIKTKPSSRLGKSHLHNGDTHFSENGLNALEWPHGEDRVNKECQVVLPQKSDKGYISQTKNNERSSAADKMKVYDPAERQEDLCSRKNMKYQSDVDPEGHACLQETTADCKLNLPKPSKDGKNNVGRRDPSGQWSSDNRMEAQSNKKHEVDAKTAAACSTKGKTAPEKNVIQDFDGQTRQVETRNGISKSSLHCENESQQEIAGHLTAPEAEQGVVSDGFPVNGSDNVDFSKAIKLCGNAGTKIGSNHSLGKHIPDLCVAHSPVRVNSSNQTANDALTDAEKLRNYADRLKSSGFAFESNEIYFKAALKFLGVAARLETSNSESGRHCDLNQMQVYNTASKLCEMCALEYERRREMAATALAYKCMEVAYMRIVYCKQSTSSRDRNELQATLQMVPQGKPGLFFCD >KJB72132 pep chromosome:Graimondii2_0_v6:11:29649361:29651579:1 gene:B456_011G160600 transcript:KJB72132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITKVLPDDCISLIISLTSPRDACRMALLSHAFNSIADSNAVWQMFLPLDYIHIISNSSSPPSLLSLPKKDLYFTLCYHPVLTHNGDMKFQLEKESGKKWYMVGARALSIQWVDTPRHWTWISLPDSRVAKLKNVGRLEIKGKAYTCGVGCQH >KJB72134 pep chromosome:Graimondii2_0_v6:11:29649329:29651918:1 gene:B456_011G160600 transcript:KJB72134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITKVLPDDCISLIISLTSPRDACRMALLSHAFNSIADSNAVWQMFLPLDYIHIISNSSSPPSLLSLPKKDLYFTLCYHPVLTHNGDMKFQLEKESGKKWYMVGARALSIQWVDTPRHWTWISLPDSRVAKLKNVGRLEIKGKVKLNTLSSNTNYEAYFVFKLVRDRYGFRHTPVELDVSIEGTAAGEMRSVILDPPRNMPQQAKERVDGWLEIEMGEFFNGFENDRTVEFSLREDHDDQPKRGLIVQGIELRPKHKNNR >KJB72135 pep chromosome:Graimondii2_0_v6:11:29649329:29651931:1 gene:B456_011G160600 transcript:KJB72135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITKVLPDDCISLIISLTSPRDACRMALLSHAFNSIADSNAVWQMFLPLDYIHIISNSSSPPSLLSLPKKDLYFTLCYHPVLTHNGDMKFQLEKESGKKWYMVGARALSIQWVDTPRHWTWISLPDSRYGFRHTPVELDVSIEGTAAGEMRSVILDPPRNMPQQAKERVDGWLEIEMGEFFNGFENDRTVEFSLREDHDDQPKRGLIVQGIELRPKHKNNR >KJB72133 pep chromosome:Graimondii2_0_v6:11:29649329:29651918:1 gene:B456_011G160600 transcript:KJB72133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITKVLPDDCISLIISLTSPRDACRMALLSHAFNSIADSNAVWQMFLPLDYIHIISNSSSPPSLLSLPKKDLYFTLCYHPVLTHNGDMKFQLEKESGKKWYMVGARALSIQWVDTPRHWTWISLPDSRFCRVAKLKNVGRLEIKGKVKLNTLSSNTNYEAYFVFKLVRDRYGFRHTPVELDVSIEGTAAGEMRSVILDPPRNMPQQAKERVDGWLEIEMGEFFNGFENDRTVEFSLREDHDDQPKRGLIVQGIELRPKHKNNR >KJB72131 pep chromosome:Graimondii2_0_v6:11:29649271:29651918:1 gene:B456_011G160600 transcript:KJB72131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITKVLPDDCISLIISLTSPRDACRMALLSHAFNSIADSNAVWQMFLPLDYIHIISNSSSPPSLLSLPKKDLYFTLCYHPVLTHNGDMKFQLEKESGKKWYMVGARALSIQWVDTPRHWTWISLPDSRHTPVELDVSIEGTAAGEMRSVILDPPRNMPQQAKERVDGWLEIEMGEFFNGFENDRTVEFSLREDHDDQPKRGLIVQGIELRPKHKNNR >KJB73951 pep chromosome:Graimondii2_0_v6:11:59399297:59401585:1 gene:B456_011G263200 transcript:KJB73951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLPKLTSLCFENYFEFHCLAYLELRNCPLLKTFISKCVPEDEPQIGQHVQASNLEVHNSSLLNEKVVFPSLKNLWIQNCDSLEEIIELQGLIPDESQSTSAAQSIVAETVTTKFVFPKLINLGLDKVPRLKSFCSRMHTTLWPSLKQMSVIECPKVQIFTRQSPESQVGISNQQPLFCVNEDTFPVLEKLTLKTNDMVKGICDGQLSLQCFQNLKHLNLQFFPETSITLPYSFIRLLPKLQKLVINNASIFEIVRSEGLSEEERHTSVFYQLKDLRLSQLPELTLKNFNPSLLSFKNLTTLKVSRCHGFINLIACSTAKCLTLLERLSIDDCEMIEEIIACEAEEIQGSIVFPKLKYLQLSCLPCLASFSLAHHSLEFPVLLMVKVTKCPKMRNFCQGDLSTPRLEQIHLTRDEEGELQWEGDLNTTIKHLFDEMNVQNSEVTEVTDQLPKLE >KJB74117 pep chromosome:Graimondii2_0_v6:11:60620100:60622957:1 gene:B456_011G274000 transcript:KJB74117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEAMHQSPHVLIFPFPAQGHVNSMLKLAELLALASLKVTFLNPKYNHECLVCHIYILSHFTQYPGFKFETIPDGIPQDHPLLGIDNPPPDCIIGDGALGLTFDVANELGIPIIQFCTISAVLVALQFCQGQLTEFWFGLVNTGKRFLWILRPEIVPENDRGHDDVPAELVEGKKKKKGGYIVGWAPQEEVLAHWAISGFLTHSGCNSTLESMSTGSTSRMNFNDINEPIIQLIIKQTQKITQGNALIFNPTEELDGPILSQIRTKCPNDKSCIAWLDKQPNRSIIYASLGSTTSTSRDQLVELWYGLLNNKTNFLFVVRQDSVIGKDEGEDVVKELSKKSKARGYIKIGLDMKDVCDRKIVEKMVNDVMVDRKEEFAISASEMAKVTNRSVSADGSSYSNFDRLIDDIRIMSLKTP >KJB69688 pep chromosome:Graimondii2_0_v6:11:2796470:2798037:-1 gene:B456_011G037200 transcript:KJB69688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRKPPLPRILLNDVSCMRNAQQILRHVNVSVHDGGALVLTGSNGSGKTTFLRMLAGFSRPSAGQILWNGHDISQSGIFHQYKLQLNWLSLKDAVKEKFTVLDNVQWFEVLEGKHGKSLPALELMGLGRLAKDKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDNEGVRLLEYIIAEHRKKGGIVIVATHLPIQIEDAMNLRLPPRFPRRMTLVDMLDRADIA >KJB69852 pep chromosome:Graimondii2_0_v6:11:4365585:4366497:1 gene:B456_011G055500 transcript:KJB69852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMSLTSSFPLHVPVTASTSLVKHVNKIAMLKHNQVPNNKKPTFQIRSFSKKNKVFEDQSQGLICYEDENGEIVCEGYDEGPRFHQRTFYHLRDAEILDLLQDRWLQIVNDGGLSSANEGVITVKDDSQV >KJB74415 pep chromosome:Graimondii2_0_v6:11:62431195:62433589:1 gene:B456_011G293000 transcript:KJB74415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNALRLLYEKSLEAVVITEGGSVEPRYTTTAPRVSALARDLLNFERTSLVPEDLTQHVVSSRKAQVKWYGKLLQAWKEAKPRPKTPEEVARLIVETLSRHQKADVEGLLEFYDLPHPTIFEEISTGVPIRLPEGVKFEMHTLPVDGNTVPDGDGLNVYVNTDDPRESSNIPRAVLMAAVRRSKARAKKNYARADELREKIIESGYQVIDFQNEEILARKYRIRLRGIDAPEMSMPFGKEAKEELAKLVHGKCLRVLAYGEDQYGRCVADIYCNGIFAQEVMLKKGLAWHYVAYDRRVEFATWQKEARAKRTGLWVQSNPEKPWEWRKKNKQ >KJB69422 pep chromosome:Graimondii2_0_v6:11:1637057:1638426:1 gene:B456_011G022900 transcript:KJB69422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIHNVNPWDCFKSIEITTTTMVSTISNNNDPLFSCIITVFILILLYFPHRFFSLRILFSPVLVLTASLLFSLLRLGVTQRTQTETAGKIISPAVPEEEDKEEETDSSQGELKWEKCKNDPDLTIRSFEEMFVEWDVKAPLEVIYEEGDEEGKDPDPNQNQNQDQNQTHGIERYPSLSLYYPESDSDSSSSTSEETDFPEIGEWVSSEKIGYRWEEEDKEGLIEIVLDKREIDFHGEDDNLIEIDIS >KJB72233 pep chromosome:Graimondii2_0_v6:11:32798755:32801803:-1 gene:B456_011G166200 transcript:KJB72233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTSNSKHRPFSIKLWPPSESTRLVLVQRLTNNLSSNSIFTQKYGALNKEDAEENAKKIEDIAFNVANEQYDREPDGDGGAAVQLYAKECSKLLLEVLKRGPEKEKELASQNNASAETFFDISKGQRAFIKAEEAENLLRPLKEPGNSYTKICFSNRSFGLEAARVAEPILVSLKNQLKEVDLSDFIAGRPETEALEVMNIFSAALEGSVLKSLNLSNNALGEKGVRAFGSLLKSQNCLEELYLMNDGISEEAAKAVCELIPSTEKLKVLHFHNNMTGDEGALAISDVVKRSPLLEDFRCSSTRVGSGGGVALAKALESCTNLKKLDLRDNMFGVEAGVALSKALSKHLDLVEVYLSYLNLEDEGTVAIANVLKESAPSLEVLEMAGNDITAAAAPTIAACIAAKQHLTKLNLAENELKDEGTIQISKALEEGHTLLKEVDMSTNFIRRAGARHLAQVVVQKPGFRLLNINGNIISDDGVDEVKEVFQKYPDVLGSFDENDPEGDDDDGENSGEGEANEDELESKMKNLEVGQEE >KJB72234 pep chromosome:Graimondii2_0_v6:11:32799005:32801730:-1 gene:B456_011G166200 transcript:KJB72234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTSNSKHRPFSIKLWPPSESTRLVLVQRLTNNLSSNSIFTQKYGALNKEDAEENAKKIEDIAFNVANEQYDREPDGDGGAAVQLYAKECSKLLLEVLKRGPEKEKELASQNNASAETFFDISKGQRAFIKAEEAENLLRPLKEPGNSYTKICFSNRSFGLEAARVAEPILVSLKNQLKEVDLSDFIAGRPETEALEVMNIFSAALEGSVLKSLNLSNNALGEKGVRAFGSLLKSQNCLEELYLMNDGISEEAAKAVCELIPSTEKLKVLHFHNNMTGDEGALAISDVVKRSPLLEDFRCSSTRVGSGGGVALAKALESCTNLKKLDLRDNMFGVEAGVALSKALSKHLDLVEVYLILEMAGNDITAAAAPTIAACIAAKQHLTKLNLAENELKDEGTIQISKALEEGHTLLKEVDMSTNFIRRAGARHLAQVVVQKPGFRLLNINGNIISDDGVDEVKEVFQKYPDVLGSFDENDPEGDDDDGENSGEGEANEDELESKMKNLEVGQEE >KJB71262 pep chromosome:Graimondii2_0_v6:11:14350528:14355348:-1 gene:B456_011G113400 transcript:KJB71262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRKLEQLHTTLTFMQSHNFVPSSTLDSNRFIANLILLLLQPCGELNLDMKFRLLSEYLPKISVSFLEEASQWVRFETDERDYQEVNDPSGKKDCLPLQINHEEVAMVGLEAMERANSTLEDFCRSYFMFHGVDIDSPLMIFKYFPVLAFTESYIYQLDGLNEKILSIPTEGDTSLAKEFEKMNYQCWAETFANMLEKDPFRPLLNVLELRGLLNDRIREEFRSGEEYWALERKLCRALTHKMEISIKDVMRAIHLKSFDYRVLNLLLYQLRGQEVNDLHMEFLSVSEFLVEISDDLFDYEDDVLENNFNILRMFVKIYGPAAAPAMLAKYITDAEERYDNLLKTLDPQLSSKYQRRCEEATKEGGKASGHPLGTWSIPPVIVNEDLYRSNCLNTE >KJB71263 pep chromosome:Graimondii2_0_v6:11:14352245:14355211:-1 gene:B456_011G113400 transcript:KJB71263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRKLEQLHTTLTFMQSHNFVPSSTLDSNRFIANLILLLLQPCGELNLDMKFRLLSEYLPKISVSFLEEASQWVRFETDERDYQEVNDPSGKKDCLPLQINHEEVAMVGLEAMERANSTLEDFCRSYFMFHGVDIDSPLMIFKYFPVLAFTESYIYQLDGLNEKILSIPTEGDTSLAKEFEKMNYQCWAETFANMLEKDPFRPLLNVLELRGLLNDRIREEFRSGEEYWALERKLCRALTHKMEISIKDVMRAIHLKSFDYRVLNLLLYQLRGQELL >KJB71337 pep chromosome:Graimondii2_0_v6:11:15431733:15435300:-1 gene:B456_011G117100 transcript:KJB71337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFILLSQCMFKASLSTSTTERPTDNPNSTAFIHATASAAKEVVSYIKINLFSLCIEAFHFVSSMKCFLSVQVAQSAHPKTLPS >KJB71867 pep chromosome:Graimondii2_0_v6:11:23397083:23398549:1 gene:B456_011G145200 transcript:KJB71867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSQKLKKHVDEGQCKPRAPGRGYRAQPHPSKKSQLYAASKLAFISGAPAGCQCTVREPTESATCASKADRPLRYERMKETNFGGVEERLSWY >KJB72031 pep chromosome:Graimondii2_0_v6:11:26596475:26597528:1 gene:B456_011G154200 transcript:KJB72031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVPPTGASSQASRGSKRKWVPEEDAALVSCMVDLHNVGTFNADTGFKAGYLNELEKMLEKALPRAMLKAKPNIESQIRCLKREWSVVYDMLNGQNNNGFGWDEHRQLVVAEDAVWESYVKSHKEASQFRHRSFPYYNQLTAIYARDRATGKDAQTAADRNIFYDCEAEVSLDDMDVSSIDPRGDRDQGGSSSSNKRKKKSDARDNVYSSFEEAATLLGEKIQAVGDKISMSIASEVLVQQKSEEKMEEKSSNLYSALWSIEGLTDDQRYDALSKIPDHPTQMIVFFSLPSVARLEWVRRFLSHH >KJB71320 pep chromosome:Graimondii2_0_v6:11:15323681:15327155:-1 gene:B456_011G116600 transcript:KJB71320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIMANLPGSLETRHRLSASIEAIYKRKINKNKVKDVEKPFHVPLQDRHTCCKSPLLKLALLLIVSASFLKLFYSSHLSSTDHLSATTSRSHFMNRWIWGEADSRYVSHVDTNWDDVMKVIEKLDKKNDYQGIGLLNFNTTEITNWKHLIPDANHIVLQLPNADMNITWETLYPEWIDEEQEEDVPVCPTLPKIQVPGKRLDLIAVKLPCRNEGNWSRDVARLHLQLAAANLATSAKGFYPVHVLFVSKCFPIPNMFPCKELVLRKNNVWLYKPDLNVLREKLQLPTGSCELALPIGIKEPTYSGNASREAYATILHSAHVYVCGAIAAAQSIRMSGSTRDLVILVDETISVYHRSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNATLFNSGVMVIEPSNCTFQLLMDHIDVFESYNGGDQGYLNEVFTWWHRIPRHMNFLKHFWIGDEEEVKQKKTRLFGAEPPILYVLHYLGIKPWLCYKDYDCNWNVDIMLEFASDVAHERWWKVHDAMPEKLHQFCMLRSKQKAQLEVDRREAEKANFSDGHWRIKVKDRRRKKCIDNVCSWRSMLKHWGESNWTDDEFYVPTPPAINTASLSGL >KJB71319 pep chromosome:Graimondii2_0_v6:11:15323194:15327397:-1 gene:B456_011G116600 transcript:KJB71319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIMANLPGSLETRHRLSASMSHFMNRWIWGEADSRYVSHVDTNWDDVMKVIEKLDKKNDYQGIGLLNFNTTEITNWKHLIPDANHIVLQLPNADMNITWETLYPEWIDEEQEEDVPVCPTLPKIQVPGKRLDLIAVKLPCRNEGNWSRDVARLHLQLAAANLATSAKGFYPVHVLFVSKCFPIPNMFPCKELVLRKNNVWLYKPDLNVLREKLQLPTGSCELALPIGIKEPTYSGNASREAYATILHSAHVYVCGAIAAAQSIRMSGSTRDLVILVDETISVYHRSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNATLFNSGVMVIEPSNCTFQLLMDHIDVFESYNGGDQGYLNEVFTWWHRIPRHMNFLKHFWIGDEEEVKQKKTRLFGAEPPILYVLHYLGIKPWLCYKDYDCNWNVDIMLEFASDVAHERWWKVHDAMPEKLHQFCMLRSKQKAQLEVDRREAEKANFSDGHWRIKVKDRRRKKCIDNVCSWRSMLKHWGESNWTDDEFYVPTPPAINTASLSGL >KJB70507 pep chromosome:Graimondii2_0_v6:11:7501415:7502922:1 gene:B456_011G076600 transcript:KJB70507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVGFYPEGNPSRNSQRPGQNPGLRGGLEIVFNQQ >KJB73122 pep chromosome:Graimondii2_0_v6:11:52003031:52003081:-1 gene:B456_011G2162001 transcript:KJB73122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCLWLVSLLNLVWCFIQ >KJB69073 pep chromosome:Graimondii2_0_v6:11:360012:362437:-1 gene:B456_011G004300 transcript:KJB69073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKHAQARGKKGLYEEDDVAKSELILNEKQQEQQNPSHLSDIRSHRYPGSRRAFQAVENIIHGSNGHLSSSASASIEAPKGCLKFLLSHCSYSSSPAATGSTRKTALNRRCHTKTPKSARVSRLPRSKPFCLTKENSSSASVSNKLNRVKSSKSSKSSTSETPTVFNPVVESHSEESEELRFTPMAASKIASCSVLDHLAAGNGNLKNRLVDDEKSNTSSSKSKTPPLQASFSPEIQCTATMATSATTPACYGAGHLISGVTDKRKCRARGVLAVVRSRLNNEKSNTSSKDCDAGLDNKFSASMLPSPVEASMHWLLSPCHENDHDKDDNQHSASSHGFLEEHKTRLSPSSPLLDLGVSFDWCNFSNDTSDATNSGTGKSQRSTNSMLISTQGPRFGLSLDCSSSPNVTPYSGLIPPKEEEKHGYNIDGRNSPLSADTLGSENVMQTPKSDSSLERPVMLSCSRLKDHKRPHHLHSELLSITEDLRMASLSPESHLSICDTNSSSIKFDHLSTPSDSMDLSRFQKILDDRYLWNSNSTFEDVSQSELRISWREGLASRIFEMDEFDSCRCLSDEEEDLNVDAGNVPTIKNGDENKEKLHSPLQCSYAESIATDGGGLAQSTDSDWNLCYKNNLFQV >KJB72565 pep chromosome:Graimondii2_0_v6:11:43995072:43995434:1 gene:B456_011G185200 transcript:KJB72565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AANEPNLQPVPSFILACGETEPFVAAAVPFSPKISCPSTTMVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGI >KJB73995 pep chromosome:Graimondii2_0_v6:11:59759750:59761606:-1 gene:B456_011G266000 transcript:KJB73995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKGFTYLVYLIIISTAVEARIPGVYSGGAWQSAHATFYGGNDASGTMGGACGFGNLYSQGYGVNTAALSTALFNNGFSCGACFEIKCANDPQWCHSGSPSIFITATNFCPPNYALPNDNGGWCNPPRSHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKRGGIRFTINGFRYFNLVLITNVAGAGDIVKASVKGSKTEWMSMSRNWGQNWQSNAVLVGQSLSFRVTGSDRRTSTSWNIVPSHWQFGQTFTGKNFRV >KJB74023 pep chromosome:Graimondii2_0_v6:11:59989409:59992135:1 gene:B456_011G267900 transcript:KJB74023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLYNFNNVSLYSKKFSVKNPSKSPLCFIKPHSLNYHKPKVFEDKLEKGSFSLSETFSKAGLLALVSASILLVDPALAFKGGGPYGSEVTRGQDLTGKDFSGKTLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSEADLRGVDFSLANVTKANLSNANLEGALATGNTSFKGSNITGADFTDVPLRDDQREYLCKIADGVNPTTGNATRDTLLCN >KJB74022 pep chromosome:Graimondii2_0_v6:11:59989405:59992560:1 gene:B456_011G267900 transcript:KJB74022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLYNFNNVSLYSKKFSVKNPSKSPLCFIKPHSLNYHKPKVFEDKLEKGSFSLSETFSKAGLLALVSASILLVDPALAFKGGGPYGSEVTRGQDLTGKDFSGKTLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSEADLRGVDFSLANVTKANLSNANLEGALATGNTSFKGSNITGADFTDVPLRDDQREYLCKIADGVNPTTGNATRDTLLCN >KJB70642 pep chromosome:Graimondii2_0_v6:11:8750737:8753739:-1 gene:B456_011G085000 transcript:KJB70642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 6 [Source:Projected from Arabidopsis thaliana (AT1G52520) UniProtKB/Swiss-Prot;Acc:Q9SSQ4] MEVEEEKEAFPSNNDCLAEGKCDGQILKEINSEPTESFDVQNSLPEGKKEFVAPAVGMEFESYDDAYNYYNCYAKEAGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNRLRKETRTGCPAMIRMRVVDSKRWRVLEVTHEHNHLLGAKIYKSVKKMGSGARSKLQSSSDAEVQKIKLYRALVIDAVGNGTPNCNATGGRKFSDHPHQLNLRKGDSQAIYNYLCRLQLTNPNFFYLMDFTDEGCLRNVFWVDSRCRASCGYFGDVIYVDNTCMSNRYETPLVALVGVNHHGQTVLLGCGLLAGETVESYTWLFKAWLTCVSGQYPQTIITDRCKALQTAIAEVFPKSNHRFSLSLIMKRVPEKLGGLRNYDAIRKTFVKAVFETLKVIEFEAAWGFMIQHFGVTDHEWLRSLYEDRARWAPVYLKDTFFAGLSASRPGENLSPFFDKYVHKQTPLKEFLDKYELALQKKHKEETLADIESRNSSPELRTRCSFELQLSKLYTREIFKRFQFEVEEMYSCFSTTQLHVDGPIIIFLVKERVLAEGNRREIRDYEVLYNRSASEVRCICSCFNFYGYLCRHALCVLNFNGVEEVPSKYILSRCKKDYKRFYVPDQGSYNVDSIDHMQWFNQLYRSALQVVEEGAISLDHYKVALQAFEDSLNRVHVVEEKQD >KJB70164 pep chromosome:Graimondii2_0_v6:11:5082693:5085668:-1 gene:B456_011G061800 transcript:KJB70164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPENLNKDSNGYESAEKIILRWDSTASEDARERMIFETDRQEADLYLQAVDEIQRSLSNVSISTSSNAGKNANAVDDQSKVNTTIQIAMARLEDEFRNILITHSSALETDSLFDPSSSSISTPSHHELEEDDTLSNDDSSHHDLLQLQLQHCESSDSSTYRSTSSIREVDLMPSEAICDLQAIAMRMISSGYLRECIQVYGSVRKSAIDSSFRKLGIEKLSIGDVQRLEWEALESKIRRWIRAAKVCVRILFASEKKLCEQIFECVGTDIDDACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALMDLLPDIEAVFDSKSSDSIRVQAAEILSRLAEAARGILSEFESAVLREPSRVPVPGGTIHPLTRYVMNYISLISDYKQTLIELIISKPSTGSRYSGDQTTPDMEFAELEGKTPLALHLIWIIVVLQFNLDGKSKHYRDASLAHLFIMNNVHYIVQKVKGSPELREMIGDDYLRKLTGKFRQAATSYQRATWVSVLYCLRDEGLHVSGSFSSGVSKSALRERFKTFNAMFEEVHRTQATWLIPDTQLREELRISISEKLIPAYRSFLGRFRSHIESGRHPENYIKYSVEDLETAVLDFFEGYPVSQHLRKRSQ >KJB70413 pep chromosome:Graimondii2_0_v6:11:6689960:6692867:1 gene:B456_011G072000 transcript:KJB70413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKYKAIGDETLNEEFCNNLATRFSCSSNRLGKSTITWQQVQLFFQEKQMEVQTQQESSAMALKLFVDLSGEDSSKPLEVMQKRKGTVEDLKELSFEARSAKDYAWYDVETFLNYRVLCNGELEVRVRFAGFDKAEDEWVNVETAVRERSIPLEPSECGMVNIGDLVLCYLDREYYQLYCDAHVVDIQRQTHDDKGCTCVFVVCYDHDYSEENVSLERICRRPIT >KJB70412 pep chromosome:Graimondii2_0_v6:11:6689676:6692928:1 gene:B456_011G072000 transcript:KJB70412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNDSWDSLSEFTLAEILEMENKYKAIGDETLNEEFCNNLATRFSCSSNRLGKSTITWQQVQLFFQEKQMEVQTQQESSAMALKLFVDLSGEDSSKPLEVMQKRKGTVEDLKELSFEARSAKDYAWYDVETFLNYRVLCNGELEVRVRFAGFDKAEDEWVNVETAVRERSIPLEPSECGMVNIGDLVLCYLDREYYQLYCDAHVVDIQRQTHDDKGCTCVFVVCYDHDYSEENVSLERICRRPIT >KJB73583 pep chromosome:Graimondii2_0_v6:11:56418001:56419848:1 gene:B456_011G239700 transcript:KJB73583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNDPLEENIANMYKTEGANTRKLLGTRRKNNPYAGGVFEVDIHFPPQYPFEPPEVVLRTKIFHPNIDGNGSIGLDILKDRWSTNLTISQVLHSICSLLKNPNPDAPLVPEIAHMYKTNRSEYDTTTRSWTEKYAKG >KJB69545 pep chromosome:Graimondii2_0_v6:11:2182702:2184326:1 gene:B456_011G029700 transcript:KJB69545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGAKAKTNFPTPSSSSSPPPALSLDLNLASHNPHRWALASPHHHHRFAAVGDFLQAGGIKDMNFGPDPSSSTRPNVLSVSTSPPVTANGNTASFLGIVRRGLPIDLNEPPPLWL >KJB69367 pep chromosome:Graimondii2_0_v6:11:1352809:1356210:-1 gene:B456_011G019600 transcript:KJB69367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFLSLTPFFYGSVTFVASTKISHSWTNRRSGNYQPCLWNDDDLQLLNSNYKGDEYTRQVEELKGTVKKMMIGDQPLEQLEMIDNLQRLGVAYLGGNLYATALEFRLLRQHGYHSSSGEVMLSLCNDVKAMMSLYEASHYCFEGESIMEAAWEFTSKNSDMWKHAMELPLHWRMRRLEARWYIDVYERQADLNPINTGLGEILRFARNRLVESFLWTVGIDFEPRFGSCRKTLTKAIALITVIDDIYDVYGTLDELELFTDAVERLVGKMWDIKAMKQLPDYMKICFLALYNTVNEMAYNILKEQGHDIVSNLKKTWVDLLRSYLLEARWYHSGYTPTFEEYMKNAWISITGPLVAIKASLFVTNQTNQKELEFLESYPDLLYWSSDELKRGDVAKSIQCYMHENGVSEEVAHEHVKNLMREAWKKVNTHRVAVSLLSQTAIGIILNLVRTAHCIYQHGDGHGSHNHKTKDHAMSLLFDHFLL >KJB74423 pep chromosome:Graimondii2_0_v6:11:62497891:62499937:-1 gene:B456_011G294300 transcript:KJB74423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDLEARRPLVSRQNLINGKQDATQAVASGIFQINTAVSTFQRLVNALGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHSAGISASKKVADAKLAKDFQAVLKEFQKAQRLAAERETSYAPSVPKAVLPSSYSADEVDVGSDAGAVQQAFLLESRRSFTCST >KJB74435 pep chromosome:Graimondii2_0_v6:11:62569242:62570558:-1 gene:B456_011G295100 transcript:KJB74435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF15, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G74120) UniProtKB/Swiss-Prot;Acc:Q9C6A1] MVISSLTKASLFYRLSSTQYFSTVSPKISQFRNQISLANLLQRYGFPPTQLHSFLAKNQFLLNRSNVHDIQNSLNILLSFKIPQPSFISLLFDCPAVLDSNFLKKWQIGISKFGNLGISPVGICNVLALSRRFRIDPDLFLNRVGVLKDLGFNGGVLTRVLERFPRIIMMKEDDLCEKIGFLEGIGISRYGIEMVFHLFPEVLGFDVENRLKPLLDEFLELGFSENMIRDEIIKDSRVLSMELGEMSRCLGLLKTLKCRAPIEDKIFSEGEFRAGFEVKLRVDCLCKQGLIHREAFKILWKEPRLILYEVEEIEKKIDFLVNTMNYKVGCLVEVPEYLGANFDKQILPRYNVVEYLRSQGALEFDVGLKSLIKPSRLRFYNLYVKPYPECEKMFGRFAEGAAIQGRHPVGMWKLFKPQKYAESKEDVNNTKSFMEPLV >KJB69192 pep chromosome:Graimondii2_0_v6:11:722572:727077:1 gene:B456_011G010000 transcript:KJB69192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRENNSSLSSAASPRVRHRKRSNEAIPEPSKANGGKLLVDDRNKYKSMWIRTYSTVWMIGGFALIVYMGHLYITAMVVVIQIFMAKELFNLLRKAHEDRHLPGFRLLNWHFFVTAMLFVYGRLLSQPLVNTITSDKFLYQFVCSLIKYHMAICYFSYIAGFIWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIIINDIFAYIFGFFFGRTPLIKLSPKKTWEGFIGASVTTIISAFVLANILGRFQWLTCPRKDLSTGWLQCDPGPLFKPEYYTLPGWISQWFTWKEISVLPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYLQSFVAREGITVEMILDQILTNFSFEEQQSLLIKLGQILQDRVAYS >KJB69191 pep chromosome:Graimondii2_0_v6:11:721212:727077:1 gene:B456_011G010000 transcript:KJB69191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRENNSSLSSAASPRVRHRKRSNEAIPEPSKANGGKLLVDDRNKYKSMWIRTYSTVWMIGGFALIVYMGHLYITAMVVVIQIFMAKELFNLLRKAHEDRHLPGFRLLNWHFFVTAMLFVYGRLLSQPLVNTITSDKFLYQFVCSLIKYHMAICYFSYIAGFIWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIIINDIFAYIFGFFFGRTPLIKLSPKKTWEGFIGASVTTIISAFVLANILGRFQWLTCPRKDLSTGWLQCDPGPLFKPEYYTLPGWISQWFTWKEISVLPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYLQSFVAREGITVEMILDQILTNFSFEEQQSLLIKLGQILQDRVAYS >KJB71830 pep chromosome:Graimondii2_0_v6:11:22744350:22757566:1 gene:B456_011G143700 transcript:KJB71830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPLGNRRRVSATLPFSFLVALSFAVAAIAGGSKSPYCNNPVQLAMVKIWVDGDEGEYLAGLTASFGATLPEEKNKVPKLPAVFSNPLNGCSSSSSKLSGSAALSVRGDCDFVTKAKVAQSGGAQALLVINDKEEIEQIDCSGDVSPNISIPVVMVPKSVRDDLTKTMANKRVELLLYAPTRPIVDISVVFLWAMSVGTVFTASLWQEFGISEQTEKRLNESSSKESSDAGTDSDNDQETIDISVKGAILFVILASVFLLLLFFFMSSWFLLVLTVLFCIGGVQGMHNIIMTPVTRKCRNCPQKTVRLPVIGEVSVLSLGVFLFCVIFAVAWAVHRRASYSWVGQNILGICMMINVLQLARLPNIKVATVLLCLAFFYDIFWVFISPLIFQQSVMIAVAKGKNTGGEAIPMLLRVPRLIDPWGGYNMIGFGDILFPGLLITFTYRFDRESKKSMGKGYFVWLMVGYGFGLFLTYLGLYLMNGNGQPALLYLVPCTLGVTVVLAAIRGDLKALWGYSSKSSAMINPTAEV >KJB71832 pep chromosome:Graimondii2_0_v6:11:22744251:22757636:1 gene:B456_011G143700 transcript:KJB71832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPLGNRRRVSATLPFSFLVALSFAVAAIAGGSKSPYCNNPVQLAMVKIWVDGDEGEYLAGLTASFGATLPEEKNKVPKLPAVFSNPLNGCSSSSSKLSGSAALSVRGDCDFVTKAKVAQSGGAQALLVINDKEEIEQIDCSGDVSPNISIPVVMVPKSVRDDLTKTMANKRVELLLYAPTRPIVDISVVFLWAMSVGTVFTASLWQEFGISEQTEKRLNESSSKESSDAGTDSDNDQETIDISVKGAILFVILASVFLLLLFFFMSSWFLLVLTVLFCIGGVQGMHNIIMTPVTRKCRNCPQKTVRLPVIGEVSVLSLGVFLFCVIFAVAWAVHRRASYSWVGQNILGICMMINVLQLARLPNIKVATVLLCLAFFYDIFWVFISPLIFQQSVMIAVAKGKNTGGEAIPMLLRVPRLIDPWGGYNMIGFGDILFPGLLITFTYRFDRESKKSMGKGYFVWLMVGYGFGLFLTYLGLYLMNGNGQPALLYLVPCTLGVTVVLAAIRGDLKALWGYSSKSSAMINPTAEV >KJB71831 pep chromosome:Graimondii2_0_v6:11:22744322:22757566:1 gene:B456_011G143700 transcript:KJB71831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPLGNRRRVSATLPFSFLVALSFAVAAIAGGSKSPYCNNPVQLAMVKIWVDGDEGEYLAGLTASFGATLPEEKNKVPKLPAVFSNPLNGCSSSSSKLSGSAALSVRGDCDFVTKAKVAQSGGAQALLVINDKEEIEQIDCSGDVSPNISIPVVMVPKSVRDDLTKTMANKRVELLLYAPTRPIVDISVVFLWAMSVGTVFTASLWQEFGISEQTEKRLNESSSKESSDAGTDSDNDQETIDISVKGAILFVILASVFLLLLFFFMSSWFLLVLTVLFCIGGVQGMHNIIMTPVTRKCRNCPQKTVRLPVIGEVSVLSLGVFLFCVIFAVAWAVHRRASYSWVGQNILGICMMINVLQLARLPNIKVATVLLCLAFFYDIFWVFISPLIFQQSVMIAVAKGKNTGGEAIPMLLRVPRLIDPWGGYNMIGFGDILFPGLLITFTYRFDRESKKSMGKGYFVWLMVGYGFGLFLTYLGLYLMNGNGQPALLYLVPCTLGVTVVLAAIRGDLKALWGYSSKSSAMINPTAEV >KJB72433 pep chromosome:Graimondii2_0_v6:11:42009305:42013116:1 gene:B456_011G178400 transcript:KJB72433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDNAFVRGPEDDRGKEDSLQILEDKKDTLGDYGMNCSELKSKQLGSPINPQHALILNVRRIQAGKSLINDALLRAVFGIGNKIPRHVVALDEKYLRYCLELIHVNAAKAAQCSISVNLSSTKTSILSNGQNPAKIRDENTEPWVVGSIMGSRSMANILKSPLLEKSGTFNVNPSLNDVKRLCTWNGGIPYFVFLLDNQREVYVANLAKDGAAQNKGLDYMYLFHSSKSSHKEHGISDHEFVGKMTASTSFSICPQDSKIMETEFVLFGGNKTSIREMQTSSNNHRKNKGLSEKVVEAFKSSHLSKQSTLSRFRRSSSIMEDSSWDPCRDSVNNSDSLDGMNLFKEQLPRNLELTAIVVRDRFPENPRPEVGGWGLKFLRKPVAMQNIDPLKATVHSFCSCNNGVCSTSMDVLIPAGIHGGPRTRNGGPSSLIERWRSGGHCECGGWDLGCPLTVLKSGPSKGGSPATDMPEDCKLFEFSIQGPEHGSPTLRMTNVDDGLYLIHFQSALSALQSLAIAVAYIHTQSPTFQPKNVQQSR >KJB72435 pep chromosome:Graimondii2_0_v6:11:42009305:42013116:1 gene:B456_011G178400 transcript:KJB72435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDNAFVRGPEDDRGKEDSLQILEDKKDTLGDYGMNCSELKSKQLGSPINPQHALILNVRRIQAGKSLINDALLRAVFGIGNKIPRHVVALDEKYLRYCLELIHVNAAKAAQCSISVNLSSTKTSILSNGQNPAKIRDENTEPWVVGSIMGSRSMANILKSPLLEKSGTFNVNPSLNDVKRLVSYDLMSSPSGFSSYSSYKLDSETYILDDCKYGSKTMHKRPVSMSSTNSTCSDQSFSLTSTTFSQGMLQCTWNGGIPYFVFLLDNQREVYVANLAKDGAAQNKGLDYMYLFHSSKSSHKEHGISDHEFVGKMTASTSFSICPQDSKIMETEFVLFGGNKTSIREMQTSSNNHRKNKGLSEKVVEAFKSSHLSKQSTLSRFRRSSSIMEDSSWDPCRDSVNNSDSLDGMNLFKEQLPRNLELTAIVVRDRFPENPRPEVGGWGLKFLRKPVAMQNIDPLKATVHSFCSCNNGVCSTSMDVLIPAGIHGGPRTRNGGPSSLIERWRSGGHCECGGWDLGCPLTVLKSGPSKGGSPATDMPEDCKLFEFSIQGPEHGSPTLRMTNVDDGLYLIHFQSALSALQSLAIAVAYIHTQSPTFQPKNVQQSR >KJB72434 pep chromosome:Graimondii2_0_v6:11:42009305:42013116:1 gene:B456_011G178400 transcript:KJB72434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDNAFVRGPEDDRGKEDSLQILEDKKDTLGDYGMNCSELKSKQLGSPINPQHALILNVRRIQAGKSLINDALLRAVFGIGNKIPRHVVALDEKYLRYCLELIHVNAAKAAQCSISVNLSSTKTSILSNGQNPAKIRDENTEPWVVGSIMGSRSMANILKSPLLEKSGTFNVNPSLNDVKRLVSYDLMSSPSGFSSYSSYKLDSETYILDDCKYGSKTMHKRPVSMSSTNSTCSDQSFSLTSTTFSQGMLQCTWNGGIPYFVFLLDNQREVYVANLAKDGAAQNKGLDYMYLFHSSKSSHKEHGISDHEFVGKMTASTSFSICPQDSKIMETEFVLFGGNKTSIREMQTSSNNHRKNKGLSEKVVEAFKSSHLSKQSTLSRFRRSSSIMEDSSWDPCRDSVNNSDSLDGMNLFKEQLPRNLELTAIVVRDRFPENPRPEVGGWGLKFLRKPVAMQNIDPLKATVHSFCSCNNGVCSTSMDVLIPAGIHGGPRTRNGGPSSLIERWRSGGHCECGGWDLGCPLTVLKSGPSKGGSPATDMPEDCKLFEFSIQGPEHGSPTLRMTNVDDGLYLIHFQSALSALQSLAIAVAYIHTQSPTFQPKNVQQSR >KJB72095 pep chromosome:Graimondii2_0_v6:11:28720032:28729435:1 gene:B456_011G158900 transcript:KJB72095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRSNSSGKLFPFIFSKLKVTPNEPFAPSFQAFSSTRIVGEKPILVRDFIHSALYDPNHGYFSQRSGAVGVLERSIKFNQLEGRKAYMKHLDKIYKQSGIAWFTPVELFKPWYAQGIAEAILRTANLSVPLKIYEIGGGSGTCAKGILDYIMLNAPPRIYNNMTYTSVEISPTLAEIQKQTVGEVNSHLSKFKVEHRDAMDRSGWGDVEQQPCWVIMLEVLDNLPHDLIYSESLVSPWMEVWVEKQLDREGLSELYKPLQDSLIKRCVEILELDKNDTKQSSIVSKAWSKLFPKPRRCWLPTGCMKLLEVLHAALPKMSLIASDFSFLPDVKVPGERAPLVSTKKDGHSSDYSNYLYAKGDADIFFPTDFWLLERIDHYCSGWLKLQKDKSSPQGKKRRTITIDTSSFMEEFGLPSKTRTKDGYNPLLDDFKNTKFYLSVPTHNIN >KJB69275 pep chromosome:Graimondii2_0_v6:11:1165884:1166693:-1 gene:B456_011G016700 transcript:KJB69275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIFETQKGKPFSIEVGFFDTVLEIKEKIHKYHGIPIPHQTLIFNGHVLQDDRDVEYCEILQNSRIQLLVSSDSDTSNSTKQPQPNAAAADHQLPSPAKKIQLNSNTPSSNTVVPSETDVNYNPLRLKEKIHGQMDPVPVDSLSSRECELAENSDVDVNIKPSPTGSGTGSAGTTAGTPTAAKKLKLLVLPKCGTKKIPVEVNATDNVSELRKELQKLHQRLHFQLPQEGYFFIYKQNVMDDDRSFRWHQVGQGDTIEIFNGSVTGGS >KJB72984 pep chromosome:Graimondii2_0_v6:11:49916879:49919867:-1 gene:B456_011G207500 transcript:KJB72984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILKLSEIECEPSSLGGRSREPQHLHFILKSWSESSFHLYFHIWTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKSIKRFLVRNIVEQAAVRDVQEACVYDTYTLPKLYVKMQYCVSCAIHSHVVRVRSRTDRRNRDPPQRFIRRRDDMPKPGQPGQAPRPGVAVPARP >KJB69653 pep chromosome:Graimondii2_0_v6:11:2659709:2669740:-1 gene:B456_011G035500 transcript:KJB69653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPNESLEDSPQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSNRGRLYEYANNSVKATIERYKKASDSSNTGSVAEVNAQFYQQEADKLRNQIRNLQNINRHMLGESVGGLPMKELKSLESRLEKGISRIRSKKNELLFAEIEYMQKKEIDLHNNNQLLRAKIAENERKQQSMNLMPGGSSNNFEDIHSQPYDSRNYFQVDALQPAANYYNPQQQQDQIVLQLV >KJB69655 pep chromosome:Graimondii2_0_v6:11:2659709:2669740:-1 gene:B456_011G035500 transcript:KJB69655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPNESLEDSPQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSNRGRLYEYANNSVKATIERYKKASDSSNTGSVAEVNAQFYQQEADKLRNQIRNLQNINRHMLGESVGGLPMKELKSLESRLEKGISRIRSKKNELLFAEIEYMQKKEIDLHNNNQLLRAKQSMNLMPGGSSNNFEDIHSQPYDSRNYFQVDALQPAANYYNPQQQQDQIVLQLV >KJB69652 pep chromosome:Graimondii2_0_v6:11:2660206:2669304:-1 gene:B456_011G035500 transcript:KJB69652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPNESLEDSPQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSNRGRLYEYANNSVKATIERYKKASDSSNTGSVAEVNAQFYQQEADKLRNQIRNLQNINRHMLGESVGGLPMKELKSLESRLEKGISRIRSKKNELLFAEIEYMQKKEIDLHNNNQLLRAKIAENERKQQSMNLMPGGSSNNFEDIHSQPYDSRNYFQVDALQPAANYYNPQQQQDQIVLQLV >KJB69649 pep chromosome:Graimondii2_0_v6:11:2660206:2669304:-1 gene:B456_011G035500 transcript:KJB69649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPNESLEDSPQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSNRGRLYEYANNSVKATIERYKKASDSSNTGSVAEVNAQFYQQEADKLRNQIRNLQNINRHMLGESVGGLPMKELKSLESRLEKGISRIRSKKNELLFAEIEYMQKKEIDLHNNNQLLRAKIAENERKQQSMNLMPGGSSNNFEDIHSQPYDSRNYFQVDALQPAANYYNPQQQQDQIVLQLV >KJB69647 pep chromosome:Graimondii2_0_v6:11:2659555:2669389:-1 gene:B456_011G035500 transcript:KJB69647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFSEKIVNGTIAFIMVYFFQLGSMVYPNESLEDSPQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSNRGRLYEYANNSVKATIERYKKASDSSNTGSVAEVNAQFYQQEADKLRNQIRNLQNINRHMLGESVGGLPMKELKSLESRLEKGISRIRSKKNELLFAEIEYMQKKEIDLHNNNQLLRAKIAENERKQQSMNLMPGGSSNNFEDIHSQPYDSRNYFQVDALQPAANYYNPQQQQDQIVLQLV >KJB69651 pep chromosome:Graimondii2_0_v6:11:2660391:2669304:-1 gene:B456_011G035500 transcript:KJB69651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPNESLEDSPQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSNRGRLYEYANNSVKATIERYKKASDSSNTGSVAEVNAQFYQQEADKLRNQIRNLQNINRHMLGESVGGLPMKELKSLESRLEKGISRIRSKKNELLFAEIEYMQKKCRCKSCCSRTCRRTLIFTLVTLIDEVIIFIIIEKKLTCITITNFFEPR >KJB69648 pep chromosome:Graimondii2_0_v6:11:2659674:2669740:-1 gene:B456_011G035500 transcript:KJB69648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPNESLEDSPQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSNRGRLYEYANNSVKATIERYKKASDSSNTGSVAEVNAQFYQQEADKLRNQIRNLQNINRHMLGESVGGLPMKELKSLESRLEKGISRIRSKKNELLFAEIEYMQKKEIDLHNNNQLLRAKIAENERKQQSMNLMPGGSSNNFEDIHSQPYDSRNYFQVDALQPAANYYNPQQQQDQIVLQLV >KJB69654 pep chromosome:Graimondii2_0_v6:11:2659761:2669740:-1 gene:B456_011G035500 transcript:KJB69654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPNESLEDSPQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSNRGRLYEYANNSVKATIERYKKASDSSNTGSVAEVNAQFYQQEADKLRNQIRNLQNINRHMLGESVGGLPMKELKSLESRLEKGISRIRSKKNELLFAEIEYMQKKEIDLHNNNQLLRAKIAENERKQQSMNLMPGGSSNNFEDIHSQPYDSRNYFQVDALQPAANYYNPQQQQDQIVLQLV >KJB69650 pep chromosome:Graimondii2_0_v6:11:2660486:2669304:-1 gene:B456_011G035500 transcript:KJB69650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPNESLEDSPQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSNRGRLYEYANNSVKATIERYKKASDSSNTGSVAEVNAQFYQQEADKLRNQIRNLQNINRHMLGESVGGLPMKELKSLESRLEKGISRIRSKKNELLFAEIEYMQKKEIDLHNNNQLLRAKV >KJB70229 pep chromosome:Graimondii2_0_v6:11:5406051:5406437:-1 gene:B456_011G064200 transcript:KJB70229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSTSLTSLNKFKRWQKSIFSILDMHGVAFTLNEFKPTNSFNKQFDLWVFANKICVHTIISIISNERFGVYSLYKEAKEIWDSVITGYTTKHLEMIEDKDIKVQINERHKLLADFKS >KJB70992 pep chromosome:Graimondii2_0_v6:11:10964054:10966540:-1 gene:B456_011G098800 transcript:KJB70992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIAMPGASLPTYSHYFARALGALEQKMNVGFVGSGAPQQFLPLSSNWSVGGADNCGLQSAPTWPVAGNQSPGAYANPGNQPLSVPKGWRNGDWICNCGFHNYSSRSQCKNCNASIPPALGTKRLASEEFVHDWDHKRLNLGNGKDEQLYPGFDQMVGATSDPKPGAYPSYPSINPAVASNWPAPIPFPPQAATTLLGKGAKQWRSGDWMCAKCNNHNYASRAQCNRCKTQRETVT >KJB70991 pep chromosome:Graimondii2_0_v6:11:10964367:10967060:-1 gene:B456_011G098800 transcript:KJB70991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGREGDWECSVCKNRNYAFRSFCNRCKQPRLLVDTKTPADSKWLPRIGDWICTACTNNNYASREKCKKCGQPKEIAAMPAIAMPGASLPTYSHYFARALGALEQKMNVGFVGSGAPQQFLPLSSNWSVGGADNCGLQSAPTWPVAGNQSPGAYANPGNQPLSVPKGWRNGDWICNCGFHNYSSRSQCKNCNASIPPALGTKRLASEEFVHDWDHKRLNLGNGKDEQLYPGFDQMVGATSDPKPGAYPSYPSINPAVASNWPAPIPFPPQAATTLLGKGAKQWRSGDWMCAKCNNHNYASRAQCNRCKTQRETVT >KJB70989 pep chromosome:Graimondii2_0_v6:11:10964367:10966241:-1 gene:B456_011G098800 transcript:KJB70989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIAMPGASLPTYSHYFARALGALEQKMNVGFVGSGAPQQFLPLSSNWSVGGADNCGLQSAPTWPVAGNQSPGAYANPGNQPLSVPKGWRNGDWICNCGFHNYSSRSQCKNCNASIPPALGTKRLASEEFVHDWDHKRLNLGNGKDEQLYPGFDQMVGATSDPKPGAYPSYPSINPAVASNWPAPIPFPPQAATTLLGKGAKQWRSGDWMCAKCNNHNYASRAQCNRCKTQRETVT >KJB70990 pep chromosome:Graimondii2_0_v6:11:10964367:10967060:-1 gene:B456_011G098800 transcript:KJB70990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGREGDWECSVCKNRNYAFRSFCNRCKQPRLLVDTKTPADSKWLPRIGDWICTEKCKKCGQPKEIAAMPAIAMPGASLPTYSHYFARALGALEQKMNVGFVGSGAPQQFLPLSSNWSVGGADNCGLQSAPTWPVAGNQSPGAYANPGNQPLSVPKGWRNGDWICNCGFHNYSSRSQCKNCNASIPPALGTKRLASEEFVHDWDHKRLNLGNGKDEQLYPGFDQMVGATSDPKPGAYPSYPSINPAVASNWPAPIPFPPQAATTLLGKGAKQWRSGDWMCAKCNNHNYASRAQCNRCKTQRETVT >KJB70993 pep chromosome:Graimondii2_0_v6:11:10964054:10967197:-1 gene:B456_011G098800 transcript:KJB70993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDPSVTDVSSLVFSLTPKLLLIPNGFLVLVIGSALCKKCGQPKEIAAMPAIAMPGASLPTYSHYFARALGALEQKMNVGFVGSGAPQQFLPLSSNWSVGGADNCGLQSAPTWPVAGNQSPGAYANPGNQPLSVPKGWRNGDWICNCGFHNYSSRSQCKNCNASIPPALGTKRLASEEFVHDWDHKRLNLGNGKDEQLYPGFDQMVGATSDPKPGAYPSYPSINPAVASNWPAPIPFPPQAATTLLGKGAKQWRSGDWMCAKCNNHNYASRAQCNRCKTQRETVT >KJB73487 pep chromosome:Graimondii2_0_v6:11:55374505:55378470:-1 gene:B456_011G234700 transcript:KJB73487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFHLFIISLMPVLKVLLVIIAGLILATQRFDLLGCDARRHLNKMVFYVFYPALIGCSLADTMTLEGLADLWFLPVNVLITCVIGSILGWILVIVTKPPRHLWGLVISCTSAANLGNMLLIILPALCKEKNNPFGEVASTCSANGKAYASLSLAIQIIYIWSVLYFLLRMYANNEVKETNSNNSIIVAANGCTRDVLHDPVGLPQIQNDRNQKVSTTVKFKQLFMKIMRSESLRKIFAPATIAAIVGFLIGIATPIRKTFIGDSAPLHVIYSAAELIGNAGIPSITLIVGANLLKGLSGSGVRPSVIIGILIIRNIFLPISGIGVIKAAKHLSLVDENSFYLFTLLIQYAIPPAMNIGTINQMLGSGESEFSMIMLWNYILAIFTLTFWIGFYMWLVI >KJB73486 pep chromosome:Graimondii2_0_v6:11:55374464:55378509:-1 gene:B456_011G234700 transcript:KJB73486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIILPALCKEKNNPFGEVASTCSANGKAYASLSLAIQIIYIWSVLYFLLRMYANNEVKETNSNNSIIVAANGCTRDVLHDPVGLPQIQNDRNQKVSTTVKFKQLFMKIMRSESLRKIFAPATIAAIVGFLIGIATPIRKTFIGDSAPLHVIYSAAELIGNAGIPSITLIVGANLLKGLSGSGVRPSVIIGILIIRNIFLPISGIGVIKAAKHLSLVDENSFYLFTLLIQYAIPPAMNIGTINQMLGSGESEFSMIMLWNYILAIFTLTFWIGFYMWLVI >KJB71585 pep chromosome:Graimondii2_0_v6:11:19836573:19844215:1 gene:B456_011G131100 transcript:KJB71585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAILRKRGFVSDYLNASIRSVQTFQFLGHPTHKLDSCCYTSNVDHPSEDFNYRKKLDINSVAKHDLMGFSGPRYFSYGSQGISVLSPGSGRFQFNSPSSVRLMSYAVRHASTATARQSEPGSDDEGNEELVAKRRKEASAEECDQAVEGLSSAKAKVKAKRLQDSQKAAESILQRVWATILGIGPALRAVASMSREDWAKKLVHWKHEIRSTLQHYWLGFKLLWADVRISSRLLLKLAGGRSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLHARIEYAKFLQDTVKEMAKEVQNSRSGEIKQTAEDLDEFLNRVRRGAGVSNDEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPFGTDAYLRYMLRKRLRWIKNDDKMIQAEGVESLSEAELREDCRERGMLGALSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKLKPEDAVRATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEYLEMQEELIKEEEEKEEEELARMKESKARKEDVALKEMTVPTAREAQEQAMARALEKRDQLCEISRALAVLASASSVSREREEFLGLVNKEIELYNSMVDKKGTDGEKDAIKAYRIAREETDHSGEVSDSDEVSSVLIEKVDAMLQNLEKEIDDVDAKIGDRWRVLDRDHDGKVTPEEVAAAAQYLKDTLGKEGVQELISNLSKDRDGKILVEDIVKLGSQMEDDNSGEEEEGRM >KJB68916 pep chromosome:Graimondii2_0_v6:11:3677397:3679240:-1 gene:B456_011G047500 transcript:KJB68916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLQFYINENGDKVYTTKKESPHGLPTQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQQSPLKY >KJB72877 pep chromosome:Graimondii2_0_v6:11:49107485:49108552:-1 gene:B456_011G202800 transcript:KJB72877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFPDEVILQILARLPVKPLFKTKTVCKLWYRLVSDKYFIKLYNEVSAKNPMVLIETSGSPESRSSLVCVDNLRGVSEVSLDFLKDRVKVRASCNGLLCCSSIPDKGVYYVCNPMTRDFKLLPRCRERPVTRFYPDGEATLVGLACDVSKNKFNVVLAGYHRTFGHRPDGTFICLIFDSDSNKWKKFVSHRDDHFTHMNKNQVVFVNGALHWLTGSCSYILALDLDYDVWRKISLPDEVSYGTGNRVYLLDSDGCLSLIQISDAWMNIWVMKDYEKEVWYMVDRVSLRCIRGLVPGIFPIAQTGECIFLATHKQVLVYHQKSRVWKEMYSVKNSASLPLWFSAYAFRSTIFPSD >KJB72876 pep chromosome:Graimondii2_0_v6:11:49106478:49108881:-1 gene:B456_011G202800 transcript:KJB72876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFPDEVILQILARLPVKPLFKTKTVCKLWYRLVSDKYFIKLYNEVSAKNPMVLIETSGSPESRSSLVCVDNLRGVSEVSLDFLKDRVKVRASCNGLLCCSSIPDKGVYYVCNPMTRDFKLLPRCRERPVTRFYPDGEATLVGLACDVSKNKFNVVLAGYHRTFGHRPDGTFICLIFDSDSNKWKKFVSHRDDHFTHMNKNQVVFVNGALHWLTGSCSYILALDLDYDVWRKISLPDEVSYGTGNRVYLLDSDGCLSLIQISDAWMNIWVMKDYEKEVWYMVDRVSLRCIRGLVPGIFPIAQTGECIFLATHKQVLVYHQKSRVWKEMYSVKNSASLPLWFSAYAFRSTIFPSD >KJB69498 pep chromosome:Graimondii2_0_v6:11:1984515:1989218:1 gene:B456_011G026900 transcript:KJB69498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSTYVLSLQKPPSLSYRHRLCHHSLSKRPFRHKLICSLANSADQRSSELVTTLQSETLKTLEWPSLCNYLSPFTSTSMAFSLTKAAAIPVGQSREDSQKLLDQTTSALHALEALKSEPLDLSVIEDVSEILHSAASGQVLTVRELCRVRRMLGAARAVSEKLAAIAEGGSLERYTPLLEILQGCNFQLELERKIGFCIDCSLSTILGRASEELELIREERKRNMENLDSLLKEVSVSIFQAGGIDKPLITKRRSRMCVGVKATHKYLLPGGVVLNVSSSGATYFMEPKEAVELNNMEVKLSNSEKAEEMAILSMLTSEIAESEAEIKYLLDRLIEVDLAFARAAYAQWVNGVCPILSSKESEMLISNGADNALSIDIEGMQHPLLLGSFLSNSTDFITSNSMGPSVLGNKSGEMTPIKSSKVVSNFPIPIDIKVQCGTRVVIISGPNTGGKTASMKTLGLASIMSKAGMYLPAKKQPRLPWFDLVLADIGDSQSLEQSLSTFSGHISQICEILEVASKESLVLIDEIGSGTDPSEGVALSTSILQYLKNRVNLAFVTTHYADLSHLKEMDPQYENAAMEFSLETLQPTYQILWGRTGDSNALTIAKSIGFDGNIIERAKMWVESLMPEKQQERKGVLQQSLMEERNSLEAQFKRAESLHAEIMKLHHEVRSEAENLEERERALRVKETQKVEQELNAAKSQIETVVQEFENQLQTANSEEFNSLVKKSESAINSILKAHQPGDSFSSTETDTSSYQPVSGEQVHLKGLGNKLATVVAASEDDDTVLVQYGKIRVRVEKSNVRPISSSQRNNAISSRQSFERQGEQSREVPSNSDATESGAITYGPLIQTSKNTVDLRGMRVEEAEIQLDMAISARGSNSVLFIVHGMGTGVIKERALEMLRNHPRVMKYEQENPLNYGCTVAYIK >KJB69499 pep chromosome:Graimondii2_0_v6:11:1984515:1989218:1 gene:B456_011G026900 transcript:KJB69499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSTYVLSLQKPPSLSYRHRLCHHSLSKRPFRHKLICSLANSADQRSSELVTTLQSETLKTLEWPSLCNYLSPFTSTSMAFSLTKAAAIPVGQSREDSQKLLDQTTSALHALEALKSEPLDLSVIEDVSEILHSAASGQVLTVRELCRVRRMLGAARAVSEKLAAIAEGGSLERYTPLLEILQGCNFQLELERKIGFCIDCSLSTILGRASEELELIREERKRNMENLDSLLKEVSVSIFQAGGIDKPLITKRRSRMCVGVKATHKYLLPGGVVLNVSSSGATYFMEPKEAVELNNMEVKLSNSEKAEEMAILSMLTSEIAESEAEIKYLLDRLIEVDLAFARAAYAQWVNGVCPILSSKESEMLISNGADNALSIDIEGMQHPLLLGSFLSNSTDFITSNSMGPSVLGNKSGEMTPIKSSKVVSNFPIPIDIKVQCGTRVVIISGPNTGGKTASMKTLGLASIMSKAGMYLPAKKQPRLPWFDLVLADIGDSQSLEQSLSTFSGHISQICEILEVASKESLVLIDEIGSGTDPSEGVALSTSILQYLKNRVNLAFVTTHYADLSHLKEMDPQYENAAMEFSLETLQPTYQILWGRTGDSNALTIAKSIGFDGNIIERAKMWVESLMPEKQQERKGVLQQSLMEERNSLEAQFKRAESLHAEIMKLHHEE >KJB69877 pep chromosome:Graimondii2_0_v6:11:4848737:4850860:1 gene:B456_011G059900 transcript:KJB69877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDLLLGIKDELLTGRRSVDKSRPAREKNLVEWARPSLKDPYKLDAIMDPRLEGQYSTEGVKKVAALAYQCLSNHPKSRPTMSNMVKALEPLLDLTDIPTGPFVYIVLTNGNSEPIIQNIIQREEKQPDELRIEKNIDHKEEKKEKNRLPHRKARKHRSRVKPSRSRAVYLDTDLYKVLGSSLYTPKH >KJB70576 pep chromosome:Graimondii2_0_v6:11:8080622:8083633:1 gene:B456_011G080600 transcript:KJB70576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSHNTMGSGSRTARRTFDFGRTHVVRPKGKHQATIVWLHGLGDNGSSWSQLLESLPLPNIKWICPTAPTRPVALLGGFPYTAWFDVSELSENGPDDWEGLDASAAHIANLLSTEPCDVKVGIGGFSMGAAMALYSATACALGRYGNGNPYNINLRAIVGLSGWLPGSRCLRNKIEVSHEAARRAASLPIMLGHGTCDDVVPYKHGEISAHSLNVAGFRNLTFKDYEGIGHYTIPKEMNEVCNWLTARLGLEG >KJB74426 pep chromosome:Graimondii2_0_v6:11:62506583:62510822:-1 gene:B456_011G294500 transcript:KJB74426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSGCTEMTEIVTNEGDYHQTIVVRKLKCLDIRDLPRLTSFCPGSYTFNFPCLEDVVVQMCCRLKIFSEGVLSTPQLQRVKHGHFIQKVCWKGDLNTTIQQLYTKEGGFNILFDRDISDTFPKLIEIWKRNPQEILESKNLVRMEIYKCSSLNYIFTPSMLLSLKQLRIIEVKECNAMEQVIREDEDGATIHNLIFPNFCIVKIETCSNLTNFYLGSRSLEIPNLQHITIAECPKMTAFSSTISRKSEKVIDDVKFSSHAKVAMHDMQSFIFCFASEFVSSTRLEACYSSKIQNSLLLSLCSNNWYLELHYTAALFCEKVVIPHLKRLKLSSINIHKIWHHPSYSPERNHMFSTLWSLCLEDLPKLKDTCFENGFEFPYLRDLTLTNCPLLRTFISKSVCGDEPQIHQPTETNNSAVLNQKVVFPRLEKLLIQGCDSLEEIIELQALNANEAQSTSATRSTMAETVTTKFVFPHLTHLGLDKVPSLKSFYSRMHTTQWPSLKFMKVIECPKAQIFGEVEIPNQQPLFCVNEDTFPVLQELTLKTNDMIKGIWDGQLSLQCFPNLKLLNLQFFPETFTTLPYSFIQSLPKLEKLVINNASISEIVRFEGLINEERHTSACYQLEALRLSQLPELTLKTLEPFLLSFKNLLSMEVSRCHGFINLMACSTAKSLTLLERLSIADSELIEEIISCEGEELQASIVFPKLEYLQLSHLPSLASFSLAHHLLEFPVLQMVKVKKCPKMKNFCQGELSTPRLEQAHLTGDEDGELQWEGDLNTTIKHMFDEMNVQNSQAVEVTDQLLQMK >KJB74425 pep chromosome:Graimondii2_0_v6:11:62506712:62510822:-1 gene:B456_011G294500 transcript:KJB74425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSGCTEMTEIVTNEGDYHQTIVVRKLKCLDIRDLPRLTSFCPGSYTFNFPCLEDVVVQMCCRLKIFSEGVLSTPQLQRVKHGHFIQKVCWKGDLNTTIQQLYTKEGGFNILFDRDISDTFPKLIEIWKRNPQEILESKNLVRMEIYKCSSLNYIFTPSMLLSLKQLRIIEVKECNAMEQVIREDEDGATIHNLIFPNFCIVKIETCSNLTNFYLGSRSLEIPNLQHITIAECPKMTAFSSTISRKSEKVIDDVKFSSHAKVAMHDMQSFIFCFASEFVSSTRLEACYSSKIQNSLLLSLCSNNWYLELHYTAALFCEKVVIPHLKRLKLSSINIHKIWHHPSYSPERNHMFSTLWSLCLEDLPKLKDTCFENGFEFPYLRDLTLTNCPLLRTFISKSVCGDEPQIHQPTETNNSAVLNQKVVFPRLEKLLIQGCDSLEEIIELQALNANEAQSTSATRSTMAETVTTKFVFPHLTHLGLDKVPSLKSFYSRMHTTQWPSLKFMKVIECPKAQIFGEVEIPNQQPLFCVNEDTFPVLQELTLKTNDMIKGIWDGQLSLQCFPNLKLLNLQFFPETFTTLPYSFIQSLPKLEKLVINNASISEIVRFEGLINEERHTSACYQLEALRLSQLPELTLKTLEPFLLSFKNLLSMEVSRCHGFINLMACSTAKSLTLLERLSIADSELIEEIISCEGEELQASIVFPKLEYLQLSHLPSLASFSLAHHLLEFPVLQMVKVKKCPKMKNFCQGELSTPRLEQAHLTGDEDGELQWEGDLNTTIKHMFDEMNVQNSQAVEVTDQLLQMK >KJB69824 pep chromosome:Graimondii2_0_v6:11:3336855:3339187:-1 gene:B456_011G044700 transcript:KJB69824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFLYQCHVVSNLMYFLSFFLDYGFLPVASLGINYGQVGSNLPPPDKVLDLLSSLKITKAKIYDTNPQILTTFANSNVELIVTVENQMLAVVMDPQQALQWVTTHIKPYFPATKITEISVGNEVFTDDDTSLIGYLVPAMVSIHGALVQLGLDKYIHVSTPNSLAILQESYPPSAGSFKSQVSATMSQYLQFLANTGSPFWINAYPYFAYKGAPNNVSLDYVLLNKNPGMVDPYTKLHYDNMLYAQVDAVVYAMFRLGYSGIEVRVSETGWPSKGDPDETGATPANAAVYNRNLLRRQMRNEGTPLRPNTKLEVYLFALFNEDMKPGPTSERNYGLFQPDGTIAYNVGLSALSTTSSTSSSSSSASIFLTSSADKAAKTGYQSLVYWMFVYLLAFQVLLRQH >KJB69825 pep chromosome:Graimondii2_0_v6:11:3336898:3339390:-1 gene:B456_011G044700 transcript:KJB69825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSNKKNKQTKNQTRKPETSAKLQKNKSHSSHSSIVTLSTRIFMMIHVFLLLSLCFSDYGFLPVASLGINYGQVGSNLPPPDKVLDLLSSLKITKAKIYDTNPQILTTFANSNVELIVTVENQMLAVVMDPQQALQWVTTHIKPYFPATKITEISVGNEVFTDDDTSLIGYLVPAMVSIHGALVQLGLDKYIHVSTPNSLAILQESYPPSAGSFKSQVSATMSQYLQFLANTGSPFWINAYPYFAYKGAPNNVSLDYVLLNKNPGMVDPYTKLHYDNMLYAQVDAVVYAMFRLGYSGIEVRVSETGWPSKGDPDETGATPANAAVYNRNLLRRQMRNEGTPLRPNTKLEVYLFALFNEDMKPGPTSERNYGLFQPDGTIAYNVGLSALSTTSSTSSSSSSASIFLTSSADKAAKTGYQSLVYWMFVYLLAFQVLLRQH >KJB74359 pep chromosome:Graimondii2_0_v6:11:62162095:62163030:1 gene:B456_011G290300 transcript:KJB74359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLQLHRSTATTFGSSHHHHHHKQPQPLPTTTLTRCSPLPRGVSLPDAVSLYHVHTLGPNQCCSAVVQLIEAPIETAWSLVRRFDNPQAYKNFLKSCHVIVGDGDVGTLRKVHVISGLPAASSTERLEILDDERHVLSFSVVGGDHRLRNYKSVTTLHASTDGKGTVAVESYVVDVPSGNTKEDTCTFVDMIVSCNLRSLAQMAGNSAKKEKYSSSP >KJB69957 pep chromosome:Graimondii2_0_v6:11:4000617:4007523:-1 gene:B456_011G051400 transcript:KJB69957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MAKLKSRIAVEPFAHFDLPTHHLSISTLSLSQSTLYVGTRNASLSRNVSLLRTVSVSGSPVESIFVLSEIGAVLVLSDGFLFITDSLLIHPVKKLGGLKGVSVIARRFRGTHSQSTDLTDNTSNSSKGQRILDKFGRVRTNGVKSKDLEQNREGNYVFALVIGKKLMLIELVLSSDLANASFVILREMQCFDGVKSMVWLDDSIIVGTINGYSLFSCVTGQSGVIFSLPDLSRPPLLKLLWRNWEVLLLVDNVGVIVDALGQPVGGSLVFRKGGPDSIGDLSSYVVVVRDGKMDLYHKKSGNCIQTLTFGVEGVGQCIVADEENRSGEFAAVATPTKVICYRKVPSEEQIKDLLRKKNFNEAISLVEELECEGELSKEVLSLFHAQVGFLLLFDLHFKEAVDHFLRSETMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPVPLEDVVDDGLLAIQRAIFLRKAGVETVVDERFLSNPPTRAELLESAIKNIIRYLEVSRQKDLTLAVKEGVDTLLMYLYRALNYVDDMEKLASSENYCIVEELETLLDGSGHLRALAFLYASKGMSSKALAIWRILARNYSSGLWKDLAVENGMHDGACVTSGRETAATEASNILEDSSDQDLVLQHLAWIADLNPALAVRILTSEKRTNQLSPDEVIAAIDPKKVEILQCYLQWLIEEQDSDDTRLHTLYALSLAKSAIESFNSESSSQSPDTGRQEHLKTPDIQRESLIQSPVRDRLQIFLQSSDLYDPEEVLDLIQDSELWLEKAILYRKLGQESLVLQILALKLEDSEAAEQYCAEIGRPDAYMQLLDMYLDPQDGKEPMFKAAVRLLHNRGESLDPLQVLETLSPDMPLQLASETILRMLRARLHHHRQGQIVRYLSRAVHIDARLARLEERSRLVQINDESLCDSCHARLGTKLFAMYPDDTVVCYKCFRRQGESTSVTGRDFKQDVLFKPGWLVTR >KJB69956 pep chromosome:Graimondii2_0_v6:11:4000940:4007223:-1 gene:B456_011G051400 transcript:KJB69956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MLIELVLSSDLANASFVILREMQCFDGVKSMVWLDDSIIVGTINGYSLFSCVTGQSGVIFSLPDLSRPPLLKLLWRNWEVLLLVDNVGVIVDALGQPVGGSLVFRKGGPDSIGDLSSYVVVVRDGKMDLYHKKSGNCIQTLTFGVEGVGQCIVADEENRSGEFAAVATPTKVICYRKVPSEEQIKDLLRKKNFNEAISLVEELECEGELSKEVLSLFHAQVGFLLLFDLHFKEAVDHFLRSETMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPVPLEDVVDDGLLAIQRAIFLRKAGVETVVDERFLSNPPTRAELLESAIKNIIRYLEVSRQKDLTLAVKEGVDTLLMYLYRALNYVDDMEKLASSENYCIVEELETLLDGSGHLRALAFLYASKGMSSKALAIWRILARNYSSGLWKDLAVENGMHDGACVTSGRETAATEASNILEDSSDQDLVLQHLAWIADLNPALAVRILTSEKRTNQLSPDEVIAAIDPKKVEILQCYLQWLIEEQDSDDTRLHTLYALSLAKSAIESFNSESSSQSPDTGRQEHLKTPDIQRESLIQSPVRDRLQIFLQSSDLYDPEEVLDLIQDSELWLEKAILYRKLGQESLVLQILALKLEDSEAAEQYCAEIGRPDAYMQLLDMYLDPQDGKEPMFKAAVRLLHNRGESLDPLQVLETLSPDMPLQLASETILRMLRARLHHHRQGQIVRYLSRAVHIDARLARLEERSRLVQINDESLCDSCHARLGTKLFAMYPDDTVVCYKCFRRQGESTSVTGRDFKQDVLFKPGWLVTR >KJB71893 pep chromosome:Graimondii2_0_v6:11:23634687:23638122:-1 gene:B456_011G146200 transcript:KJB71893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLENHGLHFLTMLAKSKSSLYYVHLILPPKISISSLRTATKDSIVHNSAKILRKNTKFLVYCNTQITQHGRNRNIKEAESIFNRMPFKSTVSWTAMLTAYAENGNILKAREVFAKMPERTTSSYNAMITAYNKNGCMVDEAYELFCNMSERDAVSYATMITGFVSKGRFDKALEIYENTPGKWREPVCSNVLINGYVKAGRLDMAVGIFEGMVQRDVVSWSLMVDGYCKSGRIMEARKLFDKMVERNVVTWTTMINGYLKMGYLIDGFGLFSAMRKEKGVLVNSTTLTVIFEACGHFDRYREGIQMHGLVLKLGFEFCVFLGNSIITMYCRFGCTGSANLVFDLMTRKDLVSWNSLIMGHVQENEIEKAYELFERMPRKDVVSWTTMIMGFSGTGQTDRAVKLFRMMPEKDDVAWTVVISGFVSIEMYEEAFRWFTEMLQKSVKPDSHTLSSLLSASANSAILSNGQQIHVQAIKMCLELDLSVQNSLVSMYSKCGNVADACQVFMSIKEPNIVSFNTMITGFAQNGFAKEALELFGKMQSEGQEPNHVTFLAVLSACSHVGLVEVGWEHFKSMTSLYNIEPGPDHYSCMVDLLGRAGLFDEAVGLIYSMPFDPHSGVWGALLGASMTHLRIDLAKLAAQQLIKLEPDSATPYVVLSNLYTISGKKKDGDKVRIDKKSKRIKKSPGCSWLVVKDKVHLFLSGDQSHEDSEEIRVTLQTIMKEMEELGCYR >KJB72798 pep chromosome:Graimondii2_0_v6:11:47957778:47959345:-1 gene:B456_011G198500 transcript:KJB72798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKWLVLFSVAAMLMALANCQESVKPLVKMVKGKKLCDKGWECKGWSKYCCNHTISDYFQTYQFEDLFAKRNTPVAHAVGFWDYHSFISAAAQYQPHGFGTTGEKLQNMKEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYNKEMSPSKIYCDDYYKYTYPCTPGVSYHGRGALPIYWNYNYGETGEALKVDLLNHPEYLEDNATLAFQTAMWRWMTPVKKHQPSAHDVFVGNWKPTKNDTLAKRVPGFGTTMNVLYGDQVCGQGDSDSMNNMISHYLYYLDLLGVGREEAGPHDMLTCEEQEPFTVSPSSATSS >KJB72168 pep chromosome:Graimondii2_0_v6:11:30746390:30747359:-1 gene:B456_011G163100 transcript:KJB72168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRLAPDCITMLIAAVYAVTCLAAVNPAEELVLEFYMHDILGGSSPTARPITGLLGNIYSGQVPFAKPVGFLPPDGGVAIPNANGAIPTVNGITGLPLGTGLAGTAFAGNPNQNVNPQIPLGPDGLGLGFGTITVIDDILTASPDLGSQAIGKAQGVYVASSADGTTQMMAFTAMIEGGEYNDNLNFYGVYKIGSTMSHVSVTGGTGKFKNACGIAEIRPLIPPGQHVTDGAETLLRITVHLKY >KJB71712 pep chromosome:Graimondii2_0_v6:11:21416093:21422706:-1 gene:B456_011G138600 transcript:KJB71712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNTQGEDHQPKKESLDSRFNQTLRNVQGLLKGRSIPGKVLLTRRLELFDNSGIQHQSPSYQRSYSQNDAARSNDADKYMEGGVRNTNNSDSNATVNKLRSSASNIETSAREVKSVMGARSTDSARVLKFTKELSGQMIVVERLRELAWSGVPPYMRPDVWRLLLGYAPPNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSDDEINMLRQIAVDCPRTVPDVAFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLDGSIDSWSISELSSANISNIEADCYWCLSQLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHVEDQGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDALPDFLVYIFASFLLTWSETLQKLDFQELVMFLQHLPTHNWTYQELEMVLSRAYMWHSMFNNSPSHLAS >KJB71713 pep chromosome:Graimondii2_0_v6:11:21417206:21422249:-1 gene:B456_011G138600 transcript:KJB71713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNTQGEDHQPKKESLDSRFNQTLRNVQGLLKGRSIPGKVLLTRRLELFDNSGIQHQSPSYQRSYSQNDAARSNDADKYMEGGVRNTNNSDSNATVNKLRSSASNIETSAREVKSVMGARSTDSARVLKFTKELSGQMIVVERLRELAWSGVPPYMRPDVWRLLLGYAPPNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSDDEINMLRQIAVDCPRTVPDVAFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLDGSIDSWSISELSSANISNIEADCYWCLSQLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHVEDQGLEFLQFAFRWFNCLLIREVRFLSI >KJB71714 pep chromosome:Graimondii2_0_v6:11:21416108:21422496:-1 gene:B456_011G138600 transcript:KJB71714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARSTDSARVLKFTKELSGQMIVVERLRELAWSGVPPYMRPDVWRLLLGYAPPNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSDDEINMLRQIAVDCPRTVPDVAFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLDGSIDSWSISELSSANISNIEADCYWCLSQLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHVEDQGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDALPDFLVYIFASFLLTWSETLQKLDFQELVMFLQHLPTHNWTYQELEMVLSRAYMWHSMFNNSPSHLAS >KJB72899 pep chromosome:Graimondii2_0_v6:11:49260571:49264063:-1 gene:B456_011G203600 transcript:KJB72899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase C, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06500) UniProtKB/Swiss-Prot;Acc:B9DFA8] MKSSTCIGISSMKPCCRFLVSYRSSSFFGFSPPKMSRSGIRNLSKSLSKAVDRRRVHSCKHSKSQVVGYKCVADPNRRAFSVSDSSWGQSRVVSDSFRVDKGRSRDVLVIPRVASDFRNHSTSIEHHVNEKNFERIYIQGGLNLKPLVIEKIETGDGLVKEDNTGINVSESDVDTNNVEGSNLTEPRIEREVSEIEKEAWNILRGAVVNYCGNPVGTVAANDPADKQPLNYDQIFIRDFVPSALAFLLNGEAEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPRDGSPEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYSLQDRVDVQTGIRLILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLTVNDATKNLVAAINNRLSALSFHIREYYWVDIKKINEIYRYNTEEYSTDAINKFNIYPDQIPSWLVDWIPDEGGYFIGNLQPAHMDFRFFTLGNLWAIVSSLGTPKQSKDVLDLIEAKWDDLVANMPLKIIYPALESDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGKPELAQKAVALAEERLAVDQWPEYYDTRSGRFIGKQSRLYQTWTVAGFLTSKMLLQNPEKASLLFWEEDYELLETCVCGLSKNGRRKCSRLGPRS >KJB72900 pep chromosome:Graimondii2_0_v6:11:49260707:49264063:-1 gene:B456_011G203600 transcript:KJB72900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase C, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06500) UniProtKB/Swiss-Prot;Acc:B9DFA8] MKSSTCIGISSMKPCCRFLVSYRSSSFFGFSPPKMSRSGIRNLSKSLSKAVDRRRVHSCKHSKSQVVGYKCVADPNRRAFSVSDSSWGQSRVVSDSFRVDKGRSRDVLVIPRVASDFRNHSTSIEHHVNEKNFERIYIQGGLNLKPLVIEKIETGDGLVKEDNTGINVSESDVDTNNVEGSNLTEPRIEREVSEIEKEAWNILRGAVVNYCGNPVGTVAANDPADKQPLNYDQIFIRDFVPSALAFLLNGEAEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPRDGSPEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYSLQDRVDVQTGIRLILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLTVNDATKNLVAAINNRLSALSFHIREYYWVDIKKINEIYRYNTEEYSTDAINKFNIYPDQIPSWLVDWIPDEGGYFIGNLQPAHMDFRFFTLGNLWAIVSSLGTPKQSKDVLDLIEAKWDDLVANMPLKIIYPALESDEWRIITGSDPKNT >KJB69137 pep chromosome:Graimondii2_0_v6:11:605070:610678:-1 gene:B456_011G008000 transcript:KJB69137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRRRRRLNLTWKKWMSKKIEDIPEERRHRLLQLLNPRLISIAWEIAGTRYHDPKFVRKTDSNIRFNKDAAIPFHVYNCRTSGGPFLIAWLKFFKKTIFYGNNGKTYGRFSSGSIVAQFANQLCPLYFEVTQMKEVMSTEQPCDLAYEFGDGLFDLDEFPSGFPKPVKHPYPFSDEVVIYIRHMGPGVLVGQAWQEGKELDQVPQKLCGEILMVKEYNPSEN >KJB69136 pep chromosome:Graimondii2_0_v6:11:604971:610775:-1 gene:B456_011G008000 transcript:KJB69136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSIGSYNTPLSFVTPICSRPSGGGLISISSPSHSPPALRLSSFPGLRFCGGRKISVGARAGVNGASETTSFEPYLEEMDVVTFLDPPNYLIPLDPGSYNPAAYLWKKIEDIPEERRHRLLQLLNPRLISIAWEIAGTRYHDPKFVRKTDSNIRFNKDAAIPFHVYNCRTSGGPFLIAWLKFFKKTIFYGNNGKTYGRFSSGSIVAQFANQLCPLYFEVTQMKEVMSTEQPCDLAYEFGDGLFDLDEFPSGFPKPVKHPYPFSDEVVIYIRHMGPGVLVGQAWQEGKELDQVPQKLCGEILMVKEYNPSEN >KJB69138 pep chromosome:Graimondii2_0_v6:11:605070:610678:-1 gene:B456_011G008000 transcript:KJB69138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSIGSYNTPLSFVTPICSRPSGGGLISISSPSHSPPALRLSSFPGLRFCGGRKISVGARAGVNGASETTSFEPYLEEMDVVTFLDPPNYLIPLDPGSYNPAAYLWKKIEDIPEERRHRLLQLLNPRLISIAWEIAGTRYHDPKFVRKTDSNIRFNKDAAIPFHVYNCRTSGGGSIVAQFANQLCPLYFEVTQMKEVMSTEQPCDLAYEFGDGLFDLDEFPSGFPKPVKHPYPFSDEVVIYIRHMGPGVLVGQAWQEGKELDQVPQKLCGEILMVKEYNPSEN >KJB69386 pep chromosome:Graimondii2_0_v6:11:1479758:1482304:1 gene:B456_011G020900 transcript:KJB69386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQLVVLALVLIALTGVVSAAAPASDSKAAASSPGSSPAGAPAGASVDGNAAGSSPPSLATAPSPSGGVALEVSASTIVGVGAATVAGYVMF >KJB73784 pep chromosome:Graimondii2_0_v6:11:57957310:57958665:1 gene:B456_011G251700 transcript:KJB73784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLVTSYCLKFIVTNLLQRKLFIFLRIEAKLFTVELGSPALVSLFPVLYLPSISGGVVCKMLKQAIWKVLSKRVVSDSGDKLLLPCLYLPTTSFHAGQGPSREHK >KJB72136 pep chromosome:Graimondii2_0_v6:11:30531177:30532876:1 gene:B456_011G162500 transcript:KJB72136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVNAGYSRSNEKQLPECRKSADKGKKPAEKDASASAFVNHGSSLALDDPMVFDYCYFPTFSNDMKKFLKGYHCYHVCFSFKIRCMVYMLFYQIVPLIATM >KJB69664 pep chromosome:Graimondii2_0_v6:11:2715790:2716830:1 gene:B456_011G036000 transcript:KJB69664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKVNKRVQLKSTMRYHRLFHCQHLHRVHHPKRRSSSLKTKSFSFISSISKSIHKCQCRILQFFSKLAAGPRRHQCFVILKREEEPISSEPNVGPRIKLKFSTSFSRVVPRVTVLKEEERQAEVQVEKHQHLLPPLVSSKKRTVVLDLDETLVHSTTGTPPPNYDFMITPTIEGVTMNFYVLKRPGVDEFLEAISKKYEVVVFTAGLEQYASLLLDVLDPKGLISHRLYRDSCKPLVKRRFAKDLSTIGRDLENVVIVDDNPRSYALQPANAIPIKRFVDDVEDKELEKLLGFFEMYCDGFEDMREAVKQYLGGANNTTKPARTSSSSRHRNTRDLSSVIKYHHI >KJB69364 pep chromosome:Graimondii2_0_v6:11:1520668:1523601:-1 gene:B456_011G021600 transcript:KJB69364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLWRLSSLLVALAVVLVPRTHGWSKEGHVLTCRIAQGLLEPEAASAVENLLPDYANGDLSSLCVWPDQIRHWYRYRWTSPLHFIDTPDDACSYEYSRDCHDTHGVKDMCVAGAIQNFTSQLEHYREGTSDRRYNMTEALLFLSHFMGDIHQPMHVGFTTDEGGNTIAVRWFRHKSNLHHVWDREIILTALADNYEKNLDSLQEDLVGNFTEGIWFDDVASWKECDDLLPCLNKYATESINIACKWGYKGVKSGQTLADEYFNSRMPIVMKRIAQGGVRLAMILNSVFGDSQQGFAAAKIE >KJB70386 pep chromosome:Graimondii2_0_v6:11:6484684:6487394:1 gene:B456_011G071000 transcript:KJB70386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLVGGIGMHSPLCSGPTTKRGKGIWSFGKRRNKTHTLCKSHCSACAFPADHKRTCKCLVRFFFVIKIVKAIRRKTTGTGRMRYLRHVPRRFKTGFREGTKAAPRKKVATASA >KJB71996 pep chromosome:Graimondii2_0_v6:11:26289085:26293845:1 gene:B456_011G153400 transcript:KJB71996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIEKIQSLQEGKVVFSFEFFPPKTEDGVDNLFDRMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNIICVETMMHLTCTNMPVEKIDHALQTIKSNGIQNVLALRGDPPHGQDKFVQVEGGFSCALDLVKHIRSKYGDYFGITVAGYPEAHPDAIGNDGVATPEAYQNDLAYLKRKVDAGADLIVTQLFYDTDIFIKFVNDCRQIGINCPIVPGIMPINNYKGFLRMTGFCKTKIPAEITAALEAIKDNEEAVKAYGVHLGTEMCRKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKISRSLPWRRPANVFRVKEDVRPIFWSNRPKSYITRTIGWDQFPHGRWGDSRNPSYGALTDHQFMRRRARDKKLQEEWATPLKSIDDIQEKFKSFCLGKLRSSPWSELDSLQPETKIIHEQLGKINLKGFLTINSQPAVNGELSDSLSVGWGGPGGYVYQKAYLEFFCSKEKLDALVNKCKPLSSITYIAVNKKGNLISNIGATDVNAVTWGVFPAKEIIQPTVVDPASFMVWKDEAFEIWSRAWAALYPEGDLSKKLLEEVQSSYYLVSLVDNDYIGSDVFAVFGDL >KJB71997 pep chromosome:Graimondii2_0_v6:11:26289216:26292281:1 gene:B456_011G153400 transcript:KJB71997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIEKIQSLQEGKVVFSFEFFPPKTEDGVDNLFDRMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNIICVETMMHLTCTNMPVEKIDHALQTIKSNGIQNVLALRGDPPHGQDKFVQVEGGFSCALDLVKHIRSKYGDYFGITVAGYPEAHPDAIGNDGVATPEAYQNDLAYLKRKVDAGADLIVTQLFYDTDIFIKFVNDCRQIGINCPIVPGIMPINNYKGFLRMTGFCKTKIPAEITAALEAIKDNEEAVKAYGVHLGTEMCRKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKISRSLPWRRPANVFRVKEDVRPIFWSNRPKSYITRTIGWDQFPHGRWGDSRNPSYGALTDHQVHKVVEPLPFSFVWYK >KJB71995 pep chromosome:Graimondii2_0_v6:11:26289704:26293306:1 gene:B456_011G153400 transcript:KJB71995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIEKIQSLQEGKVVFSFEFFPPKTEDGVDNLFDRMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNIICVETMMHLTCTNMPVEKIDHALQTIKSNGIQNVLALRGDPPHGQDKFVQVEGGFSCALDLVKHIRSKYGDYFGITVAGYPEAHPDAIGNDGVATPEAYQNDLAYLKRKVDAGADLIVTQLFYDTDIFIKFVNDCRQIGINCPIVPGIMPINNYKGFLRMTGFCKTKIPAEITAALEAIKDNEEAVKAYGVHLGTEMCRKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKISRSLPWRRPANVFRVKEDVRPIFWSNRPKSYITRTIGWDQFPHGRWGDSRNPSYGALTDHQFMRRRARDKKLQEEWATPLKSIDDIQEKFKSFCLGKLRSSPWSELDSLQPETKIIHEQLGKINLKGFLTINSQPAVNGELSDSLSVGWGGPGGYVYQKAYLEFFCSKEKLDALVNKCKPLSSITYIAVNKKGNLISNIGATDVNAVTWGVFPAKEIIQPTVVDPASFMVWKDEAFEIWSRAWAALYPEGDLSKKLLEEVSK >KJB71998 pep chromosome:Graimondii2_0_v6:11:26289216:26293804:1 gene:B456_011G153400 transcript:KJB71998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIEKIQSLQEGKVVFSFEFFPPKTEDGVDNLFDRMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNIICVETMMHLTCTNMPVEKIDHALQTIKSNGIQNVLALRGDPPHGQDKFVQVEGGFSCALDLVKHIRSKYGDYFGITVAGYPEAHPDAIGNDGVATPEAYQNDLAYLKRKVDAGADLIVTQLFYDTDIFIKFVNDCRQIGINCPIVPGIMPINNYKGFLRMTGFCKTKIPAEITAALEAIKDNEEAVKAYGVHLGTEMCRKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKISRSLPWRRPANVFRVKEDVRPIFWSNRPKSYITRTIGWDQFPHGRWGDSRNPSYGALTDHQFMRRRARDKKLQEEWATPLKSIDDIQEKFKSFCLGKLRSSPWSELDSLQPETKIIHEQLGKINLKGFLTINSQPAVNGELSDSLSVGKYKC >KJB73316 pep chromosome:Graimondii2_0_v6:11:54032015:54037717:-1 gene:B456_011G227200 transcript:KJB73316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinaceous RNase P 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G32230) UniProtKB/Swiss-Prot;Acc:Q66GI4] MLKVNPFGPSLSMFNKIPFSLLYRRGFRRAFRIFEAGHCNSFAKPVSIANVNVNFFAVDKTRNLSAVATAKKSGLNASSSSSRTNEMTNKAKKKARMESPEFLLKIKLDMCSKHGKLEEALRLYDESISNGVSLNLHHYNMLLYLCAREASGDGSQLNELKELGLKRGFEIFQKMVGDEVSPNETTFTSMARLAVAREDPDMAFELVKQMKSLGIPPRLRSYGPALLGFCEKGNAEKAYEVDAYMFESGVTPEEPELSALLKVSINTKKADKVYEMLQRLRASVRQVSESTLQVVEDWFKSKDAASVGAEKWDVKQIKEAVIGGGGGWHGLGWLGSGRWRVVRTEMTENGVCRSCGEKLVCIDIDPKETENFAAKLTELACSKEVRADFVQFQEWLQQHGPFDAVVDGANVALINSEAFNLNQLKNVVNKLQQMSPTKRSPLIILHRSRIARDPNNRKWLERWQRAGVLYATPYGSNDDWYWLYAAVSCKCLLVTNDEMRDHLFQLLGNSFFPRWKEKHQVRLSMTRTGLVLRMPPPYSIVIQESESGSWHVPSIADDDLLTPRQWLCACRSKKTP >KJB73315 pep chromosome:Graimondii2_0_v6:11:54031795:54038186:-1 gene:B456_011G227200 transcript:KJB73315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinaceous RNase P 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G32230) UniProtKB/Swiss-Prot;Acc:Q66GI4] MLKVNPFGPSLSMFNKIPFSLLYRRGFRRAFRIFEAGHCNSFAKPVSIANVNVNFFAVDKTRNLSAVATAKKSGLNASSSSSRTNEMTNKAKKKARMESPEFLLKIKLDMCSKHGKLEEALRLYDESISNGVSLNLHHYNMLLYLCAREASGDGSQLNELKELGLKRGFEIFQKMVGDEVSPNETTFTSMARLAVAREDPDMAFELVKQMKSLGIPPRLRSYGPALLGFCEKGNAEKAYEVDAYMFESGVTPEEPELSALLKVSINTKKADKVYEMLQRLRASVRQVSESTLQVVEDWFKSKDAASVGAEKWDVKQIKEAVIGGGGGWHGLGWLGSGRWRVVRTEMTENGVCRSCGEKLVCIDIDPKETENFAAKLTELACSKEEWLQQHGPFDAVVDGANVALINSEAFNLNQLKNVVNKLQQMSPTKRSPLIILHRSRIARDPNNRKWLERWQRAGVLYATPYGSNDDWYWLYAAVSCKCLLVTNDEMRDHLFQLLGNSFFPRWKEKHQVRLSMTRTGLVLRMPPPYSIVIQESESGSWHVPSIADDDLLTPRQWLCACRSKKTP >KJB70898 pep chromosome:Graimondii2_0_v6:11:10306222:10310427:-1 gene:B456_011G095000 transcript:KJB70898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKMSLTELDDEIVRSMSIGAVFSDFGGKINSLDFHRKDDLLVTASEDDSVRLYDITNAKLIKTTYHKKHGADRICFTHHPSSVICSSRHNLESTGESLRYLSMYDNRCLRYFKGHKERVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTNNNIYVLDAYGGEKRCGFSLEPSPNTTIEATITPDGSGDGTLHAWNINMRNEVAAWNSHIGVAACLKWAPRRAMFVAASTVLTFWIPNNCSKPAAETGSTDAQAGLQFEQVGQ >KJB70899 pep chromosome:Graimondii2_0_v6:11:10306222:10310458:-1 gene:B456_011G095000 transcript:KJB70899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKMSLTELDDEIVRSMSIGAVFSDFGGKINSLDFHRKDDLLVTASEDDSVRLYDITNAKLIKTTYHKKHGADRICFTHHPSSVICSSRHNLESTGESLRYLSMYDNRCLRYFKGHKERVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTNNNIYVLDAYGGEKRCGFSLEPSPNTTIEATITPDGQYVISGSGDGTLHAWNINMRNEVAAWNSHIGVAACLKWAPRRAMFVAASTVLTFWIPNNCSKPAAETGSTDAQAGLQFEQVGQ >KJB70897 pep chromosome:Graimondii2_0_v6:11:10306222:10310427:-1 gene:B456_011G095000 transcript:KJB70897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKMSLTELDDEIVRSMSIGAVFSDFGGKINSLDFHRKDDLLVTASEDDSVRLYDITNAKLIKTTYHKKHGADRICFTHHPSSVICSSRHNLESTGESLRYLSMYDNRCLRYFKGHKERVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTNNNIYVLDAYGGEKRCGFSLEPSPNTTIEATITPDGQYVISGDGTLHAWNINMRNEVAAWNSHIGVAACLKWAPRRAMFVAASTVLTFWIPNNCSKPAAETGSTDAQAGLQFEQVGQ >KJB73526 pep chromosome:Graimondii2_0_v6:11:56037837:56043945:1 gene:B456_011G238000 transcript:KJB73526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSVSDQSFYIESEDDEEVEKLEYNRNEEDEGNEEFYASFSSQRKNSSYATQWPQSYRQSIDLYSSVPSPTIGFLGTPTLSRLGSSFLSSSLTRRFTPESLNAPVKKPLLPPSVDDEIQPHKRSTYSLATPAPSRKPSVRFDEKVQVDHGHGIPLGRQSSYGQAVVNGINVLCGVGILSTPYAAKEGGWLGLIILLTFAVLSFYTGLLLRQCLDSSPELETYPDIGQAAFGSTGRIALSIILYVELYASCVDYIILEGDNLSSLFPNAHISLGGFDLNSRRLFSLMTTLAVLPTVWLRDLSVLSYISAGGVVASFLVVFCLFWVGLVDQVGFQNKGTVLNLSTLPVAVGLYGFCYSGHAVFPNIYTSMAEPRKFSSVLLSCFGICSLLYAGTAVMGYKMFGEATESQFTLNMPKDLVASKIAVWATIVNPFTKYALTLSPVAMSLEELLPPRHLKSHVYPMLIRTALVVSTLLVGLSVPFFGLVLSLIGSLLTMLVTLILPPACYLSILRGKVGCIQATICIIIIAVGAVSSAFGTYSALTKIIQNLSS >KJB73528 pep chromosome:Graimondii2_0_v6:11:56037420:56043945:1 gene:B456_011G238000 transcript:KJB73528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSVSDQSFYIESEDDEEVEKLEYNRNEEDEGNEEFYASFSSQRKNSSYATQWPQSYRQSIDLYSSVPSPTIGFLGTPTLSRLGSSFLSSSLTRRFTPESLNAPVKKPLLPPSVDDEIQPHKRSTYSLATPAPSRKPSVRFDEKVQVDHGHGIPLGRQSSYGQAVVNGINVLCGVGILSTPYAAKEGGWLGLIILLTFAVLSFYTGLLLRQCLDSSPELETYPDIGQAAFGSTGRIALSIILYVELYASCVDYIILEGDNLSSLFPNAHISLGGFDLNSRRLFSLMTTLAVLPTVWLRDLSVLSYISAGGVVASFLVVFCLFWVGLVDQVGFQNKGTVLNLSTLPVAVGLYGFCYSGHAVFPNIYTSMAEPRKFSSVLLSCFGICSLLYAGTAVMGYKMFGEATESQFTLNMPKDLVASKIAVWATIVNPFTKYALTLSPVAMSLEELLPPRHLKSHVYPMLIRTALVVSTLLVGLSVPFFGLVLSLIGSLLTMLVTLILPPACYLSILRGKVGCIQATICIIIIAVGAVSSAFGTYSALTKIIQNLSS >KJB73527 pep chromosome:Graimondii2_0_v6:11:56038249:56043945:1 gene:B456_011G238000 transcript:KJB73527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSVSDQSFYIESEDDEEVEKLEYNRNEEDEGNEEFYASFSSQRKNSSYATQWPQSYRQSIDLYSSVPSPTIGFLGTPTLSRLGSSFLSSSLTRRFTPESLNAPVKKPLLPPSVDDEIQPHKRSTYSLATPAPSRKPSVRFDEKVQVDHGHGIPLGRQSSYGQAVVNGINVLCGVGILSTPYAAKEGGWLGLIILLTFAVLSFYTGLLLRQCLDSSPELETYPDIGQAAFGSTGRIALSIILYVELYASCVDYIILEGDNLSSLFPNAHISLGGFDLNSRRLFSLMTTLAVLPTVWLRDLSVLSYISAGGVVASFLVVFCLFWVGLVDQVGFQNKGTVLNLSTLPVAVGLYGFCYSGHAVFPNIYTSMAEPRKFSSVLLSCFGICSLLYAGTAVMGYKMFGEATESQFTLNMPKDLVASKIAVWATIVNPFTKYALTLSPVAMSLEELLPPRHLKSHVYPMLIRTALVVSTLLVGLSVPFFGLVLSLIGSLLTMLVTLILPPACYLSILRGKVGCIQATICIIIIAVGAVSSAFGTYSALTKIIQNLSS >KJB73525 pep chromosome:Graimondii2_0_v6:11:56037588:56043945:1 gene:B456_011G238000 transcript:KJB73525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSVSDQSFYIESEDDEEVEKLEYNRNEEDEGNEEFYASFSSQRKNSSYATQWPQSYRQSIDLYSSVPSPTIGFLGTPTLSRLGSSFLSSSLTRRFTPESLNAPVKKPLLPPSVDDEIQPHKRSTYSLATPAPSRKPSVRFDEKVQVDHGHGIPLGRQSSYGQAVVNGINVLCGVGILSTPYAAKEGGWLGLIILLTFAVLSFYTGLLLRQCLDSSPELETYPDIGQAAFGSTGRIALSIILYVELYASCVDYIILEGDNLSSLFPNAHISLGGFDLNSRRLFSLMTTLAVLPTVWLRDLSVLSYISAGGVVASFLVVFCLFWVGLVDQVGFQNKGTVLNLSTLPVAVGLYGFCYSGHAVFPNIYTSMAEPRKFSSVLLSCFGICSLLYAGTAVMGYKMFGEATESQFTLNMPKDLVASKIAVWATIVNPFTKYALTLSPVAMSLEELLPPRHLKSHVYPMLIRTALVVSTLLVGLSVPFFGLVLSLIGSLLTMLVTLILPPACYLSILRGKVGCIQATICIIIIAVGAVSSAFGTYSALTKIIQNLSS >KJB69909 pep chromosome:Graimondii2_0_v6:11:3868126:3869847:1 gene:B456_011G049400 transcript:KJB69909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEARNMNLFPPQLIPNGDFMKGYQGSGNIHNTQMQQEVSQIFPAVYQSLVRDPISAKADSGVTYNMNIPVSAPRKRPRDSYSYTVARKNEFCGVSSVLDDDIFSQIQQQQQQEIDRFIAQHTEKVRLEVEERRKRQSRMLITAIQEGVMKKLKEKDEEIQRMGKLNWVLQERVKSLYLENQLWRDLAQTNEATANSLRTNLEQVLAHVGEERHASGGGAAALADDAESSCGSSDEGWRKVLVPPTQPQDTTAAVVGNGNNNGRKCSKCGEKESSVLLLPCRHLCLCTMCGSTLVGTCPVCDSVTNASVHVNMS >KJB70029 pep chromosome:Graimondii2_0_v6:11:4242276:4244723:-1 gene:B456_011G054000 transcript:KJB70029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMASSTGIQGDDFSYLIVRPDKGEIWDVLKYSLRGDIECGSKFLESSDQGVVGGEAADHRWIILMSIVARRIIHFLGKPMELTGYGVDFFLNLLSQNGSLFGLFYNLLRGDIVIPKRGTETFISTIGHLDERMDLYQAKNLVGDLHNSTPGEEIKNVELDDRATMDLCMMASKLAYENAEVVRNVVVHHWKMHFVDFYDCWDDYQKKKSTQVFLLCDKPKDATLILISFRGTEPFDADDWSTDFDYSWYEIPNLGKLHMGFLEALGVGNREDTASFHYHLQKRSTKHCYPEAAEVESSNEGPHSERSAGIDKKGIPPEMVEMSAYYVVREKLKTLFEEHKNAKYIVTGHSLGGALAILFPIVLMLHDETNLLQKLLGVYTFGQPRVGNKQLGRFMEAHLNHPVPKYFRVVYCNDLVPRLPYDDKTFLYKHFGVCLYYNSCFIEQKMDEEPNKNYFGITHMIPEHLIAIWELIRSLTMGYTHGPEYKEGWFSIFLRVLGLSMPGIAAHCSVNYVNSVRLGKS >KJB73961 pep chromosome:Graimondii2_0_v6:11:59529944:59530696:1 gene:B456_011G264000 transcript:KJB73961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLVQLQCFEIEDCDCLRDIILTEEIEEERKDVICFPRLNSLHIVGLPNLIFFSSGNHNIEFPLLKELKIERCPKLIEFISQNSNQSGMHALFSEKVAVPSLEDMNISGLSNVKMIFYNDLAPGSFKNLREINVRRCGSLNNLFPVSIAEDLPQLEHLRITDCGVEEIVSKGDGVEDCKCLRDIILTEEIEEERKDVICFPRLNSLCI >KJB74366 pep chromosome:Graimondii2_0_v6:11:62175477:62181072:-1 gene:B456_011G290500 transcript:KJB74366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNESRRLLLLSIFLLTVQLRVNSVIGICEHSFNDGNKLYNFSLASPLPKFPHGILSEDGFYKVAGNETVLWFQLCGGMLFNHDPPRCAECSECGGPSRCGTECSALAARNAGGYHVCSTLGHASSTNVSILDKQNPFKGVIVRMSSSGKDPSCSLSVSILCDSNGAQGPDSVEKLGTCDYATTLRHPSGCATIISIGGKGFGWFGTLIIIIICLFGAYLLAGTVYRYFFLGVHGIEAIPNLDLWASLPHRTQIFFSSLVRQFSGPSTSHRNSYSPVNS >KJB74365 pep chromosome:Graimondii2_0_v6:11:62175842:62179665:-1 gene:B456_011G290500 transcript:KJB74365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNHDPPRCAECSECGGPSRCGTECSALAARNAGGYHVCSTLGHASSTNVSILDKQNPFKGVIVRMSSSGKDPSCSLSVSILCDSNGAQGPDSVEKLGTCDYATTLRHPSGCATIISIGGKGFGWFGTLIIIIICLFGAYLLAGTVYRYFFLGVHGIEAIPNLDLWASLPHRTQIFFSSLVRQFSGPSTSHRNSYSPVNS >KJB70350 pep chromosome:Graimondii2_0_v6:11:6094217:6096670:-1 gene:B456_011G068600 transcript:KJB70350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAILSRIKPLHTPKSTSPSPLRVPPRVKNLINETIQILKTHQQWPDSLQTRFCDEETRVSEIAHYVFDQIHDVELGIKFFNWVSKQETLHFPFNGLAYSSFLKLLARFKLFSEIDTALEKMKMEETKPTLEALSFLIRVYADYSFVDKALELFYSVLRIYDSVPNLIACNSLLNMLVKLKKVEIARKVFGEMVVRDGCVDNYSVSIMVKGLCSVGKVEEGKKLIEHMWGKRCVPDVVFYNTLIDGYSTKGDVEKANELFKNMKMKGFLPTLKTYGAMINGFCKKGDFDAIDKLLKEMKEMGVSVNTQVHNTILDARFKHGFEVNVKDTIKQMIKSGCEPDIVTYNTLIGGLCKDGKVQKAGQLLERAKKKGLTLNKISFTPLIQGYCKIGEYLVALDLLIEMSERGHKPDVVAFGSLVHGLVAMGEVDAALTIRYRMLERGVLPDAGIYNVLMNGLCKKGKLSAAKVLLREMLDQNVPPDAFIYATLVDGFIRDGDLHGAKELFDIMIGEGMDPGVVGYNAMIKGFCKFGKMKEALLCVTRMIKAHLTPDQFTYSTIIDGYIKQHDIGGALRMFGQMVKRQCKPNVVTYTSLINGFCGNGDFNTAEKAFKEMQSCGLEPNVVTYTILIGSFCKEGKLAKAVFYFELMLSNKCIPNEVTFNYIVNGFTNSPGAVLDNQCFEKRSLFLESYNMIISDGLVQRAAVYNSILLCLCQNGMTRIALQLKDRLMNKGFLSDPVSSAAFLHGICLEGQSKEWRNVISDDLNEQGLQIALKYSELLIQCLPCGITSEASLILQNLIKRCSYENQKEDLKVSAK >KJB70352 pep chromosome:Graimondii2_0_v6:11:6091438:6096825:-1 gene:B456_011G068600 transcript:KJB70352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAILSRIKPLHTPKSTSPSPLRVPPRVKNLINETIQILKTHQQWPDSLQTRFCDEETRVSEIAHYVFDQIHDVELGIKFFNWVSKQETLHFPFNGLAYSSFLKLLARFKLFSEIDTALEKMKMEETKPTLEALSFLIRVYADYSFVDKALELFYSVLRIYDSVPNLIACNSLLNMLVKLKKVEIARKVFGEMVVRDGCVDNYSVSIMVKGLCSVGKVEEGKKLIEHMWGKRCVPDVVFYNTLIDGYSTKGDVEKANELFKNMKMKGFLPTLKTYGAMINGFCKKGDFDAIDKLLKEMKEMGVSVNTQVHNTILDARFKHGFEVNVKDTIKQMIKSGCEPDIVTYNTLIGGLCKDGKVQKAGQLLERAKKKGLTLNKISFTPLIQGYCKIGEYLVALDLLIEMSERGHKPDVVAFGSLVHGLVAMGEVDAALTIRYRMLERGVLPDAGIYNVLMNGLCKKGKLSAAKVLLREMLDQNVPPDAFIYATLVDGFIRDGDLHGAKELFDIMIGEGMDPGVVGYNAMIKGFCKFGKMKEALLCVTRMIKAHLTPDQFTYSTIIDGYIKQHDIGGALRMFGQMVKRQCKPNVVTYTSLINGFCGNGDFNTAEKAFKEMQSCGLEPNVVTYTILIGSFCKEGKLAKAVFYFELMLSNKCIPNEVTFNYIVNGFTNSPGAVLDNQCFEKRSLFLESYNMIISDGLVQRAAVYNSILLCLCQNGMTRIALQLKDRLMNKGFLSDPVSSAAFLHGICLEGQSKEWRNVISDDLNEQGLQIALKYSELLIQCLPCGITSEASLILQNLIKRCSYENQKEDLKVSAK >KJB70351 pep chromosome:Graimondii2_0_v6:11:6092990:6096825:-1 gene:B456_011G068600 transcript:KJB70351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAILSRIKPLHTPKSTSPSPLRVPPRVKNLINETIQILKTHQQWPDSLQTRFCDEETRVSEIAHYVFDQIHDVELGIKFFNWVSKQETLHFPFNGLAYSSFLKLLARFKLFSEIDTALEKMKMEETKPTLEALSFLIRVYADYSFVDKALELFYSVLRIYDSVPNLIACNSLLNMLVKLKKVEIARKVFGEMVVRDGCVDNYSVSIMVKGLCSVGKVEEGKKLIEHMWGKRCVPDVVFYNTLIDGYSTKGDVEKANELFKNMKMKGFLPTLKTYGAMINGFCKKGDFDAIDKLLKEMKEMGVSVNTQVHNTILDARFKHGFEVNVKDTIKQMIKSGCEPDIVTYNTLIGGLCKDGKVQKAGQLLERAKKKGLTLNKISFTPLIQGYCKIGEYLVALDLLIEMSERGHKPDVVAFGSLVHGLVAMGEVDAALTIRYRMLERGVLPDAGIYNVLMNGLCKKGKLSAAKVLLREMLDQNVPPDAFIYATLVDGFIRDGDLHGAKELFDIMIGEGMDPGVVGYNAMIKGFCKFGKMKEALLCVTRMIKAHLTPDQFTYSTIIDGYIKQHDIGGALRMFGQMVKRQCKPNVVTYTSLINGFCGNGDFNTAEKAFKEMQSCGLEPNVVTYTILIGSFCKEGKLAKAVFYFELMLSNKCIPNEVTFNYIVNGFTNSPGAVLDNQCFEKRSLFLESYNMIISDGLVQRAAVYNSILLCLCQNGMTRIALQLKDRLMNKGFLSDPVSSAAFLHGICLEGQSKEWRNVISDDLNEQGLQIALKYSELLIQCLPCGITSEASLILQNLIKRCSYENQKEDLKVSAK >KJB70353 pep chromosome:Graimondii2_0_v6:11:6091535:6096670:-1 gene:B456_011G068600 transcript:KJB70353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAILSRIKPLHTPKSTSPSPLRVPPRVKNLINETIQILKTHQQWPDSLQTRFCDEETRVSEIAHYVFDQIHDVELGIKFFNWVSKQETLHFPFNGLAYSSFLKLLARFKLFSEIDTALEKMKMEETKPTLEALSFLIRVYADYSFVDKALELFYSVLRIYDSVPNLIACNSLLNMLVKLKKVEIARKVFGEMVVRDGCVDNYSVSIMVKGLCSVGKVEEGKKLIEHMWGKRCVPDVVFYNTLIDGYSTKGDVEKANELFKNMKMKGFLPTLKTYGAMINGFCKKGDFDAIDKLLKEMKEMGVSVNTQVHNTILDARFKHGFEVNVKDTIKQMIKSGCEPDIVTYNTLIGGLCKDGKVQKAGQLLERAKKKGLTLNKISFTPLIQGYCKIGEYLVALDLLIEMSERGHKPDVVAFGSLVHGLVAMGEVDAALTIRYRMLERGVLPDAGIYNVLMNGLCKKGKLSAAKVLLREMLDQNVPPDAFIYATLVDGFIRDGDLHGAKELFDIMIGEGMDPGVVGYNAMIKGFCKFGKMKEALLCVTRMIKAHLTPDQFTYSTIIDGYIKQHDIGGALRMFGQMVKRQCKPNVVTYTSLINGFCGNGDFNTAEKAFKEMQSCGLEPNVVTYTILIGSFCKEGKLAKAVFYFELMLSNKCIPNEVTFNYIVNGFTNSPGAVLDNQCFEKRSLFLESYNMIISDGLVQRAAVYNSILLCLCQNGMTRIALQLKDRLMNKGFLSDPVSSAAFLHGICLEGQSKEWRNVISDDLNEQGLQIALKYSELLIQCLPCGITSEASLILQNLIKRCSYENQKEDLKVSAK >KJB70354 pep chromosome:Graimondii2_0_v6:11:6094217:6096670:-1 gene:B456_011G068600 transcript:KJB70354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAILSRIKPLHTPKSTSPSPLRVPPRVKNLINETIQILKTHQQWPDSLQTRFCDEETRVSEIAHYVFDQIHDVELGIKFFNWVSKQETLHFPFNGLAYSSFLKLLARFKLFSEIDTALEKMKMEETKPTLEALSFLIRVYADYSFVDKALELFYSVLRIYDSVPNLIACNSLLNMLVKLKKVEIARKVFGEMVVRDGCVDNYSVSIMVKGLCSVGKVEEGKKLIEHMWGKRCVPDVVFYNTLIDGYSTKGDVEKANELFKNMKMKGFLPTLKTYGAMINGFCKKGDFDAIDKLLKEMKEMGVSVNTQVHNTILDARFKHGFEVNVKDTIKQMIKSGCEPDIVTYNTLIGGLCKDGKVQKAGQLLERAKKKGLTLNKISFTPLIQGYCKIGEYLVALDLLIEMSERGHKPDVVAFGSLVHGLVAMGEVDAALTIRYRMLERGVLPDAGIYNVLMNGLCKKGKLSAAKVLLREMLDQNVPPDAFIYATLVDGFIRDGDLHGAKELFDIMIGEGMDPGVVGYNAMIKGFCKFGKMKEALLCVTRMIKAHLTPDQFTYSTIIDGYIKQHDIGGALRMFGQMVKRQCKPNVVTYTSLINGFCGNGDFNTAEKAFKEMQSCGLEPNVVTYTILIGSFCKEGKLAKAVFYFELMLSNKCIPNEVTFNYIVNGFTNSPGAVLDNQCFEKRSLFLESYNMIISDGLVQRAAVYNSILLCLCQNGMTRIALQLKDRLMNKGFLSDPVSSAAFLHGICLEGQSKEWRNVISDDLNEQGLQIALKYSELLIQCLPCGITSEASLILQNLIKRCSYENQKEDLKVSAK >KJB71609 pep chromosome:Graimondii2_0_v6:11:20196723:20202242:1 gene:B456_011G133200 transcript:KJB71609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAQAPDILGERQSGQDVRTQNVMACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVAAEFLKRANDLVRNKIHPTSIISGFRLAMREACKYVEEKLAVKVEKLGKDSLVNCAKTSMSSKLIAGDSDFFANLVVEAVLAVKMTNARGEVRYPIKGINVLKAHGKSARDSYLLNGYALNTGRAAQGMPLKVSPAKIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQREADMTKERIEKLLKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDMRHVAKATGATMVSTFADMEGEETFDSSLLGFADEVVEERIADDDVVMIKGTKSTSAVSMILRGANDYMLDEMERALHDALSIVKRTLESNTVVAGGGAVEAALSVYLEYLATTLGSREQLAIAEFAEALLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKKHFSNMGLDLLNGTVRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIKLMKDESQNEE >KJB70013 pep chromosome:Graimondii2_0_v6:11:4198124:4199467:1 gene:B456_011G053400 transcript:KJB70013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSPVITLLFSLFFVLLSPTYAFNITEILKPYKDFNLYNYQLSSTGLASEINNLQIVTVLVVADYDLFAFRHLPGDDVRRILGFHVIFGYYDPTRLKSLRSRITLTTLYSGATLTANRESNGEVTFRSTTSSSKLDATFVRTVELQPRSIAVLQVSPYIKTSGDLPSTPPSPPPPPPPPPPQQSPTSLPTTASPPRKALAPPPTSQEKKSPTPTSSPNKSSNIATTSPPTKESNNTAPAASKTKPNSVSSGPPPEENPTTPPPKALSPRKALAPAPSDEDESPVASPPKPSSSTPSPSPAADVPAPAPDQKSSATPMASGNYLASILMISTAAWLFFPMI >KJB73733 pep chromosome:Graimondii2_0_v6:11:57307662:57312124:1 gene:B456_011G246600 transcript:KJB73733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKEDAPVNPTPRAPSCQLSGSRKMFWRSASWSSSRTSLQIPETDKDIGADTNVNNGTNDGQARRYPPPPLTPRSQQNSKARLCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPPTPSGNKSGERLKLDLSSIQWSNDKNGGLMKREKIAFFDKECSKVAEHIYLGGDAVAKDREILKQNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEHVREQSGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPKMLNDPSPSVLDSRGAFIVQIPSAVYIWIGKNCESIMERDARGAVCQIVRYEKVQGPLMMIKEGEEPRDFWNAFSNNLPSMDKSGNIVGVGESAVKICPGKRKVDSYNVDFEVFEKAIKGGIVPPFASSENEHETRLPARESSWSMLRCKFASGIMKDFVSAPKILLSRMYSDSMMIVRSSSPSSTSSSSSSSPLYPSPDSSSCSTYFSESSLDSPSAVSNSSQVSSPLHGFSELSYVSSQTSSHPTSNSSGFVSVSLTFQPQAASSPLKKVSTSLAERRGSLSKSLRLPVPSDNVREASDRSSFLVKQVRDRRNDNTSSSCESDIEIVFDSKHGVGNGEDVVIEGSNLKISPCRIANIDPDDRRSTFVSTYEPQKIHSPQDGFVSAVPNRMEESFPACPGVIQPLVWRWPSIDKMTKFNRSDLDSKSAFAIFSPATAVGKNEDRILYFWIGKFYHHEKNLIQLDSSQVLRDRDDVDWNQVGYDVLTQVGLPEDTLVKIVKEEEEPTEFLELLRTF >KJB69914 pep chromosome:Graimondii2_0_v6:11:3896646:3898197:1 gene:B456_011G049900 transcript:KJB69914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASLYVRLFSIFLLIICIFPPQALSVVPEECQTKTDGCTNKEKALPLKVIAIFSILIASVIGVCSPLFTRSIPALNPDRNLFVIVKCFAAGIILATGFMHVLPDSFNMLSSRCLKENPWHEFPFTGFVAMLSAIITLMVDSMATSIYTKKCNNGVIPEVASPAGGGQQEMVVVNVGQFHGHHHGLKPAPGTVDQQLLRYRVVAMVLELGIVVHSVVIGLSLGASNNTCSIKGLVAALCFHQMFEGMGLGGCILQAEYKTMKKFIMVFFFSVTTPFGIALGIALANTYKENSPTALITVGLLNASSAGLLIYMALVDLLAADFMGSKLQASVKLQIKSYVAVLLGAGGMSVMAKWA >KJB71529 pep chromosome:Graimondii2_0_v6:11:18234777:18236615:1 gene:B456_011G127400 transcript:KJB71529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHGRERKLAGNNGEFSYSKAKDIFLINPNPPHNSSLSTLKSPDHNKLCKYWMSGHCHRGDKCWYLRSWSRGDGFTMLAKLEGHKKGLPSGHDKLYSGSSDGTARIWDGHTGKCLHFTNLGDEAGSLITEGAWVFTGMKNAVKVGIQHYALNIPSDLELNLKGPVGQVYAMIVAGDMLFAGHRASCETDSFQLAASLEGHNGAVSCLAVGDKMLFSGSLDKTIRVCSSASLVCGTLTHFNALRLHADVVTSLVHCNGYLFSSSVDCTIKVWFATDGQNWRCSILIKRKMVFSHYVE >KJB73990 pep chromosome:Graimondii2_0_v6:11:59716667:59716786:1 gene:B456_011G2657001 transcript:KJB73990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPVIVEEDAYDHQLQGFRLTIWWNPTLANNRFCGIILC >KJB71372 pep chromosome:Graimondii2_0_v6:11:16267525:16268046:-1 gene:B456_011G119200 transcript:KJB71372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNHQTCKISPMHRIKTLNLLLFFQFRIDTFWAFHCKLYCSNSSNFLKPTMSNTHIQCIFRHDCHAIIIPKSPNESKSWKNN >KJB71679 pep chromosome:Graimondii2_0_v6:11:29669255:29671988:1 gene:B456_011G160700 transcript:KJB71679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQTLIYSFVARGTVILAEYTEFTGNFTSIAGQCLQKLPTSNNKFTYNCDGHTFNYLVENGFTYCVVAVESAGRQIPIAFLERIKEDFSRRYGGGKAATATAKSLNREFKSKLKEHMKYCVEHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKIKRKMWIENMKMKLIVFGIVLALVLIIVLSICPGFKC >KJB71677 pep chromosome:Graimondii2_0_v6:11:29668999:29672012:1 gene:B456_011G160700 transcript:KJB71677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQTLIYSFVARGTVILAEYTEFTGNFTSIAGQCLQKLPTSNNKFTYNCDGHTFNYLVENGFTYCVVAVESAGRQIPIAFLERIKEDFSRRYGGGKAATATAKSLNREFKSKLKEHMKYCVEHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKIKRKMWIENMKMKLIVFGIVLALVLIIVLSICPGFKC >KJB71678 pep chromosome:Graimondii2_0_v6:11:29669015:29672086:1 gene:B456_011G160700 transcript:KJB71678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQTLIYSFVARGTVILAEYTEFTGNFTSIAGQCLQKLPTSNNKFTYNCDGHTFNYLVENGFTYCVVAVESAGRQIPIAFLERIKEDFSRRYGGGKAATATAKSLNREFKSKLKEHMKYCVEHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKIKRKMWIENMKMKLIVFGIVLALVLIIVLSICPGFKC >KJB72336 pep chromosome:Graimondii2_0_v6:11:38245459:38249961:1 gene:B456_011G171800 transcript:KJB72336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVPIQSENFKLGFIGAGKMAESIARGVVQSGVLPPQRISTAIHSNPSRGTAFQSLGISVYSHNTDMHAEAGNGQYEMALGYTACTYAADNLIFMREVVRTIANKHGLLATFVPKYALDDIGSGSHVHLSLWQNGQNVFQASYASSQHGMSKVGEEFMAGVLDHLPSILSFTAPLSNRFILYFLHTCICCT >KJB71664 pep chromosome:Graimondii2_0_v6:11:20749271:20750374:1 gene:B456_011G136200 transcript:KJB71664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSTSKYLLLAAVVVCLSACFRLYSQLSSLNISTLIHHQFKARAPPPTISFYKPSLQWPPAACHGTLSCKPPIPSNFTIHGIWPQDRNDEPIPPYNKNNPCTPKTPTPPTNLPIKLRPIEVYLMSEWPNLTDGLNLTANYQFWEYEWKKHGTCSDYPDDPLTYFKSAVKLRLGISTIVRFGRQTSWTVKQVADEVFDVLKAYPEIACNLNPRGTQKQLWEIRLCYDRPNPRQTPHILINCTHILHVRKGKIIGPCKSLSDTIFFP >KJB74091 pep chromosome:Graimondii2_0_v6:11:60379620:60380759:1 gene:B456_011G271500 transcript:KJB74091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKDEACLRSIPPGYRFKPRDDEIINFYLRPKIFGLPLPPNRIKEVFLYNYDPQTLTAMSNNALSNGVGNEYYFFTPRDRKYANGSRPARNAGNGYWKATGADKLICRKGKKIGLKKSLVFYQGKPPKGVKTNWLMHEYVLTDAPIRKRLGNEDMRLDDWVLCRVYKNPRVKKLEHETLEDGNQEEADTATTVAYDGAQMVQQEGHQVECEAEAARSAIPPYNGIQMVENGIAFAPLYEPINTSSQMLENGIAFAPLYEPINVQMPQGTSFWFPEQVYSTPYFNQYGDYTFEHPTLEGFNMPDCGVSDPAHFQINMQQHFS >KJB72809 pep chromosome:Graimondii2_0_v6:11:47994493:48001076:1 gene:B456_011G198600 transcript:KJB72809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGSGRLAGTEIHGFYTLEDLDVQTMMEEAKSRWLRPNEIHAILSNYKYFPIHVKPVNLPQSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGLDNPTFVRRCYWLLDKTLENIVLVHYRETKELQGSPATPVNSNSCLTTDQSTPLLVMEDFDSGAANAYYKDLSDSINANHEMTLHEINTLEWDELLVTNDGNDSAVSSGDMSTCFDQWNQKMVNGLSNDGGPISAYNSSPDISLLDNLVDPVAQSNNAYLNTPGGVCYQTPGTEVNSTVQREDSSAIGMGKSLDLLINNGLESQDSFGKWINCTVTGSPCSMGDPVPESSSSSGQDSLTSPGEIFSITEVSPAWAYSTEKTKILVTGVFHQAYQHLAKSNLFCVCGDVCYPVETIQVGVYRCLLSQHAPGLVKLYMSLDGHKPISQVLNFEYRAPLLHDPVVPLEDKSRWEEFRLQMRLAYLLFSTSKSLSILSGKVSPNSLKEAKKFAQKTSNISNSWTYLIQSIEENRASFTQAKDSLFEIALKNRLKDWLLERIIYGSKTTEYDAQGQGVIHLCAILGYAWAIYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVAVLLSAGAKPNLVTDPTTQNPSGCTAADLASLNGYDGLAAYLSEEALVAQFNDMALAGNASGSLQTSRTEATNLVNLKEDELYLRETLAAYRTAADAAARINTAFRAHSFKVRAKAVESYNAEEEARSIIAAMKIQHAFRNYEAKRKMAAAARIQYRFRTWKMRKDFLNMRRQAIKIQAAFRGFQARRQYCKIIWSVGVLEKAILRWRLRRKGFRGLQITTDEAVEEQRQETYVEEAYYISSRKQAEERVEKAVVRVQSMFRSKKAQQEYRRMKLAHDLATLEYESLIGPLSDMMLKDHRK >KJB72808 pep chromosome:Graimondii2_0_v6:11:47994290:48001178:1 gene:B456_011G198600 transcript:KJB72808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGSGRLAGTEIHGFYTLEDLDVQTMMEEAKSRWLRPNEIHAILSNYKYFPIHVKPVNLPQSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGLDNPTFVRRCYWLLDKTLENIVLVHYRETKEGSPATPVNSNSCLTTDQSTPLLVMEDFDSGAANAYYKDLSDSINANHEMTLHEINTLEWDELLVTNDGNDSAVSSGDMSTCFDQWNQKMVNGLSNDGGPISAYNSSPDISLLDNLVDPVAQSNNAYLNTPGGVCYQTPGTEVNSTVQREDSSAIGMGKSLDLLINNGLESQDSFGKWINCTVTGSPCSMGDPVPESSSSSGQDSLTSPGEIFSITEVSPAWAYSTEKTKILVTGVFHQAYQHLAKSNLFCVCGDVCYPVETIQVGVYRCLLSQHAPGLVKLYMSLDGHKPISQVLNFEYRAPLLHDPVVPLEDKSRWEEFRLQMRLAYLLFSTSKSLSILSGKVSPNSLKEAKKFAQKTSNISNSWTYLIQSIEENRASFTQAKDSLFEIALKNRLKDWLLERIIYGSKTTEYDAQGQGVIHLCAILGYAWAIYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVAVLLSAGAKPNLVTDPTTQNPSGCTAADLASLNGYDGLAAYLSEEALVAQFNDMALAGNASGSLQTSRTEATNLVNLKEDELYLRETLAAYRTAADAAARINTAFRAHSFKVRAKAVESYNAEEEARSIIAAMKIQHAFRNYEAKRKMAAAARIQYRFRTWKMRKDFLNMRRQAIKIQAAFRGFQARRQYCKIIWSVGVLEKAILRWRLRRKGFRGLQITTDEAVEEQRQETYVEEAYYISSRKQAEERVEKAVVRVQSMFRSKKAQQEYRRMKLAHDLATLEYESLIGPLSDMMLKDHRK >KJB72804 pep chromosome:Graimondii2_0_v6:11:47994290:48001104:1 gene:B456_011G198600 transcript:KJB72804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGSGRLAGTEIHGFYTLEDLDVQTMMEEAKSRWLRPNEIHAILSNYKYFPIHVKPVNLPQSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGLDNPTFVRRCYWLLDKTLENIVLVHYRETKELQGSPATPVNSNSCLTTDQSTPLLVMEDFDSGAANAYYKDLSDSINANHEMTLHEINTLEWDELLVTNDGNDSAVSSGDMSTCFDQWNQKMVNGLSNDGGPISAYNSSPDISLLDNLVDPVAQSNNAYLNTPGGVCYQTPGTEVNSTVQREDSSAIGMGKSLDLLINNGLESQDSFGKWINCTVTGSPCSMGDPVPESSSSSGQDSLTSPGEIFSITEVSPAWAYSTEKTKILVTGVFHQAYQHLAKSNLFCVCGDVCYPVETIQVGVYRCLLSQHAPGLVKLYMSLDGHKPISQVLNFEYRAPLLHDPVVPLEDKSRWEEFRLQMRLAYLLFSTSKSLSILSGKVSPNSLKEAKKFAQKTSNISNSWTYLIQSIEENRASFTQAKDSLFEIALKNRLKDWLLERIIYGSKTTEYDAQGQGVIHLCAILGYAWAIYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVAVLLSAGAKPNLVTDPTTQNPSGCTAADLASLNGYDGLAAYLSEEALVAQFNDMALAGNASGSLQTSRTEATNLVNLKEDELYLRETLAAYRTAADAAARINTAFRAHSFKVRAKAVESYNAEEEARSIIAAMKIQHAFRNYEAKRKMAAAARIQYRFRTWKMRKDFLNMRRQAIKIQAAFRGFQARRQYCKIIWSVGVLEKAILRWRLRRKGFRGLQITTDEAVEEQRQETYVEEAYYISSRKQAEERVEKAVVRVQSMFRSKKAQQEYRRMKLAHDLATLEYESLIGPLSDMMLKDHRK >KJB72806 pep chromosome:Graimondii2_0_v6:11:47995777:48000734:1 gene:B456_011G198600 transcript:KJB72806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGLDNPTFVRRCYWLLDKTLENIVLVHYRETKELQGSPATPVNSNSCLTTDQSTPLLVMEDFDSGAANAYYKDLSDSINANHEMTLHEINTLEWDELLVTNDGNDSAVSSGDMSTCFDQWNQKMVNGLSNDGGPISAYNSSPDISLLDNLVDPVAQSNNAYLNTPGGVCYQTPGTEVNSTVQREDSSAIGMGKSLDLLINNGLESQDSFGKWINCTVTGSPCSMGDPVPESSSSSGQDSLTSPGEIFSITEVSPAWAYSTEKTKILVTGVFHQAYQHLAKSNLFCVCGDVCYPVETIQVGVYRCLLSQHAPGLVKLYMSLDGHKPISQVLNFEYRAPLLHDPVVPLEDKSRWEEFRLQMRLAYLLFSTSKSLSILSGKVSPNSLKEAKKFAQKTSNISNSWTYLIQSIEENRASFTQAKDSLFEIALKNRLKDWLLERIIYGSKTTEYDAQGQGVIHLCAILGYAWAIYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVAVLLSAGAKPNLVTDPTTQNPSGCTAADLASLNGYDGLAAYLSEEALVAQFNDMALAGNASGSLQTSRTEATNLVNLKEDELYLRETLAAYRTAADAAARINTAFRAHSFKVRAKAVESYNAEEEARSIIAAMKIQHAFRNYEAKRKMAAAARIQYRFRTWKMRKDFLNMRRQAIKIQAAFRGFQARRQYCKIIWSVGVLEKAILRWRLRRKGFRGLQITTDEAVEEQRQETYVEEAYYISSRKQAEERVEKAVVRVQSMFRSKKAQQEYRRMKLAHDLATLEYESLIGPLSDMMLKDHRK >KJB72803 pep chromosome:Graimondii2_0_v6:11:47994552:48001076:1 gene:B456_011G198600 transcript:KJB72803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGSGRLAGTEIHGFYTLEDLDVQTMMEEAKSRWLRPNEIHAILSNYKYFPIHVKPVNLPQSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGLDNPTFVRRCYWLLDKTLENIVLVHYRETKEGSPATPVNSNSCLTTDQSTPLLVMEDFDSGAANAYYKDLSDSINANHEMTLHEINTLEWDELLVTNDGNDSAVSSGDMSTCFDQWNQKMVNGLSNDGGPISAYNSSPDISLLDNLVDPVAQSNNAYLNTPGGVCYQTPGTEVNSTVQREDSSAIGMGKSLDLLINNGLESQDSFGKWINCTVTGSPCSMGDPVPESSSSSGQDSLTSPGEIFSITEVSPAWAYSTEKTKILVTGVFHQAYQHLAKSNLFCVCGDVCYPVETIQVGVYRCLLSQHAPGLVKLYMSLDGHKPISQVLNFEYRAPLLHDPVVPLEDKSRWEEFRLQMRLAYLLFSTSKSLSILSGKVSPNSLKEAKKFAQKTSNISNSWTYLIQSIEENRASFTQAKDSLFEIALKNRLKDWLLERIIYGSKTTEYDAQGQGVIHLCAILGYAWAIYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVAVLLSAGAKPNLVTDPTTQNPSGCTAADLASLNGYDGLAAYLSEEALVAQFNDMALAGNASGSLQTSRTEATNLVNLKEDELYLRETLAAYRTAADAAARINTAFRAHSFKVRAKAVESYNAEEEARSIIAAMKIQHAFRNYEAKRKMAAAARIQYRFRTWKMRKDFLNMRRQAIKIQAAFRGFQARRQYCKIIWSVGVLEKAILRWRLRRKGFRGLQITTDEAVEEQRQETYVEEAYYISSRKQAEERVEKAVVRVQSMFRSKKAQQEYRRMKLAHDLATLEYESLIGPLSDMMLKDHRK >KJB72807 pep chromosome:Graimondii2_0_v6:11:47994290:48001083:1 gene:B456_011G198600 transcript:KJB72807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGSGRLAGTEIHGFYTLEDLDVQTMMEEAKSRWLRPNEIHAILSNYKYFPIHVKPVNLPQSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGLDNPTFVRRCYWLLDKTLENIVLVHYRETKEGSPATPVNSNSCLTTDQSTPLLVMEDFDSGAANAYYKDLSDSINANHEMTLHEINTLEWDELLVTNDGNDSAVSSGDMSTCFDQWNQKMVNGLSNDGGPISAYNSSPDISLLDNLVDPVAQSNNAYLNTPGGVCYQTPGTEVNSTVQREDSSAIGMGKSLDLLINNGLESQDSFGKWINCTVTGSPCSMGDPVPESSSSSGQDSLTSPGEIFSITEVSPAWAYSTEKTKILVTGVFHQAYQHLAKSNLFCVCGDVCYPVETIQVGVYRCLLSQHAPGLVKLYMSLDGHKPISQVLNFEYRAPLLHDPVVPLEDKSRWEEFRLQMRLAYLLFSTSKSLSILSGKVSPNSLKEAKKFAQKTSNISNSWTYLIQSIEENRASFTQAKDSLFEIALKNRLKDWLLERIIYGSKTTEYDAQGQGVIHLCAILGYAWAIYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVAVLLSAGAKPNLVTDPTTQNPSGCTAADLASLNGYDGLAAYLSEEALVAQFNDMALAGNASGSLQTSRTEATNLVNLKEDELYLRETLAAYRTAADAAARINTAFRAHSFKVRAKAVESYNAEEEARSIIAAMKIQHAFRNYEAKRKMAAAARIQYRFRTWKMRKDFLNMRRQAIKIQAAFRGFQARRQYCKIIWSVGVLEKAILRWRLRRKGFRGLQITTDEAVEEQRQETYVEEAYYISSRKQAEERVEKAVVRVQSMFRSKKAQQEYRRMKLAHDLATLEYESLIGPLSDMMLKDHRK >KJB72805 pep chromosome:Graimondii2_0_v6:11:47994521:48001076:1 gene:B456_011G198600 transcript:KJB72805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGSGRLAGTEIHGFYTLEDLDVQTMMEEAKSRWLRPNEIHAILSNYKYFPIHVKPVNLPQSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGLDNPTFVRRCYWLLDKTLENIVLVHYRETKEGSPATPVNSNSCLTTDQSTPLLVMEDFDSGAANAYYKDLSDSINANHEMTLHEINTLEWDELLVTNDGNDSAVSSGDMSTCFDQWNQKMVNGLSNDGGPISAYNSSPDISLLDNLVDPVAQSNNAYLNTPGGVCYQTPGTEVNSTVQREDSSAIGMGKSLDLLINNGLESQDSFGKWINCTVTGSPCSMGDPVPESSSSSGQDSLTSPGEIFSITEVSPAWAYSTEKTKILVTGVFHQAYQHLAKSNLFCVCGDVCYPVETIQVGVYRCLLSQHAPGLVKLYMSLDGHKPISQVLNFEYRAPLLHDPVVPLEDKSRWEEFRLQMRLAYLLFSTSKSLSILSGKVSPNSLKEAKKFAQKTSNISNSWTYLIQSIEENRASFTQAKDSLFEIALKNRLKDWLLERIIYGSKTTEYDAQGQGVIHLCAILGYAWAIYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVAVLLSAGAKPNLVTDPTTQNPSGCTAADLASLNGYDGLAAYLSEEALVAQFNDMALAGNASGSLQTSRTEATNLVNLKEDELYLRETLAAYRTAADAAARINTAFRAHSFKVRAKAVESYNAEEEARSIIAAMKIQHAFRNYEAKRKMAAAARIQYRFRTWKMRKDFLNMRRQAIKIQAAFRGFQARRQYCKIIWSVGVLEKAILRWRLRRKGFRGLQITTDEAVEEQRQETYVEEAYYISSRKQAEERVEKAVVRVQSMFRSKKAQQEYRRMKLAHDLATLEYESLIGPLSDMMLKDHRK >KJB69878 pep chromosome:Graimondii2_0_v6:11:3699296:3705316:1 gene:B456_011G047900 transcript:KJB69878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solanesyl diphosphate synthase 3, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G34630) UniProtKB/Swiss-Prot;Acc:Q5HZ00] MSAAAATAFQSSLCISSQKPSFSPTNSQEPNTHLPSKPKSLLHKHPLYSSAHHNLSLQIKEKILCLEIMGIDSGKALSLNPSLHTTSLDSLNSIISFLQSKGIHQKDFPRIFGMCPRILTSNIKTELGPVFSFLSQDLNVPENNYRKVINKCPRLLTSSVRDQLKPALFYLQRLGFKDLEALAYQDPVLLVSSVEHTLIPKLKFLESIGFSTSEAKSMVLRCPGLFTFSIENNYKPKFEYFKEEMNGDLEDLKAFPQFFAFSLEKRIKPRHIETITSGVKLPLANMLKSTDDEFKEHFLQEQLDPFSLVSDELSLVANRLRAMVIAEVPKLASAAEYFFRLGVEGKRFRPTVLLLMATALNVHIPEPVGGGVGDTVATELRTRQQSIAEITEMIHVASLLHDDVLDDADTRRGIGSLNAVMGNKLAVLAGDFLLSRACVALAALKNTEVVSLVATVVENLVMGETMQMTTSSEQRCSMDYYIQKTYYKTASLISNSCKAIALLAGQTAEVAMLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIQQGIVTAPILFAMEEFPQLHAIVYQGFDNPENIEIALEYLGKSHGIQRTRELAMKHANLAAAAIDSLPESNDENVIRSRRALVDLTQRVITRNK >KJB70503 pep chromosome:Graimondii2_0_v6:11:7799971:7806505:-1 gene:B456_011G078400 transcript:KJB70503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKENVVSANSGEFNRRLTRARAAALCASRQLPLKAPTQPDQKRVLRANTKRTASDGNNTNAAENAGHHCKKRAVLQDVTNVCCNNSYRMCINATKIQSKSSKKTRKVQATASKVAPDVAHQVLQTEAKLLKEGIQETEKIDPKLEVTCLVSVRGDHTFPLNSIKEESIHYHWFANQNSTMPLRSQSPPRNAKKVSFSGTSTTSSEPDFKDIDSDKKDLQLCSLYAPEIYNNLRVAELVRRPCPNFMQTIQQDITQSMRAILVDWLVEVSEEYKLVPDTLYLTVYLIDWFLSQNYIERQRLQLLGITCMLIASKYEEICAPRVEEFCFITDNTYVREEVLKMESQVLKYIGFQLFAPTAKTFLRRFLRAAQASYTTPSLELEYLANYLAELTLIDYGFLNFSPSMVAASAVFLARWTLDQSCHPWNPTLEHYTTYSVLDLKTTVLLLQDLQLNTNDCSLSAIRVKYRQQRFKSVASFSSPKLLETLF >KJB70505 pep chromosome:Graimondii2_0_v6:11:7799971:7807527:-1 gene:B456_011G078400 transcript:KJB70505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKENVVSANSGEFNRRLTRARAAALCASRQLPLKAPTQPDQKRVLRANTKRTASDGNNTNAAENAGHHCKKRAVLQDVTNVCCNNSYRMCINATKIQSKSSKKTRKVQATASKVAPDVAHQVLQTEAKLLKEGIQETEKIDPKLEVTCLVSVRGDHTFPLNSIKEESIHYHWFANQNSTMPLRSQSPPRNAKKVSFSGTSTTSSEPDFKDIDSDKKDLQLCSLYAPEIYNNLRVAELVRRPCPNFMQTIQQDITQSMRAILVDWLVEVSEEYKLVPDTLYLTVYLIDWFLSQNYIERQRLQLLGITCMLIASKYEEICAPRVEEFCFITDNTYVREEVLKMESQVLKYIGFQLFAPTAKTFLRRFLRAAQASYTTPSLELEYLANYLAELTLIDYGFLNFSPSMVAASAVFLARWTLDQSCHPWNPTLEHYTTYSVLDLKTTVLLLQDLQLNTNDCSLSAIRVKYRQQRFKSVASFSSPKLLETLF >KJB70504 pep chromosome:Graimondii2_0_v6:11:7799971:7806558:-1 gene:B456_011G078400 transcript:KJB70504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKENVVSANSGEFNRRLTRARAAALCASRQLPLKAPTQPDQKRVLRANTKRTASDGNNTNAAENAGHHCKKRAVLQDVTNVCCNNSYRMCINATKIQSKSSKKTRKVQATASKVAPDVAHQVLQTEAKLLKEGIQETEKIDPKLEVTCLVSVRGDHTFPLNSIKEESIHYHWFANQNSTMPLRSQSPPRNAKKVSFSGTSTTSSEPDFKDIDSDKKDLQLCSLYAPEIYNNLRVAELVRRPCPNFMQTIQQDITQSMRAILVDWLVEVSEEYKLVPDTLYLTVYLIDWFLSQNYIERQRLQLLGITCMLIASKYEEICAPRVEEFCFITDNTYVREEVLKMESQVLKYIGFQLFAPTAKTFLRRFLRAAQASYTTPSLELEYLANYLAELTLIDYGFLNFSPSMVAASAVFLARWTLDQSCHPWNPTLEHYTTYSVLDLKTTVLLLQDLQLNTNDCSLSAIRVKYRQQRFKSVASFSSPKLLETLF >KJB74276 pep chromosome:Graimondii2_0_v6:11:61701727:61706814:-1 gene:B456_011G284600 transcript:KJB74276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKEGFSIHNFVMAAFPERITEIIDPILLQERCRHRTPGNITFSDRHLQHLNSILEIGLACSAESPIIILLSCFNFRCLNLFGLADPVVSGNATDKQALLDFKAKITGDQLKVMESWNSSIHFCQWHGVTCGRKHQRVTKLELQSLKLSGSLSPHIGNMSFLRVLNLMDNSFYNQIPQSIGGLRRLETLYLTNNSISGEIPSNLSSCSKLTIVRMAGNRLTGEIPAFLGFLSNLKVLSFFNNSLRGSIPPSLGNLSSLEELALTYNALDGIIPETLGRLTNLSVFLAAANAISGTLPVAMFNLSNIRFFDIGENKIQGTLYTDLAITMPYVEFFSVRGNKISGQIPISITNASNLRVLQFNDNRLSGKVPSLEKLDKISMLQLAVNDLGHGREGDLNFICTLVKNTKLEFLFISDNNFGGVFPKCVSNFSNTLLRLEIDENKIMGRIPDGICNLVNLEVLFASENLLSGPIPFEIGRLQKLNHFFARINFLSGTIPHSIGNLSALTEVGLDFNNLQGNIPPSIGKCQSLLGLTVSYNNLSGPIPPQLLGVSSMSIILDLSSNHLTAELPVAVENLKNLGQLYVSQNRLSGLLPKTLGSCVSLEKLYLDGNLFEGPIPSSLSSLRGLEALDVSNNNLSGEIPEFLVRFGALRYLNLSFNNFEGVIPSGGVFKNGSATFVEGNSKLCGGVPELHLLRCNSKTSSSNSLRLKVAIIVVTLGVTLVFTCLLILWFRKKKEQSTTSCVESSLLQLSYQSIVRATDGFSTQNLVGSGSFGSVYKGVLEASGAVIAVKVLNLLTRGASRSFLAECEALKNIRHRNLVKVLTAISGVDYQGNDFKALVYEFMENGSLEDWLHPLIGMNGPETARNLNFFQRVSVAIDVAHALQYLHHHCEEPIMHCDLKPSNILLDEEMVGHISDFGLAKILSTDRLNYSANKSSSLGLRGTIGYAPPEYGMGSELSTKGDVYSYGILLLEMFTGKRPIDERFREGLSLHNFVKAALPERIIEITDPILVQERVRRGTPNFGNDRYLQCLNSLFEIGLACSAESPNERIDMSDVATKLWAIKDKLHSTRLPREVRT >KJB69466 pep chromosome:Graimondii2_0_v6:11:1947105:1948475:-1 gene:B456_011G026300 transcript:KJB69466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIFKKELMNPPKELHSPASLTSSNKPKLPNQIINHFLSSNPNNAVSMGFGSSACFAYAPTENRFPSHQRLFCGVDEIYCIFLGDLNNLSSLLKQYGLSKGTNEAMFIIEAYRTLRDRGPYPADQVLKDLEGSYGFVVYDSKAGSVFAALGADERVNLYWGVAADGSVVVSDNLKLIKESCAKSFAPFPPGYVCFILISFYQYYIIILKDFCTKTIVLTFCVCEYRVYVPQ >KJB69467 pep chromosome:Graimondii2_0_v6:11:1947105:1948475:-1 gene:B456_011G026300 transcript:KJB69467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIFKKELMNPPKELHSPASLTSSNKPKLPNQIINHFLSSNPNNAVSMGFGSSACFAYAPTENRFPSHQRLFCGVDEIYCIFLGDLNNLSSLLKQYGLSKGTNEAMFIIEAYRTLRDRGPYPADQVLKDLEGSYGFVVYDSKAGSVFAALVRPFSKPTSPYNWVIQMYIFLLN >KJB69465 pep chromosome:Graimondii2_0_v6:11:1947778:1948388:-1 gene:B456_011G026300 transcript:KJB69465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIFKKELMNPPKELHSPASLTSSNKPKLPNQIINHFLSSNPNNAVSMGFGSSACFAYAPTENRFPSHQRLFCGVDEIYCIFLGDLNNLSSLLKQYGLSKGTNEAMFIIEAYRTLRDRGPYPADQVLKDLEGSYGFVVYDSKAGSVFAALVRPFSKPTSPYNWVIQMYIFLLN >KJB69464 pep chromosome:Graimondii2_0_v6:11:1946842:1948515:-1 gene:B456_011G026300 transcript:KJB69464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIFKKELMNPPKELHSPASLTSSNKPKLPNQIINHFLSSNPNNAVSMGFGSSACFAYAPTENRFPSHQRLFCGVDEIYCIFLGDLNNLSSLLKQYGLSKGTNEAMFIIEAYRTLRDRGPYPADQVLKDLEGSYGFVVYDSKAGSVFAALGADERVNLYWGVAADGSVVVSDNLKLIKESCAKSFAPFPPGCMFHSEQGLMSFEHPRSKMKAMPRIDSEGVMCGANFMADAQSRTSRMPRVGSEANWALRGSKA >KJB74330 pep chromosome:Graimondii2_0_v6:11:61993368:61994480:1 gene:B456_011G288500 transcript:KJB74330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWGRSIPKKYHQHNIGNEMQRTTSSIISHLQNRENEGRKIIERSYEGRESRGSPFTSIDIRNITQVKKLFSLSAVPYLRCAKLFNGHGFTSRERRSNCSSTQRLEKRIPRLCDVLHIKISQVINHFSISFFKVKLNKGYNSKLIILISL >KJB71993 pep chromosome:Graimondii2_0_v6:11:26057504:26061046:-1 gene:B456_011G152800 transcript:KJB71993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADGTLAKMKTREKKYKPIACYVNSVHHVRDSIAYPWQWSWKDVSTKVQNMRHQYLLVKQKIKRPDAVVNNPVGGECNGDDDFDWLEGLTHWSNFLRYKEVFGDVAVSYNSTNNNNSNNSSDTMAVVNEERENRGGFIGGSGMEIVEFGKMGQSGDGDFVGIDGGENGVLGLGFEYDGEEGEGNYNGNDQVREEGDDALLYEEVEPNGSNLKKKRKVLKGLEKKAFGFLVNQLGQLRVLEARFEQRDAERERERKRKESVRLELEKEWERKLEEREKGREEREKAREKLRMQRIHEWEAMEKQSEEKERKRKEVDSIQESEWEERMNRKKSEWKTRMDEMLNQHRAEMNQMQTRFLHEQQNLTSQLLGIVSQWTGHPAGLSDHTAASNHYLSQMMQNLHHVNGMVHGDDRVDGDNQDDQFIVDG >KJB71994 pep chromosome:Graimondii2_0_v6:11:26059319:26060596:-1 gene:B456_011G152800 transcript:KJB71994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADGTLAKMKTREKKYKPIACYVNSVHHVRDSIAYPWQWSWKDVSTKVQNMRHQYLLVKQKIKRPDAVVNNPVGGECNGDDDFDWLEGLTHWSNFLRYKEVFGDVAVSYNSTNNNNSNNSSDTMAVVNEERENRGGFIGGSGMEIVEFGKMGQSGDGDFVGIDGGENGVLGLGFEYDGEEGEGNYNGNDQVREEGDDALLYEEVEPNGSNLKKKRKVLKGLEKKAFGFLVNQLGQLRVLEARFEQRDAERERERKRKESVRLELEKEWERKLEEREKGREEREKAREKLRMQRIHEWEAMEKQSEEKERKRKEVDSIQESEWEERMNRKKSEWKTRMDEMLNQHRAEMNQMQTRFLHEQQNLTSQLLGIVSQWTGHPAGLSDHTAASNHYLSQMMQNLHHVNGMVHGDDRVDGDNQDDQFIVDG >KJB70121 pep chromosome:Graimondii2_0_v6:11:4737873:4739171:-1 gene:B456_011G058800 transcript:KJB70121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISARNKYLFTPNQWQELEHQALIFKYMVSGVPIPPQLLYSVKTSFDSSLASHLFPHQPTGWGCFQVGFGRKPDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEANSSSSSSSSSSSTAPPAPPTTAAASILSPSFPSINSNLPTSSSSLSFSPMATENFTHFDPFLYSHSSTRLHGSGLSVPSHHFLDSGTGIDYPQTDKIYRYVHGTREVVDERSFFPEASGSVRVVPDSYQSLTMVQSFGDNNGSKQGQQCFVLGTDIKSAKPIKLEKDEGTQKPVHQFLGDWTQGNNNGSWLDLASNSRVQSDS >KJB70120 pep chromosome:Graimondii2_0_v6:11:4737423:4739444:-1 gene:B456_011G058800 transcript:KJB70120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISARNKYLFTPNQWQELEHQALIFKYMVSGVPIPPQLLYSVKTSFDSSLASHLFPHQPRWGCFQVGFGRKPDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEANSSSSSSSSSSSTAPPAPPTTAAASILSPSFPSINSNLPTSSSSLSFSPMATENFTHFDPFLYSHSSTRLHGSGLSVPSHHFLDSGTGIDYPQTDKIYRYVHGTREVVDERSFFPEASGSVRVVPDSYQSLTMVQSFGDNNGSKQGQQCFVLGTDIKSAKPIKLEKDEGTQKPVHQFLGDWTQGNNNGSWLDLASNSRVQSDS >KJB74443 pep chromosome:Graimondii2_0_v6:11:62599856:62602323:1 gene:B456_011G295600 transcript:KJB74443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEQPHSCCKGAEVLLNLQPTSSVSIQYHPFFGPHDDLVLLELDEKLLSDVLYQRVTLRGQPDEDAVLCTKSKTYSVKFVGTSNSVFLIPPADCSTSHESGGENYKQQVATASVIKVAPGNMELVEVAPRLDKLKSILSENLYSSDEASTAMGDLYKWDDLINKVQASDDELKAGLQALSAVEIDGYWRIVDEKYMDVILRMLLHNSVLNDWSLDSLVEDKVVSVLESDGFPCKLVYHCLNVYGSRVEEEAMGGGVWRLDARRVCVHFARGILREGKRKMESFMEEWLRMIPEEMQPSFDMLEGEVLTEKLGVETWVRALSVSSLPTTPAERFSILFKERAKWEWKDLEPYIRDLNVPGLSSEALLLKYTRRSQPSIDAEPVFSAR >KJB74444 pep chromosome:Graimondii2_0_v6:11:62599745:62602327:1 gene:B456_011G295600 transcript:KJB74444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEQPHSCCKGAEVLLNLQPTSSVSIQYHPFFGPHDDLVLLELDEKLLSDVLYQRVTLRGQPDEDAVLCTKSKTYSVKFVGTSNSVFLIPPADCSTSHESGGENYKQQVATASVIKVAPGNMELVEVAPRLDKLKSILSENLYSSDEASTAMGDLYKWDDLINKVQASDDELKAGLQALSAVEIDGYWRIVDEKYMDVILRMLLHNSVLNDWSLDSLVEDKVVSVLESDGFPCKLVYHCLNVYGSRVEEEAMGGGVWRLDARRVCVHFARGILREGKRKMESFMEEWLRMIPEEMQPSFDMLEGEVLTEKLGVETWVRALSVSSLPTTPAERFSILFKERAKWEWKDLEPYIRDLNVPGLSSEALLLKYTRRSQPSIDAEPVFSAR >KJB73489 pep chromosome:Graimondii2_0_v6:11:55574977:55575336:1 gene:B456_011G235400 transcript:KJB73489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKTIDQWLNILGVDHKCSLGAIERLEKAQACLRKVKMEADQFRVNGYSEIEREKLNLINLTYKILEKL >KJB74491 pep chromosome:Graimondii2_0_v6:11:16681086:16688561:-1 gene:B456_011G120800 transcript:KJB74491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMNNAFEKMKMLVGMEVEDEHQQPAIEEDGNSFSFMDDFNRQCTLTTKQRFYGFAICLVSGLTCTLLSILVFFHPIKFGITFTFGNLLALGSTAFLIGPKRQVSMMLDPVRIYATAIYLASMIIALFCAFYVRNKLLTLLAIMLEFGALIWYSLSYIPFARSMVSKIMVSCFDTEF >KJB73030 pep chromosome:Graimondii2_0_v6:11:50651628:50653550:-1 gene:B456_011G210200 transcript:KJB73030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHSPPKNFLTRLNSTVANSRVGKRFKLAERNSTFTTELRAGTATFLTMAYILAVNASILSDSGGPCGVSDCLPLCSDPSVPLSNCTGSTLRIIQPDSSCKFDPVNPGYSSCLETVRKDLIVATVASSLIGCLIMGTFANLPLALAPGMGANAYFAYTVVGFHGSGNVPYESALAAVFLEGLIFLFISAIGFRAKLAKLVPKPVRISSSAGIGLFLAFIGLQNNQGIGLIGYNPSTLVTLGGCPSSSRVSVAPVLAAVNGTVTLIPGGTVSGDILCLRDRMENPTLWLGIVGFVIIAYCLVKNIKGAMIYGIVFVTAVSWFRNTRVAAFPNTDAGNSAYEYFKKVVDVHLIETTAGALSFKNLGKGYFWEALVTFLYVDILDTTGTLYSMARFAGFTDQNGDFEGQYFAFMSDATSIVVGSLLGTSPVTAFIESSTGIREGGRTGLTALTVAGYFFLAFFFTPLLASIPAWAVGPPLILVGVLMMRAVVEIEWEDMRQAIPAFVTLIMMPLTYSIAYGLIGGIGTYIVLHAGDWAAELMVRKGVVKRRGNAVNGAHEAATGGGSVKAVEVDHV >KJB73845 pep chromosome:Graimondii2_0_v6:11:58532048:58537127:1 gene:B456_011G256000 transcript:KJB73845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G55400) UniProtKB/Swiss-Prot;Acc:Q9M2T9] MAVARMNMNCSIQSSLSCILKPSHFFNFKTTQFKNRLYFPQNHLISSKRSLLCTCSGNFQAGEKAEPFVITTPLYYVNAPPHMGSAYSTIAADAIARFQRLLGKRVILITGTDEHGEKIATAASACGSSPREHCDVISQAYKMLWKDLDIAYDKFIRTTDPKHEAIVEEFYFRVLAKDDIYRADYEGLYCVNCEEYKDEKELLKNNCCPTHLKPCVPRKEDNYFFALSKYQKPLEEILEQNPDFVQPSYRLNEVQGWIKNGLRDFSISRAAVEWGIPVPNDNKQTIYVWFDALLGYISALLEDKEQISLQSAVSSGWPASLHLIGKDILRFHAVYWPAMLMSAGLSLPKMVFGHGFLTKDGMKMGKSLGNTIEPNELVHKFGADAVRYFFLREVEFGSDGDYSEERFVNIVNAHLANTIGNLLNRTLGLLKKNCQSTLVVDSTIAAEGKEFKDTVEELVEKARINYENLSLSSACEAVLEIGNAGNLYMDKHQPWSLFKQGGAASEAAAKDLVVILEAMRIIAIALSPVAPSLCRQIYAQLGYSKDQFDNINWSETKWGGLKGGQVMAQPKPVFARIEQIKENENGAEAAPQKVVKKKEKKQKVQGVIEA >KJB73129 pep chromosome:Graimondii2_0_v6:11:52163651:52164260:-1 gene:B456_011G216700 transcript:KJB73129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVLSTVTRSDQKQQGSNCTMAFSGFGIGGLLLMVALAASMVFLPLMLPPLPPPPLVLLFFPVGIMAALMFLAFSPAEVVGNAVASTL >KJB74235 pep chromosome:Graimondii2_0_v6:11:61369375:61370909:1 gene:B456_011G281800 transcript:KJB74235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSGSSFIQDWLTLFGAITAWIKIQGANSALIRASLKTENRTYNCIGTVLAKNGCWSFLKGGFVLDSPSNLALLLFQNSDDKDIDITIDSSSLQPFTDQEWRFNQQFMINTQRKRAVTIHVSDQQGNRLQGAVITINQVSKDFPFGSAIAHTILGNLPYQNWFVE >KJB74236 pep chromosome:Graimondii2_0_v6:11:61369375:61370909:1 gene:B456_011G281800 transcript:KJB74236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSGSSFIQDWLTLFGAITAWIKIQGANSALIRASLKTENRTYNCIGTVLAKNGCWSFLKGGFVLDSPSNLALLLFQNSDDKDIDITIDSSSLQPFTDQEWRFNQQFMINTQRKRAVTIHVSDQQGNRLQGAVITINQVSKDFPFGSAIAHTILGNLPYQVCVHIHFLILVEQC >KJB73982 pep chromosome:Graimondii2_0_v6:11:59657462:59667818:1 gene:B456_011G265100 transcript:KJB73982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVTTLVGSIVTKAVEYTISPIKNHVKYLSNHQQYVETLKDRAERLKDARDRVQHSVDAAKRNGEETEADAGKWLSAADKKILEQVEKVMQDEEKAKKKCFIGLCPNFRTRYKLSLKAEEEAKAVAELLEHGKFERVSYRAVPQGIVVAPVKGYEEFESRTSILNGIMEALKDDSVSVVGVHGMGGIGKTTLVKEIARKVKGRLFDSVVIATVTQAIDIEKIQNQIADFLGLKFEEQSMVGKAFRLRERLKKEERILVVLDDIWGKVDIEEVGIPLGDEHKGCKLLLTSRELNVLSNGMDAQKNFPIGFLNEKEAWELFKKKAGDCDESCDLKPIATEVAKKCAGLPIAIETVAGALRNKRLFEWKNALRELERPSSSNFTGIAAAYSAIEWSFNYLESEEIKLTFLLCSVIGHNGLVEDLVRYTLGLGLFDGVHTMEEARNKVLTVVANLKASALLLDSYNDKLFDIHDVVWDAAIAIALKDYRMLVLRDHAPKEWSDKEKMKTWSVISLRCPQIIANLPKEMECSGLSFCHMAYDGAVKIPLNFFKQTEGLKALDLVGMQFPSLPESIIHLADLRMLCLKRCAVDNITILGELKNLEILDLAASGIKELPKEMAQLTQLRLLDLRGCRELKIIPPNVLSGLSKLEELYMSRSFVEWEKGGVVENERKNASLDELNNLPCLTTLYVHILDVQMIPKHRFVETLDRFRIFVGNYGMYDCCNNYESPKALKLMLYTNIDLDNGMKKLLIKTEDLCLEGLEGVKNVLVELNNGKDLPNLKRLHVKNGRHVQYIKTNKIGFSELCFITLENLPQLVSFCSPDERCSTKPLLLLNKQTCHWITNLRSLIIKGCGKLEHLLSPSLARSLVQLQCFEIEDCNCLRDIILTEEIEEERKDVICFPRLNSLRIDRLPNLIFFSSGNHNIEFPLLKKLEIKRCPKLIDSSVAVPSLEDMTIWDLSNVKMIFYNDLPPGSFKNLRKINVWGCGSLKNLFPASIAKDLPQLEHLSITDCGVEEIVSKGDGVEEQPVIPKLEELELRIFGDMDQFPPDLFQHVKVFAVRGASRFFSFPPLRRFYNLERLEVSHFDFKHVVPCKGGAGTLPPIRNLKLDSARNLKHIWRKGSELDHILSNLQTLTVHNCDNWINIRVFSSSLQNLRILNVSLCKMMTNLVTPSVLKNLVQLTTMIVRGCTKMTEIVGNEGDCHQTIVVSELKCLQLCNMKSLTSFCPRNYNFEFPCLEELVVERCPRLKIFSEGVLSTPQLQRIKQSRFSNKWSWRSDLNTTIQQLYTEKVQNILDFKILSSLQFYKCSSLKYIFTPSMLLSLNQLHWLEVKECSSMEQVVREEEEAMTHKFTFLSLLSVTIESCSNLTNFHLGSQALEFPMLTKDLVQLRRLRILDCNMMEQVIFTDGLVENYFEFHCLAYLTLRNCPLLKTFISKCVPGDEPQIGQHEQASNLEVQNSSLLNEKDTFPVLEELTLKTNDLVKGICDEQLSLQCFQNLKLLNLHCFRKRSTTLPYWFIRSLPKLQKLVIKNASISEIVRSEGLSDEERHTSAFYQLKELSLTQLPGLTLKTFEPSLLSFKNLTTLEVSRCHGFINLIACSTAKCLTLLERLSIDDCEMIEEIIACEAEEIQGGIVFPKLKYLQLSCLPCLASFSLAHHSLEFPVLLMVMVTKCPQMRNFCQGDLSTPRLEQMHLTRDEEGELQNHLKDLNSCVFTYIHS >KJB68854 pep chromosome:Graimondii2_0_v6:11:3633383:3638400:-1 gene:B456_011G047000 transcript:KJB68854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRKLVIERYLLVGCIRTVSYWCPTRTRRRHGRIRTRHTLKRVKKGHSRTLLWPESETEEKKPIYKNRTLVNR >KJB69110 pep chromosome:Graimondii2_0_v6:11:470658:473493:-1 gene:B456_011G005700 transcript:KJB69110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQVQGSVSSPMDRPLANYPPDVWGDRFLTLSFDISELESCSRQVEVLKETVKDMLMASTTDPIRNIFLIDSLCRLGVSYHFETEVEQQLAHRFDTLSQLIHNNNYDLHTIAVMFQVFRFHGYNMSSHAFNKFKYENGKFNVSDTKGMISLYEATQFRINGENILDEAFTFTTSHLKSMASQSNPHYAQYIENALYRPYHRGVPRLEARQYICFYEKNEGSNDTLLKFAKYDFNRIQMILQQELSNLRSWWKEGNLESKLPYVRHRIVEFFFYAAGFNFEPRYACARNIQTKLTFIIGIIDDTYDAYGSYEELQHFTDAMRRFDIGVMDKLPTDNFKFVYETILKFHDEAEEKVRKEGRSYGVFYTKNEFKKLAEAYFVEASWAHRSYVPTFDEYMDTALKSSAAIVAVCQAFIGMEEADETAYQWLINTDNKVHKALNIISRLYDDIATNEVYIYILFDT >KJB70984 pep chromosome:Graimondii2_0_v6:11:10914490:10918887:-1 gene:B456_011G098300 transcript:KJB70984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICLSTTKVFGTSSNPSPDHHEEKQPVSPTTTTNAKKESHKPTVKHQQQQQFKAKPSSRKQGGNVPCGKRTDFGYRKDFEKRYTTGKLLGHGQFGYTYVAIGNENGNRVAVKKIEKMKMVLPIAVEDVKREVKILEALKGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIRPGKRFQDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGKRPFWDKTEDGIFREVLKNKPDFRRKPWPTISDSAKDFVKKLLVKDPRARLTAAQALSHPWVREGGDASEIPIDISVLSNMRQFVKYSRLKQFALRALASTLNEEEIADLRDQFHAIDVDKNGVISLEEMRQALAKDLPWKLKESRVLEILQAIDINTDGLVDFTEFIAAALHVNQMEEHDSEKWQMRSEAAFQKFDVDRDGFITPDELRMHTGLKGSIDPLLEEADIDRDGKISLEEFRRLLRTASISVRPNPSHRTTWKL >KJB74318 pep chromosome:Graimondii2_0_v6:11:61935934:61936926:-1 gene:B456_011G287400 transcript:KJB74318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INSNSRITGFSFLVVVFLALMNLKFQPQRNIESPFETQGVVMAMFVICMLVYSITFCTPYFLEVIDRINLLAGSWLILFIWTIHFVKLIYGAIPKLCQLCQALPSLFNLRVLLGRHAHHNEERCCNNHIHASLGFLISVLLALIQVKYQSTNMAVPFETHPAIMFIFITAILVYAATAAIKTSKANSSIHRIIVTKISLLTGSLATVVLLLVIVPPIGWFILLIWTFFLVKQIYDGMLHLPCLIISALNYVIYQIWGRRRDLNQGRNRLPI >KJB71583 pep chromosome:Graimondii2_0_v6:11:19722480:19723932:1 gene:B456_011G130900 transcript:KJB71583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITIKESTIVRPADGTPKRNIVMAKYHLPTIYYYKPNGSSDFFDTGRLKEALSKILVPFYPIAGRLGYDENGRLEIICNAEGVLFVEAETTSVMEHLIGDFTDYSQVFRLVPKVDYSGGISSYPLLVLQVTKFKCGGVCLGVGWADTSRGLSPAIAPFIDRTLFRARDPPTPKFHRPSIVSTFKLTADQLNTLKAKANVANANGGIKYSSFNILAAHIWRYTKLRIPVDGRYKLDPPLPPGYFGNVIFSSALIAQAGDLETESFTDTIKRIHELLNQINDEYLRSAIDYIEKMSDSNTLVTGPHTNLAVVPWIWLPIYEADFGWGRTIYMGPGNDVHEGKIYILPSPVNDGSLSLVTRLEIPQMKAFEKLLYEF >KJB73694 pep chromosome:Graimondii2_0_v6:11:57068251:57070414:-1 gene:B456_011G244100 transcript:KJB73694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPFYDSIESLGDTCSQQQTKPPLKSLWFLTRLLIMYHSCFQSSVSTTILFVCPWSCYNYMVMDDLMARQTYVHNFWYHFPQLVQNPECHWYGSG >KJB73695 pep chromosome:Graimondii2_0_v6:11:57068258:57070414:-1 gene:B456_011G244100 transcript:KJB73695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPFYDSIESLGDTCSQQQTKPPLKSLWFLTRLLIMYHSCFQSSVSTTILFVCPWSCYNYMVMDDLMARQTYVHNFWYHFPQLVQNPECHWYGSG >KJB69116 pep chromosome:Graimondii2_0_v6:11:497239:500430:1 gene:B456_011G006100 transcript:KJB69116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMELSPLVSLTAPTSLLTLAIIFVVIFALRPKPKSSSDKELPPGSLGWPIVGETLEFLFGNPENFVFNRMRKYSPRIFKTKILGEETVVICGPEGHKFLFSNEQKLFTAFRLHSTQKLFRSYQSSSAASVQSSCDADSKKILRSPGFLKPEALMRYLGKMDAITQQQMEKYWEKKDQVKAFPLAKTLTLTLACRFFLGIDEPERISRLVKSFDDITLGMHSIPLDFPGTAFYKANKAAAAIRRELKEVIKEKKAAMVTEVAMQDILCHMIVATDETGKYMAEAEIADKIMGLLVAGYSTVATAITFFMKYVGERPHIYAKILAEQLQVEGDKKAGEMLEWDDIQKMKYSWNVMYEVMRLTPPLQGTFREALTDFTYAGYTVPKGWKIYWTVSTTNKNPEYFPEPEKFDPSRYDEGNKLPPFTYVPFGGGPRMCPGKEYARLAILAFVHNVVKRFQWELVNPKEKIVGDMMPTPNNGLPIRLTPRH >KJB71296 pep chromosome:Graimondii2_0_v6:11:15058627:15059178:-1 gene:B456_011G115500 transcript:KJB71296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVQCGRQVVLLLWLFLILTFLVHYCHGSRTTKVFRFHPKSHYTGQFLGFLPRHFPIPASVPSRKHNDLGLQTWRSP >KJB71140 pep chromosome:Graimondii2_0_v6:11:12609847:12612218:1 gene:B456_011G107700 transcript:KJB71140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVFLEQKLVGQKVDSGGLYRLLQCASKGDKAGVIQELDKGVEPNGADYDRRTAFHLAACEGWIEVVDLLLEKDLGSSFWGMALILASTNLLTARIAAGCFLLALVVVLFYAKNWTLRGLSIGFIIFLALIWFLTISSYHLFREKKCCLFLILEIAGVMNSLFSVYDIYDDLISRGVNSSDAEKFAEICPCPCNGVGWGFIWGMISFIFLGASVYLGLLILA >KJB68877 pep chromosome:Graimondii2_0_v6:11:20173340:20174712:-1 gene:B456_011G1329002 transcript:KJB68877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IIYESAMAMVGVEASDSIAVGDSLHHDIKGANAAGIQSAFTTGGIHATELGLDSFGQVADISSVKALAAKYDAYPTYVLPAFAW >KJB68879 pep chromosome:Graimondii2_0_v6:11:20174458:20174712:-1 gene:B456_011G1329002 transcript:KJB68879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IIYESAMAMVGVEASDSIAVGDSLHHDIKGANAAGIQSAFTTGGIHATELGLDSFGQVADISSVKALAAKYDAYPTYVLPAFAW >KJB68878 pep chromosome:Graimondii2_0_v6:11:20173326:20174712:-1 gene:B456_011G1329002 transcript:KJB68878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IIYESAMAMVGVEASDSIAVGDSLHHDIKGANAAGIQSAFTTGGIHATELGLDSFGQVADISSVKALAAKYDAYPTYVLPAFAW >KJB69230 pep chromosome:Graimondii2_0_v6:11:833770:838007:-1 gene:B456_011G011800 transcript:KJB69230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPIMGFINKAFSLSMTHLFLTELILLGLMISVFIPAQCFTDATDVQALQVLYTSLNSPTELTNWNSDGNDPCEESWKGVACEGSAVVSIDVSGLGLSGTMGYLLNDLMSLKTLDLSNNNIHDTIPYQLPPNLTSLNVAGNNLSGSLPYSISTMVTLTYLNVSFNSLSPSVGDVFANLTDLGTLDLSFNNFSGDLPVSFSSLTNLSALYMQNNQFSGSLNVLSGLPLNTLNVANNNLSGWIPQELFSLPTFICDGNSFANGPAPLPLPYSPPPPGRSRHKHKTRPGTRKSPDSDAQSSDSDKGPSAGQIVGIVLGSLLLLVLVLLAAVFCIHKNKQKVSGARASKGSFSAGSNDGHTEMQEQRVKSVAAVIDLKPPPAEKVTTVDRISKNGSLNRMKSPITATSYTVAALQTATNSFSQEYLIGEGSLGRVYKAEFPNGKAMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNIVTLAGYCAEHGQRLLVYEYVGNGSLHDMLHFSDDGGKTLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDDELNPHLSDCGLAALNPNTERQVSTQVVGSFGYSAPEFALSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALAKMVDPTLNGMYPAKSLSRFADIIALCVQVKELTSAH >KJB69228 pep chromosome:Graimondii2_0_v6:11:833748:838234:-1 gene:B456_011G011800 transcript:KJB69228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPIMGFINKAFSLSMTHLFLTELILLGLMISVFIPAQCFTDATDVQALQVLYTSLNSPTELTNWNSDGNDPCEESWKGVACEGSAVVSIDVSGLGLSGTMGYLLNDLMSLKTLDLSNNNIHDTIPYQLPPNLTSLNVAGNNLSGSLPYSISTMVTLTYLNVSFNSLSPSVGDVFANLTDLGTLDLSFNNFSGDLPVSFSSLTNLSALYMQNNQFSGSLNVLSGLPLNTLNVANNNLSGWIPQELFSLPTFICDGNSFANGPAPLPLPYSPPPPGRSRHKHKTRPGTRKSPDSDAQSSDSDKGPSAGQIVGIVLGSLLLLVLVLLAAVFCIHKNKQKVSGARASKGSFSAGSNDGHTEMQEQRVKSVAAVIDLKPPPAEKVTTVDRISKNGSLNRMKSPITATSYTVAALQTATNSFSQEYLIGEGSLGRVYKAEFPNGKAMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNIVTLAGYCAEHGQRLLVYEYVGNGSLHDMLHFSDDGGKTLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDDELNPHLSDCGLAALNPNTERQVSTQVVGSFGYSAPEFALSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALAKMVDPTLNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRRPSDELGFGYKTPDHEAGDFSF >KJB69229 pep chromosome:Graimondii2_0_v6:11:833770:838007:-1 gene:B456_011G011800 transcript:KJB69229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPIMGFINKAFSLSMTHLFLTELILLGLMISVFIPAQCFTDATDVQALQVLYTSLNSPTELTNWNSDGNDPCEESWKGVACEGSAVVSIDVSGLGLSGTMGYLLNDLMSLKTLDLSNNNIHDTIPYQLPPNLTSLNVAGNNLSGSLPYSISTMVTLTYLNVSFNSLSPSVGDVFANLTDLGTLDLSFNNFSGDLPVSFSSLTNLSALYMQNNQFSGSLNVLSGLPLNTLNVANNNLSGWIPQELFSLPTFICDGNSFANGPAPLPLPYSPPPPGRSRHKHKTRPGTRKSPDSDAQSSDSDKGPSAGQIVGIVLGSLLLLVLVLLAAVFCIHKNKQKVSGARASKGSFSAGSNDGHTEMQEQRVKSVAAVIDLKPPPAEKVTTVDRISKNGSLNRMKSPITATSYTVAALQTATNSFSQEYLIGEGSLGRVYKAEFPNGKAMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNIVTLAGYCAEHGQRLLVYEYVGNGSLHDMLHFSDDGGKTLSWNARVRVALGTARALEYVICV >KJB69426 pep chromosome:Graimondii2_0_v6:11:1666946:1669279:1 gene:B456_011G023300 transcript:KJB69426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEKPKQQQQQQSSAESFEAMKSSLSQVIEGISSLISLSHCIRVFTVKWQLIRKKLEELSSGLMAIENCGSSSAQNIEVFSGLVPSILVTVNECHRLARACVDLSYSGKLLMQSYLDVILAKFDNHVKDLSGFYTTGILSHGFAIVVSRPGVTASKDDMRFYIRDLLTRMKIGDTEMKKQALGNLYQVLAEDERYVKLIIETGDIVNVLVQFLDSPEVEIQREASKIVNLISGFDLYKGFLVKAGIIGPLVRILETGNDLCKEGAVKCVQKLTVNADNAWSVSAHGGVTALLKICSNGDFGGELIGPACGVLRNLVGVEEIKRFMVEEGAISTFIKLVTSREDLVQINSMEFLQNIASGDESLTRIVVKEGGIRALIHVLDPKSTVSSKTRETALRTIEDLCFSSQNCINMLMKDGFIDRLLFFLRNGEVSVQESTLKVTSRLCCASEEAKKAMGDAGFIPELVKLLDAKSYEVRSIATTTLHSLVSIPKNRKQFIQDDRNIGCLLQSLDRDEAISGNNKKLLLSILISLTSCNNGRRKIASSGYLKNIEKLAEAEVYDAKRLVRKLSSNRFRSMLSGLWHY >KJB74167 pep chromosome:Graimondii2_0_v6:11:60974622:60976901:-1 gene:B456_011G277200 transcript:KJB74167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLQPLIFYILSALAIFWLISPSHSSLDNVNDRVTVSLYYETSLCPRCASFISNDLVKVFHTDLHTIINLRLVPWSNAEIHCQHGEEECHLNTIHSCVIHFWPDVKEHLEFIGCTEQQSLKGWPVEALWKNCSEKLRLNEVIINQCYTTGFGYKLLLQYANETAHLKPPQEYVPWVVVNNQPLRQDFENFVKYVCQAYKGDHKPAACKAQSSNLSPTIYALPQPPVIPVVDFYKLALQWPPLFAALLSTVNSQSQLNSKYMEFGRKTLMTCRCPHTMQGSLAPIHNLF >KJB74168 pep chromosome:Graimondii2_0_v6:11:60975564:60976881:-1 gene:B456_011G277200 transcript:KJB74168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLQPLIFYILSALAIFWLISPSHSSLDNVNDRVTVSLYYETSLCPRCASFISNDLVKVFHTDLHTIINLRLVPWSNAEIHCQHGEEECHLNTIHSCVIHFWPDVQKEHLEFIGCTEQQSLKGWPVEALWKNCSEKLRLNEVIINQCYTTGFGYKLLLQYANETAHLKPPQEYVPWVVVNNQPLRQDFENFVKYVCQAYKGDHKPAACKAQSSNLSPTIYALPQPPVIPVVDFYKLALQWPPLFAALLSTVNSQSQLNSKYMEFGRKTLMTCRCPHTMQGSLAPIHNLF >KJB74166 pep chromosome:Graimondii2_0_v6:11:60975573:60976873:-1 gene:B456_011G277200 transcript:KJB74166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLQPLIFYILSALAIFWLISPSHSSLDNVNDRVTVSLYYETSLCPRCASFISNDLVKVFHTDLHTIINLRLVPWSNAEIHCQHGEEECHLNTIHSCVIHFWPDVKEHLEFIGCTEQQSLKGWPVEALWKNCSEKLRLNEVIINQCYTTGFGYKLLLQYANETAHLKPPQEYVPWVVVNNQPLRQDFENFVKYVCQAYKGDHKPAACKAQSSNLSPTIYASFAVPQPPVIPVVDFYKLALQWPPLFAALLSTVNSQSQLNSKYMEFGRKTLMTCRCPHTMQGSLAPIHNLF >KJB72719 pep chromosome:Graimondii2_0_v6:11:46428897:46429399:1 gene:B456_011G192600 transcript:KJB72719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHRVLNTKDRLPKDFTEDFRMLDELYYRPASGRAAGNRRAPPPSSPSQYPHELPYQQPWMGFPNQVLYYPRERYVITSTEAAQKYGGALFNDLPKQKN >KJB73672 pep chromosome:Graimondii2_0_v6:11:57131822:57133171:-1 gene:B456_011G245100 transcript:KJB73672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS MELFITIFLVFLYFMFVFFIYKLLWRTQSCYIVAYECYKAPDDMKLDTETCGNLVLRNKNLGVGEYKFLLQAMVNAGIGEETYGPRNVIAGREESPNLSNALSEIDDIVFGTLDKLFAKTGVLPSEIDVLVVTISMISSVPSIPARVINRYKMREDVKVFNLSGMGCSASLIAVDLVNHLFQTYKNQFAIVVSSESLSPNWYVGKERSMMLPNILFRIGGCSLLLTNKRGLKHRAILKLNHLVRTHVGSIDEAYGSCTRIEDDQGNCGFFLTKNLPKAAAKAVSMNLRVLVPKMLPLRELIRYSVVTYWRNKSKTSSPESGLNLKSGIDYFCIHPGGRAVIDAMGRSLGLNEYDLEPTRMALHRFGNTSAAGLWYVLSYMEAKKRLKKGDRILMISLGAGFKCNNCVWEVMKNLDDVNVWEDCIGRYPLKCTANTSFLEKYSWVNESSH >KJB73446 pep chromosome:Graimondii2_0_v6:11:59394867:59395127:1 gene:B456_011G2631001 transcript:KJB73446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPSLQRLDLSSINIHKIWHHSSSPSIGYLNFLQVKRCHNLKYLFPSFLAKDLVQLRRLQISDCNMMEQVIFTDGLVEEHQGRNQI >KJB74231 pep chromosome:Graimondii2_0_v6:11:61293378:61294590:1 gene:B456_011G281000 transcript:KJB74231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLLQYANETAHLKPPQEYVPWVVVNNQPLRQVITSIFISWLLDFENFVKYVCQAYKGDHKPAACKAQSSNLSPTIYALPQPPVIPVVDFFKLALQWPPSVCRSTLNCKLPIPTEFKIHGIWAQDAYDIPVPPYDTHHPCTNPQPTILQSKLRKLLKSDVALWNQLPTLWPNLASTGSDIGFWFKEWKKHGTCSDFAQHPLSYFQSAIQLRTNLNPAMGLTRGSTYTVRQVADIVFRLIGASPQISCNRGHPIPLELAKIVPTYFTACAVVNPIP >KJB69689 pep chromosome:Graimondii2_0_v6:11:2798903:2799534:1 gene:B456_011G037300 transcript:KJB69689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFNYILFFTSLIILFPIFMPRTVSAIRIHHELTPSKHSGDAAAVNRNMLAADHHNVAVPPHGSHGIVIGVLKKGRITPSGPSHRGNTIPIYTTRHDGVGHR >KJB71254 pep chromosome:Graimondii2_0_v6:11:14227178:14229131:1 gene:B456_011G113000 transcript:KJB71254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNASLQSSSGGSEEYDSRVESISAFLNHNPFSNIGHGALGNQPQPPSPPQLLQQHQNHSSSPMFDPLSFLDHPLSRSLQLTTTTNPGSVLNLDVIWSKNQRSETNCTGLSGLMASSPAPTTQQLFTNQQTQSRATFPLLQVPQGPESSKQSSVSATNGQPNNKAMVRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSPFPRTRLDLFGPPSTLRSTHLDPSPPHYLLRPFAQKLNPPSFSSSSMADALVSSPIPSTNNNSNNTSCSSTSINYQLPSELSHLKQPQNLLNINMQNPILNFQSLLETPPKYPLSNSNLLGTNPQDIPPNETCLKMGALDEFGLNQGHVNANANLTGLQNMVSQQQHDQSLLRSINGSYNNNNNQRVSKGKVSNLSSSLSEFHADKGPANAASRSEGMVESWICSSD >KJB72172 pep chromosome:Graimondii2_0_v6:11:30923481:30929168:-1 gene:B456_011G163400 transcript:KJB72172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAVIRKKPGMASVKDMPLLQDGPPPGGFAPVRYARRIPNKGPSAMAIFLAAFGAFSYGMYQVGQGNKIRRALKEEKFAARRAILPVLQAEEDERFVKEWKKYLEYEAEVMKDVPGWKVGENVYNSGRWMPPATGELRPEVW >KJB68953 pep chromosome:Graimondii2_0_v6:11:40140:43081:1 gene:B456_011G000500 transcript:KJB68953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHTFVVGQEFPDVKAFRNAIKEAAIAQHFELRIVKSDLIRYFAKCATEGCPWRIRAVKLPNAPTFTIRSLEGTHTCGKNAQNGHHQASMDWIVSFIEERLRDNINYKPKDILHDIHKQYGIIIPYKQAWCAKERGLAAIYGSSEEGYCMLPTFCEQIKKTNPGSIAEVFTTGADNRFQRLFISFHASICGFLSGCLPIVGLGGIQLKSKYLGTLLSATAFDADGGLFPLAFGIVDTENDDSWIWFLSELHKALEMNSEKMPQLTFLSDGQKGTLDAVRRKFPNSCHAFCMRYLSESISKEFKNSRLVHLLWKAAYATTTTAFKEKMAEIEEASPEAAKWIQQFPPSRWALLYFEGTRYGHLSSNIEDRWILDARELPIIQVVEQIHNKLTSEFEDRRTRSHSWFSVLAPSAETRMREVISRASTYQVLRSDEVEFEVISAERSDIVNIGKHSCSCRDWQLYGIPCAHAAAAIMSCRKDVYAFAEKCFTVASYCEAYSEEIYPIPQKIDWNKFGEAPSTLNEDAQVVRPPKFRRPPGRPEKKRICVEELNREKHTVHCSRCNQTGHYKTTCKADIMKGIEQFEPCRI >KJB72278 pep chromosome:Graimondii2_0_v6:11:34273379:34275129:-1 gene:B456_011G168300 transcript:KJB72278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRWGKKWALICLFLFNWARNVSSLSVTVSDMECVYEYVLYEGDTISGNFVVVDHDIFWSSDHPGIDFTVTSPGGNTVHSLKGTSGDKFEFKAPRSGMYKFCFHNPYSTPETIAFYIHVGHIPTDHDLAKDEHLNPINVKIAELREALESVTAEQKYLKARDTRHRHSKLLPFSAFALS >KJB72003 pep chromosome:Graimondii2_0_v6:11:26211303:26220303:-1 gene:B456_011G153200 transcript:KJB72003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKARTDDADFLKKVNTQKEKKHKKEKKEKERRENKEKKEKDKSDGKHKDKKDKKEKHKDRDKKKEKDRDKEKDRSNNLEEKKFPGRPEGQNGEKTTVEKQLPGKSEGHSGEKFIPKEKGSEKDRNSFSGEKKFAGQFSGYNGEKLSQSHQAEDFRDSKFVHELGRRVRGEGAGAGNQLEEKHMGTVPKRDEGMVRLVAKTANALVEEKEKNKRSDDSKSNMQGIRDETRSGGNAMVQNIVGAVNARVEGIPIQVGSSNERRDEGKEKTKEKKSDDKIRDKHKTKDGEKKSHGKDKDRDKEKKKKKKEKKKEEKAKAKGEHRNLELDNLKRNNKDDPVGTINPKASDSSKEGNKGAVVEENHRKRKDWENNGFLHVNDLKPNKLPRTSSSVLMDNGKTLESCQAPIPLASNSHGAGTGLKVNAKEHKLNGTSEAQVLSVSPATHLSASAQASQMDEVCKKPPHPDSKYLSQVLSVPEMEEWSDFDDQSWLFHSNGSQSKKPKVGFSKIDEAPQVWAEALQIESTDVCALPYVIPY >KJB72005 pep chromosome:Graimondii2_0_v6:11:26211303:26220428:-1 gene:B456_011G153200 transcript:KJB72005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKARTDDADFLKKEKQKEKKHKKEKKEKERRENKEKKEKDKSDGKHKDKKDKKEKHKDRDKKKEKDRDKEKDRSNNLEEKKFPGRPEGQNGEKTTVEKQLPGKSEGHSGEKFIPKEKGSEKDRNSFSGEKKFAGQFSGYNGEKLSQSHQAEDFRDSKFVHELGRRVRGEGAGAGNQLEEKHMGTVPKRDEGMVRLVAKTANALVEEKEKNKRSDDSKSNMQGIRDETRSGGNAMVQNIVGAVNARVEGIPIQVGSSNERRDEGKEKTKEKKSDDKIRDKHKTKDGEKKSHGKDKDRDKEKKKKKKEKKKEEKAKAKGEHRNLELDNLKRNNKDDPVGTINPKASDSSKEGNKGAVVEENHRKRKDWENNGFLHVNDLKPNKLPRTSSSVLMDNGKTLESCQAPIPLASNSHGAGTGLKVNAKEHKLNGTSEAQVLSVSPATHLSASAQASQMDEVCKKPPHPDSKYLSQVLSVPEMEEWSDFDDQSWLFHSNGSQSKKPKVGFSKIDEAPQVWAEALQIESTDVCALPYVIPY >KJB72004 pep chromosome:Graimondii2_0_v6:11:26211991:26213808:-1 gene:B456_011G153200 transcript:KJB72004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLCFSNMILDFQEKQKEKKHKKEKKEKERRENKEKKEKDKSDGKHKDKKDKKEKHKDRDKKKEKDRDKEKDRSNNLEEKKFPGRPEGQNGEKTTVEKQLPGKSEGHSGEKFIPKEKGSEKDRNSFSGEKKFAGQFSGYNGEKLSQSHQAEDFRDSKFVHELGRRVRGEGAGAGNQLEEKHMGTVPKRDEGMVRLVAKTANALVEEKEKNKRSDDSKSNMQGIRDETRSGGNAMVQNIVGAVNARVEGIPIQVGSSNERRDEGKEKTKEKKSDDKIRDKHKTKDGEKKSHGKDKDRDKEKKKKKKEKKKEEKAKAKGEHRNLELDNLKRNNKDDPVGTINPKASDSSKEGNKGAVVEENHRKRKDWENNGFLHVNDLKPNKLPRTSSSVLMDNGKTLESCQAPIPLASNSHGAGTGLKVNAKEHKLNGTSEAQVLSVSPATHLSASAQASQMDEVCKKPPHPDSKYLSQVLSVPEMEEWSDFDDQSWLFHSNGSQSKKPKVGFSKIDEAPQVWAEALQIESTDVCALPYVIPY >KJB72002 pep chromosome:Graimondii2_0_v6:11:26211303:26220422:-1 gene:B456_011G153200 transcript:KJB72002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKARTDDADFLKKEKQKEKKHKKEKKEKERRENKEKKEKDKSDGKHKDKKDKKEKHKDRDKKKEKDRDKEKDRSNNLEEKKFPGRPEGQNGEKTTVEKQLPGKSEGHSGEKFIPKEKGSEKDRNSFSGEKKFAGQFSGYNGEKLSQSHQAEDFRDSKFVHELGRRVRGEGAGAGNQLEEKHMGTVPKRDEGMVRLVAKTANALVEEKEKNKRSDDSKSNMQGIRDETRSGGNAMVQNIVGAVNARVEGIPIQVGSSNERRDEGKEKTKEKKSDDKIRDKHKTKDGEKKSHGKDKDRDKEKKKKKKEKKKEEKAKAKGEHRNLELDNLKRNNKDDPVGTINPKASDSSKEGNKGAVVEENHRKRKDWENNGFLHVNDLKPNKLPRTSSSVLMDNGKTLESCQAPIPLASNSHGAGTGLKVNAKEHKLNGTSEAQVLSVSPATHLSASAQASQMDEVCKKPPHPDSKYLSQVLSVPEMEEWSDFDDQSWLFHSNGSQSKKPKVGFSKIDEAPQVWAEALQIESTDVCALPYVIPY >KJB71898 pep chromosome:Graimondii2_0_v6:11:23689741:23690022:-1 gene:B456_011G146500 transcript:KJB71898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASRKFPNLVTYLILLDGLCKSGKLEDALKIFRAMQNSGLELNITSYTIVIDGLCKVEHIEDAKELFHELSVNVLKPNVYTYAVVFNGFCKE >KJB73871 pep chromosome:Graimondii2_0_v6:11:58677720:58680614:1 gene:B456_011G257400 transcript:KJB73871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPTSTISPIIITLVLKILTLLLLLASLVVLATDTATLSLSFYTTFVESKIHFDDVYTYRYVLASIIMGLVYSVLQTALSLYHIATGKRLIIGDGAFLVDFYGDKVISYVLATGSAAGFGATKDLKALADVTNVDDLDDYFDKAYASASLLLFAFICAAILSVLSSYALPKMVNSSNTQNPV >KJB68770 pep chromosome:Graimondii2_0_v6:11:4703556:4705715:1 gene:B456_011G058400 transcript:KJB68770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKLVEESEVASKKLKKSEGSTPLTKLFCSINWPVFVGKRGEKCNCNDRKSKCKAKTIHNLESLDPAFLLNYLG >KJB73008 pep chromosome:Graimondii2_0_v6:11:50240784:50243460:1 gene:B456_011G209000 transcript:KJB73008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHNKLKPCYYCFPLLFILACFVAPSWSETEAEILLRFKDSLANYGSQLDDWNGSGPGPCDNPNWTGVRCFKGSVFGLKLENMGLTGVIDVNTLTGLQLLRTVSFMNNSFGGPFPEVKKLTSLRALYLAYNHYSGEIRNDGFTGMPALQRVFLAWNNFSGSIPKSLATLPSLFQLSLEQNHFEGRIPDFQQDFKQDGSFVNLAYNKLEGPIPYSLRKMNASFFEGNNLCGEPLPKCSSSRKKRTIIIIIVVAGSLVVLALIAAVSYILKDPAMASRFKKAEKKKPAGNVIMGKKEDQSSQHYRKLGEKAKLYFVRNDREKFQLQELLRASAEVLGSGSFGSSYKAVISSGEALVVKRFKQMNNVGKEEFREHMVRLGRLSHPNLLSLVAFYYRKEEKLLVSDFAPNGSLASHLHARRAPGEPGLDWPTRLKIIKGVAKGLAYLYNELSNLTLPHGHLKSSNVLLNHTFDPLLSDYALLPVMNKEHAQQFMVAYKSPEFSQYDRTTRKTDVWSLGILILEMLTGKFPANYLRQGKGGNADLATWVNSVVREEWTGEVFDKDMKGTKNGEGEMLKLLKIGMGCCEWDIEKRWELREALERIEELKERNSDNVEDYSSYGSEGDVYSSRAVTDDEFSFSVQA >KJB71226 pep chromosome:Graimondii2_0_v6:11:13655624:13659313:1 gene:B456_011G111700 transcript:KJB71226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPLPLPFPPNPTWIKCLLFIILQRLSPLVSRWWQVGEEEQSIKGEIGPERSKGIIRGAEDSVEMEECGTKETGHQNCYHPCHYLSLAKLAILKCLGLDSLSEPRSKSNEQTKPR >KJB71225 pep chromosome:Graimondii2_0_v6:11:13655348:13659345:1 gene:B456_011G111700 transcript:KJB71225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDFGDELTIEGYRIPWLIWIQILVLFLLILLLYCFSSPFALDLSDGSSSASASLSSQSHLDKMPALHHTSTLVSARFQVGEEEQSIKGEIGPERSKGIIRGAEDSVEMEECGTKETGHQNCYHPCHYLSLAKLAILKCLGLDSLSEPRSKSNEQTKPR >KJB73689 pep chromosome:Graimondii2_0_v6:11:57048481:57050196:-1 gene:B456_011G243800 transcript:KJB73689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTKAWSRAVSTERTILSPFTKEYLQSPECYWYMGVDEVKDPFLVYTENQLVKSRSRAVSTERTILSPFTKEYRVLAAYSGIRLFLEAYFLFRNGPSSSSSKYSFDGDPLLAANTASLLEISDNVIIC >KJB72439 pep chromosome:Graimondii2_0_v6:11:42029397:42033515:-1 gene:B456_011G178600 transcript:KJB72439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGECIATEAPKAPVTKERKIGTDLEKYIAKPYVARALQAPDVGNPDGTKGYPDNGMTVLQQHVAFFDQNNDGVVYPWETFKGIRDLGFDPFSSFVITFVINAAFSYRTLPGWVPNPLLPIYIERIHRDKHGSDSATYDTEGRFMPVNLENMFTKYALTKPDNLSLKELWQMTEGNRAAFDYLGWMASKLEWLLLYYVAKDKQGFLSKEAVRGCFDGSLFKNISKMYKDSDRKSK >KJB73763 pep chromosome:Graimondii2_0_v6:11:61317587:61318563:1 gene:B456_011G281400 transcript:KJB73763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGRIHGIWAQDAHDMPVPPYDTHHPCTNPQPTILQSKLRKLLKSDVALWNQLPTLWPNLASTESDIGFWFKEWKKHGTCSDFAQHPLSYFQSAIQLRTNLNPAMGLTRGSTYTVQQVVDIVFRLIGASPQISCSKHRRTRVLLLREMFICYGRPGPSHTFGTPQNCSNLFYGLCSSGSDTIEFP >KJB72768 pep chromosome:Graimondii2_0_v6:11:47284932:47286292:-1 gene:B456_011G196200 transcript:KJB72768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFQTITVVSTLLMMLLSSVLSEETSTDPIKCTPCQQQVPSPPPPSPPPPAASPPPPANNPNYCPPPPSPPTSSGGGSYYYSPPPPAQSGGNNNNYAPPPPGGVIGGMYYPPPTYKNYPTPPPPNPIVPYFPFYYHTPPPPSGSEKLLACWVVSLLGFFLYVF >KJB73928 pep chromosome:Graimondii2_0_v6:11:59312836:59316206:1 gene:B456_011G262000 transcript:KJB73928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DQKLRKAQSSFFCSVAVSDLSSKAFGMRRYSPQYHSPPRRGYGGRERDFPRRGYGGGGGGGSGYGRREQSHGSLLVRNIPLDCRPEELRIPFERFGLVRDVYIPKDYYTGQPRGFAFVQFVDSYDASEAQRRMNGKIFAGREISVVVAAETRKRPEEMRHKSRARGPSGYGGRSSYYGRSRSRSPSRMRSPHHPSSSRGRYRSRSYSPAPRRRGNYSVSPGRRHEEQPRSPVGPPQERDGDYSHRSYSPGYENADGNGYGE >KJB73926 pep chromosome:Graimondii2_0_v6:11:59313394:59315735:1 gene:B456_011G262000 transcript:KJB73926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYSPQYHSPPRRGYGGRERDFPRRGYGGGGGGGSGYGRREQSHGSLLVRNIPLDCRPEELRIPFERFGLVRDVYIPKDYYTGQPRGFAFVQFVDSYDASEAQRRMNGKIFAGREISVVVAAETRKRPEEMRHKSRARGPSGYGGRSSYYGRSRSRSPSRMRSPHHPSSSRGRYRSRSYSPAPRRRGNYSVSPGRRHEEQPRSPVGPPQERDGDYSHRSYSPGYENADGNGYGEKSAIEPENSRAAWRRSPCRSSRSPGRYSRSPSGSRSRSADLHVGIADK >KJB73927 pep chromosome:Graimondii2_0_v6:11:59312830:59316206:1 gene:B456_011G262000 transcript:KJB73927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DQKLRKAQSSFFCSVAVSDLSSKAFGMRRYSPQYHSPPRRGYGGRERDFPRRGYGGGGGGGSGYGRREQSHGSLLVRNIPLDCRPEELRIPFERFGLVRDVYIPKDYYTGQPRGFAFVQFVDSYDASEAQRRMNGKIFAGREISVVVAAETRKRPEEMRHKSRARGPSGYGGRSSYYGRSRSRSPSRMRSPHHPSSSRGRYRSRRRGNYSVSPGRRHEEQPRSPVGPPQERDGDYSHRSYSPGYENADGNGYGEKSAIEPENSRAAWRRSPCRSSRSPGRYSRSPSGSRSRSADLHVGIADK >KJB74484 pep chromosome:Graimondii2_0_v6:11:53147764:53151576:1 gene:B456_011G222700 transcript:KJB74484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHDMQAPRRGEMKHKGRNVVWSVAMDKCLIEALAIQAKNGNKIDKCFNENAYTAACIAVNSRFDLNLNNQKVVNRLKTIKKRYKVMRDMLSEEGFRWNPNTKMIECDSEDLWKSYVAAHPDAKGFRRKPIEMYDELKIVCGNYQAPSRWAKMKDGSHPVAYKNFEEDSASFVSPSSDGLSDTDGTQSYTGPPEYMQDVSQEPPPMEPLRQLPKRPRASDSLQEAMLAVASSIRRLADAIEQSKTTVNATELLDAVMEIDGLEEAKQMYAFEYLNADPIKARAFMTYNVRMRKTYLFRQFWWWK >KJB71380 pep chromosome:Graimondii2_0_v6:11:16432554:16433389:-1 gene:B456_011G119700 transcript:KJB71380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKEEERKLKDYKDDSPVKLGPAEKFLKVVLDIPFAFKRVDAMLYMANFESEVEYLKKSLKCLRGIENQ >KJB71710 pep chromosome:Graimondii2_0_v6:11:21351337:21356842:-1 gene:B456_011G138400 transcript:KJB71710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGQVSWKLEDHPKLPKGKTIAVVVLDGWGENKPDDYNCIHVAQTPTMDSLKQGAPEKWRLIRAHGTAVGLPTEEDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYEGEGFKYISESFEKGTLHLIGLLSDGGVHSRLDQLQLLLKGSSEHGAKRIRVHFLTDGRDVSDGSSVGFVETIENDLANLREKGVDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPHKFTNAVEAVKKLREIANDQYLPPFVIVDEHNKAVGPIVDGDAVVTFNFRADRMVMLAKALEYQDFDKFDRVRFPKIRYAGMLQYDGELKLPSHYLVSPPEIDRTSDEYLVYNGICTFACSETVKFGHVTFFWNGNRSGYFNPELEKYVEIPSDIGISFNLQPKMKALEIGERARDAILSHKFDQVRVNIANGDMVGHTGDIQATIVACKAADEAVKMILDAIEQVGGIYVVTADHGNAEDMVKRDKCGQPLYDKNGQLQILTSHTCHPVPIAIGGPGLAPGVRFRNDVPDGGLANVAATIMNLHGFVAPNDYEPTLIQVVDN >KJB70997 pep chromosome:Graimondii2_0_v6:11:11107198:11108724:-1 gene:B456_011G099800 transcript:KJB70997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLPMALLFVIPFLLLQRIISRFRKKTFPPGPKGLPIIGNMMMMEQLTHRGLAKLAQKYGGIFHLKMGYLHMVAISNPEMARQVLQVQDNIFSNRPATIAISYLTYNRADMAFAHYGPFWRQMRKLCVMKLFSRKRAESWESVRDEVEKLVRAVSANTGKTVNVGELIFNLTKNITYRAAFGSSSQEGQDEFIKILQEFSKLFGAFNIADCIPWLGWVDPQGLNSRLEKARHALDKFIDTIIDDHIQKRKTNVNGGAGGYYDPDMVDELLAFYSEEAQVNESEDLNSIRVTRDNIKAIIMDVMFGGTETVASAIEWALTELMRSPEDMKRVQRELEEVVGLDRRVEESDLDKLPFLKCCLKETLRLHPPIPLLLHETAEEAVVAGYRIPAKSRVMINAWAIGRDKKSWEDAESFKPRRFMKEGMPDFKGSNFEFIPFGSGRRSCPGMQLGLYTLDLAVAHLLHCFTWELPDGMKRSELDMSDVFGLTAPRATRLYAVPKNRLVCSLF >KJB71084 pep chromosome:Graimondii2_0_v6:11:12118005:12121854:1 gene:B456_011G104900 transcript:KJB71084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPRCYLDISIGGEVEGRLIVELYRDVAPKTAENFRALCTGEKGIGPNTSVPLHYKGVRFHRIIRGFMIEGGDISAGDGTGGESIYGLKFEDENFDLKHERKGMLSMSNMGPNTNGSQFFITTNRTSHLDGKHVVFGKVIKGMGVVRSIEHIAGEDGTNYPTQEVVIADCGEIPEGADDGISNFFKDGDIYPDWPADVDNKPDEISWWMKAVDSIKALGNEQFKKQDYKIALRKYCKALRYLDVCWELKGIDAGKSSTLRKTRSQIFTNCSACKLKLGDLKGALLDADFAIRDGEDNVKAFFRQGQAHMALNDIDAAVESFKKALDLEPNDGGIKKELAAAKKKIADRRDREKQAYSRMFQ >KJB74334 pep chromosome:Graimondii2_0_v6:11:62040948:62042053:-1 gene:B456_011G288800 transcript:KJB74334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNFQPLRNTQSLFETQGVVMPMFVICMLIYSITFCTPYFPEVINRINLLAASLATVLLTFTLFPPLGWLILFVWTILFFKLIYRAIRNIRQQCRAIPSAFDLLNQKCLFSQNNICFFYSSNGSTVNNNCHASLAFAIGVLLPLIPLKYPQSTKPLETHNAIMSIFIVVTLVFAAAWQIEHHLLTSNNNSSIHRLIVNKICLFSGSLAAVVLVLLIFPAIGWFILLVWTLILMKQIYDACQMLRRLYHSISLVSYVFNEVFGPRAHLRRGRNGLEV >KJB69169 pep chromosome:Graimondii2_0_v6:11:670830:676071:1 gene:B456_011G009100 transcript:KJB69169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGGISKPDKTEFTECWKTTWKTPYIMRLALSAGIGGLLFGYDTGVISGALLYIREDFHEVDRKAWLQETIVSMAVAGAIIGAGFGGWINDRFGRKISIMVADVLFFVGAIVMALAPAPWMIILGRIFVGFGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYCINLAFTHVTGTWRWMLGVAGLPAVVQFVLMLSLPESPRWLYRKSKVDEAKSILERIYPAEELEDEMNALKISVEAEKADEHALGDSMAQKLKGAFKNVVVRRGLYAGVTVQVAQQFSGINTVMYYSPTIVQFAGFASNKTAMALSLITSGLNAVGSIVSMTFVDRYGRRRMMIISMIGIIACLVVLTIIFSQVATHSPNIDHFESMHFALNASCSSYVRANDPTSWNCMSCLRAECGFCANGVNKYTPGACLALSKDIKDSCREKHRTWYKDGCPSRFGFLAVVFLGLYIISYSPGMGTVPWIVNSEIYPLRYRGIGGGIAAVANWVSNLIVSQTFLTLTKALGSAGTFLLFAVFCGIGLIFIFWFVPETKGLQFEEVEKLLQAGFRPEAFRKSSEETK >KJB74212 pep chromosome:Graimondii2_0_v6:11:61143405:61146270:1 gene:B456_011G279600 transcript:KJB74212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCWVRTLLFISVLVPAFVECRIRHYHFNVVVKNATKLCSTKPIVTVNGTFPGPRLYAREGDNVLVRLTNHVQYNVTIHWHGVRQLRTGWSDGPAYITQCPIQPGQNFLYNFTLTGQRGTLLWHAHISWLRTTVHGAIVILPKKGVPYPFPKPYKEKVIVLGEWWKADTEAVVKQATQTGLPPNISDAHTINGHPGPVPNCSSDDAYTLHVETGKTYLLRVINAAVNDELFFKIANHNLTVVEVDACYTKPFETDTLFLGPGQTTTALLKADQGIGKSLIAISPFMDTTVAVNNLTGIGYLRYNHTLAFTPTTFVAIPAVNATPVTSVFSDSLRSLNSKQYPANVPLTIDHSLFFTIGVGINPCATCFNGSRAVAAINNVSFVMPTTAILQAHYYGINGVFTDDFPAKPAIPFNYTGTPPSGVQTMNGTKVYRLAYNSTVQLVIQGNTIIAPESHPTHLHGSNFFVVGRGVGNFDPEKDPLKFNLVDPVERNTVSVPTAGWTAIRFRADNPGVWFFHCHLEVHTTWGLKMAFLVENGRGPNESIEPPPSDLPKC >KJB71542 pep chromosome:Graimondii2_0_v6:11:20183944:20186349:1 gene:B456_011G133000 transcript:KJB71542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPYYAGPPPNGHSVDCNAITGRISSVPITPIGCNRLPHIQRRLGIPYRFLFSITYSALIDFCFFFHRYCKGRYHHYFSRSHSFPVYFTGYYQVNKCILIDAVQ >KJB69154 pep chromosome:Graimondii2_0_v6:11:636630:639262:-1 gene:B456_011G008500 transcript:KJB69154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLMLKANPNPNPKDDAKKTRTVDLGNGSSVIYVPRFLAYDVAWEFFNYLDNHIPWTRPTLRVFGRSCTQPRDTCYVASAGLPDLIYSGYQPHAYSWDDFPPLKDILDAVHKMLPGSTFNSLLLNRYKGGNDYVGWHSDDEKLYGSTPEIASVSFGCERDFILKKKSGKSSQERRSDDKPPLKRSRKSSQDDQHSFMLKHGSLLVMRGNTQRDWLHSVPKRAKVETTRINLTFRLVLQE >KJB69153 pep chromosome:Graimondii2_0_v6:11:636641:639010:-1 gene:B456_011G008500 transcript:KJB69153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLMLKANPNPNPKDDAKKTRTVDLGNGSSVIYVPRFLAYDVAWEFFNYLDNHIPWTRPTLRVFGRSCTQPRDTCYVASAGLPDLIYSGYQPHAYSWDDFPPLKDILDAVHKMLPGSTFNSLLLNRYKGGNDYVGWHSDDEKLYGSTPEIASVSFGCERDFILKKKSGKSSQERRSDDKPPLKRSRKSSQDDQHSFMLKHGSLLVMRGNTQRDWLHSVPKRAKVETTRINLTFRLVLQE >KJB69155 pep chromosome:Graimondii2_0_v6:11:636645:639052:-1 gene:B456_011G008500 transcript:KJB69155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLMLKANPNPNPKDDAKKTRTVDLGNGSSVIYVPRFLAYDVAWEFFNYLDNHIPWTRPTLRVFGRSCTQPRDTCYVASAGLPDLIYSGYQPHAYSWDDFPPLKDILDAVGIVMTRSSMDQPRKLLLFPLDANGILS >KJB74406 pep chromosome:Graimondii2_0_v6:11:62393044:62393529:1 gene:B456_011G292600 transcript:KJB74406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLFGKEAKQELVKLVHGKCLRVLVYGEYQYSCCVADAYCNGIFVQEVLLKNELAWHYVAYDQLVELATARAKRIGLWVQSNLKKPWERRKKNKRESRYHCP >KJB74368 pep chromosome:Graimondii2_0_v6:11:62196744:62197151:1 gene:B456_011G290700 transcript:KJB74368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFSRRGYWQELIESIVWAHNKLKVAPVTQPRALSIVQGRVVGVTHYLLGGIATTWAFFLARIIAVG >KJB69320 pep chromosome:Graimondii2_0_v6:11:1132101:1140709:-1 gene:B456_011G016100 transcript:KJB69320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIDLMHSLGVNSYRFSISWARILPKGRFGEINEAGIKFYNNLIDGLLLKGIEPFVTLTHMDFPQELEDRYGSWLSPESQEDFAYYADICFKSFGDRVKYWVTFNEPSFQVKFGYQAGTFPPSRCSKPFGNCTYGDSEKEPFITAHNIILAHIAAVHIYRTKYQATQGGSIGIVLHCFWYEPISNSVADKLAAERAQSFSINWFLDPIIFGRYPQEMQDILGSILPEFSTTEKQKLNKGLDFIGINHYTSYYVQDCMFSACEPGPGTSKMEGYCAQSSQKNGTPIGEPTELAGKNVYPQGMEKIVTYLKDRYHNVPMIITENGYGDMNKPNSTTESLLHDVERIKYLAGYLDALSTAIRKGADVRGYFVWSLLDNFEWNSGYTIRFGLHHVDYETLRRTPKSSGTWYRNFISQHIAKDSMDIFMNKLYIGFFFLLQIFFLPHSISSDQLILRQSLKRKNLSAFPSNFLFGTASSAYQYEGGYLADGKGLNNWDVYSHKPGNNIVDGSNGDIAVDYYHRYLEDIDLMHSLGVNSYRFSISWARILPKGRFGEINEAGIKFYNNLIDGLLLKGIEPFITLTHIDFPQELEDRYGSWLSPESQEDFEYYADICFKSFGDRVNYWVTFNQPDSQVKFGYLTGIFPPSHCSKPFGNCTYGDSEKEPFIAAHNIILAHIAAVHVYRTKYQETQGGSIGIVLNGAWYEPISNSLVDKLAAERARSFTINW >KJB73202 pep chromosome:Graimondii2_0_v6:11:53040789:53041491:1 gene:B456_011G221500 transcript:KJB73202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCTAIVFRSTFSSTDTEFGPQCNIKVDGKAQHLDFHSSAKPNFSFKIPHSCKQAATWCCRCVFEINIRQHPTPQTRNEANWS >KJB72839 pep chromosome:Graimondii2_0_v6:11:48430597:48436595:1 gene:B456_011G200200 transcript:KJB72839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytochrome B [Source: Projected from Oryza sativa (Os03g0309200)] MTAASSCPRGSVTTLPPKPIPYNLHYIYTTDRISSQKYHHPPIPNKQPLNKVMSSGSRAVHSHQQRQQQQQQQQQQQAQSSGTSNMRASHTHHQADSISKAIAQYTVDARLHAVFEQSGESGKSFDYSQSVKTTTQSVPEQQITAYLSKIQRGGHIQPFGCMIAVDEPSFRIIAYSENAREMLGITPQSVPNLERIEVLAIGTDVRTLFTPSSAVLLEKAFAAREITLLNPVWIHSKNSGKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISELQSLPGGDIKLLCDTVVESVRQLTGYHRVMVYKFHEDEHGEVVAESKRPDLDPYIGLHYPATDIPQASRFLFKQNRVRMIVDCHATPVRVVQDDGLMQPLCLVGSTLRAPHGCHAQYMANMGSIASLAMAVIINGNDDEATGGRNTMRLWGLVVCHHTSARCIPFPLRYACEFLMQAFALQLNMELQLAAQMSEKRVLRTQTLLCDMLLRDSPSGIVMQSPSIMDLVKCDGAALYYQGKYYPLGVTPSEAQIKDIVEWLLAFHGDSTGLSTDSLSDAGYPAATSLGDAVCGMAVACITKRDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWDNAEMDAIHSLQLILRDSFKDAEASNSKAVAHAQLGGLELQGVDELSSVAREMVRLIETATAPILAVDVEGRINGWNAKAAELTGLSVEEAMGKSLVHDLVYEEYQETVDRLLSHALQGEEDKNIEIKMRTFGLEDHKKAIYVVVNACSSKDYMNNIVGVCFVGQDVTGQKVVMDKFIHIQGDYKAIVHSPNPLIPPIFVSDENTCCLEWNTAMEKLTGWPRGEIIGKMLVGEVFGSCCRLKGPDALTKFMIVLHSAIGGQEADKFPFAFFDRNGKFVQALLTANKRVNMEGQIVGAFCFLQIATPELQQALRVQRQQEKKCFARMKELTYICQEIKSPLNGIRFTTSLFEATELTENQKQFLETSVACEKQMLKIIRDVDLESIEDGSMELEKAEFFLGSVINAVVSQVMLLLRERNLQLIRDIPEEIKTLAVYGDQARIQQVLADFLLNMVRYAPTGEGWVEIHVRPSLKQISEGVTIVHTEFRMVCPGEGLPPELVQDMFHSSRWMTQEGLGLSMCRKILKLMNGEVQYIRESERCYFLITLELPVPQSGSKNED >KJB72840 pep chromosome:Graimondii2_0_v6:11:48430654:48436296:1 gene:B456_011G200200 transcript:KJB72840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytochrome B [Source: Projected from Oryza sativa (Os03g0309200)] MSSGSRAVHSHQQRQQQQQQQQQQQAQSSGTSNMRASHTHHQADSISKAIAQYTVDARLHAVFEQSGESGKSFDYSQSVKTTTQSVPEQQITAYLSKIQRGGHIQPFGCMIAVDEPSFRIIAYSENAREMLGITPQSVPNLERIEVLAIGTDVRTLFTPSSAVLLEKAFAAREITLLNPVWIHSKNSGKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISELQSLPGGDIKLLCDTVVESVRQLTGYHRVMVYKFHEDEHGEVVAESKRPDLDPYIGLHYPATDIPQASRFLFKQNRVRMIVDCHATPVRVVQDDGLMQPLCLVGSTLRAPHGCHAQYMANMGSIASLAMAVIINGNDDEATGGRNTMRLWGLVVCHHTSARCIPFPLRYACEFLMQAFALQLNMELQLAAQMSEKRVLRTQTLLCDMLLRDSPSGIVMQSPSIMDLVKCDGAALYYQGKYYPLGVTPSEAQIKDIVEWLLAFHGDSTGLSTDSLSDAGYPAATSLGDAVCGMAVACITKRDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWDNAEMDAIHSLQLILRDSFKDAEASNSKAVAHAQLGGLELQGVDELSSVAREMVRLIETATAPILAVDVEGRINGWNAKAAELTGLSVEEAMGKSLVHDLVYEEYQETVDRLLSHALQGEEDKNIEIKMRTFGLEDHKKAIYVVVNACSSKDYMNNIVGVCFVGQDVTGQKVVMDKFIHIQGDYKAIVHSPNPLIPPIFVSDENTCCLEWNTAMEKLTGWPRGEIIGKMLVGEVFGSCCRLKGPDALTKFMIVLHSAIGGQEADKFPFAFFDRNGKFVQALLTANKRVNMEGQIVGAFCFLQIATPELQQALRVQRQQEKKCFARMKELTYICQEIKSPLNGIRFTTSLFEATELTENQKQFLETSVACEKQMLKIIRDVDLESIEDGSMELEKAEFFLGSVINAVVSQVMLLLRERNLQLIRDIPEEIKTLAVYGDQARIQQVLADFLLNMVRYAPTGEGWVEIHVRPSLKQISEGVTIVHTEFRMVCPGEGLPPELVQDMFHSSRWMTQEGLGLSMCRKILKLMNGEVQYIRESERCYFLITLELPVPQSGSKNED >KJB72841 pep chromosome:Graimondii2_0_v6:11:48430654:48436296:1 gene:B456_011G200200 transcript:KJB72841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytochrome B [Source: Projected from Oryza sativa (Os03g0309200)] MSSGSRAVHSHQQRQQQQQQQQQQQAQSSGTSNMRASHTHHQADSISKAIAQYTVDARLHAVFEQSGESGKSFDYSQSVKTTTQSVPEQQITAYLSKIQRGGHIQPFGCMIAVDEPSFRIIAYSENAREMLGITPQSVPNLERIEVLAIGTDVRTLFTPSSAVLLEKAFAAREITLLNPVWIHSKNSGKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISELQSLPGGDIKLLCDTVVESVRQLTGYHRVMVYKFHEDEHGEVVAESKRPDLDPYIGLHYPATDIPQASRFLFKQNRVRMIVDCHATPVRVVQDDGLMQPLCLVGSTLRAPHGCHAQYMANMGSIASLAMAVIINGNDDEATGGRNTMRLWGLVVCHHTSARCIPFPLRYACEFLMQAFALQLNMELQLAAQMSEKRVLRTQTLLCDMLLRDSPSGIVMQSPSIMDLVKCDGAALYYQGKYYPLGVTPSEAQIKDIVEWLLAFHGDSTGLSTDSLSDAGYPAATSLGDAVCGMAVACITKRDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWDNAEMDAIHSLQLILRDSFKDAEASNSKAVAHAQLGGLELQGVDELSSVAREMVRLIETATAPILAVDVEGRINGWNAKAAELTGLSVEEAMGKSLVHDLVYEEYQETVDRLLSHALQGEEDKNIEIKMRTFGLEDHKKAIYVVVNACSSKDYMNNIVGVCFVGQDVTGQKVVMDKFIHIQGDYKAIVHSPNPLIPPIFVSDENTCCLEWNTAMEKLTGWPRGEIIGKMLVGEVFGSCCRLKGPDALTKFMIVLHSAIGGQEADKFPFAFFDRNGKFVQALLTANKRVNMEGQIVGAFCFLQIATPELQQALRVQRQQEKKCFARMKELTYICQEIKSPLNGIRFTTSLFEATELTENQKQFLETSVACEKQMLKIIRDVDLESIEDGSMELEKAEFFLGSVINAVVSQVMLLLRERNLQLIRDIPEEIKTLAVYGDQARIQQVLADFLLNMVRYAPTGEGWVEIHVRPSLKQISEGVTIVHTEFRMVCPGEGLPPELVQDMFHSSRWMTQEGLGLSMCRKILKLMNGEVQYIRESERCYFLITLELPVPQSGSKNED >KJB70695 pep chromosome:Graimondii2_0_v6:11:9141576:9147302:-1 gene:B456_011G087500 transcript:KJB70695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSFGKLARFALHKNDGKDKLDFLSAAHLDELAQAAQDMQEMRNCYDSLLLAAAATANSAYEFSESLQEMGSCLQEKRLLPDDEESRRILLMLGNLQFELRKLVDNYRSHILLTITNPSESLLNELRTVEDMKRQCDEKRNVYEYMVTQQKEKGKSKGGKGETFTLQQLQTAREEYVEVATLCVFRLKSLKQGQSRSLVTQAARYHAAQLNFFRKGLKSLEAIEPHVRQVTEQHHIDYQFCGLEDDDGEDGEIAYDPNKDVELKFDNRANEKEFDVTSASRNSMEVDEVSTSFPQTLKMENAEANPVKSHGDFQVSSRDHRVSCHSAPIFPERKLDPAERVKQMLQSSTRTSNTYVLPTPNDSTFAVSSRTNSSISHTKPTNVAGHPHNTWHSSPLEQKNREKDSGDGQPSEFTILNSESGLKENNSSNSTSTQLPPPLSEGRESTQLDSTEAKKIKRKAVSGPLTSKQLSTKPILSATSSIPSAELPHLASAVFSHLTIPRSLSPPRVSPSASPPLVSSPRLNELHELPRPPNSSAAKSAKSPSLVGHSASLISRNQELSASNISPLAVSGASRLPTPPLIVPRSFSMPTGNQRTTFSRLLEAPQVPDKDEVALPSSMPTKPIPSVSEVASHSGQIRGGS >KJB70696 pep chromosome:Graimondii2_0_v6:11:9141635:9147290:-1 gene:B456_011G087500 transcript:KJB70696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAYFWQLQQQLTVHMFELRKLVDNYRSHILLTITNPSESLLNELRTVEDMKRQCDEKRNVYEYMVTQQKEKGKSKGGKGETFTLQQLQTAREEYVEVATLCVFRLKSLKQGQSRSLVTQAARYHAAQLNFFRKGLKSLEAIEPHVRQVTEQHHIDYQFCGLEDDDGEDGEIAYDPNKDVELKFDNRANEKEFDVTSASRNSMEVDEVSTSFPQTLKMENAEANPVKSHGDFQVSSRDHRVSCHSAPIFPERKLDPAERVKQMLQSSTRTSNTYVLPTPNDSTFAVSSRTNSSISHTKPTNVAGHPHNTWHSSPLEQKNREKDSGDGQPSEFTILNSESGLKENNSSNSTSTQLPPPLSEGRESTQLDSTEAKKIKRKAVSGPLTSKQLSTKPILSATSSIPSAELPHLASAVFSHLTIPRSLSPPRVSPSASPPLVSSPRLNELHELPRPPNSSAAKSAKSPSLVGHSASLISRNQELSASNISPLAVSGASRLPTPPLIVPRSFSMPTGNQRTTFSRLLEAPQVPDKDEVALPSSMPTKPIPSVSEVASHSGQIRGGS >KJB71828 pep chromosome:Graimondii2_0_v6:11:22698346:22701753:-1 gene:B456_011G143500 transcript:KJB71828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTACPENEKQALLNFKKGLIDAANRLASWDPHHHPDCCRWIGVVCDKRTAHVLSLNLSLPPWDEHTDFKSYRMSKLGGKINPCLSKLKHLRYLDLSNNAFEGLLPYQLGNLSNLESLKLGAYPFSFGLLYVENLQWLSGLSLLKHLDLSWVNLSRASNWLQLINTILPSLDELHLSACQLLPGPSLLNVNLSSLAILDLSFNHFTNQMDVGWVSNLKSLVFLDLVGNDFHGPIPDFLRNMTSLTHLDLSSNYLNSSIPDWLYSFSSLQVLRLPSNQLHGDISSAIGNLTSLNELDLSRNELQGKLPRAMGKLCKLRSINLSGMRLNQDISRILEILSGCSSPRLESLDLASCQLSGQLSDQVGHFKNLATLYLSNNSISGPIPIPFWQLKNLKELFLDDNSISGPISISLGQLANLEWLYIFNNLLEGVVSEKHFANHTKLKYFYGSGNSLVLRANPNWVPPFQILDLDLGSWQIGPSFPLWLRSQKHLEYLDISNSRISDVIPRWFWGLSTQFRDVNLSRNQISGQIPYLPNGPNIFTFVDLSFNNFSGPLPQISMGSNQYMIDLSNNYFSGSLFHFLCYQLNGTITTSFLGIANNLLSGEIPDCWIKWQSLQVLRLDGNRFTGKIPSSMGTLSELQSLNLHNNKLHGEIPLSLKNCTNLLAINLGKNELDGNIPRWLGQDLSNLIILILRSNKFGGNIPDHLCALSSLQILDLAENNLFGSMPRCMSNFSAMVRGNGSRDNIIEYRGLNGPSTLESASIVTKDQLLVYDKTLNLVRLVDFSCNNLSGEIPKEVTSLQGLQTLNLSRNHLIGKIPESIGSMKSLESLDLSQNQLSSSIPESMSSMTFLSHLNLSFNKLTGIIPTSSQLQGFHESSYAGNHLCGSPLKGCKGSGKEPDVRSEAKEISKGQEINWFYISMPLGFVTGFWCVLGPLVISKRNSRLIYETIIYKIANLALERVMIILAKPGKCCEMTIYATCTFDKSSKIGKLTISGELPQMNSKWGTRYFGELELECELGSQ >KJB68784 pep chromosome:Graimondii2_0_v6:11:14429820:14429897:-1 gene:B456_011G1135001 transcript:KJB68784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFFMVNEISKGEAIFRVFKLSSSI >KJB71131 pep chromosome:Graimondii2_0_v6:11:12530333:12533410:1 gene:B456_011G107100 transcript:KJB71131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVFLEQKLVGQKVDSGGLYRLLQCASKGDKAGVIQELDKGVEPNGADYDRRTAFHLAACECWIEVIDLLLEKDLGSSFWGMALILASTNLLTARIAAGCFLLALVVVLFYAKNWTLRGLSIGFIIFLALIWFLQERTTVHILRYAILFIDIGSLVKCCLFLILEIASVMNSLFSVYDIYDDLICRGVNSSDAEKFAEICPCPCNGVGWGFIWGMISFIFLGASVYLGLLILA >KJB73691 pep chromosome:Graimondii2_0_v6:11:57145674:57151473:1 gene:B456_011G245200 transcript:KJB73691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPYADVDSTQRAIAGQAEGFGRFAVGGLHGPLVTVTTLSDDGPGSLRDACRNPGPGWIVFKVSGTIRLSTYLSVGSHKTIDGRGERVKLTGKGLRLKECENVIVCNMQFEGGRGHDVDGIQVKPNSKHIWIDRCSFKDYDDGLIDITRGSTDITVSRCYFTQHDKTMLIGADPTHVGDRCIRVTIHHCFFDGTRQRQPRLRFGKVHLYNNYTRNWDIYAVCASVEAQIYSQCNIYEAGKKKKTFEFYTEKAGDREEASSGVIRSEGDLFLNGAQSCLLAAGSGEGSVFHPSEYYKTWTMEAPSDSLKQVLQVCTGWQPVPRLLAHHK >KJB73692 pep chromosome:Graimondii2_0_v6:11:57148436:57151473:1 gene:B456_011G245200 transcript:KJB73692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPYADVDSTQRAIAGQAEGFGRFAVGGLHGPLVTVTTLSDDGPGSLRDACRNPGPGWIVFKVSGTIRLSTYLSVGSHKTIDGRGERVKLTGKGLRLKECENVIVCNMQFEGGRGHDVDGIQVKPNSKHIWIDRCSFKDYDDGLIDITRGSTDITVSRCYFTQHDKTMLIGADPTHVGDRCIRVTIHHCFFDGTRQRQPRLRFGKVHLYNNYTRNWDIYAVCASVEAQIYSQCNIYEAGKKKKTFEFYTEKAGDREEASSGVIRSEGDLFLNGAQSCLLAAGSGEGSVFHPSEYYKTWTMEAPSDSLKQVLQVCTGWQPVPRLLAHHK >KJB72361 pep chromosome:Graimondii2_0_v6:11:39204506:39205736:-1 gene:B456_011G173700 transcript:KJB72361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESLKANYKVCEEIGRGRFGIVFRCVSLISGESFAVKSIDKQIISAGDSLDSQCLFNEPKILTLVSPHPNIIHLHHLYEDDSHLHMVLDLCPPSRDLYNLIIDNGQFSEAQARPILTQLVQALAHIHSLGVVHRDIKPENILFDSKNSVKLTDFGSADLAPEVMRGVVGTPFYVAPEILGGREYGEKVDVWSSGVVLYIMLAGFPPFHGETVVEIFEAVLRGNLRFPVRVFQSVSPAAKDLLRKMLCKDVSRRLSAEQVLRHPWITSGC >KJB72360 pep chromosome:Graimondii2_0_v6:11:39204506:39205723:-1 gene:B456_011G173700 transcript:KJB72360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESLKANYKVCEEIGRGRFGIVFRCVSLISGESFAVKSIDKQIISAGDSLDSQCLFNEPKILTLVSPHPNIIHLHHLYEDDSHLHMVLDLCPPSRDLYNLIIDNGQFSEAQARPILTQLVQALAHIHSLGVVHRDIKPENILFDSKNSVKLTDFGSADLAPEVMRGVVGTPFYVAPEILGGREYGEKVDVWSSGVVLYIMLAGFPPFHGETVVEIFEAVLRGNLRFPVRVFQSVSPAAKDLLRKMLCKDVSRRLSAEQVLRHPWITSGC >KJB73237 pep chromosome:Graimondii2_0_v6:11:53449021:53454707:1 gene:B456_011G223900 transcript:KJB73237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEAERVVVILDGSKELNPSIIKWPLFGLSLKPGDKLLVLGILHQVINPSTFSFMGAGKLMGFKSKVDTSSIFGTNRRITVEEMGKKLEQYNKDAALVKISEQYKKEQIEFQVKILAGYPLKDVAARAVKSFGATWLVLDRHMKNDQRYFVENLSCNIVRLKKDCNAEELRGPNVKDNFKLPQCHIPYAEMIPAIGPQRLHSPQNREGESIGEHQSHSNRKSISRSSSASSISGPETGGEHSPLSINESGDQKDLQSPDENPKQHNHNDDWMGGNPGDQVFKNSLCLICKNRRPKIGWMRDFTYAELQAATEGFNAKNFLSEGGFGSVYRGEINGMKIAVKQHKYNASLQGEKEFKSEVHVLRTARHENLVMLVGSCSEGNHRLLVYEYVCNRSLDLHLSKHSRRPLSWQKRVKIALGTAKGLKYLHDNNIIHRDMRPNNILVTHEFEPLLGDFGLARTQHEDSDKSSETMTRVVGTLGYLAPEYAECGKVSTKTDVYSFGVVLLQLITGMKTTDKRLGGKSLVGWARPLLKDRNYPDLIDQRILDKHDVHQLFWMVRVAEKCLTKDPQKRLSMDKVVFALNYITDCDNFCGIEDFSPAQSDTVSQDSYDSVSQSPFEDDSVFTIETTSANSLSLFNERLPPSPPISSKSSASTLFGESASSSGSNHERYL >KJB73240 pep chromosome:Graimondii2_0_v6:11:53451672:53454691:1 gene:B456_011G223900 transcript:KJB73240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDQRYFVENLSCNIVRLKKDCNAEELRGPNVKDNFKLPQCHIPYAEMIPAIGPQRLHSPQSKLFGMQCKTYYVSCQEIMSFVVADREGESIGEHQSHSNRKSISRSSSASSISGNRAPFLSYNEPKSSSSSMYVHDEAYGTTTGPETGGEHSPLSINESGDQKDLQSPDENPKQHNHNDDWMGGNPGDQVFKNSLCLICKNRRPKIGWMRDFTYAELQAATEGFNAKNFLSEGGFGSVYRGEINGMKIAVKQHKYNASLQGEKEFKSEVHVLRTARHENLVMLVGSCSEGNHRLLVYEYVCNRSLDLHLSKHSRRPLSWQKRVKIALGTAKGLKYLHDNNIIHRDMRPNNILVTHEFEPLLGDFGLARTQHEDSDKSSETMTRVVGTLGYLAPEYAECGKVSTKTDVYSFGVVLLQLITGMKTTDKRLGGKSLVGWARPLLKDRNYPDLIDQRILDKHDVHQLFWMVRVAEKCLTKDPQKRLSMDKVSFEEMFQIKIQCYNN >KJB73238 pep chromosome:Graimondii2_0_v6:11:53449021:53454707:1 gene:B456_011G223900 transcript:KJB73238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEAERVVVILDGSKELNPSIIKWPLFGLSLKPGDKLLVLGILHQVINPMGFKSKVDTSSIFGTNRRITVEEMGKKLEQYNKDAALVKISEQYKKEQIEFQVKILAGYPLKDVAARAVKSFGATWLVLDRHMKNDQRYFVENLSCNIVRLKKDCNAEELRGPNVKDNFKLPQCHIPYAEMIPAIGPQRLHSPQNREGESIGEHQSHSNRKSISRSSSASSISGNRAPFLSYNEPKSSSSSMYVHDEAYGTTTGPETGGEHSPLSINESGDQKDLQSPDENPKQHNHNDDWMGGNPGDQVFKNSLCLICKNRRPKIGWMRDFTYAELQAATEGFNAKNFLSEGGFGSVYRGEINGMKIAVKQHKYNASLQGEKEFKSEVHVLRTARHENLVMLVGSCSEGNHRLLVYEYVCNRSLDLHLSKHSRRPLSWQKRVKIALGTAKGLKYLHDNNIIHRDMRPNNILVTHEFEPLLGDFGLARTQHEDSDKSSETMTRVVGTLGYLAPEYAECGKVSTKTDVYSFGVVLLQLITGMKTTDKRLGGKSLVGWARPLLKDRNYPDLIDQRILDKHDVHQLFWMVRVAEKCLTKDPQKRLSMDKVVFALNYITDCDNFCGIEDFSPAQSDTVSQDSYDSVSQSPFEDDSVFTIETTSANSLSLFNERLPPSPPISSKSSASTLFGESASSSGSNHERYL >KJB73241 pep chromosome:Graimondii2_0_v6:11:53451762:53453099:1 gene:B456_011G223900 transcript:KJB73241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDQRYFVENLSCNIVRLKKDCNAEELRGPNVKDNFKLPQCHIPYAEMIPAIGPQRLHSPQSKLFGMQCKTYYVSCQEIMSFVVADREGESIGEHQSHSNRKSISRSSSASSISGNRAPFLSYNEPKSSSSSMYVHDEAYGTTTGPETGGEHSPLSINESGDQKDLQSPDENPKQHNHNDDWMGGNPGDQVFKNSLCLICKNRRPKIGWMRDFTYAELQAATEGFNAKNFLSEGGFGSVYRGEINGMKIAVKQHKYNASLQGEKEFKSEVHVLRTARHENLVMLVGSCSEGNHRLLVYEYVCNRSLDLHLSSKDSFKTTLWFFTLFLCNEISNRCMHVCISFLAKLIAEHSRRPLSWQKRVKIALGTAKGLKYLHDNNIIHRDMRPNNILVTHEFEPLVMIKLHLYIYICTFSASTFILLPQLTKVHTRFTISARRFWLGKNST >KJB73239 pep chromosome:Graimondii2_0_v6:11:53449021:53454707:1 gene:B456_011G223900 transcript:KJB73239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEAERVVVILDGSKELNPSIIKWPLFGLSLKPGDKLLVLGILHQVINPMGFKSKVDTSSIFGTNRRITVEEMGKKLEQYNKDAALVKISEQYKKEQIEFQVKILAGYPLKDVAARAVKSFGATWLVLDRHMKNDQRYFVENLSCNIVRLKKDCNAEELRGPNVKDNFKLPQCHIPYAEMIPAIGPQRLHSPQNREGESIGEHQSHSNRKSISRSSSASSISGPETGGEHSPLSINESGDQKDLQSPDENPKQHNHNDDWMGGNPGDQVFKNSLCLICKNRRPKIGWMRDFTYAELQAATEGFNAKNFLSEGGFGSVYRGEINGMKIAVKQHKYNASLQGEKEFKSEVHVLRTARHENLVMLVGSCSEGNHRLLVYEYVCNRSLDLHLSKHSRRPLSWQKRVKIALGTAKGLKYLHDNNIIHRDMRPNNILVTHEFEPLLGDFGLARTQHEDSDKSSETMTRVVGTLGYLAPEYAECGKVSTKTDVYSFGVVLLQLITGMKTTDKRLGGKSLVGWARPLLKDRNYPDLIDQRILDKHDVHQLFWMVRVAEKCLTKDPQKRLSMDKVVFALNYITDCDNFCGIEDFSPAQSDTVSQDSYDSVSQSPFEDDSVFTIETTSANSLSLFNERLPPSPPISSKSSASTLFGESASSSGSNHERYL >KJB71293 pep chromosome:Graimondii2_0_v6:11:14910239:14910799:-1 gene:B456_011G115200 transcript:KJB71293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYEPTRHQTIPKETALQALNTIIQLHFEKTLEKKRAIDLQKKELHKLFQLFFIFLALVFMAQAQSTRLQCRHCWAPITLLSLSHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATDKLREMKMRINNGEFVDGFGEEGEFEIHYQEPPETYFAKFKRNWALHFGFLILIYAFMVSSTVVLLCF >KJB71042 pep chromosome:Graimondii2_0_v6:11:11638312:11643116:1 gene:B456_011G101900 transcript:KJB71042 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1SNRNP [Source:Projected from Arabidopsis thaliana (AT3G50670) UniProtKB/TrEMBL;Acc:A0A178VDW4] MGDYNDAFMRNQNAAAAVQARVKPPQNRANIQQLKLIGQSHPTGLTANLLKLFEPRPPLEFKPPPEKRKCPPYTGMAQFVSHFAEPGDPEYSPPVQEAETPAQRRARIHKLRLEKGLEKAAEELKNYDPNNDSNVSGDPYKTLFVARLNYETSESRIKREFESYGPIKRVRLVTDKSTNKPRGYAFIEYMHTRDMKAAYKQADGRKIDGRRVLVDVERGRTVPNWRPRRLGGGLGTTRIGGEDVTQREQIQSGPSRSEEPRIREDRHGDRDREKSRERGREREREREKSRERSHDRPRDRDREDRHHRDRERTRDRDRDRERERGGRDRDRARERGRDRGRDYERDRERDRERERDRDRDYDAGDYERDRGRSRDRDRESDYDRVESKHERDRHSERDYDHGEPDEDRGWYEQHDHGHRRSDLDDQHYEYYDHHRGRGEYDLDAHGDRYDQYSDRDRDRDRYDGMEEDDYR >KJB71041 pep chromosome:Graimondii2_0_v6:11:11638312:11643082:1 gene:B456_011G101900 transcript:KJB71041 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1SNRNP [Source:Projected from Arabidopsis thaliana (AT3G50670) UniProtKB/TrEMBL;Acc:A0A178VDW4] MGDYNDAFMRNQNAAAAVQARVKPPQNRANIQQLKLIGQSHPTGLTANLLKLFEPRPPLEFKPPPEKRKCPPYTGMAQFVSHFAEPGDPEYSPPVQEAETPAQRRARIHKLRLEKGLEKAAEELKNYDPNNDSNVSGDPYKTLFVARLNYETSESRIKREFESYGPIKRVRLVTDKSTNKPRGYAFIEYMHTRDMKAAYKQADGRKIDGRRVLVDVERGRTVPNWRPRRLGGGLGTTRIGGEDVTQREQIQSGPSRSEEPRIREDRHGDRDREKSRERGREREREREKSRERSHDRPRDRDREDRHHRDRERTRDRDRDRERERGGRDRDRARERGRDRGRIMMLVTMNVTVGVLGIGIGNLIMTVLSPNMREIGTVRGIMIMVSQMRIVGGMNSMIMGIGGQT >KJB73877 pep chromosome:Graimondii2_0_v6:11:58772966:58775016:-1 gene:B456_011G258000 transcript:KJB73877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLDKQKDNKHLHDLERRSREGLFICNGCKEIGFGNCYKCPWVWFCDYVLHVGCISEGHTPLSNSLFKNCEFQFYQTNPSTVAPACHICALDIQGRMYRCSKGKYSLHPYCATLQTTFSLRDSDMKIKLRRGTKLNFFKSKCLKCDRKNRSSNDVQCLSYVSSDGNLCYHVACMKEACRDNWNKGYFRPGSETNGQSKFLALQNLAPKEVLSSVGQTSEVSLITFLKLVVYAILGEPFDLIAPLFQFSQN >KJB72762 pep chromosome:Graimondii2_0_v6:11:47090950:47092232:1 gene:B456_011G195400 transcript:KJB72762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRIRSVQQWPENHHYLFSPPGSYFHSLLFSPISEQPNNTLNSIFISQYQRYSPNCSPSIFLHCLLYKIWHPIKKISIPFPP >KJB73179 pep chromosome:Graimondii2_0_v6:11:52851749:52858033:1 gene:B456_011G220200 transcript:KJB73179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALENKETMQNCEATLKCLQTKGFPYNLQCTGNSIEGLPEHKDEICTHPGGDVVEPVCSLNGQFMEYHKPTLQHESGSWSTFYPDTHKLQPYPPNAFGGQFYHFPMDNRFHYAPFNMVTHGYPYEFQFQDFQYFVVIDFEATCDKERNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNTNFAVVTWSNWDCRVMLESECQFKKIRKPPYFNRWINLKVPFREVFGGGRCNLKEAVEMAGLVWQGRAHCGLDDAKNTARLLALLMRQGFKFAITNSLMWQASDGPVTWNPMPENTGFSLHHPHKLKDQQMPLFQYHPYCFCGVKSSKGMVRKPGPKQGSVFFGCGNWTVTRGARCHYFEWASP >KJB73180 pep chromosome:Graimondii2_0_v6:11:52851872:52858033:1 gene:B456_011G220200 transcript:KJB73180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNCEATLKCLQTKGFPYNLQCTGNSIEGLPEHKDEICTHPGGDVVEPVCSLNGQFMEYHKPTLQHESGSWSTFYPDTHKLQPYPPNAFGGQFYHFPMDNRFHYAPFNMVTHGYPYEFQFQDFQYFVVIDFEATCDKERNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNTNFAVVTWSNWDCRVMLESECQFKKIRKPPYFNRWINLKVPFREVFGGGRCNLKEAVEMAGLVWQGRAHCGLDDAKNTARLLALLMRQGFKFAITNSLMWQASDGPVTWNPMPENTGFSLHHPHKLKDQQMPLFQYHPYCFCGVKSSKGMVRKPGPKQGSVFFGCGNWTVTRGARCHYFEWASP >KJB73181 pep chromosome:Graimondii2_0_v6:11:52851718:52858097:1 gene:B456_011G220200 transcript:KJB73181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALENKETMQNCEATLKCLQTKGFPYNLQCTGNSIEGLPEHKDEICTHPGGDVVEPVCSLNGQFMEYHKPTLQHESGSWSTFYPDTHKLQPYPPNAFGGQFYHFPMDNRFHYAPFNMVTHGYPYEFQFQDFQYFVVIDFEATCDKERNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNTNFAVVTWSNWDCRVMLESECQFKKIRKPPYFNRWINLKVPFREVFGGGRCNLKEAVEMAGLVWQGRAHCGLDDAKNTARLLALLMRQGFKFAITNSLMWQASDGPVTWNPMPENTGFSLHHPHKLKDQQMPLFQYHPYCFCGVKSSKGMVRKPGPKQGSVFFGCGNWTVTRGARCHYFEWASP >KJB70558 pep chromosome:Graimondii2_0_v6:11:7951095:7953273:-1 gene:B456_011G079500 transcript:KJB70558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKLALPESSTFPGKPMPPTAECWFDDACILDMDYFVKTISGIKAKGVRPDLVGSIIAHYASKWLPDLSGNDTEKGPMSLQESSPESVTVSWMKKRFFVETLVGILPPERDSIPCNFLLRLLRTANMVGVEPSYRAELEKRISWQLDQASLKELMIPSFSHTCGTLLDVELVIRLVKRFASLDEGTRSGIAVVKVAKLVDSYLAEAALDTNLSLEDFIALGAALPSHARAMDDGLYRAIDTYLKAHPGVSKQDRKVLCRLIDSRKLSPEASLHAAQNERLPVRAVIQVLFCEQSKLNRHVDWSGSFNGTRSPNPAGLEAPVRCMSKREMNAQQMEIKKLKEELLRVQSQCVAMQMQMEKLVDKKKGFFRWKKLGIMPSLKSSVSVFEKIEEEREANFGRQTPIDIKQKPVRPPKWRKSMS >KJB71556 pep chromosome:Graimondii2_0_v6:11:19019159:19021032:1 gene:B456_011G129300 transcript:KJB71556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEMEEAGSCSSITSELSDITLFGKYEIGKLLGCGAFAKVYHARNVRTGQSVAIKAVSKQKVVKGGFMEQVKREIAIMRRLRHPNIVKLIEVLATKAKVYFVMEFAKGGELFTRIARGRFSEDLSRRYFQQLISTVRFCHSRGVFHRDLKPENLLLDENWNLKVTDFGLSAVKEQIRPDGLLHTLCGTPAYVAPEVLAKKGYDGAKVDVWSCGIILYVLHAGYLPFNDPNLMVMYHRIYKGEFRFPKWTSPDLRRFICRLLDPNPETRITVDEIMNDPWFKKGYKEIKFHVEDFEYKEETQGPGTKCLNAFDIISFSSGFDLSGLFDAAELSVQRERFISGEKPERIIERIEEEIRGMENVKVKKRRENAILLEGHNCDFVLAVDILQLTENLVVVEVGRREINVEPSGDIWKDKLWPKLSDLAYRN >KJB70719 pep chromosome:Graimondii2_0_v6:11:9302151:9305910:1 gene:B456_011G088700 transcript:KJB70719 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 41 [Source:Projected from Arabidopsis thaliana (AT3G02065) UniProtKB/Swiss-Prot;Acc:Q3EBD3] MEGGNGNDPCESSVLQKDIYQGIDDDVKRNSKDQRDALGDEPKCVICGRYGEYVCGETDDDICSLECKQTLLLRVANSLPVCSSSTQRLPATDECFYVRDSVDRSGPPSLTCDQAELLRNKLEIHVKGGVIPAPVLAFSSCGLPQKLLQNIETAGYVMPTPVQMQVIPAALDGRSLLVSADTGSGKTASFLIPIISLCAKLYPNNNSNLRKPLAVVLTPTRELGIQVEDQAKLLGKGLPFKTALVVGGDPIARQLYRIQQGVELIIGTPGRLIDILTKQDIELSDVKIFGLDEVDCMLQRGFRDQVMQIFRALSQPQLLMYSATISQDVEKIASCMATDIVTVSIGKPNRPSKAVKQLAIWVESKQKKQKLFDILRSKHFRPPAVVYVGSRAGADLLSDAITATTGIKALSIHGTKSMKERREIMRMFLVGEVSVVVSTGILGRGIDLLAVRQVIVFDMPNSIKEYVHQIGRASRLGEEGNAIVFVNDEDKKLIPELVDILKSSGAVIPRELGNSRYNVVSLSSGKNFKKRKYGG >KJB70722 pep chromosome:Graimondii2_0_v6:11:9302188:9305965:1 gene:B456_011G088700 transcript:KJB70722 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 41 [Source:Projected from Arabidopsis thaliana (AT3G02065) UniProtKB/Swiss-Prot;Acc:Q3EBD3] MPTPVQMQVIPAALDGRSLLVSADTGSGKTASFLIPIISLCAKLYPNNNSNLRKPLAVVLTPTRELGIQVEDQAKLLGKGLPFKTALVVGGDPIARQLYRIQQGVELIIGTPGRLIDILTKQDIELSDVKIFGLDEVDCMLQRGFRDQVMQIFRALSQPQLLMYSATISQDVEKIASCMATDIVTVSIGKPNRPSKAVKQLAIWVESKQKKQKLFDILRSKHFRPPAVVYVGSRAGADLLSDAITATTGIKALSIHGTKSMKERREIMRMFLVGEVSVVVSTGILGRGIDLLAVRQVIVFDMPNSIKEYVHQIGRASRLGEEGNAIVFVNDEDKKLIPELVDILKSSGAVIPRELGNSRYNVVSLSSGKNFKKRKYGG >KJB70720 pep chromosome:Graimondii2_0_v6:11:9302188:9305959:1 gene:B456_011G088700 transcript:KJB70720 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 41 [Source:Projected from Arabidopsis thaliana (AT3G02065) UniProtKB/Swiss-Prot;Acc:Q3EBD3] MEGGNGNDPCESSVLQKDIYQGIDDDVKRNSKDQRDALGDEPKCVICGRYGEYVCGETDDDICSLECKQTLLLRVANSLPVCSSSTQRLPATDECFYVRDSVDRSGPPSLTCDQAELLRNKLEIHVKGGVIPAPVLAFSSCGLPQKLLQNIETAGYVMPTPVQMQVIPAALDGRSLLVSADTGSGKTASFLIPIISLCAKLYPNNNSNLRKPLAVVLTPTRELGIQVEDQAKLLGKGLPFKTALVVGGDPIARQLYRIQQGVELIIGTPGRLIDILTKQDIELSDVKIFGLDEVDCMLQRGFRDQVMQIFRALSQPQLLMYSATISQDVEKIASCMATDIVTVSIGKPNRPSKAVKQLAIWVESKQKKQKLFDILRSKHFRPPAVVYVGSRAGADLLSDAITATTGIKALSIHGTKSMKERREIMRMFLVGEVSVVVSTGILGRGIDLLAVRQVIVFDMPNSIKEYVHQIGRASRLGEEGNAIVFVNDEDKKLIPELVDILKSSGAVIPRELGNSRYNVVSLSSGKNFKKRKYGG >KJB70721 pep chromosome:Graimondii2_0_v6:11:9302151:9305999:1 gene:B456_011G088700 transcript:KJB70721 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 41 [Source:Projected from Arabidopsis thaliana (AT3G02065) UniProtKB/Swiss-Prot;Acc:Q3EBD3] MEGGNGNDPCESSVLQKDIYQGIDDDVKRNSKDQRDALGDEPKCVICGRYGEYVCGETDDDICSLECKQTLLLRVANSLPVCSSSTQRLPATDECFYVRDSVDRSGPPSLTCDQAELLRNKLEIHVKGGVIPAPVLAFSSCGLPQKLLQNIETAGYVMPTPVQMQVIPAALDGRSLLVSADTGSGKTASFLIPIISLCAKLYPNNNSNLRKPLAVVLTPTRELGIQVEDQAKLLGKGLPFKTALVVGGDPIARQLYRIQQGVELIIGTPGRLIDILTKQDIELSDVKIFGLDEVDCMLQRGFRDQVMQIFRALSQPQLLMYSATISQDVEKIASCMATDIVTVSIGKPNRPSKAVKQLAIWVESKQKKQKLFDILRSKHFRPPAVVYVGSRAGADLLSDAITATTGIKALSIHGTKSMKERREIMRMFLVGEVSVVVSTGILGRGIDLLAVRQVIVFDMPNSIKEYVHQIGRASRLGEEGNAIVFVNDEDKKLIPELVDILKSSGAVIPRELGNSRYNVVSLSSGKNFKKRKYGG >KJB72539 pep chromosome:Graimondii2_0_v6:11:43631416:43636452:-1 gene:B456_011G183800 transcript:KJB72539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFGDFFYIMDQDPSPATQTPRGDHRSENRKMDFPVTGPELASSVWDWNDLLELTSDDHLPISFDDYGFSPPPLEALAGDPNTVPAPDRVRKRDPRMTCSNFIAGRVPCACPEIDAQVEKLEEEEAGAPGKKRARTGRVGSGTCRCQVPGCEVDISELKGYHRRHRICLRCANSSTVLIDGETKRYCQQCGKFHLLSNFDEGKRSCRRKLERHNIRRRRKIVGSKTAVNKESQGAIQSDGIACDGEAGKDDLSGLIAEEEPAFESEEALVSAHCSAPLLQDIRNDSVITLIDTETDGGKDDSKFSNSTSYCDSKTAYSSMCPTGRISFKLYDWNPAEFPRRLRHQIFEWLANMPVELEGYIRPGCTILTVFISMPNNMWAKLSENPMTYMHDFVFRPGRMLYGRGFMTIYLNNMIFRTRKDGTSMVKIDAEVQVPRLHFVYPACFEAGKPMEFVACGSNLLQPKFRFLVSFAGRYLPCYYRVASSLVQSKDDSPSCDHQLYKIYIPQTEPDLFGPAFIEVENQSGLSNFIPVLIGDKEVCSEMKSIQQRFDASVFQERSIFSTTGSLVEACEMSTSQHRAYSEFLLDIAWLLREPKLENFKETMASSQILRFNRLLSFLIQNESTIILKKILQNLKIVVEMIGFDGTNDSGVRLLQKYMNHARDILSDELQKGEIPVFRSEYIEQERNQVSQSSFDNNELPIVPKISQVRTQF >KJB72540 pep chromosome:Graimondii2_0_v6:11:43629594:43636594:-1 gene:B456_011G183800 transcript:KJB72540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFGDFFYIMDQDPSPATQTPRGDHRSENRKMDFPVTGPELASSVWDWNDLLELTSDDHLPISFDDYGFSPPPLEALAGDPNTVPAPDRVRKRDPRMTCSNFIAGRVPCACPEIDAQVEKLEEEEAGAPGKKRARTGRVGSGTCRCQVPGCEVDISELKGYHRRHRICLRCANSSTVLIDGETKRYCQQCGKFHLLSNFDEGKRSCRRKLERHNIRRRRKIVGSKTAVNKESQGAIQSDGIACDGEAGKDDLSGLIAEEEPAFESEEALVSAHCSAPLLQDIRNDSVITLIDTETDGGKDDSKFSNSTSYCDSKTAYSSMCPTGRISFKLYDWNPAEFPRRLRHQIFEWLANMPVELEGYIRPGCTILTVFISMPNNMWAKLSENPMTYMHDFVFRPGRMLYGRGFMTIYLNNMIFRTRKDGTSMVKIDAEVQVPRLHFVYPACFEAGKPMEFVACGSNLLQPKFRFLVSFAGRYLPCYYRVASSLVQSKDDSPSCDHQLYKIYIPQTEPDLFGPAFIEVENQSGLSNFIPVLIGDKEVCSEMKSIQQRFDASVFQERSIFSTTGSLVEACEMSTSQHRAYSEFLLDIAWLLREPKLENFKETMASSQILRFNRLLSFLIQNESTIILKKILQNLKIVVEMIGFDGTNDSGVRLLQKYMNHARDILSDELQKGEIPVFRSEYIEQERNQVSQSSFDNNELPIVPKISQVLEERTSKLQTIMAAPTPSETVPLLNKEIIMNVNLSKDYSRKSCGFATMRLRSRPAVLIIAATAICLGMCAVIFHPNRVGEFAVTMRRCLFDRV >KJB70926 pep chromosome:Graimondii2_0_v6:11:10561595:10564039:1 gene:B456_011G095900 transcript:KJB70926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGRLFFNPSTTHRNMLLLGNTEPIFRGARTMVSMEENPKKRLFFSSPEDLYDEEYYDEQLPEKKRRLTSEQVYLLEKSFEAENKLEPERKSQLAKKLGLQPRQVAVWFQNRRARWKTKQLERDYDLLKSSFDSLQSNYDTILKENEKLKSEVASLTEKLQAKDVATEAIAGEKDEGLAAEMASALQFSMKVEDRLSSGSVGSAVVDEDAPQLVDSGNSYFPSDEYSRGIGPFDGVQSEDEDGSDNCGSYFSDVFATTEQEALGLWAWS >KJB70925 pep chromosome:Graimondii2_0_v6:11:10561595:10564039:1 gene:B456_011G095900 transcript:KJB70925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGRLFFNPSTTHRNMLLLGNTEPIFRGARTMVSMEENPKKRLFFSSPEDLYDEEYYDEQLPEKKRRLTSEQVYLLEKSFEAENKLEPERKSQLAKKLGLQPRQVAVWFQNRRARWKTKQLERDYDLLKSSFDSLQSNYDTILKENEKLKSEVASLTEKLQAKDVATEAIAGEKDEGLAAEMASALQFSMKVEDRLSSGSVGSAVVDEDAPQLVDSGNSYFPSDEYSRGIGPFDGVQSEDEDGSDNCGSYFSDVFATTEQEALGLWAWS >KJB70292 pep chromosome:Graimondii2_0_v6:11:5862968:5864230:1 gene:B456_011G067200 transcript:KJB70292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVLPFFLSCLQDLVLCSFPMDLIIKIKSFEIYFCFCASSFGYLILILLFFPADSVFLGIRLLNRPQPIFSRFVLFFFLLFIWENK >KJB69795 pep chromosome:Graimondii2_0_v6:11:3164452:3165849:-1 gene:B456_011G042700 transcript:KJB69795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSHMLSSFITILVLHCLLLSTFVSCSSHGEITGSTNHKNMKGNAHKQLSSQMISYVAVHGLLLWGSMGFLLPVGILTVRMANKEEAGRRVKVLFYLHAILQILAVLLATVGAVMSIKNFENSFSNHHQRLGLALYAAIWMQTFIGFFRPPRGTKRRNTWYLTHWIIGTVTSMMGIINIYTGLKAYHKKTSRNTGIWTILFTAEIIFIAFFYLFQDKWEYIQKQGLILGNDNNNPQQQPAPASDQDQEINVSTQRDNQKACTAKRNALRNLFD >KJB69794 pep chromosome:Graimondii2_0_v6:11:3164092:3166249:-1 gene:B456_011G042700 transcript:KJB69794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSHMLSSFITILVLHCLLLSTFVSCSSHGEITGSTNHKNMKGNAHKLSSQMISYVAVHGLLLWGSMGFLLPVGILTVRMANKEEAGRRVKVLFYLHAILQILAVLLATVGAVMSIKNFENSFSNHHQRLGLALYAAIWMQTFIGFFRPPRGTKRRNTWYLTHWIIGTVTSMMGIINIYTGLKAYHKKTSRNTGIWTILFTAEIIFIAFFYLFQDKWEYIQKQGLILGNDNNNPQQQPAPASDQDQEINVSTQRDNQKACTAKRNALRNLFD >KJB72085 pep chromosome:Graimondii2_0_v6:11:27992497:27996281:-1 gene:B456_011G158100 transcript:KJB72085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICNATTEREAAFLSQDLFDKAMRGQWTEVVEIYKGHEKSRVAALTASRDTALHLAVSGGRAKEVEQMVGSLGEKVSEILRLKNDKGNTALHTAAELGDAYMCKRIASKVPDLITNCNEQNATPLFLAALFGHQEAFLCLHFLCEGNPLDAGSRKPNGDTFLHVALQGEFFGLAFQIVRIYPELAKDRNEDGFTPLHILATKPNAFKSSCRLGLFDRIIYWCITVKEVKEIKNDPEAYLKRFDGSKEPWYPENYGTCAHFFHMLFGVVFYGLPSLQNIRSTKVSGFQADEEKGRNNNHEDKGESKDKEEEKYNLKQRYVPPNYASIILSLKFFLNLLLIVLGHGFHRIKKIIIKKERHTVALQVMNELVKTASPYKFSERETTDIHFDPRITGEAQGVEAETDKKTGCSNKEGTVTVAPMESFLKEYRIIGSVDSKSSKYSVKMAFEKNVILKQKETETPFLIAAKMGITEMVESILDKFPVSIQDLDADGKNVLLLAVENRQTHTFQFLINRETPLHESIFRKWDHQGNNALHLAAKYGEYRPWLIPGSALQMQWELKWYKFVKRSISKHLPIHYKYNKKNQTPKQIFTETHKLLVKDGSAWLTKTSESCSLVAALIATVAFATSATIPGGVKETSGTPVLEDEPAFSVFCIASLIALCFSVTALVFFLAILTSRFEEKDFACKLPWRLVIGLTALFTSITAILVSFCAGHFFELKDRLKFAALPIYIVTCLPVSFFALAQLPLYFDLLRAIIKDIPQRSYKEFTQ >KJB72084 pep chromosome:Graimondii2_0_v6:11:27992346:27996334:-1 gene:B456_011G158100 transcript:KJB72084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICNATTEREAAFLSQDLFDKAMRGQWTEVVEIYKGHEKSRVAALTASRDTALHLAVSGGRAKEVEQMVGSLGEKVSEILRLKNDKGNTALHTAAELGDAYMCKRIASKVPDLITNCNEQNATPLFLAALFGHQEAFLCLHFLCEGNPLDAGSRKPNGDTFLHVALQGEFFGLAFQIVRIYPELAKDRNEDGFTPLHILATKPNAFKSSCRLGLFDRIIYWCITVKEVKEIKNDPEAYLKRFDGSKEPWYPENYGTCAHFFHMLFGVVFYGLPSLQNIRSTKVSGFQADEEKGRNNNHDKGESKDKEEEKYNLKQRYVPPNYASIILSLKFFLNLLLIVLGHGFHRIKKIIIKKERHTVALQVMNELVKTASPYKFSERETTDIHFDPRITGEAQGVEAETDKKTGCSNKEGTVTVAPMESFLKEYRIIGSVDSKSSKYSVKMAFEKNVILKQKETETPFLIAAKMGITEMVESILDKFPVSIQDLDADGKNVLLLAVENRQTHTFQFLINRETPLHESIFRKWDHQGNNALHLAAKYGEYRPWLIPGSALQMQWELKWYKFVKRSISKHLPIHYKYNKKNQTPKQIFTETHKLLVKDGSAWLTKTSESCSLVAALIATVAFATSATIPGGVKETSGTPVLEDEPAFSVFCIASLIALCFSVTALVFFLAILTSRFEEKDFACKLPWRLVIGLTALFTSITAILVSFCAGHFFELKDRLKFAALPIYIVTCLPVSFFALAQLPLYFDLLRAIIKDIPQRSYKEFTQ >KJB72485 pep chromosome:Graimondii2_0_v6:11:42463660:42465610:1 gene:B456_011G180800 transcript:KJB72485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCSADLAALLGPNATAIAAADYICNKFSDASFAVDNTYLLFSAYLNFFMQLGFAMLCAGSVRSKNAISVMLTNVLDAAIAGLFYYLFGFAFAFGSPSNGGFIGRHNFGLESIPSSSFDYSNFLYHWAFAVSAAGIASGSMAERTQFVAYLIYSSFLTGFVYPVVSHWFWATDGWATAFRVDNGFLFGSGVIDFAGSGVIHMVGGVAGLWGALIEGPRIGRFDSSGRSVALRDHNATLVVLGTFILWFGWYGFNPGSFNKISGFYTSGNHYGQWSAVGRTAVTTTLAGCTSALTTLFGKWILTSRWDVTDFCSGLLGGFAAITAGCSVVEPWAAIICGFVAALVLISCNKLAEKVKYDDPLGAAQLHGGCGAWGVIFTALFASEKYVREVYPSRPVRYGLFMGGGGRLLAAHIIQILVIVGWVSATMGTLFYFLHKFGHLRVSADDEMADMDLTRQGRLAYVDHDEDESQKQGFK >KJB73216 pep chromosome:Graimondii2_0_v6:11:53261596:53263639:1 gene:B456_011G223000 transcript:KJB73216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKELLPVSTKRRRNEFTRESAEFLVRHVRRRRSIRLCLIASEIRAKNPDAPSLLDRMLRLLACHGLVTCVSRKLDAGAGNGEDGERVYGVTLAGKAFVNDEHNGSLAAFTSNKVDIEVWLRFKDLVLEGGNLFEKVHGMPAYQYKSLNPENAKRHDTAMTNLSKIIMKKILERYNGFQGVTTLVDVGGGYGVTLNMVISKYPSIKGINYELPHVVQQAPSFPDIEHVGGDMFSTVPKADTIMMKEVLHNWDDEHCLKLLKNCYEALEEKGKVIVISYMMFEEAEGSNAAKFLYQMDLYMATKFVAKQRTEKQFKSMAMDAGFSSFQLKCLVFNVVAVMELHK >KJB73218 pep chromosome:Graimondii2_0_v6:11:53261668:53263464:1 gene:B456_011G223000 transcript:KJB73218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEEAFGYAWYLRSSHMFSYVLDAAVQLGVFDILTKAGPDVKLSSNQIASEIRAKNPDAPSLLDRMLRLLACHGLVTCVSRKLDAGAGNGEDGERVYGVTLAGKAFVNDEHNGSLAAFTSNKVDIEVWLRFKDLVLEGGNLFEKVHGMPAYQYKSLNPENAKRHDTAMTNLSKIIMKKILERYNGFQGVTTLVDVGGGYGVTLNMVISKYPSIKGINYELPHVVQQAPSFPDIEHVGGDMFSTVPKADTIMMKEVLHNWDDEHCLKLLKNCYEALEEKGKVIVISYMMFEEAEGSNAAKFLYQMDLYMATKFVAKQRTEKQFKSMAMDAGFSSFQLKCLVFNVVAVMELHK >KJB73217 pep chromosome:Graimondii2_0_v6:11:53261639:53263464:1 gene:B456_011G223000 transcript:KJB73217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPDVKLSSNQIASEIRAKNPDAPSLLDRMLRLLACHGLVTCVSRKLDAGAGNGEDGERVYGVTLAGKAFVNDEHNGSLAAFTSNKVDIEVWLRFKDLVLEGGNLFEKVHGMPAYQYKSLNPENAKRHDTAMTNLSKIIMKKILERYNGFQGVTTLVDVGGGYGVTLNMVISKYPSIKGINYELPHVVQQAPSFPDIEHVGGDMFSTVPKADTIMMKEVLHNWDDEHCLKLLKNCYEALEEKGKVIVISYMMFEEAEGSNAAKFLYQMDLYMATKFVAKQRTEKQFKSMAMDAGFSSFQLKCLVFNVVAVMELHK >KJB71591 pep chromosome:Graimondii2_0_v6:11:19880989:19881126:-1 gene:B456_011G1315002 transcript:KJB71591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ENKICYLRSPPLPNTGRQDLLSLIPSAAKYKKTRSAIFDPLRCQL >KJB74021 pep chromosome:Graimondii2_0_v6:11:59978588:59982056:-1 gene:B456_011G267800 transcript:KJB74021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWWAGNVAMRGGIGSIPSSTPSLHLRNPSEDHQMAVFRANIRPSNEDHHHQQEDNNEDSRDNVEPDDQTQGVETIEPGSSSGSRRPRGRPPGSKNKPKPPVVITKESPNSLRSHVLEIASGSDIAECIANFAQRRHCGVSVLSGSGVVINVTLRQPAAPSGVITLHGRFEILSLSGVFLPTPSPPGATGITVYLAGGQGQVVGGSVVGVLEASGPVMVIAATFTNAVYEKLPIEEETSGEGGGGGGGSEQNNNNSSNDGGDGNSGSQSQDQHHQGGSMPMYNLPPNLMHNGQMPHDLFWGPPPRPPPSY >KJB74020 pep chromosome:Graimondii2_0_v6:11:59978412:59982191:-1 gene:B456_011G267800 transcript:KJB74020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGIGSIPSSTPSLHLRNPSEDHQMAVFRANIRPSNEDHHHQQEDNNEDSRDNVEPDDQTQGVETIEPGSSSGSRRPRGRPPGSKNKPKPPVVITKESPNSLRSHVLEIASGSDIAECIANFAQRRHCGVSVLSGSGVVINVTLRQPAAPSGVITLHGRFEILSLSGVFLPTPSPPGATGITVYLAGGQGQVVGGSVVGVLEASGPVMVIAATFTNAVYEKLPIEEETSGEGGGGGGGSEQNNNNSSNDGGDGNSGSQSQDQHHQGGSMPMYNLPPNLMHNGQMPHDLFWGPPPRPPPSY >KJB74273 pep chromosome:Graimondii2_0_v6:11:61688565:61692697:-1 gene:B456_011G284400 transcript:KJB74273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFSKTPTGLVVRGNDTDQQALLQFKAKITDDQLRVMESWNSSIHFCQWHGVTCGHKHQRVNKLKLQFLKLSGSLSPFIGNLSFLKELNLSGNSFHNQIPQEVGHLRRLEILDLTSNSISGEIPPNLSSCSKLKIVRMGSNQLTGEIPSFLGFLSNLKVLSFYNNSLRGRIPPSLGNLSSLEKLALSYNALDGIIPETLAQLTNLSSFLAAANAISGTVPVAMFNLSNIRFFDIGVNKIQGTLYTDLAITMPYVEFFSVRGNKISGQIPVSITNASNLNVLQFNDNRLGGKVPSLEKLDKLSTLQLSVNRLGHGREGDLNFLCTLVNNTKLEFLYISDNNFGGVFPKCISNLSNTLIRLGIHQNKITGRIPDGIRNLINLEELFASENQLSGPIPFDIGRLQKLQSFFAHSNFLSGTIPHSIGNLTLLKKLGLDFNNLHGNIPLSLGNCQSLLGLSVSYNNLSGPIPPQLLGVSSMSIILDLSSNYLTGEIPVAVENLKNLGELYVSQNRLSGLLPENLGSCVSLEKLFLDGNFFEGPIPSSLSSLRGLEALDVSNNNLSGEIPEFLVRFGALRYLNLSFNNFEGVIPSLGVFKNGSATFVEGNSKLCGGVPELHLLRCNSKTSSSNSLRLKVAIIVVTLGVTLVFTCLLILWFRKKKEQSTTTCVESSLLQLSYQSIVRATDGFSTQNLVGSGSFGSVYKGVLEASGAVIAIKVLNLLNRGASRSFLAECEALKNIRHRNLVKVLTAISGVNYQGNDFKALVYEFMENGSLEDWLHPLIGMHEPETARNLNFFQRVSVAIDVAHALEYLHYHCEEPIIHCDLKPSNILLDEEMVGHISDFGLAKILSTDRLNYSANKSSSLGLRGTIGYTPPEYGMGSELSIKGDVYSYGILLLEMFTGKRPTDERFKEGLSLHNFVKVAFPERVIEILDPILVQEKVKQGTLNGKFLGDDRHFQCLISIFEIGLTCSAELPSERMHMSDVVTKLSFVRDKLCPTRLRRELLLNQQVSEVP >KJB70996 pep chromosome:Graimondii2_0_v6:11:11078699:11083493:1 gene:B456_011G099700 transcript:KJB70996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCQQVESAMAMLKPCGDVRDKGNSLNRDQCQEVPDLDDSQKLVGKSDVAVNGVKVVEMSVGKRRRGRPPRNQATAVSSSAPPPQRNNNDEEDVCFICFDGGSLVLCDRRGCPKAYHPTCIKRDEAFFNSKAKWYCGWHICSTCQKASYYMCYMCTYSLCKDCTKDADYVNVRGNKGFCGLCMRTIMLIENTALGTKEMVQVDFDDQTSWEYLFKVYWTLLKEKLSLSLDEIIKAKNPWKEIATMERPFEDLGASYSKRRKTMKQQKLLNKVESPDGTIGAGEKGHTKEYPSLLGRKEKELASPLKGGDVLSDIGSRETSIAVHSTEMELSVNKIETDKIWHYQDPLGKIQGPFPMAMLRRWSMSGHFPPGLRIWRANERQEDSTLLTDALDGRYSQVQQLLHNSCVPTEDERDGDIRESWDLNVDQVESKQVEGCSNSMQNNANGHCCGNNESAKSKELGSQSSSCTTPVHTVTSSTVQTGSPVPHWEPLKGDNYFPGQPRVSSSRPSSTLSAKLCETQSLQVIRGHGVEKWDRGSININENLNETSEVQIIAGNVKQEDSEGKSGKSCGQSWRSPLNDALNGWDSNCGLISLARVLEAAKHNHDIDFPDFPTSTSKLNHKDSEPQATKSKQSLSSSAHHQDSGPSWSTASSLVGNGPQLSEAAGEWGGYSSTPAKPSVEEWDSDLVPNSSLKQTNLGSDHAATPTSGTGQRAHSSPTDPAENASGWDSIVPEPNEYSFGDESVSDLLAEVEAMESLNGLASPTSSLRYDRELVHGAEPGCFCPVGGFSPAPDPGKNDALSSTNDLGMPSASTLTNEPIGVSWSEVLDARKSSGWHSSTSAEMDEDKWPSDASVNRYEAGSDMQVPAPLATWCMAAVDTTWRAG >KJB73707 pep chromosome:Graimondii2_0_v6:11:57178426:57182113:1 gene:B456_011G245400 transcript:KJB73707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLENGTVRYEDEYVTNSRGLKLFTCRWLPVNEEPKALIFICHGYAMECSITMNSTAIRLVKAGYAVYGIDYEGHGKSSGLQGYISSFSHVVDDCSDYFTDICEKKENKKKMRILLGESMGGAVLLLVHRKKPEYWDGAVLVAPMCKIADEMKPHPLVISVLQKVNKFIPTWRIVPGQDIIDAAFRQPEIRAQVRANPYCYKGRLRLNTANELLNTSLEVEQRLHEVSLPFLVLHGGEDKVTDKAVSQQLYNDAASSDKSFKLYPGMWHGLLYGELPENIEIVFADIIGWLNQRSEFGNSRLERELKLQDDEILILKHK >KJB72536 pep chromosome:Graimondii2_0_v6:11:43602821:43606347:-1 gene:B456_011G183500 transcript:KJB72536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEINRLNDLEKPLITEEQNIVHKSDEYDSYKSSDENGSIFMVLLSTFVAVFGAFEFGTCVGYSAPTQAAIREDLGLSLAEFAMFGSILTIGAMFGAVTSGRIADTIGRKGAMRLSAVFCIAGWLAVYFSKSGVSLDLGRFATGYGIGIFSYVVPIFIAEIAPKNLRGGLTTLNQLLIVIGQSTAFLIGTVISWRELALAGLLPCIVLFVGLCFIPESPRWLAKVGDQKEFHAALRKLRGKDADITEEAEEIQEYIETLQSLPKTTIWDLFQKQYINSVIIGVALMVFQQFGGINGVSFYASQTFALAGLSSAKAGTVAYACVQVPITFLGAMLMDKSGRRPLIMVSSAGTFLGCFLAGTSFYFKEHDLLPEWRPILAVAGVLIYVGSFSIGLGAAPWVIMSEIFPINVKGVAGSLVVLVNWLGAWAVSYTFNFMMTWSSYGTFYIYSGVSILTILFVVKFVPETKGKTLEEIQACINSHR >KJB72535 pep chromosome:Graimondii2_0_v6:11:43602659:43606383:-1 gene:B456_011G183500 transcript:KJB72535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEINRLNDLEKPLITEEQNIVHKSDEYDSYKSSDENGSIFMVLLSTFVAVFGAFEFGTCVGYSAPTQAAIREDLGLSLAEFAMFGSILTIGAMFGAVTSGRIADTIGRKGAMRLSAVFCIAGWLAVYFSKSGVSLDLGRFATGYGIGIFSYVVPIFIAEIAPKNLRGGLTTLNQLLIVIGQSTAFLIGTVISWRELALAGLLPCIVLFVGLCFIPESPRWLAKVGDQKEFHAALRKLRGKDADITEEAEEIQEYIETLQSLPKTTIWDLFQKQYINSVIIGVALMVFQQFGGINGVSFYASQTFALAGLSSAKAGTVAYACVQVPITFLGAMLMDKSGRRPLIMVSSAGTFLGCFLAGTSFYFKEHDLLPEWRPILAVAGVLIFPINVKGVAGSLVVLVNWLGAWAVSYTFNFMMTWSSYGTFYIYSGVSILTILFVVKFVPETKGKTLEEIQACINSHR >KJB72537 pep chromosome:Graimondii2_0_v6:11:43602906:43606184:-1 gene:B456_011G183500 transcript:KJB72537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEINRLNDLEKPLITEEQNIVHKSDEYDSYKSSDENGSIFMVLLSTFVAVFGAFEFGTCVGYSAPTQAAIREDLGLSLAEFAMFGSILTIGAMFGAVTSGRIADTIGRKGAMRLSAVFCIAGWLAVYFSKSGVSLDLGRFATGYGIGIFSYVVPIFIAEIAPKNLRGGLTTLNQLLIVIGQSTAFLIGTVISWRELALAGLLPCIVLFVGLCFIPESPRWLAKVGDQKEFHAALRKLRGKDADITEEAEEIQEYIETLQSLPKTTIWDLFQKQYINSVIIGVALMVFQQFGGINGVSFYASQTFALAGLSSAKAGTVAYACVQVPITFLGAMLMDKSGRRPLIMVSSAGTFLGCFLAGTSFYFKEHDLLPEWRPILAVAGVLIYVGSFSIGLGAAPWVIMSETDFPNKCKRSSRQLGGASELVRCLGSFLHIQLHDDLEFLWHILYLLGSLYTNNPIRSEVCPRN >KJB69869 pep chromosome:Graimondii2_0_v6:11:3659807:3660883:1 gene:B456_011G047400 transcript:KJB69869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIINIGALSKKIGDREQKVLKNKKRHQLQYIIYLINPTSIYIETAIAYSCYQMGFLLQKILPQHKPKKGKKKTESIHGLHQMYVHDNYMTARGSSLLRNK >KJB69816 pep chromosome:Graimondii2_0_v6:11:3290186:3290515:-1 gene:B456_011G043900 transcript:KJB69816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLELYTTIGLSYIASALGIPLYMDSITAGQQRLSYVKVYVKMAANLVLPRSIDVELRDNFIATTSIGFPWIPQRCSECCIFGHEDKGCIKQVEEPVKKWVPNVSNNDG >KJB68717 pep chromosome:Graimondii2_0_v6:11:53137400:53139244:1 gene:B456_011G2226002 transcript:KJB68717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPPSTPSLDSQQIQQFLSSVLSQRGPSSLPYTEDSKWLIRQHLLSLTSRYPSLQPKTATFTHNDGRSVNLLQADGTIPMPYQGVTYNIPIIIWLIESYPRYPPVVYVNPTRDMIIKRPHPHVSPSGLVSIPYLQNWIYPSSNLVDLVVNLGSAFSHDPPLYSQRRSNPNPNPPNYGQQQQPPPPRVAAAGYPPSPYGRAQPSQTEDAAEVYRRNAVNKLVEMVHGDIIKMRKEREAEMEGLFSAQGVLRKREEEVNKGLKEMQDEKEALEQQLQVVLMSTDVLAGWVRENEGKIKNLGNNNDNVDVDEVFHCADVLSKQMLDCTAADLAIEDVVYSLDKALQEGAVPFDQYLRNVRLLSREQFFHKATAAKVRAAQMQAQVASMAARAPHSNYAP >KJB73292 pep chromosome:Graimondii2_0_v6:11:55155922:55158150:-1 gene:B456_011G233600 transcript:KJB73292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQVEVPRLSPSEQLQSLEIQKRKKKKEKKRTSKMSTYMSRLFPKSNSSMLLCSGQAIKAEVLRLREQTLLVDAGIGSPRICTQDETTLTGSAINRFEDKVGFFDKGVGGGESLVRKRFLERFFVDLVSGESIAKERAAVRFNDLLGSRSLDVVAGEPTLLNPRRFRQTLVWQELNKHWQNNSKVKGFFIDKVRGGYSVAIAGYVAFLPYSRFGRKMGRDSYKDGFTIERIDPKKRSLVVF >KJB69196 pep chromosome:Graimondii2_0_v6:11:751237:753485:-1 gene:B456_011G010400 transcript:KJB69196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLQALWNHPAGPKTIHFWAPTFKWGISIANVADFTKPPEKLSYPQQIAVTCTGVIWSRYSTVITPVKKLEPLQCKHCYGWNRVISTSTKNTA >KJB69197 pep chromosome:Graimondii2_0_v6:11:750348:753609:-1 gene:B456_011G010400 transcript:KJB69197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLQALWNHPAGPKTIHFWAPTFKWGISIANVADFTKPPEKLSYPQQIAVTCTGVIWSRYSTVITPKNWNLFSVNIVMAGTGLYQLARKIQHDFFSEAETEPAIAKE >KJB71015 pep chromosome:Graimondii2_0_v6:11:11218571:11221552:-1 gene:B456_011G100600 transcript:KJB71015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVEPPNGVKPKGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSAINRETNEKVAIKKINNVFENRVDALRTLRELKLLRHIRHENVIALKDVMMPIQRIGFKDVYLVYELMDTDLHQIIKSPQPLSNDHCKYFIFQLLRGLKYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTSRGNEQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIINVLGSQQEADIQFIDNPKARRYIKSLPYSRGTHFSLLYPQADPLAIDLLQRMLVFDPSKRITVTEALLHPYLLGLYDPRCNPPAQVPIDLEIDENMGESMIREMMWSEMLHYHPEAVSANA >KJB71014 pep chromosome:Graimondii2_0_v6:11:11218571:11221939:-1 gene:B456_011G100600 transcript:KJB71014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVEPPNGVKPKGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSAINRETNEKVAIKKINNVFENRVDALRTLRELKLLRHIRHENVIALKDVMMPIQRIGFKDVYLVYELMDTDLHQIIKSPQPLSNDHCKYFIFQLLRGLKYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTSRGNEQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIINVLGSQQEADIQFIDNPKARRYIKSLPYSRGTHFSLLYPQADPLAIDLLQRMLVFDPSKRITVTEALLHPYLLGLYDPRCNPPAQVPIDLEIDENMGESMIREMMWSEMLHYHPEAVSANA >KJB73050 pep chromosome:Graimondii2_0_v6:11:51120757:51122877:1 gene:B456_011G212000 transcript:KJB73050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLDGLFSSPFICFINTTLTLLLNTKEGRPMLPKKKNQSKSHHQHDTGNLSAGEDDVTCCPATTKKGGGGFMNMLCKALGCCGLLSACYDPRTPH >KJB73911 pep chromosome:Graimondii2_0_v6:11:59369171:59381262:1 gene:B456_011G263000 transcript:KJB73911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVIAIVGSIVAKAVEYTISPIKNHVKYLSNHQQYVETLKNRANRLKDARDGVQHSVDAAKRNGEEIEGDVDKWLSAVDKMILEQVEKVMQDEEKAKKKCFIGLCPNFRTRYKLSLKAEEEAKAVAELLEHVKFERVSYRAAPQGIVVAPVKGYEEFESRMSILNGIMEALKDDRVSVVGVHGMGGIGKTTLVKEIARKVKGKLFDSVVIATVTQAIDIEKIQNQIADFLGLKFEEQLMVGKAFRLRERLMEKRILVVLDDIWEKLDIEEVGIPLGDEHKGCKLLLTSRELNVLLNGMDAQKNFPIGVLNEKEAWDLFKKMAGDCVESCDLKPIAMEVAKKCAGLPIAIATVAGALRNKRLFEWKNALRELERPSSSNFTGINAAYSAIEWSFNYLESEEVKLTFLLCSVIGHNGLVEDLVRYTLGLGLFDGVYTMEEARNKILTVVANLKASALLLDSYNDERFDIHDVVWDAALAIALKDYRMLVLRDHVPKEWSDKEKINSWSLVSLRCPQIIANLPKEMECSGLSFFHMAGAVKIPPNFFKQTKGLKVLDLFRMQFSSLPKSIIHLTDLRMLCLKESAVDDITIIGELKNLEILDLSQSAIKELPKEMAQLTQLRLLDLSRCRQLEIISPNVLSSLSELKELYMGGSFVEWEKEGVAENEKKNASLDELNNLPCLSTLYHRFVETLDKYVICVGDYNRFVWYQSHECLRTLRLKLCTNIRLDNGLKMLLIKTEALYLEGLEGVKNVLVELNNGKDLPHLKRLHIENGMHVQNITMNEIGVSELCSITLENLPQLISFCSQDERCSIISEPLPLFNKQTCHWVTNLRSLIIKGCGKLEHLLSPSLARSLVQLQCFEIEDCYCLRDIILTGEIEEERKDVICFPRLNSLEIVGLPNLIFFNSGNHNIEFPLLKELKIERCPKLIEFISQNSNQSGMHALFSEKVAFPRLEKMTINKLRNVKMMFHNDFAPGSFQNLRKIRVERCGSLKNLFPVSIAQHLPQLEHLRITNCGVEEIVSKGEGVEEQPVRFEFPQVSSLEVTSLKELKCFYEGQHTIVWPLLKKLKTDCSALLKIVASEHLRLIQGNEQPVLLVEEVISNLEELELLNFGDMDQFPPALFQEIKVFEVRGGSRSSIFPFIRRFYNLDSFKLRDFDFKYVVPCKGDVGTLTPIRNLILDRAMNLNHIWRKDSEFDHILSNLQKLEVWECDDLINITVSSSSLQNLTTLNVSYCQMMTNLVTPLVVKNLVQLTRMRVTGCTKMTEIVGNGGDCHQTIVVSKLKCLELSYLQSLTSFCSRNYTFNFPCLEELVVEHCPRLKTFTEGVLSTQQLRRVKQSSYPEKWSWASDLNSTIQQLYTEKDGFYDGYDLDISDTIPKSIEKWIRNPQEILGFKNLVSLHFYKCSSLKYIFTSSMLLSLNQLQLIKVKECSSMEQVVREEAMTDKFTFLALFSIRIELCSNLTNFYLGSQALEFPELFRIAIVECPKMTTFSSSVSRGSGDASENVVGEGGIYDNPAIFFSNKVIIPRLRHLELSFVNIHEIWHHPSSPSVGFLNSLQVKRCHNLKYLFPSFLVKDLVQLRRLEILDCNMMEQVIFTDGLVEEHQGRNQMFFSELELLWLKDLPKLTSFCFENYFEFQCLTDLELTNCPLLKTLITKCVSEGEPEIGQHVQASNLEVHNSSLLNENVVFPSLEKLRIQNCDSLEQIIELQGVIADESQSTSAAQSIMAETETTKFVFPKLINLGLDKVPRLKSFYSRMHTTQWPSLKQMDIIECPKVQIFTPQCPESQVGISNQQPLFCVNEDTFPVLEELTLKTNDMVKGICDGQLSLQCFQNLKLLNLQFFPEASTTLPYSFIRSLPMLHKLVIDNASICQIVQSEGLSDDERHTSAFYQLKELSLCQLPELTLKTFEPSLLSFKNLTTLEVSRCHGFINLIACSTAKCLTLLERLSIDDCGMIEEIIACEAEEMQGGIVFPKLQYLQLSCLPCLASFSLAHHSLEFPVLLMVKVTKCSKMRNFCQGDLSTPRLEQMHLTRDEEGELQWEGDLNTTIKHMFDEMNVQNSEVTKVTDQLPKLE >KJB73910 pep chromosome:Graimondii2_0_v6:11:59370923:59379813:1 gene:B456_011G263000 transcript:KJB73910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVIAIVGSIVAKAVEYTISPIKNHVKYLSNHQQYVETLKNRANRLKDARDGVQHSVDAAKRNGEEIEGDVDKWLSAVDKMILEQVEKVMQDEEKAKKKCFIGLCPNFRTRYKLSLKAEEEAKAVAELLEHVKFERVSYRAAPQGIVVAPVKGYEEFESRMSILNGIMEALKDDRVSVVGVHGMGGIGKTTLVKEIARKVKGKLFDSVVIATVTQAIDIEKIQNQIADFLGLKFEEQLMVGKAFRLRERLMEKRILVVLDDIWEKLDIEEVGIPLGDEHKGCKLLLTSRELNVLLNGMDAQKNFPIGVLNEKEAWDLFKKMAGDCVESCDLKPIAMEVAKKCAGLPIAIATVAGALRNKRLFEWKNALRELERPSSSNFTGINAAYSAIEWSFNYLESEEVKLTFLLCSVIGHNGLVEDLVRYTLGLGLFDGVYTMEEARNKILTVVANLKASALLLDSYNDERFDIHDVVWDAALAIALKDYRMLVLRDHVPKEWSDKEKINSWSLVSLRCPQIIANLPKEMECSGLSFFHMAGAVKIPPNFFKQTKGLKVLDLFRMQFSSLPKSIIHLTDLRMLCLKESAVDDITIIGELKNLEILDLSQSAIKELPKEMAQLTQLRLLDLSRCRQLEIISPNVLSSLSELKELYMGGSFVEWEKEGVAENEKKNASLDELNNLPCLSTLYHRFVETLDKYVICVGDYNRFVWYQSHECLRTLRLKLCTNIRLDNGLKMLLIKTEALYLEGLEGVKNVLVELNNGKDLPHLKRLHIENGMHVQNITMNEIGVSELCSITLENLPQLISFCSQDERCSIISEPLPLFNKQTCHWVTNLRSLIIKGCGKLEHLLSPSLARSLVQLQCFEIEDCYCLRDIILTGEIEEERKDVICFPRLNSLEIVGLPNLIFFNSGNHNIEFPLLKELKIERCPKLIEFISQNSNQSGMHALFSEKVAFPRLEKMTINKLRNVKMMFHNDFAPGSFQNLRKIRVERCGSLKNLFPVSIAQHLPQLEHLRITNCGVEEIVSKGEGVEEQPVRFEFPQVSSLEVTSLKELKCFYEGQHTIVWPLLKKLKTDCSALLKIVASEHLRLIQGNEQPVLLVEEVISNLEELELLNFGDMDQFPPALFQEIKVFEVRGGSRSSIFPFIRRFYNLDSFKLRDFDFKYVVPCKGDVGTLTPIRNLILDRAMNLNHIWRKDSEFDHILSNLQKLEVWECDDLINITVSSSSLQNLTTLNVSYCQMMTNLVTPLVVKNLVQLTRMRVTGCTKMTEIVGNGGDCHQTIVVSKLKCLELSYLQSLTSFCSRNYTFNFPCLEELVVEHCPRLKTFTEGVLSTQQLRRVKQSSYPEKWSWASDLNSTIQQLYTEKDGFYDGYDLDISDTIPKSIEKWIRNPQEILGFKNLVSLHFYKCSSLKYIFTSSMLLSLNQLQLIKVKECSSMEQVVREEAMTDKFTFLALFSIRIELCSNLTNFYLGSQALEFPELFRIAIVECPKMTTFSSSVSRGSGDASENVVGEGGIYDNPAIFFSNKVIIPRLRHLELSFVNIHEIWHHPSSPSVGFLNSLQVKRCHNLKYLFPSFLVKDLVQLRRLEILDCNMMEQVIFTDGLVEEHQGRNQMFFSELELLWLKDLPKLTSFCFENYFEFQCLTDLELTNCPLLKTLITKCVSEGEPEIGQHVQASNLEVHNSSLLNENVVFPSLEKLRIQNCDSLEQIIELQGVIADESQSTSAAQSIMAETETTKFVFPKLINLGLDKVPRLKSFYSRMHTTQWPSLKQMDIIECPKVQIFTPQCPESQVGISNQQPLFCVNEDTFPVLEELTLKTNDMVKGICDGQLSLQCFQNLKLLNLQFFPEASTTLPYSFIRSLPMLHKLVIDNASICQIVQSEGLSDDERHTSAFYQLKELSLCQLPELTLKTFEPSLLSFKNLTTLEVSRCHGFINLIACSTAKCLTLLERLSIDDCGMIEEIIACEAEEMQGGIVFPKLQYLQLSCLPCLASFSLAHHSLEFPVLLMVKVTKCSKMRNFCQGDLSTPRLEQMHLTRDEEGELQWEGDLNTTIKHMFDEMVRII >KJB72440 pep chromosome:Graimondii2_0_v6:11:42035944:42040088:1 gene:B456_011G178700 transcript:KJB72440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDESLATVASKAPVTSERKILDNLDEKLPKPYLARALVAPDVEHPKGTEGRVNNGMSVLQQHVAFFDRDNDGIIYPWDTYNGLRDIGFGPVSSFILGVLINGTMSYPTLPGWIPNILLPIYIDRIHKAKHGSDSATFDTEGRFMPVNLENVFTKYARTEPGKLTFFEVLRLTEENRVPFDFLGWILAKAEWLVLYSLARDDDGFLSKEAARTCCEGSLFEQYAKMNKGDNKKRK >KJB72348 pep chromosome:Graimondii2_0_v6:11:39016518:39017260:1 gene:B456_011G173000 transcript:KJB72348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFLFESPNSISSSESSFGSPEFSSFNHNSLPFNENDSEEMLLYGLLTEVKTETSSSTEKGSPTKEKCYRGVRRRPWGKFAAEIRDSTRHGTRVWLGTFESAEAAALAYDQAAFAMRGSAAILNFPVERVRESLEEMKCNQEEGCSPVVALKRTHSMRRKMTSRSKKERDARINNAMVIEDLGADYLEQLLTQTASPY >KJB74067 pep chromosome:Graimondii2_0_v6:11:60168653:60171842:1 gene:B456_011G270000 transcript:KJB74067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSLAVERILDLLIHEAVFLKDVKDQVESLNAELKRMQCFLKDADRKLEQDALFQNRVSEIRDLAYDAEDVIDSFILKAAHQRGFHGIIKRFTSIFTKPCHLHKNGVQVKAIQTKLQNISKNLPAYEISGDGEGSSAIIKVQQRLRTYSHVEEEDVVSLEVSTKDVMTMLMTEEDRPHAVVSIVGMGGIGKTTLARKVYNHVEVRHHFDYLASVYISQQCKPREVVLSVLMEVLSPSKDERELIEKLDENELWKSLFDALKEKRYLVVLDDIWRSEDWDILKPAFPRGRKGSKILFTTRNRNVALHADPCNTPMELSPLTDDESWILLSRKAFPLNKTDSHIRSEEFEKLGREMVKKCGGLPLAIIVLGSLLARKQSLDDWETVHRNFFHGHLKGLQQLDHQYGAVNRILVLSYNDLPYHLKPCFLYLAHYPEDWEISKKELIRLWIAEGFISPLSGSEEILMEDVGEQFLEELIDRSLVQVWRRDYTGTKVKTCRIHDLLRNLCVGKAEKENFLKFIQPSLIENSENSVDVTLVTSMPRRIAIHPGKRDVHLKGEHPQLRSLLLIQEEVKLVISVI >KJB69578 pep chromosome:Graimondii2_0_v6:11:2341740:2343795:-1 gene:B456_011G031300 transcript:KJB69578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNRHWPSMFKSKPCNTNHQWQHDINSSLMSSSCHRSSFTSVAGCEERTPEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKPKVRNQLQNTKQQTTSSSSSSSSEGAINKSTLSLSSSAHAIDVSNSPSGSVNQTYFHHQQQPQNELLNEPFFFPVQQQATGFTQGFGFSDGIQVPEQQPVGPCTSLLLSEILNHGASRKEHEENMMMQLQLSYTMAAPTTITVPSHNHHIHGNFFYCLCYFMGKSMHTRGTPGFL >KJB69579 pep chromosome:Graimondii2_0_v6:11:2341740:2343855:-1 gene:B456_011G031300 transcript:KJB69579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNRHWPSMFKSKPCNTNHQWQHDINSSLMSSSCHRSSFTSVAGCEERTPEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKPKVRNQLQNTKQQTTSSSSSSSSEGAINKSTLSLSSSAHAIDVSNSPSGSVNQTYFHHQQQPQNELLNEPFFFPVQQQATGFTQGFGFSDGIQVPEQQPVGPCTSLLLSEILNHGASRKEHEENMMMQLQLSYTMAAPTTITVPSHNHHIHGESGAVEPGGVARSSTVFIDDVAFEVAVGPFNVREAFGDDAILINSAGQPVLTNEWGITLQSLQHGGVYYLLRSSTPFSV >KJB73072 pep chromosome:Graimondii2_0_v6:11:51376950:51379599:-1 gene:B456_011G213300 transcript:KJB73072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRQILVVVVVLAGVSLVVSDEDVKPLDFYKYSLQWPPSACTLPPPGKKCEVRHEARFTIHGYWPQYNKDTPVPPYCDDLRCTNTKPTSANDVVGILEKSPLKKDLMKDWPNLYARQRRKEEDNLEFWKYEWRKHGMCSDDANKPSEYFRNSLTLLPNFKNLKQDLGFKPRSKVGDILKNLRDKNYKVPQIVCNSNSQLLEIRFCYRNIAIDCPNWVGSKTCNKETADIFLPGGAGGTDDVKAQLNIEL >KJB73313 pep chromosome:Graimondii2_0_v6:11:53943010:53946302:1 gene:B456_011G227000 transcript:KJB73313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPPSLVLTAASVLNLISSAILGVLETRGIHMEYSKFFDASSTKIKVGSRVGMLLLYTPAFLVDFRFLLLKSAITIHFLKRIFEVSFIHKYSGEMTLDTMIIILFSYLFLSSSLIYTQNLNQELLEPWIDLKYPGIVLFLIGISGDFYHHFLLSKLRTKGSKDYKVPKRGLFELVICPHYLVEILGFLGISLISQTLYSFSTTLGTALYLMARSYAAKRWYISKFEDFPKEVNALIPCVF >KJB74111 pep chromosome:Graimondii2_0_v6:11:60555938:60557615:1 gene:B456_011G273400 transcript:KJB74111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKSQVNGSSSSSSSLHHLFSPKDSPSLSSTSEILGSIFPPPPPVQGKDSIHSDHTTDGKGQSNGTTNNDKSSSIYHSETMEPCYLSSSIYYGGQEYYSPKQQTTDAPLYFRKEGEEDDPIGINSNGASRGNWWQGTKL >KJB73350 pep chromosome:Graimondii2_0_v6:11:54249997:54255856:1 gene:B456_011G228900 transcript:KJB73350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVTKRYAVVTGANKGIGLEICKQLAQNGITVVLTARDEKRGLEALESLQHSGLSDYLIFHQLDVTDPESIASLVDFVKKQFGKLDILVNNAGVFGATFLVTPSAEVNLSDIQSKVTDGNYDLSEECLKINYYGAKRTAEELIPLLQLSDLPRIVNLSSSVVMLKGKCEKLKGVLTGVTTEEKLNDLITEYLKDCKEGLHGSKGWPDFISAYTVSKVALNAYTRILANKYPDFCINSVCPGYCKTDINLNTGIITAEEGAVTPVKLALLPKGGPSGLFFVKGEPATPEP >KJB73758 pep chromosome:Graimondii2_0_v6:11:57548144:57550180:1 gene:B456_011G249300 transcript:KJB73758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAKVDSKKKADPKAQAVKAAKAVKSGVTFKKKSKKIRTKVTFHRPRTLKKDRNPKYPRISAPPRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >KJB69511 pep chromosome:Graimondii2_0_v6:11:2005576:2007796:-1 gene:B456_011G027400 transcript:KJB69511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNKMSSNYSSLSSPKAKGPAPFLAKTYALLEEGEEEESGEEGCSRRRKKIVSWNDEGTGFVVWSPAEFSDLTLPRYFKHNNFSSFIRQLNTYGFKKTSSRRWEFKHEKFQRGYKQMLMEITRKKSEPSVFPAFLKASSSSDDHQDHHHHQIMNGGSSAVDEESTYVHRQTLMEENQNLRREKVELQTQIAQFKDLQIKLLDCIAQHTGTSDHKETRLC >KJB72062 pep chromosome:Graimondii2_0_v6:11:27251041:27260402:-1 gene:B456_011G156400 transcript:KJB72062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRKKGGKKAKIKNLSLGDLVLAKIKGFPAWPAQISRPEDWKQEPDPKKYFVQFFGTQEIAFVPPVDIQAFTSETKNKLSSKCHIKTKYFVQAVKEICVAYDELQEEKCRGLGDETDGPKPGCEASTVDGVEVDGVEANLKDETAAVAIGEEASSNGKTDFASSVGCCLRRGENNNEDIKTSVLVHADDSSNPVMSAEGKHKISNGEQPKKEVSSPSSLDKPSPITEEFSDDKIANANSTKKTLREDQKSKNMAPGPKKRTEEGQKGSSSAVKVLRDDKSEGCLDWSGSEERLKDRGKGKVSGIGTRKFSQDTLKADSNYSGGKKAKELPKTKSKFKASDDVQDTVANSKAETTGKKKRCEPGFGKAKLGTVESLRPAKKSKFVDMKNDASKGSLGKKMKSSSSISNVRDKEVKKSKLKKSTSHVISSRAPTVTSSDVSGDEAVLPLSKRRQQALEAMSDSASLNSDDKIGSNPVELKSDAPSSNVKTSATPLPKRRRAVCLFVDDEEDPETPVHEGFARNVKVTPVGSGPSQSIDVNHVTTVNAQYSVGNSAHNENGGPEEAFSQLENDSGSPLRPQTAERKPSAHASITPERSEAEVLSVKEAKAVLISPRKSPPLVSATKQVEQHKTTKATVKVSSNGTRKKALSGSVKGSVVVSDALKSSQNQFLSQRSDFFREDRSSLLTDSKTPDSAVSMKHLIAAAQAKRRQAHSQQYSLGNPSSIFVSMSDVQGASPSSAVQSFPSAVDNVMQVDVQGFAHQTNLHSPSALGHESGSRDQQDAEETEGRRASSGRMATGSSLSGGTEAAVARDAFEGMIETLSRTKDSIGRATRLAIDCAKYGIANEVVELLIRKLESEPSYHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQTALPRLLGAAAPPGASARENRRQCLKVLRLWLERKILPESILRRYMDNIGVSNDDTISGFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGLLSSNVFEDEEEDDLSISPGREAADASPLEAAPALGELETCTVTPSDRPHRILEDVDGELEMEDVSGHLKNDRPFTNYALQMDWQQQGIMEPASNSSNEFPPLPEGSPPLPPDSPPPPPPLPASPSPPPPPTSPSPPPPPPPLPTQLPPAPPSCPPPFIPQPPVPTQPSLLSQPMLPPQSAIQSSPQLAYQPPVPHEFRGTPNGNQIVQMAGNTPHGGHIDASVKSELFPQQSPCFVPGVCNSREPSGYVSSRQLDYGHNEMYLNAQSSQPSQQFQPGNAAFVQRPLAPSLPQTSSSHFSFTKPAMPPHPQHSYPQYDGWRPFVADEQWRMPAGEYNTDNQHGGWISGRNPSPCGPLFVREGYFRPPVERPSSNVGFPLTSANNLPAGAPNSGHGIFPCRPDMSAINCWRPA >KJB72060 pep chromosome:Graimondii2_0_v6:11:27245116:27260402:-1 gene:B456_011G156400 transcript:KJB72060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRKKGGKKAKIKNLSLGDLVLAKIKGFPAWPAQISRPEDWKQEPDPKKYFVQFFGTQEIAFVPPVDIQAFTSETKNKLSSKCHIKTKYFVQAVKEICVAYDELQEEKCRGLGDETDGPKPGCEASTVDGVEVDGVEANLKDETAAVAIGEEASSNGKTDFASSVGCCLRRGENNNEDIKTSVLVHADDSSNPVMSAEGKHKISNGEQPKKEVSSPSSLDKPSPITEEFSDDKIANANSTKKTLREDQKSKNMAPGPKKRTEEGQKGSSSAVKVLRDDKSEGCLDWSGSEERLKDRGKGKVSGIGTRKFSQDTLKADSNYSGGKKAKELPKTKSKFKASDDVQDTVANSKAETTGKKKRCEPGFGKAKLGTVESLRPAKKSKFVDMKNDASKGSLGKKMKSSSSISNVRDKEVKKSKLKKSTSHVISSRAPTVTSSDVSGDEAVLPLSKRRQQALEAMSDSASLNSDDKIGSNPVELKSDAPSSNVKTSATPLPKRRRAVCLFVDDEEDPETPVHEGFARNVKVTPVGSGPSQSIDVNHVTTVNAQYSVGNSAHNENGGPEEAFSQLENDSGSPLRPQTAERKPSAHASITPERSEAEVLSVKEAKAVLISPRKSPPLVSATKQVEQHKTTKATVKVSSNGTRKKALSGSVKGSVVVSDALKSSQNQFLSQRSDFFREDRSSLLTDSKTPDSAVSMKHLIAAAQAKRRQAHSQQYSLGNPSSIFVSMSDVQGASPSSAVQSFPSAVDNVMQVDVQGFAHQTNLHSPSALGHESGSRDQQDAEETEGRRASSGRMATGSSLSGGTEAAVARDAFEGMIETLSRTKDSIGRATRLAIDCAKYGIANEVVELLIRKLESEPSYHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQTALPRLLGAAAPPGASARENRRQCLKVLRLWLERKILPESILRRYMDNIGVSNDDTISGFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGLLSSNVFEDEEEDDLSISPGREAADASPLEAAPALGELETCTVTPSDRPHRILEDVDGELEMEDVSGHLKNDRPFTNYALQMDWQQQGIMEPASNSSNEFPPLPEGSPPLPPDSPPPPPPLPASPSPPPPPTSPSPPPPPPPLPTQLPPAPPSCPPPFIPQPPVPTQPSLLSQPMLPPQSAIQSSPQLAYQPPVPHEFRGTPNGNQIVQMAGNTPHGGHIDASVKSELFPQQSPCFVPGVCNSREPSGYVSSRQLDYGHNEMYLNAQSSQPSQQFQPGNAAFVQRPLAPSLPQTSSSHFSFTKPAMPPHPQHSYPQYDGWRPFVADEQWRMPAGEYNTDNQHGGWISGRNPSPCGPLFVREGYFRPPVERPSSNVGFPLTSANNLPAGAPNSGHGIFPCRPDMSAINCWRPA >KJB72059 pep chromosome:Graimondii2_0_v6:11:27245116:27256897:-1 gene:B456_011G156400 transcript:KJB72059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSASLNSDDKIGSNPVELKSDAPSSNVKTSATPLPKRRRAVCLFVDDEEDPETPVHEGFARNVKVTPVGSGPSQSIDVNHVTTVNAQYSVGNSAHNENGGPEEAFSQLENDSGSPLRPQTAERKPSAHASITPERSEAEVLSVKEAKAVLISPRKSPPLVSATKQVEQHKTTKATVKVSSNGTRKKALSGSVKGSVVVSDALKSSQNQFLSQRSDFFREDRSSLLTDSKTPDSAVSMKHLIAAAQAKRRQAHSQQYSLGNPSSIFVSMSDVQGASPSSAVQSFPSAVDNVMQVDVQGFAHQTNLHSPSALGHESGSRDQQDAEETEGRRASSGRMATGSSLSGGTEAAVARDAFEGMIETLSRTKDSIGRATRLAIDCAKYGIANEVVELLIRKLESEPSYHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQTALPRLLGAAAPPGASARENRRQCLKVLRLWLERKILPESILRRYMDNIGVSNDDTISGFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGLLSSNVFEDEEEDDLSISPGREAADASPLEAAPALGELETCTVTPSDRPHRILEDVDGELEMEDVSGHLKNDRPFTNYALQMDWQQQGIMEPASNSSNEFPPLPEGSPPLPPDSPPPPPPLPASPSPPPPPTSPSPPPPPPPLPTQLPPAPPSCPPPFIPQPPVPTQPSLLSQPMLPPQSAIQSSPQLAYQPPVPHEFRGTPNGNQIVQMAGNTPHGGHIDASVKSELFPQQSPCFVPGVCNSREPSGYVSSRQLDYGHNEMYLNAQSSQPSQQFQPGNAAFVQRPLAPSLPQTSSSHFSFTKPAMPPHPQHSYPQYDGWRPFVADEQWRMPAGEYNTDNQHGGWISGRNPSPCGPLFVREAGYFRPPVERPSSNVGFPLTSANNLPAGAPNSGHGIFPCRPDMSAINCWRPA >KJB72061 pep chromosome:Graimondii2_0_v6:11:27251041:27259923:-1 gene:B456_011G156400 transcript:KJB72061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRKKGGKKAKIKNLSLGDLVLAKIKGFPAWPAQISRPEDWKQEPDPKKYFVQFFGTQEIAFVPPVDIQAFTSETKNKLSSKCHIKTKYFVQAVKEICVAYDELQEEKCRGLGDETDGPKPGCEASTVDGVEVDGVEANLKDETAAVAIGEEASSNGKTDFASSVGCCLRRGENNNEDIKTSVLVHADDSSNPVMSAEGKHKISNGEQPKKEVSSPSSLDKPSPITEEFSDDKIANANSTKKTLREDQKSKNMAPGPKKRTEEGQKGSSSAVKVLRDDKSEGCLDWSGSEERLKDRGKGKVSGIGTRKFSQDTLKADSNYSGGKKAKELPKTKSKFKASDDVQDTVANSKAETTGKKKRCEPGFGKAKLGTVESLRPAKKSKFVDMKNDASKGSLGKKMKSSSSISNVRDKEVKKSKLKKSTSHVISSRAPTVTSSDVSGDEAVLPLSKRRQQALEAMSDSASLNSDDKIGSNPVELKSDAPSSNVKTSATPLPKRRRAVCLFVDDEEDPETPVHEGFARNVKVTPVGSGPSQSIDVNHVTTVNAQYSVGNSAHNENGGPEEAFSQLENDSGSPLRPQTAERKPSAHASITPERSEAEVLSVKEAKAVLISPRKSPPLVSATKQVEQHKTTKATVKVSSNGTRKKALSGSVKGSVVVSDALKSSQNQFLSQRSDFFREDRSSLLTDSKTPDSAVSMKHLIAAAQAKRRQAHSQQYSLGNPSSIFVSMSDVQGASPSSAVQSFPSAVDNVMQVDVQGFAHQTNLHSPSALGHESGSRDQQDAEETEGRRASSGRMATGSSLSGGTEAAVARDAFEGMIETLSRTKDSIGRATRLAIDCAKYGIANEVVELLIRKLESEPSYHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQTALPRLLGAAAPPGASARENRRQCLKVLRLWLERKILPESILRRYMDNIGVSNDDTISGFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGLLSSNVFEDEEEDDLSISPGREAADASPLEAAPALGELETCTVTPSDRPHRILEDVDGELEMEDVSGHLKNDRPFTNYALQMDWQQQGIMEPASNSSNEFPPLPEGSPPLPPDSPPPPPPLPASPSPPPPPTSPSPPPPPPPLPTQLPPAPPSCPPPFIPQPPVPTQPSLLSQPMLPPQSAIQSSPQLAYQPPVPHEFRGTPNGNQIVQMAGNTPHGGHIDASVKSELFPQQSPCFVPGVCNSREPSGYVSSRQLDYGHNEMYLNAQSSQPSQQFQPGNAAFVQRPLAPSLPQTSSSHFSFTKPAMPPHPQHSYPQYDGWRPFVADEQWRMPAGEYNTDNQHGGWISGRNPSPCGPLFVREAGYFRPPVERPSSNVGFPLTSANNLPAGAPNSGHGIFPCRPDMSAINCWRPA >KJB74134 pep chromosome:Graimondii2_0_v6:11:60687179:60689510:-1 gene:B456_011G274800 transcript:KJB74134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDSPSQSRPPHVVIFPLPLQGHINSMIKLAELLALAGFKLTFLNSHHNHERLIKFTNIVAYFKRYPGFELKTITDGLPLDHPRSGNWFLETYEEAIIMKIKQSFREMLMNSNPPVDCVIADGFSGFAIDVANELGIPIIGFRTSSPRSFWVYYSITDIIKAGELPIRGSEDMDRLITTVPGMETYLRCRDLPSFCRKSDIEDSTVQLIVKQTRKSTEADALIFNTTDELDGPILSQIRTKCANIYPIGPLHAQLNTKLKAKHGESFDQFSNALREEDKSCIPWLDKQPYRSVIYVSFGSITSTSRDQLVELWYGLLNSKTKFLFVVRPDSVIGKDGEGEDVVKELMEKSKDRGYIVDWAPQEVVLNHQAVGGFLTHSGWNSTLESIVAGVPMVCWPYFADQQVTSRVVSRVWKIGLDMKDVCDRKIVENMVNDVMVDRKEFVNSATDMAKVINQCVSIGGSSSNNLDRLIEDIRMKRLKTTKRL >KJB71040 pep chromosome:Graimondii2_0_v6:11:11574288:11577012:1 gene:B456_011G101800 transcript:KJB71040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPENSPSEYSPPASSSSSPSSSSPSPSPPPPSKSSPPPPPPTTPPPSSPPPPKLSSPPPPAPINKSPVRHPPPPPPLTSFRHPPPPPPIKSSTDHSPPPPTKSGSSKSNNSHKSSPSTPSNDNSGIVFIGVAVGAGLFLLVFVTLLVICCRRRKKKSNQKIQYYQPREAKAGGGDQYYNSSMNRQWGVDGVKTTPSPGGGWGTPTPVPPAGAVGGGGGWGAQSSIPGQVLSGEVSSMSSGPQHTPLPPPSPNIGLGVNTGAFTYEELSTATGGFSQANLLGQGGFGYVHKGVLPNGKEIAVKSLKTGSGQGEREFQAEVEIISRVHHRYLVSLVGYCIARQQRMLVYEFLPNKTLEYHLHEKNLPVMDFPTRLRIALGSAKGLAYLHEDCHPRIIHRDIKSANILLDYNFEAKVADFGLAKLSTDNHTHVSTRVMGTFGYLAPEYASSGKLTEKSDVYSFGVMLLELITGKQPVDPTSAMEDSLVDWARPLLTRGLEDGNIGPLVDPGLENNYNQEEMKRMVACAAASIRHSARKRPKMSQIVRTLEGNSSLDDLNEGVKPGHSSIYALGGTTDYSKSSYDADMKKFRELALSSQDMSESNP >KJB72550 pep chromosome:Graimondii2_0_v6:11:43851522:43852050:-1 gene:B456_011G184400 transcript:KJB72550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 19 [Source:Projected from Arabidopsis thaliana (AT3G21490) UniProtKB/Swiss-Prot;Acc:A0JPW5] SMENEKRKDDKEVNVAEFKVSMNCNACERTVAKVIAKLKGVEKFTTDMNKNKVVVTGKIDPQKVLEKLRKKTGKKVEIVGKVEEKENEKANDISIQYSNSSLLENEAFMMFSDENPNACSIM >KJB72725 pep chromosome:Graimondii2_0_v6:11:46782772:46783350:1 gene:B456_011G193200 transcript:KJB72725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSIDHLRKVASAGCPLIDELCGRQLAETTHRRAPDLPRNQDNYHHPKHTANQYVYHGPQAVTVIQQPGTGLYHQIQTTQNHERWYFCQVSQNPICINKQQ >KJB73629 pep chromosome:Graimondii2_0_v6:11:56753914:56755102:1 gene:B456_011G2414002 transcript:KJB73629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISRDGQTREHALLAFTLGVKQMICCCIK >KJB70994 pep chromosome:Graimondii2_0_v6:11:10967805:10968125:1 gene:B456_011G098900 transcript:KJB70994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHYIPRLNPLPKTKQMNKLFQRKTPANEQTPLKQITAPSFNSDENPIRSLRGSAPYYPCVCTLTDQEERNFGDQMEVKMIGISKTNFF >KJB74480 pep chromosome:Graimondii2_0_v6:11:62436174:62439132:1 gene:B456_011G293100 transcript:KJB74480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQMVKVVGERFCVPYTMELVVKRKLQSFSKSLYEAFDATGNFLLQVDGGVWKFQKKRVMKDPAGLPVATLREKQALSWKHQWMIHQGESSERNHFLCTVQKSNALRIKNNLDVFLGNRYKDHGRDFHVTGSFSSLSFKVIRANTVIAEVRHNFTWGSCKGKESFKVKVYPEVDYAFIVALLVIMNESDGP >KJB74481 pep chromosome:Graimondii2_0_v6:11:62436264:62438945:1 gene:B456_011G293100 transcript:KJB74481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQMVKVVGERFCVPYTMELVVKRKLQSFSKSLYEAFDATGNFLLQVDGGVWKFQKKRVMKDPAGLPVATLREKALSWKHQWMIHQGESSERNHFLCTVQKSNALRIKNNLDVFLGNRYKDHGRDFHVTGSFSSLSFKVIRANTVIAEVRHNFTWGSCKGKESFKVKVYPEVDYAFIVALLVIMNESDGP >KJB72416 pep chromosome:Graimondii2_0_v6:11:41166040:41170734:1 gene:B456_011G177100 transcript:KJB72416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSMLQVAELLGKRAFIESVIVVRIVLVLLWTRVGSLLLCGRQCLGKEWPFINDFGSMGVEKREKVMQNWLKHGFLFTPTRVAFIYLKVFCLFVYFSRVGEDGYNPAWEAIGYNVDKVEDQPQARKERPLQKGMIETVHEKDSTLYRSLSQKGLLVSEDTQQNVYRIKCDAVVIGSGCGGGVAAAMLAGSGLKVVVVEKGNYFTSTDYSPFEGPSMDKLYESGGILPSLDGQLLILAGSTVGGGSAVNWSACIKTPKYVLKEWAEDCKIPLFGSNEYVSAMETVCERIGVTHDCKEEGFQNQVLRKGCENLGLKVEKVPRNSSESHYCGSCGFGCRRGDKKGTDRTWLVDAVNNNAVIITGCKAERFILERNKVGSARKMKCLGVIAKPSNQNITKELHIEAKVTISACGALLTPLLMHSSGLKNRNIGQNLHLHPVLMAWGYFPDSDSKFKGKAYEGGIITSVHKVAGNDNKVQAIIETPSLGPAQYSAVCPWVSGLDMKARMLKFSRTAHMITIIRDQGSGKVHAGGRVTYKFEEVDRQNLRAGLRQSLRILVAAGAVEVGTHRSDGQRKRCKGISNEELEEFLDSVSMLTSPLCTGENWVVHTSAHQMGSCRMGINEEEGAVDENGESWEAEGLFVCDASVLPSAVGVNPMITVQSTAYCLSKKIAESLRQQK >KJB72414 pep chromosome:Graimondii2_0_v6:11:41165100:41170749:1 gene:B456_011G177100 transcript:KJB72414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKCEEQPWLHGDKREPKYSHGFCSAEIQTLASVAEVFFPSLPPDSGFQGKETQPSKAVQSFLKASASQPAFPDEVAELLGKRAFIESVIVVRIVLVLLWTRVGSLLLCGRQCLGKEWPFINDFGSMGVEKREKVMQNWLKHGFLFTPTRVAFIYLKVFCLFVYFSRVGEDGYNPAWEAIGYNVDKVEDQPQARKERPLQKGMIETVHEKDSTLYRSLSQKGLLVSEDTQQNVYRIKCDAVVIGSGCGGGVAAAMLAGSGLKVVVVEKGNYFTSTDYSPFEGPSMDKLYESGGILPSLDGQLLILAGSTVGGGSAVNWSACIKTPKYVLKEWAEDCKIPLFGSNEYVSAMETVCERIGVTHDCKEEGFQNQVLRKGCENLGLKVEKVPRNSSESHYCGSCGFGCRRGDKKGTDRTWLVDAVNNNAVIITGCKAERFILERNKVGSARKMKCLGVIAKPSNQNITKELHIEAKVTISACGALLTPLLMHSSGLKNRNIGQNLHLHPVLMAWGYFPDSDSKFKGKAYEGGIITSVHKVAGNDNKVQAIIETPSLGPAQYSAVCPWVSGLDMKARMLKFSRTAHMITIIRDQGSGKVHAGGRVTYKFEEVDRQNLRAGLRQSLRILVAAGAVEVGTHRSDGQRKRCKGISNEELEEFLDSVSMLTSPLCTGENWVVHTSAHQMGSCRMGINEEEGAVDENGESWEAEGLFVCDASVLPSAVGVNPMITVQSTAYCLSKKIAESLRQQK >KJB72415 pep chromosome:Graimondii2_0_v6:11:41165216:41170734:1 gene:B456_011G177100 transcript:KJB72415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKCEEQPWLHGDKREPKYSHGFCSAEIQTLASVAEVFFPSLPPDSGFQGKETQPSKAVQSFLKASASQPAFPDEVGEDGYNPAWEAIGYNVDKVEDQPQARKERPLQKGMIETVHEKDSTLYRSLSQKGLLVSEDTQQNVYRIKCDAVVIGSGCGGGVAAAMLAGSGLKVVVVEKGNYFTSTDYSPFEGPSMDKLYESGGILPSLDGQLLILAGSTVGGGSAVNWSACIKTPKYVLKEWAEDCKIPLFGSNEYVSAMETVCERIGVTHDCKEEGFQNQVLRKGCENLGLKVEKVPRNSSESHYCGSCGFGCRRGDKKGTDRTWLVDAVNNNAVIITGCKAERFILERNKVGSARKMKCLGVIAKPSNQNITKELHIEAKVTISACGALLTPLLMHSSGLKNRNIGQNLHLHPVLMAWGYFPDSDSKFKGKAYEGGIITSVHKVAGNDNKVQAIIETPSLGPAQYSAVCPWVSGLDMKARMLKFSRTAHMITIIRDQGSGKVHAGGRVTYKFEEVDRQNLRAGLRQSLRILVAAGAVEVGTHRSDGQRKRCKGISNEELEEFLDSVSMLTSPLCTGENWVVHTSAHQMGSCRMGINEEEGAVDENGESWEAEGLFVCDASVLPSAVGVNPMITVQSTAYCLSKKIAESLRQQK >KJB70383 pep chromosome:Graimondii2_0_v6:11:6428333:6431447:-1 gene:B456_011G070800 transcript:KJB70383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGCSKNVESCPKLLDLIPQEREWHLKREEERRDGSSEEKKLELRLGPPGENNWSNKNNTERDESLLSLGYFSSMKSNGKQTHKFPCPEDHSVGSVLSTPWAKSHHQQQTMPPFLQFPSTTAPPQTLPVIAKESSQPCCNKAVDLQEAERKAFKPPANTAVHLNGSQKRTAPGPVVGWPPIRSIRKNLANSSSSKLASESPTHKAANEKPAAEPNGKGLFVKINMDGVPIGRKVDLKAYDSYEKLSTAVDELFRGLLAAQRDSSAGGIVNKQEEEKAITGVLDGSGEYTLVYEDNEGDSMLVGDVPWHMFVSTVKRLRALKSSELSALSRECRFYY >KJB70381 pep chromosome:Graimondii2_0_v6:11:6428016:6431642:-1 gene:B456_011G070800 transcript:KJB70381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGCSKNVESCPKLLDLIPQEREWHLKREEERRDGSSEEKKLELRLGPPGENNWSNKNNTERDESLLSLGYFSSMKSNGKQTHKFPCPEDHSVGSVLSTPWAKSHHQQQTMPPFLQFPSTTAPPQTLPVIAKESSQPCCNKAVDLQEAERKAFKPPANTAVHLNGSQKRTAPGPVVGWPPIRSIRKNLANSSSSKLASESPTHKAANEKPAAEPNGKGLFVKINMDGVPIGRKVDLKAYDSYEKLSTAVDELFRGLLAAQRDSSAGGIVNKQEEEKAITGVLDGSGEYTLVYEDNEGDSMLVGDVPWHMFVSTVKRLRALKSSELSALSLGSNKQGKLQA >KJB70382 pep chromosome:Graimondii2_0_v6:11:6428016:6431447:-1 gene:B456_011G070800 transcript:KJB70382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGCSKNVESCPKLLDLIPQEREWHLKREEERRDGSSEEKKLELRLGPPGENNWSNKNNTERDESLLSLGYFSSMKSNGKQTHKFPCPEDHSVGSVLSTPWAKSHHQQQTMPPFLQFPSTTAPPQTLPVIAKESSQPCCNKAVDLQEAERKAFKPPANTAVHLNGSQKRTAPGPVVGWPPIRSIRKNLANSSSSKLASESPTHKAANEKPAAEPNGKGLFVKINMDGVPIGRKVDLKAYDSYEKLSTAVDELFRGLLAAQRDSSAGGIVNKQEEEKAITGVLDGSGEYTLVYEDNEGDSMLVGDVPWHMFVSTVKRLRALKSSELSALSLGSNKQGKLQA >KJB68797 pep chromosome:Graimondii2_0_v6:11:20277529:20278425:-1 gene:B456_011G1342001 transcript:KJB68797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMTSLICLFQGCMSFILLLRWHQLL >KJB68796 pep chromosome:Graimondii2_0_v6:11:20277529:20277546:-1 gene:B456_011G1342001 transcript:KJB68796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAK >KJB74403 pep chromosome:Graimondii2_0_v6:11:62362508:62380167:1 gene:B456_011G292500 transcript:KJB74403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVGVRQLSVLGEFKPFGLIAEALDGKPSDTSTDDYDYFLFDPEIARQREDSSDNDASASALSDRRDHELFIRGNRIIWSIGARVFKRFTLPSPVIKACWCRMGDNPEALLCVLQLDSLTIYNTSGEVVSIPLPGSITSVWSLPFGLLLQQGAEGNLLKHGPFPYSSPSLVSRDIIRNRRETGHSPHHNFSFLSAYDQLIKGESSSSHLILKDLLEEPQSIYIEERGKLNIMRDFDERIIWTSDLIPLMASYNKVKMQHSVWVAEVINSSLEVENSSLSATVPTGVLLKRFSFRRIWQGKGAHTAASKVFLATDDDSAPIICFLFLEQKKLLSLRLQTVEINNEILYDVKPDMSWSIPAIAAAPVIVTRPSVKVGLLPYTDIIVLAPESILILYSGKQCLCRYLLPSCLGIGNPSCNLGFSKATSISHDLKIVGLADAVEARINVKVNNRMIFRCALHRSPSSSLANDSITAMAEGLSPSFYNHFLVLLWGDSESSCLSEANSTVDSEWSSFCDAIMQMCKKSSAVSQETPESSWEFLLNSKFHKNYCKINSMIELSSGVALDRTGLDSMRSHIDGTKSSEKSFHFDLLMESLNSLHAVYESLKMDNLRRRDLELLAILLCNIAKFLGEECYLDHYVRDFPALCKTVKMGINCLSSKAPFNLFRWLENCLQHGCTSDKTNNLPLVVCKDGSSVVSWARKIVSFYSLLCGAKIIGNKLSSGVSCNIASGSSCSNEELTVLAMVGEKFGLKELDSLPSGVSLPLRHALDKCRESPPSDWPAAAYVLIGREDLALSCLARSCKFKELETQTNMNLVSMSTPYMLHLHPVTIPSTIADTVGLESTKFEDTDSIDGSMADGMENIFSSCTQLRYGRDLRLNEVRRLLCSARPVAIQTSANPSASDQDLQQAQLWQLAQRTTALPLGRGAFTLATIYTLLTEVNLDPSIRNIQELKSWPEFHNAVAAGLRLAPLQGKVSRTWIIYNRPEEPNAVHAGLLLALGLHGFLRVLTITDTYQYFSQEHEATTVGLMLGLAASYRGTMQPSISKCLYVHIPYRHPSSFPELELPTLLQTAALMSVGLLFEGSAHPQTMQTLVGEIGRRSGGDNVLEREGYAVSAGFSLGLVALGRGEEALGFMDTLVDRLFHYIGGKEICNERSLLLAASVDEHNRVTGQMMDGTTVNVDVTAPGAMIALALMFLKSESEVIVSRLTIPQTHFDLQYVRPDFIMLRVIARNLIMWGRIHPSKDWIQSQIPEIIKNGVKGLRDDTMDIDEMDAETIVQAYVNIVAGACISLGLRFAGTKDANAQELLYEYAAYFLNEIKPVSTTNRSTFPKGLSQYVDRGTLEICLHLIVLSLSVVMAGSGHLQTFRLLRFLRNRSSVDGHANYGIQMAVSLAIGFLFLGGGTRTFSTSNSSIAALLITLYPRLPTGPNDNRCHLQAFRHLYVLATEARWLQTVDVDTGLPVYAPLEVTIKETEHYSETSFCEITPCILPERSILKTVRVCGPRYWPQVIELVPENKPWWSFGDRNDPFHSGILHVKRKVGACSYVDDPIGCQSLLSRAMHKVFGLTSLRAGYTGNNSNNGSAAVTVDQLVSTFSSDPSLIAFAQLCCDLSWNSRSDVDFQEFCLQVLFECISKDRPALLQVYLSLYTTIGSLAEQVSNSNLLVGDSLSVSSLKLALSYNEAVMTGRLATSRGSIVQSVFLGSLRKRVEELLNSAEQLKTDLHNYLNSGSWPNDGSFGVKSSTILSWYLQWFGVPAAPTVKTMVDKIKPMNISLSPVPLLCLLLPGTHINAVEEINRFLLST >KJB74402 pep chromosome:Graimondii2_0_v6:11:62362508:62380167:1 gene:B456_011G292500 transcript:KJB74402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVGVRQLSVLGEFKPFGLIAEALDGKPSDTSTDDYDYFLFDPEIARQREDSSDNDASASALSDRRDHELFIRGNRIIWSIGARVFKRFTLPSPVIKACWCRMGDNPEALLCVLQLDSLTIYNTSGEVVSIPLPGSITSVWSLPFGLLLQQGAEGNLLKHGPFPYSSPSLVSRDIIRNRRETGHSPHHNFSFLSAYDQLIKGESSSSHLILKDLLEEPQSIYIEERGKLNIMRDFDERIIWTSDLIPLMASYNKVKMQHSVWVAEVINSSLEVENSSLSATVPTGVLLKRFSFRRIWQGKGAHTAASKVFLATDDDSAPIICFLFLEQKKLLSLRLQTVEINNEILYDVKPDMSWSIPAIAAAPVIVTRPSVKVGLLPYTDIIVLAPESILILYSGKQCLCRYLLPSCLGIGNPSCNLGFSKATSISHDLKIVGLADAVEARINVKVNNRMIFRCALHRSPSSSLANDSITAMAEGLSPSFYNHFLVLLWGDSESSCLSEANSTVDSEWSSFCDAIMQMCKKSSAVSQETPESSWEFLLNSKFHKNYCKINSMIELSSGVALDRTGLDSMRSHIDGTKSSEKSFHFDLLMESLNSLHAVYESLKMDNLRRRDLELLAILLCNIAKFLGEECYLDHYVRDFPALCKTVKMGINCLSSKAPFNLFRWLENCLQHGCTSDKTNNLPLVVCKDGSSVVSWARKIVSFYSLLCGAKIIGNKLSSGVSCNIASGSSCSNEELTVLAMVGEKFGLKELDSLPSGVSLPLRHALDKCRESPPSDWPAAAYVLIGREDLALSCLARSCKFKELETQTNMNLVSMSTPYMLHLHPVTIPSTIADTVGLESTKFEDTDSIDGSMADGMENIFSSCTQLRYGRDLRLNEVRRLLCSARPVAIQTSANPSASDQDLQQAQLWQLAQRTTALPLGRGAFTLATIYTLLTEAFTVPKLVLAGRLPAQQNATVNLDPSIRNIQELKSWPEFHNAVAAGLRLAPLQGKVSRTWIIYNRPEEPNAVHAGLLLALGLHGFLRVLTITDTYQYFSQEHEATTVGLMLGLAASYRGTMQPSISKCLYVHIPYRHPSSFPELELPTLLQTAALMSVGLLFEGSAHPQTMQTLVGEIGRRSGGDNVLEREGYAVSAGFSLGLVALGRGEEALGFMDTLVDRLFHYIGGKEICNERSLLLAASVDEHNRVTGQMMDGTTVNVDVTAPGAMIALALMFLKSESEVIVSRLTIPQTHFDLQYVRPDFIMLRVIARNLIMWGRIHPSKDWIQSQIPEIIKNGVKGLRDDTMDIDEMDAETIVQAYVNIVAGACISLGLRFAGTKDANAQELLYEYAAYFLNEIKPVSTTNRSTFPKGLSQYVDRGTLEICLHLIVLSLSVVMAGSGHLQTFRLLRFLRNRSSVDGHANYGIQMAVSLAIGFLFLGGGTRTFSTSNSSIAALLITLYPRLPTGPNDNRCHLQAFRHLYVLATEARWLQTVDVDTGLPVYAPLEVTIKETEHYSETSFCEITPCILPERSILKTVRVCGPRYWPQVIELVPENKPWWSFGDRNDPFHSGILHVKRKVGACSYVDDPIGCQSLLSRAMHKVFGLTSLRAGYTGNNSNNGSAAVTVDQLVSTFSSDPSLIAFAQLCCDLSWNSRSDVDFQEFCLQVLFECISKDRPALLQVYLSLYTTIGSLAEQVSNSNLLVGDSLSVSSLKLALSYNEAVMTGRLATSRGSIVQSVFLGSLRKRVEELLNSAEQLKTDLHNYLNSGSWPNDGSFGVKSSTILSWYLQWFGVPAAPTVKTMVDKIKPMNISLSPVPLLCLLLPGTHINAVEEINRFLLST >KJB69369 pep chromosome:Graimondii2_0_v6:11:1375775:1376920:-1 gene:B456_011G019800 transcript:KJB69369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKATEVTSIRRLAGLFNTSRSSATNPAVPTAAAITSSKSIDEVPKFQNLVHRFKKSSKSSKFRNYNRTAYFNTVLRLASAKQFSLIDDILQHQKKYEEISQEGFVIRLMTLYGKAGMYEQAHKLFDEMPELKCQRTVNSFNALLAAYLHSKKFINAGELLEQLPEKLGIEPDLISYNTVIKAYCEMGSMDSALSMVDTLEKKGLEPDIITFNTLLDGFFSRGRIVDGDEIWGLMEKKNVVPDIRTYNSKLRGLVHGNKVLEAVEFFEEMKNKGIEPDIHSYNALITGYCDEGNLEQVKHWYGELKKSYKPDRATYCKVLSFLRKKNEFEMASEICKEAMDRRLISGVTWMDKLVSESRIEEAIQFVESGLSRSALKLRFP >KJB70995 pep chromosome:Graimondii2_0_v6:11:10972095:10973058:1 gene:B456_011G099000 transcript:KJB70995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKKSNKLPQTTAIKQIMKKCSLGKKEGYGHQGSLPDDVPKGHFVIYVGENRSRYIIPISWLAHPEFQILLQRAEEEFGFTHDAGLRIPCEEVVFRSLTAMIR >KJB70592 pep chromosome:Graimondii2_0_v6:11:8289452:8290906:1 gene:B456_011G082000 transcript:KJB70592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLILAILITAVISKEAYFVHGDIGTASYYNPPYIPTKCDGNREEQFPPGNLFVAVSEGLWDNGAACGRRYRLRCLSGPKRPCKRRTIDVKVVDFCPFTPCPSTIMLSRDAFAAIAHKHGRKINIEYIQI >KJB73325 pep chromosome:Graimondii2_0_v6:11:54112234:54112745:1 gene:B456_011G228200 transcript:KJB73325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALTKLDEALNMSPVTFYESPKLSPLKHAFKILASFDCSSTTLTIEQKKESLAMEESLKELADIAAKAVQDNNHLIAKESMKQTITRNLDRNLIRYKEVVSEVKQVEQKLTALSAE >KJB73751 pep chromosome:Graimondii2_0_v6:11:57469316:57470131:1 gene:B456_011G248600 transcript:KJB73751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASTATTVSLKLLIDTKGKRVLYAEAGKDFVDFLFNVLLLPVGTVIRLLAKEGMVGCLANLYKSVENLGDAYLQPTINKDTLLKPKYSSSLAADVPLLLPNTESSTTLGFYRCSYGRNSDCRLYYANDPTSKCPCCSSVMYSPATLVNPPNKVSTSSAVANEVTPMSTISSIAMLNKFKAQRVNALEEKVVDVGIKEGVEILKASLQTKTVLTSVFLTQKAWKT >KJB73759 pep chromosome:Graimondii2_0_v6:11:57556671:57558596:-1 gene:B456_011G249400 transcript:KJB73759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENLRKATRTGKVNELYRVIQSNGNVLRHFDEVEFIETPLHIAAEEGRIEFAMEMMNLKPSFARKLNHQGLSPLHIAVRKGHKEMALRFLEIGKHLVRVRGKKDVTTENRTALHIAIQNNRLDVLQLLFRTLKRKDYYWEVVNRKDKDGNTALHIAAIHNQPKVLKLLLNCKADKHATNQVGLTALGVAQQHNNRENIAILKGCFIPVVSNFKRKLEKQVVKYVTKASLLIFQNMDNISADDRNALLVILGLLLTATYQATLSPPGGVRQGENTSKSKGSYDATVLGKSVMNPSNFLLFYIPTYLVFLVTLFLTLALLKTFPRDFRSALQVLLAFLAVSFDESICDLAPTTSTYTILNIFSGILFLLMVYMCIVCRVSKISVSIVGCWIFPSILYLCLGSEIDVGAGQGLLLFLILYDEFWKGTVLIVCYCLFVRVDAFFGARIDLYYFLDIVTLIGCWLFLSLARLCIMRCT >KJB73760 pep chromosome:Graimondii2_0_v6:11:57556738:57558365:-1 gene:B456_011G249400 transcript:KJB73760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENLRKATRTGKVNELYRVIQSNGNVLRHFDEVEFIETPLHIAAEEGRIEFAMEMMNLKPSFARKLNHQGLSPLHIAVRKGHKEMALRFLEIGKHLVRVRGKKGKTPLHYLCKVGNQLGLLDTFLEASPDCIQDVTTENRTALHIAIQNNRLDVLQLLFRTLKRKDYYWEVVNRKDKDGNTALHIAAIHNQPKVLKLLLNCKADKHATNQVGLTALGVAQQHNNRENIAILKGCFIPVVSNFKRKLEKQVVKYVTKASLLIFQNMDNISADDRNALLVILGLLLTATYQATLSPPGGVRQGENTSKSKGSYDATVLGKSVMNPSNFLLFYIPTYLVFLVTLFLTLALLKTFPRDFRSALQVLLAFLAVSFDESICDLAPTTSTYTILNIFSGILFLLMVYMCIVCRVSKISVSIVGCWIFPSILYLCLGSEIDVGAGQGLLLFLILYDEFWKGTVLIVCYCLFVRVDAFFGARIDLYYFLDIVTLIGCWLFLSLARLCIMRCT >KJB72733 pep chromosome:Graimondii2_0_v6:11:46875567:46877944:1 gene:B456_011G193800 transcript:KJB72733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLAASVLKCGRGKVWLDPNEINEISMANSRQNVRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHIYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTIADQFEAKRAKNKASRERKLARREERLAQGPGVKATPTAAPQQAEGVKKTKK >KJB74438 pep chromosome:Graimondii2_0_v6:11:62580469:62582509:1 gene:B456_011G295300 transcript:KJB74438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKSWFNLVKRFFLFETLINAQKDNRRKWMFGRFRTKRLASIKAPSPPRDNIKYETEEDQKKHALTVAIAAVAAAEAAVAAAQVAAEVVRLTGADAPKAKEEQTIDVKPDCSSSSELDNQFQQLAAVKIQASFRGYLARKALRALKGIVRLQAIIRGRVVRRQALTALKCLQSIVNIQSQVCARRFQMVEGTWQQHDENKELITLKDKILKVDTDSQTRWDNCNGGLKYWLDQWVDTKSKDVEVEDIDSVWTSNRKPTRLKTFSRQYHCDAEGVDSPVRVQRRRSFHGKQGSLGEDSSFITSPVVPTYMAATQSTKAKERSMSSPKLRPGTCDTQSESYSPYKNKLCLISSVTSKPNAYEQRSPTLKGIKSKQTLKDLSFDSECSLPNWVQKSTFK >KJB74439 pep chromosome:Graimondii2_0_v6:11:62580395:62582509:1 gene:B456_011G295300 transcript:KJB74439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKSWFNLVKRFFLFETLINAQKDNRRKWMFGRFRTKRLASIKAPSPPRDNIKYETEEDQKKHALTVAIAAVAAAEAAVAAAQVAAEVVRLTGADAPKAKEEQTIDVKPDCSSSSELDNQFQQLAAVKIQASFRGYLARKALRALKGIVRLQAIIRGRVVRRQALTALKCLQSIVNIQSQVCARRFQMVEGTWQQHDENKELITLKDKILKVDTDSQTRWDNCNGGLKYWLDQWVDTKSKDVEVEDIDSVWTSNRKPTRLKTFSRQYHCDAEGVDSPVRVQRRRSFHGKQGSLGEDSSFITSPVVPTYMAATQSTKAKERSMSSPKLRPGTCDTQSESYSPYKNKLCLISSVTSKPNAYEQRSPTLKGIKSKQTLKDLSFDSECSLPNWVQKSTFK >KJB71862 pep chromosome:Graimondii2_0_v6:11:23370761:23372860:1 gene:B456_011G145000 transcript:KJB71862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRGLQKKHDRFHNLNGALSLFNKMIDECPKPSIVEFSILLQAIVRMKHYALVVFLGSQMELRGVSHNAYSFTILINCFCHLGKVDFGFSVLGKMLKLGFEPDVVTLSTLINGLCNQSKVSQAASLFDEMIKKGCRPDSVAYNTVLNGLCKTGNTGRAVKFLRTMNERGFEPNIRAYSTLIDCLCKNGLLNEALDLFSEVKVKGIRPNIVIYNCLIHGMCNSGQQQEATKLLNEMVGNNVSPDIFTYNILIDAHCKEGTISEAVNIFNAMRKQGVKPDVVTYNIVVDAWCKERLISESLDMVHTMRKQGIEPDVVTYSTLVDAHCKEGRVSEAEDIVDTMRKEGIEPDVVTYNILVDAYCKERSISKAVDTIATMRKQGVEPDVVTYNIFIDALCKNGMVSEAECIVDTMRKQCIEPNFVTYRTLMLGMCQLGRISTACELLRKMLTSGEVPDLMTISILLDDFCKRGKLDEALEFFQAMRNSGLKLDTDSYCVVIDGLCKAGQIEVAKELFHELSVNGLKPNVYTYDIVINGLCKEGLLDEAYQLFRSMGDNDCFPVDCCYNVMIQAFLRNSYTSKATELLTEM >KJB71388 pep chromosome:Graimondii2_0_v6:11:16536753:16539700:-1 gene:B456_011G120200 transcript:KJB71388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASMKGDFPKWVVKRNTKVASAALIKGQWTDDEDRKLIRLVKQYGVRKWAQIAESLVGRAGKQCRERWHNHLRPNIKEMQCLASTLCMPLWIEL >KJB73521 pep chromosome:Graimondii2_0_v6:11:55832683:55836686:-1 gene:B456_011G237300 transcript:KJB73521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGLTGDSKGQLLSQLAELVKEISGLPECRNSCKKMHGTLVRRIKLLSPLFEELRDGNEESMVKIEEIKGFELLKSALDSTKKLLQSINEGSKLYQALQKDQVAYKFQQMTETIEAALSEIPYDKLDISEEVREQIELVHAQFRRAKGRPESPDLQLEHDLSIVQKEKDPDPAILKRLSDKLQLRTINDLTKESVALHELVITSGEDTGERIEEIASLLKKLKDFVLTENPEADTSEGEKGLMKHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHKTCPKTQQTLLHTALTPNYVLKSLIALWCESNGVELPKKQGTCRSRKAGSSASDCDRTAIIALLEKLANGNSEQQRAAAGELRLLAKRNADNRVCIAEAGAIPLLVELLSCTDPRTQEHAVTALLNLSINDSNKGTIVNAGAIPDIVDVLKNGSMEARENAAATLFSLSVIDENKVAIGAAGAIPALIKLLCEGSPRGKKDAATAIFNLSIYQGNKARAVRAGIVPPLMRLLKDAGGGMVDEALAILAILASHHEGRAAIGQADPIPVLLEVIRTGSPRNRENAAAVLWSLCTSNLEQLKIAKDFGAEEALKDLSETGTDRAKRKAGSILELLQQLEVKEDAVSLSSL >KJB69377 pep chromosome:Graimondii2_0_v6:11:1417648:1418748:-1 gene:B456_011G020300 transcript:KJB69377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQLPDDFRCPISLEIMSDPVILPSGHTFDRVSIQRWLDSGHRTCPITKLPLPEHPCLIPNHALRSLISNYTLVSPSKLQPCPQPQILISSLTSQSSHVGTKLNSLTHLVRLTKHDSGFRRKLTELGAAPGVLKCVGSDDPSLQEKALSLLLNLSLDDDNKVGLVAEGAINRVIKVLRFGSPDCRAIAATIITSLAVVEVNKATIGAYPDAIQALVWLLISGKGREKKEAATALYAICSFADNRRRAIDCGAVPILISLLDSGLERAIEVLGLLVKCKEGREEMMKVNGCVKVLVSVLRNGSSRGVQYGLFTLNCLCTCCERLCFEAINEGVVEICMGLMEEENEKIRRYTSSLVQTLRENHAFG >KJB72470 pep chromosome:Graimondii2_0_v6:11:42396508:42397961:1 gene:B456_011G180300 transcript:KJB72470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVSRISKASRFQKRRITVKRKHHFRQVSFHLYPLPCVCMHCLTALHVDPIHLITSPILTIHSHSLLSLLKIFRLKSANLLGLSASSTVLSDLTMATVGRNIAAPLLFLNLIMYFIVLGFASWCFNRFIDGQTAHPSMGGNGATGFFLTISILAAVAGIVSKLAGGGHIRSWRSDSLAEAGSSSLIAWALTALAFGFACKHINIGGRRGWRLRVIEALIIILTLTELLYVCLIHAGLFGSRYGPGYRDTEYEGQPVPKGGPAVTGSRV >KJB72469 pep chromosome:Graimondii2_0_v6:11:42396508:42397430:1 gene:B456_011G180300 transcript:KJB72469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVSRISKASRFQKRRITVKRKHHFRQVSFHLYPLPCVCMHCLTALHVDPIHLITSPILTIHSHSLLSLLKIFRLKSANLLGLSASSTVLSDLTMATVGRNIAAPLLFLNLIMYFIVLGFASWCFNRFIDGQTAHPSMGGNGATGFFLTISILAAVAGIVSKLAGGGHIRSWRSDSLAEAGSSSLIAWALTALAFG >KJB70702 pep chromosome:Graimondii2_0_v6:11:9204188:9206018:-1 gene:B456_011G088000 transcript:KJB70702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSNGKVSLLSVKQGEPTLVYPAEDTEKGLYFLSNLDQNIAVIVRTIYCFKSDEKGNGNAAQVIKDALRKVLSHYYPLAGRLTISSEGKLIVDCNGEGAVFVEAEANCKMEEIGDITKPDPESLGKLVYDIPGAKSILEMPLLVAQVTKFQCGGFVLGLCMNHCMFDGIGAMEFVNSWGETARGLQLSIPPFSGRAILKARSQPKIEHLHQEFAEIEDKSNTNELYKDEMLYRSFCFEPEKLEKLKKDAMGNGALEKCTTFEALSAFVWRARTKALNMLPDQQTKLLFAVDGRPKFNPPLPKGYFGNGIVLTNSISQAGLLLEKPLSHAVGLIQDAIKMVTDDYMRSAIDYFEVTRARPSLSSTLLITTWSRLSFHTTDFGWGEPVLSGPVALPEKEVILFLSHGKERKSINVLLGLPASAMKVFQEQMKI >KJB70701 pep chromosome:Graimondii2_0_v6:11:9204166:9206366:-1 gene:B456_011G088000 transcript:KJB70701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVETIEGCAIMEKSNGKVSLLSVKQGEPTLVYPAEDTEKGLYFLSNLDQNIAVIVRTIYCFKSDEKGNGNAAQVIKDALRKVLSHYYPLAGRLTISSEGKLIVDCNGEGAVFVEAEANCKMEEIGDITKPDPESLGKLVYDIPGAKSILEMPLLVAQVTKFQCGGFVLGLCMNHCMFDGIGAMEFVNSWGETARGLQLSIPPFSGRAILKARSQPKIEHLHQEFAEIEDKSNTNELYKDEMLYRSFCFEPEKLEKLKKDAMGNGALEKCTTFEALSAFVWRARTKALNMLPDQQTKLLFAVDGRPKFNPPLPKGYFGNGIVLTNSISQAGLLLEKPLSHAVGLIQDAIKMVTDDYMRSAIDYFEVTRARPSLSSTLLITTWSRLSFHTTDFGWGEPVLSGPVALPEKEVILFLSHGKERKSINVLLGLPASAMKVFQEQMKI >KJB69537 pep chromosome:Graimondii2_0_v6:11:2124877:2126103:1 gene:B456_011G029100 transcript:KJB69537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLIAKTAMFQLSKAFPRTVSLRSSANVSRVCFTTTASKRSEGRDTKAGFAYREKDISSDEDTTAEEAMERAKEYAHNAKEKTKGAFDTAADRAKDATNRAAETAQSANEKTKQKAREYAQGTKETAQSAKDKTKEGADKASQTAYELKEKSKERAQGVMEKSEEIAGSVADKASETIQNVGEKAKQTAQGAWDAAKGTTQKIKETVVGKSEEEKRMDDDVVELRRRARRESDESKY >KJB72693 pep chromosome:Graimondii2_0_v6:11:45622528:45627878:1 gene:B456_011G191000 transcript:KJB72693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAPSYSILASKVYLSLLLSPNSPVFTLFTPISFLSFLRSLRRAFKNCPSAQPEESPPSHAPPNRKRKGGGRVRGARGNVRGSGDCSEEASDTFDMKQVFKVFEMLVSVLGLIHLDRFPDSLKSLVQTFGEIPLMAMEKLGNPSSFNRLMDLCSRVLSEVLRAEHGELANTTAEVLKALSPLILMVKSQARSFALGFVTKRMTELGNESDGVKKAVVNFPRYLAQKAPEKAEPRALAVDSIMEVVKVMDFEDQIGYMDYVLKMTQGKANLRLLGVDLIAMMLMSLRDPFGVDSDVKTRDYWGTKCLEALITRCSDLSAGIRARALSSLAQVVGFLSSDDRNKGILKEVMGLSEGGEERPQCGMNDLLKNRCMDDKAAVRKAALLLVTKLISLLDGCFDGILLKTVGMACSDPLVSIRKAAISALSEAFRTFSDESVTTEWLHSVPRLITDNESSIQEECENLFLELVLDRVSRAGPACAPKKGSVLPESHLTTKSLEGELELLFPGGILILLKGICDGEVTPWVKKLCTSLGNKKRLKPKIAAALQNIIKTSESIWLNHSMPIEKWTAPAGAWFLLSEVSVYLSKAVEWEFLHHHWLLLDKPGSKGKLQSPLLQGNANEDGEGVESNSVAWAGDRVFLLQTISNVSMELPAEPAADLAHNLLKRVEKFNMHSTEVNAHVKALRTLCKVKSLNPEEADQLVMRWGQQLLSKAHEILEKYISDDKEANNNSSFFTPPRSGSRKGKQAARASRLLSKTVTAVYTVGSLVVVCPAADVSSIVPLLYTVVTSGNSDPKLNKLPGPKVSLKQTAPSLYIQAWLTLGKICLADGKLAKSYIPLFVQELEKSDCAALRNNLVVMMADFCVRYTALVDCYIAKITKCLRDPCELVRRQTFILLSRLLQRDYVKWRGVLFLRFLLCLVDDSEKIRQLADFLFGNILKAKAPLLAYNSFIEAIYVLNDCHAHNGHNDSKNSRTESRLFSIRGNDERSRTKRMRIYVCLLKQMAPEHLLATFAKLCAEILAAASDGMLNIDDITGQSVLQVLIICTFVDRACQKM >KJB72692 pep chromosome:Graimondii2_0_v6:11:45622204:45627995:1 gene:B456_011G191000 transcript:KJB72692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETIARILTELEEINQIPNTQNQTPLISRSTLLDLHSLLSTNDPDLVSQLFDDLPSKSLSPSSLTNLLSFTMDSAPSYSILASKVYLSLLLSPNSPVFTLFTPISFLSFLRSLRRAFKNCPSAQPEESPPSHAPPNRKRKGGGRVRGARGNVRGSGDCSEEASDTFDMKQVFKVFEMLVSVLGLIHLDRFPDSLKSLVQTFGEIPLMAMEKLGNPSSFNRLMDLCSRVLSEVLRAEHGELANTTAEVLKALSPLILMVKSQARSFALGFVTKRMTELGNESDGVKKAVVNFPRYLAQKAPEKAEPRALAVDSIMEVVKVMDFEDQIGYMDYVLKMTQGKANLRLLGVDLIAMMLMSLRDPFGVDSDVKTRDYWGTKCLEALITRCSDLSAGIRARALSSLAQVVGFLSSDDRNKGILKEVMGLSEGGEERPQCGMNDLLKNRCMDDKAAVRKAALLLVTKLISLLDGCFDGILLKTVGMACSDPLVSIRKAAISALSEAFRTFSDESVTTEWLHSVPRLITDNESSIQEECENLFLELVLDRVSRAGPACAPKKGSVLPESHLTTKSLEGELELLFPGGILILLKGICDGEVTPWVKKLCTSLGNKKRLKPKIAAALQNIIKTSESIWLNHSMPIEKWTAPAGAWFLLSEVSVYLSKAVEWEFLHHHWLLLDKPGSKGKLQSPLLQGNANEDGEGVESNSVAWAGDRVFLLQTISNVSMELPAEPAADLAHNLLKRVEKFNMHSTEVNAHVKALRTLCKVKSLNPEEADQLVMRWGQQLLSKAHEILEKYISDDKEANNNSSFFTPPRSGSRKGKQAARASRLLSKTVTAVYTVGSLVVVCPAADVSSIVPLLYTVVTSGNSDPKLNKLPGPKVSLKQTAPSLYIQAWLTLGKICLADGKLAKSYIPLFVQELEKSDCAALRNNLVVMMADFCVRYTALVDCYIAKITKCLRDPCELVRRQTFILLSRLLQRDYVKWRGVLFLRFLLCLVDDSEKIRQLADFLFGNILKAKAPLLAYNSFIEAIYVLNDCHAHNGHNDSKNSRTESRLFSIRGNDERSRTKRMRIYVCLLKQMAPEHLLATFAKLCAEILAAASDGMLNIDDITGQSVLQDAFQILACKEIRVSSHRGAASDSAEVEEDGDSSASAAAAKGRAITQAVRKGLIQNTIPIFIELKRLLENNNSPLTGSLMECLRVLLKDYKNEIDDMLVADKQLQKELIYDIQKYESAKARTTAAEAVAGMQNQGVYWSPPCVPKAATGAHPKNKMNQKLSSDSKVASAIADAAAEATARSVLREVNKGAMTPPLKAINMPKLKSNQAGSSAKNDRSLDVLESLRRRIDDEN >KJB74424 pep chromosome:Graimondii2_0_v6:11:62505371:62506492:1 gene:B456_011G294400 transcript:KJB74424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQHFLSGIITQDLHNHTVSTVTFKHYKVSVMLFRSLYLVDVDKETIGRVLKLNSVRNGNLWKEIDVLIFNTWHRWHRRGLKQQWDYVQFDGKIRKDIDRTVAFRTALRTWAKWVDSDVDTNRTKVIFQGISPSHYNGIDWNEPGVRNCSRQTTPFKGSIYPTGLPLAEYVVKEVIRNIKKPVHLLDITMLSQLRKDAHPSTYNDFNGMDCTHWSLPASPIHGIYFCMQLSSNSREIWFRH >KJB72775 pep chromosome:Graimondii2_0_v6:11:47454062:47455104:-1 gene:B456_011G196500 transcript:KJB72775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTYNYDSTSPVAPARLFKAFVLEADKVWPIAAPHAIQSIEVEANPVPGSIVKINFVEGLPFQYMKHQIGGHDENKFSYSYSLIEGGPLGDKLEKINYENKFEAAVGGGSVCKSSMKFYTFGDYVITEDEIKALIKGSEGVYKAIEAYLLANPDACN >KJB74257 pep chromosome:Graimondii2_0_v6:11:61597649:61599166:-1 gene:B456_011G283400 transcript:KJB74257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKFSERQPIGTAAQSQDDKDYTEPPPAPFFEPSELTSWSFYRAGIAEFVATFLFLYISVLTVMGVVKDKTKCTTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYMVMQCLGAICGAGVVKGFMGKTRYGALGGGANSVNHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDKGWDDHWIFWVGPFIGAALAALYHVVVIRAIPFKSK >KJB74258 pep chromosome:Graimondii2_0_v6:11:61597718:61599121:-1 gene:B456_011G283400 transcript:KJB74258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKFSERQPIGTAAQSQDDKDYTEPPPAPFFEPSELTSWSFYRAGIAEFVATFLFLYISVLTVMGVVKDKTKCTTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYMVMQCLGAICGAGVVKGFMGKTRYGALGGGANSVNHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPVSFIK >KJB71074 pep chromosome:Graimondii2_0_v6:11:12018061:12020530:1 gene:B456_011G104300 transcript:KJB71074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFRKWFKKAMKLRIKGKHLSVSLIGALTNDTKGNGDHINSRGLFQSAERRWEKMLVKGRSDVASEMASLCYGEIVG >KJB70737 pep chromosome:Graimondii2_0_v6:11:9387029:9390593:1 gene:B456_011G089500 transcript:KJB70737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYGRDPWGGPLEINAADSATDDDRSRNLQDLDRAALSRPLDETQQSWLLGPSEQKKKKKYVDLGCIIVSRKIFVWTVGTLVVSAVLAGLITLIVKTVPRHHHGKPPPDNYTLALHKALMFFNAQRSGKLPKHNNVSWRGNSCLRDGKSDPGTTMKDLVGGYYDAGDAIKFNFPASFAMTMLSWSVIEYSAKYEAAGELNHVKEIIKWGTDYLLKTFNNSADTIDKIAAQVGVGDTSGGSTTPNDHYCWMRPEDIDYPRPVYECHSCSDLAAEMAAALAAASIVFKDNKAYSQKLVHGARTVFQFARDQRGRYSAGGSDPALFYNSSSYWDEFVWGGAWLYYATGNSSYLQLATHPKLAKHAGAFWGGPDYGVLSWDNKLAGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIVMCSFLPVFTSFNRTKGGLIQLNHGRPQPLQYVVNAAFLAALYSDYLDAADTPGWYCGPNFYSTDVLREFAKTQVCFCLFFFKR >KJB70736 pep chromosome:Graimondii2_0_v6:11:9386928:9390624:1 gene:B456_011G089500 transcript:KJB70736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYGRDPWGGPLEINAADSATDDDRSRNLQDLDRAALSRPLDETQQSWLLGPSEQKKKKKYVDLGCIIVSRKIFVWTVGTLVVSAVLAGLITLIVKTVPRHHHGKPPPDNYTLALHKALMFFNAQRSGKLPKHNNVSWRGNSCLRDGKSDPGTTMKDLVGGYYDAGDAIKFNFPASFAMTMLSWSVIEYSAKYEAAGELNHVKEIIKWGTDYLLKTFNNSADTIDKIAAQVGVGDTSGGSTTPNDHYCWMRPEDIDYPRPVYECHSCSDLAAEMAAALAAASIVFKDNKAYSQKLVHGARTVFQFARDQRGRYSAGGSDPALFYNSSSYWDEFVWGGAWLYYATGNSSYLQLATHPKLAKHAGAFWGGPDYGVLSWDNKLAGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIVMCSFLPVFTSFNRTKGGLIQLNHGRPQPLQYVVNAAFLAALYSDYLDAADTPGWYCGPNFYSTDVLREFAKTQMDYILGKNPRKMSYVVGFGNHYPKHVHHRGASIPKNKIRYNCKGGWKWRDSKKPNPNTLVGAMVAGPDKHDGFHDVRTNYNYTEPTLAGNAGLVAALVALSGDKSTGIDKNTIFSAVPPMFPTPPPPPAPWKP >KJB73023 pep chromosome:Graimondii2_0_v6:11:50613488:50614887:1 gene:B456_011G210000 transcript:KJB73023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCGGGSGGCSKDMAVELGDEPHVLAVDDNLIDRKLVERLLKNSSCKVTTAENALRALDYLGLGNDTLEGTVSEVNMIITDYCMPGMTGYELLKKIKESSVLKEVPVVIMSSENIPTRISQCLEEGAKMFMLKPLKQSDVKQLTWHLMKCRN >KJB73686 pep chromosome:Graimondii2_0_v6:11:57035332:57036361:1 gene:B456_011G243500 transcript:KJB73686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHQWNQVEDYSEGRTSMIRTSSDNANESRQHRPLSVPNVDHLQQQQQQTPAMVASSQGYPSKDDDSESDGSFESSRKSEKDCVSNDQRIVEPKPQQPTHLEEKCYQSKQSLPSVAAQSPPKEGERSSPSFNQPQQWQTSDRVNPPTRHPSGSTSQSSHSAVPMKAHSNTGYTRLPSVEAPSARVPLKVDPIPMSYNPRPPHPDEEGMAYRGETTVPEDEITPATTDSIEASPKNVAVKRKTSPPSSSSPPCCCIS >KJB74109 pep chromosome:Graimondii2_0_v6:11:60537332:60537787:1 gene:B456_011G273200 transcript:KJB74109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNGIYRIVINQILQSPDIYQSELDHNGTSVYIDTIISNWGWRLELEIDRKARIWARVSRKQKISILVLSSAMGSNLREILKNVCYPKIFLSFLTDKEKEIGSKENSNLEFYQQFSCVGGDPIFSESLCKELQKKFFQQRCELERIGRRNMN >KJB73626 pep chromosome:Graimondii2_0_v6:11:56737768:56738331:-1 gene:B456_011G2412002 transcript:KJB73626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMIKMVPTKPMVVETFSAYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKSAAKKGK >KJB73815 pep chromosome:Graimondii2_0_v6:11:58388998:58389890:1 gene:B456_011G254500 transcript:KJB73815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTTASVFLLFIIFSITPSSFSFGVANTTNAPVLDSDGNELRTGTPYFVVSSIWGAGGGGLDPGRPRGKPCPEVVAQRGSGDNGIPVIFSNSDSKDGVVRLSSDINIAFVPLRPKFCETTTVWKVADYDHSAGKWWVITDGVKGNPGANTLTSWFRIEKTTTDFDYTFKYCPAVCGTCPALCNKIVRDFDGEMVRLALTTGHGWPFIFKKVGKSAMEIEQVVHN >KJB72334 pep chromosome:Graimondii2_0_v6:11:38178883:38180671:1 gene:B456_011G171600 transcript:KJB72334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHCSLLFKISVAKRWATPFNDQGLWLKLQVLNLLPRIFPSLIYAPISDLSSSLLCNFIITMSGPPSTSTSKNPRSNPH >KJB73529 pep chromosome:Graimondii2_0_v6:11:56042788:56046663:-1 gene:B456_011G238100 transcript:KJB73529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFHFSGNEKNDEPKTTKSISVRSSESVSISTDHDMRRSGSEFNSQNVSDFSTESSTKNSFAALSQRQSNLREFTFLELKAATKNFSRSLMIGEGGFGGVYRGVIRISDDYHKKLDIAVKQLSRRGLQGHKEWVTEVNVLGVVEHQNLVKLVGYCAEDDERGIQRLLIYEYMPNRSVQDHLSSRFQATLPWATRIKIAQDAARGLTYLHEGMDFQIIFRDFKSSNILLDEHWNAKLSDFGLARLGPSDGLSHVSTAVVGTIGYAAPEYIQTGRLTAKSDVWSYGVFLYELITGRRPLDRNRPKAEQKLLEWVRPHLSDMKKFRLILDPRLEGKYSLKSARKLAAVANKCLARQAKQRPKMSEVLQMLDEIVETADMLSPQPATKSSIPKHVSELSKRERLKRRFIDLINSDKRCLVWRTWRPKIVRTT >KJB70161 pep chromosome:Graimondii2_0_v6:11:5079603:5082679:1 gene:B456_011G061700 transcript:KJB70161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLRDSFFFLPILCFCFVFIVDGSVHEYNGEKFVGKGNAFVVHGGSEGIYSSFADPYNVTATIGDSYIRFEKVTFRRPKEAANFSSKAIQAVVFGVEDRDSIGGSAYGGQRAVCCTADLAKLGVCLEGEVIYRPSTENPNWPKVFGISFNGDDEVATLRSRSIKITKTGMYNLYFIHCDVNLKDLTVEGKTIWKNPNGYLPGRMAPLMNFYGFMSLAFVILGIFWFSQYARFWREIFPLQNCITLVITLGMLEMALWYFDYAEFNESGIRPVGITMWAVSFGTIKRTVSWIIILMVSMGYGVVRPTLGGLTSKVIMLGATFFLASEVLEVVENVGAVSDLSGKARLFLVLPVAILDAFFILWIFTSLSATLNKLQARRMTVKLDIYRKFTNALAVAVIVSVGWICYELYFKLNDVYNEQWQSAWIIPAFWQVLSFSLLCVICVLWAPSQNSMRYAYSDEANEGFDKDDTTLTLIKPSSTPMKDFKSAAEARPVQGHNGAWNGDLEEDKTV >KJB70162 pep chromosome:Graimondii2_0_v6:11:5079706:5082441:1 gene:B456_011G061700 transcript:KJB70162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLRDSFFFLPILCFCFVFIVDGSVHEYNGEKFVGKGNAFVVHGGSEGIYSSFADPYNVTATIGDSYIRFEKVTFRRPKEAANFSSKAIQAVVFGVEDRDSIGGSAYGGQRAVCCTADLAKLGVCLEGEVIYRPSTENPNWPKVFGISFNGDDEVATLRSRSIKITKTGMYNLYFIHCDVNLKDLTVEGKTIWKNPNGYLPGRMAPLMNFYGFMSLAFVILGIFWFSQYARFWREIFPLQNCITLVITLGMLEMALWYFDYAEFNESGIRPVGITMWAVSFGTIKRTVSWIIILMVSMGYGVVRPTLGGLTSKVIMLGATFFLASEVLEVVENVGAVSDLSGKARRMTVKLDIYRKFTNALAVAVIVSVGWICYELYFKLNDVYNEQWQSAWIIPAFWQVLSFSLLCVICVLWAPSQNSMRYAYSDEANEGFDKDDTTLTLIKPSSTPMKDFKSAAEARPVQGHNGAWNGDLEEDKTV >KJB70163 pep chromosome:Graimondii2_0_v6:11:5079799:5081923:1 gene:B456_011G061700 transcript:KJB70163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLRDSFFFLPILCFCFVFIVDGSVHEYNGEKFVGKGNAFVVHGGSEGIYSSFADPYNVTATIGDSYIRFEKVTFRRPKEAANFSSKAIQAVVFGVEDRDSIGGSAYGGQRAVCCTADLAKLGVCLEGEVIYRPSTENPNWPKVFGISFNGDDEVATLRSRSIKITKTGMYNLYFIHCDVNLKDLTVEGKTIWKNPNGYLPGRMAPLMNFYGFMSLAFVILGIFWFSQYARFWREIFPLQNCITLVITLGMLEMALWYFDYAEFNESGIRPVGITMWAVSFGTIKRTVSWIIILMVSMGYGVVRPTLGGLTSKVIMLGATFFLASEVLEVVENVGAVSDLSGKARLFLVLPVAILDAFFILWIFTSLSATLNKLQARRMTVKLDIYRKFTNALAVAVIVSVGWICYELYFKLNDVYNEQWQSAWIIPAFWQVLSFSLLCVICVLWAPSQNSMR >KJB72307 pep chromosome:Graimondii2_0_v6:11:37394490:37397919:-1 gene:B456_011G170500 transcript:KJB72307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGYGKRRVAEGNRVGRRSKGPGVDKKPKPKAPSLKNQIRSIERMLRKDLPPEVREAQENKLEGLKKQQEIHNRLAVERKIFLRDRKIKFFERRKIERRIRRLEKLQRTSSGQAQDVDIADQLSKLKEDLEYVRFFPKTEKYVSLFTGGDDSDIVDRRNRLRKQIKANLIAAAASGKDMEETGSEDDGLLDLSDDDFFLTGTSSDEADADDEWTDKSTREQASSASGKAASGMSSDERNQRQVSARALMPPPRPSSNSFSNSVHAKSRFGSSSSRNSSMRRAEMSASSNASNSRSGSSFKAGGSSNSKTGNSSNLSSNSDARKPRRKRRPKKRKQQA >KJB72309 pep chromosome:Graimondii2_0_v6:11:37394575:37397875:-1 gene:B456_011G170500 transcript:KJB72309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGYGKRRVAEGNRVGRRSKGPGVDKKPKPKAPSLKNQIRSIERMLRKDLPPEVREAQENKLEGLKKQQEIHNRLAVERKIFLRDRKIKFFERRKIERRIRRLEKLQRTSSGQAQDVDIADQLSKLKEDLEYVRFFPKTEKYVSLFTGGDDSDIVDRRNRLRKQIKANLIAAAASGKDMEETGSEDDGLLDLSDDDFFLTGTSSDEADADDEWTDKSTSQTSMHFMHREQASSASGKAASGMSSDERNQRQVSARALMPPPRPSSNSFSNSVHAKSRFGSSSSRNSSMRRAEMSASSNASNSRSGSSFKAGGSSNSKTGNSSNLSSNSDARKPRRKRRPKKRKQQA >KJB72308 pep chromosome:Graimondii2_0_v6:11:37395610:37397728:-1 gene:B456_011G170500 transcript:KJB72308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGYGKRRVAEGNRVGRRSKGPGVDKKPKPKAPSLKNQIRSIERMLRKDLPPEVREAQENKLEGLKKQQEIHNRLAVERKIFLRDRKIKFFERRKIERRIRRLEKLQRTSSGQAQDVDIADQLSKLKEDLEYVRFFPKTEKYVSLFTGGDDSDIVDRRNRLRKQIKANLIAAAASGKDMEETGSEDDGLLDLSDDDFFLTGTSSDEADADDEWTDKSTREQASSASGKAASGMSSDERNQRQVSARALMPPPRPSSNSFSNSVHAKSRFGSSSSRNSSMRRAEMSASSNASNSRSGSSFKAGGSSNSKTGNSSNLSSNSDARKPRRKRRPKKRKQQVY >KJB71764 pep chromosome:Graimondii2_0_v6:11:22209514:22213384:1 gene:B456_011G140800 transcript:KJB71764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFLKSSYIFILVRLLGFSTHSPLPLNPLSPSLRFTISCMFIPAGHMASAEDSKEPEWIERVRSEGAVPLLEPDNCPNGWACPPGDTFMVRGPEYLSNKVKIPGGKYLLKSLGFDWIRSSTKVGDLLSHRKHRIRKVVDEAFPTGDKPFIWAFNLQLPTKDNYSAVAYFVSTEPIQEGSLIDQFLKGDDAFRNSRLKLIANIVKGPWIVKKAVGEQAICIIGRALSCQYFISENFIEVDIDIGSSMVASAIVHLAFGYITSLTVDLAFLIESQTEAELPERILGAIRFSELKIDSAQLIEPSSYGSSGNLQASLPTRLWKSLGQGFSHLLHPGAQDSGSVSTPTTRVNGTAAHEESDGDVK >KJB71765 pep chromosome:Graimondii2_0_v6:11:22209822:22212517:1 gene:B456_011G140800 transcript:KJB71765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEDSKEPEWIERVRSEGAVPLLEPDNCPNGWACPPGDTFMVRGPEYLSNKVKIPGGKYLLKSLGFDWIRSSTKVGDLLSHRKHRIRKVVDEAFPTGDKPFIWAFNLQLPTKDNYSAVAYFVSTEPIQEGSLIDQFLKGDDAFRNSRLKLIANIVKGPWIVKKAVGEQAICIIGRALSCQYFISENFIEVDIDIGSSMVASAIVHLAFGYITSLTVDLAFLIESQTEAELPERILGAIRFSELKIDSAQLIEPSSYGSSGNLQASLPTRLWKSLGQGFSHLLHPGAQDSGSVSTPTTRVNGTAAHEESDGDVK >KJB71766 pep chromosome:Graimondii2_0_v6:11:22209776:22213424:1 gene:B456_011G140800 transcript:KJB71766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEDSKEPEWIERVRSEGAVPLLEPDNCPNGWACPPGDTFMVRGPEYLSNKVKIPGGKYLLKSLGFDWIRSSTKVGDLLSHRKHRIRKVVDEAFPTGDKPFIWAFNLQLPTKDNYSAVAYFVSTEPIQEGSLIDQFLKGDDAFRNSRLKLIANIVKGPWIVKKAVGEQAICIIGRALSCQYFISENFIEVDIDIGSSMVASAIVHLAFGYITSLTVDLAFLIESQTEAELPERILGAIRFSELKIDSAQLIEPSSYGSSGNLQASLPTRLWKSLGQGFSHLLHPGAQDSGSVSTPTTRVNGTAAHEESDGDVK >KJB69895 pep chromosome:Graimondii2_0_v6:11:3795687:3798410:-1 gene:B456_011G048600 transcript:KJB69895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KFSTLNLSNCKLLLVEFMFVVGNTLNHVYIQPRSYKPSFKWMAKNSSSSDVAIEKWKRDSVYIDKRGKLRTFHHKKLSRKRCGSLRGQGWKYGSGFVDGVFPVLSPIAQQILDFLQQEVDADRVWGSLDNLSPSHSTWDDLINVAVQLRLNKKWDPIVLLCEWILQRSSFQLDVMCFNLLIDAYGQKSLYKKVESKYLELLEARCVPTEDTYALLVKAYCTAGLKQKAEAVFAEMRKHGLSPSATVYNAYIDGLMKGGNSQKAIEVFQRMKRDGCQLNTETYTLMINLYGKASKSYMALKLFDEMRSQKCKPNICTYTALVNAFAREGLCEKAEEIFEQLQEAGHEPDVYAYNALMEAYSRAGYPYGAAEVFSLMQHMGCEPDRASFNIMVDAYGRAGLYEDAESVFEEMKKLGIKPTMKSHMLLLSAYSRVGNIAKCENIVNQIQESGLQPDTFVLNSMLNLYGKLGQFENMEKVLVAMEKGPYEADISTYNILINVYGRAGYFNRMEELFQSLPAKNLTPDVVTWTSRLGAYSRKKLYQRCLEIFEEMIDAGCYPDGGTAKVLLSACSSEDQIEQVTTVIRTMHKDMKTVLPI >KJB70327 pep chromosome:Graimondii2_0_v6:11:6040672:6042905:1 gene:B456_011G067900 transcript:KJB70327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLIWEVVKKNNCFLVKQFGRGTAALQFSKEPNNLYNLNSYKYSGLANKKTVTIQSGGKDQSVLLAITKTKKQNKPSTLLQKSAMKKEFPRMAKAVKNQVADNYYRPDLTKAALARLSAVHRSLKVAKSGVKKRNRQALKIHGRK >KJB70326 pep chromosome:Graimondii2_0_v6:11:6040851:6042536:1 gene:B456_011G067900 transcript:KJB70326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLIWEVVKKNNCFLVKQFGRGTAALQFSKEPNNLYNLNSYKYSGLANKKTVTIQSGGKDQSVLLAITKTKKQNKPSTLLQKSAMKKEFPRMAKAVKNQVADNYYRPDLTKAALARLSAVHRSLKVAKSGVKKRNRQALKIHGRK >KJB70325 pep chromosome:Graimondii2_0_v6:11:6040430:6042979:1 gene:B456_011G067900 transcript:KJB70325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLIWEVVKKNNCFLVKQFGRGTAALQFSKEPNNLYNLNSYKYSGLANKKTVTIQSGGKDQSVLLAITKTKKQNKPSTLLQKSAMKKEFPRMAKAVKNQVADNYYRPDLTKAALARLSAVHRSLKVAKSGVKKRNRQALKIHGRNWGGGFGV >KJB70324 pep chromosome:Graimondii2_0_v6:11:6040672:6042905:1 gene:B456_011G067900 transcript:KJB70324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLIWEVVKKNNCFLVKQFGRGTAALQFSKEPNNLYNLNSYKYSGLANKKTVTIQSGGKDQSVLLAITKTKKQNKPSTLLQKSAMKKEFPRMAKAVKNQVADNYYRPDLTKAALARLSAVHRSLKVAKSGVKKRNRQALKIHGRK >KJB68831 pep chromosome:Graimondii2_0_v6:11:55845918:55846975:-1 gene:B456_011G2375002 transcript:KJB68831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELQKHGSPGIVMALVGNKADLQEKREVPVQDGIDYAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPSPS >KJB68833 pep chromosome:Graimondii2_0_v6:11:55845372:55846975:-1 gene:B456_011G2375002 transcript:KJB68833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELQKHGSPGIVMALVGNKADLQEKREVPVQDGIDYAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPSPS >KJB68835 pep chromosome:Graimondii2_0_v6:11:55845372:55846975:-1 gene:B456_011G2375002 transcript:KJB68835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELQKHGSPGIVMALVGNKADLQEKREVPVQDGIDYAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPSPS >KJB68834 pep chromosome:Graimondii2_0_v6:11:55845918:55846975:-1 gene:B456_011G2375002 transcript:KJB68834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELQKHGSPGIVMALVGNKADLQEKREVPVQDGIDYAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPSPS >KJB68832 pep chromosome:Graimondii2_0_v6:11:55845918:55846975:-1 gene:B456_011G2375002 transcript:KJB68832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELQKHGSPGIVMALVGNKADLQEKREVPVQDGIDYAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPSPS >KJB74376 pep chromosome:Graimondii2_0_v6:11:62250914:62253122:1 gene:B456_011G291200 transcript:KJB74376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVDTFEKNRNNNRDLADEEEEEEEEEEEEDRNKINYKTGNEGESSSNSSVEETGKKSTTGSVRPYNRSKTPRLRWTPDLHLRFVHAVEKLGGQDRATPKLVLQLMNIKGLSIAHVKSHLQMYRGKKIDDPNQVIHKKEIVFQNGDHHHHIHKLSQLPMRQSFNNQSPSSTFRYGDVISWRGNNNKNVLDMTSKGLYCSVATRLFSSCNNYNSLSFSSQRPTMGTNCTPLKRKMISSMVSNIEDRLDLDLSLKVTTVAGEFDEKGSLALDDSGGLSLSLASSSSFSKLCRLNKEVKYGDGNRKQEVRTMASTLDLTL >KJB73737 pep chromosome:Graimondii2_0_v6:11:57339293:57340007:-1 gene:B456_011G247100 transcript:KJB73737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILLIFSSILSLPVGTVIRLLNKQGTAGCIGNIYNSIENLSESYMLKASEKDILLKPMVMNYSANVALLMPSMEFSKCTKLYSTRCPCCSKAMNHNLTFLDTTNKAPNLGEASFVKGVMPYMVMDDLTVRPMSAKSIITLLSHYNIKDLGDLEEKVIAVGVNEELELLRASMLSKTVLTDVFLGVKKKISVKSEPIIH >KJB72618 pep chromosome:Graimondii2_0_v6:11:44683902:44689189:-1 gene:B456_011G187600 transcript:KJB72618 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAPC7 [Source:Projected from Arabidopsis thaliana (AT2G39090) UniProtKB/TrEMBL;Acc:A0A178VSZ9] MDVPKEQITALLDNALFNSAQLLGSFLVSSSTVNAETSPHLKAENLVLLGDALFREREYRRAIHTYKQALQYYKIIPKQNSTSSRSALSASNRSSSPNSFNICAINENEMEGIPSKARNLQMSLLMAKLYRNTKHTRGAVTCYRECLRQCPYVIEAIIALAELGASAKDIISLFPQTPNRGGRAQLDHGDSSRWLQRYVEAQCCIASNDYKGGLELLAELLQRFPNNTHILLEMAKVEAIIGKNDEAIMNFEKVRSIDPYVVTYMDEYAMLLKMKSDYSKLNKLVHDLLSIDPTRPEVFVALSVLWERKDERGALSYAEKSIKIDERHIPGYLMKGNLLLALKRPESAVMAFRGAQELRADLRSYQGLVHSYLAFSKVKEALYAAREAMKAMPQSAKALKLVGDVHASNSGGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQDSLSHYQSALRINPQNEAAKKGLERLEKQMKGVDPDAPEEEEENEVDDADAEQEEAELL >KJB72619 pep chromosome:Graimondii2_0_v6:11:44685171:44689177:-1 gene:B456_011G187600 transcript:KJB72619 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAPC7 [Source:Projected from Arabidopsis thaliana (AT2G39090) UniProtKB/TrEMBL;Acc:A0A178VSZ9] MDVPKEQITALLDNALFNSAQLLGSFLVSSSTVNAETSPHLKAENLVLLGDALFREREYRRAIHTYKQALQYYKIIPKQNSTSSRSALSASNRSSSPNSFNICAINENEVKFKIASCHFALSENKVALVEMEGIPSKARNLQMSLLMAKLYRNTKHTRGAVTCYRECLRQCPYVIEAIIALAELGASAKDIISLFPQTPNRGGRAQLDHGDSSRWLQRYVEAQCCIASNDYKGGLELLAELLQRFPNNTHILLEMAKVEAIIGKNDEAIMNFEKVRSIDPYVVTYMDEYAMLLKMKSDYSKLNKLVHDLLSIDPTRPEVFVALSVLWERKDERGALSYAEKSIKIDERHIPGYLMKGNLLLALKRPESAVMAFRGAQELRADLRSYQGLNFMEKRMCKIYYSVA >KJB72617 pep chromosome:Graimondii2_0_v6:11:44683902:44689177:-1 gene:B456_011G187600 transcript:KJB72617 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAPC7 [Source:Projected from Arabidopsis thaliana (AT2G39090) UniProtKB/TrEMBL;Acc:A0A178VSZ9] MDVPKEQITALLDNALFNSAQLLGSFLVSSSTVNAETSPHLKVLLGDALFREREYRRAIHTYKQALQYYKIIPKQNSTSSRSALSASNRSSSPNSFNICAINENEVKFKIASCHFALSENKVALVEMEGIPSKARNLQMSLLMAKLYRNTKHTRGAVTCYRECLRQCPYVIEAIIALAELGASAKDIISLFPQTPNRGGRAQLDHGDSSRWLQRYVEAQCCIASNDYKGGLELLAELLQRFPNNTHILLEMAKVEAIIGKNDEAIMNFEKVRSIDPYVVTYMDEYAMLLKMKSDYSKLNKLVHDLLSIDPTRPEVFVALSVLWERKDERGALSYAEKSIKIDERHIPGYLMKGNLLLALKRPESAVMAFRGAQELRADLRSYQGLVHSYLAFSKVKEALYAAREAMKAMPQSAKALKLVGDVHASNSGGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQDSLSHYQSALRINPQNEAAKKGLERLEKQMKGVDPDAPEEEEENEVDDADAEQEEAELL >KJB72616 pep chromosome:Graimondii2_0_v6:11:44683885:44689236:-1 gene:B456_011G187600 transcript:KJB72616 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAPC7 [Source:Projected from Arabidopsis thaliana (AT2G39090) UniProtKB/TrEMBL;Acc:A0A178VSZ9] MDVPKEQITALLDNALFNSAQLLGSFLVSSSTVNAETSPHLKAENLVLLGDALFREREYRRAIHTYKQALQYYKIIPKQNSTSSRSALSASNRSSSPNSFNICAINENEVKFKIASCHFALSENKVALVEMEGIPSKARNLQMSLLMAKLYRNTKHTRGAVTCYRECLRQCPYVIEAIIALAELGASAKDIISLFPQTPNRGGRAQLDHGDSSRWLQRYVEAQCCIASNDYKGGLELLAELLQRFPNNTHILLEMAKVEAIIGKNDEAIMNFEKVRSIDPYVVTYMDEYAMLLKMKSDYSKLNKLVHDLLSIDPTRPEVFVALSVLWERKDERGALSYAEKSIKIDERHIPGYLMKGNLLLALKRPESAVMAFRGAQELRADLRSYQGLVHSYLAFSKVKEALYAAREAMKAMPQSAKALKLVGDVHASNSGGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQDSLSHYQSALRINPQNEAAKKGLERLEKQMKGVDPDAPEEEEENEVDDADAEQEEAELL >KJB71219 pep chromosome:Graimondii2_0_v6:11:13346865:13348078:1 gene:B456_011G111100 transcript:KJB71219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILFTKVLTNTDVEKRLAVPNNSLKHFELENGNCSKSFEVKDENGSTWTFRCYVRNDGAYKKPVLSSGWRQFVLNKGVHQGDLITLYKDVEEEASYKIEVQRKIKLLGKECWSSLEPDQPAGATAQVQRKILLFGKECWYFLEPEQPTDATGKVIQA >KJB72942 pep chromosome:Graimondii2_0_v6:11:49692786:49693900:-1 gene:B456_011G205500 transcript:KJB72942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKFPVGRVHRLLKTRVSANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGAIPHIHKSLINKSLKE >KJB70047 pep chromosome:Graimondii2_0_v6:11:4396043:4396941:1 gene:B456_011G055700 transcript:KJB70047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKLNSSPFKVEEKSNPMPRDTANLSNKISSCSSVVVGLRILTQTSLVKSNVVVVKPAFKISLPGSRNHHLLRSPADDSCFLKSCHLCRKNLSLDKEVYMYRGDQGFCSIKCREKQILMDEMRELEQSTKQRIAKGGHYRHCSAAASARREVHLLLEDLRRRNQSSTHESQKPWAIVS >KJB72155 pep chromosome:Graimondii2_0_v6:11:30287253:30291416:1 gene:B456_011G161900 transcript:KJB72155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKKWFLASLAVLFLFLVGAMATVQTNNDSSTVEIEKLQNSKNPTMATRSEEVKVLNEHAVADPEAVAAEVETIIDMNIRNVTERRKLGFFSCGTGNPIDDCWRCDPNWQKNRKRLADCGIGFGRNAIGGRDGRFYVVTDPNDDDPVNPKPGTLRHAVIQEQPLWIVFKRDMVIKLKQELLVNSFKTIDGRGVNVHIANGACITIQYVTNVIVHGLHIHDCKPTGNAMVRSSPTHFGWRTMADGDAISIFGSSHVWVDHNSLSNCADGLVDAVMGSTAITISNNHLTHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYAAPRNPFAKEVTKRVDTAESHWKSWNWRSEGDLLLNGAYFTPSGAGASASYARASSLGAKSSSMVGSMTSNAGALPCRRGRQC >KJB72823 pep chromosome:Graimondii2_0_v6:11:48163149:48165756:1 gene:B456_011G199600 transcript:KJB72823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKYNLKNPAVKRILQEVKEMQSNPSDDFTSLPLEENIFEWQFAIRGPRDSEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSGSLDYKKEERRALAIKSRESPPKYGNPERQKLIDEIHEYMLSKTPPVPQLSPSQALEEHPTNSDGEAQANQQDSATMVAGNGLPNPVVGDRAVEEEPLVLANANPGTAEMGVRPAREIPAREPSNQPLQRPGMRVQRSADDRLFTWAAVGLTIAILVLLFKKFMKSSGHGAVFMDGS >KJB72822 pep chromosome:Graimondii2_0_v6:11:48163105:48165783:1 gene:B456_011G199600 transcript:KJB72822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKYNLKNPAVKRILQEVKEMQSNPSDDFTSLPLEENIFEWQFAIRGPRDSEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTNPNGALGSLDYKKEERRALAIKSRESPPKYGNPERQKLIDEIHEYMLSKTPPVPQLSPSQALEEHPTNSDGEAQANQQDSATMVAGNGLPNPVVGDRAVEEEPLVLANANPGTAEMGVRPAREIPAREPSNQPLQRPGMRVQRSADDRLFTWAAVGLTIAILVLLFKKFMKSSGHGAVFMDGS >KJB72824 pep chromosome:Graimondii2_0_v6:11:48163149:48165756:1 gene:B456_011G199600 transcript:KJB72824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKYNLKNPAVKRILQEVKEMQSNPSDDFTSLPLEENIFEWQFAIRGPRDSEFEGGIYHGRIQLPPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTNPNGALGSLDYKKEERRALAIKSRESPPKYGNPERQKLIDEIHEYMLSKTPPVPQLSPSQALEEHPTNSDGEAQANQQDSATMVAGNGLPNPVVGDRAVEEEPLVLANANPGTAEMGVRPAREIPAREPSNQPLQRPGMRVQRSADDRLFTWAAVGLTIAILVLLFKKFMKSSGHGAVFMDGS >KJB70551 pep chromosome:Graimondii2_0_v6:11:7882881:7885172:-1 gene:B456_011G079100 transcript:KJB70551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIMGSESTPTLPILDFSDAELKPGTDSWLVACKKVTHALEQYGCFIIEYDKFPLQLHNQVFSLLEELFLLPTETKMKNRYEKPLNGYVGQIPKLPLHESLGIDNATSVEGTRFFTNLMWPQGNDRFCEYISKYAKVAAELDQMVTRMIFESYGVARYHDAYTDATTYLLRLLKNRAPQQSEPTLGFITHTDKSFTTILHQNQVNALEVETRDGNRIKVDFSSPSSFVVIAGDALMAWSNDRVLSPRHQVVMSGNIDRYSLGLFAFNNGTIQVPEELVDDLHPLKYNTFDHLGLLRFYRTDEGYNSKCPIKAYCGV >KJB69528 pep chromosome:Graimondii2_0_v6:11:2081810:2084675:1 gene:B456_011G028300 transcript:KJB69528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEANSWIRRTNFSHTVCHRLDSSRLVSLPFNLQLDTNQERTLGSKPSNPKSDHIDPLIQRNPVTNKQRSVSPVPQTVLSDTFKEARSERRRFSTPHPHRKESDKRRMRRFFHKESHLHEKKGSNSSPLRNSGSPRVSDKSKFRKEASWTKYFDYAGGRVNSADAADEHTVDLSQLFLGLRFAHGAHSRLYHGIYKEEAVAVKIIRVLDDADNGDLAVRLEKQFNREVTLLSRLYHRNIIKFVAACRKPPVYCVITEYLSEGSLRAYLHKLDHKSLPLQKIIAIALEVARGMEYIHSQGVIHRDLKPENILIDEEFHLKIADFGIACEEAHCDLLADDPGTYRWMAPEMIKRKPYGRKVDVYSFGLILWEMVAGTIPYEDMNPIQAAFAVVNKNLRPVVPGDCPPAMRALVEQCWSLHAEKRPEFWQIVKVLEQFESSFNQDGTLNSVPNLTCQDHKKGLLHRIQKLGPVHLQSNASSMLKPKFT >KJB71649 pep chromosome:Graimondii2_0_v6:11:20492483:20494960:-1 gene:B456_011G135400 transcript:KJB71649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPKNSHTRKPWYQRAMERATVWKTVSKTAGIPTTNATTLWKTISRPTEIPMANATFWKAIPKSTEIPSTHPNRSEKLRKCTSLRVATTFTRVCLCAPISSYNEVFRADVPPRRSNTYPRSKAFPLAQERVITSARLSTEGRRVFRGKSLTDDVLMRRFVVEEEAMMQVRRRNQMEVIRKRSLMRRKMLGPSPLSRMVKANEDDEF >KJB74010 pep chromosome:Graimondii2_0_v6:11:59863400:59865676:-1 gene:B456_011G266900 transcript:KJB74010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLHFLLHFTIFLLLFHEIFSFPSNQTLFLPLRKTHGGHRPLPTSAASAATNRLAFHHNVTLTVSLTVGSPPQDVTMVLDTGSELSWLHCKKTPNLNSVFTPQVSKSYKPVPCGSPVCKTRTRDLPVPASCDPNNKLCHVAVSYADASSIEGNLAHENFVIGSSTQPGFLFGCMDSGYSSNSEEDSKTTGLMGMNRGSLSFVSQMGFPKFSYCISGFDSSGVLLLGDASFSWLGELNYTPLIQISDPLPYYDRVAYTVQLEGIKVGNKILDLPRSAFVPDHTGAGQTMVDSGTQFTFLMGPVYTALRNEFLQQTRRVLQVYNDPNFVFQGAMDLCYRVMGLSRPSFSNLPRVSLMFQGAEMSVSGERLLYRVPDMNKGSDSVYCFTFGNSDLLGIEAFVIGHHHQQNVWMEFDLVKSRVGFAEIRCDLAGQKLGIGPLGPHKKRM >KJB72265 pep chromosome:Graimondii2_0_v6:11:34113925:34114522:-1 gene:B456_011G167900 transcript:KJB72265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGNRTDKIELPIREFPYVSWKYNKNSPEISTEEKQSSLSSTDVSEETKTWVTKSLLKPRKRVKKRKGIPHRAPVS >KJB73213 pep chromosome:Graimondii2_0_v6:11:53153787:53155907:1 gene:B456_011G222800 transcript:KJB73213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLENQLSSSYAMSEEEEAFGYAWYLRSSHMFSYVLDAAVQLGVFDILTKAGPDVKLSSNQIASEIRAKNPDAPSLLDRMLRLLACHGLVTCVSRKLDAGAGNGEDGERVYGVTLAGKAFVHDEHNGSLAVFTSDRADTEVWLRFKDLVLEGGNLFEKVHGMPAYQYKSLNPENAKRFDTAMTNLSKVIVKKILERYNGFQGVTTLVDVGGGYGVTLNMIISKYPSIKGINYDLPHVVQQAPSFPGIEHVGGDMFSTVPKADTIMMKEVLHNWDDEHCLKLLKNCYEALEEKGKVIVISFIMVEEAEASNAAKFISQLDLYMATQFGGKQRTEKQLKSMAMDAGFSSFQLKCLVFNVVAVMEFYK >KJB69368 pep chromosome:Graimondii2_0_v6:11:1364314:1367807:1 gene:B456_011G019700 transcript:KJB69368 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-(+)-linalool synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G61680) UniProtKB/Swiss-Prot;Acc:Q84UV0] MASFSKVFCTSPSSPIAIKKTSRINSNPPSSLSMIPRCNAYKEPSFVSTPVQHVDYRYGNPNITDEFLDEYASKLEGFKRVFKLVSEDPLQGLTMIDAIQRLGIDHHFQHEIEQVLQRQFMLSANGNGFHNYDLHEVALRFRLLRQEGYFVPAGVFDRFRDREASFRHEHCRDIKGLIELYEASQLGMDGEDILDEAREFSSQSLRKWRMAKVDLFSERAIRNTLDQPFHKTLSRFTARNLLGTDFQGTNGWINILQELAKMDFNLVQSLHQKEIAHISNWWKQLGLAKELEFARDQPMKWYIWSMACLTDPTLSEQRIDLTKPISLIYIIDDIFDVYGTLDELTLFVQVVERWDYAASDKLPYYMKICFKALDDITNEISQKVYKEHGRNPINSLRKAWSTLFRAFLVEARWFGSGNLPKANEYLENGIISSGVHIVLVHIFFLLGIGSTDQNVELIDNNPSIISSTATILRLWDDLGSAKDENQDGHDGSYIDCYMKENQGIEVENARKHVINMIANEWKLLNQQCIFQKSFSMTFCEASLNIARMVPLMYSYDENQCLPSLDKYMKSLLYQSIPMKTLLPTKL >KJB72729 pep chromosome:Graimondii2_0_v6:11:46832244:46833868:1 gene:B456_011G193600 transcript:KJB72729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIAVKRGRKLTAEDLWSELDTFSDLLGLDYGNGKDPFSQFDNTKAGSKAKNLEKVTNESTQKTSRGREKEGKTQRTRKNIYRGIRQRPWGKWAAEIRDPHKGVRVWLGTYNTAEEAARAYDEAAKRIRGDKAKLNFPQTPRLTQPPAKKRCMMAPELTPPSSETKSSPTPQPFMGFGYENGVYRPSEAMESEMELKEQISSLESFLGLEPDEMTTELSGSAEPESVNLWMLDDLVTHHQQQPQLFY >KJB70285 pep chromosome:Graimondii2_0_v6:11:5818161:5823068:1 gene:B456_011G066900 transcript:KJB70285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESNREDLISILVSICSAFISLVYFGTALWDIITRDEGLNDLEWLVYLVRGVIWMSVSVSLLVQTSKSIKIVISVWRKSFSLLVSAINIKLLFQTHNLPFFDLIQWPVNIMLLVFGFRNSISSSDTKCSEDHQVLYEPLFASKAKKAQVGIGRASFLSKLTFSWINTLFSLGHSKLLTLEDIPSLVDEDEASLAYERFAQAWESLVRGNSLSNSKNLVLRALAKVYFKENEVRNEDAIGFDGCSLSETVVLSCIGRRRHSTGEILNYIAVDAYRLGEFPWWLHSAWSLVLQLFMSIGILFYVVGLGALPGLVPLLICGVLNVPFANVLQKCQSQFMVAQDERLRLTSEVLNNMKIIKLQSWEEKFKNMIETRRENEFKWLEKEQISKAYGIVLFWISPTIISSVIFLGCAYLGSAALNASTIFTVLATLRSMGEPITMIPGALSVMMQVKVSTERINAFLLGDELNSEEPLISMQSSDKSVVIQAGNFSWDTELTVATLRDVNLEMKKGQKIAVCGPVGAGKSSILHVMLGEIPKISGTVYVSGSIAYVSQTSWIQSGTIRDNILYGKPMDEERYKRAIKSCAVDKDIDNFAHGDLTEIVKTIFTLLFQENDLVITQVMDGGSITQIGSYTELLMSGTACQELVNAHRDAMTVLDPLSNENKGKQEDTDTLQAEEYNKCYSTQQKSEGEISALGLPGVQLTEEEKKGTGDFGWRPFLDYIVVSKGYLFLSLAILSQFGFVIFQAAATYWLAIAIQIPEISSGLLIGVYTGISTLSAVFVHLRSIYSAHLGLKASKAFFYGFINSIFKAPMLFFDSTPVGRILTRASSDLSILDFDLPLSIGFVAAGSIELVAAIGVIAFVTWEVLIVAILVMIAVTYIQRIIRQEFSECTVITVAHTVPTVIDSDMVMVLSYGKLIEYDKPARLMGIESGFSKLVAEYWSSCRKGSSQAFSSYQ >KJB69975 pep chromosome:Graimondii2_0_v6:11:4504685:4505424:1 gene:B456_011G057000 transcript:KJB69975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTHLLSIVFTHLDLGSPQDGTRSSMGLASGGFSCLSAAESFSDVDSNSTMVIKCHPKVYNLPFRSTSSLPKRSSPTIPAMMFGC >KJB72669 pep chromosome:Graimondii2_0_v6:11:45273553:45277674:-1 gene:B456_011G189200 transcript:KJB72669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPGQIKRALLDASAGAISGGISRTVTSPLDVIKIRFQVQLEPTSSWALLRGDLSGSSKYTGMSQATKDILREEGLPGFWRGNVPALLMVMPYTAIQFAVLHKLKTFASGSSKTVDHLSISPYLSYISGGLAGCAATVGSYPFDLLRTILASQGEPKVYPTMRSALFDIISTRGFRGLYAGLSPTLVEIVPYAGLQFGTYDTFKRWAMTWNRSRSFNTSSTTGHSLSSFQLFICGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPKYGARVDHRAYKNMFDALQRILQSEGWHGLYKGIVPSTIKAAPAGAVTFVAYEFTSDWLESILS >KJB73214 pep chromosome:Graimondii2_0_v6:11:53244405:53246621:1 gene:B456_011G222900 transcript:KJB73214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYRLNIFPEGKLKRKVRKKMSSLVNPLSSSFAMSEEEEAFGYAMYLRCSGIFPYVLDAAIQLGVFDILAKAGPHAKLSSNHIASEIGTKNPDAASLLDRMLKLLACYNLVTGASYGEDGERLYELTLAGKIFVNDENRGTLALDAFSMKKIQVDVWSRLKDLVLEGGNLFEKVHGMPFYQFKSLNPEYDKSFDTAMINLSKISVKKILEKYHGFQGIATLVDVGGGYGVTLNIIISKYPTIKGINYDLPHVVQQASSFPGIEHVGGDMFSTVPKADTIMMKEVLHNWDDEHCLKLLKNCYEALEEKGKVIVISHMMVEEAEASNGAKLVCQLDLYMGTLFGAKQRTAKQFESMAMNAGFSSFQLKCLAFDAIAVMEFYK >KJB73215 pep chromosome:Graimondii2_0_v6:11:53244405:53250229:1 gene:B456_011G222900 transcript:KJB73215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYRLNIFPEGKLKRKVRKKMSSLVNPLSSSFAMSEEEEAFGYAMYLRCSGIFPYVLDAAIQLGVFDILAKAGPHAKLSSNHIASEIGTKNPDAASLLDRMLKLLACYNLVTGASYGEDGERLYELTLAGKIFVNDENRGTLALDAFSMKKIQVDVWSRLKDLVLEGGNLFEKVHGMPFYQFKSLNPEYDKSFDTAMINLSKISVKKILEKYHGFQGIATLVDVGGGYGVTLNIIISKYPTIKGINYDLPHVVQQASSFPGIEHVGGDMFSTVPKADTIMMKEVLHNWDDEHCLKLLKNCYEALEEKGKVIVISFIMVEEAEASNAAKFISQLDLYMATQFGGKQRTEKQLKSMAMDAGFSSFQLKCLVFNVVAVMEFYK >KJB71410 pep chromosome:Graimondii2_0_v6:11:17014102:17018860:-1 gene:B456_011G121900 transcript:KJB71410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEVVKDLGAGNFGVARLLRHKDTKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICSAGRFSEDEARYFFQQLISGVNYCHSMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLARREYDGKLADVWSCGVTLYVMLVGAYPFEDQADPRNFRKTIQRIMAVQYKIPDYVHISQDCKNLLSRIFVANPSRRITLKEIKNHPWFLKNLPRELTDTAQAAYYRSDNPTFSLQSIDEIMKIVEEARIPPPASVPVKGFGWGQDDDEEEDIDGEVKEDDEEDEYDKRVKEVHASGEYQIH >KJB71411 pep chromosome:Graimondii2_0_v6:11:17014149:17018660:-1 gene:B456_011G121900 transcript:KJB71411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEVVKDLGAGNFGVARLLRHKDTKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICSAGRFSEDEARYFFQQLISGVNYCHSMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLARREYDGKLADVWSCGVTLYVMLVGAYPFEDQADPRNFRKTIQRIMAVQYKIPDYVHISQDCKNLLSRIFVANPSRRITLKEIKNHPWFLKNLPRELTDTAQAAYYRSDNPTFSLQSIDEIMKIVEEARIPPPASVPVKGFGWGQDDDEEEDIDGEVKEDDEEDEYDKRVKEVHASGEYQIH >KJB69298 pep chromosome:Graimondii2_0_v6:11:1056471:1062034:-1 gene:B456_011G014900 transcript:KJB69298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 16 [Source:Projected from Arabidopsis thaliana (AT1G11660) UniProtKB/Swiss-Prot;Acc:Q9SAB1] MSVVGLDIGNENCIIAVAKQRGIDVLLNDESKRETPAAVSFGEKQRFIGSAGAASATMNPRSTILQVKRLIGRKFNEPGLEKELKLFPFETFESPDGGILIRLQFMGEVHEFTPVQILGMLFSHLKQIAEKNLEMPVSECVIGIPSYFTDLQRRAYLDAAAIAGLKPLRLLHDCTATALGYGIYKTDISNSNPVYTVFVDIGHCDTQICIALFETGQMKIISHAFDCSLGGRDFDEVLFNHFASQFKERYNIDVFTNIKASVRLRASCEKLKKVLSANAEAPLNIECLMDEKDVRGLIKREEFEKLSSDLLERITVPCRKVLADSGLTLDKINSVELVGSGSRIPAITRILASIFNREPSRTINASECVAHGCALQCAMLSPIFRVREYEVQDSLPLSIGFSSDKGPVCTLSNGVLFPKGHPFPSVKILTLHRTNMFNMEACYVNSNELPSKLSPQINTFTIGPIQCHINMAKVKVRVQLNLHGIVKLDSAVLIEDQLDNSVTINDPHLTSEEVEDKSDRISSVENIAQVSDNSQSEPPSCPGAGVARRGKAVKRLEIPISESVCDGMKRDEIAKAEEKERWLMQQDLKMEQTKDKKNALESYVYEMRDKILNAYRSFANESDREEISRKLQETEDWLYEDGMDETENVYVEKLEDLKKLVDPIENRYKDEEARVQAAKDLLKCIEDYRKAAASLSSIKKDAVTDECNKAERWLQEKSQQQDSLPKDVDPMVWSCEIKRKAEALEATCKYMIRSNPSRDDMNVTDQGDKSDGMQ >KJB69297 pep chromosome:Graimondii2_0_v6:11:1056460:1062013:-1 gene:B456_011G014900 transcript:KJB69297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 16 [Source:Projected from Arabidopsis thaliana (AT1G11660) UniProtKB/Swiss-Prot;Acc:Q9SAB1] MSVVGLDIGNENCIIAVAKQRGIDVLLNDESKRETPAAVSFGEKQRFIGSAGAASATMNPRSTILQVKRLIGRKFNEPGLEKELKLFPFETFESPDGGILIRLQFMGEVHEFTPVQILGMLFSHLKQIAEKNLEMPVSECVIGIPSYFTDLQRRAYLDAAAIAGLKPLRLLHDCTATALGYGIYKTDISNSNPVYTVFVDIGHCDTQICIALFETGQMKIISHAFDCSLGGRDFDEVLFNHFASQFKERYNIDVFTNIKASVRLRASCEKLKKVLSANAEAPLNIECLMDEKDVRGLIKREEFEKLSSDLLERITVPCRKVLADSGLTLDKINSVELVGSGSRIPAITRILASIFNREPSRTINASECVAHGCALQCAMLSPIFRVREYEVQDSLPLSIGFSSDKGPVCTLSNGVLFPKGHPFPSVKILTLHRTNMFNMEACYVNSNELPSKLSPQINTFTIGPIQCHINMAKVKVRVQLNLHGIVKLDSAVLIEDQLDNSVTINDPHLTSEEVEDKSDRISSVENIAQVSDNSQSEPPSCPGAGVARRGKAVKRLEIPISESVCDGMKRDEIAKAEEKERWLMQQDLKMEQTKDKKNALESYVYEMRDKILNAYRSFANESDREEISRKLQETEDWLYEDGMDETENVYVEKLEDLKKLVDPIENRYKDEEARVQAAKDLLKCIEDYRKAAASLSSIKKDAVTDECNKAERWLQEKSQQQDSLPKDVDPMVWSCEIKRKAEALEATCKYMIRSNPSRDDMNVTDQGDKSDGMQ >KJB69295 pep chromosome:Graimondii2_0_v6:11:1056907:1061359:-1 gene:B456_011G014900 transcript:KJB69295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 16 [Source:Projected from Arabidopsis thaliana (AT1G11660) UniProtKB/Swiss-Prot;Acc:Q9SAB1] MSVVGLDIGNENCIIAVAKQRGIDVLLNDESKRETPAAVSFGEKQRFIGSAGAASATMNPRSTILQVKRLIGRKFNEPGLEKELKLFPFETFESPDGGILIRLQFMGEVHEFTPVQILGMLFSHLKQIAEKNLEMPVSECVIGIPSYFTDLQRRAYLDAAAIAGLKPLRLLHDCTATALGYGIYKTDISNSNPVYTVFVDIGHCDTQICIALFETGQMKIISHAFDCSLGGRDFDEVLFNHFASQFKERYNIDVFTNIKASVRLRASCEKLKKVLSANAEAPLNIECLMDEKDVRGLIKREEFEKLSSDLLERITVPCRKVLADSGLTLDKINSVELVGSGSRIPAITRILASIFNREPSRTINASECVAHGCALQCAMLSPIFRVREYEVQDSLPLSIGFSSDKGPVCTLSNGVLFPKGHPFPSVKILTLHRTNMFNMEACYVNSNELPSKLSPQINTFTIGPIQCHINMAKVKVRVQLNLHGIVKLDSAVLIEDQLDNSVTINDPHLTSEEVEDKSDRISSVENIAQVSDNSQSEPPSCPGAGVARRGKAVKRLEIPISESVCDGMKRDEIAKAEEKERWLMQQDLKMEQTKDKKNALESYVYEMRDKILNAYRSFANESDREEISRKLQETEDWLYEDGMDETENVYVEKLEDLKKLVDPIENRYKDEEARVQAAKDLLKCIEDYRKAAASLSSIKKDAVTDECNKAERWLQEKSQQQDSLPKDVDPMVWSCEIKRKAEALEATCKYMIRSNPSRDDMNVTDQGDKSDGMQ >KJB69296 pep chromosome:Graimondii2_0_v6:11:1055879:1062015:-1 gene:B456_011G014900 transcript:KJB69296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 16 [Source:Projected from Arabidopsis thaliana (AT1G11660) UniProtKB/Swiss-Prot;Acc:Q9SAB1] MSVVGLDIGNENCIIAVAKQRGIDVLLNDESKRETPAAVSFGEKQRFIGSAGAASATMNPRSTILQVKRLIGRKFNEPGLEKELKLFPFETFESPDGGILIRLQFMGEVHEFTPVQILGMLFSHLKQIAEKNLEMPVSECVIGIPSYFTDLQRRAYLDAAAIAGLKPLRLLHDCTATALGYGIYKTDISNSNPVYTVFVDIGHCDTQICIALFETGQMKIISHAFDCSLGGRDFDEVLFNHFASQFKERYNIDVFTNIKASVRLRASCEKLKKVLSANAEAPLNIECLMDEKDVRGLIKREEFEKLSSDLLERITVPCRKVLADSGLTLDKINSVELVGSGSRIPAITRILASIFNREPSRTINASECVAHGCALQCAMLSPIFRVREYEVQDSLPLSIGFSSDKGPVCTLSNGVLFPKGHPFPSVKILTLHRTNMFNMEACYVNSNELPSKLSPQINTFTIGPIQCHINMAKVKVRVQLNLHGIVKLDSAVLIEDQLDNSVTINDPHLTSEEVEDKSDRISSVENIAQVSDNSQSEPPSCPGAGVARRGKAVKRLEIPISESVCDGMKRDEIAKAEEKERWLMQQDLKMEQTKDKKNALESYVYEMRDKILNAYRSFANESDREEISRKLQETEDWLYEDGMDETENVYVEKLEDLKKLVDPIENRYKDEEARVQAAKDLLKCIEDYRKAAASLSSIKKDAVTDECNKAERWLQEKSQQQDSLPKDVDPMVWSCEIKRKAEALEATCKYMIRSNPSRDDMNVTDQGDKSDGMQ >KJB70639 pep chromosome:Graimondii2_0_v6:11:8725678:8731129:-1 gene:B456_011G084800 transcript:KJB70639 gene_biotype:protein_coding transcript_biotype:protein_coding description:PX domain-containing protein EREX [Source:Projected from Arabidopsis thaliana (AT3G15920) UniProtKB/Swiss-Prot;Acc:Q9LSB9] MVSLLQRRCSLEDWMEKLLSDIDISRSVSVATFLELEAAARSSFDNNQSDAISSVGSVAPLFLSKNYLDIDNASDLDNASSEISELGTPRQGKDGSAGPSMEHSILEPNLIEPLQKSTKKKVHSGRESDIAGDKVTENNTDRRFLRGDGAEHLPEPDHGKMDGHVRRLSIESIGSDLSSVRASEISNLGIASLFGDGLHDMPESAEASRNLDSLSPDLQFHKDLLILFLSDERHKLKRVLNTLQRRLATANTDMEDLISRLNQEVAVRQFLVTKVKDLEVELETTRENCDENMQQAVLLERERFTQMQWDMELLRKQCLEMELKLKKEQDEKAHVESAKLLLMKESKTLLQELDVAREQLADLQKHHEELEVKSKADVKLLVKEVKSLRSSQSELKQELSRVMKEKLELERVMQKEKKRMEHANAANAKLLHECNLLWDRLQECSVNFLSEEEDKLNVDTSSPSDALDLLTTSDNRIGLLLAEAQLLAQDVENSVVRSEESHKMKDGDKRIDDELRKMLTDMFVDNARLRKQVNSVVRCALNAYVKTDEDDDDDDSEEEEVEEEEETHLRKTVLSKFL >KJB70640 pep chromosome:Graimondii2_0_v6:11:8725678:8733448:-1 gene:B456_011G084800 transcript:KJB70640 gene_biotype:protein_coding transcript_biotype:protein_coding description:PX domain-containing protein EREX [Source:Projected from Arabidopsis thaliana (AT3G15920) UniProtKB/Swiss-Prot;Acc:Q9LSB9] MNLYAHDLALFDFTNFSDNPIIDHPSSFASFITTSPSDSGDVDAGNKPTSTATPKSPPKHRHDRTSPLPLGMDWSLPPRRWDGRDTVWPHNPQTGWSYCVTIPSWITLPTSGGSDIVVFYRVQVGIQSPEGVTTTREILRRFSDFLKLLSELKKIFPKKNLPPAPPKRILRVKNRTLLEERRCSLEDWMEKLLSDIDISRSVSVATFLELEAAARSSFDNNQSDAISSVGSVAPLFLSKNYLDIDNASDLDNASSEISELGTPRQGKDGSAGPSMEHSILEPNLIEPLQKSTKKKVHSGRESDIAGDKVTENNTDRRFLRGDGAEHLPEPDHGKMDGHVRRLSIESIGSDLSSVRASEISNLGIASLFGDGLHDMPESAEASRNLDSLSPDLQFHKDLLILFLSDERHKLKRVLNTLQRRLATANTDMEDLISRLNQEVAVRQFLVTKVKDLEVELETTRENCDENMQQAVLLERERFTQMQWDMELLRKQCLEMELKLKKEQDEKAHVESAKLLLMKESKTLLQELDVAREQLADLQKHHEELEVKSKADVKLLVKEVKSLRSSQSELKQELSRVMKEKLELERVMQKEKKRMEHANAANAKLLHECNLLWDRLQECSVNFLSEEEDKLNVDTSSPSDALDLLTTSDNRIGLLLAEAQLLAQDVENSVVRSEESHKMKDGDKRIDDELRKMLTDMFVDNARLRKQVNSVVRCALNAYVKTDEDDDDDDSEEEEVEEEEETHLRKTVLSKFL >KJB72346 pep chromosome:Graimondii2_0_v6:11:38655354:38656857:-1 gene:B456_011G172600 transcript:KJB72346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICINNSEWMRNNNCSLSRFQAQADAVSLICGAKTQLTLALKHRQNKNQQQRIIVFAGSPIKHEKKALEMIGKKLKKNSVALDIVNFGEDEDGKHEKMPTLQSTVRLRCNHQVLLKD >KJB73016 pep chromosome:Graimondii2_0_v6:11:50458531:50465669:1 gene:B456_011G209600 transcript:KJB73016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVAFASFTSFATKSLLFSSLSPSSIITRSQKSRKNISPKPFVTLLDYGAGNVRSLRNAIRYLGFKIEDVRTPKDILNAGRLIFPGVGAFASAMDVLVKTGMDEALCTYIRNDRPFLGICLGLQLLFEYSEENGPVKGLGLIPGVVGRFNSSNGFRVHHIGWNALQIAKDSEILDEIGDRHDYFVHSYRAIPSDDNKEWVSSTCNYGYDFIASIRRGNVHGVQFHPEKSGDVGLSVLRRFLHPNSRGTKRPTQGKASKLAKRVIACLDFRTNDKGDLVVTKGDQYDVREQTKENEVRNLGKPVELSGQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRHASQNVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGTDAVYAAEEYLKTKVKTGKSSLEQISRVYGNQAVVVSIDPRPNGEVYAWYQCTVNGGREGRPIGAYELAKAVEELRAGEILLNCIDCDGQGKGFDIDLINLISDAVSIPVIASSGAGAVKHFSEVFTKTNASAALAAGIFHRKEVPIQSVKGHLLKEGIEVRI >KJB72626 pep chromosome:Graimondii2_0_v6:11:44802209:44805713:1 gene:B456_011G188000 transcript:KJB72626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIAVIIIVAAGALVFVIVIMGFIWFYKSCKNFSKRSSETGSSDPSALMEWNKGAGPSSSASQSLFEPQGARQFRLEELDQATKHFSDGNLIGFGSFGPVYKGWLHGIVVAIKRHPGAPREEFIAGVKYLSEIRHRNLVTLLGYCQESGSQMLIYECIPNGSICNHLYDTRREAPTRLEFKQRLSIALGAARGLCHLHSLQPPLMHKNFKTANVLVDENFTAKVADAGIAMLLERIEEAGPSHSSSINVFRDPEADALGSFTEMSDVYSFGVFLLELITGQEEAMHIDYLRSNESLIQLVQSRLSSNELVDYRLGGTFTMDGIRDLIKLTLKCMCFPGKWRLNMNMVVVELERIHEKEMELTTVRGEGTSKITLGSELFASK >KJB72627 pep chromosome:Graimondii2_0_v6:11:44802883:44805703:1 gene:B456_011G188000 transcript:KJB72627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIAVIIIVAAGALVFVIVIMGFIWFYKSCKNFSKRSSETGSSDPSALMEWNKGAGPSSSASQSLFEPQGARQFRLEELDQATKHFSDGNLIGFGSFGPVYKGWLHGIVVAIKRHPGAPREEFIAGVKYLSEIRHRNLVTLLGYCQESGSQMLIYECIPNGSICNHLYDTRREAPTRLEFKQRLSIALGAARGLCHLHSLQPPLMHKNFKTANVLVDENFTAKVADAGIAMLLERIEEAGQMHWGASLK >KJB72625 pep chromosome:Graimondii2_0_v6:11:44802628:44805703:1 gene:B456_011G188000 transcript:KJB72625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIAVIIIVAAGALVFVIVIMGFIWFYKSCKNFSKRSSETGSSDPSALMEWNKGAGPSSSASQSLFEPQGARQFRLEELDQATKHFSDGNLIGFGSFGPVYKGWLHGIVVAIKRHPGAPREEFIAGVKYLSEIRHRNLVTLLGYCQESGSQMLIYECIPNGSICNHLYDTRREAPTRLEFKQRLSIALGAARGLCHLHSLQPPLMHKNFKTANVLVDENFTAKVADAGIAMLLERIEEAGPSHSSSINVFRDPEADALGSFTEMSDVYSFGVFLLELITGQEEAMHIDYLRSNESLIQLVQSRLSSNELVDYRLGGTFTMDGIRDLIKLTLKCMCFPGKWRLNMNMVVVELERIHEKEMELTTVRGEGTSKITLGSELFASK >KJB72628 pep chromosome:Graimondii2_0_v6:11:44803269:44805715:1 gene:B456_011G188000 transcript:KJB72628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIAVIIIVAAGALVFVIVIMGFIWFYKSCKNFSKRSSETGSSDPSALMEWNKGAGPSSSASQSLFEPQGARQFRLEELDQATKHFSDGNLIGFGSFGPVYKGWLHGIVVAIKRHPGAPREEFIAGVKYLSEIRHRNLVTLLGYCQESGSQMLIYECIPNGSICNHLYDTRREAPTRLEFKQRLSIALGAARGLCHLHSLQPPLMHKNFKTANVLVDENFTAKVADAGIAMLLERIEEAGPSHSSSINVFRDPEADALGSFTEMSDVYSFGVFLLELITGQEEAMHIDYLRSNESLIQLVQSRLSSNELVDYRLGGTFTMDGIRDLIKLTLKCMCFPGKWRLNMNMVVVELERIHEKEMELTTVRGEGTSKITLGSELFASK >KJB69770 pep chromosome:Graimondii2_0_v6:11:3079853:3081670:1 gene:B456_011G041400 transcript:KJB69770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEHEFRDFYYHASTMFHNDHVDDMFRVSSSVSVDHHPSSYMSFTDCLHSSVGEYGSLEKAFGLSPSSSEVFSSPIEGLNQTTNQQISEVMAITPNSSISSSSSEAAYCEEDSEKNNKDKQPKGSDDGSKESPKKGMKGAKKKAERKQKEPRFAFVTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCTVKKRVERSFQDPSTVITTYEGQHNHPLPTTLRGNAAALFPPSMFAPATARPSFPHELFMQMAAPHEMNNQQANGVAAASMFGENFSPYHHQYHHHHRQVSDYGLLQDMVPSRFLKHEP >KJB71698 pep chromosome:Graimondii2_0_v6:11:30550203:30551155:1 gene:B456_011G162600 transcript:KJB71698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLMGIPVKALIVLELRWLSLKKRVQHHYLANMKISTRFLLMFFVALGIIFMCVYQPAHLFGMEFEVRVINGFSNNSSLPLVIWCISQQDGDMGGRALQEGDDFGWRLKTNIWGNSHYLCTMKWDAKRRSFDAFKVPRDSQRCAPLNKCSWLVKEDGFYFSSDEVNWKKDFSWY >KJB71843 pep chromosome:Graimondii2_0_v6:11:23055418:23060769:1 gene:B456_011G144300 transcript:KJB71843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKPEKTQKPGRTPKPFGPNNPKSQSKFKKKTNTKNTANRQIKTKTTSVTGNNKNNQPSPPASPSQQLRYFLSQFESANGVQLSSLELESIKDSCILDVSQELGQDVMKLEKHIKEAFGAKWKEELCEGKHIVGKIEAGSPAVLVVAPSALRSIELLRGMRTLTKECHAVKLFSKHMKIDEQVSLLMNRVNIASGTPSRIKKLIDIEALGLSRLSVLLVDIHTDVKGYSLLTLPQVRDEFWDLYKNYFHQQLVKGDLRICLYGPIPNGNEFKGKSVELADGDREQPDS >KJB71844 pep chromosome:Graimondii2_0_v6:11:23055463:23060741:1 gene:B456_011G144300 transcript:KJB71844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKPEKTQKPGRTPKPFGPNNPKSQSKFKKKTNTKNTANRQIKTKTTSVTGNNKNNQPSPPASPSQQLRYFLSQFESANGVQLSSLELESIKDSCILDVSQELGQDVMKLEKHIKEAFGAKWKEELCEGKHIVGKIEAGSPAVLVVAPSALRSIELLRGMRTLTKECHAVKLFSKHMKIDEQVSLLMNRVNIASGTPSRIKKLIDIEALGLSRLSVLLVDIHTDVKGYSLLTLPQVRFVNEPTYNLSLSPSLLGFIFICVYLHIIIPCLLQMVYIGTCLFPYP >KJB73522 pep chromosome:Graimondii2_0_v6:11:55844255:55844554:-1 gene:B456_011G237400 transcript:KJB73522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCWKQANYHVEQNNKIVDEELSDWESKFFEVDMDDLHELFMAANYLEIESLLNGVAKRVADIIKACMNVEVIRQTFGINNDFAAQQEEEIRKLNSWNHI >KJB72975 pep chromosome:Graimondii2_0_v6:11:49856270:49859016:1 gene:B456_011G207000 transcript:KJB72975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLFLEKVLISLFFTIIFAILVSKLRGKRFKLPPGPLPIPVFGNWLQVGDDLNHRNLTDLAKKFGDIFLLRMGQRNLVVISSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRHGWEDEAASVVEDVKKNPEAATNGIVLRRRLQLMMYNNMYRIMFDRRFESEDDPLFVKLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKLCKEVKEIRLQLFRDHFLEERKKLASTKRTDNNALKCAIDHILDAQRKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQQKLRNEIDTVLGPGVQVTEPDTHKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKILVNAWWLANNPAHWKNPEEFRPERFFEEESKVEANGNDFRYLPFGVGRRSCPGIILALPILGITLGRLVQNFELLPPKGQSKLDTSEKGGQFSLHILKHSTIVAKPRVF >KJB73206 pep chromosome:Graimondii2_0_v6:11:53094463:53096324:1 gene:B456_011G222000 transcript:KJB73206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQSYLVLRLNLKLSFVYYRELEMPPGSAELSFVVEMPNDYYKERRFEWCFLLQLDDLLSSKGHCM >KJB73778 pep chromosome:Graimondii2_0_v6:11:57883064:57884387:1 gene:B456_011G251100 transcript:KJB73778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERMIEAAQTGDIINLLYELILNDPYVLERIDAVPFSHTPLHIAASAGHIEFMMEMIKLKPTFARKLNQAGFSPMHLALQNDRTKAVLRLLRFDEGLVRVKGREDLTPLHHVVQTGNVDLLVKLLKVCPEAIADVTVRDETVFHLAVKNDMFEAFQVLVGWLIRSRHESAERWEKELLSWVDIDGNTVLHIAAIRNRPQVVEVLLGHLRRDQINAKNLEGLTALDIQSQYPWNERQADRIIDMLSKAGGLSASSSSLPNTSISSFHIESLKEKMPWFQKLETKTGRLKNGISYEMRNTFLIVIVLLITTTYEPSLNPPNMPYDSPSMKYQVSLSQDQPLNSHTFLHKTDINTAPIPSPSAIDVSKKDCWTSNHSFLVLNMFNFSVAVLLIGLLLPYHPFSLLIHLPLSGCM >KJB73311 pep chromosome:Graimondii2_0_v6:11:53920512:53927629:1 gene:B456_011G226900 transcript:KJB73311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSKFVNAASSSSSISFKVPSRVGMLLLYTPAFLVGVASFWLYPADDSRFLFLKSAVTIHFFKRLFEVIFIHKYSGEMSLDTIIIILVSYFFVSLSLIYTQTFNQGRSEPSIDLKYLGIVLFLIGISGNFYHHCILSQLRTKGDKEYKIPKGGLFELVICPHYLFEILGFLGISLISQTLYSFSTTLGIAVYLMCRGYVTRKWYMSKFEDFPKEVKAVIPYVF >KJB73312 pep chromosome:Graimondii2_0_v6:11:53926452:53927640:1 gene:B456_011G226900 transcript:KJB73312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPIVLEIVFPPSLVLVAASVLSLISLAILGVLETKEIHLKYSKFFNASSSSSSISFKVPSRVGMFLIYTPAFLVGVASFWLFPDGDFRFLLLKSAITIHFFKRILEVIFIHKYSGEMSLDTIIIILVSYFFVSLSLIYTQTFNQGRSEPSIDLKYLGIVLFLIGISGNFYHHCILSQLRTKGDKEYKIPKGGLFELVICPHYLFEILGFLGISLISQTLYSFSTTLGIAVYLMCRGYVTRKWYMSKFEDFPKEVKAVIPYVF >KJB72880 pep chromosome:Graimondii2_0_v6:11:49009546:49021383:-1 gene:B456_011G202200 transcript:KJB72880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVCLKSSRNRGCKSNFVTPLTRIRYRIRLNGFYTKKEISFTPISALELHGTGANMVETTCQKTPFYNLCVSALKSDPRSSDADVAGLAQIGTDKLKAKATATLRQITALLKVAKDPKLKMALRDCADYYNAIVKYDIPVAVEAVTKGDPKFGVEGATDAANEADACGRGFKNQPKFPIYASNKVVHDLSAVVASIVQLLL >KJB70448 pep chromosome:Graimondii2_0_v6:11:6994090:6995589:1 gene:B456_011G0738002 transcript:KJB70448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARGFLSQKLQQEIQAKPEAYPFQEILYCNIGNPQSLGQKSITFFREVLALCDHPAILAKSETQALFSADSIERARKILDQIPGRATGAYSHSQGIKGLRDTIAAAIEARDGFPADPNDIFMTDGASPA >KJB73980 pep chromosome:Graimondii2_0_v6:11:59796004:59799397:1 gene:B456_011G266200 transcript:KJB73980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGWLKGSNSFVDRVGLNDSTVLALSGRDFDNRFKNENCVDIPSSEPVMIPGNSGNMVSSFGTCSDRVGLNDGTVLALSGRDFDSRFKNENYVDVLPLEHVMVPGNLVPSFGTCSDTVGLNVDSQPVPIPGNSVPSSSVNEGDLHEDFDFSDEVLKYISQMLMEEDMEDKTCMFKESSAALQAAEKAFYEVLGERYPPSPEYDADQNQESSDESHGQNCWRCSSASISSGSVVDPGHNHDFGEQRALNFPSQASSSHSSGNSIGSVVDGYADSPVSTVRLPEIFNNSESAIQFRKGFEEASKFLPNGGSLFVHGENDGLFLKELKEETKDVAVDKVEKDEISQDGSRGKKNPYPEDLSLECGRSSKQSLVYTESAVSPEMFDMVLLNCQSVTELQKVLQDETSKNVQQNGQLKGSNGGKARGKKHGGKKNMVDLRTLLTLCAQAVAADDRRSANELIKQIRQHSSPMGDGMQRIAHYFIDGLEARLAGSGTQIYTALITKPTSAADVLKAHHLFLAACPFKKLSNFFSNKTIMNLAENAARLHIIDFGILYGFQWPCLIRRLSSRPGGPPKLRITGIDLPQPGFRPAERVEETGRRLANYAETFKVPFEFHAIAQKWDTIQIEDLGIDRDEVLVVNCMYRLRNLLDETVIVESPRNKVLNLIRKMNPDVFILGIVNGAYSAPFFITRFREALFHFSTLFDMLETNVPREIPERMLIEREIFGWEAMNVIACEGAERIERPETYKQWQMRNMRAGLRQLPLNKEIMKIAKERVDTGYHKDFVIDEDNRWLLQGWKGRIVYALSTWVPAP >KJB73981 pep chromosome:Graimondii2_0_v6:11:59796195:59799397:1 gene:B456_011G266200 transcript:KJB73981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGWLKGSNSFVDRVGLNDSTVLALSGRDFDNRFKNENCVDIPSSEPVMIPGNSGNMVSSFGTCSDRVGLNDGTVLALSGRDFDSRFKNENYVDVLPLEHVMVPGNLVPSFGTCSDTVGLNVDSQPVPIPGNSVPSSSVNEGDLHEDFDFSDEVLKYISQMLMEEDMEDKTCMFKESSAALQAAEKAFYEVLGERYPPSPEYDADQNQESSDESHGQNCWRCSSASISSGSVVDPGHNHDFGEQRALNFPSQASSSHSSGNSIGSVVDGYADSPVSTVRLPEIFNNSESAIQFRKGFEEASKFLPNGGSLFVHGENDGLFLKELKEETKDVAVDKVEKDEISQDGSRGKKNPYPEDLSLECGRSSKQSLVYTESAVSPEMFDMVLLNCQSVTELQKVLQDETSKNVQQNGQLKGSNGGKARGKKHGGKKNMVDLRTLLTLCAQAVAADDRRSANELIKQIRQHSSPMGDGMQRIAHYFIDGLEARLAGSGTQIYTALITKPTSAADVLKAHHLFLAACPFKKLSNFFSNKTIMNLAENAARLHIIDFGILYGFQWPCLIRRLSSRPGGPPKLRITGIDLPQPGFRPAERVEETGRRLANYAETFKVPFEFHAIAQKWDTIQIEDLGIDRDEVLVVNCMYRLRNLLDETVIVESPRNKVLNLIRKMNPDVFILGIVNGAYSAPFFITRFREALFHFSTLFDMLETNVPREIPERMLIEREIFGWEAMNVIACEGAERIERPETYKQWQMRNMRAGLRQLPLNKEIMKIAKERVDTGYHKDFVIDEDNRWLLQGWKGRIVYALSTWVPAP >KJB71683 pep chromosome:Graimondii2_0_v6:11:21017320:21017693:1 gene:B456_011G137100 transcript:KJB71683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LATGLAADENPLGVVLGGILGQVLCTTAAVLEGKRPTSQISEKIVIQIHIFPSFPYATAMFCI >KJB69087 pep chromosome:Graimondii2_0_v6:11:392339:402895:1 gene:B456_011G004800 transcript:KJB69087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19490) UniProtKB/Swiss-Prot;Acc:F4JT76] MDLQPSPSGRSSTVGRTSSFSVADSGGQTLSSVLNNPHAGKLEASWGWWSVAPPEFTPLTSTKAACDLTRSDFQSYVSSISDSYYRFEDIRNHTTKEQTLDVDNIGEALVACLREVPALYFKEDFALEDGGTFRAACPFTDVSENIILQEKLSHYLDVVELHLVKEISLRSNSFFEAQGQLQDLNVKIVEGCNRIRDLKETIRLVDTDLVDSARQIQELNASRTNLLALQHKLKLILSVNQALSALKLLVASSECAGALDIIDDLQHLLDGDELSGLHCFRHLRDHVVTSIDSINSILSAEFMRASIHDKGDKDSVILLKAKARASISLNGEDVGVNLDEEETTNFRDRLLPLIIGLLRTAKLPFVLRTYRDTLTADMKTAIKTAVAELLPVLVGQPLESDMGAERTVDADGGGLSLASKLRSLSSGSFVQLLAAIFKIVQAHLVRAAEVKRAIEWVMCNLDGHYAADSVAAAIALGAMVAESSQESNGQGGALPLSASLRSTSKVLSSPGKGSDAISPSNLSKNFRADVLRENAEAVFAACDAAHGRWAKLLGVRALLHPKLRLQDFLSIYNITQEFITSTEKIGGRLGYSIRGTLQSQAKSFVDFQHESRMTKIRAVLDQETWVEVDVPDEFQAIVSSLFDSEAIVSGSKDNAESNMTESYSNEGSQVGSVAQNEPTDSSSTTAVNAAQGKAEVIERKKSDAVTSSQSNNSNTKERGKNATQTLECGGVSYHMVNCGLILLKMLSEYIDMNHLLPALSLEVVHRVVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFIYAIIPELRQILFLKVPEPRKSLLLSEFDRVAQDYKVHRDEIHTKLVQIMRERLLVHLRGLPQIVESWNRPEEADPQPSQFARSLTKEVGFLQRVLSRTLHEVDVQAIFRQVVVIFHSQISDAFSRLEISTPQAKDRLYRDVTHILGCIRSLPSDNSNNSATPNWGQLDEFLAQRFGAEAS >KJB69086 pep chromosome:Graimondii2_0_v6:11:392339:402916:1 gene:B456_011G004800 transcript:KJB69086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19490) UniProtKB/Swiss-Prot;Acc:F4JT76] MDLQPSPSGRSSTVGRTSSFSVADSGGQTLSSVLNNPHAGKLEASWGWWSVAPPEFTPLTSTKAACDLTRSDFQSYVSSISDSYYRFEDIRNHTTKEQTLDVDNIGEALVACLREVPALYFKEDFALEDGGTFRAACPFTDVSENIILQEKLSHYLDVVELHLVKEISLRSNSFFEAQGQLQDLNVKIVEGCNRIRDLKETIRLVDTDLVDSARQIQELNASRTNLLALQHKLKLILSVNQALSALKLLVASSECAGALDIIDDLQHLLDGDELSGLHCFRHLRDHVVTSIDSINSILSAEFMRASIHDKGDKDSVILLKAKARASISLNGEDVGVNLDEEETTNFRDRLLPLIIGLLRTAKLPFVLRTYRDTLTADMKTAIKTAVAELLPVLVGQPLESDMGAERTVDADGGGLSLASKLRSLSSGSFVQLLAAIFKIVQAHLVRAAEVKRAIEWVMCNLDGHYAADSVAAAIALGAMVAESSQESNGQGGALPLSASLRSTSKVLSSPGKGSDAISPSNLSKNFRADVLRENAEAVFAACDAAHGRWAKLLGVRALLHPKLRLQDFLSIYNITQEFITSTEKIGGRLGYSIRGTLQSQAKSFVDFQHESRMTKIRAVLDQETWVEVDVPDEFQAIVSSLFDSEAIVSGSKDNAESNMTESYSNEGSQVGSVAQNEPTDSSSTTAVNAAQGKAEVIERKKSDAVTSSQSNNSNTKERGKNATQTLECGGVSYHMVNCGLILLKMLSEYIDMNHLLPALSLEVVHRVVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFIYAIIPELRQILFLKVPEPRKSLLLSEFDRVAQDYKVHRDEIHTKLVQIMRERLLVHLRGLPQIVESWNRPEEADPQPSQFARSLTKEVGFLQRVLSRTLHEVDVQAIFRQVVVIFHSQISDAFSRLEISTPQAKDRLYRDVTHILGCIRSLPSDNSNNSATPNWGQLDEFLAQRFGAEAS >KJB74150 pep chromosome:Graimondii2_0_v6:11:60812440:60814908:-1 gene:B456_011G275700 transcript:KJB74150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDGSSQSHPPHVLVFPFPLQGHINSMIKLAELLAIAGFKLTFLNSHHNHERLVKFNNIAAHSERYPGFQFKTITDGLPLDHPRSGNWFLDTLEDTIELKMKESLREVLVNSSPPVDCIIGDGVLSFALDVAKELGIPIIIFHTNSPRSFWVSYSIPDIIQAGELPINGTEDMDRLITTVPGMETYLRCRDLPTFCRKLDIEDSFMKLVVKKTRKSLQADALILNTAEELDGPILSQIRTKCPRVYAVGPLHAQLNTRLNAKHGESYDHFSNTLWEVDKSCIFWLNKQPNRSVIYVSFGSITSTSREQLLELCYGLLNSKTNFLFIVRPNSVIGKDGEGEDVVMELMEKSKDRGYIVNWAPQEAVLNHPAIGGFFTHNGWNSTLESIVAGVPMICWPYFADQHVNSRVVSEVWKIGFDMKDVCDRKIVEKMVNDVMVDRKEEFAKSASGMAKVTNQSVNVGGSSYSNLDCLVEDIRIMSLKKLTK >KJB73907 pep chromosome:Graimondii2_0_v6:11:59147428:59150337:1 gene:B456_011G260500 transcript:KJB73907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTLVSRSGREFIKGGLELNDSATVADLQEAIHKRTKKFYPSRQRLTLPVPSGSRERPVILNYKKSLKDYCDGNENTLTIVFKDLGPQVSYRTLFFFEYLGPLILYPVFYYFPVYKYFGYEEKRVIHPVQTYALYYWCFHYFKRIMETFFIHRFSHATSPLSNVFRNCAYYWTFGSYIAYYVNHPLYTPVSDLQMKIGFGFGIVCQLANFYCHIILKNLRSPDGSGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFLVVATSIMTNWALAKHRRLKKLFDGKDGRPKYPRRWVILPPFL >KJB73909 pep chromosome:Graimondii2_0_v6:11:59147640:59149488:1 gene:B456_011G260500 transcript:KJB73909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTLVSRSGREFIKGGLELNDSATVADLQEAIHKRTKKFYPSRQRLTLPVPSGSRERPVILNYKKSLKDYCDGNENTLTIVFKDLGPQVSYRTLFFFEYLGPLILYPVFYYFPVYKYFGYEEKRVIHPVQTYALYYWCFHYFKRIMETFFIHRFSHATSPLSNVFRNCAYYWTFGSYIAYYVNHPLYTPVSDLQMKIGFGFGIVCQLANFYCHIILKNLRSPDGSGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFLVVATSIMTNWALAKHRRLKKVFFFYLSNY >KJB73908 pep chromosome:Graimondii2_0_v6:11:59147446:59150337:1 gene:B456_011G260500 transcript:KJB73908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTLVSRSGREFIKGGLELNDSATVADLQEAIHKRTKKFYPSRQRLTLPVPSGSRERPVILNYKKSLKDYCDGNENTLTIVFKDLGPQVSYRTLFFFEYLGPLILYPVFYYFPVYKYFGYEEKRVIHPVQTYALYYWCFHYFKRIMETFFIHRFSHATSPLSNVFRNCAYYWTFGSYIAYYVNHPLYTPVSDLQMKIGFGFGIVCQLANFYCHIILKNLRSPDGSGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFLVVATSIMTNWALAKHRRLKKMEGRSTPEDGLFFLHSCRTDR >KJB74417 pep chromosome:Graimondii2_0_v6:11:62471618:62473980:1 gene:B456_011G293700 transcript:KJB74417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRKVTNKLEIGVQADHHIDGKNKGTGLKKKMKKCRSIKLSDMKEGLRSSSPLVSRKTISPPVKPSPPPHNNSRSIIKGASSGSPNYMKSTSSSEAKKETSASSQVSSRRKSSASGLTRTSSLKLTKTPSFKPVRASAKKCSKVALRSDMNVQKATCSSTLKDSKFPAYLMLNPGGTEYEGTSIVKVCSYTYCSLNGHHHAPLPPLKCFLKARRRSMKVQRSMKVEALSPRKACGDGTEELNSSMDFFVEIYGKSKGEDSSECGNETAPEPETESRGSLNAEIDFGENVEHGSEVVSQVDTSENEEFRGISAKEESSPWSFNDGDKQEGDWSADMDDTMFEVIDLEWDEWPFSASQSGDEACSAIESDTSMEYSSETVRNDMTEEGVTDDNECTNDIDTCSQVSETLCYDLVLATTIDEEHGERTSGKEEMLENGVPATINEVFEVDSTFEVPSSEIRDKTGNVDVAGLLVEVNDAIDQTLEEHEDAADGDTRHKPRSKAIANEELPEKHTTKWTIGHGRHDENNDELKTFNPREPNFLSVVPETDKEKVDLRHQMMEERRNAEEWMLDHALQQAVTKLGPAKKRKWHYLLKLLKQSYHCL >KJB73752 pep chromosome:Graimondii2_0_v6:11:57484188:57484790:1 gene:B456_011G248700 transcript:KJB73752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASTATTVSLKLLIDKKGKRVLYAEAGKDFVDFLFNVLLLPVGTVIRLLAKEGMVGCLANLYKSVENLGDAYLQPTTNKDTLLKPKYSSSLAADVPLLLPNTESSTTLGFYRCSYGRNSDCRLYYANDPTSTCPCCSSVMYSPATLVNPPNKVSTSSAVANEGGYVKGVFTYTIMDDLTVTPTSTISIIAILNKFKAQ >KJB73182 pep chromosome:Graimondii2_0_v6:11:52858172:52861505:-1 gene:B456_011G220300 transcript:KJB73182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transport system permease protein 1 domain containing protein [Source: Projected from Oryza sativa (Os06g0695800)] MSAEHLLLTVDDVDDHNHDGGVMKFQIRDLRKVSDAGVPILNGINVGIPKGKIVGIIGPSGSGKSTLLRALNRLWEPPSDTVFLDGRDIVDLDVLGLRRKVGMLFQLPVLFQDNIRYGPNLRGKKLTDVEVSKLLTLADLDSSFLSKTGGELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTQNIEDVIVKLKKKRRMTVVMVSHSIKQIQRVADVVCLLVNGEIVEILKPNELSEAKHPMAQRFLQLSS >KJB73184 pep chromosome:Graimondii2_0_v6:11:52858887:52861505:-1 gene:B456_011G220300 transcript:KJB73184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transport system permease protein 1 domain containing protein [Source: Projected from Oryza sativa (Os06g0695800)] MSAEHLLLTVDDVDDHNHDGGVMKFQIRDLRKVSDAGVPILNGINVGIPKGKIVGIIGPSGSGKSTLLRALNRLWEPPSDTVFLDGRDIVDLDVLGLRRKVGMLFQLPVLFQGTVADNIRYGPNLRGKKLTDVEVSKLLTLADLDSSFLSKTGGELSVGQAQRVALARTLANEPEVACHNPISFSEAQADMFALKLYCNFHVLLNHYLKIISFLDIDISNFSS >KJB73183 pep chromosome:Graimondii2_0_v6:11:52858172:52861525:-1 gene:B456_011G220300 transcript:KJB73183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transport system permease protein 1 domain containing protein [Source: Projected from Oryza sativa (Os06g0695800)] MSAEHLLLTVDDVDDHNHDGGVMKFQIRDLRKVSDAGVPILNGINVGIPKGKIVGIIGPSGSGKSTLLRALNRLWEPPSDTVFLDGRDIVDLDVLGLRRKVGMLFQLPVLFQGTVADNIRYGPNLRGKKLTDVEVSKLLTLADLDSSFLSKTGGELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTQNIEDVIVKLKKKRRMTVVMVSHSIKQIQRVADVVCLLVNGEIVEILKPNELSEAKHPMAQRFLQLSS >KJB74478 pep chromosome:Graimondii2_0_v6:11:19541987:19546459:-1 gene:B456_011G130400 transcript:KJB74478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIMPQVSSSAATVAVDKATSELLNAPDWTLNIYICDSLNSNHWQRKDIVKAVKRRLQHKNSRVQLLALTLLETMVKNCGDHVHYHIDERNILGEMVKIVKRKRSPNAVPILTPPPKHHPRHGMPSNSSRRFDEPTDTECLSLDSLKDVMDLLADMLHAVNPRDPTAVKDEVIVDLVNQCSSNQKKLMHMLTTTGDEELLARGLELNDVVQSLIAKHDAICSGSPLTMKVTTSVSSKPSEASTVEKSNEVKSSSPASNISPPASVAIVTRNLIDEDEEEEEDFAQLTRRFHILIISIPSNRIGVIVEYVQLLSGKHFDTSFRHSRAESSSSQSTSAGTNESLLPIKDTVLTTSYDPTLSATDELCIALALPSDLDAQVNNTKEQDLIDLLSLTLSTSSSASPSHAPYSSPSANMNHQVQAPFFEGYPYPENKGSLPYDSYIVPWAQPHLQFRSQFQAESLTQNQSNSLFLGQQQPQSLLQPQYSSGYPLPQWAATPGYLTGGNHLSSANNMHSTQKPNAASTNPMEGSGPLQHSNSWATPMLAQKPYIPPYRLFEDLNVLGDGDGRLKVETTTSPESMIGGKK >KJB74477 pep chromosome:Graimondii2_0_v6:11:19541987:19544075:-1 gene:B456_011G130400 transcript:KJB74477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNSSRRFDEPTDTECLSLDSLKDVMDLLADMLHAVNPRDPTAVKDEVIVDLVNQCSSNQKKLMHMLTTTGDEELLARGLELNDVVQSLIAKHDAICSGSPLTMKVTTSVSSKPSEASTVEKSNEVKSSSPASNISPPASVAIVTRNLIDEDEEEEEDFAQLTRRFHILIISIPSNRIGVIVEYVQLLSGKHFDTSFRHSRAESSSSQSTSAGTNESLLPIKDTVLTTSYDPTLSATDELCIALALPSDLDAQVNNTKEQDLIDLLSLTLSTSSSASPSHAPYSSPSANMNHQVQAPFFEGYPYPENKGSLPYDSYIVPWAQPHLQFRSQFQAESLTQNQSNSLFLGQQQPQSLLQPQYSSGYPLPQWAATPGYLTGGNHLSSANNMHSTQKPNAASTNPMEGSGPLQHSNSWATPMLAQKPYIPPYRLFEDLNVLGDGDGRLKVETTTSPESMIGGKK >KJB68917 pep chromosome:Graimondii2_0_v6:11:3679650:3680302:1 gene:B456_011G047600 transcript:KJB68917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQGKLCAYPKTRVLSWDFLVSKHLQSFYLLTDMASSRLPRFIMEVAPPQFVTVMRQRTRTMLDTINEEDNLDGSKSLSSTTIPAGATMATAATAASSSSATTVTAHSKYFSQRSVQFFNFQ >KJB70480 pep chromosome:Graimondii2_0_v6:11:7197362:7200924:1 gene:B456_011G075500 transcript:KJB70480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTTRNLLLFSLSCFIPLLFRPTCSQIATISHGQQLKQDQQLVSTSGMFKLGFKSVHKDNSYLGIWYNGEDDNLLWVANRNTPTFGSTGILEIDDRGSLKILHQSADPVVLYSVEEPSNTSATLEDSGNFVLHELNRDGSVKRLLWESFDYPTDTLLPGMKLGINSKTGLNWALTSWRSDKSPASGSFSLGLDPSDSTQWAIWWRGEVYWKSGSFQHGFLEVFLSNSSYDFFYVSNENETYFNYTVKKAVTIFPRLKLNSEGELVSYKADSVASQVSCTKNMSIGCMKLNVPECSRSLGNNVFQQHVGYISNTGFKFSETDNLSRIDCQAKCLDDCSCVAYASKNDDGTGCEIWSTGVSFTESVTGDNKPEVKREVFILEPRENKWWIWLIASVGVVMIVPPVCSICYKIWKNSNRGGVGKTNQRVLFDEIGGGAMASTKNDTLISRRTDGHDKQLDVFSFESIVAATKCFSVGNKLGEGGFGPVYKGKLVDGREIAVKRLSSHSGQGLVEFKNEAILIAKLQHTNLVRLLGFCIQVEEKMLIYEYMPNKSLDFFIFDSEKKYMLNWKERLNIIEGIAQGLIYLHKYSRLKVIHRDLKASNILLDHEMIPKISDFGMARIFGLNESGANTKRVAGTFGYMAPEYAIHGIVSTKTDVFSFGVLLLEIVSGKKTNSCYHPERPLNLIGYAWQLWNEGQGTVLIDPILDESCNQNEALRCIHVGLLCVQNHAIDRPTMADVVSMLSNETVQLPAPKQPAFFIYAAEEEADIGETKWNNCSINHVTVSVMEAR >KJB73708 pep chromosome:Graimondii2_0_v6:11:57184218:57187364:1 gene:B456_011G245500 transcript:KJB73708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEHPPENVKYDEEFITNSQGSKLFTCKWIPIKDEPKALIFIFHGYGMECSITMSSTAIRLVKEGYAVYGIDYQGHGKSSGLDGYVENFDDIVNDCNDHFSNICEEEKNRRKKRYLLGESMGGAVILLLHRKKPEFWDGAILVAPMCKIADDMKPPAAVTTLLQGLSWVIPTWKSFNITKDIIEIGFKEPRVREEIRKNEYCYKGPPRMRTASELLRVSYDIEQRLSEVTLPFIVLHGEDDKVTDQQVSKELFKVASSTDKTIKLYPGMWHGLLCGEPLENTEIVFADIIRWLEERTKLGNPRAETLNKVQADNLSLNRNNKL >KJB71144 pep chromosome:Graimondii2_0_v6:11:12800539:12802260:1 gene:B456_011G108700 transcript:KJB71144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSRKATCNTHGQDSSYFLGWQEYEKNPYNEVNNPNGIIQMGLAENQLCLDLLEPWLMKNPDALGLKRDGISIFKELALFQDYNGFPAFKRXXVDFMGEIRGNKVSFDPDHVVLTAGATSANETLMFCLADQGDAFLLPTPYYPGFDRDLRWRTGVEIVPIHCTSSNGFKVTLPAIEQAYRQAQKRKLRVKGVLITNPSNPLGTTMARDELDLLINFIEDKQIHLISDEIYSGTVFTYPSFVSVMEVLKDRNLDQTQVWERVHIVYSLSKDLGLPGFRVGAIYSNDSVVVSAATKMSSFGLVSSQTQYLLSAMLLDKKFTKEYMSENHKRLQKQQKDLVSGLEKAGIRCLDSNAGLFCWVDMRHLLKSNTFEAEMELWQKIVYHVKINISPGSSCHCIEPGWFRVCFANMSESTLKLAIQRLKSFVSSIGMKSQSHQELKSLRRKARNNWVFRLSLQSFYDREQDTTTKPH >KJB71527 pep chromosome:Graimondii2_0_v6:11:18231898:18232746:1 gene:B456_011G127200 transcript:KJB71527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLANENKSMEVGLRRFGDEQSTLLDQFERLSFEAQLKQAILGRSLSESSLVKRCRCSQLLAQPPAAAQVGKGRCGSGFNRVLKKLMKPILGGKGNAEKNPVTNPNNSMFFNAFSRSLRF >KJB70580 pep chromosome:Graimondii2_0_v6:11:8170496:8171685:-1 gene:B456_011G081100 transcript:KJB70580 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCAR13 [Source:Projected from Arabidopsis thaliana (AT1G73000) UniProtKB/TrEMBL;Acc:A0A178W862] MNPSLITSSNNNLTEEEISKLESIIRTYHTFPSSSNTCTSLLTQRINVPLSFIWLFVRDFENPNKYKHFIKSCTMKGDGRVGSVRDVTVISGLPASTSTEVLEVLNDDEHVLSFRVVGGDHRLNNYRAVTSVHEFKEGGGGEVYSIVLESYSVEIPQGNTEEDTKMFVDTVVKLNLQKLAEVAMAAALKTPT >KJB70619 pep chromosome:Graimondii2_0_v6:11:8446499:8450283:1 gene:B456_011G083400 transcript:KJB70619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDKEAGEIKRNSSGSLEAISSGCLGCYEKPSFNPLVNESSKGLNVQGQTVRKASISEDFWTTSTCDMDNSAVQSQGSISSISTSNQTLDLHGSVATANAPAEFVNHGLLLWNQIRQRWVGNRRSQNRKQLVQEPKLNTHCLSMVKQFWLCSWNVTYENLLGSNKPFPQPIPLSEMIDFLVDVWEQEGLYD >KJB71606 pep chromosome:Graimondii2_0_v6:11:20169273:20172399:-1 gene:B456_011G132800 transcript:KJB71606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:Projected from Arabidopsis thaliana (AT2G43790) UniProtKB/TrEMBL;Acc:A0A178VTX8] MEGGGRPQPPDPQMAEAAPPQQPVYQNALPLMFPRMQIPATPSYGGRFTQYNIFGNIFEVTAKYKPPIMPIGEDAYGTVCSALNSETNESAAIKKIVNAFGNKVDAKRTLREIKLLRYMAHENVVAIRDVIPPPQRECFNDVYIVYELMDTDLHQLICCNQKFNEEHYQYFIYQILRGLKYIHSANVLHRDLKPSNLLVNENCDLKICDFGLARVNTESDFMTEYVITRWYRPPELLLYSSQYSAAIDMWSVGCIFMELFIRKPLFPGRDHLHQVRLLLELLGTPSEAEMEYLNENAKRYIQQLPNYPGQSFSEKFPNVHPLAIDLAQKMLTFDPKQRITGEFVAKE >KJB71605 pep chromosome:Graimondii2_0_v6:11:20168774:20172658:-1 gene:B456_011G132800 transcript:KJB71605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:Projected from Arabidopsis thaliana (AT2G43790) UniProtKB/TrEMBL;Acc:A0A178VTX8] MEGGGRPQPPDPQMAEAAPPQQPVYQNALPLMFPRMQIPATPSYGGRFTQYNIFGNIFEVTAKYKPPIMPIGEDAYGTVCSALNSETNESAAIKKIVNAFGNKVDAKRTLREIKLLRYMAHENVVAIRDVIPPPQRECFNDVYIVYELMDTDLHQLICCNQKFNEEHYQYFIYQILRGLKYIHSANVLHRDLKPSNLLVNENCDLKICDFGLARVNTESDFMTEYVITRWYRPPELLLYSSQYSAAIDMWSVGCIFMELFIRKPLFPGRDHLHQVRLLLELLGTPSEAEMEYLNENAKRYIQQLPNYPGQSFSEKFPNVHPLAIDLAQKMLTFDPKQRITVEEALAHPYLTTLHDISDEPVCMIPFTFDFEQHALTMAQMKELVYEEALAFNPDYSLQ >KJB71607 pep chromosome:Graimondii2_0_v6:11:20169672:20172399:-1 gene:B456_011G132800 transcript:KJB71607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:Projected from Arabidopsis thaliana (AT2G43790) UniProtKB/TrEMBL;Acc:A0A178VTX8] MEGGGRPQPPDPQMAEAAPPQQPVYQNALPLMFPRMQIPATPSYGGRFTQYNIFGNIFEVTAKYKPPIMPIGEDAYGTVCSALNSETNESAAIKKIVNAFGNKVDAKRTLREIKLLRYMAHENVVAIRDVIPPPQRECFNDVYIVYELMDTDLHQLICCNQKFNEEHYQYFIYQILRGLKYIHSANVLHRDLKPSNLLVNENCDLKICDFGLARVNTESDFMTEYVITRWYRPPELLLYSSQYSAAIDMWSVGCIFMELFIRKPLFPGRDHLHQVRLLLEVNCFYPATHINYVKRKQISC >KJB72423 pep chromosome:Graimondii2_0_v6:11:41426272:41427004:1 gene:B456_011G177500 transcript:KJB72423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSLVCGTTSCMICGSGEIEGALLKYLGVEHNEVTKDGLFSVGEMECMGCCVNAPMIAVADYTNEYEGYTYNYYVDVTTQRVVEIVEIVAVGFCQEN >KJB72263 pep chromosome:Graimondii2_0_v6:11:33944367:33945963:1 gene:B456_011G167700 transcript:KJB72263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTGKWLKSFLTCKKVKEKEKGKYASNQKSLVALENSTTISIPSTYSKEKKRWSFRRSSATLMPTKGINSTEQAPTTPPPSDAMAVAVATVAPADVPIAAVIHLTATATEKVGVAEEAAVTKIQSIFRSYLARKALNALKGLVKLQALIRGHLIDREMKENIKIEEIDLGDSKGCLMSRNNYSYHSQEERVVEHRFSSHYPSSRACFKQENYQLSSPPSALTDMSPRACSGHFEDYSFTPSKSSPRNYSNISKPPLALQRTEYVESTSYKYPLFPNYMAKTESSRAKSRSQSETKSRQDSFERQPTRTVRMQRSFSLIGATAQNHQYTWSIKLDRSIVSLKDSEYGSTSIVLTSINYCRPLVAYNVSMK >KJB72399 pep chromosome:Graimondii2_0_v6:11:40771706:40774227:1 gene:B456_011G176300 transcript:KJB72399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHIHNDLLKERIFYRVIWLTVSKEFDVVKLQDDIASALNLKKDLDKAGDKLKRAAFLSEMLKKAGKHILILDDVWDKISLEEVGIPEPTSGNGCKMVLTTRSEQVCKYIDCKVIIVKPLSEEESLILFLNKVGPNIVQSPTLMPTLRLVVKECAGLPLTIVVVAGTLKGENEPCIWKNALKELKERIGKVEGAEAEVIERLKFSFDHLKDDKVKHCFLYCAFYPEDFKIPKNELIECWIDEGFIDEMDTREEMKDKGHVIMKKLEDNCLLEKCSNHLRWTCVKMHDAVRDMALSITNVNSRCMIQAGKQSKKLLKKDGWMADVEKVSLMRNSISRILKDRSSPQHQLLKTLLLQDNPIEKIPNSFFANMPSLSVLNLSRTKIERLPNSISKLENLTTLLLDGCQALRYLPCPSKLQGLKKLNLCQTKIEETPEGMDMLINLRYLDLYVVTLKEIPIGLLPKLSRLQHLRFDEDNEKTSLRAEEVVPLEKLESFCGRFKDMHELNKFASSMQQCKSNLIKYRLQVGPSCWEHERDKFVSINELEFCQELSELIKVERLILSATFSHLKRILIWRCMSMKTLLAHWLLPNLQNLEEIWVEDCDELVEILGTSTLEDHQDAEKGSDAFIKFNLPKLRNLELHALPELKSICSKSGAMVCDSLQVINVSSCDKLKRIPPFVPLIGNGQPYGHAPPSLNITSSTFWWESLEWDDPNFKNVLQPHWHPW >KJB70638 pep chromosome:Graimondii2_0_v6:11:8804638:8807114:1 gene:B456_011G085400 transcript:KJB70638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSVRDKMEKAKSGNGTYIVLAEKQTRRRDPLDHLHYYTGGWNITNKHYYASVAFSSFPFLATALAWFVLLGVLILCACCCCKHNNMSYAYPPLAYILILIFLVLFTTTAIVGCGIMYDGEARFLETVYEAAKYIVNQAKKVSDGLTNVYLYLYSAKSVSLDQQFLPPEIITQIDTVTSQLNASKDLPYNTSASILDSLPKVLNPVNLALILITATMLLVAFIGFCKPFCLMADTCIAVDEWVQNPMAGSAIKSLLPCVDSEFGKNVTDASKLVTNGIDTLLNHHVSLIANANNLPPEAKPLYYNQSGPLVPIICDPYMVEQTKQCGEGAVALGNAIQEWNKYVCQVSGAGICSTTGRLTPDLYKQMSAAVNVSYALYSYGPFLASLVDCSMIRDTLKDMHQHHCPGLRKQSQRVYIGLLIATVSVIFCLFFWVFYGRERRHRKYNKTTSKVETPPSKE >KJB72202 pep chromosome:Graimondii2_0_v6:11:32238073:32238884:-1 gene:B456_011G165100 transcript:KJB72202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCERGHSNTKSDSSQFPVAINNMTNYKVCKSPFQIYSAGVFTGTSGTDLDHAVTAVEYGVDDDGTKYWLVNNSWGSSWGEESYIRMQRKAFVA >KJB71035 pep chromosome:Graimondii2_0_v6:11:11429229:11432319:1 gene:B456_011G101400 transcript:KJB71035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKIMMRELKEMKDGIGNISKRGGERKLWRSRTSSHVVPDQPQESAEQSPWANLPPELLLDIIHRVEESETAWPARAAVVFCAAVCRSWREITKEIVKTPEQCGRLTFPISLKQPGPRESPIQCYIRRDRATSTYLLFYGLVPSEGEGDKLLLAARKVRRATCTDFVISLAADDFARASYTYVGKLRSNFLGTKFTVYDSQPPCDSMIPLTTHRRFHSKQVSPRLPVCNYSIGTITYELNVLRTRGPRRMHCVLHSIPVSAIQEGGTAPTPLALPQSFDEQLSPLPSSKGKQPLMDTSSTSPAVTPLFSPGSGEPLTLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPEHNVSPEDQEKVILQFGKIGKDIFTMDYQYPLSAFQAFAICLSSFDTKPACE >KJB71036 pep chromosome:Graimondii2_0_v6:11:11429459:11432319:1 gene:B456_011G101400 transcript:KJB71036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKIMMRELKEMKDGIGNISKRGGERKLWRSRTSSHVVPDQPQESAEQSPWANLPPELLLDIIHRVEESETAWPARAAVVFCAAVCRSWREITKEIVKTPEQCGRLTFPISLKQPGPRESPIQCYIRRDRATSTYLLFYGLVPSEGEGDKLLLAARKVRRATCTDFVISLAADDFARASYTYVGKLRSNFLGTKFTVYDSQPPCDSMIPLTTHRRFHSKQVSPRLPVCNYSIGTITYELNVLRTRGPRRMHCVLHSIPVSAIQEGGTAPTPLALPQSFDEQLSPLPSSKGKQPLMDTSSTSPAVTPLFSPGSGEPLTLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPEHNVSPEDQEKVILQFGKIGKDIFTMDYQYPLSAFQAFAICLSSFDTKPACE >KJB71735 pep chromosome:Graimondii2_0_v6:11:21560657:21570073:-1 gene:B456_011G139200 transcript:KJB71735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPMNLHKLGKESEESATPSNHLWVGNLSGETVDSDLMELFNKFGALDSVTSYSSRSYAFVYFKRVEDAKAAKDALQGATLHGNQIKIEFARPAKPCKNLWVGGISQTISREELEEEFRKFGKIEDFKFLRDRNTAFVEYFRMEDASQAMISLKGKRIGGEQIRVDFLRSHPSRREQWPNSHDLRDGTFSGRMASSDVHSIAKRPYSQLGGRKVDGQPSKVLWVGYPPSVQIDEQMLHNAMILFGEIEKMKSFPSRHYAFVEFRSVEEARRAKEGLQGRLFNDPRITIMFSSSELAPGKEYGFDSGIKGPRPGMLFGDHLFRPSQMDILGQNNPLLPNTVSGSLPSSGIFGSNVPIRSFSHQGSYDSLLSGQEYNDLSAQHNRRRLSPVPSAQGFRPSPRQASGSWDVYDVNQFQRDAKRSRIEAAMPVDDLAPVIGGGASGPFVNVQGKGRVSPTPGMATAGGPGLSPPDSDYIWRGIIAKGGTPVCHARCVPIGKGLETEIPEVVNCSARTGLDMLAKHYREAIGFDIVFFLPDSEDDFASYTEFLRYLGSKNRAGVAKFDDGTTLFLVPPSEFLTKVLNVLGPERLYGVVLKLAPQVPNAAPPQPNPPVLSQRDYSHLKEELPLQMEYRRVLHEDSKPPVRPLGQATMPSQPPNSSAALSQTGVALTPDLIASLASFLPTTSQSAAVGGVQPPLMTSTTQSSSAHSVAPKGASAQIWNQDQQASEPAAPSFQHFNPSVPLSPVQRYSIHSSAQKALGNTQFQESVISLPQQGAASSMPLNNFPISQQYQPEVPPSIQKVYGMMHATDTSGLYGAPALQQPSNPNVLSNQVHGANVSHPQNVMQADRKNPELPSQAQPLQSVFSGSGQGTSDLEVDKNQRYQSTLQFAASLLLQIQQQQQQQQQQQQQQTNTPDGQGTGS >KJB71736 pep chromosome:Graimondii2_0_v6:11:21560671:21567382:-1 gene:B456_011G139200 transcript:KJB71736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDASQAMISLKGKRIGGEQIRVDFLRSHPSRREQWPNSHDLRDGTFSGRMASSDVHSIAKRPYSQLGGRKVDGQPSKVLWVGYPPSVQIDEQMLHNAMILFGEIEKMKSFPSRHYAFVEFRSVEEARRAKEGLQGRLFNDPRITIMFSSSELAPGKEYGFDSGIKGPRPGMLFGDHLFRPSQMDILGQNNPLLPNTVSGSLPSSGIFGSNVPIRSFSHQGSYDSLLSGQEYNDLSAQHNRRRLSPVPSAQGFRPSPRQASGSWDVYDVNQFQRDAKRSRIEAAMPVDDLAPVIGGGASGPFVNVQGKGRVSPTPGMATAGGPGLSPPDSDYIWRGIIAKGGTPVCHARCVPIGKGLETEIPEVVNCSARTGLDMLAKHYREAIGFDIVFFLPDSEDDFASYTEFLRYLGSKNRAGVAKFDDGTTLFLVPPSEFLTKVLNVLGPERLYGVVLKLAPQVPNAAPPQPNPPVLSQRDYSHLKEELPLQMEYRRVLHEDSKPPVRPLGQATMPSQPPNSSAALSQTGVALTPDLIASLASFLPTTSQSAAVGGVQPPLMTSTTQSSSAHSVAPKGASAQIWNQDQQASEPAAPSFQHFNPSVPLSPVQRYSIHSSAQKALGNTQFQESVISLPQQGAASSMPLNNFPISQQYQPEVPPSIQKVYGMMHATDTSGLYGAPALQQPSNPNVLSNQVHGANVSHPQNVMQADRKNPELPSQAQPLQSVFSGSGQGTSDLEVDKNQRYQSTLQFAASLLLQIQQQQQQQQQQQQQQTNTPDGQGTGS >KJB72986 pep chromosome:Graimondii2_0_v6:11:49947018:49951830:-1 gene:B456_011G207800 transcript:KJB72986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNSKFQIGSDDLGKTIIFYGRSSVPLILQTHGLAEYIAQEEMDRKTGYWWSLDGKFITFTEVDYSEIPLFRIMHQVAVLRHTTQPVSKGMRHSLNPRQNGTATYTDKEGIRQ >KJB68696 pep chromosome:Graimondii2_0_v6:11:10298934:10299639:-1 gene:B456_011G0947001 transcript:KJB68696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSEKPRIDGRLENEGKKWVKTGIPSLEPINTKPSEEEATAACSTTPTSKESKIADRLPCPLPPTRRKPPLRCHRNGVREFFTPPDFEALFNSHFHNAIRLPN >KJB72047 pep chromosome:Graimondii2_0_v6:11:27103429:27107938:-1 gene:B456_011G155700 transcript:KJB72047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTCNGIFVQVYIVVQVIIVLLLLMKMSRWIMGCSDFQPNIFTMNIWILCLCNLKKMNGNGSFQPGAECYSAIIDGICKENRMDKALIIFRDMLDSVGQWDEAKELLVDMVNKRISPNVYTLNTIISALCKDGKIQEAIFVFDLMSQRGTRPDVITYTMLIHALCKFGEWRLVRNFFANMIASEILPSVLTFNSMIYILCKEGQSSEAIEILELMTRKGVKPDVTNNPLIQGLCHSGQWEETTSLLNRTMNEGVHPDVVTFNSLINALCKEKRTSEAFTVLELMIQRNVKPNVVTNNCSIYEFCSSGKWTEATTVLNRMMNAGEKRTEEAITMLELMSQRGVKPDIVTYNCLIRGLCNSDICAEATSLFSRMLNGGVQPDMETLRSLIIALYKENKNEEAISMLELMSQRNVIPDIVTYCFLILGLWSLGSCAEVASLFSRMLNGVQPDVETLSSLINALCKEKKIEEAITLYKLMIQRDLKPDIVTYSSLIHGLCNSGQCGEATSLLSKMVAEEIVPDVETFNICWMLSANKEK >KJB69684 pep chromosome:Graimondii2_0_v6:11:2781941:2783911:1 gene:B456_011G036900 transcript:KJB69684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRSAPNNGTHNKNKLARTFQRVINLRTASKIASTNGVGIGTYSHGDDVKRKAGLKALIAMVFASVTSIKAAYAELQMAQHPYDGEAIQVADQAVVEQLKVLSELKHKFLKQDLDLSPQVTLMLAEIQEQQSMMRTYDITIKNLESDIEEKDSAIDLHHKQLEHCIAFNKSMEKKLNETGPLFMFDNIQFTTLNPSHFIQVLHCALKSVRSFVRLMMKEMELAKWDIVAATKAIEPSAMLAKQSHACFLFESFVCKTMLQGFDSHDFSGLKSLHREQYFNAFKTLKSANPKSFLVQNPKSGFAKFIRDKYLKLVHPKMECSFFGNLNQRKMVISGGFSDTAFFMAFTEMGRRFWLLHCLGLSMSDQVSVFQVMKGYRFSEVYMENVSEESIFIDEIVDGADVDFRVGFTVVPGFKIGKTVIQSQVYLSPVINPNGTSLLDGNTLR >KJB72177 pep chromosome:Graimondii2_0_v6:11:31385687:31386432:1 gene:B456_011G163900 transcript:KJB72177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQSIGFLKPQQYYENILAHTGSVLFKHYIDPKDPNFITHSTAQILKILRPRDWSENPNSPKKFPAKFTTKIDHYSYFTYWDYQTAWYNAFLMNNQHLRHSWLIYFKYGTQFKFPNWFQEWWNYLNQTKNTFTGQSIFLKTQDQYTGIPLLVRNYRTKWWDKFNDEKYDSKYLDNFFNKNPRLYKFAAPDQTTAKFLQAKSTASAMLT >KJB72746 pep chromosome:Graimondii2_0_v6:11:46916133:46924864:1 gene:B456_011G194100 transcript:KJB72746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKETVHRLEQESGFFFNMKYFEEKILAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRNDRAKAVEILVKDLKVFSTFNEELFKEITHLLTLGNFRENEQLSKYGDTKSARSIMLIELKKLVEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPKPNPDIKTLFTDHSCSPPNGARAPTPVTFPVAAVAKPSTYAPVGAHSFPPNPTALAGWMGNVNPSSSVQSALAVAAASASSLPFPQNQVSVLKHPRTPSNTPGMVEYGSTDHEHLMKRLRSAQSIDEVTYPAPPQHASWSLDDLPKSVACTIHQGSNVTSMDFHPFHHTLLTVGCSNGEISLWEVSKRERLLTKPFKIWDMASCSVLLQASIVKDSSISVNRVAWTPDGNLIGIAFTKHLVHLHAYQGSNELRPHLEIDAHVGGVNDIAFSHPNKKLCVVTCGDDKLIKVWDLAGNKLFSFEGHESPVYSVCPHQKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGQWCTRMLYSADGSRLFSCGTSKDGDSFLVEWNESEGKIRRTFSGFRKNFPGVVQFDTTKNRFLAVGDDSQIKFWDMDNTNILTSTEAEGGLPSLPRLRFNKEGNLLVVTTADNGFKVLANANGLRALRAMEAQSYEASRTPLEMKVSSSSMLTSIGPVVSKVERVDSPAKPTSTLNGVEPMIRGIEKPRNLEDVSDKTKPWELTEIVDPTQCRTVTLPDNSEIASKVPRLLYTNSGVGVLSLYTNGVQKLWKWSRSEQNSSGKATASIIPQLWQPNSGLHMTNDVPETSEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSAIHIYNVRVDEVKTKLKGHQKRITGLAFSTSLNLLASSGADAQLFFWNMENWEKMKSVALQLPPGRTPQGATRVQFHSDQVRLLVCHETQLAVFDANKMECIQQWMPQEVLSSPISSAAYSCNSQLVYATFTDGNIGVFDADSLKLRCRIAPSAYISPGMSNSQIVHPLVVATHPQEANQLAVGLTNGLVKVIEPSETERKWGLPVPVNNGTENGKTAAPSTINTSEQLQR >KJB72745 pep chromosome:Graimondii2_0_v6:11:46916000:46924242:1 gene:B456_011G194100 transcript:KJB72745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKETVHRLEQESGFFFNMKYFEEKILAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRNDRAKAVEILVKDLKVFSTFNEELFKEITHLLTLGNFRENEQLSKYGDTKSARSIMLIELKKLVEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPKPNPDIKTLFTDHSCSPPNGARAPTPVTFPVAAVAKPSTYAPVGAHSFPPNPTALAGWMGNVNPSSSVQSALAVAAASASSLPFPQNQVSVLKHPRTPSNTPGMVEYGSTDHEHLMKRLRSAQSIDEVTYPAPPQHASWSLDDLPKSVACTIHQGSNVTSMDFHPFHHTLLTVGCSNGEISLWEVSKRERLLTKPFKIWDMASCSVLLQASIVKDSSISVNRVAWTPDGNLIGIAFTKHLVHLHAYQGSNELRPHLEIDAHVGGVNDIAFSHPNKKLCVVTCGDDKLIKVWDLAGNKLFSFEGHESPVYSVCPHQKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGQWCTRMLYSADGSRLFSCGTSKDGDSFLVEWNESEGKIRRTFSGFRKNFPGVVQFDTTKNRFLAVGDDSQIKFWDMDNTNILTSTEAEGGLPSLPRLRFNKEGNLLVVTTADNGFKVLANANGLRALRAMEAQSYEASRTPLEMKVSSSSMLTSIGPVVSKVERVDSPAKPTSTLNGVEPMIRGIEKPRNLEDVSDKTKPWELTEIVDPTQCRTVTLPDNSEIASKVPRLLYTNSGVGVLSLYTNGVQKLWKWSRSEQNSSGKATASIIPQLWQPNSGLHMTNDVPETSEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSAIHIYNVRVDEVKTKLKGHQKRITGLAFSTSLNLLASSGADAQLFFWNMENWEKMKSVALQLPPGRTPQGATRVQFHSDQVRLLVCHETQLAVFDANKMECIQQWMPQEVLSSPISSAAYSCNSQLVYATFTDGNIGVFDADSLKLRCRIAPSAYISPGMSNSQIVHPLVVATHPQEANQLAVGLTNGLVKVIEPSETERKWGLPVPVNNGTENGKTAAPSTINTSEQLQR >KJB70666 pep chromosome:Graimondii2_0_v6:11:8936361:8937183:1 gene:B456_011G086000 transcript:KJB70666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APELHREILKHGLDQQIVGVGPSAATSSSSAKDAKKGKKSYDLKYDIVGWIVLAIGIVVWVGFTKSQMPPPPPPSG >KJB72786 pep chromosome:Graimondii2_0_v6:11:47743599:47744571:1 gene:B456_011G197600 transcript:KJB72786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFSYDHETTSPVAPARLFKAFTVEAPKVWPAAAPNAVKSIEVEANPSSGSIVKINFVEGFPFQYMKHQIGRHDENNFSYSYSLIEGGPLGDKLEKISYENKFEAAAGGGSICRSSMKFYTVGDNVITEDEIKARIKGSETVYKPLEAYLLANPEACN >KJB72213 pep chromosome:Graimondii2_0_v6:11:32688821:32691343:-1 gene:B456_011G165700 transcript:KJB72213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSLSPVITSQALKDLTNQTLPKTNDSSPTGSLKDCRNLTELRKLHCQIAKQGLIHRPSTVAKLISTCTALGTFESLVYAKNILNQFRYDNQNDGTLFMYNSLIRGYSSIGLGKEAIRVYLEMLSLGILPDKYTFPYLLSACTKISATAEGFQVHGSVIKMGFQGDMFTLNSLIHFYADCGEIVMGQKVFDEMIDRNVVSWTSLICGYARSGLAKEAVELFFEMVEEGTRPNSVTMVCVISACAKLRDLELGERVRGYISSLGVKVNTLMVNSLVDMYMKCGAFDTAKRLFDECEQKNLVVCNTIMSNYVHSGMVREALFILEEMLRQRLVPDRVSILSTISVSAQLGSIFLGKCCHAYVLRNGLEGWDSISNALIDMYMKCGKQEVAFAVFHRMANKTVVSWNSVMAGYIRNGDLSSAWEVFNNMPESDLVSWNTIISALVQESMFEQAIELFRTMQNEGIKADRVTMVSIASACGYLGALDLAKWIHAYIKMNKIPCDIRLSTALVDMFARCGDPSTAMKIFNNIENRDVSAWTAAIGAMAMEGNGNQAIELFNKMLRQGVKPDGVVFVGLLTACSHGGLVQQGRDIFKSMTSVHKISPQIVHYGCMVDLLGRAGFLEEALDLIKLMPMEPNDVIWGSLLAACRMHRNIDMAGYATERMKELASDRTGIQVLLSNIYASAGKWTDVAKVRRQLKEKGARKVPGSSSIEVNGQIHEFTSGDESHPEMMHIAAMLDEMHCRVEAAGHAPDLSNVLLNVDEEEKEYVLSRHSEKLAIAFGLISTDQGTSIRVVKNLRICSDCHSFAKLVSKTYNREIIVRDNNRFHFFQRGVCSCNDYW >KJB73409 pep chromosome:Graimondii2_0_v6:11:54894843:54900714:1 gene:B456_011G232200 transcript:KJB73409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGDDAVRRKKNKAQRKKLNRKSDSSTVSARVASIIAAKKRRKAGKRRICQGMCFSLPTPDDPFNERLDKKDISRREPQKPKPSKLDRKVSAKRKDSVATKGSVLGHNVALKDEQKKSVTLINNMGKSRHIDSGKMEIQQDGKKVGLHGNQEQACKSSDFPSKYLILCLKAIEDTLYPDGTYNGEEEKRLFVNPWGIEFWKCYSAGKDILETSGSSSDFEQIAWIASTAADVISRREKEGYLFTGPFLLFIVPSKEKALKVRSLCKPLKAQGIHTVSLHPGASIDHQINGLQSCEPEFLVSTPERLLELVSLKAIDISGVSMLVIDGMESASGGCYLDTVKSVRQSISGKPHTLVFFNSFNNAYVPAVQSLLTGLVYRLSLNDSVASQSAGIIQSIYVCSSKEERTMKGIQALDNAYSNQIIAQHLKVLYVVGKDNNIQKLVSAVKFKGYSISISSYLNSMESGNSLDCGGRMRPTVSIMDTENISCTDLGEYGVVIIPDFVLSIDDYLQILTRMARHTVNGVLHSFLTKDDSQHAGPLIEILEQCGQEVAEELRNL >KJB70236 pep chromosome:Graimondii2_0_v6:11:5456620:5459009:-1 gene:B456_011G064600 transcript:KJB70236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSAGGGSCTTVPSVPPHSNVSTPSNQDPPYLSPPPPPSQQQQVQQDQHHQSLPSHFHLLHLVENLGDAIDNGTRDQHSDDLINELNKHFEKCQQLLNSIGASINTKPMTVEGQKQKLEESEQLLNQRRDLIANCRSSVEDLVKTEP >KJB71784 pep chromosome:Graimondii2_0_v6:11:22459517:22460167:-1 gene:B456_011G142200 transcript:KJB71784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFLFRIFLLYNLLLDYLVPRKLKSFLSPSCTTTTPFVSVGGETEKNPSPAVALASVSPRCPLKRMDAAELKRVFQLFDKNGDGTISKKELNDSLENMGICIPDPELTQMIEKIDVNGDKCIDIDEFSELYRSIMDNKDEEEDMKEAFNVFDQNGDGYISVEELRSVLESLGIKQGKGIEDCKRMITKVDVDGDGRVNFMEFKQMMKGGGFTAMA >KJB69842 pep chromosome:Graimondii2_0_v6:11:3453977:3454267:-1 gene:B456_011G045400 transcript:KJB69842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALACPNSIPVHSQIFTTNKLNPISIFYTPRVWVKQLCRHENTVAAATLMNFKKVQHAKHGILFKIKNALFFGFICRVYIKNRNKI >KJB72838 pep chromosome:Graimondii2_0_v6:11:48559945:48560758:1 gene:B456_011G2004001 transcript:KJB72838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGAGGGAGGGAGGGFGGGKGGGIGGGAGGGKGGGIGAGGGAGGGVGGGAGGGFGGGKGGGIGGGVGGGGGVGGGGGAGGGAGGGFGGGKGGGIGGGAGGGFGGGQGGGIGGGVGGGGGAGGGGGFGGGAGGGIGGGF >KJB72722 pep chromosome:Graimondii2_0_v6:11:46534520:46535121:1 gene:B456_011G192900 transcript:KJB72722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNKVDYLQKVALDGFTIIEERFGRGSSQGQCQRRRRHHHEKPAPNQYCYQEPDEPSFRANRPLKYIKQRYETWYFLPVPQAPKREGDVISSSQAAKNYGEVLFKDHGPKNETYHWGYN >KJB73011 pep chromosome:Graimondii2_0_v6:11:50259610:50263954:-1 gene:B456_011G209200 transcript:KJB73011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPPSLKPNPDPPVPSNASSTTPSFPNPTTFRGSYHRRAQSEVQFRIPDDLDLVSDPFEGMGSEDDLFCSYMDIEKLGGSSKGAEEGGGATGSSTVGSAHNPKGDEISGGSAGMGEKTNEGGKGRHRYSNSVDGCSLMESIEAKKAMAPDKLAELWTIDPKRAKRIIANRQSAARSKERKARYISELERKVQTLQTEATTLSAQLTLFQRDTTGLTTENTELKLRLQAMEQQAQLRDALNEALKKEVERLKMATATAS >KJB73010 pep chromosome:Graimondii2_0_v6:11:50260425:50263726:-1 gene:B456_011G209200 transcript:KJB73010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPPSLKPNPDPPVPSNASSTTPSFPNPTTFRGSYHRRAQSEVQFRIPDDLDLVSDPFEGMGSEDDLFCSYMDIEKLGGSSKGAEEGGGATGSSTVGSAHNPKGDEISGGSAGMGEKTNEGGKGRHRYSNSVDGCSLMESIEAKKAMAPDKLAELWTIDPKRAKRIIANRQSAARSKERKARYISELERKVQTLQTEATTLSAQLTLFQRDTTGLTTENTELKLRLQAMEQQAQLRDALNEALKKEVERLKMATGEMSTPTDNFNLGMHHIPFTQSSFFPPQPQQVETQNMQMPPFHPLQSNMLASNQSMVAASGPHAFADMMQQDPLGRLQGLDISSRDSHLVKSEGPSISAGESSGTL >KJB73012 pep chromosome:Graimondii2_0_v6:11:50259610:50263881:-1 gene:B456_011G209200 transcript:KJB73012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPPSLKPNPDPPVPSNASSTTPSFPNPTTFRGSYHRRAQSEVQFRIPDDLDLVSDPFEGMGSEDDLFCSYMDIEKLGGSSKGAEEGGGATGSSTVGSAHNPKGDEISGGSAGMGEKTNEGGKGRHRYSNSVDGCSLMESIEAKKAMAPDKLAELWTIDPKRAKRIIANRQSAARSKERKARYISELERKVQTLQTEATTLSAQLTLFQRDTTGLTTENTELKLRLQAMEQQAQLRDALNEALKKEVERLKMATGEMSTPTDNFNLGMHHIPFTQSSFFPPQPQQVETQNMQMPPFHPLQSNMLASNQSMVAASGPHAFADMMQQDPLGRLQGLDISSRDSHLVKSEGPSISAGESSGTL >KJB73931 pep chromosome:Graimondii2_0_v6:11:59337596:59339339:1 gene:B456_011G262400 transcript:KJB73931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVVFLVFLLPLSLFLFILLKHGNSNRLPPSPPSLPLIGHLHMQLFDNSAPQIFLWKLSQKYGSLVYLRFGLKPILVVSSAKMAKEVMKTHDLDFCSRPYRRCSHKLSYNASDVAFSPYNDYWREMRKICVVHLFSGVQQYRPIREDEVDRLIEKISKLPVDAKPVNLSEAIMCLSSTIICRIAFGKRYDEEGAERSRFHELLNESQAILSSFSFSDYFPYMGWLDRFTGLLSRLEKTFKELDTFYQQLIDEHLDPIRLKPQQEDILDVLLQTWKDHDFSFDLTIDQIKAILMNLFIAGTDTSAATIIWVMSFLMKNPKCLKKTQAEVRNLIGKKGFVNEDDTRDLTYLKAVIKETFRLQPIAPLLVPLETLRKCKIGGYDIPAKTLVYVNAWAIGKDPETWENPEEFYPERFICSPIDYKGQHFELIPFGAGRRVCPGMHMGVAVVELALANLLYKFDWEMPIAMTKEDIDFDALPGITTHKKNALILVARKIYD >KJB68976 pep chromosome:Graimondii2_0_v6:11:141735:151697:1 gene:B456_011G001400 transcript:KJB68976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQAHMSGQISGQVPNQGGLPQQNGNPLQPSQMQNLGVAGGVGVSGVVGTGGPHNTLNMDTDLMRIREFMRGKITEILKLRNQHPITEASMMKFRDFARRLEEGLFKIARTKEDYANLNTLEQRLQILIKGSRNVHNQRHPQLVNSASAAVGTMIPTPGMSHSGNPSMVTSSIDTSMIAVNASIAPTTVNAGNLLPTGGMSSGSFSRSEGNISNGYQQSPANFPIASGGMSSIGVPRMTSQMIPTPGFNSNNNNSSINNQSYVNNQPPNNVGGLSTVDSTMVSQPQQQKQHIGGQNSLILQTIGSQMGSGIRSGLQPKNFGFPNGSLSGALGMMGNNMQIVNELGASGGYQTATPYTNSSKPLQQHFDQHQRPLVQGDGYGMNNADSFGSGNVYGTVTSVGSMANSQNFNPVNLQSMSRTNSSLISNQSNLHSVQSAAHLKPQSLDQLEKMNFQIPISSIDNILQSNQQFQQQPHQFQQQKVSQHQQKQQNLQHQNLLSNSGYSPSQLISDIGSQVKHESGLEHHDEILHQQPSEQFQPELQKNHMEELSSQQDMHLSLPQNSQQMPQILHQHQLDSESHNDYKLSAGSQLESAVRSQWHPHSQVQVQMPGDMSHEQNVQEDFRQRISVQDEAQRNNLSADASTISPMVVPRSSLDQSNSRVSISRSGNGSHDRQFRNQVRWLLFLRHARRCKASEGKCDGNCFTVRKLLSHMDMCQSSRCSYPRCHHSKILIRHHKTCTNIACPVCVPVNNYVQSQKARACLNSTSGLPPLSSRSTRTYDSGDVSARTTSTTTAIDTSVDIQPSLKRMKIEHFSRSAIAESEGPAVSGSAVTEPHISKDIKHQDYEHGDRCMQVKPEPTEVKAEVPLSSAKGSPAVIEMKDDGDDICKPKADGKPITCDEFSGLPKQENVKIEKEPDFADQENTAQSSENASGTKSGKPKIKGVSLTELFTPEQVREHIKGLRQWVGQSKSKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCSTCGARIKRNAMYYTMGAGDTRHYFCIPCHNEARGDTIIVDGTAIPKGRLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYITEVERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFRRLKQERLERARVQGKSYDEVPGAEALVVRVVSSVDKKLEVKPRFLEIFQEENYPPEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECAFPNQRRVYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKAAKEKIVVDLTNLYDHFFVYAGECKAKVTAARLPYFDGDYWPGAAEDLINQLRQEEDGRKLNRKGTTKKTITKRALKASGQSDLSANASKDLLLMHKLGETISPMKEDFIMVHLQHCCSHCCILMVSGTRWVCNQCKNFQICDKCYEVEQKREERERHPINQREKHVFYPVEITDVPTDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCHLDIETGQGWRCEVCPDFDVCNACFQRDGGIDHPHKLTNHPSMAERDAQNKEARQLRVLQLRKMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKDHLKRLQQQSDSRRRAAVMEMMRQRAAEVAGSSG >KJB71596 pep chromosome:Graimondii2_0_v6:11:20035595:20037537:-1 gene:B456_011G132100 transcript:KJB71596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITIKESAIVRPAEDTPKRSLWNSNLDIVMTRYHLPTIYYYKPNGSSDFFDTCRLKEALSKILVPFYPIAGRLGYDENGRLEIICNGEGVLFVEAETTSVMEHLIGDFTENHQVLSLVPKVDYSEGISSYPLIVLQVTKFKCGGVCLGVGIQHTLGDGAAALHFINSWADTSRGLTPAIAPFIDRTLLRARDPPTPKLHHVEYDPSPALMSASKSQSDDHKPSIVSTLELTADQLNTLKAKAKVANANGGVKYSSFNILAAHIWRCVSKARGLPADQDTKLYFPVDGRYRLDPPLPPGYFGNVIFTTALIAQAGDLETESFTDTIKRIHERLNQINDEYLRSAIDYIEKVPDLNTLVRGPHTFRCPNLVVVPWNWLPIYEADFGWGCPIYMGPGNVVQEGKIYILPSPVNDGSLSLATRLETPHMKAFEKLLYEFE >KJB69000 pep chromosome:Graimondii2_0_v6:11:193299:193995:-1 gene:B456_011G002200 transcript:KJB69000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKSNKIREIVRLQQLLKKWKKLANNNAITTNSSCTTTSSSTGSGSRSMKFLKRTLSFTDVSSLTTSSPPKGFLAVSVGEERKRFVIPTEYLGHQAFRVLLRKAEEEFGFQQEGVLRIPCEVSVFEKILEMVELKKDAMFLHEFGFNVDADMIASCCSPDAELTPSSHQPQTCR >KJB73154 pep chromosome:Graimondii2_0_v6:11:52539682:52543491:-1 gene:B456_011G218200 transcript:KJB73154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADTLLKWKASLDNTTQTLLSSLWVGSSHCNWVGITCDKDGSITNLSLTGYGLRLKGTLHNLNFHSFPNLIELNLHNNSLYGPIPSHIGYLSKLIFLDFLQLISLVSNKISGPIPQEIGNLSTVSNIFFYLNHLSGPIPASIGRLHKLHRLDLNRNRLNGSIPKEVGLLRSLLLLDFSGNRLTGLVPTSIGNLSNLVYLYLYDNYLSEIGSMTSLTTLTLSQNMFSGLIPKEVGMLKSLSELDLSNNTFSGQIPTSIGNLSNLVHLFLYDNNLSGVIPKEVGMLKSLSPIPPIYSNLTNLQLSNNHLTGPLPENLCLGGVLTRLAMISNNLSGPIPSSLRSCKSLNRVRLDGNHLTGNISEAFGIYPHLNYASLSDYNFYGELSPNWGQCHNLTSLRVSNNNISGKIPFELGHATHGNQFSGKIPSEIGLLSNVERLSLASNNLRGPIPDDLGNCSKLINLNLSKNNLGESIPSSIGYINALQSLDLSQNSLFGNIPQQFGKLQSLEILNLSRNMLNGSSPKAFNYLHGLRFVNISYNQLEGPIPDLKAFHEASFNALRNNKGLCGNATGLMPCVLPSRDNHGHTKSTKLIILFVLPLFGGLLILLFILVASFLTFCKKTPTKKSEPMEEQDGDIFTILGFNGRILHDSIIEATEDFSSDYCIGSGGYGSVYKAALPTGQVVAVKKLHQSEDSILINNLKDFESEIIALLELRHHNIVQMYGFCSHPQHSFLVYEFMERESLRMVLSNNEQAKELDWKKRLNVVKGLANALTYMHHDHSQPIVHRDISSNNVLLDLDYEARVSDFGTARILKPDSSNWTSLASTYGYIAPDKKSDVYCFGVLTIEVLMGRHPGDLLSYLSSSASASTLPFMSNDQHVLLKDVIDQRLSPPEIDAAEGVVSTIKIAFACLNGNPQHRPAMQQVAQALYRQSLPLSSPFSTVKLGELLGHVICNG >KJB72008 pep chromosome:Graimondii2_0_v6:11:26309862:26311226:-1 gene:B456_011G153500 transcript:KJB72008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPPDTSKTLKLERYNNYLRKIHSTKLITASSKLLFRATLLIALILILFFTINYPPLSDTPHHVPPHHRLHHHSLLSTSLFSAGGGAAWEKQVRLSSTPRRTNGFSVLVTGAGGFVGSHCSLALKKRGDGVLGLDNFNNYYDPSLKRARQNLLSKHQVFIVEGDLNDGPLLTKLFDVVPFTHVLHLAAQAGVRYAMQNPQSYISSNIAGFVNLLEVAKAANPQPSIVWASSSSVYGLNTENPFSERDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILQGKSIDIYKTHDQKEVARDFTYIDDVVKGCLGALDTAEKSTGSGGKKKGAAQLRVYNLGNTSPVPVGRLVSILEGLLSTKAKKHVITMPRNGDVPFTHANVTLAFKDFGYKPTTDLSTGLRKFVKWYISYYGIQSKTGKESQATGESG >KJB74099 pep chromosome:Graimondii2_0_v6:11:60431663:60436514:1 gene:B456_011G272300 transcript:KJB74099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLIVVGLNQVYIVYMGDLPKGDVSVSNIHIGMLEEIVSGVASDVLLYSYRRSFNGFAAKLTEDEANKLKGKEGVVSVFPSQKKQLHTSRTWEFMGFNKKMKTSIIESDIIIGMLDTGVWPESESFNDTGFGPIPKKWKGTCQKSANFTCNNKIIGARYYLTDGDNGPEDFISPRDAEGHGTHTTSTAAGGLVSQASLFGIAKGTVRGGVPSARIAVYKICWSYGCSDEGILAAFDDAIADGVDIISLSVGSSIPFDYFEDSIAIGAFHAMKKGILTSNSAGNGGPTPGSVVNVSPWSLTVAASTIDRKFVTKVKLGNGQIYEGATINTFDLKGKMYPFIYGGDAPNLTLGVASEFSRYCFPGALNATLVKGKIVFCEYFTDYEGVLEAGALGAVFQDTGNKDFQFSYPLPFSTLNVNDGRMIINYLNTTENPTATIFKTSQDNNQFAPFVVSFSSRGPNPITTDILKPDLTAPGVDILAAWSEASTVTEDDTRRTRYNIISGTSMSCPHGTGAAAYVKSFHPTWSPAAIRSALMTTAIPMTSNNNIEGEHAYGAGHINPLQATDPGLVYDAGEIDYVKFLCGQGYTIANIQLISGNSSSCSEETDGTVWDLNYPSFALSSTPGKSITRVFHRTVTNVGSAVSNYRAVVNAPPGLIIQVQPSVLSFEYVGQQQSFVVTVGAELGNSMISGSLIWDDGVHQVKSPIVAYASIML >KJB70052 pep chromosome:Graimondii2_0_v6:11:4418147:4419222:-1 gene:B456_011G056200 transcript:KJB70052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGNKEGTSKQFRWTKPMEHVFLEILAEEARKGNKPSNTFKSVSINRVADAISSRFQVQCDTKHVENHLRTVKNQWQIICKIRGESGFGWDDNMKMITCDRATYDATVMAHKKYEPFLNKIIDHYDEMAVVVGKDMATGSFARTFADIDLDDGNEDSMPVDYDNEEAEELYEQVMSMEDEGFDDDFLCSVCDYLGSHESEAKMFLVKSKKHRKIWLQKFSQG >KJB68886 pep chromosome:Graimondii2_0_v6:11:40885908:40888572:1 gene:B456_011G176500 transcript:KJB68886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIPLFQTPCARSLLKILQNTLTDRAYIHHLPLAHRLILNPLHLKQISLRIKMEIVLYLTVHIFPGLIVACLCILYINFYSRGLSLCFEQERMPYFRLRTAKEILKLKAD >KJB68885 pep chromosome:Graimondii2_0_v6:11:40885912:40888572:1 gene:B456_011G176500 transcript:KJB68885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIPLFQTPCARSLLKILQNTLTDRAYIHHLPLAHRLILNPLHLKQISLRIKMEIVLYLTVHIFPGLIVACLCILYINFYSRGLSLCFEQERMPYFRLRTAKEILKLKAD >KJB73193 pep chromosome:Graimondii2_0_v6:11:52961567:52964196:1 gene:B456_011G2207002 transcript:KJB73193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLDNYLPTIIYQTSFTSIDLCSTLFSQPFSTLISCNNATMPFLQALSAIGEVFVSKLIDFFLDKLASSDLLQFATQKKVLEEIQNLEKELKQIRRVLDDAEERQMKEQPVKDWLIDLQNLAFDVEDVLDEFATEIGRRNLMMERRGSSSKRSRLNIPQSFNDVLFNRDIMFKIRDLTAKLKDLEPQRNKLELRMTDWERPTRLEERLQPTSLEIENHVYGRDKDKQIILDLLLKSDDERNFVIPILGMGGIGKTTLAQLLYNDASIQHHFHLKAWACVSDYFDVLRITKEILQAITSVSCNDNDLNIVQEKLQKELSGKKFLIVLDDVWNENYHDWTILQSPFKTRTQGSKVIVTTRNHGVSSTMGALHAHSLELLSDDDCLSVFAQHALGARDFEGHPSLKEVAEKIVRKCNGLPLAAKTLGGLLRTNVDLHAWEDVLESEIWKLSKDQSSVIPALQLSYHHLPLHLKRCFMYCAIIPKDYEFEKEEIILLWRAQGFLQEARDKQCIHDLGHKYFNDLVSRSLFQVAINNNSGFVMHDLINDLAQSVAGEVCFKIEGSQQISKHARHLSYIAERFDGIKKFEGIDEAQHLRTFLPLRFSSGFLCDNYLTNHVLTNLLPNLRCLRAISLEGYQITMLPDFVGDLKLLRYLNFSNNRVIKCLPESVSTLYNLETFLLKGCRNLEKLPSEMEKLVNLCYLDITGADKLESMASNFSMLTNLQKLSIFVLGKEKGHKIGELMNLSNLRGEL >KJB73390 pep chromosome:Graimondii2_0_v6:11:54519413:54520565:1 gene:B456_011G230800 transcript:KJB73390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNANVEDANEAFQAQAHIWSHAFNFVSFMSLKCALDLGILEIIQNHGKPMTITELVVALPMLNPTKACDIYRIMRILVHSGIFARQKLYNDAQEEGYVLTNSSRILLKDNPFCITPTLNGMMDPVITKPWSFLSTWFQNDDHTPFATTYGETFKEAFEGLNFLVDVGGHTGTTAKAIADTFPHMECTVFDLPNIVAGLQGSKNLKYVGGNMFEAFPTGDAILLKKVLHDWDDEGCLKILKQCKEAISSQDKVGRKLIIIDMVVRENEKVNDEASNLTKTQLFFDMLMLVLVAGKERQQEESLHQNRSLVAFF >KJB72674 pep chromosome:Graimondii2_0_v6:11:45381203:45384306:1 gene:B456_011G189600 transcript:KJB72674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDSTPPLPLGSAPLPPKKENITPVGSKIAELNESRTELLNRIQGLKQDLKNWRSKLDTQVKIYRDLQDVSEGAKEAEDPKVKLEGKDEETLTSPIKENGNEVNI >KJB72675 pep chromosome:Graimondii2_0_v6:11:45381211:45382170:1 gene:B456_011G189600 transcript:KJB72675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDSTPPLPLGSAPLPPKKENITPVGSKIAELNESRTELLNRIQGLKQDLKNWRSKLDTQVKIYRDVSSVCLAFLSKIFQPFCLFMLRLSVSWHATT >KJB72673 pep chromosome:Graimondii2_0_v6:11:45381176:45384331:1 gene:B456_011G189600 transcript:KJB72673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDSTPPLPLGSAPLPPKKENITPVGSKIAELNESRTELLNRIQGLKQDLKNWRSKLDTQVKIYRDELTELKKTLNVEVEQLRSEFQELRNTLHHQQEDVTASLRNLGLQDVSEGAKEAEDPKVKLEGKDEETLTSPIKENGNEVNI >KJB73203 pep chromosome:Graimondii2_0_v6:11:53045303:53051250:-1 gene:B456_011G221600 transcript:KJB73203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATNVVDNKEARLPREVKDALQSMASEWDDVVDSKALQVIPLKGAMTNEVFQIKWPTRGDNVSQKVLVRIYGEGVDVFFDREDEIRTFEFLSKHGQGPRLLRRFRNGRIEEFIRARTLSASDLRDPAISALIATRLREFHELDMPGPKKVWLWDRLRKWLKAAKRLSPLEEAKAFCLESLEEEISILEKKLSARHQRIGFCHNDLQYGNIMFDEGTKSVTIIDYEYASYNPVAFDIANHLCEMAADYHTETPHVMDYSKYPGLEERLRFLRVYLNSSGKKPRDSEVQQLLQDVEKYTLASHLTWGVWGIISVILAISTIPKSFDDNACTWCQ >KJB69348 pep chromosome:Graimondii2_0_v6:11:1276075:1277500:1 gene:B456_011G018300 transcript:KJB69348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQNLLRYVSLALPIFIFFFITPTTQYHAHQHHQQPIFNDLTIHTFINKTLQWKTRLVKFQGRQLKLSTPTILAAICCFIASSISSAGGIGGGGLFIPILTIVAGLDLKVASSFSAFMVTGGSIANIMYNLRTKTDKSGRMKKGLVDYDIALLSEPCMLLGVSVGAVCNHVFPEWLITILFAVFLVWSTFKTCSNGVGYWKTETAKHNESRNGCEKVGNGVTKNGESENLREPLMGVEGNEISGFPWKKLLT >KJB69135 pep chromosome:Graimondii2_0_v6:11:554920:565417:-1 gene:B456_011G007400 transcript:KJB69135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASSYICFIVSIFVLLSISSAASYDPVDFDAFLQCLPKHSDHSVSIAGAILTPNNASFQSTYQLRANNLRILLSATSRPVAIITALHPSHAQAAVICAKRHGFQLRIRSGGHDYEGLSYISDVPFVILDMFNLKSIDIDMKTETAWVQAGATTGELYYSIAQKSDVHGFPSGVCTTLGIGGHFSGGGYGFLMRKYGLSIDNVIDAQLIDTNGRILDRKSMGEDVFWAIRGGGTTSFGIILSWRIKLVRVPPRVTIFTVQRTLEQGATELAYRWQQVAPKLPKDLFIRLQLVPINNGGNNKTVTVSFIGHFLGQADGLLRLMNVRFPELGLTRNDCSEMSWVESALNWAGFPNGTSIDVLLNRVQVDRVFYKTKSDYYKAVIPKQGLETLWQVLMDIEDIFVQFNPYGGRMEEISESETAFAHRRGNLFKAQYGIQWSESDGGINATGRYVEMSRRLYNAMAPYASSNPREAFFNYRDLDIGSNESGETDFEVAKEYGAKYFMNNLMRLASVKAKIDPENFFKNEQSIPPLPTPPSH >KJB69823 pep chromosome:Graimondii2_0_v6:11:3327232:3328646:-1 gene:B456_011G044600 transcript:KJB69823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALSSAFRERLEHMEHSRNQRLMLLLAEKELQAHKSQVLESKLARIGSMERSCLFLDQKIASQNFRISALKSEIENLDTKYDSSSRKLKAVKSEIEELEEVEKERDKFYELKISEMNEFRENVKRFLTETRTRVQELRNSVNELKSTFMELPGNNGYMSSSEIAEAEMKRAELLAMKESLTKTLASNHQRRAELQKQVENMLVARSPERWKPIQSGNSKGV >KJB74383 pep chromosome:Graimondii2_0_v6:11:62279648:62281059:-1 gene:B456_011G291600 transcript:KJB74383 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g31560/F3L17_130 [Source:Projected from Arabidopsis thaliana (AT4G31560) UniProtKB/TrEMBL;Acc:Q9SV14] MGSHSIITSISSAPPSLPLRSVPEVVAFSGHLSHRKTRGLLLYPQTANAFSSPLLVHAPVVFAFSNDLFNRKRRGLEVVTRAGANTSSYVFAAVFPLSLLAITIFTSIKIADKLDEDFLEDISINQAVKEAEDEGDDGGDGDDDAISLEEIVQEPVLPRTRNRPKREV >KJB74226 pep chromosome:Graimondii2_0_v6:11:61223378:61224808:1 gene:B456_011G280500 transcript:KJB74226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHSRPPPPRPLDLDLTIVSAKHLKNVNWQNGDLKPYAVFWVDPDRRLSTRSDDSGSTRPVWNERFTLPLVVPPHETVLTLEIFHSKPSETPKPLVATLRVELKELPDLDDGSKIRTFSLLRPSGRPQGKIRLKLGIRERPLPPPHDYHFAPPSYYYTNAPPPPRYSAPPYVSLPPPPPPPSSSPPPPNPPYSSIPEGYPPYYSSHYYSSPPPPMPPRPFFERASSYGTPSAPVDYSPYDQKPRGGSKIGVGTGLSVGAVAGALGGMALEEGLKYEEEKISERVEHEVTSKERDDYGDYHRPEY >KJB73377 pep chromosome:Graimondii2_0_v6:11:54317937:54320993:1 gene:B456_011G229700 transcript:KJB73377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAASATQNPFFFVYPKPDENGKILKRWLLFPFNLHYKFINGAITLHTPLPSSSLFLMGTHSSSSSFSALQSYLQALAQTPRRLASRAPSVSSSYEELSRVKARSGSDMQRTLRWFDLVGLGIGGMVGAGVFVTTGRASRLYAGPAIVVSYAIAGLCALLSAFCYTEFAVHIPVAGGAFSYLRITFGEFAAFLTGANLITEYVMSNAAVSRGLTSYMGAAMGVSTTKWRFILPLLPNGFNEIDLVAPAIVLILTLIICYSTRESSVVNMILTTLHILFIAFVILMGLWKGDWNNFTHPGNPQHPSGFFPYGASGVFNGAAMVYLSYIGYDAVSTMAEEVRDPVKDIPIGVSGSVIIVTILYCLMAASMSMLLPYDMIDVEAPFSAAFSGRSEWVARVIGVGASFGILTSLLVAMLGQARYMCVIGRSNVVPAWFARVHPKTSTPVNASAFLGIFTAAIALFTDLNILLNLVSIGTLFVFYMVSNAVIYRRYVMVGTTKPWPTLSFLCLFSLTSTIFTLVWHFTPPGKPKPFLLGACVVIAISILQVFHCMVPQARKPAFWGVPFMPWLPSISIFLNVFLLGSLDGPSYVRFGFFSALAVLVYVLYSVHASFDAEIEGCLGQKNVEIVEESKQSGEEEDPSQKV >KJB73378 pep chromosome:Graimondii2_0_v6:11:54317937:54321541:1 gene:B456_011G229700 transcript:KJB73378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAASATQNPFFFVYPKPDENGKILKRWLLFPFNLHYKFINGAITLHTPLPSSSLFLMGTHSSSSSFSALQSYLQALAQTPRRLASRAPSVSSSYEELSRVKARSGSDMQRTLRWFDLVGLGIGGMVGAGVFVTTGRASRLYAGPAIVVSYAIAGLCALLSAFCYTEFAVHIPVAGGAFSYLRITFGEFAAFLTGANLITEYVMSNAAVSRGLTSYMGAAMGVSTTKWRFILPLLPNGFNEIDLVAPAIVLILTLIICYSTRESSVVNMILTTLHILFIAFVILMGLWKGDWNNFTHPGNPQHPSGFFPYGASGVFNGAAMVYLSYIGYDAVSTMAEEVRDPVKDIPIGVSGSVIIVTILYCLMAASMSMLLPYDMIDVEAPFSAAFSGRSEWVARVIGVGASFGILTSLLVAMLGQARYMCVIGRSNVVPAWFARVHPKTSTPVNASAFLVTWQILLR >KJB69476 pep chromosome:Graimondii2_0_v6:11:1870689:1871412:-1 gene:B456_011G025300 transcript:KJB69476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRCASSAVNLCHKILQPHRVASVWPPPPPPTPPLQPPLQLSLQPPLQPPLCDIFINHRGVDTKRTIVGLLYGHLFRLGLRPFLDTMNMKPGDKLFGKIDPAIRSCKLGVAIFSPNYCNSYFCLHELALLMECKKRVVPIFYDVKPSQLRVVDCGISSAEELERFSWALEEAKYTVGLTFDSLQGDWSGFLNCATDAVIKNLVELEDAN >KJB70116 pep chromosome:Graimondii2_0_v6:11:4713490:4713977:-1 gene:B456_011G058600 transcript:KJB70116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGGLWSFNNCCLFIHKLAYGENPKDVNFHHADFWFQVHDLPSRFTSEPLARNLGNVMGSFLDYDASSRMNVFSIFMRICVRLDIHQPSIRKKKLRKQGSFFSRIIGHSDSSSQKLLDSPQGELVKGWPEEIRAEVRHWNRKRSL >KJB72665 pep chromosome:Graimondii2_0_v6:11:45148451:45154305:-1 gene:B456_011G188900 transcript:KJB72665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSERQTIDLEQGWEFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFYYLDRYFIARRSLPPLNEVGLTCFRELVYQELNAKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEATMLKATAAYYSRKASNWILDDSCPDYMLKAEECLKREKDRVSHYMHSSSEPKLLEKVQHELLAVCATRLLDKEHSGCHALLRDDKVEDLSRMFRLFSKIPRGLEPVSSIFKQHVTAEGTALVKHAEDAASSKKADKKDMVGMQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEEMLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTNFEEYLSNNPNVNPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFRDFYQTKTKHRKLTWIYSLGTCNLIGKFEPKTMELIVTTYQASALLLFNSLDRLSYSEIMTQLNLTDDDVVRLLHSLSCAKYKILNKEPSTKTISSTDHFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKENPNVFRYLA >KJB72666 pep chromosome:Graimondii2_0_v6:11:45148451:45154331:-1 gene:B456_011G188900 transcript:KJB72666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSERQTIDLEQGWEFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFYYLDRYFIARRSLPPLNEVGLTCFRELVYQELNAKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEATMLKATAAYYSRKASNWILDDSCPDYMLKAEECLKREKDRVSHYMHSSSEPKLLEKVQHELLAVCATRLLDKEHSGCHALLRDDKVEDLSRMFRLFSKIPRGLEPVSSIFKQHVTAEGTALVKHAEDAASSKKADKKDMVGMQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEEMLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTNFEEYLSNNPNVNPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFRDFYQTKTKHRKLTWIYSLGTCNLIGKFEPKTMELIVTTYQASALLLFNSLDRLSYSEIMTQLNLTDDDVVRLLHSLSCAKYKILNKEPSTKTISSTDHFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKENPNVFRYLA >KJB69118 pep chromosome:Graimondii2_0_v6:11:502601:505072:-1 gene:B456_011G006200 transcript:KJB69118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSKSSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKNPSTNEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRSKSAAANAVPGPGIGRAAGRGIPTGPLVQAQPGLAGPVRGVGGPAPGMMQPQISRPPVPQLSAPPMTYPAASGAPPVIRPPGQMPPGGYPGQPQAPQMQRGPPPQVPPPAFGVRPPQQFPVPPPQYGQRPMVPPPGSMMRGPPGPPPPRPGMPAPPPPRPGMPPPPGAVPVFGPPRPGMPPPPNPQNQQQNQQQ >KJB69117 pep chromosome:Graimondii2_0_v6:11:502276:505113:-1 gene:B456_011G006200 transcript:KJB69117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSKSSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKNPSTNEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRSKSAAANAVPGPGIGRAAGRGIPTGPLVQAQPGLAGPVRGVGGPAPGMMQPQISRPPVPQLSAPPMTYPAASGAPPVIRPPGQMPPGGYPGQPQAPQMQRGPPPQVPPPAFGVRPPQQFPVPPPQYGQRPMVPPPGSMMRGPPGPPPPRPGMPAPPPPRPGMPPPPGAVPVFGPPRPGMPPPPNPQNQQQNQQQ >KJB73271 pep chromosome:Graimondii2_0_v6:11:53572381:53574142:-1 gene:B456_011G224900 transcript:KJB73271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVLFMIFLIALPFFLFILLKRSIRTNGNLNLVLPLPPGPPGLPFIGHLHMLMSDNSVPHIFLYKLSQKYGPLVFLRFGFKPTLVVSSAKMAEAVMKTHDLDFCSRPSLCGARRLSYNASDLSFSPYSDYWREMRKLCVVHLFSRVQKYRPIREDEVARLVQKICRLSIDSKPVNLSEAMMCLSSSIICRVGFGKRYDDEGAERSRFDGLLKESEAMLSCFSFSDYFPFMGWVDRFTGFFSRLEKTSKELDNFYQQLINEHLDPNRQIPEQEDILDVLLRIQKDRDFPFDLIIDHIKAILMDVFIAGTDTTAATVIWAMCFLMKNPKCLKKTQAEVRDLIGTKGFVNEEDIQGLTYLKAVIKETFRLQTTVPLLVPRETLRKCSVGGYQVPAKTLVYVNAWAIGRDPEAWENPEEFCPERFIGSSIDYKGLNFELIPFGAGRRVCPGMHMGVAAVELALANLLYKFDWEMSTGMNKKDIDFDIVPGLTTHKKNALILVARKIND >KJB74184 pep chromosome:Graimondii2_0_v6:11:61028955:61029210:-1 gene:B456_011G2779002 transcript:KJB74184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CGFGLLMYEFEVHFYSSICS >KJB74183 pep chromosome:Graimondii2_0_v6:11:61028851:61029210:-1 gene:B456_011G2779002 transcript:KJB74183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CGFGLLMYEFEVHFYSSICS >KJB74185 pep chromosome:Graimondii2_0_v6:11:61029147:61029209:-1 gene:B456_011G2779002 transcript:KJB74185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CGFGLLMYEFEVHFYSSICS >KJB74182 pep chromosome:Graimondii2_0_v6:11:61029147:61029209:-1 gene:B456_011G2779002 transcript:KJB74182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CGFGLLMYEFEVHFYSSICS >KJB71961 pep chromosome:Graimondii2_0_v6:11:29694384:29696732:-1 gene:B456_011G160800 transcript:KJB71961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVMKQQDRAEEAIEAIKSFRDRCSKQAQESLDNVLIDLYKKCGRIEEQIQLLKQKLRMIYQGEAFNGKPTKTARSHGKKFQVTVKQETSRILGNLGWAYMQQENYLAAEVVYRKAQIIDPDANKACNLCQCLIKQARYIEARSVLEEVIQGKLPGSGDPKSRNRVKELLQELESEQLISIASTAIGLNAEDTFLAEGLDQLMSQWTSYRSRRLPIFEEISSFRDQLAC >KJB71960 pep chromosome:Graimondii2_0_v6:11:29694332:29697378:-1 gene:B456_011G160800 transcript:KJB71960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERNQIEAQLHPSHYHVLHKLPPGDSPYVRAKHVQLVDKDPEGAIVLFWKAINAGDRVDSALKDMAVVMKQQDRAEEAIEAIKSFRDRCSKQAQESLDNVLIDLYKKCGRIEEQIQLLKQKLRMIYQGEAFNGKPTKTARSHGKKFQVTVKQETSRILGNLGWAYMQQENYLAAEVVYRKAQIIDPDANKACNLCQCLIKQARYIEARSVLEEVIQGKLPGSGDPKSRNRVKELLQELESEQLISIASTAIGLNAEDTFLAEGLDQLMSQWTSYRSRRLPIFEEISSFRDQLAC >KJB71962 pep chromosome:Graimondii2_0_v6:11:29694384:29697131:-1 gene:B456_011G160800 transcript:KJB71962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERNQIEAQLHPSHYHVLHKLPPGDSPYVRAKHVQLVDKDPEGAIVLFWKAINAGDRVDSALKDMAVVMKQQDRAEEAIEAIKSFRDRCSKQAQESLDNVLIDLYKQKCGRIEEQIQLLKQKLRMIYQGEAFNGKPTKTARSHGKKFQVTVKQETSRILGNLGWAYMQQENYLAAEVVYRKAQIIDPDANKACNLCQCLIKQARYIEARSVLEEVIQGKLPGSGDPKSRNRVKELLQELESEQLISIASTAIGLNAEDTFLAEGLDQLMSQWTSYRSRRLPIFEEISSFRDQLAC >KJB72138 pep chromosome:Graimondii2_0_v6:11:29765953:29769391:-1 gene:B456_011G161000 transcript:KJB72138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIDVSKYVHSAVHKAVAMRDYSGLRRILATLPRVSNPTEIQTEAASLAEEEKADAIAAVIDRRDVPKRDTPLHLAVKLGDETATEMLMVAGADWSLQNEQGWSALQEAICNKEEAISMIIVRHYQPLAWAKWCRRLPLLVGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDGKVPPGSLCMITHKDKEVINALDGAGSQATEEEVRQEVLAMSQTNIFRPGIDVTQAVLLPQLTWRRQEKAEMVGAWKAKVYDMHNVVVSVKSRRVPGAMTDDEFLAASNGNEAESEELDEILTEDERRQLELALKLDSSEISNENGDGIIGHQHSCYEPREISIKESNGYKNGETKQEKKGWFGGWRKREPRQETQKKIVSPRSSVCVDEKVSDLLGDFPSDSHIKPGRHSVEIAARHDHRRIRDSKTSISMNSEIGNWHRDGGRENEYKKGLRPILWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPAGTFPVKVAIPVVPTIRVLVTFTKFEELQPVDEFSTPPSSPTTSRESPAVTHSSGSSWFQWIKAPYHRPSSSNYSCNKIENLQDPFAIPPDYTWITAEAKKKKMREKSKLQKVKSQNR >KJB69902 pep chromosome:Graimondii2_0_v6:11:3825639:3827000:-1 gene:B456_011G048900 transcript:KJB69902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKFTCYVVLLLFLLCFFQAFSWSQFNQGENYEGSSDLINLEYHMGPVLASAINLYIIWYGQWNPTHQATIRDFLDSLSSSSSVPYPSVADWWDTVRLYTDQTGSNITATISISGEFYDSGYSHGVYLSRLSMQSIIKAAVTSHSRPLPLNPHNGLYLVLTSQDVQVEDFCRAVCGFHYFTFPILVGVTVPYAWVGYSGTQCPGVDGMISVIAHELAEVSSNPLINAWYAGEDPTAPTEIADLCLGLYGSGGGGGYMGKVYKDAWGNGYNVHGVKGRRFLVQWVWNPVKRRCFGPNALD >KJB71760 pep chromosome:Graimondii2_0_v6:11:22195025:22195617:-1 gene:B456_011G140600 transcript:KJB71760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMKGLQALILAMVMVMAIATTDAQSICNMPASGLMACKPAVTPPNPPPPTSTCCSALSHADMRCLCSYKNSKLLPSLGIDPNLAMKLPSLCKLPHPANC >KJB72928 pep chromosome:Graimondii2_0_v6:11:49579270:49580743:1 gene:B456_011G204600 transcript:KJB72928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTIGDTVPNLEVETTHGTFKLHDYFNNGWTIIFSHPSDFTPVCTTELGKMAAYLPEFEKRGVKLLGFSCDDVQSHKEWIKDVEAYTPGCKVAYPIVADPKREIIKQLNMVDPNEKDSSGNELPSRALHIVGSDNKIKLSFLYPATTGRNMDEVMRAVDSLQKTSQYKVATPANWKQGEPVVISPSVPNEEAKKMFPQGFETKNLPSGKDYLRFTNV >KJB70476 pep chromosome:Graimondii2_0_v6:11:7156546:7161392:1 gene:B456_011G075000 transcript:KJB70476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGEAKFPAFPYKPYSIQIDFMNALYHSLDKGGVSMLESPTGTGKTLSIICSALQWVLDQRQKDQSEKRVESDEKKGKNGEIGSDDEPDWIKNFVVNKDNQVDEKKSKKNKHGFASEKYKKRMNKDSHRDLFSRNIDEESFPENKECKRSVKKNDVVDLDDNEFLLEEYESEEEGGIGSLNSKRKGNKFTVNSSSEEEEDEFEEEEEVTLKVYFCSRTHSQLSQFVKELRKTIFANEMNVVSLGSRKNFCINEEVLRLGNSTQINERCLELQKSKKKEISKMKNLGAKGKVRRTKASSGCPMLRKHKLQKQFRDEISHQGVLDIEDLVQLGRNIGTCPYYGSRSMITSADLVVLPYESLLSKATREALGLNLKDSIVIIDEAHNLADSLISMYDAKITLSQLENVHSHLEKYFGRFRGLLGPGNRRYIQTLLVLTRAFLRVLLNDKDGNRLNTCPDAEKGVRENKTFDSSMAINDFLFSLNIDNINLIKLLQYIKESNIMHKVSGYGDKMTTMQKGSAVKENGESCDNGSILSGFQALANMLLSLTNNDGDGRIIISRKRPSSSGQGAYLKYVMLTGEKIFSEIVHEAHAVLLAGGTLQPIEETRERLFPWLPSDHLHFFSCSHIVPPESILPLAVSHGPSGRSFDFSYGSRSSSTMMEELGLLLCNLATVIPEGIVVFFSSFEYEGQVYDAWKTSGILERILKKKHIFREPRKNTEIEVILKKYKEAIDNPAPKSGAILLAVVGGKISEGINFSDGMGRCIVMVGLPYPSPSDIELLERVKHIESLGDTSSTEIPKLSISEEEYYGGDVQSGFSILRSCRRRGKEYYENLCMKAVNQSIGRAIRHVNDYAAILLVDTRYASDSSKRSFSHPSSKLPQWIKESLVSATNNYGEVLKRLHQFFRFNKNRNCN >KJB69276 pep chromosome:Graimondii2_0_v6:11:984393:985864:-1 gene:B456_011G013900 transcript:KJB69276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLYNINEPLRSEDIDVPLTNVRPVKKVALVIVAGDSWSLWGFGQYIPRSRPRTCSTAVYFGTCEFASLTTPLDVEKTRLMTQVHGGNKVAAVMYSGVNALLKLILKEEGWIGLTSRVVHSACFSALS >KJB73703 pep chromosome:Graimondii2_0_v6:11:57103654:57104689:-1 gene:B456_011G244800 transcript:KJB73703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLLSTLKIFYVLHLNLRPLPEEIPIDSKATIKAKSTNTTNHAEQYTKKPIVMVAKMQIRMEIEAHMATAIKSSKWWLDSRDNSRHVKNVKTILDPNEELQ >KJB74097 pep chromosome:Graimondii2_0_v6:11:60417984:60421396:1 gene:B456_011G272100 transcript:KJB74097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTAKSSSKNSTMAAQTSPLARLSLIIFTLSMFMWCSYGSSDKRQVYIVYMGNLPKGKVSTTSLHTSMLHEVLPSSTVGSEVLLYSYHRSFNGFAAKLTKDEAAKLREKDGVVSVFRSQKKQLHTTRSWDFMGFNKKVKRSIIESDIIVGMLDTGIWPESQSFNDTGLGPIPIKWKGTCQSSANFTCNRKIIGAKYYRANGDISPYDYKSPRDSEGHGTHTSSTAAGGLVSKASLYGIAKGTARGGVPSARIAVYKICWSDGCYDEDILTAFDDAIADGVDIISLSVGSFFSSEYFDDTIAIGAFHSMKNGVLTSNSAGNSGPILSSITNFSPWSLSVAASTIDRKFITKVKLGNGKIYEGTSINTFDLKGKMYPFIAGAAAPNTSQGYTSEDSRFCGPGTLNETLVKGKIVFCDYDSDGTGPAQAGAIGAVFQSGGYKDYVFPYSLPLSNLNLDDGRNVLNYVNTTENPTATIFKTNVEDDQFAPFVVSFSSRGPNPATPDILKPDVTAPGVDILAAWSEVVPLTETKDDTRIVPYNIISGTSMSCPHATGAAAYVKSFHPTWSPAAIKSALMTTAFPMSSKNNLEAEFAYGAGHINPAQAAQPGLVYDAGEIDYVKFLCGQGYTPKQLKLITESNFTCSEETNGAVWDLNYPSFTLSSTPGNSITRVFHRTVTNVGSPVSTYKAVVNAPPGLIIQVQPSVLSFKSIGQKLTFIVTVGAEIGNSMISGSLIWDDGVNQVRSPIVAYASL >KJB73829 pep chromosome:Graimondii2_0_v6:11:58460532:58466300:-1 gene:B456_011G255300 transcript:KJB73829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFRASSYIGKLAIKRTLSHSGSYAIRSQIFHLRSRCFRSTVFNPKQKMSPVPRSVPLSRLADNFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFIGQASGPAGVSGQTIQESMRLMLLVRGYQVNGHLKSKLDPLGLEEREVPDELDPAFYGFTESDLDREFFIGVWSMSGFLSENRPVQTLRSILTRLEQSYCGSIGYEFMHIEEREKCNWLREKIETPTPMHYNKERRVLILDRLMWSTQFESFLATKMKTAKRFGLEGGETLIPGMKEMFDRAADLGVECICVGMSHRGRLNVLGNVFRKPLRQIFSEFDKNSKFEDESGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQYFSDDLDRTKNMAVLIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHVVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDIEAVVHVCELAAQWRQTFHSDVVVDIVCYRRFGHNEIDEPFFTQPNMYKVIQKHPSALEIYKTKLLESGEVTEEDIDRMHKKVDSILNEEFMSSKDYVQQRRDWLSTNWRGFKSPEQLSRIRNTGVCPDVLKMVGRAITILPEDFKPHRAVKKVYEDRAKMIETGEGLDWAMGEALAFGTLLVEGKHVRLSGQDVERGTFSHRHAIVHDQETGKIYCPLDHIMENQNDEMFTVSNSSLSEFGVLGFELGYSMENPDAIVLWEAQFGDFSNGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDENPYEIPEMDATHRKQIQKCNWQVVNTTTPANYFHVIRRQMHREFRKPLIVMAPKNLLRYKNCKSNLSEFDDVQGHEGFDKQGTRFKRLIKDQSNHSDLEKGVRRLVLCSGKIYYELDEERQRLKADDIAICRVEQLCPFPFDLIQRELKRYPNAEIVWCQEEPMNMGAYTHVAPRLWTAMRNLGRGSTEDIKYVGRLPSAATATGLLQIHQNEQADIINHAMQSEPIKYPY >KJB70881 pep chromosome:Graimondii2_0_v6:11:10151698:10152291:-1 gene:B456_011G093500 transcript:KJB70881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQVLVVALVFMAVVVAFAGDSAPSPSPKSSSPSKGGASPPSKSPSPSPPSSKNSSASSPSPSSGGGSSKNNSSSSSSSSPPSPPSPTKSSDAPKSSSGGSSSSSKSPPAPAPSSSSKSHGSSAPTSSDEEESPSESPNASKSPPIPEAEVPNKDSSLSDAPASDFAPEPGTSGAAIVKASAVVGGVAAVAGCFLF >KJB69893 pep chromosome:Graimondii2_0_v6:11:3788713:3790299:-1 gene:B456_011G048400 transcript:KJB69893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMELSPMRNMAKQKPEKPRRTKRVWRLKLNVTWQRMKKTLKSGLRNRLRVHLASNLNKLPTLKINHHDLAIIRPIQHVMKKKKPIRPTMPLEKLIQLPYTAADFVDRGDAMTPTKSPVENISTRWRELHGLHNWEGLIEPLHPWLRREVVKYGEFVEATYDAFDFDPLSEFCGSCRYNPNKLFEELGLTKHGYKVTKYIYAMSHVDVPEWFERSHCTWSKDSNWMGYVAVSGDAETTRIGRRDILVAWRGTVAPTEWYSDLKTSLQCLGKTNIKVQSGFLGVYCSKGEFTRYNKLSASEQVMEEIRRLITFFRDRGEEVSLTLCGHSLGGALALLNAYDAATYFPDLFISVISYAAPRVGNIHFKEKLNELGVKTLRIVVKQDIVPKLPGFILNTILNKFTAITGRLKWIYRHVGTQLKLNMLHSPYLRRDPDYTGCHNLEIYLHLLDGYVSKTSKFRWNARRDVALVNKSTNMLITELKIPECWYERPFKGLVLNQYGRWVKPGRQAEHIPSPLSIESCQEPPPF >KJB71782 pep chromosome:Graimondii2_0_v6:11:22454709:22458588:1 gene:B456_011G142100 transcript:KJB71782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTAGPHEFSDTDRSSEDETAVNMAKYEGIRQELLQEQNIMDNEFISSEQANEAFGLGNLEPYTGMTFQSLDDARDFYFEYARRTGFSIRTNRIRHSLKNMAIIGRDFVCSREGFRAAKHAHRKDRVLPPRPVTREGCKAMIRLAARDGGKWVVTKFVHEHSHKLMDRKFPGELPTVNMLSEEEKDKKIQDLYNELHRERARSATFQQQLHMILKDLEEHAEFMSIRVEDIVDSLKRVELNDSSFN >KJB71781 pep chromosome:Graimondii2_0_v6:11:22454875:22458588:1 gene:B456_011G142100 transcript:KJB71781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTAGPHEFSDTDRSSEDETAVNMAKYEGIRQELLQEQNIMDNEFISSEQANEAFGLGNLEPYTGMTFQSLDDARDFYFEYARRTGFSIRTNRIRHSLKNMAIIGRDFVCSREGFRAAKHAHRKDRVLPPRPVTREGCKAMIRLAARDGGKWVVTKFVHEHSHKLMDRKFPGELPTVNMLSEEEKDKKIQDLYNELHRERARSATFQQQLHMILKDLEEHAEFMSIRVEDIVDSLKRVELNDSSFN >KJB71783 pep chromosome:Graimondii2_0_v6:11:22455580:22458588:1 gene:B456_011G142100 transcript:KJB71783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTAGPHEFSDTDRSSEDETAVNMAKYEGIRQELLQEQNIMDNEFISSEQANEAFGLGNLEPYTGMTFQSLDDARDFYFEYARRTGFSIRTNRIRHSLKNMAIIGRDFVCSREGFRAAKHAHRKDRVLPPRPVTREGCKAMIRLAARDGGKWVVTKFVHEHSHKLMDRKFPGELPTVNMLSEEEKDKKIQDLYNELHRERARSATFQQQLHMILKDLEEHAEFMSIRVEDIVDSLKRVELNDSSFN >KJB72169 pep chromosome:Graimondii2_0_v6:11:30764991:30769518:-1 gene:B456_011G163200 transcript:KJB72169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGAAVEATVIQVSSSNSELVQRVEASFEAMNNVDCSEERKKSLRARYFYGIIFLITNLTAWFIRDYGHTTFPPLYYEKACGIHGSDCFQTLGVLRIFFLLMFLTTFSTRKLYDACSKWHSGWWALKFFLLVVSMVVPFFLPPGFIQIYGEVARIGAGIFLLLQLVSVIEFIRWWNKYWASDEQSKQSCSIALFTSTVFYVASICGIVSMYYFYAPRPSCCLNIFFITWTAILLIVMMAMSLHSKVNRGLLSSGIMAAYVVFLCWSAIRSEPADEKCNVQKPKNGHGDWTTILGFLIAIGAIVMATFSTGIDSKSFQFRKDEVKAEDDIRYNYGFFHIIFSLGATYFAMLFISWNLENSASEWSMDVGWTSTWVKIINEWFAASIYMWKLIAPVVKQQPKVMNNEESVQQINNSNSP >KJB72171 pep chromosome:Graimondii2_0_v6:11:30765325:30769163:-1 gene:B456_011G163200 transcript:KJB72171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGAAVEATVIQVSSSNSELVQRVEASFEAMNNVDCSEERKKSLRARYFYGIIFLITNLTAWFIRDYGHTTFPPLYYEKACGIHGSDCFQTLGVLRVSLGCFIFFLLMFLTTFSTRKLYDACSKWHSGWWALKFFLLVVSMVVPFFLPPGFIQIYGEVARIGAGIFLLLQLVSVIEFIRWWNKYWASDEQSKQSCSIALFTSTVFYVASICGIVSMYYFYAPRPSCCLNIFFITWTAILLIVMMAMSLHSKVNRGLLSSGIMAAYVVFLCWSAIRSEPADEKCNVQKPKNGHGDWTTILGFLIAIGAIVMATFSTGIDSKSFQFRKDEVKAEDDIRYNYGFFHIIFSLGATYFAMLFISWNLENSASEWSMDVGWTSTWVKIINEWFAASIYMWKLIAPVVKQQPKVMNNEESVQQINNSNSP >KJB72170 pep chromosome:Graimondii2_0_v6:11:30764991:30769614:-1 gene:B456_011G163200 transcript:KJB72170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGAAVEATVIQVSSSNSELVQRVEASFEAMNNVDCSEERKKSLRARYFYGIIFLITNLTAWFIRDYGHTTFPPLYYEKACGIHGSDCFQTLGVLRVSLGCFIFFLLMFLTTFSTRKLYDACSKWHSGWWALKFFLLVVSMVVPFFLPPGFIQIYGEVARIGAGIFLLLQLVSVIEFIRWWNKYWASDEQSKQSCSIALFTSTVFYVASICGIVSMYYFYAPRPSCCLNIFFITWTAILLIVMMAMSLHSKVNRGLLSSGIMAAYVVFLCWSAIRSEPADEKCNVQKPKNGHGDWTTILGFLIAIGAIVMATFSTGIDSKSFQFRKDEVKAEDDIRYNYGFFHIIFSLGATYFAMLFISWNLENSASDVEVDCPSCEATT >KJB71278 pep chromosome:Graimondii2_0_v6:11:14712829:14715840:1 gene:B456_011G114400 transcript:KJB71278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTNFFLWAYVLIFLPTSIVAQGLGVNWGVIASHPLDPKIVVNMLKDNGIKKVKLFHAEADILNALAGTNIEVMVGIPNHSLESLSEKYSVAQAWVKANITAYLGKKGVNFKYVAVGNEAFLTAYNGTFNNLLLPAMKNILKALNEAGVGKDIKVSTPLNGDVYTTSTYKPSDGTFRKDLADIMNGICEFLHKNDASFIVNIYPFLNLYQNPGFPESYAFFDNDDSHSMDDHGVKYRSVLDANIDTLIAALKVANFSDIPIIVGEVGWPTDGNIYATTKNAKRFYNGLLKRMAKNEGTPLRPKQYPEVYIFSLLDEDLKSIDPGMFERHWGIFSFDGQPKFPLDLSGKGQNKSLVGGKNVPYMEKQWCVYNKDASNKKDLAVKVAWACNNTDCTTLVPGASCSGMGVDVNASVAFNMYYQMANQTKVACDFQGLAKIVKEDPSNGTCKFPIMIKSFRATSNSSSSASRSSSSSSSSDSPSSSPSVSHSLSLIFYFFVGICIAWFA >KJB73948 pep chromosome:Graimondii2_0_v6:11:59322087:59323336:1 gene:B456_011G262200 transcript:KJB73948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNCYWALVKVLVGKQPISRIAKELKKFYCLYRKNKLTMIVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGFE >KJB70491 pep chromosome:Graimondii2_0_v6:11:7291075:7291432:1 gene:B456_011G075700 transcript:KJB70491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQEDSWPRAVTFIALGRGAALWSPKRESLHQNLWQWGIAFAQSKAVIICSPYRTSLGFVCGRDVGSG >KJB70598 pep chromosome:Graimondii2_0_v6:11:8300955:8303433:-1 gene:B456_011G082200 transcript:KJB70598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRTMELIFTVFITILYVLTPGASGATNLFNVMDYGAVGDGKTDDSAAFSKAWKATCNSPSRNPILVIPASKIFLLKPVTFSGPCKSTSIHVLMSGNIVAPDSKSAWKGYHLDMWLAFTNVNGLTIIGSGTINGRGAIWWSECSSYRVPQGGTCKGPTALTFYRCNGLVLKGTKHINSQRNHIAIFNCKDVTFSNLHISAPKTSPNTDGVDIASSSNVRILNSFIGTGDDCIAISSGSSHINITGIACGPGHGISVGSLGAHGGNDTVEEVHVRNCTFNETMTGVRIKTWQGGVGYARKILFEKIKFIRADNPIIIDQYYCPGGHCKNETSGIKISDVSYRYITGTSTTDKVINLSCDQNVGCTNIQLYYVHIISTVPGKKAYSFSFNADGNCTHTKPVVKGLQP >KJB70599 pep chromosome:Graimondii2_0_v6:11:8300956:8303433:-1 gene:B456_011G082200 transcript:KJB70599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRTMELIFTVFITILYVLTPGASGATNLFNVMDYGAVGDGKTDDSAAFSKAWKATCNSPSRNPILVIPASKIFLLKPVTFSGPCKSTSIHVLMSGNIVAPDSKSAWKGYHLDMWLAFTNVNGLTIIGSGTINGRGAIWWSECSSYRVPQGGTCKGPTALTFYRCNGLVLKGTKHINSQRNHIAIFNCKDVTFSNLHISAPKTSPNTDGVDIASSSNVRILNSFIGTGDDCIAISSGSSHINITGIACGPGHGISVGSLGAHGGNDTVEEVHVRNCTFNETMTGVRIKTWQGGVGYARKILFEKIKFIRADNPIIIDQYYCPGGHCKNEVGQLKSKSLTSICIFMVADNWFLNLLMVDIGH >KJB73598 pep chromosome:Graimondii2_0_v6:11:56719960:56721066:1 gene:B456_011G241000 transcript:KJB73598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPNKRYDGLRVVDPYHCHRCCPRCYQYSACRLCFLFFFFFFFFFLLFSQFFSFPQHKKNKPTLYEFSSRDEMRWCIWLDIVRKALDHSRHQNCSL >KJB70479 pep chromosome:Graimondii2_0_v6:11:7192362:7194835:-1 gene:B456_011G075400 transcript:KJB70479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIISGYPLDTLRIRQQSTDSGSALRILRRVVATEGPGALYRGMAAPLASVTFQNAMVFQIYAILSRAFDSSVSATNPPSYKGVALGGVGTGALQSVMLTPVELIKIRLQLQNTSFPGSQSVGAHTGPISVAKSILKTEGLRGIYRGFTITALRDAPSHGFYFWTYEYMREQLHPGCRKSGQETLRTMLIAGGLAGVASWVCCYPLDVVKTRLQAQSPASPQKYNGIIDCLHKSVKQEGYSVLWRGLGTAVARAFVVNGAIFSAYEIALRCLFNNGNIQTGHNLE >KJB70478 pep chromosome:Graimondii2_0_v6:11:7192335:7195016:-1 gene:B456_011G075400 transcript:KJB70478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWPEFLASSWGKEFVAGGFGGMAGIISGYPLDTLRIRQQSTDSGSALRILRRVVATEGPGALYRGMAAPLASVTFQNAMVFQIYAILSRAFDSSVSATNPPSYKGVALGGVGTGALQSVMLTPVELIKIRLQLQNTSFPGSQSVGAHTGPISVAKSILKTEGLRGIYRGFTITALRDAPSHGFYFWTYEYMREQLHPGCRKSGQETLRTMLIAGGLAGVASWVCCYPLDVVKTRLQAQSPASPQKYNGIIDCLHKSVKQEGYSVLWRGLGTAVARAFVVNGAIFSAYEIALRCLFNNGNIQTGHNLE >KJB71870 pep chromosome:Graimondii2_0_v6:11:23470416:23475794:1 gene:B456_011G145500 transcript:KJB71870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSESLSSLTYKGSIPEAIREAQNQKKLFVVYISGEDDESKNLDHSTWTDLKVKESLSKYCILLHIRGGTTDAANFSAIYPQKSIPCITAIGFNGVQAWQNEGFVSAEVLASSLEKAWLSLHIQETTAAVLGAALASKKLESFSSGASTVSQSEQGSSSASVPSTTMDGVVRSFEPTPAVTSGVLEGKNGSENTIKEKISELVDQGTSESFSTDNLTNVVDEQCNVSNEATRTVGTSVTLNPAVPVSEDTSSYPGDDCFISVKGIDHQSSFPGGSAPEKAMQHEKDKSIDGRQDGASENTATTNVPTDVYLNIRLPDGSSLQEKFPVGNTLRMIKDYVDRNQSSGMGSYDLAIPYPRKLFGDQDLSTSLLDLGLLNRQALIVVPRQRSTGFQGQRSFADQRNSTPSEATAGSNGGYFAYVRSFMSYFNPFSYLGGGTGTSSSTTGPESQSGIWEYGPNPTLQNNLAGANRTYSPNSTNRHSSTDSRNRRPTTSRYGSNIHTLKHDEDDSRFSDRNPFWNGNSTQYGGNSDNK >KJB71872 pep chromosome:Graimondii2_0_v6:11:23470014:23475874:1 gene:B456_011G145500 transcript:KJB71872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSESLSSLTYKGSIPEAIREAQNQKKLFVVYISGEDDESKNLDHSTWTDLKVKESLSKYCILLHIRGGTTDAANFSAIYPQKSIPCITAIGFNGVQAWQNEGFVSAEVLASSLEKAWLSLHIQETTAAVLGAALASKKLESFSSGASTVSQSEQGSSSASVPSTTMDGVVRSFEPTPAVTSGVLEGKNGSENTIKEKISELVDQGTSESFSTDNLTNVVDEQCNVSNEATRTVGTSVTLNPAVPVSEDTSSYPGDDCFISVKGIDHQSSFPGGSAPEKAMQHEKDKSIDGRQDGASENTATTNVPTDVYLNIRLPDGSSLQEKFPVGNTLRMIKDYVDRNQSSGMGSYDLAIPYPRKLFGDQDLSTSLLDLGLLNRQALIVVPRQRSTGFQGQRSFADQRNSTPSEATAGSNGGYFAYVRSFMSYFNPFSYLGGGTGTSSSTTGPESQSGIWEYGPNPTLQNNLAGANRTYSPNSTNRHSSTDSRNRRPTTSRYGSNIHTLKHDEDDSRFSDRNPFWNGNSTQYGGNSDNK >KJB71871 pep chromosome:Graimondii2_0_v6:11:23470014:23475874:1 gene:B456_011G145500 transcript:KJB71871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPSFIDSLYVFGFHNSPLFSVFKISSKNSIFFVPKMERSESLSSLTYKGSIPEAIREAQNQKKLFVVYISGEDDESKNLDHSTWTDLKVKESLSKYCILLHIRGGTTDAANFSAIYPQKSIPCITAIGFNGVQAWQNEGFVSAEVLASSLEKAWLSLHIQETTAAVLGAALASKKLESFSSGASTVSQSEQGSSSASVPSTTMDGVVRSFEPTPAVTSGVLEGKNGSENTIKEKISELVDQGTSESFSTDNLTNVVDEQCNVSNEATRTVGTSVTLNPAVPVSEDTSSYPGDDCFISVKGIDHQSSFPGGSAPEKAMQHEKDKSIDGRQDGASENTATTNVPTDVYLNIRLPDGSSLQEKFPVGNTLRMIKDYVDRNQSSGMGSYDLAIPYPRKLFGDQDLSTSLLDLGLLNRQALIVVPRQRSTGFQGQRSFADQRNSTPSEATAGSNGGYFAYVRSFMSYFNPFSYLGGGTGTSSSTTGPESQSGIWEYGPNPTLQNNLAGANRTYSPNSTNRHSSTDSRNRRPTTSRYGSNIHTLKHDEDDSRFSDRNPFWNGNSTQYGGNSDNK >KJB72481 pep chromosome:Graimondii2_0_v6:11:42428376:42428561:1 gene:B456_011G1806001 transcript:KJB72481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVLNWLIAQENVVPIPGAKNVAQAKEFVGALGWRLSNEEVDELRSLALEISPVTGFPVEKL >KJB72940 pep chromosome:Graimondii2_0_v6:11:49668818:49673998:-1 gene:B456_011G205300 transcript:KJB72940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMKSPFKGITKDIKGRSVCYKQDWVNGLCSGFRIFAPTFYIFFASALPVIAFGEQLSRDTDGALSTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFCKRRPELGQELFLAWAAWVCVWTAMLLIFLAIFNACTIINRFTRVAGELFGMLITVLFLQEAVKGVISEFNVPKGENPKLEKYQFPWLYTNGLLAVIFSFGVLFTSLKTRKARLWRYGTGWIRGFLADYGVPLMILCWTALSYMIPGQVSSGVPRRLFCPLLWESESLHHWTVIKDMGKVPIMYIFAAFIPAVMIAGLYFFDHSVASQLAQQKEFNLKNPSAYHYDILLLGIMTLICGLLGLPPSNGVLPQSPMHTKSLAVLKRQLIRKKMVQSAKEGISQLASNSEIYGRMQAVFIEMDESPAPTSVDKELKNLKEAVMKRDGRENGKENFDPEKHIDAHLPVRVNEQRMSNLLQSFLVGLSLCALPVVKMIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFITPSRRYKVLEGVHASFVESVPFKSILMFTLFQLVYFLVCFGVTWIPIAGILFPLPFFLLISIRQHILPKFFAPEHLRELDAAEYEEILGTPRRNLSLSFKGRESFVSHNEGSEDNFSDAEILDEMTTHRGELKLRTVSFKEERLHQVHP >KJB70033 pep chromosome:Graimondii2_0_v6:11:4300901:4301248:1 gene:B456_011G054600 transcript:KJB70033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLVVSCIHVYNKWFASTGNRHELVEYCVVCLSMVSNGEELRWLPMCHHCFHAHCIDAWLEVSPTCPICRVNVAPYRSFIISSMVSLAKRVGEWIENPLSSELTVAFCESFGFL >KJB70492 pep chromosome:Graimondii2_0_v6:11:7293674:7296643:1 gene:B456_011G075800 transcript:KJB70492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMFTHTLSTLFRDFEAVYPYRTKNYILKFDINYFHLKLIGAARTQAPTATNYDVGSPSWGDLKAAPLPSAMEVTALGHESS >KJB72455 pep chromosome:Graimondii2_0_v6:11:42219618:42221936:1 gene:B456_011G179600 transcript:KJB72455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLLVLLFLSLATVNINEGCIESERQALLMFKHDVTDGANRLSSWSLHHGHGDCCQWDGVVCDNVTAHVLELHLANPRPLLDDYGSDAENEATERSKLRGKVNPSLLNLTHLSYLNLSQNGFGGIPIPEFIGCIESLRHLDLPKAGFGGLVPNQLGNLSSLEYLNLRADIEDNLYATDLQWLSGLSLIEHLDLSDVDLAQASNWLQVLNTLPFLKNLYLSGCQLPQVPPPTHLNLSSLAILDLSLNDIENSLGGSIFHGLSLYSLDSLQFLNLGSNKFQGKLSSAIGNMTSAIDLDLSDNELEGPIPITMGNLCNLKSIVFSELNLNQDVSTILAVLSGCVSNQLDKLDLSGCHLSGQLTNQLRNFKSLKELHLSGNSVSGPIPISIGELSSLRVLELDQNQLKGQLPSSIGKLTNLEILDISTNLLEGVVSETHIGNLPKLKVFQASKNSFVLRVSPDWIPPFELELLGLRSWNVGSMFPLWLHSQKHLRHLDISGSRISDSLPGWLLNLSSPFQYLNLSHNQIHGQIPGIPWAMSVDLVIDLSFNLLSGPLAPISPNVYFLDMSNNNLSGSLSPLLCYKLKETMGTEILNLGGNVLSGGIPDCWLNWQNLLVIKLSNNRLNGSIPSSMGTLQSIVSLHLQKNHLSGEIPLSLNNCTDLILLDAGENELHGSIPRWIGDSLRKLVVLSLRSNKFSGYIPDELCAIGSLQVLDLADNNLIEVYQDV >KJB70618 pep chromosome:Graimondii2_0_v6:11:8413433:8414477:1 gene:B456_011G083300 transcript:KJB70618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGICSQGLVLATAMVVSSTVIFLTFSRQKTLSPPPKQTLRSCLPSDGKKRDKKRKKKVQFAENVKETSGDGQENRKEQHKKLIAAASTRRRKMDGFCRSEMPANRIALYNGILRDRVHVHKMGCSY >KJB69425 pep chromosome:Graimondii2_0_v6:11:1656588:1657789:-1 gene:B456_011G023200 transcript:KJB69425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSTFNPLHQVTNRLLIDRTSFLWVRTWTFWFIFLYNPFHYIALSLHCLPLQAFFPDNQGLSKAMLEGKAIVGETDMLHTMQQAALHLAAKALDIFEATESTDIAQFIKKDFDRVYGPGWQCVVGTDFSSFVTHCHGCFIHFCVGSLAILLFRGAVNQAKEANLFQTFEALDA >KJB70987 pep chromosome:Graimondii2_0_v6:11:10957053:10958242:-1 gene:B456_011G098600 transcript:KJB70987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQHQHQQPHPNDDNSSGGSGKGSFLCRQSSTRWTPTTDQIRILKDLYYNNGVRSPSADQIQRISARLRQYGKIEGKNVFYWFQNHKARERQKKRFTSTPDILPMQTPILANATRKPDDSLHNHKYPTITPGYAPSSPPSTGVLTVGQIGHNGYGSMTMEKSFRDCSISACGSSSGGASHNFGWVGMDPAYTTSSYAFFDKKKLFGEEQEGDEEEEEEEGAAVAPHIETLPLFPMHGEDINAFCSNMKPQSADSCYSSWYRSDDGYTASRASLELSLNSYNARSQDSI >KJB69267 pep chromosome:Graimondii2_0_v6:11:939267:939708:-1 gene:B456_011G013400 transcript:KJB69267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFSTSFVTCFFSGSNKVASQGEDTQPSSSTVSKAGSDAKLKEGKAKNNPPIPITYFPIGSKLSLL >KJB70475 pep chromosome:Graimondii2_0_v6:11:7154407:7156056:-1 gene:B456_011G074900 transcript:KJB70475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAFPAARKRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKTGFREGTEAAPRKKVAAASA >KJB70894 pep chromosome:Graimondii2_0_v6:11:10280521:10284297:-1 gene:B456_011G094600 transcript:KJB70894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVISSPKSQNPGSGIANSSSASTSGTLSSSRPPSPKQSGNEGPSSTSHGTTLSQGIRIIRHPNGSIGYQKHPCKTAYDNLQHEELPNMGKLYYDPSKGKSESVGKDEVLISTQMKVLTVESKSSSFKHPIGDHMSLNSSGSLESENVLPGSCKGGVVQLNNHTGSEHEPNFCPTPSHSVCTGTTYTEAKPSFTNTEISNSCDVVESRKTSMYRGSTGSNVSDESGSSCLSSAIYKPHKANDIRWEAIQAVRSRKGDLDFKHFRVLRRLGCGDIGSVYLSELTGTQTYFAMKVMDKALLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKLSCLVMEFCPGGDLHALRQRQPGKHFSEQGARFYVAEVLLALEYLHMLGIIYRDLKPENILVREDGHIMLSDFDLSLRCAVSPTLVKSSNSTLESKHSLYCAQPACIEPTCVVQPDCIQPACFGPRVFSSKPKKEKKNKVKIETGHQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGNGNRATLFNVVGQPLRFPEYPNVSFAARDLIRGLLVKEPQHRLAYRRGATEVKQHPFFQSVNWALIRCANPPEVPKQAMMETPMNNKGPGLDVKPSGNYLEIDFF >KJB71470 pep chromosome:Graimondii2_0_v6:11:17652938:17658571:1 gene:B456_011G124500 transcript:KJB71470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERELVDLFEAAKKAADLAAASDAVSEVSRCVDALKQLRAFPVSYDILVSTQVGKRLRPLTKHPREKIQTVASDLLELWKKVVIEETTKSKKNGTSSAIKSEKLPKPSAVKSEKLSNTENVKAEKVSKDNSVKSVKVEKREANGDVAMAKKTDRGEMGKVEKICKDDRQSPNVKKSSQPPAGPPKLTSMVKCNDPVRDKFREILVEALSKVPNEVDGGMLDQVNACDPIRVAVSVESVMFEKMGKSNGAQKFKYRSIMFNIKDPNNPDLRRKVLLGEVKPEGLITMTPEEMASEQRQREINEIKEKALFDCERGVAPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNHWKFC >KJB71471 pep chromosome:Graimondii2_0_v6:11:17655587:17658581:1 gene:B456_011G124500 transcript:KJB71471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERELVDLFEAAKKAADLAAASDAVSEVSRCVDALKQLRAFPVSYDILVSTQVGKRLRPLTKHPREKIQTVASDLLELWKKVVIEETTKSKKNGTSSAIKSEKLPKPSAVKSEKLSNTENVKAEKVSKDNSVKSVKVEKREANGDVAMAKKTDRGEMGKVEKICKDDRQSPNVKKSSQPPAGPPKLTSMVKCNDPVRDKFREILVEALSKVPNEVDGGMLDQVNACDPIRVAVSVESVMFEKMGKSNGAQKFKYRSIMFNIKDPNNPDLRRKVLLGEVKPEGLITMTPEEMASEQRQREINEIKEKALFDCERGVAPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNHWKFC >KJB71472 pep chromosome:Graimondii2_0_v6:11:17656934:17658581:1 gene:B456_011G124500 transcript:KJB71472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKQVGKRLRPLTKHPREKIQTVASDLLELWKKVVIEETTKSKKNGTSSAIKSEKLPKPSAVKSEKLSNTENVKAEKVSKDNSVKSVKVEKREANGDVAMAKKTDRGEMGKVEKICKDDRQSPNVKKSSQPPAGPPKLTSMVKCNDPVRDKFREILVEALSKVPNEVDGGMLDQVNACDPIRVAVSVESVMFEKMGKSNGAQKFKYRSIMFNIKDPNNPDLRRKVLLGEVKPEGLITMTPEEMASEQRQREINEIKEKALFDCERGVAPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNHWKFC >KJB73957 pep chromosome:Graimondii2_0_v6:11:59436928:59441164:1 gene:B456_011G263500 transcript:KJB73957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSGLSFFHMAGAVKIPPNFFKQTEGLKVLDLFGTQFPSLPESIIHLTDLRMLCLKECAVDDITILGELKNLEILDLSKSGIKELPMEMAQLTQLRLLDLSWCTKLEIIPPNVLSSLSKLEELYMGGSFVEWEKEGVVETERKNASLDELNNLPCLTTLYVHILDAQMIPKHQFVETLDKYNICVGDYNRFVWVQNHECSRTLKLKLCINIYLENGLKMLLIKTEDLRLEGLEGIKNVLVELNNGKDLPHLKRLHVKNGMHVQYIKMDKIGFSELCFIKLTNLRFCSQDKRCSMSSKPLPLFNKQTCHWITNLRSLIIKGCGKLEHLLSPSLARSLVQLQCFKIEDCKCLRDIILIEEIEEERKDVICFPRLNSLRIGGLPNLIFFSSGNHNIEFPLLEELWIERCPNFIEFISQSSNQSGMHALFSEKVAFPRLEKMTINKLRNVKMMFHNNFAPGSFQNLREIRVEGCGSLKNLFPVSIAKDLPQLEDLCITNCGVEEIVSNGEGVEKQPVRFEFPQVSSLEVTSLKEFKCFYEGQRTIVWPLLKKLKTDCSALLKIVASEHLRLIQGNEQPVLLVEEINITVSSSSLQNLTTLNVSYCQMMTNLVTPLVVKNLVQLTRMSVARCTKMTEIVGNGGDCHQTISLTSFCSRNYTFNFPCLEELFVEHCPRLKTFTEGVLLSLVPMQRWPCTTCKGGHARRNSSKQCFSPAAPPVFNGDGFHIWLVKMKTYLQAFDLWEVVNTDAEPAPLRANPTVAQIRQHADERTKRHKAMSCIQNCVSDVIFTRIMA >KJB74017 pep chromosome:Graimondii2_0_v6:11:59943069:59945643:-1 gene:B456_011G267500 transcript:KJB74017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNGVAGITKSGLLRLTNITQDAIGRAFYSSPFQFKNSSNGKAFSFSTAFAFAMAPAYPTLGGGHGLAFVLSPSKQIAVEFDTWRNLEFGDKDDNHVGVDLSSMHSNASATAGYFLENSTMQKLNLNSGKVIQAWIDYDSAINRLEVKLSTLSKKPKKSILSFGVDLSPFLHDSMFVGFSASTGKLASSHYILGWSFNMSGEAESLSLPSLPSLPRPKQNYTALILCVIFPAVLLMISLAFISFYLVRNMKNLDMIEAKDLEIGPQRFSYQELKKATKGFKDKELLGFGGFGRVYKGTLPTTNTQVAVKRISHESKQGIREFLSEIYSIGRLRHRNLVQFLGWCQCRGDLLLVYDFMPCGSLDKYLFDEPKQVLSWEHRFKIIKGVASGLLYLHEEWEQTVIHRDIKAGNILLDSELNGRLGDFGLAKLYDHGSNPGSTTVVGTFGYLAPELPRTGKPSTSTDVFAFGALLLEVACGRRPIDPKALPEELILVEWVYEKWQSGAVLEVVDSKLNGDFDECEAILVIKLGLMCSNEVPEARPTMKQVVRYLEGEVALPKLVPSPGGYGRNEGNRSDSIAFEDYVHSYRNSSSACSSAFENQDIDVEAGLTTHINLSRGNGR >KJB74300 pep chromosome:Graimondii2_0_v6:11:61806954:61811313:1 gene:B456_011G285800 transcript:KJB74300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEASSSNSQEESLVVDCGRRRSSCGYCKSAGRTSISHGLWAHSITVNDYQDLLDRGWRRSGCFLYKPEMERTCCPSYTIRLKASDFVPSKEQRRVYRRMQRFLDGTLEVGKPMELADDPNASKHTGSSVNDLVSSLSGNESLSCGTKRENKAEEFMNHLSNQIDKVVRAYIESGEFPSGIQLPKAAVKMVSHAKRKLSVQGSEDLLYSSNIAFQIAATLRRTRSAERDVQQLRTSKHSAEEVDLCPKSIAEKLAASLNQLANIPELSIRACNGHINFYSTAKCGSSGKDDQVVSLPEESESRSQSSSTKKSSEHCQGQKRKLEIRMKRSTFDPEEYALFKRYQIKVHNDTPDRVTESSYRRFLVDTPLFFVSPSTDGMVPPCGFGSFHQQYIIDGKLVAVGVVDVLPRCLSSKYLFWDPDYAFLSLGKYSALQEIDWVKENQAHCSSLQYYYMGYYIHSCRKMIYKAAYYPSELLCPLRYQWVACHIARPLLDKRKYAVLSDFASLQDGESSQSCIPESAMELQHDENGLEDSNDVLMGEDEQMIEIESESSDEELEPETTVLGSAAIDDGDVTNVLVGLRGSRLRYKDLQQAFGPSERNYLETQLHSYQRVVGVELSERMVYSLG >KJB74299 pep chromosome:Graimondii2_0_v6:11:61807019:61811854:1 gene:B456_011G285800 transcript:KJB74299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEASSSNSQEESLVVDCGRRRSSCGYCKSAGRTSISHGLWAHSITVNDYQDLLDRGWRRSGCFLYKPEMERTCCPSYTIRLKASDFVPSKEQRRVYRRMQRFLDGTLEVGKPMELADDPNASKHTGSSVNDLVSSLSGNESLSCGTKRENKAEEFMNHLSNQIDKVVRAYIESGEFPSGIQLPKAAVKMVSHAKRKLSVQGSEDLLYSSNIAFQIAATLRRTRSAERDVQQLRTSKHSAEEVDLCPKSIAEKLAASLNQLANIPELSIRACNGHINFYSTAKCGSSGKDDQVVSLPEESESRSQSSSTKKSSEHCQGQKRKLEIRMKRSTFDPEEYALFKRYQIKVHNDTPDRVTESSYRRFLVDTPLFFVSPSTDGMVPPCGFGSFHQQYIIDGKLVAVGVVDVLPRCLSSKYLFWDPDYAFLSLGKYSALQEIDWVKENQAHCSSLQYYYMGYYIHSCRKMIYKAAYYPSELLCPLRYQWVACHIARPLLDKRKYAVLSDFASLQDGESSQSCIPESAMELQHDENGLEDSNDVLMGEDEQMIEIESESSDEELEPETTVLGSAAIDDGDVTNVLVGLRGSRLRYKDLQQAFGPSERNYLETQLHSYQRVVGVELSERMVYSLG >KJB74301 pep chromosome:Graimondii2_0_v6:11:61806979:61811311:1 gene:B456_011G285800 transcript:KJB74301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEASSSNSQEESLVVDCGRRRSSCGYCKSAGRTSISHGLWAHSITVNDYQDLLDRGWRRSGCFLYKPEMERTCCPSYTIRLKASDFVPSKEQRRVYRRMQRFLDGTLEVGKPMELADDPNASKHTGSSVNDLVSSLSGNESLSCGTKRENKAEEFMNHLSNQIDKVVRAYIESGEFPSGIQLPKAAVKMVSHAKRKLSVQGSEDLLYSSNIAFQIAATLRRTRSAERDVQQLRTSKHSAEEVDLCPKSIAEKLAASLNQLANIPELSIRACNGHINFYSTAKCGSSGKDDQVVSLPEESESRSQSSSTKKSSEHCQGQKRKLEIRMKRSTFDPEEYALFKRYQIKVHNDTPDRVTESSYRRFLVDTPLFFVSPSTDGMVPPCGFGSFHQQYIIDGKLVAVGVVDVLPRCLSSKYLFWDPDYAFLSLGKYSALQEIDWVKENQAHCSSLQYYYMGYYIHSCRKMIYKAAYYPSELLCPLRYQWVACHIARPLLDKRKYAVLSDFASLQDGESSQSCIPESAMELQHDENGLEDSNDVLMGEDEQMIEIESESSDEELEPETTVLGSAAIDDGDVTNVLVGLRGSRLRYKDLQQAFGPSERNYLETQLHSYQRVVGVELSERMVYSLG >KJB69127 pep chromosome:Graimondii2_0_v6:11:532789:536572:1 gene:B456_011G006700 transcript:KJB69127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIRPIPKAVSQQYPIITQSPPPYLRNSVKRTITKARLTAMEAAGSDSDGREFKNAQEMWREQIGDEGDDPKKIQWYREGVAYWEGVEASVDGVLGGFGQVNDADIKGSEAFLNSLLHERFGDGGRNQHLVALDCGSGIGRITKNLLIRYFNEVDLLEPVSHFLDSARENLSQEHFVASDVHKATNFYCVPLQEFTPEAGRYNVIWIQWCIGHLTDDDFISFFKRAKVGLKPGGFFILKENIARNGFVLDNEDRSITRSDLYFKDLFRQCGLHLYKMKDQKGLPEELFAVKMYALTTEVPKKVHKTRSKVQANRPGIIK >KJB69128 pep chromosome:Graimondii2_0_v6:11:532869:536515:1 gene:B456_011G006700 transcript:KJB69128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIRPIPKAVSQQYPIITQSPPPYLRNSVKRTITKARLTAMEAAGSDSDGREFKNAQEMWREQIGDEGDDPKKIQWYREGVAYWEGVEASVDGVLGGFGQVNDADIKGSEAFLNSLLHERFGDGGRNQHLVALDCGSGIGRITKNLLIRYFNEVDLLEPVSHFLDSARENLSQEHFVASDVHKATNFYCVPLQEFTPEAGRYNVIWIQWCIGHLTDDDFISFFKRAKDLFWITKTEASQDPIYTSRTYFVNVDCTCIK >KJB69126 pep chromosome:Graimondii2_0_v6:11:532869:536515:1 gene:B456_011G006700 transcript:KJB69126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIRPIPKAVSQQYPIITQSPPPYLRNSVKRTITKARLTAMEAAGSDSDGREFKNAQEMWREQIGDEGDDPKKIQWYREGVAYWEGVEASVDGVLGGFGQVNDADIKGSEAFLNSLLHERFGDGGRNQHLVALDCGSGIGRITKNLLIRYFNEVDLLEPVSHFLDSARENLSQEHFVASDVHKATNFYCVPLQEFTPEAGRYNVIWIQWCIGHLTDDDFISFFKRAKVGLKPGGFFILKENIARNGFVLDNEDRSITRSDLYFKDLFRQCGLHLYKMKDQKGLPEELFAVKMYALTTEVPKKVHKTRSKVQANRPGIIK >KJB69871 pep chromosome:Graimondii2_0_v6:11:3681674:3687260:1 gene:B456_011G047700 transcript:KJB69871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRTSSNSRTRVGKYELGRTLGEGTFAKVKFARNVETQENVAIKILDKEKVLKHKMISQIKREISTMKLIKHPNVIRMFEVMASKTKIYIVLEFVTGGELFDNIARRGRLKEDDARTYFHQLINAVDYCHSRGVYHRDLKPENLLLDANGVLKVSDFGLSALPQQVRADGLLYTACGTPNYVAPEVIKSKGYDGAKADVWSCGVILFVLMAGYLPFDDTNLVALYNLIFKANFNCPPWFSSSAKKLIKRILDPNPLTRITIAEILENEWFKKGYKPPKFEEADVTLVDVDSIFNESGGSPNLVVERREERPAAPAMMNAFQLISTSQGLDLSCLFEKQMGLVKRETRFTSKSPANEIVSKIEEAALPLGFDVKKTNNKIKLLGEKPGRKGHLAVTTEILQVAPSVCMVELRKSGGDTLEFHKGLFHPDDRGFPQTVHLVSSLGQTCVTSYSNGIFFSFSDGCRLHRV >KJB69870 pep chromosome:Graimondii2_0_v6:11:3682063:3686994:1 gene:B456_011G047700 transcript:KJB69870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRTSSNSRTRVGKYELGRTLGEGTFAKVKFARNVETQENVAIKILDKEKVLKHKMISQIKREISTMKLIKHPNVIRMFEVMASKTKIYIVLEFVTGGELFDNIARRGRLKEDDARTYFHQLINAVDYCHSRGVYHRDLKPENLLLDANGVLKVSDFGLSALPQQVRADGLLYTACGTPNYVAPEVIKSKGYDGAKADVWSCGVILFVLMAGYLPFDDTNLVALYNLIFKANFNCPPWFSSSAKKLIKRILDPNPLTRITIAEILENEWFKKGYKPPKFEEADVTLVDVDSIFNESGGSPNLVVERREERPAAPAMMNAFQLISTSQGLDLSCLFEKQMGLVKRETRFTSKSPANEIVSKIEEAALPLGFDVKKTNNKIKLLGEKPGRKGHLAVTTEILQVAPSVCMVELRKSGGDTLEFHKFYNNLSAGLKDIVWKTTEEGKPEENKGSLSSR >KJB73317 pep chromosome:Graimondii2_0_v6:11:54039111:54039629:1 gene:B456_011G227300 transcript:KJB73317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWRTKCSFQLIDFENDYYLARFNDEEDYNKVLTNRPWVIFGQYLTVRHWSPDFSTTQVEVGIQVVWVCLSGVSKTYYSSFLLKVIGQAIGSIVRIDENNDIRINGRIQRVEYESLLNVCFRCGLYGHRIDLCPKKRHFSPIVDGIAFC >KJB71894 pep chromosome:Graimondii2_0_v6:11:23641904:23645703:1 gene:B456_011G146300 transcript:KJB71894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPSLLAQCLPGLVLYDRGSQSMSPVSDRDVHLPSLAVEILPSKTAHPCKYAGDNVDLQGLDVFKGRVSVADIIGFTTTEMLSSKPDGFLKSWNSSFDLVNVLKHEIRDGQLSFRGKRVLELGCGYGVPGIFACLKGACTVHFQDLSAETIRCTTIPNVLANLEQARERQSRQPEGPLTPSRQTLAPTVHFYAGDWEELPTVLSVVRNDVSEVTTGMRLSFSEEDFMDGCSSQDGSSITQEISSRRSRKLSGSRAWERASETDQGESGYDIILMTEIPYSVSSLKKLYALIKKCVRPPYGVVYLSTKKNYVGFNNAARHLRSLVDEEGIFGAHLIKEVTDVDIWKFFLK >KJB71895 pep chromosome:Graimondii2_0_v6:11:23642150:23645694:1 gene:B456_011G146300 transcript:KJB71895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPSLLAQCLPGLVLYDRGSQSMSPVSDRDVHLPSLAVEILPSKTAHPCKYAGDNVDLQGLDVFKGRVSVADIIGFTTTEMLSSKPDGFLKSWNSSFDLVNVLKHEIRDGQLSFRGKRVLELGCGYGVPGIFACLKGACTVHFQDLSAETIRCTTIPNVLANLEQARERQSRQPEGPLTPSRQTLAPTVHFYAGDWEELPTVLSVVRNDVSEVTTGMRLSFSEEDFMDGCSSQDGSSITQEISSRRSRKLSGSRAWERASETDQGESGYDIILMTEIPYSVSSLKKLYALIKKCVRPPYGVVYLSTKKNYVGFNNAARHLRSLVDEEGIFGAHLIKEVTDVDIWKFFLK >KJB71498 pep chromosome:Graimondii2_0_v6:11:17846719:17848877:1 gene:B456_011G125800 transcript:KJB71498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWIWLEAALPLGIIAGMLCVMGNAQYFIHKAYHGRPKHIGNDMWDVAMERRDKKLFENLSSSD >KJB69513 pep chromosome:Graimondii2_0_v6:11:2023680:2026802:1 gene:B456_011G027600 transcript:KJB69513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKARNKKRGSESLLGKSDPKTRLIDDFDPDPDLSNDLKGIMSALQLIKEKAQKDGKKKNEETISSVAAEIRSKLDDLKSKFEKERQTFAKALTKSSKECENCLKSETAKFQEVYEKFCKEKTIHLQSLKDTISRFEEDKERLFVRYEQLRKKEKSLISEQEKFYADKIAQLEESLKKKKQDDRTFSILRKTLGSFLDNGSDEDFPPED >KJB69514 pep chromosome:Graimondii2_0_v6:11:2023957:2026315:1 gene:B456_011G027600 transcript:KJB69514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFGWSVQGIFVAAEIRSKLDDLKSKFEKERQTFAKALTKSSKECENCLKSETAKFQEVYEKFCKEKTIHLQSLKDTISRFEEDKERLFVRYEQLRKKEKSLISEQEKFYADKIAQLEESLKKKKQDDRTFSILRKTLGSFLDNGSDEDFPPED >KJB73274 pep chromosome:Graimondii2_0_v6:11:53629347:53631392:-1 gene:B456_011G225200 transcript:KJB73274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIALFMIFLLALPFFLFIILLKHSINNNGNFNHLPPGPPGLPFIGHLHMLMLDNSLLPHIFLWKLSQNYGPLMSLRFGFKPTLVVSSAKMAEAVLKPHDLDFCSRPRLRGATRLSYNALDLAFSPYTDYLKEMRKLCVVHLFSRVQKYRPIREDEVGRLVEKIFQLSVDSQPVNLSEAMMCLSRSIICRIGFGKRYDDGAERSRVNEVHKESEAMLSSFSFSDYFPFMGWVDRFTGFLTRLEKAFKELDTFYQQLIDEHLDPNRLVPQEEDLLDVLLRIRMDRNFPFDLTIDHIKAILMNVFIAGTNTTAATVIWVMSALMKNPKCLKKAQAEVRDLVGKKGFINEDDVQALTYLKAVVKETFRLHPTAPMLLPRETLRNCNIGGYQIPAKTLVYVNAWAIGRDPKVWKNPEEFCPERFLGNSIDYKGLNFEFLPFGAGRRVCPGMRIGVAEVELALANLLYKFDWEMPTGMNKEDLDFDAVPGLAVLKKNDLILMARKIYD >KJB69866 pep chromosome:Graimondii2_0_v6:11:3624082:3627328:1 gene:B456_011G046800 transcript:KJB69866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTDRGRSRDRESGFMSVILIFLFIGVIFIPVGLVTLRASHSAIAHSLALVVEIVKRYDIGCVPKPFRINKVSYIQDDSIPKNCSLSFKVPKYMKAPIDIYYQLDNYYQNHRRYIKSRSDQQLLRGRKYHDTITCHPVEFSNDQHILPWGDREELKVNRKNIAWKSDWEHKFGKNVYPFNFQNGTVIGGGKLKPRIPLSDQEDLIVWMHTSALPSFQKLYGRIETDLDVDDVVVVHLMNNYNTFSFGGKKKLVLSTTSWLGGKNDFLGLAYVFIGSSSVTVAVVITLLHLLSPR >KJB71244 pep chromosome:Graimondii2_0_v6:11:13915394:13920464:1 gene:B456_011G112400 transcript:KJB71244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTVSYVALTCAGETLASMFLSALVNTHLVALNSFTLLVANKPGIKGDHSQNQPKLKDADGEDNDDDNDDGDGGDGEYGDGEEELSSEGGEYGNNGNSEKNNPKKGLGDGATGAEQNGEDEDNEDGDGEDPEDEDEDEDEDDDDDDDGEDEDEEDVEEEENEDEEEEDEDEEALQPPKKRKK >KJB71243 pep chromosome:Graimondii2_0_v6:11:13915394:13920464:1 gene:B456_011G112400 transcript:KJB71243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTVSYVALTCAGETLASMFLSALVNTHLVALNSFTLLVANKPGIKGDHSQNQPKLKDADGEDNDDDNDDGDGGDGEYGDGEEELSSEGGEYGNNGNSEKNNPKKGLGDGATGAEQNGEDEDNEDGDGEDPEDEDEDEDEDDDDDDDGEDEDEEDVEEEENEDEEEEDEDEEALQPPKKRKK >KJB71245 pep chromosome:Graimondii2_0_v6:11:13916184:13920464:1 gene:B456_011G112400 transcript:KJB71245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTVSYVALTCAGETLASMFLSALVNTHLVALNSFTLLVANKPGIKGDHSQNQPKLKDADGEDNDDDNDDGDGGDGEYGDGEEELSSEGGEYGNNGNSEKNNPKKGLGDGATGAEQNGEDEDNEDGDGEDPEDEDEDEDEDDDDDDDGEDEDEEDVEEEENEDEEEEDEDEEALQPPKKRKK >KJB71246 pep chromosome:Graimondii2_0_v6:11:13915745:13920464:1 gene:B456_011G112400 transcript:KJB71246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTVSYVALTCAGETLASMFLSALVNTHLVALNSFTLLVANKPGIKGDHSQNQPKLKDADGEDNDDDNDDGDGGDGEYGDGEEELSSEGGEYGNNGNSEKNNPKKGLGDGATGAEQNGEDEDNEDGDGEDPEDEDEDEDEDDDDDDDGEDEDEEDVEEEENEDEEEEDEDEEALQPPKKRKK >KJB73649 pep chromosome:Graimondii2_0_v6:11:56893289:56897343:-1 gene:B456_011G242100 transcript:KJB73649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSLKNPLLFSDEVEPGYVKNSSFLKSSVKWGLKIVMWVIFIAWVGVIFLYPGEIGSQLVEKIINATNGSVFGTSGSLFLVFSAPILLIAILAVAHLIISGEHVFEKSKSSKKPGFRLWTFPVLVDGPFGVVSAAEFIGITLFVVFIIWAMYAYTLRNISLLTLFDLSSSETGRLMLELTGLRCGMLGLLCLIFLFLPVSRGSLLLRLIDIPFEHATRYHVWLGHLTMMLFTLHGLFYVIGWAMNGTLVKELLEWKRVGIANLPGVISLIAGLFMWVTSLHPVRKDYFELFFYTHQLYVVFIVFLALHVGDFVFSIVAGAIFIFMLDRFLRFCQSRTKVDVLSAKCLPCGTVELVLSKPRSLQYNALSFIFLQVRELSWLQWHPFSVSSSPLDGKHHLAILIKVLGGWTARLRDSILSMSEPELSKTTITASVEGPYGHETPYHLMYENLILVAGGIGISPFLAILSDILHRINDGKPCLPRKILVVWAVKKSDELPLLSSIDMKSICPFFSNKINLEIDIYVTRESGPSMEEGKVHVASSSSCPFSGRSMSPLVGTGNDVWSGLYVIISTVGFIILMALLRIFYIKPYNVSTWWYLGLLFIACMVTSVVIFGGLVIGLWHLWDKKASANDKDEDIDRIKVESTPSYGTVEDKDLTQNNPESSTIIQYGTRPDFKEIFASTSRKWGNVDVGVLVCGPPTLQSSVAKEIRSHNIRRQKHDTIFHFNSHSFDL >KJB69280 pep chromosome:Graimondii2_0_v6:11:1009994:1011698:-1 gene:B456_011G014400 transcript:KJB69280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGRRLTTSRSERFLGSYSYGHSHGDAVTGESELGEEDVWSMVDNVTDRDDRREWSPRAESESNETANFDVRGGRRRIPRGDAGHVGGLSLAFDDSSSAKPRIVHQYRGHENVAAATEDSPRGRNMATSAPLNVPDWSKIYRSDSVEESMHDSDDDDDGESDMMPPHEYLARKKSDGASVFEGVGRTLKGRDMRRVRDAVWNQTGFYG >KJB74120 pep chromosome:Graimondii2_0_v6:11:61041122:61041587:1 gene:B456_011G278100 transcript:KJB74120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQVKYMWVVVFAVSMSIAGLNGVEATHDYYGPCGKHDIEKEAQKLAPCTYAAKYWRAPVSERCCAIIEKKLSNPGCLCAILKTRTAYDAGVRPEVAVTIPKRCNIAVRPVGHKCGRFPFV >KJB69605 pep chromosome:Graimondii2_0_v6:11:2483878:2485443:-1 gene:B456_011G033500 transcript:KJB69605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAIASRWRELSGENNWEGLLDPLDLEFRRYLIHYLQRAGAAGDAFNGTKASKGYALSLYPPDEYFARVGLEKGNPYRYKVTNFIYAAPSDDEVAYFGYVAVATDEGKVVLGRRDILVSWRGTITISEWVSDANVFRTSAKDLFGTGSVKVHTGFYNTYMNSNANSPYTKTSARQQACKAVKELVDKYQNEEVSITVTGHSLGAALATLNAMDIAHNGFNKPTDNSNKAFMVTCFPAASPRVGNLAFKGVCDRLENFHILRIVNSKDPVPKVPFGPKYFHVGEELGIDTTKSPYLKEKIINPHNLEGYQHAIAGMQKDGDFKLEEELDFDNAVINKSSDGLLDKYKIPDNWWTQELFKNMVQTDDGHWKFNDTAYVPDPPSA >KJB74479 pep chromosome:Graimondii2_0_v6:11:62618783:62624167:1 gene:B456_011G295800 transcript:KJB74479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRFSTRLLNVCIASLCKAHNLEKAESVIIDGIRLGVLPDVVTYNTLIDAYCHFGIDAGYAILHRMREAGVTPDIISYNSLIAGATRNHQISRSRDLFDEMIERGIVPDVWSYNILMHGFFKLGKPDEAHRVFKDIILAEHSPSIATFNIMMNGLCKNGYTMNAFMLFRNLQRHGFVPELLTYNILVSGLCKIGRLGSARRVLKEIVESGHVPNAITYTTVLKCIFRKKKFEEGIELLLEMKSKGYTFDGFAYCTVIGALTKIGKVKQATEFMVDMIETGIELDIVSYNTLINLYCKTGELEEAYKLLDEIEKKGLECDKYTHTIMIDGLCKAGKVEVAAQHLKYMNMMGFDSNLVAYNCLVDGLCKVGQINDAIKVYKSMEVRDSFTYSSLVYNLCRDRRYHSAAKILLSCLRSGMKILKSAQRAVLLGLRYSGFPREAKRLKSKIRIARILNH >KJB72429 pep chromosome:Graimondii2_0_v6:11:41621305:41622406:-1 gene:B456_011G178000 transcript:KJB72429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAAERESVNAAKRAERKQQLREKKRYEELQRLEKERQAEIRSYKGLMVSEKMTSNKQIATISKSLQELEEDFM >KJB70906 pep chromosome:Graimondii2_0_v6:11:10324869:10327494:-1 gene:B456_011G095200 transcript:KJB70906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSAFKQTYWRWVFLLISSFLVSSTLGDPRITNAGLFCGVSQALNGTNYVPDFTELMKELSDGISNNHFASYRLNASLPMYGLTQCYEDLKQTDCLLCYAEARTRIPRCLPRSARIFLDGCFLRYDYDNNFFQEAVSSVDTVNCSTNNVTMYREVNNRRVNLFETNVEYAVGNVTSIALRKGRFGTVGVDGIYALAQCWESLTPEGCRQCLQNASMSVRRCMPGDEGRALNAGCYLRYSTRKFYNEGGDSADDHGISIGVIIAIVSATSAFLTLAISAAYIIYTKVSKRKRELENLGRISKKFDKSGLKFKYENLEKATDYFSLSRKLGQGGAGSVFMGILPDGKTVAVKRLVYNTRQWVDDFFNEVNLISRIQHKNLVKLLGASIEGPESLLVYEYVPNKSLDQFIFDEEKSKLLNWKQRLNIIVGTAEGLAHLHGGGSHVRIIHRDIKCSNVLLDDNLNAKIADFGLVRCLATEKSHLSTGIAGTLFGIFMQRIHGS >KJB70905 pep chromosome:Graimondii2_0_v6:11:10323585:10327803:-1 gene:B456_011G095200 transcript:KJB70905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSAFKQTYWRWVFLLISSFLVSSTLGDPRITNAGLFCGVSQALNGTNYVPDFTELMKELSDGISNNHFASYRLNASLPMYGLTQCYEDLKQTDCLLCYAEARTRIPRCLPRSARIFLDGCFLRYDYDNNFFQEAVSSVDTVNCSTNNVTMYREVNNRRVNLFETNVEYAVGNVTSIALRKGRFGTVGVDGIYALAQCWESLTPEGCRQCLQNASMSVRRCMPGDEGRALNAGCYLRYSTRKFYNEGGDSADDHGISIGVIIAIVSATSAFLTLAISAAYIIYTKVSKRKRELENLGRISKKFDKSGLKFKYENLEKATDYFSLSRKLGQGGAGSVFMGILPDGKTVAVKRLVYNTRQWVDDFFNEVNLISRIQHKNLVKLLGASIEGPESLLVYEYVPNKSLDQFIFDEEKSKLLNWKQRLNIIVGTAEGLAHLHGGGSHVRIIHRDIKCSNVLLDDNLNAKIADFGLVRCLATEKSHLSTGIAGTLGYMAPEYLVRGQLSEKADVYSFGVLVLEIVCGKKNSSFTTAGSLLQTVWTLYRSNVLAEAIDPCIRDEMSEKEAPEVLRVGLLCTQASVLLRPSMAEVVQMLTDEDYETPTPNQPPFLNANVLEAANSSRSYSTDSFVANALKKIQGSGTSSDTTRTRSSEDASRTL >KJB68811 pep chromosome:Graimondii2_0_v6:11:39792298:39794645:-1 gene:B456_011G174500 transcript:KJB68811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFLLIFLLSLFLCCPNLVTLGIYITSSFFPAMSSIHSLLLPPLPSSSFFFKIFFLGFHYSCAAPSLRPLLLSLQPPF >KJB73492 pep chromosome:Graimondii2_0_v6:11:55434911:55436625:1 gene:B456_011G234800 transcript:KJB73492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADQILVMKIFYLNLKTFFMGKSNIRYQLTNLIGQRKKKIYETEMANNASELGRLRNLVKELEEREVKLEGELLEYYGLKEQESDIAELQKQLKIKTVEIDMLNITINSLQTERKKLQEKIAHGASIKKDLEVARNKIKELQRQIQLDANQTKAQLLFLKKQVSGLQARSHQK >KJB71590 pep chromosome:Graimondii2_0_v6:11:19885352:19886841:-1 gene:B456_011G1315001 transcript:KJB71590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITIKESAIVRPAEDTPKRSLWNSNLDIVMTRYHIPTVYYYKPNGSSDFFDSGRLKEALSKILVPFYPIAGRLGYDENGRLEIICNGEGVLFVEAETTSVMEHLIGDFTENHQVLSLVPKVDYSEGMSSYPLIVLQVTKFKCGGVCLGVGIQHTLGDGAAALHFINSWADTSRGLTPAIAPFIDRTLLRARDPPTPKLHHVEYDPSPALKSASKSQSDDHKPSIVSTLALTADQLNTLKAKAKVANANGGIKYSSFNILAAHIWRCVSKARGLPADQDTKLYFPVDGRYRLDPPLPPGYFGNVIFTTALIAQAGDLETESFTDTIKRIHERLNQINDEYLRSAIDYIEK >KJB73921 pep chromosome:Graimondii2_0_v6:11:59194146:59195252:1 gene:B456_011G261100 transcript:KJB73921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSSASFLLLSTVVFHLFSSAAAVETDAKPPIISKGDSKLSQSDGRKFCVSKPEASDAQLKKNLDWACKEGIDCSPVEPGAVCDDPASLRSRANYAMNTYYRTRGETKNACDFEGTGRLIDTNPSYGECTYL >KJB70830 pep chromosome:Graimondii2_0_v6:11:10036627:10040067:1 gene:B456_011G092400 transcript:KJB70830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVEGLVTQIQGLSSTASDVLMLQNLLKQANDSLHAESTRLLPFLDQLDPSKHSLGYLYFLEACTAGPITKEQASSYVLIIARFLSSCVSEQIRLASHKFISVCKRFKDQLVLLGEPLRGVALMLTAIRKFQSLTEHLTALHPEFLLLCLLAKSYKTGHSVLEEDIFEVDQPKDLFLYCYYGGMICIGQKHFQKALELLHNVVTAPMFAVNAIAVEAYKKYILVSLILHGQFSTSLPKYSSSAAQRNLRSLCQDNNLGLVKQVVSSLYKQNIQRLTQTYLTLSLQDIASTVQLSSVREAEMHVLQMIQDVEIYATINQKDGMVRFLEDPEQYKTIEMIERIDSSIQRLMILSKKITLTDPSYLSKGCRERQKFDFDDYDSVPQRFNV >KJB69050 pep chromosome:Graimondii2_0_v6:11:298712:300748:1 gene:B456_011G003600 transcript:KJB69050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISHSDTIPLRPSNSQSDIDEIRSLIDDSVHSGPAVVLPARHPATTPPPSSSPFISSNIPPPPPVSSSSSLQKLQSVPAAPPPPPPVGNSSSIVANGFGPPPNTLTEPVLDTVKRDLWRIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGVALSWSASVKKSQVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALICMLKDNVVAKVIIVCLALAWSSWAAYPFMSSAVNPNRKALALYPVLLMYLSVGFLIIAID >KJB69596 pep chromosome:Graimondii2_0_v6:11:2434121:2436221:1 gene:B456_011G032800 transcript:KJB69596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTASFVNGGSSPSFPSQRLLALAQQLRHYKPPPCSLDEESVTPVVQNPEKFRPKRAAVLICLFEGDAGDLRVILTKRSLTMSTHSGEVSLPGGKAEEGDKDDGDTATREAKEEIGLDPSLVNVVTFLEPFLSKHLLRVVPVIGILNDRKAFKPKPNPAEVDAVFDVPLEMFIKDENRIAEEREMMGEKYLLHFFDYETENKKYLIWGLTAGILIRAASVVYQRPPAFPEQSPKYEFLRDVGKITNAH >KJB69595 pep chromosome:Graimondii2_0_v6:11:2434181:2435818:1 gene:B456_011G032800 transcript:KJB69595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTASFVNGGSSPSFPSQRLLALAQQLRHYKPPPCSLDEESVTPVVQNPEKFRPKRAAVLICLFEGDAGDLRVILTKRSLTMSTHSGEVSLPGGKAEEGDKDDGDTATREAKEEIGLDPSLVNVVTFLEPFLSKHLLRVVPVIGILNDRKAFKPKPNPAEVDAVFDVPLEMFIKVFLLTPI >KJB74398 pep chromosome:Graimondii2_0_v6:11:62464774:62467428:1 gene:B456_011G293600 transcript:KJB74398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFTSLSVNTIKTGLIWLLRVAWIAGTLPILIASLPFPILKSFHTLLLSFAKRGKIMPSSSHRFTVPQSFFLHFYLLAVVWTTSLLMCTWYFAYKVAPLGTESLSYPFAASHLTGGSHVFSIHKSRFTSAEDRFNVWKSVLLLLLMEVHVLRRLYETFNVFNYSSSARMHIFGYLTGIYFYTAAPLSLCTFCVLEAFNFAADQVAEFKVEGQELVSITGFDFWGYVKPITRLGWCQWAGAAIFAWGWFHQYCCHAILGSLRERGDRTADYVIPHGDWFEIVSSPHYLAEMIIYAGLLVASGGTDFTIWLLLGFVVANLAFAAAETHQWYLRKFEDYPHNRWAILPFVY >KJB74399 pep chromosome:Graimondii2_0_v6:11:62465337:62466772:1 gene:B456_011G293600 transcript:KJB74399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFTSLSVNTIKTGLIWLLRVAWIAGTLPILIASLPFPILKSFHTLLLSFAKRGKIMPSSSHRFTVPQSFFLHFYLLAVVWTTSLLMCTWYFAYKVAPLGTESLSYPFAASHLTGGSHVFSIHKSRFTSAEDRFNVWKSVLLLLLMEVHVLRRLYETFNVFNYSSSARMHIFGYLTGIYFYTAAPLSLCTFCVLEAFNFAADQVAEFKVEGQELVSITGFDFWGYVKPITRLGWCQWAGAAIFAWGWFHQYCCHAILVGVFACLHLYLLVGGSKFYGLYASLC >KJB74396 pep chromosome:Graimondii2_0_v6:11:62464609:62467440:1 gene:B456_011G293600 transcript:KJB74396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFTSLSVNTIKTGLIWLLRVAWIAGTLPILIASLPFPILKSFHTLLLSFAKRGKIMPSSSHRFTVPQSFFLHFYLLAVVWTTSLLMCTWYFAYKVAPLGTESLSYPFAASHLTGGSHVFSIHKSRFTSAEDRFNVWKSVLLLLLMEVHVLRRLYETFNVFNYSSSARMHIFGYLTGIYFYTAAPLSLCTFCVLEAFNFAADQVAEFKVEGQELVSITGFDFWGYVKPITRLGWCQWAGAAIFAWGWFHQYCCHAILGSLRERGDRTADYVIPHGDWFEIVSSPHYLAEMIIYAGLLVASGGTDFTIWLLLGFVVANLAFAAAETHQWYLRKFEDYPHNRWAILPFVY >KJB74397 pep chromosome:Graimondii2_0_v6:11:62464747:62467440:1 gene:B456_011G293600 transcript:KJB74397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFTSLSVNTIKTGLIWLLRVAWIAGTLPILIASLPFPILKSFHTLLLSFAKRGKIMPSSSHRFTVPQSFFLHFYLLAVVWTTSLLMCTWYFAYKVAPLGTESLSYPFAASHLTGGSHVFSIHKSRFTSAEDRFNVWKSVLLLLLMEVHVLRRLYETFNVFNYSSSARMHIFGYLTGIYFYTAAPLSLCTFCVLEAFNFAADQVAEFKVEGQELVSITGFDFWGYVKPITRLGWCQWAGAAIFAWGWFHQYCCHAILGSLRERGDRTADYVIPHGDWFEIVSSPHYLAEMIIYAGLLVASGGTDFTIWLLLGFVVANLAFAAAETHQWYLRKFEDYPHNRWAILPFVY >KJB70443 pep chromosome:Graimondii2_0_v6:11:6945439:6946563:-1 gene:B456_011G073500 transcript:KJB70443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDAILQKSLKWLRDLSKGNISHELEALTLEGLQIVPAQKGSIHCNFVVPTRASDPDGNWHVGAMATLIDDVAATAIYSVVDHVKLSLDFSISFYSTAKIQEEVEIEAKVIGEKGRLTQVVVEVTRKGNRELIALGKQWMASDKKGMKPSQVSSKL >KJB72743 pep chromosome:Graimondii2_0_v6:11:54388136:54388744:1 gene:B456_011G230100 transcript:KJB72743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQSFLCRQPPSSPLESSPTSSLSASPAMAGFISYESSKCCGFENCQSLSVAIGNALFSLIVHHYGPKSLLVIPSNSFKTNPPIPCSGLYNPFQLLSHYIPII >KJB72368 pep chromosome:Graimondii2_0_v6:11:40783964:40785611:1 gene:B456_011G176400 transcript:KJB72368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTVFTPSLEGMQHIRSPQGEMLTKPFLDVCKLILPVIDKFGTAMALVKRDIGRNTSRLEKKYQSDPFRYNYLYNMVKEEYECKSAKGSTSCTNGILWLTRAMDFIVELFRNLLAHPDWSVTDACTDAYGKTLKKFHGWIASSSFTVAMKLAPDRKKFMEVITCKGDVRGDMEKFCLTFPPYLEENHKFLNDIIYSYWNDL >KJB72763 pep chromosome:Graimondii2_0_v6:11:47118083:47119327:-1 gene:B456_011G195500 transcript:KJB72763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAAISMSRRSASENNGAGDETGRNNQSSKLKLFGFPVAATPCDNRRFECQYCHRGFPNFQALGGHQNAHKRERQEKLASSFTAHHHQRFLTTGHVITARSFRSRPSLYARSGFTNLSPSDEHAVVFWGPSPPCTPIILRGPRSLHVVGVQPSEGPFLVVQTEVDVGSTLDSLVAADVNEEGEHLGVKRAKNEPKSGK >KJB69772 pep chromosome:Graimondii2_0_v6:11:3091354:3102593:-1 gene:B456_011G041700 transcript:KJB69772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKLSACFFSHGFSCLSNSSYKNTVDKHKNVYMRVLFLPSRQFLPAYCKMRQRNLSSNHKRQRMSKPPERIPTSAELLPIRDEESEPENSFPNGVDVEPFVNHETSGKDDVNTVIDVENIAEQNSGTLSASAGDVESTSEQIINGLVLPSVTKALAINGEQLSSMQLEDLVGMIKNAERNILVLNQARVHALEDIHKVLDEKETLQGEINILEMRLAEADARIKVASQGKIHVEFLESQLEMLRNELTDRGELELYENQKKFSNEELLSTHDDHSLNKEVDSLRTENLALKNDIQALKSMISNVKNTDERMATLENECSFLGSSVKELESKLLVSQQDSPKSSTLKVEYQELWEKVENLQQLFDTATKQADQATLVLQQNQDLRKKVYKLEKSLEEVNVLKVSSDKMQQSNELIQKKIKLLEERLQKSDQEIYSFVQLYQESLEAFQDTLNSLKEESKKRTSDALVDDMPREFWSRLLLTIDGWVLEKKISNSDAKLLRELVWKKDRCIRDAHIACKEKNEREVISTFLRLASSQASPGLYIVHIAAEMAPVAKVGGLGDVVTGLGKTLQKKGHLVEIILPKYDCMQYDGIYDLRALDVTVESYFDGKLFQNKIWVGTVEGLPVYFIEPHHPNKFFWRGQYYGEHDDFKRFSFFSRAALEFLLQAGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLNSARICFTCHNFEYQGTASASELASCGLDAQELNRPDRMQDNTAHDSVNPVKGAIVFSNIVTTVSPTYAQEVRTAEGGKGLHSTLNSHSRKFMGILNGIDTDAWNPATDNFLKVQYSANDMQGKAENKAATRRNLGLSSADDQRPLVGCITRLVPQKGVHLIKHAIYRTLEMGGQFVLLGSSPVLHIQREFEGIANQFQNHEHIRLILKYDESLSHAIYAASDMFIIPSIFEPCGLTQLHFCTSSSVAAGVVLRSCWSPVAMQAKA >KJB69773 pep chromosome:Graimondii2_0_v6:11:3095959:3102735:-1 gene:B456_011G041700 transcript:KJB69773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKLSACFFSHGFSCLSNSSYKNTVDKHKNVYMRVLFLPSRQFLPAYCKMRQRNLSSNHKRQRMSKPPERIPTSAELLPIRDEESEPENSFPNGVDVEPFVNHETSGKDDVNTVIDVENIAEQNSGTLSASAGDVESTSEQIINGLVLPSVTKALAINGEQLSSMQLEDLVGMIKNAERNILVLNQARVHALEDIHKVLDEKETLQGEINILEMRLAEADARIKVASQGKIHVEFLESQLEMLRNELTDRGELELYENQKKFSNEELLSTHDDHSLNKEVDSLRTENLALKNDIQALKSMISNVKNTDERMATLENECSFLGSSVKELESKLLVSQQDSPKSSTLKVEYQELWEKVENLQQLFDTATKQADQATLVLQQNQDLRKKVYKLEKSLEEVNVLKVSSDKMQQSNELIQKKIKLLEERLQKSDQEIYSFVQLYQESLEAFQDTLNSLKEESKKRTSDALVDDMPREFWSRLLLTIDGWVLEKKISNSDAKLLRELVWKKDRCIRDAHIACKEKNEREVISTFLRLASSQASPGLYIVHIAAEMAPVAKVGGLGDVVTGLGKTLQKKGHLVEIILPKYDCMQYDGIYDLRALDVTVESYFDGKLFQNKIWVGTVEGLPVYFIEPHHPNKFFWRGQYYGEHDDFKRFSFFSRAALEFLLQAGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLNSARICFTCHNFEYQGTASASELASCGLDAQELNRPDRMQDNTAHDSVNPVKGAIVFSNIVTTVSPTYAQEVRTAEGGKGLHSTLNSHSRKFMGILNGIDTDAWNPATDNFLKVQYSANDMQGKAENKAATRRNLGLSSADDQRPLVGCITRLVPQKGVHLIKHAIYRTLEMGGQFVLLGSSPVLHIQREFEGIANQFQNHEHIRLILKYDESLSHAIYAASDMFIIPSIFEPCGLTQAKA >KJB69771 pep chromosome:Graimondii2_0_v6:11:3090522:3102735:-1 gene:B456_011G041700 transcript:KJB69771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKLSACFFSHGFSCLSNSSYKNTVDKHKNVYMRVLFLPSRQFLPAYCKMRQRNLSSNHKRQRMSKPPERIPTSAELLPIRDEESEPENSFPNGVDVEPFVNHETSGKDDVNTVIDVENIAEQNSGTLSASAGDVESTSEQIINGLVLPSVTKALAINGEQLSSMQLEDLVGMIKNAERNILVLNQARVHALEDIHKVLDEKETLQGEINILEMRLAEADARIKVASQGKIHVEFLESQLEMLRNELTDRGELELYENQKKFSNEELLSTHDDHSLNKEVDSLRTENLALKNDIQALKSMISNVKNTDERMATLENECSFLGSSVKELESKLLVSQQDSPKSSTLKVEYQELWEKVENLQQLFDTATKQADQATLVLQQNQDLRKKVYKLEKSLEEVNVLKVSSDKMQQSNELIQKKIKLLEERLQKSDQEIYSFVQLYQESLEAFQDTLNSLKEESKKRTSDALVDDMPREFWSRLLLTIDGWVLEKKISNSDAKLLRELVWKKDRCIRDAHIACKEKNEREVISTFLRLASSQASPGLYIVHIAAEMAPVAKVGGLGDVVTGLGKTLQKKGHLVEIILPKYDCMQYDGIYDLRALDVTVESYFDGKLFQNKIWVGTVEGLPVYFIEPHHPNKFFWRGQYYGEHDDFKRFSFFSRAALEFLLQAGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLNSARICFTCHNFEYQGTASASELASCGLDAQELNRPDRMQDNTAHDSVNPVKGAIVFSNIVTTVSPTYAQEVRTAEGGKGLHSTLNSHSRKFMGILNGIDTDAWNPATDNFLKVQYSANDMQGKAENKAATRRNLGLSSADDQRPLVGCITRLVPQKGVHLIKHAIYRTLEMGGQFVLLGSSPVLHIQREFEGIANQFQNHEHIRLILKYDESLSHAIYAASDMFIIPSIFEPCGLTQMIAMRYGSVPIARKTGGLNDSVFDVNEETIPNQIRNGFTFTTPDEQGINGALERAFNFYKNNKESWKQLVQKNMNIDFSWDSSASRYEELYAKSISRARAQASNN >KJB70816 pep chromosome:Graimondii2_0_v6:11:10016217:10022290:-1 gene:B456_011G092300 transcript:KJB70816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSSAKIRRGPTVHVDYLIHIQEIKPWPPSQSLRSVRSVLIQWENGERSSGSTKTVLPTVGSVAVEGKIEFNESFKLPVNLVKDMSIKGKDADVFMKNVLEFNLYEPRREKIQLLGTAIVDLGEYGVIKETLEVTAPVNSKRSLSNTAQPILFIKIDRIYKGRNSASSSRGSLLLERKESGTVSSLMDDEYAEEAEVASFTDDDVSSHSSHTVSSSTLESNGGSHPQNEENGPVSQIDCKGDARGASSENSVDTRASASDSYSSNSPVRDNIVIHKVHSSSSLPNDNTQDATNTSMRSDDCEDLSQKVHEMVANKGTMVTCDVQSTEGTSDSSKAKMAGSAKSPQVDKLESVDFSDSIVDGEDDRKAQRNGKVSSKEASAADDAYDNSREGNSGYNWQENGHEGQYWEAKKYSTEDEQLNIHSQENSSSQGNLGTKSDALKSDRLKHVKSVRSSSDSVRSNGLVSDNQHAELKEAGVLGDAQHGPGTLINKSSNGSKDAKVYPKDTRSAILDNKIQQLENKIMMLEGELREAAAIEAALFSIVAEHGSSMNKVHAPARRLSRLYLHACKEGFQSRRASAARSAVSGLALVAKACGNDVPRLTFWLSNSVVLRAIISESIGDMGLQLSAGPMEGNGGGKEKKHVSSPLKWIETSPGRKENKLISYGSFSDWDSPLAFTSALEKVEAWIFSRIIESVWWQTLTPHMQSEAREEVDIGISSASGKSYGRVSSASDQDQMNFSLDHWKKAFKDACERLCPVRAAGHDCGCLHLLSRLIGNWSRWLTDLFGIDDEIDQDGSDERQDTSIKSFYLLNALSDLMMLPKDMLLSKPIREEVCPTFGATLIKRVLDNFVPDEFCPDPVPDVVLEALEAEDPAEAREGFVTSFPYVASPPIYSPPSATSVASIIGEVGSQSQLRRSRSSVLRKSYTSDDELDELNSPLASIFNDGFRSSPVQSKPIWISKGNNYQNAIRYELLRDVWMKSE >KJB70815 pep chromosome:Graimondii2_0_v6:11:10016175:10022406:-1 gene:B456_011G092300 transcript:KJB70815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSSAKIRRGPTVHVDYLIHIQEIKPWPPSQSLRSVRSVLIQWENGERSSGSTKTVLPTVGSVAVEGKIEFNESFKLPVNLVKDMSIKGKDADVFMKNVLEFNLYEPRREKIQLLGTAIVDLGEYGVIKETLEVTAPVNSKRSLSNTAQPILFIKIDRIYKGRNSASSSRGSLLLERKESGTVSSLMDDEYAEEAEVASFTDDDVSSHSSHTVSSSTLESNGGSHPQNEENGPVSQIDCKGDARGASSENSVDTRASASDSYSSNSPVRDNIVIHKVHSSSSLPNDNTQDATNTSMRSDDCEDLSQKVHEMVANKGTMVTCDVQSTEGTSDSSKAKMAGSAKSPQVDKLESVDFSDSIVDGEDDRKAQRNGKVSSKEASAADDAYDNSREGNSGYNWQENGHEGQYWEAKKYSTEDEQLNIHSQENSSSQGNLGTKSDALKSDRLKHVKSVRSSSDSVRSNGLVSDNQHAELKEAGVLGDAQHGPGTLINKSSNGSKDAKVYPKDTRSAILDNKIQQLENKIMMLEGELREAAAIEAALFSIVAEHGSSMNKVHAPARRLSRLYLHACKEGFQSRRASAARSAVSGLALVAKACGNDVPRLTFWLSNSVVLRAIISESIGDMGLQLSAGPMEGNGGGKEKKHVSSPLKWIETSPGRKENKLISYGSFSDWDSPLAFTSALEKVEAWIFSRIIESVWWQTLTPHMQSEAREEVDIGISSASGKSYGRVSSASDQDQMNFSLDHWKKAFKDACERLCPVRAAGHDCGCLHLLSRLIMEQCVARLDVAMFNAVLRDSGDEIPTDPVSDPISDLLVLPVPAGKASFGAGAQLKNAIGNWSRWLTDLFGIDDEIDQDGSDERQDTSIKSFYLLNALSDLMMLPKDMLLSKPIREEVCPTFGATLIKRVLDNFVPDEFCPDPVPDVVLEALEAEDPAEAREGFVTSFPYVASPPIYSPPSATSVASIIGEVGSQSQLRRSRSSVLRKSYTSDDELDELNSPLASIFNDGFRSSPVQSKPIWISKGNNYQNAIRYELLRDVWMKSE >KJB70814 pep chromosome:Graimondii2_0_v6:11:10016175:10022386:-1 gene:B456_011G092300 transcript:KJB70814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSDDCEDLSQKVHEMVANKGTMVTCDVQSTEGTSDSSKAKMAGSAKSPQVDKLESVDFSDSIVDGEDDRKAQRNGKVSSKEASAADDAYDNSREGNSGYNWQENGHEGQYWEAKKYSTEDEQLNIHSQENSSSQGNLGTKSDALKSDRLKHVKSVRSSSDSVRSNGLVSDNQHAELKEAGVLGDAQHGPGTLINKSSNGSKDAKVYPKDTRSAILDNKIQQLENKIMMLEGELREAAAIEAALFSIVAEHGSSMNKVHAPARRLSRLYLHACKEGFQSRRASAARSAVSGLALVAKACGNDVPRLTFWLSNSVVLRAIISESIGDMGLQLSAGPMEGNGGGKEKKHVSSPLKWIETSPGRKENKLISYGSFSDWDSPLAFTSALEKVEAWIFSRIIESVWWQTLTPHMQSEAREEVDIGISSASGKSYGRVSSASDQDQMNFSLDHWKKAFKDACERLCPVRAAGHDCGCLHLLSRLIMEQCVARLDVAMFNAVLRDSGDEIPTDPVSDPISDLLVLPVPAGKASFGAGAQLKNAIGNWSRWLTDLFGIDDEIDQDGSDERQDTSIKSFYLLNALSDLMMLPKDMLLSKPIREEVCPTFGATLIKRVLDNFVPDEFCPDPVPDVVLEALEAEDPAEAREGFVTSFPYVASPPIYSPPSATSVASIIGEVGSQSQLRRSRSSVLRKSYTSDDELDELNSPLASIFNDGFRSSPVQSKPIWISKGNNYQNAIRYELLRDVWMKSE >KJB70817 pep chromosome:Graimondii2_0_v6:11:10016816:10022290:-1 gene:B456_011G092300 transcript:KJB70817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSSAKIRRGPTVHVDYLIHIQEIKPWPPSQSLRSVRSVLIQWENGERSSGSTKTVLPTVGSVAVEGKIEFNESFKLPVNLVKDMSIKGKDADVFMKNVLEFNLYEPRREKIQLLGTAIVDLGEYGVIKETLEVTAPVNSKRSLSNTAQPILFIKIDRIYKGRNSASSSRGSLLLERKESGTVSSLMDDEYAEEAEVASFTDDDVSSHSSHTVSSSTLESNGGSHPQNEENGPVSQIDCKGDARGASSENSVDTRASASDSYSSNSPVRDNIVIHKVHSSSSLPNDNTQDATNTSMRSDDCEDLSQKVHEMVANKGTMVTCDVQSTEGTSDSSKAKMAGSAKSPQVDKLESVDFSDSIVDGEDDRKAQRNGKVSSKEASAADDAYDNSREGNSGYNWQENGHEGQYWEAKKYSTEDEQLNIHSQENSSSQGNLGTKSDALKSDRLKHVKSVRSSSDSVRSNGLVSDNQHAELKEAGVLGDAQHGPGTLINKSSNGSKDAKVYPKDTRSAILDNKIQQLENKIMMLEGELREAAAIEAALFSIVAEHGSSMNKVHAPARRLSRLYLHACKEGFQSRRASAARSAVSGLALVAKACGNDVPRLTFWLSNSVVLRAIISESIGDMGLQLSAGPMEGNGGGKEKKHVSSPLKWIETSPGRKENKLISYGSFSDWDSPLAFTSALEKVEAWIFSRIIESVWWQTLTPHMQSEAREEVDIGISSASGKSYGRVSSASDQDQMNFSLDHWKKAFKDACERLCPVRAAGHDCGCLHLLSRLIMEQCVARLDVAMFNAVLRDSGDEIPTDPVSDPISDLLVLPVPAGKASFGAGAQLKNAIGNWSRWLTDLFGIDDEIDQDGSDERQDTSIKSFYLLNALSDLMMLPKDMLLSKPIREEVCPTFGATLIKRVLDNFVPDEFCPDPVPDVVLEALEAEVSLLIMSYAAPVLLYCLNINV >KJB72001 pep chromosome:Graimondii2_0_v6:11:26184255:26190704:1 gene:B456_011G153100 transcript:KJB72001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRNTAASKPIWMKQAEEAKQKTEAEKAAAAKAAFEATFKDVDKNRNKDVVAASSDSESEDTGDLVNKPIGPVDPVNCMAAGPGIAGGTACAASTFMVVTRDADERKVQSGGAQIKVRVSPGVGVGGSEQDGIVKDMGDGTYSVTYVVPKRGNYMVNIECNGKPIMGSPFPVFFSAGTSTGGLLGVAPASTYPNLVNQTMPNMPNYTGSVSGAFPGLLGMIPGIVSGASGGAILPGMGASLGEVCREYLNGHCPKTDCKLNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQTIVAAQALQAHSAQVQAQAQSIKDSSDSPDKAGKADALKKTLQVSNLSPLLTAEQLKQLFSFCGSVVECTITDSKHFAYIEYSKPEEAMAALALNNMDIGGRPLNVEMAKTLPQKPAISSLTSSSLPMMMQQAVAMQQMQFQQALLMQQTLTAQQAANRAASMKSATELAAARAAEISKKLKADGLVNEEKEIKSESRSPSTSRARSRSRSKSKSPLSYRRRSRSKSRSPISYRQRRRSHSYSPPPRFQRDRRSRSPEQSHHRSRYDSERLSYRDRNDNDRSRRRDLDRSHDPRSSILRRNRSRSPQIRKSLAVDSDSPKHSRESSPKVRRSSRPGSRSPRHRRRRSSPKDDERKSKCRKRSRSKSVDSDNKKDEKSKHHSRRRSRSLSSEGKHRRSRSSASSDDNKSKYRRQTWSVSPEGKVRPHSKIDETKNDELKHSHKRRSRSISTVGRQYAKERSGRSRDKKSNHRDRRQSRSRSADRKHPKGSKLSPRNSDEKKSKHQRRSRSKSTEFKQLSIDKTDERSECCAEKHFLSAEDRPPRGRRSSPRSSEDNDSRPRRRSRSKSAEGDEGMLVSKEGSKVSVNDGQESKRKCFLHFGNAEGLSPNKRSDAEEANKLERSSELENHSISPQPK >KJB71999 pep chromosome:Graimondii2_0_v6:11:26184255:26188950:1 gene:B456_011G153100 transcript:KJB71999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRNTAASKPIWMKQAEEAKQKTEAEKAAAAKAAFEATFKDVDKNRNKDVVAASSDSESEDTGDLVNKPIGPVDPVNCMAAGPGIAGGTACAASTFMVVTRDADERKVQSGGAQIKVRVSPGVGVGGSEQDGIVKDMGDGTYSVTYVVPKRGNYMVNIECNGKPIMGSPFPVFFSAGTSTGGLLGVAPASTYPNLVNQTMPNMPNYTGSVSGAFPGLLGMIPGIVSGASGGAILPGMGASLGEVCREYLNGHCPKTDCKLNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQTIVAAQALQAHSAQVQAQAQSIKDSSDSPDKAGKADALKKTLQVSNLSPLLTAEQLKQLFSFCGSVVECTITDSKHFAYIEYSKPEEAMAALALNNMDIGGRPLNVEMAKTLPQKPAISSLTSSSLPMMMQQAVAMQQMQFQQALLMQQTLTAQQAANRAASMKSATELAAARAAEISKKLKADGLVNEEKEIKSESRSPSTSRARSRSRSKSKSPLSYRRRSRSKSRSPISYRQRRRSHSYSPPPRFQRDRRSRSPEQSHHRSRYDSERLSYRDRNDNDRSRRRDLDRSHDPRSSILRRNRSRSPQIRKSLAVDSDSPKHSRESSPKVRRSSRPGSRSPRHRRRRSSPKDDERKSKCRKRSRSKSVDSDNKKDEKSKHHSRRRSRSLSSEGKHRRSRSSASSDDNKSKYRRQTWSVSPEGKVRPHSKIDETKNDELKHSHKRRSRSISTVGRQYAKERSGRSRDKKSNHRDRRQSRSRSADRKHPKGSKLSPRNSDEKKSKHQRRSRSKSTEFKQLSIDKTDERSECCAEKHFLSAEDRPPRGRRSSPRSSEDNDSRPRRRSRSKSAEG >KJB72000 pep chromosome:Graimondii2_0_v6:11:26184255:26189430:1 gene:B456_011G153100 transcript:KJB72000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRNTAASKPIWMKQAEEAKQKTEAEKAAAAKAAFEATFKDVDKNRNKDVVAASSDSESEDTGDLVNKPIGPVDPVNCMAAGPGIAGGTACAASTFMVVTRDADERKVQSGGAQIKVRVSPGVGVGGSEQDGIVKDMGDGTYSVTYVVPKRGNYMVNIECNGKPIMGSPFPVFFSAGTSTGGLLGVAPASTYPNLVNQTMPNMPNYTGSVSGAFPGLLGMIPGIVSGASGGAILPGMGASLGEVCREYLNGHCPKTDCKLNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQTIVAAQALQAHSAQVQAQAQSIKDSSDSPDKAGKADALKKTLQVSNLSPLLTAEQLKQLFSFCGSVVECTITDSKHFAYIEYSKPEEAMAALALNNMDIGGRPLNVEMAKTLPQKPAISSLTSSSLPMMMQQAVAMQQMQFQQALLMQQTLTAQQAANRAASMKSATELAAARAAEISKKLKADGLVNEEKEIKSESRSPSTSRARSRSRSKSKSPLSYRRRSRSKSRSPISYRQRRRSHSYSPPPRFQRDRRSRSPEQSHHRSRYDSERLSYRDRNDNDRSRRRDLDRSHDPRSSILRRNRSRSPQIRKSLAVDSDSPKHSRESSPKVRRSSRPGSRSPRHRRRRSSPKDDERKSKCRKRSRSKSVDSDNKKDEKSKHHSRRRSRSLSSEGKHRRSRSSASSDDNKSKYRRQTWSVSPEGRQYAKERSGRSRDKKSNHRDRRQSRSRSADRKHPKGSKLSPRNSDEKKSKHQRRSRSKSTEFKQLSIDKTDERSECCAEKHFLSAEDRPPRGRRSSPRSSEDNDSRPRRRSRSKSAEGDEGMLVSKEGSKVSVNDGQESKRKCFLHFGNAEGLSPNKRSDAEEANKLERSSELENHSISPQPK >KJB71874 pep chromosome:Graimondii2_0_v6:11:23511266:23519897:1 gene:B456_011G145600 transcript:KJB71874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILISSKFSPFHFPSPKSSLFLPSPTSLHFLSSNPPCFPSFPSKSLPKAKSLLISSSLAPTPPTPLRRPLYIPNHIPDPNYVRIFDTTLRDGEQSPGATLTSKEKLDIARQLAKLGVDIIEAGFPAASKDDFEAVTAIAKEVGNAEDENGYVPVICGLSRCNENDIKAAWEAVKYAKRPRIHTFIATSGIHLEYKLRKSKEEVLDIARSMVRFARSLGCNDVEFSPEDAGRSDREFLYEILGEVIKAGATTLNIPDTVGITMPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLAGAFAGARQVEVTINGIGERAGNASLEEVVMAIKCRGEHVLGGLYTGINTQHIVMSSKMVEDYTGLHIQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERSNEAGIVLGKLSGRHALKDRLKELGYELDDEQLGNIFWRFKAVAEQKKRVTDADLIALVSDEVFQPEVVWKLHDLQVTRDS >KJB71875 pep chromosome:Graimondii2_0_v6:11:23511266:23517941:1 gene:B456_011G145600 transcript:KJB71875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILISSKFSPFHFPSPKSSLFLPSPTSLHFLSSNPPCFPSFPSKSLPKAKSLLISSSLAPTPPTPLRRPLYIPNHIPDPNYVRIFDTTLRDGEQSPGATLTSKEKLDIARQLAKLGVDIIEAGFPAASKDDFEAVTAIAKEVGNAEDENGYVPVICGLSRCNENDIKAAWEAVKYAKRPRIHTFIATSGIHLEYKLRKSKEEVLDIARSMVRFARSLGCNDVEFSPEDAGRSDREFLYEILGEVIKAGATTLNIPDTVGITMPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLAGAFAGARQVEVTINGIGERAGNASLEEVVMAIKCRGEHVLGGLYTGINTQHIVMSSKMVEDYTGLHIQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERSNEAGIVLGKLSGRHALKDRLKELGYELDDEQLGNIFWRFKAVAEQKKRVTDADLIALVSDEVFQPEVVWKLHDLQVTRDS >KJB71873 pep chromosome:Graimondii2_0_v6:11:23511195:23520515:1 gene:B456_011G145600 transcript:KJB71873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILISSKFSPFHFPSPKSSLFLPSPTSLHFLSSNPPCFPSFPSKSLPKAKSLLISSSLAPTPPTPLRRPLYIPNHIPDPNYVRIFDTTLRDGEQSPGATLTSKEKLDIARQLAKLGVDIIEAGFPAASKDDFEAVTAIAKEVGNAEDENGYVPVICGLSRCNENDIKAAWEAVKYAKRPRIHTFIATSGIHLEYKLRKSKEEVLDIARSMVRFARSLGCNDVEFSPEDAGRSDREFLYEILGEVIKAGATTLNIPDTVGITMPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLAGAFAGARQVEVTINGIGERAGNASLEEVVMAIKCRGEHVLGGLYTGINTQHIVMSSKMVEDYTGLHIQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERSNEAGIVLGKLSGRHALKDRLKELGYELDDEQLGNIFWRFKAVAEQKKRVTDADLIALVSDEVFQPEVVWKLHDLQVTCGTLGLSTATVKLIGADGEEHVACSVGTGPVDSAYKAIDLITKEPVTLLEYSMNAVTEGIDAIATTRVLIRAEKSRISTNILTGEIVQRTFSGTGAGMDIVVSSVKAYIGALNKMLGLKEQYQTKASIERTPVSA >KJB71096 pep chromosome:Graimondii2_0_v6:11:12321413:12322542:1 gene:B456_011G105900 transcript:KJB71096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSVLLSKLLLPLFITHIVLTTSIVEAQVEDASLKLVRDALERPLPISSYSELNDDEEIDDDGDDDDDDDDDDDDGENGYSRRSLFWKRMGYYISYGALWANRIPCPPRSGRSYYTHNCFKVHGPVHPYTRGCSRITRCRR >KJB72123 pep chromosome:Graimondii2_0_v6:11:30444287:30445506:1 gene:B456_011G162400 transcript:KJB72123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSFALFVLVLVTMHASHARTVPGDVGLVTQQTTMSDSAKEQMLEANAPKADSPKGNAIDDKKNFIYGGVGGFAGMGAYAGMVGGLPNLVGGLGGIGKYGGIGGAAGIGGYHGIGGLGGLGGAGGLGGPGGLGGGAGNGGGAGDAGGGATGGGILPPP >KJB72977 pep chromosome:Graimondii2_0_v6:11:49881664:49888557:1 gene:B456_011G207200 transcript:KJB72977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) UniProtKB/TrEMBL;Acc:F4I1S8] MSFNNSKTEVKRLFIGAGCNRIVNNVSWGASDLVSFGAQNAVVIFCPKSAQILTTLPGHKAVVNCTHWLPSNKFAFKAKQLERHYLLSGDADGVIILWELSLADNKWRPALQLPKSHKKGVTCITGFMVSQTDAIFATSSSDGTVCIWDVVFPTSFGCECKLSCLETLVVGSRPMVTLSLAQLPGNTGLIALAMGGLDNKIYLYCAEGTGKFVRACELKGHTDWIRSLDFSLPIFSGEADSVLLVSSSQDKGIRIWKLTFRGSLANTEGTYKRGEIGLASYIEGPVFVAGSFSYQISLESLLIGHEDWVYSVQWQPPSLAAEEEIGFYQPQSILSASMDKTMMIWQPERKTGIWMNVVTVGELSHCALGFYGGHWGPDARSILAHGYGGSFHMWKNIGVSSDNWQPQKVPSGHFAAVTDIAWARCGEYLLSVSHDQTTRIFAPWHNRDPQSDGDSWNEIARPQVHGHDINCAAIIQGKGNHRFVSGAEEKVARVFEAPLSFLKTLHYATSEQSSFPEDVQADVQVLGANMSALGLSQKPIYVNATHEIQENLGNDGLDTLESVPDAVPVALTEPPIEDQLAWHTLWPESHKLYGHGNELFSLCCDHEGKLVASSCKAQSATVAEIWLWQVGSWKAVGRLQSHSLTVTQMEFSHDDNLLLAVSRDRQFSIFKINRAGNDEIDYKLVARQEAHKRIIWACSWNPFGHEFATGSRDKTVKIWGVEKTSSVKPLLTLPQFDSSVTALSWVGLDRQRNDGLLAVGMESGLLQIWSLHVERSDDSIPTPGVATAQIIRLDPFMCHVSAVNRLAWKNPENNEYSTNLQLASCGADHCVRLYEVIVH >KJB72978 pep chromosome:Graimondii2_0_v6:11:49881746:49888557:1 gene:B456_011G207200 transcript:KJB72978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) UniProtKB/TrEMBL;Acc:F4I1S8] MSFNNSKTEVKRLFIGAGCNRIVNNVSWGASDLVSFGAQNAVVIFCPKSAQILTTLPGHKAVVNCTHWLPSNKFAFKAKQLERHYLLSGDADGVIILWELSLADNKWRPALQLPKSHKKGVTCITGFMVSQTDAIFATSSSDGTVCIWDVVFPTSFGCECKLSCLETLVVGSRPMVTLSLAQLPGNTGLIALAMGGLDNKIYLYCAEGTGKFVRACELKGHTDWIRSLDFSLPIFSGEADSVLLVSSSQDKGIRIWKLTFRGSLANTEGTYKRGEIGLASYIEGPVFVAGSFSYQISLESLLIGHEDWVYSVQWQPPSLAAEEEIGFYQPQSILSASMDKTMMIWQPERKTGIWMNVVTVGELSHCALGFYGGHWGPDARSILAHGYGGSFHMWKNIGVSSDNWQPQKVPSGHFAAVTDIAWARCGEYLLSVSHDQTTRIFAPWHNRDPQSDGDSWNEIARPQVHGHDINCAAIIQGKGNHRFVSGAEEKVARVFEAPLSFLKTLHYATSEQSSFPEDVQADVQVLGANMSALGLSQKPIYVNATHEIQENLGNDGLDTLESVPDAVPVALTEPPIEDQLAWHTLWPESHKLYGHGNELFSLCCDHEGKLVASSCK >KJB74401 pep chromosome:Graimondii2_0_v6:11:62360252:62361235:1 gene:B456_011G292400 transcript:KJB74401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQYQAMRNAPQEEKEELDARAKQGETVVPGGTRGKSLDAQINLAEGRHKGGETRKQQLGTEGYQEMGRKGGLSNSDMSGGERAADEGVTIDESKFRTKN >KJB70667 pep chromosome:Graimondii2_0_v6:11:8941299:8942902:1 gene:B456_011G086100 transcript:KJB70667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIPEEPLLAANPDRFCMFPIQYPEVWEMYKKAEASFWTAEEVDLSSDLRHWETLTADEHHFISHVLAFFAASDGIVLENLAVRFMKEVQISEARAFYGFQIAIENIHSEMYSLLLETYIKDSDEKNRLFRAIETVPCVAKKAEWAMKWIDGGETFAERLIAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRSKLSEERVRGIVRDAVDIEREFVCDALPCALVGMNGELMSRYIEFVADRLLGALGYGKMYNVVNPFDWMELISLQGKTNFFEKRVGEYQKAAVMSSLNGNGGTHEFKMDEDF >KJB68841 pep chromosome:Graimondii2_0_v6:11:39449064:39449562:1 gene:B456_011G174100 transcript:KJB68841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTILAGFSIVSIHPPPAFGSSPNLEALALEANKSKDQDGDSSLLDSSHLSRYLTIYLCLNMSLGEGCFFIQKFWLS >KJB74312 pep chromosome:Graimondii2_0_v6:11:61886650:61887123:1 gene:B456_011G286800 transcript:KJB74312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHKLTSFSFLMAVLLALLNLKFQPQPNIESPFETQSVIMEIFVVCILVFAITLCTPYFPEVIDDINLLAGSLAAVLLTFTLFPGLGWVFLLIWATFFVKLIYRAIRKFCQLYHATSSTSDLFNRVLLGRRHAHHNEERLYLISSIISSCVSILFL >KJB70015 pep chromosome:Graimondii2_0_v6:11:4201094:4205258:1 gene:B456_011G053500 transcript:KJB70015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASENIYVEHVKGVNGLDKVILREIRGWSAEVYLYGGQVTSWKNERREELLFLSSKALFQPPKPIRGGIPICFPQFGNLDSLEQHGFARNRLWSVDPDPPPCSSHTNSRAFIDLILRHSEEEAKIWSHRYELRLRVALGPAGDLMLTSRIRNTNTDGKSFTFTFAYHTYFFVTDISEVRVEGLETLDYLDNLQNRERFTEQGDAITFESEVSLKFLKQAYVFCLFNSNLYTLFYVYRSCW >KJB70014 pep chromosome:Graimondii2_0_v6:11:4201083:4205363:1 gene:B456_011G053500 transcript:KJB70014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASENIYVEHVKGVNGLDKVILREIRGWSAEVYLYGGQVTSWKNERREELLFLSSKALFQPPKPIRGGIPICFPQFGNLDSLEQHGFARNRLWSVDPDPPPCSSHTNSRAFIDLILRHSEEEAKIWSHRYELRLRVALGPAGDLMLTSRIRNTNTDGKSFTFTFAYHTYFFVTDISEVRVEGLETLDYLDNLQNRERFTEQGDAITFESEVDKIYLSTPTKIAILDHERKRTFELRKDGLPDAVVWNPWDKKAKAMADFGDEEYKHMLCVEAACVEKPITLKPGEEWKGRQEISIVPSSYCSGQLDPRGQLDLRRVTFGC >KJB70016 pep chromosome:Graimondii2_0_v6:11:4202100:4205258:1 gene:B456_011G053500 transcript:KJB70016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCIDSIFLKQVYLYGGQVTSWKNERREELLFLSSKALFQPPKPIRGGIPICFPQFGNLDSLEQHGFARNRLWSVDPDPPPCSSHTNSRAFIDLILRHSEEEAKIWSHRYELRLRVALGPAGDLMLTSRIRNTNTDGKSFTFTFAYHTYFFVTDISEVRVEGLETLDYLDNLQNRERFTEQGDAITFESEVDKIYLSTPTKIAILDHERKRTFELRKDGLPDAVVWNPWDKKAKAMADFGDEEYKHMLCVEAACVEKPITLKPGEEWKGRQEISIVPSSYCSGQLDPRGQLDLRRVTFGC >KJB74319 pep chromosome:Graimondii2_0_v6:11:61940695:61941568:1 gene:B456_011G287500 transcript:KJB74319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFLALINLKFQPLRNIESPFETQGVVMPMFVICMLVYSVTFCTPYFPKVIDHINLLAGCLATVLLTFTLFPPLGRVILFIWTIHFVKLIYGAIPKLCQLCQALPSLLNLRVLLGRHAHHNEERCCNSHIHASLGFLISVLVDLIQVKYQSTNMAVPFETHPAIMFIFITAILVYAAAATIKTSNDNSSIHRVIVTKISLLSGSLATVVLVLVIVPPIGWFILLIWTFFLVKQIYYGCQMLRQQYRIISVVYY >KJB69074 pep chromosome:Graimondii2_0_v6:11:364118:366104:-1 gene:B456_011G004400 transcript:KJB69074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVHDLYDDNEVGEQQKHLEPHNQSSSPATGLSPSAITTRNIPYMTSQHAAAPATYPYPDPYYRSIFAPYDAQRYPPQPCGGSLKGNIPCPYSNVHLQLMGIQQAGVPLPSGAVEEPVFVNAKQYHGILRRRQSRAKAESENKAIKSRKPYLHESRHLHALRRARGSGGRFLNSKKNESKQNDAASGDKSQSNINLNSNKSEVSSVEGTS >KJB71516 pep chromosome:Graimondii2_0_v6:11:18015515:18017071:-1 gene:B456_011G126500 transcript:KJB71516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFILCLDTLLIPFSLFLTLGYHVYLWKSFKQKPSSTQIGLEKLRRNTWFQEIKQGDDKKGMLAVQSLRNTLMATILTATIAVLVNLALAALTSNTYNASHLLKAEIFGSHSKWVYSLKYGSASVFLLVSFFCCSVAIGFLIDANFLINASSLDEDDDQFSSDHSYTQTIFERGFGLALVGNRMLCVSFPMLLWMLGPLPVALSSGALLWGLYGLDFASAR >KJB70201 pep chromosome:Graimondii2_0_v6:11:5240584:5242269:-1 gene:B456_011G063000 transcript:KJB70201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFDEDMTPFWLRTTDNRRLRRRHLLFNTGIIIILLLAVAFAFIFIIIPSFLSFTSKIFKPQLVKKSWDSLNLVLVLFAIICAFLSKSNGDNDANETPTSSYQDYKFSSTPRHVDHHVRSSTPGQWSYDHALSSDRTAYNSLRRMRSSSSYPDLRQESTWTMNGDDRWRFYDDTGLYNYRSRSRREHDEEQVYLNNLKDIAVDTVHRTSPQLVSVPPPAAAAASPPTPPQSPPPQPPKAVRRKPKRAYEDVRLRERSERKEDAYSEVTNKYSLPSPSSPPPTKPPASPPPPPPHPPPPPPPPPPISGSEKRSKKSEKKRGGVTKEFLTSLRRKKKKQRQRSVENLDEFFNLATLPLYPPPSPPPPPPPPPLPSFYNIFSSKKNKARKHHSTSPPPTLPPSMEARASKREPNKPPVTTIQKSPLPVKIRNVNNVEENVESGNESPFNTIPPPPPPPPFKMPAWKFEVHGDFVRLKSINSSRSGSPDLDDPLSGESSPADGNKTGRVDGGESMAGPLFCPSPDVNTKADHFIARFRAGLKLEKINSVKGRSNLGLDPGPSSV >KJB72726 pep chromosome:Graimondii2_0_v6:11:46788109:46789975:1 gene:B456_011G193300 transcript:KJB72726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAFSLRNIVAFLALFHASIVVATLPSCQTCGSPEPSPPAPEPQAFPPEPEVPEPYPPELAPYPEPDQSPEPSQAPIDDDGVFDVTNYGAVADGGTECSSAFSAAWHAACDYPGNSTFYVPEGTFLVGPISFRGPCYNDRSPNVEIRGTLFALSSLSSFKSSYWISFKNLQGLTLIGGPEYGKLDGQGAVEAWKEPSCEKSARCKKLITSIDFINVSHATISNITLSNSKGFHLGLHGSKNIKIYNVKIIAPEDSPNTDGIHVSNSSNISIFSSTIGVGDDCVSIGPGSKNVSVSNIRCGPGHGISVGSLGKYKNEKDVVGINVRNCTINGTENGIRMKTWPGDRPSNAYNMTFEDIVMVNVSNPIIIDQEYCPSHKCKSNEPSMVKLKDIFIKNINGTYSTKSAVIFLCSSEAPCENVQLVNINLNYLVPNSPRQGRLNIKGFLNGLQVINSRF >KJB74482 pep chromosome:Graimondii2_0_v6:11:61729520:61731712:-1 gene:B456_011G284800 transcript:KJB74482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QVFSKTPTGLVVRGNDTDQQALLQFKAKITDDRLRVMESWNSSIHFCQWHGVTCGRKHQRVTKLELQSLKLSGSLSPHIGNMSFLRVLNLLNNSFYNQIPQSIDGLRRLDTLYLTNNSISGEIPSNLSSCSNLTIVRMAGNRLTGEIPAFLGFLSNLKVLSFYNNSLKGSIPPSLGNLSSLEELALTYNALDGIIPETLGRLTNLSIFLAAANAISGTLPVAMFNLSNIRFFDIGENKIQCTLYTDLAITMPYVEFFSVRGNKISGQIPISITNASNLHVLQFNDNRLSGKVPSLEKLDKLSTLQLTVNGLGHGREGDLNFLCTLVNNTKLEFLFISDNNFGGVFPKCVSNFSNTLLQLEIDENKIMGRIPDGIGNLVNLEVLYASENQMSGPIPFEIGRLQKLNKFFAHINFLSGTIPHSIGNLSALTIVGLDFNNLQGNIPLSIGKCQSLLELTVSYNNLSGPIPPQLLGVSSMSIILDLSSNHLTGELPVAVENLKNLGQLYVSQNRLSGLLPKTLGSCVSLEKLFLDGNLFEGPIPSSLSSLRGLEALDVSNNNLSGEIPEFLVGFGALRYLNLSFNNFEGVIPSGGIFKNASATLVEGNSKLCGGIPELHMLRCNLKTSSSNSLRLKVAIIVATLGVTLAFTCLLILWIGKKKEKQATATSVENSVLQLSYQSIVRATDGFSTQNLVGSGSFGSVYKGVLEASGAVIVVKVLNLLNRGASMEFPG >KJB69529 pep chromosome:Graimondii2_0_v6:11:2096985:2098199:1 gene:B456_011G028400 transcript:KJB69529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINSNNPNNFSSRTDRKLIERNRRNQMKALYSELNSLVPHYNSRESISLPDQLGEAANYIKRLQTNLERMKQRKDSLISGGQSMNIASSRTGFSPKVPQIQIHEMGSSLVIGLTTDTHSRFIFNDTIRILHEEGADIVNASFSVVGNTAFHTIHLTVGELTPDYHGVAVTRIAERLNKFIYDAHA >KJB73276 pep chromosome:Graimondii2_0_v6:11:53680653:53682552:1 gene:B456_011G225400 transcript:KJB73276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIALFTFIFLLALPFFLFITPLKRSISNHGDCNHLPPGPPSLSLIGHLHMLMFDNSDLLHIFLWKLSKQYGSLLSLRFGCRPTLVVSSAKMAKEVMKTRDLDFCSRPTLCAIRKLSYNVSDLSFSPYSEYWKEIRKICVVHLFSRVQKYRSIREDEVARLVEKICRLSLDSKPVNLSEAMVCFSYSIISRVGFGKRYDEEGAEGSRLHGLLKESQAVFTSFSFSDYFPFMGWVDRFTGFHARLENLFKELDTFYQQLIDEHLNPNRLKPEQEDILDVLLQTWKDRDFLFDLTLDHIKAIIMDVLFAGTETTAVTVIWVMSFLMKNPGCLKKAQEEVRDLIGKKGFLNEDDVQALTYLKAVVKETFRLQPAVPLLLPRETLRKCCIGGYQVPANTLVYVNAWAIGRDPEAWRNPEEFCPERFIGSSIDYKGLNFELIPFGAGRRVCPGMNMAVAAVELALANLLYKFDWEMPTKMNKANLNFDATPGIVIHKKDALILVARKIYD >KJB70263 pep chromosome:Graimondii2_0_v6:11:5545073:5547291:1 gene:B456_011G065400 transcript:KJB70263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMFFWLTGLKGFHNTSIEISTLLVKVMQVGNAVTDDYHDFIGTFEYWWTQGLISDSSYRSLRVACDLGSSTHPSLHCMNALRAAQIEQGNIDPYSIFHSTLQR >KJB68853 pep chromosome:Graimondii2_0_v6:11:3629819:3630040:1 gene:B456_011G046900 transcript:KJB68853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKEMRSKQNWGAVAPARLVSTRKSSTCPMLETIVEEGCENESIFTKKIFLYLPVVLSTVFYFLLYKDVTRCA >KJB72322 pep chromosome:Graimondii2_0_v6:11:38030305:38043769:-1 gene:B456_011G171100 transcript:KJB72322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEYFGNEAEAENLYVKLMEEFERCILCYFAFHWSRASDMITQVLKVESDKETKLKDFVMAATRKKRFERVTKDLKVTRVFSTLVEEMKAIGRVEDDSTYTDVMVPMAHSQRSPVFLLMGGGMGAGKSTVLKDILKESFWGGAAANAVVVEADAFKETDVIYRALASRGHHHDMLQTAELVHQSSTDAASSLLVTALNEGRDVVMDGTLSWEPFVEQTIAMARNVHKHQYRMGVGYKVDEDGTIIENYWEQVQEQQNADQTYRKPYRIELVGVVCDAYLAVVRGIRRAIMVKRAVRVKSQLKSHKMFASAFPRYCQLVDNARLYCTNALGGPPKLIAWKDGENKLLIDPDEIKCLTNVSKLNPAAESIYELYEEPTSLDEPASIWKDIVLNPSGPGILLELKASIQRIENSGVLRLQDFSCL >KJB72323 pep chromosome:Graimondii2_0_v6:11:38031176:38043769:-1 gene:B456_011G171100 transcript:KJB72323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILNYQSKPKPRFLWPKKNKPKISFSHSPVTPSLYIFQLHKQQENMHQENGHGKSIRTPVVAASFIGVVVAAAAAAYNRRKIKAVKEDHQSMIVPLLVRTESGRVGNLERFSHYVARQLGFEDANECPKLCKLAHNYLKNPKECEIKMFEYFGNEAEAENLYVKLMEEFERCILCYFAFHWSRASDMITQVLKVESDKETKLKDFVMAATRKKRFERVTKDLKVTRVFSTLVEEMKAIGRVEDDSTYTDVMVPMAHSQRSPVFLLMGGGMGAGKSTVLKDILKESFWGGAAANAVVVEADAFKETDVIYRALASRGHHHDMLQTAELVHQSSTDAASSLLVTALNEGRDVVMDGTLSWEPFVEQTIAMARNVHKHQYRMGVGYKVDEDGTIIENYWEQVQEQQNADQTYRKPYRIELVGVVCDAYLAVVRGIRRAIMVKRAVRVKSQLKSHKMFASAFPRYCQLVDNARLYCTNALGGPPKLIAWKDGENKLLIDPDEIKCLTNVSKLNPAAESIYELYEEPTSLDEPASIWKDIVLNPSGPGILLELKASIQRIENSGVLRLQDFSCL >KJB70725 pep chromosome:Graimondii2_0_v6:11:9311874:9314480:1 gene:B456_011G088900 transcript:KJB70725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g16860 [Source:Projected from Arabidopsis thaliana (AT5G16860) UniProtKB/Swiss-Prot;Acc:Q9LFL5] MLRSFSRANTKPEFLLQSKPRPSGGAITISLFSSAVAQNQASLTPITSTLLQKCNSLSQAKLIHQQLLVQGLYQDFTTRLVSAYLTHNAPSHSISILERLNPSTSVVFCWNTIIRRSLRLGFSHDVLSLFRGMLRLHCPPDHYTFPFVLKACGELPSFRSGAAVHAVVSTTGFDSNVFVCNALVAMYARCGELDDARQMFEEMHDKGICDIVSWNSIVAAYTQSRDAKNAVELFRIMMNDSEIHPDIVSLVNVLPACGSLGASLHEQANKVFESMEVKDVVSWNAMVTGYSQAGRFEEALGLFEKMREEKIELDVVTWSAVIAGYAQKGHGYEALGVFRQMQLCGSKPNVVTLVSVLSGCASIAALLQGKETHCYAIKCVLNYDWNDPGEDLMVINGLIDMYAKCKSTNVARLMFDSVAPSDRNVVTWTVMIGGYAQHGEANDALKLFSEMLQEGNSMKPNTFTICCALMACAHLAALRFGKQIHAYILRNRYESVMLFMENCLIDMYSKSGDIHAARVVFDNMQHRNSVSWTSLLTGYGMHGYGEEAIKVLDDMRAAGFVPDGITFLVLLYVCSHSGMVDQGIRFFESMHTEYSVTPELDHYACMVDLLGRAGRFGQALELVHSMPMEPAAIVWIALLSGCRIHGNVELGEYAAAQLQELGSENDGSYTLLSNIYANARRWRDVARVRSLMKYSGVKKRPGCSWVQGKKGTATFYVGDRSHPQFEQIYKLLADLIQRIKAIGFVPETNCALHDVDDEEKGDLLFEHSEKLALAYGILVSTPGVPIHITKNLRVCSDCHNAFTYISMIIDIEIILRDSSRFHHFKSGSCSCRGYW >KJB70496 pep chromosome:Graimondii2_0_v6:11:7407113:7407480:-1 gene:B456_011G076200 transcript:KJB70496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESMRMIDVEKLISYSDDLVEVLNDINNLTQCFQHFNDLRSHCDADSNEVHQLLREYEEKIEACKKKTE >KJB72023 pep chromosome:Graimondii2_0_v6:11:26882685:26883400:-1 gene:B456_011G154800 transcript:KJB72023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMINSVVMIRLYYGFLTTLSIGPSYIFLLRARVMEEGEEGTEKRVSATTGFIAGQLMMFISIYYVPLHSTLGKPHTITVLTLLYLLFHFFWNNHKDFFDHRPPTRNSMHNLSIQCNLEILWLKSLVFCYLLHVIFSILLFITCVYYLGRIPSPILTN >KJB69235 pep chromosome:Graimondii2_0_v6:11:859307:866122:1 gene:B456_011G012100 transcript:KJB69235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFSPMKSPPLPPPLIYPLFFHTLLYLFIHVQANCKTGCSLAFASYYVWEGSNLTYISTLFNKPISDILPYNPTVSNPDQIDTGTRIHVPFSCDCLNGDFWGHTFGYLTQFGDTYDKIASNAYVNLTTEDWVRRVNIYDPTRIPDGEVINVTVNCSCGDRRVSRDYGLFATYPIRPGEDLEVIAAEVNVAAELIRRYNPAVNFSAGTGLVFVPAKDQTGNFPPLKISTTGISSKVIAGVSIAGGALLLGFFVHAGIYRRKKVVKASLHPEASPDHYIQLGHGAGGTLKNNSETTALVTSPGLTGITVDKSVEFSYEELAKATDNFNSANKIGQGGFGSVYLAELRGEKAAIKKMDMQASREFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEFIENGNLSQHLRWRDRDPLPWLARVQIGLDSARGLEYIHEHTVPLYIHRDIKSANILIDKNFRAKVADFGLTKLTEYGNTSLQTRLVGTFGYMPPEYAQYGEVSPKVDVYAFGVVLYELISAREAVVKTNEEVTESMGLVALFEDVLNQPDPRQDLQKLVDPRLGDNYSFDAVFKMARLAKACTQENPQLRPSMRTIVVALMTLSSSTDDWDVGSLYENKALMDLMSGR >KJB69730 pep chromosome:Graimondii2_0_v6:11:2934513:2935302:-1 gene:B456_011G039200 transcript:KJB69730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSGMPAAMSMEGLDQLDDDLHVMRVSIGDHKLVNYRSTTSLHEIGSEEEGNGGRTVVVESYVVDVPAGNSKEDRCSFADMIIGCNLRSLARVTEGLANSNNL >KJB71420 pep chromosome:Graimondii2_0_v6:11:17070074:17077831:1 gene:B456_011G122500 transcript:KJB71420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTADLIWKCPHFFNALKERELDLRGNKIAVIENLGATEDQFDTIDLSDNEIVKLDNFPYLKRLGTLLINNNRVTRINPNIGEFLPNLHTLVLTNNRLVNLVEIDPLSSLPKLQFLSLLDNNITKKPNYRLYVIHKLKSLRVLDFKKVKAKERLEAENLFASKEAEEEIKKESKKTVAPKEVPNVSEVAEEEQMPKVVAPTPEQILAIKAAIVNSQTLEEVARLEKALQTGQLPADLKIPGDDTNAAKGGDEKKVSDIQNESNLEPDNMDEDKNEEPAPMEQE >KJB71421 pep chromosome:Graimondii2_0_v6:11:17070125:17077805:1 gene:B456_011G122500 transcript:KJB71421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTADLIWKCPHFFNALKERELDLRGNKIAVIENLGATEDQFDTIDLSDNEIVKLDNFPYLKRLGTLLINNNRVTRINPNIGEFLPNLHTLVLTNNRLVNLVEIDPLSSLPKLQFLSLLDNNITKKPNYRLYVIHKLKSLRVLDFKKVKAKERLEAENLFASKEAEEEIKKESKKTVAPKEVPNVSEVAEEEQMPKVVAPTPEQILAIKAAIVNSQTLEEVARLEKALQTGQLPADLKIPGDDTNAAKGGDEKKVSDIQNESNLEPDNMDEDKNEEPAPMEQVPANQLSALLNGNKGFGYRFS >KJB73791 pep chromosome:Graimondii2_0_v6:11:58051276:58052637:1 gene:B456_011G252400 transcript:KJB73791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRIIEAAQTGDINLLYELIANDPYVLQRIDDVPFFHTPLHVAASAGNIEFMMEIIKLKPSFARKLNQGGFSPLHLALQNDKIQAVHRLLRFDKGLVRVKGREDLTPLHHVVQTGNVDLLIKLLKVCPEAIEDVTVRDETVFHLAVKNDMFEAFQVMVGWLTRSYHESADRWEEKLLSWADIDGNTVLHIAAIRNRPRMVEVLLEHMRRDQINAKNLEGLTALDIQSQYPWNERQADTIIGMLSKAGGLSGSLLPNNSISSTDIKSLKSKMSRFQKFVKKAGRTKGMSHETRNTFLVVTVLIITSTYEACLNPPKMPDDSPCPSLKYQVSLSQDQPLNSHTFLHKTDMNTAPMPSPSAMDVSEKVDLVSESSSFWFFNSCTFCLAMFLITFLLLPHPFSVLILMTLYLFGFSYFSLFHVSSWSF >KJB69111 pep chromosome:Graimondii2_0_v6:11:475489:476755:-1 gene:B456_011G005800 transcript:KJB69111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQVQGSVLGNTNILLCLSMAPSPPFPMLIPQRLHKAKRCCFVAAYSTSSTKATPLSSNHRESGRPLANFPPDIWGDRFLTLSFDISELDRCSTQVEVLKETVKDMLMASTTAPLHNILLINSLCRLGVSYHFETKIEQQLTHCFDTLSQLIQNNDYNLNETAIMFQVFRSHGYNIFSGKCLSYKNYSN >KJB70914 pep chromosome:Graimondii2_0_v6:11:10392853:10397405:1 gene:B456_011G095600 transcript:KJB70914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAFVYVILGGGVAAGYAALEFTRKGVSPGELCIISEEPVAPYERPALSKGFLLPESPARLPSFHTCVGANEERLTPKWYKEHGIELVLGTRVKSVDVRRKKLLTATGETISYKILLIATGARALKLEEFGVDGSEAENVCYLRDLADANGLVNVMQSCSSGNAVVIGGGYIGMECAASLAINKINVTLVFPEVHCMARLFTPKIANYYEEYYQAKGVKFVKGTVLTSFEFDSSGKVMAVNLRDGSQLPADLVVVGIGIRPNTSLFEGQLTLEKGGIKVNGKMQTSNDSVYAVGDVAAFPVKLFGETRRLEHVDSARKSAKHAVAAIMEPKKSGEFDYLPFFYSRVFGLSWQFYGDNVGEAVHFGDYLSGTFGAYWISKGHLVGSFLEGGTKEEYEAIAKATSLKPPVEDLAELGQQGLNFALTISKKPQPALPIEVSHSTSGLVLEKPMYAWYAAAGVVLAASIAGFAYWYGRRRRRW >KJB71387 pep chromosome:Graimondii2_0_v6:11:16535304:16535746:-1 gene:B456_011G120100 transcript:KJB71387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAASDYGALTAAVTDFQWSQNFKEPPSVWGEMLHPIRVALASCTRFFEAMSATRESFATLQNLRVGHFATPLPTTPAKETQSTQLFQLGKTKRVFLL >KJB69219 pep chromosome:Graimondii2_0_v6:11:797991:803042:1 gene:B456_011G011200 transcript:KJB69219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTAPSIPPPPPMPPSLMEEEPSEADIDKDVLCPICMQIIKDAFMTACGHSFCYMCILTHLRNKSDCPCCSRYLTNNHIFPNLLLNKLLKKISARQSARNASPVENLRQTLLQGCEVSAKELDSLLSLLVDKKMKMEQSEAETNMQILLDFLNCLRKKKLEELTQIQNDLQYIKEDTTAVKKRTMELYTAKERCSMNLRMLVDDFSAEKPLPSLIDQYNNGAISGGRNSQGWIGSARSQSRVSYQANSPGFRSKDANGGSDSTCNTDSGLTVARKRRIHAQFNDLQECYLQKRQHWTKQFNKQEDEGPAAMDVDGYNPGLQDFQAVLTTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDRDDEMFAIAGVSRRIKVFEFSAIVNEPADVHCPIVEMSTRSKLSCLSWNKYTKNHIASCDYDGIVTVWDITTRQSLMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVLNIDMKANICSVKYNPGSSVHVAVGSADHRVHYYDLRNISKPLYVFGGHKKAVSYVKFLSNHELASASTDSTLRLWDVKENLPLRTFKGHKNEKNFVGLTVNSEFIACGSETNEVFAYHKAISKPAASYKFGSDMAGVDEEAGSYFISAVCWKSDSPTLLVANSQGTIKVLVLAA >KJB69217 pep chromosome:Graimondii2_0_v6:11:797834:803731:1 gene:B456_011G011200 transcript:KJB69217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTLVPTATKSEPPSPPPPMESTAPSIPPPPPMPPSLMEEEPSEADIDKDVLCPICMQIIKDAFMTACGHSFCYMCILTHLRNKSDCPCCSRYLTNNHIFPNLLLNKLLKKISARQSARNASPVENLRQTLLQGCEVSAKELDSLLSLLVDKKMKMEQSEAETNMQILLDFLNCLRKKKLEELTQIQNDLQYIKEDTTAVKKRTMELYTAKERCSMNLRMLVDDFSAEKPLPSLIDQYNNGAISGGRNSQGWIGSARSQSRVSYQANSPGFRSKDANGGSDSTCNTDSGLTVARKRRIHAQFNDLQECYLQKRQHWTKQFNKQEDEGPAAMDVDGYNPGLQDFQAVLTTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDRDDEMFAIAGVSRRIKVFEFSAIVNEPADVHCPIVEMSTRSKLSCLSWNKYTKNHIASCDYDGIVTVWDITTRQSLMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVLNIDMKANICSVKYNPGSSVHVAVGSADHRVHYYDLRNISKPLYVFGGHKKAVSYVKFLSNHELASASTDSTLRLWDVKENLPLRTFKGHKNEKNFVGLTVNSEFIACGSETNEVFAYHKAISKPAASYKFGSDMAGVDEEAGSYFISAVCWKSDSPTLLVANSQGTIKVLVLAA >KJB69218 pep chromosome:Graimondii2_0_v6:11:797991:801405:1 gene:B456_011G011200 transcript:KJB69218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTAPSIPPPPPMPPSLMEEEPSEADIDKDVLCPICMQIIKDAFMTACGHSFCYMCILTHLRNKSDCPCCSRYLTNNHIFPNLLLNKLLKKISARQSARNASPVENLRQTLLQGCEVSAKELDSLLSLLVDKKMKMEQSEAETNMQILLDFLNCLRKKKLEELTQIQNDLQYIKEDTTAVKKRTMELYTAKERCSMNLRMLVDDFSAEKPLPSLIDQYNNGAISGGRNSQGWIGSARSQSRVSYQANSPGFRSKDANGGSDSTCNTDSGLTVARKRRIHAQFNDLQECYLQKRQHWTKQFNKQEDEGPAAMDVDGYNPGLQDFQAVLTTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDRDDEMFAIAGVSRRIKVFEFSAIVNEPADVHCPIVEMSTRSKLSCLSWNKYTKNHIASCDYDGIVTVWDITTRQSLMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKITSL >KJB72426 pep chromosome:Graimondii2_0_v6:11:41555750:41557813:1 gene:B456_011G177800 transcript:KJB72426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSKLNRSFPTRLSRHFSLSAPTFLKDNQTSIGISSIKPHNYRISVFMKNGLIQEAQNLFDKMPQKNVVTWNTMIRGYFLNGFFSKAIAMFQETPERDIFTCNIVISGLMHDHDVQGARQVFEGMVCRDVVTWNAMIGGYFINGMLDKGLKIFEEMPVKDVISWNLVIEGLVKCGKLDLAEEYFKRMSYRDVASWTVMISGLAKAGRMADACKYFEEMPVKDVRAWNVILEGYIGIECVDLAESIFHEMPGKDLDSWKLLINGLVRCRRLVNALGYFMKMPTKCCKTLNSILLGLIRNGHVKEGHAFLEKQPYNNVVSWTNVVVGYFGIGEVRSAVKVFESMPIRDVTMWNVMICGLGETNFGEEGSKFFIRMKESGFHPDEATFTSILTICSNLPSLDLGNQIHAQVVKSGLNHFTAISNALVTMYERCGNMHSALLEFISMQRHDFISWNSIICGFAHHGNAEKALEMFERMRLTDVKPNHITFIGVLSACSHAGLIDKGKYYFDYMKSKCSLQPTTEHYTCIVDLLGRFGLIDEAMSFLNQMRADGIEVPASVWGALLGACRIHNNMELGMIAAERVLEKEPRNSGIYLILAEMYLSCGRRDEAESIRARMEEKGVRKQQGRSWVEVNNSS >KJB69089 pep chromosome:Graimondii2_0_v6:11:410436:416407:-1 gene:B456_011G005000 transcript:KJB69089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKAAKSKEAPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNVPDERFEWLCQLFKPKSEVSAFLEIHDIAGLVRGAHEGQGLGNNFLSHIRAVDGIFHVLRAFEDSDIIHVDDSVDPVRDLETISAELRLKDIEFMERKIEDIEKSMKRSNDKQLKIEHELCERVKAWLADEKDVRLGDWKAADIEILNTFQLLSAKPVVYLVNMTEKDYQRKKNKFLPKIHAWVQEHGGEPIIPFSGVFERNLADMEPADAAKYCEENKVQSALPKIIKTGFSAINLIYFFTAGPDEVKCWQIRRQSKAPQAAGAIHTDFERGFICAEVMKFEDLKELGSESAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >KJB69090 pep chromosome:Graimondii2_0_v6:11:410452:416338:-1 gene:B456_011G005000 transcript:KJB69090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKAAKSKEAPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNVPDERFEWLCQLFKPKSEVSAFLEIHDIAGLVRGAHEGQGLGNNFLSHIRAVDGIFHVLRAFEDSDIIHVDDSVDPVRDLETISAELRLKVKAWLADEKDVRLGDWKAADIEILNTFQLLSAKPVVYLVNMTEKDYQRKKNKFLPKIHAWVQEHGGEPIIPFSGVFERNLADMEPADAAKYCEENKVQSALPKIIKTGFSAINLIYFFTAGPDEVKCWQIRRQSKAPQAAGAIHTDFERGFICAEVMKFEDLKELGSESAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >KJB69092 pep chromosome:Graimondii2_0_v6:11:412152:416338:-1 gene:B456_011G005000 transcript:KJB69092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKAAKSKEAPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNVPDERFEWLCQLFKPKSEVSAFLEIHDIAGLVRGAHEGQGLGNNFLSHIRAVDGIFHVLRAFEDSDIIHVDDSVDPVRDLETISAELRLKDIEFMERKIEDIEKSMKRSNDKQLKIEHELCERVKAWLADEKDVRLGDWKAADIEILNTFQLLSAKPVVYLVNMTEKDYQRKKNKFLPKIHAWVQEHGGEPIIPFSGVFERNLADMEPADAAKYCEENKVQSALPKIIKTGFSAINLIYFFTAGPDEVFIFNAWLSLNAYFWYFSCLFCDPCLGFVAVPPNNVISKV >KJB69091 pep chromosome:Graimondii2_0_v6:11:411663:416338:-1 gene:B456_011G005000 transcript:KJB69091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKAAKSKEAPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNVPDERFEWLCQLFKPKSEVSAFLEIHDIAGLVRGAHEGQGLGNNFLSHIRAVDGIFHVLRAFEDSDIIHVDDSVDPVRDLETISAELRLKDIEFMERKIEDIEKSMKRSNDKQLKIEHELCERVKAWLADEKDVRLGDWKAADIEILNTFQLLSAKPVVYLVNMTEKDYQRKKNKFLPKIHAWVQEHGGEPIIPFSGVFERNLADMEPADAAKYCEENKVQSALPKIIKTGFSAINLIYFFTAGPDEVKCWQIRRQSKAPQAAGAIHTDFERGFICAEVGLGSRLSVTLS >KJB72194 pep chromosome:Graimondii2_0_v6:11:31513775:31516061:-1 gene:B456_011G164200 transcript:KJB72194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCCLNMPNMFPTLASEAFLSSRCIWVNGPVIVGAGPSGLAVGAGLKNQGVPFIILERANCIASLWQNRTYDRLKLHLPKQFCQLPNFPFPEDFPEYPTKYQFISYLESYTKHFDINPHFNETVQSANYNATFGLWRVKTIATGGPNPIGVEYICRWLVVATGENAEKVVPEFEGLQDFGGHVTHACDYKSGQSYTGERVLVVGCGNSGMEVSLDLCNHNANPSMVVRSSVHVLPREVLGKSTFELAVSMMKWLPLWLVDKILLILAHLILGNTEKYGLKRPRVGPLELKNTAGKTPVLDIGAFQKIRSGEIKIVPGIKKFSRGRVELVNGETLEIESVILATGYRSNVPSWLKENELFSSEGVPKNPFPNGWKGKGGLYAVGFTRRGLSGASLDAISVAHDIAKSWKEETKQKKKSMAARHRRCISHF >KJB73280 pep chromosome:Graimondii2_0_v6:11:53727894:53732691:1 gene:B456_011G225700 transcript:KJB73280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSPSCSSSSNSCFALPSPSSSSLSPSPSSSSSSSSCENPHDQSEKPKAKRARKHQNTDNNACLNNANNNGGRRSSIYRGVTRHRWTGRFEAHLWDKSSWNNIQNKKGRQVYLGAYDSEEAAARTYDLAALKYWGAETILNFPKERYEKEMEEMKKVTKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYNTQEEAAAAYDMAALEYRGANAVTNFDISHYIERLKQKGILLVDRTEEQIPNPDEARRVESEENGPQPLQEQQERQEKQEQELNQEEAEKSQHFQYMQMQLPLCIDSPMTTMAGIEPTDSNELAWSFCMDSGLTSFLVPDIPLDGTAELPNLFDHDTGFEDNFDLIFDVGPPNKEEANRKCVMDDDVIGVSVSMNMEDDNRKERLSSPSSDSPCSSSTTSVSCNYSV >KJB71814 pep chromosome:Graimondii2_0_v6:11:22503343:22506977:1 gene:B456_011G142500 transcript:KJB71814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase-like protein ACR4 [Source:Projected from Arabidopsis thaliana (AT3G59420) UniProtKB/Swiss-Prot;Acc:Q9LX29] MTVLCWGDETSSRVISLIPREMRFQKVAAGGYHVCGISEGLNSRVFCWGRSLNLEEELSVPVAYSRQGNVDLPPKYPMLTVVGGKFHACGIKSYDHQVVCWGFILKPSTPAPKGVKVYAIAAGNYFTCGVIAEKSFLPVCWGVGFPTSLPTAVSPGLCKDTPCAPGSYEVSPENAPCKSPNLHICMPCSIGCPAEMYQKSGCTLKSDRVCDYNCSSCNSVECVSNCSSNSEATNGSKNERFWSLQLPIIVAEIAFAVLLLIIVSLTAVLYVRYRLQNCRCKAKESKSKKANEIASYRKENVKVRPDLDELKIRRARMFNYEELERATGGFKEESVVGKGSFSCVYKGVLKDGTVVAVKKAIMSSDKQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLHQHLHGKNKALKEQLDWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPADSSCPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEEGNIVEWAVPLIKSGDISAVLDPVLKPPADIEALKRIANVASKCVRMRGKERPSMDKVTTALERALAQLMGSPCSEQPILPTEVILGSNRMHKKSSQRSSNRSVSETEVAEDVEDQRFEFRAPSWITFPSVTSSQRRKSSVSEADVEGKNIEGRNMGNVGYGGDGLRSLEEEIGPASPQQSLFLQHNF >KJB71815 pep chromosome:Graimondii2_0_v6:11:22503344:22506959:1 gene:B456_011G142500 transcript:KJB71815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase-like protein ACR4 [Source:Projected from Arabidopsis thaliana (AT3G59420) UniProtKB/Swiss-Prot;Acc:Q9LX29] MGVISLLIRDSSVWVSLGALLNLWWVVSGLGSMSSIAISYGENGPVFCGLKPDGSHLVTCYGSNAAVIYGTPSHFPFVGLTAGDGFVCGLLMDSNQPYCWGSSGYIEMGVPQPMIKGAEYVEISAGDYHLCGLRKPLTGKHRNYALVDCWGYNMTKNYMFDGQIESLSAGSEFNCGLFSQNMTVLCWGDETSSRVISLIPREMRFQKVAAGGYHVCGISEGLNSRVFCWGRSLNLEEELSVPVAYSRQGNVDLPPKYPMLTVVGGKFHACGIKSYDHQVVCWGFILKPSTPAPKGVKVYAIAAGNYFTCGVIAEKSFLPVCWGVGFPTSLPTAVSPGLCKDTPCAPGSYEVSPENAPCKSPNLHICMPCSIGCPAEMYQKSGCTLKSDRVCDYNCSSCNSVECVSNCSSNSEATNGSKNERFWSLQLPIIVAEIAFAVLLLIIVSLTAVLYVRYRLQNCRCKAKESKSKKANEIASYRKENVKVRPDLDELKIRRARMFNYEELERATGGFKEESVVGKGSFSCVYKGVLKDGTVVAVKKAIMSSDKQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLHQHLHGKNKALKEQLDWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPADSSCPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEEGNIVEWAVPLIKSGDISAVLDPVLKPPADIEALKRIANVASKCVRMRGKERPSMDKVTTALERALAQLMGSPCSEQPILPTEVILGSNRMHKKSSQRSSNRSVSETEVAEDVEDQRFEFRAPSWITFPSVTSSQRRKSSVSEADVEGKNIEGRNMGNVGYGGDGLRSLEEEIGPASPQQSLFLQHNF >KJB72788 pep chromosome:Graimondii2_0_v6:11:47800121:47801060:1 gene:B456_011G197800 transcript:KJB72788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVSYEFEVTSPIAPARLFKAFVLEAAKVWPTAAPHAVKSVELEGDASPGSIVKITFVEGLPYQYMKHQIGGHDENNFSYSYSMIEGGPLGDKLEKISYENQFVAAADGGSICKSSIKYYTTGDYVITEDEIKTLIKGSEVVYKAIEAYLLANPDACN >KJB70727 pep chromosome:Graimondii2_0_v6:11:9318909:9323356:-1 gene:B456_011G089100 transcript:KJB70727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSVGLEDIKNETIDLERIPVHEVFQKLKCTRDGLSSEEGQKRLHIFGPNKLEEKQESKVLKFLGFMWNPLSWVMEIAAIMAIALANGGGKPPDWQDFIGIVALLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAATLVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVNKNAGDEVFSGSTVKQGELEAVVIATGVHTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCICSIGVGMLIEIVVMYPIQQRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLVEVFTNDVDKDMVILLAARASRVENQDAIDACIVGMLGDPKEARAGITEVHFFPFNPVDKRTAMTYIEADGSWHRVSKGAPEQIIDLCNLRDDVKRRTHDIIANFADRGLRSLAVARQTVKEKNKDAQGEAWEFVGILPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKGDTIDTVGVDELIEKADGFAGVFPEHKYEIVKRLQQRNHICGMTGDGVNDAPALKKADIGIAVDDATDAARGASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLKEIFAMGIVLGTYLACMTVVFFWAANDSNFFQDKFGVRSIRHNQDELTAAIYLQVSIVSQALIFVTRSRSWSFIERPGLLLVVAFILAQLIATLLAVYANWGFARIKGIGWGWAGVIWLYSLVFYVPLDVLKFLIRYSLSGKAWDNLLQNKTAFTTKKDYGKGEREAQWAMAQRTLHGLTPPEMTQLYNEETNYRELSEIAEQARKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >KJB73883 pep chromosome:Graimondii2_0_v6:11:58825690:58826422:1 gene:B456_011G2584002 transcript:KJB73883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSKKHFQASSSFFLVILLSFFNLQRLNLLSLATASPVVRGNDTDQQALLQYKAKITGDQLNIMESWNSSIHFCQWIGVTCGRKHQRVTKLKLRFLKLSGSLSPYIGNLSFLRELDLAANSFHNQIPQEIGGLRRLETLDLSNNSISGEIPSNLSACSKLIFVDMTNNQLTGEIPALLGLLSNLKVLGFFNNSLRGNIPPSLGNLSSLERLGLTYNALSGIIPESFGRLRNLSFFTIFGNAIS >KJB74303 pep chromosome:Graimondii2_0_v6:11:61841344:61841914:1 gene:B456_011G286000 transcript:KJB74303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKLLHVIGENNNFSFSYGKIQYIYERSNVLLNTYFLSSKNYYRYQLIQQLDRWSFRIISFGFIFLTIGTLSGAVWANEACGSYWNWDPKENWEFIT >KJB73013 pep chromosome:Graimondii2_0_v6:11:50304530:50304634:-1 gene:B456_011G209300 transcript:KJB73013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNILAFIATVLFILVPTTFLLRIYVKNVSQSD >KJB73178 pep chromosome:Graimondii2_0_v6:11:52804471:52821387:-1 gene:B456_011G220100 transcript:KJB73178 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:Projected from Arabidopsis thaliana (AT1G29940) UniProtKB/TrEMBL;Acc:A0A178W5B4] METQRRKRPGQPPSVKELEELKELFKHHIESFDYMIDEGLEIMLKRVKPVQIFDPNSTKTLRIWLDHPEIFPPQKDRSSKTSAGALLPFECRQAKISYTGSLHMDVCFQWNGGVVIREKVNFGEFPIMLRSKRCYLQGANPEKLVACKEEAREMGGYFILNGLERVVRALILPKRNYPMSLERNSFRERREGYTDKAVVIRCVRDDLSSVTVKLYYLRNGSARLGFWIQGKEYMLPVGIVLKALIDTNDHEIYTKLTCCYNEKDGNIKGAVGSQLVGERAKIILDEVRHLALFTKDQCLLHIGEHFQPVMEGMETESYSSVADAVLRNRIFVHLNDNNDKFNLLIFMVQKLFSLVDQTSAPDNSDSLQNQEILLPGHLITIYLKEKLEDWLRKGKKLVEDKINNNSQNFDFCSLKDVKKVMDKNSSKQIGTAIENLLKTGRLITQTGLDLQQRAGFTVQAERLNYLRFISFFRSVHRGASFAGLRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTCTCRITSYYNSQGNIRDFFKIRMSILDVLVGVGMTTSWPKVDHAGPPRSLPVLLDGCVIGSLSSGEADKVVAHLRRLKVSAASVIPDDLEVGYVPLSLGGTYPGLYLFTSPSRFIRPVRNISIPSSDGKDIEHIGPFEQVFMEIKCPDGGNGGRSTTFPATHEELMPTAMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSLQALHSRADQKLYHLQTPQTPIVRTKTYTKYGLDDYPSGTNAIVAVLAYTGYDMEDAMILNKSAVERGMCRGQIYQTETIDLCEGKSNQGQRIFKREHSDKAISSFVDSDGLPYVGQVIHGNEPYCSTINQVTNSKRVYNHKGSEMAIVDYVAVDTKNKQHLEKGGSLHGKFVDATPFSGAVKGANGKTETESESLVDELGSMLRARGFNCHGVEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKYQVRATGQVDQITRQPIKGRKRGGGIRFGEMERDSMLAHGAAYLLHDRLHTCSDYHIADVCSLCGSILTTAIIQPQKRVVREIGGLPPARAPKKVMCHACQTSKGMETVAMPYVFRYLAAELAAMNIKMTIQLGSEAGG >KJB73177 pep chromosome:Graimondii2_0_v6:11:52804876:52821291:-1 gene:B456_011G220100 transcript:KJB73177 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:Projected from Arabidopsis thaliana (AT1G29940) UniProtKB/TrEMBL;Acc:A0A178W5B4] METQRRKRPGQPPSVKELEELKELFKHHIESFDYMIDEGLEIMLKRVKPVQIFDPNSTKTLRIWLDHPEIFPPQKDRSSKTSAGALLPFECRQAKISYTGSLHMDVCFQWNGGVVIREKVNFGEFPIMLRSKRCYLQGANPEKLVACKEEAREMGGYFILNGLERVVRALILPKRNYPMSLERNSFRERREGYTDKAVVIRCVRDDLSSVTVKLYYLRNGSARLGFWIQGKEYMLPVGIVLKALIDTNDHEIYTKLTCCYNEKDGNIKGAVGSQLVGERAKIILDEVRHLALFTKDQCLLHIGEHFQPVMEGMETESYSSVADAVLRNRIFVHLNDNNDKFNLLIFMVQKLFSLVDQTSAPDNSDSLQNQEILLPGHLITIYLKEKLEDWLRKGKKLVEDKINNNSQNFDFCSLKDVKKVMDKNSSKQIGTAIENLLKTGRLITQTGLDLQQRAGFTVQAERLNYLRFISFFRSVHRGASFAGLRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTCTCRITSYYNSQGNIRDFFKIRMSILDVLVGVGMTTSWPKVDHAGPPRSLPVLLDGCVIGSLSSGEADKVVAHLRRLKVSAASVIPDDLEVGYVPLSLGGTYPGLYLFTSPSRFIRPVRNISIPSSDGKDIEHIGPFEQVFMEIKCPDGGNGGRSTTFPATHEELMPTAMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSLQALHSRADQKLYHLQTPQTPIVRTKTYTKYGLDDYPSGTNAIVAVLAYTGYDMEDAMILNKSAVERGMCRGQIYQTETIDLCEGKSNQGQRIFKREHSDKAISSFVDSDGLPYVGQVIHGNEPYCSTINQVTNSKRVYNHKGSEMAIVDYVAVDTKNKQHLEKVNIRFRHPRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSGVTGMRPDLIINPHAFPSRMTIAMLLESVAAKGGSLHGKFVDATPFSGAVKGANGKTETESESLVDELGSMLRARGFNCHGVEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKYQVRATGQVDQITRQPIKGRKRGGGIRFGEMERDSMLAHGAAYLLHDRLHTCSDYHIADVCSLCGSILTTAIIQPQKRVVREIGGLPPARAPKKVMCHACQTSKGMETVAMPYVFRYLAAELAAMNIKMTIQLGSEAGG >KJB71142 pep chromosome:Graimondii2_0_v6:11:12634612:12637507:-1 gene:B456_011G107900 transcript:KJB71142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVRETSAWVARNSSHVTIDSSGLEKVVETMKESIPKVEWDYEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLNYDHLAKGLKEALLNDHSAFDADRLQKYTGPQLRELLKWPRSLPLEEERVRLLHEVGFELERSFEGKASKLVESCGKSAVKLVALVTRHFPGFRDHSMYKGHQVFLYKRAQIFAADLWGAFKGQGYGEFNDIGSITMFADYIVPAVLQQLGVLKYSSTLANAIQASSEIGAGTEEEVELRACSIFAVEKMRELLSIKCGKQVLSVELDLWLWSVGVQCPSLQHHRTLSIYY >KJB71143 pep chromosome:Graimondii2_0_v6:11:12635087:12637316:-1 gene:B456_011G107900 transcript:KJB71143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVRETSAWVARNSSHVTIDSSGLEKVVETMKESIPKVEWDYEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLNYDHLAKGLKEALLNDHSAFDADRLQKYTGPQLRELLKWPRSLPLEEERVRLLHEVGFELERSFEGKASKLVESCGKSAVKLVALVTRHFPGFRDHSMYKGHQVFLYKRAQIFAADLWGAFKGQGYGEFNDIGSITMFADYIVPAVLQQLGVLKYSSTLANAIQASSEIGAGTEEEVELRACSIFAVEKMRELLSIKCGKQVGWNSLNMLVC >KJB69428 pep chromosome:Graimondii2_0_v6:11:1688488:1691991:-1 gene:B456_011G023500 transcript:KJB69428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGAEHKSQSDGRVWGFFKLPFRQTGNATGTTSSSSLSSNLYAETQPQNEGSNRHASANSVSAVAKSLLPTRRRLKLDPANKLYFPYEPGKQVRSAVRIKNTSKSYAAFKFQTTAPKSCFMRPPGAILAPGEGIIATVFKFVEPPENNEKPMDQKRKVKFKIMSLKVKGPMDYVSELFDDLKDQVAIEQILRVVFLDPGRPCPALEKLKRQLADADAELEARKKPPEDAGPKIIGEGLVIDEWKERRERYLARQQVEGVDSV >KJB73700 pep chromosome:Graimondii2_0_v6:11:57094446:57095054:-1 gene:B456_011G244600 transcript:KJB73700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAALPPPASSLKLTATTSFPSPRALFLALRKPQISIIPRATPDSDADSSTEPDSAPSSDTSAESDPFESRLSQVRLRYRIGAGKKAERRKSKKSGSVSTSSSSSSIYLPPVPLKEAISNGLKVDFGFSPYSERINGRIAILGLTALVLVELATGKSVISYHTPAIIFIQIYFVAAVGALFVKYEKEKVSVWPPSQSSSPQN >KJB74335 pep chromosome:Graimondii2_0_v6:11:62044057:62044338:1 gene:B456_011G288900 transcript:KJB74335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEIATVNLVAHHAQRWRFRDLSGTLPAGRIVLSVTEDEQQWWQFECCRIGGGMHSISGNAWSDFVGARAHAMLTLYAQQDGENFNRVWVRAG >KJB69600 pep chromosome:Graimondii2_0_v6:11:2446148:2447280:1 gene:B456_011G033100 transcript:KJB69600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSFHENDNKENIPPFSSKKPTFLLTKPSSSNNKKRRLRKPLQDITNLILPQIFSTPVQSDTTVLVSSPALASQPNFKKRRDEDKLGSICRKTHFVYKSVNFR >KJB72264 pep chromosome:Graimondii2_0_v6:11:34001407:34004460:1 gene:B456_011G167800 transcript:KJB72264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVALVSSYDKEDMASAKVLHSCTILTHRIITNRLHILFHFTVTLLLLYYRISHFFNGNSLPALSWALITISEIIFTFIWCLTQAFRWRPLSRTVSIDEIPANMNLEGLDVFVCTADPRKEPTMEVMNTIISALALDYPPEKLAVYLSDDGGSYITLYALKEAFAFSKRWLPFCMKYRIKTRCPEAFFSLAKYEELSITDEFSAKKDELEIIHGDTYMDDNENDEESTKMPLLVYVSRERRPSKPHRFKAGALNALLRVSEKFSNGPYLLVLDCDMYCNDPTSARQAMCFHLDPQMSPSLAFVQFPQMFYNISKNDIYDNQARSAYRIKWQGMDGIRGPSLSGTSFYLKRKALYGSPNCEGTQRFLYSNKHPSEAQRTSGELMKFSSEEEIAILKEAKLLASCNYERNTKWGEEIGFSYESLLESTFTGYLLHCRGWTSVYLCPTRPCFLGCTTIDMKDALVQLVKWSSGLLQIGLSRFSPLSYGVSRMSILQSMCYGYFIYQPLYAIAFLIYGIIPQLCFLNGIPLYPKVLSPWFVVFSTVYLSAIGQQLHEVLSDGGTTLTWWNEQRIWVIKSVSGSCFGCLDVFMKWSGIEKTTFRLTNKAVDKDKLEKYEKGEFDFQGATMFMIPLSALAILNMACFIGGLGGIILRRNYEEMFGQIFLSLFIMALSYPMIQGLLKRSKKEKQ >KJB69257 pep chromosome:Graimondii2_0_v6:11:890065:891499:-1 gene:B456_011G012600 transcript:KJB69257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMTMMDPLIVFTYKPQHKFSSFLCVCLLMIITFIEAQSNLCRTSCGNIPINYPLGIDDGCGSPYYRHILDCSELGKLELRTPSGRYPVHSISYSDPHVLVTDPYMWNCQDGDNFRPTRPFSLDTSTRFSLSPQNDYLFFNCSEDYVIVEPKPIFCERFPDRCDSSCDSASYLCRHLPECSTALDRSSCCSYYPKATESLRLMLKYCASYTSVYWRTMGTSTTTDSPTSQVPEYGIRVDFDTPVTTHCLQCQDPSKGAGTCGFDTQTQNFLCLCKKGNVTSYCKDLEISEHRKASVIAGAVMGVSAAGAVGIGVGIWFFKKVRAKAPITCGVQSNENRLF >KJB73631 pep chromosome:Graimondii2_0_v6:11:56771948:56775059:-1 gene:B456_011G241500 transcript:KJB73631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSNNIGQFGDTTLTKVFVGGLAWETPREAMREHFEKYGEILEAVIISDKVTGRSKGYGFVTFKEAEAAKKACEDANPIINGRRANCNLASLGARRPRSASTAPPQQGSNVGPRATSAAPANHVQWYYPAVGTPASPFHHQHHQAVPFYGYSPTYIPTDMSYNHKLSYSGGSYMNGHFSQVYPAGQAVVGANTLMPMYPFYHYHQSQTMGLPAAATHIYPSTTAGPITTIPAAAAAAAIISKPAAAMAPNSGTVGRGESLKKVG >KJB73632 pep chromosome:Graimondii2_0_v6:11:56771992:56775059:-1 gene:B456_011G241500 transcript:KJB73632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSNNIGQFGDTTLTKVFVGGLAWETPREAMREHFEKYGEILEAVIISDKVTGRSKGYGFVTFKEAEAAKKACEDANPIINGRRANCNLASLGARRPRSASTAPPQQGSNVGPRATSAAPANHVQWYYPAVGTPASPFHHQHHQAVPFYGYSPTYIPTDMSYNHKLSYSGGSYMNGHFSQVYPAGQAVVGANTLMPMYPFYHYHQSQTMGLPAAATHIYPSTTAGPITTIPAAAAAAAIISKPAAAMAPNSVCLAVE >KJB73630 pep chromosome:Graimondii2_0_v6:11:56771948:56775015:-1 gene:B456_011G241500 transcript:KJB73630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSNNIGQFGDTTLTKVFVGGLAWETPREAMREHFEKYGEILEAVIISDKVTGRSKGYGFVTFKEAEAAKKACEDANPIINGRRANCNLASLGARRPRSASTAPPQQGSNVGPRATSAAPANHVQWYYPAVGTPASPFHHQHHQAVPFYGYSPTYIPTDMSYNHKLSYSGGSYMNGHFSQVYPAGQAVVGANTLMPMYPFYHYHQSQTMGLPAAATHIYPSTTAGPITTIPAAAAAAAIISKPAAAMAPNSVGRGESLKKVG >KJB71565 pep chromosome:Graimondii2_0_v6:11:19167211:19169565:-1 gene:B456_011G129900 transcript:KJB71565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETCSPSNKLRFLLGMVLFLLMNMTTAQLSSTFYSTTCPKALATIKSAVNSAVSNEARMGASLLRLHFHDCFVNGCDGSILLDDTANMTGEKTAVPNSNSVRGFEVIDTIKSQVESLCPGVVSCADIVAVAARDSVVALGGPSWTVLLGRRDSTTASLSAANSNIPAPTLNLSGLITAFSNKGFTAKEMVALSGSHTIGQARCTTFRTRIYNETNIDSTFATSLRANCPSTGGDNSLSPLDTTSSTSFDNAYFKNLQGQKGLLHSDQQLFSGGSTDSQVNAYSSNLGSFTTDFANAMVKMGNLSPLTGTSGQIRTNCRKTN >KJB71566 pep chromosome:Graimondii2_0_v6:11:19167236:19168620:-1 gene:B456_011G129900 transcript:KJB71566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEKTAVPNSNSVRGFEVIDTIKSQVESLCPGVVSCADIVAVAARDSVVALGGPSWTVLLGRRDSTTASLSAANSNIPAPTLNLSGLITAFSNKGFTAKEMVALSGSHTIGQARCTTFRTRIYNETNIDSTFATSLRANCPSTGGDNSLSPLDTTSSTSFDNAYFKNLQGQKGLLHSDQQLFSGGSTDSQVNAYSSNLGSFTTDFANAMVKMGNLSPLTGTSGQIRTNCRKTN >KJB71917 pep chromosome:Graimondii2_0_v6:11:23981881:23984045:1 gene:B456_011G147300 transcript:KJB71917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNVGRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMIALIHHAINSGVTFLDTSDVYGPHTNEILLGKALKDGVRNKVELATKFGIDYTDGKREIRGDPVYVRAACEGSLKRLGVDCIDLYYQHRVDTRVPIEVTIGELKKLVEEGKVKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEADIIPTCRELGIGIVAYSPLGRGFFSSGPKMMETLSEGDFRKYLPRFQPENMEHNKRLYERVNEIAVKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNIGALSVKLTPEEMAELESIASADSVKGDRYGGTVATYYESDTPPLSSWKP >KJB71918 pep chromosome:Graimondii2_0_v6:11:23982050:23984011:1 gene:B456_011G147300 transcript:KJB71918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNVGRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMIALIHHAINSGVTFLDTSDVYGPHTNEILLGKALKDGVRNKVELATKFGIDYTDGKREIRGDPVYVRAACEGSLKRLGVDCIDLYYQHRVDTRVPIEVTIGELKKLVEEGKVKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEADIIPTCRLELGIGIVAYSPLGRGFFSSGPKMMETLSEGDFRKYLPRFQPENMEHNKRLYERVNEIAVKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNIGALSVKLTPEEMAELESIASADSVKGDRYGGTVATYYESDTPPLSSWKP >KJB69152 pep chromosome:Graimondii2_0_v6:11:632132:635428:-1 gene:B456_011G008400 transcript:KJB69152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNIYRRANSERIPKSSGSGSPKAEVGEIDTRAPFQSVKAAVSLFGEVAVTKERRTPRRSRLSSENVIDKETQLLLAEKEFNNMKQKLESAEATKAKAESELESAKKTLQDLAEKLKAVTESKQSAIEATEAVREQGTQLEFQKPQNNQECEGRKKELESAREQYIAVATELDAKKQELNKVRQDFDTALEVKLAAFQQAAEAQLSAKMHSERVTELTKQITVMKEAIKQVKFATQQVYKEQESIAADKEMLQKSYESAKEEAEKKLKAAREAYDPELARSLEEKLKETTEEVEALQDEMKKVHAMEMDSVRVLTSELNEATTTLQMVADEECSLRNLVSSIRMELEEVKRQQRESEMKIQNESEKEALSADHNIRLQQLLLETENARNETQQMKKNMETLKKEAEEAETAVKDLKQKLELALQQAEEAKAAEKKALDEMRLLEKGTGRGKIKISKEEFEALKKKVEEYGNSSEQKIAAAMAELEAINASKNEADEKVVENLQAIEEIKAATEMAEKSAMTAEAAKSVLEGELRRRRQQEEVVATSS >KJB72359 pep chromosome:Graimondii2_0_v6:11:39185627:39185922:1 gene:B456_011G173600 transcript:KJB72359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSYDWYFTFVQGFVYLFLIYLHGFSPKKMVNPWKTYAKLSVFLIFIGSHGLTKGSLAFLNYPAQLMFKSTKVNPQS >KJB68814 pep chromosome:Graimondii2_0_v6:11:43345859:43346431:-1 gene:B456_011G182100 transcript:KJB68814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDLLCSKMGNLVKFLPTGTVFIFNFLNPVLTDNGNCKTPLNKQSAAILIVLCGFTCSFSCFTDSYKDRDGKTHYGIATFKGLWPSPSSFESDLVNLSSYKLRLSDFVHAFFSSMVFAVLVLLEPNTVKCFYPSLSTNSKALICLIALPPIALVVSLAFMWFPNKRHGIGHPSIEHSSNKTNLLLPHTS >KJB71060 pep chromosome:Graimondii2_0_v6:11:11829644:11830952:1 gene:B456_011G103000 transcript:KJB71060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQDPQLPSFDFKPFMVHKVNAVNQALDSAVPLRDPVKIHEAMRYSLLAGGKRVRPILCLAACDLVGGKESMVMPAACALEMIHTMSLVHDDLPCMDNDDLRRGKPTNHKIYGEDIAVLAGDALLAFSFEHIAVSTVGVTPDRIVRAIGELAKSIGAEGLAAGQVVDISSEGLTNVGLDHLEFIHVHKTAPLLEAAAILGAILGGGRDEDVEKLRKFARNIGLLFQVVDDILDVTKSSKELGKTAGKDLVADKVTYPKLMGINKSKEFVEKLKSDALELLQGFDPEKSAPLIALANYIAYRQN >KJB69620 pep chromosome:Graimondii2_0_v6:11:2548209:2554039:-1 gene:B456_011G034500 transcript:KJB69620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDTADGSTMKRGEAADKEEDKNKNNGSNKKKKTSADDQKVPFYKLFSFADRLDMFLVTVGTIAAIANGLTQPFMTLIFGQMINSFSGADQSGVVKAVSKIAVKFVYLGVYACITSFLQVSCWMVTGERQAARIRGLYLKTILRQDIGFFDTETSTGEVIGRMSGDTILIQEAMGEKVGKFIQLVSAFIGGFIIAYVKGWQLALVLSACIPLVVFAGGTMAMIMAKMSSRGQVAYAEAGNVVEQTVGAIRTVASFTGEKFAIEKYNDKLQIAYSATVHQGLVSGLGLGVMLLVVFSTYGLAVWFGAKLIVHHGYNGGQVINVILAIMTGGMSLGQTTPSVNAFASGQAAAYKMFETIKRKPTIDPYDTSGVMLEDIQGEIELKDVYFRYPARPDVQIFAGFSLHVPSGTTVALVGQSGSGKSTVISLLERFYDPDSGQVLIDGVDLRELQLQWIRGKIGLVSQEPILFATTIRENIAYGKDNATNEEIKAAIEMANAAKFIDKLPKGLDTMVGEHGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERIVQDALVRVMTNRTTVVVAHRLTTIRNADMIAVVHLGKLVEKGTHEELIGDPEGAYSQLVRLQEGTKEGGDALGKEAEQSDVTTDIEKDISRSGSQRLSASLIRSISRSASSSRQSFTYNFGVPGLANFAETEETGVESNVPEETKIERRKSVSIRRLANLNKPEVPVLLIGSIAAAVHGLVFPIFGLLFSSAIKTFYEPPSKLLKDSKVWAVSYVGMGVVILLVGPMQNFFYGVAGGKLIQRIRSLTFEKVVHQNISWFDDPENSSGAIGARLSTDASTVRNLVGDSLALIVQNIATIIAGLVIAFTANWILAIAILAVMPFTLIQGYLQTKFLKGFSADAKLMYEEASQVANDAVGGIRTVASFCSEKKVMGLYQEKCQAPMKQGVRLGLVSGSGFGFSFFALYCTNAFCFYLGAVLVKHGKATFEEVFKVFFALTVSAIGVSQTSALAPDTNKAKDSAASIFEILDRKPPIDSSSEDGSTIPTVTGNIELEHVSFKYPTRPDIQIFKDLCLSIPSGKTVALVGESGSGKSTVISLIERFYDPDSGCVMLDGIDIRKIRISWLRQQMGLVSQEPILFNETIRTNIAYGKQGNATEEEIMAATNAANAHVFISSLPHGYDTSVGERGIQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERVVQEALDTVMVNRTTVVVAHRLSTIKGADIIAVVKNGVIVEKGRHDTLINITDGAYASLVALHLTSSVDTIR >KJB69335 pep chromosome:Graimondii2_0_v6:11:1202888:1203307:-1 gene:B456_011G017300 transcript:KJB69335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGILLDLVGKMLEGLTIRAVLRDAEDQRNRNNHQVRLSLENLKDVLYDVDEFSSDALRQKIVAGDGKLKKIRLFFSKSNQLAYGLKMAPKVKAIRERLDAIAADKANFPA >KJB72294 pep chromosome:Graimondii2_0_v6:11:34783744:34790523:1 gene:B456_011G169000 transcript:KJB72294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTREARRATMAAVSATHGLSRRRHRSSSLRDSPEDDGPVEFQETTRLRDRKKDRDRERERERERDRERDRERERDRFSRTSKRRRGDRLISNREDGADESSEESVNDDEDDDDEDGGGITGGGSVRMIPPNNMAGSLSSHHQQQQHQHRKSFPLPVKVIRTTPPSGPVLTTSTTTSSTWKAADEMIGVSVPRKARSASTKRSHEWASSSGAVGLVGGEQIHRQASTSPVRTGVAGMITLPSPAPASPSSSNATMRKKMANGLKQRPPKSSSKSSSSAQEEIEMEIAEVLYGLMRQPQVPLKQDTNGNDSVKFDSREVNKHNLDSKSRVSSPISYSPSTLPQPSSNLPSNSNSSATTMSAIAPKRKRPRPVKYEDETTAVAPPPMFSVSNNSISSTTTKVEIDQPAKIEATSPSFENNSGSLAENYGTSLMNSSQAGPASAELVQAEPKKEEKSNLVPDSKPLTEESESRDVGICKKEESQSPMKETLPSPANNPSIAGPRLDDERETVTKANSTVGEIESQREEKFQIDLMALPPSRSSPERDDEIDFGVSDPKPLPTDMELEMKSTVKEDDKRVNIGNEDVNVEAEDNKKPKLTSEETESHNPVIKSERNAHLKLDLEKSDRDSGTGSVGASKFKHNVLKQEQQQPDKEKSAQSCALPLPMSLASWPGGVPPMGYMAPLQGVVSMDGSAVSSASIQPPHSLFTQPRPKRCATHCYIARNVNCHQQFMKMNPFWPTAPGSASLYGLKANLNVVPASELNGNIPGRAVSSVQDKGQTLAIFPGHGGKDKSSQAATNMVDAAQRKQMLLQQALPPGAPSNILHGPAFIFPLSQQQAAAATVRPGSVKSPGAGSTTLSSSNSASVSATPAGATAAPAMSFNYVNMPSNETQYLAILQNNAYPFPFPAHVGAPPAYRGNHAQPMPFIPGSFYSSQMVHPTQLQQQQQPPTQLQQIQQGHQNTSMSIGASSSQKHLQNLQQRPHGSSAGSGSGNLQGFPAPKNQSPHPLPLQQRQQQPSQHASYQARHLEGELSGKDSPSTSDSRVSRANMNIYGQNFAMPLQPPDFTLMTAASLGGSTSSGCNHGEKKQHIQQQGSKAGVESLTSQSFAMSFASINGTTTPGLDISSFGRDHAILQSPPESTRQGYQQIMAAAVAAQTAQQKKKNYHASEEGNHGTNDASSVEEGRNAMAGKSSATAGQSIAFSRADLSDSSVSTIPGSNVIDSSARTTNPGSAPRTSGSFMPASIGCVNAPSGQQQLQRNQQQMLQLQKPHQFGAASASRSKVQVTSNGNAYSDHIPSSSMATKFPNAPSPFPQNLVQTSSSPAQSPQWKNSVRTTGSQVSSPSLSSTSSSLKNISQQQARPQQNHTEISFTANPNSTQNQQPPSSTPSPSTPMVVGSPTTSISRSAGGSPRTTGSTSTGNKGCQASSLSSQQTKNSPSVPSQISSPVGGRSVPSVLGNPHLSSSSSMGTKPQSVLHQQQQQQKHALHPAQLFFSNAYIQAQAQHSPTTTAASGFFLQRHRNEQQQALPPGSSTSTSVLSLCSPVTPANTGTTDPAKAVVAAAGNMKGGGIASQGLVHAAQFAATQTSGKTYQLVPGFPYVHAVPAAVQVKPAEQKQPAVPC >KJB72293 pep chromosome:Graimondii2_0_v6:11:34783744:34790520:1 gene:B456_011G169000 transcript:KJB72293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTREARRATMAAVSATHGLSRRRHRSSSLRDSPEDDGPVEFQETTRLRDRKKDRDRERERERERDRERDRERERDRFSRTSKRRRGDRLISNREDGADESSEESVNDDEDDDDEDGGGITGGGSVRMIPPNNMAGSLSSHHQQQQHQHRKSFPLPVKVIRTTPPSGPVLTTSTTTSSTWKAADEMIGVSVPRKARSASTKRSHEWASSSGAVGLVGGEQIHRQASTSPANGLKQRPPKSSSKSSSSAQEEIEMEIAEVLYGLMRQPQVPLKQDTNGNDSVKFDSREVNKHNLDSKSRVSSPISYSPSTLPQPSSNLPSNSNSSATTMSAIAPKRKRPRPVKYEDETTAVAPPPMFSVSNNSISSTTTKVEIDQPAKIEATSPSFENNSGSLAENYGTSLMNSSQAGPASAELVQAEPKKEEKSNLVPDSKPLTEESESRDVGICKKEESQSPMKETLPSPANNPSIAGPRLDDERETVTKANSTVGEIESQREEKFQIDLMALPPSRSSPERDDEIDFGVSDPKPLPTDMELEMKSTVKEDDKRVNIGNEDVNVEAEDNKKPKLTSEETESHNPVIKSERNAHLKLDLEKSDRDSGTGSVGASKFKHNVLKQEQQQPDKEKSAQSCALPLPMSLASWPGGVPPMGYMAPLQGVVSMDGSAVSSASIQPPHSLFTQPRPKRCATHCYIARNVNCHQQFMKMNPFWPTAPGSASLYGLKANLNVVPASELNGNIPGRAVSSVQDKGQTLAIFPGHGGKDKSSQAATNMVDAAQRKQMLLQQALPPGAPSNILHGPAFIFPLSQQQAAAATVRPGSVKSPGAGSTTLSSSNSASVSATPAGATAAPAMSFNYVNMPSNETQYLAILQNNAYPFPFPAHVGAPPAYRGNHAQPMPFIPGSFYSSQMVHPTQLQQQQQPPTQLQQIQQGHQNTSMSIGASSSQKHLQNLQQRPHGSSAGSGSGNLQGFPAPKNQSPHPLPLQQRQQQPSQHASYQARHLEGELSGKDSPSTSDSRVSRANMNIYGQNFAMPLQPPDFTLMTAASLGGSTSSGCNHGEKKQHIQQQGSKAGVESLTSQSFAMSFASINGTTTPGLDISSFGRDHAILQSPPESTRQGYQQIMAAAVAAQTAQQKKKNYHASEEGNHGTNDASSVEEGRNAMAGKSSATAGQSIAFSRADLSDSSVSTIPGSNVIDSSARTTNPGSAPRTSGSFMPASIGCVNAPSGQQQLQRNQQQMLQLQKPHQFGAASASRSKVQVTSNGNAYSDHIPSSSMATKFPNAPSPFPQNLVQTSSSPAQSPQWKNSVRTTGSQVSSPSLSSTSSSLKNISQQQARPQQNHTEISFTANPNSTQNQQPPSSTPSPSTPMVVGSPTTSISRSAGGSPRTTGSTSTGNKGCQASSLSSQQTKNSPSVPSQISSPVGGRSVPSVLGNPHLSSSSSMGTKPQSVLHQQQQQQKHALHPAQLFFSNAYIQAQAQHSPTTTAASGFFLQRHRNEQQQALPPGSSTSTSVLSLCSPVTPANTGTTDPAKAVVAAAGNMKGGGIASQGLVHAAQFAATQTSGKTYQLVPGFPYVHAVPAAVQVKPAEQKQPAVPC >KJB72295 pep chromosome:Graimondii2_0_v6:11:34783774:34790077:1 gene:B456_011G169000 transcript:KJB72295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLPSPAPASPSSSNATMRKKMANGLKQRPPKSSSKSSSSAQEEIEMEIAEVLYGLMRQPQVPLKQDTNGNDSVKFDSREVNKHNLDSKSRVSSPISYSPSTLPQPSSNLPSNSNSSATTMSAIAPKRKRPRPVKYEDETTAVAPPPMFSVSNNSISSTTTKVEIDQPAKIEATSPSFENNSGSLAENYGTSLMNSSQAGPASAELVQAEPKKEEKSNLVPDSKPLTEESESRDVGICKKEESQSPMKETLPSPANNPSIAGPRLDDERETVTKANSTVGEIESQREEKFQIDLMALPPSRSSPERDDEIDFGVSDPKPLPTDMELEMKSTVKEDDKRVNIGNEDVNVEAEDNKKPKLTSEETESHNPVIKSERNAHLKLDLEKSDRDSGTGSVGASKFKHNVLKQEQQQPDKEKSAQSCALPLPMSLASWPGGVPPMGYMAPLQGVVSMDGSAVSSASIQPPHSLFTQPRPKRCATHCYIARNVNCHQQFMKMNPFWPTAPGSASLYGLKANLNVVPASELNGNIPGRAVSSVQDKGQTLAIFPGHGGKDKSSQAATNMVDAAQRKQMLLQQALPPGAPSNILHGPAFIFPLSQQQAAAATVRPGSVKSPGAGSTTLSSSNSASVSATPAGATAAPAMSFNYVNMPSNETQYLAILQNNAYPFPFPAHVGAPPAYRGNHAQPMPFIPGSFYSSQMVHPTQLQQQQQPPTQLQQIQQGHQNTSMSIGASSSQKHLQNLQQRPHGSSAGSGSGNLQGFPAPKNQSPHPLPLQQRQQQPSQHASYQARHLEGELSGKDSPSTSDSRVSRANMNIYGQNFAMPLQPPDFTLMTAASLGGSTSSGCNHGEKKQHIQQQGSKAGVESLTSQSFAMSFASINGTTTPGLDISSFGRDHAILQSPPESTRQGYQQIMAAAVAAQTAQQKKKNYHASEEGNHGTNDASSVEEGRNAMAGKSSATAGQSIAFSRADLSDSSVSTIPGSNVIDSSARTTNPGSAPRTSGSFMPASIGCVNAPSGQQQLQRNQQQMLQLQKPHQFGAASASRSKVQVTSNGNAYSDHIPSSSMATKFPNAPSPFPQNLVQTSSSPAQSPQWKNSVRTTGSQVSSPSLSSTSSSLKNISQQQARPQQNHTEISFTANPNSTQNQQPPSSTPSPSTPMVVGSPTTSISRSAGGSPRTTGSTSTGNKGCQASSLSSQQTKNSPSVPSQISSPVGGRSVPSVLGNPHLSSSSSMGTKPQSVLHQQQQQQKHALHPAQLFFSNAYIQAQAQHSPTTTAASGFFLQRHRNEQQQALPPGSSTSTSVLSLCSPVTPANTGTTDPAKAVVAAAGNMKGGGIASQGLVHAAQFAATQTSGKTYQLVPGFPYVHAVPAAVQVKPAEQKQPAVPC >KJB69103 pep chromosome:Graimondii2_0_v6:11:430871:435318:1 gene:B456_011G005300 transcript:KJB69103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQIVCSGCRSNLLYPRGATNVCCALCNTVTQVPLPGMDMGQLICGGCRTLLMYARGGTSVRCSCCHTLNLAPAPNQIAHINCGHCRTTLMYPYGAPSVKCAICQYVTNVGTGNARAPFCVSRPNQIASAGTVPSTSTSQTVVVENPMSVDESGKLVSNVVVGITTDKK >KJB69105 pep chromosome:Graimondii2_0_v6:11:431997:435318:1 gene:B456_011G005300 transcript:KJB69105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADMQSQIVCSGCRSNLLYPRGATNVCCALCNTVTQVPLPGMDMGQLICGGCRTLLMYARGGTSVRCSCCHTLNLAPAPNQIAHINCGHCRTTLMYPYGAPSVKCAICQYVTNVGTGNARAPFCVSRPNQIASAGTVPSTSTSQTVVVENPMSVDESGKLVSNVVVGITTDKK >KJB69104 pep chromosome:Graimondii2_0_v6:11:430756:435388:1 gene:B456_011G005300 transcript:KJB69104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQIVCSGCRSNLLYPRGATNVCCALCNTVTQVPLPGMDMGQLICGGCRTLLMYARGGTSVRCSCCHTLNLAPAPNQIAHINCGHCRTTLMYPYGAPSVKCAICQYVTNVGTGNARAPFCVSRPNQIASAGTVPSTSTSQTVVVENPMSVDESGKLVSNVVVGITTDKK >KJB72037 pep chromosome:Graimondii2_0_v6:11:26839390:26841160:-1 gene:B456_011G154700 transcript:KJB72037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNQLGVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFGISLITKLLGRIYYTNENHPKPGTLPPNVAAAVNGTAFVGTLAGQLFFGWLGDKLGRKRVYGLTLMLMVVCSIASGLSFGKSPAGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGIVALIVSASFDHAYKAPPYSVNRKDSTAPEADYIWRIILMFGAVPALLTYYWRMKMPETARYTALVARNAKQAASDMSKVLQVELEAEEEKVETASSSNSFGLFTKEFARRHGLHLLGTSVCWFLLDIAYYSNNLFQKDIFTAIGWLPKAETMNAIHEVYRVAASQSLIALCGTIPGYWFTVALIDYIGRFTIQLMGFFFMTVFMFALAIPYHHWLSNNAGFLIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKLGAIVGALGFLYAAQSTNPEETDQGYPPGIGVKNTLLVLGSINCLGIFFTLLVPESKGKSLEELTGENEEADGNGKQQASSTQTLPV >KJB69350 pep chromosome:Graimondii2_0_v6:11:1290734:1293785:1 gene:B456_011G018500 transcript:KJB69350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSDTKTCNVVYKNNFVKVFFIRQISLHETPKMNIQNLLRYVSLALPIFFFFFITPTTQYHAHHHRQQPIFNDLTIHTFINKTLQWKTRLVKFQGHQLKLSTPTIVAAICCFIASSISSAGGIGGGGLFIPILTIIAGLDLKIASSFSAFMVTGGSIANVMYNLRTKTDKSGRMKKGLVDYDIAILSEPCMLLGVSVGVVCNHVFPEWLITILFAVFLVWSTFKTCSNGVGYWKTETAKHNESRNGCEKVGNGVTKNGEIENLREPLMGVEGKENSGFPWKKLMVLVMVWFSFFIIYLIRGNSYGQGIMPMKPCGVGYWTLSLFQVPLAIGFTSWILFSKQPIACLGPNKQGVNKLIFPLMALMAGALGGVFGIGGGMLISPLLLQVGVTPEVTAATCSLMVFFSSTMSAFQYLLFGMEQTGAALVFSFICFVASVLGLVVVQKAIKEFGRASLIVFSIGIVMALSAVLMTSFGVLDVWQDYISGSYMGFKMPC >KJB74358 pep chromosome:Graimondii2_0_v6:11:62151063:62153863:-1 gene:B456_011G290200 transcript:KJB74358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTPNATLVSSTVCVFLNKNLHYNSMFWKENPLNHVFPVLMLQVILSVLVFRVIHVILRPLKQPKLVCHLLAGVILGPSVLGRNKTYMESMFPAKEEVVIATLSQVASNLYTFIVCIKMDTIMLTRAAKHTWKLGVCCLAFPIVLIVSVDMAKQRFFPGLNPGNPFPVQFSVVSSLSYFIVVTRALDELNLLSSELGRISSSITMLNEMVSAAFVIIGVATVQKEAKSAFLAILSLCSFIVFSLFVVRPMLYWVIKQTPKGKPVKESYVVTILLSTFVMGVTTDAIGASFGGAFMVMGFITPDGPPLGTTIIRKSELILHEFFLPLFFVRIGYFTDLSAIQNWGECATFATIVIMGYIGRLIACLVFASSMNMRKSTAVLLSLVLSLQGIVELIQSIQWKHLQLIDDQTYATSVVSIIVVNAIITPIIEILYKPAVENFDVLRLGTRSLGTTSNVGELRIITCIQDEDNVPSIISLLEALNPQEISPLCAYVIHLVAVASQSVPTLAPYKNHLRKFVRPSVSDNIIRAFLNYSEHSKGPVQIQPFQMISPYKYMHQPISRLSDRIHAPLIIVPFFKSEEAHNIDGTLRIFNTNIQATAKCTVGLLVDRGLRSSILTTFSYNMAVIFISGGDDREALALASRASCQTNVSITVFRINLGGTYTLEDQIEKEADNALFRDFEAMNLNNGRVECLEWMADDVEEVMKALRSLKSGYDLVVVGKHHANPELQALESWVQYSELGVIGDAIATLDFSGGKMSVLVLEHHHRHDDNQRKFDNCSYFSFT >KJB70377 pep chromosome:Graimondii2_0_v6:11:6375281:6379169:-1 gene:B456_011G070400 transcript:KJB70377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRIRLSRFGCKNKPFYRVMAANSRSPRDGKHLEVLGYYNPLPGQDGGKRMGLNFERVKYWLSVGAQPSEPVQRILFRAGVLPPPPMVAMGLKGGPRDMRPVDPMTGRVLNEEKPVAGNQEKSGNDEAVDEPNSA >KJB73314 pep chromosome:Graimondii2_0_v6:11:53981225:53983280:1 gene:B456_011G227100 transcript:KJB73314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDLFFSAQSLLLLSLIFLYSYYFCLHHKKKGNDQGFKSYPILGALPEFLRNRHRFLDWTTEILIRCPTNTAVFRRPGKIHGIITANPLNVEHVLKTNFENYPKGERFIWLLKDFLGQGIFNSDGDLWKIQRKTASYEFNTKSLRNFIMDNVRLEISTRLIPVLNKALETNQVLDLQEVLEQFAFDNICKLAFNVDPGCLGGDGTSGSGFMHAFEEAATLSSGRFMNAFPFLWKIRKIFNMGSEKNLKKSIEIVHEFADNIIKTRLQSKDQSKDEDLLSRFITSYDNSPQFLRDIIISFILAGRDTTSSALTWFFWLLSLNPNVEKNIITELETIRTRNGKNIGDGYTFDELRDMHYLHAAVSESLRLYPPVPVDTKACLNSDILPDGTFIGKEWFFTYHAYAMGRMEAIWGKDCNEYLPERWLDETGNCKQESPFRFPIFHGGPRMCLGKDMAYIQMKSIVAAMLERFVVEVQGNDKCPQHLLSLTLRMKGGLPIRVRER >KJB71946 pep chromosome:Graimondii2_0_v6:11:24874767:24877030:1 gene:B456_011G150000 transcript:KJB71946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRMQYCYNHVLPLTAMVAVECTNVGLNVLFKEATAKGMNRYIFITYSYAVGTLLLLPLSFIFPSSRTVIPSLKFHLGSRIFLLGLIGYLAQICAYKGMDYSSPTLASAISNLEPAFTFILAVLFRLETVALRSSSSQAKIIGTIASISGALLVVLYKGPKVLVQWPLESSEPNWVISGILLATAYLLFSIWYIVQTQVLEIYPAELIVALFYNLCGAIISVPVSLITQPKLSSWILRPSVAVIAVLYSGVFQSFSSLVVTWGLHLKGPVYVAIFSPVSIAIAAFMSAIFLDDSLHLGSIIGAIIISMGFYAVLWGKAKEGGRDSSSSGKVPLLKVENIVE >KJB71947 pep chromosome:Graimondii2_0_v6:11:24874927:24877017:1 gene:B456_011G150000 transcript:KJB71947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRMQYCYNHVLPLTAMVAVECTNVGLNVLFKEATAKGMNRYIFITYSYAVGTLLLLPLSFIFPSSRTVIPSLKFHLGSRIFLLGLIGYLAQICAYKGMDYSSPTLASAISNLEPAFTFILAVLFRLETVALRSSSSQAKIIGTIASISGALLVVLYKGPKVLVQWPLESSEPNWVISGILLATAYLLFSIWYIVQTQVLEIYPAELIVALFYNLCGAIISVPVSLITQPKLSSWILRPSVAVIAVLYSGVFQSFSSLVVTWGLHLKGPVYVAIFSPVSIAIAAFMSAIFLDDSLHLGRY >KJB69168 pep chromosome:Graimondii2_0_v6:11:664037:667415:-1 gene:B456_011G009000 transcript:KJB69168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVTEEEKTEDYLFKIVLVGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKVHLDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELQTHSDMNVVTILVGNKSDLRDAREVSIAEGKSLAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSKKVMMSHELNKPDSSSFDGKTVVLPSEDNPQPDAAEAKAGGGCC >KJB69416 pep chromosome:Graimondii2_0_v6:11:1601233:1607061:1 gene:B456_011G022600 transcript:KJB69416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKRCLDSMSSGDEGPAMKKPALASVIVEALKVDSLQKLCSSLEPILRKVVSEEVERALAKLGHPKLNNAKSSSSSPKRIKGHDGRNLQLKFKSKLSLPLFTGGKVEGEQGAAIHVSLIDANTGHVVTGSPESWATLDVVVLEGDFNNEDGDNWTQEEFDSHVVKEREGKRPLLTGDLQVILKEGVGTLGELTFTDNSSWIRCRKFRLGLKVASYSCEGIRIREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKKLNKAGIFTVEDFLILVVRDSQRLRNILGSGMSNKMWDVLVEHARTCVPSGKVYVYYPDNWRILGIVFNSIHEFSGLISNGQYCAAGSLSDNHKVYVDGLVKKAYENWMHVIEYDAKSSPGSNEDDNTGCSKANVPMDLQAYPSHHQVLPSLLVPEQPPMDSGLNVGDPMAASQLPMQSQNARLNAQTTQLNGALFTELNDNELTHHCPSHSSSKPGFHGVAASNIPPFKAEADDFLSEEEIIMRSNEVLENEDMQHLLRVINMGSHGHGASNPCSSTYMPTAPSLNCGFNKEEALSSSGKAVVGWLKLKAALRWGVFTRKKVAERLARLVELDEYS >KJB70531 pep chromosome:Graimondii2_0_v6:11:7661180:7663848:1 gene:B456_011G077700 transcript:KJB70531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSLSFNSHLLVMLGNFGFQNSRRATSHGFLFCLKDQTITKMKKDARLRVDSELDGSLSLRVVPPTLIAAEKEEAKAVLTLFFKKQGLSNAVAARIINKSELFIDHLVSRLHSVHKSRYLVGRELTTLEIRDALIPYLESLLEEHGSMLADVVENFPHPPIKDKPITLASPPDSAPDSKQVKAVSRVTETSPAGMLRPQVVYLMELGMDLEKIKLITRRFPAFAYYSLEGKIKPVVEFLLELGVPKSDIPIILGKRPQLCGISLSENLIPTMTFLEDLGVDKKQWAKVIYRFPALLTYSRQKFKTTVDFLYEMGLSSENVGKVLTRCPTIISYSVEDKLRPTAKYFRSLGADVSLLLLRCPQTFGLSIEANLKPVTQFFIERGYTLEEIGTMISRYGALYTFSLADNLIPKWDFFLTMDYSKSELVKFPQYFGYSLEERIKPRIALVKKAGVRLLLNQILSLSSRNFENALEKKMQQQQLTDQV >KJB73517 pep chromosome:Graimondii2_0_v6:11:55818479:55819158:1 gene:B456_011G237000 transcript:KJB73517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNVGVVLILPEGFELALPGCISPEMKEKIGNLSFQNYHPTKKNILVIGHVPGKKYSEIIFPILSQDPTSNKDGHFLKYPIYVGGNRGKGQIYPNWNKSNNTVYNSTATCIVSKIIRKEKGRYKITITDDLDGHQVVDIISPGPELLVSKGESIKLDQPLTINPNVGGFGQGNAEIVLQDPLRV >KJB74429 pep chromosome:Graimondii2_0_v6:11:62514372:62515336:-1 gene:B456_011G2946002 transcript:KJB74429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELPKEMEYSGLSFFHMARDDLVAIPPDFFRRIESLRVLDLPSYSYLPDSINHLIDLRTLCLRGCLVEDITIIGELKNLEILDLALSRIKELPKEIAQLTRLRLLDLSECRQLKIIPPNVLSSLSKLEELYMEGSFAEWENEGVVENERRNASLDELNNLSRLTTLYVRISDIQMIPKHRFIETLNRYKIIVGDIPISILLRRHECSRTLKLQLYTNICLDNGVKMLLGKAEDLYLDLKGLEGIKNVLAELNKGNGFPHLQSLHVLNGKQVQYITMNKIELSELRFIKLACLPQLISFCCQEERCSTSFEPLPLFINQVFV >KJB73949 pep chromosome:Graimondii2_0_v6:11:59333839:59335519:1 gene:B456_011G262300 transcript:KJB73949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIDTLSVICFTSFTFLYGFIEFVSRAAAERVLQLYNGVPMPNSEQNFRLNWAALGYGEKRQEEGPDYTIFVGDLAADVSDYMLQETFKAVYPSVKSAKVVTDRTSC >KJB73812 pep chromosome:Graimondii2_0_v6:11:58297052:58297591:1 gene:B456_011G254200 transcript:KJB73812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFASEMENNLALANCLMLLSQGRNQYDHTIFNDTNNNNPTRVFECKTCNRQFASFQALGGHRASHKKPKLLDGGSTENQPPAKPKTHECSICGLEFSIGQALGGHMRRHRGGLSGNHHQYGPLSSSSSSQEMVTTPIVKKSNSRRVLCLDLNLTPLENDWELFKLGKAALPIDCFF >KJB69658 pep chromosome:Graimondii2_0_v6:11:2682162:2686861:-1 gene:B456_011G035600 transcript:KJB69658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKAAVRFMLGKQSSMALERGKDGSDGKGIEEGEDIDDGVRLMYLANEGDLDGIRELLDSGINVNFRDIDDRTALHIASCQGQNDVVSLLLQRGATVDSKDRWGSTPLSDAIYYKNHDVIKLLEKHGAKPLMAPMHVNHAREVPEYEIDPKELDFTNSVYITKGTFCRASWRGIQVAVKKLEDELITDSDKVSAFRDELALFQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRAFLKGKGALKPMTALRFALDIARGMNYLHENKPPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVKEVKPLTCPVTSCRYVAPEVFKNYDYDTKADVFSFALILQEMIEGCPPFFTKQDNDVTKAYASKERPPFRAPSKYYAHGLKELIEDCWNEKAAKRPTFRQIIKRLESIHDSFSHKKHWKARPLKWFQSLEAMLKKDHSSSSSSSHSTGSI >KJB69656 pep chromosome:Graimondii2_0_v6:11:2682162:2686817:-1 gene:B456_011G035600 transcript:KJB69656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKAAVRFMLGKQSSMALERGKDGSDGKGIEEGEDIDDGVRLMYLANEGDLDGIRELLDSGINVNFRDIDDRTALHIASCQGQNDVVSLLLQRGATVDSKDRWGSTPLSDAIYYKNHDVIKLLEKHGAKPLMAPMHVNHAREVPEYEIDPKELDFTNSVYITKGTFCRASWRGIQVAVKKLEDELITDSDKVSAFRDELALFQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRAFLKGKGALKPMTALRFALDIARNILRDDSGHLKVADFGVSKLLTVKEVKPLTCPVTSCRYVAPEVFKNYDYDTKADVFSFALILQEMIEGCPPFFTKQDNDVTKAYASKERPPFRAPSKYYAHGLKELIEDCWNEKAAKRPTFRQIIKRLESIHDSFSHKKHWKVWCNLF >KJB69657 pep chromosome:Graimondii2_0_v6:11:2682636:2686683:-1 gene:B456_011G035600 transcript:KJB69657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKAAVRFMLGKQSSMALERGKDGSDGKGIEEGEDIDDGVRLMYLANEGDLDGIRELLDSGINVNFRDIDDRTALHIASCQGQNDVVSLLLQRGATVDSKDRWGSTPLSDAIYYKNHDVIKLLEKHGAKPLMAPMHVNHAREVPEYEIDPKELDFTNSVYITKGTFCRASWRGIQVAVKKLEDELITDSDKVSAFRDELALFQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRAFLKGKGALKPMTALRFALDIARGMNYLHENKPPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVKEVKPLTCPVTSCRYVAPEVFKNYDYDTKADVFSFALILQEMIEGCPPFFTKQDNDVTKAYASKERPPFRAPSKYYAHGLKELIEDCWNEKAAKRPTFRQIIKRLESIHDSFSHKKHWKVWCNLF >KJB73862 pep chromosome:Graimondii2_0_v6:11:58600896:58604382:-1 gene:B456_011G256900 transcript:KJB73862 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGGT-I [Source:Projected from Arabidopsis thaliana (AT2G39550) UniProtKB/TrEMBL;Acc:A0A178VS16] MATREWNPLDFDIGEEEDEEGTPSPSSISPPLQYFDRDRHVAFLEMMYNLMPHHYQSQEINRLTLAFFTISGLHLLRALDRVDKDRVADWVLSFQAHPRSRAELKIGQFYGFHGSRTSQFPPDENGVSARNAGHLASTYCALAILKTVGFNLLTIDKESILISLRNLQQPDGRYASTFMPVDVGAETDLRFVYCAAAICFMLEDWSGMDREKAKEYILKCQSYDGGFGLMPGLESHGGGTYCAVASLRLMGYIEDDLLSKTVTSSIINVPLLLDWCMQRQAIDGGFQGRANKPSDTCYAFWIGAVLRILGGYKFIDKIALHRFLLTCQSEFGGFSKYPRDLPDIYHAYYGYTAFSLLEEPGLNPLCAELGMTDLTAIGII >KJB73860 pep chromosome:Graimondii2_0_v6:11:58600836:58604428:-1 gene:B456_011G256900 transcript:KJB73860 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGGT-I [Source:Projected from Arabidopsis thaliana (AT2G39550) UniProtKB/TrEMBL;Acc:A0A178VS16] MATREWNPLDFDIGEEEDEEGTPSPSSISPPLQYFDRDRHVAFLEMMYNLMPHHYQSQEINRLTLAFFTISGLHLLRALDRVDKDRVADWVLSFQAHPRSRAELKIGQFYGFHGSRTSQFPPDENGVSARNAGHLASTYCALAILKTVGFNLLTIDKESILISLRNLQQPDGSFMPVDVGAETDLRFVYCAAAICFMLEDWSGMDREKAKEYILKCQSYDGGFGLMPGLESHGGGTYCAVASLRLMGYIEDDLLSKTVTSSIINVPLLLDWCMQRQAIDGGFQGRANKPSDTCYAFWIGAVLRILGGYKFIDKIALHRFLLTCQSEFGGFSKYPRDLPDIYHAYYGYTAFSLLEEPGLNPLCAELGMTDLTAIGII >KJB73861 pep chromosome:Graimondii2_0_v6:11:58600896:58604382:-1 gene:B456_011G256900 transcript:KJB73861 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGGT-I [Source:Projected from Arabidopsis thaliana (AT2G39550) UniProtKB/TrEMBL;Acc:A0A178VS16] MATREWNPLDFDIGEEEDEEGTPSPSSISPPLQYFDRDRHVAFLEMMYNLMPHHYQSQEINRLTLAFFTISGLHLLRALDRVDKDRVADWVLSFQAHPRSRAELKIGQFYGFHGSRTSQFPPDENGVSARNAGHLASTYCALAILKTVGFNLLTIDKESILISLRNLQQPDGSFMPVDVGAETDLRFVYCAAAICFMLEDWSGMDREKAKEYILKCQSYDGGFGLMPGLESHGGGTYCAVASLRLMGYIEDDLLSKTVTSSIINVPLLLDWCMQRQAIDGGFQGRANKPSDTCYAFWIGAVLRILGGYKFIDKIALHRFLLTCQSEVLILHLNT >KJB72380 pep chromosome:Graimondii2_0_v6:11:40021425:40022898:1 gene:B456_011G174900 transcript:KJB72380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFQDFQASNSSPSTATITPNFPASLSMSAVATNTNPSPAAAMVAFSPSSSSSTSPSTLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEEHGGKPEANPFGARAVRLYLREVRDSQSKARGISYEKKKRKRPPQVPQPPPSTS >KJB74385 pep chromosome:Graimondii2_0_v6:11:62309422:62310275:-1 gene:B456_011G291800 transcript:KJB74385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFGNKSIVVRRVFAEDSDSELLMIKEAILTYPFLSIDIEFPGTIFKPGKQVIREGNPVINYHYMKSNVDTLQIIQLGLSLSDAQSNLLDFDFPFSYIWEFNFRDFDINRDHYASDSIELFKHQGIDFEKNKEKGIDSKDFAKTFWDYGLVFNSYGLKSSLEKIAQTLNVACITGSSHQAGSDNLFMLQCFMKFKSKNIFESKWNETNQMLLFPLTLYGLVKTIR >KJB70269 pep chromosome:Graimondii2_0_v6:11:5581031:5582082:1 gene:B456_011G065900 transcript:KJB70269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIVYLLSLAFLLQTAFGADSLFHICSNSGNFSAYNDSYEANLNVLTGYLSIQAPPSGFGLGSIGQNPNQAYGLALCRGDVSTPDCKTCVVEAGSEIRKRCPYDKGAIIWYDNCLFKYSSMEFFGHVDNQNKLYMWNLNNVSEPQSFNAKTKELLSELATQAYSNPKMYAAGEMELYGSKKLYGLTQCTRDLSSTECKKCLDDIIGELPSCCDGKGGGRIVGGSCNFRYEIYPFANA >KJB73169 pep chromosome:Graimondii2_0_v6:11:52686727:52687794:-1 gene:B456_011G219100 transcript:KJB73169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSKPRGRVVKKKSSMEEETNNIQKALQKPSDLEEMWGWLNSDDQDQKDEQICRKSDTDEEIEAMFDKVKRRKKMEETSSPGIGLLVEKVVAQMEIAATEDIELNIQNKPAIRKIQMLPLLTDFLSKKKLQQEFLDHGVLTLLKSWLDPLPDGSLPNATLRSSILNILTQMRKPLPIENFAKHLVQNWCRTILNKTTSYCNLRNSVIPRMKKPLMKQSTRAELREADLDLEGPRRPCSSGTASGSVSVPEPAPCVYEVNPLTNFKPEFARPYRRSREVRESECFERIEKKMSGLKKSNKRKTLQAPKSAVL >KJB69456 pep chromosome:Graimondii2_0_v6:11:1756159:1762972:-1 gene:B456_011G024400 transcript:KJB69456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGYKLQEFVAHTTNVNCLSMGKKTCRLLITGGDDHKVNVWAIGKPTSLMSLCGHTSPVESLAFDSAEVFVLAGSSTGGIKLWDLEETKMVRGLTGHRSNCTALEFHPFGEFFVSGSMDTNLKVWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKIWDLTAGKLLNEFKFHEGHIRTIDFHPLEFLLATGSADRTVKFWDLETFELIGSSRPEATGFHSIAFHPDGRTLFCGADDGLKVYSWEPVVCHDSVDMGWSTLGDLCINEGKLLGCSYYRNSVGVWVADIAHIEPYGRNNQTEKKFNLERSYSSVKAGNGMRSTLGSRPLSPDCETKEIKNIYIDTAGSNPIATQKDGSLNSPKVLPPMDACEISDQEAVKKSPGTEVNAKPSVVPRDNPIGKNSSNSTKETITFSKTKPGMLLKPAHVRRPSVNKFEFEKLSATVDSGTPGNVKSGLDNAMDLNSQTRLVSEDGVRKPCDEKDSNIKSVTEKSEKVLSPQTPPNQETCNESLTCSKDSNSVKIVRGVAVVSGRTRTLVEKFERRERLNSGDDPVTNNTPPPVLETDRTPTIMKEERQISETKAVSANDVKQGGSPISRMESTSSCEGSVTGIQISRRESTPTSDGLITGDQLPRAELTSTPDKVIIGNQTSRRESTPTSGGIVTGDQLPRAELMSNPDKVITGNQTSRKESTITPERIITGNRISRRESNSASSGTITGNQISRMKPTSASDSITVNQIMRRESTSTADGMIFRNQLSRREAISVNDRSGPNGTVTENQISRRGLSSANDGNATIIESQVSKGTSIAPDDGIITESLMQTHDIFLSTLRSRLTKLQVVRHFWEKNNIKGAIGALQKLPDHSVQVDVISVIMEKMEILTLDLFSGLLPVLKGLLDSKTERHVNISLEMLLKLVAVFGPMIRSTVSAPRGIGVDLHAEQRRECCNQCFTQLQKILKLLPPLERRGGVIARCAQELNLVLQE >KJB69457 pep chromosome:Graimondii2_0_v6:11:1757261:1762925:-1 gene:B456_011G024400 transcript:KJB69457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGYKLQEFVAHTTNVNCLSMGKKTCRLLITGGDDHKVNVWAIGKPTSLMSLCGHTSPVESLAFDSAEVFVLAGSSTGGIKLWDLEETKMVRGLTGHRSNCTALEFHPFGEFFVSGSMDTNLKVWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKIWDLTAGKLLNEFKFHEGHIRTIDFHPLEFLLATGSADRTVKFWDLETFELIGSSRPEATGFHSIAFHPDGRTLFCGADDGLKVYSWEPVVCHDSVDMGWSTLGDLCINEGKLLGCSYYRNSVGVWVADIAHIEPYGRNNQTEKKFNLERSYSSVKAGNGMRSTLGSRPLSPDCETKEIKNIYIDTAGSNPIATQKDGSLNSPKVLPPMDACEISDQEAVKKSPGTEVNAKPSVVPRDNPIGKNSSNSTKETITFSKTKPGMLLKPAHVRRPSVNKFEFEKLSATVDSGTPGNVKSGLDNAMDLNSQTRLVSEDGVRKPCDEKDSNIKSVTEKSEKVLSPQTPPNQETCNESLTCSKDSNSVKIVRGVAVVSGRTRTLVEKFERRERLNSGDDPVTNNTPPPVLETDRTPTIMKEERQISETKAVSANDVKQGGSPISRMESTSSCEGSVTGIQISRRESTPTSDGLITGDQLPRAELTSTPDKVIIGNQTSRRESTPTSGGIVTGDQLPRAELMSNPDKVITGNQTSRKESTITPERIITGNRISRRESNSASSGTITGNQISRMKPTSASDSITVNQIMRRESTSTADGMIFRNQLSRREAISVNDRSGPNGTVTENQISRRGLSSANDGNATIIESQVSKGTSIAPDDGIITESLMQTHDIFLSTLRSRLTKLQVVRHFWEKNNIKGAIGALQKLPDHSVGIYGKISCVLLYSVFG >KJB72826 pep chromosome:Graimondii2_0_v6:11:48753733:48754483:1 gene:B456_011G201400 transcript:KJB72826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSFLASSHNIPSKLNVVLEVLSMMQRFEAIFFAILLLVATMEADGKRMTLEKEPEPSNHQLGRKVDVGAKDGIDLTAVDGAGEDDSDVALLASQNKVTTESRHHFFPNESNPYRHNRP >KJB70800 pep chromosome:Graimondii2_0_v6:11:9945318:9948181:1 gene:B456_011G091800 transcript:KJB70800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPCCTIEIFILLNVDIHYPFPPRSPEKFSTRPPRATEMSLYTLPIRPTYPIITCRVSPATAPTVASPPPPPSLLPPATSATAVPSLTCALQCPHFESCSGCTHEFNLHRPVIVDEASNFFKGLGVSDFTFDSCRLWGWRCRAKLAVRGSSENPLIGLYQEGTHNVVDIPQCKAHHPNINAAVELLRKGVRELNIEPYDEDQGTGDLRYVQMAVTTHNTALPAVERYRNGRVQVALVWNSRNEKSSNSDKLNALANFLWRNGGSRSKLHLIHSVWANFQTSTNNIIFGNRWRHLLGERDFWEHVGGIDISLDPSSFGQANTRAFDNLLRKLHKYVPFGASVADLYAGAGVIGLSLAATKKCRQEWNVPNPSDFSLILAFNETKLLF >KJB70801 pep chromosome:Graimondii2_0_v6:11:9945318:9949823:1 gene:B456_011G091800 transcript:KJB70801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPCCTIEIFILLNVDIHYPFPPRSPEKFSTRPPRATEMSLYTLPIRPTYPIITCRVSPATAPTVASPPPPPSLLPPATSATAVPSLTCALQCPHFESCSGCTHEFNLHRPVIVDEASNFFKGLGVSDFTFDSCRLWGWRCRAKLAVRGSSENPLIGLYQEGTHNVVDIPQCKAHHPNINAAVELLRKGVRELNIEPYDEDQGTGDLRYVQMAVTTHNTALPAVERYRNGRVQVALVWNSRNEKSSNSDKLNALANFLWRNGGSRSKLHLIHSVWANFQTSTNNIIFGNRWRHLLGERDFWEHVGGIDISLDPSSFGQANTRAFDNLLRKLHKYVPFGASVADLYAGAGVIGLSLAATKKCRSVKCIEINKESKPSFEKTVDRLPKSIEGSISWHNADTSIDPLSWLVGSDVIVIDPPRKGLDTTLIDALRTISSHKVKPSLKGRKETMGFTRKRTCERSFSSSWKRNNFRRSSITSRNSHLYKLWMGKLQRGLQVLVE >KJB70802 pep chromosome:Graimondii2_0_v6:11:9945318:9949823:1 gene:B456_011G091800 transcript:KJB70802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPCCTIEIFILLNVDIHYPFPPRSPEKFSTRPPRATEMSLYTLPIRPTYPIITCRVSPATAPTVASPPPPPSLLPPATSATAVPSLTCALQCPHFESCSGCTHEFNLHRPVIVDEASNFFKGLGVSDFTFDSCRLWGWRCRAKLAVRGSSENPLIGLYQEGTHNVVDIPQCKAHHPNINAAVELLRKGVRELNIEPYDEDQGTGDLRYVQMAVTTHNTALPAVERYRNGRVQVALVWNSRNEKSSNSDKLNALANFLWRNGGSRSKLHLIHSVWANFQTSTNNIIFGNRWRHLLGERDFWEHVGGIDISLDPSSFGQANTRAFDNLLRKLHKYVPFGASVADLYAGAGVIGLSLAATKKCRSVKCIEINKESKPSFEKTVDRLPKSIEGSISWHNADTSIDPLSWLVGSDVIVIDPPRKGLDTTLIDALRTISSHKVKPSLKGSSSNIKEEKRPWVLRERERAKEASVQVGRETISEDPQSLPETLIYISCGWESFKEVLVH >KJB70799 pep chromosome:Graimondii2_0_v6:11:9945291:9949883:1 gene:B456_011G091800 transcript:KJB70799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPCCTIEIFILLNVDIHYPFPPRSPEKFSTRPPRATEMSLYTLPIRPTYPIITCRVSPATAPTVASPPPPPSLLPPATSATAVPSLTCALQCPHFESCSGCTHEFNLHRPVIVDEASNFFKGLGVSDFTFDSCRLWGWRCRAKLAVRGSSENPLIGLYQEGTHNVVDIPQCKAHHPNINAAVELLRKGVRELNIEPYDEDQGTGDLRYVQMAVTTHNTALPAVERYRNGRVQVALVWNSRNEKSSNSDKLNALANFLWRNGGSRSKLHLIHSVWANFQTSTNNIIFGNRWRHLLGERDFWEHVGGIDISLDPSSFGQANTRAFDNLLRKLHKYVPFGASVADLYAGAGVIGLSLAATKKCRSVKCIEINKESKPSFEKTVDRLPKSIEGSISWHNADTSIDPLSWLVGSDVIVIDPPRKGLDTTLIDALRTISSHKVKPSLKGSSSNIKEEKRPWVLRERERAKEASVQVGRETISEDPQSLPETLIYISCGWESFKEDCKSLLNSKSWQLEKAHGFNFFPGTQSIEVLAVFKRSLKKKKTGKKKKKQG >KJB73889 pep chromosome:Graimondii2_0_v6:11:58927314:58932882:1 gene:B456_011G259100 transcript:KJB73889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSARSSLTIRAIDLNPVNYKALVSHRDCHQFGSTWITDLALVVFKHNRITFLQQLSYAEQSDLLSLEHHSLVCLSRPSCLCRQYLFLPERHLPGAPPSCYNLLHYPSFIKLLSKEPDAVNHSHNPVRILLDSFFFLHLQILKISPQVQQLLLPCPLCPLKLFLQLVPGLFWGLNLADNSFYSQIPQEIGRLRRLETLQLTNNSIRGEIPSNLSACSKLTLVNMRSNQLAGEIPASLGLLSNLKSLDFANNSLKGSIPPLFGNLSSLEELSLAINGLSGTIPEALGQLTNLSFFSIYGNVISGNFPMSIFNLSKIRRFNIGANKIQGTFHSDLGINIQCRFQYPMPQINIQCRFQYPISVVGNQISGQIPISISNASNLNKLDKLVNLGLGKNHFGHGKEGDLKFLYSLVNATKLNFLYIGTSNFGGELPKCISKFSTTLLHLVIEQNKIMGRIPNGIGNLNNLEVLSAIENQLSGPIPFEIGRLQKLKIFFAYTNFLPGTIPNSIGNLTMLIKLALGENNLQGSIPISLGNCQNLLLLDLSNNNLSGSIPHEVLRLQSLSIWLDLSSNYLTGELPGEVEKLKNLGRFYCSQNRLSGLLPRNLGSCVSLETLSLDDNLFEGPIPSSWSSLRGLVQLDLSDNNLSGGILEFFVTFGALKYLNLSFNDFDGVIPSEGVFKNASATFVEGNNKLCGGIPELHLSRCNSKTSSTTSVKLKIAIIVVMLGVALVFTCPLVLWFTKKRQQHPRTICEENWLLQLSYQRILRATNRFSTQNLVGAGSFGSVYKGILEESGEVIAVKVFHLLHSGASRSFLAECEALKNIRHRNLVKVLSAISGIDRKGNDFKALAYEFMENGSLEDWLYPSTSMNEPETMRNLNFIQRVNVAVDVVHALEYLHHRCETPIIHYDLKPSNILLDEEMVGHISDFGLAKILSGDELNYSANESSSLGLRGTIGYAPPEYGMGSELSTNGDVYSYGILLLEMLTGKRPTNERFKEGLSLHKFVKAALPNRVVEIIDPILLQESVRGGIVAGITLNENNLGNDEYLQSLNSIFKIGLTCSAESPSERMDMSDVVTKLCSIKDKLLRPTRLYRGIRTAYDAKPTGI >KJB70571 pep chromosome:Graimondii2_0_v6:11:8052285:8053575:1 gene:B456_011G080300 transcript:KJB70571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKKNTFPTRNHLPSSQDCQTRNRDRCTSSSLFDPRILTKKLFPSETLTITASFQHSSSNTSMTSPGTQSSVSFIGEGQGLIAFLGTLSTFAASPVIENQPYFTL >KJB71754 pep chromosome:Graimondii2_0_v6:11:21669126:21669997:1 gene:B456_011G139900 transcript:KJB71754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSTIVNKASSSSSICLISLEPKTQAQNHSFSTSMEYPESTKAHSSSPLNTFNLLLPVLFLQSPLRFHDLLLYCLQPRTLISHRGNSVGILQQGSRASEQLHLRCLLVRCFKDFFVEVWQLGSMFQLFSWPVF >KJB73524 pep chromosome:Graimondii2_0_v6:11:55885729:55886994:-1 gene:B456_011G237800 transcript:KJB73524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQEVERWFEKVVQKLGHAQDVEDKISEGKYLFRSCLGKLVDEAVQAMKEVHAEGNFSGGLVVNDPSTIAVNLPTPEVVGATNVREEIYQYLMGDAVESIAVWEMGGIGKTTIMKDVHNRLLKESKFRKLIWVTVSEVFDIRKLQKDIASQLERNLSPYWQLFYIL >KJB74462 pep chromosome:Graimondii2_0_v6:11:62643903:62644735:1 gene:B456_011G2960001 transcript:KJB74462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGLEAYLPLADMVDISAEVQRLTKRLLKMQTEYEGLKARLNSPKFIEKAPKDVVRGVQEKAAEAEEKINLTKNQLAFLKSTVMLSQ >KJB74464 pep chromosome:Graimondii2_0_v6:11:62643902:62644735:1 gene:B456_011G2960001 transcript:KJB74464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGLEAYLPLADMVDISAEVQRLTKRLLKMQTEYEGLKARLNSPKFIEKAPKDVVRGVQEKAAEAEEKINLTKNQLAFLKSTVMLSQ >KJB74463 pep chromosome:Graimondii2_0_v6:11:62643903:62644735:1 gene:B456_011G2960001 transcript:KJB74463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGLEAYLPLADMVDISAEVQRLTKRLLKMQTEYEGLKARLNSPKFIEKAPKDVVRGVQEKAAEAEEKINLTKNQLAFLKSTVMLSQ >KJB74465 pep chromosome:Graimondii2_0_v6:11:62643902:62644947:1 gene:B456_011G2960001 transcript:KJB74465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGLEAYLPLADMVDISAEVQRLTKRLLKMQTEYEGLKARLNSPKFIEKAPKDVVRGVQEKAAEAEEKINLTKNQLAFLKSTVMLSQ >KJB71965 pep chromosome:Graimondii2_0_v6:11:25347130:25354862:1 gene:B456_011G150700 transcript:KJB71965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMANMDPEGIDAVRMTWNVWPRTKVEASKCVIPLAASIAPIRPHTDIPMLPYAPLRCKTCSAVLNAFARVDFMAKIWICPFCYQRNHFPPHYAMISETNLPGELYPQYTTVQYALQSFPDPNNSNAPQLPPVFVFVLDTCMIEEELEFVKSAMKQAIGLLPEHALVGFVSFGTQVQVHELGFSDMSKVYVFRGSKEISREQVLEHLGLGSAGRRPTVGYPKVLHNGYANTGVNRFLLPASDCEYTLDTLLDELQTDQWPVQAGHRASRCTGVALSVSAGLLAACLPGTGARIIALVGGPCTEGPGTIVSKDLSEPVRSHKDLDKDAAPYFKKAVKFYDSLAKQLVSQGHVLDLFASALDQVGVAEMKVAVERTGGLVVLAESFGHSVFKDSFKRVFEDGEHSLGLCFNGMLEINCSKDIKIQGVIGPCTSLEKKGPNVADTVIGEGNTTAWKMCGLNKSTSLTVLFDLSSTERSNVPGAANSQFYLQFLTSYQDPEGKTMLRVTTVTRQWVDSTVSSEELLRGFDQETAAVVMARITSLKMETEEGFDATRWLDRNLIRLCSKFGDYRKDDPSSFTLNPCFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENITNAAVMIQPSLISYSFNSLPQPALLDVASISADRILLLDSYFSIVVFHGMTIAQWRNMGYQNQPEHQAFAELLQAPQADAQMIIQERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNSSDIAAGSDIIFTDDVSLQVFFEHLQRLAVQS >KJB74421 pep chromosome:Graimondii2_0_v6:11:62490481:62490934:1 gene:B456_011G294100 transcript:KJB74421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTSPKIRTQTNETLFLCFFFILHHFLYLFRDLPVHCRHPVVNITFDLFAVSLSCTNRMLHSVPCIFQLLSLVLEGLNILLMTGKVFDMVYDRRNRILCSFSENRANDANNELH >KJB73811 pep chromosome:Graimondii2_0_v6:11:58266484:58269567:1 gene:B456_011G254100 transcript:KJB73811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFYVKVVVLASNGRLPGGDGLAAFTITEVAVTLTVATLVTIYFSRLVKNFIIKTKPPPLPPGPPGLPILGHLLFIKPDFLQYVTKQSKIHGPIIKLQLGRKVYVIISSPSIAKQILKDHDAIFANRDVPAAAVTGTYAGLDILWRPNGPGLHKLRKLVVREIMSKEGLDACYEFRRREIRHTVKNILGKIGLPINLSEQIFLTMLSVTISMLWGGSLNGEEAKLGLELKDRVEEFVGLFGEPNVSDIFPVLKPLDLQGIESKTKKHMSWFYGFFESVIEQRTTLGEGPKMAGSKDFLQQLLELNQRGDAKTSISIKEIKALLLDIIIGSTDTTSTTMEWAMTELLRHPNKLRRVTEELDAIIGDQTSVEESHLPRLPYLEAVLKETFRIHPPAPLLFPHMSSETTIVAGYTIPKNSNIFFNVWAIQRDAEFWEDPLRFEPERFLKDTEKRNYTGNCFHFFPFGSGRRICVGIPLAEKIMMQILATLLHCFEWELPNGRKPDVKEKLQLVLSKAEPLVVVPIARLSNLRQYE >KJB74490 pep chromosome:Graimondii2_0_v6:11:19415:20260:-1 gene:B456_011G000200 transcript:KJB74490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIYGGDCEDSCMHICFLFHTGRCLHSLVRIGYISFKIVGIVRVRVRVLPSWLRRKKLPLLLLPPILLQCQPSPMFLLLLLFINFFF >KJB70532 pep chromosome:Graimondii2_0_v6:11:7667384:7668445:-1 gene:B456_011G077800 transcript:KJB70532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIPGLPNDIALQCLVRVPYNKFSNISSTCKDWKVEIHSPPFFRQRKAAGCTKHVMLMTQSRVNPNQNSGLKCHAMPVYRIVLCEPDTGDWCELPPVPGSSDVLPMFCQVVGVGLNLVVMGGLDPGTFDVRNDVYVYNFLSATWRRGADMPGVRRIFFGCASDSDRMVYVAGGHDEEKNALRSAMAYDVAANKWVTLPDMERERDECKGIFHLGKFHVIGGYCTDRQGRFGSSAEHFEVATWKWSPVEENFLRQGMSPRTCVATDGTLYMCRGGDVARLEAGTWKAVAELPAEVYNTAHIAAWEDKLLVIGSQGFGQPHTAYVLNLRNYTWTGMDVGDEYSGHVQSGCYLEL >KJB69743 pep chromosome:Graimondii2_0_v6:11:2997472:2998740:1 gene:B456_011G040300 transcript:KJB69743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSTLMGSSVVINVPSPPSSSSSSSGAHRTVENDGEDRVGREVEARLSDRNRLRRRLGHEVPRLILDNVDNDKMLMSLNRGIEELNKGENQLNLEIEELERKVVMLMLAKGLKGDKLDKSGEGKGVEVLKAMKLNDKLKDLGIGTEEHQKCGKGKVLKTDPARQKMNPSKLIPNKKEIAQEKGNVVGEAVNVNDDGDKEEKKLSKEIELLEAMLERGSYGLTDLQMMMEEVKAMKGSEKMVSEIEVKMTELETELWELKNAIVELKGKKSKELMGRVKKEEDKEVKREEQEQVQQEDDDDDDDDDEESNISKINWGSVISAVGAAAVATAAVFFMGGTEVVKSEKRNKRQNI >KJB74048 pep chromosome:Graimondii2_0_v6:11:60085795:60088347:-1 gene:B456_011G268900 transcript:KJB74048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDKNEPSKLRWGELEEDDDLDFLLPPKEVIGPDENGIKKVIEYRFNDEGNKVKITTTTRVRKLAKARLSKRALERRNWDKFGDAVREDVGSRLTMVSTEEILLERPRAPEAKASGDGLAQLSKGGAVLMVCRTCGKKGDHWTSKCPYKDLAAPVESFVDKPAAAETSAAAPGAGKGAYVPPSMRANAERTTGSDMRRRNDENSVRVTNLSEDTREADLHELFRSFGAVTRVYVAMDQKTGTSRGFGFVNFVNREDAQRAINKLNGYGYDNLILRVEWATPRTN >KJB74047 pep chromosome:Graimondii2_0_v6:11:60085711:60088437:-1 gene:B456_011G268900 transcript:KJB74047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDKNEPSKLRWGELEEDDDLDFLLPPKEVIGPDENGIKKVIEYRFNDEGNKVKITTTTRVRKLAKARLSKRALERRNWDKFGDAVREDVGSRLTMVSTEEILLERPRAPGTKPEEAKASGDGLAQLSKGGAVLMVCRTCGKKGDHWTSKCPYKDLAAPVESFVDKPAAAETSAAAPGAGKGAYVPPSMRANAERTTGSDMRRRNDENSVRVTNLSEDTREADLHELFRSFGAVTRVYVAMDQKTGTSRGFGFVNFVNREDAQRAINKLNGYGYDNLILRVEWATPRTN >KJB69819 pep chromosome:Graimondii2_0_v6:11:3313520:3315931:1 gene:B456_011G044200 transcript:KJB69819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKAVATECKTTFFNISASSVVSKWRGDSEKLIKVLFDLARHHAPSTIFLDEIDAIISQRGGEGRSEHEASRRLKTELLIPLTRKFIINFGSKY >KJB72705 pep chromosome:Graimondii2_0_v6:11:46319286:46321095:-1 gene:B456_011G192400 transcript:KJB72705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKIEINSIRVLQVIRGATLDIAVKARRVGRSTHQVPIEIGSRQGKTLAIRWLLGASRKRTGRNMTFKLSFKLVDVTKGSGDAIRKKKKTHRMAKANKAFAHFH >KJB69800 pep chromosome:Graimondii2_0_v6:11:3172599:3174664:-1 gene:B456_011G042900 transcript:KJB69800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFRFHQYQVVGRAHPAESDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSIGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATIPAKLCKRDSTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASKPNLFM >KJB71603 pep chromosome:Graimondii2_0_v6:11:20122169:20127104:-1 gene:B456_011G132600 transcript:KJB71603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTTVTHLHLHLLLLLFLSATTTTATCFTYHRRLLHQPFFPNISSPPIYPPSSTVFPAPQPAPYHSQQQPPKYPFSTTPPSTPQKPFFPSFPSPPPPSMVPTFPANISSLLVPDSPSPSSHRRHIVLISLSSSLLAAAVILALVALTLFFRNRNHHHNNTSNSEDKASLSDSMRLFPPNIPPSDASQKPPAPPPAQQPPRYVSINRSSEFLYLGTLVNSTVDPQKVILSSNGGSKLGGSSSPYQKLGSPELNPLPPLPKVQTFQSGEQFLQNEQMGSSENDVEDEEDEFFSPRGSPGRRESPPPSVRIESSSRREFHGENFGSRSFNSRTASYPLSTCRSLSNSFLNSSPSSQRSKSPDTVVPIYTVRVKTSSSTPPSSSSCSDRDSPDIGSSLSGQNKESPSKVMLKKLPPPPPPLPPSRFWEVPVAVNSVSETKSRGPPVLVAPSRPMVVNGQSKNNEGLGRSEETLKPKLKPLHWDKVRASSDRAMVWDQIKASSFQLNEEMIETLFMVNNSNLATKDNARKPILAAVNQENRILDPKKSQNIAILLRALNVTIDEVCEALMEGNSDTLGIELLESLLKMAPSKEEEHKLKEFNDESPFKLGPAEKFLKAVLDIPFAFERVDALLYIANFDSEIEYLKGSFETLQAACGELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRVEGSHLSGANQNPKAEKIQQSDFQDDVELRKLGLLVVSGLSGELTNVKKAAAMDSDVLSIDVAKLATGISKIREVVKLNEGIALKDNSCKFSESMNEFLKKAEEEIVRIQAQDRVALSMVKEITGYFHGNSAQEEAHPFRIFMVVRDFLSILDQVCKEVAKVNERTIYSSARPLQNPPTPSVFPGLNVRRHYSSSDDETPS >KJB71685 pep chromosome:Graimondii2_0_v6:11:21111277:21112197:1 gene:B456_011G137300 transcript:KJB71685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLTMGKKLLTSVLVELVVASAITQTVLAQNCGCAPNLCCSQHGYCGQGNDYCGTVASIVSPQFFNGIINQARADCAGKKFYTRQAFLTALDSFPDFGKLGSDVESKREIAAFFAHATHENEFFCHTEEQDKSDSHCDTTKPEFPCAPGKSYYGRGPLQLSNLTLDLLKNPEMVANDPVVSFKGSLWYWMAAVRPVIGRGFGETIKAINGRVECGVTAAKDRAQHRIQFYKYYCKRFGVHPGPSLSC >KJB74149 pep chromosome:Graimondii2_0_v6:11:60803140:60805443:-1 gene:B456_011G275600 transcript:KJB74149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDGSSQSRPPHVLVFPFPLQGHINSMIKLAELLAIAGFKLTFLNSHHNHERLVKFNNIAAHSERYPGFEFKTITDGLPLDHPRSGNWFLDTLEDTMELKMKESLREVLVNSSPPVDCIIGDGVLSFALDVAKEHGIPIIFFRTSSPCCFWVSYSIPDIIQAGELPINGTEDMDRLVTTVPGMETYLRCRDLPTFCRKLDIEDSIFMKLVVKTTRKSLQADALILNTAEELDGPILSQIRTKCPRVYAVGPLHAQLNTRLNAKHGESYDHFSNTLWEVDKSCICWLNKQPNRSVIYVSFGSITSTSREQLVELCYGLLNSKTKFLLVVRPNSVIGKDGEGEDVVMELMEKSKDRGYIVNWAPQEAVLNHPAVGGFFTHNGWNSTLESIVAGVPMICWPYFADQHVNSRVVSEVWKIGLDMKDVCDRKILEKMVNDVMVDRKEEFAKSASEIATVTNQSVNVGGSSYSNLDCLVEDIRIMSLKKLTK >KJB69355 pep chromosome:Graimondii2_0_v6:11:1324007:1327352:1 gene:B456_011G019200 transcript:KJB69355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSRQVQVVDDKQQVVSCHLKSAAFDEIQQRRSANYKANIWQYDFLQSLPTIYNGVEYTLRVENLKENVKDMFVEAKDQLAKLELIDIIRKLGLGDLFAEETHKALQTVVSSMKNNKNGEEEELYMTALRFKLLRLHGYDIDIKGLLELFEASYLAFEGETILDEAKAFSMEALRNVYPTLDLNLAKEVAHALELPMHWRVQWFDVKWRITMYETYNKNIDKGFLELAKLNFNTVQAILQKDLREISRWWRNLRIMEGLNFTRDRLAESFLCSVGLTYEPQYSCFRKCLTKITTMILIIDDVYDVYGSIEELEQFTEAVDRWDSSKTQDLPECMKTCFQALYDITNEIAYDIQELNGWQVQALLHLRKAWAGFCKALFVEAKWYNKGYSPSLEEYLSNALISSGAIVISIHTMLSVGSTDEKIINLLGKDEDLAYNISIITRLHNDLGTSMAEKERGDAPSSIHCYAREMNVSEKEAEEHIKNMINNTWKKINGQCLNNQSHNLLPCSFVKVTTNVARMVQCLYQFGDGFGIQDRETRNHIISLLIEPINLDKTAKD >KJB70887 pep chromosome:Graimondii2_0_v6:11:10209622:10212645:-1 gene:B456_011G094200 transcript:KJB70887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPSAGASSKSKVGSSQPSETSFKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDMAHKAQDIGSKRGKLSVEDFLYLIRKDLPKLNRCTELLSMQEELKQARKAFEVDEEKLGTLE >KJB69344 pep chromosome:Graimondii2_0_v6:11:1253001:1257185:1 gene:B456_011G018100 transcript:KJB69344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSTVFITLWLLLIVFSVLSPVTEAQPPTYRYHVCPNTTTFRINSTYQANRDTLLTSLSSNGSRGNGFYNTTSGRNPDTVYGIFLCRGDLSTSVCQACVTFASTDISRRCPVEIAAVVWYDECLLRYSDENIFSAVAEEPSVTLFNTQNISDQVRFDSQVQEVMSGTATQAANAAPGAKKFATREADANFTSSFRTLYTLAQCTPDLPTSDCDRCLRYVTGNLPRGSQGGRALSPSCNVRYETYLFYNLNQTAVASPPPPLVPVSRGNGRRIWPIIVAIVVPIAASILVLLLVCWVSKRRAKKYDAVQGGNAGYDITTVEALQYDFAKIEAATNKFSDANKLGEGGFGEVYKGILPNQQVIAVKRLSRGSGQGDEEFKNEAVLVAKLQHRNLVRLLGFCLEREEEILVYEYVPNKSLDYFVFDPTKQGQLDWSRRYKIIGGIARGILYLHEDSRLRIIHRDLKASNILLDEDMNPKISDFGMARIFGVDQTQGTTRRVVGTYGYMSPEYAMQGQFSVKSDVYSFGVLVLEIISGQRNSNFYETEGAQDLISYAWKLWKDGRSQELLNPVLRDNYSRNEVIRCIQMGLLCVQEDPADRPTMATIVLLLNSYSVTLPVPKQPAFVLQSRTDGRMPDKGLESDQSTSQSMPWSINEVSITELHPR >KJB69345 pep chromosome:Graimondii2_0_v6:11:1253215:1256988:1 gene:B456_011G018100 transcript:KJB69345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSTVFITLWLLLIVFSVLSPVTEAQPPTYRYHVCPNTTTFRINSTYQANRDTLLTSLSSNGSRGNGFYNTTSGRNPDTVYGIFLCRGDLSTSVCQACVTFASTDISRRCPVEIAAVVWYDECLLRYSDENIFSAVAEEPSVTLFNTQNISDQVRFDSQVQEVMSGTATQAANAAPGAKKFATREADANFTSSFRTLYTLAQCTPDLPTSDCDRCLRYVTGNLPRGSQGGRALSPSCNVRYETYLFYNLNQTAVASPPPPLVPVSRGNGRRIWPIIVAIVVPIAASILVLLLVCWVSKRRAKKYDAVQGGNGYDITTVEALQYDFAKIEAATNKFSDANKLGEGGFGEVYKGILPNQQVIAVKRLSRGSGQGDEEFKNEAVLVAKLQHRNLVRLLGFCLEREEEILVYEYVPNKSLDYFVFDPTKQGQLDWSRRYKIIGGIARGILYLHEDSRLRIIHRDLKASNILLDEDMNPKISDFGMARIFGVDQTQGTTRRVVGTYGYMSPEYAMQGQFSVKSDVYSFGVLVLEIISGQRNSNFYETEGAQDLISYAWKLWKDGRSQELLNPVLRDNYSRNEVIRCIQMGLLCVQEDPADRPTMATIVLLLNSYSVTLPVPKQPAFVLQSRTDGRMPDKGLESDQSTSQSMPWSINEVSITELHPR >KJB69989 pep chromosome:Graimondii2_0_v6:11:4098198:4104095:-1 gene:B456_011G052400 transcript:KJB69989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEIVRALNSIFQQWDVQAVDSWNTTGDPCSGSALSQDDSVFEDTSNNPAIRCDCSFNASTVCHITRLRVYALDKRGELLEELLDLPYLTFLKIDQNFFSGPLPAFIGNMSRLGLLSVAHNIFHGSIPQELGNLKELYLLSFGNNNFSGTLPPELGSLVKLQQIYINSCGLGGQIPSTFANLENLEIVWASDAAFTGKIPDFIGNNWTKLTSLRIEGNSFQGPIPSSFANLTSLISLRIGGIYNGSSSLNFVRNLKNLTDMVLRNVLLTGTLPSYITELQSLQKLDLSFNNLTGEIPSALFTMNSLQYLFLGNNSLSGAIPSQKSESLQTIDLSYNFLSGNLPSWVNSGLQLNLVANNFTLNSTNIRLLPGLECLQRSFPCNRNAPRYANFAIKCGGPQMTSTGIIFEAENRTLGAATFNVTSTQKWAVSNAGLFADRQDPQFVQNTLAQVKSTNTPELYQTSRLSPGSLRYYGLGLQNGPYTVRLFFAETGFPGRTTQSWKSLARRVFDVYIQGTRRLRDFDISKAAGGVERAIIRNFTANVTENHLEIHLFWAGKGTCCTPIQGYYGPSISAISVVPNFKPNVSGIPLGIPEEKNHVGLIVGVTVPIVALAFILVFVIIYLKRQRDDDDEELLLGINPRPNTYSYSELKAATENFSSSNKLGEGGFGPVYKGTLSDGTVVAVKRLSVASNQGKEQFVTEIATISAVQHRNLVKLLGCCIEGKRRLLVYEYLENKSLDQALFGKIDLRLDWPTRFNICLSTARGLAYLHEESRPRFVRRDVKASNILLDAELCPKISDFGLAKLYDDKKTHVTTRAAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEILSGRPNADNT >KJB69988 pep chromosome:Graimondii2_0_v6:11:4097390:4104095:-1 gene:B456_011G052400 transcript:KJB69988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEIVRALNSIFQQWDVQAVDSWNTTGDPCSGSALSQDDSVFEDTSNNPAIRCDCSFNASTVCHITRLRVYALDKRGELLEELLDLPYLTFLKIDQNFFSGPLPAFIGNMSRLGLLSVAHNIFHGSIPQELGNLKELYLLSFGNNNFSGTLPPELGSLVKLQQIYINSCGLGGQIPSTFANLENLEIVWASDAAFTGKIPDFIGNNWTKLTSLRIEGNSFQGPIPSSFANLTSLISLRIGGIYNGSSSLNFVRNLKNLTDMVLRNVLLTGTLPSYITELQSLQKLDLSFNNLTGEIPSALFTMNSLQYLFLGNNSLSGAIPSQKSESLQTIDLSYNFLSGNLPSWVNSGLQLNLVANNFTLNSTNIRLLPGLECLQRSFPCNRNAPRYANFAIKCGGPQMTSTGIIFEAENRTLGAATFNVTSTQKWAVSNAGLFADRQDPQFVQNTLAQVKSTNTPELYQTSRLSPGSLRYYGLGLQNGPYTVRLFFAETGFPGRTTQSWKSLARRVFDVYIQGTRRLRDFDISKAAGGVERAIIRNFTANVTENHLEIHLFWAGKGTCCTPIQGYYGPSISAISVVPNFKPNVSGIPLGIPEEKNHVGLIVGVTVPIVALAFILVFVIIYLKRQRDDDDEELLLGINPRPNTYSYSELKAATENFSSSNKLGEGGFGPVYKGTLSDGTVVAVKRLSVASNQGKEQFVTEIATISAVQHRNLVKLLGCCIEGKRRLLVYEYLENKSLDQALFGKIDLRLDWPTRFNICLSTARGLAYLHEESRPRFVRRDVKASNILLDAELCPKISDFGLAKLYDDKKTHVTTRAAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEILSGLDPA >KJB68810 pep chromosome:Graimondii2_0_v6:11:26884463:26884961:-1 gene:B456_011G154900 transcript:KJB68810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLKLFVYTVVIFFISLFIFGFLSNDPGPNPGCEE >KJB74434 pep chromosome:Graimondii2_0_v6:11:62563912:62565574:1 gene:B456_011G294900 transcript:KJB74434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLITMAPSMHEFSAPLLSSATSSRSCSISSTSSEADDNSPPTSHRFELRDVATTYEFSCKSLAVLSGHIGSVSCLALCGEFILSASQGKDIIVWQQPDLRQFTKFGQGDGSVKALVAVGNKVFTAHQDSKIRVWKVSTSSENVFKLINTLPTTKDYLGKFMKQSNYVQTRRHHKRLWIEHADSISCLAVYNGLVYSGSWDKTLKVWRISDLKCLESIKAHDDAINSLVACKGIVYSASADGKIKAWGKHGNTSHSLQGILEGHKDVSLNSVTVSEDGKWVYGGGSDGYIMGWEGNANFISWKLVSETRAHHMAVLCMCLMGEVLCSGSADKTIGIWKREAYGKLCKIGVINGHQGPIKCLQASPCNVGNGFLLYSGGLDKTVRVWWVPKRFAI >KJB70127 pep chromosome:Graimondii2_0_v6:11:4753896:4757410:-1 gene:B456_011G059200 transcript:KJB70127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTIALYASPPRSTPSTVCSTPHQISMNSHASYDLDLNSRSSSTSSTTASASSQRPIVGGLSCLFSSPTIKSSFSSGGGEDLGSHRGDDLKELSSSFCYSSSKFGGSSLKANQSPVSVFQGPVSCSSSSPPMRILREKGGDGNFQGSFRVGTNRLFNGFIRGALGSCVHYDSPSSEVQTGSCVDELPFTMEDNFMEEVDSDPYAKELLLRAQMRHKIFSEDIVVKAFYEAEKAHRGQMRASGDPYLQHCVETAVLLASIGANSTVVAAGLLHDTLDDAFLSYDYIFRTFGAGVADLVEGVSKLSHLSKLARENNTASKTVEADRLHTMFLAMADARAVLIKLADRLHNMLTLDALPLPKQQRFAKETLEIFTPLANRLGISSWKEQLENLCFKHLNPEQHSELSSRLVDSFDEAMITSAIEKLERALKDKNISYHVLSGRHKSLYSIYSKMLKKKLAMDEIHDIHGLRVIVENEEDCYEALRVVHQLWSEVPGKLKDYISRPKFNGYQSLHTVVMSEGTVPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKYSSFVLQMVEWARWVVTWHCETMSKDQSSICYTDSVKPPCTFPTHSDDCPFSYKPHCSQDGPVYVIMIENDKVCFLNSTSLLFQVEPVVMLWDWKFGLNIYGSMNVADVGARVSGKLNNDGFAGKSWAG >KJB70126 pep chromosome:Graimondii2_0_v6:11:4753799:4757974:-1 gene:B456_011G059200 transcript:KJB70126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTIALYASPPRSTPSTVCSTPHQISMNSHASYDLDLNSRSSSTSSTTASASSQRPIVGGLSCLFSSPTIKSSFSSGGGEDLGSHRGDDLKELSSSFCYSSSKFGGSSLKANQSPVSVFQGPVSCSSSSPPMRILREKGGDGNFQGSFRVGTNRLFNGFIRGALGSCVHYDSPSSEVQTGSCVDELPFTMEDNFMEEVDSDPYAKELLLRAQMRHKIFSEDIVVKAFYEAEKAHRGQMRASGDPYLQHCVETAVLLASIGANSTVVAAGLLHDTLDDAFLSYDYIFRTFGAGVADLVEGVSKLSHLSKLARENNTASKTVEADRLHTMFLAMADARAVLIKLADRLHNMLTLDALPLPKQQRFAKETLEIFTPLANRLGISSWKEQLENLCFKHLNPEQHSELSSRLVDSFDEAMITSAIEKLERALKDKNISYHVLSGRHKSLYSIYSKMLKKKLAMDEIHDIHGLRVIVENEEDCYEALRVVHQLWSEVPGKLKDYISRPKFNGYQSLHTVVMSEGTVPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKYSSFVLQMVEWARWVVTWHCETMSKDQSSICYTDSVKPPCTFPTHSDDCPFSYKPHCSQDGPVYVIMIENDKMSVQEFPANSTMMDLLERAGRGNSRWSPYGFPVKEELRPRLNHEPVSDATCRLKMGDVVELTPAIPDKSLTEYREEIQRMYNRGLSVSSTGPPASNMVASRR >KJB70582 pep chromosome:Graimondii2_0_v6:11:8178249:8179844:-1 gene:B456_011G081300 transcript:KJB70582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNTSLQMSLNCPSHLSVSVTRQRNVCKRVLNPVLAMPPSSVILHTDESGEFPESRNSSSSSNASLSSCAGSLLCHPNTVGIMGGGSVDSTLSFVRKLVHWSKENEETCMPFVLCSDPVLNRELLSLERNSSSLCSRNARSQFDHSPIVESLLSKRVFLEKSGAQCIVVPCHISHSWHDEVFKGCSIPSLHMAECVSRELKESKLKPLEAGSPLRIGVLATDATLKAGFYQEKLQNEGFEVVLPDKATMEHTVIPAIDAINRKDMEGAQNLLRIALQVLLVRAVNTVILASNDMCDLLPRDDPLLKKCIDPMDALAMSTIKWAQQAVKEGT >KJB71021 pep chromosome:Graimondii2_0_v6:11:11316370:11318120:1 gene:B456_011G100800 transcript:KJB71021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVERVFHMSGGVGKTSYAQNSSLQKKASDMMKHITMETIQQLYHEVTAKSLGIADLGCSSGPNSLSLIKDIVEAVEGSCHKTCRPLPEFRVFLNDLPTNDFNSVFKTLPDFYRDLKKDKTERCPAIFIAGYPGSFYGRLFPNNCLHFIYSSYSLHWLSKVPPSLYDEHGKSINRGNVYISESSPPSVSRAYWNQFQEDFSLFLKSRSEELVTGGRMVLIILGRIGHNHVDRGNSFFWEILSRCLAISVSQGEIEEEKLDCYDVHFYAASRNEIEEEVRREGSFELERVEMFEIEREVQRGESYGTAVAMTVRAIQESMLYNHFGNGINLDALFNNYGKMIDQEMAKQEIKPITFLLVLKKL >KJB71022 pep chromosome:Graimondii2_0_v6:11:11316419:11318088:1 gene:B456_011G100800 transcript:KJB71022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVERVFHMSGGVGKTSYAQNSSLQKKASDMMKHITMETIQQLYHEVTAKSLGIADLGCSSGPNSLSLIKDIVEAVEGSCHKTCRPLPEFRVFLNDLPTNDFNSVFKTLPDFYRDLKKDKTERCPAIFIAGYPGSFYGRLFPNNCLHFIYSSYSLHWLSKVPPSLYDEHGKSINRGNVYISESSPPSVSRAYWNQFQEDFSLFLKSRSEELVTGGRMVLIILGRIGHNHVDRGNSFFWEILSRCLAISVSQVPHCLV >KJB69337 pep chromosome:Graimondii2_0_v6:11:1217491:1220803:1 gene:B456_011G017600 transcript:KJB69337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLIMFVFSIKVSSNPLYTFCSDNTGNYTSNSTFENNLKWVLDSLPSNTSGTGFYSTLIGEGVDRVYARALCRGDVNATLCRNCVKNASRDVLSDCKTLEGIVWYDDCQVQYSFQNSSLMVYTGKYPDSNNKEKNISNPGRFDDALTFLMNNVSNDAAYDSELMFKTGEVKVNKKETLYGLVQCTRDIVGDTCRSCLDSALKDLNGCCRSRTGGSVLSRNCNVRFQIYKFYNVMNSPLIYAESKTGSKWSFGMIIAVVCAAVLVVALVAVSSFVYTRSKKRKQKDEERSQTILLYELASPKEVIITQEGELVTAQEFPFLDLATIRVATDDFSDSNKLGQGGFGTVYKGVLPNGKEVAIKRLSRKSWQGLEELKNEVILIAKLQHRNLVRLLGCGIEGDEKLLLYELMPNKSLDFFIFDPEKRSQIDWKTWFDIITGISRGLLYLHEDSRLKIIHRDLKPSNVLLDQDMVAKISDFGMARIFCENQNAGNTKRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVIMLEILSGKKNSGFYQTKLAQTLLAYAWGLWKEGKELELMDPCLLESCSIPEIRRCMHVGLLCVQEDPTDRPTMSDVVVVLGSDTITLSPPKRPAFSVGRMITNYQSSVIDPSINQMTMSNISAR >KJB70150 pep chromosome:Graimondii2_0_v6:11:4978748:4979137:-1 gene:B456_011G060700 transcript:KJB70150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQEQGKQSFSSRLTRNEVEDRIILFSYAVVAEFFEFQEDRMFYMDVMDSLGKEWTFVGSFYTNQEVGNYVSISLLQFFTEKGLKPNDEVTFTKIPQGEDEWTWKKFKVEIKRKITLFGQDIWGELVV >KJB74089 pep chromosome:Graimondii2_0_v6:11:60363610:60364865:-1 gene:B456_011G271300 transcript:KJB74089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKDEACLRSIPPGYRFKPRDDEIINFYLRPKIFGLPLPPNRIKEVLLYNYDPQTLTAMSNNALSNGVGNEYYFFTPRDRKYANGSRPARNAGNGYWKATGADKLICRKGKKIGLKKSLVFYQGKPPKGVKTNWLMHEYVLTDAPIRKRLGNEDMRLDDWVLCRVYKNPRVKKLEHETLEDGNQEEADTATTVAYDGAQMVQQEGHQVECEAEAARSAIPPYNGIQMVENGIAFATLYEPINTSSQMLEHGIAPLYEPINTSSQMLENGIAFAPLYEPINVQMPQGTSSWFPEQVYSTPYFNQYGDYTFEHPTLEGFNMPDCGVYDPALFQINMQQHFSSDFDLDQLNQDPHSGLPPPL >KJB72969 pep chromosome:Graimondii2_0_v6:11:49816099:49819273:-1 gene:B456_011G206700 transcript:KJB72969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT2G40760) UniProtKB/Swiss-Prot;Acc:Q1JPN0] MLSISYHMMLRCGSPLLLALRMLSSSSPSSSSLFFSNPKLYHLPSSPQPLFVLPFNSPLSGFCASSHPQRMTLSMCFSATTAADPIPTSDPHPPHSPDSQSLVVVSFYKFADFPDHADLRKPLKQLCQDLYVSGGIILAPEGINGSICGTRESVERVLMFIQTDDRLKGLRQIESPVSPEQEAIHHGHSSSSPLAAGEDAPFRWDHVRVKLKKEVFCFEASHLFVTICDVSPIEKVGKYVSPGDWNALISDPDTVVIDVRNNYETRIGMFKGAVDPCTTAFRDFPSWVEEQFQPDAANVEHAEMEKEGSNESINEDAEISKPKMPKRVAMYCTGGIRCEKATSFMLSKGFEEVYHLKGGILKYLEEIPKTESLWEGECFVFDKRVAVEHGLIQGNFKLCYGCKQPVSDADMEAPEWEYGVSCPYCYSTKSEEEKERARARQRQFEAWGIIGGPDKGRRPAAKADSIKSDQIQTNDSASA >KJB72968 pep chromosome:Graimondii2_0_v6:11:49816065:49819361:-1 gene:B456_011G206700 transcript:KJB72968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT2G40760) UniProtKB/Swiss-Prot;Acc:Q1JPN0] MPMLSISYHMMLRCGSPLLLALRMLSSSSPSSSSLFFSNPKLYHLPSSPQPLFVLPFNSPLSGFCASSHPQRMTLSMCFSATTAADPIPTSDPHPPHSPDSQSLVVVSFYKFADFPDHADLRKPLKQLCQDLYVSGGIILAPEGINGSICGTRESVERVLMFIQTDDRLKGLRQIESPVSPEQEAIHHGHSSSSPLAAGEDAPFRWDHVRVKLKKEIVTLGMPDVSPIEKVGKYVSPGDWNALISDPDTVVIDVRNNYETRIGMFKGAVDPCTTAFRDFPSWVEEQFQPDAANVEHAEMEKEGSNESINEDAEISKPKMPKRVAMYCTGGIRCEKATSFMLSKGFEEVYHLKGGILKYLEEIPKTESLWEGECFVFDKRVAVEHGLIQGNFKLCYGCKQPVSDADMEAPEWEYGVSCPYCYSTKSEEEKERARARQRQFEAWGIIGGPDKGRRPAAKADSIKSDQIQTNDSASA >KJB73471 pep chromosome:Graimondii2_0_v6:11:55259126:55264366:1 gene:B456_011G234300 transcript:KJB73471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIGGKRLKLTIWDTAGQERFGTLTSSYYRGAHGIILVYDVTRRETFTNLSEVWAKEVELYSTNQECIKVLVGNKVDRDSERAVTQEEGMALAQQLKCSFLECSARTRANVHQCFKDLVLKILEVPTLLEKGSTAVKKQILQQKQVRKPPESNTCCFQ >KJB73470 pep chromosome:Graimondii2_0_v6:11:55258773:55264457:1 gene:B456_011G234300 transcript:KJB73470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSSSSSSKGGGNNNCDYSFKILLIGDSGVGKSSLLVTFISNFVHDLSPTIGVDFKIKMVTIGGKRLKLTIWDTAGQERFGTLTSSYYRGAHGIILVYDVTRRETFTNLSEVWAKEVELYSTNQECIKVLVGNKVDRDSERAVTQEEGMALAQQLKCSFLECSARTRANVHQCFKDLVLKILEVPTLLEKGSTAVKKQILQQKQVRKPPESNTCCFQ >KJB73472 pep chromosome:Graimondii2_0_v6:11:55293680:55294798:-1 gene:B456_011G234400 transcript:KJB73472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPEEVPVGSKDQTNNIIVKGKRTKRLRPQSPIPFAIASNNSIFNGDNVVNMENNNNNYGEYLSLSSSSDNYQDSTTEEEEDMANCLILLAQGQSREPPPKLLQQPNDHHPHQQHDPGVVYNKFNSRRFMEAASNGDGKAGYYVYECKTCNRTFPSFQALGGHRASHKKPKAAATIDEKIRQFTAGTTTIGLSDEEEGQHQQQQFMKTNNVSSLSLQLSNTNNYNNHNINNRVLYGNSNKDNNNNNNGKPNKVHECSICGSEFTSGQALGGHMRRHRGSIGGGSNSAVAANTALSLKVATPLEQPQQPKKPKNVLSLDLDLNLPATDDVDHREPKFSFASKQQQQQQQQQQQQQQQSALVFSAPTLVDCHY >KJB73807 pep chromosome:Graimondii2_0_v6:11:58243464:58245868:1 gene:B456_011G253800 transcript:KJB73807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHHHFSHQHPLEFIEQHNLKSEKANCSGCGELVSGLSYSCTECEFYLDKKCFEASPEVNHPFHPNHSLKLLANPPYIGSWAICDFCDKRCEQFVYHCSCKLDFHIKCALFSKTIAENKVGELEGVSQKDLLVSSENGSEELEETECFACRKPLLDSPFISFDSRFHLHKKCLDLPIEVNHLIHSQHPLVLQFNSQRLPCQICQITQPRGLVYCCSPCEFTLHIACVERPTTLDLPCHREHSLVLQLNLKSLLCQICHETHDLSPAYYCSACKFGLHVQCVSPAPTIKGEIHEHPFTLFWRQVPFICDACGTSGDCISYICSPCGLIVHEKCISLQPIIKRFPRHGHRISHTFIHRKHEIKSWKCQICYEEVNSKHGCYCCSDCNYIVHTNCGIKDYSWYDIVDDELEETGELLNNSAFVVIRETKLGDNIVIPTEIKHLSHPHNLIFSNDVKDDKYCDGCVLLISTSFYHCAQCDFFLHKSCAELPKKMYNWNHFHQRPLTLDLHAVLFCRRRLFEFNNCFSSACNVCEERYCVHWHEHRLFFYDKYEGQCNGCGNNLEDVYACKECNFAVEFGCLTFPDKIQHKRRNASHWFYSCAICDNSAHKNCVIDAYSYMKLGKTYTTKDHPHPLTFTRKIYDYPPECHICEEHCEDLSAECLENGCNYIVHWKLLHIGK >KJB69812 pep chromosome:Graimondii2_0_v6:11:3233370:3234353:-1 gene:B456_011G043600 transcript:KJB69812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGMPTRFSYQELKKVTNNFSNKLGEGGFGSVFHGTLPSGSQVAVKHLVGFGPVNKSFIAEVQTIGSIHHFNLVSLVGFCAERFNNLLVYEYLVNGSLDRWIFNQNQKLALGWQIRKKIILDIAKGLAYLHGDCHQKIIHFDIKPENILLDENFNAKISDFGLSKLIGKDQSQVVTTMRGTPGYMAPEWLTSTITEKVDVYSFGIVVLEILCGRRNIDRSQQEEDRHLLELFRREQEEGQLLDLVDKCNSDMQSNAIEVVEMMKVVAWCLQTEYVKRPSMSTVVKLFEGSADVVSDNLNEDFLNGLILEATETDTSTILPSILSGPR >KJB70756 pep chromosome:Graimondii2_0_v6:11:10067289:10071232:-1 gene:B456_011G092600 transcript:KJB70756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDEKWRYVTYRWDSQEKTVNINPIMLRFRPIAPKPVTGESGSGGVQFGNKNLLLCKPRAKRKYVRVRKNNIKRKKRSSSSSPSDHEESSKKTEKVVTLQLLPEKTEAIGSINDENGVVLEENNQDLPSLFNLNNSRRINRIAPLEEPDRKAVVSQTERATVVESWVTVECVTETCMDGRELGSTDVEKMKNLEADTCPGFISDGLNRVQWVNGAYKRMLMAGEGRGGWLPPEITAWLVIKQELPTFCTAFSCKVRLQFMWRNKCSTIMLPCDAWKMDGGGGFAWRLDVESALSLGH >KJB70757 pep chromosome:Graimondii2_0_v6:11:10070103:10070999:-1 gene:B456_011G092600 transcript:KJB70757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDEKWRYVTYRWDSQEKTVNINPIMLRFRPIAPKPVTGESGSGGVQFGNKNLLLCKPRAKRKYVRVRKNNIKRKKRSSSSSPSDHEESSKKTEKVVTLQLLPEKTEAIGSINDENGVVLEENNQDLPSLFNLNNSRRINRIAPLEEPDRKAVVSQTERATVVESWVTVECVTETCMDGRELGSTDVEKMKNLEADTCPGFISDGLNRVQWVNGAYKRMLMAGEGRGGWLPPEITAWLVIKQELPTFCTAFSCKVRLQFMWRNKCSTIMLPCDAWKMDGGGGFAWRLDVESALSLGH >KJB72736 pep chromosome:Graimondii2_0_v6:11:47058124:47061069:1 gene:B456_011G195100 transcript:KJB72736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKVGLKKGRWTAEEDEILANYIKANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNITADEEETIVKFHSALGNRWSLIAAQLPGRTDNEIKNYWNSHLSRKIYSFSKTIKETKPTDLDAIKRAEDHQRKRRCGRTSRSAMKRQKLALMSLGISKTVTPNAQESSHGETLEMHGSCTHSNASGQPQGNYDESGSNGGIALSTNSGEESSGDGIENEVLLGPYEWLDNEIKRLSCILQRSQGADPIGNHGGVADSINGVIKDTQNDARERESYGIGSSSSNTTEITDHHGDEWQMCNSSVDFIGDFQWCDDHQWELSWDDLEKVFCWSWDDANGDDEAGKNT >KJB69186 pep chromosome:Graimondii2_0_v6:11:701968:706059:-1 gene:B456_011G009600 transcript:KJB69186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDLVLDTAIRDWVLIPLSVVMVLIGILRYFVSKLMRSFQVPDPKIVKEGQVIVRARNLRGAANFIPPKSFRSRRVYFSNEENGLLFVPKGQAQNAQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENAMDDTQRMMQMGGFGFDPSKSLSAEKDGLDIVQHEWALPKFEHRAEAVLKKLVS >KJB73748 pep chromosome:Graimondii2_0_v6:11:57444418:57445237:-1 gene:B456_011G248300 transcript:KJB73748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTITLKLLIDTKGQSVLYAEAGKDFVDFLFNILILPVGTVIRLLAKEGMVGCLANLYESVENLGDTYFQPTTNKDTLLKPNYSSSLATNVPRLLPTIQYKTTQSIYMCSTNNFGCVSRCGNYYANDPTSKCPSCKNAMNSPASFVEPPNKVSTASSDANEGGYVKGVVTYTIMDDLTVTPMSTISSITMLNKFNVQRVDALEEKVVDVGINEGVELLTASLQSKNALTNVFLTQKAGKKRCK >KJB68990 pep chromosome:Graimondii2_0_v6:11:169167:172939:-1 gene:B456_011G001800 transcript:KJB68990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNSKGGQKRGAGNGLVAVAIDKDKGSQNALRWAVEHLLSRGQAVVLIHVTKPTYETSSLAPTKYTMDRQTKELFLSFHCFCTRKDIQCLDIILEDTDVVKALTEYVSHAAIEKLVLGAASRSGFMRKFRADIPSCVTKAAPDFCTVYTISKGKVSSLRNASRSAPFSSPLIDQIRKLNNNFSDPNLINAAVKAGTTRPSLLKAFADYPESDTDISFVSSDRPSTDRNSSLLFDSFIDSTKNSRLSTSTDYSMGSLRSGARWSESNIPPQNFSSVSFESGRSSCSSQNLDEVEAEMRRLRQELKQTMDLYSTACREALTAKQQAMELHKYKNEEEQRLVEARQAEEAAMSAVEAERAKCKASIEAVEAAQKYVETRKSSTLDGETLRETMEMKKMFEALSDGKIQYRKYTIEEIEEATDNFSPSRKIGEGGYGPVYKCYLDHTPVAVKVLRPDAAQGRLQFMQEIEVLSCIRHPNMVLLLGACPEFGILVYEYMSNGSLDDCLFRKGKMPALSWPIRFRIAADIAIGLLFLHQTKPEPIVHRDLKPANILLDHNFVSKIGDVGLARLVPAVAENVTQVRVTSAAGTFCYIDPEYQQTGVLGVKSDIYSLGILLLQLITAKQPMGLAHYVEQAIEQGTLFTEMLDPAVPDWPAEETMELAKLALRCAELRRKDRPDLSKEILPLLAKLRDMADEKMANLLFAGSPGASPKYSQHSISQSETD >KJB71427 pep chromosome:Graimondii2_0_v6:11:17159560:17160174:1 gene:B456_011G122900 transcript:KJB71427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGLVINFDILEYEYRREGEGGAIEWLEKAQARLRKVEMEANQFRVNGYSKIEREKLNLINSTYTILELLENHKNKAIYFEQQRVINQV >KJB72456 pep chromosome:Graimondii2_0_v6:11:42223493:42226797:1 gene:B456_011G179700 transcript:KJB72456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPCPLPVTAAQVGIYFVERYYQVIIQQPHLVHQFHSDASTMVRVDGSHRETAAAMLQIHALVMLLNFTGIEIKTACSLESWNAGVLVMVSGSVLVKDFCSRREFVQTFFLAPQEKGFFVLNDIFHFIEEQQIQHHPADIFVALTYNIVLFVVPGYLLGGDIQRREFVAPVDVKENGPVDNYTLSEQLQQAPESESVVDKSSLRVAKGQSAPSVAPQVSVSKNPLPASDWDHAPQHTAQQPVLSSNVVEMSGADMVDEISPIENLPSTVFESEIEEEFKKFGDISPDGVVIRSRKDVGVCYAFVEFQDMSSVRNAVMAGTAQVAGRQVYIEERRPNSYIPSRGGRMGRGRGSYPTEAPRGRFGTRSYGGGGAYNGSERDHSRSRGNGFYRQTS >KJB72457 pep chromosome:Graimondii2_0_v6:11:42223493:42227082:1 gene:B456_011G179700 transcript:KJB72457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPCPLPVTAAQVGIYFVERYYQVIIQQPHLVHQFHSDASTMVRVDGSHRETAAAMLQIHALVMLLNFTGIEIKTACSLESWNAGVLVMVSGSVLVKDFCSRREFVQTFFLAPQEKGFFVLNDIFHFIEEQQIQHHPADIFVALTYNIVLFVVPGYLLGGDIQRREFVAPVDVKENGPVDNYTLSEQLQQAPESESVVDKSSLRVAKGQSAPSVAPQVSVSKNPLPASDWDHAPQHTAQQPVLSSNVVEMSGADMVDEISPIEYEGCWCLLCICGIPRYVKCP >KJB70234 pep chromosome:Graimondii2_0_v6:11:5450183:5452062:1 gene:B456_011G064400 transcript:KJB70234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDRVYPSSKQPTNGTAPTTTSAAAAANPSFPATKSQLYGASRPLYRPQTKRHRYRRSCCCSCCLWTTILILVLILLVAIAGAILYVLYRPHRPTFTISSLKVSTLNVTSASKVITNIHLNVTAKNPNKKLVYIYDPITISLITNDEIDIGEGSLGSFVHGNKNTTLLKAAITSSNRQELDEASAGKLRSGLKSKKGLPLKIKLDTKVKAKMGALKTPKVGIRVVCEGIKATAPKGKSATIASTSNAKCKVDLRLKIWKWTL >KJB73858 pep chromosome:Graimondii2_0_v6:11:58584789:58587271:1 gene:B456_011G256600 transcript:KJB73858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDSSVQSDIKLWPYKVIAGSGDKPMIIVSYKGEDKQFSAEEISSMVLTKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQSLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIKDEKIGSKLSPDDKKKIEDAIDAAINWLDANQSAEADEFEDKMKELESLCNPVIAKMYQGGAGPDMGRAGGMADDVPPSRGGGGAGPKIEEVD >KJB74217 pep chromosome:Graimondii2_0_v6:11:61195114:61196654:-1 gene:B456_011G280000 transcript:KJB74217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERLRTAAHTGNVSDLYSLIQRDGNVLRHFDEVEFVETPLHIAAEEGCIRFAMEMMSLKPSFASKLNQQGLTPLHLAVTKGHTSMVLRLMEIDKDLVRVKGKNGKTPLHIITEVGNHNGLLERFLEICPQSIRDVTIENRNALHIAVENDRLDVLRVLLPTLRKTDYYREVVNQKDEDGNTALHLAAFHNQPEMLKLLLNCNADKHATNQAGLMALDIADQNHNEDSITVLRGCFIPGVSNFKHKLEKQVRKASSLISHEIDNISGEDRNALLVILGLLLTATFQASLSPPGGVWQGDNTSKSKGSYDENALGRSILDEFSFLFFYIPTYLVFIVTFFLTLALLKPYPHCFRTALQVLLAFFAICFDLSVRFIAPTDFTTRVMAVFSVLFFVLTVLMVFTSRVSKLSVAILGCWLSPSSVLTYDDIDPVLPHVIQGVWLFFFLYDEFWKGTILGFNDFPGLWMLVIPLSM >KJB74153 pep chromosome:Graimondii2_0_v6:11:60837977:60838702:1 gene:B456_011G276000 transcript:KJB74153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPTRMIDVEKLISYSDDPVEVLKDKRDINNLTQCFQHFNDLRLHCDADSNEVHRLLREYEEKIEACKKKTEQAKLEVADGAEMEYLQKEYQEELEKERGLEEELR >KJB70385 pep chromosome:Graimondii2_0_v6:11:6472493:6473683:1 gene:B456_011G070900 transcript:KJB70385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDKTILDCDSDNWVVDKLGATELFNDLLPTMPLNSLMAISLYSFFYVVVVVVFTKYIFHDRMMKELHIQGTKIEDIVAVSKRTPIHPRIIQAIKSAHALGCDLKIVSDANVFFIDTILKHHGLKECFSEINTNPSFVDEEGRLRSSLSMISLNIPMDILILALQTWARVL >KJB70384 pep chromosome:Graimondii2_0_v6:11:6472493:6473683:1 gene:B456_011G070900 transcript:KJB70384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDKTILDCDSDNWVVDKLGATELFNDLLPTMPLNSLMAISLYSFFYVVVVVVFTKYIFHDRMMKELHIQGTKIEDIVAVSKRTPIHPRIIQAIKSAHALGCDLKIVSDANVFFIDTILKHHGLKECFSEINTNPSFVDEEGYCDRKDPNIFIRGGGEENNHLHGGWIG >KJB70938 pep chromosome:Graimondii2_0_v6:11:10643231:10643741:-1 gene:B456_011G096800 transcript:KJB70938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIDQQKKRKFCMFYRTNQRIIWSLLSNLYILSLFYMYTDIQKLKAKILCLTSMKSASFFYLYISGNIRVLVLRTLHDTDLTQTLFFCSIVLELKILVP >KJB74069 pep chromosome:Graimondii2_0_v6:11:60204558:60205099:-1 gene:B456_011G270200 transcript:KJB74069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLHIEILRQFHMQEMEMSRVMSSILQNQAELMEEVKSLRKENQQLRQLL >KJB73597 pep chromosome:Graimondii2_0_v6:11:56536028:56544688:-1 gene:B456_011G240300 transcript:KJB73597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTLCSSSELSYPSLEGLRNAQANPVASKSFTRAGGYIKKHYFLSSYSDARKLVISSHLFPGHLEGSFMGKKRQVEPRKKLEFVRTLLIDNYDSYTYNIYQELSVINGVPPVVVRNDELTWKDICCLLYEDGAFDNIVISPGPGSPTCPADIGVCRQLLLECWDIPILGVCLGHQALGYAHGAQIIHASEPIHGRLSEIEHNGCNLFANIPSGRNSRFKVVRYHSLVIDAESLPEELIPIAWTASDDTLSFLETQKFDAIPDAYESERQKANFDSFSAKNGSYWGHVNGTKSRKVVMGIRHATWPHYGVQFHPESVATTYGRQIFKNFREITKDYWLQMSSSFCSDRNIHYTASMQVPHATGLFGAVHRGRQSVKKADFRVNGEACSSGQFMRGANQRNLGFLDMVNILPPSLGAKFLRLKWRKFGHLASEVGGARNIFTELFGKNKAENTFWLDSSSTEKGRARFSFMGGKGGDLWKQLTFRLSEQSDTAGERGHLLIEDTKGFTCSKILEEGFFEYLNKELLSLCHEEKDYEGLPFDFYGGFIGYIGYNLKVECGAASNAHKSTTPDACFFFADNLVVIDHHTNDVYVLSLQEGNTTKTQWLDDTEKKLVSLKGSATRQLHERISKPVANSPHEAGFLSEKSQKQYVSDVEKCLEYIKDGESYELCLTTQFRKFIGEVDPLRLYLHLREKNPAPYASWLNFSKENLCICSSSPERFLRLDRNGILEAKPIKGTIARGTTPEEDERLKLQLQYSEKDQAENLMIVDLLRNDLGRVCEPGTVHVPHLMEVESYATVHTMVSTIRGKKQSNVSAVECVKAAFPGGSMTGAPKLRSMELLDSIESCSRGIYSGSIGFFSYNQTFDLNIVIRTVVIHENEATIGAGGAIVALSDPEKEYEEMVLKTRAPANAVMEF >KJB71755 pep chromosome:Graimondii2_0_v6:11:21858169:21860130:-1 gene:B456_011G140100 transcript:KJB71755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSAKISKESKGASPSHGGHHKNSFHWKRQSHHSILPLQPVDQLEKWSSVAVDMYNNSSSSNNNNNHHHQPQTSHTSTSRSSDYSAGGDGEPSAAGNKWASRLLKECARAIYDKDSSKIHHLLWMLNELASPYGDCDQKLASYFLQALFCKATESGQRCFKTLTLVAEKSHCFDSARKLILKFQEVSPWTTFGHVAANGAILEALDGEPKLHIIDISNTLCTQWPTLLEALATRNDDTPHLKLTVVVTANIVRSVMKEISQRMEKFARLMGVPFEFNVISGLNHLGQLTKEALGVVEDEAVAVNCMGALRRVPVEGRAAVIGMFRSLGPKVVTVVEEEADFSSTRYDFVKCFEECLRFYTVYFEMLEESFTPTSNEKLMLERECSRGIVRVLACDDDQDNEGERERRERGSQWSERLKEAFSSVGFSDDVVDDVKALLKRYKPGWALAQPYQNETGLYLTWKEEPVVWVSAWKP >KJB70953 pep chromosome:Graimondii2_0_v6:11:10755406:10757910:-1 gene:B456_011G097300 transcript:KJB70953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLTSCWLIIESIVIPPGYFLKWFYLSFYIHPFLLCACQIFLCLKWLKRCTLALLTYPFRVIYFLVFYVYKFCRYCIIYIFSFIRVKEVDSKQVLIFEAADNISQNQLISLCICSSMPSNAWKTEFVEANGCEDNHEILPGRESSNMEDWSFYCLQKDLSAYECSSSFCISPSMDESYLNEYSPLFSSLSSPLMQECSLPACSSSSRVLEREVITTARDEDESEGFYKKYSERMRWFDILNHDRTQGISAILNEEVGIPSSLENIKVKDLTIPYISWSKQDKKKFLRSLQSDFELVYVGQSCLTWEALHHQYRKVKFLSLTNCLFADNLAKDFQNFQVLLERFLEEERYVYKGKRAWNYVQARLASKTLLQVPKLTGYLEEEKDGNKGETVDAKAMLRAIEKCINAFGKFITTDRTKSWWKFKTSLWTHPPTENPRDLHLLADLTTTLAKQKELWLKDLQGKRKCWFNKVVKPMEESQKETMVFAMIEMQLISRVLQMSIVSTSQLNWCQQKLNNIQFKRGRLFRTATGPLFPSS >KJB70952 pep chromosome:Graimondii2_0_v6:11:10755783:10757910:-1 gene:B456_011G097300 transcript:KJB70952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLTSCWLIIESIVIPPGYFLKWFYLSFYIHPFLLCACQIFLCLKWLKRCTLALLTYPFRVIYFLVFYVYKFCRYCIIYIFSFIRVKEVDSKQVLIFEAADNISQNQLISLCICSSMPSNAWKTEFVEANGCEDNHEILPGRESSNMEDWSFYCLQKDLSAYECSSSFCISPSMDESYLNEYSPLFSSLSSPLMQECSLPACSSSSRVLEREVITTARDEDESEGFYKKYSERMRWFDILNHDRTQGISAILNEEVGIPSSLENIKVKDLTIPYISWSKQDKKKFLRSLQSDFELVYVGQSCLTWEALHHQYRKVKFLSLTNCLFADNLAKDFQNFQVLLERFLEEERYVYKGKRAWNYVQARLASKTLLQVPKLTGYLEEEKDGNKGETVDAKAMLRAIEKCINAFGKFITTDRTKSWWKFKTSLWTHPPTENPRDLHLLADLTTTLAKVKKYSGTEFFAVRTVHKWTSTVWTFTLGLKTQSIDRRNYG >KJB70954 pep chromosome:Graimondii2_0_v6:11:10755301:10757910:-1 gene:B456_011G097300 transcript:KJB70954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLTSCWLIIESIVIPPGYFLKWFYLSFYIHPFLLCACQIFLCLKWLKRCTLALLTYPFRVIYFLVFYVYKFCRYCIIYIFSFIRVKEVDSKQVLIFEAADNISQNQLISLCICSSMPSNAWKTEFVEANGCEDNHEILPGRESSNMEDWSFYCLQKDLSAYECSSSFCISPSMDESYLNEYSPLFSSLSSPLMQECSLPACSSSSRVLEREVITTARDEDESEGFYKKYSERMRWFDILNHDRTQGISAILNEEVGIPSSLENIKVKDLTIPYISWSKQDKKKFLRSLQSDFELVYVGQSCLTWEALHHQYRKVKFLSLTNCLFADNLAKDFQNFQVLLERFLEEERYVYKGKRAWNYVQARLASKTLLQVPKLTGYLEEEKDGNKGETVDAKAMLRAIEKCINAFGKFITTDRTKSWWKFKTSLWTHPPTENPRDLHLLADLTTTLAKKELWLKDLQGKRKCWFNKVVKPMEESQKETMVFAMIEMQLISRVLQMSIVSTSQLNWCQQKLNNIQFKRGRLFRTATGPLFPSS >KJB72609 pep chromosome:Graimondii2_0_v6:11:44662276:44662950:1 gene:B456_011G187300 transcript:KJB72609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVTKLASEKPVVIFSKSSCCMSHTIKTLFYDLGVYPAIYELDEIPGGCEIEQTLLRLGCNPSVPAVFIGGELVGGANKIMSLHLNRSLIPMLRQVGALWV >KJB73697 pep chromosome:Graimondii2_0_v6:11:57080407:57081112:-1 gene:B456_011G244300 transcript:KJB73697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLAKEGMLGCLANPYESVEKLSDSFILLTTNKDTLLKPKYSSSLPANVPVLLPNIIINNTKVSTSPPTAKEGYVKGVITYIIMDDLTVTPISTISVVAMLHKFNLEQVEDLEEEVVNVGMNEGLELLKSPLKCKTVLTDLFLTQKAGKKHCK >KJB69554 pep chromosome:Graimondii2_0_v6:11:2266474:2270335:1 gene:B456_011G030400 transcript:KJB69554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFHFLSFLLLLFFFFTFPSLSLSLNQEGLYLLQLKSSLSDPDSALSSWNPRHPTPCNWRGVSCDAATASVTSLDLSNANLAGPFPSFLCRLQNLSYISFYFNNINSTIPDISTCRNLVHLDLSQNLLTGELPHTLADLPNLKYLDLTGNNISGDFPASFGRFQKLEVLSLVYNLLDGTIPAFLGNISTLKMLNLSYNPFSPGRIPPELGNLTNLEILWLTECNLVGEIPDSLGKLKKLADLDLALNHLVGNIPSSLTELVSVVQIELYNNSLTGELPRGFSNLTNLRLLDVSMNRLTGKIPDELTRLPLESLNLYSNNLEGTLPSSIADSPALYELRIFQNRLTGELPQNLGKNSPLRWFDVSSNQFTGPIPPSLCEKGKLEEMLMIYNSFSGQIPSSLANCRSLNRIRLGYNKLSGEIPTGFWGLPHVYLLELVNNSFSGPIGKSIANAANLSLLIISRNEFNGFLPEELGLVNNLAKLSASDNKFNGALPKGIVNLDGLGILDLHGNELEGELPNGIDSLKKLNELNLANNKFSGKIPDGIGSLSVLNYLDLSDNQLTGRIPLALQSLKLNQLNLSNNLLSGELPPLFDKDMYKNSFLGNPGLCGNYSGSCGGKDEDKHKGYVWLLRSIFILAGLVFVVGVVWFYLKYRNYQKAKAIDKSKWTLMSFHKLGFSEYEILDCLDEDNVIGRGSSGKVYKVVLSSGEAVAVKKLWGGLKKGCDSVDLEKGHAESQVQDDGFQAEVETLGKIRHKNIVKLWCSCTTRDCKLLVYEYMPNGSLGDLLHSCKSGSLGWPTRYKIIVDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKVVDAAGKVAKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRLPIDPEFGEKDLVKWVCTTLDQKGVDYVLDSKLNPCFKEEMCKVLNIGLLCTSTLPINRPSMRRVVKLLQEAGAENQLKAAKKDGKLTPYYYEDGSDQGSVA >KJB69555 pep chromosome:Graimondii2_0_v6:11:2266465:2270151:1 gene:B456_011G030400 transcript:KJB69555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFHFLSFLLLLFFFFTFPSLSLSLNQEGLYLLQLKSSLSDPDSALSSWNPRHPTPCNWRGVSCDAATASVTSLDLSNANLAGPFPSFLCRLQNLSYISFYFNNINSTIPDISTCRNLVHLDLSQNLLTGELPHTLADLPNLKYLDLTGNNISGDFPASFGRFQKLEVLSLVYNLLDGTIPAFLGNISTLKMLNLSYNPFSPGRIPPELGNLTNLEILWLTECNLVGEIPDSLGKLKKLADLDLALNHLVGNIPSSLTELVSVVQIELYNNSLTGELPRGFSNLTNLRLLDVSMNRLTGKIPDELTRLPLESLNLYSNNLEGTLPSSIADSPALYELRIFQNRLTGELPQNLGKNSPLRWFDVSSNQFTGPIPPSLCEKGKLEEMLMIYNSFSGQIPSSLANCRSLNRIRLGYNKLSGEIPTGFWGLPHVYLLELVNNSFSGPIGKSIANAANLSLLIISRNEFNGFLPEELGLVNNLAKLSASDNKFNGALPKGIVNLDGLGILDLHGNELEGELPNGIDSLKKLNELNLANNKFSGKIPDGIGSLSVLNYLDLSDNQLTGRIPLALQSLKLNQLNLSNNLLSGELPPLFDKDMYKNSFLGNPGLCGNYSGSCGGKDEDKHKGYVWLLRSIFILAGLVFVVGVVWFYLKYRNYQKAKAIDKSKWTLMSFHKLGFSEYEILDCLDEDNVIGRGSSGKVYKVVLSSGEAVAVKKLWGGLKKGCDSVDLEKGHAESQVQDDGFQAEVETLGKIRHKNIVKLWCSCTTRDCKLLVYEYMPNGSLGDLLHSCKSGSLGWPTRYKIIVDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKVVDAAGKVAKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRLPIDPEFGEKDLVKWVCTTLDQKGVDYVLDSKLNPCFKEEMCKVLNIGLLCTSTLPINRPSMRRVVKLLQEAGAENQLKAAKKDGKLTPYYYEDGSDQGSVA >KJB73018 pep chromosome:Graimondii2_0_v6:11:50531011:50535159:-1 gene:B456_011G209800 transcript:KJB73018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKNLSSLMLFWVVLLLVPSFPVRSLSPSLNDDVLGLIVFKADIQDPSQKLSSWNEDDDTPCNWFGVKCNPRSSRVTELNLDGFTLSGRIGRGLLQLKFLRKLSLARNNLSGTISPNLAKLESLRIIDLSENSLSGFIPDDFFKQCGSLRSISLANNRFSGKIPVSLGSCATLADINLSWNQLSGSLPAGIWGLNGLRSLDLSGNLLEGEIPKGIEALNNLRSINLSKNRFTGQVPDGIGSCLLLRSIDLSMNLLSGSVPNTIQKLSLCSYLNLSMNSFVGEVPEWIGEMKNLETLDFSMNKFSGQVPESIGSLNLLKVLNFSANGLNGSLPASMENNVNLLALDFSQNLMTGDLPGWIFKSGLNEVSLSENKLGVNSSNPISASPRTSLQKIQVLDLSHNSFSGELTYDIGVLSSLQFLNLSRNSLIGPVPGTVGELKALDVLDLSHNQLNGSIPMEIGGAFSLKDLRLNANFLGGKIPTSIENCTSLYTLIISQNNLSGPIPAEIGKLNNLENVDLSYNNLAGTLPKQLANLPHLLSFNISHNNLQGELPAGGFFNTISPTAVSGNPSLCGSAVNKSCPAVLPKPIVLNPNSSSDSISEEFPTTVGHKRIILSISALIAIGAAAVIVVGVIAITVLNLRVRSSTSRSAAALTFSAGDDFSHSPTTDANSGKLVMFSGEPDFSTGAHALFTKDCELGRGGFGAVYRTVLRDGRSVAIKKLTVSSLVKSQEEFEREVKKLGKIQHSNLVALEGYYWTPSLQLLIYEFVSGGSLYKHLHEGSVGNYLSWNDRFSIILGTAKSLAHLHQSNIIHYNIKSSNVLIDGSGEPKLGDYGLARLLPMLDRYVLSSKIQSALGYMAPEFACRTVKINEKCDVYGFGVLVLEVVTGKRPVEYMEDDVVVLCDMVRGALEEGRVEECVDGRLQGKFPAEEAIPVMKLGLICTSQVPSNRPDMGEVVNILELIRCPSEGQEDLG >KJB72069 pep chromosome:Graimondii2_0_v6:11:27807451:27808996:1 gene:B456_011G157800 transcript:KJB72069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVYKTQNQNGLISDHAIANLLVAGFTGDPSYLKDRNLELLSNLKCKKLINFKWYKDVFMTRFLAGLPTLLGEKVRNQIRENYRGIIPYEKLTYGELISFTQKEGLKLCQDLKLQKQLKKERYQCRKELGSFCHQFDIRNEPSSSKTCCPIKPKKRKKNISEYYKKLKYRKYIKGKKQQKTENKIDKTIKCYRCGKPKHISKYCKIKRKINNLNLDEEIEQKLNEILLETTSSENDTSTEIDELQINELHTTSQSSDDENETSINMLTKDQEFMIEVIDKIQDPELKREYLLKLKSSLKDKPEKEKDIISGQSQMYNIQDIIFNKYEKIKPRQITNSELQIEIKQIKLELSQLKMEQREMKRQKQTLKHEISKKSSSETEPEPEENTQEYMMVLTEVSIQRYLIKIKIFINKKFQLETIALFDIAADQNCIREGIIPTKIL >KJB69683 pep chromosome:Graimondii2_0_v6:11:2773341:2776326:1 gene:B456_011G036800 transcript:KJB69683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFRFAGDMTHLISILVLLLKIYATKSCSGISLKTQELYALVFLARYLDLFTDFVSVYNTVMKVVFIVSSVAIVWCMRVDRIVRRSYDKDLDTFRHHFLILTSFLLALLVHEKFTFQEIFWAVSIYLEALAILPQLVLLQRSGNVDNLTGQYVLFLGAYRAFYILNWIYRYFTEQHFTRWIACVSGIVQTALYADFFYYYFVSWKNNAKLQLPA >KJB69841 pep chromosome:Graimondii2_0_v6:11:3453543:3454792:1 gene:B456_011G045300 transcript:KJB69841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNCNLELRLHPSSYSGGDRVEESSENPENQQQQLTIFYNGRVCVCDVTEIQARAILMIANRETDERLRTPRSGSGPASPTVHSQINSPNNGLSTSMKRSLQQFLQKRKNRIQATSPYH >KJB73715 pep chromosome:Graimondii2_0_v6:11:57197287:57198045:1 gene:B456_011G245800 transcript:KJB73715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSCFLTLLFSLLFIIKNTSSARNLENPSSNHHHHHHHHHHHKISFSMPDLLNISHPTTSTLNTHIPFSKPIGFFPPHKGIPIQEPIPKVPGSDSSVQTFGGSNLGMFFPARATLQELEFGAVVTIDENLFDGGIGTNGSPLGKAQGVYVASSEGETSHMMAMTTVFADGGFKDGLRFFGLHRRDVSESHIAVIGGMGKYVGANGYATVKFVELRPNSAMAMKQGVNKLLLFNVYLS >KJB73986 pep chromosome:Graimondii2_0_v6:11:59695485:59697255:1 gene:B456_011G265400 transcript:KJB73986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQIAALKEQLEETSEALKDMESRYSCLTVKQILTNRELQDARKESISGLNDVLTSRTTLVVKRMGEIDQKAFEVASSRKFPNKDWQETCAKLCSLWQQNVQDPKWHPFKMINIRGNLQEIVDEDDQKLKELRNEYGDVVYEAVSTALMEMNEYNASGRYAVI >KJB69674 pep chromosome:Graimondii2_0_v6:11:2740495:2742548:1 gene:B456_011G036400 transcript:KJB69674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAQGSSSSLPPFLAKTYEMVDDPSTDSIVSWSASDKSFVVWNPPEFARDLLPRFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANDDFVRGQPHLLKNIHRRKPVHSHSMQSHLGQGASSLTESERQNLRDEIERLKNEKELLALELKRHEQERQGFQLQMRLLRERLQNMERRQQIMMSSVARVLQKPGVAINLTPQLETNDRKRRLTRIAYLYDEAGIEDSQTGNSLISRENVDSTSLSNMEPFEQLESSMVFWENAVHDFGQTNIQHESNLELDESTSCAETPATSCIQLNIDARLKSPGIDMNSEPALVVVSEPITAKEQAAGTTAPATTGVNDIFWEQFLTENPGSTDTQEVQSERKDSDASKNESKPGDHGKFWWNTKNVNNLAEQMGHLTPAERT >KJB69675 pep chromosome:Graimondii2_0_v6:11:2739849:2742843:1 gene:B456_011G036400 transcript:KJB69675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAQGSSSSLPPFLAKTYEMVDDPSTDSIVSWSASDKSFVVWNPPEFARDLLPRFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANDDFVRGQPHLLKNIHRRKPVHSHSMQSHLGQGASSLTESERQNLRDEIERLKNEKELLALELKRHEQERQGFQLQMRLLRERLQNMERRQQIMMSSVARVLQKPGVAINLTPQLETNDRKRRLTRIAYLYDEAGIEDSQTGNSLISRENVDSTSLSNMEPFEQLESSMVFWENAVHDFGQTNIQHESNLELDESTSCAETPATSCIQLNIDARLKSPGIDMNSEPALVVVSEPITAKEQAAGTTAPATTGVNDIFWEQFLTENPGSTDTQEVQSERKDSDASKNESKPGDHGKFWWNTKNVNNLAEQMGHLTPAERT >KJB69673 pep chromosome:Graimondii2_0_v6:11:2739849:2742702:1 gene:B456_011G036400 transcript:KJB69673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAQGSSSSLPPFLAKTYEMVDDPSTDSIVSWSASDKSFVVWNPPEFARDLLPRFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANDDFVRGQPHLLKNIHRRKPVHSHSMQSHLGQGASSLTESERQNLRDEIERLKNEKELLALELKRHEQERQGFQLQMRLLRERLQNMERRQQIMMSSVARVLQKPGVAINLTPQLETNDRKRRLTRIAYLYDEAGIEDSQTGNSLISRENVDSTSLSNMEPFEQLESSMVFWENAVHDFGQTNIQHESNLELDESTSCAETPATSCIQLNIDARLKSPGIDMNSEPALVVVSEPITAKEQAAGTTAPATTGVNDIFWEQFLTENPGSTDTQEVQSERKDSDASKNESKPGDHGKFWWNTKNVNNLAEQMGHLTPAERT >KJB69672 pep chromosome:Graimondii2_0_v6:11:2739831:2742702:1 gene:B456_011G036400 transcript:KJB69672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAQGSSSSLPPFLAKTYEMVDDPSTDSIVSWSASDKSFVVWNPPEFARDLLPRFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANDDFVRGQPHLLKNIHRRKPVHSHSMQSHLGQGASSLTESERQNLRDEIERLKNEKELLALELKRHEQERQGFQLQMRLLRERLQNMERRQQIMMSSVARVLQKPGVAINLTPQLETNDRKRRLTRIAYLYDEAGIEDSQTGNSLISRENVDSTSLSNMEPFEQLESSMVFWENAVHDFGQTNIQHESNLELDESTSCAETPATSCIQLNIDARLKSPGIDMNSEPALVVVSEPITAKEQAAGTTAPATTGVNDIFWEQFLTENPGSTDTQEVQSERKDSDASKNESKPGDHGKFWWNTKNVNNLAEQMGHLTPAERT >KJB73717 pep chromosome:Graimondii2_0_v6:11:57203064:57204047:-1 gene:B456_011G246000 transcript:KJB73717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYKLISILLSFAIIAFSTSARMLDEQPSNPVVPPVATATPIVPQPNPTGAVVGNPGVAAGAATGPIPTGTTVGNPGVGAAASGPIPTGPNVANSGAGAATADHPLIFFMHDILGGSNPTAIAITGVVNNPAVNGQLAFPKPNGANLPVNNGVDENSGNNGFLNNNNIPLLSGLGGNAQAMLQNGNNNGGGFPALVGGQVPAGSTLQKLMFGTMTVIDDELTIGHELTSGFIGKAQGFYVASSVDGTSHTMAFTAMFESGHYADTLSFFGVHRTGASESHLAIMGGTGKYVNSKGFAIVRIVPASNQTGETDGFQTVLEFNVYLTY >KJB69512 pep chromosome:Graimondii2_0_v6:11:2018441:2021127:-1 gene:B456_011G027500 transcript:KJB69512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEQYYAREWRTVPGASTPETLNGNFNCNICLDFAHEPIVTLCGHLYCWPCIYKWLHVQSASLASDEHPQCPVCKADISHTTMVPLYGRGQSESELQGKALYRGMVIPPRPPASSSHPLLSPTSPNSQQLPYRNPYQNQNYNPHAYYEHDSSSPLLNLGGSRVTGFHHPLVGMFGEMVYARVFGNSESIYAYPNPNYLTGNGNNPRLRRHEMQADRSLNRISIFLFCCFLLCLIVF >KJB69948 pep chromosome:Graimondii2_0_v6:11:3975171:3980141:1 gene:B456_011G051000 transcript:KJB69948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAASSRKRKGKIRWSKLYSFAACFRPLTSKERLAARELIGQPGFSRVVFCNEPHLHKRKPYKYPYNYISTTKYNVLTFLPRALFEQFRRVANFYFLLAAIFSLFSLAPFSRASLIAPLVFVVGISMLKEAVEDWHRFFQDLDVNNRTVKTHISNGVFVDKLWKELRVGDVVKVNKGEYFPSDLLLLSSGNEDGVCYVETMNLDGETNLKIKRCLEASLCLNEDEEFSKFKATVHCEDPNPNLYTFVGNIEFEKESYPLSPSQLLLRDSKLRNTDYIYGVVIFSGHDTKAVRNSTRSPSKRSRIERKMDHIIYILFSMLVLVSLVSSFGSLLYLRQDMVDWWYLQLPDDNKVNDLDDSNSEKYDDRFFNPSKPVQSAGLQFIRALVLYGYLIPISLYVSIEVVKVLQTMLINKDIELYDDVTCKSVQARTSNLNEELGQVEMILSDKTGTLTCNQMEFRKCSIAGVSYGGDITEVDLAASMRMNADFEPFEFSIDETDGATRSFEPFEFSVSSFSAQNGNARLTKTEEPVIKGFNFRDDRLTNENWIHGSNLFDITMFFRVMALCHTGIPLEDDNHKTDKLSYEAESPEEVSFLIASQEFGFQFCRRTQSLMVLREFDPSSMKEVEREYKLLNLLEFSSSRKRMSVIVSNEDGQNFLLCKGADSIIFDRLADNGRAYEQATTMHLSSYAEDGLRTLAFAYRTIEAAEYESWNTIFTQAKATIGPEREELLEQASETIEKDLILLGVVAVEDKLQKGVPECIDKLAQAGLKIWLLTGDKRETAINIGFACSLLRQDMKQFHLSLSRDAESNNQVKDMKEDILQQIESSYKMVCEERNKEAPFGLVIDGKALEIALRGDVKDEFLQLAVNCASVICCRVSPKQKALITRLVKQYTGKTTLAIGDGANDVGMIQEADIGVGISGMEGMQAVMASDFSLPQFRFLERLLIVHGHWCYQRISKMVLYFVYKNVAFGLTLFYYELYTSFSGEVLYDDWYMTMFNVMLTSLPVIALGVLEQDVSSDICLQFPALYQQGPRNVHFSWSRIIGWILNGVVSSLVIFLANIYILSPTAMRENGFVADIDSLGATTYTCIIWTVNCQIALITSHFTWIQHLSIWGSILLWYIFLLVYGALPPNFSGNAFQVLVEGIGPAPLYWMITVLVVVVSLLPYFIHIVIQRSYFPMDDHIIQEMEQCYKKYDVRDNEMWVREQRNSQRSTRIGFSARVDAKILSFNQQLQQKK >KJB71265 pep chromosome:Graimondii2_0_v6:11:14477919:14480876:-1 gene:B456_011G113600 transcript:KJB71265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGKKVVDVAFKASKNIDWEGMAKLLVSDEARKEFATLRRTFDEVNSTLQTKFSQLLFYSLYKSIGMFWVVSYTMAQPACETVMNWLSSGGVIELLPEANVQPNERFMVMREVSPLPISLLSGFSMDLYLKLVFQMEESLFAGQHLAQRNASLLSKPAVTLLVLEIVNYRLLPPYRFGWSFEGFTVTNVIYSSIAGVEIPKFVDTVTPQYKPKFDELLVELKEAEEKSLKESERLEKEIAEVQELKQKISTMTADEYFEKHPELKKKFDDEIRNDYWGY >KJB71438 pep chromosome:Graimondii2_0_v6:11:17414644:17415921:1 gene:B456_011G123600 transcript:KJB71438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTVEVSDEKVKAMWDKRLTEIFCDICIKEILKGNRPGTHFTKDGWLKIMTTFEKETGKGFSQRQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASNDWWESRLQVVPEAKKFRTSGIDPEFEAKLDQMFMGIVATGDKAWAPSSGTLRSDFFEDVNNEIPEENEEENMRNDVHILNDVHISNDVHISNDVQMDGNGQKRKKP >KJB71439 pep chromosome:Graimondii2_0_v6:11:17413590:17415917:1 gene:B456_011G123600 transcript:KJB71439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTVEVSDEKVKAMWDKRLTEIFCDICIKEILKGNRPGTHFTKDGWLKIMTTFEKETGKGFSQRQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASNDWWESRLQVVPEAKKFRTSGIDPEFEAKLDQMFMGIVATGDKAWAPSSGTLRSDFFEDVNNEIPEENEEENMRNDVHILNDVHISNDVHISNDVQMDGNGQKRKKP >KJB72358 pep chromosome:Graimondii2_0_v6:11:39166053:39166890:-1 gene:B456_011G173500 transcript:KJB72358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKACLLLILIGLVVFIITPSLANHDNEPPQHPGPSGWGDEPPHHPEPSGMGNEPPHHPGPGDRREKPPHHPGPSGMGDEPPHHPGPGGRGEEPPHHPGPGGRGDEPPHHPGPGGRGEEPPHHPGPGGRGDEPPHHPGPGGSGEEPPHHPGPGGRGEEPPHGKKPPHRHLLNVEVEDARKPPQARHSKPASKGNPPHTN >KJB74419 pep chromosome:Graimondii2_0_v6:11:62476142:62496027:-1 gene:B456_011G293900 transcript:KJB74419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LWVSYCKMMTNLVTPLVVENLVQLTTMRVRDCSEMTEIVTNEGDYHQTIVVRKLKCLEISDLQRLTSFCPGRYTFNFPCLEEVVVERCPRLKIFSEGVLSTPQLQRVKQGRYNRKVCWKGDLNTTIQQLYTKKGQLYGRNDHLTISNMFPESIEIWKKNPRAILELKNLSQMMFYRCSSLKYIFTLSMLLSLKQLERINVQECDTMEQVIREDEEEATTHEFTFTRLFYVKLEACFNLTNFYLGSRALEFPKLSDIRIVWCPKMTAFSSSISRESEKVIGEQGVGDNTTTLFCHKLQRFDIADCMCLREIIFTEEIKVEEEERKDVICFPQLNSLRIGNLPNLIFFFSGNYNIEFPLLKELEIKGCPRLKAFISQTSNQSGTQALFNEKVVVPSLETMTIFQLSNVKMIFQNDLPSDSFQNLRKLSVSGCESLKILFPASIVKHLLQLEDLSIIKCGVEEIVSEGEGVEEQPMRFEFPKVSSLVVEDLKELKCPYKGQHTILWPMLKTLRTNCSALLMIMALEDIIPNLEQLRLRDLVGDVDQFPPNLFPHIKVLKGHHGGSPFIFFFLRRFYNLKSLEFDSFDFEHVVPCKGDVGTPSPIKNLQLTSSKNLKHIWKKDSELGHILSNLQTLTIKNCDDLINLGASSVSFQNLTTLEVSYCKMMTNLVTPLVFEKMVQLITMRVSDCTKITEIVANEGDYHQTIVVRNLKCLQLSNLKSLTSFCPGSYTFNFLCLEEVVVEECPELKIFSEGVLNTPQLQSVKLDSFDMKGCWAGDLNTTLQLLNIKKQLWWIVVKECNTMEQVIREDEEEATAHEFTFPKLSFVEIKACSNLTNFYLGSRALEFSNLSDIAIAGCPKMTAFSSLNSIKSDKVVGEQGVEDNTTTLFCDKVVIPNLKHLTLSSINIHEIWHHPSSLSLKHLRVEGCHNLKYLFPSFLLKDLVLLRRLKIKDCNAMEQVIFTDGLGAEDQRRNHTIFSKLKLLSLGDLPKLTNFCFQNYSEFPCLKKLTLKKCPLLKTFISKSVCGDEPQIHQPTQTNDSAVLNEKVVFPRLEKLRIQNCDSLEEIIELQGLIANESQSTSATRSTMAETVTTKFVFPHVTHLGLGKLPRLKSFFSRMHTSQWPSLKQMDVIECPKAQIFGEVQISNQQPLFCVNEDTFPVLQDLTLTTSDMMKGICDGQLSLQCFPNLKLLNLQFFPETSTTLPYSFIRSLPKLQKLVINNASISELVRSEGLGHKERHTSAFSQLKELSLSELPELTLKTLFEGLINEERHTSACYQLEALRLSQLPELTLKTIEPFLLSFKNLLSMEVSRCHGFINLMACWTAKSLTLLESLSIDDCEMIQEIIACEGEEIQGNIVFPKLKYLELSCLPSLASFSLAHHLLEFPVLQMVKVKKCPKMKNFCQGELSTPRLEQAHLTGDEDGELQWEGDLNTSIKHMFDEMSVQNSQAVEVTDQLLQMK >KJB71393 pep chromosome:Graimondii2_0_v6:11:16678590:16679036:-1 gene:B456_011G120700 transcript:KJB71393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYYCIITLNSSKLITPSPLISKCLIITIHSSIDFDSPSFVSILFSPWGVMNPLPCPSYISNASLKFFIFSSSPPPSINSITSSKSNNPFPFQPNASSSFNINSPPIRPSLCLNSHAEIFPSPSLSKQRRMRLYSSLLIICGFKYEQ >KJB68895 pep chromosome:Graimondii2_0_v6:11:26146493:26150266:1 gene:B456_011G153000 transcript:KJB68895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNTAKEEEFGFSGNYFLANEMGSSGKKSARKLSDINVVDEQSLTPNGQSVFRILAEYQLSHPNDEGMAIDNLYSIPRERFLVSSQQHELPCKDGLRPSKNRKEKERQAMENSSSSSELQKLIEAIKISEVVKGRAELIAKLADLHLFEQFDVCDLYCGGGLFICEKREKYSG >KJB68897 pep chromosome:Graimondii2_0_v6:11:26146493:26150266:1 gene:B456_011G153000 transcript:KJB68897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNTAKEEEFGFSGNYFLANEMGSSGKKSARKLSDINVVDEQSLTPNGQSVFRILAEYQLSHPNDEGMAIDNLYSIPRERFLVSSQQHELPCKDGLRPSKNRKGKTSDGELKFQQRVTEAHRSHKNFRGLRLVLRWRFIYL >KJB68896 pep chromosome:Graimondii2_0_v6:11:26146493:26150266:1 gene:B456_011G153000 transcript:KJB68896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNTAKEEEFGFSGNYFLANEMGSSGKKSARKLSDINVVDEQSLTPNGQSVFRILAEYQLSHPNDEGMAIDNLYSIPRERFLVSSQQHELPCKDGLRPSKNRKEKERQAMENSSSSSELQKLIEAIKISEVCDLYCGGGLFICEKREKYSG >KJB68894 pep chromosome:Graimondii2_0_v6:11:26146493:26150264:1 gene:B456_011G153000 transcript:KJB68894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNTAKEEEFGFSGNYFLANEMGSSGKKSARKLSDINVVDEQSLTPNGQSVFRILAEYQLSHPNDEGMAIDNLYSIPRERFLVSSQQHELPCKDGLRPSKNRKEKERQAMENSSSSSELQKLIEAIKISEVVKGRAELIAKLADLHLFEQFDVKSLAESLILSTLLLFSYNVFFSIFWVHNFVFLFLKYVNFSRNIYSKDEEFWEGLKD >KJB71249 pep chromosome:Graimondii2_0_v6:11:14035343:14037428:-1 gene:B456_011G112700 transcript:KJB71249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPPFLSCSQPMAVVSSNSIPSLTLGSLSQLGRRSLGCPSLSLKLTHLSNSSLHCSLNVPENTHEDTPMEKRFPPYPTVMDINQIRERLPHRFPFLLVDRIIEYKPGVSVVGIKNVTINDNFFPGHFPERPIMPGVLMIEAMSQVGGAVILEPDVKGTSGEVFFLARVDKVRFRKPVIPGDTLVMRMTLMKMHKRFGVAKLEGKAYVGSELVCEGEFLMATGSDPQARFLYP >KJB71972 pep chromosome:Graimondii2_0_v6:11:25537671:25538909:1 gene:B456_011G151100 transcript:KJB71972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAKLGILKLERIFHELSVNGLKPDVYTYVIMINGFCKEGLPDEAYQLFRSMGDNDCLPDRRCYNVIIQGYL >KJB73293 pep chromosome:Graimondii2_0_v6:11:53768230:53770096:-1 gene:B456_011G226000 transcript:KJB73293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRLSTKCSLTSSVSYRFFPSTSLRFLSSPSLNLPKLEPSNEADTLSQILLAHHNPFHFMESSLQIHGVSLTPFLLHQTLLRLQHSSKIALSFFHFSKSLPPSPSPLLSTSSYNLIIDILGKVRQFDVVWQLILEMDQSDVSPDPSTFMILIRRLIAAGFTRQAIRAYDDIGCFVTAGTDTDSQNESFCFCFLLDTLCKYGYVKVAVDDFNKRKSGFRVDSKMYTILISGWCKIGRIDKAERFLKEMIERGMEPNVVTYNVMLNGICRRASLHPDERFDRTIRNAEKLFDEMRQRGIEPDVTSFSIVLHVYSRAHKPELTLDKLKYMKEKGICPSVVTYTSVIKCLCSCGRLEEAEKVLGEMVSNGISPTAATYNCFFKEYRGRKDVNGALNLYRKMKEDKLCDLSLHTYNILLGMFMKLDRIDVVKEIWNDVKGSTPGPDLDSYTLLVNGFCEKEKWIDACQLFVEMIEKGLLPQKVTFETLYKGLIQSNMLRTWRRIKKKLDEESITFGSEFQDYHFKPYRR >KJB72668 pep chromosome:Graimondii2_0_v6:11:45253218:45254031:-1 gene:B456_011G189100 transcript:KJB72668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENVGGSGSNDDDGFREQDRLLPIANVGRIMKQMLPPNAKISKEAKETMQECVSEFISFVTSEASDKCRKERRKTINGEDICWALATLGFDDYAAPLRRYLNKLITTTVMKASMIGSSRNSNLGPFD >KJB71826 pep chromosome:Graimondii2_0_v6:11:22588673:22592526:1 gene:B456_011G143100 transcript:KJB71826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATHSQHVLRLVLSCRSIKAHVTQPGTSYIVAMASSTEQEFLIQYRSKLDQFPRSRRFWDSKDASKVGEKLGFRLKEIGISNIAIDVDEEISRPIDRRRLVLPVFDSLRRVGVEIDGAERLSQIGHNQEKHSVFGLVAAELVPGSK >KJB71825 pep chromosome:Graimondii2_0_v6:11:22588673:22589550:1 gene:B456_011G143100 transcript:KJB71825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATHSQHVLRLVLSCRSIKAHVTQPGTSYIVAMASSTEQEFLIQYRSKLDQFPRSRRFWDSKDASKVGEKLGFRLKEIGISNIAIDVDEEISRPIDRRRLVLPVFDSLRRVGVEIDGAERLSQIGHNQEIRLLNKI >KJB70549 pep chromosome:Graimondii2_0_v6:11:7875599:7876088:1 gene:B456_011G078900 transcript:KJB70549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFSHRKFFNWRILHFCLRNKVDIESWVDTSTKSNKNIKTVVKNYQLIDKMDLFYFTIYQDQESNPSNKKGSHFDWMGLNEEILSHTILVPIGGIEEEALRLGINNTKTLLLMIPFLYRDRN >KJB70203 pep chromosome:Graimondii2_0_v6:11:5273774:5283265:1 gene:B456_011G063300 transcript:KJB70203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGTRARNFRRRGDDVDDDDNGNDNKNNPTSATATTNPSSSKPATKKIPKLLSFADDENEEETTKSSSNRNRDREREKPLSSRFPKPSSAHKITSMKDRKSSSSLPSNVQPQAGTYTKEALLELQKNTRTLAAPSSRASSMSSEPKIVLKGLLKPQSQNLNTQQENVPQHKLDKDEVESRLTTMAIGKEVDMDSSAFPDQATIEAIKAKKDRARKSFARPAPDYISLDSGSNRGGIEEELSDEEEAEFRGRLLGESGKKGVFEVVEERAIGVVSRKDEIHDEDNDDEEEKLWEEEQFRKGLGKRLDDTSNGVVISNNTTAGVAMVHNMPQQHQKMFGYSTMASYGSMMSSVPPAPSSSIVGAAGASQGLDVASISQQAEIAKKALQENLRRLKESHARTISSLSKADENLSASLFNITALEKSLSAAGEKFIFMQKLRDYVSVICEFLQHKAPLIEELEEHMQKLNEERALAVLERRSENNDDEMLEVEAAVKAAMLVFSERGSSAAMIEVATNAAQAASVAIREQVNLPVKLDEFGRDVNRQKRLDMERRAEARQRRKARFDSKRLSSMETDRSYQKIEGESSTDESDSESTAYRSNRDMLLQTANEIFSDASEEYSQLSAVKQKFEKLKKDYSSSYRDAYMSLSIPSIFSPYVRLELLRWDPLHGDEDFSDMKWHNLLFNYGFCEDGSSALDDSDANLVPALVEKIALPVLHHEISHCWDMLSTQETKNVVSATSLIIDYVPPSSEVLAELLVTIRSRLRDAVTDIVVPTWTPLVMKAVPNAARVAAYRFGMSVRLMRNICLWKDILALPVLERLALDDLLCGKILPHIRCIASDVHDAVTRTERIVASLSGVWAGTSVSRDSSSKLQPLVDCVLSLGKTLERRHASGVSESEAGGLARRLKKMLVELNEYDNARDIARRFHLKEAL >KJB70563 pep chromosome:Graimondii2_0_v6:11:7992190:7997410:1 gene:B456_011G079700 transcript:KJB70563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKAWMVVEKGRRIVRTAIFMVAMLASLIGSSLPLLVALGDIMVPFLLFSSFTCVTCYSFNQHFRLYAFKNSLIDIPLVSILRSIIITCVYSMCDGPALSHGPYVGTVTLCAFVSIILLSIKACVFTVNSGIEAEASSSAYSLTSQRLHWKKSWGMPVLFLSSVVFALGHTVIAYRTSCRARRKLLFHRVDPEAVLSCKNVFSGFQKVPRSPTPSAGKTPKSDSETRRKPFGQPHDERELPARLLADIDSLFIALQGLTIHYKLSFPGSPPRSLSSTTFLEPKVTCTPQVATGKLKLDRQALSFSIKTQSHHLQRSYSNQFHSSSLYAPLLDGSPNSPVRSEDIPVFRLDDSVAQVETSHLNSGTLQQDIEASGELGIILVHGFGGGVFSWRHVMGVLARQVGCSVAAFDRPGWGLTSRPSRKDWEGKELPNPYKLETQVDLLLSFCSEMGFSSVVLVGHDDGGLLALKAAQKVQTSMNSFNITIKAVVLLNVSLSREVVPAFARILLRTSLGKKHLVRPLLRTEITQVVNRRAWYDATKLTTEVLSLYKAPLCAEGWDEALHEISRLSSETILSPQNATSLLKAIEEMPVLVIAGAEDAHISLKSCQALASKLVNSRLVAISGCGHLPHEECPKALLAAISPFIHRLLHKPE >KJB70564 pep chromosome:Graimondii2_0_v6:11:7992190:7997397:1 gene:B456_011G079700 transcript:KJB70564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKAWMVVEKGRRIVRTAIFMVAMLASLIGSSLPLLVALGDIMVPFLLFSSFTCVTCYSFNQHFRLYAFKNSLIDIPLVSILRSIIITCVYSMCDGPALSHGPYVGTVTLCAFVSIILLSIKACVFTVNSGIEAEASSSAYSLTSQRLHWKKSWGMPVLFLSSVVFALGHTVIAYRTSCRARRKLLFHRVDPEAVLSCKNVFSGFQKVPRSPTPSAGKTPKSDSETRRKPFGQPHDERELPARLLADIDSLFIALQGLTIHYKLSFPGSPPRSLSSTTFLEPKVTCTPQVATGKLKLDRQALSFSIKTQSHHLQRSYSNQFHSSSLYAPLLDGSPNSPVRSEDIPVFRLDDSVAQVETSHLNSGTLQQDIEASGELGIILVHGFGGGVFSWRHVMGVLARQVGCSVAAFDRPGWGLTSRPSRKDWEGKELPNPYKLETQVDLLLSFCSEMGFSSVVLVGHDDGGLLALKAAQKVQTSMNSFNITIKAVVLLNVSLSREVVPAFARILLRTSLGKKHLVRPLLRTEITQVVNRRAWYDATKLTTEVLSLYKAPLCAEGWDEALHEISRLSSETILSPQNATSLLKAIEEMPVLVIAGAEDAHISLKSCQALASKLVNSRLVAISGCGHLPHEECPKALLAAISPFIHRLLHKPE >KJB71540 pep chromosome:Graimondii2_0_v6:11:18353389:18354333:-1 gene:B456_011G127900 transcript:KJB71540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVTDPDDQGSAMEVDDVDTPEIFGEGVIVPLTFKINAWNSTFLSCLEFDIISNCCQIFFVVIILEAMPATKKVALHFSACYRFL >KJB69317 pep chromosome:Graimondii2_0_v6:11:3083596:3084561:-1 gene:B456_011G041500 transcript:KJB69317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSPVSLPLLYFLLLLSFNSGILLKLANGQVKKWCVAKPSTDDKALVSNINYACEQLGILGFNCSQIQPGGACYDPNTYINHASSVMNSYYQANGRQEHNCNFQGSGLITISDPSYGNCQYP >KJB72721 pep chromosome:Graimondii2_0_v6:11:46489032:46490418:1 gene:B456_011G192800 transcript:KJB72721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKYHISNSLRNPIIQLTPNRGISFSPIRCTAPDADADRKVSARLSQMQQLFQEAEERASSAKNEPTPQITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNVKQAYWLSDRIRERIMQMVCHCHLLSFKEFMILLIVFHRDKN >KJB68915 pep chromosome:Graimondii2_0_v6:11:2211002:2214178:-1 gene:B456_011G029900 transcript:KJB68915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKELAHASGASFFPSRCNKKKSYPRVELDLQEPTALPYDHIHRKTVRFAKTPRKPKTLQPQNTKTLQNLPPKMSAARSVLRSAATRATAAARLAGATKSMPRPACSPFRISKQNSFPARIFRSPVEMSCCVETLLPYHTATASALLTSMLSVSRRRSNWTAEGQNQTR >KJB69793 pep chromosome:Graimondii2_0_v6:11:3160808:3163053:-1 gene:B456_011G042600 transcript:KJB69793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Urease accessory protein G [Source: Projected from Oryza sativa (Os05g0565200)] MASNNHHGHHHDHGHDHHPHHHHHDHDKSTKSSWVGADGKVYHSHDGLAPHSHEPIYSPGYFSRRAPPLVNRDFNERAFTVGIGGPVGTGKTALMLALCTFLRDKYSLAAVTNDIFTKEDGEFLVKHQALPAERIRAVETGGCPHAAIREDISINLGPLEELSNLYKTDILLCESGGDNLAANFSRELADYIMYIIDVSGGDKIPRKGGPGITQADLLTDLAPAVGADLGVMERDALRMRDGGPFVFAQVKHGQGVEEIVNLVLQAWEAATGKKRK >KJB69789 pep chromosome:Graimondii2_0_v6:11:3160776:3163156:-1 gene:B456_011G042600 transcript:KJB69789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Urease accessory protein G [Source: Projected from Oryza sativa (Os05g0565200)] MASNNHHGHHHDHGHDHHPHHHHHDHDKSTKSSWVGADGKVYHSHDGLAPHSHEPIYSPGYFSRRAPPLVNRDFNERAFTVGIGGPVGTGKTALMLALCTFLRDKYSLAAVTNDIFTKEDGEFLVKHQALPAERIRAVETGGCPHAAIREDISINLGPLEELSNLYKTDILLCESGGDNLAANFSRELADYIMYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLGVMERDALRMRDGGPFVFAQVKHGQGVEEIVNLVLQAWEAATGKKRK >KJB69790 pep chromosome:Graimondii2_0_v6:11:3160808:3163053:-1 gene:B456_011G042600 transcript:KJB69790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Urease accessory protein G [Source: Projected from Oryza sativa (Os05g0565200)] MLALCTFLRDKYSLAAVTNDIFTKEDGEFLVKHQALPAERIRAVETGGCPHAAIREDISINLGPLEELSNLYKTDILLCESGGDNLAANFSRELADYIMYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLGVMERDALRMRDGGPFVFAQVKHGQGVEEIVNLVLQAWEAATGKKRK >KJB69792 pep chromosome:Graimondii2_0_v6:11:3161369:3162980:-1 gene:B456_011G042600 transcript:KJB69792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Urease accessory protein G [Source: Projected from Oryza sativa (Os05g0565200)] MASNNHHGHHHDHGHDHHPHHHHHDHDKSTKSSWVGADGKVYHSHDGLAPHSHEPIYSPGYFSRRAPPLVNRDFNERAFTVGIGGPVGTGKTALMLALCTFLRDKYSLAAVTNDIFTKEDGEFLVKHQALPAERIRAVETGGCPHAAIREDISINLGPLEELSNLYKTDILLCESGGDNLAANFSRELADYIMYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLGVMERDALRMRDGGPFVFAQVG >KJB69791 pep chromosome:Graimondii2_0_v6:11:3161736:3162980:-1 gene:B456_011G042600 transcript:KJB69791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Urease accessory protein G [Source: Projected from Oryza sativa (Os05g0565200)] MASNNHHGHHHDHGHDHHPHHHHHDHDKSTKSSWVGADGKVYHSHDGLAPHSHEPIYSPGYFSRRAPPLVNRDFNERAFTVGIGGPVGTGKTALMLALCTFLRDKYSLAAVTNDIFTKEDGEFLVKHQALPAERIRAVETGGCPHAAIREDISINLGPLEELSNLYKTDILLCESGGGMYNVLLN >KJB70131 pep chromosome:Graimondii2_0_v6:11:4782026:4782876:-1 gene:B456_011G059400 transcript:KJB70131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKKTEAISYNNESWSSRIRIYTSVCESLTTHEKGNEQPNTIPADLVFIIDEKPHSTFTRDGNDLVVTQKISLAEALTGYTVHLTTLDGRSLNIPINSVIHPNYEEVVPKEGMPIPKDPSKRGNLRIKFNIKFPTRLTAEQKSGIKKLLGPLGGL >KJB73094 pep chromosome:Graimondii2_0_v6:11:51489189:51490528:-1 gene:B456_011G214300 transcript:KJB73094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAIDRDDTQFHVLAVDDSLIDRKLIERLLKTSSFQVTAVDSGSKALEFLGLNNNDADDDEEDEQRDSNTESVSSDEDQDHQDLGLGVNLIITDYCMPGMTGYDLLKKIKKSSSFKDIPVVIMSSENIPSRINRCLEDGAEEFFLKPVQLSDVNKLRPHLMKGRSKDIDSSHKTTSTRLITSGN >KJB72400 pep chromosome:Graimondii2_0_v6:11:40947999:40949290:-1 gene:B456_011G176600 transcript:KJB72400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSQYSNLNLEDWVFNNTFEKIEGKEARGVSEIWPAKLIGPMVPSAYLDERIKGDRGYGSSLWKPLSEECIEWLETKPSNSVVYFFFGSMVSLSEEQIPELAWGLKENNMYSLSVVRDSEQQKLPKWFLDSVQEKGKVVTWCNQLEILAHRSVGCFVAHCGWNSTIEGLSLGVPMIGVPKWTDQLTDAKFVEEIWEIGVRAKEDEVGVVRKDELLRCLKEVMEGEKSKEIRRNANKWRDSAMKTINEGGSSDKYIDEFVQDLMASYQNLNGL >KJB74214 pep chromosome:Graimondii2_0_v6:11:61154997:61157109:1 gene:B456_011G279800 transcript:KJB74214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSSMEALFSTSFMSNMDWFHQENQNTYWTKEENKRFEHALAIYGDDVPDRWIKVAEMIPGKTVLDVIKQYRELEEDVSDIEAGRIPIPGYPTSSFTLELVDNHDFDGYRKRPNGAKGHDHERKKGVPWTEDEHRRFLMGLVKYGKGDWRNISRNFVVSKTPTQVASHAQKYYQRQLSGGKDKRRPSIHDITVLNLSSTTTFSDHRKAVSINQSNVLTLQHKIASMSKAVGLNGSVTVFDSGNGNRFTSSQYQAAQNLYGNAYHGAHIKHQSSVF >KJB74215 pep chromosome:Graimondii2_0_v6:11:61155272:61156838:1 gene:B456_011G279800 transcript:KJB74215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSSMEALFSTSFMSNMDWFHQENQNTYWTKEENKRFEHALAIYGDDVPDRWIKVAEMIPGKTVLDVIKQYRELEEDVSDIEAGRIPIPGYPTSSFTLELVDNHDFDGYRKRPNGAKGHDHERKKDKRRPSIHDITVLNLSSTTTFSDHRKAVSINQSNVLTLQHKIASMSKAVGLNGSVTVFDSGNGNRFTSSQYQAAQNLYGNAYHGAHIKHQSSVF >KJB73768 pep chromosome:Graimondii2_0_v6:11:57651550:57655387:-1 gene:B456_011G250100 transcript:KJB73768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLGTLRLHLFAQVGSAPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSQYDRPRVAQLCEKAGLYVRALQHYTELPDIKRVIVNTHAIEPQVCVVYYIYLFVLQIIGF >KJB69614 pep chromosome:Graimondii2_0_v6:11:2536016:2536497:1 gene:B456_011G034100 transcript:KJB69614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSGVEGIARIEIQKRLDLIQVIIYMGFPKLLIEDKPRKLEELQMNVQKELNCMNRKLNIAITRIGNPYGHPNILAEFIAGQLKNRVSFRKAMKKAIELTEQADIKGIQIQIAGRIDGKEIARVEWIREGRVPLQTIGAKIE >KJB70108 pep chromosome:Graimondii2_0_v6:11:4648071:4649900:1 gene:B456_011G058200 transcript:KJB70108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTWDKMVREERYRTKTENPSFSSSLLDAIYRSIDESNGGGKRNEDLIFCRETSSMRKKQSNNSSSSSSFQRACMIEKWMEKKENKVFVTPKSMADFDDPVLLNSSSTSSDSSYGGGFSSSESDSFYSAKSRSSSSYHCHRLPKPIRTSTISAPKHEVFQNNFHGATQKSKHEGSFIKTKSKALKIYSDLKKVKQPISPGGRLASFLNSLFTSGNAKKTKISSSKTEQATSTCSSASSFSRSCLSTTKTPSSRGNVGTKRSVRFCLDEDSRPCGETKILHENELRTSMRKPVNKELEYRIMEENRRVAEAAKELYNSYQKKKIKEELYMRGIIYNGNEVLSDDETSAAAAAAIADDDDDDIASDASSDLFELDNLSAIGSNERYSEELPVYETTHLDTNRAIANGLIL >KJB70036 pep chromosome:Graimondii2_0_v6:11:4316540:4322217:-1 gene:B456_011G054900 transcript:KJB70036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSCIKRLQKEYRALCKEPVSHVVARPSPSDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGITMITPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVNTTAAEKQRLAKTSLSFNCKNPTFRKLFPEYVDRYKKQQQLISEHSSPESPQGENSKPKTEKLVDSSGEDVKKVIPRRKQPFPTWMMLLLVSVFGIETMNKSPAHQQYETSDCSYEFDPQVNFSQFLEEARQHARDINLQRSSSCSEEVGKKRLGAEKKSKKSWRISLFSWWKINGKSKPGSDPVHVPNPSKPTKGYGSGPLCRTTRGIKTRHQRPSSGPVSILFTPTRKMENEVPYMSLDQPNDPYHINTYGPVYLVT >KJB71086 pep chromosome:Graimondii2_0_v6:11:12158961:12160859:-1 gene:B456_011G105100 transcript:KJB71086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICHPINSTFEPTSLWKTQGLLKMRRITSSNTAKGVAAIVGVGPQLGLSIARKFAHEGYTVAILARDLGRLSRFADEIAREEKAQVFAIRIDCSDYRSVREAFEGVLSLGFVEVLVYNAYYQPVSSHPTTFSDIKIDSFEKSLAVSSLGAFLCAQQVLPGMVERRRGTILFTGRSASLSGIPGFSELCCGKFALRALSQCLSREFQSVGVHVAHIIIDGVIGPPRGAQQGLAGELWQSGVGGDQTVMTMDPDAIAQTYWHLHVQDRSGWTQEIDLRSSVTRYSYTH >KJB71148 pep chromosome:Graimondii2_0_v6:11:12692557:12693672:1 gene:B456_011G108200 transcript:KJB71148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISKIPTHSLIKLLVSSSPKPPVSCFISTATATATAENRTQKLERIADELLDLTKLERYDYSILFRLKLGLNRYGPAVSGVSSAAASGPGTGSSAAETKTAEKTAFDIKLEKFDAAAKIKIIKEVRTFTELGLKEAKDMVEKVPVVVKKGVTKEEAEAIIKKLQELGATVVLE >KJB70416 pep chromosome:Graimondii2_0_v6:11:6810196:6814139:1 gene:B456_011G072400 transcript:KJB70416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTELRSRKKLKRDVQIWLEDVERIDGEIQSLDGRIGKSSAITRGFRAEDVLKMLKEVEEHIQKGKFHEGLVVDNPQWIGQVLSVTALSGEAAQAYIEEIWLYLMDDEVQKIGFCGMGGVGKTSIMKLINNQILKETWNFNSVIWITVSKEMSTAKLQKDIASKIGVTFSGDEDETTKAGMLFETLSRKSRFLMILDDLWDRIFLDKVGIPEPSAGSTIVLTTRSFDVCRQVGCCRVVKINPLAEEEAWNLFLEKELSLYVKSVNGLEDDVLQQLRFSYDRLKDLKLQHCFLSCALYPEDFRIKEEDLIQLWIALWRKWIRCVKMHALVRDMALCITTGTPRVLVEAGVRLMEPPNVSLMENWGLQLPYPLQMSPPRCPILTTFLLSNCLITSIPEGFFKHMHALKILDLSRNPIMSLPISIANLKNLTALLLGECQNLEKEPSLSKLKFLKELDLHATNIKQVPHGMENLSRLKYLNLNDVELDEIPIGILSNLSLLQNLIIGEMLIRGEELHGLKKLEILKGTFYDLQSFNIYVQSLHYQEEPREYVIHVGVELEKRAMVPVEFCFLQLKGLVLKKLPELKSICSADRVVVCDSLDYISVANCLKLKRMPLYLSHLHNFQPSPALSLSVYIEPKEWWESVEWYHPDTKSLLKPFLSL >KJB70449 pep chromosome:Graimondii2_0_v6:11:7002885:7005181:-1 gene:B456_011G073900 transcript:KJB70449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKDDEMKKSQVVEARARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIQSGKSDKEIYKKLEEEYGETVLYAPKFDLQTAALWLSPLLVAGAAAGVWGYKKHRQKTNVHIMALNLVRGVPLTPKEKETMLDLLIPPPQGLTPSSLWSRWRGR >KJB71613 pep chromosome:Graimondii2_0_v6:11:20242701:20243737:-1 gene:B456_011G133600 transcript:KJB71613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSLTPVPATSITPIAKSTKLASHIPTLRLQTVSASYQTPHHVLDDKLVHRRTVTLSLAGAMLSLNVGDQRQANAARRPPPPPPEEKKDPSVSGVQAKVLASKKRKEAMKQAMAKMREKGKPVDGTSPPSE >KJB71635 pep chromosome:Graimondii2_0_v6:11:20394798:20396111:-1 gene:B456_011G135200 transcript:KJB71635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLKVQDQITHSMPPQKIEIFKSLDNWVENNILIHLKPVEKSWEPQDFLPDPTSDGFNEQVEELRERAKEIPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASPTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDMKAENNPYLAYIYTSFQERATFISHKNTAKIAKGHENIELARLCGFIAADEKRHETAYTKIVEKLFEIDPDETARAFADMMRKNITMPAQFMYDGQDENLFNHFSTVAQRLGVYTTKDYTDILEFLVDQWKVKELTGLSAGGRKAQEFVCQLPQRLRKLEVRAQLRAKEAPNVKFSWIYDRKLKL >KJB71636 pep chromosome:Graimondii2_0_v6:11:20394798:20400526:-1 gene:B456_011G135200 transcript:KJB71636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLVSMSFQSQEVPCFGLPAMASFGSPRFFMTSTVPSANMKVENLRKKFMPHLKVQDQITHSMPPQKIEIFKSLDNWVENNILIHLKPVEKSWEPQDFLPDPTSDGFNEQVEELRERAKEIPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASPTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDMKAENNPYLAYIYTSFQERATFISHKNTAKIAKGHENIELARLCGFIAADEKRHETAYTKIVEKLFEIDPDETARAFADMMRKNITMPAQFMYDGQDENLFNHFSTVAQRLGVYTTKDYTDILEFLVDQWKVKELTGLSAGGRKAQEFVCQLPQRLRKLEVRAQLRAKEAPNVKFSWIYDRKLKL >KJB73985 pep chromosome:Graimondii2_0_v6:11:59685261:59688458:1 gene:B456_011G265300 transcript:KJB73985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKVVIPRLERLDLSSINIHKIWHHSSSPSIGYLNSLESFLAKDLVQLRRLRILDCKMMEQVIFTDGLVEEHQGRNQMFFFNLDSLRLDDLPKLTSWCFENYFEFHCLAYLTLRNCPLLKTFISKCVPGDEPQIGQHVQASNLEVHNSSLLNEKVVFPSLKKLWIENCDSLEEIIELERLIADESQSTSAAQSIMPETVTTKFVFPKLINLVLYKVPRLKSFYSRMHTTLWPSLKQMSIEKFLFHTRRHCISQTEVFATKVSAMSSKLFLGPSLVGIPSLANGDGDKVSQNEEFLPRRFKHTKAGTNALNKR >KJB73984 pep chromosome:Graimondii2_0_v6:11:59684984:59688458:1 gene:B456_011G265300 transcript:KJB73984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEQVIFTDGLVEEHQGRNQMFFFNLDSLRLDDLPKLTSWCFENYFEFHCLAYLTLRNCPLLKTFISKCVPGDEPQIGQHVQASNLEVHNSSLLNEKVVFPSLKKLWIENCDSLEEIIELERLIADESQSTSAAQSIMPETVTTKFVFPKLINLVLYKVPRLKSFYSRMHTTLWPSLKQMSIEKFLFHTRRHCISQTEVFATKVSAMSSKLFLGPSLVGIPSLANGDGDKVSQNEEFLPRRFKHTKAGTNALNKR >KJB74229 pep chromosome:Graimondii2_0_v6:11:61274785:61278287:1 gene:B456_011G280800 transcript:KJB74229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCNCFNLNQESINNIEENAMLKIRFLAKKWAARFDCCGKIPDLSSLICCFPGNKISANKFKCQSMNSSLSLKIAPNGGGGSRFLVFVFCLVADLTHCRYISNVECICRYQLTAADGGNDGGGGGYEKLRSKISLLLFPKPEMYKDYHLFILSTADMVKEDQNYEEASFKFYIRLLDLRRGGKEYIKVERCGVHVFYVDAERCYR >KJB72700 pep chromosome:Graimondii2_0_v6:11:45758897:45759724:1 gene:B456_011G191400 transcript:KJB72700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTLMLVCLLVAAMALIPPIAEAQLGGLISGLLGLIRIQGTVFCTVDGNMGVNGTATPVFPYALVQLQCGGNVVSSSTTNGSGIFSILLDPLQFLVPSLINNCNLAVKTPLSNCNTSLPSIGGLSSTLQVVGSTVAGLLNITNIIPTGFGFLRA >KJB71212 pep chromosome:Graimondii2_0_v6:11:13213745:13215350:-1 gene:B456_011G110500 transcript:KJB71212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKVFRDLIGIIKDKASQSKAALISNPRTLSLHLALLRATTHDPFSPPDPTHLATLLSFGHCSRATASTAVDAIMDRLQTTRDAAVAIKCLITVHHIVKRGSFILQDQLSVYPSTGGRNYLKLSNFRDDTTPLTWELSSWVRWYALYLENLLSTSRILGFFLCSTSSSVDKDTEEDKVSSLINTDLLKEINSLGNLIEQIAKKPDSLNSNGNVLVDAVLGLVGEDYLSSINEVSIRVSEFKERLDCLGFVDSVELVCVLRSLEECKERLSALSQRKKVMIESVWGSINEVKDQIGNSKAYKEDEGRLLMMGRRNKVSESARFGERVVMKHSGNSVKFSSGRFLSFNDFSFPAYASME >KJB71428 pep chromosome:Graimondii2_0_v6:11:17247000:17248687:1 gene:B456_011G123000 transcript:KJB71428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLGFLAAALLSLLNFVHGSLGPWTNAHATFYGGGDASGTMGGACGYGNLNSQGYGINTAALSTALFDNGLSCGACYELKCVNDPQWCLPGSIVVTATNFCPPGGWCDPPNHHFDLSQPIFQHIAQYRAGIVPVMYRRVKCRRSGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSKTRWQAMSRNWGQNWQSNSYLNGQSLSFIVTTSNGHSVVSFDVAPSGWSFGQTYTGGQFGATKI >KJB71429 pep chromosome:Graimondii2_0_v6:11:17247050:17249227:1 gene:B456_011G123000 transcript:KJB71429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLGFLAAALLSLLNFVHGSLGPWTNAHATFYGGGDASGTMGGACGYGNLNSQGYGINTAALSTALFDNGLSCGACYELKCVNDPQWCLPGSIVVTATNFCPPGGWCDPPNHHFDLSQPIFQHIAQYRAGIVPVMYRRVKCRRSGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSKTRWQAMSRNWGQNWQSNSYLNGQSLSFIVTTSNGHSVVSFDVAPSGWSFGQTYTGGQFGATKI >KJB71624 pep chromosome:Graimondii2_0_v6:11:20283946:20287082:1 gene:B456_011G134300 transcript:KJB71624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVPREGESALSGPRPMEWSTVPYAPQGPDRNGNKRTSSLESPIMLLTGHQSSIYTVKFNPAGTVVASGSHDREIFLWNVHGDCKNFMVLKGHKNAILDLHWTTDGSQIISASPDKTLRAWDVETGKQIKKMAEHSSFVNSCCPSRRGPPLVVSGSDDGTAKLWDMRQRGAIQTFPDKYQITAVSFSDASDKIFTGGIDNDIKVWDLRKGEVTMTLQGHQDMITGMSLSPDGSYLLTNGMDCKLCIWDMRPYAPQNRCVKIFEGHQHNFEKNLLKCGWSPDGSKVTAGSSDRMVYIWDTTSRRILYKLPGHTGSVNESVFHPNEPIIGSCSSDKQIYLGEI >KJB68881 pep chromosome:Graimondii2_0_v6:11:24754108:24755492:1 gene:B456_011G149700 transcript:KJB68881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKKSVVHLILVLLLSFSLLLPPSSTTITHLTVIVKERSEVIHRNLGGGKPTPCPPHDPRCHGPSGRSPCRPHSHGCHPHVGLTPNHALGGGPGHASSGILP >KJB72347 pep chromosome:Graimondii2_0_v6:11:38703309:38704634:1 gene:B456_011G172700 transcript:KJB72347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 6 [Source:Projected from Arabidopsis thaliana (AT3G22800) UniProtKB/Swiss-Prot;Acc:Q9LUI1] MEKIYLSSFLRVILTLIFLSTKPSHALMDIGINPPLIPNPRLHKAYIALQAWKHAMISDPNGFTSNWYGPKVCNYTGVFCAASPDDPYVTTVAGIDINHANIAGSLPEELGLLTDLSLFHINSNRFFGKIPESFKKLNLLYELDISNNQFNGGFPYVFLYLPSLKFLDIRFNQFSGSIPSQLFDLKLDALFFNNNNFQSSLPTNLGNSPVSVIVMANNGLTGCFPSSSLVKMAGTLKEIVMTNNGFTGCLKPELGALKGMNVFDVSSNELVGSLPDAIGKMESLEQLNVANNKLSGYIPESICSLPKLKNFTFSSNFFISEPSKCLKVRSKDDTKNCIPYRPFQRSPMECKAFYAHPLDCSISGCSPPSPPPPPPRMNHWP >KJB68840 pep chromosome:Graimondii2_0_v6:11:39388373:39388983:-1 gene:B456_011G174000 transcript:KJB68840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSTNRKPSAQKRALYCHCGLRALVCNVNTPRNKGTKFFGCANFKEIWGCDFSSGLKITMTTQIQVEKNKDAQSIK >KJB73121 pep chromosome:Graimondii2_0_v6:11:51929717:51930993:1 gene:B456_011G216100 transcript:KJB73121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFPQKCNKHAVQVYFLTPTAHVDSRILKAVAIENAKDVDAAAEIVLSEILPYLSKRIVAGSSSSWNRSPPVQANEGMMKMILLPR >KJB70606 pep chromosome:Graimondii2_0_v6:11:8322656:8328771:-1 gene:B456_011G082600 transcript:KJB70606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHGKRHRAEIARSLPQWERKFLCYKALKKKLKLRQDMGFRHSLGRELDKVNDFFIDKEEDYIILFRELESKAENINGHEEILELLKEILAFHSEMVMLLHYSVINFAGLMKIVKKHKKRAGGRVCASYMPRVLQQPFFSTELLYNLIRGCEAILERLSPPQ >KJB71092 pep chromosome:Graimondii2_0_v6:11:12259510:12261806:-1 gene:B456_011G105600 transcript:KJB71092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEDESLDLSNLECEPGLCKQIYEYPVNMRDEIRRAYIKLALVVAAREVVEVHQFFKELYDIINIASASFKWHNELQKAQVIEIARLVSINKLATGTGMNQIGTLQHPGETRWSSHLNSRGDAHNAYNRFKSFKFIFILHMMNEVLGVTDNLCQALQSCSQDVLNAMSLVLTTKDLIQKLRDDGWNELLKNELDFPNMNAQYIVSCSCNKKEDVTVKHHYRVNILFATIDTHLQELKSKFNEHVVELLILTTTLDPNEFFKLFDIDKIYILVNKFYQKDFSQQEKERLPYRLKHYELDVCKHLDLRKISTLSELCKSLVESGKLVMYPLVDRLICLLLTLLVSTAFSEHAFSAMKIVKTRLSSKMEDDFLSYLVVYIEKEIVEKFDVNKIINDFSEVKDRKVQFK >KJB69725 pep chromosome:Graimondii2_0_v6:11:2899242:2901198:1 gene:B456_011G038800 transcript:KJB69725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSKSNAATSSKKDANNGQTLLLGRYEVGKLLGHGTFAKVYHARNVKSGDNVAIKVIDKEKILKSGLIAHIKREISILRRVRHPNIVQLFEVMATKSKIYFVMEYVRGGELFNKVAKGRLKEDVARKYFQQLISAVHFCHARGVYHRDLKPENLLLDENGDLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARKGYDAAKVDIWSCGVVLFVLMAGYLPFQDQNIMAMYKKIYKGEFRCPRWFSPELIRLLTKLLDTNPETRITIPEIMEKRWFKKGFKHIKFYIEDDKLCSVEDDDNDVGSCSDQSSMSESETELETRKRVGTLPRPASLNAFDLISFSPGFNLSGLFEEGEEGSRFVSGAPVSTIISKLEEIAKVVSFTVRKKDCRVSLEGSREGAKGPLSIAAEIFELTPSLVVVEVKKKGGERGEYEDFCNRELKPGLKKLMVEESQSSAAASLATSSSYLPSDTE >KJB72966 pep chromosome:Graimondii2_0_v6:11:49909025:49915284:1 gene:B456_011G207400 transcript:KJB72966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 3 [Source:Projected from Arabidopsis thaliana (AT3G56310) UniProtKB/Swiss-Prot;Acc:Q8VXZ7] MGMENMKKKKSVYSVYIVMVLTLRLIDGGIEGRQVGVLEKLEKPSSGFSKSYNSIYDTSKYGIFQLNNGLAVTPQMGWNSWNFFACSISEDLIKETADALVSTGLADLGYVYVNIDDCWSAATRNLKGQLVPDPKTFPSGIKALSDYVHGKGLKLGIYGDAGAFTCQVRPGSLFHETGDAQLFADWGVDYLKYDNCFNLGIDPKKRYPPMRDALNATGRTIFYSICEWGVEDPALWARGVGNSWRTTDDINDTWASMTTIADINDKWASRAGPGGWNDPDMLEVGNGGMTYQEYRAHFSIWALMKAPLLIGCDVRNMTAETLEILSNKEVISVNQDSLGVQGRKVYVSGEANCLQVWAGPLSGNRLVVAFWNRCLKAATITARWEVLGLESSTNVSIRDLWQHKEVKENAVASFGAKVDSHDCHMYIFTPKTVAHSES >KJB72967 pep chromosome:Graimondii2_0_v6:11:49909039:49915284:1 gene:B456_011G207400 transcript:KJB72967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 3 [Source:Projected from Arabidopsis thaliana (AT3G56310) UniProtKB/Swiss-Prot;Acc:Q8VXZ7] MGMENMKKKKSVYSVYIVMVLTLRLIDGGIEGRQVGVLEKLEKPSSGFSKSYNSIYDTSKYGIFQLNNGLAVTPQMGWNSWNFFACSISEDLIKETDDCWSAATRNLKGQLVPDPKTFPSGIKALSDYVHGKGLKLGIYGDAGAFTCQVRPGSLFHETGDAQLFADWGVDYLKYDNCFNLGIDPKKRYPPMRDALNATGRTIFYSICEWGVEDPALWARGVGNSWRTTDDINDTWASMTTIADINDKWASRAGPGGWNDPDMLEVGNGGMTYQEYRAHFSIWALMKAPLLIGCDVRNMTAETLEILSNKEVISVNQDSLGVQGRKVYVSGEANCLQVWAGPLSGNRLVVAFWNRCLKAATITARWEVLGLESSTNVSIRDLWQHKEVKENAVASFGAKVDSHDCHMYIFTPKTVAHSES >KJB72965 pep chromosome:Graimondii2_0_v6:11:49909025:49915284:1 gene:B456_011G207400 transcript:KJB72965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 3 [Source:Projected from Arabidopsis thaliana (AT3G56310) UniProtKB/Swiss-Prot;Acc:Q8VXZ7] MGMENMKKKKSVYSVYIVMVLTLRLIDGGIEGRQVGVLEKLEKPSSGFSKSYNSIYDTSKYGIFQLNNGLAVTPQMGWNSWNFFACSISEDLIKETADALVSTGLADLGYVYVNIDDCWSAATRNLKGQLVPDPKTFPSGIKALSDYVHGKGLKLGIYGDAGAFTCQVRPGSLFHETGDAQLFADWGVDYLKYDNCFNLGIDPKKRYPPMRDALNATGRTIFYSICECMTTIADINDKWASRAGPGGWNDPDMLEVGNGGMTYQEYRAHFSIWALMKAPLLIGCDVRNMTAETLEILSNKEVISVNQDSLGVQGRKVYVSGEANCLQVWAGPLSGNRLVVAFWNRCLKAATITARWEVLGLESSTNVSIRDLWQHKEVKENAVASFGAKVDSHDCHMYIFTPKTVAHSES >KJB73830 pep chromosome:Graimondii2_0_v6:11:58467873:58470872:-1 gene:B456_011G255400 transcript:KJB73830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLTESDNKAEPTALKINQQDAAASVDQAAQKSGCCGG >KJB73795 pep chromosome:Graimondii2_0_v6:11:58128467:58130459:-1 gene:B456_011G252800 transcript:KJB73795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSMIGAAQTGNINVLYELIQKDPYVLERIDHVPFLDTPLHVAASAGHVDFMMEIINLKPSFARKLNQAGFSPMHLALQNNRTQAVLRLLKFDEGLARVKGRGGLTPLHHVAQTGDVDLLIKFLEVCPEAVEDVTVRDETVFHIAVKNDMYEAFQVLVGWLIRSRHEAAQRWEKELLSWADIDGNTVLHIAAIKNSPQVVKVLLGHLRRDHINAKNLKGSTALDIQPDYPLDERQMDNYKDSVKDMIRKAGGLSGSKLPNKSISSIHIKSLRPKMSCSQKFATMAGRGRKGIPLEMRNTFLVVTVLIITATYAASLSPPKKADNSSSMKYHIEYSAAVASVDSTAPLPVPPPPPADDQINFSDLIDVSSMFWLYNTLTFWAAIGLTAYLLPSRSISLFLLITLSLFGTCYMLLVAVSTWSWKLQYVVSLQTTIPLSYRALCIINYCLSTSLALLVSYRIARYVFRRFVPKAKIFVLVQIVSFILFAFVLVPAILNTEAILTYSNFFQ >KJB69607 pep chromosome:Graimondii2_0_v6:11:2489887:2492080:-1 gene:B456_011G033600 transcript:KJB69607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRCISRKWFGKKNKVMRSSIAKKWKDLSGQKNWGELLDPLDIDLRRYIIHYGEMAQAAYDAFNTEKASKFAGSSLYGKKDFFSNVNLEKGNPYRYQVTKFLYATSQIQVPEAFIVKPISREAWNKESNWIGYVAVATDEGKAMLGRRDIVIAWRGTVQTLEWIDDFEFNMVSPGKILGDQRSDVKVHEGWYSIYTSDDPRSPYNKSSARDQVLNEVRRLIDQFKNEEISITITGHSLGAALATLNAIDIVANGYNKHQNQSSKAALVTAFLFASPRVGDSDFKKAFTGFKDLRALRVRNALDVVPNYPLIGYSDVGEELGIDTRKSKYVKSPGNLSSWHNLEGYLHGVAGTQGSEGGFSLVVNRDIALVNKSLDGLKDEYMVPVSWRVRKNKGMVQKEDGSWKLMDHEGQDDDYF >KJB70040 pep chromosome:Graimondii2_0_v6:11:4347720:4351276:-1 gene:B456_011G055300 transcript:KJB70040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNGKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPSEVEAVITRL >KJB70039 pep chromosome:Graimondii2_0_v6:11:4348385:4350228:-1 gene:B456_011G055300 transcript:KJB70039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNGKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPSEVEAVITRL >KJB74059 pep chromosome:Graimondii2_0_v6:11:60144777:60152352:-1 gene:B456_011G269700 transcript:KJB74059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSSRAFVKDVKRIVIKVGTAVVTRNDGRLALGRLGALCEQIKELNSQGYEIILVSSGAVGLGRQRLRYRRLVNSSFADLQKPQVELDGKACAAVGQNSLMALYDTLFSELDISSAQLLVTDSDFRDRDFRKQLNETVKSLLSLKVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAALLALELKADILVLLSDVEGLYSGPPSDPKSKLIHTYVKEKHQGEITFGDKSRVGRGGMTAKVKAAVNAAYAGIPVVITSGSAPENIIRVLQGQKIGTLFHQDAHLWEPTKEVDTREMAVAARESSRRLQALSSQERKKILLDIADALEANEKLITVENEADVAAAQQAGYEKSLISRLALKPGKIASLAKSIRVLANMEDPIGRVLKKTQLADGLILEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITEAIPDIVGGKVIGLVTSRDEIPDLLKLDDVIDLVIPRGSNKLVSQIKSSTKIPVLGHADGICHVYVDKSANMDMAKRVVLDAKIDYPAACNAMETLLVHKALVTNGALNELIVDLRIQGVTLYGGPRASLLMNIPQARSFHHEYNSMECTVEIVDNVAAAIDHIHHHGSAHTDCIITEDQETAEIFLHQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILKGSGQVVDGDKGVIYTHKDIPINS >KJB74060 pep chromosome:Graimondii2_0_v6:11:60144794:60152251:-1 gene:B456_011G269700 transcript:KJB74060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSSRAFVKDVKRIVIKVGTAVVTRNDGRLALGRLGALCEQIKELNSQGYEIILVSSGAVGLGRQRLRYRRLVNSSFADLQKPQVELDGKACAAVGQNSLMALYDTLFSELDISSAQLLVTDSDFRDRDFRKQLNETVKSLLSLKVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAALLALELKADILVLLSDVEGLYSGPPSDPKSKLIHTYVKEKHQGEITFGDKSRVGRGGMTAKVKAAVNAAYAGIPVVITSGSAPENIIRVLQGQKIGTLFHQDAHLWEPTKEVDTREMAVAARESSRRLQALSSQERKKILLDIADALEANEKLITVENEADVAAAQQAGYEKSLISRLALKPGKIASLAKSIRVLANMEDPIGRVLKKTQLADGLILEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITEAIPDIVGGKVIGLVTSRDEIPDLLKLDDVIDLVIPRGSNKLVSQIKSSTKIPVLGHADGICHVYVDKSANMDMAKRVVLDAKIDYPAACNAMETLLVHKALVTNGALNELIVDLRIQGVTLYGGPRASLLMNIPQARSFHHEYNSMECTVEIVDNVAAAIDHIHHHGSAHTDCIITEDQETAEIFLHQVDSAAVFHNASTRFCDGARFGLGAEDSERKWAGSRW >KJB72040 pep chromosome:Graimondii2_0_v6:11:26984389:26985813:-1 gene:B456_011G155300 transcript:KJB72040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEQEDHPKLQFPIDSNSYNITAEIGAGVCSKVYTAQCLPINSTVVAIKSIDLDQSNADFRNLVGRETNTSSLLSHLNILNPHSSFTAGNRLWVVMPFMPGGSLDSIIASSVPNGIQEQCIAIVLKETLTALSYLHSQGHLHRDIKASNILLDDNGRVKLADFGVSSSFYASSSVYRLGTSTFSSQYWMAPEVIHSHKDYSFKADIWSFGITALVSTKKFSETFQDMVASCLDKDPANRPSAGELLKHPFFESCNGTSEFLVENLLRGLPSVEERFRAASKILQEGVGSYPNGDWVSGLLLHHLMSSIIEGNGNKDEEFEVHDPVFPVESTQAVIPCDDDGEEQQPAAGGRGNEVNAETMVNKLMALMTSLDDQKEKVNKIINQLGAETIDREDELEKENARLRLELEREREPNLKLIQVIKEEDQLLHQNERLRLELENEKLRLENEKLRLELEKLKMHISATSNTTTDDNN >KJB72072 pep chromosome:Graimondii2_0_v6:11:27441141:27445706:-1 gene:B456_011G156700 transcript:KJB72072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFRLAGDMTHLFSVLVLLLKIHTIKSCAGISLKTQELYAIVFTTRYLDLFTTYISLYNTIMKLIFLGSSISIVWYMRHHKVVRRSYDKDQDTFRHYLLMLPCLLLALLINEKFTFLEVMWAFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVLLLGAYRALYVLNWIYRYFTEENYVHWITWVSGIVQTLLYADFFYYYFQSWKNNVKLQLPA >KJB70653 pep chromosome:Graimondii2_0_v6:11:8836996:8848574:1 gene:B456_011G085600 transcript:KJB70653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNNWRSTPPSGEPTMDTGDWRTQLQPDSRQRIVNKIMDTLKRHLPFSGQDGLNELRKIAVRFEEKIFTAATSQSDYLKRISLKMLTMENKSQNTVPNTGNNSKPPDPGSQGMQNQVHSQGQSIPISLQCNQSQAQLLPQSVPNNMASAGVQSSAGLQSGMPAVSGLTQNPVPNVVGQNTNMQNMSGISQNSLGQGMSSNMFANQQRQMPRQQVLPQQQQQQQQQQLYHQQLQNQLIKQKLQQGNLQPSLMQSHMQQQQNLLPPTQLQSSQQSGMQTSSVIQQSSMQSTPLPGLQHNQQSSLQQSSQSMLQQHQQFRQQQQAQQAASSGIHQQQTPMTQQSMMPQQQHQHQQPHIMGQQTNAANSQQNQLIGQQNSIADMQQQRLLGQANNLPNLQQQQQQQQQLMAQKNNMSNIHQQQLGPQSNSSGLQQQQQPHLIGAQSGNSSMQANQQSLHMLPQPKVQLQTQQSSPNLLPPTQVQTSQQPQQQQQQQLMSQMQTQSTLQQQLGLPQQHNMPQRLQASGQVSSSLLQSQNLTEQQKQLYQSQRAVPETSSTSLDSTAQTGLANGGDWLEEVYQKILTLKETYLPELNEMYQKIATKLQQHDSNPAQPKSEQLEKLKIFKTMLERIISFLTVSRANITPPFKEKLSSYEKQIINFINSNRPRKPVSVQQQGQLPPPHMHSMQQQQQSQISQTQSHDNQMNPQLQSMNLQGSVPTMQPNNMTSMQHNSLSPGVSTAQQTMLNSLQPGSSLDSGQGNALGPVQQVAPGPLQQNPVSTSQQTNFNSNSLSSQSGLSVLPQNINPLQSNSNMLQHQHMKQQQEQQMLQSQKYKQQFQRQMQHQHIQQKQQLLQQQQQQQQQRQQQQQQQAKQQLSTHQMSQLHQMNDVNDMRQGMGVKPGVFQHLPAGQRQSYTHQQLKPGAQFPVSSPQLLQAASPQIPQYSSPQVDQQNLPSISKTGTPLQSANSPFVVPSPSTPLAPSPMPGESEKPVLGTSLPNVANLGHQQGTGVQTGSQSLAIGTPGISASPLLAEFSGADGTHANALTAVSSKSNVTEQPLERLIKAVKSISPTALGASVSDIGSVVSMTDRIAGSAPGNGSRAAVGEDLVAMTKCRLQAKNFISQDGMSGTKKIRRYTSAMPLNVVSSAGSLNDSFKQLTGSETSELESTATSSVKRPRIEANHALLEEIREINQRLIDTVVDISDEDVDPGAAAATAEGGEGTIVKCSFSAVALSANLKSQYMSAQMSPIQPLHLLVPTNYPNCSPILLDKFPVEVSKENEDLSVKAKSRFSISLRTLSQPMSLGEIARTWDVCARAVISDHAKLSGGGSFSSKYGTWENCLSAA >KJB70652 pep chromosome:Graimondii2_0_v6:11:8836996:8845987:1 gene:B456_011G085600 transcript:KJB70652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNNWRSTPPSGEPTMDTGDWRTQLQPDSRQRIVNKIMDTLKRHLPFSGQDGLNELRKIAVRFEEKIFTAATSQSDYLKRISLKMLTMENKSQNTVPNTGNNSKPPDPGSQGMQNQVHSQGQSIPISLQCNQSQAQLLPQSVPNNMASAGVQSSAGLQSGMPAVSGLTQNPVPNVVGQNTNMQNMSGISQNSLGQGMSSNMFANQQRQMPRQQVLPQQQQQQQQQQLYHQQLQNQLIKQKLQQGNLQPSLMQSHMQQQQNLLPPTQLQSSQQSGMQTSSVIQQSSMQSTPLPGLQHNQQSSLQQSSQSMLQQHQQFRQQQQAQQAASSGIHQQQTPMTQQSMMPQQQHQHQQPHIMGQQTNAANSQQNQLIGQQNSIADMQQQRLLGQANNLPNLQQQQQQQQQLMAQKNNMSNIHQQQLGPQSNSSGLQQQQQPHLIGAQSGNSSMQANQQSLHMLPQPKVQLQTQQSSPNLLPPTQVQTSQQPQQQQQQQLMSQMQTQSTLQQQLGLPQQHNMPQRLQASGQVSSSLLQSQNLTEQQKQLYQSQRAVPETSSTSLDSTAQTGLANGGDWLEEVYQKILTLKETYLPELNEMYQKIATKLQQHDSNPAQPKSEQLEKLKIFKTMLERIISFLTVSRANITPPFKEKLSSYEKQIINFINSNRPRKPVSVQQQGQLPPPHMHSMQQQQQSQISQTQSHDNQMNPQLQSMNLQGSVPTMQPNNMTSMQHNSLSPGVSTAQQTMLNSLQPGSSLDSGQGNALGPVQQVAPGPLQQNPVSTSQQTNFNSNSLSSQSGLSVLPQNINPLQSNSNMLQHQHMKQQQEQQMLQSQKYKQQFQRQMQHQHIQQKQQLLQQQQQQQQQRQQQQQQQAKQQLSTHQMSQLHQMNDVNDMRQGMGVKPGVFQHLPAGQRQSYTHQQLKPGAQFPVSSPQLLQAASPQIPQYSSPQVDQQNLPSISKTGTPLQSANSPFVVPSPSTPLAPSPMPGESEKPVLGTSLPNVANLGHQQGTGVQTGSQSLAIGTPGISASPLLAEFSGADGTHANALTAVSSKSNVTEQPLERLIKAVKSISPTALGASVSDIGSVVSMTDRIAGSAPGNGSRAAVGEDLVAMTKCRLQAKNFISQDGMSGTKKIRRYTSAMPLNVVSSAGSLNDSFKQLTGSETSELESTATSSVKRPRIEVLFVAHGACFHESILLCF >KJB72272 pep chromosome:Graimondii2_0_v6:11:35123214:35124471:1 gene:B456_011G169100 transcript:KJB72272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRIVAQALLILMPALLRLSLAAVYKVGDSAGWTTIGSIDYKQWAATKTFQVGDVILTEKFSGSTLPLPAGVNRYLH >KJB74228 pep chromosome:Graimondii2_0_v6:11:61260415:61265634:1 gene:B456_011G280700 transcript:KJB74228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSRQMKHQVFLSFRGEDTRLNFTVHLLQALKDKGLNVFFDEEKLEKGEELSHALSQAIAASDLSILVLSKDYASSQSCLAELSDIMDRKRKPTDKHIVLPIFYHVDPSDVRNIGGTFKTSFEEHESKRPIEDMKRWKVAFAEIGASKGWHIEGGKSDRFETEYIKDVVEYVLKKLNSNCRSVSEELVGIDDQKKKLLVLIEQAGIHVIGLWGMSGIGKTTLADAVYKEVSPKFECSLFLQNISEKIKKQGSESLRNELLSKLLNNTLSIGYPYHERLNNKKILLVFDDVTDPDQMDFVGVKYFGPGSKIIVTSRDIQVLRNGGANHIHEVKKLNMNDSFQLFSTYAFQLLNLPVDFKYLSFKFVEYAQGNPLALKVLGLKLYTKSIKEWKSEVTKLKQCGEIKLSHILKSSLDGLDEIEKNIFLDVACIFKGQHLNYVEKILSNYYKGARCGISKLVGKCLLEVKYESISMHGMLEEMGKDIVMQESEYPGKRSRLWNCKDVEEVISYDKGTDLIKGIKVDMTEVDSLQFCATAFEKMHKLRYINISFPKKMLWLKKLYANQVESISLPDELRFLRWDVYPFKSLSGFNPKNLVVLKLLHGNMEQLWNEDDDMNLTNLREIDVSYCKNLRKMHNLSSAINLELLRCIGCESLVELWNEDDHIWWMLRSRTIIFSVHDLVHLRKIYFDGLRLNGCYSLKKFPKVPRPFCSLDSSETKIEEVSDSIKDHHKLETLWLGKSKVKKVSINILKLEFLRKLDLSGCPIEEVGCPKVELLSDLPPYLEVLSVNDCTSLEKFDSLDDELCINHNFLTRFRNCFNLNQDSTSNIEANAMLKIGSLAKKWAARYDWQYNPDRAPSLICCFPGNKISANMFKCQSMKSSLCLKIAPNGGSGSRFLVFAICLVADLTNCLEFQLRECICEYQLTTAGGGNGGGGCENFISEICFRSFCEPVKYMDYHVFILSSIAMVIEDKNYEEASFKFYIKNFHFDEDNDGGEKYIKVERCGVHVFYVDATEKRVAGNKRSFSHDGEEGDGGLKRLK >KJB69667 pep chromosome:Graimondii2_0_v6:11:2721699:2724610:1 gene:B456_011G036200 transcript:KJB69667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSNQNAGFYPDSRDSESQVLDSRVSYSTPNEYTGYKNPNSLTDDKMGLENYDNGLSVPTKSMEELNDEEKESVTGPRKPGKYFFYDSPLVEDTGVWIPVSVPPMLESDHKEWSRGFHSNGGYFPEGDMGWDQFLGEEDKELTMWDVIAEMVLAARGKVHTLTSGDLQRRGISWLLSSHLIEQAWQEMAQTLTESNLGNMKEILEVEPPKWLADSAASACMLCGVRFHPIMCSRHHCRFCGGIFCGECSRGRSLMPGKFRVADPQRVCDVCCVQLESVQPYLMDHVSSAAQLPTHDLTDLSTLRSWVNFPWGQSMEHEIYKATNTIRSYITKVASLKPEKSIPDAILREAKGLAIISVVKVGVMVIYNIGTGLVISRREDGSWSPPSAISSLGVGWGAQAGGELTDFIIILRTNDAVKTFSSNAHLSFGAGLSAAVGSVGRAAEANLRVGDGGCAACYTYSCSKGKWIHFSCVFVLVGLPLYHVDQ >KJB69666 pep chromosome:Graimondii2_0_v6:11:2721371:2724358:1 gene:B456_011G036200 transcript:KJB69666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSNQNAGFYPDSRDSESQVLDSRVSYSTPNEYTGYKNPNSLTDDKMGLENYDNGLSVPTKSMEELNDEEKESVTGPRKPGKYFFYDSPLVEDTGVWIPVSVPPMLESDHKEWSRGFHSNGGYFPEGDMGWDQFLGEEDKELTMWDVIAEMVLAARGKVHTLTSGDLQRRGISWLLSSHLIEQAWQEMAQTLTESNLGNMKEILEVEPPKWLADSAASACMLCGVRFHPIMCSRHHCRFCGGIFCGECSRGRSLMPGKFRVADPQRVCDVCCVQLESVQPYLMDHVSSAAQLPTHDLTDLSTLRSWVNFPWGQSMEHEIYKATNTIRSYITKVASLKPEKSIPDAILREAKGLAIISVVKVGVMVIYNIGTGLVISRREDGSWSPPSAISSLGVGWGAQAGGELTDFIIILRTNDAVKTFSSNAHLSFGAGLSAAVGSVGRAAEANLRVGDGGCAACYTYSCSKGAFFGCSLEGSIVTTRRRENSRFYGSQTITASDILLGSMSQAPAAAILYHALSDLYSTLS >KJB73167 pep chromosome:Graimondii2_0_v6:11:52663416:52666825:1 gene:B456_011G218900 transcript:KJB73167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLVPFKLIFFLIFVFFHACSSSSSITSMEAETLLKWKASLDNTTQTLLSSLWVGSSHCNWVGITCNNAGSVTNLSLAEYDLRLRGTLHHLNFLSLPNLIRLHLRNNSLYGPIPSHIGNLSKLIFLDLSYNYFSGHIPSEICLLRSLQLISLIVNKISGPIPQEIGNLSTVSNIYFYGNYLSGPIPASIGRLHNLYRLDLNSNRLNGFIPKQVGTLRSLYMLDLSGNSLTGPIPASIGNLSNLVYLFLYNNHLSGSIPNEIGGLKSLFTIQFSKNNLSGVIPKSIGNLTKLFSVMLDTNAISGSIPREIGMLKSLNFLLLAANSISGPIPTSMGNLTNLTTLSLSHNMFFGLIPKEVGMLKSLSELDLSNNHLTGPLPENLCLGGVLTRLAVINNNLSGPIPSSLRNCKSLIRVRVDGNHLTGNISEVFGIYPHLNYASLSNNNFYGELSPNWGQCHNLTSLRVSNNNISGKIPFELGHATQLQELDLSSNHLVDEIPMELGALKMMTRLLLSGNEISGRIPSEIGLLSNLEQLNLASNNLRGPIPDDLGNCSKLFILNLSKNNLGESIPSSISYIDALQSLDLSQNSFCGNIPQQFGKLQSLEMLNLSHNMLNGSIPKAFNDLHGLRFVNISHNQLEGPIPDLKAFHEASFNALRNNKGLCGNATGLMPCVLPSRDNHGHKKSTKLIILFVLPLFGGLLFLLFILVTSFLTFCKKTPTKKSEPMEEQDGDIFTILGFNGRILHDSIIEATEDFSSDYCIGSGGYGSVYKAALPTGQVVAVKKLHQSEDSILINNLKAFESEIIALLELRHRNIVQMYGFCSHPKHSFLIYEFMERGSLRMVLSNNEQAKELDWKKRLNVVKGLANTLSYMHHEHSQPIVHRDISSNNVLLDLDYEARVSDFGTARILKPDSSNWTSLAGTYGYIAPDEKCDVYSFGVLTIEVLMGTHPGDLLSYLSSSASASALPFMSNDQHVLLKDVIDQRLSPPEIEAAEGVVSTIKIAFACLNGNPQYRPTMKQVAQALSRQSLPLSSPFSTVKLGELLGHVTCNG >KJB69349 pep chromosome:Graimondii2_0_v6:11:1279592:1282403:1 gene:B456_011G018400 transcript:KJB69349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISTVSINLLLLVIVLSLLSPAIEAQQPTYLYHDCPNTTTFTVNSTYQANRNTVLSSLSSNSTRGDGFYNTTAGRSPDMVYGLFLCRGDLSTSVCQACVTFATTDISQRCPNETMAVVWYDECLLRYSNGNIFSVVAGGHTFILRSSQNVTDNQDLFNQQVLAMMNDTANQAANTPEGAKKFATREEDVNFSSTFEALYTLGQCTPDLISMDCNRCLRMVISTLPTGWLGARVLNPSCNVRYETYLFYNQTGVASPPSPPAPGGNGRRSWPIIVAIVVPITVSILLLLLMCWLLKRRAKKKYDTNVGYDITTIDSLQYDYSTIEAATDKFSDANKLGEGGFGEVYKGILSNQQEIAVKKLSRGSGQGDEEFKNEVVLVAKLQHRSLVRLLGFCLKREERILVYEYAPNKSLDYFVFDPAKQGQLDWLRRHKIIEGIARGILYLHEDSRLRIIHRDLKASNILLDGDMNPKISDFGMARIFGVDQTQGTTRKVVGTYGYMSPEYAMQGQFSVKSDAYSFGVLVLEIVSGQRNSDFYETEGAQDLISYAWKLWKDGRSLELLDPVLRDNYSRNEVIRCIQLGLLCVQEDPADRPTMATVVLLLHSYSATLQVPKQPAFVLQSRTDGRMPDKGLESDQSTSRSMPSSNNEASITELYPR >KJB70037 pep chromosome:Graimondii2_0_v6:11:4328938:4330875:1 gene:B456_011G055100 transcript:KJB70037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESKEILTKNFDSAKHISSTKRHKKIFLAVLACLVIVAALIGIVAKENSRNKFDETNESHHAIVKSACSSTFYPDLCFSAVAAAAAKKVTRKKDVIRLSLNITASAVEHNFYKIKKLLARKGLTERGVTALHDCLETINETLDELHQAVEDLHGYPYKKPLTQHADDLKTLISAAMTNQETCLDGFSHKNADKEVRKALIDGEKYVEKMCSNALAMIKNMTDEDIANEMLIKRSNRKLEEEGNGIGWPRWLSTGDRRRLRSSSVIPNVVVVADGSGDYKTVSEAVAKAPVNSRKRYIIRIKAGVYRENVEIPSNKTNIMFIGDGRSRTVITGKRNVVDGSTTFRSATVAVAGEGFLARDITFENTAGPSKHQAVALRVSADLTAFYQCNMIAYQDTLYVHSQRQFFVNCLITGTVDFIFGNAAAVFQNCDIRARRPHRGQKNMVTAQGRTDRNQNTGIVFQKCRIGATSDLQSVKNKFPTYLGRPWKEYSRTVILQSDISDVIHPAGWHDWNGSFALKTLFYGEYQNTGASAGTHARVKWDGHKVITNESEAQSYTAGEFISGGSWLYSTGFPFSLDL >KJB69840 pep chromosome:Graimondii2_0_v6:11:3433693:3435824:1 gene:B456_011G045200 transcript:KJB69840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHAVSIDNGDASYACRFTETQRLLQEKELGRPVFPKAIGELHGHSGIARLLLFYARGLCGLVDHKQGTGVANAGLVYFNDRLLAMSEDDIPYHVRITPSGDLETVGRYDFDDQLKSTMIAHPKIDPVSKELFALSYDVVQKPYLKYFKFSPDGKKSPDVEIPLPVPTMMHDFAITENFVVIPDQQVVFKLQEMITGGSPVIYDKNKKSRFGILSKNASDSKDIIWVESPDTFCFHLWNAWEEPESDEVVVIGSCMTPPDSIFNECDEILKSVLSEIRLNLKTGESTRRPIISESEQVNLEAGMVNRNHLGRKTRYAYLAIAEPWPKVSGFAKVDIFTGEVKKHIYGDKRYGGEPFFLPRNDDPESAEDDGYILCFVHDEKTWKSELQIVNAMNLQLEASIKLPSRVPYGFHGTFIDAKSLVNQA >KJB72130 pep chromosome:Graimondii2_0_v6:11:29558166:29560539:-1 gene:B456_011G160500 transcript:KJB72130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKSRPANSSPLTPLGFLDRAATVYGDCTSIIHNNTSYTWSETHRRCLQLASSLSSTGIKTGHVVSVLAPNIPAMYELHFAVPMSGAVLNSINTRLDARTVSILLCHSESKLLFVDTLSQSLALEAISLFPPNENPPLLVLIKDDEDDGVSSTIDSRFCCTYESLVEKGNPGFKWIRPESEWNPIVLNYTSGTTSSPKGVVHCHRAVFTNTVDSLIHWEVPKQPVYLWTLPMFHANGWSFTWGMAAVGGTNICVRKFDARIIYSLIRKHGVTHMCGAPVVLNMLSNSPETKPLQNPVQILTAGAPPPAAVLSRTESLGFIVSHGYGLTETGGLVVSCAWKREWNKFPLAERARLKARQGVRTLTVTEADIVDPESGLSVKRDGSSLGEIVLRGPCIMLGYLKDPNATSKCLKEDGWFYTGDVGVIHPDGYMEIKDRSKDVIISGGENLSSVEVESILYTHPAINEAAVVARPDEYWGETPCAFVSLKAELTRKPSEIEIMEYCRAKLPHYMVPKTVVFKDELPKTSTGKILKFVLREIAKGMGSSSTRVSRM >KJB71236 pep chromosome:Graimondii2_0_v6:11:13768180:13769733:-1 gene:B456_011G112100 transcript:KJB71236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYTSALEEQKVQHSPRPYLDHYQIEKVSGPLIIDWVIGQHTHILEWTRKALELEDWELLSFHQRQAASIVKVFRILEEVSHLWI >KJB71402 pep chromosome:Graimondii2_0_v6:11:16768828:16769197:-1 gene:B456_011G121200 transcript:KJB71402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHCSMAFFGSKYLQLSASSLTHMIVICILLGRKGSKLKHITVFRFVVFLNKLMQMEPNTNKVLCISWPSFSIFNFP >KJB72496 pep chromosome:Graimondii2_0_v6:11:43199802:43207641:1 gene:B456_011G181800 transcript:KJB72496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAMKGIVIRPTPDVYKQRLWEGSYLDGNHRLDVFKVKKRSFLGVMKPSFGSVGVITSRHMMQECYCGCCLFHGNGKNMWSSRQRRLDTRAEAILSPLSDPTPTMKKRVFTFGKGRSEGHKGMKSLLGGKGANLAEMSSIGLSVPPGITISTEACQEYQQNGRKLPEDLWEEILEGLKSVEEDMGATLGDPAKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLAAKSGDRFAYDSYRRFLDMFGDVVMGIPHSLFEEKLETMKEAKGAKLDTDLTASDLKELVELYKNVYLEAKGESFPSDPKKQLFLSIKAVFDSWDSPRANKYRNINQITGLKGTAVNIQTMVFGNMGNTSGTGVLFTRNPSTGENKLYGEFLVNAQGEDVVAGIRTPEDLDTMKSYMPEAYKELVENCEILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAIKIAVDMVNEGLVDKRAAVKMVEPQHLDQLLHPQFENPSAYKDNVVTTGLPASPGAAVGQIVFTADDAEEWHAQGKSVILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSDIIVNDAEKVLIVGDVVIQEGEWLSLNGSTGEVILGKQPLSPPALSGDLETFMSWADQVRRLKVMANADTPEDALTARNNGAQGIGLCRTEHMFFASDERIKAVRKMIMAVAPEQRKAALDLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEQIVGELTSETGTTEDEVFSRIEKLSEVNPMLGFRGCRLGISYPELTEMQTRAIFQAAVSMSNQGVKVLPEIMVPLVGTPQELGHQVSLIRSTAKKVFSEMGSSLSYKVGTMIEIPRAALVADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSKGILQNDPFEVLDQKGVGQLIKIATEKGRQARPSLKVGICGEHGGEPSSVAFFAEAGLDYVSCSPFRVPIARLAAAQVAI >KJB72147 pep chromosome:Graimondii2_0_v6:11:30040402:30047596:1 gene:B456_011G161600 transcript:KJB72147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQMEEVDIDSVLDMPNTVDKLSVQQMNRWDYVEQESKISVLSHLRGSNTAGKESLDTLRRRGRLVVEKGHNRKHYIHPQKLSGSVDEIEHRKNTIILSPLENSQANAPLFGKTAVERSRNSITEHMDKGKAPCSKLPFKSSVFQENHAVIDLTEKKMYNQIPERPFPQGGSTNHLAEGRKECQLPRIGGSFFHNSADSSAKSRNNCKGKEKIDGIEFKSVGLVMDRGKGVDPSHGSPRRMEKQLPASHRSFVSPRAVGRKKLVQNGCISPQNIAIWDKTLEINKQSLNSFKVEQNFGNVASYGKGKGVVYSHTPKEHETNFINLSGSPIYNNMEANGFGDSNRDACFEEKGGWRSTHYHSDNADQAVGHHFSRFNNIRSQVSQQNENIVVKRDNASGGNNRTTCDGPESHDATEKAGVIVSKFNQRSEPSSAKNILPKRQTKHVLNSRNSGFGDSNRDACFEGKGGWRSTHYCSHNADQTVGHHFSRFNNVRCEVSQQNENIVVKRDNASGEKNRTVCDGPENHDATKTAPMIVSKFNYISEPSHAKNMLPKRQTQHALNSRNSDESARVIPNDSDIVFLGSSRKSSSSRSSRINGKHLDVLDLYESSEIRGENSNNMENGNDEDSEDRLRQIEADEMLARELQEQLYHEVDGDIAWALQLEEHTLNPTIQNIQEPDHIGSTRHYRTQSPFPTFQDSSNWIARFPTDRLPWLRSRVLDQLRVTLSRTRNFQSSLGMDLDMRLDILEAMEAVIGDADGMGMASQIFQVQHDFNENDYEMLLALDDNNHQYGGASINQINSLPLSKVQTNNFEEACAVCLETPAIGDTIRHLPCLHKFHKDCIDPWLSRKKSCPVCKSSIT >KJB72149 pep chromosome:Graimondii2_0_v6:11:30040319:30047630:1 gene:B456_011G161600 transcript:KJB72149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQMEEVDIDSVLDMPNTVDKLSVQQMNRWDYVEQESKISVLSHLRGSNTAGKESLDTLRRRGRLVVEKGHNRKHYIHPQKLSGSVDEIEHRKNTIILSPLENSQANAPLFGKTAVERSRNSITEHMDKGKAPCSKLPFKSSVFQENHAVIDLTEKKMYNQIPERPFPQGGSTNHLAEGRKECQLPRIGGSFFHNSADSSAKSRNNCKGKEKIDGIEFKSVGLVMDRGKGVDPSHGSPRRMEKQLPASHRSFVSPRAVGRKKLVQNGCISPQNIAIWDKTLEINKQSLNSFKVEQNFGNVASYGKGKGVVYSHTPKEHETNFINLSGSPIYNNMEANGFGDSNRDACFEEKGGWRSTHYHSDNADQAVGHHFSRFNNIRSQVSQQNENIVVKRDNASGGNNRTTCDGPESHDATEKAGVIVSKFNQRSEPSSAKNILPKRQTKHVLNSRNSGFGDSNRDACFEGKGGWRSTHYCSHNADQTVGHHFSRFNNVRCEVSQQNENIVVKRDNASGEKNRTVCDGPENHDATKTAPMIVSKFNYISEPSHAKNMLPKRQTQHALNSRNSDESARVIPNDSDIVFLGSSRKSSSSRSSRINGKHLDVLDLYESSEIRGENSNNMENGNDEDSEDRLRQIEADEMLARELQEQLYHEVDGDIAWALQLEEHTLNPTIQNIQEPDHIGSTRHYRTQSPFPTFQDSSNWIARFPTDRLPWLRSRVLDQLRVTLSRTRNFQSSLGMDLDMRLDILEAMEAVIGDADGMGMASQIFQVQHDFNENDYEMLLALDDNNHQYGGASINQINSLPLSKVQTNNFEEACAVCLETPAIGDTIRHLPCLHKFHKDCIDPWLSRKKSCPVCKSSIT >KJB72148 pep chromosome:Graimondii2_0_v6:11:30040402:30047596:1 gene:B456_011G161600 transcript:KJB72148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQMEEVDIDSVLDMPNTVDKLSVQQMNRWDYVEQESKISVLSHLRGSNTAGKESLDTLRRRGRLVVEKGHNRKHYIHPQKLSGSVDEIEHRKNTIILSPLENSQANAPLFGKTAVERSRNSITEHMDKGKAPCSKLPFKSSVFQENHAVIDLTEKKMYNQIPERPFPQGGSTNHLAEGRKECQLPRIGGSFFHNSADSSAKSRNNCKGKEKIDGIEFKSVGLVMDRGKGVDPSHGSPRRMEKQLPASHRSFVSPRAVGRKKLVQNGCISPQNIAIWDKTLEINKQSLNSFKVEQNFGNVASYGKGKGVVYSHTPKEHETNFINLSGSPIYNNMEANGFGDSNRDACFEEKGGWRSTHYHSDNADQAVGHHFSRFNNIRSQVSQQNENIVVKRDNASGGNNRTTCDGPESHDATEKAGVIVSKFNQRSEPSSAKNILPKRQTKHVLNSRNSGFGDSNRDACFEGKGGWRSTHYCSHNADQTVGHHFSRFNNVRCEVSQQNENIVVKRDNASGEKNRTVCDGPENHDATKTAPMIVSKFNYISEPSHAKNMLPKRQTQHALNSRNSDESARVIPNDSDIVFLGSSRKSSSSRSSRINGKHLDVLDLYESSEIRGENSNNMENGNDEDSEDRLRQIEADEMLARELQEQLYHEVDGDIAWALQLEEHTLNPTIQNIQEPDHIGSTRHYRTQSPFPTFQDSSNWIARFPTDRLPWLRSRVLDQLRVTLSRTRNFQSSLGMDLDMRLDILEAMEAVIGDADGMGMASQIFQVQHDFNENDYEMLLALDDNNHQYGGASINQINSLPLSKVQCIDPWLSRKKSCPVCKSSIT >KJB73395 pep chromosome:Graimondii2_0_v6:11:54544654:54545743:1 gene:B456_011G230900 transcript:KJB73395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKCALDLGILDIIQNHGKPMTITELVVALPMLNPTKACDIYRIMRILVHSGIFARQKLYNDAQEEGYVLTNSSRILLKDNPFCITPTLNATMDPVITKPWSFLSTWFQNDDHTPFATTYEETLWDYFAHDPQLQDLINDGLASDSQLVTNVFIDKCKEAFEGLISLVDVGGGTGTTAKAIADTFPDMVSTVFDLPNIVAGLQGSKNLKYVGGNMFEAFPTGDAILLKKALHDWDDEGCLKILKRCKEAVSSQDKVGRKLIIIDMVVRENEKVNDEASTLTKTQLFFDMLMLVLVAGKERQQEEWAKLFSAAGFRSYKITPIVS >KJB74310 pep chromosome:Graimondii2_0_v6:11:61873616:61874734:1 gene:B456_011G286600 transcript:KJB74310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKEKTIADVPSWANILDDVLRRIASLAGSPRHHIRMTAVCRSWRASLADQKINFPAICLMLQEDDTSDNHSFYSMLEEIFDELDLPELREWRCWGSPFGWLVTHDLNFEIRLFNPFSRASLPLPKSSWCIEKLILSINPKESNSNCIVFAIYWGFLDRGRIGFAKPGDLAWRTLIYDDDDDGGGFLWDDAIYFKCNFYGCLNSGEIFLFEDLNGAHPKSVKFASRPPNFHGGRTCYLFDLDGNLCMTCRDPFDVDDGNDDDEDIGYTIKFVIFKLDMDTKSWEKIYSLGDRSLFLGNCCTFTVAAADYPGCKPNCIYSTDTSIDVADIGIYDVEKNRDKDIGLEPFPKSKTVEQLLSFAPPVWIIPYPPL >KJB69622 pep chromosome:Graimondii2_0_v6:11:2583100:2585577:1 gene:B456_011G034800 transcript:KJB69622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIPVLSVQELVKQPIITIPQHYVRLDQQPPTVPHGGRPFPTIPIIDMNQLVYGKDFDLQLHKLHSACKDGGFFQLVNHGVDSTVMEKVKQEVEGFYKLPLEEKMKYKIREGEVEGYGSIEREDGKFDWADRLYMITNPILLRKPHLFLELPSPLRNTLESYILELQSLAMKLLSLMAKALEIDEKEMIEYFEDGMQSLRMTCYPPCPQPELVTGITPHSDPTILTFLLQLNGVDGLHISKDGCWFPVTILPDALVVNVGDILEIFSNGVYRSIEHRAIPNAEKERMSIAFFIKPKREAYVGPSPSLINPQNPPLYKRVGMEQYVKDFFSRKLNGKTYLQHMRIRDE >KJB69822 pep chromosome:Graimondii2_0_v6:11:3322003:3327202:1 gene:B456_011G044500 transcript:KJB69822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLEIAEGNGPWLLSTNNFVGRQIWKFDNEASPISNGQGAQTQYFHPNFNSHRHHVRPSSDGLKNFQLIKENNVDLSIEPVRFEEDEEVKNEKVEISLRKAFRFLSATQASDGHWPSENSGPLFCLPPLVMVLYLTGTTDIVLSSEHKREILRYIYNHQNKDGGWGFHIEGHSIMMNTTLNYVALRLLGEGTDGGKDRAVEKARNWILDHGGATMVPSWGKAYLSVLGLYEWSGCNPMPPELWLLPSYLPLGPARLWSYMRNFFAPLSYLYGKKFVGPISELIVSLRDEIYNQSYDTIDWNKARHLCSEEDVYLPFPRVQILLWDYLYYIVEPVLNCWPFSMLREKALQIAMKVVHYEDENTRFLTQGSTQKVLNMMACWAEDPNPTSDSLQFHLARIPDFLWLAEDGMKMQLNGGSQFWDAVLATQAIISSNLTDEYGSTLRKAHEFIKMSQILENPSGDFRSMYRHASKGGWPFSIPDEGWQTSDGIAEALKAILLLSQMPPEIVGETIEVERLYDAVNALLSLQSKNGGFTAWEPVRGPQWMQKINPTELFAAAAIEREYVECTSSAIQALVLLSHLYPQYQKKEIKTAVAKAVQFVEGSQMEDGSWYGNWGICYTYGTYFALAGLAAVGKTCQNSQIVRRACQFLLSKQQESGGWGESYSSCLNMEYRYLAEGSCSHLVQTSWAMMGLIHGGQADVDPEPLHKAARLLINSQMESGEFPQQELTGVCLRTCMIHYAAYRNIFPLWALGEYRRHVLLPS >KJB72261 pep chromosome:Graimondii2_0_v6:11:33620171:33622534:1 gene:B456_011G167500 transcript:KJB72261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFTTRNVGKTLVTRTQGTKIASEGLKHRVFEVSLADLQGGDEDHAYRKIRLRAEDVQGKNVLTNFWGMNFTTDKLRSLVRKWQTLIEAHVDVKTTDSYTLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMTAQATSCDLKELVQKFIPEMIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKLERPADETMAESTPEVVGA >KJB70548 pep chromosome:Graimondii2_0_v6:11:7856402:7857978:-1 gene:B456_011G078800 transcript:KJB70548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQSPLKLPVIDFTKPELKPGTHEWDLAKGQVQQSLQHYGCFEALFDKIPLEIREAVFGAMEELFDLPLQIKSRNVSEIPYHGYIGQHPKIPLFESIGFDDADVIEKVEAQTRTFWPRGNPSFSKTIQSFTKQLTELDQMIRRMILESFQVEKYEDEHMDSTGYLLKVMKYEGPQTSGTQVGLTAHTDQDVVTILYQNEVNGLEVQSSSGEWIGVKPSKDSFIVIIGESLHAWLNGRLKATYHRVMMSGDKPRYSLGLFSVPKAGYMIKAPEELVDEAHPLLYNPFDYAQFLGFYFSNEGRYQSGLKAYCGVQH >KJB73974 pep chromosome:Graimondii2_0_v6:11:59651144:59651734:1 gene:B456_011G265000 transcript:KJB73974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHEVEVRRSLPLTLNDRDWPTKTALDCQFWPQFLPMLIHSVFDPLTLAFTISPAPATFVIRTKLKYRKPLIVNLIPPHFRHGTLKNHMKKEMKIGSILLTLIVEV >KJB69699 pep chromosome:Graimondii2_0_v6:11:2861707:2863560:-1 gene:B456_011G038200 transcript:KJB69699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIGA >KJB69700 pep chromosome:Graimondii2_0_v6:11:2861768:2863267:-1 gene:B456_011G038200 transcript:KJB69700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIGA >KJB73144 pep chromosome:Graimondii2_0_v6:11:52346514:52350105:-1 gene:B456_011G217500 transcript:KJB73144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFSIPFKLFFFLFFASSHAFSSKTIPSREAEALLKWKASLPSDTQNLLSSLWGGSNHCNWTGITCNNAGSVTKMILGEYELKLRGTLHNLNFFSFPNLIWLQLRNNSLYGSIPSHIGNLSNLNFLDLSYNNFSGNIPLEIGLLKSLSTLSLRYNSISGSIPREILNLTKLHQLDLAHNNIFGSIPSDIGRLSSLSVLFLYQNHLTGTIPISIGDLQNLSQIILVENRLNGSIPKEIGRIRSLWMLDFSNNHLSGPIPASIGNLSNLNCLYLWSNNLSGSIPNEIGMLESLSDLQLSNNSLTGAIPDSIGNLTNLERLVLYFNGLSDSIPTSMGNLNKLSILKVFDNSLSGLVPQTLNNLTHLQTLDLSDNHLSGSLPENVCLGGLLTHIAASNNNLTGLIPSSLRNCTSLYRVRLEGNHLTGNISEAFGIYPNLDFISLSNNNIFGELSPNWGQCHNLTNLQISNNNISGKIPFELGHATQLQELDLSSNHLNGEIPKELGKLKTMNRLFLSGNQFSGKIPSEIGLLSKLEQLDLASNNLSGPIPDDLGNCYKLFNLNLSKNNLEASIPSSISYINALQSLDLSQNSLIGGIPQQFGKLQSLEVLNLSHNMLNGSIPGAFNELRGLRFVNISFNQFEGPIPNLKAFHEASFDALRNNKGLCGNANGLMACVHSFVDNHGHGKRTKVIISVMFPLFGGLLFLFLLVGSFFTFCKKTQTKESEPRDEPQGDIFTVLGFNGRVLHDSIIEATEDFSSNYCIGSGGYGSVYKATLPTGQVVAVKKLHQSEDNILNNNLKAFESEISALLEIRHRNIVQMYGVCSHPKHSFLVYELVEWGSLRMVLSNNERAKELDWKKRLNVVKGLANALSYMHHGHSQPIVHRDISSNNVLLALDYETRVSDFGTARILKPDSSNWTSLAGTYGYIAPELAYTMRVDEKCDVYSFGVLTMEVFMGRHPGDLISYFSSLELTSSSTSNDQQVLLKDTIDQRLSPPVGQSAKDLVSSMKIAVACLNGNPQLRPTMQQVSQALGRQSLPLPSPFRTIQLEELLRDIVCSG >KJB73155 pep chromosome:Graimondii2_0_v6:11:52544619:52546712:-1 gene:B456_011G218300 transcript:KJB73155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAFTSVHFWLFSCLVAVISMAEAQEAEEFIYNHGFRDAKLQLDGSANISSNGLLQLTNNLESLKGHAFYLSPINFNVSSSSSSAGSLSFSTNFVIAIVPGSKESSGHGLAFVISESTDFSHAEAVEFLGLVNESNNGISSNHFFAVEFDTIRSIDMGDIDDNHVGIDLNGVKSNKSVRAGYFSNEERKNTTLYLKNGHPIQVWIDYNGEEELLNITLAPDKTPKPDQPLLSTSIDLSGILKDVMYVGFSAATGSIQSTSSSHYILGWSFNRSGEAQGLDISKLPKLPKNGGQRNTVRLISIIAAVALLLIIIGAAYVYRMNKYKEVHEDWEKEYGPQRFSYKTLYKATKGFKDKQLLGSGGFGKVYKGTLPYSNEQIAVKKVSHETNQGMKEFVSEIVSMGRLRHKNLVRLLGYCRRKKELILVYDLMENGSLDKFLFDDDKPTLTWFQRFQIIKGVASALLYLHEEWEQVVLHRDVKASNVLLDSNLNGRLGDFGLARLYDRDSDPQTTRLVGTLGYIDPESIRMGRATKAADVFAFGAFLLEVACGRKPFEPNAPPRDIFLVDLVNRCWKRDAIVDVIDPRLQGNYVVEEIEKVLKLGLLCSNPKPDLRPTIKEAVSYLEGTASLPDIPLDNAQNNPSSILQTIPLSPHSTAINVSLASNVASDCIVSFSSSVGTGPSVNSLSSTDTVLHIGR >KJB72208 pep chromosome:Graimondii2_0_v6:11:32527260:32532120:-1 gene:B456_011G165500 transcript:KJB72208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGKPKKSKLSWSKKMVRKWFNIKSKTEDFQADDHVYGGSFHQTSGGEVEYRTSFSEREPCTIKKSKTEKFSKNTEQSRRGRMNLDHPRIIDVQNYSIFVATWNVAGRSPPSNLNLEDWLHSSPPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLSLIRKTLNNLPGTSGGGGCYTPSPVPQPIVEMDADFEGSSRQKNSSFFHRRSFQTTNSWRMDNDPSISQPRLDRRFSVCDRVIFGHRPSDYDSSYRWGNRPSDYSRPSDYSRPSDYSRWGSSDDDNGIADSPSTVLYSPMSYGGSASNEQGYRMTGHSRYCLVASKQMVGIFLTIWVRSELRDHVKNMKVSCVGRGLMGYLGNKGSISVSMSVHQTSFCFICSHLTSGQKEGDELRRNSDVMEILKKTRFPPVHNAADEKSPETILEHDRIIWLGDLNYRISLSYRSAKALVEMQNWRALLENDQLRIEQKRGRAFVGWNEGKIYFPPTYKYSTNSDRYAGDDMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHRPVYGIFWAEVESSHGRLKKSMSHSSSRIEAEDLLPYAHGYTELNFF >KJB71488 pep chromosome:Graimondii2_0_v6:11:18082881:18085838:1 gene:B456_011G126700 transcript:KJB71488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDMKQRQFRRGRIKIPMFVVCVGLFLCFSNVNASIHEYRMEAFSPKSDAFFFHGGSEGLYASKIHEPTTDASSETKPSFKGKSIISFDPVTFVRPKETAKSHHQMQQNTGLVEAIILEVKDRARIGGSSLKSDAICCNKQLADQGSCNQGEVIIQHNPNNLGWPKRIKTFFQGDNEEAKMDVETVEINSTGMYYLYFMFCDPQLKGTLIKGRTVWKNPNGYLPGKMAPLMTFYGLMSLAYLVLGLAWFLRFVQFWKDIIHLHYHITLVIALGMCEMAVWYFEYSNFNSTGTRPMGITLWAVTFGSVKKTLARLLLLVVSMGFGVVRPTLGGLTSKVVLLGLIYFIATEALELVEHLGNINDFSGKAKLVLVLPVAFLDACFILWIFSSLSKTLEKLQMRRNLAKLELYRKFTNALAVSVLLSIAWIGFESPPMHSMGKEDGNWQALFVEMTFLQDVFQMKQKIKF >KJB71489 pep chromosome:Graimondii2_0_v6:11:18082642:18087326:1 gene:B456_011G126700 transcript:KJB71489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDMKQRQFRRGRIKIPMFVVCVGLFLCFSNVNASIHEYRMEAFSPKSDAFFFHGGSEGLYASKIHEPTTDASSETKPSFKGKSIISFDPVTFVRPKETAKSHHQMQQNTGLVEAIILEVKDRARIGGSSLKSDAICCNKQLADQGSCNQGEVIIQHNPNNLGWPKRIKTFFQGDNEEAKMDVETVEINSTGMYYLYFMFCDPQLKGTLIKGRTVWKNPNGYLPGKMAPLMTFYGLMSLAYLVLGLAWFLRFVQFWKDIIHLHYHITLVIALGMCEMAVWYFEYSNFNSTGTRPMGITLWAVTFGSVKKTLARLLLLVVSMGFGVVRPTLGGLTSKVVLLGLIYFIATEALELVEHLGNINDFSGKAKLVLVLPVAFLDACFILWIFSSLSKTLEKLQMRRNLAKLELYRKFTNALAVSVLLSIAWIGFELYFNATDPLSEFWQIAWIIPAFWNMLAYCLLVVICVLWAPSRNPTRYAYMEGMGEDSDEEGIALTGNSGDMAMKLERQAIGDDLEEDKRE >KJB71490 pep chromosome:Graimondii2_0_v6:11:18082642:18087326:1 gene:B456_011G126700 transcript:KJB71490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDMKQRQFRRGRIKIPIPKSDAFFFHGGSEGLYASKIHEPTTDASSETKPSFKGKSIISFDPVTFVRPKETAKSHHQMQQNTGLVEAIILEVKDRARIGGSSLKSDAICCNKQLADQGSCNQGEVIIQHNPNNLGWPKRIKTFFQGDNEEAKMDVETVEINSTGMYYLYFMFCDPQLKGTLIKGRTVWKNPNGYLPGKMAPLMTFYGLMSLAYLVLGLAWFLRFVQFWKDIIHLHYHITLVIALGMCEMAVWYFEYSNFNSTGTRPMGITLWAVTFGSVKKTLARLLLLVVSMGFGVVRPTLGGLTSKVVLLGLIYFIATEALELVEHLGNINDFSGKAKLVLVLPVAFLDACFILWIFSSLSKTLEKLQMRRNLAKLELYRKFTNALAVSVLLSIAWIGFELYFNATDPLSEFWQIAWIIPAFWNMLAYCLLVVICVLWAPSRNPTRYAYMEGMGEDSDEEGIALTGNSGDMAMKLERQAIGDDLEEDKRE >KJB73197 pep chromosome:Graimondii2_0_v6:11:53002928:53003377:-1 gene:B456_011G221000 transcript:KJB73197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDVKASTALFFSLNLFFFFALVSSYNVDNNSNGSFYPTKSRNPVVIRPGYKFPNDGSTHNYYGTCNPLNLGVCLNLLGGLANLNLGNVPTQPCCSLIHGLADLEAAVCLCTAIRANVLDIKLNLPISLSLLLNNCGRRVATEYICAP >KJB73757 pep chromosome:Graimondii2_0_v6:11:57534032:57535727:1 gene:B456_011G249200 transcript:KJB73757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLTKICLLFLCGLISHFVNMFFQKRDEQCYMLGYECFKASDDRRLDTDACVKVVLRNKNLGLDQYRFLLKTIVSSGLGEETYGPRNVIDGREEFPSENDAHAEMDEIMFSTLDTLFEKTGVSPSEIDILVVDVSLFSPAPSLTSRIINRYKMRDNIKSFSLSGMGCSASMVAIDMVQQLFKTYKNQFAIVVSTESIARHWYCGKEKSMMLSNCLFRNGGCSILLTNKRDLKDRCLLKLKCAVRTNIGYDDEAYGCCIQVEDPQGYQGFLLTKSLTKAAAKAFTGNLKLLLPKILPVWELLRYAISTLGKKSTKGQNLSFNLNLKSGVDHFCLHPGGRAVIDGLGKSLGLSEYDLEPTRMALYRFGNTSAGGLWYVLSYMEAKKRLKKGDKIFMVSLGAGFMCNNCVWEVMKDGLEDTRVWEGCIDEYPRKNLVNPFTEKYSWINDECLNFVRLDDQFN >KJB74171 pep chromosome:Graimondii2_0_v6:11:60989580:60993538:-1 gene:B456_011G277400 transcript:KJB74171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLQPLIFYILAALAIFSLISNSLVKVFRTDLHTITNLRLVPWGNAEIHCQKEHLEFIRCTEQQSLKGGPVEALWKNCSEKLRLNEEIINKCYTTGFGYKLLLQYANETAHLKPPQEDVPWVVVNNQPLRQDFENFVKYVRQDYKGDHKPATRKAQSSNLSPIIHALPQQPAIPHFYKLALQWPPSVCSSTSRCKTPIPTEFTIHGIWAQDANDKPVTQYGPSNLCNNPNPNLDKKKLESLLKSDPVLWVDLPRLWPNLILGKLDISFLHNEWTKHGTCSDFAPYPLAYFQSAIQLRTNLDPAMGLTPRSTYTVRQVADIVFRLIGASPQISCSKHRRTRVLLLGEMFICYGRPGPSHTFGTPQNCSNLFYGLCSNGSDTIKLP >KJB69589 pep chromosome:Graimondii2_0_v6:11:2393205:2396650:-1 gene:B456_011G032200 transcript:KJB69589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPCRITFVFISLFLVSSYGEETGNKVTNIGAIIDVDSRIGKEEKTALEIAVQSFNNDVSNNHNLSLYIQNSRRDPLLAATAAKKLIEEQEVIAIIGLETWEEAALVADIGSRARVPVLSFAAPALITPSRWPFLVTMANGDFEQMKCIAATISSCNWKRVVVIYEDDAFGGDSGKLALLSEALRDVGSEIEYRLVLPPFSSVSNPNEVVQDELIKLFNVQSRVYIVLQSSLPMTIHLFEKAKEIGLVGRDSAWIITDTISNYFDSFNSSVISSMQGILGIKTYHDEGIIKQAMDSDENSPDSLLKNILSSNFTGLSGEIHFQQGKLSHDPILRIVNLIGKSYNELDFWLPGIGFSKNIGYVGNKSADFTGTVIWPDGSKLVPKGWAMPTNKKPMIIGVPARTAFEKFVKVDDGKHPGQKKYDGFCIELFYEVLTVLDYDLIYQFDPHNGTYDELVHKVYNKTYDAAVGDITILANRTTLVEFTQPYAASGLSMIVPVKPESSAWMFLKPFTTKMWFVTGSILIYTMFIVWFLEHQSNPDFRGPWNNQIGTVFWFTFSSIFFAHREKIYSNLTRVVVVVWLFVALILTSSYTASLTSMLTVQRLEPTVTDIEWLKRSNVKIGCDGDSFVRTYLEDVLKFKSYNIENVSSEYNYEGEFKSNHIAAAFLEVPYGKVFLNHYCKKFTTTAPTYTFGGLGFIFQKGSPIARDFSRAILKLSEDGTLISLEQKWFAPSPECSADVTEESKTNSLSIHSFWGLFLISVATSTVCLLLFLAYSLKRYWHHEEENNAGTLSLVDESVWNKAMRVAKCIYKGKVCVRGEVSAAPRVPVIYKWSSQTWDYDRSSSHISMENHEVKSQTESEIGIQLQAHN >KJB72781 pep chromosome:Graimondii2_0_v6:11:47571747:47572123:1 gene:B456_011G197100 transcript:KJB72781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVITYDFEVTSPISPARLFKALVLEGDKVYPRAAPHAIKSVELQEDGKLGSIVKINFVEGFPFQYVMF >KJB73032 pep chromosome:Graimondii2_0_v6:11:50675793:50676713:1 gene:B456_011G210400 transcript:KJB73032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMASLLFHLLCCLTVFWLLPLPSHSADPDPLQDFCVANLSASISVNGFPCKPASEVTSDDFFFDGFTQEGNTTNTFASFLTPGNVLSFPGLNTLGISMNRVDFAPGGINPPHSHPRASEVGVVIEGKLLVGFVTTNNVYYSKVLTAGHMFAIPRGLVHFQLNVGDGKALAYTAFNSHLPGAAIVPLNLFASSIPNEVLTKTFQVDADLINTIKSKFRP >KJB74013 pep chromosome:Graimondii2_0_v6:11:59914117:59915636:-1 gene:B456_011G267200 transcript:KJB74013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSIVSEFEGTLLKDQDPFSYFMLVAFEASGFIRFTLLLLTWPVIWFLDVLGVGDVGLKLMVFVATVGLQFYMDDVDMEAWRVFSRYDKRVVVTKMPRIMVERFVKEYLRADVVVGSELVVNRFGFATGFIDSDISSISSKVAKLFVNDEPSLGLGRATSSFQFFSICKMPFIILLSLIRIIIGEMLPLRIMLYLAPLTGCKIVVKGKPPSPISSSNSGVLFVCTHRTLMDPFVLSIVLMRKIPAVTYSLSRLSEILSPIPTVRLTRIKEVDAQKFKQELSKGDLVVFPEGTTCREPFLLRFIPVAMNNKVGFFHATTASGWKALDPIFFFMNPRPFYEVTFLDQLPAKATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYIALAGNDKTVSCTSLETW >KJB70474 pep chromosome:Graimondii2_0_v6:11:7187162:7188542:1 gene:B456_011G075300 transcript:KJB70474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDGVVTLYNNTAITDTKKNPVSIKVGLTQMLRGGAILEVSNTNQAKIAEEAGACCLVITEPNRQGISRMPDPALIKQIKRAVSIPIMSRSRIGHFVEAQILERVGVDYIDENEVLAIADEFNFINKHNFRCPFVCGCRNLGEALRRVREGAAMIRTQGDLSGTGNIVETVKNVRCVMGEIRILNNMDEDEVFAFSKKIAAPYDLVAQTKQMGRLPVVHFAAGGIVTPADAALMMQLGCDGVFVGSEVFDNCSDSYKRVRGIVEAVRHYNDPHVLVENSCGLEGEMAGLNVSEESMEQFGE >KJB74323 pep chromosome:Graimondii2_0_v6:11:61963284:61966019:1 gene:B456_011G288000 transcript:KJB74323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQSYRVCFCFRRRFKMTVAQAPEDVKNLFEMYSENGLMNADGLHKFLVEYQKEDETTTDDAQKIIDGSKHLPKNCLHVEAFFRHLFSDTNSPQVSLGVHHDMNAPLSHYFIFTGHNSYLTGNQLNSDCSDVPIINALKRGVRVIELDIWPNSEKDDVHVLHGGTLTAPVTLLKCLSSIKEYAFVSSDFPVVITLEDHLTPDLQAKAANMITETLGDILFTPGPEVFKEFPSLETLKKRIIISTKPPKDYTEAKEDKDKENDSKSDKADDEVAMKKAEPHENKPKQKKAPEYKRLIAIHAGKPKGGLDECLEVDPEKVRRLSLSELELEKAAETHGKQIVRFTQRNMLRVYPKGIRVDSSNYNPMIAWLHGAQMVAFNMQGCDKHLWLMHGMFKANGQCGYVKKPDFLLNTNEIFDPEVKHSEKTILKVTVYLGEGWYYDFDHTHFDSYSPPDFYVKVGIAGVPADKQMTKSKIVEDSWVPSWNQEFEFHLTMPQLALLRIKVHEYDMSKKDDFAGQTCLPVSEIRSGIRAVPLMDKKGDKYNNVKLLMRFEFTNPS >KJB70568 pep chromosome:Graimondii2_0_v6:11:8021349:8024645:1 gene:B456_011G080100 transcript:KJB70568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVAMFLLLLLCATSQIASSVKDGLLPNGNFEYGPKASELEGTKVMSAKAIPNWEISGYVEYIKSGHKQGDMLLIVPEGAFAVRLGNEALIKQKIEVVKGDFYSLTFSTARTCAQEERLNVSVSPNNEKNDFGLFPIQTMYSSNGWDSYAWAFQADAHVIEISIHNPGVEEDAACGPLIDSVALKTLYNPKRTRANLLKNGNFEEGPYIFPRPTSEGVIIPPHIEDDHSPLPGWIIESLKAIKYIDSEHFSVPKGKRAIELIAGKESAVAQIVKTAIGRNYVLSFLVGDANNGCEGPMVVEAFAGKNTVKVSYNSKGKGGFKAARLAFRAESTRTRIMFYSTFYAMKSDNSGSLCGPVLDDVKLLSVRKLHHL >KJB70569 pep chromosome:Graimondii2_0_v6:11:8022847:8024645:1 gene:B456_011G080100 transcript:KJB70569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKAIPNWEISGYVEYIKSGHKQGDMLLIVPEGAFAVRLGNEALIKQKIEVVKGDFYSLTFSTARTCAQEERLNVSVSPNNEKNDFGLFPIQTMYSSNGWDSYAWAFQADAHVIEISIHNPGVEEDAACGPLIDSVALKTLYNPKRTRANLLKNGNFEEGPYIFPRPTSEGVIIPPHIEDDHSPLPGWIIESLKAIKYIDSEHFSVPKGKRAIELIAGKESAVAQIVKTAIGRNYVLSFLVGDANNGCEGPMVVEAFAGKNTVKVSYNSKGKGGFKAARLAFRAESTRTRIMFYSTFYAMKSDNSGSLCGPVLDDVKLLSVRKLHHL >KJB73506 pep chromosome:Graimondii2_0_v6:11:55753746:55763437:-1 gene:B456_011G236400 transcript:KJB73506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSGLRPAQRAERQRVSQQGLIHGGNSLTRSLNNGQNSLRSNDTKPLHFPPAPTSRRSVTPNSRSHSRDFDDDNDPGRVRVAVRLRPRNAEDLLSDADFADCVELQPELKRLKLRKNNWSSESYKFDEVFTGTASQKRVYEVVAKPVVESVLSGYNGTVMAYGQTGTGKTFTLGRLGKDDASERGIMVRALEDIVANVSLASDTVEISYLQLYMESIQDLLAPEKTNITINEDPKTGEVSLPGAVTVKVQDLDHFVELLQIGEGNRHAANTKLNTESSRSHAILMVYIRRSVPEKVEDDINSQDRKTKSKLPLVRKSKLLIVDLAGSERLDKSGSEGLLLEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSSRHHAETTSTIMFGQRAMKIVNVVKLKEEFDYESLCRKLETQVDHLTAEIDRQQKLRESEKYDLEKRLRDCHDSFNETRKNLVTRSELLEQKNTRLELVIEEALAELNCQKDQNSLLEDKIADLEMSLKQNKQNQLENSTYQKVLADTTQMYEKKIAELMKQLEVERAKSESAEEQLDAMKKLSDEHKKLIQHHEMENSKYQMALADTTQMYEMKITELTKQLEDEHTRFEGAQEQLDLANMLLADYQNSTQGQDETSELRLKLEEMYRLHESTVNELQSLKAEFKDQIQEKEAISEKLYAVQEKLSAEEKRRKAIEHELVNLKKGAPEGDKHFEDKKSYMKENIRGTSVLGTSASLNKSGTLRVTQSAQRATIAKICEEVGLQKIIQLLTSEDSDVQIHAVKVIANLAAEDVNQESIVEEGGLDALLTMLRSSQNATILRVASGAIANLAMNEMNQGLIMSRGGAKLLAKTASGTDDPQTLRMVAGALANLCGNEKLHTLLKEDGGIKAMLGMVRSGNSDVVAQVARGLANFAKCESRAMVQGHRKGRSLLMEDHALEWLIDNCNTTSASTRRHIELALSHLAQNEDNARDFISSGALQELQRISNESSREDIRNLAKKMLKSNPVFQSEMRLGQQ >KJB72973 pep chromosome:Graimondii2_0_v6:11:49824318:49825129:1 gene:B456_011G2068002 transcript:KJB72973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELQIDELHTTSQSSSDENEPSINMLIKYQEFMIKVIDKIQDLELKREYILKLKSSLKEKPEKEKEIIYSQSQMYNIQDIIFNKYEKIKPRQITNSKLQLEIKQIKLELSQLKTEQQEMKGQMRSLKHEIAEKSSSETEPEPEENTQEYIMILTEILPNAEISNKGIKYQTCFLMVKDITQDVILGTPFISLLKHYKVTNNSISTK >KJB73792 pep chromosome:Graimondii2_0_v6:11:58064370:58066076:1 gene:B456_011G252500 transcript:KJB73792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERMIEAAQTGDIDLLYELIQNDPYVFQRIDDVPFFHTPLHVAAAAGRVDFMMEMINLKPSFARKLNQAGFSPMHLALQDNRTQAVLQLLKFDKGLVRVKGREGFTPLHHVVQTGDDDLLIKFLKVCPEAIEDVTVRNETVFHLAVKSDMFETFQVLVGWLKRSHHESAQRWDKELLSWADIDGNSVLHIAAIRNRPRVVEVLLKHLHRYQINAKNLEGLTALDIQSQYPWNERQADRIIDMLSNAGGLSGSSSLLRNTSISSFRIKSLKEKMTFFEECKIIARRRGNKGIPHEMRNIFLVVTVLIITTTYEASLNLPKTPDDSPCPSLKYQVSLSQDQPLNSHTFLHKTDINTAPIPSPSAKEVSKDDYLTFETSLFWFYNTLTFWAAVFLTAFLLPRHLYSSLILLTLGLFGRSYMILFKVSSWSWEQCEFSDKQAHLFYVASVCNFLSLFAVVLLVVHRILSYVLRSGDITKPKLFILLLLSAVTWYVVFFGPLPAYWVRLI >KJB70045 pep chromosome:Graimondii2_0_v6:11:4366711:4375245:-1 gene:B456_011G055600 transcript:KJB70045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPNKRFSEIVGLVKSWLPWRTEPDVSRDFWMPDHSCRMCYECDSPFTLFNRRHHCRLCGRVFCAKCTENSVPAPSDDPRIPRDERDKIRVCNYCFKQWHQGITAVDDGVMVPTQDVSCSPSATSFLSTISSGTAHSSFSLSSLPYPAGSYQQFQPRSILVAHQLSAINKNIDRPIKIAPKRSNDLTTDAEDASSSQFEFSFHRSDDEDDEFSFYMSDCDTKHLSLESGYHTPFEFEDISNDDGSFKFHPNKENIEFKSVSCSPRTHAFPSPALERISQLAKKDEREADDECKASSSDGPIDFEDNKLLWLPPEPEDEEDDKEASFFDDDDDDGDCDVTGEWGFLRTSSFGSRKTQFKGKSSEEQKKAMKHIVDGHFRALVSQLLQVENLPVGDENDKEGWLEIITALSWEAATLLKPDRSKGGGMDPGGYVKVKCIATGRRCESMIVKGIVCKKNVAHRRMATKMEKPRLLILGGALEYQRVSNLLSSFDTLLQQEMDHLRMAVAKILTQQPNILLVEKSVSRFVQDNLLEKNICLVLNVKRSLLERVARCTGAQIIPSIDHLSSQKMGYCEKFHVQRLVEDLGSAGQGGKKLTKTLMHFEGCPKPFGCTILLRGANGDELKKVKHVVQYGIFAAYHLALETSFLADEGASLPELPLTVAHLDKPSNVARFISTVPGFAFPANIMSQEQQTANSISSLDLPSSNVFSNFQKIKKTPPYYLPNGTSLLSSSAPPLEFTALPLSTSGKDISDAFSKENDMDTKESSMMEAFVEKSQGFLNNDLTSSSVVPLESSGLVFSAHTDQENHNKAVEIQSGDSESSSMQQDSNNLNNCPEEPKTLKEEFPPSPKDNQSILVSLSSRSVWKGTVCERPHLFRIKYYGSFDKPLGRVLRDDLLDQSYRCHSCDLPSEAHVHCYSHQQGTLTISVKKLSEGFLSGERLGKIWMWHRCMQCPRANGLPPTTRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVVCFRYATISVHSVYLPPSQVSFDYENQEWIQKETDKVADRAEHMFSEVLNSLGKITEKLIATVAPHGIAKMPELRQQTSELEGILLKEKTEFQESLQKALKREVRKGQPVIDILEINRLRRQLLFQSYMWDHRLVFATNLENYSLQDSVSDSTSGHEDKSPTGDEKLKDIDMLELGKSNECSDQRELNGSTNWSDLIHQEPNTSENLNPVSEDCGNLSDSHSMYDRLDCEKPMENVRRALSEGQFPVIENLSDTLDAAWTGDIQRAAILAMQLSCSLSDSAAFDIGAVDAVTEGLDLDVHSEEKIGPKVSHSLSPALSTKGSENLEDAVSWLKMPFLSFYRSLNKNLLGNASKLDTLSEYDPIYVSSFRELEMQDGARLLLPVGINDTVIPVYDDEPTSMISYALASSEYHFQVSDEVDGPKESGDLTASVSLTDSVIQSLLSVDEMNLDPHRSFGSTDNQTGSRNSNMDPLSSTKSLHVRVSFGDDGSSDKVKYTVTCYYAKRFEALRRMCCPSELDFIRSLSRCKKWSAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKIASGYFKYLSESIGSRSPTCLAKILGIYQVTAKHLKGGKEAKMVVLVMENILFRRNLTRQYDLKGSRSRYVPSSGGSNKVLLDQNLIESMRTSPIFVSSKAKRLLERAIWNDTAFLAASDVMDYSLLVGVDVEKQELVVGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMATYFLMIPDQWSPPPSSDISLSDRGEENEQHETSIK >KJB70046 pep chromosome:Graimondii2_0_v6:11:4366462:4375338:-1 gene:B456_011G055600 transcript:KJB70046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPNKRFSEIVGLVKSWLPWRTEPDVSRDFWMPDHSCRMCYECDSPFTLFNRRHHCRLCGRVFCAKCTENSVPAPSDDPRIPRDERDKIRVCNYCFKQWHQGITAVDDGVMVPTQDVSCSPSATSFLSTISSGTAHSSFSLSSLPYPAGSYQQFQPRSILVAHQLSAINKNIDRPIKIAPKRSNDLTTDAEDASSSQFEFSFHRSDDEDDEFSFYMSDCDTKHLSLESGYHTPFEFEDISNDDGSFKFHPNKENIEFKSVSCSPRTHAFPSPALERISQLAKKDEREADDECKASSSDGPIDFEDNKLLWLPPEPEDEEDDKEASFFDDDDDDGDCDVTGEWGFLRTSSFGSRKTQFKGKSSEEQKKAMKHIVDGHFRALVSQLLQVENLPVGDENDKEGWLEIITALSWEAATLLKPDRSKGGGMDPGGYVKVKCIATGRRCESMIVKGIVCKKNVAHRRMATKMEKPRLLILGGALEYQRVSNLLSSFDTLLQQEMDHLRMAVAKILTQQPNILLVEKSVSRFVQDNLLEKNICLVLNVKRSLLERVARCTGAQIIPSIDHLSSQKMGYCEKFHVQRLVEDLGSAGQGGKKLTKTLMHFEGCPKPFGCTILLRGANGDELKKVKHVVQYGIFAAYHLALETSFLADEGASLPELPLTVAHLDKPSNVARFISTVPGFAFPANIMSQEQQTANSISSLDLPSSNVFSNFQKIKKTPPYYLPNGTSLLSSSAPPLEFTALPLSTSGKDISDAFSKENDMDTKESSMMEAFVEKSQGFLNNDLTSSSVVPLESSGLVFSAHTDQENHNKAVEIQSGDSESSSMQQDSNNLNNCPEEPKTLKEEFPPSPKDNQSILVSLSSRSVWKGTVCERPHLFRIKYYGSFDKPLGRVLRDDLLDQSYRCHSCDLPSEAHVHCYSHQQGTLTISVKKLSEGFLSGERLGKIWMWHRCMQCPRANGLPPTTRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVVCFRYATISVHSVYLPPSQVSFDYENQEWIQKETDKVADRAEHMFSEVLNSLGKITEKLIATVAPHGIAKMPELRQQTSELEGILLKEKTEFQESLQKALKREVRKGQPVIDILEINRLRRQLLFQSYMWDHRLVFATNLENYSLQDSVSDSTSGHEDKSPTGDEKLKDIDMLELGKSNECSDQRELNGSTNWSDLIHQEPNTSENLNPVSEDCGNLSDSHSMYDRLDCEKPMENVRRALSEGQFPVIENLSDTLDAAWTGDIQRAAILAMQLSCSLSDSAAFDIGAVDAVTEGLDLDVHSEEKIGPKVSHSLSPALSTKGSENLEDAVSWLKMPFLSFYRSLNKNLLGNASKLDTLSEYDPIYVSSFRELEMQDGARLLLPVGINDTVIPVYDDEPTSMISYALASSEYHFQVSDEVDGPKESGDLTASVSLTDSVIQSLLSVDEMNLDPHRSFGSTDNQTGSRNSNMDPLSSTKSLHVRVSFGDDGSSDKVKYTVTCYYAKRFEALRRMCCPSELDFIRSLSRCKKWSAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKIASGYFKYLSESIGSRSPTCLAKILGIYQVTAKHLKGGKEAKMVVLVMENILFRRNLTRQYDLKGSRSRYVPSSGGSNKVLLDQNLIESMRTSPIFVSSKAKRLLERAIWNDTAFLAASDVMDYSLLVGVDVEKQELVVGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMATYFLMIPDQWSPPPSSDISLSDRGEENEQHETSIK >KJB70202 pep chromosome:Graimondii2_0_v6:11:5266099:5267332:1 gene:B456_011G063200 transcript:KJB70202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHGGSDPESEPNTRLYNPYQDLNLQVPINNLYKLPTSPEFLFAEESLHQRRSWGENLTFYTGSAYLGGSVSGAAVGFFSALRNFEQGDTLKLKINRILNSSGHTGRSWGNRIGVVGLIYAGMESGVVAVTDRDDVWSSVAAGLATGALCRAARGVRSAAVAGALGGLAAGTVVAGKQVLKRYVPI >KJB71203 pep chromosome:Graimondii2_0_v6:11:13145479:13145870:1 gene:B456_011G1101002 transcript:KJB71203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLTSSLFPLSTPLPLLMASPQ >KJB71205 pep chromosome:Graimondii2_0_v6:11:13145855:13145870:1 gene:B456_011G1101002 transcript:KJB71205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQ >KJB71204 pep chromosome:Graimondii2_0_v6:11:13145363:13145870:1 gene:B456_011G1101002 transcript:KJB71204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLTSSLFPLSTPLPLLMASPQ >KJB72152 pep chromosome:Graimondii2_0_v6:11:30074322:30077895:-1 gene:B456_011G161700 transcript:KJB72152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAINRQQVLLQHLNPSASSFLSHQNNSALSASACLAGDSAAYRRTNVFGDDVVIVAAYRTALCKSKRGGFKDTYPDDLLAPVLRAVIEKTNLNPSEVGDIVVGTVLAPGSQRASECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYEIGIGAGLESMTTNPMAWEGSVNPRVKQMEQAQNCLLPMGITSENVAHRFGVTRQEQDQAAVESHRKAAAATASGKFKDEIVPVATKIVDPKTGDEKPVTISVDDGIRANTSVSDLGKLKPVFKKNGTTTAGNSSQVSDGAGAVLLMKRSVAMRKGLPILGVFRTFAAVGVDPAIMGVGPAVAIPAAVKSAGLELDDVDLFEINEAFASQFVYCQKKLELDPQKINVNGGAMAIGHPLGVTGARCVATLLHEMKRRGKDCRFGVVSMCIGTIYLPPSLPFCYILARVADHQFHN >KJB72151 pep chromosome:Graimondii2_0_v6:11:30074322:30077895:-1 gene:B456_011G161700 transcript:KJB72151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAINRQQVLLQHLNPSASSFLSHQNNSALSASACLAGDSAAYRRTNVFGDDVVIVAAYRTALCKSKRGGFKDTYPDDLLAPVLRAVIEKTNLNPSEVGDIVVGTVLAPGSQRASECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYEIGIGAGLESMTTNPMAWEGSVNPRVKQMEQAQNCLLPMGITSENVAHRFGVTRQEQDQAAVESHRKAAAATASGKFKDEIVPVATKIVDPKTGDEKPVTISVDDGIRANTSVSDLGKLKPVFKKNGTTTAGNSSQVSDGAGAVLLMKRSVAMRKGLPILGVFRTFAAVGVDPAIMGVGPAVAIPAAVKSAGLELDDVDLFEINEAFASQFVYCQKKLELDPQKINVNGGAMAIGHPLGVTGISCNSSCNFVVFPV >KJB72150 pep chromosome:Graimondii2_0_v6:11:30074275:30078005:-1 gene:B456_011G161700 transcript:KJB72150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAINRQQVLLQHLNPSASSFLSHQNNSALSASACLAGDSAAYRRTNVFGDDVVIVAAYRTALCKSKRGGFKDTYPDDLLAPVLRAVIEKTNLNPSEVGDIVVGTVLAPGSQRASECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYEIGIGAGLESMTTNPMAWEGSVNPRVKQMEQAQNCLLPMGITSENVAHRFGVTRQEQDQAAVESHRKAAAATASGKFKDEIVPVATKIVDPKTGDEKPVTISVDDGIRANTSVSDLGKLKPVFKKNGTTTAGNSSQVSDGAGAVLLMKRSVAMRKGLPILGVFRTFAAVGVDPAIMGVGPAVAIPAAVKSAGLELDDVDLFEINEAFASQFVYCQKKLELDPQKINVNGGAMAIGHPLGVTGARCVATLLHEMKRRGKDCRFGVVSMCIGTGMGAAAVFERGDCVDELCNARKVETNSLLSKDAR >KJB69333 pep chromosome:Graimondii2_0_v6:11:1188777:1191244:-1 gene:B456_011G017100 transcript:KJB69333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTENPKLDFVSSYDGGGSEERISTQKNSGSDHINGDNKPDSFVIDIESFSHGGSNIKEANPHSRITRSLSRKGSQRGDRDSFVSNSSSSSGGSPEKLMAVAEEFTDHLSNPQVHHQLISMTSGNNITAATESRSNLRRNSIRRSPPPWLFDPKRILMFFATLSSMGTILLIYFTLTISRTNADENALD >KJB73195 pep chromosome:Graimondii2_0_v6:11:53112619:53114277:1 gene:B456_011G222300 transcript:KJB73195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSGCNLPGIIVMVFVMLSSSSISAITNFNVLNFGANPTGGTDSTDSFLKAWNAACGTADSTFIYVPKGRYLVGPLAFKGQCKSSQIIIRIDGTIVAPLDYGVLGKSNNWFSFEDVSGVSIIGRGTFDAKGPSLWACKASNSNSCPSGATTLSFTNSNNIRINGLTSLNSQMFHIVINGCQNVHLKGVKIIAAGNSPNTDGIHVQLSRNVEILSTSIKTGDDCISIGPGTENLWIEQITCGPGHGISIGSLAKDLKEEGVQNVTVKKTVFSNTQNGLRIKSWARPSNGYVQGVRFIDSVMRNVQNPIMIDQNYCPRNENCPGQVSGIKINDIVYENIRGTSSTPIAIKFDCSDKNPCTGIKLQNVNLTYLNKAAQSFCSNVVGKAIDLVRPNSCL >KJB73275 pep chromosome:Graimondii2_0_v6:11:53673378:53675071:1 gene:B456_011G225300 transcript:KJB73275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILLLSLPFFLFITLLKRSISNHGDCNHLPPGPPSLPLIGHLHMLMFGNSDLLHIFLWKLSKQYGSLLSLRLGFRPTLVVSSAKMAKEVMKTRDLDFCSRPNLCAIRKLSYNTSDLSFSPYSESWKEIRKICVIHLFSRVRKYRSIREDEVAHLVEKIRRLSVDSKPVNLSEAMVCLSCSIISRVGFGKTYDEEGAEGSRLHGLIKESVAMFTSFSFSDCFPFMGWVDRFTGFHARLEKFFIELDTFYQQLIDEHLNPNRLKPEQEDILDVLLQTWKDRDFLFDLTLDHIKAVIMDVLFAGTETTAVTVIWVMSFLMKNPECLKKAQEEVRDLIGKNRFLNEDDVQALTYLKAVVKETFRLQPTLPLLLPRETLRKCCIGGYQVPANTLVYVNAWAIGRDPEAWRNPEEFCPERFIGSSIDYKGLNFELIPFGAGRRVCPGMHMAVAAVELVLANLLYKFDWEMPTEMNKENLNFDGTPGLVTHKKDALILVAGKIND >KJB73865 pep chromosome:Graimondii2_0_v6:11:59536222:59536977:1 gene:B456_011G2642002 transcript:KJB73865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEQVIFTDGLVEEHQGRNQMFFSELEMLWLEDLPKLTSLCFENYFEFQCLTGLELINCPLLKTFITKCVSEDEPEIGQHVQASNLEVHNSSLLNEKVVFPSLKELRIQNCDSLEQIIELQGVIADESQSTSAAQSIMAETETTKFVFPKLVNLGLDKVPRLKSFYSRMHATRWPSLKRMAIIECPKVQIFTPQCPECQVGISSQQPLFSVNE >KJB73864 pep chromosome:Graimondii2_0_v6:11:59536192:59536977:1 gene:B456_011G2642002 transcript:KJB73864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEQVIFTDGLVEEHQGRNQMFFSELEMLWLEDLPKLTSLCFENYFEFQCLTGLELINCPLLKTFITKCVSEDEPEIGQHVQASNLEVHNSSLLNEKVVFPSLKELRIQNCDSLEQIIELQGVIADESQSTSAAQSIMAETETTKFVFPKLVNLGLDKVPRLKSFYSRMHATRWPSLKRMAIIECPKVQIFTPQCPECQVGISSQQPLFSVNE >KJB73902 pep chromosome:Graimondii2_0_v6:11:59107402:59110972:1 gene:B456_011G260100 transcript:KJB73902 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT3G60360) UniProtKB/TrEMBL;Acc:A0A178V8J4] MSSLRNAISKRAHKERAQPSSRKKFGLLEKHKDYVVRAKAFHKKEETLRKLKEKAAFRNPDEFYFQMIKTRTVDGVHKPESQANKYTQEELMLMKTQDIGYILQKLQSERKKIEKLTAVLHSVDNHRSNRHIYYAEDREEARELQSQTSESRVTPPSGDIPDHIKRKTAASYRELEARYSRVNQLEKLYMEMSLKKELQKKGRKRKLREDELVCPTSKPVYKWRSERKR >KJB74115 pep chromosome:Graimondii2_0_v6:11:60607188:60607682:-1 gene:B456_011G273800 transcript:KJB74115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQSRKEFETTNAQGDVERKVVTMDYSSSAGKGPEMKAVQVTHQHPPTNQKTSGGVLTGAAAAATLALESAKDAISRN >KJB70627 pep chromosome:Graimondii2_0_v6:11:8544068:8547876:1 gene:B456_011G083900 transcript:KJB70627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKEEVFSVELPAPASWKKMFSPKKVGSPRKTEIMFIAPTGEEISSRKQLEQYLKSHPGNPPITEFDWGTGETPRRSARISEKAKATPTPEKEPPKKRGRKSLSAKKENKETEAVPEKSEGEKENEKEDAPATEKETAAAEREKDTSIETQVQDGGKTEVADQTGHADTKMEEAGTDVKVPETAEAGKKEEAAGTEETPTAMEVQEKPAEASCTDGTQTAKEEEAPTEKVPQTQAEENGTCEKQPENPETVTLEANGGVEKENPNGAVPVSEGEAKETQGLQEVAGKCSVEVEDKGKAVDGEVIENGKVKEGGKADTPQPTGSAPASN >KJB70628 pep chromosome:Graimondii2_0_v6:11:8543882:8547876:1 gene:B456_011G083900 transcript:KJB70628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKEEVFSVELPAPASWKKMFSPKKVGSPRKTEIMFIAPTGEEISSRKQLEQYLKSHPGNPPITEFDWGTGETPRRSARISEKAKATPTPEKEPPKKRGRKSLSAKKENKETEAVPEKSEGEKENEKEDAPATEKETAAAEREKDTSIETQVQDGGKTEVADQTGHADTKMEEAGTDVKVPETAEAGKKEEAAGTEETPTAMEVQEKPAEASCTDGTQTAKEEEAPTEKVPQTQAEENGTCEKQPENPETVTLEANGGVEKENPNGAVPVSEGEAKETQGLQEVAGKCSVEVEDKGKAVDGEVIENGKVKEGGKADTPQPTGSAPASN >KJB71479 pep chromosome:Graimondii2_0_v6:11:17664505:17668385:1 gene:B456_011G124700 transcript:KJB71479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase AtPK2/AtPK19 [Source:Projected from Arabidopsis thaliana (AT3G08720) UniProtKB/Swiss-Prot;Acc:Q39030] MVSSQLSGLTKGRTCKPFDARLLFTASPLEAVLTDQVEVDFSDVFGPLPVQVSIEDSGGEPENTVSAADVTEIVYDDPAIVYSRSHSLLGPSSRVSQSLKLSKLSFCEVGDSVDLVESIKEESISEVEELSVGDDGDDKKSLKDVNGDHMKVISIGIEDFEVLKVVGQGAFGKVYQVRKKGTPEIYAMKVMRKDKIMEKNHAEYMKAERDILTKVDHPFIVQLRYSFQTKYRLYLILDFINGGHLFFQLHHHGLFREDLARVYAAEIVSAVSHLHANGVMHRDLKPENILLDGDGHVILTDFGLAKQFDENTRSNSLCGTVEYMAPEIITGKGHDKAADWWSVGILLFEMLTGKPPFTGGSRDKIQQKIVKERMKLPKFLSSEAHSLLKGLLHKDACKRLGNGPGGSEEIKRCKWFKSINWRKLEAREIQPSFRPEIAGKHCIANFDKRWTDMPLTFSPASSPKTNANPFTDFNYIRPAATLLSE >KJB70629 pep chromosome:Graimondii2_0_v6:11:8548541:8550320:1 gene:B456_011G084000 transcript:KJB70629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECTSSVYLPTFHMGSSIFLWLFLIFSVIFSPVSAKHSVTWTYGVNYGRIANNLPQPESVVTLLKAAKINHIRIYDADHGVLQAFKGSGIEIIIGLPNEYLKEISIGEDRAMNWVQENVQAFLPDTRIRGIAVGNEILGGTTIELWEVLLPAAKNVYSALYRLGLQHTVEVSSPHSEAVFANSFPPSACIFRPDVAPFMKPLLEFFSQIGSPFYINAYPFLAYKNDPQHIDINYALFKDNRGIYDAKTKLHYDNMFEAQVDAAYAALDKAGFNKMQVIVSETGWASHGDPDEAGANVKNARTYNRNLRKLLGKKKGTPFRPKMVIKAYVFALFNENLKPGPTSERNFGLFKPDGSIAYDVGFTGLVPSAAPPSILVSFKEIAGQGWLRWSYLLVSTAWAVVLL >KJB72468 pep chromosome:Graimondii2_0_v6:11:42337547:42339119:1 gene:B456_011G180200 transcript:KJB72468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEENKKIRKEEVIAKLKDDGDFDKLRLKIIRKLKDNVQLRNNIFLAVKQSAALNCLGSENMKVRELSDAIHDEVGNKVMGKISDSLWEIIRSE >KJB71707 pep chromosome:Graimondii2_0_v6:11:21197670:21201792:1 gene:B456_011G138100 transcript:KJB71707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLWTRVIVVIMISVLVLEEAKRSNGCWDEERTALLHLKSFFNLKMMEEGPDCCEWKWGWVECNVTTRRVTGIYLNVAKNYFSYKLNTSYLFNASIFLPFEDLRTLDLSYIGFAGSVENQEIKLLSRMSSLEILDLSRTNMTNNYLSYLGGFSSLRSLTLQGNYLQGTVEIEDFYNLKKLDLSQNGIETLQSSYGSGRLINLQEIDLSYNLFDNNILAELGGCLNLKCLNLRGNRLIGSIDVKEFCGWSNLESLDVSQNWVNQFVSSKEKRCFKQLKFLYLEALSTNGNTTLVSLLEAFPSVKTLFLRDNYFLNNTEVNQQLHVLRNVENLILDFTPLPFNFLQSIGILTSLKTLSLYGCGLTGTLPTQGWCYLKSLEELSLRRNALGGEITSCLGNLTSLRYLDIAGNYFTGIVADTPLTNLSMLQFLSLSFNQFHVPLSFKSFANHSNLKVLLARGNKFVAEPATSQTWSPKSKFQLKVFDMSSCEIDEDGKLQLPNFLYYQYDLRYIDLSYCSYGGIGFPHWLVENNTRLEDLLLIDTSIVGPLFLPSHPNPNLKTFVLSYNHLQHEIPRNFCSIFPNLETLWLSENALKSTIPVCLGGMQQLIYLDMSVNNLSGGIPKELAMSRSLVFLDLSVNTLSGKIFPPIYYFFNSLAVVLLRGNKFEGEIPDFSTISSTNLYMLDVGFNNLSGKLPKWLWKNTNIERLDLSRNHFEGSIPMEFCNLVDLLFLDLSENHLSGTIPSCSNLQKIGYVHLRKNKLSGPLSPAFNGSSLVTLDLSENSLTGEIPDWVGMLPNLSILLLKANQFDGELPVHFCRLYALSILDVSRNKLSGRIPSCLSNLTLILGTKRDTSSRFTIYDQISNKGYYYDELPSSRSYFLKYGAEFIEFPTKYRVYTYQGKILDLFFAIDLSCNQLTGTIPPGMGNLSEIRGLNLSHNNLTGAIPSTFSKLKQIESLDLSYNNLTGRIPSELTEMTALAVFSVAHNNLSGPLPNRKNQFGTFEENSYKGNPLLCGPPLNKSCSEGTPSASSSEEEHGPVDMGYFYISFAVSYGIIFLATVAVLYINPYWRRAWFKFVEDCSTACYFFIVDRLGRLPCFRRNM >KJB70880 pep chromosome:Graimondii2_0_v6:11:10143442:10147995:1 gene:B456_011G093400 transcript:KJB70880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQLARGEEFEQKAEKKLSGWGLFGSKHEDAAELFDKAANCFKLAKSWDKAGSTYVKLANCNLKLESKHEAAQAYVDAAHCYKKTNVKEAISCLKEAVNMFCDIGRLSMAAKYYKEIAELYESEQNTEQAIDYYEKAADFFQNEDISTSANQCKQKVAQFAAQIEQYQKAVEIYEDIARQSLSNNLLKYGAKGHLLNAGICQLCKGDVVAITNALERYQELDPTFSGTREYKFLADIASSIDEEDVTKFTDVVKEFDSMTPLDSWKTTLLLRVKEKLKAKEMEEDDLT >KJB72097 pep chromosome:Graimondii2_0_v6:11:28736113:28738028:-1 gene:B456_011G159000 transcript:KJB72097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGICAKRVVVDARHHMLGRLASILAKELLNGQKVVVVRCEEICISGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKTYEGIPAPYDKMKRMVIPDALKVLRLQKGHKYCLLGKLSSEVGWNHYDTIKVKTDIWLVFI >KJB72096 pep chromosome:Graimondii2_0_v6:11:28735525:28738141:-1 gene:B456_011G159000 transcript:KJB72096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGICAKRVVVDARHHMLGRLASILAKELLNGQKVVVVRCEEICISGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKTYEGIPAPYDKMKRMVIPDALKVLRLQKGHKYCLLGKLSSEVGWNHYDTIKELERKRKERAQVAYERRKQLIKLRVKAEKVAEEKLGAQLEVIAPIKY >KJB69387 pep chromosome:Graimondii2_0_v6:11:1487099:1487831:1 gene:B456_011G021000 transcript:KJB69387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIVFEDFFPAMVDKLGAEGFMKELCNGFRLLMDGEKGMITFESLKRNLALLGLQDMDDDEVVCMLREGDLNGDGGLDEMEFCTLMFRLSPALMKSSKSLLEEALVTEV >KJB74492 pep chromosome:Graimondii2_0_v6:11:29975446:29979376:1 gene:B456_011G1614001 transcript:KJB74492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGHFQGNTYDIVSAFQCYGEYVSGSISDEQRMNVVRNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSIPAEDPLKLDECRLAGKYLLKLKLVEITPGNIHARSVGLELTLDDFQKVSDEVPFLADLKPSGKYVMEDMHKIGGTPAVIRYLLEFGYLDGDCMTVTGRTMAENAKSYPCLPEGQTGVNPIKKTGHIQILRGNLAPEGSVAKIIGKEGLHFSGPALVFEGEEAMLAAISENPMSFKGKVVVIRGEGPKGAPGMPEMLTPTSAIMGAGLGKDVALLTDGRFSGGSHGFVVGHVCPEAQEGGPIGLIQNGDAISIDVQKRAINVQLTDDELNERRKTWTPPPYKANRGTLHKYIKNVQSASMGCVTDE >KJB74367 pep chromosome:Graimondii2_0_v6:11:62185841:62192290:1 gene:B456_011G290600 transcript:KJB74367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQPNDQKSDPTPPPFDPSKPSVPISYPIKTLEDLENGSYYKSFHYPFNKSSVPLRPNTGLAQRPRVLVCHDMQGGYVDDKWIQGGENSGAYAIWHWYLIDVFVYFSHYLVTLPPPCWTNTAHTHGVKVLGTFIVEGEEGTATCNKMLSTKESAHKYAQLLVELAVALGFDGWLLNMEVDLAISQIPNLKEFVSYLTQTMHSSVPGSLVIWYDSVTIDGTLSWQNQLNEENKPFFDISDGIFVNYFWEEDGPKLSATVAGDRKLDVYMGIDVFGRGTYGGGQWTTNVALDVIKKDDVSAAIFAPGWVYETKQPPDFQTAQNRWWSLVEKSWGIVQNYPKTLPFYSNFDQGRGNHISVDGAQVLSTQWNNISSQTFQPFLEYVDDSTSKTIEVRVDFKEASFNGGGNITFKGTLEAGASFSTRLFSGQLLMGPLPIYFTYSVNSEGNSQLGLSLELSSETEGKKKLFLASQGTNQFSSEVLMPRRLTTPDNAPGWVMQEVSIAMNGYTLTEIHAVCYKQQPEITNTTEYFAVLGDIKISTSSKNTEFPPSTSWIVNGQDIEWGTSQGSKTLSLKISWKPEDEKGPLFPKYNIYVEKLSKQSIRTLKGTLESVREYIGVAHIEAFYVSQLVIPSDTSSLKFIIQVCNVDGASQNLDDAPFFQLDVQSSTNILSLLFGCLPSLVKNIIAYL >KJB69687 pep chromosome:Graimondii2_0_v6:11:2793577:2796400:1 gene:B456_011G037100 transcript:KJB69687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKNDKIAVELATKVVGKWNDTYQWIPTLGAFATIAMAFSVGANNLPAPFSTSIGSGALTLLKASIMACAIYIPGAASASNSRTVDALFSDFLKESQPTEGFLMWSMVVVLLTTTTWLTLATYLELPVSSQQSIHAAMLGTMLVTQGFDYLPLWNKNENHDFNSGGLLWIFLEWTLAPSIACLCAWFLFVVLKSSILRRENAKKRILVFLPIDYGISAGLLCFVIVSQVIGNYVDVNRLTVMIAVAGSALIGAVLSSVVVVPLAIKKLATTKNHRNSMENDTSMKQESEESRGNQGCSNGAKVDDDVLEDFMQMRVLETVYEEEERSCGSLDVIQEPEQVQPGDNTSSEQSTPFKQLLKSTPNRLLQTQNFQRIEKTTTIENVIKYIRDTAKSTFSPVLEYDRRTLVRHALAENFDDIEDCFSFPLLLASCMVALIQSTTEIASIMNPYVAILDVFEHRSKYSSEDVGHLQVKWWYGGIGGLVAGVGFLLCGWRLTQCLGGKLTYMSNSRGWASQLTTVAAMIIVAKVKLPVSSVQAFIGSLVGVGVADDRWNVNWKLVCKIMCGWIMTVILCRGIAYMMFSASMHTPAYAVP >KJB73882 pep chromosome:Graimondii2_0_v6:11:58828213:58829207:1 gene:B456_011G2584001 transcript:KJB73882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAHALEYLHHRCETPIIHCDLKPSNILLDEEMVGHISDFGIAKILSGDELNYSANESSSLGLRGTIGYAPPEYGMGSELSTNGDVYSYGILLLEMLTGKRPTNERFKEGLSLHNFVKAALPNRVVEIIDPILLQESVRGGTVADITLNENNLGNDKYLQCLNSIFKIGLTCSAESPSERMDMSDVVTKLCSIRDKLLCPTRLYRGIRTAYDAKPIGI >KJB69485 pep chromosome:Graimondii2_0_v6:11:1910869:1918385:1 gene:B456_011G025900 transcript:KJB69485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYKSVVCRGDEVLGEVEIYPQQQQLREEEEEYGGKITVMEEEMKEIRIGYLTQGSERCPPLAVLHTITSTGICFKMESSKDNNYSSSFQDTPPLHLLHSECIRDNKTAVMPMGDCELHLVAMYSRNSDRPCFWGFNVARGLYDSCLVMLNLRCLGIVFDLDETLVVANTMRSFEDRIEALQRKMNTEVDTQRAAGMMAEIKRYQDDKAILKQYAENDQVVENGKVIKVQSEIVQPLSDNHQPIIRPLIRLQEKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKSGLRKSLFNVFQDGICHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYFAPQAEANNTIPVLCVARNVACNVRGGFFREFDEGLLQKIPEISYEDDIKDIPSPPDVGNYLVSEDDTSASTANKDPPIFDGMADAEVERRLKEAISAASTVSSASINLDPRLASSLQFTMPSSSSVPLLAVQSSMASYPNMQFPQAAQVIKPVAPVVSPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHTPPEPAFPPARPAMQVPVSRAQSRGSWFSSDEEMSPRQLNRAVPKEFPLDSEQMHMEKHRGPPFFPKVESPIPSERLLRENQRLPKEALHRDDRLGLNHTPSSYHSFPGEEMPLGRSSSSHKDLDFESGRTIPSGETPAGVLQDIAMKCGAKVEFRPALVASMDLQFSIEAWFAGEKVGEGTGRTRREAQRQAAEDSIKSLANTYLSRIKPDTGSTQGDLSRSANTNENGFPGNLNLYGNQQSPKEESMPFSNAPEPSRLLDPRLEGSRRSMGSVTALKELCMMEGLGVVFQAQPPASNTLQKDEVYAEVEVDGQVLGKGTGFTWEEAKMQAAEKALGSLRSMLGQFTQKRQGSPRSLQDMPSKRLKPEFPRVLHRMPSSGRYHKNAPPVP >KJB69484 pep chromosome:Graimondii2_0_v6:11:1910869:1918342:1 gene:B456_011G025900 transcript:KJB69484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYKSVVCRGDEVLGEVEIYPQQQQLREEEEEYGGKITVMEEEMKEIRIGYLTQGSERCPPLAVLHTITSTGICFKMESSKDNNYSSSFQDTPPLHLLHSECIRDNKTAVMPMGDCELHLVAMYSRNSDRPCFWGFNVARGLYDSCLVMLNLRCLGIVFDLDETLVVANTMRSFEDRIEALQRKMNTEVDTQRAAGMMAEIKRYQDDKAILKQYAENDQVVENGKVIKVQSEIVQPLSDNHQPIIRPLIRLQEKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKSGLRKSLFNVFQDGICHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYFAPQAEANNTIPVLCVARNVACNVRGGFFREFDEGLLQKIPEISYEDDIKDIPSPPDVGNYLVSEDDTSASTANKDPPIFDGMADAEVERRLKEAISAASTVSSASINLDPRLASSLQFTMPSSSSVPLLAVQSSMASYPNMQFPQAAQVIKPVAPVVSPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHTPPEPAFPPARPAMQVPVSRAQSRGSWFSSDEEMSPRQLNRAVPKEFPLDSEQMHMEKHRGPPFFPKVESPIPSERLLRENQRLPKEALHRDDRLGLNHTPSSYHSFPGEEMPLGRSSSSHKDLDFESGRTIPSGETPAGVLQDIAMKCGAKVEFRPALVASMDLQFSIEAWFAGEKVGEDTYLSRIKPDTGSTQGDLSRSANTNENGFPGNLNLYGNQQSPKEESMPFSNAPEPSRLLDPRLEGSRRSMGSVTALKELCMMEGLGVVFQAQPPASNTLQKDEVYAEVEVDGQVLGKGTGFTWEEAKMQAAEKALGSLRSMLGQFTQKRQGSPRSLQDMPSKRLKPEFPRVLHRMPSSGRYHKNAPPVP >KJB70937 pep chromosome:Graimondii2_0_v6:11:10641740:10642583:-1 gene:B456_011G096700 transcript:KJB70937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAMEGKDEGTMSVSTTDFPILLGRSNIIPCAFDILRTGGDGFVPLELAMLQIYKKGKTQESATVRQRCCD >KJB72058 pep chromosome:Graimondii2_0_v6:11:30717696:30718933:1 gene:B456_011G162800 transcript:KJB72058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDLLVSHCYYQSKTMVASTAAVTFPGPGGLISGRARPRSRPAGVKLQQQRPSSINIHIISRISSQSKSLGPLKAASGGGVPLPPLDLTEENIELVLADARVELAQLFDTEVGITGQVELSELDGPFVKISLKGRFWHKRTTVVARVGNYLKQRIPEILVVEIEDEKQLDDSPENF >KJB71981 pep chromosome:Graimondii2_0_v6:11:25635616:25640201:1 gene:B456_011G151900 transcript:KJB71981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRHHILLPILLFSAFLAAGDDGATMLKIASSFRPPPTGWSSTSSDNYCKWPGINCDKSNSVTSINLSSKSLSGTLSPDIADLSELQTLSLQRNALSGTIPSLANLSSLQEVYLDSNAFTSISPNAFSELTSLQKLSLSDNAGLSPWTFPDLSQSTGLVELQLDNTSLFGSLPDIFDSMNSLKSIRLSYNNLSGTLPASLGGSMVQNLWINNQDVGFNGTLQVLSNMTQLSQGPFPEFPTSVEKKVVDGNNNFCNSNGDPCDPQVTTLLEIAGGFGYPVPLSDKWPGNDACEWSFISCDSQKNVITVNLEKKNLVGTISAAFANLISLKNLNLSGNGLTGSIPDSLTKLTSLQLLDVSNNNLSGDIPKFSNSVKFSYSGNSLLGKSGGSGDGGDSGSGASAGGSDGNLKSGHSKNSIAMIVGIIAGVLIFVAVVCFVSYKYVMNKRYGKFGKVEGSDAERGVVKSPENGGRMNGNGGVPSEMLSQSSGDRSDRHFFEGGNVVISIQVLRQVTDNFSEANVLGRGGFGVVYKGELHDGTQIAVKRMECVSTGTKGMNEFQAEIAVLTKVRHRHLVALLGYCINGNERLLAYEYMPQGTLSQHLFEWRENGYAPLTWKQRVTIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPEGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEIITGRKALDETLPDERSHLVTWFRRVLTNKDNIPKVVDETINCDKEAMASIFKVAELAGHCTAREPYQRPDMGHAVNVLGPLVELWKPTTQEEDENSGIDLHMSLPQALLRWQADEGTSTMYGDVSYSQTQSSIPAKPSGFSDTLSSSDGR >KJB71982 pep chromosome:Graimondii2_0_v6:11:25635670:25640201:1 gene:B456_011G151900 transcript:KJB71982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRHHILLPILLFSAFLAAGDDGATMLKIASSFRPPPTGWSSTSSDNYCKWPGINCDKSNSVTSINLSSKSLSGTLSPDIADLSELQTLSLQRNALSGTIPSLANLSSLQEVYLDSNAFTSISPNAFSELTSLQKLSLSDNAGLSPWTFPDLSQSTGLVELQLDNTSLFGSLPDIFDSMNSLKSIRLSYNNLSGTLPASLGGSMVQNLWINNQDVGFNGTLQVLSNMTQLSQVWLQKNLFTGPIPDLSKCLDIFDLQLRDNQLTGPVPKSLIDLPNLKNVSLSNNKLQGPFPEFPTSVEKKVVDGNNNFCNSNGDPCDPQVTTLLEIAGGFGYPVPLSDKWPGNDACEWSFISCDSQKNVITVNLEKKNLVGTISAAFANLISLKNLNLSGNGLTGSIPDSLTKLTSLQLLDVSNNNLSGDIPKFSNSVKFSYSGNSLLGKSGGSGDGGDSGSGASAGGSDGNLKSGHSKNSIAMIVGIIAGVLIFVAVVCFVSYKYVMNKRYGKFGKVEGSDAERGVVKSPENGGRMNGNGGVPSEMLSQSSGDRSDRHFFEGGNVVISIQVLRQVTDNFSEANVLGRGGFGVVYKGELHDGTQIAVKRMECVSTGTKGMNEFQAEIAVLTKVRHRHLVALLGYCINGNERLLAYEYMPQGTLSQHLFEWRENGYAPLTWKQRVTIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPEGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEIITGRKALDETLPDERSHLVTWFRRVLTNKDNIPKVVDETINCDKEAMASIFKVAELAGHCTAREPYQRPDMGHAVNVLGPLVELWKPTTQEEDENSGIDLHMSLPQALLRWQADEGTSTMYGDVSYSQTQSSIPAKPSGFSDTLSSSDGR >KJB68962 pep chromosome:Graimondii2_0_v6:11:73908:77201:-1 gene:B456_011G000900 transcript:KJB68962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIRLIFFLLLQHLVSSNHHHSLLQDKAALLAFKKSIFDPKSALTNWIDVVPVCNFSGITCNKQHHRVQEINLSGYGLGGKISPFLSNLTGLRHLKLNENHFYGAIPPELSSLRRLTMFIVMENYLTGSLPPSFFSNCTNLLVIDASVNNFTGQIPDQIGDCPDLWSLNLYNNQFTGELPASLANISLYSLDVGYNLLSGELPSDLVRKWPDLGNLYLSYNKMTSHDNNTNLYPFFAALRNCSNLSEVGLVGMQLGGRLPTSIAHPTLRLLDLQDNHISGPIPREIGNLTNITMLSLTSNLLNGTIPEEISLLSMLEQLFLSHNFLSSRIPATLSKLNHLGLIDLSSNKFSGEIPPSLGDLGQLRFLFLNNNLLSGSIPPKLLNCRNLNMLDLSYNQLTGRIPPEIAELREIRISINLSHNHLQGLLPIELSKLENVLEMDLSSNNLSGNIFPQISSCIAVTIINFSHNNLQGQLPDSLGDLRSLQVFDVSRNNISGKIPMSLSKINLTFLNLSFNDFNGMIPSGGIFNSFTNMSFLGNPSLCGVASSRPICPHKKHWFRSRMFLVIFVIIIVVSVLLSTVCCMIGIRHIKLMVSSRKIEGSRRKSAALKITQNFPRLTYKELSDATGGFDEHKLIGTGSYGRVYKGVLPDDTSIAVKVLHLQSGNSIKSFNRECQVLKRIRHRNLIRIITACSLPDFKALVLPYMANGSLDSRLYPHSESGFSDLTLTQRVSICSDIAEGMAYLHHHSPVRVIHCDLKPSNVLLNDDMTALVSDFGIARLVTTAGNGGGGGGGGAIDNMGTSTANILTGSVGYIAPEYGFGSNTSFRGDVYSFGVVVLEMVTRKRPTDDMFVGGLNLQKWVKNHYHGRLEKVVDSCLVSDSRDQSAEVKRMWEVAIEELIELGILCTRETPSNRPTMLDAADDLDRLKRYLSGDSTVTFASSLGISSSTLSND >KJB70530 pep chromosome:Graimondii2_0_v6:11:7659957:7660500:-1 gene:B456_011G0776001 transcript:KJB70530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDLEARRPLVSRQNLINGKQDATQAVASGIFQINTAVSTFQRLVNALGTPKDTPELREKLHKTRLHIGQL >KJB70081 pep chromosome:Graimondii2_0_v6:11:4561037:4572516:1 gene:B456_011G057200 transcript:KJB70081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDMPIDYAVFQLSPKRSRCELFVSSNGNMEKLASGLVKPFVTHLKVAEEQVALSLQSIKLEVEKRKDAKTWFTKGTVERFVRFVSTPEVLELVNTFDAEMSQLEAARRIYSQGAGDQPSGASGGDAAGMTAAADATKKELLRAIDVRLIAVQQDLATAFARASSAGFNSDTVSELQQFADWFGAHRLNEACTKFMSLCQRRPELICRWKPSLDDQVVRASWGSDMSIDDPDEDQVGSNVNSRPHQPSQNRHQEQQQSNTMQTQHHIGQSKSATSQQPKLSSATQQHSESEKREEEKKEEGRFESSPSQISQPARRLSVQDRINLFENKQKESSSSGGKPTAVGKSVELKRLPSDVSAAAAVAEKAVLRRWSGASDMSIDLGNDKKDNTDSPLCTPSSSSVSQGKNYMFQGLSEDKERKDEKGLSDKVSSVKVEPKSVSGRAADSGLKDQDGVQAQIANNLLGKEEDLVSKGRMNLKDQSGSQNRYYQSFTSKSEQAELGDQVVSQEKVKGSLTRERGVSDVQSQVVPDRTAIVGVKNQPAYRFQDGVFVDAVGDATPEGELKKRVELQGKDQSVSQLQFRTKGHSRTLSGQFQGGIGLKTKEAQYKGSEGEQFAPQQHWRSFTGEVEEVRKKDLASSEKQISKVEDSGVHEMKFKKQVLVGSEWSNKSQGRRGEGDSVYANNKPVLGKMVPQGEESLSAPTVPVDQTQRIRQSRGNQELNDELNELEKLFAEHKLRVPPDHFSSARRSKPADVQIEPEPSPACKKPAAVDVSPVHMPDKNLISEPMGSLSNMAVFCTPSTKMVDNQDFSGSLRRSFSGNSFSDDSRGKFYEKYMQKRDAKLMEEWGSKRAEKEAKLKAMQDILERSRAEMKAKFSGSAERQDSLSNARRRAEKVRSFNFRSQRGQHPISLIQSEDDLSEFSDQKYYKHDRSYNDTSLVDGSSRSSNTKKHFPNKNVSLSTPRTTAAAVPRSGAKVSIPSSGRRRVQSENPLTQSVPNFSDLRKENTKPSSGASKTTSSPQVRNYARSKSTNEEIALGKDDQPRQSRSLRKSSAGPVEFSDLSAMPSDSIVLASLKFDKEQMGQSLNDKILKNAEAKPFIRKGNGIVPGAGVNFAKFKASETSETPNDEDSDDELAFEADDSMDMAKGDEEDMLEIGEVDDSVDIENGTARLSQEFDKLDNSESENGDSLMSLSQVDLTSVAELPAAVPSTFHPAVSLQDSPGESPASWNSCMHHPFSYPHETSDIDASMDSPIGSPTSWNSHSLAQTEVDEARMRKKWGSAQKPFHVAHVTQNQSRKDVTKGIKRLLKFGRKSRGTDSLVDWISATTSEGDDDIEDGRDPANRSSEDLRKSRMGFSQGHPSDDGFNDSELFNDQVQALRTSIPAPPANFKLREDHVSGSSIKAPRSFFSLSTFRSKGSDSKPR >KJB70080 pep chromosome:Graimondii2_0_v6:11:4560938:4572516:1 gene:B456_011G057200 transcript:KJB70080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDMPIDYAVFQLSPKRSRCELFVSSNGNMEKLASGLVKPFVTHLKVAEEQVALSLQSIKLEVEKRKDAKTWFTKGTVERFVRFVSTPEVLELVNTFDAEMSQLEAARRIYSQGAGDQPSGASGGDAAGMTAAADATKKELLRAIDVRLIAVQQDLATAFARASSAGFNSDTVSELQQFADWFGAHRLNEACTKFMSLCQRRPELICRWKPSLDDQVVRASWGSDMSIDDPDEDQVGSNVNSRPHQPSQNRHQEQQQSNTMQTQHHIGQSKSATSQQPKLSSATQQHSESEKREEEKKEEGRFESSPSQISQPARRLSVQDRINLFENKQKESSSSGGKPTAVGKSVELKRLPSDVSAAAAVAEKAVLRRWSGASDMSIDLGNDKKDNTDSPLCTPSSSSVSQGKNYMFQGLSEDKERKDEKGLSDKVSSVKVEPKSVSGRAADSGLKDQDGVQAQIANNLLGKEEDLVSKGRMNLKDQSGSQNRYYQSFTSKSEQAELGDQVVSQEKVKGSLTRERGVSDVQSQVVPDRTAIVGVKNQPAYRFQDGVFVDAVGDATPEGELKKRVELQGKDQSVSQLQFRTKGHSRTLSGQFQGGIGLKTKEAQYKGSEGEQFAPQQHWRSFTGEVEEVRKKDLASSEKQISKVEDSGVHEMKFKKQVLVGSEWSNKSQGRRGEGDSVYANNKPVLGKMVPQGEESLSAPTVPVDQTQRIRQSRGNQELNDELNELEKLFAEHKLRVPPDHFSSARRSKPADVQIEPEPSPACKKPAAVDVSPVHMPDKNLISEPMGSLSNMAVFCTPSTKMVDNQDFSGSLRRSFSGNSFSDDSRGKFYEKYMQKRDAKLMEEWGSKRAEKEAKLKAMQDILERSRAEMKAKFSGSAERQDSLSNARRRAEKVRSFNFRSQRGQHPISLIQSEDDLSEFSDQKYYKHDRSYNDTSLVDGSSRSSNTKKHFPNKNVSLSTPRTTAAAVPRSGAKVSIPSSGRRRVQSENPLTQSVPNFSDLRKENTKPSSGASKTTSSPQVRNYARSKSTNEEIALGKDDQPRQSRSLRKSSAGPVEFSDLSAMPSDSIVLASLKFDKEQMGQSLNDKILKNAEAKPFIRKGNGIVPGAGVNFAKFKASETSETPNDEDSDDELAFEADDSMDMAKGDEEDMLEIGEVDDSVDIENGTARLSQEFDKLDNSESENGDSLMSLSQVDLTSVAELPAAVPSTFHPAVSLQDSPGESPASWNSCMHHPFSYPHETSDIDASMDSPIGSPTSWNSHSLAQTEVDEARMRKKWGSAQKPFHVAHVTQNQSRKDVTKGIKRLLKFGRKSRGTDSLVDWISATTSEGDDDIEDGRDPANRSSEDLRKSRMGFSQGHPSDDGFNDSELFNDQVQALRTSIPAPPANFKLREDHVSGSSIKAPRSFFSLSTFRSKGSDSKPR >KJB73956 pep chromosome:Graimondii2_0_v6:11:59417430:59418730:1 gene:B456_011G263400 transcript:KJB73956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVLKSLANSTFLVLFIIFSSSTPLVLAQCEAETKTNGCHNYRESMKLKIIAIVAILLSSMIGVCLPLFSGQVPSLKPDRDLFTIVKAFSSGVILATGYMHVLPDSFNDLMSGCLPENPWRKFPFTTFVAMLSAVLTLMVDSFAMSVYKKRCGKALMADANNGGGLENTNVVQVDNFEHGHSHSLEMNDDVSSQLLRHRVIAQVLELGIVVHSVVIGLAMGASGNQCTIRSLIAALCFHQMFEGMGLGGCILQAEYEIKMKAIMVFFFSATTPLGIVLGIGLSKVYSETSPTSLMVVGLLNACSAGLLNYMALVDLLAADFLGPKLQTNMKLQAWSYVAVLLGAGFMSLMAKWA >KJB74288 pep chromosome:Graimondii2_0_v6:11:61771114:61774307:-1 gene:B456_011G285400 transcript:KJB74288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IILPWISCFNLKGLNLLGLGHAATVVGGNDTDLQALLQFKAMITGDQLKVMNSWNSSIHFCQWHGVTCGRNNRRVIKLELQFLKLLGSLSPFIGNLSFLKELNLASNNFHNQIPQEIGRLRRLETLQLSNNSITGEIPSNLSSCSKLTFVSMRGNQLTGEIPASLGLLANMKTLSFAINRLRGSIPPSFGNLTSLEALILRTNALSGVIPEDIGRLPNLSFFSVEENAISGIIPVRMFNLSNIRSFDIGGNNIQGTLPSNLAITMPYIDFFSVWENQLSGKIPISISNASNLNVLQLHMNRLIGNVPSFEKLDKLSILLLGANHLGKGTEGDLNFLCSLVNNTKLDSLDIRTNNFGGVLPECISNFSSSLQFLEIENNKILGRIPDGIGNLINLGVLQVSQNQLSGPIPVNIGRIQKLNSFDARNNFLTGTIPYSIGNLTGLTLLALGVNNLQGNIPSSLGNCQNLLVLGLSYNNLSGSIPPQVLGLSSLSILLNLSSNYLTGELSVDVEKLTNLGDLDFSKNKLSGLIPKSLGSCVRLERLFLGGNLFEGPIPSSLSSLRGLVELDVSENNLSGGVPEFLVSFKALKYVNLSFNNFEGVLPSGGLFKNASAVFVEGNNKLCGGIPELQLSRCNSKKSSKTSLRFKIVIVVVVVVVVILGVTLVLSIVLIIWFRKRKVQQPISTFAENSLLWLSYQRILKATNEFSMRNLVGSGSFGSVYKGILEESGVVIAVKVLNLLDHRSSRSFLVECETLKNIRHRNLVKVLTAISGVDYQGNDFKALVYEFMVNGSLEDWLHSPTGTSELEMMRKLNFFKRISVAIDVAHALEYLHHHCETSIIHCDLKPSNILLDEEMVGHISDFGLAKIISADEPNCSTKMSSSLGLRGTIGYAPPEYGMGSELSTKGDVYSYGILLLEMFTGKRPTDERFREGLSLQNFVKAALPERIIEIADPILVQERVRRGTPNVNNFRNDRYLQCLNSLFEIGLACSAESPNERIDMSDVATKLCSIKDKLHSTRLPREVRT >KJB69863 pep chromosome:Graimondii2_0_v6:11:3617250:3619922:-1 gene:B456_011G046600 transcript:KJB69863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIAGSYERFIWGFKLKPLTHDSPSQSLTLTQIFSYPSHIAPITTVAAAGPAAASGSSDDTIHIYDLSSAASLGPLHHFSSSITSLSFYCPPNLSFPRNLLSAAADGTISIFDTEPFVLLKSFRSHKKCINDLAVHPSGKLALSVSRDGCLAMSNLMRGKRSFCCRLGKEATIVKFDGSGERFFMASEEKIGVHLAEDARLLFELDNQKRVLCAAPGEGGILFTGGESRSITAWDTNSGKVAYCIEDAHSTRLKGVVVLTKDGGSDDEPYLLASASSDGFIRVWDVRMAAKEKPNPLAEANTKSRLTCLAGSSLKSSKRPRIGKSTPKEEDVAEV >KJB69864 pep chromosome:Graimondii2_0_v6:11:3617423:3619736:-1 gene:B456_011G046600 transcript:KJB69864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIAGSYERFIWGFKLKPLTHDSPSQSLTLTQIFSYPSHIAPITTVAAAGPAAASGSSDDTIHIYDLSSAASLGPLHHFSSSITSLSFYCPPNLSFPRNLLSAAADGTISIFDTEPFVLLKSFRSHKKCINDLAVHPSGKLALSVSRDGCLAMSNLMRGKRSFCCRLGKEATIVKFDGSGERFFMASEEKIGVHLAEDARLLFELDNQKRVLCAAPGEGGILFTGGESRSITAWDTNSGKVAYCIEDAHSTRLKGVVVLTKDGGSDDEPYLLASASSDGFIRVWDVRMAAKEKPNPLAEANTKSRLTCLAGSSLKCDF >KJB70730 pep chromosome:Graimondii2_0_v6:11:9335505:9336692:-1 gene:B456_011G089300 transcript:KJB70730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress-response A/B barrel domain-containing protein HS1 [Source:Projected from Arabidopsis thaliana (AT3G17210) UniProtKB/Swiss-Prot;Acc:Q9LUV2] MEEAKGVVKHILLAKFKDEIPPEKIEELIKGYANLVNLIHPMKAFHWGKDVSIENLHQGFTHVFESTFESTEGIAEYIAHPAHVEFANLFLPSLDKVIVFDYKPTVVRC >KJB70763 pep chromosome:Graimondii2_0_v6:11:10174914:10175372:1 gene:B456_011G093600 transcript:KJB70763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIKFETLRMWKKETIGEFYAKLCDLSNQVFALGNEYSNSKLRFFIKVTSIEEAKDIDSMRIDELIRSLQTFEINLDESRRSRSKGEKKLQEQIALLTKVFNKAFEKQARKKKKLEIVKNFT >KJB69500 pep chromosome:Graimondii2_0_v6:11:1989583:1991484:1 gene:B456_011G027000 transcript:KJB69500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFEKATGNPPEELRLPSVGLDSDGKGTREEILQTFRLLWPESTFYHLSNGNFMTLSHGAQSPLHPRMYQQDREGSIQLKWGMAGDGSLVLSDDPNTIEKACGKSSASFPPGCIFMNGNGLISIDHPLHKVKAIACQDDDGKICGVMFQVDLFTRLQSIPRNGSAANWADTAIVEGD >KJB73881 pep chromosome:Graimondii2_0_v6:11:58814280:58814854:1 gene:B456_011G258300 transcript:KJB73881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSELSTNGDVYSYGILLLEMLTGKRPTNERFKEGLSLHNFVKAALPNRVVEIIDPILLQESVRGGTVADITLNENNLGNDEYLQCLNSIFKIGLTCSTESPSERMDMSDVVTKLCSIRDKLLRPTRFYRGIRTAYDTKPTVAGI >KJB69412 pep chromosome:Graimondii2_0_v6:11:1963254:1965639:1 gene:B456_011G026500 transcript:KJB69412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGDKRMEEKDEGLAMANDFFWSYTDEPHASRRRQILSKYPQIKELFGPDPFAFLKIGVVVLIQLWTATILHDASWLKILIIAYFFGSFLNHNLFLAIHELSHNLAFSTPIYNRWLGIFANLPIGVPMSITFQKYHLEHHRFQGVDGIDMDVPSYVEARVVTNAFSKSIWVIFQLFFYALRPLFLKPKPPGCWEFINLFSQLALDVTMVYFHGWKSFAYLILSTFVGGGMHPMAGHFISEHYVFKPEQETYSYYGPLNLLTWSVGYHNEHHDFPRIPGYKLHKVKEIAPEYYEGLESYKSWSQVIYMYIMDQTVGPFSRMKRKISKKSE >KJB71227 pep chromosome:Graimondii2_0_v6:11:13734036:13745037:1 gene:B456_011G111800 transcript:KJB71227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Related to KPC1 [Source:Projected from Arabidopsis thaliana (AT2G22010) UniProtKB/TrEMBL;Acc:F4IIK4] MAEDSLRIGGLSSGLAVILNSGDGKENPSKPRLVSYSDEFGQQSVERALEYVFGLPNKSIGPLSGPVDSSLVRSIIKNYLYSDSDSLVSNRDGVCISDNGSGPGVIGLEKFSICGEIRIVKPPLLLESLAVFSSARANAYVWKGKWMYEVILETSGIQQLGWATISCPFTDHKGVGDADDSYAFDGRRVRKWNKEAEPYGQPWVAGDVIGCCIDLAHDEISFYRNGVSLGVAFSGIRKMGPGFGYHPAVSLSQGERCELNFGARPFKYPIDGYHPLQAPPPSSSFVKQLLDCLSRLLDMQSVERAEHSSVERLRRLKRFVSLEELFYPVSHGICEEFFSVVEADCQGAEYIGWGPLLLFFMGVFGVRAPHDWLSLDRVLDVFLEFQGSHVMFEHIINALSCACKTASLVLTECPYSGSYSYLALVCHLLRREQLMVLWWKSSDFGFLFEGFLSRKSPNRQDLQCMIPSVWWPGSCEDVSTESSMLLATTALSDAVSKIEEKHRDLCLLVIQFIPPLSPPQFPGSVFRTFVQNLLLKYRGADRNMPPPGILSNSVLVSLYTVILHFLSEGFGVGNICGWLKSCDSSGHDIGFLHRGGCQSFPIGLFLKNDPHRAELSRLGGSFSHLSKSHPMHDQEAEVIRWEEGCMDDEETRVTHLTKQKPCCCSCYDMEFTKCSKYPIRTTTKSSRHHCSAIPERSAQVAAECSTGSLNEEISDKPSSSDQSESEFGYRPVQHMRTVPRDSDLASTTLREEELLDALLLLYHIGLAPNFKQASYYMSHQSQSISLLEETDKQIRERACKEQLKRLKETRNNYREEVIDCVRHCAWYRVSLFSRWKQRGMYATCMWVVQLLLVLSKLDSVFIYIPEFYLEALVDCFHVLRKSDPPFVPPAIFVKQGLTSFVTFVITHFNDPRISSADLRDLLLQSISVLVQYREYLAAFESNEVAKQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSSIIFQGLLREACISDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKYQVLEFQQRKCCVIFDLSCNLARLLEFCTHEIPQAFLSGPDTNLRRLTELIVFILNYITSASDVEFFDLSLRRHGQSLEKVNRGMILAPLVGIIVNLLDASTDSKFKEHNDIVGVFANMDCPETMHYGLQYMLEYNWATSFRGEAYVPKLCRLENFLALLISHTDSKKIEGLECGENNADDGMCCICYASEADAQFIPCSHRSCYVCITRHLLNCQRCFFCNATVLEVVRTIENTVER >KJB74108 pep chromosome:Graimondii2_0_v6:11:60534096:60536482:1 gene:B456_011G273100 transcript:KJB74108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIEIYLLIFLLLIFVITKLLNRTRCKHMNLPPSPPTLPILGHLHLLKEPFNRSLFALSQKHGPIFSLRLGSRLAIVVSSPSVVEECLTKNDVVFANRPYFWVGKYIGYDYTTLGSSPYGDHWRNLRRICKFEIFSANRLNSSSSIRRDEIKNLLRKLYYSSSDDNFVKVELKPLLSKLAFNITLRMIAGKQHKPEAVKLHGLLQELLKLGISPNVGDFFPFLQWADFFGYKKKVVKLTREIDGLLQGLVDEHRRSKYGFEKEDTMISHLLRLQDSEAQYYTDEIIKGIIQDMLLGGTNTVVITLEWSMSHLLNNLNIMQKSKSELDFHIGHGRLLDETDLPRLRYLQNIISETLRLNPAVPLLVPHVSSDRCNLLGYNIPKGTMLLVNAWAIHRDPKVWNEATCFKPERFENGRAEGYKMMPFGLGRRACPGMDLGQRVVGLALGSLIQCFEWKR >KJB72381 pep chromosome:Graimondii2_0_v6:11:40248296:40253345:1 gene:B456_011G175000 transcript:KJB72381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSDGGQKKRLIASLCAVVIFLGFLYVYYGSSSRGAADLGYGSKSLRKLGSSYLGGDDDTDGKQYESSTKFRQDEGEDIVPKTFPVCDDRHSELIPCLDRHLIYQMRLKLDLSVMEHYERHCPPPERKYNCLIPPPPGYKVPIKWPQSRDEVWKANIPHTHLAHEKSDQNWMVVQGEKIVFPGGGTHFHYGADKYIASIANMLNFSHNNLNNEGRIRTVLDVGCGVASFGAYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWREMSALVGRMCWRIAAKRNQTVIWQKPLTNDCYMEREPGTNPPLCRSDDDPDAVWGVPMEACITPYSDHDQKAKGSGLAPWPARLTAPPPRLADFGYSSEMFEKDTETWRHRVESYWNLLSPKIEDDTSRNLMDMKANWGSFAAALKSKNVWVMNVVPEDGPNTLKVIYDRGLIGTTHNWCEAFSTYPRTYDLLHAWTVFSDIKKKGCSAEDLLLEMDRILRPSGFVIIRDKQPVIDFVKKYLSALHWEAVATADSDNEGDDIVFIIQKKLWLTSESLRNSE >KJB74275 pep chromosome:Graimondii2_0_v6:11:61696410:61697388:-1 gene:B456_011G2845002 transcript:KJB74275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNPDVVRRVQDPFFLTQIAQTFKDVSNAIDKFAPWSGAWVSESGGAYNSGGQLVSYTFAFGFWYLDQLGMTSVYNHKVYCRQALTGGNYALLKTTTFVPNPDYYGALLWHRVMGSKVLSVTHKGSPYLRVYSHCAKKEPGVSFVFINLSKNTSFEINLYHDQNLNGGSPNFEFKGHKKREEYHLTPKDGNILSSIVLLNGTPLELSDSLEIPELKPKLVDGLKTISIATHSIAFVTIIDFNAPACS >KJB71587 pep chromosome:Graimondii2_0_v6:11:19863674:19865711:-1 gene:B456_011G131300 transcript:KJB71587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIVGAGPAGLATSACLNRLSIPNIVLEREDCYASLWKKRSYDRLKLHLAKQFCHLPYMDFPPNAPTYVPKNGFIDYLDNYVSHFGITPRYLRSVESVIYDSDAEKWQIVVKNMTTTDNVIETEVYTSRFLVVASGENSQGTIPDIDGLDSYGGEYIHSNQYENGRKFRGKDVLVVGCGNSGMEIAYDLWNWGANTSIVVRNPVHVLTKEMVKMAMIMSQYLPCKAVDIITVAISRLRYGKLSKYGIRMPTKGPFHLKETTGRSPVIDVGTISKIKSGEIKVLPGMECIKDNEVVFTNGATVQFDAIVFATGYKSTVRNWLKGSYESFDEKGMPKRSFPYHWKGGNGIYNAGFSRRGLRGISSDAQNIANDIYINITAD >KJB73490 pep chromosome:Graimondii2_0_v6:11:55631565:55633630:1 gene:B456_011G235500 transcript:KJB73490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGGQSLALTTTTIAIQILTFSLIFSFSFALLETPQEPTIHQVTENSDIPTLKTKFTRNHVHKQFRVFMVTYGKNYSTREEYMHRLGIFAKNLVRAAEHQLLDPTAVHGVTQFSDLSEEEFESLYTGFKGPTVAAPLLRDGVGGEAEVLEVDGLPESFDWREKGAVTEVKMQGTCGSCWAFSTTGAIEGANFIATGKLLNLSEQQLVDCDHMCDIKDKDACDNGCGGGLMTNAYKYLIEAGGLMEESAYPYTGERKKCKFNPEKVAVEVVNFTNIPIDENQIAANLVLRGPLAVGLNAIFMQTYIGGVSCPIICGKRWINHGVLLVGYGAKGFSILRLGNQPYWIIKNSWGKRWGEHGYYRICRGHSMCGINTMVSAVATQVY >KJB71592 pep chromosome:Graimondii2_0_v6:11:19951297:19953000:-1 gene:B456_011G131800 transcript:KJB71592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETMVIIVGAGPAGLATSACLNRLSIPNIVLEREDCYASLWKKRSYDRLKLHLAKQFCHLPYMDSPPNAPTYQQFVPRKGFIYYLDNYVSHFGITPRYLRSVESAIYDLDAEKWQIVVKNMATTDNVIETEVYTSRFLVVASGENSQGTIPDIDGLDSYGGECIHSNQYENGRKFRGKDVLVVGCGNSGMEIAYDLWNSGANTSIVVLNPVHVLTKEMVKMAMIMLQYLPRKAVDKITVAISKLEYGKLSKYGIQRPKKGPFHLKETSGRSPVNDVGTISKIKSEEIKVLPGMKSIRDKDIVFTNGIFATGYKSTVRNWRKGSYESFDEKGMPRKSFPYHWKGRNGIYNVGFSRRGLRGISSDAQNIANDIYSNTTVALGFNN >KJB73172 pep chromosome:Graimondii2_0_v6:11:52695375:52696377:-1 gene:B456_011G219400 transcript:KJB73172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETNNIREIVQHDFPKTNKRKLQKPSDLEEMWGWLNSDDQSQKDEQICRKSDTDAEIEAIFDKVKRRKKMEETSSPGIGLLVEKKIQNKPAIRKIQMLPLLTDFLSKKKMQQEFLDHGILTLLKSWLDPLPDGSLPNATLRSSILNILTQVMPVDISLEDGREQLKKSGLGKVIMFLSKSDEETTANRKLAKHLVQNWCRTIFNKTTSYCNLRNSVIPRMKKPLMKQSTRAELREADLDLEGPRRPCSSGTASGSVSVPEPAPCVYEVNPLTNFKPEFARRYRGSREVRESECFERIEKKMSGLKKSNKKKTLQAPKPAVL >KJB73761 pep chromosome:Graimondii2_0_v6:11:57572633:57574249:-1 gene:B456_011G249500 transcript:KJB73761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENLRKAARTGKVNELYRVIQRNGNVLRRVDEVEFIDTPLHIAAEEGCIEFEPTSHKEMALRFLEIDKQLIRVRGKKGKTPLHYLCKVGNQLGLLDTFLEASPDCIQDVTIENRTALHIAIQNNRLDVLQLLIRTLKRKDYYWEVVNWKDKDGSTALHIAATHDQPQMLKLLLDCKADKHATNQVGWTALVIAQRHNNRENIAILQGSFIPVVSNFKRKLEKHVVKYVTKASLLIFQNMDNISADDRNALLVILGLLLTATYQATLSPPGGVWQGENTSKSKGSFDKWVLGKSVMNQSSFLLFYIPTYLVFLVTLFLTLALLKTFPREFRTALQVLLAFLAVSFDGSISYIAPTNLAYVILNIFSGILFLLMLSMCIVCRVSKISVSIVGCWIFPSILEFWKGTIFVVCYCLFVSIDIFGKGISHWYLAAFIVCWLFLSLGRLCIMRRTQFCNTHS >KJB72720 pep chromosome:Graimondii2_0_v6:11:46460960:46465329:-1 gene:B456_011G192700 transcript:KJB72720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVIRVHKNRASKGGPICVRRKLNRTIRDHRDLFKLVVTSRISLSDSINSMVELINAQLNSEGAMIHHFRIASRWRSPGLIGSKSGVLDNTWTQ >KJB72636 pep chromosome:Graimondii2_0_v6:11:45021128:45023689:-1 gene:B456_011G188500 transcript:KJB72636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPSVFSRLSHDVMNNSCSRPLIALTVRFISKSSSDPSQSFVVAYLKDKLGFSPESAMAADKYLLFKTPEKPDSVLEFLQKHGFSKTQIEKIIKVRPGLLYSNAEKTLLPKLQFFQSRGVSSPELIKILFYNPKVLTRSLEKKIIPCFNQLSNLLQSDYNAVKAIKRYPFLTSCPLDVYMLPNISFLRDNGVPESNIISMFICHPRSFVVNPDTFKEIVKEVKEMGFDPLLPKFLFAVVVFRKISKPAIERKFEVYKKWGWSEKEIWEAFRLYPGVVESSEEKIAGIMDFLVNEMGFESLLLANQPFVLARSLEKRIVPRGLFAQDLLSKGLIKSFGLSALFNTSEKVFVERFVNRYEDKAAELLSLYKEKMNLAVGVIQACKSEPETEVDAWKN >KJB73782 pep chromosome:Graimondii2_0_v6:11:57920828:57922135:1 gene:B456_011G251500 transcript:KJB73782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLWSDRKHVFPENIVHVAASAGHIEFMMEMIKLKPTFARKLNQAGFSPMHLALQNDRTHAVLRLLRFDEGLVRVKGREDLTPLHHVVQTGNVDLLIKLLAVCPEAIEDVTVRDLAVKNDMFEAFQVLVGWLIRSRHGAAQRWEKELLSWADIDGNTVLHIAAIRHRPRVMEVLLEHLHPYQINAKNLEGLTALDIQSQYPWNERQADRTIDMLSKAGGLSGSSSSLPNTSTSSFHIDSLKEKMTWYEKWIKAGRRMKGMPHEMRN >KJB71266 pep chromosome:Graimondii2_0_v6:11:14503212:14504355:-1 gene:B456_011G113700 transcript:KJB71266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAVEVEDDLFFADLSKQISLLIMDDDDDSVVRYPSVSLQAFTGANYPIAQCPFVEEQMCRRESKGTGVFIPKSSQQPRRKHRQGRYSSSLSSKSNRQLSNSAQMASQASFNNIFYTRRG >KJB69818 pep chromosome:Graimondii2_0_v6:11:3312189:3314568:-1 gene:B456_011G044100 transcript:KJB69818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVRGRRVAFGYGNLLCNNNCKPSLCKSSPPLSAIDRFLWGQTQSLSSHSQAAHQPPYSVQNNVKINKGTVLGSTAAALLRGFSFPSDAIGGYLPRQTNFEESFLDGLFVDGEILALTEDKNPNKEMKASMKGDFPKGVVKRNKKVASAALIKGQWTDDEDRKLIRLVKQYGVRKWAQIAESLVGRAGKQCRERWHNHLRPDIKKDSWSEEEERILIEAHAKVGNRWAEIAKFIPGRTENAIKNHWNATKRRQNSRKKNKQNDNQNGKPQSSILQDYIRSQNLNTPTNSSTTSATPSSSTFSEDLSTQFKYFLPEPSESDDSHPLVVQTYDDELMFMQNFFANNNNTIHPSLDYSQTGNPTEVFKPVHFVDHNLSKGSSTIDCPTFADTRFGFSSIQEPKNEPRTTYLFSDLYLSRLLNGATTSSFPNGDGYYNGDVNTNLLSGQASSDGRKEMDLIEMVSSSQFYT >KJB70186 pep chromosome:Graimondii2_0_v6:11:5162458:5195545:-1 gene:B456_011G062600 transcript:KJB70186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDGSFSLELSLQRFFARCPKVGRVRRFQSLVEKGCLVTEEEVVSSLAELLLHPKYTIPLIGCFRPIARRVVDKAVTLLRLVPSLRSNLGASVAESGYLDDEEVINVIEFHIQHGRGLDLHELACLAFCRALDLAPFLLGSALNYFKFAPPPFERILMKGSDLELSAEVITHYLHVVRTSYRLLVVETNVFSKYWDWSCFLDFVREVVNLDQGSDISFQKDISDIRWCGIRILSVILNMNDKAVSKFGVGAEEAHSCFLRWEEFCQDIAIEKAGAYFGTFEHNKLDSENIELSFSQENYQQSCGLCSFTSSQFHESEPPLRNQRLVEWGDKSAGIPFVMTSRSKRSFEMVLLAVSQKWPVLLYGPAGAGKSALISKMASDSGNHVLSIHMDDQIDGKTLVGSYVCTEQPGEFRWQPGSLTQAVLNGFWVVFEDIDKAPSDVLSIILPLLEGSSLFITGFGEEIRVAESFRLFSTISTSKSDISHGIEGGNLNGVLWRKVMIEPPSNLDLEKIVAAWYPNLEPLAKKLVETFEGVNSVYLHQSVDFNPGKSVSLSSLSKFSLRDLLKWCKRIAGLGYSFTSDVLPASERSCIYQEAVEIFASFSTSVGNRLAIMKEVAKKWAVSTYQAETLYPHDEPIIKDLLSELRIGRVTLQRTEATLYDEKRPFVKIRSSLHILERIACSVKYNEPVLLVGETGTGKTTLVQNLAMRLGQKLTVLNLSQQSDVADLLGGFKPMDARSICIPLYNEFKFLFSRAFSLKGNDEYFARLQELLCSKNWEKLLRKLKNGVNLFKKLIEEERSGSARKRKKPLDVEKKVKAWEDFSARLETAHRQIASSGMVFSFVEGVFVTALRNGQWVLLDEVNLAPPEILQRVIGVLEGENGSLCLAERGDVSNINRHPNFRVFACMNPATDAGKRDLPYALRSRFTEYFVDDILEDQDLDIFIQKFLGDSGSGSDLVERIRCLYKVAKKDSEERLQDGANQKPQYSLRSLYRALEFTRKAERKFGFQNAIYDGFYMFFVSLLDRPSAKKMKERILHYLLRGSKPSYVPFHQYIMIKENSSSNEFLKNYVLTETIKKHLRNLSRAVFIKRYPVLLQGPTSSGKTSLVQYLATITGHEFVRINNHEHTDLQEYLGTYITDAHGKLVFQEGVLVKAVRNGYWIVLDELNLAPTDVLEALNRLLDDNRELFVPELRETIRAHPNFMLFATQNPPTLYGGRKMLSRAFRNRFVEIHVDEIPEDELSTILKQRCQIPESYAKKMVEVMKELQLHRQSSKVFAGKHGFITPRDLFRWADRFRISGISYEDLARDGYHLLAERLRVEDEKRVVQEVLERHLRVKLVKDDLYKSELLGEEPVPESLGNVILTKSMRRLYFLVRRCYKFREPVLLVGETGGGKTTVCQLLSIALGLNLHILNCHQYTETSDFLGGFYPIRDRSRLSSEYELVIERLKPLKALINFPEELDISSDINRASSTLNQLNVISSKYRQGLLSNSGVTLQDIDNLEEVKQELDQLNRKWKTIFMWQDGPLVQSMKSGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGNFLENITAHENFLVLATMNPGGDYGKKELSPALRNRFTEIWVPSVNDLIELKSIALNRLSRFELSYIVSPMVNFYEWFNQLQIGRFLTVRDLLSWVAFVNVSKLGPEHAFIHGAFLVLLDGLSLGTGLSKKDCCKLRERCLSFLLELLQLDNKNFLYSKLSKMENYGWGDIGAPTEVNTDSMLHNDVFGIDPFYIEKGSEKIEARGFEFLAPTTRKNALRMLRAMRLSKPVLLEGSPGVGKTSLIVALGKFSGHKVVRINLSEQTDMMDLLGSDLPVESDEGMKFSWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGRTFSCPASFRVFACQNPSSQGGGRKGLPRSFLNRFTKVYIDELVEEDYLFICSSLYPSIPCPVLSNLISFNRRLHEDTMLHHKFAQNGSPWEFNLRDVLRSCQILQGTPVGSFINLIYVQRMRTAADRRQVMQLYEQVFGVKPSINPFPRVQLNPDYLIVGSAAIKRKFSQPLSDSSQLKVLPSVRCNLEAAAHCVQRGWLCILIGPPSSGKTSLIRLLAELTGNVLHELNLSSATDISELLGCFEQYNALRDFRLVVAQVGRFVNEYSSMVLETSMKTFLHDRKDLIARWLAFLSDVNSDLVPSSFMCSEISDGFYKSLSSLIEIIEQLKSDLGKNVLPISWAREDLERTMKTILKLQEHMKRPSSVKFEWVTGLLIKAIENGEWIVLENANLCNPTVLDRINSLVEPDGTITVNECGFVDGKPVVLRPHSNFRMFLTVNPSFGEVSRAMRNRGVEIFMMDPYWIFEEGSGYNSEELEMKDVQRFLVLAGIPGVKLVDSMAKAHAYARNEGLCLNVCITYLELARWVQLFQHLLMNGNQPLWSLQISWEHTYLSSFGEAEGINIVNHAKNAYLSVTELHASNSSLESSLCLPGGWPIPLTLRDFTWYSKGALVKQNCSYLEFLGAHYASHELAIGICPVEDMLHRFGCKRTYLLNSKMLHRTLYPHVSKRLTSDSDDKKEFNLNVVDKMLLFAANWVIEQATEDDFQLYLKWFSWFSFQLEPYGQFFESFLTSLEQERRHPIWTYIIHCRQELISLNQVNTNLHPTTMLSLELFNLTSSDHLSNSSSKLLYDAVRCVGLLRLSYQQWNTESRHKYTDESHCFIPFLEALHSLEEEVLRMLVGSTSFDLLYDFYTNLLEDHILFWEALISWQLEGLLLSGRSLLKDAEKLKEFCPTAVKNMLETKNLAEPLSLRFDWERSLLWVHGGHPILPPSPKLYYQQRQLLQFCELVWPTKGKLCTKANEIPIEIVVSVDPELRFIALEGICMSSFIMGKRDEDEIHVSHQMGEVYQMLLKRFEHEKCKFWLDIHPIVDCASCFLDMELLQELSLLMFVDSVDLQRKGLVDLSSLLDSNLKHSLTYSTRPPQSFVPHQKLLWLIDAWTSVDAVHAKVSSFVLEMWFWWHSFLWSQFTDPVKNFSVIDGYDVPLPNALFQPVRTASVAKILQSTHDIKDFSVLSMKLKVSSCVLSQISSPRTDICNFLFSAARSLFQQIIYSHKKSFDAEKFAAIKSILCSSSNSVTDKSIELVSLLIASSSHQCLKSLIPLHIDPLLKGLYLNGSSPESYLDLGIAWMHIGGLRFQLLLRCDSMDPAKKYSWKLSHLEEKIVSSKLEMKVRQECNYLAGWSSSKETDSRMSQALEKLEIERRKLLRKIVFRPDPAKFKALRKECDEFLVLVDSSTSLVKNTEGMELQQIVDKVCNWQETASCFIDRLSIEYSEYIDVAQPIQVAVYEMKLGLSLVLSSVLQKKYLDRIQEDNMDRVMESIHSFMRFPRGCPSELVSVNDRRLPMFSSLDMPCITKFSERELSLLEKLVTISSDVKTEKGSVLQLKAALYNNVLIRIAHLVATAKLMDDASFMLLDKIFSGFATIWMRMKIEGKNQEDLHGQSFKFRPRAFRIENVMEVDISALGKLLSSDNFTEWQQLLSDEESTEIMEEGERNENLQDEWSLMEESILINMIHIHNQLFGSSDLVLTPGSFQITDVDRLHSFCGSYTLGVGMIKGFGGLFSSTLDAKLVPEHLLRLWWEYEEKFPSSHKAACKYNFYKDSNTYVMAKMVELLITLKKRVLTLLSEWEDHPGLQKVLDVIEMLLAIPLCTPLAKALSGLQFLLNRTRILLENGSKFSLSEQLEPLISLVCSWQKMEFDSWPVLLDEVHDQCDVNAAKLWFPLYSVLHPRHSSDSAGHDQSTIASLEEFIQTSSIGEFRKRLKLLFAFLGQIISGRSLGIYSSPWQEENIKILYNIFGFYVQFLPLVMELIEANRKKIETELKDLLKLCRWDRFESQLSFDHLRKPRQKIQKLIQKYSDMLQHPIMLILNEEAGQKGLKIVSMQSPKPLNNTSESIRMLNSVLNLTQFNDEYRSLWYTNWGKKVNDTLQNLHLEKITELHFINSEGVTRQFSFSQNACLSFQDEWNGLWHTLEKIGRTTMDCGDLWMDVNRSQGKKRALSELLKLLESTGLHRHKFEIMEISNPSSWLFLQPSYDAKHLLMARTRLPNGVADVASNVEKCLPKENLESEWKRVNEFYFKSLAAVQVLQQIRLKHHQDFTSEQVSRSVSYLSHLLIILQMQREAAYDFARQLNTLHKYATALESSFSLCTDSFGKTNGGCVFAKSQHATFNSLWQQKQLFDNLDAMLIEESLLLRTVESTHLNSCQNVKAVANRVLGVIEEFIPTLKKSKELLDNYFVGCDGSIVTLAGTIHPCVISEQMEQLVLHNFQVLQEFEQKLSVSVKGDFEKNSIIESVLSHFGERFKKGKLIAEWFRIAFDKESECKNLHELAGPCEKCPELEAQFGDAFKRTITHVMDVLQKLGSLDNHVPQPEAQSASITAWESLFKSVNLRVDELCDKVLETIQFAEYLINHSGKNVFSLSLHCGTLLKCIHALLDLISSFSDSFLEDFLVMHKTVSVVTHGLANILAALFAKGFGVSPKDQEDDTSHDMTRDASGTGMGEGAGVNDVSDQINDEDQLLGASEKPSEEQDASNDVPSKNEKGIEMEQDFAADTFSVSEDDSGEDNDEDTEDQQLESAMGETGEKSEVVDEKLQDKDDDENPNNNEKYESGPSVRNSDMSSREFRGKEDSAGNADEPEENKMNELGKETGESENQADVDENENIEDMNLNKEEAFTDPTGLELDELNQNSSEDINMDETDVKEDDGADEEEESAKDGTDEGNSNPVDETNEEMESERHDGAAEKDDMVDATSEKDDLGGDQEDPEMNQMAAKKNVSESEISDLNSDPVPDGGTATQPNSEALDVSNVAPEANWANSSDVYNDLAQRNLPSTNKSDLNIMVADSSDSGKFGDDHPKSEFPRPDDAPFKKKQSNPYRNVGDALQEWKERVNISVDLQDGNEESQEEIEDENANEYGFVSEFEKGTAQALGPATAEQMDADVNVKKPDENTVSEKEDGIIDMEIDEKNPEEHPIKHSSSVIKNREKEQVQVSEIEEQANHLSHGDCSHDDGDQRNISEGLISVKKSYLSEDVYQLNRLSIGEEEMGQVQDLEEAAGDVKNNATALWKRYELLTTRLSHELAEQLRLVMEPTLASKLQGDYKTGKRINMKKVIPYIASHYQKDKIWLRRTKPNKRDYQVIIAVDDSHSMAESGCSEVAVKALVTVCRAMSQLEVGNLAVASFGKKGNIRLLHDFDQPFTGESGVKMISSLTFKQENTITDEPVVDLLRFLNKKLDAAVTNARLPSGQNPLQQLVLIIGDGRLHEKENLKRCVRDVLSSKRMVAFLILDSLQESIMDLQEVITSQDNNNQFKISVSKYLDSFPFPYYVVLRNIEALPKTLADLLRQWFELMQSSRD >KJB70550 pep chromosome:Graimondii2_0_v6:11:7878112:7879515:-1 gene:B456_011G079000 transcript:KJB70550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTPLKLPVIDFSKPDLKPGSNEWDLVKGQVQQALQEYGCFEALFDKIPLQLREAIFGSLQELFDLPLQAKIRNVSNKPYHGYVGQYPQVPLYESMGVDDANITEKVEALTTTLWPQGNSSFSNTIQSFSEQLSELDQIVRRMILESFDLEKYMDEHMGSTNYLLRVMKYKGPKTTETKLGLHSHTDKNIVTILYQNEVDGLEVLSKEGEWINVKPSKQSFTVMIGESLYAWLNGRVHAPYHRVMMTGDKARYSAGLFSVPKAGYIIKAPDELVDEAHPLLFKPFDHVEFLGFYYTEAGQKAESALKVFCGV >KJB73200 pep chromosome:Graimondii2_0_v6:11:53015584:53016031:-1 gene:B456_011G221300 transcript:KJB73200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVKSSTALFFSLNLFFFALVSSYNVDNNPNGSSYPTKSRNPVVIRPGYKFPNDGSAQSYYGTCNPLNLGLIHGLADLEAAVCLCTAVRANVLDIKLNLPISLSLLLNNCGRRVATEYICAP >KJB69817 pep chromosome:Graimondii2_0_v6:11:3306810:3311360:1 gene:B456_011G044000 transcript:KJB69817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEPLPSRWSFLFGRKRLAEPQDDQAADALVSNGTDSNVTSNGNHSVKNTSEMAIYEQYRKQDANGVHSNGAVSNGFDSRPQRSLLPAFETAEMRALGESLSRDIVRGNPDVKWESIKGLESAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKLIKVLFDLARHHAPSTIFLDEIDAIISQRGGEGRSEHEASRRLKTELLIQMDGLTRSDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARRAMFEELLPEQHGEKALPYDTLVERSEGYSGSDIRLVCKEAAMQPLRRLMSVLESSLEQLPDNELPKVGPITPDDIEIALKNTRPSAHLHAHRYEKFNTDYGSQILG >KJB73124 pep chromosome:Graimondii2_0_v6:11:52014966:52017414:1 gene:B456_011G216300 transcript:KJB73124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPQQMQELDQNGNPSDITNVVRWLSFQGCAFRGYDENSGSKNRGNFLELLSLLESYDEKVEDVLKSTPQNSSYTSSTIQKEILQIYASRIRNVICEEIGDRKFNIILALVAAAREVFEVHQFFKDLFDIVNITSASSKRHNELQKAQAGEITRLVSINELATGTRMNQIGTLQSPVLGITDNLCQALQHRSQDILNAISLVLTTKDLIQKLKDDGWNELLKNVISFLEHHYRVDIFFDTIDAQLQELKSRFNEHVVNLLTLTTALDPKEFFKLFDIDKICILVNKFYREDFSQQEKERLLYELKNYELDVCKHPGFRKISTLSELCRSLVESGKSVMYPLVDRLISLILALPVSIVSSKRVFSTMNIVKTRLCSKMEDDFFKNFFCCVH >KJB70630 pep chromosome:Graimondii2_0_v6:11:8552602:8553691:-1 gene:B456_011G084100 transcript:KJB70630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGLGVDGEYIYEQEKHLTVLKTSLFFANDGFIVYDCKGRLLFRVDSYGPLPRDKGQLVLMDAHGKCLLTLRKKRPSLHQRWEGFVGERSEGQKPIFSVKRSSIIGRCGMIVEMLNNPGEEYQIEGNFGQRSCKILNARKESMAEIKRKVDASRKVVLGKDVFLLSLKPGFDGAFAMGLVLVIDQIYGDDYVQNYYEAETFPTTHR >KJB71297 pep chromosome:Graimondii2_0_v6:11:15076393:15077135:1 gene:B456_011G115600 transcript:KJB71297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMGVVATGDKAWTRSSGTLPSECFKDVDSDMREENEEENVINDVHISNDVHIDGNNKKKHLRQELYILKLEERNPQSKLKGLQVYPIKLKNYAMQLTI >KJB72144 pep chromosome:Graimondii2_0_v6:11:29948220:29951049:-1 gene:B456_011G161300 transcript:KJB72144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVEEVRKAQRAQGPATVLAIGTSTPPNCVDQSTYPDYYFRITNSEHKTELKEKFKRMCEKSMIKKRYMYLTEEILKENPNVCEYMASSLDARQDMVVVEVPKLGKEAATKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRVAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIIGADPMPEIEKPMFELVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLVEAFQPLGISDWNSLFWIAHPGGPAILDQVEAKLALKPEKLRATRHVLSEYGNMSSACVLFILDEMRKKSREDGLQTTGEGLEWGVLFGFGPGLTVETVVLHSVAA >KJB70031 pep chromosome:Graimondii2_0_v6:11:4293134:4295937:-1 gene:B456_011G054400 transcript:KJB70031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDSSDEDDDRRNLTYRNDTTRSSFNVEELEPQMRRRSKLTFHKGYLFALVLPLLIVFIYFSTDIRSLFTSDISSFKFNTVSDQIRESQLQALHLLNQQQSSLLSIWNHTFINSNNITTVQFDDIKATLLTQITLNKHMQQILLSPYKAGDTLQNGTALDPSSAGYGFHRCRKVDQKFSERRTIEWKPRRNKFLFAICLSGQMSNHLICLEKHMFFAAILNRALVIPSSRFDYQYNRVLDIEHINDCIGKKVVVPFEDFMKLKKNHAHIDKFICYFSTPQPCYMDEEHLKKLKSLGISMGKLEAAWKNEDVKNPSRKTVKDVEEKFGSDDDVIAIGDVYFADVERDWVLQPGGPIAHKCKTLIEPSKLILLTAERFIQTFLGSNFIALHFRRHGFLKFCNAKKPSCFYPIPQAADCITRIVERANSPVIYLSTDAAGSETGLLQSMIMLNGKTIPLVKRPPRNSAEKWDALLYRHGLEGDSQVEAMLDKTICAMASVFIGASGSTFTEDILRLRKDWGTASICDEYLCQGENPNFISGEE >KJB72289 pep chromosome:Graimondii2_0_v6:11:34616085:34621616:1 gene:B456_011G168900 transcript:KJB72289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS2 MEAGVTTTATTTASFSSILDKPLSQLTEEDISQLTREDCRKFLKEKGMRRPSWNKSQAIQQVISFKALLESNEDSGAGARRKILVCPPPSHFPPQNAVASNSGESVKEAVFGEEESLYGQKDLSLKAAPVVQMNCQGGDTDDKTLSPSLGSPREYSKLPGRSQCETNELGGQMTIFYCGKINVYDGVPLAKARAIMHLAASPIDFPQGNLCNQNGAFRSFLGHVQEAEDKNDLTSSIALNLNSHTMHTEKMTEYQQQFRGKANISRDSDVDGQVSRKESLQRYLEKRKDRGRFFKGRKNAGQALSSSEMYLNHQIRAHYLNGQTNQSRTSSPPQSGVPHAFYSSADNQELVNFSVDLNDEGGQEH >KJB72291 pep chromosome:Graimondii2_0_v6:11:34616262:34621606:1 gene:B456_011G168900 transcript:KJB72291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS2 MEAGVTTTATTTASFSSILDKPLSQLTEEDISQLTREDCRKFLKEKGMRRPSWNKSQAIQQVISFKALLESNEDSGAGARRKILVCPPPSHFPPQNAVVASNSGESVKEAVFGEEESLYGQKDLSLKAAPVVQMNCQGGDTDDKTLSPSLGSPREYSKLPGRSQCETNELGGQMTIFYCGKINVYDGVPLAKARAIMHLAASPIDFPQGNLCNQNGAFRSFLGHVQEAEDKNDLTSSIALNLNSHTMHTEKMTEYQQQFRGKANISRDSDVDGQVSRKESLQRYLEKRKDRGRFFKGRKNAGQALSSSEMYLNHQIRAHYLNGQTNQSRTSSPPQSGVPHAFYSSADNQELVNFSVDLNDEGGQEH >KJB72290 pep chromosome:Graimondii2_0_v6:11:34616144:34621606:1 gene:B456_011G168900 transcript:KJB72290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS2 MEAGVTTTATTTASFSSILDKPLSQLTEEDISQLTREDCRKFLKEKGMRRPSWNKSQAIQQVISFKALLESNEDSGAGARRKILVCPPPSHFPPQNAVAPSNSGESVKEAVFGEEESLYGQKDLSLKAAPVVQMNCQGGDTDDKTLSPSLGSPREYSKLPGRSQCETNELGGQMTIFYCGKINVYDGVPLAKARAIMHLAASPIDFPQGNLCNQNGAFRSFLGHVQEAEDKNDLTSSIALNLNSHTMHTEKMTEYQQQFRGKANISRDSDVDGQVSRKESLQRYLEKRKDRGRFFKGRKNAGQALSSSEMYLNHQIRAHYLNGQTNQSRTSSPPQSGVPHAFYSSADNQELVNFSVDLNDEGGQEH >KJB74162 pep chromosome:Graimondii2_0_v6:11:60884400:60885613:-1 gene:B456_011G276600 transcript:KJB74162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFEVPEALVMEILCKVPVKSLNRFNCLCKYWCSSFQTPRFISKHYHNNLKNNNLNPVLQRFDANANIPYFSQLSVEKDKNFLVKQNIRSPFFMHNTPYVWGARHGLFCLHKFKILPPSSVQRPTYLGLTCGFVHFDCGAFEFDSKTDDYKFIRFVTLSFVDSECETSSGDGMSQVELYSLKCDSWKEIPSPNYRPFDLYLSNNYLDGIFYWQTTTGNTPYEKILILSFDIANEKFSASPIPEFVGIYPQHDILEVLVFNGSLGVIAYTVERIDMSFDLWVMNGEVWTKQFSIESIPGVVRPLGFWKNGEMFLLNTKYEVVLFDPSTQELKVLRIITYLDHHRDLIPINGIQEHKSHIIRQLIKDASNKH >KJB72770 pep chromosome:Graimondii2_0_v6:11:47316288:47318739:1 gene:B456_011G196300 transcript:KJB72770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYLNCNTHFFFKFSLLFSLLSFSFSQSDVVEAPSPAADSCNGIFLSYAYSSGTKLKPTDPTHQPYRFESVLTVLNNGDEELKSWKVSVEFQNDEFLVSASNAVLADGTSLPANVGNGTVFAGFPMSDLKTAIETAGDLTQMQVQVKLLGTQFGVAAPDVPLPKNIQLANDGFICPKASMQGKNEMQVCCRKDPKFKANVTIDEEFLPRQSGDLTIMYDVTRTYDSNYWAQVTISNHNPLGRLDNWKLSFDWMRDEFIYTMKGAYPYVVDSSDCIFGTQGQHYRDLDFANVLNCERRPTIIDLPPTKANDTTLGLIPNCCRNGTILPPSMDPSKSSSVFQMQVFKMPPDLNRSELSPPQNWKINGSLNPDYKCGPPVRVSPSQFPDPSGLPSNTTAVASWQVVCNITHPKGASPKCCVSFSSYYNDSVVPCRTCACGCPSNTARTCSTTAPAVLLPPEALLFPFENRTAMAQAWADLKHRTXFNWDETAFPDWFAAVQMDEATRGFQKMYSFNGSALELNGVNNTIIMQGLPGLNYIVGETDGANPKKDPRVPGKQQTVVSFTKKNTPGINVAAGDGFPSKVFFNGEECALPSVLPTNNSNREGSTTILTIFLAVFVFIMLHQ >KJB72769 pep chromosome:Graimondii2_0_v6:11:47316040:47318227:1 gene:B456_011G196300 transcript:KJB72769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYLNCNTHFFFKFSLLFSLLSFSFSQSDVVEAPSPAADSCNGIFLSYAYSSGTKLKPTDPTHQPYRFESVLTVLNNGDEELKSWKVSVEFQNDEFLVSASNAVLADGTSLPANVGNGTVFAGFPMSDLKTAIETAGDLTQMQVQVKLLGTQFGVAAPDVPLPKNIQLANDGFICPKASMQGKNEMQVCCRKDPKFKANVTIDEEFLPRQSGDLTIMYDVTRTYDSNYWAQVTISNHNPLGRLDNWKLSFDWMRDEFIYTMKGAYPYVVDSSDCIFGTQGQHYRDLDFANVLNCERRPTIIDLPPTKANDTTLGLIPNCCRNGTILPPSMDPSKSSSVFQMQVFKMPPDLNRSELSPPQNWKINGSLNPDYKCGPPVRVSPSQFPDPSGLPSNTTAVASWQVVCNITHPKGASPKCCVSFSSYYNDSVVPCRTCACGCPSNTARTCSTTAPAVLLPPEALLFPFENRTAMAQAWADLKHRTVPNP >KJB74326 pep chromosome:Graimondii2_0_v6:11:61987801:61991449:1 gene:B456_011G288300 transcript:KJB74326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAADSATSTISAADTTAHLADLKHDAVEEPSSSSKSEEKIASEIEIGNLTINESNNKFFHDPESCNIKTITSGDTPYASAFTFEELNLLPELLKGLYVEMKYEKPSKIQAISLPMILNPPYLDLIAQAHNGSGKTACFTLGMLSRIDPKLKAPQAFCICPTRELAIQNLEVLRKMGKYSGITSECAIRAGFGNEIHTGKKQTIRPPITSQIVIGTPGTIRHRIRANKLSLSYLKILVLDEADHMLAEDGFKDDSVHIINKIRCVNPQCQVLFFSATFNETVKEFAAKIVKGNHNQLFVKKEELSLQSVKQYKVNCPDELAKVMVIKDRILEFGERVGQTIIFVRTRNSAMTLHWILVEIGYDVTTIQGALKQEDRDRIVKEFKDGLTQVLISTDLLARGFDQDQVNLVINYDLPVKHNHPTEPDCEVYMHRIGRAGRFGRKGAVFNLLCSDADKTIMTKIENHFGTEIAEVRDWRNEEDFVVALRSAGLL >KJB71668 pep chromosome:Graimondii2_0_v6:11:20957908:20960740:1 gene:B456_011G136600 transcript:KJB71668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMDSPNSPPNCSSFAIRETTHHKKMASLDPSNSPPNHSFALRDATAQCFLRSLSTKEASFNGFHCSPPRYSTPSLHTSPLSSPLRTFNPNPSNHTISLDASYKCLSSVLKKDGQILSIAISNGIIYTGSDTNLIRIWKLPEFSECGVLKTKASTVVALAVSHERVFAAYGDTKIRVWRRTWDGTLKHIKLATIPRISGYVRSYISSKDKMTRHMGPITSLAINISDNILYSASVDKTVKVWRISDLKCIENIPAHSEPINAIVVADDGILYTASDDATVRVWRRNFCRGEWPHSLMVTLPAKCSPVKTLTLTADGGVLYGGCTDGYVHYWLKGWASGQLQYGGALQGHTHAVMCLASVSNYVISGSADSSSRVWSREHDGQHVCLAVLVGHRGPIRCVTAFLGHAGEEVEDGCTICTGSLDGVLKVWRVSRTKRGSGGFVKNDYFELD >KJB69584 pep chromosome:Graimondii2_0_v6:11:2376188:2381622:1 gene:B456_011G031800 transcript:KJB69584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDASEEAKQIEKLYEFGERLNEAKDKSQNVKDYEGIIDATKTSIKAKQLAAQLIPRFFKFFPNLSSRALNAHFDLIEEEDLAVRVQAIRGLPLFCKDTKEYISKIVDILGQLLTAEEIVERDAVHKALMSVLRQDVKESLTALFKHIWNVEDPSQDDTIRDKVLCFIRDKVFPLKAELLRPQEEMERHITDLIKKSLGDVTGAEFRMFMDFLKSLSIFGEKAPPERLKELIGIIEGQADLDAQFDVSDADHIDRLISCLFMAIPFFVRGASGSKFLNYINKHIIPVFDKLPEERKLDLLKGLAEISPYTTPQDSRQILPSVVQLLKKYMPRRKTGEETNFTYVECLLFSFHHLAHKAPNATNSLCGYKIVTGQPSDRLGEDFSEYYKEFTERLSSIEDLTRATMKKLTQGMAEHNKAMAAAKSDEAKESIKTQKQNTTTGLRTCNNILAMTKPLHSKTPAFIGDKSVNLSWKEAVKPSVPSSATGTGVKRPSTAANGSNNFATKKGRGAGGMQNQLVNRALEGISYGGRGGGRGRGRGWGGRGRGRGYR >KJB69585 pep chromosome:Graimondii2_0_v6:11:2376262:2381593:1 gene:B456_011G031800 transcript:KJB69585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDASEEAKQIEKLYEFGERLNEAKDKSQNVKDYEGIIDATKTSIKAKQLAAQLIPRFFKFFPNLSSRALNAHFDLIEEEDLAVRVQAIRGLPLFCKDTKEYISKIVDILGQLLTAEEIVERDAVHKALMSVLRQDVKESLTALFKHIWNVEDPSQDDTIRDKVFPLKAELLRPQEEMERHITDLIKKSLGDVTGAEFRMFMDFLKSLSIFGEKAPPERLKELIGIIEGQADLDAQFDVSDADHIDRLISCLFMAIPFFVRGASGSKFLNYINKHIIPVFDKLPEERKLDLLKGLAEISPYTTPQDSRQILPSVVQLLKKYMPRRKTGEETNFTYVECLLFSFHHLAHKAPNATNSLCGYKIVTGQPSDRLGEDFSEYYKEFTERLSSIEDLTRATMKKLTQGMAEHNKAMAAAKSDEAKESIKTQKQNTTTGLRTCNNILAMTKPLHSKTPAFIGDKSVNLSWKEAVKPSVPSSATGTGVKRPSTAANGSNNFATKKGRGAGGMQNQLVNRALEGISYGGRGGGRGRGRGWGGRGRGRGYR >KJB73903 pep chromosome:Graimondii2_0_v6:11:59119172:59124712:1 gene:B456_011G260200 transcript:KJB73903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYDASITFTILMLIDVSRKKNIGLNLLSLATASPVVRGNDTDRQALLQFKAKITGDQLNIMESWNSSIHFCQWIGVTCGRKHRRVTKLKLRILKLSGSLSPYIGNLSFLRELDLAGNSFHNQIPQEIGGLRRLEALDLTNNSISGEIPSNLSACSKLIIVDMTNNQLTGEIPSLLGLLSNLKVLGFFHNRLIGSIPPSLGNLSSLVKLGLQNNALSGTIPEAFGQLRNLSLFSISGNAISGIVPVAMFNLSNIRGFDIGVNKIEGTFRSDLEINMPHLEYFSVGENQISGQIPVSIFNATYLNVLELTGNRFNGNVPSLEKLDKLYDLELGQNYLGHGREGDLHFLCSLVNNTSLEFLYIGGNNFGGEFPECISNFSKTLLQLGIYDNKISGRIPEGIENLINLELLVVVKNQLSGPIPFNIGRLQKLKIFLAYMNFLSRTIPHSIGNLTELIQLGLNFNNLQGSIPSGLGNCKKLLQMDLSSNNLSGPIPPEVLGLPSLSIVLNLSSNDLTGELSVEVEKLKNLGELDVSQNRLSGLLPKNLGSCVSLEKLFLEGNLFEGPIPSSLSSLRGLEALDLSDNNLSGGIPEFLVRFGALKYLNLSFNDLEGLIPSEGVFKNASATFVEGNSKLCGGIPELHLSRCNSKTSANTSLKLKITIIVVILGVTLVFSIFLIIWFRKKKEQKPTTTHVENSLLQLSYHSILRATNGFSPQNLVGSGSFGSVYKGILEANGAVIAVKVFNLLNHRASRSFLVECEALKNIRHRNLVKVLTAISGIDYQDNDFKALVYEFMENGSLEDWLHPSVGMNKSETMRNLNFFQRLNVAIDVAHALEYLHHRCETPIIHCDLKPSNVLLDGEMVGHISDFGLAKILSGEWPNYSTNESSSLGVRGTIGYAPLEYGMGSELSTNGDVYSYGILLLEMLTGKRPTNERFKEGLSLHNFVKAALPDRVVEIIDPILLQESVRGGTAADIILNENNLGNDIHLQCLNSIFEIGLTCSTESPSERMDMSNVITKLCSIRDKLLHPTRLRRGV >KJB73904 pep chromosome:Graimondii2_0_v6:11:59119172:59124712:1 gene:B456_011G260200 transcript:KJB73904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYDASITFTILMLIDVSRKKNIGLNLLSLATASPVVRGNDTDRQALLQFKAKITGDQLNIMESWNSSIHFCQWIGVTCGRKHRRVTKLKLRILKLSGSLSPYIGNLSFLRELDLAGNSFHNQIPQEIGGLRRLEALDLTNNSISGEIPSNLSACSKLIIVDMTNNQLTGEIPSLLGLLSNLKVLGFFHNRLIGSIPPSLGNLSSLVKLGLQNNALSGTIPEAFGQLRNLSLFSISGNAISGIVPVAMFNLSNIRGFDIGVNKIEGTFRSDLEINMPHLEYFSVGENQISGQIPVSIFNATYLNVLELTGNRFNGNVPSLEKLDKLYDLELGQNYLGHGREGDLHFLCSLVNNTSLEFLYIGGNNFGGEFPECISNFSKTLLQLGIYDNKISGRIPEGIENLINLELLVVVKNQLSGPIPFNIGRLQKLKIFLAYMNFLSRTIPHSIGNLTELIQLGLNFNNLQGSIPSGLGNCKKLLQMDLSSNNLSGPIPPEVLGLPSLSIVLNLSSNDLTGELSVEVEKLKNLGELDVSQNRLSGLLPKNLGSCVSLEKLFLEGNLFEGPIPSSLSSLRGLEALDLSDNNLSGGIPEFLVRFGALKYLNLSFNDLEGLIPSEGVFKNASATFVEGNSKLCGGIPELHLSRCNSKTSANTSLKLKITIIVVILGVTLVFSIFLIIWFRKKKEQKPTTTHVENSLLQLSYHSILRATNGFSPQNLVGSGSFGSVYKGILEANGAVIAVKVFNLLNHRASRSFLVECEALKNIRHRNLVKVLTAISGIDYQDNDFKALVYEFMENGSLEDWLHPSVGMNKSETMRNLNFFQRLNVAIDVAHALEYLHHRCETPIIHCDLKPSNVLLDGEMVGHISDFGLAKILSGEWPNYSTNESSSLGVRGTIGYAPLEYGMGSELSTNGDVYSYGILLLEMLTGKRPTNERFKEGLSLHNFVKAALPDRVVEIIDPILLQESVRGGTAADIILNENNLGNDIHLQCLNSIFEIGLTCSTESPSERMDMSNVITKLCSIRDKLLHPTRLRRGV >KJB73857 pep chromosome:Graimondii2_0_v6:11:58562644:58565333:-1 gene:B456_011G256500 transcript:KJB73857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYASVCHTDLLLANGFPIPVFPRVIGHEGVGVVESIGEGVTGLREGDLVIPTYVAECRTCENCMSEKTNLCLKYPTRYNGLMLDGSSRMTIGGQTAYHALSCSTWCQYMVINLNFLLKIDPKTPLPNATFLSCGFSTGYGAAWKEPMLQNASSVAVFGLGPVGLGAIKGAKSRGAIKVIGIDKNPMKEAKGQAFGMTDFINPEQSDKPIAELVKDLTGGMGVDYSLECTGVPPLINQAIQSTKLGTGKIIQIGAAEEANVNINILELLFGRTLKGSIFGGLKPKTDLPIIFEKCKNREIQLDELKSHEIKLEDGNKVSELLKQPDCVKILINI >KJB71217 pep chromosome:Graimondii2_0_v6:11:13312458:13313827:1 gene:B456_011G110900 transcript:KJB71217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDTVVFQQDPFTYGGLNDSFTLKQQHETSAQSRGFAFSATCSASPANGFAPPCRRKRGRNNNVKNREEIEHQRMTHIAVERNRRKQMSDYLGLLKSMMPTSYVQRGDQASIIGGAINFVKELEQFLQSLEARKTTEKNPENSSSVSSTFSGFFNFPQYSSTTHRSCAASAGESVASSSSVANVEVTVVESHANLKILTGKHPKQLLKMVNGIQSLGLLVLHLNLTSHEDLVLYSFSVKIEENCELRRVNEIAAAVYEMVDGFQEESAH >KJB71891 pep chromosome:Graimondii2_0_v6:11:23619873:23620780:-1 gene:B456_011G146000 transcript:KJB71891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCISTQAKLINSLSKRYYDRSGTKGKPKHARFDHSESRKSVSKCVSSTRKVLKNPSGKNIFDLYEIGKKNREAFACKKIAKAKLRTEVDLEDVRREVEIMRHLPKHPYIVTFREAFEDKEAIYLVMELCRGGELFDRILAKGHYSERAAATIIKTILEIVKENA >KJB71890 pep chromosome:Graimondii2_0_v6:11:23619442:23620780:-1 gene:B456_011G146000 transcript:KJB71890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCISTQAKLINSLSKRYYDRSGTKGKPKHARFDHSESRKSVSKCVSSTRKVLKNPSGKNIFDLYEIGKKNREAFACKKIAKAKLRTEVDLEDVRREVEIMRHLPKHPYIVTFREAFEDKEAIYLVMELCRGGELFDRILAKGHYSERAAATIIKTILEIVKVWPAKGSVWLLIICYF >KJB69107 pep chromosome:Graimondii2_0_v6:11:445614:449893:-1 gene:B456_011G005500 transcript:KJB69107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQVQGSVLGNTNILLCLSKAPSPPFPTLFPLRLHKAKRCCFVAAHSTSSTKATPLSSNHRESGRPLANFPPDIWGDRFLTLSFDISELNRCSRQVEELKETVKDILMASTTDPLHNVLLINSLCRLGVSYHFETEIEQQLTNCFDKLSKIIRNSAHNLHAIAIMFQVFRSHGYNMSSDIFNKFKDENGEFKVSDTKELISLYEASHFRINGELVLDKACAFTTSQLKSMVSRTSPHYAQYIENALYCPYQRGVPRLEARQYICFFEKDEDGDEARNDTLLKFAKYDFNRIQMMHQQELSNLCSEWKEENIESRLPYARSRIVECFFSAIAVYFEPCYARACNIYAKLLSTLVLTDDTYDAYGTYEELQYFTDAIQRFDIGVIEELPTNYLKLVYETILNIHNEAEDKMRKEGRSYAISYTINEFKKLAEAYFVERRWVHRSYVPTFDEYMDTAMTSSAGLVSVCQALVGMGEADETAYQWLINTDNKLHKALNKIGRLYDDLSTNEAEEKRGLVCGTSCYMKQYGVTRQEAVEAYREMIEIAWKDMNEGCLKPMPVSNKIAVRALNIARLVLVLYKKDDGLTRPELSLKDAIAKVLIHPIPL >KJB73699 pep chromosome:Graimondii2_0_v6:11:57091759:57092463:-1 gene:B456_011G244500 transcript:KJB73699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLAKEGMLGCLANPYESVEKLSDSFILLTTNKDTLLKPKYSSSLPANVPVSTSSPTAKEGYVKGVITYIIMDDLTVTPISTISIVAMLHKFNLEQVEDLEEEVVNVGMNEGLELLKSPLKSKTVLTDLFLTQKARKKRL >KJB73794 pep chromosome:Graimondii2_0_v6:11:58106002:58108083:-1 gene:B456_011G252700 transcript:KJB73794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSMIGAAETGNINVLYELIQKDPYVLERIDHVPFLDTPLHVAASAGHVDFMMEMVNLKPSFARKLNQAGFSPMHLALQNNRTQTVFRLLKFDEGPVRVKGRGGLTPLHHVAQTGDVDLLIKFLEVCPEAVEDVTVRDETVFHIAVKNDMFEAFQVLVGWLIRSRHEAAQRWEKELLSCADIDGNTVLHIAAIKNSPQVVKVLLGHLRRDHSNAKNLKGSTALDIQPDCPLDERQVDIYKGSVKDMIRKAGGLSDSKLPNKSISSIHIKSLKPKMSCFQKFATMAGRGRKGIPLEMRNTFLVVTVLIITATYAASLSPPKKADNSSSMKYHIKYYASLESTDSIAPLPGPPPSPADDQINWSDLIDVSSMFWLYNTLTFWAAIGLTAYLLPSRSISLFLLITLSLFGTCYMLLVAVSTWSWKLQYLVSLQTTIPVSYRALCIINYCLSTSLALLVSYRIARYVFRRFVPKTKMFVLVQIVSFIIFAFILVPAILNTETILKYSDLF >KJB69115 pep chromosome:Graimondii2_0_v6:11:484983:488829:-1 gene:B456_011G006000 transcript:KJB69115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLYWVYLVLPFCFGLVLNTLSQENSDSDVYIVTLKQAPLSLSYQGFNNGSTSVRLNKLYKPRNDSRSHQRSSSYISRVHDSLLKKALKGEKYMKLYSYHYLINGFSVLITPQQADMLSRRQEVANVVLDFPVRTATTYTPQFLGLPKGVWPRDGGYETAGEGIVIGFIDTGIDPTHSSFADDVSDHKYPIPDHFSGICEVTRDFPSGSCNRKLVGARHFAASAITRGVFNSSQDYASPLDGDGHGTHTASVAAGNHGIPVMVAGNYFGNASGMAPRSHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGIATFFNPIDMALLSAVKSGIFIVQAAGNTGPSPKSMSSFSPWIFTVGAASHDRVYSNSIILGNNVTIPGVGLAPGTCSNEMYTLISAVHALSNETTLANDMYAGECQDPSNFDREFIQGNLLICSYSIRFVLGLSTVKRALQTAKNLSAAGVVFCMDPFVIGFQLNPTPLNMPGIIIPSTNDSKILLQYYNSSLERDGFTKKIVRFGAVASISGGLEANYSVSAPKVMYYSARGPDPEDGFLDNADIMKPNLVAPGNSIWAAWSTLGMESVEFQGESFAMMSGTSMAAPHIAGLAALIKQKFPHFSPAAIASALSTTASLYDKNGSPIMAQRAYTNPDLNQSPATPFDMGNGFVNASSALDPGLIFESTYEDYMSFLCGINGSIPIVFNHTGQNCQLYNSTVTAADLNLPSITIARLNRSQTVGRSLTNIAGNESYRVDWTAPFGVSMNVTPAHFFIAMGEKQVLNITFNAMTNDSTASFGRIGLVGNGGHNLNIPLSVIVKLY >KJB69113 pep chromosome:Graimondii2_0_v6:11:484983:488637:-1 gene:B456_011G006000 transcript:KJB69113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLYWVYLVLPFCFGLVLNTLSQENSDSDVYIVTLKQAPLSLSYQGFNNGSTSVRLNKLYKPRNDSRSHQRSSSYISRVHDSLLKKALKGEKYMKLYSYHYLINGFSVLITPQQADMLSRRQEVANVVLDFPVRTATTYTPQFLGLPKGVWPRDGGYETAGEGIVIGFIDTGIDPTHSSFADDVSDHKYPIPDHFSGICEVTRDFPSGSCNRKLVGARHFAASAITRGVFNSSQDYASPLDGDGHGTHTASVAAGNHGIPVMVAGNYFGNASGMAPRSHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGIATFFNPIDMALLSAVKSGIFIVQAAGNTGPSPKSMSSFSPWIFTVGAASHDRVYSNSIILGNNVTIPGVGLAPGTCSNEMYTLISAVHALSNETTLANDMYAGECQDPSNFDREFIQGNLLICSYSIRFVLGLSTVKRALQTAKNLSAAGVVFCMDPFVIGFQLNPTPLNMPGIIIPSTNDSKILLQYYNSSLERDGFTKKIVRFGAVASISGGLEANYSVSAPKVMYYSARGPDPEDGFLDNADIMKPNLVAPGNSIWAAWSTLGMESVEFQGNS >KJB69114 pep chromosome:Graimondii2_0_v6:11:484983:488637:-1 gene:B456_011G006000 transcript:KJB69114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLYWVYLVLPFCFGLVLNTLSQENSDSDVYIVTLKQAPLSLSYQGFNNGSTSVRLNKLYKPRNDSRSHQRSSSYISRVHDSLLKKALKGEKYMKLYSYHYLINGFSVLITPQQADMLSRRQEVANVVLDFPVRTATTYTPQFLGLPKGVWPRDGGYETAGEGIVIGFIDTGIDPTHSSFADDVSDHKYPIPDHFSGICEVTRDFPSGSCNRKLVGARHFAASAITRGVFNSSQDYASPLDGDGHGTHTASVAAGNHGIPVMVAGNYFGNASGMAPRSHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGIATFFNPIDMALLSAVKSGIFIVQAAGNTGPSPKSMSSFSPWIFTVGAASHDRVYSNSIILGNNVTIPGVGLAPGTCSNEMYTLISAVHALSNETTLANDMYAGECQDPSNFDREFIQGNLLICSYSIRFVLGLSTVKRALQTAKNLSAAGVVFCMDPFVIGFQLNPTPLNMPGIIIPSTNDSKVRFCLFLFFL >KJB72896 pep chromosome:Graimondii2_0_v6:11:49204305:49205417:1 gene:B456_011G203300 transcript:KJB72896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETVGREWITITQYRKQKTKHRPMESYFASYSLNKQQHWRISFLLKKHATEVYWLKIVGASCTHQPKGRESN >KJB74233 pep chromosome:Graimondii2_0_v6:11:61309551:61310747:1 gene:B456_011G281200 transcript:KJB74233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPRFELPEALVMEILSKLPVKSLTRFNCVCKYWCSSFQTPYFISNNYHNNLNLLLSLCGGNTFQRYFSQLSNEKYQNYIVKQNIHLPFFKHDRPSVYGACHGLLCLLDPSKDKAAIWNPSTREFKILPPSSIQRPPYFSPFDETYLTLDDVEFNHAAFGFDSKTDDYKVIRFVTLTFVNSEEEYPHPHFMYQVELYSLRSNSWKEIPSPDYKPTEKTLGNNYVDGICYWKTGTGAYLDFRGLILSFDMGNEKFSILPIPEFVGSFPEYYVNLLVFNRSLGAIVYPKERIDTSFDLWVTSEGVWTKQFNIKSISGVVHLLGFGKNGDLFLTDTNDEVLLFDASTQELKELEINTYLDHYRFDISLHAYLESLVRINGIQEIEKHVIRQPARDASNEY >KJB73819 pep chromosome:Graimondii2_0_v6:11:58409576:58410453:1 gene:B456_011G254800 transcript:KJB73819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTTASVFLLFIIFSVTPSSFLFGVANATNEPVLDIDGNEVQTGRPSGNPCPEVVAQRRSSDDGIPVIFSNSDSNDGVVRLSSDINIEFIPLRPKLCRTTTVWKVDDYDHSAGKWWVITDGVKGNPGANTLTSWFRIEKAGDLDYTFKYCPAVCGTCPALCNKITRDSDGEMVRLALSTGNGWPFYFKKVGKSAMEIQQVARN >KJB72146 pep chromosome:Graimondii2_0_v6:11:29996791:29997239:-1 gene:B456_011G161500 transcript:KJB72146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFYEYSHASNNVLDGLNMFDGTDAHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSYARWWLEEYKFDGYRFDGVTSMMYKISLIK >KJB73519 pep chromosome:Graimondii2_0_v6:11:55830238:55831252:-1 gene:B456_011G2372001 transcript:KJB73519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWAINEVRAKSKF >KJB72754 pep chromosome:Graimondii2_0_v6:11:46984384:46986457:1 gene:B456_011G194800 transcript:KJB72754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKTMISILMQFSRTAPCLLWRSRTHIFYMFLLLLSLFFLSTSFNQRSPTALALALATRLRFAADYIASFTSVSSSNTCIVSDVRSNCTLSSVTAVERTPGNSLEDGNDVIKDLSSCDIFNGNWVLDDSRPAYIPGSCPYVDDSFNCFKNGRVDFSYVRYRWKPFDCQIPRFNGRKMLEMLRGKRLVFVGDSLNRNMWESLVCSLRESLKDKRSLFEVSTRNEYRTQGFFSLRFQDYQCTIDFIKSPFLVQEWKISDKSEIRRETLRLDMIQGSKYSDADIIVFNTGHWWTHQKTGKGKNYFQEGSHVYDRMEVKEAFTKALKTWAQWVDSNIDRKHTRVFFAGYSSSHFRKGQWDTGGNCHGETEPISNETSLAPYPWMMSILESVISEMKTPVFYLNITKMTAYRKDGHPSIYRPLEIPRTPGMFQDCSHWCLPGVPDFWNELLYAALLISGHDLPNNH >KJB73753 pep chromosome:Graimondii2_0_v6:11:57487019:57489217:-1 gene:B456_011G248800 transcript:KJB73753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLHFSHEHPLVSIESHSHEIEKVYCSGCGELVSGSSFGCVKCGFYLHRQCTEAPAEMNHPFHRNHNLNLLTRNPYGGRCICDFCGKTCENFVYHCSCNLDFHIKCALFSHSIGEKRNAEFQDIPRIDPSIKTGNVTEELKKAECFACWKPLLDSVYFSPNYGFYLHAKCVDLPAEINHLFHQEHPLFLQFNSQRLFCKIGQKPQRRGFVYCCSPCKFVLHIQCATIPTKINQPFHRKHPLILQNVNECLPCQICQDTTELNDIVYFCSICKFVLHGCCVSSPHIIEDKLHHEHPFILFQRQVSFCDACGTIGNYVPYICSTCNLAVHKKCISVPRIIKFYRHQHNISHTYFIEQREHETWECRFCFEEVNTEHGSYFCSKCNFIVHVNCATKNPLHYYEVDSIETMDSEEPVDLREIVTATWIKHSWHQHILTLSGDIQDFKQCDGCLLPIVTSYYCCSQCDFFLHKICAELPVKKHFWFHYCQRLLKLTSGCIFQCDICGYLTSGFAYICDKCEGRLCLRCSLVSDMSRSQGHEHRIYPFLSEHADQVCSACDKSTYFTFKCKHCSFNLHLNCLTLPLTAQHRSEVHPFTLTYHDDNDNYSESHYCDICEKERNPKHWLYHCSACNTSAHTKCVLGEYSFIKSGTIYTDHPHPLTCVKRVQYYPECQICGKLCLDLSLECKTIGCSYIVHWECKRGKRGLHIAQ >KJB72878 pep chromosome:Graimondii2_0_v6:11:48959913:48961425:1 gene:B456_011G202000 transcript:KJB72878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGDKDLKLDIENEPITGEEEEEYHKRNPILGLKNMAELLLAKARGSFTQGSSDDGVSLSSVASNSGSVYAKNMQAMTSMNVVGHDHNIKDDGKENKLVKEKCESLSNKKSPKPPRPPRSPSLDAADPKLIRELAELARLKRARIKRMKALKNIKITKGTPPSSSNMLALVFIILFCIVIIFQGMPSKATPMASQGSHVPVRATERDPTSVSFLRNPLSNGLCCWFSC >KJB70019 pep chromosome:Graimondii2_0_v6:11:4221360:4224921:1 gene:B456_011G053700 transcript:KJB70019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQAEVQQQEIIYRSKLPDIYIPKHLPLHSYCFQNLSNVASKPCLINGTTGKVYTYEEVELTARRVASGLNKLGIQQRQVIMLLLPNTPEFVLSFLGASFRGAIATAANPFFTPAEISKQAKASNARLIITLASYVDKVKEFAQDNDVKIVCIDSVPEGCLHFSELTQADENDLPEVDIVPDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYFHSEDVILCTLPMFHIYALNSIMLCGIRVGAAILIMQKFDIGLLLELIQKYKVTIAPIVPPIVLAIAKSSETEKYDLSSVRMLKSGAAPLGQELEDAVKVKFPGAKFGQGYGMTEAGPVLAMCLGFAKEPFEMKSGACGTVVRNAEMKIVDPDTGLSLPRNQAGEICIRGDQIMKGYLNDPEATARTIDKDGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEAMLIAHPEIIDAAVVAMKDEAAGEVPVAFVVRSDKSQISEDEIKQYISKQVVFYKRISRVFFIEAIPKAPSGKILRKELRAKLATGNY >KJB70020 pep chromosome:Graimondii2_0_v6:11:4221409:4224851:1 gene:B456_011G053700 transcript:KJB70020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQAEVQQQEIIYRSKLPDIYIPKHLPLHSYCFQNLSNVASKPCLINGTTGKVYTYEEVELTARRVASGLNKLGIQQRQVIMLLLPNTPEFVLSFLGASFRGAIATAANPFFTPAEISKQAKASNARLIITLASYVDKVKEFAQDNDVKIVCIDSVPEGCLHFSELTQADENDLPEVDIVPDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYFHSEDVILCTLPMFHIYALNSIMLCGIRVGAAILIMQKFDIGLLLELIQKYKVTIAPIVPPIVLAIAKSSETEKYDLSSVRMLKSGAAPLGQELEDAVKVKFPGAKFGQGYGMTEAGPVLAMCLGFAKEPFEMKSGACGTVVRNAEMKIVDPDTGLSLPRNQAGEICIRGDQIMKGYLNDPEATARTIDKDGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEAMLIAHPEIIDAAVVA >KJB71343 pep chromosome:Graimondii2_0_v6:11:15602189:15604016:1 gene:B456_011G117400 transcript:KJB71343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLYSLCCVSFSALVIVALYVSPVVSTSRRVLGDYGKLENGFRVTLKHVDSSKNLTKWERIQRGIKRGNHRLQRLNAMVLAASGDSAEVQAPIVAGNGEFLMDLSIGTPPNSYSAILDTGSDLIWTQCKPCTQCFDQSTPIFDPQKSSTFTKLSCSSDLCEALPQSTCSDGSCEYLYTYGDYSSTQGVMATEIFKFDSVSVPNIGFGCGEDNEGDGFSQGAGLVGLGRGPLSLVSQLKEPKFSYCLTAMDETQKSLLLMGSIASANESLGEMRTTPLIRNPSQPSFYYLSLQGITVGSTRLPIKESTFALEDNGSGGVIIDSGTTITYLEQAAFSVLKKAFILEMKLPVDTLSSTGLDLCFTLPSGSTQVEVPKLVFHFDGADLDLPAENYMIADSSSGVICLAMGGSSGMSIFGNVQQQNMLVVHDLEKETVSFIQTQCQNI >KJB73234 pep chromosome:Graimondii2_0_v6:11:53415318:53419240:-1 gene:B456_011G223700 transcript:KJB73234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSLKLTPNFVPHIPDPHRGFNLISFLSISGIRNSTSLVSLNSKKLSCSINGDNNIVYGPTDDGNYSTNNEGSTAGKSEASQGQSFFSSKDVLKKLRQYGISGVLSYGLLNTVYYLITFLLVWFYVAPVPGRMGYMAAAQRFLKVMAMVWAGSQVTKLVRAGGALALAPFVDRGLSWFTLKFKFESQEKAAIVIVGFCFGLALTLFLVVTVLWA >KJB73736 pep chromosome:Graimondii2_0_v6:11:57335698:57337083:-1 gene:B456_011G247000 transcript:KJB73736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANTISLKLLVDSTSQRVLFAESGKEFVNFMFNILSLPVGTVIRLLTKEQMVGSLGNLYDGLENMNDNYILSPANKDTLLKPIVPNNAANVPPLLPTVESSNLKPTGIYRCGNTYHRGSCGLYVANDSKSVCPSCNNVMTQNATIVNPRKGSSTNEGGYVKGVVTYMIMDDLVVTPMSAISCFTLLNKFNIKDVGVLEEKTINIGIDEGVKLLKASLQSKTVLTDAFLKKKAGESDASSSSGVHSIVI >KJB70050 pep chromosome:Graimondii2_0_v6:11:4406203:4409505:1 gene:B456_011G056000 transcript:KJB70050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFLFFFLSLLSLASSASRDHHKTFIVRVQNDAKPSIFTTHKHWYESSLASVLSPSTPAQVLHVYDTVFHGFSAKLSPTDALNLQTLPHILAVIPEQVRRLHTTRSPHFLGLQTTDSAGLLKESDFGSDLVVGVLDTGIWPERQSFNDRDLGPVPSKWKGQCVTTKDFGPGSCNKKLIGARFFCSGYEASNGKMNETSEFRSPRDTDGHGTHTASIAAGRYVFPASTLGYAKGVAAGMAPKARLAAYKVCWTAGCFDSDILAAFDAAVADGVDVISLSVGGVVVPYHLDAIAIGAFSAADQGVFVSASAGNGGPGGLTVTNVAPWVATIGAGTIDRDFPADVKLGNGKVVPGVSVYNGPGLSPGRMYPLVYGGTGGGDGYSSSLCLEGSLDPVFVKGKIVVCDRGINSRAAKGEVVKKAGGIGMILANGVFDGEGLVADCHVLPATAVGASNGDEIRGYIDSASKSKSPATATILFKGTRLGVRPAPVVASFSARGPNPETPEILKPDVIAPGLNILAAWPDKVGPSGVSSDSRNTEFNILSGTSMACPHVSGLAALLKAAHPEWSPAAIKSALMTSAYTVDNRGETMLDESNGNTSTVLDFGSGHVHPTKAMDPGLVYDITTMDYIDFLCNSNYTINNIRVLTRKNADCSGAKRAGHVGNLNYPSLSAVFQQYGRRNMSTHFIRRVTNVGEPNSIYKVTIRPPSGTVVTVEPEQLVFRRVGQKLNFLVRVQTMAVKLSPGGTSMQAGSIVWSDGKHEVTSPLTVTMQQPI >KJB70635 pep chromosome:Graimondii2_0_v6:11:8686982:8687658:1 gene:B456_011G084600 transcript:KJB70635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIETSTNLDWYKGPTLLEALDQISEPKRPSDKPLRLQLQDVGRVETGILKPVIVVTFGPPGLTTEVKNVAVKDLRRGYIASNSEDDPAKEAANFTYQVILMNYHGQIGNIYASVLDYHASHVPVKFAKPFTEIDRQRGKELEKELVMQVLLRLFPPSQWLWRPSLSTNPPLGRFPGRDMRQTVAVGVIKSV >KJB70910 pep chromosome:Graimondii2_0_v6:11:10371011:10376227:1 gene:B456_011G095400 transcript:KJB70910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQALRVRLGASSSSPASSTMLSSSSSILALRSNNPTSLLPSRFFSNGVLNNQLVFSPRFPRAISLRCFASSSGFDKVQVLNPIVEMDGDEMTRIIWSLIKDKLIFPYLNLDVKYFDLGILNRDATDDKVTVESAEAALKYNIAIKCATITPDETRVKEFGLKSMWRSPNGTIRNILNGTVFREPILCRNIPRIVPGWKKPICIGRHAFGDQYRATDTVITGPGKLKMVFVPEGGDKPVELDVYNFKGPGVALAMYNVDESIHAFAQSSMSLAFSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEQNWKDKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNERLLDFVQKLEAACIETVEAGKMTKDLAILTHGPKVTREFYLNTEEFIDAVAVNLDLKLQEPALCK >KJB70909 pep chromosome:Graimondii2_0_v6:11:10371023:10375363:1 gene:B456_011G095400 transcript:KJB70909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQALRVRLGASSSSPASSTMLSSSSSILALRSNNPTSLLPSRFFSNGVLNNQLVFSPRFPRAISLRCFASSSGFDKVQVLNPIVEMDGDEMTRIIWSLIKDKLIFPYLNLDVKYFDLGILNRDATDDKVTVESAEAALKYNIAIKCATITPDETRVKEFGLKSMWRSPNGTIRNILNGTVFREPILCRNIPRIVPGWKKPICIGRHAFGDQYRATDTVITGPGKLKMVFVPEGGDKPVELDVYNFKGPGVALAMYNVDESIHAFAQSSMSLAFSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEQNWKDKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNERLLDFVQKLEAACIETVEAGKMTKDLAILTHGPNLRVTEC >KJB70908 pep chromosome:Graimondii2_0_v6:11:10370968:10376280:1 gene:B456_011G095400 transcript:KJB70908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQALRVRLGASSSSPASSTMLSSSSSILALRSNNPTSLLPSRFFSNGVLNNQLVFSPRFPRAISLRCFASSSGFDKVQVLNPIVEMDGDEMTRIIWSLIKDKLIFPYLNLDVKYFDLGILNRDATDDKVTVESAEAALKYNIAIKCATITPDETRVKEFGLKSMWRSPNGTIRNILNGTVFREPILCRNIPRIVPGWKKPICIGRHAFGDQYRATDTVITGPGKLKMVFVPEGGDKPVELDVYNFKGPGVALAMYNVDESIHAFAQSSMSLAFSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEQNWKDKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNERLLDFVQKLEAACIETVEAGKMTKDLAILTHGPKVTREFYLNTEEFIDAVAVNLDLKLQEPALCK >KJB73219 pep chromosome:Graimondii2_0_v6:11:53272720:53273581:1 gene:B456_011G223100 transcript:KJB73219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALMWARAVHNERIFLKSDWWSWPVKCCVCGRSIRTRDLVWDPSPMGRIKFNVAGVVMNEIAACGGVLRDDKGVVSALFSGRCATGGLEMAVLMAIKEAAEMVIELSQKEQVPLIIKCNSITISDWLKYSRLRLWSFRNLFANIEGSLR >KJB73509 pep chromosome:Graimondii2_0_v6:11:55793622:55796819:-1 gene:B456_011G2367002 transcript:KJB73509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLIADIQDELGHSVCQELGTENISYVHCDVTCESDIENAVNLAVSKYGKLDIMFNNAGIPGDNEVRVTHAGTEDFKRVFDVNVLGGFLGAKYAARVMVPAKKGCILFTASLASKISFGLPHAYKASKHAVAGLTKSLSVELGEHGIRVNCISPNGIVTPMLQKAIGNVDKKKGEEMVAVSAVLKGTVLEPEDFAHAALYLASDEAKFISGVNLPVDGAYNLNIIKTFITFKNFIFLTNLYNSCGDSHLPTKDIHKKGKGRGGSIFITSTMSSDSSITKRLDGKVALITGGASGLGECTSKLFVKNGAKVLIANVEYNSYFSQI >KJB71929 pep chromosome:Graimondii2_0_v6:11:24095418:24097823:-1 gene:B456_011G147800 transcript:KJB71929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLKTECTPEENTHIITDIRFRPNSTQLATSSFDATVRVWDAAQPSYCMWKFTVHTAQVMSLDFHPKKNDLFCSCDGNSEIRFWNINLYSCTRISEGGCSNVRFQPRIGQFLAAAAETVVSIVDVETDRRAQLLQGHNTEVHSICWDTNGDFLASVSLDSVRVWSLA >KJB73269 pep chromosome:Graimondii2_0_v6:11:53555049:53561664:-1 gene:B456_011G224700 transcript:KJB73269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIDIDGILKELPNDGRIAKTKIVCTLGSASRSVPMIEKLLKAGMNIARFNISHGSHEYHQETLNNLENFYYFIYF >KJB74469 pep chromosome:Graimondii2_0_v6:11:16056023:16056408:-1 gene:B456_011G1186003 transcript:KJB74469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ENVAREIINHRSLRHPNIIRFKEVVLMPTHLAIVIEYAAGGELFDRICSAGRFSEDEARYFFQQLISSVNY >KJB68830 pep chromosome:Graimondii2_0_v6:11:2767879:2769585:-1 gene:B456_011G036700 transcript:KJB68830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTGSKRTRGDENVKKIRKPKPWKHSEPITRTQLKQMRDEFWDTAPHYGGQREIWDALRVAAESELTHAQAIINSAGVIVQNDDLTICYDERGAKSLTN >KJB68829 pep chromosome:Graimondii2_0_v6:11:2767495:2769687:-1 gene:B456_011G036700 transcript:KJB68829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTGSKRTRGDENVKKIRKPKPWKHSEPITRTQLKQMRDEFWDTAPHYGGQREIWDALRVAAESELTHAQAIINSAGVIVQNDDLTICYDERGAKYELPKYVLSEPINMIHEN >KJB70417 pep chromosome:Graimondii2_0_v6:11:6822663:6824347:1 gene:B456_011G072500 transcript:KJB70417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGDFFVGGFYGGAAGNDFSPVKMMSTERKLPENFTVDDLLDFSNEDAIINDVFLENVAGNSTDSSTVTCNSSVSGGDNHLSPVNLPHSSQFSGELCVPYDELAELEWLSNFVEDSFSTDQNLQSSLQIFATSKSLTPESSSSSTRPDSLIQSPSNSNPIFQHETPLPGKARSKRSRVAPCDWSTRLLHLNPKSAAQKKRENPNANSELPVRKCLHCAAEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVSAKHSNSHRKVLELRRQKEFQRAQHQQLISQTSVFGISNGGCADDFLIHHHGGPNFSHVI >KJB74136 pep chromosome:Graimondii2_0_v6:11:60691370:60696352:-1 gene:B456_011G274900 transcript:KJB74136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDRSSQAPPPHVLVFPFPLQGHINFMIKLSELLALTGFTFLNSHYNHERLVKFNNIAAHFERCQGFEFKTITDGLPLDYPRSRNWFLDMYEEALELKIEPGLREMLENICCPPVDCIIADRFLGFALDVAKELEIPIIYFRTSSPCSLWVYYSVPDIIQAGELPIKGSEDMNRLLTTVPGMKTYLRCRDLPSFYRETDIEYSIIKLYVKQTRKSPQADALILNMAEELDGPILSQIRTKCPRVYAIGPLHTQLNTRLKAKHGESYDHFSNTIWEVDKSCIFWLDKQPNRSVIYVSFGSITGTSSEQLVELWYGLLNSKTKFLLVVRPNSIIGKDGEREDVVVELMEKSKDQGYIVNWAPQEAILNHLAVGGFFTHNGWWIKMRSLYNRQPKWLR >KJB71315 pep chromosome:Graimondii2_0_v6:11:15204030:15204884:-1 gene:B456_011G116100 transcript:KJB71315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINPALKLQTHTWNLEIPMDDHILHDQLPLNPIWPSFPLQTPFSTSTTPTHLPSATPVYSTHHNDQLGHLVEEGEEPEEELSAMKEMLYKIAAMQPVDIDPSTIRKPKRRNVRISDDPQSVAARHRRERISEKIRILKRLVPGGTKMDTASMLDEAIRYVKFLKRQILELQRSNDNQQPPPPPPPYPVEWQVAPNKPLGSTSETQPGHGFTFGGNGGKPLVL >KJB72156 pep chromosome:Graimondii2_0_v6:11:30291987:30292816:1 gene:B456_011G162000 transcript:KJB72156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLSENDWEEEDFDLDDRNLLRKKGDGMPTLDFSERLYSLIEKSMSSTIVLKLLGKRIGVNTLWSKEFLENVVLVGKVVKIVLQTDKRVRGQFARFEVQVNLRKPLISRVRVANKIHRVEYESLPLICFSSGTYGHMRENYTKVHNTESMEEESDTANENFLRMKQQPEISTQVRVEKEEYGEWMIVDRQNC >KJB73750 pep chromosome:Graimondii2_0_v6:11:57464278:57465400:-1 gene:B456_011G248500 transcript:KJB73750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGDQTRFLFGISLHRPKWQQFLICSFGFFFGYLVNGVCEEYIYNRLQFSYGWYFTFVQGFVYLILIRLQGFTMKQMVNPWNSYVKLSSVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFVPGLRRKYSLHEYISALLLVVGLILFTLADSQTSPNFSIIGVIMISGALIMDAFLGNFQEAIFTINPETTQMEMLFCSTIVGIPFLLVPMVLTGELFKAWSSCSQYPYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMITTARKAVTLLLSYMIFTKPLTERHGLGLLLISMGIILRMLPDTKPSPRVQVSNVNVKKSKAFSKELESVVDEQDEEKKPLI >KJB69796 pep chromosome:Graimondii2_0_v6:11:3992024:3993331:1 gene:B456_011G051200 transcript:KJB69796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESGGRKQGAASPCAACKLLRRRCAHDCVFAPYFPADEPHKFANVHKVFGASNVNKMLQELPVHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDTLQTQLALAQAEVVHLRVRQTASYSHHGFAPTSPTNSGSPSSNHGSQVKPIFDMDVVMDQASLGEPMWSC >KJB72715 pep chromosome:Graimondii2_0_v6:11:46234238:46236329:-1 gene:B456_011G192200 transcript:KJB72715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELEALRSYQKQPTMAEVTEELKRIIDIGFPIAAMSLVSYARNMVLVVCMGRLGSLELAGGALAVGFTNISGYSVLSGLATGMESLCSQAFGSRNLSMAALTLQRTIVMLLLASVPIGLIWFNLEPLMLSINQDPDISKVASLYCRFAIPDLIANSLLHPLRIYLRSKGTTWPLMWSALVSTLFHLPITVLLSFTLGLGVPGIAISTFITNFNTLFFLLCYMFYTRTSHLVPQESMRTPLLPSPTLTHPPSSTALIGKEWGDLLRLAIPSCIAVCLEWWWYEFMTILAGYLSEPRVALATSAIVIQTTSLMYTLPTALSASASTRVGNELGAGRPSRARLAAVVAIGLALLTSFLGLIGTVFGREAWGRVFTKDYEVLELTMIVLPILGLCELANCPQTTSCGILRGSARPGTGATINFYSFYLVGAPLAIVLGFVCGLGFVGMCYGLLGAQIACVISILTVVFRTDWERESLKAKELVGKTEHFAHADQVIKCEEGVGFLTELGSGK >KJB70358 pep chromosome:Graimondii2_0_v6:11:6136783:6140068:-1 gene:B456_011G069200 transcript:KJB70358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHPKQYGRESKLHGVKPNANRRYCIRNNVVKSCSSLKKVFVLYILPIYISMHAFNTYYDKLHLPRLTLAMVFFLGVDDHSFKEDGCSESDIKLRFDPTLSYIRHSLVSFGKDNNQSGGFLDLKKVSSMDGLGWNGSEIEAKSLPVWNNQRNDMEDSYFLIPNSSNSFMYGGKMDDLPEDIFYQIQELQKSGNLEDALQQGLCSSPLMSRAYGFSTLNGSVSDIGMPVHSQGINGGDATTTGTLESLDCLISATNSNTDTSVEDDGISMIFSDCKNLWNFAASSAVSSGSENNGSNTGRNEHDEIVSQSSSDRCINNGNLSQTKSSNSSKRKNDQTGLTFGPNCGYFNLLHTDLSATEGGFKLIPDNPPKAKKIRSEKHSSSSNINFQQPASSSVSSSIEEPDPEAIAQMKEMIYRAAAFRPVNLGLEVVEKPKRKNVRISTDPQTVAARQRRERISERIRVLQKLVPGGSKMDTASMLDEAASYLKFLRSQVKALENLGNKLDTMNAPPPSNIAFNHSLPMQTHSFPLLNPNDHIHHSQS >KJB71134 pep chromosome:Graimondii2_0_v6:11:12547349:12548119:-1 gene:B456_011G1073002 transcript:KJB71134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VISAALPGKHYDAPSRREVEKCFKAWENEKDPEKKKKIFMKFIQEIKLSQLDNTTIITGIVTPPAAMAAKKAGEFLPHLSVIKTIPDVVFVPSVTMATLVISKLSRRLYGRSATPVPTKSTSFNVDKPEVLEPAAAKEIPPSPAIAVPAAVNSAITPSPADPVPAALPPRPPSPK >KJB70554 pep chromosome:Graimondii2_0_v6:11:7908751:7912668:-1 gene:B456_011G079300 transcript:KJB70554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVYVAVVVVCIMCEMFILGLTNYVPEVEFEFKKESSMEVEHNYERIGEVKKHCKSVLSSASEFKAEDNRIADIKEELNFGYGDWWQDVGDAPIMPFDDRDIPKNLSQPPSNISSFWITNVDHKHRTKKYVSVSGILMLGITLDTSFAERPYKGSPRFQIWPAHTQLAISFEGIYMENKRNGGERVMCLLGDAMLPSRESDSSNPWEWVKDSDQNNNQVPLLQDDQILLVLRYPLTHTLTNKVIRGELKSLNPKSNAKYFDQVHILGQMLKSTKYEFGSEKIVSKACDPYPYRDNLMSSGISVYKGGSFCAILEKVTNSGPFTVVPNWKCDGADDYCSKLGPFVSDQEIKATNGSFKDVMLYMQDVRCKPTSGHRNDSVARVAAVFRATPASEDQYRVQWRSGLSNMTLAAEGIWNSSSGQLCMVGCLGIVDAEGSSCNSRICLYVPLSFSLKQRSIIFGSISSIDRSNKQYYPLSFERLVRPSELWNYFRVSHPYYSYSKIQSAGAILEKNEPFSFGTLVKKSLLQFPKLDDTDDFLSSLSFLAEDLTLQISAVPDPFSNSHPPRVDIQMDIFSIGPLFGRYWYSRNVTTAGETPYRTKAEYTEKQLLLNVSAQLTIIGKDYSNFSVLFLEGLYDPHFGRMYLVGCRDVRASWKILSQTIDLESGLDCLIEVIVSYPPTTARWLFNPTARISISSQRPEDDPLYFGMIKLQTLPIMYRKQREDILSRRGIEGILCVLTLSFAVACISSQLFYLNQDVDSSPFISLVMLGVQALGYSLPLITGAEALFKREASDSYEMQSYDLEKSQWLNLIDYTVKLLVLVMFLLTLRLCQKVWKSRIRLLSRSPLESHRVPSDKRVLIATLTIHGIGYIIVLIIHAVKTRQMPLQTDRFIDSRGRSRTLREWQIELEEYIGLVQDFFLLPQVIGNLMWQTDCKPLRKLYFIGITVVRLLPHLYDYIRAPVPNPYFAEEYEFVNPTLDFFSNFGDVAIPITAVLLAAVAYCQQRWNYDQLSQILTFRQCRLLPARSRAYERLSSKPFEAELASDVNQSTSNKLEDEDDEE >KJB74373 pep chromosome:Graimondii2_0_v6:11:62234652:62239387:1 gene:B456_011G291000 transcript:KJB74373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNKNRKFVRLRQEFSVVVVVLLLFFLCQSSGCWSLNEEGLALLGLREKVVNDPFGALSGWKKVDGKFDHCSWFGIQCSNGKVIVVNLKDFCLEGTLGPELGNLAHIKSINLRNNSFTGKIPEEIGELKELEVLDLRCNNFSGLIPPQLGTSLSRTILLLDNNELLNQPSEAAKSSCKRRFNTWKLSQPEDAAQRRLLEEKRPTTPSKSQKPPHHAPENPRSPIPSPPSGHKVQLNASALSPSPSKDKARPNFSTPIPSSKSKVRPNASFLSPSPSKHKGQSNASTSRPRSSSHSQRAILAGAIGGTVCLLILIAITYLFKTYKVSTVKPWRTGLSGQLQKAFVAGVPKLKRSELEAACEDFSNVIDSSTTGTVYKGTLSNAVEIAVVSFPVKSAKDWSKNLETQFRNKIETLSKVNHKNFVNLLGYSEETEPFTRMMVFEYAPNGTLFEHLHIKESEHLDWSMRLRIIMGIAYCLDHMHHLNPPIPHRNLSSSAINLTEDYAAKISDPSFSTEVPTTLQSNVYAFGVLLFETITGRIPYTVDNDSIDDQSSHYLSKDQPLVKMVDPILKSFDTKQVEAIGEVVNACVDPEPKRRPNMREVSARLREIIGISPDGAVPKLFPLWWAELEIMSN >KJB74374 pep chromosome:Graimondii2_0_v6:11:62234681:62239387:1 gene:B456_011G291000 transcript:KJB74374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAGLALLGLREKVVNDPFGALSGWKKVDGKFDHCSWFGIQCSNGKVIVVNLKDFCLEGTLGPELGNLAHIKSINLRNNSFTGKIPEEIGELKELEVLDLRCNNFSGLIPPQLGTSLSRTILLLDNNELLNQPSEAAKSSCKRRFNTWKLSQPEDAAQRRLLEEKRPTTPSKSQKPPHHAPENPRSPIPSPPSGHKVQLNASALSPSPSKDKARPNFSTPIPSSKSKVRPNASFLSPSPSKHKGQSNASTSRPRSSSHSQRAILAGAIGGTVCLLILIAITYLFKTYKVSTVKPWRTGLSGQLQKAFVAGVPKLKRSELEAACEDFSNVIDSSTTGTVYKGTLSNAVEIAVVSFPVKSAKDWSKNLETQFRNKIETLSKVNHKNFVNLLGYSEETEPFTRMMVFEYAPNGTLFEHLHIKESEHLDWSMRLRIIMGIAYCLDHMHHLNPPIPHRNLSSSAINLTEDYAAKISDPSFSTEVPTTLQSNVYAFGVLLFETITGRIPYTVDNDSIDDQSSHYLSKDQPLVKMVDPILKSFDTKQVEAIGEVVNACVDPEPKRRPNMREVSARLREIIGISPDGAVPKLFPLWWAELEIMSN >KJB73762 pep chromosome:Graimondii2_0_v6:11:57590223:57591474:-1 gene:B456_011G249600 transcript:KJB73762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNILERAARAGNISELYTLIERDGNVLKRFDEVEFVNTPLHIAVDEGCIEFAMEIMSLKPSFARKLNHQGLSPIHLAVEKGNQEMALRLIETDNDLVRVRGKNGVTPLHYICEVGTHDGLLDTFLKTCPDSIRDVTTPNCTALHIATENNRLDALQVLTRTLRKKDYCWEVVNRKDKNGNTALHIAARNYQPEMVKVLLNCRANKHATNQHGLTALDVAQNRNSRESITVLRGCFIPRVSNFNHKLEKQIDKYVTKASLLIFHDMDNISGDDRSALLVILGLLLTAAYQASLSPPGGVWQGDSSSNSVTTRIDERKLPGSIGTSVMGQSFFLIFYILTYVVFIVTFFLTLALLKPFPHGFRTALQVLLAFLLHASTNQYLP >KJB72287 pep chromosome:Graimondii2_0_v6:11:34450092:34451272:-1 gene:B456_011G168700 transcript:KJB72287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSHHHRQHTAAALAFLLFASVITLSRFGSGFQEREGSKKRSGSGELDRFIAQKRLSGPGSSPPSCRSKCGNCSPCKPVHVPIQPGFSMPLEYYPEAWRCKCGNKLFMP >KJB70778 pep chromosome:Graimondii2_0_v6:11:9802628:9805747:-1 gene:B456_011G091000 transcript:KJB70778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRGADKGEMKHQQENTVDWRGRPSNPKKHGGMSAAAFVLGLQAFEIMGIAAVGNNLITYLINEMHFSLSKSANIVTNFVGTIFLLALLGGYLSDSHLGCFWTIILFGFVELSGFILLSVQAHLPQLKPAKCNMLTDGDMCEEAKGFKALIFFVALYLVALGSGCVKPNMIAHGADQFNLQNPSHSKKLSTYFNAAYFAFSMGELIALTVLVWIQTHAGMDVGFGVSAAAMAMGLIVVVSGSLYYRNKPPRGSIFTPIAQVFVAAMLKRKQINPELMINGDQNRAESGNVVQTQRFRFLDKACIKVEDGSNTKESPWRLCSVTQVEQVKILISVIPIFACTIVFNTVLAQLQTFSVQQGSAMDTQLTKSFHIPPASLQSIPYIILIFLVPLYDKFFVPFARKITGHESGISPLQRIGSGLFLSTFSMIAAALMEKKRRDSALGSGEIISIFWITPQFLIFGLSEMLTAVGLIEFFYKQSLQGMQAFLMAMTYCSYSFGFYLSSVLVSLVNKITSSSSKCGWLSDNDLNKDRLDLFYWLLAVISFLNFLNYLFWARWHSHSSAPPTIQNEADGMGLNHYILTKHSKDVVDENIP >KJB70779 pep chromosome:Graimondii2_0_v6:11:9802628:9805847:-1 gene:B456_011G091000 transcript:KJB70779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRGADKGEMKHQQENTVDWRGRPSNPKKHGGMSAAAFVLGLQAFEIMGIAAVGNNLITYLINEMHFSLSKSANIVTNFVGTIFLLALLGGYLSDSHLGCFWTIILFGFVELSGFILLSVQAHLPQLKPAKCNMLTDGDMCEEAKGFKALIFFVALYLVALGSGCVKPNMIAHGADQFNLQNPSHSKKLSTYFNAAYFAFSMGELIALTVLVWIQTHAGMDVGFGVSAAAMAMGLIVVVSGSLYYRNKPPRGSIFTPIAQVFVAAMLKRKQINPELMINGDQNRAESGNVVQTQRFRFLDKACIKVEDGSNTKESPWRLCSVTQVEQVKILISVIPIFACTIVFNTVLAQLQTFSVQQGSAMDTQLTKSFHIPPASLQSIPYIILIFLVPLYDKFFVPFARKITGHESGISPLQRIGSGLFLSTFSMIAAALMEKKRRDSALGSGEIISIFWITPQFLIFGLSEMLTAVGLIEFFYKQSLQGMQAFLMAMTYCSYSFGFYLSSVLVSLVNKITSSSSKCGWLSDNDLNKDRLDLFYWLLAVISFLNFLNYLFWARWHSHSSAPPTIQNEADGMGLNHYILTKHSKDVVDENIP >KJB71481 pep chromosome:Graimondii2_0_v6:11:17706773:17710491:1 gene:B456_011G124900 transcript:KJB71481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYAFIVKEYSINVDGDRLNLTFSLGSTPSNAYAFVNGIEVLSMPDIYSNTDGITIVGQNSQFTIDNSTTLENIYRLNVGGNDISPSGDTGLFRSWYDDQPYLFGAAHGVSGAADPNVTIDYGTMPRYIAPQDVYTTARSMGPNAQVNYNYNLTWLFSVDSGFSYLVRLHFCEFTDNITKVNQRVFDVFLNNQTAEQGVDVIALADEVDVPVFRDYVVIVPGGNSQQDLWLALHPDPSSKPQYYDALLNGVEIFKISDPKSNLAGPNPTPGPKQNVVNPSLALPSSQGHLKNQKAIIAGGVSGGLVLALVIGFCVVTASRRRRHGNYTSPSDGPSGWLPLSLYGNSHSAGSAKTNTTGSYASSLPSNLCRHFSFAEIKSATKNFDEALVLGVGGFGKVYKGEIDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAHGTLREHLYKTKKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLPKEQVSLAEWAAHCHKKGILDQIMDPYLKGKITPECFKKFAETAMKCVADEGIERPSMGDVLWNLEFALQLQESAEESGKGIGEMDMEEGSYEGTCKGKKDPNASLGYDGNITDSRSSGMSMSIGGRSLASEDSEGLTPSAVFSQIMNPKGR >KJB71482 pep chromosome:Graimondii2_0_v6:11:17706942:17710486:1 gene:B456_011G124900 transcript:KJB71482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSVDPSFPMSVIIFLSLLLLLFQLVLAADYVPTEKILLNCGEKSELTDNDNRKWTPDFGSKFLVGTGNSVISRAATQDPAVPEVPYLTARVFRSNFTYSFPVVAGRKFVRLYFYANSYDDRNSSNALFSVTSGSYTLLKNFSAAQTSEAMNYAFIVKEYSINVDGDRLNLTFSLGSTPSNAYAFVNGIEVLSMPDIYSNTDGITIVGQNSQFTIDNSTTLENIYRLNVGGNDISPSGDTGLFRSWYDDQPYLFGAAHGVSGAADPNVTIDYGTMPRYIAPQDVYTTARSMGPNAQVNYNYNLTWLFSVDSGFSYLVRLHFCEFTDNITKVNQRVFDVFLNNQTAEQGVDVIALADEVDVPVFRDYVVIVPGGNSQQDLWLALHPDPSSKPQYYDALLNGVEIFKISDPKSNLAGPNPTPGPKQNVVNPSLALPSSQGHLKNQKAIIAGGVSGGLVLALVIGFCVVTASRRRRHGNYTSPSDGPSGWLPLSLYGNSHSAGSAKTNTTGSYASSLPSNLCRHFSFAEIKSATKNFDEALVLGVGGFGKVYKGEIDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAHGTLREHLYKTKKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLPKEQVSLAEWAAHCHKKGILDQIMDPYLKGKITPECFKKFAETAMKCVADEGIERPSMGDVLWNLEFALQLQESAEESGKGIGEMDMEEGSYEGTCKGKKDPNASLGYDGNITDSRSSGMSMSIGGRSLASEDSEGLTPSAVFSQIMNPKGR >KJB73035 pep chromosome:Graimondii2_0_v6:11:50716508:50717534:1 gene:B456_011G210700 transcript:KJB73035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSDSSSHEIQPHKGLQIKLDDKFFCRLMSKETSMSNSSSMVYYGSASGAIPFMWESHPGTPKHPSPHTSLPPLTPPPSYHSPLNSNSKPVPKKNSKSTLFSSIVRKLISAAPRINHASPSRCSLLSLSSRSNASMNRKLSHRLRGYFSCSCSISPVHNCMDDDDHAGERLRSSASTLCFGIKPKTLNESRGGRSMIKMKKALLSFVSYD >KJB71931 pep chromosome:Graimondii2_0_v6:11:24134100:24136116:-1 gene:B456_011G148000 transcript:KJB71931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPKPVCFTKAQREHLSLQRRLEDLRRSNDTANNTSYSHSAKPSSDSYDFRDRERQREWDRESDRRNREREREEEAKARERARLDKLAEREREKELDKLAAIKKQYLRSKKPKKRVIKPSEKFRFSFDWENTEGTSRDLNSLYKNPHEAQLLFDRWFRAGMDRRDQKKLAAKNEEMREEIRKKEGVKEKPEEAAVQRLKEAAANTYDTFDMRVDKHWSEKKLEEMTERDWRFSREDFNISHEGSKIPRPMRSWSESKLTSELLKGAERVGYKKPSPLQLAAILLGLQQRDVIGIAETGLGKTAAFVWPMLSYISRLPPSEENEAEGPYTVVMAPTRELAQQIEDETMKFAHYLGIKVVPIVGDQSVEEQRFRIRQGCEVVIAMPGHLLDCLERRYAVLNQCNYVVLDEADRMIDTGFEPQVVGVLDAMPSSNLKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPAVVTIGTAGKATDLISEHVIIMKESEKFPRLQKLLDDLGDKTAIVFVNTKKNADTISKNLDKAGYKVTTLQGGRSQEQREISLDGFRAKRFNVLVATDVAGHGIDIPDVANVINYDMANSIEMYTHHIGRTEHAGKIGLATTFLTLHDTDVFFDLKQMLLAKHEVSKFKPGTIPDRPPRRNDTVFAH >KJB73194 pep chromosome:Graimondii2_0_v6:11:53104130:53105896:-1 gene:B456_011G222200 transcript:KJB73194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSGCNFHGVIVIVFVMLRISSTSAMTNFNVLNFGANPIGRTDSTDSFLKAWNAACSTADSTFIYVPKGRYLVGSLAFKGQCKSPQIIIRIDGTIVAPSDYGVLGKWENWVSFERVSGVSIIGQGAFDAKGPSLWACKASSSNSCPLGTTTLRFTNSNNITINGLTSLNSQMFHIAINGCQNVQIQGVKIIAAGNSPNTDGIHVQLSTNVEIFNSSIKTGDDCISIGPGTKNLWIEQVTCGPGHGISIGSLAKDLEEEGVQNVTVKKTIFSGTQNGLRIKSWARPSSGFVQGVRFIDSVMRNVQNPIVIDQNYCPHSENCPGQVSGIKIKDIRYENIRGTSSTPIAIKFDCSPKNPCTEISLRNVNLTYLDKDAQSFCCNVVGKVFDLVRPNSCL >KJB70565 pep chromosome:Graimondii2_0_v6:11:8109163:8110692:1 gene:B456_011G080800 transcript:KJB70565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSNFLLFSASLFFFIVSPSIQASFRPKALLLPVSKDASTLQYLTQIKQRTPLVPIKLTLDLGGEYLWVDCDQGYVSSSYKPARCNSAQCNLARSKACGSCFDGPKPGCNNNTCSLLPSNSVKNSGTIGEVAQDVVSIQSTNGKNPGKEVTVSKFLFTCGSSFLLDGLAGGVKGMAGLGRTKISMPSQFAAAFSFPRKFAVCLSSSSGSNGVVIFGDGPYNLLPDIDVSKSLMYTPLILNRVSTSSASFQGDPSADYFIGVNGITINTKPVSFNKTLLSINKEGQGGTKISTVTPYTVMQTSIYRAVVNAFIKQTSKVARVPAVAPFSACYNAKSLGSTRVGPAVPQIDLLLPNKVVWRIFGANSMVQVNKDVLCLGFVDGGLEPTTSIVIGGHQIEDNLLQIDLAASKLGFSSSLLFRRTTCSNFNFTSTA >KJB70913 pep chromosome:Graimondii2_0_v6:11:10378180:10382340:-1 gene:B456_011G095500 transcript:KJB70913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase 1B, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14660) UniProtKB/Swiss-Prot;Acc:Q9FUZ2] MACASWLHLHSPSLTRVILPILHPPTAFLHRVNIFTSPARFTSSVNQTNPLLTPVRAQAKRGFSSKDHKMASAEDLQFEPPLKIVEYPDPILRKRNKRIDTFDENLKKLVDEMFDVMYKTDGIGLSAPQVGINVQLMVFNPVGERGEGQEIVLVNPRVAKYSKKMVLFNEGCLSFPRIYADVQRPESVKIDAQDINGATFTIDLSELPARVFQHEFDHLQGILFFDRMTDEVLDSICKQLEELEKKYENKTGLPSPEKVETRKRKKAGVGFGKS >KJB70912 pep chromosome:Graimondii2_0_v6:11:10378212:10382412:-1 gene:B456_011G095500 transcript:KJB70912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase 1B, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14660) UniProtKB/Swiss-Prot;Acc:Q9FUZ2] MACASWLHLHSPSLTRVILPILHPPTAFLHRVNIFTSPARFTSSVNQTNPLLTPVRAQAKRGFSSKDHKMASAEDLQFEPPLKIVEYPDPILRKRNKRIDTFDENLKKLVDEMFDVMYKTDGIGLSAPQVGINVQLMVFNPVGERGEGQEIVLVNPRVAKYSKKMVLFNEGCLSFPRIYADVQRPESVKIDAQDINGATFTIDLSELPARVFQHEFDHLQGILFFDRMTDEVLDSICKQLEELEKKYENKTGLPSPEKVETRKRKKAGVGFGKS >KJB70911 pep chromosome:Graimondii2_0_v6:11:10378233:10382336:-1 gene:B456_011G095500 transcript:KJB70911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase 1B, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14660) UniProtKB/Swiss-Prot;Acc:Q9FUZ2] MACASWLHLHSPSLTRVILPILHPPTAFLHRVNIFTSPARFTSSVNQTNPLLTPVRAQAKRGFSSKDHKMASAEDLQFEPPLKIVEYPDPILRKRNKRIDTFDENLKKLVDEMFDVMYKTDGIGLSAPQVGINVQLMVFNPVGERGEGQEIVLVNPRVAKYSKKMVLFNEGCLSFPRIYADVQRPESVKIDAQDINGATFTIDLSELPARVFQHEFDHLQGILFFDRMTDEVLDSICKQLEELEKKYENKTGLPSPEKVETRKRKKAGVGFGKS >KJB69279 pep chromosome:Graimondii2_0_v6:11:999465:1003347:-1 gene:B456_011G014200 transcript:KJB69279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSSKHKPSDPPSMPTTQPKQVQTQEVSMPQTRQPQQVQTQKVSVPEAQVPQTRQPQAVSVPLKPSAASTRPIQTMEDTVLGKPLEDIKQYYKLGKELGRGQFGITYLCTENSTGNTYACKSILKRKLRSKQDREDIKKEVQIMQHLSGQPCIVEFRGAYEDRQSVHLVMELCAGGELFDRIIAEGHYSERAAAGIFKSVVNVVHICHFMGVIHRDLKPENFLLSSKDAGAMLKATDFGLSVFIEEGKRYRDIVGSAYYVAPEILRRSYGKEVDIWSAGIILYILLSGVPPFWAETEKGIFDAISEGKLDFESQPWPSISETAKDLVRKMLTKDPKKRLTSAQVLEHPWMREDGEASDKPIGSAVLSRLKQFRAMNKLKKLALKVIAENLSEEEIKGLKVMFTNMDTDKSGTITYEELKTGLARLGSKLSEAEVKQLMEAADVDGNGTIDYIEFISATMNRYRLDRDELLYKAFQYFDKDNSGYITKDELETAMKEYGMGDEASIRAVISEVDTDNDGRINYEEFCTMMRGGTQQTEKAFLDTINSSIT >KJB69977 pep chromosome:Graimondii2_0_v6:11:4050618:4051200:-1 gene:B456_011G051800 transcript:KJB69977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KNLYKYCLPKSSFRQRQQTSRQKFGKSFEVKMGTVVVISLPLIIFCILLGTGCFFFGRAKGRQDFRTNPQVYGVPAPPPGVPTTSFPSPPHTKPRPPVAATTSFPSPPHTKPDNLVNV >KJB73508 pep chromosome:Graimondii2_0_v6:11:55796939:55797898:-1 gene:B456_011G2367001 transcript:KJB73508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSSIAKRLDGKVAMITGGASGLGECT >KJB70527 pep chromosome:Graimondii2_0_v6:11:7626348:7632593:1 gene:B456_011G077400 transcript:KJB70527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGEDEVEYYSDPEEVKCSLTMQRRKAASDDEEGEGEVDNNNAVRMNPRAVIHSDESDSQGGAADYDDEEEELYSEEEEEEENYDGVEEEDVDEEEIEEVMRRREVQGNAERTGGAAVMDNGNRNVDEGVEISNGNNHVGEEDEDEKKENEPFVVPTAGAFYMHDDRFRDNVGGRHRQMRGGRKLWESKDDRKWGHDKFEEMTLQGKRYKEGRRSSRGRYRARSKNAVQDHGYSTGSRSKAFGKNYNQNQAPKAVRGRGPKRYEPTMKNGCQASPVLNKPSWKPIEKTSQANSSRASTTATNADTVSIPSKKHIFASSLSSASPPFYPSGSSKKDISLTQRKDAQAGSVSRDLRPSVTDEKFSLFQSNSLRGKNVLDPLGMAKLYIDDSTTSASVKPLANMQMLPTGSSLGNTSQPSQSRVQGRVIANPGPKAYQPAPHHNQVNRVSPPVQVNAVQRIPAQGRAHSSVQAATQQLGQHPSIGSQAASPPKTAMSVSSYESGEVESSETSKPEGALVNKGKSNLQGAGRGSYLYGGAQIIGATGNMSISHGDQNFPAFLPVMQFGGQHSGGLSVPAVGMAFPGYVAQPQLGTRNSEMTWLPVLTGAAGALGPTYCPPYIAVDGAYNARPSGQTSSTGSSSKENISKKPNNEWKPSQRPELVSDELGQRQNNPNKQPRRYSEMSFSK >KJB71364 pep chromosome:Graimondii2_0_v6:11:16780669:16783565:-1 gene:B456_011G121400 transcript:KJB71364 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein 31 [Source:Projected from Arabidopsis thaliana (AT5G08480) UniProtKB/Swiss-Prot;Acc:Q9FNP0] MEKPASQTATPCKPLTTFVQTDSNTFQEIVQRLTGPSESDPAQGAATKGPGLKRPISKLHERRQSMRPKLEIVKPPLSFKPATSPSRSGSSNLLASPVGTPSTIFSKLTFLEDEYIEELTKTELNTVEEEKAIKERRFYLHPSPRSRAGKTDPELLVLFPLTSPRTNNKA >KJB69941 pep chromosome:Graimondii2_0_v6:11:3963384:3968846:-1 gene:B456_011G050800 transcript:KJB69941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKDGKSRQFAFIGYRTEQEAEAAIKYFNKSYLDTSRIICEIARKVGDPNTPRPWSRYSAKKQDNLTEATENTVGIKGSALTDFKGGKNDIENGENDDDPQLQEFLQLMQPRVKSKMWANDIVLDTPVNQSSKATERKGVAEKEGRQTSALVEPDSDETSIASDEDETKASDNVACDEVISDMDYFKSRVKKDWSNSESDDDEENDDDGDGQKGKQKSLSENVIQDETQEDSSKDLDGETLDPGNPSLGVKDDRDEVLESGRLFVRNLPYTANEDELTELFGKFGDISEVHLVIDKDTKRSKGIAYVLYKVPECAVRALEEVDKSIFQGRLLHVMPAKLKKPADKQEINVSTNQGSKSFKQKREEERKASEAGGDTKAWNSLFMRPDTVVENIARKYGVSKSELLDREADDLAVRIALGETQVISETKKALTNSGVNISSLEEIAAGKMDGKKRSNHVLLVKNLPYGSSEGELAKMFGKFGSLDKIILPPTKTLALVVFLEPAEARAAFKGLAYKRFKDAPLYLEWAPDNVLNKSSASEGDKKNNVAVGEHDVKRAILEQHVEGISDADIDPDRIESRSLFVKNINFKTTEESLKNHFTELVKEGRVKSIRVKKHLKNGKQVSMGYGFIEFDSVETATNICRDLQGTILDGHALILQLCHAKKEQAVKNVEKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGNHRGFAFVEFVTKQEAQNALQALSSTHLYGRHLVLERAKEGETLEELRARTAAQFTNEENGFQNPTRLSKKRKNMDSLDDGRKKLEKFSD >KJB69942 pep chromosome:Graimondii2_0_v6:11:3963446:3969099:-1 gene:B456_011G050800 transcript:KJB69942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRICVKNLPKHIAEDRLRDFFSQKGEVTDAKLMRTKDGKSRQFAFIGYRTEQEAEAAIKYFNKSYLDTSRIICEIARKVGDPNTPRPWSRYSAKKQDNLTEATENTVGIKGSALTDFKGGKNDIENGENDDDPQLQEFLQLMQPRVKSKMWANDIVLDTPVNQSSKATERKGVAEKEGRQTSALVEPDSDETSIASDEDETKASDNVACDEVISDMDYFKSRVKKDWSNSESDDDEENDDDGDGQKGKQKSLSENVIQDETQEDSSKDLDGETLDPGNPSLGVKDDRDEVLESGRLFVRNLPYTANEDELTELFGKFGDISEVHLVIDKDTKRSKGIAYVLYKVPECAVRALEEVDKSIFQGRLLHVMPAKLKKPADKQEINVSTNQGSKSFKQKREEERKASEAGGDTKAWNSLFMRPDTVVENIARKYGVSKSELLDREADDLAVRIALGETQVISETKKALTNSGVNISSLEEIAAGKMDGKKRSNHVLLVKNLPYGSSEGELAKMFGKFGSLDKIILPPTKTLALVVFLEPAEARAAFKGLAYKRFKDAPLYLEWAPDNVLNKSSASEGDKKNNVAVGEHDVKRAILEQHVEGISDADIDPDRIESRSLFVKNINFKTTEESLKNHFTELVKEGRVKSIRVKKHLKNGKQVSMGYGFIEFDSVETATNICRDLQGTILDGHALILQLCHAKKEQAVKNVEKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGNHRGFAFVEFVTKQEAQNALQALSSTHLYGRHLVLERAKEGETLEELRARTAAQFTNEENGFQNPTRLSKKRKNMDSLDDGRKKLEKFSD >KJB73822 pep chromosome:Graimondii2_0_v6:11:61333743:61334300:1 gene:B456_011G281500 transcript:KJB73822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTYMLVWILIICLSQVAVQSQYYSKSLPYHPKPVKVTNLHFFLHETLGSENPTAVVIAQANIPSNHNNSSVPFATLYALDDPLKIGSEHDSEVIGNAQGLTVYAGTNTTDAVMYVDFGFTTGKFKGSSISIFSRNPTGEIEREVAVIGGRGQFKMATGFALLKAYFINATNVIIEYNVTVIHY >KJB73043 pep chromosome:Graimondii2_0_v6:11:51051691:51053513:1 gene:B456_011G211300 transcript:KJB73043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSMARAWSLLLLLIILVFGAFVEGSHGSKLSQNYYKSTCPQVLSIVKAKTESTLKKKPRMGASLLRLHFHDCFVNGCDGSILLDDDSTFIGEKTALANNNSARGFELVDDIKAEVEKTCPGVVSCADILAIAARDSTVVLGGPSWKVKLGRRDSITASRADANKFIPAPSFTLSALKSNFHAQGLSLKDLVALSGAHTIGLARCTTFRAHIYNDSNIDPSFAKSLQHKCPRTGKDNIHRRLDLRTPISFDNFYFRNLLKKKGLLRSDQELFNGKSSDSLVKKYAADSSKFFKQFTKSMIKMSNIKPLTGNSGQIRINCRKVN >KJB69981 pep chromosome:Graimondii2_0_v6:11:4080531:4081563:-1 gene:B456_011G052200 transcript:KJB69981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSEEPPSCSKCLVVLFIFFIACTKYCNAQTPTATTDPSEGMVFYSSFSRTDEMTKSIKIIQQVLEGILGGPYENLEIHCFDRERDP >KJB71667 pep chromosome:Graimondii2_0_v6:11:20936048:20938889:1 gene:B456_011G136500 transcript:KJB71667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMIVVSFMYSMYQKLRRIYGMFGWGNRYAFPQFLGPTTKRMFGWVMLLHPDSVPHLLPTLAVIAILMFKNGLGIPPIHYHLFRFLTSSSPTFARSRSLLGGKVFRSIGRVAYRDSPYSRDRRNNRHIAVECNSTTICWNCKEPGHLAGQCPYEPVCNMCCKMGHLARDCLNPRLPAHDARLCNNCYKAGHFVADCTNEKACNNCHKTGHLARDFHNEPVCNICNI >KJB70244 pep chromosome:Graimondii2_0_v6:11:5491893:5496375:1 gene:B456_011G065000 transcript:KJB70244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKWKNVFFVFWLLSSTAQSFYLPGVAPRDFQRGDPLYVKVNKLSSTKTQLPYDHYYLDYCKPAKIVNSAENLGEVLRGDRIENSVYTFEMREDQPCKVVCRKKLDAESAKNFKEKIDDEYRVNMILDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGNYAGSKEEKYFINNHLSLRVMFHRDTETDAARIVGFEVTPNSINHEYKEWDEKNPQIATCNKDTKNLIPGSTVPQEVDAGKEVVFTYDITFKESDIKWASRWDTYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMMRTLYKDISNYNQLETQDEAQEETGWKLVHGDVFRAPINYGLLCVYVGTGVQVFSMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGIFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFVVFFVLNALIWGEQSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKRPAIEDPVKTNKIPKQVPEQAWYMKPVFAILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILIITCAEITIVLCYFQLCSEDYHWWWRSYLTAGSSALYLFLYSIFYFFTKLEITKLVSGLLYFGYMVIVSYAFFVLTGTIGFYACFWFVRRIYSSVKID >KJB71368 pep chromosome:Graimondii2_0_v6:11:16160889:16170239:-1 gene:B456_011G118800 transcript:KJB71368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQRKLLLNERVEVRQFEEGLRGSWHPGVVVGVSHLSRSIEYDELLCETGDSKLIESIPVTEAVEGLHTRRHVPSTYRGYIRPLPPSSQPHSNQNLGFGVCVDALFEDAWWEGVILDNDDNASERSVYFPDEDDECKFSASQLRVAHEWDEFLGIWRDRGIWVLVELAKELEGDVPLACCVKKVWSSLRLNYGFGKMISEWTCGVRTVWRTYFLEVVQEIAVGSSRRNLANRKILAWMVGRRNKKSKDPGKTNSYLSDISAQAGSCTDFKAVGSCRKGEGKGPLGKHKGRRRSVMSKLKHEELPKMNPSTRKSSVADKSCGEKQVMEESGKLDSGIDKQKSPILSENEGNQLSVDCSRGNKTISFSVSDVQDNQSIYRTFTKHGQIDGNHTVIFPDCSKVQASMQSFFSVIPKKRRSSLIRKRFSKNHQGPNSKVKNPSKVKKGNNKASFLVYKNDSVLRRRFSKKTKQADAKVEGNPKKEEKGVDVINKDDALPDGHRCLSLPVDNCGKKFRLKDMVSRSRRRKRKRRCCGSRLCDTICSVCHYGGDLMVCGYCPCSYHLSCINLKDIPNEKWFCPSCCCGLCCMRDCKDDSEHFTKACLQCTRQYHVACLSEVQHMSSTDYLFGSFCSEACYKLCAQLHQLLGISNPTTVDGLSWTLMRSLKNVYGFPDMLKTHTWIKLSDVLRVIHECFEPVKDPHTKRDLVRDVVFNSGSKLKRVDFRGFFAMVLHNGHEILSIATVRIHGLKVAEMPLIATPFQYRRQGMCRLLFKELEKLLVQMGIERLVLPAIPQLRETWEKSFGFLEMPLSERLQFLGYPFLAFQGTIMLQKFLRNSIVNKEMRDFSGKSSDFGGNASNIFKKHTQGSDSEDKFYGLLYKRRLKLEVIGKENLANNCGGRTRLSKKLCKQRRILAGRD >KJB71367 pep chromosome:Graimondii2_0_v6:11:16162378:16170053:-1 gene:B456_011G118800 transcript:KJB71367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQRKLLLNERVEVRQFEEGLRGSWHPGVVVGVSHLSRSIEYDELLCETGDSKLIESIPVTEAVEGLHTRRHVPSTYRGYIRPLPPSSQPHSNQNLGFGVCVDALFEDAWWEGVILDNDDNASERSVYFPDEDDECKFSASQLRVAHEWDEFLGIWRDRGIWVLVELAKELEGDVPLACCVKKVWSSLRLNYGFGKMISEWTCGVRTVWRTYFLEVVQEIAVGSSRRNLANRKILAWMVGRRNKKSKDPGKTNSYLSDISAQAGSCTDFKAVGSCRKGEGKGPLGKHKGRRRSVMSKLKHEELPKMNPSTRKSSVADKSCGEKQVMEESGKLDSGIDKQKSPILSENEGNQLSVDCSRGNKTISFSVSDVQDNQSIYRTFTKHGQIDGNHTVIFPDCSKVQASMQSFFSVIPKKRRSSLIRKRFSKNHQGPNSKVKNPSKVKKGNNKASFLVYKNDSVLRRRFSKKTKQADAKVEGNPKKEEKGVDVINKDDALPDGHRCLSLPVDNCGKKFRLKDMVSRSRRRKRKRRCCGSRLCDTICSVCHYGGDLMVCGYCPCSYHLSCINLKDIPNEKWFCPSCCCGLCCMRDCKDDSEHFTKACLQCTRQYHVACLSEVQHMSSTDYLFGSFCSEACYKLCAQLHQLLGISNPTTVDGLSWTLMRSLKNVYGFPDMLKTHTWIKLSDVLRVIHECFEPVKDPHTKRDLVRDVVFNSGSKLKRVDFRGFFAMVLHNGHEILSIATVRIHGLKVAEMPLIATPFQYRRQGMCRLLFKELEKLLVQMGIERLVLPAIPQLRETWEKSFGFLEMPLSERLQFLGYPFLAFQGTIMLQKFLRNSIVNKEMRDFSGKSSDFGGNASNIFKKHTQGSDSEDKFYGLLYKRRLKLEVIGKENLANNCGGRTRLSKKLCKQRRILAGRD >KJB71366 pep chromosome:Graimondii2_0_v6:11:16155726:16170239:-1 gene:B456_011G118800 transcript:KJB71366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQRKLLLNERVEVRQFEEGLRGSWHPGVVVGVSHLSRSIEYDELLCETGDSKLIESIPVTEAVEGLHTRRHVPSTYRGYIRPLPPSSQPHSNQNLGFGVCVDALFEDAWWEGVILDNDDNASERSVYFPDEDDECKFSASQLRVAHEWDEFLGIWRDRGIWVLVELAKELEGDVPLACCVKKVWSSLRLNYGFGKMISEWTCGVRTVWRTYFLEVVQEIAVGSSRRNLANRKILAWMVGRRNKKSKDPGKTNSYLSDISAQAGSCTDFKAVGSCRKGEGKGPLGKHKGRRRSVMSKLKHEELPKMNPSTRKSSVADKSCGEKQVMEESGKLDSGIDKQKSPILSENEGNQLSVDCSRGNKTISFSVSDVQDNQSIYRTFTKHGQIDGNHTVIFPDCSKVQASMQSFFSVIPKKRRSSLIRKRFSKNHQGPNSKVKNPSKVKKGNNKASFLVYKNDSVLRRRFSKKTKQADAKVEGNPKKEEKGVDVINKDDALPDGHRCLSLPVDNCGKKFRLKDMVSRSRRRKRKRRCCGSRLCDTICSVCHYGGDLMVCGYCPCSYHLSCINLKDIPNEKWFCPSCCCGLCCMRDCKDDSEHFTKACLQCTRQYHVACLSEVQHMSSTDYLFGSFCSEACYKLCAQLHQLLGISNPTTVDGLSWTLMRSLKNVYGFPDMLKTHTWIKLSDVLRVIHECFEPVKDPHTKRDLVRDVVFNSGSKLKRVDFRGFFAMVLHNGHEILSIATVRIHGLKVAEMPLIATPFQYRRQGMCRLLFKELEKLLVQMGIERLVLPAIPQLRETWEKSFGFLEMPLSERLQFLGYPFLAFQGTIMLQKFLRNSIVNKEMRDFSGKSSDFGGNASNIFKKHTQGSDSEDKFYGLLYKRRLKLEVIGKENLANNCGGRTRLSKKLCKQRRILAGRD >KJB70682 pep chromosome:Graimondii2_0_v6:11:9067011:9068007:1 gene:B456_011G087000 transcript:KJB70682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKLFAVVVALVIIFSSLQIVTGKHAISASPAGSPYVNAPNMSSFFPSQAPPQWPDSGAFGPIPSSGEFVGKSSCTSAKPDVTILVLLQLFFLLVMRFVSTV >KJB72678 pep chromosome:Graimondii2_0_v6:11:45434609:45435798:1 gene:B456_011G190000 transcript:KJB72678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALRNKWSVHKDGWKTQKTRSSKMGSSRFSFSFLAICAILAIHVAASASSPMLDFGGDHFLPIKSECGGSIAECLMLSGEDSSEFDGEFAMDSEINRRILATRRYISYGALRRNTVPCSRRGASYYNCRPGAQANPYSRGCSRITRCRR >KJB73958 pep chromosome:Graimondii2_0_v6:11:59462062:59473561:1 gene:B456_011G263600 transcript:KJB73958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVIAIVGSIVAKAVEYTISPIKNHVKYLSNHQQYVETLKNRANRLKDARDGVQHSVDAAKRNGEEIEGDVDKWLSAVDKMILEQVEKVIQDEEKAKKKCFIGLCPNFRTRYKLSLKAEEEAKAVAELLEHGKFERVSYRAAPQGIVVAPVKGYEEFESRMPILNGIMEALKDDSVSVVGVHGMGGIGKTTMVKEIARKVKGKLFDSVVIATVTQAIDIEKIQNQIADFLGLKFEEQSMVGKAFRLRERLKEKRILVVLDDIWEKLDIEEVGIPLGDEHKGCKLLLTSRELNVLLNGMDAQKNFPIGVLNEKEAWDLLKKKAGDCVESCDLKPIAMEVAKKCAGLPIAIATVAGALRNKRLFEWKNALRELERPSSSNFTGINAAYSAIEWSFNYLESEEVKLTFLLCSVIGHNGLVEDLVRYTLGLGLFDGVYTMEEARNKVLTVVANLKASALLLDSYNDERFDIHDVVWDAALAIALKDYRMLVLRDHVPKEWSDKEKINSWSVISLRCPQIIANLPKEMECSGLSFFHMAGAVKIPPNFFKQTKGLKVLDLFRMQFSSLPKSIIHLTDLRMLCLKGCAVDDITIIGELKNLEILDLAKSGIKELPKEMAQLTQLRLLDLSWCRELEIISPNVLSSLSELKELYMGGSYVEWEKEGVAENEKKNASLDELNNLPCLSTLDVHIPDAQMIPKHRFVETLDKYVICVGGYNRFGWYQSRECLRTLRLKLCTNIHLDNGLKMLLIKTEALYLEGLEGVKNVLVELNNGKNLPHLKRLHIKNGMHVQYITMNEIGVSELCSITLENLPQLISFCSQDERCSIISEPLPLFNKQTCHWVTNLRRLIIKGCGKLEHLLSPSLARSLVQLQCFEIEDCKRLRDIILTEEIEEERKDVICFPRLNSLQIVGLPNLIFFNSGNHNIEFPLLKELKIERCPKLIEFISQNSNQSGINALFSEKVAFPRLEKMTINKLRNVKMMFHNDFAPGSFQNLRKIRVERRGSLKNLFPVSIAKDLPQLEHLSITNCGVEEIVSKGEGVEEQPVRFEFPQVSSLEVTSLKELKCFYEGQHTIVWPLLKILKTDSSALLKIVASEHLRLIQGNEEPVLLVEEVIPNLEELELLNFGDMDQFPPALFQDIKVFVVRGGSRSSIFPFVRRFYNLDSFILCDFDFKYVVPCKGDVRTLTPIRNLMLNRAMNLNHVWRKDSEFDHILSNLQNLEVWECDDLINITVSSSSLQNLTTLNVSFCQMMTNLVTPLVVKNLVQLTRMRVTECTKMTEIVGNGRDCHQTIVVSKLKCLELRYLQSLTSFCSRNYTFNFPCLEELVVECCPRLKTFTEGVLSTPQLQRVKQSSSREKWSWASDLNTTIQQLYTEKDGFFDGNFLDISDTIPKSIEIWIRNPQEILGLKNLVSLHFYKF >KJB70508 pep chromosome:Graimondii2_0_v6:11:7504457:7506919:1 gene:B456_011G076700 transcript:KJB70508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCKTKTTLENPNSKLLLMSSPPKNIDLISCGSMIHVSDIKLIRTDTTLDLSQKAEKASQAIYPHVTKNYVLYFVTNYFLQLEIGRGCAHASPTATNYDIGSPSWGDLKAAPLPSAMVVTTLGHESS >KJB71525 pep chromosome:Graimondii2_0_v6:11:18159036:18162999:1 gene:B456_011G127000 transcript:KJB71525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKVLVFLVLVLVSAVVVESQQPTFNDDVLGLIFFKAGLKDPTAKLQSWSADDNDPCNWMGVKCDPTTYRVTELHLDGLSLSGHVGRGLLRLPFLQVLSLSKNNLTGTINSELSRIGSLRVIDLSGNSLSGSIPDDFFTQCGSLRSISFARNNLTGELPASLSSCSTLVAVNFSSNQISGQLPSEIWYLRSLQSLDMSGNLLEGEIPEGIGNLYDLRQINLGNNRFSGRLPGDIGSCSHLKSVDFSDNYLYGSLPDSIRKLGSCSSISLGGNSLRGQVPDWIGELTSLESLDLSANNFSGKVPSSLGNLQLLRELNLSMNQFTGALPESMANCYNLLAIDVSQNLLTGNVPSWMFKMGVQGALISGNKLMGNVKSPSLASTVPSYQGLRMLDLSSNALSGEIPSNLGVLSSLLFFNMSRNHLFGSIPASIGELKATQVIDLSHNLLNGSIPSEIGGAVSLKELRLQRNFLSGKIPTQIVKCSSLTVLILSRNNLSGSIPTAISNLSNLQYVDLSLNDFTGSLPKELANLSQLMFFNISHNHLHGELPLGGFFNTIPTSSVLGNPSLCGSVVNRSCPAVHPKPIVLNPNSSDSIGGSSPNHHRKKIVLSISALIAIGAAAFIVIGVVAITVLNIHVRSSMSRAPAALTLSGGEDFSCSPNNDPNYGKLVMFSGDADFVAGAHALLNKDCEIGRGGFGVVYRTILRDGRSVAIKKLTVSSLIKSQDEFEREVKRLGKIRHHNLVALEGYYWTSSLQLLIYEFVSNDSLYKHLHEPDRSCPSWRQRFNIILGMAKGLAYLHRMNVIHYNLKSTNVLIDCSGEPKVGDFGLARLLPTLDRCVLSSKIQSALGYMAPEFACKTVKITEKSDVYGFGVLVLEVITGKKPVEYMEDDVVVLCEMVRGALEDGKVEECVDRRLRSNFPTEEAIPVIKLGLICASQVPSNRPDMEEVVNILELIQCPSEGQEELE >KJB69248 pep chromosome:Graimondii2_0_v6:11:878079:883801:1 gene:B456_011G012300 transcript:KJB69248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMVAEGELSNDRTGHGMQVGFSWHVTRKRNAGRKKRKGSSGQFLTGSRNKNPSKKRKLSKEIEFLEDEKVPKRHRIGIDKMTTSVEEDVLDEWDEEATVFMNIKKRSKRRNLDGAMTERIPQENKDAMTERSPEETKKENCDRFMNVKVQSKGRNLVGAMTERSPQECEENAMTESIPQETKENCDLFMNIKAQSKHRNLDSDMNERSPRETKKDAMIERSPRETKKDAMIERNPQETKKENCNLSMNIKANLDSAMTERSPQETKKENCDLFMNTKARSKHRNLDSAITKRSPWETKKKNCDLSINIKGRSKGKNLDSAMAERSGNDTSTKKNVKKIEGSCFFQDKRKNFKCHQCMTGRKAVVPCLKCKEKVYCTVCIKQWYPNIPIVEIAKQCPFCCGNCNCSICLQSSGLIKTSKRDITDEEKIKHLQHLIESMLPFMKQICIMQKKETEVDANIQGLLPSEVEIQQTLCYADERIYCDHCATSIFDLHRSCPKCSYELCLSCCKEIREGILSIRDDVPYGYRDRGWDYMHGEDPLPESYLQEKVEKQPGPSIQWEANNDGSITCPPKEIGGCSDCRLELKRILPMGWISNLDTKAWEILSNCRIRQWLKTLNGDNTSGEGQIDNGLYSSTSTDNLDEGFRHFQTRWAKGEPVIVKNTLANSSGLSWEPMVMWRALCKRLEMPEVKAIDCLAGCEVEINTRQFFKGYTEGRAYNNLWPEMLKLKDWPPSDKFEDLLPRHCDEFISMLPFQEYCDPRSGILNLAVKLPNCVLKPDLGPKTYIAYGVAEELGRGDSVTKLHCDLSDAVNILTHTSEVALSDEQLAAMEKLKMKHKAQDEKESLERERLKKGFDESEATNWGDNILYVSSGEFRGASLPEPLTSRKDIGGALWDIFRREDVPKLEAYLREHYTEFRHTYCSPVEKVIHPIHDQSFYLTAEHKRRLKEKYGVEPWTFEQNLGEAVFIPAGCPHQVRNLKSCTKVALDFVSPENIQECLRLTEEFRKLPKNHRAREDKLEVGTSFR >KJB69246 pep chromosome:Graimondii2_0_v6:11:878079:882012:1 gene:B456_011G012300 transcript:KJB69246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMVAEGELSNDRTGHGMQVGFSWHVTRKRNAGRKKRKGSSGQFLTGSRNKNPSKKRKLSKEIEFLEDEKVPKRHRIGIDKMTTSVEEDVLDEWDEEATVFMNIKKRSKRRNLDGAMTERIPQENKDAMTERSPEETKKENCDRFMNVKVQSKGRNLVGAMTERSPQECEENAMTESIPQETKENCDLFMNIKAQSKHRNLDSDMNERSPRETKKDAMIERSPRETKKDAMIERNPQETKKENCNLSMNIKANLDSAMTERSPQETKKENCDLFMNTKARSKHRNLDSAITKRSPWETKKKNCDLSINIKGRSKGKNLDSAMAERSGNDTSTKKNVKKIEGSCFFQDKRKNFKCHQCMTGRKAVVPCLKCKEKVYCTVCIKQWYPNIPIVEIAKQCPFCCGNCNCSICLQSSGLIKTSKRDITDEEKIKHLQHLIESMLPFMKQICIMQKKETEVDANIQGLLPSEVEIQQTLCYADERIYCDHCATSIFDLHRSCPKCSYELCLSCCKEIREGILSIRDDVPYGYRDRGWDYMHGEDPLPESYLQEKVEKQPGPSIQWEANNDGSITCPPKEIGGCSDCRLELKRILPMGWISNLDTKAWEILSNCRIRQWLKTLNGDNTSGEGQIDNGLYSSTSTDNLDEGFRHFQTRWAKGEPVIVKNTLANSSGLSWEPMVMWRALCKRLEMPEVKAIDCLAGCEVEINTRQFFKGYTEGRAYNNLWPEMLKLKDWPPSDKFEDLLPRHCDEFISMLPFQEYCDPRSGILNLAVKLPNCVLKPDLGPKTYIAYGVAEELGRGDSVTKLHCDLSDAVRLNSPKILVA >KJB69247 pep chromosome:Graimondii2_0_v6:11:878079:882067:1 gene:B456_011G012300 transcript:KJB69247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMVAEGELSNDRTGHGMQVGFSWHVTRKRNAGRKKRKGSSGQFLTGSRNKNPSKKRKLSKEIEFLEDEKVPKRHRIGIDKMTTSVEEDVLDEWDEEATVFMNIKKRSKRRNLDGAMTERIPQENKDAMTERSPEETKKENCDRFMNVKVQSKGRNLVGAMTERSPQECEENAMTESIPQETKENCDLFMNIKAQSKHRNLDSDMNERSPRETKKDAMIERSPRETKKDAMIERNPQETKKENCNLSMNIKANLDSAMTERSPQETKKENCDLFMNTKARSKHRNLDSAITKRSPWETKKKNCDLSINIKGRSKGKNLDSAMAERSGNDTSTKKNVKKIEGSCFFQDKRKNFKCHQCMTGRKAVVPCLKCKEKVYCTVCIKQWYPNIPIVEIAKQCPFCCGNCNCSICLQSSGLIKTSKRDITDEEKIKHLQHLIESMLPFMKQICIMQKKETEVDANIQGLLPSEVEIQQTLCYADERIYWDRGWDYMHGEDPLPESYLQEKVEKQPGPSIQWEANNDGSITCPPKEIGGCSDCRLELKRILPMGWISNLDTKAWEILSNCRIRQWLKTLNGDNTSGEGQIDNGLYSSTSTDNLDEGFRHFQTRWAKGEPVIVKNTLANSSGLSWEPMVMWRALCKRLEMPEVKAIDCLAGCEVEINTRQFFKGYTEGRAYNNLWPEMLKLKDWPPSDKFEDLLPRHCDEFISMLPFQEYCDPRSGILNLAVKLPNCVLKPDLGPKTYIAYGVAEELGRGDSVTKLHCDLSDAVRLNSPKILVA >KJB69112 pep chromosome:Graimondii2_0_v6:11:478829:482841:-1 gene:B456_011G005900 transcript:KJB69112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQVQGSVLGNTNILLCLSKAPSPPFPMLIPLRLHKAKRCCFVAAHSTSSTPLSSNHRESGRPLANFPPDIWGDRFLTLSFDISELDRCSTQVEVLKETVKDMLMASTTDPLHNILLINSLCRLGVSYHFETEIEQQLAHCFDTLSQLIHNNDFNLHETAIMFQVFRFHGYNMSPNIFNKFKDENDEFKVKNTKELISLYEASHFRINGELVLDEACAFTTSQLKSMVSRTSPPYAQYIENALYCPYQRGLPRLEARQYICFCEKDEDGDEARNDTLLKFAKYDFNRIQMMHQQELSNLCSEWKEQNMESRLPHARSRIVECFFSAIAVYFEPCYARACNMYAKLVSTLVLTDDTYDAYGTYEELQYFTDAIQRFDIGVIDELPTNYLKIVYETILNIHNEAEDKMRKEGRSYAISYTINEFKKLAEAYFVERRWVHRSYVPTFDEYMDTAMTSSAGLVSVCQALIGMEEADEIAYQWLIKTDNKLQKTVNKIGRLYDDLSTNEAEEKRGLVCGTSCYMKQYGVTRQEAVEAYREMIEVAWKDMNEGCLKPMPVSNKIAVRALNIARLVLVLYKKDDGLTRPELSLKDAIAKVLIHPIPL >KJB70122 pep chromosome:Graimondii2_0_v6:11:4744383:4746352:1 gene:B456_011G058900 transcript:KJB70122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEESAEPNPSQDHILDWLEDSASLLPSFLDDPYSPGDISGYEWWDPNHDFGQDLITIGAAAASINSPAIAAAVSNVDAGLVQSDSAVVNPPVPLSSSKRRRPTDSIVPRMSKKSSNGKNDENEDGKAGVEEVVGNKRSAGNKRNKSSGNNGNNKEGRWAEQLLNPCATAITTGNLTRVQHLLYVLNELASSTGDANHRLADHGLRALTHHLSSSSASTAPVTFASTEPKFFQRSLLKFYEVSPWFAFPNTIANASILQILAQEPDKPRNLHILDIGVSHGVQWPTLLEALSRRPGGPPPVVRITVVAATAENNQITDTPFSIGPPGHDFYSRLPGFAKSMNINLQINRLENHPIQHLNAQIINNSHGETLIVCAQFRLHHLNHNGPDQRTEFLKVLRSLEPKGVILSENNMDCSCSSCGDFATGFSRRVEYVWKFLDSTSSAFKGRESEERRVMEGEAAKALTNQGEMNEGKEKWYERMQGVGFRAEVFGEDAIDGARALLRKYDSNWEMIVEEKEGCVGLWWKGQPVSFCSLWKLDVKVEES >KJB72802 pep chromosome:Graimondii2_0_v6:11:48051830:48053683:-1 gene:B456_011G199000 transcript:KJB72802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYSLFPQFKNQPSPPAPIHLHRSTSGPSRLHPFPESEVRAKLQTSSTFEFQSLYQLQMKKLPRWYCFQRLTRHVVFWMRVSLLEHKTLMLHLYSE >KJB71562 pep chromosome:Graimondii2_0_v6:11:19038599:19041879:-1 gene:B456_011G129600 transcript:KJB71562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEPHHCFSFLPTFLPLHILIYTPNPVLPEHSRTKSAAVFFHILTMIISRFLRRGILTSTSPTRRRSWWDHVTPALKDPINAVTDAFLADPCPYKINLGVGAYRDDKGKPVVLQRVREAEGKIGATNFLESTSTAISSKLVEESAKLIYREDSDVIKERRFIGIPALSGTIIQNLRWSHILFSLPSHRNIWGDAHVPGRTFHYYHPDSKGLNFVALIDDVKNAPDGSFFLLHPCAHNPTGVDPTDEQWREISSLFKVKNHFPFFDVAYQGFASGDVERDAQAIRIFLEDGHSIGCAQSFAKNLGLYGHRVGCLSILYNDKIQPVVIKSQLKQISNTMYGSPPIHSISLVSKILSNPNIKALWLKEVKVMATRIQIMRATLREALERLRSPLNWQHITNQVRMFCFSGLTPAEVDQLAKEFRIYMTHDGRISMAGVTTKNVDYVARAIHEVTASDRDTKILHNIGAYKVNTDICSTSLGTNKTRARGMNLAL >KJB74343 pep chromosome:Graimondii2_0_v6:11:62095144:62098388:1 gene:B456_011G289400 transcript:KJB74343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGSKSELVPSNFTMEGELQGCKPDALSKCSVLLELAASDDLVAFKTEVEEKGLDLGEASYWYGRRIGSKKMGFEERMPLMIAAMFGSIDVLNYIVGTGKIDVNRACGADGVTALHCAVAGGASSSVQVIKLLLDASADANCVDANGNKPIDLIVPGLKSLSNSKKKVIELLLKGDDVDGGLNLEEESEKTVLKKEYPVDISLPDINNGIYGTDDFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYPYSCVPCPEFRKGACPKGDACEYAHGVFESWLHPAQYRTRLCKDETGCTRKVCFFAHKPDELRPVYASTGSAMPSPRSSAVNAVDMSTLSPLAVGSPSLPLPTASTPPMSPLTATSSPKSGGLWQNKVNLTPPALQLPGSRLKTAFNARDLDLEMELLGLENQLQQQKLIDEISSLSSPSCWSKEYSRLGDMQPTNLDDAFGSLDPSLLSPLHGLSVKSATPTQMQSPTALQIRQNQLRATYPPNHSSSLVRSPSAYGFDSSAAVAAALMNSRSSTFAKRSQSFIDRGAVTNRAGLTAPANSATMMSSNISDWSSPDGKLDWGIQGDELNKLRKSASFGFRNTPTPTPTPTPTPSNINEPDVSWVHSLVKDVTPASSGLMQQQQQQYNIAKGVRERLPPWVEQMYIEQEQMVA >KJB69738 pep chromosome:Graimondii2_0_v6:11:2972343:2973666:-1 gene:B456_011G039800 transcript:KJB69738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSLPNSSLSLITIFLLLFCSSNVANATVPPSRTFKYINEGETGELSVEYFADYRALPISLLPFQLCFYNTTPNAFTLGQRMGCRRSESLMRWVWDANRGRPVLLADADGSVAWQTGTANKGVVGLKIQQNGNLVLYDKKGKFIWQSFDHPTDTLLVGQTLRSNGPNKLVSRTSIADGSEGPYSFVMEQRFLKMSYEFGNGQGSLANLKFYCSPESEQTYAYELGFTFDMKGSTSSGTYILSRPKYNSTYSMLRVEPDGNLKIYTFNENVEWGTWETTFKLFDGDDYESLCNLPRKCGSLGICEDNQCVACPKPKGLMGWSKSCAPPVLSPCKGGDDKVGYYKVVGVEHFTNEYTEGDGPMKLNDCRVKCSNDCGCLGFFYREESSKCLMVPELGTLVKVTNLAHVAYIK >KJB72252 pep chromosome:Graimondii2_0_v6:11:33253294:33255566:-1 gene:B456_011G166700 transcript:KJB72252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDIISEEQIVEFKEAFCLFDKDGNGCVTVEELAMVIGSLDRYPTEEELHDMITEFDADGDGAVEFAEFFNLMAKKMKETDAEEELQEAFKVFDKDQNGYISANELRHVMINLGEKLSDKEVEQMIKEADLDGDGQVNYDDFVKLMTTVG >KJB70892 pep chromosome:Graimondii2_0_v6:11:10273401:10278336:1 gene:B456_011G094500 transcript:KJB70892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPLRSGNVIKPSEMTRLTITTFAGVFLGFLIGVSFPTLSLTKINLPSSLFPSIDLTYIEDKHSGLSTRKLFSALSSLKDDKVVMVASNKSEKTKIWIPTNPRGAERLPPGIITSESDFYLHRLWGQPSEDLTIKPKYLVTFTVGYNQKSNIDAAVKKFSENFTIMLFHYDGLTTEWDEFEWSKRAIHVSVQKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVENFDAEEYIKLVRRHGLEISQPGLDANSASLTWAMTRKRDNTEVHMDTEERPGWCSDQHLPPCASFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCIEPSHEKIGVVDAQWIVHQGVPSLGNQGQPVGGKASWQGVRERCRKEWTMFQDRMTAAEKAYYEAVESNPF >KJB70893 pep chromosome:Graimondii2_0_v6:11:10273356:10278336:1 gene:B456_011G094500 transcript:KJB70893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPLRSGNVIKPSEMTRLTITTFAGVFLGFLIGVSFPTLSLTKINLPSSLFPSIDLTYIEDKHSGLSTRKLFSALSSLKDDKVVMVASNKSEKTKIWIPTNPRGAERLPPGIITSESDFYLHRLWGQPSEDLTIKPKYLVTFTVGYNQKSNIDAAVKKFSENFTIMLFHYDGLTTEWDEFEWSKRAIHVSVQKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVENFDAEEYIKLVRRHGLEISQPGLDANSASLTWAMTRKRDNTEVHMDTEERPGWCSDQHLPPCASFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCIEPSHEKIGVVDAQWIVHQGVPSLGNQGQPVGGKASWQGVRERCRKEWTMFQDRMTAAEKAYYEAVESNPF >KJB70891 pep chromosome:Graimondii2_0_v6:11:10273476:10278316:1 gene:B456_011G094500 transcript:KJB70891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPLRSGNVIKPSEMTRLTITTFAGVFLGFLIGVSFPTLSLTKINLPSSLFPSIDLTYIEDKHSGLSTRKLFSALSSLKDDKVVMVASNKSEKTKIWIPTNPRGAERLPPGIITSESDFYLHRLWGQPSEDLTIKPKYLVTFTVGYNQKSNIDAAVKKFSENFTIMLFHYDGLTTEWDEFEWSKRAIHVSVQKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVENFDAEEYIKLVRRHGLEISQPGLDANSASLTWAMTRKRDNTEVHMDTEERPGWCSDQHLPPCASFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCIEPSHEKIGVVDAQWIVHQGVPSLGNQGQPVGGKASWQGVRERCRKEWTMFQDRMTAAEKAYYEAVESNPF >KJB69017 pep chromosome:Graimondii2_0_v6:11:251240:255094:1 gene:B456_011G003000 transcript:KJB69017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLTGKQQHTPGTIRFLLICIGIGLLLIGLIADIFWASSSRFKLSSLSISNNWSPHSSDFPATFPLMFNEPLNSSANQKPKEKHVKEKSKDGRVLSATFADLPAPQLKWEKMAPAPVPRLDGAAIQIKNLLFVFAGYGTIDHVHSHVDIYNFTDNTWGGRFDMPKEMAHSHLGMVTDGRYIYIVTGQYGPQCRGPTAHTFVLDTETKKWSDMPPLPVPRYAPATQLWRGRLHVMGGSKENRHTPGLEHWSLAVKDGKVLETEWRNEIPIPRGGPHRLLVIQCDYVSPTGIFKCFLVCGGSFAYTLMRICFGNNYQT >KJB69018 pep chromosome:Graimondii2_0_v6:11:251240:257954:1 gene:B456_011G003000 transcript:KJB69018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLTGKQQHTPGTIRFLLICIGIGLLLIGLIADIFWASSSRFKLSSLSISNNWSPHSSDFPATFPLMFNEPLNSSANQKPKEKHVKEKSKDGRVLSATFADLPAPQLKWEKMAPAPVPRLDGAAIQIKNLLFVFAGYGTIDHVHSHVDIYNFTDNTWGGRFDMPKEMAHSHLGMVTDGRYIYIVTGQYGPQCRGPTAHTFVLDTETKKWSDMPPLPVPRYAPATQLWRGRLHVMGGSKENRHTPGLEHWSLAVKDGKVLETEWRNEIPIPRGGPHRACVVFKDRLYVIGGQEGDFMAKPGSPIFKCSRRMEVVYSDVYMLDDEMKWKTLPPMPKPDSHIEFAWAIVNNSLVIAGGTTEKHPITKKMILVGEVFQFNLDTLEWSVIGKLPYRVKTTLVGFWQEYLYFTSGQRDRGPDDPAPRKVIGEMWRTKLKLNR >KJB72586 pep chromosome:Graimondii2_0_v6:11:44346940:44348561:1 gene:B456_011G186300 transcript:KJB72586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESNNKVSNGLDGSTGAESMARRGNTCELILRVVALALTVAAAIVLGVDKQTKVVPIQIAPTLPTVNIAAQAKWHYLSALVYAVVANIIASIYAAISIVIMLGSRKGKSSTRLGQMVGVVDLLMVGLLFSANGAALAIGLMGYKGNSHVRWNKVCNVFDKFCDQVAVSILLSLLAALAFTASVAITLLKFHKRFASKS >KJB72314 pep chromosome:Graimondii2_0_v6:11:38563383:38564367:1 gene:B456_011G172300 transcript:KJB72314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSRKTSAINGGNQRQPSRLQRRAPASLQISPVSSWNVAIPLLSPLVPSPPSIDRRIAEKREDPSRQEQLRQSQTKEPEKLDPKMWKHPAAPFCYEPASLVPPFVPV >KJB72431 pep chromosome:Graimondii2_0_v6:11:43574099:43575085:-1 gene:B456_011G183300 transcript:KJB72431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSRITFRIVIALLLLLALFYVGRPLYWKISATIHDIRHNKQTVQQGISQIMLEAQKSVGWFTDESDSGVHEKTSKQAASRRLLFRVL >KJB70577 pep chromosome:Graimondii2_0_v6:11:8140358:8142674:1 gene:B456_011G080900 transcript:KJB70577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSICVSTAQSFFIQGCWTCYCLGKEIFFQIIVATTNLGDDSKETKMSGSTSQLIPNSSGVESLVRDICSTTSIAEFELKLGGFRLYMVWNLAGKSEPPPPTSSSPKSNETVSTPSLVITRPLSSSGRIESFLDKAADEGLVILQSPKVGFFMISRTIKGKRAPPSCKEKQIVKEGQVLCYIEQLGCEIPIVSDVSGEVIKILQENGDSIGYGDALIAILPSFPGIKKLQ >KJB71543 pep chromosome:Graimondii2_0_v6:11:18506547:18507947:-1 gene:B456_011G128600 transcript:KJB71543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVGDEVLSIGKIAGPIIMTTLLIHSRSIISMLFLSHLGKEELAGGSLAMGFGNITGLSVIKGLAIGMDPICGQAYGARRYSVLSQTFYRALCLLLLISIPISILWLNVEPIFLRLGQDPDATKVAKVYLVAFIPELLAQSFLHPVRTFLRAQGINTPLTIAAICAVLLHPLINYIFTIYFELGVKGVALALACNTFNLNLGLIIYMVMSETPLKPWHDVTIVSVFQGWRPLLALALPSLLSVCLEWWWYEIMLFLCGLLDNPKASVAAMGILIQTTGLLYNFPFSLSNSICTRVSQALGAGRPSCAHRAATIGLLMAFAFGLSAFAVMTVLRSWWGRLFTDEPQILDLIYTVLPILGLCEVGNSPQTAACGVLTGTARPKDGVRINLCSFYLVGLPVAILTTFTFKIGFEGLWFGLLAAQISCVCMMVYTLVRTDWKHQAKRANELTIAAAGKDDLEATLLTSDH >KJB68883 pep chromosome:Graimondii2_0_v6:11:24774010:24774458:1 gene:B456_011G149800 transcript:KJB68883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKKSAVHLILVLQLSFSLLWPPSSTTNTHLTVKERNEVIHRNLASGKPIPCPPRFRGCHPGGAVTICPPDCSQPKGNCVTRAT >KJB71966 pep chromosome:Graimondii2_0_v6:11:25403900:25405498:-1 gene:B456_011G150800 transcript:KJB71966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASQYFSMSLFLLILLISCPWLISANPHLNNFLGCLDSFYSNDISKVIYTQNNASYSSVLNATIQNLRFSTPTTSKPLVIVTPLQTSHIQATIRCSRTNGLNLRIRSGGHDFEGLSYVSQVPFVVLDLTNFRSVKIDVKNKVAWVQSGAILGEFYSEIAKRSRTLAFPAGICHTVGVGGYLSGGGYGLLLRKYGLAVDNVIDAVFIDVNGRILKRKSMGEDLFWAIRGGGGGSFGVVLSWKVKLVSVPSTVTVFTIRKTLEENATNLVHQWQSVGHKLPGDIFSAVTMRKVNRNGKPTILVAFSFFFLGETNALITLMKAGFPELGLKKEHCTEMSWIESILYFGQIQNKSIDVLLDRSYKSPLNAPWFKTKLDYVKNPIPKAGFEKIWSKLYEEDAETAAMAFIAYGGKMAEIPESATPFPHRDGNLYHIAYTVGWDGEENTKSQRYMNWIRKFYSFMTPFVSKSPRGAYVNYRDDDIGTNNKKGETSYAKASVWGRKYFKNNFDKLIYIKTKVDPHNFFKHEQSIPVSV >KJB71567 pep chromosome:Graimondii2_0_v6:11:19255998:19258186:-1 gene:B456_011G130000 transcript:KJB71567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRDRKKPSVYRKLQRLRSVVNSTAVNKTSIIVDASRYIEELKEKVEVLDQETGTSGISTSQNPLPMQVAVEALEKGFLINVFLEKNCPGLLVSILEIFEELGLDVLDARVSCQENFQLEAIGGENQSNAEGIDAQMVKQAVMQAISKWSENI >KJB71842 pep chromosome:Graimondii2_0_v6:11:22897825:22898969:-1 gene:B456_011G144100 transcript:KJB71842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEAWMMPFAYCTREKRWCEFAEPVNGESTQFLQEFALKYNMVIISSILERDINHGETLWNTIVIIGNHGNIIDSLEYCSFLFKLGTSMEPVAFVDCNC >KJB73998 pep chromosome:Graimondii2_0_v6:11:59802348:59806570:-1 gene:B456_011G266400 transcript:KJB73998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFISKIQRQTDYNRFPPSTPIVIDNGASYFRIGWAGETEPRVIFRNIVQRPRHKSTGETVTIVGDHDPALLKYFDCTRSGPRSAFDSNVVFQFEIMEYILDFAFDRLGANGSRIDHPILITECVCNPVYSRSKMAELLFETYGVRSVAFGVDAVFSYKYNQLHGICEKDGLAICPGFTTTHVIPFVDGEPVYKGCCRTNIGGYHITDYLKQLLSLKYPHHMARFTWEKVEDLKMEHCYIAPDYALEAQLFQKGGKKAEDKTRCWQLPWVPLPTEEPPSEEEIARKAAIRERQGQRLREMAEAKRSSRINELENQLHGLEFLLQQLGQVQEEDIPSFLSETGYASKQEIELTLTKVTQSLRKAKGEPKPEQAENEEKADSCTSDKYPLINVPDNMLTPDQLKEKKRQIFLKTTTEGRQRAKQKCVEEELERERKTQQDEERRLENPQLYLEQMHTKYKELYEKIELRKRLKTNGGHTNGSNSGGVGRGERLNAAQRERMRLLTTAAFDRGKGEDTFGAKDEDWQLYKLMGKDNDDDDDGADEDEAELARVSSRLQEIDSTFVPKLEPTASQPTITEVPHVHPLTKEDFQIVLGVERFRCPEILFHPNLVGIDQVGLDEMTGVSIRRLPSKDKALENRLTSSVFMTGGCSLFPGINERLEAGIRMLRPCGSPIKVARALDPVLDAWRGASLYAANSRFHQQTFSRADYYEKGEDWLRRYQVRYTL >KJB73999 pep chromosome:Graimondii2_0_v6:11:59802348:59808699:-1 gene:B456_011G266400 transcript:KJB73999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYILDFAFDRLGANGSRIDHPILITECVCNPVYSRSKMAELLFETYGVRSVAFGVDAVFSYKYNQLHGICEKDGLAICPGFTTTHVIPFVDGEPVYKGCCRTNIGGYHITDYLKQLLSLKYPHHMARFTWEKVEDLKMEHCYIAPDYALEAQLFQKGGKKAEDKTRCWQLPWVPLPTEEPPSEEEIARKAAIRERQGQRLREMAEAKRSSRINELENQLHGLEFLLQQLGQVQEEDIPSFLSETGYASKQEIELTLTKVTQSLRKAKGEPKPEQAENEEKADSCTSDKYPLINVPDNMLTPDQLKEKKRQIFLKTTTEGRQRAKQKCVEEELERERKTQQDEERRLENPQLYLEQMHTKYKELYEKIELRKRLKTNGGHTNGSNSGGVGRGERLNAAQRERMRLLTTAAFDRGKGEDTFGAKDEDWQLYKLMGKDNDDDDDGADEDEAELARVSSRLQEIDSTFVPKLEPTASQPTITEVPHVHPLTKEDFQIVLGVERFRCPEILFHPNLVGIDQVGLDEMTGVSIRRLPSKDKALENRLTSSVFMTGGCSLFPGINERLEAGIRMLRPCGSPIKVARALDPVLDAWRGASLYAANSRFHQQTFSRADYYEKGEDWLRRYQVRYTL >KJB69541 pep chromosome:Graimondii2_0_v6:11:2135127:2137168:-1 gene:B456_011G029300 transcript:KJB69541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNCEKMVAVITSSATNEWPQLMEKPSQEQQQVQQQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPTVSASTPPSIGGASPTSGGGGGVNHNSTTSSHHINPLFYGLTANDPYHDVINLPFSRSSTVTGYDLQPQMSGLGLGFSSGDNTDHYPPLLSSYTNIFGSSSSSSTTTTTPTIASLLASTLNQHKFINGGVKNTEALPPFPDLQTAAMKDIKVPCQNHLEQITSLDPSLYWSTNIGAWHDPTNIGSSVTSLI >KJB69540 pep chromosome:Graimondii2_0_v6:11:2134867:2137168:-1 gene:B456_011G029300 transcript:KJB69540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNCEKMVAVITSSATNEWPQKLMEKPSQEQQQVQQQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPTVSASTPPSIGGASPTSGGGGGVNHNSTTSSHHINPLFYGLTANDPYHDVINLPFSRSSTVTGYDLQPQMSGLGLGFSSGDNTDHYPPLLSSYTNIFGSSSSSSTTTTTPTIASLLASTLNQHKFINGGVKNTEALPPFPDLQTAAMKDIKVPCQNHLEQITSLDPSLYWSTNIGAWHDPTNIGSSVTSLI >KJB73922 pep chromosome:Graimondii2_0_v6:11:59201722:59202403:1 gene:B456_011G261200 transcript:KJB73922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSNVSFLLLGTVFFHLFSSTAVEAASKGKLLHGEYKGAKDEDKRYCVPKPEASDAALQKNLDWACGQGIDCSPIQPGAICGDPATVRFRAQFAMNSYYRKQGGIDSACDFSGTAQITHVDPSSEKCKYV >KJB69261 pep chromosome:Graimondii2_0_v6:11:922621:924644:1 gene:B456_011G012900 transcript:KJB69261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTRGGTIGRGSTSVVSVATDNYSGDVFAVKSSELYRAESLKREQRILSTLSCPHVVAYKGSDVSSENGKVLYNLLMEYAPKGTVLDAIEKHGGGLDEATVRSYTRGILLGLRFLHGNGIVHCDIKGRNILVTDDDGVKIADLGCAKRVNGVSSSVSGTPLYMAPEVARGEQQGFPADIWALGCTVIEMATGKAPWPDVDDPLSALYRIGFSSDVPEIPNNISKQAKDFLTKCLKRNPFERWSVIQLLAHEFVNESKFAANGRDGSQSETPTSVLNRQLWDSMEEIDTNQILSKKPCSPTKCLMKRIQLLVEDDLVFSLRMPNWDCDENWVTVRSNGSLEEEAWVSSNNMDEPHMNDDYGLGLEISGPKTCNNFESGCKTSSFKACEYVTFILCTKLNYCNDRYTFNGILPVVVIGILSSYLLTHKSFKVLSHPKYNFMDPNPHP >KJB73854 pep chromosome:Graimondii2_0_v6:11:58549929:58551239:-1 gene:B456_011G256300 transcript:KJB73854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPQGFRNGESPSPHNRIPTPHFHNTVTLRKLKRFNSLILVFRVTAFTFSLASSVFMVTNSRGSGSPHWFDYDAFRFVFAANAIVALYSLFEMGVSVWEISTGSTLFPEILQVWFDFGHDQVFAYLLLSADSAGTAFAKTLRGTPTCTDSNSFCVQSDISVALGFAGFLFIGLSSLLSGFRVVCFIINGSRFHL >KJB73855 pep chromosome:Graimondii2_0_v6:11:58549969:58551181:-1 gene:B456_011G256300 transcript:KJB73855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPQGFRNGESPSPHNRIPTPHFHNTVTLRKLKRFNSLILVFRVTAFTFSLASSVFMVTNSRGSGSPHWFDYDAFRFVFAANAIVALYSLFEMGVSVWEISTGSTLFPEILQVWFDFGHDQVPAIKIKIEIKILNLS >KJB69424 pep chromosome:Graimondii2_0_v6:11:1653127:1656394:1 gene:B456_011G023100 transcript:KJB69424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSELKRSKDSPNSDESTRRSSFPDEVLERVLSLLKSHRDRSSVSLVCKDWYNAERWSRTHVFIGNCYSVSPEIVARRFPKIRSVTLKGKPRFSDFNLVPENWGADIHAWLVVFAAKYPFLEELRLKRMAISDESLEFLAVSFPNFKALSLLSCDGFSTNGLAAIATHCKNLTELDIQENGIDDKGGSWLSCFPESFTSLEVLNFANLSSDINFDALERLVGRCKSMRVLKLNRSVSLEQLQRLLVNAPQLAELGTGSFSEELTFRQYVELESALSNCKNMHTLSGLWDAKGIYLPALYPVCTHLTFLNLSYAALRSDELAKLLAHCPRLKRLWVLDTVEDKGLEAVGLNCPLLEELRVFPADPFDEEIIHGVTEAGFVAVSFGCPRLHYVLYFCRQMTNAAVATIVQNCPDFTHFRLCIMNPGQPDYITNEPMDEAFGAVVKTCTKLQRLSVSGRLTDLTFEYIGRYAKNLETLSVAFAGSSDWGMQCVMGGCSKLRKLEIRDCPFGNAALLSGLEKYESMRSLWMSACNVTMNGCRLLAREMPRLNVEVMKEDGSDDSQADKVYVYRSIAGPRRDAPPSVLTL >KJB69265 pep chromosome:Graimondii2_0_v6:11:934714:935165:-1 gene:B456_011G013200 transcript:KJB69265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLISFFSCFSGSQREKVSPSSIKANTASNAKLKESKAKKSPPIPMSYFPIGSNFSRL >KJB72667 pep chromosome:Graimondii2_0_v6:11:45227707:45228478:1 gene:B456_011G189000 transcript:KJB72667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAYITNDSARKATYKNRMKGLTKKMSEMRTLYGVDTCAIMYSPYKSQPEVWPFSIGFQQVLSKLEMIPEMEKSKNMLDQKTFLSQKITKVVEQLKNHCKENWEKEITQVIFNNICGKGSIATRAKAPLNPQEVSSSSSSSIVALPPMTMVTPKVILRTSTEDIVQPDVNNMDPTQRQQWIMELVSNNNNPQTHVGVDEMMFQFCDNINPNSDLWFNVVFPWEK >KJB70620 pep chromosome:Graimondii2_0_v6:11:8457485:8457884:1 gene:B456_011G083500 transcript:KJB70620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSRIYPIALTLAFIFFITFLIPSVGAVESGDSERWLQQSKMVLGSRPPRCVNKCLSCRPCMAALVIPSHQWNKFKATNHGDGDDNYYLLSWKCKCGDKLFQP >KJB72453 pep chromosome:Graimondii2_0_v6:11:42191078:42194615:1 gene:B456_011G179500 transcript:KJB72453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHQSGREFFHGPPTTNPSMDLAWPFGKLEGLDCDDIREAAYEIFFTACRSSPGFGGRNVLTFHSSHDNGDGGNGSGPSSPGRRANNGAATINPTSKIKRALGLKMMKKTYSRRMSICAMGFSNSGGGGSGGSSPSSPVSHHGHSASISGFSPAAGLGFSTLKPLNSRRPLTSAEIMKQQMRVTEQSDNRLRKSLMRTLVGQMGRRPETIILPLELLRHLKPSEFNDLYEYHIWQKRQLKILEVGLLLYPSIPTDKSNPLVIRLREIIRAGDLNPIDTSKNSDMMRSLCNVVVSLAWRGTNGTPTDVCHWVDGYPFNIHMYISLLQAIFDVKEEIMVLDEVDELLELMKKTWSILGINRSIHNACFTWVLFQQYVVTNQIEPKLLSAAYTMLTEVEIDARKPDKETTYVKVLSSMLDSIKSWVEKRLLYYHECFNKGNIDEIENLLPLALFSAKVLSEDVGVMEGEGEGEGEGEGSKKGDVMLVDSTSDCLDRYIRSSVKNAFAKMIENENVKHTEENGGPSVALLDLAKETEDLAAKERELFSPILKKWHPIAGGVAAVTLHQCYGTVLKQYLAETIMLTDEIVGVLQRAEKVEKVLVQMVVEDSEECDDGGKGIIREMIPYEFDSTRLKLLRQWIDERLKKEKELLCRVKETETWNPKSKSEPYTQSCMELMRSANQMVKDFFDIPIGITDDLILDLAEGLKLIFQEYITFVASCGSKESHLPELPPLTRCNHDSKFFKLWRKANPCSVGIQDMHQIITSERNHTRPSMSRGTQRLYVRLNTLHYLISNLHSLDKTLTLSPKVSTTRTHLGDSYKHCGTSPSHFKHVNTLIQSACDNVSEVAAYRLIFLDSKLVFYESLYVGEVGNARIRPLIKVLKQNLTLLTAILTDKAQALATKEIMKASFEAFVLVLLAGGPSRFFERSDHEMIEEDFESLKRVFCTCGEGLIPEDVVQSEAEPIEGVIALMGQSTEQLAEDLSIISRETSGTGATSPGQKLPMPPTTRKWNSGDPNTILRVLCHRNDREANQFLKKEFQLPKRK >KJB71631 pep chromosome:Graimondii2_0_v6:11:20354038:20355774:-1 gene:B456_011G134900 transcript:KJB71631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSDIFIKEILKGNRPGTHFTKEGWLKITVIFENETDNAYSKRQFKNRWDALKKEWKAWKKLKGEDTDLGATNNMSQVTFSLTPIIDPYGIPQAIKVLDSLSEEVLEASLLYFFSMKLLINKDKRIVFLSTNPNIRAWWLKMEMKESSKFCSLLGIEISTMRLINAIHV >KJB71255 pep chromosome:Graimondii2_0_v6:11:14273686:14275211:-1 gene:B456_011G113100 transcript:KJB71255 gene_biotype:protein_coding transcript_biotype:protein_coding description:18.5 kDa class IV heat shock protein [Source:Projected from Arabidopsis thaliana (AT2G19310) UniProtKB/Swiss-Prot;Acc:O64564] MSIMPLVEISFLFISSLSLERIKRNFTLSSVSCVSKIKSFQHPPSLQTHQKETMSIVPINDQQGTPTDPFSLELWDPFNNLDVLNPFSHSFPFPFPFPSFLSTHFPGFSSEIFPSLGTQLNCVETPRAHVYKAYLPGVTRDEVLVFIDDDRMLQISTENGNFMSRFKLPDNARTDEIEGFMENGMLIVTIGKQTQAPERPNVRVVEITE >KJB70886 pep chromosome:Graimondii2_0_v6:11:10205995:10209388:-1 gene:B456_011G094100 transcript:KJB70886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASEEKKGGGGGNKEGTDLLGPPTFSELPNGRLKCVETGHEMIVKDKDSYAHSKRCRLGLIDFALSHSKPPLNMFKQDPLSRSKLICKLTGDTVNKSEEHIWKHINGKRFLNKLEQKEMEKELNDETMCEEGEKKPKKVKNKKKKKKEKEKLVEEIISEVRDSAENESESEELEFWIPPVGDRWDFDDGGDRWGSGSESDESDDENEPEAVVEERKKESEELSTRAKRMTIEIGPSSFASRKKKSRKNTSS >KJB74305 pep chromosome:Graimondii2_0_v6:11:61851758:61856972:-1 gene:B456_011G286200 transcript:KJB74305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MRFNFDIAESTEDDSRRSLTPKEDVQWVPLQTHPVFTTTADGGGTAATASASAGGVRNLLAWDGASRLYYWDSNQQCLHRISIRLGEPDPTSVVAASPSKVLRADKELNFVVNKISINRNGSALLLAGLDDLCVMYLYGRSSTKENAIVCRTVSIGSQVYSSDGSAIRILQVSWHPYSDTHVGILSSDSVFRLFDLSSGVVQPEQEYYLQPVEPGRSRNAASICPVDFSFGGDHLWDRFSVFVLFSDGSVYILCPVVPFGSVYKWESILEIYSDAHTFGLKSAKSAAVNNSNMAISWLEATFPEVAQEATDRENLSTIKAHSHALFDASLALQGPLRKVCHGGEDEDLAVRGAECEGRAVSFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWITGSAPRLRVDSFDHIRGIAMICESIPAEHSIVKLDQPLDNTVWLGHPPPLLRLAIVDLALPRKTEGSSLITMFADPLMPERIYSLHEGGVDSIVLHFLPFTSQINGKDENIKTPSVHPVLSTCQGETSSSSPSPLSGFASLSDSFGYSWVVVVTSTQECVVLEMKTWNLLLPIQIDKEKPIDMEQQKEKDTTDIISKELLSGPKSVLAPQASPNLRSISADSIEGRSALHQYFKLFHENYVEYAHKVYFELKHHGPQLKRIIDDQHARLDEAQQKISRVEAKQPMLDERIDRAVQRHNSLEQCLQRLRRLPGAHKKPLSRAEREFKSELDQFTGVELDALRSSIDTLGARLKRYTKSSKDNLTTQRRKIPGRNHVQDAQISQLKLSLEKLSLLNSESTKKVELVESAIKTVESSRNKTILS >KJB74304 pep chromosome:Graimondii2_0_v6:11:61851376:61857051:-1 gene:B456_011G286200 transcript:KJB74304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MYLYGRSSTKENAIVCRTVSIGSQVYSSDGSAIRILQVSWHPYSDTHVGILSSDSVFRLFDLSSGVVQPEQEYYLQPVEPGRSRNAASICPVDFSFGGDHLWDRFSVFVLFSDGSVYILCPVVPFGSVYKWESILEIYSDAHTFGLKSAKSAAVNNSNMAISWLEATFPEVAQEATDRENLSTIKAHSHALFDASLALQGPLRKVCHGGEDEDLAVRGAECEGRAVSFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWITGSAPRLRVDSFDHIRGIAMICESIPAEHSIVKLDQPLDNTVWLGHPPPLLRLAIVDLALPRKTEGSSLITMFADPLMPERIYSLHEGGVDSIVLHFLPFTSQINGKDENIKTPSVHPVLSTCQGETSSSSPSPLSGFASLSDSFGYSWVVVVTSTQECVVLEMKTWNLLLPIQIDKEKPIDMEQQKEKDTTDIISKELLSGPKSVLAPQASPNLRSISADSIEGRSALHQYFKLFHENYVEYAHKVYFELKHHGPQLKRIIDDQHARLDEAQQKISRVEAKQPMLDERIDRAVQRHNSLEQCLQRLRRLPGAHKKPLSRAEREFKSELDQFTGVELDALRSSIDTLGARLKRYTKSSKDNLTTQRRKIPGRNHVQDAQISQLKLSLEKLSLLNSESTKKVELVESAIKTVESSRNKTILS >KJB73058 pep chromosome:Graimondii2_0_v6:11:51268126:51269983:-1 gene:B456_011G212700 transcript:KJB73058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSAPKQVSVKEDAEALRKACQGWGTDEKAIIAVLGHRNAAQRRQIRHVYEEIYQEDLIKRLESELSGHFEKAVYRWILDPPDRDAVLANVELKKSGNKHHVIVEISCTKNPEELLAIRRAYHARYKRSLEEEVAYHTKGDTRRLLVALVSAFRYYGEEINTTLAKSEAKKLHEAIKDKKFGNEDVIRILSTRSIAQLLATFNCYREQEGTSITKNLPKDSSDEYIAMLRMAVRCLKDPKKYFEKVLRRSIEGIGTDEDALTRVIVTRAEKDLKEIKELYHKRNNVPLDKAVDKETSGDYKDMLLTLLGNEV >KJB71933 pep chromosome:Graimondii2_0_v6:11:24178511:24179518:1 gene:B456_011G148200 transcript:KJB71933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEKATDYYNENRILGQGGQGTVYKGMLTDGSIVAIKKSKMVEGKNFDEKKVKQFINEVIILSQVNHRNVVKLLGCCLEAEVPLLVYEFIPNGTLYNLIHSQNEEFPLTWEMRLRIAIEIANAVFYLHSAASVPIYHRDVKSSNILLDDKYKAKVSDFGTSRSIALEQTHLTTRVQGTFGYMDPEYFRSSQFTEKSDVYSFGVVLVELLTGQKPIPTKQSEDVRGLVSLFLLSMQGNSLFDIVVNDGPEKEIIEVAKLAKRCLNLNGKKRPTMKRVAMELELIKASKEDNVIEGSSDEESETDDIIESWDDIASYSITESFEIDSETVPLNSSF >KJB72425 pep chromosome:Graimondii2_0_v6:11:41550688:41553887:-1 gene:B456_011G177700 transcript:KJB72425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLLVLLFLSLATVNINEGCIESERQALLMFKHDVTDGANRLSSWSLHHGHGDCCQWDGVVCDNVTAHVLELHLANPRPLLDDYGSDAENEATERSKLRGKINPSLLNLTHLSYLNLSQNGFGGIPIPDFIGCIESLRHLDLSKAGFGGLVPNQLGNLSSLEYLNLRADIEDNLYVTDLQWLSGLSLIEHLDLSAVDLAQASNWLQVLNTLPFLKNLYLSGCQLPQVPPPTHLNLSSLAILDLSLNDIENSLGGSIFHGLVNMTSLRHLDLSNNLFNSSIPESLYSLDSLQFLNLGSNKFRGKLSSAIGNMTSAIDLDLSDNELEGPIPITMGNLCNLKSIVFSELNLNQDVSTILAVLSGCVSNQLDKLDLSGCHLSGQLTNQLRNFKSLKELHLSGNSVSGPIPISIGELSSLRVLELDQNQLKGQLPSSIGKLTNLEILDISTNLLEGVVSEIHIGNLPKLKVFQASKNSFVLRVSPDWIPPFEIELLGLRSWNVGSMFPLWLHSQKHLRYLDISGSRISDSLPGWLWNFSSPFQYLNLSHNQIHGQIPGIPWAMSVDSVIDLSFNLLSGPLAQISPNVFFIDMSNNNFSGSLSPLLCYKLKETMGTVILNLGGNVLSGGIPDCWLNWQNLQVIKLSNNRLNGSIPSSMGTLQSIVSLHLQKNHLSGEIPLSLNNCTDLILLDAGENELHGSIPRWIGDSLRKLVVLSLRSNKFSGYIPDELCTIGSLQVLDLADNNLIGSIPRCVSKFRAMAKFNGSMGTAISYVISAGTFVATLVMKGQMLEYGTNLNLVRSIDLSNNKLSGEIPVEVTSLFELQTLNLSHNLLSGTIPDRIRELRSLESVDFSVNKLSGSIPESMSYLTFLSHLNLSFNNLSGVIPSSTQLQSFNSSCYEGNQLCGLPVPNMCPENGTIHGVGHGGGNGNENETDWFWFGLVVGFVIGFWSVFGPLVFDKRWRSIYTLFGSQTNVENH >KJB69278 pep chromosome:Graimondii2_0_v6:11:993915:996743:-1 gene:B456_011G014100 transcript:KJB69278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQPCASLVNASTMCAIEKGMKGESSTVNFIAEIAAELQRERAKNAELMERISSLEAQIQERDKESLITNEQVSCLDTAERSFKRQKIESASHRSGDGNGIESETASKSRNGTPNMPRVDENQEDQLVNWMSMDETHFSRFDKLKDGDIAGYHEDMDESDDEDEYYEEDDSNIGYKDKENEENLISTCEEELHEEGDGHGMPILSLQSCPGGTSELTFANTSKLMKENQEKDYTLRDIQVPLNPSNLKYEPCQMVLSGKTSKKPPKVPFCPKEIKKILESDVLLLKNAQSHTIRKIIVFASLGIRHGCEDMYELDFNHFRILRKGDPYVSPSNPGEHVLYENPGIQRKVFYPNRQNPTLCPVQILEEEKAMRPSDPSCPSCLFLCIKYGGRTRNLPQNEYVRQQMGRNKLKSFGPIMCRMAMLVHIRSGSFFFKALGITLLFMAGFPDDIVQRETKYRNLDLLQKYYRTDKDAEGEELFLSHSVASNIASPSSQPLKTTSTKTKGKRHTNSNNKSLTLPKASYHQSAPSSSTHPAQFGLMGYTSIQTHAMATFQTTPSQARPNTTKSLGNNISYHNQTPYHLFPPQPANSFMPMVFWPPPNVFPPGPYPPTYSYRSFPTNANYVSVHPQPYYNHPSSSCFTPKITEGNNGKNVSASSEPDSNSNSSSSSTEEPKEALASCR >KJB73504 pep chromosome:Graimondii2_0_v6:11:55747976:55752473:1 gene:B456_011G236200 transcript:KJB73504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGSTLNMDFQEDSGTLGSLPVTTSRNMSSSSSAFFSANQSPFFSPRSSTCQFSESTRSDAQCDSATLSADPPSSCSGIQGTECLGNARFDLPDMKLEPTACISSDFRKSDHVVSNGTLSSYGHVGDNGYYDLIAKHRKQMRSHDMSFSPVPISLSSNRNRSYDIYIGLHGRKPSLQRFANWLRAELELQGMSCFVSDRARFRSSRKLGLMEKAMDVSSFGVVILTRKSFKNPYTIEELRFFSSKKNLVPIYFDLSPGDCLVRDIVEKRGELWEKHGGELWFLYGGLEKEWKEAVNGLFRVDEWKLEAQDGSWRDCISRAVSLLAMRLGRRSVVEQMMRWREKVEKEEFPFPRNENFVGRKKELSELEFILFGDISGETERDYFELKARSKRKNLMIGWSKSSSVEERLGKRQWGSGSRKGKEPVIWKESEKEIEMQSTERQQNQRPKGGGWTSRRKKSAKIVYGKGIACVTGDSGIGKTELLLEFAYRYHQRYKMVLWIGGESRYIRQNYLNLRSLLEVDVRVENCIDKSKMKSFDEQEEAAISRIRKELMRNIPFLVVIDNLESEKDWWDQKLIMDLLPRFGGETHIVISTRLPRVMNLEPLKLSYLSAVEAMSLMQVSAKEYPIAEIDVLRTIEEKVGRLTLGLAIVGAILSELPINPSRLLDTINRMPLRDISLSGREAHSLRKNTFLLQLFEVCFSIFDHAVGLRSLATRMVLVSGWFAPAAIPVSLLALAARKIPEKHKRTCFWRKVLRSLTCGFSSSYSKRSETEASSMLLRFNIARSSTKEGYVHFNELIKVYARKRGVTGVAHAMVQAIVSRGSLSLHSEHIWAACFLLFGFGNDPIVVELRVSELLYLVKEVILPLAIRTFITFSRCRPALELLQLCTNALEVADQAFVTPVEKWFDKSLCWRPIKTNAQLNPCLWQDLALSRATVLETRAKLLLRGGQFDIGDDLIRKAIFIRTSICGEDHPDTVSARETLSKLTRLLANVQTHT >KJB73410 pep chromosome:Graimondii2_0_v6:11:54901164:54903091:1 gene:B456_011G232300 transcript:KJB73410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLKMELLNVPQLPSNPLFVSLILLFTLLIWLKLAKRKHLNLPPSPPKLPIIGNIHQLGKLPHRSLRDLSRNYGSLLLLHLGCNPTLLVSSADMVREILKDHDVVFSDRPSSTATNILFYGCRDMAFAPYGEYWRQQKKLSVVELLSHRRVHSFQFVRDEEVELLINKIRRACLKGESINLSEMLMLVSSNIVSRCVISRRSEEEEEDGCCKFGQLAKNTVVLLASFCVGDLFPYLRWVDVLTGYIPRLKALFGELDSFFDQIIKEHTTLKTDDQVSNKDFISIIMQLQKDGMLEIDLTNIKAILLDMFVAGTDTTGATTEWMMAELLKRPNVMKKVQEEVRNVVGNKYKVDMEDINKMKYLKCVLKETLRLHPTVPLLVPRQTSASVELGGYHIPSNITILINAWAI >KJB71941 pep chromosome:Graimondii2_0_v6:11:24345447:24345818:-1 gene:B456_011G149000 transcript:KJB71941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QGEKQEDVNFFYVDFWVQVQDLPPRFVSKMLVKSIGNIMGQFIEYDAGLKRNLLSYFMQIRVRLDILEPLMLKKKLRRQGRDFFEVTFSYERIPLVCYHCVVVRFNDNDFRKLLELSEGEVVR >KJB72972 pep chromosome:Graimondii2_0_v6:11:49826626:49828168:1 gene:B456_011G2068001 transcript:KJB72972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFARWQAILSIFDFDIEYIKGETNFLPDFLTREKNFQKNLHKYLQKNFSMDDISNHWILKNPNNPKDPNFITHSTAQILKILRPRDWNENPNSPKKFPDKFTTKIDHYPYFTYWDYQMAWYNAFLMNNQHMRHSWLIYFKYATQFKFPNWFQEWWNWYGPSSFEILPEKIQNLWPKFFDKFHPEPDQKHIYRTIYYFSKLCISWIVSWNYSYEQDQHTIILLLVCNYRTKWWDKFNDEKYDSKYLDNFFNKNPRLCKSAAPDQTTTKFFQAKSTASAMLAQAKTKKEYKKLMAEMLSSLDSESEDESLASSIKTVNLADDTTSVTITRSKKK >KJB74234 pep chromosome:Graimondii2_0_v6:11:61316067:61318600:1 gene:B456_011G281300 transcript:KJB74234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLQPLIFYILSALAIFWLISPSHSSLDNVNDKTTVSLYYETSLCPRCASFISNDLVKVFHTDLHTIINLRLVPGSNAEIHCQHGEEECHLNTIHSCVIHFWPDVKEHLEFIGVEIGQPCCCLKCMQLDDMLQHVYLLGGPVEALWKNCSEKLRLNEEIINKCYTTGFGYKLLLQYANETAHLKPPQEYVPWVVVNNQPLRQDFENFMKYVCQAYKGDHKPAACKAQPSNLSPTIYASSAYFETQVFMS >KJB71699 pep chromosome:Graimondii2_0_v6:11:21155488:21156581:1 gene:B456_011G137500 transcript:KJB71699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFTVKNSLIAVILALILAGATPGIMVKAQCVNSCEQPNECCSQYGYCGEGPEFCGANCRGGPCTNNGVSIADIVTPEFFNGILNQGSSCVGRNFYSREAFLDALTSFTRFARSGSVKDSRREIAAFFAHASHETERFCHIEETDGAKKDYCNETVTEYPCAPGKGYYGRGPLQLSWNYNYGPAGLELGFDGLGAPETVANDPVIAFKAALWFWTKNVAAAISQGFGATIEAINPMECGGGEPRKVQSRIDYFTGYCNQLGVTPGGSLNC >KJB72782 pep chromosome:Graimondii2_0_v6:11:47601588:47602390:1 gene:B456_011G197200 transcript:KJB72782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYDYESTSPVAPVRLFKAFTIEAAKVWPTAAPNTVKSVEVEANPSSGSIVKINFVEGLPFQYMKHQIGGHDENNFSYSYSLIEGGPLGDKLEKISYENKFEAAASGGSICKSSMKFYTVGDNVITEDEIKALIKGSEGVYKPVEAYLLANPEACN >KJB71090 pep chromosome:Graimondii2_0_v6:11:12224975:12226966:1 gene:B456_011G105400 transcript:KJB71090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDDVGVRTIAMAEESATEAVRNKRRRFNDDDEGEAAECKVTSSTTSYIQLRSRRILVDHHPRNENQCLSLARTMMMTCHVVQALSARAEKGLSNGQIRRMGALKLNHPRISATETANSSRRETTPLSELRAEPEDMDPTSRPSGGNSRRRSMVEKMPTEAELEEFFVVAEEKLRNQFAEKYNYDILKDQPLEGRYEWARLKP >KJB73143 pep chromosome:Graimondii2_0_v6:11:52342410:52342817:-1 gene:B456_011G217400 transcript:KJB73143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRLHSLTATSEKIPTSPFVPSSRVLSASFHCNEKRVSRRMTINAVGGGAKYKGTQMREKQLSEMIEKKVTEAKQVCEGDETSDECRVAWDEVEEVSQAKANLRLRLEVEKKDPLESFCLENPETEECKVYDD >KJB74242 pep chromosome:Graimondii2_0_v6:11:61455707:61456546:-1 gene:B456_011G282300 transcript:KJB74242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLRNDLFSKLLNEEICIDTLLIGPSFIQEKLNKKEGFKRKQDRVFTGLVTLQVGKWNTSLPIKQNPHMLLLMPFQAKGDDIEGNRNAESIMLDEQTLRRDLQITIEEENYAEAAKIKDDLRVLHEDSKALVLIANFAFYDAFRRGDLAMMQNLWVKGDDVCCVHPGGNGISGYDSIMKSWKIVWMNFEFPLEIKLKNVRVHVRGDFGYVTCMEFVKTTKGSNWGSQFVINAS >KJB74151 pep chromosome:Graimondii2_0_v6:11:60823471:60825573:-1 gene:B456_011G275800 transcript:KJB74151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QGHVNSMPKLAELLALASLRVTFLNSEYNHESLVRHTDILSRFAQYPGFKFETIPDGLSQDHPRVGFAMKDMFASLELITKPIFKQMLFEINPPPDCIIGDGVLGLTFDVANELGIPIIPFRTISAGCVWVFSSIFDMIQAGELPIKGNQDMDCLITSVAGMETFLRFRDLPSFSQVSDVKDPLLQLMARETSKNFKANGLILNTFEELEGATLSQMRNKSKCPKIYTIGPLHEHLKARLADTNRTLLDRSSNSFREADRSCISWLDKQPKGSVVYVSFGSIAVLPREKLMEFWFGLVNSGKRFLWVLRPENVPRNDGECDGVPTELVEGTKERGYIVDWAPQEDVLSHGAIGGFLTHSGWNSTLESVTAGVPMICWPCFGDQQLNSRFVEEVWKLGLDMKDVCDRRVVEQMVNDLMVEKREEILKSAAEFAKLAKGSVNVGGSSYCNLDCLIEDIRMMNKNC >KJB72036 pep chromosome:Graimondii2_0_v6:11:26743854:26744435:1 gene:B456_011G154500 transcript:KJB72036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEQEDHPKLQFPIDSNSYNITAEIGAGVCSKVYTAQCLPINSTVVAIKSIDLDQSNADFRNLVGRETNTSSLLSHLNILNPHSSFTAGNRLWVVMPFMPGGSLDSIIASSVPNGIQEQCIAIVLKETLTALSYLHSRGHLHRDIKASNILLDDNGRVKLADFGVSLSFYASSSAYRLGSSTFSPQYWRPQR >KJB74485 pep chromosome:Graimondii2_0_v6:11:49815360:49816077:1 gene:B456_011G206600 transcript:KJB74485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METASFCNATTHNFITSSLPIKRIVKQLPKPCQTQTHHCCHSGMPLGFRGLQGHGLNIKPKQRYGDAVAARCAAWDSGLLAELERELEAKEEEEWVKVGRLREKCKERKGMVELLECLEREAIQGEDHGREASDYNRRAQIFDKSSKVFQALKARTQPAHSQNS >KJB70704 pep chromosome:Graimondii2_0_v6:11:9214933:9217759:-1 gene:B456_011G088200 transcript:KJB70704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHTKNFFVYKHRDMSHLRELLSNCKMKKKVVVTDSKRWKQLIQSRGRSFIFSTATPVPIATAGHAAVIVAKRETWRRRELWNRVEDFRALTGIAISSPIISLIVGSEKKALKASRNSYVGNLSLFYEIE >KJB72845 pep chromosome:Graimondii2_0_v6:11:48736549:48737000:1 gene:B456_011G201300 transcript:KJB72845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVINQFNFCKEKADVLIWTKVKDRPYTPKTLCWLVSSGENQRAKLWKLVWTKLAPRTWNSSFGYWCMVELLINLSYPREVPFLSTPISVPSVINMRKLLINTARNMFHLDIQMVHPRDPKDFFLSWMFACVDHNYVGIERLAFFATT >KJB72338 pep chromosome:Graimondii2_0_v6:11:38301931:38310999:-1 gene:B456_011G171900 transcript:KJB72338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASEETGVGRSVEGISSGQRCQAGEALAEWRSFDQVENGTPSTSPPYWDSDDDDGGPRPSELYGKYTWKIEKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFIESDTLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFLDERQQKLGKLIEDKASWSSFCAFWLGIDQNARRRMSREKAEVILKIVVKHFFIEKEVTSTLVMDSLYSGLKALEGQSKGKKAKSKLLDAEEMPAPIVHMEKDMFLLVDDVLLLLKRAALEPLPPKDEKGPQNRTKDGNSGEDFNKDSIERDERRLTELGRRTVEIFVLSHIFSNKIEVAYQEAVALKRQEELIREEAAWLAESEQKAKRGASEKEKKSKKKQAKQKRNNRKNKEKGREDKAIVAAERKHQEYHPDDEKEASVMVEEQPVPEKADVLGDVSDSVDAATEVLQPDSEDRDASPVNWDTDTSEIHPPTEACTSGISGLSCVQNGVADKRSPSLMDDSSSTCSTDSVPSVVMNGPYKGNSFSNNHNKKSLSRGRNQRSKTLSDGSSWTTESDYQPPCPALDAGHQNDVTESSKAGEAEFEAAVSSSDQTKWAEQDAVRKEEVVLPLKKPSTKDSVDLERPKEKTAAGPSSPRSPSKNLLPAQLRSEEMSAGSVDSISVRKTLSNGLQQSDQPASSSTSVQITGILKSETQKSATPKPSEPTIPQVPVMSRPSSAPLIPGTRPTTPVVSMVQTTPLLARSVSAVGHLGPDLSPAAGYVPQSYRNAIMGNHNVASSSAGFTHSNSPSSGINPSLVYSQPPALVSAPLYMPQSSGKMEPNSVQSGLPFGLVTRETFRSAPHWMENSQRDSSRSMHSNTLLGEFENLDLYRSVQNGSREHFSMEFPACASGRQTQGVLADEFPHLDIINELLDEEHNVGKAARAGAGFHALGNEPYLLNQHFPFHSDLGLSDGMGSSSGSCRFERMRSYHNDGFQQGYSSSSSNHFDTEREFIPQASPLHYANGQQIDGLVPNRWQMAASDLSLLSMRNADGENYAYYSPEYPNMACGINGYTVFRPSNGH >KJB72337 pep chromosome:Graimondii2_0_v6:11:38301931:38311865:-1 gene:B456_011G171900 transcript:KJB72337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASEETGVGRSVEGISSGQRCQAGEALAEWRSFDQVENGTPSTSPPYWDSDDDDGGPRPSELYGKYTWKIEKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFIESDTLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFLDERQQKLGKLIEDKASWSSFCAFWLGIDQNARRRMSREKAEVILKIVVKHFFIEKEVTSTLVMDSLYSGLKALEGQSKGKKAKSKLLDAEEMPAPIVHMEKDMFLLVDDVLLLLKRAALEPLPPKDEKGPQNRTKDGNSGEDFNKDSIERDERRLTELGRRTVEIFVLSHIFSNKIEVAYQEAVALKRQEELIREEAAWLAESEQKAKRGASEKEKKSKKKQAKQKRNNRKNKEKGREDKAIVAAERKHQEYHPDDEKEASVMVEEQPVPEKADVLGDVSDSVDAATEVLQPDSEDRDASPVNWDTDTSEIHPPTEACTSGISGLSCVQNGVADKRSPSLMDDSSSTCSTDSVPSVVMNGPYKGNSFSNNHNKKSLSRGRNQRSKTLSDGSSWTTESDYQPPCPALDAGHQNDVTESSKAGEAEFEAAVSSSDQTKWAEQDAVRKEEVVLPLKKPSTKDSVDLERPKEKTAAGPSSPRSPSKNLLPAQLRSEEMSAGSVDSISVRKTLSNGLQQSDQPASSSTSVQITGILKSETQKSATPKPSEPTIPQVPVMSRPSSAPLIPGTRPTTPVVSMVQTTPLLARSVSAVGHLGPDLSPAAGYVPQSYRNAIMGNHNVASSSAGFTHSNSPSSGINPSLVYSQPPALVSAPLYMPQSSGKMEPNSVQSGLPFGLVTRETFRSAPHWMENSQRDSSRSMHSNTLLGEFENLDLYRSVQNGSREHFSMEFPACASGRQTQGVLADEFPHLDIINELLDEEHNVGKAARAGAGFHALGNEPYLLNQHFPFHSDLGLSDGMGSSSGSCRFERMRSYHNDGFQQGYSSSSSNHFDTEREFIPQASPLHYANGQQIDGLVPNRWQMAASDLSLLSMRNADGENYAYYSPEYPNMACGINGYTVFRPSNGH >KJB72630 pep chromosome:Graimondii2_0_v6:11:44883757:44884686:1 gene:B456_011G188200 transcript:KJB72630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGCGYEPLPQAHHLASTFMMLQRNKSSYQSGERRGRRKAAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAHEAALAYDRAAISMKGTQARTNFVYFDHNNNTAFHSIMSPSDVQSAVLPTPYHFLDLTTPQSRPKQASNHSFAPQPDISHSETKTLTQPNNTNGPIPLSSADNGFFFTNNDDNSGNLACIVPYNCLKPPSNKSIDNQSQALPKSNDIIGFPGFNELDQQGNFMSDQQPWEINSFELEAIFNHPPLIIGDECTGTFYPSSYDMIPQPISSVDTCCFPLPSFGDVVDLGYSL >KJB72296 pep chromosome:Graimondii2_0_v6:11:35596675:35598995:1 gene:B456_011G169800 transcript:KJB72296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRFECEEFPRSPTKFGSNFQPIQGWESWVNKIHLRMKRLFFMVGVYDVVQVTFKLPIHREKRIEAWYSILSRWSTVSHTIITTWGGFIFTLEDVNVLLELSCFQKYNICSLELSKKEKKIKELFSNLFKSEYERSKLTRFSNWIGMFHQNFNSIKGENSSPEFLEHKYELEASLVIWLARHIFSEHLDHGISLVIVHVGRFSVCAPPPNPSPSPATSSNKFVGNNYTAWLWHNQNSKGNILQVLDIIKEFTWCPYIQEKNGFGNPEYFYAILDQCIFDWSSFCTFLVNSRKHIKLSVVTQSDISLCLISDIIFITLDALGKALVITDALWSSIVSKKLRLTLRFMDDVAKIGFKFDCINSFTYRAKAFLFM >KJB74054 pep chromosome:Graimondii2_0_v6:11:60115391:60116639:-1 gene:B456_011G269400 transcript:KJB74054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKQKEEEEEEYFRLLHPGYRFKPRDEELVNYYLRPKISGLPLPPNRIREANLYGHDPETLTAMNNYTSSNEVEKEWYFFTPRERKYTNGSRPARKAGNGYWKATGADKEVILKGKKIGCKKTLVFYEGKPPRGFKTNWTMHEYVSSEAPVRVRHGKEDMKLDNWVLCRLYKNRREAKQKHRIPQEENGLECQEKVEPHKMAEPVSEPINMVAPPVYDQYEQREVQPFNMNIGQDTNRFPELDNPMPVSDEYGNYYMFDNNPTHGGGFQQVPNYDDFVTNAAAAPAPFSSTFPLDNQFQSMGNAGFNLPPPPLQFQPHWPAPPSPPQQFLGANTGFDFSVDEYLLDPEFGMPPPEFC >KJB68803 pep chromosome:Graimondii2_0_v6:11:22760870:22760994:-1 gene:B456_011G1438001 transcript:KJB68803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDSCIIIPSQQPQPPVEAITFMECDSLKAAEDGDMLLFN >KJB73005 pep chromosome:Graimondii2_0_v6:11:50191431:50192014:1 gene:B456_011G208800 transcript:KJB73005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGFQRHNLVHSVVIAEALAVLHELQFALDMGFSKVILESDSRLVVNNIQKSSEDYSESRPFTWDAKNLARKFQCCRFQFITREENGAAHALAVEGMRAEGIHSGWKMRL >KJB69583 pep chromosome:Graimondii2_0_v6:11:2365313:2365557:-1 gene:B456_011G031500 transcript:KJB69583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLQSNPVLEAFGNAKTVRNNNSIRFGKFVEIQFDRRGRISGAAIRTY >KJB71836 pep chromosome:Graimondii2_0_v6:11:22806818:22815571:-1 gene:B456_011G143900 transcript:KJB71836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSLTLVLQAALSSNPAERKAAEQSLNQFQYMPQHLVRLLQIIVDNNRDIAVRQFASIHFKNFIAKNWAPHDPNEQQKISPSDKDVVRDNILVYVAEVPPLLRVQLGECLKTIINADYPEHWLRLLDWVKHNLQDQLVYGALFVLRILARKYEFKSEEERTPVQHIVEETFPHLLNIFNKLVQIDKPSVEVADLIKLICKIFWSSIYLEIPKQLLDPNVFNAWMMLFLNVLERPVPQEGQPLDPELRKSWGWWKVKKWTVHILNRLFTRFGDLKLRNPENRAFAQMFQKNYAGKVLECHLNLLGVVRVGGYLPDRVTNLVLQYLSSSISKNSMYTLLQPQLDVLLFEIVFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFKRYDSAPVEYKPYQQKDGALLAVGALCDKLKQTEPYKSELEHMLMQHVFPEFRSPVGHLRAKAAWVAGQYAHINFSDQNNFRQALHSIVSGLRDAELPVRVDSVFALRSFVEACRDLNEIRPILPQLLDEIFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFVQVEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLNCKEPDYQQSLWNMISSIMTDKNLEDNDIEPAPKLIEVLFQNCGGQVDHWVEPYLRITLDRLRRTEKSRLKCLLVQVIANAVYYNAVLTLSILNKFCVTLEVFNLWFQLLQQVRRSGRRVNFKREHDKKVCCLGLTSLLALPGEQLDGEALGRVFKATLDLLVAYKDQVAGLSLSLSLSLCLGVHGQAHLHL >KJB71834 pep chromosome:Graimondii2_0_v6:11:22806817:22815571:-1 gene:B456_011G143900 transcript:KJB71834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSLTLVLQAALSSNPAERKAAEQSLNQFQYMPQHLVRLLQIIVDNNRDIAVRQFASIHFKNFIAKNWAPHDPNEQQKISPSDKDVVRDNILVYVAEVPPLLRVQLGECLKTIINADYPEHWLRLLDWVKHNLQDQLVYGALFVLRILARKYEFKSEEERTPVQHIVEETFPHLLNIFNKLVQIDKPSVEVADLIKLICKIFWSSIYLEIPKQLLDPNVFNAWMMLFLNVLERPVPQEGQPLDPELRKSWGWWKVKKWTVHILNRLFTRFGDLKLRNPENRAFAQMFQKNYAGKVLECHLNLLGVVRVGGYLPDRVTNLVLQYLSSSISKNSMYTLLQPQLDVLLFEIVFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFKRYDSAPVEYKPYQQKDGALLAVGALCDKLKQTEPYKSELEHMLMQHVFPEFRSPVGHLRAKAAWVAGQYAHINFSDQNNFRQALHSIVSGLRDAELPVRVDSVFALRSFVEACRDLNEIRPILPQLLDEIFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFVQVEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLNCKEPDYQQSLWNMISSIMTDKNLEDNDIEPAPKLIEVLFQNCGGQVDHWVEPYLRITLDRLRRTEKSRLKCLLVQVIANAVYYNAVLTLSILNKFCVTLEVFNLWFQLLQQVRRSGRRVNFKREHDKKVCCLGLTSLLALPGEQLDGEALGRVFKATLDLLVAYKDQVAEAAKEEEAEDDDGMDGFESDDDDDDDADGSDKEMGVDAEEGDEADSIRLQKLAAQAKAFHENDDDDDDDYSDDDFSDDEELQSPIDEVDPFVFFVDTVKVLQVSDPMRFQNLTQTLDFHYQALANGVAQHAEQRRAEIGKEKMEKASAATVAS >KJB71835 pep chromosome:Graimondii2_0_v6:11:22808552:22815402:-1 gene:B456_011G143900 transcript:KJB71835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSLTLVLQAALSSNPAERKAAEQSLNQFQYMPQHLVRLLQIIVDNNRDIAVRQFASIHFKNFIAKNWAPHDPNEQQKISPSDKDVVRDNILVYVAEVPPLLRVQLGECLKTIINADYPEHWLRLLDWVKHNLQDQLVYGALFVLRILARKYEFKSEEERTPVQHIVEETFPHLLNIFNKLVQIDKPSVEVADLIKLICKIFWSSIYLEIPKQLLDPNVFNAWMMLFLNVLERPVPQEGQPLDPELRKSWGWWKVKKWTVHILNRLFTRFGDLKLRNPENRAFAQMFQKNYAGKVLECHLNLLGVVRVGGYLPDRVTNLVLQYLSSSISKNSMYTLLQPQLDVLLFEIVFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFKRYDSAPVEYKPYQQKDGALLAVGALCDKLKQTEPYKSELEHMLMQHVFPEFRSPVGHLRAKAAWVAGQYAHINFSDQNNFRQALHSIVSGLRDAELPVRVDSVFALRSFVEACRDLNEIRPILPQLLDEIFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFVQVEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLNCKEPDYQQSLWNMISSIMTDKNLEDNDIEPAPKLIEVLFQNCGGQVDHWVEPYLRITLDRLRRTEKSRLKCLLVQVIANAVYYNAVLTLSILNKFCVTLEVFNLWFQLLQQVRRSGRRVNFKRSVVLFLL >KJB74050 pep chromosome:Graimondii2_0_v6:11:60098856:60101731:1 gene:B456_011G269100 transcript:KJB74050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAVGVTEVVLAINYQPEVMLNFLKEFETKLGITITCSQETEPLGTAGPLALARDKLVDESGEPFFVLNSDVISEYPFKEMIEFHKAHGGEASIMVTKVDEPSKYGVVVMEESTGQVDKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAEKKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKASPKLASGPNVVGNVLVHETAKIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRIKKHACISSSIIGWHSTIGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >KJB72501 pep chromosome:Graimondii2_0_v6:11:43392619:43393689:-1 gene:B456_011G182200 transcript:KJB72501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSSNSSISDKTFTGFGNLIKLLPTGTVFAFHFLNPVLTNNGQCSPVNKVFTSILIALCGFSCAFSCFTDSYKGSDGSVYYGIVTINGLLPFSGSSSGSVNLSSYKLRLADFVHAFFSVIVFAVLSLLDSNTVQCFYPSFESTEKALLMSLPPVIGVISSTIFMAFPNTRHGIGYPSADSSNSNSDNS >KJB71034 pep chromosome:Graimondii2_0_v6:11:11404353:11406922:-1 gene:B456_011G101300 transcript:KJB71034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINGDFVSKTTLFLVGLIAFISFPAEAAVRKYQFDIQVKNVSRLCHAKPIVTVNGRFPGPTIYAREGDRVIVNVTNHAKYNMSIHWHGLKQYRNGWADGPAYITQCPIKTGNSYAYDFNVTGQRGTLWWHAHILWLRATVYGAIVIMPKQGMPYPFPKPNMEQLVVLGEWWHKDVEEIVKQGNNMGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEVESRKTYLLRIINAALNDELFFAIAGHNMTVVEVDAVYTKPFVTQAILIAPGQTTNVLIQANQSPGRYFMAARPFMDAPIPVDNKTATGIFQYKGIPNTVLPTLPQLPASNDTDFTLSYNKKLKSLNSANFPANVPLKVDRKLFYTVGFGKDSCPTCVNGTRILASLNNISFVMPKIGLLQAHYFNISGVFRTDFPDKPPKPFNYTGAPLTANLGTAHGTRLSKIAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPVKDPAKYNLVDPVERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKMAFVVEDGKGADQSILPPPKDLPPC >KJB71770 pep chromosome:Graimondii2_0_v6:11:22256670:22258052:1 gene:B456_011G141200 transcript:KJB71770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPDLLQDWFGRSKTKNSDSLFYVEKASVNNYDFMYGQFFNILFIRNKIFSLCSGKTKHAFFGRDTISHSPIESQVSNIFISNDFPQSGDKRYNLYKSFQFVIRSNPLVYRAIYSIADIFRTPLTEGQIVNFE >KJB72329 pep chromosome:Graimondii2_0_v6:11:38157436:38159789:1 gene:B456_011G171400 transcript:KJB72329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGFRDVQMLLLKPKLNVLLNLIGLHYCLNNLQVPAFHITEALWSGKIADRQVCVKWRSTDNFRTRGGDQSRCVYLKDLVKGEDDGEVLTVLERGPTYELSRVQVSDPFSGSGDEHELITII >KJB73324 pep chromosome:Graimondii2_0_v6:11:54097417:54099865:-1 gene:B456_011G228100 transcript:KJB73324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDSKFQYMDIDVKIKKFTWRIQNFSSLVDKKLYSEVFTFDSYKWRVLIFPKGNNVDHLSIYLDVADSATLPYGWSKYAQVGFGVIDQLDRETSSTKVTHHCFNARQADWGFTSFLRLTELHNLKRGYLLHDACLVEVYVCTDKTLDFISHEFIVKTDWDELKAKEADCVKAVIDNQKAPTTKPVEITPPSPTQSSSQTVAIEPEESAEEDMNTFFTSLESELSSSRIVYSKEEAKEALAKINEALNTTPVNLNDSGKFSPLKQAFMILASFDGSSTTLTIDQKNELLGLDERLKELANRAAKAVQDKDQLTAKESIKRTMTCNLESSLIRYKEVETEVKQVDQTLAALREEVEEAQKRKEKMLAERKGIYRSCKEMKMELDALGKELAECEATAKVGEEEEKSVEAEWGRIKDFISSIEAKI >KJB73772 pep chromosome:Graimondii2_0_v6:11:57775210:57777304:-1 gene:B456_011G250500 transcript:KJB73772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESLRQAARTGKVNELYTVIQRNGNVLRHFDEVEFIETPLHIAAEEGCIEFAMEMMNLKSSFARKLNHQGLSPLHIAVRKGHKEMALRFLEIDKHLVRVRGKKGKTPLHYLCKVGNQLGLLDPFLEASPDCLQDVTIENRTALHIAIQNNRLDVLQLLIPTVKRKDYYWEVVNRKDKDGNTALHIAAIHNQPKMLKLLLNCKADKHATNQVGLTALGIAQQHNYRENIAILKGCFIPVVSNFKRKLEEQVVKYVTKASLLIFQNMDNISADDRNALLVILGLLLTATYQATLSPPGGVWQGENTSKSKGSYDEMVLGKSVMGQMDFLLFYILTYLVFLVTLFLTLALLKTFPHGFRTALQVLLAFLAVSFDESISDIAPTNLTYVILNIFSGILFLLMVSMCIVCRVSKISVSIVGCWILPSILYLCLGRSEIGMGVGQGLLLFLILYDEFCKGTILIVCYCLFVSVCVFFGTSIDFKYLVALMGCWQLLSLGRLCIMQCTQFCNTHL >KJB73321 pep chromosome:Graimondii2_0_v6:11:54068611:54076483:-1 gene:B456_011G227800 transcript:KJB73321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVLFRQRIRVKGVTIIESVSFWTELVVDDKALLFLAVTHHCFNARQADWGFTSFLRLTELHDPKRGYLLNDACLVEVYVCTDKTLDFISHEVIVKTDWDELKAKEADCVKAVIDNQKTPTTKPVEITPPSPTQSSSHTVAIEPEESAEEDMNTFFTSLESELSSSRIVYSKEEAKEALAKINEALNTTPVNLNDSGKFSPLKQAFMILASFDGSSTTLTIDQKNELLGLDERLKELANRAAKAVQDKDQLTAKESIKRTMTCNLESSLIRYKEVETEVKQVDQILAALREEVEEAQKRKEKMLAERKGIYRSCKEMKMELDALGKELAECEATAKVGEEEEKSVEAEWGRIKDFISSIEAKI >KJB72489 pep chromosome:Graimondii2_0_v6:11:43498613:43498923:-1 gene:B456_011G182500 transcript:KJB72489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EWFTGFITTPTTRRLSSQHLDPALSKLFWFTPTFPTCPTIAEQFLDIKWPQKVILIFATTSTAPVNCPPLPSVVFMLCMVVPKGISVEVDSSF >KJB72906 pep chromosome:Graimondii2_0_v6:11:49761561:49764168:1 gene:B456_011G206100 transcript:KJB72906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRYCHGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENYMAKYAGKDAFHLRVRVHPFHVLRINKMLSCSGADRLQTGMRGAFGKPQGTCARVNIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKYSRADYLKWKSENRIVPDGVNAKLLGCHGPLANRQPGRAFLHATA >KJB72904 pep chromosome:Graimondii2_0_v6:11:49761732:49763816:1 gene:B456_011G206100 transcript:KJB72904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYAGKDAFHLRVRVHPFHVLRINKMLSCSGADRLQTGMRGAFGKPQGTCARVNIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKYSRADYLKWKSENRIVPDGVNAKLLGCHGPLANRQPGRAFLHATA >KJB72905 pep chromosome:Graimondii2_0_v6:11:49761631:49763030:1 gene:B456_011G206100 transcript:KJB72905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYAGKDAFHLRVRVHPFHVLRINKMLSCSGADRLQTGMRGAFGKPQGTCARVNIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWYVTSFLRN >KJB74133 pep chromosome:Graimondii2_0_v6:11:60684965:60686633:1 gene:B456_011G274700 transcript:KJB74133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQINSVEMEQRKGRRLVLFPLPLQGHINPMFDLANILHSRGFSITIIHTTFNSPNPSNYPHFTFRIISEDLPENNRCTKDLIAFVSMLNAICGSPFRDCLADLLSDTSEEPIACLISDAILYFTQDVANELKVPRMVLRTGAASSFCVFSSFPLLREKGYLPIQDSQLEEPIVDLPPLRVKDLPVIHTNDPEDLYNVVVGMVEQSIASNGMIWNTFEELEGTSLATLHQKLGVPLFPIGPFHKCFAVTSSTTSSSLLTHDQSCISWLDKQDPKSVIYVSFGSLASISETQFLEIAWGLANSNQPFLWVVRPGLVHGSNWVEPLPNGFLETLGGKGHIVKWAPQQQVLAHPSVGAFWTHNGWNSTLESICEGVPMVCMPCFTDQKVNARYVSEVWGIGLQLEKGLERGEIQRTIKRLMVDKDGEYIRERMLNLKEKSNLCLSESGSSKQALDSLVHHILSLESLTFHTH >KJB69488 pep chromosome:Graimondii2_0_v6:11:1922720:1931358:-1 gene:B456_011G026100 transcript:KJB69488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 16 [Source:Projected from Arabidopsis thaliana (AT4G04920) UniProtKB/Swiss-Prot;Acc:F4JGZ1] MNQQPGLGDNKESEEEPVAQPVDSAVKLGLEKPVGSEPVSGVAEDEVLVASSGKSEDTVMEEDPVNPATVFCIWLKQPKSNLRYKMRVPELCRNFSAVAWCGKLNAIACATETCARIPSSNANPPFWIPIQIVIPERPTECAVFNVTADSPRDSVQFIEWSPTSCPRALLIANFHGRVTIWTQPSQGPAHLVRDASFWKREHEWRQDIAVVTKWLSGVSPYRWLSSKSSNPANSKSTFEEKFLSQQSQNSARWPNFLCVCSVFSSGSVQLHWSQWPPTQGSVARKWFCTSKGILGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGTGNGFQATAKTSTSNGIPPSVNPPNWAGFAPLAAYLFSWQEYLISEAKQGKKTADQDFNDVVSLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPTITGWRVQRWESSLQPVVLHNIFGNPSSSFGGQAPKQTVWLSKVDTSIPPTNDFKSHQAAAAGPTSDSRKASDSSSEKLKRVSFDPFDLPSDVRTLARIVYSAHGGEIAISFLRGGVHIFSGPNFSAVDNYQINVGSAIAAPAFSSTSCCSASVWHDTSKDRTMLKIIRVLPPAVSSSQIKANSSTWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSIQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALVNPSALVSEPWQASGETLSSIDPEAMAVDPALVQSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGTGSNRNMVTSPTQSSATPATSQAGQSSTTSSTGSTQMQAWVQGAIAKISGSTDGVSTSTPNTISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRALQPRFAQRNTDANPQKNQPGAPGKMEEVNSVAAKPTTMTRPDEAQGARAGQLVPGAKGAEEGPRGLKIGSGNAGQGYTFEEVKVLFLILMDLCRRTSGLPHPLPVSQVGSSSIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPSSEEWHRRNMFGGPWSDLEDMSPGDDIPRLSNSIDMPDTSSMESSNVHYGVQTLWPRKRRLSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAVWKTGLEGVWYKCMRCLRQTSAFASADSTHQPSQNERVSWWISRWAYGCPMCGGPWVRVV >KJB73383 pep chromosome:Graimondii2_0_v6:11:54392398:54396209:-1 gene:B456_011G230300 transcript:KJB73383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVGMKRTTRVCRMKSSEARVLRSGRQLRPDSGEVKPKRRSNDGVEFNNSVKKNPKSEVNKSSVAELNGKPKRLGHEEIPKKRAKKMKAEALNNGTAVDKMFGIVYTRKKKRNGVQKCLLSENSESKTTIKSTALEIDKVSCKIVSSPCFKASKSVRSSIQKRRSSLRRMRARNTFSNGALMSDLITSRRNGIPFSSVVSKNKLRSSTRNGSASSLSDMSSSVSDLVPKVEPDSSQCSANVLIIEPDRCYREEGAVASLELSPSKEWLVVIKTKRSNKYSLKADKFMRPSSVNRFTRAVIWPGDDNWKLEFPNRQDWVVFKDLYKECCERNVPASVCRVIPVPGVREVSGYAGKPSVQFRRPDSYISIDGDEVSRALAKRIANYDMDLEDEEWLKKFNYEFFRGNGHLQHLSEDCFELMIDAFEKAYFCSPDDHSNDISAAAAHLCVDLGIKGVVEAVHAYWLKKKEQRRSPLLRVFQGHQVKKAPVVPQPLLRKRRSFKRQASHGRGKLPSLLQAMAAQHDAVEEEIVMVRVEEARGAATRAVESAILKREQAQMLLQNADMATYKAVMALRIAEAASIGESSEGAVGELFVDEVPVIS >KJB72937 pep chromosome:Graimondii2_0_v6:11:49631005:49633870:-1 gene:B456_011G204900 transcript:KJB72937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKMVLPFQPLTVAFRDVQYYVNIPMGFKQKKVQLLSDITGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGIIEGEIRIGGYLKVQDAYARVSGYCEQTDIHTPHLTVEESLIYSAWLRLPSYIDSETKASFINEVLETIELDEIKDSIVGIAGVNGLSTEQRKRLTIAVELVANPSIMFMDEPTTGLDARAAAIVMRAVKNVVETGRTVVCTIHQPSIHIFEAFDELILMKTGGRIIYSGPLGQNSSRVIQYFQNIPGVPKIKDKYNPATWMLDVTSKSAEAQTSIDFADVYETSTLYKENIELVKQLSSPPPDSKELEFPTRFPQNGWEQFKACLWKQHLSYWRSPSYNLARFFFFSVSSVIFGILFWQRGTKINNQQDLFNALGVMYSALFFFGINSCSSILPFISIQRTVMYREMFAGMYSSWAYSFAQVIIEIPYLLGVALLYVMITYPMIGFYWSAYKVLWSFYTMFCSLLCFTYLGMMIVSLTPNTPVAFIMASSSYSVLNLFSGFYMPRPEMPSWWVWLYYLTPTSWSLNAIFTSQYGDIDKEIHAFGETTTVSVFLENYFGYHHTSLASVSIVLIIFPVVLALLFAYFIGKLNFQRS >KJB74095 pep chromosome:Graimondii2_0_v6:11:60406256:60406872:1 gene:B456_011G271900 transcript:KJB74095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETNEPFHIPQQNRKNKLRFNIETNQHQQQAFMHGPQCGSGLALSLSFQHNNPNIMSNLPLSLNQQNLQIRSSVPLGPFTGYASVLKSSKFLIPAQQILDQFVGVDYTVSDLPLADHGDDGVDDDDADNPITCSDKIQHRLKNSRLILLLDEVYTYMHTYMHA >KJB73813 pep chromosome:Graimondii2_0_v6:11:58326789:58327637:1 gene:B456_011G254300 transcript:KJB73813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFPNEIENNLALANCLMLLSQGRNQYDHIIFDDNNNNNPTRVFECKTCNRQFASFQALGGHRASHKKPKLLDSGSTENQPPAKPKTHECSICGLEFSIGQALGGHMRRHRGGSSENQHQHGPLSSSTSSQETVRTPIVKKPNSRRVLCLDLNLTPLENDLELIKLGKAAPPIDCFF >KJB71068 pep chromosome:Graimondii2_0_v6:11:11937189:11939613:-1 gene:B456_011G103800 transcript:KJB71068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLQDGWQNFAEHYSVESGHFLVFRYEGHCHFHVIIFDRTATEIEYPDVNDNEETQKQEVKIKQESEDDEPISIMNEISHGLRQKPGLQCPRPHKTMKSETMLSGFSAGTGRGEMELTTASKVTSDEKFMVLQRVASAFQSTNPFFLVLMQPTYVSHNPKHSCILGIPREFSRLFLKQNGNVVLCDSNGKSWAAKYATSLGSNQRPYVRLCNGWGAFVRDKNLQVGDVCAFELINCKQISFKVSIFEGKKSGFHGSPPSTDGYFSAKRERGTSYTQPLKARETALEKALAFTSENPFLVVVLRPSYIRTHALCISNHFARKHFESALTSVDVNLCLSNGKSWPAKYHQRSIGNPNGKICHGWKAFVNDNNLQPGDVCVLEMTNHDTKISFKVIIFKAIADANSHPLEGVSQKPLGLTSQSLKAATEMTSTPPLFRSVVLPLHLKEKRASIPVGFVEQYLKPDMETVILKVEDRSWPVKIASNPQNRQARFTNGWIEFARENFLREGDICVYELDALNHGLLNVCISKSDD >KJB72260 pep chromosome:Graimondii2_0_v6:11:33611153:33616392:1 gene:B456_011G167400 transcript:KJB72260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSGDEGGGVKTPKKSDDQGGSRNPKLKGTGSFSSKDMIFRADKIDLKSLDIQLEKHLSRVWSRNVDNQRPAEEWEIDLAKLDLRHVVAHGTYGTVYRATYDNQDVAVKLLDWGEDGIGATAGIAALRASFRQEVAVWQKLDHPNVTKFVGASMGTSNLRIPSQNASADNHSSMPSRACCVVVEYLPGGTLKQYLIRNRRKKLAFKVVIKLALDLSRGLSYLHSKRIVHRDVKTENMLLDAHRNLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRTCDVYSFGICLWEIYCCDMPYPDLSFVDVSSAVARQNLRPEIPRCCPNSLASIMQKCWDANPKKRPDMDEVVRTLEAVNTSKGGGMIPDDHTPTCFCLLPTRGP >KJB71085 pep chromosome:Graimondii2_0_v6:11:12134880:12136536:-1 gene:B456_011G105000 transcript:KJB71085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELDGPNARIADYFDLIAGTSTGGLIATTLTTPNQQSRPSFAAKDISNFYLEHSPKIFPQHSRFNFLASMGNSIKATFSGPKYDGKYLRSLTNQLLQDTTLKQTLTNVLIPAFDIKLLQPVIFSTNDAKSDVAKNARLADVCMSTSAAPTYLPAHYFETKDADGKTRTFDLIDGGVAANNPTLLAINQITKDMWKEKSEIVGNEAIDCRRMLVLSLGAGAAKHEEKYNAAAASKWGRIDWLYNGGKTPIIDVFFDASSDMVDFHTATLFHSFQSECNYLRIQDDTLTGDASSVDIATEKNLKNLVQIGAKLLKKPVSRVNWVTGKYEAVEGESSTNEEALVDFAKKLSQERKLRLAS >KJB71280 pep chromosome:Graimondii2_0_v6:11:14769669:14771762:-1 gene:B456_011G114600 transcript:KJB71280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAQVFLWAYILIFFPASVVAQGLGVNWGVIASHPLDPKIVVNILKDNGIKKVKLFHAEHDILTALSGTDIEVMVGIPNHSLESLSEKYSVAQAWVKANITAYMGKKGVNFKYVAVGNEPFLAAYNGTYNNLTLPAMKNILKALNEAGVGKDIKVSTPLNGDVYITPTYKPSDGTFRHDLADIMNGICEFLHKNDAAFIVNIYPFLNLYQNPGFPEPYAFFDNDDSNSMDDHGVKYRSVLDANIDTLVAALKVANFSDIPIIVGEVGWPTDGNIYATTKNAKKFYNGLLKRMATNEGTPLRPKQYPDVYMFSLLDEDLKSIDPGMFERHWGIFSFDGQPKFPMDLSGKGQNKSLVGGKNVKYMEKQWCVYNKDASNQKDLAVKVAWACNSTDCTTLVPGASCSGMGIDMNASVAFNMYYQMANQTKGACDFEGLAKIVKQDPSNGTCRFPIMIKTIQTTSNSNASAPKSS >KJB71480 pep chromosome:Graimondii2_0_v6:11:17668386:17671723:-1 gene:B456_011G124800 transcript:KJB71480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTCKRCFILSLVFFMVFFEDLGTNVHGFQGEEQIETAVEDFLDHSPHFTTTQGMYDVEEVGDDDDDWQMVRAKGNQFVVNGQPFYVNGFNTYWLMVFAADQSTRGKVSELFQQASSVGLTVCRTWAFNDGQWRALQKSPSVYDEEVFKALDFVVSEAKKYKIRLILSLVNNWDAYGGKAQYVKWGNASGLNLTSDDEFFSHPTLRSYYKSNVKAVLNRVNTFTNITYKNDPTIFAWELMNEPRCTSDPSGDTLQSWIAEMAAYVKSLDAKHMVEIGLEGFYGPSAPARTQFNPNSYATQVGTDFIRNHQALGVDFASVHIYADSWISPTISDAHLEFTKSWMEAHIEDAEKYLGMPVIFSEFGVSRKDPGYNSSYRDTLIMTVYKTLLNSTKKGGSGAGSLLWQLFPDGTDYMDDGYAIVLAKSPSTSNLISLHSTRVAIFNSRCSWKCRWGCRKKNSLETFLYHDDL >KJB73947 pep chromosome:Graimondii2_0_v6:11:59318490:59320926:1 gene:B456_011G262100 transcript:KJB73947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGILEVFLVSAKGLQDSDFLGDMDPYVIIQYKGQERKSSAARGDGSSPSWNEKFTFKVEYPGSGGDYKLVLKIMDKDTFSSDDFVGQATIFVKDLLAVGADEGSAELHPTKHSVVNAEQRYCGEIVVGLTFTKKVFNFFFMEIEHTSHQGQRIFIRVEIELQIFKDKSIFLPFY >KJB73946 pep chromosome:Graimondii2_0_v6:11:59318484:59321372:1 gene:B456_011G262100 transcript:KJB73946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGILEVFLVSAKGLQDSDFLGDMDPYVIIQYKGQERKSSAARGDGSSPSWNEKFTFKVEYPGSGGDYKLVLKIMDKDTFSSDDFVGQATIFVKDLLAVGADEGSAELHPTKHSVVNAEQRYCGEIVVGLTFTKKEENDEEEYGGWRESDY >KJB71756 pep chromosome:Graimondii2_0_v6:11:21872111:21872770:1 gene:B456_011G140200 transcript:KJB71756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSFGICVVNDVNIYLIPLLDPFLVCFYLSASLACVTNPFLCRTDIFVLCDFNYRRLKEIVPLEVEAFRSYISF >KJB69888 pep chromosome:Graimondii2_0_v6:11:4862454:4864777:-1 gene:B456_011G060000 transcript:KJB69888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLCILSRYFSSDSGSNNSVLPEATKLTRMPVLVEGCDYERWFIVMEALKRYPLRDEIVGTYIKTLAMALGIEEDAKKSIYSVSTKYYYAFGCKVPEDLVFKIKTLPNVKWVLPDSYSGDPGDNSYEGEQFIDGKVVPYDDKYHSDWIQARNDDRSKGTTHPRKASQK >KJB73887 pep chromosome:Graimondii2_0_v6:11:58897673:58898908:1 gene:B456_011G258900 transcript:KJB73887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMELPKKYSEASNSFFLVYLQVIILLSYCNLQGLNMFCLATKSPVIRGSVTDQLALLQFKAKITGDQLKIMESWNSSSHFCQWRGVACDRKHQRVTKLEQQFLKLSGSLSPYIGNLSFLKGLNLANNNFYNQIPQEFGRLRRLETLELSNNSLTGEIPSNLSACSMLTFVHMRSNQLKGEIPASLGLLSNLIHLRFYNNSLTGSIPPSLGNLSSLKELHLALNGLTGNIPETLVRLTNLSFFSIGGNAIFGIVPVGMFNLSSIRVFDIGVNKIQGTLHSDLEITMPYVEFFSVRENQISGQIPISISNASNLNVLDFAVNRLSGNMPSLEKLDKLYKLFVSKNRLGHGKEGDLNFLCTLVNSTKLEYLYIRKNNFGGVFPDCVSNFSSTIMFLAVGWNKILGRIPDGIEN >KJB72253 pep chromosome:Graimondii2_0_v6:11:33280630:33282904:-1 gene:B456_011G166800 transcript:KJB72253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMLSGAAPTGVGFARSNFHFRSLPLKSLFCYTKNQKTRMVVAPRCSISSSRPASQPRFIQHKKEAFWFYRFLSIVYDHIINPGHWTEDMRDEALEPADLYSRNMVVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKECQIIEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKIGGKACLIGPVHPTFWLSRFFADVWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKPFGGDSPLKMGPKAEDVQKPVHPLVFLSRFILGTLAAAYFVLVPIYMWLKDQIVPKGQPI >KJB69351 pep chromosome:Graimondii2_0_v6:11:1292857:1298376:-1 gene:B456_011G018600 transcript:KJB69351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIQNRPPRSPSSRKELGDENPLDKRRRLGAMGRGAGSTGTARTRQPFAVVNNRQDVNTAAVANAEECSNHEFTKEEVEAILNEKPKAKKFDLKAKYEHAAEHNKKLKLCVKWFQQCDENHVIDAEKLKSSLESAEKRCIDTELEKKNKEEELNTIISELRDNNASLEEKLSKEVSEKLDAIDRHTSENEARVAAEKSVASLTEELERAQQDIAAANERAASLDNTHKRLQEYILSLQQYNSKLITDLETVRESLKRVEKEKLTIVENLSTLRGHCSSLQEQLTLSRVSQDDAVNQKETLANEVKCLRGELQQVRDDRDRQVSQVQALSAEVTKYKESTGKSLAELDNLTTKSKSLEDTCSSQREQIRILELQLAAANEKLKMTDLSASETRMEYLEQKRILKELQDRLADMEHKLIDGENLRKKLHNTILELKGNIRVFCRVRPLLPDDGAATEDAIVSYPTSTESLGRGVDLIQSGQKYPFTFDKVFNHEASQQDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPEASEQKGLIPRSLEQIFQSSQALREQGWKYKMQASMLEIYNETIRDLLSNNRSSGSDPTRPENSVSGKQYTIKHDANGNTYVSDLTIVDVSSISEISSLLRQAAQSRSVGRTQMNEQSSRSHMVFTLRISGINESTEQQVQGVLNLIDLAGSERLSRSGATGDRLKETQAINKSLSCLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNVSPDPSSVGESLCSLRFAARVNACEIGVPRRQMTLRATDSRLSCGGS >KJB69848 pep chromosome:Graimondii2_0_v6:11:3518749:3520909:1 gene:B456_011G045900 transcript:KJB69848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRSDPVHRIHPEPIDHFDRLPDSLLPLVFNKIGDVKALGRCCVVSRRFRSLVPQVENVVVRVDCVISDDDCSPSSSSYDKSRAAGPISNLLRILLGGIAKPFQALVQFLGPKRPVINETLNTHPVSSSLSVGPGADVNDGEMEQGGVTHHSPTQVLRNFNEIRFLRIELPSGELGIDDGVLLKWRADFGSTLDNCVILGAASVTKNVNLQVAECGNNGFCPSNNIANGLNVGNGDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHKTLDSLVLTDSDGQGVLCMNRDQLEELRVKPLSASSASKRTLVPALNMRLWYAPQLELPDGIVLKGATLVAIRPSEQSASKKEVSDASWLSTAFEEPYGTAAKMLVKRRTYCLEMNSF >KJB69849 pep chromosome:Graimondii2_0_v6:11:3518671:3520982:1 gene:B456_011G045900 transcript:KJB69849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRSDPVHRIHPEPIDHFDRLPDSLLPLVFNKIGDVKALGRCCVVSRRFRSLVPQVENVVVRVDCVISDDDCSPSSSSYDKSRAAGPISNLLRILLGGIAKPFQALVQFLGPKRPVINETLNTHPVSSSLSVGPGADVNDGEMEQGGVTHHSPTQVLRNFNEIRFLRIELPSGELGIDDGVLLKWRADFGSTLDNCVILGAASVTKNVNLQVAECGNNGFCPSNNIANGLNVGNGDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHKTLDSLVLTDSDGQGVLCMNRDQLEELRVKPLSASSASKRTLVPALNMRLWYAPQLELPDGIVLKGATLVAIRPSEQSASKKEVSDASWLSTAFEEPYGTAAKMLVKRRTYCLEMNSF >KJB72195 pep chromosome:Graimondii2_0_v6:11:31932964:31952478:1 gene:B456_011G164300 transcript:KJB72195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHQQLLHLFQLCLVFMACMESSVGFMLTNFNKNELSWYYFDKPGGIIALLDEACMFPRSTHETFAQKLYQTFKDHKRFSKPKLSRTDFTIYHYAGDVTYQTELFLDKNKDYVVPEHQALLAASKCSFVSSLFPPLPEESSKSSKFSSIGSGFKVCFSFGASVKDRLYSSSIFFHLMHTMCFIQLQLQSLLETLSSTEPHYVRCVKPNNALKPAIFENNNVLQQLRCGGVMEAIRISCAGFPSRKLFHEFIDRFVILAPEVRNKSGKKDDIAACKKILEKSNLTGYQIGKTKVFLRAGQMAELDGQRGEILGSSATKIQRRACTYMCRKKFVLLKSSATQIQAFCRVLYTFPFVFSNILAGQMTRHLYEGMRREAASLKIQKYARRFLKRKAYNNLRFSAISIQASLRALSARNELRSRKRTVAATVIQTHCRRKLANLRYRRLKEAALIIQCAVRGSNAFGELVKLRMAAKETGALQDAKDKLEKQVKELTSSLQLEKKLRAELEESKNQEIARLQSALEMTQLELKETKEMQNKEGQTAKNIAVTQEVPVTNDVLVKKPAAENEGLKETKELPLKESAAASSTSEQVPTTQGIPVTSDELTKKLTAENERLKALVSSLEQKIEETEKKYEETSKHAMEAESKIIELKTAMQRLSVSFNRLEEKILDIETEDQILRQQALLSASNSKTSETAATSAPLENDQQAQMSPGSSKKSLGREDSKTSSQNGRQQENVDTLLKCVSQNLGFSQEKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIEMIGSALEDQDNNDHMAYWLSNTCALLFLLQRSLKSSGSSADKPAATSIFSRMTQSFRSSSANLPVGVVQLVEAKYPALLFKQQLTAYVEKIFGIIRDKLKKELSRHVSSCIQVPKTSEESKDDTSPASHWQSIIECLNQFLSTLKDNFVPPILVQKIFTQNFAYMNVQIFNSLLQHKECSTFSNGEYVKSGLDSLEKWCTEAKEEYAGSAWDELKHTRQAVGFLVMPEKSKISYDEITNDLCTVLSVQQFYKLCTLYSDGNNNESVSADVMSSMKLLMTDDSENDSSSFLLDEDSSIPFLVEDITDSMKVQDFADVKPSAELTENPDFQFLQE >KJB70148 pep chromosome:Graimondii2_0_v6:11:4968552:4969185:-1 gene:B456_011G060500 transcript:KJB70148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDHPTTPKPSLPTHLSTPFQWQQARRTLFFLRRRNHKVPTVRLGGKKPRRGSILIVKILKKIRWVKLQYRCMLRKLRKQYRNLIKDMVEAGANIEALQQRMFMESTFALPVMGVSFSTFPSAAASDRPKTLLF >KJB73396 pep chromosome:Graimondii2_0_v6:11:54587679:54589388:1 gene:B456_011G231000 transcript:KJB73396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMGNANVEDANDALQAQAHIWSHAFNFVSFMSLKCALDLGILEIIQNHGKPMTITELVVALPMLNPTKACDIYRIMRILVHSGIFARQKLYNDAQEEGYVLTNSSRILLKDNPFCITPALNGMMDPVITKPWSFLSTWLLCWLHADQLQHWFQNDDHTPFATTYAETLWDYFAHDPQLKDLINDGLASDSQLVTNILVDKCKEAFEGLNSLVDVGGGTGTTAKAIADTFPHMECTVFDLPNIVAGLQGSKNLKYVGGNKFEAFPTGDAILLKKVLHDWDDEGCLKILKRCKEAISSQDKVGRKLIIIDMVVRENEKVNDEASNLTKTQLFFDMLMLVLVAGKERQQEEWVKLFSAAGFRSYKITPIVGFTSLIEVYP >KJB73080 pep chromosome:Graimondii2_0_v6:11:51453849:51456487:-1 gene:B456_011G213800 transcript:KJB73080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTKVLALESPTQTGEWTVVLPRKGRHRRNSRKITIAKGQQQEQQPWVPTDLEIDPERQSKLMHKIQACMKKIENSQFFLAFLDQMQIPKVLNHFHRVLGSESQLQMVIYGIGSIESHETPRLQLSLALLMKRKFSWIGDIEVFDPVLSATESQVLEDLGCSVLSLNEQGRREAKKSTLFFMPHCEAELYNNLLQANWGVESLNRIVLFGNSFETYEQHVSFKYYDQEVSLMNSSVAESVTHILAARRFIDEFRIETISDDYFAAFHDSSWHFFKLACENELQLN >KJB74286 pep chromosome:Graimondii2_0_v6:11:61761340:61763603:-1 gene:B456_011G285200 transcript:KJB74286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKSITFFVVFLSCLLVSRAEKVEVVVEGATPIAQTDEDFICVTLDWWPTNKCDYDQCPWGQAGLFNLDLKNKILEKAVKAFHPLRIRVGGSLQDQVVYNVRNNIENCQPFQKQDKGFLFGFSIGCLDMKRWDELNEFFNQTRAKVTFGLNALIGRKESETEKTLWVGDWYSHNARDLMSYTISKGYKIDSYELGNELCGVGVSARIEAKQYAKDMATLKNLVKEMYPNPKTQPKVLGPGGFYDKKWFDTFLDASGHDVIDGVTHHIYNLGPGNNPDVVRRVQDPFFLTQIAQTFKDVSNAIDKFTPWSGAWVSESGGAYNSGGQLVSYTFAFGFWYLDQLGMTSVYNHKVYCRQALTGGNYALLNTTTFVPNPDYYGALLWHRVMGSKVLSVMHKGSPYLRVYSHCAKKEPGVSFVFINLSKNTSIEIDLFHDLNLNGGSPNFEFKGHKKREEYHLTPKDGNILSSIVLLNGTPLELSDSLEIPELKPKLVDGLKPISIVAHSIAFVTIRDFNAPACS >KJB73145 pep chromosome:Graimondii2_0_v6:11:52379336:52383137:-1 gene:B456_011G217600 transcript:KJB73145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAEALLKWKASLPSDTQTLLSSLWGGSNHCNWTGITCNNAGSVTNMTLREYELKLSGKLHNLNFFYFPNLITLDLRNNSLYGSIPSHIGNLSNLNFLDLSHNNFSRNIPLEIGLLKSLSLISLVDNSLSGSIPREIGRLRRLSSLSLLFLYQNHLTGTIPTSIGDLQNLSQFMLIENRLNGSIPKEIGRIRSLWMIDFSNNHLSGPIPASIGNLSNLNFLYLWSNNLSGSIPNEIGMLEPLFDLQLANNSLTRAIPDSIGNLTNLERLVLHFNGLSGSIPTSMGNLNKLSILKVFDNSLSGLVPQTLNNLTHLQILELSDNHLRGSLPENVCLGGLLTQFAADNNRLTGLIPSSLRNCTSLHRVRLEGNHLTGNISEAFGIYPNLDFISLSNNNIFELDLSSNHLNGEIPKELGKLTTMSRLFLSGNQFSGKIPSEIGLLSKLEQLDLASNNFSGPIPDDLGNCVKLFELNLSQNNLEESIPSSISYINALQSLDLSQNSLIGGIPQQFGKLQSLEVLNLSHNMLNGSILEACNNLHGLRIVNISFNQFEGPIPNLKAFHEASFDALRNNKGLCGNANGLMACVPSFVDNHGHGKRTKVIISVMFPLFGGLLFLFLLVGSFFTFCKKTQTKESEPRDEPQGDIFTVLGFNGRVLHDSIIEATEDFSSDYCIGLGGYGSVYKAALPTGQVVAVKKLHQSEDNILNNNLKAFESEISALLEIRHRNIMYGFCSHPKHSFLVYELVERGSLRMVLSNNERAKELDWKKRLNVVKGLANALSYMHRGHSQPIVHRDISSNNVLLDLDYEARVSDFGTARILKPDSSNWTSLAGTYGYIAPDEKCDVYSFGVLTMEVFMGRHPGDLISYFSSLESTSPSTSNDQQVLLKDTIDQRLSPPVGQSAEDLVSTMKIAVACLNGNPQLRPTMQQVSQALGRQSLPLPSPFRTIKLEELLRDIVCNG >KJB73205 pep chromosome:Graimondii2_0_v6:11:53090514:53091461:1 gene:B456_011G221900 transcript:KJB73205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIILEDPSEVVSTKSADMAGSVPQQKPSSVVLDLKLSNHDDDGDDNGGAKLRRESKIELDLFTPRNPTNSSQHDGDHHDKSKVFSCNFCKRVFSTSQALGGHQNAHKQERALAKRRHQGLMEVNPSRTFGTPNFPYYPFSTFPSIPFQGSYNRSPLSVRYESMVRKPTHPWPSMPSSLSQPYHVPHGWSSSRQALMSLIQPNLSFNNGGLGIPGASTSATLGLGQANVAMNRVSEGSDNQCKDGAGMDLSLKL >KJB71748 pep chromosome:Graimondii2_0_v6:11:21636128:21640498:-1 gene:B456_011G139700 transcript:KJB71748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGELFPNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVDRLESASPVPILHGVRPVPGRHPCVGSPEDIVATHSLGFLNNGSKNGSGISKPGEKVKSPSKQVSGEKDKSVGSRSNGGAREDQLDKKMASLTRSKSQSTKPTLTSDTKKEPLGKLKVLSSRSIPSSPTSCYSLPTSFEKFASGIKQQAEIKALRKGSPKVGSMEKPSSLHGTSPTGKKVPVIRTLVQGIELGAKALRKSWEGNLEVKGRDHSKPRASKHDIKQESRSTSVPRKSTSSEKLLPKEENKLQTSTRSLKEESKSPVSTKKVMPNGMLDEQEIPNKPRTYIGKKSGDLSSNGGLGNLVKVPINSKRLTDGSVSWGTLPSSLSKLGKEVMKHRDAAQTAAIEAVQEAAASESLLRCLSLYSDLTTSAKEDNPQPAVDQFLTLHARLSNVRMIADSLLKTIPVGSSPESEGNPSEEAVKVALDRRKYAASWVQAALATNLSSFSVFTKEHNSITSHASASVQSQKTIPANQNILILENSAKNASAKAQGKTRPVIVSKLVAQGVLRKAGDVSGLGPKVPVQPPPEWTRGNGLDEAVDLAEMLRMESQDWFLGFVEKFLDADVDTSALSDNDQIAGMLTQLKSVNDWLDEISSSKDEGEGEETTPHVSSETIDRLRKKIYEYLLTHVESAAAALGGGGSQPLPPIRAAETKSKK >KJB72048 pep chromosome:Graimondii2_0_v6:11:27151848:27154131:1 gene:B456_011G155800 transcript:KJB72048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSDPNPEGSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEHYNNRLEIVQLDDSNGEIRSDPNLSFDHPYPPTKTIFIPDKECQKPDLLATSSDFLRIWRISDDHSRVDLKSLLNGNKNSEFCGPLTSFDWNEAEPKRIGTSSIDTTCTIWDIERETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMSQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRL >KJB71816 pep chromosome:Graimondii2_0_v6:11:22507944:22525343:-1 gene:B456_011G142600 transcript:KJB71816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G59410) UniProtKB/TrEMBL;Acc:F4J8A5] MGSKKKKKGGGRRSKGRASSKDHNSHDGDDNELLSEEITALCAIFQEDCKVDSGSPPQIIIKLRPYSKDMGYEDLDISALLLVRCLPGYPYKCPRLQITPEKGLTKGQADILLSLLNDQANANAREGRVMIFNLVEAAQEFLSEIVPAGQTHESALCSTTGGSGQLLQKDVAISSNKGSSSRGPFVYGFIDLFSGSGESWNWPVDMDKSRGIISAVQSLASDGRDIGYDFQQKKLEKNPKLLETEEKKEVVSPLPVAKLNNMKEESVDDGKSSSTADSSNFLADLVRNGINSEEEDTVHEETEDDDDDLESETWQSLSSTSIGDNQASEAIGKDLMMVHLLRLACASKGPLTDALPQIITELYNLGMFSEWVRDLALKSSLTFNKTFDHAFHQHMVSSKVSEFWKPTSDLGGPSASLPNSRYLSDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKNLPVNDRILREVATLSRLQHQHVVRYYQAWFETGVANSFGDNACGSETATSSTFSKGVGLTDVPGQENKLESTYLYIQMEYCPRTLRQRLESYNHFDKELVWHQFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLRFEQVDQDGGFPTDMLGSSVDGTGQVGTYFYTAPEIEQGWPRIDEKVDMYSLGVVFFELWHPFGTAMERHIILSDLKLKGELPSAWIAEFPEQASLLRCLMSQSPSDRPSAMELLQNAFPPRMEYELLDNILRTMQTSEDTSVYGKVVDAIFDEEMLATKNHHQNAGRLQMVHHDTSSIRFADLDTELRDFVAEVSREVFKQHCAKHLEIVPMRLLDDCPKFSRNTVKLLTHGGDMLELCHELRLPFVSWIVANQKFSFKRYEISYVYRRAIGHSPPNRYLQGDFDIIGGASALTEAEVLKVTMDIFTRFFNSGLCDIHLNHGNLLESIWIWAGITAEHRQKVAELLSMMASLRPQSSERKLKWVVIRRQLLQELNLAEATVNRLQTVGLRFCGAVDQALPRLRGALPADKPTRKALDELSDLFSYLRIWRIEKHVYIDPLMPPTENYHRDLFFQIYLGKESHPGALTEGALLAVGGRYDYLLHQMWNHEYKTNPPGAVGTSLALETIIQHSPVDFKPIRNEATTSILVCSRGGGGLLIERMELVAELWEENIKAELVPIPDPSLTEQYEYASEHEIKCLVIITDMGVSQTGFVKVRHLDLKKEKEVQREDLVRFLLNAIGTQFRNPSVWF >KJB70943 pep chromosome:Graimondii2_0_v6:11:11660414:11663333:-1 gene:B456_011G102300 transcript:KJB70943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2444 [Source:Projected from Arabidopsis thaliana (AT2G18510) UniProtKB/TrEMBL;Acc:A0A178VZK5] MTTRIAPGVGANLLGQHSAERNQDATAYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVEEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFISYDSFEASDAAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPSSQKSRPHTLFASGPPTLPSGPQANGGIGAPVPPRPFPNGTVPPGSMPVARPPPPQNVPYPQMQLAGQSPWQGQPQHLGQQIPPPVMPPPPMQYRPPMPNMPPPPPPQSVSGLSRPPPPMGMGAPHGWPQQQMGGRPPMPQMSMPPPPPPPSAS >KJB71385 pep chromosome:Graimondii2_0_v6:11:16488250:16504053:1 gene:B456_011G119900 transcript:KJB71385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAETGPQGLTRRPSRSASTTTFSTEVFDNEVVPSSLSSSITPILRIAKEIELERPRVAYLCRLYAFDKADRLDPNSTGRGVRQFKTGLRQRLERDDASSLASRVEKSDAGEIGSYYKQYYEHYVTALDQGDKADRAQLEKAYQTAGVLFEVLCAVNNTEKVEEVAPEIMATAKDVQEKKEIYTPYNILPLDAAGASQSIMQLEEVKASVVALGNIRGLNWPSGFDPQRQKAGDLDLLDWLRAMFGFQRDNVRNMREHLILLLANNHIRLHPKPKPLTMLDERAVDAVMSKLFKNYKTWCKFLGRKHSLRLPQGSQEIQQRKILYMGLYLLIWGEAANVRFMPECLCYIFHNMAHELHGLLAGNVSIVTGENIKPSYGGDDEAFLRKVVKPIYCVIEREAGKNKNGTASHADWCNYDDLNEYFWSSDCFSLGWPMRDDGDFFKSTRDTGKKTSRRKCRSTGKSNFVEIRTFWHLFRSFDRLWTFYILGLQVMIIIAWSGASLTEIFQKDLLYDISSIFITAAILRFIQSVLDLAINFPGYHRWRFTDVLRNVLKIVVSIAWVIILPLFYVRELSFVPENVKDMLSFLNQVKGVSPLYVMAVALYLLPNLLTAALFIFPMLRRWIENSDWHIIRLLLWWSQPRVYVGRGIHESQFALIKYTLFWLILLCAKFAFSYFVQIKPLVQPTKDIMSIHRVKYAWHEFFPNAENHLGVVSLWAPVVLVYFMDTQIWYSIFSTIYGGVSGAFDRLGEIRTLGMLRSRFQSLPGAFNAYLVPTDKSRKRGFSLSKRFAKVTANRRSEAAKFAQLWNEVICSFREEDLISDRKMDLLLVPYTSDPSLKLIQWPPFLLASKIPIALDMAAQFRSKDSELWKRICADEYMKCAVTECFESFKLVLNTLVVGENEKRTIRIIIMEIESNISKNTLLANFRMAPLPVLWKKFVELVGILKDGDPSKKDAVVFLLQDMLEVVTRDMMVNEIRELVELGHSNKESGRQLFAGTEEKPALVFPPVLTAHWVEQIRRLHILLTIKESGIDIPSNLEARRRIAFFANSLFMDMPRAPRVRNMLSFSVLTPYYSEETVYSKTELEMENEDGVSIIFYLQKIFPDEWNNFTERLNCKESEIWENDEKILQLRHWVSLRGQTLCRTVRGMMYYRRALKIQAFLDMATENEILEGYKAILTAPDEDKRSQKSLYAQLEAVADLKFTYVATCQNYGNQKRNGDRRATDILNLMVNNPSLRVAYIDEVEEREGGRAQKVCYSVLVKGVDSLDQELYRIKLPGNAKLGEGKPENQNHALIFTRGEALQTIDMNQDNYLEEAFKMRNLLEEFNEDHGVRPPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKGSRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSSMLVVFTVYFFLYGRLYLSLSGLEQAILKYASAKGNDSLKAAMASQSIVQLGVLTVLPMVMEIGLERGFRTALGDIIIMQLQLASVFFTFSLGTRVHYFGRTILHGGAKYRATGRGFVVRHEKFAENYRLYSRSHFVKGLELMVLLICYKIYGSAASGAVSYALLSFSMWFLVLSWLFAPFLLNPSGFEWQKIVEDWEDWSKWISCRGGIGVPSVKSWESWWEEEQEHLRHTGFMGCLVEIILSIRFFIYQYGIVYHLNMTTSIRQGIRQSIVVYCLSWLVIVGVLIILKIVSMGRMKFSADFQLMFRLVKLVMFVGSIVTIAMLFYFLDLTIGDIFQSILAFVPTGWALLQISQACRTVVKGIGMWGSVKALARGYEYMMGVLLFAPITILAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGSKMQA >KJB69891 pep chromosome:Graimondii2_0_v6:11:3783962:3788442:1 gene:B456_011G048300 transcript:KJB69891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVKCEIPTGRRFKLSHFLLGLGGLYLIFIAFKFPYFLEIAAVLSGEDSYDGWNGKVVGDVNDGDLSKPLVNSVYKDMFHRKLEDELTQDAPMRPTEEPLEEGRDGVQPIKPLKHLYGRITGEVMRRMNKTSELSVLERMADEAWTLGLKAWEEVDEFDGKEIGQSSLFDGKPESCPSWLSVNGEDLASGDRLMFLPCGLKAGSSITVVGTPRYAHQEYVPQLAKTRGGNGLVMVSQFMVELQGLQSVDGEAPPKILHLNPRLKGDWSRKPVIEHNTCYRMHWGTAQRCDGLPSKDDEDMLVDGYRRCEKWIRNDVVDSKESKTTSWFGRFIGRAQKPEVTWPFPFAEGRLFILTLRAGVDGYHIIVGGRHVTSFAYRTGFSLEDATGLAIKGDVDIHSVYATSLPTSHPSFSPQRVLEMSPKWKASPLPKRSIRLFIGILSATNHFAERMAVRQTWMQSSAIKSLDVVVRFFVALNPRKEVNAVLKKEAAYFGDIVILPFMDRYELVVLKTIAICEFGVQNVTAAYIMKCDDDTFVRVDSVLKQIDRISPKRSLYMGNLNLLHRPLRNGKWAVTYEEWPEEVYPPYANGPGYIISSDIAKFIVSQHADQKLRLFKMEDVSMGMWVEQFNQSTTVQYSHSWKFCQYGCMVDYYTAHYQSPRQMICLWDKLSRGQARCCNFR >KJB69892 pep chromosome:Graimondii2_0_v6:11:3784064:3788442:1 gene:B456_011G048300 transcript:KJB69892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVKCEIPTGRRFKLSHFLLGLGGLYLIFIAFKFPYFLEIAAVLSGEDSYDGWNGKVVGDVNDGDLSKPLVNSVYKDMFHRKLEDELTQDAPMRPTEEPLEEGRDGVQPIKPLKHLYGRITGEVMRRMNKTSELSVLERMADEAWTLGLKAWEEVDEFDGKEIGQSSLFDGKPESCPSWLSVNGEDLASGDRLMFLPCGLKAGSSITVVGTPRYAHQEYVPQLAKTRGGNGLVMVSQFMVELQGLQSVDGEAPPKILHLNPRLKGDWSRKPVIEHNTCYRMHWGTAQRCDGLPSKDDEDMLVDGYRRCEKWIRNDVVDSKESKTTSWFGRFIGRAQKPEVTWPFPFAEGRLFILTLRAGVDGYHIIVGGRHVTSFAYRTGFSLEDATGLAIKGDVDIHSVYATSLPTSHPSFSPQRVLEMSPKWKASPLPKRSIRLFIGILSATNHFAERMAVRQTWMQSSAIKSLDVVVRFFVALNPRKEVNAVLKKEAAYFGDIVILPFMDRYELVVLKTIAICEFGVQNVTAAYIMKCDDDTFVRVDSVLKQIDRISPKRSLYMGNLNLLHRPLRNGKWAVTYEVLARRSLSSLCQWTWIHYFQ >KJB71554 pep chromosome:Graimondii2_0_v6:11:18898490:18899731:-1 gene:B456_011G129100 transcript:KJB71554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYRFRLSDMMPNAWFYKLKDMGKGRNHGNTTITNNPSKKKQYPISPSSSKLKQPHHFYPRKSYYFTRDLIPSDGFYVSHANTKSAGSDPPRKSSQKRSRKRNTVVSSGCRCRATLWTKPDSPPQYSASSSSDDSSSPHEFRSDCILTTQSFDNMVSSSCKLMNSEAKDDDCKCLVKKSDKLDELDNLSQLQLPRIITKPVNMKKSNEATKYGMSSVKFEGDENKKSSGGRKFGVNSPGVRLRINSPKIGNRRIQGHGRKSMSSSSSGSSRRSLLDSLAVVKSSFDPQRDFRESMVEMIIENNIRASKDLEDLLACYLSLNSDQYHHLIIKVFKQIWFDMNDVRLK >KJB69911 pep chromosome:Graimondii2_0_v6:11:3871132:3872684:1 gene:B456_011G049600 transcript:KJB69911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHLTPERDLFFFFITYNMRDAERFDANLGGCKADKNQRKIERDGACMCALCNANARKQKTHKIIMKKKTHKPANFGTFVCVCEFNFKCPLSLWFE >KJB71701 pep chromosome:Graimondii2_0_v6:11:21161530:21162074:-1 gene:B456_011G137700 transcript:KJB71701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRKLNTLLIIFVISMAILSHVGVEATRVLAEDFAKANHLETYSSVYEKAKFTMSCWLERLASGPSPRGPGH >KJB72538 pep chromosome:Graimondii2_0_v6:11:43619998:43621887:-1 gene:B456_011G183600 transcript:KJB72538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQFAEEKQSVTNLPQTKLEEFEDVKEEAVMTTLRSALDFYSTIQADDGHWPGDYGGPMFLLPGLKTVLSKEHQYKICRYLYNHQASNNKDGGWGLHIEGPSTMFGTVLNYVSLRLIGEGAEGGEGAIEKAREWILEHGRIWCHCRMVHLPMSFLYGKKFVGPITPTILS >KJB73863 pep chromosome:Graimondii2_0_v6:11:58610250:58611637:-1 gene:B456_011G257000 transcript:KJB73863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQRMLEEQNTLDVRGEDGEESGEESPQLQIPVGFRFLPTKEEILCDYLQPFIKGDPIPSGVMEELNIYGENREPWNIFNQDSAEESFWVITKLKKKSKSRIDRTAGDGCWLQQYIKEVKNSDGGEVIGYDKYFKYTRKKSQKSNGQWSMHEFSLKDQEALGLSDYVICEIKNKDAAVVSPDYEECEGEINKKKKRKLMEVPSTSTNHFAFVPLGNQSFDYMAPEAGGFSPSPPFTACLNQQPNPWTSAGVGGNLGVPDYNSHQLVPA >KJB74163 pep chromosome:Graimondii2_0_v6:11:60896905:60898062:-1 gene:B456_011G276700 transcript:KJB74163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDKAVYGACHGLLCLLDPSKDKAAIWNPSTREFKILPPSSIQRPPYFSPFEETYLTLDDVSFDHASFGFDSKTDDYKVIRFVTLTFVNSEEQYPHPHFMDQVELYSLRSNSWKEIPCPDYKPTETTLGNNYVDGICYWKTETGAYLDFRGLILSFDMGNEKFSILPIPEFVGSFPEYYVNLLVFNGSLGAIVYPTEGIDTSFDLWVTSEGVWTKQFNIKSISGVVRPLGFGKNGDLFLRDTNDEVLLFDACTQELKELQINTYLDHFRFTISLHAYLESLVRINGIQENIEKHVIRQPARDASNEY >KJB71002 pep chromosome:Graimondii2_0_v6:11:11146372:11148458:1 gene:B456_011G100000 transcript:KJB71002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAGSGLASATRTCRVPERAKLHIAMTIFQLGYAVNHVIMRVALNMGVSKLVFPFYRNILALLALAPSAYFLEKKKRPALTISFLIQFFFLGFIGITLNQGFYIFGLDNTSPSLASATENSVPAVTFIMAALLRMEQVHLDRKDGIVKVLGTIASVAGALVITLYKGPTVYTPNSPSNKPHFSSLGDAEGKNWAIGCLCLVGHSLCWSSWIVLQAPVLKKYPARLSFVSYACFFAVLQFGAIAATIERDPRSWQVHSGSEVFTIFYAGLVASAMVFAIQIYVVDRGGPLFVSMYLPLQTLLAALIATVTLGEEFYLGGVVGAALIIAGLYLVILGKSEESKYLSENEPIYSVSENNDMESTFIRPLLGNKLQS >KJB71003 pep chromosome:Graimondii2_0_v6:11:11146447:11148458:1 gene:B456_011G100000 transcript:KJB71003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAGSGLASATRTCRVPERAKLHIAMTIFQLGYAVNHVIMRVALNMGVSKLVFPFYRNILALLALAPSAYFLEKKKRPALTISFLIQFFFLGFIGITLNQGFYIFGLDNTSPSLASATENSVPAVTFIMAALLRMEQVHLDRKDGIVKVLGTIASVAGALVITLYKGPTVYTPNSPSNKPHFSSLGDAEGKNWAIGCLCLVGHSLCWSSWIVLQAPVLKKYPARLSFVSYACFFAVLQFGAIAATIERDPRSWQVHSGSEVFTIFYAGLVASAMVFAIQIYVVDRGGPLFVSMYLPLQTLLAALIATVTLGEEFYLGG >KJB73688 pep chromosome:Graimondii2_0_v6:11:57043503:57045753:1 gene:B456_011G243700 transcript:KJB73688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQHFSHQHPLVFIESQGHEIEKVYCSGCGELVSGSSFACVECGFYLHKHFAEAPAEMNHPFHRNHNLNLLTRNPYEIGTGTCDFCRKPYIPRIDPSINTGNVTEELKKAECFACWKPLLDSEYFSPNCGFYLHAKCVDLPAEINHLFHQEHPLFLQFNSQRLSCKICREPQRPGFVYCCSPCKFLLHIQCATVPTKINQPFHREHPVLLQNVHECLPCQICQEITELDDVVYFCSICKFVLHIRCVSSPPTIEDKLHHEHPFTLFPRQVSFCDACGTLGNYVPYICSTCGIFVHKKCISVPRIIKFFRHQHSISHTYFIERREHGTWECRVCLEEVNTKHGSYFCSKCNYIVHVKCATKNRHWYYEVDFTETEATDSVELVDLREIVADTWIKHSWHHHNLTLSGDFKDFKQCDGCFLPIDTPHYYCSQCDFFLHKACAELPVKKHIWFHFCQRLHKLTSGRIFRCDVCNYVTSGFAYNCDECVASYCLRCSSVSDLVRYQGHEHLLRSFVFNYEELCSACGESVGFSFAAMRCKPCNFNLHRTCITLPLTAQHSSDVHPFKLTYHEDDDNYSEGHYCDICEAERNPKHWFYHCSACNTSAHPKCVLKEYPFIKPGTIYKEEVHPHHLTFVKRVGFYPECDKCGKHCLDLSLQCKTVGCSYVVHWECRRDNWLKSNLWFKLLARRQNVPTKFSGQLV >KJB74428 pep chromosome:Graimondii2_0_v6:11:62515511:62516600:-1 gene:B456_011G2946001 transcript:KJB74428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKKCFIGLCPNFWTCYKHSLKATAEAKAVADLLEQGKFDGVSYPVPLQRITVPPSKGYKQFDSRTLVLNEIMGALKDDSVSVIGVHGMGGIGKTTLVKEIARKVKDKLFDSVVIATVTQTIDIEKIQNRIAELLGLKFEEHSADVKALRLRERLKKETRVLVVLDDIWAKVDIEEVGIPLGDEHKGCKLLLTSRKLNVLSNGMDAQKHFSIRLLNEKEAWYLFKKKAGGCVESCDLKPTAMEVAKKCAGLPIAIATVAGTLRNKRLFEWKNTLRELERPLTSNFTGITAAYRAIELSFNYLESEEVKLTFLLCCVIGHNGLVEKLMRYTVGLGLFGGVNTMEEARNEVLTVVANLKASSLLLD >KJB69429 pep chromosome:Graimondii2_0_v6:11:1692987:1693852:-1 gene:B456_011G023600 transcript:KJB69429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRYASRVFYQSGMRVVQLVKDHASKLETNLRSLKDSSSSSSSSSSSKQARRFSNTVDSGTVKAAAATNERLKQAEESLRTVMFLSCWGPNS >KJB74445 pep chromosome:Graimondii2_0_v6:11:62607890:62614127:1 gene:B456_011G295700 transcript:KJB74445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQDQDVSTSFFSANLKFLQFQVTWMQLISPCFWEEVCIILQLGFVGIILLPLVQKIVSKTSRNIAAQASKDYPIVAKVSLSYRASIVCSSLMLCIHVLKLLMLLYSMNDTRCNSKLEAYSSEIVPVISWAVTIMVVCLVPKRKHIRFLWILRTWWAFSFFFSIISTVLDTYLKTEEHGYLKMIDYANFISLLPSFILLIISIRGKTGLIFIDSHNIAEPLLSGKTDKDSNKKRGSPYGKATLFQLITFSWLNQLFSVGIKKTLEEDDIPDVDVKDSAEFSSFAFDQNLKQVREKDGSTNPSIYKAIFLFIRKKAAINAMFAVISAGASYVGPYLIDDFVNFLAEKKTRDIKSGYVLALAFLGAKMVETIAQRQWIFGARQLGLRLRASLISCIYKKGLVLSSPSRQSHTSGEIINYMSVDIQRFTDFIWYLNIIWMLPIQISLAIYILHTSLGLGSLAALAATLIVMSCNIPITRIQKRYQSKIMDAKDDRMKATSEVLRSMKTIKLQAWDSQFLHKLESLRKVEYKWLWKSLRLAATSAFIFWGSPTFISVVTFGACMLMGIQLTAGRVLSALATFRMLQDPIFNLPDLLSVIAQAKVSADRVASYLQEEEIQKEAVEHVPRDQTSFDVEVDNGKFSWDPESTNPTLNGVQLRVKRGMKVAICGAVGSGKSSLLSCILGEIEKSSGTVKVSGTKAYVPQSPWILTGNIRENILFGNPYDNNKYDRTVKACALTKDFELFACGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSAVDAHTGTQLFEDCLMGILKDKTVLYVTHQVEFLPAADIILVMQNGRIAQAGTFDELLKQNIGFGNLVGAHKKALESVVTVENSSKTCQDLGSDGESDTDLTSNAQQLQQKHGSDRLHPQEITENGGKLVQDEEREKGSIGKEVYWSYITTVKGGFLIPIILLAQSSFQVLQIASNYWMAWASPPTSETEPTLGMKFVLLVYSLLAVGSSLCVLVRAMLVAVTGLWTAQTLFINMLHSVLRAPMAFFDSTPAGRILNRASTDQSVLDLEMASRLGWCAFSIIQILGTIAVMSQVAWEVFVIFIPVTAICLWYQQYYIPTARELARLAGIQRAPILHHFAESLAGAAAIRAFDQENRFIHANLGLIDNHSRPWFHNVSAMEWLSFRLNLLSNFVFAFSLVVLVSLPDGIINPSIAGLAVTYGINLNVQQASVIWNICNAENKMISVERILQYSNLASESALEIEECRPHNNWPEVGTICFRNLEIRYAEHLPSVLKNISCTFPGRKKIGVVGRTGSGKSTLIQAIFRIVEPREGSIIIDNVDICKIGLHDLRSRLSIIPQDPTMFEGTVRGNLDPLVQYSDNEVWEALDKCQLGEIVRAKEEKLDATVIENGENWSVGQRQLFCLGRALLKKSSILVLDEATASVDSATDGVIQKIIDQEFKDRTVVTIAHRIHTVIDSDLILVLSDGRVAEFESPAKLLEREDSLFSKLIREYSMRSKTFQQLKKSA >KJB71780 pep chromosome:Graimondii2_0_v6:11:22398325:22405364:-1 gene:B456_011G142000 transcript:KJB71780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFESEGAAKTFYDDYARQVGFLTRVLSSHKSECDGLLFSRGLGCRGYRDGQTKVELQKQDKQQESCSAMIHLRRDKSGRWVIKKFARDHNHPLVIQLGESRRTLDEEKDKKIQELTAELRVKKRLSASYREQLLAVVKEVEDHNYNLSMKVQRTLDNLKKLDAEAKEILSGR >KJB71779 pep chromosome:Graimondii2_0_v6:11:22398185:22401769:-1 gene:B456_011G142000 transcript:KJB71779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFFPLHSYSSPSVNGIALNSMGEHVDDVDGRLEDSTVDESNVHDYDTIQEPYVGMIFESEGAAKTFYDDYARQVGFLTRVLSSHKSECDGLLFSRGLGCRGYRDGQTKVELQKQDKQQESCSAMIHLRRDKSGRWVIKKFARDHNHPLVIQLGESRRTLDEEKDKKIQELTAELRVKKRLSASYREQLLAVVKEVEDHNYNLSMKVQRTLDNLKKLDAEAKEILSGR >KJB74156 pep chromosome:Graimondii2_0_v6:11:60874912:60875579:-1 gene:B456_011G276300 transcript:KJB74156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFEVAEALVMEILCKLPVKSLICFNCVCKYWCSFQTPRFISKHYHNNLKNNNLNPILQRFDANANIPYFSQLSVEQDENFLVKQNIRFPFFYARYPYVWEFKILPPSLAQSPTYPGLTCGFVYFDCGAFEFDSKTDYYKFIRFVTLSFVDSKCETSSGDDMSQVELYSLKSIHGRKFHLLIIDHLNYI >KJB69562 pep chromosome:Graimondii2_0_v6:11:2292442:2293002:-1 gene:B456_011G030700 transcript:KJB69562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAIPYKSYSTTRATAVGSRSPLLNFLGGGGGVVANGSESSIRKLVEENAVVVFGRRGCCMCHVVMRLLLGHGVNPVVCEVEEGKEEAAIGELSRIDGGGGGDGGGGGGIQLPAVFVGGKMFGGLDRVMSTHISGELVPVLKDAGALWL >KJB71977 pep chromosome:Graimondii2_0_v6:11:25584783:25586000:1 gene:B456_011G151600 transcript:KJB71977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKFRETRHPVYRGVCWRNPRKWVSEVREPNNKSRIWLGTFPTEEVVVLAHHVATIALRGRSACSNFVDSAWNLPLLAFSNPKDIQKTTAKKRYKERRKHRDGERVYLDEEELFGTQRFWANMAADMLMSPRSGHDGKWV >KJB70625 pep chromosome:Graimondii2_0_v6:11:8772115:8773692:1 gene:B456_011G085300 transcript:KJB70625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQRKEMKTKGLLSTAASIAATAMVIRTIANDFFPAEITNYFSMRLRGLSHRFSSQLTIVIDEFRGHVVNQVFEAADAYLGTKTATPSIQRVKVGKTDKDNNLSLSMDRGGTLVDVYENVEMKWKLVCSQVPSHNHGDVNSSQRSEVRHYELSFHKRNKDLVIDSYLPYILERAKLMREENKSIKLHTVVYGRWDDDVVIFKHPMTFNSLAMDWELKRAVMEDLEMFTNGEEYYKRVGKAWKRGYLLYGPPGTGKSSLIAAMANHLKYDIYDLDLTDVRNNSDLRFLLLSISSRSILVVEDIDCSIELENRESEEAEAHSNQGDNQITLSGLLNVIDGLWSCCGEERIIIFTTNHKKRLDPALVRPGRMDMHVHMSYCNASVFKQLAFNYFGIRDHGVFEQIEKLLEEVNVSPAEVAGELLKKNSNSNAEAAFQGLLKFLHEKKEKGEEETVNIPSSVQFSTISINQSSRGLGRGRGRRGRGRFRHSGR >KJB72585 pep chromosome:Graimondii2_0_v6:11:44303149:44305994:1 gene:B456_011G186200 transcript:KJB72585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCLSFNAFTVHSPKSQTHKTRFSSQFINQIQVKPAPFTSKSIPFNVERFKLVKALATKPVQTAVSASFRNKNRKDINVLVVGSTGYIGKFVVKELINRGFNVIAIARERSGIRGKNSKEDTLSDLNGANVCFSDVTNLDILENSVKNLGFPIDVVVSCLASRTGGVKDSWKIDYEATKNSLVAGKNFGASHFVLLSAICVQKPLLEFQRAKLKFEAELMKEAEGDDGFTYSIVRPTAFFKSLGGQVDLVKDGKPYVMFGDGKLCACKPISEQDLASFIADCVLKEDKINQILPIGGPGKALTPLEQGEMLFKLVGKEPKFLKVPIGIMDFAIGILDFLVKIFPSMEDAAEFGKIGRYYAAESMLILDPETGEYSAEKTPSYGSDTLEEFFARVLREGMAGQELGEQSIF >KJB72442 pep chromosome:Graimondii2_0_v6:11:42106687:42107355:-1 gene:B456_011G178900 transcript:KJB72442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISISTTGFKGGLGSCFHSVAGEEYGMLAKSVPKHVGAGKGVRAQPMMKNVNEGKGLFAPVVVVTRQIVGKKRFSQLRGKAIALHSQVINEFCKSIGADTKQKQGLIRLAKKNGERLGFLA >KJB71135 pep chromosome:Graimondii2_0_v6:11:12569624:12571543:-1 gene:B456_011G107400 transcript:KJB71135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTAISSDEEDALSLSDLPLENQVQPRNEENGEPQAFKSDEDFNFGSLTDPQMCSADQVFFKGQILPLWDLVWSDSGCRWSESMDHGSLSGFTSVISSNNTTNSIAVSNSNSKSINFRNKLSTDPSPKPQVSLSKTRPVNVGIRNQTWSMWGFFRIGVVRAPVLELQDLKVRRNTVSRNSKVVKNKKVGQVSKKQQDLNKGFIEKRIMGMYSGCKCSANVVETVPLNNVVFIKRSKKGEKKNAILVSAMEEKKLQHELKMKKMKEKEREK >KJB72672 pep chromosome:Graimondii2_0_v6:11:45358529:45360456:-1 gene:B456_011G189500 transcript:KJB72672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYKIAQIRLVSSHPEVYEPCDDSFALVDALLSDRNNLLELKPMLCMEVGCGSGYVITSLMLMLGEEANGAQFIATDINHHAIRVTQETMESHGVYAELINTDIASGLENRLAGSVDLLVVNPPYVPTPEDEVGREGIASAWAGGENGRSVIDKILPVAEKLLSKRGWLYMVTLTANNPSQICRQMMKKGYASKIVVQRSTVEESLHIIKFWKDNDIEADTNDTITNSKAVPLGIVDSVRSQIQRLSFWGSSDINTTTN >KJB73057 pep chromosome:Graimondii2_0_v6:11:51238496:51241670:-1 gene:B456_011G212600 transcript:KJB73057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRFALSFSLLLLLFTDLASAANSTAKPGCKGSCGDVRVPYPFGIGPNCSLNAWFEVSCNETSTPPTILLKRTNMEVLNFSLRSADYDPDLEYHRVKSPVVSMNCSGRETSLGVDLTGSPFFFSEYRNRFLAAGCNSTAFLAEVDTNTSCRSACTGDALFGSNPYNICHDTCCERELPWALKTFNATFDSQSDGCKLAYVVEKNWASNVANRSGLQKMEAVGAVLDWAIPKEDFELSEEGREYNCTPYGLQLSDPHIDKSIRCHCKSGYQGNAYLPDGCQDIDECLDELKCGDATCVNKPGHYVCTRRKTWILPLGIGLGFAALCLVTCLVMGGRWSYKYLKKRRKMKLKKKNFKRNGGLLLQQQMFAKHGSLESTRIFTSKELDKATDNFNKDRVLGQGGQGTIYKGMLADERIVAVKKSKGMAADKVEEFINEVVILCQINHRNVVKLIGCCLETEVPLLVYEFISNGTIYQYLHDQSEEFVLSWETRLRIAKESAEALAYLHSSASIPIYHRDVKSTNILLDEKFKAKVSDFGISRSVSIDQTHLTTHVQGTFGYLDPEYFQSSQFTDKSDVYSFGVVLVELLTGEKPVSFEREENSRSLASYFTNLVEKNQVMDIVDSRIVKGVKHEEVMMVAKLAYQCLSLSGKKRPMMKEVGIELERIVLMQKGSNVSEFSATFC >KJB73128 pep chromosome:Graimondii2_0_v6:11:52146094:52149911:1 gene:B456_011G216600 transcript:KJB73128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein CITRX, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06730) UniProtKB/Swiss-Prot;Acc:Q9M7X9] MALLQIHTLPHQIPSLSPIVSSSKIHSQNSLFFSTTKVRPFSLSTQPRKLLCKPPLGKYVREDYLVKKMSSQEIEELVRGERRVPIIIDFYATWCGPCILMAQELEMLAVEYEKNAIIVKVDTDEEHQFAQDMQVRGLPTLFFISPDPNKEAIRTEGLIPIQMMRDILDNEM >KJB74043 pep chromosome:Graimondii2_0_v6:11:60064348:60064950:-1 gene:B456_011G268600 transcript:KJB74043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKSFETEMESEKQQINGVGYFQMPLHYPRYTMKDYQNMPEWKLDRLLAEYGLSATGDLDYKRKFAMGAFLWPDDFHANQKPKAYYGY >KJB68779 pep chromosome:Graimondii2_0_v6:11:9217963:9218369:-1 gene:B456_011G088300 transcript:KJB68779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPMPMEFVTDPDDQGSAMEVDDVDTPEIFSEGFIASDNKLAYADFFNNFEDDFDDSDID >KJB73743 pep chromosome:Graimondii2_0_v6:11:57373449:57374332:-1 gene:B456_011G247700 transcript:KJB73743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLLIDTKGQRVLYAEAGKDFVDFLFNILLFPVGTFIKLLRKEGMVGFLANLYESVENLGDAYIQLTTNKDTLLNPKSSSLAINVPLLSPNIQSSKPHIIPASFVLYDPETFVNPPNMVSTSSFAANEGGVITYTVMDDLTITPMSTISSINLLYKFNIKQLDALEEKVVNVGTTEGVEILKASLWSDTLLTDVFLAQKARKKRSR >KJB73052 pep chromosome:Graimondii2_0_v6:11:51122913:51125186:-1 gene:B456_011G212100 transcript:KJB73052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGGSCKEVIESRVTFSDYDMATSSADLRGSPLRKTATALSGNLGSTSMNDVHELLECPVCVNLMYPPIYQCPNGHTVCSTCKTRVHNCCPTCRNELGNIRCLALEKVAESLELPCSYQILGCNDIFPYYSKLKHEKNCRYRPYSCPYAGAECSVTGDIPFLVMHLKNDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFHIGMAPVYMAFLRFMGDEDEARQFSYSLEVGGSGRKFMWQGVPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGDRQELKLKVAGRIWKEQ >KJB73051 pep chromosome:Graimondii2_0_v6:11:51122572:51126050:-1 gene:B456_011G212100 transcript:KJB73051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGGSCKEVIESRVTFSDYDMATSSADLRGSPLRKTATALSGNLGSTSMNDVHELLECPVCVNLMYPPIYQCPNGHTVCSTCKTRVHNCCPTCRNELGNIRCLALEKVAESLELPCSYQILGCNDIFPYYSKLKHEKNCRYRPYSCPYAGAECSVTGDIPFLVMHLKNDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFHIGMAPVYMAFLRFMGDEDEARQFSYSLEVGGSGRKFMWQGVPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGDRQELKLKVAGRIWKEQ >KJB71033 pep chromosome:Graimondii2_0_v6:11:11401161:11404013:1 gene:B456_011G101200 transcript:KJB71033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRPIYKPFPFLNPQLKISTMGAASSNALCFSSIITKTAPTPSFLTKHTITHFRKLPQRFRNDAYSSVSAKPIHSSSLNAFLQSNANDTAVAWNKAPEIVINGDGKAEAFGCRDKVVIVVLLGWLGAKTKHLKRYVEWNNLRGIHAVTFLVDVKELLWFDIGVRLEQRVSELGNELAKWVMEEEEDGRERCFIFHAFSNTGWFLYGSLLDSFQRREGLKEKIRGVIIDSGAADPLNPKVWAAGFAAAILKKQSSLVNGLESAETDSKLQKVEPGIIESVLLSALEKLFKFVLNMPDVNRRLRKTINAIMQHTPPCPQLYLYSTADKVAPYKSIELCIEEMRKKGIKVFSFNFGTSPHVDHYRAFPNIYSSQLHNFLKECFAVKQR >KJB71709 pep chromosome:Graimondii2_0_v6:11:21310663:21311859:1 gene:B456_011G138300 transcript:KJB71709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCNLVNLEFLDLSLNHLSGTIPSCSNLQKVLHVHLAKNELGGTLSDALFINSSLVTLDFSENNLTGRIPDWISTLPALSVFLLKANQLEGEFPVHLCRLQSLSILDLSQNKLSGHIPSCLSNLTLKPRSEKSYTGSTDFGLLLFDKIIVDMGLTIYNLIGDSETVKDYPFTFQEEEVEFSTKGARYTYKGNILELLSAIDLSCNQFTGIIPPGLGNLSEIRGLNVSHNNLTGPIPSTFSKLKQIESLDLSYNNLNGRIPPQLTEVTTLEVFSVAHNNLSGPLPDRKNQFETFEERSYKGNPLLCGPPLNKSCNECDSLGTPSASSSEEEHGFIDMGDFYISFGVSYAIIFLATIIVLYIDPYWRLACFHCVEDYSIACYFFIVDSLRRLLYFKRNI >KJB71586 pep chromosome:Graimondii2_0_v6:11:19847720:19850098:-1 gene:B456_011G131200 transcript:KJB71586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKHEETDVLVFPFPIQGHINPMLQFSKRLASKGLRVTLISTSKTMQPSASSINFHSIDFHEGDAAANVDEYLELYESVVPKRLAQFIEEYQICSQHGAKVLVYDSGMPWALDVAKQFGLQGASFFTQCWAVNAIFIHLKEGSLRVPLEDENKGNVVVSLPSMPELGMSDLPSFVSDKSGSYPCLSKLVRSQFSNFQEADWVFCNTYDKLEHEIIKWMRSKWPIKTVGPTIPSMYLDKRLEDDNDYGLHLFKPDTDLCLNWLNSKEASSVVYVSFGSIADLTEEQMVELAMGLKLTNKNFLWVVREMEQNKLPSNFMEETAEKGLVVSWSPQLDVLAHRAVGCFMTHCGWNSTLEALSLGVPMIAMPQWTDQPTNAKFVADVWEVGIRVKKDEKGIMRKEEIERCAREIMEGEKSLDIKRNSEKWKNLAKDAVDEGGSSDKNIQEFVADITGN >KJB71715 pep chromosome:Graimondii2_0_v6:11:21422864:21429703:-1 gene:B456_011G138700 transcript:KJB71715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMESPFSSKEKGNNSSVPPRAQMEGFGGTGSTKNSLSEDPFNFSELMNFDSYAGWFNSPSAADQMFASFSLSSFPSLPYASFDLLPITGQSSGTFVEAADALNGMDGSYNCGDKMVIQQTGTHIGNPVVPSDAELGSSNLPNTLVSGPTGPSLDEKMLRALSLLKESSGGGILAQVWVPIKHGDQYMLTTSEQPYLLDQMLSGYREVSRTYIFSAEQKPGSFPGLPGRVFISRVPEWTSDVIHYSKGEYLRFTHAVNYKVRGSIALPIFEPPEMSCCAVLELVTMKEKPNFDSEMKTVSLALQAVNLRTIAPPQPLPQCLSGSQRAALAEITDVLRAVCHAHRLPLALTWIPCYYTEEAVDEILKVGGGEGNRRRDGKRVLCVENTACYVNERGMQDFVHACMEHYLEEGQGIAGKVLRSNHPFFSTDVKTYDIGDYPLVHHARKCNLNAAVAIRLRSTYTGDDDYILELFLPINLKGSSEQQLLLNNLSGTMQRICRSLRTVSDVEIDEGSKIEVQRGKLSDSPSLSISRRVSETELSADSEMNSNDRVPLHVSTAKSDGKEADGPREQAMSGPRRQIEKKRSTAEKNVTLSVLQPYFSGSLKDAAKSLGVCPTTLKRICRQLGIPRWPSRKINKVNRSLRKIQTVLDSVQGGLKFDPTTGEFIAAGTVMQEVDTQKTVVLSNKNLPIRISEPLDLEKSSAASLESCPDEENSVVKLEEDECSFGGNNNMAARSVLVPSTCEEVKQSGVPCIDCNDDSKSVSLDAGSFQAASVRTADRTCPENADDEINIRMEADDGNAEHNHQPTYSSMTDSSDGSGSISHGSSSSSQSFDEAKNFEMKTICVDSSSKITVKATYKDDTVRFKFEPSAGCFELYEEIARRFKLQTGTFQLKYLDDEEEWVMLVSDSDLQECLEVMEFVGTHNVKFQVRDVPCAIGSSGGSNCFLGGS >KJB69741 pep chromosome:Graimondii2_0_v6:11:2988135:2989304:1 gene:B456_011G040100 transcript:KJB69741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEEKLNRQKEANQQISGQGVDEKEEFLHQIRSKSLNLRPTVTAKPSGTSGVPTNVSVITILQKANAIRQAVGSDDGEDDDNWSDN >KJB71419 pep chromosome:Graimondii2_0_v6:11:17043865:17049454:-1 gene:B456_011G122400 transcript:KJB71419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPSILSRIAISRNLLSSKPTNPSILLSSRWFSNLVANNTDKAESSLPSTLAAYHVSSGGYMRGTIFWEPDTPLTIEEFHMPRPKAGEVLIKTKACGVCHSDLHVIKGEIPFSSPCVIGHEVTGEIVEHGPLTDRKIIERFPIGSQVVGAFIMPCGNCFFCSKGHDDLCEDFFAYNRAKGTLYDGETRLFLRNSGKPVYMYSMGGLAEYCVIPAHGLAILPKSLPYTESAILGCAVFTAYGAMRHAAEVCPGDSIAVIGVGGVGSSCLQIARAFGASLVIAVDIQNDKLQKAKTLGATHTVNAIEEDAIERIKEITGGPGVDIAVEALGKPQTFLQCLQSVRDGGKAVMIGLSKAGAIGEVDINRLVRRKIRVIGSYGARARQDLPKLVKLAETGIFNLNNAVSRKYKFEEGNKAFQDLNQGRIVSRGVIEIM >KJB69701 pep chromosome:Graimondii2_0_v6:11:2864201:2867094:-1 gene:B456_011G038300 transcript:KJB69701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEKRGDCIGASMNLSQVGECVGGNSKNSINGVVSGTAIVENSEEKLCSNGENSDLRLQNDGFESCKNQEMDFGRGIGQNDLGTNGNSSSLIDANVVVSSSEGFVEERNESICEEMNEIRGQVDQGSSESFPNSVTGSVIQTIIVINTQEASSLEGISGEFEVKDYGLGSSKVSVEGPKTKAAEAEDACVIDVKGSGGGQRQFKESWDEETVCRICHLNSEQSLEYTDSTSATAADVDLIQLGCECKDELGIAHSHCAEAWFKLKGNRMCEICGQTAKNISGVRDNRFIEDWHGQGSTSGGASFSDQHSGCWRGQPFCNFLMACLVMAFVLPWFFRVNMF >KJB70738 pep chromosome:Graimondii2_0_v6:11:11052781:11054199:1 gene:B456_011G099500 transcript:KJB70738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEIEEVSNYEALPLLSLNHVSLLCRSVLDSMRFYEEILGFVLIKRPSSFKFNGAWLYNYGIGIHLIENPSIDDFDTIVEPRPINPKDNHISFQCRDVGLVKRRLEDMGMKYVTAVVEDEGNRVDQVFFHDPDGYMIELCNCENIPILPLSSCSFKPRLSSFNRAAPTKCGFMENMMMESLSMDILNISF >KJB68842 pep chromosome:Graimondii2_0_v6:11:58885044:58885163:1 gene:B456_011G2588001 transcript:KJB68842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHSVDEIIVEDETAWSIGSDIQWWNGEDGEDHESDFEY >KJB71611 pep chromosome:Graimondii2_0_v6:11:20220028:20229559:1 gene:B456_011G133400 transcript:KJB71611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVICIALEVELSRAVSIIEDQINLAWDFKDELNKFRSSLTLTRAFLQDAERRQLDEPVKVWLEQLRDIAYEADDMLDELAYEHVRWKVDNQMSKKVCNFLSLSKNPMAFTLKMFKKVKNIDLSIKDINRQVTDFGLQQRLQISSLVSSRVGGGTRSFGHSSRVVGREANVLKIVDLLIGSTIHQILSITSIVGMAGLGKTCMLESLSGRTRDMKNKNAILEIIQNELEGKTYLLVLDDVWDKDIKNWEKLHSCVPLVAIVIEGKLCNKRDRDEWVSLRDSSVWGSLEMNKGIVGVLKLSFNHLSFPFLKQCFTYYVEKDSYGRFTSCKMHNLVHDLGQSVSHIKQQNEFDGVKLWRSLLLNSGFTLIRKNFKGLRVLKFGGAYIVSLPDSIGELKHLRYFDISKTCIRRLPEPIEIMRIALPIFDVGKENGSGIKELGCLVELGDELVIYNLQNVRNIEEARAAKLIENYMGEYYPSWLLVSKTVGDPCASFQPMNSVELKLSRCMNLKHLLTLGQYPNLKFLEIRVLTSVRCIGNEFYGNNNNGDEKNQPITLFLVLEVFTLKNMKNVTEWLEAQPKVIEGGLSADTSLETLEIERSRMISIPSLDGFSSLVELKLINCQGLTSLPNGSSTCTSLGCLSIRNCTSLESISEDVGQLHSLEELFIYRCQNLKKIPKESLGCLTRLKSLLDKLCSLPNQIQHLTALRQLCIYDFNGLKALPEWLGNLSSLEILHFEGCENLRQLPYKATPLPFAFVLYPQLSAII >KJB73796 pep chromosome:Graimondii2_0_v6:11:58147796:58155926:1 gene:B456_011G252900 transcript:KJB73796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDTSAEAAAAAAAAQVEAEEEMEEAEELEPPPAAVPFSRLFACADRLDWVLMIVGSVAAAAHGTALVVYLHYFAKIVHVLGIGSSLPEPERLDEQIDRFRELALTIVYIAAGVFAAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLIIGFINCWEIVLITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASVAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTHHRAHGGEIITALFAIILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSGSNEEGNMSPSLQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIKDNIAYGRDATLDQIEEAAKIAHAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERTVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLALDGLYAELLKCEEAAKLPRRTPVRNYKETSTFQIEKESSSIYSFQESSSPKFIKSPSLQRVPGGFRAHDGAFNSQESPKAHSPPPEKMQENGLPVDGGDKEPSIRRQDSFEMRLPELPKLDVQATQRPKSSGSDPESPVSPLLTSDPKSERSHSQTFSRPLSHSGDIPMKDKEAHRREAPSFWRLAQLSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRRQEPHHLQDEVDRWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENNADTLSMRLANDATFVRAAFSNRLSIFVQDSTAVLVALLIGMLLHWRLALVAFATLPVLTVSAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLKRILKKSLFHGMAIGFAFGFSQFLLFACNALLLWYTALCVKRRYMDLPTAVKEYMVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIERVPKIEPDDNTALKPPNVYGSIELKNVDFCYPTRPEMLVLSNFSLKVNGGQTLAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKTYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLVAKNGLYVRLMQPHFGKGLRQHRLV >KJB73797 pep chromosome:Graimondii2_0_v6:11:58150736:58155926:1 gene:B456_011G252900 transcript:KJB73797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRSSSGSNEEGNMSPSLQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIKDNIAYGRDATLDQIEEAAKIAHAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERTVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLALDGLYAELLKCEEAAKLPRRTPVRNYKETSTFQIEKESSSIYSFQESSSPKFIKSPSLQRVPGGFRAHDGAFNSQESPKAHSPPPEKMQENGLPVDGGDKEPSIRRQDSFEMRLPELPKLDVQATQRPKSSGSDPESPVSPLLTSDPKSERSHSQTFSRPLSHSGDIPMKDKEAHRREAPSFWRLAQLSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRRQEPHHLQDEVDRWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENNADTLSMRLANDATFVRAAFSNRLSIFVQDSTAVLVALLIGMLLHWRLALVAFATLPVLTVSAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLKRILKKSLFHGMAIGFAFGFSQFLLFACNALLLWYTALCVKRRYMDLPTAVKEYMVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIERVPKIEPDDNTALKPPNVYGSIELKNVDFCYPTRPEMLVLSNFSLKVNGGQTLAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKTYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLVAKNGLYVRLMQPHFGKGLRQHRLV >KJB69001 pep chromosome:Graimondii2_0_v6:11:197479:201430:-1 gene:B456_011G002300 transcript:KJB69001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLKVKKFRKAHKPDPEKDLENINDSGGGCGGGGGGSDGGGDNDVKKSSKVDNGVEVEDDDDDDFITNEVKRRLKELRKNSFMVLIPEEEEEELYTEDDGEEAGGETCSSEWRDVEAEGRQWWGGFDAVYEKYCERMLFYDKMIAQQLKEAGSSNPSTPSPKSENKKLRSPLSCLFLKKIEEPDEEAEQLQQSINNPYQDLETAYVAQFCLTWEALHCQYSQLSQIVLCQPENPICYNHSAQQLQQFQVLLQRFIENEPFQDGPRSEIYARARNILPKLLQIPNIQGSDRKEKVEEESDYSVLAPDIIQIIESTILVFRLFLKMDNKKHGSFRNLFGNQNPMATPLQQVQSLLEKKGAKLKELCKKRKGWKKNTWPISHEDVPLLFGLIDIKIISRVLRMGRISKEELFWCEEKMKKLHFCDGKLERDPSPILFPVLMKG >KJB69002 pep chromosome:Graimondii2_0_v6:11:197479:201203:-1 gene:B456_011G002300 transcript:KJB69002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLKVKKFRKAHKPDPEKDLENINDSGGGCGGGGGGSDGGGDNDVKKSSKVDNGVEVEDDDDDDFITNEVKRRLKELRKNSFMVLIPEEEEEELYTEDDGEEAGGETCSSEWRDVEAEGRQWWGGFDAVYEKYCERMLFYDKMIAQQLKEAGSSNPSTPSPKSENKKLRSPLSCLFLKKIEEPDEEAEQLQQSINNPYQDLETAYVAQFCLTWEALHCQYSQLSQIVLCQPENPICYNHSAQQLQQFQVLLQRFIENEPFQDGPRSEIYARARNILPKLLQIPNIQGSDRKEKVEEESDYSVLAPDIIQIIESTILVFRLFLKMDNKKHGSFRNLFGNQNPMATPLQQVQSLLEKKGAKLKELCKKRKGWKKNTWPISHEDVPLLFGLIDIKIISRVLRMGRISKEELFWCEEKMKKLHFCDGKLERDPSPILFPVLMKG >KJB72957 pep chromosome:Graimondii2_0_v6:11:49751462:49757005:-1 gene:B456_011G206000 transcript:KJB72957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDSPLDYATIQILPAENRYEAYSCSDNKVEKVGVGVLEKLLPHLPGLQNLYTQGSNADYKLQPPNNLNYAHWFTKSTFTRFVHLVGSTDLVDTAKGIEGEMSLLEEARKFHLSLYAQGHQDHIQSSEKDTCNSGDVLLASKIDGHNSLSDSSKNELLRAMDSRLTALRSELVAAFNESVGETCSYKEITHLAKFAENFGVNDVKNLFCKFQELSQKNQVATVSDDEKSSSTFASMNDRINKTGGNDQISKPPSSETPVKYGVSPAKAAQVERQSSTESEESSDSSDEIHTSAERSRALIRSASPRRSASPMRRVQIGRSGSRRAPALTIKSLSYIPPREKIFPQRDVASDGSEEEGSGHANRPENNVQRMSVQDAINLFERKQRDQITDVPKRNSLANISLGAGKSVLRRWSAGMSESSSQCLSQNASEDPVLEPPDNDIMRRSEVNLEPDTRTDAHNVDETVDKNVERLEESLASPVDVHEVADIIQEEEANERSKSSAEWNRKNEVELNQMFKRMMENQPASRRKPQTKVRQNLPPEQRGGFYDHYKEKRDKKLRGENAGKRAEKEATFKAMQKILDERKAEMASTKVNNLCKKDPPTKALKSVKNSQKVQKSPQPATTKETVKETTKTSSAKRISSRTSSLPATRKSWPSTPSPRTRGTSPAKTSAGISSSGTVPTSRKPQSAQAVPRLSPKVENSQPQRRKVNATQTSKQGSIGVNEKQQQNSMKSNKTTRTKVAVARGDSSSVVPAKPSLYNKVTKKSTVVPLESKPFLRKGSGLTSAVGPVNKIKIPSQLEDPLKNIENSIDAQQSDVNVNSSVLVNELEDKHFSSLGCSDDAILPETQANGHQKCDVTESVDELAPDFEEDLKNVGGSSQGAEESTISPTAWVEIEEHQKLPDQCEDQTGEITSSTCTAPVGSASPRVRHSLSQMLQEESSEADTTEWGNAENPPAMVYQKDAPKGLKRLLKFARKSKGDANMSGWSSPSVFSEGEDDTEESKVYIKKNADNLLRKAALHAKNYGQQKTLLSDGYENHLDAHELPSAQSGISTFDAHKLQKGGVSAAASTTKGTRSFFSLSAFRGSKPSDMKIRQS >KJB68852 pep chromosome:Graimondii2_0_v6:11:3509820:3510158:-1 gene:B456_011G045800 transcript:KJB68852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEVGESLIQLGNSDGSNSSSSDPVTRVRKLLFRRMLVGIKDGRFFLGTFHCLDKQGNIILQDTIEYRSTRRSSPSPMEQRCLGLVLIPSSCQNSCHVDCSVEEQLSLLKV >KJB68851 pep chromosome:Graimondii2_0_v6:11:3509517:3510852:-1 gene:B456_011G045800 transcript:KJB68851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEVGESLIQLGNSDGSNSSSSDPVTRVRKLLFRRMLVGIKDGRFFLGTFHCLDKQGNIILQDTIEYRSTRRSSPSPMEQRCLGLVLIPSSCQNSCHVDCSVEEQLSLLKGI >KJB73040 pep chromosome:Graimondii2_0_v6:11:50807746:50809305:1 gene:B456_011G211000 transcript:KJB73040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDSHYEEENSSSNTANPLNPEEFRRQGHMIIDFIADYYQNMEKYPVLSQVQPGYLAKLLPKSAPNIPEPIETILHDIQQYIIPGITHWQSPNYFAYFPATSSIAGFLGEVLSTGFNVVVFNWISSPAATELEFVTMDWLGQMLGLPQSFLFSGVGGGVIQGTTCEAILCTLVAARDQMLAKVGRENIGKLVFYGSDQTHCALLKAAKVAGLESFLCATIGTTSTTAVDPIRSLSEVTKEYGMWIHVDAAYAGSACICPEYRHFIDGVENVNSFSFNAHKWFFTTLDCCCLWVKDPGALTKSLSTSAEYLKNNASDSKQVVDYKDWQITLSRRFRSMKLWLVLRSYGVENLRNFLRSHVKMAKRFQQLVESDNRFEVVVPRNFAMVCFRALPTRLKKEPNGCNIESGNIEAKLIDQEYANDFNRNLLETMNASGKVFMTHTIVEGIYVIRFAVGATLTEDKHVIKAWEVVQETVDDMLATK >KJB73424 pep chromosome:Graimondii2_0_v6:11:55016568:55018380:1 gene:B456_011G232700 transcript:KJB73424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLNLTKLSINLLFSSLILLFSLLIWLKLAKRKTLNLPPSPPMLPLIGNIHQLGKLPHRSLRDLSRKYGSLLLLQLGYNPTVVVSSADIVREIVQNHDSVFSNRPRTTAIDILFYGAGDMAFAPNNKFWKQVRKISVVELFSHQSLHSFQFVRDEQVELLINKIRSACLKGESINMTDMLMFVSSNIVSRCILSHTTEEEDGCTKFGELAKMLSILFTSSCIGDMFPYLRWVDVLTGCIPSMKAVSAELDAFLDQVIQEHKVFETDHQVSNKKDFVSILMQLQKDGMYEMDLTQDNIKAILLDMFVAGSDNSTATKEWMMVELLKHPNAMKKVQEEVRNVVGNKLRVDAEDVSKMKYLKCVLKETLRLHPAGSLLLPRQTSSSVKLGGYDIPSDTTVLINAWAIQRDPKWWENPEDFIPERFENSSIDFKGQDFQLIPFGFGRRRCPGIPFAVAAIEYVMANLLYWFDWKLPAGEIAENLDMTELFGLTVTKKTPLHLLPLSHFSF >KJB73869 pep chromosome:Graimondii2_0_v6:11:58656857:58658921:1 gene:B456_011G257300 transcript:KJB73869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSVPYLDPPNWQQAPSHHHQQAGVITSESHHHNSQLPPPPPPLPVPGVGGGGIRPGSMTERARLAKIPQPESALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGNNRSKSPSVVTERQHGSSSSSSTLVSNSCTDILTHMNPPAPPQLPLLPPLHHLGNYNSGDIGLNFAGIPPQVAVTGCGTSASDMDFQGSTGLVEQWRSLQQVQQFPFLTSLEQSQTGLYPFESDGVEPPPSYAGHHQFRSKPLESAITQLANVKMEDSQQGLNLSRNFLGISGNDHQYWGTATAGNSWTDLSGFIKEKSSHR >KJB73870 pep chromosome:Graimondii2_0_v6:11:58657075:58658387:1 gene:B456_011G257300 transcript:KJB73870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSVPYLDPPNWQQAPSHHHQQAGVITSESHHHNSQLPPPPPPLPVPGVGGGGIRPGSMTERARLAKIPQPESALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGNNRSKSPSVVTERQHGSSSSSSTLVSNSCTDILTHMNPPAPPQLPLLPPLHHLGNYNSGDIGLNFAGIPPQVAVTGCGTSASDMDFQGSTGLVEQWRSLQQVQQFPFLTSLEQSQTGLYPFESDGVEPPPSYAGHHQFRSKPLESAITQLANVKMEDSQQGLNLSRNFLGISGNDHQYWGTATAGNSWTDLSGSTSSSSNHDLFIYTYRFFSKNPI >KJB74285 pep chromosome:Graimondii2_0_v6:11:61756292:61760772:-1 gene:B456_011G285100 transcript:KJB74285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLDPSKEVVQYLFQDLTSFAVIILLSCFNFRCLNLFGLADPVVSGNATDKQALLDFKAKITGDQLKVMESWNSSIHFCQWHGVTCGRKHQRVTKLELQSLKLSGSLSPHIGNMSFLRVLNLMNNSFYNQIPQSIAGLRRLETLYLTNNSISGEILSNLSSCSKLTIVRMAGNRLTGEIPAFLGFLSNLKVLSFYNNSLIGSIPPSLGNLSSLEELALTYNALDGIIPETLGRLTNLSIFLAAANAISGTLPLAMFNLSNIRLFDIGENKIQATLYTDLAITMPYVEFFSVRGNKISGQIPISITNASNLHVLQFNDNRLSGKVPSLEKLDKLSTLQLAVNRLGHGREGDLNFLCTLVNNTKLEFLYISDNNFGGAFPKCVSNFSNTLLRLGIDENKIMGRIPDGIGNLVNLEVLFASQNQLSGPIPFDIGRLQKLNKFFADINFLSGTIPHSFGNLSALTMVGLDFNNLQGNIPPSIGKCQSLLGLSVSYNNLSGPIPPQLLGVSSMSIILDLSSNYLTGELPVAVENLKNLGQLRVSQNRLSGLLPKTLGSCVSLEKLYLDGNLFEGPIPSSLSSLRGLEALDLSNNNLSGEIPEFLVRFGALRYLNLSFNNFEGVIPSGGIFKNASATFVEGNSKLCGGIPELHMLRCNLKTSSSNSLRLKVAIIVGSLGATLAFTCLLILWIGKKKEKQATTTSVENSVLQLSYQSIVRATDGFSTQNLVGSGSFGSVYKGVLQASGAVIAVKVLNLLNRGASRSFLAECEALKNIRHRNLVKVLTAISGEDYQGKDFKALVYEFMENGSLEDWLHPLIGMNEPETVRNLNFFQRVSVAMDVAHALEYLHHHCEEPIIHCDLKPSNILLDEEMVGHISDFGLAKILSTDRLNNSANKSSSLGLRGTIGYAPPEYGMGSGLSTKGDVYSYGILLLEMFTGKRPTDERFREGLSLRNFVKAALPERIVEVTDPILVEERVARGTPGVKNSRNDRHLRCLNSLFEIGLTCSAESPNERIDMSDVVIKLCSIRDKFHPTRLRHEVPT >KJB72704 pep chromosome:Graimondii2_0_v6:11:45798621:45800827:-1 gene:B456_011G191600 transcript:KJB72704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIKGGGGGRVGVGVEEDMGDGMQCSNHPYRNNPGGICAFCLQEKLGKLVSSSFPLPIRASPSSSSSPPSFRSDTGAIATSCGGNGGVSGGGGGVATFSASSLSMSVRPITKTRLDNGNNNSHYEECYTRRARIPFLLAKKKKKIMVASSDHHHHHHHHHHHANIALKRSKSTTAPRRGRFLDGEVDNGGGFSPRKRSGFWSFLYLSSKTHSSKKPDKVASIAPPAATVSTATTMGGPSSSSAVVNVKPKEKSLGSSLSRKGGIVVVEEDDSPNSEATASAASSFERKVSRSRSVGCGSRSFSGDFFERISTGLGDCTLRRVESQREGKPKSSAVGAASSSSAMKERVKCGGIFGGFIITSSSSSSSSSSYWLSSSAEEHNGNGKATGAALIHGRSRSWGWAFASPMRAFTKPSSGKKDATTVIRESNNKNTTPNLAAIPSLLAVRS >KJB69676 pep chromosome:Graimondii2_0_v6:11:2744516:2747271:-1 gene:B456_011G036500 transcript:KJB69676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAIFSSVRRRRSPSLEAFLVPVDLTQVALVQTLAALSSDLVSCFSDHVFFFQRRNSRSLVRKVEIFLVVLAYLRDSRSGSSSNLSPTAVLCFKELYLLLYRSKILLDYCSQSSKLWLLLQSHSIAGHFHDLNQEISTLLDVFPIKDLNLSDDIKEQVELLQKQGRQCKLYVDKNDEVLRLKFFSFLDEFENGRIPNHVELRLFFVERLGIKDVESCRSEIEFLEEQIVNHEGDIEPAASVLNGFIAITRYCQFLLFGFEEDELRLCFKNPKKPWKGLISQEMAHTFLTIPKDFCCPISLDLMKDPVIVSTGQTYDRSSIARWMEEGHCTCPKTGQMLDDTRIVPNRALRNLIMQWCIAHGVPYDPTETGDASAESFAAALPIKAAIEANRATAVLLIQQLANGSQGAQTVAAREIRLLAKTGKENRAFIAEAGAIPHLRKLLSSSNPVAQENAVTAMLNLSIYAKNKSRIMDEDGCLGSIVEVLRLGITVEARQNAAATLFSLSAVHDYKKRIADQGGAVEALAELLRVGTPRGKKDAVTALFNLATHADNCSRMIEAGAVTALVGALGNEGVAEEAAGALALIVRQPIGAEAVGKEETAVSGLIAMMRCGTPRGKENAVAALLEFCRTGGAAATRRVLKAPAMASLLQTLLFTGTKRARRKAASLARVFQKCENATSRFGGSGVGYPFASNSTTNDVSVVPMSISVL >KJB72483 pep chromosome:Graimondii2_0_v6:11:42431086:42432543:1 gene:B456_011G180700 transcript:KJB72483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCSADLAPLLGPNATAAADYICNKFSDTSFAVDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAIGGLFYYLFGFAFAFGSPSNGFIGRHHFALRSVPSSSFDYSNFLYQWAFAIAAAGITSGSIAERTQFVSYLIYSSFLTGFVYPVVSHWFWATDGWASALRTDDFLFGSGVIDFAGSGVVHVVGGVAGLWGALIEGPRIGRFDHSGRSVALRGHSATLVVIGTFMLWFGWYGFNPGSFNKISSFYTSGNYYGQWSAVGRTAVTTTLAGCTAALTTLFGKRILTGHWNVTDVCNGLLGGFAAITAGCSVVEPWAAIICGFVAAWVLISCNKLAEKVKFDDPLEAAQLHGGCGAWGIIFTALFASEKYVREVYPSRPVRYGLFMGGGGRLLAAHIIQILVIVGWVSVTMGTLFYFLHKFGLLRISADDEMAGMDLTRHGGLAYVYHDEDESQKQGTQMRKIESHPSPSSV >KJB74357 pep chromosome:Graimondii2_0_v6:11:62147685:62149487:-1 gene:B456_011G290100 transcript:KJB74357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMFAPKEMVVLATMSNMAVYLYMFVVCIKMDAIMLTKAAKHTWKLGFCCFVFPIIFTIAVAIGQNYFLPGASGSAFPVQFTIMSSIRQISLSVAMHNEVVSGTFQILGVALGQQDVKTSVYSILSLCSLTMFAFFRYYFCFFLTGLTTDAIGASFGMASVIMGFVIPDGWPLGTTIIRTCELILFELFLPLFFVRIGYFTNLSVIKNQDELMMYGAMTIAGCLGRLVACLLVSFSMKLSKRSAILLSLILSLQGVVELIQSIRWKHLQIPQIFVQLLTEQTYATSIIGIVVVNAIITPLIEMLHKPAVTKKFNLPTLVRLRIRSLRMTSTIEELRIVTCIQDEEIVPIIIRLLEALNPKEISPTSAYVIHLVPVASQSVPTLALYKNHLRKFNHPSGSDNIIRAFLNYIEKSQGLVQIHPFRMISHYKYMHQPICRLSEKIHAPLIVMPFFNSEEAHSIDDTLRIFNTNVQASATCTVGLLVDRGLRSSVRLTTFSYTVAVIFLSGVDDREALALATRASFHPNVIVKDLLKLS >KJB74324 pep chromosome:Graimondii2_0_v6:11:61969910:61972685:-1 gene:B456_011G288100 transcript:KJB74324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQTFLFLVAVSFLNVFSFPSLTNALGSGSTLAVVYGTATVCAIVAAEPNQRIICYRAGGGFSSSPAVISISPNVSYSAVAGGRTNFCAIRSGGYSLLCWDTTIRSLPSKRLYNNDTVLLQSLSVGDERICATAMNNTPPVTCWRTENNTEELPNSNLTMGKVTSGFGFSCGIVLSQNRQVTCWGSNSVAAARVNQFGNMSMENIEAGANHVCGVNSEGELVCRGDNSTGQLDVPSNKGLNFASGLALGDGFSCGIRRSNGTVVCWGSMNETAIEGIQFESIVAGVNFTCGLTTRNFSIICWGPGWPELNQSSSNSSINELPLGAEILPGPCTDSSCNECGSYPLSNRLCFGSRNICRPSPCFNFTNPSPSPSSPPPPEVSRRSTTSRGLRRGLLAFAIVGSIGGFMGICTIAYCLWTGVCFGKKKVHNSVQPTITRAGSNSGPGSNSGPPSRSLTIRRQGSRSMKRQRSGTSSSKHADRAEEFSLVELAAATNNFALENKIGAGSFGIVYRGKLFDSREVAIKRGETGQKTKKFQEKETAFESELAFLSRLHHKHLVRLVGYCQERDERLLVYEYMKNGALYNHLHDKDNVEKSSSLLNSWKMRIKIALDAARGIEYLHYYAVPTIIHRDIKSSNILLDEHWTARVSDFGLSLMGPESNKDYRPMKAVGTVGYIDPEYYGLNVLTTKSDVYGLGVVMLELLTGKRAIFKNDENEGTPISLVDFAVPAIMAGELGKVLDVRVGPPEINESEAVELMAYTAMHCVNLEGKERPTIGDIVSNLERAVTVCNGSHYDSISSSGFSIVSE >KJB69437 pep chromosome:Graimondii2_0_v6:11:1736306:1741835:-1 gene:B456_011G024200 transcript:KJB69437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFQSWNALVKKRNALAEGGNCGFKMRQLPFMGVICTVMLFIVYRTTDYQYHKTEMETRFHPFATVKDYHLHLGKLRGLPRGIMQARSDLELRPLWSSNSQSKASATTNKNLLAMPVGIKQKDYVDDVVKKFLAANFTIILFHYDGNVDGWWDLGWGEKAIHIVAHNQTKWWFAKRFLHPDIVSVYDYIFLWDEDLGVEHFDPTRYLEIVKSEGLEISQPALDPNSTEIHHRITIRARTKKFHRRVYDLRGKTKCSNSSEGPPCTGFVEGMAPVFSRSAWYCAWHLIQNDLVHGWGVDMKLGYCAQGDRSKKVGVVDSEYIVHKGIQSLGGSGHPTMRTTMKRHGASSFDLRTEIRRQSTWELKVFKERWNQSVEEDKNWVDPFLRYQRRSKKHKRQDN >KJB69438 pep chromosome:Graimondii2_0_v6:11:1736642:1740590:-1 gene:B456_011G024200 transcript:KJB69438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFQSWNALVKKRNALAEGGNCGFKMRQLPFMGVICTVMLFIVYRTTDYQYHKTEMETRFHPFATVKDYHLHLGKLRGLPRGIMQARSDLELRPLWSSNSQSKASATTNKNLLAMPVGIKQKDYVDDVVKKFLAANFTIILFHYDGNVDGWWDLGWGEKAIHIVAHNQTKWWFAKRFLHPDIVSVYDYIFLWDEDLGVEHFDPTRYLEIVKSEGLEISQPALDPNSTEIHHRITIRARTKKFHRRVYDLRGKTKCSNSSEGPPCTGFVEGMAPVFSRSAWYCAWHLIQNDLVHGWGVDMKLGYCAQGDRSKKVGVVDSEYIVHKGIQSLGGSGHPTMRTTMKRHGASSFDLRTEIRRQSTWELKVFKERWNQSVEEDKNWVDPFLRYQRRSKKHKRQDN >KJB71757 pep chromosome:Graimondii2_0_v6:11:22017332:22019459:-1 gene:B456_011G140300 transcript:KJB71757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSAKISKESKGASPSHGGHHKNSFHSKRQSHHSILPLQPVDQLEQWSSVVVDMYNNSSSNNNDNNHHHHQPQTSHTSTSRSSDYSAGGDGDPSATGNKWASRLLKECARAISDKDSSKIHHLLWMLNELASPYGDCEQKLASYFLQALFCKATESGQRCFKTLTLVAEKSHCFDSARKLILKFQEVSPWTTFGHVAANGAILEALDGEPKLHIIDISNTLCTQWPTLLEALATRNDDTPHLKLTVVVTANIVRSVMKEISQRMEKFARLMGVPFEFNVISGLNHLGQLTKDALGVVEDEAVAVNCMGALRGVPVEERAAVIGMFRSLGPKVVTVVEEEADFSRTRDDFVKCFEECLRFYTVYFEMLEESFNPTSNEKLMLERECSRGIVRVLACDDDQDNEGERERRERGSQWSERLKEAFSPVGFSDDVVDDVKALLKRYKPGWALAQPDQNETGLYLTWKEEPVVWVSAWKP >KJB71160 pep chromosome:Graimondii2_0_v6:11:12807532:12808634:1 gene:B456_011G108800 transcript:KJB71160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECLPRSRTPLISWTSSPSKARLALVLTPTIFPSGLSTYFSNRVAPAPKEEKVLTEILGLPNLFLNSALNQHPWSEKVSFYRSSRIPKFLFRQVVPFYQRSQRLFHFPTTIIGVSILTIGEAYYRQRFIFNSLYISIN >KJB70088 pep chromosome:Graimondii2_0_v6:11:4752200:4753673:1 gene:B456_011G059100 transcript:KJB70088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEEDLPRDAKIVKSLLKSMGVEDYEPRVIHQFLELWYRYVVDVLTDAQVYSEHAGKQTIDCDDVKLAIQSKVNFSFSQPPPREVLLELARNRNKVPLPKAIPGPGIPLPPEQDTLISTNYQLAIPKKQPAQAMEETEEDEESVEPNSSQEHKTDAPHPTSQRVSFPLTKRSK >KJB69910 pep chromosome:Graimondii2_0_v6:11:3871123:3872319:-1 gene:B456_011G049500 transcript:KJB69910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITALQVWCSSRKKGEKKRTPWTPSSSITLTHSQFTAKPPLAPTPFAALPRRVSRVLFFSDLPFGQCPYSMSHHTLSYEHDICAKYAN >KJB70968 pep chromosome:Graimondii2_0_v6:11:11011933:11014371:1 gene:B456_011G099300 transcript:KJB70968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGQGTPAASLSLRYFSFILFLFLSFICFYSGVSKAAKIDSDRKRKKSSISSADRTAAKGGDQHKDKAPTSSTNHHHHKKKSKMSSSSPANQVRASHILIKHQGSRRKASWKDPEGRVISNTTRDAAVSQLKALRDDIASGNAKFGEVASRHSDCSSAKRGGDLGPFGRGQMQKPFEDATYSLKIGEISDIVDTDSGVHIIMRTG >KJB72081 pep chromosome:Graimondii2_0_v6:11:27589691:27591582:-1 gene:B456_011G157400 transcript:KJB72081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVIVKAKLVEQTWSIPLLLSLLVLFLNLFLNFIKRSKLKLPPSPPKLPIIGNLHQIGTLPHRSFRTLSTKYGPLMLLHIGHTPTLVVSSAELAEEMVRNHDIVFSNRPITTAVKILLYGCKDFGFAPYNEYWRQARKICVLHLLSLKRVQSFQFVREEEVLTLINNIRGRCLDASPINMTEMLLGTSHNLISRCVIGLKGDEEGGSGARFGEVTRRFMHQISEFNVGDMFPSFGWLDVLSGFIGRLKETAKEMDEFLDKVIEEHKALKSYDEKDFVHILLQLQSDGMLGTELTRDHFKAILADMFVAGTESVATATEWTMAELMRHPNIMKKAQDEVRKVVGKKPKIDAEDIHRMDYLKCIIKETLRLHPPAPLMSPRQTSTSVKLEDYHIPPKTTVFVNVWAIQRDPGLWEMPDVFYPERFENVEFDYKDPKSFQFIPFGIGRRTCPGVSFGLIAVEYVIANLLYWFDWKLPPHISAQNLDITEAFGLGVCMKFPLCLLAMPYRP >KJB71672 pep chromosome:Graimondii2_0_v6:11:20965101:20967512:-1 gene:B456_011G136800 transcript:KJB71672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPRHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNEDFRLLYDTKGRFRLHAITGDETKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDAAGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLAAQAAA >KJB74102 pep chromosome:Graimondii2_0_v6:11:60443527:60447250:1 gene:B456_011G272500 transcript:KJB74102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSSSTSVFLFIFIFCIFIISQAVSDDDRKVYIVYMGERPNGEFSAERLHINILEQVLGSGGSSSLLHSYHRSFNGFVAKLTNDDAHKLANMEGIVSVFPNQMKQLHTTRSWDFMGFSKNVIRTNLESNIIIGMLDTGIWPESESFNDEGFGPPPKKWKGICQKSSNFTCNNKIIGARYYKADKNFHPTDIQSPRDSEGHGSHTSSIAAGALVHKASLSGLASGLARGGVPSARIAVYKICWADGCSDADILAAFDDAIADGVDVISLSVGGSFAIDYFNDSIAIGAFHSMKNGILTSNSAGNSGPQLASITNVSPWSLSVAASTIDRKFFTEVKLGNGEIYKGTSINTVELKHNLYPLIYGGDAPNTKKGYDSSESRYCSEDSLDKALVKGKIVLCDSVNSGEGPLAAGAVGAIMQYYLDSAFNFPLPVSCLGSDDGTDVSTYLNTTRKPKANILKSIEEKDEQAPYVISFSSRGPNPITYDILKPDLTAPGVDILAAWSQGTTVTGYEGDNRIVPYNILSGTSMSCPHATAAAAYIKSFNPTWSPAAIKSALMTTAVPLSLETNTDAEFAFGSGHLVPSSALDPGLIYDAGEIDYVKFLCGQGYDTETVRLVTGDRSKCSDSINGTAWDLNYPSFALSATPGKSTRRVFHRTVTNVGSGVSIYKATVKAPPGLEIEVQPNLLGFKAIGEMKSFIVKVKAKIDGNNITNMMLSGSLIWDDGLHQVKSPVVAFALEEE >KJB74195 pep chromosome:Graimondii2_0_v6:11:61103247:61107156:1 gene:B456_011G278700 transcript:KJB74195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADNGDEPDDDNNKLTLHVPETSHQISTDSWFQAGFVLTTGINSVFVLGYSGTIMVPLGWVGGVVGLLLATAISLYANMLVAKLHEFDGKRHIRYRDLAGQIYGREAYYITWALQYVNLFMINIGYLILGGSALKAFYVLFNEEHTLKLPHFIAIEGVVCILFAISTPHLSSLRIWLGCSTVLSLIYIIVACVLATKDGLNAPPRDYTIHWTSTTSRIFSTIGASANLVFAFNTGMLPEIQATVRQPAVKNMLKALYFQFSVGVVPMYAVTFIGYWAYGSSTATYLLNSATGPLWVKASANISAFLQSVIALHIFASPAYEYLDTKFGITGSALKLQNIAFRILARGSYLTISTLVSAMLPFLGDFESLTGALSTFPLTFILANHMFLMAKKNKLSSLQQSWHWLNVGFFALMSVAATVTGIRLIIVDSKEYHIFADV >KJB74196 pep chromosome:Graimondii2_0_v6:11:61104840:61107100:1 gene:B456_011G278700 transcript:KJB74196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLGWVGGVVGLLLATAISLYANMLVAKLHEFDGKRHIRYRDLAGQIYGREAYYITWALQYVNLFMINIGYLILGGSALKAFYVLFNEEHTLKLPHFIAIEGVVCILFAISTPHLSSLRIWLGCSTVLSLIYIIVACVLATKDGLNAPPRDYTIHWTSTTSRIFSTIGASANLVFAFNTGMLPEIQATVRQPAVKNMLKALYFQFSVGVVPMYAVTFIGYWAYGSSTATYLLNSATGPLWVKASANISAFLQSVIALHIFASPAYEYLDTKFGITGSALKLQNIAFRILARGSYLTISTLVSAMLPFLGDFESLTGALSTFPLTFILANHMFLMAKKNKLSSLQQSWHWLNVGFFALMSVAATVTGIRLIIVDSKEYHIFADV >KJB74316 pep chromosome:Graimondii2_0_v6:11:61914013:61914940:1 gene:B456_011G287200 transcript:KJB74316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LINLKFQPLRNIESPFETQGAVMPMFVICMLVYSITFCTPYFPEVIDHINLLAGSLATVLLTFTLFPPLGRVTLFIWTIHFVKLIYGAIPKLCQLCQALPSLFNLRVLLGRHAHHNEERCCNNHIHASLGFLISVLVDLIQVKYQSTNMAVPFETHPAIMFIFITAILVYAAAATIKTSNDNSSIHRVIVTKISLLSGSLATVVLVLVIVPPIGWFILLIWTFLLVKQIYYGCQMVRQPYRIISVVYYVIYQIFGRRRDMSQGRNRLSLFMI >KJB74287 pep chromosome:Graimondii2_0_v6:11:61765372:61768598:-1 gene:B456_011G285300 transcript:KJB74287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSKKHLEASSTSLLVCTEVIILLFCFNLLGHYFLGLATATTVIRGNDTDQQALLQFKSKITSDPLKVMESWNSSIHFCQWYGVTCSRKHQRVTKLELQLLKVSGSLSPYIGNLSFLREFNLAGNSFYNQIPQEIGRLRRLEILDLINNSISGEIPSNLSACSKLTYVRMRSNQLRGEIPGSFGLLSKLKFLSFINNSLTGSIPPALGNLSSLEELYFVYNALSGILPEALGRLTNLTKFSADENAISGIIPTAMFNLSNIIVFSIGGNEIQGTLDPNLAITMPYVEVLSVWGNRIYGEIPISISNASNLYQLQLDGNRLSGNVASLEKLEKLFVLNLAENHLGNGREGDLNFICTLVNKTTLKYLDIGINNLGGVFPECISNFSSNLLQLVIQQNKIWGRIPEGIANLINLETLGASRNQLSGPIPSDIGRLQNLKIFYASNNSLSGYIPHSIGNLTVLTKLALDFNNLQGTIPSSLGNCQNLLVIGLSHNNLSGPIHPQVLGLPSLSIILDLSSNYLTGELPVAVANLKSLGEFHVSKNKLSGLLPSSLGSCVSLEKLFLDGNLFEGPIPSSLSSLKGLEALDVSDNNLSGEVPEFLVTFGALEYLNLSFNNFEGVIPSGGIFKNASATFVEGNSKLCGGIPELHLLTCNSKTSSSNSLRLKVAIIVVTLGMTLAFTCLLILRFRKKKEKQATTTSVENSLLRLSYQSILRATDGFSTQNLVGSGSFGSVYKGVLEASGAVIAVKVLNLLNRGASRSFLAECEALKNIRHRNLVKVLTAISGVDDQGNGFKALVFEFMENGSLEDWLHPVLIGMNEPETARNLNFFQRVSVAIDVAHALEYLHHHCEEPIIHCDLKPSNILLDEEMVGHISDFGLAKIISTDRLNYSANKSSSLGLRGTIGYAAPEYGMGNELSTKGDVYSFGILLLEMFTGKRPTDEGFKEGLSLHNLVKEALPERVTDIIDPILLQERIKRGTFNENSLGSDIDLRCLNLIFEIGLTCSSKSPHERIGMSDVVTKLCTIRDKLHPT >KJB74313 pep chromosome:Graimondii2_0_v6:11:61887876:61888510:1 gene:B456_011G286900 transcript:KJB74313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLILFKECLLSTNNICFFFLECSNGRTTNNNWHASLAFAVGVFLALMPVKYQSTAPFETHNALMLIVIIITLVYAAAWQVEHHLQTSNNNSSIHRIIVTKISLLSGSLVAVVLVLVIVPAIGWFILLVWTLFLLKQIYEACQMLHRLYQSISLVSYVFNEVVGPRGHLSQGRNGLVA >KJB70811 pep chromosome:Graimondii2_0_v6:11:9995666:10004130:1 gene:B456_011G092100 transcript:KJB70811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVAVIGGGIRGLVSAYVVAKGGVDVVVYEKEKQLGRHAITVNFDATDLDLRFLFLNPASYATMLEMFESLGVDVETSDVSFSVSHDKGNGYEWSSQYGFSNYFAQKKKLLNPFNWRNLREIIKFGNDVESYLELLENNPDIDRNETFGQFLKSRGYSENFQNNYLAPICGAMWSSSRKDVMSFSAFSVLSFWRTHHLYQLFGQPQWLTIRRHSYFVKRVRDMLESRGCLFKLACQVQSVLPADNGTTVVRGDGFRETYNGCILAVSASKALRLLENPTFEEKRVLGAFQYASSDIYLHRDSNLMPKDRSAWSALNFLNGRENKACLTYWLNALQKVGKTSQPFFLTVNPHNTPNDTLLKWSTSCAIPSVAASKGSLELGQIQGKRGIWFCGYDFHEDELKAGMDAAHGILGKHSSVVYSPKHLSPSFMETMACLFVAKFFQQYVSAGCIIFLEERGRIFTFKGNMEKCPLKSVLKVHNPQFYWRIMKEADLGLADAYINGDFSFVDKDKGLLNLFQILVVNKELNSAASGSNKRRTWLSPALFTASISSAKYFTKHLLRQNTVTQARRNISRHYDLGNELFTLYLGETMQYSSGVFKTGEEHLDVAQRRKISSLIEKTRIEKWHEVLDIGCGWGILAIEVVKRTGCKYTGITLSEKQLKYAEDKVKEAGLEGNIKLLLCDYRQLPKTNQYDRIISVEMVEHVGKEYIEEFYRCCDQLLKKDGLFVLQFITLPEELSKEVQQTAGFIKEYIFPGGMLLSFNTHLSAMAAASGFCVEHVENIGSSYYHTLRWWRKNFLENTSKVLALGFDDKFMRTWEYYFDYCAAGFKTGTLLDYQVVFSRADNFATLGDPYKGFPSAYSFMDT >KJB70810 pep chromosome:Graimondii2_0_v6:11:9995666:10003801:1 gene:B456_011G092100 transcript:KJB70810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVAVIGGGIRGLVSAYVVAKGGVDVVVYEKEKQLGRHAITVNFDATDLDLRFLFLNPASYATMLEMFESLGVDVETSDVSFSVSHDKGNGYEWSSQYGFSNYFAQKKKLLNPFNWRNLREIIKFGNDVESYLELLENNPDIDRNETFGQFLKSRGYSENFQNNYLAPICGAMWSSSRKDVMSFSAFSVLSFWRTHHLYQLFGQPQWLTIRRHSYFVKRVRDMLESRGCLFKLACQVQSVLPADNGTTVVRGDGFRETYNGCILAVSASKALRLLENPTFEEKRVLGAFQYASSDIYLHRDSNLMPKDRSAWSALNFLNGRENKACLTYWLNALQKVGKTSQPFFLTVNPHNTPNDTLLKWSTSCAIPSVAASKGSLELGQIQGKRGIWFCGYDFHEDELKAGMDAAHGILGKHSSVVYSPKHLSPSFMETMACLFVAKFFQQYVSAGCIIFLEERGRIFTFKGNMEKCPLKSVLKVHNPQFYWRIMKEADLGLADAYINGDFSFVDKDKGLLNLFQILVVNKELNSAASGSNKRRTWLSPALFTASISSAKYFTKHLLRQNTVTQARRNISRHYDLGNELFTLYLGETMQYSSGVFKTGEEHLDVAQRRKISSLIEKTRIEKWHEVLDIGCGWGILAIEVVKRTGCKYTGITLSEKQLKYAEDKVKEAGLEGNIKLLLCDYRQLPKTNQYDRIISVEMVEHVGKEYIEEFYRCCDQLLKKDGLFVLQFITLPEELSKEVQQTAGFIKEYIFPGGMLLSFNTHLSAMAAASGFCVEHVENIGSSYYHTLRWWRKNFLENTSKVLALGFDDKFMRTWEYYFDYCAAGFKTGTLLDYQG >KJB69260 pep chromosome:Graimondii2_0_v6:11:915401:919989:1 gene:B456_011G012800 transcript:KJB69260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSMNVVVLSLFVSSLLLSVVTASDDGLVRIGLKKKKFDPNNRLASRLESEDRQALIASMQEKYGLHNNLGDHEDTDIVALKNYMDAQYYGEIGVGTPPQKFTVIFDTGSSNLWVPSSKCYFSVACYFHSKYKASESKTYKKNGKPASIQYGTGAISGFFSYDNVQVGNLVVEDQEFIETTKEPGLIFLAAKFDGILGLGFKEISVGKAVPVWYNMLKQGLIKEPVFSFWLNRNVGEEVGGEIVFGGVDPDHYKGKHTYVPVTQKGYWQFDMGDVLIGDKPTGMFLPMPRFCAGGCAAIADSGTSLLAGPTTVVTMINHAIGATGVVSQECKAVVQQYGQTIIDLLLAETQPQKICSQIGLCTFDGAHGVSMGIESVVEEGNGKSSGVQFNAMCPACEMAVVWMQNQLKQNQTLDVILNYVNQLCDRMPSPMGESAVECGSLSSMPTVSFTIGDKVFDLSPEEYILKVGEGPQAQCISGFTALDVPPPRGPLWILGDVFMGRYHTVFDFGKERVGFAEAA >KJB69259 pep chromosome:Graimondii2_0_v6:11:915025:920273:1 gene:B456_011G012800 transcript:KJB69259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSMNVVVLSLFVSSLLLSVVTASDDGLVRIGLKKKKFDPNNRLASRLESEDRQALIASMQEKYGLHNNLGDHEDTDIVALKNYMDAQYYGEIGVGTPPQKFTVIFDTGSSNLWVPSSKCYFSVACYFHSKYKASESKTYKKNGKPASIQYGTGAISGFFSYDNVQVGNLVVEDQEFIETTKEPGLIFLAAKFDGILGLGFKEISVGKAVPVWYNMLKQGLIKEPVFSFWLNRNVGEEVGGEIVFGGVDPDHYKGKHTYVPVTQKGYWQFDMGDVLIGDKPTGFCAGGCAAIADSGTSLLAGPTTVVTMINHAIGATGVVSQECKAVVQQYGQTIIDLLLAETQPQKICSQIGLCTFDGAHGVSMGIESVVEEGNGKSSGVQFNAMCPACEMAVVWMQNQLKQNQTLDVILNYVNQLCDRMPSPMGESAVECGSLSSMPTVSFTIGDKVFDLSPEEYILKVGEGPQAQCISGFTALDVPPPRGPLWILGDVFMGRYHTVFDFGKERVGFAEAA >KJB72901 pep chromosome:Graimondii2_0_v6:11:49277302:49277715:-1 gene:B456_011G203700 transcript:KJB72901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILSTTNEVVNKVNDFTLLRIPGESRTYPSSDRIYPASGNIEEQSLLYSSELKVGVPIMLLRNINRSVDLCNCTELVVTKLGSSSVGQRCFIPRVEISPSGSKWPSNFRRR >KJB73174 pep chromosome:Graimondii2_0_v6:11:52757314:52757718:1 gene:B456_011G219800 transcript:KJB73174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVKASTALVLSLNLLFFAFVSSHNVENPVFIHPGDVYHNGRITHGHPGTCNPLNLGVCLGLLDLVGVSVGNVPTEPCCSVIQGLVDLEAAVCLCTAVRANVLGIPIHLPISLSLLLNKCGREVATEYICSP >KJB73945 pep chromosome:Graimondii2_0_v6:11:61357118:61357730:1 gene:B456_011G281600 transcript:KJB73945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTYMLIWILIICLSQVAVQSQYYSKSLPYHPKPVKVTNLHFFLHETLGSENPTAVVIAQANIPSNDNNSSVLFATLYAFDDPLKIAPEHDSEVIGNAQGLAVYAGTNTTDAVMYADFGFTTGKFKGSSISIFSRNPTAEIEREVAVIGGRGQFKMATGFALLKAYFINATNVVIEYNVTVIHY >KJB71820 pep chromosome:Graimondii2_0_v6:11:22533055:22534264:1 gene:B456_011G142800 transcript:KJB71820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATHSLRTLPHHHHSSSFKRLSTPPPPPPPPSSFFLKPPSSSTSTSTTNTLSSLSSTTTFSLPSSTTSTTTSQAISFDTLQHHLSNQNFRQADEETRRLLILLAGEAAQKRGYVFFSEVQFISQNDLKAIDELWKKHSDNKFGYSVQKRQWQKVKKDFTKLFLKLGWMKKLDTEIEQYNYRAFPDEFIWELNDETPEGHLPLTNALRGTQLFNSILSHPAFEGQEEEAETGENGGVTVRDGTTKPLSNKFFKPNYSF >KJB69391 pep chromosome:Graimondii2_0_v6:11:1499629:1501853:-1 gene:B456_011G021400 transcript:KJB69391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFDLEDPFTTLKQHQFDTISTIFSSESDHMPCLNYLQCLTTCDFHVSFRQEAMSLVFQAQYCCNLDPYTPYLAVTYMDRFISKQEIPQGNPWVLRLLVIACISLAAKMKDMHFCYSNFQREEGFIFDASAIQRMELLVLDALNWRMRTITPFSFICFFISLFELKDPPLIQALKDRATHIIFQAGIETNLLEFKPSTIAASALLMASHELLPLQFPSFKASILCCEYVNEEKLLICFNAVMEMVTNKMDTISTSSTVTPISVLDCHCNKSETEVSEKKGIKRHKLIGFCSESKRVKMSHIQPCG >KJB74110 pep chromosome:Graimondii2_0_v6:11:60548565:60549175:1 gene:B456_011G273300 transcript:KJB74110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHLVFFILLIVVLTFSNFKRVECGILKRVHRRGGYANLATLGVVCKCCDGEGGECRSSWDASYSCPKLKCLPWKYQ >KJB71423 pep chromosome:Graimondii2_0_v6:11:17125895:17127480:1 gene:B456_011G122700 transcript:KJB71423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSALCWFPLLLHWFFALISTLCWFFVLPSAGSPVCMQFQNISLVSIKKYNGNKSTKNKSNYPLISACPPTVSAKSSTTITSSVACFLSLFSSMLSIILMFFVSDMKSVKC >KJB71409 pep chromosome:Graimondii2_0_v6:11:16994414:16996045:1 gene:B456_011G121800 transcript:KJB71409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKHKMKSNLPIPLLFLSLLFSFSWAALGLTHQQQHHSFLQCLNRHFGNANSISTVIYTQTNSSFSSVLEFSLRNARFSTPNTLKPLVIVTASHVSHIQATINCSRTHGLQIRIRSGGHDYEGLSYVSQVPFVIIDLINLRSIDVDAENKTAWIQAGATIGELYYRIAEKSSTLAFPAGVCPTVGVGGHFSGGGYGMLMRKYGLAADQIIDAQLVDVNGKLLDKNSMGEDMFWAIRGGGAASFGVVVAWKVKLVPVPSTLTVFTVNRTLEENGTMLVHKWQSIAPKIHEDLYIRLFLRAVNSSQQEGKRTIQASFVSLYLGRADELIDLMQESFPELGLVKEDCIEMSWIQSIMYFPSDIPEDAPLEILLNRTGSAGIFKGKSDYVTQTIPETALEGLWQRFYEDETESLEILFSPYGGNMDDIPETETPYSHRAGNLFNIHYVVGWSEEDASESQRYINFMRRLYRYMEPYVSKSPRRAYMNYRDLDLGTNNIGPYTSYKQASKWGLPYFDKNFNRLIHVKTLVDPTNFFRYQQSIPSLSRG >KJB71442 pep chromosome:Graimondii2_0_v6:11:17444814:17449625:-1 gene:B456_011G123900 transcript:KJB71442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKHVFQNKYNANTIYEWNIDGMSEYNILSLLQQMTMVSNEEILKAIKKDDQGRIILDEQGREIQDAVATLIFSISKHFIGDPSHLKDKNLELLSNLKCKKLTAFNWYKYVFMTRVMQRSDNQLPFWKEKFLAGLPTLLGEKVRNQIRENYRETTSSENNTSTETDELQIDELHTTSLSSGDENEPSINMLTKDQEFMIEVIDKIQDPELKREYILKLKSSLKDKPEKEIISKMKEQMQTLKQETSEKSSSKTEPKPEENTQEYMMALIEVSIQRYLIKINVFINNEFQLETIALFDTRADQNCIREGIVPTKYYNKTPESLKAVNGKKLKITYKIPNAEISNKCIKYQTCFLMVKDITQDVILGTPFISLLKPYKAILSIFDFDIEYIKGETNSLLDFLTREPKDPNFITYSTAQILKILRPRDWSENPNSQKKFRAKFTTKIDHYPYFTYWDYQMAWYNAFLMNNQHMRHSWLIYFKYGTEFKFPNWFQEWWNWYGPSSFEILPEKIQNLWPKFFDKFQPEPDQKHIYRTIHFFSKLCISCIVSWNYFYEEDQYTRIPLLIPRLCKSIAPDQTTAKFLQAKSTVSAMLAQAKTKKEYKKLMAEILSSLDSETEDEKSSASSIKTVDLADNTTSVTITRIKKK >KJB73793 pep chromosome:Graimondii2_0_v6:11:58093082:58095291:1 gene:B456_011G252600 transcript:KJB73793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLWSDRIHVFPENIVHVAASAGHIEFMMEMIKLKPTFARKLNQAGFSPMHLALQNDRTQAVLRLLRFDEGLVRVKGREDLTPLHHVVQTGNVDLLVKLLAVCPEAIEDVTVRDETIFHLAVKNDMFEAFQVLVGWLIRSRHETSQRWEKELLSWADIDGNTVLHIAAIRNRPRVMEVLLEHLHPYQINAKNLEGLTAVDIQSQYPWNERQADRTIDMLSKAGGLSGSSSSLPNTSTSSFHIDSLKEKMTWYEKWIKAGRRMKGMPHEMRNTFLVVTVLIITTTYDASLNPPNTPDDSPFKNYQFSLSQDQPLNSHTFLHKTDINTAPMPSPSAVDVFIKDEWSSEYSSFWVHNSLTFWAAVFLTAILLPPHSFSWLILLALTVFGRSYMHLAAVSSWSQVPLPHASEKTYSYFSTGSVYNQAFLTFPVLMVFRAIFYAPQTLPNQNSPCYYYLVKFSGIVETILGKF >KJB71892 pep chromosome:Graimondii2_0_v6:11:23624697:23626494:-1 gene:B456_011G146100 transcript:KJB71892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAIFGETATGFYTPGFLLKNLTVGNFYCFSTWIKIQGANSALIRASLKTEYRTYNCIGIVLAKNGCWSFLKGGFVLDSPSNLALLIFQNSNDKDIDITIDSSSLQPFTDQEWRFNQQFMINTQRKRAVTIHVSDQQGNRLQGAAITINQVSKDFPFGSAIAHTILGNLPYQNWFVERFNATVFENELKWYATEPDKGKTNYTLADQMLEFVRAHQIIARGHNIF >KJB69594 pep chromosome:Graimondii2_0_v6:11:2425784:2426984:-1 gene:B456_011G032600 transcript:KJB69594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEDDDRNTTFGVSRKIMLSAIGSLLGVVTLIVMLHLYARYLLRRQERRRRAALYSPRTDQITPVDISSIIELPKSGLDPLVIASLPMFTYKVTTGQVSHDDDEPECSVCLGTITEESTVRLLPNCKHIFHVQCIDTWLGSHTTCPICRTVVEPIVQSENFKSGNRVQPTAPPLEQKDGEPSGSGSRFDSFRKMFGRERSSNRIQSCEDNEIFDSVQDLERQ >KJB73170 pep chromosome:Graimondii2_0_v6:11:52689951:52690217:-1 gene:B456_011G219200 transcript:KJB73170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYSATIVCYHAFYLPLLYITFLADFFEEEDMHLENVYYSEMKDAGFFYADWE >KJB71983 pep chromosome:Graimondii2_0_v6:11:25837677:25840179:1 gene:B456_011G152000 transcript:KJB71983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan galactosyltransferase GT14 [Source:Projected from Arabidopsis thaliana (AT4G13990) UniProtKB/Swiss-Prot;Acc:Q84R16] MENPITSKCCNHQPWFVLLVFFVFCIELFSFDYSGFTAKKSALVTVLDDNHGTVINTQSSLPHDFTEITNASVYPLPKTDEKGDLKVGTEIDSCVGRYIFVHDLPSRFNEDLVNNCRLLTRGTDKNMCPYLDNLGFGPEIENPEDVLINNSWFLTNQFLLEVIFHNKMKRYECLTNDSSIASAIYVPFYAGLDMSLYLWGFNTTVRDSASLGLVKWLSEKPEWKRMWGRDHFLVAGRIAWDFRRQSDNETDWGSKLRFLPESKNMSMLSIESSSWNNDYAIPYPTCFHPSKDSEIFRWQDRMRRQNRQYLFSFAGAPRPEYQNSIRGKIIDQCLASKSQCKLLDCNYGTTNCDNPVNVMKVFQSSIFCLQPPGDSYTRRSIFDSILAGCIPVFFHPGTAYAQYIWHLPKNYTAYSVYLPVKDLSEWKINLNETLLRMPEDRILRLREEVIKLIPRVVYASSRSRSETLEDAFDLAVKGILDRIESVRVMIREGKDPSIGFADGDDYKYTFSTYDTSMLNHFKIFHMV >KJB74256 pep chromosome:Graimondii2_0_v6:11:61588606:61590085:-1 gene:B456_011G283300 transcript:KJB74256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKFSERQPIGTAAQSQDDKDYTEPPPAPLFEPSELTSWSFYRAGIAEFVATFLFLYISVLTVMGVVKDKTKCTTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLGRKLSLTRAIYYMVMQCLGAICGAGVVKGFMGKTRYGALGGGANSVNHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDKGWDDHWIFWVGPFIGAALAALYHVVVIRAIPFKSK >KJB74116 pep chromosome:Graimondii2_0_v6:11:60610852:60611704:-1 gene:B456_011G273900 transcript:KJB74116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQVKYMWVVMFVVSMSIAGLNGVEATHDYYGPCGKHDIEKEAQKLSPCTYAAKYWRAPVSERCCAIIEKKLSNPGCLCAILQTRTAYDAGVRPEVAVTIPKRCNIAVRPVGHKCGGFPFV >KJB73874 pep chromosome:Graimondii2_0_v6:11:58730652:58732118:-1 gene:B456_011G257700 transcript:KJB73874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLEIHDKDGHPEHKLKLERSEVPFICGGCKELGFGLRYQCPNKNCNYILHDECGFGLQYRRPPTQKFFKKCDFQFHQQNPLLGTRICNICALDIQGFLYQCSHGDIDLHPHCANLSLTITLPDSNELIELRENTKSRCLKCQRKERAGKVQGLSYVSSDGKLCYHVACLKEACLDNWKRGYFQLDVLAIEENKILALQNLAPNQVVRPSEGQSSNAMKGIKLLITFLKLVVSAILGEPFTLVSTLFQISQN >KJB71616 pep chromosome:Graimondii2_0_v6:11:20257712:20261297:1 gene:B456_011G133800 transcript:KJB71616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSITIDGTDEDLALSKSDYLSRQEVLRRRSRRVKQLARLYKAHYWSLMEELKRKHKEYYWLYGKSPFKEDEKKNGEQNDENNKLGLGFQLKCQISDCKDKAMALTRFCHKHILKDTNQMLYRGCNFPIKSGQLCKKPILRSINPPHCPVHAQAAEKHLQRALKRAGLNVSSPSKLAPKLHVVVAEYVRQIQSKRREAQRKSASKIKIEEKTSES >KJB73747 pep chromosome:Graimondii2_0_v6:11:57434000:57434892:-1 gene:B456_011G248100 transcript:KJB73747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTITLKLLIDTKGQRVLYAEAGKDFVDFLFNILILPVGTVIRLLAKEGMVGCLANLYESVENLGDTYFQPTTNKDTLLKPNYSSSLATNFPRLLPNIQYKTTQSIYRCPNYNYGSHCRKYYANDPTSKCPSCTNAMNSPASFVNPPNKVSTASSDANEGGYVKGVVTYTIMDDLTVTPMSTISSITMLNKFNVQQVDALEEKVVDVGINEGVELLTASLQSKNALTNVFLTQKAGKKRCK >KJB69542 pep chromosome:Graimondii2_0_v6:11:2168315:2168719:1 gene:B456_011G029500 transcript:KJB69542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHKQNRISMDVAADSTSLDSLSFAGLVCIQDQQSKPPPHRAYHSNKDDQEFEFISGTNPLGSSKVSIENHATDHERSVSREPNQGKKEQSASRSWFGQKLLLSFVSPCRECHAVRPTTKPHTGPQESSIKLL >KJB74092 pep chromosome:Graimondii2_0_v6:11:60383584:60384679:1 gene:B456_011G271600 transcript:KJB74092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCNLKTSSSNSLRLKVAIIVVTLGVTLAFTCLLILWFRKKKEKQATTTSVENLVLQLSYQSIVRATGGFSMQNLDGSGSCGSVCKGVLEASGAVIAVKVLNLLNRGASRSFLAECEALKNIRHRNLVKVLTAISGVNYQGNDFKALIYEFMENGSLEGWLHPLIGMNEPETARNLNFFQRVSVAIDVAHALEYLHHHCEEPIIHCDLKPSNILLDDKILATDRLNYSANKSSSLGLRGTIGYAPPGDAYSYGILLLEMFTGKRPTDERFREGLSLRNFVKAALPERIIDVTNPILVEERVT >KJB71629 pep chromosome:Graimondii2_0_v6:11:20326384:20327919:1 gene:B456_011G134700 transcript:KJB71629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFAYYGSLAPKTKNMVVAGGLTAFVFGVYFYTMRAVGGTDELQVAIDKLEELKKQEGK >KJB70395 pep chromosome:Graimondii2_0_v6:11:6677841:6678991:1 gene:B456_011G071800 transcript:KJB70395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPSFFGNQQSNIFSRFAINKETSNAHVFKVNLPGLKKEEIKYERVIQIIKASMENGVLIVIVPKVEVKKLDVKAI >KJB69390 pep chromosome:Graimondii2_0_v6:11:1492670:1494640:1 gene:B456_011G021200 transcript:KJB69390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNKQEKVVVNGNEEEGEETRLLEGMAALDFDMLCSTVASQQTQGKWREIESSGEENAVGVLRMWEGEVVLDFMYDRRVALESACCPCYRFGKNMKRAGFGYCLLQGTVYFILLVSVFLNILAFMVTDRICFLYLAVAFTVSLGAYSGFFRMQIKRKFNIMGTDNLLDDCIYHLICPCCTLSQESRTLEMNNVQDGTWHGRGDICIGSHSEGNRPFFELHHPHPISIKIPEPCSMQSGLNGFR >KJB69389 pep chromosome:Graimondii2_0_v6:11:1492699:1494791:1 gene:B456_011G021200 transcript:KJB69389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNKQEKVVVNGNEEEGEETRLLEGMAALDFDMLCSTVASQQTQGKWREIESSGEENAVGVLRMWEGEVVLDFMYDRRVALESACCPCYRFGKNMKRAGFGYCLLQGTVYFILLVSVFLNILAFMVTDRICFLYLAVAFTVSLGAYSGFFRMQIKRKFNIMGTDNLLDDCIYHLICPCCTLSQESRTLEMNNVQDGTWHGRGDICIGSHSEGNRPFFELHHPHPISIKIPEPCSMQSGLNGFR >KJB70048 pep chromosome:Graimondii2_0_v6:11:4399828:4400404:-1 gene:B456_011G055800 transcript:KJB70048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVAMLITTEAKKARSSRGRQRIEIKKLEVESKRQVTFSKRRQGLFKKAKELSTLCGADVGVLTISKSGRVYTTDDVDIVLDRRLAESSPSHGNDSVRRQNEVVERRTNAGFGLDELIENLAVEKLVEYVAALKELREKAAFRLEELSIQDSSYLWPFVADREVTKDEFVNNFMAEVKDYN >KJB74277 pep chromosome:Graimondii2_0_v6:11:61724428:61725473:-1 gene:B456_011G284700 transcript:KJB74277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGSVYKGVLEASGAVIVVKVLNLLNRGASRSFLAECEALKNIRHRNLVKVLTAISGVDYQGNDFKALVYEFMENGSLEDWLLPLIGMNGPETARNLNFFQRVKPIIHCGLKPSNILLDEEMVRHISDFGLAKILSTDRLNYSANKSSSLGLRGTIGYAPPEYGMGSELSTKGDVYSYGILLLEMFTGKRPIDERFREGLSLHNFVKAALPERIIEITDPILVQERVRRGTPNVNNFGNDRYLQCLNSLFEIGLACSAESPNERIDMSDVATKLWAIKDKLHSTRLPREVRT >KJB74375 pep chromosome:Graimondii2_0_v6:11:62240341:62240982:1 gene:B456_011G291100 transcript:KJB74375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIHLSFFILLLPLAFPSAFSYETNPQPKKTQVVIEGMVYCQSCDNYGSWSLSKAKPIASAKVSVICKNQRGQVSYYKASETDGNGYFFAELQGFEMSHLLLDHPLQSCVVKLVESPLEGCNVLSNINYGLYGSPLRYENKRFYRKDYDVVIYAAGPLAFRPAHCDAPTNHY >KJB71851 pep chromosome:Graimondii2_0_v6:11:23222820:23224199:-1 gene:B456_011G144700 transcript:KJB71851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVLMASLNLNNVTFPGDNKTQSHSFGQVSFPNKTGVSSSRQSVITRSCAVAVKPAALMATSTAKPATSYTLSHLWREVQGSNNWENLLEPLDPLLRNEIIRYGEFVAACYKAFNLDSSSKRYLNCKYGKKNMLKEIGMENSGYEVSKYIYATPDVNIPIQNGATCGRWIGYVAVSSDEAVKRIGRRDLLITFRGTVTNHEWVANFMSSLTPARLDPHNPRPQVKVESGFLSLYTSEEADSKFGLESCRQQLLSEVSRLVNKYKGEELSITLAGHSMGSALALLLAYDIAELGLNRTDTNHQDQIPITVFSFGGPRVGNSSFKQRCEELGVKVLRIANVNDPITKLPGVFFNENLRVLGGRYELPWSCSCYAHVGVELALDFFNVQNPSCVHDLETYISLLRWPKRSVHSKIDENDGVDLWNRARGFLLNAQNFYTCLPWIGAAIDIVNMVQSQNLS >KJB69853 pep chromosome:Graimondii2_0_v6:11:3536024:3536347:-1 gene:B456_011G046100 transcript:KJB69853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVDVEKNSLFASSFRGAHSRLTRTITQQRIRALVSAHQDRDMKKRDFCHLWITRINAIIRGVGVSYSYSRLIRNLYNKQLLLNHKILAQIIISNRNCLYMISNEIRK >KJB72301 pep chromosome:Graimondii2_0_v6:11:37019359:37021484:1 gene:B456_011G170100 transcript:KJB72301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPTSLATNVFGFGSYTTMLQKVESVTTSSMPVPPPKSLLIATPSEAGEFPLLIFLHGYLLYNSFYSQLLQHVASHGFIVIAPQLYIVAGPDTTDEIKSTAAITNWLSKGVLQGLLPPYVRPNLSKLALAGHSRGGKVAFALALQKTMTKLKFSTLIGIDPVDGMDKGKQTPPPVLTYIPHSFDLDMAVMVIGSGLGEVKRNPLFPPCAPKGVNHEDFFKECRKPACHIVAKDYGHLDMLDDETNGFRGRSSYCLCKNGEAREPMRRFVGGVVVASMKAYLNGDNTDLIAIKDGHEAAPVELKTIEFLV >KJB70200 pep chromosome:Graimondii2_0_v6:11:5238290:5240213:1 gene:B456_011G062900 transcript:KJB70200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSFLPSAHIAPKPTLHFVKASTSDLPKTSPSPPSTKPQLPSHRPHHNIREEARLHNAATVTTNHPRFSAKYVPFTSITDPSSSDESYSLDEIVYRSQSGSLLDVQHDMSALKHFDGAYWRNLFDSRVGKTTWPFGSGVWSKKEWVLPEIDDDDIISAFEGDTSAALSAYCAAAGIPSLVFLPANRISMAQLVQPIANGAFVLSIDTDFDGCMQLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFSMCKELGLVDKIPRLVCAQAANANPLYLYFKSGWKDFQPVKAKTTFASAIQIGDPVSIDRAVYALKNSNGIVEEAKEEELMDAMAQADSTGMFTCPHTGVALTALNKLRRSGVIGPTDRTVVVSTAHGLKFTHSKIDYHSKKIKDMACRLANPPVQVTADFGSVMDVLKKYLLSKSH >KJB69299 pep chromosome:Graimondii2_0_v6:11:1066061:1066504:-1 gene:B456_011G015000 transcript:KJB69299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLNRSYSYSKVNKEDPDEIIHRRAQFLIYKVLEQADSRRKPSFFRIKLCRLKLKIGRKLKKLKKTAMVSISGLRVDVYRQVFNQLKTWRRLFNRGGNDHGTIAAAIHRPLLT >KJB68813 pep chromosome:Graimondii2_0_v6:11:42531073:42531880:1 gene:B456_011G1809002 transcript:KJB68813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLAHQIGSLAGTQIAAEVTTGEQSSSATANVSGVRKVPLANLRCNAPGEALSPPILTPPLTLRSAENRPAMMSPSLSAACQAFGTLSPLETAEESSASVAWKEGGKKEEKKGVPVYVMMPLDSVTNGNTVNRKKAMNASLHALKSAGVEGIMIDVWWGLVEREAPGAYNWGGYAELLEMAKKHGLKVQAVMSFHQCGGNVGDSC >KJB71919 pep chromosome:Graimondii2_0_v6:11:24447665:24448205:1 gene:B456_011G149200 transcript:KJB71919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLCILVILLSLSLLLPPSSTTSTPVIVMEKYRNLGQVKPPPCPAYYPSCHAPSGSGPPHNPPRGKYCPHPSHCY >KJB71666 pep chromosome:Graimondii2_0_v6:11:20918705:20922149:-1 gene:B456_011G136400 transcript:KJB71666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDFMVCVDKIIASATCFESSSVNEGQPNSNTAAGNTNVVFPMTKASGDGDGGKEGDGKTGYSSKKVKEVVECRICQEEDDLLSLEAPCACSGTLKFAHRKCIQRWCNKKGDITCEICKQVFSPNYSLPPTRSNPDVLAIDIRQTWSPHIDLRDSHLLVLTTSQSQLLQSEYEDYVAANSSSLACLRLVALILLIILLVRQLLMLTRDFGMIQEASTFINFQVLLLQFAGFLLPMYVVARTWYLQNRRRRQG >KJB71868 pep chromosome:Graimondii2_0_v6:11:23401144:23406581:-1 gene:B456_011G145300 transcript:KJB71868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLKQFWTKKTLVGLGLGQFLSLLITSTGFSSSELSNRGINAPTSQSFLNYALLTVVYGSVMLYKRQALKAKWYYYVVLGLVDVEANYLVVKAYQYTSITSVMLLDCWSIPSVMLLTFIFLKTKYRFRKIAGVIVCVAGLVMVIFSDVHAGDRSGGRDPRKGDLLVIAGATLYAISNVSEEFLVKNANRVELMSFLGLFGAIISAIQISIIERNELKSIHWTAGAAFPFFGFSLAMFLFYSFVPVLLKMSGSTMLNLSLLTSDMWAVIIRIFAYHEKVDWMYFLAFAAVAVGLVIYSGGDKEEDQHQYIAGVGDEDAGRSKYFDDEADFDNINRGAIAGSSKTGDTSKHDCITSGERSETIENKNIGKDVQGKKS >KJB70151 pep chromosome:Graimondii2_0_v6:11:4981623:4981808:1 gene:B456_011G060800 transcript:KJB70151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NFEIDPKLFLEIKSLTDDEDGEKHNVKEMDWFSIPLEKIQATGWDEYEEFASCLPSIVGSP >KJB69692 pep chromosome:Graimondii2_0_v6:11:2820578:2821764:-1 gene:B456_011G037600 transcript:KJB69692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLQRRTASLRKLHLLQTLNKPKSVKRSCSIINILLHFYKLKVKLEEIQREYQNLLKNIRTPKEVKVEKINGEQFVVRVACNKGGDKLVSILEVFDELGLNVVQARVSCRHFFSMEAIIGVGQDQKTSDMKDITDAVLKAIDEKQSGEQEMLVT >KJB69693 pep chromosome:Graimondii2_0_v6:11:2820707:2821701:-1 gene:B456_011G037600 transcript:KJB69693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLQRRTASLRKLHLLQTLNKPKSVKRSCSIINILLHFYKLKVKLEEIQREYQNLLKNIRTPKLKQEVKVEKINGEQFVVRVACNKGGDKLVSILEVFDELGLNVVQARVSCRHFFSMEAIIGVGQDQKTSDMKDITDAVLKAIDEKQSGEQEMLVT >KJB70962 pep chromosome:Graimondii2_0_v6:11:10836287:10838388:1 gene:B456_011G097700 transcript:KJB70962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSSSGSEEDDEGIDSYRKGGYHAVRTGDPFAAGRYIAQRKMGWGHFSTVWLAYDTHSSKYVALKIQKSAEQFAEAALHEIEFLLSIADGDPSNSKCVVRLIDHFKHNGPNGQHLCMVLEFLGDSLLRLIKFTRYKGIELNKVREICKCILIGLDYLHRELGIIHSDLKPENILLFSTIDPTKDPVRSALTPILERPEGGALNGGSTMNIIEKKLKRRGRRAVANISLRRVSMGGAAEAPKVTKSLDGIDMRCKVVDFGNACWADKTFADEIQTRQYRSPEVILGSGYSFSADMWSFACTAFELATGELMFAPKSGQGFSEDENHLALMMELLGKIPRKIATGGGRSKDYFDRHGDLKRIRRLKLRPLDRYLVDKYKFCESDAREFSEFLCPLLDFSPEKRPTAQQCLQHPWLNLKTSTV >KJB74118 pep chromosome:Graimondii2_0_v6:11:60622993:60624452:-1 gene:B456_011G274100 transcript:KJB74118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPVKYMCILVFVVSMTIAGFNGVDGTSNYYGPCGKHDIEKEAQKLAPCTYAAKYFRAPVSERCCTIMEKKLNNPSCLCAILQSRTAYNAGVRPEAAVTIPKRCNIAVRPVGHKCGAFPFV >KJB73742 pep chromosome:Graimondii2_0_v6:11:57361447:57362749:-1 gene:B456_011G247600 transcript:KJB73742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENTVSLKLLVESTSQRVLFAEAGKDFVDFLFNILSFPVGTVIWLLKKQEMVGCLGNLYDSLETMNDTYIQPTANKDTLLKPIASINAANVPPLLPTTASSKSIEIYMCDQSYYNQRCGLYVSYDSKSICPSCNGVMKEIATVVNPEKKDSSTDEGGYVKGVITYMIMDDLFVRPMSAISCITLLNRFNIKDVGVLEEKTTDIGVNEGVKLLKASLQSKTVLTDVFIEKKVSETDASNSAGEVHSIEI >KJB71935 pep chromosome:Graimondii2_0_v6:11:24187069:24190407:1 gene:B456_011G148400 transcript:KJB71935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLRFYFILLIFLFCPTLQAAEPQQANCGEEVCGNITIPSPFGIHSTCYTLPFFRVTCKQIHNQKKPFIRINGLDLEVLGSFFEDTILIKNPVTYVNCDHKNEVASARVDLTGTPFFFSSDYNNFASVGCGNLVTIFRNEADAFGGCVQTICGDGASESGCSNTISGNFTSTIVNMTAMYPIGKDDRKRCSSAVIFSRLYFREAYPLPIGINIETTHVPTTLSWNSSYCGDAGCAPRPGPISFIGEKSCGNVSFQYPFEIIDQEYPNGWFRVICKKTSNGRTTPFLNINGVNLRILDFSFLYGNVVVNHSITYFNCRKNSNNGMSLNLTGTPFYYSDFDNIFWSSGCGNLATVFDSETGNLVGGCLQPSCRISNETSSVTGCSFNIPHGLTSFSANLSGRVDSSNYSRKRSCGFASLVKSDLYFDLTLESNDFDVNNWTYVPTSLQWSTPISGSCHLRQDLNTTCSSDREYCWQRLSSTHLCVCNKRFGLGDISTYCKGENCGIYVWCHMLCLNTPGNYCSARDCPPEYKYNSTGVRCEPDENISQAPPKNTRSLTMIIIGCSTTIGTIFLLLGLWKMYEVVKRRRNILLKQKYFKRNGGLLLQQHLSRNTSYFETIKMFTSKEIEKATDYYNENRILGQGGQGTVYKGMLTDGSIVAVKKSKMEKGKKFGEKKVEQFINEVIILSQINHRNVVKLLGCCLEAETPLLVYEFIPNGTLYDLIHGQNEELPLTSEMRLRIATEIANALFYLHSAASVSIYHRDVKSSNILLDDKYKAKVSDFGTSRSIALEQTHLTTRVQGTFGYMDPEYFRSNQFTEKSDVYSFGVVLVELLTGEKPVSSKQSDEERSLVSLFLLSMQENSLSDILDSKVANDGPEKEIIAVAKLAKRCLNLNGKKRPTMKQVAMELELIKASEEGNAIEESGDEESDIDDMIESWDINPSCSIS >KJB73814 pep chromosome:Graimondii2_0_v6:11:58349844:58350756:1 gene:B456_011G254400 transcript:KJB73814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTTASVFLLFIIFSVTPSSFLFGVANATNEPVLDTDGNEVQTGTEYYVVSAIWGAGGGGLALGWPSENPCPEVVAQSRSGDDGIPVIFSNLDSNDGVVRLSSDINIEFIPLRPRLCPTTTVWKVDDYDHSAGKWWVITDGVTGNPGANTLTSWFRIEKGGALGYKLKYCPAVCGTCPALCNEIERGFDGEMVRLALSTGNGWPFIFKKVGKSAMEIQQVVHN >KJB71493 pep chromosome:Graimondii2_0_v6:11:17762246:17763994:1 gene:B456_011G125400 transcript:KJB71493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVHAIFYLLFHHPPNQPPIISIFVFGPSCLTMEGFMISEMAKRVRDILSMFVLAIFSKVIGTKPYSRRSAPAEPESEANECLSWRLAVEANNMPGWSTVPLHCLSHVETYMMGGQYEQDVNYIVEQIENYVSQVVLKGDGCDAWILDIDDTCISNLFYYKDKNYGCDPFDPGGFVAWVMKGECPAIPAVLGLFTKLVEGGFKVFLLTGRDQQTLAPATIANLHNQGYIGYHRVIFRNQSFKGKSAVVFKSEVRKQLVEEGYRIWGNVGDQWTDLQGECLGNRTFKIPNPMYCVP >KJB71494 pep chromosome:Graimondii2_0_v6:11:17762672:17763994:1 gene:B456_011G125400 transcript:KJB71494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEMAKRVRDILSMFVLAIFSKVIGTKPYSRRSAPAEPESEANECLSWRLAVEANNMPGWSTVPLHCLSHVETYMMGGQYEQDVNYIVEQIENYVSQVVLKGDGCDAWILDIDDTCISNLFYYKDKNYGCDPFDPGGFVAWVMKGECPAIPAVLGLFTKLVEGGFKVFLLTGRDQQTLAPATIANLHNQGYIGYHRVIFRNQSFKGKSAVVFKSEVRKQLVEEGYRIWGNVGDQWTDLQGECLGNRTFKIPNPMYCVP >KJB73033 pep chromosome:Graimondii2_0_v6:11:50677428:50680182:-1 gene:B456_011G210500 transcript:KJB73033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKGKIVMKKYELGRLLGQGNFAKVYYARNIETSQSVAIKVIDKEKVLKVGLIDHTKREISIMNLVKHPNILELYEVMASKTKIYFVMEYAKGGELFKKVFKRKLREDLARKYFQQLISAVDFCHSRGVYHRDLKPENLLLDENGDLKVSDFGLSALAESKHQDGLLHTSCGTPAYVAPEVINRKGYDGSKADIWSCGVILYVLLAGYLPFNDSNLIAMYRKISTANYKIPNWFSTEVTKLLSRIFNPNPKARIPIARIMATPWFRKGFNSKPVERKPETEQDPRDLAAVLGSETNYNAFEAKKLTNLNAFDIISSSSGFDLSGLFTKNDEKKKEIQFTSMHTASAITSKLKDIAESLKLKVKMKDGGLLKMEGSNRGRKGALAIDAEIFEFTPSFHLVEIRKCSGDTLEFRNKLQEDVKPALKDIVWAWQGNTVQSC >KJB74289 pep chromosome:Graimondii2_0_v6:11:61776035:61780484:-1 gene:B456_011G285500 transcript:KJB74289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISRTAINRTRTGSMLAYKLSLYLTKFYPVVGQGLNLLGLASPVAGGNETDQQALLQFKAKIIGDQLRSWNSSIHFCQWLGVTCGRKHQRVTRLELQFQKLSGSLSPYIGNLSFLRELNLSGNSFRNDIPQEIGGLRRLETLSLINNSLSGEIPSNLSACSKLTLVDMTGNQLTGEIPSMLGSLSNLKVLNLQNNSLRGSIPPSLGNLSSLEVLSLAINRLTGIIPEAFRQLTNLSDFSVAQNAISGIVPVAMFNLSNIRLFDIGQNKIQGTLHSDISITMPYVEFFSITGNQISGQIPLLISNASNLNVLHLADNKLSGNVPSLEKLNKLYKCALAINHLGHGEEGDLNFLCTLVNNTKLEFLYLIQNNFGGVFPECISNYSSTLLHLVIGENKISGRIPDGIGNLINLEFLAIAQNQLSGHIPFDIGRLQKLKIFSADNNFLSGIIPYSIGNLTMLTKLGLGVNNLQGNIPSSLGKCLNLLEINISYNNLNGSIPPEVLELSSLSIELDLSSNYLTGQLPVEVEKLKNLGYLDVSQNKLSGLLPNNLGSCVSMEKLLLDGNLFEGSIPSSLSSLRGLEALDVSNNNLSGGIPEFLVNFGALKYLNLSFNDFEGVVPSEGVFKNASATFVEGNNKLCGGIPELHLSRCNSKPSSNTSLRLKIAIVVVILGVTFLFSFLLIMWFRKKKEKQSTTTCVESSLLQLSYQSILRATDGFSTQNLVGSGSFGSVYKGVLEASGAVIAVKVLNLLNRGASRSFLAECEALKNIRHRNLVKVLTAISGVNYQGNDFKALVYEFMENGSLEDWLHPFIGMNEPETARNLNFFQRVSVAIDVAHALEYLHHHCEEPIIHCDLKPSNILLDEEMVGHISDFGLAKILSADKLNYSTNQSSSLGLRGTIGYAPPEYGLGSELSTKGDVYSYGILLLEMFTGKRPTHDMFKAGFSIHNFVMAALPERITEIIDPILLQERSRHRTPGNITFSDRHLQHLNSIFEIGLACSAESPSERMDMSDVVSKLCSIRDKLLRPTHYRHERQTYTAQSTGT >KJB69490 pep chromosome:Graimondii2_0_v6:11:1942110:1946596:1 gene:B456_011G026200 transcript:KJB69490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTPQGIHSLAFRVMRLCKPSFHVEPPFRLDPSDLFVGEDIFDDPLAASNLSPLLSSHVNKSTDSSDMTFANRFLLHHPSDAMGFSGLLVLPQSFGAIYLGETFCSYISINNSSNFEVKDVIIKAEIQTERERILLLDTSKSPVGTIHAGGHYDFIVEHDVKELGAYTMVCTALYNDGDGERKYLPQFFKFVVANPLSVRTKVRTVKETTYLEACIENHTKSNLYVDQVEFEPAPYWTAKILKADELYPADNSSTGEIVKPPILVRSGGGIHNYLYQMKISSPGSEQVKAKRSSILGKLQISWRTNLGEPGRLQTQQILGTPSSCKEIELHVLGIPSLIILDKPFSVHLNLTNHTDRELGPFEVWLSKNSAHEKFVMFNGLQTMALPAVEAFGSTDFHLNLVATKLGVQRISGLIVFDTIEKKTFEPLADVEVGIMLYVFL >KJB69489 pep chromosome:Graimondii2_0_v6:11:1942110:1944221:1 gene:B456_011G026200 transcript:KJB69489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTPQGIHSLAFRVMRLCKPSFHVEPPFRLDPSDLFVGEDIFDDPLAASNLSPLLSSHVNKSTDSSDMTFANRFLLHHPSDAMGFSGLLVLPQSFGAIYLGETFCSYISINNSSNFEVKDVIIKAEIQTERERILLLDTSKSPVGTIHAGGHYDFIVEHDVKELGAYTMVCTALYNDGDGERKYLPQFFKFVVANPLSVRTKVRTVKVG >KJB72859 pep chromosome:Graimondii2_0_v6:11:48735795:48736529:1 gene:B456_011G201200 transcript:KJB72859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGEGAQGAAMSVAAVGSSNWYANEENCQWKKIIVAIYESRESNLLPHNLSHYNKSWVWRSIVHPLTTNDSIRKSFVDNPKILAGNDEKINFWAGCWVREFLLKSIFSRVFALAVKKVGNIVDFGFWLDEKLVWHVEHKRPPFH >KJB73171 pep chromosome:Graimondii2_0_v6:11:52690341:52693064:1 gene:B456_011G219300 transcript:KJB73171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLWVGSSHCNWSESLAIRLEASPWPHRVWFEIERLNLHNNSLYESIPPHIGNLSKLVFLDLSQNNLHGSIPHELWKLKSLTELVLRENHFSGSIPASIGNLTNLFTLSLHQNKFSGLIPKEVGMLKYLIELKLSKNSFYGSIPSTFNNFTYLQHLQFGHNHLTGPLPENICIGGSLTYFGAMTTNLTGEIPSSLTDCKSLYRARLEGNHLTGNISEAFGVYPKLSFIALSGNRFYGEISPKWSQCHNLQGLVIANNNITGKIPLELGHATQLHVLDLSSNHLIGEIPKELGKLTMMNRLLLSGNQFSGKIPSEISLLSKLEQLELASNNLSGPIPDDLGNCSNLWNLNLSKNNLEESIPSSVSYITPLRRLDLSQNSLVGGIRQQFGKLQSLEVLNLSHNMLNGSILEAFNDLHGLRFVNISFNQFEGLIPNLKAFHEASFDALRNNKGLCGNATGLMACVPSFLANHGHRKSTKLIILVVLPLFGGLLLLFILVGSFLAFYKMTPTIKSEPREEQHGDIFTILGFNGRILHDGIIEATEDFSYIYCIGSGGYGTVYKAALPTGQVVAVKKLHQSEDSMLNNNLKAFESEISALLEIRHHNIVQMGSLKMVLSNNEQAKELDWKRRLNIVKGLPNALSYMHHDRSQPIIHRDISSNNVLLDLDYEARVSDFGTARILKPDSSNWTSLAGTYGYIAPELAYTMRVDEKCDVYSFGVLTREVFMGRHPGDLISYVSSLQSTSSSMSNDQHVLLKDTIDQRLSPPVGQSAKDLVSTMKMALACLNGNPQLRPTMQQVSQALGRQSLPLPSPFNSIKLEELLGDVVCNG >KJB74472 pep chromosome:Graimondii2_0_v6:11:59389996:59390485:1 gene:B456_011G2631003 transcript:KJB74472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVVAPVKGYEEFESRTSILNGIMEALKDDSVSVVGVHGMGGIGKTTLVKEIARKVKDKLFDSVVIATVTQAIDIEKIQNQIADSLGLKFEEQSMVGKAFRLRERLKKEERILVVLDDIWGKVDIEEVGIPLGDEHKGCKLLLTSRELNVLSNGMDAQKNFP >KJB71515 pep chromosome:Graimondii2_0_v6:11:17999433:18008562:-1 gene:B456_011G126400 transcript:KJB71515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALNIHSALELNLKGPIGQVSTMIVAGDMLIAGAQNCGIIAWRASCETDSFQLAVSMEGHNGPVSCLAVACGRQNVWDIDTFQCIKTLSGHADVVTSLVHCNGYLFSSSLDCTIKLWFATERQNWEVIYTRKEENGVLALCGMNDAETKPVFFCPCNDNIVRLYELPSFSEKGRIFSKREVRVIERGPKNLFFTGDASDALTVWKWRLKPQEGSTSGS >KJB73771 pep chromosome:Graimondii2_0_v6:11:57760445:57762444:-1 gene:B456_011G250400 transcript:KJB73771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENLRKAARTGKVNELYRVIQRNGNVLKRVDEVEFIDTPLHIAAEEGCIEFAMEMMNLKPSFARKLNHQGLSPLHIAVRKGHKEMALRFLEIDKLLIRVRGKKGKTPLHYLCKVGNQLGLLDTFLEASPDCIQDVTIENRTALHIAIQNNRLDVLQLLIPTLKRKDYSREVLNRKDKDGNTALHIAAIHNQPKMLKLLLNCKADKHATNHVGLTALAVAQQHNIRENIAILQGFFIPVISNLKHKLEKQAVKYVIKASILVFQNMDNISADDRNALLVILGLLLTATYQATLSPPGGVWQGENTSKSKGSYDARVLGSSVMGQSEFFSLYSNLPCVPRNIIPNISSTQNFSP >KJB70174 pep chromosome:Graimondii2_0_v6:11:5142109:5146554:-1 gene:B456_011G062200 transcript:KJB70174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEPVNVNEFRELARQALPKMYYDFYTGGAEDQYTLKENEEAFRRIIIQPRILRNVRSIDLSTTVLGYNISMPVMIAPTSLQKLANPEGEIATARAASACNTIMVLSTSSTYSLEEVAACCDAIRFFQLYVYKRRDISAKLVQRAENNGYKAIVLTVDSPRLGRREADVKNKLVVPPLKNLEGLLPTKFVSDEKGSGLAALASGTLDASFCWEDITWLKSITNLPILIKGVLTREDAIKALEVGVDGMIVSNHGGRQLDYSPATISVLEEVVDAVRGKVPVLVDGGIRRGTDIFKAMALGAQAVLVGRAVVYGLAAKGEKGVNRVLEMLKEELELTMALSGCCSVKEISRSHVRTKHDQQLRSML >KJB70173 pep chromosome:Graimondii2_0_v6:11:5142109:5146160:-1 gene:B456_011G062200 transcript:KJB70173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEPVNVNEFRELARQALPKMYYDFYTGGAEDQYTLKENEEAFRRIIIQPRILRNVRSIDLSTTVLGYNISMPVMIAPTSLQKLANPEGEIATARAASACNTIMVLSTSSTYSLEEVAACCDAIRFFQLYVYKRRDISAKLVQRAENNGYKAIVLTVDSPRLGRREADVKNKLVVPPLKNLEGLLPTKFVSDEKGSGLAALASGTLDASFCWEDITWLKSITNLPILIKGVLTREDAIKALEVGVDGMIVSNHGGRQLDYSPATISVLEEVVDAVRGKVPVLVDGGIRRGTDIFKAMALGAQAVLVGRAVVYGLAAKGEKGVNRVLEMLKEELELTMALSGCCSVKEISRSHVRTKHDQQLRSML >KJB71430 pep chromosome:Graimondii2_0_v6:11:17301404:17319111:-1 gene:B456_011G123100 transcript:KJB71430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAVHQFAQCITCHAWSPDHSMVAFCPNNNEVHIYKLVNDKWEKVHVLQKHDQIVSGIDWSGKSNRIVSVSHDRNSYVWNREGPEWVPTLVILRLNRAALCVRWSPKENKFAVGSGAKTVCVCYYEQENNWWVSKVIRKKHDSSVTSVAWHPNNILLATTSTDGKCRVFSTFIKGVDTRESKTGSSSDPKFGEQIVQLDLSSSWAFGVKWSPSGNTLAYVGHNSMIYFVDDIGPSPLAQNVAFRNLPLRDVLFVSEKMVIGVGFDCNPMVFAADKSGLWSFIRFLGERKESLSGPKSGSQFSEAFGKLYGQAKHGLSNDTVEPSKIQGAVHDNCINCIALLNKKGSQTKRFSTSGMDGKVVIWDLGNQQDLKEYL >KJB72078 pep chromosome:Graimondii2_0_v6:11:27520013:27520448:1 gene:B456_011G157100 transcript:KJB72078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKFTRLFTRNKMGDLCKVNVISRIGPNPYDPYVLKGMSGTSMANAVVAGMLSYIKTFHKDWGIARIKSAIMTRVN >KJB71317 pep chromosome:Graimondii2_0_v6:11:15305631:15308178:1 gene:B456_011G116400 transcript:KJB71317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPPQPPHPNHNIPSKNPLRKPACRLLLISMSAFLLLSLLSLALFFTLSSSHRHHLQQHPPQNTSQIRLACHSTRFPQPCESSLTLPPNPTSLQIILSAISVSSHNLKTGQSMVKSILESSKGNLNRTNAASICDEILSNSDYRINSTVDALSRGKIKDARAWMSAALCYQYDCWGALKYANDTQLVNQTMAFLDSLTQHTSNALSMMAAYDNYGDNINSWAPPKTERDGFYEKGSSGTELVFDGGFPTGLKPDVTVCKDGSGRCYKTVQEAVNAAPDNAEAGRRFVIEIKEGVYEETVRVPLAKKNVVFLGEGRGKTVITGSLNVGQPGMTTYESATVGVLGDGFMASGLTIKNTAGPDAHQAVAFRSDSDLSVIENCEFIGNQDTLYAHSLRQFYNKCQIQGNVDFIFGNSAAVFQECEILVVPRQLNPRKGETNAITAHGKTDPAQSTGLIFHNCSLNGTDDYMKYYYSNPKVHKNFLGRPWKEYSRTVYINCVMGDLISPQGWLPWSGDFALKTLFYGEYQNSGPGSNMSARVPWSTPIPGQNVYTYSVQNFIQGDQWIPTKA >KJB72424 pep chromosome:Graimondii2_0_v6:11:41477666:41478768:1 gene:B456_011G177600 transcript:KJB72424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAWIANNTSRRVSLKKRRLGLVKKVMELTTLCGIEACLVIYSPDEQEPVVWPSQEEARRLIKKFYQVPEIERIKKMMNLETYIKEKVFMLQDQLKKMNRKNMKVEVRQLMLQIEQGKMVDEFNVNELDCLIWYMETMRIATRKHTEYYQPVPFSSAASIQGVDPLPPPAQGPKIGDQIGNANAMIRSGASSKISRFQVEGIPGLPYSSAGDSSLAPHLWVLEPSYGASSSVVAEQGMPRPPFGVGSSSNVVANGLGLPRPPFGKGNSSNVAAYGLGLPSPLAGEGSVVDHLGLPMPSFGEGSSLNVASDHLGLPRLPFGEGRSSNIVVDHLGLPGPPFGEGSSSNTTIWR >KJB69826 pep chromosome:Graimondii2_0_v6:11:3360599:3361238:-1 gene:B456_011G044800 transcript:KJB69826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATITASSDEGVKVVIINTQYVETDPLSFKSVVQRLTGKDSCVSWIEESSFPCSKTQTNYNVAAGKVSAEGSYGTATVGGGYGCDGGGGCHVPLFTTGLSFKELDRLILEAPPLDELSWLLAEC >KJB73204 pep chromosome:Graimondii2_0_v6:11:53066189:53076549:-1 gene:B456_011G221700 transcript:KJB73204 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] MTTLPPSLSPSRSQTTCASLLQELQIIWDEIGESDGERDKMLLELEQECLNIYRRKVEMTRKCKADLQNSLAQFESEIAKIVSSLGEHSFSFSRGKGTLKQQISYIRPVLEELRSKTKQRVKEFTETQSQIVKICAEIAGNGQSMMSSDPQVDERDLTVKKLGELKSHLQELQNEKIIRLQKVDSHISMIHELSVVMSFDFLKTVSGIHSSLIDPANGQSKSISNDTLAKLTGVVNSLQQEKQKRLQKLQCLGSTLIELWDLLDTPPDERKRFEHVSSLISSSVDEVLRQGSLGLDIIEQIELQVQSLNVLKASKMKELVLKRQNELEEIYRGVHIDVNSDAARQILINLIESDNVDLSNLLSSMDDQIAKAKQEALSRKDILDKVDKWKHASEEEKWLDDYEKDENRYSAGRGVHKNLKRAEKARILVSKLPSIVEHLTAKLKAWELEKGIPFLYDKASLLDMLEEYTVLRQAREDEKRRSREQKRLQEQFAAEQEALFGSRPKKPLAQTNAMVGTPTSRRVSTPSGKHGVSNLKERRETGRVNNVIPVNFVALPKDDPMSRGN >KJB69293 pep chromosome:Graimondii2_0_v6:11:1050455:1056445:1 gene:B456_011G014800 transcript:KJB69293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQQEQLHQPLQNSMHGHRQPPQTPELSSSESSDAGSVYDVDSKLEKVLLDNELPYFKRLRLASWIELRLLFHLAGPAVFVYLINNFMSLSTRIYCGHLGNLELAAASLGNSGIQLLAYGLMLGMGSAVETLCGQAYGAHRYSMLGVYLQRSTIVLTLTGIPLVLVYVFSKPIMIVLGESTAVASGTAVFVYGLIPQIFAYAFNFPIQKFLQSQSIVNPSAYISATTLVVHLVLSWLAVYKLGLGLIGASMVLSLSWWIIVVAQIVYILRSEKCKLTWDGLSLQAFYGLWDFFKFSVGSAVMLCLEIWYLQILVLVAGLLENPEVTLDSLSVCMGISSLLMMVSIGFNAAASVRVSNELGAGNPKSAAFTVKIVTLVSLTIAVAEAVVVLALRHVISYVFTEGETVAEAVSELCPFLAVTLILNGVQPVLSGVAVGCGWQTFVAYVNVGCYYVVGIPLGCLLGFIYHLGAKGIWSGMIGGTLMQTLILLWVTFRTDWNKEVETAKKRLDKWEDKRETLLKN >KJB69294 pep chromosome:Graimondii2_0_v6:11:1050498:1055130:1 gene:B456_011G014800 transcript:KJB69294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQQEQLHQPLQNSMHGHRQPPQTPELSSSESSDAGSVYDVDSKLEKVLLDNELPYFKRLRLASWIELRLLFHLAGPAVFVYLINNFMSLSTRIYCGHLGNLELAAASLGNSGIQLLAYGLMLGMGSAVETLCGQAYGAHRYSMLGVYLQRSTIVLTLTGIPLVLVYVFSKPIMIVLGESTAVASGTAVFVYGLIPQIFAYAFNFPIQKFLQSQSIVNPSAYISATTLVVHLVLSWLAVYKLGLGLIGASMVLSLSWWIIVVAQIVYILRSEKCKLTWDGLSLQAFYGLWDFFKFSVGSAVMLCLEIWYLQILVLVAGLLENPEVTLDSLSVCMGISSLLMMVSIGFNAAASVRVSNELGAGNPKSAAFTVKIVTLVSLTIAVAEAVVVLALRHVISYVFTEGETVAEAVSELCPFLAVTLILNGVQPVLSGVAVGCGWQTFVAYVNVGCYYVVGIPLGCLLGFIYHLGAKVKIALTSKLAPKFYPFSYVGTSFQK >KJB69733 pep chromosome:Graimondii2_0_v6:11:2952867:2953962:1 gene:B456_011G039500 transcript:KJB69733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTIRCCISCILPCGALDVIRIVHTNGRVEEISGSNIKAGDIMKAYPKHVLKKPSSPSHDGMAPKIVIVPPEAELRRGKIYFLMPVPSTPVKTRTTTKKKRRDHRIGNSSQSMSNTNAISMANLLESDQYLSEILSEKVSTQRDRRRGRVGVWRPHLESISETPN >KJB71075 pep chromosome:Graimondii2_0_v6:11:12022435:12025388:-1 gene:B456_011G104400 transcript:KJB71075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWVIGLLALLGVSQVDAEDPYFYYTWTVTYGTRSILRVPQQVILINDQFPGPKLEVVTNNNIVLNLINKLDQPFLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQAKDQIGSYTYFPSTLLHKAAGGFGALNIYHRSVIPIPYGYPAGDFTLLIGDWYKTSHKTLQQSLDSGKPLPFPDGVLINGQTQSTFSGEQGKTYMFRISNVGLSTSFNFRIQGHTMKLVEVEGSHVVQNLYDSLDVHVGQSLAVLVTLNQPPKDYYIVASKDFRGPFSLQLQCCTTQTHKPRFLDPCLQLLHSNFIGQCSRWNLTSNAARPNPQGSFHYGKITPTKTIILANSASFINGKLRYTVNGVSYVNSDTPLKLADYFNIPGVFTMDSIQGLPSGGAATVATSVMPVSLHDFLEVVFQNNENTPQSWHLDGYDFWVVGFGSGQWNPHKRKTYNLVDALTRHTTQVYPNSWTAVLISMDNQGMWNLRSAAWGRQYLGQQFYLRVFDPVRSLTNEYDIPSNVLLCGKAVGFHP >KJB73627 pep chromosome:Graimondii2_0_v6:11:56741175:56744844:-1 gene:B456_011G241300 transcript:KJB73627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAEWRAAKSPAYYKRGDYAPGLKVDGMDALAVKQACMFAKEHALKNGPILQATEYNTLLEYCACFKLFYACGTEDIDLKENPTFSLQSVDEIMKIVEEAKVAPRISRPIGGFG >KJB73098 pep chromosome:Graimondii2_0_v6:11:51553456:51553938:1 gene:B456_011G214700 transcript:KJB73098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSRRITATQSTLISLSESEVKDNEERILLKLQSGLKHADQYYYWMGRNTPFKELIIDYTRRIDVPLSSVRFVFDGSPINPLFSANRLELEDGDSIDVIRRDVSASTETTLISLPRAKGEMQITLKVGLFGTDYHLLYLIARSTPLQYPKKIRFYIQNR >KJB73228 pep chromosome:Graimondii2_0_v6:11:53453870:53460941:-1 gene:B456_011G224000 transcript:KJB73228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGGGKGDNVRGVNIESSKGGGSSGNLIENLTGIDVVVNPNDDGGAGGKPCVGMEFESEDAGRTFYDGYARRLGFSTHVGQFTRTKLDGPIVTWDFACSREVLKRKNVESCNAMFRIERKDGKKWVATKFVEDHNHSMVNPSKVHYLRPRRHFAGVTKNVPETSDAATDVWALVDGNHVSCEATRVGNTSCVDPNHLVRNMGSVGYVGLPSQRRTLGKDAQNLLNYFKKLQAENPGFYYAIQLDDDNRMTNVFWADARSRAAYNHFGDAVIFDTMYRPNQYQIPFAPFTGINHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNNRCPVSITTDQDRAIQAAVSQVFPETRHCICKWHILREGQERLAHIYLAHPSLYGEIYGCINFSETIEDFESSWTTLLDKYNLQKNEWLLAVYNARKQWAPVYFRGTFFAILSSNQGVSSFFDGYINQQTTIPLFLKQYERALECSLEKEIEADCDTICTTPELKTPSPMEQQAANLYTKKVFSKFQEELVETFVYTANKIEGDGIVSKYRVAKYEHDDKAYFVMLNVSEMKASCTCQMFEYSGILCRHILTVFTVTNVLTLPSHYILRRWTKSAKSWVGLDEKNADPQGVETLTARFNILCQEAFKLAEEGSVVPETYSAAINALRDAVKKVAFIKKDVAKVTPPRSYINGNSHEDGSKKTTSPVSEMVPSLWPWQDVVSPRFNLIDSGAPLTDLNQPSMAPVSIDRETDHLDSTVVLSCFKSMTWVIENKKEVEACKVAVINLKLHDYSKNPLGEAEVQFSLTKVTLEPMLRSMAYISQQLSTPVNRVAAINLKLQDTKTTSGEKEVKFQVSKDTLGSMLRSMAYIREQL >KJB71942 pep chromosome:Graimondii2_0_v6:11:24355192:24355784:1 gene:B456_011G149100 transcript:KJB71942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKISLMLCILLILLSFSLLFPPSTTNTPVTVKKRREVVHRNLGGGKPTPCPEHDPACHAGGGNPPVN >KJB69980 pep chromosome:Graimondii2_0_v6:11:4069601:4076867:-1 gene:B456_011G052100 transcript:KJB69980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQLPVVWMFSFDHLHFMKCNFQSLNVFNQVRALNAIFQQWETQAPDGWNISGEPCSGVALSQSDSVFEDSANNPSIRCDCSFENSTLCHITRLRVFSLEKRGRIPEELLAFRYLNFLKIDQNFFTGPLPAFIGNMSRLGLLSVAQNSLSGPIPKEIGNLKELYLLSLGINNFSGTIPPVLGNLVELQQLYINSCGLTGEIPSTFANLQNLQIVGASDNAFTGKIPEFIGSNWTKLASLRLEGNSFEGPIPSNIGNLTSLTILRITGIYNGSSSLDFVRNLKNISDLVLRNVLLTGSIPSDISDFQSLQKLDLSFNNLTGQIPSKLFNMKSLTYLFLGNNSLSGTLPSQKSEILKSILLPGLQCLQRGFPCNRNSPRYANFAIKCGGPQMTADGIVFEAENNSLGAATFNVTNTQKWAVSNVGLYEDRENPLYVQNTNAQVKGTNTPALYLTSRISPVSLRYYGLGLENGPYTINLFFAETAYPDRSTQSWKSLGRRVFDIYIQGGLQVKDFDISKEAGGAEKAITRRFISNVTDNHLEIHLLWTGKGTCCVPELGYYGPSISAISVVPNFKPTVSGLPPGNSKRKTALIVGIAVPVGAVSLMLIYVVIHFKKRKEYDDEEVLLAIGPRPNTFSYAEMKAATEDFSPSNKLGEGGYGAVYKGTLSDGRVVAVKQLSVASHQGKSQFIAEVATISAVQHRNLVKLHGCCIEGKRHLLVYEYLENKSLDKALFGCSDLHIDWPTRYNICLATARGLAYLHEESRPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADIFGFGIVALEILSGRPNSDNSLEDDKIYLLEWAWALHENNQSLDLVDPNLVEFDENEALRLVGVALLCTQGSPSMRPPMSRVVAMLAGDIEVSGVITRPSYLTDWDFRDLTSSFVTESIQASTASEDNDNHNQNRISPGPGAAPILSPVNVSDIPEER >KJB72206 pep chromosome:Graimondii2_0_v6:11:32484100:32487217:-1 gene:B456_011G165400 transcript:KJB72206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGWVYWHSQYTPNRWAPLNPPLICCLVGCIPITSQICSFPNTPGPVIANTPLQHRFENPYPFLIFVSFFALIDFCFFFHRYCSVLISSAFITNPQHCLHRRPISELIAMAGYLNKYGLISCFSQTVVKVVGGLGLSWQMSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSGALVLSFLSNLMGGITHYGIGSAPVFYGAGYVPLAKWWGYGFLISVVNIIIWLGVGGIWWKAIGLW >KJB74317 pep chromosome:Graimondii2_0_v6:11:61921142:61922351:1 gene:B456_011G287300 transcript:KJB74317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RALKFPRSERAILASKRRRMSPGHIPSLRINNNNSITSFSFLMVVFLALINLKFQPLRNIESPFETQGVVMPMFVICMLVYSITFCTPYFPEVIDHINLLAGSLATVLLTFTLFPSLGRVILFIWTIHFVKLIYGAIPKLCQLCQALPSLLNLRVLLGRHAHHNEERCCNNHIHASLGFLISVLVDLIQVKYQSTNMAVPFETHPAIMFIFITAILVYAAAATIKTSNDNSSVHRVIVTKISLLTGSLATVVLVLVIVPPIGWFILLIWTFFLVKQIYYGCQMLRQPYRIISVVYYVIYQIFGRRRDMNQGRNRLSLFMI >KJB73426 pep chromosome:Graimondii2_0_v6:11:55062555:55064765:1 gene:B456_011G232900 transcript:KJB73426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKRKTLNLPPSPPKLPLIGNIHQLGKLPHRSLRDLSKKYGSLLLLLLGYNPTLLVSSADKVREIVKNHDIVFSDRSRTTAANIFFYKCKDMACAPYGEYWRQVKKMSIVQLFSHQSVQSFHHKSEEEDGRSKFGELGKRLLILFNSLCVGDMFPYMKAISAELDAFLDQVIQERKAIESHEEGTIKNDFLSIIMHLQKNGTFEMDLAQENIKAYWLFNTDMFVAGIDSSTTTKVWMMAELFKHPNAMKKIQEEVRNVVGNKLKVDAEDVSKIKYLKCVLKETFKTTSGCVKLGGYDIPSNTTVLIYAFAIQRDPKWWENPEEFIPEGFENSSIDFKGQDFQLNPFGFGRRRCPGIAFGVASIEFVMANLLYWFNWKLPAGETAENMDMAELYGLTVTKKTPLPVLPVSHFSL >KJB70780 pep chromosome:Graimondii2_0_v6:11:20659044:20659750:-1 gene:B456_011G135800 transcript:KJB70780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSVDSISMNLEKRIEGWNECITRILRIPWGFVIGAKLTIIQKVYRSQGVQIHNRHIEIIVLLAKATLRGHIGWLKGLKENVVLGGMIPAGTGFKGLVHRSRQHNNILLETKKKNLFGGEMRDIFFHHRELYDSCISNNLYDTSGRSFTGIEFNDS >KJB72898 pep chromosome:Graimondii2_0_v6:11:49225328:49226318:1 gene:B456_011G203500 transcript:KJB72898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKKLSEKKAIHNLPHDQPALMDGIMMMKVKQVLLKNEFQFGAAATSIATCSS >KJB74440 pep chromosome:Graimondii2_0_v6:11:62589554:62592117:1 gene:B456_011G295400 transcript:KJB74440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSFPGQNNMLVLRSFMILFFSCITIKINLCFPSIPSSLKTLSIDGHFDFEQVEHAAKDFGNRYSYLPLAVLYPKSVSDVATTVNHVWQIGGGSELKVAARGHGHSLQGQAQAHRGVVINMESLQGLKMQVHTGNFPYVDVSGGELWINILRQSLKHGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVYQLEVVTGKGEVVNCSEKQNSDLFYSVLGGLGQFGIITRARISLEPAPEMVKWIRMVYTDFATFTRDQEKLISGQSTFDYVEGFVIINRTGLLNNWRSSFNPQDPLQASQFKSDGRTLFCLELAKYFNHEDMVLVNQEIKTSLSQLNHIPSTLFISEVPYMEFLDRVHISEIKLRSRGLWEVPHPWLNLFIPKSKIHSFAQEVFGNILTDTSSGPILIYPVNKSKWDNRTSVVIPEEDVFYLVAFLSSAVPSSTGNDGLEQILIRNKRILDYCEIAGLGVKQYLPHFSTQGEWKSHFGPHWEAFIRRKSTYDPSAILAPGQRIFQKPIAYS >KJB74315 pep chromosome:Graimondii2_0_v6:11:61911704:61912656:-1 gene:B456_011G287100 transcript:KJB74315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFPALMNLKFQPQLNIESPFETQGVVMPMFVICMLVYSITFCTPYFLEVIDDINLLAGSLATVLLTFTLFPPLGWVILFLWTIHFVKLIYGAIPKLCELCQALPSLFNLRVLLGRHAHHNEERCCNNHIHASLGFLISVLLALIQVKYQSTNMAVPFETHPAIMFIFITAILVYAATAAIKTSNANSSIHRIIVSKISLLSGSLATVVLLLVIVPPIGCFILLIWTFLVKQIYDGMLHLPCLIISALNYVIYQIWGRRRDLNQGRNRLPI >KJB73905 pep chromosome:Graimondii2_0_v6:11:59136108:59139270:1 gene:B456_011G260300 transcript:KJB73905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLNLLSLATASPVVRGNDTDRQALLQFKAKITGDQLNIMESWNSSIHFCQWIGVTCGRKHRRVTKLKLRILKLSGSLSPYIGNLSFLRELDLAGNSFYNQIPQEIGGLRRLEVLYLVNNSISGEIPSNLSACSKLILVDMTNNQLTGEIPSLLGLLSNLKVLGFNHNRLIGSIPLSLGNLSSLVKFGLQNNALSGIIPEAFGQLRNLSFFTIFGNAISGIVPVAMFNLSNIRGFDIGTNKIQGTLLSDFEINMPHLEYFSVGENQISGQIPISIFNATYLNVLELNGNRFNGNVPSLEKLDKLSNLELSQNYLGHGREGDLHFLCSLVNNTSLEFLFISDNNFGGEFPECISNFSKTLLQLGIYDNKISGRISEGIGNLINLELLVVFKNQLSGPIPFNIGRLQKLKLFLAYMNILSRTIPHSIGNLTELIKLDLNFNNLQGSIPSGLGNCKKLLQMDLSSNNLSGPIPPEVLGLPSLSIVLNLSSNYLTGKLSVEVETLKSLGELDVSQNRLSGLLPENLGSCVSLEKLFLEGNFFEGPIPSSLSSLRGLEALDLSDNNLSGGIPKFLVLFGALKYLNLSFNDFEGVVPSEGVFKNASATFVEGNSKLCGGIPELHLSRCNSKTSANTSLKLKITIIVVILGVTLVFSIFLIIWFRKKTEQKPTTTHVENSLLQLSYQSILRATNGFSPQNLVGLGSFGSVYKGILEANGAVIAVKVFNLLNHRASRSFLVECEALKNIRHRNLVKVLTAISGIDYKGNDFKALVYEFMENGSLEDWLHPSVGMNKSETMRNLNFFQRLNVAIDVAHALEYLHHRCETPIIHCDLKPSNVLLDGEMVGHISDFGLAKILSREWPNYSTNGSSSLGVRGTIGYAPLEYGMGSELSTNGDVYSYGILLLEMLTGKRPTNERFKEGLSLHNFVKAALPDRVVEIIDPILLQESVRGGTAADIILNENNLGNDIHLQCLNSIFEIGLTCSTESPSERMDMSNVITKLCSIRDKLLHPTRLRRSV >KJB72846 pep chromosome:Graimondii2_0_v6:11:48620100:48623674:1 gene:B456_011G200700 transcript:KJB72846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNLEVAQILTDMDLEDSGITVLRRTLRILHSEGEWRIKHIPRNQNLVADRLAKLSLSWKSSLQVIDEAPKDLLDLLQVDKTNGLIDDLRLSYRTVLALFSFGCILVLGFIMSELSNRQMIVRTVFQIVTVKVLLKICFAKDVIPANFVFGDSLVDAGNNNYIASLSKANFVPNGIDFGGPTGRFTNGRTIVDIIGQELGLPGFTPPYLAPTASGPVVLQGINYASGGGGILNHTGNIFGGRINFDAQLDNFENTRQDIISTIGVSATLELLQSSLFSVTMGSNDFINNYFTPIVSAEEQKLVPPQVFVASMIARFKLQLTRLYTLGARKIIVVNVGPVGCIPYERDLNPTAGTSCASRPNQLAQLFNTELRNLIKELTTSLKGSIFMYADVYRIVDDMIQNYRAYGFDSGSFACCYIAGSFGGLIPCGPSSKVCLDRSKYVFWDPFHPSDAANVIIAKRLLDGDSNDVFPINIRQLANV >KJB68812 pep chromosome:Graimondii2_0_v6:11:41025885:41026662:-1 gene:B456_011G177000 transcript:KJB68812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPFAFCTREKRWCEFAEPVNGESTQFLHEFALKYNMVIISSILERDINHGETLWNIVVIIGNHGNIIGKHRKNHIPRVGDFNESMY >KJB74468 pep chromosome:Graimondii2_0_v6:11:62656708:62659606:1 gene:B456_011G296300 transcript:KJB74468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIYGGDCEDLCMHICFLFHTARCLHSLVRIGYISFKIVGIVRVLLGMICKWILIGSFRVYIYRVNDEIKKSRLFVLSSHLTRLPLASSLMASEKEAALAAAPSDSPTIFDKIINKEIPATVVYEDDKPNLCSNCLWALLHW >KJB72297 pep chromosome:Graimondii2_0_v6:11:35605926:35606090:1 gene:B456_011G169900 transcript:KJB72297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQVYAFSIKSLENSFNLKSLSNLATLSVSINFITCSWAPPVFSLNYLNLGLV >KJB69067 pep chromosome:Graimondii2_0_v6:11:328108:341564:1 gene:B456_011G004000 transcript:KJB69067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRMTGYSSTSPIDAILDKDNFTLEELLDEDEIIQECKSLNGKLINFLREKAQVEQLIQYIVVEPPENADKKQIYKFPSIACEIFTCEVDIILKTLVEDEGLMNLLFSFLNSNHSHGTQLAGYFSRIVICLLLRKTSAFMQYIKGHQEIVEMLIDLIGITSIMEVLIRLIGADEHMYASYMESMQWIEETNVLEMIVDKFSSSDSAEVHANAAETLCAITRFAPPGLAAKITSPNFIGRLFRHALEDSRPKSVLVNSLTVCISLLDPKRLTLGVYHTYNRQISQGSTISANPKTVEGMLENLGNLLKLLDVSSSESTLLTTYGKLQPPLGKHRLKIVEFISVLLMVGSETAEKELIRLSAMQRILNLFFEYPYNNFLHHHVENIILSCLESKNVPLIANLLWDCNLLGKILEAEKNCMLGSDPNMPTVSADGRPSPKIGNIGHLTRISNKLVQLGNSNRDIQAYLQENSEWIDWQKNVLSKRNSIENVYQWACGRPTTLQDRTRDSDDDYQDRDYDVTALASNLSQAFRYGIYSNDDTDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRGSNDNPTGALPSASPNTEGAGVINGDGEDDKEVVGKGDDLDDTATSSQVPDVKSEVNSANLSEDSKEAAPNANDEPPTWVEWRETSDGIKASGFAESAIVRNGEVQVKLEEKGSDTDHNPERTAEPSPSSSSDNASEATLEPSAKSTNTNLGYPPEPSVSGDGNANPLVTNDDETASGIGSASEITKDVKDTATEK >KJB69066 pep chromosome:Graimondii2_0_v6:11:327599:341566:1 gene:B456_011G004000 transcript:KJB69066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRMTGYSSTSPIDAILDKDNFTLEELLDEDEIIQECKSLNGKLINFLREKAQVEQLIQYIVVEPPENADKKQIYKFPSIACEIFTCEVDIILKTLVEDEGLMNLLFSFLNSNHSHGTQLAGYFSRIVICLLLRKTSAFMQYIKGHQEIVEMLIDLIGITSIMEVLIRLIGADEHMYASYMESMQWIEETNVLEMIVDKFSSSDSAEVHANAAETLCAITRFAPPGLAAKITSPNFIGRLFRHALEDSRPKSVLVNSLTVCISLLDPKRLTLGVYHTYNRQISQGSTISANPKTVEGMLENLGNLLKLLDVSSSESTLLTTYGKLQPPLGKHRLKIVEFISVLLMVGSETAEKELIRLSAMQRILNLFFEYPYNNFLHHHVENIILSCLESKNVPLIANLLWDCNLLGKILEAEKNCMLGSDPNMPTVSADGRPSPKIGNIGHLTRISNKLVQLGNSNRDIQAYLQENSEWIDWQKNVLSKRNSIENVYQWACGRPTTLQDRTRDSDDDYQDRDYDVTALASNLSQAFRYGIYSNDDTDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRGSNDNPTGALPSASPNTEGAGVINGDGEDDKEVVGKGDDLDDTATSSQVPDVKSEVNSANLSEDSKEAAPNANDEPPTWVEWRETSDGIKASGFAESAIVRNGEVQVKLEEKGSDTDHNPERTAEPSPSSSSDNASEATLEPSAKSTNTNLGYPPEPSVSGDGNANPLVTNDDETASGIGSASEITKDVKDTATEK >KJB68765 pep chromosome:Graimondii2_0_v6:11:1004969:1005133:1 gene:B456_011G014300 transcript:KJB68765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAFGSSHSIILTISKNCSYYEHSMVAVRQVCPHRLAVQDTSLSRRQQGFNFP >KJB69318 pep chromosome:Graimondii2_0_v6:11:1108414:1114090:-1 gene:B456_011G015900 transcript:KJB69318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSVPVELKQCIGLVELSLEHNKIVRLLLDFRATIELEILRLFGNPLEFLPEILPLRKLSQLSLANIRIVADENLRSVTVQIEMENSSYFGTSKHKLSAFFSLIFRFSSCHHPLIASALTKIIMQDQGNCVLIGKDENALRQLISMISSDNRHVVSQACSALSTLAGDVSVAMELMKCDIMKPIEFVMKSIAPEEQVSVLQVVGTLAFGSDIVAQKMLSRDVLRSLKILCANKNPEVQRLALLAVGNLAFCLENRFILVTSESLRELLMRLTFTSEPRVNKAAARALAILGENENLRRAIRGRQVPKRGLRILSMDGGGMKGLATVQMLKEIEKGTGKRIHELFDLVCGTSTGGMLAVALGIKLMTLDQCEEIYKKLGKLVFTEPVPKDEAATWREKFDQLYKSSSQSIRVAVHGSKVWISFHSADQFERLLKEMCADEDGDLLIDSAVKNIPKVFVVSTLYSVGTPEVPLAILEGSVTTIQGAQFGYKRNAFIGSCKHHVWKAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTLFSIREAQLLWPDTKIDCLVSIGSGSVPTKARKGGWRYLDTGQVLIESSCSVERAEEALSAMLTMLPEIQYFRFNPVDERCDMELDETDPTVWLKLEASVKDYIQNNSDAFKNACERLLVPFARDEKWTEKFKSQHFARAKASNAVLDENTPSLGWRRNVLLVEALSSPDSGRIVHHAQALESFCVQNGIRLTLLHDISGVSKTVPTTTFPTPFTSPLLAGSFPSNSLSFIPIDLQRLGQIDKISPFSLDGLLSGKKTTSQPKSPTGPRELSLPVQSLHEKLQNLPQVGIIHLALQNDSVGSILSWQNEVFVVAEPGELADRFLQSVKVSMLSMMRSRNRKGASPFAQVTTIADLIRCRPYFQVGNIGHRYIGRQTQVTEDDQEIGAYMFRRTVPSLHIKPDDVRWMVGAWRDRIIIFTGTYGPSANLIKAFLDSGAKAVLCPTAEPQDVLINDLGEYNVLGKGRFEIGDEDPEDEDSETETETETESELETISPSSDWDDHDMEKNTDHGTSFWDKEEDELSRFVCKLYDSIFREGATVDVALKNSLACHRKLRYTCHLPYLK >KJB74271 pep chromosome:Graimondii2_0_v6:11:61677852:61678481:1 gene:B456_011G2842002 transcript:KJB74271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRVLNLLNNSFYNQIPQSIGGLRRLETLYLTNNSVSGEIPSNLSSCSKLTIVRMAGNRLTGEIPAFLGFLSNLKVLSFYNNSLRGSIPPSLGNLSSLEELALTYNALDGIIPETLGRLTNLSIFLAAANAISGTLPVAMFNLSNIRGNKISGQIPISITNASNLDVLQFNDNRLSGK >KJB72748 pep chromosome:Graimondii2_0_v6:11:46953804:46954943:-1 gene:B456_011G194300 transcript:KJB72748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKFERPPVTQINVRMDCNGCVHKIKKALHGIHGIYHVHPDIAQQKLTVIGMADPEIIVKAIRKTRKMATICSYLEPTEPPPEVGSAALEGENPPPPEEQPKDEPPPENPQSELAETDAHANQKPPPKDVDHSYGGPWSRHHNSQIFQHELPPQPAAFVTHCYNTYMPSPYVTEYQYVHSPPRYVQYSRVGHCNEDYHNYYINGSNIDDNGNGSIASLFSDDNPNACTIM >KJB73007 pep chromosome:Graimondii2_0_v6:11:50192183:50200321:1 gene:B456_011G208900 transcript:KJB73007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSGGGSGSCSKDMAVELGDEPHVLVVDDSLIDRKLVERLLKNSSCKVTTAENALRAVEYLRLGNDTLEGTVSEVNMIITDYCMPGMTGYELLKKIKESSVLKEVPVVIMSSENIPTRINQCLEEGAKMFMLKPLKRSDVKQLKWHLMKCRS >KJB73006 pep chromosome:Graimondii2_0_v6:11:50192183:50193452:1 gene:B456_011G208900 transcript:KJB73006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSGGGSGSCSKDMAVELGDEPHVLVVDDSLIDRKLVERLLKNSSCKVTTAENALRAVEYLRLGNDTLEGTVSEVNMIITDYCMPGMTGYELLKKIKESSVLKEVPVIIMSSENIPTRISQCLEEGAKMFMLKPLKRSDVKQLKWHLMKCRN >KJB70840 pep chromosome:Graimondii2_0_v6:11:10097077:10098600:1 gene:B456_011G092900 transcript:KJB70840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNQAAVSFLTNLARAAFGLGAGATVLNASMYTVDGGQRAVIFDRLRGVLEKTADEGTHFLIPWLQKPFIYDIRMKPHTFSSVSGTKDLQMVNLTLRVLSKPKVEKLPEIYQHLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPQVSALVRNSLTQRARDFNIELADVAITHLSYGSEFSRAVEQKQVAQQEAERSKYVVAKADQERRAAIIRAEGESEAAKLISEATATAGMGLIELRRIEASREIAATLARSPNVAYLPGGQNMLLAMNPSRP >KJB69883 pep chromosome:Graimondii2_0_v6:11:3739917:3743366:1 gene:B456_011G048100 transcript:KJB69883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYERIDKVQSVISPSKLRMKLMGPHHMRKKDGSNSNSSRTSPSRIEDAEFINSLLASKNGDFDDEVKVSNELVMDSSQSKESFPRENIEGCPAKLHQFSKSDNSNSSSVHPMRTFEDENLDSDSNASSSSFEFHKGERVVHGSVTRTYTRPTSSKWNDAEKWIMNRQNVQAINAKKNAVHGQANNRFPITNMMRVAPESANSDHRLHVNRVADAMQLPFEKFSFMPSGAQNWEMDLSCTKSSAEDTTVLPTIRSVCMRDMGTEMTPVTSQEPSRTSTPVGATTPLRSPTSSVPSTPRSGAPTSAPLNHITDSESHHPGDSGKQELSEQEMKLKTRREIVALGVQLGKMNIAAWASKDEKEKDTSSVETTNIEELERIEYEKRAAAWEEAEKSKHNARYKREEIKIQAWESQQRAKLEAEMRRIEAKVEQMRAQAQTQMVKKLAMARQRSEEKRAAAEARKNRDAERTSAQGEYIRRTGKLPSSSHYMCCGWLS >KJB73397 pep chromosome:Graimondii2_0_v6:11:54603259:54605218:1 gene:B456_011G231100 transcript:KJB73397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVFMLWRITSTILLVGLIGLIIRLYDSLVSEPERQRSKLHKQGIRGPRPSILIGNLLQIGKTGSKVSNMAEEGKQVITHACCAYVLPFLDKWRQQYGPTFMLSMGNIQVLHMSHPDVVKEMTAYTSFDLGRPSYQKKGLFPLYGEGILHANGAVWAHQRKVMAPEFNVDKVKGMTKLMVESAVSVVNEWNRMIKSEGGVADIKIDEYLRNFSGEVISKVCFGNNCKEIITKLRALQEIACKKVVLQGIPGLRSLPTKSNREMWSLEKEVHSLILKEVKGTTSEKNILQVIIEGAKKSNLSQDEMDNFVVDNCKNICFPAYENGAVPAIWTLMLLALYPEWQDKVRAEVIEICDGQLPSSSMLNKMKTLTMVIYETLRLYPLGCMLTREAIQDTKLGDIDVPKGVCIWVTLMPLYEDPSIWGPDVHKFNPQRFGNGINGACQLPHVYIPFGTGPRSCFGQHFAMAELKILVSLLLSNFTFSLSPKYRHSPVMNLIIEPEFGVDLLVRKV >KJB69750 pep chromosome:Graimondii2_0_v6:11:3016906:3022448:-1 gene:B456_011G040700 transcript:KJB69750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKASLLCSSNPISSPPAYLHTCCPLTAPIRTRFSPSLFAFSSLRFSLNRLHGRRHGFSPLHSTATEEMIEASKNVSSFVEIGYISSVHGLQGEICIKPNTDFPELRFCKAGRRWLKQQVSGKETIKEVELVEGREHPGKKSWILSFSGVETVDQARQLVGSTLLAEEEDRPHLEEGEFYTRDLVGMRVILKETGEVVGTVVNVFNSGANDLLHVMLKSAESMPNGSEELSSLAEAVDSGPLVWVPFVKEIVPNVDMNRREMLITPPKGLLELNVRSDERSKKERRQLEWKERKRFQKRLIAAKKKLSEMEQQHVFHGFRFGEKSQASLLADQIVSVNSKLLQQALENVEIASKRWTITESLAGTKLVRNRLRISEKCFTPSTSEEKLGTNFTLQEKALQLISKGKVAVVLDMSDHKNRGKEYDLSLSSSTSRENSETSSLQMLLCDDERFVKAEYRSSMPLVLISPADEIISMKKLFSSNNYFGFDPEKVWFLEEERLPVVGSLFEQNRHKILMKSPWEILQSPVGSGGVISLLSSDNIVENLAQNSVEYIQVCNGERYISRSSPLLLGLVNEKEAEIGIQIFEHSEDVEEGFSMLFSIDTMKKLTRQIHKFQFYAIAKPNSHVELVEKKWVHVEPSSPNSYEFYSTIFSCLNACSLDKLCVMEITE >KJB69915 pep chromosome:Graimondii2_0_v6:11:4052215:4053115:-1 gene:B456_011G051900 transcript:KJB69915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLIGWKVVAVKQLSIASNWGKSKFIAEVTTISAVQHRNLVKLQGYCVVGKRHLLVHEYLENNSLDQALFGRFPASENNDNHNRNRISSSPGAAPILSPVNVSDFSEITEGR >KJB70607 pep chromosome:Graimondii2_0_v6:11:8329287:8329884:-1 gene:B456_011G082700 transcript:KJB70607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDVIVGTEDGMSSIRLSNRVHQILYKNMSRSIVMKLLGRKVGYHALSNKIYSLWKPSNPITIMDLKSDCYLVKLQEEVDYVRALTEGPWIVFSHYLTVQPWSKYFSTSQLFPSSVKSIGEMIGRVIKLDDNTSSALWGRFVYLAVMVDLNKPLVYRIKVDDPPLWSYEKVVYDFVFLGEAMR >KJB71365 pep chromosome:Graimondii2_0_v6:11:15945442:15946704:-1 gene:B456_011G118300 transcript:KJB71365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHGRNNGKKLMAVRIVKHAMEIIYLLTDQNPIQVIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGNRESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KJB71001 pep chromosome:Graimondii2_0_v6:11:12392625:12393255:1 gene:B456_011G106400 transcript:KJB71001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSEIAKKISAYTTELAISKVRTLTLHSSGNLQQLYKRGWTSIHFDVAYDRLGFRSASGIIARNENREILASQVVTHSNIADPFTVEAYAGLQAIKLGIRLGVNKLSVFGDSKTVIKKCQSLFTDKSVIGAIITDIQSLKKGFQEIEFIFVHKEHNFYAHTIAKESLRGGESFYLEKEIPEAVRRTVENRWPYPPD >KJB69894 pep chromosome:Graimondii2_0_v6:11:3793888:3795484:1 gene:B456_011G048500 transcript:KJB69894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTMIHTHLPQALSGGGMNRISAVYVHQGVASDTKLNRGARRLAESLTTLLNLNIEPLCSRKNLQYSNWDSFDDEKHNTPTTSPKEMIADKWRELHGSSDWEKLLDPLHPWLRREIVKYGEFAQATYDAFDFDSFSEYCGSCRYNRNKLFEKLGLSKNGYKVTKYIYAMSAIEMPQWLETSRLMDTWSKDSNWMGYVAVSDDEETRRIGRRDIVVAWRGTVAPSEWYEDFQRNLEPIGIGDAKVEHGFLSIYTSKNEFTRYNKSSASEQVMKEVTKLVQFYQGQGEEVSLTITGHSLGGALALLNAYEAAVNFPGLAVSVVSFGAPRVGNSAFRAELDDLGVKTLRVVVKQDLVPRMPGIVFNESLQRFDDITGTLEWVYTHVGAELKLDVSSSSYLKRGFNPLGFHSLETYLHLVDGYLSAESAFRSSARRDVTLVNKACDMLVDELRIPQCWYQLANKGLVRNEHGRWVKPRREPEDIPSPTGEQAHDLSLRIEMQESYTCCMQAKNLAIC >KJB69587 pep chromosome:Graimondii2_0_v6:11:2386718:2387771:-1 gene:B456_011G032000 transcript:KJB69587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVNKLKVNVTDIEFLKRNNLKVGCDGDSFVRNYLVDVLQFKSENIKTINSQDNYIGEFEKKHIAAAFLELPYEKVFINNYCKKFSATTPTYRFGGLGFMFHKGSPIAMDFSKAILTLSENGALKDLEEKWFAPSPECSTSVTDNRTERLRLHSFWGLYIISGATSTICFFLFIIKIIKDQYGSNTSPRNNSIWSKAIRLARFIYQGQQINFAIDQTRPQLPEIVGRGSPRWKYSSPDAAENHEAASSEAADVEMLYIPGG >KJB72320 pep chromosome:Graimondii2_0_v6:11:37728292:37734985:1 gene:B456_011G170900 transcript:KJB72320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNTRGGFSNESPLGMETEFGSDGEIMFLWEKRKEEHEEGKWTTARGDNKASLAELTLPESELRSKMRIKGAGVTQEVVDTIHEKWKTIEIVRLKVEGSPALNMKMMHEILERKIGGLVIWRSGTSISLYRGVSYEVSSVHLNKQIYKRNEMSTYSSPLVSDKTEDPSDLVPHKDVAPPQTNFETATEEHKNTEPLPEIKYEDEVDKLLEGLGPRYADWPGCDPLPVDADLLLGIVPGYQPPFRVLPHGVRSCLGVKEATSLRRLARVLPPHFALGRSRQLQGLAIALKRGVQLTTRERMAEDLKKLTRGILLSKNKDFLVFSRGKNFLSADVAEALLERERFAKSLQDVEEQARLRASALFAQSTEVAEQSGASGTLGETLDVDARWGKRLDDHHKEKVLKEAEILRHANLVRKPEKNLAFAERKLLKAERALSKVEDYLKPADRQANLESITDEERFMFRKLRLRMKTFLLLGRHGVFDGTIENMHLHWKYRELVKIIMKAKKFDQVKKVAIALEAESGGVLVSLDKISKGYAIIVYQGKDYERPSTIRPKNLLTKRRALARSIELQRSEALVKHISALQAKVEKIRSEIEQMHGMDARGDEKFYDRLDSSYPSDDDDDTEEEGDEAYLGTYDGENDGEENDFHLETNFPFHNQHLES >KJB72080 pep chromosome:Graimondii2_0_v6:11:27566769:27567629:1 gene:B456_011G157300 transcript:KJB72080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFSCLPFAFFLILILFTFSIQTANGGKEGSLRLQDCPKACEYRCSKTHHRKPCVFFCNYCCQRCLCVPSGFYGNREECPCYNNIKTKEGKNKCP >KJB70241 pep chromosome:Graimondii2_0_v6:11:5478608:5483857:-1 gene:B456_011G064800 transcript:KJB70241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFSMVPFLGTVRSTPSLTSLAPYKRQISIRISPVSFPRNGFFPFRRFLQACLQSSSATMDTREKLPAEHSEIIFIGTGTSEGIPRVSCLTDPVKKCLVCSKAAEPGNINRRRNTSLLIRYGRPSGRCNILIDAGKFFYHSALQWFPEFGIRTIDAVIITHSHADAIGGLDDLRDWTNNVQPNISIYVAERDFEVMKKTHYYLVDTSVIIPGAAVSKLQFNIIQEEPFIVHDLQITPLPVWHGRGYRSLGFRFGDICYISDVSDIPEETYPLLENCEILILDALRPDRSSSTHFGLPRALEEVRKIKPKRTLFTGMMHLMDHEKVSEYLENLMETEGLDVQLSYDGLRIPVSL >KJB73191 pep chromosome:Graimondii2_0_v6:11:52949274:52949543:-1 gene:B456_011G220600 transcript:KJB73191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVKASTALFFSLNLFFFALVSSYNIDNNSNGSFIRQNLRTLLFPGYKFPNNGSTHSYYGTCNPLNLGVCLNLLGGLVNLNLGNVPT >KJB73047 pep chromosome:Graimondii2_0_v6:11:51078130:51079099:1 gene:B456_011G211700 transcript:KJB73047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVESIRSWVSTHKLTSIGAIWASAIGASMAYTGSRTALKPSLRLIHARMHAQAFTLTVLSGAAAYHYYDKSCRKQEEAASA >KJB70367 pep chromosome:Graimondii2_0_v6:11:6245706:6246906:-1 gene:B456_011G069800 transcript:KJB70367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSVLSLFLLSIICTASCGHGEEDSFPTFPALPIPETLLFDNLLSFDYYHQRCPQLEQIIHTKLKQWVAKDHTLAASLLRLHFHDCFATGCDASILLNHEGSERRAEASKTLRGFQVIDDIKAEVEKSCPAIVSCTDILTAATRDATVLLGGPYWRVPYGRKDSRISNAKDAEMVPSGRESITTLLELFQSRGLNFIDLVVLSGAHTIGRTTCGAIQHRLYQGLNGTSSMPNPPLDDHYLDFLQRKCRCASGDYVDLDATTPTTFDSQFYANLQKNMGLLSTDQMLYSDPRTSPIVNSLIGTPSLFIHQFSASMVRLANIQVLSGLDEAGEIRTNCNFVNPYNN >KJB73365 pep chromosome:Graimondii2_0_v6:11:54272223:54274583:-1 gene:B456_011G229400 transcript:KJB73365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIFTDPSSAAAVHRLSFTRFSNGLVSLRRFVGPLHVFSHTPTLPRALSLHAPRSSRFITASMAAEPSAKVIDGKSVAKQIREEISAEVTKLKEAIGVVPGLAVILVGDRKDSATYVRNKKKACESVGIKSFEVNLPNEASEQEVLKYISDFNGDPSVHGILVQLPLPSHMNEQNILNAVTIEKDVDGFHPLNIGRLAMRGREPMFVPCTPKGCIELLHRYGVDIKGKRAVVIGRSNIVGMPAALLLQREDATVTIVHSRTKNPEEITRQADIIISAVGQPNMVRGSWIKPGAVIIDVGINPVEDASSPRGYRLVGDVCYDEACKIAAAVTPVPGGVGPMTIAMLLSNTVSSAKRAYNFN >KJB70641 pep chromosome:Graimondii2_0_v6:11:8750138:8750409:-1 gene:B456_011G084900 transcript:KJB70641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLNSSEKSRKCKDPTLVKANNLTAPRLHQPGIEPGSVPWQGTILPLDHWCLLKVQMVNSVNIKLNTFFQTYY >KJB68913 pep chromosome:Graimondii2_0_v6:11:1956315:1960354:1 gene:B456_011G026400 transcript:KJB68913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSSMRKSFKDSLKVLEADIQHANTLASDFPREYDGACLQMRMSYSPAAHLFLFLVQWTDFHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYTVIYPSLLQLQSGVTDSEDKQQKAACVERYRRREDEEYKQLTDIDFEREEECGICMETNSKMLLPNCNHTMCLKCYREWRSRSQSCPFCRDSLKRVNSGDLWVYTDSRDIIDMATVTRENLRRLFTYIDKLPLIIPATIFDTYDSHLK >KJB68914 pep chromosome:Graimondii2_0_v6:11:1958308:1960332:1 gene:B456_011G026400 transcript:KJB68914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPAVIYPSLLQLQSGVTDSEDKQQKAACVERYRRREDEEYKQLTDIDFEREEECGICMETNSKMLLPNCNHTMCLKCYREWRSRSQSCPFCRDSLKRVNSGDLWVYTDSRDIIDMATVTRENLRRLFTYIDKLPLIIPATIFDTYDSHLK >KJB69949 pep chromosome:Graimondii2_0_v6:11:3980590:3981912:1 gene:B456_011G051100 transcript:KJB69949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEILGNNSIEDISWLCSLSESELDFLISLKKLAVKRAIAIGHVQLANKFDLKVLQAPGFILMECLKEKVKDLSLIPSLLEPVMSIEELKNHIAFKARKEFYESICLQQPEKEKNNKTICGKLF >KJB73801 pep chromosome:Graimondii2_0_v6:11:58173441:58175198:-1 gene:B456_011G253200 transcript:KJB73801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFISSLPDGLLFHIISLLPFESAIQTIFLSTRWRFLWNLPLVQHGTKEDVPSAVSGFIANFDEHNPTRNTRRFRFHFGEYGLLSAILAPNNKLHLHFSAENMQNPTHFSWQIELNNPQNLSDHQPTPCTFFVKTLNLTSVNHLSSEAVSCMVSKFVLLENLNIIGCHGLESMSIDSDTKLLSLTIFDCPHLKSLHIRSYKLRTFLYRGKFPWFLPEFHFNLGNAMLDSRQGPAFNTFKICDFDRVLLTIKNSEILTLCKWTFEIDHNSYVMPNATKCSKQVRRCTKLQHLKVVKLEGFDNQDNEILLIERLRDIIAIEPLILSTSDGICLRNFIRVPSLDSHHPKVSHSLVSQEMYSYKFVQVKHNNQLCPKHGHMISS >KJB69129 pep chromosome:Graimondii2_0_v6:11:533752:535020:1 gene:B456_011G006800 transcript:KJB69129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCLKYIFKHCFFLLDLARSLLRVPSISYVCILTWRVGARHKRSNFEADWRKLNFFVAVEKFIFTIKQLCLANFLELLPSKGKEVCYGLQTVYYHNEIDSSTLSLWIYNCLKREMA >KJB72397 pep chromosome:Graimondii2_0_v6:11:40729530:40730756:-1 gene:B456_011G176100 transcript:KJB72397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSVSCLQGKLKNGKEIVVKRLSKHSSQGELEFRNEILLVAKLEHRNLVSLLGFFLEGTERILAYEYVSNGSLDQFIFGILYLPEHSRLKIIQRDLKASNVLLDEEMNPKIADIGVARLFSMNQIQGNTHRTVRTYGYLAPEYAVHRQFSVKCDVFSFGVLLLETVIGKRNNWMTDSGELKHLPSYAWKNWEEGTSGKLINPTLRNSSNSEEKIIRCIHIGLLCVQELAAKRPAMASVVLMLNTNSLSLPPPLQPAFLMYGNMSEAGLSTSDENSRSNQLVQSRDSDATP >KJB74416 pep chromosome:Graimondii2_0_v6:11:62442394:62451631:-1 gene:B456_011G293200 transcript:KJB74416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECESCNNDWNYDNVIPEPIMLVDTLNMAPETDACFTALLAINELANAQQKIVEETNKNQAVVLALYEALNTRDVNTVHQILAPDLEWWFHGPPTHQFLMRLLTGASSDDPFRFEVDPVLVTTFGSTVIVEGCDNSRSISWVHAWTVRDGTITQVREYFNTSLTVTRLGDSPPSACSSSTAEIAPVYCPYVWESSLSNRVGKSVPGLVLAI >KJB71887 pep chromosome:Graimondii2_0_v6:11:23537201:23542713:1 gene:B456_011G145800 transcript:KJB71887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINDGPNEEKTETTQCNLFFQFDYLCCSSFIYFPPNSHPKTPASLSNTFFIRSFSVMADYAMESDSHVCSKRRTTSGSEQQQETSLPPHLSPSLYESTADHRDSGLQDCQEVTAMDHSSPKKKPNCFSLLSQENQEMGLHSFAPKDVSLSGFFEKEKVDEVESKEGSFGVQEVDIQVNTIEADNKEHLGTGLEFEEKRVAKGKSLESENVLEAEKKRLLTELEVGNVFGFATNSSKIDDGNGVSGTKGLDLPVKGSLKIEVIDDTALIGSFPLPRTGNGSVKDEKKKKGEHEVDGKKAKRSRRKGKNVKEVLGESVAMRHMESTKIFEVQKGKTESKTQNKIMYSRKELEALRFAKVGEQRSFWRDVYNSLGKDVIREYEALGSWKHQKNTGSGSGSSSDTRHRFGKKAESPAIIRDYSENVGNELEYMEDNETENIYPFSFSPTRDVEVDSFVDAEEECNEGDDSDEDYTSILRPAFMVEGEPDFDSGPPEDGLEYLRRVRWEAAQIPKVKIAKPDRTILDKEQSVYMPQIPEIAKCPEHLLPLKHWEDAFLADFSELRLALLQMEDLNTEISCKLPKLSVREDDLFQLPASGVIEKLNSHATSEVRSDQVPLLNAADNEISSSHSNPCPKTSISDACGEYPTLSTIRKMDSVARVSMLRKWISSVENMSSLSRSNCVWLFALCAAIDTPLDAGTCASLRSLLRKCANLRAGKCEVDDEVIMLNILATISGRYFGQSET >KJB74483 pep chromosome:Graimondii2_0_v6:11:59550710:59551899:1 gene:B456_011G264400 transcript:KJB74483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVLKSLANSIFLVLFIIFSSSIPLVLAQCEAETKTNGCHNYRESMKLKIIAIVAILLSSMIGVCLPLFSGQVPLLKPDRDLFTIVKAFSSGVILATGYMHVLPDSFNDLMSGCLPENPWRKFPFTTFVAMLSAVLTLMVDSFAMSVYKKRCGKALMADANNGGGLENTNIVPIDNFEHGHSHSLEMNDDVSSQLLRHRVIAQVLELGIVVHSVVIGLAMGASGNQCTIRSLIAALCFHQMFEGMGLGGCILQAEYEIKMKAIMVFFFSATTPLGIVLGIGLSNADFLGPKLQTNMKLQAWSYVAVLLGAGFMSLMAKWP >KJB71937 pep chromosome:Graimondii2_0_v6:11:24246160:24246912:1 gene:B456_011G148600 transcript:KJB71937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQLWFYFILLIFLFCPTLQAAESQQVICGDEVCGNLTIPSSFGIHSSCYTHPFFRVTCKQIHNQKKPFIRINRFDLEVLGFLFEDTILIKNPVTYVNCDHKNEVTSARVNLTGTPFFFSSDYNNFVTVGCGNLVTILRNEADAFGGCIQPICADGASESGCSNTISGNFTSTIVTMTAMYPIGKDDGKRCSSAFIFSRLCFREAYPLPGIQITERFQYTGRYIAVAPPTETAIAENNEGKRIHTDNGG >KJB73320 pep chromosome:Graimondii2_0_v6:11:54065458:54067309:-1 gene:B456_011G227700 transcript:KJB73320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNGLITKVTWKIEVLSDVGSKSMGRNGQLTKVTWRIENFSTIQDKKLCSENFTVDGNKWQLIIYPGGANEAFLSIFLGVADSATLASGWTRYAHFGLLSSTNSIVKIPKHEAVELEQPAEEDMNTFFTILEYELSSSRIVYSKEEAKEALAKINEALNMTPVDLNDSGKISPLKQAFMILASFDGSSTTLTIEQKNELLGLEERLKELANRAAKAVQDKNQLTAKESIKRTMTRSLESSLIRYKEVETEVKQVDQILAARHEEVVEAQKKREKMLAERNGIYRSCKEMKMKLDALGKEWAVYEATARVGEEEEKSVEAEWGRIKDFISSINGKI >KJB73965 pep chromosome:Graimondii2_0_v6:11:59569595:59572581:1 gene:B456_011G2645001 transcript:KJB73965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVIPRLKSLDLSSINIHKIWHHSSSPYIGYLNFLRVKRCHNLKYLFPSFLAKDLVQLQLLRISDCNMMEQAIFTDGLSTTAAQSIVAETVTTKFVFPKLIYLRLCKVPRLKSFYSRMHTTQWPSLKQMDIIECPKVQIFTRQCPVSQVGISNQQPLFCVNERSTTLPYCFIRSLPTLQKLIIKNASISEIVRSEGLSDEERHTSAFYQLKELSLTQLPELTLKTFKPSLLSFKNLTTLEVSRCHGFITLIACSTAKCLTLLERLSIDDCEMIEEIIACEAEEIQGGIVFPKLKYLQLSCLPCLATFSLAHHSLEFPVLLMVTVTKCPQMRNFCQGDLSTPRLEQMRLTRDEEGELQWEGDLNTTIKHMFDEMNVQNSEVTEVTDQLPKLE >KJB73966 pep chromosome:Graimondii2_0_v6:11:59572357:59572581:1 gene:B456_011G2645001 transcript:KJB73966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGGSQV >KJB73781 pep chromosome:Graimondii2_0_v6:11:57907073:57908685:-1 gene:B456_011G251400 transcript:KJB73781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWRMIEAAQTGNINVLCLLIQKDPYVLERIDHVPFLDTPLHVAVSSGHVDFMMEMINLKPSFARKLNLAGFSPMHLALQNNITQAVLRLLKFDEGLVRVKGREGLTPLHHVAQTGDVDLLIKFLEVCPEAVEDVTVRDETVFHLAVKKDKFEAFQVLVGWLIRSRHEAAQRWEKELLSWADIDGNNVLHISAIKNSPQVVQVLLGHLRRDHINAKNLKGSTALDIQPDCPLDERQVNNYKGSVKDMITKAGGLCGSKLPNKSISSIHIKSLRPKMSCFQKFATMAGRGRKDIPLEMRNTFLVVTVLIITVTYAASLSPPKKADNSSSMKYHIKYYASLESTDSTAPLPGPLPPLADDQINWSDLIDVSSMFWLYNTLTFWAAIGLTAYLLPSRSISLFLLITLSLFGTCYMLLVAVSTWSWKLQYVVSLQTTIPLTYRALCILNYCLSTSLALLVSYRIARYVFCRFVPKTKMFVLVQIVSFILFAFILVPAILNTETILKDSNFFE >KJB69268 pep chromosome:Graimondii2_0_v6:11:973484:974464:-1 gene:B456_011G013700 transcript:KJB69268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FIPKKINSNFPTHELQKYIKENTMADFDEEQILWSCEKENEDSSPTQRMVKRVSNSTKVVSQQQSSAPVSIPDWSKIYGKHHANKDDDNYVMDDGMVYDGDDDDEMVPPHEWLAKKLARSQISSFSVCEGVGRKLKGRDLSKVRNAVLTKTGFLE >KJB72587 pep chromosome:Graimondii2_0_v6:11:44425952:44427292:1 gene:B456_011G186600 transcript:KJB72587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNANGELDNELVQAHAHVLNHVFIFISSMSLKCALDLGIPDIIQNHGKPMTITELVDAQPMLNSTKACNIYRLMRILAHSGFFAHQKLDGDAQKEGYVLTNSSRLLLKNNTLSITPTLKAAIDPIFTKPWNFLGAWFQNDDRTPFETAHGKILWDYCSQDPQLSDLFNESQACDSRLVTSFLVDKCKGVFEGLNSLVDVGGGIGIVGKAIADVFPHLECTVFDLPHVVAGLQGSKNLKYVGGDMFKTFPTADAILLKMVLHDWNDEECVTILKRCKEAISSRDNVGGKVIIIEMVLREDDDQVFKSSETKLFFDMEMLVLVNGKERLEEEWNKLFLAAGFSHYKITPIVGLKSLIEVYP >KJB72039 pep chromosome:Graimondii2_0_v6:11:26935614:26937014:-1 gene:B456_011G155200 transcript:KJB72039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEQEDHPKLQFPLDSNSYNITAEIGAGVCSNVYTAQCLPINSTVVAIKSIDLDQSNADFRNFVGRETNTSSLLSHPNILNLHCSFTAGNRLWVVMPFMSGGSLESIISSSSPNGIQEQCIAIILKETLTALSYLHSQGHLHRDIKASNILLDDNGRVKLADFGVSSSFYKWSSVYRLGSSPFSQYWIAPEVIHSHKDYSFKADIWSFGITALDSTKKFSETFQDMVASCLRKDPANRPSADELLKHPFFESCNGTSEFLAENLLRGLPSVEERFRAASKILEEGVGCDPNGDWASGLLLNRRMSTIIEGNGNEDEEFEVHDPVFPVESTQAVIPCDDDGEEQQPAAGGRGNEVNAETMVNELMALMTSLDDQKEKVKKIINQLGAKTIDREDELEKENARLRLELEREKEQNLKLIQVINEEDQLLHQNERLRLELENEKLRLELEKLKMHISATSNTTTDDNN >KJB72292 pep chromosome:Graimondii2_0_v6:11:37071293:37074285:1 gene:B456_011G170200 transcript:KJB72292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHGTSGSRHINQFPQDTQTDGYVTARNPYVATQVNISFSNTPVPVIDIPPIQRRLETPYPFLIFVSFFYPHRFLLFFYRYCRSVLISSTFITNPQHCLHRRPISATSDECCSVQLIDGDGSFNGTGIESFIKEVKLHECGLSYAVVSIMGPQSNVATPKQMRELMQVDGLTNDEVKSHSFMSRCLVSVHYFGLKLLVVGICQWKQPCFCSCIPFFH >KJB73015 pep chromosome:Graimondii2_0_v6:11:50382075:50384372:-1 gene:B456_011G209500 transcript:KJB73015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSSFTNTSYQIYQLPSWNRSHDMAVISTLLVSLPLPLVLMLLVFAPLVVVKLKGSITTRKQPPLPPGPIPWPIIGSLPEIWQNKPVFRWILGLMKQLGTDIACIRLGHVHVITVTSPEIAREFLKKHDSVFASRPKTMAAEYATRGFLSTALVPSGDQWKKMRKVMASNVINPARLSWLLHKRTQEADNLVRFIYNQCINHENMHGGVINLRLAVRQYTGNVIRRMMFNTRYFGKGREDGGPGYEEEQHVESLFTVLMHLNSFILSDYVPWLRPLDLEGHEKIVSEAMRIVNGYHDPLVDERIKEWGQGKRKEPQDLLDAFILAKDLNGKPALSAEEIKAQISELMLATVDNPANAAEWAMAEMLNNPEILRKATDEIDSVVGKSILVEETDIPKLNYIKCCAREAFRLHPSAPFNVPHVSNADTTVAGYFIPKGSRVLISRRGLDPKVWEEPLEFKPERHLNDEWGSGRVELTENELRFISFGTGRRGCIGVGLGSAVTMMLFARLIQGFTWEMPPNVTKIDLSESEDDTLLAKPLHALAKPRLSPALYTQL >KJB74470 pep chromosome:Graimondii2_0_v6:11:56755279:56755680:1 gene:B456_011G2414003 transcript:KJB74470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YSKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDN >KJB73684 pep chromosome:Graimondii2_0_v6:11:57027051:57028307:1 gene:B456_011G243300 transcript:KJB73684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIATNKPREKHSKISSETVEFLGNMSHSPLKSPLHNLQTTELHQHSIPNVVHVPKIHEPVVAYPDPYYLQEVSTLTTNNLVASNPPQGYRQSPIATPAAVYPDPYYPQPQAVSPVMAYPDPHYLQQLSTLATNNLAEEVPPVPAMVSSSASNPPQGYPQRTAASLNVKPAPVSSSGGCCIIL >KJB72690 pep chromosome:Graimondii2_0_v6:11:45595036:45595795:1 gene:B456_011G190800 transcript:KJB72690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTVEVSGEKVKAMWDKRLTEIFCDICIKEILKSNRPGTHFTKDGWLKIMTNFEKEASKGFSQRQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKITVDASDDWWERKLDQMFMGIVATSDKAWTPSSGTLRSNFFEDVNNEIPEENEEENMRNDVHISNDVHISNDVQIDGYQVHILKLEERNPQSKLEGLQDCPVK >KJB70547 pep chromosome:Graimondii2_0_v6:11:7841248:7843056:1 gene:B456_011G078700 transcript:KJB70547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRLSDAFRAHPQQQLKHPDFTSLHELPDSYAWNQRDDPSPETFATESVPLVDLNDPNALQVIGHACKTWGIFQVTNHGISTSLLDMVEHTCRSLFSLPVHQKLKAARSPDGVSGYGFARISSFFSKLMWSEGFTIVGPPDEHFCQLWPQDFSYHCDVIKEYKEEMKKLAGRLMWLMLGSLGIIAKEDIKWAGPKADFKEASAALQLNYYPACPDPDRAMGLAPHTDSTLLTILYQNSTSGLQVFKEGAGWVAVPPVPGGLVINVGDLMHILSNGSYQSVLHRAMVNRSHHRLSSAYLYGPPSTVEISPHPKLVGPTHPPLYRPVTWNEYLHTKAKHFNKALSSVRVCVPLNGLGDVNEQNNSVTVG >KJB73755 pep chromosome:Graimondii2_0_v6:11:57498870:57500884:1 gene:B456_011G249000 transcript:KJB73755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLMKICLLFLCSSISYFVNLFFQKRNQHCYMLGYECFKAADDRKLDTDACVRVVMRNKKLGLDQYRFLLKTIVSSGLGEETYGPRNVVDGREEFPLENDAHAEMDEIMFSTLDSLFEKTGVSPSEIDILVVDVSLFSPAPSLTSRIINRYKMRHNIKSFSLSGMGCSASMLAIDLVQQLFKTYKNQFAIVVSTESIARHWYCGKEKSMMLSNCLFRNGGSSILLTNKRDLKDRCLLKLKCAVRTNIGYDDEAYGCCIQVEDPQGYQGFLLTKNLTKAAAKAFTGNLKLLLPKILPVWELLRYAISTLGKKSTKGQNLSFNLNLKSGVDHFCLHPGGRAVIDGLGKSLGLSEYDLEPTRMALYRFGNTSAGGLWYVLSYMEAKKRLKKGDKIFMVSLGAGFMCNNCVWEVMKDGLDDTRVWEDCIDEYPRKNLVNPFTEKYSWINDEILNFVRLD >KJB73049 pep chromosome:Graimondii2_0_v6:11:51080583:51081389:1 gene:B456_011G211900 transcript:KJB73049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLIKLEHLDMSRTHILQRPPRFGNLKRLQLLTYFVVGNNGVSGISEVKDLSLLRGTFSILRLQHVSQIEHAEMANLKDKYLRELVLEWDAPCNGNTQSAGNNPPNGNMQTVNDLLNRNAENPAVGFSTARPHNGNTHIAEKAMDNPQNWNGKSAEDDLQNLCATHASNVLDKLQPGENLENLEIKNFFVEMDRKLGGIVFFQKRSRISFILVLGQAIYHRV >KJB70546 pep chromosome:Graimondii2_0_v6:11:7819388:7819821:1 gene:B456_011G078600 transcript:KJB70546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQFYSHLCNSSFLTSILEILSNSCQQQIPLLLGNATLTIYKFDSFFFKFNGVNSYFHHFDKKDHESFILHLWKQP >KJB72776 pep chromosome:Graimondii2_0_v6:11:47468116:47468958:1 gene:B456_011G196600 transcript:KJB72776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIYEFEVTSPVAPTRLFKAFVLEGAKVYPKAAPHAIKSVELEGDGKPGSIVKINFVEGLPFQYMKHMIGGHDESNLSYSYSLIEGGPLGDKLEKISYENQFVADASGGSVCKSSIKFYTIGDYVITEDEIKALIQRSEVVYKAIEAYLLANTDACN >KJB69343 pep chromosome:Graimondii2_0_v6:11:1248589:1250413:1 gene:B456_011G018000 transcript:KJB69343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEISRTVLFHFLFSGLTFTFLVTFAVSFDPYFLHSCANNIGNYTANSAYERDLNTIFKQITSITKLNYGFYSKKVGEVNAMALCRADVKLDVCTGCLNETISRVKLDCPNSKEAIGWSADCTLRYSNTNLSEKLEINPQTCLYNTGSTADEYFELRLGELLSDLRNKAAAGGALLKYAAGNSSLRASERLYALVQCTPDLSEGDCNHCLDKAATDGIRRCCLKQRGCRVLSPSCNLRFETYPFVEAGAEFPPPPSPSGDRQKEEEEPNKSKRTPVVVASLSVIFGVAVVSISGFLIWKRRNNQDTEYREIQLLDLVEGRIDM >KJB70493 pep chromosome:Graimondii2_0_v6:11:7311460:7311600:1 gene:B456_011G075900 transcript:KJB70493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPKNIDLISCGSMIHVSDIKLIRTDTTLDLSQKAEKGMTLDSS >KJB71050 pep chromosome:Graimondii2_0_v6:11:11715438:11718933:1 gene:B456_011G102500 transcript:KJB71050 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein k [Source:Projected from Arabidopsis thaliana (AT4G36720) UniProtKB/Swiss-Prot;Acc:Q6NLY8] MAFLGSDLTSEVGLRLLLWPLGSNIVTRAACCSVGVVLPVYSTFRAIERKDENEQQKWLIYWAAYGSFTLVETFSDKLLSWFPYYYHFKFAFLVWLQLPSTEGAKQIYKNHLRPCLLKHQARVDQLMCIASTEMARFITAHQKEFRFVRAMIIKIIGSAIRPKSKWHGTG >KJB71049 pep chromosome:Graimondii2_0_v6:11:11715367:11719050:1 gene:B456_011G102500 transcript:KJB71049 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein k [Source:Projected from Arabidopsis thaliana (AT4G36720) UniProtKB/Swiss-Prot;Acc:Q6NLY8] MAFLGSDLTSEVGLRLLLWPLGSNIVTRAACCSVGVVLPVYSTFRAIERKDENEQQKWLIYWAAYGSFTLVETFSDKLLSWFPYYYHFKFAFLVWLQLPSTEGAKQIYKNHLRPCLLKHQARVDQLMCIASTEMARFITAHQKEFRFVRAMIIKIIGSDPKVSGTELAEPRGLPAIDDETRTTANPESDNED >KJB74405 pep chromosome:Graimondii2_0_v6:11:62461346:62464105:1 gene:B456_011G293500 transcript:KJB74405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQHSWLSSLKTTALFSSANQHKSSLFKVPFSQNWSQSSDEASNPESPNSEEAAPVDPVKLAFEKAKSYKKTKVNPDGDSSPASVKVAKEKTSEYKENGSESIIASVKKTGSEGTSQQSKIMEKKVQKPEKLSISSIDFVGLEFADKKKSRGLPPGLVPISDPFTEGDLPDVEILVGDTSQFGEATMPETKPSTEEEDSEFYKPKVSTWGVFPRPGNISKTFGGGRTIRPGEVLETEQDREAKNERTRQLLAAYKKKVGLNVDPKLRSECEKILEDGDSLMGSGKLKEALAYYERIMEKMPFQSELHGVAALQWSICQDSLSRSNEARIMYEKLQSHPNPKVSKKASQFMYSFKAMEMMKVTGSNFSLKNTGYQNYFEAFIEDKANYTANGSEFTEGALNQVLPYMILLVSPIVAVLVIAVQKGYTN >KJB71932 pep chromosome:Graimondii2_0_v6:11:24175211:24177517:1 gene:B456_011G148100 transcript:KJB71932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQLWFYFIILFLLCPILQSTESQQAICGEEVRGNVTIPSPFGIHSRCYSRSWFRVSCKQTHKGEKPFISINGIDLELLGSLFQDTILIKNPVGSSTVNRTGTPFFFSSDYNYFGSVGCGNLATIFSNEADPLGGCIQPICGDGASESGCYNRISGNFTSNVVNMTAMYPTGKDDDKRCASAFIFSRLYFREDYPLPIGIHIETTHVPATLSWNSTYCGDAGCELGPGPINFSSKDSCGNVTFQYPFEIIHQHYPNGWFRVICNKTSNGRKMPFLNINGINLEILHFSFLYGNVVVNHSITYFNCRQNNNDGMSLNLTGTPFYYSDFDNVFWSSGCGNLVTVFDNEKGNLVEE >KJB74400 pep chromosome:Graimondii2_0_v6:11:62348128:62351046:-1 gene:B456_011G292300 transcript:KJB74400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLIIPNSPIFSPKKVPSIFCKSDGSSFSSSPRTNGSQQQPPSSPLSLSLSSPKSSLPISSTPQSPSSPLSICVPKKQLLFGTDVAPVKRKRPGRIEIPTSMAVQLGFAAETPRGEEEVQVEEEGYSVYCKRGRRGKMEDRYSAAVDLNGDPKQAFFGVYDGHGDSKAAEFVAKNLDKKVKEEVSKTGEDAIDDAIRDAYLITDMDFLKEDVGGGTCCVTAMIHKGDLFVSNAGDCRAVLSRNGVAEALTNDHHPSREDERDRIEALGGYVDCCHGVWRIQGSLAVSRAIGDKHLKQWVIAEPETKMLKIKPECEFLILASDGLWNKVTNQEAVDLVRPFCVGLDKPKPFSACKKLAELSSWRGSFDDISVMVVQLQHFLF >KJB73400 pep chromosome:Graimondii2_0_v6:11:54710824:54711586:-1 gene:B456_011G231400 transcript:KJB73400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVNANGEHVNELLQAQAHVLNHILNFINSMSLKCAIHLGIPYIIQNHGKPMAITDLDAALPMLNPTKDYTRHDQKLDSLFNEGMVSNDRLVNSILIDKCKKVFEGLNSLVDVGGGTRTLSKAIVDALPHLECIALDLPHVVANCWNFWQENKRQRKKKEPKQK >KJB70506 pep chromosome:Graimondii2_0_v6:11:7476502:7476744:-1 gene:B456_011G076400 transcript:KJB70506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTHTLYTLFRDFEAVYPYRTKNYILKFDINYFHLKLIGAARTQAPTATNYDVGSPSWGDLKAAPLPSAMEVTALGHESS >KJB73127 pep chromosome:Graimondii2_0_v6:11:52137689:52138940:-1 gene:B456_011G216500 transcript:KJB73127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLNAEADRKLAVEYEKNAIIVKVDTNEEHQFAQDMHVRGLPTLFFISPDPNKEAIRNERLIPIQMICDILDNEM >KJB73224 pep chromosome:Graimondii2_0_v6:11:53370827:53371954:-1 gene:B456_011G223500 transcript:KJB73224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILLRNLLSLNQKRFLHSHPTLSSISKPPSSSSALPLSKRLIIPRDAAPESLSLFKNLESYGFNTTQIATLVEKCPEIVHSRFNSKFKPRLEYLIEKGIKGKLLPEFILSNPSILSRSLGAQIKPTLEFLSQFLKADEIPVAIRRSSSWLLTLNLNSIVRPNVELMISEGVAASRISRLLVLQPRVILQSHARMVYAIKTIKEIGLEPAEPRFIHALRVICSVSNANWKKKVEAFMSLGWSKEEISITLRKDPLCLACSERKLRFLMDFYVNTMKLDAQTIKSYPKLLLHSADKRIVPRYKVLKVLESMKLIKEDKKIVWIMTWSEHKFLEQYITKNKDKIPGLLDLYQQAKKRKTSGDKSETIDPVSASLTL >KJB73399 pep chromosome:Graimondii2_0_v6:11:54701798:54703315:1 gene:B456_011G231300 transcript:KJB73399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMGNANGEDAIEALQAQAHIWRHAFNFVSFMSLKCALDLGILDIIHDHGKPMTITELVAALQMLNPAKACDIYRLMRILVHSAFFARQKLDTDAQEEGYVLTNSSRILLKNNPFCVTPTLKATMDPIITKPWSFLGTWFQNDDHTPFATAYGKTLWDYFTHDPQLKDLINDGLASDSQLVTSVLVDKCKGAFEGLDSLVDVGGGTGTTAKAIADTFPLMECTVFDLPNIVAGLQESKNLKYVGGNMFEAFPTGDAILLKKVLHDWNDEGCLTILKRCKEAISSQDKVGRKLIIIDMVVRENEQVNDEASSLTKTQLFFDMLMLVLVAGKERREEEWAKLFLAAGFSSFKITPIVGLTSLIEVYP >KJB73096 pep chromosome:Graimondii2_0_v6:11:51505796:51507435:-1 gene:B456_011G214500 transcript:KJB73096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGPQTPASRASATLSPGLWYRWSRVAMVGLLLMLQLYFVASHRNVHVPQPSASPPLRHKHSPQAAIKPLIDEFLDENSPLRHLFFPDIKSAIHPANGSHYYRPGHIWLDTQGNPIQAHGGGVLYDETSHTYYWYGEYRQVGVIGVGCYSSKDLWTWQNQGIVLSAQQINNETHKSNMLERPKVIYNDKTGKYVMWMHVDDVHYRKAAVGIAISDRPTGPFQYLGSRRPHGFDSRDMTIFKDDNGVAYIIYSSKMNTELHIGPLTEDYLDVQPNMRRILVGQRREAPAVFKYQGTYYMITSACTGWAPNEAKVDAAESIMGPWETIGDPCIGGKEMFRLTTFLSQSTFVLPLLGLPGSYIFMADRWNPAELNDSRYVWLPLAIGGRSLESNSSGSQSPWPRVSIHWHKKWRLPLSWRL >KJB71390 pep chromosome:Graimondii2_0_v6:11:16581033:16584641:1 gene:B456_011G120400 transcript:KJB71390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVLAVLFKDREDESLPDRDQDLKPQFHTSRFHGSEDAEDDDDDSFNVWNLRKCSAAALDVLLNVFGNEILPTLMPIIKAKLAATGDEAWKDREAAVLALGAVGEGCINGLYPHLSEIVVFLTRGVGYLC >KJB73756 pep chromosome:Graimondii2_0_v6:11:57506047:57507336:1 gene:B456_011G249100 transcript:KJB73756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLMKICLLFLCSFISYFVNMFFQKRNQHCYMLGYECFKAAGDRKLDTDACVRVVMRNKKLGLDQYRFLLKTIVSSGLGEETYGPRNVVDGREEFPSENDAHAEMDEIMFSTLDNLFEKTGVYPSEIDILVINISLFSPAPSLTSRIINRYKMVAIDLVKQLFKTYKNQFAIVVSTESIARHCIIKAVTKAFTENSKLLLPKILPVSELLRYAISTLGKKSTEVSFDLNLKSEVDYFCFHPGGKALIDRLGKSLGLSEYDLEPTRMTLYRFGNTSASGLWYILSYMEAKKRLKKGDKILVEVMKDGLEDTRVWEDCLMSTLEKTWSNIPSLRSIVGPMMSA >KJB69931 pep chromosome:Graimondii2_0_v6:11:3947933:3948934:1 gene:B456_011G050500 transcript:KJB69931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPDCKESNVSLELSLSSWSSVTLGKQEIHYDKLQSRIGKSSGVFLSEPSYTTSKISLELSISVDNMSTKTDERKQAICYKESNDQYTRKLDATDADISLELSLSMNKPQRVEVGERNNHYKLNINEALTLATTSDNFTSNADSSLEWSLSMNKPPGFEVGERNNHHKLKINEAITLATTSDKFTSKKRRTMGKLTNRNKRNEVEIPTQVRHHDDPWCIKKKLYTSDLGNMSRLILPSELVESHILSHWNADQLAQIEEGLPVLIWDCDTRTEHEMKFKRWKNGANVLIKNWITQFVKRRELKQGDEIGIFWDTTNSRFKFSVLNRAPMLYQ >KJB70275 pep chromosome:Graimondii2_0_v6:11:5604057:5605533:1 gene:B456_011G066300 transcript:KJB70275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYGTIPASTLPSANLEYLSRAKERIKDGLGARHPWKLMFNIHSLNCPRTFSEAISRFRANLAYFRMNYAIIVLLILFLSLLWHPISLVVLIIMMALWLFLYFLRDEPLAIFNRTIDDRVVLVVLGVSTFVFLLLTDATSNILVSVMIGAVVVLVHASLRRTDDLYDEESTGLVSGPSSSS >KJB73741 pep chromosome:Graimondii2_0_v6:11:57360558:57361418:-1 gene:B456_011G247500 transcript:KJB73741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPTYTNFYRCTSSYPRDRCHNMANGPKSRCPCCTSVMDSNFTFANLPNKVGGGFVKETVTYMITDDLVVGPLPTKSIITLLNKFNVKDVVKEKVIVVGINKVKEYFLVP >KJB70357 pep chromosome:Graimondii2_0_v6:11:6113143:6116056:1 gene:B456_011G068700 transcript:KJB70357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIVSAVFERLTSISLQVAENGVRLVVGVNEEVKKIGTTLCTIRAVLEDAEKRQVKEQAVKFWLDRLQNVAYDIEDLLDEWSTAVIRSKIEEPQSSFRLSSLQPFANSIPRLVGRRGIATKIKELSESLQVIAKEKDDFAFIVNLSRNDDIEPERPKTTCFVDASEIRGRDQDKNTIISMLLSENGQERGIPIISILGMGGIGKTTLAQIVYNDREVNAYFQKKLWVCVSDPFDEMRIAKAILEALTGIPSGLGELNTVLVKIHESIEGKKFLLVLDDVWTEDERKWQSLKCCLKSGASPGSKILVTTRKENVATVMGCTRLFHLGKLSEEECWSLFSQVAFSGWNHGARQILADIGKKIADKCNGLPLAAKTLGGLLRLKKSREQWQRILDSNMWELDEAETGLFSPLLLSYYDLPAPLRQCFSYCAIFPKGCKIEKDLLIRLWMAQGFLGDGNGMEIVGEEYFDNLAMRSFFQEFETDENDDGIIRCKMHDIVHDFAQLLRKRECYVVASNGIEEQRAEWYHENARHLRVILDNEQAVIPSLLYNAKKLRSLLVDSCPSNSSTLNVSLPRLFDELTCLRMLDLSNKFRRQTSITELPCQIGKLIHLRYLSLEGNKGLKLCSSIQGFKVPINLRHLQNAETYGCTSMTFGLKRSTLLQTLEEFVVGNLFGGDLRKIFIGREVGRSNLGYLGNFAHLRGNLKIIGLGDVSRRSEAEEAGLWKKTGLRNLTLSFNFKYKGNRVLEGAYAHESSVLEALQPPPYLESLNINRMNGPTAFPSWMVSLTMLKRVRLWACFNWKTLPPMWKLPVLEHLEIWEMKNVKEVGQEFMGAETEKGQASSSSSSSVNNNIAFPCLKHLKFQSMDGWQEWYYGHLLTTMPLLCSLKINSCLKLKSLPRHLPQKTTLQVLDIFNCPILTERYLRNGTGEDWHYISHIPTITIDGEDVKRDGN >KJB74232 pep chromosome:Graimondii2_0_v6:11:61302532:61303731:1 gene:B456_011G281100 transcript:KJB74232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPRFELPEALVMGILSKLPVKSLTRFNCVCKYWCSSFQTPHFISNNYHNNLENNNLNLLLSRCDGNTFQLYYSQLSNEKDQNYIVKQNIHLPFFKNDLPSVYGACHGLLCLLDPSKDKAAIWNPSTREFKILPPSSIQRPPYFSPFEETYLTLDDISFDDAAFGFDSKTDDYKVIRFVTLTFVNSEEEYSHPHFMYQVELYSLRSNSWKEIPSPDDKPTETNLGNNYVDGICYWKTETGAYLDFRGLILSFDMGKEKFSILPIPEFVGSFPEYYVDLLVFNGSLGAIVYPTERIDTSFDLWVTSEGVWTKQFNIKSISGVVHPLGFGKNGDLFLRDTNDEVLLFDASTQELKELEINTYLDHFRFDISLHVYLESLVRINGIKEIEKHVIRQPARDA >KJB72875 pep chromosome:Graimondii2_0_v6:11:57441302:57442266:1 gene:B456_011G248200 transcript:KJB72875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYGNDPTSTCPSCSHAMNIPATVVNPPNKVSTSSTAAIEGGYIKGVVAYTIMDDSTVTAISTISSITMLNKFNVQQVDALEEKVVDVGINEGVEILKASLQSNTVLTTVFLNQKAWKTGIKSPISVDFKEFMDSSTLLQLVLFLIYY >KJB73876 pep chromosome:Graimondii2_0_v6:11:58764477:58766168:-1 gene:B456_011G257900 transcript:KJB73876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLDRQNDGEHGHDLKLENREGLFICNGCKELGFGNCYKCPRVRFCNYVLHVGCISEGRTPLSNPLFKNCKFQFYQKNPLTVAPACRICALDIQGRMYHCSKRKYSLHPYCATLHTTITLPGSDMKIKLRRGTKFNFFESKCLKCDRKNRSSNDVQCLSYVSSDGNLCYHVACMKEACRDNWIKGYFRPGSETNEQSKFLLLKNLAPKVELSRVGRTSEVLLIRFLKLVVFAILGEPFDLIAPLFQPSRS >KJB71803 pep chromosome:Graimondii2_0_v6:11:30704747:30705387:-1 gene:B456_011G162700 transcript:KJB71803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRSSYVLFLVSVFIFSVAGRLGLGKRIATVHVTNSMPRELQPIQLGCNSRYKNHGMHQLHVGEDYQFGVEEKALHFCEAISGRQIASWHAYQPRRDWNHKAVFWRVKENGFFLSWDNSSWVRKSIWQTE >KJB71097 pep chromosome:Graimondii2_0_v6:11:12344704:12346085:1 gene:B456_011G106000 transcript:KJB71097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVRGETIGSGSFGTVNLVLPKTGFSGSSFMAVKCCETNNSASLKNEKQVLHQLGFCPQIISCFGDDFTVENCGKFYNLFLEYADKGSLADHLQQNGGKLMESDVKRFARSILKGLNFIHSKGFVHCDIKLQNVLLFGNGDVKIADFGLAKRKGEKQRRHEIRGTPLSMAPESINGNVYDSGVDIWALGCAVVEMFTGKPAWNLKPGANMADLLIKIGASDELPGIPRELSGEGKDFLVKCFSRDPNKRWTAEMLLQHPFMAGDDEPVPSTSSRGCVEEIAITPRCTLDFPDGVWSESILGENSSMFSSALDRIRELACDEAPNWGFSGSWMRLR >KJB70283 pep chromosome:Graimondii2_0_v6:11:5743171:5748486:1 gene:B456_011G0667002 transcript:KJB70283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRTLLGGFTLICEGKLDFGSFCIQRTIIDVINLVFLFVFYLLLFVCSVRKLQRSVVNKRDRISIVVSICCALISILYLSVGLWNLIARNGEFNGLSWLIEPVRGLIWISLSVSLLVHTSQPVKIFISAWWVSFALLVSVLHIEILFRAHRIEIFDVLPWPVNILMLFCAFRNFIDLTKEHESLSEPLLEEKEETYQIEVCEANFFSKLSFSWINPLLSRGYLRPLALEDIPSISAEDESSLAYQKFANAWETLIREKSSIDRRNLVLRALTKVYFKENIIIAVCAILRTISVVALPLLLYAFVNYSNQEEENLQKGLVLLGFLIVSKVVESLSQRYWYYASRRCGMRMRSALMVAIYQKQLKLSSLGRRRHSTGEIVNYIAVDAYRMGEFPWWFHSTWSLVLQLFMSMGVLFSVIGLGAIPGIVPLVICGFLNMPFAKIIQKCQSEVMISQDERLRAISEILNSMKIIKLQSWEDKFKSLIESLRGKEFKWLSKQQFLRPYGTVLYWISPTIVSSVVFLGCALFGSAPLNAGTIFTVLATLRSMAEPVRMLPEALSILIQVKVSFDRIYTFLLDDELRNDEVRRFPLQNSDKSVTVEAGNFSWVPEIAIPTLRNVELEIKRGQKIAVCGPVGAGKSSILYAMLGEIPKLSGMVSVFGSIAYVSQVSWIQSGTIRDNILYGKPMDADKYDKAINACALDKDINSFDHGDLTEIGQRGINMSGGQKQRIQLARAIYNDADIYLLDDPFSAVDAHTASVLFNDCVMTALAKKTVVLVTHQVEFLSEVDRILVMDGGQITQSGSYEELLMAGTAFEQLVNAHRDSITALGSLNGQGEGESRGIAPVMFNGCSPTKQNSEGEISVKGPPGIQLTQDEEVEIGDVGWKPFMDYVSISKGSVYLSLSILTQLTFVVLQATSTYWLAFAIQIPNMTDSMLIGVYTGVATLSAVFVFFRSLYAAHLGLKASKAFHSGLTNAIFKAPMPFFDSTPVGRILTRASSDMSILDFDIPFAFVFVAAGVTEVLATIGIMAFITWQVLIVAILAMVGVKYIQGYYMSSARELIRINGTTKAPVMNYAAETSLGVVTIRAFNMVDRFFRNNLKLVDTDATLFFLSNAAMEWLVLRIETLQNLTLFTAAFFLLLLPKNQVTPGLVGLSLSYALSLTGTQIFASRWYCSLWNYMISVERIKQFMHIPEEPPAIIEDNRPPSSWPSKGRIELQELK >KJB71149 pep chromosome:Graimondii2_0_v6:11:12693734:12698921:-1 gene:B456_011G108300 transcript:KJB71149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKMVVSYSTTRLPLISTARSELLSTRRGFQTGLLKLRTGLNFRTQCLKPEPWSKRSYSVKRNVVNEEDSMEETYNPVDDKQFVRWFREAWPYLWAHRGGTFVVIISGEIVASPFLDAILKDIAFLHHLGIRFVIVPGTDVQIDKLLTERGHEPKYYGRYRITDSESLAAATEAAGGIRLMMEAKLSPGPSICNIRRHGDSSRLHEVGVSVASGNFLAAKRRGVVEGVDYGATGEVKKVDVARMRERLDGGCIVILSNLGYSSSGEVLNCNTYEVATACALAIGADKLICIIDGPILDENGCHISFLPVQEADMLIRQRAEQSEIAAKYVKAFAEEDLTCLGHNDFIAAAHSSQNRQTLNGAHNPTFQNGVGFDNSNGLSGEQGFAIGGQERLSRLNGYLSELAAAAFVCRGGVQRVHLLDGTIGGVLLLELFKRDGMGTMVASDLYEGARMAKVTDLAGIKQIIKPLEESGTLVRRTDDELLKAIDSFAVMEREGQIIACAALFPFFKEKCGEVACIAVSPECRGQGQGDKLLDYIEKKASSLRLDRLFLLTTRTADWFVRRGFTECSIDMIPDERRKKINLSRKSKYYVKKLVADGSGITADRAFK >KJB71150 pep chromosome:Graimondii2_0_v6:11:12694342:12698728:-1 gene:B456_011G108300 transcript:KJB71150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSYSTTRLPLISTARSELLSTRRGFQTGLLKLRTGLNFRTQCLKPEPWSKRSYSVKRNVVNEEDSMEETYNPVDDKQFVRWFREAWPYLWAHRGGTFVVIISGEIVASPFLDAILKDIAFLHHLGIRFVIVPGTDVQIDKLLTERGHEPKYYGRYRITDSESLAAATEAAGGIRLMMEAKLSPGPSICNIRRHGDSSRLHEVGVSVASGNFLAAKRRGVVEGVDYGATGEVKKVDVARMRERLDGGCIVILSNLGYSSSGEVLNCNTYEVATACALAIGADKLICIIDGPILDENGCHISFLPVQEADMLIRQRAEQSEIAAKYVKAFAEEDLTCLGHNDFIAAAHSSQNRQTLNGAHNPTFQNGVGFDNSNGLSGEQGFAIGGQERLSRLNGYLSELAAAAFVCRGGVQRVHLLDGTIGGVLLLELFKRDGMGTMVASDLYEGARMAKVTDLAGIKQIIKPLEESGTLVRRTDDELLKAIDSFAVMEREGQIIACAALFPFFKEKCGEVACIAVSPECRGQGQGDKLLGWIAYKRFAD >KJB69213 pep chromosome:Graimondii2_0_v6:11:780944:781344:-1 gene:B456_011G011000 transcript:KJB69213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CFRVPKFDKWENGNTSYSPYFKRINPNDSMENPMASVHIKGESRDSSKLDSASKRNGDGKGTWSNRIGAYDHHKSTTSASSHRRMALESDSEKSNPDYSVVTSSHRRLYSSQKKAHVGRSNSSSSSVGTIYTD >KJB71321 pep chromosome:Graimondii2_0_v6:11:15334714:15335775:-1 gene:B456_011G116700 transcript:KJB71321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVYMFLLISLILTSLTNGDAGLIQTTCKTTKYADLCVSILHSDPTSLNSDTKGLALILIRVATANATATSTFLSSQLLTTTNDTILKTVLKECSHMYAFSGDALRASVQDFASESYDYAYMHVMAAADYPNACRNAFRRYPKLIYPQAIASREDELKHICDVVKNLGAFQ >KJB72551 pep chromosome:Graimondii2_0_v6:11:43855155:43856741:-1 gene:B456_011G184500 transcript:KJB72551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRAGYCIFILLVSLTLFGIVDVCNAGKLSYKFYKRTCPRAEQIVKEIIQNRTQSSPSLGARLIRMQFHDCFVRGCDASVLLDTVNNSTAEKEAIPNSSLSGFDVIDDVKTAIERVCPKVVSCADILALAARDAVSAPFSKPLWDVQLGRRDGMVSLATETNGNLPSPFANFTSLIQLFNRKGLDVNDLVVLSGAHTIGVAHCATFSSRLYNFTGKGDADPSLDPTYAEALRKQCPNPASPTITVEMDPTSSLSFDNHYYDILLQKKGLFGSDAALLTNRNSRKIVTRLQRSRSSFFPAFAKSVKKMGAIEVLTGNAGEVRQNCRVVNP >KJB72363 pep chromosome:Graimondii2_0_v6:11:39346543:39348101:-1 gene:B456_011G173900 transcript:KJB72363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPCCDKMGLKKGPWTHEEDQILISYIQKHGHENWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSLEEEETIIQLHEMLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRLKQYQTKPDNTKKNLKSKTKIKSEPSTTSHSESDEVPSSSSEVVSSIIDGSDHREDNNMDTWECLVEIDESFWSDALSSDESQVPSLPTDNIMEPNYTFGENLDDSMEFWYDLFIKAGGSEQGFITQF >KJB69384 pep chromosome:Graimondii2_0_v6:11:1453863:1454233:1 gene:B456_011G020700 transcript:KJB69384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQLVVLALVLIVFAGVVFADASSAGGSPASAPAGASTGGTDASSSPTSQPTTPSLSGDIPIDDP >KJB70079 pep chromosome:Graimondii2_0_v6:11:4500795:4501702:1 gene:B456_011G056900 transcript:KJB70079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVGKLTKLKSAIKRWPSLTKLTRTSSAIASASDSEDQKRSIPTGLHAVYVGKSRRRYLVRSEIICHPLFQELIDRSGNESEVIVSCEVVLFEHLLWMLENDGAQLGSMEELVEFYTC >KJB74100 pep chromosome:Graimondii2_0_v6:11:60437574:60441631:1 gene:B456_011G272400 transcript:KJB74100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQASLVQWLKLITFACTLLAVSFRGAASDYRKVHIVYMGDLPKGEFSAVTLHNNMLEQVVGSGASELLLHSYRRSFNGFAAKLTNEEAEKLADMEGVVSVFQSQKKELHTARSWDFVGFFEHSRRTVLESDIIVAVLDTGIWPESESFDDKEFGPPPKKWKGNCQKSSNFTCNNKIIGARYYRAKGDYPLEDLQSPRDSVGHGSHTASIAAGAVVSKESLYGFRAGTVRGGVPSARIAVYKICWYDGCYDEDILAAFDDAIADGVDIISLSVGGFFASEYFSDSIAIGAFHSMKNGILTSNSAGNDGPYYASVVNISPWSLSVGASTIDKKFQTLVKLGNGKVFKGTSINTFDLKGKFYPLIWGSLNETLVKGKIVLCDYLDFMEGPLQAGAVGALIRDDGFKDFAYTFMLPASVLGLTDGSDILHYINTTKKAEAAILRSTEEKDELAPYVASFSSRGPNILSLDILKPDITAPGVDILAAWSEATTVTGVINDKRIVPYNIISGTSMSCPHATAVAAYVKSFHPTWSPSAIKSALTTTGTNTIFAVGESYIWLLTNIFLCFATAWPMSPKTNTDLEFAYGSGLINPSSAIDPGLVYDAGEIDYIKFLCGQGYSSKQLRLVTGDNKTGCTKATNGSALDLNYPTFALAVSLSGDDTYFSRDFHRTVTNVGSPVSTYNAIVNAPKELDIKVKPNVLSFKSIGEKKSFVVTVAVKVGLPTVSGTLVWDDGVHKVRSPVVAF >KJB70072 pep chromosome:Graimondii2_0_v6:11:10586298:10586801:-1 gene:B456_011G096200 transcript:KJB70072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRPFVDYCIWGWDNLPRKVLMYYTNFLSSPEGYFHTVICNAKAFSNTTVNNDLHFILWDNPPKQHPRRLTLSHMQRMLNSNAPFARKFHQNSRVLDKIDTDLLSRGKEMFTPGGWCVGSGENGTDPCSVVGTPTVLRPGPGAKRLQTLINSLLSNDNFRLRQCK >KJB72879 pep chromosome:Graimondii2_0_v6:11:48969888:48970773:1 gene:B456_011G202100 transcript:KJB72879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIISLFILEAVFCFTFFTVSYSDINLIQTTCKKTPFYDLCVLTLKSDPRSSTADVSGLARIVADSVKAKAIATLNQISALLKSVKDPTLEKALEGCIVSYNTIIQADIPVAIDAIEKNNPKFAVQSATDAGNEAQACENSFAEKPSNSPIFSGNKAVHDLSVVLQSIASLLL >KJB74090 pep chromosome:Graimondii2_0_v6:11:60368297:60369429:-1 gene:B456_011G271400 transcript:KJB74090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVLRWLRELRDHADSNIVIMMAGNKSDLNHLRAVSQEDAQGLAEREGLAFLETSALEAINIEKAFQTILLDIYQIISKKALAAQQAASSVPQGTTINVNNMSDSGTKGACCSS >KJB74251 pep chromosome:Graimondii2_0_v6:11:61557491:61558160:-1 gene:B456_011G282900 transcript:KJB74251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWQFWVLSRYGQSYSLVPVTLALLILFLISYANHGCRLKLRSSFSFSNPTCHELVAFDILVSQSSVAG >KJB69166 pep chromosome:Graimondii2_0_v6:11:653928:656743:1 gene:B456_011G008800 transcript:KJB69166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRYRSYDSRSSTSSHFSDPSSSVDLNSSASSRRPKSSSSSRAIVKSKPLDAAQSSRSRNQAADLHFTTMVKKFMDKKLANKTTGQLMIPSDVVAEGLKKTARKGTAFTALQRKLFGKGSSAKDNKKEVKGLTEVKGNTRTLAMVLRSERELLNANKELEMEVSEFKLLLQGKNREVEKLKDLCLKQREEIKSLKNAILFPDAMNCRLQDLVEKQGSELAQAKQLIPTLQRQVTSLTGQLQCLAQDLAQVKAEKYSSKACNQQHGSDDGDELFDSLEFSSGNPTTPGSPDDLFLEDLNPCLTPYYAKSKPKEFDEIRYDSSHNETLSDEKWQAFN >KJB73779 pep chromosome:Graimondii2_0_v6:11:57887669:57888007:-1 gene:B456_011G251200 transcript:KJB73779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLISAASVITAGSAVELASIGPGGGQGTAAGQSVEGIARQPEAEEKIQGTLLLSLAFMEALTIYALVVPLALLFVNPFI >KJB69142 pep chromosome:Graimondii2_0_v6:11:580380:582123:1 gene:B456_011G007800 transcript:KJB69142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISMAISLLFSLLFLNISISSAASNPTYQSLLQCLSQSINPSQNVSTILFSNTNPSYASVLQAYIRNARFNTSSTPKPVIIITPLEESHVSAAVICSQKVGFQLKIRSGGHDYEGLSYVSDEPFFVLDMFNLRSISIDMTDESAWVETGATLGELYYNIWEKSNVHGFPAGLCPTVGVGGHLSGAGYGTLMRKYGLSSDYIVDAKIVNVDGKILDRKAMGEDLFWAIRGGGAASFGVVLAYKVKLVRVPETVTVFRLERLLADNATDIALKWQSIAPTTDENLFTRMLLQPVTRNRQRTMRVTVNGLYLGNADGVVALLSKDFPELGLKNENCTEMRWIDSVLWWANFDAGTPPTALLDRNVNDADFLKRKSDYVQTPISKNGLESLWQKMVELGNVGLACNAYGGRMDEIDDKETPFPHRKGNLYKIQYSVNWNEPGNETEMNRTSQAKALHEFMTQFVSKNPRRAYLNYRDIDIGVAENWSYEEGKVYGESYFAGNYERLVDVKTAVDPNNFFRNEQSIPPRTK >KJB72197 pep chromosome:Graimondii2_0_v6:11:32101015:32104012:-1 gene:B456_011G164600 transcript:KJB72197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKPHQQVFFSWFLILFLFHGSQTQPSTTGYTCTPNQTTYPCQTYVFYRATAPNFLDLASIGDLFHVSRLMISQPSNISSPSSLLIPYQSLFVPITCSCNSVTATFGSLSAANLTYTIKGGDTFYLVSTRNFQNLTTYQSVEVFNPSSVPTRLKIGGEIVFPIFCKCPNETQAKTGVNYLVSYVFQPYDNLSLVASRFGVRTHDINNMNGNKIHPFDTIFIPVNKLPVLPQPKAPSAASPGNAEKKRAIVGLAIGLGICGVLLIFFFAVLLYRDVFSKKRDMERPEDNQKIQFNRPGMLMKGNEVNLMADVSDCLDMYRVFNIEELREATDCFNESCLIQGSVYKGSINGGTYAIKKMKWNACEELKILQKVNHGNLVKLEGFCIDPEDTTCYLVYEFIENGCLYSCLHQNNNGKLNWKTRLRIAVDVANGLQYIHEHTRPKVVHKDIKSGNILLDSNMRAKIANFGLAKSGCNAITMHIVGTQGYIAPEYVADGVVSTKMDVFSFGVVLLELVSGREAIGEGGKLLWGSVNGVLDGSEERKVKKVKEFMDGGLEGGGCWMESVMNVMGIAIACVNKDPRRRPRMVDVVYALSMTHDLFFHVSEDGLSPPLFPR >KJB73518 pep chromosome:Graimondii2_0_v6:11:55821090:55825415:-1 gene:B456_011G237100 transcript:KJB73518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSSLHHISTTLSLLGLAKPSLLAPPFRRPFRGLPPITSSSLEFNITFAPPSPKPKPPPNLKSDGVLDSDSPQNGQFFIPWIVRGEDGNLKLQAHPPDHFMKALAEAKTQKPKKKVDKAAKKKKEISAVGNAGIEPPAPPPKLSKAARRFYNEHFREPPQRLSKVLAAAGVASRRGSEELIFNGKVTVNGTVCNAPQTRVDPGKDIIYVNGNRLPKKLPPKVYLALNKPKGYICSSGEKEFRSVLDLFEDYLKAWDKINPGSPKPRLFTVGRLDVATTGLIIVTNDGDFAQKLSHPSSNLTKEYIATIDGEVRKRHLIAISEGTEIEGVLCVPDSVELLPTQPDLSRPRIRIVVHEGRNHEVRELVKNAGLEIHSLKRVRIGGFRLPADLGIGKHIELKQSDLRTMGWKS >KJB68772 pep chromosome:Graimondii2_0_v6:11:7486563:7486960:1 gene:B456_011G076500 transcript:KJB68772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSIKIVGFYPEGNPSRNSQRPGQNPGLRGGLEIVFNQQ >KJB74356 pep chromosome:Graimondii2_0_v6:11:62138042:62140662:1 gene:B456_011G290000 transcript:KJB74356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFNTILFFLICSTMLCWVTGEVQRHQFVIQATPVKRLCNTHMAITVNGMLPGPTLEVKNGDTLEIEVVNKAKYNATIHWHGVRQMRTGWADGPEFVTQCPIRPGGSYTYRFTIEGQEGTLWWHAHSSWLRATVYGALIIRPREGESYPFPKPKRETAIVLGEWWDANPIDVVRQATMTGAAPNNSDAYTINGQPGDLYKCSSKDTTIVPIDVGETNLLRVINAALNQPLFFTVANHKLTVVGADASYLKPFATSVIMLGPGQTTDVLIQGNQLPARYYMAARAYQSAQNAPFDNTTTTAILEYKSAPCPAKKGINGPKPIMPSLPAYNDTNTVTAFSQSFRSPRKVEVPTEIDESLFFTVGLGLNNCPPNFPSSRCQGPNGTRFTASMNNVSFVLPQSISLLQAHQHGIPGVFTTDFPGTPPLKFDYTGNVSRSLYQPVPGTKLYKLKYGSKVQIVIQDTSIVTPENHPIHLHGYDFYIVAEGFGNFDPKTDTSKFNLVDPPQRNTVGVPVNGWAAIRFVADNPGVWIMHCHLDVHITWGLAMAFVVENGLGELQSLQAPPPDLPIC >KJB73161 pep chromosome:Graimondii2_0_v6:11:52624428:52625231:1 gene:B456_011G218800 transcript:KJB73161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETSSPGIGLLVEKVMAQMEVAAEEDIELNIQNKPAIRKIQMLPLLTDFLSKKKMQQEFLDHGILTLLKSWLDPLPDGSLPNATLRSFILIILTQVMPVDISLEDGREQLKKSGLGKVIMFLSKSDEETTANRKLAKHLVQNWCRTIFNKTTSYCNLRNSVIPRTKKPLMKQSTRVELREADLDLEGPRRPCSSGTASGSVSVPEPAPCVYEVNPLTNFKPEVARRYRRSREVRESECFGRIEKKMSGLKKSNKKKTLQAPKPAVL >KJB73332 pep chromosome:Graimondii2_0_v6:11:54141130:54143603:1 gene:B456_011G228400 transcript:KJB73332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSQANRNPQAESKKTTIPPYMKAISGSFGGIVEACCLQPIDVIKTRLQLDRMGNYKGIVHCGATVSSTEGVRALWKGLTPFATHLTLKYALRMGSNAMLQSAFKDSDTGTLSNKARFLSGFGAGVLEALVIVTPFEVVKIRLQQQRGLSRELLKYKGPIHCAHTIIREEGLFGLWAGAAPTVMRNGTNQAAMFTAKNAFDVVLWKKHEGDGKVLQPWQSMISGFLAGTAGPVCTGPFDVVKTRLMAQSRDGGEVKYKGMVHAIRTIYAEEGLRALWKGLLPRLMRIPPGQAIMWAVADQIIGLYERRYFHSAAL >KJB74192 pep chromosome:Graimondii2_0_v6:11:61054329:61057010:-1 gene:B456_011G278400 transcript:KJB74192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSLPKQPQGVIIAIDVVDEGTEQQEGNLTGNEEQEDSSRHGSSSSLELDLESGVVSETKSHLAKELERDCRICHLTLDPTNLESGVPIKLGCSCKDDLAAAHKHCAEAWFKIKGNRTCEICGSTAQNVVDAANETDTMEPWNEPNDSATTAATTAPVSIHGAETRNFWQGHRFLNFLLACMVFAFVISWLFRFNVPS >KJB69289 pep chromosome:Graimondii2_0_v6:11:1305262:1306877:-1 gene:B456_011G018900 transcript:KJB69289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNYGFLICLLIMALDITAGVLGIEAEIAENKVKHLRMWIFECRDPSLQAYKLGLAASVLLGLAHVTGNLLGGCVCIWTKRDLDEASANKQLAVASLIFSWIILAVGFTMLIIGTLYNSKSRKTCGITHHRLFSIGGILCFIHGLFTVAYYVSATAAGREDKANGSRATTA >KJB69461 pep chromosome:Graimondii2_0_v6:11:1796243:1799766:-1 gene:B456_011G024600 transcript:KJB69461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEESYLYGWPPVGSPLNVGREEQWRHFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPNNSSTTAGPNHGDLELGFNGKLSHPSPKMTVYTSGVSVLMPGDEIPTFIAHPAPAPVPCPPERPSLPQHQHDLTANPDYHNH >KJB74245 pep chromosome:Graimondii2_0_v6:11:61484727:61488545:1 gene:B456_011G282500 transcript:KJB74245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PETEYIKDIVEYVIKKLMSSKFRSASAELIGIDYQKKTILRLIEKEDSRLIGLWGQGGIGKTTLSDVIYNEISCKFEDSCFLLNVREKLKKQGMESLRNELLSKLLKQAIHVDTPSIGSTLIQERLSNKRVLVVLDDVNDSDQIDCFGVKHFGDGSKIIVTSRDRQVLKNGGVDKIHEVKMLNKDDSLQLFSTFAFKLLNPAADFRDLSIKFVEYARGSPLALRVLGSKLYKKSRKEWESEVDKLKEYDQPKISQILRSSFDDLDEVEKNIFLDIAIFFKGTFKKDVEEILSCYYKGAVCGISNLIDKCLLGSTSYDGRIVMHDMLQEMGKNIVRKESIDPGKRSRLWSAKDVYQVLSYNKGINLIQGIKLDMSQIDNLRLDRSIFEGMINLRVIFFYTPGMFPGFNPKNLVVLKLVNGHIEHLWDDDDHQNLVNLREIEVGGCKKLRRIPSLVGAINLEILHCRWCESLVELPCLSHLASLKKLGLTGCDNLKTFPEIPKHFPILELDRTKLEEVPASIEHLVGLRKLCLKNSNVNIVSRNISKLESLCDMDLSHCPMVEFPEIPRSLINLNLSKTQIEEVSLSLDSRLSSHLASLKKLGLTGCDNLKTFPEIPKHFPILELDRTKLEEVPASIEHLVGLRKLCLKNSNVNIVSRNVSKLESLCDMDLSHCPMVEFPEIPRSLINLNLSKTQIEEVCLSLDTPSNLQSLNMSGSKVKIVSIKMNPFVI >KJB69990 pep chromosome:Graimondii2_0_v6:11:4110915:4111436:-1 gene:B456_011G052500 transcript:KJB69990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPRAPSSWKGLLLFLVVVLFSCNQSINAQTNATATTHPSEGTLLFLSLPSYFQNHAAKLAYTVHQLAVAHKFRVYFFRYVNFLYIIQLMYIYY >KJB73048 pep chromosome:Graimondii2_0_v6:11:51079207:51080541:1 gene:B456_011G211800 transcript:KJB73048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRIFRCWTFAKEDCWSLFVKHALGDTDPDEESNLKRIDKVTVKKCNGLPLAIKTLASMLHSEDLPYHKSDILPALRLSYHYLPSQLKHCFAYCSIFLKGHKFEKGDLIRMWVTEDLVQQLNSRRRMEDAGEQYFHELLSRSIFQQSHLDQTRFKMHDFFKDDSALQNPARVRHLPCIWRLSDTLDKFLAYYGKIKVLRTFYLDHQVRAILVSTLESLKNLFPSPSHLHVSSLSSCKITKFPGSICDLEQLCYLPSGLSRCLRITRLPTDMKDLTKLKHLDLKGTPLSELLDSIGDLKQLGYLDLLGTEIHCLPEEICSCIIYKH >KJB70929 pep chromosome:Graimondii2_0_v6:11:10591105:10592025:-1 gene:B456_011G096300 transcript:KJB70929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNCQPKWILPLAFGSIFPLFLLCFTTIAFFNGVPLLFLYRSSYHFVENQLKPIPVSTHITISTPPSPPRFAYLISGSAGNGKMLRRMLLAIYHPLNQYIVHLDRKASPAERQTVEQFVTDYKVFKEVGNVRMITKANLVTYRGCTMVANTLHAAAIMLREGGNWDWFINLSASDYPLVTQDDLLHIFSYVPRDLNFIDHTSKMGWKAGQRAKPVIIDPAVYNSKKAEVFWITQRRSIPTAFKLFTG >KJB74418 pep chromosome:Graimondii2_0_v6:11:62474450:62476061:1 gene:B456_011G293800 transcript:KJB74418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSRTRRSDSLIALSFLTISFLCLENANGCCNVYKGKWVYDSSYPLYDTSACPFIHKEFNCLKYGRPDHLYLKYRWQPSKCNLPRFDGTHLLRRLKGKKIMFIGDSISINQWQSLLCMLHAAVPTTSGIITQDLHNHTVSTVTFKHYKVSVMLFRSLYLVDVDKETIGRVLKLNSVRNGNLWKEIDVLIFNTWHWWHRRGLKQQWDYVQFDGKIRKDIDRTVAFRTALRTWAKWVDSDVDTNRTKVIFQGISPSHYNGIDWNEPGVRNCSRQTTPFKGSIYPTGLPLAEYVVKEVIRNIKKPVHLLDITMLSQLRKDAHPSTYNDFNGMDCTHWCVAGLPDTWNLLLYAVLIK >KJB73831 pep chromosome:Graimondii2_0_v6:11:58474508:58475901:-1 gene:B456_011G255500 transcript:KJB73831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLPHFSHVHPLVFNDGRSHESEEVYCCACGELVSGPRFSCVDCGFHLDKNCAEAPVEMNHPFHRQHNLKLMKSSPYVEGNTICNFCNNRCEKFVYHCSCNLDFHIKCAFLSYKFIVKDSRELVLQDKSISSECHSQELNRVLCFACQKSLLGSDYISPDSGFYLHKKCSDLPLEISHLSHRHHSLLLQFNSDHLPCKICFEIQHRGLVYCCSICKFVLRIECVSPPPIIEDPSTHEHPFTRCLRELSFNCDVCGTLGNYVSYNCSTCSLMVHKKCISLPRFIKSLWHDHPISHKYFVVDNECTTHDCPVCHEEVNMACGSYNCSKCKFIIHVNCALEDARCYYKISKDDFDKLLNAMLEVGTMNPSFFVRNMIKVGEKMINVEIEHFSHQHNLVLSDEVKEQRYCDGCSQLILTSFYCCLECDFFSTNLVLSYLGRSKFWVVFTKIPLSLLQIAFLYAHIVV >KJB73890 pep chromosome:Graimondii2_0_v6:11:58943432:58946990:1 gene:B456_011G259200 transcript:KJB73890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHALSITNQSYQLTNFLKLMEPSKKHFQASSSFVLVILLSFFNLQRLNLLSLATASPVVRGNDTDQQALLQCKAKITGDQLNIMESWNSSIHFCQWIGVTCGRKHQRVTKLKLPFLKLSGSLSPYIGNLSFLRELDLASNSFHNQIPQEIGGLRRLETLDLSNNSISGEIPSNLSACSKLIYIDMTTNQLTGEIPALLGLLSNLKVLGFFNNSLRGNIPPSLGNLSSLERLGLTYNALSGIIPESFGRLRNLSFFTIYGNAISGIVPVPMFNLSNIRIFDIGANRIEGTLHSDLEINMPHVEYFSVGDNQISGQIPLSISNAPNLDVLQFYDNMLDGNVPSLEKLDNLFRLELGGNHLGHGREGDLNFLCTLVNNTKLGFLSIPDNNFGGEFPKCISNFSSTLQGLSMSWNNILGKIPDEIGNLINLELLDLAQNQLLGPIPFDIGRLWKLNIFDAHSNYLFGTIPHSIGNLTELTELVLYFNSLQGSIPLGLGNCKNLILLDLSLNNLSGPIPPEILGLSSLSILLSLSSNSLTGELPVEVEKLKNLGQLDVSHNRLSGLLPNNLGSCVSLVELYLEGNLFEGPIPPYLSSLRGLEALDASNNNLSGGIPEFLVRFGTLKYLNLSFNNFEGVIPSEGVFKNTSAIFVEGNNKLCGGIPELHLSRCNSKASSKTSLKLKITIIVVISGVTLVFSILLIILFRKKKRAEANDNSRRKFPSTVIIPKHPKGYRRFSPQNLVGLGSFGSVYKGILEANGAVIAVKVLNLLNHRASRSFLVECEALKNIRHRNLVKVLTAISGIDYQGNDFKALVYEFMENGSLEDWLHSSVGMNEPETMRNLNFIQRVNVAVDVAHALEYLHHRCETPIIHCDLKPSNVLLDGEMVGHISDFGLAKILSGDKLNHSTNESSSLGLRGTIGYAPPEYGMGSELSINGDVYSYGILLLEMLTRKRPTNERFKEGLSLHNFVKAALPNRVVEIIDPILLQESVRGGTVADITLNENNLGNDKYLQCLNSIFKIGLTCSAESPSERMDMSDVVTQLCSIRDKLLRPTRLYRGIRTAYDAKPTGI >KJB72259 pep chromosome:Graimondii2_0_v6:11:33534909:33541116:1 gene:B456_011G167200 transcript:KJB72259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G22960) UniProtKB/Swiss-Prot;Acc:Q9LIK0] MSQSLQFITPSRAPYFTLPKFPRRSCTRFPVINLPNTKRSITITPSLSSFLHATSSRSLPSGNSQTGAAGVVSALSVGATGIEVDAVTEAELKENGFRSTRRTKLICTIGPATCGFEQLEALAVGGMNVARINMCHGSREWHQTVIERVRRLNDEKGFAVAIMMDTEGSEIHMGDLGGAASAKTEDGEIWTFSVRAFGTPRPERTINVNYDGFAEDVKVGDELLVDGGMVRFEVTEKIGPDVKCRCTDPGLLLPQANLTLWRNGSLVSERNAMLPTISSKDWLDIDFGIAEGVDFIAISFVKSAEVINHLKSYIAARACGSEIAVIAKMESVDSLKNLEEIIQASDGAMVARGDLGAQIPLEQVPSAQQKIVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPEKALAVLRSVSVRIEKWWREEKCHEAMELPDVGTLFADSISEEICNSAAKIGKSAFGSVSLSH >KJB72258 pep chromosome:Graimondii2_0_v6:11:33534816:33542672:1 gene:B456_011G167200 transcript:KJB72258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G22960) UniProtKB/Swiss-Prot;Acc:Q9LIK0] MSQSLQFITPSRAPYFTLPKFPRRSCTRFPVINLPNTKRSITITPSLSSFLHATSSRSLPSGNSQTGAAGVVSALSVGATGIEVDAVTEAELKENGFRSTRRTKLICTIGPATCGFEQLEALAVGGMNVARINMCHGSREWHQTVIERVRRLNDEKGFAVAIMMDTEGSEIHMGDLGGAASAKTEDGEIWTFSVRAFGTPRPERTINVNYDGFAEDVKVGDELLVDGGMVRFEVTEKIGPDVKCRCTDPGLLLPQANLTLWRNGSLVSERNAMLPTISSKDWLDIDFGIAEGVDFIAISFVKSAEVINHLKSYIAARACGSEIAVIAKMESVDSLKNLEEIIQASDGAMVARGDLGAQIPLEQVPSAQQKIVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPEKALAVLRSVSVRIEKWWREEKCHEAMELPDVGTLFADSISEEICNSAAKIANNLEADALFVYTKTGHMASLLSRCRPDCPIFAFTTTTSVRRRLNLQWGLIPFRLSFYDDMDANLNKTFSLLKARGMIKSGDLVIAVSDMLQSIQVMNVP >KJB71418 pep chromosome:Graimondii2_0_v6:11:17040975:17043006:1 gene:B456_011G122300 transcript:KJB71418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPPNPVPVAYQGGTPAVPVWLNKGDNAWQMISATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVVLCWVIWGYKMSFGHKLLPFWGKAGPALGQKYLVNQALLPATTQFYDDGRVETAMVTPFYPMASMVWFQCVFAGITLVILAGSVLGRMSFKAWMAFVPLWLTFCYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGIAGFTAAYWVGPRSKKDRERFPPNNVLLMLAGAGLLWMGWAGFNGGDPYSANVDSSMAVLNTNICAATSLLVWTWLDVIFFKKPSVIGAVQGMITGLVSITPGAGLVQGWAAIVFGILSGSVPWFTMMIVHKRWSLLQHIDDTLGVFHTHAIAGILGGVLTGLFAEPQLCAMFLPVVNSKGGVYGGSGGIQILKQLAGGAFIIGWNLVVTSIICVAINLIVPLRMTEEQLMIGDDAVHGEEAYALWGDGEKYDSTRHGQYSDDTSHNKASIGATQVV >KJB73272 pep chromosome:Graimondii2_0_v6:11:53598219:53600267:-1 gene:B456_011G225000 transcript:KJB73272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIALFMSIFLLALPFLLFVLLKHRASNNGSFNHLPPGPPSLPFIGHLHLLVSDNSVPHLFLYKLSQKYGPLMFLRFGFRPTLVVSSAKMAEEVMKTHDLDFCSRPNLCAAGKFSYNASDLSYSPYNDYWREMRKICVVHLFSRVKTYRPTREDEVSRLIEKICQLSVDSKPINLSEAVMCLSNSIICRIGFRKRYDDEGAEKSRFSRLLKESEALFSNLSFSDYFPFMGWVDRFTGFLSRLEKAFKEVDTFIQELIDEHLDPNKLKSEQEDIVDVLLRIKTNLDLPFDLTIDHIKAILMDVFIAGTDTSAATVIWVMNFLMKNPKCLKKTQAEVRDLIREKGFVSEDEVQNLTYLKAVIKETFRLQATVPLLVPRETLRKCSIGGYEVPTKTLVFVNAWAIGRDPEAWENPEEFCPERFIGSSIDYKGLNFELIPFGAGRRVCPGMRMGIAVVELALANLLYKFDWEMLPEMNKEDIDFDVVPGLTTHKKNDLILTAKKIHD >KJB69527 pep chromosome:Graimondii2_0_v6:11:2073505:2074851:1 gene:B456_011G028200 transcript:KJB69527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKTNLPRLATTPNLKKKKKMARVATRIPWNHRNLAYGCPEFKFPEQPDPVTPDIELEFLEDSDQGVLGNCNGDKFRGNNNDGIVGDGVDDDDDEKENDGGNDENSKSFWESQHQVLQQTLCRTSSMELRIRTATKEALNDIQKGRTVCVCGKSSTSENCKSCLMREVSSRLQNAGFNSAVCRSKWRSSPDIPSGEHSFLDVIENTRKGEVRVIIELNFRAEFEIARASEDYNRLVQRLPEVFVGKVERLNNVIKILCSAAKKCMKEKKMHMGPWRKQRYMQAKWLKSCERNTSTQSLPVGRSGDRLTKPRASMLTVDLLGKLSNVHCTALEVV >KJB73406 pep chromosome:Graimondii2_0_v6:11:54836425:54836688:-1 gene:B456_011G2319002 transcript:KJB73406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRILLSDLLDNRKERILNTTQNSEELREGAIERLENARAGLRKVETETDQFRVNGYSEIE >KJB70318 pep chromosome:Graimondii2_0_v6:11:6122295:6125862:-1 gene:B456_011G068900 transcript:KJB70318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQPRVVAMEEGKEPISGNKTNLFRALPSRLLVFCLLFLGVGLGLSIISMYSVRFSMVQQLATKATSAVQPLFQDDGTTIDNFIRPPSNLMHNMNDTELLWRASFVPQIKDYPFKRVPKIAFMFLTKGPLPLAPLWDRFFKGHEELYSIYVHALPSYTAGYPPSSPFYGRQIPSQTVEWGRMSMCEAERRLLANALLDISNEWFILLSESCIPLHNFSIIYRYISRSRHSFMGSFDEPGPYGRGRYNPHMQPEVTLSQWRKGSQWFEVNRKLAIDIVVDTTYYPKFKKFCRPACYVDEHYFPTMLTIQSPHLLANRTLTWTDWSRGGAHPATFGKADITEGFFKKIFEGQVCLYNGQPSTVCYLFARKFAPSALDPLLGLASKVFRY >KJB72712 pep chromosome:Graimondii2_0_v6:11:45961527:45963806:-1 gene:B456_011G191900 transcript:KJB72712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNYNMQMWNLFSTAWPCSNTFFYAHRCDVNLLLATLCTCTIQTREGSIVKALDCNATVASRDALAKTVYARLFDWYAYVNSCLEIMTPSLTSMLARKYVPVDVATLLNSTL >KJB70438 pep chromosome:Graimondii2_0_v6:11:6897743:6898167:1 gene:B456_011G073100 transcript:KJB70438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFTYRRPPSPLVSSLFFAKLEAFLFFWSDPTGRHPINSVLWSTNMVICRRSKILLITWENPFLIRPINGI >KJB72718 pep chromosome:Graimondii2_0_v6:11:46353679:46356449:1 gene:B456_011G192500 transcript:KJB72718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYVGMHSSGFRDFLLKPELLQSIVDSGFEHPSEVTVIRVLMHSPKSKANVDTIIERCAGAGHLRDDIFHYSKEPAEYLLSDLPSSYGKGATSSSMGYDSINNKIIFELEGAH >KJB73962 pep chromosome:Graimondii2_0_v6:11:59532518:59534629:1 gene:B456_011G264100 transcript:KJB73962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIPKLEELVLRNCGDMDQFPPDLFQHIKVFAASDGSPFSIFPFVRRFYNLERLQFTHLDFKHVVPCKGDAGTLPPIRNLKLFSAGNLNHIWRKDSELDHILSNLQTLTVQNCDDWINIGVFSSSLQNLTILNVSFCKMMTNLVTPSVLKNLVQLTTIKVEDCTKMTEIVGNEGDCHQTIVVSKLKCLQLCNLKSLTSFCPRYYSFEFPCLEELVVEGCPWLKIFSEGVLSTPQLQRIKRSHYGEEWSWTSDLNTTIQQIYTEKFQERVVMQVKAWLAKGASMITLQLFSALRHNGGTNLPSSTTDWRHRPTIIDH >KJB71541 pep chromosome:Graimondii2_0_v6:11:18359948:18361392:-1 gene:B456_011G128000 transcript:KJB71541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIFNCRMQSSSTSIMSEMPRNSDGSSKQCKVGPDFFSFYACQIADLLLEDKNTLSNSNASELSQGKYMVVNDKESMDCSPKDVDSLFENNIGAELSDFKKGRLKGLLRQSVNDLSMEVDEV >KJB71769 pep chromosome:Graimondii2_0_v6:11:22244554:22246858:-1 gene:B456_011G141100 transcript:KJB71769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSNSALPKLSTLTSSFKSSIPISKSSLPFSTTPQKPTPYRSFDVSCSLSHASSNPRSAAASVTQKTAPPHYFISRYADDEPRKGADILVEALEREGVKDVFAYPGGASMEIHQALTRSKIIRNVLPRHEQGGVFAAEGYARSSGIPGVCIATSGPGATNLVSGLADAMLDSIPLVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIVSEAFFLASSGRPGPVLIDVPKDIQQQLAVPKWNHSLRLPGYLSRLPKAPAEAHLEQIVRLVSESKKPVLYVGGGCLNSSEELKRFVELTGIPVASTLMGLGAFPISDELSLQMLGMHGTVYANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCSDVKLALQGINKILETTGAKLNLDYSEWRQELNEQKLKFPLSYKTFGEAIPPQYAIQVLDELTGGNAIISTGVGQHQMWAAQFYKYKKPRQWLTSGGLGAMGFGLPAAIGAAVANPEAVVDIDGDGSFIMNVQELATIRVENLPVKILLLNNQHLGMVVQWEDRFYKANRAHTYLGDPSNESEIFPNMLKFAEACGIPAARVTKKEDLKAAIQKMLDTPGPYLLDVIVPHQEHVLPMIPSGGAFKDVITEGDGRTQY >KJB73687 pep chromosome:Graimondii2_0_v6:11:57039928:57041216:-1 gene:B456_011G243600 transcript:KJB73687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFASISFHCWFFVPLPVFCSSSPLKKSLVLCSSSPPPVTSQVTNLVARCRRVYRHAHDYHINSISNNRCLICPKQAYNAEGSSFLISSAHL >KJB73000 pep chromosome:Graimondii2_0_v6:11:50099345:50100184:1 gene:B456_011G208400 transcript:KJB73000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEKVLRMNAANHEISYADNSIFQKQIILKIRPIIEESITDAFKKIVPICMKVVDLGCSSGPNTFMDIWHIIETVHGISQQEQLKLPEFEVLLNDLSENDFNFVFKPVPGFYEKLKKERGNMLQERCFIGGVGGSFYHRLFPT >KJB68981 pep chromosome:Graimondii2_0_v6:11:159264:160527:-1 gene:B456_011G001600 transcript:KJB68981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDIKTMATTVAVFGVLSLLFGVIAENKKPAFGNPEIQTSPLRVICNYPSDPTVVLGFLSIASLTTSVVLGYYSVFYPYNSTSVPYYVFFRSIPFFVFFLITMGLSMLGEGMLTWVTITELLHLTNNVHKDMKTTCPTAKTGLFGGAAFMALNASLFWLICLMLAGDVRKDYFVEQGDSKAQVFITDDDDDDDKKQQSHNEEVMY >KJB70034 pep chromosome:Graimondii2_0_v6:11:4305212:4306037:1 gene:B456_011G054700 transcript:KJB70034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVILVFMALLVVSCLHVYNNWFGSTGNSHGVEYCVVCLSKVSKGEKLRWLRCRHCFHVHCIDAWLKVGTTCPICRVNVAPKRNFIISSMVSLAKRVGQWIENPLSSELTVAFCESFGFM >KJB72203 pep chromosome:Graimondii2_0_v6:11:32361897:32365130:1 gene:B456_011G165200 transcript:KJB72203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KCS2 MAEESKQRRNVEPVKVQTASSSEERRSNNNLPNFLLSVRLKYVKLGYHYVISNAMYLMLVPLLGIASVHLSTLTILDFVRLWDQLKFNLVSVTLCSGLLVFLATLYFMSRPRKVYLVNFACYKPEADSICTREIFMERSGLTGSFTGENLAFQKKILERSGLGQKTYLPEAVMRVPPNPCMAEARKEAETVMFGAIDELLDKTGVKAKDIRILVVNCSLFNPTPSLSAVVVNRYKFRGNILSYNLGGMGCSAGLISIDLAKQLLRVHPNSYALVVSMENITLNWYFGNDRSMLVSNCLFRMGGVAILLSNRSSDRHRSKYQLIHTVRTHKGADDKCYNCVFQREDDTKRIGISLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFVTLVARKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLDLTDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRRGDRTWQIAFGSGFKCNSAVWKALKTINPAKEKSPWIDEIDEFPVHVPGVANIASSYKS >KJB69255 pep chromosome:Graimondii2_0_v6:11:885240:885609:1 gene:B456_011G012400 transcript:KJB69255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIYESKRPKKVKQKNKNNIKTCKSYKLNLLKERSDEENLPYNSFPKNSSSSLPLRDLIPSHIKNQ >KJB70408 pep chromosome:Graimondii2_0_v6:11:6634185:6634935:1 gene:B456_011G071600 transcript:KJB70408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSHWTTNKERLAKANSKALYVIFCSVDQQEFKRVSNCIIVKESFALGEEYCNTKLVNNVLRSFPKIFFIEVTATKEAKNHEILKIDELIETLSDF >KJB70895 pep chromosome:Graimondii2_0_v6:11:10302100:10303193:-1 gene:B456_011G094800 transcript:KJB70895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKIIEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKVDQIEVDKETLDMLSVLGMADIPGLVKVDPVAVAVPQIGFGRGGGPGRRF >KJB72385 pep chromosome:Graimondii2_0_v6:11:40309880:40310770:-1 gene:B456_011G175200 transcript:KJB72385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSTILTNQHDFSYIFQQPNNKLDLNPKLNSQLPTSLKPKVMFQSDQLKTSIDDIPQKNKIKNPVILTQKNDFNQFKVGIRLINKIQKHFNWDNIPERVYNV >KJB71975 pep chromosome:Graimondii2_0_v6:11:25577833:25579549:1 gene:B456_011G151400 transcript:KJB71975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLNPPLLLPSIVNAGKHLSNFRSSISSSSSKTIAAHIQPFTKNSISARGNRKNYDGFDNVDDAFALLNKMIDKYPRPALSCGIQLIIRSHFRMKHYAIVVSMYSQLLGVSYNVCSFNILVNCFCSHLFSQVKVKGIRPDIVTYNCLIHAMYNLGQQEEATRLFNKMMDNNISLDIVTYDILVDAHCKDGKNSKAIDTIDTMKKQGIELDVVTYNILVDAHCREGMVFEAIDTVDTMIKQVIEPNVVRYNALINGHCLQNRMDKARKVFHLMIKKGCAPDISSYNIMINGYCEDRRIEETMELFHEIFQKGPIPDTVTYNTLTQGMCQLGRVSSACELLRKMLAFGQVPNVVTCLNLLNGLCKSDKLEEALELFRAMRNSKLELNIVCYNILIGGLCKSGHIEVGKELFHKLSVYGLKPDVYTYAIMINGFCKQGLPDEAY >KJB71436 pep chromosome:Graimondii2_0_v6:11:17396654:17397605:1 gene:B456_011G123400 transcript:KJB71436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTSRPAVVIDNDTRYNKMGFEGNVEPSFIQPTVVAVNESLLNKSKASSKSNWLVQYSAGVMTDLDFFIGDEALTRSRSSNNYNIIHPIKHGKVDNWDAME >KJB70813 pep chromosome:Graimondii2_0_v6:11:10014352:10015963:1 gene:B456_011G092200 transcript:KJB70813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNVGVYIALTIAIFGAIIAVAAAAVVFVIRLKRKEKPNLSSIDSDMELQQLGFSVRTAASDKKVSFEGSQEGLDHVHLTPRKGLLELYTIEELKKATEDFNPGNQIQGKVYHGRLNGKNMAIKLIKTEHVSNVEVRLFSDATHQHPNIIRLLGTCVTEGSSSFLVFEYAKNGSLKDWLHGGLAMKNQFIASCYCFLTWKQRLRISRDVAVALQYMHQVMNPSYIHRNIKSKNIFLDEDFNAKVGDFGIRNSSVEDETKYPDVSTNPATWNLGYLAPEYLHQGVVSPAVDIFAYGVVLLEILSGKTPITRPDNKGGANVWLSEKIKTILVSENKDELRQWMDCALGENYWFDTALTMANLARACTEEDPCLRPNAGEIVEKLSRLMDESPEDEHMLICESSCKPLVNSSTNNSSE >KJB74164 pep chromosome:Graimondii2_0_v6:11:60925595:60926793:-1 gene:B456_011G276800 transcript:KJB74164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NETAHMKPPQEYVPWVVVNNQPLRQDFENFAKYVCQAYKGDHKPATRKAQSSNLSPTIHASFAVPQQPAIPDFYKLALQWPPSVCSSTLNCKLPIPTEFKIHGIWAQDAHDRPVPPYGTNHRCTNPQPMTSQLARRVCIPLWNNLRSQWPNLKLGESIIKFWFKEWRKHGTCFDKAQDPLSYFQSAIQLRTNLNSAMGLTRGSTYTVQQAVNAVFQLIHAYPQISCNRNRTNNRQLLLSEMYICYERPTASHLLGTLKNCSHLYHGQCNSLSDIISFPM >KJB71067 pep chromosome:Graimondii2_0_v6:11:11935872:11936679:-1 gene:B456_011G103700 transcript:KJB71067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMVSKAQRYVNSPEGNDTQTMTNGNGVVSSQLQIAGSSPEGLDKQAVLRRIRYHKCKSKVQSVLQALVGSSGQAQEKWMEMGDAFTCP >KJB72443 pep chromosome:Graimondii2_0_v6:11:42138939:42142164:1 gene:B456_011G179000 transcript:KJB72443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVHNDVNGHKNETSATIDSPKLEDHTDGEDYNESNSLLPQNKGGISTKSEKTQRKVQWNDRNGNKLAEVMVFEPSDVSDSDDEDSDSDSCICTIM >KJB70557 pep chromosome:Graimondii2_0_v6:11:7922125:7923534:-1 gene:B456_011G079400 transcript:KJB70557 gene_biotype:protein_coding transcript_biotype:protein_coding description:MOT2 [Source:Projected from Arabidopsis thaliana (AT1G80310) UniProtKB/TrEMBL;Acc:A0A178WGR3] MEQQSPPASSSTTVTSTTPLLPQNHPWWHRHFHMNNSLSSELSGAVGDLGTFIPIVLTLTLVSHLDLSTTLIFTALYNITTGLLFHIPMPVQPMKSIAAVAVSETPHLTTSQIATAGASTAAVLFFLGATGLMSTLYRLLPLPVVRGIQLSQGLSFAFSAIKYIRYNQDFVASKATTPRAWLGLDGLVVALSSLLFLVIFTGSGDHYDRNGSDDDQPRRRNSKRLRILGSIPAALIVFLLGLVLCFIRDPSIFGDIKFGPSKIGFLSITWNDWKVGFLKGAVPQIPLSVLNSVIAVYRELSAAKVSVSVGVMNLVGCWFGAMPVCHGAGSSAALGFVCGILLFLLLKLRKMEYSGSNPGFSKFKFDSTVDAETSSIP >KJB73322 pep chromosome:Graimondii2_0_v6:11:54088213:54089043:1 gene:B456_011G227900 transcript:KJB73322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYYKTKLIGDPSRFQLMDLENDFYLVHFKDMDDFDKILIGGPWVIFGHYLTIKPWLLDFSTANTKVDNQIAWIKLPGLSEGYYSKMLLRAIGQTNGPMIRIDEHTNIAIRERLALLVVCADLRKSLIFKVRINGKTQRVEYESLPNIYFTCTLYGHTTALCSREETGMMENSMDTTVLITKESNLTNRVEKQPFGPWMLVE >KJB74250 pep chromosome:Graimondii2_0_v6:11:61554682:61555215:1 gene:B456_011G282800 transcript:KJB74250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQLEHENMIEFVLVTLQGRVKERRRFSNVEKGPMTLKYLNEEPYEVKISCTVLESGIDRVRLTSRLKITTYVLGIGHNSQEHYVVLVRGGRVKDLIGVRYHIVQGTLDVVRVKGQQGSSSAL >KJB71058 pep chromosome:Graimondii2_0_v6:11:11773989:11775684:1 gene:B456_011G102700 transcript:KJB71058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNLGSLVQSYSIFNQASRNNSKSFPLPRSFPFIPLKNISSSTPKRRSFTSPPSISSVLTKEDAVMEEDQDPQLPSFDFKSFMIHKGNAVNQALDSAVPLRDPIKIHEAMRYSLLAGGKRVRPVLCLAACDLVGGKESMVMPAACALEMIHTMSLVHDDLPCMDNDDLRRGKPTNHKVYGEDIAVLAGDALLAFSFEHIAVSTVGVTPDRIVRAIGELAKSIGAEGLAAGQVVDISSEGLTNVGLDHLEFIHVHKTAALLEAAAVLGAILGGGRDEDVEKLRKFARNIGLLFQVVDDILDVTKSSKELGKTAGKDLVADKVTYPKLMGINKSKEFAEKLKSDALELLQGFDPEKSAPLIALANYIAYRQN >KJB71389 pep chromosome:Graimondii2_0_v6:11:16559349:16570566:-1 gene:B456_011G120300 transcript:KJB71389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTEPGAGASSTQGLTRRPSRSAATTTFSTEVFDNEVVPSSLSSIAPILRIAKEIETERPRVAYLCRFYAFEKAHRLDPNSSGRGVRQFKTGLLQRLERDNASSLASRVKKTDAKEIGSYYQQYYEHYVRALDQGDQADRAQLGKAYQTAGVLFEVLCAVNKTEKVEEVAPEIMAAAKDVQEKKEIYTPYNILPLDAAGASQSIMQLEEVKASVAALGNVRGLNWPSGFEPQRQKTGDLDLLDWLRAMFGFQRDNVRNMREHLILLLANNHIRLHPKPEPLNKLDERAVDAVMSKLFKNYKTWCKFLGRKHSLRLPQGSQEIQQRKILYMGLYLLIWGEAANVRYMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDDEAFLRKVITPIYCVVAKEAEKNKNGTASHADWCNYDDLNEYFWSADCFSLGWPMRDDGDFFKSTHDTGKKSGARKCGSTGKSNFVEIRTFWHLFRSFDRLWTFYILGLQVLIIIAWSGAPITEIFKKDLLYDISSIFITAAILRLVQSILDLSLNFPGYHRWKFTDVLRNVLKIIVSIAWVIVLPLFYVREFSFVPQNVKDMLSFLNQVKGINPLYIMAVGLYLLPNLLAAFLFIFPMFRRWIENSDWHIIRLLLWWSQPRVYVGRGMHESQFALIKYTLFWVLLLCGKFAFSYFVQIKPLVQPTKDIMSIRRVRYAWHEIFPNAQNNLGAIVSLWAPVVLVYFMDTQIWYSIFSTISGGFSGAFDRLGEIRTLGMLRSRFQSLPGAFNACLVPTEKSRRRGFSLSKRFAEVTANKRSEAAKFAQLWNEVICSFREEDLISNREMDLLLVPYTSDPSLKMVQWPPFLLASKIPIALDMAVQFRSKDADLWKRICADEYMKCAVIECYESFKIVLKTLVVGENEKRTIRIIIKEIENNISKDTLLANFRMAPLPVLCKKFVELVGILKDGDPSKKDAVVFLLQDMLEVVTRDMMVNEIRELVELGHSNKESGRQLFAGTDEKPAIAFPPELTAHWVEQIRRLHILLTVKESGTDIPSNLEARRRISFFANSLFMDMPRAPRVRNMLSFSVLTPYYSEETVYSKSELEMENEDGVSIIFYLQKIFPDEWNNFIERLNCKENEIWENDEKILQLRHWVSFRGQTLCRTVRGMMYYRRALKVQAFLDMADEKEILEGYKAILTPSDEDKKSQRSLYAQLEAVADLKFTYVATCQNYGNQKRNGDRRATDILNLMVNNPSLRVAYIDEVEERDGGKAQKVYYSVLVKGVDNLDQEIYRIKLPGNAKLGEGKPENQNHALVFTRGEALQTIDMNQDNYLEEAFKMRNLLEEFNEDHGVRPPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKGSRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSSMLVVFTVYFFLYGRLYLSLSGLEEAILKYASARGNNSLRAAMASQSIVQLGILTVLPMVMEIGLERGFRTALGDIIIMQLQLASVFFTFSLGTRVHYFGRTILHGGAKYRATGRGFVVRHEKFAENYRLYSRSHFVKGLELMVLLICYRLYGSAADDGISYALLSFSMWFLVLSWLFAPFLLNPSGFEWQKIVEDWEDWSKWISCRGGIGVPSVKSWESWWEEEQEHLRHTGFIGRLFEIILSIRFFIYQYGIVYHLNMTTSSRQGIRLSIVVYGLSWLVIGAVLIILKIVSMGRMKFSADFQLMFRLLKLLLFIGCIVTIAMLFYFLNLTVGDIFQSILAFMPTGWALLQISQACRTLVKGIGMWGSVKALARGYEYMMGVLLFAPIAILAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGSKKQA >KJB73001 pep chromosome:Graimondii2_0_v6:11:50106913:50107650:1 gene:B456_011G208500 transcript:KJB73001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGLGILRRFLENAANAYFQRHLELLTKSLLDLVDEGIVKEADVDSFNLPLYTPCKEEELQVFVMEANCSSREELLGSKEIWVQKGKKFANASRAIFEPIICSHFGDAVIDKLYTRFATPAANAITYSMDHKTLNIVVSLTRSIFINK >KJB73884 pep chromosome:Graimondii2_0_v6:11:58834596:58838093:1 gene:B456_011G258500 transcript:KJB73884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSKKHFQASSSFVLVILLSFFNLQRLNLLSLATASPVVRGNDTDQQALLQCKAKITGDQLNIMESWNSSIHFCQWIGVTCGRKHQRVTKLKLRFLKLSGSLSPYIGNLSFLRELDLAANSFHNQIPQEIGGLRRLETLDLSNNSISGEIPSNLSACSKLIFVDMTNNQLTGEIPALLGLLSNLKVLGFFNNSLRGNIPPSLGNLSSLERLGLTYNALSGVIPESFGRLRNLSFFTIFGNAISGIVPVPMFNLSNIRIFDIGANRIEGTLHSDLEINMPHVEFFSVGDNQISGQIPLSISNAPNLDVLEFYDNMLDGNVPSLEKLDKLFRLELGGNHLGHGREGDLDFLCTLVNNTKLGFLSITENNFGGEFPKCISNFSSTLQGLSMSWNNILGKIPDEIGNLINLEALDLAQNQLLGPIPFDIGRLWKLNIFYAHSNYLFGTIPHSIGNLTELTELVLYFNNLQGSIPLGLGNCKNLILLDLSHNNLSGPIPPEILGLSSLSIALSLSSNSLTGELPVEVEKLKNLGQLDVSHNRLSGLLPNNLGSCVSLVELYLEGNLFEGPIPPYLSSLRGLEALDASKNNLSGGIPEFLVRFGTLKYLNLSFNNFEGVIPSEGVFKNTSAIFVEGNNKLCGGIPELHLSRCNSKASSKTSLKLKITIIVVISGVTLVFSILLIIWFRKKKEQKPTTTHVENSLLQLSYQSILRATDGFSPQNLVGLGSFGSVYKGILEANGAVIAVKVLNLLNHRASRSFLVECEALKNIRHRNLVKVLTAISSIDYQGNDFKALVYEFMENGSLEDWLHSSVGMNEPETMRNLNFIQRVNVAVDVAHALEYLHHRCETPVIHCDLKPSNILLDEEMVGHISDFGIAKILSGDELNYSANESSSLGLRGTIGYAPPCFPLQLFIYVFCDEIIIEYGMGSELSTNGDVYSYGILLLEMLTGKRPTNERFKEGLSLHNFVKAALPNRVVEIIDPILLQESVKGGTVADITLNENNLGNDEYLQCLNSIFKIGLTCSAESPSERMDMSDVVTKLCSIRDKLLRPTRLYRGIRTAYDAKPTVAGI >KJB69134 pep chromosome:Graimondii2_0_v6:11:549640:551239:1 gene:B456_011G007300 transcript:KJB69134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISSSIFSLISIFILLLISSATSSDFDDFIRCLPQQSNSSLPITDAILTPNNSTFQSIYQLRANNLRTFLSATSRPVAIITALHPSHAQAAVICAKRHDFQLRIRSGGHDFEGLSYTSDVPFVILDMFNLNSIDIDMSTETAWVQAGATTGELYYRIAEKSNVHGFPSGVCTTLGIGGHFSGGGYGFLMRKYGVSIDNVIDAQLIDANGRILNRKSMGEDVFWAIRGGGTTSFGIILSWRIKLVRVPPRVTVFTVQRTLEQGATELAYRWQQVAPKLPKDLFIRLQPEPINNGGNNKTVRVSFIGHFLGQADGLLRLMNVSFPELGLTRNDCLEMSWVESTLYWANFPNGTSIDVLLDRVQENRVFSKSKSDYYKALIPKQGLETLWQGLMDIEDIFVQMNPYGGRMEEISDSETAFAHRAGNLFMVLYGIQWSESDGGINATERYVEMSRRLYDAMAPYASSNPREAFLNYRDLDIGSNESDETDFEDAQEYGAKYFRNNFIRLANAKATIDPENFFKNEQSIPPLPH >KJB69659 pep chromosome:Graimondii2_0_v6:11:2692684:2695894:1 gene:B456_011G035700 transcript:KJB69659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQSSDEEDQFFDAFDDFPFYDCLTFDQSDPSTSHLPSTLRRRALSRRGISSKESGGESLPETSTLEDHSRTSSREPRYNLFRDLKPSESTLVVTESPRDGVNPIRVSEENAGVESTVITAENDESLDQARYSADSSAELSESLHSSSSLLLFIAGLVIKAIGFQLNLLISSITLPLSGISSFYMFIIDPFQALSHGRAYVITKLSNLWNSICGYFSPMMNDCLNYHKSIWNLLFRFGWGTFWATYVGCALCGLLFTSLATSGILMRYLVDEPLAIKEMLNFDYSKSSPVAYVPIVSCAAIGCGAKCMEKINVGNNVGSRVIPMDHKLKVTVSLTLPESEYNRYLGMFQVRVDFLSANGETLASSSRHCMLKFKSELLRFLLTVFKIAPLITGYSSETQILNLKIRGLHEGTVPTACLRVVLEQRAEFRSGAGIPELYDASLILDSELPFFKRMIWYWRRTIFIWLSMTLFTTEVLFTLVCCRPLLIPRTRMRDGSTRSMSTQNSRQPQI >KJB73123 pep chromosome:Graimondii2_0_v6:11:52000770:52002046:-1 gene:B456_011G2162002 transcript:KJB73123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AWECTLGKEMAWNILSLFTTSGMLFLEVSLLAFLLQGNHASGLQALTRTFVISGLIVGLDLLLKAIYLFGFGVPLFIDNSEHPRQIKWGLWVVHRLVLTAIYGSILFMYHSKWRERPAFYKFVAFMFILNALELFACALTGNGASFGFWLYSATIVCYHAFCLPLLYITFLADFFEEEDMRLENVYYSEMKDAGFFDADWE >KJB71452 pep chromosome:Graimondii2_0_v6:11:17581351:17582682:-1 gene:B456_011G124300 transcript:KJB71452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata callose-binding protein 5 [Source:Projected from Arabidopsis thaliana (AT3G58100) UniProtKB/TrEMBL;Acc:A0A1I9LSI7] MSQNHFLPLFLYLIFVSLPRSLAQHGGETVVTELWCVAKNNADDAALQGALDWACGPGGTDCSRIQQGGPCYDPSDVQKTASYAFNDYYLKHGMTDDACSFSNNAALTSLDPSYGNCKFPSSKTVNNASISQSTGTLGMGPDTADLSASHRSAHSWLRQPLIVASFFLSIVRILS >KJB69811 pep chromosome:Graimondii2_0_v6:11:3218525:3223861:1 gene:B456_011G043500 transcript:KJB69811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLEYSNNSMSFSCTKNFFQRFCNDEFQMGSDIHGNFFSSDLLPSLGARINQTTKLRRYIISPFNPYYRAWEMWLVVLVIYSAWICPFEFAFLTYEKDGLFIFDNIVNGFFAVDIVLTFFVAYLDSHSYLLVDDPKKIAIRYISTWFAFDVCSTAPFQSLSLLFTNHGSELWLRLLNMLRLWRLRRVSSLFARLEKDIRFNYFWTRCTKLISVTLFTVHCAGCFNYLIAERYPDPSKTWIGAVYPNFKEQSLWDRYITSIYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGLTAYLIGNMTNLVVHWTSRTRNFRDTIRAASEFATRNQLPPRIQDQMLSHICLRFKTEGLKQQETLNSLPKAIRSSIAQHLFFHIVQKVYLFQGVSHDFLFQLVSEMDAEYFPPKEDVILQYEAPTDLYILVSGAANLISHVDGHDQVMGKVAAGDMFGEVGVLCYRPQPYTVRTKELCQILRLSGTSLMNSIQVNMEDGRVIMHNLYMKLNGLESSSFDQPEEGTMRGSCSETGFEDQPQRYASKKEATDISFLGSEAIEKSQTSRITDNGIPTAEDGQTALHDAVRKGHIEMVKILLEGGASVNKLDARGRTPKVLAEQQGNKSIYELLLSYENKRKKDEHMIEIIEPEIADDPKNNQSKHRSGAQNFFNSRNYREVTIPTKKRVTIHMQFQSSSTSSRQLGKLILLPDSIQELLRVAGEKFGCYTFTKVLNSENAEIDDIHVIRDGDHLYLLQDEDENVGFNAT >KJB73398 pep chromosome:Graimondii2_0_v6:11:54645768:54646908:1 gene:B456_011G231200 transcript:KJB73398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLASSPPLISALLISLFPAIMVVGVTHHGLILTLLCLCSSRSLSTVPVLSPFLSDEHSYRFWKAIIHID >KJB71159 pep chromosome:Graimondii2_0_v6:11:12796727:12797566:1 gene:B456_011G108600 transcript:KJB71159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEPKVVLVTGCAKGGIGYEYCRAFAEQDCRVIASDIPRRKDDMLDFGSDKIEMEELDVSSDESVSSVVNGIISKYGHIDVLVNNAGIGSTGPLAELPLDAIRKAWEINALGQLRMVQQVVPHMASRRRGVIVNVGSIVGKVPTPWAGSYCSSKATVHAMTNTLRVELRPFGIDTVLVMPGSVRSNFGNSSLERLGNHDWKLYKEFKKAIAERARASQGSKATDATMFARHVVKKVLSPKPPKQIVFGHMTGLFAVLSLSPLWVRDMFFRTRFNLNKKV >KJB73821 pep chromosome:Graimondii2_0_v6:11:58426874:58427432:1 gene:B456_011G255000 transcript:KJB73821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRGDTVFTNVEHFFTKNLWDFRRINACLHDYRCHQVNDTNKRWLHENCRKPLKPQKKTKQTIKRNPSFSKETSDRTRKNKQIVNQQDITKLKNQRPHLPAILQQHHYCHRLDPIDHQPS >KJB71634 pep chromosome:Graimondii2_0_v6:11:20360651:20363767:-1 gene:B456_011G135100 transcript:KJB71634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLNHIPQKLPCFGLPAMATFSSPKFFMASTLPSASKESENLKKTYMPRPDKHVQVTHSMPPQKIEVFKSLENWVEENLFSLLKPIDNNWQPQDFLPDPTSDGFYDQIQEIQERTKEIPDDYFVVLVGDMITEEALPTYQTLLNTMDGTSDETGASLSPWAIWTRAWTAEENRHGDLLNKYLYLSGKVDMRQIEKTIQYLIASGMDSKSENNPYLGAIYTSFQERATFISHGNTAKLAKEYGDTELAKICGFIAADEKRHETAYTKLTNKLFEVDPDETVRAFANMMRKKITMPAYLMYDGQDENLFDHFSAVAQRLGVYTASDYADIVEFLVDRWNVKELTGLSADGRKAQDFVCNLAPKIRKLEERFQLRAKQASSLPFSWIFNREVML >KJB73067 pep chromosome:Graimondii2_0_v6:11:51358718:51362524:1 gene:B456_011G213100 transcript:KJB73067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPSASKSTSDATITTTTTTAAATTPLPHPPPPFQRMDTLPKTQRGLNKPKCIQCGNVARSRCPYRSCKSCCSKAQNPCHIHVLKSNSAFPEKSPTSSTPSSDQKSTQASSQVTPLRVPSFKQLSNAFAQFDNLQVRSKKHLTRKDAIALNDWRFSKLKEFKDRNIRIENDAFDRYIQNISLLEEVFSIKSIDEGSEEDEGSKPSSTLKEDETSVMISGLKLTLKSDPVRTDNARKRIKQIVDQGIEKLHKAEANDDDNDPDDQNKLDSRLNKVKSSRIERALVLCDIVDKLSKARNEEDLKSCLELKAQLYNQSIMSTDTEIEDPGALNKESTGTTIISRQVAYHPSPKMLTQIEIDQETLNKIEAHFTSQEQIEDL >KJB71759 pep chromosome:Graimondii2_0_v6:11:22177493:22178081:-1 gene:B456_011G140500 transcript:KJB71759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMKGLQALILAMIMVMAIATTDAQSICNMPASGLMACKPAVTPPNPPPPTSTCCSALSYADMRCLCSYKNSKLLPSLGIDPNLAMKLPSLCKLPHPANC >KJB69463 pep chromosome:Graimondii2_0_v6:11:1813371:1814094:1 gene:B456_011G024800 transcript:KJB69463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGLMEKLEWASSYGKKQCRNLFWKMKAALKRRSKRQFKFHYDPSSYALNFDDGYCHCGVGTSTVQLARLQGFSECENVIWVYVFWIKL >KJB74342 pep chromosome:Graimondii2_0_v6:11:62077096:62080976:-1 gene:B456_011G289300 transcript:KJB74342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSLQDWPEPIVRVQSLSESGLSMIPDRYVKPLSDRPSSNRPENGDANIPIIDLTGLTDDETELSRSTMDRISSACRDWGFFQVVNHGVSPGLMDQARETWRSFFHLPMEIKQAYANSPKTYEGYGSRLGIEKGAILDWSDYYYLHYLPLTLKDYNKWPGSPDYCREVIDEYGKELVKLGGRIMKFLSINLGLDESHLQNAFGGENIGACVRVNFYPKCPQPDLTLGLSSHSDPGGLTILLPDPEVPGLQVRKDDRWITVKPARHAFIVNIGDQIQVLSNANYKSVEHRVIVNSGVERLSLAFFYNPKSDIPIKPIRELVSADKPALYPPMTFDEYRLFIRLNGPKGKSQVESLKSPRCH >KJB70759 pep chromosome:Graimondii2_0_v6:11:9655483:9657821:1 gene:B456_011G090500 transcript:KJB70759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSSVACSQSWSISEESLRRYVHFASESCVQELLMSASNDCGDGWKILLTLDNGVEISKRRSGSLHIFRSRCLLRSVSPQQFITVANAIDSAKQWDPDLVEARYIKDVEDNLSIIRLKFGENSKALFRKREFIVYERRESMEDGTVVVAVASLPKEIAAGLLPKPNNAIRGLLVQSGWVVEKLDDLNSCLVTYVLQLDPAGWLPKCFVNRLNTKLVMIIENLRKLVQTTPP >KJB69199 pep chromosome:Graimondii2_0_v6:11:760840:765429:1 gene:B456_011G010600 transcript:KJB69199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRAVSHQLSSGLLVSGRPEQLKEKQPTMSSRAVPYTGGDVKKSGDLGKMFDIAVVDRSSTGGGLSNSNPNSKQQSLFSQPSRSSSSSQPNSGSIRSGSNSGPIRKSSGPLPLQPTGLITSGPRRSGQLGQAEQTSVVSGKSVYGPAMTNLREGVKYGFRVSKAMVWVVMVVVAMGLLVGAFLMVAIKKVLVLGAVGAVVVPMGLGLAWNCIWGRKGLLGFIRKYPDAELRGAVDGQYVKVTGVVTCGSIPLESSYQKVARCVYVSTELYEYKGWGGKSSNPEHRCFSWGCSYSENYVADFYISDFQSGLRALVKAGYGAKVAPFVEPATVVDITKENKDLSPSFLSWLAERKLSSDDRIMRLKEGYIKEGSTVSVMGVVQRHDNVLMIVPPSEPISTRCQWIRCLLPMYVEGLILTCDDNQNADVVPV >KJB71095 pep chromosome:Graimondii2_0_v6:11:12283424:12284668:-1 gene:B456_011G105800 transcript:KJB71095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPQPPPSAPPLPQLEPSDHSPQLPASAGLMPPPVPLYKHPSWSPDIFRDEAWLRRKGNSKKRRSKSVTDEDLDELKACIELGFGFDSPEVDPRLSQTFPALGLYYAVNKSYNDAISKPSTSPPSVVSDCDSIPSPIGSPHAIVGPGDNPRTVKTRLRQWAQVVACSVRQNS >KJB74311 pep chromosome:Graimondii2_0_v6:11:61877600:61878675:1 gene:B456_011G286700 transcript:KJB74311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILFSKVLTNTDVEKRLAVPNNSLKHFELENGNCSKSVEVKDEKGSTWTFRCYVRSDGAYKKPVLSSGWRQFVVNKGVHQGDLITFCKDVEAEATYKIIVQRKIKLLGKEFWCSPEPEQPTDATAQVIQAPADATGEVIQSLAVLPDV >KJB69844 pep chromosome:Graimondii2_0_v6:11:3498461:3499428:1 gene:B456_011G045600 transcript:KJB69844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKGGSTPFDFGTSLPYLQFHTPSSLCHCLMSIPSSNLMEDPVKPSKHRNHQKAKGISFFAFVSSILIYVSISYDIFNLFAASPLLSDTKLWFLISNTLILIIAADYGAFSSSKHQKLNLYDEYYHAMRSQARKGWTSTDPQQYPDFTANNTIPMEENIFKDNEEIPEKILQVVEIHEPDQRPKEHELNELAAEAFSTMSDEELNRRVEEFIEKFNREIRLQATARNRQFLE >KJB71938 pep chromosome:Graimondii2_0_v6:11:24249993:24251411:1 gene:B456_011G148700 transcript:KJB71938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSKAKSKWGKQKSVPAYEAKKIVLGKDFWKKANDLIKVYEPLVRVLRLVDSDEKPTMSFIYEAVDRAKRAIEQNCYFLNPQFQFGVEHSENVLIETLEGTRSVIERLEPSMDTQVRMVNQLLLFRDKHETFGTPQAQRAWKQMNPAEWWMIYGTCVPELQKLAIKVLSQTTSASNCERNWSTFSYIHTKARNRLKYKKLEKLVFTYYNMRLKMRHQQRMSTDDINASFNPISLDYIFEDVDPLSEWLHEKENPLLDGENAGVLPVDTSDDEMDVDQSQQQILSHSSSSSTPSQSGDGPDGGGLSPIDEDDEYSGDR >KJB73220 pep chromosome:Graimondii2_0_v6:11:53343681:53346131:-1 gene:B456_011G223200 transcript:KJB73220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFYFACLYSCYFGFAGILCCELIMQRLERLLQLYLCRASPTHDEEAAKKAAAINSDSGAPTMYIIAKEIPSTIVYEDDKVLAFKDISPQAPVHVLVIPKFRDGLTQLGKVEQRHGEVLGQLLLLDAPLLKLSYPSS >KJB72573 pep chromosome:Graimondii2_0_v6:11:44377962:44378580:1 gene:B456_011G186400 transcript:KJB72573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVRLVKAVCARPSIREIMGPLQVQAFQHDFVPRDPNAKSKRFKYPQVYDPYGPRPPPSDKVVELAERIALYFVV >KJB71073 pep chromosome:Graimondii2_0_v6:11:12013021:12014705:1 gene:B456_011G104200 transcript:KJB71073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQELLGGSSCLNFGEERKISINGSILEGTPTSSPSPSSSSSSATTSSTTNSSNPENHDQNLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKSTTGVSTSLGKSTSPKMKTVVSEIGRSGFDHELQSTPILWTSAAQTSHLLSNLTSMRATLNPNPNPNTLSNPVSIKEEVSLLGSKVMNEPVVAAAALNARLLGLDLVNPFWKNNQHHAQQQQNNSGFLVGEVQNTGIQELYQRLKSSSSSSSSSYYSDTSAVILSNVASSSSTSILESAPVAGGELGYWNPAFSSSWSDLPTTNGAYP >KJB68971 pep chromosome:Graimondii2_0_v6:11:126134:129394:1 gene:B456_011G001200 transcript:KJB68971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIDDKETSRGASASRPTAFSMLHLLLNLLLLLLQSFFFVSPSQAACDQNDRVFLLAFQSNITAPSSSPLNWSTTTDCCFWEGVACDGPDSGRVSQLWLPSRGLTGHLSTSLLNLTLLTRLNFSHNRFTGFLPSGFFSSLNHLQVLDLSYNSLYGELPLDFISDDNSSLSPIQTLDLSSNHFSGTIRSNSVLQAALNLTIFNVSNNTLTGQVPSWICINTSLTILDLSYNKLDGKIPTGLDKCSKLQIFRAGFNNLSGTLPADIYSVSSLEQLSLPLNHFSGGIRDAIVQLDKLTILELFSNEFEGPIPKDIGQLSKLEQLLLHINNFTGYLPPSLMSCTNLVTLNLRVNHLEGDLSAFNFSTLQRLNTLDLGNNNFTGTLPLSLYSCKSLTAVRLASNQLEGQISPAILALRSLSFLSISTNKLTNITGAIRILKEVKNLTTLILTKNFMNEAIPNDENIIGEGFQNLQILALGGCNFTGQVPKWLAKLKNLEVLDLSQNRISGLIPSWLGSLPNLFYIDLSANLISGEFPKELTSLWALATQESNNQVDRSYLELPVFVMPNNATSQQLYNQLSSLPPAIYLRNNNLSGNIPEAIGQLRFLHVLDLSQNDFSGSIPEQLSNLTNLEKLDLSGNRLSGQIPESLRGLYFLSSFSVAYNNLQGPIPSGGQFDTFTSSSFEGNPGLCGSIVQRICPNAPGAAHSPTLPKRLNTKLIIGLVLGICSGTGLVITVLALWILSKRRIIPGGDTDKIELDTLSCNSYSGVHPQTDKDASLVMLFPNKTNEVKDLTIFELLKATDNFNQENIIGCGGFGLVYKAILADGTKLAVKKLSGDFGLMEREFKAEVEALSTAQHENLVSLQGYCVHEGFRLLIYSYMENGSLDYWLHEKENGPSQLDWQTRLKIARGASNGLAYMHQICEPHIVHRDIKSSNILLDDKFEAHVADFGLSRLILPYHTHVTTELVGTLGYIPPEYGQAWVATLRGDVYSFGVVMLELLTGKRPVDMSRPKTSRELVSWVQRLRSEGKQDEVFDPLLKGKGSDEEMLQVLDVACLCINQNPFKRPTIQEVVEWLKGVGTINRNQNKDS >KJB71980 pep chromosome:Graimondii2_0_v6:11:25598073:25598718:-1 gene:B456_011G151800 transcript:KJB71980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSGRKSWSFSAMEMDVAGQLMQLCQHYISSSTAEQRRQTNPGPGCLNKDRPPPLMEELEEEEEHLQPKKRRFKSIDFIYSSTKPLILKKMKV >KJB71248 pep chromosome:Graimondii2_0_v6:11:14014336:14016142:-1 gene:B456_011G112600 transcript:KJB71248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDELNILPISSHIKSITPVPVNEDPEGLSESERDLKNLKQELSEDFPVGPLIKKCCTLDQGKAVVTFLDAILDKSLRSTVALLAARGRGKSAALGLAVAGAIAAGYLKESLVLESCLDSPGM >KJB71059 pep chromosome:Graimondii2_0_v6:11:11806507:11808032:1 gene:B456_011G102800 transcript:KJB71059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPAACALEMIHTMSLVHDDLPCMDNDDLRRGKPTNHKVYCEDIAVLAGDALLAFSFEHIAVSTVGVTPDRIVRAIGELAKSIGAEGLAAGQVVDISSEGLTNVGLDHLEFIHVHKTAALLEAAAVLGAILGGGRDEDVEKLRKFARNIGLLFQVVDDILDVTKSSKELGKTAGKDLVADKVKDLVADKVTYPKLMRINKSKEFAEKLKSDALELLQGFDPEKSAPLIALANYIAYRQN >KJB72395 pep chromosome:Graimondii2_0_v6:11:40570371:40573880:1 gene:B456_011G175900 transcript:KJB72395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVYSVFNGGSCSVVLCVTKTRKYSKSCCNKVSPLLISPSLRENQNFPEMAISRLLHPKPRGLHFNARGLIRSTNCYSFSSFSSGGSQKFSFLKGLKASKGGKAGDKEPQKSSRIEDLMKVINREKNDPTAGEMAGILRDSLASTESSKGNKIEKEKNSDLSEIMNYLPGNRTATSVQRPSATTISGKKHALSKSSSRIEDLMKVINREKNDPTAGEMAGILHDTLAPTESLKGNKIEKEKNSDSIEDMKESSDVERSHTLLESTIKDWERTILNVTDQLSKNVWGAANLRNSDVKRRHTLLKHHLSSGNISLDIKKESSIPTESISDKEDSNLDLANSGHVKSGPNSHVSLPVSREELNHSQTCFLSKEGYKDNKELVRFLTKNVKKLNILAAFSDCGPIVKVEELSSTKESSFKDFLVHFKTREGSQLALKKNDVMVMETEAFVEPTSSEDTAGAIISIPDPISDPEAPTALLKNPTTAAEGNRRCQREALAQQSVLVSGKELLILRIDAPRTTVVRISNIKPTSKAWTICNSYGQVKYLAKRGVGVVDVHFKLAEWPNMLNIVNK >KJB69193 pep chromosome:Graimondii2_0_v6:11:729803:731501:1 gene:B456_011G010100 transcript:KJB69193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLNKIAVAFNEAAARLCESSGSEHSSKDSIDLSDLVSSFFDCQVETDESPTSFCRDRDNSDGYLSESETKRTLLSLLGGDDDRDEDVKQIVREETQRACEMMVEFGLSEDFKRQLMSHLRHKGFDAGLCKSRWEKLGRNLLSGNYEYVDVNINGTRYIIEVNLAEQFEIARPTTSYTSLLEVIQPIFVGEPKVLKRVVKLMCNAMKNSMKIGGMHLPPWRRNGYMQSKWFARYKRTVNEIPVRPTISFRCKDNFGSNDGFKVGYLAAALDGTS >KJB70958 pep chromosome:Graimondii2_0_v6:11:10766975:10770256:1 gene:B456_011G097400 transcript:KJB70958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIERNVVPWVPFTNILLTALVRRNLMDKARELYEKMVSIGVAGDCFTVYLMMRAFLKEEKPLEAEKFFREAKAQGIELDAAVYSIAIQAACRKPDLNMAGELLGEMKDRGWVPSEGTFTIVIGAFVKQGNLAEALRLKDEMLSSGKQLNLVVATSLMKGYCKQGDIDQALNLYNKIKEDGLAPNQVTYAVLIEGCCKNQNVKKAYELYEEMKIMDIQPTVFNVNSLIRGFLEASSLNEASNLFDEAIESGIANVFTYNIFLNHFSKDGKVKEACSLWQRMVANGQVPSNVSYNNMILVYCRAGNMDMAHTVFSKMLEQGLKPNAITYSTLIDGHFRKGDAERALDIFDEMIGVHIVPSDYTFNIMINGLSRVGRTSETRDMLKVFVEGGFVATCMTYNSIINGYVKEGAMNSAMGVYKEMHENGISPNVVTYTTLVNGFCKSNNMDLALKMHHEMKRKGLQLDVTAFSALIEGFCKKQDMVRACELFSELQQVGLSPNEFVYNSLIRGFRNVNNMEAANDLHKKMIKEGVPCDIQVYTTLMDGFLRESKLHLASNLYSEMLSKGIVPDMVTYTVLLNGLCSKGHLENAYKVLEEMDRKGITPNVLIYNALIAGNFRYGNLEEALRLHNEMLDRGLVPDDATYDVLVNGKVKAKGEDLSGVSSKEEATWCGGFGRSGDAIV >KJB70957 pep chromosome:Graimondii2_0_v6:11:10766929:10770266:1 gene:B456_011G097400 transcript:KJB70957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPFRNPPSIPPIFLRCFPSPKCLCSTPQPPTSPEKIPSDSHFTRKPFSDSDCPISSSNEPHLTSFSTQDASLTQSHVINTLLSHKDDPPSALKYYRSIKKKRDFVQSIDAFCVLLHILVRSSQTYKHVQYFLNDKFGSDHSGPAPLAFLDHLIDTTKRFDFELNSRAFNYLLNGYVRFNRIDDAVDCFNGMIERNVVPWVPFTNILLTALVRRNLMDKARELYEKMVSIGVAGDCFTVYLMMRAFLKEEKPLEAEKFFREAKAQGIELDAAVYSIAIQAACRKPDLNMAGELLGEMKDRGWVPSEGTFTIVIGAFVKQGNLAEALRLKDEMLSSGKQLNLVVATSLMKGYCKQGDIDQALNLYNKIKEDGLAPNQVTYAVLIEGCCKNQNVKKAYELYEEMKIMDIQPTVFNVNSLIRGFLEASSLNEASNLFDEAIESGIANVFTYNIFLNHFSKDGKVKEACSLWQRMVANGQVPSNVSYNNMILVYCRAGNMDMAHTVFSKMLEQGLKPNAITYSTLIDGHFRKGDAERALDIFDEMIGVHIVPSDYTFNIMINGLSRVGRTSETRDMLKVFVEGGFVATCMTYNSIINGYVKEGAMNSAMGVYKEMHENGISPNVVTYTTLVNGFCKSNNMDLALKMHHEMKRKGLQLDVTAFSALIEGFCKKQDMVRACELFSELQQVGLSPNEFVYNSLIRGFRNVNNMEAANDLHKKMIKEGVPCDIQVYTTLMDGFLRESKLHLASNLYSEMLSKGIVPDMVTYTVLLNGLCSKGHLENAYKVLEEMDRKGITPNVLIYNALIAGNFRYGNLEEALRLHNEMLDRGLVPDDATYDVLVNGKVKAKGEDLSGVSSKEEATWCGGFGRSGDAIV >KJB72881 pep chromosome:Graimondii2_0_v6:11:49038021:49038429:1 gene:B456_011G202300 transcript:KJB72881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDPFFILSRMKKPSDICVHPQNIKKKHSIEIKAKDSKTVTKVHSTSSTMEISSHLHPPVKYDKLKSGHRKIDVGRVNWKA >KJB70885 pep chromosome:Graimondii2_0_v6:11:10196272:10200453:1 gene:B456_011G093900 transcript:KJB70885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVTIDGRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMTIMLIGNKSDLSHRRAVSKEEGEQFAKENGLLFLEASARTAQNVEEAFIKTAAKILQNIQEGVFDVSNESSGIKIGYGRAQGPSGARDGAVAQSGGCCG >KJB73991 pep chromosome:Graimondii2_0_v6:11:59708253:59713100:1 gene:B456_011G2657002 transcript:KJB73991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVIGIVSSIFTKAAEYAISPIINHVKYLSNHQQNVEILKDQAKKLKDERDRVQHSVDAAQRNGEEIERDVNNWMSAVDRKIPEQVEKVMQDEEKAKKKCFIGLCPNFWTRYKHSLKAAAEAKAVAKLLEQGKFGRVSYLVPLQSITVPPGKGYEEFESRTLILNGIMEALEDDSVSVVGVHGTGGIGKTTLVKEIARKVKGELFDSVVIATVTQAIDIKRIQNDIADLLGLKFEEQSTVGKAIRLRERLKQEKSILVVLDDIWEKVDIEEVGIPLGDEHKGCKLLLTSRELNALSNGMDAQKNFPIGFLNEEEAWDLFKKKAGNFDESCNLKPIAMEVAKKCAGLPIAIATVAGALRNKRLFEWENALRELERPSSSNFTGIAAAAYSAIQLSFNYLESEEVKRTFLLCSVIGHNGFVEDLVRYTLGLGLFDGVYTVKEARNKVLTVVANLKASALLLDSYNDKRFDIHDVVWDAAIAIALKDYRMLVLRDHAPKEWSDKEKMKTWSVISLRYPQNIANLPKEMECSGLSFFHMAYDGVVKIPLNFFKQTEGLKALDLVGMQFPSLPESIIHLADLRMLCLQECAVDDITILGELKSLQVLNLSVSGMKELPKEMAQLTQLRLLDLRGCRELKIIPPNVLSGLSKLEELYMSGSFVEWEKGGIVENERKNASLDELNNLPCLTTLYVHILDVQMIPKHRFVETLDRFRIFVGNYGIYDCCHNYESPKALKLMLYTNIDFDNGMKKLLIKTEDLCLEGLEGVKNVLVELNNGKDLPNLKRLHVKNGRHVQYIKTNKIGFSELCFIKVENLPQLTCHWVTNLRSLIIKGCGKLEHLLSPSLARSLVQLQCFEIEDCDCLRDIILTEEIEEERKDVICFPRLNSLHIDGLPNLIFFNSGNHNIEFPLLKELRISRCPKLIEFISQNSNQSGMHALFSEKVAVPSLEDMNISDLSNVKTIFYNDLAPGSFKNLRKISVEGCGSLKNLFPVSIAKDLPQLEHLSITDCGVEEIVSKGDGVEEQPARFEFPQVSYLEVSGVEKLKCFYEGQHTIVWPMLKKLTTDGSALLKIVASEHLRLIQGNEHPVLLGEEVIPKLEELELQDFDDMDQFPPDLFQHIKVFAVSGGSPSSLFPFVRKFYNLERLELSYFDFKHLVRCKGDAGSLPPIRNLKLFSARNLEHIWRKDSELDHILSNLQTLTVVDCDDWINIRVSSSSLQNLTTLNVFCCEMMANLVTPSVLKNLVQLTTIKVEHCTKMTEIVGNEGDCHQTIVLSKLECLQLCNLQSLTSFCPGYCNFEFPCLEELVVEGCPWLKIFSEGVLSTPQLQRIKQSRYGEQWSWTSDLNTTIQQLYTEKVQ >KJB71242 pep chromosome:Graimondii2_0_v6:11:13844758:13845626:1 gene:B456_011G112300 transcript:KJB71242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEKTMNNDIPSLTFQAHRVYSSIEGKPMNHNQLQFSGFKENMVYLYPCMKKIENPIMTSLFSYHYPPSNSVVCCQLLPLLEVTVELNSYFRGGPIVARTWI >KJB70949 pep chromosome:Graimondii2_0_v6:11:10682063:10682927:1 gene:B456_011G097100 transcript:KJB70949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELSCDDIKLYMISYFFFNDKSFLCVEELAILLINIYINILHHDRDRSHFTFHVRPASVAFVAALVTNGGAAVAISVEAVVLVVLGTWWWGESTGSGVFT >KJB72137 pep chromosome:Graimondii2_0_v6:11:29744790:29746970:1 gene:B456_011G160900 transcript:KJB72137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKLRKSVAKLPFHESNLALKQVKCDACIEENGVCKCDNGGIEGMVMTEDAADQQARSGNSSFLHAVLNMSGMLIGLGQLSTPYALENGGWGSVFLLVGLGFICTYTSHVLGKCLDKNPKSESFADIGENAFGRKGRVLTATFIYIEIFMALVSYTISLHDNLITVLSGTQIKLPGVPNLRTSQLITVVAVLIALPSLWLRDLSSVSFLSSGGILMSLVIFISVVCTAAFGSVEANHSIPFLHVKNIPAISGLYIYSYAGHFVFPNLYKAMKDPSKFTKVSIVSFAACTLLYTALAFLGAKMFGPEVNPQITLSLPRHHFITKIALWATVLTPMSKYALEFAPFAIQLENNLPDSLSSRMKLIIRGFVGSILLLVILSLALSVPYFEHVLSLTGSLVSVCICMTFPSAFYIKLSWPHISKPVLILNLFLIAFGSILGVLGTISSAKMLIKTLLRAHST >KJB71899 pep chromosome:Graimondii2_0_v6:11:23690203:23691343:-1 gene:B456_011G146600 transcript:KJB71899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLIPSIFHSSFPRYFNTFATHIQALSENSMSVRGNPKISDRFHNVEDALASFKKMIDKYPLPSVLEFTKILSPIVRMKHYATVVSMCRQMEVLGVPHNVYSFNILINCFCQLGHTDFGFSVFGKMLKLGVEPDVVTFTTLINGLCKQRKISQAASLFDEMIGTGYQPNLIAYSTILNGLCKTGNTKRAVRFLSMMEERGFKPDIVAYSTVIDCLCKKGSLNEALDLFSEMMVKGIRPNIVTYTCLIHAMCNSGQQKQATRLLNEMVDNNISPNIFIYNRLIDAHFKEGMIFEVLDIVNTMRKQGIEPDVVTYSILVDAYCKKGMISKAEDIVDSMKKLGIEPDVVTYNMLVNAHCKEGMVPKLKILLTR >KJB70359 pep chromosome:Graimondii2_0_v6:11:6182592:6185107:-1 gene:B456_011G069300 transcript:KJB70359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSLEVKKLVYLYLLHYAEKRPNEALLSINCFQKDLGDPNPLVRAWALRTMAGIRLHVIAPLVLVAMGKCARDPSVYVRKCAAVLFQKYMICA >KJB72495 pep chromosome:Graimondii2_0_v6:11:43126478:43127172:-1 gene:B456_011G181700 transcript:KJB72495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKATPTPESPPVSPPQQAALHQDDAADDDENVKQLKECSALYLSLQDCLIKSNRNWKSCQMEVQALKACNERRKK >KJB73941 pep chromosome:Graimondii2_0_v6:11:59342427:59344325:1 gene:B456_011G262500 transcript:KJB73941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKISKVIIQYKGQERKSSAARGDGSSPSWNEKFTFKVEYPGSGGDYKLVLKIMDKDTFSSDDFVGQATIFVKDLLAIGADEGSAELHPTKHSVVNAEQRYCGEIVVGLTFTKKEENDEEEYGGWRESDY >KJB72856 pep chromosome:Graimondii2_0_v6:11:49373261:49374985:1 gene:B456_011G204000 transcript:KJB72856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKDNKKSVGVVDKEEGPVYLPLIYSYLDYTDIDRLVVTILGDFAKHTGVFFFRRYRYGAQKVEEDHLDNMSGMPTRFSYEELKNFTKNFSNKLGEGGFGFVFHGALSSGSEVAVKHLFGIGPVNKSFIAEVQTIGSIHHFNLVSLVGFCAEKFNRLLVYEFMANGSLDRWIFNKNQDLSLDWQVRKKIILDIAKGLAYLHEDCNRKIIHLDIKPQNILLDENFNAKVSDFGLSKLIEKDQSQVVTSMRGTPGYMAPEWLSSIITEKVDVYSFGIVVLEILCGRRIIDESQQNERYLLELFRRKQEEGQLLDLVDKCNDDMQSNVAEVVEMLKVAAWCLQTEYANRPSMSTLVKHFEGSADAVINVNEDFQNELTTQAPAESFASSITPSVLSGPR >KJB68781 pep chromosome:Graimondii2_0_v6:11:11654130:11656921:1 gene:B456_011G102100 transcript:KJB68781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRSKLVEQLRDYQIRSQNTYPALIFFSPKPHIASRADVAVATFWAFAFSVLVILSYLSLYFRHYWLSCIPICLGIFLPIRLRGCRQTVVKKRERKFLLPLSM >KJB71327 pep chromosome:Graimondii2_0_v6:11:15379407:15380417:1 gene:B456_011G116900 transcript:KJB71327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQRTKPSLPLKTRVFISLISAVTDASCRSDGSVNRRLLRLLDYQAPPNPKPSNSVSSSDTTVDASRNLWFRLFSPSLPSDLLLPVVVFFHGGGFTFLSPASQAYDAVCRRFARKFPAFVVSVNYRLAPEHIYPSQYDDGFDVLKFLNDNFATVLPENADLSRCFLAGDSAGGNIAHHVAVRACGAGFETLKVMGLVCIQPFFGGEERTAAEEELANAFLVSVPRADFCWKSFLPQGSNRDHKAVNVSGPNADDISGVDFPATMVVVGGFDPLKDWQKRYYEWLKACGKEATLMEFPTMIHAFYIFPELQESGQLILQIKDFMNCCSKPQVPQQN >KJB71771 pep chromosome:Graimondii2_0_v6:11:22263233:22264410:-1 gene:B456_011G141300 transcript:KJB71771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENVFGKPITEADNTASEKPITESEEDNVLDEAALELTLSEARSGSNLFGTVIDQAFVMGIPEYANRARLDRSVVAMQWKDRGGNYTAAVDYVREVKRRWGNGVSTLCVLYNGTGERLTYHTDNDWWGNIYLPYPQIIENGQWACFFHVKRTAAASGSMGAVVYRGKNKEGEDTDWLVAWDNPWNTLRFTNQAYAEINQAGHYDRIDWEALGRTISSAGRQHRAAWRGCVAQVQTEIDTSPLWEGVLSLE >KJB70791 pep chromosome:Graimondii2_0_v6:11:9913599:9914342:1 gene:B456_011G091300 transcript:KJB70791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPPFLKFSVLHLQPLYTLLFIQTPRNLITSFVSDSNGATDIIIHFGKHNGKMLGTLPSNYLRWVSKNLRARNYERWAKLADQVLEDPVYKGRIEWEFAENVLSGNNAKGIAAKDESSVSLLEMSERFGWSKDGEEGKDEAEERKSWGGAGGDCAGGSVRLERNQGSGKDQTVEIFNRFPGREALLKKLLNNRRGFL >KJB69195 pep chromosome:Graimondii2_0_v6:11:748578:751236:1 gene:B456_011G010300 transcript:KJB69195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARLGRSSTRYGPATVFTGPVRKWKKKWVHVSPSNTGNSSNNNNNNHSHNNHQTTINGTSNGNNGSHLLLFKWTPLSQTQTNNNDNDNSSKDDAVAAPEEPPRRKFKYIPIAVLEEQRKEADENTDDESKLSEADPSAVEPTSRNDGLDEKPDINDIPMDESQEDNKIVRQDLNESTLDLSLG >KJB72724 pep chromosome:Graimondii2_0_v6:11:46697195:46697669:1 gene:B456_011G193100 transcript:KJB72724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSIDHLKKVPTQGFPLMDELYGLQLAEKTHRKAPLPRNQDHYHHQQKLVPHHYVYHGPQAVTVVQQPVTGSYHQIQNNQNHEKWYVCQVSQGPICINK >KJB69665 pep chromosome:Graimondii2_0_v6:11:2719877:2720830:1 gene:B456_011G036100 transcript:KJB69665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLHRPFIIHSPEMVSKIIKKRTPPRSIKRHRHHHRRKTSPVKKNGSFSVIASLNKSIKTCRRRIVRLFSRLAHIATPSTAAKRYRNGFQLLKQEENEEQQEFCGFESNFIVPRALVFERCLLPPLISKTKKTIFLDLDETLVHSSPDPPPETYDFVVRPSIEGQVMNFYVLKRPGVDFFLEEISKKHEVVVFTAGLKQYASQVLDKLDPKGLISHRLYRDSCKELNGKFVKDLSEMGRDLGRIVIVDDNPNAYSLQPENAVPIRPFVEDSHDRELEKLVQFFQWCERFEDMRVAVKQYFNGGGAADDYGFVQLKL >KJB73924 pep chromosome:Graimondii2_0_v6:11:59256795:59260098:-1 gene:B456_011G261400 transcript:KJB73924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMECSLWISAGDHKNNNSNSNNNSLWGFSNESEHDLALMVSDFLENNGGSAGADSWCSSDSESGFSDLIHLADKISYYKHSVCHYDMDLLSVVHSLILSMGETDLHTVKSGPCNASCIRYSLVKLLRLSGYDAAVCVSRWQRSGKVPGGDHEYIDVVNYSNGNSERVIIDIDFRSHFEIARAVDSYDRILNSLPVVYVGSLTRLKQLLQLMVEAARSSLKQNSMPFPPWRSLAYLQAKWYSPYQRQFAPLEHDISGNSSSCHKQCKGHLRRLQPSLQSELEAERLLKPINIDNNRRLKHDRARRSSFRAL >KJB71061 pep chromosome:Graimondii2_0_v6:11:11862082:11863925:1 gene:B456_011G103100 transcript:KJB71061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKTLVEKLFNISKMSSQALTRCRTSSSTAHNRVLQNVTTMVPDQGDFKANVNSNGMCRRFLHEGAMHSPAIRKLPMGENLMETLRGIGTSKDRIRLEGLSPHLEEKTTVQEVAALSNQEAEKLLRVALLEVMKTRLRETGKNWIPYSNFIRICEECFSDPKLGLESAKLLEESGGVIVLGNLVFLRPEQVAKAVGGLIPLQRPNPNDPRIKQLLEMETQKAKIDSKANSLVRRELWVGLVYLVVQTAGFMRLTFWELSWDVMEPVCFFFTSMYFMAAYTFFLKTSKEPSFEGFYQSRFTTKQKRLIRAHNFDMEKYDKLKSMFYPHSEQVKIGD >KJB72779 pep chromosome:Graimondii2_0_v6:11:47511322:47512324:-1 gene:B456_011G196900 transcript:KJB72779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTYNYDSTSPVAPARLFKAFVLEADKVWPIAAPHAIKSIEVEANPGPGSIVKINFVEGLPFQYMKHQIGGHDENKFSYSYSLIEGGPLGDKLEKINYENKFEASVAGGSVCKSSMKFYTFGDYVITEDEIKALIKGSEGVYKAIEAYLLANPDACD >KJB74314 pep chromosome:Graimondii2_0_v6:11:61892585:61893519:-1 gene:B456_011G287000 transcript:KJB74314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKFQPQRNIESPFETQGVVMAMFVICMFVYSITFCTPYFLEVIDDINLLAGSLATVLLTFTLFPPLGCLILFIWTIHFVKLIYGAIPKLCQLCQALPSLFNLRVLLGCHAHHNEERCCNNHIHASLGFLISVLLALIQVKYQSTKMAVPFETHPAIMFIFITAILVYAATAAIKTSNDNSSIHRIIVTKISLLSGSLATFVLLLVILPPIGWLILLIWTFFLVKQIYDGMLYLPCLITLAVIYVICQIFRRGRYLNQGGNRLSV >KJB72228 pep chromosome:Graimondii2_0_v6:11:35591828:35594005:-1 gene:B456_011G169700 transcript:KJB72228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate isopentenyltransferase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19040) UniProtKB/Swiss-Prot;Acc:Q94ID2] MKLSMSASKLVQPRVNLGLNLETIFRRKDKVVFVMGATGTGKSRLAIDLATHFPAEIVNSDKMQVYKGLDILTNKVTVEECRGVPHHLLGIVDPISNFTSMDFQHHASLAVESILAEGRLPIIAGGSNSYIEALVNDDPEFQLRYECCFLWVDVSLRVLHSFVSERVDKMVKAGLVDEAEQMFDPMADYSRGIRRAIGVPELDQYFRAGSILDANIRARMLDTAISKIKENTCTLASRQFHKICRLYNQRNWRMHRIDATEVFLKRGDEADETWERLVAGPSTMIVDKFLFEKNRVPTILPSTASSSVPIAAVAAASR >KJB69326 pep chromosome:Graimondii2_0_v6:11:1158575:1160903:1 gene:B456_011G016500 transcript:KJB69326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRVSPMASLFFISALAAAFHSSSEAAASSQSPFEDNFSIMWSENHFKTSEDGNTWFLSLDKETGCGFQTKQRYRFGWFSMKLKLVGGDSAGVVTAYYMCSENGAGPERDELDFEFLGNRTGQPYLIQTNVYKNGVGGREMRHMLWFDPTEEFHSYSILWNDHQIVFFVDRVPIRVFKNNGEKNYFFPNEKPMYLFSSIWNADDWATRGGLEKTDWKKAPFVSSYKDFSVDGCQWEDPYPACVSATTKKWWDQYQAWHLTDSQKMDFAWVQRNLVIYDYCKDTDRYPKLPVECSLSPWG >KJB69691 pep chromosome:Graimondii2_0_v6:11:2807773:2809365:-1 gene:B456_011G037500 transcript:KJB69691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGGSSSSSFRKVRNPFVTDQELEESDNVSSVTGAESPPPSTTKKGKRSMQKRVVSVPIKDVEGSRLKGEGAPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVNPTMLVITYSCEHNHAWPASRHNNTSAKQAAAAAAAGEASESPTKSSTAVKHEPSTSQPDTEPDSGMEDGFACLAEDSILTTGDEFAWFGEMETTSSTVLESPLFSERDNSEADETAMIFPMREEDESLFADLGELPECSFVFRHQRNVGPQVGIC >KJB73017 pep chromosome:Graimondii2_0_v6:11:50476403:50479467:-1 gene:B456_011G209700 transcript:KJB73017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g79490, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79490) UniProtKB/Swiss-Prot;Acc:Q9SAK0] MLHTLNRKLFPRNLCFILKLNPNSFTGSSTDLNSSRNALTKCSNSFSFFNSSMGINQISPFHTLYKNPNFIGNPRIVRSYCSGEWTEDIEYLDESGSVIYSGKGIRSVEPGLDDHVMVGGLKKPFLNASAVAKIVEVVKRWKWGPELETQLDKLQFMPNMTHIIQALKVIKDSDASLSLFRWAKRQSWYAPSDECYDMLFDGLNQSRDFDAIQSLFEELVQESSGNVVSLFSAYNRVIQFLAKAEKLEVSFCCFKKAQDYGFKIDTQTYNDLMMLFLNKSLPYKAFEIYESMQAAGCLLDGSTYELMIPSLAKSGRLDAASKLFQEMKERKFQPGFSIFASLVDSMGKAGRLDTSMKIYMEMLGSGLRPSATMFVSLIDSYAKAGKLDTALRLWEEMKAAGFRPNFGLYTMIIESHAKSGKLDLATSIFKEMEKAGFLPTPSTYSCLLEMHAASGQVDSAMKLYNSMINAGLRPGLSTYTALLTLLANKKLVDVAAKILLEMKSMGYSIDVSASDVLMVYVKDGSIELALRWLSFMGSSGIRTNNFIVRQLFESCMKNGLYESAKPLLENYVNSAAKVDLILYTSILAYLVRCQEEHNERHLMAILGATKHKAHAFMCGLLTGPEQRKQPVLSFVREFFQGIDYELEEGAARYFVNVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALIAVVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKIVVAQMLSSVESPFEVSKVVLRATGDSVMEWFKKPIVQQFLLNEIPSRADILMHKLNILFPSSAPEIRSLTPPKPLIAGKGI >KJB69690 pep chromosome:Graimondii2_0_v6:11:2799822:2802352:1 gene:B456_011G037400 transcript:KJB69690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLNVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLRELRDHTDPNIVVMLVGNKSDLRHLVAVSTEDGKSFAEKESLYFMETSALEATNVEIAFAEVLTQIYNVVSKKAMETSDDGAASAVPSKGEKIDVGKDVSAMKKGGCCSS >KJB72482 pep chromosome:Graimondii2_0_v6:11:42427147:42427959:1 gene:B456_011G1806002 transcript:KJB72482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNVSSACFSVLSCKKPNRIRAVASEDFATTAALKTDTEDKLQPWTLVCLQQPHNHGLHQLGKSWLKL >KJB74088 pep chromosome:Graimondii2_0_v6:11:60345406:60347657:-1 gene:B456_011G271100 transcript:KJB74088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKSITFFVVFLSCLLVSKAEKVEVVVEGATSIAQTDEDFICVTLDWWPTNKCDYDQCPWGQAGLFNLDLKNKILEKAVKAFNPLRIRVGGSLQDQVVYNVRNNVENCQPFQKQDKDFLFGFSIGCLDMKRWDELNEFFNQTRAKVTFGLNALIGRKESEIEKTLWVGDWYSHNARDLMSYTISKGYKIDSYELGNELCGSGVSAKIEAKQYAKDMATLKNLVKEMYPNPKTQPKVLGPGGFYDKKWFDTFLDASGHDVIDGVTHHIYNLGPGNNPDVVHRVQDPFFLTQIAQTFKDVSNAIDKFAPWSGAWVSESGGAYNSGGQLVSYTFAFGFWYLDQLGMTSIYNHKVYCRQALTGGNYALLNTTTFIPNPDYYGALLWHKVMGSKVLSVTHKGSPYLRVYSHCAKKEPGISFVFINLSKNTSFEIDLFHDLNLNGGSPSFEFKGHKEREEYHLTPKDGNILSSAVLLNGTPLELSDSLEIPDLKPKLVDGLKSISIAAHSIAFVTIRDFNAPACS >KJB71499 pep chromosome:Graimondii2_0_v6:11:17851891:17853817:-1 gene:B456_011G125900 transcript:KJB71499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFDTRASVPLLPSLAMLLVFICLLLVSPLLVQSFDANASSTSAARALDALLQDYAYRAFVRPRTGVPYDARVPSNFTGISITAMRLRSGSLRTRGVRMYKEFEIPIGVVEQPYVERLVLVYQNLGNWSLRYYSLPNYTYLAPVLGLLAYDASNLSATNLPELDLRASGDPIKIKFSDVRLAPNGSLPKCVWFDLHGLVKLSNLTSANECSTIQQGHFSIVTESIAPPSPPTRSEGKESNRRTWIIVASVVGGVALLAFLGFLVLWARKCKRRKKMQEMEKAAEVGEALHMTSVGDTKAPSATVTRTQPTLENEYVP >KJB71974 pep chromosome:Graimondii2_0_v6:11:25552679:25554603:-1 gene:B456_011G151300 transcript:KJB71974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSNPFPTVEKCPSVGREKHTVVADMDGTLLIGRSSFPYFALVAFEVSGVLRLLFLLLASPLAGLLYYFVSESAGIQVLIFATFVGMKVSDIESVARAVLPKFYSSDLHPESWRVFTSCGKRCVLTANPRIMVEAFLKDLLGVDMVLGTEIGTYKGRATGFVCKPGVLVGKNKADALKKAFGETEPDVGLGDRHTDIPFMAMCKEGYLVPPNPETKAVAIEKLPKPVIFHDGRLVQKPTPLMVLLIILWTPIGFPLACLRIAAGSLLPMSIVYYAFWALGVRVTVKGTPPPPVKKSTGQSGVLFICSHRTLLDPIFLCTALGRPISAVTYSISRLSEIISPIKLVKLSRDRATDAAMIKKLLQEGDLAICPEGTTCREPFLLRFSSLFAELTDQLVPVAMVNRMSMFHGTTARGWKGMDPFYFFMNPTPAYEVTFLNKLPPELTCSSGKSSHEVANYIQRVIAATLSYECTNFTRKDKYRALAGNDGTVVEKPKLPANKVMGC >KJB74126 pep chromosome:Graimondii2_0_v6:11:60648410:60649645:1 gene:B456_011G274400 transcript:KJB74126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMARIELPEALVMEILSKLPVKSLIRFNCVCKYWCSSFQIPHFIFKHYHNNLENNNLNLLLRRHDGNTFQPYLSQLSNEKDQKDLVKQNIHLPFFKNAIPSVYGACHGLLCLQEPSTDKAALWNPSTREFKILPPSSIQRPPYLSPMEGNLALYGVSFDHAALGFDSKTNDYKVIRFVSFIYYHSPFVTRTFVNIEEEQRYAYPHYEFQVELYSSRSDSWKELPCPLYTPTDLILGNNYVDGICYWKTMTEAYLGLILLFDLANQKFSILPFPEITGSCLHYYDNILMFNGSLSVIVCLLEGTGMSFDLWVTSEGAWTKQFSIESISGVLHPLGFGKNGELFLRDTNNEIVLFDLSTQELKELEINTYLDHFQESISLHAYVESLVRINGIQEHEKHIIRQLAGDASKKY >KJB70284 pep chromosome:Graimondii2_0_v6:11:5790323:5796071:1 gene:B456_011G066800 transcript:KJB70284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSCWTDFCYYANSKSCDGYAGGFTLICEGKLDFGSFCIQRTIIDVINLVFLFVFYLLLFVCSVVESLSQRYWYYASRRCGMRMRSALMVAVYQKQLKLSSLGRRRHSTGEIVNYIAVDAYRMGEFPWWFHSTWSLVLQLFMSMGVLFSVVGLGAIPGIVPLVICGFLNMPVAKIIQKCQSEVMISQDERLRAISEILNSMKIIKLQSWEDKFKSLIKSLRGKEFKWLSKQQFLRPYGTVLYWISPTIVSSVVFLGCALFGSAPLNAGTIFTVLATLRSMAEPVRMLPEALSIPIQVKVSFDRINTFLLDDELRNDEVRGFPLQNSDKIVTVEAGNFSWVPEIAIPTLRNVELEIKRGQKIAVCGPVGAGKSSILYAMLGEIPKLSGTVSVFGSIAYVSQVSWIQSGTIRDNILYGKPMDADKYDKAINACALDKDINTFDHGDLTEIGQRGINMSGGQKQRIQLARAIYNDADIYLLDDPFSAVDAHTASVLFNDCVMTALAKKTVVLATHQVEFLSEVDRILVMDGGQITQSGSYEELLMAGTAFEQLVNAHRDSITALGSLNGQGEGESRGIAPVMFNGCSPTKHNSEGEISVKGPPGIQLTQDEEVEIGDVGWKPFMDYVSISKGSVYLSLSILTQLTFVVLQATSTYWLAFAIQIPNMTNSMLIGVYTGVATLSAVFVFFRSLYAAYLGLKASKAFHSGLTNAIFKAPMLFFDSTPVGRILTRASSDMSILDFDIPFAFVFVAAGVTEVLATIGIMAFITWQVLIVAILAMVGVKYIQGYYMSSARELIRINGTTKAPVINYAAETSLGVVTIRAFNMVDMFFRNNLKLVDTDATLFFLSNAAMEWLVLRIETLQNLTLFTAAFFLLLLPKNQVTPGLVGLSLSYALSLTGTQIPASRWYCSLWNYMISVERIKQFMHIPEEPPAIIEDNRPPSSWPSKGRIELQELKIRYSSNAPLVLKGITCTFQEGTRVGVVGRTGSGKTTLISTLFRLVEPASGKILIDGLDICSMGLKDLRMKLSIIPQEPTLFRGSIRTNLDPLGLYSDDEIWKALEKCQLKTTISALPNKLDSSVSDEGENWSVGQRQLFCLGRVLLKRNRILVLDEATASIDSATDAILQRIIREEFSNCTVITVAHRVPTVIDSDMVMVLSYGKLLEYDEPSNLMATNSSFSKLVAEYWSSCRRNPYQNFSSYQ >KJB73150 pep chromosome:Graimondii2_0_v6:11:52461093:52464103:-1 gene:B456_011G217900 transcript:KJB73150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGGMFKELAVFAVLVTIMATSVAAQPKPGCQSHCENITIPYPFGTRNGCNISNDFFIHCDTSFNPPKAFLSDTGLEVLNISLDDGSLRIRYDSSIGYDCYNSSGRSSGPNSGPPLQYSPYLIPETSSLPLVVTLSPTSMNFSTGCLTFCGNVGDVINGSCSGIGCCQTAIPRGMQAYFFNFTTLQSHSTVLRFNPCSYGFLVEDGVYTFSISDLSNIDFNKRKYPLILDWTIGNQTCEEAKMDPKNYACKQNSACIDPESGPGYLCKCNDGFQGNPYLSNGCQGCHRIFKPQNSERFRILAIALDVGLSLGILFLIVGVWWLYKILQRRKYIKLKQKLFERNGGLLFQKKMSSNEGGLDKAKLFSSKELEIATDQYNENRILGCEGQGMVYKGMLSDGRIVAVKKSKTVNEGYLEQFINEIFILSQIDHRNIVKLLGCCLETEVPLLVYEFIPNGTLSHLIHDQNEEYPRSWDIRLCIAAEVASAISYLHSSASIPIYHRDIKSSNILLDEKFRAKVSDFGTSRSIGIDQTHLTTKVLGTFGYLDPEYFQSSHFTEKSDVYCFGVVIVELLTGKEAILTFGSQEKRGLVSYFLLSMEENHLLDIVDVEIGKDSQKDEVVAVAELAKRCLNLDGRYRPTMKEVAMELERFRTRQSDCIPIDQPKQA >KJB69492 pep chromosome:Graimondii2_0_v6:11:1969573:1974153:-1 gene:B456_011G026700 transcript:KJB69492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVKIIAKNFMDMVASLPAIKLDLLYRNQFICEAILRSLPPLAKKYVLQMLYIDIPITSKSLQEWLLADGSSKHKVAIDRLIQLRVFEVIDRKKETTYKLNSTFQTNLRKHMISGGILPREPMPQSVTARLPTSEELDAYAHEQWECFLLQLISSGQAEKSTSFSSSMMRIFQRGLLRQRDKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEEQGVDQADLIAFLLELSFHTPGEAYSLDTLTDDQTTMIKDLADLGLVKLQKGRKESWFIPTKLATNLSVSLTDSSSRKQGFVVVETNFRMYAYSSSKLHCEILRLFARVEYQLPNLIVGAITKESLYNAFENGITAGQIVTFLQQNAHPRVAEKLPSVPENVTDQIRLWETDLNRVEMTPAHFYDEFPSRDVFEAASDFARMHNGLLWEDAKKMRMVVKAEIHMLMREHLRGQNK >KJB69494 pep chromosome:Graimondii2_0_v6:11:1970525:1974010:-1 gene:B456_011G026700 transcript:KJB69494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVKIIAKNFMDMVASLPAIKLDLLYRNQFICEAILRSLPPLAKKYVLQMLYIDIPITSKSLQEWLLADGSSKHKVAIDRLIQLRVFEVIDRKKETTYKLNSTFQTNLRKHMISGGILPREPMPQSVTARLPTSEELDAYAHEQWECFLLQLISSGQAEKSTSFSSSMMRIFQRGLLRQRDKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEEQGVDQADLIAFLLELSFHTPGEAYSLDTLTDDQTTMIKDLADLGLVKLQKGRKESWFIPTKLATNLSVSLTDSSSRKQGFVVVETNFRMYAYSSSKLHCEILRLFARVEYQLPNLIVGAITKESLYNAFENGITAGQLLVTPEYR >KJB69493 pep chromosome:Graimondii2_0_v6:11:1969634:1974104:-1 gene:B456_011G026700 transcript:KJB69493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGGILPREPMPQSVTARLPTSEELDAYAHEQWECFLLQLISSGQAEKSTSFSSSMMRIFQRGLLRQRDKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEEQGVDQADLIAFLLELSFHTPGEAYSLDTLTDDQTTMIKDLADLGLVKLQKGRKESWFIPTKLATNLSVSLTDSSSRKQGFVVVETNFRMYAYSSSKLHCEILRLFARVEYQLPNLIVGAITKESLYNAFENGITAGQIVTFLQQNAHPRVAEKLPSVPENVTDQIRLWETDLNRVEMTPAHFYDEFPSRDVFEAASDFARMHNGLLWEDAKKMRMVVKAEIHMLMREHLRGQNK >KJB74176 pep chromosome:Graimondii2_0_v6:11:61021411:61022140:1 gene:B456_011G277800 transcript:KJB74176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQVKYMWVVVFVVSMSIAGLNGVDATHDYYGPCGKHDIEKEAQKLSPCTYAAKYRRAPVSERCCAIIEKKLNNPDCLCAILQTRTAYDAGVRPEVAVTIPKRCNIAVRPVGHKCGGFPFV >KJB69820 pep chromosome:Graimondii2_0_v6:11:3315932:3318213:-1 gene:B456_011G044300 transcript:KJB69820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASMKGDFPKGVVKRNKKVASAALIKCQWTDDEDRKLIRLVKQYGVRKWAQIAESLVGRAGNSVERGGIIICALISRLIEYLNPNNLLTFPLMYHKEELSHHAARAEVLQTLLFLHLVLGVEQGSGF >KJB69190 pep chromosome:Graimondii2_0_v6:11:715663:716106:1 gene:B456_011G009900 transcript:KJB69190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKLLNSALGLMLLVTMMLSSMGSITAIACPSYGNGCKDCIVEQIRYGCPSCVPLIRCMARCLWSGAVRSSCISRCDGGKPTLSDCKKCMSRCKCSCVA >KJB71072 pep chromosome:Graimondii2_0_v6:11:12010088:12011714:1 gene:B456_011G104100 transcript:KJB71072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGTDGHYFHTGLRGHHSVWDSHLFNYGSWEVLRYLLSNARWWLEEYKFDGYRFDGVTSMMYKISLIK >KJB73149 pep chromosome:Graimondii2_0_v6:11:52563743:52566874:-1 gene:B456_011G218500 transcript:KJB73149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGCMFRELAVFAVLVTIMATSVAAQAKPGCQSNCGNISIPYPFGTANGCNISSHFFIRCNTTLNPPKAFLGYGDLEVLDISLDGSLRVSYSYLIGHDCYNSSGRSLYPQLLFSFSEYFTISHTRNKFTAIGCDTIAYIEAFFEPDSSNSISKKNFSTGCLTFCGDAGDVINGSCSGIGCCQTAIPRGLNWFYFNFSSPRNHSHVLSFNPCSYGFLVEDGAYKFHASDLWDTNFGKNVYPVILDWTIGNQTCEQAKMDPKSYACKQNSDCTVPENGPGYLCKCNPGFQGNPYLSYGCRDINECETQKPCYEFGTCHNTPGSYNCSCPEGFEGDGRKNGTGCHPIFKPQDRESFLILVVTLGIGLSTGLLFLTAGVWWFCKILQKRKYIKLKQKLFERNGGLLLQKKMSSNEGGLDKAKLFSSKELEIATDQYNENRILGCGGQGVVYKGMLSDGRIVAVKKSKTVNEGYLEQFINEIFILSQIDHRNIVKLLGCCLETEVPLLVYEFIPNGTLSHLIHDQNEEYPRSWDTRLRIAAEVASAISYLHSSASIPIYHRDIKSSNILLDEKFRAKVSDFGTSRSISIDQTHLTTQVLGTFGYLDPEYFQSNQFTEKSDVYSFGVVIVELLTGKKAVSTFGSQEKRGLVSYFMSSMEENHLLDIVDAEIGKDDQKDEVVAVAEIAKRCLNLDGRYRPTMKEVAMELERLRSRQGDSIPIDQLKQAEVVVRKSTESWDFTSFSTEHYPNCSMTSTSESDVHPLMLESSLVQDL >KJB69510 pep chromosome:Graimondii2_0_v6:11:2002427:2004979:-1 gene:B456_011G027300 transcript:KJB69510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMKLLSSSKSLAQNGVFSRFYYTNRFNKATLYSRISPLGSPDKSVEAELDDWLKHGNNIRVAELQRIIHDLRKRKRFTQALQVSEWMNKKGLCAFSPTEHAVQLDLIGKVRGFLSAESYFNKLKDQDKTEKTYGALLNCYVRQRQIDKSLSHLQKMKELGFASSTLTYNDIMCLYTNIGQHEKVPDVLREMKENNVSPDNFSYRICINSLGVRSDLEGIEEILTEMEDQPHIKMDWNTYAVVASFYIKAGLTEKAIDALKKSEQKLDNKDGTGYNHLISLYTSLGNKAEVLRLWGLEKEACKRYINKDFIIMLQSLVKLDEFEEAEKILKEWESSGNYYDFRIPNIIIVGYVKKGLHEKAETMLENLKEKGKTTIPNSWGIVAASYLDKGQAKKAFKCMKAALSLFTENKGWKPNLRVVTSILDWLGDEGSVQEVEEFVESLKRTVPVDRKMYHTLLKANVRHGERVDKVLDLMKADKINEDEETKSILAMKSS >KJB69477 pep chromosome:Graimondii2_0_v6:11:1876881:1881583:1 gene:B456_011G025400 transcript:KJB69477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQKAPLPRKENSCQSSACNFILIMELRKKIIAFRDIIDLPPPSSTLVSTDQLLIGTMKDLHKFYPESIPYFRISEFKKLPLDKALIYFCKALQGLGDTSKMSDEWINKYKYDIHDNDNCKNVDKLVEIAVATLNGLIKIAKEKFDMMDEDEENKEFGPKAKTFGKVLKESYSEYGSSSPSPVTPTSVLPELMNGSPKSSYSSSLLLPLRVQAVGKSNPVDVKRLESDMLPKVQVHVQNSLNQKKVAAVEKQKKEAKGNSPVRKTSEVPHLNSSNASGDKAKSSLNAALPSSPLGRLHSELLKDKVGAEKSTLPSPSPSPLSASLLPSPSPSASQLSSPLSTSLLPSPSPSASQLPSPLSTSLLPSPSPSASPLPSPSASQLPSPSPLSETPSADVLLPSPQSQRVKMSMDMETDIRLLPPPPKPSAEVLLAPLPSLPTKLSTDKAVDIRAFAPSAPPTAASALAPPLLQQNVVSGGPPLPSPPMLQRNVEAVQATKVATESQLPSPLSMLTNITVAGIPLPRPPSPPPPVSSKPNVMAIGAPLLPPPPVLLQSKVVATGAPLPPPPPPPPPGTVSTMPSRQPPMILSKGSGPLLPPPPSPPMSNGGAAPPPSPHGAAKSLRPKKANTKLKRSSHIGNLYRVWRGKIDECPKQGKSPTGTKTGVGTIIINGKQGISDALAEMNKRSDYFQQIEEDVDKHAKSITELKTAVNTFNTKDMTKLLKFHKHLESILENLTDETQVLTRFEGFPTKKLEALRTASGLYLKLESMITVLQNWKIEPPLAELLNKVDRYFNKIKGEIVALERTKDELTKKFKSQDIDFDFQILVQIKEAMVDVSSNCMELAMKERREVKLRENEVSKAKAEAQRKVCIKMLWRAFQLAFRVYTFAGLSHDDRADQLTPELADESQIDPQ >KJB71147 pep chromosome:Graimondii2_0_v6:11:12678382:12679836:-1 gene:B456_011G108100 transcript:KJB71147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSSDLKSKYNVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGINPSKVVITKLRLDKDRKSLLDRKAKGRAAADKDKGTKFSAEDIMQSVD >KJB72631 pep chromosome:Graimondii2_0_v6:11:44931773:44932198:-1 gene:B456_011G188300 transcript:KJB72631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEFERIAKCTIAKDAWVETTHEGTSTVKQSKMQMLTSRFKSLWMQELETIGEYYAKLSDLSNQAFASGEEYSNAKIIRNVLRSFLERFSIKVTIIEEAKNLEKLAIDEFIGSLQTFEMNLNEAKCIMAKRDKSIVTTRFQ >KJB68837 pep chromosome:Graimondii2_0_v6:11:31064:31872:-1 gene:B456_011G000400 transcript:KJB68837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSPASWESHFSGIQKRISSSPNAIKLIVFSFIRISLAYTELKRIYELMAIFVKLKLNSADSLLMLYVVMHPPMA >KJB71827 pep chromosome:Graimondii2_0_v6:11:22690196:22693084:-1 gene:B456_011G143400 transcript:KJB71827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTACPENEKQALLNFKKGLIDAANRLASWDPHHQPDCCRWIGVVCDKRTAHVLSLNLSVPTWDGYTDLESYGMSMLIGKINPCLSKLKHLRYLDLSNNVFEGLLPYQLGNLSNLETLKLGDNRLLYVENLQWLSGLSLLKHLDLSCVNLSRASNWLQLINTILPSLDELHLSACQLLPGPSLLNVNLSSLAVLDLSLNHFTNQMDVGWVSNLKSLVFLDLAGNYFLGPIPDFLRNMTSLTHLDLSSSYLNSSIPDWLYSFSSLQVLRLLGNQLHGDISSAIGNLTSLNELDLSVNQLQGKLPRAMGKLCKLRSIYLSGMRLNQDISRILEILSGCSSPRLESLDLASCQLSGQLSDQVGHFKNLTILNLSNNLISGPILIPYWQLKNLKELCLHDNSISGPISISLGQLANLERVSIFNNLLEGVVSDKHFANHTKLKYFYGSGNSLLLRVNPNWVPPFQILYLDLGSWQIGPSFPLWLRSQKHLEYLDISNSRISDVIPRWFWGLSTQFKHVNLSRNQISGQIPYLPGGPDIFPLVDLSFNNFSGPLPQIPMGPHQYMIDLSNNYFSGSLFHFLCHQWSGTFSTGFLSIANNLLSGEIPDCWIKWQSLQVLRLDGNRFTGKIPSSMGTLSELQSLNLHNNNLHGEIPLSLKNCTNLLAINLGKNELDGNIPRWLGQDLTSLIILILRSNKFGGNIPDHLCALSSLQILDLAENNLFGSMPRCMSNFSAMVRGNGSRDNIIEYIGYNGPPTLESASIVTKDQVLVFDKTLNLVRLVDFSCNNLSGEIPKEVTSLQGLQTLNLSRNHLTGKIPESIGSMKSLESLDLSQNQLSSSIPESMSSMTFLSHLNLSFNKLTGIIPTSSQLQGFNESCYAGNHLCGSPLRGCRGSGKELDVRNEAKEISKGQETNWFYISMPLGFVTGFWCVLGPLVISKRWRILYFRFLEAIWWKVCDFAGKF >KJB70107 pep chromosome:Graimondii2_0_v6:11:4645896:4646424:-1 gene:B456_011G058100 transcript:KJB70107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLQGASLKPTEDSSLYLIHCYHVIDLRKVILGGPCSFNNCILLIHQLSRGENPELVEFYHADFWVQVHDLHHGFASENLARSLGSNYLGNFMCIRVHLNICQSLMRKKRFVGKEKTFLKTLFSYERLSLICYICGVVGHSEANHIKLLEILVGRDSGGDPKASLT >KJB72198 pep chromosome:Graimondii2_0_v6:11:32104435:32106118:-1 gene:B456_011G164700 transcript:KJB72198 gene_biotype:protein_coding transcript_biotype:protein_coding description:PnsB5 [Source:Projected from Arabidopsis thaliana (AT5G43750) UniProtKB/TrEMBL;Acc:A0A178UJI2] MKMAVQAALSVVSVSSVPKIRCKKVETRVNVMKNQREVGLVKFKLVRNHGDGRINFTRLNAAGLSEIEPDLNEDPVDRWATNSVSSEDFKYGEYDGHHTYFEGEEKGTFWGAIADDIEAIEPPTGFQGFISWLFLPAVAAGMFFNVPGEYLFIGAGVFTAIFCIIEMDKPDKPHHFEPQIYNMERGARDKLINDYNTMSIWDFNEKYGDLWDFTIKKDDITKR >KJB73176 pep chromosome:Graimondii2_0_v6:11:52798694:52799540:1 gene:B456_011G220000 transcript:KJB73176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVKASTALVLSLNLLFFAFVSSHNVENPVFIHPGDVYHNGRITHGHPGTCNPLNLGVCLGLLDLVGVSVGNVPTEPCCSVIQGLVDLEAAVCLCTAVRANVLGIPIHLPISLSLLLNKCGREVATEYICSP >KJB70793 pep chromosome:Graimondii2_0_v6:11:9926363:9929629:-1 gene:B456_011G091400 transcript:KJB70793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNNNNPPKSLGASSSPFSNAGMPGNPVFSQAQAQAQLSAGFQAQFQLSQAQALALAQSKAQALAQAQGQVAHAQFQAQLQAQSLSLNQAQNAGIGNIGSSSPSFSTPGSASVKRIFQRPPMRPPGVPMTNTMSPLRMMELTPAARRKKQKLPDKQLQERVAAILPESALYTQLLDLETRVDAAVARKKVDIQEALKNPPSVQKTLRIYVFNTFANQLQTIPKKPNAEPPMWTLKIIGRILEEGIDPDQPGFVNKTNPFYPKFSSFFKRVTISLDQRLYPDNHIIIWEHARSPTPQEGFEVKRKGEKEFTVNIRLEMNYVPEKFKLSSALMEVLGIEVDTRPRILAAIWHYVKARKLQNPYDPSFFNCDAQLQKVFGEDRVKFTMVSQKISQHLSPPPPIHLEHKIKLSGNSPAGNACYDVVVDVPFPIQRELSALLANGEKSKEIDACDEAICATIRKIHDHCRRRAFFLGFSQSPVEFINALVQSQSQDLKMVAGEPSRSAEKERRSDFFNQSWVEDAVIRYLNRKPPAGSDAPGSI >KJB70792 pep chromosome:Graimondii2_0_v6:11:9926401:9929652:-1 gene:B456_011G091400 transcript:KJB70792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNNNNPPKSLGASSSPFSNAGMPGNPVFSQAQAQAQLSAGFQAQFQLSQAQALALAQSKAQALAQAQGQVAHAQFQAQLQAQSLSLNQAQNAGIGNIGSSSPSFSTPGSASVKRIFQRPPMRPPGVPMTNTMSPLRMMELTPAARRKKQKLPDKQLQERVAAILPESALYTQLLDLETRVDAAVARKKVDIQEALKNPPSVQKTLRIYVFNTFANQLQTIPKKPNAEPPMWTLKIIGRILEEGIDPDQPGFVNKTNPFYPKFSSFFKRVTISLDQRLYPDNHIIIWEHARSPTPQEGFEVKRKGEKEFTVNIRLEMNYVPEKFKLSSALMEVLGIEVDTRPRILAAIWHYVKARKLQNPYDPSFFNCDAQLQKVFGEDRVKFTMVSQKISQHLSPPPPIHLEHKIKLSGNSPAGNACYDVVVDVPFPIQRELSALLANGEKSKEIDACDEAICATIRKIHDHCRRRAFFLGFSQSPVEFINALVQSQSQDLKMVAGEPSRSAEKERRSDFFNQSWVEDAVIRYLNRKPPAGSDAPGSI >KJB73744 pep chromosome:Graimondii2_0_v6:11:57381739:57382825:-1 gene:B456_011G247800 transcript:KJB73744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTVSLKLLVDSTSQRVLFAESGKYFVDFMFNILSLPVGTVTRLLTKEQMVGSLGNLYDSLENMDDTYIQPTANKDTLLKPIVPENAANVPPLLPTVESSNSKPTGIYRCVNTYHRSSCGLYVANDSKSICPSCNNVMNQIATVVNPKKKGSPTDEGGYVKGVITYMITDDLAVRPMSAISCITLLNKFNIKDVGVLEEKTIDIGIDEGVKLLKASLQSRTVLTDAFLEKKAGESDASNSSGVHSIVI >KJB69427 pep chromosome:Graimondii2_0_v6:11:1682466:1686636:1 gene:B456_011G023400 transcript:KJB69427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLIFGYDIGISGGVTTMAPFLQKFFPSVWRKKEADKTKNQYCQYDSQTLTMFTSSLYLAALLASLVASTVTRKLGRKLSMLFGGLLFFAGALINGFAKAVWMLIVGRILLGFGVGFANQSVPLYLSEMAPYRYRGALNIGFQLSITVGILIANVLNYFFNKIDGGWGWRLSLGGAMVPALIITVGSLILPDTPNSMIERGQTEEAKAKLKRIRGVDDVDEEFRDLVAASDASKLVEHPWGNLLQRKYRPHLTMAILIPFFQQLTGINVIMFYAPVLFNTIGFEDDASLMSAVITGAVNVGATLVSIYGVDKWGRRFLFLEGGVQMLICQAVVAACIGARFGTNGDPGDLPKWYAVVVVLFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSVNMLFTFAVAQVFLTMLCHLKFGLFLFFAFFVLIMSIFVYFFLPETKGIPIEEMNRVWKTHWYWSRFVEDLDYPNGGMEMSKGGQGPKNV >KJB70477 pep chromosome:Graimondii2_0_v6:11:7162839:7163770:1 gene:B456_011G075100 transcript:KJB70477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNDAITLLKAQMSMSFVDKSPMKITKHNGGKEEKNKVGSSQLSKGEEQFYIKRNGGESYALAKKMKELEMMNVGDMEHVLDVEEALHYYSRLKSPAYLSIVDRFFKEMYSEIFIPPASSSAAGINSSKHRFGSIRM >KJB73439 pep chromosome:Graimondii2_0_v6:11:55132470:55133804:1 gene:B456_011G233400 transcript:KJB73439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQTSSLMLVLFVLLHMVLCTVESKPTTEIFRRLVGCRKGHTVQGVYELKQFVKKLGYLNYDGGKHGKDNEFDDDLEAAIKAYQVNYQLNITGILDDDTLKQMMKPRCGIADMIIHGDKNSKSFYRIGASQYEFFMGNPKWPLSKTHLTYNFRSSVDVYLAEDIRSVCFRAFERWANVSHFTFEEIPEDYIADIEIGFHSGDHGDGYPFDGPQGTLAHASPPTGGKLHYDADENWSTSPGPDDIDLESVTVHEIGHLLGLQHSLVPDAVMYAYFDSGITKRRLNRDDVHGIRALYD >KJB69462 pep chromosome:Graimondii2_0_v6:11:1810333:1811415:-1 gene:B456_011G024700 transcript:KJB69462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISSQRRSASPSPPPVFNSPRYRVIAAFCPKEAGPSTNVFNCIQCYNPCNNTWEHVSFIPNLRENHVLKGFVMVSLGESVYVIGGRLWNKRKPRDSNEIPDVDVEVSALVLRYNVRWNKWSKCASLGTPRYDFACCVCNDKIYVAGGKSGLNSTRGMTSAEVYDPVTGRWTDLPSMSSLRYKCVGVTWQGQIYVVGGFAEKDDYVPNMLTFSPQRCSAELFHVGAEKWDLEAGMWQLDVPPNQIVDVDGKLFSSGDCLNPWKGHIEAYDENLNMWTEVDGSRFNPPISAMERLYLTMAPIGTQLYFFAGYRKAEEPTKTLSVVYVFDTLATVDAWRSLEPTEEEGENELCSHCCVVQL >KJB69342 pep chromosome:Graimondii2_0_v6:11:1243574:1246947:1 gene:B456_011G017900 transcript:KJB69342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFINLLLLGIVLSLLTPAIEAQQPTYLYHDCPNTTTFTVISTYQANRNTVLSSLSSNSTRGRSPDMVYGLFHCRGDLSTSDCQACVTFATTDISQRCPNQTTAVAWYDECLLRYSNRNIFSVVEDRPAVRLSNYRNATGTEIPFDQLVAATVVMNMNDITTQTENKPAGSKKFATRETKLSSSRTLYTLAQCTPDLSGSDCSICLQGALELLPTGWLGGRLLSPSCNVRYEIYPFYNPTIVSPQPPPAADEENSQEVQLLDLVNEHSRETFNGENGERSQEFPSIQLDVLHAATNHFSDENKLGQGGFGPVFKGTLANGKEIAVKRLSETSSQGLVEVKNEIMLIAKLQHRNLVRLLGCCLEKSEKLLVYEFMPNKSLDVFLFDSKLAAQLDWQKRFNIIKGIARGILYLHEDSSLKIIHRDLKASNVLLDHEMNPKISNFGMARIFEDQNEANTNRVVGTYGYMAPEYAMEGLFSIKYDVFSFGVLLLEIISGKKNNGFHHLEHGESLLTFAWKLWSKGEGMELIDQVLVPSCVASEVLKCIHIGLLCVQEDPADRPTMSSVIFMLASDSTITLLCPSEPAFFVGRAIAKPTEPISDDGVFSVNEVTISNFSPR >KJB70634 pep chromosome:Graimondii2_0_v6:11:8679609:8680268:1 gene:B456_011G084500 transcript:KJB70634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYNCKRSGQIPAFGDWDHANELPITQYFESAREAGLIRFSSSSAKPKPYLTVDLKTKHPRNHVPVRKVS >KJB71037 pep chromosome:Graimondii2_0_v6:11:11433209:11437376:-1 gene:B456_011G101500 transcript:KJB71037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEAVEAPSYPCSFQVHCLMCTELTKFVERIMNIFPEIEAARPRCSLGIKALCSLNSAIERAKLLLQYCSESSKLYLAITTDSMVARFQKIKNLLEQGLCQIQSMVPVTLVAEICQIVDDLRAANFVPDKFDEEAGKVVRELLHRGAAASDSMEYAEMKALQSAASRLHITSPKAILIEKRSIKKLLEKVSDCDQPKKKILKYLYYLLRKYANLIIGAQTDNERAFAVKSPSTSFTHARSANVEPHTEYKQSSVQADMLNRAIPPEEFKCPISSRLMYDPVVIASGQTFERTWIQKWFDDGNDTCPKTKMKLDHLSLTPNAAMKDLISKWCMKYKITIQDPSMQPDVLQSLETSSASIASFGISMNDLCFPVDISSISIGSLDTSYTSDGSHNRIAGGLSLMPEQTGDDCLQQQSVCSTRSKIDLECLSSLAMLDREAQYKMVEDKKNLLKCDDLDCVSLSSKNFIEPLLKFLSSAHDSHDIRAQKAGFQLLSTFLSKSRDGIRYLDQNAYSMLSLFIDSEVTREVLDIMEVLSEHSSCKSKISASGALVSMLNILDSNIKDFQDQIIKILSNLSSSSTDICSDLVSPECIPKLIPYLQDTSLAKHCIVVLRNLCNNQEGRTWITQTPGCIASIAMLLETGGFEDQENALAILLTLCSQSIEYCHLVMDECCIFPVLFDVSVKGNEKGKASALELLRLLRDTKHDADDDDADKQECSNSNDVESEDANNYSKDKVSHKILFGVKLPKFSRSTAPKKKK >KJB72083 pep chromosome:Graimondii2_0_v6:11:27943282:27946014:1 gene:B456_011G158000 transcript:KJB72083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVRVKLLILLATSLLIYSLIVLLKVLYDYWWVPLRIQHFLNSQGLKGPPYKFIHGCNKQINKMRSEALSKPMGLTHDILPRVFPHYYSWINLYGKNYLSWDGVQAQVVTTDPELIKEVLKNSEQTFRKREPPIYVGRLLGDGLVTTEGEKWAKKRKLANYAFHGESLKNMTPAVIASVETMLDKWKDKEGEEIEAFQEFRILTSEVISRTAFGSSYFEGEKIFYMLQKLADIVSRNSNKSRIPILSKFWKTDDDIESEKLAREIQDLVIEIVKKRENKVSSGGAESFGSDFLGLLVKAFNNSDEKNKISMEDLVDECKTFYFAGQETVNASLAWAVLVLAIHRDWQDKARREVMEIFGKQNPHSEGLAKLKIMSMIINETLRLYTPLNGMVRRARRQVQVGKLVLPAEVDVLIPNMALHHDPQLWGDDAHVFKPERFEEGIAKATKYNAAAFIPFGMGPRACVGMTFAINETKTALSMILQRYTISLSPAYVHSPAPRITVQPQHGIQVMLNSLSNDA >KJB72987 pep chromosome:Graimondii2_0_v6:11:49976860:49979552:-1 gene:B456_011G207900 transcript:KJB72987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSSVTRRTLTLGLNSTRLLPLSRSLQTFSLPDLPYDYGALEPAISGEIMQLHHQKHHQTYITNYNKALEQLHEAIQKGDSSTVVKLQSAIKFNGGGHVNHSIFWKNLAPIREGGGEPPKASLGWAIDTHFGSLESLIQKMNTEGAALQGSGWVWLGVNKELKKLVIETTPNQDPLVTKGPHLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASEVYEKECA >KJB72989 pep chromosome:Graimondii2_0_v6:11:49976895:49979513:-1 gene:B456_011G207900 transcript:KJB72989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSSVTRRTLTLGLNSTRLLPLSRSLQTFSLPDLPYDYGALEPAISGEIMQLHHQKHHQTYITNYNKALEQLHEAIQKGDSSTVVKLQSAIKFNGGGHVNHSIFWKNLAPIREGGGEPPKASLGWAIDTHFGSLESLIQKMNTEGAALQGSGWWLGVNKELKKLVIETTPNQDPLVTKGPHLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASEVYEKECA >KJB72988 pep chromosome:Graimondii2_0_v6:11:49976895:49979513:-1 gene:B456_011G207900 transcript:KJB72988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSSVTRRTLTLGLNSTRLLPLSRSLQTFSLPDLPYDYGALEPAISGEIMQLHHQKHHQTYITNYNKALEQLHEAIQKGHVNHSIFWKNLAPIREGGGEPPKASLGWAIDTHFGSLESLIQKMNTEGAALQGSGWVWLGVNKELKKLVIETTPNQDPLVTKGPHLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASEVYEKECA >KJB72939 pep chromosome:Graimondii2_0_v6:11:49665529:49668758:1 gene:B456_011G205200 transcript:KJB72939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKACESFSKSLIEDVHKWGCMKQTGVSLRHMMEFGSKPTDRNLLISGQFLHKELPIRIARRAIELDTLPYGLSEKPATLKVRDWYLDSFRDLRSFPEIKDINDEKEFTQMIKAIKVRHNNVVPTMALGVQQLKKGMDPKIVYEDLDEIHQFLDRFYLSRIGIRMLIGQHVKLHKPNPAPHVVGYIHTKMSPVEVAKNASEAARAICLREYGSAPDINIYGDPSFTFPYVPTHLQLMVFELVKNSLRAVQERFMYSDKVAPPVRIIVAEGIEDVTIKISDEGGGIKRSGLPKIFTYLYSTAKNPLDEYTDLGTDDRITMAGYGYGIPISRLYAKYFGGDLQVISMEGYGTDAYLHLSRLGDSQEPLP >KJB73520 pep chromosome:Graimondii2_0_v6:11:55827331:55828300:-1 gene:B456_011G2372002 transcript:KJB73520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCLSIADYNTQKLPQKQFVNGQRVIATVMALPSPEMLGRLLLLLNSIGEVTETSSLKRAKKKSSCNVGSLVVTEIMPLELRLKFGIGFCGRVHITEVNDNNVLEKPFGNFKVGQTITARIVGKPNQKGLKFVQHLSGLKFALLILLYFFTSWYVLVLLR >KJB71911 pep chromosome:Graimondii2_0_v6:11:23736408:23739026:-1 gene:B456_011G146900 transcript:KJB71911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPTPNKLVEEEDDDSMLNNKKLGGIKTMPFILANEICDRFASSGFHSNMITYLTQELNMPLVQASNTLTNFGGTASFTPLIGALIADSFAGRFWTITVGSIIYELGLVSITLSAVLPSLRPPPCPTQQNCQEASTFQLWILYISLLLTSLGTGGIRPCVVTFAADQFGSDKMITGRSKKWNFFNWYYFSMGLATLTALTVVVYVQENVGWGWGLGIPTIAMIVSIIAFVIGSPLYKKIEPGGSPLIRLAQVIVAAFKKRKETAPENPKLLYQNKEVDAAISLHGRLVHTDQYKWLDKAAVVTESESAAGILLVTSSSHLHSFVIQQARTMDRHLSKSFEIPPASLSIFGILTTLSGLVLYERLFVPLARRFTGHPSGVTCLQRMGIGFVINIVATIVSSFIEIKRKSVAAHHNLLDDPKAIVPISVFWLVPQFCLHGIAEVFMSVGHLEFLYDQSPESMRSTAAALYWTAISMGNYIGTLLVSLVHKYTGENSNWLPDRNLNRGKLEYYYWLVSGIQVLNLIYYIICAYLYTYKPLEEVTEEEEEEDGEFNGKKISEKGVELTVNETA >KJB70745 pep chromosome:Graimondii2_0_v6:11:9406376:9407384:-1 gene:B456_011G089800 transcript:KJB70745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGNEGPPSVKIRRAKEGHQRRSFDCLGHDIWPKNIKTGSTSLLQIHPAQPQFTPFHKSTDSLSYSGPVHQLVPNVTSPTSQSGPVSITMVLSL >KJB74322 pep chromosome:Graimondii2_0_v6:11:61957183:61957785:1 gene:B456_011G287800 transcript:KJB74322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNTNKKLKHHRNQDSDDGNPEVWATIDKSFKQVQSVLDRNRMLIQQVNENHQSKIHDNMVKNVALIQELNGNISKVVSLYSDLSSNFSTAFQQQHSNEHPKEG >KJB73473 pep chromosome:Graimondii2_0_v6:11:55315523:55316922:-1 gene:B456_011G234500 transcript:KJB73473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQWSPLSWGFCSQQEGLDELKHTLLFTTWELETTVRSAKEEITKRELELLHLKDVLTKTMKEKDEAQCRCQKLMVEKFMLEQQLQHKEQPKQQQNQQDTASLSGVSSSEDESNSNISLVSSPGLDSLPQPSLPQEALKLAANRPLPEKGRLLQAVKDAGPLLQNLLLAGPLPRWQHPPPQLAAIEIPPVAISSPSQQVNGCLSKKRGPENIEGLESSPNNKHHKVALY >KJB72671 pep chromosome:Graimondii2_0_v6:11:45319867:45321660:1 gene:B456_011G189400 transcript:KJB72671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSENSAATFSLTDEDHTIANAVRFTLNQDPRVTFCGYSIPHPSEARVNIRVQTAGDPAREVLKDACQNLMLMCRHVRCTFDKAVEDFKASNAVKAMKIDSQDSSGDDSEESE >KJB70262 pep chromosome:Graimondii2_0_v6:11:16056991:16058192:-1 gene:B456_011G1186001 transcript:KJB70262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLVTTLITTLSAELFVNGEIVQRSPERQRRVELQPQRAQDRPRIADKSQVYTQHAYMRPLGV >KJB71353 pep chromosome:Graimondii2_0_v6:11:15829044:15829857:-1 gene:B456_011G118000 transcript:KJB71353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIHEKHMNEDLLLMIWDPYVNIVSIFNDYFPQRPYVNIELDDSKYIGELLAKIKAAKDRTLKDPMFVQLTNFQLQHDSTMGNYPVGRGDAMQLSALEILAYIGFVGILKSCIDWNTLLERFIPRQIVITQA >KJB69245 pep chromosome:Graimondii2_0_v6:11:1073518:1074764:1 gene:B456_011G015200 transcript:KJB69245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKQASKFAEPEPRAIPCEPIGIDVFGKQASKFPEPEPLAMHCEPAGINMFGKHASKFPEPETQPMHCEPTGINMFGKQASKFPEPETQPMHCEPTGINIFGKQATKFPEPEPQAMPCGPTGINMFGKQPSKFPDPQAMPCESTGINNFGKQASKFPEPELRTMSCEPTRINIFGKQASKFPEPEPQTIPCEPTGLNMFGKQASKFLEPEHQAIPCEPTGLNIFGKQASSRQDIDISEDTQLELARKIMTGTNTAHMDGLFVSDATTTTTVTPPCSVSMTSLPIMIFGAETIPSAHKPAAEESVLPRMVVPEVGSSSMAINTNSVESNAKDAIPPAQS >KJB68804 pep chromosome:Graimondii2_0_v6:11:25210334:25210666:1 gene:B456_011G150400 transcript:KJB68804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSITIPMLCVAFWLALVSPSHSQEEIKFCPTELKIAGQCGIKGGWDCFLAINAKVGASGMAMNCSCQPLPNNERNCKCMVVCRD >KJB73817 pep chromosome:Graimondii2_0_v6:11:58406740:58407211:1 gene:B456_011G2547001 transcript:KJB73817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNPCPEVVAQRGSGDDGIPVIFSNSDSNDGVVRLSSDINIEFIPLRPRFCQTTTVWKVDDYDHSAGKWWVITDGVKGNPGANTLTSWFRIEKASHLDYTFKYCPAVCGTCPALCNKIARDLDGEMMRLALSTDHGWPFFFKKLQTSAMEIEQVVHN >KJB72009 pep chromosome:Graimondii2_0_v6:11:26392864:26393437:1 gene:B456_011G153600 transcript:KJB72009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSITRPPMLDNGRLIRSLLMRAHDTIGDFYAKLCDLSIQAFTLGEDFSNFKLVRKIIRSLLERFSVKLTTIKEAKNLESLKFDEIIGSL >KJB74230 pep chromosome:Graimondii2_0_v6:11:61284849:61290842:1 gene:B456_011G280900 transcript:KJB74230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQGKTTLAEAVYKKISLEFESCCFLHNVREEIEKQGKKSLRNELLSKLLNSNVDIDTPSIGSTLTQQRLNNKKVLVVLDDISDPNQIDCMGVKHLGSGSKIIITSREKQVLKSGGADTIHELNPAVGFQDLSCKFLEYAQGNPLALRVLGCNLYERTINDWESEMEKLREYSHPEIFVVLKSSYDRLGMVEKNIFLDIACFFKGEPIKRIKHVLSCYRGVEDGISKLVSKCLINISPSSSTHNEDIICMHDMLEELGKDIIRQKSKTPGKCRRLWSHEHIKRVLKYNQGTDRIQGMKLNMSHMDKLLLRPFVFENMTNLKYIIFYSPKSSECGNDKKLYTNQVDIVPLPDELRYLRWDDYPCKSLSSSFDPEYLVVLKLPHGDMEQLWDGHRNLANLREIDVSYCKNLRKMPSLSRAINLELLRCIGCESLVELWNEDNHTELVNLREIYVAECNNLRKICNLSRAINLESLDCTDCQSLVELGNEGDRTDFANLRQIDFNGCVSLRKIPNLSIAINLEYLDCSNCKSLVELWNGDDCMDLDNLTVINVSNCSNLRKMPDLSRAINLQFLDCTNCKSLVELPCLDQLASLSEWCLHLNGCHSLKKFPQVPRHFCSLDLSETEIEEVPDSIKDVHKLETLWLGKSKVKNVSINILKLEFLRKLDLSDCPIEEVSLPFDPLCNLQHLNMSGSAVKNVSIKLESLRKLNLNGCPKVEVLSELPPYLKVLSANDCTSLEKVSFADQNLYQFDSLVDEFCRDHNLLMRFCNCFNLNQESTKNIEANAMLKIGSLAKEWAATYGRKYFRDNLRSLICCFPGNEISANKFKYRSLNSSLSLKISKNGGSGSRFLVFAICLVANLARRHDVLLRYICEYQLTAAGGGNGGDGCENFRSEISHFSLDEPDKYMDYHVFILSSIDMVIEDKNYEEASFKFYIRLLDLGRGGEEYIKVERCGVHVFYVDKKSDSDATEKRVAGNNRSSSHDGEEGD >KJB71473 pep chromosome:Graimondii2_0_v6:11:17658671:17659815:-1 gene:B456_011G124600 transcript:KJB71473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPEIHVSARKPLQPKNTAAADVNFVVVMKEGSKTKQVKSKPKQEHRLDDVSNKENLNHHHHPVTVYATPTNKKKVEAALDLDSSLAEELSAMRKRLERLRLDKEKTEKMLKEREAVLDSQLKEMEDRGQFQKQLEIEVDRLFRLKELKSYCMRISPIKSLRERQQGGRKNNEVQSLDIKAAAEWEAESMDENTLQSPTPSDSSEFVEENNND >KJB70346 pep chromosome:Graimondii2_0_v6:11:6067975:6069205:-1 gene:B456_011G068300 transcript:KJB70346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin-related lectin 19 [Source:Projected from Arabidopsis thaliana (AT1G73040) UniProtKB/Swiss-Prot;Acc:Q9SSM3] MDGGKEKSSSRKKMSVVVGPWGGNGGAAWDDGIYNGVREITLVYDRCIDSIRVVYDKNGKPVTAEKHGGVGGNKTAEIKLKFPEEFLISVTGYYCPVVYGGSPVIRSLTFKSNRRTFGPYGVEEGTPFAFSVEGARVAGFNGRSGWYVDSIGFRLCRVQSPKLFQKVQKGFQRLTSSVSKASA >KJB73898 pep chromosome:Graimondii2_0_v6:11:59363057:59364827:1 gene:B456_011G262900 transcript:KJB73898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTNYELELKNERRRVCSLLYEIDRRKQQSFEMERKYNNTTATLQGLIDGLIAKINSKDSCLWDWELRYNETMRQLKGENAALRRVFAEENRKEKAENYKLRCELRRRTKELKDYKSQNDNNMERRSFLNEIEAPKENVPCRDLIELEKTTSDQIAALKEQLEETSEALKDMESRYSCLTVKQILTNQEVQDARKESINGLNDVLTSRTTLVVKRMGEIDQKAFEVASSGKFPNEDWQETCAKLCSLWQQNVQDPKWHPFKMINIRGNLQEIVDEDDEKLKELRNEYGDVVYEAVSTALMEMNEYNASGRYAVPEIWNRKEGRKATMKEIIQYVIGQLKIHKRKRKQIP >KJB68838 pep chromosome:Graimondii2_0_v6:11:24554042:24554581:1 gene:B456_011G149500 transcript:KJB68838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKMIPVLSILVLLLSLSLLLPLSSTANTHLIGKKMKQEIRRNLIGVHPGRGGHPGGGAPGHDSGKENFTPATKTQGHN >KJB73055 pep chromosome:Graimondii2_0_v6:11:51172560:51173270:1 gene:B456_011G212400 transcript:KJB73055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHKTQQPTIDDNKSAACCQPVTRNKGNGRNRSFLEGCLFALCCCWLWDACFDL >KJB73160 pep chromosome:Graimondii2_0_v6:11:52605529:52607983:1 gene:B456_011G218700 transcript:KJB73160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLRSVKVPPNSASLEEARSRVFDFFRKACRSIPTIMDIYNLDDVVTKSELRSSISSEIRKNSHVTNPKVIDLLLFKGMEELNNIVEHAKQRHHIIGQYVLGRQGLAQDLDTKDEGTSDFLKNFYKSNYF >KJB71491 pep chromosome:Graimondii2_0_v6:11:17722339:17726337:-1 gene:B456_011G125200 transcript:KJB71491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTDEMKLPSYFATFAFLFLCFLSVNVADLDSDKQALLRFSARVPHGRKLNWSSATPVCTSWVGINCTKDQSRVMALHLPGVGLHGPIPANTLGKLDALMILSLRLNSLSGNLPTDVLSLPSLRYIYLQHNNFSGRIPPSLPPHLNFLDLSFNSLSGTIPATVQNLTNLTGLELQNNSLTGQIPNFNLPRLKLLNLSYNNLNGSIPSSLHNFPVSSFVGNHICGPPLNQCLTINPSSPSPSPTHLPPSAKPGNSHKKLSTGTIIAISVGGSALVLLLFLFLVLWCLTRKNFQGSFTSKANGGRTEKPKEDFGSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTMVVKRLKEVVAGKREFELQMENVGRLGHHPNLVPLRAYYYSKDEKLLVYDYIPAGSFSSLLHGSRESGRPLPDWDTRLKICLGAAKGITHLHASGGGKFVHGNIKPSNVLLTQDLHGCISDFGLTSLMSSPTVPSRAVGYRAPEAIETRKFTQKSDVYSFGVLLLEMLTGKAAVVQTSGHEDVVDLPRWVQSVVREEWTAEVFDIELMKYNDIEEELVQMLQIAMACVARLPDVRPSMEEVTRTIEEIRSSDSENRPSSDQEVA >KJB72070 pep chromosome:Graimondii2_0_v6:11:27303345:27305282:-1 gene:B456_011G156500 transcript:KJB72070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVWPLIKEITEYFHGNSTKEEAHPFRIFMVVRDFLTVLERVCKKVGMINERTVVSSAHKFPIPVNPMMQPVFPVPVNLIMSQAFARFQGRPRYGSGSDDETASP >KJB74369 pep chromosome:Graimondii2_0_v6:11:62201298:62202641:-1 gene:B456_011G290800 transcript:KJB74369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNSPCASCKLLRRRCAKDCIFAPYFPSDDPHKFAIVHKVFGASNVSKTLQELPLQQRADAVSSLVYEANARIRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEIVCIQMQQDPIMVAANPQMVLGQADDDDQAFLLQNQCFNFANNNASSSAYVNIHESLKRESIFGDIVS >KJB72032 pep chromosome:Graimondii2_0_v6:11:26670748:26672172:1 gene:B456_011G154300 transcript:KJB72032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYEQEEHPKLQFPLDSNSYNIIAEIGAGVCSKVYTAQCLPINSNVVAIKSIDLDQSNAGFRNLIGRETNTSSLLSHPNILNPHCSFTAGNRIWVVMPFMSGGSLESITSSSSPNGIQEQCIAIILKETLTALSYLHSQGYLHRDIKASNILLDDNGRVKLADFGVSSSFYASSSVYRLGSSTFSSQYGTAPEVIHSHKGYSFKADIWYFGITALVSTKKFSETFQDMAASCLHKDPANRPSADKLLKHPFFESCNGTSKFLAENLLRGLPSVEERFRAASKILEKGVDCDPNGDWASGLLVNHRMSSIIEGNGNGDEEFEVHDPVFPVESTQAVIPCDDDGEEQQPAAGGRGSEVNAETMVNQLMALKTSLDDQKEKLNKIINQPGAEMIDREDELEKENVRLRLELEREREHNLNLIQVINEEDPLLQQNERLRLELKNEKLRLENEKLRLELEKLKMHISATSNTTTDDNN >KJB69801 pep chromosome:Graimondii2_0_v6:11:3175333:3178506:-1 gene:B456_011G043000 transcript:KJB69801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSVMRSHSSSLTLHHFSYSGLTPPPKRRTSLPVSSPRFCVPVTSSFYSPLKLQIKRSKNVQDRRRRSGNDVVRVNATDDDSVGISSFDDWTIEEDSVAAYVHSSDSDGDLVLNSLSDEDLPLEEALAKASRRIALRGKGLKRHKIYPGMIMNLALIIFLTMVLLLVDWCGWKIVRLPLAPFYLTSPFLISLILALCAGYVGIPLLRIFKFYQIVKKIGPEKYLTKKRTPTMGGLFFIPVGIAVARFATGFSSAEVGATAAATLAFATIGLLDDALGSTKQKSNGIDPLLRLLLEAAVGIWFSFWLDSTRLSSPYGMKMLVPLPAPLGLLCLGKFYLLLTPLCFVSMGKGVNITDGLDGLAAGTASLAFIGMSIAVLPICPELSVFGTAMAGACIGFLFHNQYKAGVIMGDTGSSALGGALAAMAACTGMFFPLFIASGLFVLEASSVVMQVVYFKATKQYHGSGSRLFKMAPLHHHLELCGLQEPMIVAGAYAVSSVLALCAAYIGLKSA >KJB74019 pep chromosome:Graimondii2_0_v6:11:59971837:59972794:1 gene:B456_011G267700 transcript:KJB74019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLGCSCGACKFLRRKCTNECVFAPYFCYDEAANHFAAVHKVFGASNASKLLLHLPIHNRSDAAITIAYEALARIRDPIYGCVAHIFALQQQVAGLQEEIETLINQMANHAVEVPIEAVQFGSIDETMNTLYYQDEQATLLNLQGSITGNQVVHSQLCEEDSAQHSFKWVEDSKFLSNIHENPYEISFEGLEFGDLIDYPCMGNTGIPSNWEIPIL >KJB71599 pep chromosome:Graimondii2_0_v6:11:20114649:20114903:1 gene:B456_011G132400 transcript:KJB71599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRFLDHYVTQWTITPIKRSIEFTKKIPNQILDKVQLQRFLHSFNYVIDFYPGLSKLCKPLYERLKKNSQPWINVHTNIVTQINK >KJB70265 pep chromosome:Graimondii2_0_v6:11:5569807:5570800:-1 gene:B456_011G065600 transcript:KJB70265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSRIASFVYLLTLASLLQTAFGLFHNCSDTGSFSAGGPYEANLNQLIEFLSSQTPPSGFGRRAIGQNPNRVFGLALCRGDVSSEDCKTCVVRAGNEIRKQCPYKKGAITWYDDCLVKYSNIGFFGQIDNQNKFNVWNPNKASEAFSRQSEGFLSLLANEASANPTSFYASGEILVHRSMKIYGMTQCTRDLSISDCKKCLDGLIDEFPKCCNQLEGARVFSGSCNFRYENFPFVKA >KJB71552 pep chromosome:Graimondii2_0_v6:11:18775783:18779570:1 gene:B456_011G128800 transcript:KJB71552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDVIEVGETPFDSYPSSFENILSSHTKNKAKKKGETSKNEGQTSKNKGETSKNEGRNCCFVPKSENK >KJB71778 pep chromosome:Graimondii2_0_v6:11:22382372:22383904:-1 gene:B456_011G141900 transcript:KJB71778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVMASTSNPELNTSRNYRQWLTETFDGHEMLDDELSDSNLDGNGNLINPLDQHFPMTMEHREPYIGMEFESAEDAREFYEMYGRRMGFTIRNNRTRRSLKDNSIIGREFVCSKEGFRAEKYTKKETRVFTSRPATREGCNAMLRIAAKDGGKWVIYGFVKEHNHVLNPSKIPPRRSHRIAFCEDEKDLKIRELSTELHHEKKKSAAYQEQLQMVLNYIEEHTQRLSLKVNLVSNNLRELEYED >KJB70907 pep chromosome:Graimondii2_0_v6:11:10351560:10354393:-1 gene:B456_011G095300 transcript:KJB70907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cysteine-rich receptor-like protein kinase 43 [Source:Projected from Arabidopsis thaliana (AT4G28670) UniProtKB/Swiss-Prot;Acc:Q9M0G5] AALLIIFSLIVISVRSYADTRLDLVARSCETTTVQNKDDYLKNYESILQKMEPEMYRNKFAFNEAGKPPDKIYVLSQCMNDLSSVECAQCFARISNILPACFPTTGGRVYLDGCFIRANNYSFYREVTANGDINRCSDDIDTDEDFKMVLRDMLPRMVHKAPDKKGFALFQESRNGTTVHGMAQCWKILDKEMCSSCLADAVDLVFRCVPSKEGRALNAGCFLRYSTYDFGHDTNAGAVRYAIISFIIYIFLTAVVCTLAVAIGLRLGKMAYKQMNPRREWKGKEVDLAALDQAMKFLQFKFSTLEKATDCFNEANKLGSGGYGEVFKGTLPDGREIAVKRLYVNGRNRSREIYNEMDVISKAQHKNLVRCLGGCFTIIENFLVYEYLANKSLDSILFGKLQISILKQNGDCFDAPWKKDPEKKKELDWGKRQKIIMETAEGLEYLHKGCEVRIIHRDIKASNILLDIKFRPKIADFGLARLCSRDSDRISLVNNTVAGTFGYMAPEYIAKGRLTEKVDVYSFGVLMLEIISGVKNTKIESDNYFETLVTDVIITSHLSYFHSPFFSSKFPRILNIFWELSHPLSNFYQKIRLSLVANIIFNIDFQAWRHFQSNTTTKIIDESLNPEDQIEEIKRQIQLGLLCTQAEPTLRPNMSKVLQILRHKDMDLPSPTKPPFLDESLILSASSFNTLPSKSQTNINFPEKSQTNDNLHRHDQQDYSNL >KJB71437 pep chromosome:Graimondii2_0_v6:11:17398346:17398986:1 gene:B456_011G123500 transcript:KJB71437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKIHFLALFSLVHSWIYWCSRVLHLGTPMPCALALGNKSTSTLSDEVYLIRHTFGALNCVIFCKTIGIKMLV >KJB73379 pep chromosome:Graimondii2_0_v6:11:54349230:54351422:-1 gene:B456_011G229800 transcript:KJB73379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFYHVLAFFISCLILFFSCKSKAKRRFNLPPGPPGWPVVGNLFQVARSGKPFFEYVDELRHQYGPIFTLKMGTRTMIILSDAKLCHEAFIEKGVVFASRPRENPTRNIFSCNKFTVNAAVYGPVWRSLRRNMVQNMLSSTRLKEFRTAREHAMDKLIDRLKAEAAANDGVVSVLKNARFAVFCILLAMCFGVEMDEETVEKMDEVMKTVLITLDPRIDDYLPILSPFFSKQRKQALQVRKHQIDYIVPFIEKRREALLNPGSDRSAMSFSYLDTLFDLKVEGRKSAPSNSELVTLCSEFLNGGTDTTATALEWGIAQLIENQDIQSKLLDEIKSTVGDRRVDETDIEKLKYLQAVVKELLRRHPPTYFSLTHAATEEAATLGGYDIPTDANLEIYLPGIGDDPKIWSDPEKFDPDRFYLGKEDGDIMGVKGVKMMPFGVGRRICPGLGMATVHVHLMLARMVQEFEWSAYPANSKVDFSGKLEFTVVMKNALKATIKPRDSG >KJB69227 pep chromosome:Graimondii2_0_v6:11:829575:833128:1 gene:B456_011G011700 transcript:KJB69227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSNSTSCMLAFGHNNNTCNGPCHVSMMPSMSSHHHHHMDSNNPLFLPLPPTNNQDPNHNSTSGSPSMILNNTGCYFMENNNNDDDGSSSSSMKAKIMSHPHYHRLLAAYVNCQKVGAPPEVVARLEEACATAATVGGTSSTSYMGEDPALDQFMEAYCEMLIKYEQELSKPFKEAMVFLQRIECQFKALTVSSSNSAYGTETFERNGSSEEETDVNNKSFIDPLAEDRELKDKLLQRYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDGTHNPHYFMDNVVGNPFPMDLSPTFL >KJB73384 pep chromosome:Graimondii2_0_v6:11:54396497:54398481:-1 gene:B456_011G230400 transcript:KJB73384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IISCFFSFLLAEFFEICNWFSVCWENFILAFWFLGLYLFDFWIENRRGKTKTLGRLLGFSLIQVPIVISNFDRFGIFGVSRVLGENKTVVLKVGMSCEGCVGAVKRVLGKMQGVESYEVDLKEQKVTVKGNVQPDAVLQTVSKTGKKTTFWEGEAPAEAKTKPATA >KJB72054 pep chromosome:Graimondii2_0_v6:11:27230210:27232294:1 gene:B456_011G156200 transcript:KJB72054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGLSSFWGPVTAAEWCEKNYVYSSYIAEFFNTISNVPCILLALIGLINALRQRFEKRFSVLHVSNMILAIGSMLYHATLQQCKQQQGDETPMVWEMLLYFYILYSPDWHYRSTMPTFLFLYGVGFAMAHALLRFGIGFKVHYVILCLLCIPRMYKYYIYTEDASAKRLAKLYVATLFLGSICWLADRLFCKEISGWYFNPQGHALWHVLMGFNSYFANTFLMFCRAQRREWDPKVVHFLGFFPYVKIQKPKSQ >KJB72842 pep chromosome:Graimondii2_0_v6:11:48602180:48604682:1 gene:B456_011G200600 transcript:KJB72842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTIVVVFFMFQCFSFNVKGFGLSYNFYEKSCPQVEDIVRNGLQPIFLTDPTSAPALLRLMFHDCQVQGCDASILVDPGNGNEATEMASSKNLGIRKREIVSMVKSMVEAQCPQQVSCADILILAAREAVAVTGGPRIKVPLGRRDSSHAPSHRLPDALLPPATAGVSEMLNIFTNKGMNLEESVAVLGAHTLGITHCSNLQNRLYSRNNDELRGMEPGFAAFLRLTCQKGPLTSNLSFVLNDPTPFSFDNEYYVNAMRGRGVLKIDAEMVSNPKTAHVMKHFSMNEADFFRAFYSAFVKLSRYGVLTGKQGVIRKNCNQLS >KJB72559 pep chromosome:Graimondii2_0_v6:11:43965159:43970235:-1 gene:B456_011G184900 transcript:KJB72559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDCNKEEAIRAKDIAEKKMQNKDFSGALRVAAKAQQLFQDLESISQMIVVCDVHCAAEKRLYGNEMDWYAILKVDQTADEATIKKQYRKFALQLHPDKNKFPGAEAAFKLIGDAQRTLLDQGKRSSHDMKRKVTVNRPSPAAACRPPQNSSWFPHAAAQNNFHANFPGLNSQQQRQQPTQTGSSNGRPTFWTKCPYCTVKYQYYTEILNRSIRCQTCKKNFVAYDSGAVPPASKMSRPKFPQQGVAQNQGACGVDQRSQRSFTVENVFTGFTPNAPHTTEARKGKGNSKRGKKQTVESSGSSNESDEDMVIDGNGDVLVGKQSNSQAEQNVRRSGRRKKHISYKVNLSDEEDLGSLPKKTKTSGSPCANEETKEMPNEDESKQKNRAGEVKYQNARDHREGKGFKSSFLKELSDGDDLRKPGKAYADGLKENLNPIVDDSVSDLSQKETKEPLVFACANPEFYDFDNDKKESSFSVGQIWALYDTLDAMPRFYARIRKIFSSGFKLKITWLEPDPDDANEIEWVGEGLPVSCGKFKHGASENTEDCLMFSHLLYWEKGTCRDTYKIFPRKGETWALFKNWNINWKSGSGTDKKYKYEFVEILSGGVEGAGIQVAYLNKVKGFVSVFSRMSKNGVDTFVVPRNELFRFSHMVPSFVLTGKERKGVPKGSFELDTAALPEEIAVPKVLKANGDSRHLSSSYSAACEIPKPTVGSDEPALSASASKIFEIPESEFYNFDADKTKEKFLVGQVWALYGDDDGLPKYYGEIKNIESHPVFKIHVTWLLPCQSERRTEWYDTSMPTCCGRFSRKGSQVYTSTDSFSHKLKAESTGTKDEFAISPRQGEIWALYRNWTPQIKCSDLENWYYDIVLVMKEINGCIEVLMLERVDGFNSVFRVQAKGGSNVAAEISWVDQLRFSHQIPFFELTEERNGSLRGCWELDPAALPVHYFS >KJB74174 pep chromosome:Graimondii2_0_v6:11:61008861:61009385:-1 gene:B456_011G2777001 transcript:KJB74174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPRFELPEALVMGILSKLPVKSLTRFNCVCKYWCSSFQTPHFISNNYHNNLENNNLNLLLSRCDGNTFQRYFSQLSNEKYQNYIVKQNIHLPFFKNDRPSVYGACHGLLCLLDPSKDKAAIWNPSTREFKILPPSSIQRPPYFSPFEETYLTLDHVEFNHASFGFDSKTDDYK >KJB71926 pep chromosome:Graimondii2_0_v6:11:24509407:24510425:1 gene:B456_011G149400 transcript:KJB71926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKMIPVLPILVLLLSFSLFLPPSLTTGSHLIGNKVKQESRRNLIGVNPGPGGHGPSGYVPRGPDRCC >KJB69277 pep chromosome:Graimondii2_0_v6:11:986367:992313:-1 gene:B456_011G014000 transcript:KJB69277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIGDYKDWLLPLNQSFKMSSCENVQTSEVGGQDHQNNAPVVSVGCLRPDDGVEEEAEEEVEDGEDEEEDVDFNPFLKETPSLEASSSLSSEIEGLDGDTVDSRENVNVTPDVNSSKINTMLQNSDVGDSEHCDEEIVMRSTSSHELQNNVPQKNYKREAGSSSQLEREKESQLSNVKNSMVGDSSNATHSQKIIMYLIDDEDDAICRRTRARYSLASFTLDELEAFLQETDDEDDVQNVDDEEEYRKFLAAVLQGGDGDHQSTQENENVDDEDEDNDADFEVELEEALESDYDEPTLEKTQAEENQRAGRRPETRQNRRQKASAQYERKLLEQTKRPLRPLLPILPNEQITPIPTLNGKTWMPEIYKNCVASAAVDGFINGFTPYQIGQLHCLIHEHVQLLIQIFSLCVLDHSRQHIASQIQGLILEMLQKRDEAIAHKRKPYPDSCFKPPYVSSSVPNEVPLLCPTKNTSKTSTSNANGVCFSPNTQLPDAQNISSPGRRCEHSDVQLYSFWVPSLSSPVLSILDVAPFNLVGRYMDDVYSAVQEHRQRHLESSTTQYEKAPLFPLPCSPSMMEANNEASRSSSSPVGCLGPPSVCQPPAKKTLAATLVEKTKKQSVALVPKEIAKLAQRFFPLFNPALFPHKPPPVAVANRVLFTDAEDELLALGLMEYNSDWKAIQQRFLPCKSKHQIFVRQKNRCSSKAPENPIKAVRRMKNSPLNAEEIQGIQEGLKAFKLDWMSVWKFIVPHRDPSLLPRQWRIALGTQKSYKQDAAKKEKRRLYESERRKRKATNSTNWQHASDKEDCQYTGVENCSGDDDMDNAEESYVHEGFLADWRPGISKLFSSEHPCSIIGDKNPPNDMLTEEGANVREQSSRYMSAVTRPLSGHNQGSAHAFNHSQPPYTFSHCASNALQPKHPVPNMILNTTKPQIYLRPYRSRKSNNLRVVKLAPDLPPVNLPPSVRVISESALKFNQCGAYTKVSATGNRVVDAGIVNTVSPFSGFTKPLVNKSDKSNPMGDNVTNSNSEESGVVKDKSVAKESTRTDLQMHPLLFQAPEDGQVPYYPLNCGAGASSSFSLFSGNQPQLNLSLFYNPQQAKKMKESVSASYGIDFHPLLQRTDETNNELITSGSIASPSVGLDGKSAAPNPSNAVQMRPVVHYSPFAARSRPSSPNEKANELDLEIHLSSSSAKENAALSRGVTPHPTNSSVRLLNSHNATETQDTFHSSGNKFVSGGCASTISSKVIGRYIDDGSDQSHPEIVMEQEELSDSDEDVEEHVEFECEEMADSEGEGDSGCEQVSEMQDKDAQGSVTREIVMDEDCNDQQWELSIHGYKSQNNVCDPESRSPSFLKTGSTCPKKDKSSSWLSLDASASGRTSRAKPKNEASTISKCTPTKTSASHRTTRPSKQATPSTRKVALQEHAVDMAEQLSLGPLSAPTSRKPRKRTCRANKITNVGTSLGNSKKDAKDSG >KJB73173 pep chromosome:Graimondii2_0_v6:11:52711532:52712548:-1 gene:B456_011G219500 transcript:KJB73173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETDNIREIVQHDFPKTNKRKLQKPSDREEIWGWLNSDDQDQKDEQICRKSDTDAEIEAMFDKVKRRKKMEETSSPGIGLLVEKVMAQMENAATDDIELNIQNKPAIRKIQMLPLLTDFLSKKKLQQEFLDHGVLTLLKSWLDPLPDGSLPNATLRSSILNILTQVMPVDISLEDGREQLKKSGLGKVIMFLSKSDEETTANRKLAKHLVQNWCRTIFNKTTSYCNLRNSVIPRMKKPLMKQSTRVELREADLDLEGPRRPCSSGTASGSVSVPEPAPCVYEVNPLTNFKPEFARRYRGSREVRESECFERIEKKMSGLKKSNKKKTLQAPKPAVL >KJB74172 pep chromosome:Graimondii2_0_v6:11:60992136:60993406:-1 gene:B456_011G277500 transcript:KJB74172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPRFELPEALVMGILSKLPVKSLTRFNCVCKYWCSSFQTPHFISNNYHNNLENNNLNLLLSRCDGNTFQRYFSQLSNEKYQNYIVKQNIHLPFFKNDRPSVYGACHGLLCLLDPSKDKAAIWNPSTREFKILPPSSIQRPPYFSPFEETYLTLDHVEFNHASFGFDSKTDDYKVIRFVTLTFVNSEEQYPHSHFMYQVELYSLRSNSWKEIPCPDYKPTGTTLGNNYVDGICYMKTETEYYVNLLVFNGSLGAIVYPTERIDTSFDLWVTSEGVWTKQSISGVVHPLGFGKNGDLFLTDTNDEVLLFDASTQELKELEINTYLDHFRFTISLHAYLESLVRINGIQENIEKHVIRQPARDASNEY >KJB73153 pep chromosome:Graimondii2_0_v6:11:52484780:52488645:-1 gene:B456_011G218100 transcript:KJB73153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGGMFKKLTVLAVMVTIMATSVAAQPKLGCQSHCGNIGIPYPFGTRNGCYISRDFFINCDTSFNPPKALILSDGGLELQVLNISLDDGSLRIRYDSSIGYDCYNSSGPTSQDTSVFAHRKFSISYSRNKFTAIGCDTIAYINGFSRPDSSNIVFKAKNFSTGCLTFCGDVGDVLNRSCSGIGCCQTAIPRGMQAYLFNFTTLQSHSTVLRFNPCSYGFLVEDGVYTFSTSDLSNIDFNKRKYPLILDWTIGNQTCEEAKKDPKSYACKQNSACIDHPESGPGYLCKCNDGFQGNPYLSNGCQDIDECETLKPCNKFGTCHNTPGSYYCSCPHEFKGDGRKNGTGCHRIFKPQNSERFRILAVALGLSIGLLFLIAGVWWFCKILQKRKYIKLKQKLFERNGGLLFQKKMSSNEGGLDKAKLFCSKELEIATDQYNENRILGCGGQGVVYKGMLSDGRIVAVKKSKTVNEGYLEQFINEIFILSQIDHRNIVKLLGCCLETEVPLLVYEFIPNGTLSHLIHDQNEEYPRSWDIRLRIAAEVASAISYLHSSASIPIYHRDIKSSNILLDEKFRAKVSDFGTSRSISIDQTHLTTQVLGTFGYLDPEYFQSSQFTEKSDVYSFGVVIVELLTGKKAVSTFGSQEKRGLVSYFMSSMEENHLLDIVDAEIGKDDQKDEVLAVAEIAKRCLNLDGRYRPTMKEVAMELERLRSRQGDCIPIDQLKQAEVVVRKSTESWDFTSFSTEHYPNCSITSTSKSNSLQDL >KJB71392 pep chromosome:Graimondii2_0_v6:11:16675985:16677199:-1 gene:B456_011G120600 transcript:KJB71392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKTVKLISEEKEELLKSIKKVKRADGLANETSRKIVSYRDTLVGEDFCNFEAYLEKEPVSMEEFDDCLNEPVLENIKDGIISITLSSDEKDRIRLKWDKILIIEAFGRTFGYQYLLFKLNQLWNLSGELQLIDLDSGFFVVQFSCFDDFEKVLKGGPWALEASFNLVAVWVRLPELLLEYYDPTILAKIEQSLGTLLRVDNATSSESRGKYARFCVQVNIESPLKQFILIDGRKQYLQYEGLDLFYFSCVIIGHRHENCPIILARSREATCSKVAATVETNHTFTAPVTLAYSHPMDMSTFSPSPANVSTVQHPIVEPAVSGTWLMVARKSRARKPFIEAALKSPTAAATFSADEAGPGSAEPAGSAAVKAAESQKVSRC >KJB72392 pep chromosome:Graimondii2_0_v6:11:40431578:40438102:1 gene:B456_011G175500 transcript:KJB72392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVIGGKFKLGRKIGSGSFGELYLGVNVQSGEEVAVKLESIKTKHPQLLYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLLIRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTIRRYPQVGSSSRARPSPKPGLNPPGVSAERTERPSVGQEIGERVSGTIEPLTRRIGSGQGLHGDQSRYRSDDVPSSKDVQPDSEKVRSSSRNDSSSKRPVATGSRLDSSGEPNENRSSRLVSSSSRLSTTQRILPGFQSRSASYTRTSATRGGQDDTLRSFEFLTIGSGKRK >KJB73972 pep chromosome:Graimondii2_0_v6:11:59624784:59635454:1 gene:B456_011G264800 transcript:KJB73972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVIAIVGSIVAKAVEYTISPIKNHVKYLSNHQQYVETLKNRANRLKDARDGVQHSVDAAKQNGEEIEGDVDKWLSAVDKMILEQVEKVMQDEEKAKKKCFIGLCPNFRTRYKLSLKAEEEAKAVAELLEHGKFERVSYRAAPQGIVVAPVKGYEEFESRTSILNGIMEALKDDSVSVVGVHGMGGIGKTTLVKEIARKVKGKLFDSVVIATVTQATDIEKIQNQIADFLGLKFEEQSMVGKAFRLRERLKEKRILVVLDDIWEKLDIEEVGIPLGDEHKGCKLLLTSRELNVLLNEMDAQKNFLIRVLNEKEAWDLFEKMTGDCVKSCDLKPIAMKVAKKCAGLPIAIATVAGALRNKRLFEWKNALRELERPSSSNFKGITAAYSAIKLSFNYLESVEVKLTFLLCSVIGHNGLVEDLVRYTLGLGLFDGVHTMEEGRNKVLTVVANLKASALLLDSYNDERFDIHDVVWDAAIAIALKDYRMLVLRDHALKEWSDKEKMKTWSVISLRCPQIIANLPKEMECSGLSFFHMAYDGAVKIPLNFFKQTEGLKALDLVGMQFPSLPESIIHLADLRMLCLKKCAVDDITILGELKSLQVLNLSQSGIKKLPKEMAQLTQLRLLDLRWCRELKIIPPNVLSGLSKLEELYMDESFVEWEKGGVVENERKNASLDELNNLPCLTTLYVHILDVQMIPKHRFVETLDRFRIFIGNYGIYDCCDNYKSPKALKLMLYTNIHLDNGMKMLLIKTEDLCLEGLEGVKNVLVELNNGKDLPNLKRFHVKNSRHVQHIKTNKIGFSELCFIKLENLPQLVSFCSPDERCSTKSLLLLNKQTCHWVTNLRSLIIKGCGKMEHLLSPSLARSLVQLQCFEIEDCNCLRDIILTEEIEEERKDVICFPRLNSLHIVGLPNLIFFNSGNHNIEFPLLKELKIKRCPKLIEFISQNSNQSSMHALFSEKVAAPTLEDMNISNLSNVKMIFYNDLAPGSFKNLRKISVRGCGSLKNLFPVFIAKDLPQLEHLRITNCGVEEIVSKGDGVEEQPVRLEFPQVSYLEVTWVEKLKCFYEGQHRIVWPMLKKLKTDSSALLKIVASEHLRLIQGNEQPVLLDEEVIPKLEELELRNFGDMDQFPPDLFQHIKVFALSGGSPFSLFPFVRRFYNLERLEFSYFDFKHIVPCKGDAGTLPPIRNLKLDFARNLKHIWRKGSELDHILSNLQKLEVCACDDWINISVFSSSLQNLTILNVSHCEMMTNLVTPAVLKNLVQLTTIKVEYCTKMTEIVGNEGDCHQTIVVSKLKCLQLCNLKSLTSFCPRYCNFEFPCLEELVVEGCPRLKIFSEGVLSTPQLQRIKQSRYREKWSWTSDLNTTIQQLYTEKGGLYGPCDFNISDTFPESIEIWTRNPQEILGFKNLSRLQFYKCSSLKYIFTPSMLLSLNQLQSIEVEDCSSMEQVVREEEEAMTHKFTFLSLLSVTIKSCSNLTNFHWGSQALEFPRLSDITIAECPKMTAFSSSVSRESGDASESVVGEGGIYDNTATFFSNKVVIPSLESLDLSSINIHKIWHHSSSPCIGYLNYLQVKRCHNLKYLFPSFLVKDLVQLRGLEILDCNMMEQVIFTDRLVEEHQGRNQMFSFNLHFLRLDDLPKLTSLCFENYFEFHCLSFLSLRNCPLLKTFISKCVPGDESQIGQHVQASNLEVHNSSLLNEKVVFPSLEYLWIQNCDSLEEIIELEGLIADESQSTSAAQSIVAETVTTKFVFPKLINLDLDKVPRLKSFYSRMHTTHWPSLKKMDIIECPKVQIFTPQCPVSQVGISNQQPLFCVNEDTFPVLEELTLKTNDMGKGICDGQLSLQCFQNLKHLNLQFFPETSTTLPYSFIRSLPVLHKLVIDNASICQIVQSEGLSDQEWHTSAFYQLKELSLSQLPELTLKTFEPSLLSFKNLTTLKVSRCHGFINLIACSTAKCLTLLERLIIDDCEMIEEIIACEAEEIQGGIVFPELKYLQLSCLPCLASFSLAHHSLEFPVLLMVMVTKCPQMRNFCQGDLSTPRLEQMHLTRDEEGELKWEGDLNTTIKHMFDEMNVQNSEVTEVTDQLPKLE >KJB68681 pep chromosome:Graimondii2_0_v6:11:4837419:4837956:-1 gene:B456_011G0598002 transcript:KJB68681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREVYEEKLRNGNLHHDPTINPGLGSARCPRCLSLLNPDSDKAEWTITSVLEDATAVVRFISPSFVLLVGRNINNNPPQCSNL >KJB72112 pep chromosome:Graimondii2_0_v6:11:29034893:29036352:-1 gene:B456_011G159700 transcript:KJB72112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQMYAPIFDLSTPFSFSFWITAKESHSISTLENPIESLSQSLGNKPSPSLQTVKFILKKCSCGNFQDTIVECCPSCNNLLRSVHIAHITQQNTRQRHS >KJB72113 pep chromosome:Graimondii2_0_v6:11:29035533:29036320:-1 gene:B456_011G159700 transcript:KJB72113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQMYAPIFDLSTPFSFSFWITAKESHSISTLENPIESLSQSLGNKPSPSLQTVKVLYIQLICLSHHQLPPNSA >KJB71316 pep chromosome:Graimondii2_0_v6:11:15290393:15292602:1 gene:B456_011G116200 transcript:KJB71316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYASNFQVLHSFISSIPSSIIAIFFHFLGSFFSVSVQITDSTVLSFQPPFTFAISTPIFVRSVGNFSCIVLAVLASTSAFLLVLVALVDIFIRGWSKVLSLECLLS >KJB71725 pep chromosome:Graimondii2_0_v6:11:21504924:21510153:1 gene:B456_011G138900 transcript:KJB71725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPPGNHQQEANQASSFNGAHLNNGNPVPETSGSGMKHNPGISLDWTLEEQAILDDGLKKYASEPSIIRYAKIALQLQNKTVRDVALRCRWMTKKENSKRRKEEHNIARKSKDKKERVADPTAKPTQFAARPNLSPYAPPMIPMDYDDGIPYRAIGGVTGELLEQNAHAFNQISANLAAFQIQENIGLLCQTRDNILKIMNELNDIPDVMKQMQVLPVKLNDELANTILPPSSHPMLS >KJB69524 pep chromosome:Graimondii2_0_v6:11:2051882:2052907:-1 gene:B456_011G028000 transcript:KJB69524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTSSPSPSSSPPSSCFMLICLLHSAIAISSGAFMMFRMKEIYTFTHGIETATKLLGSTPHDQLLIRTSDSFSGLLLFTIGFLLFMVSFVEDTDFQSFFAAGCTFLHVFMAVWRFWFETRVEDLAWDCIRQTIGDILLALSWVSFLVYSWREKYD >KJB72783 pep chromosome:Graimondii2_0_v6:11:47607704:47608727:1 gene:B456_011G197300 transcript:KJB72783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTYDYESTSPVAPSRLFKAFTVEAPKVWPTAAPNAVKSIEVEANPSSGSIVKINFVEGLPFQYMKHQIGGHDESNFSYSYDLIEGGPLGDKLEKISYENKFEAAAGGGSICKSSMKFYTVGDNVITEDEIKALIKGSEGVYKPVEAYLLANPESCN >KJB74489 pep chromosome:Graimondii2_0_v6:11:27213718:27214689:-1 gene:B456_011G156000 transcript:KJB74489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAIFSIDSFSDTRWEPEVLSRVLLPRRILLYFLIIPVPLLLNPFPEPEKPTGDRPMMFFEEGTLLLGFLLLWKTTAECLPESRLPTSTLTSFLSRPSIFEATRTAAALPSR >KJB72430 pep chromosome:Graimondii2_0_v6:11:41657916:41658628:1 gene:B456_011G178100 transcript:KJB72430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLGQGKHGGSAANDDGRSIVARLSGMSDATKRVAKEAGYVSKKLLRSTGKAAWLAGTTFLILVVPLIIEMDREQQFNELELQHQSLLGSRAAPLPPPPK >KJB74012 pep chromosome:Graimondii2_0_v6:11:59897897:59899780:-1 gene:B456_011G267100 transcript:KJB74012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMVMENSIVSEFEGILLKDSDPFSYFMLVAFEASGLIRFALLLLCWPVIRFLDALGMGDAGLKLMVFVATAGLRVLEIESVSRAVLPKFFMDDIDMEAWRVFSRYDKRVVVTKMPRIMVERFVKEHLRADEVVGSELVVNRFGFATGLVNSDIGFISSKVAKLFVDDEPSLGLRRATSSFQLFSLCKEQMHPPFITDENCHDHQLLRPLPVIFHDGRLVMRPTPSTALLILSWMPLGILLAIIRIIIGVMLPLRIIPYVTPLFGGKIIVKGKPPSPISGSNSGVLFVCTHRTLMDPVVLSTVLMRKIPAVTYSISRLSEILSPIPTVRLTRIREVDAQKIKHELSKGDLVVCPEGTTCREPFLLRFSGLFAELTDRIVPVAMNYRVGFFHATTAGGWKALDPIFFFMNPRPVYEVTFLNQLPAEATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGTVSCTSLVDQVKKVVSTFKPFLQ >KJB71287 pep chromosome:Graimondii2_0_v6:11:14836481:14838857:-1 gene:B456_011G114900 transcript:KJB71287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREEGSWSSSSVRREREMRAVVSALTHVVAGEELVADNENLDGFGSCIGDNDAASTWRRSRQKRGREQLEEKEKEGSSSGSGSRGGNFRRRGGGYSSSAAVQDTGAEANTRAQLAPTYEYKSNEKYKEEAGRRYRGVRRRPWGKWAAEIRDPFKAARVWLGTFHTAEAAAMAYDEAALGFRGNKAKLNFPENVKLRSPPSNLTTTLLPVFDSPNTLLSIPTILHSQCHDTLVQNPQVSSTSIGSHAQSCSSPSTSVFPIQGGGFGDF >KJB73077 pep chromosome:Graimondii2_0_v6:11:51436050:51437655:-1 gene:B456_011G213600 transcript:KJB73077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMEDSKAKSSSGSLFFNRSFTMNSTAAAESNSPKFHLLLNSPSSLNRAASISRFYNSFDSVKGKVKKLCNLFESAKSSSSPSNLASPKETSPKVVLRPSKSIAYSSSFSLSFNNSSIRLPGTEDRIVVYLTSLRGIRRTYEDCYAVKMIFRGFRVWVDERDISMDAAYKKELQSVLKEKTVSLPQVFIKGKYVGGADVIKSMFEVGELAKILDGFPRRQPGFVCQGCGDVRFVPCWNCSGSRKVFDEDEELPKKCLECNENGLIRCPDCCS >KJB72173 pep chromosome:Graimondii2_0_v6:11:31018415:31020007:-1 gene:B456_011G163500 transcript:KJB72173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRLKRAARAGNVSDLYSIIERDGNVLKNLDEVEFVNTPLHIAAENGCTEFAMEILSLKPSFARKLHRGLSPIHLAVQAGHKEMVLRFIEIDKDLVRIRGKSGETPLHYISRLGNYDGLLDKILETCPDCIRDVTTINSTALHIATESNRLDVLQVLIRTLKKKDYCREVVNGKDKDGNTALHIAARNNQPEMLKLLLNCKADKYDTNQHGLTALEVAQEHNSRESITILRGCFFPVFSNFNHKMEKQIMASATKASLLIFHDMDNISDQDRNALLVILGLLLTTTYQASLSPPGGVWQGDSPSEPFHHRPLEERDSPGSIGTSVLGESYFLIFYILAYVVFIVTYFLTLALLKPFPNGFRTALEVLLAFLAMCFDQSLSSIAPTFSIAVTLRIFSTIIFILMVFLCIAYHQVSKLSVSIVGCWIFPSYSLSLFAGEKVVAVIQVFLLFLVLYDEFWKGTILAVGYSLFLWMGIPCCIHRMLVFL >KJB73943 pep chromosome:Graimondii2_0_v6:11:59357176:59358322:1 gene:B456_011G262700 transcript:KJB73943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALWITQEEELQNRIIQLEKELSRKQALDSEVERLSGSLDAIGKMEDGDYVDVLRKLEEYLKDVETLNRSLIAREYRSNDQYIMESESTIGIKRMGELNPEPFLDECKKKFAVDDWCLKSEQLFSLWQQHIRNPLWHPFKSIHTSYLDYLFLNRSSLNRKSFSFTHHCCKCCRTTSKHIRLIVDEDNEGLKELRNEWGETIYMAVTVALLEMEEYNPSGRYIVFELWNFKDDRKASLKEAIEILIHHLQNKLSRSLYFSN >KJB73300 pep chromosome:Graimondii2_0_v6:11:53836369:53839936:1 gene:B456_011G226500 transcript:KJB73300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLVANVSGLGFSKQSKKELQWDAKEMDNKQSELQESSNSPSWIAMYLQSTCDLAAIPRLFFHRLQVLDLSHTNIKALPHSLPNSLFALKKLLLRRCKLFMKLSPHVGKLDNLEELDLDETEIISIPIGIGKLMKLRVLKVCIYGQTNFSKRKQLPSNMVLHPGMISKLSRLIELSIDVDPSDKWWHDSVEEVVKGVCNLEGLRSLCLYLPNYQLLDYTSFIYPSLSCFRFTVGHHKRRTISRVPHEVEAQFTKWDKCLKFVNGENIPLQVRKVLKFTSSFFLDRHENASSLSQFGNENMAKLKFCLLVDCNKMETIIDGAEFENVLESLQYLRIHYMKNLRSIWKGPPRSGCMSKLKFLALNTCPKLSYIFSHVLLRNFVNLEEIIVEDCPQVSSLVSHVPALPYLKPFLPSLKRLFLLYLPELVSISNGLSIAPKLERIGFYDCPKLKVLSKTELSSKALKTIKGEKQWWEDIKWNKIDWENGPRNLMRIFSPINNEKDVMAQLLEDRNIFEAQQSDCMVKSMPLNGLRIPSSLTLPRLTVTRRLNIQVENTRGFLKTSGQLSDLVNNPGYNEQKISSVGGSNSKAIISGAMPSIPTRYQSLMRRDWLTFCQYLNNHRPPISVSMCNAAHVLQFLRYLDQFGKTKVHISACSFFGQSEPPGPCGCPLRQAWGSVDALVGRLASSYEDQGGNPEVNPFRAGVVRIYLREVRDAQNKARGISYKKRKKPEIKVASTTTTTTTTTTTTTTTHFWMPAQAKTIS >KJB70211 pep chromosome:Graimondii2_0_v6:11:5368247:5368558:-1 gene:B456_011G063700 transcript:KJB70211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QNPESLQVPLWPSSSSKEVSSQSYKSKQGKGNINGETYVEAETSRLGTGSGRPSCEHKCYGCTPCEAIQVPTTPGNHSHVSLQSANYEPESWKCKCGPTFYSP >KJB69024 pep chromosome:Graimondii2_0_v6:11:264861:269686:-1 gene:B456_011G003200 transcript:KJB69024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSTTTSFINGSSSIASLATDHLFTILLLLPMDSILYFAMTCKKFRYLATSDILWESVCRRDWGNTAVDALKSSFHDDEQRRLIPWITLYKQVSRVDSVCCYKLAEPDPDLILPVPRASHSLNFVSGCLVLFGGGYEGGRDLDDTWAVYIGNNSQNMLKWQKIQTGTPSGRFGHTCVVIENYLVLFGGINERGDRHSDTWLGQVSLHENLGISLSWQLLDVGSTSPPPRGAHAACCISSRKMVIHGGIGLNGVRLDDTWIIELSENRCYGTWHKIVSHPSPPARSGHSLTCISETQTILFGGRGLGYEVLNDIWVLHVTEGYSKWVQIFYELQNIPAGVSLPRVGHSATSIIGGRLLIHGGEDSLRHRKDDFWVLDISHISLEKMHPTRLNSKRMLANKWRRLKPEGYKPGCRSFHRACVDDSGRYLYVFGGMVDGLLQPAEPVGLRFDGELFLVELVLQL >KJB71048 pep chromosome:Graimondii2_0_v6:11:11697681:11699646:1 gene:B456_011G102400 transcript:KJB71048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPVCSPQGNHYPIPKPDINNNNITITAGNISFLNSSVPASSCEPTLDLDLRRSPSSAVTEKPVSNPADNSNCFSVSGPHQQQAGLEWDEHVLRNMDWDAILKDFGLDDESVPATKTIPPQVINPRLDNHIQNVPELTSCELTHHPLHSDFNLYESYSEDLIRAANCFDTHDLQLAQVILDRLHQRLRSPSGEPLQRAAFYFKEALQSLFTGSTWPNPVRLSSWSEIIQTIEAYKSFSGINPIPMFNHFTTNQALLEALDGSAPLIHIIDFDIGFGGQYASLMREMAERNDHSRKFIRITAVVPEEYSFETRLIEDNLNQFAQALRLRFQIEFVLLPTFETMSFKAFKFINGENTAILLSPSIFRSLGLQVAAFVSDLRRISPSVVVFVDSEVWMESGTTTSFRKNFVNCLEFYAMMFESLDAAGGEWVRKIETLLLRPRIFSAVEAAARTAAPAWREVFCEAGMRAVRLSQLADFQAESLLQKVQVRGFHVAKRQAELVLCWHKTALIATSVWRC >KJB71553 pep chromosome:Graimondii2_0_v6:11:18810676:18814845:1 gene:B456_011G128900 transcript:KJB71553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK5 [Source:Projected from Arabidopsis thaliana (AT3G51630) UniProtKB/Swiss-Prot;Acc:Q9SCU5] MYQTRLGGCVDGVKSQLGYVETDPSGRYGRFREILGKGAMKTVYRAFDEALGMEVAWNQVKLNDVFRSPEELQRLYSEVHLLKNLKHDSIIRFYTSWVDINRRTFNFITEMFTSGTLREYRQKYPRVDMRAVKSWARQILQGLAYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSQQAHSVIGTPEFMAPELYEEDYNELVDIYSFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPEAFYRIQDEEARRFIGKCLENVSKRLPAHELLLDPFLASNEGNLLSVPRVLSQKLTQNGPVAELAPSLQADLTRSTDMSITGTMDPEDDTIFLKVQITDKEGQARNIYFPFDIVNDTAIDVALEMVKELDINDWEPLEIADMIEEEISSLVPTWKDWGSSQVHHQHSFKYKDDDEDNAQNEICHPFYATFSHSSYEAQFSHGKSVTSKCNWPQDLFSNDDASSSCSMNSFQYSTMNYEDFDSSLYQGEHPCIPKALKSTRFCPSESMTAHSYEQCDAQLDSWRSSHLNGHQKLTKVRSLVDVRSKLLHQMVMAEINKRRLFKTVGAVENIGYQELGEVSGKIS >KJB71191 pep chromosome:Graimondii2_0_v6:11:12913113:12915152:-1 gene:B456_011G109300 transcript:KJB71191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNFYSNLVYLHWIIFCCSFVLVFGFANSVYFHKSNFDLNDKQILYQGDAEAKPSGVIEFNNLDHRYRVGWATYADKVPLWNSKTGELSDFSTRFAFTITMSNASSYGHGFAFFLAPVGSEIPPNSAGALLGLFNTTDNVSALGQAVLVEFDTYKNSWDPVGVNNHVGININSLTSANYTSWNASFHKGDTADVLISYNATTKNFRASWTYQTTNNPQETSSLSYHTDLTKVLPEWVMVGFSGSIGLAKEQHILQSWEFNSTLVRRETKGSTARKTTIVISVVVPVVGFMVVTVITYIMFRKRKRKTEERTNLTTSMDDDLGRGAGPRRFSYLELAYATNNFSEQRKLGEGGFGTVYRGYLPDLDLLVAVKRISKGSKQGKKEYVTEVKTISQLRHRNLVQLIGWCHDQREFLLIYEFMPNGSLDSHLFGKRAPLSWPMRHKISLGLASAILYLHEEWEQCVVHRDIKSSNVMLDASFNVKLGDFGLARLMDHDLGPRTTGLAGTFGYMAPEYISSGKASKESDIFSFGVVLLEIATGKTSVDLSKKSEMGLVEWVWDVYGKGELLAVVDNKLNKDVVEKQVECLMVVGLWCSHPDSNSRPSIKQAIHALNFEAEMPNLPTKMPVPMYHVPTTSSATSAEPLLTNSSLETGR >KJB72162 pep chromosome:Graimondii2_0_v6:11:30391532:30394378:1 gene:B456_011G162300 transcript:KJB72162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NRAKSYGLSKFSKLKNINCSSTSANRVLVGAIWVHQIYEYSVNMRDEIRRAYIKVGPYQPILSEYPISNSENHPHYFQLSWFKQFSWLEYSPFKDAIFCLPCFLFNSNTSSRFGSTAFTHNDFSNWKKVHDGCNRAFLTHMGKDLNSLHNNAQRAYVDLMNQDQHIEVSLHRQTTQQIVANCLRLKTGLVKERFFDIAHVKDIASLTLKNEIFNVFLQHSFDIQNIRSRGYDGASNMHGEFNGLQALILNDCQYLALVAATREVVEVYQFFKDLSDIVNIVSASSKRHDELQKAQVAEITLLVSINDETRWSSHLNSITSLLTMYNVTSTVLENLKNTAPNYSQ >KJB70038 pep chromosome:Graimondii2_0_v6:11:4343168:4344441:1 gene:B456_011G0552002 transcript:KJB70038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQVTVDSINPKVIECQYAVRGEIVILAQKLQQEIQAKPEAYPFQEILYCNIGNPQSLGQKSITFFREVLALCDHPAILAKSETQALFSADSIERARKILDQIPGKATGAYSHSQ >KJB72494 pep chromosome:Graimondii2_0_v6:11:43041871:43045004:-1 gene:B456_011G181600 transcript:KJB72494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPVVRGNDIDRQALLHFKSKIIGDQLKVMESWNSSIHFCHWHGVTCGRRHQRVTKLELEYLKLTGSLSPYIGNLSFLREFSLAGNNFYNQIPREIDRLRRLEILILTNNSISVEIEGNQLTGEIPTFLGLLSNLKYLSFAYNSLRGSIPPSLGNLSSLETLALTRNSLSGIIPEALEQLTDLSYFSIDDNAISGTVPVAMFNLSNIIIFSIGGNKIQGTLPSDLAITMPYVNFFSVRENQISGKIPVSISNASNLNILQFEGNRLDGDVPSLEKLDKLFAMLLDANHLGHGGEGDLHFLCTLVNNTKLEVLVLSENNFGGVFPECISNFSTTLLHLVMEENKIWGRIPNGIGNLINLEVLGISQNQLSGPIPIDIGRLQKLTIFDARLNFLNGTIPYSIGNLTMLTLALDFNNLQGNLPSSLGECQNLLEMGLSHNNLGGPIPPQILGLPSMSISLDLSSSNLTGDLPVAVEQLKHLSEFHVSQNRLSGLLPNKLGNCVSLEKLFLDGNLFEGPIPLSLSSLRGLEALDVSNNNLSGRVPEFLVSFRALKYLNLSFNDFEGVILSEGVFKNASATFVEGNNKLCGGIPELHLLRCNSKTSSNTSLRLIIAVVVVVLGVSSIPFFVLIMRFRKKKEQQPTTTCAENSLLQLSYLSILRATNGFSMQNLVGSGSFGFVYKGVFNLLNRGASRSFLAECEALKHIRHRNLVKVLTAISGFDYQGNDFKALVYEFMENGSLGDWLHRSTGMHELETTRKLNFFQRVNVAIDVAHALEYLHHHGETSIIHCDIKPSNILLDEEMVGHISDFGLAKIFFGDKLNYSTNQSSSPGLRGTVGYAPPEYGMGSELLTKGDVYSYGILLLEMFTGKRPTDERFKEGLSIRNFVEAALPERMIEIIDPILLQERVRRGTIADIILSGNNLRNDRHFWCLTSILDIGLACSFESPSERMDMSDVVIKLCSIRYKLYSTRLRREVQT >KJB69148 pep chromosome:Graimondii2_0_v6:11:618667:622875:-1 gene:B456_011G008200 transcript:KJB69148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGNFLLPLTLLLCFLFLEQNTGLVVYALNSDGETLLSLLPHWSALPSSLTSSWNASDPNPCKWVGVECDSKTHNVLTLNLTNFAISGQLPPQIGALHHLNTLDLSNNRFFGPIPSALATCGSLQYLDLSNNELIGPIPNTFNSLQKLNYLNLFSNSLSGEIPETLFHVTGLEYVYLNNNNLSGSIPMNVGNLSELVALYLYENGLIGTIPESIGNCSELQELLLDGNYFVGGLPSSIMNLQNLMSLYLSHNSFNGEIPSPTKCKNLSVLDLSFNSFNGGIPPGLANCSSLTELVIVHSNLTGYIPSSLGLLDQLLKLDLSENHLYGEIPFQLGKCKSLKQLLLYDNQLKGEIPNELGMLSELNDLELFMNHLSGEIPISIWRIPSLEYLLVYKNNLTGELPLEITEFKQLKNISLYDNRFFGAIPQNLGINASLQQLDFTNNMFSGTIPPFLCFGKKLRVLNLGQNQLTGSVTDDIGGCKTLWRLILKQNNLNGVLPEFAENTNLVHMDISENNISGPIPSSLGNCRNLTSINLSMNRFTGFIPSELSNLVDLQTLNVSHNLLQGSLPSQLSNCGRLVEFDVGFNSLNGLIPNALTSWKQLSTLILSENRFTGGIPSFLLELEMLSELQLGGNPFGGSIPSSIGAMKNLIYGLNLSSNGLTGEIPSELRNLFKLVRLDLSNNNLTGTLIVLDGMDSLVEVNVSYNHFSGPIPTTMMRFVNLSPSSFLGNPGLCIYCLSSGEETCPTSNYLNPCNEMKNQRGLTKLQVAMIALGSSLLVVALLVVASTFIFCRIEKQENEICVEEGASTLLNKVMEATENLNERYIIGRGAHGVVYRASLSPGSDFAVKKINVAKHKGGNRSMVREIKTIGKVKHRNLVRLEDFWLRKDYGLLLYRYMQNGSLHDVLHNNNDHTTNEAQILKWSARYRIALGTAHGLEYLHYDCDPGIVHRDIKPENILLDSDMEPHISDFGIAKLLDESVASEPSMVVAGTVGYIAPENAFRTTWSKEADVYSYGVVLLELITGKRALDPSFMGETDIVGWVRSILSGEIETEIGRIVDSRIVDELVEWEVREQVIDLVLVALRCTEKEPSRRPAMRDVVRQLLNTKHPRKSKRQS >KJB74337 pep chromosome:Graimondii2_0_v6:11:62065572:62070199:1 gene:B456_011G289100 transcript:KJB74337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPISVARQCLTPEAATALDEAVTVARRREHTQTTSLHAVSALLSLPSSSSLSLRAACSRAGNVAYPPRHQFRALELCVSVSLDRVPSGQLTDDPPVSNSLMAAIKRSQANQRRQQPENFNIYRDISQQNGSNISSIKVELCHLILSILDDPVVSRVFAEAGFRSSEIKLAITCPLPNHLPFPRRRGPPVFLCNLENPNPDPGPRGFTFPFPFPGFASYFENRNRIVELLARRRNPLLVGACAYDALTNFTAQSKDIFHIISIGDYIMKCIDDGFNKTEADSKFEEMGRVVERKTGGSGLYVVDIGGLEAFVNGENEEGEEEGGVSYIVGQLTRLLQVHEGNVHVLGAATSYQTYLEFICRFPSVEKHWDLQILPMTVIRSSLPRSYPKSSLMESFVPFGGLFPTLSESKGSLTGSYQPVPPHCHLCSERYKQEVIALSKGGFNVSVADQYRSALPSWLQMAELGANNGSYMKTKDDGLLLNAKIAGLRKKWDDICWRLHHTHRVPESTVYKPNPWGHCSNNTNVSSCSTIKFPKMPTMRSNGGNFEALEPISPCSLSNSSVDNVSRTSPTSVTSVTTDLGLGLCSVSSSNKLTKLTDQNRATLVNDSVLCHQAQSSSSSSPDFGGPLDPSYFKKLFKAVTEKVGWQDEAASVICQTVANGRALNRKCHGAGRRGDIWLNFSGPDRCGKKKIAVALADVIYGSRENFISIDLSSEDGVMHFDLKFRGKTTINYVAEELSKKPLSVVFLENVDKAEIHVLSSLLRAIRTGKLLDSHGREVSTDNAILVTTSTLNTENRVIVHHKTPMYSEENILRAKGWPLQILIKHDNNTIDISRKSFSNKRKHEITEIVAKRTNPTPFRNLDLNIPAEETDDGTVENTAPWLQHFFNQPVKNVIFKPFDFDTLAQKVSDNINRSFHESINSAHCSLEIDPNVTEQLLAAAYFSDDNMIVVTDWISKVLTKGFSEVEKKYNLDADTIVKIIPDRTAFLSENPIGVSLPPKITVN >KJB74107 pep chromosome:Graimondii2_0_v6:11:60525101:60527733:1 gene:B456_011G273000 transcript:KJB74107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIEIYLLIFLLLIFVITKRLNQTKCKHMNLPPSPPTLPILGHLHLLKEPLNRSLFALSQKHGPIFSLRLGSHLAIVPSSLSVVEECLTKNDVVFANRPYFWVGKYIGYDYTTLGSSPYGDHWRNLRRICKLEIFSANRLNSSSSIRRDEIKNLLRKLYYSLSDDDFVKVELNPLLSNLAFNITMRMIAGKQHKPKAAKLHDLLQELLKLGVSPTVGNFFPFLQWADFFRYKKKVVKLTREIDGLLQGLVDVHRRNKDGFEKEDTVISHLLRLQESEAQYYTDGIIKGIVQDMLLGGINTEFITLEWSMSHLLNNVNTLQKSKSELDFHIGHGRLLDETDLPRLHYVQNVISETLRLNPAVPLLIRHVSSDLCNVLGYNIPKGTILLVNVWAIHRDPKVWDEATSFKPERFENGRIKGCKSMPFGLGRRGCPGMDLGQRVVGLALGSLIQCFEWKR >KJB73906 pep chromosome:Graimondii2_0_v6:11:59142560:59145212:1 gene:B456_011G260400 transcript:KJB73906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQIFGQNPDRVVSGYSEEFERNFLDLMKRSHRFSRVAATVVYNEFINDRHHVHMNSTQWATLTEFVKYLGRTGKCKVDETPKGWFITYIDRDSETLFKEKMKNKRIKLDMVEEEKHEREIQKQIEKAEQLKNPSEAEEDEKKAVIKEVNLENGMKIGFSLGGGSVVKGEKGESSSTARLVFEDEENEKMKAKGRIERKENSGRKNALEELMREEEKAKERSNRKDYWLCEGIIVKVMSKALAQKGYYKQKGVVRKVIDRYVGEIEMLESKHVLRVDQEELETVIPQIGGMVRIVNGAYRGSSARLLGVDTEKFCAKVQIEKGVYDGRVLKAIEYEDICKVA >KJB72608 pep chromosome:Graimondii2_0_v6:11:44589381:44589689:-1 gene:B456_011G187200 transcript:KJB72608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVTKLASEKPVVIFSKSSCCMSHTIKTLFYDFGVNPAIHELDEISGGREIEQALLRLGCNPSVPAVFIGGELVGGANEIMSLHLSRSLIPMLRRVGGLWV >KJB73685 pep chromosome:Graimondii2_0_v6:11:57033473:57034788:1 gene:B456_011G243400 transcript:KJB73685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLSQGLPLMGAHQGNQVEDYREGQTSHDNSNKSQQQHRPPREGYQSSVDLQQQHRPPLETYKSSDHIQQQHPPPRDIYQSSDHLQQQHRPPRETYKSSDHIQQQHQPPRESYQSSDHLHQQQRQPPRESYQSSDHLHQQQSQPPPESYQSSDHFQQQQYHPRESYQDSEHLQQQHRPPRESYQCSNHLQQQLQPSESYHQSFNHLQQHQHQPRESYQSSDHLPPRESYQNADHLQQQQAPVIKGYATKDDSSSLINKVASDHQLPSVIDPSKHVHRPSGYQTSHSPTPRLKPPSTHDPNSILMNPKPRSLLYHEEYAMTHGNTADDSAEAENKNVKVKRTHTNKPQSSSCCQ >KJB74175 pep chromosome:Graimondii2_0_v6:11:61008173:61008670:-1 gene:B456_011G2777002 transcript:KJB74175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRAYLDFRGLILSFDMGNEKFSILPIPEFVGSFPEYYVNLLVFNGSLGAIVYPTERIDTSFDLWVTSEGVWTKQFNIKSISGVVHPLGFGKNGDLFLRDTNDEVLLFDASTQELKELQINTYLDHFRFTISLHAYLESLVRINGIQENIEKHVIRQPARDASNEY >KJB74119 pep chromosome:Graimondii2_0_v6:11:60624677:60625571:-1 gene:B456_011G274200 transcript:KJB74119 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g05950 [Source:Projected from Arabidopsis thaliana (AT5G05950) UniProtKB/TrEMBL;Acc:Q9FI91] MTTSIHVTALDSVVNVNSLFTLAVFIGLAWNPYDPSNTLVEPNSGCAPTSKIAEDLIKFHVYSFSSFLFSSLVALAIKQAIKLSKPHTFNIHVTFRGSEFLEHVDVNKTLLRVGMVVSGAGSVAGCVFLMLALVNVVQIKVGTLACGSGHAFAAVVPLVILVPLALLIYVCVGLYAFTR >KJB73505 pep chromosome:Graimondii2_0_v6:11:55753078:55753808:1 gene:B456_011G236300 transcript:KJB73505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMTKLVKLPLQFSMFFQGEITSLKGFEAIDKQPEPSLFTLERASAYHSTL >KJB74016 pep chromosome:Graimondii2_0_v6:11:59933356:59934469:-1 gene:B456_011G267400 transcript:KJB74016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSSNHFIFLFLSCIFVSTDAIQLVLVNNCKETIWPGILGGAGHETPRGGGFPLNCGEQTTLELPERWSGRIWPRQGCCFDETGKGSCQTGDCSGLLQCQGIGGNPPATLVEMTLGGPTSGLHYYDVSLVDGFNVPVSMVPIGGGVGCGVAACETDLNVCCPAALVVKKEGKVVGCKSACLAAKTDRYCCTGEFGDPKSCKPTIFAHLFKAICPRAYSYAFDDASSLKTCRAPRYLITFCPPN >KJB72207 pep chromosome:Graimondii2_0_v6:11:35312697:35314934:1 gene:B456_011G169600 transcript:KJB72207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPIPCGGPDIGALQPKENHLFLKKHWAETRVIFVQLDMHKLIQALSQVNLNSNYSSHSTPHRGRAIFEAVDRALAVATKGRIMWSHAIFLSCVKLKLRKEKGQRSGFVSTATLTGVTGRNLSRKQRFSVLKLKVKFVQKKGKVLGRLVRGCRKQSLPIILEEATNYIAALEMQVHAISALADLLEEFNLKF >KJB73175 pep chromosome:Graimondii2_0_v6:11:52772980:52773384:1 gene:B456_011G219900 transcript:KJB73175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVKASTALVLSLNLLFFAFVSSHNVENPVFIHPGDVYHNGRITHGHPGTCNPLNLGVCLGLLDLVGVSVGNVPTEPCCSVIQGLVDLEAAVCLCTAVRANVLGIPIHLPISLSLLLNKCGREVATEYICSP >KJB69739 pep chromosome:Graimondii2_0_v6:11:2978240:2979564:-1 gene:B456_011G039900 transcript:KJB69739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSLPEFSLPLITIFFLVFGASNVANAIVPPSSTFKYVNEGEFGEYSVEYLADYRPLLTYLFPFQLCFYNTTPNAFTLALRMGSPRSESIVRWVWEANRGRLVRENATLTFGSDGNLVLADADGTVAWQTATANNGVVGLKILPNGNLVLYDKKGKFIWQSFDHPTDTLLVGQTLRSNGPNKLVSRMSIADGSEGPYRFVMEQRFLKMYYKTKNSASPLLYHRSDEFVGKTEQAYTYELGFTFDMKGSTSSGTYIFSRPKYNSTYSLLRVEPDGNLKSYTFNENVDWGAWETTFKLFDGDDHESLCNLPKKCGSLGICDDNQCVACPKPKGLTGWSQSCAPPALLPCVEHFTSKYTEGDGPMKLNDCRVKCSNDCGCLGFFYREESSKCLLVPELGTLVKVTNLAHDAYIKMPK >KJB69491 pep chromosome:Graimondii2_0_v6:11:1965771:1968653:-1 gene:B456_011G026600 transcript:KJB69491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDNKYYLKKIISSMRIPIRKETNKKKVTVSLIDKPLPICHTNFSLSYIPKVYSVYLRYPRSSFLLIFQISDHRKKTSSGVFFLLMARIIKSCLQSALKCVNLIMAMVGIAMILYGFWMVRIWQRDMGGSSFDDFNSTAPWFIYTFLGTGITLCLLTCLGHIAADTANGFCLFCYMVIISVLLLVETGIAADVLLNSEWEKDLPEDPTGRFHDFKEFVESNIDIFKWIGFVIFLGQGLSVLSAMALRACGPNQCSNYDSDEEFNQARLPLINNHPQQPAYVIVDPPFANKNEAWNMNK >KJB72262 pep chromosome:Graimondii2_0_v6:11:33641596:33646547:-1 gene:B456_011G167600 transcript:KJB72262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGEYESQRRVPVPPSKPFLKSLQARLKETFFPDDPFRQFKNQPISNKFLLGLQYFVPIIEWAPRYTFDFFKADLIAGITVASLAVPQGISYASLASIPPILGLYSSFVPPLLYAMLGSSRDLAVGTVAVASLLISSMLGKEVSPTENPKQYVQLIFTATFFAGVFQASLGILRLGFIVDFLSHATIVGFMGGAATIVCFQQLKGILGLVHFTHETDLVSVMRSVFSQIHQWRWESAVLGCCFLFFLLLTRYFSKRKAAFFWINAMAPLTTVILGSLLVYLTHAEKHGVQVIGHLKKGLNPPSASDLVFGSPHLMAAIKTGIVIGIIGLAEGIAVGRTFAMFKNYHIDGNKEMIAFGMMNIAGSCTSCYLTAGPFSRTAVNFNAGSRSAVSNIVMATAVMFTLLFLTPLFHYTPLVVLSSIIIAAMLGLLDYEAAIHLWKIDKFDFFICLGAYLGVVLGSVEIGLIISITVSLLRIILFVARPRTMVLGNIPNSGIYRSMDQYQIANSVPGILILQIDAPVFFANASYLRERISRWIYEEEDRLKSAGEASLHYVILDFCAVGSIDTSGISMLEELMKNVHRKGLQLVLANPQSEVMKKLDKSKLIDKIGQGWIFLTVGEAVSACNFMLHTCKSIHVAVDQNAEENKV >KJB71575 pep chromosome:Graimondii2_0_v6:11:19573021:19575337:-1 gene:B456_011G130500 transcript:KJB71575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWPASFFSCGTNCSSWPAMGGKLPGPASGTAPANAYTTESNPIVVIGEQYIAPYPVDLKIQHTVFTVAENNFDITDVNDNPIFKVKNKLFSFPDRRVLLDAAGNPLVSLKQKILSVHRRWRVFRGESDKSSDFLFSVRKSSLVHLKMRTKTTTTTTLDVFLASNTSESLPDFKITEGWRDSSCTIFAGHAIIAQMQRKQNVKSLVINADNYGITAYPNVDYAFVVALVVILDEINRNSYD >KJB73539 pep chromosome:Graimondii2_0_v6:11:56081368:56086212:-1 gene:B456_011G238400 transcript:KJB73539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMELQFCNGGNGSSLADPLNWAASAQSMKGSHLDEVKRMVEEYKKPVVRLGGETLTIAQVTAIANRDVAVQVELSEAARPAVKASSDWVMEGMNRGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGVFGQGTESCHSLPHTATRAAMLVRINTLLQGYSGIRFEILEAITKLLNVNITPCVPLRGSITASGDLVPLSYIAGLLTGRPNSKALGPNGETLNPTEAFSKAGINGGFFELQPKEGLAMVNGTAVGSGLASLVLFEANVLAVLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILGGSSYIKAAQKLHELDPLQKPKQDRYALRTSPQWLGPQIETIRFATKMIEREINSVNDNPLIDVSRDKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQYLGNPVTNHVQSAEQHNQDVNSLGLISARKTAEAIDILKLMSSTFLVALCQAIDLRHLEENLKNTVKNTVSQVAKRVLTMGSNGELHPSRFCEKDLLRVVDREYLYAYADDPCSANYPLIQKLRQVLVDHALMNGDREKDSTTSIFQKIGVFEEELKTQLPKEVESARTEFENGSPAIANKIEECRSYPLYKFVREVLGTHLLTGEKVISPGEECDKVFTAMCAGKLIDPLLDCLKDWNGAPLPIC >KJB70777 pep chromosome:Graimondii2_0_v6:11:9752046:9754429:1 gene:B456_011G090900 transcript:KJB70777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISCQEGEIPIPLNSTYGGGHGHGHGHGHMIHHEPAAPHNHIIPSSAPPILSNGPSSLSTNLDDHVPYKKAVRYRECLKNHAAAMGGNATDGCGEFMPSGEEGTIEALNCSACNCHRNFHRKEIEGEPSSFDCYPLHSPHLSSRVGRKLILGHHKSILPPEALGYPTGTLIHSRAAPTPHQMIMSYNMGSLPSESDEQPEDGGGVVGSRPLQLMKKRFRTKFTQEQKEKMLNFAEKVGWKIQKQEEAVVQQFCQEIGVKRRVLKVWMHNNKHNLARKNPCNSTATATAAAPTTTAA >KJB71684 pep chromosome:Graimondii2_0_v6:11:21040459:21041624:1 gene:B456_011G137200 transcript:KJB71684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKLLTSVLVGLLVASAITQTVLAQNCGCAPNLCCSQHGYCGQGNDYCGTGCKEGPCFSKSPTGASVASIVSPQFFNGIINQARADCAGKKFYTRQAFLTALDSFPDFGKLGSDVESKREIAAFFAHATHETEFFCYKEEQDKSNSYCEANPKFPCAPGKSYHGRGPLQLTGNTNYGNAGNALKLDLLKNPEMVANNPVVSFKGSLWYWMAAVRPVIGQGFGATIKAINGRLECGVPAAQDKVQRRIRFYTDYCKQLGVDPGPKLSC >KJB71261 pep chromosome:Graimondii2_0_v6:11:14349208:14349756:-1 gene:B456_011G113300 transcript:KJB71261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNEKEPCEFPSQTPAQPQPNPHRVAKKRTRQDNHSPFRGVRKRRWGRYVSEIRLPGQKTRIWLGSFGSPEMAARAYDSAAFFLKGDSAILNFPELVGSLPRPESCSRRDIQSAAAKAALQESVGRVKDEEGPESFGWWDAVGMAAFEEVKASPLRFDSMEGELLSFMEDDHHFFTSCFEL >KJB73151 pep chromosome:Graimondii2_0_v6:11:52470252:52475472:-1 gene:B456_011G218000 transcript:KJB73151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGGMFKELAVFAVVVTIMATSVAAQAKPGCQSKCGNISIPYPFGTSNGCYISRDFFINCDTSFNPPKAFLSDTGLELQVLNISPDDGSLRIQNHRSVGYDCYNSSGPTSSDTSVFLHRKFSISYSRNKFTAIGCDTIAYINGFSRPGSSNLVFKAKNFSTGCLTFCGDVGDVINGSCSGIGCCQTAIPRGMQAYLFNFTSLQSHSTVLRFNPCSYGFLVEDGVYTFSTSDLSNIDFNKRKYPLILDWTIGNQTCEEAKMDPKNYACKQNSACIDPESGPGYLCKCNDGFQGNPYLSNGCQGICLSIVFLFLIAGVWWFYKILQKRKYIKLKQELFERNGGLLFQKKMSSNEGGLDKAKLFCSKELEIATDQYNENRILGCGGQGMVYKGMLSDGRLVAVKKSKTVNEGYLEQFINEIFILSQIDHRNIVKLLGCCLETEVPLLVYEFIPNGTLSHLIHDQNEEYPRSWDIRLRIATEIGSAISYLHSSASIPIYHRDIKSSNILLDEKFRAIVSDFGTSRSIGIDQTHLTTQVLGTFGYLDPEYFQSSQFTEKSDVYSFGVVIVELLTGKRAISTFGSQEKRGLVSYFMSSMEENHLLDIVDAEIGKDGQKDEVIAVAQLAKRCLNLDGRYRPTMKEVAMELERLRTGQGDYIHTDQIKQAEVVVRKSAESWDFTSFSTEHYSNCSITSTSEPESALESESELDVHPHMLEST >KJB71395 pep chromosome:Graimondii2_0_v6:11:16739007:16739875:1 gene:B456_011G1210001 transcript:KJB71395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQEQFFKERIKFIHEARDEKEESFEKLQQQQREKVKQSNPNPSNTEEYRRRADEIAKFIKFQDEEMQAFVAERDKLIKAHEEKMVGMRERHWQEEVELEKEFDAELSHLMEKYTPDGSKVNPGNT >KJB74387 pep chromosome:Graimondii2_0_v6:11:62323351:62324274:1 gene:B456_011G292000 transcript:KJB74387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQNNHLFHWNYAELGDHGFQIRGRTLFFTVLFLIIILISVLIFFFTRWVCSFYREASSPTSHAPPPPPNRGLDVAAINALPVTMFTVGEALGSECCICLGVFEDGEKVKVLPVCKHTYHPQCVDRWLSAESSCPLCRSSVRVDSDQLQIVIQ >KJB71747 pep chromosome:Graimondii2_0_v6:11:21627395:21631530:1 gene:B456_011G139500 transcript:KJB71747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GAMETE EXPRESSED 3 [Source:Projected from Arabidopsis thaliana (AT5G16020) UniProtKB/Swiss-Prot;Acc:Q9LFS2] MVASQSTWFPNYPHYPHLFSAQERFRNRGDRLSKPLIGDSGRIYACSEDMLLAFENNGSIAWFLPLGFECNVSKAPVHGGRGKIYLIAENRVLQINIMKIGTSEPAVQVLFDPGPGQRGDEIVGIAVSTLCSSVIINVKNRGLFAYLIRGQLLWSVGPVIDQYGYRQGCWKNVSDCYFASAPVIDQCEASVYISNTEGELYSLSIRSPQFKWIQDLRNNGLLYVTVPVKSLILALDVSSGNVLWQTSIGQLSSAESSPVVDSYGWVTIGSLDGFLYSFSPTGTLKKFPKAAALDSVIQFSIFLDCSGYAVYFCQTEMEEKVIHMNDQFAHVSAMKPKSSIFTLIVPSTGKIYWSESNHGPFLSSLSQSDLQNFVVDEGMLLAFVTASKIGNQLSCRSKALKLASSCSQGTRKRQSVYTGNRSSMFLLLLLESILLVVLAVVVRFCCVFWRKKKLQDQDLGRFLEKRRSLQLKKKAFDRTITELEHKATEEAVATEETMEELGKLVRERQGIERKLPTTYSLGRDERVLNPKSVLPLSTGRTRSYLFRRAKKGSVTVFHTLSNTSSEGSSSEIEYVSDSEVEEEPVVKGKAKAAIEDESSSNDEQLGRKHQRSPSEPASSSKGYTESLFVEQESGEENFQDEGKGVKTVPSSSRSIWLKRTFSSLN >KJB71298 pep chromosome:Graimondii2_0_v6:11:15153243:15154839:1 gene:B456_011G115700 transcript:KJB71298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDDLYIAVPSSFRCPISLDVMKSPVSLCTGVTYDRASIQRWLDSGNNTCPATMQVLESKDLVPNRNLQRLIQIWLDSVARRQLDADSVRNSAAVPSQNQVKLLVKHLDINCFSSLTKIICFARESEENREFLAKMDGFFSKVLDFMRNAESGIKIVEQVVKILDLMLTKISDKKPLLETNCLSTILLVLQRGNSDSQIEAVRLLESIAVDGESKLKIGQKEGLVAELVKSLRKENPRLIEASLSFFIAITMPKRIKTVVIQYRIIPELKYLLSQPNTTTSITEKALELLEELSTCKEGRVEIWHDSVLLGRIGETVLKVSSNATEHAVAILWSGCYLFRDRKAQEAVASSNGMTKLLLLMQSNCSPAVRQMSGDLVKVLGVNYKLCLSNYDTKTTHIMPC >KJB73046 pep chromosome:Graimondii2_0_v6:11:51061907:51062856:1 gene:B456_011G211600 transcript:KJB73046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKVHGIPLSTCTARVLLCLCEKGLQYELVPVDPFGQIAALEDGDVKIFESRAICKYLARKYNETRITIDLLGSNGSGVSPIQSSNQALIRQMIVNPIYGIAPDEKIIEIELHNLAKVLDVYEERLSEYKYLGGDFYSMADLHHIPDLVYFMRSSKSSIVTSRPCVNAWWNDISSRPASVKVVELMKLKILFSFDDI >KJB72560 pep chromosome:Graimondii2_0_v6:11:43968640:43969583:-1 gene:B456_011G185000 transcript:KJB72560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQGHFVEQNPLKLALNFTKITVISTIQHKKSAALAGTVLFLFPTFPWSWLFSQTPQRTLPSFLVDFDPSILEFKIQLR >KJB76233 pep chromosome:Graimondii2_0_v6:12:12824450:12828540:-1 gene:B456_012G079000 transcript:KJB76233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTRLPDKMTLAAAVLFFLLTLVSNSRADRDFDVRHRLSTVTRYSAVKDIVDYSFQPTDIPVGCTPIHLNLVARHGTRSPTKKRMRELEKLASHIKELLKDAKEKNLSPQKVPAWLLKWESPWKGKLRGGELDTKGEEELYQLGIRVRERFPDLFNEEYHPDVYPIKTTQVPRASASAVAFGMGLFSGNGSLGLARHRAFAVTSESRASDITLRFFDCCQTYKDFRKSHEPAVDKLKEPIIAEITCALAKRYEFNFTRQDISSLWFLCKQETTLLDITDQACSLFSPTEVALLEWTDDLEVFMLKGYGKSLNYRMGVPLLKDVIQSMDQALKAKEDNQAPGSYEKARLRFAHAETVVPFSCLLGLFLEGSDFQRIQKEEPLDFPPKPPKNRSWRGSIVAPFAGNNMLVLYSCPANSSSKYFVRALHNEHPIAMPVSYAFIS >KJB76230 pep chromosome:Graimondii2_0_v6:12:12823371:12828540:-1 gene:B456_012G079000 transcript:KJB76230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTRLPDKMTLAAAVLFFLLTLVSNSRADRDFDVRHRLSTVTRYSAVKDIVDYSFQPTDIPVGCTPIHLNLVARHGTRSPTKKRMRELEKLASHIKELLKDAKEKNLSPQKVPAWLLKWESPWKGKLRGGELDTKGEEELYQLGIRVRERFPDLFNEEYHPDVYPIKTTQVPRASASAVAFGMGLFSGNGSLGLARHRAFAVTSESRASDITLRFFDCCQTYKDFRKSHEPAVDKLKEPIIAEITCALAKRYEFNFTRQDISSLWFLCKQETTLLDITDQACSLFSPTEVALLEWTDDLEVFMLKGYGKSLNYRMGVPLLKDVIQSMDQALKAKEDNQAPGSYEKARLRFAHAETVVPFSCLLGLFLEGSDFQRIQKEEPLDFPPKPPKNRSWRGSIVAPFAGNNMLVLYSCPANSSSKYFVRALHNEHPIAMPGCGGTDFCPFEVFKSIVSPHLKHDYNTLCQVNLDQPKQKPETM >KJB76228 pep chromosome:Graimondii2_0_v6:12:12823371:12828540:-1 gene:B456_012G079000 transcript:KJB76228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTRLPDKMTLAAAVLFFLLTLVSNSRADRDFDVRHRLSTVTRYSAVKDIVDYSFQPTDIPVGCTPIHLNLVARHGTRSPTKKRMRELEKLASHIKELLKDAKEKNLSPQKVPAWLLKWESPWKGKLRGGELDTKGEEELYQLGIRVRERFPDLFNEEYHPDVYPIKTTQVPRASASAVAFGMGLFSGNGSLGLARHRAFAVTSESRASDITLRFFDCCQTYKDFRKSHEPAVDKLKEPIIAEITCALAKRYEFNFTRQDISSLWFLCKQETTLLDITDQACSLFSPTEVALLEWTDDLEVFMLKGYGKSLNYRMGVPLLKDVIQSMDQALKAKEDNQAPGSYEKARLRFAHAETVVPFSCLLGLFLEGSDFQRIQKEEPLDFPPKPPKNRSWRGSIVAPFAGNNMLVLYSCPANSSSKYFVRALHNEHPIAMPGCGGTDFCPFEVFKESIVSPHLKHDYNTLCQVNLDQPKQKPETM >KJB76232 pep chromosome:Graimondii2_0_v6:12:12824030:12828417:-1 gene:B456_012G079000 transcript:KJB76232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTRLPDKMTLAAAVLFFLLTLVSNSRADRDFDVRHRLSTVTRYSAVKDIVDYSFQPTDIPVGCTPIHLNLVARHGTRSPTKKRMRELEKLASHIKELLKDAKEKNLSPQKVPAWLLKWESPWKGKLRGGELDTKGEEELYQLGIRVRERFPDLFNEEYHPDVYPIKTTQVPRASASAVAFGMGLFSGNGSLGLARHRAFAVTSESRASDITLRFFDCCQTYKDFRKSHEPAVDKLKEPIIAEITCALAKRYEFNFTRQDISSLWFLCKQETTLLDITDQACSLFSPTEVALLEWTDDLEVFMLKGYGKSLNYRMGVPLLKDVIQSMDQALKAKEDNQAPGSYEKARLRFAHAETVVPFSCLLGLFLEGSDFQRIQKEEPLDFPPKPPKNRSWRGSIVAPFAGNNMLVLYSCPANSSSKYFVRALHNEHPIAMPGCGGTDFCPFEVFKESIVSPHLKHDYNTLCQVNLDQPKQKPETSKLLKLFRWLFSFGNDDIPSDRVEL >KJB76229 pep chromosome:Graimondii2_0_v6:12:12824236:12828417:-1 gene:B456_012G079000 transcript:KJB76229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTRLPDKMTLAAAVLFFLLTLVSNSRADRDFDVRHRLSTVTRYSAVKDIVDYSFQPTDIPVGCTPIHLNLVARHGTRSPTKKRMRELEKLASHIKELLKDAKEKNLSPQKVPAWLLKWESPWKGKLRGGELDTKGEEELYQLGIRVRERFPDLFNEEYHPDVYPIKTTQVPRASASAVAFGMGLFSGNGSLGLARHRAFAVTSESRASDITLRFFDCCQTYKDFRKSHEPAVDKLKEPIIAEITCALAKRYEFNFTRQDISSLWFLCKQETTLLDITDQACSLFSPTEVALLEWTDDLEVFMLKGYGKSLNYRMGVPLLKDVIQSMDQALKAKEDNQAPGSYEKARLRFAHAETVVPFSCLLGLFLEGSDFQRIQKEEPLDFPPKPPKNRSWRGSIVAPFAGNNMLVLYSCPANSSSKYFVRALHNEHPIAMPGCGGTDFCPFEVFKVCMIIFRLLCL >KJB76231 pep chromosome:Graimondii2_0_v6:12:12823344:12828540:-1 gene:B456_012G079000 transcript:KJB76231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTRLPDKMTLAAAVLFFLLTLVSNSRADRDFDVRHRLSTVTRYSAVKDIVDYSFQPTDIPVGCTPIHLNLVARHGTRSPTKKRMRELEKLASHIKELLKDAKEKNLSPQKVPAWLLKWESPWKGKLRGGELDTKGEEELYQLGIRVRERFPDLFNEEYHPDVYPIKTTQVPRASASAVAFGMGLFSGNGSLGLARHRAFAVTSESRASDITLRFFDCCQTYKDFRKSHEPAVDKLKEPIIAEITCALAKRYEFNFTRQDISSLWFLCKQETTLLDITDQACSLFSPTEVALLEWTDDLEVFMLKGYGKSLNYRMGVPLLKDVIQSMDQALKAKEDNQAPGSYEKARLRFAHAETVVPFSCLLGLFLEGSDFQRIQKEEPLDFPPKPPKNRSWRGSIVAPFAGNNMLVLYSCPANSSSKYFVRALHNEHPIAMPGCGGTDFCPFEVFKESIVSPHLKHDYNTLCQVNLDQPKQKPETM >KJB76239 pep chromosome:Graimondii2_0_v6:12:12903543:12907923:-1 gene:B456_012G079600 transcript:KJB76239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTQNPGSALTDTRFSDLKPPLSEPVLEALSQAGFKFCTPVQAATIPLLCSFKDVAVDAATGSGKTLAFLIPIVEILRRSSSSPPKRHQVMGIIISPTRELSSQIYNVAQPFISTLPNVKSMLLVGGVEVKADVKKIEEEGANLLIGTPGRLYDIMDRMDVLDFRNLEILILDEADRLLDMGFQKQINYIISRLPKLRRTGLFSATQTEAVEELSKAGLRNPVRVEVRAETKSLSNSVSSEQLASSKTPSGLHLEYLECEADKKASQLVDLLVKTKSKKVIVYFMTCACVDYWGVVLPCLNALKGFSLIALHGKMKQTAREKALASFTSLSSGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFVHRVGRTARLGRQGSAIVFLFPKEEAYIEFLRIRRVPLQERKCIDDVADVVPQIRSAALKDRDVMEKGLRAFVSFIRAYKEHHCSYIFRYRIWSIAAPFYA >KJB76240 pep chromosome:Graimondii2_0_v6:12:12903543:12907932:-1 gene:B456_012G079600 transcript:KJB76240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTQNPGSALTDTRFSDLKPPLSEPVLEALSQAGFKFCTPVQAATIPLLCSFKDVAVDAATGSGKTLAFLIPIVEILRRSSSSPPKRHQVMGIIISPTRELSSQIYNVAQPFISTLPNVKSMLLVGGVEVKADVKKIEEEGANLLIGTPGRLYDIMDRMDVLDFRNLEILILDEADRLLDMGFQKQINYIISRLPKLRRTGLFSATQTEAVEELSKAGLRNPVRVEVRAETKSLSNSVSSEQLASSKTPSGLHLEYLECEADKKASQLVDLLVKTKSKKVIVYFMTCACVDYWGVVLPCLNALKGFSLIALHGKMKQTAREKALASFTSLSSGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFVHRVGRTARLGRQGSAIVFLFPKEEAYIEFLRIRRVPLQERKCIDDVADVVPQIRSAALKDRDVMEKGLRAFVSFIRAYKEHHCSYIFRWKELEIGKLGTGYGLLQLPSMPEVKHHSLSTEGFTPVENINMDDIKFKDKSREKQRKKNLQTKKEREQQESKPEKPKKATNAAASVMRKKTAKQRRAAQTIEDEEELTREYRLLKKLKKGAIDETEFAKLTGAEDLV >KJB76242 pep chromosome:Graimondii2_0_v6:12:12903668:12907923:-1 gene:B456_012G079600 transcript:KJB76242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTQNPGSALTDTRFSDLKPPLSEPVLEALSQAGFKFCTPVQAATIPLLCSFKDVAVDAATGSGKTLAFLIPIVEILRRSSSSPPKRHQVMGIIISPTRELSSQIYNVAQPFISTLPNVKSMLLVGGVEVKADVKKIEEEGANLLIGTPGRLYDIMDRMDVLDFRNLEILILDEADRLLDMGFQKQINYIISRLPKLRRTGLFSATQTEAVEELSKAGLRNPVRVEVRAETKSLSNSVSSEQLASSKTPSGLHLEYLECEADKKASQLVDLLVKTKSKKVIVYFMTCACVDYWGVVLPCLNALKGFSLIALHGKMKQTAREKALASFTSLSSGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFVHRVGRTARLGRQGSAIVFLFPKEEAYIEFLRIRRVPLQERKCIDDVADVVPQIRSAALKDRDVMEKGLRAFVSFIRAYKEHHCSYIFS >KJB76241 pep chromosome:Graimondii2_0_v6:12:12904031:12907168:-1 gene:B456_012G079600 transcript:KJB76241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIISPTRELSSQIYNVAQPFISTLPNVKSMLLVGGVEVKADVKKIEEEGANLLIGTPGRLYDIMDRMDVLDFRNLEILILDEADRLLDMGFQKQINYIISRLPKLRRTGLFSATQTEAVEELSKAGLRNPVRVEVRAETKSLSNSVSSEQLASSKTPSGLHLEYLECEADKKASQLVDLLVKTKSKKVIVYFMTCACVDYWGVVLPCLNALKGFSLIALHGKMKQTAREKALASFTSLSSGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFVHRVGRTARLGRQGSAIVFLFPKEEAYIEFLRIRRVPLQERKCIDDVADVVPQIRSAALKDRDVMEKGLRAFVSFIRAYKEHHCSYIFRWKELEIGKLGTGYGLLQLPSMPEVKHHSLSTEGFTPVENINMDDIKFKDKSREKQRKKNLQTKKEREQQESKPEKPKKATNAAASVMRKKTAKQRRAAQTIEDEEELTREYRLLKKLKKGAIDETEFAKLTGAEDLV >KJB74717 pep chromosome:Graimondii2_0_v6:12:460712:469850:1 gene:B456_012G003900 transcript:KJB74717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPKVSNKSRFTQELISTILFTVAVGLVWIMGAAALQKYVGSLGGIGTSGVGSSSSYAPKDLNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPMSDDIDVKAIARGTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEYAKDRILMGTERKTMFLTEESKKLTAYHESGHAIVAFNTEGAHPIHKATIMPRGSALGMVTQLPSSDETSTSKKQLLARLDVCMGGRVAEELIFGQDHITTGASSDLHTATELAQYMVSNCGMSDTIGPVHIKERPSSEMQSRIDAEVVKLLREAYDRVKALLKKQEKALHALANALLEYETLSAEEIKRILLPYREGRLPEQQEQGEGELALA >KJB74716 pep chromosome:Graimondii2_0_v6:12:460573:469970:1 gene:B456_012G003900 transcript:KJB74716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSLQASLICNPWPSLPKPRFRRSSSPHSNLSSVPKHSFPSTFLNSPFYARPFSIACTLLPENVNSGSKFDTHVEDSKPEALISDSENPTAIDEFVNVSEGAEVNNIDGETENVVETDRLNDNLVEKEGLKSKIPAVVFLMGVWAMVKRGMDKAVASGWFNWWPFWRQEKRLDRLIAEADANPKDAAKQSALLAELNKHSPESVIKRFEERDHAVDSRGVAEYLRALVVTNAIAEYLPDEQSGKPSNLPTLLQELKQRASGNIDESFLNPGISEKQPLHVVMVDPKVSNKSRFTQELISTILFTVAVGLVWIMGAAALQKYVGSLGGIGTSGVGSSSSYAPKDLNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPMSDDIDVKAIARGTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEYAKDRILMGTERKTMFLTEESKKLTAYHESGHAIVAFNTEGAHPIHKATIMPRGSALGMVTQLPSSDETSTSKKQLLARLDVCMGGRVAEELIFGQDHITTGASSDLHTATELAQYMVSNCGMSDTIGPVHIKERPSSEMQSRIDAEVVKLLREAYDRVKALLKKQEKALHALANALLEYETLSAEEIKRILLPYREGRLPEQQEQGEGELALA >KJB74719 pep chromosome:Graimondii2_0_v6:12:460824:468672:1 gene:B456_012G003900 transcript:KJB74719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSLQASLICNPWPSLPKPRFRRSSSPHSNLSSVPKHSFPSTFLNSPFYARPFSIACTLLPENVNSGSKFDTHVEDSKPEALISDSENPTAIDEFVNVSEGAEVNNIDGETENVVETDRLNDNLVEKEGLKSKIPAVVFLMGVWAMVKRGMDKAVASGWFNWWPFWRQEKRLDRLIAEADANPKDAAKQSALLAELNKHSPESVIKRFEERDHAVDSRGVAEYLRALVVTNAIAEYLPDEQSGKPSNLPTLLQELKQRASGNIDESFLNPGISEKQPLHVVMVDPKVSNKSRFTQELISTILFTVAVGLVWIMGAAALQKYVGSLGGIGTSGVGSSSSYAPKDLNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPMSDDIDVKAIARGTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEYAKDRILMGTERKTMFLTEESKKLTAYHESGHAIVAFNTEGAHPIHKATIMPRGSALGMVTQLPSSDETSTSKKQLLARLDVCMGGRVAEELIFGQDHITTGASSDLHTATELAQYMVHFKMFQFFLNL >KJB74720 pep chromosome:Graimondii2_0_v6:12:460712:469850:1 gene:B456_012G003900 transcript:KJB74720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSLQASLICNPWPSLPKPRFRRSSSPHSNLSSVPKHSFPSTFLNSPFYARPFSIACTLLPENVNSGSKFDTHVEDSKPEALISDSENPTAIDEFVNVSEGAEVNNIDGETENVVETDRLNDNLVEKEGLKSKIPAVVFLMGVWAMVKRGMDKAVASGWFNWWPFWRQEKRLDRLIAEADANPKDAAKQSALLAELNKHSPESVIKRFEERDHAVDSRGVAEYLRALVVTNAIAEYLPDEQSGKPSNLPTLLQELKQRASGNIDESFLNPGISEKQPLHVVMVDPKVSNKSRFTQELISTILFTVAVGLVWIMGAAALQKYVGSLGGIGTSGVGSSSSYAPKDLNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPMSDDIDVKAIARGTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEYAKDRILMGTERKTMFLTEESKKLTAYHESGHAIVAFNTEGAHPIHKATIMPRGSALGMVTQLPSSDETSTSKKQLLARLDVCMGGRVAEELIFGQDHITTGASSDLHTATELAQYMVSNCGMSDTIGPVHIKERPSSEMQSRIDAEVCSSKLEFWFALVSFQS >KJB74718 pep chromosome:Graimondii2_0_v6:12:460712:469850:1 gene:B456_012G003900 transcript:KJB74718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSLQASLICNPWPSLPKPRFRRSSSPHSNLSSVPKHSFPSTFLNSPFYARPFSIACTLLPENVNSGSKFDTHVEDSKPEALISDSENPTAIDEFVNVSEGAEVNNIDGETENVVETDRLNDNLVEKEGLKSKIPAVVFLMGVWAMVKRGMDKAVASGWFNWWPFWRQEKRLDRLIAEADANPKDAAKQSALLAELNKHSPESVIKRFEERDHAVDSRGVAEYLRALVVTNAIAEYLPDEQSGKPSNLPTLLQELKQRASGNIDESFLNPGISEKQPLHVVMVDPKVSNKSRFTQELISTILFTVAVGLVWIMGAAALQKYVGSLGGIGTSGVGSSSSYAPKDLNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPMSDDIDVKAIARGTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEYAKDRILMGTERKTMFLTEESKKLTAYHESGHAIVAFNTEGAHPIHKATIMPRGSALGMVTQLPSSDETSTSKKQLLARLDVCMGGRVAEELIFGQDHITTGASSDLHTATELAQYMVHFKMFQFFLNL >KJB76635 pep chromosome:Graimondii2_0_v6:12:20198057:20202694:-1 gene:B456_012G097800 transcript:KJB76635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITCSSENLVIGLGAPSQSLSGTLSGTIGNLTNLRQVLLQNNNISGKIPPELGTLPKLQTLDLSNNRFSNEIPGSFGQLNSLQYLRLNNNSLSGPFPASLAKIPQLAFLDFSYNNLSGPVPKFPARTFNIVGNPLICGSSSTEVCSGSVNADPLSFSLGSSNAEQKSNKLAIALGISLSFATLILLSFALLWHRNKRKRLTILNISDKQEEGLISLGNLRNFTFRELQFATDNFSSKNILGTGGFGNVYKGKLGDDTLVAVKRLKDLTGSFGESQFRTELEMISLAVHRNLLRLIGYCATSNERLLVYPYMSNGSVASRLRGKPALDWNTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDDYCEAIVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLIELITGMRALEFGKTVSQKGAMLEWVKKIQKEKKEEVLVDRELGNNYDRIEVGEMLQVALLCTQYLPTHRPKMSEVVRMLEGDGLAEKWAASHDHSNSTMNHFPNNFSNKSKAPPTAGSKHDEKSYDDESSNKLGTGMDDDDDDEHSLDSYAMELSGPR >KJB76637 pep chromosome:Graimondii2_0_v6:12:20198063:20202459:-1 gene:B456_012G097800 transcript:KJB76637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSLFLLLFFFLSSATVSFSYEPRNHEVEALISIRRELNDPHGVLNNWDEDSVDPCSWAMITCSSENLVIGLGAPSQSLSGTLSGTIGNLTNLRQVLLQNNNISGKIPPELGTLPKLQTLDLSNNRFSNEIPGSFGQLNSLQYLRLNNNSLSGPFPASLAKIPQLAFLDFSYNNLSGPVPKFPARTFNIVGNPLICGSSSTEVCSGSVNADPLSFSLGSSNAEQKSNKLAIALGISLSFATLILLSFALLWHRNKRKRLTILNISDKQEEGLISLGNLRNFTFRELQFATDNFSSKNILGTGGFGNVYKGKLGDDTLVAVKRLKDLTGSFGESQFRTELEMISLAVHRNLLRLIGYCATSNERLLVYPYMSNGSVASRLRALDWNTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDDYCEAIVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLIELITGMRALEFGKTVSQKGAMLEWVKKIQKEKKEEVLVDRELGNNYDRIEVGEMLQVALLCTQYLPTHRPKMSEVVRMLEGDGLAEKWAASHDHSNSTMNHFPNNFSNKSKAPPTAGSKHDEKSYDDESSNKLGTGMDDDDDDEHSLDSYAMELSGPR >KJB76636 pep chromosome:Graimondii2_0_v6:12:20198712:20202251:-1 gene:B456_012G097800 transcript:KJB76636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSLFLLLFFFLSSATVSFSYEPRNHEVEALISIRRELNDPHGVLNNWDEDSVDPCSWAMITCSSENLVIGLGAPSQSLSGTLSGTIGNLTNLRQVLLQNNNISGKIPPELGTLPKLQTLDLSNNRFSNEIPGSFGQLNSLQYLRLNNNSLSGPFPASLAKIPQLAFLDFSYNNLSGPVPKFPARTFNIVGNPLICGSSSTEVCSGSVNADPLSFSLGSSNAEQKSNKLAIALGISLSFATLILLSFALLWHRNKRKRLTILNISDKQEEGLISLGNLRNFTFRELQFATDNFSSKNILGTGGFGNVYKGKLGDDTLVAVKRLKDLTGSFGESQFRTELEMISLAVHRNLLRLIGYCATSNERLLVYPYMSNGSVASRLRGKPALDWNTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDDYCEAIVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLIELITGMRALEFGKTVSQKGAMLEWVKKIQKEKKEEVLVDRELGNNYDRIEVGEMLQVALLCTQYLPTHRPKMSEVVRMLEGDGLAEKWAASHDHSNSTMNHFPNNFSNKSKAPPTAGSKHDEKSYDDESSNKLGTGMDDDDDDEHSLDSYAMELSGPR >KJB77786 pep chromosome:Graimondii2_0_v6:12:33074985:33076399:-1 gene:B456_012G157100 transcript:KJB77786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTIKEEVRAEIKIWNYVLGYVKIAVVKCAIELGIADVIENYGRPMPLSELATALRCEPSRLHRIMRFMVHDRIFKQEPINQHTVGFSSTPLSRCLLKGGEKSMAAFILLMSSPTCLAPWHSLSARVLETGNNISPFEVANGKDLWSYTEANPDFRELFNNAMGCHARLTVQATIEGCPEVFDGVESLVDVGGGNGTALSLLVKAFPWIRGINFDLPHVVAVSAKSDSIENVGGDMFMSIPNADAAFLMWVLHDWDDEECIKILKKCREAIPEDKGKVIIVEAVLEEDKEGDELGGVGLMLDTALMAITNKGKERTLKEWSYVLRQSGFTRFNVKPTRAVQSVIEAYP >KJB76289 pep chromosome:Graimondii2_0_v6:12:13454458:13465221:1 gene:B456_012G081700 transcript:KJB76289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKTRRKLNPSSSKKSNVPNNQSQVQPSKFGIQHFFERHSQNALLASQKLNHLSSPPAPPSATPPTNPIPLSSPKSNAASNGVVSVSPNPNLHPSSSPAMEAADEVSPMVSKSTSLKRFNFSPGMLIKQSQDDGGDEVTWKISPVNERLLAVSKHTPVLPDSSKHNSFSIHQCSQTKGINTAAKVDKWLSSPSPKGKADKKPLLQANRIGLKRLNPFQDKEVGDSIADENTCLSSRQTPFCTPPSLPYCPDKLANGVASHPLGLKQHKKALLELLDQVEDVISVEDFVSSESEPYSSKAQEGQSKEIPVTVDSIGNDVLMGTTNKVSGTSSNGYFLVLEVSEKQTFPESGGSQCPYKVLRLVNEKSGEERAVYLWEECVIAPGDTVNVIGEFDEEGKCNVDHENNFLIVHPYILVSGTRVAGSFSCPRRTVLDERLRCNEHSTAALIGILLHQIFQAGLMKESPTVHFLEEYARIVLQKNMESLYACGVNENEIYKTLTEAIPKLVNWIALFKDTQEPQVPTVDFGSDNGAKKVKVLEVIDIEELAWAPKYGLKGMIDVSVRVKVDSGGKEGDEKIMPLEFKTGRMANGQASAEHCAQVILYSLLMSERYLKHIDSGLLYYLQSDQTQGIVVRRSDLVGLIMRRNELAHDILKALTTQQLPPMLQIPSMCKGCRHLDVCTLYHKAFGGNTESSGLGDIFDSHVHHFSNAHGVFLRHWDQLIDLEAKEMQLAKKEIWRSHNLKNENSTGCLSSLVLDELPQQGSHKENRFIYHFVCRYSPTNNLSGSDRNSIRAASSLTKDLDCSLKSGDYVILSTESGRQFVATGIIVELSPDRVSVSFSKGLRLPGGNLSSMREKLLQEVWRIDKDEIMTSFSVMRFNLIQMFLDNEQSSHLRKMIVDLAAPRFDSGCIFSQDPAISYIWSEKSLNDDQRRAILKILTAKDYALILGMPGTGKTSTMVHAVKALLMRGASILLTSYTNSAVDNLLIKLKSQGIDFVRIGRHESVHEEIKGHCFSGMNINSVEEIKLKFDQVKVVAVTCLGITSPLLSGKKFDVCIIDEAGQTTLPVSLGPLMFSSTFVLVGDHYQLPPLIQSTEAREKGMGISLFCRLSEAHSHAIAPLQSQYRMCQSIMELSNALIYGDRLRCGSPEIANAKLKFTKPIACSSWLKIVLNPSKPVIFVNTDMLPALEARDQKTVNNPMEAYIIAEITDGLVNNGIEGKDIGIITPYNSQASFIRHACKASVETHTIDRYQGRDKDCILVSFVRSNENPRSCSASLLADWHRINVALTRPKKKLIMVGSWGTLSKVPMLKLLIDKIDEQSGILSLSNDDFNHQTWALQRCSWSQIR >KJB76290 pep chromosome:Graimondii2_0_v6:12:13454458:13465221:1 gene:B456_012G081700 transcript:KJB76290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKTRRKLNPSSSKKSNVPNNQSQVQPSKFGIQHFFERHSQNALLASQKLNHLSSPPAPPSATPPTNPIPLSSPKSNAASNGVVSVSPNPNLHPSSSPAMEAADEVSPMVSKSTSLKRFNFSPGMLIKQSQDDGGDEVTWKISPVNERLLAVSKHTPVLPDSSKHNSFSIHQCSQTKGINTAAKVDKWLSSPSPKGKADKKPLLQANRIGLKRLNPFQDKEVGDSIADENTCLSSRQTPFCTPPSLPYCPDKLANGVASHPLGLKQHKKALLELLDQVEDVISVEDFVSSESEPYSSKAQEGQSKEIPVTVDSIGNDVLMGTTNKVSGTSSNGYFLVLEVSEKQTFPESGGSQCPYKVLRLVNEKSGEERAVYLWEEWSYSVIAPGDTVNVIGEFDEEGKCNVDHENNFLIVHPYILVSGTRVAGSFSCPRRTVLDERLRCNEHSTAALIGILLHQIFQAGLMKESPTVHFLEEYARIVLQKNMESLYACGVNENEIYKTLTEAIPKLVNWIALFKDTQEPQVPTVDFGSDNGAKKVKVLEVIDIEELAWAPKYGLKGMIDVSVRVKVDSGGKEGDEKIMPLEFKTGRMANGQASAEHCAQVILYSLLMSERYLKHIDSGLLYYLQSDQTQGIVVRRSDLVGLIMRRNELAHDILKALTTQQLPPMLQIPSMCKGCRHLDVCTLYHKAFGGNTESSGLGDIFDSHVHHFSNAHGVFLRHWDQLIDLEAKEMQLAKKEIWRSHNLKNENSTGCLSSLVLDELPQQGSHKENRFIYHFVCRYSPTNNLSGSDRNSIRAASSLTKDLDCSLKSGDYVILSTESGRQFVATGIIVELSPDRVSVSFSKGLRLPGGNLSSMREKLLQEVWRIDKDEIMTSFSVMRFNLIQMFLDNEQSSHLRKMIVDLAAPRFDSGCIFSQDPAISYIWSEKSLNDDQRRAILKILTAKDYALILGMPGTGKTSTMVHAVKALLMRGASILLTSYTNSAVDNLLIKLKSQGIDFVRIGRHESVHEEIKGHCFSGMNINSVEEIKLKFDQVKVVAVTCLGITSPLLSGKKFDVCIIDEAGQTTLPVSLGPLMFSSTFVLVGDHYQLPPLIQSTEAREKGMGISLFCRLSEAHSHAIAPLQSQYRMCQSIMELSNALIYGDRLRCGSPEIANAKLKFTKPIACSSWLKIVLNPSKPVIFVNTDMLPALEARDQKTVNNPMEAYIIAEITDGLVNNGIEGKDIGIITPYNSQASFIRHACKASVETHTIDRYQGRDKDCILVSFVRSNENPRSCSASLLADWHRINVALTRPKKKLIMVGSWGTLSKVPMLKLLIDKIDEQSGILSLSNDDFNHQTWALQRCSWSQIR >KJB75727 pep chromosome:Graimondii2_0_v6:12:8917494:8918655:1 gene:B456_012G063200 transcript:KJB75727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLKHQLPCLHCQPHDYIRMVQHMIERCLLLQMSRDDCVKALAKHAKIEPIISLTDSSTQRRANFRRRKY >KJB75728 pep chromosome:Graimondii2_0_v6:12:8917494:8918655:1 gene:B456_012G063200 transcript:KJB75728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLKHQLPCLHCQPHDYIRMVQHMIERCLLLQMSRDDCVKALAKHAKIEPIISLTVWKELLKENKAFFRDYFQIAQLKGGLISEEESIEKDDPKSL >KJB75729 pep chromosome:Graimondii2_0_v6:12:8917583:8918572:1 gene:B456_012G063200 transcript:KJB75729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLKHQLPCLHCQPHDYIRMVQHMIERCLLLQMSRDDCVKALAKHAKIEPIISLTVWKELLKENKAFFRDYFQAR >KJB75559 pep chromosome:Graimondii2_0_v6:12:5876261:5882141:-1 gene:B456_012G046900 transcript:KJB75559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDDGSNSKAGPQLQFDLGNNVELGLEKVTLQNSLKLRNKKMDGGGGSKKRLKVTAVEVDVDSDDDEPILSLLKLRKSKNPKKDKAGLEGSAGKCKKVEVKAVKTEGKNEEDLGGMNDTLASFRKKLKDPKKDVDLGAKRERDYSLNKSVEGGGVLDGKSVLNTGVKGQDIGEDRSDVVTDTVVERKHRGKVRRAKFDSKSKPIEVDDESRAKLEEDQNEGGLSPESGLNQHSHEAQSDSVRKSCPISSLKRNCKGSYHASASKNPSRNYGDKSHSDSSSSFSHSSSKECNTAENQGFDHSVCQQESILEPGDLNVEKGPTEDPCRSPKVCDKEKYGHSNIELRDNCSAVDQRNKPESEGSRQAKHNLLPSVVDSLKMEETCTDVPNACAEENSLENSVHPNEFVASIQRCNSALRQPSEDACHGACGPTHDTLFISKEANVDSPTSTPDENESFHEDAVSLPSSEIKDSMSSAVQRGGRSIKKRRHGDMAYEGDADWENLLNEQGFFGNQQFADSDRSFRAKEKFDEAAVSSGLKARAVGPVEKIKFKEVLKGRGGLQEYLECRNHILGLWSKDVNRILPLAECGVSDTPSEGEPPRASLIREIYAFLDQGGYINFGIASKKEKAELRVKDNHKLLKERKNYGNSVASVADSEDGVAFILGQVKNSEASLDAKVGVRVDDENQASEATIPEVLVDSITSELPCGKEQKEHPSDNCQQNGSISAKLNPLLISSQVPSADLSCDAIDMGIAPVITPEERNDSHYVQSATYDKPDGNHQLQGDSEVRKNIIIVGAGPAGLTAARHLKRQGFSVVVLEARDRIGGRVYTDCFSLSVPVDLGASIITGVEADVSTNRRPDPSSLICAQLGLELTVLNSSCPLYDIVSGQKVPADLDDALEAEYNSLLDDMVFLVAQKGQKAMTISLEDGLEYALKRHRMEEIGADIEEIESHSSVDAVYDLKASNGKKCSEGEILSPLERRVMNWHYAHLEYGCAAPLKEVSLPNWNQDDVYGGFGGAHCMIKGGYSKVVESLGEGLLIHLNHVVTNISYGPKDPGIDNSHHRQVKVSTSNGSEFSGDAVLITVPLGCLKAEAIKFSPPLPQWKHSSIQQLGFGVLNKVVLEFPEVFWDDTVDYFGVTAEETDSRGHCFMFWNVQKTVGAPVLIALVAGKAAIDGQTMSSSDHVNHAVLILRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAIGASGEDYDMLGRPVENCLFFAGEATCKEHPDTVGGAMLSGLREAVRLIDIFTTGNDYTAEVEAMEAAQRRSESGRDEVGDIIKRLEAVELSNVLYKNSLDRAWVLSREALLRDMFFNVKTTSGRLHLAKKLLGLPVESLKSFAGTKEGLSTLNSWMLDSMGKDGTQLLRHCVRLLVLVSTDLLAVRSSGIGKTVKEKICVHTSRDIRAIASQLVSVWLEVFRKAKASSKRKSLKDTASGKPPLHSQHGAFESKASLQDPFPAGKQYPFYAKENGKSVDMEVESVNQGMSEEEQAAFAAEAAARAAAKAAAEYFAGTCIHRSQLQQIASAS >KJB75560 pep chromosome:Graimondii2_0_v6:12:5876732:5882596:-1 gene:B456_012G046900 transcript:KJB75560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDDGSNSKAGPQLQFDLGNNVELGLEKVTLQNSLKLRNKKMDGGGGSKKRLKVTAVEVDVDSDDDEPILSLLKLRKSKNPKKDKAGLEGSAGKCKKVEVKAVKTEGKNEEDLGGMNDTLASFRKKLKDPKKDVDLGAKRERDYSLNKSVEGGGVLDGKSVLNTGVKGQDIGEDRSDVVTDTVVERKHRGKVRRAKFDSKSKPIEVDDESRAKLEEDQNEGGLSPESGLNQHSHEAQSDSVRKSCPISSLKRNCKGSYHASASKNPSRNYGDKSHSDSSSSFSHSSSKECNTAENQGFDHSVCQQESILEPGDLNVEKGPTEDPCRSPKVCDKEKYGHSNIELRDNCSAVDQRNKPESEGSRQAKHNLLPSVVDSLKMEETCTDVPNACAEENSLENSVHPNEFVASIQRCNSALRQPSEDACHGACGPTHDTLFISKEANVDSPTSTPDENESFHEDAVSLPSSEIKDSMSSAVQRGGRSIKKRRHGDMAYEGDADWENLLNEQGFFGNQQFADSDRSFRAKEKFDEAAVSSGLKARAVGPVEKIKFKEVLKGRGGLQEYLECRNHILGLWSKDVNRILPLAECGVSDTPSEGEPPRASLIREIYAFLDQGGYINFGIASKKEKAELRVKDNHKLLKERKNYGNSVASVADSEDGVAFILGQVKNSEASLDAKVGVRVDDENQASEATIPEVLVDSITSELPCGKEQKEHPSDNCQQNGSISAKLNPLLISSQVPSADLSCDAIDMGIAPVITPEERNDSHYVQSATYDKPDGNHQLQGDSEVRKNIIIVGAGPAGLTAARHLKRQGFSVVVLEARDRIGGRVYTDCFSLSVPVDLGASIITGVEADVSTNRRPDPSSLICAQLGLELTVLNSSCPLYDIVSGQKVPADLDDALEAEYNSLLDDMVFLVAQKGQKAMTISLEDGLEYALKRHRMEEIGADIEEIESHSSVDAVYDLKASNGKKCSEGEILSPLERRVMNWHYAHLEYGCAAPLKEVSLPNWNQDDVYGGFGGAHCMIKGGYSKVVESLGEGLLIHLNHVVTNISYGPKDPGIDNSHHRQVKVSTSNGSEFSGDAVLITVPLGCLKAEAIKFSPPLPQWKHSSIQQLGFGVLNKVVLEFPEVFWDDTVDYFGVTAEETDSRGHCFMFWNVQKTVGAPVLIALVAGKAAIDGQTMSSSDHVNHAVLILRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAIGASGEDYDMLGRPVENCLFFAGEATCKEHPDTVGGAMLSGLREAVRLIDIFTTGNDYTAEVEAMEAAQRRSESGRDEVGDIIKRLEAVELSNVLYKNSLDRAWVLSREALLRDMFFNVKTTSGRLHLAKKLLGLPVESLKSFAGTKEGLSTLNSWMLDSMGKDGTQLLRHCVRLLVLVSTDLLAVRSSGIGKTVKEKICVHTSRDIRAIASQLVSVWLEVFRKAKASSKRKSLKDTASGKPPLHSQHGAFESKASLQDPFPAGKQYPFYAKENGKSVDMEVESVNQGMSEEEQAAFAAEAAARAAAKAAAEVGLSCTLSTVAY >KJB75557 pep chromosome:Graimondii2_0_v6:12:5873775:5882596:-1 gene:B456_012G046900 transcript:KJB75557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDDGSNSKAGPQLQFDLGNNVELGLEKVTLQNSLKLRNKKMDGGGGSKKRLKVTAVEVDVDSDDDEPILSLLKLRKSKNPKKDKAGLEGSAGKCKKVEVKAVKTEGKNEEDLGGMNDTLASFRKKLKDPKKDVDLGAKRERDYSLNKSVEGGGVLDGKSVLNTGVKGQDIGEDRSDVVTDTVVERKHRGKVRRAKFDSKSKPIEVDDESRAKLEEDQNEGGLSPESGLNQHSHEAQSDSVRKSCPISSLKRNCKGSYHASASKNPSRNYGDKSHSDSSSSFSHSSSKECNTAENQGFDHSVCQQESILEPGDLNVEKGPTEDPCRSPKVCDKEKYGHSNIELRDNCSAVDQRNKPESEGSRQAKHNLLPSVVDSLKMEETCTDVPNACAEENSLENSVHPNEFVASIQRCNSALRQPSEDACHGACGPTHDTLFISKEANVDSPTSTPDENESFHEDAVSLPSSEIKDSMSSAVQRGGRSIKKRRHGDMAYEGDADWENLLNEQGFFGNQQFADSDRSFRAKEKFDEAAVSSGLKARAVGPVEKIKFKEVLKGRGGLQEYLECRNHILGLWSKDVNRILPLAECGVSDTPSEGEPPRASLIREIYAFLDQGGYINFGIASKKEKAELRVKDNHKLLKERKNYGNSVASVADSEDGVAFILGQVKNSEASLDAKVGVRVDDENQASEATIPEVLVDSITSELPCGKEQKEHPSDNCQQNGSISAKLNPLLISSQVPSADLSCDAIDMGIAPVITPEERNDSHYVQSATYDKPDGNHQLQGDSEVRKNIIIVGAGPAGLTAARHLKRQGFSVVVLEARDRIGGRVYTDCFSLSVPVDLGASIITGVEADVSTNRRPDPSSLICAQLGLELTVLNSSCPLYDIVSGQKVPADLDDALEAEYNSLLDDMVFLVAQKGQKAMTISLEDGLEYALKRHRMEEIGADIEEIESHSSVDAVYDLKASNGKKCSEGEILSPLERRVMNWHYAHLEYGCAAPLKEVSLPNWNQDDVYGGFGGAHCMIKGGYSKVVESLGEGLLIHLNHVVTNISYGPKDPGIDNSHHRQVKVSTSNGSEFSGDAVLITVPLGCLKAEAIKFSPPLPQWKHSSIQQLGFGVLNKVVLEFPEVFWDDTVDYFGVTAEETDSRGHCFMFWNVQKTVGAPVLIALVAGKAAIDGQTMSSSDHVNHAVLILRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAIGASGEDYDMLGRPVENCLFFAGEATCKEHPDTVGGAMLSGLREAVRLIDIFTTGNDYTAEVEAMEAAQRRSESGRDEVGDIIKRLEAVELSNVLYKNSLDRAWVLSREALLRDMFFNVKTTSGRLHLAKKLLGLPVESLKSFAGTKEGLSTLNSWMLDSMGKDGTQLLRHCVRLLVLVSTDLLAVRSSGIGKTVKEKICVHTSRDIRAIASQLVSVWLEVFRKAKASSKRKSLKDTASGKPPLHSQHGAFESKASLQDPFPAGKQYPFYAKENGKSVDMEVESVNQGMSEEEQAAFAAEAAARAAAKAAAEALASTEANCNKLLQLPKIPSFHKFARREQYAQMDEGKWPGGVFGRQDCISEIDSRNCRVRDWSVDFSAACVNLDSSRMSVDNLSQRSHLKLREHSGESLAVDSSIFTKAWVDNAGSEGIKDCHAIERWQSQAVAADPDFFHPTNFKDEEDSNASSRQTTWKHDGRANESSISQVSVNKERFENHPHGTDRIKQAVVDYVASLLMPLYKARKIDKEGYKSIMKKTATKVMEQATDAEKNMAVSEFLDFKRKNKIRPFVDKLIERHMAMKPIMKHDGVKD >KJB75556 pep chromosome:Graimondii2_0_v6:12:5873648:5882619:-1 gene:B456_012G046900 transcript:KJB75556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDDGSNSKAGPQLQFDLGNNVELGLEKVTLQNSLKLRNKKMDGGGGSKKRLKVTAVEVDVDSDDDEPILSLLKLRKSKNPKKDKAGLEGSAGKCKKVEVKAVKTEGKNEEDLGGMNDTLASFRKKLKDPKKDVDLGAKRERDYSLNKSVEGGGVLDGKSVLNTGVKGQDIGEDRSDVVTDTVVERKHRGKVRRAKFDSKSKPIEVDDESRAKLEEDQNEGGLSPESGLNQHSHEAQSDSVRKSCPISSLKRNCKGSYHASASKNPSRNYGDKSHSDSSSSFSHSSSKECNTAENQGFDHSVCQQESILEPGDLNVEKGPTEDPCRSPKVCDKEKYGHSNIELRDNCSAVDQRNKPESEGSRQAKHNLLPSVVDSLKMEETCTDVPNACAEENSLENSVHPNEFVASIQRCNSALRQPSEDACHGACGPTHDTLFISKEANVDSPTSTPDENESFHEDAVSLPSSEIKDSMSSAVQRGGRSIKKRRHGDMAYEGDADWENLLNEQGFFGNQQFADSDRSFRAKEKFDEAAVSSGLKARAVGPVEKIKFKEVLKGRGGLQEYLECRNHILGLWSKDVNRILPLAECGVSDTPSEGEPPRASLIREIYAFLDQGGYINFGIASKKEKAELRVKDNHKLLKERKNYGNSVASVADSEDGVAFILGQVKNSEASLDAKVGVRVDDENQASEATIPEVLVDSITSELPCGKEQKEHPSDNCQQNGSISAKLNPLLISSQVPSADLSCDAIDMGIAPVITPEERNDSHYVQSATYDKPDGNHQLQGDSEVRKNIIIVGAGPAGLTAARHLKRQGFSVVVLEARDRIGGRVYTDCFSLSVPVDLGASIITGVEADVSTNRRPDPSSLICAQLGLELTVLNSSCPLYDIVSGQKVPADLDDALEAEYNSLLDDMVFLVAQKGQKAMTISLEDGLEYALKRHRMEEIGADIEEIESHSSVDAVYDLKASNGKKCSEGEILSPLERRVMNWHYAHLEYGCAAPLKEVSLPNWNQDDVYGGFGGAHCMIKGGYSKVVESLGEGLLIHLNHVVTNISYGPKDPGIDNSHHRQVKVSTSNGSEFSGDAVLITVPLGCLKAEAIKFSPPLPQWKHSSIQQLGFGVLNKVVLEFPEVFWDDTVDYFGVTAEETDSRGHCFMFWNVQKTVGAPVLIALVAGKAAIDGQTMSSSDHVNHAVLILRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAIGASGEDYDMLGRPVENCLFFAGEATCKEHPDTVGGAMLSGLREAVRLIDIFTTGNDYTAEVEAMEAAQRRSESGRDEVGDIIKRLEAVELSNVLYKNSLDRAWVLSREALLRDMFFNVKTTSGRLHLAKKLLGLPVESLKSFAGTKEGLSTLNSWMLDSMGKDGTQLLRHCVRLLVLVSTDLLAVRSSGIGKTVKEKICVHTSRDIRAIASQLVSVWLEVFRKAKASSKRKSLKDTASGKPPLHSQHGAFESKASLQDPFPAGKQYPFYAKENGKSVDMEVESVNQGMSEEEQAAFAAEAAARAAAKAAAEALASTEANCNKLLQLPKIPSFHKFARREQYAQMDEGKWPGGVFGRQDCISEIDSRNCRVRDWSVDFSAACVNLDSSRMSVDNLSQRSHLKLREHSGESLAVDSSIFTKAWVDNAGSEGIKDCHAIERWQSQAVAADPDFFHPTNFKDEEDSNASSRQTTWKHDGRANESSISQVSVNKERFENHPHGTDRIKQAVVDYVASLLMPLYKARKIDKEGYKSIMKKTATKVMEQATDAEKNMAVSEFLDFKRKNKIRPFVDKLIERHMAMKPIMKHDGVKD >KJB75558 pep chromosome:Graimondii2_0_v6:12:5873640:5882596:-1 gene:B456_012G046900 transcript:KJB75558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDDGSNSKAGPQLQFDLGNNVELGLEKVTLQNSLKLRNKKMDGGGGSKKRLKVTAVEVDVDSDDDEPILSLLKLRKSKNPKKDKAGLEGSAGKCKKVEVKAVKTEGKNEEDLGGMNDTLASFRKKLKDPKKDVDLGAKRERDYSLNKSVEGGGVLDGKSVLNTGVKGQDIGEDRSDVVTDTVVERKHRGKVRRAKFDSKSKPIEVDDESRAKLEEDQNEGGLSPESGLNQHSHEAQSDSVRKSCPISSLKRNCKGSYHASASKNPSRNYGDKSHSDSSSSFSHSSSKECNTAENQGFDHSVCQQESILEPGDLNVEKGPTEDPCRSPKVCDKEKYGHSNIELRDNCSAVDQRNKPESEGSRQAKHNLLPSVVDSLKMEETCTDVPNACAEENSLENSVHPNEFVASIQRCNSALRQPSEDACHGACGPTHDTLFISKEANVDSPTSTPDENESFHEDAVSLPSSEIKDSMSSAVQRGGRSIKKRRHGDMAYEGDADWENLLNEQGFFGNQQFADSDRSFRAKEKFDEAAVSSGLKARAVGPVEKIKFKEVLKGRGGLQEYLECRNHILGLWSKDVNRILPLAECGVSDTPSEGEPPRASLIREIYAFLDQGGYINFGIASKKEKAELRVKDNHKLLKERKNYGNSVASVADSEDGVAFILGQVKNSEASLDAKVGVRVDDENQASEATIPEVLVDSITSELPCGKEQKEHPSDNCQQNGSISAKLNPLLISSQVPSADLSCDAIDMGIAPVITPEERNDSHYVQSATYDKPDGNHQLQGDSEVRKNIIIVGAGPAGLTAARHLKRQGFSVVVLEARDRIGGRVYTDCFSLSVPVDLGASIITGVEADVSTNRRPDPSSLICAQLGLELTVLNSSCPLYDIVSGQKVPADLDDALEAEYNSLLDDMVFLVAQKGQKAMTISLEDGLEYALKRHRMEEIGADIEEIESHSSVDAVYDLKASNGKKCSEGEILSPLERRVMNWHYAHLEYGCAAPLKEVSLPNWNQDDVYGGFGGAHCMIKGGYSKVVESLGEGLLIHLNHVVTNISYGPKDPGIDNSHHRQVKVSTSNGSEFSGDAVLITVPLGCLKAEAIKFSPPLPQWKHSSIQQLGFGVLNKVVLEFPEVFWDDTVDYFGVTAEETDSRGHCFMFWNVQKTVGAPVLIALVAGKAAIDGQTMSSSDHVNHAVLILRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAIGASGEDYDMLGRPVENCLFFAGEATCKEHPDTVGGAMLSGLREAVRLIDIFTTGNDYTAEVEAMEAAQRRSESGRDEVGDIIKRLEAVELSNVLYKNSLDRAWVLSREALLRDMFFNVKTTSGRLHLAKKLLGLPVESLKSFAGTKEGLSTLNSWMLDSMGKDGTQLLRHCVRLLVLVSTDLLAVRSSGIGKTVKEKICVHTSRDIRAIASQLVSVWLEVFRKAKASSKRKSLKDTASGKPPLHSQHGAFESKASLQDPFPAGKQYPFYAKENGKSVDMEVESVNQGMSEEEQAAFAAEAAARAAAKAAAEALASTEANCNKLLQLPKIPSFHKFARREQYAQMDEGKWPGGVFGRQDCISEIDSRNCRVRDWSVDFSAACVNLDSSRMSVDNLSQRSHLKLREHSGESLAVDSSIFTKAWVDNAGSEGIKDCHAIERWQSQAVAADPDFFHPTNFKDEEDSNASSRQTTWKHDGRANESSISQVSVNKERFENHPHGTDRIKQAVVDYVASLLMPLYKARKIDKEGYKSIMKKTATKVMEQATDAEKNMAVSEFLDFKRKNKIRPFVDKLIERHMAMKPIMKHDGVKD >KJB76572 pep chromosome:Graimondii2_0_v6:12:17122410:17127093:1 gene:B456_012G095000 transcript:KJB76572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 28 [Source:Projected from Arabidopsis thaliana (AT2G35770) UniProtKB/Swiss-Prot;Acc:Q8S8K6] MTTHQYINSSSSFRLVYFLVIGSLVVWSAEGGHRKEQARDRIVKLPGQPRNVKFSQYSGYITVEAKAGRALFYWLTEAPAKSRPETKPLVLWLNGGPGCSSIAYGASEEVGPFRVREDGKSLRLNPYAWNQEANLLFLDSPAGVGFSYSNTSSDIYTAGDKRTGHYIPELSQVIVHRTEGVKNPVLNFKGFLLGNPLLDDYYDNIGSHEYWWNHGLISESTYKELKRSCSNDTFLFPKDGCNNALSGAYEEFGNINPYNIYGPPCNAISTSNHRLAQLPLPWRFRGNDECVVMYTRRYMNNRRVQKALHAHLTPLRHPWTTCSSAIRRNWTDSPKSMLPIIKQLIGAGIRIWIFSGDTDAVLPLTATRYSVNALSLPTNISWYAWIDDQAQVGGWSEVYKGLTYVTVRGAGHEVPLTQPQRALRLFRYFLMNLPLPSSLSD >KJB76570 pep chromosome:Graimondii2_0_v6:12:17122410:17127019:1 gene:B456_012G095000 transcript:KJB76570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 28 [Source:Projected from Arabidopsis thaliana (AT2G35770) UniProtKB/Swiss-Prot;Acc:Q8S8K6] MTTHQYINSSSSFRLVYFLVIGSLVVWSAEGGHRKEQARDRIVKLPGQPRNVKFSQYSGYITVEAKAGRALFYWLTEAPAKSRPETKPLVLWLNGGPGCSSIAYGASEEVGPFRVREDGKSLRLNPYAWNQDSPAGVGFSYSNTSSDIYTAGDKRTAEDAYTFLMKWLERFPNYKHRPFYIAGESYAGHYIPELSQVIVHRTEGVKNPVLNFKGFLLGNPLLDDYYDNIGSHEYWWNHGLISESTYKELKRSCSNDTFLFPKDGCNNALSGAYEEFGNINPYNIYGPPCNAISTSNHRLAQLPLPWRFRGNDECVVMYTRRYMNNRRVQKALHAHLTPLRHPWTTCSSAIRRNWTDSPKSMLPIIKQLIGAGIRIWIFSGDTDAVLPLTATRYSVNALSLPTNISWYAWIDDQAQVGGWSEVYKGLTYVTVRGAGHEVPLTQPQRALRLFRYFLMNLPLPSSLSD >KJB76571 pep chromosome:Graimondii2_0_v6:12:17122410:17127093:1 gene:B456_012G095000 transcript:KJB76571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 28 [Source:Projected from Arabidopsis thaliana (AT2G35770) UniProtKB/Swiss-Prot;Acc:Q8S8K6] MTTHQYINSSSSFRLVYFLVIGSLVVWSAEGGHRKEQARDRIVKLPGQPRNVKFSQYSGYITVEAKAGRALFYWLTEAPAKSRPETKPLVLWLNGGPGCSSIAYGASEEVGPFRVREDGKSLRLNPYAWNQEANLLFLDSPAGVGFSYSNTSSDIYTAGDKRTAEDAYTFLMKWLERFPNYKHRPFYIAGESYAGHYIPELSQVIVHRTEGVKNPVLNFKGFLLGNPLLDDYYDNIGSHEYWWNHGLISESTYKELKRSCSNDTFLFPKDGCNNALSGAYEEFGNINPYNIYGPPCNAISTSNHRLAQLPLPWRFRGNDECVVMYTRRYMNNRRVQKALHAHLTPLRHPWTTCSSAIRRNWTDSPKSMLPIIKQLIGAGIRIWIFSGDTDAVLPLTATRYSVNALSLPTNISWYAWIDDQAQVGGWSEVYKGLTYVTVRGAGHEVPLTQPQRALRLFRYFLMNLPLPSSLSD >KJB75256 pep chromosome:Graimondii2_0_v6:12:4480599:4484083:-1 gene:B456_012G036200 transcript:KJB75256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSHLMELQTFRPPSFAGTMVFLVRNQVQQWKNHCRFSANTMLSEHRFYKHLLSGRKCVSKNTKTSVSCSYSTEQNDTLPSINQLSDARVIYAVAAALGHNKESHPESSARVPAIVKALEKMELTPKFRGSDILELQNFNLASVDDIASVHAKAYVSGLEKAMDQASEKGLILIEGSGPTYATSTTFHESLVAAGAGLALVDSVVMSQLRTLQHQRTNWIHLLLLL >KJB75254 pep chromosome:Graimondii2_0_v6:12:4479240:4484270:-1 gene:B456_012G036200 transcript:KJB75254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSHLMELQTFRPPSFAGTMVFLVRNQVQQWKNHCRFSANTMLSEHRFYKHLLSGRKCVSKNTKTSVSCSYSTEQNDTLPSINQLSDARVIYAVAAALGHNKESHPESSARVPAIVKALEKMELTPKFRGSDILELQNFNLASVDDIASVHAKAYVSGLEKAMDQASEKGLILIEGSGPTYATSTTFHESLVAAGAGLALVDSVVAASKNQLDPPTAFALIRPPGHHAIPKGPMGFCVFGNVAIAARHAQRVHGLKRVFIIDFDVHHGNGTNDAFLDDPDIFFLSTHQDGSYPGTGKFDEIGIGAGEGATLNLPLPGGSGDTAMRAVFDEVIVPCAQRFKPDIILVSAGYDGHVLDPLASLQFTTGTYYMLASNIKQLAKDLCGGRCVFFLEGGYNLDSLSYSVADSFRAFLGEPSLAAEFDDPAILYEEPSTRVKQAIQRVKHIHSL >KJB75253 pep chromosome:Graimondii2_0_v6:12:4480599:4484083:-1 gene:B456_012G036200 transcript:KJB75253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSHLMELQTFRPPSFAGTMVFLVRNQVQQWKNHCRFSANTMLSEHRFYKHLLSGRKCVSKNTKTSVSCSYSTEQNDTLPSINQLSDARVIYAVAAALGHNKESHPESSARVPAIVKALEKMELTPKFRGSDILELQNFNLASVDDIASVHAKAYVSGLEKAMDQASEKGLILIEGSGPTYATSTTFHESLVAAGAGLALVDSVVMSQLRTLQHQRTNWIHLLLLL >KJB75255 pep chromosome:Graimondii2_0_v6:12:4480126:4484083:-1 gene:B456_012G036200 transcript:KJB75255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSHLMELQTFRPPSFAGTMVFLVRNQVQQWKNHCRFSANTMLSEHRFYKHLLSGRKCVSKNTKTSVSCSYSTEQNDTLPSINQLSDARVIYAVAAALGHNKESHPESSARVPAIVKALEKMELTPKFRGSDILELQNFNLASVDDIASVHAKAYVSGLEKAMDQASEKGLILIEGSGPTYATSTTFHESLVAAGAGLALVDSVVAASKNQLDPPTAFALIRPPGHHAIPKGPMGFCVFGNVAIAARHAQRVHGLKRVFIIDFDVHHGNGTNDAFLDDPDIFFLSTHQFH >KJB75257 pep chromosome:Graimondii2_0_v6:12:4479304:4484194:-1 gene:B456_012G036200 transcript:KJB75257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPKFRGSDILELQNFNLASVDDIASVHAKAYVSGLEKAMDQASEKGLILIEGSGPTYATSTTFHESLVAAGAGLALVDSVVAASKNQLDPPTAFALIRPPGHHAIPKGPMGFCVFGNVAIAARHAQRVHGLKRVFIIDFDVHHGNGTNDAFLDDPDIFFLSTHQDGSYPGTGKFDEIGIGAGEGATLNLPLPGGSGDTAMRAVFDEVIVPCAQRFKPDIILVSAGYDGHVLDPLASLQFTTGTYYMLASNIKQLAKDLCGGRCVFFLEGGYNLDSLSYSVADSFRAFLGEPSLAAEFDDPAILYEEPSTRVKQAIQRVKHIHSL >KJB75258 pep chromosome:Graimondii2_0_v6:12:4479331:4484176:-1 gene:B456_012G036200 transcript:KJB75258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPKFRGSDILELQNFNLASVDDIASVHAKAYVSGLEKAMDQASEKGLILIEGSGPTYATSTTFHESLVAAGAGLALVDSVVAASKNQLDPPTAFALIRPPGHHAIPKGPMGFCVFGNVAIAARHAQRVHGLKRVFIIDFDVHHGNGTNDAFLDDPDIFFLSTHQDGSYPGTGKFDEIGIGAGEGATLNLPLPGGSGDTAMRAVFDEVIVPCAQRFKPDIILVSAGYDGHVLDPLASLQFTTGTYYMLASNIKQLAKDLCGGRCVFFLEGGYNLDSLSYSVADSFRAFLGEPSLAAEFDDPAILYEEPSTRVKQAIQRVKHIHSL >KJB75413 pep chromosome:Graimondii2_0_v6:12:5130917:5132313:1 gene:B456_012G041100 transcript:KJB75413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYTLSIPLLATPAGALTTTIAPTSCATKKDDENGVFSSGSSIIYRLLLVILIGTISIWANHEASKGFKVTIINAAAKESPAGRRFDLFYVSNDEATRIILSTSAVVENILYADQSDDQTKKPVHHVVLQLAAGDNLTETKVSVDTSRSKEPVYVISLSPSIMEESNVKYSVISTIQRAMARIWLWDGKSRAPPWLIAGMEEYIWMQAGFGHHDKETALHSRSKFRPEQFCSVLSQVCSKSSKLRPGLKMNISAICGDHWKWEKTGGVCREEDDKNPKIVALALGYIEQKHKGYVQGLNQILRDGWD >KJB78113 pep chromosome:Graimondii2_0_v6:12:34735728:34737096:1 gene:B456_012G178700 transcript:KJB78113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPEEPEQNITHMDASLYKAAVEGNIEEFNNKQGPQLESLKTPNHDNVLHLNLATQENATLLFNIFLSIIKFFPVLYGCFSPPMRDLITIIRGEKRSDFIEQILSKCPSMLLQTNAKGQTPLHVAARYGHSAIVKLLIKSCAKARDGDLEKLGMDQVNAVRGMLRIRDQESNTALHEAARCGNVEVVKALLEFEEPDFPYSANKKQEAPLYIAARRGDGGMLSILLDKSKSTAHGGPHGRTALHAAAMAGDAEAIRIILEKKGNLTKERDEGGHTPLHYAAHLGSRLSVVEELLKRDVSAAYIGDKKRGMTPLLMAARQGYGGTCSKILSLCPDCCEKVDNYGLNLLHYAAFRDSVFPLGSSVFKRGGAEIVYGSVRNLMELEGAFGITPQEAHNISSIYETTS >KJB78297 pep chromosome:Graimondii2_0_v6:12:34748406:34750890:1 gene:B456_012G179000 transcript:KJB78297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDIIGKQQICKAFGRKLCNRSTKMEPSTNIALISSGEPEEPEENITYMDALLYKAAAEGIIEEFNNHPELQLESLKTPNHDNLLHVNLATQESAVDIVYSLFILFPEGYVLFHQYLSIFISMIKREKRSDFFEQILSKCPSLLLQTNAKGQTPLHVAARFGHSAIVKLLIKSCAKARDGDLEKLGMDQVNAVREMLRITDQESNTALHEAAGCGNVEVVKALLEFEDPDFPYSANKKQETPLYIAARRRGSGRLLTLLLDKFKSTGHGGPHGRTALHAAAMAGDAEAIRVILKKKGNLTKERDEDGHTPLHYAAHFGRSRRISVVKELLKWDVSAAYIGDKKRGMTPLLMAARQGYLVTVSKILSLCPDCCEKVDNKGLSLLHYLAFRVSSSPIGRSLFKYAGSEIVNGSFRNLRKLEDAFGMTPKEVYNALRSEKHHHKQKQIKELLEEIENDQVAEEPVCSFPIRNVSAESLEKEREAHLIVAALIATVAFAAAITVPGGLQSEKGSEQGTPLLIHEAVFKAFVVTNALAFILSVSALTIHFGVLDNLLSQFKFFSSNSFISNSVCFWDPWLCNAGNGDCFQHR >KJB77769 pep chromosome:Graimondii2_0_v6:12:32975281:32981664:-1 gene:B456_012G156100 transcript:KJB77769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEPMEMKQQDLSAFETNDSTTTSLHESNTLSKGDSTTNGSVNDQNVYNPTNVGVGKQNEASGNSQSVPYIYRQDVVRSNINGSIGIVSEVAGDSDSDGSITDDEDDEDEDKYNEDGEDESGDVDANSNANGSGEGNKGDRDYKCGDLQADQIRVLWMDDTEPVQSIKNVTVVDRGFLHGDYVAAASNSTGQVGVVVDVNISIDLLAPDGSTIKDISTRDLQRVRDFAVGDYVVLGPWLGRIDDVLDNVNVLFDDGSECKVMRAEPLRLKPTTRNTLEDDSNFPYYPGQRVKASSSSVFKNSRWLSGLWKPNRLEGTVTKVTAGSVFIYWIASAGYGPDSSTAPAEEQNPKNLRLLSCFTHANWQVGDWCLLPTSLQSIPLDKGFSNLQLNGSMRNGRNHECESEEVTPDEPNDNTESMDLDEMPTPDENNAIVETKDNGNVENKVSLESSSCSSSLSALKETVHEHWPLHRKKVRKVVIRKDKKAKKKGDNFERALLIVNSRTRVDVAWQDGTVERGVDATKLVPIETPGDHEFVAEQYVVEKTSDDGDDVYEPRRVGVVKSVNAKERTACVKWLKPVARAEDPREFDKEEIVSVYELEGHPDYDYCYGDVVVRLPPASFPIQSASEEGSLKEPKQEDGSKEVKLDFQKHSGSNRVEGAPLNEAFMDFTDLSWVGNITGLKNGDIEVTWADGMVSTVGPQAIYVVGRDDDESIAAGSEVSDDAASWETVNDDEMDALENAQEDLEPLKASVSEAEEGMENDSGRNKALSLPLAAFDFVTRLASGLFSGRRKNDQIGLDSEGENEYELQGRDSSYESSSQKSNVDNSGGKNVSGKGLEHVEVEAQKQSLPLEDSSNLRIENLDPKTGDEDNACSFKRFDTAKDPLDHYFLGASGQNNAGRKWLKKVQQDWNILQNNLPDGIYVRVYEDRMDLLRAVIVGAYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRLNPNLYEEGKVCLSLLNTWTGKGNEVWDPSSSSILQVLVSLQGLVLNAKPYFNEAGYDKQVGTAEGEKNSLAYNENTFLLNCKSMMYLMRKPPKDFEQLVKEHFRQRGYYILKACDAYMKGYLIGSLTKDASISDTNNADSNSVGFKLMLGKIVPKLLSALNEIGADCQEFKHLQQS >KJB77770 pep chromosome:Graimondii2_0_v6:12:32975281:32981793:-1 gene:B456_012G156100 transcript:KJB77770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKQQDLSAFETNDSTTTSLHESNTLSKGDSTTNGSVNDQNVYNPTNVGVGKQNEASGNSQSVPYIYRQDVVRSNINGSIGIVSEVAGDSDSDGSITDDEDDEDEDKYNEDGEDESGDVDANSNANGSGEGNKGDRDYKCGDLQADQIRVLWMDDTEPVQSIKNVTVVDRGFLHGDYVAAASNSTGQVGVVVDVNISIDLLAPDGSTIKDISTRDLQRVRDFAVGDYVVLGPWLGRIDDVLDNVNVLFDDGSECKVMRAEPLRLKPTTRNTLEDDSNFPYYPGQRVKASSSSVFKNSRWLSGLWKPNRLEGTVTKVTAGSVFIYWIASAGYGPDSSTAPAEEQNPKNLRLLSCFTHANWQVGDWCLLPTSLQSIPLDKGFSNLQLNGSMRNGRNHECESEEVTPDEPNDNTESMDLDEMPTPDENNAIVETKDNGNVENKVSLESSSCSSSLSALKETVHEHWPLHRKKVRKVVIRKDKKAKKKGDNFERALLIVNSRTRVDVAWQDGTVERGVDATKLVPIETPGDHEFVAEQYVVEKTSDDGDDVYEPRRVGVVKSVNAKERTACVKWLKPVARAEDPREFDKEEIVSVYELEGHPDYDYCYGDVVVRLPPASFPIQSASEEGSLKEPKQEDGSKEVKLDFQKHSGSNRVEGAPLNEAFMDFTDLSWVGNITGLKNGDIEVTWADGMVSTVGPQAIYVVGRDDDESIAAGSEVSDDAASWETVNDDEMDALENAQEDLEPLKASVSEAEEGMENDSGRNKALSLPLAAFDFVTRLASGLFSGRRKNDQIGLDSEGENEYELQGRDSSYESSSQKSNVDNSGGKNVSGKGLEHVEVEAQKQSLPLEDSSNLRIENLDPKTGDEDNACSFKRFDTAKDPLDHYFLGASGQNNAGRKWLKKVQQDWNILQNNLPDGIYVRVYEDRMDLLRAVIVGAYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRLNPNLYEEGKVCLSLLNTWTGKGNEVWDPSSSSILQVLVSLQGLVLNAKPYFNEAGYDKQVGTAEGEKNSLAYNENTFLLNCKSMMYLMRKPPKTTRLLHT >KJB77767 pep chromosome:Graimondii2_0_v6:12:32975112:32981848:-1 gene:B456_012G156100 transcript:KJB77767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKQQDLSAFETNDSTTTSLHESNTLSKGDSTTNGSVNDQNVYNPTNVGVGKQNEASGNSQSVPYIYRQDVVRSNINGSIGIVSEVAGDSDSDGSITDDEDDEDEDKYNEDGEDESGDVDANSNANGSGEGNKGDRDYKCGDLQADQIRVLWMDDTEPVQSIKNVTVVDRGFLHGDYVAAASNSTGQVGVVVDVNISIDLLAPDGSTIKDISTRDLQRVRDFAVGDYVVLGPWLGRIDDVLDNVNVLFDDGSECKVMRAEPLRLKPTTRNTLEDDSNFPYYPGQRVKASSSSVFKNSRWLSGLWKPNRLEGTVTKVTAGSVFIYWIASAGYGPDSSTAPAEEQNPKNLRLLSCFTHANWQVGDWCLLPTSLQSIPLDKGFSNLQLNGSMRNGRNHECESEEVTPDEPNDNTESMDLDEMPTPDENNAIVETKDNGNVENKVSLESSSCSSSLSALKETVHEHWPLHRKKVRKVVIRKDKKAKKKGDNFERALLIVNSRTRVDVAWQDGTVERGVDATKLVPIETPGDHEFVAEQYVVEKTSDDGDDVYEPRRVGVVKSVNAKERTACVKWLKPVARAEDPREFDKEEIVSVYELEGHPDYDYCYGDVVVRLPPASFPIQSASEEGSLKEPKQEDGSKEVKLDFQKHSGSNRVEGAPLNEAFMDFTDLSWVGNITGLKNGDIEVTWADGMVSTVGPQAIYVVGRDDDESIAAGSEVSDDAASWETVNDDEMDALENAQEDLEPLKASVSEAEEGRRKNDQIGLDSEGENEYELQGRDSSYESSSQKSNVDNSGGKNVSGKGLEHVEVEAQKQSLPLEDSSNLRIENLDPKTGDEDNACSFKRFDTAKDPLDHYFLGASGQNNAGRKWLKKVQQDWNILQNNLPDGIYVRVYEDRMDLLRAVIVGAYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRLNPNLYEEGKVCLSLLNTWTGKGNEVWDPSSSSILQVLVSLQGLVLNAKPYFNEAGYDKQVGTAEGEKNSLAYNENTFLLNCKSMMYLMRKPPKDFEQLVKEHFRQRGYYILKACDAYMKGYLIGSLTKDASISDTNNADSNSVGFKLMLGKIVPKLLSALNEIGADCQEFKHLQQS >KJB77768 pep chromosome:Graimondii2_0_v6:12:32975717:32980974:-1 gene:B456_012G156100 transcript:KJB77768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKQQDLSAFETNDSTTTSLHESNTLSKGDSTTNGSVNDQNVYNPTNVGVGKQNEASGNSQSVPYIYRQDVVRSNINGSIGIVSEVAGDSDSDGSITDDEDDEDEDKYNEDGEDESGDVDANSNANGSGEGNKGDRDYKCGDLQADQIRVLWMDDTEPVQSIKNVTVVDRGFLHGDYVAAASNSTGQVGVVVDVNISIDLLAPDGSTIKDISTRDLQRVRDFAVGDYVVLGPWLGRIDDVLDNVNVLFDDGSECKVMRAEPLRLKPTTRNTLEDDSNFPYYPGQRVKASSSSVFKNSRWLSGLWKPNRLEGTVTKVTAGSVFIYWIASAGYGPDSSTAPAEEQNPKNLRLLSCFTHANWQVGDWCLLPTSLQSIPLDKGFSNLQLNGSMRNGRNHECESEEVTPDEPNDNTESMDLDEMPTPDENNAIVETKDNGNVENKVSLESSSCSSSLSALKETVHEHWPLHRKKVRKVVIRKDKKAKKKGDNFERALLIVNSRTRVDVAWQDGTVERGVDATKLVPIETPGDHEFVAEQYVVEKTSDDGDDVYEPRRVGVVKSVNAKERTACVKWLKPVARAEDPREFDKEEIVSVYELEGHPDYDYCYGDVVVRLPPASFPIQSASEEGSLKEPKQEDGSKEVKLDFQKHSGSNRVEGAPLNEAFMDFTDLSWVGNITGLKNGDIEVTWADGMVSTVGPQAIYVVGRDDDESIAAGSEVSDDAASWETVNDDEMDALENAQEDLEPLKASVSEAEEGMENDSGRNKALSLPLAAFDFVTRLASGLFSGRRKNDQIGLDSEGENEYELQGRDSSYESSSQKSNVDNSGGKNVSGKGLEHVEVEAQKQSLPLEDSSNLRIENLDPKTGDEDNACSFKRFDTAKDPLDHYFLGASGQNNAGRKWLKKVQQDWNILQNNLPDGIYVRVYEDRMDLLRAVIVGAYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRLNPNLYEEGKVCLSLLNTWTGKGNEVWDPSSSSILQVLVSLQGLVLNAKPYFNEAGYDKQVGTAEGEKNSLAYNENTFLLNCKSMMYLMRKPPKDFEQLVKEHFRQRGYYILKACDAYMKGYLIGSLTKDASISDTNNADSNSVGFKLMLGKIVPKLLSALNEIGADCQEFKHLQQS >KJB76842 pep chromosome:Graimondii2_0_v6:12:24927411:24930793:1 gene:B456_012G109900 transcript:KJB76842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPSVIYSIEMGRLVFLFASSLLLMVAATTVSAAIVEHSFNVQNLTVNRLCNRHVITAANGRLPGPSIRAREGDTLIIHVFNKSPYNLTIHWHGIHQMLSAWADGPDMITQCPIRPGNKYTYKFKIIKQEGTFWWHSHTSTLRATLYGAIIIRPRAGSSYPFPKPYREVPILLGEWWNGNVVDMANQALAHGTGPNISDAYTINGWPGDLYPCSQNQMYKLKVKQGKTYLLRITNAAVDNNLFYKIANHKMIVVAVDARYTNPYVTDVLVVASGQTIDVLLTADQPIGSYYMAARAYASAAGLEFDSTTTRGVIVYEGAPSWTSRTPLMPVLPAFNDTPTAHKFSTSLTALVGGPHWEPVPLKVDHKMFVTIGMALDVCPSNTTCQGPPVGAKLSASMNNVSFVPPSSLSLLQAFFFNVRGVYTTDFPVKPPAQFDYTNPSINGDLPLLYAPKGTRITKLKFNTTVEMVMQNTAIIGAENHPMHLHGFDFHVLAQGFGNFNPATDTLKYNLFNPQIRHTIGVPVGGWAVIRFVANNPGVWFMHCHFDGHLPIGLATAFVVENGPTPETTLPPPPVDLPQC >KJB76603 pep chromosome:Graimondii2_0_v6:12:19361658:19366124:-1 gene:B456_012G096600 transcript:KJB76603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEIELKTAPADFRFPTTNQTRHCFTRYIEFHGCLAAKGESNECERFAKYYLSLCPGEWVSIPFSFCLIF >KJB76600 pep chromosome:Graimondii2_0_v6:12:19359880:19366124:-1 gene:B456_012G096600 transcript:KJB76600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEIELKTAPADFRFPTTNQTRHCFTRYIEFHGCLAAKGESNECERFAKYYLSLCPGEWVERWSEQRENGTFPGPL >KJB76602 pep chromosome:Graimondii2_0_v6:12:19360670:19366208:-1 gene:B456_012G096600 transcript:KJB76602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEIELKTAPADFRFPTTNQTRHCFTRYIEFHGCLAAKGESNECERFAKYYLSLCPGEWVERWSEQRENGTFPGPL >KJB76601 pep chromosome:Graimondii2_0_v6:12:19355230:19366124:-1 gene:B456_012G096600 transcript:KJB76601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEIELKTAPADFRFPTTNQTRHCFTRYIEFHGCLAAKGESNECERFAKYYLSLCPGEWVERWSEQRENGTFPGPL >KJB75267 pep chromosome:Graimondii2_0_v6:12:4270478:4271866:-1 gene:B456_012G034700 transcript:KJB75267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLIHSTIAKSSLSTLARTSLLHLSPLRSTSLTRDNATESVAIEGGNTNFSVNTRQGKMVPILKDCSIPSDSCGCFLDLMVVVNLPL >KJB74568 pep chromosome:Graimondii2_0_v6:12:9073889:9074622:1 gene:B456_012G063900 transcript:KJB74568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKIIEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKVDQIEVDKETLDMLSVLGMGDIPGLVKVDPVAVSVPQVGFGRGGGPGRRF >KJB76977 pep chromosome:Graimondii2_0_v6:12:26704703:26711330:-1 gene:B456_012G115700 transcript:KJB76977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTVHLAMAALVGASLVAVSAYYMHRKTLNQLLEFAKTVEREREDISEGEESPQPQHSKKRRGQHFRWKGNGYYRRGSASLPDVTVISGGFDGEEKRNGPVNVDGIPPGLPRLHTLPEAKRSGSLIRPTSPKSPSASAFESIEGSDDEDNITDSSKLDITYLHTNGNAGPNLPDHINANGEAMQIAASSMIRSHSIAGDLHGVQPDPIAADILRKEPEQETFARLKISPSEVPLPDEVEVYVVLQECLEMRKRYVFKEAVAPWEKEVISDPSTPKPNPDPFFYAPEGNSDHYFEMQDGVIHVYDNKDTRRELFPVADATTFFTDLHHILRVIAAGNIRTLCFHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKEVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNDLYSENVVWLIQIPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPDQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSACDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPNGNEIQRTNVPHIRLEFRDRIWREEMQLVYLGKAIIPEEVEK >KJB76980 pep chromosome:Graimondii2_0_v6:12:26704703:26711330:-1 gene:B456_012G115700 transcript:KJB76980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTVHLAMAALVGASLVAVSAYYMHRKTLNQLLEFAKTVEREREDISEGEESPQPQHSKKRRGQHFRWKGNGYYRRGSASLPDVTVISGGFDGEEKRNGPVNVDGIPPGLPRLHTLPEAKRSGSLIRPTSPKSPSASAFESIEGSDDEDNITDSSKLDITYLHTNGNAGPNLPDHINANGEAMQIAASSMIRSHSIAGDLHGVQPDPIAADILRKEPEQETFARLKISPSEVPLPDEVEVYVVLQECLEMRKRYVFKEAVAPWEKEVISDPSTPKPNPDPFFYAPEGNSDHYFEMQDGVIHVYDNKDTRRELFPVADATTFFTDLHHILRVIAAGNIRTLCFHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKEVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNDLYSENVVWLIQIPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPDQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVISSLSL >KJB76981 pep chromosome:Graimondii2_0_v6:12:26705343:26711270:-1 gene:B456_012G115700 transcript:KJB76981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTVHLAMAALVGASLVAVSAYYMHRKTLNQLLEFAKTVEREREDISEGEESPQPQHSKKRRGQHFRWKGNGYYRRGSASLPDVTVISGGFDGEEKRNGPVNVDGIPPGLPRLHTLPEAKRSGSLIRPTSPKSPSASAFESIEGSDDEDNITDSSKLDITYLHTNGNAGPNLPDHINANGEAMQIAASSMIRSHSIAGDLHGVQPDPIAADILRKEPEQETFARLKISPSEVPLPDEVEVYVVLQECLEMRKRYVFKEAVAPWEKEVISDPSTPKPNPDPFFYAPEGNSDHYFEMQDGVIHVYDNKDTRRELFPVADATTFFTDLHHILRVIAAGNIRTLCFHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKEVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNDLYSENVVWLIQIPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPDQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSACDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPNGNEIQRTNVPHIRLEFRDRVNSVFPGHALKPKGTSVTLIHRIYWFTTFKSIMVSGSN >KJB76984 pep chromosome:Graimondii2_0_v6:12:26704576:26711533:-1 gene:B456_012G115700 transcript:KJB76984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTVHLAMAALVGASLVAVSAYYMHRKTLNQLLEFAKTVEREREDISEGEESPQPQHSKKRRGQHFRWKGNGYYRRGSASLPDVTVISGGFDGEEKRNGPVNVDGIPPGLPRLHTLPEAKRSGSLIRPTSPKSPSASAFESIEGSDDEDNITDSSKLDITYLHTNGNAGPNLPDHINANGEAMQIAASSMIRSHSIAGDLHGVQPDPIAADILRKEPEQETFARLKISPSEVPLPDEVEVYVVLQECLEMRKRYVFKEAVAPWEKEVISDPSTPKPNPDPFFYAPEGNSDHYFEMQDGVIHVYDNKDTRRELFPVADATTFFTDLHHILRVIAAGNIRTLCFHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKEVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNDLYSENVVWLIQIPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPDQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSACDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPNGNEIQRTNVPHIRLEFRDRIWREEMQLVYLGKAIIPEEVEK >KJB76978 pep chromosome:Graimondii2_0_v6:12:26704703:26711330:-1 gene:B456_012G115700 transcript:KJB76978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIAASSMIRSHSIAGDLHGVQPDPIAADILRKEPEQETFARLKISPSEVPLPDEVEVYVVLQECLEMRKRYVFKEAVAPWEKEVISDPSTPKPNPDPFFYAPEGNSDHYFEMQDGVIHVYDNKDTRRELFPVADATTFFTDLHHILRVIAAGNIRTLCFHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKEVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNDLYSENVVWLIQIPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPDQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSACDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPNGNEIQRTNVPHIRLEFRDRIWREEMQLVYLGKAIIPEEVEK >KJB76983 pep chromosome:Graimondii2_0_v6:12:26705343:26711270:-1 gene:B456_012G115700 transcript:KJB76983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTVHLAMAALVGASLVAVSAYYMHRKTLNQLLEFAKTVEREREDISEGEESPQPQHSKKRRGQHFRWKGNGYYRRGSASLPDVTVISGGFDGEEKRNGPVNVDGIPPGLPRLHTLPEAKRSGSLIRPTSPKSPSASAFESIEGSDDEDNITDSSKLDITYLHTNGNAGPNLPDHINANGEAMQIAASSMIRSHSIAGDLHGVQPDPIAADILRKEPEQETFARLKISPSEVPLPDEVEVYVVLQECLEMRKRYVFKEAVAPWEKEVISDPSTPKPNPDPFFYAPEGNSDHYFEMQDGVIHVYDNKDTRRELFPVADATTFFTDLHHILRVIAAGNIRTLCFHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKEVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNDLYSENVVWLIQIPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPDQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSACDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPNGNEIQRTNVPHIRLEFRDRVNSVFPGHALKPKGTSVTLIHRIYWFTTFKSIMVSGSN >KJB76979 pep chromosome:Graimondii2_0_v6:12:26704703:26711330:-1 gene:B456_012G115700 transcript:KJB76979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTVHLAMAALVGASLVAVSAYYMHRKTLNQLLEFAKTVEREREDISEGEESPQPQHSKKRRGQHFRWKGNGYYRRGSASLPDVTVISGGFDGEEKRNGPVNVDGIPPGLPRLHTLPEAKRSGSLIRPTSPKSPSASAFESIEGSDDEDNITDSSKLDITYLHTNGNAGPNLPDHINANGEAMQIAASSMIRSHSIAGDLHGVQPDPIAADILRKEPEQETFARLKISPSEVPLPDEVEVYVVLQECLEMRKRYVFKEAVAPWEKEVISDPSTPKPNPDPFFYAPEGNSDHYFEMQDGVIHVYDNKDTRRELFPVADATTFFTDLHHILRVIAAGNIRTLCFHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKEVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNDLYSENVVWLIQIPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPDQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHSGEYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSACDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPNGNEIQRTNVPHIRLEFRDRIWREEMQLVYLGKAIIPEEVEK >KJB76976 pep chromosome:Graimondii2_0_v6:12:26704576:26711413:-1 gene:B456_012G115700 transcript:KJB76976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTVHLAMAALVGASLVAVSAYYMHRKTLNQLLEFAKTVEREREDISEGEESPQPQHSKKRRGQHFRWKGNGYYRRGSASLPDVTVISGGFDGEEKRNGPVNVDGIPPGLPRLHTLPEAKRSGSLIRPTSPKSPSASAFESIEGSDDEDNITDSSKLDITYLHTNGNAGPNLPDHINANGEAMQIAASSMIRSHSIAGDLHGVQPDPIAADILRKEPEQETFARLKISPSEVPLPDEVEVYVVLQECLEMRKRYVFKEAVAPWEKEVISDPSTPKPNPDPFFYAPEGNSDHYFEMQDGVIHVYDNKDTRRELFPVADATTFFTDLHHILRVIAAGNIRTLCFHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKEVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNDLYSENVVWLIQIPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPDQWTNVFNPAFSYYAYYCYANLYTLNKIHPRWHTIWYKMLNYVARFLQLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSACDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPNGNEIQRTNVPHIRLEFRDRIWREEMQLVYLGKAIIPEEVEK >KJB76982 pep chromosome:Graimondii2_0_v6:12:26705788:26711270:-1 gene:B456_012G115700 transcript:KJB76982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTVHLAMAALVGASLVAVSAYYMHRKTLNQLLEFAKTVEREREDISEGEESPQPQHSKKRRGQHFRWKGNGYYRRGSASLPDVTVISGGFDGEEKRNGPVNVDGIPPGLPRLHTLPEAKRSGSLIRPTSPKSPSASAFESIEGSDDEDNITDSSKLDITYLHTNGNAGPNLPDHINANGEAMQIAASSMIRSHSIAGDLHGVQPDPIAADILRKEPEQETFARLKISPSEVPLPDEVEVYVVLQECLEMRKRYVFKEAVAPWEKEVISDPSTPKPNPDPFFYAPEGNSDHYFEMQDGVIHVYDNKDTRRELFPVADATTFFTDLHHILRVIAAGNIRTLCFHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKEVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNDLYSENVVWLIQIPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPDQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVISSLSL >KJB74976 pep chromosome:Graimondii2_0_v6:12:1922882:1925362:-1 gene:B456_012G016900 transcript:KJB74976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISQNPVSRNKVKFKRDDEVVSINGDINDTENGLESDFEISKLKKRKKEKENQIEVEQEKEIKKLESFLFGSIYSPLEFGKQVEEKAHDVVAEDGSALFFLDSSTNDVELSEESDYEQKTCKERKAAWVDEEEERTVINIAKVNRLRKLRKEEDESVISGLEYVSRLRAQHAKLNPGTEWAKLDSGLRNDHGYDDESSDEEKGVVAASGYGNGEVIDDILRTNEDLVVKSRVKLLPGLLEYSRLVDANAEEPSNGPINSVQFHRNAQLLLAAGLDRRIRFFQIDGKRNTKIQSVFLEDCPIRKASFVPDGSQVIIAGRRKFFYCFDLVKGKVDKIGPLVGREEKSLEVFEISPDSSTIAFLGNEGYILLVSSKTKELIGTLKMNGTVRSLAFADNGNQLLSSGGDGEVYHWDLRTRTCIHKAVDEGCINGTALCTSLNGRMFAAGSDSGIVNVYNRDEFLGGKRKPIKTVENLTTKVDFMKFNSDAQILAICSTMKKNSLKLIHVPSFTVYSNWPPLNKNLQYPRCLDFSPGCGFMAVGNAAGKVLLYKLHHYNHA >KJB74977 pep chromosome:Graimondii2_0_v6:12:1922919:1925362:-1 gene:B456_012G016900 transcript:KJB74977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISQNPVSRNKVKFKRDDEVVSINGDINDTENGLESDFEISKLKKRKKEKENQIEVEQEKEIKKLESFLFGSIYSPLEFGKQVEEKAHDVVAEDGSALFFLDSSTNDVELSEESDYEQKTCKERKAAWVDEEEERTVINIAKVNRLRKLRKEEDESVISGLEYVSRLRAQHAKLNPGTEWAKLDSGLRNDHGYDDESSDEEKGVVAASGYGNGEVIDDILRTNEDLVVKSRVKLLPGLLEYSRLVDANAEEPSNGPINSVQFHRNAQLLLAAGLDRRIRFFQIDGKRNTKIQSVFLEDCPIRKASFVPDGSQVIIAGRRKFFYCFDLVKGKVDKIGPLVGREEKSLEVFEISPDSSTIAFLGNEGYILLVSSKTKELIGTLKMNGTVRSLAFADNGNQLLSSGGDGEVYHWDLRTRTCIHKAVDEGCINGTALCTSLNGRMFAAGSDSGIVNVYNRDEFLGGKRKPIKTVENLTTKVDFMKFNSDAQILAICSTMKKNSLKLIHVPSFTVYSNWPPLNKNLQYPRCLDFSPGCGFMAVGNAAGKVLLYKLHHYNHA >KJB74978 pep chromosome:Graimondii2_0_v6:12:1923284:1924960:-1 gene:B456_012G016900 transcript:KJB74978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISQNPVSRNKVKFKRDDEVVSINGDINDTENGLESDFEISKLKKRKKEKENQIEVEQEKEIKKLESFLFGSIYSPLEFGKQVEEKAHDVVAEDGSALFFLDSSTNDVELSEESDYEQKTCKERKAAWVDEEEERTVINIAKVNRLRKLRKEEDESVISGLEYVSRLRAQHAKLNPGTEWAKLDSGLRNDHGYDDESSDEEKGVVAASGYGNGEVIDDILRTNEDLVVKSRVKLLPGLLEYSRLVDANAEEPSNGPINSVQFHRNAQLLLAAGLDRRIRFFQIDGKRNTKIQSVFLEDCPIRKASFVPDGSQVIIAGRRKFFYCFDLVKGKVDKIGPLVGREEKSLEVFEISPDSSTIAFLGNEGYILLVSSKTKELIGTLKMNGTVRSLAFADNGNQLLSSGGDGEVYHWDLRTRTCIHKAVDEGCINGTALCTSLNGRMFAAGSDSGIVNVYNRDEFLGGKRKPIKTVENLTTKVDFMKFNSDAQILAICSTMKKNSLKLIHVPSFTVYSNWPPLNKNLQYPRCLDFSPGCGFMAVGNAAGKVLLYKLHHYNHA >KJB75539 pep chromosome:Graimondii2_0_v6:12:5786828:5788600:-1 gene:B456_012G046400 transcript:KJB75539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNLVKMITMANLLSMFILMEVVAGGFRFGVDGLRMDYYIMSCPFAESIVKNTVNRALQDDPTLAAALVRMHFHDCFVEGCDGSILIDSTKDNTAEKDSPGNLSLRGYEVIDDAKEQLEDQCPGIVSCADIVAMAARDAIFWSEGPVYDIPKGRKDGRRSKIEDTINLPFPTFNTSELISAFGKRGFSAQEMVALSGAHTLGVARCSSFKNRLSNADANLDSNFAKTLSKTCSAGDNAEQPFDATQNTFDNFYFNALIRKSGVLFSDQVLYTTPRTRNIVNGYAMNQAMFFFDFQQAMVKMGKVDVKEGSNGEVRQNCRKIN >KJB75660 pep chromosome:Graimondii2_0_v6:12:6566360:6570372:-1 gene:B456_012G050500 transcript:KJB75660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSETLFQVWDEWEIRALLLLSLSLQAILTTLGSVRKHSKSTLIRLFVWSAYMSADTVASVALGILARSIDGAEPGNNNKNKNSSNSIQLLWTPFLILHLGGPDTITAYSLEDNELWPRHFLGIVVQIGLAMYVAFKSWRHSQLKWIAVPVILIGTVKYVEKGFVLIISGTKAFRNILLSDPEPGRDYPEDVRKKKSDPNFVPSPMRPCVSSQGYSGYPDDSLSQAFYLYNRLSYLYLDLILSYSERQDCHSMICSKSSEEAFELVEGELGFLYDELYTKVAALHLRYRGYLRRCFTLFLCFFSLVSFMIFIDHTSPPADISITYLLLIGAITLEVYGFVFLILSDWTKVWLLGYFKLKKVRSGKRWSRKISKYNLIKFCLRQQDDSMWIKFLGKLRIKEMVTKHLNVEHEDVDAELKSFIFQQLKERSENVNSLLDINLCKKLLSYRGDNVLEKLECLDLLKWSTIDVEFDHSLLLWHIATQICYYEDVKRLKGSNSLENLNKCSKLSKGLSDYMMYLLVMYPNMLPKGIGEIRYIDTCAEATRFFQKMRKTIGTKIDEACHELYEVDTDLLEELKGDTSKSVLFYGSRLAKQLQTLGSREDWGFEKKWDMINEVWVEMLAYAAVHCGWKEHGQQLRRGGELLTHVCVLMAHLGLSEQYQIQKENSETHEDKLIECPPACDPFLKLLRALGFTPRRTIN >KJB76369 pep chromosome:Graimondii2_0_v6:12:14285774:14286676:-1 gene:B456_012G084600 transcript:KJB76369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKGAKQPPEEVANLLSLPPQPQQQQPQNMGENKAAEIKDFQIVVADKGEGKKQQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGSGTIPASALAAAGGSVSQPGASLSAGLHQKMEDLGGSSIGSGSSRTSWTMVGGNLGRPHHVATGLWPPVSGFGFQSSSGPSTTNLGSDSSNYLQKLGFPGFDLPASNMGQISFTSILGGANQQLPGLELGLSQDGHIGVLNPHALNQIYQQMEQARMQPQHQHQHQQQPPAKDDSQGSGQ >KJB76367 pep chromosome:Graimondii2_0_v6:12:14285774:14286676:-1 gene:B456_012G084600 transcript:KJB76367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKGAKQPPEEVANLLSLPPQPQQQQPQNMGENKAAEIKDFQIVVADKGEGKKQQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGSGTIPASALAAAGGSVSQPGASLSAGLHQKMEDLGGSSIGSGSSRTSWTMVGGNLGRPHHVATGLWPPVSGFGFQSSSGPSTTNLGSDSSNYLQKLGFPGFDLPASNMGQISFTSILGGANQQLPGLELGLSQDGHIGVLNPHALNQIYQQMEQARMQPQHQHQHQQQPPAKDDSQGSGQ >KJB76368 pep chromosome:Graimondii2_0_v6:12:14283464:14287212:-1 gene:B456_012G084600 transcript:KJB76368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKGAKQPPEEVANLLSLPPQPQQQQPQNMGENKAAEIKDFQIVVADKGEGKKQQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGSGTIPASALAAAGGSVSQPGASLSAGLHQKMEDLGGSSIGSGSSRTSWTMVGGNLGRPHHVATGLWPPVSGFGFQSSSGPSTTNLGSDSSNYLQKLGFPGFDLPASNMGQISFTSILGGANQQLPGLELGLSQDGHIGVLNPHALNQIYQQMEQARMQPQHQHQHQQQPPAKDDSQGSGQ >KJB76973 pep chromosome:Graimondii2_0_v6:12:26678614:26680558:1 gene:B456_012G115500 transcript:KJB76973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGNFMHRVISYVVNELVVDRLANSPAFQRFAVRTSKRIEDISSMAEKKRQELAEQMKEISKNMEVGF >KJB76974 pep chromosome:Graimondii2_0_v6:12:26678614:26680558:1 gene:B456_012G115500 transcript:KJB76974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGNFMHRVISYVVNELVVDRLANSPAFQRFAVRTSKRIEDISSMAEKKRQELAEQMKEISKNMEVGCNYLT >KJB76972 pep chromosome:Graimondii2_0_v6:12:26678435:26680558:1 gene:B456_012G115500 transcript:KJB76972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGNFMHRVISYVVNELVVDRLANSPAFQRFAVRTSKRIEDISSMAEKKRQELAEQMKEISKNMESKN >KJB77876 pep chromosome:Graimondii2_0_v6:12:33438266:33440914:1 gene:B456_012G162500 transcript:KJB77876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CVDEIDAQQILGYTLNKDGKEALISFSLEKFQSHVVGRTFHNGRFVQRLRKKAASLHNVSLEQGTVTSLLEENGIVKGVHYKDKSGQLLTAYAPLTIVCDGCFSNLRRSLCHSKPMSSTINTLANVLHKVFSAPSDPAMENLQQTLLGYLKLGGVFSSGVSALLSGLCPRPLSLVFHFIVMAMYGVGQLLLPFPSPKRLLDGAKLLWVASSVFLPIIHSEGVRQMFFPLTVPAYYRTPPKGKKI >KJB77299 pep chromosome:Graimondii2_0_v6:12:29927458:29929361:-1 gene:B456_012G130500 transcript:KJB77299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protochlorophyllide reductase C, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03630) UniProtKB/Swiss-Prot;Acc:O48741] MALQAASLLPPTISILKEELRKKGVAAGIVRAQTAATTPAVDRAAPQGKKTLRKGTVIITGASSGLGLATAKALAETGEWHVIMACRNFLKAEKAAKSVGITKENYSVMHLDLASLESVRQFADTFRRSGRPLDALVCNAAVYLPTAKEPTYTAEGFELSVGTNHLGHFLLARLLLDDLKQSDYPYKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGIQSSAMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRYNEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGGRLAQVVSDPSLTKSGVYWSWNKNSESFENQLSKEASDAEKARKLWEVSEKLVGLA >KJB77300 pep chromosome:Graimondii2_0_v6:12:29927938:29929305:-1 gene:B456_012G130500 transcript:KJB77300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protochlorophyllide reductase C, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03630) UniProtKB/Swiss-Prot;Acc:O48741] MALQAASLLPPTISILKEAKSNACLKETTLFGVPFSTHFSFPLHLTQELRKKGVAAGIVRAQTAATTPAVDRAAPQGKKTLRKGTVIITGASSGLGLATAKALAETGEWHVIMACRNFLKAEKAAKSVGITKENYSVMHLDLASLESVRQFADTFRRSGRPLDALVCNAAVYLPTAKEPTYTAEGFELSVGTNHLGHFLLARLLLDDLKQSDYPYKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGIQSSAMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRYNEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGGRLAQVN >KJB77298 pep chromosome:Graimondii2_0_v6:12:29927458:29929361:-1 gene:B456_012G130500 transcript:KJB77298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protochlorophyllide reductase C, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03630) UniProtKB/Swiss-Prot;Acc:O48741] MACRNFLKAEKAAKSVGITKENYSVMHLDLASLESVRQFADTFRRSGRPLDALVCNAAVYLPTAKEPTYTAEGFELSVGTNHLGHFLLARLLLDDLKQSDYPYKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGIQSSAMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRYNEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGGRLAQVVSDPSLTKSGVYWSWNKNSESFENQLSKEASDAEKARKLWEVSEKLVGLA >KJB77297 pep chromosome:Graimondii2_0_v6:12:29927068:29929550:-1 gene:B456_012G130500 transcript:KJB77297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protochlorophyllide reductase C, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03630) UniProtKB/Swiss-Prot;Acc:O48741] MALQAASLLPPTISILKEAKSNACLKETTLFGVPFSTHFSFPLHLTQELRKKGVAAGIVRAQTAATTPAVDRAAPQGKKTLRKGTVIITGASSGLGLATAKALAETGEWHVIMACRNFLKAEKAAKSVGITKENYSVMHLDLASLESVRQFADTFRRSGRPLDALVCNAAVYLPTAKEPTYTAEGFELSVGTNHLGHFLLARLLLDDLKQSDYPYKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGIQSSAMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRYNEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGGRLAQVVSDPSLTKSGVYWSWNKNSESFENQLSKEASDAEKARKLWEVSEKLVGLA >KJB77167 pep chromosome:Graimondii2_0_v6:12:28724548:28725168:-1 gene:B456_012G124200 transcript:KJB77167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAERRLLANALMDFSNQRFVLLSEACIPLFNFSTIYAYLMNSTQSYIEAYDKDSPVGRGRYNEKMSPHITIDQWRKGSQWFEMDRELALEVVSDQFYFPLFQSYCANACYSDEHYLPTFVTMKFTPKNSNRTLTWVDWSRAGIHPVTYLRPLVTVEFLKALRHGSQCEYNGQSSNICYLFARKFSTDSLIRLLRFAPKVMYFNK >KJB74784 pep chromosome:Graimondii2_0_v6:12:850787:852816:1 gene:B456_012G007500 transcript:KJB74784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQVSRAVPLKEQSGDAPPPVNRSGFDLRTGIYSSLFQLTDHLKVPTDPDLGIASFVLSQFPHPHVAESKLALIDSATNQHLTYAQLHRSIRSLAGGLYHLGFRKGDVVFLLSPNSLLYPTICLAVFSIGAILSTANPINTSSEIAKQVLDSGAKLVISAPEELHKFERIQVPTMVTSRQSKEGNSLSIEELIERGDTHEVHEIKIKQSDTAAVLYSSGTTGTSKGVILTHSNLITTARLVRWYAEETSSVNDVFLGFIPFFHIYGLVFFGYGLSCCGITTVLMQRFDFQEMLKAIQVHKVNNIAAVPPVVLGLVKNCKSNDSLSSVRRIGSGAAPLSKELSEAFRAQFPWVELRQGYGLTESCGAATVFVSDEMAKAHPGSCGSLLPTFSAKVVDMETGLALPPYKEGELWLKGPTIMKGYLGNEEATAATLDKDGWLKTGDLGYFDEDGLLYIVDRVKELIKHNGYQVAPAELEALLLSHPNILDAAVIPVEDEESGQIPMAYVVKAVDSELTHEQVIQFVAAQVAPYKKVRRVEFIDVIPKSAAGKILRKQLILQTQRPILSKL >KJB74556 pep chromosome:Graimondii2_0_v6:12:1202899:1205717:1 gene:B456_012G010700 transcript:KJB74556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIKQHLTEAVEQKIGEYALIRDAENPQLSIYDKPLPCFGCGIGWFSLLLGFVFPLMWYYATILYFGNYYHKDPRERAGLAASAIAALICTIALIITIAILVF >KJB74555 pep chromosome:Graimondii2_0_v6:12:1202876:1205724:1 gene:B456_012G010700 transcript:KJB74555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIKQHLTEAVEQKIGEYALIRDAENPQLSIYDKPLPCFGCGIGWFSLLLGFVFPLMWYYATILYFGNYYHKDPRERAGLAASAIAALICTIALIITIAILVF >KJB74558 pep chromosome:Graimondii2_0_v6:12:1202876:1205966:1 gene:B456_012G010700 transcript:KJB74558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIKQHLTEAVEQKIGEYALIRDAENPQLSIYDKPLPCFGCGIGWFSLLLGFVFPLMWYYATILYFGNYYHKDPRERAGLAASAIAALICTIALIITIAILVF >KJB74554 pep chromosome:Graimondii2_0_v6:12:1202889:1205966:1 gene:B456_012G010700 transcript:KJB74554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIKQHLTEAVEQKIGEYALIRDAENPQLSIYDKPLPCFGCGIGWFSLLLGFVFPLMWYYATILYFGNYYHKDPRERAGLAASAIAALICTIALIITIAILVF >KJB74557 pep chromosome:Graimondii2_0_v6:12:1202876:1205724:1 gene:B456_012G010700 transcript:KJB74557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIKQHLTEAVEQKIGEYALIRDAENPQLSIYDKPLPCFGCGIGWFSLLLGFVFPLMWYYATILYFGNYYHKDPRERAGLAASAIAALICTIALIITIAILVF >KJB76520 pep chromosome:Graimondii2_0_v6:12:16344402:16348870:-1 gene:B456_012G092700 transcript:KJB76520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKEEGLPPALLGSCNDRAKRLHASPLGRLLTALLCEYLDWAQLNHTLKVYLPECNLQKDSWKAELEDFSGKSGYDLNRNGNSGPVLLDVLEGFLKFDNISQARGTGRRSQETEPSSSLESRNTRRPSSSTVSVGLPPLGRSAPVSHSSDRRAGSSVSSYRKDEYSWRYDDDTPEDVIRASTALENLQLDRKARNLTTSWRHASDGISEDDGRADHM >KJB76521 pep chromosome:Graimondii2_0_v6:12:16344501:16348768:-1 gene:B456_012G092700 transcript:KJB76521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKEEGLPPALLGSCNDRAKRLHASPLGRLLTALLCEYLDWAQLNHTLKVYLPECNLQKDSWKAELEDFSGKSGYDLNRNGNSGPVLLDVLEGFLKFDNISQARGTGRRSQETEPSSSLESRNTRRPSSSTVSVGLPPLGSSCFAFI >KJB76522 pep chromosome:Graimondii2_0_v6:12:16345773:16348676:-1 gene:B456_012G092700 transcript:KJB76522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKEEGLPPALLGSCNDRAKRLHASPLGRLLTALLCEYLDWAQLNHTLKVYLPECNLQKDSWKAELEDFSGKSGYDLNRNGNSGPVLLDVLEGFLKFDNISQARGTGRRSQETEPSSSLESRNTRRPSSSTVSVGLPPLGRSCSCFAFI >KJB74637 pep chromosome:Graimondii2_0_v6:12:212146:218276:1 gene:B456_012G002000 transcript:KJB74637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRCKILNVNFPSSMSLGTFVKHLPFSIPKTSLPPQRLNFKSLTTTTTCVTRSSSYFETLNSRQKDQIHLYVDALLQWNQKMNLTAVNEVNEVMERHIEDSLAIIPPIQNSYISSCNNSFDNLRIVDVGSGAGLPGLVLAVACPGWEVTLVESMNKRCLFLEHAVSLIGLSNVQVVRERAEKLGQDSNFRERFDVAVARAVAEMKVLAEYCLPLVRVGGLFVAAKGHNPQDEVKSAERATKLMGASVLQLCSVESHSPHGQRTAIICLKNRPTPRKYPRDPGGS >KJB74635 pep chromosome:Graimondii2_0_v6:12:212117:216160:1 gene:B456_012G002000 transcript:KJB74635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRCKILNVNFPSSMSLGTFVKHLPFSIPKTSLPPQRLNFKSLTTTTTCVTRSSSYFETLNSRQKDQIHLYVDALLQWNQMNLTAVNEVNEVMERHIEDSLAIIPPIQNSYISSCNNSFDNLRIVDVGSGAGLPGLVLAVACPGWEVTLVESMNKRCLFLEHAVSLIGLSNVQVVRERAEKLGQDSNFRERFDVAVARAVAEMKVLAEYCLPLVRVGGLFVAAKGHNPQDEVKSAERATKLMGASVLQLCSVESHSPHGQRTAIICLKNRPTPRKYPRDPGTPTKEPL >KJB74636 pep chromosome:Graimondii2_0_v6:12:212146:216160:1 gene:B456_012G002000 transcript:KJB74636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRCKILNVNFPSSMSLGTFVKHLPFSIPKTSLPPQRLNFKSLTTTTTCVTRSSSYFETLNSRQKDQIHLYVDALLQWNQKMNLTAVNEVNEVMERHIEDSLAIIPPIQNSYISSCNNSFDNLRIVDVGSGAGLPGLVLAVACPGWEVTLVESMNKRCLFLEHAVSLIGLSNVQVVRERAEKLGQDSNFRERFDVAVARAVAEMKVLAEYCLPLVRVGGLFVAAKGHNPQDEVKSAERATKLMGASVLQLCSVESHSPHGQRTAIICLKNRPTPRKYPRDPGTPTKEPL >KJB75695 pep chromosome:Graimondii2_0_v6:12:8588003:8589597:-1 gene:B456_012G061300 transcript:KJB75695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTNIVLEPKWKSEQQKFKLLDWGEEGHRSEAEIASLRAAFTQEVAVWHKLNHPNVTKFIGATMGSSDLNIQMENGQIGMPICCVIVEYCPGGALKSYLIKNRRRKLAFKVVIQLAVDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMLEAIDTSKGGGMIPHDQTQGCLCFRRYRGP >KJB75698 pep chromosome:Graimondii2_0_v6:12:8587637:8590958:-1 gene:B456_012G061300 transcript:KJB75698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKSESGGGGYVRADQIDLKSLDEQLQRHLSRAWTMEKNKNNREDKGEEGGGQLRPSNTGRRQEWEIDPSKLIIKSVIARGTFGTVHRGMYDGQDVAVKLLDWGEEGHRSEAEIASLRAAFTQEVAVWHKLNHPNVTKFIGATMGSSDLNIQMENGQIGMPICCVIVEYCPGGALKSYLIKNRRRKLAFKVVIQLAVDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMLEAIDTSKGGGMIPHDQTQGCLCFRRYRGP >KJB75694 pep chromosome:Graimondii2_0_v6:12:8587644:8590958:-1 gene:B456_012G061300 transcript:KJB75694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKSESGGGGYVRADQIDLKSLDEQLQRHLSRAWTMEKNKNNREDKGEEGGGQLRPSNTGRRQEWEIDPSKLIIKSVIARGTFGTVHRGMYDGQDVAVKLLDWGEEGHRSEAEIASLRAAFTQEVAVWHKLNHPNVTKFIGATMGSSDLNIQMENGQIGMPICCVIVEYCPGGALKSYLIKNRRRKLAFKVVIQLAVDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMLEAIDTSKGGGMIPHDQTQGCLCFRRYRGP >KJB75697 pep chromosome:Graimondii2_0_v6:12:8588420:8590456:-1 gene:B456_012G061300 transcript:KJB75697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKSESGGGGYVRADQIDLKSLDEQLQRHLSRAWTMEKNKNNREDKGEEGGGQLRPSNTGRRQEWEIDPSKLIIKSVIARGTFGTVHRGMYDGQDVAVKLLDWGEEGHRSEAEIASLRAAFTQEVAVWHKLNHPNVTKFIGATMGSSDLNIQMENGQIGMPICCVIVEYCPGGALKSYLIKNRRRKLAFKVVIQLAVDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPNDMTGETGTLGYMAPEPKFGTGP >KJB75696 pep chromosome:Graimondii2_0_v6:12:8588420:8589597:-1 gene:B456_012G061300 transcript:KJB75696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTNIVLEPKWKSEQQKFKLLDWGEEGHRSEAEIASLRAAFTQEVAVWHKLNHPNVTKFIGATMGSSDLNIQMENGQIGMPICCVIVEYCPGGALKSYLIKNRRRKLAFKVVIQLAVDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPNDMTGETGTLGYMAPEPKFGTGP >KJB77972 pep chromosome:Graimondii2_0_v6:12:34012898:34016943:1 gene:B456_012G170300 transcript:KJB77972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIGWASKQLTEFLIFVGKDVKQELSQYDVATIVTEYCKEHELFHPEKKKTVICDERLQSLLGRKSVNRNGIYKLLTIHFSENLEQSEDSVCFSSKEDDNVSVPCKKRQRKSSPDRKLEEQETVTSPSESCLAAIVSRNIKLIYLKKSLVLELAQLDTFYDKMVRSFVRVKSDPNDYFQKNSHMLVQVKGIKDTSMKEKTNSTILLQVSNMVKDIPICKLSDDDFTEEEIEDLNRRMRTRMLERPTVLEFEQKARSLHEDITKHWITKELDLLRSQINRANEKGWRREYPFDKYMYKMQLLQTPSEQSRLIHEVPEVVAEPEPASVDSPREYREEHKTSVEPIAARPVSKIRKCSSENNVVPCCRNDGMNAAEGKQQDLKKPIISGNQNSQQVKPSCPGTSVLHLSPQEESNQHKHGEMGEKLPQPVDIIEHSKLLNRKVEVIELSDDETEHASPALIHKTLQDHDCSIWYCIGPQGNTRGPYSMKVLKQWSESSSSCYELRLRFKVFKSGQRPEDALLLTDAIQQYFNC >KJB77971 pep chromosome:Graimondii2_0_v6:12:34012085:34017179:1 gene:B456_012G170300 transcript:KJB77971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKNTRKKEEIAEDYCFFCKDGGLLRVCDYKNCLKSFHPQCVGRDDSLLETDERWFCGWHFCFICSKPAKFHCFCCPSAVCGRCLCDVEFAIVKGKRAFCNTCLELALLIEDKKNVNANGVKVDFNDRETYEFLFKGYWEWVKEKEGLTSKQLHSSDRLLKDGNNYDFQTNCNHREEDTGDFEDDSISEGDDWADNQAQRKKEKKGKLSLSNRKRKSKKMKYIGWASKQLTEFLIFVGKDVKQELSQYDVATIVTEYCKEHELFHPEKKKTVICDERLQSLLGRKSVNRNGIYKLLTIHFSENLEQSEDSVCFSSKEDDNVSVPCKKRQRKSSPDRKLEEQETVTSPSESCLAAIVSRNIKLIYLKKSLVLELAQLDTFYDKMVRSFVRVKSDPNDYFQKNSHMLVQVKGIKDTSMKEKTNSTILLQVSNMVKDIPICKLSDDDFTEEEIEDLNRRMRTRMLERPTVLEFEQKARSLHEDITKHTPSEQSRLIHEVPEVVAEPEPASVDSPREYREEHKTSVEPIAARPVSKIRKCSSENNVVPCCRNDGMNAAEGKQQDLKKPIISGNQNSQQVKPSCPGTSVLHLSPQEESNQHKHGEMGEKLPQPVDIIEHSKLLNRKVEVIELSDDETEHASPALIHKTLQDHDCSIWYCIGPQGNTRGPYSMKVLKQWSESSSSCYELRLRFKVFKSGQRPEDALLLTDAIQQYFNC >KJB77970 pep chromosome:Graimondii2_0_v6:12:34012065:34017320:1 gene:B456_012G170300 transcript:KJB77970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKNTRKKEEIAEDYCFFCKDGGLLRVCDYKNCLKSFHPQCVGRDDSLLETDERWFCGWHFCFICSKPAKFHCFCCPSAVCGRCLCDVEFAIVKGKRAFCNTCLELALLIEDKKNVNANGVKVDFNDRETYEFLFKGYWEWVKEKEGLTSKQLHSSDRLLKDGNNYDFQTNCNHREEDTGDFEDDSISEGDDWADNQAQRKKEKKGKLSLSNRKRKSKKMKYIGWASKQLTEFLIFVGKDVKQELSQYDVATIVTEYCKEHELFHPEKKKTVICDERLQSLLGRKSVNRNGIYKLLTIHFSENLEQSEDSVCFSSKEDDNVSVPCKKRQRKSSPDRKLEEQETVTSPSESCLAAIVSRNIKLIYLKKSLVLELAQLDTFYDKMVRSFVRVKSDPNDYFQKNSHMLVQVKGIKDTSMKEKTNSTILLQVSNMVKDIPICKLSDDDFTEEEIEDLNRRMRTRMLERPTVLEFEQKARSLHEDITKHWITKELDLLRSQINRANEKGWRRELSEYMYKMQLLQTPSEQSRLIHEVPEVVAEPEPASVDSPREYREEHKTSVEPIAARPVSKIRKCSSENNVVPCCRNDGMNAAEGKQQDLKKPIISGNQNSQQVKPSCPGTSVLHLSPQEESNQHKHGEMGEKLPQPVDIIEHSKLLNRKVEVIELSDDETEHASPALIHKTLQDHDCSIWYCIGPQGNTRGPYSMKVLKQWSESSSSCYELRLRFKVFKSGQRPEDALLLTDAIQQYFNC >KJB75567 pep chromosome:Graimondii2_0_v6:12:6230642:6231778:-1 gene:B456_012G048400 transcript:KJB75567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDGGFENKKELENSKNQSKSSKFYIKSDDFALAIAKVAVAQVCESVGFQSFQHSDANLAGRVEANVFDVIQGLEELESGLGFAVPWFPVVKEWKGIGSFWEKREDPHGEHIPSWLPAFPDPETYAARSSVGNGTMTAFNEVNNGLVRVERKIERPLLNFQQQFAHNGNEGGSSHVGGDKVNSRNPVPEICFVGNQVSVLETFAPAIEAMKSGICEYGNGQKNVLYSQRPMVHFKFGIGKKPLGTAPDFNSKNKNLEKIV >KJB75803 pep chromosome:Graimondii2_0_v6:12:8225897:8227357:-1 gene:B456_012G058700 transcript:KJB75803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFNYGVAGVGFILIGFWEFINSLNPTLNPNPTPSSSSLSTQIKSASISKTKEDPFSSSPSFIFVSIFSLSIFLNSVVSIFDAFSSKDRVGTVLQLQVLSLALLFLLYSALGLLNNKKGSYFWPDPVLELVLLLAFVEEFLLYYLQRKDTSGIENRYFDLLCVPIAICVVSTMLELRSNRSIYSKSVRGIGLILHGTWFVQMGFSFYSNLMVHGCSLHEKSRGNYTIKCRSHPDYHRARSIATLQFNCHLALVVVLVVGMLSLIGKRNGVAVGASGDGLRYKPLGAEIQLMGSNGGNFTLDSDDDLDSGIKEEDDLVKEKSAVVELGGNGHASHV >KJB77993 pep chromosome:Graimondii2_0_v6:12:34074379:34083336:1 gene:B456_012G171400 transcript:KJB77993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKRKLDLDWNKLLSKDVADEEPPPPLVVIKAEPHPPPRKSDTMGGGDDQGKEEFWESLPDDKLEEKILRQQRNLECFGSKLPDKGKKISDQLRLLEEEKRRRTVSRAKMNADECEKPGQSPSSDLVGSSNGFEHQSKSQQAFSQSAFGASFCKKLEENTDSRSLNTFEKSLSVLNRCDYQKMRCNGEFSQSERVKVRRSPRRMNSQHQMKPSFGDQKGRTATLSSLFNTDDNLTSIAKKDAIQVQPSNYSKCRQGQTVVIVDEEEPQLVKTTELEVELPNCKKDARIYYPSRDDPESVEICFGDIDSLAPETFLTSQIMNFYIRYLRQQASPTNRAICDYHIFNTYFYPKLKEAVSYKGSDKDSLFIKFRRWWKGVNIFQKAYILIPINEDYHWSLVIICIPDKEEELRPIILHLDSLGLHSSRLVFKNIKSYMREEWTYLNQEVAPSDLPIADKIWENLPRRIDEKTIAVPQQKNDYDCGLFVLFFMERFIEEAPERLKKKDLAMFGKQWFRPEEASSLRTRIRNLLIEQFQTTTEEQFQTTIEDTGGSQSSPSS >KJB77992 pep chromosome:Graimondii2_0_v6:12:34074507:34083101:1 gene:B456_012G171400 transcript:KJB77992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKRKLDLDWNKLLSKDVADEEPPPPLVVIKAEPHPPPRKSDTMGGGDDQGKEEFWESLPDDKLEEKILRQQRNLECFGSKLPDKGKKISDQLRLLEEEKRRRTVSRAKMNADECEKPGQSPSSDLVGSSNGFEHQSKSQQAFSQSAFGASFCKKLEENTDSRSLNTFEKSLSVLNRCDYQKMRCNGEFSQSERVKVRRSPRRMNSQHQMKPSFGDQKGRTATLSSLFNTDDNLTSIAKKDAIQVQPSNYSKCRQGQTVVIVDEEEPQLVKTTELEVELPNCKKDARIYYPSRDDPESVEICFGDIDSLAPETFLTSQIMNFYIRYLRQQASPTNRAICDYHIFNTYFYPKLKEAVSYKGSDKDSLFIKFRRWWKGVNIFQKAYILIPINEDYHWSLVIICIPDKEEELRPIILHLDSLGLHSSRLVFKNIKSYMREEWTYLNQEVAPSDLPIADKIWENLPRRIDEKTIAVPQQKNDYDCGLFVLFFMERFIEEAPERLKKKDLAMFGKQWFRPEEASSLRTRIRNLLIEQFQTTTEEQFQTTIEDTGGSQSSPSS >KJB77994 pep chromosome:Graimondii2_0_v6:12:34074507:34082962:1 gene:B456_012G171400 transcript:KJB77994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKRKLDLDWNKLLSKDVADEEPPPPLVVIKAEPHPPPRKSDTMGGGDDQGKEEFWESLPDDKLEEKILRQQRNLECFGSKLPDKGKKISDQLRLLEEEKRRRTVSRAKMNADECEKPGQSPSSDLVGSSNGFEHQSKSQQAFSQSAFGASFCKKLEENTDSRSLNTFEKSLSVLNRCDYQKMRCNGEFSQSERVKVRRSPRRMNSQHQMKPSFGDQKGRTATLSSLFNTDDNLTSIAKKDAIQVQPSNYSKCRQTVVIVDEEEPQLVKTTELEVELPNCKKDARIYYPSRDDPESVEICFGDIDSLAPETFLTSQIMNFYIRYLRQQASPTNRAICDYHIFNTYFYPKLKEAVSYKGSDKDSLFIKFRRWWKGVNIFQKAYILIPINEDYHWSLVIICIPDKEEELRPIILHLDSLGLHSSRLVFKNIKSYMREEWTYLNQEVAPSDLPIADKIWENLPRRIDEKTIAVPQQKNDYDCGLFVLFFMERFIEEAPERLKKKDLAMFGKQWFRPEEASSLRTRIRNLLIEQFQTTTEEQFQTTIEDTGGSQSSPSS >KJB77995 pep chromosome:Graimondii2_0_v6:12:34074379:34083405:1 gene:B456_012G171400 transcript:KJB77995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKRKLDLDWNKLLSKDVADEEPPPPLVVIKAEPHPPPRKSDTMGGGDDQGKEEFWESLPDDKLEEKILRQQRNLECFGSKLPDKGKKISDQLRLLEEEKRRRTVSRAKMNADECEKPGQSPSSDLVGSSNGFEHQSKSQQAFSQSAFGASFCKKLEENTDSRSLNTFEKSLSVLNRCDYQKMRCNGEFSQSERVKVRRSPRRMNSQHQMKPSFGDQKGRTATLSSLFNTDDNLTSIAKKDAIQVQPSNYSKCRQGQTVVIVDEEEPQLVKTTELEVELPNCKKDARIYYPSRDDPESVEICFGDIDSLAPETFLTSQIMNFYIRYLRQQASPTNRAICDYHIFNTYFYPKLKEAVSYKGSDKDSLFIKFRRWWKGVNIFQKAYILIPINEDYHWSLVIICIPDKEEELRPIILHLDSLGLHSSRLVFKNIKSYMREEWTYLNQEVAPSDLPIADKIWENLPRRIDEKTIAVPQQKNDYDCGLFVLFFMERFIEEAPERLKKKDLAMFGKQWFRPEEASSLRTRIRNLLIEQFQTTTEEQFQTTIEDTGGSQSSPSS >KJB77364 pep chromosome:Graimondii2_0_v6:12:30652318:30654822:-1 gene:B456_012G134200 transcript:KJB77364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIPIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIIFSTTGKMCQYCTEGYRMEQIIERYQKVTGTCIPEHDNREHLYNELAVLRKETRRLQLSMRRYTGEDMSSIPFEELDQLEHELERSVIKVRERKNELLQQQLDNLRRKRKKTAICTAGCRNTERRSSISREEWKLSQWSISKLWINSLSLENQAVCFSLLPFLNSSNPISSSLLSPTFKILMSSIVPLQL >KJB77368 pep chromosome:Graimondii2_0_v6:12:30652599:30654425:-1 gene:B456_012G134200 transcript:KJB77368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIPIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIIFSTTGKMCQYCTEGYRMEQIIERYQKVTGTCIPEHDNREHLYNELAVLRKETRRLQLSMRRYTGEDMSSIPFEELDQLEHELERSVIKVRERKNELLQQQLDNLRRKERILEEENSNMYRWVQEHRAAIEYQQGGMEAKPVEHQQVVDQFSFFGEPSSVLQLATIPQQFQSYQLQLAQPNLQDSNV >KJB77363 pep chromosome:Graimondii2_0_v6:12:30652318:30654663:-1 gene:B456_012G134200 transcript:KJB77363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIPIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIIFSTTGKMCQYCTEGYRMEQIIERYQKVTGTCIPEHDNREHLYNELAVLRKETRRLQLSMRRYTGEDMSSIPFEELDQLEHELERSVIKVRERKNELLQQQLDNLRRKERILEEENSNMYRWVQEHRAAIEYQQGGMEAKPVEHQQVVDQFSFFGEPSSVLQLATIPQQFQSYQLQLAQPNLQDSNV >KJB77366 pep chromosome:Graimondii2_0_v6:12:30652599:30654425:-1 gene:B456_012G134200 transcript:KJB77366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIPIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIIFSTTGKMCQYCTEGYRMEQIIERYQKVTGTCIPEHDNREHLYNELAVLRKETRRLQLSMRRYTGEDMSSIPFEELDQLEHELERSVIKVRERKNELLQQQLDNLRRKERILEEENSNMYRWVQEHRAAIEYQQGGMEAKPVEHQQVVDQFSFFGEPSSVLQLATIPQQFQSYQLQLAQPNLQDSNV >KJB77365 pep chromosome:Graimondii2_0_v6:12:30652848:30654425:-1 gene:B456_012G134200 transcript:KJB77365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIPIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIIFSTTGKMCQYCTEGYRMEQIIERYQKVTGTCIPEHDNREHLYNELAVLRKETRRLQLSMRRYTGEDMSSIPFEELDQLEHELERSVIKVRERKNELLQQQLDNLRRKERILEEENSNMYRWVSKWFN >KJB77367 pep chromosome:Graimondii2_0_v6:12:30652318:30654765:-1 gene:B456_012G134200 transcript:KJB77367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIPIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIIFSTTGKMCQYCTEGYRMEQIIERYQKVTGTCIPEHDNREHLYNELAVLRKETRRLQLSMRRYTGEDMSSIPFEELDQLEHELERSVIKVRERKNELLQQQLDNLRRKERILEEENSNMYRWVQEHRAAIEYQQGGMEAKPVEHQQVVDQFSFFGEPSSVLQLATIPQQFQSYQLQLAQPNLQDSNV >KJB75479 pep chromosome:Graimondii2_0_v6:12:5470113:5470227:1 gene:B456_012G0435002 transcript:KJB75479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAQEVKLIHCNSEDQLADILTKALNVTRFECLRMKL >KJB75886 pep chromosome:Graimondii2_0_v6:12:8891463:8896643:1 gene:B456_012G063000 transcript:KJB75886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATASGGPRYAPPDPTLPEPWKGLVDGKTGYLYFWNPLTNVTQYERPRGIDSVPKSSSVPMGSSVLVQQSSEGRYRYSSEKENDRRGRGCNAVSKLESILGGNQNSRGGPFHSLNAPNGTSSCVIGGSFARGQGSAAGESNLFGDAYCRQHEITVSGGEVPPPFSSFESTGFPPEILREVHNAGFSAPTPIQAQSWPIALQGRDIVAIAKTGSGKTLGYLLPGFMHLKRCHNDPQMGPTVLVLSPTRELATQIQDEAHKFGKSSRISCTCLYGGAPKGPQLREIERGVDIVVATPGRLNDILEMKRISLHQVSYLVLDEADRMLDMGFEPQIRKIVKEVPTRRQTLMFTATWPKEVRKIAADLLVNPVQVNIGNIDELVANKSITQYVEVLSPMEKHRRLEQILRSQEPGSKIIVFCSTKKMCDQLARNLTRQFGAAAIHGDKSQADRDFVLSQFRTGRSPVLVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGLAYTFFGDQDSKHASDLIKVLEGANQRVPAELRDMASRGGGRGMPRRWAPSSGGRDEGRGGRAGSGYGGREGGRGGRGISTSSSSWHERSGGRGYDRESRDSRGFRDSYDKGRSRSRSPAGWGDRHKTGVRDRSWSRSAERYDSGAGRQRSPTRSFLEVGMKRDRLSPSQQRGPLQNN >KJB75887 pep chromosome:Graimondii2_0_v6:12:8892039:8896643:1 gene:B456_012G063000 transcript:KJB75887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATASGGPRYAPPDPTLPEPWKGLVDGKTGYLYFWNPLTNVTQYERPRGIDSVPKSSSVPMGSSVLVQQSSEGRYRYSSEKENDRRGRGCNAVSKLESILGGNQNSRGGPFHSLNAPNGTSSCVIGGSFARGQGSAAGESNLFGDAYCRQHEITVSGGEVPPPFSSFESTGFPPEILREVHNAGFSAPTPIQAQSWPIALQGRDIVAIAKTGSGKTLGYLLPGFMHLKRCHNDPQMGPTVLVLSPTRELATQIQDEAHKFGKSSRISCTCLYGGAPKGPQLREIERGVDIVVATPGRLNDILEMKRISLHQVSYLVLDEADRMLDMGFEPQIRKIVKEVPTRRQTLMFTATWPKEVRKIAADLLVNPVQVNIGNIDELVANKSITQYVEVLSPMEKHRRLEQILRSQEPGSKIIVFCSTKKMCDQLARNLTRQFGAAAIHGDKSQADRDFVLSQFRTGRSPVLVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGLAYTFFGDQDSKHASDLIKVLEGANQRVPAELRDMASRGGGRGMPRRWAPSSGGRDEGRGGRAGSGYGGREGGRGGRGISTSSSSWHERSGGRGYDRESRDSRGFRDSYDKGRSRSRSPAGWGDRHKTGVRDRSWSRSAERYDSGAGRQRSPTRSFLEVGMKRDRLSPSQQRGPLQNN >KJB77021 pep chromosome:Graimondii2_0_v6:12:26947661:26950684:-1 gene:B456_012G116900 transcript:KJB77021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDIDSACKPKDEEKAKGKPIAAILVGAPGSGKSTFCENVMRGSSRPWVRVCQDTINNGKSGTKPQCLKSAAASLKEGKSVFIDRCNLDKEQRVDFVNLGGPEVEVHAVVLDLPAKLSISRIVKRTGHEGNLQGGKAAAVVNRMLQNKELPKLSEGFSRITFCRNETDVDAAVKTYTAVGPLDTLPHGCFGQKDPNAKTQLGIMRFLKRLHETNARDSVPSQLTEEKGPCGKTQETVSSSSGMASQKIKVSEDMAPGSISHDESDIPTLAFPSISTVDFQFDLEKASDVIVGKVEEFMDKLGNARLVLVDLTERSKILSLVRAKAAEKKIDSKRFFTFIGDICQLYTKGGLCCNVIANAANWRLKPGGGGVNAAIFNAGGPALEAATKEQARSLLPGNALVVPLPSTSPLYSKEGVTHVIHVLGPNMNPRRPNCLDNDYVKGCKVLEDAYSSLFKGFLSIANTQVKVPRSSGSILSGPSKLEDEISGTKTKTWAPCLQALYNIAMQPEKHNKQVLEASDDVVVLNDIYPKAKQHLLVLARIKGLDTLADARKEHLPLLMTMHEVGLRWVDKFVGEDASLVFRLGYHSVC >KJB77019 pep chromosome:Graimondii2_0_v6:12:26948055:26950595:-1 gene:B456_012G116900 transcript:KJB77019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDIDSACKPKDEEKAKGKPIAAILVGAPGSGKSTFCENVMRGSSRPWVRVCQDTINNGKSGTKPQCLKSAAASLKEGKSVFIDRCNLDKEQRVDFVNLGGPEVEVHAVVLDLPAKLSISRIVKRTGHEGNLQGGKAAAVVNRMLQNKELPKLSEGFSRITFCRNETDVDAAVKTYTAVGPLDTLPHGCFGQKDPNAKTQLGIMRFLKRLHETNARDSVPSQLTEEKGPCGKTQETVSSSSGMASQKIKVSEDMAPGSISHDESDIPTLAFPSISTVDFQFDLEKASDVIVGKVEEFMDKLGNARLVLVDLTERSKILSLVRAKAAEKKIDSKRFFTFIGDICQLYTKGGLCCNVIANAANWRLKPGGGGVNAAIFNAGGPALEAATKEQARSLLPGNALVVPLPSTSPLYSKEGVTHVIHVLGPNMNPRRPNCLDNDYVKGCKVLEDAYSSLFKGFLSIANTQVKVPRSSGSILSGPSKLEDEISGTKTKTWAPCLQALYNIAMQPEKHNKQVLEASDDVVVLNDIYPKVNTHHLLPVSLMMIFQQMKSWILFLPSAGKTASFGVGKN >KJB77018 pep chromosome:Graimondii2_0_v6:12:26946690:26950497:-1 gene:B456_012G116900 transcript:KJB77018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKRSIYLRLQDTINNGKSGTKPQCLKSAAASLKEGKSVFIDRCNLDKEQRVDFVNLGGPEVEVHAVVLDLPAKLSISRIVKRTGHEGNLQGGKAAAVVNRMLQNKELPKLSEGFSRITFCRNETDVDAAVKTYTAVGPLDTLPHGCFGQKDPNAKTQLGIMRFLKRLHETNARDSVPSQLTEEKGPCGKTQETVSSSSGMASQKIKVSEDMAPGSISHDESDIPTLAFPSISTVDFQFDLEKASDVIVGKVEEFMDKLGNARLVLVDLTERSKILSLVRAKAAEKKIDSKRFFTFIGDICQLYTKGGLCCNVIANAANWRLKPGGGGVNAAIFNAGGPALEAATKEQARSLLPGNALVVPLPSTSPLYSKEGVTHVIHVLGPNMNPRRPNCLDNDYVKGCKVLEDAYSSLFKGFLSIANTQVKVPRSSGSILSGPSKLEDEISGTKTKTWAPCLQALYNIAMQPEKHNKQVLEASDDVVVLNDIYPKAKQHLLVLARIKGLDTLADARKEHLPLLMTMHEVGLRWVDKFVGEDASLVFRLGYHSVPSMRQLHLHVISQDFDSKNLKHKKHWNSFTTAFFRDSVDVMEEINNQGKATIQDEKRLMSMELRCHRCRSAHPNIPRLKSHISSCQAPFPADLQKKGRLVPEQN >KJB77020 pep chromosome:Graimondii2_0_v6:12:26946690:26950684:-1 gene:B456_012G116900 transcript:KJB77020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDIDSACKPKDEEKAKGKPIAAILVGAPGSGKSTFCENVMRGSSRPWVRVCQDTINNGKSGTKPQCLKSAAASLKEGKSVFIDRCNLDKEQRVDFVNLGGPEVEVHAVVLDLPAKLSISRIVKRTGHEGNLQGGKAAAVVNRMLQNKELPKLSEGFSRITFCRNETDVDAAVKTYTAVGPLDTLPHGCFGQKDPNAKTQLGIMRFLKRLHETNARDSVPSQLTEEKGPCGKTQETVSSSSGMASQKIKVSEDMAPGSISHDESDIPTLAFPSISTVDFQFDLEKASDVIVGKVEEFMDKLGNARLVLVDLTERSKILSLVRAKAAEKKIDSKRFFTFIGDICQLYTKGGLCCNVIANAANWRLKPGGGGVNAAIFNAGGPALEAATKEQARSLLPGNALVVPLPSTSPLYSKEGVTHVIHVLGPNMNPRRPNCLDNDYVKGCKVLEDAYSSLFKGFLSIANTQVKVPRSSGSILSGPSKLEDEISGTKTKTWAPCLQALYNIAMQPEKHNKQVLEASDDVVVLNDIYPKVNTHHLLPVSLMMIFQQMKSWILFLPSAGKTASFGVGKN >KJB77017 pep chromosome:Graimondii2_0_v6:12:26946633:26950807:-1 gene:B456_012G116900 transcript:KJB77017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDIDSACKPKDEEKAKGKPIAAILVGAPGSGKSTFCENVMRGSSRPWVRVCQDTINNGKSGTKPQCLKSAAASLKEGKSVFIDRCNLDKEQRVDFVNLGGPEVEVHAVVLDLPAKLSISRIVKRTGHEGNLQGGKAAAVVNRMLQNKELPKLSEGFSRITFCRNETDVDAAVKTYTAVGPLDTLPHGCFGQKDPNAKTQLGIMRFLKRLHETNARDSVPSQLTEEKGPCGKTQETVSSSSGMASQKIKVSEDMAPGSISHDESDIPTLAFPSISTVDFQFDLEKASDVIVGKVEEFMDKLGNARLVLVDLTERSKILSLVRAKAAEKKIDSKRFFTFIGDICQLYTKGGLCCNVIANAANWRLKPGGGGVNAAIFNAGGPALEAATKEQARSLLPGNALVVPLPSTSPLYSKEGVTHVIHVLGPNMNPRRPNCLDNDYVKGCKVLEDAYSSLFKGFLSIANTQVKVPRSSGSILSGPSKLEDEISGTKTKTWAPCLQALYNIAMQPEKHNKQVLEASDDVVVLNDIYPKAKQHLLVLARIKGLDTLADARKEHLPLLMTMHEVGLRWVDKFVGEDASLVFRLGYHSVPSMRQLHLHVISQDFDSKNLKHKKHWNSFTTAFFRDSVDVMEEINNQGKATIQDEKRLMSMELRCHRCRSAHPNIPRLKSHISSCQAPFPADLQKKGRLVPEQN >KJB76073 pep chromosome:Graimondii2_0_v6:12:10902818:10904206:-1 gene:B456_012G073400 transcript:KJB76073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINKSAAMEEDGNQELMVRMKEAEDEAYLKSMPSGFRFKPRDDELIFYLMCKARNKPLPPTRIKEVPLYKYDPDTLTAISGNMSSNGTINEWYFFTSRDRKHPQGKRPNRAAGDGFWKAVNSDKHVKSNGKLIGLKKTLVYCRGKPSKAQKTNWIMHEYVLSDPPQTTHKNDHQLDGWALCRVYNRKPQAPKVPEKSSPQNFGSNNLNIQNQIQEQSALQNFVTEDLNFSESDKFLLYANFGTIIYSL >KJB74837 pep chromosome:Graimondii2_0_v6:12:1112396:1113072:1 gene:B456_012G010100 transcript:KJB74837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSRRNWCDDTKIHRSSDSAELRRGTSVATPREQRTSSNKGKIKKKKVCKNSDLTNSLKDKWRKMGEPIEHQDTAFHRRLETGKRRERYELELLCRKQ >KJB77487 pep chromosome:Graimondii2_0_v6:12:31325813:31326765:1 gene:B456_012G139600 transcript:KJB77487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEYFLTKVLSYWSSLIRKVVFCVLRVGPIPTHIAVIMDGNRRYAKRKLLEEGAGHDVGAMALLNLIIYCYELGVKYITAYAFAIDNFRRKPSEVQKIMDLLKECMMVLAKIAKHHPIKVNFAGNLELLNAELRDEARKLMGATAEYSKFVVTICVCYCCTDDIVYSVEKSCREKHYYHSYIGDSHDDKDDDDKDDERHMIKLVDIEKNMYMAVTPDPDILIRTGDEHRLSNFLQWQTCHSLLASLSTDWPEIGVWHLVKVVLDFQQNYDYFVRKKLQRL >KJB75105 pep chromosome:Graimondii2_0_v6:12:3106091:3114484:1 gene:B456_012G024700 transcript:KJB75105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRESMYAALFGMDEDQREKEQERLIARKRKRKGIPRKLVLCNEKLSTSDSFHRSPAEYFSNEECFDVNKIGGDFVPCSLTVCSGEDIISKITSFVESCSCNCYIASATGCVARASILECGTASAHEGSYEIVSLTGHVSMPLNLGRSNGYGILITLASKNDSVFGGYVSGPLIAKTNVQIVLWRFTSPSAKEASTSGAESPAAKMEVS >KJB75104 pep chromosome:Graimondii2_0_v6:12:3106091:3112610:1 gene:B456_012G024700 transcript:KJB75104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRESMYAALFGMDEDQREKEQERLIARKRKRKGIPRKLVLCNEKLSTSDSFHRSPAEYFSNEECFDVNKIGGDFVPCSLTVCSGEDIISKITSFVESCSCNCYIASATGCVARASILECGTASAHEGSYEIVSLTGHVSMPLNLGRSNGYGILITLASKNDSVFGGYVSGPLIAKTNVQVRT >KJB75107 pep chromosome:Graimondii2_0_v6:12:3108648:3112610:1 gene:B456_012G024700 transcript:KJB75107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRESMYAALFGMDEDQREKEQERLIARKRKRKGIPRKLVLCNEKLSTSDSFHRSPAEYFSNEECFDVNKIGGDFVPCSLTVCSGEDIISKITSFVESCSCNCYIASATGCVARASILECGTASAHEGSYEIVSLTGHVSMPLNLGRSNGYGILITLASKNDSVFGGYVSGPLIAKTNVQVRT >KJB75106 pep chromosome:Graimondii2_0_v6:12:3108768:3112610:1 gene:B456_012G024700 transcript:KJB75106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRESMYAALFGMDEDQREKEQERLIARKRKRKGIPRKLVLCNEKLSTSDSFHRSPAEYFSNEECFDVNKIGGDFVPCSLTVCSGEDIISKITSFVESCSCNCYIASATGCVARASILECGTASAHEGSYEIVSLTGHVSMPLNLGRSNGYGILITLASKNDSVFGGYVSGPLIAKTNVQVRT >KJB75103 pep chromosome:Graimondii2_0_v6:12:3106091:3112610:1 gene:B456_012G024700 transcript:KJB75103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRESMYAALFGMDEDQREKEQERLIARKRKRKGIPRKLVLCNEKLSTSDSFHRSPAEYFSNEECFDVNKIGGDFVPCSLTVCSGEDIISKITSFVESCSCNCYIASATGCVARASILECGTASAHEGSYEIVSLTGHVSMPLNLGRSNGYGILITLASKNDSVFGGYVSGPLIAKTNVQVRT >KJB75128 pep chromosome:Graimondii2_0_v6:12:3188676:3188857:-1 gene:B456_012G0256002 transcript:KJB75128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGYGFGYGSGGGSGGGGGGGGGGGGGGGGGGSGGNGSGYGSGSGYGSGYGSGENGDFSP >KJB76180 pep chromosome:Graimondii2_0_v6:12:11909035:11911459:-1 gene:B456_012G076400 transcript:KJB76180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVLRLLTPPGTPLFPSLEMESQKTVMSQIGTPKARPTALKSRLANPQPESAARGNLALNQPALSPGLNSTGIQRPSSSGGSGSRPATPTGRPTLTGSKPTRSSTPTSRATLQSTKPSISASKPATKPLTSSTKPMISAAKAPSPATKPTVSARSSTPTRSTARASTPTARPSIPSSKPASRAATPTRRPSTPSSTNISAPPIKSSSSVTKPTTAASRSSVPSRGASPTVASRPWKPSEMPGFSLDAPPNLRTTLPDRPLSATRGRPGAPSARSSSVEPGAIGRPRRQSCSPSRGRLPNGAMLHLSGGSVPAVSRGYSKASDNVSPVVIGTKMVERVINMRKLAPPKQDDKNSPQRNSSGKSASPDSTGFGRTLSKKSLDMAIRHMDIRRSIPGNLRPLMTNIPASSMYSVRSGHTRSRTISVLDSPLATSSNGSSELSVNNNGHCFDGSEVEDDIGSERVGRSPSRVHAR >KJB76176 pep chromosome:Graimondii2_0_v6:12:11908693:11913147:-1 gene:B456_012G076400 transcript:KJB76176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVLRLLTPPGTPLFPSLEMESQKTVMSQIGTPKARPTALKSRLANPQPESAARGNLALNQPALSPGLNSTGIQRPSSSGGSGSRPATPTGRPTLTGSKPTRSSTPTSRATLQSTKPSISASKPATKPLTSSTKPMISAAKAPSPATKPTVSARSSTPTRSTARASTPTARPSIPSSKPASRAATPTRRPSTPSSTNISAPPIKSSSSVTKPTTAASRSSVPSRGASPTVASRPWKPSEMPGFSLDAPPNLRTTLPDRPLSATRGRPGAPSARSSSVEPGAIGRPRRQSCSPSRGRLPNGAMLHLSGGSVPAVSRGYSKASDNVSPVVIGTKMVERVINMRKLAPPKQDDKNSPQRNSSGKSASPDSTGFGRTLSKKSLDMAIRHMDIRRSIPGNLRPLMTNIPASSMYSVRSGHTRSRTISVLDSPLATSSNGSSELSVNNNGHCFDGSEVEDDIGSERVGRSPSRVHAR >KJB76175 pep chromosome:Graimondii2_0_v6:12:11908693:11913147:-1 gene:B456_012G076400 transcript:KJB76175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAAKAPSPATKPTVSARSSTPTRSTARASTPTARPSIPSSKPASRAATPTRRPSTPSSTNISAPPIKSSSSVTKPTTAASRSSVPSRGASPTVASRPWKPSEMPGFSLDAPPNLRTTLPDRPLSATRGRPGAPSARSSSVEPGAIGRPRRQSCSPSRGRLPNGAMLHLSGGSVPAVSRGYSKASDNVSPVVIGTKMVERVINMRKLAPPKQDDKNSPQRNSSGKSASPDSTGFGRTLSKKSLDMAIRHMDIRRSIPGNLRPLMTNIPASSMYSVRSGHTRSRTISVLDSPLATSSNGSSELSVNNNGHCFDGSEVEDDIGSERVGRSPSRVHAR >KJB76178 pep chromosome:Graimondii2_0_v6:12:11909541:11912917:-1 gene:B456_012G076400 transcript:KJB76178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSFRAQQETAMQAAVLKQRQQLRASMMKEKEEELALFLEMRKREKEQSDLLLNHSYEDFDAPLGSKPGTSPIFNLSASTATPPRKAAAAADDFLNSDGDKNDYDWLLTPPGTPLFPSLEMESQKTVMSQIGTPKARPTALKSRLANPQPESAARGNLALNQPALSPGLNSTGIQRPSSSGGSGSRPATPTGRPTLTGSKPTRSSTPTSRATLQSTKPSISASKPATKPLTSSTKPMISAAKAPSPATKPTVSARSSTPTRSTARASTPTARPSIPSSKPASRAATPTRRPSTPSSTNISAPPIKSSSSVTKPTTAASRSSVPSRGASPTVASRPWKPSEMPGFSLDAPPNLRTTLPDRPLSATRGRPGAPSARSSSVEPGAIGRPRRQSCSPSRGRLPNGAMLHLSGGSVPAVSRGYSKASDNVSPVVIGTKMVERVINMRKLAPPKQDDKNSPQRNSSGKSASPDSTGFGRTLSKKSLDMAIRHMVTIS >KJB76174 pep chromosome:Graimondii2_0_v6:12:11909035:11911459:-1 gene:B456_012G076400 transcript:KJB76174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVLRLLTPPGTPLFPSLEMESQKTVMSQIGTPKARPTALKSRLANPQPESAARGNLALNQPALSPGLNSTGIQRPSSSGGSGSRPATPTGRPTLTGSKPTRSSTPTSRATLQSTKPSISASKPATKPLTSSTKPMISAAKAPSPATKPTVSARSSTPTRSTARASTPTARPSIPSSKPASRAATPTRRPSTPSSTNISAPPIKSSSSVTKPTTAASRSSVPSRGASPTVASRPWKPSEMPGFSLDAPPNLRTTLPDRPLSATRGRPGAPSARSSSVEPGAIGRPRRQSCSPSRGRLPNGAMLHLSGGSVPAVSRGYSKASDNVSPVVIGTKMVERVINMRKLAPPKQDDKNSPQRNSSGKSASPDSTGFGRTLSKKSLDMAIRHMDIRRSIPGNLRPLMTNIPASSMYSVRSGHTRSRTISVLDSPLATSSNGSSELSVNNNGHCFDGSEVEDDIGSERVGRSPSRVHAR >KJB76177 pep chromosome:Graimondii2_0_v6:12:11908693:11913147:-1 gene:B456_012G076400 transcript:KJB76177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLTGNLFHVRLLTPPGTPLFPSLEMESQKTVMSQIGTPKARPTALKSRLANPQPESAARGNLALNQPALSPGLNSTGIQRPSSSGGSGSRPATPTGRPTLTGSKPTRSSTPTSRATLQSTKPSISASKPATKPLTSSTKPMISAAKAPSPATKPTVSARSSTPTRSTARASTPTARPSIPSSKPASRAATPTRRPSTPSSTNISAPPIKSSSSVTKPTTAASRSSVPSRGASPTVASRPWKPSEMPGFSLDAPPNLRTTLPDRPLSATRGRPGAPSARSSSVEPGAIGRPRRQSCSPSRGRLPNGAMLHLSGGSVPAVSRGYSKASDNVSPVVIGTKMVERVINMRKLAPPKQDDKNSPQRNSSGKSASPDSTGFGRTLSKKSLDMAIRHMDIRRSIPGNLRPLMTNIPASSMYSVRSGHTRSRTISVLDSPLATSSNGSSELSVNNNGHCFDGSEVEDDIGSERVGRSPSRVHAR >KJB76173 pep chromosome:Graimondii2_0_v6:12:11908044:11913341:-1 gene:B456_012G076400 transcript:KJB76173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSFRAQQETAMQAAVLKQRQQLRASMMKEKEEELALFLEMRKREKEQSDLLLNHSYEDFDAPLGSKPGTSPIFNLSASTATPPRKAAAAADDFLNSDGDKNDYDWLLTPPGTPLFPSLEMESQKTVMSQIGTPKARPTALKSRLANPQPESAARGNLALNQPALSPGLNSTGIQRPSSSGGSGSRPATPTGRPTLTGSKPTRSSTPTSRATLQSTKPSISASKPATKPLTSSTKPMISAAKAPSPATKPTVSARSSTPTRSTARASTPTARPSIPSSKPASRAATPTRRPSTPSSTNISAPPIKSSSSVTKPTTAASRSSVPSRGASPTVASRPWKPSEMPGFSLDAPPNLRTTLPDRPLSATRGRPGAPSARSSSVEPGAIGRPRRQSCSPSRGRLPNGAMLHLSGGSVPAVSRGYSKASDNVSPVVIGTKMVERVINMRKLAPPKQDDKNSPQRNSSGKSASPDSTGFGRTLSKKSLDMAIRHMDIRRSIPGNLRPLMTNIPASSMYSVRSGHTRSRTISVLDSPLATSSNGSSELSVNNNGHCFDGSEVEDDIGSERVGRSPSRVHAR >KJB76179 pep chromosome:Graimondii2_0_v6:12:11908634:11913341:-1 gene:B456_012G076400 transcript:KJB76179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSFRAQQETAMQAAVLKQRQQLRASMMKEKEEELALFLEMRKREKEQSDLLLNHSYEDFDAPLASTATPPRKAAAAADDFLNSDGDKNDYDWLLTPPGTPLFPSLEMESQKTVMSQIGTPKARPTALKSRLANPQPESAARGNLALNQPALSPGLNSTGIQRPSSSGGSGSRPATPTGRPTLTGSKPTRSSTPTSRATLQSTKPSISASKPATKPLTSSTKPMISAAKAPSPATKPTVSARSSTPTRSTARASTPTARPSIPSSKPASRAATPTRRPSTPSSTNISAPPIKSSSSVTKPTTAASRSSVPSRGASPTVASRPWKPSEMPGFSLDAPPNLRTTLPDRPLSATRGRPGAPSARSSSVEPGAIGRPRRQSCSPSRGRLPNGAMLHLSGGSVPAVSRGYSKASDNVSPVVIGTKMVERVINMRKLAPPKQDDKNSPQRNSSGKSASPDSTGFGRTLSKKSLDMAIRHMDIRRSIPGNLRPLMTNIPASSMYSVRSGHTRSRTISVLDSPLATSSNGSSELSVNNNGHCFDGSEVEDDIGSERVGRSPSRVHAR >KJB77917 pep chromosome:Graimondii2_0_v6:12:33702112:33703244:1 gene:B456_012G166700 transcript:KJB77917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPSKEPCKKEACDIQTCLSKNNFLPKRCQKVIELLQSCCEKCNYESTHCASVSALLKQIAK >KJB74879 pep chromosome:Graimondii2_0_v6:12:1389037:1389861:1 gene:B456_012G012100 transcript:KJB74879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKAKPTQQLITALLYYNTYILSSASTICSYFNTLISAVGCHWCRLIYILLLLWFDHNSILGLPQFPT >KJB76872 pep chromosome:Graimondii2_0_v6:12:25067762:25070896:-1 gene:B456_012G110900 transcript:KJB76872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLLSEKRSEIDENERLSSYQYVGRTGSVIPTASLAGTDVSIEEIRSATSFSTPYPPSIHAPLISSPEPLPNEQAIPHQSPYAADYGAYSNDFQRQLLDEVEIRELLIDHIGHRCCWGSHPARTWKIHAVEDCNVYVGTLETFLEEREVIRETEPYLGGKIDGKDNTPELGIWELDLRSQFPVLFVPYKETRVKIPNSETVEKCSDCAGQGDIPCPTCNADQEPGFYKENQMSQCPACYGRGLIAHRDGSDTICTKCDGKGKIPCATCGSRGLLKCKTCNGSGSLLTRKIAVVKWKTLSTRKVSATSGAASVPDEIFHRAKGVQLCNTQAYQCTPAYFADSFFLNTFSSDVIADRASVPPTARVICERHTISVVPVTRVTMRHHRQSFSFYIVGYSREVYLKDYYPARFCWGLCPCLEWLKV >KJB76865 pep chromosome:Graimondii2_0_v6:12:25067975:25070684:-1 gene:B456_012G110900 transcript:KJB76865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLLSEEKRSEIDENERLSSYQYVGRTGSVIPTASLAGTDVSIEEIRSATSFSTPYPPSIHAPLISSPEPLPNDYGAYSNDFQRQLLDEVEIRELLIDHIGHRCCWGSHPARTWKIHAVEDCNVYVGTLETFLEEREVIRETEPYLGGKIDGKDNTPELGIWELDLRSQFPVLFVPYKETRVKIPNSETVEKCSDCAGQGDIPCPTCNADQEPGFYKENQMSQCPACYGRGLIAHRDGSDTICTKCDGKGKIPCATCGSRGLLKCKTCNGSGSLLTRKIAVVKWKTLSTRKVSATSGAASVPDEIFHRAKGVQLCNTQAYQCTPAYFADSFFLNTFSSDVIADRASVPPTARVICERHTISVVPVTRVTMRHHRQSFSFYIVGYSREVYLKDYYPARFCWGLCPCLEWLKV >KJB76868 pep chromosome:Graimondii2_0_v6:12:25067762:25070793:-1 gene:B456_012G110900 transcript:KJB76868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLLSEKRSEIDENERLSSYQYVGRTGSVIPTASLAGTDVSIEEIRSATSFSTPYPPSIHAPLISSPEPLPNGQGDIPCPTCNADQEPGFYKENQMSQCPACYGRGLIAHRDGSDTICTKCDGKGKIPCATCGSRGLLKCKTCNGSGSLLTRKIAVVKWKTLSTRKVSATSGAASVPDEIFHRAKGVQLCNTQAYQCTPAYFADSFFLNTFSSDVIADRASVPPTARVICERHTISVVPVTRVTMRHHRQSFSFYIVGYSREVYLKDYYPARFCWGLCPCLEWLKV >KJB76869 pep chromosome:Graimondii2_0_v6:12:25067762:25070784:-1 gene:B456_012G110900 transcript:KJB76869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLLSEEKRMIRETEPYLGGKIDGKDNTPELGIWELDLRSQFPVLFVPYKETRVKIPNSETVEKCSDCAGQGDIPCPTCNADQEPGFYKENQMSQCPACYGRGLIAHRDGSDTICTKCDGKGKIPCATCGSRGLLKCKTCNGSGSLLTRKIAVVKWKTLSTRKVSATSGAASVPDEIFHRAKGVQLCNTQAYQCTPAYFADSFFLNTFSSDVIADRASVPPTARVICERHTISVVPVTRVTMRHHRQSFSFYIVGYSREVYLKDYYPARFCWGLCPCLEWLKV >KJB76862 pep chromosome:Graimondii2_0_v6:12:25067762:25070779:-1 gene:B456_012G110900 transcript:KJB76862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLLSEEKRSEIDENERLSSYQYVGRTGSVIPTASLAGTDVSIEEIRSATSFSTPYPPSIHAPLISSPEPLPNGQGDIPCPTCNADQEPGFYKENQMSQCPACYGRGLIAHRDGSDTICTKCDGKGKIPCATCGSRGLLKCKTCNGSGSLLTRKIAVVKWKTLSTRKVSATSGAASVPDEIFHRAKGVQLCNTQAYQCTPAYFADSFFLNTFSSDVIADRASVPPTARVICERHTISVVPVTRVTMRHHRQSFSFYIVGYSREVYLKDYYPARFCWGLCPCLEWLKV >KJB76867 pep chromosome:Graimondii2_0_v6:12:25067762:25070779:-1 gene:B456_012G110900 transcript:KJB76867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLFLTKVLTQQIMAHTPMIFRGQGDIPCPTCNADQEPGFYKENQMSQCPACYGRGLIAHRDGSDTICTKCDGKGKIPCATCGSRGLLKCKTCNGSGSLLTRKIAVVKWKTLSTRKVSATSGAASVPDEIFHRAKGVQLCNTQAYQCTPAYFADSFFLNTFSSDVIADRASVPPTARVICERHTISVVPVTRVTMRHHRQSFSFYIVGYSREVYLKDYYPARFCWGLCPCLEWLKV >KJB76866 pep chromosome:Graimondii2_0_v6:12:25067975:25070684:-1 gene:B456_012G110900 transcript:KJB76866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLLSEEKRSEIDENERLSSYQYVGRTGSVIPTASLAGTDVSIEEIRSATSFSTPYPPSIHAPLISSPEPLPNEQAIPHQSPYAADYGAYSNDFQRQLLDEVEIRELLIDHIGHRCCWGSHPARTWKIHAVEDCNVYVGTLETFLEEREVIRETEPYLGGKIDGKDNTPELGIWELDLRSQFPVLFVPYKETRVKIPNSETVEKCSGQGDIPCPTCNADQEPGFYKENQMSQCPACYGRGLIAHRDGSDTICTKCDGKGKIPCATCGSRGLLKCKTCNGSGSLLTRKIAVVKWKTLSTRKVSATSGAASVPDEIFHRAKGVQLCNTQAYQCTPAYFADSFFLNTFSSDVIADRASVPPTARVICERHTISVVPVTRVTMRHHRQSFSFYIVGYSREVYLKDYYPARFCWGLCPCLEWLKV >KJB76870 pep chromosome:Graimondii2_0_v6:12:25067975:25070122:-1 gene:B456_012G110900 transcript:KJB76870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSKLFLTKVLTQQIMAHTPMIFRDCAGQGDIPCPTCNADQEPGFYKENQMSQCPACYGRGLIAHRDGSDTICTKCDGKGKIPCATCGSRGLLKCKTCNGSGSLLTRKIAVVKWKTLSTRKVSATSGAASVPDEIFHRAKGVQLCNTQAYQCTPAYFADSFFLNTFSSDVIADRASVPPTARVICERHTISVVPVTRVTMRHHRQSFSFYIVGYSREVYLKDYYPARFCWGLCPCLEWLKV >KJB76861 pep chromosome:Graimondii2_0_v6:12:25067975:25070360:-1 gene:B456_012G110900 transcript:KJB76861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPIQATSFNCAGQGDIPCPTCNADQEPGFYKENQMSQCPACYGRGLIAHRDGSDTICTKCDGKGKIPCATCGSRGLLKCKTCNGSGSLLTRKIAVVKWKTLSTRKVSATSGAASVPDEIFHRAKGVQLCNTQAYQCTPAYFADSFFLNTFSSDVIADRASVPPTARVICERHTISVVPVTRVTMRHHRQSFSFYIVGYSREVYLKDYYPARFCWGLCPCLEWLKV >KJB76864 pep chromosome:Graimondii2_0_v6:12:25067762:25070779:-1 gene:B456_012G110900 transcript:KJB76864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIRELLIDHIGHRCCWGSHPARTWKIHAVEDCNVYVGTLETFLEEREVIRETEPYLGGKIDGKDNTPELGIWELDLRSQFPVLFVPYKETRVKIPNSETVEKCSDCAGQGDIPCPTCNADQEPGFYKENQMSQCPACYGRGLIAHRDGSDTICTKCDGKGKIPCATCGSRGLLKCKTCNGSGSLLTRKIAVVKWKTLSTRKVSATSGAASVPDEIFHRAKGVQLCNTQAYQCTPAYFADSFFLNTFSSDVIADRASVPPTARVICERHTISVVPVTRVTMRHHRQSFSFYIVGYSREVYLKDYYPARFCWGLCPCLEWLKV >KJB76874 pep chromosome:Graimondii2_0_v6:12:25069384:25070793:-1 gene:B456_012G110900 transcript:KJB76874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLLSEEKRSEIDENERLSSYQYVGRTGSVIPTASLAGTDVSIEEIRSATSFSTPYPPSIHAPLISSPEPLPNEQAIPHQSPYAADYGAYSNDFQRQLLDEVEIRELLIDHIGHRCCWGSHPARTWKIHAVEDCNVYVGTLETFLEEREVIRETEPYLGGKIDGKDNTPELGIWELDLRSQFPVLFVPYKETRVKIPNSETVEKCSGNTA >KJB76873 pep chromosome:Graimondii2_0_v6:12:25067762:25070793:-1 gene:B456_012G110900 transcript:KJB76873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLLSEEKRSEIDENERLSSYQYVGRTGSVIPTASLAGTDVSIEEIRSATSFSTPYPPSIHAPLISSPEPLPNEQAIPHQSPYAADYGAYSNDFQRQLLDEVEIRELLIDHIGHRCCWGSHPARTWKIHAVEDCNVYVGTLETFLEEREVIRETEPYLGGKIDGKDNTPELGIWELDLRSQFPVLFVPYKETRVKIPNSETVEKCSDCAGQGDIPCPTCNADQEPGFYKENQMSQCPACYGRGLIAHRDGSDTICTKCDGKGKIPCATCGSRGLLKCKTCNGSGSLLTRKIAVVKWKTLSTRKVSATSGAASVPDEIFHRAKGVQLCNTQAYQCTPAYFADSFFLNTFSSDVIADRASVPPTARVICERHTISVVPVTRVTMRHHRQSFSFYIVGYSREVYLKDYYPARFCWGLCPCLEWLKV >KJB76871 pep chromosome:Graimondii2_0_v6:12:25068571:25070684:-1 gene:B456_012G110900 transcript:KJB76871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLLSEEKRSEIDENERLSSYQYVGRTGSVIPTASLAGTDVSIEEIRSATSFSTPYPPSIHAPLISSPEPLPNEQAIPHQSPYAADYGAYSNDFQRQLLDEVEIRELLIDHIGHRCCWGSHPARTWKIHAVEDCNVYVGTLETFLEEREVIRETEPYLGGKIDGKDNTPELGIWELDLRSQFPVLFVPYKETRVKIPNSETVEKCSDCAGQGDIPCPTCNADQEPGFYKENQMSQCPACYGRGLIAHRDGSDTICTKCDGKGKIPCATCGSRGLLKCKTCNGSGSLLTRKIAVVKW >KJB76863 pep chromosome:Graimondii2_0_v6:12:25067975:25070684:-1 gene:B456_012G110900 transcript:KJB76863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLLSEEKRSEIDENERLSSYQYVGRTGSVIPTASLAGTDVSIEEIRSATSFSTPYPPSIHAPLISSPEPLPNDCAGQGDIPCPTCNADQEPGFYKENQMSQCPACYGRGLIAHRDGSDTICTKCDGKGKIPCATCGSRGLLKCKTCNGSGSLLTRKIAVVKWKTLSTRKVSATSGAASVPDEIFHRAKGVQLCNTQAYQCTPAYFADSFFLNTFSSDVIADRASVPPTARVICERHTISVVPVTRVTMRHHRQSFSFYIVGYSREVYLKDYYPARFCWGLCPCLEWLKV >KJB75691 pep chromosome:Graimondii2_0_v6:12:6698813:6704695:1 gene:B456_012G051700 transcript:KJB75691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVTTQSRNNGFIGEIIKPSRGAFTCMINSEIVAVLAVMRRNVRWGVHYVADDDHLEHSLIHSLKELRRKIFSWQHQWQNVDPVVYLQPFLDVIQSDETGAPITGVALSSIYKILTIDVLDLDIVNVRVAMHMIIDAVTSCRFEVTDPASEEVVLMKILQVLLACMKSKAATRLSNKHVCMIANTCFRIVHQASSKGELLQRIARHTIHELVRCIFSHLPKICSTEHEMVNGSSLVASGVVGTQNENHMNGSTQLKSGNVGIDESENKEDAQNGEILIMAPFGIPCMVEIFQFLCSLLKVTENIDIGPKSNMIVHDEDVPLFALGLINSAIELGGSLFSKHPKLLAVIQDELFYNLMQFGLSMSPLILSTVCSIVLNLYYHLRTELKVQLEAFISCVLLKLVQSKHGSSYQQQEVAMEALVDLCSQQMFVAEMYANFDCDITYSNVFEDIAYMLSKSAFPVNEPLAAMHILALNGLVSMIKGMAKRTCNELSISEEDSTNYEGYEAFWTFKCLNYNDPSSWIPFVRKMKHIKRKLTIGADHFNRDPKKGLEFLQGIHLLPDKLDPESVASFFRYTNGLDKNLIGDFLGNHGEFCVHVLHEFSRTFDFHDMTLDSALRVFLGTFRLPGESQKIQRVIEAFAERYYEQSSHIFCDRDAALLLSYSLIMLNTDQHNAQVKKKMTEEDFIRNNRLINGGKDLPRKYLSELYHSICENEIQMIPDQGAGFPTMTLSRWINVIYRSKESAPFILCDSRVPLYHDMFAILSGPTIAAISVVFDQAEQENVLQTCIDGFLAIAKISTHYRFGDILDDLVVSVCKFTTLLTPLSFEDAIISFGDGPRARMATTTVFTIANRYGDCIHSSWRSIFDCVLSLYKLRLLPPCLANDAAGETDSSSDYVWSKPDTSSLLISRTTPAAIPRRSFSLFGRFSQLLSFEVEEPLLHPTHEQLAAQQFLREIVLKCHIDNIFMDSKFLQAESLLQLVEAIILATVQFSKGSDIIEDEDSAVFCLELLISITLNNRDRILIIWKNVYKFISDIVQQKAMPCALVEKAVFGLLKICQRLLPYKENLTDELLKSMQLILRLDAQVADAYCEPITQEVMRLVKANASHIRSHSGWRTIISLLCITAQHPEASEFGFEALAFIMFDGAHLLPSNYVLCVDAAREFAESWVGQIDRSISSLEMMASSVVCLVRWSYEAKNTVNEEAAMKVSKDLGEMWLRLLQALKVVCLDQREEVRNHAILMLQRSFAGVGGIYIPNTIWFQCFNLVVFTLMDDLLEIALESSSKEYKKMEGTLVLATKLMLKAFLQSLEELSQQASFCKLWLEVLDRMERYMKFKFRGKHSEKIHESIPELLKNTLLVMKTTGILMPSDDVGRDSLWQLTWLNVKKILPSLQSEVFLEPELEQMQAKHKKIYGIPATNETMLIPSNETTT >KJB75689 pep chromosome:Graimondii2_0_v6:12:6698804:6704667:1 gene:B456_012G051700 transcript:KJB75689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVTTQSRNNGFIGEIIKPSRGAFTCMINSEIVAVLAVMRRNVRWGVHYVADDDHLEHSLIHSLKELRRKIFSWQHQWQNVDPVVYLQPFLDVIQSDETGAPITGVALSSIYKILTIDVLDLDIVNVRVAMHMIIDAVTSCRFEVTDPASEEVVLMKILQVLLACMKSKAATRLSNKHVCMIANTCFRIVHQASSKGELLQRIARHTIHELVRCIFSHLPKICSTEHEMVNGSSLVASGVVGTQNENHMNGSTQLKSGNVGIDESENKEDAQNGEILIMAPFGIPCMVEIFQFLCSLLKVTENIDIGPKSNMIVHDEDVPLFALGLINSAIELGGSLFSKHPKLLAVIQDELFYNLMQFGLSMSPLILSTVCSIVLNLYYHLRTELKVQLEAFISCVLLKLVQSKHGSSYQQQEVAMEALVDLCSQQMFVAEMYANFDCDITYSNVFEDIAYMLSKSAFPVNEPLAAMHILALNGLVSMIKGMAKRTCNELSISEEDSTNYEGYEAFWTFKCLNYNDPSSWIPFVRKMKHIKRKLTIGADHFNRDPKKGLEFLQGIHLLPDKLDPESVASFFRYTNGLDKNLIGDFLGNHGEFCVHVLHEFSRTFDFHDMTLDSALRVFLGTFRLPGESQKIQRVIEAFAERYYEQSSHIFCDRDAALLLSYSLIMLNTDQHNAQVKKKMTEEDFIRNNRLINGGKDLPRKYLSELYHSICENEIQMIPDQGAGFPTMTLSRWINVIYRSKESAPFILCDSRVPLYHDMFAILSGPTIAAISVVFDQAEQENVLQTCIDGFLAIAKISTHYRFGDILDDLVVSVCKFTTLLTPLSFEDAIISFGDGPRARMATTTVFTIANRYGDCIHSSWRSIFDCVLSLYKLRLLPPCLANDAAGETDSSSDYVWSKPDTSSLLISRTTPAAIPRRSFSLFGRFSQLLSFEVEEPLLHPTHEQLAAQQFLREIVLKCHIDNIFMDSKFLQAESLLQLVEAIILATVQFSKGSDIIEDEDSAVFCLELLISITLNNRDRILIIWKNVYKFISDIVQQKAMPCALVEKAVFGLLKICQRLLPYKENLTDELLKSMQLILRLDAQVADAYCEPITQEVMRLVKANASHIRSHSGWRTIISLLCITAQHPEASEFGFEALAFIMFDGAHLLPSNYVLCVDAAREFAESWVGQIDRSISSLEMMASSVVCLVRWSYEAKNTVNEEAAMKVSKDLGEMWLRLLQALKVVCLDQREEVRNHAILMLQRSFAGVGGIYIPNTIWFQCFNLVVFTLMDDLLEIALESSSKEYKKMEGTLVLATKLMLKAFLQSLEELSQQASFCKLWLEVLDRMERYMKFKFRGKHSEKIHESIPELLKNTLLVMKTTGILMPSDDVGRDSLWQLTWLNVKKILPSLQSEVFLEPELEQMQAKHKKIYGIPATNETMLIPSNETTT >KJB75690 pep chromosome:Graimondii2_0_v6:12:6698804:6704695:1 gene:B456_012G051700 transcript:KJB75690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSTQLKSGNVGIDESENKEDAQNGEILIMAPFGIPCMVEIFQFLCSLLKVTENIDIGPKSNMIVHDEDVPLFALGLINSAIELGGSLFSKHPKLLAVIQDELFYNLMQFGLSMSPLILSTVCSIVLNLYYHLRTELKVQLEAFISCVLLKLVQSKHGSSYQQQEVAMEALVDLCSQQMFVAEMYANFDCDITYSNVFEDIAYMLSKSAFPVNEPLAAMHILALNGLVSMIKGMAKRTCNELSISEEDSTNYEGYEAFWTFKCLNYNDPSSWIPFVRKMKHIKRKLTIGADHFNRDPKKGLEFLQGIHLLPDKLDPESVASFFRYTNGLDKNLIGDFLGNHGEFCVHVLHEFSRTFDFHDMTLDSALRVFLGTFRLPGESQKIQRVIEAFAERYYEQSSHIFCDRDAALLLSYSLIMLNTDQHNAQVKKKMTEEDFIRNNRLINGGKDLPRKYLSELYHSICENEIQMIPDQGAGFPTMTLSRWINVIYRSKESAPFILCDSRVPLYHDMFAILSGPTIAAISVVFDQAEQENVLQTCIDGFLAIAKISTHYRFGDILDDLVVSVCKFTTLLTPLSFEDAIISFGDGPRARMATTTVFTIANRYGDCIHSSWRSIFDCVLSLYKLRLLPPCLANDAAGETDSSSDYVWSKPDTSSLLISRTTPAAIPRRSFSLFGRFSQLLSFEVEEPLLHPTHEQLAAQQFLREIVLKCHIDNIFMDSKFLQAESLLQLVEAIILATVQFSKGSDIIEDEDSAVFCLELLISITLNNRDRILIIWKNVYKFISDIVQQKAMPCALVEKAVFGLLKICQRLLPYKENLTDELLKSMQLILRLDAQVADAYCEPITQEVMRLVKANASHIRSHSGWRTIISLLCITAQHPEASEFGFEALAFIMFDGAHLLPSNYVLCVDAAREFAESWVGQIDRSISSLEMMASSVVCLVRWSYEAKNTVNEEAAMKVSKDLGEMWLRLLQALKVVCLDQREEVRNHAILMLQRSFAGVGGIYIPNTIWFQCFNLVVFTLMDDLLEIALESSSKEYKKMEGTLVLATKLMLKAFLQSLEELSQQASFCKLWLEVLDRMERYMKFKFRGKHSEKIHESIPELLKNTLLVMKTTGILMPSDDVGRDSLWQLTWLNVKKILPSLQSEVFLEPELEQMQAKHKKIYGIPATNETMLIPSNETTT >KJB76466 pep chromosome:Graimondii2_0_v6:12:15372598:15375173:-1 gene:B456_012G089800 transcript:KJB76466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDGSSPLPLKRRRFTNIDSMESASAKAKLAAAKEKFGREIHVFETEGPSPIQNEVPSTEESDDFYEFTAEDYHQVMATKKEDNYLKTRKTREAEEAARRSRVTKAVIRVRFPDNHTLEVTFHSSETIQCLYDLIMKVISRPDLPFYLYTTPPKKQIKDMTQDIFSAGFIPGAIVYFSYDLPKGDDATAADSAAFLLDEVMSLKGLEVIANAEQAEAVQSAPEPGVGVSTVPFPIAQTSKPSEKKPGRPKWFKM >KJB76467 pep chromosome:Graimondii2_0_v6:12:15372542:15375213:-1 gene:B456_012G089800 transcript:KJB76467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKEYTSKKFQRGKSSKQELLQKQGNPVPFPEKRWKFHLQLMIVDGSSPLPLKRRRFTNIDSMESASAKAKLAAAKEKFGREIHVFETEGPSPIQNEVPSTEESDDFYEFTAEDYHQVMATKKEDNYLKTRKTREAEEAARRSRVTKAVIRVRFPDNHTLEVTFHSSETIQCLYDLIMKVISRPDLPFYLYTTPPKKQIKDMTQDIFSAGFIPGAIVYFSYDLPKGDDATAADSAAFLLDEVMSLKGLEVIANAEQAEAVQSAPEPGVGVSTVPFPIAQTSKPSEKKPGRPKWFKM >KJB76465 pep chromosome:Graimondii2_0_v6:12:15372542:15374947:-1 gene:B456_012G089800 transcript:KJB76465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKEDNYLKTRKTREAEEAARRSRVTKAVIRVRFPDNHTLEVTFHSSETIQCLYDLIMKVISRPDLPFYLYTTPPKKQIKDMTQDIFSAGFIPGAIVYFSYDLPKGDDATAADSAAFLLDEVMSLKGLEVIANAEQAEAVQSAPEPGVGVSTVPFPIAQTSKPSEKKPGRPKWFKM >KJB76464 pep chromosome:Graimondii2_0_v6:12:15372542:15374121:-1 gene:B456_012G089800 transcript:KJB76464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKEDNYLKTRKTREAEEAARRSRVTKAVIRVRFPDNHTLEVTFHSSETIQCLYDLIMKVISRPDLPFYLYTTPPKKQIKDMTQDIFSAGFIPGAIVYFSYDLPKGDDATAADSAAFLLDEVMSLKGLEVIANAEQAEAVQSAPEPGVGVSTVPFPIAQTSKPSEKKPGRPKWFKM >KJB78179 pep chromosome:Graimondii2_0_v6:12:35029201:35031251:1 gene:B456_012G182300 transcript:KJB78179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKELLGLWPELCGVCDSTNTSPLYSAAVKGHLEVVNAILNADASCIRIVRKNRKTALHTAVRYGLIDIVKALIDCDQGIVGIKDKKGQTALHMAVKGQCPAVVDELLFANHSILNERDKKGNTALHIATRKSRAQIVSLLLTYRSTDVNAINNQHETAMDLADKLQYGESAFEIKEALTEAGAKHARSVGQVDEAMELKRTVSDIKHEVDSQFIQNEKTNRRVTGIAKELRKLHREAVQNTTNSITVVAVLFASIAFLAIFNLPGQYVSDDPDSGKANIADNVSFRVFCLLNATSLFISLAVVVVQITLVAWDTTAQKQVVSVVNKLMWAACGCTCGAFLSIAFVVVGKGSSWMAVTITIVGAPILVGTLASMCYFVFRQRFGIFRSDSQRRIKRATGSKSFSWSYSANISDDDDYNSDLEKIYAL >KJB78175 pep chromosome:Graimondii2_0_v6:12:35028316:35031251:1 gene:B456_012G182300 transcript:KJB78175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLSWYIKTRDNRSEHKSYASNSELKSGMVKELLGLWPELCGVCDSTNTSPLYSAAVKGHLEVVNAILNADASCIRIVRKNRKTALHTAVRYGLIDIVKALIDCDQGIVGIKDKKGQTALHMAVKGQCPAVVDELLFANHSILNERDKKGNTALHIATRKSRAQIVSLLLTYRSTDVNAINNQHETAMDLADKLQYGESAFEIKEALTEAGAKHARSVGQVDEAMELKRTVSDIKHEVDSQFIQNEKTNRRVTGIAKELRKLHREAVQNTTNSITVVAVLFASIAFLAIFNLPGQYVSDDPDSGKANIADNVSFRVFCLLNATSLFISLAVVVVQITLVAWDTTAQKQVVSVVNKLMWAACGCTCGAFLSIAFVVVGKGSSWMAVTITIVGAPILVGTLASMCYFVFRQRFGIFRSDSQRRIKRATGSKSFSWSYSANISDDDDYNSDLEKIYAL >KJB78174 pep chromosome:Graimondii2_0_v6:12:35028207:35031267:1 gene:B456_012G182300 transcript:KJB78174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKPLRFLTQQSLFVAVRSGELEAVKQILDKLKKDDEACDGSSTVYDLMAAQSESGATAFYIAAENNFIEVFDYLIKFCDVEIVKIRSKSDMNAFHVAAKLGHLGMVKELLGLWPELCGVCDSTNTSPLYSAAVKGHLEVVNAILNADASCIRIVRKNRKTALHTAVRYGLIDIVKALIDCDQGIVGIKDKKGQTALHMAVKGQCPAVVDELLFANHSILNERDKKGNTALHIATRKSRAQIVSLLLTYRSTDVNAINNQHETAMDLADKLQYGESAFEIKEALTEAGAKHARSVGQVDEAMELKRTVSDIKHEVDSQFIQNEKTNRRVTGIAKELRKLHREAVQNTTNSITVVAVLFASIAFLAIFNLPGQYVSDDPDSGKANIADNVSFRVFCLLNATSLFISLAVVVVQITLVAWDTTAQKQVVSVVNKLMWAACGCTCGAFLSIAFVVVGKGSSWMAVTITIVGAPILVGTLASMCYFVFRQRFGIFRSDSQRRIKRATGSKSFSWSYSANISDDDDYNSDLEKIYAL >KJB78177 pep chromosome:Graimondii2_0_v6:12:35029166:35030945:1 gene:B456_012G182300 transcript:KJB78177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLSWYIKTRDNRSEHKSYASNSELKSGMVKELLGLWPELCGVCDSTNTSPLYSAAVKGHLEVVNAILNADASCIRIVRKNRKTALHTAVRYGLIDIVKALIDCDQGIVGIKDKKGQTALHMAVKGQCPAVVDELLFANHSILNERDKKGNTALHIATRKSRAQIVSLLLTYRSTDVNAINNQHETAMDLADKLQYGESAFEIKEALTEAGAKHARSVGQVDEAMELKRTVSDIKHEVDSQFIQNEKTNRRVTGIAKELRKLHREAVQNTTNSITVVAVLFASIAFLAIFNLPGQYVSDDPDSGKANIADNVSFRVFCLLNATSLFISLAVVVVQITLVAWDTTAQKQVVSVVNKLMWAACGCTCGAFLSIAFVVVGKGSSWMAVTITIVGAPILVGTLASMCYFVFRQRFGIFRSDSQRRIKRATGSKSFSWSYSANISDDDDYNSDLEKIYAL >KJB78178 pep chromosome:Graimondii2_0_v6:12:35028316:35031251:1 gene:B456_012G182300 transcript:KJB78178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLSWYIKTRDNRSEHKSYASNSELKSGMVKELLGLWPELCGVCDSTNTSPLYSAAVKGHLEVVNAILNADASCIRIVRKNRKTALHTAVRYGLIDIVKALIDCDQGIVGIKDKKGQTALHMAVKGQCPAVVDELLFANHSILNERDKKGNTALHIATRKSRAQIVSLLLTYRSTDVNAINNQHETAMDLADKLQYGESAFEIKEALTEAGAKHARSVGQVDEAMELKRTVSDIKHEVDSQFIQNEKTNRRVTGIAKELRKLHREAVQNTTNSITVVAVLFASIAFLAIFNLPGQYVSDDPDSGKANIADNVSFRVFCLLNATSLFISLAVVVVQITLVAWDTTAQKQVVSVVNKLMWAACGCTCGAFLSIAFVVVGKGSSWMAVTITIVGAPILVGTLASMCYFVFRQRFGIFRSDSQRRIKRATGSKSFSWSYSANISDDDDYNSDLEKIYAL >KJB78176 pep chromosome:Graimondii2_0_v6:12:35028228:35031251:1 gene:B456_012G182300 transcript:KJB78176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKPLRFLTQQSLFVAVRSGELEAVKQILDKLKKDDEACDGSSTVYDLMAAQSESGATAFYIAAENNFIEVFDYLIKFCDVEIVKIRSKSDMNAFHVAAKLGHLGMVKELLGLWPELCGVCDSTNTSPLYSAAVKGHLEVVNAILNADASCIRIVRKNRKTALHTAVRYGLIDIVKALIDCDQGIVGIKDKKGQTALHMAVKGQCPAVVDELLFANHSILNERDKKGNTALHIATRKSRAQIVSLLLTYRSTDVNAINNQHETAMDLADKLQYGESAFEIKEALTEAGAKHARSVGQVDEAMELKRTVSDIKHEVDSQFIQNEKTNRRVTGIAKELRKLHREAVQNTTNSITVVAVLFASIAFLAIFNLPGQYITLVAWDTTAQKQVVSVVNKLMWAACGCTCGAFLSIAFVVVGKGSSWMAVTITIVGAPILVGTLASMCYFVFRQRFGIFRSDSQRRIKRATGSKSFSWSYSANISDDDDYNSDLEKIYAL >KJB77936 pep chromosome:Graimondii2_0_v6:12:33881190:33882278:-1 gene:B456_012G168600 transcript:KJB77936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYGDHVAVILSVMLLHFTGFFVGYISAAICRFREAERRAISIEVGMQNSSLGVVLATTHFTSPVVALPSAMSAVIMNIMGSSLGFFWRQISGSKQELEDQE >KJB77915 pep chromosome:Graimondii2_0_v6:12:33633783:33634067:1 gene:B456_012G165800 transcript:KJB77915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIGTVNLVANHVRRWRLHDGSGTLPAGWIVLMVTEDENQWWRFECQSEGDWHYITGTEWSRFVQPRIDARLTLYAQQDGENFHRMKVIMRGN >KJB76909 pep chromosome:Graimondii2_0_v6:12:25512374:25515614:1 gene:B456_012G112000 transcript:KJB76909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGLEILSPASYLHTSNWLFQESEGTKWTPEENKCFENALALYDKDTPDRWIKVASMIPGKTVGDVIKQYRELEEDVSDIEAGLIPIPGYSRDSFTLEWGNDSQGCDGFRHYYTPGKRGTGSRSSDQERKKGVPWTEEEHRQFLMGLKKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRQVTGGKDKRRSSIHDITTVNVPDTPSSSPDHCKHSSSSDSSAVIQAQQQAKLATTKEIDFEWKQQNGAAMVFNRTSNCNAFVSPFCGISSYGPKLEEQNLLGETLPRSQFGSYNTLFQMQSMQQHQ >KJB77633 pep chromosome:Graimondii2_0_v6:12:33195021:33195687:1 gene:B456_012G158900 transcript:KJB77633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADSIGACYIHCDVAKESDVESAIQLAITWKGKLDILFSNAGIGGIASSITSLDMEQVKHLISINLLDKVHAIKHAARAMLRCSKRFHHMYVEFHRYALKTLVGEEGILLRGKAATVEDVAKAAVFLASDDNGFITAHNLVIDGRYTSAISSEFHLQVVKN >KJB75798 pep chromosome:Graimondii2_0_v6:12:8361196:8363826:-1 gene:B456_012G059600 transcript:KJB75798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGFSIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFLDPARLESVVKSFVSKQEPLPLSFSSFGTFPNEKNHLFLAPAPTMALLQFQAQLCEAIKKEGIEIGEEFKADAWIPYCAVAQQVPKTRMAEAFCVVRELKLPVSGYAMDIGLVEFSPVREHFSFGLGNAVEP >KJB75801 pep chromosome:Graimondii2_0_v6:12:8362999:8363550:-1 gene:B456_012G059600 transcript:KJB75801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGFSIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFLDPARLESVVKSFVSKQEPLPLSFSSFGTFPNEKNHLFLAPAPTMALLQFQAQLCEAIKKEGIEIGEEFKADAWIPYCAVAQQVPKTRMAEAFCVVRELKLPVSGYAMDIGLVEFSPVREHFSFGLGNAVEP >KJB75800 pep chromosome:Graimondii2_0_v6:12:8360957:8363826:-1 gene:B456_012G059600 transcript:KJB75800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGFSIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFLDPARLESVVKSFVSKQEPLPLSFSSFGTFPNEKNHLFLAPAPTMALLQFQAQLCEAIKKEGIEIGEEFKADAWIPYCAVAQQVPKTRMAEAFCVVRELKLPVSGYAMDIGLVEFSPVREHFSFGLGNAVEP >KJB75799 pep chromosome:Graimondii2_0_v6:12:8360940:8363826:-1 gene:B456_012G059600 transcript:KJB75799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGFSIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFLDPARLESVVKSFVSKQEPLPLSFSSFGTFPNEKNHLFLAPAPTMALLQFQAQLCEAIKKEGIEIGEEFKADAWIPYCAVAQQVPKTRMAEAFCVVRELKLPVSGYAMDIGLVEFSPVREHFSFGLGNAVEP >KJB75797 pep chromosome:Graimondii2_0_v6:12:8360879:8363827:-1 gene:B456_012G059600 transcript:KJB75797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGFSIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFLDPARLESVVKSFVSKQEPLPLSFSSFGTFPNEKNHLFLAPAPTMALLQFQAQLCEAIKKEGIEIGEEFKADAWIPYCAVAQQVPKTRMAEAFCVVRELKLPVSGYAMDIGLVEFSPVREHFSFGLGNAVEP >KJB77472 pep chromosome:Graimondii2_0_v6:12:31278908:31283611:1 gene:B456_012G139000 transcript:KJB77472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKREFSLESEPTIEGLIVAMSLIGATCITTCSGGISDWLGRRPMLIISSVLYCVSGLVMLWSPNVYILLLARLLDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSIGMFLSYCMVFGMSLSELPNWRLMLGVLSIPSLIYFILTIFFLPESPRWLVSKGRMIEAKKVLQRLRGREDVAGEMALLVEGLGVGGETSIEEYIIGPANEDIEDQDISDDKDQIKLYGPEEGLSWVARPVTGQSTLGIVSRHGSMASQSALGLVDPLVTLFGSVHEKVPETGSMRSALFPHFGSMFSVGGNQARNEEWDDDIVPREGEDYPSDGGGGDSDDNLHSPLISRQTTSLDKDIVPTNHGSLTSLRHGSLMQSTTGEQVGSMGIGGGWQIAWQLSEKVGPDGKKEGGFKRIYLHQEGVPGSRRGSLVSLPGNDAPVDSEYVQAAALVSQPALYASELMKQHPVGPAMIHPAETPKGPSWKDIFEPGVKHALVVGIGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNLGISSSSASLLISGITTLLMLPSIAVAMRLMDIAGRRSLLLSTLPVLIISLLVLVIGSVVKMGNVVHAAISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGICIAMCALTFWICDIIVTYSLPVLLKSVGLAGVFGMYAVVCVISWVFVFLKVPETKGMPLEVITEFFSVGAKQVAAAKNN >KJB77474 pep chromosome:Graimondii2_0_v6:12:31278908:31283611:1 gene:B456_012G139000 transcript:KJB77474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKREFSLESEPTIEGLIVAMSLIGATCITTCSGGISDWLGRRPMLIISSVLYCVSGLVMLWSPNVYILLLARLLDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSIGMFLSYCMVFGMSLSELPNWRLMLGVLSIPSLIYFILTIFFLPESPRWLVSKGRMIEAKKVLQRLRGREDVAGEMALLVEGLGVGGETSIEEYIIGPANEDIEDQDISDDKDQIKLYGPEEGLSWVARPVTGQSTLGIVSRHGSMASQSALGLVDPLVTLFGSVHEKVPETGSMRSALFPHFGSMFSVGGNQARNEEWDDDIVPREGEDYPSDGGGGDSDDNLHSPLISRQTTSLDKDIVPTNHGSLTSLRHGSLMQSTTGEQVGSMGIGGGWQIAWQLSEKVGPDGKKEGGFKRIYLHQEGVPGSRRGSLVSLPGNDAPVDSEYVQAAALVSQPALYASELMKQHPVGPAMIHPAETPKGPSWKDIFEPGVKHALVVGIGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNLGISSSSASLLISGITTLLMLPSIAVAMRLMDIAGRRYFATLHTPGPDNIPSRLSHRKCGKNGECCACSDLNR >KJB77473 pep chromosome:Graimondii2_0_v6:12:31279197:31283611:1 gene:B456_012G139000 transcript:KJB77473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVEGLGVGGETSIEEYIIGPANEDIEDQDISDDKDQIKLYGPEEGLSWVARPVTGQSTLGIVSRHGSMASQSALGLVDPLVTLFGSVHEKVPETGSMRSALFPHFGSMFSVGGNQARNEEWDDDIVPREGEDYPSDGGGGDSDDNLHSPLISRQTTSLDKDIVPTNHGSLTSLRHGSLMQSTTGEQVGSMGIGGGWQIAWQLSEKVGPDGKKEGGFKRIYLHQEGVPGSRRGSLVSLPGNDAPVDSEYVQAAALVSQPALYASELMKQHPVGPAMIHPAETPKGPSWKDIFEPGVKHALVVGIGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNLGISSSSASLLISGITTLLMLPSIAVAMRLMDIAGRRSLLLSTLPVLIISLLVLVIGSVVKMGNVVHAAISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGICIAMCALTFWICDIIVTYSLPVLLKSVGLAGVFGMYAVVCVISWVFVFLKVPETKGMPLEVITEFFSVGAKQVAAAKNN >KJB77475 pep chromosome:Graimondii2_0_v6:12:31278805:31283611:1 gene:B456_012G139000 transcript:KJB77475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKREFSLESEPTIEGLIVAMSLIGATCITTCSGGISDWLGRRPMLIISSVLYCVSGLVMLWSPNVYILLLARLLDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSIGMFLSYCMVFGMSLSELPNWRLMLGVLSIPSLIYFILTIFFLPESPRWLVSKGRMIEAKKVLQRLRGREDVAGEMALLVEGLGVGGETSIEEYIIGPANEDIEDQDISDDKDQIKLYGPEEGLSWVARPVTGQSTLGIVSRHGSMASQSALGLVDPLVTLFGSVHEKVPETGSMRSALFPHFGSMFSVGGNQARNEEWDDDIVPREGEDYPSDGGGGDSDDNLHSPLISRQTTSLDKDIVPTNHGSLTSLRHGSLMQSTTGEQVGSMGIGGGWQIAWQLSEKVGPDGKKEGGFKRIYLHQEGVPGSRRGSLVSLPGNDAPVDSEYVQAAALVSQPALYASELMKQHPVGPAMIHPAETPKGPSWKDIFEPGVKHALVVGIGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNLGISSSSASLLISGITTLLMLPSIAVAMRLMDIAGRRSLLLSTLPVLIISLLVLVIGSVVKMGNVVHAAISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGICIAMCALTFWICDIIVTYSLPVLLKSVGLAGVFGMYAVVCVISWVFVFLKVPETKGMPLEVITEFFSVGAKQVAAAKNN >KJB75970 pep chromosome:Graimondii2_0_v6:12:9347747:9349086:1 gene:B456_012G066000 transcript:KJB75970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLEGVSSIRKTHDMLPIHSKPKLWNPSIAPNPPTFKKILPLKISNPWNENKEANESKAGRIKRLVLSNEGRTKLNTFPDREFYAYPRFVTHVDDGFIATLTDLYRERLKPGSEILDLMSSWISHLPNEVVYKRVVGHGLNAQELARNSRMDYFFVKDLNHDQKLEIEDSSFDAVLCTVSVQYLQQPEKAFAEVFRVLRPGGVFIVSFSNRLFYEKAINAWRDGTAYSRVQLVLQYFQCIEGFTQPEVIRELPSAGNSQDDKSPFSWFMKWLGLFSGSDPFYAVIAYKNFKPVYD >KJB75971 pep chromosome:Graimondii2_0_v6:12:9347839:9348930:1 gene:B456_012G066000 transcript:KJB75971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLEGVSSIRKTHDMLPIHSKPKLWNPSIAPNPPTFKKILPLKISNPWNENKEANESKAGRIKRLVLSNEGRTKLNTFPDREFYAYPRFVTHVDDGFIATLTDLYRERLKPGSEILDLMSSWISHLPNEVVYKRVVGHGLNAQELARNSRMDYFFVKDLNHDQKLEIEDSSFDAVLCTAFAEVFRVLRPGGVFIVSFSNRLFYEKAINAWRDGTAYSRVQLVLQYFQCIEGFTQPEVIRELPSAGNSQDDKSPFSWFMKWLGLFSGSDPFYAVIAYKNFKPVYD >KJB78288 pep chromosome:Graimondii2_0_v6:12:28988113:28988342:1 gene:B456_012G1256003 transcript:KJB78288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLEEKVGRVMDQARELHESGASLLWKISNEEQSLRQKAISLESSIRRVRSLINSLISKKLLDPEFARKVKLLNLFC >KJB76665 pep chromosome:Graimondii2_0_v6:12:21014065:21015298:-1 gene:B456_012G099100 transcript:KJB76665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVKLLKTWSSRFGLRVVWALKLKGIPYEAIDEDLSNKSALLLQYNPVHKKIPVLVHNGNPISESLVILEYIDETWKQNPILPQDPLHRARERFWAKFSDEKLLPSVWGAFTKEGKDREEAMAATMENLKFVEEELKGKKFFSGEKIGLVDLVFGWLANLISIFEEVSGFNIVGEGYPLLSAWMQEFSELPVIKDTWPPRDKMIIKYQARYDKYQPAK >KJB78320 pep chromosome:Graimondii2_0_v6:12:34999446:35002612:-1 gene:B456_012G181900 transcript:KJB78320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAKQLSSPFVIFLILSIFLVGPSFSGTDTFVFGGCSQLKFTSGSQYEYNVNSILTSLVNSAMFTSYDNFTMSASGGCSAVYGLFQCRGDLNNRDCNRCVAKAVSQIGTLCFYSTGGVLQLEGCLVKYDNVTFLGVEDKTVVVKKCGPSFSSYSDALTRRDSVLSYLEASDGTYKPFRISESGNLQGVAQCVGDLSPSECQDCLSEAIGQLKTECGAAKWGDLYLAKCYVRFSEGGYHSHAGKIVFAGS >KJB78319 pep chromosome:Graimondii2_0_v6:12:34999316:35002669:-1 gene:B456_012G181900 transcript:KJB78319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAKQLSSPFVIFLILSIFLVGPSFSGTDTFVFGGCSQLKFTSGSQYEYNVNSILTSLVNSAMFTSYDNFTMSASGGCSAVYGLFQCRGDLNNRDCNRCVAKAVSQIGTLCFYSTGGVLQLEGCLVKYDNVTFLGVEDKTVVVKKCGPSFSSYSDALTRRDSVLSYLEASDGTYKPFRISESGNLQGVAQCVGDLSPSECQDCLSEAIGQLKTECGAAKWGDLYLAKCYVRFSEGGYHSHAGKSS >KJB77744 pep chromosome:Graimondii2_0_v6:12:32857529:32859850:-1 gene:B456_012G154400 transcript:KJB77744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIYCDVCSKEEATLFCYADEAVLCEACDVSVHHANKLATKHCRFPLLNPNSCNASPLCDICHQERRGFIFCKEDRAVLCMKCDLSIHRANEYTQKHNRFLLTGVKLSSLNPISYCPNGVDDESKSLQCSASNNEILSSASIEKPLGVEDNYSNSDNTSVSTTNNMSEYFMETIPGWLLDDFLHPSSHANAFSYGKL >KJB77189 pep chromosome:Graimondii2_0_v6:12:28859421:28862498:1 gene:B456_012G124900 transcript:KJB77189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive leucine-rich repeat receptor-like protein kinase CORYNE [Source:Projected from Arabidopsis thaliana (AT5G13290) UniProtKB/Swiss-Prot;Acc:Q9LYU7] MAKMSGCIVTVALLLLLLLCLHQTPVECKERLIRQLSSQPSSATKPQEFKIGFKRVILSIVLGILTGLIGAILFALLIKIAVQYINQTPFLKGPVIFSPKISSKTLQSALANENQLLGSSSNGKYYKTVLDNGLTVAVKVLEPFDSGSPEMQSKSVKRRIQQELEVLASLRHRHLRSLRAYVRESDRFSLVYDYMPMGSLEDAMNGVRENHLELRWDVRLRIAVGVIKGLQYLHFTCVPQILHYNLKPTNVMLDAEFEPRLADCGLAKLMPNIDRATSGYCAPECLQNCRYTDKSDIFSFGMILGVLLTGRYPTDSFFREAVSGGSLGQWLRHLQQAGEAHEALDKSILGEEVEEDEMLMAVRIAVVCLSDSPDDRPSSDELVTMLTQLHSF >KJB76893 pep chromosome:Graimondii2_0_v6:12:25121624:25123182:-1 gene:B456_012G111200 transcript:KJB76893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B12 [Source:Projected from Arabidopsis thaliana (AT3G54120) UniProtKB/Swiss-Prot;Acc:Q9M392] MGSSNRLFNRQRTVHEILGGGFVADVMLWRRGNLTMGILLVTLAAWVVFEKSGYTLLSLVSNVLLLLIGILFLWAKSAAILNRPAPPLPELYLSEETVNEMGAFIRAHVNDILSASKDIALGKDARLFFKVAGYLLLTSLVGGLTDFLTLGYTSLVVVLTVPALYERYEDYIDSYTILGYRKMQQLYVKFDSKFVNRFRKWILEKQKLS >KJB76894 pep chromosome:Graimondii2_0_v6:12:25121091:25123436:-1 gene:B456_012G111200 transcript:KJB76894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B12 [Source:Projected from Arabidopsis thaliana (AT3G54120) UniProtKB/Swiss-Prot;Acc:Q9M392] MLWRRGNLTMGILLVTLAAWVVFEKSGYTLLSLVSNVLLLLIGILFLWAKSAAILNRPAPPLPELYLSEETVNEMGAFIRAHALLLFSQFLHFTRDTKTISIRILYSDTGKCSSCM >KJB76892 pep chromosome:Graimondii2_0_v6:12:25121091:25123479:-1 gene:B456_012G111200 transcript:KJB76892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B12 [Source:Projected from Arabidopsis thaliana (AT3G54120) UniProtKB/Swiss-Prot;Acc:Q9M392] MLWRRGNLTMGILLVTLAAWVVFEKSGYTLLSLVSNVLLLLIGILFLWAKSAAILNRPAPPLPELYLSEETVNEMGAFIRAHVNDILSASKDIALGKDARLFFKVAGYLLLTSLVGGLTDFLTLGYTSLVVVLTVPALYERYEDYIDSYTILGYRKMQQLYVKFDSKFVNRFRKWILEKQKLS >KJB76891 pep chromosome:Graimondii2_0_v6:12:25121884:25123182:-1 gene:B456_012G111200 transcript:KJB76891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B12 [Source:Projected from Arabidopsis thaliana (AT3G54120) UniProtKB/Swiss-Prot;Acc:Q9M392] MGSSNRLFNRQRTVHEILGGGFVADVMLWRRGNLTMGILLVTLAAWVVFEKSGYTLLSLVSNVLLLLIGILFLWAKSAAILNRPAPPLPELYLSEETVNEMGAFIRAHVNDILSASKDIALGKDARLFFKVAGYLLLTSLVGGLTDFLTLGYTSKS >KJB76898 pep chromosome:Graimondii2_0_v6:12:25121091:25123415:-1 gene:B456_012G111200 transcript:KJB76898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B12 [Source:Projected from Arabidopsis thaliana (AT3G54120) UniProtKB/Swiss-Prot;Acc:Q9M392] MLWRRGNLTMGILLVTLAAWVVFEKSGYTLLSLVSNVLLLLIGILFLWAKSAAILNRPAPPLPELYLSEETVNEMGAFIRAHVNDILSASKDIALGKDARLFFKVAGYLLLTSLVGGLTDFLTLGYTSLVVVLTVPALYERYEDYIDSYTILGYRKMQQLYVKFDSKFVNRFRKWILEKQKLS >KJB76890 pep chromosome:Graimondii2_0_v6:12:25121091:25123306:-1 gene:B456_012G111200 transcript:KJB76890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B12 [Source:Projected from Arabidopsis thaliana (AT3G54120) UniProtKB/Swiss-Prot;Acc:Q9M392] MFSSSLLAFYFFGPNLLQFLTELYLSEETVNEMGAFIRAHVNDILSASKDIALGKDARLFFKVAGYLLLTSLVGGLTDFLTLGYTSLVVVLTVPALYERYEDYIDSYTILGYRKMQQLYVKFDSKFVNRFRKWILEKQKLS >KJB76895 pep chromosome:Graimondii2_0_v6:12:25121624:25122882:-1 gene:B456_012G111200 transcript:KJB76895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B12 [Source:Projected from Arabidopsis thaliana (AT3G54120) UniProtKB/Swiss-Prot;Acc:Q9M392] MLWRRGNLTMGILLVTLAAWVVFEKSGYTLLSLVSNVLLLLIGILFLWAKSAAILNRPAPPLPELYLSEETVNEMGAFIRAHVNDILSASKDIALGKDARLFFKVAGYLLLTSLVGGLTDFLTLGYTSLVVVLTVPALYERYEDYIDSYTILGYRKMQQLYVKFDSKFVNRFRKWILEKQKLS >KJB76889 pep chromosome:Graimondii2_0_v6:12:25121091:25123281:-1 gene:B456_012G111200 transcript:KJB76889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B12 [Source:Projected from Arabidopsis thaliana (AT3G54120) UniProtKB/Swiss-Prot;Acc:Q9M392] MGSSNRLFNRQRTVHEILGGGFDVMLWRRGNLTMGILLVTLAAWVVFEKSGYTLLSLVSNVLLLLIGILFLWAKSAAILNRPAPPLPELYLSEETVNEMGAFIRAHVNDILSASKDIALGKDARLFFKVAGYLLLTSLVGGLTDFLTLGYTSLVVVLTVPALYERYEDYIDSYTILGYRKMQQLYVKFDSKFVNRFRKWILEKQKLS >KJB76896 pep chromosome:Graimondii2_0_v6:12:25121091:25123529:-1 gene:B456_012G111200 transcript:KJB76896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B12 [Source:Projected from Arabidopsis thaliana (AT3G54120) UniProtKB/Swiss-Prot;Acc:Q9M392] MLWRRGNLTMGILLVTLAAWVVFEKSGYTLLSLVSNVLLLLIGILFLWAKSAAILNRPAPPLPELYLSEETVNEMGAFIRAHVNDILSASKDIALGKDARLFFKVAGYLLLTSLVGGLTDFLTLGYTSLVVVLTVPALYERYEDYIDSYTILGYRKMQQLFECDKHRHKVWCFEQWRCGKKKWSFLVLS >KJB76897 pep chromosome:Graimondii2_0_v6:12:25121624:25123182:-1 gene:B456_012G111200 transcript:KJB76897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B12 [Source:Projected from Arabidopsis thaliana (AT3G54120) UniProtKB/Swiss-Prot;Acc:Q9M392] MGSSNRLFNRQRTVHEILGGGFVADVMLWRRGNLTMGILLVTLAAWVVFEKSGYTLLSLVSNVLLLLIGILFLWAKSAAILNRPAPPLPELYLSEETVNEMGAFIRAHVNDILSASKDIALGKDARLFFKVAGYLLLTSLVGGLTDFLTLGYTSLVVVLTVPALYERYEDYIDSYTILGYRKMQQLYVKFDSKFVNRFRKWILEKQKLS >KJB76888 pep chromosome:Graimondii2_0_v6:12:25121082:25123547:-1 gene:B456_012G111200 transcript:KJB76888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B12 [Source:Projected from Arabidopsis thaliana (AT3G54120) UniProtKB/Swiss-Prot;Acc:Q9M392] MGSSNRLFNRQRTVHEILGGGFVADVMLWRRGNLTMGILLVTLAAWVVFEKSGYTLLSLVSNVLLLLIGILFLWAKSAAILNRPAPPLPELYLSEETVNEMGAFIRAHVNDILSASKDIALGKDARLFFKVAGYLLLTSLVGGLTDFLTLGYTSLVVVLTVPALYERYEDYIDSYTILGYRKMQQLFECDKHRHKVWCFEQWRCGKKKWSFLVLS >KJB74665 pep chromosome:Graimondii2_0_v6:12:169426:170778:1 gene:B456_012G001400 transcript:KJB74665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVDQLNDILTTRLSSSIRLEVEMQKPSNLIQAMNLARTLRKTTINQVYQLA >KJB76110 pep chromosome:Graimondii2_0_v6:12:11061523:11062785:1 gene:B456_012G073700 transcript:KJB76110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQMDDAEFWLPPKFLMDDDFFLEKEKLQRSNIGENNPKSLVSVHGFPTEFPYEFDSSSALGSPVESVVGSIETESSDEDEFLAGLTRRLPLSITHKLTVPSFSWDKNEKTGALASSPQSTLSGFVSWSASSNGSPNGPSQVPSPPTTPFGAQNDTWDLIYAAAGQVARRKMSNVASKFINFNHGRLLPKTHNHSFMNNSPSGLYPSLSLSYNLAPTNQTHRRQMKASNWKESLQQQQQLQQTQCRARNNAVGGRSSGTCTRPMFLGGSQSVKRESAGTGVFLPRTYPNNTPAPQKKSGTKSLFYPPFF >KJB76109 pep chromosome:Graimondii2_0_v6:12:11061323:11063314:1 gene:B456_012G073700 transcript:KJB76109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQMDDAEFWLPPKFLMDDDFFLEKEKLQRSNIGENNPKSLVSVHGFPTEFPYEFDSSSALGSPVESVVGSIETESSDEDEFLAGLTRRLPLSITHKLTVPSFSWDKNEKTGALASSPQSTLSGFVSWSASSNGSPNGPSQVPSPPTTPFGAQNDTWDLIYAAAGQVARRKMSNVASKFINFNHGRLLPKTHNHSFMNNSPSGLYPSLSLSYNLAPTNQTHRRQMKASNWKESLQQQQQLQQTQCRARNNAVGGRSSGTCTRPMFLGGSQSVKRESAGTGVFLPRTYPNNTPAPQKKSDCSTVLVPAKVVQALNLNFADSSSHVQPHLKASFAPNYDALVARRNILLNQTRRNYRAEGGLNLPQEWSY >KJB76111 pep chromosome:Graimondii2_0_v6:12:11061523:11063314:1 gene:B456_012G073700 transcript:KJB76111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQMDDAEFWLPPKFLMDDDFFLEKEKLQRSNIGENNPKSLVSVHGFPTEFPYEFDSSSALGSPVESVVGSIETESSDEDEFLAGLTRRLPLSITHKLTVPSFSWDKNEKTGALASSPQSTLSGFVSWSASSNGSPNGPSQVPSPPTTPFGAQNDTWDLIYAAAGQVARRKMSNVASKFINFNHGRLLPKTHNHSFMNNSPSGLYPSLSLSYNLAPTNQTHRRQMKASNWKESLQQQQQLQQTQCRARNNAVGGRSSGTCTRPMFLGGSQSVKRESAGTGVFLPRTYPNNTPAPQKKSDCSTVLVPAKVVQALNLNFADSSSHVQPHLKASFAPNYGEPTDHTIFALPKA >KJB77587 pep chromosome:Graimondii2_0_v6:12:31973610:31976154:1 gene:B456_012G145500 transcript:KJB77587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAQIQPAGNREMEEMDSSTVPILENNFKKTHFPGMVRQKSYIFDGHGNYYNKEWDLIEGNGKQFCWYHVELPKGKQKLSQSAQHLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPPSSNFTFRLAARVTENSVITVSLGRVPRLGFSPVGRSLLSEIPSIETPRGERQQGSSIVIREHVLEFLLTMNHSEEADNPVPKSVSNLVVHVIDTHVDHLQDVVTQLEMELDSVELELDQGGFALKKEMLDDRRFPKMHLNLQRLLQVIAHGEQVFPRVKEKGSSKHWFASEDINSLEELIGRLRRLKENVGFLANRVTAIQAGLDSWQSEQINRKLYYLSFLSIIFLPLSTITGVFGMNVGGVPWTVQKDPALKDGFRNVMVLCLAMLILVLLCFIFPALYSRLSAWRQRRSLLRNWSYNRRSFLRRSVGIQESGSGGYLKI >KJB74854 pep chromosome:Graimondii2_0_v6:12:1214834:1217476:-1 gene:B456_012G010900 transcript:KJB74854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHVQQSSMSESLNLNMGIVPQHFHNSKQLSFQFQDQDSSSTQSTGQSYPEAASVGDSNLYRQSSISASSGGNETPGKLVEHHAKLASSMGTQEYVFSPSQVDYSKSIQAHIPLHYAEPYFGGVVTAVCPPQAMIHHPCMLAMLPARVPLPLELTEDETIYVNAKQYGAILRRRQYRAKLEAQNKLIKVRKPYLHESRHLHALKRARGNGGRFLNTKKLQESKPIPTSHGLDASRSPQLHLCANMSNQLENYKDAASTTTCSDVTSASNSDEMLQQPDFRFSGYSSLIAGATRGSGGNLHHLSGCG >KJB74850 pep chromosome:Graimondii2_0_v6:12:1214486:1219059:-1 gene:B456_012G010900 transcript:KJB74850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLHKKDCVLSSHLTCTNVVRCSSWVNSMESHVQQSSMSESLNLNMGIVPQHFHNSKQLSFQFQDQDSSSTQSTGQSYPEAASVGDSNLYRQSSISASSGGNETPGKLVEHHAKLASSMGTQEYVFSPSQVDYSKSIQAHIPLHYAEPYFGGVVTAVCPPQAMIHHPCMLAMLPARVPLPLELTEDETIYVNAKQYGAILRRRQYRAKLEAQNKLIKVRKPYLHESRHLHALKRARGNGGRFLNTKKLQESKPIPTSHGLDASRSPQLHLCANMSNQLENYKDAASTTTCSDVTSASNSDEMLQQPDFRFSGYSSLIAGATRGSGGNLHHLSGCG >KJB74852 pep chromosome:Graimondii2_0_v6:12:1214506:1219059:-1 gene:B456_012G010900 transcript:KJB74852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHVQQSSMSESLNLNMGIVPQHFHNSKQLSFQFQDQDSSSTQSTGQSYPEAASVGDSNLYRQSSISASSGGNETPGKLVEHHAKLASSMGTQEYVFSPSQVDYSKSIAHIPLHYAEPYFGGVVTAVCPPQAMIHHPCMLAMLPARVPLPLELTEDETIYVNAKQYGAILRRRQYRAKLEAQNKLIKVRKPYLHESRHLHALKRARGNGGRFLNTKKLQESKPIPTSHGLDASRSPQLHLCANMSNQLENYKDAASTTTCSDVTSASNSDEMLQQPDFRFSGYSSLIAGATRGSGGNLHHLSGCG >KJB74855 pep chromosome:Graimondii2_0_v6:12:1214506:1219059:-1 gene:B456_012G010900 transcript:KJB74855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHVQQSSMSESLNLNMGIVPQHFHNSKQLSFQFQDQDSSSTQSTGQSYPEAASVGDSNLYRQSSISASSGGNETPGKLVEHHAKLASSMGTQEYVFSPSQVDYSKSIAHIPLHYAEPYFGGVVTAVCPPQAMIHHPCMLAMLPARVPLPLELTEDETIYVNAKQYGAILRRRQYRAKLEAQNKLIKVRKPYLHESRHLHALKRARGNGGRFLNTKKLQESKPIPTSHGLDASRSPQLHLCANMSNQLENYKDAASTTTCSDVTSASNSDEMLQQPDFRFSGYSSLIAGATRGSGGNLHHLSGCG >KJB74853 pep chromosome:Graimondii2_0_v6:12:1214834:1217476:-1 gene:B456_012G010900 transcript:KJB74853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHVQQSSMSESLNLNMGIVPQHFHNSKQLSFQFQDQDSSSTQSTGQSYPEAASVGDSNLYRQSSISASSGGNETPGKLVEHHAKLASSMGTQEYVFSPSQVDYSKSIQAHIPLHYAEPYFGGVVTAVCPPQAMIHHPCMLAMLPARVPLPLELTEDETIYVNAKQYGAILRRRQYRAKLEAQNKLIKVRKPYLHESRHLHALKRARGNGGRFLNTKKLQESKPIPTSHGLDASRSPQLHLCANMSNQLENYKDAASTTTCSDVTSASNSDEMLQQPDFRFSGYSSLIAGATRGSGGNLHHLSGCG >KJB74851 pep chromosome:Graimondii2_0_v6:12:1214834:1217563:-1 gene:B456_012G010900 transcript:KJB74851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLHKKDCVLSSHLTCTNVVRCSSWVNSMESHVQQSSMSESLNLNMGIVPQHFHNSKQLSFQFQDQDSSSTQSTGQSYPEAASVGDSNLYRQSSISASSGGNETPGKLVEHHAKLASSMGTQEYVFSPSQVDYSKSIQAHIPLHYAEPYFGGVVTAVCPPQAMIHHPCMLAMLPARVPLPLELTEDETIYVNAKQYGAILRRRQYRAKLEAQNKLIKVRKPYLHESRHLHALKRARGNGGRFLNTKKLQESKPIPTSHGLDASRSPQLHLCANMSNQLENYKDAASTTTCSDVTSASNSDEMLQQPDFRFSGYSSLIAGATRGSGGNLHHLSGCG >KJB76959 pep chromosome:Graimondii2_0_v6:12:26293433:26302889:1 gene:B456_012G114300 transcript:KJB76959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIDNLLEMWQRDGLSKAEVAKNFSQCILYVTCEPCIMCAAALSFLGIKEVYYGCANEKFGGCGSILSLHSSCSEPFISDKVPQRGFKCTGGLMASEAISLFRSFYEQGNPNAPKPHRPLVQKKVE >KJB76956 pep chromosome:Graimondii2_0_v6:12:26292374:26302899:1 gene:B456_012G114300 transcript:KJB76956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDNLAYMELAIHQAKLASESLEVPVGCVIIKDGEVIASGRNRTTETRNATRHAEMEAIDNLLEMWQRDGLSKAEVAKNFSQCILYVTCEPCIMCAAALSFLGIKEVYYGCANEKFGGCGSILSLHSSCSEPFISDKVPQRGFKCTGGLMASEAISLFRSFYEQGNPNAPKPHRPLVQKKVE >KJB76960 pep chromosome:Graimondii2_0_v6:12:26292112:26302953:1 gene:B456_012G114300 transcript:KJB76960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDNLAYMELAIHQAKLASESLEVPVGCVIIKDGEVIASGRNRTTETRNATRHAEMEAIDNLLEMWQRDGLSKAEVAKNFSQCILYVTCEPCIMCAAALSFLGIKEVYYGCANEKFGGCGSILSLHSSCSEPFISDKVPQRGFKCTGGLMASEAISLFRSFYEQGNPNAPKPHRPLVQKKVE >KJB76957 pep chromosome:Graimondii2_0_v6:12:26293433:26302889:1 gene:B456_012G114300 transcript:KJB76957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDNLAYMELAIHQAKLASESLEVPVGCVIIKDGEVIASGRNRTTETRNATRHAEMEAIDNLLEMWQRDGLSKAEVAKNFSQCILYVTCEPCIMCAAALSFLGIKEVYYGCANEKFGGCGSILSLHSSCSEPFISDKVPQRGFKCTGGLMASEAISLFRSFYEQGNPNAPKPHRPLVQKKVE >KJB76958 pep chromosome:Graimondii2_0_v6:12:26292423:26302889:1 gene:B456_012G114300 transcript:KJB76958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDNLAYMELAIHQAKLASESLEVPVGCVIIKDGEVIASGRNRTTETRNATRHAEMEAIDNLLEMWQRDGLSKAEVAKNFSQCILYVTCEPCIMCAAALSFLGIKEVYYGCANEKFGGCGSILSLHSSCSEPFISDKVPQRGFKCTGGLMASEAISLFRSFYEQGNPNAPKPHRPLVQKKVE >KJB77332 pep chromosome:Graimondii2_0_v6:12:31057571:31060614:-1 gene:B456_012G137200 transcript:KJB77332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLSHVSYSATFTSSASKTTVSGPSLSPHSIKVPTFLPLTVKPLNSSFTPHGARAITIAPHATSTPSSIPSDTSTTTFHGLCYVVGDNIDTDQIIPAEYLTLVPSNPDEYEKLGSYALIGLPSSYATRFIEPNETKTKYSIVIGGANFGCGSSREHAPVALGAAGAKAVVAESYARIFFRNSVATGEVYPLESEVRICEECRTGDVVTIELGESRLINHTTGKEYKLKPIGDAGPVIEAGGIFAYARKTGMIPSQSK >KJB77333 pep chromosome:Graimondii2_0_v6:12:31057593:31060569:-1 gene:B456_012G137200 transcript:KJB77333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLSHVSYSATFTSSASKTTVSGPSLSPHSIKVPTFLPLTVKPLNSSFTPHGARAITIAPHATSTPSSIPSDTSTTTFHGLCYVVGDNIDTDQIIPAEYLTLVPSNPDEYEKLGSYALIGLPSSYATRFIEPNETKTKYSIVIGGANFGCGSSREHAPVALGAAGAKAVVAESYARIFFRNSVATGEVYPLESEVRICEECRTGDVVTIELGESRLINHTTGKEYKLKPIGDAGPVIEAGGIFAYARKTGMIPSQSK >KJB77331 pep chromosome:Graimondii2_0_v6:12:31058531:31060569:-1 gene:B456_012G137200 transcript:KJB77331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLSHVSYSATFTSSASKTTVSGPSLSPHSIKVPTFLPLTVKPLNSSFTPHGARAITIAPHATSTPSSIPSDTSTTTFHGLCYVVGDNIDTDQIIPAEYLTLVPSNPDEYEKLGSYALIGLPSSYATRFIEPNETKTKYSIVIGGANFGCGSSREHAPVALGAAGAKAVVAESYARIFFRNSVATGEVYPLESEVRICEECRTGDVVTIELGESRLINHTTGKEYKLKPIGDAGPVIEAGGIFAYARKTGMIPSQSK >KJB77909 pep chromosome:Graimondii2_0_v6:12:33605982:33606871:-1 gene:B456_012G1653001 transcript:KJB77909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQLKEYVTDNMKQLTGRDDAIEAMVVALKGEIAELKGELTIYKVALGNGGLAAAAPKPNIDVPKPKEFQGTRSARDVDNFLWGIEQYFCAKGITEDVTKVTTAAMYLSDVALLWWRRRSTDVRRGGSEIETWEEFRCEFKAQFYPEYAEDEARARLHRLAQQGTVREYVQEFSELMLQISDMEEKEAFFSFMDGLKPWAKQELQRRGVQELTKAMSVAESLAEFGGRKDNSNSSKPRLKGNSGGDKERPTRNDDGKKSWDKRKSGPIRCFHCEGPHMIKDCPKKAALKAMEAKG >KJB76479 pep chromosome:Graimondii2_0_v6:12:15787082:15789556:-1 gene:B456_012G091400 transcript:KJB76479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLIRRAMNMASRVSSPTVAPASRMVVVRPYASETEAQKVEPKAAASPNMKTFQIYRWNPDNPTKPQLQDYRIDLKECGPMVLDALIKIKNEIDPSLTFRRSCREGICGSCAMNINGCNGLACLTKIESGSSETTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKNPPPTAGKEIPQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTKERLEAINDEFKLYRCHTILNCARACPKGLNPGKQIAHIKHLELTA >KJB77490 pep chromosome:Graimondii2_0_v6:12:31342783:31343968:-1 gene:B456_012G139900 transcript:KJB77490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLESQYAPGVVLNGLLLQWVCLNLMLIERHIGNRGLLIVMEFYVTTGEILALFSGLLGSLDSNEAELRSIIKALQMVIDSRWSAAYRFIIESDSQAAISWCCSSTARLWKYWDLLKLVDCLCT >KJB76356 pep chromosome:Graimondii2_0_v6:12:14144030:14146166:1 gene:B456_012G084100 transcript:KJB76356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVYCDICSNNSFSRHSYFLPEVQIACNFRAFVPKTREQVSFSVNRTTDKHGVYRLEIPSVDGIACAEAAIASSCQASLVGTSSTSCNIPGHRSTTDQIAIKSRHPNLCIYSLTALSFRPSKRNVALCGK >KJB76361 pep chromosome:Graimondii2_0_v6:12:14145030:14145686:1 gene:B456_012G084100 transcript:KJB76361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKFVSHLPKFAAMNLMILFLFSSLFIKAFSLKPEPAKNNAQITVMGLVYCDICSNNSFSRHSYFLPGAEVQIACNFRAFVPKTREQVSFSVNRTTDKHGVYRLEIPSVDGIACAEAAIASSCQASLVGTSSTSCNIPGHRSTTDQIAIKSRHPNLCIYSLTALSFRPSKRNVALCGK >KJB76360 pep chromosome:Graimondii2_0_v6:12:14144194:14146166:1 gene:B456_012G084100 transcript:KJB76360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLKPEPAKNNAQITVMGLVYCDICSNNSFSRHSYFLPGAEVQIACNFRAFVPKTREQVSFSVNRTTDKHGVYRLEIPSVDGIACAEAAIASSCQASLVGTSSTSCNIPGHRSTTDQIAIKSRHPNLCIYSLTALSFRPSKRNVALCGK >KJB76357 pep chromosome:Graimondii2_0_v6:12:14144030:14146166:1 gene:B456_012G084100 transcript:KJB76357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVYCDICSNNSFSRHSYFLPGAEVQIACNFRAFVPKTREQVSFSVNRTTDKHGVYRLEIPSVDGIACAEAAIASSCQASLVGTSSTSCNIPGHRSTTDQIAIKSRHPNLCIYSLTALSFRPSKRNVALCGK >KJB76354 pep chromosome:Graimondii2_0_v6:12:14143794:14146166:1 gene:B456_012G084100 transcript:KJB76354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVYCDICSNNSFSRHSYFLPGAEVQIACNFRAFVPKTREQVSFSVNRTTDKHGVYRLEIPSVDGIACAEAAIASSCQASLVGTSSTSCNIPGHRSTTDQIAIKSRHPNLCIYSLTALSFRPSKRNVALCGK >KJB76358 pep chromosome:Graimondii2_0_v6:12:14144138:14146166:1 gene:B456_012G084100 transcript:KJB76358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVYCDICSNNSFSRHSYFLPGAEVQIACNFRAFVPKTREQVSFSVNRTTDKHGVYRLEIPSVDGIACAEAAIASSCQASLVGTSSTSCNIPGHRSTTDQIAIKSRHPNLCIYSLTALSFRPSKRNVALCGK >KJB76359 pep chromosome:Graimondii2_0_v6:12:14144480:14146753:1 gene:B456_012G084100 transcript:KJB76359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKFVSHLPKFAAMNLMILFLFSSLFIKAFSLKPEPAKNNAQITVMGLVYCDICSNNSFSRHSYFLPGAEVQIACNFRAFVPKTREQVSFSVNRTTDKHGVYRLEIPSVDGIACAEAAIASSCQASLVGTSSTSCNIPGHRSTTDQIAIKSRHPNLCIYSLTALSFRPSKRNVALCGK >KJB76355 pep chromosome:Graimondii2_0_v6:12:14143852:14146166:1 gene:B456_012G084100 transcript:KJB76355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKFVSHLPKFAAMNLMILFLFSSLFIKAFSLKPEPAKNNAQITVMGLVYCDICSNNSFSRHSYFLPEVQIACNFRAFVPKTREQVSFSVNRTTDKHGVYRLEIPSVDGIACAEAAIASSCQASLVGTSSTSCNIPGHRSTTDQIAIKSRHPNLCIYSLTALSFRPSKRNVALCGK >KJB77336 pep chromosome:Graimondii2_0_v6:12:30133255:30135535:1 gene:B456_012G132100 transcript:KJB77336 gene_biotype:protein_coding transcript_biotype:protein_coding description:THF1 [Source:Projected from Arabidopsis thaliana (AT2G20890) UniProtKB/TrEMBL;Acc:A0A178VVV5] MAAVSSLSFPAIGQTSGDRKLNVPSARYLASNFEGFRFRTSLLYQSVGLRASTTASPSVFYCMSTATDTPTVSETKSSFLKAYKRPIPSVYNTVLQELIVQQHLMRYKKTYRYDAVFALGFVTVYDQLMEGYPSDEDRDAIFQAYINALKEDPQQYRADAQKLEEWARAQTSSSLVEFSSRDGEVEAILKDIAERAGSKGSFSYSRFFAIGLFRLLELANATEPTVLEKLCAALNIDKRSVDRDLDVYRNLLSKLVQAKELLKEYVDREKKKREERSESPKANEAVKKCLGEYQYLSQ >KJB77495 pep chromosome:Graimondii2_0_v6:12:33837715:33840004:-1 gene:B456_012G168200 transcript:KJB77495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSPETSGRLLLLLNSIGEVTETSSSKWAKKKSSYNVGSLVPSEVTEIMPLELRLKFGIGFCGRVHITEDLSIKPAMLAEAQKRSFQGLGAAPNSKCCCGDCERTLLGM >KJB77497 pep chromosome:Graimondii2_0_v6:12:33837839:33839810:-1 gene:B456_012G168200 transcript:KJB77497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSPETSGRLLLLLNSIGEVTETSSSKWAKKKSSYNVGSLVPSEVTEIMPLELRLKFGIGFCGRVHITEDLSIKPAMLAEAQKRSFQGLGAAPNSKCCCGDCERTLLGM >KJB77494 pep chromosome:Graimondii2_0_v6:12:33837967:33839318:-1 gene:B456_012G168200 transcript:KJB77494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGLLQLLWLFQVLKRQEGCFCSLIRLVTEIMPLELRLKFGIGFCGRVHITEDLSIKPAMLAEAQKRSFQGLGAAPNSKCCCGDCERTLLGM >KJB77498 pep chromosome:Graimondii2_0_v6:12:33837839:33839623:-1 gene:B456_012G168200 transcript:KJB77498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSPETSGRLLLLLNSIGEVTETSSSKWAKKKSSYNVGSLVPSEVTEIMPLELRLKFGIGFCGRVHITEDLSIKPAMLAEAQKRSFQGLGAAPNSKCCCGDCERTLLGM >KJB77496 pep chromosome:Graimondii2_0_v6:12:33837715:33840004:-1 gene:B456_012G168200 transcript:KJB77496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGLLQLLWLFQVLKRQEGCFCSLIRLVTEIMPLELRLKFGIGFCGRVHITEDLSIKPAMLAEAQKRSFQGLGAAPNSKCCCGDCERTLLGM >KJB76157 pep chromosome:Graimondii2_0_v6:12:11722390:11725544:1 gene:B456_012G075900 transcript:KJB76157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 64 protein C4 [Source:Projected from Arabidopsis thaliana (AT3G55830) UniProtKB/Swiss-Prot;Acc:Q9LY62] MRGSLPISRRSVFKLRQVGIGTLGSAKIKILLCGCVVLSLLAIANRNRDSSSFMGWTGDFDRSSSPRGRYSIVINTWKRYDLLKKSISHYTSCPRLDSIHIVWSEPDPPSDSLKRYLNHVMRSNSRKDQQVELVFDINKEDSLNNRFKEIKDLKTDAVFSIDDDVIFPCTSVEFAFTVWQSASDTMVGYVPRMHWVDQKERINTNMADGGRFGGLVHTAWYSQRLPSSTRSISNFTLMRCLHHLKNT >KJB76156 pep chromosome:Graimondii2_0_v6:12:11722315:11725544:1 gene:B456_012G075900 transcript:KJB76156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 64 protein C4 [Source:Projected from Arabidopsis thaliana (AT3G55830) UniProtKB/Swiss-Prot;Acc:Q9LY62] MRGSLPISRRSVFKLRQVGIGTLGSAKIKILLCGCVVLSLLAIANRNRDSSSFMGWTGDFDRSSSPRGRYSIVINTWKRYDLLKKSISHYTSCPRLDSIHIVWSEPDPPSDSLKRYLNHVMRSNSRKDQQVELVFDINKEDSLNNRFKEIKDLKTDAVFSIDDDVIFPCTSVEFAFTVWQSASDTMVGYVPRMHWVDQKKGENKYKYGGWWSVWWTGTYSMVLSKAAFFHKKYLKLYTDEMPASLKEYITKNRNCEDIAMSFLVANATDAPPIWVKGKIFEIGSTGISSLGGHSERRTECVNKFVDEFGRMPLVPTVMKAVDSRYSWFW >KJB75678 pep chromosome:Graimondii2_0_v6:12:6680531:6686056:-1 gene:B456_012G051600 transcript:KJB75678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSALLTSVGINLGLCFLFFALYSILRNQPGNIPVYSPRLFSERKAQEEDEFNLERLLPSPGWLKRAWQPSEDELLSITGLDAVVFMRIFIFCVRVFAFACVVGIFVLLPVNFSGDQLTIDFSNLPNKTLESFSISNVNDGSNRLWIHFCAAYVFTVFVCCLLYYEYNYISLKRIDHFYSSKPRSDQFTILVSGIPASAGSSFSQAVDNFFTEYHPSTYLSHTVVRRTSKIQSLVNDAEKLYRTLGRLKSTNSRQRHKREGFLGLFGRKVDLVDHYERKLEDIEDNVRLEQSSLGGEEIPAAFVSFKSRFGAAIALHIRQGINPTEWVTERAPEPKDIYWPFFSASFVKRWMFKIVVVVAYIAVTILFLIPVVVVQGLTHLEQLETWLPFLKGVLSLTFVSNLITGYLPSLILQLFLSIVPPIMILFSSMQGSISHSQIEKNACTKLLWFTVWNIFFANVLSGSALSMVNIFLEPKKIPGLLGEVVPAQASFFIAYVVTSGWTSTTSELLRLFPLLYSFVQRLFMGKDDDKDDFEIPSIPYHSEIPRILFFKLLGVTYFFLAPLILPFLLVYCCLGYIIYRNQFLNVYAPKFETGGKFWPIVHNSTIFSLVLMHVIAIGIFGLKKLPLASSLTIPLPILTLVFNAYCRKRFLPIFKAYPTECLIKKDRKDQDDPTMTEFLEKLATAYQDPAMTAARYSRNDNGRSSPLLLGVEV >KJB75679 pep chromosome:Graimondii2_0_v6:12:6680600:6686030:-1 gene:B456_012G051600 transcript:KJB75679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSALLTSVGINLGLCFLFFALYSILRNQPGNIPVYSPRLFSERKAQEEDEFNLERLLPSPGWLKRAWQPSEDELLSITGLDAVVFMRIFIFCVRVFAFACVVGIFVLLPVNFSGDQLTIDFSNLPNKTLESFSISNVNDGSNRLWIHFCAAYVFTVFVCCLLYYEYNYISLKRIDHFYSSKPRSDQFTILVSGIPASAGSSFSQAVDNFFTEYHPSTYLSHTVVRRTSKIQSLVNDAEKLYRTLGRLKSTNSRQRHKREGFLGLFGRKVDLVDHYERKLEDIEDNVRLEQSSLGGEEIPAAFVSFKSRFGAAIALHIRQGINPTEWVTERAPEPKDIYWPFFSASFVKRWMFKIVVVVAYIAVTILFLIPVVVVQGLTHLEQLETWLPFLKGVLSLTFVSNLITGYLPSLILQLFLSIVPPIMILFSSMQGSISHSQIEKNACTKLLWFTVWNIFFANVLSGSALSMVNIFLEPKKIPGLLGEVVPAQASFFIAYVVTSGWTSTTSELLRLFPLLYSFVQRLFMGKDDDKDDFEIPSIPYHSEIPRILFFKLLGVTYFFLAPLILPFLLVYCCLGYIIYRNQFLNVYAPKFETGGKFWPIVHNSTIFSLVLMHVIAIGIFGLKKLPLASSLTIPLPILTLVFNAYCRKRFLPIFKAYPTECLIKKDRKDQDDPTMTEFLEKLATAYQDPAMTAARYSRNDNGRSSPLLLGVEV >KJB75680 pep chromosome:Graimondii2_0_v6:12:6680783:6685346:-1 gene:B456_012G051600 transcript:KJB75680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSALLTSVGINLGLCFLFFALYSILRNQPGNIPVYSPRLFSERKAQEEDEFNLERLLPSPGWLKRAWQPSEDELLSITGLDAVVFMRIFIFCVRVFAFACVVGIFVLLPVNFSGDQLTIDFSNLPNKTLESFSISNVNDGSNRLWIHFCAAYVFTVFVCCLLYYEYNYISLKRIDHFYSSKPRSDQFTILVSGIPASAGSSFSQAVDNFFTEYHPSTYLSHTVVRRTSKIQSLVNDAEKLYRTLGRLKSTNSRQRHKREGFLGLFGRKVDLVDHYERKLEDIEDNVRLEQSSLGGEEIPAAFVSFKSRFGAAIALHIRQGINPTEWVTERAPEPKDIYWPFFSASFVKRWMFKIVVVVAYIAVTILFLIPVVVVQGLTHLEQLETWLPFLKGVLSLTFVSNLITGYLPSLILQLFLSIVPPIMILFSSMQGSISHSQIEKNACTKLLWFTVWNIFFANVLSGSALSMVNIFLEPKKIPGLLGEVVPAQASFFIAYVVTSGWTSTTSELLRLFPLLYSFVQRLFMGKDDDKDDFEIPSIPYHSEIPRILFFKLLGVTYFFLAPLILPFLLVYCCLGYIIYRNQFLNVYAPKFETGGKFWPIVHNSTIFSLVLMHVIAIGIFGLKKLPLASSLTIPLPILTLVFNAYCRKRFLPIFKAYPTECLIKKDRKDQDDPTMTEFLEKLATAYQDPAMTAARYSRNDNGRSSPLLLGVEV >KJB75683 pep chromosome:Graimondii2_0_v6:12:6680630:6685935:-1 gene:B456_012G051600 transcript:KJB75683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIFIFCVRVFAFACVVGIFVLLPVNFSGDQLTIDFSNLPNKTLESFSISNVNDGSNRLWIHFCAAYVFTVFVCCLLYYEYNYISLKRIDHFYSSKPRSDQFTILVSGIPASAGSSFSQAVDNFFTEYHPSTYLSHTVVRRTSKIQSLVNDAEKLYRTLGRLKSTNSRQRHKREGFLGLFGRKVDLVDHYERKLEDIEDNVRLEQSSLGGEEIPAAFVSFKSRFGAAIALHIRQGINPTEWVTERAPEPKDIYWPFFSASFVKRWMFKIVVVVAYIAVTILFLIPVVVVQGLTHLEQLETWLPFLKGVLSLTFVSNLITGYLPSLILQLFLSIVPPIMILFSSMQGSISHSQIEKNACTKLLWFTVWNIFFANVLSGSALSMVNIFLEPKKIPGLLGEVVPAQASFFIAYVVTSGWTSTTSELLRLFPLLYSFVQRLFMGKDDDKDDFEIPSIPYHSEIPRILFFKLLGVTYFFLAPLILPFLLVYCCLGYIIYRNQFLNVYAPKFETGGKFWPIVHNSTIFSLVLMHVIAIGIFGLKKLPLASSLTIPLPILTLVFNAYCRKRFLPIFKAYPTECLIKKDRKDQDDPTMTEFLEKLATAYQDPAMTAARYSRNDNGRSSPLLLGVEV >KJB75682 pep chromosome:Graimondii2_0_v6:12:6680630:6685748:-1 gene:B456_012G051600 transcript:KJB75682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSALLTSVGINLGLCFLFFALYSILRNQPGNIPVYSPRLFSERKAQEEDEFNLERLLPSPGWLKRAWQPSEDELLSITGLDAVVFMRIFIFCVRVFAFACVVGIFVLLPVNFSGDQLTIDFSNLPNKTLESFSISNVNDGSNRLWIHFCAAYVFTVFVCCLLYYEYNYISLKRIDHFYSSKPRSDQFTILVSGIPASAGSSFSQAVDNFFTEYHPSTYLSHTVVRRTSKIQSLVNDAEKLYRTLGRLKSTNSRQRHKREGFLGLFGRKVDLVDHYERKLEDIEDNVRLEQSSLGGEEIPAAFVSFKSRFGAAIALHIRQGINPTEWVTERAPEPKDIYWPFFSASFVKRWMFKIVVVVAYIAVTILFLIPVVVVQGLTHLEQLETWLPFLKGVLSLTFVSNLITGYLPSLILQLFLSIVPPIMILFSSMQGSISHSQIEKNACTKLLWFTVWNIFFANVLSGSALSMVNIFLEPKKIPGLLGEVVPAQASFFIAYVVTSGWTSTTSELLRLFPLLYSFVQRLFMGKDDDKDDFEIPSIPYHSEIPRILFFKLLGVTYFFLAPLILPFLLVYCCLGYIIYRNQFLNVYAPKFETGGKFWPIVHNSTIFSLVLMHVIAIGIFGLKKLPLASSLTIPLPILTLVFNAYCRKRFLPIFKAYPTECLIKKDRKDQDDPTMTEFLEKLATAYQDPAMTAARYSRNDNGRSSPLLLGVEV >KJB75681 pep chromosome:Graimondii2_0_v6:12:6680630:6685877:-1 gene:B456_012G051600 transcript:KJB75681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSALLTSVGINLGLCFLFFALYSILRNQPGNIPVYSPRLFSERKAQEEDEFNLERLLPSPGWLKRAWQPSEDELLSITGLDAVVFMRIFIFCVRVFAFACVVGIFVLLPVNFSGDQLTIDFSNLPNKTLESFSISNVNDGSNRLWIHFCAAYVFTVFVCCLLYYEYNYISLKRIDHFYSSKPRSDQFTILVSGIPASAGSSFSQAVDNFFTEYHPSTYLSHTVVRRTSKIQSLVNDAEKLYRTLGRLKSTNSRQRHKREGFLGLFGRKVDLVDHYERKLEDIEDNVRLEQSSLGGEEIPAAFVSFKSRFGAAIALHIRQGINPTEWVTERAPEPKDIYWPFFSASFVKRWMFKIVVVVAYIAVTILFLIPVVVVQGLTHLEQLETWLPFLKGVLSLTFVSNLITGYLPSLILQLFLSIVPPIMILFSSMQGSISHSQIEKNACTKLLWFTVWNIFFANVLSGSALSMVNIFLEPKKIPGLLGEVVPAQASFFIAYVVTSGWTSTTSELLRLFPLLYSFVQRLFMGKDDDKDDFEIPSIPYHSEIPRILFFKLLGVTYFFLAPLILPFLLVYCCLGYIIYRNQFLNVYAPKFETGGKFWPIVHNSTIFSLVLMHVIAIGIFGLKKLPLASSLTIPLPILTLVFNAYCRKRFLPIFKAYPTECLIKKDRKDQDDPTMTEFLEKLATAYQDPAMTAARYSRNDNGRSSPLLLGVEV >KJB75874 pep chromosome:Graimondii2_0_v6:12:8826753:8830278:-1 gene:B456_012G062400 transcript:KJB75874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGENMEAFEKPTETLTGDKSLDQDANEGSKAGGLTVERSWENGFRVSINGKGGSCVDEDGEGLEDSELNGVSSLLQMKGSVRNIDVNGGRSDSGEGFGTLLGAVDESKEIGAENVLPNDDDEMVELDEKDNGGKMVTNEIDDDDGDGGGVGGEFSSGYFVWGKIKSHPWWPGQVYNPTDASDYAVKMRQKGRLLVAYFGDSSFAWCLPSQLRPFEENFEDMSKLSSSKNFVNAVRTSVDEIGRLVESKMTCSCVPKENCIGLDRPLAANAGIKEGVLVPEGGIGKVSVGLFEPKEVLGKLKQISQAVSTCNLLECAVLKGWLSAFNRSIGRIGMPVYYEPLSILDVEENVRTLVVDMSDYSEAVGIPITGPVEEDWISSSSCPKSGQGSRTLLRSLDISEDAMYHRRKQKSIAEILKGDLDVQAHKVSKSSKPASSSRRKKTKGNDKVNGDGGSDSSFVPRKGKGNELSGLNAEVDFIGANEGMDKVYSSRGRKTKIKQASDNDGDNRGKEDTDNQPVSTKRKLNVGSGIRRIDAETKDLFESGSFTRERKKSKYLSPPYTSSTGKLRKADIEDESVEVSSDTRFGETMSKATDNLVTGKGNEVPEEVHAEQEALNESNFLTPKRYPNQMNDLAKVEIPANEVLVEVRSMALSPQYQRKNSSFEFVVEFLSVFRSSVYRDGSDYKMYNQFEHQKKRKSPDFSTVSSGSNRSMAGHVPSGHKSHKKKVGKNEETKMGESKPRQATRASLKKTEKPKAYTPKRKQTAIAAAGNDLPAALFVTFGPGSSLPTKDDLIRIYSRYGALDMEDTDMFFSNFCARVVFLRTSDAEQAFSSSQNDSPFGSANVSFRLRLHQAASAHNKTEIPSAKKPSLAKERSTKSLAPGNLELNYIKQKLETLTSMLETSEETMSSEAKSKIQSEIKGLLEMVNTMVESSSS >KJB77464 pep chromosome:Graimondii2_0_v6:12:31224912:31225888:-1 gene:B456_012G138400 transcript:KJB77464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPQGRMSHHFRELRVGDYLAVKGPKGRFRYQPGEVRAFGMIAGGSGITPMLQGRRLLTRATIGLIIAGGAYVSTVDEATFCAIINHLRT >KJB77463 pep chromosome:Graimondii2_0_v6:12:31224912:31225888:-1 gene:B456_012G138400 transcript:KJB77463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPQGRMSHHFRELRVGDYLAVKGPKGRFRYQPGEVRAFGMIAGGSGITPMLQGRRLLTRATIGLIIAGGAYVSTVDEATFCAIINHLRT >KJB77465 pep chromosome:Graimondii2_0_v6:12:31223911:31226574:-1 gene:B456_012G138400 transcript:KJB77465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPQGRMSHHFRELRVGDYLAVKGPKGRFRYQPGEVRAFGMIAGGSGITPMLQGRRLLTRATIGLIIAGGAYVSTVDEATFWRSLLTTMLP >KJB77682 pep chromosome:Graimondii2_0_v6:12:32491646:32494476:1 gene:B456_012G151000 transcript:KJB77682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPVGPSLPLNNSSCIFYKLIVASILQDKKLRIPNKFVKKFGDELSSVATLTVPGGRQWLVELREEDKRIWLDNGWNTFVEYYSICIGYFVVFKYEGNSHFGVHVYNLKSSEINYLSNNSREPGDFAEITGSSSYFLVDKDVDESLDHEKKKYKISTGLHQENELRDLRATFQSTLDKGIQFNGVELMSIGDEGGPCFSNETLRYTIKQEVEPSMDEQEPFRKFKVKEELPTLDSPRVLRRRRDVTTEEKQGAFHAASMFKPDNPFCRIILRPSYVYKGVLLHLPRCFARRYLNGVDGVIMLQIPEGKKWPVQCVYCNDNLKFSKGWAEFVLDNNLDEGDVCIFELINTKEIVLKVTIFRALRD >KJB77683 pep chromosome:Graimondii2_0_v6:12:32491759:32494338:1 gene:B456_012G151000 transcript:KJB77683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KYQTIKEYIKILRIWSPEFLFFIFVSDDQRKATMSQPVGPSLPLNNSSCIFYKLIVASILQDKKLRIPNKFVKKFGDELSSVATLTVPGGRQWLVELREEDKRIWLDNGWNTFVEYYSICIGYFVVFKYEGNSHFGVHVYNLKSSEINYLSNNSREPGDFAEITGSSSYFLVDKDVDESLDHEKKKYKISTGLHQENELRDLRATFQSTLDKGIQFNGVELMSIGDEGGPCFSNETLRYTIKQEVEPSMDEQEPFRKFKVKEELPTLDSPRVLRRRRDVTTEEKQGAFHAASMFKPDNPFCRIILRPSYVYKGVLLVSYVTQTRPF >KJB75370 pep chromosome:Graimondii2_0_v6:12:4817030:4823697:-1 gene:B456_012G038700 transcript:KJB75370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEQSLDETSNSISNSGSERTPLLNKEASKQTNGQQAAESPLSDLEQGNAEEAVNVGFWRVFALAKPDAGKIFVATFALLIASTTNLLIPKYGGMIIDIVSRDIRTPEQQSEALEAVKNTVTYIVLIVVIGSVSTALRSWLFSSASERVVARLRRDLFSHLIHQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLATASIGVAFMFSSSWKLTLLSLAIVPVISVAIRRLGRYLKELSHKTQAAAAVASSIAEESFGAIRTVRSFAQEGFAISNYTEKVDETLQLGLKQAKLVGLFFGGVNAAFTFSVMAVVFYGAYLTITGFMTVGSLTSFILYSLTVGSAVSSLSGLYSTAMKAAGASRRVFQLLDRVSSMPKSGDKCPEGNPDGDVELKDVWFAYPSRPSDMILKGITLKLKPGSKVALVGPSGGGKTTIANLIERFYDPLKGEILLNGVPLVEISHEYLHKKVSIVSQEPVLFNCSIEENIAYGFSGKSSSSEIESVAKMANAHEFIDKFPEKYKTVVGERGLRLSGGQKQRIAIARALLMNPKVLLLDEATSALDAESEYLVQDAMDSLMRGRTVLVIAHRLSTVQSADTVAVISNGEIAESGTHEDLLCKDGIYTALVRRQLQGPTTKI >KJB75371 pep chromosome:Graimondii2_0_v6:12:4816893:4823721:-1 gene:B456_012G038700 transcript:KJB75371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEQSLDETSNSISNSGSERTPLLNKEASKQTNGQQAAESPLSDLEQGNAEEAVNVGFWRVFALAKPDAGKIFVATFALLIASTTNLLIPKYGGMIIDIVSRDIRTPEQQSEALEAVKNTVTYIVLIVVIGSVSTALRSWLFSSASERVVARLRRDLFSHLIHQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLATASIGVAFMFSSSWKLTLLSLAIVPVISVAIRRLGRYLKELSHKTQAAAAVASSIAEESFGAIRTVRSFAQEGFAISNYTEKVDETLQLGLKQAKLVGLFFGGVNAAFTFSVMAVVFYGAYLTITGFMTVGSLTSFILYSLTVGSAVSSLSGLYSTAMKAAGASRRVFQLLDRVSSMPKSGDKCPEGNPDGDVELKDVWFAYPSRPSDMILKGITLKLKPGSKVALVGPSGGGKTTIANLIERFYDPLKGEILLNGVPLVEISHEYLHKKVSIVSQEPVLFNCSIEENIAYGFSGKSSSSEIESVAKMANAHEFIDKFPEKYKTVVGERGLRLSGGQKQRIAIARALLMNPKVLLLDEATSALDAESEYLVQDAMDSLMRGRTVLVIAHRLSTVQSADTVAVISNGEIAESGTHEDLLCKDGIYTALVRRQLQGPTTKI >KJB75604 pep chromosome:Graimondii2_0_v6:12:6069765:6077138:1 gene:B456_012G048000 transcript:KJB75604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVALLDSNSPSGMKKNKKGKNKSAKSSTKKEMETDKKVQKRNSAQENGEPVPSKRPKRAAACTDFKEKAVCISEKDSVVETKKDMVVEDEILAVALTCEKDDGRPNRRLTDFVLHNSEGLPQPFEMLEVIDMFITGLILPLEENTDKEKVKEKSVRCEGFGHVESWDISGYEDGCPVIWLSTDVADYTCCKPSGSYKKYYEHFFEKARACVEVYKKLSKPSGGNPDLSLDELLAGVVRSMSGSKSFSRGASIRDFVISQGEFIYNQLIGLDATSRVNDQVFAGLPVLTALKDESRKPLFSGGALTIGKKGEGESKLDETYSSTFTAEEDEDAKLAKLLQEEEYWKSMKQKRNQGSASMSNKFYIKINEDEIANDYPLPAYYKNSNEETDELVVFESDFDVCDPEELPRSVLHNWSFYNSDSRLISLELLPMKPCADIDVTIFGSGVMTADDGIGFCLDNDLSQSTSGSSSVLNADGIPIFLSAIKEWMIEFGSSMIFISIRTDMAWYRLGKPSKQYLPWYEPVLKTARVAISIITLLKEQTRVSRLSFNDVIKRVSEFKKDNRAFISSDPAAVERYIVVHGQIILQLFAEFPDEKIKKCAFVVGLANKMEERHHTKWLVKKKKVVHKNEPNLNPRAAMMPIASIRKAMQATTTRLINRIWGEYYSNYSPEDSKEETNCEVKDEDENEEQEENEDDDGDANAEAEAEAEAEAEAEADANANAEDKPIPMETQKSPSVSKQPRQCSTEEVSWDGEPVSQTSSDKPLYKRAIVHGEVVVVGSAVSVEVDLYELPAIYFVEYMFETSKGRKMFHGRMMQRGSETVLGNAANEREVFLTNNCGDFELEDVKQTVVVDIRLRPWGYQHRKDNANMDKLDRAEAEERKRKGLPMEYYCKSLYWPERGAFYTLPIDSLGLGSGCCHSCQVKDAGRAKEVFKVNSSNTGFVYKGTEYSLHDYVYVSPHQFTVERAETENFKAGRNIGLKPYVVCQVLEIIIPKGQKKVCEESTQVKVRRFFRPEDISAEKAYSSDIREVYYSEETDILFVEAIEGKCEVRKKNDLPACNSPVIFHHVFFCDRIYDPSNGSLKQLPAQVKLRYSTGIVDNEIAYQKKKGKGKQEESELEVEKQQEAYQENRLATLDIFAGCGGLSEGLHQSGVSLTKWAIEYEEPAGDAFKLNHPESLVFIKNCNVILRAIMEKCGDVDDCISTSEAAELAASLDEKEINDLPLPGQVDFINGGPPCQGFSGMNRFNHSTWSKVQCEMILAFLSFADYFRPRYFLLENVRNFVSFNKGQTFKLTLASLLEMGYQVRFGILEAGAYGVSQSRKRAFIWAASPEETLPEWPEPMHVFAVPELKVTLSHNLQYAAVRSTASGAPFRAITVRDTIGDLPAVGNGASKTNLEYQSEAVSWFQKKIRGNMAVLTDHISKEMNELNLIRCQKIPKRPGADWHDLPDEKVKLSTGQLVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDIYKFAGNIQHKHRQIGNAVPPPLAFALGRKLKEALDSKK >KJB75603 pep chromosome:Graimondii2_0_v6:12:6069727:6077197:1 gene:B456_012G048000 transcript:KJB75603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVALLDSNSPSGMKKNKKGKNKSAKSSTKKEMETDKKVQKRNSAQENGEPVPSKRPKRAAACTDFKEKAVCISEKDSVVETKKDMVVEDEILAVALTCEKDDGRPNRRLTDFVLHNSEGLPQPFEMLEVIDMFITGLILPLEENTDKEKVKEKSVRCEGFGHVESWDISGYEDGCPVIWLSTDVADYTCCKPSGSYKKYYEHFFEKARACVEVYKKLSKPSGGNPDLSLDELLAGVVRSMSGSKSFSRGASIRDFVISQGEFIYNQLIGLDATSRVNDQVFAGLPVLTALKDESRKRENIGLDIALFSGGALTIGKKGEGESKLDETYSSTFTAEEDEDAKLAKLLQEEEYWKSMKQKRNQGSASMSNKFYIKINEDEIANDYPLPAYYKNSNEETDELVVFESDFDVCDPEELPRSVLHNWSFYNSDSRLISLELLPMKPCADIDVTIFGSGVMTADDGIGFCLDNDLSQSTSGSSSVLNADGIPIFLSAIKEWMIEFGSSMIFISIRTDMAWYRLGKPSKQYLPWYEPVLKTARVAISIITLLKEQTRVSRLSFNDVIKRVSEFKKDNRAFISSDPAAVERYIVVHGQIILQLFAEFPDEKIKKCAFVVGLANKMEERHHTKWLVKKKKVVHKNEPNLNPRAAMMPIASIRKAMQATTTRLINRIWGEYYSNYSPEDSKEETNCEVKDEDENEEQEENEDDDGDANAEAEAEAEAEAEAEADANANAEDKPIPMETQKSPSVSKQPRQCSTEEVSWDGEPVSQTSSDKPLYKRAIVHGEVVVVGSAVSVEVDLYELPAIYFVEYMFETSKGRKMFHGRMMQRGSETVLGNAANEREVFLTNNCGDFELEDVKQTVVVDIRLRPWGYQHRKDNANMDKLDRAEAEERKRKGLPMEYYCKSLYWPERGAFYTLPIDSLGLGSGCCHSCQVKDAGRAKEVFKVNSSNTGFVYKGTEYSLHDYVYVSPHQFTVERAETENFKAGRNIGLKPYVVCQVLEIIIPKGQKKVCEESTQVKVRRFFRPEDISAEKAYSSDIREVYYSEETDILFVEAIEGKCEVRKKNDLPACNSPVIFHHVFFCDRIYDPSNGSLKQLPAQVKLRYSTGIVDNEIAYQKKKGKGKQEESELEVEKQQEAYQENRLATLDIFAGCGGLSEGLHQSGVSLTKWAIEYEEPAGDAFKLNHPESLVFIKNCNVILRAIMEKCGDVDDCISTSEAAELAASLDEKEINDLPLPGQVDFINGGPPCQGFSGMNRFNHSTWSKVQCEMILAFLSFADYFRPRYFLLENVRNFVSFNKGQTFKLTLASLLEMGYQVRFGILEAGAYGVSQSRKRAFIWAASPEETLPEWPEPMHVFAVPELKVTLSHNLQYAAVRSTASGAPFRAITVRDTIGDLPAVGNGASKTNLEYQSEAVSWFQKKIRGNMAVLTDHISKEMNELNLIRCQKIPKRPGADWHDLPDEKVKLSTGQLVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDIYKFAGNIQHKHRQIGNAVPPPLAFALGRKLKEALDSKK >KJB75605 pep chromosome:Graimondii2_0_v6:12:6071072:6077138:1 gene:B456_012G048000 transcript:KJB75605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNKKGKNKSAKSSTKKEMETDKKVQKRNSAQENGEPVPSKRPKRAAACTDFKEKAVCISEKDSVVETKKDMVVEDEILAVALTCEKDDGRPNRRLTDFVLHNSEGLPQPFEMLEVIDMFITGLILPLEENTDKEKVKEKSVRCEGFGHVESWDISGYEDGCPVIWLSTDVADYTCCKPSGSYKKYYEHFFEKARACVEVYKKLSKPSGGNPDLSLDELLAGVVRSMSGSKSFSRGASIRDFVISQGEFIYNQLIGLDATSRVNDQVFAGLPVLTALKDESRKRENIGLDIALFSGGALTIGKKGEGESKLDETYSSTFTAEEDEDAKLAKLLQEEEYWKSMKQKRNQGSASMSNKFYIKINEDEIANDYPLPAYYKNSNEETDELVVFESDFDVCDPEELPRSVLHNWSFYNSDSRLISLELLPMKPCADIDVTIFGSGVMTADDGIGFCLDNDLSQSTSGSSSVLNADGIPIFLSAIKEWMIEFGSSMIFISIRTDMAWYRLGKPSKQYLPWYEPVLKTARVAISIITLLKEQTRVSRLSFNDVIKRVSEFKKDNRAFISSDPAAVERYIVVHGQIILQLFAEFPDEKIKKCAFVVGLANKMEERHHTKWLVKKKKVVHKNEPNLNPRAAMMPIASIRKAMQATTTRLINRIWGEYYSNYSPEDSKEETNCEVKDEDENEEQEENEDDDGDANAEAEAEAEAEAEAEADANANAEDKPIPMETQKSPSVSKQPRQCSTEEVSWDGEPVSQTSSDKPLYKRAIVHGEVVVVGSAVSVEVDLYELPAIYFVEYMFETSKGRKMFHGRMMQRGSETVLGNAANEREVFLTNNCGDFELEDVKQTVVVDIRLRPWGYQHRKDNANMDKLDRAEAEERKRKGLPMEYYCKSLYWPERGAFYTLPIDSLGLGSGCCHSCQVKDAGRAKEVFKVNSSNTGFVYKGTEYSLHDYVYVSPHQFTVERAETENFKAGRNIGLKPYVVCQVLEIIIPKGQKKVCEESTQVKVRRFFRPEDISAEKAYSSDIREVYYSEETDILFVEAIEGKCEVRKKNDLPACNSPVIFHHVFFCDRIYDPSNGSLKQLPAQVKLRYSTGIVDNEIAYQKKKGKGKQEESELEVEKQQEAYQENRLATLDIFAGCGGLSEGLHQSGVSLTKWAIEYEEPAGDAFKLNHPESLVFIKNCNVILRAIMEKCGDVDDCISTSEAAELAASLDEKEINDLPLPGQVDFINGGPPCQGFSGMNRFNHSTWSKVQCEMILAFLSFADYFRPRYFLLENVRNFVSFNKGQTFKLTLASLLEMGYQVRFGILEAGAYGVSQSRKRAFIWAASPEETLPEWPEPMHVFAVPELKVTLSHNLQYAAVRSTASGAPFRAITVRDTIGDLPAVGNGASKTNLEYQSEAVSWFQKKIRGNMAVLTDHISKEMNELNLIRCQKIPKRPGADWHDLPDEKVKLSTGQLVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDIYKFAGNIQHKHRQIGNAVPPPLAFALGRKLKEALDSKK >KJB75606 pep chromosome:Graimondii2_0_v6:12:6070328:6077138:1 gene:B456_012G048000 transcript:KJB75606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNKKGKNKSAKSSTKKEMETDKKVQKRNSAQENGEPVPSKRPKRAAACTDFKEKAVCISEKDSVVETKKDMVVEDEILAVALTCEKDDGRPNRRLTDFVLHNSEGLPQPFEMLEVIDMFITGLILPLEENTDKEKVKEKSVRCEGFGHVESWDISGYEDGCPVIWLSTDVADYTCCKPSGSYKKYYEHFFEKARACVEVYKKLSKPSGGNPDLSLDELLAGVVRSMSGSKSFSRGASIRDFVISQGEFIYNQLIGLDATSRVNDQVFAGLPVLTALKDESRKRENIGLDIALFSGGALTIGKKGEGESKLDETYSSTFTAEEDEDAKLAKLLQEEEYWKSMKQKRNQGSASMSNKFYIKINEDEIANDYPLPAYYKNSNEETDELVVFESDFDVCDPEELPRSVLHNWSFYNSDSRLISLELLPMKPCADIDVTIFGSGVMTADDGIGFCLDNDLSQSTSGSSSVLNADGIPIFLSAIKEWMIEFGSSMIFISIRTDMAWYRLGKPSKQYLPWYEPVLKTARVAISIITLLKEQTRVSRLSFNDVIKRVSEFKKDNRAFISSDPAAVERYIVVHGQIILQLFAEFPDEKIKKCAFVVGLANKMEERHHTKWLVKKKKVVHKNEPNLNPRAAMMPIASIRKAMQATTTRLINRIWGEYYSNYSPEDSKEETNCEVKDEDENEEQEENEDDDGDANAEAEAEAEAEAEAEADANANAEDKPIPMETQKSPSVSKQPRQCSTEEVSWDGEPVSQTSSDKPLYKRAIVHGEVVVVGSAVSVEVDLYELPAIYFVEYMFETSKGRKMFHGRMMQRGSETVLGNAANEREVFLTNNCGDFELEDVKQTVVVDIRLRPWGYQHRKDNANMDKLDRAEAEERKRKGLPMEYYCKSLYWPERGAFYTLPIDSLGLGSGCCHSCQVKDAGRAKEVFKVNSSNTGFVYKGTEYSLHDYVYVSPHQFTVERAETENFKAGRNIGLKPYVVCQVLEIIIPKGQKKVCEESTQVKVRRFFRPEDISAEKAYSSDIREVYYSEETDILFVEAIEGKCEVRKKNDLPACNSPVIFHHVFFCDRIYDPSNGSLKQLPAQVKLRYSTGIVDNEIAYQKKKGKGKQEESELEVEKQQEAYQENRLATLDIFAGCGGLSEGLHQSGVSLTKWAIEYEEPAGDAFKLNHPESLVFIKNCNVILRAIMEKCGDVDDCISTSEAAELAASLDEKEINDLPLPGQVDFINGGPPCQGFSGMNRFNHSTWSKVQCEMILAFLSFADYFRPRYFLLENVRNFVSFNKGQTFKLTLASLLEMGYQVRFGILEAGAYGVSQSRKRAFIWAASPEETLPEWPEPMHVFAVPELKVTLSHNLQYAAVRSTASGAPFRAITVRDTIGDLPAVGNGASKTNLEYQSEAVSWFQKKIRGNMAVLTDHISKEMNELNLIRCQKIPKRPGADWHDLPDEKVKLSTGQLVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDIYKFAGNIQHKHRQIGNAVPPPLAFALGRKLKEALDSKK >KJB77626 pep chromosome:Graimondii2_0_v6:12:32118948:32128384:1 gene:B456_012G147100 transcript:KJB77626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40B [Source:Projected from Arabidopsis thaliana (AT3G19670) UniProtKB/Swiss-Prot;Acc:F4JCC1] MNRADASTNWKEYTSPDGRKYYYNKVTNLSTWSLPEELKLAREQVEMASAKGPLSDVSSHIPAPVPPASKAQSGADTPSTIIQGASSSPVPVAPVPSSSKIESVVVSGSDLPVATSSTVTNVDVVQIVEDTITPSVAVSESSEVSLSVADAATTLMNNISKVSSLDMVSSEGVSTQNADETVKDVVVSEKINNALEEKAIDQESLTYASKQEAKDAFKALLESANVGSDWTWDQAMRVIINDKRYGALRTLGERKQAFNEFLGQKKKQDAEERRIKQKKAREEYKKMLEECLELTSSTRWSKAVTMFENDERYKAVEREKDRKDFFENYIDELRQKERVKAQEQRKQNVMEYRRFLESCDFIKANSQWRKVQDRLETDERCSRLDKIDRLEIFQEYIRDLEKEEEEQRRIQKEELRKTERKNRDEFRKLVEGHVAAGTLTAKTHWRDYCMMVKDSPPFLAVASNTSGPTPKDLFEDVAEELQKQYDDDKARVKDAVKLRKICLASTWTLEDLKAAILEDISSPPISDVNLKLIFEELLERVKEKEEKEAKKRKRLADDFFDLLHSMKEITSSSAWEDCKHLLESSQEFSSIGDEDICKGIFDEYVKQLKGDAKEKERRRKEDKAKKEKERDERERRKEKHGRDKERGYEREKEEHLREEPSEAHGDISEVHDENENKRSGKEDSKKHRKRHQSSVDNSNETEKDRTKTHRHSSDRRKSKKHASTPESDNESRHKRHKRDHRNGSRRNLDPEELEDGEFGERESQ >KJB77624 pep chromosome:Graimondii2_0_v6:12:32114895:32127656:1 gene:B456_012G147100 transcript:KJB77624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40B [Source:Projected from Arabidopsis thaliana (AT3G19670) UniProtKB/Swiss-Prot;Acc:F4JCC1] MDPSQNFPPPMSGQFRPVVPSQQPQQFVSVPPQQFQPVGRGVTVMNAGFPSQTPQPQIPQVMQQLPARPGQPGHILPPPPSVSLPAAQPNLHVNPGASVPQPNIQAPNNYFPGVPASHLSSSYTFAPSSYGQVTVSHNAMAQYQPMAQLQAPNVPVGGQVGIHVSQSTSVTSAQQIGEQPSASTATIPPKPTEEALTDWIEHTSANGRRYYYNKKTRQSSWEKPLELMTPIERADASTNWKEYTSPDGRKYYYNKVTNLSTWSLPEELKLAREQVEMASAKGPLSDVSSHIPAPVPPASKAQSGADTPSTIIQGASSSPVPVAPVPSSSKIESVVVSGSDLPVATSSTVTNVDVVQIVEDTITPSVAVSESSEVSLSVADAATTLMNNISKVSSLDMVSSEGVSTQNADETVKDVVVSEKINNALEEKAIDQESLTYASKQEAKDAFKALLESANVGSDWTWDQAMRVIINDKRYGALRTLGERKQAFNEFLGQKKKQDAEERRIKQKKAREEYKKMLEECLELTSSTRWSKAVTMFENDERYKAVEREKDRKDFFENYIDELRQKERVKAQEQRKQNVMEYRRFLESCDFIKANSQWRKVQDRLETDERCSRLDKIDRLEIFQEYIRDLEKEEEEQRRIQKEELRKTERKNRDEFRKLVEGHVAAGTLTAKTHWRDYCMMVKDSPPFLAVASNTSGPTPKDLFEDVAEELQKQYDDDKARVKDAVKLRKICLASTWTLEDLKAAILEDISSPPISDVNLKLIFEELLERVKEKEEKEAKKRKRLADDFFDLLHSMKEITSSSAWEDCKHLLESSQEFSSIGDEDICKGIFDEYVKQLKGDAKEKERRRKEDKYYFSCFVLQAKKEKERDERERRKEKHGRDKERGYEREKEEHLREEPSEAHGDISEVHDENENKRSGKEDSKKHRKRHQSSVDNSNETEKDRTKTHRHSSDRRKSKKHASTPESDNESRHKRHKRDHRNGSRRNLDPEELEDGEFGERESQ >KJB77621 pep chromosome:Graimondii2_0_v6:12:32114805:32128384:1 gene:B456_012G147100 transcript:KJB77621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40B [Source:Projected from Arabidopsis thaliana (AT3G19670) UniProtKB/Swiss-Prot;Acc:F4JCC1] MDPSQNFPPPMSGQFRPVVPSQQPQQFVSVPPQQFQPVGRGVTVMNAGFPSQTPQPQIPQVMQQLPARPGQPGHILPPPPSVSLPAAQPNLHVNPGASVPQPNIQAPNNYFPGVPASHLSSSYTFAPSSYGQVTVSHNAMAQYQPMAQLQAPNVPVGGQVGIHVSQSTSVTSAQQIGEQPSASTATIPPKPTEEALTDWIEHTSANGRRYYYNKKTRQSSWEKPLELMTPIERADASTNWKEYTSPDGRKYYYNKVTNLSTWSLPEELKLAREQVEMASAKGPLSDVSSHIPAPVPPASKAQSGADTPSTIIQGASSSPVPVAPVPSSSKIESVVVSGSDLPVATSSTVTNVDVVQIVEDTITPSVAVSESSEVSLSVADAATTLMNNISKVSSLDMVSSEGVSTQNADETVKDVVVSEKINNALEEKAIDQESLTYASKQEAKDAFKALLESANVGSDWTWDQAMRVIINDKRYGALRTLGERKQAFNEFLGQKKKQDAEERRIKQKKAREEYKKMLEECLELTSSTRWSKAVTMFENDERYKAVEREKDRKDFFENYIDELRQKERVKAQEQRKQNVMEYRRFLESCDFIKANSQWRKVQDRLETDERCSRLDKIDRLEIFQEYIRDLEKEEEEQRRIQKEELRKTERKNRDEFRKLVEGHVAAGTLTAKTHWRDYCMMVKDSPPFLAVASNTSGPTPKDLFEDVAEELQKQYDDDKARVKDAVKLRKICLASTWTLEDLKAAILEDISSPPISDVNLKLIFEELLERVKEKEEKEAKKRKRLADDFFDLLHSMKEITSSSAWEDCKHLLESSQEFSSIGDEDICKGIFDEYVKQLKGDAKEKERRRKEDKAKKEKERDERERRKEKHGRDKERGYEREKEEHLREEPSEAHGDISEVHDENENKRSGKEDSKKHRKRHQSSVDNSNETEKDRTKTHRHSSDRRKSKKHASTPESDNESRHKRHKRDHRNGSRRNLDPEELEDGEFGERESQ >KJB77623 pep chromosome:Graimondii2_0_v6:12:32114897:32127656:1 gene:B456_012G147100 transcript:KJB77623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40B [Source:Projected from Arabidopsis thaliana (AT3G19670) UniProtKB/Swiss-Prot;Acc:F4JCC1] MDPSQNFPPPMSGQFRPVVPSQQPQQFVSVPPQQFQPVGRGVTVMNAGFPSQTPQPQIPQVMQQLPARPGQPGHILPPPPSVSLPAAQPNLHVNPGASVPQPNIQAPNNYFPGVPASHLSSSYTFAPSSYGQVTVSHNAMAQYQPMAQLQAPNVPVGGQVGIHVSQSTSVTSAQQIGEQPSASTATIPPKPTEEALTDWIEHTSANGRRYYYNKKTRQSSWEKPLELMTPIERADASTNWKEYTSPDGRKYYYNKVTNLSTWSLPEELKLAREQVEMASAKGPLSDVSSHIPAPVPPASKAQSGADTPSTIIQGASSSPVPVAPVPSSSKIESVVVSGSDLPVATSSTVTNVDVVQIVEDTITPSVAVSESSEVSLSVADAATTLMNNISKVSSLDMVSSEGVSTQNADETVKDVVVSEKINNALEEKAIDQESLTYASKQEAKDAFKALLESANVGSDWTWDQAMRVIINDKRYGALRTLGERKQAFNEFLGQKKKQDAEERRIKQKKAREEYKKMLEECLELTSSTRWSKAVTMFENDERYKAVEREKDRKDFFENYIDELRQKERVKAQEQRKQNVMEYRRFLESCDFIKANSQWRKVQDRLETDERCSRLDKIDRLEIFQEYIRDLEKEEEEQRRIQKEELRKTERKNRDEFRKLVEGHVAAGTLTAKTHWRDYCMMVKDSPPFLAVASNTSGPTPKDLFEDVAEELQKQYDDDKARVKDAVKLRKICLASTWTLEDLKAAILEDISSPPISDVNLKLIFEELLERVKEKEEKEAKKRKRLADDFFDLLHSMKEITSSSAWEDCKHLLESSQEFSSIGDEDICKGIFDEYVKQLKGDAKEKERRRKEDKAKKEKERDERERRKEKHGRDKERGYEREKEEHLREEPSEAHGDISEVHDENENKRSGKEDSKKHRKRHQSSVDNSNETEKDRTKTHRHSSDRRKSKKHASTPESDNESRHKRHKRDHRNGSRRNLDPEELEDGEFGERESQ >KJB77625 pep chromosome:Graimondii2_0_v6:12:32114895:32127656:1 gene:B456_012G147100 transcript:KJB77625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40B [Source:Projected from Arabidopsis thaliana (AT3G19670) UniProtKB/Swiss-Prot;Acc:F4JCC1] MDPSQNFPPPMSGQFRPVVPSQQPQQFVSVPPQQFQPVGRGVTVMNAGFPSQTPQPQIPQVMQQLPARPGQPGHILPPPPSVSLPAAQPNLHVNPGASVPQPNIQAPNNYFPGVPASHLSSSYTFAPSSYGQVTVSHNAMAQYQPMAQLQAPNVPVGGQVGIHVSQSTSVTSAQQIGEQPSASTATIPPKPTEEALTDWIEHTSANGRRYYYNKKTRQSSWEKPLELMTPIERADASTNWKEYTSPDGRKYYYNKVTNLSTWSLPEELKLAREQVEMASAKGPLSDVSSHIPAPVPPASKAQSGADTPSTIIQGASSSPVPVAPVPSSSKIESVVVSGSDLPVATSSTVTNVDVVQIVEDTITPSVAVSESSEVSLSVADAATTLMNNISKVSSLDMVSSEGVSTQNADETVKDVVVSEKINNALEEKAIDQESLTYASKQEAKDAFKALLESANVGSDWTWDQAMRVIINDKRYGALRTLGERKQAFNEFLGQKKKQDAEERRIKQKKAREEYKKMLEECLELTSSTRWSKAVTMFENDERYKAVEREKDRKDFFENYIDELRQKERVKAQEQRKQNVMEYRRFLESCDFIKANSQWRKVQDRLETDERCSRLDKIDRLEIFQEYIRDLEKEEEEQRRIQKEELRKTERKNRDEFRKLVEGHVAAGTLTAKTHWRDYCMMVKDSPPFLAVASNTSGPTPKDLFEDVAEELQKQYDDDKARVKDAVKLRKICLASTWTLEDLKAAILEDISSPPISDVNLKLIFEELLERVKEKEEKEAKKRKRLADDFFDLLHSMKEITSSSAWEDCKHLLESSQEFSSIGDEDICKGIFDEYVKQLKGDAKEKERRRKEDKAKKEKERDERERRKEKHGRDKERGYEREKEEHLREEPSEAHGDISEVHDENENKRSGKEDSKKHRKRHQSSVDNSNETEKDRTKTHRHSSDRRKSKKHASTPESDNESRHKRHKRDHRNGSRRNLDPEELEDGEFGERESQ >KJB77622 pep chromosome:Graimondii2_0_v6:12:32114790:32128384:1 gene:B456_012G147100 transcript:KJB77622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40B [Source:Projected from Arabidopsis thaliana (AT3G19670) UniProtKB/Swiss-Prot;Acc:F4JCC1] MDPSQNFPPPMSGQFRPVVPSQQPQQFVSVPPQQFQPVGRGVTVMNAGFPSQTPQPQIPQVMQQLPARPGQPGHILPPPPSVSLPAAQPNLHVNPGASVPQPNIQAPNNYFPGVPASHLSSSYTFAPSSYGQVTVSHNAMAQYQPMAQLQAPNVPVGGQVGIHVSQSTSVTSAQQIGEQPSASTATIPPKPTEEALTDWIEHTSANGRRYYYNKKTRQSSWEKPLELMTPIERADASTNWKEYTSPDGRKYYYNKVTNLSTWSLPEELKLAREQVEMASAKGPLSDVSSHIPAPVPPASKAQSGADTPSTIIQGASSSPVPVAPVPSSSKIESVVVSGSDLPVATSSTVTNVDVVQIVEDTITPSVAVSESSEVSLSVADAATTLMNNISKVSSLDMVSSEGVSTQNADETVKDVVVSEKINNALEEKAIDQESLTYASKQEAKDAFKALLESANVGSDWTWDQAMRVIINDKRYGALRTLGERKQAFNEFLGQKKKQDAEERRIKQKKAREEYKKMLEECLELTSSTRWSKAVTMFENDERYKAVEREKDRKDFFENYIDELRQKERVKAQEQRKQNVMEYRRFLESCDFIKANSQWRKVQDRLETDERCSRLDKIDRLEIFQEYIRDLEKEEEEQRRIQKEELRKTERKNRDEFRKLVEGHVAAGTLTAKTHWRDYCMMVKDSPPFLAVASNTSGPTPKDLFEDVAEELQKQYDDDKARVKDAVKLRKICLASTWTLEDLKAAILEDISSPPISDVNLKLIFEELLERVKEKEEKEAKKRKRLADDFFDLLHSMKEITSSSAWEDCKHLLESSQEFSSIGDEDICKGIFDEYVKQLKGDAKEKERRRKEDKAKKEKERDERERRKEKHGRDKERGYEREKEEHLREEPSEAHGDISEVHDENENKRSGKEDSKKHRKRHQSSVDNSNETEKDRTKTHRHSSDRRKSKKHASTPESDNESRHKRHKRDHRNGSRRNLDPEELEDGEFGERESQ >KJB74533 pep chromosome:Graimondii2_0_v6:12:24212124:24215204:-1 gene:B456_012G107900 transcript:KJB74533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGNVTAEDLIDALREVDWSSPPRPLPEFFSRFTFPRSYAKWNSRLKCNLYYYRTNYFIMVVVILGLGFLRRPVAILAAMLTALSIAFLNDSEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRTIHICGRPRWVFVFIFSAVSFILWYVSCGLLTVLWALAIALLATILHASFRTPNLKARLNTFREEFRAVWRNYSEL >KJB74534 pep chromosome:Graimondii2_0_v6:12:24212096:24215285:-1 gene:B456_012G107900 transcript:KJB74534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGNVTAEDLIDALREVDWSSPPRPLPEFFSRFTFPRSYAKWNSRLKCNLYYYRTNYFIMVVVILGLGFLRRPVAILAAMLTALSIAFLNDSFAGTFSEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRTIHICGRPRWVFVFIFSAVSFILWYVSCGLLTVLWALAIALLATILHASFRTPNLKARLNTFREEFRAVWRNYSEL >KJB74531 pep chromosome:Graimondii2_0_v6:12:24212120:24215233:-1 gene:B456_012G107900 transcript:KJB74531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGNVTAEDLIDALREVDWSSPPRPLPEFFSRFTFPRSYAKWNSRLKCNLYYYRTNYFIMVVVILGLGFLRRPVAILAAMLTALSIAFLNDSFAGTFSEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRTIHICGRPRWVFVFIFSAVSFILWYVSCGLLTVLWALAIALLATILHASFRTPNLKARLNTFREEFRAVWRNYSEL >KJB74532 pep chromosome:Graimondii2_0_v6:12:24212124:24214818:-1 gene:B456_012G107900 transcript:KJB74532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVILGLGFLRRPVAILAAMLTALSIAFLNDSFAGTFSEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRTIHICGRPRWVFVFIFSAVSFILWYVSCGLLTVLWALAIALLATILHASFRTPNLKARLNTFREEFRAVWRNYSEL >KJB74530 pep chromosome:Graimondii2_0_v6:12:24212647:24215204:-1 gene:B456_012G107900 transcript:KJB74530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGNVTAEDLIDALREVDWSSPPRPLPEFFSRFTFPRSYAKWNSRLKCNLYYYRTNYFIMVVVILGLGFLRRPVAILAAMLTALSIAFLNDSFAGTFSEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRTIHICGRPRWVFVFIFSAVSFILWYVSCGLLTVLWALAIALLATILHASFRTPNLKARLNTFREEFRAVWRNYSEL >KJB77926 pep chromosome:Graimondii2_0_v6:12:33749198:33749897:-1 gene:B456_012G167300 transcript:KJB77926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTAEKREPTLTSVKEIRVKDKAMAIEKPLFMKILSRTDIKVRLSVPTKKKRCFLNFQNRHKVEFKVKDDNGKDWVFCCSIRKKKGYRKPVLSKGWLPFVRCWKLEIGDGVRVYRMMDKDGKEYYRIEVIKRRDSPLIRNHDAEEPSALAVASYGDVEEEAYEVGGEFLMNA >KJB74946 pep chromosome:Graimondii2_0_v6:12:1688651:1689448:-1 gene:B456_012G015100 transcript:KJB74946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGNDDMDEGGIQRRKSRKKGSIIKVYCVRVGGSALRGVGRCLFVSCYPLLQCFGLDDCRHRHHHHTHFH >KJB74945 pep chromosome:Graimondii2_0_v6:12:1688651:1689428:-1 gene:B456_012G015100 transcript:KJB74945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGNDDMDEGGIQRRKSRKKGSIIKVYCVRVGGSALRGVGRCLFVSCYPLLQCFGLDDCRHRHHHHTHFH >KJB74639 pep chromosome:Graimondii2_0_v6:12:22670:22703:-1 gene:B456_012G0001002 transcript:KJB74639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPLGFMLQVG >KJB75588 pep chromosome:Graimondii2_0_v6:12:5951928:5954895:1 gene:B456_012G047300 transcript:KJB75588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVVGEGKDAEREKQRYSGSKVYTRKAFKGSKKNNLLNSTVNSSDPNHHHHHHHHDTTTTTTSDNNHDNNKNDNNVDRAALNDTDVTTTAVPNTNDYSNHPVEIPAQPLPLEFGDSAHQQPGPRADTAVSDDCSSLNKQVDVAGALKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEVQIRVFSNASVPLNNAVGYGFNRVQPEPSSVGISQEPVKKSRPLNQLNISALENSQCANENLEKEKRTPKANQFYHNSEFLLAKDKFPPAESNKKLKLNGKKQGGGQFTHGFGMGNKLFKNCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLSKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVNLRMPTPRKAHSMLPPPLDTRRILGRSESMARSVDPRPKLIATTPSGRTPAPKKPKAKDPYKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSAVEQHDEEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELSIQARAEAAQIVPEKLQTMAPVLVEVPKQNTTNEQNISRSLVEEEKQGDAANRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75590 pep chromosome:Graimondii2_0_v6:12:5951928:5955200:1 gene:B456_012G047300 transcript:KJB75590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVVGEGKDAEREKQRYSGSKVYTRKAFKGSKKNNLLNSTVNSSDPNHHHHHHHHDTTTTTTSDNNHDNNKNDNNVDRAALNDTDVTTTAVPNTNDYSNHPVEIPAQPLPLEFGDSAHQQPGPRADTAVSDDCSSLNKQVDVAGALKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEVQIRVFSNASVPLNNAVGYGFNRVQPEPSSVGISQEPVKKSRPLNQLNISALENSQCANENLEKEKRTPKANQFYHNSEFLLAKDKFPPAESNKKLKLNGKKQGGGQFTHGFGMGNKLFKNCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLSKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVNLRMPTPRKAHSMLPPPLDTRRILGRSESMARSVDPRPKLIATTPSGRTPAPKKPKAKDPYKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSAVEQHDEEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELSIQARAEAAQIVPEKLQTMAPVLVEVPKQNTTNEQNISRSLVEEEKQGDAANRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75591 pep chromosome:Graimondii2_0_v6:12:5951928:5955455:1 gene:B456_012G047300 transcript:KJB75591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVVGEGKDAEREKQRYSGSKVYTRKAFKGSKKNNLLNSTVNSSDPNHHHHHHHHDTTTTTTSDNNHDNNKNDNNVDRAALNDTDVTTTAVPNTNDYSNHPVEIPAQPLPLEFGDSAHQQPGPRADTAVSDDCSSLNKQVDVAGALKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEVQIRVFSNASVPLNNAVGYGFNRVQPEPSSVGISQEPVKKSRPLNQLNISALENSQCANENLEKEKRTPKANQFYHNSEFLLAKDKFPPAESNKKLKLNGKKQGGGQFTHGFGMGNKLFKNCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLSKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVNLRMPTPRKAHSMLPPPLDTRRILGRSESMARSVDPRPKLIATTPSGRTPAPKKPKAKDPYKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSAVEQHDEEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELSIQARAEAAQIVPEKVQLQTMAPVLVEVPKQNTTNEQNISRSLVEEEKQGDAANRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75589 pep chromosome:Graimondii2_0_v6:12:5951372:5955663:1 gene:B456_012G047300 transcript:KJB75589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVVGEGKDAEREKQRYSGSKVYTRKAFKGSKKNNLLNSTVNSSDPNHHHHHHHHDTTTTTTSDNNHDNNKNDNNVDRAALNDTDVTTTAVPNTNDYSNHPVEIPAQPLPLEFGDSAHQQPGPRADTAVSDDCSSLNKQVDVAGALKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEVQIRVFSNASVPLNNAVGYGFNRVQPEPSSVGISQEPVKKSRPLNQLNISALENSQCANENLEKEKRTPKANQFYHNSEFLLAKDKFPPAESNKKLKLNGKKQGGGQFTHGFGMGNKLFKNCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLSKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVNLRMPTPRKAHSMLPPPLDTRRILGRSESMARSVDPRPKLIATTPSGRTPAPKKPKAKDPYKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSAVEQHDEEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELSIQARAEAAQIVPEKLQTMAPVLVEVPKQNTTNEQNISRSLVEEEKQGDAANRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75587 pep chromosome:Graimondii2_0_v6:12:5951928:5955455:1 gene:B456_012G047300 transcript:KJB75587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVVGEGKDAEREKQRYSGSKVYTRKAFKGSKKNNLLNSTVNSSDPNHHHHHHHHDTTTTTTSDNNHDNNKNDNNVDRAALNDTDVTTTAVPNTNDYSNHPVEIPAQPLPLEFGDSAHQQPGPRADTAVSDDCSSLNKQVDVAGALKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEVQIRVFSNASVPLNNAVGYGFNRVQPEPSSVGISQEPVKKSRPLNQLNISALENSQCANENLEKEKRTPKANQFYHNSEFLLAKDKFPPAESNKKLKLNGKKQGGGQFTHGFGMGNKLFKNCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLSKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVNLRMPTPRKAHSMLPPPLDTRRILGRSESMARSVDPRPKLIATTPSGRTPAPKKPKAKDPYKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSAVEQHDEEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELSIQARAEAAQIVPEKLQTMAPVLVEVPKQNTTNEQNISRSLVEEEKQGDAANRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB78242 pep chromosome:Graimondii2_0_v6:12:35227687:35231535:1 gene:B456_012G185200 transcript:KJB78242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTQMKNKEISMFFSNMSKANVRKHVMEKKGKEKPMESPVPSKNLKKIYPIGFQRSSSSLSLSSLSLSLSQNSNDSTITDHSSTTPLEQRISLALSLIAPHHERRAFVPIVKNVRQTQQPKQQQEHPNNGELRRCHWITKNSDKAYISFHDEQWGVPVYDDIQLFELLALSGMLMDYNWTEILKKKELYREAFAGFDTEIVAKMGDKEINEISSNKAIMLVESRVRCIIHNAKCIQKIVREHGSFSSFMWGYVNHQPQINKYKYPRNVPLRTPKAEAISKDLLKRGFRFVGPVIVYSFMQAAGLTIDHLIGCFRHKECVALAERPWRHI >KJB76077 pep chromosome:Graimondii2_0_v6:12:10274996:10277934:-1 gene:B456_012G069800 transcript:KJB76077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLQMQLEVPAGQGQLVSSGALDVEAKLYISNLDYGVSNEDIKVLFSEVGDLKRYSINYDKSGRSKGTAEVVFFRQADALAAIKRYNNVQLDGKPMTIELVGANVVMSASVPPTKSGILRAPNMASRRDLEKIGVGRGWFRRFGRGRGHEHEHERDGPIGKKLTAEDLDADLDKYHLEATRIK >KJB76078 pep chromosome:Graimondii2_0_v6:12:10274996:10278698:-1 gene:B456_012G069800 transcript:KJB76078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSLDMSLDDIIRNKSRSEGHSRDSRRKPRAPAPGPDRRGPIRDQPRINPYPVRPMQLEVPAGQGQLVSSGALDVEAKLYISNLDYGVSNEDIKVLFSEVGDLKRYSINYDKSGRSKADALAAIKRYNNVQLDGKPMTIELVGANVVMSASVPPTKSGILRAPNMASRRDLEKIGVGRGWFRRFGRGRGHEHEHERDGPIGKKLTAEDLDADLDKYHLEATRIK >KJB76076 pep chromosome:Graimondii2_0_v6:12:10274968:10278720:-1 gene:B456_012G069800 transcript:KJB76076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSLDMSLDDIIRNKSRSEGHSRDSRRKPRAPAPGPDRRGPIRDQPRINPYPVRPMQLEVPAGQGQLVSSGALDVEAKLYISNLDYGVSNEDIKVLFSEVGDLKRYSINYDKSGRSKGTAEVVFFRQADALAAIKRYNNVQLDGKPMTIELVGANVVMSASVPPTKSGILRAPNMASRRDLEKIGVGRGWFRRFGRGRGHEHEHERDGPIGKKLTAEDLDADLDKYHLEATRIK >KJB75047 pep chromosome:Graimondii2_0_v6:12:2566396:2566651:-1 gene:B456_012G020900 transcript:KJB75047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRNSHRFTFFFVFVAKIGGCNGHSNPKEQLDLDLQICRYFRNLLIELTVFGFV >KJB75883 pep chromosome:Graimondii2_0_v6:12:8877205:8881028:1 gene:B456_012G062900 transcript:KJB75883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNNSGGEADNFDWDTEDDLEIDNYAISAPSGLSTPCGEAVLGSAEAGSSGNSPNSKIIDHFVGMGFSREMVAKVIEEKGEENSDLILETLLEYSASTLPSSSNSKLIDHFVGMGFSEEMVVKVVQENDSILETLLTYSALETTAPVQQNPDSGNCSSDYEGSLLNDFSDFDSFSETEEIISPSSEEGRKLLHLTKMGYSEAEASVAMERCGPDSTIEELTDFICAAQIAKAADALFPLEDKKPFSNGSSHKKRRNWGYDLLLRKKKLKLEKRFDEDDDVVHLPNPMIGFGVPNEPDQITHRVLPEAALGPPYFYYENVALAPKGVWTTISRFLYDVEPEFVDSKFFCAAARKRGYVHNLPIDNRFPLLPFPPRTIHEAFPLTRKWWPSWDTRTKLNCLQTCIASARLTDKIRKALEDSDGEPLLGIQKFVLEQCRKWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQIDTVAYHFSVLKDKFPGGINLLSLFSGIGGAEVALHRLGIPLKNVVAVEIAEVNRNILRSWWEQTNQRGTLTDIQDVQELNGDRLEQLIKNFGGFDLVVGGSPCNNLTGSNRYHRDGLEGKDSALFFDFCRILDLVKCIMNGTKSMIV >KJB75881 pep chromosome:Graimondii2_0_v6:12:8876628:8881084:1 gene:B456_012G062900 transcript:KJB75881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSFSWPILQVKRMVDNNSGGEADNFDWDTEDDLEIDNYAISAPSGLSTPCGEAVLGSAEAGSSGNSPNSKIIDHFVGMGFSREMVAKVIEEKGEENSDLILETLLEYSASTLPSSSNSKLIDHFVGMGFSEEMVVKVVQENGEGNTDSILETLLTYSALETTAPVQQNPDSGNCSSDYEGSLLNDFSDFDSFSETEEIISPSSEEGRKLLHLTKMGYSEAEASVAMERCGPDSTIEELTDFICAAQIAKAADALFPLEDKKPFSNGSSHKKRRNWGYDLLLRKKKLKLEKRFDEDDDVVHLPNPMIGFGVPNEPDQITHRVLPEAALGPPYFYYENVALAPKGVWTTISRFLYDVEPEFVDSKFFCAAARKRGYVHNLPIDNRFPLLPFPPRTIHEAFPLTRKWWPSWDTRTKLNCLQTCIASARLTDKIRKALEDSDGEPLLGIQKFVLEQCRKWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQIDTVAYHFSVLKDKFPGGINLLSLFSGIGGAEVALHRLGIPLKNVVAVEIAEVNRNILRSWWEQTNQRGTLTDIQDVQELNGDRLEQLIKNFGGFDLVVGGSPCNNLTGSNRYHRDGLEGKDSALFFDFCRILDLVKCIMNGTKSMIV >KJB75882 pep chromosome:Graimondii2_0_v6:12:8877247:8880507:1 gene:B456_012G062900 transcript:KJB75882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNNSGGEADNFDWDTEDDLEIDNYAISAPSGLSTPCGEAVLGSAEAGSSGNSPNSKIIDHFVGMGFSREMVAKVIEEKGEENSDLILETLLEYSASTLPSSSNSKLIDHFVGMGFSEEMVVKVVQENGEGNTDSILETLLTYSALETTAPVQQNPDSGNCSSDYEGSLLNDFSDFDSFSETEEIISPSSEEGRKLLHLTKMGYSEAEASVAMERCGPDSTIEELTDFICAAQIAKAADALFPLEDKKPFSNGSSHKKRRNWGYDLLLRKKKLKLEKRFDEDDDVVHLPNPMIGFGVPNEPDQITHRVLPEAALGPPYFYYENVALAPKGVWTTISRFLYDVEPEFVDSKFFCAAARKRGYVHNLPIDNRFPLLPFPPRTIHEAFPLTRKWWPSWDTRTKLNCLQTCIASARLTDKIRKALEDSDGEPLLGIQKFVLEQCRKWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQKN >KJB75885 pep chromosome:Graimondii2_0_v6:12:8879048:8881059:1 gene:B456_012G062900 transcript:KJB75885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFGVPNEPDQITHRVLPEAALGPPYFYYENVALAPKGVWTTISRFLYDVEPEFVDSKFFCAAARKRGYVHNLPIDNRFPLLPFPPRTIHEAFPLTRKWWPSWDTRTKLNCLQTCIASARLTDKIRKALEDSDGEPLLGIQKFVLEQCRKWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQIDTVAYHFSVLKDKFPGGINLLSLFSGIGGAEVALHRLGIPLKNVVAVEIAEVNRNILRSWWEQTNQRGTLTDIQDVQELNGDRLEQLIKNFGGFDLVVGGSPCNNLTGSNRYHRDGLEGKDSALFFDFCRILDLVKCIMNGTKSMIV >KJB75880 pep chromosome:Graimondii2_0_v6:12:8876628:8881084:1 gene:B456_012G062900 transcript:KJB75880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSFSWPILQVKRMVDNNSGGEADNFDWDTEDDLEIDNYAISAPSGLSTPCGEAVLGSAEAGSSGNSPNSKIIDHFVGMGFSREMVAKVIEEKGEENSDLILETLLEYSASTLPSSSNSKLIDHFVGMGFSEEMVVKVVQENGEGNTDSILETLLTYSALETTAPVQQNPDSGNCSSDYEGSLLNDFSDFDSFSETEEIISPSSEEGRKLLHLTKMGYSEAEASVAMERCGPDSTIEELTDFICAAQIAKAADALFPLEDKPFSNGSSHKKRRNWGYDLLLRKKKLKLEKRFDEDDDVVHLPNPMIGFGVPNEPDQITHRVLPEAALGPPYFYYENVALAPKGVWTTISRFLYDVEPEFVDSKFFCAAARKRGYVHNLPIDNRFPLLPFPPRTIHEAFPLTRKWWPSWDTRTKLNCLQTCIASARLTDKIRKALEDSDGEPLLGIQKFVLEQCRKWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQIDTVAYHFSVLKDKFPGGINLLSLFSGIGGAEVALHRLGIPLKNVVAVEIAEVNRNILRSWWEQTNQRGTLTDIQDVQELNGDRLEQLIKNFGGFDLVVGGSPCNNLTGSNRYHRDGLEGKDSALFFDFCRILDLVKCIMNGTKSMIV >KJB75884 pep chromosome:Graimondii2_0_v6:12:8877205:8881028:1 gene:B456_012G062900 transcript:KJB75884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFGVPNEPDQITHRVLPEAALGPPYFYYENVALAPKGVWTTISRFLYDVEPEFVDSKFFCAAARKRGYVHNLPIDNRFPLLPFPPRTIHEAFPLTRKWWPSWDTRTKLNCLQTCIASARLTDKIRKALEDSDGEPLLGIQKFVLEQCRKWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQIDTVAYHFSVLKDKFPGGINLLSLFSGIGGAEVALHRLGIPLKNVVAVEIAEVNRNILRSWWEQTNQRGTLTDIQDVQELNGDRLEQLIKNFGGFDLVVGGSPCNNLTGSNRYHRDGLEGKDSALFFDFCRILDLVKCIMNGTKSMIV >KJB75943 pep chromosome:Graimondii2_0_v6:12:9226855:9233118:1 gene:B456_012G065100 transcript:KJB75943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLASRYKGVVGFVFGNENSNSSEDSYVERLLDRISNGVLAEDRRNAIAELQTIVAESRAGQLAFGAMGFPVLMGVLKEERDDVEMVRGALETLVSALTPIDHAKGPTNEVQPALMNTDLLSRESESISLLLSLLSEEDFYVRYYTLQILTALLTNSPNRLQEAILSIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKIVVFEGAFEKIFSIMKEEGGSDGGVVVQDCLELLNNLLRSNASNQVLLRETIGFDPLISILKLRGSSYSFTQQKTINLLSALETINLLMMGGSEADPQKDSNKITNKTVLAQKKLLDHLLMLGVESQWAPIALRCSALRCIGDLVAGHSKNLDALSSKVLGEERQVEPALNSILRIILRTSSMQEFIAADHVFKNFCEKNTDGQAMLASTLIPHPNSMTDASLEEDVNMSFGSMLLHGLALSESDGDLETCCRAASVITHILKDNSQCKEKVLQIELEAPMPSLGAPELLLHRIVRYLAVASSMKNKDGKPGYSYVQPIILKLLITWLADCPNAVQCFLDSRPHLTYLLELVSSTSSTVCVRGLAAVLLGECVIYNKSSENGKDGFTIADAISQKIGLTSYFLKFDEMQRSFIFSSVKPAQSHKPLTRSTTASMAEIEDVDENDLTDQKNEDHPILTSVFDAQFVNFVKGLEVNIRERIVDVYSRPKSDVAVVPAELEQKGGESDKEYIKRLKAFVETQCSEIQKLLGRNATLAEDLARTGHSHPELMAGSGSDRVQVETLRRDLQEASQRVEMLKAEKAKIESEALMYQNLAGKLESDLKSLSDAYNSLEQTNIHLEKEAKTLKSGGTSTSPDIEAIKAEAREEAQKESEAELNDLLVCLGQEQSKVEKLSARLSELGEDVDKLLEGIGDDMALPEDEDEED >KJB76067 pep chromosome:Graimondii2_0_v6:12:10201460:10207534:-1 gene:B456_012G069500 transcript:KJB76067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLRAGSSVAKLAIRRTLSQGGSYAARSRIVPSQSRYFHTTVFKSKAQTAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPDSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTEADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMHIADRDKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTRPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDEDRTKNMAILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALQIYRNKLLESGQVTDDDIGNISQKVSTILNEEFLASKDYVPKRRDWLSAYWTGFKSPEQISRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYEQRAQMIETGEGLDWAMGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVIHDQETGEQYCPLDHVIINQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVMWEAQFGDFANGAQVIFDQFISSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERYLQMSDDNPFVIPEMDTTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNMHSDLEEGIRRLVLCSGKVYYELDDERKKNNATDIAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYNYIAPRLATAMTALGRGTFDDVRYVGRAPSASTATGFYSMHVKEQAELVQKAIQPEPIKTNTTI >KJB76066 pep chromosome:Graimondii2_0_v6:12:10201780:10206466:-1 gene:B456_012G069500 transcript:KJB76066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLRAGSSVAKLAIRRTLSQGGSYAARSRIVPSQSRYFHTTVFKSKAQTAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPDSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTEADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMHIADRDKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTRPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDEDRTKNMAILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALQIYRNKLLESGQVTDDDIGNISQKVSTILNEEFLASKDYVPKRRDWLSAYWTGFKSPEQISRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYEQRAQMIETGEGLDWAMGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVIHDQETGEQYCPLDHVIINQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVMWEAQFGDFANGAQVIFDQFISSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERYLQMSDDNPFVIPEMDTTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNMHSDLEEGIRRLVLCSGKVYYELDDERKKNNATDIAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYNYIAPRLATAMTALGRGTFDDVRYVGRAPSASTATGFYSMHVKEQAELVQKAIQPEPIKTNTTI >KJB76065 pep chromosome:Graimondii2_0_v6:12:10201460:10207383:-1 gene:B456_012G069500 transcript:KJB76065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLRAGSSVAKLAIRRTLSQGGSYAARSRIVPSQSRYFHTTVFKSKAQTAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPDSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTEADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMHIADRDKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTRPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDEDRTKNMAILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALQIYRNKLLESGQVTDDDIGNISQKVSTILNEEFLASKDYVPKRRDWLSAYWTGFKSPEQISRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYEQRAQMIETGEGLDWAMGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVIHDQETGEQYCPLDHVIINQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVMWEAQFGDFANGAQVIFDQFISSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERYLQMSDDNPFVIPEMDTTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNMHSDLEEGIRRLVLCSGKVYYELDDERKKNNATDIAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYNYIAPRLATAMTALGRGTFDDVRYVGRAPSASTATGFYSMHVKEQAELVQKAIQPEPIKTNTTI >KJB78363 pep chromosome:Graimondii2_0_v6:12:2765440:2774510:1 gene:B456_012G022500 transcript:KJB78363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGEESNPYDGGLGAGGKFRKRPFRRTTKTTPYDRPPTSIRNPSGTGDRNGWLSRLVDPARRLITSSAHRLFASVFTKRLPPPPPQTPQALESGTNQEPRENQPEATSKVPSVVQGAIIGCENPVNHTEESGVAELEKILKQKTFTRSEIDHLTRLLCSRSADIPGGNEEKRPELISVVSHDKKEEFPKTPVREHVTENHLISTPVVSSTVIDDVVASPAELAKAYMGNKTPKVSASRLGLQNQVPRGDLTCPSNKNFPSMSSTMSLVPRSSGHVGNLGNSFVTPRLRGRSAIYSMARTPYSRSSGTASDAFGGPSSSSQSAWEQKRISGSTQGVLKRRSSVLDNDIGSVGPIRRIRQKSNLLSSRNLSLPTSAGPSARIAGNSSAALDTLAENGDNSSPGTSVTTVPSKSSQTASKILQQLDMLVSPREKSPTKLSPSMLRGQALKSIENVDSSKFLENMQDTDKLSGSCTALPGICESMSGKHDKAKENGSTMMVALPNKAVPAVNGADSNSLMKDNNMPSVKASDSSVIKSIVPQPQQKSRAFQMSAHEDYLDLDDDDYPNGATPAEGRGRLDNCLMESKSAAPEAMIDKASSPEVIPNSSAAFNQKPDLKTSDGPTGVEKNAGITSPVVEVAISSLQSPLFVSSSTPIADRDVVPSQSNAPHMLSIGEKVVEAKQSNGAVTSFGFASTNVGEVSSVTGSSGIKLATSSDQKPENLSSCATTASGTTNYLSDKTDKESNLNAIFCSTPETAVTSSVSTSISAGSKFKLGASAADVSTFNNGSCASSPFSFSSPVPSLVPSNCQSSSSATATNNDTSAATITSASATANASISFTSSPSVEASIPSFTGAPVFKFSSSGDPSTSVSTLSATSGEATESKTQDTKLGNVGIFPFGSTSAFTGSGSSIFGGTSAASSSAGTTAEVANSGNSSSSGISSTIMNSGSGFFSSTFSPVTSTSNGIFGGSSASTSTGNGIFGGTSATTSTGTGLFGGTSAATSTGNGIFGGTSATSTGSSIFGGTSLPVSGTGSIFSTKAAGTATGSNVFGFSAPATSTSTSQSQGLNPFNAVNTQASAAGTGIGTSSQSTPIQFSSSASSPSFGLAGNATFSSGSSIFGSSATVAKPFSSGSSSGISSSSSETKSLSSSSGIAGGAFGSTWQAPKTPTFGSSSGFSFGSSTSVSAPSGASSIFGSSTGASSSSIFSFTSAAAATPSQPVFGNTSPGLVFGSTLSSNNDQMEDSMAEDTVQASPAVVTFNQQPISPPASGFVFGASNPPAAGSVPFGTQPSIAAPQNPSPFLASGSLEFVGGGSFSLGTSGGDKSARKYVKVRKQRKK >KJB78364 pep chromosome:Graimondii2_0_v6:12:2765589:2774438:1 gene:B456_012G022500 transcript:KJB78364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGEESNPYDGGLGAGGKFRKRPFRRTTKTTPYDRPPTSIRNPSGTGDRNGWLSRLVDPARRLITSSAHRLFASVFTKRLPPPPPQTPQALESGTNQEPRENQPEATSKVPSVVQGAIIGCENPVNHTEESGVAELEKILKQKTFTRSEIDHLTRLLCSRSADIPGGNEEKRPELISVVSHDKKEEFPKTPVREHVTENHLISTPVVSSTVIDDVVASPAELAKAYMGNKTPKVSASRLGLQNQVPRGDLTCPSNKNFPSMSSTMSLVPRSSGHVGNLGNSFVTPRLRGRSAIYSMARTPYSRVNSSTLLKSSGTASDAFGGPSSSSQSAWEQKRISGSTQGVLKRRSSVLDNDIGSVGPIRRIRQKSNLLSSRNLSLPTSAGPSARIAGNSSAALDTLAENGDNSSPGTSVTTVPSKSSQTASKILQQLDMLVSPREKSPTKLSPSMLRGQALKSIENVDSSKFLENMQDTDKLSGSCTALPGICESMSGKHDKAKENGSTMMVALPNKAVPAVNGADSNSLMKDNNMPSVKASDSSVIKSIVPQPQQKSRAFQMSAHEDYLDLDDDDYPNGATPAEGRGRLDNCLMESKSAAPEAMIDKASSPEVIPNSSAAFNQKPDLKTSDGPTGVEKNAGITSPVVEVAISSLQSPLFVSSSTPIADRDVVPSQSNAPHMLSIGEKVVEAKQSNGAVTSFGFASTNVGEVSSVTGSSGIKLATSSDQKPENLSSCATTASGTTNYLSDKTDKESNLNAIFCSTPETAVTSSVSTSISAGSKFKLGASAADVSTFNNGSCASSPFSFSSPVPSLVPSNCQSSSSATATNNDTSAATITSASATANASISFTSSPSVEASIPSFTGAPVFKFSSSGDPSTSVSTLSATSGEATESKTQDTKLGNVGIFPFGSTSAFTGSGSSIFGGTSAASSSAGTTAEVANSGNSSSSGISSTIMNSGSGFFSSTFSPVTSTSNGIFGGSSASTSTGNGIFGGTSATTSTGTGLFGGTSAATSTGNGIFGGTSATSTGSSIFGGTSLPVSGTGSIFSTKAAGTATGSNVFGFSAPATSTSTSQSQGLNPFNAVNTQASAAGTGIGTSSQSTPIQFSSSASSPSFGLAGNATFSSGSSIFGSSATVAKPFSSGSSSGISSSSSETKSLSSSSGIAGGAFGSTWQAPKTPTFGSSSGFSFGSSTSVSAPSGASSIFGSSTGASSSSIFSFTSAAAATPSQPVFGNTSPGLVFGSTLSSNNDQMEDSMAEDTVQASPAVVTFNQQPISPPASGFVFGASNPPAAGSVPFGTQPSIAAPQNPSPFLASGSLEFVGGGSFSLGTSGGDKSARKYVKVRKQRKK >KJB78362 pep chromosome:Graimondii2_0_v6:12:2763594:2774413:1 gene:B456_012G022500 transcript:KJB78362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESHIYIHARHCIVGSLILLTLALYLSQNFFLKIPNPNTHPPISVSLMATAGEESNPYDGGLGAGGKFRKRPFRRTTKTTPYDRPPTSIRNPSGTGDRNGWLSRLVDPARRLITSSAHRLFASVFTKRLPPPPPQTPQALESGTNQEPRENQPEATSKVPSVVQGAIIGCENPVNHTEESGVAELEKILKQKTFTRSEIDHLTRLLCSRSADIPGGNEEKRPELISVVSHDKKEEFPKTPVREHVTENHLISTPVVSSTVIDDVVASPAELAKAYMGNKTPKVSASRLGLQNQVPRGDLTCPSNKNFPSMSSTMSLVPRSSGHVGNLGNSFVTPRLRGRSAIYSMARTPYSRVNSSTLLKSSGTASDAFGGPSSSSQSAWEQKRISGSTQGVLKRRSSVLDNDIGSVGPIRRIRQKSNLLSSRNLSLPTSAGPSARIAGNSSAALDTLAENGDNSSPGTSVTTVPSKSSQTASKILQQLDMLVSPREKSPTKLSPSMLRGQALKSIENVDSSKFLENMQDTDKLSGSCTALPGICESMSGKHDKAKENGSTMMVALPNKAVPAVNGADSNSLMKDNNMPSVKASDSSVIKSIVPQPQQKSRAFQMSAHEDYLDLDDDDYPNGATPAEGRGRLDNCLMESKSAAPEAMIDKASSPEVIPNSSAAFNQKPDLKTSDGPTGVEKNAGITSPVVEVAISSLQSPLFVSSSTPIADRDVVPSQSNAPHMLSIGEKVVEAKQSNGAVTSFGFASTNVGEVSSVTGSSGIKLATSSDQKPENLSSCATTASGTTNYLSDKTDKESNLNAIFCSTPETAVTSSVSTSISAGSKFKLGASAADVSTFNNGSCASSPFSFSSPVPSLVPSNCQSSSSATATNNDTSAATITSASATANASISFTSSPSVEASIPSFTGAPVFKFSSSGDPSTSVSTLSATSGEATESKTQDTKLGNVGIFPFGSTSAFTGSGSSIFGGTSAASSSAGTTAEVANSGNSSSSGISSTIMNSGSGFFSSTFSPVTSTSNGIFGGSSASTSTGNGIFGGTSATTSTGTGLFGGTSAATSTGNGIFGGTSATSTGSSIFGGTSLPVSGTGSIFSTKAAGTATGSNVFGFSAPATSTSTSQSQGLNPFNAVNTQASAAGTGIGTSSQSTPIQFSSSASSPSFGLAGNATFSSGSSIFGSSATVAKPFSSGSSSGISSSSSETKSLSSSSGIAGGAFGSTWQAPKTPTFGSSSGFSFGSSTSVSAPSGASSIFGSSTGASSSSIFSFTSAAAATPSQPVFGNTSPGLVFGSTLSSNNDQMEDSMAEDTVQASPAVVTFNQQPISPPASGFVFGASNPPAAGSVPFGTQPSIAAPQNPSPFLASGSLEFVGGGSFSLGTSGGDKSARKYVKVRKQRKK >KJB78361 pep chromosome:Graimondii2_0_v6:12:2763594:2774413:1 gene:B456_012G022500 transcript:KJB78361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESHIYIHARHCIVGSLILLTLALYLSQNFFLKIPNPNTHPPISVSLMATAGEESNPYDGGLGAGGKFRKRPFRRTTKTTPYDRPPTSIRNPSGTGDRNGWLSRLVDPARRLITSSAHRLFASVFTKRLPPPPPQTPQALESGTNQEPRENQPEATSKVPSVVQGAIIGCENPVNHTEESGVAELEKILKQKTFTRSEIDHLTRLLCSRSADIPGGNEEKRPELISVVSHDKKEEFPKTPVREHVTENHLISTPVVSSTVIDDVVASPAELAKAYMGNKTPKVSASRLGLQNQVPRGDLTCPSNKNFPSMSSTMSLVPRSSGHVGNLGNSFVTPRLRGRSAIYSMARTPYSRSSGTASDAFGGPSSSSQSAWEQKRISGSTQGVLKRRSSVLDNDIGSVGPIRRIRQKSNLLSSRNLSLPTSAGPSARIAGNSSAALDTLAENGDNSSPGTSVTTVPSKSSQTASKILQQLDMLVSPREKSPTKLSPSMLRGQALKSIENVDSSKFLENMQDTDKLSGSCTALPGICESMSGKHDKAKENGSTMMVALPNKAVPAVNGADSNSLMKDNNMPSVKASDSSVIKSIVPQPQQKSRAFQMSAHEDYLDLDDDDYPNGATPAEGRGRLDNCLMESKSAAPEAMIDKASSPEVIPNSSAAFNQKPDLKTSDGPTGVEKNAGITSPVVEVAISSLQSPLFVSSSTPIADRDVVPSQSNAPHMLSIGEKVVEAKQSNGAVTSFGFASTNVGEVSSVTGSSGIKLATSSDQKPENLSSCATTASGTTNYLSDKTDKESNLNAIFCSTPETAVTSSVSTSISAGSKFKLGASAADVSTFNNGSCASSPFSFSSPVPSLVPSNCQSSSSATATNNDTSAATITSASATANASISFTSSPSVEASIPSFTGAPVFKFSSSGDPSTSVSTLSATSGEATESKTQDTKLGNVGIFPFGSTSAFTGSGSSIFGGTSAASSSAGTTAEVANSGNSSSSGISSTIMNSGSGFFSSTFSPVTSTSNGIFGGSSASTSTGNGIFGGTSATTSTGTGLFGGTSAATSTGNGIFGGTSATSTGSSIFGGTSLPVSGTGSIFSTKAAGTATGSNVFGFSAPATSTSTSQSQGLNPFNAVNTQASAAGTGIGTSSQSTPIQFSSSASSPSFGLAGNATFSSGSSIFGSSATVAKPFSSGSSSGISSSSSETKSLSSSSGIAGGAFGSTWQAPKTPTFGSSSGFSFGSSTSVSAPSGASSIFGSSTGASSSSIFSFTSAAAATPSQPVFGNTSPGLVFGSTLSSNNDQMEDSMAEDTVQASPAVVTFNQQPISPPASGFVFGASNPPAAGSVPFGTQPSIAAPQNPSPFLASGSLEFVGGGSFSLGTSGGDKSARKYVKVRKQRKK >KJB75550 pep chromosome:Graimondii2_0_v6:12:5857111:5870555:1 gene:B456_012G046800 transcript:KJB75550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRDDAGPEEQGHSNATWWSSDFIEKFGSVSLGSHEESSSNRESPRTFEQDGLSSQTASQILWSSGVLSEPIPNGFYSVIPDKRLKEHFDTIPTLEELQALGGEGYRADIILVDTEKDKKLSMLKQLIVALVKGLNSNPAAMIKKIAGLVSDFYKRTTVESPAKAVLDETSHMLENQGVQLLGQIKHGLCRPRAILFKVLADTVGLESKLMMGLPNDGVIECADSYKHMSVIVVLNSVELLVDLMRFPGQLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGLSEKVEPDSLSHSEPNIATTFWRRSRRKVIAEQRTASSSPEHPSFRARARSKLSGDRNSHRDFGDDIAASRSSYRSDGASTSEARRIRRRSISMTPEISDDIVRAVRAMNETLKQNRLLQERKEDRSFSHSSTDRSPDLQENASNFHLDGHNKIAGGSSALYNLSRDEIDSQRAISLPSSPHGYRSRTSERIGVSGYVANDEMVATWNKILESPMFNNNPLLPYTEWNIDFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPQLSMITEYMEIGSLYFLIHLSGQKKRLSWRRRLKMLRDICRFVRPVHQLQSSFLFLHI >KJB75552 pep chromosome:Graimondii2_0_v6:12:5857111:5871617:1 gene:B456_012G046800 transcript:KJB75552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRDDAGPEEQGHSNATWWSSDFIEKFGSVSLGSHEESSSNRESPRTFEQDGLSSQTASQILWSSGVLSEPIPNGFYSVIPDKRLKEHFDTIPTLEELQALGGEGYRADIILVDTEKDKKLSMLKQLIVALVKGLNSNPAAMIKKIAGLVSDFYKRTTVESPAKAVLDETSHMLENQGVQLLGQIKHGLCRPRAILFKVLADTVGLESKLMMGLPNDGVIECADSYKHMSVIVVLNSVELLVDLMRFPGQLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGLSEKVEPDSEPNIATTFWRRSRRKVIAEQRTASSSPEHPSFRARARSKLSGDRNSHRDFGDDIAASRSSYRSDGASTSEARRIRRRSISMTPEISDDIVRAVRAMNETLKQNRLLQERKEDRSFSHSSTDRSPDLQENASNFHLDGHNKIAGGSSALYNLSRDEIDSQRAISLPSSPHGYRSRTSERIGVSGYVANDEMVATWNKILESPMFNNNPLLPYTEWNIDFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPQLSMITEYMEIGSLYFLIHLSGQKKRLSWRRRLKMLRDICRGLMCMHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTSRPMRDSASAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPEGPLGRLISDCWAEPEERPSCGEILSRLLDCEYSLC >KJB75551 pep chromosome:Graimondii2_0_v6:12:5857111:5871617:1 gene:B456_012G046800 transcript:KJB75551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRDDAGPEEQGHSNATWWSSDFIEKFGSVSLGSHEESSSNRESPRTFEQDGLSSQTASQILWSSGVLSEPIPNGFYSVIPDKRLKEHFDTIPTLEELQALGGEGYRADIILVDTEKDKKLSMLKQLIVALVKGLNSNPAAMIKKIAGLVSDFYKRTTVESPAKAVLDETSHMLENQGVQLLGQIKHGLCRPRAILFKVLADTVGLESKLMMGLPNDGVIECADSYKHMSVIVVLNSVELLVDLMRFPGQLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGLSEKVEPDSLSHSEPNIATTFWRRSRRKVIAEQRTASSSPEHPSFRARARSKLSGDRNSHRDFGDDIAASRSSYRSDGASTSEARRIRRRSISMTPEISDDIVRAVRAMNETLKQNRLLQERKEDRSFSHSSTDRSPDLQENASNFHLDGHNKIAGGSSALYNLSRDEIDSQRAISLPSSPHGYRSRTSERIGVSGYVANDEMVATWNKILESPMFNNNPLLPYTEWNIDFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPQLSMITEYMEIGSLYFLIHLSGQKKRLSWRRRLKMLRDICRGLMCMHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTSRPMRDSASAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPEGPLGRLISDCWAEPEERPSCGEILSRLLDCEYSLC >KJB75554 pep chromosome:Graimondii2_0_v6:12:5858311:5871136:1 gene:B456_012G046800 transcript:KJB75554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRDDAGPEEQGHSNATWWSSDFIEKFGSVSLGSHEESSSNRESPRTFEQDGLSSQTASQILWSSGVLSEPIPNGFYSVIPDKRLKEHFDTIPTLEELQALGGEGYRADIILVDTEKDKKLSMLKQLIVALVKGLNSNPAAMIKKIAGLVSDFYKRTTVESPAKAVLDETSHMLENQGVQLLGQIKHGLCRPRAILFKVLADTVGLESKLMMGLPNDGVIECADSYKHMSVIVVLNSVELLVDLMRFPGQLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGLSEKVEPDSLSHSEPNIATTFWRRSRRKVIAEQRTASSSPEHPSFRARARSKLSGDRNSHRDFGDDIAASRSSYRSDGASTSEARRIRRRSISMTPEISDDIVRAVRAMNETLKQNRLLQERKEDRSFSHSSTDRSPDLQENASNFHLDGHNKIAGGSSALYNLSRDEIDSQRAISLPSSPHGYRSRTSERIGVSGYVANDEMVATWNKILESPMFNNNPLLPYTEWNIDFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPQLSMITEYMEIGSLYFLIHLSGQKKRLSWRRRLKMLRDICRGLMCMHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTSRPMRDSASAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPEGPLGRLISGTQDNVFIHFYRIFFTY >KJB75553 pep chromosome:Graimondii2_0_v6:12:5857111:5871617:1 gene:B456_012G046800 transcript:KJB75553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRDDAGPEEQGHSNATWWSSDFIEKFGSVSLGSHEESSSNRESPRTFEQDGLSSQTASQILWSSGVLSEPIPNGFYSVIPDKRLKEHFDTIPTLEELQALGGEGYRADIILVDTEKDKKLSMLKQLIVALVKGLNSNPAAMIKKIAGLVSDFYKRTTVESPAKAVLDETSHMLENQGVQLLGQIKHGLCRPRAILFKVLADTVGLESKLMMGLPNDGVIECADSYKHMSVIVVLNSVELLVDLMRFPGQLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGLSEKVEPDSLSHSEPNIATTFWRRSRRKVIAEQRTASSSPEHPSFRARARSKLSGDRNSHRDFGDDIAASRSSYRSDGASTSEARRIRRRSISMTPEISDDIVRAVRAMNETLKQNRLLQERKEDRSFSHSSTDRSPDLQENASNFHLDGHNKIAGGSSALYNLSRDEIDSQRAISLPSSPHGYRSRTSERIGVSGYVANDEMVATWNKILESPMFNNNPLLPYTEWNIDFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPQLSMITEYMEIGSLYFLIHLSGQKKRLSWRRRLKMLRDICRGLMCMHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTSRPMRDSASAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPEGPLGRLISDCWAEPEERPSCGEILSRLLDCEYSLC >KJB75555 pep chromosome:Graimondii2_0_v6:12:5857111:5871617:1 gene:B456_012G046800 transcript:KJB75555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRDDAGPEEQGHSNATWWSSDFIEKFGSVSLGSHEESSSNRESPRTFEQDGLSSQTASQILWSSGVLSEPIPNGFYSVIPDKRLKEHFDTIPTLEELQALGGEGYRADIILVDTEKDKKLSMLKQLIVALVKGLNSNPAAMIKKIAGLVSDFYKRTTVESPAKAVLDETSHMLENQGVQLLGQIKHGLCRPRAILFKVLADTVGLESKLMMGLPNDGVIECADSYKHMSVIVVLNSVELLVDLMRFPGQLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGLSEKVEPDSLSHSEPNIATTFWRRSRRKVIAEQRTASSSPEHPSFRARARSKLSGDRNSHRDFGDDIAASRSSYRSDGASTSEARRIRRRSISMTPEISDDIVRAVRAMNETLKQNRLLQERKEDRSFSHSSTDRSPDLQENASNFHLDGHNKIAGGSSALYNLSRDEIDSQRAISLPSSPHGYRSRTSERIGVSGYVANDEMVATWNKILESPMFNNNPLLPYTEWNIDFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPQLSMITEYMEIGSLYFLIHLSGQKKRLSWRRRLKMLRDICRGLMCMHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTSRPMRDSASAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPEGPLGRLISDCWAEPEERPSCGEILSRLLDCEYSLC >KJB76577 pep chromosome:Graimondii2_0_v6:12:17303278:17306531:-1 gene:B456_012G095300 transcript:KJB76577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRNGERKEHSAMVAVGIDKDKGSQYALKWTVDHLLSKGQSINLVHVKTKPSSIPTPSGLLTNISKVNDDVAKAYMQQVDNQAKEVFLPFRCFCSRKDITSNEIILEDTDIAKALIDYVSSSSIEILVLGAPTKGGFIRFRSTELTTTVSKGAPNYCTVYVIGKGKISSVRSASAPPPARHHPPAPQPQQPPPSIIPEITESPSGQALFPRYRGAPSRSQHPPRNMYEDLEMKSPFTRPRNKYEPSVPESDISFVSSGRPSTDNMSSLHDNMDFGTQRLSISSEYDSISLGSSYSGNRSIDFSSQYESSSTSHESGRTSWSSQNMMPQDDVEAEMRRLKQELKQTMEMYSAACKEALSAKQRAKELQSWKLQEEQKIEEARLAEEAALSLAEKEKAKCQVAIEAAQAAQMIAELEAQKRKIVEKNANKEADDRKLAINNFGHDLRYRKYTIQEIETATDLFSPSRKIGEGGYGPVYHCNLDHTPVAIKVLRPDAAQGQLQFQQEVEVLCCIRHPNMVLLLGASPEYCCLVYEYMANGSLEDRLFRRNNSPVLPWQVRFRIAAEIATGLLFLHQTKPEPIVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGIMLLQIITAKPPMGLTHHVENAIENGNFAGILDPAVHDWPMEETLIFAKLALKCSELRRKDRPDLGRVVLPELDKLRTLADEKMPFIKIGGSAGTSPNNSHVSTSSIQVKL >KJB76576 pep chromosome:Graimondii2_0_v6:12:17303278:17306531:-1 gene:B456_012G095300 transcript:KJB76576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRNGERKEHSAMVAVGIDKDKGSQYALKWTVDHLLSKGQSINLVHVKTKPSSIPTPSGLLTNISKVNDDVAKAYMQQVDNQAKEVFLPFRCFCSRKDITSNEIILEDTDIAKALIDYVSSSSIEILVLGAPTKGGFIRRFRSTELTTTVSKGAPNYCTVYVIGKGKISSVRSASAPPPARHHPPAPQPQQPPPSIIPEITESPSGQALFPRYRGAPSRSQHPPRNMYEDLEMKSPFTRPRNKYEPSVPESDISFVSSGRPSTDNMSSLHDNMDFGTQRLSISSEYDSISLGSSYSGNRSIDFSSQYESSSTSHESGRTSWSSQNMMPQDDVEAEMRRLKQELKQTMEMYSAACKEALSAKQRAKELQSWKLQEEQKIEEARLAEEAALSLAEKEKAKCQVAIEAAQAAQMIAELEAQKRKIVEKNANKEADDRKLAINNFGHDLRYRKYTIQEIETATDLFSPSRKIGEGGYGPVYHCNLDHTPVAIKVLRPDAAQGQLQFQQEVEVLCCIRHPNMVLLLGASPEYCCLVYEYMANGSLEDRLFRRNNSPVLPWQVRFRIAAEIATGLLFLHQTKPEPIVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGIMLLQIITAKPPMGLTHHVENAIENGNFAGILDPAVHDWPMEETLIFAKLALKCSELRRKDRPDLGRVVLPELDKLRTLADEKMPFIKIGGSAGTSPNNSHVSTSSIQVKL >KJB78036 pep chromosome:Graimondii2_0_v6:12:34351264:34352284:1 gene:B456_012G173900 transcript:KJB78036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAKTKHSTFKYLILSLSLSFLLLFLFISLHPNRFQALLTTTVTENRPPHSAFVSSTEDLKIRPGYTSYDTYIQRQLNKTLNPKLREIWTTRDWDRKIQVFSRFFADLKSEKFLADSSKCLCVGARVGQEVEALKRVGVADSIGIDLVPFPPLVIKGDFHNQPFDDETFDFEFSNVFDHALRPEKFVAEIERTLKSDGVAVLHVSLSRRGDKYSANDLYSVKPLVKLFRRSKLLRVRKVDGFGLDTEVVFRKTKKNIKQGF >KJB75670 pep chromosome:Graimondii2_0_v6:12:6642671:6644638:-1 gene:B456_012G051200 transcript:KJB75670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSFHFTISIPFQNPMSIPLKSPSWSSTRRLFEQKLQDLHKCNNLSHVKQLQAQIIKQNLHHDLYIAPKLISAFSLCRQLTLALNVFSQIELPNVHLYNTLIRACVQNSQPSQAFSFYFEMQGNGVLADNFTYPFLLKACDSLELVKMIHTLIEKNGFLSDIFVPNALIDSYSKFGELGIKAALKMFTIMEDRDIVTWNSMIAGLLKVRQLREARKLFDEMPERDIVSWNTILDGFVKAGEMEEAFDLFQKMPQRNVVSWSTMVMGYSKAGDMDMARVLFDKMPLKTLVPWTIIISGYAEKGLAKEAASLYDQMERDGLRLDDGSIISILAACAESGLLAVGVKVHDSIKRLKFKCSVAVCNALVDMYAKCGSLDKAWSVFNRMEKRDVVSWNAMLHGFAMHGHASEALQVFSRMKMEGFQPDRVTFVGVLCACTHAGLIDEGVEYFYAMEKDYGIVPQIEHYGCMVDLLGRGGRLKEAFRLVHTMPFEPNAIIWGTLLGACRLHDAVELAEKVLDLLLELDPSDPGNYSMLANIFAASGDWDSAASVRLRMRSIGIQKPSGASSIEIDNEVHEFTVFDRSHPKFDRIYQMLDRLGQDLKQVVHPKDTSLPVEVDR >KJB76171 pep chromosome:Graimondii2_0_v6:12:11902796:11905896:1 gene:B456_012G076300 transcript:KJB76171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAPVMMCLHQIHTQMGWVLAKILADRTARFCLRRRRWSLRKVLLSGNGEGMGVKQGWQHTASISLITGYSLIYTKKLNKENAIRLEEKEKREKELLSQIIEEADQYKVEFYKKREVTCENNKANNRDKEKIFVANHEKFHAEADKHYWKAIAELIPNEVPTIEKRGKKEKEKKPSIVVVQGPKPGKPTDRSRMRQILVKLKHNTPSHLKHSPPPPPAAAAAKDQDAKTGNTSSVPAALPVTSTPEAVVAA >KJB76172 pep chromosome:Graimondii2_0_v6:12:11902796:11905929:1 gene:B456_012G076300 transcript:KJB76172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFPDSFTQLADDSLDSFDSVPHQEEDGAGYAGYDPSQQFDSFADHSDHAKGSSDDVFASDSYTNGVGFGQDFGGSDGPVLPPPAEMEPEEGVALREWRRENAIRLEEKEKREKELLSQIIEEADQYKVEFYKKREVTCENNKANNRDKEKIFVANHEKFHAEADKHYWKAIAELIPNEVPTIEKRGKKEKEKKPSIVVVQGPKPGKPTDRSRMRQILVKLKHNTPSHLKHSPPPPPAAAAAKDQDAKTGNTSSVPAALPVTSTPEAVVAA >KJB76104 pep chromosome:Graimondii2_0_v6:12:10635452:10638710:-1 gene:B456_012G071900 transcript:KJB76104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKVENGCIKEDKGLGWGKSLPVQSVQEIVRNGYLSVPERYIQEIKDRPSSISSESLQASLQIPIIDFSLLAKGDEHETRKLDLACKEWGFFQIRNHGVQVKILQKMKAAMAAFFELPLMEKKKFAMGANDLEGYGQAYVVSEEQKLDWCDLVALKTLPLEQRNLNFWPLNLPGFKEAVEEYSIEVQKVAEEINANLSILMGMERDGLKRYQGELKQLMRMNYYPPCSSPELVVGVSPHSDGGSLTVLLQDDDIIGLQIKHKGEWIPVKPIPNALVVNIGDAIEIMSNGVYKSIEHRAITNEKKARISAAAFAYVDDELEIGPLDSMVDDPHRPPMYKKIKYIDYIRQVLARKMDGKAHTDLVKIQT >KJB76105 pep chromosome:Graimondii2_0_v6:12:10636953:10638710:-1 gene:B456_012G071900 transcript:KJB76105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKVENGCIKEDKGLGWGKSLPVQSVQEIVRNGYLSVPERYIQEIKDRPSSISSESLQASLQIPIIDFSLLAKGDEHETRKLDLACKEWGFFQIRNHGVQVKILQKMKAAMAAFFELPLMEKKKFAMGANDLEGYGQAYVVSEEQKLDWCDLVALKTLPLEQRNLNFWPLNLPGFKEAVEEYSIEVQKVAEEINANLSILMGMERDGLKRYQGELKQLMRMNYYPPCSSPELVVGVSPHSDGGSLTVLLQDDDIIGLQIKHKGEWIPVKPIPNALVVNIGDAIEIMSNGVYKSIEHRAITNEKKARISAAAFAYVDDELEIGPLDSMVDDPHRPPMYKKIKYIDYIRQVLARKMDGKAHTDLVKIQT >KJB78092 pep chromosome:Graimondii2_0_v6:12:34604534:34604969:1 gene:B456_012G177100 transcript:KJB78092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDWGPIIVALVLFVLLTPGLLFQVPGHHRCVEFGNFKTSGASILVHSLLYFGLICVFLLAIKVHLYLG >KJB74507 pep chromosome:Graimondii2_0_v6:12:33353908:33355208:1 gene:B456_012G1616001 transcript:KJB74507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFLPSEFGVEEDRLSFLPPFEACLEKKRKIRRAVEASGIPYTYVSANCFGAYFLNYLLRPHEQHEDVTIYGSGEAKAPFTYEEDIANYTIRVANDPRTCNKMVIYKMQKNILSQIELISLWEKKTGKYFKKVHVPEEELVKLAETLPFPDNVRASVLHSLFVKGDLVNYELGENDLEASSLYPDYKYTTVDQLLDVFLVDPPKPALATF >KJB74508 pep chromosome:Graimondii2_0_v6:12:33354529:33355208:1 gene:B456_012G1616001 transcript:KJB74508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPFPDNVRASVLHSLFVKGDLVNYELGENDLEASSLYPDYKYTTVDQLLDVFLVDPPKPALATF >KJB76632 pep chromosome:Graimondii2_0_v6:12:21985634:21987210:-1 gene:B456_012G100600 transcript:KJB76632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRKTPVKTRNPDLIRGVGKYSRSKMYHKRGLWAIKAKHGGVFPRHDPKPKAPVAPEKAPKFYPAEDVKKPLLNKRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLTSGLLLVTGPFKINGVPLRRLNQSYVIATSTKVDISGVNVEKFDDKYFAKEVEKKKKGEGEFFEAEKEDKKTLPDEKKEDQKAVDASLIKSIEGVVNLKAYLAARFSLKSGMKPHELVF >KJB76630 pep chromosome:Graimondii2_0_v6:12:21985634:21987210:-1 gene:B456_012G100600 transcript:KJB76630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRKTPVKTRNPDLIRGVGKYSRSKMYHKRGLWAIKAKHGGVFPRHDPKPKAPVAPEKAPKFYPAEDVKKPLLNKRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLTSGLLLVTGPFKINGVPLRRLNQSYVIATSTKVDISGVNVEKFDDKYFAKEVEKKKKGEGEFFEAEKEDKKTLPDEKKEDQKAVDASLIKSIEGVVNLKAYLAARFSLKSGMKPHELVF >KJB76629 pep chromosome:Graimondii2_0_v6:12:21984986:21987422:-1 gene:B456_012G100600 transcript:KJB76629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRKTPVKTRNPDLIRGVGKYSRSKMYHKRGLWAIKAKHGGVFPRHDPKPKAPVAPEKAPKFYPAEDVKKPLLNKRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLTSGLLLVTGPFKINGVPLRRLNQSYVIATSTKVDISGVNVEKFDDKYFAKEVEKKKKGEGEFFEAEKEDKKTLPDEKKEDQKAVDASLIKSIEGVVNLKAYLAARFSLKSGMKPHELVF >KJB76628 pep chromosome:Graimondii2_0_v6:12:21985130:21987422:-1 gene:B456_012G100600 transcript:KJB76628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRKTPVKTRNPDLIRGVGKYSRSKMYHKRGLWAIKAKHGGVFPRHDPKPKAPVAPEKAPKFYPAEDVKKPLLNKRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLTSGLLLVTGPFKINGVPLRRLNQSYVIATSTKVDISGVNVEKFDDKYFAKEVEKKKKGEGEFFEAEKEDKKTLPDEKKEDQKAVDASLIKSIEGVVNLKAYLAARFSLKSGMKPHELVF >KJB76631 pep chromosome:Graimondii2_0_v6:12:21985130:21987422:-1 gene:B456_012G100600 transcript:KJB76631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRKTPVKTRNPDLIRGVGKYSRSKMYHKRGLWAIKAKHGGVFPRHDPKPKAPVAPEKAPKFYPAEDVKKPLLNKRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLTSGLLLVTGPFKINGVPLRRLNQSYVIATSTKVDISGVNVEKFDDKYFAKEVEKKKKGEGEFFEAEKEVKDIAR >KJB76633 pep chromosome:Graimondii2_0_v6:12:21986653:21987422:-1 gene:B456_012G100600 transcript:KJB76633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRKTPVKTRNPDLIRGVGKYSRSKMYHKRGLWAIKAKHGGVFPRHDPKPKAPVAPEKAPKFYPAEDVKKPLLNKRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLTSGLLLVTGELLYFVIC >KJB77281 pep chromosome:Graimondii2_0_v6:12:29740050:29742133:1 gene:B456_012G129200 transcript:KJB77281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTESIAALSFPTTSRFRTSLSRPAMMISQPAVSLYSHRGLPEFKGLKVQSYSLFSFASTNSRYPRVSKHSGRIVCEAQETAIDIPAVTDETWQSLVLNADGPVLVEFWAPWCGPCRMIDPVIGELAQQYAGKLKCFKLNTDDSPSIASQYGIRSIPTLMIFLNGEKKDAVIGAVPKSTLSASIERFL >KJB77282 pep chromosome:Graimondii2_0_v6:12:29740173:29742110:1 gene:B456_012G129200 transcript:KJB77282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTESIAALSFPTTSRFRTSLSRPAMMISQPAVSLYSHRGLPEFKGLKVQSYSLFSFASTNSRYPRVSKHSGRIVCEAQETAIDNGPVLVEFWAPWCGPCRMIDPVIGELAQQYAGKLKCFKLNTDDSPSIASQYGIRSIPTLMIFLNGEKKDAVIGAVPKSTLSASIERFL >KJB76276 pep chromosome:Graimondii2_0_v6:12:13210717:13212342:-1 gene:B456_012G081100 transcript:KJB76276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQESGCFDPQYSMTHWHALMVMGGLPSPDGTTTQTHDILEDNLNLSSYNHHQLEEEDASTAVQMEIQQHHLLDTTTTNSHFIRPELSYEQSNWDAADHQSFNMLLSSSSPEAAYPPTPDLLNLFHLPPKCAFLPNSSISFKSDSASSVVCDPLFHLNLTPQPPVFRELLQSLPHGYTLPADEKELYHDGDNGILEFTRDISMAAHAKGRDKAGKTTKHFATERERRVHLNDKFQALRTMVPSPTKNDRASIVGDAIDYIKELLRTVRELKLVVEKKRCGQDRSKRLKTEETASAADAGDGGCKLLGLGDPDQCYNTCLRSSWLQRKSKNSEVDVRLIDDEVTIKLVQRKKINCLLFVSRLLDELQLDLHHVAGGNIGDYYSFLFNTKIYEGSSVYASAIANKLINVVDKQDAAAAPPTSSTCL >KJB76275 pep chromosome:Graimondii2_0_v6:12:13210697:13212454:-1 gene:B456_012G081100 transcript:KJB76275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQESGCFDPQYSMTHWHALMVMGGLPSPDGTTTQTHDILEDNLNLSSYNHHQLEEEDASTAVQMEIQQHHLLDTTTTNSHFIRPELSYEQSNWDAADHQSFNMLLSSSSPEAAYPPTPDLLNLFHLPPKCAFLPNSSISFKSDSASSVVCDPLFHLNLTPQPPVFRELLQSLPHGYTLPADEKELYHDGDNGILEFTRDISMAAHAKGRDKAGKTTKHFATERERRVHLNDKFQALRTMVPSPTKNDRASIVGDAIDYIKELLRTVRELKLVVEKKRCGQDRSKRLKTEETASAADAGDGGCKLLGLGDPDQCYNTCLRSSWLQRKSKNSETPR >KJB75795 pep chromosome:Graimondii2_0_v6:12:8087690:8089794:1 gene:B456_012G058300 transcript:KJB75795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDFASNVSLPCPSKKKRTNRLAKLKQYKLDVRREQWLSQVKNKDCKVDLNGCAGSPPFSTKRADEQNKSLRNLETNLREEESEDALSIHESDLESLMNCPIQSNLGNNDTKEAISVHSCSGSSTGSCSGSVSEEEKDGCLDNWEDVADALSADDSQHNSPMNSPAKSETMVECASADQQFKDKRIDQSNSESRQTVYGSHTNCRAWRPDDVLRPQSLPSLSKQHDISLNSNWHSGHGAITWKQRSTMYHPSSCPICYEDLDATDSSFLPCSCGFLLCLFCHKRILEVDGRCPGCRKHYDSINRNICFNR >KJB75794 pep chromosome:Graimondii2_0_v6:12:8087689:8089794:1 gene:B456_012G058300 transcript:KJB75794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDFASNVSLPCPSKKKRTNRLAKLKQYKLDVRREQWLSQVKNKDCKVDLNGCAGSPPFSTKRADEQNKSLRNLETNLREEESEDALSIHESDLESLMNCPIQSNLGNNDTKEAISVHSCSGSSTGSCSGSVSEEEKDGCLDNWEDVADALSADDSQHNSPMNSPAKSETMVECASADQQFKDKRIDQSNSESRQTVYGSHTNCRAWRPDDVLRPQSLPSLSKQHDISLNSNWHSGHGAITWKQRSTMYHPSSCPICYEDLDATDSSFLPCSCGFLLCLFCHKRILEVDGRCPGCRKHYDSINRNICFNR >KJB74947 pep chromosome:Graimondii2_0_v6:12:1696170:1699874:1 gene:B456_012G015200 transcript:KJB74947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MEALLEPVFVFKLNPSFTTKNPCTVHFPNLPKIPSKPLVVATCCAGTPSPSPLLPQDSDQNPLQLSPDRTRDRRKVVRIAWEKLVRWSRSWRSKAKTDVLERTKKVVVLGGGSFGTAMAAHVANRKAQMEVSMLVRDPAVCRSINENHFNYKYFPEHKLPENVTATSDAKTALLGADYCLHAVPVQFSTSFLEGIAEHVDPGLPFISLSKGLELNTLRMMSQIIPQALKNPRQPFIALSGPSFALELMNKLPTAMVVASKDKKLAHAVQQLLSCSHLRISTSRDVTGVEIAGALKNVLAIAAGIVEGMNLGNNSMAALVAQGCSEIRWLATKMGGKPATITGLSGTGDIMLTCFVNLSRNKRVGVRLGSGEELEDILSSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIMDSELTPKKAVLELMSLPQVEEV >KJB74948 pep chromosome:Graimondii2_0_v6:12:1696170:1699189:1 gene:B456_012G015200 transcript:KJB74948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MEALLEPVFVFKLNPSFTTKNPCTVHFPNLPKIPSKPLVVATCCAGTPSPSPLLPQDSDQNPLQLSPDRTRDRRKVVRIAWEKLVRWSRSWRSKAKTDVLERTKKVVVLGGGSFGTAMAAHVANRKAQMEVSMLVRDPAVCRSINENHFNYKYFPEHKLPENVTATSDAKTALLGADYCLHAVPVQFSTSFLEGIAEHVDPGLPFISLSKGLELNTLRMMSQIIPQALKNPRQPFIALSGPSFALELMNKLPTAMVVASKDKKLAHAVQQLLSCSHLRISTSRDVTGVEIAGALKNVLAIAAGIVEGMNLGNNSMAALVAQGCSEIRWLATKMGGKPATITGLSGTGDIMLTCFVNLSRNKRVGVRLGSGEELEDILSSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIMDSELTPKKAVLELMSLPQVEEV >KJB75907 pep chromosome:Graimondii2_0_v6:12:9076032:9082122:-1 gene:B456_012G064000 transcript:KJB75907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAEIIPEPISVVKAEEEISKPILDLKKSEGGVAAPAGTDECLDKATNQELARNNGVEALNAVSQGNPEISKGESFSMSPEVSETCGMPDHNTIDDTPLKADKIPENDGKMSKSEATADHDSSLEEKCGSIVEETSQNADVESNKQEANLELKKQALSDNHDLIIESSNEANEIVSKDDHSDIVQEKLEEKSIEETKDTEPEKLPAIVGKEESAEATDLIETSAREKDQIISDSIGDTLTSKVAETSEIKDNDTTLEISEIAKKENENPHEEATCMHEPAAEVETKLEEVSKEPEMEEHPIADISSSSTGDEIMKESTEENESIPLKLTENASPSLEIQDSKKDTEERTLVEGSIDTADPESAPAAAESEETKLKEAPISDISSSMISDETVKESTKEDESTPLKVTEMASSSSELEELNKDAEEKTLVEESIDAADRESALAAVEPEETKLKEAEADAEEKKQETENVVATEENRLATTEHESVHVDGADNEVEQDKSSLSCEKDKEISREEDGMQDKVPYEHSETPVPQATDEILMEKADDPTLDVPTIESKETGPEQLAEESYVHTEQETGECISVAKTSQDEQLSDLGLEKEKIEDGKQSDEAVDSGDTSGMYKDVEKAIQEEGNLAESLAETEMPKGAQDQIPEVINMNDNEGQSKKPIAELSKSQADETITEVQNQESEKQINEEPADKPEVEQNAYEVTKAVILSEEVEDYSSVSKECLKEGESKEQVEVKNLEFEDHSIKDREASVIVESEDRRQDQESGDPVSTGTKDAESEEKIKDIVDESKHDCQDKSHEILTEVGVETSLDNTKVNEELATLSSVKTSLETCESDANQETKEVENPQHELEKTESALEPEVNEVEQAKAVPETSSECLSQSLQTSTTTLASKQEIETTQLSEKIKEQIQEVAEIVKHESSEDSSETKTIEEVSLQKEEETEPKVVSVEETIVDQGLQNEEPKDQIQTTSLTLPSKDELSDAKQMAEICLEKEEVDELGDGKKAETGAACAIQVEEPKDQILASELPEAVGGQETTVAQASITEEPTKLETKEDDKTMETEVKEDESPEKIQEQTTEVEGASNVEVETSEKAFDNELIKEELVKAIDEENQCDKTNEIIVNEVSKEEVQGVAETSYLTSEPELPVKDGLGEDKLKGKLIEDKASETTQTEEHVVEAQKMSENEIAEKQIVCEDKTVGNPAQASVAKIETATVVQEESSLELPKSDPEGTKGSDNQISRELEPIENTEITSSPVKEYVPIDLQDKVAESSEKAEVEDVKEVYLKEAEVGHGGDKITDESSEEITKKSTSVEDSTEIKELKDEHAGDKTNETSETPILENQNEKVTHCGNSKGRWFKQQF >KJB75917 pep chromosome:Graimondii2_0_v6:12:9075106:9082122:-1 gene:B456_012G064000 transcript:KJB75917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAEIIPEPISVVKAEEEISKPILDLKKSEGGVAAPAGTDECLDKATNQELARNNGVEALNAVSQGNPEISKGESFSMSPEVSETCGMPDHNTIDDTPLKADKIPENDGKMSKSEATADHDSSLEEKCGSIVEETSQNADVESNKQEANLELKKQALSDNHDLIIESSNEANEIVSKDDHSDIVQEKLEEKSIEETKDTEPEKLPAIVGKEESAEATDLIETSAREKDQIISDSIGDTLTSKVAETSEIKDNDTTLEISEIAKKENENPHEEATCMHEPAAEVETKLEEVSKEPEMEEHPIADISSSSTGDEIMKESTEENESIPLKLTENASPSLEIQDSKKDTEERTLVEGSIDTADPESAPAAAESEETKLKEAPISDISSSMISDETVKESTKEDESTPLKVTEMASSSSELEELNKDAEEKTLVEESIDAADRESALAAVEPEETKLKEAEADAEEKKQETENVVATEENRLATTEHESVHVDGADNEVEQDKSSLSCEKDKEISREEDGMQDKVPYEHSETPVPQATDEILMEKADDPTLDVPTIESKETGPEQLAEESYVHTEQETGECISVAKTSQDEQLSDLGLEKEKIEDGKQSDEAVDSGDTSGMYKDVEKAIQEEGNLAESLAETEMPKGAQDQIPEVINMNDNEGQSKKPIAELSKSQADETITEVQNQESEKQINEEPADKPEVEQNAYEVTKAVILSEEVEDYSSVSKECLKEGESKEQVEVKNLEFEDHSIKDREASVIVESEDRRQDQESGDPVSTGTKDAESEEKIKDIVDESKHDCQDKSHEILTEVGVETSLDNTKVNEELATLSSVKTSLETCESDANQETKEVENPQHELEKTESALEPEVNEVEQAKAVPETSSECLSQSLQTSTTTLASKQEIETTQLSEKIKEQIQEVAEIVKHESSEDSSETKTIEEVSLQKEEETEPKVVSVEETIVDQGLQNEEPKDQIQTTSLTLPSKDELSDAKQMAEICLEKEEVDELGDGKKAETGAACAIQVEEPKDQILASELPEAVGGQETTVAQASITEEPTKLETKEDDKTMETEVKEDESPEKIQEQTTEVEGASNVEVETSEKAFDNELIKEELVKAIDEENQCDKTNEIIVNEVSKEEVQGVAETSYLTSEPELPVKDGLGEDKLKGKLIEDKASETTQTEEHVVEAQKMSENEIAEKQIVCEDKTVGNPAQASVAKIETATVVQEESSLELPKSDPEGTKGSDNQISRELEPIENTEITSSPVKEYVPIDLQDKVAESSEKAEVEDVKEVYLKEAEVGHGGDKITDESSEEITKKSTSVEDSTEIKELKDEHAGDKTNETSETPILENQNEKLTVETLKDDGSNNNFKKEIVEEDRTVKDQEQAPVAVKEAITVPGEAIEKPEARDLQPEKAGVDNGEEKTDNSGVEIKLEPASTGTGNLSLSDLLQQSTEKKVEVAERVIEERELTVSKEAAPVEAAGTIQAKDLKTDEVPEGEEQNKTDSGSDAPVMVEAPREAETKPPKKSQNILSGVGSKVKNSISKVKKAITGKSSHPKEPKAISPK >KJB75920 pep chromosome:Graimondii2_0_v6:12:9074819:9082291:-1 gene:B456_012G064000 transcript:KJB75920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAEIIPEPISVVKAEEEISKPILDLKKSEGGVAAPAGTDECLDKATNQELARNNGVEALNAVSQGNPEISKGESFSMSPEVSETCGMPDHNTIDDTPLKADKIPENDGKMSKSEATADHDSSLEEKCGSIVEETSQNADVESNKQEANLELKKQALSDNHDLIIESSNEANEIVSKDDHSDIVQEKLEEKSIEETKDTEPEKLPAIVGKEESAEATDLIETSAREKDQIISDSIGDTLTSKVAETSEIKDNDTTLEISEIAKKENENPHEEATCMHEPAAEVETKLEEVSKEPEMEEHPIADISSSSTGDEIMKESTEENESIPLKLTENASPSLEIQDSKKDTEERTLVEGSIDTADPESAPAAAESEETKLKEAPISDISSSMISDETVKESTKEDESTPLKASSSSELEELNKDAEEKTLVEESIDAADRESALAAVEPEETKLKEAEADAEEKKQETENVVATEENRLATTEHESVHVDGADNEVEQDKSSLSCEKDKEISREEDGMQDKVPYEHSETPVPQATDEILMEKADDPTLDVPTIESKETGPEQLAEESYVHTEQETGECISVAKTSQDEQLSDLGLEKEKIEDGKQSDEAVDSGDTSGMYKDVEKAIQEEGNLAESLAETEMPKGAQDQIPEVINMNDNEGQSKKPIAELSKSQADETITEVQNQESEKQINEEPADKPEVEQNAYEVTKAVILSEEVEDYSSVSKECLKEGESKEQVEVKNLEFEDHSIKDREASVIVESEDRRQDQESGDPVSTGTKDAESEEKIKDIVDESKHDCQDKSHEILTEVGVETSLDNTKVNEELATLSSVKTSLETCESDANQETKEVENPQHELEKTESALEPEVNEVEQAKAVPETSSECLSQSLQTSTTTLASKQEIETTQLSEKIKEQIQEVAEIVKHESSEDSSETKTIEEVSLQKEEETEPKVVSVEETIVDQGLQNEEPKDQIQTTSLTLPSKDELSDAKQMAEICLEKEEVDELGDGKKAETGAACAIQVEEPKDQILASELPEAVGGQETTVAQASITEEPTKLETKEDDKTMETEVKEDESPEKIQEQTTEVEGASNVEVETSEKAFDNELIKEELVKAIDEENQCDKTNEIIVNEVSKEEVQGVAETSYLTSEPELPVKDGLGEDKLKGKLIEDKASETTQTEEHVVEAQKMSENEIAEKQIVCEDKTVGNPAQASVAKIETATVVQEESSLELPKSDPEGTKGSDNQISRELEPIENTEITSSPVKEYVPIDLQDKVAESSEKAEVEDVKEVYLKEAEVGHGGDKITDESSEEITKKSTSVEDSTEIKELKDEHAGDKTNETSETPILENQNEKLTVETLKDDGSNNNFKKEIVEEDRTVKDQEQAPVAVKEAITVPGEAIEKPEARDLQPEKAGVDNGEEKTDNSGVEIKLEPASTGTGNLSLSDLLQQSTEKKVEVAERVIEERELTVSKEAAPVEAAGTIQAKDLKTDEVPEGEEQNKTDSGSDAPVMVEAPREAETKPPKKSQNILSGVGSKVKNSISKVKKAITGKSSHPKEPKAISPK >KJB75912 pep chromosome:Graimondii2_0_v6:12:9074819:9082278:-1 gene:B456_012G064000 transcript:KJB75912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAEIIPEPISVVKAEEEISKPILDLKKSEGGVAAPAGTDECLDKATNQELARNNGVEALNAVSQGNPEISKGESFSMSPEVSETCGMPDHNTIDDTPLKADKIPENDGKMSKSEATADHDSSLEEKCGSIVEETSQNADVESNKQEANLELKKQALSDNHDLIIESSNEANEIVSKDDHSDIVQEKLEEKSIEETKDTEPEKLPAIVGKEESAEATDLIETSAREKDQIISDSIGDTLTSKVAETSEIKDNDTTLEISEIAKKENENPHEEATCMHEPAAEVETKLEEVSKEPEMEEHPIADISSSSTGDEIMKESTEENESIPLKLTENASPSLEIQDSKKDTEERTLVEGSIDTADPESAPAAAESEETKLKEAPISDISSSMISDETVKESTKEDESTPLKVTEMASSSSELEELNKDAEEKTLVEESIDAADRESALAAVEPEETKLKEAEADAEEKKQETENVVATEENRLATTEHESVHVDGADNEVEQDKSSLSCEKDKEISREEDGMQDKVPYEHSETPVPQATDEILMEKADDPTLDVPTIESKETGPEQLAEESYVHTEQETGECISVAKTSQDEQLSDLGLEKEKIEDGKQSDEAVDSGDTSGMYKDVEKAIQEEGNLAESLAETEMPKGAQDQIPEVINMNDNEGQSKKPIAELSKSQADETITEVQNQESEKQINEEPADKPEVEQNAYEVTKAVILSEEVEDYSSVSKECLKEGESKEQVEVKNLEFEDHSIKDREASVIVESEDRRQDQESGDPVSTGTKDAESEEKIKDIVDESKHDCQDKSHEILTEVGVETSLDNTKVNEELATLSSVKTSLETCESDANQETKEVENPQHELEKTESALEPEVNEVEQAKAVPETSSECLSQSLQTSTTTLASKQEIETTQLSEKIKEQIQEVAEIVKHESSEDSSETKTIEEVSLQKEEETEPKVVSVEETIVDQGLQNEEPKDQIQTTSLTLPSKDELSDAKQMAEICLEKEEVDELGDGKKAETGAACAIQVEEPKDQILASELPEAVGGQETTVAQASITEEPTKLETKEDDKTMETEVKEDESPEKIQEQTTEVEGASNVEVETSEKAFDNELIKEELVKAIDEENQCDKTNEIIVNEVSKEEVQGVAETSYLTSEPELPVKDGLGEDKLKGKLIEDKASETTQTEEHVVEAQKMSENEIAEKQIVCEDKTVGNPAQASVAKIETATVVQEESSLELPKSDPEGTKGSDNQISRELEPIENTEITSSPVKEYVPIDLQDKVAESSEKAEVEDVKEVYLKEAEKSTSVEDSTEIKELKDEHAGDKTNETSETPILENQNEKLTVETLKDDGSNNNFKKEIVEEDRTVKDQEQAPVAVKEAITVPGEAIEKPEARDLQPEKAGVDNGEEKTDNSGVEIKLEPASTGTGNLSLSDLLQQSTEKKVEVAERVIEERELTVSKEAAPVEAAGTIQAKDLKTDEVPEGEEQNKTDSGSDAPVMVEAPREAETKPPKKSQNILSGVGSKVKNSISKVKKAITGKSSHPKEPKAISPK >KJB75913 pep chromosome:Graimondii2_0_v6:12:9076032:9082122:-1 gene:B456_012G064000 transcript:KJB75913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAEIIPEPISVVKAEEEISKPILDLKKSEGGVAAPAGTDECLDKATNQELARNNGVEALNAVSQGNPEISKGESFSMSPEVSETCGMPDHNTIDDTPLKADKIPENDGKMSKSEATADHDSSLEEKCGSIVEETSQNADVESNKQEANLELKKQALSDNHDLIIESSNEANEIVSKDDHSDIVQEKLEEKSIEETKDTEPEKLPAIVGKEESAEATDLIETSAREKDQIISDSIGDTLTSKVAETSEIKDNDTTLEISEIAKKENENPHEEATCMHEPAAEVETKLEEVSKEPEMEEHPIADISSSSTGDEIMKESTEENESIPLKLTENASPSLEIQDSKKDTEERTLVEGSIDTADPESAPAAAESEETKLKEAPISDISSSMISDETVKESTKEDESTPLKVTEMASSSSELEELNKDAEEKTLVEESIDAADRESALAAVEPEETKLKEAEADAEEKKQETENVVATEENRLATTEHESVHVDGADNEVEQDKSSLSCEKDKEISREEDGMQDKVPYEHSETPVPQATDEILMEKADDPTLDVPTIESKETGPEQLAEESYVHTEQETGECISVAKTSQDEQLSDLGLEKEKIEDGKQSDEAVDSGDTSGMYKDVEKAIQEEGNLAESLAETEMPKGAQDQIPEVINMNDNEGQSKKPIAELSKSQADETITEVQNQESEKQINEEPADKPEVEQNAYEVTKAVILSEEVEDYSSVSKECLKEGESKEQVEVKNLEFEDHSIKDREASVIVESEDRRQDQESGDPVSTGTKDAESEEKIKDIVDESKHDCQDKSHEILTEVGVETSLDNTKVNEELATLSSVKTSLETCESDANQETKEVENPQHELEKTESALEPEVNEVEQAKAVPETSSECLSQSLQTSTTTLASKQEIETTQLSEKIKEQIQEVAEIVKHESSEDSSETKTIEEVSLQKEEETEPKVVSVEETIVDQGLQNEEPKDQIQTTSLTLPSKDELSDAKQMAEICLEKEEVDELGDGKKAETGAACAIQVEEPKDQILASELPEAVGGQETTVAQASITEEPTKLETKEDDKTMETEVKEDESPEKIQEQTTEVEGASNVEVETSEKAFDNELIKEELVKAIDEENQCDKTNEIIVNEVSKEEVQGVAETSYLTSEPELPVKDGLGEDKLKGKLIEDKASETTQTEEHVVEAQKMSENEIAEKQIVCEDKTVGNPAQASVAKIETATVVQEESSLELPKSDPEGTKGSDNQISRELEPIENTEITSSPVKEYVPIDLQDKVAESSEKAEVEDVKEVYLKEAEVGHGGDKITDESSEEITKKSTSVEDSTEIKELKDEHAGDKTNETSETPILENQNEKVSIEALIFYSTCSSLASNLSSLLILQTAHCGNSKGRWFKQQF >KJB75916 pep chromosome:Graimondii2_0_v6:12:9076398:9082122:-1 gene:B456_012G064000 transcript:KJB75916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAEIIPEPISVVKAEEEISKPILDLKKSEGGVAAPAGTDECLDKATNQELARNNGVEALNAVSQGNPEISKGESFSMSPEVSETCGMPDHNTIDDTPLKADKIPENDGKMSKSEATADHDSSLEEKCGSIVEETSQNADVESNKQEANLELKKQALSDNHDLIIESSNEANEIVSKDDHSDIVQEKLEEKSIEETKDTEPEKLPAIVGKEESAEATDLIETSAREKDQIISDSIGDTLTSKVAETSEIKDNDTTLEISEIAKKENENPHEEATCMHEPAAEVETKLEEVSKEPEMEEHPIADISSSSTGDEIMKESTEENESIPLKLTENASPSLEIQDSKKDTEERTLVEGSIDTADPESAPAAAESEETKLKEAPISDISSSMISDETVKESTKEDESTPLKVTEMASSSSELEELNKDAEEKTLVEESIDAADRESALAAVEPEETKLKEAEADAEEKKQETENVVATEENRLATTEHESVHVDGADNEVEQDKSSLSCEKDKEISREEDGMQDKVPYEHSETPVPQATDEILMEKADDPTLDVPTIESKETGPEQLAEESYVHTEQETGECISVAKTSQDEQLSDLGLEKEKIEDGKQSDEAVDSGDTSGMYKDVEKAIQEEGNLAESLAETEMPKGAQDQIPEVINMNDNEGQSKKPIAELSKSQADETITEVQNQESEKQINEEPADKPEVEQNAYEVTKAVILSEEVEDYSSVSKECLKEGESKEQVEVKNLEFEDHSIKDREASVIVESEDRRQDQESGDPVSTGTKDAESEEKIKDIVDESKHDCQDKSHEILTEVGVETSLDNTKVNEELATLSSVKTSLETCESDANQETKEVENPQHELEKTESALEPEVNEVEQAKAVPETSSECLSQSLQTSTTTLASKQEIETTQLSEKIKEQIQEVAEIVKHESSEDSSETKTIEEVSLQKEEETEPKVVSVEETIVDQGLQNEEPKDQIQTTSLTLPSKDELSDAKQMAEICLEKEEVDELGDGKKAETGAACAIQVEEPKDQILASELPEAVGGQETTVAQASITEEPTKLETKEDDKTMETEVKEDESPEKIQEQTTEVEGASNVEVETSEKAFDNELIKEELVKAIDEENQCDKTNEIIVNEVSKEEVQGVAETSYLTSEPELPVKDGLGEDKLKGKLIEDKASETTQTEEHVVEAQKMSENEIAEKQIVCEDKTVGNPAQASVAKIETATVVQEESSLELPKSDPEGTKGSDNQISRELEPIENTEITSSPVKEYVPIDLQDKVAESSEKAEVEDVKEVYLKEAEVGHGGDKITDESSEEITKKSTSVEDSTEVPDASWTWVWELIL >KJB75918 pep chromosome:Graimondii2_0_v6:12:9075106:9082122:-1 gene:B456_012G064000 transcript:KJB75918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAEIIPEPISVVKAEEEISKPILDLKKSEGGVAAPAGTDECLDKATNQELARNNGVEALNAVSQGNPEISKGESFSMSPEVSETCGMPDHNTIDDTPLKADKIPENDGKMSKSEATADHDSSLEEKCGSIVEETSQNADVESNKQEANLELKKQALSDNHDLIIESSNEANEIVSKDDHSDIVQEKLEEKSIEETKDTEPEKLPAIVGKEESAEATDLIETSAREKDQIISDSIGDTLTSKVAETSEIKDNDTTLEISEIAKKENENPHEEATCMHEPAAEVETKLEEVSKEPEMEEHPIADISSSSTGDEIMKESTEENESIPLKLTENASPSLEIQDSKKDTEERTLVEGSIDTADPESAPAAAESEETKLKEAPISDISSSMISDETVKESTKEDESTPLKVTEMASSSSELEELNKDAEEKTLVEESIDAADRESALAAVEPEETKLKEAEADAEEKKQETENVVATEENRLATTEHESVHVDGADNEVEQDKSSLSCEKDKEISREEDGMQDKVPYEHSETPVPQATDEILMEKADDPTLDVPTIESKETGPEQLAEESYVHTEQETGECISVAKTSQDEQLSDLGLEKEKIEDGKQSDEAVDSGDTSGMYKDVEKAIQEEGNLAESLAETEMPKGAQDQIPEVINMNDNEGQSKKPIAELSKSQADETITEVQNQESEKQINEEPADKPEVEQNAYEVTKAVILSEEVEDYSSVSKECLKEGESKEQVEVKNLEFEDHSIKDREASVIVESEDRRQDQESGDPVSTGTKDAESEEKIKDIVDESKHDCQDKSHEILTEVGVETSLDNTKVNEELATLSSVKTSLETCESDANQETKEVENPQHELEKTESALEPEVNEVEQAKAVPETSSECLSQSLQTSTTTLASKQEIETTQLSEKIKEQIQEVAEIVKHESSEDSSETKTIEEVSLQKEEETEPKVVSVEETIVDQGLQNEEPKDQIQTTSLTLPSKDELSDAKQMAEICLEKEEVDELGDGKKAETGAACAIQVEEPKDQILASELPEAVGGQETTVAQASITEEPTKLETKEDDKTMETEVKEDESPEKIQEQTTEVEGASNVEVETSEKAFDNELIKEELVKAIDEENQCDKTNEIIVNEVSKEEVIKQMHHLTISIYFLYSFSIICRQVQGVAETSYLTSEPELPVKDGLGEDKLKGKLIEDKASETTQTEEHVVEAQKMSENEIAEKQIVCEDKTVGNPAQASVAKIETATVVQEESSLELPKSDPEGTKGSDNQISRELEPIENTEITSSPVKEYVPIDLQDKVAESSEKAEVEDVKEVYLKEAEVGHGGDKITDESSEEITKKSTSVEDSTEIKELKDEHAGDKTNETSETPILENQNEKLTVETLKDDGSNNNFKKEIVEEDRTVKDQEQAPVAVKEAITVPGEAIEKPEARDLQPEKAGVDNGEEKTDNSGVEIKLEPASTGTGNLSLSDLLQQSTEKKVEVAERVIEERELTVSKEAAPVEAAGTIQAKDLKTDEVPEGEEQNKTDSGSDAPVMVEAPREAETKPPKKSQNILSGVGSKVKNSISKVKKAITGKSSHPKEPKAISPK >KJB75915 pep chromosome:Graimondii2_0_v6:12:9075106:9079775:-1 gene:B456_012G064000 transcript:KJB75915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDETVKESTKEDESTPLKVTEMASSSSELEELNKDAEEKTLVEESIDAADRESALAAVEPEETKLKEAEADAEEKKQETENVVATEENRLATTEHESVHVDGADNEVEQDKSSLSCEKDKEISREEDGMQDKVPYEHSETPVPQATDEILMEKADDPTLDVPTIESKETGPEQLAEESYVHTEQETGECISVAKTSQDEQLSDLGLEKEKIEDGKQSDEAVDSGDTSGMYKDVEKAIQEEGNLAESLAETEMPKGAQDQIPEVINMNDNEGQSKKPIAELSKSQADETITEVQNQESEKQINEEPADKPEVEQNAYEVTKAVILSEEVEDYSSVSKECLKEGESKEQVEVKNLEFEDHSIKDREASVIVESEDRRQDQESGDPVSTGTKDAESEEKIKDIVDESKHDCQDKSHEILTEVGVETSLDNTKVNEELATLSSVKTSLETCESDANQETKEVENPQHELEKTESALEPEVNEVEQAKAVPETSSECLSQSLQTSTTTLASKQEIETTQLSEKIKEQIQEVAEIVKHESSEDSSETKTIEEVSLQKEEETEPKVVSVEETIVDQGLQNEEPKDQIQTTSLTLPSKDELSDAKQMAEICLEKEEVDELGDGKKAETGAACAIQVEEPKDQILASELPEAVGGQETTVAQASITEEPTKLETKEDDKTMETEVKEDESPEKIQEQTTEVEGASNVEVETSEKAFDNELIKEELVKAIDEENQCDKTNEIIVNEVSKEEVQGVAETSYLTSEPELPVKDGLGEDKLKGKLIEDKASETTQTEEHVVEAQKMSENEIAEKQIVCEDKTVGNPAQASVAKIETATVVQEESSLELPKSDPEGTKGSDNQISRELEPIENTEITSSPVKEYVPIDLQDKVAESSEKAEVEDVKEVYLKEAEVGHGGDKITDESSEEITKKSTSVEDSTEIKELKDEHAGDKTNETSETPILENQNEKLTVETLKDDGSNNNFKKEIVEEDRTVKDQEQAPVAVKEAITVPGEAIEKPEARDLQPEKAGVDNGEEKTDNSGVEIKLEPASTGTGNLSLSDLLQQSTEKKVEVAERVIEERELTVSKEAAPVEAAGTIQAKDLKTDEVPEGEEQNKTDSGSDAPVMVEAPREAETKPPKKSQNILSGVGSKVKNSISKVKKAITGKSSHPKEPKAISPK >KJB75908 pep chromosome:Graimondii2_0_v6:12:9074819:9082278:-1 gene:B456_012G064000 transcript:KJB75908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAEIIPEPISVVKAEEEISKPILDLKKSEGGVAAPAGTDECLDKATNQELARNNGVEALNAVSQGNPEISKGESFSMSPEVSETCGMPDHNTIDDTPLKADKIPENDGKMSKSEATADHDSSLEEKCGSIVEETSQNADVESNKQEANLELKKQALSDNHDLIIESSNEANEIVSKDDHSDIVQEKLEEKSIEETKDTEPEKLPAIVGKEESAEATDLIETSAREKDQIISDSIGDTLTSKVAETSEIKDNDTTLEISEIAKKENENPHEEATCMHEPAAEVETKLEEVSKEPEMEEHPIADISSSSTGDEIMKESTEENESIPLKLTENASPSLEIQDSKKDTEERTLVEGSIDTADPESAPAAAESEETKLKEAPISDISSSMISDETVKESTKEDESTPLKVTEMASSSSELEELNKDAEEKTLVEESIDAADRESALAAVEPEETKLKEAEADAEEKKQETENVVATEENRLATTEHESVHVDGADNEVEQDKSSLSCEKDKEISREEDGMQDKVPYEHSETPVPQATDEILMEKADDPTLDVPTIESKETGPEQLAEESYVHTEQETGECISVAKTSQDEQLSDLGLEKEKIEDGKQSDEAVDSGDTSGMYKDVEKAIQEEGNLAESLAETEMPKGAQDQIPEVINMNDNEGQSKKPIAELSKSQADETITEVQNQESEKQINEEPADKPEVEQNAYEVTKAVILSEEVEDYSSVSKECLKEGESKEQVEVKNLEFEDHSIKDREASVIVESEDRRQDQESGDPVSTGTKDAESEEKIKDIVDESKHDCQDKSHEILTEVGVETSLDNTKVNEELATLSSVKTSLETCESDANQETKEVENPQHELEKTESALEPEVNEVEQAKAVPETSSECLSQSLQTSTTTLASKQEIETTQLSEKIKEQIQEVAEIVKHESSEDSSETKTIEEVSLQKEEETEPKVVSVEETIVDQGLQNEEPKDQIQTTSLTLPSKDELSDAKQMAEICLEKEEVDELGDGKKAETGAACAIQVEEPKDQILASELPEAVGGQETTVAQASITEEPTKLETKEDDKTMETEVKEDESPEKIQEQTTEVEGASNVEVETSEKAFDNELIKEELVKAIDEENQCDKTNEIIVNEVSKEEVQGVAETSYLTSEPELPVKDGLGEDKLKGKLIEDKASETTQTEEHVVEAQKMSENEIAEKQIVCEDKTVGNPAQASVAKIETATVVQEESSLELPKSDPEDLQDKVAESSEKAEVEDVKEVYLKEAEVGHGGDKITDESSEEITKKSTSVEDSTEIKELKDEHAGDKTNETSETPILENQNEKLTVETLKDDGSNNNFKKEIVEEDRTVKDQEQAPVAVKEAITVPGEAIEKPEARDLQPEKAGVDNGEEKTDNSGVEIKLEPASTGTGNLSLSDLLQQSTEKKVEVAERVIEERELTVSKEAAPVEAAGTIQAKDLKTDEVPEGEEQNKTDSGSDAPVMVEAPREAETKPPKKSQNILSGVGSKVKNSISKVKKAITGKSSHPKEPKAISPK >KJB75919 pep chromosome:Graimondii2_0_v6:12:9076398:9082122:-1 gene:B456_012G064000 transcript:KJB75919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAEIIPEPISVVKAEEEISKPILDLKKSEGGVAAPAGTDECLDKATNQELARNNGVEALNAVSQGNPEISKGESFSMSPEVSETCGMPDHNTIDDTPLKADKIPENDGKMSKSEATADHDSSLEEKCGSIVEETSQNADVESNKQEANLELKKQALSDNHDLIIESSNEANEIVSKDDHSDIVQEKLEEKSIEETKDTEPEKLPAIVGKEESAEATDLIETSAREKDQIISDSIGDTLTSKVAETSEIKDNDTTLEISEIAKKENENPHEEATCMHEPAAEVETKLEEVSKEPEMEEHPIADISSSSTGDEIMKESTEENESIPLKLTENASPSLEIQDSKKDTEERTLVEGSIDTADPESAPAAAESEETKLKEAPISDISSSMISDETVKESTKEDESTPLKVTEMASSSSELEELNKDAEEKTLVEESIDAADRESALAAVEPEETKLKEAEADAEEKKQETENVVATEENRLATTEHESVHVDGADNEVEQDKSSLSCEKDKEISREEDGMQDKVPYEHSETPVPQATDEILMEKADDPTLDVPTIESKETGPEQLAEESYVHTEQETGECISVAKTSQDEQLSDLGLEKEKIEDGKQSDEAVDSGDTSGMYKDVEKAIQEEGNLAESLAETEMPKGAQDQIPEVINMNDNEGQSKKPIAELSKSQADETITEVQNQESEKQINEEPADKPEVEQNAYEVTKAVILSEEVEDYSSVSKECLKEGESKEQVEVKNLEFEDHSIKDREASVIVESEDRRQDQESGDPVSTGTKDAESEEKIKDIVDESKHDCQDKSHEILTEVGVETSLDNTKVNEELATLSSVKTSLETCESDANQETKEVENPQHELEKTESALEPEVNEVEQAKAVPETSSECLSQSLQTSTTTLASKQEIETTQLSEKIKEQIQEVAEIVKHESSEDSSETKTIEEVSLQKEEETEPKVVSVEETIVDQGLQNEEPKDQIQTTSLTLPSKDELSDAKQMAEICLEKEEVDELGDGKKAETGAACAIQVEEPKDQILASELPEAVGGQETTVAQASITEEPTKLETKEDDKTMETEVKEDESPEKIQEQTTEVEGASNVEVETSEKAFDNELIKEELVKAIDEENQCDKTNEIIVNEVSKEEVQGVAETSYLTSEPELPVKDGLGEDKLKGKLIEDKASETTQTEEHVVEAQKMSENEIAEKQIVCEDKTVGNPAQASVAKIETATVVQEESSLELPKSDPEGTKGSDNQISRELEPIENTEITSSPVKEYVPIDLQDKVAESSEKAEVEDVKEVYLKEAEVGHGGDKITDESSEEITKKSTSVEDSTEVPDASWTWVWELIL >KJB75910 pep chromosome:Graimondii2_0_v6:12:9074819:9082278:-1 gene:B456_012G064000 transcript:KJB75910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAEIIPEPISVVKAEEEISKPILDLKKSEGGVAAPAGTDECLDKATNQELARNNGVEALNAVSQGNPEISKGESFSMSPEVSETCGMPDHNTIDDTPLKADKIPENDGKMSKSEATADHDSSLEEKCGSIVEETSQNADVESNKQEANLELKKQALSDNHDLIIESSNEANEIVSKDDHSDIVQEKLEEKSIEETKDTEPEKLPAIVGKEESAEATDLIETSAREKDQIISDSIGDTLTSKVAETSEIKDNDTTLEISEIAKKENENPHEEATCMHEPAAEVETKLEEVSKEPEMEEHPIADISSSSTGDEIMKESTEENESIPLKLTENASPSLEIQDSKKDTEERTLVEGSIDTADPESAPAAAESEETKLKEAPISDISSSMISDETVKESTKEDESTPLKVTEMASSSSELEELNKDAEEKTLVEESIDAADRESALAAVEPEETKLKEAEADAEEKKQETENVVATEENRLATTEHESVHVDGADNEVEQDKSSLSCEKDKEISREEDGMQDKVPYEHSETPVPQATDEILMEKADDPTLDVPTIESKETGPEQLAEESYVHTEQETGECISVAKTSQDEQLSDLGLEKEKIEDGKQSDEAVDSGDTSGMYKDVEKAIQEEGNLAESLAETEMPKGAQDQIPEVINMNDNEGQSKKPIAELSKSQADETITEVQNQESEKQINEEPADKPEVEQNAYEVTKAVILSEEVEDYSSVSKECLKEGESKEQVEVKNLEFEDHSIKDREASVIVESEDRRQDQESGDPVSTGTKDAESEEKIKDIVDESKHDCQDKSHEILTEVGVETSLDNTKVNEELATLSSVKTSLETCESDANQETKEVENPQHELEKTESALEPEVNEVEQAKAVPETSSECLSQSLQTSTTTLASKQEIETTQLSEKIKEQIQEVAEIVKHESSEDSSETKTIEEVSLQKEEETEPKVVSVEETIVDQGLQNEEPKDQIQTTSLTLPSKDELSDAKQMAEICLEKEEVDELGDGKKAETGAACAIQVEEPKDQILASELPEAVGGQETTVAQASITEEPTKLETKEDDKTMETEVKEDESPEKIQEQTTEVEGASNVEVETSEKAFDNELIKEELVKAIDEENQCDKTNEIIVNEVSKEEVQGVAETSYLTSEPELPVKDGLGEDKLKGKLIEDKASETTQTEEHVVEAQKMSENEIAEKQIVCEDKTVGNPAQASVAKIETATVESSLELPKSDPEGTKGSDNQISRELEPIENTEITSSPVKEYVPIDLQDKVAESSEKAEVEDVKEVYLKEAEVGHGGDKITDESSEEITKKSTSVEDSTEIKELKDEHAGDKTNETSETPILENQNEKLTVETLKDDGSNNNFKKEIVEEDRTVKDQEQAPVAVKEAITVPGEAIEKPEARDLQPEKAGVDNGEEKTDNSGVEIKLEPASTGTGNLSLSDLLQQSTEKKVEVAERVIEERELTVSKEAAPVEAAGTIQAKDLKTDEVPEGEEQNKTDSGSDAPVMVEAPREAETKPPKKSQNILSGVGSKVKNSISKVKKAITGKSSHPKEPKAISPK >KJB75909 pep chromosome:Graimondii2_0_v6:12:9075914:9082122:-1 gene:B456_012G064000 transcript:KJB75909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAEIIPEPISVVKAEEEISKPILDLKKSEGGVAAPAGTDECLDKATNQELARNNGVEALNAVSQGNPEISKGESFSMSPEVSETCGMPDHNTIDDTPLKADKIPENDGKMSKSEATADHDSSLEEKCGSIVEETSQNADVESNKQEANLELKKQALSDNHDLIIESSNEANEIVSKDDHSDIVQEKLEEKSIEETKDTEPEKLPAIVGKEESAEATDLIETSAREKDQIISDSIGDTLTSKVAETSEIKDNDTTLEISEIAKKENENPHEEATCMHEPAAEVETKLEEVSKEPEMEEHPIADISSSSTGDEIMKESTEENESIPLKLTENASPSLEIQDSKKDTEERTLVEGSIDTADPESAPAAAESEETKLKEAPISDISSSMISDETVKESTKEDESTPLKVTEMASSSSELEELNKDAEEKTLVEESIDAADRESALAAVEPEETKLKEAEADAEEKKQETENVVATEENRLATTEHESVHVDGADNEVEQDKSSLSCEKDKEISREEDGMQDKVPYEHSETPVPQATDEILMEKADDPTLDVPTIESKETGPEQLAEESYVHTEQETGECISVAKTSQDEQLSDLGLEKEKIEDGKQSDEAVDSGDTSGMYKDVEKAIQEEGNLAESLAETEMPKGAQDQIPEVINMNDNEGQSKKPIAELSKSQADETITEVQNQESEKQINEEPADKPEVEQNAYEVTKAVILSEEVEDYSSVSKECLKEGESKEQVEVKNLEFEDHSIKDREASVIVESEDRRQDQESGDPVSTGTKDAESEEKIKDIVDESKHDCQDKSHEILTEVGVETSLDNTKVNEELATLSSVKTSLETCESDANQETKEVENPQHELEKTESALEPEVNEVEQAKAVPETSSECLSQSLQTSTTTLASKQEIETTQLSEKIKEQIQEVAEIVKHESSEDSSETKTIEEVSLQKEEETEPKVVSVEETIVDQGLQNEEPKDQIQTTSLTLPSKDELSDAKQMAEICLEKEEVDELGDGKKAETGAACAIQVEEPKDQILASELPEAVGGQETTVAQASITEEPTKLETKEDDKTMETEVKEDESPEKIQEQTTEVEGASNVEVETSEKAFDNELIKEELVKAIDEENQCDKTNEIIVNEVSKEEVQGVAETSYLTSEPELPVKDGLGEDKLKGKLIEDKASETTQTEEHVVEAQKMSENEIAEKQIVCEDKTVGNPAQASVAKIETATVVQEESSLELPKSDPEGTKGSDNQISRELEPIENTEITSSPVKEYVPIDLQDKVAESSEKAEVEDVKEVYLKEAEVGHGGDKITDESSEEITKKSTSVEDSTEIKELKDEHAGDKTNETSETPILENQNEKLTVETLKDDGSNNNFKKEIVEEDRTVKDQEQAPVAVKEAITVSENTVYKRDEIF >KJB75914 pep chromosome:Graimondii2_0_v6:12:9074819:9082278:-1 gene:B456_012G064000 transcript:KJB75914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAEIIPEPISVVKAEEEISKPILDLKKSEGGVAAPAGTDECLDKATNQELARNNGVEALNAVSQGNPEISKGESFSMSPEVSETCGMPDHNTIDDTPLKADKIPENDGKMSKSEATADHDSSLEEKCGSIVEETSQNADVESNKQEANLELKKQALSDNHDLIIESSNEANEIVSKDDHSDIVQEKLEEKSIEETKDTEPEKLPAIVGKEESAEATDLIETSAREKDQIISDSIGDTLTSKVAETSEIKDNDTTLEISEIAKKENENPHEEATCMHEPAAEVETKLEEVSKEPEMEEHPIADISSSSTGDEIMKESTEENESIPLKLTENASPSLEIQDSKKDTEERTLVEGSIDTADPESAPAAAESEETKLKEAPISDISSSMISDETVKESTKEDESTPLKVTEMASSSSELEELNKDAEEKTLVEESIDAADRESALAAVEPEETKLKEAEADAEEKKQETENVVATEENRLATTEHESVHVDGADNEVEQDKSSLSCEKDKEISREEDGMQDKVPYEHSETPVPQATDEILMEKADDPTLDVPTIESKETGPEQLAEESYVHTEQETGECISVAKTSQDEQLSDLGLEKEKIEDGKQSDEAVDSGDTSGMYKDVEKAIQEEGNLAESLAETEMPKGAQDQIPEVINMNDNEGQSKKPIAELSKSQADETITEVQNQESEKQINEEPADKPEVEQNAYEVTKAVILSEEVEDYSSVSKECLKEGESKEQVEVKNLEFEDHSIKDREASVIVESEDRRQDQESGDPVSTGTKDAESEEKIKDIVDESKHDCQDKSHEILTEVGVETSLDNTKVNEELATLSSVKTSLETCESDANQETKEVENPQHELEKTESALEPEVNEVEQAKAVPETSSECLSQSLQTSTTTLASKQEIETTQLSEKIKEQIQEVAEIVKHESSEDSSETKTIEEVSLQKEEETEPKVVSVEETIVDQGLQNEEPKDQIQTTSLTLPSKDELSDAKQMAEICLEKEEVDELGDGKKAETGAACAIQVEEPKDQILASELPEAVGGQETTVAQASITEEPTKLETKEDDKTMETEVKEDESPEKIQEQTTEVEGASNVEVETSEKAFDNELIKEELVKAIDEENQCDKTNEIIVNEVSKEEVQGVAETSYLTSEPELPVKDGLGEDKLKGKLIEDKASETTQTEEHVVEAQKMSENEIAEKQIVCEDKTVGNPAQASVAKIETATVVQEESSLELPKSDPEGTKGSDNQISRELEPIENTEITSSPVKEYVPIDLQDKVAESSEKAEVEDVKEVYLKEAEVGHGGDKITDESSEEITKKSTSVEDSTEELKDEHAGDKTNETSETPILENQNEKLTVETLKDDGSNNNFKKEIVEEDRTVKDQEQAPVAVKEAITVPGEAIEKPEARDLQPEKAGVDNGEEKTDNSGVEIKLEPASTGTGNLSLSDLLQQSTEKKVEVAERVIEERELTVSKEAAPVEAAGTIQAKDLKTDEVPEGEEQNKTDSGSDAPVMVEAPREAETKPPKKSQNILSGVGSKVKNSISKVKKAITGKSSHPKEPKAISPK >KJB75911 pep chromosome:Graimondii2_0_v6:12:9074819:9082278:-1 gene:B456_012G064000 transcript:KJB75911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAEIIPEPISVVKAEEEISKPILDLKKSEGGVAAPAGTDECLDKATNQELARNNGVEALNAVSQGNPEISKGESFSMSPEVSETCGMPDHNTIDDTPLKADKIPENDGKMSKSEATADHDSSLEEKCGSIVEETSQNADVESNKQEANLELKKQALSDNHDLIIESSNEANEIVSKDDHSDIVQEKLEEKSIEETKDTEPEKLPAIVGKEESAEATDLIETSAREKDQIISDSIGDTLTSKVAETSEIKDNDTTLEISEIAKKENENPHEEATCMHEPAAEVETKLEEVSKEPEMEEHPIADISSSSTGDEIMKESTEENESIPLKLTENASPSLEIQDSKKDTEERTLVEGSIDTADPESAPAAAESEETKLKEAPISDISSSMISDETVKESTKEDESTPLKVTEMASSSSELEELNKDAEEKTLVEESIDAADRESALAAVEPEETKLKEAEADAEEKKQETENVVATEENRLATTEHESVHVDGADNEVEQDKSSLSCEKDKEISREEDGMQDKVPYEHSETPVPQATDEILMEKADDPTLDVPTIESKETGPEQLAEESYVHTEQETGECISVAKTSQDEQLSDLGLEKEKIEDGKQSDEAVDSGDTSGMYKDVEKAIQEEGNLAESLAETEMPKGAQDQIPEVINMNDNEGQSKKPIAELSKSQADETITEVQNQESEKQINEEPADKPEVEQNAYEVTKAVILSEEVEDYSSVSKECLKEGESKEQVEVKNLEFEDHSIKDREASVIVESEDRRQDQESGDPVSTGTKDAESEEKIKDIVDESKHDCQDKSHEILTEVGVETSLDNTKVNEELATLSSVKTSLETCESDANQETKEVENPQHELEKTESALEPEVNEVEQAKAVPETSSECLSQSLQTSTTTLASKQEIETTQLSEKIKEQIQEVAEIVKHESSEDSSETKTIEEVSLQKEEETEPKVVSVEETIVDQGLQNEEPKDQIQTTSLTLPSKDELSDAKQMAEICLEKEEVDELGDGKKAETGAACAIQVEEPKDQILASELPEAVGGQETTVAQASITEEPTKLETKEDDKTMETEVKEDESPEKIQEQTTEVEGASNVEVETSEKAFDNELIKEELVKAIDEENQCDKTNEIIVNEVSKEEGVAETSYLTSEPELPVKDGLGEDKLKGKLIEDKASETTQTEEHVVEAQKMSENEIAEKQIVCEDKTVGNPAQASVAKIETATVVQEESSLELPKSDPEGTKGSDNQISRELEPIENTEITSSPVKEYVPIDLQDKVAESSEKAEVEDVKEVYLKEAEVGHGGDKITDESSEEITKKSTSVEDSTEIKELKDEHAGDKTNETSETPILENQNEKLTVETLKDDGSNNNFKKEIVEEDRTVKDQEQAPVAVKEAITVPGEAIEKPEARDLQPEKAGVDNGEEKTDNSGVEIKLEPASTGTGNLSLSDLLQQSTEKKVEVAERVIEERELTVSKEAAPVEAAGTIQAKDLKTDEVPEGEEQNKTDSGSDAPVMVEAPREAETKPPKKSQNILSGVGSKVKNSISKVKKAITGKSSHPKEPKAISPK >KJB74697 pep chromosome:Graimondii2_0_v6:12:554347:556824:-1 gene:B456_012G004400 transcript:KJB74697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTPSEMQEPSIDTDKLSYEIFSILESKFLFGYDDNQKLWIPKPISPPASEPMVQPGDENSFPAIKNQRGKICILSIDSGGMKGILCGKALAYLEKALKSKSGNPNARVADYFDVAAGSSVGGIFTAMLFATRDNNQPIFTAEETWRFLADNGKRIYRSGAVKNGGILKKILKNGSTGSSSNGMEKAMKEAFTAGRRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFPLWEVCRATSAEPGLSGPVLMQSVDGQTKCVAVDGGLAMSNPTVAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLLEVSYEYEQVKTWRLKDWAKPMARISGDGSADSVDQTVAMAFQQCRSSNYVRIQANGSGLGRCGPNVDTDPSPSNVKLLMEIGEEMLKQKNVESILFGGKRISEESNMEKLDWFAGELVLEHQRRSCRIAPTVAFKQPSPKIN >KJB74695 pep chromosome:Graimondii2_0_v6:12:553445:557346:-1 gene:B456_012G004400 transcript:KJB74695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTPSEMQEPSIDTDKLSYEIFSILESKFLFGYDDNQKLWIPKPISPPASEPMVQPGDENSFPAIKNQRGKICILSIDSGGMKGILCGKALAYLEKALKSKSGNPNARVADYFDVAAGSSVGGIFTAMLFATRDNNQPIFTAEETWRFLADNGKRIYRSGAVKNGGILKKILKNGSTGSSSNGMEKAMKEAFTAGRRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFPLWEVCRATSAEPGLSGPVLMQSVDGQTKCVAVDGGLAMSNPTVAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLLEVSYEYEQVKTWRLKDWAKPMARISGDGSADSVDQTVAMAFQQCRSSNYVRIQANGSGLGRCGPNVDTDPSPSNVKLLMEIGEEMLKQKNVESILFGGKRISEESNMEKLDWFAGELVLEHQRRSCRIAPTVAFKQPSPKIN >KJB74693 pep chromosome:Graimondii2_0_v6:12:554347:556824:-1 gene:B456_012G004400 transcript:KJB74693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTPSEMQEPSIDTDKLSYEIFSILESKFLFGYDDNQKLWIPKPISPPASEPMVQPGDENSFPAIKNQRGKICILSIDSGGMKGILCGKALAYLEKALKSKSGNPNARVADYFDVAAGSSVGGIFTAMLFATRDNNQPIFTAEETWRFLADNGKRIYRSGAVKNGGILKKILKNGSTGSSSNGMEKAMKEAFTAGRRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFPLWEVCRATSAEPGLSGPVLMQSVDGQTKCVAVDGGLAMSNPTVAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLLEVSYEYEQVKTWRLKDWAKPMARISGDGSADSVDQTVAMAFQQCRSSNYVRIQANGSGLGRCGPNVDTDPSPSNVKLLMEIGEEMLKQKNVESILFGGKRISEESNMEKLDWFAGELVLEHQRRSCRIAPTVAFKQPSPKIN >KJB74696 pep chromosome:Graimondii2_0_v6:12:553445:557325:-1 gene:B456_012G004400 transcript:KJB74696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTPSEMQEPSIDTDKLSYEIFSILESKFLFGYDDNQKLWIPKPISPPASEPMVQPGDENSFPAIKNQRGKICILSIDSGGMKGILCGKALAYLEKALKSKSGNPNARVADYFDVAAGSSVGGIFTAMLFATRDNNQPIFTAEETWRFLADNGKRIYRSGAVKNGGILKKILKNGSTGSSSNGMEKAMKEAFTAGRRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFPLWEVCRATSAEPGLSGPVLMQSVDGQTKCVAVDGGLAMSNPTVAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLLEVSYEYEQVKTWRLKDWAKPMARISGDGSADSVDQTVAMAFQQCRSSNYVRIQANGSGLGRCGPNVDTDPSPSNVKLLMEIGEEMLKQKNVESILFGGKRISEESNMEKLDWFAGELVLEHQRRSCRIAPTVAFKQPSPKIN >KJB74694 pep chromosome:Graimondii2_0_v6:12:553377:557380:-1 gene:B456_012G004400 transcript:KJB74694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTPSEMQEPSIDTDKLSYEIFSILESKFLFGYDDNQKLWIPKPISPPASEPMVQPGDENSFPAIKNQRGKICILSIDSGGMKGILCGKALAYLEKALKSKSGNPNARVADYFDVAAGSSVGGIFTAMLFATRDNNQPIFTAEETWRFLADNGKRIYRSGAVKNGGILKKILKNGSTGSSSNGMEKAMKEAFTAGRRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFPLWEVCRATSAEPGLSGPVLMQSVDGQTKCVAVDGGLAMSNPTVAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLLEVSYEYEQVKTWRLKDWAKPMARISGDGSADSVDQTVAMAFQQCRSSNYVRIQANGSGLGRCGPNVDTDPSPSNVKLLMEIGEEMLKQKNVESILFGGKRISEESNMEKLDWFAGELVLEHQRRSCRIAPTVAFKQPSPKIN >KJB77417 pep chromosome:Graimondii2_0_v6:12:30893015:30895362:-1 gene:B456_012G135700 transcript:KJB77417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVPPGTTAIPAEASKTAHTKAEEKTDYMNLPCPIPFEELQREALMSLKPETFEGLRFDFTKGLNQKFSLSHSVVMGPTEIPSQSAETIKIPTAHYEFGANFIDPNLMLIGRVLTDGRVNARVKWDLTDNLSVKANAQLTNEPHMSHGMVNFDYKGKDYRSQFQMGNGALFGASYIQVNCMKPYYIC >KJB77415 pep chromosome:Graimondii2_0_v6:12:30890636:30895362:-1 gene:B456_012G135700 transcript:KJB77415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVPPGTTAIPAEASKTAHTKAEEKTDYMNLPCPIPFEELQREALMSLKPETFEGLRFDFTKGLNQKFSLSHSVVMGPTEIPSQSAETIKIPTAHYEFGANFIDPNLMLIGRVLTDGRVNARVKWDLTDNLSVKANAQLTNEPHMSHGMVNFDYKGKDYRSQFQMGNGALFGASYIQSVTPHLSLAGEVFWAGQHRKSGLGYAARYETDKMVAAGQVASTGMVALSYVQKVSEKVSLASDFMYNYMTKDVTASVGYDYILRQCRLRGKIDSNGCTTAYLEERLNMGLNFILSAEIIVRKTTNLGSD >KJB77414 pep chromosome:Graimondii2_0_v6:12:30890952:30895185:-1 gene:B456_012G135700 transcript:KJB77414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVPPGTTAIPAEASKTAHTKAEEKTDYMNLPCPIPFEELQREALMSLKPETFEGLRFDFTKGLNQKFSLSHSVVMGPTEIPSQSAETIKIPTAHYEFGANFIDPNWGQSWFSQLMLIGRVLTDGRVNARVKWDLTDNLSVKANAQLTNEPHMSHGMVNFDYKGKDYRSQFQMGNGALFGASYIQSVTPHLSLAGEVFWAGQHRKSGLGYAARYETDKMVAAGQVASTGMVALSYVQKVSEKVSLASDFMYNYMTKDVTASVGYDYILRQCRLRGKIDSNGCTTAYLEERLNMGLNFILSAEIDHSKKDYKFGFGLTVG >KJB77416 pep chromosome:Graimondii2_0_v6:12:30892620:30895362:-1 gene:B456_012G135700 transcript:KJB77416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVPPGTTAIPAEASKTAHTKAEEKTDYMNLPCPIPFEELQREALMSLKPETFEGLRFDFTKGLNQKFSLSHSVVMGPTEIPSQSAETIKIPTAHYEFGANFIDPNLMLIGRVLTDGRVNARVKWDLTDNLSVKANAQLTNEPHMSHGMVNFDYKGKDYRSQFQMGNGALFGASYIQSVTPHLSLAGEVFWAGQHRKSGLGYAARYETDKMGCQVVRTRISFLFNDL >KJB77413 pep chromosome:Graimondii2_0_v6:12:30890616:30895421:-1 gene:B456_012G135700 transcript:KJB77413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVPPGTTAIPAEASKTAHTKAEEKTDYMNLPCPIPFEELQREALMSLKPETFEGLRFDFTKGLNQKFSLSHSVVMGPTEIPSQSAETIKIPTAHYEFGANFIDPNLMLIGRVLTDGRVNARVKWDLTDNLSVKANAQLTNEPHMSHGMVNFDYKGKDYRSQFQMGNGALFGASYIQSVTPHLSLAGEVFWAGQHRKSGLGYAARYETDKMVAAGQVASTGMVALSYVQKVSEKVSLASDFMYNYMTKDVTASVGYDYILRQCRLRGKIDSNGCTTAYLEERLNMGLNFILSAEIDHSKKDYKFGFGLTVG >KJB74749 pep chromosome:Graimondii2_0_v6:12:674557:677185:1 gene:B456_012G005700 transcript:KJB74749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLELFLRHMGRLELGLVQLMLLPVSRLNLENQVHCNLTKGTLLYMLIVVQLRHQCLRGGEELSTELSVALQRCLLGGKSGAGAGIDPTSLVVVEGKVCWDLYIDGLVISSDGNLLDALAAAIKAALSNTGIPKVDVAADATSDEQPEVNISDEEFLQFDTSGIPVIVTLTKVGRHYIVDATSEEESQMSSAVSISVNRKGHICGLMKRGGVGLDPSIILDMISVAKHVSEQLINKLDSEIAAAEASEEES >KJB74752 pep chromosome:Graimondii2_0_v6:12:674565:677185:1 gene:B456_012G005700 transcript:KJB74752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSVGEKHFIQGGIAQDLRSDGRKRLTYRPIYVETGVIPQAHGSARVRLGATDVIASVKAELGKPSALQPDKGNIAIYVDCSPTAAPMFEVGRGGEELSTELSVALQRCLLGGKSGAGAGIDPTSLVVVEGKVCWDLYIDGLVISSDGNLLDALAAAIKAALSNTGIPKVDVAADATSDEQPEVNISDEEFLQFDTSGIPVIVTLTKVGRHYIVDATSEEESQMSSAVSISVNRKGHICGLMKRGGVGLDPSIILDMISVAKHVSEQLINKLDSEIAAAEASEEES >KJB74748 pep chromosome:Graimondii2_0_v6:12:674565:677185:1 gene:B456_012G005700 transcript:KJB74748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSVGEKHFIQGGIAQDLRSDGRKRLTYRPIYVETGVIPQAHGSARVRLGATDVIASVKAELGKPSALQPDKGNIAIYVDCSPTAAPMFEGRGGEELSTELSVALQRCLLGGKSGAGAGIDPTSLVVVEGKVCWDLYIDGLVISSDGNLLDALAAAIKAALSNTGIPKVDVAADATSDEQPEVNISDEEFLQFDTSGIPVIVTLTKVGRHYIVDATSEEESQMSSAVSISVNRKGHICGLMKRGGVGLDPSIILDMISVAKHVSEQLINKLDSEIAAAEASEEES >KJB74750 pep chromosome:Graimondii2_0_v6:12:674400:677199:1 gene:B456_012G005700 transcript:KJB74750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSVGEKHFIQGGIAQDLRSDGRKRLTYRPIYVETGVIPQAHGSARVRLGATDVIASVKAELGKPSALQPDKGNIAIYVDCSPTAAPMFEGRGGEELSTELSVALQRCLLGGKSGAGAGIDPTSLVVVEGKVCWDLYIDGLVISSDGNLLDALAAAIKAALSNTGIPKVDVAADATSDEQPEVNISDEEFLQFDTSGIPVIVTLTKVGRHYIVDATSEEESQMSSAVSISVNRKGHICGLMKRGGVGLDPSIILDMISVAKHVSEQLINKLDSEIAAAEASEEES >KJB74751 pep chromosome:Graimondii2_0_v6:12:674560:677185:1 gene:B456_012G005700 transcript:KJB74751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSVGEKHFIQGGIAQDLRSDGRKRLTYRPIYVETGVIPQAHGSARVRLGATDVIASVKAELGKPSALQPDKGNIAIYVDCSPTAAPMFEGRGGEELSTELSVALQRCLLGGKSGAGAGIDPTSLVVVEGKVCWDLYIDGLVISSDGNLLDALAAAIKAALSNTGIPKVDVAADATSDEQPEVNISDEEFLQFDTSGIPVIVTLTKVGRHYIVDATSEEESQMSSAVSISVNRKGHICGLMKRGGVGLDPSIILDMISVAKHVSEQLINKLDSEIAAAEASEEES >KJB75720 pep chromosome:Graimondii2_0_v6:12:7000463:7004406:1 gene:B456_012G053600 transcript:KJB75720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLGPNRFDIFEIYRRFCELRTGYEHVCDGEGYRQGEESQRAKFTRDALNQLLKMVESRMRERHMNAIFDELLKLMLQLNLMVDFSVFSRFYDFVFFVCRENGQKNITVSRAVAAWRLVLAGRFRLLNQWCDFVEKNQRHNISEDTWQQVLAFSRCVHETLEGYDPEGAWPVLIDDFVEHMYRISGSNKDTNGFCSYGDSGSQLCAYDDSLPGLKLVPGLKRKLRECNNDKMESLEPLFSSSPNPNCTSNSKRRFILCRSDEREDNLPQNSCDESMEIVKQSSPVGSSKSPCAVEGCMSKGLAGLLSCCSYFAV >KJB75723 pep chromosome:Graimondii2_0_v6:12:7000605:7004371:1 gene:B456_012G053600 transcript:KJB75723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLGPNRFDIFEIYRRFCELRTGYEHVCDGEGYRQGEESQRAKFTRDALNQLLKMVESRMRERHMNAIFDELLKLMLQLNLMVDFSVFSRFYDFVFFVCRENGQKNITVSRAVAAWRLVLAGRFRLLNQWCDFVEKNQRHNISEDTWQQVLAFSRCVHETLEGYDPEGAWPVLIDDFVEHMYRISGSNKDTNGFCSYGDSGSQLCAYDDSLPGLKLVPGLKRKLRECNNDKMESLEPLFSSSPNPNCTSNSKRRFILCRSDEREDNLPQNSCDESMEIVKQSSPVGSSKSPCAVEGCMSKGLAGLLSCCSYFAV >KJB75721 pep chromosome:Graimondii2_0_v6:12:7000477:7004371:1 gene:B456_012G053600 transcript:KJB75721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLGPNRFDIFEIYRRFCELRTGYEHVCDGEGYRQGEESQRAKFTRDALNQLLKMVESRMRERHMNAIFDELLKLMLQLNLMVDFSVFSRFYDFVFFVCRENGQKNITVSRAVAAWRLVLAGRFRLLNQWCDFVEKNQRHNISEDTWQQVLAFSRCVHETLEGYDPEGAWPVLIDDFVEHMYRISGSNKDTNGFCSYGDSGSQLCAYDDSLPVPGLKRKLRECNNDKMESLEPLFSSSPNPNCTSNSKRRFILCRSDEREDNLPQNSCDESMEIVKQSSPVGSSKSPCAVEGCMSKGLAGLLSCCSYFAV >KJB75725 pep chromosome:Graimondii2_0_v6:12:7000975:7004371:1 gene:B456_012G053600 transcript:KJB75725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESRMRERHMNAIFDELLKLMLQLNLMVDFSVFSRFYDFVFFVCRENGQKNITVSRAVAAWRLVLAGRFRLLNQWCDFVEKNQRHNISEDTWQQVLAFSRCVHETLEGYDPEGAWPVLIDDFVEHMYRISGSNKDTNGFCSYGDSGSQLCAYDDSLPGLKLVPGLKRKLRECNNDKMESLEPLFSSSPNPNCTSNSKRRFILCRSDEREDNLPQNSCDESMEIVKQSSPVGSSKSPCAVEGCMSKGLAGLLSCCSYFAV >KJB75722 pep chromosome:Graimondii2_0_v6:12:7000605:7004371:1 gene:B456_012G053600 transcript:KJB75722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESRMRERHMNAIFDELLKLMLQLNLMVDFSVFSRFYDFVFFVCRENGQKNITVSRAVAAWRLVLAGRFRLLNQWCDFVEKNQRHNISEDTWQQVLAFSRCVHETLEGYDPEGAWPVLIDDFVEHMYRISGSNKDTNGFCSYGDSGSQLCAYDDSLPGLKLVPGLKRKLRECNNDKMESLEPLFSSSPNPNCTSNSKRRFILCRSDEREDNLPQNSCDESMEIVKQSSPVGSSKSPCAVEGCMSKGLAGLLSCCSYFAV >KJB75724 pep chromosome:Graimondii2_0_v6:12:7000898:7004371:1 gene:B456_012G053600 transcript:KJB75724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESRMRERHMNAIFDELLKLMLQLNLMVDFSVFSRFYDFVFFVCRENGQKNITVSRAVAAWRLVLAGRFRLLNQWCDFVEKNQRHNISEDTWQQVLAFSRCVHETLEGYDPEGAWPVLIDDFVEHMYRISGSNKDTNGFCSYGDSGSQLCAYDDSLPGLKLVPGLKRKLRECNNDKMESLEPLFSSSPNPNCTSNSKRRFILCRSDEREDNLPQNSCDESMEIVKQSSPVGSSKSPCAVEGCMSKGLAGLLSCCSYFAV >KJB74706 pep chromosome:Graimondii2_0_v6:12:393185:395747:-1 gene:B456_012G003300 transcript:KJB74706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKLFKARFTSGAHKLVRTMLIYSLFCFILAVDVAEAVPIQDDCKVRRCNHQDPPVRFPFRLEGQQSPHCGYPHPGFQLSCSENKQTLLKIPRSLELLVKHIDYEAQRIDLYFPGGCLWRQLLDLNLSAFPFAVEQQGFSRLKARRSQPAESNYTLFECSSQEKALYKRYRVACLSTPGSQIIAFTSGAYYGFNLLNCSYFGKISTPISPYGIRFQLRWYKPDCGDCEAQGRGCRLNNNNSTKEQTECFYIPKNHIGLGERLMVAGIVSGSSIAAAIAIAVGWRYRLDKKEKESQVKIEKFLEDYKELKPSRYSYADIKRITNHFKDKLGQGGYGTVFKGRLSSDVLVAVKVLNNFKGNGEEFINEVGSMGRIHHVNVTRLVGFCADGYDRALVYEYLPNESLEKFIFAAEGKDRSLSWEKLQDIAVGVAKGIEYLHQGCEQRILHFDIKPHNILLDHNFNPKISDFGLAKLCSKEQSAISMTTARGTMGYIAPEVLSRNFGNVSYKSDVYSFGMLLLEMVGGRKNIDVTVENTSQVYFPEWAYDRLDKGEEFGIRIEDDAQTGIAKKLMIVGLWCIQWYPVDRPSMKVVVQMLEGELDVLTMPPNPFASTDPPKTMGATTPRKPVNRDLPTISEME >KJB76297 pep chromosome:Graimondii2_0_v6:12:13468631:13473194:-1 gene:B456_012G081900 transcript:KJB76297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44530) UniProtKB/Swiss-Prot;Acc:O64888] MASSSLLWLCSSNALISPATLLKAHPRFPIRQRFRCSLVEPLKFENGKPHFPLLTSGPAFPTFLSPNSHFQNDINKHDTRLRIFSGTANPALAQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIITNTIPVSEQNYFPQLTVLSVANLLGETIWRVHDDCSGGFEPYATLGID >KJB76294 pep chromosome:Graimondii2_0_v6:12:13469538:13472920:-1 gene:B456_012G081900 transcript:KJB76294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44530) UniProtKB/Swiss-Prot;Acc:O64888] MASSSLLWLCSSNALISPATLLKAHPRFPIRQRFRCSLVEPLKFENGKPHFPLLTSGPAFPTFLSPNSHFQNDINKHDTRLRIFSGTANPALAQEIACYMGLELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACSTHAVFRYSSCNRKIVKWSFPRGNHNKHHPSVRAKLFSSVDCPLCGKPSGRDHMACS >KJB76296 pep chromosome:Graimondii2_0_v6:12:13468631:13473194:-1 gene:B456_012G081900 transcript:KJB76296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44530) UniProtKB/Swiss-Prot;Acc:O64888] MASSSLLWLCSSNALISPATLLKAHPRFPIRQRFRCSLVEPLKFENGKPHFPLLTSGPAFPTFLSPNSHFQNDINKHDTRLRIFSGTANPALAQEIACYMGLELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIITNTIPVSEQNYFPQLTVLSVANLLGETIWRVHDDCSVRFEPYATLGID >KJB76295 pep chromosome:Graimondii2_0_v6:12:13468867:13472393:-1 gene:B456_012G081900 transcript:KJB76295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44530) UniProtKB/Swiss-Prot;Acc:O64888] MGLELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIITNTIPVSEQNYFPQLTVLSVANLLGETIWRVHDDCSGGFEPYATLGID >KJB76292 pep chromosome:Graimondii2_0_v6:12:13468631:13473194:-1 gene:B456_012G081900 transcript:KJB76292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44530) UniProtKB/Swiss-Prot;Acc:O64888] MASSSLLWLCSSNALISPATLLKAHPRFPIRQRFRCSLVEPLKFENGKPHFPLLTSGPAFPTFLSPNSHFQNDINKHDTRLRIFSGTANPALAQEIACYMGLELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIITNTIPVSEQNYFPQLTVLSVANLLGETIWRVHDDCSGGFEPYATLGID >KJB76293 pep chromosome:Graimondii2_0_v6:12:13468631:13472779:-1 gene:B456_012G081900 transcript:KJB76293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44530) UniProtKB/Swiss-Prot;Acc:O64888] MGLELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIITNTIPVSEQNYFPQLTVLSVANLLGETIWRVHDDCSGGFEPYATLGID >KJB76299 pep chromosome:Graimondii2_0_v6:12:13470162:13473194:-1 gene:B456_012G081900 transcript:KJB76299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44530) UniProtKB/Swiss-Prot;Acc:O64888] MASSSLLWLCSSNALISPATLLKAHPRFPIRQRFRCSLVEPLKFENGKPHFPLLTSGPAFPTFLSPNSHFQNDINKHDTRLRIFSGTANPALAQEIACYMGLELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACSTHAVFRYVMLGGSLSVFKSF >KJB76298 pep chromosome:Graimondii2_0_v6:12:13468586:13473194:-1 gene:B456_012G081900 transcript:KJB76298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44530) UniProtKB/Swiss-Prot;Acc:O64888] MASSSLLWLCSSNALISPATLLKAHPRFPIRQRFRCSLVEPLKFENGKPHFPLLTSGPAFPTFLSPNSHFQNDINKHDTRLRIFSGTANPALAQEIACYMGLELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIITNTIPVSEQNYFPH >KJB77244 pep chromosome:Graimondii2_0_v6:12:29314596:29315301:-1 gene:B456_012G127100 transcript:KJB77244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNGLLKTKLKGLSRDFSLVRGQLRSSAFEIINVINNKEKVIGYWTLENGLIRNLGKAKKGKSMSKYELKPPIWPGNTKYIPRGWTTPVGGNKLRIGVPDKTGFEAYLKLEQDPYTKESTVTGFSYDVFDEALALLPFAIPHKLIPFPIGPNAGTYNKLLYHVKNQKFDAAVGDITILANRSQYVDFTLPYLQSDVSMVVKIE >KJB75805 pep chromosome:Graimondii2_0_v6:12:8266499:8268834:1 gene:B456_012G058900 transcript:KJB75805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQPSASSTTLNSKYHHPSAPPPQMPPYDAHGDNFATKRMRKLTQRRAVDYTSTVVQYMQIAAGKSINIEAK >KJB75813 pep chromosome:Graimondii2_0_v6:12:8266556:8268807:1 gene:B456_012G058900 transcript:KJB75813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQPSASSTTLNSKYHHPSAPPPQMPPYDAHGDNFATKRMRKLTQRRAVDYTSTVVQYMQWFYRVECHSGIHGTGQHCKFECSNLLML >KJB75807 pep chromosome:Graimondii2_0_v6:12:8267148:8268262:1 gene:B456_012G058900 transcript:KJB75807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQPSASSTTLNSKYHHPSAPPPQMPPYDAHGDNFATKRMRKLTQRRAVDYTSTVVQYMQIAAGKSINIEAK >KJB75814 pep chromosome:Graimondii2_0_v6:12:8266678:8268802:1 gene:B456_012G058900 transcript:KJB75814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQPSASSTTLNSKYHHPSAPPPQMPPYDAHGDNFATKRMRKLTQRRAVDYTSTVVQYMQVFFSCLVVFISVFFLYLSISCCMVRLVNLINMDAYVCS >KJB75812 pep chromosome:Graimondii2_0_v6:12:8266623:8268521:1 gene:B456_012G058900 transcript:KJB75812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQPSASSTTLNSKYHHPSAPPPQMPPYDAHGDNFATKRMRKLTQRRAVDYTSTVVQYMQFMIHE >KJB75806 pep chromosome:Graimondii2_0_v6:12:8266499:8268836:1 gene:B456_012G058900 transcript:KJB75806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQPSASSTTLNSKYHHPSAPPPQMPPYDAHGDNFATKRMRKLTQRRAVDYTSTVVQYMQSRMSQRDSRDRTTLQIRMF >KJB75811 pep chromosome:Graimondii2_0_v6:12:8266596:8268802:1 gene:B456_012G058900 transcript:KJB75811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQPSASSTTLNSKYHHPSAPPPQMPPYDAHGDNFATKRMRKLTQRRAVDYTSTVVQYMQIAAGKSINIEAK >KJB75810 pep chromosome:Graimondii2_0_v6:12:8266744:8268802:1 gene:B456_012G058900 transcript:KJB75810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQPSASSTTLNSKYHHPSAPPPQMPPYDAHGDNFATKRMRKLTQRRAVDYTSTVVQYMQVFFSCLVVFISVFFLYLSISCCMVRLVNLINMDAYVCS >KJB75815 pep chromosome:Graimondii2_0_v6:12:8266596:8268802:1 gene:B456_012G058900 transcript:KJB75815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQPSASSTTLNSKYHHPSAPPPQMPPYDAHGDNFATKRMRKLTQRRAVDYTSTVVQYMQVFFSCLVVFISVFFLYLSISCCMVRLVNLINMDAYVCS >KJB75816 pep chromosome:Graimondii2_0_v6:12:8266623:8268802:1 gene:B456_012G058900 transcript:KJB75816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQPSASSTTLNSKYHHPSAPPPQMPPYDAHGDNFATKRMRKLTQRRAVDYTSTVVQYMQVFFSCLVVFISVFFLYLSISCCMVRLVNLINMDAYVCS >KJB75809 pep chromosome:Graimondii2_0_v6:12:8267148:8268452:1 gene:B456_012G058900 transcript:KJB75809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQPSASSTTLNSKYHHPSAPPPQMPPYDAHGDNFATKRMRKLTQRRAVDYTSTVVQYMQFMIHE >KJB75808 pep chromosome:Graimondii2_0_v6:12:8266556:8268807:1 gene:B456_012G058900 transcript:KJB75808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQPSASSTTLNSKYHHPSAPPPQMPPYDAHGDNFATKRMRKLTQRRAVDYTSTVVQYMQWFYRVECHSGIHGTGQHCKFECSNLLML >KJB77513 pep chromosome:Graimondii2_0_v6:12:31450812:31452807:1 gene:B456_012G141200 transcript:KJB77513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSGTVINGLGSSFLCGGNRSQALWGASSIGPIVATPAATRKRKLIVVAAAPPKKSWLPAVKGGGNLVDPEWLDGSLPGDYGFDPLGLGKDPTFLKWYREAELIHGRWAMTAVVGIFIGQAWSGVPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPKSQSVEWATPWSKTAENFANATGQQGYPGGKFFDPLGLAGTIQNGVYIPDYDKLERLQLAEIKHARIAMLAMLIFYFEAGQGKTPLGALGL >KJB78246 pep chromosome:Graimondii2_0_v6:12:35275095:35278783:-1 gene:B456_012G185600 transcript:KJB78246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVELKRIENKINRQVTFAKRRNGLLKKAYELSILCDAEVALIIFSNRGKLYEFSSSNSIADILERYNRCTYGALEPGQTEIETQRNYQEYLKLKAKVQVLQHSQRHFLGEDLGDLGSEELEQLEHQLDFSLKKIRSLKMERMVEQLSKLERKEEMLLETNRNLRRKLDENASALRSTWETGEQSVPCNLQHPRFLEPLQCTTSMQISYNVPADLTHENIATTTSAPSGFIPDWML >KJB78245 pep chromosome:Graimondii2_0_v6:12:35275196:35278741:-1 gene:B456_012G185600 transcript:KJB78245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVELKRIENKINRQVTFAKRRNGLLKKAYELSILCDAEVALIIFSNRGKLYEFSSSNSIADILERYNRCTYGALEPGQTEIETQRNYQEYLKLKAKVQVLQHSQRHFLGEDLGDLGSEELEQLEHQLDFSLKKIRSLKMERMVEQLSKLERKEEMLLETNRNLRRKLDENASALRSTWETGEQSVPCNLQHPRFLEPLQCTTSMQISYNVPADLTHENIATTTSAPSGFIPDWML >KJB77132 pep chromosome:Graimondii2_0_v6:12:27882711:27884436:-1 gene:B456_012G122100 transcript:KJB77132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKMEKKVMVAIDESECSHWALQWALENLGHTISASQLFIFNAQPLHNFAYLSASTYGAPPVDLINTVQENQKRLALALLEKAKGICANRGVDAETMTEVGDPKEKICEAVEKLNIELLILGSHGRGAIQRAFLGSVSNHCVHNAKCPVLVAITLKVTTTKFHFVGQTIAFGDELGEHVRKLGLFVNQ >KJB77133 pep chromosome:Graimondii2_0_v6:12:27883307:27884436:-1 gene:B456_012G122100 transcript:KJB77133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKMEKKVMVAIDESECSHWALQWALENLGHTISASQLFIFNAQPLHNFAYLSASTYGAPPVDLINTVQENQKRLALALLEKAKGICANRGVDAETMTEVGDPKEKICEAVEKLNIELLILGSHGRGAIQRAFLGSVSNHCVHNAKCPVLVVRKPD >KJB75291 pep chromosome:Graimondii2_0_v6:12:4382476:4386029:1 gene:B456_012G035500 transcript:KJB75291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQIDYFFKLLMIGDSGVGKSSLLLSFTAGTFDELSPTIGVDFKVKHVTVGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGVVMVYDVTRRETFTNLSEVWAKEFELYSTNQDCIKMLVGNKVDKESQRVVTKKEGIEFARENGCLFIECSAKTHVNVQQCFDELVLKIVDTPSLLAEGAKGVKKNMFNQKTPEANAATGACC >KJB75854 pep chromosome:Graimondii2_0_v6:12:8638101:8639669:1 gene:B456_012G061400 transcript:KJB75854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAATLPSKMKAWTYLEYGKSSDVLKLQSDVVVPQPRDDQVLLQVVAAGINPIDFKRMLGMFKNSDSPLPIIPGYDVAGVVVKVGSQVKKFKEGDQVYGDINEKAMDHPTQFGTIAQYTVVAEKLLALKPKNLSFVEAASLPLVIETAYEGLERCHFSAGKSILVLGGAGGVGTMIIQLAKQVYGASKIAATASTGKLDLLKSLGADLPIDYTNQNFEDLPEKFDVVYDAVGQCERAVKAVREGGDVVTIYGAVVPPATTFILTSNGAILEKLEPFLESGKVKPMIDPNGIFPFSETPQAFAYLETGRVTGKVVISIIP >KJB75857 pep chromosome:Graimondii2_0_v6:12:8638226:8639669:1 gene:B456_012G061400 transcript:KJB75857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAATLPSKMKAWTYLEYGKSSDVLKLQSDVVVPQPRDDQVLLQVVAAGINPIDFKRMLGMFKNSDSPLPIIPGYDVAGVVVKVGSQVKKFKEGDQVYGDINEKAMDHPTQFGTIAQYTVVAEKLLALKPKNLSFVEAASLPLVIETAYEGLERCHFSAGKSILVLGGAGGVGTMIIQVLNPSYYILIPSQLELMFILFILY >KJB75856 pep chromosome:Graimondii2_0_v6:12:8638294:8638976:1 gene:B456_012G061400 transcript:KJB75856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAATLPSKMKAWTYLEYGKSSDVLKLQSDVVVPQPRDDQVLLQVVAAGINPIDFKRMLGMFKNSDSPLPIIPGYDVAGVVVKVGSQVKKFKEGDQVYGDINEKAMDHPTQFGTIAQYTVVAEKLLALKPKNLSFVEAASLPLVIETAYEGLERCHFSAGKSILVLGGAGGVGTMIIQVLNPSYYILIPSQLELMFILFILY >KJB75855 pep chromosome:Graimondii2_0_v6:12:8638226:8639669:1 gene:B456_012G061400 transcript:KJB75855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAATLPSKMKAWTYLEYGKSSDVLKLQSDVVVPQPRDDQVLLQVVAAGINPIDFKRMLGMFKNSDSPLPIIPGYDVAGVVVKVGSQVKKFKEGDQVYGDINEKAMDHPTQFGTIAQYTVVAEKLLALKPKNLSFVEAASLPLVIETAYEGLERCHFSAGKSILVLGGAGGVGTMIIQLAKQVYGASKIAATASTGKLDLLKSLGADLPIDYTNQNFEDLPEKFDVVYDAVGNIRIHDTIT >KJB75959 pep chromosome:Graimondii2_0_v6:12:9263225:9266104:-1 gene:B456_012G065600 transcript:KJB75959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDGEKIKFPGGGTHFHYGADKYIVGLAQMLKFPGDKLNNGGHIRNVLDVGCGVASFGAYLLHHDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLGPGGYFAYSSPEAYEQDPENRKIWNAMYSLLKRMCWKVVAKRGQTVIWSKPLSNSCYLKRDPGTLPPLCNSSDDPDASWNVSMKACITPYSARMHKERWSGLLPWPQRLTAAPPRLEEIGVSSEEFHEDTKIWHFRVIEYWKQMKSVIQKNSIRNVMDMNSNLGGFATALKDKDLWVMNVASVKMSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIKERGCSSEDLLIEMDRILRPDGFVIIRDKHSVINYIQKFITALRWDGWLSEVEPRTDALSGGEELVLIARKKLWTDGFMTM >KJB75962 pep chromosome:Graimondii2_0_v6:12:9263225:9267034:-1 gene:B456_012G065600 transcript:KJB75962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKNEQIHTTRLLTYLLIGLIGVLGLVCLYYGSSVAPGSRRYDDTDSRLDGSDPVFGGFSRNRDLVDLLDEQGYYLEVPKSIPICDMKYSELIPCLDRNLIYQLKLKPNLTVMEHYERHCPPPERRYNCLIPPPKGYKIPIRWPVSRDEVWKANIPHTHLAQEKSDQHWMVVDGEKIKFPGGGTHFHYGADKYIVGLAQMLKFPGDKLNNGGHIRNVLDVGCGVASFGAYLLHHDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLGPGGYFAYSSPEAYEQDPENRKIWNAMYSLLKRMCWKVVAKRGQTVIWSKPLSNSCYLKRDPGTLPPLCNSSDDPDASWNVSMKACITPYSARMHKERWSGLLPWPQRLTAAPPRLEEIGVSSEEFHEDTKIWHFRVIEYWKQMKSVIQKNSIRNVMDMNSNLGGFATALKDKDLWVMNVASVKMSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIKERGCSSEDLLIEMDRILRPDGFVIIRDKHSVINYIQKFITALRWDGWLSEVEPRTDALSGGEELVLIARKKLWTDGFMTM >KJB75960 pep chromosome:Graimondii2_0_v6:12:9264052:9265970:-1 gene:B456_012G065600 transcript:KJB75960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDGEKIKFPGGGTHFHYGADKYIVGLAQMLKFPGDKLNNGGHIRNVLDVGCGVASFGAYLLHHDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLGPGGYFAYSSPEAYEQDPENRKIWNAMYSLLKRMCWKVVAKRGQTVIWSKPLSNSCYLKRDPGTLPPLCNSSDDPDASWNVSMKACITPYSARMHKERWSGLLPWPQRLTAAPPRLEEIGVSSEEFHEDTKIWHFRVIEYWKQMKSVIQKNSIRNVMDMNSNLGGFATALKDKDLWVMNVASVKMSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIKERGCSSEDLLIEMDRILRPDGFVIIRDKHSVINYIQKFITALRWDGWLSEVEPRTDALSGGEELVLIARKKLWTDGFMTM >KJB75958 pep chromosome:Graimondii2_0_v6:12:9264052:9265748:-1 gene:B456_012G065600 transcript:KJB75958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFPGDKLNNGGHIRNVLDVGCGVASFGAYLLHHDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLGPGGYFAYSSPEAYEQDPENRKIWNAMYSLLKRMCWKVVAKRGQTVIWSKPLSNSCYLKRDPGTLPPLCNSSDDPDASWNVSMKACITPYSARMHKERWSGLLPWPQRLTAAPPRLEEIGVSSEEFHEDTKIWHFRVIEYWKQMKSVIQKNSIRNVMDMNSNLGGFATALKDKDLWVMNVASVKMSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIKERGCSSEDLLIEMDRILRPDGFVIIRDKHSVINYIQKFITALRWDGWLSEVEPRTDALSGGEELVLIARKKLWTDGFMTM >KJB75965 pep chromosome:Graimondii2_0_v6:12:9263240:9267034:-1 gene:B456_012G065600 transcript:KJB75965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKNEQIHTTRLLTYLLIGLIGVLGLVCLYYGSSVAPGSRRYDDTDSRLDGSDPVFGGFSRNRDLVDLLDEQGYYLEVPKSIPICDMKYSELIPCLDRNLIYQLKLKPNLTVMEHYERHCPPPERRYNCLIPPPKGYKIPIRWPVSRDEVWKANIPHTHLAQEKSDQHWMVVDGEKIKFPGGGTHFHYGADKYIVGLAQMLKFPGDKLNNGGHIRNVLDVGCGVASFGAYLLHHDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLGPGGYFAYSSPEAYEQDPENRKIWNAMYSLLKRMCWKVVAKRGQTVIWSKPLSNSCYLKRDPGTLPPLCNSSDDPDASWNVSMKACITPYSARMHKERWSGLLPWPQRLTAAPPRLEEIGVSSEEFHEDTKIWHFRVIEYWKQMKSVIQKNSIRNVMDMNSNLGGFATALKDKDLWVMNVASVKMSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIKERGCSSEDLLIEMDRILRPDGFVIIRDKHSVINYIQKFITALRWDGWLSEVEPRTDALSGGEELVLIARKKLWTDGFMTM >KJB75963 pep chromosome:Graimondii2_0_v6:12:9263256:9267013:-1 gene:B456_012G065600 transcript:KJB75963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKNEQIHTTRLLTYLLIGLIGVLGLVCLYYGSSVAPGSRRYDDTDSRLDGSDPVFGGFSRNRDLVDLLDEQGYYLEVPKSIPICDMKYSELIPCLDRNLIYQLKLKPNLTVMEHYERHCPPPERRYNCLIPPPKGYKIPIRWPVSRDEVWKANIPHTHLAQEKSDQHWMVVDGEKIKFPGGGTHFHYGADKYIVGLAQMLKFPGDKLNNGGHIRNVLDVGCGVASFGAYLLHHDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLGPGGYFAYSSPEAYEQDPENRKIWNAMYSLLKRMCWKVVAKRGQTVIWSKPLSNSCYLKRDPGTLPPLCNSSDDPDASWNVSMKACITPYSARMHKERWSGLLPWPQRLTAAPPRLEEIGVSSEEFHEDTKIWHFRVIEYWKQMKSVIQKNSIRNVMDMNSNLGGFATALKDKDLWVMNVASVKMSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIKERGCSSEDLLIEMDRILRPDGFVIIRDKHSVINYIQKFITALRWDGWLSEVEPRTDALSGGEELVLIARKKLWTDGFMTM >KJB75961 pep chromosome:Graimondii2_0_v6:12:9263736:9267013:-1 gene:B456_012G065600 transcript:KJB75961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKNEQIHTTRLLTYLLIGLIGVLGLVCLYYGSSVAPGSRRYDDTDSRLDGSDPVFGGFSRNRDLVDLLDEQGYYLEVPKSIPICDMKYSELIPCLDRNLIYQLKLKPNLTVMEHYERHCPPPERRYNCLIPPPKGYKIPIRWPVSRDEVWKANIPHTHLAQEKSDQHWMVVDGEKIKFPGGGTHFHYGADKYIVGLAQMLKFPGDKLNNGGHIRNVLDVGCGVASFGAYLLHHDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLGPGGYFAYSSPEAYEQDPENRKIWNAMYSLLKRMCWKVVAKRGQTVIWSKPLSNSCYLKRDPGTLPPLCNSSDDPDASWNVSMKACITPYSARMHKERWSGLLPWPQRLTAAPPRLEEIGVSSEEFHEDTKIWHFRVIEYWKQMKSVIQKNSIRNVMDMNSNLGGFATALKDKDLWVMNVASVKMSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIKERGCSSEDLLIEMDRILRPDGFVIIRDKHSVINYIQKFITALRWDGWLSEVEPRTDALSGGEELVLIARKKLWTDGFMTM >KJB75964 pep chromosome:Graimondii2_0_v6:12:9263225:9267034:-1 gene:B456_012G065600 transcript:KJB75964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKNEQIHTTRLLTYLLIGLIGVLGLVCLYYGSSVAPGSRRYDDTDSRLDGSDPVFGGFSRNRDLVDLLDEQGYYLEVPKSIPICDMKYSELIPCLDRNLIYQLKLKPNLTVMEHYERHCPPPERRYNCLIPPPKGYKIPIRWPVSRDEVWKANIPHTHLAQEKSDQHWMVVDGEKIKFPGGGTHFHYGADKYIVGLAQMLKFPGDKLNNGGHIRNVLDVGCGVASFGAYLLHHDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLGPGGYFAYSSPEAYEQDPENRKIWNAMYSLLKRMCWKVVAKRGQTVIWSKPLSNSCYLKRDPGTLPPLCNSSDDPDASWNVSMKACITPYSARMHKERWSGLLPWPQRLTAAPPRLEEIGVSSEEFHEDTKIWHFRVIEYWKQMKSVIQKNSIRNVMDMNSNLGGFATALKDKDLWVMNVASVKMSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIKERGCSSEDLLIEMDRILRPDGFVIIRDKHSVINYIQKFITALRWDGWLSEVEPRTDALSGGEELVLIARKKLWTDGFMTI >KJB77680 pep chromosome:Graimondii2_0_v6:12:32409874:32417807:-1 gene:B456_012G150300 transcript:KJB77680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTALLRSLSCSSLACNKFLFSAPKHSRSFLSKSSSVFASTAARYHRRLVPNRSLLRRNSWRSLPRASSHSSSLRFGLNNKHFSSLSPRAVASPPTQPSSDIAGVGDEVAEKLGFEKVSEEFIGECKSKAVLFKHKKTGAEVMSVSNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNSKDFYNLVDVYLDAVFFPKCIEDFQTFQQEGWHYELNDPSEDITYKGVVFNEMKGVYSQPDNLLGRTAQQALFPDNTYGVDSGGDPLVIPKLTFEEFKEFHRKYYHPSNARIWFYGDDDPSERLRILSEYLDMFDASTAPNESKVEPQKLFSEPVRIVEKYPAGDGGDLKKKHMVCLNWLLSDKPLDLQTELTLGFLDHLLLGTPASPLRKVLLESGLGDAIIGGGVEDELLQPQFSIGLKGVSDDDIPKVEELIMSSLRKLAEEGFDTEAVEASMNTIEFSLRENNTGSFPRGLSLMLRSMGKWIYDMDPFEPLKYEQPLLDLKARIAEEGSKAVFSPLIEKFILNNPHCVTIEMQPDPEKASRDEAAEKENLEKVKASMTEEDLAELARATEELKLKQETPDPPEALKCVPSLSLHDIPKEPIRIPTEHDLFTNDVLYSEVVFDMSSLKQELLPLVPLFCQSLLEMGTKDLTFVQLNQLIGRKTGGISVYPFTSSIRGKEDPCSHIIVRGKSMAGRADDLFNLINCVLQEVQFTDQQRFKQFVSQSKARMENRLRGGGHGIAAARMDAKLNVAGWISEQMGGVSYLEFLQALEEKVDNDWAGISSSLEEIRKSLLSKEGCLVNMTADGKTLSNTGKFVGKFLDLLPSKSLVERASWNVRLPSNDEAIVIPTQVNYVGKAANLYDRGYQLSGSAYVISKHISNTWLWDRVRVSGGAYGGFCNFDTHSGVFTFLSYRDPNLLKTLDIYDGTGDFLRELKMDDDTLTKAIIGTIGDVDAYQLPDAKGYSSLVRYLLGITEEERQRRREEILSTSLKDFKEFADAIDAVKDNGVAVAVASPDDVETANKERLNFFQVKKAL >KJB77679 pep chromosome:Graimondii2_0_v6:12:32409855:32418001:-1 gene:B456_012G150300 transcript:KJB77679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTALLRSLSCSSLACNKFLFSAPKHSRSFLSKSSSVFASTAARYHRRLVPNRSLLRRNSWRSLPRASSHSSSLRFGLNNKHFSSLSPRAVASPPTQPSSDIAGVGDEVAEKLGFEKVSEEFIGECKSKAVLFKHKKTGAEVMSVSNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNSKDFYNLVDVYLDAVFFPKCIEDFQTFQQEGWHYELNDPSEDITYKGVVFNEMKGVYSQPDNLLGRTAQQALFPDNTYGVDSGGDPLVIPKLTFEEFKEFHRKYYHPSNARIWFYGDDDPSERLRILSEYLDMFDASTAPNESKVEPQKLFSEPVRIVEKYPAGDGGDLKKKHMVCLNWLLSDKPLDLQTELTLGFLDHLLLGTPASPLRKVLLESGLGDAIIGGGVEDELLQPQFSIGLKGVSDDDIPKVEELIMSSLRKLAEEGFDTEAVEASMNTIEFSLRENNTGSFPRGLSLMLRSMGKWIYDMDPFEPLKYEQPLLDLKARIAEEGSKAVFSPLIEKFILNNPHCVTIEMQPDPEKASRDEAAEKENLEKVKASMTEEDLAELARATEELKLKQETPDPPEALKCVPSLSLHDIPKEPIRIPTEVGDINGVKVLQHDLFTNDVLYSEVVFDMSSLKQELLPLVPLFCQSLLEMGTKDLTFVQLNQLIGRKTGGISVYPFTSSIRGKEDPCSHIIVRGKSMAGRADDLFNLINCVLQEVQFTDQQRFKQFVSQSKARMENRLRGGGHGIAAARMDAKLNVAGWISEQMGGVSYLEFLQALEEKVDNDWAGISSSLEEIRKSLLSKEGCLVNMTADGKTLSNTGKFVGKFLDLLPSKSLVERASWNVRLPSNDEAIVIPTQVNYVGKAANLYDRGYQLSGSAYVISKHISNTWLWDRVRVSGGAYGGFCNFDTHSGVFTFLSYRDPNLLKTLDIYDGTGDFLRELKMDDDTLTKAIIGTIGDVDAYQLPDAKGYSSLVRYLLGITEEERQRRREEILSTSLKDFKEFADAIDAVKDNGVAVAVASPDDVETANKERLNFFQVKKAL >KJB77681 pep chromosome:Graimondii2_0_v6:12:32411943:32417807:-1 gene:B456_012G150300 transcript:KJB77681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTALLRSLSCSSLACNKFLFSAPKHSRSFLSKSSSVFASTAARYHRRLVPNRSLLRRNSWRSLPRASSHSSSLRFGLNNKHFSSLSPRAVASPPTQPSSDIAGVGDEVAEKLGFEKVSEEFIGECKSKAVLFKHKKTGAEVMSVSNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNSKDFYNLVDVYLDAVFFPKCIEDFQTFQQEGWHYELNDPSEDITYKGVVFNEMKGVYSQPDNLLGRTAQQALFPDNTYGVDSGGDPLVIPKLTFEEFKEFHRKYYHPSNARIWFYGDDDPSERLRILSEYLDMFDASTAPNESKVEPQKLFSEPVRIVEKYPAGDGGDLKKKHMVCLNWLLSDKPLDLQTELTLGFLDHLLLGTPASPLRKVLLESGLGDAIIGGGVEDELLQPQFSIGLKGVSDDDIPKVEELIMSSLRKLAEEGFDTEAVEASMNTIEFSLRENNTGSFPRGLSLMLRSMGKWIYDMDPFEPLKYEQPLLDLKARIAEEGSKAVFSPLIEKFILNNPHCVTIEMQPDPEKASRDEAAEKENLEKVKASMTEEDLAELARATEELKLKQETPDPPEALKCVPSLSLHDIPKEPIRIPTEVGDINGVKVLQHDLFTNDVLYSEVVFDMSSLKQELLPLVPLFCQSLLEMGTKDLTFVQLNQLIGRKTGGISVYPFTSSIRGKEDPCSHIIVRGKSMAGRADDLFNLINCVLQEVQFTDQQRFKQFVSQSKARMENRLRGGGHGIAAARMDAKLNVAGWISEQMGGVSYLEFLQALEEKVDNDWAGISSSLEEIRKSLLSKEGCLVNMTADGKTLSNTGKFVGKFLDLLPSKSLVERASWNVRLPSNDEAIVIPTQVG >KJB74634 pep chromosome:Graimondii2_0_v6:12:1931557:1935074:1 gene:B456_012G017000 transcript:KJB74634 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP5 [Source:Projected from Arabidopsis thaliana (AT3G54480) UniProtKB/Swiss-Prot;Acc:Q94FT2] MEVEELEKRKSRKITSSSPSSALINNLDDGCLMHIFSFLSPIPDRYNTALVCHRWCYLACHPRLWLRVDRSVQDFSEPGVFPNIEEAVSAARYIKLLLICGLGLYGILLRPYLQTLPFRPGDTILIAAGGSHLASNIQIKKPLCLIGGGELPDETTVICLRGSDSALEFLSTCKLTNLTVKAELGCCLLHRSGRLIIDECILQCESNPLDYLSCPIMSTAGSGVFPSNLKSDGDSISVSHTRIEGGAKAVLTSGDLALQQVRVIYARTSLYFWFDVGCR >KJB74631 pep chromosome:Graimondii2_0_v6:12:1931348:1935089:1 gene:B456_012G017000 transcript:KJB74631 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP5 [Source:Projected from Arabidopsis thaliana (AT3G54480) UniProtKB/Swiss-Prot;Acc:Q94FT2] MEVEELEKRKSRKITSSSPSSALINNLDDGCLMHIFSFLSPIPDRYNTALVCHRWCYLACHPRLWLRVDRSVQDFSEPGVFPNIEEAVSAARPGDTILIAAGGSHLASNIQIKKPLCLIGGGELPDETTVICLRGSDSALEFLSTCKLTNLTVKAELGCCLLHRSGRLIIDECILQCESNPLDYLSCPIMSTAGSGVFPSNLKSDGDSISVSHTRIEGGAKAVLTSGDLALQQVRVIYARTSLYFWFDVGCR >KJB74632 pep chromosome:Graimondii2_0_v6:12:1931627:1934145:1 gene:B456_012G017000 transcript:KJB74632 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP5 [Source:Projected from Arabidopsis thaliana (AT3G54480) UniProtKB/Swiss-Prot;Acc:Q94FT2] MEVEELEKRKSRKITSSSPSSALINNLDDGCLMHIFSFLSPIPDRYNTALVCHRWCYLACHPRLWLRVDRSVQDFSEPGVFPNIEEAVSAARYIKLLLICGLGLYGILLRPYLQTLPFRPGDTILIAAGGSHLASNIQIKKPLCLIGGGELPDETTVICLRGSDRFANFLTMFLLRMLNVVIC >KJB74633 pep chromosome:Graimondii2_0_v6:12:1931557:1935074:1 gene:B456_012G017000 transcript:KJB74633 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP5 [Source:Projected from Arabidopsis thaliana (AT3G54480) UniProtKB/Swiss-Prot;Acc:Q94FT2] MEVEELEKRKSRKITSSSPSSALINNLDDGCLMHIFSFLSPIPDRYNTALVCHRWCYLACHPRLWLRVDRSVQDFSEPGVFPNIEEAVSAARPGDTILIAAGGSHLASNIQIKKPLCLIGGGELPDETTVICLRGSDRFANFLTIALEFLSTCKLTNLTVKAELGCCLLHRSGRLIIDECILQCESNPLDYLSCPIMSTAGSGVFPSNLKSDGDSISVSHTRIEGGAKAVLTSGDLALQQVRVIYARTSLYFWFDVGCR >KJB75522 pep chromosome:Graimondii2_0_v6:12:5625867:5646752:-1 gene:B456_012G045200 transcript:KJB75522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELCSGVAALLSINTWQGQQQHHHWARSQLSPLPISATHRLTKHSRFRVVCQSVETQAKTEIYDPEFGAKVGQDRLLKVPVSNIRNFCIIAHIDHGKSTLADKLLQMTGTVQKREMKEQFLDNMDLERERGITIKLQASRMRYMFENEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQVLNKIDLPGAEPDRVIREIEEVIGLDCSKAIPCSAKEGIGITEILNAIVERIPPPRDAATSPLRALIFDSYYDPYRGVIVYFRVIDGRIKKGDRIYFMASNKDYFADEVGVLSPNQLQVDELYAGEVGYLSASIRSVADARVGDTITHYDRKAESSLPGYEEATPMVFCGLFPVDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYRVNCVDNETVECSNPSLLPEPGQRRSIEEPVVKIEMLTPKDYIGSLMELAQDRRGEFKEMKYITENRASIIYELPLAEMVGDFFDQLKSRSKGYASMEYTFIGYKESELIKLDIQINGEPVEPLSTIVHRDKAYSVGRALTQKLKELIPRQMFKVPIQACIGSKVIASESLSAIRKDVLAKCYGGDISRKKKLLKKQAEGKKRMKAIGKVDVPQEAFMAVLKLEKEVL >KJB75521 pep chromosome:Graimondii2_0_v6:12:5626099:5646613:-1 gene:B456_012G045200 transcript:KJB75521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELCSGVAALLSINTWQGQQQHHHWARSQLSPLPISATHRLTKHSRFRVVCQSVETQAKTEIYDPEFGAKVGQDRLLKVPVSNIRNFCIIAHIDHGKSTLADKLLQMTGTVQKREMKEQFLDNMDLERERGITIKLQASRMRYMFENEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPDRVIREIEEVIGLDCSKAIPCSAKEGIGITEILNAIVERIPPPRDAATSPLRALIFDSYYDPYRGVIVYFRVIDGRIKKGDRIYFMASNKDYFADEVGVLSPNQLQVDELYAGEVGYLSASIRSVADARVGDTITHYDRKAESSLPGYEEATPMVFCGLFPVDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYRVNCVDNETVECSNPSLLPEPGQRRSIEEPVVKIEMLTPKDYIGSLMELAQDRRGEFKEMKYITENRASIIYELPLAEMVGDFFDQLKSRSKGYASMEYTFIGYKESELIKLDIQINGEPVEPLSTIVHRDKAYSVGRALTQKLKELIPRQMFKVPIQACIGSKVIASESLSAIRKDVLAKCYGGDISRKKKLLKKQAEGKKRMKAIGKVDVPQEAFMAVLKLEKEVL >KJB76381 pep chromosome:Graimondii2_0_v6:12:14632191:14633626:-1 gene:B456_012G086000 transcript:KJB76381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVAERGKMSDWGPVFIAVVLFILLTPGLLIQVPGRSRYVEFGNFQTSGVSILVHSIIYFGLICIFLIAIGVHMYVGS >KJB77564 pep chromosome:Graimondii2_0_v6:12:31744290:31752170:1 gene:B456_012G143900 transcript:KJB77564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSASQQRKRFDVFDFAAADERVERESAEILGRFKNPKRCRKPPSPLGKYKFLQRFAGRNEVSNSPIDLEVEDCKRTKLRESSNEPIELDSEVTEPQFLESHKTWERGKIDGPIDVDVEVQVTKTAPKASRYKDKDVNASGTGPLCTFPAHRPVNMGDEIPDLDNSLQSFSSNDENEQIDIISNDNGLIEMSSSSAFASSHVEFGDSPEEQVSANGSDVHEIEKEDVEIIVSPDFIMYRGMYCTEGQLTFSKTFLKFEDFSVNGTKTKISFIWAVGDIISIDAEWCQRVETAIMNFVLQSKNSKRAENANEISVIESLKFSVYDTCWSERQDSIKSLSVRYRDVWNTLSDKNEENTLMRQNGRFSSKPYFYDFHEHFEEVIYPKGDPDAISISKRDVELLCPETFINDTIIDFYIKYLKNKIKPEEQHRFHFFSSFFFLKLADLDKGLSDECQAKSAFQRVHKWTRKVDIFEKDYIFIPVNYSLHWSLIVICHPGEVAKLKDDATENLLKVPCILHMDSIRGSHRGLKNLFQSYLTEEWKQRHKEAADDVPSKFLNLQFVPLELPQQENSFDCGLFLLHYVERFLLQAPINFSPSKTTGSSNFLNMNWFPPAEASLKRCHIKRLIYEILEEQSCSSPSVDGIYKYSSSLLPLHSEQDSGVKSIDQIGSSAETCHDHSSNSNDLILSAASPTTVLEGRKDSELEIFDCYEVGILGGSLVNYTHGQRNAMSPIEEIEETSEEIAADSPIDLDGEASGSVTESRLFIRCPSKDVSVLSTSWNQHIPLHVEDSDFNKLSDSPDSSEIRLDDDDQVSNHGGESNKTISSATSNEGYSDCIIEDSQESSGMIMHDDIASTCSSLYFDRDISALSHQQVDPTTKFDLKDNLIPMTKGDEEPVTEVPKAYITSCRRKARSFSK >KJB77563 pep chromosome:Graimondii2_0_v6:12:31744279:31752536:1 gene:B456_012G143900 transcript:KJB77563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSASQQRKRFDVFDFAAADERVERESAEILGRFKNPKRCRKPPSPLGKYKFLQRFAGRNEVSNSPIDLEVEDCKRTKLRESSNEPIELDSEVTEPQFLESHKTWERGKIDGPIDVDVEEVQVTKTAPKASRYKDKDVNASGTGPLCTFPAHRPVNMGDEIPDLDNSLQSFSSNDENEQIDIISNDNGLIEMSSSSAFASSHVEFGDSPEEQVSANGSDVHEIEKEDVEIIVSPDFIMYRGMYCTEGQLTFSKTFLKFEDFSVNGTKTKISFIWAVGDIISIDAEWCQRVETAIMNFVLQSKNSKRAENANEISVIESLKFSVYDTCWSERQDSIKSLSVRYRDVWNTLSDKNEENTLMRQNGRFSSKPYFYDFHEHFEEVIYPKGDPDAISISKRDVELLCPETFINDTIIDFYIKYLKNKIKPEEQHRFHFFSSFFFLKLADLDKGLSDECQAKSAFQRVHKWTRKVDIFEKDYIFIPVNYSLHWSLIVICHPGEVAKLKDDATENLLKVPCILHMDSIRGSHRGLKNLFQSYLTEEWKQRHKEAADDVPSKFLNLQFVPLELPQQENSFDCGLFLLHYVERFLLQAPINFSPSKTTGSSNFLNMNWFPPAEASLKRCHIKRLIYEILEEQSCSSPSVDGIYKYSSSLLPLHSEQDSGVKSIDQIGSSAETCHDHSSNSNDLILSAASPTTVLEGRKDSELEIFDCYEVGILGGSLVNYTHGQRNAMSPIEEIEETSEEIAADSPIDLDGEASGSVTESRLFIRCPSKDVSVLSTSWNQHIPLHVEDSDFNKLSDSPDSSEIRLDDDDQVSNHGGESNKTISSATSNEGYSDCIIEDSQESSGMIMHDDIASTCSSLYFDRDISALSHQQVDPTTKFDLKDNLIPMTKGDEEPVTEVPKAYITSCRRKARSFSK >KJB77562 pep chromosome:Graimondii2_0_v6:12:31744269:31752536:1 gene:B456_012G143900 transcript:KJB77562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSASQQRKRFDVFDFAAADERVERESAEILGRFKNPKRCRKPPSPLGKYKFLQRFAGRNEVSNSPIDLEVEDCKRTKLRESSNEPIELDSEVTEPQFLESHKTWERGKIDGPIDVDVEEVQVTKTAPKASRYKDKDVNASGTGPLCTFPAHRPVNMGDEIPDLDNSLQSFSSNDENEQIDIISNDNGLIEMSSSSAFASSHVEFGDSPEEQVSANGSDVHEIEKEDVEIIVSPDFIMYRGMYCTEGQLTFSKTFLKFEDFSVNGTKTKISFIWAVGDIISIDAEWCQRVETAIMNFVLQSKNSKRAENANEISVIESLKFSVYDTCWSERQDSIKSLSVRYRDVWNTLSDKNEENTLMRQNGRFSSKPYFYDFHEHFEEVIYPKGDPDAISISKRDVELLCPETFINDTIIDFYIKYLKNKIKPEEQHRFHFFSSFFFLKLADLDKGLSDECQAKSAFQRVHKWTRKVDIFEKDYIFIPVNYSLHWSLIVICHPGEVAKLKDDATENLLKVPCILHMDSIRGSHRGLKNLFQSYLTEEWKQRHKEAADDVPSKFLNLQFVPLELPQQENSFDCGLFLLHYVERFLLQAPINFSPSKTTGSSNFLNMNWFPPAEASLKRCHIKRLIYEILEEQSCSSPSVDGIYKYSSSLLPLHSEQDSGVKSIDQIGSSAETCHDHSSNSNDLILSAASPTTVLEGRKDSELEIFDCYEVGILGGSLVNYTHGQRNAMSPIEEIEETSEEIAADSPIDLDGEASGSVTESRLFIRCPSKDIHQR >KJB75630 pep chromosome:Graimondii2_0_v6:12:6426959:6427914:1 gene:B456_012G049100 transcript:KJB75630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFCSTCEIDTETIIDHRAGYNLCSRCGSVLEISPFDEIPDLPIFSDSDENYDEFEYPLFEIDMSTWGPSLNPKDQKKVKTPLKKSLKLLAVMGDRLRLTKELKERAAEIYNIVDDYRTCRGRSLNSIVAACFFIACKESGSSRTLSEIAKAADGVSRKSINRTAESIKKQLEVETWKVQPGDLIERLCSNLGLRNQAIKAVKEAVERTEYLDIRRSPKTVLAAIIYMVIQLSHDKEPAPVKDIAKVMEVTEITIRKSFKDISIFGSKLIPDWYAKEEDIKKIPVP >KJB77677 pep chromosome:Graimondii2_0_v6:12:32826169:32828351:1 gene:B456_012G154200 transcript:KJB77677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENLVLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPERPAAPTSSNRASSQVEEAPAEAEPKFSAFTGTARRLDGKPLKQQSPPASSSGSKDKGPAISNGNNTRPYSGSSSQATAGQAQGKLVFGSHVNRSKDTKQESGKETRSEQPEKKEEPKFQPFTGKKYSLKG >KJB77675 pep chromosome:Graimondii2_0_v6:12:32824865:32828370:1 gene:B456_012G154200 transcript:KJB77675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFDGYGYHGTSFEQSYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNDAAERVSHCGVLEFIAEEGMIYMPYWMMENLVLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPERPAAPTSSNRASSQVEEAPAEAEPKFSAFTGTARRLDGKPLKQQSPPASSSGSKDKGPAISNGNNTRPYSGSSSQATAGQAQGKLVFGSHVNRSKDTKQESGKETRSEQPEKKEEPKFQPFTGKKYSLKG >KJB77673 pep chromosome:Graimondii2_0_v6:12:32825082:32828351:1 gene:B456_012G154200 transcript:KJB77673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFDGYGYHGTSFEQSYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNDAAERVSHCGVLEFIAEEGMIYMPYWMMENLVLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPERPAAPTSSNRASSQVEEAPAEAEPKFSAFTGTARRLDGKPLKQQSPPASSSGSKDKGPAISNGNNTRPYSGSSSQATAGQAQGKLVFGSHVNRSKDTKQESGKETRSEQPEKKEEPKFQPFTGKKYSLKG >KJB77676 pep chromosome:Graimondii2_0_v6:12:32825589:32828351:1 gene:B456_012G154200 transcript:KJB77676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIFNSLCRSISQFFDGYGYHGTSFEQSYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNDAAERVSHCGVLEFIAEEGMIYMPYWMMENLVLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPERPAAPTSSNRASSQVEEAPAEAEPKFSAFTGTARRLDGKPLKQQSPPASSSGSKDKGPAISNGNNTRPYSGSSSQATAGQAQGKLVFGSHVNRSKDTKQESGKETRSEQPEKKEEPKFQPFTGKKYSLKG >KJB77678 pep chromosome:Graimondii2_0_v6:12:32827012:32828351:1 gene:B456_012G154200 transcript:KJB77678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPERPAAPTSSNRASSQVEEAPAEAEPKFSAFTGTARRLDGKPLKQQSPPASSSGSKDKGPAISNGNNTRPYSGSSSQATAGQAQGKLVFGSHVNRSKDTKQESGKETRSEQPEKKEEPKFQPFTGKKYSLKG >KJB77674 pep chromosome:Graimondii2_0_v6:12:32825172:32828370:1 gene:B456_012G154200 transcript:KJB77674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFDGYGYHGTSFEQSYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNDAAERVSHCGVLEFIAEEGMIYMPYWMMENLVLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPERPAAPTSSNRASSQVEEAPAEAEPKFSAFTGTARRLDGKPLKQQSPPASSSGSKDKGPAISNGNNTRPYSGSSSQATAGQAQGKLVFGSHVNRSKDTKQESGKETRSEQPEKKEEPKFQPFTGKKYSLKG >KJB75662 pep chromosome:Graimondii2_0_v6:12:6577518:6579965:1 gene:B456_012G050600 transcript:KJB75662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSNFSSWWTARSHLQITPAESSDNGSGDINEDNLIPNPIECYACTQVGLPVFHSTSCDQAHPPEWEAYAGSSLVPIHARACLQKNSTQAATRQPSGPFGGRRGVLDPRSKRVQKWNRSILLARAMALAIDPLFFYAVSIGRGEWPCLYMDSGLAVTVTVLRTCVDAVHLFHIWLQFRLAYVSRESLVVGCGKLVWDARVIASHYVRSLKGFWFDAFVILPVPQAVFWLVLPKLMREEHIRHIMNILFLSFLFQFLPKVHHTICLMRRMKKVTGYVFGTIWWGFGLNLIAYFIASHVAGGCWYVLTMQRITSCLRQQCARNEQCGKLSLSCGSGSTKVNGKPLCLDVKGPFNYGIYEWALPVVSSNSVAVKILYPIFWGLMTLSTFGNILEPTSNWLEVIFSICLVLAGLLLFTLLIGNIQVFLHAVMAKKRQMQLRCRDMEWWMKRRQLPSCLRQRVRRFEHQNWATLGGEDEMELIKDLPEGLRRDIKRYLCLDLIKKVQNTRDIDPQL >KJB75661 pep chromosome:Graimondii2_0_v6:12:6577438:6580915:1 gene:B456_012G050600 transcript:KJB75661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSNFSSWWTARSHLQITPAESSDNGSGDINEDNLIPNPIECYACTQVGLPVFHSTSCDQAHPPEWEAYAGSSLVPIHARACLQKNSTQAATRQPSGPFGGRRGVLDPRSKRVQKWNRSILLARAMALAIDPLFFYAVSIGRGEWPCLYMDSGLAVTVTVLRTCVDAVHLFHIWLQFRLAYVSRESLVVGCGKLVWDARVIASHYVRSLKGFWFDAFVILPVPQAVFWLVLPKLMREEHIRHIMNILFLSFLFQFLPKVHHTICLMRRMKKVTGYVFGTIWWGFGLNLIAYFIASHVAGGCWYVLTMQRITSCLRQQCARNEQCGKLSLSCGSGSTKVNGKPLCLDVKGPFNYGIYEWALPVVSSNSVAVKILYPIFWGLMTLSTFGNILEPTSNWLEVIFSICLVLAGLLLFTLLIGNIQVFLHAVMAKKRQMQLRCRDMEWWMKRRQLPSCLRQRVRRFEHQNWATLGGEDEMELIKDLPEGLRRDIKRYLCLDLIKKVPLFHNLDDLIFDNICDRVKPLVFSKDEKIFREGDPVQRMVFIVHGRIKRTQSFSKGMVATSIIEAGGFIGDELLSWCLRQSFIDRLPASSATFVSVESVEAFGLDSDHLRYITYHFKYKFASERLKRTARYYSSNWQTWAAVNIQLAWRRYRTRVKGPNPITSRTEQGSSRDHQLLQYASMFMSIKLHDHLE >KJB75499 pep chromosome:Graimondii2_0_v6:12:5570105:5577053:1 gene:B456_012G044600 transcript:KJB75499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRDLADLVTKKLQKDLFVGAELYGKKDDGLYPCRVLKIVENGVGKMQYEVALLGENEKITENAILHGEDLVWKKTPFNRKILKSFIRESTCRSIPWVLHEKLAQKHGISTDLPEELRSKFFLQGGQLVNRTKKRKNENRNNVGEANGESGKSKRNKGEIGKPDASKEENNQPEDEPVKYPIDDLLVKPGPDDPLFTDRPSPSRDFNVPMDCIGDLLMVWDFCSSFSRVLNLWPFSLEDFENAICHKDSNLVLIVETHSALLRILIKDDGEYSLALQNKKRKSKISLITWTEYVCDFLEMINVTELCSCMTTIKRGHYGLLDANAKLGILRELVCHALETDLIRGKLDDLIEQRRALGATVRGEALEYARKKREEKEQLKGESNGLEVKENSMESTGSNPHMAENGEENGDMVEEVISSRQSNAFVNRKQLNGQSKKGEKQKLDPKVEAENITNSNEKEAQKQLTGEKKEDQEKKSKEKREQRKEYFKREMEKRSIRTNPLGKDRDYNRYWWFRRDGRIFVESSDSKQWGYYCAKEEVDALMSSLNPKGERERALQQQLEKFYPRISLELQKRSKDLAQKIALEEAVLRRSTRVRAPPRENPANAFLKYVNKWKED >KJB75500 pep chromosome:Graimondii2_0_v6:12:5570105:5577053:1 gene:B456_012G044600 transcript:KJB75500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKPFDLAKPPEDLEPSDLVYQVRFTKEIFRDYQEYLNRINLYRQRVWMCKSTGKSNLTYEEAMVMEKNAIQKVQQLPNDLVAPALRIIQFSMLSLRDLADLVTKKLQKDLFVGAELYGKKDDGLYPCRVLKIVENGVGKMQYEVALLGENEKITENAILHGEDLVWKKTPFNRKILKSFIRESTCRSIPWVLHEKLAQKHGISTDLPEELRSKFFLQGGQLVNRTKKRKNENRNNVGEANGESGKSKRNKGEIGKPDASKEENNQPEDEPVKYPIDDLLVKPGPDDPLFTDRPSPSRDFNVPMDCIGDLLMVWDFCSSFSRVLNLWPFSLEDFENAICHKDSNLVLIVETHSALLRILIKDDGEYSLALQNKKRKSKISLITWTEYVCDFLEMINVTELCSCMTTIKRGHYGLLDANAKLGILRELVCHALETDLIRGKLDDLIEQRRALGATVRGEALEYARKKREEKEQLKGESNGLEVKENSMESTGSNPHMAENGEENGDMVEEVISSRQSNAFVNRKQLNGQSKKGEKQKLDPKVEAENITNSNEKEAQKQLTGEKKEDQEKKSKEKREQRKEYFKREMEKRSIRTNPLGKDRDYNRYWWFRRDGRIFVESSDSKQWGYYCAKEEVDALMSSLNPKGERERALQQQLEKFYPRISLELQKRSKDLAQKIALEEAVLRRSTRVRAPPRENPANAFLKYVNKWKED >KJB75493 pep chromosome:Graimondii2_0_v6:12:5570105:5576955:1 gene:B456_012G044600 transcript:KJB75493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKPFDLAKPPEDLEPSDLVYQVRFTKEIFRDYQEYLNRINLYRQRVWMCKSTGKSNLTYEEAMVMEKNAIQKVQQLPNDLVAPALRIIQFSMLSLRDLADLVTKKLQKDLFVGAELYGKKDDGLYPCRVLKIVENGVGKMQYEVALLGENEKITENAILHGEDLVWKKTPFNRKILKSFIRESTCRSIPWVLHEKLAQKHGISTDLPEELRSKFFLQGGQLVNRTKKRKNENRNNVGEANGESGKSKRNKGEIGKPDASKEENNQPEDEPVKYPIDDLLVKPGPDDPLFTDRPSPSRDFNVPMDCIGDLLMVWDFCSSFSRVLNLWPFSLEDFENAICHKDSNLVLIVETHSALLRILIKDDGEYSLALQNKKRKSKISLITWTEYVCDFLEMINVTELCSCMTTIKRGHYGLLDANAKLGILRELVCHALETDLIRGKLDDLIEQRRALGATVRGEALEYARKKREEKEQLKGESNGLEVKENSMESTGSNPHMAENGEENGDMVEEVISSRQSNAFVNRKQLNGQSKKGEKQKLDPKVEAENITNSNEKEAQKQLTGEKKEDQEKKSKEKREQRKEYFKREMEKRSIRTNPLGKDRDYNRYWWFRRDGRIFVESSDSKQWGYYCAKEEVDALMSSLNPKGERERALQQQLEKFYPRISLELQKRSKDLAQKIALEEAVLRRSTRVRAPPRENPANAFLKYVNKWKED >KJB75496 pep chromosome:Graimondii2_0_v6:12:5570104:5577064:1 gene:B456_012G044600 transcript:KJB75496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKPFDLAKPPEDLEPSDLVYQVRFTKEIFRDYQEYLNRINLYRQRVWMCKSTGKSNLTYEEAMVMEKNAIQKVQQLPNDLVAPALRIIQFSMLSLRDLADLVTKKLQKDLFVGAELYGKKDDGLYPCRVLKIVENGVGKMQYEVALLGENEKITENAILHGEDLVWKKTPFNRKILKSFIRESTCRSIPWVLHEKLAQKHGISTDLPEELRSKFFLQGGQLVNRTKKRKNENRNNVGEANGESGKSKRNKGEIGKPDASKEENNQPEDEPVKYPIDDLLVKPGPDDPLFTDRPSPSRDFNVPMDCIGDLLMVWDFCSSFSRVLNLWPFSLEDFENAICHKDSNLVLIVETHSALLRILIKDDGEYSLALQNKKRKSKISLITWTEYVCDFLEMINVTELCSCMTTIKRGHYGLLDANAKLGILRELVCHALETDLIRGKLDDLIEQRRALGATVRGEALEYARKKREEKEQLKGESNGLEVKENSMESTGSNPHMAENGEENGDMVEEVISSRQSNAFVNRKQLNGQSKKGEKQKLDPKVEAENITNSNEKEAQKQLTGEKKEDQEKKSKEKREQRKEYFKREMEKRSIRTNPLGKDRDYNRYWWFRRDGRIFVESSDSKQWGYYCAKEEVDALMSSLNPKGERERALQQQLEKFYPRISLELQKRSKDLAQKIALEEAVLRRSTRVRAPPRENPANAFLKYVNKWKED >KJB75495 pep chromosome:Graimondii2_0_v6:12:5571182:5576012:1 gene:B456_012G044600 transcript:KJB75495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKPFDLAKPPEDLEPSDLVYQVRFTKEIFRDYQEYLNRINLYRQRVWMCKSTGKSNLTYEEAMVMEKNAIQKVQQLPNDLVAPALRIIQFSMLSLRDLADLVTKKLQKDLFVGAELYGKKDDGLYPCRVLKIVENGVGKMQYEVALLGENEKITENAILHGEDLVWKKTPFNRKILKSFIRESTCRSIPWVLHEKLAQKHGISTDLPEELRSKFFLQGGQLVNRTKKRKNENRNNVGEANGESGKSKRNKGEIGKPDASKEENNQPEDEPVKYPIDDLLVKPGPDDPLFTDRPSPSRDFNVPMDCIGDLLMVWDFCSSFSRVLNLWPFSLEDFENAICHKDSNLVLIVETHSALLRILIKDDGEYSLALQNKKRKSKISLITWTEYVCDFLEMINVTELCSCMTTIKRGHYGLLDANAKLGILRELVCHALETDLIRGKLDDLIEQRRALGATVRGEALEYARKKREEKEQLKGESNGLEVKENSMESTGSNPHMAENGEENGDMVEEVISSRQSNAFVNSRKQLNGQSKKGEKQKLDPKVEAENITNSNEKEAQKQLTGEKKEDQEKKSKEKREQRVQSHILALQLM >KJB75501 pep chromosome:Graimondii2_0_v6:12:5570105:5576955:1 gene:B456_012G044600 transcript:KJB75501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRDLADLVTKKLQKDLFVGAELYGKKDDGLYPCRVLKIVENGVGKMQYEVALLGENEKITENAILHGEDLVWKKTPFNRKILKSFIRESTCRSIPWVLHEKLAQKHGISTDLPEELRSKFFLQGGQLVNRTKKRKNENRNNVGEANGESGKSKRNKGEIGKPDASKEENNQPEDEPVKYPIDDLLVKPGPDDPLFTDRPSPSRDFNVPMDCIGDLLMVWDFCSSFSRVLNLWPFSLEDFENAICHKDSNLVLIVETHSALLRILIKDDGEYSLALQNKKRKSKISLITWTEYVCDFLEMINVTELCSCMTTIKRGHYGLLDANAKLGILRELVCHALETDLIRGKLDDLIEQRRALGATVRGEALEYARKKREEKEQLKGESNGLEVKENSMESTGSNPHMAENGEENGDMVEEVISSRQSNAFVNSRKQLNGQSKKGEKQKLDPKVEAENITNSNEKEAQKQLTGEKKEDQEKKSKEKREQRKEYFKREMEKRSIRTNPLGKDRDYNRYWWFRRDGRIFVESSDSKQWGYYCAKEEVDALMSSLNPKGERERALQQQLEKFYPRISLELQKRSKDLAQKIALEEAVLRRSTRVRAPPRENPANAFLKYVNKWKED >KJB75494 pep chromosome:Graimondii2_0_v6:12:5570104:5577064:1 gene:B456_012G044600 transcript:KJB75494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKPFDLAKPPEDLEPSDLVYQVRFTKEIFRDYQEYLNRINLYRQRVWMCKSTGKSNLTYEEAMVMEKNAIQKVQQLPNDLVAPALRIIQFSMLSLRDLADLVTKKLQKDLFVGAELYGKKDDGLYPCRVLKIVENGVGKMQYEVALLGENEKITENAILHGEDLVWKKTPFNRKILKSFIRESTCRSIPWVLHEKLAQKHGISTDLPEELRSKFFLQGGQLVNRTKKRKNENRNNVGEANGESGKSKRNKGEIGKPDASKEENNQPEDEPVKYPIDDLLVKPGPDDPLFTDRPSPSRDFNVPMDCIGDLLMVWDFCSSFSRVLNLWPFSLEDFENAICHKDSNLVLIVETHSALLRILIKDDGEYSLALQNKKRKSKISLITWTEYVCDFLEMINVTELCSCMTTIKRGHYGLLDANAKLGILRELVCHALETDLIRGKLDDLIEQRRALGATVRGEALEYARKKREEKEQLKGESNGLEVKENSMESTGSNPHMAENGEENGDMVEEVISSRQSNAFVNSRKQLNGQSKKGEKQKLDPKVEAENITNSNEKEAQKQLTGEKKEDQEKKSKEKREQRKEYFKREMEKRSIRTNPLGKDRDYNRYWWFRRDGRIFVESSDSKQWGYYCAKEEVDALMSSLNPKGERERALQQQLEKFYPRISLELQKRSKDLAQKIALEEAVLRRSTRVRAPPRENPANAFLKYVNKWKED >KJB75503 pep chromosome:Graimondii2_0_v6:12:5570105:5577053:1 gene:B456_012G044600 transcript:KJB75503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRDLADLVTKKLQKDLFVGAELYGKKDDGLYPCRVLKIVENGVGKMQYEVALLGENEKITENAILHGEDLVWKKTPFNRKILKSFIRESTCRSIPWVLHEKLAQKHGISTDLPEELRSKFFLQGGQLVNRTKKRKNENRNNVGEANGESGKSKRNKGEIGKPDASKEENNQPEDEPVKYPIDDLLVKPGPDDPLFTDRPSPSRDFNVPMDCIGDLLMVWDFCSSFSRVLNLWPFSLEDFENAICHKDSNLVLIVETHSALLRILIKDDGEYSLALQNKKRKSKISLITWTEYVCDFLEMINVTELCSCMTTIKRGHYGLLDANAKLGILRELVCHALETDLIRGKLDDLIEQRRALGATVRGEALEYARKKREEKEQLKGESNGLEVKENSMESTGSNPHMAENGEENGDMVEEVISSRQSNAFVNSRKQLNGQSKKGEKQKLDPKVEAENITNSNEKEAQKQLTGEKKEDQEKKSKEKREQRKEYFKREMEKRSIRTNPLGKDRDYNRYWWFRRDGRIFVESSDSKQWGYYCAKEEVDALMSSLNPKGERERALQQQLEKFYPRISLELQKRSKDLAQKIALEEAVLRRSTRVRAPPRENPANAFLKYVNKWKED >KJB75498 pep chromosome:Graimondii2_0_v6:12:5570105:5577053:1 gene:B456_012G044600 transcript:KJB75498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKPFDLAKPPEDLEPSDLVYQVRFTKEIFRDYQEYLNRINLYRQRVWMCKSTGKSNLTYEEAMVMEKNAIQKVQQLPNDLVAPALRIIQFSMLSLRDLADLVTKKLQKDLFVGAELYGKKDDGLYPCRVLKIVENGVGKMQYEVALLGENEKITENAILHGEDLVWKKTPFNRKILKSFIRESTCRSIPWVLHEKLAQKHGISTDLPEELRSKFFLQGGQLVNRTKKRKNENRNNVGEANGESGKSKRNKGEIGKPDASKEENNQPEDEPVKYPIDDLLVKPGPDDPLFTDRPSPSRDFNVPMDCIGDLLMVWDFCSSFSRVLNLWPFSLEDFENAICHKDSNLVLIVETHSALLRILIKDDGEYSLALQNKKRKSKISLITWTEYVCDFLEMINVTELCSCMTTIKRGHYGLLDANAKLGILRELVCHALETDLIRGKLDDLIEQRRALGATVRGEALEYARKKREEKEQLKGESNGLEVKENSMESTGSNPHMAENGEENGDMVEEVISSRQSNAFVNSRKQLNGQSKKGEKQKLDPKVEAENITNSNEKEAQKQLTGEKKEDQEKKSKEKREQRKEYFKREMEKRSIRTNPLGKDRDYNRYWWFRRDGRIFVESSDSKQWGYYCAKEEVDALMSSLNPKGERERALQQQLEKFYPRISLELQKRSKDLAQKIALEEAVLRRSTRVRAPPRENPANAFLKYVNKWKED >KJB75502 pep chromosome:Graimondii2_0_v6:12:5570105:5576955:1 gene:B456_012G044600 transcript:KJB75502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKPFDLAKPPEDLEPSDLVYQVRFTKEIFRDYQEYLNRINLYRQRVWMCKSTGKSNLTYEEAMVMEKNAIQKVQQLPNDLVAPALRIIQFSMLSLRDLADLVTKKLQKDLFVGAELYGKKDDGLYPCRVLKIVENGVGKMQYEVALLGENEKITENAILHGEDLVWKKTPFNRKILKSFIRESTCRSIPWVLHEKLAQKHGISTDLPEELRSKFFLQGGQLVNRTKKRKNENRNNVGEANGESGKSKRNKGEIGKPDASKEENNQPEDEPVKYPIDDLLVKPGPDDPLFTDRPSPSRDFNVPMDCIGDLLMVWDFCSSFSRVLNLWPFSLEDFENAICHKDSNLVLIVETHSALLRILIKDDGEYSLALQNKKRKSKISLITWTEYVCDFLEMINVTELCSCMTTIKRGHYGLLDANAKLGILRELVCHALETDLIRGKLDDLIEQRRALGATVRGEALEYARKKREEKEQLKGESNGLEVKENSMESTGSNPHMAENGEENGDMVEEVISSRQSNAFVNSRKQLNGQSKKGEKQKLDPKVEAENITNSNEKEAQKQLTGEKKEDQEKKSKEKREQRKEYFKREMEKRSIRTNPLGKDRDYNRYWWFRRDGRIFVESSDSKQWGYYCAKEEVDALMSSLNPKGERERALQQQLEKFYPRISLELQKRSKDLAQKIALEEAVLRRSTRVRAPPRENPANAFLKYVNKWKED >KJB75497 pep chromosome:Graimondii2_0_v6:12:5570105:5576955:1 gene:B456_012G044600 transcript:KJB75497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKPFDLAKPPEDLEPSDLVYQVRFTKEIFRDYQEYLNRINLYRQRVWMCKSTGKSNLTYEEAMVMEKNAIQKVQQLPNDLVAPALRIIQFSMLSLRDLADLVTKKLQKDLFVGAELYGKKDDGLYPCRVLKIVENGVGKMQYEVALLGENEKITENAILHGEDLVWKKTPFNRKILKSFIRESTCRSIPWVLHEKLAQKHGISTDLPEELRSKFFLQGGQLVNRTKKRKNENRNNVGEANGESGKSKRNKGEIGKPDASKEENNQPEDEPVKYPIDDLLVKPGPDDPLFTDRPSPSRDFNVPMDCIGDLLMVWDFCSSFSRVLNLWPFSLEDFENAICHKDSNLVLIVETHSALLRILIKDDGEYSLALQNKKRKSKISLITWTEYVCDFLEMINVTELCSCMTTIKRGHYGLLDANAKLGILRELVCHALETDLIRGKLDDLIEQRRALGATVRGEALEYARKKREEKEQLKGESNGLEVKENSMESTGSNPHMAENGEENGDMVEEVISSRQSNAFVNSRKQLNGQSKKGEKQKLDPKVEAENITNSNEKEAQKQLTGEKKEDQEKKSKEKREQRKEYFKREMEKRSIRTNPLGKDRDYNRYWWFRRDGRIFVESSDSKQWGYYCAKEEVDALMSSLNPKGERERALQQQLEKFYPRISLELQKRSKDLAQKIALEEAVLRRSTRVRAPPRENPANAFLKYVNKWKED >KJB77555 pep chromosome:Graimondii2_0_v6:12:31708630:31709397:-1 gene:B456_012G143400 transcript:KJB77555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHRLPFFVLPFLFVTLSLMSSNTVLVGARRLLETSVPEIPKPELPKIPSFPKVELPKPELPEIPKLEIPKMPELPKPELPKVPELPKPELPKVPEFPKVPELPKPELPKVPEFPKVPELPKPEFPKVPELKKPEEVKVPELPKVPEMPKAPKLSKSEAPKVPGLPKPELPKVPEVPKPELPKAPELPKVPEVPKPELPKAPELPKIPELTKPELPKIPEVPKPELPKVPELPKPEIPKLPNLPKPETPKQELP >KJB75066 pep chromosome:Graimondii2_0_v6:12:2700642:2703583:-1 gene:B456_012G021900 transcript:KJB75066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALLGAVVNEAVAKVIPIAAEQISLAWGFKKQLKKLGETLGMIEAFLQDAEEKQTKNTSVRLEDVAYEAVDVLDEFAYEILRRKVEIRNQILRKFLRMEDQRWRHDHSNIVGRKHDVSKVVNLLVNPKDKQVVSVVPIVGMAGLGKTTLAKLVYDDMNVKTLFDVKFWVCVSDHLDVKRILKEMLERFTDNQISVPQNKNAMVEKLKQKIEGAKGGKDQIKYLLVLDDEDLKLCLEGISTNGGNGVIVTTRKEDVASTVQARSDQWHQPEKLENEECWSIIKERALRDSPISHELEPIGKEIAKQCQGVPLVANVIGGLMSKIELSPSAWWEIQRNSTWGSPESVLEVKSVLKLSFDRLSSPSLKKCLAYCAMFPKDYCFKKEELIQLWMAEGFLGSSMAMVDNGNKYLNELLSNSLFQDVKKDTCGNILTFKMHDSVHDLSLSVSKFDALIFQENSTPITNECSHIRHLNVGCDGESLPRMLTIKLSKSFTRLRVLKLVGAYYILELPYSLGELKHLRYLDISRTSIKALEALGFKDIHLSGSPNIATFTFPDGLENLISLKHWYFDCEGLQPDNIGNLTCLQTLPVFYVGSERGRSIKELGSLNELRGEFEIRRLQCVRDKQEANGANLHLKLCKLIFDFKGSDSDNSEEVMESLQPHSNLQSLTVSSYQGNSFPSWMLRSVGDSGLFLLNNLVELNFYDCHNCESLPPLSQLQQLQFLELRNLKKVKGMGNEFYCNQAKTCTSKFLFSRKMQQNGDYKVKENINDRHYWSKIIIK >KJB77610 pep chromosome:Graimondii2_0_v6:12:32083261:32091587:1 gene:B456_012G146700 transcript:KJB77610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMEIDFKQYQLRCQLRGHEDDVRGICVCGSEGIATSSRDRTVRFWSLDSSDKRKYVSSKMLLGHSSFVGPLAWIAPDEEFPEGGIVSGGMDTMVFVWDLRTGEKVQSLKGHQLQVTGVVLDGGDIISSSVDCTLRRWRKGQPVESWEAHKSAIQAVIKLPSGELVSGSTDTTLKLWRGKTCLHTFSGHTDTVRGLGVMHGLGILSASHDGSIMLWAQSGEVLMVMVGHTSIVYSVDAHVSGLIVSGSEDRFTKIWKDGVCVQSLEHPGCVWDAKFLENGDIVTACSDGVVRIWTVDQENIADPVELEAYASELSEYKLSRKSVGGLKLVDLPGLEALQIPGTSDGQTKIVREGDNGVAYSWNMREQKWDKIGEVVDGPDDSMKRSVLDGVQYDYVFDVDIGDGEPIRKLPYNRSDNPYDTADKWLLKENLPLSYRQQIVEFILQNTGQKDFNIDPTFRDPYTGSSAYVPGQPSYASGIAAKPTFKHIPKRGMLVFDAAQFDGILKKISEFNNTLLADSEKKDFSLTEPEISRLGAIVKILKDTSHYHTSRFADVDIALLLKLLKSWPVAMIFPVIDMLRMTVLHPDGATVLLRHVEVNDVVMEMIMKATTDPALPANLLTSIRAVTNFFKNSSYYSWLQKHRSDILDAFSSCLASPNKNLQLAYSTLILNYAVLLIEKQDEEGQSHVLSAALEIAEQETLEIDSRFRALVAIGSLMLEGLVKKLAMDFDVGNIAKAAKASKEAKIAEIGADIELLTKQS >KJB77612 pep chromosome:Graimondii2_0_v6:12:32083318:32091587:1 gene:B456_012G146700 transcript:KJB77612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMEIDFKQYQLRCQLRGHEDDVRGICVCGSEGIATSSRDRTVRFWSLDSSDKRKYVSSKMLLGHSSFVGPLAWIAPDEEFPEGGIVSGGMDTMVFVWDLRTGEKVQSLKGHQLQVTGVVLDGGDIISSSVDCTLRRWRKGQPVESWEAHKSAIQAVIKLPSGELVSGSTDTTLKLWRGKTCLHTFSGHTDTVRGLGVMHGLGILSASHDGSIMLWAQSGEVLMVMVGHTSIVYSVDAHVSGLIVSGSEDRFTKIWKDGVCVQSLEHPGCVWDAKFLENGDIVTACSDGVVRIWTVDQENIADPVELEAYASELSEYKLSRKSVGGLKLVDLPGLEALQIPGTSDGQTKIVREGDNGVAYSWNMREQKWDKIGEVVDGPDDSMKRSVLDGVQYDYVFDVDIGDGEPIRKLPYNRSDNPYDTADKWLLKENLPLSYRQQIVEFILQNTGQKDFNIDPTFRDPYTGSSAYVPGQPSYASGIAAKPTFKHIPKRGMLVFDAAQFDGILKKISEFNNTLLADSEKKDFSLTEPEISRLGAIVKILKDTSHYHTSRFADVDIALLLKLLKSWPVAMIFPDVVMEMIMKATTDPALPANLLTSIRAVTNFFKNSSYYSWLQKHRSDILDAFSSCLASPNKNLQLAYSTLILNYAVLLIEKQDEEGQSHVLSAALEIAEQETLEIDSRFRALVAIGSLMLEGLVKKLAMDFDVGNIAKAAKASKEAKIAEIGADIELLTKQS >KJB77611 pep chromosome:Graimondii2_0_v6:12:32083318:32091587:1 gene:B456_012G146700 transcript:KJB77611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMEIDFKQYQLRCQLRGHEDDVRGICVCGSEGIATSSRDRTVRFWSLDSSDKRKYVSSKMLLGHSSFVGPLAWIAPDEEFPEGGIVSGGMDTMVFVWDLRTGEKVQSLKGHQLQVTGVVLDGGDIISSSVDCTLRRWRKGQPVESWEAHKSAIQAVIKLPSGELVSGSTDTTLKLWRGKTCLHTFSGHTDTVRGLGVMHGLGILSASHDGSIMLWAQSGEVLMVMVGHTSIVYSVDAHVSGLIVSGSEDRFTKIWKDGVCVQSLEHPGCVWDAKFLENGDIVTACSDGVVRIWTVDQENIADPVELEAYASELSEYKLSRKSVGGLKLVDLPGLEALQIPGTSDGQTKIVREGDNGVAYSWNMREQKWDKIGEVVDGPDDSMKRSVLDGVQYDYVFDVDIGDGEPIRKLPYNRSDNPYDTADKWLLKENLPLSYRQQIVEFILQNTGQKDFNIDPTFRDPYTGSSAYVPGQPSYASGIAAKPTFKHIPKRGMLVFDAAQFDGILKKISEFNNTLLADSDFSLTEPEISRLGAIVKILKDTSHYHTSRFADVDIALLLKLLKSWPVAMIFPVIDMLRMTVLHPDGATVLLRHVEVNDVVMEMIMKATTDPALPANLLTSIRAVTNFFKNSSYYSWLQKHRSDILDAFSSCLASPNKNLQLAYSTLILNYAVLLIEKQDEEGQSHVLSAALEIAEQETLEIDSRFRALVAIGSLMLEGLVKKLAMDFDVGNIAKAAKASKEAKIAEIGADIELLTKQS >KJB75621 pep chromosome:Graimondii2_0_v6:12:6526118:6529236:-1 gene:B456_012G050200 transcript:KJB75621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQQLNWNPKLIATLSCVCKWFDDLAKRVLWKEFCKTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSGGRLFNSVQIPGHFVYRTRFSRTSGKSFLLPQCRTDILYVSDPCEHLDQGDEGDVGFFRGVFKSFLVSKVRKMLIDRAAKLHPTAVCPYCKAKLWDMLQAKMIPQSASCRLGAYEDCIEYYVCLNGHMLGICTLLPLSDSEEASESE >KJB75623 pep chromosome:Graimondii2_0_v6:12:6526118:6527851:-1 gene:B456_012G050200 transcript:KJB75623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQQLNWNPKLIATLSCVCKWFDDLAKRVLWKEFCKTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSGGRLFNSVQIPGHFVYRTRFSRTSGKSFLLPQCRTDILYVSDPCEHLDQGDEGDVGFFRGVFKSFLVSKVRKMLIDRAAKLHPTAVCPYCKAKLWDMLQAKMIPQSASCRLGAYEDCIEYYVCLNGHMLGICTLLPLSDSEEASESE >KJB75618 pep chromosome:Graimondii2_0_v6:12:6526118:6529233:-1 gene:B456_012G050200 transcript:KJB75618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQQLNWNPKLIATLSCVCKWFDDLAKRVLWKEFCKTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSGGRLFNSVQIPGHFVYRTRFSRTSGKSFLLPQCRTDILYVSDPCEHLDQGDEGDVGFFRGVFKSFLVSKVRKMLIDRAAKLHPTAVCPYCKAKLWDMLQAKMIPQSASCRLGAYEDCIEYYVCLNGHMLGICTLLPLSDSEEASESE >KJB75616 pep chromosome:Graimondii2_0_v6:12:6526996:6527742:-1 gene:B456_012G050200 transcript:KJB75616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQQLNWNPKLIATLSCVCKWFDDLAKRVLWKEFCKTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSGGRLFNSVQIPGHFVYRTRFSRTSGKSFLLPQCRTDILYVSDPCEHLDQGDEGDVGFFRGVFKSFLVSKVRKMLIDRAAKLHPTAVCPYCKAKLWDMLQAKMIPQSASCRLGAYEDCIEYYVCLNGHMLGICTLLPLSDSEEASESE >KJB75622 pep chromosome:Graimondii2_0_v6:12:6526581:6529236:-1 gene:B456_012G050200 transcript:KJB75622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQQLNWNPKLIATLSCVCKWFDDLAKRVLWKEFCKTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSGGRLFNSVQIPGHFVYRTRFSRTSGKSFLLPQCRTDILYVSDPCEHLDQGDEGDVGFFRGVFKSFLVSKVRKMLIDRAAKLHPTAVCPYCKAKLWDMLQAKMIPQSASCRLGAYEDCIEYYVCLNGHMLGICTLLPLSDSEEASESE >KJB75625 pep chromosome:Graimondii2_0_v6:12:6526996:6527742:-1 gene:B456_012G050200 transcript:KJB75625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQQLNWNPKLIATLSCVCKWFDDLAKRVLWKEFCKTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSGGRLFNSVQIPGHFVYRTRFSRTSGKSFLLPQCRTDILYVSDPCEHLDQGDEGDVGFFRGVFKSFLVSKVRKMLIDRAAKLHPTAVCPYCKAKLWDMLQAKMIPQSASCRLGAYEDCIEYYVCLNGHMLGICTLLPLSDSEEASESE >KJB75617 pep chromosome:Graimondii2_0_v6:12:6526118:6529263:-1 gene:B456_012G050200 transcript:KJB75617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQQLNWNPKLIATLSCVCKWFDDLAKRVLWKEFCKTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSGGRLFNSVQIPGHFVYRTRFSRTSGKSFLLPQCRTDILYVSDPCEHLDQGDEGDVGFFRGVFKSFLVSKVRKMLIDRAAKLHPTAVCPYCKAKLWDMLQAKMIPQSASCRLGAYEDCIEYYVCLNGHMLGICTLLPLSDSEEASESE >KJB75619 pep chromosome:Graimondii2_0_v6:12:6526080:6529308:-1 gene:B456_012G050200 transcript:KJB75619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQQLNWNPKLIATLSCVCKWFDDLAKRVLWKEFCKTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSGGRLFNSVQIPGHFVYRTRFSRTSGKSFLLPQCRTDILYVSDPCEHLDQGDEGDVGFFRGVFKSFLVSKVRKMLIDRAAKLHPTAVCPYCKAKLWDMLQAKMIPQSASCRLGAYEDCIEYYVCLNGHMLGICTLLPLSDSEEASESE >KJB75624 pep chromosome:Graimondii2_0_v6:12:6526118:6529209:-1 gene:B456_012G050200 transcript:KJB75624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQQLNWNPKLIATLSCVCKWFDDLAKRVLWKEFCKTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSGGRLFNSVQIPGHFVYRTRFSRTSGKSFLLPQCRTDILYVSDPCEHLDQGDEGDVGFFRGVFKSFLVSKVRKMLIDRAAKLHPTAVCPYCKAKLWDMLQAKMIPQSASCRLGAYEDCIEYYVCLNGHMLGICTLLPLSDSEEASESE >KJB75620 pep chromosome:Graimondii2_0_v6:12:6526118:6529209:-1 gene:B456_012G050200 transcript:KJB75620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQQLNWNPKLIATLSCVCKWFDDLAKRVLWKEFCKTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSGGRLFNSVQIPGHFVYRTRFSRTSGKSFLLPQCRTDILYVSDPCEHLDQGDEGDVGFFRGVFKSFLVSKVRKMLIDRAAKLHPTAVCPYCKAKLWDMLQAKMIPQSASCRLGAYEDCIEYYVCLNGHMLGICTLLPLSDSEEASESE >KJB76914 pep chromosome:Graimondii2_0_v6:12:25678895:25681601:-1 gene:B456_012G112400 transcript:KJB76914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKTQQISSRPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVIGVLLGTSFKGTVDVTNSYAVPFEEDEKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHRLFHNYVPNPVLVIIDVQPKELGIPTKAYYDVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLTALKGLDARLREIRGYLDLVIDEKLPLNHEILYH >KJB76916 pep chromosome:Graimondii2_0_v6:12:25678939:25681649:-1 gene:B456_012G112400 transcript:KJB76916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKTQQISSRPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVIGVLLGTSFKGTVDVTNSYAVPFEEDEKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHRLFHNYVPNPVLVIIDVQPKELGIPTKAYYDVEEVKEDITLVVKITPFSPANRSGTLA >KJB76915 pep chromosome:Graimondii2_0_v6:12:25678895:25681649:-1 gene:B456_012G112400 transcript:KJB76915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKTQQISSRPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVIGVLLGTSFKGTVDVTNSYAVPFEEDEKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHRLFHNYVPNPVLVIIDVQPKELGIPTKAYYDVEEVKENATQKSQKDITLVVKITPFSPANRSGTLA >KJB76918 pep chromosome:Graimondii2_0_v6:12:25679326:25681481:-1 gene:B456_012G112400 transcript:KJB76918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKTQQISSRPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVIGVLLGTSFKGTVDVTNSYAVPFEEDEKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHRLFHNYVPNPVLVIIDVQPKELGIPTKAYYDVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLTALKGLDARLREIRGYLDLDVFNLLPNLKVNDLIKAFAVQTNDMMLVINLSSLIRSVIALHNLINNNMLNKEHEKAEDAKPATVQAAS >KJB76917 pep chromosome:Graimondii2_0_v6:12:25679138:25681582:-1 gene:B456_012G112400 transcript:KJB76917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKTQQISSRPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVIGVLLGTSFKGTVDVTNSYAVPFEEDEKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHRLFHNYVPNPVLVIIDVQPKELGIPTKAYYDVEEVKENATQKSQKVFVHVPSEIAAHEVEEIVEHLLRDVKDTTISTLATEVTGKLTALKGLDARLREIRGYLDLVIDEKLPLNHEILYH >KJB76705 pep chromosome:Graimondii2_0_v6:12:22710191:22712709:1 gene:B456_012G102200 transcript:KJB76705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRRLRGLQSQPGNRTCVDCNQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNEDLNAFLAQYGIPKETDIVTKYNSNAASIYRDRIQALAEGRPWRDPPVMKETLNGGSGSRKPPLSGGGSRDSNYANNNEGWDSWDNDDSFRSSNDMRRNQSATDFRGGNKHGGAPMRSRSTEDIYTKSDLEASAANKESFFARKMAENESRPEGLPPSQGGKYVGFGSSPMPTQRNDDSQGDVLSVVSQGIGMLSSVAASAAQSAASVVQAGTKEFTSKVKEGGYDTKVNETVSVVTAKTTEIGHRTWGIVKGVMALASQKVEEYAKDGMNWKNDNWPQNESEKNGHHQGSNQGNKGWNSTSGGQPSSGGNSNSRTSSSWDDWDTKDNRKEGTTEVTTSHSNDAWAGWDEAKDDGYDNFYNGTSNKRAVGDNGKSDVTWSGGGFL >KJB76706 pep chromosome:Graimondii2_0_v6:12:22710044:22713244:1 gene:B456_012G102200 transcript:KJB76706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRRLRGLQSQPGNRTCVDCNQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNEDLNAFLAQYGIPKETDIVTKYNSNAASIYRDRIQALAEGRPWRDPPVMKETLNGGSGSRKPPLSGGGSRDSNYANNNEGWDSWDNDDSFRSSNDMRRNQSATDFRGGNKHGGAPMRSRSTEDIYTKSDLEASAANKESFFARKMAENESRPEGLPPSQGGKYVGFGSSPMPTQRNDDSQGDVLSVVSQGIGMLSSVAASAAQSAASVVQAGTKEFTSKVKEGGYDTKVNETVSVVTAKTTEIGHRTWGIVKGVMALASQKVEEYAKDGMNWKNDNWPQNESEKNGHHQGSNQGNKGWNSTSGGQPSSGGNSNSRTSSSWDDWDTKDNRKEGTTEVTTSHSNDAWAGWDEAKDDGYDNFYNGTSNKRAVGDNGKSDVTWSGGGFL >KJB76703 pep chromosome:Graimondii2_0_v6:12:22710031:22712709:1 gene:B456_012G102200 transcript:KJB76703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRRLRGLQSQPGNRTCVDCNQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNEDLNAFLAQYGIPKETDIVTKYNSNAASIYRDRIQALAEGRPWRDPPVMKETLNGGSGSRKPPLSGGGSRDSNYANNNEGWDSWDNDDSFRSSNDMRRNQSATDFRGGNKHGGAPMRSRSTEDIYTKSDLEASAANKESFFARKMAENESRPEGLPPSQGGKYVGFGSSPMPTQRNDDSQGDVLSVVSQGIGMLSSVAASAAQSAASVVQAGTKEFTSKVKEGGYDTKVNETVSVVTAKTTEIGHRTWGIVKGVMALASQKVEEYAKDGMNWKNDNWPQNESEKNGHHQGSNQGNKGWNSTSGGQPSSGGNSNSRTSSSWDDWDTKDNRKEGTTEVTTSHSNDAWAGWDEAKDDGYDNFYNGTSNKRAVGDNGKSDVTWSGGGFL >KJB76707 pep chromosome:Graimondii2_0_v6:12:22710033:22712819:1 gene:B456_012G102200 transcript:KJB76707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRRLRGLQSQPGNRTCVDCNQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNEDLNAFLAQYGIPKETDIVTKYNSNAASIYRDRIQALAEGRPWRDPPVMKETLNGGSGSRKPPLSGGGSRDSNYANNNEGWDSWDNDDSFRSSNDMRRNQSATDFRGGNKHGGAPMRSRSTEDIYTKSDLEASAANKESFFARKMAENESRPEGLPPSQGGKYVGFGSSPMPTQRNDDSQGDVLSVVSQGIGMLSSVAASAAQSAASVVQAGTKEFTSKVKEGGYDTKVNETVSVVTAKTTEIGHRTWGIVKGVMALASQKVEEYAKDGMNWKNDNWPQNESEKNGHHQGSNQGNKGWNSTSGGQPSSGGNSNSRTSSSWDDWDTKDNRKEGTTEVTTSHSNDAWAGWDEAKDDGYDNFYNGTSNKRAVGDNGKSDVTWSGGGFL >KJB76704 pep chromosome:Graimondii2_0_v6:12:22710033:22712819:1 gene:B456_012G102200 transcript:KJB76704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRRLRGLQSQPGNRTCVDCNQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNEDLNAFLAQYGIPKETDIVTKYNSNAASIYRDRIQALAEGRPWRDPPVMKETLNGGSGSRKPPLSGGGSRDSNYANNNEGWDSWDNDDSFRSSNDMRRNQSATDFRGGNKHGGAPMRSRSTEDIYTKSDLEASAANKESFFARKMAENESRPEGLPPSQGGKYVGFGSSPMPTQRNDDSQGDVLSVVSQGIGMLSSVAASAAQSAASVVQAGTKEFTSKVKEGGYDTKVNETVSVVTAKTTEIGHRTWGIVKGVMALASQKVEEYAKDGMNWKNDNWPQNESEKNGHHQGSNQGNKGWNSTSGGQPSSGGNSNSRTSSSWDDWDTKDNRKEGTTEVTTSHSNDAWAGWDEAKDDGYDNFYNGTSNKRAVGDNGKSDVTWSGGGFL >KJB76702 pep chromosome:Graimondii2_0_v6:12:22710031:22712709:1 gene:B456_012G102200 transcript:KJB76702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRRLRGLQSQPGNRTCVDCNQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNEDLNAFLAQYGIPKETDIVTKYNSNAASIYRDRIQALAEGRPWRDPPVMKETLNGGSGSRKPPLSGGGSRDSNYANNNEGWDSWDNDDSFRSSNDMRRNQSATDFRGGNKHGGAPMRSRSTEDIYTKSDLEASAANKESFFARKMAENESRPEGLPPSQGGKYVGFGSSPMPTQRNDDSQGDVLSVVSQGIGMLSSVAASAAQSAASVVQAGTKEFTSKVKEGGYDTKVNETVSVVTAKTTEIGHRTWGIVKGVMALASQKVEEYAKDGMNWKNDNWPQNESEKNGHHQGSNQGNKGWNSTSGGQPSSGGNSNSRTSSSWDDWDTKDNRKEGTTEVTTSHSNDAWAGWDEAKDDGYDNFYNGTSNKRAVGDNGKSDVTWSGGGFL >KJB74972 pep chromosome:Graimondii2_0_v6:12:1889054:1891243:1 gene:B456_012G016500 transcript:KJB74972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPFGSSTPAFSSSSFGSSSSTPSINPFVFSSSASSGSSLFAGLPSSTSSLSGTTAGWGAPNPFQSSPSRRFLYPSSFSRRRCLNPSSFSRRSAKSTVTTPVNEKPLTDTEDDLRKRISFLSGFMVAFKDQIHTDIKLKPNNGPCISAHKSLLAARSEIFKNILSSDNWKAQPTDTDTITLSELSTEELESLLEFLYTGDLPTDKFKNHVYALCAAADKYEIPYLQESCERYMLNSLNASNALDVLDISILYSKKKLKETTLNFIVRNMKSIVSSQKYEEFASSNPHLCVEVSRAFVEAKF >KJB74971 pep chromosome:Graimondii2_0_v6:12:1889054:1891243:1 gene:B456_012G016500 transcript:KJB74971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPFGSSTPAFSSSSFGSSSSTPSINPFVFSSSASSGSSLFAGLPSSTSSLSGTTAGWGAPNPFQSSPSRSAKSTVTTPVNEKPLTDTEDDLRKRISFLSGFMVAFKDQIHTDIKLKPNNGPCISAHKSLLAARSEIFKNILSSDNWKAQPTDTDTITLSELSTEELESLLEFLYTGDLPTDKFKNHVYALCAAADKYEIPYLQESCERYMLNSLNASNALDVLDISILYSKKKLKETTLNFIVRNMKSIVSSQKYEEFASSNPHLCVEVSRAFVEAKF >KJB74519 pep chromosome:Graimondii2_0_v6:12:34990238:34992048:1 gene:B456_012G1818003 transcript:KJB74519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SMPTSAALDVVAKSLNLKFFEVPTGWKFFGNLMDAGQCSICGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDNINGDKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGGAKDLMANMVKMMSSLDEVNTIVKGARSDVSKVVNADEFEYKDPVDGSVSKHQGIRFLFEDGSRLVSLPSLWNWFRRCNYPSVHRAI >KJB74517 pep chromosome:Graimondii2_0_v6:12:34990237:34993348:1 gene:B456_012G1818003 transcript:KJB74517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SMPTSAALDVVAKSLNLKFFEVPTGWKFFGNLMDAGQCSICGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDNINGDKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGGAKDLMANMVKMMSSLDEVNTIVKGARSDVSKVVNADEFEYKDPVDGSVSKHQGIRFLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDPSKIGRDSQEALAPLVEVALKLSKMQEFTGRSAPTVIT >KJB74522 pep chromosome:Graimondii2_0_v6:12:34990237:34993009:1 gene:B456_012G1818003 transcript:KJB74522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SMPTSAALDVVAKSLNLKFFEVPTGWKFFGNLMDAGQCSICGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDNINGDKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGGAKDLMANMVKMMSSLDEVNTIVKGARSDVSKVVNADEFEYKDPVDGSVSKHQGIRFLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDPSKIGRDSQEALAPLVEVALKLSKMQEFTGRSAPTVIT >KJB74518 pep chromosome:Graimondii2_0_v6:12:34990237:34993348:1 gene:B456_012G1818003 transcript:KJB74518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SMPTSAALDVVAKSLNLKFFEVPTGWKFFGNLMDAGQCSICGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDNINGDKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGGAKDLMANMVKMMSSLDEVNTIVKGARSDVSKVVNADEFEYKDPVDGSVSKHQGIRFLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDPSKIGRDSQEALAPLVEVALKLSKMQEFTGRSAPTVIT >KJB74521 pep chromosome:Graimondii2_0_v6:12:34990237:34993348:1 gene:B456_012G1818003 transcript:KJB74521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SMPTSAALDVVAKSLNLKFFEVPTGWKFFGNLMDAGQCSICGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDNINGDKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGGAKDLMANMVKMMSSLDEVNTIVKGARSDVSKVVNADEFEYKDPVDGSVSKHQGIRFLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDPSKIGRDSQEALAPLLL >KJB74516 pep chromosome:Graimondii2_0_v6:12:34990238:34992987:1 gene:B456_012G1818003 transcript:KJB74516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SMPTSAALDVVAKSLNLKFFEVPTGWKFFGNLMDAGQCSICGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDNINGDKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGGAKDLMANMVKMMSSLDEVNTIVKGARSDVSKVVNADEFEYKDPVDGSVSKHQGIRFLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDPSKIGRDSQEALAPLVEVALKLSKMQEFTGRSAPTVIT >KJB74520 pep chromosome:Graimondii2_0_v6:12:34990238:34992987:1 gene:B456_012G1818003 transcript:KJB74520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SMPTSAALDVVAKSLNLKFFEVPTGWKFFGNLMDAGQCSICGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDNINGDKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGGAKDLMANMVKMMSSLDEVNTIVKGARSDVSKVVNADEFEYKDPVDGSVSKHQGIRFLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDPSKIGRDSQEALAPLVEVALKLSKMQEFTGRSAPTVIT >KJB76535 pep chromosome:Graimondii2_0_v6:12:16698815:16705830:1 gene:B456_012G093500 transcript:KJB76535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAKEKGDSVSIVSESSVTVSETVVETMACEDQIRIEEGGEAGRDNGDEVTVEVLDSHVHIDGGDGETVQASSIGEAVRGHEESSKVGLEGNLRTLDSDCHAVDGLGSRNEVSGGEGRAQNEVNGGVVRSCSNDVICGHNESDEVGLEGNPSTLDSEGGAASDLGSRYEVSGGEAKARNEVNGARVLGFSAPGNSSGKNTEQSSGIDEGGGDLNQATETGKVGNLDGNEVNHENQKPVVCLSAASEDSGIQNKIVEEAAMAIDEEYLNALDGAHETISEPIKKAPNDNVDATSLNVNTLVSSDMEIDKQGTESEKQQMEVNTPYLSNKNHDTGSVKCLKPEPVIDGGEGVDLSMGEAMLAEKQVSDAKDVGFDAEQDVEVEKMGVSPKNCDASDVSEPLGHQMDVFVGSGEGEVPKVDNNVLNQISPAVASDKELQCSGNDDPLAKNVVFEDDSSVGKEMNVEDQVTSDEPDCLEQVGEMEVVEHDSDFDQPTNIDELTVKQTALKSVSGLKVHQAKYQQLLSEEEGGFSVSDLVWGKVKSHPWWPGQIFDPSDASEKAMKYQKKDSFLVAYFGDRTFAWNEPXXXXXXXLACPCMPKDAYDKIKFQKVENAGVREESSLRDGIDVSLSASSFEPDKLIDYMKALAESPSSGADRLDLVIAKAQLLAFHRLKGYHQLPESQFSEEKTLEVVECATPMDTDGEQKSEASKTRRSYLKRKHNLKDGLYPSKKERSLSKLMSETFDSPDGEIGSDVIGNKPPSSSFSKKRKAIDSSEESVMQEGRKTTKGSLSTPHFPKPSFKIGERISRAASQMTGSPSILKSGGDRLHKLDGGCETPATCGYDVPVDNLEDAERKRTDILTEYSSLDELLLQLHLAACDPMKSCNSLNIIISFFSDFRDSIVLDRLSGDKAVGKRKKSPNSIIGSSETFEFEDMSDTYWTDRIVQNGSEEQPSLGTSRGQYQFVPVELDKPIQKVRKSRKRYSDTSYDLTAQKPPGYVDERAPAEIVMSFPEINSVPSETKLNKMFKHFGPLKESETEVDRETRRARVVFRRSSDAEVAYNSAGKFNVFGPVSVTYLLNYTISESFKASLYAPTLAEENLFIASAPCGDHALIAPSLGEEASFMVSTLGEETLPIATSFHEEPWFIASTTGEDTSAIPTTLADGTLVVATTMYEKTLPVTMTAGVETMAVAANVGEQSLPVFAIINEQMSTAPASLVEEASLSHLTSSKETSTMTTTLHDQTSSIATFNPEIPSVPATVGEEICTIPTPSGEETCSIPPNLDEETTIRPMTLAEETPSIPRTLGEDAPYVPAAFSEEAPAVSLTFSEGTHTLPGTLDRETKTVLTTVYEETTIPATLGQETPTFPATLGEETCSTPSTSSEADRSIPTNLAEETRANPVTSAEETPSTPETLGEESPSVPLSFSETPAILPSFSKETPTIPHPFSEGTPTVPVTSGPETQTISTTMGEETTTIPANLGEETTATETLHEETLAVPTTLAEDNLTIIAKLDEETPMNPKTLDEETKTSSTNSAEETSTTLTTSGVETPTIPGMLGRETLTIPTIMCEETTIPATLGQETPTLPATLGEGTHSIPSTSSEENHIIPTNLDEETPTDPVTLAEERPSIPETLGEETPTVPLTFSEETPVIPPSFSEGATIPPSFNEGSPTVPVTLGPETQTIPTTTGEETANIPATSGEETTSAPVTLHEETLAIPTTLAENSPTMTTKLDEETPTSPTNLAEETLTTPTTSGVEASTVLKTNSEETSAVSTTTEMETLPPAGAEKLL >KJB76537 pep chromosome:Graimondii2_0_v6:12:16698815:16705830:1 gene:B456_012G093500 transcript:KJB76537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAKEKGDSVSIVSESSVTVSETVVETMACEDQIRIEEGGEAGRDNGDEVTVEVLDSHVHIDGGDGETVQASSIGEAVRGHEESSKVGLEGNLRTLDSDCHAVDGLGSRNEVSGGEGRAQNEVNGGVVRSCSNDVICGHNESDEVGLEGNPSTLDSEGGAASDLGSRYEVSGGEAKARNEVNGARVLGFSAPGNSSGKNTEQSSGIDEGGGDLNQATETGKVGNLDGNEVNHENQKPVVCLSAASEDSGIQNKIVEEAAMAIDEEYLNALDGAHETISEPIKKAPNDNVDATSLNVNTLVSSDMEIDKQGTESEKQQMEVNTPYLSNKNHDTGSVKCLKPEPVIDGGEGVDLSMGEAMLAEKQVSDAKDVGFDAEQDVEVEKMGVSPKNCDASDVSEPLGHQMDVFVGSGEGEVPKVDNNVLNQISPAVASDKELQCSGNDDPLAKNVVFEDDSSVGKEMNVEDQVTSDEPDCLEQVGEMEVVEHDSDFDQPTNIDELTVKQTALKSVSGLKVHQAKYQQLLSEEEGGFSVSDLVWGKVKSHPWWPGQIFDPSDASEKAMKYQKKDSFLVAYFGDRTFAWNEPXXXXXXXLACPCMPKDAYDKIKFQKVENAGVREESSLRDGIDVSLSASSFEPDKLIDYMKALAESPSSGADRLDLVIAKAQLLAFHRLKGYHQLPESQFSEEKTLEVVECATPMDTDGEQKSEASKTRRSYLKRKHNLKDGLYPSKKERSLSKLMSETFDSPDGEIGSDVIGNKPPSSSFSKKRKAIDSSEESVMQEGRKTTKGSLSTPHFPKPSFKIGERISRAASQMTGSPSILKSGGDRLHKLDGGCETPATCGYDVPVDNLEDAERKRTDILTEYSSLDELLLQLHLAACDPMKSCNSLNIIISFFSDFRDSIVLDRLSGDKAVGKRKKSPNSIIGSSETFEFEDMSDTYWTDRIVQNGSEEQPSLGTSRGQYQFVPVELDKPIQKVRKSRKRYSDTSYDLTAQKPPGYVDERAPAEIVMSFPEINSVPSETKLNKMFKHFGPLKESETEVDRETRRARVVFRRSSDAEVAYNSAGKFNVFGPVSVTYLLNYTISESFKASLYAPTLAEENLFIASAPCGDHALIAPSLGEEASFMVSTLGEETLPIATSFHEEPWFIASTTGEDTSAIPTTLADGTLVVATTMYEKTLPVTMTAGVETMAVAANVGEQSLPVFAIINEQMSTAPASLVEEASLSHLTSSKETSTMTTTLHDQTSSIATFNPEIPSVPATVGEEICTIPTPSGEETCSIPPNLDEETTIRPMTLAEETPSIPRTLGEDAPYVPAAFSEEAPAVSLTFSEGTHTLPGTLDRETKTVLTTVYEETTIPATLGQETPTFPATLGEETCSTPSTSSEADRSIPTNLAEETRANPVTSAEETPSTPETLGEESPSVPLSFSETPAILPSFSKETPTIPHPFSEGTPTVPVTSGPETQTISTTMGEETTTIPANLGEETTATETLHEETLAVPTTLAEDNLTIIAKLDEETPMNPKTLDEETKTSSTNSAEETSTTLTTSGVETPTIPGMLGRETLTIPTIMCEETTIPATLGQETPTLPATLGEGTHSIPSTSSEENHIIPTNLDEETPTDPVTLAEERPSIPETLGEETPTVPLTFSEETPVIPPSFSEGATIPPSFNEGSPTVPVTLGPETQTIPTTTGEETANIPATSGEETTSAPVTLHEETLAIPTTLAENSPTMTTKLDEETPTSPTNLAEETLTTPTTSGVEASTVLKTNSEETSAVSTTTEMETLPPAGAESVEPAT >KJB76534 pep chromosome:Graimondii2_0_v6:12:16698815:16705830:1 gene:B456_012G093500 transcript:KJB76534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAKEKGDSVSIVSESSVTVSETVVETMACEDQIRIEEGGEAGRDNGDEVTVEVLDSHVHIDGGDGETVQASSIGEAVRGHEESSKVGLEGNLRTLDSDCHAVDGLGSRNEVSGGEGRAQNEVNGGVVRSCSNDVICGHNESDEVGLEGNPSTLDSEGGAASDLGSRYEVSGGEAKARNEVNGARVLGFSAPGNSSGKNTEQSSGIDEGGGDLNQATETGKVGNLDGNEVNHENQKPVVCLSAASEDSGIQNKIVEEAAMAIDEEYLNALDGAHETISEPIKKAPNDNVDATSLNVNTLVSSDMEIDKQGTESEKQQMEVNTPYLSNKNHDTGSVKCLKPEPVIDGGEGVDLSMGEAMLAEKQVSDAKDVGFDAEQDVEVEKMGVSPKNCDASDVSEPLGHQMDVFVGSGEGEVPKVDNNVLNQISPAVASDKELQCSGNDDPLAKNVVFEDDSSVGKEMNVEDQVTSDEPDCLEQVGEMEVVEHDSDFDQPTNIDELTVKQTALKSVSGLKVHQAKYQQLLSEEEGGFSVSDLVWGKVKSHPWWPGQIFDPSDASEKAMKYQKKDSFLVAYFGDRTFAWNEPXXXXXXXLACPCMPKDAYDKIKFQKVENAGVREESSLRDGIDVSLSASSFEPDKLIDYMKALAESPSSGADRLDLVIAKAQLLAFHRLKGYHQLPESQFSEEKTLEVVECATPMDTDGEQKSEASKTRRSYLKRKHNLKDGLYPSKKERSLSKLMSETFDSPDGEIGSDVIGNKPPSSSFSKKRKAIDSSEESVMQEGRKTTKGSLSTPHFPKPSFKIGERISRAASQMTGSPSILKSGGDRLHKLDGGCETPATCGYDVPVDNLEDAERKRTDILTEYSSLDELLLQLHLAACDPMKSCNSLNIIISFFSDFRDSIVLDRLSGDKAVGKRKKSPNSIIGSSETFEFEDMSDTYWTDRIVQNGSEEQPSLGTSRGQYQFVPVELDKPIQKVRKSRKRYSDTSYDLTAQKPPGYVDERAPAEIVMSFPEINSVPSETKLNKMFKHFGPLKESETEVDRETRRARVVFRRSSDAEVAYNSAGKFNVFGPVSVTYLLNYTISESFKASLYAPTLAEENLFIASAPCGDHALIAPSLGEEASFMVSTLGEETLPIATSFHEEPWFIASTTGVETMAVAANVGEQSLPVFAIINEQMSTAPASLVEEASLSHLTSSKETSTMTTTLHDQTSSIATFNPEIPSVPATVGEEICTIPTPSGEETCSIPPNLDEETTIRPMTLAEETPSIPRTLGEDAPYVPAAFSEEAPAVSLTFSEGTHTLPGTLDRETKTVLTTVYEETTIPATLGQETPTFPATLGEETCSTPSTSSEADRSIPTNLAEETRANPVTSAEETPSTPETLGEESPSVPLSFSETPAILPSFSKETPTIPHPFSEGTPTVPVTSGPETQTISTTMGEETTTIPANLGEETTATETLHEETLAVPTTLAEDNLTIIAKLDEETPMNPKTLDEETKTSSTNSAEETSTTLTTSGVETPTIPGMLGRETLTIPTIMCEETTIPATLGQETPTLPATLGEGTHSIPSTSSEENHIIPTNLDEETPTDPVTLAEERPSIPETLGEETPTVPLTFSEETPVIPPSFSEGATIPPSFNEGSPTVPVTLGPETQTIPTTTGEETANIPATSGEETTSAPVTLHEETLAIPTTLAENSPTMTTKLDEETPTSPTNLAEETLTTPTTSGVEASTVLKTNSEETSAVSTTTEMETLPPAGAESVEPAT >KJB76536 pep chromosome:Graimondii2_0_v6:12:16698815:16705830:1 gene:B456_012G093500 transcript:KJB76536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAKEKGDSVSIVSESSVTVSETVVETMACEDQIRIEEGGEAGRDNGDEVTVEVLDSHVHIDGGDGETVQASSIGEAVRGHEESSKVGLEGNLRTLDSDCHAVDGLGSRNEVSGGEGRAQNEVNGGVVRSCSNDVICGHNESDEVGLEGNPSTLDSEGGAASDLGSRYEVSGGEAKARNEVNGARVLGFSAPGNSSGKNTEQSSGIDEGGGDLNQATETGKVGNLDGNEVNHENQKPVVCLSAASEDSGIQNKIVEEAAMAIDEEYLNALDGAHETISEPIKKAPNDNVDATSLNVNTLVSSDMEIDKQGTESEKQQMEVNTPYLSNKNHDTGSVKCLKPEPVIDGGEGVDLSMGEAMLAEKQVSDAKDVGFDAEQDVEVEKMGVSPKNCDASDVSEPLGHQMDVFVGSGEGEVPKVDNNVLNQISPAVASDKELQCSGNDDPLAKNVVFEDDSSVGKEMNVEDQVTSDEPDCLEQVGEMEVVEHDSDFDQPTNIDELTVKQTALKSVSGLKVHQAKYQQLLSEEEGGFSVSDLVWGKVKSHPWWPGQIFDPSDASEKAMKYQKKDSFLVAYFGDRTFAWNEPXXXXXXXLACPCMPKDAYDKIKFQKVENAGVREESSLRDGIDVSLSASSFEPDKLIDYMKALAESPSSGADRLDLVIAKAQLLAFHRLKGYHQLPESQFSEEKTLEVVECATPMDTDGEQKSEASKTRRSYLKRKHNLKDGLYPSKKERSLSKLMSETFDSPDGEIGSDVIGNKPPSSSFSKKRKAIDSSEESVMQEGRKTTKGSLSTPHFPKPSFKIGERISRAASQMTGSPSILKSGGDRLHKLDGGCETPATCGYDVPVDNLEDAERKRTDILTEYSSLDELLLQLHLAACDPMKSCNSLNIIISFFSDFRDSIVLDRLSGDKAVGKRKKSPNSIIGSSETFEFEDMSDTYWTDRIVQNGSEEQPSLGTSRGQYQFVPVELDKPIQKVRKSRKRYSDTSYDLTAQKPPGYVDERAPAEIVMSFPEINSVPSETKLNKMFKHFGPLKESETEVDRETRRARVVFRRSSDAEVAYNSAGKFNVFGPVSVTYLLNYTISESFKASLYAPTLAEENLFIASAPCGDHALIAPSLGEEASFMVSTLGEETLPIATSFHEEPWFIASTTGEDTSAIPTTLADGTLVVATTMYEKTLPVTMTAGVETMAVAANVGEQSLPVFAIINEQMSTAPASLVEEASLSHLTSSKETSTMTTTLHDQTSSIATFNPEIPSVPATVGEEICTIPTPSGEETCSIPPNLDEETTIRPMTLAEETPSIPRTLGEDAPYVPAAFSEEAPAVSLTFSEGTHTLPGTLDRETKTVLTTVYEETTIPATLGQETPTFPATLGEETCSTPSTSSEADRSIPTNLAEETRANPVTSAEETPSTPETLGEESPSVPLSFSETPAILPSFSKETPTIPHPFSEGTPTVPVTSGPETQTISTTMGEETTTIPANLGEETTATETLHEETLAVPTTLAEDNLTIIAKLDEETPMNPKTLDEETKTSSTNSAEETSTTLTTSGVETPTIPGMLGRETLTIPTIMCEETTIPATLGQETPTLPATLGEGTHSIPSTSSEENHIIPTNLDEETPTDPVTLAEERPSIPETLGEETPTVPLTFSEETPVIPPSFSEGATIPPSFNEGSPTVPVTLGPETQTIPTTTGEETANIPATSGEETTSAPVTLHEETLAIPTTLAENSPTMTTKLDEETPTSPTNLAEETLTTPTTSGVEASTVLKTNSEETSAVSTTTEMETLPPAGAESVEPAT >KJB76519 pep chromosome:Graimondii2_0_v6:12:16486855:16491783:1 gene:B456_012G093100 transcript:KJB76519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARFLYSNGVVSCSPDAPPITTFLESLPGSYTTTRTHENGTTLLFWERHLKRLSNSTRILLNSNPELMFKANKKSPLLFSPFYVTSSLKWESRVRSLVSNSLNQVLPIALKERSNGEELAVTALVSGDIEKLKAMKNVGGGGDDDNGVFQVLDLHLHIGSYIPPVFGIEESGAHLALVGRGRDLADAKYSDWVRLRKPLEKLRPPSVTELLLSNDGDRILEGCITNFFVICQRDKSEAEGKYLDDYNNVNSVEVQTAPISDGVLPGVIRQLVIE >KJB76516 pep chromosome:Graimondii2_0_v6:12:16485936:16491429:1 gene:B456_012G093100 transcript:KJB76516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARFLYSNGVVSCSPDAPPITTFLESLPGSYTTTRTHENGTTLLFWERHLKRLSNSTRILLNSNPELMFKANKKSPLLFSPFYVTSSLKWESRVRSLVSNSLNQVLPIALKERSNGEELAVTALVSGDIEKLKAMKNVGGGGDDDNGVFQVLDLHLHIGSYIPPVFGIEESGAHLALVGRGRDLADAKYSDWVRLRKPLEKLRPPSVTELLLSNDGDRILEGCITNFFVICQRDKSEAEGKYLDDYNNVNSVEVQTAPISDGVLPGVIRQLVIEVCHSKGIPVCEVAPSWERHRLWEEAFVTNSLRVLQHVETIKVPQPWESLESKSFEGISWIEKKFQGPGIITKVIQKGIMERASTESHPLNESI >KJB76518 pep chromosome:Graimondii2_0_v6:12:16486855:16491412:1 gene:B456_012G093100 transcript:KJB76518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARFLYSNGVVSCSPDAPPITTFLESLPGSYTTTRTHENGTTLLFWERHLKRLSNSTRILLNSNPELMFKANKKSPLLFSPFYVTSSLKWESRVRSLVSNSLNQVLPIALKERSNGEELAVTALVSGDIEKLKAMKNVGGGGDDDNGVFQVLDLHLHIGSYIPPVFGIEESGAHLALVGRGRDLADAKYSDWVRLRKPLEKLRPPSVTELLLSNDGDRILEGCITNFFVICQRDKSEAEGKYLDDYNNVNSVEVQTAPISDGVLPGVIRQLVIEVCHSKGIPVCEVAPSWERHRLWEEAFVTT >KJB76513 pep chromosome:Graimondii2_0_v6:12:16485922:16491811:1 gene:B456_012G093100 transcript:KJB76513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARFLYSNGVVSCSPDAPPITTFLESLPGSYTTTRTHENGTTLLFWERHLKRLSNSTRILLNSNPELMFKANKKSPLLFSPFYVTSSLKWESRVRSLVSNSLNQVLPIALKERSNGEELAVTALVSGDIEKLKAMKNVGGGGDDDNGVFQVLDLHLHIGSYIPPVFGIEESGAHLALVGRGRDLADAKYSDWVRLRKPLEKLRPPSVTELLLSNDGDRILEGCITNFFVICQRDKSEAEGKYLDDYNNVNSVEVQTAPISDGVLPGVIRQLVIEVCHSKGIPVCEVAPSWERHRLWEEAFVTNSLRVLQHVETIKVPQPWESLESKSFEGISWIEKKFQGPGIITKVIQKGIMERASTESHPLNESI >KJB76514 pep chromosome:Graimondii2_0_v6:12:16485936:16491429:1 gene:B456_012G093100 transcript:KJB76514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARFLYSNGVVSCSPDAPPITTFLESLPGSYTTTRTHENGTTLLFWERHLKRLSNSTRILLNSNPELMFKANKKSPLLFSPFYVTSSLKWESRVRSLVSNSLNQVLPIALKERSNGEELAVTALVSGDIEKLKAMKNVGGGGDDDNGVFQVLDLHLHIGSYIPPVFGIEESGAHLALVGRGRDLADAKYSDWVRLRKPLEKLRPPSVTELLLSNDGDRILEGCITNFFVICQRDKSEAEGKYLDDYNNVNSVEVQTAPISDGVLPGVIRQLVIEVCHSKGIPVCEVAPSWERHRLWEEAFVTNSLRVLQHVETIKVPQPWESLESKSFEGISWIEKKFQKGIMERASTESHPLNESI >KJB76517 pep chromosome:Graimondii2_0_v6:12:16486855:16489699:1 gene:B456_012G093100 transcript:KJB76517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARFLYSNGVVSCSPDAPPITTFLESLPGSYTTTRTHENGTTLLFWERHLKRLSNSTRILLNSNPELMFKANKKSPLLFSPFYVTSSLKWESRVRSLVSNSLNQVLPIALKERSNGEELAVTALVSGDIEKLKAMKNVGGGGDDDNGVFQVLDLHLHIGSYIPPVFGIEESGAHLALVGRGRDLADAKYSDWVRLRKPLEKLRPPSVTELLLSNDGDRILEGCITNFFVICQRDKSEAEGKYLDDYNNVNSVEVQTAPISDGVLPGVIRQLVIE >KJB76515 pep chromosome:Graimondii2_0_v6:12:16485936:16491429:1 gene:B456_012G093100 transcript:KJB76515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARFLYSNGVVSCSPDAPPITTFLESLPGSYTTTRTHENGTTLLFWERHLKRLSNSTRILLNSNPELMFKANKKSPLLFSPFYVTSSLKWESRVRSLVSNSLNQVLPIALKERSNGEELAVTALVSGDIEKLKAMKNVGGGGDDDNGVFQVLDLHLHIGSYIPPVFGIEESGAHLALVGRGRDLADAKYSDWVRLRKPLEKLRPPSVTELLLSNDGDRILEGCITNFFVICQRDKSEAEGKYLDDYNNVNSVEVQTAPISDGVLPGVIRQLVIEVCHSKGIPVCEVAPSWERHRLWEEAFVTNSLRVLQHVETIKVPQPWESLESKSFEGISWIEKKFQKGIMERASTESHPLNESI >KJB77405 pep chromosome:Graimondii2_0_v6:12:30883716:30887400:-1 gene:B456_012G135600 transcript:KJB77405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEADSSSKWSREQDKAFENALASYPEDFSDRWEKIAANVPGKTLEEIKEHYELLEDDVNRIESGCVPLPPYNSSESSAADEGAGKKGSSHSGNCNSESNHGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDVSAPQGPITGQSNGTASGGSAAKSTKQPPGHSVAPPCISVHGVPTMGQPIGGPLISAVGTPVNLPAPAHMAYGVRATLPGAVVPGAPVTYPMPHTAAHR >KJB77411 pep chromosome:Graimondii2_0_v6:12:30883636:30887135:-1 gene:B456_012G135600 transcript:KJB77411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEADSSSKWSREQDKAFENALASYPEDFSDRWEKIAANVPGKTLEEIKEHYELLEDDVNRIESGCVPLPPYNSSESSAADEGAGKKGSSHSGNCNSESNHGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDVSAPQGPITGQSNGTASGGSAAKSTKQPPGHSVAPPCISVHGVPTMGQPIGGPLISAVGTPVNLPAPAHMAYGVRATLPGAVVPGAPVTYPMPHTAAHR >KJB77406 pep chromosome:Graimondii2_0_v6:12:30883636:30887464:-1 gene:B456_012G135600 transcript:KJB77406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEADSSSKWSREQDKAFENALASYPEDFSDRWEKIAANVPGKTLEEIKEHYELLEDDVNRIESGCVPLPPYNSSESSAADEGAGKKGSSHSGNCNSESNHGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDVSAPQGPITGQSNGTASGGSAAKSTKQPPGHSVAPPCISVHGVPTMGQPIGGPLISAVGTPVNLPAPAHMAYGVRATLPGAVVPGAPVTYPMPHTAAHR >KJB77404 pep chromosome:Graimondii2_0_v6:12:30883716:30887154:-1 gene:B456_012G135600 transcript:KJB77404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEADSSSKWSREQDKAFENALASYPEDFSDRWEKIAANVPGKTLEEIKEHYELLEDDVNRIESGCVPLPPYNSSESSAADEGAGKKGSSHSGNCNSESNHGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDVSAPQGPITGQSNGTASGGSAAKSTKQPPGHSVAPPCISVHGVPTMGQPIGGPLISAVGTPVNLPAPAHMAYGVRATLPGAVVPGAPVTYPMPHTAAHR >KJB77407 pep chromosome:Graimondii2_0_v6:12:30884099:30886374:-1 gene:B456_012G135600 transcript:KJB77407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEADSSSKWSREQDKAFENALASYPEDFSDRWEKIAANVPGKTLEEIKEHYELLEDDVNRIESGCVPLPPYNSSESSAADEGAGKKGSSHSGNCNSESNHGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDVSAPQGPITGQSNGTASGGSAAKSTKQPPGHSVAPPCISVHGVPTMGQPIGGPLISAVGTPVNLPAPAHMAYGVRATLPGAVVPGAPVTYPMPHTAAHR >KJB77409 pep chromosome:Graimondii2_0_v6:12:30884099:30886374:-1 gene:B456_012G135600 transcript:KJB77409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEADSSSKWSREQDKAFENALASYPEDFSDRWEKIAANVPGKTLEEIKEHYELLEDDVNRIESGCVPLPPYNSSESSAADEGAGKKGSSHSGNCNSESNHGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDVSAPQGPITGQSNGTASGGSAAKSTKQPPGHSVAPPCISVHGVPTMGQPIGGPLISAVGTPVNLPAPAHMAYGVRATLPGAVVPGAPVTYPMPHTAAHR >KJB77412 pep chromosome:Graimondii2_0_v6:12:30883704:30887844:-1 gene:B456_012G135600 transcript:KJB77412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEADSSSKWSREQDKAFENALASYPEDFSDRWEKIAANVPGKTLEEIKEHYELLEDDVNRIESGCVPLPPYNSSESSAADEGAGKKGSSHSGNCNSESNHGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDVSAPQGPITGQSNGTASGGSAAKSTKQPPGHSVAPPCISVHGVPTMGQPIGGPLISAVGTPVNLPAPAHMAYGVRATLPGAVVPGAPVTYPMPHTAAHR >KJB77410 pep chromosome:Graimondii2_0_v6:12:30883716:30887818:-1 gene:B456_012G135600 transcript:KJB77410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEADSSSKWSREQDKAFENALASYPEDFSDRWEKIAANVPGKTLEEIKEHYELLEDDVNRIESGCVPLPPYNSSESSAADEGAGKKGSSHSGNCNSESNHGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDVSAPQGPITGQSNGTASGGSAAKSTKQPPGHSVAPPCISVHGVPTMGQPIGGPLISAVGTPVNLPAPAHMAYGVRATLPGAVVPGAPVTYPMPHTAAHR >KJB77408 pep chromosome:Graimondii2_0_v6:12:30884099:30886374:-1 gene:B456_012G135600 transcript:KJB77408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEADSSSKWSREQDKAFENALASYPEDFSDRWEKIAANVPGKTLEEIKEHYELLEDDVNRIESGCVPLPPYNSSESSAADEGAGKKGSSHSGNCNSESNHGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDVSAPQGPITGQSNGTASGGSAAKSTKQPPGHSVAPPCISVHGVPTMGQPIGGPLISAVGTPVNLPAPAHMAYGVRATLPGAVVPGAPVTYPMPHTAAHR >KJB76922 pep chromosome:Graimondii2_0_v6:12:25762804:25765226:-1 gene:B456_012G112800 transcript:KJB76922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHPCFQPVSKCSSEGRSNQTVAADLDGTLLVSRSAFPYYMLIALEAGSLLRALLLLLSVPVVYSTYLFISESFAINTFIFISFAGLKIRDIELVSRSVLPKFYADDVHPETWRVFSSFGKRYIITANPRIMVEPFAKTFLGADKVIGTELQVTKTGRATGFTIKPGVLVGEHKRAAISKEFGTELPDLGLGDREIDHYFMSLCKEGYMVPRTKCEPLPKTKLLSSVIFHEGRLVQRPTPLAALLTFLWLPIGFILSLLRVYLNIPLPERIARYNYMILGIKLIVKGTPPPAPKKGQSGVLFVCNHRTVLDPVVTAVALGRKISCVTYSISKFTEIISPIKAVALSREREKDAANIKRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINARQTVFHGTTVRGYKLLDPYFVFMNPMPTYEITFLNQLPAELTCNGGKPAIEVANYIQRVLAGTLGFECTNLTRKDKYVMLAGTDGRVPSKKEKEQEKQKAKGINKKEDQYPELN >KJB76733 pep chromosome:Graimondii2_0_v6:12:23278413:23282117:-1 gene:B456_012G104000 transcript:KJB76733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSVKLGTPSKQATVSKVRVVVRVRPFLPQEITARNGNSKSCIFLLDHQDNDSSDEVSVHLKDPNTSRNESYRLDSFFDQEDDNVRKIFYREVNSLIPGIFHGFNATVFAYGATGSGKTYTMQGMEEHPGLMPLAMSTILSICQTTGCIAEISYYEVYMDRCYDLLEVKTKEISIFDDKDGQIHLRGLSRVPINTMSEFHQVFSCGIQRRKVAHTGLNDVSSRSHGVLVITVSTPCDDSSRPVLMGKLNLIDLAGNEDNRRTCNEGIRLQESAKINQSLFALSNVIYALNNKLPRVPYRESKLTRILQDSLGGTSRALMVACLNPGEYQESLHTVSLAARSRHISNVVSSAQKLQTPKEKVDMEAKLQAWLESKGKTKSAQRMGPFASRLVGKTPSSIRSMKKLDYNSSCKVKNSTKDDPSNTKDRGISVTSRNLFNNEDVDIEQIMLKPTMNLAEESSSKEETTTVENSVDTIGLSPSNERKTAMQSPLRKVLSPISSNIIPEAIKAQFSLSYEPKTPQNVGTPLNKFNAWSSNVKSSLVQEYIEFLNTASREELLGLKGIGSKMTEYIIELRETSPLKSVYNLFSRAAKGIIE >KJB76734 pep chromosome:Graimondii2_0_v6:12:23278413:23282146:-1 gene:B456_012G104000 transcript:KJB76734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSVKLGTPSKQATVSKVRVVVRVRPFLPQEITARNGNSKSCIFLLDHQDNDSSDEVSVHLKDPNTSRNESYRLDSFFDQEDDNVRKIFYREVNSLIPGIFHGFNATVFAYGATGSGKTYTMQGMEEHPGLMPLAMSTILSICQTTGCIAEISYYEVYMDRCYDLLEVKTKEISIFDDKDGQIHLRGLSRVPINTMSEFHQVFSCGIQRRKVAHTGLNDVSSRSHGVLVITVSTPCDDSSRPVLMGKLNLIDLAGNEDNRRTCNEGIRLQESAKINQSLFALSNVIYALNNKLPRVPYRESKLTRILQDSLGGTSRALMVACLNPGEYQESLHTVSLAARSRHISNVVSSAQKLQTPKEKVDMEAKLQAWLESKGKTKSAQRMGPFASRLVGKTPSSIRSMKKLDYNSSCKVKNSTKDDPSNTKDRGISVTSRNLFNNEDVDIEQIMLKPTMNLAEESSSKEETTTVENSVDTIGLSPSNERKTAMQSPLRKVLSPISSNIIPEAIKAQFSLSYEPKTPQNVGTPLNKFNAWSSNVKSSLVQEYIEFLNTASREELLGLKGIGSKMTEYIIELRETSPLKSLSDLEKIGLSSKQVYNLFSRAAKGIIE >KJB77302 pep chromosome:Graimondii2_0_v6:12:29941479:29944127:-1 gene:B456_012G130700 transcript:KJB77302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDSGSTQSNLDCFLHCTTPTIKSHFLCKSEIRNLNRLWHPWEREKVEYFTLGDLWNCYDEWSAYGAGVPIGLNSNETLVQYYVPYLSAIQIFTSSREETESGDGERDSISDSYSDESELWRWDGCSSEEGGSDQDSLWHVNNRLGYLYFQYFERTTPYGRVPLMDKINGLSRRYPGLISLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMNPEDNIENPKKKRNEGDDISLLPFGLATYKMQGDVWVSGSCGQDLERLMSLLSVADSWLKQLRVEHHDFNYFTGIRRG >KJB77304 pep chromosome:Graimondii2_0_v6:12:29942056:29944100:-1 gene:B456_012G130700 transcript:KJB77304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDSGSTQSNLDCFLHCTTPTIKSHFLCKSEIRNLNRLWHPWEREKVEYFTLGDLWNCYDEWSAYGAGVPIGLNSNETLVQYYVPYLSAIQIFTSSREETESGDGERDSISDSYSDESELWRWDGCSSEEGGSDQDSLWHVNNRLGYLYFQYFERTTPYGRVPLMDKVSFMNYSK >KJB77303 pep chromosome:Graimondii2_0_v6:12:29942056:29944100:-1 gene:B456_012G130700 transcript:KJB77303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDSGSTQSNLDCFLHCTTPTIKSHFLCKSEIRNLNRLWHPWEREKVEYFTLGDLWNCYDEWSAYGAGVPIGLNSNETLVQYYVPYLSAIQIFTSSREETESGDGERDSISDSYSDESELWRWDGCSSEEGGSDQDSLWHVNNRLGYLYFQYFERTTPYGRVPLMDKINGLSRRYPGLISLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQGDAFSFCLNLEHFFPFSFC >KJB75802 pep chromosome:Graimondii2_0_v6:12:8222437:8225483:1 gene:B456_012G058600 transcript:KJB75802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGRVQGLSRSCILLIVIAGMERFAFKGVASNLVTYLTDVVQMSNSSAAKTVNSWCGFTSMLPLLVAPLADSFWDSYSTILTSSFVYVLGLGAVASTATIWTWSPPSNKSSPAFLFWSLCLISLGQGAYNPSLQAFGADQLDHDEELPSSKDDKSSNKKSLFFQWWYFGVCSGSLLGVILMSYIQDTFGWILGFAIPMFAMITSVAIFTCGNRIYAYKPDKSIDSKPFQNILQVIKVTASKLVNGRIRINLPDDKSDVGELELQEKPLCDQKFGSNGGFDGNPRNKTYLLENAKIVLRLLPIWAMLLMFAVIFQQPATFFTKQGMTMKRNIGRNFKIPPATLQSAITLSIILMMPLYDKVLIPITQIVTRNQKGITVMQRMGVGMFLSIIAMIIAAVVETKRLEISRKMSSLESETVELSIFWLLPQYVLLGISDIFTVVGMQEFFYNEVPVRMRTMGFALYTSVFGLGSFLSALMISVIEAFTSSGGRQSWFSDDMREDGLDKYYWLLALASTLSLLFYAIFSKFYTTTSELENGNCK >KJB75684 pep chromosome:Graimondii2_0_v6:12:6747374:6751630:-1 gene:B456_012G052100 transcript:KJB75684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSCSFPPRVFNAYSSFECRSDPDFSGTPAGEKPRIGSNRRVTASLFCTGGGGLSSLIFRFPPNFVRQLSVKARRNCSNIGVAQVVAASWSNGPTSGYPSSAAAAACQAAAASVPVSDGVAVVEGCIDNDSVQIGDSYDSKTSFLSSDGSITVHAGERLGRGIVTDAITTPVVNTSAYFFKKTQELIDFKEKRHTSFEYGRYGNPTTVVAEEKISALEGAESTLIMASGMCASTVMLMALVPAGGHIVTTTDCYRKTRIFIETILPKMGISATVIDPADVDGLESALNKNKVSLFFTESPTNPFLRCVDIEKVSKLCHSKGTLVCIDGTFATPLNQKALALGADLVLHSATKFIGGHNDVLAGCISGSEKLVTEIRTLHHILGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALRMAEVLEAHPRVKRVYYPGLPSHPEHEIAKRQMTGFGGVVSFEVDGDLTTTIKFVDALKIPYIAPSFGGCESIVDPPAIMSYWDLSRAERSKYGILDNLIRFSFGVEDFEDLKADILQALESIA >KJB75687 pep chromosome:Graimondii2_0_v6:12:6747832:6751525:-1 gene:B456_012G052100 transcript:KJB75687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSCSFPPRVFNAYSSFECRSDPDFSGTPAGEKPRIGSNRRVTASLFCTGGGGLSSLIFRFPPNFVRQLSVKARRNCSNIGVAQVVAASWSNGPTSGYPSSAAAAACQAAAASVPVSDGVAVVEGCIDNDSVQIGDSYDSKTSFLSSDGSITVHAGERLGRGIVTDAITTPVVNTSAYFFKKTQELIDFKEKRHTSFEYGRYGNPTTVVAEEKISALEGAESTLIMASGMCASTVMLMALVPAGGHIVTTTDCYRKTRIFIETILPKMGISATVIDPADVDGLESALNKNKVSLFFTESPTNPFLRCVDIEKVSKLCHSKGTLVCIDGTFATPLNQKALALGADLVLHSATKFIGGHNDVLAGCISGSEKLVTEIRTLHHILGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALRMAEVLEAHPRVKRVYYPGLPSHPEHEIAKRQMTGFGGVVSFEVDGDLTTTIKFVDALKIPYIAPSFGGCESIVDPPAIMSYWYDNLSIYILLLFIYLVPVNIL >KJB75685 pep chromosome:Graimondii2_0_v6:12:6748476:6751446:-1 gene:B456_012G052100 transcript:KJB75685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSCSFPPRVFNAYSSFECRSDPDFSGTPAGEKPRIGSNRRVTASLFCTGGGGLSSLIFRFPPNFVRQLSVKARRNCSNIGVAQVVAASWSNGPTSGYPSSAAAAACQAAAASVPVSDGVAVVEGCIDNDSVQIGDSYDSKTSFLSSDGSITVHAGERLGRGIVTDAITTPVVNTSAYFFKKTQELIDFKEKRHTSFEYGRYGNPTTVVAEEKISALEGAESTLIMASGMCASTVMLMALVPAGGHIVTTTDCYRKTRIFIETILPKMGISATVIDPADVDGLESALNKNKVSLFFTESPTNPFLRCVDIEKVSKLCHSKGTLVCIDGTFATPLNQKALALGADLVLHSATKFIGGHNDVLAGCISGSEKLVTEIRTLHHILGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALRMAEVLEAHPRV >KJB75688 pep chromosome:Graimondii2_0_v6:12:6748652:6751525:-1 gene:B456_012G052100 transcript:KJB75688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSCSFPPRVFNAYSSFECRSDPDFSGTPAGEKPRIGSNRRVTASLFCTGGGGLSSLIFRFPPNFVRQLSVKARRNCSNIGVAQVVAASWSNGPTSGYPSSAAAAACQAAAASVPVSDGVAVVEGCIDNDSVQIGDSYDSKTSFLSSDGSITVHAGERLGRGIVTDAITTPVVNTSAYFFKKTQELIDFKEKRHTSFEYGRYGNPTTVVAEEKISALEGAESTLIMASGMCASTVMLMALVPAGGHIVTTTDCYRKTRIFIETILPKMGISATVIDPADVDGLESALNKNKVSLFFTESPTNPFLRCVDIEKVSKLCHSKGTLVCIDGTFATPLNQKALALGADLVLHSATKFIGGHNDVLAGCISGSEKLVTEIRTLHHILGGTLNPVSCPDRTYS >KJB75686 pep chromosome:Graimondii2_0_v6:12:6747430:6751525:-1 gene:B456_012G052100 transcript:KJB75686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSCSFPPRVFNAYSSFECRSDPDFSGTPAGEKPRIGSNRRVTASLFCTGGGGLSSLIFRFPPNFVRQLSVKARRNCSNIGVAQVVAASWSNGPTSGYPSSAAAAACQAAAASVPVSDGVAVVEGCIDNDSVQIGDSYDSKTSFLSSDGSITVHAGERLGRGIVTDAITTPVVNTSAYFFKKTQELIDFKEKRHTSFEYGRYGNPTTVVAEEKISALEGAESTLIMASGMCASTVMLMALVPAGGHIVTTTDCYRKTRIFIETILPKMGISATVIDPADVDGLESALNKNKVSLFFTESPTNPFLRCVDIEKVSKLCHSKGTLVCIDGTFATPLNQKALALGADLVLHSATKFIGGHNDVLAGCISGSEKLVTEIRTLHHILGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALRMAEVLEAHPRVKRVYYPGLPSHPEHEIAKRQMTGFGGVVSFEVGFFNCFLAF >KJB77064 pep chromosome:Graimondii2_0_v6:12:27227796:27230575:1 gene:B456_012G118500 transcript:KJB77064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNEDFRLLYDTKGRFRLHAITGDETKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDAAGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLAAQNAA >KJB77062 pep chromosome:Graimondii2_0_v6:12:27227796:27230575:1 gene:B456_012G118500 transcript:KJB77062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPRHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNEDFRLLYDTKGRFRLHAITGDETKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDAAGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLAAQNAA >KJB77063 pep chromosome:Graimondii2_0_v6:12:27228946:27230220:1 gene:B456_012G118500 transcript:KJB77063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGIGCLTSLVVLLYAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNEDFRLLYDTKGRFRLHAITGDETKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDAAGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLAAQNAA >KJB77249 pep chromosome:Graimondii2_0_v6:12:29418901:29422855:1 gene:B456_012G127600 transcript:KJB77249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANELNVEDGEYSEPVSPTAQYFNSSVLSVCVLAVLDTEIPIDDSPALGLLKDVFLPISPRFSSLMVEDENGVKHWKKVEVKLEDHVNIPNFPSGLSPQSYDNHLSNYLSKIAMEQLPHNRPLWNIHIIKYPTSNAAGNLIFKLHHSLGDGYSLMAALLSCLQRADSTSIFRNIPKVLRSAFNTVSDFGWSLMKSSCVEDDISLIRSGNPAVEFKLVVISAMTFSLDDIKQIKTKLRVEGISRLINEHSTALVLLNTKAIREYKSVKEIHKPYAEKVWGNQFAFLHISIPELTSLESLNPLDFAIAKYIYNTIKNSSMTLSNMIGPVERMALANHPIKSLYFMVAGEPKSLTITMISYMGKLRVAFKTEKDSIDPEKLKSSIQTVFEMILKAAHDIA >KJB77009 pep chromosome:Graimondii2_0_v6:12:26900512:26902514:-1 gene:B456_012G116600 transcript:KJB77009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLTAGSQLQPKVLSFHSRQITAVQNGGKLLALRIKAYPKNKVRCKIAESDQDFSSIKGYEKNHPLVKMCGITSARDAAMAAEAGANFIGMILWPKSKRSISLSVAKEISKVSREYGAEPVGVFVDDDLDTILRASDASNIEFTQLHGDLSRAAFPKLVQENRIIYVLHANEDGGLQNQIPEEDCSLVDWVLVDSAKGGSGKGFNWAQFKLPSIKSKHGWLLAGGINPNNVSEAINTLKPHGVDVSSGICAPDGIQKDRSRIFSFMNAVRSAPC >KJB77007 pep chromosome:Graimondii2_0_v6:12:26900512:26902514:-1 gene:B456_012G116600 transcript:KJB77007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLTAGSQLQPKVLSFHSRQITVQNGGKLLALRIKAYPKNKVRCKIAESDQDFSSIKGYEKNHPLVKMCGITSARDAAMAAEAGANFIGMILWPKSKRSISLSVAKEISKVSREYGAEPVGVFVDDDLDTILRASDASNIEFTQLHGDLSRAAFPKLVQENRIIYVLHANEDGGLQNQIPEEDCSLVDWVLVDSAKGGSGKGFNWAQFKLPSIKSKHGWLLAGGINPNNVSEAINTLKPHGVDVSSGICAPDGIQKDRSRIFSFMNAVRSAPC >KJB77010 pep chromosome:Graimondii2_0_v6:12:26901096:26902443:-1 gene:B456_012G116600 transcript:KJB77010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLTAGSQLQPKVLSFHSRQITVQNGGKLLALRIKAYPKNKVRCKIAESDQDFSSIKGYEKNHPLVKMCGITSARDAAMAAEAGANFIGMILWPKSKRSISLSVAKEISKVSREYGAEPVGVFVDDDLDTILRASDASNIEFTQLHGDLSRAAFPKLVQENRIIYVLHANEDGGLQNQIPEEDCSLVDWVLVDSAKGGRSVTFLFN >KJB77008 pep chromosome:Graimondii2_0_v6:12:26900282:26902567:-1 gene:B456_012G116600 transcript:KJB77008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGSQLQPKVLSFHSRQITVQNGGKLLALRIKAYPKNKVRCKIAESDQDFSSIKGYEKNHPLVKMCGITSARDAAMAAEAGANFIGMILWPKSKRSISLSVAKEISKVSREYGAEPVGVFVDDDLDTILRASDASNIEFTQLHGDLSRAAFPKLVQENRIIYVLHANEDGGLQNQIPEEDCSLVDWVLVDSAKGGSGKGFNWAQFKLPSIKSKHGWLLAGGINPNNVSEAINTLKPHGVDVSSGICAPDGIQKDRSRIFSFMNAVRSAPC >KJB74572 pep chromosome:Graimondii2_0_v6:12:829248:831487:-1 gene:B456_012G007100 transcript:KJB74572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETNWESLLFEFDFPNLGNILQNESESVSPPISTHSPDEKPIWTSSIGEIEKLLMEDDRFDDKVETQPIPNEFLADLLVDSSPSGCGDEVIDDTAFDKQNQTLFNVDNDDPIDKKQRRKLRNRDAAVRSRERKKMYVKDLEMKSRYLEGECRRLNCLLQWSIAENQALRFTLNQRCAFDASSAKQESAVLLLESLLLGSLLWFLGIMCLFTLPRLPKSLLENEEKRGPERVAHSNLVGQSFAETRRCKASKTKMKEFMFLEFQFHFRLGDDERVSLSYKMTSGGGSYFVS >KJB74574 pep chromosome:Graimondii2_0_v6:12:830302:831454:-1 gene:B456_012G007100 transcript:KJB74574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETNWESLLFEFDFPNLGNILQNESESVSPPISTHSPDEKPIWTSSIGEIEKLLMEDDRFDDKVETQPIPNEFLADLLVDSSPSGCGDEVIDDTAFDKQNQTLFNVDNDDPIDKKQRRKLRNRDAAVRSRERKKMYVKDLEMKSRYLEGECRRLNCLLQWSIAENQALRFTLNQRCAFDASSAKQESAVLLLGTIRFHKLLIHCIVLYFILQSEEISVLLLSF >KJB74573 pep chromosome:Graimondii2_0_v6:12:829290:831454:-1 gene:B456_012G007100 transcript:KJB74573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETNWESLLFEFDFPNLGNILQNESESVSPPISTHSPDEKPIWTSSIGEIEKLLMEDDRFDDKVETQPIPNEFLADLLVDSSPSGCGDEVIDDTAFDKQNQTLFNVDNDDPIDKKQRRIPAVGFPALVPGHHVPVHPAKVAQVSSGKRGKKRSRKSGS >KJB76031 pep chromosome:Graimondii2_0_v6:12:9951159:9954659:-1 gene:B456_012G068100 transcript:KJB76031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKEDPPASPRAPSCQLSSSRKMFWRSASWSSSRTSGQIPLTEDKDLGAGSNGNDGINNGQTRRFPPPPLTPRSQQNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPPTPSGNKSGERLKLDLSSIQRNNDKNGGLVKRDKIAFFDKECSKVAEHIYLGGDAVAKDREILKKNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVRELGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPKMLNDPSPSGLDSRGAFIVHIPSAIYIWIGKNCESIMERDVRGAVCQIVRYERVQGPVIVIKEGEEPAYFWNAFSNFLPLMDKSGNKVEVGESAIKICLGERKVDAYNVDFEIFQKAIKGGFVPPFASSENEHETHLPARESSWSMLRRKFASGIMKEFVSAPKILLSRVYSDSMMVVHASSPSSASSSSSSSSSPPYLSPDSISSDSSTCSKYFSESSLDSPSAVLYSLPVSSTLSNFSNLSLISSRSSLHPKINSSEIASVNLTSQPCSKSAFSPLKKVSPSLAERRGSLSKSLKLPVMSDSIRETNDRSCFLVKQDGVRIDNNTSSSCESDIEIVFDSKRGVRNGRDILVQGSGLKISPGRLANVGQHDSESTFVNSCCESPRNHPPQDGLLSAVPNRMEEIIPACTGVVQPLVCHWPSIEKMTNFTRSDLDSKSAFAIFLPTAAVDENKDRILYFWIGRSFHLEKRSNQLDSSRVVGDREDIDWNQVAYDVLTKVGLPNDTPVKIVKEDEEPMEFLMLLRTL >KJB76026 pep chromosome:Graimondii2_0_v6:12:9951159:9954659:-1 gene:B456_012G068100 transcript:KJB76026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKEDPPASPRAPSCQLSSSRKMFWRSASWSSSRTSGQIPLTEDKDLGAGSNGNDGINNGQTRRFPPPPLTPRSQQNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPPTPSGNKSGERLKLDLSSIQRNNDKNGGLVKRDKIAFFDKECSKVAEHIYLGGDAVAKDREILKKNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVRELGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPKMLNDPSPSGLDSRGAFIVHIPSAIYIWIGKNCESIMERDVRGAVCQIVRYERVQGPVIVIKEGEEPAYFWNAFSNFLPLMDKSGNKVEVGESAIKICLGERKVDAYNVDFEIFQKAIKGGFVPPFASSENEHETHLPARESSWSMLRRKFASGIMKEFVSAPKILLSRVYSDSMMVVHASSPSSASSSSSSSSSPPYLSPDSISSDSSTCSKYFSESSLDSPSAVLYSLPVSSTLSNFSNLSLISSRSSLHPKINSSEIASVNLTSQPCSKSAFSPLKKVSPSLAERRGSLSKSLKLPVMSDSIRETNDRSCFLVKQDGVRIDNNTSSSCESDIEIVFDSKRGVRNGRDILVQGSGLKISPGRLANVGQHDSESTFVNSCCESPRNHPPQDGLLSAVPNRMEEIIPACTGVVQPLVCHWPSIEKMTNFTRSDLDSKSAFAIFLPTAAVDENKDRILYFWIGRSFHLEKRSNQLDSSRVVGDREDIDWNQVAYDVLTKVGLPNDTPVKIVKEDEEPMEFLMLLRTL >KJB76027 pep chromosome:Graimondii2_0_v6:12:9949621:9955424:-1 gene:B456_012G068100 transcript:KJB76027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKEDPPASPRAPSCQLSSSRKMFWRSASWSSSRTSGQIPLTEDKDLGAGSNGNDGINNGQTRRFPPPPLTPRSQQNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPPTPSGNKSGERLKLDLSSIQRNNDKNGGLVKRDKIAFFDKECSKVAEHIYLGGDAVAKDREILKKNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVRELGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPKMLNDPSPSGLDSRGAFIVHIPSAIYIWIGKNCESIMERDVRGAVCQIVRYERVQGPVIVIKEGEEPAYFWNAFSNFLPLMDKSGNKVEVGESAIKICLGERKVDAYNVDFEIFQKAIKGGFVPPFASSENEHETHLPARESSWSMLRRKFASGIMKEFVSAPKILLSRVYSDSMMVVHASSPSSASSSSSSSSSPPYLSPDSISSDSSTCSKYFSESSLDSPSAVLYSLPVSSTLSNFSNLSLISSRSSLHPKINSSEIASVNLTSQPCSKSAFSPLKKVSPSLAERRGSLSKSLKLPVMSDSIRETNDRSCFLVKQDGVRIDNNTSSSCESDIEIVFDSKRGVRNGRDILVQGSGLKISPGRLANVGQHDSESTFVNSCCESPRNHPPQDGLLSAVPNRMEEIIPACTGVVQPLVCHWPSIEKMTNFTRSDLDSKSAFAIFLPTAAVDENKDRILYFWIGRSFHLEKRSNQLDSSRVVGDREDIDWNQVAYDVLTKVGLPNDTPVKIVKEDEEPMEFLMLLRTL >KJB76023 pep chromosome:Graimondii2_0_v6:12:9949577:9955452:-1 gene:B456_012G068100 transcript:KJB76023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSIARRSLDEWPKAGSDDLGEWPQPPTPSGNKSGERLKLDLSSIQRNNDKNGGLVKRDKIAFFDKECSKVAEHIYLGGDAVAKDREILKKNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVRELGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPKMLNDPSPSGLDSRGAFIVHIPSAIYIWIGKNCESIMERDVRGAVCQIVRYERVQGPVIVIKEGEEPAYFWNAFSNFLPLMDKSGNKVEVGESAIKICLGERKVDAYNVDFEIFQKAIKGGFVPPFASSENEHETHLPARESSWSMLRRKFASGIMKEFVSAPKILLSRVYSDSMMVVHASSPSSASSSSSSSSSPPYLSPDSISSDSSTCSKYFSESSLDSPSAVLYSLPVSSTLSNFSNLSLISSRSSLHPKINSSEIASVNLTSQPCSKSAFSPLKKVSPSLAERRGSLSKSLKLPVMSDSIRETNDRSCFLVKQDGVRIDNNTSSSCESDIEIVFDSKRGVRNGRDILVQGSGLKISPGRLANVGQHDSESTFVNSCCESPRNHPPQDGLLSAVPNRMEEIIPACTGVVQPLVCHWPSIEKMTNFTRSDLDSKSAFAIFLPTAAVDENKDRILYFWIGRSFHLEKRSNQLDSSRVVGDREDIDWNQVAYDVLTKVGLPNDTPVKIVKEDEEPMEFLMLLRTL >KJB76030 pep chromosome:Graimondii2_0_v6:12:9951159:9954659:-1 gene:B456_012G068100 transcript:KJB76030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKEDPPASPRAPSCQLSSSRKMFWRSASWSSSRTSGQIPLTEDKDLGAGSNGNDGINNGQTRRFPPPPLTPRSQQNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPPTPSGNKSGERLKLDLSSIQRNNDKNGGLVKRDKIAFFDKECSKVAEHIYLGGDAVAKDREILKKNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVRELGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPKMLNDPSPSGLDSRGAFIVHIPSAIYIWIGKNCESIMERDVRGAVCQIVRYERVQGPVIVIKEGEEPAYFWNAFSNFLPLMDKSGNKVEVGESAIKICLGERKVDAYNVDFEIFQKAIKGGFVPPFASSENEHETHLPARESSWSMLRRKFASGIMKEFVSAPKILLSRVYSDSMMVVHASSPSSASSSSSSSSSPPYLSPDSISSDSSTCSKYFSESSLDSPSAVLYSLPVSSTLSNFSNLSLISSRSSLHPKINSSEIASVNLTSQPCSKSAFSPLKKVSPSLAERRGSLSKSLKLPVMSDSIRETNDRSCFLVKQDGVRIDNNTSSSCESDIEIVFDSKRGVRNGRDILVQGSGLKISPGRLANVGQHDSESTFVNSCCESPRNHPPQDGLLSAVPNRMEEIIPACTGVVQPLVCHWPSIEKMTNFTRSDLDSKSAFAIFLPTAAVDENKDRILYFWIGRSFHLEKRSNQLDSSRVVGDREDIDWNQVAYDVLTKVGLPNDTPVKIVKEDEEPMEFLMLLRTL >KJB76024 pep chromosome:Graimondii2_0_v6:12:9951159:9954502:-1 gene:B456_012G068100 transcript:KJB76024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSIARRSLDEWPKAGSDDLGEWPQPPTPSGNKSGERLKLDLSSIQRNNDKNGGLVKRDKIAFFDKECSKVAEHIYLGGDAVAKDREILKKNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVRELGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPKMLNDPSPSGLDSRGAFIVHIPSAIYIWIGKNCESIMERDVRGAVCQIVRYERVQGPVIVIKEGEEPAYFWNAFSNFLPLMDKSGNKVEVGESAIKICLGERKVDAYNVDFEIFQKAIKGGFVPPFASSENEHETHLPARESSWSMLRRKFASGIMKEFVSAPKILLSRVYSDSMMVVHASSPSSASSSSSSSSSPPYLSPDSISSDSSTCSKYFSESSLDSPSAVLYSLPVSSTLSNFSNLSLISSRSSLHPKINSSEIASVNLTSQPCSKSAFSPLKKVSPSLAERRGSLSKSLKLPVMSDSIRETNDRSCFLVKQDGVRIDNNTSSSCESDIEIVFDSKRGVRNGRDILVQGSGLKISPGRLANVGQHDSESTFVNSCCESPRNHPPQDGLLSAVPNRMEEIIPACTGVVQPLVCHWPSIEKMTNFTRSDLDSKSAFAIFLPTAAVDENKDRILYFWIGRSFHLEKRSNQLDSSRVVGDREDIDWNQVAYDVLTKVGLPNDTPVKIVKEDEEPMEFLMLLRTL >KJB76029 pep chromosome:Graimondii2_0_v6:12:9949595:9955434:-1 gene:B456_012G068100 transcript:KJB76029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKEDPPASPRAPSCQLSSSRKMFWRSASWSSSRTSGQIPLTEDKDLGAGSNGNDGINNGQTRRFPPPPLTPRSQQNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPPTPSGNKSGERLKLDLSSIQRNNDKNGGLVKRDKIAFFDKECSKVAEHIYLGGDAVAKDREILKKNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVRELGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPKMLNDPSPSGLDSRGAFIVHIPSAIYIWIGKNCESIMERDVRGAVCQIVRYERVQGPVIVIKEGEEPAYFWNAFSNFLPLMDKSGNKVEVGESAIKICLGERKVDAYNVDFEIFQKAIKGGFVPPFASSENEHETHLPARESSWSMLRRKFASGIMKEFVSAPKILLSRVYSDSMMVVHASSPSSASSSSSSSSSPPYLSPDSISSDSSTCSKYFSESSLDSPSAVLYSLPVSSTLSNFSNLSLISSRSSLHPKINSSEIASVNLTSQPCSKSAFSPLKKVSPSLAERRGSLSKSLKLPVMSDSIRETNDRSCFLVKQDGVRIDNNTSSSCESDIEIVFDSKRGVRNGRDILVQGSGLKISPGRLANVGQHDSESTFVNSCCESPRNHPPQDGLLSAVPNRMEEIIPACTGVVQPLVCHWPSIEKMTNFTRSDLDSKSAFAIFLPTAAVDENKDRILYFWIGRSFHLEKRSNQLDSSRVVGDREDIDWNQVAYDVLTKVGLPNDTPVKIVKEDEEPMEFLMLLRTL >KJB76028 pep chromosome:Graimondii2_0_v6:12:9949636:9955424:-1 gene:B456_012G068100 transcript:KJB76028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKEDPPASPRAPSCQLSSSRKMFWRSASWSSSRTSGQIPLTEDKDLGAGSNGNDGINNGQTRRFPPPPLTPRSQQNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPPTPSGNKSGERLKLDLSSIQRNNDKNGGLVKRDKIAFFDKECSKVAEHIYLGGDAVAKDREILKKNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVRELGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPKMLNDPSPSGLDSRGAFIVHIPSAIYIWIGKNCESIMERDVRGAVCQIVRYERVQGPVIVIKEGEEPAYFWNAFSNFLPLMDKSGNKVEVGESAIKICLGERKVDAYNVDFEIFQKAIKGGFVPPFASSENEHETHLPARESSWSMLRRKFASGIMKEFVSAPKILLSRVYSDSMMVVHASSPSSASSSSSSSSSPPYLSPDSISSDSSTCSKYFSESSLDSPSAVLYSLPVSSTLSNFSNLSLISSRSSLHPKINSSEIASVNLTSQPCSKSAFSPLKKVSPSLAERRGSLSKSLKLPVMSDSIRETNDRSCFLVKQDGVRIDNNTSSSCESDIEIVFDSKRGVRNGRDILVQGSGLKISPGRLANVGQHDSESTFVNSCCESPRNHPPQDGLLSAVPNRMEEIIPACTGVVQPLVCHWPSIEKMTNFTRSDLDSKSAFAIFLPTAAVDENKDRILYFWIGRSFHLEKRSNQLDSSRVVGDREDIDWNQVAYDVLTKVGLPNDTPVKIVKEDEEPMEFLMLLRTL >KJB76025 pep chromosome:Graimondii2_0_v6:12:9951159:9954502:-1 gene:B456_012G068100 transcript:KJB76025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSIARRSLDEWPKAGSDDLGEWPQPPTPSGNKSGERLKLDLSSIQRNNDKNGGLVKRDKIAFFDKECSKVAEHIYLGGDAVAKDREILKKNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVRELGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPKMLNDPSPSGLDSRGAFIVHIPSAIYIWIGKNCESIMERDVRGAVCQIVRYERVQGPVIVIKEGEEPAYFWNAFSNFLPLMDKSGNKVEVGESAIKICLGERKVDAYNVDFEIFQKAIKGGFVPPFASSENEHETHLPARESSWSMLRRKFASGIMKEFVSAPKILLSRVYSDSMMVVHASSPSSASSSSSSSSSPPYLSPDSISSDSSTCSKYFSESSLDSPSAVLYSLPVSSTLSNFSNLSLISSRSSLHPKINSSEIASVNLTSQPCSKSAFSPLKKVSPSLAERRGSLSKSLKLPVMSDSIRETNDRSCFLVKQDGVRIDNNTSSSCESDIEIVFDSKRGVRNGRDILVQGSGLKISPGRLANVGQHDSESTFVNSCCESPRNHPPQDGLLSAVPNRMEEIIPACTGVVQPLVCHWPSIEKMTNFTRSDLDSKSAFAIFLPTAAVDENKDRILYFWIGRSFHLEKRSNQLDSSRVVGDREDIDWNQVAYDVLTKVGLPNDTPVKIVKEDEEPMEFLMLLRTL >KJB74764 pep chromosome:Graimondii2_0_v6:12:725815:737051:1 gene:B456_012G006000 transcript:KJB74764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSGLRPAQRAEKQRIMQQQQGLSHGWNSLTRSLNNGQQSLRSKDTKPVPSSRRSVTPTSRSFYRDFDDDNDSGRVRVAVRLRPRNAEDLLSDADFADCVELQPELKRLKLRKNNWSSESYRFDEVFTETASQKRVYEVVAKPVVESVLNGYNGTVMAYGQTGTGKTFTLGRLGKNDASERGIMVRALEDIMDNISIAFDTVEVSYLQLYMESIQDLLAPKKTNIPINEDPKTGEVSLPGAITVKLRDLHHFLELLQIGEANRHAANTKLNTESSRSHAILVVNIRRSVPGKVEDDISYQDKITKGNFPIVRKSKLLIVDLAGSERLDKSGSEGLLLEEAKFINLSLTSLGKCINALAENCPHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSSRHHAETTSTIMFGQRAMKIVNVVKLKEEFDYESLCRKLEAQVDLLTAEIDRQQKLGQSEKSGLEKQLRECQDCFQETRKTLVTRSEFLEKENTRLELDMEDVLAELNCQKDHNSLMQDKIADLEMRLKQSKQHQLENSTYHKLLADTTEMYEKKIAELIKQLEVERGRSKTAEEQLNSMKKLSGDHQKLTQQHEMENSKHQKALVDTTWIYEKKIMELTKQLEDEHTRFEDIQEQLHLANKRQTDYQNSMQKQEEMSELRSELQEMYQLHESTINELQSLKAEFKDQIEEKESITVKLYAMQEKLSAEEKRRKTIENELEKLKKCAPEGDTDFEDEESYMKEKIRGNSVLGTSASLKKAGPLRVTKSAAQRVIVAKICEEVGIQKIIRLLTSEDLDVQIHAVKVIANLAAEEVNQENIVKEGGLDALLTMLRSSQNATILRVASGAMANLAMNEMNQSLIMSRGGAQLLAKTASKTDDPQTLRMVAGALANLCGNEKLHMMLKEDGGIKALLGMVRCTNSDVVAQVARGMANFAKCESRAIVQGYRKGQSLLMEEGALEWLIGNCNNASASTRRHVELALCHLAQNGKQSSGIEISMHLQHFDSDIYSKTLN >KJB74765 pep chromosome:Graimondii2_0_v6:12:725815:737767:1 gene:B456_012G006000 transcript:KJB74765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSGLRPAQRAEKQRIMQQQQGLSHGWNSLTRSLNNGQQSLRSKDTKPVPSSRRSVTPTSRSFYRDFDDDNDSGRVRVAVRLRPRNAEDLLSDADFADCVELQPELKRLKLRKNNWSSESYRFDEVFTETASQKRVYEVVAKPVVESVLNGYNGTVMAYGQTGTGKTFTLGRLGKNDASERGIMVRALEDIMDNISIAFDTVEVSYLQLYMESIQDLLAPKKTNIPINEDPKTGEVSLPGAITVKLRDLHHFLELLQIGEANRHAANTKLNTESSRSHAILVVNIRRSVPGKVEDDISYQDKITKGNFPIVRKSKLLIVDLAGSERLDKSGSEGLLLEEAKFINLSLTSLGKCINALAENCPHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSSRHHAETTSTIMFGQRAMKIVNVVKLKEEFDYESLCRKLEAQVDLLTAEIDRQQKLGQSEKSGLEKQLRECQDCFQETRKTLVTRSEFLEKENTRLELDMEDVLAELNCQKDHNSLMQDKIADLEMRLKQSKQHQLENSTYHKLLADTTEMYEKKIAELIKQLEVERGRSKTAEEQLNSMKKLSGDHQKLTQQHEMENSKHQKALVDTTWIYEKKIMELTKQLEDEHTRFEDIQEQLHLANKRQTDYQNSMQKQEEMSELRSELQEMYQLHESTINELQSLKAEFKDQIEEKESITVKLYAMQEKLSAEEKRRKTIENELEKLKKCAPEGDTDFEDEESYMKEKIRGNSVLGTSASLKKAGPLRVTKSAAQRVIVAKICEEVGIQKIIRLLTSEDLDVQIHAVKVIANLAAEEVNQENIVKEGGLDALLTMLRSSQNATILRVASGAMANLAMNEMNQSLIMSRGGAQLLAKTASKTDDPQTLRMVAGALANLCGNGKTFSRSCRLHFQGI >KJB74763 pep chromosome:Graimondii2_0_v6:12:725473:737867:1 gene:B456_012G006000 transcript:KJB74763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSGLRPAQRAEKQRIMQQQQGLSHGWNSLTRSLNNGQQSLRSKDTKPVPSSRRSVTPTSRSFYRDFDDDNDSGRVRVAVRLRPRNAEDLLSDADFADCVELQPELKRLKLRKNNWSSESYRFDEVFTETASQKRVYEVVAKPVVESVLNGYNGTVMAYGQTGTGKTFTLGRLGKNDASERGIMVRALEDIMDNISIAFDTVEVSYLQLYMESIQDLLAPKKTNIPINEDPKTGEVSLPGAITVKLRDLHHFLELLQIGEANRHAANTKLNTESSRSHAILVVNIRRSVPGKVEDDISYQDKITKGNFPIVRKSKLLIVDLAGSERLDKSGSEGLLLEEAKFINLSLTSLGKCINALAENCPHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSSRHHAETTSTIMFGQRAMKIVNVVKLKEEFDYESLCRKLEAQVDLLTAEIDRQQKLGQSEKSGLEKQLRECQDCFQETRKTLVTRSEFLEKENTRLELDMEDVLAELNCQKDHNSLMQDKIADLEMRLKQSKQHQLENSTYHKLLADTTEMYEKKIAELIKQLEVERGRSKTAEEQLNSMKKLSGDHQKLTQQHEMENSKHQKALVDTTWIYEKKIMELTKQLEDEHTRFEDIQEQLHLANKRQTDYQNSMQKQEEMSELRSELQEMYQLHESTINELQSLKAEFKDQIEEKESITVKLYAMQEKLSAEEKRRKTIENELEKLKKCAPEGDTDFEDEESYMKEKIRGNSVLGTSASLKKAGPLRVTKSAAQRVIVAKICEEVGIQKIIRLLTSEDLDVQIHAVKVIANLAAEEVNQENIVKEGGLDALLTMLRSSQNATILRVASGAMANLAMNEMNQSLIMSRGGAQLLAKTASKTDDPQTLRMVAGALANLCGNEKLHMMLKEDGGIKALLGMVRCTNSDVVAQVARGMANFAKCESRAIVQGYRKGQSLLMEEGALEWLIGNCNNASASTRRHVELALCHLAQNEENAKDFTYRGGLKELQRISMESSREDIRNLAKKMLKSNTMFQGELAVRCST >KJB75532 pep chromosome:Graimondii2_0_v6:12:5737964:5743372:1 gene:B456_012G045900 transcript:KJB75532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIGGAAPLNPPLPPQPYRHSCSRRNYFSSNLMASRRLRAFKRWMKSQGIQCSDTLDFIDCPQQGISVGALSDLHEGDVVAKIPKTACLTIKTSGAREMIESAGLDGHLGLSVALMYEKSLAQDSPWAGYLQLLPPQECLPLVWTLGEVDSLLSGTELHKAIKEDKTLMYEDWKENILPLVYSAPQSLNPSSFSVKEYFAARSLIASRSFQIDEYHGFGMVPLADLFNHKTGAEDVHFTSVSPNQEYEDDVDSENGDNNELSKISGHDKRDSTCENSYIHSDSESDYSSVTGEDPMMLQMIMVREVKSGDEVFNTYGSLGNAALLHRYGFTEANNPFDIVNIDLELVLKWGCSLFSSRYCRARLSLWRRINISGSVSENSEYFEVSSDGEPELELLTLLYIMLLPDDTCHKLDISICTADKVSANIGMILSEKHDITWSTSSEISKDLLLTEKVCRALLALADIRESCYGSKSIDEDVEALKRCCMTERKLYHSLMLRISERRILEKFRTYATAGAQIQTFQDANGTSTTRKRLKKH >KJB77124 pep chromosome:Graimondii2_0_v6:12:27665597:27666786:1 gene:B456_012G121400 transcript:KJB77124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNEVKVLGSWPSPYAMRPRIALNLKSLTYEYIEEKLWEGKSELLLQSNPVYKKIPVLIHGDNKPICESLIIVQYIDEAWSSGPSILPSDPCERAVARFWAAYLDDKWFPAVKSIGVAKGEEAKKAAIAQVEEGLALMEEAYDKCSKGKGYFGGDEVGYLDIAFGSFLGWLRVTEKFNAMKLLDEGKTPGLVKWADRFCSHAAVKDVMPDTDKLAEFGKFVIAKIRAAGAAAEMH >KJB78159 pep chromosome:Graimondii2_0_v6:12:34945024:34949013:-1 gene:B456_012G181100 transcript:KJB78159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQQLKKMRCSTSVKRNKLKDAIIAELAASTNPSMAINPELIDLRLQQFLPTLQTPSHPPYSLMIQQAILKLNEECGSKEEDISRFIEKEYKGLPWAHASFLSHHLERLCRTGELTSVDNERYMVCMDDGDFRNKEETSLGLKVSNCDEKEDRALVRGKGSEVEVFNGWSGVNGDRVLESEKRCEVERQSVEVNGRNRACDERTEGFEEQMEGRRESINEVREESQHFNEQIELSLHLEKLCRNGEIVCVNKEDDGDLQNEEEMSHRLNVSNSVEKEDQTLVQGKWSEVEAFDVCNGVNSGQAAESETRCEVERQSVEVKGQDRACDGRTEGFEEQMEGRRESINEVREKSQHFNGQIETSHHLEKLCEDDGDLWNEEDEMSHRLNISNSIEKEDRTLGQGKRSDVEAFDSCNGVNSGQAAESETQCEVERHSVEVSGQKTACEQRMEGCTIESINEVQEESQDFSRQIEESNHSEKLCRNAEIECVNNEQQTRHLEDGDLVNEEEMCHRFCMSNISNSDESEDQTLVQVKDREVEAINGLSGVNGDQAAESKNRCKIGTHSIEVSDKNTTSERRMEGFEKKKDGRREPLKEVQEESQNFSGQIGASCHLEKHGEIDNADNERSIVHMDDAALGKENDEKEDRPLDQEKGTEVEAFVGCSEVKGDQANNGEACEQITEGFAEQNEGTRESLEEVQEGQNISDPIEVAEEVDIAKGKPTKVAQKRRGQKRKRQVKTRKQRKVARVLINEGRKESLKEVQEESENFSSPIEVAEEIPIGKLNKVTRKPRGKKRKRQEITNKPIKVLKDDIAWPMMENEKKYVKERQQQMKGGNGVTKSVGEQDQPQRGKMVSEQGSQDPKIDIRTKTLVNPFDGDVKNLKISPPKRSARLLEKKKKEGLKHEEQQQRKLRERERRLLIVCALPAATQPRKDIDPEARTTPRIDLESREWGKILLRQLKRPRSRTHANRKGNMAERFTQSEPKLNGTSKNSELEEEKQEPRMKVYVRRKVKKSQLKEPENNIVLSSNLG >KJB78158 pep chromosome:Graimondii2_0_v6:12:34944749:34949127:-1 gene:B456_012G181100 transcript:KJB78158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGFTNSRIWRRPMIQQLKKMRCSTSVKRNKLKDAIIAELAASTNPSMAINPELIDLRLQQFLPTLQTPSHPPYSLMIQQAILKLNEECGSKEEDISRFIEKEYKGLPWAHASFLSHHLERLCRTGELTSVDNERYMVCMDDGDFRNKEETSLGLKVSNCDEKEDRALVRGKGSEVEVFNGWSGVNGDRVLESEKRCEVERQSVEVNGRNRACDERTEGFEEQMEGRRESINEVREESQHFNEQIELSLHLEKLCRNGEIVCVNKEDDGDLQNEEEMSHRLNVSNSVEKEDQTLVQGKWSEVEAFDVCNGVNSGQAAESETRCEVERQSVEVKGQDRACDGRTEGFEEQMEGRRESINEVREKSQHFNGQIETSHHLEKLCEDDGDLWNEEDEMSHRLNISNSIEKEDRTLGQGKRSDVEAFDSCNGVNSGQAAESETQCEVERHSVEVSGQKTACEQRMEGCTIESINEVQEESQDFSRQIEESNHSEKLCRNAEIECVNNEQQTRHLEDGDLVNEEEMCHRFCMSNISNSDESEDQTLVQVKDREVEAINGLSGVNGDQAAESKNRCKIGTHSIEVSDKNTTSERRMEGFEKKKDGRREPLKEVQEESQNFSGQIGASCHLEKHGEIDNADNERSIVHMDDAALGKENDEKEDRPLDQEKGTEVEAFVGCSEVKGDQANNGEACEQITEGFAEQNEGTRESLEEVQEGQNISDPIEVAEEVDIAKGKPTKVAQKRRGQKRKRQVKTRKQRKVARVLINEGRKESLKEVQEESENFSSPIEVAEEIPIGKLNKVTRKPRGKKRKRQEITNKPIKDDIAWPMMENEKKYVKERQQQMKGGNGVTKSVGEQDQPQRGKMVSEQGSQDPKIDIRTKTLVNPFDGDVKNLKISPPKRSARLLEKKKKEGLKHEEQQQRKLRERERRLLIVCALPAATQPRKDIDPEARTTPRIDLESREWGKILLRQLKRPRSRTHANRKGNMAERFTQSEPKLNGTSKNSELEEEKQEPRMKVYVRRKVKKSQLKEPENNIVLSSNLG >KJB74919 pep chromosome:Graimondii2_0_v6:12:1585259:1590695:-1 gene:B456_012G014200 transcript:KJB74919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFGKSLDTSKNSWRTSLLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHARVSLLPNRQVADEALSTYKLEHHPEKKSSSGVKLYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISSLGIYNIIYWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYSAIRTAFTFLVYPALILAYMGQAAYLSQHHHTSYQISFYVSVPESVRWPVLIIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPIVALFFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVSKLADFIHYDWHRSQHSSHSEEDVSHSNESTSECRLAVIGTVAFSGTPAYEIEESVQPASVSIGFSTVESVTDVIEMEPVHAVERRVRFAIDDYSESDVRTNMELQLREELQDLLAAQEAGTAFILGHSHVRAKQGSSVFKRLAINFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVV >KJB74913 pep chromosome:Graimondii2_0_v6:12:1585571:1590198:-1 gene:B456_012G014200 transcript:KJB74913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFGKSLDTSKKNSWRTSLLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHARVSLLPNRQVADEALSTYKLEHHPEKKSSSGVKLYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISSLGIYNIIYWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYSAIRTAFTFLVYPALILAYMGQAAYLSQHHHTSYQISFYVSVPESVRWPVLIIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPIVALFFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVSKLADFIHYDWHRSQHSSHSEEDVSHSNESTSECRLAVIGTVAFSGTPAYEIEESVQPASVSIGFSTVESVTDVIEMEPVHAVERRVRFAIDDYSESDVRTNMELQLREELQDLLAAQEAGTAFILGHSHVRAKQGSSVFKRLAINFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVV >KJB74916 pep chromosome:Graimondii2_0_v6:12:1585259:1591046:-1 gene:B456_012G014200 transcript:KJB74916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFGKSLDTSKNSWRTSLLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHARVSLLPNRQVADEALSTYKLEHHPEKKSSSGVKLYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISSLGIYNIIYWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYSAIRTAFTFLVYPALILAYMGQAAYLSQHHHTSYQISFYVSVPESVRWPVLIIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPIVALFFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVSKLADFIHYDWHRSQHSSHSEEDVSHSNESTSECRLAVIGTVAFSGTPAYEIEESVQPASVSIGFSTVESVTDVIEMEPVHAVERRVRFAIDDYSESDVRTNMELQLREELQDLLAAQEAGTAFILGHSHVRAKQGSSVFKRLAINFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVV >KJB74912 pep chromosome:Graimondii2_0_v6:12:1585259:1590032:-1 gene:B456_012G014200 transcript:KJB74912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIFAGGTFALYSLICRHARVSLLPNRQVADEALSTYKLEHHPEKKSSSGVKLYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISSLGIYNIIYWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYSAIRTAFTFLVYPALILAYMGQAAYLSQHHHTSYQISFYVSVPESVRWPVLIIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPIVALFFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVSKLADFIHYDWHRSQHSSHSEEDVSHSNESTSECRLAVIGTVAFSGTPAYEIEESVQPASVSIGFSTVESVTDVIEMEPVHAVERRVRFAIDDYSESDVRTNMELQLREELQDLLAAQEAGTAFILGHSHVRAKQGSSVFKRLAINFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVV >KJB74917 pep chromosome:Graimondii2_0_v6:12:1585259:1590436:-1 gene:B456_012G014200 transcript:KJB74917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFGKSLDTSKKNSWRTSLLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHARVSLLPNRQVADEALSTYKLEHHPEKKSSSGVKLYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISSLGIYNIIYWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYSAIRTAFTFLVYPALILAYMGQAAYLSQHHHTSYQISFYVSVPESVRWPVLIIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPIVALFFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVSKLADFIHYDWHRSQHSSHSEEDVSHSNESTSECRLAVIGTVAFSGTPAYEIEESVQPASVSIGFSTVESVTDVIEMEPVHAVERRVRFAIDDYSESDVRTNMELQLREELQDLLAAQEAGTAFILGHSHVRAKQGSSVFKRLAINFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVV >KJB74920 pep chromosome:Graimondii2_0_v6:12:1585259:1591165:-1 gene:B456_012G014200 transcript:KJB74920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFGKSLDTSKKNSWRTSLLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHARVSLLPNRQVADEALSTYKLEHHPEKKSSSGVKLYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISSLGIYNIIYWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYSAIRTAFTFLVYPALILAYMGQAAYLSQHHHTSYQISFYVSVPESVRWPVLIIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPIVALFFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVSKLADFIHYDWHRSQHSSHSEEDVSHSNESTSECRLAVIGTVAFSGTPAYEIEESVQPASVSIGFSTVESVTDVIEMEPVHAVERRVRFAIDDYSESDVRTNMELQLREELQDLLAAQEAGTAFILGHSHVRAKQGSSVFKRLAINFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVV >KJB74911 pep chromosome:Graimondii2_0_v6:12:1585259:1588654:-1 gene:B456_012G014200 transcript:KJB74911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWLILSIAILSLNICIVNSCVCSNFLSLLNFLPSFTDAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISSLGIYNIIYWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYSAIRTAFTFLVYPALILAYMGQAAYLSQHHHTSYQISFYVSVPESVRWPVLIIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPIVALFFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVSKLADFIHYDWHRSQHSSHSEEDVSHSNESTSECRLAVIGTVAFSGTPAYEIEESVQPASVSIGFSTVESVTDVIEMEPVHAVERRVRFAIDDYSESDVRTNMELQLREELQDLLAAQEAGTAFILGHSHVRAKQGSSVFKRLAINFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVV >KJB74918 pep chromosome:Graimondii2_0_v6:12:1585571:1590198:-1 gene:B456_012G014200 transcript:KJB74918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFGKSLDTSKKNSWRTSLLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHARVSLLPNRQVADEALSTYKLEHHPEKKSSSGVKLYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISSLGIYNIIYWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYSAIRTAFTFLVYPALILAYMGQAAYLSQHHHTSYQISFYVSVPESVRWPVLIIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPIVALFFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVSKLADFIHYDWHRSQHSSHSEEDVSHSNESTSECRLAVIGTVAFSGTPAYEIEESVQPASVSIGFSTVESVTDVIEMEPVHAVERRVRFAIDDYSESDVRTNMELQLREELQDLLAAQEAGTAFILGHSHVRAKQGSSVFKRLAINFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVV >KJB74914 pep chromosome:Graimondii2_0_v6:12:1585259:1590393:-1 gene:B456_012G014200 transcript:KJB74914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFGKSLDTSKKNSWRTSLLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHARVSLLPNRQVADEALSTYKLEHHPEKKSSSGVKLYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISSLGIYNIIYWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYSAIRTAFTFLVYPALILAYMGQAAYLSQHHHTSYQISFYVSVPESVRWPVLIIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPIVALFFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVSKLADFIHYDWHRSQHSSHSEEDVSHSNESTSECRLAVIGTVAFSGTPAYEIEESVQPASVSIGFSTVESVTDVIEMEPVHAVERRVRFAIDDYSESDVRTNMELQLREELQDLLAAQEAGTAFILGHSHVRAKQGSSVFKRLAINFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVV >KJB74915 pep chromosome:Graimondii2_0_v6:12:1585259:1590649:-1 gene:B456_012G014200 transcript:KJB74915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFGKSLDTSKKNSWRTSLLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHARVSLLPNRQVADEALSTYKLEHHPEKKSSSGVKLYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISSLGIYNIIYWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYSAIRTAFTFLVYPALILAYMGQAAYLSQHHHTSYQISFYVSVPESVRWPVLIIAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPIVALFFLLFFGSIELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVSKLADFIHYDWHRSQHSSHSEEDVSHSNESTSECRLAVIGTVAFSGTPAYEIEESVQPASVSIGFSTVESVTDVIEMEPVHAVERRVRFAIDDYSESDVRTNMELQLREELQDLLAAQEAGTAFILGHSHVRAKQGSSVFKRLAINFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVV >KJB77058 pep chromosome:Graimondii2_0_v6:12:27217774:27219015:-1 gene:B456_012G118200 transcript:KJB77058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLQQFIFFILVALPFMFISPTHSSLANGKVNILPPTNTSDKVTLALYYETLCPYCADFITNDLVKVFLSDLFTIVNLKLVPWGNADILGDEPHCQHGEDECYLNTIHSCVIYYWPDVKKHFDFIRCTEQQSSKKPLVKNRAAMWKQCSEKLGMSAHRINKCYTSGYGLKLLLQYANETAKLKPPHEYVPWVVVDNQPLKDLIKVTINQKHAKHKRPMSAQPMIRWQTEYIQDAMLVKLQTWDRTLKEARTCALSITAASQFTNYM >KJB77056 pep chromosome:Graimondii2_0_v6:12:27217976:27218985:-1 gene:B456_012G118200 transcript:KJB77056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLQQFIFFILVALPFMFISPTHSSLANGKVNILPPTNTSDKVTLALYYETLCPYCADFITNDLVKVFLSDLFTIVNLKLVPWGNADILGDEPHCQHGEDECYLNTIHSCVIYYWPDVKKHFDFIRCTEQQSSKKPLVKNRAAMWKQCSEKLGMSAHRINKCYTSGYGLKLLLQYANETAKLKPPHEYVPWVVVDNQPLKDDFENFVKYVCEAYKGDHKPEACKTQAANVSSTHDKMANRIHPGCYASEIANLG >KJB77057 pep chromosome:Graimondii2_0_v6:12:27217773:27219015:-1 gene:B456_012G118200 transcript:KJB77057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLQQFIFFILVALPFMFISPTHSSLANGKVNILPPTNTSDKVTLALYYETLCPYCADFITNDLVKVFLSDLFTIVNLKLVPWGNADILGDEPHCQHGEDECYLNTIHSCVIYYWPDKKHFDFIRCTEQQSSKKPLVKNRAAMWKQCSEKLGMSAHRINKCYTSGYGLKLLLQYANETAKLKPPHEYVPWVVVDNQPLKDDFENFVKYVCEAYKGDHKPEACKTQAANVSSTHDKMANRIHPGCYASEIANLG >KJB77055 pep chromosome:Graimondii2_0_v6:12:27217773:27219015:-1 gene:B456_012G118200 transcript:KJB77055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLQQFIFFILVALPFMFISPTHSSLANGKVNILPPTNTSDKVTLALYYETLCPYCADFITNDLVKVFLSDLFTIVNLKLVPWGNADILGDEPHCQHGEDECYLNTIHSCVIYYWPDVHFDFIRCTEQQSSKKPLVKNRAAMWKQCSEKLGMSAHRINKCYTSGYGLKLLLQYANETAKLKPPHEYVPWVVVDNQPLKDDFENFVKYVCEAYKGDHKPEACKTQAANVSSTHDKMANRIHPGCYASEIANLG >KJB74877 pep chromosome:Graimondii2_0_v6:12:1382407:1388191:1 gene:B456_012G012000 transcript:KJB74877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRPRKAPKPEEEAASVAKAQKLRALQTQFFSFHHNKIYTKEAVELSAKLLEINPESYTAWNYRKLAVEHYLSQPDCNPDLIKSVLDDELRVVENALRQNFKSYGAWHHRKWVISKGHSSVDNELRLLDKFQKADSRNFHAWNYRRFVAESMKRSEQDELKYTEDMIYKNFSNYSAWHNRSVLLSALFEKKAEGFLSKEKVLPEEYEFIHQAIFTDPDDQSGWFYHLWLLDQTVTTDSPLLASSWPGHGYDVLLVEDRCYNGSAFSPFTALHSDSGSFPIVLYFNQPVGGVSSSTVTVECGFNKNEDLLWKPLSASNSQTARVWVAHLKIPSSDLHSTVEVSVGHSKGIISTRGFEYSDPSMFSFKVHVQPMERGFSQVSVAESISLREENFKIYGTQSEESIINSFNQLSIKNGHESTASNWRSEALAKEIECFRELLSLMDCKIGKLTLARLLMAYDVMSYPFANKLVHSEEVLELYSDLMKLDPTHYQYYKDEHSMVLLQKVTSSKESLLQHCFQYKDSVSSAICGPICLRLNNLSLSRMGAFEKLLWVQMLDLSDNELQSIEGLEAMQLLSCLSLRNNKLRSLTALEPLRKLKLLRVLDISYNQIGDHSIDTTRYLCSSPLSHSVGSESNKDETVTSDVALIDNWEAFYIFIDFNLNQLDIVGNTIADEKFKSVLVKIMPKLKQLDGKLLG >KJB74876 pep chromosome:Graimondii2_0_v6:12:1382407:1388191:1 gene:B456_012G012000 transcript:KJB74876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRPRKAPKPEEEAASVAKAQKLRALQTQFFSFHHNKIYTKEAVELSAKLLEINPESYTAWNYRKLAVEHYLSQPDCNPDLIKSVLDDELRVVENALRQNFKSYGAWHHRKWVISKGHSSVDNELRLLDKFQKADSRNFHAWNYRRFVAESMKRSEQDELKYTEDMIYKNFSNYSAWHNRSVLLSALFEKKAEGFLSKEKVLPEEYEFIHQAIFTDPDDQSGWFYHLWLLDQTVTTDSPLLASSWPGHGYDVLLVEDRCYNGSAFSPFTALHSDSGSFPIVLYFNQPVGGVSSSTVTVECGFNKNEDLLWKPLSASNSQTARVWVAHLKIPSSDLHSTVEVSVGHSKGIISTRGFEYSDPSMFSFKVHVQPMERGFSQVSVAESISLREENFKIYGTQSEESIINSFNQLSIKNGHESTASNWRSEALAKEIECFRELLSLMDCKIGKLTLARLLMAYDVMSYPFANKLVHSEEVLELYSDLMKLDPTHYQYYKDEHSMVLLQKVTSSKESLLQHCFQYKDSVSSAICGPICLRLNNLSLSRMGAFEKLLWVQMLDLSDNELQSIEGLEAMQLLSCLSLRNNKLRSLTALEPLRKLKLLRVLDISYNQIGDHSIDTTRYLCSSPLSHSVGSESNKDETVTSDVALIDNWEAFYIFIDFNLNQLDIVGNTIADEKFKSVLVKIMPKLKQLDGKLLG >KJB74878 pep chromosome:Graimondii2_0_v6:12:1382555:1388191:1 gene:B456_012G012000 transcript:KJB74878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRPRKAPKPEEEAASVAKAQKLRALQTQFFSFHHNKIYTKEAVELSAKLLEINPESYTAWNYRKLAVEHYLSQPDCNPDLIKSVLDDELRVVENALRQNFKSYGAWHHRKWVISKGHSSVDNELRLLDKFQKADSRNFHAWNYRRFVAESMKRSEQDELKYTEDMIYKNFSNYSAWHNRSVLLSALFEKKAEGFLSKEKVLPEEYEFIHQAIFTDPDDQSGWFYHLWLLDQTVTTDSPLLASSWPGHGYDVLLVEDRCYNGSAFSPFTALHSDSGSFPIVLYFNQPVGGVSSSTVTVECGFNKNEDLLWKPLSASNSQTARVWVAHLKIPSSDLHSTVEVSVGHSKGIISTRGFEYSDPSMFSFKVHVQPMERGFSQVSVAESISLREENFKIYGTQSEESIINSFNQLSIKNGHESTASNWRSEALAKEIECFRELLSLMDCKIGKLTLARLLMAYDVMSYPFANKLVHSEEVLELYSDLMKLDPTHYQYYKDEHSMVLLQKYKDSVSSAICGPICLRLNNLSLSRMGAFEKLLWVQMLDLSDNELQSIEGLEAMQLLSCLSLRNNKLRSLTALEPLRKLKLLRVLDISYNQIGDHSIDTTRYLCSSPLSHSVGSESNKDETVTSDVALIDNWEAFYIFIDFNLNQLDIVGNTIADEKFKSVLVKIMPKLKQLDGKLLG >KJB76316 pep chromosome:Graimondii2_0_v6:12:13714759:13718772:-1 gene:B456_012G082900 transcript:KJB76316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYWVVSLPVQNSASTLWNNLQDQISKHSFDTPLYRSNTFIEGVSHKIRRQIEELERVSGLEINALTVDGVPVDSYLTRFVWDEAKYPTMSPLREIVDGIHTQVAKIEDDLKVRVAEYNNVRGQLNAINRKQSGSLAVRDLSNLVKPEDIITSEHLVTLLAVVPKYSQKDWLSSYETLTTYVVPRSSKKLYEDNEYALYTVTLFGRVADNFRTSARERGFQVRDFEYSPEAQESRKQELEKLVQDQDMLRSSLLQWCYASYGEVFSSWMHFCAVRVFAESILRYGLPPSFLACVLSPSVKGEKKVRSILEGLCDSANSTYWKTEDEGGAMAGLGGDTDAHPYVSFTINIA >KJB76319 pep chromosome:Graimondii2_0_v6:12:13715258:13718741:-1 gene:B456_012G082900 transcript:KJB76319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYWVVSLPVQNSASTLWNNLQDQISKHSFDTPLYRFNMSNLRVGTLDSLLALSDDLLKSNTFIEGVSHKIRRQIEELERVSGLEINALTVDGVPVDSYLTRFVWDEAKYPTMSPLREIVDGIHTQVAKIEDDLKVRVAEYNNVRGQLNAINRKQSGSLAVRDLSNLVKPEDIITSEHLVTLLAVVPKYSQKDWLSSYETLTTYVVPRSSKKLYEDNEYALYTVTLFGRVADNFRTSARERGFQVRDFEYSPEAQESRKQELEKLVQDQDMLRSSLLQWCYASYGEVFSSWMHFCAVRVFAESILRYGLPPSFLACVLSPSVKGEKKVRSILEGLCDSANRQYILED >KJB76320 pep chromosome:Graimondii2_0_v6:12:13715621:13718741:-1 gene:B456_012G082900 transcript:KJB76320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYWVVSLPVQNSASTLWNNLQDQISKHSFDTPLYRFNMSNLRVGTLDSLLALSDDLLKSNTFIEGVSHKIRRQIEELERVSGLEINALTVDGVPVDSYLTRFVWDEAKYPTMSPLREIVDGIHTQVAKIEDDLKVRVAEYNNVRGQLNAINRKQSGSLAVRDLSNLVKPEDIITSEHLVTLLAVVPKYSQKDWLSSYETLTTYVVPRSSKKLYEDNEYALYTVTLFGRVADNFRTSARERGFQVRDFEYSPEAQESRKQELEKLVQDQDMLRSSLLQWCYASYGEVFSSWMHFCAVRVFAESILRYGLPPSFLACVLSPSVKGEKKVRSILEGLCDSANRQV >KJB76317 pep chromosome:Graimondii2_0_v6:12:13714759:13718903:-1 gene:B456_012G082900 transcript:KJB76317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYWVVSLPVQNSASTLWNNLQDQISKHSFDTPLYRFNMSNLRVGTLDSLLALSDDLLKSNTFIEGVSHKIRRQIEELERVSGLEINALTVDGVPVDSYLTRFVWDEAKYPTMSPLREIVDGIHTQVAKIEDDLKVRVAEYNNVRGQLNAINRKQSGSLAVRDLSNLVKPEDIITSEHLVTLLAVVPKYSQKDWLSSYETLTTYVVPRSSKKLYEDNEYALYTVTLFGRVADNFRTSARERGFQVRDFEYSPEAQESRKQELEKLVQDQDMLRSSLLQWCYASYGELLDALLCCTRLCREHSEIWFTTIFLGLCSIPICKG >KJB76321 pep chromosome:Graimondii2_0_v6:12:13714759:13718903:-1 gene:B456_012G082900 transcript:KJB76321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYWVVSLPVQNSASTLWNNLQDQISKHSFDTPLYRFNMSNLRVGTLDSLLALSDDLLKSNTFIEGVSHKIRRQIEELERVSGLEINALTVDGVPVDSYLTRFVWDEAKYPTMSPLREIVDGIHTQVAKIEDDLKVRVAEYNNVRGQLNAINRKQSGSLAVRDLSNLVKPEDIITSEHLVTLLAVVPKYSQKDWLSSYETLTTYVVPRSSKKLYEDNEYALYTVTLFGRVADNFRTSARERGFQVRDFEYSPEAQESRKQELEKLVQDQDMLRSSLLQWCYASYGEVFSSWMHFCAVRVFAESILRYGLPPSFLACVLSPSVKGEKKVRSILEGLCDSANSTYWKTEDEGGAMAGLGGDTDAHPYVSFTINIA >KJB76318 pep chromosome:Graimondii2_0_v6:12:13714750:13718917:-1 gene:B456_012G082900 transcript:KJB76318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYWVVSLPVQNSASTLWNNLQDQISKHSFDTPLYRFNMSNLRVGTLDSLLALSDDLLKSNTFIEGVSHKIRRQIEELERVSGLEINALTVDGVPVDSYLTRFVWDEAKYPTMSPLREIVDGIHTQVAKIEDDLKVRVAEYNNVRGQLNAINRKQSGSLAVRDLSNLVKPEDIITSEHLVTLLAVVPKYSQKDWLSSYETLTTYVVPRSSKKLYEDNEYALYTVTLFGRVADNFRTSARERGFQVRDFEYSPEAQESRKQELEKLVQDQDMLRSSLLQWCYASYGEVFSSWMHFCAVRVFAESILRYGLPPSFLACVLSPSVKGEKKVRSILEGLCDSANSTYWKTEDEGGAMAGLGGDTDAHPYVSFTINIA >KJB76315 pep chromosome:Graimondii2_0_v6:12:13715176:13718741:-1 gene:B456_012G082900 transcript:KJB76315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYWVVSLPVQNSASTLWNNLQDQISKHSFDTPLYRFNMSNLRVGTLDSLLALSDDLLKSNTFIEGVSHKIRRQIEELERVSGLEINALTVDGVPVDSYLTRFVWDEAKYPTMSPLREIVDGIHTQVAKIEDDLKVRVAEYNNVRGQLNAINRKQSGSLAVRDLSNLVKPEDIITSEHLVTLLAVVPKYSQKDWLSSYETLTTYVVPRSSKKLYEDNEYALYTVTLFGRVADNFRTSARERGFQVRDFEYSPEAQESRKQELEKLVQDQDMLRSSLLQWCYASYGEVFSSWMHFCAVRVFAESILRYGLPPSFLACVLSPSVKGEKKVRSILEGLCDSANSTYWKTEDEGGAMAGLGGDTDAHPYVSFTINIA >KJB77980 pep chromosome:Graimondii2_0_v6:12:34490053:34497332:1 gene:B456_012G175500 transcript:KJB77980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVPVDEAQFLSMLLKIMNAKKTMEIGVFTGYSLLGTALALPDDGQITAIDLDIEAYETGLPFIQKAGVEHKINFIQSDAFVVLNDLINGEEKSSFDFIFVDAQKEDYMKFHEKVMELVKIGGIIAYDNTLWLGSVAYKQDEYEKHSEMPESVWRNRDYVIQFNSFLASNPQIESSLLSIGDGLTLCRRLY >KJB77981 pep chromosome:Graimondii2_0_v6:12:34490053:34497332:1 gene:B456_012G175500 transcript:KJB77981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWTKKNILRNEALQKYILEENAYPKEHEQLKELREITVKKYEKKSVMNVPVDEAQFLSMLLKIMNAKKTMEIGVFTGYSLLGTALALPDDGQITAIDLDIEAYETGLPFIQKAGVEHKINFIQSDAFVVLNDLINGEEKSSFDFIFVDAQKEDYMKFHEKVMELVKIGGIIAYDNTLWLGSVAYKQDEYEKHSEMPESVWRNRDYVIQFNSFLASNPQIESSLLSIGDGLTLCRRLY >KJB77982 pep chromosome:Graimondii2_0_v6:12:34492569:34497332:1 gene:B456_012G175500 transcript:KJB77982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSLNHKSLIVHGPILEYILEENAYPKEHEQLKELREITVKKYEKKSVMNVPVDEAQFLSMLLKIMNAKKTMEIGVFTGYSLLGTALALPDDGQITAIDLDIEAYETGLPFIQKAGVEHKINFIQSDAFVVLNDLINGEEKSSFDFIFVDAQKEDYMKFHEKVMELVKIGGIIAYDNTLWLGSVAYKQDEYEKHSEMPESVWRNRDYVIQFNSFLASNPQIESSLLSIGDGLTLCRRLY >KJB75175 pep chromosome:Graimondii2_0_v6:12:3596110:3598261:-1 gene:B456_012G029000 transcript:KJB75175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:Projected from Arabidopsis thaliana (AT5G16530) UniProtKB/TrEMBL;Acc:A0A178UIR3] MIGWEDVYKVVVAMVPLYVALMLGYGSVKWWGIFTPEQCDAINRLVCYFTLPLFAVEFTSHIDPFEMNYRFIGADTISKLVIVGVLAIWAKCSSKGSYCWSITSFSLSTLTNALVVGVPLMRAMYGETGVDLVVQSSVIQAIIWLTFLLFVLEFRRSGVSIASAAATKDGGEQEKDVEGNTNGDGGVSSRPSFWYLLKVVGMKLASNPNSYACVIGLAWAFVANRWHFEMPSIMEGSILIMSKAGTGTAMFSMGTFMALQEKIIACGTSLTIFGMVLRFIAGPAAMAIGAIAVGLHGDVLRVAIIQAALPQSITSFIFAKEYGMHAEVLSTAVIFGTIVSLPVLVAYYAILEFIN >KJB75179 pep chromosome:Graimondii2_0_v6:12:3657850:3661813:1 gene:B456_012G029400 transcript:KJB75179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSILPIIFQFSNQTHFSNFVFIFFNIWLHQSISSKVTPPQYAGSHYHLAPFTLQCQIMDCSFARCLKLRIVLGMIHQHIYNNILRIFPHLAHEYNWLAGNFSHFLLQNS >KJB78371 pep chromosome:Graimondii2_0_v6:12:33658209:33658496:1 gene:B456_012G166200 transcript:KJB78371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIINEVVLEARHVQRWKFHDRSRTLPRVPIVLTVTEDENQWWRFECCLIEGGMHCIRCTEWSGFVGPRINARLTLYAQQDGENFHRMKVIMRD >KJB77276 pep chromosome:Graimondii2_0_v6:12:29776744:29778879:-1 gene:B456_012G129600 transcript:KJB77276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIQHSHVQVQGLKLHVAQIGTGPKVVLFLHGFPEIWYSWRHQMIAVANAGFRAVAFDCRGYGLSDQPPEPEKANFNDLADEVVALLDSLAIDKAFLVGKDFGAFSAFMVAVTHPERVLGVITLGIPFLIPGPLGIQLDLLPKGFYVIRWAEPGRAEADFGRFDVKTVVRNIYILFCRSELQVAGDNEEIMDLVDPSTPLPPWFTEEDLDVYATSYQNSGFRNALQVPYR >KJB77275 pep chromosome:Graimondii2_0_v6:12:29775941:29778917:-1 gene:B456_012G129600 transcript:KJB77275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIQHSHVQVQGLKLHVAQIGTGPKVVLFLHGFPEIWYSWRHQMIAVANAGFRAVAFDCRGYGLSDQPPEPEKANFNDLADEVVALLDSLAIDKAFLVGKDFGAFSAFMVAVTHPERVLGVITLGIPFLIPGPLGIQLDLLPKGFYVIRWAEPGRAEADFGRFDVKTVVRNIYILFCRSELQVAGDNEEIMDLVDPSTPLPPWFTEEDLDVYATSYQNSGFRNALQVPYRCIQLDCGITNPKVIAPSLLIMGEKDYVMKFPGMEDYMRKGIVKQFMPNLDITFMPEGNHFVQEQLPEQVNELILTFLNKNSCT >KJB74787 pep chromosome:Graimondii2_0_v6:12:854755:862185:1 gene:B456_012G007600 transcript:KJB74787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEEYHVIELVGEGSFGKVYKGRRKYTGQTVAMKFIMKHGKTEKDIHNLRQEIEILRKLKHENIIEMIDSFESQQEFCVVTEFAQGDLFQILEDDKCLPEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTVDLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDEMSASFKSFLKGLLNKVPQNRLTWPALLEHPFVKETLDEVEAREVRATTATARQSDFALRSEENCIQTPNGKENSPAASEPCNVPSPQSDAKKYSPNTVQGNSALHDEFPGFSNPNDVKQTGNLALDRLENNSRTVNGAQIIGQDNEALALILLPIKKWSEGSQNACRDQDILHSSQSLRILSNLVAAGALQSVGILDEIMCELLNFTAILVGLKSSDVNELVSKSFSVTKILLAENNGSDAATSYFKHWVVIVEIFSQVVSHIEDASGRVFSESCACITTILVRVSQGLRACSSTQAPKGIASPSVINESLKQILDHAVTSRLVDHLCLCLATSGASLSSGSTNMLLAACEACRAIWSLMDAHEIFFMKENPSLFPLDALRSHSLARLDIRDHARGWLAGTEAAKVVDAVTRAFVRSKSVQFAIVNCLHQRVEPALSAAIHILSRCCLHNGIIPTVLCGLPNSLPVTTIVSGGADGTIVSELFSILSLCSSLNKDAQSDMKCKISNPPALTLHTCLLLATIAQCLKSTGRNSAIFMLTTSPKKQLSRLTILAQHVSSKDTTITSLQPHSASAMLAFASILSLEGGLSVESAISEIAVPLIPPTSTLCDHLKISSDCENDVGPKNTKAVLSYWHGFRDGCVGLLEAKLKWGGPLAVQQLIASGIPLLIIFWPAAIQSLHVKELISQMMELAYPL >KJB74786 pep chromosome:Graimondii2_0_v6:12:854532:862185:1 gene:B456_012G007600 transcript:KJB74786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEEYHVIELVGEGSFGKVYKGRRKYTGQTVAMKFIMKHGKTEKDIHNLRQEIEILRKLKHENIIEMIDSFESQQEFCVVTEFAQGDLFQILEDDKCLPEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTVDLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDEMSASFKSFLKGLLNKVPQNRLTWPALLEHPFVKETLDEVEAREVRATTATARQSDFALRSEENCIQTPNGKENSPAASEPCNVPSPQSDAKKYSPNTVQGNSALHDEFPGFSNPNDVKQTGNLALDRLENNSRTVNGAQIIGQDNEALALILLPIKKWSEGSQNACRDQDILHSSQSLRILSNLVAAGALQSVGILDEIMCELLNFTAILVGLKSSDVNELVSKSFSVTKILLAENNGSDAATSYFKHWVVIVEIFSQVVSHIEDASGRVFSESCACITTILVRVSQGLRACSSTQAPKGIASPSVINESLKQILDHAVTSRLVDHLCLCLATSGASLSSGSTNMLLAACEACRAIWSLMDAHEIFFMKENPSLFPLDALRSHSLARLDIRDHARGWLAGTEAAKVVDAVTRAFVRSKSVQFAIVNCLHQRVEPALSAAIHILSRCCLHNGIIPTVLCGLPNSLPVTTIVSGGADGTIVSELFSILSLCSSLNKDAQSDMKCKISNPPALTLHTCLLLATIAQCLKSTGRNSAIFMLTTSPKKQLSRLTILAQHVSSKDTTITSLQPHSASAMLAFASILSLEGGLSVESAISEIAVPLIPPTSTLCDHLKISSDCENDVGPKNTKAVLSYWHGFRDGCVGLLEAKLKWGGPLAVQQLIASGIPLLIIFWPAAIQSLHVKELISQMMELAYPL >KJB74785 pep chromosome:Graimondii2_0_v6:12:854532:861310:1 gene:B456_012G007600 transcript:KJB74785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEEYHVIELVGEGSFGKVYKGRRKYTGQTVAMKFIMKHGKTEKDIHNLRQEIEILRKLKHENIIEMIDSFESQQEFCVVTEFAQGDLFQILEDDKCLPEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTVDLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDEMSASFKSFLKGLLNKVPQNRLTWPALLEHPFVKETLDEVEAREVRATTATARQSDFALRSEENCIQTPNGKENSPAASEPCNVPSPQSDAKKYSPNTVQGNSALHDEFPGFSNPNDVKQTGNLALDRLENNSRTVNGAQIIGQDNEALALILLPIKKWSEGSQNACRDQDILHSSQSLRILSNLVAAGALQSVGILDEIMCELLNFTAILVGLKSSDVNELVSKSFSVTKILLAENNGSDAATSYFKHWVVIVEIFSQVVSHIEDASGRVFSESCACITTILVRVSQGLRACSSTQAPKGIASPSVINESLKQILDHAVTSRLVDHLCLCLATSGASLSSGSTNMLLAACEACRAIWSLMDAHEIFFMKENPSLFPLDALRSHSLARLDIRDHARGWLAGTEAAKVVDAVTRAFVRSKSVQFAIVNCLHQRVEPALSAAIHVLLPLTENASVLRSS >KJB78041 pep chromosome:Graimondii2_0_v6:12:34371034:34375640:-1 gene:B456_012G174200 transcript:KJB78041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HHL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67700) UniProtKB/Swiss-Prot;Acc:Q8LDL0] MEVGMSLNALVRLPLSSTSRAHHEPVDGLVKHSLLSSRTTPLRLPQQTRRRQHGGGMLVVEAKGKKGMAARQFQRPPPPPLPKIEDDGNPRFVIFIRMANVNLWYPLSLITGGTTAKIMVAAKDNFLGKYIYKDTIARNLAAVIYRDEKEIQKTAFKQFRVLQSATEFRYGYKLVENGNVKSALSTNDVIELPTQDQLKTVVDKVKDFFGDAKESFGKITSLSSATPESEEKSTEKAYFHV >KJB78039 pep chromosome:Graimondii2_0_v6:12:34370024:34375785:-1 gene:B456_012G174200 transcript:KJB78039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HHL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67700) UniProtKB/Swiss-Prot;Acc:Q8LDL0] MEVGMSLNALVRLPLSSTSRAHHEPVDGLVKHSLLSSRTTPLRLPQQTRRRQHGGGMLVVEAKGKKGMAARQFQRPPPPPLPKIEDDGNPRFVIFIRMANVNLWYPLSLITGGTTAKIMVAAKDNFLGKYIYKDTIARNLAAVIYRDEKEIQKTAFKQFRVLQSATEFRYGYKLVENGNVKSALSTNDVIELPTQDQLKTVVDKVKDFFGDAKESFGKITSLSSATPESEEKSTEKA >KJB78040 pep chromosome:Graimondii2_0_v6:12:34370604:34375719:-1 gene:B456_012G174200 transcript:KJB78040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HHL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67700) UniProtKB/Swiss-Prot;Acc:Q8LDL0] MEVGMSLNALVRLPLSSTSRAHHEPVDGLVKHSLLSSRTTPLRLPQQTRRRQHGGGMLVVEAKGKKGMAARQFQRPPPPPLPKIEDDGNPRFVIFIRMANVNLWYPLSLITGGTTAKIMVAAKDNFLGKYIYKDTIARNLAAVIYRDEKEIQKTAFKQFRVLQSATEFRYGYKLVENGNVKSALSTNDVIELPTQDQLKTVVDKVKDFFGDAKESFGKITSLSSATPESEEKSTEKAYFHV >KJB78038 pep chromosome:Graimondii2_0_v6:12:34370025:34375719:-1 gene:B456_012G174200 transcript:KJB78038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HHL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67700) UniProtKB/Swiss-Prot;Acc:Q8LDL0] MEVGMSLNALVRLPLSSTSRAHHEPVDGLVKHSLLSSRTTPLRLPQQTRRRQHGGGMLVVEAKGKKGMAARQFQRPPPPPLPKIEDDGNPRFVIFIRMANVNLWYPLSLITGGTTAKIMVAAKDNFLGKYIYKDTIARNLAAVIYRDEKEIQKTAFKQFRVLQSATEFRYGYKLVENGNVKSALSTNDVIELPTQDQLKTVVDKVKDFFGDAKESFGKITSLSSATPESEEKSTEKA >KJB77732 pep chromosome:Graimondii2_0_v6:12:32746689:32747015:1 gene:B456_012G153700 transcript:KJB77732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRLLEMMLHAKQIIRRRSHSKQQCSYRTSSDSKVVNIVPKGHFAVYVGDEEKNKRFVVPISYLKHPLFQALLRQAEEEFGFDYPVRGLIVPCAEEEFIKLTRISNA >KJB75955 pep chromosome:Graimondii2_0_v6:12:9258587:9262482:-1 gene:B456_012G065500 transcript:KJB75955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase-like protein 5 [Source:Projected from Arabidopsis thaliana (AT3G27440) UniProtKB/Swiss-Prot;Acc:Q9LTY6] MEPAALRSGFNDHISVDSNGGFDCPKNKQPFIIGVAGGTASGKTTVCNQIISQLHDQRVVLVNQDSFYRSLNDDQLVNVHEYNFDHPAAFNTELLLSCMEKLRRGHPVNIPSYDFKSHKSIEPSRLVNPADVIILEGILVLHDFHVRNLMNMKIFVDIDSDVRLARRIQRDTVERGRNIQNVLDQYSRFVKPSFEEFILPSKKFADIIIPRGGDNDVAIDLIVQHILTKLGQHDLCKIYPNINVIFSTFQIRGMHTLVRDVKTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQIITPTGSVYSGVVFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHGDGKNGRQLIYEKLPADISSRHVLLLDPVLASGNSAIKAISLLLSKGVPETSIIFLNLISAPEGIHAVCRKFPKLKIVTSEIDSSLDKNYGVIPGMGEFGDRYFGTD >KJB77849 pep chromosome:Graimondii2_0_v6:12:33329915:33330596:1 gene:B456_012G161100 transcript:KJB77849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVRTKTIIKPTLYDSPPSKLSFITRIIRYLEPCNIDPSIIEDPTKLFSKAMAAMEEKEAEKAMQEGEDYLHSVMETAMDEFRKFEDEMERMLMAENSLQKKAKRMGNSMEKAANCPSKKSAMKGPSFRSKAHP >KJB78165 pep chromosome:Graimondii2_0_v6:12:34968501:34970408:1 gene:B456_012G181500 transcript:KJB78165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVLGFLKVIVQRGINLAIRDAITSDPYVVIHIGQQKLKTHVIKRNCNPVWNEVLIFSIKDPNVSINLAVYDKDTFTLDDQMGMAEIDLKPYIAALKMAKGLHNLPNNCALKRIQPNQNNCLANESSIIWENGKITQDMRIKLKNVECGELLIQLDWNETPNCKGLESEGTYARFNHIYIYICVQHIPDHGLGHPARPEGSPEI >KJB76040 pep chromosome:Graimondii2_0_v6:12:10010855:10015989:1 gene:B456_012G068500 transcript:KJB76040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAKLAGLQPIYGLYSGFVPIFIYAIFGSSRQISIGPAALTSLLVSNVLGKIVDSSDALYTELAIVLTLMVGILECIMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQAKYFLGYEIDRSSEIVPIIKSIISGADKFSWPPFVMGSIILAILQTMKHLGKSRKHLKFLRAMGPLTAVILGTSSAKIYHPSSITLVGDIPQGLPSFSIPKGFKHAKSLIPTTLVITGVAILESVGIAKALAAKNGYELDSNQELFGLGAANVLGSFFSAYPTTGSFSRSAVNHENGAKTGLAGVITGIVMCCALLFLTPVFEYIPLVDYEEAIFLWRVGKKDFLLWTITAITTLFFGIEIGVLIGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVIVRIDSPIYFANTSYIKDRLREYEIVVDKLTRRGPQVGRIYFVVLEMSPVTYIDTGAVQALKELHHEYKSRHIQIAISNPNRNVLLMLSKSGVVELIGKEWYFVRVHDAVQVCLQHVERIKEDHMASGSDSSPEETESSLFKSLLKQRGEDSSVAELESGTNNKPSDLKHSDPLSEPLLFQKS >KJB76042 pep chromosome:Graimondii2_0_v6:12:10011299:10015930:1 gene:B456_012G068500 transcript:KJB76042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCGFGHADSGFVPIFIYAIFGSSRQISIGPAALTSLLVSNVLGKIVDSSDALYTELAIVLTLMVGILECIMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQAKYFLGYEIDRSSEIVPIIKSIISGADKFSWPPFVMGSIILAILQTMKHLGKSRKHLKFLRAMGPLTAVILGTSSAKIYHPSSITLVGDIPQGLPSFSIPKGFKHAKSLIPTTLVITGVAILESVGIAKALAAKNGYELDSNQELFGLGAANVLGSFFSAYPTTGSFSRSAVNHENGAKTGLAGVITGIVMCCALLFLTPVFEYIPLCALAAIVISAVISLVDYEEAIFLWRVGKKDFLLWTITAITTLFFGIEIGVLIGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVIVRIDSPIYFANTSYIKDRLREYEIVVDKLTRRGPQVGRIYFVVLEMSLSYKKNVAFDSTAAVTYIDTGAVQALKELHHEYKSRHIQIAISNPNRNVLLMLSKSGVVELIGKEWYFVRVHDAVQVCLQHVERIKEDHMASGSDSSPEETESSLFKSLLKQRGEDSSVAELESGTNNKPSDLKHSDPLSEPLLFQKS >KJB76044 pep chromosome:Graimondii2_0_v6:12:10011299:10015930:1 gene:B456_012G068500 transcript:KJB76044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCGFGHADSGFVPIFIYAIFGSSRQISIGPAALTSLLVSNVLGKIVDSSDALYTELAIVLTLMVGILECIMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQAKYFLGYEIDRSSEIVPIIKSIISGADKFSWPPFVMGSIILAILQTMKHLGKSRKHLKFLRAMGPLTAVILGTSSAKIYHPSSITLVGDIPQGLPSFSIPKGFKHAKSLIPTTLVITGVAILESVGIAKALAAKNGYELDSNQELFGLGAANVLGSFFSAYPTTGSFSRSAVNHENGAKTGLAGVITGIVMCCALLFLTPVFEYIPLVDYEEAIFLWRVGKKDFLLWTITAITTLFFGIEIGVLIGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVIVRIDSPIYFANTSYIKDRLREYEIVVDKLTRRGPQVGRIYFVVLEMSPVTYIDTGAVQALKELHHEYKSRHIQIAISNPNRNVLLMLSKSGVVELIGKEWYFVRVHDAVQVCLQHVERIKEDHMASGSDSSPEETESSLFKSLLKQRGEDSSVAELESGTNNKPSDLKHSDPLSEPLLFQKS >KJB76041 pep chromosome:Graimondii2_0_v6:12:10010855:10015989:1 gene:B456_012G068500 transcript:KJB76041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAKLAGLQPIYGLYSGFVPIFIYAIFGSSRQISIGPAALTSLLVSNVLGKIVDSSDALYTELAIVLTLMVGILECIMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQAKYFLGYEIDRSSEIVPIIKSIISGADKFSWPPFVMGSIILAILQTMKHLGKSRKHLKFLRAMGPLTAVILGTSSAKIYHPSSITLVGDIPQGLPSFSIPKGFKHAKSLIPTTLVITGVAILESVGIAKALAAKNGYELDSNQELFGLGAANVLGSFFSAYPTTGSFSRSAVNHENGAKTGLAGVITGIVMCCALLFLTPVFEYIPLCALAAIVISAVISLVDYEEAIFLWRVGKKDFLLWTITAITTLFFGIEIGVLIGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVIVRIDSPIYFANTSYIKDRLREYEIVVDKLTRRGPQVGRIYFVVLEMSPVTYIDTGAVQALKELHHEYKSRHIQIAISNPNRNVLLMLSKSGVVELIGKEWYFVRVHDAVQVCLQHVERIKEDHMASGSDSSPEETESSLFKSLLKQRGEDSSVAELESGTNNKPSDLKHSDPLSEPLLFQKS >KJB76043 pep chromosome:Graimondii2_0_v6:12:10011299:10015930:1 gene:B456_012G068500 transcript:KJB76043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCGFGHADSGFVPIFIYAIFGSSRQISIGPAALTSLLVSNVLGKIVDSSDALYTELAIVLTLMVGILECIMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQAKYFLGYEIDRSSEIVPIIKSIISGADKFSWPPFVMGSIILAILQTMKHLGKSRKHLKFLRAMGPLTAVILGTSSAKIYHPSSITLVGDIPQGLPSFSIPKGFKHAKSLIPTTLVITGVAILESVGIAKALAAKNGYELDSNQELFGLGAANVLGSFFSAYPTTGSFSRSAVNHENGAKTGLAGVITGIVMCCALLFLTPVFEYIPLCALAAIVISAVISLVDYEEAIFLWRVGKKDFLLWTITAITTLFFGIEIGVLIGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVIVRIDSPIYFANTSYIKDRLREYEIVVDKLTRRGPQVGRIYFVVLEMSHTGAVQALKELHHEYKSRHIQIAISNPNRNVLLMLSKSGVVELIGKEWYFVRVHDAVQVCLQHVERIKEDHMASGSDSSPEETESSLFKSLLKQRGEDSSVAELESGTNNKPSDLKHSDPLSEPLLFQKS >KJB75768 pep chromosome:Graimondii2_0_v6:12:7596819:7599495:1 gene:B456_012G056400 transcript:KJB75768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRITDLDHDSFAHCASFLSLRDLSNLAMSSKSLKSFAYSDSIWLDRFRELWPENFPLSGVRKAYLNRRTALHQFKYYDPFVASLFAEGYYTVDNILLDKNDIIFSQGPAIKMAKIDSIMSGGSLVTMPGHNSRVTCLRLFPLSETSLAQSEAQTEENVLLTSNCDGSIRLWWKGACRRVFGGRHAAVHTMSDKLLGNGDVKVLASGEQDGSICLWSLSSSGRRYRQALKAKFCGDQKPVKWMSVAGNKPSNLVTMSGDSKVRVWDTTKLSANRCVGLTSVRRKPVDMKCHENLLYVAADSSVVVLDLRIMQKVSTAAICKPNIYSLTIMPSKSLVCTGGLNKAMLWDIRKGQERWKPEPVTELYGRHQTPVTQLHMDPYKIITGGFKSNIVEAWETDTGKKTISFFCSHPEYRFNRGCSAMAVNACRIVAACHVGVRGFIHFTDFSSATRRL >KJB78087 pep chromosome:Graimondii2_0_v6:12:34573749:34575797:-1 gene:B456_012G176600 transcript:KJB78087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEPISFSLWGNMLFNPKSKLLINHIFSILILQNSFSIFQLFEMESIQDIETINQAIHKLIEEKRIKSTSSDVKLSQDVDDDEQVLSRLLSQLESLKGVERSTLPKEETAPSIDESEPKVKNGNEERGGGNGGVEGEIVKELKAVKRQNTITHCLLSALIVVTLIWELSEVSLVLKLRDGMSHPFRSFGSLLAGMLPSPGKINVVGNGDDKDGNDHNIVDPLLPSVRMPELPHVEFPHMGSNDEE >KJB75979 pep chromosome:Graimondii2_0_v6:12:9501359:9503230:-1 gene:B456_012G066600 transcript:KJB75979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFEETLQSGEKLTDAINRTNENVKYLPGIKLGKNVIADPDLDNAVEDANMLVFVTPHQFIDNICKSLVGKVRSDAEAISLIKGMEVKIEGPCLISTYISEQLGINCSVLMGANLANEIAEEKFSEATVGYRNNREIAEQWVQLFTTPYFMVTPVQDVEGVELCGTLKNVVAIAAGFVDGLDMGNNTKAAIIRFGLREMREFCKLLFSSVKDDTFFESCGVADVITTCFGGRNRKVAEAFARNGGKRSFDELEAEMLQGQKLQGVTTVREVYEVLRHRGLLELFPLFATVHEICSGCLPPSAIVIYSEKKNIFPV >KJB75982 pep chromosome:Graimondii2_0_v6:12:9501359:9503568:-1 gene:B456_012G066600 transcript:KJB75982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMIIKLGLNQGFQLLVVAVGEVLLLNSLLSTLSTSALFMENVKYLPGIKLGKNVIADPDLDNAVEDANMLVFVTPHQFIDNICKSLVGKVRSDAEAISLIKGMEVKIEGPCLISTYISEQLGINCSVLMGANLANEIAEEKFSEATVGYRNNREIAEQWVQLFTTPYFMVTPVQDVEGVELCGTLKNVVAIAAGFVDGLDMGNNTKAAIIRFGLREMREFCKLLFSSVKDDTFFESCGVADVITTCFGGRNRKVAEAFARNGGKRSFDELEAEMLQGQKLQGVTTVREVYEVLRHRGLLELFPLFATVHEICSGCLPPSAIVIYSEKKNIFPV >KJB75985 pep chromosome:Graimondii2_0_v6:12:9501046:9503893:-1 gene:B456_012G066600 transcript:KJB75985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTATTHHTKSANNGANDNQIGFKSRVSVIGSGSWGSVAAKLVAFNTLNLSSFHVEDANMLVFVTPHQFIDNICKSLVGKVRSDAEAISLIKGMEVKIEGPCLISTYISEQLGINCSVLMGANLANEIAEEKFSEATVGYRNNREIAEQWVQLFTTPYFMVTPVQDVEGVELCGTLKNVVAIAAGFVDGLDMGNNTKAAIIRFGLREMREFCKLLFSSVKDDTFFESCGVADVITTCFGGRNRKVAEAFARNGGKRSFDELEAEMLQGQKLQGVTTVREVYEVLRHRGLLELFPLFATVHEICSGCLPPSAIVIYSEKKNIFPV >KJB75978 pep chromosome:Graimondii2_0_v6:12:9500997:9503897:-1 gene:B456_012G066600 transcript:KJB75978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTATTHHTKSANNGANDNQIGFKSRVSVIGSGSWGSVAAKLVAFNTLNLSSFHDEVKMWVFEETLQSGEKLTDAINRTNENVKYLPGIKLGKNVIADPDLDNAVEDANMLVFVTPHQFIDNICKSLVGKVRSDAEAISLIKGMEVKIEGPCLISTYISEQLGINCSVLMGANLANEIAEEKFSEATVGYRNNREIAEQWVQLFTTPYFMVTPVQDVEGVELCGTLKNVVAIAAGFVDGLDMGNNTKAAIIRFGLREMREFCKLLFSSVKDDTFFESCGVADVITTCFGGRNRKVAEAFARNGGKRSFDELEAEMLQGQKLQGVTTVREVYEVLRHRGLLELFPLFATVHEICSGCLPPSAIVIYSEKKNIFPV >KJB75980 pep chromosome:Graimondii2_0_v6:12:9501046:9503893:-1 gene:B456_012G066600 transcript:KJB75980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGSGSWGSVAAKLVAFNTLNLSSFHDEVKMWVFEETLQSGEKLTDAINRTNENVKYLPGIKLGKNVIADPDLDNAVEDANMLVFVTPHQFIDNICKSLVGKVRSDAEAISLIKGMEVKIEGPCLISTYISEQLGINCSVLMGANLANEIAEEKFSEATVGYRNNREIAEQWVQLFTTPYFMVTPVQDVEGVELCGTLKNVVAIAAGFVDGLDMGNNTKAAIIRFGLREMREFCKLLFSSVKDDTFFESCGVADVITTCFGGRNRKVAEAFARNGGKRSFDELEAEMLQGQKLQGVTTVREVYEVLRHRGLLELFPLFATVHEICSGCLPPSAIVIYSEKKNIFPV >KJB75984 pep chromosome:Graimondii2_0_v6:12:9501046:9503893:-1 gene:B456_012G066600 transcript:KJB75984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTATTHHTKSANNGANDNQIGFKSRVSVIGSGSWGSVAAKLVAFNTLNLSSFHDEVKMWVFEETLQSGEKLTDAINRTNENVKYLPGIKLGKNVIADPDLDNAVEDANMLVFVTPHQFIDNICKSLVGKVRSDAEAISLIKGMEVKIEGPCLISTYISEQLGINCSVLMGANLANEVQDVEGVELCGTLKNVVAIAAGFVDGLDMGNNTKAAIIRFGLREMREFCKLLFSSVKDDTFFESCGVADVITTCFGGRNRKVAEAFARNGGKRSFDELEAEMLQGQKLQGVTTVREVYEVLRHRGLLELFPLFATVHEICSGCLPPSAIVIYSEKKNIFPV >KJB75983 pep chromosome:Graimondii2_0_v6:12:9502044:9503611:-1 gene:B456_012G066600 transcript:KJB75983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTATTHHTKSANNGANDNQIGFKSRVSVIGSGSWGSVAAKLVAFNTLNLSSFHDEVKMWVFEETLQSGEKLTDAINRTNENVKYLPGIKLGKNVIADPDLDNAVEDANMLVFVTPHQFIDNICKSLVGKVRSDAEAISLIKGMEVKIEGPCLISTYISEQLGINCSVLMGANLANEIAEEKFSEATVGYRNNREIAEQWVQLFTTPYFMVTPVQDVEGVELCGTLKNVVAIAAGFVDGLDMGNNTKVHTSGFITSILPISTLSSFSCHAYY >KJB75981 pep chromosome:Graimondii2_0_v6:12:9501359:9503611:-1 gene:B456_012G066600 transcript:KJB75981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTATTHHTKSANNGANDNQIGFKSRVSVIGSGSWGSVAAKLVAFNTLNLSSFHDEVKMWVFEETLQSGEKLTDAINRTNENVKYLPGIKLGKNVIADPDLDNAVEDANMLVFVTPHQFIDNICKSLVGKVRSDAEAISLIKGMEVKIEGPCLISTYISEQLGINCSVLMGANLANEIAEEKFSEATVGYRNNREIAEQWVQLFTTPYFMVTPVQDVEGVELCGTLKNVVAIAAGFVDGLDMGNNTKAAIIRFGLREMREFCKLLFSSVKDDTFFESCGVADVITTCFGGRNRKVAEAFARNGGKRLALKHLVHKLNPDIRQIVTLLFLIHRSFDELEAEMLQGQKLQGVTTVREVYEVLRHRGLLELFPLFATVHEICSGCLPPSAIVIYSEKKNIFPV >KJB74963 pep chromosome:Graimondii2_0_v6:12:1832320:1835323:-1 gene:B456_012G016000 transcript:KJB74963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPTCDLIRYADGNLGNSGVTNLSSPARNGDSGGKVVERVENGVADTEQANEDSPYSWNVLLVENRPSVGDEDLDSAAAPLPSVSKSNIGHRWSDITSYATKKKVQCWFQHPNGIWELGRVISTSGMESVISLPDGKVLKVNSDSLISANPDILDGVDDLMQLSYLNEPPVLFNIQYRYKQDMIYTKAGQVLVAINPFKKVPLYGNDYIEAYKNKSLESPHVYAIADTAIREMTRDEVNQSIIIRSMVYGICSNSSCAFFRLLVNDVVRLSCVVDPLSCTCCFC >KJB75029 pep chromosome:Graimondii2_0_v6:12:2360445:2383674:-1 gene:B456_012G019600 transcript:KJB75029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKQEDILNKNSKNLNVSEIPVDKQKLAAPIKSAVDKFQLLPEFLKVRGLVKQHLDSFNYFVNTGIKKIVRANDRIVSDIDPSIYLRFKDVRIGEPSMTVDGITEKINPHACRLSEMTYAAPILVNIEYIQGSHGQKTRLEKNDLVIGRMPIMLRSCCCVLQGKDEAELARLGECPLDPGGYFVIKGTEKVILIQEQLSKNRIIIDADKKGNINASVTSSSEATKSKTVIQMEKEKIYLLLNQFVKKIPIMVVMKAMGMESDQEVVQMLGRDPRFSALLLPSIEECAGIGIYTKEQALAYLDTKAKRPLYASSASDQDGRAFCILRDVFIANVPVRCNNFRPKCLYVAVMLRRMMEAILNKDAMDDKDYVGNKRLELSGQLISLLFEDLFKTTLAQVKKSIDSVLSKTSRSSALDPSQFLRRMEFITTGLERTLSTGNFDIQRFRMHRKGMTQVLARLSFIGTLGFMTKVSPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEDEGPLVSLCYCLGVEDLELLSGEELHTPNSFLVMLNGLILGKHRRPQHFANALRKLRRAGKVGEFVSVFVNEKQRCVYIASDGGRVCRPLVIADKGISRIKEHHMKELLDGVRTFDDFLSDGLIEYLDVNEENNALIALYEGEATPETTHIEIEPFTILGVIAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLCRMDTLLYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVISYSGYDIEDAIVMNKSSLDRGFGRCIVMKNRSSNVIQKYENGATDRILRPQRTGPGSEKMLILDDDGIASPGEIIRPNDILLNKEVPIHTRGTRVSSDSLPDSAYKPVRQSYKGPEGESCVMDRVSLSTDRNGNLSIKFLIRHTRRPELGDKFSSRHGQKGVCGIIIQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADKVETISETLVKHGFCYNGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGNGPRVMLTRQPTEGRARKGGLRVGEMERDCLIAYGASMLIFERLMVSSDPFEVQVCRKCGLLGYYSHKLKTGICSSCKNGDDVSTMQLPYACKLLIQELQSMNIVPRLKLAEAN >KJB75030 pep chromosome:Graimondii2_0_v6:12:2360445:2383701:-1 gene:B456_012G019600 transcript:KJB75030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKQEDILNKNSKNLNVSEIPVDKQKLAAPIKSAVDKFQLLPEFLKVRGLVKQHLDSFNYFVNTGIKKIVRANDRIVSDIDPSIYLRFKDVRIGEPSMTVDGITEKINPHACRLSEMTYAAPILVNIEYIQGSHGQKTRLEKNDLVIGRMPIMLRSCCCVLQGKDEAELARLGECPLDPGGYFVIKGTEKVILIQEQLSKNRIIIDADKKGNINASVTSSSEATKSKTVIQMEKEKIYLLLNQFVKKIPIMVVMKAMGMESDQEVVQMLGRDPRFSALLLPSIEECAGIGIYTKEQALAYLDTKAKRPLYASSASDQDGRAFCILRDVFIANVPVRCNNFRPKCLYVAVMLRRMMEAILNKDAMDDKDYVGNKRLELSGQLISLLFEDLFKTTLAQVKKSIDSVLSKTSRSSALDPSQFLRRMEFITTGLERTLSTGNFDIQRFRMHRKGMTQVLARLSFIGTLGFMTKVSPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEDEGPLVSLCYCLGVEDLELLSGEELHTPNSFLVMLNGLILGKHRRPQHFANALRKLRRAGKVGEFVSVFVNEKQRCVYIASDGGRVCRPLVIADKGISRIKEHHMKELLDGVRTFDDFLSDGLIEYLDVNEENNALIALYEGEATPETTHIEIEPFTILGVIAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLCRMDTLLYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVISYSGYDIEDAIVMNKSSLDRGFGRCIVMKKSSNVIQKYENGATDRILRPQRTGPGSEKMLILDDDGIASPGEIIRPNDILLNKEVPIHTRGTRVSSDSLPDSAYKPVRQSYKGPEGESCVMDRVSLSTDRNGNLSIKFLIRHTRRPELGDKFSSRHGQKGVCGIIIQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADKVETISETLVKHGFCYNGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGNGPRVMLTRQPTEGRARKGGLRVGEMERDCLIAYGASMLIFERLMVSSDPFEVQVCRKCGLLGYYSHKLKTGICSSCKNGDDVSTMQLPYACKLLIQELQSMNIVPRLKLAEAN >KJB75564 pep chromosome:Graimondii2_0_v6:12:6119743:6124742:-1 gene:B456_012G048200 transcript:KJB75564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPISSPFKKMSSTRSKDKSSGWTAFDLKQRQKQALVPETENDPFPPVAMAALHPCPSQTNCNDLSARSFSSVLKPSSDFPTLKQNKNSIKSINVGKPIGNEDKIAGVNNNDLALKKLKEIHCWAENSLIEDILLATNNDIHEASALLKQMMPRSSTEEIDKAKNNEMGSAIANFPSNANCDICLPSGKTADHVGQSSKANEREENLKILTDVHENKLFDDHSNMKLILGQLTSIPIEPEWEEDDVYLSHRKDAIRMMRSASQHSRAATNAFLRGDHFSAQQHSQNAREEWLAAQRLNAKAAREILSIRNSDNDLWKLDLHGLHAAEAVQALHEHLRRLETRVSGGCSVSPNGVKANNGIVRSSSVGTISSMDKLGKPQTSSRQVPASLEVITGVGNHSRGQAALPTAVRGFLIENGYRFDETRPGLITVRPKFRRS >KJB75561 pep chromosome:Graimondii2_0_v6:12:6120248:6123908:-1 gene:B456_012G048200 transcript:KJB75561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPISSPFKKMSSTRSKDKSSGWTAFDLKQRQKQALVPETENDPFPPVAMAALHPCPSQTNCNDLSARSFSSVLKPSSDFPTLKQNKNSIKSINVGKPIGNEDKIAGVNNNDLALKKLKEIHCWAENSLIEDILLATNNDIHEASALLKQMMPRSSTEEIDKAKNNEMGSAIANFPSNANCDICLPSGKTADHVGQSSKANEREENLKILTDVHENKLFDDHSNMKLILGQLTSIPIEPEWEEDDVYLSHRKDAIRMMRSASQHSRAATNAFLRGDHFSAQQHSQNAREEWLAAQRLNAKAAREILSIRNSDNDLWKLDLHGLHAAEAVQALHEHLRRLETRVSGGCSVSPNGVKANNGIVRSSSVGTISSMDKLGKPQTSSRQVPASLEVITGVGNHSRGQAALPTAVRGFLIENGLDI >KJB75562 pep chromosome:Graimondii2_0_v6:12:6119706:6124840:-1 gene:B456_012G048200 transcript:KJB75562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPISSPFKKMSSTRSKDKSSGWTAFDLKQRQKQALVPETENDPFPPVAMAALHPCPSQTNCNDLSARSFSSVLKPSSDFPTLKQNKNSIKSINVGKPIGNEDKIAGVNNNDLALKKLKEIHCWAENSLIEDILLATNNDIHEASALLKQMMPRSSTEEIDKAKNNEMGSAIANFPSNANCDICLPSGKTADHVGQSSKANEREENLKILTDVHENKLFDDHSNMKLILGQLTSIPIEPEWEEDDVYLSHRKDAIRMMRSASQHSRAATNAFLRGDHFSAQQHSQNAREEWLAAQRLNAKAAREILSIRNSDNDLWKLDLHGLHAAEAVQALHEHLRRLETRVSGGCSVSPNGVKANNGIVRSSSVGTISSMDKLGKPQTSSRQVPASLEVITGVGNHSRGQAALPTAVRGFLIENGYRFDETRPGLITVRPKFRRS >KJB75565 pep chromosome:Graimondii2_0_v6:12:6120374:6123908:-1 gene:B456_012G048200 transcript:KJB75565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPISSPFKKMSSTRSKDKSSGWTAFDLKQRQKQALVPETENDPFPPVAMAALHPCPSQTNCNDLSARSFSSVLKPSSDFPTLKQNKNSIKSINVGKPIGNEDKIAGVNNNDLALKKLKEIHCWAENSLIEDILLATNNDIHEASALLKQMMPRSSTEEIDKAKNNEMGSAIANFPSNANCDICLPSGKTADHVGQSSKANEREENLKILTDVHENKLFDDHSNMKLILGQLTSIPIEPEWEEDDVYLSHRKDAIRMMRSASQHSRAATNAFLRGDHFSAQQHSQNAREEWLAAQRLNAKAAREILSIRNSDNDLWKLDLHGLHAAEAVQALHEHLRRLETRVSGGCSVSPNGVKANNGIVRSSSVGTISSMDKLGKPQTSSRQVPASLEVITGNLASLKKYLSD >KJB75563 pep chromosome:Graimondii2_0_v6:12:6119641:6124742:-1 gene:B456_012G048200 transcript:KJB75563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPISSPFKKMSSTRSKDKSSGWTAFDLKQRQKQALVPETENDPFPPVAMAALHPCPSQTNCNDLSARSFSSVLKPSSDFPTLKQNKNSIKSINVGKPIGNEDKIAGVNNNDLALKKLKEIHCWAENSLIEDILLATNNDIHEASALLKQMMPRSSTEEIDKAKNNEMGSAIANFPSNANCDICLPSGKTADHVGQSSKANEREENLKILTDVHENKLFDDHSNMKLILGQLTSIPIEPEWEEDDVYLSHRKDAIRMMRSASQHSRAATNAFLRGDHFSAQQHSQNAREEWLAAQRLNAKAAREILSIRNSDNDLWKLDLHGLHAAEAVQALHEHLRRLETRVSGGCSVSPNGVKANNGIVRSSSVGTISSMDKLGKPQTSSRQVPASLEVITGVGNHSRGQAALPTAVRGFLIENGYRFDETRPGLITVRPKFRRS >KJB77036 pep chromosome:Graimondii2_0_v6:12:27096020:27097734:1 gene:B456_012G117500 transcript:KJB77036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLASSTPKASSTSKEKMTNTKDNKVEEVKESEEISKAFAAVAGVRKEVDKLSERVAALEVAVNSGTKVTDEEFVVPAELLMRELLKLDGIGAEGEARLQRKAEVRRIQKYHETLDKLKTINSNPFSDKHKAVSVTTNWETFDS >KJB77033 pep chromosome:Graimondii2_0_v6:12:27095358:27097787:1 gene:B456_012G117500 transcript:KJB77033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSDPAHCTAQLSSHSSFDNPASKEKMTNTKDNKVEEVKESEEISKAFAAVAGVRKEVDKLSERVAALEVAVNSGTKVTDEEFVVPAELLMRELLKLDGIGAEGEARLQRKAEVRRIQKYHETLDKLKTINSNPFSDKHKAVSVTTNWETFDS >KJB77035 pep chromosome:Graimondii2_0_v6:12:27095478:27097734:1 gene:B456_012G117500 transcript:KJB77035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTKDNKVEEVKESEEISKAFAAVAGVRKEVDKLSERVAALEVAVNSGTKVTDEEFVVPAELLMRELLKLDGIGAEGEARLQRKAEVRRIQKYHETLDKLKTINSNPFSDKHKAVSVTTNWETFDS >KJB77032 pep chromosome:Graimondii2_0_v6:12:27095830:27097734:1 gene:B456_012G117500 transcript:KJB77032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLASSTPKASSNNPASKEKMTNTKDNKVEEVKESEEISKAFAAVAGVRKEVDKLSERVAALEVAVNSGTKVTDEEFVVPAELLMRELLKLDGIGAEGEARLQRKAEVRRIQKYHETLDKLKTINSNPFSDKHKAVSVTTNWETFDS >KJB77034 pep chromosome:Graimondii2_0_v6:12:27095474:27097787:1 gene:B456_012G117500 transcript:KJB77034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSDPAHCTAQLSSHSSFASKEKMTNTKDNKVEEVKESEEISKAFAAVAGVRKEVDKLSERVAALEVAVNSGTKVTDEEFVVPAELLMRELLKLDGIGAEGEARLQRKAEVRRIQKYHETLDKLKTINSNPFSDKHKAVSVTTNWETFDS >KJB75350 pep chromosome:Graimondii2_0_v6:12:4659011:4660741:-1 gene:B456_012G037600 transcript:KJB75350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAELELPPGFRFHPTDDELVNHYLCRKCASQPISVPIIAEIDLYKFDPWQLPDMALYGEKEWYFFSPRDRKYPNGCRPNRAAGTGYWKATGADKPIGKPKTLGIKKALVFYAGKAPRGVKTNWIMHEYRLANVDRSAGKKSNNLRLDDWVLCRIYNKKGSIEKHFPSEQKSLSYPEMEDEKPDIIMNGQNMQQPWSVMAMKNDVIQTDGSESSWSEHVPSPEITWEKEVKSEGRWNELDFGLDFIDEDPFASQVDYQMEQLSPLQDMFMYLPKTF >KJB75351 pep chromosome:Graimondii2_0_v6:12:4659076:4660675:-1 gene:B456_012G037600 transcript:KJB75351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYGEKEWYFFSPRDRKYPNGCRPNRAAGTGYWKATGADKPIGKPKTLGIKKALVFYAGKAPRGVKTNWIMHEYRLANVDRSAGKKSNNLRLDDWVLCRIYNKKGSIEKHFPSEQKSLSYPEMEDEKPDIIMNGQNMQQPWSVMAMKNDVIQTDGSESSWSEHVPSPEITWEKEVKSEGRWNELDFGLDFIDEDPFASQVDYQMEQLSPLQDMFMYLPKTF >KJB75148 pep chromosome:Graimondii2_0_v6:12:3328998:3334901:-1 gene:B456_012G026700 transcript:KJB75148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSAESRSPRPGSPSSPHLANMKRRNSRKVLGSRNSSFDYRKEEPLHRIPGRLFLNGYNDVASLFTQQGKKGTNQDAMIIWENFGSRTDTVFCGVFDGHGPYGHMVAKRVRDHLPLKLNAHWEVSKSGEDALREINLNTAGSMNSEQTSFLTADEESRASVDLEETEKYPEIFQTLKESFLKAFKVMDRDLRTHASIDCFCSGTTAVTLVKQGPHIVIGNVGDSRAVLGTRDKDNSLIAVQLTVDLKPNLPAEAERIRKYKGRVFALKDEPEVARVWLPNSNAPGLAMARAFGDFCLKDFGLISVPEISYWHVSEKDEFIILATDGIWDVLSNKEVVDIVASCRARTSAARALVETAVRAWRYKYPTSKVDDCAVVCLFFDSTSNNLSTVSNANAKVQPVSMDQVENENEKDNNINVLTSVDRSGTVGSGKVVPGGNEDLDLDVDVDLDCSKEEEMNSEIGTDWSALEGVSRVNTLFNLPRFDPGK >KJB75147 pep chromosome:Graimondii2_0_v6:12:3329493:3333642:-1 gene:B456_012G026700 transcript:KJB75147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSAESRSPRPGSPSSPHLANMKRRNSRKVLGSRNSSFDYRKEEPLHRIPGRLFLNGYNDVASLFTQQGKKGTNQDAMIIWENFGSRTDTVFCGVFDGHGPYGHMVAKRVRDHLPLKLNAHWEVSKSGEDALREINLNTAGSMNSEQTSFLTADEESRASVDLEETEKYPEIFQTLKESFLKAFKVMDRDLRTHASIDCFCSGTTAVTLVKQGPHIVIGNVGDSRAVLGTRDKDNSLIAVQLTVDLKPNLPAEAERIRKYKGRVFALKDEPEVARVWLPNSNAPGLAMARAFGDFCLKDFGLISVPEISYWHVSEKDEFIILATDGIWDVLSNKEVVDIVASCRARTSAARALVETAVRAWRYKYPTSKVDDCAVVCLFFDSTSNNLSTVSNANAKVQPVSMDQVENENEKDNNINVLTSVDRSGTVGSGKVVPGGNEDLDLDVDVDLDCSKEEEMNSEIGTDWSALEGVSRVNTLFNLPRFDPGK >KJB77851 pep chromosome:Graimondii2_0_v6:12:33331929:33336037:1 gene:B456_012G161200 transcript:KJB77851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDMSRLRSRSPSYRRRQSRSPVRHRYGRRSRRDRSLSPYSSYSNSRRKSRSISPRHYKSRSPSARRHKSRSPIPKRYKRQRSTSSSLSPTHKSPSPNLGSIDCKNACEELKKEEEERKRRQQEAELKLIEEETAKRVEEAILKKVEERLNSDEIKQEIQKRLEEGRRRLNDEVAIQLEKEKEAALLEARQKETHVFLYRGRERIHYFPHIIFNEQARKEKEELEKMLEENRKRVEEAQRREALEQQRREEERYRELEELQRQKEEAMKRKKQQEEEERLNQMKLLGKNKLRPKLSFALGSK >KJB77854 pep chromosome:Graimondii2_0_v6:12:33331959:33336036:1 gene:B456_012G161200 transcript:KJB77854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDMSRLRSRSPSYRRRQSRSPVRHRYGRRSRRDRSLSPYSSYSNSRRQQEAELKLIEEETAKRVEEAILKKVEERLNSDEIKQEIQKRLEEGRRRLNDEVAIQLEKEKEAALLEARQKEEQARKEKEELEKMLEENRKRVEEAQRREALEQQRREEERYRELEELQRQKEEAMKRKKQQEEEERLNQMKLLGKNKLRPKLSFALGSK >KJB77852 pep chromosome:Graimondii2_0_v6:12:33331941:33336037:1 gene:B456_012G161200 transcript:KJB77852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDMSRLRSRSPSYRRRQSRSPVRHRYGRRSRRDRSLSPYSSYSNSRRKSRSISPRHYKSRSPSARRHKSRSPIPKRYKRQRSTSSSLSPTHKSPSPNLGSIDCKNACEELKKEEEERKRRQQEAELKLIEEETAKRVEEAILKKVEERLNSDEIKQEIQKRLEEGRRRLNDEVAIQLEKEKEAALLEARQKEEQARKEKEELEKMLEENRKRVEEAQRREALEQQRREEERYRELEELQRQKEEAMKRKKQQEEEERLNQMKLLGKNKLRPKLSFALGSK >KJB77853 pep chromosome:Graimondii2_0_v6:12:33331929:33336037:1 gene:B456_012G161200 transcript:KJB77853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDMSRLRSRSPSYRRRQSRSPVRHRYGRRSRRDRSLSPYSSYSNSRRKSRSISPRHYKSRSPSARRHKSRSPIPKRYKRQRSTSSSLSPTHKSPSPNLGSIDCKNACEELKKEEEERKRRQQEAELKLIEEETAKRVEEAILKKVEERLNSDEIKQEIQKRLEEGRRRLNDEVAIQLEKEKEAALLEARQKEEQARKEKEELEKMLEENRKRVEEAQRREALEQQRREEERYRELEELQRQKEEAMKRKKQQEEEERLNQMKLLGKNKLRPKLSFALGSK >KJB77850 pep chromosome:Graimondii2_0_v6:12:33331929:33336037:1 gene:B456_012G161200 transcript:KJB77850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDMSRLRSRSPSYRRRQSRSPVRHRYGRRSRRDRSLSPYSSYSNSRRKSRSISPRHYKSRSPSARRHKSRSPIPKRYKRQRSTSSSLSPTHKSPSPNLGSIDCKNACEELKKEEEERKRRQQEAELKLIEEETAKRVEEAILKKVEERLNSDEIKQEIQKRLEEGRRRLNDEVAIQLEKEKEAALLEARQKETHVFLYRGRERIHYFPHIIFNEQARKEKEELEKMLEENRKRVEEAQRREALEQQRREEERYRELEELQRQKEEAMKRKKQQEEEERLNQMKLLGKNKLRPKLSFALGSK >KJB76312 pep chromosome:Graimondii2_0_v6:12:13702109:13711182:1 gene:B456_012G082700 transcript:KJB76312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVELIGVVLFLGVLCAESKYMVYNTSAGIDAGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWNDQSELTQSIVKKLVNSGQLEFINGGMCMHDEAVPHYIDMIDQTTLGHRFLKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSFFFGRIDYQDRIKRKKEKSLEVIWRGSKSLGSSAQIFAGAFPENYEPPPGFYFEVNADSPVVQDNVNLFDYNVQDRVNDFVAAAIAQANITRTNHIMWTMGTDFRYQYAHTWYRNMDKLIHYVNKDGRVNALYSTPSIYTDAKYATSKSWPLKIEDYFPYADRANAYWTGYFTSRPALKFYVRKMSGYYLAARQLEFFKGRNDSGPNTDSLADAMAIAQHHDAVTGTEKQHVANDYAKRLSIGYTEAEKVVASSLACLTDSKSSFGCGDPTTNFQQCPLLNITYCPASEADLSHGKNLIVVVYNSLGWKREDVIRFPVVNEDVTVHDSEGRKIESQLVPIVDAYVDLRKYYARAYLGSSPGDVPKYWIAFTVSVPPLGFSTYTISASKKPGAGSTKSSIYKFQEGEKPAIEVGEGNLKLTLSAPSGKIINYVNNRNLVEESVEQSFSYYTGYNGVNDKAPQNSGAYVFRPNGTYHIKAEQASLTVIQGPLINEVHQQINPWIFQTTRLYKEKEHVEVEFIVGPVPIDDEFGKEVATQITTSLENSKTFYTDSNGRDFIKRIRDFRTDWDLEVNQPVAGNYYPINLGIYIRDSKKEFSVLVDRSLGGSSIVDGQIELMLHRRLLLDDSRGVDEALNETVCVLDDCRGLAVQGKYYYRIDPLGEGAKWRRSFGQEIYSPLLLAFAEEDGENWMSSHTPTFSAIDASYSLPDNVALITLQVDA >KJB76307 pep chromosome:Graimondii2_0_v6:12:13702109:13711182:1 gene:B456_012G082700 transcript:KJB76307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVELIGVVLFLGVLCAESKYMVYNTSAGIDAGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWNDQSELTQSIVKKLVNSGQLEFINGGMCMHDEAVPHYIDMIDQTTLGHRFLKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSFFFGRIDYQDRIKRKKEKSLEVIWRGSKSLGSSAQIFAGAFPENYEPPPGFYFEVNADSPVVQDNVNLFDYNVQDRVNDFVAAAIAQANITRTNHIMWTMGTDFRYQYAHTWYRNMDKLIHYVNKDGRVNALYSTPSIYTDAKYATSKSWPLKIEDYFPYADRANAYWTGYFTSRPALKFYVRKMSGYYLAARQLEFFKGRNDSGPNTDSLADAMAIAQHHDAVTGTEKQHVANDYAKRLSIGYTEVRVVASSLACLTDSKSSFGCGDPTTNFQQCPLLNITYCPASEADLSHGKNLIVVVYNSLGWKREDVIRFPVVNEDVTVHDSEGRKIESQLVPIVDAYVDLRKYYARAYLGSSPGDVPKYWIAFTVSVPPLGFSTYTISASKKPGAGSTKSSIYKFQEGEKPAIEVGEGNLKLTLSAPSGKIINYVNNRNLVEESVEQSFSYYTGYNGVNDKAPQNSGAYVFRPNGTYHIKAEQASLTVIQGPLINEVHQQINPWIFQTTRLYKEKEHVEVEFIVGPVPIDDEFGKEVATQITTSLENSKTFYTDSNGRDFIKRIRDFRTDWDLEVNQPVAGNYYPINLGIYIRDSKKEFSVLVDRSLGGSSIVDGQIELMLHRRLLLDDSRGVDEALNETVCVLDDCRGLAVQGKYYYRIDPLGEGAKWRRSFGQEIYSPLLLAFAEEDGENWMSSHTPTFSAIDASYSLPDNVALITLQELDDGKVLLRLAHLYEVGEDSDLSVMSKVELKKLFPGKKIAKVRELSLSANQEREEMEKRRLVWKVEGESENQNENPKVVRGAPVDPKKLEVELAPMEIRTFVMEFEVDLMSTTFKRIVDA >KJB76310 pep chromosome:Graimondii2_0_v6:12:13702109:13711182:1 gene:B456_012G082700 transcript:KJB76310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVELIGVVLFLGVLCAESKYMVYNTSAGIDAGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQAFFQRWWNDQSELTQSIVKKLVNSGQLEFINGGMCMHDEAVPHYIDMIDQTTLGHRFLKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSFFFGRIDYQDRIKRKKEKSLEVIWRGSKSLGSSAQIFAGAFPENYEPPPGFYFEVNADSPVVQDNVNLFDYNVQDRVNDFVAAAIAQANITRTNHIMWTMGTDFRYQYAHTWYRNMDKLIHYVNKDGRVNALYSTPSIYTDAKYATSKSWPLKIEDYFPYADRANAYWTGYFTSRPALKFYVRKMSGYYLAARQLEFFKGRNDSGPNTDSLADAMAIAQHHDAVTGTEKQHVANDYAKRLSIGYTEAEKVVASSLACLTDSKSSFGCGDPTTNFQQCPLLNITYCPASEADLSHGKNLIVVVYNSLGWKREDVIRFPVVNEDVTVHDSEGRKIESQLVPIVDAYVDLRKYYARAYLGSSPGDVPKYWIAFTVSVPPLGFSTYTISASKKPGAGSTKSSIYKFQEGEKPAIEVGEGNLKLTLSAPSGKIINYVNNRNLVEESVEQSFSYYTGYNGVNDKAPQNSGAYVFRPNGTYHIKAEQASLTVIQGPLINEVHQQINPWIFQTTRLYKEKEHVEVEFIVGPVPIDDEFGKEVATQITTSLENSKTFYTDSNGRDFIKRIRDFRTDWDLEVNQPVAGNYYPINLGIYIRDSKKEFSVLVDRSLGGSSIVDGQIELMLHRRLLLDDSRGVDEALNETVCVLDDCRGLAVQGKYYYRIDPLGEGAKWRRSFGQEIYSPLLLAFAEEDGENWMSSHTPTFSAIDASYSLPDNVALITLQELDDGKVLLRLAHLYEVGEDSDLSVMSKVELKKLFPGKKIAKVRELSLSANQEREEMEKRRLVWKVEGESENQNENPKVVRGAPVDPKKLEVELAPMEIRTFVMEFEVDLMSTTFKRIVDA >KJB76313 pep chromosome:Graimondii2_0_v6:12:13702109:13711182:1 gene:B456_012G082700 transcript:KJB76313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVELIGVVLFLGVLCAESKYMVYNTSAGIDAGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWNDQSELTQSIVKKLVNSGQLEFINGGMCMHDEAVPHYIDMIDQTTLGHRFLKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSFFFGRIDYQDRIKRKKEKSLEVIWRGSKSLGSSAQIFAGAFPENYEPPPGFYFEVNADSPVVQDNVNLFDYNVQDRVNDFVAAAIAQANITRTNHIMWTMGTDFRYQYAHTWYRNMDKLIHYVNKDGRVNALYSTPSIYTDAKYATSKSWPLKIEDYFPYADRANAYWTGYFTSRPALKFYVRKMSGYYLAARQLEFFKGRNDSGPNTDSLADAMAIAQHHDAVTGTEKQHVANDYAKRLSIGYTEAEKVVASSLACLTDSKSSFGCGDPTTNFQQCPLLNITYCPASEADLSHGKNLIVVVYNSLGWKREDVIRFPVVNEDVTVHDSEGRKIESQLVPIVDAYVDLRKYYARAYLGSSPGDVPKYWIAFTVSVPPLGFSTYTISASKKPGAGSTKSSIYKFQEGEKPAIEVGEGNLKLTLSAPSGKIINYVNNRNLVEESVEQSFSYYTGYNGVNDKAPQNSGAYVFRPNGTYHIKAEQASLTVIQGPLINEVHQQINPWIFQTTRLYKEKEHVEVEFIVGPVPIDDEFGKEVATQITTSLENSKTFYTDSNGRDFIKRIRDFRTDWDLEVNQPVAGNYYPINLGIYIRDSKKEFSVLVDRSLGGSSIVDGQIELMLHRRLLLDDSRGVDEALNETVCVLDDCRGLAVQGKYYYRIDPLGEGAKWRRSFGQEIYSPLLLAFAEEDGENWMSSHTPTFSAIDASYSLPDNVALITLQELDDGKVLLRLAHLYEVGEDSDLSVMSKVELKKLFPGKKVQIAKVRELSLSANQEREEMEKRRLVWKVEGESENQNENPKVVRGAPVDPKKLEVELAPMEIRTFVMEFEVDLMSTTFKRIVDA >KJB76309 pep chromosome:Graimondii2_0_v6:12:13702434:13709856:1 gene:B456_012G082700 transcript:KJB76309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVELIGVVLFLGVLCAESKYMVYNTSAGIDAGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWNDQSELTQSIVKKLVNSGQLEFINGGMCMHDEAVPHYIDMIDQTTLGHRFLKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSFFFGRIDYQDRIKRKKEKSLEVIWRGSKSLGSSAQIFAGAFPENYEPPPGFYFEVNADSPVVQDNVNLFDYNVQDRVNDFVAAAIAQANITRTNHIMWTMGTDFRYQYAHTWYRNMDKLIHYVNKDGRVNALYSTPSIYTDAKYATSKSWPLKIEDYFPYADRANAYWTGYFTSRPALKFYVRKMSGYYLAARQLEFFKGRNDSGPNTDSLADAMAIAQHHDAVTGTEKQHVANDYAKRLSIGYTEAEKVVASSLACLTDSKSSFGCGDPTTNFQQCPLLNITYCPASEADLSHGKNLIVVVYNSLGWKREDVIRFPVVNEDVTVHDSEGRKIESQLVPIVDAYVDLRKYYARAYLGSSPGDVPKYWIAFTVSVPPLGFSTYTISASKKPGAGSTKSSIYKFQEGEKPAIEVGEGNLKLTLSAPSGKIINYVNNRNLVEESVEQSFSYYTGYNGVNDKAPQNSGAYVFRPNGTYHIKAEQASLTVIQGPLINEVHQQINPWIFQTTRLYKEKEHVEVEFIVGPVPIDDEFGKEVATQITTSLENSKTFYTDSNGRDFIKRIRDFRTDWDLEVNQPVAGNYYPINLGIYIRDSKKEFSVLVDRSLGGSSIVDGQIELMLHRRLLLDDSRGVDEALNETVCVLDDCRGLAVQGKYYYRIDPLGEGAKWRRSFGQEIYSPLLLAFAEEDGENWMSSHTPTFSAIDASYSLPDNVALITLQVDGIFMDGKSEMHNYPLICYTCIILSLRKRSILQKSIVVW >KJB76306 pep chromosome:Graimondii2_0_v6:12:13702109:13711182:1 gene:B456_012G082700 transcript:KJB76306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVELIGVVLFLGVLCAESKYMVYNTSAGIDAGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWNDQSELTQSIVKKLVNSGQLEFINGGMCMHDEAVPHYIDMIDQTTLGHRFLKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSFFFGRIDYQDRIKRKKEKSLEVIWRGSKSLGSSAQIFAGAFPENYEPPPGFYFEVNADSPVVQDNVNLFDYNVQDRVNDFVAAAIAQANITRTNHIMWTMGTDFRYQYAHTWYRNMDKLIHYVNKDGRVNALYSTPSIYTDAKYATSKSWPLKIEDYFPYADRANAYWTGYFTSRPALKFYVRKMSGYYLAARQLEFFKGRNDSGPNTDSLADAMAIAQHHDAVTGTEKQHVANDYAKRLSIGYTEAEKVVASSLACLTDSKSSFGCGDPTTNFQQCPLLNITYCPASEADLSHGKNLIVVVYNSLGWKREDVIRFPVVNEDVTVHDSEGRKIESQLVPIVDAYVDLRKYYARAYLGSSPGDVPKYWIAFTVSVPPLGFSTYTISASKKPGAGSTKSSIYKFQEGEKPAIEVGEGNLKLTLSAPSGKIINYVNNRNLVEESVEQSFSYYTGYNGVNDKAPQNSGAYVFRPNGTYHIKAEQASLTVIQGPLINEVHQQINPWIFQTTRLYKEKEHVEVEFIVGPVPIDDEFGKEVATQITTSLENSKTFYTDSNGRDFIKRIRDFRTDWDLEVNQPVAGNYYPINLGIYIRDSKKEFSVLVDRSLGGSSIVDGQIELMLHRRLLLDDSRGVDEALNETVCVLDDCRGLAVQGKYYYRIDPLGEGAKWRRSFGQEIYSPLLLAFAEEDGENWMSSHTPTFSAIDASYSLPDNVALITLQELDDGKVLLRLAHLYEVGEDSDLSVMSKVELKKLFPGKKRR >KJB76304 pep chromosome:Graimondii2_0_v6:12:13702044:13711182:1 gene:B456_012G082700 transcript:KJB76304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVELIGVVLFLGVLCAESKYMVYNTSAGIDAGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWNDQSELTQSIVKKLVNSGQLEFINGGMCMHDEAVPHYIDMIDQTTLGHRFLKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSFFFGRIDYQDRIKRKKEKSLEVIWRGSKSLGSSAQIFAGAFPENYEPPPGFYFEVNADSPVVQDNVNLFDYNVQDRVNDFVAAAIAQANITRTNHIMWTMGTDFRYQYAHTWYRNMDKLIHYVNKDGRVNALYSTPSIYTDAKYATSKSWPLKIEDYFPYADRANAYWTGYFTSRPALKFYVRKMSGYYLAARQLEFFKGRNDSGPNTDSLADAMAIAQHHDAVTGTEKQHVANDYAKRLSIGYTEAEKVVASSLACLTDSKSSFGCGDPTTNFQQCPLLNITYCPASEADLSHGKNLIVVVYNSLGWKREDVIRFPVVNEDVTVHDSEGRKIESQLVPIVDAYVDLRKYYARAYLGSSPGDVPKYWIAFTVSVPPLGFSTYTISASKKPGAGSTKSSIYKFQEGEKPAIEVGEGNLKLTLSAPSGKIINYVNNRNLVEESVEQSFSYYTGYNGVNDKAPQNSGAYVFRPNGTYHIKAEQASLTVIQGPLINEVHQQINPWIFQTTRLYKEKEHVEVEFIVGPVPIDDEFGKEVATQITTSLENSKTFYTDSNGRDFIKRIRDFRTDWDLEVNQPVAGNYYPINLGIYIRDSKKEFSVLVDRSLGGSSIVDGQIELMLHRRLLLDDSRGVDEALNETVCVLDDCRGLAVQGKYYYRIDPLGEGAKWRRSFGQEIYSPLLLAFAEEDGENWMSSHTPTFSAIDASYSLPDNVALITLQELDDGKVLLRLAHLYEVGEDSDLSVMSKVELKKLFPGKKIAKVRELSLSANQEREEMEKRRLVWKVEGESENQNENPKVVRGAPVDPKKLEVELAPMEIRTFVMEFEVDLMSTTFKRIVDA >KJB76311 pep chromosome:Graimondii2_0_v6:12:13702109:13711182:1 gene:B456_012G082700 transcript:KJB76311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVELIGVVLFLGVLCAESKYMVYNTSAGIDAGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWNDQSELTQSIVKKLVNSGQLEFINGGMCMHDEAVPHYIDMIDQTTLGHRFLKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSFFFGRIDYQDRIKRKKEKSLEVIWRGSKSLGSSAQIFAGAFPENYEPPPGFYFEVNADSPVVQDNVNLFDYNVQDRVNDFVAAAIAQANITRTNHIMWTMGTDFRYQYAHTWYRNMDKLIHYVNKDGRVNALYSTPSIYTDAKYATSKSWPLKIEDYFPYADRANAYWTGYFTSRPALKFYVRKMSGYYLAARQLEFFKGRNDSGPNTDSLADAMAIAQHHDAVTGTEKQHVANDYAKRLSIGYTEAEKVVASSLACLTDSKSSFGCGDPTTNFQQCPLLNITYCPASEADLSHGKNLIVVVYNSLGWKREDVIRFPVVNEDVTVHDSEGRKIESQLVPIVDAYVDLRKYYARAYLGSSPGDVPKYWIAFTVSVPPLGFSTYTISASKKPGAGSTKSSIYKFQEGEKPAIEVGEGNLKLTLSAPSGKIINYVNNRNLVEESVEQSFSYYTGYNGVNDKAPQNSGAYVFRPNGTYHIKAEQSQASLTVIQGPLINEVHQQINPWIFQTTRLYKEKEHVEVEFIVGPVPIDDEFGKEVATQITTSLENSKTFYTDSNGRDFIKRIRDFRTDWDLEVNQPVAGNYYPINLGIYIRDSKKEFSVLVDRSLGGSSIVDGQIELMLHRRLLLDDSRGVDEALNETVCVLDDCRGLAVQGKYYYRIDPLGEGAKWRRSFGQEIYSPLLLAFAEEDGENWMSSHTPTFSAIDASYSLPDNVALITLQELDDGKVLLRLAHLYEVGEDSDLSVMSKVELKKLFPGKKIAKVRELSLSANQEREEMEKRRLVWKVEGESENQNENPKVVRGAPVDPKKLEVELAPMEIRTFVMEFEVDLMSTTFKRIVDA >KJB76305 pep chromosome:Graimondii2_0_v6:12:13702434:13709856:1 gene:B456_012G082700 transcript:KJB76305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVELIGVVLFLGVLCAESKYMVYNTSAGIDAGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWNDQSELTQSIVKKLVNSGQLEFINGGMCMHDEAVPHYIDMIDQTTLGHRFLKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSFFFGRIDYQDRIKRKKEKSLEVIWRGSKSLGSSAQIFAGAFPENYEPPPGFYFEVNADSPVVQDNVNLFDYNVQDRVNDFVAAAIAQANITRTNHIMWTMGTDFRYQYAHTWYRNMDKLIHYVNKDGRVNALYSTPSIYTDAKYATSKSWPLKIEDYFPYADRANAYWTGYFTSRPALKFYVRKMSGYYLAARQLEFFKGRNDSGPNTDSLADAMAIAQHHDAVTGTEKQHVANDYAKRLSIGYTEAEKVVASSLACLTDSKSSFGCGDPTTNFQQCPLLNITYCPASEADLSHGKNLIVVVYNSLGWKREDVIRFPVVNEDVTVHDSEGRKIESQLVPIVDAYVDLRKYYARAYLGSSPGDVPKYWIAFTVSVPPLGFSTYTISASKKPGAGSTKSSIYKFQEGEKPAIEVGEGNLKLTLSAPSGKIINYVNNRNLVEESVEQSFSYYTGYNGVNDKAPQNSGAYVFRPNGTYHIKAEQASLTVIQGPLINEVHQQINPWIFQTTRLYKEKEHVEVEFIVGPVPIDDEFGKEVATQITTSLENSKTFYTDSNGRDFIKRIRDFRTDWDLEVNQPVAGNYYPINLGIYIRDSKKEFSVLVDRSLGGSSIVDGQIELMLHRRLLLDDSRGVDEALNETVCVLDDCRGLAVQGKYYYRIDPLGEGAKWRRSFGQEIYSPLLLAFAEEDGENWMSSHTPTFSAIDASYSLPDNVALITLQVDGIFMDGKSEMHNYPLICYTCIILSLRKRSILQKSIVVW >KJB76308 pep chromosome:Graimondii2_0_v6:12:13702109:13711182:1 gene:B456_012G082700 transcript:KJB76308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVELIGVVLFLGVLCAESKYMVYNTSAGIDAGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWNDQSELTQSIVKKLVNSGQLEFINGGMCMHDEAVPHYIDMIDQTTLGHRFLKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSFFFGRIDYQDRIKRKKEKSLEVIWRGSKSLGSSAQIFAGAFPENYEPPPGFYFEVNADSPVVQDNVNLFDYNVQDRVNDFVAAAIAQANITRTNHIMWTMGTDFRYQYAHTWYRNMDKLIHYVNKDGRVNALYSTPSIYTDAKYATSKSWPLKIEDYFPYADRANAYWTGYFTSRPALKFYVRKMSGYYLAARQLEFFKGRNDSGPNTDSLADAMAIAQHHDAVTGTEKQHVANDYAKRLSIGYTEAEKVVASSLACLTDSKSSFGCGDPTTNFQQCPLLNITYCPASEADLSHGKNLIVVVYNSLGWKREDVIRFPVVNEDVTVHDSEGRKIESQLVPIVDAYVDLRKYYARAYLGSSPGDVPKYWIAFTVSVPPLGFSTYTISASKKPGAGSTKSSIYKFQEGEKPAIEVGEGNLKLTLSAPSGKIINYVNNRNLVEESVEQSFSYYTGYNGVNDKAPQNSGAYVFRPNGTYHIKAEQASLTVIQGPLINEVHQQINPWIFQTTRLYKEKEHVEVEFIVGPVPIDDEFGKEVATQITTSLENSKTFYTDSNGRDFIKRIRDFRTDWDLEVNQPVAGNYYPINLGIYIRDSKKEFSVLVDRSLGGSSIVDGQIELMLHRLLLDDSRGVDEALNETVCVLDDCRGLAVQGKYYYRIDPLGEGAKWRRSFGQEIYSPLLLAFAEEDGENWMSSHTPTFSAIDASYSLPDNVALITLQELDDGKVLLRLAHLYEVGEDSDLSVMSKVELKKLFPGKKIAKVRELSLSANQEREEMEKRRLVWKVEGESENQNENPKVVRGAPVDPKKLEVELAPMEIRTFVMEFEVDLMSTTFKRIVDA >KJB77573 pep chromosome:Graimondii2_0_v6:12:31823242:31825176:1 gene:B456_012G144300 transcript:KJB77573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDIVYEADAYPSNNAVQLTLNQMKQNLRGGIGRATYYKPMHLWDKSSGNPLLADFTTQFSFSIDSLHKNPGDGFAFFIAPNGSKIPPNSGGGHLGLQSYGIVNSPFVAVEFDTFGNPWDPFGLSAHVGIGLNSIETSLTAVKWWWNEIANGGLVNAFITYNSSTNNLSVLLLDADDFTRRNSSRLSATLDLSIYLPEWVTFGFSGTTGSCFELHTIYSWNFSSTLQVVMPTNTTINPPETSPPANPKRKSRTWLWVVLAIIGAISASLLVLGLVWFYCRRGDYSRKEDGTMSVNVNREMVTAPRKFSYKELRLATSNFADEGLLGEGGFGKQGFKEYESEITTITRLRHRNLVQLIGWCHDNNQFLIVYEFLPNKNLDYHLHREPCLLIWDKRYKIAMGLASALFYLQEECNQCVLHRDIKSSNVLLDLSFNAKLGDFGLARLVDHGQGSQTTQVMLGTEGYIAPECRDTYKAVKESDIYSFGIVALEIATGKKAIAVTERNGQRFKTKLVEWVWELYGKESLFDAADPRLSGNYDMEQMERLLLVGLACAHPNYFDRPSITQAVDILSSKAPLPVLPPEMPIPTYIVSLEDTIATFSTSISSNTSGSNRLQNQNE >KJB78141 pep chromosome:Graimondii2_0_v6:12:34854121:34856833:1 gene:B456_012G180200 transcript:KJB78141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEEPEENITHMDASLYMAAAKGNIEEFNNKQGLQLESLKTPNNENVLHVNLATHELAAWLFDIFFSILNLLLNKFVFVTLKPVCRSVEHLLVEPSLRNFITMIKRQNRSDFIEQILTKCPSLLLQTNAKGQTPLHVAAKNGHSAIVKLLIKSCAKARDGDLELGMDQVSAVREMLRITDQESNTALHKAARCGNVEVVIALLEFEDPDFPYSANKKQETPLYIAARRRGSGRLLTLLLDKFKSTAHGGPHGRTALHAAAMAEDAEAIRVILKKKGNLTKERDEDGHTPLHYAAHLGSRFSVVEELLKWDVSAAYIGDKKWGMTPLLMAARQGYLGTVSKILSLCPDCCEKVDNEGLNLLHYLAFRGFSNPLRPSLFKPGGIEIVYGSVKNLMELEDAFGMTPQEVYNALRSRKYHHKQKKIIDLLEKIGNDQVAEEPVRRFPLPNDSTESLEKKREAHLVVAALIATVTFAAAITVPGGLRTEKGPEQSTPKKGSEQGTPFLIQEVVLLMTE >KJB78140 pep chromosome:Graimondii2_0_v6:12:34854121:34856406:1 gene:B456_012G180200 transcript:KJB78140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEEPEENITHMDASLYMAAAKGNIEEFNNKQGLQLESLKTPNNENVLHVNLATHELAAWLFDIFFSILNLLLNKFVFVTLKPVCRSVEHLLVEPSLRNFITMIKRQNRSDFIEQILTKCPSLLLQTNAKGQTPLHVAAKNGHSAIVKLLIKSCAKARDGDLELGMDQVSAVREMLRITDQESNTALHKAARCGNVEVVIALLEFEDPDFPYSANKKQETPLYIAARRRGSGRLLTLLLDKFKSTAHGGPHGRTALHAAAMAEDAEAIRVILKKKGNLTKERDEDGHTPLHYAAHLGSRFSVVEELLKWDVSAAYIGDKKWGMTPLLMAARQGYLGTVSKILSLCPDCCEKVDNEGLNLLHYLAFRGFSNPLRPSLFKPGGIEIVYGSVKNLMELEDAFGMTPQEVYNALRSRKYHHKQKKIIDLLEKIGNDQVAEEPVRRFPLPNDSTESLEKKREAHLVVAALIATVTFAAAITVPGGLRTEKGPEQSTPKKGSEQGTPFLIQEVAFKAFVITNVIAFIFSVSALTTHFGVLDNLLARFSFWRLTVSDRIRSVSGVLGYATLAMVIAFSTGSYVVLKSSPQLNIVSYLICPGFLLSMWLILNATIYE >KJB74616 pep chromosome:Graimondii2_0_v6:12:170979:174119:-1 gene:B456_012G001500 transcript:KJB74616 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase ILR1-like 3 [Source:Projected from Arabidopsis thaliana (AT5G54140) UniProtKB/Swiss-Prot;Acc:O81641] MIKEGALGDAEAIFGMHIDYSTLTGSITLVSGPVLAATCIFEAKIEGVGGHAAGPHSTVDPILAASFTILALQQLISREADPLHSQVLSVTFIRGGSAFSVIPSYVEFGGTLRSLTTEGLHKLQQRLKEVIKGQAAVHRCKAFIDMKEKDHPVYPAVVNDDGLHQHVQKVGSLLLGPENVKRGKKIMAGEDFAFYQEKIPGFMLSIGIRNEELGSIHPPHSPYFFLDEDVLAIGAALHTALAELYLNEHKHSILDEAL >KJB74619 pep chromosome:Graimondii2_0_v6:12:170979:174085:-1 gene:B456_012G001500 transcript:KJB74619 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase ILR1-like 3 [Source:Projected from Arabidopsis thaliana (AT5G54140) UniProtKB/Swiss-Prot;Acc:O81641] MVARLHTLLVSLACLSAIHGRVDHDYKDQLLSSAQQEKDWLVSIRRQIHENPELLFQEHNTSALIRQHLDQLGIPYSYPFAKTGIVAQIGSGSKPIVALRADIDALPLQELVDWEHRSKIDGKMHACGHDAHTTMLLGAAKLLNQRKDRLKGTVRLLFQPAEEGGAGASHMIKEGALGDAEAIFGMHIDYSTLTGSITLVSGPVLAATCIFEAKIEGVGGHAAGPHSTVDPILAASFTILALQQLISREADPLHSQVIKGQAAVHRCKAFIDMKEKDHPVYPAVVNDDGLHQHVQKVGSLLLGPENVKRGKKIMAGEDFAFYQEKIPGFMLSIGIRNEELGSIHPPHSPYFFLDEDVLAIGAALHTALAELYLNEHKHSILDEAL >KJB74620 pep chromosome:Graimondii2_0_v6:12:170979:174085:-1 gene:B456_012G001500 transcript:KJB74620 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase ILR1-like 3 [Source:Projected from Arabidopsis thaliana (AT5G54140) UniProtKB/Swiss-Prot;Acc:O81641] MIKEGALGDAEAIFGMHIDYSTLTGSITLVSGPVLAATCIFEAKIEGVGGHAAGPHSTVDPILAASFTILALQQLISREADPLHSQVLSVTFIRGGSAFSVIPSYVEFGGTLRSLTTEGLHKLQQRLKEVIKGQAAVHRCKAFIDMKEKDHPVYPAVVNDDGLHQHVQKVGSLLLGPENVKRGKKIMAGEDFAFYQEKIPGFMLSIGIRNEELGSIHPPHSPYFFLDEDVLAIGAALHTALAELYLNEHKHSILDEAL >KJB74618 pep chromosome:Graimondii2_0_v6:12:171082:172988:-1 gene:B456_012G001500 transcript:KJB74618 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase ILR1-like 3 [Source:Projected from Arabidopsis thaliana (AT5G54140) UniProtKB/Swiss-Prot;Acc:O81641] MLKIHKFQVGSSYIFPYMPLKGTVRLLFQPAEEGGAGASHMIKEGALGDAEAIFGMHIDYSTLTGSITLVSGPVLAATCIFEAKIEGVGGHAAGPHSTVDPILAASFTILALQQLISREADPLHSQVLSVTFIRGGSAFSVIPSYVEFGGTLRSLTTEGLHKLQQRLKEVIKGQAAVHRCKAFIDMKEKDHPVYPAVVNDDGLHQHVQKVGSLLLGPENVKRGKKIMAGEDFAFYQEKIPGFMLSIGIRNEELGSIHPPHSPYFFLDEDVLAIGAALHTALAELYLNEHKHSILDEAL >KJB74614 pep chromosome:Graimondii2_0_v6:12:170939:174198:-1 gene:B456_012G001500 transcript:KJB74614 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase ILR1-like 3 [Source:Projected from Arabidopsis thaliana (AT5G54140) UniProtKB/Swiss-Prot;Acc:O81641] MVARLHTLLVSLACLSAIHGRVDHDYKDQLLSSAQQEKDWLVSIRRQIHENPELLFQEHNTSALIRQHLDQLGIPYSYPFAKTGIVAQIGSGSKPIVALRADIDALPLQELVDWEHRSKIDGKMHACGHDAHTTMLLGAAKLLNQRKDRLKGTVRLLFQPAEEGGAGASHMIKEGALGDAEAIFGMHIDYSTLTGSITLVSGPVLAATCIFEAKIEGVGGHAAGPHSTVDPILAASFTILALQQLISREADPLHSQVLSVTFIRGGSAFSVIPSYVEFGGTLRSLTTEGLHKLQQRLKEVIKGQAAVHRCKAFIDMKEKDHPVYPAVVNDDGLHQHVQKVGSLLLGPENVKRGKKIMAGEDFAFYQEKIPGFMLSIGIRNEELGSIHPPHSPYFFLDEDVLAIGAALHTALAELYLNEHKHSILDEAL >KJB74615 pep chromosome:Graimondii2_0_v6:12:170979:174085:-1 gene:B456_012G001500 transcript:KJB74615 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase ILR1-like 3 [Source:Projected from Arabidopsis thaliana (AT5G54140) UniProtKB/Swiss-Prot;Acc:O81641] MVARLHTLLVSLACLSAIHGRVDHDYKDQLLSSAQQEKDWLVSIRRQIHENPELLFQEHNTSALIRQHLDQLGIPYSYPFAKTGIVAQIGSGSKPIVALRADIDALPLQELVDWEHRSKIDGKMHACGHDAHTTMLLGAAKLLNQRKDRLKGTVRLLFQPAEEGGAGASHMIKEGALGPVLAATCIFEAKIEGVGGHAAGPHSTVDPILAASFTILALQQLISREADPLHSQVLSVTFIRGGSAFSVIPSYVEFGGTLRSLTTEGLHKLQQRLKEVIKGQAAVHRCKAFIDMKEKDHPVYPAVVNDDGLHQHVQKVGSLLLGPENVKRGKKIMAGEDFAFYQEKIPGFMLSIGIRNEELGSIHPPHSPYFFLDEDVLAIGAALHTALAELYLNEHKHSILDEAL >KJB74617 pep chromosome:Graimondii2_0_v6:12:171082:173458:-1 gene:B456_012G001500 transcript:KJB74617 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase ILR1-like 3 [Source:Projected from Arabidopsis thaliana (AT5G54140) UniProtKB/Swiss-Prot;Acc:O81641] MHACGHDAHTTMLLGAAKLLNQRKDRLKGTVRLLFQPAEEGGAGASHMIKEGALGDAEAIFGMHIDYSTLTGSITLVSGPVLAATCIFEAKIEGVGGHAAGPHSTVDPILAASFTILALQQLISREADPLHSQVLSVTFIRGGSAFSVIPSYVEFGGTLRSLTTEGLHKLQQRLKEVIKGQAAVHRCKAFIDMKEKDHPVYPAVVNDDGLHQHVQKVGSLLLGPENVKRGKKIMAGEDFAFYQEKIPGFMLSIGIRNEELGSIHPPHSPYFFLDEDVLAIGAALHTALAELYLNEHKHSILDEAL >KJB74812 pep chromosome:Graimondii2_0_v6:12:1085077:1091461:-1 gene:B456_012G009900 transcript:KJB74812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNKKEACRNFQRGSCQYGERCKFLHVIQQQPKSNAFGFGTQAISHQQQKPNPFGFGVQNNAQSKGANDFGNKQSQFKNTWTRSSASSGAPSLRQPDNQPQATNHRCNDPESCKRIIAEDFEHERPLWKLTCYSHWKNSPCDIVGDVSYEELRAAAYDDAKRGLSLQSIIERERNLLNSKLVEFENFLRNPYRGPAGSAAAQQIPFPGATTIVLSPTTQNTVAPQSNVPPSVSSFSQLGASLNTGFSPGIFSGLLYSQIMRLGNQLLSQIQPNPQMYFQQTMFHRPMPFHLVTSNLTSQ >KJB74808 pep chromosome:Graimondii2_0_v6:12:1085088:1091461:-1 gene:B456_012G009900 transcript:KJB74808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNKKEACRNFQRGSCQYGERCKFLHVIQQQPKSNAFGFGTQAISHQQQKPNPFGFGVQNNAQSKGANDFGNKQSQFKNTWTRSSASSGAPSLRQPDNQPQATNHRCNDPESCKRIIAEDFEHERPLWKLTCYSHWKNSPCDIVGDVSYEELRAAAYDDAKRGLSLQSIIERERNLLNSKLVEFENFLRNPYRGPAGSAAAQQIPFPGATTIVLSPTTQNTVAPQSNVPPSVSSFSQLGASLNTGFSPGPSVQSNNASGQPTSFSNSAQSSNVFSANNVPSANAST >KJB74810 pep chromosome:Graimondii2_0_v6:12:1083982:1091533:-1 gene:B456_012G009900 transcript:KJB74810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNKKEACRNFQRGSCQYGERCKFLHVIQQQPKSNAFGFGTQAISHQQQKPNPFGFGVQNNAQSKGANDFGNKQSQFKNTWTRSSASSGAPSLRQPDNQPQATNHRCNDPESCKRIIAEDFEHERPLWKLTCYSHWKNSPCDIVGDVSYEELRAAAYDDAKRDLLALRRHNKFHFREPLQLSFLPLLKILSLLKAMSLRQSPVLVSWELHLIQDSHRGLLYSQIMRLGNQLLSQIQPNPQMYFQQTMFHRPMPFHLVTSNLTSQ >KJB74811 pep chromosome:Graimondii2_0_v6:12:1083860:1091585:-1 gene:B456_012G009900 transcript:KJB74811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLDLAHKLSHTNNKSQTHLVLVSRTMLSQKGPMILEINRASSRIHGPDLLQAVVPLHCGSLTISLRQQTIDAMILSPANVLLLKILSTRDPCGSLHATAIGKIPLAILSVMSAMKNCGQQLMMMQNIERERNLLNSKLVEFENFLRNPYRGPAGSAAAQQIPFPGATTIVLSPTTQNTVAPQSNVPPSVSSFSQLGASLNTGFSPGPSVQSNNASGQPTSFSNSAQSSNVFSANNVPSANASVSTQNFSSSSIQSPAFLNVSLSNSEAVGREATNVQLGNNLPTTVASGDSNIWLKEKWTPGEIPEEAPPDAYV >KJB74814 pep chromosome:Graimondii2_0_v6:12:1084010:1091533:-1 gene:B456_012G009900 transcript:KJB74814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNKKEACRNFQRGSCQYGERCKFLHVIQQQPKSNAFGFGTQAISHQQQKPNPFGFGVQNNAQSKGANDFGNKQSQFKNTWTRSSASSGAPSLRQPDNQPQATNHRCNDPESCKRIIAEDFEHERPLWKLTCYSHWKNSPCDIVGDVSYEELRAAAYDDAKRGLSLQSIIERERNLLNSKLVEFENFLRNPYRGPAGSAAAQQIPFPGATTIVLSPTTQNTVAPQSNVPPSVSSFSQLGASLNTGFSPGPSVQSNNASGQPTSFSNSAQSSNVFSANNVPSANASVSTQNFSSSSIQSPAFLNVSLSNSEAVGREATNVQLGNNLPTTVASGDSNIWLKEKWTPGETFPLSRFLKKLLQMLMFSCGIHVRRITCYLNGLIILLKILSF >KJB74809 pep chromosome:Graimondii2_0_v6:12:1084228:1091461:-1 gene:B456_012G009900 transcript:KJB74809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNKKEACRNFQRGSCQYGERCKFLHVIQQQPKSNAFGFGTQAISHQQQKPNPFGFGVQNNAQSKGANDFGNKQSQFKNTWTRSSASSGAPSLRQPDNQPQATNHRCNDPESCKRIIAEDFEHERPLWKLTCYSHWKNSPCDIVGDVSYEELRAAAYDDAKRGLSLQSIIERERNLLNSKLVEFENFLRNPYRGPAGSAAAQQIPFPGATTIVLSPTTQNTVAPQSNVPPSVSSFSQLGASLNTGFSPGPSVQSNNASGQPTSFSNSAQSSNVFSANNVPSANAFSFGNQQPNQSVAASFPTNMANFSNSSAINPAINQFSAAAVSTQNFSSSSIQSPAFLNVSLSNSEAVGREATNVQLGNNLPTTVASGDSNIWLKEKWTPGEIPEEAPPDAYV >KJB74813 pep chromosome:Graimondii2_0_v6:12:1083983:1091533:-1 gene:B456_012G009900 transcript:KJB74813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNKKEACRNFQRGSCQYGERCKFLHVIQQQPKSNAFGFGTQAISHQQQKPNPFGFGVQNNAQSKGANDFGNKQSQFKNTWTRSSASSGAPSLRQPDNQPQATNHRCNDPESCKRIIAEDFEHERPLWKLTCYSHWKNSPCDIVGDVSYEELRAAAYDDAKRGLSLQSIIERERNLLNSKLVEFENFLRNPYRGPAGSAAAQQIPFPGATTIVLSPTTQNTVAPQSNVPPSSPAFLNVSLSNSEAVGREATNVQLGNNLPTTVASGDSNIWLKEKWTPGEIPEEAPPDAYV >KJB74643 pep chromosome:Graimondii2_0_v6:12:34394:36132:-1 gene:B456_012G000400 transcript:KJB74643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLPAWQSLNITINYFSTKYTNHSASCPSLPEQMKVKVSPMDELPCYTEPNEIEYKDDCDNLDEYDEVSDTCETVPETYPNEVVIVSADNLHSIIHEACHEQSEHIEEHGTRKPGESSNLGVGNVESLVFIDPPTSKATSIATGLTVVKAAECADMSIAGKESLRGMRFKYAVEADEDPQPSVKEVKEKPSTTDEEYEKLGDTCTFGVYHRQPFDVICSPVRASSSVFTSLSNEETAEGTNVSIIEKECRIQKQFSAFVAASGDQQSWRKEKPLTTEVAVLKEHVPSFDVTREPEVIDLLTPSPSYRVRPCTKKRRISKFSPEIIDLT >KJB74645 pep chromosome:Graimondii2_0_v6:12:34394:37748:-1 gene:B456_012G000400 transcript:KJB74645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGKIAPDGNEARKRSEKGDSKSLNAYFKQRKSRTEDFKCRDLEGENQKQIRESGNENKGKPGKGFFACYLLTSLSPRHKGHTYIGFTVNPLRRIRQHNGEIGSGAWRTKSRRPWEMVVCIYGFPTNVSALQFEWAWQHPRESEAVRQAAATFKSFSGVTNKIKLAYTMLTLPAWQSLNITINYFSTKYTNHSASCPSLPEQMKVKVSPMDELPCYTEPNEIEYKDDCDNLDEYDEVSDTCETVPETYPNEVVIVSADNLHSIIHEACHEQSEHIEEHGTRKPGESSNLGVGNVESLVFIDPPTSKATSIATGLTVVKAAECADMSIAGKESLRGMRFKYAVEADEDPQPSVKEVKEKPSTTDEEYEKLGDTCTFGVYHRQPFDVICSPVRASSSVFTSLSNEETAEGTNVSIIEKECRIQKQFSAFVAASGDQQSWRKEKPLTTEVAVLKEHVPSFDVTREPEVIDLLTPSPSYRVRPCTKKRRISKFSPEIIDLT >KJB74646 pep chromosome:Graimondii2_0_v6:12:34394:37748:-1 gene:B456_012G000400 transcript:KJB74646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCIYGFPTNVSALQFEWAWQHPRESEAVRQAAATFKSFSGVTNKIKLAYTMLTLPAWQSLNITINYFSTKYTNHSASCPSLPEQMKVKVSPMDELPCYTEPNEIEYKDDCDNLDEYDEVSDTCETVPETYPNEVVIVSADNLHSIIHEACHEQSEHIEEHGTRKPGESSNLGVDMSIAGKESLRGMRFKYAVEADEDPQPSVKEVKEKPSTTDEEYEKLGDTCTFGVYHRQPFDVICSPVRASSSVFTSLSNEETAEGTNVSIIEKECRIQKQFSAFVAASGDQQSWRKEKPLTTEVAVLKEHVPSFDVTREPEVIDLLTPSPSYRVRPCTKKRRISKFSPEIIDLT >KJB74644 pep chromosome:Graimondii2_0_v6:12:34394:36376:-1 gene:B456_012G000400 transcript:KJB74644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLPAWQSLNITINYFSTKYTNHSASCPSLPEQMKVKVSPMDELPCYTEPNEIEYKDDCDNLDEYDEVSDTCETVPETYPNEVVIVSADNLHSIIHEACHEQSEHIEEHGTRKPGESSNLGVDMSIAGKESLRGMRFKYAVEADEDPQPSVKEVKEKPSTTDEEYEKLGDTCTFGVYHRQPFDVICSPVRASSSVFTSLSNEETAEGTNVSIIEKECRIQKQFSAFVAASGDQQSWRKEKPLTTEVAVLKEHVPSFDVTREPEVIDLLTPSPSYRVRPCTKKRRISKFSPEIIDLT >KJB74642 pep chromosome:Graimondii2_0_v6:12:34394:36073:-1 gene:B456_012G000400 transcript:KJB74642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLPAWQSLNITINYFSTKYTNHSASCPSLPEQMKVKVSPMDELPCYTEPNEIEYKDDCDNLDEYDEVSDTCETVPETYPNEVVIVSADNLHSIIHEACHEQSEHIEEHGTRKPGESSNLGVDMSIAGKESLRGMRFKYAVEADEDPQPSVKEVKEKPSTTDEEYEKLGDTCTFGVYHRQPFDVICSPVRASSSVFTSLSNEETAEGTNVSIIEKECRIQKQFSAFVAASGDQQSWRKEKPLTTEVAVLKEHVPSFDVTREPEVIDLLTPSPSYRVRPCTKKRRISKFSPEIIDLT >KJB74641 pep chromosome:Graimondii2_0_v6:12:34373:37748:-1 gene:B456_012G000400 transcript:KJB74641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGKIAPDGNEARKRSEKGDSKSLNAYFKQRKSRTEDFKCRDLEGENQKQIRESGNENKGKPGKGFFACYLLTSLSPRHKGHTYIGFTVNPLRRIRQHNGEIGSGAWRTKSRRPWEMVVCIYGFPTNVSALQFEWAWQHPRESEAVRQAAATFKSFSGVTNKIKLAYTMLTLPAWQSLNITINYFSTKYTNHSASCPSLPEQMKVKVSPMDELPCYTEPNEIEYKDDCDNLDEYDEVSDTCETVPETYPNEVVIVSADNLHSIIHEACHEQSEHIEEHGTRKPGESSNLGVDMSIAGKESLRGMRFKYAVEADEDPQPSVKEVKEKPSTTDEEYEKLGDTCTFGVYHRQPFDVICSPVRASSSVFTSLSNEETAEGTNVSIIEKECRIQKQFSAFVAASGDQQSWRKEKPLTTEVAVLKEHVPSFDVTREPEVIDLLTPSPSYRVRPCTKKRRISKFSPEIIDLT >KJB75015 pep chromosome:Graimondii2_0_v6:12:2495507:2497505:1 gene:B456_012G020400 transcript:KJB75015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTVVLKVGMSCQGCVGAVKRVLGKMEGVESYEVDLEQQKVTVKGNVQPDAVLQTVSKTGKKTAFWEAEALAETEAKPAETEVQPAETEANPAETKVKPAETEAKPTEPEAKPTEAVAAA >KJB75017 pep chromosome:Graimondii2_0_v6:12:2495766:2497215:1 gene:B456_012G020400 transcript:KJB75017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTVVLKVGMSCQGCVGAVKRVLGKMEGVESYEVDLEQQKVTVKGNVQPDAVLQTVSKTGKKTAFWEAEALAETEAKPAETEVQPAETEVKPAETEAKPTEPEAKPTEAVAAA >KJB75019 pep chromosome:Graimondii2_0_v6:12:2495587:2497445:1 gene:B456_012G020400 transcript:KJB75019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTVVLKVGMSCQGCVGAVKRVLGKMEGVESYEVDLEQQKVTVKGNVQPDAVLQTVSKTGKKTAFWEAEALAETEAKPAETEVQPPKLRRNQQNPRPSPRKLLLQLNLFPSLIH >KJB75016 pep chromosome:Graimondii2_0_v6:12:2495582:2497445:1 gene:B456_012G020400 transcript:KJB75016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTVVLKVGMSCQGCVGAVKRVLGKMEGVESYEVDLEQQKVTVKGNVQPDAVLQTVSKTGKKTAFWEAEALAETEAKPAETEVKPAETEAKPTEPEAKPTEAVAAA >KJB75018 pep chromosome:Graimondii2_0_v6:12:2495587:2497445:1 gene:B456_012G020400 transcript:KJB75018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTVVLKVGMSCQGCVGAVKRVLGKMEGVESYEVDLEQQKVTVKGNVQPDAVLQTVSKTGKKTAFWEAEALAETEVQPAETEANPAETKVKPAETEAKPTEPEAKPTEAVAAA >KJB75020 pep chromosome:Graimondii2_0_v6:12:2495785:2497445:1 gene:B456_012G020400 transcript:KJB75020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQGCVGAVKRVLGKMEGVESYEVDLEQQKVTVKGNVQPDAVLQTVSKTGKKTAFWEAEALAETEAKPAETEVQPAETEANPAETKVKPAETEAKPTEPEAKPTEAVAAA >KJB75788 pep chromosome:Graimondii2_0_v6:12:8095144:8097713:-1 gene:B456_012G058500 transcript:KJB75788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTATARAVVFSRITTLSAKPLPPSLSRFFRNRHNRPFSALTVSCLNSGGVCDDDYFVSTQKSNLDRGLLVIANMLKNIEPLDNSVVSKGVSYSAKESMKRTISTMLGILPSDHFSVSVSVSTPPLHRLLFSSIITGYTLWNAEYRVSLMRNLERAAPGEEVAGETEEAIRQRQGEVVEEKREERASQGDGFQEFEKIRSRVSGELSPEALKYIEKLQAELSDAVEELNVEKENVQIECEKENRNDLLEYLRSLDADMVTELSQPSSVQVQETIHQLVQNILQRPFKNELKRDSGIVNKGNNHQDVADETSGTVGTSRDYLAKLLFWCMLLGHHLRGLENRLQLSCVVGLL >KJB75789 pep chromosome:Graimondii2_0_v6:12:8095144:8097790:-1 gene:B456_012G058500 transcript:KJB75789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTATARAVVFSRITTLSAKPLPPSLSRFFRNRHNRPFSALTVSCLNSGGVCDDDYFVSTQKSNLDRGLLVIANMLKNIEPLDNSVVSKGVSYSAKESMKRTISTMLGILPSDHFSVSVSVSTPPLHRLLFSSIITGYTLWNAEYRVSLMRNLERAAPGEEVAGETEEAIRQRQGEVVEEKREERASQGDGFQEFEKIRSRVSGELSPEALKYIEKLQAELSDAVEELNVEKENVQIECEKENRNDLLEYLRSLDADMVTELSQPSSVQVQETIHQLVQNILQRPFKNELKRDSGIVNKGNNHQDVADETSGTVGTSRDYLAKLLFWCMLLGHHLRGLENRLQLSCVVGLL >KJB75787 pep chromosome:Graimondii2_0_v6:12:8095104:8097786:-1 gene:B456_012G058500 transcript:KJB75787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTATARAVVFSRITTLSAKPLPPSLSRFFRNRHNRPFSALTVSCLNSGGVCDDDYFVSTQKSNLDRGLLVIANMLKNIEPLDNSVVSKGVSYSAKESMKRTISTMLGILPSDHFSVSVSVSTPPLHRLLFSSIITGYTLWNAEYRVSLMRNLERAAPGEEVAGETEEAIRQRQGEVVEEKREERASQGDGFQEFEKIRSRVSGELSPEALKYIEKLQAELSDAVEELNVEKENVQIECEKENRNDLLEYLRSLDADMVTELSQPSSVQVQETIHQLVQNILQRPFKNELKRDSGIVNKGNNHQDVADETSGTVGTSRDYLAKLLFWCMLLGHHLRGLENRLQLSCVVGLL >KJB77421 pep chromosome:Graimondii2_0_v6:12:30913590:30919401:-1 gene:B456_012G136000 transcript:KJB77421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNPIDISSSDSELETEDVGDRKASSSRILPQWAATNGDTREYKKISNQAQFSNLNSSGVNNHSRTEVLTHDPDDNAKASSQHIALGNGSENFTMNGNIGQPRTVNSRISNGSGTDFEKLTSQQALKRTLPPSLQLSGQSSKSGNLVEIMSNSQIHDAYGNSNHLAGPSSSNSQSYMRDHYNWGNTDGAMYGNTSRVLPPSFMQGKSVNFSQFSGPENPVYRAGVSEERAPINDERMVYQAALEDLNQPKIEAELPDGLLSVSLFRHQKIALHWMLQRETRTRLCSGGILADDQGLGKTISMIALIQVQKSLESKSKPEDPKKREFVALNLDDDDDDDDDDNGTGGSDKVGQNRESDDTKSISKVSTSTSAFSRQRLPAGTLVVCPASVLRQWARELDDKVSEKSKLSVLIYHGGSRTKDPSELAKYDVVLTTYSLVTNEVPKQAIVDDDEIDEKIGEKYGLSSDFKRKKTANVGNKGKKGRKGIDGSLVDSSAGALARVHWLRVILDEAQTIKNHRTQVARACCGLRAKRRWCLSGTPIQNAVDDLYSYFRFLRHEPYDVYKSFCYGIKVPIARDYVKGYKKLQAVLKVVMLRRTKATLIDGEPIIKLPPKSIHMSKVEFSSEERAFYNQLEADSRSLFKEYAAAGTLNQNYANILLMLLRLRQACDHPLLVKGFNNDSIRNSDSVENSNPVGQVSVEMAKTLPREFLINLLNSLETSFAICLVCQDTPDEPVVTMCGHVFCYQCVSEHLTGDDNTCPAPECREQLGDDIVFSKATLRGCLAGDLNSSSSHPQFFGKSVILQDDYSSSKIQAVLEILQSKCLLKNSSPESPRSIGSSEAPFSSEQTFKETGHSGGRAVKHTTVYSNLPADGPMKAIIFSQWTGMLNLVEHSLRHHGINYRRLDGTMTLTARDRNVKHFNTDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITIKDTVEDRILSLQDEKRKMVASAFGEDQSGGSATRLTVEDLRYLFMGN >KJB77422 pep chromosome:Graimondii2_0_v6:12:30913182:30920696:-1 gene:B456_012G136000 transcript:KJB77422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNPIDISSSDSELETEDVGDRKASSSRILPQWAATNGTDFEKLTSQQALKRTLPPSLQLSGQSSKSGNLVEIMSNSQIHDAYGNSNHLAGPSSSNSQSYMRDHYNWGNTDGAMYGNTSRVLPPSFMQGKSVNFSQFSGPENPVYRAGVSEERAPINDERMVYQAALEDLNQPKIEAELPDGLLSVSLFRHQKIALHWMLQRETRTRLCSGGILADDQGLGKTISMIALIQVQKSLESKSKPEDPKKREFVALNLDDDDDDDDDDNGTGGSDKVGQNRESDDTKSISKVSTSTSAFSRQRLPAGTLVVCPASVLRQWARELDDKVSEKSKLSVLIYHGGSRTKDPSELAKYDVVLTTYSLVTNEVPKQAIVDDDEIDEKIGEKYGLSSDFKRKKTANVGNKGKKGRKGIDGSLVDSSAGALARVHWLRVILDEAQTIKNHRTQVARACCGLRAKRRWCLSGTPIQNAVDDLYSYFRFLRHEPYDVYKSFCYGIKVPIARDYVKGYKKLQAVLKVVMLRRTKATLIDGEPIIKLPPKSIHMSKVEFSSEERAFYNQLEADSRSLFKEYAAAGTLNQNYANILLMLLRLRQACDHPLLVKGFNNDSIRNSDSVENSNPVGQVSVEMAKTLPREFLINLLNSLETSFAICLVCQDTPDEPVVTMCGHVFCYQCVSEHLTGDDNTCPAPECREQLGDDIVFSKATLRGCLAGDLNSSSSHPQFFGKSVILQDDYSSSKIQAVLEILQSKCLLKNSSPESPRSIGSSEAPFSSEQTFKETGHSGGRAVKHTTVYSNLPADGPMKAIIFSQWTGMLNLVEHSLRHHGINYRRLDGTMTLTARDRNVKHFNTDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITIKDTVEDRILSLQDEKRKMVASAFGEDQSGGSATRLTVEDLRYLFMGN >KJB77423 pep chromosome:Graimondii2_0_v6:12:30913260:30920633:-1 gene:B456_012G136000 transcript:KJB77423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNPIDISSSDSELETEDVGDRKASSSRILPQWAATNGTNLRSPGDTREYKKISNQAQFSNLNSSGVNNHSRTEVLTHDPDDNAKASSQHIALGNGSENFTMNGNIGQPRTVNSRISNGSGTDFEKLTSQQALKRTLPPSLQLSGQSSKSGNLVEIMSNSQIHDAYGNSNHLAGPSSSNSQSYMRDHYNWGNTDGAMYGNTSRVLPPSFMQGKSVNFSQFSGPENPVYRAGVSEERAPINDERMVYQAALEDLNQPKIEAELPDGLLSVSLFRHQKIALHWMLQRETRTRLCSGGILADDQGLGKTISMIALIQVQKSLESKSKPEDPKKREFVALNLDDDDDDDDDDNGTGGSDKVGQNRESDDTKSISKVSTSTSAFSRQRLPAGTLVVCPASVLRQWARELDDKVSEKSKLSVLIYHGGSRTKDPSELAKYDVVLTTYSLVTNEVPKQAIVDDDEIDEKIGEKYGLSSDFKRKKTANVGNKGKKGRKGIDGSLVDSSAGALARVHWLRVILDEAQTIKNHRTQVARACCGLRAKRRWCLSGTPIQNAVDDLYSYFRFLRHEPYDVYKSFCYGIKVPIARDYVKGYKKLQAVLKVVMLRRTKATLIDGEPIIKLPPKSIHMSKVEFSSEERAFYNQLEADSRSLFKEYAAAGTLNQNYANILLMLLRLRQACDHPLLVKGFNNDSIRNSDSVENSNPVGQVSVEMAKTLPREFLINLLNSLETSFAICLVCQDTPDEPVVTMCGHVFCYQCVSEHLTGDDNTCPAPECREQLGDDIVFSKATLRGCLAGDLNSSSSHPQFFGKSVILQDDYSSSKIQAVLEILQSKCLLKNSSPESPRSIGSSEAPFSSEQTFKETGHSGGRAVKHTTVYSNLPADGPMKAIIFSQWTGMLNLVEHSLRHHGINYRRLDGTMTLTARDRNVKHFNTDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITIKDTVEDRILSLQDEKRKMVASAFGEDQSGGSATRLTVEDLRYLFMGN >KJB74734 pep chromosome:Graimondii2_0_v6:12:610863:613846:-1 gene:B456_012G005000 transcript:KJB74734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSTLTGIIGFGMGVPFGLLLGFYFFIYSKPKIVQEPITRRVSQLDNTALLNLLHDIPLWVKCPDYERVDWLNKIIADMWPYLNKAICASIRSMTEPMFAEYVGMFQIKTIAFENLSLGTIPPDIYGFKICETNENELVLEPAVRWAGNPNIVLVVKVLTFRITIQVVDMQILVAPRVTLKPLVPTIPCFANVSVSLLKRPEIDFGLNVLGGDLMSIPGVYHIIQRTIKMQIASLYLWPQSLEIPILDPSTVAINKPVGILHVKVVRAHKLLKMDFLGTSDPYVKLNLTGESLPAKKTSIKKRNLNPVWNEKFKLVVKDPESQVLQLEVFDWDKVGTHDRLGMQFVPLKLLTANETKEFKLDLLKHTFIADPYDKKPRGNIVIELTYAPFREESIKVDGIGDVLSRKESSLDRPSLNSSEVLSGAGLLSVTVQGAEDVEGLRHNNPYAVIRFRGDKKKTKKIKKTRHPLWNEEFQFMLEEPPLNDTIRIQVMSRRKGIGFHSKECLGKLDINLTDVVHNGRINTKYHLLNSKNGKIHVDIKWTIV >KJB77827 pep chromosome:Graimondii2_0_v6:12:33219555:33222787:1 gene:B456_012G159500 transcript:KJB77827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNPVSDDNLIQGDGSFQVHEGLNPQFYQFQDVMKEIGKSCDDNWNNFDEEGGGGCDGCCDGGCKGKGEFLWRRVKWTVQMVKLLINAVCYIDEDASSDCQGGIKRKPSMSHKIGKWTCVSKLMVERGYRVSPQQCEDKFNDLNKRYKRLNDVLGKGTSCKVVEKPEHLDVMDVSDKVKEEVKKILSSKNLFYEEMCLYHTGNRLYLPQDPKLQCSLQSRQYNGDNEFEKELHDAEPDDVFHKGNDVSLNGMEYGKSSAYGLQEQWMAFRLLELQKLKLQIQVQKLELEKKRFKWRRTNWNQDKDLDKTRLENECMKLANELLAFELGTRKGVVSETDVTKDLLGLFTVVNIVTSCFLKQYVMVFCFICFQAITNFEHKPVVSCVFCVTCMFCKPSAGGNMLQEWEYGCLGLQGSIQPQNEQQPCTSKLPSAFGSVENERREVTVIEDDVTNYAIQCMREHNEAGKNEDGPPWQRMKWTGKMVKLLITILSYIGEDPSTDCAGNQIKVSSLLRKLGKWKCVSKVMLERGYIVSPQQCEDKFNNLNKTYRRLNDLLGRGTSCKVVENPKLLDIINVSEKGKEDVRKLLMSKHLFFEEMCSYHNGNRLYLPHDPDLLQSLLFILKNEDDYELLDSNQPVPDKKAGVTAKDNEDFAEFSAKWLELISENGIAPSGSNQTLNAQGDATEYNGANSGFSAEISTLWFKPMNDNEVVGPTSSLKPSCFNQIPDTEDNEADGSQWMTRRAYQLEKQKLRLKSKVLDLEKQRLKWRRRSWKQDMELEKMRLVNKCLKHGNECIALQLKGKKIGS >KJB77903 pep chromosome:Graimondii2_0_v6:12:33579163:33580283:1 gene:B456_012G164700 transcript:KJB77903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIMDEVNLEARHVLRWKFRDHSRTLSGCRIDLAVTDGNERWQFECCRSEEGDNTYYIRCPAWSDFVRPRINARLTLYAKQANENFHRVKG >KJB74861 pep chromosome:Graimondii2_0_v6:12:1237267:1244710:-1 gene:B456_012G011100 transcript:KJB74861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMQQVVFPKSSANGFGRQRGDREVGARLENKMQLGKSNQGRIQTTGALAVGKTVGNESFSRDRLVYLTTCLIGHPVEVHVKSGSIYTGIFHATDAENDFGIILKMARLIKDGTLCGYKATTEFVSKAPSKILIIPDKELVQIIAKDVAVTRDGFASEPQNEKHQEILIDSAISQSRHVEVERELEPWVPDEDDLQCPELENIFDGPWNRNWDQFETNQKLFGVKSTFNEELYTTKLERGPQTRELEKEAMRIAREIEGEDTQDLHLAEERGVDLHDDFDIDEEMRYSSVYRGRGVDDSGYEEEEDILLDSHNIETFGDSYDSLSRSTDGVRMPSSSSLVDDAPSSQAAISEDLNCSRPNDQARQLASELPSKSFSVSDSESRIRDKLLGEHGGSSDGNEFPEKQSPSEDLQLSKSVDSGSLLNDKIDGSDKARRSANPTNSSSNALSKVSEKPNSSGKLSEGPASSKATGEMHSANSHGQPDSSTSSNSDYVGTVSASGGFGLSPSSSMGSLSSEKSTLNPHAKEFKLNPNAKSFTPSQTPVRPLSPVSDGSFYYQTQMSPLPHMHMPVSFGVSCLKVITIFMNTTLQARSAFVYHSKNS >KJB74857 pep chromosome:Graimondii2_0_v6:12:1235856:1244710:-1 gene:B456_012G011100 transcript:KJB74857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMQQVVFPKSSANGFGRQRGDREVGARLENKMQLGKSNQGRIQTTGALAVGKTVGNESFSRDRLVYLTTCLIGHPVEVHVKSGSIYTGIFHATDAENDFGIILKMARLIKDGTLCGYKATTEFVSKAPSKILIIPDKELVQIIAKDVAVTRDGFASEPQNEKHQEILIDSAISQSRHVEVERELEPWVPDEDDLQCPELENIFDGPWNRNWDQFETNQKLFGVKSTFNEELYTTKLERGPQTRELEKEAMRIAREIEGEDTQDLHLAEERGVDLHDDFDIDEEMRYSSVYRGRGVDDSGYEEEEDILLDSHNIETFGDSYDSLSRSTDGVRMPSSSSLVDDAPSSQAAISEDLNCSRPNDQARQLASELPSKSFSVSDSESRIRDKLLGEHGGSSDGNEFPEKQSPSEDLQLSKSVDSGSLLNDKIDGSDKARRSANPTNSSSNALSKVSEKPNSSGKLSEGPASSKATGEMHSANSHGQPDSSTSSNSDYVGTVSASGGFGLSPSSSMGSLSSEKSTLNPHAKEFKLNPNAKSFTPSQTPVRPLSPVSDGSFYYQTQMSPLPHMHMPVSFGIGPSFPGHQPVIFNPQVAPIQSPQAYFHPNGPQMVLGQRQVVYYQPEMQYKGRDY >KJB74859 pep chromosome:Graimondii2_0_v6:12:1235856:1244710:-1 gene:B456_012G011100 transcript:KJB74859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMQQVVFPKSSANGFGRQRGDREVGARLENKMQLGKSNQGRIQTTGALAVGKTVGNESFSRDRLVYLTTCLIGHPVEVHVKSGSIYTGIFHATDAENDFGIILKMARLIKDGTLCGYKATTEFVSKAPSKILIIPDKELVQIIAKDVAVTRDGFASEPQNEKHQEILIDSAISQSRHVEVERELEPWVPDEDDLQCPELENIFDGPWNRNWDQFETNQKLFGVKSTFNEELYTTKLERGPQTRELEKEAMRIAREIEGEDTQDLHLAEERGVDLHDDFDIDEEMRYSSVYRGRGVDDSGYEEEEDILLDSHNIETFGDSYDSLSRSTDGVRMPSSSSLVDDAPSSQAAISEDLNCSRPNDQARQLASELPSKSFSVSDSESRIRDKLLGEHGGSSDGNEFPEKQSVSEKPNSSGKLSEGPASSKATGEMHSANSHGQPDSSTSSNSDYVGTVSASGGFGLSPSSSMGSLSSEKSTLNPHAKEFKLNPNAKSFTPSQTPVRPLSPVSDGSFYYQTQMSPLPHMHMPVSFGIGPSFPGHQPVIFNPQVAPIQSPQAYFHPNGPQYGQQMVLGQRQVVYYQPEMQYKGRDY >KJB74860 pep chromosome:Graimondii2_0_v6:12:1236372:1241998:-1 gene:B456_012G011100 transcript:KJB74860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMQQVVFPKSSANGFGRQRGDREVGARLENKMQLGKSNQGRIQTTGALAVGKTVGNESFSRDRLVYLTTCLIGHPVEVHVKSGSIYTGIFHATDAENDFGIILKMARLIKDGTLCGYKATTEFVSKAPSKILIIPDKELVQIIAKDVAVTRDGFASEPQNEKHQEILIDSAISQSRHVEVERELEPWVPDEDDLQCPELENIFDGPWNRNWDQFETNQKLFGVKSTFNEELYTTKLERGPQTRELEKEAMRIAREIEGEDTQDLHLAEERGVDLHDDFDIDEEMRYSSVYRGRGVDDSGYEEEEDILLDSHNIETFGDSYDSLSRSTDGVRMPSSSSLVDDAPSSQAAISEDLNCSRPNDQARQLASELPSKSFSVSDSESRIRDKLLGEHGGSSDGNEFPEKQSPSEDLQLSKSVDSGSLLNDKIDGSDKARRSANPTNSSSNALSKVSEKPNSSGKLSEGPASSKATGEMHSANSHGQPDSSTSSNSDYVGTVSASGGFGLSPSSSMGSLSSEKSTLNPHAKEFKLNPNAKSFTPSQTPVRPLSPVSDGSFYYQTQMSPLPHMHMPVSFGIGPSFPGHQPVIFNPQVAPIQSPQAYFHPNGPQYGQQMVLGQRQVVYYQPEMQYKGRDY >KJB74858 pep chromosome:Graimondii2_0_v6:12:1235856:1244799:-1 gene:B456_012G011100 transcript:KJB74858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMQQVVFPKSSANGFGRQRGDREVGARLENKMQLGKSNQGRIQTTGALAVGKTVGNESFSRDRLVYLTTCLIGHPVEVHVKSGSIYTGIFHATDAENDFGIILKMARLIKDGTLCGYKATTEFVSKAPSKILIIPDKELVQIIAKDVAVTRDGFASEPQNEKHQEILIDSAISQSRHVEVERELEPWVPDEDDLQCPELENIFDGPWNRNWDQFETNQKLFGVKSTFNEELYTTKLERGPQTRELEKEAMRIAREIEGEDTQDLHLAEERGVDLHDDFDIDEEMRYSSVYRGRGVDDSGYEEEEDILLDSHNIETFGDSYDSLSRSTDGVRMPSSSSLVDDAPSSQAAISEDLNCSRPNDQARQLASELPSKSFSVSDSESRIRDKLLGEHGGSSDGNEFPEKQSPSEDLQLSKSVDSGSLLNDKIDGSDKARRSANPTNSSSNALSKVSEKPNSSGKLSEGPASSKATGEMHSANSHGQPDSSTSSNSDYVGTVSASGGFGLSPSSSMGSLSSEKSTLNPHAKEFKLNPNAKSFTPSQTPVRPLSPVSDGSFYYQTQMSPLPHMHMPVSFGIGPSFPGHQPVIFNPQVAPIQSPQAYFHPNGPQYGQQMVLGQRQVVYYQPDGIIN >KJB76425 pep chromosome:Graimondii2_0_v6:12:15148886:15151002:1 gene:B456_012G088300 transcript:KJB76425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLPKLRVATASAASSAVNPLRRWIRDIGSAAALELDYDYDCYDYERAPLDRRLPQQKLDVDGSVPERGVQWVLIGEPGVKRHSYAERLSKLLGIPHISMGSLIRQELDPYSSLYKQVVNAVNEGKLVPEDVVFALLSKRLEEGENGFILDGIPRTRLQAEILDQIVDIDLVVNFKCSEEYMLKMSSESELLHIGNSKGVESSLKKKLRVYSEQAKSVEDYYSKQKKLLNFQLAGATGDAWQGLLAALHLQYSPINAFSSSPKLTA >KJB76480 pep chromosome:Graimondii2_0_v6:12:15826153:15829478:-1 gene:B456_012G091500 transcript:KJB76480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPKGFLATLWNFICFLPYFFGLLLLGTIKGIVFCPLICLIITIVNSSIILGLLPFHGYWTCYSIVRTKLLGPFLKLFICVFLPVVLILWVVVGIVGSSIGGVLYGFLSPIFATFDAVGKGKTNVFVHCFYDGTLSTISGSFTVVRDFYDVCFHSYVSLMEDLRQKGPPNGKYYEIRFLYLPPALIAAVLGFIVDFPVISLIALCKSPYMLYKGWRRLFHDLIGREGPFLETICVPFAGLAILLWPLATVGAVLGSMVSSIFLGAYAGVIVYQESSFWFGLCYVIASLSIYDEYSNDVLDMPEGSCFARPKYRQNLKEPISNAGSLSESDSLKPHPPARMDSLTNTRIDLKPLELLEGLFKECRRHGEKMVSERLITSKDIEEAKLTKGSRVIGIGLPAYCLLQTLLRSVNNNRSGILLSDNTEITATNRPKDTFFDWFLNPFLILKEQIKSENLSEEEEDYLGKLVLLCGDPERLKISKIGSPPESDRKRAELDAIARRLQGITKSVSRYPTFRRLFENLVNILSEDLAKKSNGGTQPIRRSKSALAMIFSNKSFNRNTSNMSDQELQSTIARDIEIA >KJB76802 pep chromosome:Graimondii2_0_v6:12:24224342:24224841:-1 gene:B456_012G1080001 transcript:KJB76802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIEGNNANANDAIMLDKDGYVSETNATNIFLVKKGCVLTPHADYCLPGITRAT >KJB77000 pep chromosome:Graimondii2_0_v6:12:27235742:27236332:1 gene:B456_012G118600 transcript:KJB77000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWRGWLRFERSLAFPTKCILLKVTKHLRPKAEGKRQGLLGLYKDLEGCGEYEDIQVMWKMVQSSCPSTAHKTRRTKRPYSWRINFCFRPS >KJB77001 pep chromosome:Graimondii2_0_v6:12:27235372:27238136:1 gene:B456_012G118600 transcript:KJB77001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWRGWLRFERSLAFPTKCILLKVTKHLRPKAEGKRQGLLGLYKDLEGCGEYEDIQVMWKMVQSSCPSTAHKTRRTKRPYSWRINFCFRPS >KJB77974 pep chromosome:Graimondii2_0_v6:12:34019580:34023189:1 gene:B456_012G170400 transcript:KJB77974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNSKSNTCKNQCRPPGSEALPQGIVVKTSNLQMQPLWSDSVKNVNPATSSNLLAIAVGIKQKEIVDQIVKKFPISDFVVMLFHYDGIVDEWKDFEWSDRAIHVSAVNQTKWWFAKRFLHPDIVSKYKYIFLWDEDLGVDNFDPKQYLSIVEGEGLEISQPALDPVKSEVHHPITARRKNSKFHRRMYKFKGHGRCDSQSTAPPCIGWVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDMQLGYCAQGDRIKNVGVVDAQYIVHFGLPTLGVTEENELNSTEVKITQREQLPKSESPAPSESHKIDNRPEVRRQSFIEMQTFRKRWENAAKDDECWVDPYQQMS >KJB77973 pep chromosome:Graimondii2_0_v6:12:34019018:34023189:1 gene:B456_012G170400 transcript:KJB77973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFNCAYVVSDPKNRACFCSVFIVAALVCGAYFISNAFITNEYKDRLSRWEVINMLRNSKSNTCKNQCRPPGSEALPQGIVVKTSNLQMQPLWSDSVKNVNPATSSNLLAIAVGIKQKEIVDQIVKKFPISDFVVMLFHYDGIVDEWKDFEWSDRAIHVSAVNQTKWWFAKRFLHPDIVSKYKYIFLWDEDLGVDNFDPKQYLSIVEGEGLEISQPALDPVKSEVHHPITARRKNSKFHRRMYKFKGHGRCDSQSTAPPCIGWVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDMQLGYCAQGDRIKNVGVVDAQYIVHFGLPTLGVTEENELNSTEVKITQREQLPKSESPAPSESHKIDNRPEVRRQSFIEMQTFRKRWENAAKDDECWVDPYQQMS >KJB75380 pep chromosome:Graimondii2_0_v6:12:4865630:4868235:-1 gene:B456_012G039200 transcript:KJB75380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKSYQNPAELLVKEYLLADSFIPYTSIICGICACKMVYDLTQLFSSVYFKSYPSLPKIQRTEWSNRSISTFHAMFITAMSLYFVFWSNLYSDNQYAGMVTFRSSALSTFSLGASVGYFLADLGMIIWFYPSLGGMEYVLHHLLSLAAVAYSMLTGEGQLYTFMVLISETTTPGINLRW >KJB75376 pep chromosome:Graimondii2_0_v6:12:4864170:4870435:-1 gene:B456_012G039200 transcript:KJB75376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKSYQNPAELLVKEYLLADSFIPYTSIICGICACKMVYDLTQLFSSVYFKSYPSLPKIQRTEWSNRSISTFHAMFITAMSLYFVFWSNLYSDNQYAGMVTFRSSALSTFSLGASVGYFLADLGMIIWFYPSLGGMEYVLHHLLSLAAVAYSMLTGEGQLYTFMVLISETTTPGINLRWYLDTVGMKRSKAYLVNGVVIFVAWFVKQMHSYGLLLIFVVPSVLSVMNLFWFGKIIRGLRKTLAKRQ >KJB75377 pep chromosome:Graimondii2_0_v6:12:4864170:4870604:-1 gene:B456_012G039200 transcript:KJB75377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKSYQNPAELLVKEYLLADSFIPYTSIICGICACKMVYDLTQLFSSVYFKSYPSLPKIQRTEWSNRSISTFHAMFITAMSLYFVFWSNLYSDNQYAGMVTFRSSALSTFSLGASVGYFLADLGMIIWFYPSLGGMEYVLHHLLSLAAVAYSMLTGEGQLYTFMVLISETTTPGINLRWYLDTVGMKRSKAYLVNGVVIFVAWFVARVLLFMYLFYHIYLHYDQVKQMHSYGLLLIFVVPSVLSVMNLFWFGKIIRGLRKTLAKRQ >KJB75382 pep chromosome:Graimondii2_0_v6:12:4864682:4870426:-1 gene:B456_012G039200 transcript:KJB75382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKSYQNPAELLVKEYLLADSFIPYTSIICGICACKMVYDLTQLFSSVYFKSYPSLPKIQRTEWSNRSISTFHAMFITAMSLYFVFWSNLYSDNQYAGMVTFRSSALSTFSLGASVGYFLADLGMIIWFYPSLGGMEYVLHHLLSLAAVAYSMLTGEGQLYTFMVLISETTTPGINLRWYLDTVGMKRSKAYLVNGVVIFVAWFVARVLLFMYLFYHIYLHYDQVNCCCKTLPAVIPRRACLLFTSLFAS >KJB75379 pep chromosome:Graimondii2_0_v6:12:4864211:4870426:-1 gene:B456_012G039200 transcript:KJB75379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKSYQNPAELLVKEYLLADSFIPYTSIICGICACKMVYDLTQLFSSVYFKSYPSLPKIQRTEWSNRSISTFHAMFITAMSLYFVFWSNLYSDNQYAGMVTFRSSALSTFSLGASVGYFLADLGMIIWFYPSLGGMEYVLHHLLSLAAVAYSMLTGEGQLYTFMVLISETTTPGINLRWYLDTVGMKRSKAYLVNGVVIFVAW >KJB75381 pep chromosome:Graimondii2_0_v6:12:4865413:4868235:-1 gene:B456_012G039200 transcript:KJB75381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKSYQNPAELLVKEYLLADSFIPYTSIICGICACKMVYDLTQLFSSVYFKSYPSLPKIQRTEWSNRSISTFHAMFITAMSLYFVFWSNLYSDNQYAGMVTFRSSALSTFSLGASVGYFLADLGMIIWFYPSLGGMEYVLHHLLSLAAVAYSMLTGEGQLYTFMVLISETTTPGINLRWYLDTVGMKRSKAYLVNGVVIFVAWFVSEISLLLLDFFLFC >KJB75378 pep chromosome:Graimondii2_0_v6:12:4864623:4868235:-1 gene:B456_012G039200 transcript:KJB75378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKSYQNPAELLVKEYLLADSFIPYTSIICGICACKMVYDLTQLFSSVYFKSYPSLPKIQRTEWSNRSISTFHAMFITAMSLYFVFWSNLYSDNQYAGMVTFRSSALSTFSLGASVGYFLADLGMIIWFYPSLGGMEYVLHHLLSLAAVAYSMLTGEGQLYTFMVLISETTTPGINLRWYLDTVGMKRSKAYLVNGVVIFVAWLLEYSCLCIYFITSTCTMIR >KJB75396 pep chromosome:Graimondii2_0_v6:12:4926940:4933406:-1 gene:B456_012G039700 transcript:KJB75396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASHSSSSSIYGSGLHQNLFRRSPLVSAMPLNSRLSFPTTLVANPLPPKLYFSTKHAILRVGQSPRLLKIDGNNPLVGVISMGMLAPRKFFKKRKKVEHFKDAADEAKQKSWRRLMQEIEDTGSASTVLRRQRTNDQSLSKDLVLGTLVRFKQMKKWHYVSEILEWLRDQSWWDFSEMDFLMLITAYGKQGDFNKAEKILSFMNKKCFVPSVVSHTALMEAYGKGGRYNNAEAIFRRMQSSGPEPSAVTYQIILKILVEGNKFKEAEEVFETLLDEEKSPLKPDQKMFHMMIYMYKKAGSYEKARKLFALMAERGVKQSTVTYNSLMSFETNYKEVSKIYDQMQRAGLHPDVVSYALLINAYGKARREEEALAVFEEMLDAGIRPTRKSYNILLDAFAISGMVEQARTVFKSMRRDRYTPDICSYTTMLSAYVNASDMEGAEKFFTRLKRDGLKPNIVTYGTLMKGYAKVNSLEKMMETYEEMRLSSIKANQTIFTTLMDAYGKNRDFGSAVVWYKEMGSYGVPPDQKAKNILLSLATTADEQKEAKQLVGCMETKVNGFSRLLDEEDYNDDIEEAILYEKMGRL >KJB75395 pep chromosome:Graimondii2_0_v6:12:4926940:4933222:-1 gene:B456_012G039700 transcript:KJB75395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASHSSSSSIYGSGLHQNLFRRSPLVSAMPLNSRLSFPTTLVANPLPPKLYFSTKHAILRVGQSPRLLKIDGNNPLVGVISMGMLAPRKFFKKRKKVEHFKDAADEAKQKSWRRLMQEIEDTGSASTVLRRQRTNDQSLSKDLVLGTLVRFKQMKKWHYVSEILEWLRDQSWWDFSEMDFLMLITAYGKQGDFNKAEKILSFMNKKCFVPSVVSHTALMEAYGKGGRYNNAEAIFRRMQSSGPEPSAVTYQIILKILVEGNKFKEAEEVFETLLDEEKSPLKPDQKMFHMMIYMYKKAGSYEKARKLFALMAERGVKQSTVTYNSLMSFETNYKEVSKIYDQMQRAGLHPDVVSYALLINAYGKARREEEALAVFEEMLDAGIRYTPDICSYTTMLSAYVNASDMEGAEKFFTRLKRDGLKPNIVTYGTLMKGYAKVNSLEKMMETYEEMRLSSIKANQTIFTTLMDAYGKNRDFGSAVVWYKEMGSYGVPPDQKAKNILLSLATTADEQKEAKQLVGCMETKVNGFSRLLDEEDYNDDIEEAILYEKMGRL >KJB75397 pep chromosome:Graimondii2_0_v6:12:4928640:4933222:-1 gene:B456_012G039700 transcript:KJB75397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASHSSSSSIYGSGLHQNLFRRSPLVSAMPLNSRLSFPTTLVANPLPPKLYFSTKHAILRVGQSPRLLKIDGNNPLVGVISMGMLAPRKFFKKRKKVEHFKDAADEAKQKSWRRLMQEIEDTGSASTVLRRQRTNDQSLSKDLVLGTLVRFKQMKKWHYVSEILEWLRDQSWWDFSEMDFLMLITAYGKQGDFNKAEKILSFMNKKCFVPSVVSHTALMEAYGKGGRYNNAEAIFRRMQSSGPEPSAVTYQIILKILVEGNKFKEAEEVFETLLDEEKSPLKPDQKMFHMMIYMYKKAGSYEKARKLFALMAERGVKQSTVTYNSLMSFETNYKEVSKIYDQMQRAGLHPDVVSYALLINAYGKARREEEALAVFEEMLDAGIRCVSSTCIFNLKPQWLEINAVS >KJB75890 pep chromosome:Graimondii2_0_v6:12:8897154:8899987:-1 gene:B456_012G063100 transcript:KJB75890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate/phosphate translocator 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01550) UniProtKB/Swiss-Prot;Acc:Q8H0T6] MILIMWASNIYHRPKLTRSQLAVIIPLAIVHTLGNLLTNVSIGRVNVSFTHTIKAMEPFFTVLFSVLFLGEWPTFWIVFSLIPVVGGVALASFTESSFNWIGFCSAMASNVTNQSRNVLSKKFMVKNEETLDNINLFSIITIISFMLLVPAAILLEGVKFSPSYLQSAANQGLNIRELCIRSLLAGFCLHSYQQVSYMILQMVSPVTHSVGNCVKRVVVIIASVIFFQTPVSPINSLGTTLALTGVFLYSKAKQLKPKPKVAS >KJB75888 pep chromosome:Graimondii2_0_v6:12:8897420:8899911:-1 gene:B456_012G063100 transcript:KJB75888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate/phosphate translocator 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01550) UniProtKB/Swiss-Prot;Acc:Q8H0T6] MQSTALTLSPLTPLLRPLNKNPNHRYGSLWNLSSLKPLVLLRSINGLSFQKASHLSSCSRFNARRINEPFLISDGRCDGFKVRATPVPGNVGDTNDSSGLATSMQLGAMFAIWYILNIYFNIFNKQVLKVYTFPATVTAFHFGCGTLMILIMWASNIYHRPKLTRSQLAVIIPLAIVHTLGNLLTNVSIGRVNVSFTHTIKAMEPFFTVLFSVLFLGEWPTFWIVFSLIPVVGGVALASFTESSFNWIGFCSAMASNVTNQSRNVLSKKFMVKNEETLDNINLFSIITIISFMLLVPAAILLEGVKFSPSYLQSAANQGLNIRELCIRSLLAGFCLHSYQQVSYMILQMVSPVTHSVGNCVKRVVVIIASVIFFQTPVSPINSLGTTLALTGVFLYSKAKQLKPKPKVAS >KJB75889 pep chromosome:Graimondii2_0_v6:12:8897092:8900137:-1 gene:B456_012G063100 transcript:KJB75889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate/phosphate translocator 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01550) UniProtKB/Swiss-Prot;Acc:Q8H0T6] MQSTALTLSPLTPLLRPLNKNPNHRYGSLWNLSSLKPLVLLRSINGLSFQKASHLSSCSRFNARRINEPFLISDGRCDGFKVRATPVPGNVGDTNDSSGLATSMQLGAMFAIWYILNIYFNIFNKQVLKVYTFPATVTAFHFGCGTLMILIMWASNIYHRPKLTRSQLAVIIPLAIVHTLGNLLTNVSIGRVNVSFTHTIKAMEPFFTVLFSVLFLGEWPTFWIVFSLIPVVGGVALASFTESSFNWIGFCSAMASNVTNQSRNVLSKKFMVKNEETLDNINLFSIITIISFMLLVPAAILLEGVKFSPSYLQSAANQGLNIRELCIRSLLAGFCLHSYQQVSYMILQMVSPVTHSVGNCVKRVVVIIASVIFFQTPVSPINSLGTTLALTGVFLYSKAKQLKPKPKGGETLKVAE >KJB75891 pep chromosome:Graimondii2_0_v6:12:8897154:8899987:-1 gene:B456_012G063100 transcript:KJB75891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate/phosphate translocator 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01550) UniProtKB/Swiss-Prot;Acc:Q8H0T6] MQSTALTLSPLTPLLRPLNKNPNHRYGSLWNLSSLKPLVLLRSINGLSFQKASHLSSCSRFNARRINEPFLISDGRCDGFKVRATPVPGNVGDTNDSSGLATSMQLGAMFAIWYILNIYFNIFNKQVLKVYTFPATVTAFHFGCGTLMILIMWASNIYHRPKLTRSQWPTFWIVFSLIPVVGGVALASFTESSFNWIGFCSAMASNVTNQSRNVLSKKFMVKNEETLDNINLFSIITIISFMLLVPAAILLEGVKFSPSYLQSAANQGLNIRELCIRSLLAGFCLHSYQQVSYMILQMVSPVTHSVGNCVKRVVVIIASVIFFQTPVSPINSLGTTLALTGVFLYSKAKQLKPKPKVAS >KJB74722 pep chromosome:Graimondii2_0_v6:12:13557179:13557938:-1 gene:B456_012G082400 transcript:KJB74722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQFRAYIGTILVIVANLMVVGWGQSQRQRQSSSSCLNQIAPCLNYLNGNDADVPDICCDPLKSVIKSQPECLCSMISNKGSRQAEQAGINVTQAQELPGRCGQHVNPLVCLPGSPNSAENSAFLLFPSHSIIICMAVQILFLAKI >KJB78308 pep chromosome:Graimondii2_0_v6:12:31499254:31499712:1 gene:B456_012G141400 transcript:KJB78308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIAKGPDTTTWIWNLHVNAHDFDSHTNYLEEISRKIFSVHFGQLSIIFLRLSGMYFHDARFSNYEAWLSGPTHIGPSAHVVWPIVGQEILNGDMHGGFRGIQITFVFFQICELQLYCTAIGALVFVALMLFSCWFHYHKAAPKLA >KJB77904 pep chromosome:Graimondii2_0_v6:12:33582722:33583006:-1 gene:B456_012G164800 transcript:KJB77904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIDAVNLVANHVHRWMLRDGSGTLPAGRIVLTVTEDENQWWQFECRSEGDRHCITGNEWSRFVQPRINAMLTLYAQQDGENFHRMKVIMRRN >KJB77071 pep chromosome:Graimondii2_0_v6:12:27381350:27382665:-1 gene:B456_012G119300 transcript:KJB77071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNFTGEKNVFFCGVFDGHGPSGHKVARHVCDALPLKLSTMHQPRPSAKKEGDKDSSHKHWEASLIRTFQELDEDLSMEDSLDSYCSGTTAVTIVKQDQHLIISNLGDSRAILGTRDNRNQIIPVQLTVDLKPSLPSESERIQKNGGRVFAMEEEPNVPRVWMPDQDCPGLAMSRAFGDFCLKDHGLISTPQVSYRRLTPKDEFVVLATDGVWDVLSNNEVVQIVASVKRPSIAAKVLVYYAVQAWRTKYPGSKVDDCAVVCLFLKKRPPVSGTLSDISQQTASHVNEVDPKGKKTEEGETVINCDIVVDPKALEEVNRVNGNTIKQRSRLGSLSRDKITTDFGGQTQS >KJB77072 pep chromosome:Graimondii2_0_v6:12:27381365:27382744:-1 gene:B456_012G119300 transcript:KJB77072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQDQHLIISNLGDSRAILGTRDNRNQIIPVQLTVDLKPSLPSESERIQKNGGRVFAMEEEPNVPRVWMPDQDCPGLAMSRAFGDFCLKDHGLISTPQVSYRRLTPKDEFVVLATDGVWDVLSNNEVVQIVASVKRPSIAAKVLVYYAVQAWRTKYPGSKVDDCAVVCLFLKKRPPVSGTLSDISQQTASHVNEVDPKGKKTEEGETVINCDIVVDPKALEEVNRVNGNTIKQRSRLGSLSRDKITTDFGGQTQS >KJB77070 pep chromosome:Graimondii2_0_v6:12:27381349:27383578:-1 gene:B456_012G119300 transcript:KJB77070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACCSTQFKYKGRRYEKEGSDEKEDEGHHRDHNINSDDNSANVTRIGHAGAIVRLQGSSSLTSMYTRKGKKGINQDAMIVWENFTGEKNVFFCGVFDGHGPSGHKVARHVCDALPLKLSTMHQPRPSAKKEGDKDSSHKHWEASLIRTFQELDEDLSMEDSLDSYCSGTTAVTIVKQDQHLIISNLGDSRAILGTRDNRNQIIPVQLTVDLKPSLPSESERIQKNGGRVFAMEEEPNVPRVWMPDQDCPGLAMSRAFGDFCLKDHGLISTPQVSYRRLTPKDEFVVLATDGVWDVLSNNEVVQIVASVKRPSIAAKVLVYYAVQAWRTKYPGSKVDDCAVVCLFLKKRPPVSGTLSDISQQTASHVNEVDPKGKKTEEGETVINCDIVVDPKALEEVNRVNGNTIKQRSRLGSLSRDKITTDFGGQTQS >KJB76152 pep chromosome:Graimondii2_0_v6:12:11500531:11501428:-1 gene:B456_012G075500 transcript:KJB76152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLGRANSKTPLLGHSCEKGTIKHPFPMKYFLKPWKLGRWFYQVVKFGIVQYMIIKILTALLAVILEAFGMYCEGEFNWECG >KJB76151 pep chromosome:Graimondii2_0_v6:12:11500531:11501440:-1 gene:B456_012G075500 transcript:KJB76151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLGRANSKTPLLGHSCEKGTIKHPFPMKYFLKPWKLGRWFYQVVKFGIVQYMIIKILTALLAVILEAFGMYCEGEFNWECG >KJB75265 pep chromosome:Graimondii2_0_v6:12:4217851:4222015:1 gene:B456_012G034600 transcript:KJB75265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEMPKGLPFSVDTFSPSSKRKRHHFLTHAHKDHTSGISSPFSYPIYSTHLTKSLVLLNYPQLDDSLFVGIEVGESIVINDPNGEFQVTAFDSNHCPGAVMFLFEGSFGNILHTGDCRLTPECLQNLPEKYIGRKGKEPQCRFDYVFLDCTFGRFSRNLPSKHSAIHQVINCIWKHPNAPVVYLTCDLLGQEEILTSVSLTFGSKIYVDKAKNADYFQSLTIIAPEILSEDPTSRFQVFDGFPKLSERATIMFAEAQANFQHEPVIIRPSAMWYVCEEERLEMDSRRKIRFNEAIKDQFGIWHVCYSMHSSREELEWALKLLAPKRVVSTTPSCRAMELDYVRKHCFDSYINSDDSLWKLLDIDMEPCPQVNSPVKTVACSPMVEVSTLTCVESELRPINVSSCKKRLLSLSPPSKRPPVTLFGRARLSLHDPDFPPEEKCISTRDNPLCVVNEMKQLVIVHNTNEDSENRLQNELMAEGSALQCKKLVTTETVEERLENKLEAVQTVMQSEERRWDTYHEYRYENKQADEITLQCENLTRKETNKCSYSIGYSKGYSDNFRKLYRSMNAPVPKPLPSLVVLRNAIKRSRGN >KJB75263 pep chromosome:Graimondii2_0_v6:12:4217851:4222015:1 gene:B456_012G034600 transcript:KJB75263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFEGSFGNILHTGDCRLTPECLQNLPEKYIGRKGKEPQCRFDYVFLDCTFGRFSRNLPSKHSAIHQVINCIWKHPNAPVVYLTCDLLGQEEILTSVSLTFGSKIYVDKAKNADYFQSLTIIAPEILSEDPTSRFQVFDGFPKLSERATIMFAEAQANFQHEPVIIRPSAMWYVCEEERLEMDSRRKIRFNEAIKDQFGIWHVCYSMHSSREELEWALKLLAPKRVVSTTPSCRAMELDYVRKHCFDSYINSDDSLWKLLDIDMEPCPQVNSPVKTVACSPMVEVSTLTCVESELRPINVSSCKKRLLSLSPPSKRPPVTLFGRARLSLHDPDFPPEEKCISTRDNPLCVVNEMKQLVIVHNTNEDSENRLQNELMAEGSALQCKKLVTTETVEERLENKLEAVQTVMQSEERRWDTYHEYRYENKQADEITLQCENLTRKETNKCSYSIGYSKGYSDNFRKLYRSMNAPVPKPLPSLVVLRNAIKRSRGN >KJB75264 pep chromosome:Graimondii2_0_v6:12:4217762:4222694:1 gene:B456_012G034600 transcript:KJB75264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFEGSFGNILHTGDCRLTPECLQNLPEKYIGRKGKEPQCRFDYVFLDCTFGRFSRNLPSKHSAIHQVINCIWKHPNAPVVYLTCDLLGQEEILTSVSLTFGSKIYVDKAKNADYFQSLTIIAPEILSEDPTSRFQVFDGFPKLSERATIMFAEAQANFQHEPVIIRPSAMWYVCEEERLEMDSRRKIRFNEAIKDQFGIWHVCYSMHSSREELEWALKLLAPKRVVSTTPSCRAMELDYVRKHCFDSYINSDDSLWKLLDIDMEPCPQVNSPVKTVACSPMVEVSTLTCVESELRPINVSSCKKRLLSLSPPSKRPPVTLFGRARLSLHDPDFPPEEKCISTRDNPLCVVNEMKQLVIVHNTNEDSENRLQNELMAEGSALQCKKLVTTETVEERLENKLEAVQTVMQSEERRWDTYHEYRYENKQADEITLQCENLTRKETNKCSYSIGYSKGYSDNFRKLYRSMNAPVPKPLPSLVVLRNAIKRSRGN >KJB75266 pep chromosome:Graimondii2_0_v6:12:4219107:4222694:1 gene:B456_012G034600 transcript:KJB75266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNIGAVMFLFEGSFGNILHTGDCRLTPECLQNLPEKYIGRKGKEPQCRFDYVFLDCTFGRFSRNLPSKHSAIHQVINCIWKHPNAPVVYLTCDLLGQEEILTSVSLTFGSKIYVDKAKNADYFQSLTIIAPEILSEDPTSRFQVFDGFPKLSERATIMFAEAQANFQHEPVIIRPSAMWYVCEEERLEMDSRRKIRFNEAIKDQFGIWHVCYSMHSSREELEWALKLLAPKRVVSTTPSCRAMELDYVRKHCFDSYINSDDSLWKLLDIDMEPCPQVNSPVKTVACSPMVEVSTLTCVESELRPINVSSCKKRLLSLSPPSKRPPVTLFGRARLSLHDPDFPPEEKCISTRDNPLCVVNEMKQLVIVHNTNEDSENRLQNELMAEGSALQCKKLVTTETVEERLENKLEAVQTVMQSEERRWDTYHEYRYENKQADEITLQCENLTRKETNKCSYSIGYSKGYSDNFRKLYRSMNAPVPKPLPSLVVLRNAIKRSRGN >KJB76189 pep chromosome:Graimondii2_0_v6:12:12025097:12028024:1 gene:B456_012G076900 transcript:KJB76189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQTFGEILFSPGPECFKEFPCPESLKRRIIISTKPPKEYLEAKEVKDKEDDSQRGKASDEEAWGNEVPDLKGSHVANYKNDLDEEDEEDTDDGDKSQHSLAPEYKHLIGILARKPKGGLDAWLRVDPDKVTRLSMSEQKFEKAVVTHGKQIVRFTRRNVLRVYPKSTRFDSSNYNPLIGWMHGVQMVAFNMQGHGRSLWLMHGMFKANGGCGYVKKPDFLLNAEEVFDPKIKLPVKTTLKVTVYMGEGWYYDFHHTHFDAYSPPDFYSRVGIAGVPADSVMKKQRF >KJB76188 pep chromosome:Graimondii2_0_v6:12:12024311:12027224:1 gene:B456_012G076900 transcript:KJB76188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTYRVCFCFQRRFRLAVSEAPEDIKKLFEQYSENGIMTIDGLQRFLVEVQKEDKATREDAQKIIDSVKHFHRKGVNLEAFFKYLFGDINPPLASLGVHHDMSAPLSHYFIYTGHNSYLTGNQLSSECSDVPIINALKRGVRVIELDIWPNSTKDDVDVLHGGTLTTPVELIKCLRSIKEYAFVASEYPVVITLEDHLTPNLQAKVAEMVTQTFGEILFSPGPECFKEFPCPESLKRRIIISTKPPKEYLEAKEVKDKEDDSQRGKASDEEAWGNEVPDLKGSHVANYKNDLDEEDEEDTDDGDKSQHSLAPEYKHLIGILARKPKGGLDAWLRVDPDKVTRLSMSEQKFEKAVVTHGKQIVRFTRRNVLRVYPKSTRFDSSNYNPLIGWMHGVQMVAFNMQGHGRSLWLMHGMFKANGGCGYVKKPDFLLNAEEVFDPKIKLPVKTTLKVSVRSLSDR >KJB76190 pep chromosome:Graimondii2_0_v6:12:12024311:12028024:1 gene:B456_012G076900 transcript:KJB76190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTYRVCFCFQRRFRLAVSEAPEDIKKLFEQYSENGIMTIDGLQRFLVEVQKEDKATREDAQKIIDSVKHFHRKGVNLEAFFKYLFGDINPPLASLGVHHDMSAPLSHYFIYTGHNSYLTGNQLSSECSDVPIINALKRGVRVIELDIWPNSTKDDVDVLHGGTLTTPVELIKCLRSIKEYAFVASEYPVVITLEDHLTPNLQAKVAEMVTQTFGEILFSPGPECFKEFPCPESLKRRIIISTKPPKEYLEAKEVKDKEDDSQRGKASDEEAWGNEVPDLKGSHVANYKNDLDEEDEEDTDDGDKSQHSLAPEYKHLIGILARKPKGGLDAWLRVDPDKVTRLSMSEQKFEKAVVTHGKQIVRFTRRNVLRVYPKSTRFDSSNYNPLIGWMHGVQMVAFNMQGHGRSLWLMHGMFKANGGCGYVKKPDFLLNAEEVFDPKIKLPVKTTLKVTVYMGEGWYYDFHHTHFDAYSPPDFYSRVSRYTVIQASFIFLDSPYGTRFNVTMEIFTRKI >KJB76191 pep chromosome:Graimondii2_0_v6:12:12024799:12028024:1 gene:B456_012G076900 transcript:KJB76191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPLSHYFIYTGHNSYLTGNQLSSECSDVPIINALKRGVRVIELDIWPNSTKDDVDVLHGGTLTTPVELIKCLRSIKEYAFVASEYPVVITLEDHLTPNLQAKVAEMVTQTFGEILFSPGPECFKEFPCPESLKRRIIISTKPPKEYLEAKEVKDKEDDSQRGKASDEEAWGNEVPDLKGSHVANYKNDLDEEDEEDTDDGDKSQHSLAPEYKHLIGILARKPKGGLDAWLRVDPDKVTRLSMSEQKFEKAVVTHGKQIVRFTRRNVLRVYPKSTRFDSSNYNPLIGWMHGVQMVAFNMQGHGRSLWLMHGMFKANGGCGYVKKPDFLLNAEEVFDPKIKLPVKTTLKVTVYMGEGWYYDFHHTHFDAYSPPDFYSRVGIAGVPADSVMKKQRF >KJB76193 pep chromosome:Graimondii2_0_v6:12:12024311:12028024:1 gene:B456_012G076900 transcript:KJB76193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPLSHYFIYTGHNSYLTGNQLSSECSDVPIINALKRGVRVIELDIWPNSTKDDVDVLHGGTLTTPVELIKCLRSIKEYAFVASEYPVVITLEDHLTPNLQAKVAEMVTQTFGEILFSPGPECFKEFPCPESLKRRIIISTKPPKEYLEAKEVKDKEDDSQRGKASDEEAWGNEVPDLKGSHVANYKNDLDEEDEEDTDDGDKSQHSLAPEYKHLIGILARKPKGGLDAWLRVDPDKVTRLSMSEQKFEKAVVTHGKQIVRFTRRNVLRVYPKSTRFDSSNYNPLIGWMHGVQMVAFNMQGHGRSLWLMHGMFKANGGCGYVKKPDFLLNAEEVFDPKIKLPVKTTLKVTVYMGEGWYYDFHHTHFDAYSPPDFYSRVGIAGVPADSVMKKQRF >KJB76187 pep chromosome:Graimondii2_0_v6:12:12024234:12028102:1 gene:B456_012G076900 transcript:KJB76187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTYRVCFCFQRRFRLAVSEAPEDIKKLFEQYSENGIMTIDGLQRFLVEVQKEDKATREDAQKIIDSVKHFHRKGVNLEAFFKYLFGDINPPLASLGVHHDMSAPLSHYFIYTGHNSYLTGNQLSSECSDVPIINALKRGVRVIELDIWPNSTKDDVDVLHGGTLTTPVELIKCLRSIKEYAFVASEYPVVITLEDHLTPNLQAKVAEMVTQTFGEILFSPGPECFKEFPCPESLKRRIIISTKPPKEYLEAKEVKDKEDDSQRGKASDEEAWGNEVPDLKGSHVANYKNDLDEEDEEDTDDGDKSQHSLAPEYKHLIGILARKPKGGLDAWLRVDPDKVTRLSMSEQKFEKAVVTHGKQIVRFTRRNVLRVYPKSTRFDSSNYNPLIGWMHGVQMVAFNMQGHGRSLWLMHGMFKANGGCGYVKKPDFLLNAEEVFDPKIKLPVKTTLKVTVYMGEGWYYDFHHTHFDAYSPPDFYSRVGIAGVPADSVMKKQRF >KJB76192 pep chromosome:Graimondii2_0_v6:12:12024732:12028024:1 gene:B456_012G076900 transcript:KJB76192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPLSHYFIYTGHNSYLTGNQLSSECSDVPIINALKRGVRVIELDIWPNSTKDDVDVLHGGTLTTPVELIKCLRSIKEYAFVASEYPVVITLEDHLTPNLQAKVAEMVTQTFGEILFSPGPECFKEFPCPESLKRRIIISTKPPKEYLEAKEVKDKEDDSQRGKASDEEAWGNEVPDLKGSHVANYKNDLDEEDEEDTDDGDKSQHSLAPEYKHLIGILARKPKGGLDAWLRVDPDKVTRLSMSEQKFEKAVVTHGKQIVRFTRRNVLRVYPKSTRFDSSNYNPLIGWMHGVQMVAFNMQGHGRSLWLMHGMFKANGGCGYVKKPDFLLNAEEVFDPKIKLPVKTTLKVTVYMGEGWYYDFHHTHFDAYSPPDFYSRVGIAGVPADSVMKKQRF >KJB76126 pep chromosome:Graimondii2_0_v6:12:10776198:10777344:-1 gene:B456_012G073200 transcript:KJB76126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSKFVAAHSALVLFALAFLVLVVEALKLTVGAAWATPESNVGCINPIAWPIANESGRKEKSKFICQVIHVLLKISFT >KJB75135 pep chromosome:Graimondii2_0_v6:12:3268951:3281221:1 gene:B456_012G026300 transcript:KJB75135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVNRERRYILQVLFNGLRRLEYRGYDSAGISIDDYFSVSRNPNTPQPSSINSLSSSPLVFRQEGNIESLVKSVYQEVAATELNLEESFTTHAGIAHTRWATHGVPAPRNSHPQTSGSGNDFLVVHNGVITNYEVLKETLVRHGFTFESETDTEVIPKLAKFVFDKANEEGDQTVTFNQVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKELNDDVCNGSAFHDAKFLSKNGNPKELFLSSDANAIIEHTKKVLVIEDGEVVHLKEGGVSILKFNNDKGRNGDSLSARPASVQRALSILEMEVEQINKGKYKHYMQKEIHEQPESLTTTMRGRLLRGGSCKAKTVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARPILEELSGVPVTMEIASDLLDRQGPIYREDTAVFVSQSGETADTLLALEYTLENGALCVGITNTVGSVIARNTHCGVHINAGCEIGVASTKAYTSQIVVMAMLALAIGGDTISKQERREAIIDGLFDLPNKIREVLKLDQEMKDLAKLLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPIVVIATHDACFSYLRIISLC >KJB75138 pep chromosome:Graimondii2_0_v6:12:3268951:3281158:1 gene:B456_012G026300 transcript:KJB75138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVNRERRYILQVLFNGLRRLEYRGYDSAGISIDDYFSVSRNPNTPQPSSINSLSSSPLVFRQEGNIESLVKSVYQEVAATELNLEESFTTHAGIAHTRWATHGVPAPRNSHPQTSGSGNDFLVVHNGVITNYEVLKETLVRHGFTFESETDTEVIPKLAKFVFDKANEEGDQTVTFNQVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKELNDDVCNGSAFHDAKFLSKNGNPKELFLSSDANAIIEHTKKVLVIEDGEVVHLKEGGVSILKFNNDKGRNGDSLSARPASVQRALSILEMEVEQINKGKYKHYMQKEIHEQPESLTTTMRGRLLRGGSCKAKTVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARPILEELSGVPVTMEIASDLLDRQGPIYREDTAVFVSQSGETADTLLALEYTLENGALCVGITNTVGSVIARNTHCGVHINAGCEIGVASTKAYTSQIVVMAMLALAIGGDTISKQERREAIIDGLFDLPNKIREVLKLDQEMKDLAKLLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPIVVIATHDACFSKQQSVIQQLRARKGRLIVMCSKGDAASVCPGGGCRVIEVPQVEDCLQPVVNIVPFQLLAYHLTVLRGYNVDQPRNLAKSVTTQ >KJB75140 pep chromosome:Graimondii2_0_v6:12:3268951:3281221:1 gene:B456_012G026300 transcript:KJB75140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVNRERRYILQVLFNGLRRLEYRGYDSAGISIDDYFSVSRNPNTPQPSSINSLSSSPLVFRQEGNIESLVKSVYQEVAATELNLEESFTTHAGIAHTRWATHGVPAPRNSHPQTSGSGNDFLVVHNGVITNYEVLKETLVRHGFTFESETDTEVIPKLAKFVFDKANEEGDQTVTFNQVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKELNDDVCNGSAFHDAKFLSKNGNPKELFLSSDANAIIEHTKKVLVIEDGEVVHLKEGGVSILKFNNDKGRNGDSLSARPASVQRALSILEMEVEQINKGKYKHYMQKEIHEQPESLTTTMRGRLLRGGSCKAKTVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARPILEELSGVPVTMEIASDLLDRQGPIYREDTAVFVSQSGETADTLLALEYTLENGALCVGITNTVGSVIARNTHCGVHINAGCEIGVASTKAYTSQIVVMAMLALAIGGDTISKQERREAIIDGLFDLPNKIREVLKLDQEMKDLAKLLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPIVVIATHDACFSKQQSVIQQLRARKGRLIVMCSKGDAASVCPGGGCRVIEVPQVEDCLQPVVNIVPFQLLAYHLTVLRGYNVDQPRNLAKSVTTQ >KJB75136 pep chromosome:Graimondii2_0_v6:12:3268961:3280794:1 gene:B456_012G026300 transcript:KJB75136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVNRERRYILQVLFNGLRRLEYRGYDSAGISIDDYFSVSRNPNTPQPSSINSLSSSPLVFRQEGNIESLVKSVYQEVAATELNLEESFTTHAGIAHTRWATHGVPAPRNSHPQTSGSGNDFLVVHNGVITNYEVLKETLVRHGFTFESETDTEVIPKLAKFVFDKANEEGDQTVTFNQVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKELNDDVCNGSAFHDAKFLSKNGNPKELFLSSDANAIIEHTKKVLVIEDGEVVHLKEGGVSILKFNNDKGRNGDSLSARPASVQRALSILEMEVEQINKGKYKHYMQKEIHEQPESLTTTMRGRLLRGGSCKAKTVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARPILEELSGVPVTMEIASDLLDRQGPIYREDTAVFVSQSGETADTLLALEYTLENGALCVGITNTVGSVIARNTHCGVHINAGCEIGVASTKAYTSQIVVMAMLALAIGGDTISKQERREAIIDGLFDLPNKIREVLKLDQEMKDLAKLLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPIVVIATHDACFSKQQSVIQQLRARKGRLIVMCSKGDAASVCPGGGCRVIEVPQVEDCLQPVVNIVPFQLLAYHLTVLRGYNVDQPRNLAKSVTTQ >KJB75139 pep chromosome:Graimondii2_0_v6:12:3269080:3279877:1 gene:B456_012G026300 transcript:KJB75139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVNRERRYILQVLFNGLRRLEYRGYDSAGISIDDYFSVSRNPNTPQPSSINSLSSSPLVFRQEGNIESLVKSVYQEVAATELNLEESFTTHAGIAHTRWATHGVPAPRNSHPQTSGSGNDFLVVHNGVITNYEVLKETLVRHGFTFESETDTEVIPKLAKFVFDKANEEGDQTVTFNQVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKELNDDVCNGSAFHDAKFLSKNGNPKELFLSSDANAIIEHTKKVLVIEDGEVVHLKEGGVSILKFNNDKGRNGDSLSARPASVQRALSILEMEVEQINKGKYKHYMQKEIHEQPESLTTTMRGRLLRGGSCKAKTVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARPILEELSGVPVTMEIASDLLDRQGPIYREDTAVFVSQSGETADTLLALEYTLENGALCVGITNTVGSVIARNTHCGVHINAGCEIGVASTKAYTSQIVVMAMLALAIGGDTISKQERREAIIDGLFDLPNKIREVLKLDQEMKDLAKLLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPIVVIATHDACFRFVWLLFGRNYIILVHQIVWH >KJB75137 pep chromosome:Graimondii2_0_v6:12:3268951:3281158:1 gene:B456_012G026300 transcript:KJB75137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVNRERRYILQVLFNGLRRLEYRGYDSAGISIDDYFSVSRNPNTPQPSSINSLSSSPLVFRQEGNIESLVKSVYQEVAATELNLEESFTTHAGIAHTRWATHGVPAPRNSHPQTSGSGNDFLVVHNGVITNYEVLKETLVRHGFTFESETDTEVIPKLAKFVFDKANEEGDQTVTFNQVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKELNDDVCNGSAFHDAKFLSKNGNPKELFLSSDANAIIEHTKKVLVIEDGEVVHLKEGGVSILKFNNDKGRNGDSLSARPASVQRALSILEMEVEQINKGKYKHYMQKEIHEQPESLTTTMRGRLLRGGSCKAKTVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARPILEELSGVPVTMEIASDLLDRQGPIYREDTAVFVSQSGETADTLLALEYTLENGALCVGITNTVGSVIARNTHCGVHINAGCEIGVASTKAYTSQIVVMAMLALAIGGDTISKQERREAIIDGLFDLPNKIREVLKLDQEMKDLAKLLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPIVVIATHDACFSYLRIISLC >KJB77711 pep chromosome:Graimondii2_0_v6:12:32662118:32667696:1 gene:B456_012G152400 transcript:KJB77711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRRDAVNSPAEPELASTRRRGGALKRKVNSLSGSSSSSTPSKRVTREKSNLISHSPVNHYGPLTRARQGAPSGNLALGSSSGSGGAKLEETNLVKQSVKAEDLEELKASEELEALEAKIEADFEAIRSRDSNAHVVPNHCGWFSWTKIHHLEESILPSFFNGKSPNRTPDAYKEIRNWIVKKFHANPSKQIELKDLEDLEVGDLDARQEVLEFLDYWGLINFHPFPPAGSAVPNPNGDGDGDGMTEKDSLLENLFHFDEIESRPQVVTKPNLSSPSLPSGLLPESAVAEDLMNPEGPSVEYHCNSCSADCSRKRYHCQKQADFDLCTDCFNNGTFGSGMSSSDFILMEPADPGLSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDVFFNCDDNNIDTNSKETAGPAAMNDETTVPKDVSETTESKTTQEDQAQTTPMETSKPEDEKEVRGSEEPSETKTGTDAKGVKETLKPEDMNVRKDGLEATENCALTAIREAFEAVGYNLTSESKLSFADVGNPVMALAGFFAHLVGPNIAAASSQSSLKSLSGTCPNIQLAARNCFVLEDPPDEKEPTCSKSVANDTGNRGAQNVKHSGDESLKGDQKSSLSHHGDENTEVSLREENATSPSPNDLTTDKKESSNFATNEEDKKANLNESSIINQSKDHQPSVTRVSNNLTSQVLPSSLEETGGKETAKEPSQPPKAVKEVDMSGSVQLKKNEPCDAAASKPVGELSEPANASENLETASCSPSRSKNEQKTVKPIPDGESTEPADASDDVQLVSVSQPSERSEPPQPVTSNSVNENGVTTGFSLFFYKYLVRKWKPH >KJB77712 pep chromosome:Graimondii2_0_v6:12:32662118:32667696:1 gene:B456_012G152400 transcript:KJB77712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRRDAVNSPAEPELASTRRRGGALKRKVNSLSGSSSSSTPSKRVTREKSNLISHSPVNHYGPLTRARQGAPSGNLALGSSSGSGGAKLEETNLVKQSVKAEDLEELKASEELEALEAKIEADFEAIRSRDSNAHVVPNHCGWFSWTKIHHLEESILPSFFNGKSPNRTPDAYKEIRNWIVKKFHANPSKQIELKDLEDLEVGDLDARQEVLEFLDYWGLINFHPFPPAGSAVPNPNGDGDGDGMTEKDSLLENLFHFDEIESRPQVVTKPNLSSPSLPSGLLPESAVAEDLMNPEGPSVEYHCNSCSADCSRKRYHCQKQADFDLCTDCFNNGTFGSGMSSSDFILMEPADPGLSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDVFFNCDDNNIDTNSKETAGPAAMNDETTVPKDVSETTESKTTQEDQAQTTPMETSKPEDEKEVRGSEEPSETKTGTDAKGVKETLKPEDMNVRKDGLEATENCALTAIREAFEAVGYNLTSESKLSFADVGNPVMALAGFFAHLVGPNIAAASSQSSLKSLSGTCPNIQLAARNCFVLEDPPDEKEPTCSKSVANDTGNRGAQNVKHSGDESLKGDQKSSLSHHGDENTEVSLREENATSPSPNDLTTDKKESSNFATNEEDKKANLNESSIINQSKDHQPSVTRVSNNLTSQVLPSSLEETGGKETAKEPSQPPKAVKEVDMSGSVQLKKNEPCDAAASKPVGELSEPANASENLETASCSPSRSKNEQKTVKPIPDGESTEPADASDDVQLVSVSQPSERSEPPQPVTSNSVNENGVTTDKIEEGKSKNHDSTEREDNSSIDKLKRAAVTALSAAAVKAKLLADQEEDQIRQLTTSLIEKQVVT >KJB77714 pep chromosome:Graimondii2_0_v6:12:32662118:32667696:1 gene:B456_012G152400 transcript:KJB77714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRRDAVNSPAEPELASTRRRGGALKRKVNSLSGSSSSSTPSKRVTREKSNLISHSPVNHYGPLTRARQGAPSGNLALGSSSGSGGAKLEETNLVKQSVKAEDLEELKASEELEALEAKIEADFEAIRSRDSNAHVVPNHCGWFSWTKIHHLEESILPSFFNGKSPNRTPDAYKEIRNWIVKKFHANPSKQIELKDLEDLEVGDLDARQEVLEFLDYWGLINFHPFPPAGSAVPNPNGDGDGDGMTEKDSLLENLFHFDEIESRPQVVTKPNLSSPSLPSGLLPESAVAEDLMNPEGPSVEYHCNSCSADCSRKRYHCQKQADFDLCTDCFNNGTFGSGMSSSDFILMEPADPGLSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDVFFNCDDNNIDTNSKETAGPAAMNDETTVPKDVSETTESKTTQEDQAQTTPMETSKPEDEKEVRGSEEPSETKTGTDAKGVKETLKPEDMNVRKDGLEATENCALTAIREAFEAVGYNLTSESKLSFADVGNPVMALAGFFAHLVGPNIAAASSQSSLKSLSGTCPNIQLAARNCFVLEDPPDEKEPTCSKSVANDTGNRGAQNVKHSGDESLKGDQKSSLSHHGDENTEVSLREENATSPSPNDLTTDKKESSNFATNEEDKKANLNESSIINQSKDHQPSVTRVSNNLTSQVLPSSLEETGGKETAKEPSQPPKAVKEVDMSGSVQLKKNEPCDAAASKPVGELSEPANASENLETASCSPSRSKNEQKTVKPIPDGESTEPADASDDVQLVSVSQPSERSEPPQPVTSNSVNENGVTTGSVEVCILRTTCWQNA >KJB77710 pep chromosome:Graimondii2_0_v6:12:32662103:32667773:1 gene:B456_012G152400 transcript:KJB77710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRRDAVNSPAEPELASTRRRGGALKRKVNSLSGSSSSSTPSKRVTREKSNLISHSPVNHYGPLTRARQGAPSGNLALGSSSGSGGAKLEETNLVKQSVKAEDLEELKASEELEALEAKIEADFEAIRSRDSNAHVVPNHCGWFSWTKIHHLEESILPSFFNGKSPNRTPDAYKEIRNWIVKKFHANPSKQIELKDLEDLEVGDLDARQEVLEFLDYWGLINFHPFPPAGSAVPNPNGDGDGDGMTEKDSLLENLFHFDEIESRPQVVTKPNLSSPSLPSGLLPESAVAEDLMNPEGPSVEYHCNSCSADCSRKRYHCQKQADFDLCTDCFNNGTFGSGMSSSDFILMEPADPGLSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDVFFNCDDNNIDTNSKETAGPAAMNDETTVPKDVSETTESKTTQEDQAQTTPMETSKPEDEKEVRGSEEPSETKTGTDAKGVKETLKPEDMNVRKDGLEATENCALTAIREAFEAVGYNLTSESKLSFADVGNPVMALAGFFAHLVGPNIAAASSQSSLKSLSGTCPNIQLAARNCFVLEDPPDEKEPTCSKSVANDTGNRGAQNVKHSGDESLKGDQKSSLSHHGDENTEVSLREENATSPSPNDLTTDKKESSNFATNEEDKKANLNESSIINQSKDHQPSVTRVSNNLTSQVLPSSLEETGGKETAKEPSQPPKAVKEVDMSGSVQLKKNEPCDAAASKPVGELSEPANASENLETASCSPSRSKNEQKTVKPIPDGESTEPADASDDVQLVSVSQPSERSEPPQPVTSNSVNENGVTTDKIEEGKSKNHDSTEREDNSSIDKLKRAAVTALSAAAVKAKLLADQEEDQIRQLTTSLIEKQLHKMEAKLSFFNEMEGVVMRVKEQLDRSRQRLYHERTQIIAARLGLPASSSRAMPPPNAANRVATNFANSVARPPMSMKAPRPPLSRPMGSMTPTPSNPYVSNTVAGSSIRPGSQDNLSSVGTK >KJB77715 pep chromosome:Graimondii2_0_v6:12:32662118:32667696:1 gene:B456_012G152400 transcript:KJB77715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRRDAVNSPAEPELASTRRRGGALKRKVNSLSGSSSSSTPSKRVTREKSNLISHSPVNHYGPLTRARQGAPSGNLALGSSSGSGGAKLEETNLVKQSVKAEDLEELKASEELEALEAKIEADFEAIRSRDSNAHVVPNHCGWFSWTKIHHLEESILPSFFNGKSPNRTPDAYKEIRNWIVKKFHANPSKQIELKDLEDLEVGDLDARQEVLEFLDYWGLINFHPFPPAGSAVPNPNGDGDGDGMTEKDSLLENLFHFDEIESRPQVVTKPNLSSPSLPSGLLPESAVAEDLMNPEGPSVEYHCNSCSADCSRKRYHCQKQADFDLCTDCFNNGTFGSGMSSSDFILMEPADPGLSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDVFFNCDDNNIDTNSKETAGPAAMNDETTVPKDVSETTESKTTQEDQAQTTPMETSKPEDEKEVRGSEEPSETKTGTDAKGVKETLKPEDMNVRKDGLEATENCALTAIREAFEAVGYNLTSESKLSFADVGNPVMALAGFFAHLVGPNIAAASSQSSLKSLSGTCPNIQLAARNCFVLEDPPDEKEPTCSKSVANDTGNRGAQNVKHSGDESLKGDQKSSLSHHGDENTEVSLREENATSPSPNDLTTDKKESSNFATNEEDKKANLNESSIINQSKDHQPSVTRVSNNLTSQVLPSSLEETGGKETAKEPSQPPKAVKEVDMSGSVQLKKNEPCDAAASKPVGELSEPANASENLETASCSPSRSKNEQKTVKPIPDGESTEPADASDDVQLVSVSQPSERSEPPQPVTSNSVNENGVTTDKIEEGKSKNHDSTEREDNSSIDKLKRAAVTALSAAAVKAKLLADQEEDQIRQLTTSLIEKQLHKMEAKLSFFNEMEGVVMRVKEQLDRSRQRLYHERTQIIAARLGLPASSSRAMPPPNAANRVATNFANSVARPPMSMKAPRPPLSRPMGSMTPTPSNPYVSNTVAGSSIRPGSQDNLSSVGTK >KJB77713 pep chromosome:Graimondii2_0_v6:12:32662173:32665397:1 gene:B456_012G152400 transcript:KJB77713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRRDAVNSPAEPELASTRRRGGALKRKVNSLSGSSSSSTPSKRVTREKSNLISHSPVNHYGPLTRARQGAPSGNLALGSSSGSGGAKLEETNLVKQSVKAEDLEELKASEELEALEAKIEADFEAIRSRDSNAHVVPNHCGWFSWTKIHHLEESILPSFFNGKSPNRTPDAYKEIRNWIVKKFHANPSKQIELKDLEDLEVGDLDARQEVLEFLDYWGLINFHPFPPAGSAVPNPNGDGDGDGMTEKDSLLENLFHFDEIESRPQVVTKPNLSSPSLPSGLLPESAVAEDLMNPEGPSVEYHCNSCSADCSRKRYHCQKQADFDLCTDCFNNGTFGSGMSSSDFILMEPADPGLSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDVFFNCDDNNIDTNSKETAGPAAMNDETTVPKDVSETTESKTTQEDQAQTTPMETSKPEDEKEVRGSEEPSETKTGTDAKGVKETLKPEDMNVRKDGLEATENCALTAIREAFEAVGYNLTSESKLSFADVGNPVMALAGFFAHLVGPNIAAASSQSSLKSLSGTCPNIQLAARNCFVLEDPPDEKEPTCSKRYVNLCQAYIYLAIL >KJB78277 pep chromosome:Graimondii2_0_v6:12:35389183:35402991:1 gene:B456_012G187400 transcript:KJB78277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPECICYIFHKMADDVNQVFSGDKMIQNPDGSFLSNVIKPIYEILSKEAGKNKGGKASHSRWSNYDDLNECFWSGKCSLELRELIPFTYIYIDLYQFVFFNTVQIFHILEKFTDGWWKSKINFVEVRTYWQVYRSFDRMWVFFIMALQAMIIVAWTQSGSTSANNEVVLRRVLSIFITYAILSFFRAILDVILSIHAWRNSDLTQPLRYILKLVVAAIWAVVLPVGYSTSVKNPTGPLKFLNHWARDSHNQSLYNYILVLYMIPDLLAIMLFLLPRLREKMELSDWPVINIVMWWAQPKLYVGRGMHVGTFSLLKYTIFWILVLSVKLAFSYFVEILPLIRPTKVIMKIQVENYRLHKFVPNVKHNMGVIIAIWSPIILVYFMDVQVWYTIFSTLLGGVLGAFRHLGEIRTIGMVHSRFESISSKFRSCFVPLHSDAVTETLSTSKEPNENEYFCCMWNEFIRSMRMEDLISNRDRELLLLPPPLANKPGDQLPLFLLASKIPAAINIAKEFKRKDHAELEKFIGSDKYTCAAILDCYYTLKSLIFLLLKDEDDKKIVDEVFHAANGKKLFLQNFNMSGMPLLAVKFEKFLKILMADYGDEEFKSKITTALQEIMYIIPESIDDNSPLKGALKRDEISHKFKNINTSDIKKNIWSEKVNRLHLLLTFKESGTDVPLNSEARRRITFFVNSLFMNMRSAPKVSNMRSFSVLTPHYNEEVVYSDEELNKENEDGVSTLFYLKTIYPDEWTNFEERMKDKLEEEKKEERRKWVSYRGQTLSRSVRGMMYYKKALELQCSMEFTDSISNEEDCIRKQKLPDLKFTYIISCQIYGNLKRSKDPRQKDILNLMIMYPSLRVAYIDEVDEALKEKTEGKTEKVYYSVLIKGDTINYSEREIYRIRLPGSPTKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFPHSHGAQKPTILGLREHVFTGSVSSLAWFMSNQEFSFVTIGQRFLASPLRVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFAGFNSTLRLGSVTHHDYVQVGKGRDVGMNQISIFEAKVANGNGEQTLTRDVHRLGCHFDFFRMLSFYCTTVGFYFNSLVTVLIVYVFLYGRLYMVMTGLEREILEDPQIKNNNALEAALVTQSFIQMGMLLVLPMLMEIGLEKGFRTALGDFFIMQLQLSSVFFTFQLGTKAHYFGKTILHGGSKYRATGRGFVVRHSKFADNYRLYSRSHFVKALELGLLLVIYEVYGESYRSSSLYLFITFSMWFLVGSWLFAPFIFNPSGFEWQKTVNDWTDWKWWMGIRGGVGIQPENSWESWWDKEQEHLRYTSIRGRVLEILLALRFFVYQYGIVYHLDIAHHSRNLLVYGLSWCAVLVILIVPKMVSVRRLQMFHMDLQLPLRMLKGLLYLILLAVMIILFKFCGLTLSDLFASILAFMPTGWAFILVGQACRPCLHKLLWEPIKEVARAYDFMMGLLLFTPIAFLSWLPAVNEFQTRILFNQAFSRGLHISMILAGKKDGGASFN >KJB78278 pep chromosome:Graimondii2_0_v6:12:35389766:35402593:1 gene:B456_012G187400 transcript:KJB78278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDVNQVFSGDKMIQNPDGSFLSNVIKPIYEILSKEAGKNKGGKASHSRWSNYDDLNECFWSGKCSLELRELIPFTYIYIDLYQFVFFNTVQIFHILEKFTDGWWKSKINFVEVRTYWQVYRSFDRMWVFFIMALQAMIIVAWTQSGSTSANNEVVLRRVLSIFITYAILSFFRAILDVILSIHAWRNSDLTQPLRYILKLVVAAIWAVVLPVGYSTSVKNPTGPLKFLNHWARDSHNQSLYNYILVLYMIPDLLAIMLFLLPRLREKMELSDWPVINIVMWWAQPKLYVGRGMHVGTFSLLKYTIFWILVLSVKLAFSYFVEILPLIRPTKVIMKIQVENYRLHKFVPNVKHNMGVIIAIWSPIILVYFMDVQVWYTIFSTLLGGVLGAFRHLGEIRTIGMVHSRFESISSKFRSCFSTSKEPNENEYFCCMWNEFIRSMRMEDLISNRDRELLLLPPPLANKPGDQLPLFLLASKIPAAINIAKEFKRKDHAELEKFIGSDKYTCAAILDCYYTLKSLIFLLLKDEDDKKIVDEVFHAANGKKLFLQNFNMSGMPLLAVKFEKFLKILMADYGDEEFKSKITTALQEIMYIIPESIDDNSPLKGALKRDEISHKFKNINTSDIKKNIWSEKALKLITLKYDFHIFQTKTNFYQESGTDVPLNSEARRRITFFVNSLFMNMRSAPKVSNMRSFSVLTPHYNEEVVYSDEELNKENEDGVSTLFYLKTIYPDEWTNFEERMKDKLEEEKKEERRKWVSYRGQTLSRSVRGMMYYKKALELQCSMEFTDSISNEEDCIRKQKLPDLKFTYIISCQIYGNLKRSKDPRQKDILNLMIMYPSLRVAYIDEVDEALKEKTEGKTEKVYYSVLIKGDTINYSEREIYRIRLPGSPTKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFPHSHGAQKPTILGLREHVFTGSVSSLAWFMSNQEFSFVTIGQRFLASPLRVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFAGFNSTLRLGSVTHHDYVQVGKGRDVGMNQISIFEAKVANGNGEQTLTRDVHRLGCHFDFFRMLSFYCTTVGFYFNSLVTVLIVYVFLYGRLYMVMTGLEREILEDPQIKNNNALEAALVTQSFIQMGMLLVLPMLMEIGLEKGFRTALGDFFIMQLQLSSVFFTFQLGTKAHYFGKTILHGGSKYRATGRGFVVRHSKFADNYRLYSRSHFVKALELGLLLVIYEVYGESYRSSSLYLFITFSMWFLVGSWLFAPFIFNPSGFEWQKTVNDWTDWKWWMGIRGGVGIQPENSWESWWDKEQEHLRYTSIRGRVLEILLALRFFVYQYGIVYHLDIAHHSRNLLVYGLSWCAVLVILIVPKMVSVRRLQMFHMDLQLPLRMLKGLLYLILLAVMIILFKFCGLTLSDLFASILAFMPTGWAFILVGQACRPCLHKLLWEPIKEVARAYDFMMGLLLFTPIAFLSWLPA >KJB78276 pep chromosome:Graimondii2_0_v6:12:35387755:35402913:1 gene:B456_012G187400 transcript:KJB78276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVPKRPPPPPPPFARLMRSRDPRNEYNILPFDGSPIMKLPEIEIAVEAVTKMKSLSSRKIDGVDEIDIFSWLSSLFGFQKGNTTNQREHLILLLANIYTRKKDLKRCTEVEALKGAIKQSKQDFLNNYELWCNYMRTKSNIRAEETEQECLIYVALYLLIWGEASNIRFMPECICYIFHKMADDVNQVFSGDKMIQNPDGSFLSNVIKPIYEILSKEAGKNKGGKASHSRWSNYDDLNECFWSGKCSLELRELIPFTYIYIDLYQFVFFNTVQIFHILEKFTDGWWKSKINFVEVRTYWQVYRSFDRMWVFFIMALQAMIIVAWTQSGSTSANNEVVLRRVLSIFITYAILSFFRAILDVILSIHAWRNSDLTQPLRYILKLVVAAIWAVVLPVGYSTSVKNPTGPLKFLNHWARDSHNQSLYNYILVLYMIPDLLAIMLFLLPRLREKMELSDWPVINIVMWWAQPKLYVGRGMHVGTFSLLKYTIFWILVLSVKLAFSYFVEILPLIRPTKVIMKIQVENYRLHKFVPNVKHNMGVIIAIWSPIILVYFMDVQVWYTIFSTLLGGVLGAFRHLGEIRTIGMVHSRFESISSKFRSCFSTSKEPNENEYFCCMWNEFIRSMRMEDLISNRDRELLLLPPPLANKPGDQLPLFLLASKIPAAINIAKEFKRKDHAELEKFIGSDKYTCAAILDCYYTLKSLIFLLLKDEDDKKIVDEVFHAANGKKLFLQNFNMSGMPLLAVKFEKFLKILMADYGDEEFKSKITTALQEIMYIIPESIDDNSPLKGALKRDEISHKFKNINTSDIKKNIWSEKALKLITLKYDFHIFQTKTNFYQESGTDVPLNSEARRRITFFVNSLFMNMRSAPKVSNMRSFSVLTPHYNEEVVYSDEELNKENEDGVSTLFYLKTIYPDEWTNFEERMKDKLEEEKKEERRKWVSYRGQTLSRSVRGMMYYKKALELQCSMEFTDSISNEEDCIRKQKLPDLKFTYIISCQIYGNLKRSKDPRQKDILNLMIMYPSLRVAYIDEVDEALKEKTEGKTEKVYYSVLIKGDTINYSEREIYRIRLPGSPTKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFPHSHGAQKPTILGLREHVFTGSVSSLAWFMSNQEFSFVTIGQRFLASPLRVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFAGFNSTLRLGSVTHHDYVQVGKGRDVGMNQISIFEAKVANGNGEQTLTRDVHRLGCHFDFFRMLSFYCTTVGFYFNSLVTVLIVYVFLYGRLYMVMTGLEREILEDPQIKNNNALEAALVTQSFIQMGMLLVLPMLMEIGLEKGFRTALGDFFIMQLQLSSVFFTFQLGTKAHYFGKTILHGGSKYRATGRGFVVRHSKFADNYRLYSRSHFVKALELGLLLVIYEVYGESYRSSSLYLFITFSMWFLVGSWLFAPFIFNPSGFEWQKTVNDWTDWKWWMGIRGGVGIQPENSWESWWDKEQEHLRYTSIRGRVLEILLALRFFVYQYGIVYHLDIAHHSRNLLVYGLSWCAVLVILIVPKMVSVRRLQMFHMDLQLPLRMLKGLLYLILLAVMIILFKFCGLTLSDLFASILAFMPTGWAFILVGQACRPCLHKLLWEPIKEVARAYDFMMGLLLFTPIAFLSWLPAVNEFQTRILFNQAFSRGLHISMILAGKKDGGASFN >KJB77882 pep chromosome:Graimondii2_0_v6:12:33674127:33674537:1 gene:B456_012G166400 transcript:KJB77882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDWGPVFVALVLFVLLTPGLLFQVPGHHRCVEFGNFKTSGASIFIHSLLYFGLICVFLLAVKVHLHLG >KJB77328 pep chromosome:Graimondii2_0_v6:12:30072303:30075799:1 gene:B456_012G131700 transcript:KJB77328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDAANKKLLCRYTVENNLVGNDEFSLEYDYLVLAVGAQVNTFNTPGVLENCHFLKEVEDAQKIRRTVIDCFEKAVLPGLSEEERRINLHFVIVGGGPTGVEFAAELHDFVQEDLVNLYPSVKDMVKITVIQSGDHILNMFDERISSFAEQKFRRDGIEVQTGSRVISVSDKEITMKLKAKGEICSVPHGLVVWSTGVETRPFVKDFMGQIGQANRRVLATDEWLRVKGCEDVYALGDCATINQRKVMEDIAAIFKAADKDNSGFLTVEEFKDVIDDILERYPQVEHYLKSKHLRDVTDLMKDSEGNDIDEVDIEGFKLALCQADSQVKNLPATAQVAAQQGAYLSKCFNRKSKWKDNPEGPRRFRGSGRHQFHPFQYKHLGQFAPLGGEQAAAELPGDWVSVGRSTQWLWYSVYASKQVSWRTRVLVVSDWTRRFIFGRDSSRI >KJB77327 pep chromosome:Graimondii2_0_v6:12:30071977:30075799:1 gene:B456_012G131700 transcript:KJB77327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILSFFTRASRAFNGYPASAKLLLLCTLSLSGGGVVAYSEAQVDASKTSVQVNEKDCKKKRVVVLGTGWAGISFLKDLDVSAYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPVRNIIKKRNGEIQFWEAECMKIDAANKKLLCRYTVENNLVGNDEFSLEYDYLVLAVGAQVNTFNTPGVLENCHFLKEVEDAQKIRRTVIDCFEKAVLPGLSEEERRINLHFVIVGGGPTGVEFAAELHDFVQEDLVNLYPSVKDMVKITVIQSGDHILNMFDERISSFAEQKFRRDGIEVQTGSRVISVSDKEITMKLKAKGEICSVPHGLVVWSTGVETRPFVKDFMGQIGQANRRVLATDEWLRVKGCEDVYALGDCATINQRKVMEDIAAIFKAADKDNSGFLTVEEFKDVIDDILERYPQVEHYLKSKHLRDVTDLMKDSEGNDIDEVDIEGFKLALCQADSQVKNLPATAQVAAQQGAYLSKCFNRKSKWKDNPEGPRRFRGSGRHQFHPFQYVLLVVSYASN >KJB77326 pep chromosome:Graimondii2_0_v6:12:30071908:30076172:1 gene:B456_012G131700 transcript:KJB77326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILSFFTRASRAFNGYPASAKLLLLCTLSLSGGGVVAYSEAQVDASKTSVQVNEKDCKKKRVVVLGTGWAGISFLKDLDVSAYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPVRNIIKKRNGEIQFWEAECMKIDAANKKLLCRYTVENNLVGNDEFSLEYDYLVLAVGAQVNTFNTPGVLENCHFLKEVEDAQKIRRTVIDCFEKAVLPGLSEEERRINLHFVIVGGGPTGVEFAAELHDFVQEDLVNLYPSVKDMVKITVIQSGDHILNMFDERISSFAEQKFRRDGIEVQTGSRVISVSDKEITMKLKAKGEICSVPHGLVVWSTGVETRPFVKDFMGQIGQANRRVLATDEWLRVKGCEDVYALGDCATINQRKVMEDIAAIFKAADKDNSGFLTVEEFKDVIDDILERYPQVEHYLKSKHLRDVTDLMKDSEGNDIDEVDIEGFKLALCQADSQVKNLPATAQVAAQQGAYLSKCFNRKSKWKDNPEGPRRFRGSGRHQFHPFQYKHLGQFAPLGGEQAAAELPGDWVSVGRSTQWLWYSVYASKQVSWRTRVLVVSDWTRRFIFGRDSSRI >KJB75369 pep chromosome:Graimondii2_0_v6:12:4812632:4815755:1 gene:B456_012G038600 transcript:KJB75369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVVPTSEEDPVLPVVRFTADLSWADAGPEVAEPLVTRLCMEAQECMVMGRWLDLASLMLTSAELVFPKVSDKDLECIFTVICNLASKLENPDDELEMAKLISTKISQQPNDKPAMRLKILFNLYNLLEGPDSRFFVYMQALNLALNGKVIDHIVPSFKKIDSFLKEWNIGVKDQRNLFLTIANVLKENKSSGKDSFKFLTKYLATFSGEDSCVIGEAKEEAVRAVIEFVKAPDTFWCDLLDMPAVGQLEKDAKYALVYQLLKIFLTQRLDAYLEFQAANSTLMKSYGLVHEDCITKMRLMSLVDLGSNESGQIPYALIKDALRVNLLSSACLPHLLHDLGCSLMYFSLQINDDEVELWIVKAITAKLIDCKMDQMNQVVIVSRCTERIFGQHQWHSLRLKLATWRGNVDSLISTIQANKVVEEGSQAMQGMAIR >KJB75368 pep chromosome:Graimondii2_0_v6:12:4812526:4815893:1 gene:B456_012G038600 transcript:KJB75368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVVPTSEEDPVLPVVRFTADLSWADAGPEVAEPLVTRLCMEAQECMVMGRWLDLASLMLTSAELVFPKVSDKDLECIFTVICNLASKLENPDDELEMAKLISTKISQQPNDKPAMRLKILFNLYNLLEGPDSRFFVYMQALNLALNGKVIDHIVPSFKKIDSFLKEWNIGVKDQRNLFLTIANVLKENKSSGKDSFKFLTKYLATFSGEDSCVIGEAKEEAVRAVIEFVKAPDTFWCDLLDMPAVGQLEKDAKYALVYQLLKIFLTQRLDAYLEFQAANSTLMKSYGLVHEDCITKMRLMSLVDLGSNESGQIPYALIKDALRINDDEVELWIVKAITAKLIDCKMDQMNQVVIVSRCTERIFGQHQWHSLRLKLATWRGNVDSLISTIQANKVVEEGSQAMQGMAIR >KJB77044 pep chromosome:Graimondii2_0_v6:12:27241488:27243681:-1 gene:B456_012G118700 transcript:KJB77044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRFAEKWTIPLEWIDHEFGTRQRTCFHLPSSTHFLSDALKPSSSTLEFQEYSPNLCHISMASGISTSLETENLALTGVVFQPFEEFKKEDFDIPVTLQVSLARQKYTDDCEAAINEQINVEYSVSYVYHSLYAYFDRDNIALKGLTKRKENMAEKLMEYQNIRGGRVKLHPILMPLSEFEHAEKGDALYAVELALSLEKLINEKLSSLHN >KJB76908 pep chromosome:Graimondii2_0_v6:12:25401053:25403534:1 gene:B456_012G111900 transcript:KJB76908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSIRVLVVVAVLFPVFVDCTVRHYKFNVVMKNTTRLCSSKPIVSVNGKFPGPTLYAREGDTVLVKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQSGQSYVYNFTITGQRGTLLWHAHILWLRSTVHGAIVILPKRGVPYPFPKPHKEVVVVLAEWWKSDTEAVINEALKSGLAPNVSDAHTINGHPGRVSGCPSQGGFSLPVESGKTYLLRLINAALNEELFFKIAGHKLTVVEVDATYVKPFKIDTVVIAPGQTTNVLVSADQNSGKYMVAASPFMDAPVAVDNLTATATLHYSGTLDNTPTSLTTPPPQNATSVANNFIDSLRSLNSKQFPALVPRTIDHNLYFTVGLGINPCPTCKAGNGSRVVASINNVTFTMPTTALLQAHFFNTSGVFTTDFPSTPPHVFNYTGTPPKNLQTRNGTKVFRLAYNSTVQLVLQDTGIIAPENHPIHVHGFNFFAVGKGLGNYNPKTDPQKFNLVDPVERNTIGVPSGGWVAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVDNGKGPNQSLLPPPSDLPKC >KJB74758 pep chromosome:Graimondii2_0_v6:12:680174:687810:-1 gene:B456_012G005800 transcript:KJB74758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/TrEMBL;Acc:F4JE40] MAQIPNLDNSPLNLKSLREQSQKDLVKILKDIRGKKCLVIEPKLGGSLSLIIQTSLLKEYGIELRHLSAEPVQTDCTKVVYLVPSQRDLMKSISSHVHDDISKGLQREYYIYFVPRREIQCERILEEEKVHHLITIGEYPLYVVPVDEDILSFELDLAYKQCQVDGDTGSLWHIAKAIHKLESTFGVIPNVRAKGKASVLVSDILDRMHTEEPMNSSDMALSEINTLILIDREVDMVTPMCSQLTYEGLLDEFLRINNGSVELDSSIMGVQQEGKKMKVPLNSSDKLFKEIRDLNFEVVVQVLRQKATSMKQDYTEMTTTNQTVSELKDFVKKLNSLPEMTRHINLAQHLQTFTSKPSFLAKLDMEHTIIETSSYDICFDYIEEMIHKQEPLVNVLRLLILFSVTNSGLPKKHFDYLRRELLHSYGFEHMATLNNLEKAGLLKKQESKSNWLTVKRALQLVVEDTDTANPNDIAYVFSGYAPLSIRLVQHAVRSGWRAMEEILKLLPGPHTETKRLGFASSPSFATLQGASNGLDKIADGRRSLVLVVFVGGVTFAEIAALRFLSAQDCMCSTGYVQNFKLFHGFGVFGHIFIPMSYFVSNMGIGLILK >KJB74755 pep chromosome:Graimondii2_0_v6:12:679202:687821:-1 gene:B456_012G005800 transcript:KJB74755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/TrEMBL;Acc:F4JE40] MAQIPNLDNSPLNLKSLREQSQKDLVKILKDIRGKKCLVIEPKLGGSLSLIIQTSLLKEYGIELRHLSAEPVQTDCTKVVYLVPSQRDLMKSISSHVHDDISKGLQREYYIYFVPRREIQCERILEEEKVHHLITIGEYPLYVVPVDEDILSFELDLAYKVDGDTGSLWHIAKAIHKLESTFGVIPNVRAKGKASVLVSDILDRMHTEEPMNSSDMALSEINTLILIDREVDMVTPMCSQLTYEGLLDEFLRINNGSVELDSSIMGVQQEGKKMKVPLNSSDKLFKEIRDLNFEVVVQVLRQKATSMKQDYTEMTTTNQTVSELKDFVKKLNSLPEMTRHINLAQHLQTFTSKPSFLAKLDMEHTIIETSSYDICFDYIEEMIHKQEPLVNVLRLLILFSVTNSGLPKKHFDYLRRELLHSYGFEHMATLNNLEKAGLLKKQESKSNWLTVKRALQLVVEDTDTANPNDIAYVFSGYAPLSIRLVQHAVRSGWRAMEEILKLLPGPHTETKRSLICHIAGCFKWLRQNSRREALPGTCCLCWRGNICRNCCSQISQCPGRNGI >KJB74756 pep chromosome:Graimondii2_0_v6:12:679805:687810:-1 gene:B456_012G005800 transcript:KJB74756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/TrEMBL;Acc:F4JE40] MAQIPNLDNSPLNLKSLREQSQKDLVKILKDIRGKKCLVIEPKLGGSLSLIIQTSLLKEYGIELRHLSAEPVQTDCTKVVYLVPSQRDLMKSISSHVHDDISKGLQREYYIYFVPRREIQCERILEEEKVHHLITIGEYPLYVVPVDEDILSFELDLAYKQCQVDGDTGSLWHIAKAIHKLESTFGVIPNVRAKGKASVLVSDILDRMHTEEPMNSSDMALSEINTLILIDREVDMVTPMCSQLTYEGLLDEFLRINNGSVELDSSIMGVQQEGKKMKVPLNSSDKLFKEIRDLNFEVVVQVLRQKATSMKQDYTEMTTTNQTVSELKDFVKKLNSLPEMTRHINLAQHLQTFTSKPSFLAKLDMEHTIIETSSYDICFDYIEEMIHKQEPLVNVLRLLILFSVTNSGLPKKHFDYLRRELLHSYGFEHMATLNNLEKAGLLKKQESKSNWLTVKRALQLVVEDTDTANPNDIAYVFSGYAPLSIRLVQHAVRSGWRAMEEILKLLPGPHTETKRLGFASSPSFATLQGASNGLDKIADGRRSLVLVVFVGGVTFAEIAALRFLSAQV >KJB74757 pep chromosome:Graimondii2_0_v6:12:679202:687821:-1 gene:B456_012G005800 transcript:KJB74757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/TrEMBL;Acc:F4JE40] MAQIPNLDNSPLNLKSLREQSQKDLVKILKDIRGKKCLVIEPKLGGSLSLIIQTSLLKEYGIELRHLSAEPVQTDCTKVVYLVPSQRDLMKSISSHVHDDISKGLQREYYIYFVPRREIQCERILEEEKVHHLITIGEYPLYVVPVDEDILSFELDLAYKQCQVDGDTGSLWHIAKAIHKLESTFGVIPNVRAKGKASVLVSDILDRMHTEEPMNSSDMALSEINTLILIDREVDMVTPMCSQLTYEGLLDEFLRINNGSVELDSSIMGVQQEGKKMKVPLNSSDKLFKEIRDLNFEVVVQVLRQKATSMKQDYTEMTTTNQTVSELKDFVKKLNSLPEMTRHINLAQHLQTFTSKPSFLAKLDMEHTIIETSSYDICFDYIEEMIHKQEPLVNVLRLLILFSVTNSGLPKKHFDYLRRELLHSYGFEHMATLNNLEKAGLLKKQESKSNWLTVKRALQLVVEDTDTANPNDIAYVFSGYAPLSIRLVQHAVRSGWRAMEEILKLLPGPHTETKRLGFASSPSFATLQGASNGLDNRREALPGTCCLCWRGNICRNCCSQISQCPGRNGI >KJB74753 pep chromosome:Graimondii2_0_v6:12:679184:687921:-1 gene:B456_012G005800 transcript:KJB74753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/TrEMBL;Acc:F4JE40] MAQIPNLDNSPLNLKSLREQSQKDLVKILKDIRGKKCLVIEPKLGGSLSLIIQTSLLKEYGIELRHLSAEPVQTDCTKVVYLVPSQRDLMKSISSHVHDDISKGLQREYYIYFVPRREIQCERILEEEKVHHLITIGEYPLYVVPVDEDILSFELDLAYKQCQVDGDTGSLWHIAKAIHKLESTFGVIPNVRAKGKASVLVSDILDRMHTEEPMNSSDMALSEINTLILIDREVDMVTPMCSQLTYEGLLDEFLRINNGSVELDSSIMGVQQEGKKMKVPLNSSDKLFKEIRDLNFEVVVQVLRQKATSMKQDYTEMTTTNQTVSELKDFVKKLNSLPEMTRHINLAQHLQTFTSKPSFLAKLDMEHTIIETSSYDICFDYIEEMIHKQEPLVNVLRLLILFSVTNSGLPKKHFDYLRRELLHSYGFEHMATLNNLEKAGLLKKQESKSNWLTVKRALQLVVEDTDTANPNDIAYVFSGYAPLSIRLVQHAVRSGWRAMEEILKLLPGPHTETKRLGFASSPSFATLQGASNGLDKIADGRRSLVLVVFVGGVTFAEIAALRFLSAQEGMAYDLIIGTTKMVNGHSLVETFLETIG >KJB74754 pep chromosome:Graimondii2_0_v6:12:679202:687821:-1 gene:B456_012G005800 transcript:KJB74754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/TrEMBL;Acc:F4JE40] MAQIPNLDNSPLNLKSLREQSQKDLVKILKDIRGKKCLVIEPKLGGSLSLIIQTSLLKEYGIELRHLSAEPVQTDCTKVVYLVPSQRDLMKSISSHVHDDISKGLQREYYIYFVPRREIQCERILEEEKVHHLITIGEYPLYVVPVDEDILSFELDLAYKQCQVDGDTGSLWHIAKAIHKLESTFGVIPNVRAKGKASVLVSDILDRMHTEEPMNSSDMALSEINTLILIDREVDMVTPMCSQLTYEGLLDEFLRINNGSVELDSSIMGVQQEGKKMKVPLNSSDKLFKEIRDLNFEVVVQVLRQKATSMKQDYTEMTTTNQTVSELKDFVKKLNSLPEMTRHINLAQHLQTFTSKPSFLAKLDMEHTIIETSSYDICFDYIEEMIHKQEPLVNVLRLLILFSVTNSGLPKKHFDYLRRELLHSYGFEHMATLNNLEKAGLLKKQESKSNWLTVKRALQLVVEDTDTANPNDIAYVFSGYAPLSIRLVQHAVRSGWRAMEEILKLLPGPHTETKRLGFASSPSFATLQGASNGLDK >KJB76100 pep chromosome:Graimondii2_0_v6:12:10582661:10584509:-1 gene:B456_012G071600 transcript:KJB76100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVQLSRFRFSLLFLFIVSFLFNKFLLGFQLLQIQNQYKLIKPGCSVLDLGCAPGAWLQVACQSLGPLKNGGAVVGIDVKKVKVPSLHCDARVQTISADVMKLPKPQVMELSPKKKGFSVILSDMCPSVSGISTRDAALSFELGMRALDLAVGRAMNLSNDNFQNEGESCTCSPYDKGVLLSRGHLVIKLLESEDTKELSQICKPLFKKSTWLRPKATRSSSREIYLICQDLKSQ >KJB76099 pep chromosome:Graimondii2_0_v6:12:10582332:10584956:-1 gene:B456_012G071600 transcript:KJB76099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGAPDFFYREAQRLGYVARSAFKLLQIQNQYKLIKPGCSVLDLGCAPGAWLQVACQSLGPLKNGGAVVGIDVKKVKVPSLHCDARVQTISADVMKLPKPQVMELSPKKKGFSVILSDMCPSVSGISTRDAALSFELGMRALDLAVGRAMNLSNDNFQNEGESCTCSPYDKGVLLSRGHLVIKLLESEDTKELSQICKPLFKKSTWLRPKATRSSSREIYLICQDLKSQ >KJB75594 pep chromosome:Graimondii2_0_v6:12:6039066:6052106:-1 gene:B456_012G047900 transcript:KJB75594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHDGKLAEKLSGLALNDNHGNNNDDSLSRAVKAIEAAEAVIQQQEEENSRLRSELQKKLELLEKYKVESVSNPNASAKEATNTILNGTFNAFSAAAAASDNNTGPALSHFSSPSTATSFSPTRYQLEGEYSSRLPQGLMPVPQVNNSNSIWKQDVPIKIREHEEEILQLRKQLAEFSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYQLQAAQQERTTFVSSLLPLLAEYSLQPPVPDAQSIVSYVKVLFKHLQEKLIITESKLKDSQYQLAPWRSDVNHSNFTPQSPSHSLGPTLTASSKNGLELVPQPVFSHGKTQIVSGAQTDSNWDLPGQYQGGSGGGIVSKNLEPDDLGRYSPIASRTSTAIEIPTQLAGTQGDTNTVRYGEETINKQVKFLVPVSNSEMDYPDAEGQQIERELPSNWRSGNSPYTPAQDDPSSSYPPYLPPVLEEPSSSFSEAAEDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRHMEDGSVNYIEGAKQPNYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANYHKKITCDPEMQGHIEKTLYSGHASYKVLSMAGFLDIWEPATLAIKRDGYSIKCSGPNGPVVTEKFYPTTQIKITFEEPTEFIIFGSNGVQRVLRADNNSQDISCSRDTIVLTLRLFILRAGERRKGKKRGLFFNK >KJB75596 pep chromosome:Graimondii2_0_v6:12:6039619:6051879:-1 gene:B456_012G047900 transcript:KJB75596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHDGKLAEKLSGLALNDNHGNNNDDSLSRAVKAIEAAEAVIQQQEEENSRLRSELQKKLELLEKYKVESVSNPNASAKEATNTILNGTFNAFSAAAAASDNNTGPALSHFSSPSTATSFSPTRYQLEGEYSSRLPQGLMPVPQVNNSNSIWKQDVPIKIREHEEEILQLRKQLAEFSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYQLQAAQQERTTFVSSLLPLLAEYSLQPPVPDAQSIVSYVKVLFKHLQEKLIITESKLKDSQYQLAPWRSDVNHSNFTPQSPSHSLGPTLTASSKNGLELVPQPVFSHGKTQIVSGAQTDSNWDLPGQYQGGSGGGIVSKNLEPDDLGRYSPIASRTSTAIEIPTQLAGTQGDTNTVRYGEETINKQVKFLVPVSNSEMDYPDAEGQQIERELPSNWRSGNSPYTPAQDDPSSSYPPYLPPVLEEPSSSFSEAAEDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRHMEDGSVNYIEGAKQPNYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANYHKKITCDPEMQGHIEKTLYSGHASYKVLSMAGFLDIWEPATLAIKRDGYSIKCSGPNGPVVTEKFYPTTQIKITFEEPTEFIIFGSNGVQRVLRADNNSQDISCSRDTIVLTLRLFILRLLQAGERRKGKKRGLFFNK >KJB75599 pep chromosome:Graimondii2_0_v6:12:6039031:6052159:-1 gene:B456_012G047900 transcript:KJB75599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHDGKLAEKLSGLALNDNHGNNNDDSLSRAVKAIEAAEAVIQQQEEENSRLRSELQKKLELLEKYKVESVSNPNASAKEATNTILNGTFNAFSAAAAASDNNTGPALSHFSSPSTATSFSPTRYQLEGEYSSRLPQGLMPVPQVNNSNSIWKQDVPIKIREHEEEILQLRKQLAEFSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYQLQAAQQERTTFVSSLLPLLAEYSLQPPVPDAQSIVSYVKVLFKHLQEKLIITESKLKDSQYQLAPWRSDVNHSNFTPQSPSHSLGPTLTASSKNGLELVPQPVFSHGKTQIVSGAQTDSNWDLPGQYQGGSGGGIVSKNLEPDDLGRYSPIASRTSTAIEIPTQLAGTQGDTNTVRYGEETINKQVKFLVPVSNSEMDYPDAEGQQIERELPSNWRSGNSPYTPAQDDPSSSYPPYLPPVLEEPSSSFSEEDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRHMEDGSVNYIEGAKQPNYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANYHKKITCDPEMQGHIEKTLYSGHASYKVLSMAGFLDIWEPATLAIKRDGYSIKCSGPNGPVVTEKFYPTTQIKITFEEPTEFIIFGSNGVQRVLRADNNSQDISCSRDTIVLTLRLFILRLLQAGERRKGKKRGLFFNK >KJB75602 pep chromosome:Graimondii2_0_v6:12:6039203:6051974:-1 gene:B456_012G047900 transcript:KJB75602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHDGKLAEKLSGLALNDNHGNNNDDSLSRAVKAIEAAEAVIQQQEEENSRLRSELQKKLELLEKYKVESVSNPNASAKEATNTILNGTFNAFSAAAAASDNNTGPALSHFSSPSTATSFSPTRYQLEGEYSSRLPQGLMPVPQVNNSNSIWKQDVPIKIREHEEEILQLRKQLAEFSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYQLQAAQQERTTFVSSLLPLLAEYSLQPPVPDAQSIVSYVKVLFKHLQEKLIITESKLKDSQYQLAPWRSDVNHSNFTPQSPSHSLGPTLTASPVFSHGKTQIVSGAQTDSNWDLPGQYQGGSGGGIVSKNLEPDDLGRYSPIASRTSTAIEIPTQLAGTQGDTNTVRYGEETINKQVKFLVPVSNSEMDYPDAEGQQIERELPSNWRSGNSPYTPAQDDPSSSYPPYLPPVLEEPSSSFSEAAEDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRHMEDGSVNYIEGAKQPNYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANYHKKITCDPEMQGHIEKTLYSGHASYKVLSMAGFLDIWEPATLAIKRDGYSIKCSGPNGPVVTEKFYPTTQIKITFEEPTEFIIFGSNGVQRVLRADNNSQDISCSRDTIVLTLRLFILRAGERRKGKKRGLFFNK >KJB75595 pep chromosome:Graimondii2_0_v6:12:6038924:6052159:-1 gene:B456_012G047900 transcript:KJB75595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHDGKLAEKLSGLALNDNHGNNNDDSLSRAVKAIEAAEAVIQQQEEENSRLRSELQKKLELLEKYKVESVSNPNASAKEATNTILNGTFNAFSAAAAASDNNTGPALSHFSSPSTATSFSPTRYQLEGEYSSRLPQGLMPVPQVNNSNSIWKQDVPIKIREHEEEILQLRKQLAEFSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYQLQAAQQERTTFVSSLLPLLAEYSLQPPVPDAQSIVSYVKVLFKHLQEKLIITESKLKDSQYQLAPWRSDVNHSNFTPQSPSHSLGPTLTASSKNGLELVPQPVFSHGKTQIVSGAQTDSNWDLPGQYQGGSGGGIVSKNLEPDDLGRYSPIASRTSTAIEIPTQLAGTQGDTNTVRYGEETINKQVKFLVPVSNSEMDYPDAEGQQIERELPSNWRSGNSPYTPAQDDPSSSYPPYLPPVLEEPSSSFSEEDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRHMEDGSVNYIEGAKQPNYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANYHKKITCDPEMQGHIEKTLYSGHASYKVLSMAGFLDIWEPATLAIKRDGYSIKCSGPNGPVVTEKFYPTTQIKITFEEPTEFIIFGSNGVQRVLRADNNSQDISCSRDTIVLTLRLFILRAGERRKGKKRGLFFNK >KJB75598 pep chromosome:Graimondii2_0_v6:12:6038924:6052115:-1 gene:B456_012G047900 transcript:KJB75598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHDGKLAEKLSGLALNDNHGNNNDDSLSRAVKAIEAAEAVIQQQEEENSRLRSELQKKLELLEKYKVESVSNPNASAKEATNTILNGTFNAFSAAAAASDNNTGPALSHFSSPSTATSFSPTRYQLEGEYSSRLPQGLMPVPQVNNSNSIWKQDVPIKIREHEEEILQLRKQLAEFSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYQLQAAQQERTTFVSSLLPLLAEYSLQPPVPDAQSIVSYVKVLFKHLQEKLIITESKLKDSQYQLAPWRSDVNHSNFTPQSPSHSLGPTLTASSKNGLELVPQPVFSHGKTQIVSGAQTDSNWDLPGQYQGGSGGGIVSKNLEPDDLGRYSPIASRTSTAIEIPTQLAGTQGDTNTVRYGEETINKQVKFLVPVSNSEMDYPDAEGQQIERELPSNWRSGNSPYTPAQDDPSSSYPPYLPPVLEEPSSSFSEAAEDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRHMEDGSVNYIEGAKQPNYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANYHKKITCDPEMQGHIEKTLYSGHASYKVLSMAGFLDIWEPATLAIKRDGYSIKCSGPNGPVVTEKFYPTTQIKITFEEPTEFIIFGSNGVQRVLRADNNSQDISCSRDTIVLTLRLFILRAGERRKGKKRGLFFNK >KJB75601 pep chromosome:Graimondii2_0_v6:12:6039066:6052106:-1 gene:B456_012G047900 transcript:KJB75601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHDGKLAEKLSGLALNDNHGNNNDDSLSRAVKAIEAAEAVIQQQEEENSRLRSELQKKLELLEKYKVESVSNPNASAKEATNTILNGTFNAFSAAAAASDNNTGPALSHFSSPSTATSFSPTRYQLEGEYSSRLPQGLMPVPQVNNSNSIWKQDVPIKIREHEEEILQLRKQLAEFSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYQLQAAQQERTTFVSSLLPLLAEYSLQPPVPDAQSIVSYVKVLFKHLQEKLIITESKLKDSQYQLAPWRSDVNHSNFTPQSPSHSLGPTLTASSKNGLELVPQPVFSHGKTQIVSGAQTDSNWDLPGQYQGGSGGGIVSKNLEPDDLGRYSPIASRTSTAIEIPTQLAGTQGDTNTVRYGEETINKQVKFLVPVSNSEMDYPDAEGQQIERELPSNWRSGNSPYTPAQDDPSSSYPPYLPPVLEEPSSSFSEEDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRHMEDGSVNYIEGAKQPNYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANYHKKITCDPEMQGHIEKTLYSGHASYKVLSMAGFLDIWEPATLAIKRDGYSIKCSGPNGPVVTEKFYPTTQIKITFEEPTEFIIFGSNGVQRVLRADNNSQDISCSRDTIVLTLRLFILRAGERRKGKKRGLFFNK >KJB75600 pep chromosome:Graimondii2_0_v6:12:6039031:6052115:-1 gene:B456_012G047900 transcript:KJB75600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHDGKLAEKLSGLALNDNHGNNNDDSLSRAVKAIEAAEAVIQQQEEENSRLRSELQKKLELLEKYKVESVSNPNASAKEATNTILNGTFNAFSAAAAASDNNTGPALSHFSSPSTATSFSPTRYQLEGEYSSRLPQGLMPVPQVNNSNSIWKQDVPIKIREHEEEILQLRKQLAEFSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYQLQAAQQERTTFVSSLLPLLAEYSLQPPVPDAQSIVSYVKVLFKHLQEKLIITESKLKDSQYQLAPWRSDVNHSNFTPQSPSHSLGPTLTASSKNGLELVPQPVFSHGKTQIVSGAQTDSNWDLPGQYQGGSGGGIVSKNLEPDDLGRYSPIASRTSTAIEIPTQLAGTQGDTNTVRYGEETINKQVKFLVPVSNSEMDYPDAEGQQIERELPSNWRSGNSPYTPAQDDPSSSYPPYLPPVLEEPSSSFSEAAEDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRHMEDGSVNYIEGAKQPNYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANYHKKITCDPEMQGHIEKTLYSGHASYKVLSMAGFLDIWEPATLAIKRDGYSIKCSGPNGPVVTEKFYPTTQIKITFEEPTEFIIFGSNGVQRVLRADNNSQDISCSRDTIVLTLRLFILRLLQAGERRKGKKRGLFFNK >KJB75597 pep chromosome:Graimondii2_0_v6:12:6039619:6051879:-1 gene:B456_012G047900 transcript:KJB75597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHDGKLAEKLSGLALNDNHGNNNDDSLSRAVKAIEAAEAVIQQQEEENSRLRSELQKKLELLEKYKVESVSNPNASAKEATNTILNGTFNAFSAAAAASDNNTGPALSHFSSPSTATSFSPTRYQLEGEYSSRLPQGLMPVPQVNNSNSIWKQDVPIKIREHEEEILQLRKQLAEFSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYQLQAAQQERTTFVSSLLPLLAEYSLQPPVPDAQSIVSYVKVLFKHLQEKLIITESKLKDSQYQLAPWRSDVNHSNFTPQSPSHSLGPTLTASSKNGLELVPQPVFSHGKTQIVSGAQTDSNWDLPGQYQGGSGGGIVSKNLEPDDLGRYSPIASRTSTAIEIPTQLAGTQGDTNTVRYGEETINKQVKFLVPVSNSEMDYPDAEGQQIERELPSNWRSGNSPYTPAQDDPSSSYPPYLPPVLEEPSSSFSEEDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRHMEDGSVNYIEGAKQPNYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANYHKKITCDPEMQGHIEKTLYSGHASYKVLSMAGFLDIWEPATLAIKRDGYSIKCSGPNGPVVTEKFYPTTQIKITFEEPTEFIIFGSNGVQRVLRADNNSQDISCSRDTIVLTLRLFILRLLQAGERRKGKKRGLFFNK >KJB74960 pep chromosome:Graimondii2_0_v6:12:1771861:1776191:-1 gene:B456_012G015700 transcript:KJB74960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPQGSPMEGVPGTPGIREMRPEHGSKNFGFCTDSSRDPNPGIRRVSLRAEIDTSPPFVSVKEAVTRFGGSGPRVPLYKFGEAYNGIEEFDIKKVEEQAAELEKDLIVKELETLDVLEELGTTKRIVEDLKRQLQNEALKCMATATPDNMPSPAIKEMNMEHREQIGNGGSSLCPISSPDLILMELKEAKLNLGKTINDLGVIQTFVGSLNKNTKKEKSLLDITLERLTCKFAGVKVKPQIANNVGAANHGSFENCSNILRSPFHNNSKLEQFKKTVDPAKTEVPRSTPLPGNEENRPCRRTAQMRWIAAKKMEEAARAAEALALIEMNALTGMKGLPSNDNSSGFSLPEPEPEPLLGTPKVIRAEEVSNRKVIHAMHKFAEANISKMSILKKLEEASEEVKHSKQALAEALNRLEIATRKQLDAEEALRRWIPEQEQKKQVIYNATKINNFHPPIPQHQHLPRSPLHDLMNKQNPTMDDEPKPVLRPTVSMRDILSRKQVTPDDCVVKRPNEGHNTERQKVALSQMLHELREDLTFPQIPDHQKEHGDDQKQYLTQRRKFGFIHISLPVAKQNKKKP >KJB74959 pep chromosome:Graimondii2_0_v6:12:1771837:1776239:-1 gene:B456_012G015700 transcript:KJB74959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPQGSPMEGVPGTPGIREMRPEHGSKNFGFCTDSSRDPNPGIRRVSLRAEIDTSPPFVSVKEAVTRFGGSGPRVPLYKFGEAYNGIEEFDIKKVEEQAAELEKDLIVKELETLDVLEELGTTKRIVEDLKRQLQNEALKCMATATPDNMPSPAIKEMNMEHREQIGNGGSSLCPISSPDLILMELKEAKLNLGVKVKPQIANNVGAANHGSFENCSNILRSPFHNNSKLEQFKKTVDPAKTEVPRSTPLPGNEENRPCRRTAQMRWIAAKKMEEAARAAEALALIEMNALTGMKGLPSNDNSSGFSLPEPEPEPLLGTPKVIRAEEVSNRKVIHAMHKFAEANISKMSILKKLEEASEEVKHSKQALAEALNRLEIATRKQLDAEEALRRWIPEQEQKKQVIYNATKINNFHPPIPQHQHLPRSPLHDLMNKQNPTMDDEPKPVLRPTVSMRDILSRKQVTPDDCVVKRPNEGHNTERQKVALSQMLHELREDLTFPQIPDHQKEHGDDQKQYLTQRRKFGFIHISLPVAKQNKKKP >KJB77548 pep chromosome:Graimondii2_0_v6:12:31677456:31681108:1 gene:B456_012G143000 transcript:KJB77548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWNVSSPSSTASLSRPRASKSFQSFNGLHRTNLVFANGYASGLAFVRFGGRLSSVIKSVGKTRAAVQSGEGENVVSTNDAVSISNGFPVDNDEFNLDRATEGFASIPEAIEDIRQGKMVVVVDDEDRENEGDLIMAAQLVTPEAMAFIVKHGTGIVCVSMKEEDLERLNLPLMVNQRENEEKLCTAFTVTVDAKHGTTTGVSAHDRATTILALASKDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLDPVAILCEIVDDDGSMARLPKLRQFAEQESLKIVSIADLIRYRRKRDKLVDHAGAALIPTMWGPFTACCYRSQIDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLSLAMRQIEEAGRGVLVYLRGHEGRGIGLGHKLRAYTLQDAGRDTVEANVELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYSGLKGYGLAIAGRVPLLSPITKDNKRYLATKRDKMGHVYDFTSRMNSVIIGGNGNTTSAVDAVSES >KJB77741 pep chromosome:Graimondii2_0_v6:12:32902104:32902784:-1 gene:B456_012G155000 transcript:KJB77741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPILSEIFFSGFMINSTFIRRTHLVQSFSVVFLYWKRKRMISNRESARRSRMRKQKHLDDLMTQLTQLQKQNHEIITNINFTTQHLLNVESENSVLRAQLNELTHRLQSLNEIISFLNDDHGDDDDDDDKTSIDFTQPAVADNIFLNPFHLAYLNQPIMASA >KJB75423 pep chromosome:Graimondii2_0_v6:12:5215912:5222014:1 gene:B456_012G041500 transcript:KJB75423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDHQKKSSTEMDFFSEYGDATRFKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPMTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMRKKQSIPFEQKFPNADPLALRLLQRLLAFDPKDRPTAEEALADPYFKGLARVEREPSCQPITKMEFEFERRRVTKDDIQELIFREILEYHPQLRKDHMNGTERANFLYPSAVDQFKKQFAHLEENGGKSAPVIPLERKHVSLPRSTIVHSNTIAPKEQQPSLKSLKERQNAEEAYQASKSLQAQQRILLAKPGKIVGPVALYENGTIMKDAYDTRTFIRSTGLPPQAVHPAYTYSKSNTGNQERSERELPSQAKQGPQCSMAAKFAPDIAINIDSNPFFMTRVKKVEPADNRITNDTNLLQARGHYSGIGVGASAAATGPAQRKAGTVQYGMTRMY >KJB76258 pep chromosome:Graimondii2_0_v6:12:13111363:13116712:-1 gene:B456_012G080700 transcript:KJB76258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAREPFLVGLLQFLLNSLASGELMDFRFHGGIQEKLNKWRKMFQAISNVEHDQVPSHFTCLNAIVVHLDVSTGSRTDSITTQLQYISNRSTKKKPLNSYFPTELSVYGKYTNKKRYFELMTRDGSSDDNFSIIPIVGMDGVGNTTLAHLIYNDKACKGFDPKFWVDQSYDDLDIANETLLNMRKCNNIVNHWEGLKFVFMAGAPGTPEYFKLNPLIDDDFWSFFVDHAVPNTDFDTSQNLDGLHGEEDVDIVPVLRLSYHYLPSHLKWCFAYYSILKKYEFENIELILLWMRHPCLGECYLTTKALDLFSKLRCLRVLCLSGYYIRFLPESIRYLKLLRYFNLSFTKIRNLPESTTNMCNLQALILKSCFYLEKWPTNIFEYIVLEKLQPCTKLTKLTIAYYGGTKFPTWHRESSFSHMVHLDLDNCQRCITLPPLGQFPSLKDLCIRSLYAVKSLGLEFFRDAVSEPFPALETLEFEDLREWKDWKFPLDVANAIFHRLCKLTITSCPKLAGKLPSHLPSLEKLVLYRCQQLVVLIPSLPMLNGLMICGYKELVQTSTIGFSKLDRMELSNISKLTCLTEGFMQGLTEVRNLEIHVCKDLLSLGSLNFVRYLEITNGLPLISLGEEEEAKETTQLDNPFTSNLPSSLKILEIKDCFELQCALDEEENVNDNIRNTGLLKELTIKSCPLLTCLSVLEHFQIFILSQ >KJB78051 pep chromosome:Graimondii2_0_v6:12:34454968:34465642:-1 gene:B456_012G175100 transcript:KJB78051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:Projected from Arabidopsis thaliana (AT1G67550) UniProtKB/Swiss-Prot;Acc:Q9SR52] MKLTPKEVEKLGLHNAGYLAQKRLARGLRLNYTEAVALIATQILEFVRDGNWSVAELMDIGPRLLGWRQVLPAVPHLLDSVQVEGTFPDGTKLITVHNVVNCENGDLHLALYGSFLPVPSPDKFLEMEDKMVPGDLIFKDGIISLNLGRKAVRLNVTNRGDRPVQVGSHYHFIEVNPYMVFDRRRAYGMRLNIRAGTATRFEPGESKPVVLVSIGGNKVIRGGNGIVDGPVDYNNIETVMETIRIEGYGNTEDADASEGLTGEDSDFTTTVSPEAYANMYGPTTGDKIRLGDTNLYAEIEQDFAIYGDECVFGGGKVIRDGMGQSCGHLPDKSLDIVITNAVIIDYTGIFKADIGVKNGCIVGLGKAGNPDTMDGVSENMTIGINTEVIAGEGLIVTAGAIDCHVHFICPQLVHEAISSGITTLVGGGTGPAEGTRATTCTPAPSQMKMMLQSTDDFPLNFGFTGKGNGSKPEEIHEIIKAGAMGLKLHEDWGTTPAAIDSCLAVAELYDIQVNIHTDTLNESGFVEQTINAFKGRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTSNTIDEHLDMLMVCHHLSKDIPEDVAFAESRIRAETIAAEDILHDTGAISIISSDSQAMGRIGEVICRTWQTAHKMKSQRGPLGPADSDNDNLRIKRYIAKYTINAAIANGIAEFVGSVEVGKLADLVLWKPSFFGAKPEMVIKGGEVTWANMGDANASIPTPEPVLSRPMFGAFGKAASANSIAFVSKAALDRGVKESYGLQKRVEAVGNTRNLTKLDMKLNDALPNIEVDPETYIVKADGEVLTCDAATTVPLSRNYFLF >KJB78049 pep chromosome:Graimondii2_0_v6:12:34455159:34465607:-1 gene:B456_012G175100 transcript:KJB78049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:Projected from Arabidopsis thaliana (AT1G67550) UniProtKB/Swiss-Prot;Acc:Q9SR52] MEDKMVPGDLIFKDGIISLNLGRKAVRLNVTNRGDRPVQVGSHYHFIEVNPYMVFDRRRAYGMRLNIRAGTATRFEPGESKPVVLVSIGGNKVIRGGNGIVDGPVDYNNIETVMETIRIEGYGNTEDADASEGLTGEDSDFTTTVSPEAYANMYGPTTGDKIRLGDTNLYAEIEQDFAIYGDECVFGGGKVIRDGMGQSCGHLPDKSLDIVITNAVIIDYTGIFKADIGVKNGCIVGLGKAGNPDTMDGVSENMTIGINTEVIAGEGLIVTAGAIDCHVHFICPQLVHEAISSGITTLVGGGTGPAEGTRATTCTPAPSQMKMMLQSTDDFPLNFGFTGKGNGSKPEEIHEIIKAGAMGLKLHEDWGTTPAAIDSCLAVAELYDIQVNIHTDTLNESGFVEQTINAFKGRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTSNTIDEHLDMLMVCHHLSKDIPEDVAFAESRIRAETIAAEDILHDTGAISIISSDSQAMGRIGEVICRTWQTAHKMKSQRGPLGPADSDNDNLRIKRYIAKYTINAAIANGIAEFVGSVEVGKLADLVLWKPSFFGAKPEMVIKGGEVTWANMGDANASIPTPEPVLSRPMFGAFGKAASANSIAFVSKAALDRGVKESYGLQKRVEAVGNTRNLTKLDMKLNDALPNIEVDPETYIVKADGEVLTCDAATTVPLSRNYFLF >KJB78050 pep chromosome:Graimondii2_0_v6:12:34456375:34465437:-1 gene:B456_012G175100 transcript:KJB78050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:Projected from Arabidopsis thaliana (AT1G67550) UniProtKB/Swiss-Prot;Acc:Q9SR52] MKLTPKEVEKLGLHNAGYLAQKRLARGLRLNYTEAVALIATQILEFVRDGNWSVAELMDIGPRLLGWRQVLPAVPHLLDSVQVEGTFPDGTKLITVHNVVNCENGDLHLALYGSFLPVPSPDKFLEMEDKMVPGDLIFKDGIISLNLGRKAVRLNVTNRGDRPVQVGSHYHFIEVNPYMVFDRRRAYGMRLNIRAGTATRFEPGESKPVVLVSIGGNKVIRGGNGIVDGPVDYNNIETVMETIRIEGYGNTEDADASEGLTGEDSDFTTTVSPEAYANMYGPTTGDKIRLGDTNLYAEIEQDFAIYGDECVFGGGKVIRDGMGQSCGHLPDKSLDIVITNAVIIDYTGIFKADIGVKNGCIVGLGKAGNPDTMDGVSENMTIGINTEVIAGEGLIVTAGAIDCHVHFICPQLVHEAISSGITTLVGGGTGPAEGTRATTCTPAPSQMKMMLQSTDDFPLNFGFTGKGNGSKPEEIHEIIKAGAMGLKLHEDWGTTPAAIDSCLAVAELYDIQVNIHTDTLNESGFVEQTINAFKGRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTSNTIDEHLDMLMVCHHLSKDIPEDVAFAESRIRAETIAAEDILHDTGAISIISSDSQAMGRIGEVICRTWQTAHKMKSQRGPLGPADSDNDNLRIKRYIAKYTINAAIANGIAEFVGSVEVGKLADLVLWKPSFFGAKPEMVIKGGEVTWANMGDANASIPTPEPVTFYILRFFFLFLFLFPFGVFASNVCFQLISGHE >KJB78048 pep chromosome:Graimondii2_0_v6:12:34455159:34465922:-1 gene:B456_012G175100 transcript:KJB78048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:Projected from Arabidopsis thaliana (AT1G67550) UniProtKB/Swiss-Prot;Acc:Q9SR52] MKLTPKEVEKLGLHNAGYLAQKRLARGLRLNYTEAVALIATQILEFVRDGNWSVAELMDIGPRLLGWRQVLPAVPHLLDSVQVEGTFPDGTKLITVHNVVNCENGDLHLALYGSFLPVPSPDKFLEMEDKMVPGDLIFKDGIISLNLGRKAVRLNVTNRGDRPVQVGSHYHFIEVNPYMVFDRRRAYGMRLNIRAGTATRFEPGESKPVVLVSIGGNKVIRGGNGIVDGPVDYNNIETVMETIRIEGYGNTEDADASEGLTGEDSDFTTTVSPEAYANMYGPTTGDKIRLGDTNLYAEIEQDFAIYGDECVFGGGKVIRDGMGQSCGHLPDKSLDIVITNAVIIDYTGIFKADIGVKNGCIVGLGKAGNPDTMDGVSENMTIGINTEVIAGEGLIVTAGAIDCHVHFICPQLVHEAISSGITTLVGGGTGPAEGTRATTCTPAPSQMKMMLQSTDDFPLNFGFTGKGNGSKPEEIHEIIKAGAMGLKLHEDWGTTPAAIDSCLAVAELYDIQVNIHTDTLNESGFVEQTINAFKGRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTSNTIDEHLDMLMVCHHLSKDIPEDVAFAESRIRAETIAAEDILHDTGAISIISSDSQAMGRIGEVICRTWQTAHKMKSQRGPLGPADSDNDNLRIKRYIAKYTINAAIANGIAEFVGSVEVGKLADLVLWKPSFFGAKPEMVIKGGEVTWANMGDANASIPTPEPVLSRPMFGAFGKAASANSIAFVSKAALDRGVKESYGLQKRVEAVGNTRNLTKLDMKLNDALPNIEVDPETYIVKADGEVLTCDAATTVPLSRNYFLF >KJB76236 pep chromosome:Graimondii2_0_v6:12:12846769:12847888:-1 gene:B456_012G079300 transcript:KJB76236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTAAPAFSLVNPQVEHQGLPLFSSVPSAQSSSSFLRLSSPSNGVGSAVVFASKGSENKPLTGVVFEPFEEVKKELNLVPTLPQVSLARQKFSEECAAAINQHINVEYSISYGYHAMFAYFDRDNVALEGFAKFFNELSLRDRGQAEKLMRYQVLIYAVFCLFVMFSV >KJB77583 pep chromosome:Graimondii2_0_v6:12:31936524:31940168:1 gene:B456_012G145200 transcript:KJB77583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVVVAIVGGISTLLLVLGLVWLFCRREKYSRMREDKAMSVNRKMEMVTAARKFSYRELRFATSNFADEGLLGEGGLGKVYLGFLSNINCSIAVKRKTPNSHQNAPLILPYLLNFVQDCNLGLNRRKRKQLGQIKERSKEGGPKSERVESEVTTSGRLRHRNLVQLIGWCHENKEFLPNKSLDYHLHREPCLYKIAMGVASALFYLQEECDQCVLHRDIKSSNVLLDLSFNAKLSDLGLARVVDHGQGSQTTKVMLGTGGYIAPECLDTYKAIKESNVYNFGIVALEIASEKKAIALIERNGQRFKTKLVEWVWELYGKESLFDAADPRLSGNYDMEQMERLLLVGLACAHPNYFDRPSITQAVDILSFKAALSMEAKDPYFGPIFLPEPSHISGGLSGRAAQPIDRSSLYSVLLISSY >KJB75934 pep chromosome:Graimondii2_0_v6:12:9160151:9163346:1 gene:B456_012G064400 transcript:KJB75934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVISSSKSNPPSTSGTPRPSRPPSPNGSEKERTVSTSHVATLNHGVRIVRHPNGSIGYQKHSSKPADGNVQYEELPDTAKQCSDSSKGKSEKAENKSSFKHPIYDHKSSSSSGSLESEKVVQLNNHTVSRMEPSSYPNPALNMCAGTHYAEAKQSFTNTEVSECANSVEKSGESGEVSNSFDLGESRKTSLYRESTGSDVSDESSSSFLSSTVYKPHKANDIRWEAIQAVRSQKGDLDFKHFRVLKRLGCGDIGSVYLSELTGTKTYFAMKVMDKALLASRKKLPRAQTEREILQSLDHPFLPTLYTHFETQKLSCLVMEFCPGGDLHALRQRQPGKYFSEQAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTLVKSSNLTLESKSSAYCAQPACIEPTCVMQPDCIQPACFGPRFFSSKSKKEKKSKVKNETSHQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGAGNRATLFNVVGQPLRFPEYPSVSFAAKDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQSVNWALIRCANPPDVPKQVVMDFSAGTNSAKVPPTNDKVPGLDVKPSGNYLEIDFF >KJB75935 pep chromosome:Graimondii2_0_v6:12:9160045:9163369:1 gene:B456_012G064400 transcript:KJB75935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVISSSKSNPPSTSGTPRPSRPPSPNGSEKERTVSTSHVATLNHGVRIVRHPNGSIGYQKHSSKPADGNVQYEELPDTAKQCSDSSKGKSEKAENKSSFKHPIYDHKSSSSSGSLESEKVVQLNNHTVSRMEPSSYPNPALNMCAGTHYAEAKQSFTNTEVSECANSVEKSGESGEVSNSFDLGESRKTSLYRESTGSDVSDESSSSFLSSTVYKPHKANDIRWEAIQAVRSQKGDLDFKHFRVLKRLGCGDIGSVYLSELTGTKTYFAMKVMDKALLASRKKLPRAQTEREILQSLDHPFLPTLYTHFETQKLSCLVMEFCPGGDLHALRQRQPGKYFSEQAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTLVKSSNLTLESKSSAYCAQPACIEPTCVMQPDCIQPACFGPRFFSSKSKKEKKSKVKNETSHQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGAGNRATLFNVVGQPLRFPEYPSVSFAAKDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQSVNWALIRCANPPDVPKQVVMDFSAGTNSAKVPPTNDKVPGLDVKPSGNYLEIDFF >KJB75992 pep chromosome:Graimondii2_0_v6:12:9594930:9616282:1 gene:B456_012G067000 transcript:KJB75992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSQSSACLIHASRLPCTPRTLKHDVLTTTMLHCGSRISKLCRSPVTPPFNFPRRLLIKFEFSSLFQAKGLNTYDEESDEFLPWLERKARTKISSMLSIGKSAYGRSLFASENIRTGDCILKVPYSVEITPDNLLPKIRAILSDKIGTVSKLAIILLVERKMGQSSGWAPYICCLPQHGEIHSTALQQFPDLESITLQDFMCAYFSVTSRAWESTKGLSLVIADRNYAPGEEVLINYGKFPNAMLLLDFGFTVPYNIHDQVQIQLSIPHDDNLREMKLELLQHLTPKIKDAVGFNCPEDTFIIKEVRSPRGKGKGLPQALRAFARLLCCNSSQELSDLAMEAAQIDGRLARRPLKDSRREFKAHKMLSSHITQLMQKYDTAIKSLPVNSPSMSNTFTLRRQMAHDLLTGELRVLKSASIWLNNYCAVLKSTSNCRSQWKNRI >KJB75990 pep chromosome:Graimondii2_0_v6:12:9594930:9608803:1 gene:B456_012G067000 transcript:KJB75990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSQSSACLIHASRLPCTPRTLKHDVLTTTMLHCGSRISKLCRSPVTPPFNFPRRLLIKFEFSSLFQAKGLNTYDEESDEFLPWLERKARTKISSMLSIGKSAYGRSLFASENIRTGDCILKVPYSVEITPDNLLPKIRAILSDKIGTVSKLAIILLVERKMGQSSGWAPYICCLPQHGEIHSTALQQFPDLESITLQDFMCAYFSVTSRAWESTKGLSLIPFTDFMNHDGVSKSIVLFDDDKMFSEVIADRNYAPGEEGKVIENEEMTPYSVTLLRKNLVPLETSVQEKTSGRLLDRPD >KJB75989 pep chromosome:Graimondii2_0_v6:12:9594930:9603160:1 gene:B456_012G067000 transcript:KJB75989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSQSSACLIHASRLPCTPRTLKHDVLTTTMLHCGSRISKLCRSPVTPPFNFPRRLLIKFEFSSLFQAKGLNTYDEESDEFLPWLERKARTKISSMLSIGKSAYGRSLFASENIRTGDCILKVPYSVEITPDNLLPKIRAILSDKIGTVSKLAIILLVERKMGQSSGWAPYICCLPQHGEIHSTIFWSEYELNMICQSSVYQETVNQKAKIEKDFAAVVPALQQFPDLESITLQDFMCAYFSVTSRAWESTKGLSLIPFTDFMNHDGVSKSIVLFDDDKMFSEVIADRNYAPGEECAISRCRSFITWRSSPIR >KJB75993 pep chromosome:Graimondii2_0_v6:12:9594930:9616282:1 gene:B456_012G067000 transcript:KJB75993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSQSSACLIHASRLPCTPRTLKHDVLTTTMLHCGSRISKLCRSPVTPPFNFPRRLLIKFEFSSLFQAKGLNTYDEESDEFLPWLERKARTKISSMLSIGKSAYGRSLFASENIRTGDCILKVPYSVEITPDNLLPKIRAILSDKIGTVSKLAIILLVERKMGQSSGWAPYICCLPQHGEIHSTIFWSEYELNMICQSSVYQETVNQKAKIEKDFAAVVPALQQFPDLESITLQDFMCAYFSVTSRAWESTKGLSLVIADRNYAPGEEVLINYGKFPNAMLLLDFGFTVPYNIHDQVQIQLSIPHDDNLREMKLELLQHLTPKIKDAVGFNCPEDTFIIKEVRSPRGKGKGLPQALRAFARLLCCNSSQELSDLAMEAAQIDGRLARRPLKDSRREFKAHKMLSSHITQLMQKYDTAIKSLPVNSPSMSNTFTLRRQMAHDLLTGELRVLKSASIWLNNYCAVLKSTSNCRSQWKNRI >KJB75991 pep chromosome:Graimondii2_0_v6:12:9594930:9608803:1 gene:B456_012G067000 transcript:KJB75991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSQSSACLIHASRLPCTPRTLKHDVLTTTMLHCGSRISKLCRSPVTPPFNFPRRLLIKFEFSSLFQAKGLNTYDEESDEFLPWLERKARTKISSMLSIGKSAYGRSLFASENIRTGDCILKVPYSVEITPDNLLPKIRAILSDKIGTVSKLAIILLVERKMGQSSGWAPYICCLPQHGEIHSTIFWSEYELNMICQSSVYQETVNQKAKIEKDFAAVVPALQQFPDLESITLQDFMCAYFSVTSRAWESTKGLSLIPFTDFMNHDGVSKSIVLFDDDKMFSEVIADRNYAPGEEGKVIENEEMTPYSVTLLRKNLVPLETSVQEKTSGRLLDRPD >KJB75988 pep chromosome:Graimondii2_0_v6:12:9594930:9603160:1 gene:B456_012G067000 transcript:KJB75988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSQSSACLIHASRLPCTPRTLKHDVLTTTMLHCGSRISKLCRSPVTPPFNFPRRLLIKFEFSSLFQAKGLNTYDEESDEFLPWLERKARTKISSMLSIGKSAYGRSLFASENIRTGDCILKVPYSVEITPDNLLPKIRAILSDKIGTVSKLAIILLVERKMGQSSGWAPYICCLPQHGEIHSTALQQFPDLESITLQDFMCAYFSVTSRAWESTKGLSLIPFTDFMNHDGVSKSIVLFDDDKMFSEVIADRNYAPGEECAISRCRSFITWRSSPIR >KJB75994 pep chromosome:Graimondii2_0_v6:12:9595060:9616647:1 gene:B456_012G067000 transcript:KJB75994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCGSRISKLCRSPVTPPFNFPRRLLIKFEFSSLFQAKGLNTYDEESDEFLPWLERKARTKISSMLSIGKSAYGRSLFASENIRTGDCILKVPYSVEITPDNLLPKIRAILSDKIGTVSKLAIILLVERKMGQSSGWAPYICCLPQHGEIHSTIFWSEYELNMICQSSVYQETVNQKAKIEKDFAAVVPALQQFPDLESITLQDFMCAYFSVTSRAWESTKGLSLIPFTDFMNHDGVSKSIVLFDDDKMFSEVIADRNYAPGEEVLINYGKFPNAMLLLDFGFTVPYNIHDQVQIQLSIPHDDNLREMKLELLQHLTPKIKDAVGFNCPEDTFIIKEVRSPRGKGKGLPQALRAFARLLCCNSSQELSDLAMEAAQIDGRLARRPLKDSRREFKAHKMLSSHITQLMQKYDTAIKSLPVNSPSMSNTFTLRRQMAHDLLTGELRVLKSASIWLNNYCAVLKSTSNCRSQWKNRI >KJB77081 pep chromosome:Graimondii2_0_v6:12:27422106:27424439:-1 gene:B456_012G119600 transcript:KJB77081 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY33 [Source:Projected from Arabidopsis thaliana (AT2G38470) UniProtKB/TrEMBL;Acc:A0A384L4W4] MAASSSSAFINMDNNVNQSTSTNTTWPSFSDHNKFSTQVPNFKSFAPSPTLISPSSAFSPTDFLDSPVLFSTSALFSSPTTTGAFGGAQTVNWKNDSNDHQQGIKPKGFDFSFQVPQTQLTLPSTTATTAFQSCSNTVSMEQPAWSLEKTGGEMVQFKPNMQSNTGSQPAGNYTSQYHQTSQYMNNRKVEDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRGNRSSSSHSAEISDQSVGTLGNQLGDSFIMQDETSGSIDDDDFDQASSAISNTNGCDDINENEPDAKRWKGENENEGMVGCGSKTVKEPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTIGCPVRKHVERASHDLRAVITTYEGKHNHNVPAARGSGYAMNRPSAVTANMPIRPSAVPSQPNNTMYPNSGVSLGMLQNNNNNNNTGSFGFSRLGKSIGSSYMSQPHFSDGAFAKDEPRDDSFLDGLLS >KJB77078 pep chromosome:Graimondii2_0_v6:12:27422106:27424266:-1 gene:B456_012G119600 transcript:KJB77078 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY33 [Source:Projected from Arabidopsis thaliana (AT2G38470) UniProtKB/TrEMBL;Acc:A0A384L4W4] QPQTPYPPPMAASSSSAFINMDNNVNQSTSTNTTWPSFSDHNKFSTQVPNFKSFAPSPTLISPSSAFSPTDFLDSPVLFSTSALFSSPTTTGAFGGAQTVNWKNDSNDHQQGIKPKGFDFSFQVPQTQLTLPSTTATTAFQSCSNTVSMEQPAWSLEKTGGEMVQFKPNMQSNTGSQPAGNYTSQYHQTSQYMNNRKVEDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRGNRSSSSHSAEISDQSVGTLGNQLGDSFIMQDETSGSIDDDDFDQASSAISNTNGCDDINENEPDAKRW >KJB77079 pep chromosome:Graimondii2_0_v6:12:27423100:27424266:-1 gene:B456_012G119600 transcript:KJB77079 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY33 [Source:Projected from Arabidopsis thaliana (AT2G38470) UniProtKB/TrEMBL;Acc:A0A384L4W4] QPQTPYPPPMAASSSSAFINMDNNVNQSTSTNTTWPSFSDHNKFSTQVPNFKSFAPSPTLISPSSAFSPTDFLDSPVLFSTSALFSSPTTTGAFGGAQTVNWKNDSNDHQQGIKPKGFDFSFQVPQTQLTLPSTTATTAFQSCSNTVSMEQPAWSLEKTGGEMVQFKPNMQSNTGSQPAGNYTSQYHQTSQYMNNRKVEDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRGNRSSSSHSAEISDQSVGTLGNQLGDSFIMQDETSGSIDDDDFDQASSAISNTNGCDDINENEPDAKRW >KJB77077 pep chromosome:Graimondii2_0_v6:12:27422106:27424266:-1 gene:B456_012G119600 transcript:KJB77077 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY33 [Source:Projected from Arabidopsis thaliana (AT2G38470) UniProtKB/TrEMBL;Acc:A0A384L4W4] QPQTPYPPPMAASSSSAFINMDNNVNQSTSTNTTWPSFSDHNKFSTQVPNFKSFAPSPTLISPSSAFSPTDFLDSPVLFSTSALFSSPTTTGAFGGAQTVNWKNDSNDHQQGIKPKGFDFSFQVPQTQLTLPSTTATTAFQSCSNTVSMEQPAWSLEKTGGEMVQFKPNMQSNTGSQPAGNYTSQYHQTSQYMNNRKVEDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRGNRSSSSHSAEISDQSVGTLGNQLGDSFIMQDETSGSIDDDDFDQASSAISNTNGCDDINENEPDAKRWKGENENEGMVGCGSKTVKEPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRLVIILHLIVY >KJB77080 pep chromosome:Graimondii2_0_v6:12:27422106:27424267:-1 gene:B456_012G119600 transcript:KJB77080 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY33 [Source:Projected from Arabidopsis thaliana (AT2G38470) UniProtKB/TrEMBL;Acc:A0A384L4W4] MRAFRLNKRIGFPQEQPAWSLEKTGGEMVQFKPNMQSNTGSQPAGNYTSQYHQTSQYMNNRKVEDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRGNRSSSSHSAEISDQSVGTLGNQLGDSFIMQDETSGSIDDDDFDQASSAISNTNGCDDINENEPDAKRWKGENENEGMVGCGSKTVKEPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTIGCPVRKHVERASHDLRAVITTYEGKHNHNVPAARGSGYAMNRPSAVTANMPIRPSAVPSQPNNTMYPNSGVSLGMLQNNNNNNNTGSFGFSRLGKSIGSSYMSQPHFSDGAFAKDEPRDDSFLDGLLS >KJB75208 pep chromosome:Graimondii2_0_v6:12:3890435:3895209:1 gene:B456_012G031400 transcript:KJB75208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHSNTHTEAAKLEQIITEFFYKSLLIILESRSPYVSSRNYSGEQIMSSPSSSSSSSSGVRPRDKWFNLALRECPSALENFDLCRQSNFEPVVVDVILEQKQLDWDPVTFSPKSDLVKHSSSKDKNSFFWNSDQEESGCEAKGEKVIERWFVQHESRKGRDCSSGSRRSSSNNLSALYKKLILLLRSLYVTVRLLPAYKIFRDLNSSGQIRAFKLVPRVSSSVESFTQKEEAEMQRFWFTPVDTSCGRLCLSVLYRSSISDISSESSTPMSPQFIPDYVGSPLTDPLRRFPSLPVSQGSPSSLPFSRRHSWSFDRYKASPPSVSFSPSPTHSESHALVSNPSSRRAPPMSLPPHPPGASLPHKKNTNFDEYWPSPNFSASPSPSPSPSPSPSPPIRIPGGHLSKALLRSESAPVNIPVRKLAGSPALSCKQNLPPSPPLKISRADISWTDNNMGPMKSGATIEKLFSFGKGDGQKYSGVNSPRTSFFRSSSRSIQDDFDDSEVPCPFDVEYDDMDPGSRPESYDRRGNPSDPNEAGLFMNRKSQDAAVGALVQMLKKAPPLRQDIPSSINFSEASRPEMWNNNIQEQNQNTEAVTVEHDASSIITSSRLVASMTTADALEELRSYKEMKNLLLSDDGKS >KJB76282 pep chromosome:Graimondii2_0_v6:12:13302947:13311239:1 gene:B456_012G081300 transcript:KJB76282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFYNQTRAASSLMRRLKRSVINPTAVSRARCFTTTEGHRPTLVHKRSLDILHDPWFNKGTAFSMTERDRLDLRGLLPPNVMSSEQQIERFMVDLKRLEVQARDGPSDPNALAKWRILNRLHDRNETMYYKVLIANIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKNPLYLGLQQHRLDGDEYIAVIDEFMEAVFTRWPNVIVQFEDFQSKWAFKLLQRYRNAHRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVVNAARKTMARMLGNTEHAFDSAKSQFWVVDANGLITDERENIDPDALPFARNTNEAGRQGLREGSSLVEVVRQVRPDVLLGLSGVGGLFSKEVLEALKGSTSAKPAIFAMSNPTKNAECTPEEAFSIVGDNIIFASGSPFRDVDLGNGQIGHSNQGNNMYLFPGLAAYITEDEVLKGMIFPPISKIRDITKEVAAAVVKEAVEEDLAEGYRDIDARELQKICQNEEEVLEYVENSMWSPEYPTLVYKRG >KJB76280 pep chromosome:Graimondii2_0_v6:12:13302947:13311269:1 gene:B456_012G081300 transcript:KJB76280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFYNQTRAASSLMRRLKRSVINPTAVSRARCFTTTEGHRPTLVHKRSLDILHDPWFNKGTAFSMTERDRLDLRGLLPPNVMSSEQQIERFMVDLKRLEVQARDGPSDPNALAKWRILNRLHDRNETMYYKVLIANIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKNPLYLGLQQHRLDGDEYIAVIDEFMEAVFTRWPNVIVQFEDFQSKWAFKLLQRYRNAHRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVVNAARKTMARMLGNTEHAFDSAKSQFWVVDANGLITDERENIDPDALPFARNTNEAGRQGLREGSSLVEVVRQVRPDVLLGLSGVGGLFSKEVLEALKGSTSAKPAIFAMSNPTKNAECTPEEAFSIVGDNIIFASGSPFRDVDLGNGQIGHSNQGNNMYLFPGIGLGTLLSGSRIISDGMLQAAAERLAAYITEDEVLKGMIFPPISKIRDITKEVAAAVVKEAVEEDLAEGYRDIDARELQKICQNEEEVLEYVENSMWSPEYPTLVYKRG >KJB76284 pep chromosome:Graimondii2_0_v6:12:13302947:13311239:1 gene:B456_012G081300 transcript:KJB76284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFYNQTRAASSLMRRLKRSVINPTAVSRARCFTTTEGHRPTLVHKRSLDILHDPWFNKGTAFSMTERDRLDLRGLLPPNVMSSEQQIERFMVDLKRLEVQARDGPSDPNALAKWRILNRLHDRNETMYYKVLIANIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKNPLYLGLQQHRLDGDEYIAVIDEFMEAVFTRWPNVIVQFEDFQSKWAFKLLQRYRNAHRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVVNAARKTMARMLGNTEHAFDSAKSQFWVVDANGLITDERENIDPDALPFARNTNEAGRQGLREGSSLVEVVRQVRPDVLLGLSGVGGLFSKEVLEALKGSTSAKPAIFAMSNPTKNAECTPEEAFSIVGDNIIFASGSPFRDVDLGNGQIGHSNQGNNMYLFPGIGLGTLLSGSRIISDGMLQAAAERLAAYITEDEVLKGMIFPPISKIRDITKEVAAAVVKEAVEEDLAEGYRDIDARELQKICQNEEEVLEYVENSMWSPEYPTLVYKRG >KJB76281 pep chromosome:Graimondii2_0_v6:12:13302896:13311288:1 gene:B456_012G081300 transcript:KJB76281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFYNQTRAASSLMRRLKRSVINPTAVSRARCFTTTEGHRPTLVHKRSLDILHDPWFNKGTAFSMTERDRLDLRGLLPPNVMSSEQQIERFMVDLKRLEVQARDGPSDPNALAKWRILNRLHDRNETMYYKVLIANIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKNPLYLGLQQHRLDGDEYIAVIDEFMEAVFTRWPNVIVQFEDFQSKWAFKLLQRYRNAHRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVVNAARKTMARMLGNTEHAFDSAKSQFWVVDANGLITDERENIDPDALPFARNTNEAGRQGLREGSSLVEVVRQVRPDVLLGLSGVGGLFSKEVLEALKGSTSAKPAIFAMSNPTKNAECTPEEAFSIVGDNIIFASGSPFRDVDLGNGQIGHSNQGNNMYLFPGIGLGTLLSGSRIISDGMLQAAAERLAAYITEDEVLKGMIFPPISKIRDITKEVAAAVVKEAVEEDLAEGYRDIDARELQKICQNEEEVLEYVENSMWSPEYPTLVYKRGMDCLLFFVLTSHRLRLAFIICIRAEL >KJB76283 pep chromosome:Graimondii2_0_v6:12:13303095:13310460:1 gene:B456_012G081300 transcript:KJB76283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFYNQTRAASSLMRRLKRSVINPTAVSRARCFTTTEGHRPTLVHKRSLDILHDPWFNKGTAFSMTERDRLDLRGLLPPNVMSSEQQIERFMVDLKRLEVQARDGPSDPNALAKWRILNRLHDRNETMYYKVLIANIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKNPLYLGLQQHRLDGDEYIAVIDEFMEAVFTRWPNVIVQFEDFQSKWAFKLLQRYRNAHRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVVNAARKTMARMLGNTEHAFDSAKSQFWVVDANGLITDERENIDPDALPFARNTNEAGRQGLREGSSLVEVVRQVRPDVLLGLSGVGGLFSKEVLEALKGSTSAKPAIFAMSNPTKNAECTPEEAFSIVGDNIIFASGSPFRDVDLGNGQIGHSNQGNNMYLFPGIGLGTLLSGSRIISDGMLQAAAERLAAYITEDEVLKGMIFPPISKYDKRYNKGGSSSCGEGSCRRGSGRRIS >KJB76626 pep chromosome:Graimondii2_0_v6:12:19966023:19973230:-1 gene:B456_012G097500 transcript:KJB76626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MANQSSRYPILCASFNQDNSGFAISTKDGFKIIDSNTGRLCYDRAVGAFIIVEMLYSSSLLAIVGAGEQPSLSPRRLCLFNTTTGAPLREMTFLTSILAVRLNRKRLVVVLQEKTYIYDSNSLSMLDTIDTVPNLKGLCAFSPSLEGCFLALPASTAKGAVLVYNVMELQLHCEIDAHRSPLAAIALSSNGKYIATASEQGTIIRVHLVSEATKSYSFRRGTCPSTIFSLSFAPSLQLPDILVATSSSGSVHIFSLGFETNQRTKMSGSFLGSILPYSVNDALDPAHHHVLHNAVSAGVRSYAVVRKVDKVADSSSSEIASCRNIPSLSTARTSLHGAWSVNSTLWQSFQVMRKPHDTFNGRTSIERSCCNT >KJB76623 pep chromosome:Graimondii2_0_v6:12:19966316:19972791:-1 gene:B456_012G097500 transcript:KJB76623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MANQSSRYPILCASFNQDNSGFAISTKDGFKIIDSNTGRLCYDRAVGAFIIVEMLYSSSLLAIVGAGEQPSLSPRRLCLFNTTTGAPLREMTFLTSILAVRLNRKRLVVVLQEKTYIYDSNSLSMLDTIDTVPNLKGLCAFSPSLEGCFLALPASTAKGAVLVYNVMELQLHCEIDAHRSPLAAIALSSNGKYIATASEQGTIIRVHLVSEATKSYSFRRGTCPSTIFSLSFAPSLQLPDILVATSSSGSVHIFSLGFETNQRTKMSGSFLGSILPYSVNDALDPAHHHVLHNAVSAGVRSYAVVRKVDKVADSSSSEIASCRAIISLIAYNGYFQEYTFTLNSQNESTWSLEREFNLVAVISGNAETS >KJB76622 pep chromosome:Graimondii2_0_v6:12:19966316:19972791:-1 gene:B456_012G097500 transcript:KJB76622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MANQSSRYPILCASFNQDNSGFAISTKDGFKIIDSNTGRLCYDRAVGAFIIVEMLYSSSLLAIVGAGEQPSLSPRRLCLFNTTTGAPLREMTFLTSILAVRLNRKRLVVVLQEKTYIYDSNSLSMLDTIDTVPNLKGLCAFSPSLEGCFLALPASTAKGAVLVYNVMELQLHCEIDAHRSPLAAIALSSNGKYIATASEQGTIIRVHLVSEATKSYSFRRGTCPSTIFSLSFAPSLQLPDILVATSSSGSVHIFSLGFETNQSLLTLSRTKMSGSFLGSILPYSVNDALDPAHHHVLHNAVSAGVRSYAVVRKVDKVADSSSSEIASCRAIISLIAYNGYFQEYTFTLNSQNESTWSLEREFNLVAVISGNAETS >KJB76624 pep chromosome:Graimondii2_0_v6:12:19966316:19972333:-1 gene:B456_012G097500 transcript:KJB76624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MPSLSPRRLCLFNTTTGAPLREMTFLTSILAVRLNRKRLVVVLQEKTYIYDSNSLSMLDTIDTVPNLKGLCAFSPSLEGCFLALPASTAKGAVLVYNVMELQLHCEIDAHRSPLAAIALSSNGKYIATASEQGTIIRVHLVSEATKSYSFRRGTCPSTIFSLSFAPSLQLPDILVATSSSGSVHIFSLGFETNQRTKMSGSFLGSILPYSVNDALDPAHHHVLHNAVSAGVRSYAVVRKVDKVADSSSSEIASCRAIISLIAYNGYFQEYTFTLNSQNESTWSLEREFNLVAVISGNAETS >KJB76627 pep chromosome:Graimondii2_0_v6:12:19966593:19972903:-1 gene:B456_012G097500 transcript:KJB76627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MANQSSRYPILCASFNQDNSGFAISTKDGFKIIDSNTGRLCYDRAVGAFIIVEMLYSSSLLAIVGAGEQPSLSPRRLCLFNTTTGAPLREMTFLTSILAVRLNRKRLVVVLQEKTYIYDSNSLSMLDTIDTVPNLKGLCAFSPSLEGCFLALPASTAKGAVLVYNVMELQLHCEIDAHRSPLAAIALSSNGKYIATASEQGTIIRVHLVSEATKSYSFRRGTCPSTIFSLSFAPSLQLPDILVATSSSGSVHIFSLGFETNQRTKMSGSFLGSILPYSVNDALDPAHHHVLHNAVSAGVRSYAVVRKVDKVADSSSSEIASCRSVLGCVLASELYLFIYFCVPFYLPYLYVRLPCPLPPFFYLLTSARS >KJB76625 pep chromosome:Graimondii2_0_v6:12:19966098:19973077:-1 gene:B456_012G097500 transcript:KJB76625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MPSLSPRRLCLFNTTTGAPLREMTFLTSILAVRLNRKRLVVVLQEKTYIYDSNSLSMLDTIDTVPNLKGLCAFSPSLEGCFLALPASTAKGAVLVYNVMELQLHCEIDAHRSPLAAIALSSNGKYIATASEQGTIIRVHLVSEATKSYSFRRGTCPSTIFSLSFAPSLQLPDILVATSSSGSVHIFSLGFETNQRTKMSGSFLGSILPYSVNDALDPAHHHVLHNAVSAGVRSYAVVRKVDKVADSSSSEIASCRNIPSLSTARTSLHGAWSVNSTLWQSFQVMRKPHDTFNGRTSIERSCCNT >KJB77317 pep chromosome:Graimondii2_0_v6:12:30042007:30046372:-1 gene:B456_012G131400 transcript:KJB77317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G20810) UniProtKB/TrEMBL;Acc:W8PV18] MRRRGLDFRRPVRKRFPNVVWWTVCGILVLVLIVVLSREGQIESKPTISRKPYRRDRIMEGVTVTDEMLSSNSVTRQLTDQISLAKAFVVIAKESNNLQFAWELSAQIRNSQALLSNAATRRTPLTVGESETAIRDMALLLYQAQQLHYDSATMLMRLRAKIQTLEEQMSSANEKSSKYGQIAAEEVPKSLYCLGVRLTTEWFGNPNLQKKHRERKQMDAKLKDNSMYHFCIFSDNILASSVVVNSTALNSKNPDKIVFHLVTDEINYAAMKAWFTVNSFRGVTVEVQKFEDFKWLNASYVPVLKQLQDSETQSYYFSGHNDDGRTPIKFRNPKYLSMLNHLRFYIPEVYPALKKVVFLDDDIVVQKDLSGLFSIDLNGNVNGAVETCMETFHRYHKYLNYSHPLIRAHFDPDACGWAFGMNVFDLVEWRKRNVTGIYHYWQERNVDRTLWKLGTLPPGLLTFYGLTEALDPSWHVLGLGYTNVDPQLIEKGAVLHFNGNSKPWLKIGIEKYKPLWEKYVDYSHPLLQQCNFH >KJB74761 pep chromosome:Graimondii2_0_v6:12:697762:702824:1 gene:B456_012G005900 transcript:KJB74761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVRYLEKAVFGLDYGQLKLVFHALEERKQVIENAPHLCHALPCMTPCFDWFEVVYYWMGLKMYDLVAGRRLLHFSRYYSAQESVELFPTLARKGKGRSLRGTVVYYDGQMNDSRLNVGLACTAALAGAAVLNHAEVVSFLKDEGTQRIVGARIRDNLSGQEFDAYAKVVVNAAGPFCDSVRKMANKDALPMICPSSGVHIVLPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTIAGTTDSSTSITPLPEPNENEIQFILDAICDYLNIKVRRTDVLSAWSGIRPLAVDPNAKNTESISRDHVVSEEYPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLSPSNECITSNLRLIGGDGWEPSLFTVLAQQYVRMKKSDGGKVVPGVMDTAAAKHLSRAYGTLAERVATIAQNENLGKRLAHGYPYLEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAASHALPRIIEILATEHNWDKSRQKEEIQKAKEFLETFKSSKNAHFHDGKHQ >KJB74759 pep chromosome:Graimondii2_0_v6:12:696866:702921:1 gene:B456_012G005900 transcript:KJB74759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASATRLRRLASAAVAVTACGGAVLLTPSVSSNDRASGHPTVESLRQIINDPSAVVPSRTLQESALISSKSGPLDILVVGGGATGCGVALDAATRGLRVGLVEREDFSCGTSSRSTKLIHGGVRYLEKAVFGLDYGQLKLVFHALEERKQVIENAPHLCHALPCMTPCFDWFEVVYYWMGLKMYDLVAGRRLLHFSRYYSAQESVELFPTLARKGKGRSLRGTVVYYDGQMNDSRLNVGLACTAALAGAAVLNHAEVVSFLKDEGTQRIVGARIRDNLSGQEFDAYAKVVVNAAGPFCDSVRKMANKDALPMICPSSGVHIVLPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTIAGTTDSSTSITPLPEPNENEIQFILDAICDYLNIKVRRTDVLSAWSGIRPLAVDPNAKNTESISRDHVVSEEYPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLSPSNECITSNLRLIGGDGWEPSLFTVLAQQYVRMKKSDGGKVVPGVMDTAAAKHLSRAYGTLAERVATIAQNENLGKRLAHGYPYLEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAASHALPRIIEILATEHNWDKSRQKEEIQKAKEFLETFKSSKNAHFHDGKHQ >KJB74760 pep chromosome:Graimondii2_0_v6:12:696986:702700:1 gene:B456_012G005900 transcript:KJB74760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASATRLRRLASAAVAVTACGGAVLLTPSVSSNDRASGHPTVESLRQIINDPSAVVPSRTLQESALISSKSGPLDILVVGGGATGCGVALDAATRGLRVGLVEREDFSCGTSSRSTKLIHGGVRYLEKAVFGLDYGQLKLVFHALEERKQVIENAPHLCHALPCMTPCFDWFEVVYYWMGLKMYDLVAGRRLLHFSRYYSAQESVELFPTLARKGKGRSLRGTVVYYDGQMNDSRLNVGLACTAALAGAAVLNHAEVVSFLKDEGTQRIVGARIRDNLSGQEFDAYAKVVVNAAGPFCDSVRKMANKDALPMICPSSGVHIVLPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTIAGTTDSSTSITPLPEPNENEIQFILDAICDYLNIKVRRTDVLSAWSGIRPLAVDPNAKNTESISRDHVVSEEYPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLSPSNECITSNLRLIGGDGWEPSLFTVLAQQYVRMKKSDGGKVVPGVMDTAAAKHLSRAYGTLAERVATIAQNENLGKRLAHGYPYLEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAASHALPRIIEILATEHNWDKSRQKEEIQKAKEFLETFKSSKNAHFHDGKHQ >KJB74762 pep chromosome:Graimondii2_0_v6:12:699118:702921:1 gene:B456_012G005900 transcript:KJB74762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCTRLSPCSYNGQEFDAYAKVVVNAAGPFCDSVRKMANKDALPMICPSSGVHIVLPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTIAGTTDSSTSITPLPEPNENEIQFILDAICDYLNIKVRRTDVLSAWSGIRPLAVDPNAKNTESISRDHVVSEEYPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLSPSNECITSNLRLIGGDGWEPSLFTVLAQQYVRMKKSDGGKVVPGVMDTAAAKHLSRAYGTLAERVATIAQNENLGKRLAHGYPYLEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAASHALPRIIEILATEHNWDKSRQKEEIQKAKEFLETFKSSKNAHFHDGKHQ >KJB75262 pep chromosome:Graimondii2_0_v6:12:4208711:4213686:1 gene:B456_012G034500 transcript:KJB75262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGWWGKKSSKNKEDSPRNQTPRGTSVGFLKFSPTKTDAATAAAAGKKKAPAATPDDKNNNYPKGCDDGGGLVFSTRSSPRVSRDFGVGGGAVVPGSGSSGFSGFDSDSGEKRGIPLPTPSVSSMHSDHVVGLGSGSPSVCSFSSSGSSEDNQIPNDPVQFIAYRSYNDMRGQGETRSNVRSRSPGPRSRGPTSPTSPLHHRLSGVSLESPTGKKEDGKSVCHKLPLPPGSPTSPPALPNTRTSGVSENTPCSLSKWRKGRLLGRGTFGHVYLGFNSESGQMCAIKEVRFVSDDQTSKECLKQLNQEINLLNQLSHPNIVRYYGSELGEETLSVYLEYVSGGSIHKLLQEYGSFKEPVIQNYTRQILSGLAYLHGRNTVHRDIKGANILVDPTGEIKLADFGMAKHISACGTMLSFKGSPYWMAPEVVMNTNGYNLAVDIWSLGCTILEMATSKPPWSQYEGVAAIFKIGNSKDVPEIPDHLSNEAKSFIRLCLQRDPSARPTAFQLLDHPFIRDQATTRVANISITKDAFPYTFDGSRTPPILEMQSNRNNILSFDGDYGTQGMATTSRALRTPRY >KJB75259 pep chromosome:Graimondii2_0_v6:12:4208547:4214124:1 gene:B456_012G034500 transcript:KJB75259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGWWGKKSSKNKEDSPRNQTPRGTSVGFLKFSPTKTDAATAAAAGKKKAPAATPDDKNNNYPKGCDDGGGLVFSTRSSPRVSRDFGVGGGAVVPGSGSSGFSGFDSDSGEKRGIPLPTPSVSSMHSDHVVGLGSGSPSVCSFSSSGSSEDNQIPNDPVQFIAYRSYNDMRGQGETRSNVRSRSPGPRSRGPTSPTSPLHHRLSGVSLESPTGKKEDGKSVCHKLPLPPGSPTSPPALPNTRTSGVSENTPCSLSKWRKGRLLGRGTFGHVYLGFNSESGQMCAIKEVRFVSDDQTSKECLKQLNQEINLLNQLSHPNIVRYYGSELGEETLSVYLEYVSGGSIHKLLQEYGSFKEPVIQNYTRQILSGLAYLHGRNTVHRDIKGANILVDPTGEIKLADFGMAKHISACGTMLSFKGSPYWMAPEVVMNTNGYNLAVDIWSLGCTILEMATSKPPWSQYEGVAAIFKIGNSKDVPEIPDHLSNEAKSFIRLCLQRDPSARPTAFQLLDHPFIRDQATTRVANISITKDAFPYTFDGSRTPPILEMQSNRNNILSFDGDYGTQGMATTSRALRTPRDNARTITSLPVSPCSSPLRYGPVHMSCFLSPPHPAYQFVGQSGHNLGDFSGNTSRPNPRYTHDPWFEAPQLKVQTTGTSPRTRPI >KJB75260 pep chromosome:Graimondii2_0_v6:12:4208711:4213686:1 gene:B456_012G034500 transcript:KJB75260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGWWGKKSSKNKEDSPRNQTPRGTSVGFLKFSPTKTDAATAAAAGKKKAPAATPDDKNNNYPKGCDDGGGLVFSTRSSPRVSRDFGVGGGAVVPGSGSSGFSGFDSDSGEKRGIPLPTPSVSSMHSDHVVGLGSGSPSVCSFSSSGSSEDNQIPNDPVQFIAYRSYNDMRGQGETRSNVRSRSPGPRSRGPTSPTSPLHHRLSGVSLESPTGKKEDGKSVCHKLPLPPGSPTSPPALPNTRTSGVSENTPCSLSKWRKGRLLGRGTFGHVYLGFNSESGQMCAIKEVRFVSDDQTSKECLKQLNQEINLLNQLSHPNIVRYYGSELGEETLSVYLEYVSGGSIHKLLQEYGSFKEPVIQNYTRQILSGLAYLHGRNTVHRDIKGANILVDPTGEIKLADFGMAKHISACGTMLSFKGSPYWMAPEVVMNTNGYNLAVDIWSLGCTILEMATSKPPWSQYEGVRHINSKQSFR >KJB75261 pep chromosome:Graimondii2_0_v6:12:4208711:4213686:1 gene:B456_012G034500 transcript:KJB75261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGWWGKKSSKNKEDSPRNQTPRGTSVGFLKFSPTKTDAATAAAAGKKKAPAATPDDKNNNYPKGCDDGGGLVFSTRSSPRVSRDFGVGGGAVVPGSGSSGFSGFDSDSGEKRGIPLPTPSVSSMHSDHVVGLGSGSPSVCSFSSSGSSEDNQIPNDPVQFIAYRSYNDMRGQGETRSNVRSRSPGPRSRGPTSPTSPLHHRLSGVSLESPTGKKEDGKSVCHKLPLPPGSPTSPPALPNTRTSGVSENTPCSLSKWRKGRLLGRGTFGHVYLGFNSESGQMCAIKEVRFVSDDQTSKECLKQLNQEINLLNQLSHPNIVRYYGSELGEETLSVYLEYVSGGSIHKLLQEYGSFKEPVIQNYTRQILSGLAYLHGRNTVHRDIKGANILVDPTGEIKLADFGMAKHISACGTMLSFKGSPYWMAPEVVMNTNGYNLAVDIWSLGCTILEMATSKPPWSQYEGVAAIFKIGNSKDVPEIPDHLSNEAKSFIRLCLQRDPSARPTAFQLLDHPFIRDQATTRVANISITKDAFPYTFDGSRTPQPILEMQSNRNNILSFDGDYGTQGMATTSRALRTPRDNARTITSLPVSPCSSPLRYGPVHMSCFLSPPHPAYQFVGQSGHNLGDFSGNTSRPNPRYTHDPWFEAPQLKVQTTGTSPRTRPI >KJB75229 pep chromosome:Graimondii2_0_v6:12:4152846:4158873:1 gene:B456_012G034000 transcript:KJB75229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLGEIPFGIDFHPSKELVAVSLITGDLHLYKYNTDDSSLQRCLDLHAHAESCRTVRFINGGQAVATGSKDCSILATDVETGSVIARLENAHENAINSLINLTESTVATGDDEGCIKVWDTRQRSCSGSINAHEDYISDMNFVSDSMKLLTTSGDGTLSVCNLRTYKVQTRSEFSEDELSSVVVMKNGRKVICGSQGGTLLLYSWGFFKDCSDRFVDLSPNSVEAMLKLDEDRLITGSENGLISLVGILPNRIIQPIAEHSEYPVEGLAFSHDRRFLGSISHDQMLKLWDLNEILQGSENAAKGQDASSDSDSDGMDVDDNPSHSKKGLLTRLCALILLCKLDHVTEQYY >KJB75226 pep chromosome:Graimondii2_0_v6:12:4152611:4158875:1 gene:B456_012G034000 transcript:KJB75226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLGEIPFGIDFHPSKELVAVSLITGDLHLYKYNTDDSSLQRCLDLHAHAESCRTVRFINGGQAVATGSKDCSILATDVETGSVIARLENAHENAINSLINLTESTVATGDDEGCIKVWDTRQRSCSGSINAHEDYISDMNFVSDSMKLLTTSGDGTLSVCNLRTYKVQTRSEFSEDELSSVVVMKNGRKVICGSQGGTLLLYSWGFFKDCSDRFVDLSPNSVEAMLKLDEDRLITGSENGLISLVGILPNRIIQPIAEHSEYPVEGLAFSHDRRFLGSISHDQMLKLWDLNEILQGSENAAKGQDASSDSDSDGMDVDDNPSHSKKGTKTKNANKGGPGFSGSNNFFADL >KJB75227 pep chromosome:Graimondii2_0_v6:12:4152065:4158881:1 gene:B456_012G034000 transcript:KJB75227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLGEIPFGIDFHPSKELVAVSLITGDLHLYKYNTDDSSLQRCLDLHAHAESCRTVRFINGGQAVATGSKDCSILATDVETGSVIARLENAHENAINSLINLTESTVATGDDEGCIKVWDTRQRSCSGSINAHEDYISDMNFVSDSMKLLTTSGDGTLSVCNLRTYKVQTRSEFSEDELSSVVVMKNGRKVICGSQGGTLLLYSWGFFKDCSDRFVDLSPNSVEAMLKLDEDRLITGSENGLISLVGILPNRIIQPIAEHSEYPVEGLAFSHDRRFLGSISHDQMLKLWDLNEILQGSENAAKGQDASSDSDSDGMDVDDNPSHSKKGTKTKNANKGGPGFSGSNNFFADL >KJB75228 pep chromosome:Graimondii2_0_v6:12:4152846:4157307:1 gene:B456_012G034000 transcript:KJB75228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLGEIPFGIDFHPSKELVAVSLITGDLHLYKYNTDDSSLQRCLDLHAHAESCRTVRFINGGQAVATGSKDCSILATDVETGSVIARLENAHENAINSLINLTESTVATGDDEGCIKVWDTRQRSCSGSINAHEDYISDMNFVSDSMKLLTTSGDGTLSVCNLRTYKVQTRSEFSEDELSSVVVMKNGRKVICGSQGGTLLLYSWGFFKDCSDRFVDLSPNSVEAMLKLDEDRLITGSENGLISLVGILPNRIIQPIAEHSEYPVEGLGIIILPPFVINILLNYVLLEVELIGSLGMAKFYEGSF >KJB77636 pep chromosome:Graimondii2_0_v6:12:32192128:32192766:1 gene:B456_012G147800 transcript:KJB77636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVRKKTRGRQKIEIKMIGNKESIKKISELSILCGTKILFIVFSPAGNPFSFCFPSIESVANQFLNENVLVNGNTSTPIEANHMVRLNKLIQHYNEVHNQMEASKEKQKVLVMAQGTSGTDSTNHWWETPIEKHNSRELHELYSRFSELINLFHTARRKKIAINFPMLAPTYSGYNHSRGQF >KJB75319 pep chromosome:Graimondii2_0_v6:12:4548953:4554598:1 gene:B456_012G036800 transcript:KJB75319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLLAKKPKITEVDRAILSLKTQRRKLGQYQQQLEAVIEAEKQAARDLIREKRKDRALLALKKKKAQEELLKQVDTWLINVEQQLADIELASKQKAVFDSLKAGNNAIKAIQSEINIDDVQKLMDDTEEAKAYQDEINAILGEKLSAEDEEEILAEFENLETQICQKCLPNKDLKKKSWIFLMCQPRNHLLPMQLQMMLKFPQKEELWRNPYLHD >KJB75318 pep chromosome:Graimondii2_0_v6:12:4548899:4554648:1 gene:B456_012G036800 transcript:KJB75318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLLAKKPKITEVDRAILSLKTQRRKLGQYQQQLEAVIEAEKQAARDLIREKRKDRALLALKKKKAQEELLKQVDTWLINVEQQLADIELASKQKAVFDSLKAGNNAIKAIQSEINIDDVQKLMDDTEEAKAYQDEINAILGEKLSAEDEEEILAEFENLETQMAVQDMPEVPTKQRSEEEKLDLPDVPTKKPFASDAATDDAEISTKRRVMEEPLPA >KJB77947 pep chromosome:Graimondii2_0_v6:12:33977284:33978812:-1 gene:B456_012G169500 transcript:KJB77947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTLTHRLFLSPPQRTHLRFTFKPHTSKPIVSSPSKPSYRPIVSSLSHNSEHLSVTQAKPRWENMLSTAASLYPLYVTVGGIVACFKPSAFAWFVERGPSSYSFSLGLIMLAMGLTLELKDLLNLFTRRPLSILFGCVAQYTIMPTFAMVISKTLGLSPSLSVGLILLGCCPGGAASTVVTFIARGDVSLSTVMTVCTTLGAVILTPLLTMVLAGTYVSIDAIGLSISTLQVVVAPVLLGSYLQSTFPLVVKMITPFAPLFAVLLSSLLACRLFGHRNLSIGYSLVLVFTFH >KJB77948 pep chromosome:Graimondii2_0_v6:12:33976634:33978896:-1 gene:B456_012G169500 transcript:KJB77948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTLTHRLFLSPPQRTHLRFTFKPHTSKPIVSSPSKPSYRPIVSSLSHNSEHLSVTQAKPRWENMLSTAASLYPLYVTVGGIVACFKPSAFAWFVERGPSSYSFSLGLIMLAMGLTLELKDLLNLFTRRPLSILFGCVAQYTIMPTFAMVISKTLGLSPSLSVGLILLGCCPGGAASTVVTFIARGDVSLSTVMTVCTTLGAVILTPLLTMVLAGTYVSIDAIGLSISTLQVIRSSKFIYWILTGFGFYLPLIPSNFRFLGFLASVFSGNVVRFNSSIVNASLVSDASLVSRLQSLLSGGLGAVILSVMLLHFTGFFVGYISATICRFREAERRAISIEVGMQNSSLGVVLATTHFTSPVVALPPAMSAVIMNIMGSSLGFFWRQISGSKQELEDQE >KJB77946 pep chromosome:Graimondii2_0_v6:12:33976621:33978896:-1 gene:B456_012G169500 transcript:KJB77946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTLTHRLFLSPPQRTHLRFTFKPHTSKPIVSSPSKPSYRPIVSSLSHNSEHLSVTQAKPRWENMLSTAASLYPLYVTVGGIVACFKPSAFAWFVERGPSSYSFSLGLIMLAMGLTLELKDLLNLFTRRPLSILFGCVAQYTIMPTFAMVISKTLGLSPSLSVGLILLGCCPGGAASTVVTFIARGDVSLSTVMTVCTTLGAVILTPLLTMVLAGTYVSIDAIGLSISTLQVVVAPVLLGSYLQSTFPLVVKMITPFAPLFAVLLSSLLACSVFSGNVVRFNSSIVNASLVSDASLVSRLQSLLSGGLGAVILSVMLLHFTGFFVG >KJB77945 pep chromosome:Graimondii2_0_v6:12:33976490:33979016:-1 gene:B456_012G169500 transcript:KJB77945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTLTHRLFLSPPQRTHLRFTFKPHTSKPIVSSPSKPSYRPIVSSLSHNSEHLSVTQAKPRWENMLSTAASLYPLYVTVGGIVACFKPSAFAWFVERGPSSYSFSLGLIMLAMGLTLELKDLLNLFTRRPLSILFGCVAQYTIMPTFAMVISKTLGLSPSLSVGLILLGCCPGGAASTVVTFIARGDVSLSTVMTVCTTLGAVILTPLLTMVLAGTYVSIDAIGLSISTLQVVVAPVLLGSYLQSTFPLVVKMITPFAPLFAVLLSSLLACSVFSGNVVRFNSSIVNASLVSDASLVSRLQSLLSGGLGAVILSVMLLHFTGFFVGYISATICRFREAERRAISIEVGMQNSSLGVVLATTHFTSPVVALPPAMSAVIMNIMGSSLGFFWRQISGSKQELEDQE >KJB75672 pep chromosome:Graimondii2_0_v6:12:8416671:8418192:-1 gene:B456_012G0600001 transcript:KJB75672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRYCYNDVLPLTAMVAIECTNVGQNVLFKKATSKGMSYFIFITYGHAIGALLLLPLSFLFPSGRVLPSLKFHLGFRIFLLGLIGFFAQVCAYKGIDYSNPTLASTIRNLSPAFTFILAVLFRLERVALRSSTSQAKIMGTIASISGALLVVLYKGPQVFSSPSPSSTLLQLSYSNWVIGGILLAVAYLLFSIRYIIQTQVMEIYPAELLVALFYNICAAIISAPVSLIAESELSSWMLRPS >KJB75673 pep chromosome:Graimondii2_0_v6:12:8416671:8418311:-1 gene:B456_012G0600001 transcript:KJB75673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRYCYNDVLPLTAMVAIECTNVGQNVLFKKATSKGMSYFIFITYGHAIGALLLLPLSFLFPRFFAQVCAYKGIDYSNPTLASTIRNLSPAFTFILAVLFRLERVALRSSTSQAKIMGTIASISGALLVVLYKGPQVFSSPSPSSTLLQLSYSNWVIGGILLAVAYLLFSIRYIIQTQVMEIYPAELLVALFYNICAAIISAPVSLIAESELSSWMLRPS >KJB74903 pep chromosome:Graimondii2_0_v6:12:1527445:1531817:-1 gene:B456_012G013600 transcript:KJB74903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSFRSGRLPLFHHPQLPNKAAACTTRSIGGFKKPNGRFSSNPKCGRALFLFAQYSQSSQAQTQQDRFSSRLQSSLENLPKLLEDIVQTSISTGPRGALRLAQGIQAFVGVGGEWLADVSRSTNEPTGIPSQLQLGLLSPLYLRKLFERMGATYIKLGQFIASAPTLFPPEYVEEFQKCFDRAPAVPFEDIQAILREELGRPIDSIYEYIDPTPIASASIAQVHGARLKGSQDDVVIKVLKPGIKDILVADLNFIYIAARILEFLNPDFSRASLVGIVKDIRESMLEEVDFNKEATNIESFRRYLEAMGLTRQATAPRVYNYCSTRRVLTMERLYGVPLTDLDSISSLVSSPENSLITALNVWFGSLLACETFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEVFLASIATEEYESMASALIEMGATNQNIDAKAFARDLEKIFSSIQASSCRHCPRDKYKCNCCLCKYNC >KJB74901 pep chromosome:Graimondii2_0_v6:12:1527378:1531957:-1 gene:B456_012G013600 transcript:KJB74901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSFRSGRLPLFHHPQLPNKAAACTTRSIGGFKKPNGRFSSNPKCGRALFLFAQYSQSSQAQTQQDRFSSRLQSSLENLPKLLEDIVQTSISTGPRGALRLAQGIQAFVGVGGEWLADVSRSTNEPTGIPSQLQLGLLSPLYLRKLFERMGATYIKLGQFIASAPTLFPPEYVEEFQKCFDRAPAVPFEDIQAILREELGRPIDSIYEYIDPTPIASASIAQVHGARLKGSQDDVVIKVLKPGIKDILVADLNFIYIAARILEFLNPDFSRASLVGIVKDIRESMLEEVDFNKEATNIESFRRYLEAMGLTRQATAPRVYNYCSTRRVLTMERLYGVPLTDLDSISSLVSSPENSLITALNVWFGSLLACETFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEVFLASIATEEYESMASALIEMGATNQNIDAKAFARDLEKIFSSIQEIDTEVVVATARGTNTNATAVSANIIVDERQMNALFLDVVRVSESYGLRFPREFALLMKQLLYFDRYTRLLAPDMNMLQDQRITIVSNRRSNYRDNLR >KJB74902 pep chromosome:Graimondii2_0_v6:12:1528704:1531813:-1 gene:B456_012G013600 transcript:KJB74902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSFRSGRLPLFHHPQLPNKAAACTTRSIGGFKKPNGRFSSNPKCGRALFLFAQYSQSSQAQTQQDRFSSRLQSSLENLPKLLEDIVQTSISTGPRGALRLAQGIQAFVGVGGEWLADVSRSTNEPTGIPSQLQLGLLSPLYLRKLFERMGATYIKLGQFIASAPTLFPPEYVEEFQKCFDRAPAVPFEDIQAILREELGRPIDSIYEYIDPTPIASASIAQVHGARLKGSQDDVVIKVLKPGIKDILVADLNFIYIAARILEFLNPDFSRASLVGIVKDIRESMLEEVDFNKEATNIESFRRYLEAMGLTRQATAPRVYNYCSTRRVLTMERLYGVPLTDLDSISSLVSSPENSLITALNVWFGSLLACETFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEVFLASIATEEYESMASALIEMGATNQNIDAKAFARDLEKIFSSIQASI >KJB74904 pep chromosome:Graimondii2_0_v6:12:1529285:1531817:-1 gene:B456_012G013600 transcript:KJB74904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSFRSGRLPLFHHPQLPNKAAACTTRSIGGFKKPNGRFSSNPKCGRALFLFAQYSQSSQAQTQQDRFSSRLQSSLENLPKLLEDIVQTSISTGPRGALRLAQGIQAFVGVGGEWLADVSRSTNEPTGIPSQLQLGLLSPLYLRKLFERMGATYIKLGQFIASAPTLFPPEYVEEFQKCFDRAPAVPFEDIQAILREELGRPIDSIYEYIDPTPIASASIAQVHGARLKGSQDDVVIKVLKPGIKDILVADLNFIYIAARILEFLNPDFSRASLVGIVKDIRESMLEEVDFNKEATNIESFRRYLEAMGLTRQATAPRVYNYCSTRRVLTMERLYGVPLTDLDSISSLVSSPENSLITALNVWFGSLLACETFHADVHAGNLWLLRDGRIGFLDFG >KJB76400 pep chromosome:Graimondii2_0_v6:12:14789938:14792821:-1 gene:B456_012G086800 transcript:KJB76400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSNDLDRLLFFEQARKISEATYASNPLDADNLTRWAGALLELSQFQSVPDSQKMIQDAISKLEEALSINPRKHDALWCLGNAQTSFAFLTNKEDEARPYFEKAAQYFQQAVDEAPELHQEIIKHGLGQQTLGAGPSTSTSSNSTKTATKNKNSSDLKYDICGWIILAVGIVAWVGFAKSQMPPSPPPPPPR >KJB76402 pep chromosome:Graimondii2_0_v6:12:14789938:14792874:-1 gene:B456_012G086800 transcript:KJB76402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSNDLDRLLFFEQARKISEATYASNPLDADNLTRWAGALLELSQFQSVPDSQKMIQDAISKLEEALSINPRKHDALWCLGNAQTSFAFLTNKEDEARPYFEKAAQYFQQAVDEDPSNDIYLKSLEISAKAPELHQEIIKHGLGQQTLGAGPSTSTSSNSTKTATKNKNSSDLKYDICGWIILAVGIVAWVGFAKSQMPPSPPPPPPR >KJB76401 pep chromosome:Graimondii2_0_v6:12:14790225:14792597:-1 gene:B456_012G086800 transcript:KJB76401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMFAFSFLRSFLFLIKMVFWLLFKILFSWQNLTRWAGALLELSQFQSVPDSQKMIQDAISKLEEALSINPRKHDALWCLGNAQTSFAFLTNKEDEARPYFEKAAQYFQQAVDEDPSNDIYLKSLEISAKAPELHQEIIKHGLGQQTLGAGPSTSTSSNSTKTATKNKNSSDLKYDICGWIILAVGIVAWVGFAKSQMPPSPPPPPPR >KJB77899 pep chromosome:Graimondii2_0_v6:12:33548135:33551915:1 gene:B456_012G164200 transcript:KJB77899 gene_biotype:protein_coding transcript_biotype:protein_coding description:XLG2 [Source:Projected from Arabidopsis thaliana (AT4G34390) UniProtKB/TrEMBL;Acc:A0A178V358] MAALLRKILPVEKSTPIDDDINVEYSFAIEYHGPPITFDIPKLVPVDIDGLPTAAEVSSSFSLNDISLPVIQPIVKTNLVNQKGKKIGSEPSLARKSIVRAGLSIKVDSLDSLSKRIDDGISGRGSCEIQEVSDFNNETPCHVRKPSVVTFVEPESCSTEESEYSEIKSITYDVQPVVRNGKKGTCYRCLKGNRFTKKEVCIVCNAKYCYKCVLRMMGSMPEGRKCVTCIGGKINESKRGSLGRTSRLLKQLLSEFEVKRVMRSEKTCEANQLPPELVIVNGEPLCQDELQVLQMCLNPPEKLKPGYYWYDKLSGFWGKEGHGPCQIITAQLNVGGHIKANASNGDTNIIINNRIITKKELWLLQLAGVHCEGNPSFWLSADGSYQEEGQNNIKGPIWNKMGIKIFCALLSFPVPPNTVNPAGEDVNQPTLEQQLLPKILLVGYKKSGTSTIYKQAKILYNIPFTENERQSIKLIIQRNLYGYLAILLEGRERFEEEILLENRKKQTADGSGSSGGTSQVDGKTKYSLSPRLKAFSDWLVQVMVSGNLEVIFPAATREYAPFIGELWNDVAFQATYNRRHELEMLPRIATYFLERAVEISRMDYEPSDMDILYAEGITSSNGLSCTEFSFPSIEREVSIDGYQHDPSSRLVLFNPEELIGALLQFQHHIYLV >KJB77898 pep chromosome:Graimondii2_0_v6:12:33548010:33551915:1 gene:B456_012G164200 transcript:KJB77898 gene_biotype:protein_coding transcript_biotype:protein_coding description:XLG2 [Source:Projected from Arabidopsis thaliana (AT4G34390) UniProtKB/TrEMBL;Acc:A0A178V358] MAALLRKILPVEKSTPIDDDINVEYSFAIEYHGPPITFDIPKLVPVDIDGLPTAAEVSSSFSLNDISLPVIQPIVKTNLVNQKGKKIGSEPSLARKSIVRAGLSIKVDSLDSLSKRIDDGISGRGSCEIQEVSDFNNETPCHVRKPSVVTFVEPESCSTEESEYSEIKSITYDVQPVVRNGKKGTCYRCLKGNRFTKKEVCIVCNAKYCYKCVLRMMGSMPEGRKCVTCIGGKINESKRGSLGRTSRLLKQLLSEFEVKRVMRSEKTCEANQLPPELVIVNGEPLCQDELQVLQMCLNPPEKLKPGYYWYDKLSGFWGKEGHGPCQIITAQLNVGGHIKANASNGDTNIIINNRIITKKELWLLQLAGVHCEGNPSFWLSADGSYQEEGQNNIKGPIWNKMGIKIFCALLSFPVPPNTVNPAGEDVNQPTLEQQLLPKILLVGYKKSGTSTIYKQAKILYNIPFTENERQSIKLIIQRNLYGYLAILLEGRERFEEEILLENRKKQTADGSGSSGGTSQVDGKTKYSLSPRLKAFSDWLVQVMVSGNLEVIFPAATREYAPFIGELWNDVAFQATYNRRHELEMLPRIATYFLERAVEISRMDYEPSDMDILYAEGITSSNGLSCTEFSFPSIEREVSIDGYQHDPSSSYQLIRLHPSSLGENCKWVEMFEDVDIVLFCVSLTDYDEFSLDSNGVLTNKMMASKQLFETVITHPTFEKKNFLLILNKADLLEEKIEQVPLTRCEWFNDFNPVISHNYYNNHSNNRNNHTSLSFSAFHYIAVKFKRLFNSLTDRKLFVSMVTGLEPDSVDEALRYCRHILKWNETENSLVNNELSSTDIEASSTS >KJB77686 pep chromosome:Graimondii2_0_v6:12:32456690:32457158:1 gene:B456_012G150600 transcript:KJB77686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRKRRRKKKKAAAERAKSFATMLVKRMEICIELVKFAIKFVIAQVEEVINENLRHRRPPPPVAMRMRSLSPPLPFVGPL >KJB75443 pep chromosome:Graimondii2_0_v6:12:5298312:5299245:-1 gene:B456_012G042200 transcript:KJB75443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGRYNCFSLIAGGVRISGWVILYFATLKNLKCAMIDVEMKRIFLFFFWWNMRMIYLLCQCLKVQISLLCFW >KJB75444 pep chromosome:Graimondii2_0_v6:12:5298312:5298630:-1 gene:B456_012G042200 transcript:KJB75444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGRYNCFSLIAGGVRISGWVILYFATLKNLKCAMIDVEMKRIFLFFFWWNMRMIYLLCQCLKVQISLLCFW >KJB75442 pep chromosome:Graimondii2_0_v6:12:5298312:5299223:-1 gene:B456_012G042200 transcript:KJB75442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGRYNCFSLIAGGVRISGWVILYFATLKNLKCAMIDVEMKRIFLFFFWWNMRMIYLLCQCLKVQISLLCFW >KJB75828 pep chromosome:Graimondii2_0_v6:12:8406730:8409159:-1 gene:B456_012G059900 transcript:KJB75828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTGINYSSPTLASAISNLTPAFTFILAIIFRMEKLAWKRTSSQAKVIGTIISITGAFVVTLYKGPAIVIASTPSSSLQQHLNPSNRLFVGRNSSNTNWVIGGIFLTAEYILVPLWYIVQTQIMKEYPDEMTVVCFYNLCVSFIAAIVGLATERNASAWRLKPDIALASVVCSGLFGSCLNNTVHTWALRLKGPVFVAMFKPLSIAIAVAMGVMFLGDTLYLGSLIGATIISIGFYTVMWGKAKEEMAECGNETIMDSPSSHKAPLLQSYKNEQV >KJB75825 pep chromosome:Graimondii2_0_v6:12:8407466:8409108:-1 gene:B456_012G059900 transcript:KJB75825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWRWRYCYKDVLPFSAMVTMECINVGLNTLFKAATLMGMSYHVFVIYAYAIAALVLLPAPFFSYRSRVLPPLTFPILCKIGLLGLIGSSSQIMGYTGINYSSPTLASAISNLTPAFTFILAIIFRMEKLAWKRTSSQAKVIGTIISITGAFVVTLYKGPAIVIASTPSSSLQQHLNPSNRLFVGRNSSNTNWVIGGIFLTAEYILVPLWYIVQTQIMKEYPDEMTVVCFYNLCVSFIAAIVGLATERNASAWRLKPDIALASVVCSVRNLCHG >KJB75829 pep chromosome:Graimondii2_0_v6:12:8407746:8409108:-1 gene:B456_012G059900 transcript:KJB75829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWRWRYCYKDVLPFSAMVTMECINVGLNTLFKAATLMGMSYHVFVIYAYAIAALVLLPAPFFSYRSRVLPPLTFPILCKIGLLGLIGSSSQIMGYTGINYSSPTLASAISNLTPAFTFILAIIFRMEKLAWKRTSSQAKVIGTIISITGAFVVTLYKGPAIVIASTPSSSLQQHLNPSNRLFVGRNSSNTNWVIGGIFLTAEYILVPLWYIVQV >KJB75826 pep chromosome:Graimondii2_0_v6:12:8406730:8409159:-1 gene:B456_012G059900 transcript:KJB75826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLQGCSAIFSYGDNGVYKRGFKHTFQSGYFDGHELPCFCYLCLCHSCSCSPSCSFLLLQIMGYTGINYSSPTLASAISNLTPAFTFILAIIFRMEKLAWKRTSSQAKVIGTIISITGAFVVTLYKGPAIVIASTPSSSLQQHLNPSNRLFVGRNSSNTNWVIGGIFLTAEYILVPLWYIVQTQIMKEYPDEMTVVCFYNLCVSFIAAIVGLATERNASAWRLKPDIALASVVCSGLFGSCLNNTVHTWALRLKGPVFVAMFKPLSIAIAVAMGVMFLGDTLYLGSLIGATIISIGFYTVMWGKAKEEMAECGNETIMDSPSSHKAPLLQSYKNEQV >KJB75824 pep chromosome:Graimondii2_0_v6:12:8406730:8409159:-1 gene:B456_012G059900 transcript:KJB75824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLAWKRTSSQAKVIGTIISITGAFVVTLYKGPAIVIASTPSSSLQQHLNPSNRLFVGRNSSNTNWVIGGIFLTAEYILVPLWYIVQTQIMKEYPDEMTVVCFYNLCVSFIAAIVGLATERNASAWRLKPDIALASVVCSGLFGSCLNNTVHTWALRLKGPVFVAMFKPLSIAIAVAMGVMFLGDTLYLGSLIGATIISIGFYTVMWGKAKEEMAECGNETIMDSPSSHKAPLLQSYKNEQV >KJB75830 pep chromosome:Graimondii2_0_v6:12:8406730:8409159:-1 gene:B456_012G059900 transcript:KJB75830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLAWKRTSSQAKVIGTIISITGAFVVTLYKGPAIVIASTPSSSLQQHLNPSNRLFVGRNSSNTNWVIGGIFLTAEYILVPLWYIVQTQIMKEYPDEMTVVCFYNLCVSFIAAIVGLATERNASAWRLKPDIALASVVCSGLFGSCLNNTVHTWALRLKGPVFVAMFKPLSIAIAVAMGVMFLGDTLYLGSLIGATIISIGFYTVMWGKAKEEMAECGNETIMDSPSSHKAPLLQSYKNEQV >KJB75827 pep chromosome:Graimondii2_0_v6:12:8407746:8409108:-1 gene:B456_012G059900 transcript:KJB75827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWRWRYCYKDVLPFSAMVTMECINVGLNTLFKAATLMGMSYHVFVIYAYAIAALVLLPAPFFSYRSRVLPPLTFPILCKIGLLGLIGSSSQIMGYTGINYSSPTLASAISNLTPAFTFILAIIFRMEKLAWKRTSSQAKVIGTIISITGAFVVTLYKGPAIVIASTPSSSLQQHLNPSNRLFVGRNSSNTNWVIGGIFLTAEYILVPLWYIVQV >KJB75823 pep chromosome:Graimondii2_0_v6:12:8406596:8409273:-1 gene:B456_012G059900 transcript:KJB75823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWRWRYCYKDVLPFSAMVTMECINVGLNTLFKAATLMGMSYHVFVIYAYAIAALVLLPAPFFSYRSRVLPPLTFPILCKIGLLGLIGSSSQIMGYTGINYSSPTLASAISNLTPAFTFILAIIFRMEKLAWKRTSSQAKVIGTIISITGAFVVTLYKGPAIVIASTPSSSLQQHLNPSNRLFVGRNSSNTNWVIGGIFLTAEYILVPLWYIVQTQIMKEYPDEMTVVCFYNLCVSFIAAIVGLATERNASAWRLKPDIALASVVCSGLFGSCLNNTVHTWALRLKGPVFVAMFKPLSIAIAVAMGVMFLGDTLYLGSLIGATIISIGFYTVMWGKAKEEMAECGNETIMDSPSSHKAPLLQSYKNEQV >KJB76071 pep chromosome:Graimondii2_0_v6:12:10261599:10273405:1 gene:B456_012G069700 transcript:KJB76071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFSPGRSPGGSRLQLGAGSGVSRLRSSSLKKPPEPLRRAVADCLSSSSPAAVAGGVSSHHQGGPLVFTEASRTLRDYLAAPSTTDQAYIVTLEHTIAERERSPAVVGRCVALLKRYLLRYKPSEETLLQIDQFCVNLIAECDISLNRRLPPWSRSLNQQSISSTSTSSASASPLLPVSSFASAALVKSLNYVRSLLAKHIPKRSFQPAAFAGATLASRQSLPTLSSLLSRSFNSQLCPVNGGESSEKKDATNLSVSNLSNVEEADGIENPEYLAHDVLKWRWLGDHQSSLLYSESDRSANIQDMRTHNFVEVGAAALLVGDMEAKMKGQPWKYFGTADMPYLDQLLQPSSVTTITNSAAAHSHLRAITALKRSKGGPRQIWDDSPASMFRPRARPLFQYRHYSEQQPLQLNPAEVSEVIAAVCSETSSRSANTLTVSSRLSYNSGKQSMDVAVSVLIKLVIDMYVLDSGVAAPLTLSMLEEMLSSPRAACRVRAFDLIINLAVHAHLLEPMVNDDKSAIEEEYAQELLLNSEDQFTMQGIRKIDSAKKLGNSSAIDKFESWILNILYEMLLLLVQTVEKEESVWASALSCLLFFVCDRGKIRRNRLKGLDIRVVKALVETSRFNSWAELVHCKLISILTNMFYQVPDEATTSIISAASFLVDQVDLIGGIDFIFIEYSLATTREERKHLYLVLFDYVLHQINETCILTGASEYSHDESQPIAMLLALADAPEAFYISIKLGVEGIGELLRRSISAALSRYPNSERLNMLLGNITEKLDAIISSFTHLDKEFLHLKQITKSNKFMDDIEGSSVQNGAGMKAKLAWTILHSLLHSDRISYRQNGYIWLGDLLIAEISESRNGSIWSNIKSLQNKIAYAGAHDFCDHSDIPLSIWLMCGLLKSKNNNIRWGFLFVLERLLMRCKFLLDESEMQKSSDTDFSPDHSDSRLEKANAVIDIMSRALSLVAINETDRINILKMCDILFSQLCLKVPHSTVMPFGEGVKQPKVLTRTDEIRNTSTYERLPKQASCSWDELMEETDSKSGYHGSSPIHEIASMAASLLQGQAIVPMQLVARVPAALLYWPLIQLAGAATDNIALGVAVGSKGRGNIPGATSDIRATLLLLLIGKCTADPKAFQDVGGEDFFRALLEDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQKLVFKAQQSNNEKLLENPYLQMCGIFQLSNDL >KJB76069 pep chromosome:Graimondii2_0_v6:12:10261519:10274099:1 gene:B456_012G069700 transcript:KJB76069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFSPGRSPGGSRLQLGAGSGVSRLRSSSLKKPPEPLRRAVADCLSSSSPAAVAGGVSSHHQGGPLVFTEASRTLRDYLAAPSTTDQAYIVTLEHTIAERERSPAVVGRCVALLKRYLLRYKPSEETLLQIDQFCVNLIAECDISLNRRLPPWSRSLNQQSISSTSTSSASASPLLPVSSFASAALVKSLNYVRSLLAKHIPKRSFQPAAFAGATLASRQSLPTLSSLLSRSFNSQLCPVNGGESSEKKDATNLSVSNLSNVEEADGIENPEYLAHDVLKWRWLGDHQSSLLYSESDRSANIQDMRTHNFVEVGAAALLVGDMEAKMKGQPWKYFGTADMPYLDQLLQPSSVTTITNSAAAHSHLRAITALKRSKGGPRQIWDDSPASMFRPRARPLFQYRHYSEQQPLQLNPAEVSEVIAAVCSETSSRSANTLTVSSRLSYNSGKQSMDVAVSVLIKLVIDMYVLDSGVAAPLTLSMLEEMLSSPRAACRVRAFDLIINLAVHAHLLEPMVNDDKSAIEEEYAQELLLNSEDQFTMQGIRKIDSAKKLGNSSAIDKFESWILNILYEMLLLLVQTVEKEESVWASALSCLLFFVCDRGKIRRNRLKGLDIRVVKALVETSRFNSWAELVHCKLISILTNMFYQVPDEATTSIISAASFLVDQVDLIGGIDFIFIEYSLATTREERKHLYLVLFDYVLHQINETCILTGASEYSHDESQPIAMLLALADAPEAFYISIKLGVEGIGELLRRSISAALSRYPNSERLNMLLGNITEKLDAIISSFTHLDKEFLHLKQITKSNKFMDDIEGSSVQNGAGMKAKLAWTILHSLLHSDRISYRQNGYIWLGDLLIAEISESRNGSIWSNIKSLQNKIAYAGAHDFCDHSDIPLSIWLMCGLLKSKNNNIRWGFLFVLERLLMRCKFLLDESEMQKSSDTDFSPDHSDSRLEKANAVIDIMSRALSLVAINETDRINILKMCDILFSQLCLKVPHSTVMPFGEGVKQPKVLTRTDEIRNTSTYERLPKQASCSWDELMEETDSKSGYHGSSPIHEIASMAASLLQGQAIVPMQLVARVPAALLYWPLIQLAGAATDNIALGVAVGSKGRGNIPGATSDIRATLLLLLIGKCTADPKAFQDVGGEDFFRALLEDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQKLVFKAQQSNNEKLLENPYLQMCGIFQLSNDL >KJB76072 pep chromosome:Graimondii2_0_v6:12:10261599:10273982:1 gene:B456_012G069700 transcript:KJB76072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFSPGRSPGGSRLQLGAGSGVSRLRSSSLKKPPEPLRRAVADCLSSSSPAAVAGGVSSHHQGGPLVFTEASRTLRDYLAAPSTTDQAYIVTLEHTIAERERSPAVVGRCVALLKRYLLRYKPSEETLLQIDQFCVNLIAECDISLNRRLPPWSRSLNQQSISSTSTSSASASPLLPVSSFASAALVKSLNYVRSLLAKHIPKRSFQPAAFAGATLASRQSLPTLSSLLSRSFNSQLCPVNGGESSEKKDATNLSVSNLSNVEEADGIENPEYLAHDVLKWRWLGDHQSSLLYSESDRSANIQDMRTHNFVEVGAAALLVGDMEAKMKGQPWKYFGTADMPYLDQLLQPSSVTTITNSAAAHSHLRAITALKRSKGGPRQIWDDSPASMFRPRARPLFQYRHYRLSYNSGKQSMDVAVSVLIKLVIDMYVLDSGVAAPLTLSMLEEMLSSPRAACRVRAFDLIINLAVHAHLLEPMVNDDKSAIEEEYAQELLLNSEDQFTMQGIRKIDSAKKLGNSSAIDKFESWILNILYEMLLLLVQTVEKEESVWASALSCLLFFVCDRGKIRRNRLKGLDIRVVKALVETSRFNSWAELVHCKLISILTNMFYQVPDEATTSIISAASFLVDQVDLIGGIDFIFIEYSLATTREERKHLYLVLFDYVLHQINETCILTGASEYSHDESQPIAMLLALADAPEAFYISIKLGVEGIGELLRRSISAALSRYPNSERLNMLLGNITEKLDAIISSFTHLDKEFLHLKQITKSNKFMDDIEGSSVQNGAGMKAKLAWTILHSLLHSDRISYRQNGYIWLGDLLIAEISESRNGSIWSNIKSLQNKIAYAGAHDFCDHSDIPLSIWLMCGLLKSKNNNIRWGFLFVLERLLMRCKFLLDESEMQKSSDTDFSPDHSDSRLEKANAVIDIMSRALSLVAINETDRINILKMCDILFSQLCLKVPHSTVMPFGEGVKQPKVLTRTDEIRNTSTYERLPKQASCSWDELMEETDSKSGYHGSSPIHEIASMAASLLQGQAIVPMQLVARVPAALLYWPLIQLAGAATDNIALGVAVGSKGRGNIPGATSDIRATLLLLLIGKCTADPKAFQDVGGEDFFRALLEDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQKLVFKAQQSNNEKLLENPYLQMCGIFQLSNDL >KJB76070 pep chromosome:Graimondii2_0_v6:12:10261599:10272154:1 gene:B456_012G069700 transcript:KJB76070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFSPGRSPGGSRLQLGAGSGVSRLRSSSLKKPPEPLRRAVADCLSSSSPAAVAGGVSSHHQGGPLVFTEASRTLRDYLAAPSTTDQAYIVTLEHTIAERERSPAVVGRCVALLKRYLLRYKPSEETLLQIDQFCVNLIAECDISLNRRLPPWSRSLNQQSISSTSTSSASASPLLPVSSFASAALVKSLNYVRSLLAKHIPKRSFQPAAFAGATLASRQSLPTLSSLLSRSFNSQLCPVNGGESSEKKDATNLSVSNLSNVEEADGIENPEYLAHDVLKWRWLGDHQSSLLYSESDRSANIQDMRTHNFVEVGAAALLVGDMEAKMKGQPWKYFGTADMPYLDQLLQPSSVTTITNSAAAHSHLRAITALKRSKGGPRQIWDDSPASMFRPRARPLFQYRHYSEQQPLQLNPAEVSEVIAAVCSETSSRSANTLTVSSRLSYNSGKQSMDVAVSVLIKLVIDMYVLDSGVAAPLTLSMLEEMLSSPRAACRVRAFDLIINLAVHAHLLEPMVNDDKSAIEEEYAQELLLNSEDQFTMQGIRKIDSAKKLGNSSAIDKFESWILNILYEMLLLLVQTVEKEESVWASALSCLLFFVCDRGKIRRNRLKGLDIRVVKALVETSRFNSWAELVHCKLISILTNMFYQVPDEATTSIISAASFLVDQVDLIGGIDFIFIEYSLATTREERKHLYLVLFDYVLHQINETCILTGASEYSHDESQPIAMLLALADAPEAFYISIKLGVEGIGELLRRSISAALSRYPNSERLNMLLGNITEKLDAIISSFTHLDKEFLHLKQITKSNKFMDDIEGSSVQNGAGMKAKLAWTILHSLLHSDRISYRQNGYIWLGDLLIAEISESRNGSIWSNIKSLQNKIAYAGAHDFCDHSDIPLSIWLMCGLLKSKNNNIRWGFLFVLERLLMRCKFLLDESEMQKSSDTDFSPDHSDSRLEKANAVIDIMSRALSLVAINETDRINILKMCDILFSQLCLKVPHSTVMPFGEGVKQPKVLTRTDEIRNTSTYERLPKQASCSWDELMEETDSKSGYHGSSPIHEIASMAASLLQGQAIVPMQLVARVPAALLYWPLIQLAGAATDNIALGVAVGSKGRGNIPGATSDIRATLLLLLIGKCTADPKAFQDVGGEDFFRALLEDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQKLVFKAQQVSESSIRYKSLYHN >KJB77979 pep chromosome:Graimondii2_0_v6:12:34043396:34045401:-1 gene:B456_012G170800 transcript:KJB77979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTSPLKGHSNSYSLNKKLFLPFSVYAPYALFSLLLIALFRLSFHPFSSSINQSPVSFSREGNVYENPCNYSNGKWVHDRDGPLYNGTTCGTIKEGQNCILHGRPDLGYLYWRWKPRKCRLPRFDPNTFLRLLRNKHIAFVGDSIARNQLESLLCMLATVSKPNLVYTSGADNKFRRWHFDSHKIVVSVYWSPFLVRGVEKSKTGPNHNEVYVDSVDERWGADLVHIDMIVLSIGHWFLHPAVYYESGSVLCCHYCNGLNHSEIGFYGVMRKAIKTALKTIIERKGANHGDGIDVFLTTFSPSHFEGQWDKFGACPRTKPYRKGEKRVEGMDAEMRAVEVEEMETAKLNAKKFKGRLRLETLDVTKLSLLRPDGHPGPYMYPFPFANGVHDRVQNDCIHWCLPGPIDTWNQIMLQVIRRWNIQSRRGVRLIP >KJB76694 pep chromosome:Graimondii2_0_v6:12:22142179:22143299:1 gene:B456_012G101200 transcript:KJB76694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFYYHRFCLSFYLHSHNSQIHSQLESLNTGKSLRCKTEGTLSLRTVLATKTITFESLTISPEQDLLSFLLYAISKLILANGLFGGFQLSFPSRLADLTLTSIIVFEKPGLHSYCAFWTNNHIC >KJB76695 pep chromosome:Graimondii2_0_v6:12:22142409:22142911:1 gene:B456_012G101200 transcript:KJB76695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFYYHRFCLSFYLHSHNSQIHSQLESLNTGKSLRCKTEGTLSLRTVLATKTITFESLTISPEQDLLSFLLYAISKLILANGLFGGFQLSFPSRLADLTLTSIIVFEKPGNPIYKTRLFH >KJB76692 pep chromosome:Graimondii2_0_v6:12:22142179:22143296:1 gene:B456_012G101200 transcript:KJB76692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFYYHRFCLSFYLHSHNSQIHSQLESLNTGKSLRCKTEGTLSLRTVLATKTITFESLTISPEQDLLSFLLYAISKLILANGLFGGFQLSFPSRLAALRTKYTPEASPFHTR >KJB76693 pep chromosome:Graimondii2_0_v6:12:22142179:22143296:1 gene:B456_012G101200 transcript:KJB76693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFYYHRFCLSFYLHSHNSQIHSQLESLNTGKSLRCKTEGTLSLRTVLATKTITFESLTISPEQDLLSFLLYAISKLILANGLFGGFQLSFPSRLAGLHSYCAFWTNNHIC >KJB74647 pep chromosome:Graimondii2_0_v6:12:39079:41151:-1 gene:B456_012G000500 transcript:KJB74647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRVVCRKLYDYVQYDLKEIAFPSSLPDPPHIKKRRKLTWHEHFLVLKEASRLYAASWVRDIGPGLRPNDYKKDDGTEGKMEIRSYILRFHWHIFGESLLHQAKVQLLRKILNYCLSPSSLSSIAARGGMETLRPALQRLYMTKASAYRDALESFIEGYQEGIQQVMEEKEDSSKAQLEGSTDKNST >KJB76007 pep chromosome:Graimondii2_0_v6:12:9782636:9790093:1 gene:B456_012G067500 transcript:KJB76007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLRTAVNKAVEVGNKNNLTRNFRNYADTVVHHAGQAVAEGAKLLQDRIASRNVRSVKQTVKRLEEAAISCRGSERVMLLRSWLIALKEIEKLASSSSEGSQKSLGQILASEDERENPKRTSMVLYYDSDIGGAPMDFREVFLQSQALEGITVSMIIEAPNDEEISLLLEMFGLCLTGGKEIHNAIVSSIQDLATAFSSYQDEVLVKREELLQFAQSAITGLKIRADLVRMESEASDLKKKLDQMSTLLKLPKGGHDNASETTTEAAIEDLKVALAEIRIRSTLEGILQKKKLAVNNGDSPEIHAQKVDKLKVLSESLANSSIKAEKRISDHRLQKEEALTVRVVKANETDEREKEIVAEISELEKQRDQLEAELKKVHISLVAANARLHNVREERDHFYEANDQIVAHLKTKEDELSKSISACKVEAKVLHTWINFLEDTWLLQSSYVETKNKQVVDELERHEDYFVNFAITLLSAYKVCSTFRWKKYLL >KJB76005 pep chromosome:Graimondii2_0_v6:12:9782566:9791685:1 gene:B456_012G067500 transcript:KJB76005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLRTAVNKAVEVGNKNNLTRNFRNYADTVVHHAGQAVAEGAKLLQDRIASRNVRSVKQTVKRLEEAAISCRGSERVMLLRSWLIALKEIEKLASSSSEGSQKSLGQILASEDERENPKRTSMVLYYDSDIGGAPMDFREVFLQSQALEGITVSMIIEAPNDEEISLLLEMFGLCLTGGKEIHNAIVSSIQDLATAFSSYQDEVLVKREELLQFAQSAITGLKIRADLVRMESEASDLKKKLDQMSTLLKLPKGGHDNASETTTEAAIEDLKVALAEIRIRSTLEGILQKKKLAVNNGDSPEIHAQKVDKLKVLSESLANSSIKAEKRISDHRLQKEEALTVRVVKANETDEREKEIVAEISELEKQRDQLEAELKKVHISLVAANARLHNVREERDHFYEANDQIVAHLKTKEDELSKSISACKVEAKVLHTWINFLEDTWLLQSSYVETKNKQVVDELERHEDYFVNFAITLLSAYKKELGPSISRISKFVENLKKLSESARNDDSKESNPRKHLEEEYLGYETKIITIFSVVENLREHFNAKHGTTSRKDDPKVKELFDDIEKLRVEFETIERPILEMETPKVDSPDERPQEILSPHPPLESTQPKLDTKENPKTQPKPDTKENPETQPVLDAAAELAKLESEFGKVNQDYTSEEIGDWEFDELERELISGDSASGK >KJB76006 pep chromosome:Graimondii2_0_v6:12:9782636:9787739:1 gene:B456_012G067500 transcript:KJB76006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLRTAVNKAVEVGNKNNLTRNFRNYADTVVHHAGQAVAEGAKLLQDRIASRNVRSVKQTVKRLEEAAISCRGSERVMLLRSWLIALKEIEKLASSSSEGSQKSLGQILASEDERENPKRTSMVLYYDSDIGGAPMDFREVFLQSQALEGITVSMIIEAPNDEEISLLLEMFGLCLTGGKEIHNAIVSSIQDLATAFSSYQDEVLVKREELLQFAQSAITGLKIRADLVRMESEASDLKKKLDQMSTLLKLPKGGHDNASETTTEAAIEDLKVALAEIRIRSTLEGILQKKKLAVNNGDSPEIHAQKVDKLKVLSESLANSSIKAEKRISDHRYIKDFFRVVLSNI >KJB77262 pep chromosome:Graimondii2_0_v6:12:29624369:29626335:-1 gene:B456_012G128400 transcript:KJB77262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPFPFFLFFISLFFASFSFTISSPDALNVQSLPLSTSPPATIPAFPEQSNVVGCPLDLPEQLFHSVTNACGTKRNNGVASELHRSRCCPVLAAWLYAAYSATALGGVGRVVPAVAGRTPSYDMPLLPDDSETCVDDLGKALKQRGVELAKPNETCDAVYCYCGIRLHPLTCPDAFSVDQKGNLVGDDSVERLERNCLSSSSNVNGFPGLGGCNKCLKSLHLLKGGNLSEESMPMGEDE >KJB77261 pep chromosome:Graimondii2_0_v6:12:29624273:29626444:-1 gene:B456_012G128400 transcript:KJB77261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPFPFFLFFISLFFASFSFTISSPDALNVQSLPLSTSPPATIPAFPEQSNVVGCPLDLPEQLFHSVTNACGTKRNNGVASELHRSRCCPVLAAWLYAAYSATALGGVGRVVPAVAGRTPSYDMPLLPDDSETCVDDLGKALKQRGVELAKPNETCDAVYCYCGIRLHPLTCPDAFSVDQKGNLVGDDSVERLERNCLSSSSNVNGFPGLGGCNKCLKSLHLLNKKNALNTSKSEERTTKMRKKDCQLMGLTWLLAKNRTSYIRTVSAVLRAMMMSKDGSSPSSCTLNSDGMPLPVDSSEIYDQSSSVTFNLSQHLPVLSLCLLLIHLVVLSYKSS >KJB76641 pep chromosome:Graimondii2_0_v6:12:20372270:20375633:-1 gene:B456_012G098200 transcript:KJB76641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSQQDHKNTTQNNTSTASNGVTKVKRTRRSVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEEAAAHAYDLAALKYWGQDTILNFPLSTYQKELKEMEDQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLKPNQTKPENNPNPNPNIIDTTTTSLVTPNPDQELDLTFFNGNNHNQQLQESDVISETLLTQPRPVNATSALGLLLQSSKFKEMMEMTSAATDHRQSTPMVSEPVRCGFPEDIQTYFECQDSSCYGNGDDLIFGELNSFGPSMFQCDQLDA >KJB76396 pep chromosome:Graimondii2_0_v6:12:14761365:14764452:-1 gene:B456_012G086600 transcript:KJB76396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTWECTMRLSWLAPPLTHGSCSLNHSHLKLLCCSRTDNNNNRVKQGAIPEFNKKNAICKYPFESNSGEELSTELHNKTIQEYCKIGDVDNAMKLVAQLEAMGFHPNSISYGFLIDSLGSVGRTLEADVLFQEMMYLGFKPRIRLFNALLKGFLKKGLLGLAVKFLVEMDRMGVCKNQETYEILLDYYVSAGRLEDTWMVVNEMKTNGIQLSSFVYGKVIRLYRDNGMWKKAIGLVEEIREKGISLDRQIYNSIIDTFGKYGELGEALEVFEKMKQESIVPDITTWNSLIRWHCKAGDLTKALQLFTEMQEQGLYPDPKILMSLISRLGELGKWDVIKKNFENMTCRGRQEVGAIYAMLVDIYGQYGRFQDAEDFISALKSEGFLPSASMFCVLANAYAQQGFCEQTVKVLQLMEAEGIELNIIMLNVLINAFGIAGRHEEALSIYQHIKESGISPDVVTYSTLMKAFIRAKKFDKVPEIYKEMESYGCTPDRKARQMLQTALMVLERRH >KJB76397 pep chromosome:Graimondii2_0_v6:12:14761365:14764696:-1 gene:B456_012G086600 transcript:KJB76397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVYMGMTDNNNNRVKQGAIPEFNKKNAICKYPFESNSGEELSTELHNKTIQEYCKIGDVDNAMKLVAQLEAMGFHPNSISYGFLIDSLGSVGRTLEADVLFQEMMYLGFKPRIRLFNALLKGFLKKGLLGLAVKFLVEMDRMGVCKNQETYEILLDYYVSAGRLEDTWMVVNEMKTNGIQLSSFVYGKVIRLYRDNGMWKKAIGLVEEIREKGISLDRQIYNSIIDTFGKYGELGEALEVFEKMKQESIVPDITTWNSLIRWHCKAGDLTKALQLFTEMQEQGLYPDPKILMSLISRLGELGKWDVIKKNFENMTCRGRQEVGAIYAMLVDIYGQYGRFQDAEDFISALKSEGFLPSASMFCVLANAYAQQGFCEQTVKVLQLMEAEGIELNIIMLNVLINAFGIAGRHEEALSIYQHIKESGISPDVVTYSTLMKAFIRAKKFDKVPEIYKEMESYGCTPDRKARQMLQTALMVLERRH >KJB76395 pep chromosome:Graimondii2_0_v6:12:14761946:14764406:-1 gene:B456_012G086600 transcript:KJB76395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTWECTMRLSWLAPPLTHGSCSLNHSHLKLLCCSRTDNNNNRVKQGAIPEFNKKNAICKYPFESNSGEELSTELHNKTIQEYCKIGDVDNAMKLVAQLEAMGFHPNSISYGFLIDSLGSVGRTLEADVLFQEMMYLGFKPRIRLFNALLKGFLKKGLLGLAVKFLVEMDRMGVCKNQETYEILLDYYVSAGRLEDTWMVVNEMKTNGIQLSSFVYGKVIRLYRDNGMWKKAIGLVEEIREKGISLDRQIYNSIIDTFGKYGELGEALEVFEKMKQESIVPDITTWNSLIRWHCKAGDLTKALQLFTEMQEQGLYPDPKILMSLISRLGELGKWDVIKKNFENMTCRGRQEVGAIYAMLVDIYGQYGRFQDAEDFISALKSEGFLPSASMFCVLANAYAQQGFCEQTVKVLQLMEAEGIELNIIMLNVLINAFGIAGRHEEALSIYQHIKESGISPDVVTYSTLMKAFIRAKKFDKVPEIYKEMESYGCTPDRKARQMLQTALMVLERRHCKY >KJB76398 pep chromosome:Graimondii2_0_v6:12:14761365:14764730:-1 gene:B456_012G086600 transcript:KJB76398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTWECTMRLSWTDNNNNRVKQGAIPEFNKKNAICKYPFESNSGEELSTELHNKTIQEYCKIGDVDNAMKLVAQLEAMGFHPNSISYGFLIDSLGSVGRTLEADVLFQEMMYLGFKPRIRLFNALLKGFLKKGLLGLAVKFLVEMDRMGVCKNQETYEILLDYYVSAGRLEDTWMVVNEMKTNGIQLSSFVYGKVIRLYRDNGMWKKAIGLVEEIREKGISLDRQIYNSIIDTFGKYGELGEALEVFEKMKQESIVPDITTWNSLIRWHCKAGDLTKALQLFTEMQEQGLYPDPKILMSLISRLGELGKWDVIKKNFENMTCRGRQEVGAIYAMLVDIYGQYGRFQDAEDFISALKSEGFLPSASMFCVLANAYAQQGFCEQTVKVLQLMEAEGIELNIIMLNVLINAFGIAGRHEEALSIYQHIKESGISPDVVTYSTLMKAFIRAKKFDKVPEIYKEMESYGCTPDRKARQMLQTALMVLERRH >KJB75427 pep chromosome:Graimondii2_0_v6:12:5257378:5258924:1 gene:B456_012G041900 transcript:KJB75427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 1 [Source:Projected from Arabidopsis thaliana (AT2G42840) UniProtKB/Swiss-Prot;Acc:Q9S728] MERQRSKQVCLLMWVLVAALFSHNMVIAVTSTGLGEQKNYYPAPDPHAGTPPSGSHGTPPSSGGGSPPSHGTPSHGGGYHPSPTPSTPSGGNCGTPPHDPSTPSTPSHTPSHGTPPSSGGGSPPSYGGGSPPSYGGGSPPSYGGGSPPSYGGGSPPSYGGGSPPTTPIDPGTPSIPSPPFFPAPTPPIGGTCDFWRSHPTLIWGLLGWWGSVGNAFGVTNAPGLGTSMSLPQALSNTRTDGLGALYREGTASFLNSMVNNRFPFSTKQVRETFVAALGSNSAAAAQARLFKLANEGHLKPRT >KJB75437 pep chromosome:Graimondii2_0_v6:12:5257382:5258924:1 gene:B456_012G041900 transcript:KJB75437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 1 [Source:Projected from Arabidopsis thaliana (AT2G42840) UniProtKB/Swiss-Prot;Acc:Q9S728] MERQRSKQVCLLMWVLVAALFSHNMVIAVTSTGLGEQKNYYPAPDPHAGTPPSGSHGTPPSSGGGSPPSHGTPSHGGGYHPSPTPSTPSGGNCGTPPHDPSTPSTPSHTPSHGTPPSSGGGSPPSYGGGSPPSYGGGSPPSYGGGSPPSYGGGSPPTTPIDPGTPSIPSPPFFPAPTPPIGGTCDFWRSHPTLIWGLLGWWGSVGNAFGVTNAPGLGTSMSLPQALSNTRTDGLGALYREGTASFLNSMVNNRFPFSTKQVRETFVAALGSNSAAAAQARLFKLANEGHLKPRT >KJB75438 pep chromosome:Graimondii2_0_v6:12:5257382:5258924:1 gene:B456_012G041900 transcript:KJB75438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 1 [Source:Projected from Arabidopsis thaliana (AT2G42840) UniProtKB/Swiss-Prot;Acc:Q9S728] MERQRSKQVCLLMWVLVAALFSHNMVIAVTSTGLGEQKNYYPAPDPHAGTPPSGSHGTPPSSGGGSPPSHGTPSHGGGYHPSPTPSTPSGGNCGTPPHDPSTPSTPSHTPSHGTPPSSGGGSPPTTPIDPGTPSIPSPPFFPAPTPPIGGTCDFWRSHPTLIWGLLGWWGSVGNAFGVTNAPGLGTSMSLPQALSNTRTDGLGALYREGTASFLNSMVNNRFPFSTKQVRETFVAALGSNSAAAAQARLFKLANEGHLKPRT >KJB75430 pep chromosome:Graimondii2_0_v6:12:5257507:5258298:1 gene:B456_012G041900 transcript:KJB75430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 1 [Source:Projected from Arabidopsis thaliana (AT2G42840) UniProtKB/Swiss-Prot;Acc:Q9S728] MERQRSKQVCLLMWVLVAALFSHNMVIAVTSTGLGEQKNYYPAPDPHAGTPPSGSHGTPPSSGGGSPPSHGTPSHGGGYHPSPTPSTPSGGNCGTPPHDPSTPSTPSHTPSHGTPPSSGGGSPPSYGGGSPPSYGGGIPHHMEVAVPHHMEVAVHQLLLLIQELQAFPHLHSFLLQLHQLVVHAISGGVTPH >KJB75436 pep chromosome:Graimondii2_0_v6:12:5257646:5258603:1 gene:B456_012G041900 transcript:KJB75436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 1 [Source:Projected from Arabidopsis thaliana (AT2G42840) UniProtKB/Swiss-Prot;Acc:Q9S728] MLELPLQVSLSLRNYIQLLVHTSCLMFSFSCCNDMMLTLFGLSAGSHGTPPSSGGGSPPSHGTPSHGGGYHPSPTPSTPSGGNCGTPPHDPSTPSTPSHTPSHGTPPSSGGGSPPSYGGGSPPSYGGGSPPSYGGGSPPSYGGGSPPSYGGGSPPTTPIDPGTPSIPSPPFFPAPTPPIGGTCDFWRSHPTLIWGLLGWWGSVGNAFGVTNAPGLGTSMSLPQALSNTRTDGLGALYREGTASFLNSMVNNRFPFSTKQVRETFVAALGSNSAAAAQARLFKLANEGHLKPRT >KJB75428 pep chromosome:Graimondii2_0_v6:12:5257382:5258924:1 gene:B456_012G041900 transcript:KJB75428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 1 [Source:Projected from Arabidopsis thaliana (AT2G42840) UniProtKB/Swiss-Prot;Acc:Q9S728] MERQRSKQVCLLMWVLVAALFSHNMVIAVTSTGLGEQKNYYPAPDPHAGTPPSGSHGTPPSSGGGSPPSHGTPSHGGGYHPSPTPSTPSGGNCGTPPHDPSTPSTPSHTPSHGTPPSSGGGSPPSYGGGSPPSYGGGSPPSYGGGIHQLLLLIQELQAFPHLHSFLLQLHQLVVHAISGGVTPH >KJB75434 pep chromosome:Graimondii2_0_v6:12:5257382:5258924:1 gene:B456_012G041900 transcript:KJB75434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 1 [Source:Projected from Arabidopsis thaliana (AT2G42840) UniProtKB/Swiss-Prot;Acc:Q9S728] MERQRSKQVCLLMWVLVAALFSHNMVIAVTSTGLGEQKNYYPAPDPHAGTPPSGSHGTPPSSGGGSPPSHGTPSHGGGYHPSPTPSTPSGGNCGTPPHDPSTPSTPSHTPSHGTPPSSGGGSPPSYGGGSPPSYGGGSPPTTPIDPGTPSIPSPPFFPAPTPPIGGTCDFWRSHPTLIWGLLGWWGSVGNAFGVTNAPGLGTSMSLPQALSNTRTDGLGALYREGTASFLNSMVNNRFPFSTKQVRETFVAALGSNSAAAAQARLFKLANEGHLKPRT >KJB75431 pep chromosome:Graimondii2_0_v6:12:5257507:5258200:1 gene:B456_012G041900 transcript:KJB75431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 1 [Source:Projected from Arabidopsis thaliana (AT2G42840) UniProtKB/Swiss-Prot;Acc:Q9S728] MERQRSKQVCLLMWVLVAALFSHNMVIAVTSTGLGEQKNYYPAPDPHAGTPPSGSHGTPPSSGGGSPPSHGTPSHGGGYHPSPTPSTPSGGNCGTPPHDPSTPSTPSHTPSHGTPPSSGGGSPPSYGGGSPPSYGGGSPPSYGGGSPPSYGGGSPPSYGGGSPPTTPIDPGTPSIPSPPFFPAPTPPIGGTCE >KJB75433 pep chromosome:Graimondii2_0_v6:12:5257382:5258924:1 gene:B456_012G041900 transcript:KJB75433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 1 [Source:Projected from Arabidopsis thaliana (AT2G42840) UniProtKB/Swiss-Prot;Acc:Q9S728] MERQRSKQVCLLMWVLVAALFSHNMVIAVTSTGLGEQKNYYPAPDPHAGTPPSGSHGTPPSSGGGSPPSHGTPSHGGGYHPSPTPSTPSGGNCGTPPHDPSTPSTPSHTPSHGTPPSSGGGSPPSYGGGSPPSYGGGIPHHMEVAVHQLLLLIQELQAFPHLHSFLLQLHQLVVHAISGGVTPH >KJB75435 pep chromosome:Graimondii2_0_v6:12:5257382:5258924:1 gene:B456_012G041900 transcript:KJB75435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 1 [Source:Projected from Arabidopsis thaliana (AT2G42840) UniProtKB/Swiss-Prot;Acc:Q9S728] MERQRSKQVCLLMWVLVAALFSHNMVIAVTSTGLGSHGTPPSSGGGSPPSHGTPSHGGGYHPSPTPSTPSGGNCGTPPHDPSTPSTPSHTPSHGTPPSSGGGSPPSYGGGSPPSYGGGSPPSYGGGSPPSYGGGSPPSYGGGSPPTTPIDPGTPSIPSPPFFPAPTPPIGGTCDFWRSHPTLIWGLLGWWGSVGNAFGVTNAPGLGTSMSLPQALSNTRTDGLGALYREGTASFLNSMVNNRFPFSTKQVRETFVAALGSNSAAAAQARLFKLANEGHLKPRT >KJB75429 pep chromosome:Graimondii2_0_v6:12:5257382:5258924:1 gene:B456_012G041900 transcript:KJB75429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 1 [Source:Projected from Arabidopsis thaliana (AT2G42840) UniProtKB/Swiss-Prot;Acc:Q9S728] MERQRSKQVCLLMWVLVAALFSHNMVIAVTSTGLGEQKNYYPAPDPHAGTPPSGSHGTPPSSGGGSPPSHGTPSHGGGYHPSPTPSTPSGGNCGTPPHDPSTPSTPSHTPSHGTPPSSGGGSPPSYGGGIPHHMEVAVPHHMEVAVHQLLLLIQELQAFPHLHSFLLQLHQLVVHAISGGVTPH >KJB75432 pep chromosome:Graimondii2_0_v6:12:5257382:5258924:1 gene:B456_012G041900 transcript:KJB75432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 1 [Source:Projected from Arabidopsis thaliana (AT2G42840) UniProtKB/Swiss-Prot;Acc:Q9S728] MERQRSKQVCLLMWVLVAALFSHNMVIAVTSTGLGEQKNYYPAPDPHAGTPPSGSHGTPPSSGGGSPPSHGTPSHGGGYHPSPTPSTPSGGNCGSPPSYGGGSPPTTPIDPGTPSIPSPPFFPAPTPPIGGTCDFWRSHPTLIWGLLGWWGSVGNAFGVTNAPGLGTSMSLPQALSNTRTDGLGALYREGTASFLNSMVNNRFPFSTKQVRETFVAALGSNSAAAAQARLFKLANEGHLKPRT >KJB76291 pep chromosome:Graimondii2_0_v6:12:13465680:13466923:1 gene:B456_012G081800 transcript:KJB76291 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g17670 [Source:Projected from Arabidopsis thaliana (AT3G17668) UniProtKB/TrEMBL;Acc:Q9LUN0] MAVASTANLLIALQSERRKSNGALPLVLPKPTWVVRTESNAGRKRMRKPDPPCVVCKGSGRVDCHYCYGRGRTNQVHLEMLPKGEWPKWCRSCGGSGLSYCSRCLGTGEYRYIMGFHFMKTDDDGTQHKKYQIEGDCDSQGAADRLLRGEQSDDSGNEI >KJB74497 pep chromosome:Graimondii2_0_v6:12:2463091:2465123:1 gene:B456_012G0202002 transcript:KJB74497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNSRGMQMWNLFSTAWSCSNTFFYAHRCDVNLLLATLCTRTIQTREGSIVKALDCNAAVASRDALAKTFYARLFDWLVDKINISVGKDPNSHVQIGVLDIYGFECFKHNRL >KJB75643 pep chromosome:Graimondii2_0_v6:12:6476866:6479001:1 gene:B456_012G049800 transcript:KJB75643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKCGKRKAKEKMGFQGYGLRDNPKKSWKSLGFNGDDDNAATSSTLEFQCKACGRQFESMKALFGHMRHHSARERKEVNCQECGRKFKSLKALTAHMRLHPLKSVTVKRKRSKRSRYNSAPNSSLSSLNESSGLVEIDQDVEDAALCLIMMSRGVKNCTEFNCFWESCGNNDFEIKSFHQNKEILQTSFGYGDELDSYASGSMNVFHEKNISECKELDSGILSAKEKNAESGFELYETEIKGTLSGEIMNLKSIEAEPRQDLMEGLDLTGLGSTKSSSSKDAMFDACDSGPVDDASNKLISIPLNSEVSDDSLRKNKYRCRICNKTFKSHQALGGHQTIHRKSNTYAEPVEDHEKTTHISSSPEIEAGCKLVKVEYVEHSVDQEMNEVTSSETRVYKVHKCLICLKVFGSGQALGGHKRSHISRDPGTGDKQPAKQLDLSNISDVIDLNLPVMHNEEANGDTGLKLCRLGTDCKNEALLSLVAN >KJB76709 pep chromosome:Graimondii2_0_v6:12:23214400:23217741:1 gene:B456_012G103700 transcript:KJB76709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRLRGWRKWAKKDWAIAAVGFTIILFALTLLFDSRNPTSSSSSTFNLPSNHLIPLTLLHRAKATGAFCLDGSLPGYHFQKGFGSGSNHWLLHIEGGGWCNSIETCEIRKRTALGSSKYMDPLIPFDGILSHQSSQNPDFYNWNIVKMRYCDGASLAGHPESEFKNGTKLFFRGQLIWEAIMSELLSLGLSKAKQALLTGCSAGGLATLIHCDDFRAHLPKDATVKCLADAGFFLDEPDILGNRTMSNFYHDVVQLQGVAKSLHKKCITEMEPSKCIFPQQIIKNIRTPLFIVNPAYDFWQIQHVLVPAGSDPDGYWRRCRLSIQKCDSTQIENLQGFRSSLLKAVSKLQHDKEGGMFINSCFVHCQTWMAETWHSSNSPRINNKTIAESVGDWYFNRKVTKEIDCPYPCNPTCYHMDFTQPS >KJB76712 pep chromosome:Graimondii2_0_v6:12:23214648:23217733:1 gene:B456_012G103700 transcript:KJB76712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRLRGWRKWAKKDWAIAAVGFTIILFALTLLFDSRNPTSSSSSTFNLPSNHLIPLTLLHRAKATVCLDGSLPGYHFQKGFGSGSNHWLLHIEGGGWCNSIETCEIRKRTALGSSKYMDPLIPFDGILSHQSSQNPDFYNWNIVKMRYCDGASLAGHPESEFKNGTKLFFRGQLIWEAIMSELLSLGLSKAKQALLTGCSAGGLATLIHCDDFRAHLPKDATVKCLADAGFFLDEPDILGNRTMSNFYHDVVQLQGVAKSLHKKCITEMEPSKCIFPQQIIKNIRTPLFIVNPAYDFWQIQHVLVPAGSDPDGYWRRCRLSIQKCDSTQIENLQGFRSSLLKAVSKLQHDKEGGMFINSCFVHCQTWMAETWHSSNSPRINNKTIAESVGDWYFNRKVTKEIDCPYPCNPTCYHMDFTQPS >KJB76710 pep chromosome:Graimondii2_0_v6:12:23213852:23217733:1 gene:B456_012G103700 transcript:KJB76710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRLRGWRKWAKKDWAIAAVGFTIILFALTLLFDSRNPTSSSSSTFNLPSNHLIPLTLLHRAKATGAFCLDGSLPGYHFQKGFGSGSNHWLLHIEGGGWCNSIETCEIRKRTALGSSKYMDPLIPFDGILSHQSSQNPDFYNWNIVKMRYCDGASLAGHPESEFKNGTKLFFRGQLIWEAIMSELLSLGLSKAKQALLTGCSAGGLATLIHCDDFRAHLPKDATVKCLADAGFFLDEPDILGNRTMSNFYHDVVQLQGVAKSLHKKCITEMEPSKCIFPQQIIKNIRTPLFIVNPAYDFWQIQHVLVPAGSDPDGYWRRCRLSIQKCDSTQIENLQGFRSSLLKAVSKLQHDKEGGMFINSCFVHCQTWMAETWHSSNSPRINNKTIAESVGDWYFNRKVTKEIDCPYPCNPTCYHMDFTQPS >KJB76711 pep chromosome:Graimondii2_0_v6:12:23214720:23216804:1 gene:B456_012G103700 transcript:KJB76711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRLRGWRKWAKKDWAIAAVGFTIILFALTLLFDSRNPTSSSSSTFNLPSNHLIPLTLLHRAKATGAFCLDGSLPGYHFQKGFGSGSNHWLLHIEGGGWCNSIETCEIRKRTALGSSKYMDPLIPFDGILSHQSSQNPDFYNWNIVKMRYCDGASLAGHPESEFKNGTKLFFRGQLIWEAIMSELLSLGLSKAKQALLTGCSAGGLATLIHCDDFRAHLPKDATVKCLADAGFFLDEPDILGNRTMSNFYHDVVQLQGVAKSLHKKCITEMEPSKCIFPQQIIKNIRTPLFIVNPAYDFWQVTCSNTTICLLLNAISFLIIGSITDWIIIRYNTS >KJB77765 pep chromosome:Graimondii2_0_v6:12:32969178:32972175:-1 gene:B456_012G156000 transcript:KJB77765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDRRVHPDCINASNPYHECVEYCFRKIVEAKAKKDQEKPETVQREHDQPVRCVAYQEQDVQSGAPEPEQNSDDDNNNQLAEENIEGDITKLTGRQKKLFELRLKMNEARKANQTAMVAEKKRMEAPPESRGISKQKWLEERKKKIGKLLDANGLDLQKAYMLDTQEAAEAKYKKWEKDPAPFGWDVFNQKTLYNAYKKRTKNIDIDLEEYNKMKESDPEFFREASSLQYGKAPKVSEDKIDKMVKELKDREEKRKSFSRRRKFHDEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >KJB77766 pep chromosome:Graimondii2_0_v6:12:32969638:32972148:-1 gene:B456_012G156000 transcript:KJB77766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDRRVHPDCINASNPYHECVEYCFRKIVEAKAKKDQEKPETVQREHDQPVRCVAYQEQDVQSGAPEPEQNSDDDNNNQLAEENIEGDITKLTGRQKKLFELRLKMNEARKANQTAMVAEKKRMEAPPESRGISKQKWLEERKKKIGKLLDANGLDLQKAYMLDTQEAAEAKYKKWEKDPAPFGWDVFNQKTLYNAYKKRTKNIDIDLEEYNKMKESDPEFFREASSLQYGKAPKVSEDKIDKMVKELKDREEKRKSFSRRRKFHDEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >KJB77763 pep chromosome:Graimondii2_0_v6:12:32969618:32972224:-1 gene:B456_012G156000 transcript:KJB77763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDRRVHPDCINASNPYHECVEYCFRKIVEAKAKKDQEKPETVQREHDQPVRCVAYQEQDVQSGAPEPEQNSDDDNNNQLAEENIEGDITKLTGRQKKLFELRLKMNEARKANQTAMVAEKKRMEAPPESRGISKQKWLEERKKKIGKLLDANGLDLQKAYMLDTQEAAEAKYKKWEKDPAPFGWDVFNQKTLYNAYKKRTKNIDIDLEEYNKMKESDPEFFREASSLQYGKAPKVSEDKIDKMVKELKDREEKRKSFSRRRKFHDEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >KJB77764 pep chromosome:Graimondii2_0_v6:12:32969178:32972224:-1 gene:B456_012G156000 transcript:KJB77764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDRRVHPDCINASNPYHECVEYCFRKIVEAKAKKDQEKPETVQREHDQPVRCVAYQEQDVQSGAPEPEQNSDDDNNNQLAEENIEGDITKLTGRQKKLFELRLKMNEARKANQTAMVAEKKRMEAPPESRGISKQKWLEERKKKIGKLLDANGLDLQKAYMLDTQEAAEAKYKKWEKDPAPFGWDVFNQKTLYNAYKKRTKNIDIDLEEYNKMKESDPEFFREASSLQYGKAPKVSEDKIDKMVKELKDREEKRKSFSRRRKFHDEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >KJB76532 pep chromosome:Graimondii2_0_v6:12:16600861:16602375:1 gene:B456_012G093300 transcript:KJB76532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIFKVHQAGLRGDKIDVVVKVQHPGIQDLMMNDIRNLQAFALYIQKNDIKFYLFSATKEMEKQVSVFTLMFLAKHIYHSFMYFSDYVN >KJB76530 pep chromosome:Graimondii2_0_v6:12:16600218:16602513:1 gene:B456_012G093300 transcript:KJB76530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIFKVHQAGLRGDKIDVVVKVQHPGIQDLMMNDIRNLQAFALYIQKNDIKFYLFSATKEMEKQVSVFTLMFLAKHIYHSFMYFSDYVN >KJB76531 pep chromosome:Graimondii2_0_v6:12:16600218:16602513:1 gene:B456_012G093300 transcript:KJB76531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKHTRFRLFTLIKGEPTSRIAGLFLRILCWNALCSLMISIFKVHQAGLRGDKIDVVVKVQHPGIQDLMMNDIRNLQAFALYIQKNDIKFYLFSATKEMEKQVSVFTLMFLAKHIYHSFMYFSDYVN >KJB77450 pep chromosome:Graimondii2_0_v6:12:31141267:31142980:-1 gene:B456_012G138000 transcript:KJB77450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAGSRYVHHLLGPELQLQRSSEPQFNSPEKQGTTDHPSAATTSSGGGSTTPGRRPRGRPAGSKNKPKPPIIVARDSPNSLRSHVLEISSGSDIVDSVSDYARRRGRGVCVLSGTGAVTNVTLRQPAAPPGSVVTLHGRFEILSLTGTSLPPPAPPGAGGLTVYLAGVQGQVVGGSVVGPLMASGPVVLMAASFANAVYDRLPLEEEDPPPVHEQQPAASQSSGLTGSGGGNNNNSGTTGTGVGGGGGVPFYNLGPNMGTYPFPGDVYGWSGSATRPPL >KJB77452 pep chromosome:Graimondii2_0_v6:12:31141596:31142441:-1 gene:B456_012G138000 transcript:KJB77452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAGSRYVHHLLGPELQLQRSSEPQFNSPEKQGTTDHPSAATTSSGGGSTTPGRRPRGRPAGSKNKPKPPIIVARDSPNSLRSHVLEISSGSDIVDSVSDYARRRGRGVCVLSGTGAVTNVTLRQPAAPPGSVVTLHGRFEILSLTGTSLPPPAPPGAGGLTVYLAGVQGQVVGGSVVGPLMASGPVVLMAASFANAVYDRLPLEEEDPPPVHEQQPAASQSSGLTGSGGGNNNNSGTTGTGVGGGGGVPFYNLGPNMGTYPFPGDVYGWSGSATRPPL >KJB77451 pep chromosome:Graimondii2_0_v6:12:31141267:31143066:-1 gene:B456_012G138000 transcript:KJB77451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAGSRYVHHLLGPELQLQRSSEPQFNSPEKQGTTDHPSAATTSSGGGSTTPGRRPRGRPAGSKNKPKPPIIVARDSPNSLRSHVLEISSGSDIVDSVSDYARRRGRGVCVLSGTGAVTNVTLRQPAAPPGSVVTLHGRFEILSLTGTSLPPPAPPGAGGLTVYLAGVQGQVVGGSVVGPLMASGPVVLMAASFANAVYDRLPLEEEDPPPVHEQQPAASQSSGLTGSGGGNNNNSGTTGTGVGGGGGVPFYNLGPNMGTYPFPGDVYGWSGSATRPPL >KJB75245 pep chromosome:Graimondii2_0_v6:12:4179690:4186124:1 gene:B456_012G034400 transcript:KJB75245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAIAWVPKGAAKAEPIVAELPSKEEIEELIKSGALDRSEDNGDNGSEDEDEDMVAEAEKQTGDVAQALAVADALGKTSKNKSGTQLEDLTDGLKELDMENYDEEDDGIELFSKGLGDLYYPSNEMDPFLKDQDDDDSEEVEDMTIRPMDSVIVCARTEDDVSHLEVWIYEDLDEGDSNMYVHHDVIISAFPLCTAWLDCPIRGGEKGNFVAVGSMEPSIEIWDLDIIDEVQPCLVLGGTVEKKTKKGKKKPKYKDGSHTGAVLGLAWNKEYRNILASASADKQVKIWDVAAGKCNITMEHHEGKVQAVAWNHHVPQVLLSGSFDRSVVMKDGRVPTHSGFKWSVTAEVECLAWDPHTEYSFVVSLEDGTIRGYDIRAAKSDPSSESKPSFTLHAHDKAACTLSYNPAAPNLLATGSMDKMVKLWDLSNNQPSCVASKNPKAGAVFSISFSQDNPFCLAIGGSKGKLGVWDTLTETAVSGKFGSYSQQPNRPKA >KJB75249 pep chromosome:Graimondii2_0_v6:12:4179690:4186124:1 gene:B456_012G034400 transcript:KJB75249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAIAWVPKGAAKAEPIVAELPSKEEIEELIKSGALDRSEDNGDNGSEDEDEDMVAEAEKQTGDVAQALAVADALGKTSKNKSGTQLEDLTDGLKELDMENYDEEDDGIELFSKGLGDLYYPSNEMDPFLKDQDDDDSEEVEDMTIRPMDSVIVCARTEDDVSHLEVWIYEDLDEGDSNMYVHHDVIISAFPLCTAWLDCPIRGGEKGNFVAVGSMEPSIEIWDLDIIDEVQPCLVLGGTVEKKTKKGKKKPKYKDGSHTGAVLGLAWNKEYRNILASASADKQVKIWDVAAGKCNITMEHHEGKVQAVAWNHHVPQVLLSGSFDRSVVMKDGRVPTHSGFKWSVTAEVECLAWDPHTEYSFVVSLEDGTIRGYDIRAAKSDPSSESKPSFTLHAHDKAACTLSYNPAAPNLLATGSMDKMVKLWDLSNNQPSCVASKNPKAVSVIYRKTYMWGFYYNCNVSKLCCGCY >KJB75247 pep chromosome:Graimondii2_0_v6:12:4179690:4186124:1 gene:B456_012G034400 transcript:KJB75247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAIAWVPKGAAKAEPIVAELPSKEEIEELIKSGALDRSEDNGDNGSEDEDEDMVAEAEKQTGDVAQALAVADALGKTSKNKSGTQLEDLTDGLKELDMENYDEEDDGIELFSKGLGDLYYPSNEMDPFLKDQDDDDSEEVEDMTIRPMDSVIVCARTEDDVSHLEVWIYEDLDEGDSNMYVHHDVIISAFPLCTAWLDCPIRGGEKGNFVAVGSMEPSIEIWDLDIIDEVQPCLVLGGTVEKKTKKGKKKPKYKDGSHTGAVLGLAWNKEYRNILASASADKQVKIWDVAAGKCNITMEHHEGKVQAVAWNHHVPQVLLSGSFDRSVVMKDGRVPTHSGFKWSVTAEVECLAWDPHTEYSFVVSLEDGTIRGYDIRAAKSDPSSESKPSFTLHAHDKAACTLSYNPAAPNLLATGSMDKMVKLWDLSNNQPSCVASKNPKAGAVFSISFSQDNPFCLAIGGSKGKLGVWDTLTETAVSGKFGSYSQQPNRPKA >KJB75248 pep chromosome:Graimondii2_0_v6:12:4180251:4185344:1 gene:B456_012G034400 transcript:KJB75248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAIAWVPKGAAKAEPIVAELPSKEEIEELIKSGALDRSEDNGDNGSEDEDEDMVAEAEKQTGDVAQALAVADALGKTSKNKSGTQLEDLTDGLKELDMENYDEEDDGIELFSKGLGDLYYPSNEMDPFLKDQDDDDSEEVEDMTIRPMDSVIVCARTEDDVSHLEVWIYEDLDEGDSNMYVHHDVIISAFPLCTAWLDCPIRGGEKGNFVAVGSMEPSIEIWDLDIIDEVQPCLVLGGTVEKKTKKGKKKPKYKDGSHTGAVLGLAWNKEYRNILASASADKQVKIWDVAAGKCNITMEHHEGKVQAVAWNHHVPQVLLSGSFDRSVVMKDGRVPTHSGFKWSVTAEVECLAWDPHTEYSFVVSLEDGTIRGYDIRAAKSDPSSESKPSFTLHAHDKAACTLSYNPAAPNLLATGSMDKMVNNGFLLALFIYCGKL >KJB75250 pep chromosome:Graimondii2_0_v6:12:4179690:4186124:1 gene:B456_012G034400 transcript:KJB75250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAIAWVPKGAAKAEPIVAELPSKEEIEELIKSGALDRSEDNGDNGSEDEDEDMVAEAEKQTGDVAQALAVADALGKTSKNKSGTQLEDLTDGLKELDMENYDEEDDGIELFSKGLGDLYYPSNEMDPFLKDQDDDDSEEVEDMTIRPMDSVIVCARTEDDVSHLEVWIYEDLDEGDSNMYVHHDVIISAFPLCTAWLDCPIRGGEKGNFVAVGSMEPSIEIWDLDIIDEVQPCLVLGGTVEKKTKKGKKKPKYKDGSHTGAVLGLAWNKEYRNILASASADKQVKIWDVAAGKCNITMEHHEGKVQAVAWNHHVPQVLLSGSFDRSVVMKDGRVPTHSGFKWSVTAEVECLAWDPHTEYSFVVSLEDGTIRGYDIRAAKSDPSSESKPSFTLHAHDKAACTLSYNPAAPNLLATGSMDKMVKLWDLSNNQPSCVASKNPKAGAVFSISFSQDNPFCLAIGGSKGKLGVWDTLTETAVSGKFGSYSQQPNRPKA >KJB75252 pep chromosome:Graimondii2_0_v6:12:4179597:4186124:1 gene:B456_012G034400 transcript:KJB75252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAIAWVPKGAAKAEPIVAELPSKEEIEELIKSGALDRSEDNGDNGSEDEDEDMVAEAEKQTGDVAQALAVADALGKTSKNKSGTQLEDLTDGLKELDMENYDEEDDGIELFSKGLGDLYYPSNEMDPFLKDQDDDDSEEVEDMTIRPMDSVIVCARTEDDVSHLEVWIYEDLDEGDSNMYVHHDVIISAFPLCTAWLDCPIRGGEKGNFVAVGSMEPSIEIWDLDIIDEVQPCLVLGGTVEKKTKKGKKKPKYKDGSHTGAVLGLAWNKEYRNILASASADKQVKIWDVAAGKCNITMEHHEGKVQAVAWNHHVPQVLLSGSFDRSVVMKDGRVPTHSGFKWSVTAEVECLAWDPHTEYSFVVSLEDGTIRGYDIRAAKSDPSSESKPSFTLHAHDKAACTLSYNPAAPNLLATGSMDKMVKLWDLSNNQPSCVASKNPKAGAVFSISFSQDNPFCLAIGGSKGKLGVWDTLTETAVSGKFGSYSQQPNRPKA >KJB75251 pep chromosome:Graimondii2_0_v6:12:4179688:4186124:1 gene:B456_012G034400 transcript:KJB75251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAIAWVPKGAAKAEPIVAELPSKEEIEELIKSGALDRSEDNGDNGSEDEDEDMVAEAEKQTGDVAQALAVADALGKTSKNKSGTQLEDLTDGLKELDMENYDEEDDGIELFSKGLGDLYYPSNEMDPFLKDQDDDDSEEVEDMTIRPMDSVIVCARTEDDVSHLEVWIYEDLDEGDSNMYVHHDVIISAFPLCTAWLDCPIRGGEKGNFVAVGSMEPSIEIWDLDIIDEVQPCLVLGGTVEKKTKKGKKKPKYKDGSHTGAVLGLAWNKEYRNILASASADKQVKIWDVAAGKCNITMEHHEGKVQAVAWNHHVPQVLLSGSFDRSVVMKDGRVPTHSGFKWSVTAEVECLAWDPHTEYSFVVSLEDGTIRGYDIRAAKSDPSSESKPSFTLHAHDKAACTLSYNPAAPNLLATGSMDKMVKLWDLSNNQPSCVASKNPKAGAVFSISFSQDNPFCLAIGGSKGKLGVWDTLTETAVSGKFGSYSQQPNRPKA >KJB75246 pep chromosome:Graimondii2_0_v6:12:4179690:4186124:1 gene:B456_012G034400 transcript:KJB75246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAIAWVPKGAAKAEPIVAELPSKEEIEELIKSGALDRSEDNGDNGSEDEDEDMVAEAEKQTGDVAQALAVADALGKTSKNKSGTQLEDLTDGLKELDMENYDEEDDGIELFSKGLGDLYYPSNEMDPFLKDQDDDDSEEVEDMTIRPMDSVIVCARTEDDVSHLEVWIYEDLDEGDSNMYVHHDVIISAFPLCTAWLDCPIRGGEKGNFVAVGSMEPSIEIWDLDIIDEVQPCLVLGGTVEKKTKKGKKKPKYKDGSHTGAVLGLAWNKEYRNILASASADKQVKIWDVAAGKCNITMEHHEGKVQAVAWNHHVPQVLLSGSFDRSVVMKDGRVPTHSGFKWSVTAEVECLAWDPHTEYSFVVSLEDGTIRGYDIRAAKSDPSSESKPSFTLHAHDKAACTLSYNPAAPNLLATGSMDKMVKLWDLSNNQPSCVASKNPKAGAVFSISFSQDNPFCLAIGGSKGKLGVWDTLTETAVSGKFGSYSQQPNRPKA >KJB77436 pep chromosome:Graimondii2_0_v6:12:31018652:31022931:1 gene:B456_012G136800 transcript:KJB77436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRRCVVAALAAIMLMMELAALTVAENRRSMLSNGLGMTPPMGWNSWNHFGCNIDEKMIRETADALVSTGLSKLGYEYVNIDDCWAEISRDDKGNLVPKKSTFPSGIKALADYVHKKGLKLGIYSDAGYFTCSRRMPGSLGYEEQDAKTFASWGIDYLKYDNCNNDGSKPTKRYPVMTRALMKAGRPIFFSLCEWGDMHPAEWGAPVGNSWRTTNDISDNWESMISRADQNEIYAEYARPGGWNDPDMLEVGNGGMTKDEYIVHFSLWAISKAPLLLGCDIRNMTRETMDIITNKEVIAVNQDPYGFQAKKVRMEGDQEIWSAPLSGYKIAVVLLNRGPVRYSTTARWDDIGLDPKTVVQARDLWEHKTLKTTFVGNLTATLRPHSCKLYVLKPIA >KJB76553 pep chromosome:Graimondii2_0_v6:12:16950132:16959104:-1 gene:B456_012G094400 transcript:KJB76553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEANHLPEVDSLPDGFVESPTDSLAPKTPILEQEKPLQSDYKEEDLVSTEFGAGKGQKQITFPVPLSEGDSFNGSLGSVDGKLECTEVKVDLEGEYQSIERSVEGVSEATLTPLKETSSSESVNTLKDKKPETTGTKCKNAKRTFKSEKEFLEFSLKYQQIVAERDAAIAVRDKLESLCRELQRQNKMLMDECKRVSTEGQNLRLDLSARFQDAIKDVSNKLEEQKDECLSQLKENEMLRNKLKEFADQCALAEQQYALKLKQKTLELQLANLKIKQHEEKLVEEQAQMKVYAEQVSQLLATEKNLRLQLAADGEKFQQFQDALFKSNEVFETFKQEIEKMAKSIKELEKENAFMKSKCEKSDVTLIELVEEREQMKKQLQKTKNQKDKLESLCRSLQAERKQGSAAGNSSSDHPVTD >KJB76548 pep chromosome:Graimondii2_0_v6:12:16950129:16958954:-1 gene:B456_012G094400 transcript:KJB76548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEANHLPEVDSLPDGFVESPTDSLAPKTPILEQEKPLQSDYKEEDLVSTEFGAGKGQKQITFPVPLSEGDSFNGSLGSVDGKLECTEVKVDLEGEYQSIERSVEGVSEATLTPLKETSSSESVNTLKDKKPETTGTKCKNAKRTFKSEKEFLEFSLKYQQIVAERDAAIAVRDKLESLCRELQRQNKMLMDECKRVSTEGQNLRLDLSARFQDAIKDVSNKLEEQKDECLSQLKENEMLRNKLKEFADQCALAEQQYALKLKQKTLELQLANLKIKQHEEKLVEEQAQMKVYAEQVSQLLATEKNLRLQLAADGEKFQQFQDALFKSNEVFETFKQEIEKMAKSIKELEKENAFMKSKCEKSDVTLIELVEEREQMKKQLQKTKNQKDKLESLCRSLQAERKQGSAAGNSSSDHPVTD >KJB76549 pep chromosome:Graimondii2_0_v6:12:16950132:16959140:-1 gene:B456_012G094400 transcript:KJB76549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEANHLPEVDSLPDGFVESPTDSLAPKTPILEQEKPLQSDYKEEDLVSTEFGAGKGQKQITFPVPLSEGDSFNGSLGSVDGKLECTEVKVDLEGEYQSIERFEGVSEATLTPLKETSSSESVNTLKDKKPETTGTKCKNAKRTFKSEKEFLEFSLKYQQIVAERDAAIAVRDKLESLCRELQRQNKMLMDECKRVSTEGQNLRLDLSARFQDAIKDVSNKLEEQKDECLSQLKENEMLRNKLKEFADQCALAEQQYALKLKQKTLELQLANLKIKQHEEKLVEEQAQMKVYAEQVSQLLATEKNLRLQLAADGEKFQQFQDALFKSNEVFETFKQEIEKMAKSIKELEKENAFMKSKCEKSDVTLIELVEEREQMKKQLQKTKNQKDKLESLCRSLQAERKQGSAAGNSSSDHPVTD >KJB76551 pep chromosome:Graimondii2_0_v6:12:16950132:16959104:-1 gene:B456_012G094400 transcript:KJB76551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEANHLPEVDSLPDGFVESPTDSLAPKTPILEQEKPLQSDYKEEDLVSTEFGAGKGQKQITFPVPLSEGDSFNGSLGSVDGKLECTEVKVDLEGEYQSIERSVEGVSEATLTPLKETSSSESVNTLKDKKPETTGTKCKNAKRTFKSEKEFLEFSLKYQQIVAERDAAIAVRDKLESLCRELQRQNKMLMDECKRVSTEGQNLRLDLSARFQDAIKDVSNKLEEQKDECLSQLKENEMLRNKLKEFADQCALAEQQYALKLKQKTLELQLANLKIKQHEEKLVEEQAQMKVYAEQVSQLLATEKNLRLQLAADGEKFQQFQDALFKSNEVFETFKQEIEKTWAGCMIWVSSIFLKIFLCLEGSWRIISPYSCPNMHWTRVLENGYLEKRSKELGFIMNYVEVNDYFRFLYVKVDGKIDKGTGERKCIHEEQM >KJB76552 pep chromosome:Graimondii2_0_v6:12:16950129:16958986:-1 gene:B456_012G094400 transcript:KJB76552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEANHLPEVDSLPDGFVESPTDSLAPKTPILEQEKPLQSDYKEEDLVSTEFGAGKGQKQITFPVPLSEGDSFNGSLGSVDGKLECTEVKVDLEGEYQSIERFEGVSEATLTPLKETSSSESVNTLKDKKPETTGTKCKNAKRTFKSEKEFLEFSLKYQQIVAERDAAIAVRDKLESLCRELQRQNKMLMDECKRVSTEGQNLRLDLSARFQDAIKDVSNKLEEQKDECLSQLKENEMLRNKLKEFADQCALAEQQYALKLKQKTLELQLANLKIKQHEEKLVEEQAQMKVYAEQVSQLLATEKNLRLQLAADGEKFQQFQDALFKSNEVFETFKQEIEKMAKSIKELEKENAFMKSKCEKSDVTLIELVEEREQMKKQLQKTKNQKDKLESLCRSLQAERKQGSAAGNSSSDHPVTD >KJB76550 pep chromosome:Graimondii2_0_v6:12:16950747:16958813:-1 gene:B456_012G094400 transcript:KJB76550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEANHLPEVDSLPDGFVESPTDSLAPKTPILEQEKPLQSDYKEEDLVSTEFGAGKGQKQITFPVPLSEGDSFNGSLGSVDGKLECTEVKVDLEGEYQSIERSVEGVSEATLTPLKETSSSESVNTLKDKKPETTGTKCKNAKRTFKSEKEFLEFSLKYQQIVAERDAAIAVRDKLESLCRELQRQNKMLMDECKRVSTEGQNLRLDLSARFQDAIKDVSNKLEEQKDECLSQLKENEMLRNKLKEFADQCALAEQQYALKLKQKTLELQLANLKIKQHEEKLVEEQAQMKVYAEQVSQLLATEKNLRLQLAADGEKFQQFQDALFKSNEVFETFKQEIEKIFLCLEGSWRIISPYSCPNMHWTRVLENGWQNR >KJB77318 pep chromosome:Graimondii2_0_v6:12:30047079:30048914:-1 gene:B456_012G131500 transcript:KJB77318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTATLSAPSYPKLAPVPALWSKDPNLKRHNPRNKSSVVALSPSFQANPLLSSNSGSQGFLSSTGARCKTNVLPIRSRSLSLPRAYKDDISKFCYPVITEKPEWWLRTLACIPYLIALQTSGTGYFLHPFLEHYEMFENLIYFVPGAIKRLPPWFSMIYCYFGYAGIVKNKALSRYIRIHLMMGMLLETAFKLIWYTGTFLPLTHFNGNFMMHFWAGIGIGYIFVLLECVRCALGGKCAHIPVISNAAYIHTRFNVGGLQRPF >KJB77383 pep chromosome:Graimondii2_0_v6:12:33699019:33702235:-1 gene:B456_012G166600 transcript:KJB77383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFHGVFKFYSFLRGFLIQLFYVFWFYPLYVFSFILSNLWYNDIATHGFAAMGRSGPSTVKSLKQNDTLTSDSKVHAARPAGLGGIMIGIGEQVYSLLLLTFFFFEVYATGFIPYIGKALNFVLLSWMYAYYCFEYKWNFTEWGLEKRLDFFETNWAFFAGFGSPCVLAIFFFPPLVGYGFMAVLFPLFVLTATGTEAEQVISTQRRRFTGAQLGKVPVFYAADTLLMRVLSLFPSESRERTQDNKTL >KJB77378 pep chromosome:Graimondii2_0_v6:12:33699874:33701971:-1 gene:B456_012G166600 transcript:KJB77378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIKPLIEALKTKLKQALILWAEGFREACCLHRVIVLCRRSKKLMIRTGQCFLLNGFIFLGSLFVLNSVVIPTLQWILPDHYSQTSCEAMSEFHGVFKFYSFLRGFLIQLFYVFWFYPLYVFSFILSNLWYNDIATHGFAAMGRSGPSTVKSLKQNDTLTSDSKVHAARPAGLGGIMIGIGEQVYSLLLLTFFFFEVYATGFIPYIGKALNFVLLSWMYAYYCFEYKWNFTEWGLEKRLDFFETNWAFFAGFGSPCVLAIFFFPPLVGYGFMAVLFPLVHLGPFSCSFGDY >KJB77380 pep chromosome:Graimondii2_0_v6:12:33700630:33701971:-1 gene:B456_012G166600 transcript:KJB77380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIKPLIEALKTKLKQALILWAEGFREACCLHRVIVLCRRSKKLMIRTGQCFLLNGFIFLGSLFVLNSVVIPTLQWILPDHYSQTSCEAMSEFHGVFKFYSFLRGFLIQLFYVFWFYPLYVFSFILSNLWYNDIATHGFAAMGRSGPSTVKSLKQNDTLTSDSKVHAARPAGLGGY >KJB77379 pep chromosome:Graimondii2_0_v6:12:33699019:33702235:-1 gene:B456_012G166600 transcript:KJB77379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIKPLIEALKTKLKQALILWAEGFREACCLHRVIVLCRRSKKLMIRTGQCFLLNGFIFLGSLFVLNSVVIPTLQWILPDHYSQTSCEAMSEFHGVFKFYSFLRGFLIQLFYVFWFYPLYVFSFILSNLWYNDIATHGFAAMGRSGPSTVKSLKQNDTLTSDSKVHAARPAGLGGIMIGIGEQVYSLLLLTFFFFEVYATGFIPYIGKALNFVLLSWMYAYYCFEYKWNFTEWGLEKRLDFFETNWAFFAGFGSPCVLAIFFFPPLVGYGFMAVLFPLFVLTATGTEAEQVISTQRRRFTGAQLGKVPVFYAADTLLMRVLSLFPSESRERTQDNKTL >KJB77382 pep chromosome:Graimondii2_0_v6:12:33700218:33701971:-1 gene:B456_012G166600 transcript:KJB77382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIKPLIEALKTKLKQALILWAEGFREACCLHRVIVLCRRSKKLMIRTGQCFLLNGFIFLGSLFVLNSVVIPTLQWILPDHYSQTSCEAMSEFHGVFKFYSFLRGFLIQLFYVFWFYPLYVFSFILSNLWYNDIATHGFAAMGRSGPSTVKSLKQNDTLTSDSKVHAARPAGLGGIMIGIGEQVYSLLLLTFFFFEVYATGFIPYIGKALNFVLLSWMYAYYCFEYVVKQVFSVSNLFALSLS >KJB77377 pep chromosome:Graimondii2_0_v6:12:33699019:33701155:-1 gene:B456_012G166600 transcript:KJB77377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGPSTVKSLKQNDTLTSDSKVHAARPAGLGGIMIGIGEQVYSLLLLTFFFFEVYATGFIPYIGKALNFVLLSWMYAYYCFEYKWNFTEWGLEKRLDFFETNWAFFAGFGSPCVLAIFFFPPLVGYGFMAVLFPLFVLTATGTEAEQVISTQRRRFTGAQLGKVPVFYAADTLLMRVLSLFPSESRERTQDNKTL >KJB77381 pep chromosome:Graimondii2_0_v6:12:33700095:33701971:-1 gene:B456_012G166600 transcript:KJB77381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIKPLIEALKTKLKQALILWAEGFREACCLHRVIVLCRRSKKLMIRTGQCFLLNGFIFLGSLFVLNSVVIPTLQWILPDHYSQTSCEAMSEFHGVFKFYSFLRGFLIQLFYVFWFYPLYVFSFILSNLWYNDIATHGFAAMGRSGPSTVKSLKQNDTLTSDSKVHAARPAGLGGIMIGIGEQVYSLLLLTFFFFEVYATGFIPYIGKALNFVLLSWMYAYYCFEYKWNFTEWGLEKRLDFFETNWAFFAGFGN >KJB77914 pep chromosome:Graimondii2_0_v6:12:33630159:33633031:1 gene:B456_012G165700 transcript:KJB77914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIDAVNLVANHVHRWMLRDGSGTLPAGRIVLTVTEDENQWWQFECRSEGDRHCITGNEWSRFVQPRINAMLTLYAQQDGYSRPHL >KJB75700 pep chromosome:Graimondii2_0_v6:12:6714636:6718567:1 gene:B456_012G051800 transcript:KJB75700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVFEGYERQYCELSANLSKKCTASGALNGEKKKQKLSEIKAGLEDAEALIRKMDLEARSLQPNVKAVLLAKLREYKSDLNNLKSEVKRIASGNLNPAARDELLESGMADALTASADQRSRLMTTTERLNQSSDRIKDSRRTMLETEELGVSILQDLHSQRQSLLHANNTLHGVDDNIGKSKRILTNMSRRMSRNKWIVGIIISLLIIAIIVILYFKLAK >KJB75699 pep chromosome:Graimondii2_0_v6:12:6714729:6718564:1 gene:B456_012G051800 transcript:KJB75699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVFEGYERQYCELSANLSKKCTASGALNGEKKKQKLSEIKAGLEDAEALIRKMDLEARSLQPNVKAVLLAKLREYKSDLNNLKSEVKRIASGNLNPAARDELLESGMADALTASADQRSRLMTTTERLNQSSDRIKDSRRTMLETEELGVSILQDLHSQRQSLLHANNTLHGVDDNIGKSKRILTNMSRRMSRNKWIVGIIISLLIIAIIVILYFKLAK >KJB76875 pep chromosome:Graimondii2_0_v6:12:25101223:25104502:1 gene:B456_012G111000 transcript:KJB76875 gene_biotype:protein_coding transcript_biotype:protein_coding description:UCP1 [Source:Projected from Arabidopsis thaliana (AT3G54110) UniProtKB/TrEMBL;Acc:A0A178V8U1] MVADQKGKSDISFAGTFASSAFAACFAEICTIPLDTAKVRLQLQKKALGGDAAALPKYRGLLGTVGTIAREEGLAALWKGVIPGLHRQCLFGGLRIGMYEPVKNFYVGKDHVGDVPLTKKILAALTTGALGITVANPTDLVKVRLQAEGRLPPGVPRRYSGALNAYSTIARQEGVKALWTGIGPNIARNAIINAAELASYDQVKQTILKIPGFTDNVVTHLLSGLGAGFFAVCIGSPVDVVKSRMMGDSAYKSTLDCFIKTLKNDGPMAFYKGFIPNFGRLGSWNVIMFLTLEQAKKIVRDLESS >KJB76876 pep chromosome:Graimondii2_0_v6:12:25101254:25103262:1 gene:B456_012G111000 transcript:KJB76876 gene_biotype:protein_coding transcript_biotype:protein_coding description:UCP1 [Source:Projected from Arabidopsis thaliana (AT3G54110) UniProtKB/TrEMBL;Acc:A0A178V8U1] MVADQKGKSDISFAGTFASSAFAACFAEICTIPLDTAKVRLQLQKKALGGDAAALPKYRGLLGTVGTIAREEGLAALWKGVIPGLHRQCLFGGLRIGMYEPVKNFYVGKDHVGDVPLTKKILAALTTGALGITVANPTDLVKVRLQAEGRLPPGVPRRYSGALNAYSTIARQEGVKALWTGIGPNIARNAIINAAELASYDQVKQTILKIPGFTDNVVTHLLSGLGAGFFAVCIGSPVDVVSHQSSHFACLF >KJB76877 pep chromosome:Graimondii2_0_v6:12:25101367:25104357:1 gene:B456_012G111000 transcript:KJB76877 gene_biotype:protein_coding transcript_biotype:protein_coding description:UCP1 [Source:Projected from Arabidopsis thaliana (AT3G54110) UniProtKB/TrEMBL;Acc:A0A178V8U1] MVADQKGKSDISFAGTFASSAFAACFAEICTIPLDTAKVRLQLQKKALGGDAAALPKYRGLLGTVGTIAREEGLAALWKGVIPGLHRQCLFGGLRIGMYEPVKNFYVGKDHVGDVPLTKKILAALTTGALGITVANPTDLVKVRLQAEGRLPPGVPRRYSGALNAYSTIARQEGVKALWTGIGPNIARNAIINAAELASYDQVKQTILKIPGFTDNVVTHLLSGLGAGFFAVCIGSPVDVVKSRMMGDSAYKSTLDCFIKTLKNDGPMAFYKGFIPNFGRLGSWNVIMFLTLEQAKKIVRDLESS >KJB75528 pep chromosome:Graimondii2_0_v6:12:5689215:5689753:1 gene:B456_012G045600 transcript:KJB75528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVINNFKDQRLFQKSVVEFYISFEVSTEIREESRELKRAIEAGGVTIILGEKCSSIIFWCDCGYA >KJB78136 pep chromosome:Graimondii2_0_v6:12:34824862:34827000:1 gene:B456_012G179900 transcript:KJB78136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCSMLTWQPPTRNGHSAIVKLLIRSCAKARDGDLEKLGMDHVNAVREMLRITDQESDTALHVAAQYGDVEMVEGLLELEDPDFPYSANKNQKTPLYLAAEIGNRGVLSVLLNKSKSTGQGGPHGRTALHAAAMAEDAEATKIILKKKGNLTKERDEDGHTPLHYAAHKGCSSVVEELLKWDASAAYVCDRKWEMTPLLMAARQGHLQILRKIHSFCPDCCEKVDKRGWNLLHYLAFRVYHPSIAVFSFTLSRMECASIRNLMDWKDALGITPHQVYDAYRPRIARARKFFENSGQKEKKKQIAELLKDIASEEVAECPVSHFSLPTVSAESLDKTRDAHLVVAGLIATITFAAAITVPGGLQTEKGSERGTPLLIDVAAFKAFVVTNAIAFILSVSALSIHFEIVDLLLSKRNFWRPDMFVSRARSVSNLLGRAVIAMVIAFSTGSYVVLKPSHGLAIASCFIGPAFFLLFYY >KJB74950 pep chromosome:Graimondii2_0_v6:12:2005318:2008470:1 gene:B456_012G017500 transcript:KJB74950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEEEGQSLPYVSEIVLKKRKIRDELAITRRTQLELGKYGAKKSKKQSDVSDIKRPEQLIKEFRDKELDLIRTKQRAKRPKSMIPTIKSKLLFIIRIQGKNDMHPKTRKILYNLRLRKVFSGVFVKATEGVIDMLQKVEPYVTYGYPNLKNVKELVYKKGYARIDKKAVPLTDNNIIEQTLGKYGIICIEDIIHEIANVGQHFKEVVLFMGPLMLSKPEDGLLRGKKQPYREGGDAGNREDEINDLISKMN >KJB74951 pep chromosome:Graimondii2_0_v6:12:2005409:2008436:1 gene:B456_012G017500 transcript:KJB74951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEEEGQSLPYVSEIVLKKRKIRDELAITRRTQLELGKYGAKKSKKQSDVSDIKRPEQLIKEFRDKELDLIRTKQRAKRPKSMIPTIKSKLLFIIRIQGKNDMHPKTRKILYNLRLRKVFSGVFVKATEGVIDMLQKVEPYVTYGYPNLKNVKELVYKKGYARIDKKAVPLTDNNIIEQTLGKYGIICIEDIIHEIANVGQHFKEVVLFMGPLMLSKPEDGLLRGKKQPYREGGDAGNREDEINDLISKMN >KJB74949 pep chromosome:Graimondii2_0_v6:12:2005409:2008436:1 gene:B456_012G017500 transcript:KJB74949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEEEGQSLPYVSEIVLKKRKIRDELAITRRTQLELGKYGAKKSKKQSDVSDIKRPEQLIKEFRDKELDLIRTKQRAKRPKSMIPTIKSKLLFIIRIQGKNDMHPKTRKILYNLRLRKVFSGVFVKATEGVIDMLQKVEPYVTYGYPNLKNVKELVYKKGYARIDKKAVPLTDNNIIEQTLGKYGIICIEDIIHEIANVGQHFKEVVLFMGPLMLSKPEDGLLRGKKQPYREGGDAGNREDEINDLISKMN >KJB78022 pep chromosome:Graimondii2_0_v6:12:34315384:34322829:-1 gene:B456_012G173500 transcript:KJB78022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKLEIRCPQIVNGIGLEPDPDWSFKSLLLELDSLEKKLNLSSSVSLPFAKTKSREIYGEKGTKRSSNAFVMRVSDEEFEDSEGENEKVRDRGLVKAARFNCNEFYFSSSDDDSDDQPCLDVQTYLMNEVGLVESALYELTHEHQLGVKEEIRNTISALETDLMNESEKSSSAHTKVEKYREARREVERKFDVQYQRRVAEGLDNHLTAVQRDHELKSQIEERKIRSDAAHEEAKRREKALQEERLRQEKAKAEAEAKLKAEEANRAALEAERIAAKEAAENEAAKSSKANTSEVPQTEASGGPNATSSRVENDKTNKSELAGNMLRAAESALKLERERLQKLKELEEINQSLRSSSNQNFDSTGRLIGRLIRQISGNKDKVSTQASELVKIFNNPRCPQTISIAYFAQKVVSNCESPSHSAFACAHVIVLVTSQFPQAMDLVLAEIHRACIYTVPKHISYTKSAFESKEAYWKVIGYKEDDGKIESTTDYLVRLESYMKLYGALVQTEVAGCQNVHGLKEGWAWLARFLNALPANMYTAVALYAFLQMAGFALFRKYRSQFMKMLNFISENFLNALRSQDDPDLRPITSKIQCYLEDKLFLQEPEGRTLHSSLLSNNMAPESDYHGPYHQQSRHFY >KJB78023 pep chromosome:Graimondii2_0_v6:12:34317041:34322638:-1 gene:B456_012G173500 transcript:KJB78023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKLEIRCPQIVNGIGLEPDPDWSFKSLLLELDSLEKKLNLSSSVSLPFAKTKSREIYGEKGTKRSSNAFVMRVSDEEFEDSEGENEKVRDRGLVKAARFNCNEFYFSSSDDDSDDQPCLDVQTYLMNEVGLVESALYELTHEHQLGVKEEIRNTISALETDLMNESEKSSSAHTKVEKYREARREVERKFDVQYQRRVAEGLDNHLTAVQRDHELKSQIEERKIRSDAAHEEAKRREKALQEERLRQEKAKAEAELQAKLKAEEANRAALEAERIAAKEAAENEAAKSSKANTSEVPQTEASGGPNATSSRVENDKTNKSELAGNMLRAAESALKLERERLQKLKELEEINQSLRSSSNQNFDSTGRLIGRLIRQISGNKDKVSTQASELVKIFNNPRCPQTISIAYFAQKVVSNCESPSHSAFACAHVIVLVTSQFPQAMDLVLAEIHRACIYTVPKHISYTKLCFFDM >KJB78020 pep chromosome:Graimondii2_0_v6:12:34316651:34322638:-1 gene:B456_012G173500 transcript:KJB78020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKLEIRCPQIVNGIGLEPDPDWSFKSLLLELDSLEKKLNLSSSVSLPFAKTKSREIYGEKGTKRSSNAFVMRVSDEEFEDSEGENEKVRDRGLVKAARFNCNEFYFSSSDDDSDDQPCLDVQTYLMNEVGLVESALYELTHEHQLGVKEEIRNTISALETDLMNESEKSSSAHTKVEKYREARREVERKFDVQYQRRVAEGLDNHLTAVQRDHELKSQIEERKIRSDAAHEEAKRREKALQEERLRQEKAKAEAEAKLKAEEANRAALEAERIAAKEAAENEAAKSSKANTSEVPQTEASGGPNATSSRVENDKTNKSELAGNMLRAAESALKLERERLQKLKELEEINQSLRSSSNQNFDSTGRLIGRLIRQISGNKDKVSTQASELVKIFNNPRCPQTISIAYFAQKVVSNCESPSHSAFACAHVIVLVTSQFPQAMDLVLAEIHRACIYTVPKHISYTKSAFESKEAYWKVIGYKEDDGKIESTTDYLVRLESYMKLYGALVQVY >KJB78025 pep chromosome:Graimondii2_0_v6:12:34315384:34322829:-1 gene:B456_012G173500 transcript:KJB78025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLKTTMEAVKLEIRCPQIVNGIGLEPDPDWSFKSLLLELDSLEKKLNLSSSVSLPFAKTKSREIYGEKGTKRSSNAFVMRVSDEEFEDSEGENEKVRDRGLVKAARFNCNEFYFSSDDDSDDQPCLDVQTYLMNEVGLVESALYELTHEHQLGVKEEIRNTISALETDLMNESEKSSSAHTKVEKYREARREVERKFDVQYQRRVAEGLDNHLTAVQRDHELKSQIEERKIRSDAAHEEAKRREKALQEERLRQEKAKAEAEAKLKAEEANRAALEAERIAAKEAAENEAAKSSKANTSEVPQTEASGGPNATSSRVENDKTNKSELAGNMLRAAESALKLERERLQKLKELEEINQSLRSSSNQNFDSTGRLIGRLIRQISGNKDKVSTQASELVKIFNNPRCPQTISIAYFAQKVVSNCESPSHSAFACAHVIVLVTSQFPQAMDLVLAEIHRACIYTVPKHISYTKSAFESKEAYWKVIGYKEDDGKIESTTDYLVRLESYMKLYGALVQTEVAGCQNVHGLKEGWAWLARFLNALPANMYTAVALYAFLQMAGFALFRKYRSQFMKMLNFISENFLNALRSQDDPDLRPITSKIQCYLEDKLFLQEPEGRTLHSSLLSNNMAPESDYHGPYHQQSRHFY >KJB78021 pep chromosome:Graimondii2_0_v6:12:34317041:34322638:-1 gene:B456_012G173500 transcript:KJB78021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKLEIRCPQIVNGIGLEPDPDWSFKSLLLELDSLEKKLNLSSSVSLPFAKTKSREIYGEKGTKRSSNAFVMRVSDEEFEDSEGENEKVRDRGLVKAARFNCNEFYFSSSDDDSDDQPCLDVQTYLMNEVGLVESALYELTHEHQLGVKEEIRNTISALETDLMNESEKSSSAHTKVEKYREARREVERKFDVQYQRRVAEGLDNHLTAVQRDHELKSQIEERKIRSDAAHEEAKRREKALQEERLRQEKAKAEAEAKLKAEEANRAALEAERIAAKEAAENEAAKSSKANTSEVPQTEASGGPNATSSRVENDKTNKSELAGNMLRAAESALKLERERLQKLKELEEINQSLRSSSNQNFDSTGRLIGRLIRQISGNKDKVSTQASELVKIFNNPRCPQTISIAYFAQKVVSNCESPSHSAFACAHVIVLVTSQFPQAMDLVLAEIHRACIYTVPKHISYTKLCFFDM >KJB78024 pep chromosome:Graimondii2_0_v6:12:34315384:34322829:-1 gene:B456_012G173500 transcript:KJB78024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKLEIRCPQIVNGIGLEPDPDWSFKSLLLELDSLEKKLNLSSSVSLPFAKTKSREIYGEKGTKRSSNAFVMRVSDEEFEDSEGENEKVRDRGLVKAARFNCNEFYFSSSDDDSDDQPCLDVQTYLMNEVGLVESALYELTHEHQLGVKEEIRNTISALETDLMNESEKSSSAHTKVEKYREARREVERKFDVQYQRRVAEGLDNHLTAVQRDHELKSQIEERKIRSDAAHEEAKRREKALQEERLRQEKAKAEAELQAKLKAEEANRAALEAERIAAKEAAENEAAKSSKANTSEVPQTEASGGPNATSSRVENDKTNKSELAGNMLRAAESALKLERERLQKLKELEEINQSLRSSSNQNFDSTGRLIGRLIRQISGNKDKVSTQASELVKIFNNPRCPQTISIAYFAQKVVSNCESPSHSAFACAHVIVLVTSQFPQAMDLVLAEIHRACIYTVPKHISYTKSAFESKEAYWKVIGYKEDDGKIESTTDYLVRLESYMKLYGALVQTEVAGCQNVHGLKEGWAWLARFLNALPANMYTAVALYAFLQMAGFALFRKYRSQFMKMLNFISENFLNALRSQDDPDLRPITSKIQCYLEDKLFLQEPEGRTLHSSLLSNNMAPESDYHGPYHQQSRHFY >KJB78026 pep chromosome:Graimondii2_0_v6:12:34315391:34322697:-1 gene:B456_012G173500 transcript:KJB78026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKLEIRCPQIVNGIGLEPDPDWSFKSLLLELDSLEKKLNLSSSVSLPFAKTKSREIYGEKGTKRSSNAFVMRVSDEEFEDSEGENEKVRDRGLVKAARFNCNEFYFSSSDDDSDDQPCLDVQTYLMNEVGLVESALYELTHEHQLGVKEEIRNTISALETDLMNESEKSSSAHTKVEKYREARREVERKFDVQYQRRVAEGLDNHLTAVQRDHELKSQIEERKIRSDAAHEEAKRREKALQEERLRQEKAKAEAEAKLKAEEANRAALEAERIAAKEAAENEAAKSSKANTSEVPQTEASGGPNATSSRVENDKTNKSELAGNMLRAAESALKLERERLQKLKELEEINQSLRSSSNQNFDSTGRLIGRLIRQISGNKDKVSTQASELVKIFNNPRCPQTISIAYFAQKFPQAMDLVLAEIHRACIYTVPKHISYTKSAFESKEAYWKVIGYKEDDGKIESTTDYLVRLESYMKLYGALVQTEVAGCQNVHGLKEGWAWLARFLNALPANMYTAVALYAFLQMAGFALFRKYRSQFMKMLNFISENFLNALRSQDDPDLRPITSKIQCYLEDKLFLQEPEGRTLHSSLLSNNMAPESDYHGPYHQQSRHFY >KJB74886 pep chromosome:Graimondii2_0_v6:12:1435983:1438433:1 gene:B456_012G012600 transcript:KJB74886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSHILSISVAVVGAVWFLVHHLYNSMWFRSERLRRKLWMQGIKGPSPSLIYGNLPEMQKIQLNALTSTPNNADIVAHDYTSSLFPYFVQWRKEYGPIYTYSTGTRQHLYVNQAELVKEMNQCISLDLGKPSYITKRLAPMLGNGILRSNGPLWAQQRKIIAPEFFMDKVKVMVGLMVESMQPLVRKWEDSIEAQSGVMADIRVDEDLRGFTADVIARACFGSSYSKGREIFSKLRKLQTAISKQSFLFGVPGYGLLPMKKQNEILSLEREIESLIWETVKERESKCKEACSSEKDLLHLILEGALNDQSLGEDSSKRFIVDNCKNIYFAGHESTAVAASWCLMLLALQPEWQSRVRAEVAQVCGGSLPDADSVSRLKTVSKINEHISVHIPHYC >KJB74888 pep chromosome:Graimondii2_0_v6:12:1435826:1439175:1 gene:B456_012G012600 transcript:KJB74888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQCISLDLGKPSYITKRLAPMLGNGILRSNGPLWAQQRKIIAPEFFMDKVKVMVGLMVESMQPLVRKWEDSIEAQSGVMADIRVDEDLRGFTADVIARACFGSSYSKGREIFSKLRKLQTAISKQSFLFGVPGYGLLPMKKQNEILSLEREIESLIWETVKERESKCKEACSSEKDLLHLILEGALNDQSLGEDSSKRFIVDNCKNIYFAGHESTAVAASWCLMLLALQPEWQSRVRAEVAQVCGGSLPDADSVSRLKTVTMVIQEALRLYPPAAFVSREALEEIQLGNVTIPKGTCLWTLIPTLHRDAEIWGSDANEFKPERFSDGVSKACKYPQAYIPFGVGSRLCLGRNMAMVQLKIVLALIISKFTFSLSTKYRHSPAYRMIVEPGNGVHILIQNI >KJB74883 pep chromosome:Graimondii2_0_v6:12:1435764:1439175:1 gene:B456_012G012600 transcript:KJB74883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSHILSISVAVVGAVWFLVHHLYNSMWFRSERLRRKLWMQGIKGPSPSLIYGNLPEMQKIQLNALTSTPNNADIVAHDYTSSLFPYFVQWRKEYGPIYTYSTGTRQHLYVNQAELVKEMNQCISLDLGKPSYITKRLAPMLGNGILRSNGPLWAQQRKIIAPEFFMDKVKVMVGLMVESMQPLVRKWEDSIEAQSGVMADIRVDEDLRGFTADVIARACFGSSYSKGREIFSKLRKLQTAISKQSFLFGVPGYGLLPMKKQNEILSLEREIESLIWETVKERESKCKEACSSEKDLLHLILEGALNDQSLGEDSSKRFIVDNCKNIYFAGHESTAVAASWCLMLLALQPEWQSRVRAEVAQVCGGSLPDADSVSRLKTVTMVIQEALRLYPPAAFVSREALEEIQLGNVTIPKGTCLWTLIPTLHRDAEIWGSDANEFKPERFSDGVSKACKYPQAYIPFGVGSRLCLGRNMAMVQLKIVLALIISKFTFSLSTKYRHSPAYRMIVEPGNGVHILIQNI >KJB74885 pep chromosome:Graimondii2_0_v6:12:1435826:1439175:1 gene:B456_012G012600 transcript:KJB74885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSHILSISVAVVGAVWFLVHHLYNSMWFRSERLRRKLWMQGIKGPSPSLIYGNLPEMQKIQLNALTSTPNNADIVAHDYTSSLFPYFVQWRKEYGPIYTYSTGTRQHLYVNQAELVKEMNQCISLDLGKPSYITKRLAPMLGNGILRSNGPLWAQQRKIIAPEFFMDKVKVMVGLMVESMQPLVRKWEDSIEAQSGVMADIRVDEDLRGFTADVIARACFGSSYSKGREIFSKLRKLQTAISKQSFLFGVPGYGLLPMKKQNEILSLEREIESLIWETVKERESKCKEACSSEKDLLHLILEGALNDQSLGEDSSKRFIVDNCKNIYFAGHESTAVAASWCLMLLALQPEWQSRVRAEVAQVCGGSLPDADSVSRLKTGVGCR >KJB74887 pep chromosome:Graimondii2_0_v6:12:1435826:1439175:1 gene:B456_012G012600 transcript:KJB74887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSHILSISVAVVGAVWFLVHHLYNSMWFRSERLRRKLWMQGIKGPSPSLIYGNLPEMQKIQLNALTSTPNNADIVAHDYTSSLFPYFVQWRKEYGPIYTYSTGTRQHLYVNQAELVKEMNQCISLDLGKPSYITKRLAPMLGNGILRSNGPLWAQQRKIIAPEFFMDKVKQVMVGLMVESMQPLVRKWEDSIEAQSGVMADIRVDEDLRGFTADVIARACFGSSYSKGREIFSKLRKLQTAISKQSFLFGVPGYGLLPMKKQNEILSLEREIESLIWETVKERESKCKEACSSEKDLLHLILEGALNDQSLGEDSSKRFIVDNCKNIYFAGHESTAVAASWCLMLLALQPEWQSRVRAEVAQVCGGSLPDADSVSRLKTVTMVIQEALRLYPPAAFVSREALEEIQLGNVTIPKGTCLWTLIPTLHRDAEIWGSDANEFKPERFSDGVSKACKYPQAYIPFGVGSRLCLGRNMAMVQLKIVLALIISKFTFSLSTKYRHSPAYRMIVEPGNGVHILIQNI >KJB74884 pep chromosome:Graimondii2_0_v6:12:1435826:1439175:1 gene:B456_012G012600 transcript:KJB74884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSHILSISVAVVGAVWFLVHHLYNSMWFRSERLRRKLWMQGIKGPSPSLIYGNLPEMQKIQLNALTSTPNNADIVAHDYTSSLFPYFVQWRKEYGTRQHLYVNQAELVKEMNQCISLDLGKPSYITKRLAPMLGNGILRSNGPLWAQQRKIIAPEFFMDKVKVMVGLMVESMQPLVRKWEDSIEAQSGVMADIRVDEDLRGFTADVIARACFGSSYSKGREIFSKLRKLQTAISKQSFLFGVPGYGLLPMKKQNEILSLEREIESLIWETVKERESKCKEACSSEKDLLHLILEGALNDQSLGEDSSKRFIVDNCKNIYFAGHESTAVAASWCLMLLALQPEWQSRVRAEVAQVCGGSLPDADSVSRLKTVTMVIQEALRLYPPAAFVSREALEEIQLGNVTIPKGTCLWTLIPTLHRDAEIWGSDANEFKPERFSDGVSKACKYPQAYIPFGVGSRLCLGRNMAMVQLKIVLALIISKFTFSLSTKYRHSPAYRMIVEPGNGVHILIQNI >KJB77773 pep chromosome:Graimondii2_0_v6:12:32992595:32996082:-1 gene:B456_012G156400 transcript:KJB77773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHSVGMEIVEDVVIVGAGIAGLTTSLGLHRLGIRSLVLESSEGLRITGFAFTTWENAWKALDAIGIGDSLRRLHYLLSSIVVASTVLEKPAVSEMSFKGHDIRCVQRRLLLETLAKELPNGTIRFSSKVVSIDESEDHFKRLHLADGAILKTKVLIGCDGVNSVVAKWLGLQNPVFSGRSSIRGNAHFKGGHGFEPKLRQFAGKGFRSGFLPCDDENVYWFLTWTPATKEEEMEDNPLKLKQLVTSKLKDASDEMKSVIEKTLLDDITSSPLRYRKPWELLWGNISKGNVCVAGDALHPMTPDIGQGGCSALEDGVVLARCLGEALLKPGVEDEEYERIETGLKKYGQERKWRSFDLITTAFMVGFIQQHEGKVMSYLRDKFMLRFLSGLLSRKAGFDCGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDSLEQLDEFSRSF >KJB77775 pep chromosome:Graimondii2_0_v6:12:32992664:32996073:-1 gene:B456_012G156400 transcript:KJB77775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHSVGMEIVEDVVIVGAGIAGLTTSLGLHRLGIRSLVLESSEGLRITGFAFTTWENAWKALDAIGIGDSLRRLHYLLSSIVVASTVLEKPAVSEMSFKGHDIRCVQRRLLLETLAKELPNGTIRFSSKVVSIDESEDHFKRLHLADGAILKTKVLIGCDGVNSVVAKWLGLQNPVFSGRSSIRGNAHFKGGHGFEPKLRQFAGKGFRSGFLPCDDENVYWFLTWTPATKEEEMEDNPLKLKQLVTSKLKDASDEMKSVIEKTLLDDITSSPLRYRKPWELLWGNISKGNVCVAGDALHPMTPDIGQGGCSALEDGVVLARCLGEALLKPGVEDEEYERIETGLKKYGQERKWRSFDLITTAFMVGFIQQHEGKVMSYLRDKFMLRFLSGLLSRKAGFDCGKL >KJB77774 pep chromosome:Graimondii2_0_v6:12:32992658:32996082:-1 gene:B456_012G156400 transcript:KJB77774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKGHDIRCVQRRLLLETLAKELPNGTIRFSSKVVSIDESEDHFKRLHLADGAILKTKVLIGCDGVNSVVAKWLGLQNPVFSGRSSIRGNAHFKGGHGFEPKLRQFAGKGFRSGFLPCDDENVYWFLTWTPATKEEEMEDNPLKLKQLVTSKLKDASDEMKSVIEKTLLDDITSSPLRYRKPWELLWGNISKGNVCVAGDALHPMTPDIGQGGCSALEDGVVLARCLGEALLKPGVEDEEYERIETGLKKYGQERKWRSFDLITTAFMVGFIQQHEGKVMSYLRDKFMLRFLSGLLSRKAGFDCGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDSLEQLDEFSRSF >KJB75160 pep chromosome:Graimondii2_0_v6:12:3424864:3426393:1 gene:B456_012G027900 transcript:KJB75160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKTLKFLDVNKILHPMFLPLDGIPRAPKSNGTQISSKIIRTLSCLSKLRSLRIFSMLGDCDIVTTTTLSNNSNLASRATSLTSIAMGCLRAPYTPTMVESSFFKASMTLFKAPVVEPLAGLGAGR >KJB76485 pep chromosome:Graimondii2_0_v6:12:16108790:16113378:1 gene:B456_012G091800 transcript:KJB76485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRRSSGTRLYVGHLSSRTRSRDLEDMFRRYGRIRDVDMKRDYAFVEFSDPRDADDARYSLNGRDLDGSRIVVEFAKGVPRGPGGSRDYPGRGPAPGAGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPRRGRSRSRSYSRGRSNSRSRTPVKREQGFERDDRRSRSPKSHRGSPLPPRGRKHGPTLDERSPQEGGSPSSRDRRHTNGSKYSPRERSQSPDHEADAEDRAYRSSTKENGQSHSPSPVPREDRSPIYNDDDDNNRASRRSESN >KJB76489 pep chromosome:Graimondii2_0_v6:12:16108908:16113356:1 gene:B456_012G091800 transcript:KJB76489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDYAFVEFSDPRDADDARYSLNGRDLDGSRIVVEFAKGVPRGPGGSRDYPGRGPAPGAGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPRRGRSRSRSYSRGRSNSRSRTPVKREQGFERDDRRSRSPKSHRGSPLPPRGRKHGPTLDERSPQEGGSPSSRDRRHTNGSKYSPRERSQSPDHEADAEDRAYRSSTKENGQSHSPSPVPREDRSPIYNDDDDNNRASRRSESN >KJB76486 pep chromosome:Graimondii2_0_v6:12:16108800:16113356:1 gene:B456_012G091800 transcript:KJB76486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDYAFVEFSDPRDADDARYSLNGRDLDGSRIVVEFAKGVPRGPGGSRDYPGRGPAPGAGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPRRGRSRSRSYSRGRSNSRSRTPVKREQGFERDDRRSRSPKSHRGSPLPPRGRKHGPTLDERSPQEGGSPSSRDRRHTNGSKYSPRERSQSPDHEADAEDRAYRSSTKENGQSHSPSPVPREDRSPIYNDDDDNNRASRRSESN >KJB76487 pep chromosome:Graimondii2_0_v6:12:16108800:16113356:1 gene:B456_012G091800 transcript:KJB76487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDYAFVEFSDPRDADDARYSLNGRDLDGSRIVVEFAKGVPRGPGGSRDYPGRGPAPGAGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPRRGRSRSRSYSRGRSNSRSRTPVKREQGFERDDRRSRSPKSHRGSPLPPRGRKHGPTLDERSPQEGGSPSSRDRRHTNGSKYSPRERSQSPDHEADAEDRAYRSSTKENGQSHSPSPVPREDRSPIYNDDDDNNRASRRSESN >KJB76484 pep chromosome:Graimondii2_0_v6:12:16108790:16113378:1 gene:B456_012G091800 transcript:KJB76484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRRSSGTRLYVGHLSSRTRSRDLEDMFRRYGRIRDVDMKRDYAFVEFSDPRDADDARYSLNGRDLDGSRIVVEFAKGVPRGPGGSRDYPGRGPAPGAGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPRRGRSRSRSYSRGRSNSRSRTPVKREQGFERDDRRSRSPKSHRGSPLPPRGRKHGPTLDERSPQEGGSPSSRDRRHTNGSKYSPRERSQSPDHEADAEDRAYRSSTKENGQSHSPSPVPREDRSPIYNDDDDNNRASRRSESN >KJB76488 pep chromosome:Graimondii2_0_v6:12:16108902:16113356:1 gene:B456_012G091800 transcript:KJB76488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCCSAGHLVFHIKHEIRDVDMKRDYAFVEFSDPRDADDARYSLNGRDLDGSRIVVEFAKGVPRGPGGSRDYPGRGPAPGAGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPRRGRSRSRSYSRGRSNSRSRTPVKREQGFERDDRRSRSPKSHRGSPLPPRGRKHGPTLDERSPQEGGSPSSRDRRHTNGSKYSPRERSQSPDHEADAEDRAYRSSTKENGQSHSPSPVPREDRSPIYNDDDDNNRASRRSESN >KJB76483 pep chromosome:Graimondii2_0_v6:12:16110842:16113356:1 gene:B456_012G091800 transcript:KJB76483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDYAFVEFSDPRDADDARYSLNGRDLDGSRIVVEFAKGVPRGPGGSRDYPGRGPAPGAGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPRRGRSRSRSYSRGRSNSRSRTPVKREQGFERDDRRSRSPKSHRGSPLPPRGRKHGPTLDERSPQEGGSPSSRDRRHTNGSKYSPRERSQSPDHEADAEDRAYRSSTKENGQSHSPSPVPREDRSPIYNDDDDNNRASRRSESN >KJB75338 pep chromosome:Graimondii2_0_v6:12:4625643:4632512:1 gene:B456_012G037500 transcript:KJB75338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G34240) UniProtKB/TrEMBL;Acc:A0A178UV01] MMIVSPGLFKHPSRSYSIVGVGLLGTFTYCHKYKINRVSFSSSIPSYYHCRATLSTMMEEVKPEFDSDKAAVLVNELRKTFNSGKTKSYEWRISQLESISKMIDEKEKEIVEALRKDLSKPELEAFLSEILMARSSCKLALKELKQWMMPQKVETSLATYPSSAEIVAEPLGVVLVISTWNFPFSLSLDPVIGAIAAGNAVVLKPSEIASATSSLLSRLVGEYMDKSAIIVVEGAVAETSALLEQKWDKIFFTGGARVGRIVMAAAAKNLTPVTLELGGKCPAVVDSNVNLQVTSRRIVAGKWVCNNGQACISVDYIITTKELAPKLIATLVSVVEEIFGKDLMESKERSRIINSFHFKRLVNLLEEDKVSNKIVFGGQREESQLQIAPTILLDVPEDSMIMQEEIFGPLLPIITVERLEDSFAMINRKPKPLAAYLFSDDEQIKRKFVQNICAGGMAINDTILQVTVPTLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFAGDSPTRYPPYTPGKKKQIKALLSGKLLNIMLALLGFYKD >KJB75342 pep chromosome:Graimondii2_0_v6:12:4626075:4632512:1 gene:B456_012G037500 transcript:KJB75342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G34240) UniProtKB/TrEMBL;Acc:A0A178UV01] MMEEVKPEFDSDKAAVLVNELRKTFNSGKTKSYEWRISQLESISKMIDEKEKEIVEALRKDLSKPELEAFLSEILMARSSCKLALKELKQWMMPQKVETSLATYPSSAEIVAEPLGVVLVISTWNFPFSLSLDPVIGAIAAGNAVVLKPSEIASATSSLLSRLVGEYMDKSAIIVVEGAVAETSALLEQKWDKIFFTGGARVGRIVMAAAAKNLTPVTLELGGKCPAVVDSNVNLQVTSRRIVAGKWVCNNGQACISVDYIITTKELAPKLIATLVSVVEEIFGKDLMESKERSRIINSFHFKRLVNLLEEDKVSNKIVFGGQREESQLQIAPTILLDVPEDSMIMQEEIFGPLLPIITVERLEDSFAMINRKPKPLAAYLFSDDEQIKRKFVQNICAGGMAINDTILQVTVPTLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFAGDSPTRYPPYTPGKKKQIKALLSGKLLNIMLALLGFYKD >KJB75341 pep chromosome:Graimondii2_0_v6:12:4626075:4632512:1 gene:B456_012G037500 transcript:KJB75341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G34240) UniProtKB/TrEMBL;Acc:A0A178UV01] MMEEVKPEFDSDKAAVLVNELRKTFNSGKTKSYEWRISQLESISKMIDEKEKEIVEALRKDLSKPELEAFLSEILMARSSCKLALKELKQWMMPQKVETSLATYPSSAEIVAEPLGVVLVISTWNFPFSLSLDPVIGAIAAGNAVVLKPSEIASATSSLLSRLVGEYMDKSAIIVVEGAVAETSALLEQKWDKIFFTGGARVGRIVMAAAAKNLTPVTLELGGKCPAVVDSNVNLQVTSRRIVAGKWVCNNGQACISVDYIITTKELAPKLIATLVSVVEEIFGKDLMESKERSRIINSFHFKRLVNLLEEDKVSNKIVFGGQREESQLQIAPTILLDVPEDSMIMQEEIFGPLLPIITVERLEDSFAMINRKPKPLAAYLFSDDEQIKRKFVQNICAGGMAINDTILQVTVPTLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFAGDSPTRYPPYTPGKKKQIKALLSGKLLNIMLALLGFYKD >KJB75339 pep chromosome:Graimondii2_0_v6:12:4625643:4632607:1 gene:B456_012G037500 transcript:KJB75339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G34240) UniProtKB/TrEMBL;Acc:A0A178UV01] MMIVSPGLFKHPSRSYSIVGVGLLGTFTYCHKYKINRVSFSSSIPSYYHCRATLSTMMEEVKPEFDSDKAAVLVNELRKTFNSGKTKSYEWRISQLESISKMIDEKEKEIVEALRKDLSKPELEAFLSEILMARSSCKLALKELKQWMMPQKVETSLATYPSSAEIVAEPLGVVLVISTWNFPFSLSLDPVIGAIAAGNAVVLKPSEIASATSSLLSRLVGEYMDKSAIIVVEGAVAETSALLEQKWDKIFFTGGARVGRIVMAAAAKNLTPVTLELGGKCPAVVDSNVNLQVTSRRIVAGKWVCNNGQACISVDYIITTKELAPKLIATLVSVVEEIFGKDLMESKERSRIINSFHFKRLVNLLEEDKVSNKIVFGGQREESQLQIAPTILLDVPEDSMIMQEEIFGPLLPIITVERLEDSFAMINRKPKPLAAYLFSDDEQIKRKFVQNICAGGMAINDTILQVTVPTLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFAGDSPTRYPPYTPGKKKQIKALLSVCIQDEPFWYLQRTENGRI >KJB75337 pep chromosome:Graimondii2_0_v6:12:4625643:4632512:1 gene:B456_012G037500 transcript:KJB75337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G34240) UniProtKB/TrEMBL;Acc:A0A178UV01] MIDEKEKEIVEALRKDLSKPELEAFLSEILMARSSCKLALKELKQWMMPQKVETSLATYPSSAEIVAEPLGVVLVISTWNFPFSLSLDPVIGAIAAGNAVVLKPSEIASATSSLLSRLVGEYMDKSAIIVVEGAVAETSALLEQKWDKIFFTGGARVGRIVMAAAAKNLTPVTLELGGKCPAVVDSNVNLQVTSRRIVAGKWVCNNGQACISVDYIITTKELAPKLIATLVSVVEEIFGKDLMESKERSRIINSFHFKRLVNLLEEDKVSNKIVFGGQREESQLQIAPTILLDVPEDSMIMQEEIFGPLLPIITVERLEDSFAMINRKPKPLAAYLFSDDEQIKRKFVQNICAGGMAINDTILQVTVPTLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFAGDSPTRYPPYTPGKKKQIKALLSGKLLNIMLALLGFYKD >KJB75340 pep chromosome:Graimondii2_0_v6:12:4625771:4632512:1 gene:B456_012G037500 transcript:KJB75340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G34240) UniProtKB/TrEMBL;Acc:A0A178UV01] MMIVSPGLFKHPSRCFRSYSIVGVGLLGTFTYCHKYKINRVSFSSSIPSYYHCRATLSTMMEEVKPEFDSDKAAVLVNELRKTFNSGKTKSYEWRISQLESISKMIDEKEKEIVEALRKDLSKPELEAFLSEILMARSSCKLALKELKQWMMPQKVETSLATYPSSAEIVAEPLGVVLVISTWNFPFSLSLDPVIGAIAAGNAVVLKPSEIASATSSLLSRLVGEYMDKSAIIVVEGAVAETSALLEQKWDKIFFTGGARVGRIVMAAAAKNLTPVTLELGGKCPAVVDSNVNLQVTSRRIVAGKWVCNNGQACISVDYIITTKELAPKLIATLVSVVEEIFGKDLMESKERSRIINSFHFKRLVNLLEEDKVSNKIVFGGQREESQLQIAPTILLDVPEDSMIMQEEIFGPLLPIITVERLEDSFAMINRKPKPLAAYLFSDDEQIKRKFVQNICAGGMAINDTILQVTVPTLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFAGDSPTRYPPYTPGKKKQIKALLSGKLLNIMLALLGFYKD >KJB75731 pep chromosome:Graimondii2_0_v6:12:7012965:7014829:1 gene:B456_012G053800 transcript:KJB75731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYFHRSISACNSADQASPMANSGNSSDLMMNPKSASSKGNFCKKQKVFNPPSCLKIPSCERSRSAAVDVVILIAVIAAFGILLFPTIKCISLKVIEFIEAAYDLVRDEMMISPMIYGSIGLGFSCSAIAAWILLLCTTRKCGNPHCKGLNKATEFDIQLETEECVKNLCPTVKDGVSKGLLQLLHNRHKELEAELKRLAPVNGRAVLVFRAKCGCSVGRLEVPGLKKQRKIKK >KJB76142 pep chromosome:Graimondii2_0_v6:12:11429283:11432704:1 gene:B456_012G074900 transcript:KJB76142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVPMQTIRSKVQFFKISALSLVFCVSVVFGNISLRFLPVSFNQAVGATTPFFTAVFAYLMTLKREAWLTYLTLVPVVAGVIIASGGEPSFHLFGFIMCISATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPMAVVFLLPPTLIMEENVVGITLALARDDIKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVFGVILYSEAKKRSK >KJB76143 pep chromosome:Graimondii2_0_v6:12:11429666:11432287:1 gene:B456_012G074900 transcript:KJB76143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNSKLFTIGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSKVQFFKISALSLVFCVSVVFGNISLRFLPVSFNQAVGATTPFFTAVFAYLMTLKREAWLTYLTLVPVVAGVIIASGGEPSFHLFGFIMCISATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPMAVVFLLPPTLIMEENVVGITLALARDDIKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVFGVILYSEAKKRSK >KJB76782 pep chromosome:Graimondii2_0_v6:12:24021714:24023285:-1 gene:B456_012G107000 transcript:KJB76782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDLLSYLIASMGLQLLWSFGLACLDVYALRGKRDLQNPVLVSLFVVGDWVTAMLSLAAACSSAGIIVLYARDLDFCKAQSHIPCRQFEISIILAFITWLLIAMSSHVMFWILASF >KJB76780 pep chromosome:Graimondii2_0_v6:12:24021714:24023137:-1 gene:B456_012G107000 transcript:KJB76780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQLLWSFGLACLDVYALRGKRDLQNPVLVSLFVVGDWVTAMLSLAAACSSAGIIVLYARDLDFCKAQSHIPCRQFEISIILAFITWLLIAMSSHVMFWILASF >KJB76781 pep chromosome:Graimondii2_0_v6:12:24021987:24022840:-1 gene:B456_012G107000 transcript:KJB76781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFNCIFCTFDVYNAFCFSYLIASMGLQLLWSFGLACLDVYALRGKRDLQNPVLVSLFVVGDWVTAMLSLAAACSSAGIIVLYARDLDFCKAQSHIPCRQFEISIILAFITWLLIAMSSHVMFWILASF >KJB76783 pep chromosome:Graimondii2_0_v6:12:24021714:24024607:-1 gene:B456_012G107000 transcript:KJB76783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELIGSPGTVSGLTLRVTQCAFAAASIALMVSASGFSTYTAFCYLIASMGLQLLWSFGLACLDVYALRGKRDLQNPVLVSLFVVGDWVTAMLSLAAACSSAGIIVLYARDLDFCKAQSHIPCRQFEISIILAFITWLLIAMSSHVMFWILASF >KJB75745 pep chromosome:Graimondii2_0_v6:12:7703724:7706489:1 gene:B456_012G056600 transcript:KJB75745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 2 [Source:Projected from Arabidopsis thaliana (AT5G39890) UniProtKB/Swiss-Prot;Acc:Q8LGJ5] MGRATVADIKGRDFSELDKEAKANTENTNKGSNTNKNRNTTTSDSRGRKNRRRQKKTMVVHPVQRLFDACKDVFAHAGTGFVPPPDKIEQLSALLDEIRPVDVGLSPRMPFFSPQATRRAPTITYLHIHECEKFSMGIFCLPPSGVLPLHNHPGMTVFSKLLFGTMHIKSYDWVVGAPSASAVAEPSQTQRSEVRMAKVKVDSNLTAPCKTSILYPADGGNMHCFTAVTACAVLDVLGPPYSDLEGRHCTYYLAYPFTKFSGDEQVSIPDEEKDRYAWLQERDKPEDLVVEGALYTGPVIREN >KJB75743 pep chromosome:Graimondii2_0_v6:12:7703532:7706769:1 gene:B456_012G056600 transcript:KJB75743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 2 [Source:Projected from Arabidopsis thaliana (AT5G39890) UniProtKB/Swiss-Prot;Acc:Q8LGJ5] MGRATVADIKGRDFSELDKEAKANTENTNKGSNTNKNRNTTTSDSRGRKNRRRQKKTMVVHPVQRLFDACKDVFAHAGTGFVPPPDKIEQLSALLDEIRPVDVGLSPRMPFFSPQATRRAPTITYLHIHECEKFSMGIFCLPPSGVLPLHNHPGMTVFSKLLFGTMHIKSYDWVVGAPSASAVAEPSQTAQRSEVRMAKVKVDSNLTAPCKTSILYPADGGNMHCFTAVTACAVLDVLGPPYSDLEGRHCTYYLAYPFTKFSGDEQVSIPDEEKDRYAWLQERDKPEDLVVEGALYTGPVIREN >KJB75744 pep chromosome:Graimondii2_0_v6:12:7704131:7706159:1 gene:B456_012G056600 transcript:KJB75744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 2 [Source:Projected from Arabidopsis thaliana (AT5G39890) UniProtKB/Swiss-Prot;Acc:Q8LGJ5] MGRATVADIKGRDFSELDKEAKANTENTNKGSNTNKNRNTTTSDSRGRKNRRRQKKTMVVHPVQRLFDACKDVFAHAGTGFVPPPDKIEQLSALLDEIRPVDVGLSPRMPFFSPQATRRAPTITYLHIHECEKFSMGIFCLPPSGVLPLHNHPGMTVFSKLLFGTMHIKSYDWVVGAPSASAVAEPSQTAQRSEVRMAKVKVDSNLTAPCKTSILYPADGGNMHCFTAVTACAVLDVLGPPYSDLEGRHCTYYLAYPFTKFSGTPFPQLNPIFPIMFGY >KJB74806 pep chromosome:Graimondii2_0_v6:12:928430:950293:-1 gene:B456_012G008800 transcript:KJB74806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIRCTSCFQHSPTLIAPTFRHRTTPKPNLTTISNNHATVSTTRMVVKARGGGAAEAETALVDKPKVLKRFQVSDGHPAPFGATIQDGGVNFAIYSANATSATLCLIALSDLQQNRVTEQIPLDPLANKTGDVWHVFLKGNFKDMLYGYIFDGEFSPEIGHYYDSSRILLDPYAKAVISRGEFGALGPEDNCWPQMAGMVPTSEDQFDWEGDLPLRHPGRDLIIYEMHVRGYTRHESSGTKFPGTYCGVVEKLDHLKELGVNCIELMPCHEFNELEYYSYNSVLGDYKLNFWGYSTINYFSPMIRYSSSGIRSCGRDAINEFKYLVKEAHKRGIEVIMDVVFNHTAEGNEKGLSVSFRGVDNCVYYMLAPKGEYYNYSGCGNTFNCNHPVVRQFILDCLRYWVAEMHVDGFRFDLASIMTRSSSLWDSVNVYGDPLEGDLITTGTPLSNPPLIDMMSNDPVLHGVKLIAEAWDAGGLYQVGRFPHWGVWSEWNGKYRDIVRQFIKGTDGLSGAFAECLCGSPNLYQKGGGKPWNSVNFICAHDGFTLADLVTYNNKHNLANGEDNNDGESHNYSWNCGQEGEFASISVKKLRKRQMRNFFLCLMVSQGVPMIHMGDEYGHTKGGNNNTYCHDNYCCDADQLLSVG >KJB74807 pep chromosome:Graimondii2_0_v6:12:929162:950038:-1 gene:B456_012G008800 transcript:KJB74807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIRCTSCFQHSPTLIAPTFRHRTTPKPNLTTISNNHATVSTTRMVVKARGGGAAEAETALVDKPKVLKRFQVSDGHPAPFGATIQDGGVNFAIYSANATSATLCLIALSDLQQNRVTEQIPLDPLANKTGDVWHVFLKGNFKDMLYGYIFDGEFSPEIGHYYDSSRILLDPYAKAVISRGEFGALGPEDNCWPQMAGMVPTSEDQFDWEGDLPLRHPGRDLIIYEMHVRGYTRHESSGTKFPGTYCGVVEKLDHLKELGVNCIELMPCHEFNELEYYSYNSVLGDYKLNFWGYSTINYFSPMIRYSSSGIRSCGRDAINEFKYLVKEAHKRGIEVIMDVVFNHTAEGNEKGLSVSFRGVDNCVYYMLAPKGEYYNYSGCGNTFNCNHPVVRQFILDCLRYWVAEMHVDGFRFDLASIMTRSSSLWDSVNVYGDPLEGDLITTGTPLSNPPLIDMMSNDPVLHGVKLIAEAWDAGGLYQVGRFPHWGVWSEWNGKYRDIVRQFIKGTDGLSGAFAECLCGSPNLYQKGGGKPWNSVNFICAHDGFTLADLVTYNNKHNLANGEDNNDGESHNYSWNCGQEGEFASISVKKLRKRQMRNFFLCLMVSQGVPMIHMGDEYGHTKGGNNNTYCHDNYINYFRWDKKEESASDLFRFFCLMTMFRRECESLGLNDFPTAERLQWHGHTPGKPDWSDTSRFVAFTLRSDLLDNSKV >KJB74805 pep chromosome:Graimondii2_0_v6:12:928430:950293:-1 gene:B456_012G008800 transcript:KJB74805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIRCTSCFQHSPTLIAPTFRHRTTPKPNLTTISNNHATVSTTRMVVKARGGGAAEAETALVDKPKVLKRFQVSDGHPAPFGATIQDGGVNFAIYSANATSATLCLIALSDLQQNRVTEQIPLDPLANKTGDVWHVFLKGNFKDMLYGYIFDGEFSPEIGHYYDSSRILLDPYAKAVISRGEFGALGPEDNCWPQMAGMVPTSEDQFDWEGDLPLRHPGRDLIIYEMHVRGYTRHESSGTKFPGTYCGVVEKLDHLKELGVNCIELMPCHEFNELEYYSYNSVLGDYKLNFWGYSTINYFSPMIRYSSSGIRSCGRDAINEFKYLVKEAHKRGIEVIMDVVFNHTAEGNEKGLSVSFRGVDNCVYYMLAPKGEYYNYSGCGNTFNCNHPVVRQFILDCLRYWVAEMHVDGFRFDLASIMTRSSSLWDSVNVYGDPLEGDLITTGTPLSNPPLIDMMSNDPVLHGVKLIAEAWDAGGLYQVGRFPHWGVWSEWNGKYRDIVRQFIKGTDGLSGAFAECLCGSPNLYQKGGGKPWNSVNFICAHDGFTLADLVTYNNKHNLANGEDNNDGESHNYSWNCGQEGEFASISVKKLRKRQMRNFFLCLMVSQGVPMIHMGDEYGHTKGGNNNTYCHDNYINYFRWDKKEESASDLFRFFCLMTMFRRECESLGLNDFPTAERLQWHGHTPGKPDWSDTSRFVAFTLIDSVKGEIYVAFNTSHLPVTITLPDRPGYRWEPLVDTSKPAPFDFLSNEVPERDLAIKQYAHFLDANLYPMLSYSSIVLLLSPDENA >KJB74943 pep chromosome:Graimondii2_0_v6:12:1673066:1679431:-1 gene:B456_012G014900 transcript:KJB74943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFPPSQPSEFRSSNIFSAAAATADAASADRRDPPNHHHRNWERSARGFSTNSGDDESDEDDVDDEEDEDDDGHDGGDGDQNDNNHNSSININNRSNSGNETVNNAGSGNPEKMGNGKAKHSFVGGSCRELVVKEGGIGQGVREGNNYQNAVTIAGPDGDIYYTQFLLGGEGSAASGGGNGQKDILVENGGGYGFSGRKDVPFFSESGESLRDILSDPVTRALMDDAMILPCGHSFGAAGIQHVLRMKACCICSDSVSEELVAPNLSLQAAVQAFRREEELQFYRSPKRRRERFEQDKSSYGESNIMDPPRGRGVQFPFAVTDRVIIKGNKRTPQRFVGREAIVTTQCLNGWYVVKTLDNAESVKLQYRSLAKVAGETLSSKPMSSKMRPNWL >KJB74831 pep chromosome:Graimondii2_0_v6:12:1046736:1049736:1 gene:B456_012G009700 transcript:KJB74831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G62440) UniProtKB/Swiss-Prot;Acc:O48809] MSPPSPRIFLLVFLLVTTSFVSQICSAADEVDPNLRFENPRLREAYIALQAWKSAIFSDPFNFTANWNGSDVCSYMGIYCAPSPSNPKIRVVAGIDLNHADIAGYLPPELGRLTDLALFHLNSNRFCGVVPTSFRRMKLLHELDLSNNRFVGKFPNVVLSLPSLKYLDLRFNEFEGSVPSKLFDKELDALFLNDNWFRFGIPENLGNSPVSVLVFANNDLGGCIPASIGKMGKTLNEIILMNDNLTGCLPPQIGMLKELTVFDVSFNHLQGSLPSTIGNMKSLEQLDVAHNGFTGVIPSTVCQLSSLQNFTYSFNYFTGGPPSCPAISGAEVANGTTNCIPGKKDQRSSMECSSDAARPVDCSKFKCNGGGSAGGGGGGGGGGGNSPSPKRRHTPRPPTPRQSSSPKSFTKSPPPPSSKSSPSTRSHPPPPPSSHSSPMPPFHSPPSPPPPNYSHSLTPPPPTQRVSPKTHLPPPPPPVHYEPIQSPPPPPMVQPPAPAPSGHYYTPPPPSQHSTPSPYAPAPGHHYSKPSPPPKQQWHYPPSPQHQNPSPPPPPPPTHYAYTFPPPPPSPSPPPPSFENTPLPPIRGVSYASPPPPVIPYY >KJB74832 pep chromosome:Graimondii2_0_v6:12:1046736:1049736:1 gene:B456_012G009700 transcript:KJB74832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G62440) UniProtKB/Swiss-Prot;Acc:O48809] MSPPSPRIFLLVFLLVTTSFVSQICSAADEVDPNLRFENPRLREAYIALQAWKSAIFSDPFNFTANWNGSDVCSYMGIYCAPSPSNPKIRVVAGIDLNHADIAGYLPPELGRLTDLALFHLNSNRFCGVVPTSFRRMKLLHELDLSNNRFVGKFPNVVLSLPSLKYLDLRFNEFEGSVPSKLFDKELDALFLNDNWFRFGIPENLGNSPVSVLVFANNDLGGCIPASIGKMGKTLNEIILMNDNLTGCLPPQIGMLKELTVFDVSFNHLQGSLPSTIGNMKSLEQLDVAHNGFTGVIPSTVCQLSSLQNFTYSFNYFTGGPPSCPAISGAEVANGTTNCIPGKKDQRSSMECSSDAARPVDCSKFKCNGGGSAGGGGGGGGGGGNSPSPKRRHTPRPPTPRQSSSPKSFTKSPPPPSSKSSPSTRSHPPPPPSSHSSPMPPFHSPPSPPPPNYSHSLTPPPPTQRVSPKTHLPPPPPPVHYEPIQSPPPPPMVQPPAPAPSGHYYSAPPPPNLPIMHHLLPHLFIMFPRLVIHRLHRRHLKFSIFHPLIRQEGSKQVHLHHHLMSIRGLNLHLHQQSIIHLPRSHVILSLLHPHQNAQLPYQHHHRQANIQPHHHMHQHLVITIQNHPHLLNNNGIIHHPHNIKTLLPHPHPRQPTMRIHSHRHHHLLLHRHPHLKIRHSHQLEEYHTHLLLRQLFPTTKELLLHDIEL >KJB76423 pep chromosome:Graimondii2_0_v6:12:15048886:15049830:1 gene:B456_012G088100 transcript:KJB76423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWQTVESERLLKQILSADEMIVCIHGTYKRNLESILESGLKRMKRLHVHFSSGLPTDGEVISDEMLNVLIYLDVRKALEEGMKLYISDNKVILTEGFDGVAPVKCFEKIESWPDRKPISFSNV >KJB76752 pep chromosome:Graimondii2_0_v6:12:23627959:23629203:-1 gene:B456_012G105300 transcript:KJB76752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TMGSNGNFLDNEEAPTYAPSIPVPNVQELVRKDPLQVPQRYVREVEDRPKDTDTSYLSSVIPVIDLSLLFMGNNEELTKLDLACLEWGFFQVVNHGVAKQVSQKMKDAAAEFFELSLEEKNKYAMPSDDIQGYGHAYVVSEDQILDWSDALILLVHPSHYRKLKFWPNSPKGLQEIIEEYSNAIRKVATELFQSFSLIMEMDKEALLGLHKQLVQAYRVNYYPPCSKPDQVLGVSPHSDTSTITILMQEDDVCGLQIKHNNEWVPVNSIPDALVVNVGDVFEVNKTCFHWCWCKQRQNLSWLFYYFTLYVRYGVMASTRVLSTEQLRIIIRQEFLMHHSCFRTMR >KJB76753 pep chromosome:Graimondii2_0_v6:12:23627590:23629218:-1 gene:B456_012G105300 transcript:KJB76753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STIQETMGSNGNFLDNEEAPTYAPSIPVPNVQELVRKDPLQVPQRYVREVEDRPKDTDTSYLSSVIPVIDLSLLFMGNNEELTKLDLACLEWGFFQVVNHGVAKQVSQKMKDAAAEFFELSLEEKNKYAMPSDDIQGYGHAYVVSEDQILDWSDALILLVHPSHYRKLKFWPNSPKGLQEIIEEYSNAIRKVATELFQSFSLIMEMDKEALLGLHKQLVQAYRVNYYPPCSKPDQVLGVSPHSDTSTITILMQEDDVCGLQIKHNNEWVPVNSIPDALVVNVGDVFEIWSNGKYKSVEHRAVANYNKARISYASFLFPHDEVEIGPLSHMVDSKTKQQLFKKVRYGEYLRSSMNKKMEGKAHTQMAKIEG >KJB76751 pep chromosome:Graimondii2_0_v6:12:23627590:23629203:-1 gene:B456_012G105300 transcript:KJB76751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TMGSNGNFLDNEEAPTYAPSIPVPNVQELVRKDPLQVPQRYVREVEDRPKDTDTSYLSSVIPVIDLSLLFMGNNEELTKLDLACLEWGFFQVVNHGVAKQVSQKMKDAAAEFFELSLEEKNKYAMPSDDIQGYGHAYVVSEDQILDWSDALILLVHPSHYRKLKFWPNSPKGLQEIIEEYSNAIRKVATELFQSFSLIMEMDKEALLGLHKQLVQAYRIKHNNEWVPVNSIPDALVVNVGDVFEIWSNGKYKSVEHRAVANYNKARISYASFLFPHDEVEIGPLSHMVDSKTKQQLFKKVRYGEYLRSSMNKKMEGKAHTQMAKIEG >KJB75306 pep chromosome:Graimondii2_0_v6:12:5373051:5382975:-1 gene:B456_012G042600 transcript:KJB75306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPNLDPESSSQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVRATIERYKKACSDATTPGSVAEANIQFYQQEATKLRRQIRDVQNMNRHILGEALSSLTFKELKNLEGRLEKGICRIRSKKVFVLNELLFAEIGFMQKREVELQNDNMYLRAKIAENERAQQQSNQLMQAASSYNRNFLPVNLLEPSNNDYSNQDQTPLQLV >KJB75303 pep chromosome:Graimondii2_0_v6:12:5373051:5382694:-1 gene:B456_012G042600 transcript:KJB75303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPNLDPESSSQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVRATIERYKKACSDATTPGSVAEANIQFYQQEATKLRRQIRDVQNMNRHILGEALSSLTFKELKNLEGRLEKGICRIRSKKNELLFAEIGFMQKREVELQNDNMYLRAKIAENERAQQQSNQLMQAASSYNRNFLPVNLLEPSNNDYSNQDQTPLQLV >KJB75309 pep chromosome:Graimondii2_0_v6:12:5374213:5382614:-1 gene:B456_012G042600 transcript:KJB75309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPNLDPESSSQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVRATIERYKKACSDATTPGSVAEANIQFYQQEATKLRRQIRDVQNMNRHILGEALSSLTFKELKNLEGRLEKGICRIRSKKNELLFAEIGFMQKRVNFHPYLVYLFIG >KJB75307 pep chromosome:Graimondii2_0_v6:12:5374366:5382614:-1 gene:B456_012G042600 transcript:KJB75307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPNLDPESSSQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVRATIERYKKACSDATTPGSVAEANIQFYQQEATKLRRQIRDVQNMNRHILGEALSSLTFKELKNLEGRLEKGICRIRSKKVFVLVYIKIFHPFLLS >KJB75308 pep chromosome:Graimondii2_0_v6:12:5373920:5382614:-1 gene:B456_012G042600 transcript:KJB75308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPNLDPESSSQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVRATIERYKKACSDATTPGSVAEANIQFYQQEATKLRRQIRDVQNMNRHILGEALSSLTFKELKNLEGRLEKGICRIRSKKNELLFAEIGFMQKREVELQNDNMYLRAKVSLSA >KJB75302 pep chromosome:Graimondii2_0_v6:12:5373004:5382975:-1 gene:B456_012G042600 transcript:KJB75302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPNLDPESSSQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVRATIERYKKACSDATTPGSVAEANIQFYQQEATKLRRQIRDVQNMNRHILGEALSSLTFKELKNLEGRLEKGICRIRSKKNELLFAEIGFMQKREVELQNDNMYLRAKIAENERAQQQSNQLMQAASSYNRNFLPVNLLEPSNNDYSNQDQTPLQLV >KJB75305 pep chromosome:Graimondii2_0_v6:12:5373697:5382614:-1 gene:B456_012G042600 transcript:KJB75305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPNLDPESSSQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVRATIERYKKACSDATTPGSVAEANIQFYQQEATKLRRQIRDVQNMNRHILGEALSSLTFKELKNLEGRLEKGICRIRSKKNELLFAEIGFMQKRIAENERAQQQSNQLMQAASSYNRNFLPVNLLEPSNNDYSNQDQTPLQLV >KJB75304 pep chromosome:Graimondii2_0_v6:12:5372996:5383199:-1 gene:B456_012G042600 transcript:KJB75304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPNLDPESSSQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVRATIERYKKACSDATTPGSVAEANIQFYQQEATKLRRQIRDVQNMNRHILGEALSSLTFKELKNLEGRLEKGICRIRSKKNELLFAEIGFMQKREVELQNDNMYLRAKIAENERAQQQSNQLMQAASSYNRNFLPVNLLEPSNNDYSNQDQTPLQLV >KJB75310 pep chromosome:Graimondii2_0_v6:12:5373051:5382975:-1 gene:B456_012G042600 transcript:KJB75310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPNLDPESSSQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVRATIERYKKACSDATTPGSVAEANIQFYQQEATKLRRQIRDVQNMNRHILGEALSSLTFKELKNLEGRLEKGICRIRSKKIAENERAQQQSNQLMQAASSYNRNFLPVNLLEPSNNDYSNQDQTPLQLV >KJB74740 pep chromosome:Graimondii2_0_v6:12:632603:638640:-1 gene:B456_012G005400 transcript:KJB74740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 4 [Source:Projected from Arabidopsis thaliana (AT3G10360) UniProtKB/Swiss-Prot;Acc:Q9SS47] MVTGSNIDMLPTMDNGFEIPSGSLEESLTELELLLRARHNQQSVGHDRDLNIYRSGSAPPTVEGSLSAVGSLFAYPDFGDNNGVSGVGDSTTGNNGMLSEDEIRSHPAYLSYYYSHENINPRLPPPLLSKEDWRVAQRFQASGSSIGNIGDWRKKKLVDGGDSSSLFSMQPGLYVQQGQNDLMELRNANARNMSRKMSAEWLDRGPDGLAPLSATGIGARRKSFADILQGGLDRPAILTGHLSRPASRNTFSDMLDVASIADPSPPGFGSGAESLEGLPAGVAHLKSHGKTTSHSFASAVGSSLSRSTTPEQHLVGRSPGSGLPPVGSKVSLVDKKNIVGSNAQNGHPSAAPELAELAATISGLNLSKTRHADENSHMRSHLQADLGNQQNFPLNLPNGHSHSVQQQFIDKSSAEKLLFSPNYIDFAREKGIAPNINASKISSNGEVRIPKRTSSSADLYAKVHPSGFGSLEGSDVAHPNVNLLNTDFIGRLPGAYSVNQKLNSAVKNNLSAGFSLTGTGDRQSSNRAGNQGSDLLSPLVDPRFMQYLQRTSYGTHTAASPDSLLAGNHGGTLHGDLDGLQKAYIEAILAQQKQQYELSLLGKAGGLNQGYYGNSSYGLGMPYAGNPLANSVLANIGSGSIQNDRTARFNSMMRSSSGSWHSDISSSMDGRYVSSLLDEFKNNKTRSFELLDIADHVVEFSTDQYGSRFIQQKLETATEEEKIKIFPKIIPHACALMTDVFGNYVIQKFFEHGTESQRADLATQLTGHVLPLSLQMYGCRVIQKALEVVDVDQQTRMVAELDGSIMKCVHDQNGNHVIQKCIECVPQDRIQFIISAFYGQVVALSTHPYGCRVIQRVLEHCNDAKTQQIIMDEVMQSVCTLAQDQYGNYVIQHVLEHGKPHERSTIISKLAGQIVKMSQQKFASNVVEKCLTFGGPEERQILVNEMLGSTDENEPLQAMMKDQFGNYVVQKVLETCDDQNLELILSRIKVHLNGLKRYTYGKHIVSRVEKLIATGERRIGLLSSLSSLSS >KJB74738 pep chromosome:Graimondii2_0_v6:12:632001:639608:-1 gene:B456_012G005400 transcript:KJB74738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 4 [Source:Projected from Arabidopsis thaliana (AT3G10360) UniProtKB/Swiss-Prot;Acc:Q9SS47] MVTGSNIDMLPTMDNGFEIPSGSLEESLTELELLLRARHNQQSVGHDRDLNIYRSGSAPPTVEGSLSAVGSLFAYPDFGDNNGVSGVGDSTTGNNGMLSEDEIRSHPAYLSYYYSHENINPRLPPPLLSKEDWRVAQRFQASGSSIGNIGDWRKKKLVDGGDSSSLFSMQPGLYVQQGQNDLMELRNANARNMSRKMSAEWLDRGPDGLAPLSATGIGARRKSFADILQGGLDRPAILTGHLSRPASRNTFSDMLDVASIADPSPPGFGSGAESLEGLPAGVAHLKSHGKTTSHSFASAVGSSLSRSTTPEQHLVGRSPGSGLPPVGSKVSLVDKKNIVGSNAQNGHPSAAPELAELAATISGLNLSKTRHADENSHMRSHLQADLGNQQNFPLNLPNGHSHSVQQQFIDKSSAEKLLFSPNYIDFAREKGIAPNINASKISSNGEVRIPKRTSSSADLYAKVHPSGFGSLEGSDVAHPNVNLLNTDFIGRLPGAYSVNQKLNSAVKNNLSAGFSLTGTGDRQSSNRAGNQGSDLLSPLVDPRFMQYLQRTSYGTHTAASPDSLLAGNHGGTLHGDLDGLQKAYIEAILAQQKQQYELSLLGKAGGLNQGYYGNSSYGLGMPYAGNPLANSVLANIGSGSIQNDRTARFNSMMRSSSGSWHSDISSSMDGRYVSSLLDEFKNNKTRSFELLDIADHVVEFSTDQYGSRFIQQKLETATEEEKIKIFPKIIPHACALMTDVFGNYVIQKFFEHGTESQRADLATQLTGHVLPLSLQMYGCRVIQKALEVVDVDQQTRMVAELDGSIMKCVHDQNVVALSTHPYGCRVIQRVLEHCNDAKTQQIIMDEVMQSVCTLAQDQYGNYVIQHVLEHGKPHERSTIISKLAGQIVKMSQQKFASNVVEKCLTFGGPEERQILVNEMLGSTDENEPLQAMMKDQFGNYVVQKVLETCDDQNLELILSRIKVHLNGLKRYTYGKHIVSRVEKLIATGERRIGLLSSLSSLSS >KJB74739 pep chromosome:Graimondii2_0_v6:12:632001:639608:-1 gene:B456_012G005400 transcript:KJB74739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 4 [Source:Projected from Arabidopsis thaliana (AT3G10360) UniProtKB/Swiss-Prot;Acc:Q9SS47] MVTGSNIDMLPTMDNGFEIPSGSLEESLTELELLLRARHNQQSVGHDRDLNIYRSGSAPPTVEGSLSAVGSLFAYPDFGDNNGVSGVGDSTTGNNGMLSEDEIRSHPAYLSYYYSHENINPRLPPPLLSKEDWRVAQRFQASGSSIGNIGDWRKKKLVDGGDSSSLFSMQPGLYVQQGQNDLMELRNANARNMSRKMSAEWLDRGPDGLAPLSATGIGARRKSFADILQGGLDRPAILTGHLSRPASRNTFSDMLDVASIADPSPPGFGSGAESLEGLPAGVAHLKSHGKTTSHSFASAVGSSLSRSTTPEQHLVGRSPGSGLPPVGSKVSLVDKKNIVGSNAQNGHPSAAPELAELAATISGLNLSKTRHADENSHMRSHLQADLGNQQNFPLNLPNGHSHSVQQQFIDKSSAEKLLFSPNYIDFAREKGIAPNINASKISSNGEVRIPKRTSSSADLYAKVHPSGFGSLEGSDVAHPNVNLLNTDFIGRLPGAYSVNQKLNSAVKNNLSAGFSLTGTGDRQSSNRAGNQGSDLLSPLVDPRFMQYLQRTSYGTHTAASPDSLLAGNHGGTLHGDLDGLQKAYIEAILAQQKQQYELSLLGKAGGLNQGYYGNSSYGLGMPYAGNPLANSVLANIGSGSIQNDRTARFNSMMRSSSGSWHSDISSSMDGRYVSSLLDEFKNNKTRSFELLDIADHVVEFSTDQYGSRFIQQKLETATEEEKIKIFPKIIPHACALMTDVFGNYVIQKFFEHGTESQRADLATQLTGHVLPLSLQMYGCRVIQKALEVVDVDQQTRMVAELDGSIMKCVHDQNGNHVIQKCIECVPQDRIQFIISAFYGQVVALSTHPYGCRVIQRVLEHCNDAKTQQIIMDEVMQSVCTLAQDQYGNYVIQHVLEHGKPHERSTIISKLAGQIVKMSQQKFASNVVEKCLTFGGPEERQILVNEMLGSTDENEPLQAMMKDQFGNYVVQKVLETCDDQNLELILSRIKVHLNGLKRYTYGKHIVSRVEKLIATGERRIGLLSSLSSLSS >KJB76831 pep chromosome:Graimondii2_0_v6:12:24575129:24577269:1 gene:B456_012G109300 transcript:KJB76831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYIGREASKLWKRICAETTTEINLLLDNWKYLLAGLIFQYIHGLAARGVHYLHRPGPTLQDLGFFILPELGQDKGYISETVFTCVFLSFLLWTFHPFIFKTKKIYTVLVWCRVLAFLVASQILRIVTFYSTQLPGPNYHCREGSKLARLPKPESVLEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVLTYQKYGTRR >KJB76832 pep chromosome:Graimondii2_0_v6:12:24574781:24579075:1 gene:B456_012G109300 transcript:KJB76832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYIGREASKLWKRICAETTTEINLLLDNWKYLLAGLIFQYIHGLAARGVHYLHRPGPTLQDLGFFILPELGQDKGYISETVFTCVFLSFLLWTFHPFIFKTKKIYTVLVWCRVLAFLVASQILRIVTFYSTQLPGPNYHCREGSKLARLPKPESVLEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVLTYQKYGTRRCIKQLAWLVAIIQSLLIVASRKHYTVDVVVAWYEMPFWNVH >KJB76829 pep chromosome:Graimondii2_0_v6:12:24574719:24579075:1 gene:B456_012G109300 transcript:KJB76829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYIGREASKLWKRICAETTTEINLLLDNWKYLLAGLIFQYIHGLAARGVHYLHRPGPTLQDLGFFILPELGQDKGYISETVFTCVFLSFLLWTFHPFIFKTKKIYTVLVWCRVLAFLVASQILRIVTFYSTQLPGPNYHCREGSKLARLPKPESVLEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVLTYQKYGTRRCIKQLAWLVAIIQSLLIVASRKHYTVDVVVAWYTVNLVVFFIDKKLPELPDRTSGNLPLLLPLSTKDKDSKTKEENQKLLNGNSVDPADWRRRSEVNGKTMEDANGLHADTAMNGA >KJB76830 pep chromosome:Graimondii2_0_v6:12:24574781:24579075:1 gene:B456_012G109300 transcript:KJB76830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYIGREASKLWKRICAETTTEINLLLDNWKYLLAGLIFQYIHGLAARGVHYLHRPGPTLQDLGFFILPELGQDKGYISETVFTCVFLSFLLWTFHPFIFKTKKIYTVLVWCRVLAFLVASQILRIVTFYSTQLPGPNYHCREGSKLARLPKPESVLEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVLTYQKYGTRRCIKQLAWLVAIIQSLLIVASRKHYTVDVVVAWYTVNLVVFFIDKKLPELPDRTSGNLPLLLPLSTKDKDSKTKEENQKLLNGNSVDPADWRRRSEVNGKTMEDANGLHADTAMNGA >KJB74988 pep chromosome:Graimondii2_0_v6:12:1996523:2003679:1 gene:B456_012G017400 transcript:KJB74988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMDEKVPDHKLCGYLCAVLTLPPLSIASTTPFSGPCHLTTADDNGVCFRCQNGVVLSAIRNAAVSGPDNVKNSRKRGRKNIGMVNGSISVVNQIHALVAHKCLKIQARVLSVEESGEEARAVVLVDVYLPVDLWSGWQFPRSASVAGSLFRHISCDWKERNLMLTNGTEIGKDAHGNLRSIWSVFDCHVLGCKLHCNGVDPLKKRLFELHDIFKSLPSVTNNEMAHSSRVQPTDDILNSGIWDLTDDILIYVLAALGPKDLTRVAATCRHLRSLAALIMPCMKLKLFPHQQAAVEWMLRRERNADVLRHPLYMEFSTEDGFPFYVNSVVGSIVTGTAPTIRDFRGGMFCDEPGLGKTITSLSLILKTQGTVADPPDGVQIIWCTHNGNDKCGYYELRGDKITCNNMTSGKRTTSLNVLRGQSSLGKLCLMEDINYPLPKRHRLTSPGERSAEFDDSCSSGRIKSPSATHSEPVTWAVRSSRNLAHIRKNLLYAYDGLSGSCKGKTIETNMPIRNGSRHVYRGKPVDLSFGVLDGCMRAGKGNAGRAMCTETWVQCDSCYKWRKLVGSGLTDAKVAWFCSMNTDPARQSCTDPEEAWDNHESITYLPGFFTKGTAGGKEENVSFFMSVLKDHHDVINSKTKKALLWLAKLSPERLSEMETVGLSSPILGSGVAGDALGFHKIFQAFGLIKRAEKGISRWYYPRTLENLAFDLAALRLALCEPLNSVRLYLSRATLVVVPSNLVDHWKTQIQKHVRPGQLQLYVWIDHRKPPVHNLAWDYDIVITTFNRLSAEWGPRKRSALMQVHWFRVILDEGHTLGSSLNLTNKLQMAISLTASSRWLLTGTPTRNTPNSQLSHLQPLLKFLHEEAYGQNQKSWEAGILKPFEAKMEEGRSRLLQLLRRCMISARKIDLQNIPPCIKKVTFVNFTDEHARSYNELVVTVRRNILMADWNDPSHVESLLNPKQWKFRSTTIRNVRLSCCVAGQIKVTEAGEDIQETMDILVETGLDPLSEEYAFIKYNLLYGGNCQRCNEWCRLPIVTPCRHLLCLDCVGLDSKMCTLPGCGHLYEMQTPETLARPENPNPKWPVPKDLIELQPSYKQDDWNPDWQSTSSSKVAYLVERLKALQEVNKEVRCSMDEDDKAKHIDKFLCPSQRSDMGVPLLLNLSRLGNESCNMLPEKVLIFSQFLEHIHVIEQQLTFAGIKFAGMYSPMHSGNKMKSLAMFQYDDSCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPIHVETLAMRGTIEEQMMEFLQDADACRKFLKEESQRSGHDGSRPCRTLHDFAESNYLARLSFAHRNSVS >KJB74989 pep chromosome:Graimondii2_0_v6:12:1996523:2003679:1 gene:B456_012G017400 transcript:KJB74989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMDEKVPDHKLCGYLCAVLTLPPLSIASTTPFSGPCHLTTADDNGVCFRCQNGVVLSAIRNAAVSGPDNVKNSRKRGRKNIGMVNGSISVVNQIHALVAHKCLKIQARVLSVEESGEEARAVVLVDVYLPVDLWSGWQFPRSASVAGSLFRHISCDWKERNLMLTNGTEIGKDAHGNLRSIWSVFDCHVLGCKLHCNGVDPLKKRLFELHDIFKSLPSVTNNEMAHSSRVQPTDDILNSGIWDLTDDILIYVLAALGPKDLTRVAATCRHLRSLAALIMPCMKLKLFPHQQAAVEWMLRRERNADVLRHPLYMEFSTEDGFPFYVNSVVGSIVTGTAPTIRDFRGGMFCDEPGLGKTITSLSLILKTQGTVADPPDGVQIIWCTHNGNDKCGYYELRGDKITCNNMTSGKRTTSLNVLRGQSSLGKLCLMEDINYPLPKRHRLTSPGERSAEFDDSCSSGRIKSPSATHSEPVTWAVRSSRNLAHIRKNLLYAYDGLSGSCKGKTIETNMPIRNGSRHVYRGKPVDLSFGVLDGCMRAGKGNAGRAMCTETWVQCDSCYKWRKLVGSGLTDAKVAWFCSMNTDPARQSCTDPEEAWDNHESITYLPGFFTKGTAGGKEENVSFFMSVLKDHHDVINSKTKKALLWLAKLSPERLSEMETVGLSSPILGSGVAGDALGFHKIFQAFGLIKRAEKGISRWYYPRTLENLAFDLAALRLALCEPLNSVRLYLSRATLVVVPSNLVDHWKTQIQKHVRPGQLQLYVWIDHRKPPVHNLAWDYDIVITTFNRLSAEWGPRKRSALMQVHWFRVILDEGHTLGSSLNLTNKLQMAISLTASSRWLLTGTPTRNTPNSQLSHLQPLLKFLHEEAYGQNQKSWEAGILKPFEAKMEEGRSRLLQLLRRCMISARKIDLQNIPPCIKKVTFVNFTDEHARSYNELVVTVRRNILMADWNDPSHVESLLNPKQWKFRSTTIRNVRLSCCVAGQIKVTEAGEDIQETMDILVETGLDPLSEEYAFIKYNLLYGGNCQRCNEWCRLPIVTPCRHLLCLDCVGLDSKMCTLPGCGHLYEMQTPETLARPENPNPKWPVPKDLIELQPSYKQDDWNPDWQSTSSSKVAYLVERLKALQEVNKEVRCSMDEDDKAKHIDKFLCPSQRSDMGVPLLLNLSRLGNESCNMLPEKVLIFSQFLEHIHVIEQQMKSLAMFQYDDSCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPIHVETLAMRGTIEEQMMEFLQDADACRKFLKEESQRSGHDGSRPCRTLHDFAESNYLARLSFAHRNSVS >KJB74990 pep chromosome:Graimondii2_0_v6:12:1996523:2003437:1 gene:B456_012G017400 transcript:KJB74990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMDEKVPDHKLCGYLCAVLTLPPLSIASTTPFSGPCHLTTADDNGVCFRCQNGVVLSAIRNAAVSGPDNVKNSRKRGRKNIGMVNGSISVVNQIHALVAHKCLKIQARVLSVEESGEEARAVVLVDVYLPVDLWSGWQFPRSASVAGSLFRHISCDWKERNLMLTNGTEIGKDAHGNLRSIWSVFDCHVLGCKLHCNGVDPLKKRLFELHDIFKSLPSVTNNEMAHSSRVQPTDDILNSGIWDLTDDILIYVLAALGPKDLTRVAATCRHLRSLAALIMPCMKLKLFPHQQAAVEWMLRRERNADVLRHPLYMEFSTEDGFPFYVNSVVGSIVTGTAPTIRDFRGGMFCDEPGLGKTITSLSLILKTQGTVADPPDGVQIIWCTHNGNDKCGYYELRGDKITCNNMTSGKRTTSLNVLRGQSSLGKLCLMEDINYPLPKRHRLTSPGERSAEFDDSCSSGRIKSPSATHSEPVTWAVRSSRNLAHIRKNLLYAYDGLSGSCKGKTIETNMPIRNGSRHVYRGKPVDLSFGVLDGCMRAGKGNAGRAMCTETWVQCDSCYKWRKLVGSGLTDAKVAWFCSMNTDPARQSCTDPEEAWDNHESITYLPGFFTKGTAGGKEENVSFFMSVLKDHHDVINSKTKKALLWLAKLSPERLSEMETVGLSSPILGSGVAGDALGFHKIFQAFGLIKRAEKGISRWYYPRTLENLAFDLAALRLALCEPLNSVRLYLSRATLVVVPSNLVDHWKTQIQKHVRPGQLQLYVWIDHRKPPVHNLAWDYDIVITTFNRLSAEWGPRKRSALMQVHWFRVILDEGHTLGSSLNLTNKLQMAISLTASSRWLLTGTPTRNTPNSQLSHLQPLLKFLHEEAYGQNQKSWEAGILKPFEAKMEEGRSRLLQLLRRCMISARKIDLQNIPPCIKKVTFVNFTDEHARSYNELVVTVRRNILMADWNDPSHVESLLNPKQWKFRSTTIRNVRLSCCVAGQIKVTEAGEDIQETMDILVETGLDPLSEEYAFIKYNLLYGGNCQRCNEWCRLPIVTPCRHLLCLDCVGLDSKMCTLPGCGHLYEMQTPETLARPENPNPKWPVPKDLIELQPSYKQDDWNPDWQSTSSSKVAYLVERLKALQEVNKEVRCSMDEDDKAKHIDKFLCPSQRSDMGVPLLLNLSRLGNESCNMLPEKVLIFSQFLEHIHVIEQQLTFAGIKFAGMYSPMHSGNKMKSLAMFQYDDSCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPIHVETLAMRGTIEEQMMEFLQDADACRKFLKEESQRSGHDGSRPCRTLHDFAESNYLARLSFAHRNSVS >KJB74987 pep chromosome:Graimondii2_0_v6:12:1996523:2002174:1 gene:B456_012G017400 transcript:KJB74987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMDEKVPDHKLCGYLCAVLTLPPLSIASTTPFSGPCHLTTADDNGVCFRCQNGVVLSAIRNAAVSGPDNVKNSRKRGRKNIGMVNGSISVVNQIHALVAHKCLKIQARVLSVEESGEEARAVVLVDVYLPVDLWSGWQFPRSASVAGSLFRHISCDWKERNLMLTNGTEIGKDAHGNLRSIWSVFDCHVLGCKLHCNGVDPLKKRLFELHDIFKSLPSVTNNEMAHSSRVQPTDDILNSGIWDLTDDILIYVLAALGPKDLTRVAATCRHLRSLAALIMPCMKLKLFPHQQAAVEWMLRRERNADVLRHPLYMEFSTEDGFPFYVNSVVGSIVTGTAPTIRDFRGGMFCDEPGLGKTITSLSLILKTQGTVADPPDGVQIIWCTHNGNDKCGYYELRGDKITCNNMTSGKRTTSLNVLRGQSSLGKLCLMEDINYPLPKRHRLTSPGERSAEFDDSCSSGRIKSPSATHSEPVTWAVRSSRNLAHIRKNLLYAYDGLSGSCKGKTIETNMPIRNGSRHVYRGKPVDLSFGVLDGCMRAGKGNAGRAMCTETWVQCDSCYKWRKLVGSGLTDAKVAWFCSMNTDPARQSCTDPEEAWDNHESITYLPGFFTKGTAGGKEENVSFFMSVLKDHHDVINSKTKKALLWLAKLSPERLSEMETVGLSSPILGSGVAGDALGFHKIFQAFGLIKRAEKGISRWYYPRTLENLAFDLAALRLALCEPLNSVRLYLSRATLVVVPSNLVDHWKTQIQKHVRPGQLQLYVWIDHRKPPVHNLAWDYDIVITTFNRLSAEWGPRKRSALMQVHWFRVILDEGHTLGSSLNLTNKLQMAISLTASSRWLLTGTPTRNTPNSQLSHLQPLLKFLHEEAYGQNQKSWEAGILKPFEAKMEEGRSRLLQLLRRCMISARKIDLQNIPPCIKKVTFVNFTDEHARSYNELVVTVRRNILMADWNDPSHVESLLNPKQWKFRSTTIRNVRLSCCVAGQIKVTEAGEDIQETMDILVETGLDPLSEEYAFIKYNLLYGGNCQRCNEWCRLPIVTPCRHLLCLDCVGLDSKMCTLPGCGHLYEMQTPETLARPENPNPKWPVPKDLIELQPSYKQDDWNPDWQSTSSSKVAYLVERLKALQEVNKEVRCSMDEDDKAKHIDKFLCPSQRSDMGVPLLLNLSRLGNESCNMLPEKVLIFSQFLEHIHVIEQQLTFAGIKFAGMYSPMHSGNKMKSLAMFQYDDSCMALLMDGSAALGLDLSFVTHVFLMEPIWDRR >KJB74991 pep chromosome:Graimondii2_0_v6:12:1996523:2003747:1 gene:B456_012G017400 transcript:KJB74991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMDEKVPDHKLCGYLCAVLTLPPLSIASTTPFSGPCHLTTADDNGVCFRCQNGVVLSAIRNAAVSGPDNVKNSRKRGRKNIGMVNGSISVVNQIHALVAHKCLKIQARVLSVEESGEEARAVVLVDVYLPVDLWSGWQFPRSASVAGSLFRHISCDWKERNLMLTNGTEIGKDAHGNLRSIWSVFDCHVLGCKLHCNGVDPLKKRLFELHDIFKSLPSVTNNEMAHSSRVQPTDDILNSGIWDLTDDILIYVLAALGPKDLTRVAATCRHLRSLAALIMPCMKLKLFPHQQAAVEWMLRRERNADVLRHPLYMEFSTEDGFPFYVNSVVGSIVTGTAPTIRDFRGGMFCDEPGLGKTITSLSLILKTQGTVADPPDGVQIIWCTHNGNDKCGYYELRGDKITCNNMTSGKRTTSLNVLRGQSSLGKLCLMEDINYPLPKRHRLTSPGERSAEFDDSCSSGRIKSPSATHSEPVTWAVRSSRNLAHIRKNLLYAYDGLSGSCKGKTIETNMPIRNGSRHVYRGKPVDLSFGVLDGCMRAGKGNAGRAMCTETWVQCDSCYKWRKLVGSGLTDAKVAWFCSMNTDPARQSCTDPEEAWDNHESITYLPGFFTKGTAGGKEENVSFFMSVLKDHHDVINSKTKKALLWLAKLSPERLSEMETVGLSSPILGSGVAGDALGFHKIFQAFGLIKRAEKGISRWYYPRTLENLAFDLAALRLALCEPLNSVRLYLSRATLVVVPSNLVDHWKTQIQKHVRPGQLQLYVWIDHRKPPVHNLAWDYDIVITTFNRLSAEWGPRKRSALMQVHWFRVILDEGHTLGSSLNLTNKLQMAISLTASSRWLLTGTPTRNTPNSQLSHLQPLLKFLHEEAYGQNQKSWEAGILKPFEAKMEEGRSRLLQLLRRCMISARKIDLQNIPPCIKKVTFVNFTDEHARSYNELVVTVRRNILMADWNDPSHVESLLNPKQWKFRSTTIRNVRLSCCVAGQIKVTEAGEDIQETMDILVETGLDPLSEEYAFIKYNLLYGGNCQRCNEWCRLPIVTPCRHLLCLDCVGLDSKMCTLPGCGHLYEMQTPETLARPENPNPKWPVPKDLIELQPSYKQDDWNPDWQSTSSSKVAYLVERLKALQEVNKEVRCSMDEDDKAKHIDKFLCPSQRSDMGVPLLLNLSRLGNESCNMLPEKVLIFSQFLEHIHVIEQQLTFAGIKFAGMYSPMHSGNKMKSLAMFQYDDSCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPIHVETLAMRGTIEEQMMEFLQDADACRKFLKEESQRSGHDGSRPCRTLHDFAESNYLARLSFAHRNSVS >KJB74820 pep chromosome:Graimondii2_0_v6:12:965733:972262:1 gene:B456_012G009000 transcript:KJB74820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVAAGVESTSNPAAPGSAEGEAPERRHINPELWQACAGPLVNLPAAGTHVVYFPQGHSEQVAASMKRDVDAQILNYPNLPSKLLCLLHNVTLHADPETDEVYAQMTLQPVSSFDKEALLRSDLSLKSNKPQPEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQKPAQELEARDLHENVWKFRHIYRGKPQRHLLTTGWSLFVSGKRLFAGDSVLFIRDETQQLLLGIRRANRQPANLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASLSEFVIPLAKYYKAVYNHQISPGMRFRMMFETEESGTRRYMGTITGISDIDPVRWKNSQWHNLQVGWDESTAGERRNRVSIWEIEPVTAPFFICPSPLFRSKRPRQPGMPADEYSDLDNLFKRPMPWLGDDICLKDSDAHPGLSLVQWMNMQQNPLLANSMQPNFMQSLAGSTMQNFDGADLSHQMGLSAPQMPQPNNLQFNAHRLPQKVQQLDQVPKLPSTMNSLGSIIQPQQLNDMTQQSRQNLVAQPLPSSQVLQPQALVQSNNILQQQQTSNPTHQLPLSLPQNLQQHLVGPNHLQNLMHSQLPDPLNQHLQVPDNQVQFQLMQKLQQQQQLLLAQQSALQQPGQLAQPQDQQRQLLDASQSFSSSVTASQVLEMPQNIPTLLPQSNVAPQQMPKNNSQANVWFSQPPLQSKVQQQQTGMLPEVPGLVGPFQTTATNQFSTAVSSVMMSAAVAAPSVITDDNPSCSTSPSTNCPSVLQPMIDSRVHRSTGLGDDISQSTATVLNPNAVETMSTKANMVKEQQQKSVKPLLNISKSQNQGSFAPQNCINGATAHADCLDTSSSTTSVCLSQSDVHLHQNTPSYNPQTMLLRDTSQDGEVQAYPRNSVSYGNNMDSQIEMSMNSDTLSAKGMMGLGKDFSNHLSSGGVLASYENPKDTQQELSSSMVSQSYRVPDMAFNSIDPTINHSSFINRSGWTPPSQFQRLRTYTKVYKRGAVGRSIDITRYSGYDELKQDLARRFGIEGQLEDRGRVGWKLVYVDHENDVLLVGDDPWEEFINCVRCIKILSPQEVQQMSVDGEFGNSVLPNQACSSSGNGNA >KJB74821 pep chromosome:Graimondii2_0_v6:12:965613:972262:1 gene:B456_012G009000 transcript:KJB74821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVAAGVESTSNPAAPGSAEGEAPERRHINPELWQACAGPLVNLPAAGTHVVYFPQGHSEQVAASMKRDVDAQILNYPNLPSKLLCLLHNVTLHADPETDEVYAQMTLQPVSSFDKEALLRSDLSLKSNKPQPEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQKPAQELEARDLHENVWKFRHIYRGKPQRHLLTTGWSLFVSGKRLFAGDSVLFIRDETQQLLLGIRRANRQPANLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASLSEFVIPLAKYYKAVYNHQISPGMRFRMMFETEESGTRRYMGTITGISDIDPVRWKNSQWHNLQVGWDESTAGERRNRVSIWEIEPVTAPFFICPSPLFRSKRPRQPGMPADEYSDLDNLFKRPMPWLGDDICLKDSDAHPGLSLVQWMNMQQNPLLANSMQPNFMQSLAGSTMQNFDGADLSHQMGLSAPQMPQPNNLQFNAHRLPQKVQQLDQVPKLPSTMNSLGSIIQPQQLNDMTQQSRQNLVAQPLPSSQVLQPQALVQSNNILQQQQTSNPTHQLPLSLPQNLQQHLVGPNHLQNLMHSQLPDPLNQHLQVPDNQVQFQLMQKLQQQQQLLLAQQSALQQPGQLAQPQDQQRQLLDASQSFSSSVTASQVLEMPQNIPTLLPQSNVAPQQMPKNNSQANVWFSQPPLQSKVQQQQTGMLPEVPGLVGPFQTTATNQFSTAVSSVMMSAAVAAPSVITDDNPSCSTSPSTNCPSVLQPMIDSRVHRSTGLGDDISQSTATVLNPNAVETMSTKANMVKEQQQKSVKPLLNISKSQNQGSFAPQNCINGATAHADCLDTSSSTTSVCLSQSDVHLHQNTPSYNPQTMLLRDTSQDGEVQAYPRNSVSYGNNMDSQIEMSMNSDTLSAKGMMGLGKDFSNHLSSGGVLASYENPKDTQQELSSSMVSQSYRVPDMAFNSIDPTINHSSFINRSGWTPPSQFQRLRTYTKVYKRGAVGRSIDITRYSGYDELKQDLARRFGIEGQLEDRGRVGWKLVYVDHENDVLLVGDDPWEEFINCVRCIKILSPQEVQQMSVDGEFGNSVLPNQACSSSGNGNA >KJB74819 pep chromosome:Graimondii2_0_v6:12:966058:971920:1 gene:B456_012G009000 transcript:KJB74819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERELTDGCLSDDAGEAPERRHINPELWQACAGPLVNLPAAGTHVVYFPQGHSEQVAASMKRDVDAQILNYPNLPSKLLCLLHNVTLHADPETDEVYAQMTLQPVSSFDKEALLRSDLSLKSNKPQPEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQKPAQELEARDLHENVWKFRHIYRGKPQRHLLTTGWSLFVSGKRLFAGDSVLFIRDETQQLLLGIRRANRQPANLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASLSEFVIPLAKYYKAVYNHQISPGMRFRMMFETEESGTRRYMGTITGISDIDPVRWKNSQWHNLQVGWDESTAGERRNRVSIWEIEPVTAPFFICPSPLFRSKRPRQPGMPADEYSDLDNLFKRPMPWLGDDICLKDSDAHPGLSLVQWMNMQQNPLLANSMQPNFMQSLAGSTMQNFDGADLSHQMGLSAPQMPQPNNLQFNAHRLPQKVQQLDQVPKLPSTMNSLGSIIQPQQLNDMTQQSRQNLVAQPLPSSQVLQPQALVQSNNILQQQQTSNPTHQLPLSLPQNLQQHLVGPNHLQNLMHSQLPDPLNQHLQVPDNQVQFQLMQKLQQQQQLLLAQQSALQQPGQLAQPQDQQRQLLDASQSFSSSVTASQVLEMPQNIPTLLPQSNVAPQQMPKNNSQANVWFSQPPLQSKVQQQQTGMLPEVPGLVGPFQTTATNQFSTAVSSVMMSAAVAAPSVITDDNPSCSTSPSTNCPSVLQPMIDSRVHRSTGLGDDISQSTATVLNPNAVETMSTKANMVKEQQQKSVKPLLNISKSQNQGSFAPQNCINGATAHADCLDTSSSTTSVCLSQSDVHLHQNTPSYNPQTMLLRDTSQDGEVQAYPRNSVSYGNNMDSQIEMSMNSDTLSAKGMMGLGKDFSNHLSSGGVLASYENPKDTQQELSSSMVSQSYRVPDMAFNSIDPTINHSSFINRSGWTPPSQFQRLRTYTKVYKRGAVGRSIDITRYSGYDELKQDLARRFGIEGQLEDRGRVGWKLVYVDHENDVLLVGDDPWEEFINCVRCIKILSPQEVQQMSVDGEFGNSVLPNQACSSSGNGNA >KJB74822 pep chromosome:Graimondii2_0_v6:12:965733:972262:1 gene:B456_012G009000 transcript:KJB74822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDVDAQILNYPNLPSKLLCLLHNVTLHADPETDEVYAQMTLQPVSSFDKEALLRSDLSLKSNKPQPEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQKPAQELEARDLHENVWKFRHIYRGKPQRHLLTTGWSLFVSGKRLFAGDSVLFIRDETQQLLLGIRRANRQPANLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASLSEFVIPLAKYYKAVYNHQISPGMRFRMMFETEESGTRRYMGTITGISDIDPVRWKNSQWHNLQVGWDESTAGERRNRVSIWEIEPVTAPFFICPSPLFRSKRPRQPGMPADEYSDLDNLFKRPMPWLGDDICLKDSDAHPGLSLVQWMNMQQNPLLANSMQPNFMQSLAGSTMQNFDGADLSHQMGLSAPQMPQPNNLQFNAHRLPQKVQQLDQVPKLPSTMNSLGSIIQPQQLNDMTQQSRQNLVAQPLPSSQVLQPQALVQSNNILQQQQTSNPTHQLPLSLPQNLQQHLVGPNHLQNLMHSQLPDPLNQHLQVPDNQVQFQLMQKLQQQQQLLLAQQSALQQPGQLAQPQDQQRQLLDASQSFSSSVTASQVLEMPQNIPTLLPQSNVAPQQMPKNNSQANVWFSQPPLQSKVQQQQTGMLPEVPGLVGPFQTTATNQFSTAVSSVMMSAAVAAPSVITDDNPSCSTSPSTNCPSVLQPMIDSRVHRSTGLGDDISQSTATVLNPNAVETMSTKANMVKEQQQKSVKPLLNISKSQNQGSFAPQNCINGATAHADCLDTSSSTTSVCLSQSDVHLHQNTPSYNPQTMLLRDTSQDGEVQAYPRNSVSYGNNMDSQIEMSMNSDTLSAKGMMGLGKDFSNHLSSGGVLASYENPKDTQQELSSSMVSQSYRVPDMAFNSIDPTINHSSFINRSGWTPPSQFQRLRTYTKVYKRGAVGRSIDITRYSGYDELKQDLARRFGIEGQLEDRGRVGWKLVYVDHENDVLLVGDDPWEEFINCVRCIKILSPQEVQQMSVDGEFGNSVLPNQACSSSGNGNA >KJB78061 pep chromosome:Graimondii2_0_v6:12:34557339:34560682:1 gene:B456_012G176300 transcript:KJB78061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGIRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAAKPLQAPQNYSSSAPYVGPGAPSSMYMGVPPYGSSLFNGPSIPPYEAPFSGGSAYHYNYGNRLPGGSPFQPLHMSGPPPYSSGSMIGNGGMYGMPPLMDRYGLGLPMGPPPMGPRPGFFPDDKLQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGPQAAKQDKNSKQKMPEGSWKCEKCSNINYPFRTKCNRQNCGAEKPAESKKSPSPTVNESDQ >KJB78060 pep chromosome:Graimondii2_0_v6:12:34557339:34560286:1 gene:B456_012G176300 transcript:KJB78060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAAKPLQAPQNYSSSAPYVGPGAPSSMYMGVPPYGSSLFNGPSIPPYEAPFSGGSAYHYNYGNRLPGGSPFQPLHMSGPPPYSSGSMIGNGGMYGMPPLMDRYGLGLPMGPPPMGPRPGFFPDDKLQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGPQAAKQDKNSKQKMPEGSWKCEKCSNINYPFRTKCNRQNCGAEKPAESKKSPSPTVNESDQVRVLMHFLYCLVCYLKLFMLTRFVQIKNAVSFQYFKFFLVKELFELSLLSISLKMSTRTCLRVEKVQSRRPALLSMGV >KJB78062 pep chromosome:Graimondii2_0_v6:12:34557339:34560682:1 gene:B456_012G176300 transcript:KJB78062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAAKPLQAPQNYSSSAPYVGPGAPSSMYMGVPPYGSSLFNGPSIPPYEAPFSGGSAYHYNYGNRLPGGSPFQPLHMSGPPPYSSGSMIGNGIGGMYGMPPLMDRYGLGLPMGPPPMGPRPGFFPDDKLQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGPQAAKQDKNSKQKMPEGSWKCEKCSNINYPFRTKCNRQNCGAEKPAESKKSPSPTVNESDQ >KJB78059 pep chromosome:Graimondii2_0_v6:12:34557286:34560854:1 gene:B456_012G176300 transcript:KJB78059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAAKPLQAPQNYSSSAPYVGPGAPSSMYMGVPPYGSSLFNGPSIPPYEAPFSGGSAYHYNYGNRLPGGSPFQPLHMSGPPPYSSGSMIGNGGMYGMPPLMDRYGLGLPMGPPPMGPRPGFFPDDKLQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGPQAAKQDKNSKQKMPEGSWKCEKCSNINYPFRTKCNRQNCGAEKPAESKKSPSPTVNESDQ >KJB74584 pep chromosome:Graimondii2_0_v6:12:13011911:13012459:1 gene:B456_012G080200 transcript:KJB74584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLLLSELLRRHEPGFAFVTQPPTTTSSMSFTVKKPLRKDGKKHEELEDLSVEEDPQEQRVSVEQVMALKL >KJB74599 pep chromosome:Graimondii2_0_v6:12:15305876:15308155:-1 gene:B456_012G089400 transcript:KJB74599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINAGPIRIWIKIMALNQMRSLKSVIIAKNAVGVGQRTFAAGAGKAKKGSKGAASDAPKGSTLSKEVKSTTVVGANILKDGADPKIFLDSEYPDWLWHLLDKRPALSELRRKDIETLPYEDLKRFVKLDNRARIKENNSVKAKN >KJB74600 pep chromosome:Graimondii2_0_v6:12:15305901:15308295:-1 gene:B456_012G089400 transcript:KJB74600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLKSVIIAKNAVGVGQRTFAAGAGKAKKGSKGAASDAPKGSTLSKEVKSTTVVGANILKDGADPKIFLDSEYPDWLWHLLDKRPALSELRRKDIETLPYEDLKRFVKLDNRARIKENNSVKAKN >KJB74598 pep chromosome:Graimondii2_0_v6:12:15305779:15308287:-1 gene:B456_012G089400 transcript:KJB74598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNQMRSLKSVIIAKNAVGVGQRTFAAGAGKAKKGSKGAASDAPKGSTLSKEVKSTTVVGANILKDGADPKIFLDSEYPDWLWHLLDKRPALSELRRKDIETLPYEDLKRFVKLDNRARIKENNSVKAKN >KJB77700 pep chromosome:Graimondii2_0_v6:12:32551124:32551573:1 gene:B456_012G151800 transcript:KJB77700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCINRIDFYQRKTGLTEAIQTGTGQLNGIPIAIGVMDFQFMGGSMGSVVGEKITRLIEYATNNFLPLILVCASGGARMQEGSLSLMQMAKISSALYDYQSNKKLFYVSILTSPTTGGVTASFEITKEKPWFSITISNCTEVKTENIRLS >KJB75838 pep chromosome:Graimondii2_0_v6:12:8563718:8566090:1 gene:B456_012G061200 transcript:KJB75838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET8 [Source:Projected from Arabidopsis thaliana (AT5G40260) UniProtKB/Swiss-Prot;Acc:Q8LFH5] MGLARNVVGIIGNVISFGLFLSPVPTFFRIYKNKAVEEFQPYPYLCTVLNCIFWMFYGLPIVKKDNILVLTINSVGLVIELLYLTVYVVYANDRKKRLRVAHILLAEAALTVAVVLIAMLCFDKHRSLFVGIIADVFNIIMYAAPLGIWKKVITTKSVEYMPFWLSVAGLSNGICWTIYGLIPFDLFLLVSNGLGAIFGVIQLGLYCYFYFYGEKNSKEGAKKQSEVQLSNHPTGAA >KJB77235 pep chromosome:Graimondii2_0_v6:12:29208210:29210854:1 gene:B456_012G126600 transcript:KJB77235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVSPLRNTRNDENKGKMESFSIISSEEFPDFAEGNLLESIDFDDLFIGIDEGDMLPDLEMDPEILADLPTSRCDVEESMNTSVEKTDEDSSQRKEEEEEEDKISGSGSGSSSSKGEEIFSKREEPNAVDKTPSKYAVKGRKSSAAQAKNNNQGKRKTKKVDWTPELHRRFVQAVEQLGVDKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAANWTQRRQMYGGAATPAGGGKRDISPWLAPTMGFPPMSPMHHHHFRPLHVWGHPTLDQSLVHLWTKHPAHTTSQPPQPPQSTWGPPPANPSFWHHHHQRVSNGLTPGTPCFPQPIAPTVNNHSSFGSILPVCISTRFRAAPVPGIPPHHHGTGGQSGPHPLIDFHPSKESIDAAIGDVLTKPWLPLPLGLKPPSTDSVLGELQRQGVPKIPPSCA >KJB77234 pep chromosome:Graimondii2_0_v6:12:29208210:29210854:1 gene:B456_012G126600 transcript:KJB77234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVSPLRNTRNDENKGKMESFSIISSEEFPDFAEGNLLESIDFDDLFIGIDEGDMLPDLEMDPEILADLPTSRCDVEESMNTSVEKTDEDSSQRKEEEEEEDKISGSGSGSSSSKGEEIFSKREEPNAVDKTPSKYAVKGRKSSAAQAKNNNQGKRKTKKVDWTPELHRRFVQAVEQLGVDKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAANWTQRRQMYGGAATPAGGGKRDISPWLAPTMGFPPMSPMHHHHFRPLHVWGHPTLDQSLVHLWTKHPAHTTSQPPQPPQSTWGPPPANPSFWHHHHQRVSNGLTPGTPCFPQPIAPTRFRAAPVPGIPPHHHGTGGQSGPHPLIDFHPSKESIDAAIGDVLTKPWLPLPLGLKPPSTDSVLGELQRQGVPKIPPSCA >KJB77233 pep chromosome:Graimondii2_0_v6:12:29207950:29210873:1 gene:B456_012G126600 transcript:KJB77233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVSPLRNTRNDENKGKMESFSIISSEEFPDFAEGNLLESIDFDDLFIGIDEGDMLPDLEMDPEILADLPTSRCDVEESMNTSVEKTDEDSSQRKEEEEEEDKISGSGSGSSSSKGEEIFSKREEPNAVDKTPSKYAVKGRKSSAAQAKNNNQGKRKTKVDWTPELHRRFVQAVEQLGVDKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAANWTQRRQMYGGAATPAGGGKRDISPWLAPTMGFPPMSPMHHHHFRPLHVWGHPTLDQSLVHLWTKHPAHTTSQPPQPPQSTWGPPPANPSFWHHHHQRVSNGLTPGTPCFPQPIAPTRFRAAPVPGIPPHHHGTGGQSGPHPLIDFHPSKESIDAAIGDVLTKPWLPLPLGLKPPSTDSVLGELQRQGVPKIPPSCA >KJB77236 pep chromosome:Graimondii2_0_v6:12:29208466:29210854:1 gene:B456_012G126600 transcript:KJB77236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVSPLRNTRNDENKGKMESFSIISSEEFPDFAEGNLLESIDFDDLFIGIDEGDMLPDLEMDPEILADLPTSRCDVEESMNTSVEKTDEDSSQRKEEEEEEDKISGSGSGSSSSKGEEIFSKREEPNAVDKTPSKYAVKGRKSSAAQAKNNNQGKRKTKVDWTPELHRRFVQAVEQLGVDKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAANWTQRRQMYGGAATPAGGGKRDISPWLAPTMGFPPMSPMHHHHFRPLHVWGHPTLDQSLVHLWTKHPAHTTSQPPQPPQSTWGPPPANPSFWHHHHQRVSNGLTPGTPCFPQPIAPTVNNHSSFGSILPVCISTRFRAAPVPGIPPHHHGTGGQSGPHPLIDFHPSKESIDAAIGDVLTKPWLPLPLGLKPPSTDSVLGELQRQGVPKIPPSCA >KJB77758 pep chromosome:Graimondii2_0_v6:12:32945822:32946631:1 gene:B456_012G155600 transcript:KJB77758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFVNKPVIVREVFADNLEYEFMLIRSVLHNYSFVSMDTEFPGTIFKPDKRFVQLRNPEVNYRFMKVNVDALKIIQLGLTLSDSEGNLPDFGTPFCYIWEFNFKDFDIEKDHYDKESIELLKRQGINFTRNKERGICSRDFGIMVLISGLGFGELTWVTFHSAYDFGFLLKILTQNPLPPDLKSFMRHLTYYFGCMIFDIKYSFKIFNLHGGLEKVAKTLNVARVAGLSHQAGSDSLLILRCFMQIKNAKAFKQCNQKLPALALYGLV >KJB75968 pep chromosome:Graimondii2_0_v6:12:9290633:9294507:1 gene:B456_012G065700 transcript:KJB75968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSSKEGSWRQGSSYHSNPPSWDSHQGYPQTSYGQENHSYPPQYSYAEPQYYPYSQPEPQQHYPPPQPEPQYHPSPQDRVGDKKKLQRKYSKIADNYNSLDQVTEALANAGLESSNLIVGIDFTKSNEWTGKRSFNRRSLHHIGDGSNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDERFCNGFEEVLSRYRELVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLVIIADGQVTRSIDTLRGQLSPQEQKTVDAIVQASKLPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTDIMSKNIAPSRKETEFALAALMEIPSQYKATIELNILGGRKGNIPERVPLPPPRYGASSFNSLKASHSTSFKYGSASFSSAKPSHPTSFKPSVPPYPADTTPVSSASPAPSSTYDSQLCPICLSNSRDMAFGCGHQTCEECAKDLETCPICRSPIQTKIKLYF >KJB75966 pep chromosome:Graimondii2_0_v6:12:9290633:9294559:1 gene:B456_012G065700 transcript:KJB75966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSSKEGSWRQGSSYHSNPPSWDSHQGYPQTSYGQENHSYPPQYSYAEPQYYPYSQPEPQQHYPPPQPEPQYHPSPQDRVGDKKKLQRKYSKIADNYNSLDQVTEALANAGLESSNLIVGIDFTKSNEWTGKRSFNRRSLHHIGDGSNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDERFCNGFEEVLSRYRELVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLVIIADGQVTRSIDTLRGQLSPQEQKTVDAIVQASKLPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTDIMSKNIAPSRKETEFALAALMEIPSQYKATIELNILGGRKGNIPERVPLPPPRYGASSFNSLKASHSTSFKYGSASFSSAKPSHPTSFKPSVPPYPADTTPVSSASPAPSSTYDSQLCPICLSNSRDMAFGCGHQTCEECAKDLETCPICRSPIQTKIKLYF >KJB75967 pep chromosome:Graimondii2_0_v6:12:9290538:9294563:1 gene:B456_012G065700 transcript:KJB75967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSSKEGSWRQGSSYHSNPPSWDSHQGYPQTSYGQENHSYPPQYSYAEPQYYPYSQPEPQQHYPPPQPEPQYHPSPQDRVGDKKKLQRKYSKIADNYNSLDQVTEALANAGLESSNLIVGIDFTKSNEWTGKRSFNRRSLHHIGDGSNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDERFCNGFEEVLSRYRELVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLVIIADGQVTRSIDTLRGQLSPQEQKTVDAIVQASKLPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTDIMSKNIAPSRKETEFALAALMEIPSQYKATIELNILGGRKGNIPERVPLPPPRYGASSFNSLKASHSTSFKYGSASFSSAKPSHPTSFKPSVPPYPADTTPVSSASPAPSSTYDSQLCPICLSNSRDMAFGCGHQTCEECAKDLETCPICRSPIQTKIKLYF >KJB77778 pep chromosome:Graimondii2_0_v6:12:33042771:33044183:-1 gene:B456_012G156700 transcript:KJB77778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPSSGEVAAADYVELGEQPSSPKSEKYQKVSVIPLVFLIFYEVSGGPFGVEDSVKAAGPLLALLGFLLVPFVWSVPEALITAELGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPALENGLPRTVAVLVLTVVLTYMSYRGLTIVGWVAIFLGVFSLLPFIFMGFIAIPRLNPATWFIMDLDKIDWTLYLNTLFWNLNYWDSISTLTGEVENPSKTLPKGLFYALVLVVFGYFFPLLIGTGAVPLNRELWTDGYFSNIAKMLGGVWLLTWVQAAAALSNMGMFLAEMSSDSFQLEGMASRGMLPELFAKRSRYGTPLVGILFSASGVILLSWLSFQEIIAAENFLYCFGMIMEFIAFVKLRVDRPAESRPYKIPVGTAGAILMCIPPTLLILVVLAIASFKVMVVSTVAVMIGLALEPCLRYSERKRWLKFSMNPDLYDLRSPYQ >KJB77779 pep chromosome:Graimondii2_0_v6:12:33042661:33044730:-1 gene:B456_012G156700 transcript:KJB77779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRSLLSSKRTLAEMVDPSSGEVAAADYVELGEQPSSPKSEKYQKVSVIPLVFLIFYEVSGGPFGVEDSVKAAGPLLALLGFLLVPFVWSVPEALITAELGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPALENGLPRTVAVLVLTVVLTYMSYRGLTIVGWVAIFLGVFSLLPFIFMGFIAIPRLNPATWFIMDLDKIDWTLYLNTLFWNLNYWDSISTLTGEVENPSKTLPKGLFYALVLVVFGYFFPLLIGTGAVPLNRELWTDGYFSNIAKMLGGVWLLTWVQAAAALSNMGMFLAEMSSDSFQLEGMASRGMLPELFAKRSRYGTPLVGILFSASGVILLSWLSFQEIIAAENFLYCFGMIMEFIAFVKLRVDRPAESRPYKIPVGTAGAILMCIPPTLLILVVLAIASFKVMVVSTVAVMIGLALEPCLRYSERKRWLKFSMNPDLYDLRSPYQ >KJB77760 pep chromosome:Graimondii2_0_v6:12:32962948:32963537:1 gene:B456_012G155800 transcript:KJB77760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTMILCWFLLLCIPMAYGGYYSKTESLVSHLRKVTNLRFFFHGILGGENTTAVTVASANSSLLGPSNSIVVDHAPLTVGPEPTSKTIGNAQGLEVFAGRDTTTVVVYLDFGFTEGELNGSSISVFSRNPATETERELAVIGGRGKFKLAEGYALLKTIFISNTSLVVEYNVTVIHY >KJB77011 pep chromosome:Graimondii2_0_v6:12:26905867:26915454:-1 gene:B456_012G116700 transcript:KJB77011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAADLPALYTLLVNSMSQDESVRKPAEAALSQSENRPGFCSCLMEVITAKDLVSQVDIRLMASVYFKNSINRYWRKRRDSSGISSEEKQHLKQKLLSHLREENNQIAQMLAVLISKIARFDYPREWPELFSFLAQQLQSADVLTSHRIFMILFRTLKELSTKRLTADQRTFAEISSHLFEFCWRLWQSDIQTILHGFSTISQSYGSSAVEQHHDDLYLTCERWLFCLKIICQLVISGFQSDAKCIQEIRPVKEVSPVLLNAAQSFLPYYTSFQSRHPKFWDFIKKACTKLMKVLVAIQQRHPYSFGDTCVLQPVLNFCLNKITDPEPDVLSFAQFLIKCMVMVKSVLECKEYKPSLTGRVMDENSVTLEQMKKNNSNAVAGVLTSLLPKERIVLLCNILIRRYFVLNSSDLEEWYENSEAFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLAPIVVSILQEAMNGCPTSVTEITPGLLLKNAAYGAAAYVYYELSNYLSFKDWFDGALSLELSNDHPNMRIIHRKVALILGQWVSEIKNDTKRPVYCALIRLLQDKDLSVRLAACRSLCLHVEDASFSEKDFSDLLPVCWVSCFNLVKEVQEFDSKVQVLNLISVLLGHVNEVIPYANNLMQFFQMVWEESSGESLLQIQLLIALRNFVVALGYQSPSCYAMLLPILQKGIDINGPDELNLLEDSMLLWEATISHAPTMVPQLLAYFPCLVEILERNFDQLQVAVDITEGYIILGGREFLSMHASSVARLLDLIVGNVNDRGVLSTLPVIDILIQCFPTEVPPLISSTLQKLVVICLCGGDDKDPSKTAVKASSAAILARIWVMNTNYLAQLTSEPSLSSLLQQTGVAIEDNILLCLVDIWLDKVDNVSSHQKKTFGLALSIILTLRLPQVLDKLDQILSVCTSVILGGTDDLSEEVSSGDAMSSSRIHGEDSLPSKELRRRQIKLSDPINQLSLENSVRENLQTCSALHGESFNSAMARMHPASFAQLKQALKMP >KJB77013 pep chromosome:Graimondii2_0_v6:12:26906446:26914112:-1 gene:B456_012G116700 transcript:KJB77013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYIGLLVCDFRPELFSFLAQQLQSADVLTSHRIFMILFRTLKELSTKRLTADQRTFAEISSHLFEFCWRLWQSDIQTILHGFSTISQSYGSSAVEQHHDDLYLTCERWLFCLKIICQLVISGFQSDAKCIQEIRPVKEVSPVLLNAAQSFLPYYTSFQSRHPKFWDFIKKACTKLMKVLVAIQQRHPYSFGDTCVLQPVLNFCLNKITDPEPDVLSFAQFLIKCMVMVKSVLECKEYKPSLTGRVMDENSVTLEQMKKNNSNAVAGVLTSLLPKERIVLLCNILIRRYFVLNSSDLEEWYENSEAFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLAPIVVSILQEAMNGCPTSVTEITPGLLLKNAAYGAAAYVYYELSNYLSFKDWFDGALSLELSNDHPNMRIIHRKVALILGQWVSEIKNDTKRPVYCALIRLLQDKDLSVRLAACRSLCLHVEDASFSEKDFSDLLPVCWVSCFNLVKEVQEFDSKVQVLNLISVLLGHVNEVIPYANNLMQFFQMVWEESSGESLLQIQLLIALRNFVVALGYQSPSCYAMLLPILQKGIDINGPDELNLLEDSMLLWEATISHAPTMVPQLLAYFPCLVEILERNFDQLQVAVDITEGYIILGGREFLSMHASSVARLLDLIVGNVNDRGVLSTLPVIDILIQCFPTEVPPLISSTLQKLVVICLCGGDDKDPSKTAVKASSAAILARIWVMNTNYLAQLTSEPSLSSLLQQTGVAIEDNILLCLVDIWLDKVDNVSSHQKKTFGLALSIILTLRLPQVLDKLDQILSVCTSVILGGTDDLSEEVSSGDAMSSSRIHGEDSLPSKELRRRQIKLSDPINQLSLENSVRENLQTCSALHGESFNSAMARMHPASFAQLKQALKMP >KJB77012 pep chromosome:Graimondii2_0_v6:12:26905875:26915433:-1 gene:B456_012G116700 transcript:KJB77012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVLISKIARFDYPREWPELFSFLAQQLQSADVLTSHRIFMILFRTLKELSTKRLTADQRTFAEISSHLFEFCWRLWQSDIQTILHGFSTISQSYGSSAVEQHHDDLYLTCERWLFCLKIICQLVISGFQSDAKCIQEIRPVKEVSPVLLNAAQSFLPYYTSFQSRHPKFWDFIKKACTKLMKVLVAIQQRHPYSFGDTCVLQPVLNFCLNKITDPEPDVLSFAQFLIKCMVMVKSVLECKEYKPSLTGRVMDENSVTLEQMKKNNSNAVAGVLTSLLPKERIVLLCNILIRRYFVLNSSDLEEWYENSEAFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLAPIVVSILQEAMNGCPTSVTEITPGLLLKNAAYGAAAYVYYELSNYLSFKDWFDGALSLELSNDHPNMRIIHRKVALILGQWVSEIKNDTKRPVYCALIRLLQDKDLSVRLAACRSLCLHVEDASFSEKDFSDLLPVCWVSCFNLVKEVQEFDSKVQVLNLISVLLGHVNEVIPYANNLMQFFQMVWEESSGESLLQIQLLIALRNFVVALGYQSPSCYAMLLPILQKGIDINGPDELNLLEDSMLLWEATISHAPTMVPQLLAYFPCLVEILERNFDQLQVAVDITEGYIILGGREFLSMHASSVARLLDLIVGNVNDRGVLSTLPVIDILIQCFPTEVPPLISSTLQKLVVICLCGGDDKDPSKTAVKASSAAILARIWVMNTNYLAQLTSEPSLSSLLQQTGVAIEDNILLCLVDIWLDKVDNVSSHQKKTFGLALSIILTLRLPQVLDKLDQILSVCTSVILGGTDDLSEEVSSGDAMSSSRIHGEDSLPSKELRRRQIKLSDPINQLSLENSVRENLQTCSALHGESFNSAMARMHPASFAQLKQALKMP >KJB74830 pep chromosome:Graimondii2_0_v6:12:1033780:1034280:-1 gene:B456_012G009600 transcript:KJB74830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSQMRVCNGAIIVFVLLFSTPEANYLSLVNQFMAPQNAARAANRMPPLVWDERLAHYAQWYANQRREDCALRHSNGPYGENIFWGGGDGWMPADAVAAWVSESKWYNYWSNSCAGGQECGHYTQIVWSSTKRVGCARVVCDGGKGVFMTCNYDPPGNFIGERPY >KJB74725 pep chromosome:Graimondii2_0_v6:12:500775:504222:1 gene:B456_012G004200 transcript:KJB74725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRPNQAVDNSSTSPQQHRRRAAAAASKQPVSATNAVDTTSVTQRLQKELMALMMSSGDLGVSAFPEGESIFTWIGTIKGGEGTMYEGLSYKLSLRFPLDYPFKPPQVKFETMCFHPNVDQFGNICLDILQDKWSSAYDCRTILLSVQSLLGEPNPESPLNTYAAALWNNKEGKVSKPYYAL >KJB74726 pep chromosome:Graimondii2_0_v6:12:500775:505154:1 gene:B456_012G004200 transcript:KJB74726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRPNQAVDNSSTSPQQHRRRAAAAASKQPVSATNAVDTTSVTQRLQKELMALMMSSGDLGVSAFPEGESIFTWIGTIKGGEGTMYEGLSYKLSLRFPLDYPFKPPQVKFETMCFHPNVDQFGNICLDILQDKWSSAYDCRTILLSVQSLLGEPNPESPLNTYAAALWNNKEDYRKMVQEQYFGGKTLES >KJB74997 pep chromosome:Graimondii2_0_v6:12:2034222:2038106:-1 gene:B456_012G017800 transcript:KJB74997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPVTPVAIDNDHQNPSKHLPSQKNTSKGGWNAAIFVIFVEMAERFAFYGLAGNLITYLTNNLGQPVAAAAKNVNTWVGVSAIFPLLGAFVADSYLGRFKTILASSSIYFLGMVLLSLSVSVIPTHSRKPVFFTALYILAIGEGGHKPCVQTFAADQFDENNPEEKAAKSSFFNWWYLGIVTGASVAIVVVIYLQDNVSWAAGFGVLAGSLAVALALFLIGMKRYRKQRPTGSPFTRVAQVFVAAARKWRLTESHGSRGICCEDDRVPGQTMGPKLVRTNQFRFLDKAMMIDNDDAMRKSRNPWKLCSLNQVEEVKLLLRLIPIWVSCLMFCAVITQLHTFFTKQGSTMSRSLAPNFQVPPAALQSLVGLTILIAVPVYDCVFVPIARKLTKNPTGITMLQRIGIGLFVSILNMVVAGIVETARVNTARKHGLIDTPKAVIPMSIWWLLPQYVLTGIGDVFTIIGLQELFYDQMPEEMRSIGAAAYISIVGIGSFVNTGIISVVQMISSKHGKEWLRDNLNRSNLNYFYWVLAGLSAINLCVYMWISSAFEYKKVEEYNEISEGKELEMEGYSDVRV >KJB75647 pep chromosome:Graimondii2_0_v6:12:6494047:6499972:1 gene:B456_012G049900 transcript:KJB75647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHVSPSMRSLTISSNNGFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTAIVTLEGVNKCSSFDCLGRRLGPRLLGRVDDSGRLVKDFYKILNQVNAEEIPDGIKLPDSFNQLVSKMKNNQYDAKTFALMLRAMMEKLEREIRESKFSELMNKHFAASSIPKGLYCLSLRLTDEYSSNAHARRQLPSPELLPVLSNNSYYHFVLSTDNILAASVVVNSAVQSSLRPEKIVFHIITDKKTYAGMHAWFALNPVSPAIVEVKGVHQFDWLTLENVPVLEAVENHHGIRNYYHGNHLAGANLSNTTPRTFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDIVIQRDLSPLWEIDLWGKVNGAVETCKGEDEWVMSKHFRNYFNFSHPLIAKHLDPDECAWAYGMNIFDLRTWRKTNIRETYHYWLKEVTYWLLMFLTVCLILPYLCSKLNIITVILPLRLLILYWDGLHFFYILCKGSISVQEIPFWSELLLLLLFWLAKKSLFHSFTLIIGFFVAEPEVKPNNVEAWNSATCFNCI >KJB75645 pep chromosome:Graimondii2_0_v6:12:6493614:6500091:1 gene:B456_012G049900 transcript:KJB75645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHVSPSMRSLTISSNNGFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTAIVTLEGVNKCSSFDCLGRRLGPRLLGRVDDSGRLVKDFYKILNQVNAEEIPDGIKLPDSFNQLVSKMKNNQYDAKTFALMLRAMMEKLEREIRESKFSELMNKHFAASSIPKGLYCLSLRLTDEYSSNAHARRQLPSPELLPVLSNNSYYHFVLSTDNILAASVVVNSAVQSSLRPEKIVFHIITDKKTYAGMHAWFALNPVSPAIVEVKGVHQFDWLTLENVPVLEAVENHHGIRNYYHGNHLAGANLSNTTPRTFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDIVIQRDLSPLWEIDLWGKVNGAVETCKGEDEWVMSKHFRNYFNFSHPLIAKHLDPDECAWAYGMNIFDLRTWRKTNIRETYHYWLKENLKSNLTMWKLGTLPPALIAFKGHIHPIDPSWHMLGLGYQSKTNIEKAKRAAVIHYNGQSKPWLQIGFEHLRPFWTKIHVLLFLQGGSWLILLVFGIRCENTRDSDVFPIDVAGVGRQ >KJB75644 pep chromosome:Graimondii2_0_v6:12:6494372:6499213:1 gene:B456_012G049900 transcript:KJB75644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHVSPSMRSLTISSNNGFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTAIVTLEGVNKCSSFDCLGRRLGPRLLGRVDDSGRLVKDFYKILNQVNAEEIPDGIKLPDSFNQLVSKMKNNQYDAKTFALMLRAMMEKLEREIRESKFSELMNKHFAASSIPKGLYCLSLRLTDEYSSNAHARRQLPSPELLPVLSNNSYYHFVLSTDNILAASVVVNSAVQSSLRPEKIVFHIITDKKTYAGMHAWFALNPVSPAIVEVKGVHQFDWLTLENVPVLEAVENHHGIRNYYHGNHLAGANLSNTTPRTFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDIVIQRDLSPLWEIDLWGKVNGAVETCKGEDEWVMSKHFRNYFNFSHPLIAKHLDPDECAWAYGMNIFDLRTWRKTNIRETYHYWLKENLKSNLTMWKLGTLPPALIAFKGHIHPIDPSWHMLGLGYQSKTNIEKAKRAAVIHYNGQSKPWLQIGFEHLRPFWTKYVNYSNDFVRNCHILEL >KJB75646 pep chromosome:Graimondii2_0_v6:12:6494047:6499972:1 gene:B456_012G049900 transcript:KJB75646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHVSPSMRSLTISSNNGFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTAIVTLEGVNKCSSFDCLGRRLGPRLLGRVDDSGQRLVKDFYKILNQVNAEEIPDGIKLPDSFNQLVSKMKNNQYDAKTFALMLRAMMEKLEREIRESKFSELMNKHFAASSIPKGLYCLSLRLTDEYSSNAHARRQLPSPELLPVLSNNSYYHFVLSTDNILAASVVVNSAVQSSLRPEKIVFHIITDKKTYAGMHAWFALNPVSPAIVEVKGVHQFDWLTLENVPVLEAVENHHGIRNYYHGNHLAGANLSNTTPRTFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDIVIQRDLSPLWEIDLWGKVNGAVETCKGEDEWVMSKHFRNYFNFSHPLIAKHLDPDECAWAYGMNIFDLRTWRKTNIRETYHYWLKENLKSNLTMWKLGTLPPALIAFKGHIHPIDPSWHMLGLGYQSKTNIEKAKRAAVIHYNGQSKPWLQIGFEHLRPFWTKYVNYSNDFVRNCHILEL >KJB75355 pep chromosome:Graimondii2_0_v6:12:4704438:4706705:-1 gene:B456_012G037900 transcript:KJB75355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGDIHAAARSGDLSKLQSILASNPLAVNSRDKHSRTPLHLAAWAGQAQVVSYLCKQKADVGAAAMDDMGAIHFAAQKGHLEVVRTLLSSGVSVRATNRKGLTPLHYAVQGSHLEVIKVLLKKGASLDAKTKAGKTPLDLAVSEEIRSLLKEREQAPEEVNAGGKRKVEQPDSSRPPLDKPENSNDEATAAEHDGQEEESVKRKGDDNKPEGVSEPKKPRVVLKHLSEDDTQEDDDT >KJB75510 pep chromosome:Graimondii2_0_v6:12:5665942:5675199:1 gene:B456_012G045300 transcript:KJB75510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGRIQSSASCSASSSSTFPHPPCLSSASRHCKFPRLLSPRALSLSQRVSRNCLVPETTQFSFSKQSSTNRKRLFPVNVGALVTSNTEAISTGPLVAEEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRRITDDQAEELRKSLWAKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMQHTVIPSWYQREGYIKSMANLIEKELQKFDRPEKVVIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIIEELEKRKITNAYTLAYQSRVGPVEWLKPYTDDTIVDLGRKGVKGLLAVPIRPVIETLEEINVEYKELDSQGN >KJB75509 pep chromosome:Graimondii2_0_v6:12:5665942:5675199:1 gene:B456_012G045300 transcript:KJB75509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGRIQSSASCSASSSSTFPHPPCLSSASRHCKFPRLLSPRALSLSQRVSRNCLVPETTQFSFSKQSSTNRKRLFPVNVGALVTSNTEAISTGPLVAEEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRRITDDQAEELRKSLWAKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMQHTVIPSWYQREGYIKSMANLIEKELQKFDRPEKVVIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIIEELEKRKITNAYTLAYQSRVGPVEWLKPYTDDTIVDLGRKGVKGLLAVPISFVSEHIETLEEIDVEYKELALESGIQNWGRVPALGCEPMFISDLADAVIESLPYVGAMAVSNLEARQVPRSFPVSTNVVVLFHLHNTTIVYQSLFWLSGRCVCFLCSH >KJB75504 pep chromosome:Graimondii2_0_v6:12:5665810:5675200:1 gene:B456_012G045300 transcript:KJB75504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGRIQSSASCSASSSSTFPHPPCLSSASRHCKFPRLLSPRALSLSQRVSRNCLVPETTQFSFSKQSSTNRKRLFPVNVGALVTSNTEAISTGPLVAEEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRRITDDQAEELRKSLWAKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMQHTVIPSWYQREGYIKSMANLIEKELQKFDRPEKVVIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIIEELEKRKITNAYTLAYQSRVGPVEWLKPYTDDTIVDLGRKGVKGLLAVPISFVSEHIETLEEIDVEYKELALESGIQNWGRVPALGCEPMFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLATYDSQRRELPAPVTVWEWGWTKSAETWNGRAAMLAVLVLLLLEVTTGEGFLHQWGILPLFH >KJB75511 pep chromosome:Graimondii2_0_v6:12:5667786:5675199:1 gene:B456_012G045300 transcript:KJB75511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELRKSLWAKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMQHTVIPSWYQREGYIKSMANLIEKELQKFDRPEKVVIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIIEELEKRKITNAYTLAYQSRVGPVEWLKPYTDDTIVDLGRKGVKGLLAVPISFVSEHIETLEEIDVEYKELALESGIQNWGRVPALGCEPMFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLATYDSQRRELPAPVTVWEWGWTKSAETWNGRAAMLAVLVLLLLEVTTGEGFLHQWGILPLFH >KJB75506 pep chromosome:Graimondii2_0_v6:12:5665942:5670048:1 gene:B456_012G045300 transcript:KJB75506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGRIQSSASCSASSSSTFPHPPCLSSASRHCKFPRLLSPRALSLSQRVSRNCLVPETTQFSFSKQSSTNRKRLFPVNVGALVTSNTEAISTGPLVAEEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRRITDDQAEELRKSLWAKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMQHTVIPSWYQREGYIKSMANLIEKELQKFDRPEKVCP >KJB75507 pep chromosome:Graimondii2_0_v6:12:5665942:5672958:1 gene:B456_012G045300 transcript:KJB75507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGRIQSSASCSASSSSTFPHPPCLSSASRHCKFPRLLSPRALSLSQRVSRNCLVPETTQFSFSKQSSTNRKRLFPVNVGALVTSNTEAISTGPLVAEEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRRITDDQAEELRKSLWAKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMQHTVIPSWYQREGYIKSMANLIEKELQKFDRPEKVVIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIIEELEKRKITNAYTLAYQVLLVGILLLTHVFHFLIFKLWVFDKCEVWILELCGWVVKSQCKCLRRF >KJB75505 pep chromosome:Graimondii2_0_v6:12:5665828:5675200:1 gene:B456_012G045300 transcript:KJB75505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGRIQSSASCSASSSSTFPHPPCLSSASRHCKFPRLLSPRALSLSQRVSRNCLVPETTQFSFSKQSSTNRKRLFPVNVGALVTSNTEAISTGPLVAEEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRRITDDQAEELRKSLWAKDVPAKVYVGMRYWHPFTEEAIEQVVIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIIEELEKRKITNAYTLAYQSRVGPVEWLKPYTDDTIVDLGRKGVKGLLAVPISFVSEHIETLEEIDVEYKELALESGIQNWGRVPALGCEPMFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLATYDSQRRELPAPVTVWEWGWTKSAETWNGRAAMLAVLVLLLLEVTTGEGFLHQWGILPLFH >KJB75508 pep chromosome:Graimondii2_0_v6:12:5665942:5675199:1 gene:B456_012G045300 transcript:KJB75508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGRIQSSASCSASSSSTFPHPPCLSSASRHCKFPRLLSPRALSLSQRVSRNCLVPETTQFSFSKQSSTNRKRLFPVNVGALVTSNTEAISTGPLVAEEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRRITDDQAEELRKSLWAKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMQHTVIPSWYQREGYIKSMANLIEKELQKFDRPEKVVIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIIEELEKRKITNAYTLAYQSRVGPVEWLKPYTDDTIVDLGRKGVKGLLAVPIRPVIETLEEINVEYKELDSQGN >KJB76167 pep chromosome:Graimondii2_0_v6:12:11872819:11876830:-1 gene:B456_012G076200 transcript:KJB76167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MRIPKETIDILKDQVFAFDTFFVTSQEPYEGGVLFKGNLRGQAARSYEKISTRMQNKFDDEYKLFLLINPEDDKPVAVVVPKTTLQPETTAVPEWLAAGAFGLVTVFTLLLRNVPALQSNLLSTFDNLNLLSNGLPGAFFTALLLGVHELGHILVAKSTGVKLGVPFFVPSWQIGSFGAITRIKNIVPNREDLLKVAAAGPLAGFSLGFVLFLLGFILPPSDGIGVVVDASVFHESFLAGGVAKLLLGDVLKEGTPISVNPLVIWAWAGLLINAINSIPAGELDGGRISFAIWGRKASARFTSLSIGLLGLSSLFNDVAFYWVVLIFILQRGPIAPLSEEITDPENKYVALGVLVLILGLLVCLPYPFPFNYDSINTNF >KJB76164 pep chromosome:Graimondii2_0_v6:12:11873071:11878255:-1 gene:B456_012G076200 transcript:KJB76164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MNLAASFRGNFGVLSQCSSCCDLRFQPLLSSSSFAKSQRCRLRSLKFSRVPRFFRKREMFCRVTETETGPDSNNDEEEDKHESGEKPATTDSVEEKDSQIDLQPINVQQINNNDAETTAQGAVQEDDAIEVTSGSPLPGVKPQQLDESMRIPKETIDILKDQVFAFDTFFVTSQEPYEGGVLFKGNLRGQAARSYEKISTRMQNKFDDEYKLFLLINPEDDKPVAVVVPKTTLQPETTAVPEWLAAGAFGLVTVFTLLLRNVPALQSNLLSTFDNLNLLSNGLPGAFFTALLLGVHELGHILVAKSTGVKLGVPFFVPSWQIGSFGAITRIKNIVPNREDLLKVAAAGPLAGFSLGFVLFLLGFILPPSDGIGVVVDASVFHESFLAGGVAKLLLGDVLKEGTPISVNPLVIWAWAGLLINAINSIPAGELDGGRISFAIWGRKASARFTSLSIGLLGLSSLFNDVAFYWVVLIFILQRGPIAPLSEEITDPENKYVALGVLVLILGLLVCLPYPFPFNYDSINTNF >KJB76168 pep chromosome:Graimondii2_0_v6:12:11872819:11878255:-1 gene:B456_012G076200 transcript:KJB76168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MNLAASFRGNFGVLSQCSSCCDLRFQPLLSSSSFAKSQRCRLRSLKFSRVPRFFRKREMFCRVTETETGPDSNNDEEEDKHESGEKPATTDSVEEKDSQIDLQPINVQQINNNDAETTAQGAVQEDDAIEVTSGSPLPGVKPQQLDESMRIPKETIDILKDQVFAFDTFFVTSQEPYEGGVLFKGNLRGQAARSYEKISTRMQNKFDDEYKLFLLINPEDDKPVAVVVPKTTLQPETTAVPEWLAAGAFGLVTVFTLLLRNVPALQSNLLSTFDNLNLLSNGLPGAFFTALLLGVHELGHILVAKSTGVKLGVPFFVPSWQIGSFGAITRIKNIVPNREDLLKQSCF >KJB76169 pep chromosome:Graimondii2_0_v6:12:11872819:11878255:-1 gene:B456_012G076200 transcript:KJB76169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MNLAASFRGNFGVLSQCSSCCDLRFQPLLSSSSFAKSQRCRLRSLKFSRVPRFFRKREMFCRVTETETGPDSNNDEEEDKHESGEKPATTDSVEEKDSQIDLQPINVQQINNNDAETTAQGAVQEDDAIEVTSGSPLPGVKPQQLDESMRIPKETIDILKDQVFAFDTFFVTSQEPYEGGVLFKGNLRGQAARSYEKISTRMQNKFDDEYKLFLLINPEDDKPVAVVVPKTTLQPETTAVPEWLAAGAFGLVTVFTLLLRNVPALQSNLLSTFDNLNLLSNGLPGAFFTALLLGVHELGHILVAKSTGVKLGVPFFVPSWQIGSFGAITRIKNIVPNREDLLKVAAAGPLAGFSLGFVLFLLGFILPPSDGIGVVVDASVFHESFLAGGVAASR >KJB76166 pep chromosome:Graimondii2_0_v6:12:11872819:11877018:-1 gene:B456_012G076200 transcript:KJB76166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MRIPKETIDILKDQVFAFDTFFVTSQEPYEGGVLFKGNLRGQAARSYEKISTRMQNKFDDEYKLFLLINPEDDKPVAVVVPKTTLQPETTAVPEWLAAGAFGLVTVFTLLLRNVPALQSNLLSTFDNLNLLSNGLPGAFFTALLLGVHELGHILVAKSTGVKLGVPFFVPSWQIGSFGAITRIKNIVPNREDLLKVAAAGPLAGFSLGFVLFLLGFILPPSDGIGVVVDASVFHESFLAGGVAKLLLGDVLKEGTPISVNPLVIWAWAGLLINAINSIPAGELDGGRISFAIWGRKASARFTSLSIGLLGLSSLFNDVAFYWVVLIFILQRGPIAPLSEEITDPENKYVALGVLVLILGLLVCLPYPFPFNYDSINTNF >KJB76165 pep chromosome:Graimondii2_0_v6:12:11872724:11878493:-1 gene:B456_012G076200 transcript:KJB76165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MNLAASFRGNFGVLSQCSSCCDLRFQPLLSSSSFAKSQRCRLRSLKFSRVPRFFRKREMFCRVTETETGPDSNNDEEEDKHESGEKPATTDSVEEKDSQIDLQPINVQQINNNDAETTAQGAVQEDDAIEVTSGSPLPGVKPQQLDESMRIPKETIDILKDQVFAFDTFFVTSQEPYEGGVLFKGNLRGQAARSYEKISTRMQNKFDDEYKLFLLINPEDDKPVAVVVPKTTLQPETTAVPEWLAAGAFGLVTVFTLLLRNVPALQSNLLSTFDNLNLLSNGLPGAFFTALLLGVHELGHILVAKSTGVKLGVPFFVPSWQALLVP >KJB75239 pep chromosome:Graimondii2_0_v6:12:4142501:4143170:1 gene:B456_012G033700 transcript:KJB75239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKKGMRVFTTNTNAIIFLLIISVAFLPEISAAALRRGHAHPSRSRVPERPASPSPSPSPSPLASPPLNRKVAASPHGGGIYHPLPDPY >KJB74898 pep chromosome:Graimondii2_0_v6:12:1512244:1514546:1 gene:B456_012G013400 transcript:KJB74898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSFRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKYNRADYLKWKSENRIMPDGVNAKLLGCHGPLANRQPGRAFLKASS >KJB74897 pep chromosome:Graimondii2_0_v6:12:1512244:1514159:1 gene:B456_012G013400 transcript:KJB74897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKYNRADYLKWKSENRIMPDGVNAKVVKRLISFKQPMIYSL >KJB74896 pep chromosome:Graimondii2_0_v6:12:1512199:1514587:1 gene:B456_012G013400 transcript:KJB74896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKYNRADYLKWKSENRIMPDGVNAKLLGCHGPLANRQPGRAFLKASS >KJB76339 pep chromosome:Graimondii2_0_v6:12:13934701:13937354:-1 gene:B456_012G083400 transcript:KJB76339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTTILHSSLTNPPFTAHLSPSKFPRSFSTPFRLRTSLSFSPSLPPKSFPTNCSVSDDTTSSNVATVDLSIALKKKATDVSADLRGTSIFLVGMNNSVKSSLGMLLADLFRYYYFDSDALVSEAAGGESAAISLKESDEKGFRESETEVLKQLSSMGRLVVCAGDGAVQNSTNLALLRHGISIWIDVPLDMVAKGIIGNKSLLLSSEIAISGSYSELMALYEDMRRGYATADATVSLQKVAYQLGYEDMDAVTTEDITMEVLKEIERLTRVKKMMEAAARPF >KJB76344 pep chromosome:Graimondii2_0_v6:12:13934751:13937342:-1 gene:B456_012G083400 transcript:KJB76344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTTILHSSLTNPPFTAHLSPSKFPRSFSTPFRLRTSLSFSPSLPPKSFPTNCSVSDDTTSSANVATVDLSIALKKKATDVSADLRGTSIFLVGMNNSVKSSLGMLLADLFRYYYFDSDEKGFRESETEVLKQLSSMGRLVVCAGDGAVQNSTNLALLRHGISIWIDVPLDMVAKGIIGNKSLLLSSEIAISGSYSEVLSQLMALYEDMRRGYATADATVSLQKVAYQLGYEDMDAVTTEDITMEVLKEIERLTRVKKMMEAAARPF >KJB76336 pep chromosome:Graimondii2_0_v6:12:13934661:13937395:-1 gene:B456_012G083400 transcript:KJB76336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTTILHSSLTNPPFTAHLSPSKFPRSFSTPFRLRTSLSFSPSLPPKSFPTNCSVSDDTTSSNVATVDLSIALKKKATDVSADLRGTSIFLVGMNNSVKSSLGMLLADLFRYYYFDSDALVSEAAGGESAAISLKESDEKGFRESETEVLKQLSSMGRLVVCAGDGAVQNSTNLALLRHGISIWIDVPLDMVAKGIIGNKSLLLSSEIAISGSYSEVLSQLMALYEDMRRGYATADATVSLQKVAYQLGYEDMDAVTTEDITMEVLKEIERLTRVKKMMEAAARPF >KJB76341 pep chromosome:Graimondii2_0_v6:12:13934751:13936595:-1 gene:B456_012G083400 transcript:KJB76341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSVKSSLGMLLADLFRYYYFDSDALVSEAAGGESAAISLKESDEKGFRESETEVLKQLSSMGRLVVCAGDGAVQNSTNLALLRHGISIWIDVPLDMVAKGIIGNKSLLLSSEIAISGSYSEVLSQLMALYEDMRRGYATADATVSLQKVAYQLGYEDMDAVTTEDITMEVLKEIERLTRVKKMMEAAARPF >KJB76335 pep chromosome:Graimondii2_0_v6:12:13934978:13937291:-1 gene:B456_012G083400 transcript:KJB76335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTTILHSSLTNPPFTAHLSPSKFPRSFSTPFRLRTSLSFSPSLPPKSFPTNCSVSDDTTSSANVATVDLSIALKKKATDVSADLRGTSIFLVGMNNSVKSSLGMLLADLFRYYYFDSDALVSEAAGGESAAISLKESDEKGFRESETEVLKQLSSMGRLVVCAGDGAVQNSTNLALLRHGISIWIDVPLDMVAKGIIGNKSLLLSSEIAISGSYSEVLSQLMALYEDMRRGYATADATVSLQKVAYQLGYEDMDAVTTEDITMEVLKEIERLTRVKKMMEAAARPF >KJB76337 pep chromosome:Graimondii2_0_v6:12:13934978:13937291:-1 gene:B456_012G083400 transcript:KJB76337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTTILHSSLTNPPFTAHLSPSKFPRSFSTPFRLRTSLSFSPSLPPKSFPTNCSVSDDTTSSANVATVDLSIALKKKATDVSADLRGTSIFLVGMNNSVKSSLGMLLADLFRYYYFDSDALVSEAAGGESAAISLKESDEKGFRESETEVLKQLSSMGRLVVCAGDGAVQNSTNLALLRHGISIWIDVPLDMVAKGIIGNKSLLLSSEIAISGSYSEVLSQLMALYEDMRRGYATADATVSLQKVAYQLGYEDMDAVTTEDITMEVLKEIERLTRVKKMMEAAARPF >KJB76342 pep chromosome:Graimondii2_0_v6:12:13934751:13936640:-1 gene:B456_012G083400 transcript:KJB76342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSVKSSLGMLLADLFRYYYFDSDALVSEAAGGESAAISLKESDEKGFRESETEVLKQLSSMGRLVVCAGDGAVQNSTNLALLRHGISIWIDVPLDMVAKGIIGNKSLLLSSEIAISGSYSEVLSQLMALYEDMRRGYATADATVSLQKVAYQLGYEDMDAVTTEDITMEVLKEIERLTRVKKMMEAAARPF >KJB76338 pep chromosome:Graimondii2_0_v6:12:13933468:13937358:-1 gene:B456_012G083400 transcript:KJB76338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTTILHSSLTNPPFTAHLSPSKFPRSFSTPFRLRTSLSFSPSLPPKSFPTNCSVSDDTTSSNVATVDLSIALKKKATDVSADLRGTSIFLVGMNNSVKSSLGMLLADLFRYYYFDSDALVSEAAGGESAAISLKESDEKGFRESETEVLKQLSSMGRLVVCAGDGAVQNSTNLALLRHGISIWIDVPLDMVAKGIIGNKSLLLSSEIAISGSYSEVLSQLMALYEDMRRGYATADATVSLQKVAYQLGYEDMDAVTTEDITMEVLKEIERLTRVKKMMEAAARPF >KJB76340 pep chromosome:Graimondii2_0_v6:12:13934978:13937291:-1 gene:B456_012G083400 transcript:KJB76340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTTILHSSLTNPPFTAHLSPSKFPRSFSTPFRLRTSLSFSPSLPPKSFPTNCSVSDDTTSSANVATVDLSIALKKKATDVSADLRGTSIFLVGMNNSVKSSLGMLLADLFRYYYFDSDALVSEAAGGESAAISLKESDEKGFRESETEVLKQLSSMGRLVVCAGDGAVQNSTNLALLRHGISIWIDVPLDMVAKGIIGNKSLLLSSEIAISGSYSELMALYEDMRRGYATADATVSLQKVAYQLGYEDMDAVTTEDITMEVLKEIERLTRVKKMMEAAARPF >KJB76343 pep chromosome:Graimondii2_0_v6:12:13935101:13937291:-1 gene:B456_012G083400 transcript:KJB76343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTTILHSSLTNPPFTAHLSPSKFPRSFSTPFRLRTSLSFSPSLPPKSFPTNCSVSDDTTSSANVATVDLSIALKKKATDVSADLRGTSIFLVGMNNSVKSSLGMLLADLFRYYYFDSDALVSEAAGGESAAISLKESDEKGFRESETEVLKQLSSMGRLVVCAGDGAVQNSTNLALLRHGISIWIDVPLDMVAKGIIGNKSLLLSSEIAISGSYSEVLSQLMALYEDMRRGYATADATVSLQKVAYQLGYEDMDAVTTEDITMEVEKHSSKTCLPFIWN >KJB77797 pep chromosome:Graimondii2_0_v6:12:33129090:33132284:-1 gene:B456_012G158200 transcript:KJB77797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLKELKKLFFSLKIRRKKSEGNNNTTTIHLHGSNGFYIGLKLILWRKRRRVFSFISAISGCLLLLLFSSVYFPPPTANNLFLPRHSSVRHVVKGREALEYNFEAMFQVPASGGNLGRDLWSWSQSTFYYGCSNASKTFRTADIKTLPDRYLLIATSGGLNQQRTGIIDAVVAAYMLNATLVIPRLDQQSYWKDTSCFADIFDVNWFISYLSRDVEIIKELPPKGGKAWIPRTIRVPRKCDPTCYETRVLPVLNRTQAVELTKFDYRLSNRLDTELQKLRCRVNYHALRFTDPILKMGKLLVERMKMKAKHFIALHLRFEPDMLAFSGCYYGGGDKERAELGAIRKRWKTLHASNPEKVRRNGKCPLTPEEVGLMLRALGFGSDVHVYVASGDVYGGEESLAPLKGLFPNFHSKQTIATKEELAQFSSFSGRMAALDYIVCDETDVFVANNNGNMARMLAGRRRYFGHKPAIRPNAKKLYKLFLDRNNMTWEEFSSRVRTYQIGFMGEPNEMKPGKGEFHENPDSCLCQAKGIETFEEKNRKDAVKMSDEYQSIEDPDWIDVDYLYKIEEFMSD >KJB78073 pep chromosome:Graimondii2_0_v6:12:34477662:34480568:-1 gene:B456_012G175400 transcript:KJB78073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKEPDNPTKSCKARGSDLRVHFKNTRETAFAIRKLPLVKAKRYLEDVIAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALHISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPDTQLAASKSKKSQASS >KJB78071 pep chromosome:Graimondii2_0_v6:12:34477603:34480700:-1 gene:B456_012G175400 transcript:KJB78071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSKEPDNPTKSCKARGSDLRVHFKNTRETAFAIRKLPLVKAKRYLEDVIAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALHISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPDTQLAASKSKKSQASS >KJB78072 pep chromosome:Graimondii2_0_v6:12:34477935:34480468:-1 gene:B456_012G175400 transcript:KJB78072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSKEPDNPTKSCKARGSDLRVHFKNTRETAFAIRKLPLVKAKRYLEDVIAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALHISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEVT >KJB78105 pep chromosome:Graimondii2_0_v6:12:34759399:34761418:1 gene:B456_012G179200 transcript:KJB78105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASLYKAAAEGKIEVFNNYQELDLESQKTPNHDNVLHVNLATHELAAWFSNGILSRTRSFPKLYFFILLFLWFFIIKKKSEKRSDFIGQILTKCPSLLLQTNAKGQTPLHVAAMNGHSAIVKLLIKSCAKARDGDLEKLEMGQVNAVREMLRITDQESNTALHLAVKYGDVEMVKELLEHEDPDFQYSANKNQETPLYLAAKRGDTGMLSILLEISNSTGHGGPHSRTALHAAAMAGDIAAATTIILKKNRNLIKERDEDGHTPLHYAAHLGCISVVEELLKTDVSAAYIGDRKLGMTPLLMAARQGYLGTVRKILFYCPDCCDKVDKRGLSLLHYLAFRDSPHFLFIPGGTKPEYGSFRNLRNLEGDIGFTPDKVSDFIRHEQPLTADLREKKPIEDMLKDIAREKVAEFPVLPFHLRAVSAESLEKSRDTNLVVAALIATVAFAAAITVPGGFKGEKGLEQGTPVLIHEIAFKAFVEYLFG >KJB78106 pep chromosome:Graimondii2_0_v6:12:34759399:34761418:1 gene:B456_012G179200 transcript:KJB78106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASLYKAAAEGKIEVFNNYQELDLESQKTPNHDNVLHVNLATHELAAWFSNGILSRTRSFPKLYFFILLFLWFFIIKKKSEKRSDFIGQILTKCPSLLLQTNAKGQTPLHVAAMNGHSAIVKLLIKSCAKARDGDLEKLEMGQVNAVREMLRITDQESNTALHLAVKYGDVEMVKELLEHEDPDFQYSANKNQETPLYLAAKRGDTGMLSILLEISNSTGHGGPHSRTALHAAAMAGDIAAATTIILKKNRNLIKERDEDGHTPLHYAAHLGCISVVEELLKTDVSAAYIGDRKLGMTPLLMAARQGYLGTVRKILFYCPDCCDKVDKRGLSLLHYLAFRDSPHFLFIPGGTKPEYGSFRNLRNLEGDIGFTPDKVSDFIRHEQPLTADLREKKPIEDMLKDIAREKVAEFPVLPFHLRAVSAESLEKSRDTNLVVAALIATVAFAAAITVPGGFKGEKGLEQGTPVLIHEIAFKAFVVTNALAFIFSICALAIHFGVNDFLLSGIPFWITDITLYQTRSASNLLSHATTATAIAFSTGSYVVLKPSHGLAIASCFICPALYFCYYIEVLLAWVEMIL >KJB78104 pep chromosome:Graimondii2_0_v6:12:34758949:34761418:1 gene:B456_012G179200 transcript:KJB78104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLARRRVRWQTRVRWLPRVRWLPWVRWKPHPIIASKPEEREQNITYMDASLYKAAAEGKIEVFNNYQELDLESQKTPNHDNVLHVNLATHELAAWFSNGILSRTRSFPKLYFFILLFLWFFIIKKKSEKRSDFIGQILTKCPSLLLQTNAKGQTPLHVAAMNGHSAIVKLLIKSCAKARDGDLEKLEMGQVNAVREMLRITDQESNTALHLAVKYGDVEMVKELLEHEDPDFQYSANKNQETPLYLAAKRGDTGMLSILLEISNSTGHGGPHSRTALHAAAMAGDIAAATTIILKKNRNLIKERDEDGHTPLHYAAHLGCISVVEELLKTDVSAAYIGDRKLGMTPLLMAARQGYLGTRQSPFSFHSWWY >KJB78107 pep chromosome:Graimondii2_0_v6:12:34759438:34761378:1 gene:B456_012G179200 transcript:KJB78107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASLYKAAAEGKIEVFNNYQELDLESQKTPNHDNVLHVNLATHELAAWFSNGILSRTRSFPKLYFFILLFLWFFIIKKKSEKRSDFIGQILTKCPSLLLQTNAKGQTPLHVAAMNGHSAIVKLLIKSCAKARDGDLEKLEMGQVNAVREMLRITDQESNTALHLAVKYGDVEMVKELLEHEDPDFQYSANKNQETPLYLAAKRGDTGMLSILLEISNSTGHGGPHSRTALHAAAMAGDIAAATTIILKKNRNLIKERDEDGHTPLHYAAHLGCISVVEELLKTDVSAAYIGDRKLGMTPLLMAARQGYLGTVRKILFYCPDCCDKVDKRGLSLLHYLAFRDSPHFLFIPGGTKPEYGSFRNLRNLEGDIGFTPDKVSDFIRHEQPLTADLREKVCISMTMGYGFINIWLLNNLQYNMLTWLVWQKPIEDMLKDIAREKVAEFPVLPFHLRAVSAESLEKSRDTNLVVAALIATVAFAAAITVPGGFKGEKGLEQGTPVLIHEIAFKAFVVTNALAFIFSICALAIHFGVNDFLLSGIPFWITDITLYQTRSASNLLSHATTATAIAFSTGSYVVLKPSHGLAIASCFICPALYFCYYIEVLLAWVEMIL >KJB76246 pep chromosome:Graimondii2_0_v6:12:13083777:13086807:1 gene:B456_012G080300 transcript:KJB76246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRKVPNKLGNGIQGDLLKTERLLGSLKPSYCQHQATDLKKKMKNSRSIKLSEGSLRSSSPLRKTFAQPGKPPPLNVLPATTPQNKSVIKAVDGSPNYMKSTSSSKAKKESSPVSSLAGSDSKNLRRRCSTGSISSDSCNKAAGARTLTRTSNLKLVRTLTKSPTFKPARASAKKCSRVALCADMNVQRATCSSTLKDSKFPSYLMLNHGTESEGTSIIKVCPYTYCSLNGHHHTPLSQLKCFLKARRRSMKTQRSMKMEALSPRRVKPSGDGTEGGDEEPVVFADRPTSNGMDLDISPSHLMQGGAMDFFIEIYAKNKGNDAEATHGSTQMKAKGKDNSGYDNEAGVELISDSSSEGSPDSEIDFDEKIEHCGDIISKIDDISETLKQRDADEESRGVLIKEESPPLNLKGDKHESVSRVDVDHAMFQVIDLEWEEWQFSASEPDYEAHSSMESDLDTGDSSESHRDNLCDEILINSDESNSNTAKEVSADGAEQAFEETLSYNQVSSAEDMLEVSVAMEEENTETDLIGIFTTASSRKKLHEEPTPSKEKMLENGVPGTVNDVSEALEVPENISTIDLNEEAFDLTEQFQLHSFNKLKQDETNEDYNIVWKPGDTEADQIDTSCDFCPENKLPSGETGDKTEAEKVAYSELLIGFLGLSHVLPRADDEFEVEDNERFSTRDTALESLFSQSQDHLSDSQHKNVHVVDNQSALEEDQEEAKLKVPTSMDPEKHNGSRMHKTRLADGSEVGKMEFEDSATAGLDVAETYPAANDKTKTIPKPRSKFSFTRNNAKEEVPENHSDRKWTIGCKRHEDNYEEKKKFNPREPNFLPVVPEPDAEKVDLRHQPMDKRKNAEEWMLDHALQQVVTKLAPARKRKVALLVEAFETVQPRTKCETHLRQTSTGFGHGQSIQACN >KJB77699 pep chromosome:Graimondii2_0_v6:12:32540759:32556725:-1 gene:B456_012G151700 transcript:KJB77699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTCFRSRFCILILLTCLLISGFTFVGAQNRRPKNVQVAIRAKWSGTPLLLEAGELLSKESKNLFWEFIDDWLLVGKTDDDSHSAKDCLVKILKHGSSLLSEQLASLFEFSLTLRSASPRLVLYRQLAEESLSSFPLSDDSYSHNASGVDDSEAVVTKKLDPLLVGVNPKSPRGKCCWVDVGEELFFEVAELQSWLLGPNEVNGDSFQQPELYEFDHIHFDSNIASPVAILYGALGTECFREFHVTLVQAAKEGKVKYVVRPVLPSGCEGEVGQCGAVGARDSLNLGGYGVELALKNMEYKAMDDSTVKKGVTLEDPRTEDLSQEVRGFIFSKILERKPDLTSEIMAFRDYLLSSTISDTLDVWELKDLGHQTAQRIVQASDPLQSMQEINQNFPSVVSSLSRMKLNDSVKDEIIANQRMIPPGKSLMALNGALINIEDIDLYLLIDLVHQELSLADQFSKLKLPRSTIRKLLSTMTPPESDAFRVDFRSDHVHYLNNLEEDAMYRRWRSNINDILMPVFPGQLRYIRKNLFHAVYVLDPSTVSGLQSIDMITSFYENSFPMRFGVILYSTQFIKKIEQSGGELHSSEHDGELEDDKSSLIIRLFIYIKENHGIQSAFQFLSNINRLRTESADSTDEALEMHHIEGAFVETLLPKAKSPPQEILLKLEKEQSFKELSQESSLFVFKLGVNKLQCCLLMNGLVFDSSEDALINAMNDELPRIQEQVYYGKINSHTNVLDKFLSENGVSRYNPQIIAGGKVKPGFVSLASPALGGESVLNEINYLHSPGTVDDVKPVTHLLAVDVTSRKGIKLLREAIRYLIQGSKNARVGVLFTAGQDANLSNILLVKTFEITAASYSHKKKVLEFLDQVCSFYEHKYILRSPAAAESTQAFINKVYELAEANELPSKTYKSSLSEAYNLKLIEHLNKVAQYLYRQFGISSGVNAVITNGRITSLDAGVFLGDDLHLLESVEFNHRIKHIVEIVEEVSWHGIDPDMLTSKYVSDIIMLISSSMATRDRSAESARFEILNAQYSAVILNNENSSIHIDAVVDPLSPSGQKLSSLLRVLAKYVHPSMRIVLNPMSSLVDLPLKNYYRYVIPTMEDFSSTDYTVNGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAVHDLDNILLENLGDIRTLQAVFELEALVLTGHCAEKDRDPPRGLQLILGTKNTPHLVDTIVMANLGYWQMKVSPGVWYLQLAPGRSSELYLFRDGGDYGNQEKSLSKRITINDLRGKVVHLEVVKKKGKEQEKLLISSDDDKHSKEKGHNGWNSNLLKWASGFIGGSEKSKKSSDSSVDHGKGGRHGKTINIFSIASGHLYERFLKIMILSVLKNTHRPVKFWFIKNYLSPQFKDVIPHMAQEYGFDYELVTYKWPTWLHKQTEKQRIIWAYKILFLDVIFPISLEKVLYPFCFPQFLLDNCTLLLHLLPIYWMKMHVQVIFVDADQVVRADMGDLYDMDIKGRPLAYTPFCDNNKDMDGYRFWRQGFWREHLRGRPYHISALYVVDLVKFRETAAGDNLRVFYESLSKDPNSLANLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKSRAKTIDLCNNPMTKEPKLQGARRIVSEWTDLDFEARKFTAKILGDELENPDPLPSPSSSSPETSSNDQSSDDLESKAEL >KJB77697 pep chromosome:Graimondii2_0_v6:12:32540759:32556725:-1 gene:B456_012G151700 transcript:KJB77697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTCFRSRFCILILLTCLLISGFTFVGAQNRRPKNVQVAIRAKWSGTPLLLEAGELLSKESKNLFWEFIDDWLLVGKTDDDSHSAKDCLVKILKHGSSLLSEQLASLFEFSLTLRSASPRLVLYRQLAEESLSSFPLSDDSYSHNASGVDDSEAVVTKKLDPLLVGVNPKSPRGKCCWVDVGEELFFEVAELQSWLLGPNEVNGDSFQQPELYEFDHIHFDSNIASPVAILYGALGTECFREFHVTLVQAAKEGKVKYVVRPVLPSGCEGEVGQCGAVGARDSLNLGGYGVELALKNMEYKAMDDSTVKKGVTLEDPRTEDLSQEVRGFIFSKILERKPDLTSEIMAFRDYLLSSTISDTLDVWELKDLGHQTAQRIVQASDPLQSMQEINQNFPSVVSSLSRMKLNDSVKDEIIANQRMIPPGKSLMALNGALINIEDIDLYLLIDLVHQELSLADQFSKLKLPRSTIRKLLSTMTPPESDAFRVDFRSDHVHYLNNLEEDAMYRRWRSNINDILMPVFPGQLRYIRKNLFHAVYVLDPSTVSGLQSIDMITSFYENSFPMRFGVILYSTQFIKKIEQSGGELHSSEHDGELEDDKSSLIIRLFIYIKENHGIQSAFQFLSNINRLRTESADSTDEALEMHHIEGAFVETLLPKAKSPPQEILLKLEKEQSFKELSQESSLFVFKLGVNKLQCCLLMNGLVFDSSEDALINAMNDELPRIQEQVYYGKINSHTNVLDKFLSENGVSRYNPQIIAGGKVKPGFVSLASPALGGESVLNEINYLHSPGTVDDVKPVTHLLAVDVTSRKGIKLLREAIRYLIQGSKNARVGVLFTAGQDANLSNILLVKTFEITAASYSHKKKVLEFLDQVCSFYEHKYILRSPAAAESTQAFINKVYELAEANELPSKTYKSSLSEAYNLKLIEHLNKVAQYLYRQFGISSGVNAVITNGRITSLDAGVFLGDDLHLLESVEFNHRIKHIVEIVEEVSWHGIDPDMLTSKYVSDIIMLISSSMATRDRSAESARFEILNAQYSAVILNNENSSIHIDAVVDPLSPSGQKLSSLLRVLAKYVHPSMRIVLNPMSSLVDLPLKNYYRYVIPTMEDFSSTDYTVNGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAVHDLDNILLENLGDIRTLQAVFELEALVLTGHCAEKDRDPPRGLQLILGTKNTPHLVDTIVMANLGYWQMKVSPGVWYLQLAPGRSSELYLFRDGGDYGNQEKSLSKRITINDLRGKVVHLEVVKKKGKEQEKLLISSDDDKHSKEKGHNGWNSNLLKWASGFIGGSEKSKKSSDSSVDHGKGGRHGKTINIFSIASGHLYERFLKIMILSVLKNTHRPVKFWFIKNYLSPQFKDVIPHMAQEYGFDYELVTYKWPTWLHKQTEKQRIIWAYKILFLDVIFPISLEKVIFVDADQVVRADMGDLYDMDIKGRPLAYTPFCDNNKDMDGYRFWRQGFWREHLRGRPYHISALYVVDLVKFRETAAGDNLRVFYESLSKDPNSLANLDQARSSKLRSTHSTNLFITPRMAMV >KJB77698 pep chromosome:Graimondii2_0_v6:12:32541398:32556564:-1 gene:B456_012G151700 transcript:KJB77698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTCFRSRFCILILLTCLLISGFTFVGAQNRRPKNVQVAIRAKWSGTPLLLEAGELLSKESKNLFWEFIDDWLLVGKTDDDSHSAKDCLVKILKHGSSLLSEQLASLFEFSLTLRSASPRLVLYRQLAEESLSSFPLSDDSYSHNASGVDDSEAVVTKKLDPLLVGVNPKSPRGKCCWVDVGEELFFEVAELQSWLLGPNEVNGDSFQQPELYEFDHIHFDSNIASPVAILYGALGTECFREFHVTLVQAAKEGKVKYVVRPVLPSGCEGEVGQCGAVGARDSLNLGGYGVELALKNMEYKAMDDSTVKKGVTLEDPRTEDLSQEVRGFIFSKILERKPDLTSEIMAFRDYLLSSTISDTLDVWELKDLGHQTAQRIVQASDPLQSMQEINQNFPSVVSSLSRMKLNDSVKDEIIANQRMIPPGKSLMALNGALINIEDIDLYLLIDLVHQELSLADQFSKLKLPRSTIRKLLSTMTPPESDAFRVDFRSDHVHYLNNLEEDAMYRRWRSNINDILMPVFPGQLRYIRKNLFHAVYVLDPSTVSGLQSIDMITSFYENSFPMRFGVILYSTQFIKKIEQSGGELHSSEHDGELEDDKSSLIIRLFIYIKENHGIQSAFQFLSNINRLRTESADSTDEALEMHHIEGAFVETLLPKAKSPPQEILLKLEKEQSFKELSQESSLFVFKLGVNKLQCCLLMNGLVFDSSEDALINAMNDELPRIQEQVYYGKINSHTNVLDKFLSENGVSRYNPQIIAGGKVKPGFVSLASPALGGESVLNEINYLHSPGTVDDVKPVTHLLAVDVTSRKGIKLLREAIRYLIQGSKNARVGVLFTAGQDANLSNILLVKTFEITAASYSHKKKVLEFLDQVCSFYEHKYILRSPAAAESTQAFINKVYELAEANELPSKTYKSSLSEAYNLKLIEHLNKVAQYLYRQFGISSGVNAVITNGRITSLDAGVFLGDDLHLLESVEFNHRIKHIVEIVEEVSWHGIDPDMLTSKYVSDIIMLISSSMATRDRSAESARFEILNAQYSAVILNNENSSIHIDAVVDPLSPSGQKLSSLLRVLAKYVHPSMRIVLNPMSSLVDLPLKNYYRYVIPTMEDFSSTDYTVNGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAVHDLDNILLENLGDIRTLQAVFELEALVLTGHCAEKDRDPPRGLQLILGTKNTPHLVDTIVMANLGYWQMKVSPGVWYLQLAPGRSSELYLFRDGGDYGNQEKSLSKRITINDLRGKVVHLEVVKKKGKEQEKLLISSDDDKHSKEKGHNGWNSNLLKWASGFIGGSEKSKKSSDSSVDHGKGGRHGKTINIFSIASGHLYERFLKIMILSVLKNTHRPVKFWFIKNYLSPQFKDVIPHMAQEYGFDYELVTYKWPTWLHKQTEKQRIIWAYKILFLDVIFPISLEKVIFVDADQVVRADMGDLYDMDIKGRPLAYTPFCDNNKDMDGYRFWRQGFWREHLRGRPYHISALYVVDLVKFRETAAGDNLRVFYESLSKDPNSLANLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKSRAKTIDLCNNPMTKEPKLQVIEYSSIYIRW >KJB77696 pep chromosome:Graimondii2_0_v6:12:32540759:32556725:-1 gene:B456_012G151700 transcript:KJB77696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSFYENSFPMRFGVILYSTQFIKKIEQSGGELHSSEHDGELEDDKSSLIIRLFIYIKENHGIQSAFQFLSNINRLRTESADSTDEALEMHHIEGAFVETLLPKAKSPPQEILLKLEKEQSFKELSQESSLFVFKLGVNKLQCCLLMNGLVFDSSEDALINAMNDELPRIQEQVYYGKINSHTNVLDKFLSENGVSRYNPQIIAGGKVKPGFVSLASPALGGESVLNEINYLHSPGTVDDVKPVTHLLAVDVTSRKGIKLLREAIRYLIQGSKNARVGVLFTAGQDANLSNILLVKTFEITAASYSHKKKVLEFLDQVCSFYEHKYILRSPAAAESTQAFINKVYELAEANELPSKTYKSSLSEAYNLKLIEHLNKVAQYLYRQFGISSGVNAVITNGRITSLDAGVFLGDDLHLLESVEFNHRIKHIVEIVEEVSWHGIDPDMLTSKYVSDIIMLISSSMATRDRSAESARFEILNAQYSAVILNNENSSIHIDAVVDPLSPSGQKLSSLLRVLAKYVHPSMRIVLNPMSSLVDLPLKNYYRYVIPTMEDFSSTDYTVNGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAVHDLDNILLENLGDIRTLQAVFELEALVLTGHCAEKDRDPPRGLQLILGTKNTPHLVDTIVMANLGYWQMKVSPGVWYLQLAPGRSSELYLFRDGGDYGNQEKSLSKRITINDLRGKVVHLEVVKKKGKEQEKLLISSDDDKHSKEKGHNGWNSNLLKWASGFIGGSEKSKKSSDSSVDHGKGGRHGKTINIFSIASGHLYERFLKIMILSVLKNTHRPVKFWFIKNYLSPQFKDVIPHMAQEYGFDYELVTYKWPTWLHKQTEKQRIIWAYKILFLDVIFPISLEKVIFVDADQVVRADMGDLYDMDIKGRPLAYTPFCDNNKDMDGYRFWRQGFWREHLRGRPYHISALYVVDLVKFRETAAGDNLRVFYESLSKDPNSLANLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKSRAKTIDLCNNPMTKEPKLQGARRIVSEWTDLDFEARKFTAKILGDELENPDPLPSPSSSSPETSSNDQSSDDLESKAEL >KJB77695 pep chromosome:Graimondii2_0_v6:12:32540698:32556725:-1 gene:B456_012G151700 transcript:KJB77695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTCFRSRFCILILLTCLLISGFTFVGAQNRRPKNVQVAIRAKWSGTPLLLEAGELLSKESKNLFWEFIDDWLLVGKTDDDSHSAKDCLVKILKHGSSLLSEQLASLFEFSLTLRSASPRLVLYRQLAEESLSSFPLSDDSYSHNASGVDDSEAVVTKKLDPLLVGVNPKSPRGKCCWVDVGEELFFEVAELQSWLLGPNEVNGDSFQQPELYEFDHIHFDSNIASPVAILYGALGTECFREFHVTLVQAAKEGKVKYVVRPVLPSGCEGEVGQCGAVGARDSLNLGGYGVELALKNMEYKAMDDSTVKKGVTLEDPRTEDLSQEVRGFIFSKILERKPDLTSEIMAFRDYLLSSTISDTLDVWELKDLGHQTAQRIVQASDPLQSMQEINQNFPSVVSSLSRMKLNDSVKDEIIANQRMIPPGKSLMALNGALINIEDIDLYLLIDLVHQELSLADQFSKLKLPRSTIRKLLSTMTPPESDAFRVDFRSDHVHYLNNLEEDAMYRRWRSNINDILMPVFPGQLRYIRKNLFHAVYVLDPSTVSGLQSIDMITSFYENSFPMRFGVILYSTQFIKKIEQSGGELHSSEHDGELEDDKSSLIIRLFIYIKENHGIQSAFQFLSNINRLRTESADSTDEALEMHHIEGAFVETLLPKAKSPPQEILLKLEKEQSFKELSQESSLFVFKLGVNKLQCCLLMNGLVFDSSEDALINAMNDELPRIQEQVYYGKINSHTNVLDKFLSENGVSRYNPQIIAGGKVKPGFVSLASPALGGESVLNEINYLHSPGTVDDVKPVTHLLAVDVTSRKGIKLLREAIRYLIQGSKNARVGVLFTAGQDANLSNILLVKTFEITAASYSHKKKVLEFLDQVCSFYEHKYILRSPAAAESTQAFINKVYELAEANELPSKTYKSSLSEAYNLKLIEHLNKVAQYLYRQFGISSGVNAVITNGRITSLDAGVFLGDDLHLLESVEFNHRIKHIVEIVEEVSWHGIDPDMLTSKYVSDIIMLISSSMATRDRSAESARFEILNAQYSAVILNNENSSIHIDAVVDPLSPSGQKLSSLLRVLAKYVHPSMRIVLNPMSSLVDLPLKNYYRYVIPTMEDFSSTDYTVNGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAVHDLDNILLENLGDIRTLQAVFELEALVLTGHCAEKDRDPPRGLQLILGTKNTPHLVDTIVMANLGYWQMKVSPGVWYLQLAPGRSSELYLFRDGGDYGNQEKSLSKRITINDLRGKVVHLEVVKKKGKEQEKLLISSDDDKHSKEKGHNGWNSNLLKWASGFIGGSEKSKKSSDSSVDHGKGGRHGKTINIFSIASGHLYERFLKIMILSVLKNTHRPVKFWFIKNYLSPQFKDVIPHMAQEYGFDYELVTYKWPTWLHKQTEKQRIIWAYKILFLDVIFPISLEKVIFVDADQVVRADMGDLYDMDIKGRPLAYTPFCDNNKDMDGYRFWRQGFWREHLRGRPYHISALYVVDLVKFRETAAGDNLRVFYESLSKDPNSLANLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKSRAKTIDLCNNPMTKEPKLQGARRIVSEWTDLDFEARKFTAKILGDELENPDPLPSPSSSSPETSSNDQSSDDLESKAEL >KJB77595 pep chromosome:Graimondii2_0_v6:12:32040552:32052041:1 gene:B456_012G146100 transcript:KJB77595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVLQCLTAIVHRAFETAVSWLEDRITETGNEAVIRESTLFAHACFLINSMSQREERIRDIAANLLVQLRDRFPQVLWNSSCLDSLLFSVQNDTPTVVNDPAWEAAVRSLYQKVVREWIVISLSYAPCTTQGLLQEKLCKANTWQQARHKTDVVSLLSEIRIGTGKSDCWAGTRTANIPAVIAAAAAASGANLKLSEAFILEVLSTGIVSATVKCNYAGEIAGMRRLYNSIGGFQSDSPQTGLGGGLQRLISGAFYQPAETENDSFNEMLVSKFVHLLQQFVNIAEKGGEVDKSQFRETCSQATALLLSNLDSDRKANLEGFAKLLRLLCWCPAYISTPDAMETGVFIWSWLVSAAPQWGSLVLAELVDAWLWTIDTKRGLFASDVKCSGPAAKLRPHLAPGEPEALPDTNPVDQIIAHRLWLGFFIDRFEVVRHNSVEQLLLLGRMLQGTTKRLWNFSHHPAATGTFFTFMLLGLKFCSCQSQGNLQNFRAGLQLLEDRIYRASLGWFSYEPEWYDTNNINFAQSEAQSVSLFVHYLSSGRLDSLQSDSKGRATENGNSLVGANDHPVWGQMDNYIVGREKRKQLLLMLCQHEVDRLEVWAQPLSKEGTSSRPKISPDKWIEYARTAFSVDPRIAFSLASRFPTNTHLKAEIAQLVQSHILDIRCIPEALPYFVTPKAVDENSVLLQQLPHWAACSITQALEFLTPVYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDKGRLVEGYLLRAAQRSDLFSHILIWHLQGETCDLGKDASGKNSSFLELLPIVRQHIIDGFTPKALDVFRREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIQVQGDDLYLPTAPNKLVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDQNDIKPQACIFKVGDDCRQDVLALQVIALLRDIFTAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGPVGSPNFEAARRNFIISSAGYAVASLLLQPKDRHNGNLLFDDVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWDNFVSLCVKGYLAARRHMNGIINTVLLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMKNVCTDAYNKWTTAGYDLIQYLQQGIEK >KJB77591 pep chromosome:Graimondii2_0_v6:12:32038046:32051542:1 gene:B456_012G146100 transcript:KJB77591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTTRLQQADTWGNSQGAMFESVLKTCCEIIESGWAKDRAPMDTFIMGLATSIRERNDYEEQVDKEKQVVPAVQLNVIRLLADLNVAISKPEVVDMILPLFIESLEEGDAMTPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLSKLSSVGSAESKTLAPEATTERVETLPAGFLLIASGLKSAKLRSDYRLRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAEICSDFDPTLNVEPSLLKLFRNLWFYIALFGLAPPIQKTQTPAKPVSSTLNSVGSMGTIALQAVGGPYMWNELWSAAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRQGSGNEKAAVSHRTALSAALGGRVDVGAMSTISGVKATYLLAVAFLEIIRFSSNGGILNGSTSLTASRSAFSCVFEYLKTPNLMPAVLQCLTAIVHRAFETAVSWLEDRITETGNEAVIRESTLFAHACFLINSMSQREERIRDIAANLLVQLRDRFPQVLWNSSCLDSLLFSVQNDTPTVVNDPAWEAAVRSLYQKVVREWIVISLSYAPCTTQGLLQEKLCKANTWQQARHKTDVVSLLSEIRIGTGKSDCWAGTRTANIPAVIAAAAAASGANLKLSEAFILEVLSTGIVSATVKCNYAGEIAGMRRLYNSIGGFQSDSPQTGLGGGLQRLISGAFYQPAETENDSFNEMLVSKFVHLLQQFVNIAEKGGEVDKSQFRETCSQATALLLSNLDSDRKANLEGFAKLLRLLCWCPAYISTPDAMETGVFIWSWLVSAAPQWGSLVLAELVDAWLWTIDTKRGLFASDVKCSGPAAKLRPHLAPGEPEALPDTNPVDQIIAHRLWLGFFIDRFEVVRHNSVEQLLLLGRMLQGTTKRLWNFSHHPAATGTFFTFMLLGLKFCSCQSQGNLQNFRAGLQLLEDRIYRASLGWFSYEPEWYDTNNINFAQSEAQSVSLFVHYLSSGRLDSLQSDSKGRATENGNSLVGANDHPVWGQMDNYIVGREKRKQLLLMLCQHEVDRLEVWAQPLSKEGTSSRPKISPDKWIEYARTAFSVDPRIAFSLASRFPTNTHLKAEIAQLVQSHILDIRCIPEALPYFVTPKAVDENSVLLQQLPHWAACSITQALEFLTPVYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDKGRLVEGYLLRAAQRSDLFSHILIWHLQGETCDLGKDASGKNSSFLELLPIVRQHIIDGFTPKALDVFRREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIQVQGDDLYLPTAPNKLVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDQNDIKPQACIFKVGDDCRQDVLALQVIALLRDIFTAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGPVGSPNFEAARRNFIISSAGYAVASLLLQPKDRHNGNLLFDDVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWDNFVSLCVKGYLAARRHMNGIINTVLLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMKNVCTDAYNKWTTAGYDLIQYLQQGIEK >KJB77593 pep chromosome:Graimondii2_0_v6:12:32036421:32051913:1 gene:B456_012G146100 transcript:KJB77593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMIELCDIIAKNPEKFSDKIAWICGRCPQSELLLGGSPRVSRSQLNAVLVVARLLSKCPHSTDNRPKSVMLEFIRAIPTSFHRSFWPQSYNNDSIASFFVDFLKYVSESADSSPDFGFEIAGLVGEVVMAAVSNHDTNSNDSAISRAFSLALSQNFPPILPSDADNLINYLVEQLAISVPESPRELIPGSSETSSSQSSPLSAKHFQGIEISSPANDSSRGSLMANGGGAMFRQQVASFEEEPVESMEKLEIAFKLIAHILHKVSIDQKLLEQVRFIAKKQLQSMSAFLKIRKRDWTEQGPLLKSRVNAKLSVNQAAVRMQIRSLLSLEADAKTSKKLVLETLALLIDAAEACIISVWRKLRVCEELFSTLLSGIVQIAVPRGGQPLRILLIRLKPLVLAACMQADTWGNSQGAMFESVLKTCCEIIESGWAKDRAPMDTFIMGLATSIRERNDYEEQVDKEKQVVPAVQLNVIRLLADLNVAISKPEVVDMILPLFIESLEEGDAMTPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLSKLSSVGSAESKTLAPEATTERVETLPAGFLLIASGLKSAKLRSDYRLRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAEICSDFDPTLNVEPSLLKLFRNLWFYIALFGLAPPIQKTQTPAKPVSSTLNSVGSMGTIALQAVGGPYMWNELWSAAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRQGSGNEKAAVSHRTALSAALGGRVDVGAMSTISGVKATYLLAVAFLEIIRFSSNGGILNGSTSLTASRSAFSCVFEYLKTPNLMPAVLQCLTAIVHRAFETAVSWLEDRITETGNEAVIRESTLFAHACFLINSMSQREERIRDIAANLLVQLRDRFPQVLWNSSCLDSLLFSVQNDTPTVVNDPAWEAAVRSLYQKVVREWIVISLSYAPCTTQGLLQEKLCKANTWQQARHKTDVVSLLSEIRIGTGKSDCWAGTRTANIPAVIAAAAAASGANLKLSEAFILEVLSTGIVSATVKCNYAGEIAGMRRLYNSIGGFQSDSPQTGLGGGLQRLISGAFYQPAETENDSFNEMLVSKFVHLLQQFVNIAEKGGEVDKSQFRETCSQATALLLSNLDSDRKANLEGFAKLLRLLCWCPAYISTPDAMETGVFIWSWLVSAAPQWGSLVLAELVDAWLWTIDTKRGLFASDVKCSGPAAKLRPHLAPGEPEALPDTNPVDQIIAHRLWLGFFIDRFEVVRHNSVEQLLLLGRMLQGTTKRLWNFSHHPAATGTFFTFMLLGLKFCSCQSQGNLQNFRAGLQLLEDRIYRASLGWFSYEPEWYDTNNINFAQSEAQSVSLFVHYLSSGRLDSLQSDSKGRATENGNSLVGANDHPVWGQMDNYIVGREKRKQLLLMLCQHEVDRLEVWAQPLSKEGTSSRPKISPDKWIEYARTAFSVDPRIAFSLASRFPTNTHLKAEIAQLVQSHILDIRCIPEALPYFVTPKAVDENSVLLQQLPHWAACSITQALEFLTPVYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDKGRLVEGYLLRAAQRSDLFSHILIWHLQGETCDLGKDASGKNSSFLELLPIVRQHIIDGFTPKALDVFRREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIQVQGDDLYLPTAPNKLVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDQNDIKPQACIFKVGDDCRQDVLALQVIALLRDIFTAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGPVGSPNFEAARRNFIISSAGYAVASLLLQPKDRHNGNLLFDDVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWDNFLVCEGIPCRSPSYEWDHQHCVAYA >KJB77594 pep chromosome:Graimondii2_0_v6:12:32036263:32052041:1 gene:B456_012G146100 transcript:KJB77594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMIELCDIIAKNPEKFSDKIAWICGRCPQSELLLGGSPRVSRSQLNAVLVVARLLSKCPHSTDNRPKSVMLEFIRAIPTSFHRSFWPQSYNNDSIASFFVDFLKYVSESADSSPDFGFEIAGLVGEVVMAAVSNHDTNSNDSAISRAFSLALSQNFPPILPSDADNLINYLVEQLAISVPESPRELIPGSSETSSSQSSPLSAKHFQGIEISSPANDSSRGSLMANGGGAMFRQQVASFEEEPVESMEKLEIAFKLIAHILHKVSIDQKLLEQVRFIAKKQLQSMSAFLKIRKRDWTEQGPLLKSRVNAKLSVNQAAVRMQIRSLLSLEADAKTSKKLVLETLALLIDAAEACIISVWRKLRVCEELFSTLLSGIVQIAVPRGGQPLRILLIRLKPLVLAACMQADTWGNSQGAMFESVLKTCCEIIESGWAKDRAPMDTFIMGLATSIRERNDYEEQVDKEKQVVPAVQLNVIRLLADLNVAISKPEVVDMILPLFIESLEEGDAMTPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLSKLSSVGSAESKTLAPEATTERVETLPAGFLLIASGLKSAKLRSDYRLRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAEICSDFDPTLNVEPSLLKLFRNLWFYIALFGLAPPIQKTQTPAKPVSSTLNSVGSMGTIALQAVGGPYMWNELWSAAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRQGSGNEKAAVSHRTALSAALGGRVDVGAMSTISGVKATYLLAVAFLEIIRFSSNGGILNGSTSLTASRSAFSCVFEYLKTPNLMPAVLQCLTAIVHRAFETAVSWLEDRITETGNEAVIRESTLFAHACFLINSMSQREERIRDIAANLLVQLRDRFPQVLWNSSCLDSLLFSVQNDTPTVVNDPAWEAAVRSLYQKVVREWIVISLSYAPCTTQGLLQEKLCKANTWQQARHKTDVVSLLSEIRIGTGKSDCWAGTRTANIPAVIAAAAAASGANLKLSEAFILEVLSTGIVSATVKCNYAGEIAGMRRLYNSIGGFQSDSPQTGLGGGLQRLISGAFYQPAETENDSFNEMLVSKFVHLLQQFVNIAEKGGEVDKSQFRETCSQATALLLSNLDSDRKANLEGFAKLLRLLCWCPAYISTPDAMETGVFIWSWLVSAAPQWGSLVLAELVDAWLWTIDTKRGLFASDVKCSGPAAKLRPHLAPGEPEALPDTNPVDQIIAHRLWLGFFIDRFEVVRHNSVEQLLLLGRMLQGTTKRLWNFSHHPAATGTFFTFMLLGLKFCSCQSQGNLQNFRAGLQLLEDRIYRASLGWFSYEPEWYDTNNINFAQSEAQSVSLFVHYLSSGRLDSLQSDSKGRATENGNSLVGANDHPVWGQMDNYIVGREKRKQLLLMLCQHEVDRLEVWAQPLSKEGTSSRPKISPDKWIEYARTAFSVDPRIAFSLASRFPTNTHLKAEIAQLVQSHILDIRCIPEALPYFVTPKAVDENSVLLQQLPHWAACSITQALEFLTPVYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDKGRLVEGYLLRAAQRSDLFSHILIWHLQGETCDLGKDASGKNSSFLELLPIVRQHIIDGFTPKALDVFRREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIQVQGDDLYLPTAPNKLVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDQNDIKPQACIFKVGDDCRQDVLALQVIALLRDIFTAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGPVGSPNFEAARRNFIISSAGYAVASLLLQPKDRHNGNLLFDDVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWDNFVRGDPIGNLRKRFHPEMSEREAANFMKNVCTDAYNKWTTAGYDLIQYLQQGIEK >KJB77592 pep chromosome:Graimondii2_0_v6:12:32036263:32052041:1 gene:B456_012G146100 transcript:KJB77592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMIELCDIIAKNPEKFSDKIAWICGRCPQSELLLGGSPRVSRSQLNAVLVVARLLSKCPHSTDNRPKSVMLEFIRAIPTSFHRSFWPQSYNNDSIASFFVDFLKYVSESADSSPDFGFEIAGLVGEVVMAAVSNHDTNSNDSAISRAFSLALSQNFPPILPSDADNLINYLVEQLAISVPESPRELIPGSSETSSSQSSPLSAKHFQGIEISSPANDSSRGSLMANGGGAMFRQQVASFEEEPVESMEKLEIAFKLIAHILHKVSIDQKLLEQVRFIAKKQLQSMSAFLKIRKRDWTEQGPLLKSRVNAKLSVNQAAVRMQIRSLLSLEADAKTSKKLVLETLALLIDAAEACIISVWRKLRVCEELFSTLLSGIVQIAVPRGGQPLRILLIRLKPLVLAACMQADTWGNSQGAMFESVLKTCCEIIESGWAKDRAPMDTFIMGLATSIRERNDYEEQVDKEKQVVPAVQLNVIRLLADLNVAISKPEVVDMILPLFIESLEEGDAMTPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLSKLSSVGSAESKTLAPEATTERVETLPAGFLLIASGLKSAKLRSDYRLRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAEICSDFDPTLNVEPSLLKLFRNLWFYIALFGLAPPIQKTQTPAKPVSSTLNSVGSMGTIALQAVGGPYMWNELWSAAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRQGSGNEKAAVSHRTALSAALGGRVDVGAMSTISGVKATYLLAVAFLEIIRFSSNGGILNGSTSLTASRSAFSCVFEYLKTPNLMPAVLQCLTAIVHRAFETAVSWLEDRITETGNEAVIRESTLFAHACFLINSMSQREERIRDIAANLLVQLRDRFPQVLWNSSCLDSLLFSVQNDTPTVVNDPAWEAAVRSLYQKVVREWIVISLSYAPCTTQGLLQEKLCKANTWQQARHKTDVVSLLSEIRIGTGKSDCWAGTRTANIPAVIAAAAAASGANLKLSEAFILEVLSTGIVSATVKCNYAGEIAGMRRLYNSIGGFQSDSPQTGLGGGLQRLISGAFYQPAETENDSFNEMLVSKFVHLLQQFVNIAEKGGEVDKSQFRETCSQATALLLSNLDSDRKANLEGFAKLLRLLCWCPAYISTPDAMETGVFIWSWLVSAAPQWGSLVLAELVDAWLWTIDTKRGLFASDVKCSGPAAKLRPHLAPGEPEALPDTNPVDQIIAHRLWLGFFIDRFEVVRHNSVEQLLLLGRMLQGTTKRLWNFSHHPAATGTFFTFMLLGLKFCSCQSQGNLQNFRAGLQLLEDRIYRASLGWFSYEPEWYDTNNINFAQSEAQSVSLFVHYLSSGRLDSLQSDSKGRATENGNSLVGANDHPVWGQMDNYIVGREKRKQLLLMLCQHEVDRLEVWAQPLSKEGTSSRPKISPDKWIEYARTAFSVDPRIAFSLASRFPTNTHLKAEIAQLVQSHILDIRCIPEALPYFVTPKAVDENSVLLQQLPHWAACSITQALEFLTPVYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDKGRLVEGYLLRAAQRSDLFSHILIWHLQGETCDLGKDASGKNSSFLELLPIVRQHIIDGFTPKALDVFRREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIQVQGDDLYLPTAPNKLVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDQNDIKPQACIFKVGDDCRQDVLALQVIALLRDIFTAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGPVGSPNFEAARRNFIISSAGYAVASLLLQPKDRHNGNLLFDDVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWDNFVSLCVKGYLAARRHMNGIINTVLLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMKNVCTDAYNKWTTAGYDLIQYLQQGIEK >KJB75217 pep chromosome:Graimondii2_0_v6:12:4090272:4091648:-1 gene:B456_012G033200 transcript:KJB75217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRNLFTCCSLLMAIMFGFSSSVQLNDPGYIKHVAKVALCLGVLLFIKVVVEGYVRKIAGLWSLDLAERVVREKTGSLLVIISMVLHLVCLSEALDLKQRKTRKIVGRGFVAYAFEFNSYTGMAGLVAFSYGLPFVFFVIQKGELSF >KJB75218 pep chromosome:Graimondii2_0_v6:12:4090272:4091648:-1 gene:B456_012G033200 transcript:KJB75218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRNLFTCCSLLMAIMFGFSSSVQLNDPDWYFWFPLYACACIVNLLNWRISAKGYIKHVAKVALCLGVLLFIKVVVEGYVRKIAGLWSLDLAERVVREKTGSLLVIISMVLHLVCLSEALDLKQRKTRKIVGRGFVAYGMAGLVAFSYGLPFVFFVIQKGELSF >KJB75216 pep chromosome:Graimondii2_0_v6:12:4090380:4091621:-1 gene:B456_012G033200 transcript:KJB75216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRNLFTCCSLLMAIMFGFSSSVQLNDPDWYFWFPLYACACIVNLLNWRISAKGYIKHVAKVALCLGVLLFIKVVVEGYVRKIAGLWSLDLAERVVREKTGSLLVIISMVLHLVCLSEALDLKQRKTRKIVGRGFVAYAFEFNSYTGMAGLVAFSYGLPFVFFVIQKGELSF >KJB76219 pep chromosome:Graimondii2_0_v6:12:12531800:12533867:1 gene:B456_012G078000 transcript:KJB76219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLSSVFGFNLKYVSRSCIGGASVLKANPSVSPFQLYGSGGLVHQKRRGLCLSVEDRDRLVANSSIKDSGDAEKLVSDDRISALNPPSLDNESRSPTSEPSNGSMVSSTPMQEASSPPNIQSTTKKVSLTAKERLRAARVLSRYAESKLSKSEMGSNVLDAMRESDKGKKRSRLPEAPTNLFDDSKRGMPKQGLTFQFPGGNDLLVIIFSVVFISTVMFTTTYIVWKVGAIHFNEY >KJB76220 pep chromosome:Graimondii2_0_v6:12:12531835:12533867:1 gene:B456_012G078000 transcript:KJB76220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLSSVFGFNLKQYVSRSCIGGASVLKANPSVSPFQLYGSGGLVHQKRRGLCLSVEDRDRLVANSSIKDSGDAEKLVSDDRISALNPPSLDNESRSPTSEPSNGSMVSSTPMQEASSPPNIQSTTKKVSLTAKERLRAARVLSRYAESKLSKSEMGSNVLDAMRESDKGKKRSRLPEAPTNLFDDSKRGMPKQGLTFQFPGGNDLLVIIFSVVFISTVMFTTTYIVWKVGAIHFNEY >KJB78007 pep chromosome:Graimondii2_0_v6:12:34205174:34207594:1 gene:B456_012G172200 transcript:KJB78007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHQNHHHHHLHLPCLHCHPQSYIRMVQYMIERCLLLHMNRQQCVKALAKYASIRPCITVTVWKELQKENRGFFEAYFHAISQYKPFMGYYIQREHRFQRKKRFWK >KJB78008 pep chromosome:Graimondii2_0_v6:12:34205198:34207456:1 gene:B456_012G172200 transcript:KJB78008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHQNHHHHHLHLPCLHCHPQSYIRMVQYMIERCLLLHMNRQQCVKALAKYASIRPCITVTVWKELQKENRGFFEAYFHAISQYKPFMGSRNVGHCFIDLIGH >KJB77027 pep chromosome:Graimondii2_0_v6:12:27283323:27285920:1 gene:B456_012G118800 transcript:KJB77027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLTVSMSNKWSRKKRHFPLLAVLFLVFLACSVTYIEFSIQQIHQSPDHVLHSQRQTSSFTYVQPNLPKGASDVLDGSSSCNSTRNYSGKKIRWVGTDAESNQLRSISQENSCDVFSGKWVFDNQSYPLYKESDCPYMSDQLACHKHGRSDLQYQHWRWQPHNCNLKRWDATEMWETLRGKRLMFVGDSLNRGQWISMVCLLQSVIPANKRSMTPNAQLTIFRAEEYNATVEFLWAPLLVESNSDDPVNHRLSERIIRPDSVLKHSAQWEHADILVFNSYLWWRQGPVKLQWSGEENGACEELDGLGAMELAMGAWADWVASKVIPLKKHVFFVTMSPTHFWKGEWEAGSKGNCYNETRPIDREGHWGSGSDLATMRMVDKMVSGLGSKVTVINITQLSEYRKDGHPSIYRKFWETLSPQQLGNPASYSDCIHWCLPGVPDVWNELLFHFL >KJB75359 pep chromosome:Graimondii2_0_v6:12:4777571:4783500:-1 gene:B456_012G038200 transcript:KJB75359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRMCLRFPWLSPPFISPSTSRPEVLRSLLTGHKFRQLFSSRRRIKFSQGSIKFLQTLCRISVPGVPVRETQPPSKFLKDKKVVPQADPPSAEDVNHLYQLIDQSTKLVVLTGAGISTECGIPDYRSPNGAYSSGFKPITHQEFVRSSRARRRYWARSYAGWRRFTAAQPGAAHVALASLEQAGRINFMITQNVDRLHHRAGSNPLELHGTVYSVICLDCGFSFCRNLFQDEVKALNPKWAAAIESLDYGNAGSDKSFGMKQRPDGDIEIDEKFWEEDFHIPTCHKCNGVLKPDVVFFGDNVPKERADKAKEVARECDAFLVLGSSVMTMSAFQLVRAAHEAGASTAIVNIGKTRADEFVSLKVNARLGEILPRVLNTGSLSIPAVH >KJB75360 pep chromosome:Graimondii2_0_v6:12:4776775:4783682:-1 gene:B456_012G038200 transcript:KJB75360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRMCLRFPWLSPPFISPSTSRPEVLRSLLTGHKFRQLFSSRRRIKFSQGSIKFLQTLCRISVPGVPVRETQPPSKFLKDKKVVPQADPPSAEDVNHLYQLIDQSTKLVVLTGAGISTECGIPDYRSPNGAYSSGFKPITHQEFVRSSRARRRYWARSYAGWRRFTAAQPGAAHVALASLEQAGRINFMITQNVDRLHHRAGSNPLELHGTVYSVICLDCGFSFCRNLFQDEVKALNPKWAAAIESLDYGNAGSDKSFGMKQRPDGDIEIDEKFWEEDFHIPTCHKCNGVLKPDVVFFGDNVPKERADKAKEVARECDAFLVLGSSVMTMSAFQLVRAAHEAGASTAIVNIDFAKSAQHRLS >KJB75361 pep chromosome:Graimondii2_0_v6:12:4776775:4783501:-1 gene:B456_012G038200 transcript:KJB75361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRMCLRFPWLSPPFISPSTSRPEVLRSLLTGHKFRQLFSSRRRIKFSQGSIKFLQTLCRISVPGVPVRETQPPSKFLKDKKVVPQADPPSAEDVNHLYQLIDQSTKLVVLTGAGISTECGIPDYRSPNGAYSSGFKPITHQEFVRSSRARRRYWARSYAGWRRFTAAQPGAAHVALASLEQAGRINFMITQNVDRLHHRAGSNPLELHGTVYSVICLDCGFSFCRNLFQDEVKALNPKWAAAIESLDYGNAGSDKSFGMKQRPDGDIEIDEKFWEEDFHIPTCHKCNGVLKPDVVFFGDNVPKERADKAKEVARECDAFLVLGSSVMTMSAFQLVRAAHEAGASTAIVNIGKTRADEFVSLKVNARLGEILPRVLNTGSLSIPAVH >KJB75362 pep chromosome:Graimondii2_0_v6:12:4777635:4783501:-1 gene:B456_012G038200 transcript:KJB75362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRMCLRFPWLSPPFISPSTSRPEVLRSLLTGHKFRQLFSSRRRIKFSQGSIKFLQTLCRISVPGVPVRETQPPSKFLKDKKVVPQADPPSAEDVNHLYQLIDQSTKLVVLTGAGISTECGIPDYRSPNGAYSSGFKPITHQEFVRSSRARRRYWARSYAGWRRFTAAQPGAAHVALASLEQAGRINFMITQNVDRLHHRAGSNPLELHGTVYSVICLDCGFSFCRNLFQDEVKALNPKWAAAIESLDYGNAGSDKSFGMKQRPDGDIEIDEKFWEEDFHIPTCHKCNGVLKPDVVFFGDNVPKERADKAKEVARECDAFLVLGSSVMTMSAFQLVRYIIWLISGVRQKYLVHYSIYLNMIYLIISCGCHVFKVCLQQAVLKFVTFSFRILM >KJB74509 pep chromosome:Graimondii2_0_v6:12:33472262:33476981:1 gene:B456_012G1630001 transcript:KJB74509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYMAGVGGVIASLLGFVFLYCSSLIRFRGLKKLNRAASMGFPKKNRVNKTGKSEGVGTADIIIVGAGVAGAALAYSLGKDGRRVRVIERDLNAPNRMAGESLIPGGYLKLIELGLEDCVDEIDAQRLLGYTLYKDGKEAQVSFPLEKFQSHVAGRTFHNGRFVQRLRKKVASLHNISLEQGTVTSLIEENGIVKGVQYKNESGQVLTAYASLTIVCDGCFSNLRRSLCYPKVDIPSYFVGFILTNCNLPTKNYGAIILAHPSPIVFSPISSTEIRCMVNVPSEKVPSVSNGEMACYLKTQVAPKVLPELYDSFISAIEKKGNIRIAPNKIMAAAPHLTPGALLIGDALNMRHAITGGGMTVALSDVVILRDLLRPLHDLSDASTISKYLESFYTLRKPMSSTINILANVLQKVFSASSNPAMENLQHTFLGYLRLGGMFSYGASAMLCGLCPSPLSLAFHFFSIAIYGVGRLLLPFPSPKRLWDGAKLLWVASSTLLPYIYSEGIRQMFFPLTVPAYYRTPPKKDPKRNFMH >KJB74510 pep chromosome:Graimondii2_0_v6:12:33472315:33476959:1 gene:B456_012G1630001 transcript:KJB74510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYMAGVGGVIASLLGFVFLYCSSLIRFRGLKKLNRAASMGFPKKNRVNKTGKSEGVGTADIIIVGAGVAGAALAYSLGKDGRRVRVIERDLNAPNRMAGESLIPGGYLKLIELGLEDCVDEIDAQRLLGYTLYKDGKEAQVSFPLEKFQSHVAGRTFHNGRFVQRLRKKVASLHNISLEQGTVTSLIEENGIVKGVQYKNESGQVLTAYASLTIVCDGCFSNLRRSLCYPKVDIPSYFVGFILTNCNLPTKNYGAIILAHPSPIVFSPISSTEIRCMVNVPSEKVPSVSNGEMACYLKTQVAPKVLPELYDSFISAIEKKGNIRIAPNKIMAAAPHLTPGALLIGDALNMRHAITGGGMTVALSDVVILRDLLRPLHDLSDASTISKYLESFYTLRKVASSTLLPYIYSEGIRQMFFPLTVPAYYRTPPKKDPKRNFMH >KJB74511 pep chromosome:Graimondii2_0_v6:12:33472359:33476975:1 gene:B456_012G1630001 transcript:KJB74511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYMAGVGGVIASLLGFVFLYCSSLIRFRGLKKLNRAASMGFPKKNRVNKTGKSEGVGTADIIIVGAGVAGAALAYSLGKDGRRVRVIERDLNAPNRMAGESLIPGGYLKLIELGLEDCVDEIDAQRLLGYTLYKDGKEAQVSFPLEKFQSHVAGRTFHNGRFVQRLRKKVASLHNISLEQGTVTSLIEENGIVKGVQYKNESGQVLTAYASLTIVCDGCFSNLRRSLCYPKVDIPSYFVGFILTNCNLPTKNYGAIILAHPSPIVFSPISSTEIRCMVNVPSEKVPSVSNGEMACYLKTQVAPKVLPELYDSFISAIEKKGNIRIAPNKIMAAAPHLTPGALLIGDALNMRHAITGGGMTVALSDVVILRDLLRPLHDLSDASTISKYLESFYTLRKVL >KJB74513 pep chromosome:Graimondii2_0_v6:12:33473978:33476959:1 gene:B456_012G1630001 transcript:KJB74513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGRRVRVIERDLNAPNRMAGESLIPGGYLKLIELGLEDCVDEIDAQRLLGYTLYKDGKEAQVSFPLEKFQSHVAGRTFHNGRFVQRLRKKVASLHNISLEQGTVTSLIEENGIVKGVQYKNESGQVLTAYASLTIVCDGCFSNLRRSLCYPKVDIPSYFVGFILTNCNLPTKNYGAIILAHPSPIVFSPISSTEIRCMVNVPSEKVPSVSNGEMACYLKTQVAPKVLPELYDSFISAIEKKGNIRIAPNKIMAAAPHLTPGALLIGDALNMRHAITGGGMTVALSDVVILRDLLRPLHDLSDASTISKYLESFYTLRKPMSSTINILANVLQKVFSASSNPAMENLQHTFLGYLRLGGMFSYGASAMLCGLCPSPLSLAFHFFSIAIYGVGRLLLPFPSPKRLWDGAKLLWVASSTLLPYIYSEGIRQMFFPLTVPAYYRTPPKKDPKRNFMH >KJB74512 pep chromosome:Graimondii2_0_v6:12:33473978:33476959:1 gene:B456_012G1630001 transcript:KJB74512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGRRVRVIERDLNAPNRMAGESLIPGGYLKLIELGLEDCVDEIDAQRLLGYTLYKDGKEAQVSFPLEKFQSHVAGRTFHNGRFVQRLRKKVASLHNISLEQGTVTSLIEENGIVKGVQYKNESGQVLTAYASLTIVCDGCFSNLRRSLCYPKVDIPSYFVGFILTNCNLPTKNYGAIILAHPSPIVFSPISSTEIRCMVNVPSEKVPSVSNGEMACYLKTQVAPKVLPELYDSFISAIEKKGNIRIAPNKIMAAAPHLTPGALLIGDALNMRHAITGGGMTVALSDVVILRDLLRPLHDLSDASTISKYLESFYTLRKVASSTLLPYIYSEGIRQMFFPLTVPAYYRTPPKKDPKRNFMH >KJB78213 pep chromosome:Graimondii2_0_v6:12:35129912:35134017:1 gene:B456_012G184000 transcript:KJB78213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSITHLRLSRKVAHREEKVTTESLTGFYYGNSLGSIMNKFQSDAMNTSSKMIPIGGYFVPPLHRILPENSSTTSPALIQPGNLSGSSLDSVAGFQHDMGFATEWSTEEQYILKDGLEKYKEEPNIMKYIKIAAVLPDKTVRDVALRCRWMQRKRRKPEELNAGKKVTNRKDKQVESTLTMNMPTALPQNMAASPFMMHHLDQIKRKPSEGISGTTIMHLLKQNAQVLSQIASNLSLYKLQDNIDLFCHARNNITAVLKDMADMPGLMSRMLPLPVSVNEEDLENSILPHATQVSYCELSRIRFP >KJB78209 pep chromosome:Graimondii2_0_v6:12:35130274:35133611:1 gene:B456_012G184000 transcript:KJB78209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFQSDAMNTSSKMIPIGGYFVPPLHRILPENSSTTSPALIQPGNLSGSSLDSVAGFQHDMGFATEWSTEEQYILKDGLEKYKEEPNIMKYIKIAAVLPDKTVRDVALRCRWMQRKRRKPEELNAGKKVTNRKDKQVESTLTMNMPTALPQNMAASPFMMHHLDQIKRKPSEGISGTTIMHLLKQNAQVLSQIASNLSLYKLQDNIDLFCHARNNITAVLKDMADMPGLMSRMLPLPVSVNEEDLENSILPHATQNNKENNNLILSPTIRNSTTCGTPDPVAHATFGQRSRWSGEGV >KJB78210 pep chromosome:Graimondii2_0_v6:12:35129912:35134017:1 gene:B456_012G184000 transcript:KJB78210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFQSDAMNTSSKMIPIGGYFVPPLHRILPENSSTTSPALIQPGNLSGSSLDSVAGFQHDMGFATEWSTEEQYILKDGLEKYKEEPNIMKYIKIAAVLPDKTVRDVALRCRWMQRKRRKPEELNAGKKVTNRKDKQVESTLTMNMPTALPQNMAASPFMMHHLDQIKRKPSEGISGTTIMHLLKQNAQVLSQIASNLSLYKLQDNIDLFCHARNNITAVLKDMADMPGLMSRMLPLPVSVNEEDLENSILPHATQNNKENNNLILSPTIRNSTTCGTPDPVAHATFGQRSRWSGEGV >KJB78215 pep chromosome:Graimondii2_0_v6:12:35129861:35134228:1 gene:B456_012G184000 transcript:KJB78215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSITHLRLSRKVAHREEKVTTESLTGFYYGNSLGSIMNKFQSDAMNTSSKMIPIGGYFVPPLHRILPENSSTTSPALIQPGNLSGSSLDSVAGFQHDMGFATEWSTEEQYILKDGLEKYKEEPNIMKYIKIAAVLPDKTVRDVALRCRWMQRKRRKPEELNAGKKVTNRKDKQVESTLTMNMPTALPQNMAASPFMMHHLDQIKRKPSEGISGTTIMHLLKQNAQVLSQIASNLSLYKLQDNIDLFCHARNNITAVLKDMADMPGLMSRMLPLPVSVNEEDLENSILPHATQDPVAHATFGQRSRWSGEGV >KJB78211 pep chromosome:Graimondii2_0_v6:12:35129861:35134039:1 gene:B456_012G184000 transcript:KJB78211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFQSDAMNTSSKMIPIGGYFVPPLHRILPENSSTTSPALIQPGNLSGSSLDSVAGFQHDMGFATEWSTEEQYILKDGLEKYKEEPNIMKYIKIAAVLPDKTVRDVALRCRWMQRKRRKPEELNAGKKVTNRKDKQVESTLTMNMPTALPQNMAASPFMMHHLDQIKRKPSEGISGTTIMHLLKQNAQVLSQIASNLSLYKLQDNIDLFCHARNNITAVLKDMADMPGLMSRMLPLPVSVNEEDLENSILPHATQNNKENNNLILSPTIRNSTTCGTPDPVAHATFGQRSRWSGEGV >KJB78214 pep chromosome:Graimondii2_0_v6:12:35129912:35134017:1 gene:B456_012G184000 transcript:KJB78214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSITHLRLSRKVAHREEKVTTESLTGFYYGNSLGSIMNKFQSDAMNTSSKMIPIGGYFVPPLHRILPENSSTTSPALIQPGNLSGSSLDSVAGFQHDMGFATEWSTEEQYILKDGLEKYKEEPNIMKYIKIAAVLPDKTVRDVALRCRWMQRKRRKPEELNAGKKVTNRKDKQVESTLTMNMPTALPQNMAASPFMMHHLDQIKRKPSEGISGTTIMHLLKQNAQVLSQIASNLSLYKLQDNIDLFCHARNNITAVLKEYVPMWIFFIIIDW >KJB78212 pep chromosome:Graimondii2_0_v6:12:35129861:35136003:1 gene:B456_012G184000 transcript:KJB78212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSITHLRLSRKVAHREEKVTTESLTGFYYGNSLGSIMNKFQSDAMNTSSKMIPIGGYFVPPLHRILPENSSTTSPALIQPGNLSGSSLDSVAGFQHDMGFATEWSTEEQYILKDGLEKYKEEPNIMKYIKIAAVLPDKTVRDVALRCRWMQRKRRKPEELNAGKKVTNRKDKQVESTLTMNMPTALPQNMAASPFMMHHLDQIKRKPSEGISGTTIMHLLKQNAQVLSQIASNLSLYKLQDNIDLFCHARNNITAVLKDMADMPGLMSRMLPLPVSVNEEDLENSILPHATQNNKENNNLILSPTIRNSTTCGTPDPVAHATFGQRSRWSGEGV >KJB77709 pep chromosome:Graimondii2_0_v6:12:32650747:32651611:1 gene:B456_012G152300 transcript:KJB77709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFLPFFAFLSLLLFVDTIAAARKDAGEYWGAVMKDQPMPEALQELVRIEAAVANPDEKTKCHTSGNIELKEEKIIVNEEFEPRPNISAYGDDANLKGDKSSYFAEDFEPRPNISAYGGDDAGLKGQKESFTKDFDPQPNISAYNN >KJB77330 pep chromosome:Graimondii2_0_v6:12:30075806:30077888:-1 gene:B456_012G131800 transcript:KJB77330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFLNFKISMVAASRCFNILLRCKTTFIFNSSLVRGLGNRVSIPHYRPHNYFTCVHLFCPIVSKIVGNYNSLATQAARDDEGMADEFLNQILATYRNAPNSSKERCNAYVHKLCKAGNLSTAIRLLQSLRDNNIFIPNAYNTLLAAAAERNQIDLSFRIFKDLLVFHGPLTLTCYLNLARACADTNDCTALIRYVKQVSDLAFPNNMIVINRIIFAFGECRQIEKALLVFNQIKGFGCKPNVITYNTILDILGRAGRVDEMVLEFTSMKEAGFVPDFITYNTLLNNLRRVGRLDMCLVFFREMDDSGVEPDLLTYREMIEAFGRSGNTGEALRLFNDMKQRKIRPSIYIYRSLVDILKKAGKVDLAMSISQEMNSSTPDLFGSKDFKRKRR >KJB77329 pep chromosome:Graimondii2_0_v6:12:30076431:30077725:-1 gene:B456_012G131800 transcript:KJB77329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFLNFKISMVAASRCFNILLRCKTTFIFNSSLVRGLGNRVSIPHYRPHNYFTCVHLFCPIVSKIVGNYNSLATQAARDDEGMADEFLNQILATYRNAPNSSKERCNAYVHKLCKAGNLSTAIRLLQSLRDNNIFIPNAYNTLLAAAAERNQIDLSFRIFKDLLVFHGPLTLTCYLNLARACADTNDCTALIRYVKQVSDLAFPNNMIVINRIIFAFGECRQIEKALLVFNQIKGFGCKPNVITYNTILDILGRAGRVDEMVLEFTSMKEAGFVPDFITYNTLLNNLRRVGRLDMCLVFFREMDDSGVEPDLLTYREMIEAFGRSGNTGEALRLFNDMKQRKIRPSIYIYRSLVDILKKAGKVDLAMSISQEMNSSTPDLFGSKDFKRKRR >KJB75997 pep chromosome:Graimondii2_0_v6:12:9575197:9582045:-1 gene:B456_012G066900 transcript:KJB75997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSGKEEMGVKPSVGQFSEYTAGIPIKKRRFPFILSTENELEQKGFSSPVQGSTVSNDGIVAVRSTPSGVLVSSSTSDALASSNTSGVVASLNNSGILGSSKTSVINADGVTASLNAGSFATSSNALGVAMSSTAGSAAACFNAGSVASSSKASSAAVSSTSVRLAGSLNAGSVAESSYPSGVVMSSSAISVAAGSIASRAVENPNASSVGGSGLSFPDASEKSAHEKEKRSSDDTNVSMVQGNTNLLRVKLEEQSFAIQSRSLADISCKGKLVATGTSDNIMRKLAKSELDLVGNDSLTFSVGKDVYSQKSVDGKFGSQLPLVSGSPGLSLGLREYPSAMASGNNEQRFRNQEKTEPVSLNLSLSKGEGTTQPRSTAVQPNTKGSNMLADRTNWDLNTTMDYWEGPASDDGARKMATQMYDIKPVICSAGMTVASMPTQLQIPEEIENRAKIKMSSIVSSQQYSAEDSLRLGLTTPYLHLNPNEKPAGSSGKIVSGHVVANVSSPGEPVPASKPTMVNYKPVKSEPLDERVRSDSGVTKAKPTGLLNITQVKSEIIEKCSLERLKSSTISTLKSVDASSIKPEPVCESNKETPQRMEGPMNQSDEQMLAVPTSTDSSLHGVTTHGEHFMQAKETEASVEAQVASKMISSAGVTTHAEHFIQAKETEPSGEGQVASQMISSADVTTHAEHFMQAKETEPSGEGLVASEMISSVDHDDNESNIAGKLDNSTSQSKMVEDSDHCKLKFMDVQLPDSRGSVEGSASDEEKINLSGDVLEEDSYGSDYESDDKRELATAMDIEHDRRGEEEFEDGEVREPVVNTEIEVLICEMQEAGNGNDGGNNPLSSSFREKETLIKDPGITSNDTNTNECTDTSVNKDSATEANKEACLQESSAVEMPSSQMDGKRHIKAIPRKSLDASEKDTVKGQEGELASIQFSDTSQGTSVTISQGTDDAKKTDSEGKGNSVLPKGEAFSSGDDAGKDVDNGGNRSRIINLSRASNLSSPGRTRSISGRTLQSQIGRERLPDVALEGDKFHHRGRDEAYADSLHRFPRERHHVQPSRNNRISFMRGRDPNFSSYNNGQDGAYFGTGRGGRKILNDDPPIFSQLPPRRRSPGGRDGPAGRGLPMVRRVPRNLSPSRCIAEDGSELVGLRHMRGFADDHTDPMFARCQPSFEGLDGPFVRGNREFTSVQRRGIPRTRSKSPTRQRTRSPGPWSSLRRRSPDGFGGPLELPHRRSPPLYRMERIRSPDRPCFAGEMGVRRHGSPPYLSRPSNDLRDLDPSRDHGHPRSGISNRSPSGRILLRNSRRLDLVDPRERNEGDDYFGGPMPSGRFHDLGTDGNPDERRRYGDRRGPVRPFRSPYSVADSENFHLNAEGGPRSFRFCPEDDPELHERGNMREREFDRRIKNRPGNAPRRTRNMEEQEGNFRHGGQVWHDDGFDDMSQAKRKRF >KJB75996 pep chromosome:Graimondii2_0_v6:12:9575197:9582045:-1 gene:B456_012G066900 transcript:KJB75996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSGKEEMGVKPSVGQFSEYTAGIPIKKRRFPFILSTENELEQKGFSSPVQGSTVSNDGIVAVRSTPSGVLVSSSTSDALASSNTSGVVASLNNSGILGSSKTSVINADGVTASLNAGSFATSSNALGVAMSSTAGSAAACFNAGSVASSSKASSAAVSSTSVRLAGSLNAGSVAESSYPSGVVMSSSAISVAAGSIASRAVENPNASSVGGSGLSFPDASEKSAHEKEKRSSDDTNVSMVQGNTNLLRVKLEEQSFAIQSRSLADISCKGKLVATGTSDNIMRKLAKSELDLVGNDSLTFSVGKDVYSQKSVDGKFGSQLPLVSGSPGLSLGLREYPSAMASGNNEQRFRNQEKTEPVSLNLSLSKGEGTTQPRSTAVQPNTKGSNMLADRTNWDLNTTMDYWEGPASDDGARKMATQMYDIKPVICSAGMTVASMPTQLQIPEEIENRAKIKMSSIVSSQQYSAEDSLRLGLTTPYLHLNPNEKPAGSSGKIVSGHVVANVSSPGEPVPASKPTMVNYKPVKSEPLDERVRSDSGVTKAKPTGLLNITQVKSEIIEKCSLERLKSSTISTLKSVDASSIKPEPVCESNKETPQRMEGPMNQSDEQMLAVPTSTDSSLHGVTTHGEHFMQAKETEASVEAQVASKMISSAGVTTHAEHFIQAKETEPSGEGQVASQMISSADVTTHAEHFMQAKETEPSGEGLVASEMISSVDHDDNESNIAGKLDNSTSQSKMVEDSDHCKLKFMDVQLPDSRGSVEGSASDEEKINLSGDVLEEDSYGSDYESDDKRELATAMDIEHDRRGEEEFEDGEVREPVVNTEIEVLICEMQEAGNGNDGGNNPLSSSFREKETLIKDPGITSNDTNTNECTDTSVNKDSATEANKEACLQESSAVEMPSSQMDGKRHIKAIPRKSLDASEKDTVKGQEGELASIQFSDTSQGTSVTISQGTDDAKKTDSEGKGNSVLPKGEAFSSGDDAGKDVDNGGNRSRIINLSRASNLSSPGRTRSISGRTLQSQIGRERLPDVALEGDKFHHRGRDEAYADSLHRFPRERHHVQPSRNNRISFMRGRGRISSRIDTLRGDQDSECNFASEFYNGPTEYRVVRHKNASAVSDADPNFSSYNNGQDGAYFGTGRGGRKILNDDPPIFSQLPPRRRSPGGRDGPAGRGLPMVRRVPRNLSPSRCIAEDGSELVGLRHMRGFADDHTDPMFARCQPSFEGLDGPFVRGNREFTSVQRRGIPRTRSKSPTRQRTRSPGPWSSLRRRSPDGFGGPLELPHRRSPPLYRMERIRSPDRPCFAGEMGVRRHGSPPYLSRPSNDLRDLDPSRDHGHPRSGISNRSPSGRILLRNSRRLDLVDPRERNEGDDYFGGPMPSGRFHDLGTDGNPDERRRYGDRRGPVRPFRSPYSVADSENFHLNAEGGPRSFRFCPEDDPELHERGNMREREFDRRIKNRPGNAPRRTRNMEEQEGNFRHGGQVWHDDGFDDMSQAKRKRF >KJB75995 pep chromosome:Graimondii2_0_v6:12:9575197:9581448:-1 gene:B456_012G066900 transcript:KJB75995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSGKEEMGVKPSVGQFSEYTAGIPIKKRRFPFILSTENELEQKGFSSPVQGSTVSNDGIVAVRSTPSGVLVSSSTSDALASSNTSGVVASLNNSGILGSSKTSVINADGVTASLNAGSFATSSNALGVAMSSTAGSAAACFNAGSVASSSKASSAAVSSTSVRLAGSLNAGSVAESSYPSGVVMSSSAISVAAGSIASRAVENPNASSVGGSGLSFPDASEKSAHEKEKRSSDDTNVSMVQGNTNLLRVKLEEQSFAIQSRSLADISCKGKLVATGTSDNIMRKLAKSELDLVGNDSLTFSVGKDVYSQKSVDGKFGSQLPLVSGSPGLSLGLREYPSAMASGNNEQRFRNQEKTEPVSLNLSLSKGEGTTQPRSTAVQPNTKGSNMLADRTNWDLNTTMDYWEGPASDDGARKMATQMYDIKPVICSAGMTVASMPTQLQIPEEIENRAKIKMSSIVSSQQYSAEDSLRLGLTTPYLHLNPNEKPAGSSGKIVSGHVVANVSSPGEPVPASKPTMVNYKPVKSEPLDERVRSDSGVTKAKPTGLLNITQVKSEIIEKCSLERLKSSTISTLKSVDASSIKPEPVCESNKETPQRMEGPMNQSDEQMLAVPTSTDSSLHGVTTHGEHFMQAKETEASVEAQVASKMISSAGVTTHAEHFIQAKETEPSGEGQVASQMISSADVTTHAEHFMQAKETEPSGEGLVASEMISSVDHDDNESNIAGKLDNSTSQSKMVEDSDHCKLKFMDVQLPDSRGSVEGSASDEEKINLSGDVLEEDSYGSDYESDDKRELATAMDIEHDRRGEEEFEDGEVREPVVNTEIEVLICEMQEAGNGNDGGNNPLSSSFREKETLIKDPGITSNDTNTNECTDTSVNKDSATEANKEACLQESSAVEMPSSQMDGKRHIKAIPRKSLDASEKDTVKGQEGELASIQFSDTSQGTSVTISQGTDDAKKTDSEGKGNSVLPKGEAFSSGDDAGKDVDNGGNRSRIINLSRASNLSSPGRTRSISGRTLQSQIGRERLPDVALEGDKFHHRGR >KJB75998 pep chromosome:Graimondii2_0_v6:12:9575197:9582067:-1 gene:B456_012G066900 transcript:KJB75998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSGKEEMGVKPSVGQFSEYTAGIPIKKRRFPFILSTENELEQKGFSSPVQGSTVSNDGIVAVRSTPSGVLVSSSTSDALASSNTSGVVASLNNSGILGSSKTSVINADGVTASLNAGSFATSSNALGVAMSSTAGSAAACFNAGSVASSSKASSAAVSSTSVRLAGSLNAGSVAESSYPSGVVMSSSAISVAAGSIASRAVENPNASSVGGSGLSFPDASEKSAHEKEKRSSDDTNVSMVQGNTNLLRVKLEEQSFAIQSRSLADISCKGKLVATGTSDNIMRKLAKSELDLVGNDSLTFSVGKDVYSQKSVDGKFGSQLPLVSGSPGLSLGLREYPSAMASGNNEQRFRNQEKTEPVSLNLSLSKGEGTTQPRSTAVQPNTKGSNMLADRTNWDLNTTMDYWEGPASDDGARKMATQMYDIKPVICSAGMTVASMPTQLQIPEEIENRAKIKMSSIVSSQQYSAEDSLRLGLTTPYLHLNPNEKPAGSSGKIVSGHVVANVSSPGEPVPASKPTMVNYKPVKSEPLDERVRSDSGVTKAKPTGLLNITQVKSEIIEKCSLERLKSSTISTLKSVDASSIKPEPVCESNKETPQRMEGPMNQSDEQMLAVPTSTDSSLHGVTTHGEHFMQAKETEASVEAQVASKMISSAGVTTHAEHFIQAKETEPSGEGQVASQMISSADVTTHAEHFMQAKETEPSGEGLVASEMISSVDHDDNESNIAGKLDNSTSQSKMVEDSDHCKLKFMDVQLPDSRGSVEGSASDEEKINLSGDVLEEDSYGSDYESDDKRELATAMDIEHDRRGEEEFEDGEVREPVVNTEIEVLICEMQEAGNGNDGGNNPLSSSFREKETLIKDPGITSNDTNTNECTDTSVNKDSATEANKEACLQESSAVEMPSSQMDGKRHIKAIPRKSLDASEKDTVKGQEGELASIQFSDTSQGTSVTISQGTDDAKKTDSEGKGNSVLPKGEAFSSGDDAGKDVDNGGNRSRIINLSRASNLSSPGRTRSISGRTLQSQIGRERLPDVALEGDKFHHRGRDEAYADSLHRFPRERHHVQPSRNNRISFMRGRDPNFSSYNNGQDGAYFGTGRGGRKILNDDPPIFSQLPPRRRSPGGRDGPAGRGLPMVRRVPRNLSPSRCIAEDGSELVGLRHMRGFADDHTDPMFARCQPSFEGLDGPFVRGNREFTSVQRRGIPRTRSKSPTRQRTRSPGPWSSLRRRSPDGFGGPLELPHRRSPPLYRMERIRSPDRPCFAGEMGVRRHGSPPYLSRPSNDLRDLDPSRDHGHPRSGISNRSPSGRILLRNSRRLDLVDPRERNEGDDYFGGPMPSGRFHDLGTDGNPDERRRYGDRRGPVRPFRSPYSVADSENFHLNAEGGPRSFRFCPEDDPELHERGNMREREFDRRIKNRPGNAPRRTRNMEEQEGNFRHGGQVWHDDGFDDMSQAKRKRF >KJB77901 pep chromosome:Graimondii2_0_v6:12:33569217:33570140:1 gene:B456_012G164500 transcript:KJB77901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQEQLHFALAFTKTIAILVVTILVKGKKRQKRKPPEPDGALPFIGHLHLFGNNQLLHRIFADMANKHGPAFLIRLEIHRALVVNNWKVAKECFTTNDKVFPTRPKSIAIKYMGYDYKMLGFAPYGPYWCNMRKLAMVELLSSRRLELLKHVRDNEIGSFIKELYKQSVKNGGVALLEMKERIGDLATNIIVRMVAGKIYHGTSEESRRFQKALSDFFYLAGLFLVSDTIPFLGWLDVVMGNIGKIKRTTKELDFAIGSWVNEHRERRLDKGIEGNQDFIDVMLSIMDENNVPVTPLTRIQLRNRV >KJB77692 pep chromosome:Graimondii2_0_v6:12:32518409:32524125:-1 gene:B456_012G151500 transcript:KJB77692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVFSAVRSFSLFAFLFLFLSPALASESDHKYQSDDPVTLWVNKVGPYNNPQETYNYYSLPFCHPGTNPSHKWGGLGEVLGGNELIDSQIDIKFQKNVDKSTICQLELDEAKVRQFKDAIENSYWFEFFMDDLPLWGFVGELHPDKNSDNGKHVLYTHKNIVIKYNKDQIIHVNLTQESPKPLEAGRVLDLTYSVKWLQTNVTFARRFDVYLDYPFFEHQIHWFSVFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRYLALLSAVVGTGAQLAMLVLLVILLAIVGTLYVGRGAIVTTFILCYAFTSFISGYVSGGMYSRNGGKNWIKAMILTASLFPFMCFGIGFILNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSMMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAIYVYLYSVYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >KJB77693 pep chromosome:Graimondii2_0_v6:12:32518492:32524031:-1 gene:B456_012G151500 transcript:KJB77693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVFSAVRSFSLFAFLFLFLSPALASESDHKYQSDDPVTLWVNKVGPYNNPQETYNYYSLPFCHPGTNPSHKWGGLGEVLGGNELIDSQIDIKFQKNVDKSTICQLELDEAKVRQFKDAIENSYWFEFFMDDLPLWGFVGELHPDKNSDNGKHVLYTHKNIVIKYNKDQIIHVNLTQESPKPLEAGRVLDLTYSVKWLQTNVTFARRFDVYLDYPFFEHQIHWFSVFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRYLALLSAVVGTGAQLAMLVLLVILLAIVGTLYVGRGAIVTTFILCYAFTSFISGYVSGGMYSRNGGKNWIKAMILTASLFPFMCFGIGFILNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSMMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAIYVYLYSVYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGIL >KJB75581 pep chromosome:Graimondii2_0_v6:12:5923858:5936914:-1 gene:B456_012G047200 transcript:KJB75581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVAGEGKDGEREKQRYSENKVYTRKAFKGSKKNSLLNSTVNSSNPNPNHNNNNNNNTSNNHKNNVDRDAENDTDVTITAVPNTNDHHNNNKNDNNVDRAAVNNTDVIATVVPNTNGNSNHPVEIPAQPLPLEFGNSAHQQPGPRVDTAASDDCSSFNKQVAMTGDLKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEAQIRGFSNAPVPLNNAVDYGFNGVQPELAPVGISQEPVKKSRPLNQLNISALENSQGVNENLEKEKRTPKANQFYCNSEFLLAKDKFPPAESNKKLKLNGKKQGGGDLTHGFGMGNKFFKSCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLGKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVSLRVPTPRKAHSLLPLPLDTRILDRSESMIRPIDPRPKLIATTPLGRTPAPKKPKAKDPYKRDMTYEEKQKLSTKLQSLPSEKLDNIVQIIKRRNSAVLQHDNEIEVDIDSVDTETLWELDRFVTNYKKSLSKSKRKAELSIQARAEAVQIVPEKLQTVAPVLVEVPKETTTNEQNVSRLLVEEKPGDAASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75584 pep chromosome:Graimondii2_0_v6:12:5933946:5936261:-1 gene:B456_012G047200 transcript:KJB75584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVAGEGKDGEREKQRYSENKVYTRKAFKGSKKNSLLNSTVNSSNPNPNHNNNNNNNTSNNHKNNVDRDAENDTDVTITAVPNTNDHHNNNKNDNNVDRAAVNNTDVIATVVPNTNGNSNHPVEIPAQPLPLEFGNSAHQQPGPRVDTAASDDCSSFNKQVAMTGDLKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEAQIRGFSNAPVPLNNAVDYGFNGVQPELAPVGISQEPVKKSRPLNQLNISALENSQGVNENLEKEKRTPKANQFYCNSEFLLAKDKFPPAESNKKLKLNGKKQGGGDLTHGFGMGNKFFKSCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLGKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVSLRVPTPRKAHSLLPLPLDTRILDRSESMIRPIDPRPKLIATTPLGRTPAPKKPKAKDPYKRDMTYEEKQKLSTKLQSLPSEKLDNIVQIIKRRNSAVLQHDNEIEVDIDSVDTETLWELDRFVTNYKKSLSKSKRKAELSIQARAEAVQIVPEKVKLQTVAPVLVEVPKETTTNEQNVSRLLVEEKPGDAASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75578 pep chromosome:Graimondii2_0_v6:12:5931811:5936914:-1 gene:B456_012G047200 transcript:KJB75578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVAGEGKDGEREKQRYSENKVYTRKAFKGSKKNSLLNSTVNSSNPNPNHNNNNNNNTSNNHKNNVDRDAENDTDVTITAVPNTNDHHNNNKNDNNVDRAAVNNTDVIATVVPNTNGNSNHPVEIPAQPLPLEFGNSAHQQPGPRVDTAASDDCSSFNKQVAMTGDLKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEAQIRGFSNAPVPLNNAVDYGFNGVQPELAPVGISQEPVKKSRPLNQLNISALENSQGVNENLEKEKRTPKANQFYCNSEFLLAKDKFPPAESNKKLKLNGKKQGGGDLTHGFGMGNKFFKSCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLGKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVSLRVPTPRKAHSLLPLPLDTRILDRSESMIRPIDPRPKLIATTPLGRTPAPKKPKAKDPYKRDMTYEEKQKLSTKLQSLPSEKLDNIVQIIKRRNSAVLQHDNEIEVDIDSVDTETLWELDRFVTNYKKSLSKSKRKAELSIQARAEAVQIVPEKVKLQTVAPVLVEVPKETTTNEQNVSRLLVEEKPGDAASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75575 pep chromosome:Graimondii2_0_v6:12:5931817:5936914:-1 gene:B456_012G047200 transcript:KJB75575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVAGEGKDGEREKQRYSENKVYTRKAFKGSKKNSLLNSTVNSSNPNPNHNNNNNNNTSNNHKNNVDRDAENDTDVTITAVPNTNDHHNNNKNDNNVDRAAVNNTDVIATVVPNTNGNSNHPVEIPAQPLPLEFGNSAHQQPGPRVDTAASDDCSSFNKQVAMTGDLKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEAQIRGFSNAPVPLNNAVDYGFNGVQPELAPVGISQEPVKKSRPLNQLNISALENSQGVNENLEKEKRTPKANQFYCNSEFLLAKDKFPPAESNKKLKLNGKKQGGGDLTHGFGMGNKFFKSCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLGKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVSLRVPTPRKAHSLLPLPLDTRILDRSESMIRPIDPRPKLIATTPLGRTPAPKKPKAKDPYKRDMTYEEKQKLSTKLQSLPSEKLDNIVQIIKRRNSAVLQHDNEIEVDIDSVDTETLWELDRFVTNYKKSLSKSKRKAELSIQARAEAVQIVPEKLQTVAPVLVEVPKETTTNEQNVSRLLVEEKPGDAASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75585 pep chromosome:Graimondii2_0_v6:12:5933946:5936261:-1 gene:B456_012G047200 transcript:KJB75585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVAGEGKDGEREKQRYSENKVYTRKAFKGSKKNSLLNSTVNSSNPNPNHNNNNNNNTSNNHKNNVDRDAENDTDVTITAVPNTNDHHNNNKNDNNVDRAAVNNTDVIATVVPNTNGNSNHPVEIPAQPLPLEFGNSAHQQPGPRVDTAASDDCSSFNKQVAMTGDLKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEAQIRGFSNAPVPLNNAVDYGFNGVQPELAPVGISQEPVKKSRPLNQLNISALENSQGVNENLEKEKRTPKANQFYCNSEFLLAKDKFPPAESNKKLKLNGKKQGGGDLTHGFGMGNKFFKSCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLGKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVSLRVPTPRKAHSLLPLPLDTRILDRSESMIRPIDPRPKLIATTPLGRTPAPKKPKAKDPYKRDMTYEEKQKLSTKLQSLPSEKLDNIVQIIKRRNSAVLQHDNEIEVDIDSVDTETLWELDRFVTNYKKSLSKSKRKAELSIQARAEAVQIVPEKLQTVAPVLVEVPKETTTNEQNVSRLLVEEKPGDAASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75580 pep chromosome:Graimondii2_0_v6:12:5931817:5936914:-1 gene:B456_012G047200 transcript:KJB75580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVAGEGKDGEREKQRYSENKVYTRKAFKGSKKNSLLNSTVNSSNPNPNHNNNNNNNTSNNHKNNVDRDAENDTDVTITAVPNTNDHHNNNKNDNNVDRAAVNNTDVIATVVPNTNGNSNHPVEIPAQPLPLEFGNSAHQQPGPRVDTAASDDCSSFNKQVAMTGDLKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEAQIRGFSNAPVPLNNAVDYGFNGVQPELAPVGISQEPVKKSRPLNQLNISALENSQGVNENLEKEKRTPKANQFYCNSEFLLAKDKFPPAESNKKLKLNGKKQGGGDLTHGFGMGNKFFKSCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLGKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVSLRVPTPRKAHSLLPLPLDTRILDRSESMIRPIDPRPKLIATTPLGRTPAPKKPKAKDPYKRDMTYEEKQKLSTKLQSLPSEKLDNIVQIIKRRNSAVLQHDNEIEVDIDSVDTETLWELDRFVTNYKKSLSKSKRKAELSIQARAEAVQIVPEKLQTVAPVLVEVPKETTTNEQNVSRLLVEEKPGDAASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75586 pep chromosome:Graimondii2_0_v6:12:5933946:5936261:-1 gene:B456_012G047200 transcript:KJB75586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVAGEGKDGEREKQRYSENKVYTRKAFKGSKKNSLLNSTVNSSNPNPNHNNNNNNNTSNNHKNNVDRDAENDTDVTITAVPNTNDHHNNNKNDNNVDRAAVNNTDVIATVVPNTNGNSNHPVEIPAQPLPLEFGNSAHQQPGPRVDTAASDDCSSFNKQVAMTGDLKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEAQIRGFSNAPVPLNNAVDYGFNGVQPELAPVGISQEPVKKSRPLNQLNISALENSQGVNENLEKEKRTPKANQFYCNSEFLLAKDKFPPAESNKKLKLNGKKQGGGDLTHGFGMGNKFFKSCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLGKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVSLRVPTPRKAHSLLPLPLDTRILDRSESMIRPIDPRPKLIATTPLGRTPAPKKPKAKDPYKRDMTYEEKQKLSTKLQSLPSEKLDNIVQIIKRRNSAVLQHDNEIEVDIDSVDTETLWELDRFVTNYKKSLSKSKRKAELSIQARAEAVQIVPEKLQTVAPVLVEVPKETTTNEQNVSRLLVEEKPGDAASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75579 pep chromosome:Graimondii2_0_v6:12:5933946:5936261:-1 gene:B456_012G047200 transcript:KJB75579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVAGEGKDGEREKQRYSENKVYTRKAFKGSKKNSLLNSTVNSSNPNPNHNNNNNNNTSNNHKNNVDRDAENDTDVTITAVPNTNDHHNNNKNDNNVDRAAVNNTDVIATVVPNTNGNSNHPVEIPAQPLPLEFGNSAHQQPGPRVDTAASDDCSSFNKQVAMTGDLKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEAQIRGFSNAPVPLNNAVDYGFNGVQPELAPVGISQEPVKKSRPLNQLNISALENSQGVNENLEKEKRTPKANQFYCNSEFLLAKDKFPPAESNKKLKLNGKKQGGGDLTHGFGMGNKFFKSCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLGKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVSLRVPTPRKAHSLLPLPLDTRILDRSESMIRPIDPRPKLIATTPLGRTPAPKKPKAKDPYKRDMTYEEKQKLSTKLQSLPSEKLDNIVQIIKRRNSAVLQHDNEIEVDIDSVDTETLWELDRFVTNYKKSLSKSKRKAELSIQARAEAVQIVPEKLQTVAPVLVEVPKETTTNEQNVSRLLVEEKPGDAASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75576 pep chromosome:Graimondii2_0_v6:12:5933946:5936261:-1 gene:B456_012G047200 transcript:KJB75576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVAGEGKDGEREKQRYSENKVYTRKAFKGSKKNSLLNSTVNSSNPNPNHNNNNNNNTSNNHKNNVDRDAENDTDVTITAVPNTNDHHNNNKNDNNVDRAAVNNTDVIATVVPNTNGNSNHPVEIPAQPLPLEFGNSAHQQPGPRVDTAASDDCSSFNKQVAMTGDLKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEAQIRGFSNAPVPLNNAVDYGFNGVQPELAPVGISQEPVKKSRPLNQLNISALENSQGVNENLEKEKRTPKANQFYCNSEFLLAKDKFPPAESNKKLKLNGKKQGGGDLTHGFGMGNKFFKSCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLGKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVSLRVPTPRKAHSLLPLPLDTRILDRSESMIRPIDPRPKLIATTPLGRTPAPKKPKAKDPYKRDMTYEEKQKLSTKLQSLPSEKLDNIVQIIKRRNSAVLQHDNEIEVDIDSVDTETLWELDRFVTNYKKSLSKSKRKAELSIQARAEAVQIVPEKVKLQTVAPVLVEVPKETTTNEQNVSRLLVEEKPGDAASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75582 pep chromosome:Graimondii2_0_v6:12:5931820:5936788:-1 gene:B456_012G047200 transcript:KJB75582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVAGEGKDGEREKQRYSENKVYTRKAFKGSKKNSLLNSTVNSSNPNPNHNNNNNNNTSNNHKNNVDRDAENDTDVTITAVPNTNDHHNNNKNDNNVDRAAVNNTDVIATVVPNTNGNSNHPVEIPAQPLPLEFGNSAHQQPGPRVDTAASDDCSSFNKQVAMTGDLKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEAQIRGFSNAPVPLNNAVDYGFNGVQPELAPVGISQEPVKKSRPLNQLNISALENSQGVNENLEKEKRTPKANQFYCNSEFLLAKDKFPPAESNKKLKLNGKKQGGGDLTHGFGMGNKFFKSCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLGKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVSLRVPTPRKAHSLLPLPLDTRILDRSESMIRPIDPRPKLIATTPLGRTPAPKKPKAKDPYKRDMTYEEKQKLSTKLQSLPSEKLDNIVQIIKRRNSAVLQHDNEIEVDIDSVDTETLWELDRFVTNYKKSLSKSKRKAELSIQARAEAVQIVPEKLQTVAPVLVEVPKETTTNEQNVSRLLVEEKPGDAASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75583 pep chromosome:Graimondii2_0_v6:12:5933625:5936788:-1 gene:B456_012G047200 transcript:KJB75583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVAGEGKDGEREKQRYSENKVYTRKAFKGSKKNSLLNSTVNSSNPNPNHNNNNNNNTSNNHKNNVDRDAENDTDVTITAVPNTNDHHNNNKNDNNVDRAAVNNTDVIATVVPNTNGNSNHPVEIPAQPLPLEFGNSAHQQPGPRVDTAASDDCSSFNKQVAMTGDLKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEAQIRGFSNAPVPLNNAVDYGFNGVQPELAPVGISQEPVKKSRPLNQLNISALENSQGVNENLEKEKRTPKANQFYCNSEFLLAKDKFPPAESNKKLKLNGKKQGGGDLTHGFGMGNKFFKSCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLGKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVSLRVPTPRKAHSLLPLPLDTRILDRSESMIRPIDPRPKLIATTPLGRTPAPKKPKAKDPYKRDMTYEEKQKLSTKLQSLPSEKLDNIVQIIKRRNSAVLQHDNEIEVDIDSVDTETLWELDRFVTNYKKSLSKSKRKAELSIQARAEAVQIVPEKLQTVAPVLVEVPKETTTNEQNVSRLLVEEKPGDAASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75577 pep chromosome:Graimondii2_0_v6:12:5931811:5936914:-1 gene:B456_012G047200 transcript:KJB75577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVAGEGKDGEREKQRYSENKVYTRKAFKGSKKNSLLNSTVNSSNPNPNHNNNNNNNTSNNHKNNVDRDAENDTDVTITAVPNTNDHHNNNKNDNNVDRAAVNNTDVIATVVPNTNGNSNHPVEIPAQPLPLEFGNSAHQQPGPRVDTAASDDCSSFNKQVAMTGDLKPSSENQVKINLASRSKQEMAELRRKLVSELDLVRSLVKRIEAKEAQIRGFSNAPVPLNNAVDYGFNGVQPELAPVGISQEPVKKSRPLNQLNISALENSQGVNENLEKEKRTPKANQFYCNSEFLLAKDKFPPAESNKKLKLNGKKQGGGDLTHGFGMGNKFFKSCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLGKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEVSLRVPTPRKAHSLLPLPLDTRILDRSESMIRPIDPRPKLIATTPLGRTPAPKKPKAKDPYKRDMTYEEKQKLSTKLQSLPSEKLDNIVQIIKRRNSAVLQHDNEIEVDIDSVDTETLWELDRFVTNYKKSLSKSKRKAELSIQARAEAVQIVPEKLQTVAPVLVEVPKETTTNEQNVSRLLVEEKPGDAASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB76195 pep chromosome:Graimondii2_0_v6:12:12176714:12185379:-1 gene:B456_012G077100 transcript:KJB76195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKIFHKHGISSHSKKPKNDSEGSLMSANLNPRLTVHYGIPATASILACDLIQRLVAVGTLDGRIKVIGGEYVEALLVSPKHIPFKNLEFLQNQGFLVSVSNENEIQVWDLGQRQIASSIKWESNITAFKAIHGTSYMYLGDEHGMVYVLKYDADQRKLTNLPYYVPTNVIAEEAGISSPNHPSVVGVLPQPCSQGNRVLIAYVNGLIVIWDVSDDKVVLVRGNKDLQLQGETASGSPENKNLEVSDCVSESDKVEKEISSLCWASNDGSILAVGYVDGDIMFWALPTATSKNNQQASKSHKNVVKLQLSSGEKRLPVIVLHWSDNQSHSARGCKIFFYGGDQIGSKETLTILDLECPSGIESLKCVSHVDITPNGSFADMVLFPTVGEMENGGSLLFVLTNPGQLLVYDDACLAAFNSQDEKKPCVSSGQYVMPIPIVAPCMTVSKLSLVDRDGEFSKALSKIVSTAKLKAPHTPIRSSKKWPLTGGIPSRLPEAADYEVERVYMAGYQDGSVRMWDATYPALSLIFVLGAEIYGKVPGIDIAGASAPVSALEICSFTQSVAIGNECGMVCLFKLTGTSNEMSLNIVTETEKKVHTLHQADGPQCMALFSLFSSPVCLLQSTEFGNRLAVGLKCGRVAMLDVGTSSVLFITDSLSRSNCSVSSLAMISFTDTNTLINNSKDSTSTNLNDGEKGLVFIMTRDAYLAVLDGRTGHMVSSQSIPQKKESSAISMYILEGGNIVSSTVISESKNESAHSSTDPEIAPVEPKSETAAQVAYLGQRSKSLLILLCFEDALHLHSLKSVIKGTCDSIREVNLKQCCWTSAIKIDDKECGLVLLCRTGIIEIRSLTKLEVMGQCSLMTILRWNFTANMEKMACSSNRGQIVLINGCEFAAVSILALENDFRIPDSLPCFHDTVLAAAFDATVKSEDTSHGILGGIFKGLIGGKQDRNMQIKEACRNDFPQLESIFSSPPFLKPSTASTDDKEVINLNIDDILIDEKITVFPKSETINNDEKNKRERLFEGSSTDAKPTVRSVYEIRAKYRGPEDAAAAAARARDRLIERQEKLERINDRSQELQNEAENFASIAHQLARKMEKKKWWNI >KJB76197 pep chromosome:Graimondii2_0_v6:12:12177021:12185231:-1 gene:B456_012G077100 transcript:KJB76197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKIFHKHGISSHSKKPKKNDSEGSLMSANLNPRLTVHYGIPATASILACDLIQRLVAVGTLDGRIKVIGGEYVEALLVSPKHIPFKNLEFLQNQGFLVSVSNENEIQVWDLGQRQIASSIKWESNITAFKAIHGTSYMYLGDEHGMVYVLKYDADQRKLTNLPYYVPTNVIAEEAGISSPNHPSVVGVLPQPCSQGNRVLIAYVNGLIVIWDVSDDKVVLVRGNKDLQLQGETASGSPENKNLEVSDCVSESDKVEKEISSLCWASNDGSILAVGYVDGDIMFWALPTATSKNNQQASKSHKNVVKLQLSSGEKRLPVIVLHWSDNQSHSARGCKIFFYGGDQIGSKETLTILDLECPSGIESLKCVSHVDITPNGSFADMVLFPTVGEMENGGSLLFVLTNPGQLLVYDDACLAAFNSQDEKKPCVSSGQYVMPIPIVAPCMTVSKLSLVDRDGEFSKALSKIVSTAKLKAPHTPIRSSKKWPLTGGIPSRLPEAADYEVERVYMAGYQDGSVRMWDATYPALSLIFVLGAEIYGKVPGIDIAGASAPVSALEICSFTQSVAIGNECGMVCLFKLTGTSNEMSLNIVTETEKKVHTLHQADGPQCMALFSLFSSPVCLLQSTEFGNRLAVGLKCGRVAMLDVGTSSVLFITDSLSRSNCSVSSLAMISFTDTNTLINNSKDSTSTNLNDGEKGLVFIMTRDAYLAVLDGRTGHMVSSQSIPQKKESSAISMYILEGGNIVSSTVISESKNESAHSSTDPEIAPVEPKSETAAQVAYLGQRSKSLLILLCFEDALHLHSLKSVIKGTCDSIREVNLKQCCWTSAIKIDDKECGLVLLCRTGIIEIRSLTKLEVMGQCSLMTILRWNFTANMEKMACSSNRGQIVLINGCEFAAVSILALENDFRIPDSLPCFHDTVLAAAFDATVKSEDTSHGILGGIFKGLIGGKQDRNMQIKEACRNDFPQLESIFSSPPFLKPSTASTDDKEVINLNIDDILIDEKITVFPKSETINNDEKNKRERLFEGSSTDAKPTVRSVYEIRAKYRGPEDAAAAAARARDRLIERQEKLERINDRSQELQNEAENFASIAHQLARKMEKKKWWNI >KJB76196 pep chromosome:Graimondii2_0_v6:12:12176714:12185379:-1 gene:B456_012G077100 transcript:KJB76196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKIFHKHGISSHSKKPKKNDSEGSLMSANLNPRLTVHYGIPATASILACDLIQRLVAVGTLDGRIKVIGGEYVEALLVSPKHIPFKNLEFLQNQGFLVSVSNENEIQVWDLGQRQIASSIKWESNITAFKAIHGTSYMYLGDEHGMVYVLKYDADQRKLTNLPYYVPTNVIAEAGISSPNHPSVVGVLPQPCSQGNRVLIAYVNGLIVIWDVSDDKVVLVRGNKDLQLQGETASGSPENKNLEVSDCVSESDKVEKEISSLCWASNDGSILAVGYVDGDIMFWALPTATSKNNQQASKSHKNVVKLQLSSGEKRLPVIVLHWSDNQSHSARGCKIFFYGGDQIGSKETLTILDLECPSGIESLKCVSHVDITPNGSFADMVLFPTVGEMENGGSLLFVLTNPGQLLVYDDACLAAFNSQDEKKPCVSSGQYVMPIPIVAPCMTVSKLSLVDRDGEFSKALSKIVSTAKLKAPHTPIRSSKKWPLTGGIPSRLPEAADYEVERVYMAGYQDGSVRMWDATYPALSLIFVLGAEIYGKVPGIDIAGASAPVSALEICSFTQSVAIGNECGMVCLFKLTGTSNEMSLNIVTETEKKVHTLHQADGPQCMALFSLFSSPVCLLQSTEFGNRLAVGLKCGRVAMLDVGTSSVLFITDSLSRSNCSVSSLAMISFTDTNTLINNSKDSTSTNLNDGEKGLVFIMTRDAYLAVLDGRTGHMVSSQSIPQKKESSAISMYILEGGNIVSSTVISESKNESAHSSTDPEIAPVEPKSETAAQVAYLGQRSKSLLILLCFEDALHLHSLKSVIKGTCDSIREVNLKQCCWTSAIKIDDKECGLVLLCRTGIIEIRSLTKLEVMGQCSLMTILRWNFTANMEKMACSSNRGQIVLINGCEFAAVSILALENDFRIPDSLPCFHDTVLAAAFDATVKSEDTSHGILGGIFKGLIGGKQDRNMQIKEACRNDFPQLESIFSSPPFLKPSTASTDDKEVINLNIDDILIDEKITVFPKSETINNDEKNKRERLFEGSSTDAKPTVRSVYEIRAKYRGPEDAAAAAARARDRLIERQEKLERINDRSQELQNEAENFASIAHQLARKMEKKKWWNI >KJB76403 pep chromosome:Graimondii2_0_v6:12:14893901:14895427:1 gene:B456_012G087200 transcript:KJB76403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFTSFFKLVPLEFVLISVFFSLLHAILCKVCRVSELSGHGPPTYPIIGCMISFYKNRTRLIDWYTELIAESDTNTIVVNRLGARRTIVTANSENVEYMLKTNFNNFPKGKPFTEILGDFLGYGIFNVDGELWRIQRKLASHAFSTNSLREFVMSTLEEEVENQFLPSLESLAAASAVVDLQDLLRRLSFNMICKVSLGVDHCVLDPSQLVSPLNKAFDMASEICAKRGAAPLLLVWKVKKWLGVGSEKKLRDAVEEVHAYVEEIIRNRKKKMDESQENCGEDLLSRLILAGCDEEVIRDMIINFIMAGRDTTSAAMTWLFWLLSCHPVIEQELLKEIQKNDKRLSDYESLEGLKLLKASLCESMRLYPPVAWDSKHAMVDDMLPDGTLVQAGDRVTYFPYGMGRMEALWGKDCIEFRPSRWFIEPSHQGGSLKKVSPYKFPVFQAGPRICLGRDMAFIQMKYVVASILRRFEIKPIRSEKPIFVPLLTAHMAGGLKVLIKKRDHSI >KJB75950 pep chromosome:Graimondii2_0_v6:12:9237206:9241684:-1 gene:B456_012G065300 transcript:KJB75950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPLRSSNLRIPNETMRLIIMAFAGVIFGFFLGVSFPTVSLTKMHLPSSLFPSIDLTYIEDKYSGLSTQALFNALNTIKDNKIDSMSSSGYTETKIWIPTNPRGAERLPPGIVAPESDLFLRRLWGLPSEDLTIKPKYLVTFTVGYNQKNNIDAAVKKFSENFTILLFHYDGLTSEWDEFEWSKRAIHVSVQKQAKWWYAKRFLHPDIVAPYEYIFMWDEDLGVENFNAEEYIKLVKKHGLEISQPGLDANSAGLTWAMTRKRNDTEVHKDTEERPGWCNDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFSLRKCVEPPHEKIGVVDAQWIVHQSVPSLGNQGEAEGGRAPWEGVRQRCRKEWTMFQDRLTTAERAYYASLGITDPYNLTAN >KJB75948 pep chromosome:Graimondii2_0_v6:12:9237206:9242043:-1 gene:B456_012G065300 transcript:KJB75948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPLRSNLRIPNETMRLIIMAFAGVIFGFFLGVSFPTVSLTKMHLPSSLFPSIDLTYIEDKYSGLSTQALFNALNTIKDNKIDSMSSSGYTETKIWIPTNPRGAERLPPGIVAPESDLFLRRLWGLPSEDLTIKPKYLVTFTVGYNQKNNIDAAVKKFSENFTILLFHYDGLTSEWDEFEWSKRAIHVSVQKQAKWWYAKRFLHPDIVAPYEYIFMWDEDLGVENFNAEEYIKLVKKHGLEISQPGLDANSAGLTWAMTRKRNDTEVHKDTEERPGWCNDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFSLRKCVEPPHEKIGVVDAQWIVHQSVPSLGNQGEAEGGRAPWEGVRQRCRKEWTMFQDRLTTAERAYYASLGITDPYNLTAN >KJB75949 pep chromosome:Graimondii2_0_v6:12:9237206:9241505:-1 gene:B456_012G065300 transcript:KJB75949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPLRSSNLRIPNETMRLIIMAFAGVIFGFFLGVSFPTVSLTKMHLPSSLFPSIDLTYIEDKYSGLSTQALFNALNTIKDNKIDSMSSSGYTETKIWIPTNPRGAERLPPGIVAPESDLFLRRLWGLPSEDLTIKPKYLVTFTVGYNQKNNIDAAVKKFSENFTILLFHYDGLTSEWDEFEWSKRAIHVSVQKQAKWWYAKRFLHPDIVAPYEYIFMWDEDLGVENFNAEEYIKLVKKHGLEISQPGLDANSAGLTWAMTRKRNDTEVHKDTEERPGWCNDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFSLRKCVEPPHEKIGVVDAQWIVHQSVPSLGNQGEAEGGRAPWEGVRQRCRKEWTMFQDRLTTAERAYYASLGITDPYNLTAN >KJB75952 pep chromosome:Graimondii2_0_v6:12:9237235:9241494:-1 gene:B456_012G065300 transcript:KJB75952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPLRSSNLRIPNETMRLIIMAFAGVIFGFFLGVSFPTVSLTKMHLPSSLFPSIDLTYIEDKYSGLSTQALFNALNTIKDNKIDSMSSSGYTETKIWIPTNPRGAERLPPGIVAPESDLFLRRLWGLPSEDLTIKPKYLVTFTVGYNQKNNIDAAVKKFSENFTILLFHYDGLTSEWDEFEWSKRAIHVSVQKQAKWWYAKRFLHPDIVAPYEYIFMWDEDLGVENFNAEEYIKLVKKHGLEISQPGLDANSAGLTWAMTRKRNDTEVHKDTEERPGWCNDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFSLRKCVEPPHEKIGVVDAQWIVHQSVPSLGNQGEAEGGRAPWEGVRQRCRKEWTMFQDRLTTAERAYYASLGITDPYNLTAN >KJB75946 pep chromosome:Graimondii2_0_v6:12:9237235:9241364:-1 gene:B456_012G065300 transcript:KJB75946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPLRSSNLRIPNETMRLIIMAFAGVIFGFFLGVSFPTVSLTKMHLPSSLFPSIDLTYIEDKYSGLSTQALFNALNTIKDNKIDSMSSSGYTETKIWIPTNPRGAERLPPGIVAPESDLFLRRLWGLPSEDLTIKPKYLVTFTVGYNQKNNIDAAVKKFSENFTILLFHYDGLTSEWDEFEWSKRAIHVSVQKQAKWWYAKRFLHPDIVAPYEYIFMWDEDLGVENFNAEEYIKLVKKHGLEISQPGLDANSAGLTWAMTRKRNDTEVHKDTEERPGWCNDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFSLRKCVEPPHEKIGVVDAQWIVHQSVPSLGNQGEAEGGRAPWEGVRQRCRKEWTMFQDRLTTAERAYYASLGITDPYNLTAN >KJB75953 pep chromosome:Graimondii2_0_v6:12:9237235:9241911:-1 gene:B456_012G065300 transcript:KJB75953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPLRSSNLRIPNETMRLIIMAFAGVIFGFFLGVSFPTVSLTKMHLPSSLFPSIDLTYIEDKYSGLSTQALFNALNTIKDNKIDSMSSSGYTETKIWIPTNPRGAERLPPGIVAPESDLFLRRLWGLPSEDLTIKPKYLVTFTVGYNQKNNIDAAVKKFSENFTILLFHYDGLTSEWDEFEWSKRAIHVSVQKQAKWWYAKRFLHPDIVAPYEYIFMWDEDLGVENFNAEEYIKLVKKHGLEISQPGLDANSAGLTWAMTRKRNDTEVHKDTEERPGWCNDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFSLRKCVEPPHEKIGVVDAQWIVHQSVPSLGNQGEAEGGRAPWEGV >KJB75951 pep chromosome:Graimondii2_0_v6:12:9237235:9241876:-1 gene:B456_012G065300 transcript:KJB75951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPLRSSNLRIPNETMRLIIMAFAGVIFGFFLGVSFPTVSLTKMHLPSSLFPSIDLTYIEDKYSGLSTQALFNALNTIKDNKIDSMSSSGYTETKIWIPTNPRGAERLPPGIVAPESDLFLRRLWGLPSEDLTIKPKYLVTFTVGYNQKNNIDAAVKKFSENFTILLFHYDGLTSEWDEFEWSKRAIHVSVQKQAKWWYAKRFLHPDIVAPYEYIFMWDEDLGVENFNAEEYIKLVKKHGLEISQPGLDANSAGLTWAMTRKRNDTEVHKDTEERPGWCNDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFSLRKCVEPPHEKIGVVDAQWIVHQSVPSLGNQGEAEGGRAPWEGVRQRCRKEWTMFQDRLTTAERAYYASLGITDPYNLTAN >KJB75947 pep chromosome:Graimondii2_0_v6:12:9237452:9240743:-1 gene:B456_012G065300 transcript:KJB75947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPLRSSNLRIPNETMRLIIMAFAGVIFGFFLGVSFPTVSLTKMHLPSSLFPSIDLTYIEDKYSGLSTQALFNALNTIKDNKIDSMSSSGYTETKIWIPTNPRGAERLPPGIVAPESDLFLRRLWGLPSEDLTIKPKYLVTFTVGYNQKNNIDAAVKKFSENFTILLFHYDGLTSEWDEFEWSKRAIHVSVQKQAKWWYAKRFLHPDIVAPYEYIFMWDEDLGVENFNAEEYIKLVKKHGLEISQPGLDANSAGLTWAMTRKRNDTEVHKDTEERPGWCNDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFSLRKCVEPPHEKIGVVDAQWIVHQSVPSLGNQGEAEGGRAPWEGVRQRCRKEWTMFQDRLTTAERAYYASLGITDPYNLTAN >KJB76114 pep chromosome:Graimondii2_0_v6:12:10705730:10711618:1 gene:B456_012G072500 transcript:KJB76114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMLMEFVTDPDDQGSAMEVDDVDTPEIFGEGVIASDNKLAYADFFNNFEDDFDDSDID >KJB76391 pep chromosome:Graimondii2_0_v6:12:14753074:14754712:1 gene:B456_012G086400 transcript:KJB76391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFTKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >KJB76393 pep chromosome:Graimondii2_0_v6:12:14753009:14754785:1 gene:B456_012G086400 transcript:KJB76393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFTKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >KJB76392 pep chromosome:Graimondii2_0_v6:12:14753070:14754736:1 gene:B456_012G086400 transcript:KJB76392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFTKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >KJB76545 pep chromosome:Graimondii2_0_v6:12:16920704:16921515:-1 gene:B456_012G094300 transcript:KJB76545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLITKFQNEIFFFPLLFPKIDSPHFFSQTLSPLPRFPLFFPPIFPNRQPFFYFSQTISPLPRFPLSPPFSVHPTFASSLRFQRYFRLFYSLASVLEGLWSVYREFELVYYGITKEDTVTLMLIGFGSALFFGSFLGLLYDLIGRKKSCLVFYIFHLVVGIWKRITSSPSFWVANVCLSLATSIFSFSFETWDFFDFL >KJB76547 pep chromosome:Graimondii2_0_v6:12:16919107:16921711:-1 gene:B456_012G094300 transcript:KJB76547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLITKFQNEIFFFPLLFPKIDSPHFFSQTLSPLPRFPLFFPPIFPNRQPFFYFSQTISPLPRFPLSPPFSVHPTFASSLRFQRYFRLFYSLASVLEGLWSVYREFELVYYGITKEDTVTLMLIGFGSALFFGSFLGLLYDLIGRKKSCLVFYIFHLVVGIWKRITSSPSFWVANVCLSLATSIFSFSFETWDFFDFL >KJB76546 pep chromosome:Graimondii2_0_v6:12:16919100:16921711:-1 gene:B456_012G094300 transcript:KJB76546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLITKFQNEIFFFPLLFPKIDSPHFFSQTLSPLPRFPLFFPPIFPNRQPFFYFSQTISPLPRFPLSPPFSVHPTFASSLRFQRYFRLFYSLASVLEGLWSVYREFELVYYGITKEDTVTLMLIGFGSALFFGSFLGLLYDLIGRKKSCLVFYIFHLVVGIWKRITSSPSFWVANGHRQDLLNETFWLMTFFESAALIGSQVMSN >KJB77776 pep chromosome:Graimondii2_0_v6:12:33005790:33008780:-1 gene:B456_012G156500 transcript:KJB77776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKGHAIRCVQRRSLLETLAKELPNGTIRFSSKVVSIDESENHFKRLHLADGAILKTKVLIGCDGVNSVVAKWLGLQNPVFSGRSAIRGNAHFKGGHGFEPKYRQFVGKGVRSGFLPCDDENVYWFFTWTPATKEEEMEDNPLKLKQLVTSKLKDTSDEMKSVIEKTLLDDIISSPLRYRKPWELLWGNISKGNVCVAGDALHPMTPDIGQGGCSALEDGVVLARCLGEALLKPVVEDEEYERIEMGLKKYGQERKWRSFDLITTAFMVGFIQQHDGKVMSYLRDKFMLRFLSGLLLRKAGFDCGKL >KJB77777 pep chromosome:Graimondii2_0_v6:12:33005965:33008668:-1 gene:B456_012G156500 transcript:KJB77777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHSVGMEIVEDVVIVGAGIAGLTTSLGLHRLGIRSLVLESSEGLRITGFAFTTWENAWKALDAIGIGDSLRRQHYLLSSIVVASTVLEKPAVSEMSFKGHAIRCVQRRSLLETLAKELPNGTIRFSSKVVSIDESENHFKRLHLADGAILKTKVLIGCDGVNSVVAKWLGLQNPVFSGRSAIRGNAHFKGGHGFEPKYRQFVGKGVRSGFLPCDDENVYWFFTWTPATKEEEMEDNPLKLKQLVTSKLKDTSDEMKSVIEKTLLDDIISSPLRYRKPWELLWGNISKGNVCVAGDALHPMTPDIGQGGCSALEDGVVLARCLGEALLKPVVEDEEYERIEMGLKKYGQERKWRSFDLITTAFMVGFIQQHDGKVMSYLRDKFMLRFLSGLLLRKAGFDCGKL >KJB76207 pep chromosome:Graimondii2_0_v6:12:12359385:12361333:-1 gene:B456_012G077300 transcript:KJB76207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFDKTQRCNYFPMLTIVTFSTLFFAFFCYNQYMKTIPFPDFKHQHPFQESSNSTYVQLQETNKADDGDYERIVSPLEECDIFTGEWVFDNGSSHPLYKEDNCEFLTDMVTCLKNGRPESLYQKWRWQPRDCSLPKFEANLLLEKLRGKRLMFVGDSINLNQMLSMVCMVQSIIPPEKKSFSYASYTTVFKMEDYNATMEFYWAPFLVESNVDPPTMRYGTVVPVVKLESISKHGDNWKNVDYLIFNTYIWWRYPTMKALNGATDSVDIDQNVAYERALKSWAKWVEENVDSNRTSVFFSSMSPTHMKSSDWNNTNRIKCWNETSPFPNISRIDVGTNWQLFTTAVNVIRSMKIPLHFLNITRLSEYRKDAHTSIYAAPGGKLLTQEQKSDPARYADCLHWCLPGLPDTWNELLFALIMYRT >KJB76206 pep chromosome:Graimondii2_0_v6:12:12359503:12361227:-1 gene:B456_012G077300 transcript:KJB76206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFDKTQRCNYFPMLTIVTFSTLFFAFFCYNQYMKTIPFPDFKHQHPFQESSNSTYVQLQETNKADDGDYERIVSPLEECDIFTGEWVFDNGSSHPLYKEDNCEFLTDMVTCLKNGRPESLYQKWRWQPRDCSLPKFEANLLLEKLRGKRLMFVGDSINLNQMLSMVCMVQSIIPPEKKSFSYASYTTVFKMEDYNATMEFYWAPFLVESNVDPPTMRYGTVVPVVKLESISKHGDNWKNVDYLIFNTYIWWRYPTMKALQGSFSNGATDSVDIDQNVAYERALKSWAKWVEENVDSNRTSVFFSSMSPTHMKSSDWNNTNRIKCWNETSPFPNISRIDVGTNWQLFTTAVNVIRSMKIPLHFLNITRLSEYRKDAHTSIYAAPGGKLLTQEQKSDPARYADCLHWCLPGLPDTWNELLFALIMYRT >KJB77965 pep chromosome:Graimondii2_0_v6:12:34003766:34007128:1 gene:B456_012G170100 transcript:KJB77965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRYSAEAFGVLTICLVGLLVLLGLVCIGYTLYLRSRVLKQGFILLSYFSGPWIIRITFILFTIWWGFGEIIRLNYIRRPGRVLNVLDFKWQENVCKFYIVSNLGFAEPCLFLTLVFLLRASLQNIDTGILSRKWNGKTASCVLLFALPMFVLQLFLILIGPELREDRKELPLYFTRTARSMQNSNDIALCSYPLLNTILLGLFTTVLTAYLIWLGRRILKLVINKGLQKRVYTLIFSVSSLLPLRVLLLGLSVLSRPEHFLFEALAFSAFLVLLCCAGVCIVILVYCPVADCLALGNLNDLEARRRVILDDQNDTASLIANQTHLDESIGISPERNSDASTKRGSISFRTFIRDEPSSGPFVELSLFSPSRDENPSGSPPPVGWPMMSSPTHVHGP >KJB77963 pep chromosome:Graimondii2_0_v6:12:34003605:34007193:1 gene:B456_012G170100 transcript:KJB77963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRYSAEAFGVLTICLVGLLVLLGLVCIGYTLYLRSRVLKQGFILLSYFSGPWIIRITFILFTIWWGFGEIIRLNYIRRPGRVLNVLDFKWQENVCKFYIVSNLGFAEPCLFLTLVFLLRASLQNIDTGILSRKWNGKTASCVLLFALPMFVLQLFLILIGPELREDRKELPLYFTRTARSMQNSNDIALCSYPLLNTILLGLFTTVLTAYLIWLGRRILKLVINKGLQKRVYTLIFSVSSLLPLRVLLLGLSVLSRPEHFLFEALAFSAFLVLLCCAGVCIVILVYCPVADCLALGNLNDLEARRRVILDDQNDTASLIANQTHLDESIGISPERNSDASTKRGSISFRTFIRDEPSSGPFVELSLFSPSRDENPSGSPPPVGWPMMSSPTHVHGP >KJB77964 pep chromosome:Graimondii2_0_v6:12:34005009:34007169:1 gene:B456_012G170100 transcript:KJB77964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRYSAEAFGVLTICLVGLLVLLGLVCIGYTLYLRSRVLKQGFILLSYFSGPWIIRITFILFTIWWGFGEIIRLNYIRRPGRVLNVLDFKWQENVCKFYIVSNLGFAEPCLFLTLVFLLRASLQNIDTGILSRKWNGKTASCVLLFALPMFVLQLFLILIGPELREDRKELPLYFTRTARSMQNSNDIALCSYPLLNTILLGLFTTVLTAYLIWLGRRILKLVINKGLQKRVYTLIFSVSSLLPLRVLLLGLSVLSRPEHFLFEALAFSAFLVLLCCAGVCIVILVYCPVADCLALGNLNDLEARRRVILDDQNDTASLIANQTHLDESIGISPERNSDASTKRGSISFRTFIRDEPSSGPFVELSLFSPSRDENPSGSPPPVGWPMMSSPTHVHGP >KJB77966 pep chromosome:Graimondii2_0_v6:12:34003553:34007183:1 gene:B456_012G170100 transcript:KJB77966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRYSAEAFGVLTICLVGLLVLLGLVCIGYTLYLRSRVLKQGFILLSYFSGPWIIRITFILFTIWWGFGEIIRLNYIRRPGRVLNVLDFKWQENVCKFYIVSNLGFAEPCLFLTLVFLLRASLQNIDTGILSRKWNGKTASCVLLFALPMFVLQLFLILIGPELREDRKELPLYFTRTARSMQNSNDIALCSYPLLNTILLGLFTTVLTAYLIWLGRRILKLVINKGLQKRVYTLIFSVSSLLPLRVLLLGLSVLSRPEHFLFEALAFSAFLVLLCCAGVCIVILVYCPVADCLALGNLNDLEARRRVILDDQNDTASLIANQTHLDESIGISPERNSDASTKRGSISFRTFIRDEPSSGPFVELSLFSPSRDENPSGSPPPVGWPMMSSPTHVHGP >KJB77962 pep chromosome:Graimondii2_0_v6:12:34004438:34007193:1 gene:B456_012G170100 transcript:KJB77962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRYSAEAFGVLTICLVGLLVLLGLVCIGYTLYLRSRVLKQGFILLSYFSGPWIIRITFILFTIWWGFGEIIRLNYIRRPGRVLNVLDFKWQENVCKFYIVSNLGFAEPCLFLTLVFLLRASLQNIDTGILSRKWNGKTASCVLLFALPMFVLQLFLILIGPELREDRKELPLYFTRTARSMQNSNDIALCSYPLLNTILLGLFTTVLTAYLIWLGRRILKLVINKGLQKRVYTLIFSVSSLLPLRVLLLGLSVLSRPEHFLFEALAFSAFLVLLCCAGVCIVILVYCPVADCLALGNLNDLEARRRVILDDQNDTASLIANQTHLDESIGISPERNSDASTKRGSISFRTFIRDEPSSGPFVELSLFSPSRDENPSGSPPPVGWPMMSSPTHVHGP >KJB74800 pep chromosome:Graimondii2_0_v6:12:901224:901762:-1 gene:B456_012G008300 transcript:KJB74800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAIIILQVHIVHREAHRHGCRVTLSICNQKATIFFIRDNMVHALDDCFTQYYSILPFIPEQITTSGNMSSFVYPRKTTTNIHVSKTDRFPPVISHR >KJB76579 pep chromosome:Graimondii2_0_v6:12:21188593:21190334:-1 gene:B456_012G099700 transcript:KJB76579 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 1 [Source:Projected from Arabidopsis thaliana (AT5G06690) UniProtKB/TrEMBL;Acc:F4K3Y1] MSVLAANSQILSREIYQRYQQQQLWNNGSCLFSPKNSGYFGSDWKDRKWKNKTVRSREWRVQALWPDLSRPSAIEMETINDSDHLDQILARARQLSQPIIIDWMAAWCRKCIYLKPKLEKLAAEFDTKKCQQFSYGRMER >KJB76583 pep chromosome:Graimondii2_0_v6:12:21189566:21190334:-1 gene:B456_012G099700 transcript:KJB76583 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 1 [Source:Projected from Arabidopsis thaliana (AT5G06690) UniProtKB/TrEMBL;Acc:F4K3Y1] MSVLAANSQILSREIYQRYQQQQLWNNGSCLFSPKNSGYFGSDWKDRKWKNKTVRSREWRVQALWPDLSRPSAIEMETINDSDHLDQILARARQLSQPIIIDWMAAWCRKCIYLKPKLEKLAAEFDTK >KJB76580 pep chromosome:Graimondii2_0_v6:12:21189079:21190190:-1 gene:B456_012G099700 transcript:KJB76580 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 1 [Source:Projected from Arabidopsis thaliana (AT5G06690) UniProtKB/TrEMBL;Acc:F4K3Y1] MSVLAANSQILSREIYQRYQQQQLWNNGSCLFSPKNSGYFGSDWKDRKWKNKTVRSREWRVQALWPDLSRPSAIEMETINDSDHLDQILARARQLSQPIIIDWMAAWCRKCIYLKPKLEKLAAEFDTKIKFYYVDVNKVPQALVKRGNISVCKCFALCSMFFF >KJB76581 pep chromosome:Graimondii2_0_v6:12:21188593:21190334:-1 gene:B456_012G099700 transcript:KJB76581 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 1 [Source:Projected from Arabidopsis thaliana (AT5G06690) UniProtKB/TrEMBL;Acc:F4K3Y1] MSVLAANSQILSREIYQRYQQQQLWNNGSCLFSPKNSGYFGSDWKDRKWKNKTVRSREWRVQALWPDLSRPSAIEMETINDSDHLDQILARARQLSQPIIIDWMAAWCRKCIYLKPKLEKLAAEFDTKIKFYYVDVNKVPQALVKRGNISVWKIIAVMEGWRDERRSNWRAQSMACD >KJB76582 pep chromosome:Graimondii2_0_v6:12:21188841:21190190:-1 gene:B456_012G099700 transcript:KJB76582 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 1 [Source:Projected from Arabidopsis thaliana (AT5G06690) UniProtKB/TrEMBL;Acc:F4K3Y1] MSVLAANSQILSREIYQRYQQQQLWNNGSCLFSPKNSGYFGSDWKDRKWKNKTVRSREWRVQALWPDLSRPSAIEMETINDSDHLDQILARARQLSQPIIIDWMAAWCRKCIYLKPKLEKLAAEFDTKIKFYYVDVNKVPQALVKRGNISKMPTIQVCSPQNKNLSSLILIMINEISKEGSKKGKIIAVMEGWRDERRSNWRAQSMACD >KJB76578 pep chromosome:Graimondii2_0_v6:12:21188574:21190461:-1 gene:B456_012G099700 transcript:KJB76578 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 1 [Source:Projected from Arabidopsis thaliana (AT5G06690) UniProtKB/TrEMBL;Acc:F4K3Y1] MSVLAANSQILSREIYQRYQQQQLWNNGSCLFSPKNSGYFGSDWKDRKWKNKTVRSREWRVQALWPDLSRPSAIEMETINDSDHLDQILARARQLSQPIIIDWMAAWCRKCIYLKPKLEKLAAEFDTKIKFYYVDVNKVPQALVKRGNISKMPTIQLWKDGEMKEEVIGGHKAWLVTEEVRQMIKKFV >KJB77639 pep chromosome:Graimondii2_0_v6:12:32228000:32229272:-1 gene:B456_012G148100 transcript:KJB77639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKTYGPGSRACRVCGNPHAIIRKYGLMCCRQCFRSNAKEIGFIKYR >KJB75488 pep chromosome:Graimondii2_0_v6:12:5539772:5550948:1 gene:B456_012G044300 transcript:KJB75488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSSFNKEKVECTGTTDTLSGNQASGLHRDSSFSLWIDEDGTIHLEHHSVNTSITAENSDFELPMLNQSELQNMIVEGVRFNKFPEQSMHLNGDSTVDNIQGGDSAGEYVPFDIENESALNIGSSDICDDGDIATGSPKALPLNSDSSISLVDVLKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPAPLLMNTVHFTMQAVLSKLITWYWSHKFLPTDPMTQRDYFYKVVPTALSTALDVNLSNASLVFISVTFATMCKSATPIFLLLFAFAFRLESPSLNLLGIILVISVGILLTVSKETGFQFWGFVFVMLAAVMSGFRWCMTQILLQKEAYGLKNPLTFMSYVTPVMAVVTALLSLLLDPWDQFTKNSYFNSSWHIARSCFLMLFGGTLAFFMVLTEYILVSITSAVTVTIAGVVKEAVTILVAVFYLHDEFTWLKGAGLLTIMVGVSLFNWYKYLKLQKGKLEEDEAAIALTANHAAKYVILDEMED >KJB75486 pep chromosome:Graimondii2_0_v6:12:5539762:5551062:1 gene:B456_012G044300 transcript:KJB75486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSSFNKEKVECTGTTDTLSGNQASGLHRDSSFSLWIDEDGTIHLEHHSVNTSITAENSDFELPMLNQSELQNMIVEGVRFNKFPEQSMHLNGDSTVDNIQGGDSAGEYVPFDIENESALNIGSSDICDDGDIATGSPKALPLNSDSSISLVDVLKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPAPLLMNTVHFTMQAVLSKLITWYWSHKFLPTDPMTQRDYFYKVVPTALSTALDVNLSNASLVFISVTFATMCKSATPIFLLLFAFAFRLESPSLNLLGIILVISVGILLTVSKETGFQFWGFVFVMLAAVMSGFRWCMTQILLQKEAYGLKNPLTFMSYVTPVMAVVTALLSLLLDPWDQFTKNSYFNSSWHIARSCFLMLFGGTLAFFMVLTEYILVSITSAVTVTIAGVVKEAVTILVAVFYLHDEFTWLKGAGLLTIMVGVSLFNWYKYLKLQKGKLEEDEAAIALTANHAAKYVILDEMED >KJB75489 pep chromosome:Graimondii2_0_v6:12:5539772:5550948:1 gene:B456_012G044300 transcript:KJB75489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSSFNKEKVECTGTTDTLSGNQASGLHRDSSFSLWIDEDGTIHLEHHSVNTSITAENSDFELPMLNQSELQNMIVEGVRFNKFPEQSMHLNGDSTVDNIQGGDSAGEYVPFDIENESALNIGSSDICDDGDIATGSPKALPLNSDSSISLVDVLKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPAPLLMNTVHFTMQAVLSKLITWYWSHKFLPTDPMTQRDYFYKVVPTALSTALDVNLSNASLVFISVTFATMCKSATPIFLLLFAFAFRLESPSLNLLGIILVISVGILLTVSKETGFQFWGFVFVMLAAVMSGFRWCMTQILLQKEAYGLKNPLTFMSYVTPVMAVVTALLSLLLDPWDQFTKNSYFNSSWHIARSCFLMLFGGTLAFFMVLTEYILVSITSAVTVTIAGVVKEAVTILVAVFYLHDEFTWLKGAGLLTIMVGVSLFNWYKYLKLQKGKLEEDEAAIALTANHAAKYVILDEMED >KJB75487 pep chromosome:Graimondii2_0_v6:12:5539772:5547676:1 gene:B456_012G044300 transcript:KJB75487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSSFNKEKVECTGTTDTLSGNQASGLHRDSSFSLWIDEDGTIHLEHHSVNTSITAENSDFELPMLNQSELQNMIVEGVRFNKFPEQSMHLNGDSTVDNIQGGDSAGEYVPFDIENESALNIGSSDICDDGDIATGSPKALPLNSDSSISLVDVLKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPAPLLMNTVHFTMQAVLSKLITWYWSHKFLPTDPMTQRDYFYKVVPTALSTALDVNLSNASLVFISVTFATMCKSATPIFLLLFAFAFRLESPSLNLLGIILVISVGILLTVSKETGFQFWGFVFVMLAAVMSGFRWCMTQILLQKEAYGLKNPLTFMSYVTPVMAVVTALLSLLLDPWDQFTKNSYFNSSWHIARSCFLMLFGGTLAFFMVLTEYILVSITSAVTVTIAGVVKEAVTILVHCFLYMHTHTYTHTHICF >KJB78119 pep chromosome:Graimondii2_0_v6:12:34764401:34766073:-1 gene:B456_012G179300 transcript:KJB78119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EARVKEFDLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQGEKTEYGVFNFTGEGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHR >KJB78117 pep chromosome:Graimondii2_0_v6:12:34763724:34766102:-1 gene:B456_012G179300 transcript:KJB78117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQGEKTEYGVFNFTGEGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNPKLLDFTEKLEAACIGTVESGKMTKDLALIIHGSKLARDKYLNTEEFIDAVAADLKARLS >KJB78121 pep chromosome:Graimondii2_0_v6:12:34763774:34766073:-1 gene:B456_012G179300 transcript:KJB78121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EARVKEFDLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQGEKTEYGVFNFTGEGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHR >KJB78118 pep chromosome:Graimondii2_0_v6:12:34763774:34766073:-1 gene:B456_012G179300 transcript:KJB78118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EARVKEFDLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQGEKTEYGVFNFTGEGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNPKLLDFTEKLEAACIGTVESGKMTKDLALIIHGSK >KJB78120 pep chromosome:Graimondii2_0_v6:12:34764401:34766073:-1 gene:B456_012G179300 transcript:KJB78120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EARVKEFDLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQGEKTEYGVFNFTGEGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHR >KJB77632 pep chromosome:Graimondii2_0_v6:12:32159959:32163455:-1 gene:B456_012G147500 transcript:KJB77632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKTQFSFALCLSFFLVLVPYNTQAQPFACDKNDPNTSKFPFCDTTLSYQDRTKDLVSRLTLQEKVQQLVNTASGIPRLGVPAYQWWSEALHGVSDLGPGTRFNATVPGATSFPAVILSAASFNEMLWLEMGRVVSTEARAMYNVGLAGLTYWSPNVNVFRDPRWGRGQETPGEDPTVVSKYAVNYVRGLQEVGSVGDKLKVSSCCKHYTAYDVDNWKGVDRFHFDAKVTKQDLEDTYQPPFKSCVVEGHVSSVMCSYNRVNGIPTCADPDLLKGIVRGQWGLDGYIVSDCDSIEVYYNAIHYTATPEDAVALALKAGLNMNCGDYLGKYTVNAVNLKKVEESVVDQALIYNYIVLMRLGFFDGNPKQLLFGNLGPSDVCTDDHQKLALDAAKQGIVLLDNDGVLPLSRTIIKSLAVIGPNANATKVMISNYAGVPCQYTTPLQGLQKYVSMVTHEAGCRDVKCNNDTFIDLAVQAAANTDAVVLVVGLDQSIEAEGLDRVNLTLPGYQEKLVTDVANAANGKVVLVVMAAGPIDITFARNMRKIGAILWVGYPGQAGGDAIAQVIFGDHNPAGRSPFTWYPQEYANKVPMTDMNMRANATTNFPGRTYRFYTGKTLYEFGHGLSYTSFSKFIISAPSTVLINSTPNNILLEPYSNNGEAIDASSVSNCDDLQFNLMIGVKNNGPMDGDHVVLLFWKPPSTKGVTGAPNMQLIGFERVGVKKGKTKNVTVSLNVCKDLSLVDVEGKRKLVIGQHTIFVGTTSEHQVRHHFVVRQAGDESGEPLVSIT >KJB74931 pep chromosome:Graimondii2_0_v6:12:1623619:1625578:-1 gene:B456_012G014600 transcript:KJB74931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEMVMVDEVPFPPQITTTKPLSLLGHGITDIEIHFLQIKFTAIGVYLEPEVVGHLQQWKVQLESAVRDRLAADDKYEEEEEEALEKVVEFFQSKYFKKDSVITYHFPANSATAEIAFTTEGKEEAKIKVENANVVEMIKKWYLGGTRGVSATTISSLANTLSAELCK >KJB74930 pep chromosome:Graimondii2_0_v6:12:1623619:1625354:-1 gene:B456_012G014600 transcript:KJB74930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVDEVPFPPQITTTKPLSLLGHGITDIEIHFLQIKFTAIGVYLEPEVVGHLQQWKGKPGNVLAEDDNFFEALINAPVEKFLRVVVIKEIKGSQYGVQLESAVRDRLAADDKYEEEEEEALEKVVEFFQSKYFKKDSVITYHFPANSATAEIAFTTEGKEEAKIKVENANVVEMIKKWYLGGTRGVSATTISSLANTLSAELCK >KJB74932 pep chromosome:Graimondii2_0_v6:12:1623619:1625719:-1 gene:B456_012G014600 transcript:KJB74932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEMVMVDEVPFPPQITTTKPLSLLGHGITDIEIHFLQIKFTAIGVYLEPEVVGHLQQWKGKPGNVLAEDDNFFEALINAPVEKFLRVVVIKEIKGSQYGVQLESAVRDRLAADDKYEEEEEEALEKVVEFFQSKYFKKDSVITYHFPANSATAEIAFTTEGKEEAKIKVENANVVEMIKKWYLGGTRGVSATTISSLANTLSAELCK >KJB76843 pep chromosome:Graimondii2_0_v6:12:25057861:25058828:-1 gene:B456_012G110700 transcript:KJB76843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWTLVEGLLLFANALAILNEDRFLGPRRWTLAEFQGGGRNTLKGQIVGLIHACQFLRLPLILFNIITIVVKLVSG >KJB75390 pep chromosome:Graimondii2_0_v6:12:4918107:4924640:1 gene:B456_012G039600 transcript:KJB75390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYRNGEIWDFEHEVAVATNRPVILGLDGGTTSTVCICMPIMPFSDALPDPLPVLARAVAGCSNHNSVGETAARETLEQVMADALSKSGSNRSAVRAVCLAVSGVNHPTDQQRILTWLRDIFPTQVKLYVRNDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPVLGDWGSGYGIAALALTAVIRAHDGRGPHTMLTSTILQTLGLSSADELIGWTYADPSWARIAALVPVVVSCAEAGDEVANKILKEAVQELALSVKAVVQRLGLCGADRKNSFPLVMVGGVLEANQRWDIGREVMDFISKDYPGAHPIRPKVEPAVGAALLALNEFMKECVQEAYRR >KJB75393 pep chromosome:Graimondii2_0_v6:12:4918207:4924640:1 gene:B456_012G039600 transcript:KJB75393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYRNGEIWDFEHEVAVATNRPVILGLDGGTTSTVCICMPIMPFSDALPDPLPVLARAVAGCSNHNSVGETAARETLEQVMADALSKSGSNRSAVRAVCLAVSGVNHPTDQQRILTWLRDIFPTQVKLYVRNDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPVLGDWGSGYGIAALALTAVIRAHDGRGPHTMLTSTILQTLGGPMQIHPGLASPHLSQLLSLVQRLVMKLQIRF >KJB75391 pep chromosome:Graimondii2_0_v6:12:4918008:4924679:1 gene:B456_012G039600 transcript:KJB75391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYRNGEIWDFEHEVAVATNRPVILGLDGGTTSTVCICMPIMPFSDALPDPLPVLARAVAGCSNHNSVGETAARETLEQVMADALSKSGSNRSAVRAVCLAVSGVNHPTDQQRILTWLRDIFPTQVKLYVRNDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPVLGDWGSGYGIAALALTAVIRAHDGRGPHTMLTSTILQTLGLSSADELIGWTYADPSWARIAALVPVVVSCAEAGDEVANKILKEAVQELALSVKAVVQRLGLCGADRKNSFPLVMVGGVLEANQRWDIGREVMDFISKDYPGAHPIRPKVEPAVGAALLALNEFMKECVQEAYRR >KJB75392 pep chromosome:Graimondii2_0_v6:12:4918207:4924640:1 gene:B456_012G039600 transcript:KJB75392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYRNGEIWDFEHEVAVATNRPVILGLDGGTTSTVCICMPIMPFSDALPDPLPVLARAVAGCSNHNSVGETAARETLEQVMADALSKSGSNRSAVRAVCLAVSGVNHPTDQQRILTWLRDIFPTQVKLYVRNDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPVLGDWGSGYGIAALALTAVIRAHDGRGPHTMLTSTILQTLGLSSADELIGWTYADPSWARIAALVPVVVSCAEAGDEVANKILKEAVQELALSVKAVVQRLGLCGADRKNSFPLVMVGGVLEANQRWDIGREVMDFISKDYPGAHPIRPKFA >KJB75394 pep chromosome:Graimondii2_0_v6:12:4918636:4924640:1 gene:B456_012G039600 transcript:KJB75394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSKSGSNRSAVRAVCLAVSGVNHPTDQQRILTWLRDIFPTQVKLYVRNDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPVLGDWGSGYGIAALALTAVIRAHDGRGPHTMLTSTILQTLGLSSADELIGWTYADPSWARIAALVPVVVSCAEAGDEVANKILKEAVQELALSVKAVVQRLGLCGADRKNSFPLVMVGGVLEANQRWDIGREVMDFISKDYPGAHPIRPKVEPAVGAALLALNEFMKECVQEAYRR >KJB76661 pep chromosome:Graimondii2_0_v6:12:20810133:20813481:1 gene:B456_012G098700 transcript:KJB76661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCKFSKFSFLFLISILFVSTAEENARISYDRVSLLSFMSGVVSDPEISLEHWNSTNVHVCNWSGVGCNHERDQVVQLDLSGRSLKGTISPALANLSSLMVLDLSKNFFQGHIPPELGSLFQLKQLCLSWNLLEGDIPSELGFLHQLVYLDLGSNRLAGHIPSSLFCNGSYSLQYIDLSNNSLSGEIPSKTECSLRELRFLLLWSNRLIGQVPQALSNSSKLQWVDLESNMLSGELPSNIVRKMPQLQFLYLSYNDFVSHDGNTNLQPFFAALLNSSNFQELELAGNNLGGEIPSIIGDLSTNLVQVHLDDNLIYGSIPPGISNLVNLTLLNLSSNLLNGSIPPELCRMEKLERVYLSNNSLSGEIPSALGNITHLGLLDLSMNKLSGSIPDSFANLSQLRRLLLYGNQLSGTIPLSLGKCVNLEILDLSRNKLSGIIPGEVAGLRSLKLYLNLSSNHLHGPLPLELSKMDMVLAIDLSSNNLSGSIPSQLGSCIALEHLNLSSNFLEGELPDSIGQLPYLKELDVALNQLSGDIPVTFQASTSIKAMNFSFNKFHGNISDKGAFSLLTMDSFTGNDGLCGSIKGMPKCRKKHHSHLVIFLPIIVSLFATPLLLMFGYPLVLKSRFRNRLGVVNGGAFEDEEKDGKEPKYPRISYEQLIEATGGFSASSLIGSGRFGHVYKGILGDNTRIAVKVLDTRTAGDISESFRRECEVLKRTRHRNLIRIITICSKPDFKALVLPLMPNGSLERHLYPSHGISHGLDLIQLVNICSDVAEGVAYLHHYSPVKVVHCDLKPSNILLDEDMTALVTDFGIARLVRGVDETISANDSISYSSADGLLCGSVGYIAPEYGMGKRASTQGDVYSFGVLLLEIVSGRRPTDDDEGSSLHDWVKSHYPHKLEPIVKQALLRCSPGSMPTNYDKIWRDVILELVELGLMCTQYNPSTRPTMLDVAIEMGRLKQYLANPASMLTGEASSKADAPLII >KJB75153 pep chromosome:Graimondii2_0_v6:12:3380712:3386769:-1 gene:B456_012G027200 transcript:KJB75153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQEVKNGNLEAAIDQLLNVEKQMRFAGDVAGTKKAVTDILQLCFEAKDWKSLNEQIVNLSKKRGQLKQAVTAMVQQAMQYIDETPDLETRIELIKTLNSVSAGKIYVEIERARLIKKLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDIDSSKEKKKPKEGDNVVEEPPADIPSLLELKRIYYELMIRYYFHNNDYLEICRCYKAIYEIPSIRENPSQWIPVLRKICWYLILAPHDPMQSSLLNSMLEDKNLSEIPKFRLLLKQLVTMEVIQWTSLWNSYKDEFENEKNMLGGSLGDKAAEDLKQRIIEHNILVVSRYYSRITLKRLAELLCLTVEEAEKHLSEMVVSKALVAKIDWPMGIVCFQVAKDSNEILNSWAVNLEKLLDLVEKSCHQIHKETMVHKAALKV >KJB75151 pep chromosome:Graimondii2_0_v6:12:3380181:3386803:-1 gene:B456_012G027200 transcript:KJB75151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKNGNLEAAIDQLLNVEKQMRFAGDVAGTKKAVTDILQLCFEAKDWKSLNEQIVNLSKKRGQLKQAVTAMVQQAMQYIDETPDLETRIELIKTLNSVSAGKIYVEIERARLIKKLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDIDSSKEKKKPKEGDNVVEEPPADIPSLLELKRIYYELMIRYYFHNNDYLEICRCYKAIYEIPSIRENPSQWIPVLRKICWYLILAPHDPMQSSLLNSMLEDKNLSEIPKFRLLLKQLVTMEVIQWTSLWNSYKDEFENEKNMLGGSLGDKAAEDLKQRIIEHNILVVSRYYSRITLKRLAELLCLTVEEAEKHLSEMVVSKALVAKIDWPMGIVCFQVAKDSNEILNSWAVNLEKLLDLVEKSCHQIHKETMVHKAALKV >KJB75154 pep chromosome:Graimondii2_0_v6:12:3380712:3386769:-1 gene:B456_012G027200 transcript:KJB75154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKNGNLEAAIDQLLNVEKQMRFAGDVAGTKKAVTDILQLCFEAKDWKSLNEQIVNLSKKRGQLKQAVTAMVQQAMQYIDETPDLETRIELIKTLNSVSAGKIYVEIERARLIKKLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDIDSSKEKKKPKEGDNVVEEPPADIPSLLELKRIYYELMIRYYFHNNDYLEICRCYKAIYEIPSIRENPSQWIPVKHISIYPLSMLLSCSHICYSLQVLRKICWYLILAPHDPMQSSLLNSMLEDKNLSEIPKFRLLLKQLVTMEVIQWTSLWNSYKDEFENEKNMLGGSLGDKAAEDLKQRIIEHNILVVSRYYSRITLKRLAELLCLTVEEAEKHLSEMVVSKALVAKIDWPMGIVCFQVAKDSNEILNSWAVNLEKLLDLVEKSCHQIHKETMVHKAALKV >KJB75152 pep chromosome:Graimondii2_0_v6:12:3380712:3386769:-1 gene:B456_012G027200 transcript:KJB75152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKNGNLEAAIDQLLNVEKQMRFAGDVAGTKKAVTDILQLCFEAKDWKSLNEQIVNLSKKRGQLKQAVTAMVQQAMQYIDETPDLETRIELIKTLNSVSAGKIYVEIERARLIKKLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDIDSSKEKKKPKEGDNVVEEPPADIPSLLELKRIYYELMIRYYFHNNDYLEICRCYKAIYEIPSIRENPSQWIPVLRKICWYLILAPHDPMQSSLLNSMLEDKNLSEIPKFRLLLKQLVTMEVIQWTSLWNSYKDEFENEKNMLGGSLGDKAAEDLKQRIIEHNILVVSRYYSRITLKRLAELLCLTVEEAEKHLSEMVVSKALVAKIDWPMGIVCFQVAKDSNEILNSWAVNLEKLLDLVEKSCHQIHKETMVHKAALKV >KJB76747 pep chromosome:Graimondii2_0_v6:12:23596884:23597993:-1 gene:B456_012G105100 transcript:KJB76747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIRSPYWCYRCNRFIRIRVRSPHDSLNCPDCGGGFIEEIETPSRSPIHHRFPVAAMYSDTPSPALSPSPTATPHFRRTRRNAGDRSPFNPVVVLRGPTSESDGIVTERGNRNFELYYDDGSGSGLRPLPASMSEFLMGSGFDRLLDQLSQLEANGVGRFEQPPASKAAIESMPVIKILGSHVRSESHCAVCKEPFELDSEAREMPCKHIYHSDCILPWLSIRNSCPVCRHELPTENNGNNLVENEAVRDEEAVGLTIWRLPGGGFAVGRFTGGTRAAEREFPLVFTEMDGGFNNAGAAPRRISWAPSGRRSQESRGLGRVFRSFVSFFGRFRSSSRSGSDSGFTRRSRSSSVFGRSSRRDSDWDFED >KJB76748 pep chromosome:Graimondii2_0_v6:12:23596133:23598256:-1 gene:B456_012G105100 transcript:KJB76748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIRSPYWCYRCNRFIRIRVRSPHDSLNCPDCGGGFIEEIETPSRSPIHHRFPVAAMYSDTPSPALSPSPTATPHFRRTRRNAGDRSPFNPVVVLRGPTSESDGIVTERGNRNFELYYDDGSGSGLRPLPASMSEFLMGSGFDRLLDQLSQLEANGVGRFEQPPASKAAIESMPVIKILGSHVRSESHCAVCKEPFELDSEAREMPCKHIYHSDCILPWLSIRNSCPVCRHELPTENNGNNLVENEAVRDEEAVGLTIWRLPGGGFAVGRFTGGTRAAEREFPLVFTEMDGGFNNAGAAPRRISWAPSGRRSQESRGLGVRHVQVQILDLQGEVDRVQCSVDHREGIATGISRIE >KJB77756 pep chromosome:Graimondii2_0_v6:12:32939304:32943702:-1 gene:B456_012G155500 transcript:KJB77756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAILGYLATSEEIPDSDQFASQHGFQHNDVVNVIKSLHGFRYIDAQDIKRESWVLTDEGKKYAADGSPEIQLFLAVPQEGSISKDELQKKLEPSVFKIGCSQAGKNKWVDMGKQVSRKVQHVEDKVKDLLIRIQKGEALCKDDINSLKARKLIVAQTWKGYSVKKGPNYAPTRKKVATDLTRENLQRGDWKELEFKEYNFNAKGPPAEAGHLHPLLKVKQQLKNIFLQLGFEEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLEVPSTTRELPEEYVKLVKRVHESGGYGSRGYMYDWKKEEANKNLLRTHTTAVSTRMLYALAKQPFTPKRYFSIDRVFRNESVDRTHLAEFHQIEGLVCDKGLTLGDLIGVLNDFFSRLGMSKLRFKPAYNPYTEPSMEIFSYHEGLKKWVEIGNSGMFRPEMLLPMGFPEDVRVIAWGLSLERPTMILYGVDNIRDLFGHKVDLSLMKRNPICRLGID >KJB77757 pep chromosome:Graimondii2_0_v6:12:32939379:32943677:-1 gene:B456_012G155500 transcript:KJB77757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAILGYLATSEEIPDSDQFASQHGFQHNDVVNVIKSLHGFRYIDAQDIKRESWVLTDEGKKYAADGSPEIQLFLAVPQEGSISKDELQKKLEPSVFKIGCSQAGKNKWVDMGKQVSRKVQHVEDKVKDLLIRIQKGEALCKDDINSLKARKLIVAQTWKGYSVKKGPNYAPTRKKVATDLTRENLQRGDWKELEFKEYNFNAKGPPAEAGHLHPLLKVKQQLKNIFLQLGFEEMPTNNFVESRYFWNFDALFQPQQHPARDSHDTFFLEVPSTTRELPEEYVKLVKRVHESGGYGSRGYMYDWKKEEANKNLLRTHTTAVSTRMLYALAKQPFTPKRYFSIDRVFRNESVDRTHLAEFHQIEGLVCDKGLTLGDLIGVLNDFFSRLGMSKLRFKPAYNPYTEPSMEIFSYHEGLKKWVEIGNSGMFRPEMLLPMGFPEDVRVIAWGLSLERPTMILYGVDNIRDLFGHKVDLSLMKRNPICRLGID >KJB75385 pep chromosome:Graimondii2_0_v6:12:5025230:5027054:-1 gene:B456_012G040700 transcript:KJB75385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNEKNDPQHNYDPFQGFNRSTTFPFFDDNSPCIYNQSAAAPPPAFDPSYMTFTDCLNGSNVIDYNSFSRAFDMSCSSSDVVSPMDDNTATDNSKKIPTCADNNNNISENPSTPNSSVSSSSNEDEDSSKAKKDKQPKGCEDHGDDKSKKVNKATKKEKRQKEPRFAFLTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTSPKCGVKKRVERSFEDPSVVITTYEGKHNHHIPATLRGHAAGMFSPPIMSGSMGPTFPHEFLTHFLPSSSNNPAAHANSMHHQTQQQQQQQLQVPDYGLLQDLVSSFTRSQAP >KJB76217 pep chromosome:Graimondii2_0_v6:12:12506623:12517841:1 gene:B456_012G077900 transcript:KJB76217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPIAMKEVLTLPSIGISPQFITFTNVTMESDKYICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKMKSHQMPEQVVFWKWISPKLLGLVTQTSVYHWSIEGDSEPVKMFERTANLVNNQIINYKCDPSEKWLVLIGIAPGAPEKPQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSILISFATKSFNAGQITSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISHKYGLIFVITKLGLLFVYDLETASAVYRNRISPDPIFLTSEASAAGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFTHYDRPRIAQLCEKAGLFVRALQHYTELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSATVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIRASEDADVYSDLVRYLLMVRQKVKEPKVDSELIYAYAKTDRLGEIEEFILMPNVANLQNVGDRLFDEALYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNVIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRAEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDIIVKVASVELYYKAVHFYLQEHPDLINDMLNVLALRVDHTRVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEQGKKECFAACLFVCYDLIRPDVALEMAWVNNMIDFTFPFLLQFIREYTGKVDELIKDKIEAQKDVKAKEQEEKEVIAQQNMYAQLLPLALPAPPMPGMGGGFAPPPPMGGGMGMPPMPPYGMPQMGSY >KJB76218 pep chromosome:Graimondii2_0_v6:12:12506669:12516385:1 gene:B456_012G077900 transcript:KJB76218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPIAMKEVLTLPSIGISPQFITFTNVTMESDKYICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKMKSHQMPEQVVFWKWISPKLLGLVTQTSVYHWSIEGDSEPVKMFERTANLVNNQIINYKCDPSEKWLVLIGIAPGAPEKPQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSILISFATKSFNAGQITSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISHKYGLIFVITKLGLLFVYDLETASAVYRNRISPDPIFLTSEASAAGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFTHYDRPRIAQLCEKAGLFVRALQHYTELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSATVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIRASEDADVYSDLVRYLLMVRQKVKEPKVDSELIYAYAKTDRLGEIEEFILMPNVANLQNVGDRLFDEALYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNVIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRAEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDIIVKVASVELYYKAVHFYLQEHPDLINDMLNVLALRVDHTRVVDIMRKVQFWWK >KJB74630 pep chromosome:Graimondii2_0_v6:12:28771:31698:-1 gene:B456_012G000300 transcript:KJB74630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DAMMQDMDVPLGSSPSQFSVDITDSHGNWKPNLSTFSDDMQLDSHYRNSKCSFGDTDISYNIGREDIWDAKVSYLDDGFPHEREDDISWKYWPHKIDGNSGDFLDYENGEIPDNAFEGHFMLRKRGVKATNLNSLDPSPKHLSSKVGHDLTTLIGESGRYNPIQTNYDVRDLPPQPGWPFFGTEDVKDSLSLLSFSSEESCSSSAVRGETIDSSPPNLMPRQSRRICSTFGRTRMKYDLDNDFAKETRCKDRDNLGQPSGKYMRTPVPLKSTATKRASYYLQGGIELSQKWLLEEGCNAVDIDLGFSSFHCTSQANLPSVGSQLWAEDPIGAFPVPELNLNVKSCFNTPKHSEFIHRLPFSCFTSEKFAFCQPLNQTNSFDSPVFSNIGAGSIKHALSPDSGRQGVPLDLFDAGQHREIEFLDLSVRGRVNGDDKRKPKSPPANCKQLELEMENCFGNDLLFSKEPIVMGGSNPNNKEDEFIEAKDGTLETKGSLGVETSPSVKIHEKGESKGYECDVEIPLPCQSGTEQKEQK >KJB74629 pep chromosome:Graimondii2_0_v6:12:28771:31698:-1 gene:B456_012G000300 transcript:KJB74629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DAMMQDMDVPLGSSPSQFSVDITDSHGNWKPNLSTFSDDMQLDSHYRNSKCSFGDTDISYNIGREDIWDAKVSYLDDGFPHEREDDISWKYWPHKIDGNSGDFLDYENGEIPDNAFEGHFMLRKRGVKATNLNSLDPSPKHLSSKVGHDLTTLIGESGRYNPIQTNYDVRDLPPQPGWPFFGTEDVKDSLSLLSSEESCSSSAVRGETIDSSPPNLMPRQSRRICSTFGRTRMKYDLDNDFAKETRCKDRDNLGQPSGKYMRTPVPLKSTATKRASYYLQGGIELSQKWLLEEGCNAVDIDLGFSSFHCTSQANLPSVGSQLWAEDPIGAFPVPELNLNVKSCFNTPKHSEFIHRLPFSCFTSEKFAFCQPLNQTNSFDSPVFSNIGAGSIKHALSPDSGRQGVPLDLFDAGQHREIEFLDLSVRGRVNGDDKRKPKSPPANCKQLELEMENCFGNDLLFSKEPIVMGGSNPNNKEDEFIEAKDGTLETKGSLGVETSPSVKIHEKGESKGYECDVEIPLPCQSGTEQKEQK >KJB74628 pep chromosome:Graimondii2_0_v6:12:28495:31698:-1 gene:B456_012G000300 transcript:KJB74628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DAMMQDMDVPLGSSPSQFSVDITDSHGNWKPNLSTFSDDMQLDSHYRNSKCSFGDTDISYNIGREDIWDAKVSYLDDGFPHEREDDISWKYWPHKIDGNSGDFLDYENGEIPDNAFEGHFMLRKRGVKATNLNSLDPSPKHLSSKVGHDLTTLIGERYNPIQTNYDVRDLPPQPGWPFFGTEDVKDSLSLLSFSSEESCSSSAVRGETIDSSPPNLMPRQSRRICSTFGRTRMKYDLDNDFAKETRCKDRDNLGQPSGKYMRTPVPLKSTATKRASYYLQGGIELSQKWLLEEGCNAVDIDLGFSSFHCTSQANLPSVGSQLWAEDPIGAFPVPELNLNVKSCFNTPKHSEFIHRLPFSCFTSEKFAFCQPLNQTNSFDSPVFSNIGAGSIKHALSPDSGRQGVPLDLFDAGQHREIEFLDLSVRGRVNGDDKRKPKSPPANCKQLELEMENCFGNDLLFSKEPIVMGGSNPNNKEDEFIEAKDGTLETKGSLGVETSPSVKIHEKGESKGYECDVEIPLPCQSGTEQKEQK >KJB74627 pep chromosome:Graimondii2_0_v6:12:28495:31698:-1 gene:B456_012G000300 transcript:KJB74627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DAMMQDMDVPLGSSPSQFSVDITDSHGNWKPNLSTFSDDMQLDSHYRNSKCSFGDTDISYNIGREDIWDAKVSYLDDGFPHEREDDISWKYWPHKIDGNSGDFLDYENGEIPDNAFEGHFMLRKRGVKATNLNSLDPSPKHLSSKVGHDLTTLIGESGRYNPIQTNYDVRDLPPQPGWPFFGTEDVKDSLSLLSEESCSSSAVRGETIDSSPPNLMPRQSRRICSTFGRTRMKYDLDNDFAKETRCKDRDNLGQPSGKYMRTPVPLKSTATKRASYYLQGGIELSQKWLLEEGCNAVDIDLGFSSFHCTSQANLPSVGSQLWAEDPIGAFPVPELNLNVKSCFNTPKHSEFIHRLPFSCFTSEKFAFCQPLNQTNSFDSPVFSNIGAGSIKHALSPDSGRQGVPLDLFDAGQHREIEFLDLSVRGRVNGDDKRKPKSPPANCKQLELEMENCFGNDLLFSKEPIVMGGSNPNNKEDEFIEAKDGTLETKGSLGVETSPSVKIHEKGESKGYECDVEIPLPCQSGTEQKEQK >KJB76255 pep chromosome:Graimondii2_0_v6:12:13393371:13397954:-1 gene:B456_012G081600 transcript:KJB76255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSYLSMASKPGLLTDWPWKPLGSFKYIILVPLIAEHIYSFMVKDNKDIDVSKLALFPSMLWRMLHNQLWISLSRYRIAKGSNKIVDKGIEFDQVDRERDWDDHIMFSAILFYWGNKCVPGGSRVPYWRLDGVIITMLLHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFLLFSIPVFTMVLTGTVSVIALAAYVTYLDFMNNMGHCNFELIPNWLFTLLPPLKYIIYTPSFHSLHHVQFRTNYSLFMPFYDYIYGTMDKSSDSLYEKSLRRKEESPYVVHLTHLTTPESIYHLRLGFASFASKPYTPSTWHMWLLWPVTLCSMMLTWIYCSTFVVESNRFHNIILQTWAIPKYNIQYRSKSQKQSINNLIEEAILEAEEKGARVLSLGLMNQGEELNMYGGVYMQKHPQLKVKLVDGSSLAVAVVLNSIPKGTTQVVLRGKLPKVACALAFALCQKRIQVRTCIIN >KJB76257 pep chromosome:Graimondii2_0_v6:12:13392278:13398022:-1 gene:B456_012G081600 transcript:KJB76257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSYLSMASKPGLLTDWPWKPLGSFKYIILVPLIAEHIYSFMVKDNKDIDVSKLALFPSMLWRMLHNQLWISLSRYRIAKGSNKIVDKGIEFDQVDRERDWDDHIMFSAILFYWGNKCVPGGSRVPYWRLDGVIITMLLHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFLLFSIPVFTMVLTGTVSVIALAAYVTYLDFMNNMGHCNFELIPNWLFTLLPPLKYIIYTPSFHSLHHVQFRTNYSLFMPFYDYIYGTMDKSSDSLYEKSLRRKEESPYVVHLTHLTTPESIYHLRLGFASFASKPYTPSTWHMWLLWPVTLCSMMLTWIYCSTFVVESNRFHNIILQTWAIPKYNIQYRSKSQKQSINNLIEEAILEAEEKGARVLSLGLMNQGEELNMYGGVYMQKHPQLKVKLVDGSSLAVAVVLNSIPKGTTQVVLRGKLPKVACALAFALCQKRIQVSVLREDEYEKLDKLLGTKSEGKLVLSKSYTCKTWLVGDGLSEVEQRKASKGTLFIPFSQFPPKKLRTDCFYHTTPAMQIPLAFENVDSCENWLPRRVMSIWRIAGLVHALEGWEEHECGYTTSNIEKVWEATLKHGFQPLKVPTHLKS >KJB76254 pep chromosome:Graimondii2_0_v6:12:13393371:13397954:-1 gene:B456_012G081600 transcript:KJB76254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSYLSMASKPGLLTDWPWKPLGSFKYIILVPLIAEHIYSFMVKDNKDIDVSKLALFPSMLWRMLHNQLWISLSRYRIAKGSNKIVDKGIEFDQVDRERDWDDHIMFSAILFYWGNKCVPGGSRVPYWRLDGVIITMLLHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFLLFSIPVFTMVLTGTVSVIALAAYVTYLDFMNNMGHCNFELIPNWLFTLLPPLKYIIYTPSFHSLHHVQFRTNYSLFMPFYDYIYGTMDKSSDSLYEKSLRRKEESPYVVHLTHLTTPESIYHLRLGFASFASKPYTPSTWHMWLLWPVTLCSMMLTWIYCSTFVVESNRFHNIILQTWAIPKYNIQYRSKSQKQSINNLIEEAILEAEEKGARVLSLGLMNQGEELNMYGGVYMQKHPQLKVKLVDGSSLAVAVVLNSIPKGTTQVVLRGKLPKVACALAFALCQKRIQVRTCIIN >KJB76252 pep chromosome:Graimondii2_0_v6:12:13393151:13397954:-1 gene:B456_012G081600 transcript:KJB76252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSYLSMASKPGLLTDWPWKPLGSFKYIILVPLIAEHIYSFMVKDNKDIDVSKLALFPSMLWRMLHNQLWISLSRYRIAKGSNKIVDKGIEFDQVDRERDWDDHIMFSAILFYWGNKCVPGGSRVPYWRLDGVIITMLLHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFLLFSIPVFTMVLTGTVSVIALAAYVTYLDFMNNMGHCNFELIPNWLFTLLPPLKYIIYTPSFHSLHHVQFRTNYSLFMPFYDYIYGTMDKSSDSLYEKSLRRKEESPYVVHLTHLTTPESIYHLRLGFASFASKPYTPSTWHMWLLWPVTLCSMMLTWIYCSTFVVESNRFHNIILQTWAIPKYNIQYRSKSQKQSINNLIEEAILEAEEKGARVLSLGLMNQGEELNMYGGVYMQKHPQLKVKLVDGSSLAVAVVLNSIPKGTTQVVLRGKLPKVACALAFALCQKRIQVSVLREDEYEKLDKLLGTKSEGKLVLSKSYTCKVPKPVLNYHFKVQSLSYS >KJB76253 pep chromosome:Graimondii2_0_v6:12:13392278:13397969:-1 gene:B456_012G081600 transcript:KJB76253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDNKDIDVSKLALFPSMLWRMLHNQLWISLSRYRIAKGSNKIVDKGIEFDQVDRERDWDDHIMFSAILFYWGNKCVPGGSRVPYWRLDGVIITMLLHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFLLFSIPVFTMVLTGTVSVIALAAYVTYLDFMNNMGHCNFELIPNWLFTLLPPLKYIIYTPSFHSLHHVQFRTNYSLFMPFYDYIYGTMDKSSDSLYEKSLRRKEESPYVVHLTHLTTPESIYHLRLGFASFASKPYTPSTWHMWLLWPVTLCSMMLTWIYCSTFVVESNRFHNIILQTWAIPKYNIQYRSKSQKQSINNLIEEAILEAEEKGARVLSLGLMNQGEELNMYGGVYMQKHPQLKVKLVDGSSLAVAVVLNSIPKGTTQVVLRGKLPKVACALAFALCQKRIQVSVLREDEYEKLDKLLGTKSEGKLVLSKSYTCKTWLVGDGLSEVEQRKASKGTLFIPFSQFPPKKLRTDCFYHTTPAMQIPLAFENVDSCENWLPRRVMSIWRIAGLVHALEGWEEHECGYTTSNIEKVWEATLKHGFQPLKVPTHLKS >KJB76256 pep chromosome:Graimondii2_0_v6:12:13392661:13397954:-1 gene:B456_012G081600 transcript:KJB76256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSYLSMASKPGLLTDWPWKPLGSFKYIILVPLIAEHIYSFMVKDNKDIDVSKLALFPSMLWRMLHNQLWISLSRYRIAKGSNKIVDKGIEFDQVDRERDWDDHIMFSAILFYWGNKCVPGGSRVPYWRLDGVIITMLLHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFLLFSIPVFTMVLTGTVSVIALAAYVTYLDFMNNMGHCNFELIPNWLFTLLPPLKYIIYTPSFHSLHHVQFRTNYSLFMPFYDYIYGTMDKSSDSLYEKSLRRKEESPYVVHLTHLTTPESIYHLRLGFASFASKPYTPSTWHMWLLWPVTLCSMMLTWIYCSTFVVESNRFHNIILQTWAIPKYNIQYRSKSQKQSINNLIEEAILEAEEKGARVLSLGLMNQGEELNMYGGVYMQKHPQLKVKLVDGSSLAVAVVLNSIPKGTTQVVLRGKLPKVACALAFALCQKRIQVSVLREDEYEKLDKLLGTKSEGKLVLSKSYTCKVPKPVLNYHFKTWLVGDGLSEVEQRKASKGTLFIPFSQFPPKKLRTDCFYHTTPAMQIPLAFENVDSCENWLPRRVMSIWRIAGLVHALEGWEEHECGYTTSNIEKVWEATLKHGFQPLKVPTHLKS >KJB76251 pep chromosome:Graimondii2_0_v6:12:13392278:13397969:-1 gene:B456_012G081600 transcript:KJB76251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDNKDIDVSKLALFPSMLWRMLHNQLWISLSRYRIAKGSNKIVDKGIEFDQVDRERDWDDHIMFSAILFYWGNKCVPGGSRVPYWRLDGVIITMLLHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFLLFSIPVFTMVLTGTVSVIALAAYVTYLDFMNNMGHCNFELIPNWLFTLLPPLKYIIYTPSFHSLHHVQFRTNYSLFMPFYDYIYGTMDKSSDSLYEKSLRRKEESPYVVHLTHLTTPESIYHLRLGFASFASKPYTPSTWHMWLLWPVTLCSMMLTWIYCSTFVVESNRFHNIILQTWAIPKYNIQYRSKSQKQSINNLIEEAILEAEEKGARVLSLGLMNQGEELNMYGGVYMQKHPQLKVKLVDGSSLAVAVVLNSIPKGTTQVVLRGKLPKVACALAFALCQKRIQVSVLREDEYEKLDKLLGTKSEGKLVLSKSYTCKTWLVGDGLSEVEQRKASKGTLFIPFSQFPPKKLRTDCFYHTTPAMQIPLAFENVDSCENWLPRRVMSIWRIAGLVHALEGWEEHECGYTTSNIEKVWEATLKHGFQPLKVPTHLKS >KJB77153 pep chromosome:Graimondii2_0_v6:12:28437835:28440855:1 gene:B456_012G123400 transcript:KJB77153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTLQHILQHIFLICVTLSPLCFSCNEDCFTSFTPTTATPSPNVSIKDQIISLANDQETVDWMKRIRRKIHQYPELAYEEVDTSQLIRHELDLLGVPYHWPVATTGVVAKIGSGSPPFAALRADMDALPIQELVEWEHKSNVDGKMHACAHDAHVAMLLGAAKILQKLQCALQGTVILIFQPAEERGEGAKHMIEESVLEKVEAIFGLHLVHEYPAGVVATRAKEFLAGCGSFKATISGKGGHAAIPHLAIDPILAASTAIISLQNIVSRETDPLDSQVVSVSIIHGGTAINVIPDSVTIAGTFRAFSTKRFNALRDRIEEVIKAQVAVHRCSAAVEFSGKDHPTIPPTVNDEKVFEHVVRVSREIVGEENTKVAPMFMGSEDFAFYLDKVPGTFLFLGIRNEKIGAIHPPHSSYFTIDEDVFPIGAAIHAAFAHSFLSNYTSSV >KJB74922 pep chromosome:Graimondii2_0_v6:12:1665255:1667917:1 gene:B456_012G014800 transcript:KJB74922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQADSAMVSKGLLLMPGSDSKSVGVKRSIDELEGKHDVSPNGIKMRDLDSVIRSEELNGHNSKSMKRKDSSRQLQFSGVVSQVNEVPVTFNFGSQVKRTAEGEKLSPICLPLDLNTDIPTAITESCDNNPEYEEKFERSCSQESNCLTSKGFRLDLNAKDVSSSVNHDLTRHKHVKNMKPKDVSECGSSIGPVEERDSLRVWKEMKLNGFLSSAHGGISMQSGLFSSSHAGVSMQNGVLSSSHGGIPMPKQRGRKSKNDVLKKKMELAKKEQVDRFTKIAAPSGLLNGLNPGIINHVRNRKQVHSIIEALVKSEKLENLHSESKQASHVKSGARDDDDGKMDHGIMDDSGFHHLSCYHEDGPPNATTMNKRARGYLVPMHQPISSNSEEISGDGDSSMVDQVSEDDALALKLSSSTKASKNASSLSNEESMNFNSASSLSIKAATVASQWLELLQQDIKGRLSGIFPSVKLFSDRI >KJB74923 pep chromosome:Graimondii2_0_v6:12:1665255:1668761:1 gene:B456_012G014800 transcript:KJB74923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQADSAMVSKGLLLMPGSDSKSVGVKRSIDELEGKHDVSPNGIKMRDLDSVIRSEELNGHNSKSMKRKDSSRQLQFSGVVSQVNEVPVTFNFGSQVKRTAEGEKLSPICLPLDLNTDIPTAITESCDNNPEYEEKFERSCSQESNCLTSKGFRLDLNAKDVSSSVNHDLTRHKHVKNMKPKDVSECGSSIGPVEERDSLRVWKEMKLNGFLSSAHGGISMQSGLFSSSHAGVSMQNGVLSSSHGGIPMPKQRGRKSKNDVLKKKMELAKKEQVDRFTKIAAPSGLLNGLNPGIINHVRNRKQVHSIIEALVKSEKLENLHSESKQASHVKSGARDDDDGKMDHGIMDDSGFHHLSCYHEDGPPNATTMNKRARGYLVPMHQPISSNSEEISGDGDSSMVDQVSEDDALALKLSSSTKASKNASSLSNEESMNFNSASSLSIKAATVASQWLELLQQDIKGRLSGIFPSVKLFSDRI >KJB74921 pep chromosome:Graimondii2_0_v6:12:1664827:1668771:1 gene:B456_012G014800 transcript:KJB74921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQADSAMVSKGLLLMPGSDSKSVGVKRSIDELEGKHDVSPNGIKMRDLDSVIRSEELNGHNSKSMKRKDSSRQLQFSGVVSQVNEVPVTFNFGSQVKRTAEGEKLSPICLPLDLNTDIPTAITESCDNNPEYEEKFERSCSQESNCLTSKGFRLDLNAKDVSSSVNHDLTRHKHVKNMKPKDVSECGSSIGPVEERDSLRVWKEMKLNGFLSSAHGGISMQSGLFSSSHAGVSMQNGVLSSSHGGIPMPKQRGRKSKNDVLKKKMELAKKEQVDRFTKIAAPSGLLNGLNPGIINHVRNRKQVHSIIEALVKSEKLENLHSESKQASHVKSGARDDDDGKMDHGIMDDSGFHHLSCYHEDGPPNATTMNKRARGYLVPMHQPISSNSEEISGDGDSSMVDQVSEDDALALKLSSSTKASKNASSLSNEESMNFNSASSLSIKAATVASQWLELLQQDIKGRLSALRRSKKKVRAVITTELPFLISKECSSNKGGDHNTVRTSADGFLQDAAAEMHIARWSSLFDQMDKALSEEEKQLEIWSNQIKGMQLHCDQGLQHMHWNVLYSLPQQGASANNIRSGSGDSFDRELAVRAAAASIYSTCDFMLSKENVACSLI >KJB75822 pep chromosome:Graimondii2_0_v6:12:8358349:8360790:1 gene:B456_012G059500 transcript:KJB75822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEKTMKYSSSSSSSSSYYFYFFKERCLNKGRLPPFFMSFLLLTVIFILFILYSTTPLTPISNQALSRNLRLPLIKPPPNLPRKGKPRIFHPPLQGYDDGISKCDLSKGHWIPDLRGSLYTNSSCTTIPDSKNCFHHGRSDRDFLNWRWKPDQCNLPRFDPKAFLDFVKGKTLGFVGDSVARNHMESLLCLLSKVETPKDEYKDSEDRKRIWYFPKHDFTLMILWSRYLVSAEERMVNGSLSGNFNLHLHKIDEEWSKDLPLLDYVIISAAHWFFRPIFVHNSTGIVGCVYCNTPNVKDYGVGFPLKLAFRSALNHINNCKTCKVRVTLVRTFSPAHFEDGAWNTGGRCNRTSPLGESEINLGTTEWELRSMQMEEIEKANIKGAKVGRRFGALDITRAMLMRPDGHPNEFWGNKWMKGYNDCVHWCLPGPVDVWNDFMMAVLRREASFVS >KJB77253 pep chromosome:Graimondii2_0_v6:12:29486466:29486957:1 gene:B456_012G127800 transcript:KJB77253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISNVIGPVERMALANHPIKSLYFMVVGVPQSLTITMVSYMGKLRIAVGTEKGYIDPPKFKSSIENAFEMILKAAHETV >KJB74711 pep chromosome:Graimondii2_0_v6:12:425833:429891:1 gene:B456_012G003600 transcript:KJB74711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTYDIKISTINGQSVCMVGIFDVTTMMCNCRAFGARAAKYLKLYLFDNPMKHPQLMTNTKLAIICSMISITRAFDNRVVKKYVIAKPEIQFSQDIVIDEELELFVLEAVALAWAEEAETTAKRLTYIAFTGMEQKITFGWSFQCIVIKFHYQESA >KJB75288 pep chromosome:Graimondii2_0_v6:12:5086139:5089937:1 gene:B456_012G040800 transcript:KJB75288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCAAMVVVGYRPVLSPKCFASNPKINTDQLRYQLDQLHAEAETTRAKANNARLRFLRLSEAAEKLRRQAAVSMQRGKENEARDLLFQKKKVMQALEKSKSRIELLDKLATKLNEVINVKETQLIGNIVSDSEIGEEDGSSPVRIISPKEQAENNKNKNKDFGLDALTVGEDQKLLLDTDDLVEQPANKELEEDKAFAFNEDNRTSSLAAISTYEDFLEHLDQQLKQIEQELLTILNVSTLLLDDEKPKNVKVQQTTGLLESILDLRQRITNIRQMKVEIS >KJB75283 pep chromosome:Graimondii2_0_v6:12:5086139:5091016:1 gene:B456_012G040800 transcript:KJB75283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCAAMVVVGYRPVLSPKCFASNPKINTDQLRYQLDQLHAEAETTRAKANNARLRFLRLSEAAEKLRRQAAVSMQRGKENEARDLLFQKKKVMQALEKSKSRIELLDKLATKLNEVINVKETQLIGNIVSDSEIGEEDGSSPVRIISPKEQAENNKNKNKDFGLDALTVGEDQKLLLDTDDLVEQPANKELEEDKAFAFNEDNRTSSLAAISTYEDFLEHLDQQLKQIEQELLTILNVSTLLLDDEKPKNVKVQQTTGLLESILDLRQRITNIRQMKVEIS >KJB75280 pep chromosome:Graimondii2_0_v6:12:5086115:5091144:1 gene:B456_012G040800 transcript:KJB75280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCAAMVVVGYRPVLSPKCFASNPKINTDQLRYQLDQLHAEAETTRAKANNARLRFLRLSEAAEKLRRQAAVSMQRGKENEARDLLFQKKKVMQALEKSKSRIELLDKLATKLNEVINVKETQLIGNIVSDSEIGEEDGSSPVRIISPKEQAENNKNKNKDFGLDALTVGEDQKLLLDTDDLVEQPANKELEEDKAFAFNEDNRTSSLAAISTYEDFLEHLDQQLKQIEQELLTILNVSTLLLDDEKPKNVKVQQTTGLLESILDLRQRITNIRQMKVEIS >KJB75287 pep chromosome:Graimondii2_0_v6:12:5086139:5091016:1 gene:B456_012G040800 transcript:KJB75287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCAAMVVVGYRPVLSPKCFASNPKINTDQLRYQLDQLHAEAETTRAKANNARLRFLRLSEAAEKLRRQAAVSMQRGKENEARDLLFQKKKVMQALEKSKSRIELLDKLATKLNEVINVKETQLIGNIVSDSEIGEEDGSSPVRIISPKEQAENNKNKNKDFGLDALTVGEDQKLLLDTDDLVEQPANKELEEDKAFAFNEDNRTSSLAAISTYEDFLEHLDQQLKQIEQELLTILNVSTLLLDDEKPKNVKVQQTTGLLESILDLRQRITNIRQMKVEIS >KJB75289 pep chromosome:Graimondii2_0_v6:12:5086139:5089769:1 gene:B456_012G040800 transcript:KJB75289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCAAMVVVGYRPVLSPKCFASNPKINTDQLRYQLDQLHAEAETTRAKANNARLRFLRLSEAAEKLRRQAAVSMQRGKENEARDLLFQKKKVMQALEKSKSRIELLDKLATKLNEVINVKETQLIGNIVSDSEIGEEDGSSPVRIISPKEQAENNKNKNKDFGLDALTVGEDQKLLLDTDDLVEQPANKELEEDKAFAFNEDNRTSSLAAISTYEDFLEHLDQQLKQIEQELLTILNVSTLLLDDEKPKNVKVQQTTGLLESILDLRQRITNIRQMKVEIS >KJB75281 pep chromosome:Graimondii2_0_v6:12:5086139:5091218:1 gene:B456_012G040800 transcript:KJB75281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCAAMVVVGYRPVLSPKCFASNPKINTDQLRYQLDQLHAEAETTRAKANNARLRFLRLSEAAEKLRRQAAVSMQRGKENEARDLLFQKKKVMQALEKSKSRIELLDKLATKLNEVINVKETQLIGNIVSDSEIGEEDGSSPVRIISPKEQAENNKNKNKDFGLDALTVGEDQKLLLDTDDLVEQPANKELEEDKAFAFNEDNRTSSLAAISTYEDFLEHLDQQLKQIEQELLTILNVSTLLLDDEKPKNVKVQQTTGLLESILDLRQRITNIRQMKVEIS >KJB75286 pep chromosome:Graimondii2_0_v6:12:5086115:5091144:1 gene:B456_012G040800 transcript:KJB75286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCAAMVVVGYRPVLSPKCFASNPKINTDQLRYQLDQLHAEAETTRAKANNARLRFLRLSEAAEKLRRQAAVSMQRGKENEARDLLFQKKKVMQALEKSKSRIELLDKLATKLNEVINVKETQLIGNIVSDSEIGEEDGSSPVRIISPKEQAENNKNKNKDFGLDALTVGEDQKLLLDTDDLVEQPANKELEEDKAFAFNEDNRTSSLAAISTYEDFLEHLDQQLKQIEQELLTILNVSTLLLDDEKPKNVKVQQTTGLLESILDLRQRITNIRQMKVEIS >KJB75282 pep chromosome:Graimondii2_0_v6:12:5086115:5091144:1 gene:B456_012G040800 transcript:KJB75282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCAAMVVVGYRPVLSPKCFASNPKINTDQLRYQLDQLHAEAETTRAKANNARLRFLRLSEAAEKLRRQAAVSMQRGKENEARDLLFQKKKVMQALEKSKSRIELLDKLATKLNEVINVKETQLIGNIVSDSEIGEEDGSSPVRIISPKEQAENNKNKNKDFGLDALTVGEDQKLLLDTDDLVEQPANKELEEDKAFAFNEDNRTSSLAAISTYEDFLEHLDQQLKQIEQELLTILNVSTLLLDDEKPKNVKVQQTTGLLESILDLRQRITNIRQMKVEIS >KJB75279 pep chromosome:Graimondii2_0_v6:12:5086108:5091205:1 gene:B456_012G040800 transcript:KJB75279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCAAMVVVGYRPVLSPKCFASNPKINTDQLRYQLDQLHAEAETTRAKANNARLRFLRLSEAAEKLRRQAAVSMQRGKENEARDLLFQKKKVMQALEKSKSRIELLDKLATKLNEVINVKETQLIGNIVSDSEIGEEDGSSPVRIISPKEQAENNKNKNKDFGLDALTVGEDQKLLLDTDDLVEQPANKELEEDKAFAFNEDNRTSSLAAISTYEDFLEHLDQQLKQIEQELLTILNVSTLLLDDEKPKNVKVQQTTGLLESILDLRQRITNIRQMKVEIS >KJB75285 pep chromosome:Graimondii2_0_v6:12:5086139:5091016:1 gene:B456_012G040800 transcript:KJB75285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCAAMVVVGYRPVLSPKCFASNPKINTDQLRYQLDQLHAEAETTRAKEAAEKLRRQAAVSMQRGKENEARDLLFQKKKVMQALEKSKSRIELLDKLATKLNEVINVKETQLIGNIVSDSEIGEEDGSSPVRIISPKEQAENNKNKNKDFGLDALTVGEDQKLLLDTDDLVEQPANKELEEDKAFAFNEDNRTSSLAAISTYEDFLEHLDQQLKQIEQELLTILNVSTLLLDDEKPKNVKVQQTTGLLESILDLRQRITNIRQMKVEIS >KJB75284 pep chromosome:Graimondii2_0_v6:12:5086139:5091218:1 gene:B456_012G040800 transcript:KJB75284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCAAMVVVGYRPVLSPKCFASNPKINTDQLRYQLDQLHAEAETTRAKANNARLRFLRLSEAAEKLRRQAAVSMQRGKENEARDLLFQKKKVMQALEKSKSRIELLDKLATKLNEVINVKETQLIGNIVSDSEIGEEDGSSPVRIISPKEQAENNKNKNKDFGLDALTVGEDQKLLLDTDDLVEQPANKELEEDKAFAFNEDNRTSSLAAISTYEDFLEHLDQQLKQIEQELLTILNVSTLLLDDEKPKNVKVQQTTGLLESILDLRQRITNIRQMKVEIS >KJB78052 pep chromosome:Graimondii2_0_v6:12:34422094:34426296:1 gene:B456_012G174700 transcript:KJB78052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSAFDALADDDDRMEVNDEDVVAKALKGKKKKSKGGKKGGNRFAAAALDEFDEDFSEKVDEMKAEEEDVPSISFSDKKKKSKSTKKSGKAIGGSAFDAIAAEDDDGEVVDDGGDVSKEESKVEVDDDDGDDLIAKAFAGKKKSKGGKKGGGSRFTATVLDELGDEGGVKEDVEDDVPVFPSSDKKKKSKSARKVGNPFSAAALDEVESDSELKEDEEDDVASFSFSDKKKKSKSKSSKKSGNSFSAALLDDEDEGDASVSESTGAAAIDDYDDDTSMVAFSGKKKKSSKKKSSAAFAALGDEAGQQSEVSDMVETELSSLGTSNVEAVDSKTNNKSEEAVETSKNKKKNKKKNKSGRTEQEEEDLDKILAELGEGPAVAKPTTAAPPQEEKAQVQPEPEPVIAADATGEKEGEDEPAESAAAKKKKKKKEKEKEKKAAAAAAAAEVKEEETKIETSDTKKKDAKSKAADKKLPKHVREMQEALARRKEAEERKKKEEEERLRKEEEERRRQEELEQQAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEARRREAMRNQILANAGGFSLPTADKEGAPTKRPIYQTKKSKPAHHANGAASTKPEENIQSKAKEQEESETKVELESVYDEKVDEVESNNTEDNSVVADAAEGNGMEEEEDDDDDGEWDEKSWDDVNLNIKGAFDDEEADSVPKPAVQKDIKSAPLASRNAASPAVAKPMVEAKKPVTSQPNTSQPEVKSTDKNLKKNTAVQNKVPKSDASPKQSEDLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAANIRERTKELKADATLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRKTEFIIALNKVDRLYDWKARRNSPIGMTLKKQTKDVLSEFNRRVTHVITQFKEQGLNTELYYKNREMGETFSIVPTSAVTGEGIPDLLLLLVQWTQKTMVEKLTFSDEVQCTVLEVKVIEGLGTTIDVVLVNGVLHEGDQIVVCGLQGPIVTTIRALLTPHPMKEIRVKVWFSGGAFLISV >KJB78058 pep chromosome:Graimondii2_0_v6:12:34422094:34429855:1 gene:B456_012G174700 transcript:KJB78058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSAFDALADDDDRMEVNDEDVVAKALKGKKKKSKGGKKGGNRFAAAALDEFDEDFSEKVDEMKAEEEDVPSISFSDKKKKSKSTKKSGKAIGGSAFDAIAAEDDDGEVVDDGGDVSKEESKVEVDDDDGDDLIAKAFAGKKKSKGGKKGGGSRFTATVLDELGDEGGVKEDVEDDVPVFPSSDKKKKSKSARKVGNPFSAAALDEVESDSELKEDEEDDVASFSFSDKKKKSKSKSSKKSGNSFSAALLDDEDEGDASVSESTGAAAIDDYDDDTSMVAFSGKKKKSSKKKSSAAFAALGDEAGQQSEVSDMVETELSSLGTSNVEAVDSKTNNKSEEAVETSKNKKKNKKKNKSGRTEQEEEDLDKILAELGEGPAVAKPTTAAPPQEEKAQVQPEPEPVIAADATGEKEGEDEPAESAAAKKKKKKKEKEKEKKAAAAAAAAEVKEEETKIETSDTKKKDAKSKAADKKLPKHVREMQEALARRKEAEERKKKEEEERLRKEEEERRRQEELEQQAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEARRREAMRNQILANAGGFSLPTADKEGAPTKRPIYQTKKSKPAHHANGAASTKPEENIQSKAKEQEESETKVELESVYDEKVDEVESNNTEDNSVVADAAEGNGMEEEEDDDDDGEWDEKSWDDVNLNIKGAFDDEEADSVPKPAVQKDIKSAPLASRNAASPAVAKPMVEAKKPVTSQPNTSQPEVKSTDKNLKKNTAVQNKVPKSDASPKQSEDLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAANIRERTKELKADATLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRKTEFIIALNKVDRLYDWKARRNSPIGMTLKKQTKDVLSEFNRRVTHVITQFKEQGLNTELYYKNREMGETFSIVPTSAVTGEGIPDLLLLLVQWTQKTMVEKLTFSDEVQCTVLEVKVIEGLGTTIDVVLVNGVLHEGDQIVVCGLQGPIVTTIRALLTPHPMKEIRVKGAYLQHKEIKAAQGIKIAAQGLEHAIAGTSIYVVGPDDDLEDIKEAAKEDMQSVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPEVNIPVSGIGLGPVHKKDVMKASVMLEKKNEYATILAFDVKVTPEARELADELGVRIFIADIIYHLFDQFKAYIDGLKEERKREAADEAVFPCVLKILPNCIFNKKDPIVLGVDILEGILRVGTPICIPQREFIDIGRIASIENNHRPVDSAKKGQKVAIKIVGSNTEEQQKMYGRHFELEDELVSHISRRSIDILKANYRDDLTLDEWRLVQRLKILFKIP >KJB78055 pep chromosome:Graimondii2_0_v6:12:34422094:34429917:1 gene:B456_012G174700 transcript:KJB78055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSAFDALADDDDRMEVNDEDVVAKALKGKKKKSKGGKKGGNRFAAAALDEFDEDFSEKVDEMKAEEEDVPSISFSDKKKKSKSTKKSGKAIGGSAFDAIAAEDDDGEVVDDGGDVSKEESKVEVDDDDGDDLIAKAFAGKKKSKGGKKGGGSRFTATVLDELGDEGGVKEDVEDDVPVFPSSDKKKKSKSARKVGNPFSAAALDEVESDSELKEDEEDDVASFSFSDKKKKSKSKSSKKSGNSFSAALLDDEDEGDASVSESTGAAAIDDYDDDTSMVAFSGKKKKSSKKKSSAAFAALGDEAGQQSEVSDMVETELSSLGTSNVEAVDSKTNNKSEEAVETSKNKKKNKKKNKSGRTEQEEEDLDKILAELGEGPAVAKPTTAAPPQEEKAQVQPEPEPVIAADATGEKEGEDEPAESAAAKKKKKKKEKEKEKKAAAAAAAAEVKEEETKIETSDTKKKDAKSKAADKKLPKHVREMQEALARRKEAEERKKKEEEERLRKEEEERRRQEELEQQAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEARRREAMRNQILANAGGFSLPTADKEGAPTKRPIYQTKKSKPAHHANGAASTKPEENIQSKAKEQEESETKVELESVYDEKVDEVESNNTEDNSVVADAAEGNGMEEEEDDDDDGEWDEKSWDDVNLNIKGAFDDEEADSVPKPAVQKDIKSAPLASRNAASPAVAKPMVEAKKPVTSQPNTSQPEVKSTDKNLKKNTAVQNKVPKSDASPKQSEDLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAANIRERTKELKADATLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRKTEFIIALNKVDRLYDWKARRNSPIGMTLKKQTKDVLSEFNRRVTHVITQFKEQGLNTELYYKNREMGETFSIVPTSAVTGEGIPDLLLLLVQWTQKTMVEKLTFSDEVQCTVLEVKVIEGLGTTIDVVLVNGVLHEGDQIVVCGLQGPIVTTIRALLTPHPMKEIRVKGAYLQHKEIKAAQGIKIAAQGLEHAIAGTSIYVVGPDDDLEDIKEAAKEDMQSVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPEVNIPVSGIGLGPVHKKDVMKASVMLEKKNEYATILAFDVKVTPEARELADELGVRIFIADIIYHLFDQFKAYIDGLKEERKREAADEAVFPCVLKILPNCIFNKKDPIVLGVDILEGILRVGTPICIPQREFIDIGRIASIENNHRPVDSAKKGQKVAIKIVGSNTEEQQKMYGRHFELEDELVSHISRRSIDILKANYRDDLTLDEWRLVQRLKILFKIP >KJB78053 pep chromosome:Graimondii2_0_v6:12:34422094:34429855:1 gene:B456_012G174700 transcript:KJB78053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSAFDALADDDDRMEVNDEDVVAKALKGKKKKSKGGKKGGNRFAAAALDEFDEDFSEKVDEMKAEEEDVPSISFSDKKKKSKSTKKSGKAIGGSAFDAIAAEDDDGEVVDDGGDVSKEESKVEVDDDDGDDLIAKAFAGKKKSKGGKKGGGSRFTATVLDELGDEGGVKEDVEDDVPVFPSSDKKKKSKSARKVGNPFSAAALDEVESDSELKEDEEDDVASFSFSDKKKKSKSKSSKKSGNSFSAALLDDEDEGDASVSESTGAAAIDDYDDDTSMVAFSGKKKKSSKKKSSAAFAALGDEAGQQSEVSDMVETELSSLGTSNVEAVDSKTNNKSEEAVETSKNKKKNKKKNKSGRTEQEEEDLDKILAELGEGPAVAKPTTAAPPQEEKAQVQPEPEPVIAADATGEKEGEDEPAESAAAKKKKKKKEKEKEKKAAAAAAAAEVKEEETKIETSDTKKKDAKSKAADKKLPKHVREMQEALARRKEAEERKKKEEEERLRKEEEERRRQEELEQQAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEARRREAMRNQILANAGGFSLPTADKEGAPTKRPIYQTKKSKPAHHANGAASTKPEENIQSKAKEQEESETKVELESVYDEKVDEVESNNTEDNSVVADAAEGNGMEEEEDDDDDGEWDEKSWDDVNLNIKGAFDDEEADSVPKPAVQKDIKSAPLASRNAASPAVAKPMVEAKKPVTSQPNTSQPEVKSTDKNLKKNTAVQNKVPKSDASPKQSEDLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAANIRERTKELKADATLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRKTEFIIALNKVDRLYDWKARRNSPIGMTLKKQTKDVLSEFNRRVTHVITQFKEQGLNTELYYKNREMGETFSIVPTSAVTGEGIPDLLLLLVQWTQKTMVEKLTFSDEVQCTVLEVKVIEGLGTTIDVVLVNGVLHEGDQIVVCGLQGPIVTTIRALLTPHPMKEIRVKGAYLQHKEIKAAQGIKIAAQGLEHAIAGTSIYVVGPDDDLEDIKEAAKEDMQSVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPEVNIPVSGIGLGPVHKKDVMKASVMLEKKNEYATILAFDVKVTPEARELADELGVRIFIADIIYHLFDQFKAYIDGLKEERKREAADEAVFPCVLKILPNCIFNKKDPIVLGVDILEGILRVGTPICIPQREFIDIGRIASIENNHRPVDSAKKGQKVAIKIVGSNTEEQQKMYGRHFELEDELVSHISRRSIDILKANYRDDLTLDEWRLVQRLKILFKIP >KJB78057 pep chromosome:Graimondii2_0_v6:12:34422094:34429855:1 gene:B456_012G174700 transcript:KJB78057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSAFDALADDDDRMEVNDEDVVAKALKGKKKKSKGGKKGGNRFAAAALDEFDEDFSEKVDEMKAEEEDVPSISFSDKKKKSKSTKKSGKAIGGSAFDAIAAEDDDGEVVDDGGDVSKEESKVEVDDDDGDDLIAKAFAGKKKSKGGKKGGGSRFTATVLDELGDEGGVKEDVEDDVPVFPSSDKKKKSKSARKVGNPFSAAALDEVESDSELKEDEEDDVASFSFSDKKKKSKSKSSKKSGNSFSAALLDDEDEGDASVSESTGAAAIDDYDDDTSMVAFSGKKKKSSKKKSSAAFAALGDEAGQQSEVSDMVETELSSLGTSNVEAVDSKTNNKSEEAVETSKNKKKNKKKNKSGRTEQEEEDLDKILAELGEGPAVAKPTTAAPPQEEKAQVQPEPEPVIAADATGEKEGEDEPAESAAAKKKKKKKEKEKEKKAAAAAAAAEVKEEETKIETSDTKKKDAKSKAADKKLPKHVREMQEALARRKEAEERKKKEEEERLRKEEEERRRQEELEQQAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEARRREAMRNQILANAGGFSLPTADKEGAPTKRPIYQTKKSKPAHHANGAASTKPEENIQSKAKEQEESETKVELESVYDEKVDEVESNNTEDNSVVADAAEGNGMEEEEDDDDDGEWDEKSWDDVNLNIKGAFDDEEADSVPKPAVQKDIKSAPLASRNAASPAVAKPMVEAKKPVTSQPNTSQPEVKSTDKNLKKNTAVQNKVPKSDASPKQSEDLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAANIRERTKELKADATLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRKTEFIIALNKVDRLYDWKARRNSPIGMTLKKQTKDVLSEFNRRVTHVITQFKEQGLNTELYYKNREMGETFSIVPTSAVTGEGIPDLLLLLVQWTQKTMVEKLTFSDEVQCTVLEVKVIEGLGTTIDVVLVNGVLHEGDQIVVCGLQGPIVTTIRALLTPHPMKEIRVKGAYLQHKEIKAAQGIKIAAQGLEHAIAGTSIYVVGPDDDLEDIKEAAKEDMQSVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPEVNIPVSGIGLGPVHKKDVMKASVMLEKKNEYATILAFDVKVTPEARELADELGVRIFIADIIYHLFDQFKAYIDGLKEERKREAADEAVFPCVLKILPNCIFNKKDPIVLGVDILEGILRVGTPICIPQREFIDIGRIASIENNHRPVDSAKKGQKVAIKIVGSNTEEQQKMYGRHFELEDELVSHISRRSIDILKANYRVSYISEATYRIVLSPIISFISDFLSA >KJB78056 pep chromosome:Graimondii2_0_v6:12:34422094:34429855:1 gene:B456_012G174700 transcript:KJB78056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSAFDALADDDDRMEVNDEDVVAKALKGKKKKSKGGKKGGNRFAAAALDEFDEDFSEKVDEMKAEEEDVPSISFSDKKKKSKSTKKSGKAIGGSAFDAIAAEDDDGEVVDDGGDVSKEESKVEVDDDDGDDLIAKAFAGKKKSKGGKKGGGSRFTATVLDELGDEGGVKEDVEDDVPVFPSSDKKKKSKSARKVGNPFSAAALDEVESDSELKEDEEDDVASFSFSDKKKKSKSKSSKKSGNSFSAALLDDEDEGDASVSESTGAAAIDDYDDDTSMVAFSGKKKKSSKKKSSAAFAALGDEAGQQSEVSDMVETELSSLGTSNVEAVDSKTNNKSEEAVETSKNKKKNKKKNKSGRTEQEEEDLDKILAELGEGPAVAKPTTAAPPQEEKAQVQPEPEPVIAADATGEKEGEDEPAESAAAKKKKKKKEKEKEKKAAAAAAAAEVKEEETKIETSDTKKKDAKSKAADKKLPKHVREMQEALARRKEAEERKKKEEEERLRKEEEERRRQEELEQQAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEARRREAMRNQILANAGGFSLPTADKEGAPTKRPIYQTKKSKPAHHANGAASTKPEENIQSKAKEQEESETKVELESVYDEKVDEVESNNTEDNSVVADAAEGNGMEEEEDDDDDGEWDEKSWDDVNLNIKGAFDDEEADSVPKPAVQKDIKSAPLASRNAASPAVAKPMVEAKKPVTSQPNTSQPEVKSTDKNLKKNTAVQNKVPKSDASPKQSEDLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAANIRERTKELKADATLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRKTEFIIALNKVDRLYDWKARRNSPIGMTLKKQTKDVLSEFNRRVTHVITQFKEQGLNTELYYKNREMGETFSIVPTSAVTGEGIPDLLLLLVQWTQKTMVEKLTFSDEVQCTVLEVKVIEGLGTTIDVVLVNGVLHEGDQIVVCGLQGPIVTTIRALLTPHPMKEIRVKGAYLQHKEIKAAQGIKIAAQVGLEHAIAGTSIYVVGPDDDLEDIKEAAKEDMQSVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPEVNIPVSGIGLGPVHKKDVMKASVMLEKKNEYATILAFDVKVTPEARELADELGVRIFIADIIYHLFDQFKAYIDGLKEERKREAADEAVFPCVLKILPNCIFNKKDPIVLGVDILEGILRVGTPICIPQREFIDIGRIASIENNHRPVDSAKKGQKVAIKIVGSNTEEQQKMYGRHFELEDELVSHISRRSIDILKANYRDDLTLDEWRLVQRLKILFKIP >KJB78054 pep chromosome:Graimondii2_0_v6:12:34422094:34429855:1 gene:B456_012G174700 transcript:KJB78054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSAFDALADDDDRMEVNDEDVVAKALKGKKKKSKGGKKGGNRFAAAALDEFDEDFSEKVDEMKAEEEDVPSISFSDKKKKSKSTKKSGKAIGGSAFDAIAAEDDDGEVVDDGGDVSKEESKVEVDDDDGDDLIAKAFAGKKKSKGGKKGGGSRFTATVLDELGDEGGLKEDEEDDVASFSFSDKKKKSKSKSSKKSGNSFSAALLDDEDEGDASVSESTGAAAIDDYDDDTSMVAFSGKKKKSSKKKSSAAFAALGDEAGQQSEVSDMVETELSSLGTSNVEAVDSKTNNKSEEAVETSKNKKKNKKKNKSGRTEQEEEDLDKILAELGEGPAVAKPTTAAPPQEEKAQVQPEPEPVIAADATGEKEGEDEPAESAAAKKKKKKKEKEKEKKAAAAAAAAEVKEEETKIETSDTKKKDAKSKAADKKLPKHVREMQEALARRKEAEERKKKEEEERLRKEEEERRRQEELEQQAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEARRREAMRNQILANAGGFSLPTADKEGAPTKRPIYQTKKSKPAHHANGAASTKPEENIQSKAKEQEESETKVELESVYDEKVDEVESNNTEDNSVVADAAEGNGMEEEEDDDDDGEWDEKSWDDVNLNIKGAFDDEEADSVPKPAVQKDIKSAPLASRNAASPAVAKPMVEAKKPVTSQPNTSQPEVKSTDKNLKKNTAVQNKVPKSDASPKQSEDLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAANIRERTKELKADATLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRKTEFIIALNKVDRLYDWKARRNSPIGMTLKKQTKDVLSEFNRRVTHVITQFKEQGLNTELYYKNREMGETFSIVPTSAVTGEGIPDLLLLLVQWTQKTMVEKLTFSDEVQCTVLEVKVIEGLGTTIDVVLVNGVLHEGDQIVVCGLQGPIVTTIRALLTPHPMKEIRVKGAYLQHKEIKAAQGIKIAAQGLEHAIAGTSIYVVGPDDDLEDIKEAAKEDMQSVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPEVNIPVSGIGLGPVHKKDVMKASVMLEKKNEYATILAFDVKVTPEARELADELGVRIFIADIIYHLFDQFKAYIDGLKEERKREAADEAVFPCVLKILPNCIFNKKDPIVLGVDILEGILRVGTPICIPQREFIDIGRIASIENNHRPVDSAKKGQKVAIKIVGSNTEEQQKMYGRHFELEDELVSHISRRSIDILKANYRDDLTLDEWRLVQRLKILFKIP >KJB75131 pep chromosome:Graimondii2_0_v6:12:3227551:3228178:-1 gene:B456_012G025900 transcript:KJB75131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCRVIGALFLVLLLVDLAFAARSFKAVGKGGGAGGGGGGGSGGGGANGLGSGSGYGSGYGSGSGSGYGSGAYGSGGGGGGGGGGGGGGGSSGSGGGNGGGSGYGSGSGYGSGGGRGGGGGGGGGGGGGGGGGNGSGYGSGSGYGSGSGYGSSGDDDYSP >KJB75593 pep chromosome:Graimondii2_0_v6:12:6017391:6021618:-1 gene:B456_012G0478002 transcript:KJB75593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLVGYRSVFSSDTRGTGFMHRAFQSYEKHRGPLGNVRKGVLVSMGYGTITAYALMSLEARGTLFVTPGMETYDGMIVGEHSRDTDLDVNPVRSKELSNMRAAGKDENVKLSPPKLMTLEEAIGYVASDELIEVTPKAIRLRKRYLDVNKRKAMSKKAKN >KJB75608 pep chromosome:Graimondii2_0_v6:12:6362571:6365774:1 gene:B456_012G048700 transcript:KJB75608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLALQLLHSHSSSFNMANNTQILNLISMALLLLFVSNSEGYSAAEEEADRISALPGQPKVSFPQFSGYVTVNEVAGRALFYWLTESPNSPSSKPLVIWLNGGPGCSSVAYGASEEIGPFRINKSASGLYLNKFSWNNVANLLFLETPAGVGFSYTNRSSDLLDTGDRRTAMDSLEFLIRWLDRFPRYKNREVYITGESYAGHYVPQLARQIMVYNKKSKHPINLKGIMVGNAVTDNYYDNLGTVTYWWSHAMISDKTYQQLINTCDFRRQKESNECESLYSYAMDQEFGNIDQYNIYAPPCNNSDGNRFTRHSMRLPHRPHSIFRQLSGYDPCTEKYAEIYYNRPDVQKALHANTTGIRYKWTACSEVLNRNWNDTDGSVLPIYKEIIAGGLRVWVFSGDVDSVVPVTATRYSLAQLKLSTKIPWYPWYVKKQVGGWTEVYEGLTFATVRGAGHEVPLFKPRAALQLFKSFLSGEPLPKA >KJB75609 pep chromosome:Graimondii2_0_v6:12:6362695:6365608:1 gene:B456_012G048700 transcript:KJB75609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLALQLLHSHSSSFNMANNTQILNLISMALLLLFVSNSEGYSAAEEEADRISALPGQPKVSFPQFSGYVTVNEVAGRALFYWLTESPNSPSSKPLVIWLNGGPGCSSVAYGASEEIGPFRINKSASGLYLNKFSWNNVANLLFLETPAGVGFSYTNRSSDLLDTGDRRTAMDSLEFLIRWLDRFPRYKNREVYITGESYAGHYVPQLARQIMVYNKKSKHPINLKGIMVGNAVTDNYYDNLGTVTYWWSHAMISDKTYQQLINTCDFRRQKESNECESLYSYAMDQEFGNIDQYNIYAPPCNNSDGNRFTRHSMRLPHRPHSVPIS >KJB78093 pep chromosome:Graimondii2_0_v6:12:34617698:34619677:1 gene:B456_012G177200 transcript:KJB78093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPEEPEDNITYMDASLYKAAAEGNIEVFNNKQGLQLESLKTPNHDNLLHVILASQGNAVRRFDSVPSLFNLFPVPMIKREKRSDFIQKILSKCQSLLLQTNAKGQTPLHVAARYGHSAFVKLLIKSCAKARDGDLEKLGMDQVNAVREMLRITDQESNTALHEAAGCGNAEVVKALLEFEDPDFPYSANKKQETPLYIAARRRGSGRLLTLLLDKFKSTGHGGPHGRTALHAAAMAGDAEAIRVILKTKGNLTKERDEDGYNPLHYAAHLGSRFSVVEEVLKWDVSAAYIGDKKRGMTPLLLAARQGHFETVSKILSLCPDCCEKVDNKGLSLLHYLAFRGFSSLIQSSFFKFGSSEIAYGSFRNLRKLEGAFGMTPQEVFNALRSGKHHHKQKQIKELLEEIGNDQVAEEPVCRFPKQNVSTESLEKKREAHLIVAALIATVTFAAAITVPGGLQSEKGSQQGTPLLIHEAAFKAFVVTNAMAFIFSVSALTIHFGVLDNLLSRFNFYRQIVLYGTLYVSTILGNATVAMLIAFSTGSYVVLKPSQVLAAVSYLICPYCLICIWQIFRFPSAVPL >KJB77544 pep chromosome:Graimondii2_0_v6:12:31663012:31667093:-1 gene:B456_012G142800 transcript:KJB77544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVAGDALGEEFKGYVFKIMGGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAAEYQKLLATRLKEQRERRSESLAKRRSKLSAASKPSVSA >KJB77542 pep chromosome:Graimondii2_0_v6:12:31662969:31667304:-1 gene:B456_012G142800 transcript:KJB77542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVAGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAAEYQKLLATRLKEQRERRSESLAKRRSKLSAASKPSVSA >KJB77543 pep chromosome:Graimondii2_0_v6:12:31663369:31667036:-1 gene:B456_012G142800 transcript:KJB77543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVAGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRAGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAAEYQKLLATRLKEQRERRSESLAKRRSKLSAASKPSVSA >KJB78114 pep chromosome:Graimondii2_0_v6:12:34737140:34737721:1 gene:B456_012G178800 transcript:KJB78114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKQIKELLEELENDQVAEEAVRHFRLPNVSTETLEAIRNTHLIVAALIATVTFAAAITVPGGLESEKGSKQGTPFLIHEAAFKVFVVTNALAFIFSVSALFIYFGVLDNLLSRFKFWRQTVLYRTRSVSGLLGYATLAMMIAFSTGSYVVLKPSDGLAIVSYLICPAFFFVLHLTIFDYYISKIIFHR >KJB77923 pep chromosome:Graimondii2_0_v6:12:33742497:33747023:1 gene:B456_012G167100 transcript:KJB77923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFSSAFTAASSVSLPPPSFYVSVSKRSFIYCCSSSQSTTTVVNGKFDGKIVERDEIRFGLPSKGRMAGDTLDLLKDCQLSVKQVNPRQYVAQIPQLSNVEVWFQRPKDIVRKLLSGDLDLGIVGLDTVSEYGRGDEDLIIVHDALKYGDCRLSLAIPKYGIFENINSLKELAQMPRWTIDRPLRVATGFTYLGPKFMQENGLKHVIFSTADGALEAAPAMGIADAILDLVSSGTTLRENNLKEIEGGVVLESQAVLVASRKALIQRKGALDTTHEILERFEAHLRAADQFMVTANMRGSSAEEVAERVLSQPSLSGLQGPTVSPVLCKRDGKVAADYYAIVICVPKKALYKSVQQLRAIGGSGVLISPLTYIFDEETPRWRELLSKLGL >KJB77924 pep chromosome:Graimondii2_0_v6:12:33742653:33746948:1 gene:B456_012G167100 transcript:KJB77924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFSSAFTAASSVSLPPPSFYVSVSKRSFIYCCSSSQSTTTVVNGKFDGKIVERDEIRFGLPSKGRMAGDTLDLLKDCQLSVKQVNPRQYVAQIPQLSNVEVWFQRPKDIVRKLLSGDLDLGIVGLDTVSEYGRGDEDLIIVHDALKYGDCRLSLAIPKYGIFENINSLKELAQMPRWTIDRPLRVATGFTYLGPKFMQENGLKHVIFSTADGALEAAPAMGIADAILDLVSSGTTLRENNLKEIEGGVVLESQAVLVASRKALIQRKGALDTTHEILERFEAHLRAADQFMVTANMRGSSAEEVAERVLSQPSLSGLQVRPHSISSSLQA >KJB76554 pep chromosome:Graimondii2_0_v6:12:18923186:18923780:-1 gene:B456_012G096200 transcript:KJB76554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFFQFHSSSTSPDFSPESLFRSPSVETLSGRWDELLLNFNDFEAMVWVGGYGGGLGSVQERRKGRRCRIGYCQTGRVTQGRESLRRHSTRNWVWVWLGTFDSAEAAASAYDQAAFSTKGLSAMLNFPVEVVRESLRSIKFRCDQVCSPVLALKRRHWIGKTRQIFNLIKFVYLPRPKRRNF >KJB76141 pep chromosome:Graimondii2_0_v6:12:11419939:11421899:-1 gene:B456_012G074700 transcript:KJB76141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDTPSGGNTSHGYYTPHGRKVSSASIFFESLPYKVNPQTGYIDYEKLEERALDFRPKILICGGSSYSREWDYGRFRQITDKCGAVLFCDMAQISGLIAAKNLPIFLKVLLLLQEKRLLNWKISHRLQSFQLMLDKRFGCYFFFVIILVTRL >KJB76140 pep chromosome:Graimondii2_0_v6:12:11419939:11421684:-1 gene:B456_012G074700 transcript:KJB76140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDTPSGGNTSHGYYTPHGRKVSSASIFFESLPYKVNPQTGYIDYEKLEERALDFRPKILICGGSSYSREWDYGRFRQITDKCGAVLFCDMAQISGLIAAKNLPIFLKVLLLLQEKRLLNWKISHRLQSFQLMLDKRFGCYFFFVIILVTRL >KJB76643 pep chromosome:Graimondii2_0_v6:12:20425371:20436560:-1 gene:B456_012G098300 transcript:KJB76643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTGKMKAHKAKGDKKKKEERVLPTVIEITVETPEESEVTLKGISSDKILDIRKLLGVHVETCHLTNISLSHEVRGNQLKESVDIVSLKPCQLSIVQEDYTEDLAVAHIRRLLDIVACTTSFGSPKSATRTIPKESPSKESAAVDDAPTNGVESPDNSKAKEKPEATAAAVSMCPPPRLGQFYDFFSFSHLTPPIQYIRRSSRPFLEDKTEDDFFQIDVRVCSGKPMTIVASRKGFYPAGKRPLLCHSLVTLLQQISRVFDAAYKALMKAFAEHNKFGNLPYGFRANTWVVPPVVADNPSVFPPLPVEDENWGGNGGGQGRDGKHDNRQWAKEFAILAAMPCKTAEERQIRDRKAFLLHSLFVDTSVLKAIAAIKNIIEINQNALNGPSASILHEEKVGDLIIKVTRDVPDASVKLDCKNEGSQVLGMPQEELARRNLLKGITADESATVHDTSTLGVVVVRHCGYTAVVKVSAEVNWEGNPIPQEIDIEDQPEGGANALNVNSLRMLLHKSSTPATAQRSQSTDFGSLHSARASVRKVLEASLQKLQNEPSNNSKPIRWELGACWVQHVQNQASGKTESKKNEDVKPEPAVKGLGKQGALLKEIKRKTDTKGGKNDLNMEVSTGNNPDMKKKSEVSNEKGLEKQDEEIEMMWKKLLPEAAYLRLKESETGFHLKSPEELIEMAHKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMVVRAYKHVLQAVVSSVESIDDLAASIAACLNILLGTPSAENSDMDITNDEKLKWRWVDTFLSNRFGWQWKSESCQDLRKFAILRGLSHKVGLEVVPRDYDMDTPFPFRKSDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMRVRDAQKKARAKIRGKPGQNWETTSDEYQNDEIPSPTYPVTENSSDKENKSEAEFVESGNEKPDSVQADKPLLVKIVDPEQDDISDEGWQEAVPKGRSPAARKSSASRRPSLAKLSTNFMNVSQSSRYRAKPNNFTSPRTSPNEPTASAGPSPPASKKFVKSSSFSPKFNNLRSAVSGVEKLVNPKSAPGSPASTDQVTKPTQVASPISVQAAGKLFSYKEVALAPPGTIVKAVAEQLPKGNPLPEQNAQTSQETAPDVTPIDVATVMVASEEVPKATGDKEFLGSEEEMKSTVNEERKKQISESVMTEASLEKGSTAIKIEAGTVEVKSGVETIKEEAANGSAHYDSSKESNTICSKTEASEIGSLDKCQVTCSNPEPSDFVTENTARSLEQEASIPSGKVFDEDPQDLPVEVSVKQLPTEGEKQEESEIGKETTKKLSAAAPPFNPSTIPVFSSVTVPSFKDHGGLLPPPVHIPPMLQVNSARRSPHQSATARVPYGPRLSGGYNRSGNRVPRNKSSYHSSEHSGEGNHYSPPRIMNPHAAEFVPGQPWVVPNGYPVSPNGFLASTNGMPISPNGYPMPPMTPNGIAVTQNGFLTSPIGSVESPAVITVDIEAENRSGELLAEQTLEVSSTYVEGENQSSEQKPPEDQSLDNESKLLENEGKPADVVPVTGGVTLAKEACSEIQVDAKLSKCWGDYSDGEAEVVEVTS >KJB76642 pep chromosome:Graimondii2_0_v6:12:20424828:20437053:-1 gene:B456_012G098300 transcript:KJB76642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTGKMKAHKAKGDKKKKEERVLPTVIEITVETPEESEVTLKGISSDKILDIRKLLGVHVETCHLTNISLSHEVRGNQLKESVDIVSLKPCQLSIVQEDYTEDLAVAHIRRLLDIVACTTSFGSPKSATRTIPKESPSKESAAVDDAPTNGVESPDNSKAKEKPEATAAAVSMCPPPRLGQFYDFFSFSHLTPPIQYIRRSSRPFLEDKTEDDFFQIDVRVCSGKPMTIVASRKGFYPAGKRPLLCHSLVTLLQQISRVFDAAYKALMKAFAEHNKFGNLPYGFRANTWVVPPVVADNPSVFPPLPVEDENWGGNGGGQGRDGKHDNRQWAKEFAILAAMPCKTAEERQIRDRKAFLLHSLFVDTSVLKAIAAIKNIIEINQNALNGPSASILHEEKVGDLIIKVTRDVPDASVKLDCKNEGSQVLGMPQEELARRNLLKGITADESATVHDTSTLGVVVVRHCGYTAVVKVSAEVNWEGNPIPQEIDIEDQPEGGANALNVNSLRMLLHKSSTPATAQRSQSTDFGSLHSARASVRKVLEASLQKLQNEPSNNSKPIRWELGACWVQHVQNQASGKTESKKNEDVKPEPAVKGLGKQGALLKEIKRKTDTKGGKNDLNMEVSTGNNPDMKKKSEVSNEKGLEKQDEEIEMMWKKLLPEAAYLRLKESETGFHLKSPEELIEMAHKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMVVRAYKHVLQAVVSSVESIDDLAASIAACLNILLGTPSAENSDMDITNDEKLKWRWVDTFLSNRFGWQWKSESCQDLRKFAILRGLSHKVGLEVVPRDYDMDTPFPFRKSDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMRVRDAQKKARAKIRGKPGQNWETTSDEYQNDEIPSPTYPVTENSSDKENKSEAEFVESDEGWQEAVPKGRSPAARKSSASRRPSLAKLSTNFMNVSQSSRYRAKPNNFTSPRTSPNEPTASAGPSPPASKKFVKSSSFSPKFNNLRSAVSGVEKLVNPKSAPGSPASTDQVTKPTQVASPISVQAAGKLFSYKEVALAPPGTIVKAVAEQLPKGNPLPEQNAQTSQETAPDVTPIDVATVMVASEEVPKATGDKEFLGSEEEMKSTVNEERKKQISESVMTEASLEKGSTAIKIEAGTVEVKSGVETIKEEAANGSAHYDSSKESNTICSKTEASEIGSLDKCQVTCSNPEPSDFVTENTARSLEQEASIPSGKVFDEDPQDLPVEVSVKQLPTEGEKQEESEIGKETTKKLSAAAPPFNPSTIPVFSSVTVPSFKDHGGLLPPPVHIPPMLQVNSARRSPHQSATARVPYGPRLSGGYNRSGNRVPRNKSSYHSSEHSGEGNHYSPPRIMNPHAAEFVPGQPWVVPNGYPVSPNGFLASTNGMPISPNGYPMPPMTPNGIAVTQNGFLTSPIGSVESPAVITVDIEAENRSGELLAEQTLEVSSTYVEGENQSSEQKPPEDQSLDNESKLLENEGKPADVVPVTGGVTLAKEACSEIQVDAKLSKCWGDYSDGEAEVVEVTS >KJB76644 pep chromosome:Graimondii2_0_v6:12:20424873:20433678:-1 gene:B456_012G098300 transcript:KJB76644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHKSSTPATAQRSQSTDFGSLHSARASVRKVLEASLQKLQNEPSNNSKPIRWELGACWVQHVQNQASGKTESKKNEDVKPEPAVKGLGKQGALLKEIKRKTDTKGGKNDLNMEVSTGNNPDMKKKSEVSNEKGLEKQDEEIEMMWKKLLPEAAYLRLKESETGFHLKSPEELIEMAHKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMVVRAYKHVLQAVVSSVESIDDLAASIAACLNILLGTPSAENSDMDITNDEKLKWRWVDTFLSNRFGWQWKSESCQDLRKFAILRGLSHKVGLEVVPRDYDMDTPFPFRKSDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMRVRDAQKKARAKIRGKPGQNWETTSDEYQNDEIPSPTYPVTENSSDKENKSEAEFVESGNEKPDSVQADKPLLVKIVDPEQDDISDEGWQEAVPKGRSPAARKSSASRRPSLAKLSTNFMNVSQSSRYRAKPNNFTSPRTSPNEPTASAGPSPPASKKFVKSSSFSPKFNNLRSAVSGVEKLVNPKSAPGSPASTDQVTKPTQVASPISVQAAGKLFSYKEVALAPPGTIVKAVAEQLPKGNPLPEQNAQTSQETAPDVTPIDVATVMVASEEVPKATGDKEFLGSEEEMKSTVNEERKKQISESVMTEASLEKGSTAIKIEAGTVEVKSGVETIKEEAANGSAHYDSSKESNTICSKTEASEIGSLDKCQVTCSNPEPSDFVTENTARSLEQEASIPSGKVFDEDPQDLPVEVSVKQLPTEGEKQEESEIGKETTKKLSAAAPPFNPSTIPVFSSVTVPSFKDHGGLLPPPVHIPPMLQVNSARRSPHQSATARVPYGPRLSGGYNRSGNRVPRNKSSYHSSEHSGEGNHYSPPRIMNPHAAEFVPGQPWVVPNGYPVSPNGFLASTNGMPISPNGYPMPPMTPNGIAVTQNGFLTSPIGSVESPAVITVDIEAENRSGELLAEQTLEVSSTYVEGENQSSEQKPPEDQSLDNESKLLENEGKPADVVPVTGGVTLAKEACSEIQVDAKLSKCWGDYSDGEAEVVEVTS >KJB76424 pep chromosome:Graimondii2_0_v6:12:15132318:15134426:-1 gene:B456_012G088200 transcript:KJB76424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNITNIKALDGRSDHVVCMDCPTESVASSLENMMVGSNFLPSTCCIFKTPSILYRHKEEAYIPNAFSFGPFHHGKPNLTETEKIKSKYLQCLIARSHSPEARLKQCIDVIKEVEEEARACYAGTIGFSHDEFVKILVLDACFIVELFRKDANLVSKDDDDPVFTMSCMLQYLFHDLILLENQIPWLVLERIFSLTASPNEAKSLVGLTLQFFSNIFSSDEHSIEPHLFANQEIKHILDLLRKSLILPYSGEDSQHCLGWQPFPSATSIKEAGIEFRRVSSSSILDIRNLISFEQCYPNCSPRVASYVILLSNLINTSKDLDILCGTGIIDNWLIPEDSTKFFKALYHDSYVKEFHYLRLCENVNGYCHQWRSRWNAFYKHNYLGKPWAIVSGFAVATFLILTFIQTYYIVFK >KJB74841 pep chromosome:Graimondii2_0_v6:12:1168952:1171483:1 gene:B456_012G010500 transcript:KJB74841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVPLAIAVDPRYCRWRHQFSLFISHDPWFRPMAIQAARKYPNLAEYYQKNATKFWDNFYKRHKNKFFKDRHYLEKDWGQYFSDDANSANVKVLLEVGCGAGNTIFPLIAAYPELYVQACDISPHAIALVKSHAEFKEDRVNAFLCDVTVDNLLERINPSSVDVITLIFMLSAVSPHKMPSILQNIKRVLKPDGYVLLRDYAIGDFAQVKLENKNQMISEGFYVRGDGTCSFYFSEDFLSTLFFQAGFNTVDISTYCKQIKNSHKNITMDRKR >KJB74847 pep chromosome:Graimondii2_0_v6:12:1168896:1172190:1 gene:B456_012G010500 transcript:KJB74847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVPLAIAVDPRYCRWRHQFSLFISHDPWFRPMAIQAARKYPNLAEYYQKNATKFWDNFYKRHKNKFFKDRHYLEKDWGQYFSDDANSANVKVLLEVGCGAGNTIFPLIAAYPELYVQACDISPHAIALVKSHAEFKEDRVNAFLCDVTVDNLLERINPSSVDVITLVKLENKNQMISEGFYVRGDGTCSFYFSEDFLSTLFFQAGFNTVDISTYCKQIKNSHKNITMDRRWVRAVFKNLE >KJB74844 pep chromosome:Graimondii2_0_v6:12:1168896:1172190:1 gene:B456_012G010500 transcript:KJB74844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVPLAIAVDPRYCRWRHQFSLFISHDPWFRPMAIQAARKYPNLAEYYQKNATKFWDNFYKRHKNKFFKDRHYLEKDWGQYFSDDANSANVKVLLEVGCGAGNTIFPLIAAYPELYVQACDISPHAIALVKSHAEFKEDRVNAFLCDVTVDNLLERINPSSVDVITLIFMLSAVSPHKMPSILQNIKRVLKPDGYVLLRDYAIGDFAQVKLENKNQMISEGFYVRGDGTCSFYFSEDFLSTLFFQAGFNTVDISTYCKQIKNSHKNITMDRKR >KJB74845 pep chromosome:Graimondii2_0_v6:12:1168896:1172190:1 gene:B456_012G010500 transcript:KJB74845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVPLAIAVDPRYCRWRHQFSLFISHDPWFRPMAIQAARKYPNLAEYYQKNATKFWDNFYKRHKNKFFKDRHYLEKDWGQYFSDDANSANVKVLLEVGCGAGNTIFPLIAAYPELYVQACDISPHAIALVKSHAEFKEDRVNAFLCDVTVDNLLERINPSSVDVITLPDGYVLLRDYAIGDFAQVKLENKNQMISEGFYVRGDGTCSFYFSEDFLSTLFFQAGFNTVDISTYCKQIKNSHKNITMDRKR >KJB74843 pep chromosome:Graimondii2_0_v6:12:1168869:1172190:1 gene:B456_012G010500 transcript:KJB74843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVPLAIAVDPRYCRWRHQFSLFISHDPWFRPMAIQAARKYPNLAEYYQKNATKFWDNFYKRHKNKFFKDRHYLEKDWGQYFSDDANSANVKVLLEVGCGAGNTIFPLIAAYPELYVQACDISPHAIALVKSHAEFKEDRVNAFLCDVTVDNLLERINPSSVDVITLPDGYVLLRDYAIGDFAQVKLENKNQMISEGFYVRGDGTCSFYFSEDFLSTLFFQAGFNTVDISTYCKQIKNSHKNITMDRRWVRAVFKNLE >KJB74842 pep chromosome:Graimondii2_0_v6:12:1168869:1172190:1 gene:B456_012G010500 transcript:KJB74842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVPLAIAVDPRYCRWRHQFSLFISHDPWFRPMAIQAARKYPNLAEYYQKNATKFWDNFYKRHKNKFFKDRHYLEKDWGQYFSDDANSANVKVLLEVGCGAGNTIFPLIAAYPELYVQACDISPHAIALVKSHAEFKEDRVNAFLCDVTVDNLLERINPSSVDVITLIFMLSAVSPHKMPSILQNIKRVLKPDGYVLLRDYAIGDFAQVKLENKNQMISEGFYVRGDGTCSFYFSEDFLSTLFFQAGFNTVDISTYCKQIKNSHKNITMDRRWVRAVFKNLE >KJB74846 pep chromosome:Graimondii2_0_v6:12:1168952:1171665:1 gene:B456_012G010500 transcript:KJB74846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVPLAIAVDPRYCRWRHQFSLFISHDPWFRPMAIQAARKYPNLAEYYQKNATKFWDNFYKRHKNKFFKDRHYLEKDWGQYFSDDANSANVKVLLEVGCGAGNTIFPLIAAYPELYVQACDISPHAIALVKSHAEFKEDRVNAFLCDVTVDNLLERINPSSVDVITLIFMLSAVSPHKMPSILQNIKRVLKMVMFSCEITPLEILHK >KJB77999 pep chromosome:Graimondii2_0_v6:12:34167190:34168009:-1 gene:B456_012G171800 transcript:KJB77999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETQQPVVVYPNTVSSGQVEPSHSHSDGSFGTVFIVLAIIIVISSIACFLGRLCTRRVSQPKPTKQSKSSNPRPKGNDIELGFDGRFPTAKPVGGGDQSKRFKMLGNEDPRGFRSMPGKHGDVKGFKVHGNGDLRGFRIHGNGNIEGDPKHVDVGVL >KJB78222 pep chromosome:Graimondii2_0_v6:12:35174625:35181796:-1 gene:B456_012G184500 transcript:KJB78222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MADKKEKMKMLLTIASVAAISIFFTAHLFSRRRKQRRSEIRCYLHSEPKSQLNFKRVLADNSYSPFNHFNRQSDSVKEKPSTLTHPYEAEITALMEDPQLQFSKIAMDDFDMKMGDSYVWVESELQLSQLARVLSEQTVFAVDTEQHSLRSFLGFTALIQISTEMEDYLVDVIALHDSMGILRPVFADPNICKVFHGADGDIVWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVAKNKLLQREDWRQRPLSDEMVQYARTDAHYLLYIANCLFAELKKQDCEYSSCTDDKFNFVLEASRRSNMICLQLYAKEIDGFPGESAAFSILSRQLNGQGAAAISGETKFQDLVRRLCAWRDLMARVHDESTRYILSDYAIVALSEKVPTTQVDIYGTIIQADLNIDSSNLSSSLPSPSPVVCSHWIDVHELIQDKLGNLDKFFPMVIQNCLGPNGSCPLSVFNYALLMNSSLKLETRLNTKQNGFKNPKHVAKKASRQLFIQKFSCKSPVYHNCRIYANDGRLLCYCDRRKLEWYLSRDLAKLVDDDPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVGCGEGNHYLRYRVIPSCYRIHFPEHLKSHRSHDIVLLCVDCHEVAHAAAEKYKKQIAGEFGIPLYVRKLPRLFCVMDLKCLPAAVMN >KJB78220 pep chromosome:Graimondii2_0_v6:12:35174497:35181882:-1 gene:B456_012G184500 transcript:KJB78220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MADKKEKMKMLLTIASVAAISIFFTAHLFSRRRKQRRSEIRCYLHSEPKSQLNFKRVLADNSYSPFNHFNRQSDSVKEKPSTLTHPYEAEITALMEDPQLQFSKIAMDDFDMKMGDSYVWVESELQLSQLARVLSEQTVFAVDTEQHSLRSFLGFTALIQISTEMEDYLVDVIALHDSMGILRPVFADPNICKVFHGADGDIVWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVAKNKLLQREDWRQRPLSDEMVQYARTDAHYLLYIANCLFAELKKQDCEYSSCTDDKFNFVLEASRRSNMICLQLYAKEIDGFPGESAAFSILSRQLNGQGAAAISGETKFQDLVRRLCAWRDLMARVHDESTRYILSDYAIVALSEKVPTTQVDIYGTIIQADLNIDSSNLSSSLPSPSPVVCSHWIDVHELIQDKLGNLDKFFPMVIQNCLGPNGSCPLSVFNYALLMNSSLKLETRLNTKQNGFKNPKHVAKKASRQLFIQKFSCKSPVYHNCRIYANDGRLLCYCDRRKLEWYLSRDLAKLVDDDPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVGCGEGNHYLRYRVIPSCYRIHFPEHLKSHRSHDIVLLCVDCHEVAHAAAEKYKKQIAGEFGIPLYVRKVVDSTQAPIVSGSSESTNFADSGVSPLQLRTAAKALLRHGPEMPPSRRDELTQIVMRYYGGREISNEDLERALVVGMSPHERRRLEKKKGLSLKQSTRIPPVKEQESNDATRILSTTSDPSNVDRPDASDFIADVSNSTKVDTSKEASGTKDDLDFCMVTYTDHTNNSPACSDFGVATACNDMNSHGSEISETKSVSAVNPGDKSESSIPKEIVDSSCARYNGNIPFKHNSKLSLLGHGPHGKQVVDHILNESGEEGIRQFCQRWRHVFVEAVHPHFLPAGWDVMHSGRREFGEFSVYNPTKKGTDSS >KJB78221 pep chromosome:Graimondii2_0_v6:12:35175020:35181796:-1 gene:B456_012G184500 transcript:KJB78221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MADKKEKMKMLLTIASVAAISIFFTAHLFSRRRKQRRSEIRCYLHSEPKSQLNFKRVLADNSYSPFNHFNRQSDSVKEKPSTLTHPYEAEITALMEDPQLQFSKIAMDDFDMKMGDSYVWVESELQLSQLARVLSEQTVFAVDTEQHSLRSFLGFTALIQISTEMEDYLVDVIALHDSMGILRPVFADPNICKVFHGADGDIVWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVAKNKLLQREDWRQRPLSDEMVQYARTDAHYLLYIANCLFAELKKQDCEYSSCTDDKFNFVLEASRRSNMICLQLYAKEIDGFPGESAAFSILSRQLNGQGAAAISGETKFQDLVRRLCAWRDLMARVHDESTRYILSDYAIVALSEKVPTTQVDIYGTIIQADLNIDSSNLSSSLPSPSPVVCSHWIDVHELIQDKLGNLDKFFPMVIQNCLGPNGSCPLSVFNYALLMNSSLKLETRLNTKQNGFKNPKHVAKKASRQLFIQKFSCKSPVYHNCRIYANDGRLLCYCDRRKLEWYLSRDLAKLVDDDPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVGCGEGNHYLRYRVIPSCYRIHFPEHLKSHRSHDIVLLCVDCHEVAHAAAEKYKKQIAGEFGIPLYVRKVVDSTQAPIVSGSSESTNFADSGVSPLQLRTAAKALLRHGPEMPPSRRDELTQIVMRYYGGREISNEDLERALVVGMSPHERRRLEKKKGLSLKQSTRIPPVKEQESNDATRILSTTSDPSNVDRPDASDFIADVSNSTKVDTSKEASGTKDDLDFCMVTYTDHTNNSPACSDFGVATACNDMNSHGSEISETKSVSAVNPGDKSESSIPKEIVDSSCARYNGNIPFKHNSKLSLLGHGPHGKQVVDHILNESGEEGIRQFCQRWRHVFVEAVHPHFLPAGWDVMHRI >KJB78223 pep chromosome:Graimondii2_0_v6:12:35174497:35181908:-1 gene:B456_012G184500 transcript:KJB78223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MADKKEKMKMLLTIASVAAISIFFTAHLFSRRRKQRRSEIRCYLHSEPKSQLNFKRVLADNSYSPFNHFNRQSDSVKEKPSTLTHPYEAEITALMEDPQLQFSKIAMDDFDMKMGDSYVWVESELQLSQLARVLSEQTVFAVDTEQHSLRSFLGFTALIQISTEMEDYLVDVIALHDSMGILRPVFADPNICKVFHGADGDIVWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVAKNKLLQREDWRQRPLSDEMVQYARTDAHYLLYIANCLFAELKKQDCEYSSCTDDKFNFVLEASRRSNMICLQLYAKEIDGFPGESAAFSILSRQLNGQGAAAISGETKFQDLVRRLCAWRDLMARVHDESTRYILSDYAIVALSEKVPTTQVDIYGTIIQADLNIDSSNLSSSLPSPSPVVCSHWIDVHELIQDKLGNLDKFFPMVIQNCLGPNGSCPLSVFNYALLMNSSLKLETRLNTKQNGFKNPKHVAKKASRQLFIQKFSCKSPVYHNCRIYANDGRLLCYCDRRKLEWYLSRDLAKLVDDDPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVGCGEGNHYLRYRVIPSCYRIHFPEHLKSHRSHDIVLLCVDCHEVAHAAAEKYKKQIAGEFGIPLYVRKVVDSTQAPIVSGSSESTNFADSGVSPLQLRTAAKALLRHGPEMPPSRRDELTQIVMRYYGGREISNEDLERALVVGMSPHERRRLEKKKGLSLKQSTRIPPVKEQESNDATRILSTTSDPSNVDRPDASDFIADVSNSTKVDTSKEASGTKDDLDFCMVTYTDHTNNSPACSDFGVATACNDMNSHGSEISETKSVSAVNPGDKSESSIPKEIVDSSCARYNGNIPFKHNSKLSLLGHGPHGKQVVDHILNESGEEGIRQFCQRWRHVFVEAVHPHFLPAGWDVMHSGRREFGEFSVYNPTKKGTDSS >KJB74939 pep chromosome:Graimondii2_0_v6:12:2112692:2113646:-1 gene:B456_012G018200 transcript:KJB74939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVASKPVIKVAAISGSLRKASFNRGLIRTALELTKESIAGVQMEYIDISPLPMLDTDLEVDGKFPPAVEAFRQKILESDSILFASPEYNYSLTGIFIPFPPCFPTFLFIYFYSVEQCL >KJB74941 pep chromosome:Graimondii2_0_v6:12:2117841:2119220:-1 gene:B456_012G018200 transcript:KJB74941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVASKPVIKVAAICGSLRKASNNRGLIRTALELTKESIAGIQMEYIDISPLPMLDTDLEVDGKFPPAVEAFRQKILESDSILFASPEYNFSLTAPLKNALDWASRPPNVWADKAAAIVTSGKV >KJB74935 pep chromosome:Graimondii2_0_v6:12:2112078:2113441:-1 gene:B456_012G018200 transcript:KJB74935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVASKPVIKVAAISGSLRKASFNRGLIRTDLEVDGKFPPAVEAFRQKILESDSILFASPEYNYSLTAPLKNALDWASRPPNVWADKAAAIVSAGGGFGGARSHYHLRQVGVFLDLHFINKPEFYLNAFQPPAKFDSDGNLIDEDSKERMKQVLLSLQAFTLRLQPKN >KJB74934 pep chromosome:Graimondii2_0_v6:12:2111962:2119379:-1 gene:B456_012G018200 transcript:KJB74934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVASKPVIKVAAICGSLRKASNNRGLIRTALELTKESIAGIQMEYIDISPLPMLDTDLEVDGKFPPAVEAFRQKILESDSILFASPEYNFSLTAPLKNALDWASRPPNVWADKAAAIVSAGGGFGGARSHYHLRQVGVFLDLHFINKPEFYLNAFQPPAKFDSDGNLIDEDSKERMKQVLLSLQAFTLRLQPKN >KJB74938 pep chromosome:Graimondii2_0_v6:12:2112078:2119234:-1 gene:B456_012G018200 transcript:KJB74938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVASKPVIKVAAICGSLRKASNNRGLIRTALELTKESIAGVQMEYIDISPLPMLDTDLEVDGKFPPAVEAFRQKILESDSILFASPEYNYSLTAPLKNALDWASRPPNVWADKAAAIVSAGGGFGGARSHYHLRQVGVFLDLHFINKPEFYLNAFQPPAKFDSDGNLIDEDSKERMKQVLLSLQAFTLRLQPKN >KJB74940 pep chromosome:Graimondii2_0_v6:12:2117875:2119234:-1 gene:B456_012G018200 transcript:KJB74940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVASKPVIKVAAICGSLRKASNNRGLIRTALELTKESIAGIQMEYIDISPLPMLDTDLEVDGKFPPAVEAFRQKILESDSILFASPEYNFSLTAPLKNALDWASRPPNVWADKAAAIVSAGGGFGGARSHYHLRQVGVFLDLHFINKPEFYLNAYQPPAKFDSDGNLIDEDSKERMKQVLLSLQAFTLRLQPKN >KJB74937 pep chromosome:Graimondii2_0_v6:12:2112078:2113646:-1 gene:B456_012G018200 transcript:KJB74937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVASKPVIKVAAISGSLRKASFNRGLIRTALELTKESIAGVQMEYIDISPLPMLDTDLEVDGKFPPAVEAFRQKILESDSILFASPEYNYSLTAPLKNALDWASRPPNVWADKAAAIVSAGGGFGGARSHYHLRQVGVFLDLHFINKPEFYLNAFQPPAKFDSDGNLIDEDSKERMKQVLLSLQAFTLRLQPKN >KJB74942 pep chromosome:Graimondii2_0_v6:12:2117774:2119379:-1 gene:B456_012G018200 transcript:KJB74942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVASKPVIKVAAICGSLRKASNNRGLIRTALELTKESIAGIQMEYIDISPLPMLDTDLEVDGKFPPAVEAFRQKILESDSILFASPEYNFSLTAPLKNALDWASRPPNVWADKAAAIVSAGGGFGGARSHYHLRQVGVFLDLHFINKPEFYLNAYQPPAKFDSDGNLIDEDSKERMKQVLLSLQAFTLRLQPKN >KJB74936 pep chromosome:Graimondii2_0_v6:12:2112078:2113509:-1 gene:B456_012G018200 transcript:KJB74936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVASKPVIKVAAISGSLRKASFNRGLIRTALELTKESIAGVQMEYIDISPLPMLDTDLEVDGKFPPAVEAFRQKILESDSILFASPEYNYSLTAPLKNALDWASRPPNVWADKAAFDSDGNLIDEDSKERMKQVLLSLQAFTLRLQPKN >KJB75468 pep chromosome:Graimondii2_0_v6:12:5409027:5416338:-1 gene:B456_012G042800 transcript:KJB75468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTTGCKSPARFTLGKQSSLAPDREASSRGAVEAAIDPRVRLMYMANEGDLEGIKELLDSGTNVNFKDIDGRTALHVAACQGLTDVVRLLLDRGAEVDSKDRWGSTPLADAVYYKNQDVIKLLEKHGAKPPIAPMHVQNSREVPEYEIDPSELDFSNSVNITKGTFRVASWRGIKVAVKTLGEEVFTDEEKVKAFRDELALLQKIRHPNVVQFLGAVTQSSPMIIVTEYLPKGDLRAYLKLKGTLKLKLAVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKLLKVANRVKEDKPVTSQETSWRYVAPEVYRNEEYDTKVDVFSFALILQEMIEGFPPFHAKQEYEVPKAYVANERPPFRAPAKCYAYGLRDLIEECWSEEPFRRPTFRQIITRLDDINNQLAHKGNWKVGPLKCLKNFENMLKRDRLNPSSRTSRSTTR >KJB75467 pep chromosome:Graimondii2_0_v6:12:5409028:5416372:-1 gene:B456_012G042800 transcript:KJB75467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVQNSREVPEYEIDPSELDFSNSVNITKGTFRVASWRGIKVAVKTLGEEVFTDEEKVKAFRDELALLQKIRHPNVVQFLGAVTQSSPMIIVTEYLPKGDLRAYLKLKGTLKLKLAVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKLLKVANRVKEDKPVTSQETSWRYVAPEVYRNEEYDTKVDVFSFALILQEMIEGFPPFHAKQEYEVPKAYVANERPPFRAPAKCYAYGLRDLIEECWSEEPFRRPTFRQIITRLDDINNQLAHKGNWKVGPLKCLKNFENMLKRDRLNPSSRTSRSTTR >KJB75470 pep chromosome:Graimondii2_0_v6:12:5409110:5416338:-1 gene:B456_012G042800 transcript:KJB75470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTTGCKSPARFTLGKQSSLAPDREASSRGAVEAAIDPRVRLMYMANEGDLEGIKELLDSGTNVNFKDIDGRTALHVAACQGLTDVVRLLLDRGAEVDSKDRWGSTPLADAVYYKNQDVIKLLEKHGAKPPIAPMHVQNSREVPEYEIDPSELDFSNSVNITKGTFRVASWRGIKVAVKTLGEEVFTDEEKVKAFRDELALLQKIRHPNVVQFLGAVTQSSPMIIVTEYLPKGDLRAYLKLKGTLKLKLAVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKLLKVANRVKEDKPVTSQETSWRYVAPEVYRNEEYDTKVDVFSFALILQEQEYEVPKAYVANERPPFRAPAKCYAYGLRDLIEECWSEEPFRRPTFRQIITRLDDINNQLAHKGNWKVGPLKCLKNFENMLKRDRLNPSSRTSRSTTR >KJB75469 pep chromosome:Graimondii2_0_v6:12:5409110:5416308:-1 gene:B456_012G042800 transcript:KJB75469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVQNSREVPEYEIDPSELDFSNSVNITKGTFRVASWRGIKVAVKTLGEEVFTDEEKVWVCLTLFVIFVYVCMLKCWSSISNFFVSNLICDFISFVFGVLMSCWNFRKAFRDELALLQKIRHPNVVQFLGAVTQSSPMIIVTEYLPKGDLRAYLKLKGTLKLKLAVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKLLKVANRVKEDKPVTSQETSWRYVAPEVYRNEEYDTKVDVFSFALILQEMIEGFPPFHAKQEYEVPKAYVANERPPFRAPAKCYAYGLRDLIEECWSEEPFRRPTFRQIITRLDDINNQLAHKGNWKVGPLKCLKNFENMLKRDRLNPSSRTSRSTTR >KJB75471 pep chromosome:Graimondii2_0_v6:12:5412954:5416308:-1 gene:B456_012G042800 transcript:KJB75471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTTGCKSPARFTLGKQSSLAPDREASSRGAVEAAIDPRVRLMYMANEGDLEGIKELLDSGTNVNFKDIDGRTALHVAACQGLTDVVRLLLDRGAEVDSKDRWGSTPLADAVYYKNQDVIKLLEKHGAKPPIAPMHVQNSREVPEYEIDPSELDFSNSVNITKGTFRVASWRGIKVAVKTLGEEVFTDEEKVKAFRDELALLQKIRHPNVVQFLGAVTQSSPMIIVTEYLPKGDLRAYLKLKGTLKLKLAVKFALDIARLVRWLKIHLWISY >KJB75466 pep chromosome:Graimondii2_0_v6:12:5410855:5416274:-1 gene:B456_012G042800 transcript:KJB75466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTTGCKSPARFTLGKQSSLAPDREASSRGAVEAAIDPRVRLMYMANEGDLEGIKELLDSGTNVNFKDIDGRTALHVAACQGLTDVVRLLLDRGAEVDSKDRWGSTPLADAVYYKNQDVIKLLEKHGAKPPIAPMHVQNSREVPEYEIDPSELDFSNSVNITKGTFRVASWRGIKVAVKTLGEEVFTDEEKVKAFRDELALLQKIRHPNVVQFLGAVTQSSPMIIVTEYLPKGDLRAYLKLKGTLKLKLAVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKLLKVANRVKEDKPVTSQETSWRYVAPEVYRNEEYDTKVDVFSFALILQEMIEGFPPFHAKQEYEVPKAYVANERPPFRAPAKCYAYGLRE >KJB75482 pep chromosome:Graimondii2_0_v6:12:5492289:5493154:1 gene:B456_012G043800 transcript:KJB75482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYNSLVYAGKNYMFLLCNGLLVLIATSSGLIGSCSVETDIKAEKAVKMSKGGSQTEPEAEPKGSISKETVVAEYDQREEEVALVVELEDEGEEDRSNEGVSVEEDEDEDEEV >KJB77370 pep chromosome:Graimondii2_0_v6:12:30671648:30673749:1 gene:B456_012G134400 transcript:KJB77370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein YLS8 [Source:Projected from Arabidopsis thaliana (AT5G08290) UniProtKB/Swiss-Prot;Acc:Q9FE62] MIRIWRRSSVALHVANASSINYKPLTFQSVSCSKLGFDITNFARGKAFHHQRKKGNFSLQGNDRTMSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIIETVYRGARKGRGLVIAPKDYSTKYRY >KJB77718 pep chromosome:Graimondii2_0_v6:12:32726987:32731559:-1 gene:B456_012G153500 transcript:KJB77718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKIFSVFFFALLFLASPFLQVARCQSEAEADVAEAVEGGDLGIVGEDVQDFGDPNYSSAPEVKTVCVFPKNSAKYLPSQFQAQQELTDINKSTILPTCPCRRAATLLEMDSKNGNKCPKNITEASQIRHGLTLLDADFFNDIKVLEIAKGAKEFNIPIIRTNRKLVASINGGLHNPSPLVFNPEWSKEQSQGKASRFNHPSASGIQRPINEEDIAFMSVLELGELIKKKQITSEELTGIFLKRLRRYNPVLESVVTYTEDLAYQQAKEADKLLSQGVHLGPLHGIPYGLKDIISVPLYKTTWGSTTFKNQVLNIEAWVYKRLKSAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAACTSAGMIPFAIGSETAGSITYPASRCGVTALRPTFGSVGRTGVMSLSESLDKLGPFCRNAADCAVILDAIRGEDPDDLSSRDIPFGDPFSVDITKLTVGYLDDADMEVVHVLESKGVKVVPFKLNYTVDSVQGILNFTMDVDMLAHFDEWQRSGKDDDYEAQDQWPVELRRARVVSAVDYLQVCFILSNYWLIL >KJB77717 pep chromosome:Graimondii2_0_v6:12:32725856:32731801:-1 gene:B456_012G153500 transcript:KJB77717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKIFSVFFFALLFLASPFLQVARCQSEAEADVAEAVEGGDLGIVGEDVQDFGDPNYSSAPEVKTVCVFPKNSAKCPFYSELCRFQFSGMTVSRSLKRQSPTAAGNTATWFLDKCRNMSSRPSTRFMASLLLLLFYSLADSFADLPSQFQAQQELTDINKSTILPTCPCRRAATLLEMDSKNGNKCPKNITEASQIRHGLTLLDADFFNDIKVLEIAKGAKEFNIPIIRTNRKLVASINGGLHNPSPLVFNPEWSKEQSQGKASRFNHPSASGIQRPINEEDIAFMSVLELGELIKKKQITSEELTGIFLKRLRRYNPVLESVVTYTEDLAYQQAKEADKLLSQGVHLGPLHGIPYGLKDIISVPLYKTTWGSTTFKNQVLNIEAWVYKRLKSAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAACTSAGMIPFAIGSETAGSITYPASRCGVTALRPTFGSVGRTGVMSLSESLDKLGPFCRNAADCAVILDAIRGEDPDDLSSRDIPFGDPFSVDITKLTVGYLDDADMEVVHVLESKGVKVVPFKLNYTVDSVQGILNFTMDVDMLAHFDEWQRSGKDDDYEAQDQWPVELRRARVVSAVDYLQAQRARGKLIQEVKENFNVDAFIGNATDWERVCMGNLVGLPVIVVPTGFKNIPNPPPTGTRRRTTVNTGIYAPPNHDHIALALAMAYQSATNHHKQRPPIDDLGPNDTIPNHPTVPIPPRRLHM >KJB77719 pep chromosome:Graimondii2_0_v6:12:32725883:32731633:-1 gene:B456_012G153500 transcript:KJB77719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKIFSVFFFALLFLASPFLQVARCQSEAEADVAEAVEGGDLGIVGEDVQDFGDPNYSSAPEVKTVCVFPKNSAKYLPSQFQAQQELTDINKSTILPTCPCRRAATLLEMVKNYKKIWDMFCQKVMDLMIHSRSLAPSKNGNKCPKNITEASQIRHGLTLLDADFFNDIKVLEIAKGAKEFNIPIIRTNRKLVASINGGLHNPSPLVFNPEWSKEQSQGKASRFNHPSASGIQRPINEEDIAFMSVLELGELIKKKQITSEELTGIFLKRLRRYNPVLESVVTYTEDLAYQQAKEADKLLSQGVHLGPLHGIPYGLKDIISVPLYKTTWGSTTFKNQVLNIEAWVYKRLKSAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAACTSAGMIPFAIGSETAGSITYPASRCGVTALRPTFGSVGRTGVMSLSESLDKLGPFCRNAADCAVILDAIRGEDPDDLSSRDIPFGDPFSVDITKLTVGYLDDADMEVVHVLESKGVKVVPFKLNYTVDSVQGILNFTMDVDMLAHFDEWQRSGKDDDYEAQDQWPVELRRARVVSAVDYLQAQRARGKLIQEVKENFNVDAFIGNATDWERVCMGNLVGLPVIVVPTGFKNIPNPPPTGTRRRTTVNTGIYAPPNHDHIALALAMAYQSATNHHKQRPPIDDLGPNDTIPNHPTVPIPPRRLHM >KJB77720 pep chromosome:Graimondii2_0_v6:12:32725883:32731633:-1 gene:B456_012G153500 transcript:KJB77720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKIFSVFFFALLFLASPFLQVARCQSEAEADVAEAVEGGDLGIVGEDVQDFGDPNYSSAPEVKTVCVFPKNSAKYLPSQFQAQQELTDINKSTILPTCPCRRAATLLEMDSKNGNKCPKNITEASQIRHGLTLLDADFFNDIKVLEIAKGAKEFNIPIIRTNRKLVASINGGLHNPSPLVFNPEWSKEQSQGKASRFNHPSASGIQRPINEEDIAFMSVLELGELIKKKQITSEELTGIFLKRLRRYNPVLESVVTYTEDLAYQQAKEADKLLSQGVHLGPLHGIPYGLKDIISVPLYKTTWGSTTFKNQVLNIEAWVYKRLKSAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAACTSAALRPTFGSVGRTGVMSLSESLDKLGPFCRNAADCAVILDAIRGEDPDDLSSRDIPFGDPFSVDITKLTVGYLDDADMEVVHVLESKGVKVVPFKLNYTVDSVQGILNFTMDVDMLAHFDEWQRSGKDDDYEAQDQWPVELRRARVVSAVDYLQAQRARGKLIQEVKENFNVDAFIGNATDWERVCMGNLVGLPVIVVPTGFKNIPNPPPTGTRRRTTVNTGIYAPPNHDHIALALAMAYQSATNHHKQRPPIDDLGPNDTIPNHPTVPIPPRRLHM >KJB77716 pep chromosome:Graimondii2_0_v6:12:32725806:32731735:-1 gene:B456_012G153500 transcript:KJB77716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKIFSVFFFALLFLASPFLQVARCQSEAEADVAEAVEGGDLGIVGEDVQDFGDPNYSSAPEVKTVCVFPKNSAKYLPSQFQAQQELTDINKSTILPTCPCRRAATLLEMDSKNGNKCPKNITEASQIRHGLTLLDADFFNDIKVLEIAKGAKEFNIPIIRTNRKLVASINGGLHNPSPLVFNPEWSKEQSQGKASRFNHPSASGIQRPINEEDIAFMSVLELGELIKKKQITSEELTGIFLKRLRRYNPVLESVVTYTEDLAYQQAKEADKLLSQGVHLGPLHGIPYGLKDIISVPLYKTTWGSTTFKNQVLNIEAWVYKRLKSAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAACTSAGMIPFAIGSETAGSITYPASRCGVTALRPTFGSVGRTGVMSLSESLDKLGPFCRNAADCAVILDAIRGEDPDDLSSRDIPFGDPFSVDITKLTVGYLDDADMEVVHVLESKGVKVVPFKLNYTVDSVQGILNFTMDVDMLAHFDEWQRSGKDDDYEAQDQWPVELRRARVVSAVDYLQAQRARGKLIQEVKENFNVDAFIGNATDWERVCMGNLVGLPVIVVPTGFKNIPNPPPTGTRRRTTVNTGIYAPPNHDHIALALAMAYQSATNHHKQRPPIDDLGPNDTIPNHPTVPIPPRRLHM >KJB76784 pep chromosome:Graimondii2_0_v6:12:24083460:24084289:-1 gene:B456_012G107100 transcript:KJB76784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETLSLVNSAIGSNSIASDCGVGRATKRVRRQPEIMMEMADPIIDGNGQTVQEDNVAKDSYKAKLMGALSIPNGDVCMDEDFELQDTDGTTEMLLDLENDFYLVHFQDKGDFDKVLLGGPWVVFGHYLSVRAWSADFSTSNSEVDKQLVWIQLLGLFEGFYSDFLLRTIESMIRLVFQIDAGTDAAVRERFTRQVVSVDLQKPLVSKIRINGRIQRVEYEG >KJB76961 pep chromosome:Graimondii2_0_v6:12:26401650:26403858:1 gene:B456_012G114500 transcript:KJB76961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSARTVEEIFKDYTARRTAILRALTLDVDHFYGLCGPDRENLCLYGHPNESWEVSLPAEEVPAELPEPALGINFARDGMNRKDWLSLVAVHSDSWLISLAFYLAARLNRNERKRLFSMMNDLPTVFEVVTERKPVKDKPSIESGSKSQGSTKRSSDGQVKSNPKIADADYKDNEDEHGETLCGSCGGNYNADEFWIGCDVCERWFHGKCVKITPAKAESIKQYKCPSCSMRSGRH >KJB75839 pep chromosome:Graimondii2_0_v6:12:8499586:8500656:1 gene:B456_012G060600 transcript:KJB75839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSRLMKDRLGFFEIIQQSLKIPLNNPNFILLTFLTSFPLFCFLVFYEIILQHTLIESAQIFQKTFDDYERLTKIGYLLEKVSPMDLFVCVLFMGTLHFVDLFNTIAIVDIASMIYAGEKPISLKHMICRSINETRFKGPLITSICSLSLAALVLLGLIAFATSIYILASLAVFFMVIFMVPFIALLVKYLEWSAIWNMGIVVSILEDNKQGDVALLLSSYLSRCNRGGGFFLMLGFFVWRFGLRFAFLYRTWYNGDSSIGETTLHISLVCLGNLVKWVALMLYFYDCKKQTSSRISDVEDAKIQERRSAAT >KJB78197 pep chromosome:Graimondii2_0_v6:12:35087229:35089564:1 gene:B456_012G183200 transcript:KJB78197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERDAKSFIPSRDSNLMVTTNTAFHQQDPVVSEAHTPMNYVRDSWIADREKIFSMFPATTPNYAVHPETSAAYSLPILRSPPYSSTRDERVASSVEEPPANKDGVEPKKRQGGAAPKMPKAKKPKKPKENANSTVQRVKSAKKSIVFKINGYDMDISGIPIPVCSCTGTAQQCYRWGFGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAENYNFSNPIDLRSHWARHGTNKFVTIR >KJB78199 pep chromosome:Graimondii2_0_v6:12:35087242:35089309:1 gene:B456_012G183200 transcript:KJB78199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERDAKSFIPSRDSNLMVTTNTAFHQQDPVVSEAHTPMNYVRDSWIADREKIFSMFPATTPNYAVHPETSAAYSLPILRSPPYSSTRDERVASSVEEPPANKDGVEPKKRQGGAAPKMPKAKKPKKPKENANSTVQRVKSAKKSIVFKINGYDMDISGIPIPVCSCTGTAQQCYRWGFGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAENYNFSNPIDLRSHWARHGTNKFVTIR >KJB78198 pep chromosome:Graimondii2_0_v6:12:35087242:35089309:1 gene:B456_012G183200 transcript:KJB78198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERDAKSFIPSRDSNLMVTTNTAFHQQDPVVSEAHTPMNYVRDSWIADREKIFSMFPATTPNYAVHPETSAAYSLPILRSPPYSSTRDERVASSVEEPPANKDGVEPKKRQGGAAPKMPKAKKPKKPKENANSTVQRVKSAKKSIVFKINGYDMDISGIPIPVCSCTGTAQQCYRWGFGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAENYNFSNPIDLRSHWARHGTNKFVTIR >KJB76901 pep chromosome:Graimondii2_0_v6:12:25133963:25138805:1 gene:B456_012G111400 transcript:KJB76901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAYSSAAFTGTSASDLLRSSSNGVSGIPLKTLGKAPFSVKKRDATVAAKLKKGKKHDYPWPADPDPNVKGGVLTHLSHFKPLKEKQKPVTLDFEKPLVEIEKKMIDVRRMANETGLDFSDQIILLENKYQQALKDLYRHLTPIQRVHIARHPNRPTFLDHIFSITDKFVELHGDRSGYDDPAIVTGIGTIDDRRYMFIGQQKGRNTKENIHRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKASPKAAEKLRITAPELCRLQICDGIIPEPLGGAHADPAWTSQQIKAAINETMDELTAMDTEKLLKHRMHKFRKLGGFEENAQVDPKKKVNMKKEDQIVRTTPNEELEGEVEKLKQQILKAKESSSKPPEMALKDMIEKLKKEVDHEYSEAIKATGLKDRLDMLREEVSKVNSRDQLMNPVIRDKIEKLKDEFNQKLSAAPNHPTLQYKLDMLKEFSKAKSLSDAATLKQEVNKKFNEIMSRPEINEKLEALKAEVQNSGSSSFADLDQGLKDKVLNTRKEVELEMISALKSIGLVVEGVKSNEKVLSEKDSPSVFKNKVDHLNEEINKKIENVVSSSELKNMIELLKLEIARAGNKPDTESKSKIEAIQKQIKQRLSEAIISSELIEKYEDLKEKNSEVEQSSAGADESLQKETKYEEPRLEINLGANSSFAQCM >KJB76900 pep chromosome:Graimondii2_0_v6:12:25133808:25139539:1 gene:B456_012G111400 transcript:KJB76900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAYSSAAFTGTSASDLLRSSSNGVSGIPLKTLGKAPFSVKKRDATVAAKLKKGKKHDYPWPADPDPNVKGGVLTHLSHFKPLKEKQKPVTLDFEKPLVEIEKKMIDVRRMANETGLDFSDQIILLENKYQQALKDLYRHLTPIQRVHIARHPNRPTFLDHIFSITDKFVELHGDRSGYDDPAIVTGIGTIDDRRYMFIGQQKGRNTKENIHRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKASPKAAEKLRITAPELCRLQICDGIIPEPLGGAHADPAWTSQQIKAAINETMDELTAMDTEKLLKHRMHKFRKLGGFEENAQVDPKKKVNMKKEDQIVRTTPNEELEGEVEKLKQQILKAKESSSKPPEMALKDMIEKLKKEVDHEYSEAIKATGLKDRLDMLREEVSKVNSRDQLMNPVIRDKIEKLKDEFNQKLSAAPNHPTLQYKLDMLKEFSKAKSLSDAATLKQEVNKKFNEIMSRPEINEKLEALKAEVQNSGSSSFADLDQGLKDKVLNTRKEVELEMISALKSIGLVVEGVKSNEKVLSEKDSPSVFKNKVDHLNEEINKKIENVVSSSELKNMIELLKLEIARAGNKPDTESKSKIEAIQKQIKQRLSEAIISSELIEKYEDLKEKNSEVEQSSAGADESLQKETKYEEPRLEINLGANSSFAQ >KJB77278 pep chromosome:Graimondii2_0_v6:12:29701519:29702577:1 gene:B456_012G128900 transcript:KJB77278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSGLFAASVVAASASASSNFTCNSNFQLSSEEKKEHENSANTKPAPTEKFAPRFDGLRFIETLVTAHR >KJB77791 pep chromosome:Graimondii2_0_v6:12:33098873:33101417:1 gene:B456_012G157600 transcript:KJB77791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAESDSDGTSIDVSWATRSPSRRPFYYVQSPSNPDVEKISYGSSPMASPTYNNYHYHQCSSFNHSRESSTSRFSISGNIPRTLSGWKHEQIGHGDDDNDEEDDDGLSNSNNVRLLYVFCLVLVLLVVFAVVVLIRWDASKRYEPEIFVKRIVFENLYYQAGNDESGVPTDMLSLNSTVTISYTNLAAFYAFHVTSTPLELHHFQLKLASGKMEEFTQPRKSDRTVKTIVAGHQVPLYGGIPVLVDTRPHLNRISVPLNLTFMVKSRTDILGTMVKTKFYAGFICSFTFRGNKLGESLNLTDSCIYQ >KJB75462 pep chromosome:Graimondii2_0_v6:12:5762648:5767543:-1 gene:B456_012G046000 transcript:KJB75462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYIQRLYNTCRAAFSPNGPVSDEALERVRTMLGLEQEAQVVRNWSGPVSERNGTHQSLPPIKYLHLHECDSFSIGIFCMPPSSLIPLHNHPGMTVLSRLIYGSLHVKSYDWLDPTEPEDPLQARAAKLVKDTEMTAPCATTVLYPTSGGNIHCFRARTPCAIFDILSPPYSSEHGRHCTYFRRSPRRDLPGEIEMNGETFSEVTWLEEFQPPDDFVIRRGLYKGPVIRR >KJB75458 pep chromosome:Graimondii2_0_v6:12:5762648:5767061:-1 gene:B456_012G046000 transcript:KJB75458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYIQRLYNTCRAAFSPNGPVSDEALERVRTMLEKMKPSDVGLEQEAQVVRNWSGPVSERNGTHQSLPPIKYLHLHECDSFSIGIFCMPPSSLIPLHNHPGMTVLSRLIYGSLHVKSYDWLDPTEPEDPLQARAAKLVKDTEMTAPCATTVLYPTSGGNIHCFRARTPCAIFDILSPPYSSEHGRHCTYFRRSPRRDLPGEIEMNGETFSEVTWLEEFQPPDDFVIRRGLYKGPVIRR >KJB75460 pep chromosome:Graimondii2_0_v6:12:5762166:5767631:-1 gene:B456_012G046000 transcript:KJB75460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYIQRLYNTCRAAFSPNGPVSDEALERVRTMLEKMKPSDVGLEQEAQVVRNWSGPVSERNGTHQSLPPIKYLHLHECDSFSIGIFCMPPSSLIPLHNHPGMTVLSRLIYGSLHVKSYDWLDPTEPEDPLQARAAKLVKDTEMTAPCATTVLYPTSGGNIHCFRARTPCAIFDILSPPYSSEHGRHCTYFRRSPRRDLPGEIEMNGETFSEVTWLEEFQPPDDFVIRRGLYKGPVIRR >KJB75459 pep chromosome:Graimondii2_0_v6:12:5762166:5767645:-1 gene:B456_012G046000 transcript:KJB75459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKMKPSDVGLEQEAQVVRNWSGPVSERNGTHQSLPPIKYLHLHECDSFSIGIFCMPPSSLIPLHNHPGMTVLSRLIYGSLHVKSYDWLDPTEPEDPLQARAAKLVKDTEMTAPCATTVLYPTSGGNIHCFRARTPCAIFDILSPPYSSEHGRHCTYFRRSPRRDLPGEIEMNGETFSEVTWLEEFQPPDDFVIRRGLYKGPVIRR >KJB75463 pep chromosome:Graimondii2_0_v6:12:5763093:5766613:-1 gene:B456_012G046000 transcript:KJB75463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYIQRLYNTCRAAFSPNGPVSDEALERVRTMLEKMKPSDVGLEQEAQVVRNWSGPVSERNGTHQSLPPIKYLHLHECDSFSIGIFCMPPSSLIPLHNHPGMTVLSRLIYGSLHVKSYDWLDPTEPEDPLQARAAKLVKDTEMTAPCATTVLYPTSGGNIHCFRARTPCAIFDILSPPYSSEHGRHCTYFRRSPRRDLPGKKYNFEPSIRW >KJB75461 pep chromosome:Graimondii2_0_v6:12:5762648:5767023:-1 gene:B456_012G046000 transcript:KJB75461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYIQRLYNTCRAAFSPNGPVSDEALERVRTMLEKMKPSDVGLEQEAQVVRNWSGPVSERNGTHQSLPPIKYLHLHECDSFSIGIFCMPPSSLIPLHNHPGMTVLSRLIYGSLHVKSYDWLDPTEPEDPLQARAAKLVKDTEMTAPCATTVLYPTSGGNIHCFRARTPCAIFDILSPPYSSEHGRHCTYFRRSPRRDLPGEIEMNGETFSEVTWLEEFQPPDDFVIRRGLYKGPVIRR >KJB75641 pep chromosome:Graimondii2_0_v6:12:6452622:6454759:-1 gene:B456_012G049600 transcript:KJB75641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAKHSKQGTPVKDPRGNSGSKTRKFSKLSENSNPNISATSSPLTKSSKSQKYSSKDPVVYSPVVYSPRNKLRERKFVVAKKKLKKERSDDSNPNVGVDCKCKEKSSGNSKKCLCVAYENLRASQEDFFKNKAETEVEVEVEEEAMNSVPEAGKVMNLVKAFERLLTTPNSKESDDRSDEKEQKEENDNNKKKKPLKWPSEFVLTAENLGLDRRFSASSSSSDSSSQGRASNGGRRSQRNSSECLGTTGGRRGEKRDKPTSQKPFKLRTEQRGKMKEGEFMKKIQEMMVEEEKQRIPIAQGLPWTTDEPEVLIKPHVKENTRPVDPRLRSEIRASERSEFDLQVAEKMILIEHYKMERERRDKMAEEEEIQKLRKELVPKAQPMPVFDRPFLPTRSSKNPTMAREPKLHMPRHKKTKFCI >KJB75640 pep chromosome:Graimondii2_0_v6:12:6452622:6454759:-1 gene:B456_012G049600 transcript:KJB75640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAKHSKQGTPVKDPRGNSGSKTRKFSKLSENSNPNISATSSPLTKSSKSQKYSSKDPVVYSPVVYSPRNKLRERKFVVAKKKLKKERSDDSNPNVGVDCKCKEKSSGNSKKCLCVAYENLRASQEDFFKNKAETEVEVEVEEEAMNSVPEAGKVMNLVKAFERLLTTPNSKESDDRSDEKEQKEENDNNKKKKPLKWPSEFVLTAENLGLDRRFSASSSSSDSSSQGSVSSRASNGGRRSQRNSSECLGTTGGRRGEKRDKPTSQKPFKLRTEQRGKMKEGEFMKKIQEMMVEEEKQRIPIAQGLPWTTDEPEVLIKPHVKENTRPVDPRLRSEIRASERSEFDLQVAEKMILIEHYKMERERRDKMAEEEEIQKLRKELVPKAQPMPVFDRPFLPTRSSKNPTMAREPKLHMPRHKKTKFCI >KJB76101 pep chromosome:Graimondii2_0_v6:12:10590696:10591776:-1 gene:B456_012G0717001 transcript:KJB76101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRVENGGSYEVGKSGWGKSLPVPSIQEIVRSDFQSIPQRHIHENKDDFSFLAKGDEDEVQKLQKLHLACKDWGFFQVINHGVKEEILEKIKAAVAAFFELPFQEKKKYAKAENETEGYGQNFVVSEHQKLDWSGMIYLLTPPSQNRNFKFWPLSLPGFNPHSDGTSFTLLLQDDDVTGLQIKHNEAWVPVKPIPNSLVVNIGDATE >KJB76386 pep chromosome:Graimondii2_0_v6:12:14689760:14691320:1 gene:B456_012G086100 transcript:KJB76386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMINFPDQASLIFMIAVGPAMVVIALMFIIRPVGGHRQVRPSDGLSFTFIYSVCLLLAAYLMGVMLLEDLVSVSHTLVTIFTVILFFLLITPIIVPIALSFSEEPRDPVEEVLLPKSEQQEAGKPEQSDQEHEVIFSEVEDEKPVEVDLLPASERQKRIAQLQAELFQAAAKGAVRVKRKRGPHRGEDFTLMQALIKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRVGGGYFSEIIVRDHAYPRPVSMAVAQLVMAVGHVFFAMGWPGAMYIGTLLIGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLVFSGVIASSIYDHEAEKQAHQHHIQLQMSGLLFSGLYGQDEVLKCEGSVCFFLTSMIMSGFCVIAAVLSMILVYRTKTVYANLYGKSRT >KJB76383 pep chromosome:Graimondii2_0_v6:12:14689428:14691652:1 gene:B456_012G086100 transcript:KJB76383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMINFPDQASLIFMIAVGPAMVVIALMFIIRPVGGHRQVRPSDGLSFTFIYSVCLLLAAYLMGVMLLEDLVSVSHTLVTIFTVILFFLLITPIIVPIALSFSEEPRDPVEEVLLPKSEQQEAGKPEQSDQEHEVIFSEVEDEKPVEVDLLPASERQKRIAQLQAELFQAAAKGAVRVKRKRGPHRGEDFTLMQALIKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRVGGGYFSEIIVRDHAYPRPVSMAVAQLVMAVGHVFFAMGWPGAMYIGTLLIGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLVFSGVIASSIYDHEAEKQAHQHHIQLQMSGLLFSGLYGQDEVLKCEGSVCFFLTSMIMSGFCVIAAVLSMILVYRTKTVYANLYGKSRT >KJB76382 pep chromosome:Graimondii2_0_v6:12:14688640:14691658:1 gene:B456_012G086100 transcript:KJB76382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKERFQAFVNNRWLVFVAAMWIQSCAGVGYIFGSISPVIKSSLNYNQRQLSKLGVAKDLGDSVGFLAGSLSDRLPLWGALLVGAFQNLIGYGWVWLIVTGRAPVLPLWAMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMINFPDQASLIFMIAVGPAMVVIALMFIIRPVGGHRQVRPSDGLSFTFIYSVCLLLAAYLMGVMLLEDLVSVSHTLVTIFTVILFFLLITPIIVPIALSFSEEPRDPVEEVLLPKSEQQEAGKPEQSDQEHEVIFSEVEDEKPVEVDLLPASERQKRIAQLQAELFQAAAKGAVRVKRKRGPHRGEDFTLMQALIKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRVGGGYFSEIIVRDHAYPRPVSMAVAQLVMAVGHVFFAMGWPGAMYIGTLLIGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLVFSGVIASSIYDHEAEKQAHQHHIQLQMSGLLFSGLYGQDEVLKCEGSVCFFLTSMIMSGFCVIAAVLSMILVYRTKTVYANLYGKSRT >KJB76385 pep chromosome:Graimondii2_0_v6:12:14688643:14691652:1 gene:B456_012G086100 transcript:KJB76385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKERFQAFVNNRWLVFVAAMWIQSCAGVGYIFGSISPVIKSSLNYNQRQLSKLGVAKDLGDSVGFLAGSLSDRLPLWGALLVGAFQNLIGYGWVWLIVTGRAPVLPLWAMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMINFPDQASLIFMIAVGPAMVVIALMFIIRPVGGHRQVRPSDGLSFTFIYSVCLLLAAYLMGVMLLEDLVSVSHTLVTIFTVILFFLLITPIIVPIALSFSEEPRDPVEEVLLPKSEQQEAGKPEQSDQEHEVIFSEVEDEKPVEVDLLPASERQKRIAQLQAELFQAAAKGAVRVKRKRGPHRGEDFTLMQALIKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRVGGGYFSEIIVRY >KJB76384 pep chromosome:Graimondii2_0_v6:12:14688643:14691652:1 gene:B456_012G086100 transcript:KJB76384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKERFQAFVNNRWLVFVAAMWIQSCAGVGYIFGSISPVIKSSLNYNQRQLSKLGVAKDLGDSVGFLAGSLSDRLPLWGALLVGAFQNLIGYGWVWLIVTGRAPVLPLWAMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMINFPDQASLIFMIAVGPAMVVIALMFIIRPVGGHRQVRPSDGLSFTFIYSVCLLLAAYLMGVMLLEDLVSVSHTLVTIFTVILFFLLITPIIVPIALSFSEEPRDPVEEVLLPKSEQQEAGKPEQSDQEHEVIFSEVEDEKPVEVDLLPASERQKRIAQLQAELFQAAAKGAVRVKRKRGPHRGEDFTLMQALIKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRVGGGYFSEIIVRDHAYPRPVSMAVAQLVMAVGHVFFAMGWPGAMYIGTLLIGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLVFSGVIASSIYDHECSSVKVLYASF >KJB74664 pep chromosome:Graimondii2_0_v6:12:160087:163315:-1 gene:B456_012G001300 transcript:KJB74664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEETKQEQPPVEAQPEEKKDEKAEEKATEEKKGEPPAPTPPPPPFILLVDLHCVGCAKKIEKSIMKIRGVEGVEIDMAQNQVTIKGIIEPQTICAKIMKKTKRRAKVLSPLPPAEGEPIPEVVTSQVSGLATVELNVDMHCQACADQLKKKILKMRGVQTAVTEHSTGKVTVTGTMDANKLVDYVYRRTKKQARIVPQPEPEPQPEPEKQEEKKEGEEKPTEEAKPEENAEKKEEKSSEEGENKEEGKKEGSAEAEENSGNHGNEEETMKRMIYYYQPLYVIEQMPPAPQLFSDENPNACCIS >KJB74663 pep chromosome:Graimondii2_0_v6:12:160087:162806:-1 gene:B456_012G001300 transcript:KJB74663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALIGNVWQEQPPVEAQPEEKKDEKAEEKATEEKKGEPPAPTPPPPPFILLVDLHCVGCAKKIEKSIMKIRGVEGVEIDMAQNQVTIKGIIEPQTICAKIMKKTKRRAKVLSPLPPAEGEPIPEVVTSQVSGLATVELNVDMHCQACADQLKKKILKMRGVQTAVTEHSTGKVTVTGTMDANKLVDYVYRRTKKQARIVPQPEPEPQPEPEKQEEKKEGEEKPTEEAKPEENAEKKEEKSSEEGENKEEGKKEGSAEAEENSGNHGNEEETMKRMIYYYQPLYVIEQMPPAPQLFSDENPNACCIS >KJB75044 pep chromosome:Graimondii2_0_v6:12:2548527:2552144:1 gene:B456_012G020700 transcript:KJB75044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTLSISANPAVSARRRPVLLAENKSNFESLPAEPNPNGVNVGEDKATGAANSRDLSHHSVRGEALKDFGQARKVTVAQNSNVPLRRTRKGVVNKTEKPRWQTVVSIFIKNLVLLLVLAGLVQIIRRLALKSGEVSRAGTEVSLTEFEGRVAEVENFLKTTAKMIQVQVEVVDRKLDNEIGGLRRELNERIDDQSVALGNSLKILEEKSEGLDKSLRELKSANLLTKEEFENMYEQMFKEKGGNGKIETAVSLSDIGAYAREIVKNEIEMHASDGLARADYALFSGGGKVVRHSEPFLAGKGSNWFSKGSQNMVHPDADKMLKPSFGEPGQCFPLKGSSGFVQIKLRTAIIPEAITLEHVAKNVAYDRSSAPKDCRVSGWMQGRDLDLPIDPNKMFVLAEFMYDLEKSSAQTFDVSDAGGVGIVNTVRLDFSSNHGSTSHTCIYRLRVHGHEPDSVSMVKM >KJB75045 pep chromosome:Graimondii2_0_v6:12:2548697:2552094:1 gene:B456_012G020700 transcript:KJB75045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELALKSGEVSRAGTEVSLTEFEGRVAEVENFLKTTAKMIQVQVEVVDRKLDNEIGGLRRELNERIDDQSVALGNSLKILEEKSEGLDKSLRELKSANLLTKEEFENMYEQMFKEKGGNGKIETAVSLSDIGAYAREIVKNEIEMHASDGLARADYALFSGGGKVVRHSEPFLAGKGSNWFSKGSQNMVHPDADKMLKPSFGEPGQCFPLKGSSGFVQIKLRTAIIPEAITLEHVAKNVAYDRSSAPKDCRVSGWMQGRDLDLPIDPNKMFVLAEFMYDLEKSSAQTFDVSDAGGVGIVNTVRLDFSSNHGSTSHTCIYRLRVHGHEPDSVSMVKM >KJB76122 pep chromosome:Graimondii2_0_v6:12:10772472:10773667:-1 gene:B456_012G073100 transcript:KJB76122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYGLIWITTTLVFMLAAFGNCATYLIQKRTDRTTTWSFDVGYVNAAACGIYGYSLVVPVAFYFLLRYLGSNASLIRFWCMWGYSLSIFIPTAFLLLIPVEILRWIIILVAGTASSCFVTLNLTSYIGGSNDLRMMMIAAFLLQMALAIFIKVWFFP >KJB76123 pep chromosome:Graimondii2_0_v6:12:10772472:10774280:-1 gene:B456_012G073100 transcript:KJB76123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLKSKDLFWYGLIWITTTLVFMLAAFGNCATYLIQKRTDRTTTWSFDVGYVNAAACGIYGYSLVVPVAFYFLLRYLGSNASLIRFWCMWGYSLSIFIPTAFLLLIPVEILRWIIILVAGTASSCFVTLNLTSYIGGSNDLRMMMIAAFLLQMALAIFIKVWFFP >KJB76125 pep chromosome:Graimondii2_0_v6:12:10773180:10775682:-1 gene:B456_012G073100 transcript:KJB76125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSYWNLPSSHLLGSVPAVVNEESEASYGRRGGGGRGYQTLGPPTEGFEQQSQNNWKGVFSISSYTQYFDVDTDVVVNRLISSFYPAGGDFFNKIGANPDLYGLIWITTTLVFMLAAFGNCATYLIQKRTDRTTTWSFDVGYVNAAACGIYGYSLVVPVAFYFLLRYLGSNASLIRFWCMWGYSLSIFIPTAVSFSL >KJB76121 pep chromosome:Graimondii2_0_v6:12:10772329:10775977:-1 gene:B456_012G073100 transcript:KJB76121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSYWNLPSSHLLGSVPAVVNEESEASYGRRGGGGRGYQTLGPPTEGFEQQSQNNWKGVFSISSYTQYFDVDTDVVVNRLISSFYPAGGDFFNKIGANPDLYGLIWITTTLVFMLAAFGNCATYLIQKRTDRTTTWSFDVGYVNAAACGIYGYSLVVPVAFYFLLRYLGSNASLIRFWCMWGYSLSIFIPTAFLLLIPVEILRWIIILVAGTASSCFVTLNLTSYIGGSNDLRMMMIAAFLLQMALAIFIKVWFFP >KJB76124 pep chromosome:Graimondii2_0_v6:12:10772534:10775855:-1 gene:B456_012G073100 transcript:KJB76124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSYWNLPSSHLLGSVPAVVNEESEASYGRRGGGGRGYQTLGPPTEGFEQQSQNNWKGVFSISSYTQYFDVDTDVVVNRLISSFYPAGGDFFNKIGANPDLYGLIWITTTLVFMLAAFGNCATYLIQKRTDRTTTWSFDVGYVNAAACGIYGYSLVVPVAFYFLLRYLGSNASLIRFWCMWVSTAYSS >KJB75758 pep chromosome:Graimondii2_0_v6:12:7514870:7516492:-1 gene:B456_012G055800 transcript:KJB75758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLNLGFSFLFQMVIDFSASWCGPCKFMEPVLNGMAAKFTEVQFVKLDVDELPDVAQEFGVQGMPTFVLLKKGKEVDRVVGAQKNDLEKKIEKHRDLVAAT >KJB75760 pep chromosome:Graimondii2_0_v6:12:7515569:7516492:-1 gene:B456_012G055800 transcript:KJB75760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFFSSDSTPEKPSSSSEPSSVLTFHSSPRWQLHFNSVKETSQLMVIDFSASWCGPCKFMEPVLNGMAAKFTEVQFVKLDVDELPVCILRFFFLCIHTHTYVYIYMIYK >KJB75759 pep chromosome:Graimondii2_0_v6:12:7514870:7516503:-1 gene:B456_012G055800 transcript:KJB75759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFFSSDSTPEKPSSSSEPSSVLTFHSSPRWQLHFNSVKETSQLMVIDFSASWCGPCKFMEPVLNGMAAKFTEVQFVKLDVDELPDVAQEFGVQGMPTFVLLKKGKEVDRVVGAQKNDLEKKIEKHRDLVAAT >KJB76947 pep chromosome:Graimondii2_0_v6:12:26165976:26170522:1 gene:B456_012G114000 transcript:KJB76947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAAVQPSLVIVYFGGNDSTEPHPSGLGPHVPLPEYVENMKKIAMHLKGLSENTHVMFLSTPPMNEGQTGESFGKCARTNEGCRIYSEACLKLCQEVDIKCIDLWTAIQQRDDWKTVCFTDGIHLSSEGSKLVGEEILKALAEEPSLCWRSLPTEFDEDSVFDPVDEEGKNINISNL >KJB76948 pep chromosome:Graimondii2_0_v6:12:26169413:26170522:1 gene:B456_012G114000 transcript:KJB76948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCHVSFDHQGLSENTHVMFLSTPPMNEGQTGESFGKCARTNEGCRIYSEACLKLCQEVDIKCIDLWTAIQQRDDWKTVCFTDGIHLSSEGSKLVGEEILKALAEEPSLCWRSLPTEFDEDSVFDPVDEEGKNINISNL >KJB76946 pep chromosome:Graimondii2_0_v6:12:26165943:26170621:1 gene:B456_012G114000 transcript:KJB76946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGPGRPLFVLFGSSIVQFSYSNEGWGAILAHAYARKADIILRGYGGWNSRRALEVLHKVFPKDAAVQPSLVIVYFGGNDSTEPHPSGLGPHVPLPEYVENMKKIAMHLKGLSENTHVMFLSTPPMNEGQTGESFGKCARTNEGCRIYSEACLKLCQEVDIKCIDLWTAIQQRDDWKTVCFTDGIHLSSEGSKLVGEEILKALAEEPSLCWRSLPTEFDEDSVFDPVDEEGKNINISNL >KJB78264 pep chromosome:Graimondii2_0_v6:12:35345530:35355434:-1 gene:B456_012G186600 transcript:KJB78264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSRGTNAYGQQPYVGQSGYAQNLGAGYSSSSVGGPDGGAQMSLSSRHSSILGSSQDTEVGGYRALPSVSAHYGGQYSSIYGTSALSATQQVPAASSKGAGASALEARNAYASALPDSPKYASTDYVSSASHSYSHKGDQMYAEKIPDYPTVERRQFGERQGSYLGRDLSSEPTGRYSDSAFFGHQHQPDIYDRLDQAVLLRQEQLLKAQSASHDSSSRQADYLAARSAAGRHSAQDLLSYGGRIDADPRSLSLLSSSSSYGGQTPSILGAAPRRNVDDMMYPPSSANPGYGVSLPPGRDYGTKGLHVTTLETEYPGSTLSRSGLPRIDERKDDRAGYLREFEMREEERRREHLREREKDRERERERERERERLRERERERERLRILERREKEREREKERERERKRALEVTRARTPPRVSRDHRGPSLTKEVRPVKRESPRREASHRRLSPVKEKRREYVSKVYTSNLIDVERGYLSIDKRYPRLFVSPEFSKVVINWPKGNLKLSMHTHVSFEHDFIEDNLVESKELSSKLLPVEPEKPEQRSTVWNAKMMLMSGLSRSALEELSSEKIPDDRIPHICNILRFAVLKKDHSFMAIGGPCVSADGSNPTGDEFSLTQTALRYAQDVVNLDLQKCQHWNRFLEIHYDRVGKDGLFSHKEVTVLFVPDLSECLPSLDEWRAQWLAHRKAVSERERQLSLKREKSKERKEGSKDKEADTTKQNERGKSGKKILSMSSSDGVVANKKEKDGKCIEGDDSEGKANGGENKVLVKDGSEITVEGGPEKKESGEAATAKTGVVKSVKKKIIKRIVKQKVANKTAAEVNTASKPSNKVEDAGEQNTKSEIGSQPEESSAGSAGIKTFARKKVTKKEAVGKTDQDEDNDVPLEAKMEVETGCSGDKPKDNSDATAAAVENATVKTTLKKKIIKRVPKRKVPATQAKDEVAEIKNDGGEDEKMVVLAGTETSNIGKQTGSEKQGNAASSSKSESKPEKENKKDEKSTNTESLNDKKKVNAKYTCDVKGDKLKEGEKPKDEKAEKDSKDESRSNTNKELKEKRKPDEPSLKHPGLILQTKWSKDSKLRPLSLSLDSLLDYTDKDIEESTFELSLFAEVLYEMLQYQMGCRILTFLQKLRVRFITKRNQRKRQREEKSDKETEKTSPTKRSKSNELPVMKNESTKLDTSTATQQEDEMIVTKEETTTDQVEEPKMANEETNVDHVEEPKIKDEIEEEDPEEDPEEYEEMEDASQPNSSNEKNEEEKAQTDAKPEKGSEKEAEKNEAVASTKSEITTKAASTDAGPEGDMSRKEQKVDPKKKVPAIDKDLLQAFRFFDRNRVGYVRVEDMRLMIHSLGKFLSHRDVKELVQSALLESNTGRDDHILYDKLVRMSDI >KJB78263 pep chromosome:Graimondii2_0_v6:12:35345530:35353635:-1 gene:B456_012G186600 transcript:KJB78263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYPPSSANPGYGVSLPPGRDYGTKGLHVTTLETEYPGSTLSRSGLPRIDERKDDRAGYLREFEMREEERRREHLREREKDRERERERERERERLRERERERERLRILERREKEREREKERERERKRALEVTRARTPPRVSRDHRGPSLTKEVRPVKRESPRREASHRRLSPVKEKRREYVSKVYTSNLIDVERGYLSIDKRYPRLFVSPEFSKVVINWPKGNLKLSMHTHVSFEHDFIEDNLVESKELSSKLLPVEPEKPEQRSTVWNAKMMLMSGLSRSALEELSSEKIPDDRIPHICNILRFAVLKKDHSFMAIGGPCVSADGSNPTGDEFSLTQTALRYAQDVVNLDLQKCQHWNRFLEIHYDRVGKDGLFSHKEVTVLFVPDLSECLPSLDEWRAQWLAHRKAVSERERQLSLKREKSKERKEGSKDKEADTTKQNERGKSGKKILSMSSSDGVVANKKEKDGKCIEGDDSEGKANGGENKVLVKDGSEITVEGGPEKKESGEAATAKTGVVKSVKKKIIKRIVKQKVANKTAAEVNTASKPSNKVEDAGEQNTKSEIGSQPEESSAGSAGIKTFARKKVTKKEAVGKTDQDEDNDVPLEAKMEVETGCSGDKPKDNSDATAAAVENATVKTTLKKKIIKRVPKRKVPATQAKDEVAEIKNDGGEDEKMVVLAGTETSNIGKQTGSEKQGNAASSSKSESKPEKENKKDEKSTNTESLNDKKKVNAKYTCDVKGDKLKEGEKPKDEKAEKDSKDESRSNTNKELKEKRKPDEPSLKHPGLILQTKWSKDSKLRPLSLSLDSLLDYTDKDIEESTFELSLFAEVLYEMLQYQMGCRILTFLQKLRVRFITKRNQRKRQREEKSDKETEKTSPTKRSKSNELPVMKNESTKLDTSTATQQEDEMIVTKEETTTDQVEEPKMANEETNVDHVEEPKIKDEIEEEDPEEDPEEYEEMEDASQPNSSNEKNEEEKAQTDAKPEKGSEKEAEKNEAVASTKSEITTKAASTDAGPEGDMSRKEQKVDPKKKVPAIDKDLLQAFRFFDRNRVGYVRVEDMRLMIHSLGKFLSHRDVKELVQSALLESNTGRDDHILYDKLVRMSDI >KJB78262 pep chromosome:Graimondii2_0_v6:12:35345530:35350584:-1 gene:B456_012G186600 transcript:KJB78262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMSGLSRSALEELSSEKIPDDRIPHICNILRFAVLKKDHSFMAIGGPCVSADGSNPTGDEFSLTQTALRYAQDVVNLDLQKCQHWNRFLEIHYDRVGKDGLFSHKEVTVLFVPDLSECLPSLDEWRAQWLAHRKAVSERERQLSLKREKSKERKEGSKDKEADTTKQNERGKSGKKILSMSSSDGVVANKKEKDGKCIEGDDSEGKANGGENKVLVKDGSEITVEGGPEKKESGEAATAKTGVVKSVKKKIIKRIVKQKVANKTAAEVNTASKPSNKVEDAGEQNTKSEIGSQPEESSAGSAGIKTFARKKVTKKEAVGKTDQDEDNDVPLEAKMEVETGCSGDKPKDNSDATAAAVENATVKTTLKKKIIKRVPKRKVPATQAKDEVAEIKNDGGEDEKMVVLAGTETSNIGKQTGSEKQGNAASSSKSESKPEKENKKDEKSTNTESLNDKKKVNAKYTCDVKGDKLKEGEKPKDEKAEKDSKDESRSNTNKELKEKRKPDEPSLKHPGLILQTKWSKDSKLRPLSLSLDSLLDYTDKDIEESTFELSLFAEVLYEMLQYQMGCRILTFLQKLRVRFITKRNQRKRQREEKSDKETEKTSPTKRSKSNELPVMKNESTKLDTSTATQQEDEMIVTKEETTTDQVEEPKMANEETNVDHVEEPKIKDEIEEEDPEEDPEEYEEMEDASQPNSSNEKNEEEKAQTDAKPEKGSEKEAEKNEAVASTKSEITTKAASTDAGPEGDMSRKEQKVDPKKKVPAIDKDLLQAFRFFDRNRVGYVRVEDMRLMIHSLGKFLSHRDVKELVQSALLESNTGRDDHILYDKLVRMSDI >KJB77268 pep chromosome:Graimondii2_0_v6:12:29685582:29689718:-1 gene:B456_012G128800 transcript:KJB77268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELSGRGNSSGSKGFDFASDDILCSYEDYGNQESSNGSHAEAALGTTTTSSSTDFHKGRVARSIFPANAYSQPDDSLYSDVTATVEKTMKKYADNLMRFLEGLSSRLSQLELYCYNLDKSIGEMQSDLIRDNEDADSKLNSIEKHLQEVHRSVQILRDKQELAETQKELAKLQLAQKESSSSSHSQSTEERASPPASDSKKTDHTSEMQNQQLALALPHQVAPQQQPVVPHSQSPPQNLTQQSYYIPSNQLSNPHAPAPVHVPAPTTPAPPPLPAPAPTPHTQSQYLPPDPQFQAPHIQDVSRMPPQPRQSHQVPAVQSFPQYQQQWPQQVPQQVQQQQQSLMQPQMRPPSTPAYPPYPPTQSSNPSPPEALAHSTPMQVPYSGVRQPLSSCVDTIPYGYGVPGRTAPQPIKGTFEAQPRDGYQASVSHPPLPPGSAYMMYDSEGGRAHHPPQQPHFSQGGYPPANVSLQTPQPGPSPNVMMRNSSHSQYVRNHPYSYLIEKFVSMGFRADHIASVIQRMEESGQAVDFNAVLDRLNVQSSGGTQRGGW >KJB77272 pep chromosome:Graimondii2_0_v6:12:29685582:29689709:-1 gene:B456_012G128800 transcript:KJB77272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELSGRGNSSGSKGFDFASDDILCSYEDYGNQESSNGSHAEAALGTTTTSSSTDFHKGRVARSIFPANAYSQPDDSLYSDVTATVEKTMKKYADNLMRFLEGLSSRLSQLELYCYNLDKSIGEMQSDLIRDNEDADSKLNSIEKHLQEVHRSVQILRDKQELAETQKELAKLQLAQKESSSSSHSQSTEERASPPASDSKKTDHTSEMQNQQLALALPHQVAPQQQPVVPHSQSPPQNLTQQSYYIPSNQLSNPHAPAPVHVPAPTTPAPPPLPAPAPTPHTQSQYLPPDPQFQAPHIQDVSRMPPQPRQSHQVPAVQSFPQYQQQWPQQVPQQVQQQQQSLMQPQMRPPSTPAYPPYPPTQSSNPSPPEALAHSTPMQVPYSGVRQPLSSCVDTIPYGYGVPGRTAPQPIKGTFEAQPRDGYQASVSHPPLPPGSAYMMYDSEGGRAHHPPQQPHFSQGGYPPANVSLQTPQPGPSPNVMMRNSSHSQYVRNHPYSYLIEKFVSMGFRADHIASVIQRMEESGQAVDFNAVLDRLNVQSSGGTQRGGW >KJB77267 pep chromosome:Graimondii2_0_v6:12:29685875:29687448:-1 gene:B456_012G128800 transcript:KJB77267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKRSGSLLWSCCYIQGVHRSVQILRDKQELAETQKELAKLQLAQKESSSSSHSQSTEERASPPASDSKKTDHTSEMQNQQLALALPHQVAPQQQPVVPHSQSPPQNLTQQSYYIPSNQLSNPHAPAPVHVPAPTTPAPPPLPAPAPTPHTQSQYLPPDPQFQAPHIQDVSRMPPQPRQSHQVPAVQSFPQYQQQWPQQVPQQVQQQQQSLMQPQMRPPSTPAYPPYPPTQSSNPSPPEALAHSTPMQVPYSGVRQPLSSCVDTIPYGYGVPGRTAPQPIKGTFEAQPRDGYQASVSHPPLPPGSAYMMYDSEGGRAHHPPQQPHFSQGGYPPANVSLQTPQPGPSPNVMMRNSSHSQYVRNHPYSYLIEKFVSMGFRADHIASVIQRMEESGQAVDFNAVLDRLNVQSSGGTQRGGW >KJB77274 pep chromosome:Graimondii2_0_v6:12:29685613:29688376:-1 gene:B456_012G128800 transcript:KJB77274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYADNLMRFLEGLSSRLSQLELYCYNLDKSIGEMQSDLIRDNEDADSKLNSIEKHLQEVHRSVQILRDKQELAETQKELAKLQLAQKESSSSSHSQSTEERASPPASDSKKTDHTSEMQNQQLALALPHQVAPQQQPVVPHSQSPPQNLTQQSYYIPSNQLSNPHAPAPVHVPAPTTPAPPPLPAPAPTPHTQSQYLPPDPQFQAPHIQDVSRMPPQPRQSHQVPAVQSFPQYQQQWPQQVPQQVQQQQQSLMQPQMRPPSTPAYPPYPPTQSSNPSPPEALAHSTPMQVPYSGVRQPLSSCVDTIPYGYGVPGRTAPQPIKGTFEAQPRDGYQASVSHPPLPPGSAYMMYDSEGGRAHHPPQQPHFSQGGYPPANVSLQTPQPGPSPNVMMRNSSHSQYVRNHPYSYLIEKFVSMGFRADHIASVIQRMEESGQAVDFNAVLDRLNVQSSGGTQRGGW >KJB77270 pep chromosome:Graimondii2_0_v6:12:29685582:29689718:-1 gene:B456_012G128800 transcript:KJB77270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELSGRGNSSGSKGFDFASDDILCSYEDYGNQESSNGSHAEAALGTTTTSSSTDFHKGRVARSIFPANAYSQPDDSLYSDVTATVEKTMKKYADNLMRFLEGLSSRLSQLELYCYNLDKSIGEMQSDLIRDNEDADSKLNSIEKHLQEVHRSVQILRDKQELAETQKELAKLQLAQKESSSSSHSQSTEERASPPASDSKKTDHTSEMQNQQLALALPHQVAPQQQPVVPHSQSPPQNLTQQSYYIPSNQLSNPHAPAPVHVPAPTTPAPPPLPAPAPTPHTQSQYLPPDPQFQAPHIQDVSRMPPQPRQSHQVPAVQSFPQYQQQWPQQVPQQVQQQQQSLMQPQMRPPSTPAYPPYPPTQSSNPSPPEALAHSTPMQVPYSGVRQPLSSCVDTIPYGYGVPGRTAPQPIKGTFEAQPRDGYQASVSHPPLPPGSAYMMYDSEGGRAHHPPQQPHFSQGGYPPANVSLQTPQPGPSPNVMMRNSSHSQYVRNHPYSYLIEKFVSMGFRADHIASVIQRMEESGQAVDFNAVLDRLNVQSSGGTQRGGW >KJB77271 pep chromosome:Graimondii2_0_v6:12:29685875:29689352:-1 gene:B456_012G128800 transcript:KJB77271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELSGRGNSSGSKGFDFASDDILCSYEDYGNQESSNGSHAEAALGTTTTSSSTDFHKGRVARSIFPANAYSQPDDSLYSDVTATVEKTMKKYADNLMRFLEGLSSRLSQLELYCYNLDKSIGEMQSDLIRDNEDADSKLNSIEKHLQEQHAREVHQIWIRKDLVHRSVQILRDKQELAETQKELAKLQLAQKESSSSSHSQSTEERASPPASDSKKTDHTSEMQNQQLALALPHQVAPQQQPVVPHSQSPPQNLTQQSYYIPSNQLSNPHAPAPVHVPAPTTPAPPPLPAPAPTPHTQSQYLPPDPQFQAPHIQDVSRMPPQPRQSHQVPAVQSFPQYQQQWPQQVPQQVQQQQQSLMQPQMRPPSTPAYPPYPPTQSSNPSPPEALAHSTPMQVPYSGVRQPLSSCVDTIPYGYGVPGRTAPQPIKGTFEAQPRDGYQASVSHPPLPPGSAYMMYDSEGGRAHHPPQQPHFSQGGYPPANVSLQTPQPGPSPNVMMRNSSHSQYVRNHPYSYLIEKFVSMGFRADHIASVIQRMEESGQAVDFNAVLDRLNVQSSGGTQRGGW >KJB77269 pep chromosome:Graimondii2_0_v6:12:29685875:29686903:-1 gene:B456_012G128800 transcript:KJB77269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQQLALALPHQVAPQQQPVVPHSQSPPQNLTQQSYYIPSNQLSNPHAPAPVHVPAPTTPAPPPLPAPAPTPHTQSQYLPPDPQFQAPHIQDVSRMPPQPRQSHQVPAVQSFPQYQQQWPQQVPQQVQQQQQSLMQPQMRPPSTPAYPPYPPTQSSNPSPPEALAHSTPMQVPYSGVRQPLSSCVDTIPYGYGVPGRTAPQPIKGTFEAQPRDGYQASVSHPPLPPGSAYMMYDSEGGRAHHPPQQPHFSQGGYPPANVSLQTPQPGPSPNVMMRNSSHSQYVRNHPYSYLIEKFVSMGFRADHIASVIQRMEESGQAVDFNAVLDRLNVQSSGGTQRGGW >KJB77273 pep chromosome:Graimondii2_0_v6:12:29685875:29689352:-1 gene:B456_012G128800 transcript:KJB77273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELSGRGNSSGSKGFDFASDDILCSYEDYGNQESSNGSHAEAALGTTTTSSSTDFHKGRVARSIFPANAYSQPDDSLYSDVTATVEKTMKKYADNLMRFLEGLSSRLSQLELYCYNLDKSIGEMQSDLIRDNEDADSKLNSIEKHLQEQHAREVHQIWIRKDLVHRSVQILRDKQELAETQKELAKLQLAQKESSSSSHSQSTEERASPPASDSKKTDHTSEMQNQQLALALPHQVAPQQQPVVPHSQSPPQNLTQQSYYIPSNQLSNPHAPAPVHVPAPTTPAPPPLPAPAPTPHTQSQYLPPDPQFQAPHIQDVSRMPPQPRQSHQVPAVQSFPQYQQQWPQQVPQQVQQQQQSLMQPQMRPPSTPAYPPYPPTQSSNPSPPEALAHSTPMQVPYSGVRQPLSSCVDTIPYGYGVPGRTAPQPIKGTFEAQPRDGYQASVSHPPLPPGSAYMMYDSEGGRAHHPPQQPHFSQGGYPPANVSLQTPQPGPSPNVMMRNSSHSQYVRNHPYSYLIEKFVSMGFRADHIASVIQRMEESGQAVDFNAVLDRLNVQSSGGTQRGGW >KJB74779 pep chromosome:Graimondii2_0_v6:12:807169:809214:1 gene:B456_012G006800 transcript:KJB74779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTANDSSKDISVRLAEKNPKVVFVLGGPGSGKGTQCEKIVQHFGYTHLSAGDLLRAEKNSGSENGIMIQNMMNEGKIVPSEVTIKLLQKAMLESGNDKFLIDGFPRNEENRAAFEAVTKIEPEFVLYFNCSEKEMERRILNRNQGREDDNIETIRKRFKVFLESSLPVIEYYKGKGKVREIDAAKPVEEVFEEVKDIFTPEVRKVVGGRGWGRGCCAIL >KJB77247 pep chromosome:Graimondii2_0_v6:12:29359986:29360773:-1 gene:B456_012G127400 transcript:KJB77247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTKEGEQTEKLDDLEIVSIESLYKGPWEKKYWSSSRGKDRYPYPVGYQAVRAHNGSTYKTEIYEGPRGPLFVCASICLQISCDGQSCSGQTPDIAWEKFQKMGCPHLKLWHGKRFSCKIDGVEV >KJB77348 pep chromosome:Graimondii2_0_v6:12:30518270:30519248:1 gene:B456_012G133300 transcript:KJB77348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKGLQQGSTSSSCPKQGVSLSPNANPRVSTRLVKCELCNSRATLYCQADDAYLCRKCDKWVHDANFLAQRHIRCFLCNTCQNLTQRYLIGASHEVLLPTMVSWSERRHCNSDMETEHSTTLKIPFLFL >KJB77391 pep chromosome:Graimondii2_0_v6:12:30870129:30873157:1 gene:B456_012G135300 transcript:KJB77391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRILPSISSVSSHFLKTKPICSPSPLHHFHSLQSLQCSNPINKNGSLWFQGIRSYSLLSLNDLRDNVPRKQKTRKGRGIGSGKGKTAGRGHKGQKARGNGKLGFEGGQTPMRRRLPKRGFKNPFSLTFQPVGLGKIANLINAGKIDSHELITMKTLKDAGAIGKQIEDGVRLMGRGAEQIKWPIHLEVKGDC >KJB77393 pep chromosome:Graimondii2_0_v6:12:30870333:30872251:1 gene:B456_012G135300 transcript:KJB77393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRILPSISSVSSHFLKTKPICSPSPLHHFHSLQSLQCSNPINKNGSLWFQGIRSYSLLSLNDLRDNVPRKQKTRKGRGIGSGKGKTAGRGHKGQKARGNGKLGFEGGQTPMRRRLPKRGFKNPFSLTFQPVGLGKIANLINAGKIDSHELITMKTLKDAGAIGKQIEDGVRLMGRGAEQIKWPIHLEVKALT >KJB77392 pep chromosome:Graimondii2_0_v6:12:30870129:30873157:1 gene:B456_012G135300 transcript:KJB77392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRILPSISSVSSHFLKTKPICSPSPLHHFHSLQSLQCSNPINKNGSLWFQGIRSYSLLSLNDLRDNVPRKQKTRKGRGIGSGKGKTAGRGHKGQKARGNGKLGFEGGQTPMRRRLPKRGFKNPFSLTFQPVGLGKIANLINAGKIDSHELITMKTLKDAGAIGKQIEDGVRLMGRGAEQIKWPIHLEVSRVTVRAKEAVETAGGSVRRVHYNKLGLRALLKPEWFEKKGRLLPKPARPPPKLKDKVDSIGRLPAPTKPILFFTEEEPATSPAL >KJB74737 pep chromosome:Graimondii2_0_v6:12:620585:626461:1 gene:B456_012G005300 transcript:KJB74737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFHNSYFTTHFGNQFFCSKISIFSLFDHQKSPFRYTQISNYVNVYMKWKKDPFFDSILHIHKSAELKPIIQLKNFIVNYPNGCIPISAVSKRGLEFDISIRVARFLRQYPSVFEEFRGEHNLPWFRLTSEAAEIDREEKRVLEECKEDLKDRLRRFVLMSKDKVLPLKIIKGMAWYLGLPERFLDESKGDLLDESFRFVDMEDGLKGLTVESNGEKLLSIMQRNAMKNGVYFGGTLEGIEFPLFPSKGLRLRRKIESWLNEFQKLPYVSPYEDFWHLDPDSDFAEKRVVGMLHELLSLFVEHSAERKKLLCLKKYFGLPQKVHKAFERHPHMFYLSFKNKTCTAILKEAYCGNSSIEKHPMLVVRKKYIRLVKESDRILKNRRINNRFIKHEKLEKGSDIDADSDDRTDIQAEESSQEWLVLDLLPHSSIPFIVEKLNLNLQCYMQKQFNKLERCIQKAIYKLIEFLSGSNKLRKKRGRHSFIILPMITCDIDPDVAQWGLQDIQVCVYSHSGASCSVTQYEKFNSQTVHIREGCYEPECVNVENDAVIAHALQEELSRVAAAEASGFTNPSRDSILSQDWFGLQGRQHSSDCEDEVNHGTRDSDDFRSELGGNYGMGDNLYHGEDMLRIDTIDESSVLDGEVEKRLNDMVPIPVSTTYKSGKLLCLLGFKGFFFLTEVPLQCGGSIISSHFFFFHC >KJB76859 pep chromosome:Graimondii2_0_v6:12:25054535:25056169:1 gene:B456_012G110500 transcript:KJB76859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTANFLRRKFNRPGNLKTKCYYRHCSRYYKAQISNRISFRHITSYSINRSSRLNRISPKHIPPKLNSQNLVI >KJB74604 pep chromosome:Graimondii2_0_v6:12:13543897:13546757:1 gene:B456_012G082300 transcript:KJB74604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICIDNSEWIRNDDYSLSRFEAQARAHRLGQKKDVLVLRFETVQTVEEQVRASAEHKLAVANQSITVGFFDNNTTHGDKFAAKRMRRLTQRRAVDYTSTVV >KJB74605 pep chromosome:Graimondii2_0_v6:12:13543897:13546757:1 gene:B456_012G082300 transcript:KJB74605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWIRNDDYSLSRFEAQARAHRLGQKKDVLVLRFETVQTVEEQVRASAEHKLAVANQSITVGFFDNNTTHGDKFAAKRMRRLTQRRAVDYTSTVV >KJB74607 pep chromosome:Graimondii2_0_v6:12:13543897:13546757:1 gene:B456_012G082300 transcript:KJB74607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWIRNDDYSLSRFEAQARAHRLGQKKDVLVLRFETVQTVEEQVRASAEHKLAVANQSITVGFFDNNTTHGDKFAAKRMRRLTQRRAVDYTSTVV >KJB74603 pep chromosome:Graimondii2_0_v6:12:13543897:13545314:1 gene:B456_012G082300 transcript:KJB74603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWIRNDDYSLSRFEAQARAHRLGQKKDVLVLRFETVQTVEEQVRASAEHKLAVANQSITVGFFDNNTMLKIVGSI >KJB74606 pep chromosome:Graimondii2_0_v6:12:13543897:13546757:1 gene:B456_012G082300 transcript:KJB74606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICIDNSEWIRNDDYSLSRFEAQARAHRLGQKKDVLVLRFETVQTVEEQVRASAEHKLAVANQSITVGFFDNNTTHGDKFAAKRMRRLTQRRAVDYTSTVV >KJB77856 pep chromosome:Graimondii2_0_v6:12:33336215:33341347:-1 gene:B456_012G161300 transcript:KJB77856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLKTLFDEESKANNEQLGPSSCINVESVERLNEKAFPNGDLYVGDFKEILPHGKGKYTWSDGTVYDGDWEAGKMSGKGLLIWPSGAKYNGDISGGYLHGFGAFTASDGSIYEGHWRMNIRHGYGKKKYSNSDIYEGEWKEGEHEGKGIYFWNNGNKYTGNWKRGKMHGRGVMEWINGDHYNGCWFNGFRHGNGIYQYADGGYYFGTWTRGLKDGKGLFYPAGSKRPSLKKCCTSLGYDNDHKTALPQCSSLHLEEHIVKKPSIKRSLSEKILVSGVLKGSGRMSSKTAESSGLSDSGREFVHHNSSGTFSFDSDAGQSELQENTAVIYEREYMQGVMIKERIRDYNKLSKKAEKKTKFHAKETQKSSCVGIFKGHNSYHLMLNLQLGIRYTVGKITPVPKREVRAADFGDRARITMFFPKKGSQFTPPHKSIDFYWKDYCPMVFRHLRELFKLDAAEYMMSICGDDGLTEISSPGKSGSLFYLSHDDKFVIKTLKKSELKVLLKMLPKYHNHVKEHENTLITKFFGLHRITLPGKRKVRFVVMGNMFCTELRIHRRYDLKGSTHGRITDKDKIHENTTLKDLDLSYEFHMDKSLREFLFDQIADDCAFLKSQQIIDYSLLLGLHFRAPEPLDGLVEPPNISPNMQSSPAGQDGEVLFPSKGFVLLAHEPSSVNTDPGPHIRGRALRAFSLGNQEVDILVPGTGRLRVQLGVNMPARANHKLPQDESDSAEVELFEVYDVILYMGIIDILQEYNAKKKAEHAYKSVKFGPTTISVVEPELYANRFTNFLKQKVFPEQP >KJB77855 pep chromosome:Graimondii2_0_v6:12:33336094:33341553:-1 gene:B456_012G161300 transcript:KJB77855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLKTLFDEESKANNEQLGPSSCINVESVERLNEKAFPNGDLYVGDFKEILPHGKGKYTWSDGTVYDGDWEAGKMSGKGLLIWPSGAKYNGDISGGYLHGFGAFTASDGSIYEGHWRMNIRHGYGKKKYSNSDIYEGEWKEGEHEGKGIYFWNNGNKYTGNWKRGKMHGRGVMEWINGDHYNGCWFNGFRHGNGIYQYADGGYYFGTWTRGLKDGKGLFYPAGSKRPSLKKCCTSLGYDNDHKTALPQCSSLHLEEHIVKKPSIKRSLSEKILVSGVLKGSGRMSSKTAESSGLSDSGREFVHHNSSGTFSFDSDAGQSELQENTAVIYEREYMQGVMIKERIRDYNKLSKKAEKKTKFHAKETQKSSCVGIFKGHNSYHLMLNLQLGIRYTVGKITPVPKREVRAADFGDRARITMFFPKKGSQFTPPHKSIDFYWKDYCPMVFRHLRELFKLDAAEYMMSICGDDGLTEISSPGKSGSLFYLSHDDKFVIKTLKKSELKVLLKMLPKYHNHVKEHENTLITKFFGLHRITLPGKRKVRFVVMGNMFCTELRIHRRYDLKGSTHGRITDKDKIHENTTLKDLDLSYEFHMDKSLREFLFDQIADDCAFLKSQQIIDYSLLLGLHFRAPEPLDGLVEPPNISPNMQSSPAGQDGEVLFPSKGFVLLAHEPSSVNTDPGPHIRGRALRAFSLGNQEVDILVPGTGRLRVQLGVNMPARANHKLPQDESDSAEVELFEVYDVILYMGIIDILQEYNAKKKAEHAYKSVKFGPTTISVVEPELYANRFTNFLKQKVFPEQP >KJB77857 pep chromosome:Graimondii2_0_v6:12:33336215:33341347:-1 gene:B456_012G161300 transcript:KJB77857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLKQTMNNSVLHHASMWRVLKGIYILFGTRSCVLRLCFVRLKSKLFCSCRLNEKAFPNGDLYVGDFKEILPHGKGKYTWSDGTVYDGDWEAGKMSGKGLLIWPSGAKYNGDISGGYLHGFGAFTASDGSIYEGHWRMNIRHGYGKKKYSNSDIYEGEWKEGEHEGKGIYFWNNGNKYTGNWKRGKMHGRGVMEWINGDHYNGCWFNGFRHGNGIYQYADGGYYFGTWTRGLKDGKGLFYPAGSKRPSLKKCCTSLGYDNDHKTALPQCSSLHLEEHIVKKPSIKRSLSEKILVSGVLKGSGRMSSKTAESSGLSDSGREFVHHNSSGTFSFDSDAGQSELQENTAVIYEREYMQGVMIKERIRDYNKLSKKAEKKTKFHAKETQKSSCVGIFKGHNSYHLMLNLQLGIRYTVGKITPVPKREVRAADFGDRARITMFFPKKGSQFTPPHKSIDFYWKDYCPMVFRHLRELFKLDAAEYMMSICGDDGLTEISSPGKSGSLFYLSHDDKFVIKTLKKSELKVLLKMLPKYHNHVKEHENTLITKFFGLHRITLPGKRKVRFVVMGNMFCTELRIHRRYDLKGSTHGRITDKDKIHENTTLKDLDLSYEFHMDKSLREFLFDQIADDCAFLKSQQIIDYSLLLGLHFRAPEPLDGLVEPPNISPNMQSSPAGQDGEVLFPSKGFVLLAHEPSSVNTDPGPHIRGRALRAFSLGNQEVDILVPGTGRLRVQLGVNMPARANHKLPQDESDSAEVELFEVYDVILYMGIIDILQEYNAKKKAEHAYKSVKFGPTTISVVEPELYANRFTNFLKQKVFPEQP >KJB77135 pep chromosome:Graimondii2_0_v6:12:28288849:28290919:1 gene:B456_012G122700 transcript:KJB77135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSPPHHHSNQNKTKIIVTMGATGCGKSRLSVDLATHFPHSQIINSDKMQLYNGLDITTNKIPPHERKGVPHFLLGDLDTIDADVLPSQFRSAAGLTIANIVSRGNLPLLVGGSNSFIHALLVETFDPQVDVFTGPDSVTHLLRYDCCFLWVDVAWSVLSDYLCERVDEMLESGMLEELAQFYEPTKVGVRVGLRKAIGVSEFDEYFRKYPPWESEANGRIPKEGCDQARKEAYEEAVRLIKDNTCILAKRQIGKIMRLRKAGWDLKRLDATATFRAMMMKKKKNKSSSAASSDLEWRDIWEREVMEPSVKAVRRFLQ >KJB76880 pep chromosome:Graimondii2_0_v6:12:27920571:27923664:-1 gene:B456_012G122200 transcript:KJB76880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQASVFPAHAALPSPRPNATFLNLHRPSSSFPISPLLMPLRVPTLSTSRSFTVGALFDLKGGQGMTSFHEVELKVRDYELDQYGVVNNAVYASYCQHGRHELLESIGISCDEVARTGDSLALSELSLKFLGPLRSGDNFVVKPILEAKATAVWLDKNYRPARIPPEFRSKFVQFLRCEEPS >KJB76878 pep chromosome:Graimondii2_0_v6:12:27921556:27923564:-1 gene:B456_012G122200 transcript:KJB76878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQASVFPAHAALPSPRPNATFLNLHRPSSSFPISPLLMPLRVPTLSTSRSFTVGALFDLKGGQGMTSFHEVELKVRDYELDQYGVVNNAVYASYCQHGRHELLESIGISCDEVARTGDSLALSELSLKFLGPLRVVETILLLR >KJB76883 pep chromosome:Graimondii2_0_v6:12:27920571:27923701:-1 gene:B456_012G122200 transcript:KJB76883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQASVFPAHAALPSPRPNATFLNLHRPSSSFPISPLLMPLRVPTLSTSRSFTVGALFDLKGGQGMTSFHEVELKVRDYELDQYGVVNNAVYASYCQHGRHELLESIGISCDEVARTGDSLALSELSLKFLGPLRSGDNFVVKVRVSNSSGARLYFEHFIFKMPNEVPILEAKATAVWLDKNYRPARIPPEFRSKFVQFLRCEEPS >KJB76882 pep chromosome:Graimondii2_0_v6:12:27921283:27923082:-1 gene:B456_012G122200 transcript:KJB76882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSKSVTTNWISMELLIMLFMQVIVNTLGRHELLESIGISCDEVARTGDSLALSELSLKFLGPLRSGDNFVVKVRVSNSSGARLYFEHFIFKMPNEVPILEAKATAVWLDKNYRPARIPPEFRSKFVQFLRCEEPS >KJB76881 pep chromosome:Graimondii2_0_v6:12:27919955:27923617:-1 gene:B456_012G122200 transcript:KJB76881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQASVFPAHAALPSPRPNATFLNLHRPSSSFPISPLLMPLRVPTLSTSRSFTVGALFDLKGGQGMTSFHEVELKVRDYELDQYGVVNNAVYASYCQHGRHELLESIGISCDEVARTGDSLALSELSLKFLGPLRPILEAKATAVWLDKNYRPARIPPEFRSKFVQFLRCEEPS >KJB76879 pep chromosome:Graimondii2_0_v6:12:27920571:27923617:-1 gene:B456_012G122200 transcript:KJB76879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQASVFPAHAALPSPRPNATFLNLHRPSSSFPISPLLMPLRVPTLSTSRSFTVGALFDLKGGQGMTSFHEVELKVRDYELDQYGVVNNAVYASYCQHGRHELLESIGISCDEVARTGDSLALSELSLKFLGPLRSGDNFVVKVRVSNSSGARLYFEHFIFKMPNEVVCYHRTCNSSSSSQGFGVFVSNLMI >KJB76039 pep chromosome:Graimondii2_0_v6:12:10006133:10006742:1 gene:B456_012G068400 transcript:KJB76039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRSVKIIQLQHPDTTSYGSSGAGYSSSWFSRLREKMKEMTFFNWVEMFLPCCRWIRTYKWREYLQVDLMAGTTVGIMLVPQVIPLLSAFLWLVCKCKCKKKGRKTQLLCVC >KJB76287 pep chromosome:Graimondii2_0_v6:12:13357381:13361300:-1 gene:B456_012G081500 transcript:KJB76287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSYLSMASKPGILTDWPWKPLGSFKYIILVPLITEHIYSFMVKDEDIDVSKLALFPFVLWRMLHNQLWISLSRYRTAKGTNKIVDKGIEFDQVDRERDWDDQIMFNAILFYLGNIYVPGGSHLPFWRLDGVIITMLLHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFLLFSIPILTTVLTGTVSVIALAAYVTYLDFMNNMGHCNFELIPNWLFTLLPPLKYIIYTPSFHSLHHVQFRTNYSLFMPFYDYIYGTMDKSSDSLYEKSLRRKEESPYVVHLTHLTTPESIYHLRLGFASFASKPYTPSTWHMWLLWPVTLCSMMLTWIYCSTFVVESNRFHNIILQTWAIPKYNIQYRLKSQKQSINNLIEEAILEADEKGARVLSLGLMNQGEEMNMYGGVYMQKHPQLKVKLVDGSSLAVAVVLNSIPKRTTQVVLRGKLTKVACALAFALCQKRIQVSVLREDEYEKLDKLLGTKSEGKLVLSKSYTFKTWLVGDGGLSEVEQRKASKGTLFIPFSQFPPKKLRTDCFYHTTPAMQTPLALENVDSCENWLPRRVMSVWRIAGLVHALEGWEEHECGYTTSNIEKVWEATLKHGFQPLRVPTHLKS >KJB76288 pep chromosome:Graimondii2_0_v6:12:13357563:13361257:-1 gene:B456_012G081500 transcript:KJB76288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSYLSMASKPGILTDWPWKPLGSFKYIILVPLITEHIYSFMVKDEDIDVSKLALFPFVLWRMLHNQLWISLSRYRTAKGTNKIVDKGIEFDQVDRERDWDDQIMFNAILFYLGNIYVPGGSHLPFWRLDGVIITMLLHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFLLFSIPILTTVLTGTVSVIALAAYVTYLDFMNNMGHCNFELIPNWLFTLLPPLKYIIYTPSFHSLHHVQFRTNYSLFMPFYDYIYGTMDKSSDSLYEKSLRRKEESPYVVHLTHLTTPESIYHLRLGFASFASKPYTPSTWHMWLLWPVTLCSMMLTWIYCSTFVVESNRFHNIILQTWAIPKYNIQYRLKSQKQSINNLIEEAILEADEKGARVLSLGLMNQGEEMNMYGGVYMQKHPQLKVKLVDGSSLAVAVVLNSIPKRTTQVVLRGKLTKVACALAFALCQKRIQVRTCIIN >KJB76286 pep chromosome:Graimondii2_0_v6:12:13357381:13361268:-1 gene:B456_012G081500 transcript:KJB76286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSYLSMASKPGILTDWPWKPLGSFKDEDIDVSKLALFPFVLWRMLHNQLWISLSRYRTAKGTNKIVDKGIEFDQVDRERDWDDQIMFNAILFYLGNIYVPGGSHLPFWRLDGVIITMLLHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFLLFSIPILTTVLTGTVSVIALAAYVTYLDFMNNMGHCNFELIPNWLFTLLPPLKYIIYTPSFHSLHHVQFRTNYSLFMPFYDYIYGTMDKSSDSLYEKSLRRKEESPYVVHLTHLTTPESIYHLRLGFASFASKPYTPSTWHMWLLWPVTLCSMMLTWIYCSTFVVESNRFHNIILQTWAIPKYNIQYRLKSQKQSINNLIEEAILEADEKGARVLSLGLMNQGEEMNMYGGVYMQKHPQLKVKLVDGSSLAVAVVLNSIPKRTTQVVLRGKLTKVACALAFALCQKRIQVSVLREDEYEKLDKLLGTKSEGKLVLSKSYTFKTWLVGDGGLSEVEQRKASKGTLFIPFSQFPPKKLRTDCFYHTTPAMQTPLALENVDSCENWLPRRVMSVWRIAGLVHALEGWEEHECGYTTSNIEKVWEATLKHGFQPLRVPTHLKS >KJB76117 pep chromosome:Graimondii2_0_v6:12:10762770:10763506:1 gene:B456_012G072700 transcript:KJB76117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFNIWEGSITEVDQWRTKKRANPNLQVLTHKEKCCILSPKGVHHKYFYVIFCQSFTPFFFFFFWNFKKG >KJB77146 pep chromosome:Graimondii2_0_v6:12:28395449:28401466:1 gene:B456_012G123200 transcript:KJB77146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHRSKSEKNDGIAKHLRRDPYEVLGVLRNSTDQEIKSAYRKMALKYHPDKNGNDPVAADMFKEVTFSYNILSDPDKRRQYDTAGFEAVEADNQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGAVIVRPLQLGQPISRKVEKQCAHFYSVTITEDEARDGFVCRVQSSDKSKFKLLYFELEENGGLSLALQEDSAKTGKVTSAGMYFLGFPVYCLDQTTNSVAAAKDPDAAFFKKLDGFQPCEITELKPGKHVFAVYGDNFFKSVSYTIEAICAAPFIEEKENLRAVEAEILSKRAELSKFETEYREVSIPLTHCSCLY >KJB77148 pep chromosome:Graimondii2_0_v6:12:28395449:28401608:1 gene:B456_012G123200 transcript:KJB77148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHRSKSEKNDGIAKHLRRDPYEVLGVLRNSTDQEIKSAYRKMALKYHPDKNGNDPVAADMFKEVTFSYNILSDPDKRRQYDTAGFEAVEADNQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGAVIVRPLQLGQPISRKVEKQCAHFYSVTITEDEARDGFVCRVQSSDKSKFKLLYFELEENGGLSLALQEDSAKTGKVTSAGMYFLGFPVYCLDQTTNSVAAAKDPDAAFFKKLDGFQPCEITELKPGKHVFAVYGDNFFKSVSYTIEAICAAPFIEEKENLRAVEAEILSKRAELSKFETEYREVLAQFTEMTGRYTKEMQEIDELLKQRNEIHASYTTIPLIKRSSSRKKSKAASKEAKEDGEVRDRKPSTRDRTKKKWYNIPLKVDKRKPC >KJB77150 pep chromosome:Graimondii2_0_v6:12:28395449:28401466:1 gene:B456_012G123200 transcript:KJB77150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHRSKSEKNDGIAKHLRRDPYEVLGVLRNSTDQEIKSAYRKMALKYHPDKNGNDPVAADMFKEVTFSYNILSDPDKRRQYDTAGFEAVEADNQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGAVIVRPLQLGQPISRKVEKQCAHFYSVTITEDEARDGFVCRVQSSDKSKFKLLYFELEENGGLSLALQEDSAKTGKVTSAGMYFLGFPVYCLDQTTNSVAAAKDPDAAFFKKLDGFQPCEITELKPGKHVFAVYGDNFFKSVSYTIEAICAAPFIEEKENLRAVEAEILSKRAELSKFETEYREVLAQFTEMTGRYTKEMQEIDELLKQRNEIHASYTTIPLIKRSSSRKKSKAASKEAKEDGEVRDRKPSTRDRTKKKWYNIPLKVDKRKPC >KJB77149 pep chromosome:Graimondii2_0_v6:12:28395914:28400250:1 gene:B456_012G123200 transcript:KJB77149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHRSKSEKNDGIAKHLRRDPYEVLGVLRNSTDQEIKSAYRKMALKYHPDKNGNDPVAADMFKEVTFSYNILSDPDKRRQYDTAGFEAVEADNQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGAVIVRPLQLGQPISRKVEKQCAHFYSVTITEDEARDGFVCRVQSSDKSKFKLLYFELEENGGLSLALQEDSAKTGKVTSAGMYFLGFPVYCLDQTTNSVAAAKDPDAAFFKKLDGFQPCEITELKPGKHVFAVYG >KJB77151 pep chromosome:Graimondii2_0_v6:12:28395449:28401466:1 gene:B456_012G123200 transcript:KJB77151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAALFSKLGVPIKTTVSATVLEEALNGAVIVRPLQLGQPISRKVEKQCAHFYSVTITEDEARDGFVCRVQSSDKSKFKLLYFELEENGGLSLALQEDSAKTGKVTSAGMYFLGFPVYCLDQTTNSVAAAKDPDAAFFKKLDGFQPCEITELKPGKHVFAVYGDNFFKSVSYTIEAICAAPFIEEKENLRAVEAEILSKRAELSKFETEYREVLAQFTEMTGRYTKEMQEIDELLKQRNEIHASYTTIPLIKRSSSRKKSKAASKEAKEDGEVRDRKPSTRDRTKKKWYNIPLKVDKRKPC >KJB77147 pep chromosome:Graimondii2_0_v6:12:28395449:28401466:1 gene:B456_012G123200 transcript:KJB77147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHRSKSEKNDGIAKHLRRDPYEVLGVLRNSTDQEIKSAYRKMALKYHPDKNGNDPVAADMFKEVTFSYNILSDPDKRRQYDTAGFEAVEADNQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGAVIVRPLQLGQPISRKVEKQCAHFYSVTITEDEARDGFVCRVQSSDKSKFKLLYFELEENGGLSLALQEDSAKTGKVTSAGMYFLGFPVYCLDQTTNSVAAAKDPDAAFFKKLDGFQPCEITELKPGKHVFAVYGDNFFKSVSYTIEAICAAPFIEEKENLRAVEAEILSKRAELSKFETEYREVLAQFTEMTGRYTKEMQEVRLACGNYVSKLPDK >KJB78156 pep chromosome:Graimondii2_0_v6:12:34977622:34982581:1 gene:B456_012G181700 transcript:KJB78156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLQTWRKAYGALKDTTKVGLAHVNSDFADLDVAIVKATNHVECPPKERHLRKIFVATSMFRPRADVAYCIHALSRRLAKTRNWTVALKTLIVIHRALREGDPTFREELLNFSQRAGILQISNFKDDSSPIAWDCSAWVRTYALFLEERLECYSILKYDIEAERLPRPVLGQDKDYSRTTELDNEELLEQLPALQQLLYRLIGCLPEGAAVANYVIQYALALVLKESFKIYCAINGGIINLVDKFFEMPRHEAVKALDIYRRASQQANSLSDFYEVCKGLELARNFQFPVLREPPQSFLATMEEYIREAPRVVTVPMESLQLLLTYRPDEGPSEYTRPSNDEPEPTVPVDGVVVSTVETAPPPTQTNIATGDLLGLSYSAPDAFAIKQINTLALAIVPIDSGTAPTYSSGAGQPKDFDPTGWELALVTTPSTDISAAAERQLAGGLDSLTFNSLYDEAANRASQQPVYGSTTAPNPFEVHDPFAMSNSIAPPIAVQMSGMAQPQNNPFDAYQPYQQQHLTIPSASNPFGDAGFGTFPVNQTAVIAQPHANNNPFGSTGLL >KJB78157 pep chromosome:Graimondii2_0_v6:12:34977622:34982581:1 gene:B456_012G181700 transcript:KJB78157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLQTWRKAYGALKDTTKVGLAHVNSDFADLDVAIVKATNHVECPPKERHLRKIFVATSMFRPRADVAYCIHALSRRLAKTRNWTVALKTLIVIHRALREGDPTFREELLNFSQRAGILQISNFKDDSSPIAWDCSAWVRTYALFLEERLECYSILKYDIEAERLPRPVLGQDKDYSRTTELDNEELLEQLPALQQLLYRLIGCLVPEGAAVANYVIQYALALVLKESFKIYCAINGGIINLVDKFFEMPRHEAVKALDIYRRASQQANSLSDFYEVCKGLELARNFQFPVLREPPQSFLATMEEYIREAPRVVTVPMESLLLLTYRPDEGPSEYTRPSNDEPEPTVPVDGVVVSTVETAPPPTQTNIATGDLLGLSYSAPDAFAIKQINTLALAIVPIDSGTAPTYSSGAGQPKDFDPTGWELALVTTPSTDISAAAERQLAGGLDSLTFNSLYDEAANRASQQPVYGSTTAPNPFEVHDPFAMSNSIAPPIAVQMSGMAQPQNNPFDAYQPYQQQHLTIPSASNPFGDAGFGTFPVNQTAVIAQPHANNNPFGSTGLL >KJB78155 pep chromosome:Graimondii2_0_v6:12:34977622:34982581:1 gene:B456_012G181700 transcript:KJB78155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPRADVAYCIHALSRRLAKTRNWTVALKTLIVIHRALREGDPTFREELLNFSQRAGILQISNFKDDSSPIAWDCSAWVRTYALFLEERLECYSILKYDIEAERLPRPVLGQDKDYSRTTELDNEELLEQLPALQQLLYRLIGCLPEGAAVANYVIQYALALVLKESFKIYCAINGGIINLVDKFFEMPRHEAVKALDIYRRASQQANSLSDFYEVCKGLELARNFQFPVLREPPQSFLATMEEYIREAPRVVTVPMESLLLLTYRPDEGPSEYTRPSNDEPEPTVPVDGVVVSTVETAPPPTQTNIATGDLLGLSYSAPDAFAIKQINTLALAIVPIDSGTAPTYSSGAGQPKDFDPTGWELALVTTPSTDISAAAERQLAGGLDSLTFNSLYDEAANRASQQPVYGSTTAPNPFEVHDPFAMSNSIAPPIAVQMSGMAQPQNNPFDAYQPYQQQHLTIPSASNPFGDAGFGTFPVNQTAVIAQPHANNNPFGSTGLL >KJB78154 pep chromosome:Graimondii2_0_v6:12:34977495:34982584:1 gene:B456_012G181700 transcript:KJB78154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLQTWRKAYGALKDTTKVGLAHVNSDFADLDVAIVKATNHVECPPKERHLRKIFVATSMFRPRADVAYCIHALSRRLAKTRNWTVALKTLIVIHRALREGDPTFREELLNFSQRAGILQISNFKDDSSPIAWDCSAWVRTYALFLEERLECYSILKYDIEAERLPRPVLGQDKDYSRTTELDNEELLEQLPALQQLLYRLIGCLPEGAAVANYVIQYALALVLKESFKIYCAINGGIINLVDKFFEMPRHEAVKALDIYRRASQQANSLSDFYEVCKGLELARNFQFPVLREPPQSFLATMEEYIREAPRVVTVPMESLLLLTYRPDEGPSEYTRPSNDEPEPTVPVDGVVVSTVETAPPPTQTNIATGDLLGLSYSAPDAFAIKQINTLALAIVPIDSGTAPTYSSGAGQPKDFDPTGWELALVTTPSTDISAAAERQLAGGLDSLTFNSLYDEAANRASQQPVYGSTTAPNPFEVHDPFAMSNSIAPPIAVQMSGMAQPQNNPFDAYQPYQQQHLTIPSASNPFGDAGFGTFPVNQTAVIAQPHANNNPFGSTGLL >KJB75326 pep chromosome:Graimondii2_0_v6:12:4581969:4587193:-1 gene:B456_012G037200 transcript:KJB75326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFILYNQCYLHKLIIKYIYWLNTVVFLFNPLPCCILALTITTHHSRKFFSGKMGLFKAAGVVYKPVEEIDLGPDSNEFYLKANVKAPRMAGFLVKIFAWFLESRIIGTLLLYILKRNNLIHKLVSNATLEEAPMFVPLHPFVDLNEQEVEQIGSDASPAERVQRAMNCLPLTLEKSVDDSNSSSFRRWTIADYSRAYSSGEITPRKVAEQFINAVHESSRAALPMSFFINYDAEDILKQATESTLRYERGDPISALDGVPIAIKDEIDCSPYPTTGGTKWLHKVRPCTGDACCVMRLRSCGAIIVGKTNMHELGAGTSGINPHYGTTRNPYHPNKIAGGSSSGSAAVVSAGLCPAALGVDGGGSVRMPASLCGVIGFKPTFGRIPHTGVLPLNWTVGMVGILAGTLEDALIVYAAISGQLPSHEPTILPPKLLFPLLNSTNPMSEIKFARYGEWFNDCSDEIRICCSNALHLLCEHYKWKTVEVTIPEIESMRLAHYLTIGSECTTSLSSALEKLDFAELGWDARVALRVYGAFNSKEYIKAQKMRNRQMQIHKNIFAKADVIVAPTTGVTAYSIFDDALKTGELDYINGAALVRYQISGNFLGLPAVTVPVGYDKEGLPIGLQFIGKPWSEPTLMHIAFAMQALCISHYRKPKVFYNLLHKN >KJB75331 pep chromosome:Graimondii2_0_v6:12:4582036:4587136:-1 gene:B456_012G037200 transcript:KJB75331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFKAAGVVYKPVEEIDLGPDSNEFYLKANVKAPRMAGFLVKIFAWFLESRIIGTLLLYILKRNNLIHKLVSNATLEEAPMFVPLHPFVDLNEQEVEQIGSDASPAERVQRAMNCLPLTLEKSVDDSNSSSFRRWTIADYSRAYSSGEITPRKVAEQFINAVHESSRAALPMSFFINYDAEDILKQATESTLRYERGDPISALDGVPIAIKDEIDCSPYPTTGGTKWLHKVRPCTGDACCVMRLRSCGAIIVGKTNMHELGAGTSGINPHYGTTRNPYHPNKIAGGSSSGSAAVVSAGLCPAALGVDGGGSVRMPASLCGVIGFKPTFGRIPHTGVLPLNWTVGMVGILAGTLEDALIVYAAISGQLPSHEPTILPPKLLFPLLNSTNPMSEIKFARYGEWFNDCSDEIRICCSNALHLLCEHYKWKTVEVTIPEIESMRLAHYLTIGSECTTSLSSALEKLDFAELGWDARVALRVYGAFNSKEYIKAQKMRNRQMQIHKNIFAKADVIVAPTTGVTAYSIFDDALKTGELDYINGGTSFDRFFHYAF >KJB75328 pep chromosome:Graimondii2_0_v6:12:4582867:4586981:-1 gene:B456_012G037200 transcript:KJB75328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFKAAGVVYKPVEEIDLGPDSNEFYLKANVKAPRMAGFLVKIFAWFLESRIIGTLLLYILKRNNLIHKLVSNATLEEAPMFVPLHPFVDLNEQEVEQIGSDASPAERVQRAMNCLPLTLEKSVDDSNSSSFRRWTIADYSRAYSSGEITPRKVAEQFINAVHESSRAALPMSFFINYDAEDILKQATESTLRYERGDPISALDGVPIAIKDEIDCSPYPTTGGTKWLHKVRPCTGDACCVMRLRSCGAIIVGKTNMHELGAGTSGINPHYGTTRNPYHPNKIAGGSSSGSAAVVSAGLCPAALGVDGGGSVRMPASLCGVIGFKPTFGRIPHTGVLPLNWTVGMVGILAGTLEDALIVYAAISGQLPSHEPTILPPKLLFPLLNSTNPMSEIKFARYGEWFNDCSDEIRICCSNALHLLCEHYKWKTVEVTIPEIESMRLAHYLTIGSECTTSLSSALEKLDFAELGWDARVALRVYGAFNSKEYIKAQKMRNRQMQIHKNIFAKADVIVAPTTGVTAYSIFDDALKTGELDYINGAALVRYQISGNFLGLPAVTVPVLTYYYSVLFG >KJB75329 pep chromosome:Graimondii2_0_v6:12:4582036:4587136:-1 gene:B456_012G037200 transcript:KJB75329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFKAAGVVYKPVEEIDLGPDSNEFYLKANVKAPRMAGFLVKIFAWFLESRIIGTLLLYILKRNNLIHKLVSNATLEEAPMFVPLHPFVDLNEQEVEQIGSDASPAERVQRAMNCLPLTLEKSVDDSNSSSFRRWTIADYSRAYSSGEITPRKVAEQFINAVHESSRAALPMSFFINYDAEDILKQATESTLRYERGDPISALDGVPIAIKDEIDCSPYPTTVLVLLGGTKWLHKVRPCTGDACCVMRLRSCGAIIVGKTNMHELGAGTSGINPHYGTTRNPYHPNKIAGGSSSGSAAVVSAGLCPAALGVDGGGSVRMPASLCGVIGFKPTFGRIPHTGVLPLNWTVGMVGILAGTLEDALIVYAAISGQLPSHEPTILPPKLLFPLLNSTNPMSEIKFARYGEWFNDCSDEIRICCSNALHLLCEHYKWKTVEVTIPEIESMRLAHYLTIGSECTTSLSSALEKLDFAELGWDARVALRVYGAFNSKEYIKAQKMRNRQMQIHKNIFAKADVIVAPTTGVTAYSIFDDALKTGELDYINGAALVRYQISGNFLGLPAVTVPVGYDKEGLPIGLQFIGKPWSEPTLMHIAFAMQALCISHYRKPKVFYNLLHKN >KJB75330 pep chromosome:Graimondii2_0_v6:12:4582811:4586981:-1 gene:B456_012G037200 transcript:KJB75330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFKAAGVVYKPVEEIDLGPDSNEFYLKANVKAPRMAGFLVKIFAWFLESRIIGTLLLYILKRNNLIHKLVSNATLEEAPMFVPLHPFVDLNEQEVEQIGSDASPAERVQRAMNCLPLTLEKSVDDSNSSSFRRWTIADYSRAYSSGEITPRKVAEQFINAVHESSRAALPMSFFINYDAEDILKQATESTLRYERGDPISALDGVPIAIKDEIDCSPYPTTGGTKWLHKVRPCTGDACCVMRLRSCGAIIVGKTNMHELGAGTSGINPHYGTTRNPYHPNKIAGGSSSGSAAVVSAGLCPAALGVDGGGSVRMPASLCGVIGFKPTFGRIPHTGVLPLNWTVGMVGILAGTLEDALIVYAAISGQLPSHEPTILPPKLLFPLLNSTNPMSEIKFARYGEWFNDCSDEIRICCSNALHLLCEHYKWKTVEVTIPEIESMRLAHYLTIGSECTTSLSSALEKLDFAELGWDARVALRVYGAFNSKEYIKAQKMRNRQMQIHKNIFAKADVIVAPTTGVTAYSIFDDALKTGELDYINGAALVRYQISGNFLGLPAVTVPFSMSP >KJB75332 pep chromosome:Graimondii2_0_v6:12:4583985:4587136:-1 gene:B456_012G037200 transcript:KJB75332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFKAAGVVYKPVEEIDLGPDSNEFYLKANVKAPRMAGFLVKIFAWFLESRIIGTLLLYILKRNNLIHKLVSNATLEEAPMFVPLHPFVDLNEQEVEQIGSDASPAERVQRAMNCLPLTLEKSVDDSNSSSFRRWTIADYSRAYSSGEITPRKVAEQFINAVHESSRAALPMSFFINYDAEDILKQATESTLRYERGDPISALDGVPIAIKDEIDCSPYPTTGGTKWLHKVRPCTGDACCVMRLRSCGAIIVGKTNMHELGAGTSGINPHYGTTRNPYHPNKIAGGSSSGSAAVVSAGLCPAALGVDGGGSVRMPASLCGVIGFKPTFGRIPHTGVLPLNWTVGMVGILAGTLEDALIVYAAISGQLPSHEPTILPVSAHLYNITRISKYLKL >KJB75327 pep chromosome:Graimondii2_0_v6:12:4582036:4587136:-1 gene:B456_012G037200 transcript:KJB75327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVPLHPFVDLNEQEVEQIGSDASPAERVQRAMNCLPLTLEKSVDDSNSSSFRRWTIADYSRAYSSGEITPRKVAEQFINAVHESSRAALPMSFFINYDAEDILKQATESTLRYERGDPISALDGVPIAIKDEIDCSPYPTTGGTKWLHKVRPCTGDACCVMRLRSCGAIIVGKTNMHELGAGTSGINPHYGTTRNPYHPNKIAGGSSSGSAAVVSAGLCPAALGVDGGGSVRMPASLCGVIGFKPTFGRIPHTGVLPLNWTVGMVGILAGTLEDALIVYAAISGQLPSHEPTILPPKLLFPLLNSTNPMSEIKFARYGEWFNDCSDEIRICCSNALHLLCEHYKWKTVEVTIPEIESMRLAHYLTIGSECTTSLSSALEKLDFAELGWDARVALRVYGAFNSKEYIKAQKMRNRQMQIHKNIFAKADVIVAPTTGVTAYSIFDDALKTGELDYINGAALVRYQISGNFLGLPAVTVGYDKEGLPIGLQFIGKPWSEPTLMHIAFAMQALCISHYRKPKVFYNLLHKN >KJB76430 pep chromosome:Graimondii2_0_v6:12:15161828:15167234:-1 gene:B456_012G088600 transcript:KJB76430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MLNIAPSCVLASGISKPVTKMASTENKDDHSSAKRVAVIGAGVSGLAAAYKLKSQGLHVTVFESEGRAGGKLRSVSREGLIWDEGANTMTESEIEVRSLFDDLGIQDKEQVPIAQNKRYIVRNGVPVLIPSNPLALFTSSILSAKSKFQIILEPFLWRKSEASKVSDAYNQESVGGFFQRHFGQEVVDYLVDPFVAGTSAGDPESLSMCHSFPELWDLEQRFGSIIVGAVKSKFSAKRTNREETKNSVKRKALRGSFSFQGGMQTLADMLCKDLSKDELKLKSKVLSLSYSHEGKSTSENWSLSYASDRDKRSQGSSFDAVIMTAPVCNVKEMKITKGGNVFPLNFIPEVSYMPLSVIITAFKKENVKKPLEGFGVLIPSKEQQNGLKTLGTLFSSVMFPDRAPNNLYLYTTFVGGNRNKELAKASTDELKHIVTSDLQQLLGVEGEPTFFNHFYWSKAFPLYGRNYASVLEAIEKIERDLPGFFYAGNHKGGLSVGKSIASGCKAADNVITYLESSHDKLLK >KJB76439 pep chromosome:Graimondii2_0_v6:12:15159792:15167195:-1 gene:B456_012G088600 transcript:KJB76439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MASTENKDDHSSAKRVAVIGAGVSGLAAAYKLKSQGLHVTVFESEGRAGGKLRSVSREGLIWDEGANTMTESEIEVRSLFDDLGIQDKEQVPIAQNKRYIVRNGVPVLIPSNPLALFTSSILSAKSKFQIILEPFLWRKSEASKVSDAYNQESVGGFFQRHFGQEVVDYLVDPFVAGTSAGDPESLSMCHSFPELWDLEQRFGSIIVGAVKSKFSAKRTNREETKNSVKRKALRGSFSFQGGMQTLADMLCKDLSKDELKLKSKVLSLSYSHEGKSTSENWSLSYASDRDKRSQGSSFDAVIMTAPVCNVKEMKITKGGNVFPLNFIPEVSYMPLSVIITAFKKENVKKPLEGFGVLIPSKEQQNGLKTLGTLFSSVMFPDRAPNNLYLYTTFVGGNRNKELAKASTDELKHIVTSDLQQLLGVEGEPTFFNHFYWSKAFPLYGRNYASVLEAIEKIERDLPGFFYAGNHKGGLSVGKSIASGCKAADNVITYLESSHDKLLK >KJB76432 pep chromosome:Graimondii2_0_v6:12:15159775:15167226:-1 gene:B456_012G088600 transcript:KJB76432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MASTENKDDHSSAKRVAVIGAGVSGLAAAYKLKSQGLHVTVFESEGRAGGKLRSVSREGLIWDEGANTMTESEIEVRSLFDDLGIQDKEQVPIAQNKRYIVRNGVPVLIPSNPLALFTSSILSAKSKFQIILEPFLWRKSEASKVSDAYNQESVGGFFQRHFGQEVVDYLVDPFVAGTSAGDPESLSMCHSFPELWDLEQRFGSIIVGAVKSKFSAKRTNREETKNSVKRKALRGSFSFQGGMQTLADMLCKDLSKDELKLKSKVLSLSYSHEGKSTSENWSLSYASDRDKRSQGSSFDAVIMTAPVCNVKEMKITKGGNVFPLNFIPEVSYMPLSVIITAFKKENVKKPLEGFGVLIPSKEQQNGLKTLGTLFSSVMFPDRAPNNLYLYTTFVGGNRNKELAKASTDELKHIVTSDLQQLLGVEGEPTFFNHFYWSKAFPLYGRNYASVLEAIEKIERDLPGFFYAGNHKGGLSVGKSIASGCKAADNVITYLESSHDKLLK >KJB76435 pep chromosome:Graimondii2_0_v6:12:15159795:15167195:-1 gene:B456_012G088600 transcript:KJB76435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MASTENKDDHSSAKRVAVIGAGVSGLAAAYKLKSQGLHVTVFESEGRAGGKLRSVSREGLIWDEGANTMTESEIEVRSLFDDLGIQDKEQVPIAQNKRYIVRNGVPVLIPSNPLALFTSSILSAKSKIILEPFLWRKSEASKVSDAYNQESVGGFFQRHFGQEVVDYLVDPFVAGTSAGDPESLSMCHSFPELWDLEQRFGSIIVGAVKSKFSAKRTNREETKNSVKRKALRGSFSFQGGMQTLADMLCKDLSKDELKLKSKVLSLSYSHEGKSTSENWSLSYASDRDKRSQGSSFDAVIMTAPVCNVKEMKITKGGNVFPLNFIPEVSYMPLSVIITAFKKENVKKPLEGFGVLIPSKEQQNGLKTLGTLFSSVMFPDRAPNNLYLYTTFVGGNRNKELAKASTDELKHIVTSDLQQLLGVEGEPTFFNHFYWSKAFPLYGRNYASVLEAIEKIERDLPGFFYAGNHKGGLSVGKSIASGCKAADNVITYLESSHDKLLK >KJB76441 pep chromosome:Graimondii2_0_v6:12:15162711:15167195:-1 gene:B456_012G088600 transcript:KJB76441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MASTENKDDHSSAKRVAVIGAGVSGLAAAYKLKSQGLHVTVFESEGRAGGKLRSVSREGLIWDEGANTMTESEIEVRSLFDDLGIQDKEQVPIAQNKRYIVRNGVPVLIPSNPLALFTSSILSAKSKFQIILEPFLWRKSEASKVSDAYNQESVGGFFQRHFGQEVVDYLVDPFVAGTSAGDPESLSMCHSFPELWDLEQRFGSIIVGAVKSKFSAKRTNREETKNSVKRKALRGSFSFQGGMQTLADMLCKDLSKDELKLKSKVLSLSYSHEGKSTSENWSLSYASDRDKRSQGSSFDAVIMTAPVCNVKEMKITKGGNVFPLNFIPEVFASAILYEEKCLELGCVSSLINIHGLGCAQMILILALAKYALISV >KJB76431 pep chromosome:Graimondii2_0_v6:12:15159802:15167195:-1 gene:B456_012G088600 transcript:KJB76431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MASTENKDDHSSAKRVAVIGAGVSGLAAAYKLKSQGLHVTVFESEGRAGGKLRSVSREGLIWDEGANTMTESEIEVRSLFDDLGIQDKEQVPIAQNKRYIVRNGVPVLIPSNPLALFTSSILSAKSKFQIILEPFLWRKSEASKVSDAYNQESVGGFFQRHFGQEVVDYLVDPFVAGTSAGDPESLSMCHSFPELWDLEQRFGSIIVGAVKSKFSAKRTNREETKNSVKRKALRGSFSFQGGMQTLADMLCKDLSKDELKLKSKVLSLSYSHEGKSTSENWSLSYASDRDKRSQGSSFDAVIMTAPVCNVKEMKITKGGNVFPLNFIPEVSYMPLSVIITAFKKENVKKPLEGFGVLIPSKEQQNGLKTLGTLFSSVMFPDRAPNNLYLYTTFVGGNRNKELAKASTDELKHIVTSDLQQLLGVEGEPTFFNHFYWSKAFPLYGRNYASVLEAIEKIERDLPGFFYAGNHKGGLSVGKSIASGCKAADNVITYLESSHDKLLK >KJB76433 pep chromosome:Graimondii2_0_v6:12:15161828:15166692:-1 gene:B456_012G088600 transcript:KJB76433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MTESEIEVRSLFDDLGIQDKEQVPIAQNKRYIVRNGVPVLIPSNPLALFTSSILSAKSKFQIILEPFLWRKSEASKVSDAYNQESVGGFFQRHFGQEVVDYLVDPFVAGTSAGDPESLSMCHSFPELWDLEQRFGSIIVGAVKSKFSAKRTNREETKNSVKRKALRGSFSFQGGMQTLADMLCKDLSKDELKLKSKVLSLSYSHEGKSTSENWSLSYASDRDKRSQGSSFDAVIMTAPVCNVKEMKITKGGNVFPLNFIPEVSYMPLSVIITAFKKENVKKPLEGFGVLIPSKEQQNGLKTLGTLFSSVMFPDRAPNNLYLYTTFVGGNRNKELAKASTDELKHIVTSDLQQLLGVEGEPTFFNHFYWSKAFPLYGRNYASVLEAIEKIERDLPGFFYAGNHKGGLSVGKSIASGCKAADNVITYLESSHDKLLK >KJB76429 pep chromosome:Graimondii2_0_v6:12:15159759:15167323:-1 gene:B456_012G088600 transcript:KJB76429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MLNIAPSCVLASGISKPVTKMASTENKDDHSSAKRVAVIGAGVSGLAAAYKLKSQGLHVTVFESEGRAGGKLRSVSREGLIWDEGANTMTESEIEVRSLFDDLGIQDKEQVPIAQNKRYIVRNGVPVLIPSNPLALFTSSILSAKSKFQIILEPFLWRKSEASKVSDAYNQESVGGFFQRHFGQEVVDYLVDPFVAGTSAGDPESLSMCHSFPELWDLEQRFGSIIVGAVKSKFSAKRTNREETKNSVKRKALRGSFSFQGGMQTLADMLCKDLSKDELKLKSKVLSLSYSHEGKSTSENWSLSYASDRDKRSQGSSFDAVIMTAPVCNVKEMKITKGGNVFPLNFIPEVSYMPLSVIITAFKKENVKKPLEGFGVLIPSKEQQNGLKTLGTLFSSVMFPDRAPNNLYLYTTFVGGNRNKELAKASTDELKHIVTSDLQQLLGVEGEPTFFNHFYWSKAFPLYGRNYASVLEAIEKIERDLPGFFYAGNHKGGLSVGKSIASGCKAADNVITYLESSHDKLLK >KJB76437 pep chromosome:Graimondii2_0_v6:12:15159795:15167313:-1 gene:B456_012G088600 transcript:KJB76437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MLNIAPSCVLASGISKPVTKMASTENKDDHSSAKRVAVIGAGVSGLAAAYKLKSQGLHVTVFESEGRAGGKLRSVSREGLIWDEGANTMTESEIEVRSLFDDLGIQDKEQPIAQNKRYIVRNGVPVLIPSNPLALFTSSILSAKSKFQIILEPFLWRKSEASKVSDAYNQESVGGFFQRHFGQEVVDYLVDPFVAGTSAGDPESLSMCHSFPELWDLEQRFGSIIVGAVKSKFSAKRTNREETKNSVKRKALRGSFSFQGGMQTLADMLCKDLSKDELKLKSKVLSLSYSHEGKSTSENWSLSYASDRDKRSQGSSFDAVIMTAPVCNVKEMKITKGGNVFPLNFIPEVSYMPLSVIITAFKKENVKKPLEGFGVLIPSKEQQNGLKTLGTLFSSVMFPDRAPNNLYLYTTFVGGNRNKELAKASTDELKHIVTSDLQQLLGVEGEPTFFNHFYWSKAFPLYGRNYASVLEAIEKIERDLPGFFYAGNHKGGLSVGKSIASGCKAADNVITYLESSHDKLLK >KJB76434 pep chromosome:Graimondii2_0_v6:12:15162357:15167174:-1 gene:B456_012G088600 transcript:KJB76434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MASTENKDDHSSAKRVAVIGAGVSGLAAAYKLKSQGLHVTVFESEGRAGGKLRSVSREGLIWDEGANTMTESEIEVRSLFDDLGIQDKEQVPIAQNKRYIVRNGVPVLIPSNPLALFTSSILSAKSKFQIILEPFLWRKSEASKVSDAYNQESVGGFFQRHFGQEVVDYLVDPFVAGTSAGDPESLSMCHSFPELWDLEQRFGSIIVGAVKSKFSAKRTNREETKNSVKRKALRGSFSFQGGMQTLADMLCKDLSKDELKLKSKVLSLSYSHEGKSTSENWSLSYASDRDKRSQGSSFDAVIMTAPVCNVKEMKITKGGNVFPLNFIPEVSYMPLSVIITAFKKENVKKPLEGFGVLIPSKEQQNGLKTLGTLFSSVMFPDRAPNNLYLYTTFVGGNRNKELAKASTDELKHIVTSDLQQLLGVEGEPTFFKYINHYLSI >KJB76438 pep chromosome:Graimondii2_0_v6:12:15159795:15167199:-1 gene:B456_012G088600 transcript:KJB76438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MASTENKDDHSSAKRVAVIGAGVSGLAAAYKLKSQGLHVTVFESEGRAGGKLRSVSREGLIWDEGANTMTESEIEVRSLFDDLGIQDKEQVPIAQNKRYIVRNGVPVLIPSNPLALFTSSILSAKSKFQIILEPFLWRKSEASKVSDAYNQESVGGFFQRHFGQEVVDYLVDPFVAGTSAGDPESLSMCHSFPELWDLEQRFGSIIVGAVKSKFSAKRTNREETKNSVKRKALRGSFSFQGGMQTLADMLCKDLSKDELKLKSKVLSLSYSHEGKSTSENWSLSYASDRDKRSQGSSFDAVIMTAPVCNVKEMKITKGGNVFPLNFIPEVSYMPLSVIITAFKKENVKKPLEGFGVLIPSKEQQNGLKTLGTLFSSVMFPDRAPNNLYLYTTFVGGNRNKELAKASTDELKHIVTSDLQQLLGVEGEPTFFNHFYWSKAFPLYGRNYASVLEAIEKIERDLPGFFYAGNHKGGLSVGKSIASGCKAADNVITYLESSHDKLLK >KJB76440 pep chromosome:Graimondii2_0_v6:12:15159795:15167195:-1 gene:B456_012G088600 transcript:KJB76440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MASTENKDDHSSAKRVAVIGAGVSGLAAAYKLKSQGLHVTVFESEGRAGGKLRSVSREGLIWDEGANTMTESEIEVRSLFDDLGIQDKEQVPIAQNKRYIVRNGVPVLIPSNPLALFTSSILSAKSKFQIILEPFLWRKSEASKVSDAYNQESVGGFFQRHFGQEVVDYLVDPFVAGTSAGDPESLSMCHSFPELWDLEQRFGSIIVGAVKSKFSAKRTNREETKNSVKRKALRGSFSFQGGMQTLADMLCKDLSKDELKLKSKVLSLSYSHEGKSTSENWSLSYASDRDKRSQGSSFDAVIMTAPVCNVKEMKITKGGNVFPLNFIPEVSYMPLSVIITAFKKENVKKPLEGFGVLIPSKEQQNGLKTLGTLFSSVMFPDRAPNNLYLYTTFVGGNRNKELAKASTDELKHIVTSDLQQLLGVEGEPTFFNHFYWSKAFPLYGRNYASVLEAIEKIERDLPGFFYAGNHKGGLSVGKSIASGCKAADNVITYLESSHDKLLK >KJB76436 pep chromosome:Graimondii2_0_v6:12:15161642:15167195:-1 gene:B456_012G088600 transcript:KJB76436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MTESEIEVRSLFDDLGIQDKEQVPIAQNKRYIVRNGVPVLIPSNPLALFTSSILSAKSKFQIILEPFLWRKSEASKVSDAYNQESVGGFFQRHFGQEVVDYLVDPFVAGTSAGDPESLSMCHSFPELWDLEQRFGSIIVGAVKSKFSAKRTNREETKNSVKRKALRGSFSFQGGMQTLADMLCKDLSKDELKLKSKVLSLSYSHEGKSTSENWSLSYASDRDKRSQGSSFDAVIMTAPVCNVKEMKITKGGNVFPLNFIPEVSYMPLSVIITAFKKENVKKPLEGFGVLIPSKEQQNGLKTLGTLFSSVMFPDRAPNNLYLYTTFVGGNRNKELAKASTDELKHIVTSDLQQLLGVEGEPTFFNHFYWSKAFPLYGRNYASVLEAIEKIERDLPGFFYAGNHKGGLSVGKSIASGCKAADNVITYLESSHDKLLK >KJB77684 pep chromosome:Graimondii2_0_v6:12:32420070:32422371:-1 gene:B456_012G150400 transcript:KJB77684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKLVFSHNIASFIPFLLVFHFIPVVVSQFPYGYSQLSYRFYDWSCPRLTNMVKYGVWAAYRNDTRIAASLLRLHFHDCFVNGCDGSVLLDDTDDMTGEKNALPNRNSVRGFEVIDNIKEDVERFCPSTVSCVDILTLAAREAVVLAGGPSWPVMLGRRDGVTASQQAANEQLPSPFEPLENITAKFTSKGLDLKDVVVLSGAHTIGFAQCFTFKNRLFNFRGSGKPDPMLDSSALTNLQTMCPNIDSSNTNLAPLDSGSMYRFDNKYYTNLVNNAGLLESDQVLMQDSKTAAMVNSYSMNSFLFGNDFGTSMAKLGNIGVLTGNKGQIRKKCGAVNV >KJB76971 pep chromosome:Graimondii2_0_v6:12:26670872:26675540:1 gene:B456_012G115400 transcript:KJB76971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGYRGKLPLSIITVTLCGFAFLALLYTERLSFLSSSSFLKSKSCARRTSAVVKAKDETAEKNLENPELDDRFEFDPEECDITRGKWVFNRSIKPLYTDRSCPYVDRQFSCVKNGRLDLDYRHWEWQPDDCYLPRFDPEVALEKLRGKRLVFAGDSLQRSQWESFVCMIEWTIPPQKKSMKRGKIRNVFKAKEYNASIEFYWAPFLVESNTEVNILEPKKRIIKVDSVSKQSKNWEGADILAFNTYVWWMIGLRLKTLWGSFANGEEGYAQLDTPVAYKIGLKTWANWIDSTINPNKTRVFFTTMSSIHSKSKDWGREDGLKCFNETKPVMKKKFWGSGSNKDMMSVVAGVINKMKVPVSVLNITQLSEYRIDAHSSIYTETGGRLLNDEEKADPGRHADCIHWCLPGVPDTWNQIFLAHL >KJB77385 pep chromosome:Graimondii2_0_v6:12:30712233:30715313:1 gene:B456_012G134900 transcript:KJB77385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDGNVTGGGGANTVGVTNKPFFCYQCNRTVNVTISPPSSDPTCPICNEGFLEEYDNPNPNQGSGFLNPNPNSIPFHDPFLTLSDPFASLLPLLFPSSSSTTTSPSASIDPNNPSLSGPTRSGRGDPFAFDPFTFIQNHLNDLRSSGAQIEFVIQNNPSDQGFRLPANIGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAIEALPSVNITKNNLNSEFNQCAVCMDDFEEGTEAKQMPCKHLYHKDCLLPWLELHNSCPVCRHELPTDDPDYERRVRGAQGTSAGNDGDNSGQRSDGDNRTVERSFRISLPWPFQARGPGPAPGDNAETRQEDLD >KJB77386 pep chromosome:Graimondii2_0_v6:12:30712214:30716439:1 gene:B456_012G134900 transcript:KJB77386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDGNVTGGGGANTVGVTNKPFFCYQCNRTVNVTISPPSSDPTCPICNEGFLEEYDNPNPNQGSGFLNPNPNSIPFHDPFLTLSDPFASLLPLLFPSSSSTTTSPSASIDPNNPSLSGPTRSGRGDPFAFDPFTFIQNHLNDLRSSGAQIEFVIQNNPSDQGFRLPANIGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAIEALPSVNITKNNLNSEFNQCAVCMDDFEEGTEAKQMPCKHLYHKDCLLPWLELHNSCPVCRHELPTDDPDYERRVRGAQGTSAGNDGDNSGQRSDGDNRTVERSFRISLPWPFQARGPGPAPGDNAETRQEDLD >KJB77384 pep chromosome:Graimondii2_0_v6:12:30712214:30716439:1 gene:B456_012G134900 transcript:KJB77384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDGNVTGGGGANTVGVTNKPFFCYQCNRTVNVTISPPSSDPTCPICNEGFLEEYDNPNPNQGSGFLNPNPNSIPFHDPFLTLSDPFASLLPLLFPSSSSTTTSPSASIDPNNPSLSGPTRSGRGDPFAFDPFTFIQNHLNDLRSSGAQIEFVIQNNPSDQGFRLPANIGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAIEALPSVNITKNNLNSEFNQCAVCMDDFEEGTEAKQMPCKHLYHKDCLLPWLELHNSCPVCRHELPTDDPDYERRVRGAQGTSAGNDGDNSGQRSDGDNRTVERSFRISLPWPFQARGPGPAPGDNAETRQEDLD >KJB77387 pep chromosome:Graimondii2_0_v6:12:30712214:30716439:1 gene:B456_012G134900 transcript:KJB77387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDGNVTGGGGANTVGVTNKPFFCYQCNRTVNVTISPPSSDPTCPICNEGFLEEYDNPNPNQGSGFLNPNPNSIPFHDPFLTLSDPFASLLPLLFPSSSSTTTSPSASIDPNNPSLSGPTRSGRGDPFAFDPFTFIQNHLNDLRSSGAQIEFVIQNNPSDQGFRLPANIGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAIEALPSVNITKNNLNSEFNQCAVCMDDFEEGTEAKQMPCKHLYHKDCLLPWLELHNSCPVCRHELPTDDPDYERRVRGAQGTSAGNDGDNSGQRSDGDNRTVERSFRISLPWPFQARGPGPAPGDNAETRQEDLD >KJB78299 pep chromosome:Graimondii2_0_v6:12:34508838:34512503:1 gene:B456_012G175600 transcript:KJB78299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIPRFSTQFPLIFLLKFSQEKIKMKLLILGINLSLFLSCYILTTHQIPWLFALFFLLLLSLSPFWLVPGGFAWRNSSLDVPGPVGWPLLGTLPLMNAVAHRNLAAAAASLSATRLMKFSLGATRVIISSHPDTAKEILGGSAFSDRPIKDSARSLMFERAIGFAPSGAYWRHLRRIAANHMFSPKRILNLEPLRQQVVNHMVVQTQRAMETKGFVELRMILQKGSLTNILETVFGSFLSSAMADELGPMVKEGYELIAMFNWEDHFPLRFLDFNGVKRRCHKLSSKVKIVVGRIVEERRKEALDQSINGGNHDFLTALLTLSKEDQLSDSDMIAVLWEMIFRGTDTVAILLEWIMARMVLHQDIQAKVQEEIDACISHRDDRCVQDSDLPNLPYLQAVVKEVLRLHPPGPLLSWARLAIHDVHIGKSFIPAGTTAMVNMWAITHDPSIWKDPWTFRPERFIKEDVSIMGSDLRLAPFGSGRRVCPGKALGLATVQLWLARLLQSFKWLPTTVAHQRVDLSEMLRLSLEMKKPLTCRAIPRVTQQSLN >KJB75389 pep chromosome:Graimondii2_0_v6:12:4911571:4914950:1 gene:B456_012G039500 transcript:KJB75389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNVSSACFSVFSCKKPNRIRAVASEDFASTAALKTDTEDKLKLGGSELKVTRLGIGAWSWGDTSYWNNFQWDDQKLKAAKAAFNGSLDCGITFFDTAEVYGSPLALGAENSETLLGRFIKERENDNPGEEVVVATKFAALPWRFGRQSVISALKDSLNRLGLSSVDLYQLHWPGIWGNEGYIDGLGDAVEQGLVKAVGVSNYSEKRLRDAYERLKKRGIPLASNQVNYSLIYRLPEQNGVKAACDELGVTLIAYSPIAQGALTGKYKPENPPSGPRGRIYTPEFLTKLQPLLMRINEIGGNYGKTPTQVVLNWLIAQENVVPIPGAKNAAQAKEFVGALGWRLSNEEVDELRSLASEISPVTGFPVEKL >KJB75388 pep chromosome:Graimondii2_0_v6:12:4911571:4914950:1 gene:B456_012G039500 transcript:KJB75388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNVSSACFSVFSCKKPNRIRAVASEDFASTAALKTDTEDKLKLGGSELKVTRLGIGAWSWGDTSYWNNFQWDDQKLKAAKAAFNGSLDCGITFFDTAEVYGSPLALGAENSETLLGRKEKMIIPVKRLLLQRSLQLCLGGLVVKVSSVHSRIPLIGLAFHQPGIWGNEGYIDGLGDAVEQGLVKAVGVSNYSEKRLRDAYERLKKRGIPLASNQVNYSLIYRLPEQNGVKAACDELGVTLIAYSPIAQGALTGKYKPENPPSGPRGRIYTPEFLTKLQPLLMRINEIGGNYGKTPTQVVLNWLIAQENVVPIPGAKNAAQAKEFVGALGWRLSNEEVDELRSLASEISPVTGFPVEKL >KJB75939 pep chromosome:Graimondii2_0_v6:12:9213115:9215528:-1 gene:B456_012G065000 transcript:KJB75939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGYSNSKKTDDIYEKVCGQASFAALTMSRLRCMLRGIDIRICIVLLIAIPVFMFGIYLHGQKITYFLRPLWESPPKPFHEIPHYYNENVTMERLCHLHGWKVRESPRRVFDAVLFNNEIDILALRWNELSPYVTQFVLLESNSTFTSLLKPLLFAGNRHQFKFVEPRLTYGMIGGRFKKGENPFVEEAYQRVALDQLLRIAGIEEDDLLTMSDVDEIPSGHTINLLRWCDDIPPVIHLQLRNYLYSFEYHVDNKSWRASVHRYKPGKTRYAHYRQSDIILSDSGWHCSFCFRYVSEFIFKMKAYSHTDRVRFPHYLNPKRIQDVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAYLLNNAEKYKYLLPGNCRRESG >KJB75941 pep chromosome:Graimondii2_0_v6:12:9213157:9215528:-1 gene:B456_012G065000 transcript:KJB75941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGYSNSKKTDDIYEKVCGQQASFAALTMSRLRCMLRGIDIRICIVLLIAIPVFMFGIYLHGQKITYFLRPLWESPPKPFHEIPHYYNENVTMERLCHLHGWKVRESPRRVFDAVLFNNEIDILALRWNELSPYVTQFVLLESNSTFTSLLKPLLFAGNRHQFKFVEPRLTYGMIGGRFKKGENPFVEEAYQRVALDQLLRIAGIEEDDLLTMSDVDEIPSGHTINLLRWCDDIPPVIHLQLRNYLYSFEYHVDNKSWRASVHRYKPGKTRYAHYRQSDIILSDSGWHCSFCFRYVSEFIFKMKAYSHTDRVRFPHYLNPKRIQDVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAYLLNNAEKYKYLLPGNCRRESG >KJB75938 pep chromosome:Graimondii2_0_v6:12:9213115:9215511:-1 gene:B456_012G065000 transcript:KJB75938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGYSNSKKTDDIYEKVCGQQASFAALTMSRLRCMLRGIDIRICIVLLIAIPVFMFGIYLHGQKITYFLRPLWESPPKPFHEIPHYYNENVTMERLCHLHGWKVRESPRRVFDAVLFNNEIDILALRWNELSPYVTQFVLLESNSTFTSLLKPLLFAGNRHQFKFVEPRLTYGMIGGRFKKGENPFVEEAYQRVALDQLLRIAGIEEDDLLTMSDVDEIPSGHTINLLRWCDDIPPVIHLQLRNYLYSFEYHVDNKSWRASVHRYKPGKTRYAHYRQSDIILSDSGWHCSFCFRYVSEFIFKMKAYSHTDRVRFPHYLNPKRIQDVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAYLLNNAEKYKYLLPGNCRRESG >KJB75940 pep chromosome:Graimondii2_0_v6:12:9213552:9214628:-1 gene:B456_012G065000 transcript:KJB75940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLRCMLRGIDIRICIVLLIAIPVFMFGIYLHGQKITYFLRPLWESPPKPFHEIPHYYNENVTMERLCHLHGWKVRESPRRVFDAVLFNNEIDILALRWNELSPYVTQFVLLESNSTFTSLLKPLLFAGNRHQFKFVEPRLTYGMIGGRFKKGENPFVEEAYQRVALDQLLRIAGIEEDDLLTMSDVDEIPSGHTINLLRWCDDIPPVIHLQLRNYLYSFEYHVDNKSWRASVHRYKPGKTRYAHYRQSDIILSDSGWHCSFCFRYVSEFIFKMKAYSHTDRVRFPHYLNPKRIQDVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAYLLNNAEKYKYLLPGNCRRESG >KJB75942 pep chromosome:Graimondii2_0_v6:12:9213189:9215528:-1 gene:B456_012G065000 transcript:KJB75942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGYSNSKKTDDIYEKVCGQASFAALTMSRLRCMLRGIDIRICIVLLIAIPVFMFGIYLHGQKITYFLRPLWESPPKPFHEIPHYYNENVTMERLCHLHGWKVRESPRRVFDAVLFNNEIDILALRWNELSPYVTQFVLLESNSTFTSLLKPLLFAGNRHQFKFVEPRLTYGMIGGRFKKGENPFVEEAYQRVALDQLLRIAGIEEDDLLTMSDVDEIPSGHTINLLRWCDDIPPVIHLQLRNYLYSFEYHVDNKSWRASVHRYKPGKTRYAHYRQSDIILSDSGWHCSFCFRYVSEFIFKMKAYSHTDRVRFPHYLNPKRIQDVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAYLLNNAEKYKYLLPGNCRRESG >KJB76428 pep chromosome:Graimondii2_0_v6:12:15156871:15158819:-1 gene:B456_012G088500 transcript:KJB76428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLSLILLPILLLLLYFIVRPRPVTIPIKNRHVFITGGSSGIGLALAHQAASQGARVSLLARSLNKLQEAKESIRRSSGLDVSIFSADVRDYDAVQKAVNDAGPIDVLVVNQGVFVPQELDKQGLDEIKFMIDVNLMGSFNVIKAALPLMKERKDRLPASISLISSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVIADNIHVSVVYPPDTDTPGFEKENEVRPELTKLLAGSSGSMKADEVAKKALDGIKSGSFTVPCNFEGHMLAIATAGLSPERSFLMAFIEVASVGVLRLVGLFFQWNWYQTIENWHAKKNSKFAIIDLSIYTQE >KJB76650 pep chromosome:Graimondii2_0_v6:12:22110845:22113601:1 gene:B456_012G100700 transcript:KJB76650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >KJB76655 pep chromosome:Graimondii2_0_v6:12:22110749:22113601:1 gene:B456_012G100700 transcript:KJB76655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >KJB76654 pep chromosome:Graimondii2_0_v6:12:22110853:22113601:1 gene:B456_012G100700 transcript:KJB76654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >KJB76649 pep chromosome:Graimondii2_0_v6:12:22110571:22113601:1 gene:B456_012G100700 transcript:KJB76649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQAEYDESGPSIVHRKCF >KJB76653 pep chromosome:Graimondii2_0_v6:12:22110571:22113601:1 gene:B456_012G100700 transcript:KJB76653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >KJB76652 pep chromosome:Graimondii2_0_v6:12:22110571:22113601:1 gene:B456_012G100700 transcript:KJB76652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQVHYPYSLISWLVPSQFFTIFMFYINMLIKNLLTRAMSLT >KJB76656 pep chromosome:Graimondii2_0_v6:12:22111035:22113601:1 gene:B456_012G100700 transcript:KJB76656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >KJB76651 pep chromosome:Graimondii2_0_v6:12:22110571:22113601:1 gene:B456_012G100700 transcript:KJB76651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQVILWQMWISKAEYDESGPSIVHRKCF >KJB77644 pep chromosome:Graimondii2_0_v6:12:32246842:32249687:1 gene:B456_012G148400 transcript:KJB77644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEEGASTSSIKTNPKLTLLPLIALIFYEVSGGPFGIEDSVRAGGGPLLSLLGFMVFPLFWSIPEALITAELATSFPENGGYVIWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSFPIFNTMIARIPAFLGITISLTYLNYRGLHIVGVSAVSLAGFSLLPFLVMGVISIPRIKPKQWLVVDFNKVDWRGYFNSMFWNLNYWDKASTLAGEVENPSKTFPKALLGAVVLVVCSYLIPLLAGTGTLNSPSSEWTDGYFSDVGMLIGGLWLKWWIQAAAAMSNLGLFEAEMSADAFQLLGMSEMGMLPAIFASRSKYGTPTFSILCSATGVIFLSWMSFQEILEFLNFLYSIGMLLEFAAFIKLRIKKPDLHRPYRVPLETFGVTMLCLPPALLLVLVMCLASATTFIVSGIVIIIGILMYPVLVHAKDRKWTQFDDIEQLVVPLDNLDTPRPKQEVSDEASVSLLPDLTSPGINQEVSETSPDATQN >KJB74866 pep chromosome:Graimondii2_0_v6:12:1287749:1290061:-1 gene:B456_012G011400 transcript:KJB74866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRSFTATRKRFSAVFHYLEFSNSIYQLKQTHAFFLKTVHKPQCSHFLTLFLDKQLHVSGDNLCYARHVFDQIPNCRNQFLWTSLIRSHVFNGYFIQAIILYSRMLCKGISPSGFTFSSVLNACARVPAVFEGKQVHARVEKSGLLGNKVVQTALLDMYAKCGFVLDAERVFNGMEEKDAVAWTAMICGYTKVGLMDKARFLFDDMEGRNMVSWTTMVAGYANYGDMEAAKDLYDRMMEKNSVAWLAMIAGYGKCGDVSKARRTFDGIVKPDASCWAAMLACYAQNGYAKEAIEIFRAMRGQNVRITEVGMVGVISACTQIGDISMAEALAKELEEGCCGRTLFVSNALINMHARCGCMEQAWMELCRMKQRDVVSYSTMITALADHGECQVALALFSKMHKEGIKPNQVTFIGVLNACSHGGLVEEGCKLFELMTLVFGIVPLSQHLTCMVDLLGRAGHLEKAYNLIIEYGDTWDAGIWGALLGACKVYGNAALGEIASSHLFEIEPENAGNYVLLANIYASLNKWEDAEKLKKMISEKGKRKSPGCSWVPS >KJB76092 pep chromosome:Graimondii2_0_v6:12:10418314:10420290:1 gene:B456_012G070500 transcript:KJB76092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKVEEMANSMTWEKLRSLNLRSGPQLDDSPNTRRSRSRDRYKDKSRSPSRVGRCSRSSSESEDDYRNELRKTMWPGKRRKSKSKDRGRSR >KJB77848 pep chromosome:Graimondii2_0_v6:12:33323145:33325531:-1 gene:B456_012G161000 transcript:KJB77848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNAELRRLVMFQAVLLVVLMLALSNAPVGDAIWLNVPPTGTKCVSEEIQSNVVVLSDYVVVSDDHGHVPTISAKVTSPYGNNLHHKENVTHGQFAFTTQEAGNYLACFWTDSHTRGAGEVSVNIEWKTGIAAKDWESVARKEKIEGVELELRKLEGAVEAIHENLLYLRDREAEMRTTSENTNSRVAWFSIMSLGICIVVSGSQIWYLKRYFQKKKLI >KJB77847 pep chromosome:Graimondii2_0_v6:12:33323145:33325516:-1 gene:B456_012G161000 transcript:KJB77847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNAELRRLVMFQAVLLVVLMLALSNAPVGDAIWLNVPPTGTKCVSEEIQSNVVVLSDYVVVSDDHGHVPTISAKVTSPYGNNLHHKENVTHGQFAFTTQEAGNYLACFWTDSHTRGAGEVSVNIEWKTGIAAKDWESVARKEKIEGVELELRKLEGAVEAIHENLLYLRDR >KJB77296 pep chromosome:Graimondii2_0_v6:12:29913183:29916386:-1 gene:B456_012G130400 transcript:KJB77296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVGVQENGKGEMALSLGNKSKYKRMDSELSDEFDDEASNHYNELERKKSIRKYVLACAIFASLNNVLLGYDVGVMSGAIIFIQEDLKISEVQEEVLVGILSIISLLGSLAGGRTSDIIGRKWTIAFAAVIFQIGAAIMTFAPSFQILMIGRVLAGVGIGFGVMIAPVYIAEISPTVDRGSLTSFPEIFINLGILLGYVSNYAFSGLSVHINWRVMLAVGILPSVFIGFALFIIPESPRWLVMQNRVEDARSVLLKTNENENDVDERLSEIVAAAGMSNGEKNEEKAVWRELLSPSPPLRRMLITGFGIQCFQQITGIDATVYYSPEIFKEAGIENNSKLLAATVAVGVTKTAFILIATFLVDRVGRKPLLYVSTIGMTVCLLTLSLTLGFLGHGQLGVALAVLCVCGNVAFFSVGMGPICWILTSEIFPLRLRAQASALGAVGNRVCSGLVAMSFLSLSRTITVGGTFFLFALLSALSVLFAYKCVPETKGKSLEQIELLFENHNEWQGSEVEMGDSEHLVQKA >KJB74925 pep chromosome:Graimondii2_0_v6:12:1602167:1603567:-1 gene:B456_012G014400 transcript:KJB74925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITAFSATSLLLFLICICLPSVYGDYGGGWQGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTVALSTALFNNGLSCGSCYEMKCDNDPKWCLPGSIIVTATNFCPPNFALSNDNGGWCNPPLQHFDMAEPAFLQIAQYRAGIVPISFRRVPCMKKGGIRFTINGHSYFNLVLITNVAEQLLPQWSKPVFPSDDK >KJB74926 pep chromosome:Graimondii2_0_v6:12:1602167:1603642:-1 gene:B456_012G014400 transcript:KJB74926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITAFSATSLLLFLICICLPSVYGDYGGGWQGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTVALSTALFNNGLSCGSCYEMKCDNDPKWCLPGSIIVTATNFCPPNFALSNDNGGWCNPPLQHFDMAEPAFLQIAQYRAGIVPISFRRVPCMKKGGIRFTINGHSYFNLVLITNVAGAGDVHAVSIKGSKTGWQSMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTVSSYNVAPANWQFGQTFQGGQF >KJB74924 pep chromosome:Graimondii2_0_v6:12:1602889:1603510:-1 gene:B456_012G014400 transcript:KJB74924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITAFSATSLLLFLICICLPSVYGDYGGGWQGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTVALSTALFNNGLSCGSCYEMKCDNDPKWCLPGSIIVTATNFCPPNFALSNDNGGWCNPPLQHFDMAEPAFLQIAQYRAGIVPISFRR >KJB74998 pep chromosome:Graimondii2_0_v6:12:2068236:2073289:-1 gene:B456_012G017900 transcript:KJB74998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRSVRKLEVVSPVPADIDIANSVEPFHISEIAKDLNLSPNHYDLYGKYKAKVLLSVLDELESSDNGYYVVVGGITPTPLGEGKSTTTVGLCQALGAYLDRKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHESTQSDKALFNRLCPPNKEGKRKFSDIMFRRLKKLDITKTQPEDLTPEEVKKFARLDIDPNSITWRRVMDVNDRFLRKITVGQGPEEKGMVRETGFDISVASEIMAVLALTTSLTDMRERLGKMVIGNSKAGEPITADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPQGFVVTEAGFGADIGTEKFMNIKCRYSDLRPQCAVIVATIRALKMHGGGPEVVAGKPLDHAYLNENVPLVEAGCVNLARHIINTKAYGVNVVVAVNKFSTDTEAEMNAVMNAAMAAGAFNAVICTHHAHGGKGAVDLGVAVQRACASGNQQLKYLYPLDISIKEKIEAIAKSYGASGVEYTEQAEKQIEMYTKQGFSGLPICMAKTQYSFSHNAAEKGAPSGFILPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDLDTATGKVIGLS >KJB74999 pep chromosome:Graimondii2_0_v6:12:2068271:2073108:-1 gene:B456_012G017900 transcript:KJB74999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFNLHLTGDIHAITAANNLLAAAIDTRIFHESTQSDKALFNRLCPPNKEGKRKFSDIMFRRLKKLDITKTQPEDLTPEEVKKFARLDIDPNSITWRRVMDVNDRFLRKITVGQGPEEKGMVRETGFDISVASEIMAVLALTTSLTDMRERLGKMVIGNSKAGEPITADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPQGFVVTEAGFGADIGTEKFMNIKCRYSDLRPQCAVIVATIRALKMHGGGPEVVAGKPLDHAYLNENVPLVEAGCVNLARHIINTKAYGVNVVVAVNKFSTDTEAEMNAVMNAAMAAGAFNAVICTHHAHGGKGAVDLGVAVQRACASGNQQLKYLYPLDISIKEKIEAIAKSYGASGVEYTEQAEKQIEMYTKQGFSGLPICMAKTQYSFSHNAAEKGAPSGFILPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDLDTATGKVIGLS >KJB76556 pep chromosome:Graimondii2_0_v6:12:16986947:16991033:-1 gene:B456_012G094500 transcript:KJB76556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVMASIPDFEIAETGISKDNSAEAEQFKIRVDEIFQKVDELEQKVNEVEQFNLNSSKKQQSSSKGSSMGKERDKGRQVPSIKKQQQDASRREAAAAKRMQELMRQFGTIVRQITQHKWAWPFMQPVDVKGLGLHDYYEIIEKPMDFSTIKNQMEAKDGTGYKNVRDICADVRLVFNNAMKYNDEGSDVHLMAKTLLEKFEEKWQLLLPKVTEEERRRDEEEAEAQLDMQLVREAAHAKVVRDLCNELYEVDTHLEHLRETVVQKCRKMSTEEKRNLGTAIARLSMEDLNKALEIIAQSNPAFQAMAEEVEIDIDAQSESTLWRLKFFVKDALELQSRSAMSTGGNNNYNNKRKKEICDAIAKTAKKKSKKTSS >KJB76559 pep chromosome:Graimondii2_0_v6:12:16987734:16991668:-1 gene:B456_012G094500 transcript:KJB76559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVMASIPDFEIAETGISKDNSAEAEQFKIRVDEIFQKVDELEQKVNEVEQFNLNSSKKQQSSSKGSSMGKERDKGRQVPSIKKQQQDASRREAAAAKRMQELMRQFGTIVRQITQHKWAWPFMQPVDVKGLGLHDYYEIIEKPMDFSTIKNQMEAKDGTGYKNVRDICADVRLVFNNAMKYNDEGSDVHLMAKTLLEKFEEKWQLLLPKVTEEERRRDEEEAEAQLDMQLVREAAHAKVVRDLCNELYEVDTHLEHLRETVVQKCRLVLDLKRFCYLV >KJB76558 pep chromosome:Graimondii2_0_v6:12:16986947:16991657:-1 gene:B456_012G094500 transcript:KJB76558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPDFEIAETGISKDNSAEAEQFKIRVDEIFQKVDELEQKVNEVEQFNLNSSKKQQSSSKGSSMGKERDKGRQVPSIKKQQQDASRREAAAAKRMQELMRQFGTIITQHKWAWPFMQPVDVKGLGLHDYYEIIEKPMDFSTIKNQMEAKDGTGYKNVRDICADVRLVFNNAMKYNDEGSDVHLMAKTLLEKFEEKWQLLLPKVTEEERRRDEEEAEAQLDMQLVREAAHAKVVRDLCNELYEVDTHLEHLRETVVQKCRKMSTEEKRNLGTAIARLSMEDLNKALEIIAQSNPAFQAMAEEVEIDIDAQSESTLWRLKFFVKDALELQSRSAMSTGGNNNYNNKRKKEICDAIAKTAKKKSKKTSS >KJB76555 pep chromosome:Graimondii2_0_v6:12:16986687:16991827:-1 gene:B456_012G094500 transcript:KJB76555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPDFEIAETGISKDNSAEAEQFKIRVDEIFQKVDELEQKVNEVEQFNLNSSKKQQSSSKGSSMGKERDKGRQVPSIKKQQQDASRREAAAAKRMQELMRQFGTIVRQITQHKWAWPFMQPVDVKGLGLHDYYEIIEKPMDFSTIKNQMEAKDGTGYKNVRDICADVRLVFNNAMKYNDEGSDVHLMAKTLLEKFEEKWQLLLPKVTEEERRRDEEEAEAQLDMQLVREAAHAKVVRDLCNELYEVDTHLEHLRETVVQKCRKMSTEEKRNLGTAIARLSMEDLNKALEIIAQSNPAFQAMAEEVEIDIDAQSESTLWRLKFFVKDALELQSRSAMSTGGNNNYNNKRKKEICDAIAKTAKKKSKKTSS >KJB76557 pep chromosome:Graimondii2_0_v6:12:16987011:16990779:-1 gene:B456_012G094500 transcript:KJB76557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVMASIPDFEIAETGISKDNSAEAEQFKIRVDEIFQKVDELEQKVNEVEQFNLNSSKKQQSSSKGSSMGKERDKGRQVPSIKKQQQDASRREAAAAKRMQELMRQFGTIVRQITQHKWAWPFMQPVDVKGLGLHDYYEIIEKPMDFSTIKNQMEAKDGTGYKNVRDICADVRLVFNNAMKYNDEGSDVHLMAKTLLEKFEEKWQLLLPKVTEEERRRDEEEAEAQLDMQLVREAAHAKVVRDLCNELYEVDTHLEHLRETVVQKCRKMSTEEKRNLGTAIARLSMEDLNKALEIIAQSNPAFQAMAEEVEIDIDAQSESTLWRLKFFVKDALELQSRSAMSTGGNNNYNNKRKKEICDAIAKTAKKKSKKTSS >KJB76767 pep chromosome:Graimondii2_0_v6:12:23780519:23783381:-1 gene:B456_012G106000 transcript:KJB76767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVPAVGAVNRLPLKSNGRGPTPSSIFLGKSVKKGTSKVNQNKVFPKNFKVVSEYDESKQTSKDRWVGLVYDESDDQQDITRGKGMVDTLFQAPVGTGTHHAIMSSYDYISQGLRTFNYDNTIDGFYIAPAFMDKVVVHITKNFLNLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCSGIFRTDNVPREDIIKLVDTFPGQSIDFFGALRARVYDDEVRKWISDVGVQNVGKRLVNSREGPPTFEQPKMTLEKLLEYGNMLVQEQENVKRVQLSDKYLKEAALGDANDDAIKNGSFYGKAAQQVSLPVPEGCTDPSAANFDPTARSDDGSCVYN >KJB76766 pep chromosome:Graimondii2_0_v6:12:23781310:23783346:-1 gene:B456_012G106000 transcript:KJB76766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVPAVGAVNRLPLKSNGRGPTPSSIFLGKSVKKGTSKVNQNKVFPKNFKVVSEYDESKQTSKDRWVGLVYDESDDQQDITRGKGMVDTLFQAPVGTGTHHAIMSSYDYISQGLRTFNYDNTIDGFYIAPAFMDKVVVHITKNFLNLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCSGIFRTDNVPREDIIKLVDTFPGQSIDFFGALRARVYDDEVRKWISDVGVQNVGKRLVNSREGPPTFEQPKMTLEKLLEYGNMLVQEQENVKRVQLSDKYLKEAALGDANDDAIKNGSFYG >KJB77886 pep chromosome:Graimondii2_0_v6:12:33493755:33497527:1 gene:B456_012G163300 transcript:KJB77886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCASSDEDYYYSSDRDSLDGLENEDSDLQWAPSKGPTTKVITKESLLAAQREDLRRVMEMLSLREHHARTLLIHYRWDVEKLLAVLVEKGKADLFSEAGVSVVESEDTVTPLSSSSTAMCDICIEELPGDKMTKMDCGHAFCNDCWTEHFVVKINEGQSKRIRCMAHKCNAICDEAVVRNLVGKRHPDLAEKFDRFLLESYIEDNRMVKWCPSTPHCGNAIRVEDDEFCEVECSCGVQFCFSCLSEAHSPCSCMMWELWMKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSRIAGHSCGRYIEDREKKTERAKRDLYRYMHYHNRYKAHTDSFKLESKLKETILEKVSISEERESRLRDFSWVTNGLCRLFRSRRVLSYSYPFAFYMFGEELFKDEMTNEEREIKQHLFEDQQQQLEANVEKLSKFLEEPFDQYTDDKVMEIRMQVINLSVIIDSLCKKMYECIENDLLGSLQRNTHNIAPYKSKGIEKASELAVCWSGKASTTTGKCLPSDCGTSGKRDRSFSFGTSDDKGCPSPKQPKKESYGGGFFDLNLPAEVLDRN >KJB77885 pep chromosome:Graimondii2_0_v6:12:33494971:33497123:1 gene:B456_012G163300 transcript:KJB77885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLSLREHHARTLLIHYRWDVEKLLAVLVEKGKADLFSEAGVSVVESEDTVTPLSSSSTAMCDICIEELPGDKMTKMDCGHAFCNDCWTEHFVVKINEGQSKRIRCMAHKCNAICDEAVVRNLVGKRHPDLAEKFDRFLLESYIEDNRMVKWCPSTPHCGNAIRVEDDEFCEVECSCGVQFCFSCLSEAHSPCSCMMWELWMKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSRIAGHSCGRYIEDREKKTERAKRDLYRYMHYHNRYKAHTDSFKLESKLKETILEKVSISEERESRLRDFSWVTNGLCRLFRSRRVLSYSYPFAFYMFGEELFKDEMTNEEREIKQHLFEDQQQQLEANVEKLSKFLEEPFDQYTDDKVMEIRMQVINLSVIIDSLCKKMYECIENDLLGSLQRNTHNIAPYKSKGIEKASELAVCWSGKASTTTGKCLPSDCGTSGKRDRSFSFGTSDDKGCPSPKQPKKESYGGGFFDLNLPAEVLDRN >KJB77887 pep chromosome:Graimondii2_0_v6:12:33493755:33497527:1 gene:B456_012G163300 transcript:KJB77887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCASSDEDYYYSSDRDSLDGLENEDSDLQWAPSKGPTTKVITKESLLAAQREDLRRVMEMLSLREHHARTLLIHYRWDVEKLLAVLVEKGKADLFSEAGVSVVESEDTVTPLSSSSTAMCDICIEELPGDKMTKMDCGHAFCNDCWTEHFVVKINEGQSKRIRCMAHKCNAICDEAVVRNLVGKRHPDLAEKFDRFLLESYIEDNRMVKWCPSTPHCGNAIRVEDDEFCEKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSRIAGHSCGRYIEDREKKTERAKRDLYRYMHYHNRYKAHTDSFKLESKLKETILEKVSISEERESRLRDFSWVTNGLCRLFRSRRVLSYSYPFAFYMFGEELFKDEMTNEEREIKQHLFEDQQQQLEANVEKLSKFLEEPFDQYTDDKVMEIRMQVINLSVIIDSLCKKMYECIENDLLGSLQRNTHNIAPYKSKGIEKASELAVCWSGKASTTTGKCLPSDCGTSGKRDRSFSFGTSDDKGCPSPKQPKKESYGGGFFDLNLPAEVLDRN >KJB76720 pep chromosome:Graimondii2_0_v6:12:22922439:22923332:1 gene:B456_012G102900 transcript:KJB76720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIKPSLLFILPPPLIVNFWSIFSSWLLLFFINFAAFDLPLFALKISLLCYHKVLCCRHLASLGCLATQI >KJB76719 pep chromosome:Graimondii2_0_v6:12:22922411:22923444:1 gene:B456_012G102900 transcript:KJB76719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIKPSLLFILPPPLIVNFWSIFSSWLLLFFINFAAFDLPLFALKISLLCYHKVLCCRHLASLGHYFK >KJB77196 pep chromosome:Graimondii2_0_v6:12:29078584:29079956:1 gene:B456_012G126000 transcript:KJB77196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISATGFLPQSLTLSSATARASTRRPIACLSVQLQQQQPPSQRIQRRKIMVIGAVGLVAPALYNSSNGEIEMAMAASSGFTDMPALRGKDYGKTKMRYPDYTETVSGLQYKDLRVGDGPTPKMGDTVVVDWDGYTIGYYGRIFEARNKTKGGSFVVHNASHPNSECHFKLVDYFMILSGFKTCREMTKTSSNLDLDPKK >KJB77195 pep chromosome:Graimondii2_0_v6:12:29078714:29080373:1 gene:B456_012G126000 transcript:KJB77195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISATGFLPQSLTLSSATARASTRRPIACLSVQLQQQQPPSQRIQRRKIMVIGAVGLVAPALYNSSNGEIEMAMAASSGFTDMPALRGKDYGKTKMRYPDYTETVSGLQYKDLRVGDGPTPKMGDTVVVDWDGYTIGYYGRIFEARNKTKGGSFVGDDKDFFKFRLGSQEVIPAFEEAITGMALGGVRSDAGS >KJB77198 pep chromosome:Graimondii2_0_v6:12:29078584:29081722:1 gene:B456_012G126000 transcript:KJB77198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISATGFLPQSLTLSSATARASTRRPIACLSVQLQQQQPPSQRIQRRKIMVIGAVGLVAPALYNSSNGEIEMAMAASSGFTDMPALRGKDYGKTKMRYPDYTETVSGLQYKDLRVGDGPTPKMGDTVVGDDKDFFKFRLGSQEVIPAFEEAITGMALGGVRRIIVPPELGYPDNDFNKSGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPN >KJB77197 pep chromosome:Graimondii2_0_v6:12:29078584:29081500:1 gene:B456_012G126000 transcript:KJB77197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISATGFLPQSLTLSSATARASTRRPIACLSVQLQQQQPPSQRIQRRKIMVIGAVGLVAPALYNSSNGEIEMAMAASSGFTDMPALRGKDYGKTKMRYPDYTETVSGLQYKDLRVGDGPTPKMGDTVVVIPAFEEAITGMALGGVRRIIVPPELGYPDNDFNKSGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPN >KJB77200 pep chromosome:Graimondii2_0_v6:12:29078522:29081814:1 gene:B456_012G126000 transcript:KJB77200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISATGFLPQSLTLSSATARASTRRPIACLSVQLQQQQPPSQRIQRRKIMVIGAVGLVAPALYNSSNGEIEMAMAASSGFTDMPALRGKDYGKTKMRYPDYTETVSGLQYKDLRVGDGPTPKMGDTVVVDWDGYTIGYYGRIFEARNKTKGGSFVGDDKDFFKFRLGSQEVIPAFEEAITGMALGGVRRIIVPPELGYPDNDFNKSGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPN >KJB77199 pep chromosome:Graimondii2_0_v6:12:29078584:29081722:1 gene:B456_012G126000 transcript:KJB77199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISATGFLPQSLTLSSATARASTRRPIACLSVQLQQQQPPSQRIQRRKIMVIGAVGLVAPALYNSSNGEIEMAMAASSGFTDMPALRGKDYGKTKMRYPDYTETVSGLQYKDLRVGDGPTPKMGDTVVVDWDGYTIGYYGRIFEARNKTKGGSFVGDDKDFFKFRLGSQEVIPAFEEAITGMALGGVRRIIVPPELGYPDNDFNKSGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPN >KJB77194 pep chromosome:Graimondii2_0_v6:12:29078572:29081722:1 gene:B456_012G126000 transcript:KJB77194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISATGFLPQSLTLSSATARASTRRPIACLSVQLQQQQPPSQRIQRRKIMVIGAVGLVAPALYNSSNGEIEMAMAASSGFTDMPALRGKDYGKTKMRYPDYTETVSGLQYKDLRVGDGPTPKMGDTVVVDWDGYTIGYYGRIFEARNKTKGGSFVGDDKDFFKFRLGSQEVIPAFEEAITGMALGGVRRIIVPPELGYPDNDFNKSGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPN >KJB78256 pep chromosome:Graimondii2_0_v6:12:35331296:35338270:1 gene:B456_012G186300 transcript:KJB78256 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF guanine-nucleotide exchange factor GNOM [Source:Projected from Arabidopsis thaliana (AT1G13980) UniProtKB/Swiss-Prot;Acc:Q42510] MGRLKLQSGIKAIEEEPEDYDMTYSNKATLACMINSEIGAVLAVMRRNVRWGGRYMSSDDQLEHSLIQSLKALRKQIFLWQNQWHTINPAAYLQPFLDVIQSDETGAPITGVALSSVHKILTLDVIDQNTANVEEAMRLVVDSVTSCRFEVTDQASEEVVLMKILHVLLACMKSKASVMLSNQHVCTIVNTCFRIVHQAEKKAELLQRIARHTMHELVRCIFSHLLNVENTKHALVNRSGTAKLELGAIDNDYAFGAKQAENGNGSEYDDQASSGSFASNSVGLVGTVREESMAVAGNGKETVPYDSLLMTEPYGVPCMVEIFHFLCTLLNTVEHVGMDPRSNTLTFDEDVPLFALGLINSAIELGGPSFRRHPRLLSLIQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQGKYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCRNVFEDLANLLSKSAFPVNCPLSAMHILALDGLIAVIQGMAERIGNGSVSSEQAPVILEEYLPFWMVKCDNYADPVHWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPRDFLSELYRSICKNEIRTTPEQGFGYPEMTPSRWIDLMHKSKKTAPFIVADSRAYLDHDMFAIMSGPTIAAISVVFDHAEHEHVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSSVEEPVLAFGDDAKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESELSADPGHGKPITNSLSSAHLQSIGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQAESLLQLARALIWAAGRPQKGSSSPEDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANATHIRSQMGWRTITSLLSITARHPEASEAGFDALLFIMSDGAHLLPANYVLCIDAARQFAESRVGQAERSVRALDLMSGSVDCLARWTREAKEAMGEDDAGKMSQDIGDLWLRLVQGLRKVCLDQREEVRNHALLSLQKCLTGVDGIHLSHGLWLQCFDLVIFTMLDDLLEIAQGHQKDYRNMEGTLILATKLLSKVFLQLLHELSQLTTFCKLWLGVLSRMEKYMKVKVRGKKSEKLQELVLELLKNILLVMKTRGILMQRSALGGDSLWELTWLHVNNIVPSLQSEVFPDQGPELKHGETGCAVSGETVSVPSNETTTLEGAGAGS >KJB78259 pep chromosome:Graimondii2_0_v6:12:35331455:35338270:1 gene:B456_012G186300 transcript:KJB78259 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF guanine-nucleotide exchange factor GNOM [Source:Projected from Arabidopsis thaliana (AT1G13980) UniProtKB/Swiss-Prot;Acc:Q42510] MGRLKLQSGIKAIEEEPEDYDMTYSNKATLACMINSEIGAVLAVMRRNVRWGGRYMSSDDQLEHSLIQSLKALRKQIFLWQNQWHTINPAAYLQPFLDVIQSDETGAPITGVALSSVHKILTLDVIDQNTANVEEAMRLVVDSVTSCRFEVTDQASEEVVLMKILHVLLACMKSKASVMLSNQHVCTIVNTCFRIVHQAEKKAELLQRIARHTMHELVRCIFSHLLNVENTKHALVNRSGTAKLELGAIDNDYAFGAKQAENGNGSEYDDQASSGSFASNSVGLVGTVREESMAVAGNGKETVPYDSLLMTEPYGVPCMVEIFHFLCTLLNTVEHVGMDPRSNTLTFDEDVPLFALGLINSAIELGGPSFRRHPRLLSLIQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQGKYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCRNVFEDLANLLSKSAFPVNCPLSAMHILALDGLIAVIQGMAERIGNGSVSSEQAPVILEEYLPFWMVKCDNYADPVHWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPRDFLSELYRSICKNEIRTTPEQGFGYPEMTPSRWIDLMHKSKKTAPFIVADSRAYLDHDMFAIMSGPTIAAISVVFDHAEHEHVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSSVEEPVLAFGDDAKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESELSADPGHGKPITNSLSSAHLQSIGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQAESLLQLARALIWAAGRPQKGSSSPEDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANATHIRSQMGWRTITSLLSITARHPEASEAGFDALLFIMSDGAHLLPANYVLCIDAARQFAESRVGQAERSVRALDLMSGSVDCLARWTREAKEAMGEDDAGKMSQDIGDLWLRLVQGLRKVCLDQREEVRNHALLSLQKCLTGVDGIHLSHGLWLQCFDLVIFTMLDDLLEIAQGHQKDYRNMEGTLILATKLLSKVFLQLLHELSQLTTFCKLWLGVLSRMEKYMKVKVRGKKSEKLQELVLELLKNILLVMKTRGILMQRSALGGDSLWELTWLHVNNIVPSLQSEVFPDQGPELKHGETGCAVSGETVSVPSNETTTLEGAGAGS >KJB78257 pep chromosome:Graimondii2_0_v6:12:35331321:35338275:1 gene:B456_012G186300 transcript:KJB78257 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF guanine-nucleotide exchange factor GNOM [Source:Projected from Arabidopsis thaliana (AT1G13980) UniProtKB/Swiss-Prot;Acc:Q42510] MGRLKLQSGIKAIEEEPEDYDMTYSNKATLACMINSEIGAVLAVMRRNVRWGGRYMSSDDQLEHSLIQSLKALRKQIFLWQNQWHTINPAAYLQPFLDVIQSDETGAPITGVALSSVHKILTLDVIDQNTANVEEAMRLVVDSVTSCRFEVTDQASEEVVLMKILHVLLACMKSKASVMLSNQHVCTIVNTCFRIVHQAEKKAELLQRIARHTMHELVRCIFSHLLNVENTKHALVNRSGTAKLELGAIDNDYAFGAKQAENGNGSEYDDQASSGSFASNSVGLVGTVREESMAVAGNGKETVPYDSLLMTEPYGVPCMVEIFHFLCTLLNTVEHVGMDPRSNTLTFDEDVPLFALGLINSAIELGGPSFRRHPRLLSLIQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQGKYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCRNVFEDLANLLSKSAFPVNCPLSAMHILALDGLIAVIQGMAERIGNGSVSSEQAPVILEEYLPFWMVKCDNYADPVHWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPRDFLSELYRSICKNEIRTTPEQGFGYPEMTPSRWIDLMHKSKKTAPFIVADSRAYLDHDMFAIMSGPTIAAISVVFDHAEHEHVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSSVEEPVLAFGDDAKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESELSADPGHGKPITNSLSSAHLQSIGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQAESLLQLARALIWAAGRPQKGSSSPEDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANATHIRSQMGWRTITSLLSITARHPEASEAGFDALLFIMSDGAHLLPANYVLCIDAARQFAESRVGQAERSVRALDLMSGSVDCLARWTREAKEAMGEDDAGKMSQDIGDLWLRLVQGLRKVCLDQREEVRNHALLSLQKCLTGVDGIHLSHGLWLQCFDLVIFTMLDDLLEIAQGHQKDYRNMEGTLILATKLLSKVFLQLLHELSQLTTFCKLWLGVLSRMEKYMKVKVRGKKSEKLQELVLELLKNILLVMKTRGILMQRSALGGDSLWELTWLHVNNIVPSLQSEVFPDQGPELKHGETGCAVSGETVSVPSNETTTLEGAGAGS >KJB78258 pep chromosome:Graimondii2_0_v6:12:35331328:35338270:1 gene:B456_012G186300 transcript:KJB78258 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF guanine-nucleotide exchange factor GNOM [Source:Projected from Arabidopsis thaliana (AT1G13980) UniProtKB/Swiss-Prot;Acc:Q42510] MGRLKLQSGIKAIEEEPEDYDMTYSNKATLACMINSEIGAVLAVMRRNVRWGGRYMSSDDQLEHSLIQSLKALRKQIFLWQNQWHTINPAAYLQPFLDVIQSDETGAPITGVALSSVHKILTLDVIDQNTANVEEAMRLVVDSVTSCRFEVTDQASEEVVLMKILHVLLACMKSKASVMLSNQHVCTIVNTCFRIVHQAEKKAELLQRIARHTMHELVRCIFSHLLNVENTKHALVNRSGTAKLELGAIDNDYAFGAKQAENGNGSEYDDQASSGSFASNSVGLVGTVREESMAVAGNGKETVPYDSLLMTEPYGVPCMVEIFHFLCTLLNTVEHVGMDPRSNTLTFDEDVPLFALGLINSAIELGGPSFRRHPRLLSLIQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQGKYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCRNVFEDLANLLSKSAFPVNCPLSAMHILALDGLIAVIQGMAERIGNGSVSSEQAPVILEEYLPFWMVKCDNYADPVHWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPRDFLSELYRSICKNEIRTTPEQGFGYPEMTPSRWIDLMHKSKKTAPFIVADSRAYLDHDMFAIMSGPTIAAISVVFDHAEHEHVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSSVEEPVLAFGDDAKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESELSADPGHGKPITNSLSSAHLQSIGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQAESLLQLARALIWAAGRPQKGSSSPEDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANATHIRSQMGWRTITSLLSITARHPEASEAGFDALLFIMSDGAHLLPANYVLCIDAARQFAESRVGQAERSVRALDLMSGSVDCLARWTREAKEAMGEDDAGKMSQDIGDLWLRLVQGLRKVCLDQREEVRNHALLSLQKCLTGVDGIHLSHGLWLQCFDLVIFTMLDDLLEIAQGHQKDYRNMEGTLILATKLLSKVFLQLLHELSQLTTFCKLWLGVLSRMEKYMKVKVRGKKSEKLQELVLELLKNILLVMKTRGILMQRSALGGDSLWELTWLHVNNIVPSLQSEVFPDQGPELKHGETGCAVSGETVSVPSNETTTLEGAGAGS >KJB77245 pep chromosome:Graimondii2_0_v6:12:29333780:29334545:-1 gene:B456_012G127200 transcript:KJB77245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRLSSALLTIFLALSALSSSSHATENWSRSMFWSKLQVRDRRLSSDQICHGHSGKCLEETDLDIHRRELSARKYISYAALRMNAVPCNQPGRSYYNCGIGEVANPYSRGCSVLTRCRRFTA >KJB77801 pep chromosome:Graimondii2_0_v6:12:33156447:33162413:1 gene:B456_012G158400 transcript:KJB77801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MTLTSLKVPTISSGLTNRKREWNKINRSTGSNSLLNQSEICKFRKELGYIDGVRSCHLSAAHRNSLLSPLVAHRNPRFPVFRNQLSSDFGVEDVKETLTLNEKDDAALLRSSSTGSVNVREVKVKSVDVKRELIMLSLPAIAGQAIDPFAQLMETAYIGRLSSVALASAGVSVSIFNVVSKLFNIPLFSVATSFVAEDISRNAIENLSAGSTNGKALDGVAERKQLSSVSTALLLAILIGIFEALALSLGSGLFLKLMGVPSTSDMHVPAKQFLSLRALGAPAVVVSLALQGIFRGFKDTQTPVFCLGVGNLSSILFFPLFMYGLRMGVTGAALSTVLSQYIVAFLMIRYLNKKVVLLPPKMGALQFGSYIKSGGFLIGRTLSVLITMTLGTSMAARQGSLPMAAHQICMQVWLAVSLLTDALATSAQALIASYLSEGELETVKEIANFVLKIGFVTGVLLAAILGVSFGYLVPLFTQDAEVLGILKTGVLFVSASQPINALAFIFDGLHYGVSDFPYAACSMMLLSAISSAFLLFAPKVLGLQGVWLGLTLFMALRMTAGFVRTLSKTGPWWFLHSDLERA >KJB77808 pep chromosome:Graimondii2_0_v6:12:33156552:33163439:1 gene:B456_012G158400 transcript:KJB77808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MTLTSLKVPTISSGLTNRKREWNKINRSTGSNSLLNQSEICKFRKELGYIDGVRSCHLSAAHRNSLLSPLVAHRNPRFPVFRNQLSSDFGVEDVKETLTLNEKDDAALLRSSSTGSVNVREVKVKSVDVKRELIMLSLPAIAGQAIDPFAQLMETAYIGRLSSVALASAGVSVSIFNVVSKLFNIPLFSVATSFVAEDISRNAIENLSAGSTNGKALDGVAERKQLSSVSTALLLAILIGIFEALALSLGSGLFLKLMGVPSTSDMHVPAKQFLSLRALGAPAVVVSLALQGIFRGFKDTQTPVFCLGVGNLSSILFFPLFMYGLRMGVTGAALSTVLSQYIVAFLMIRYLNKKVVLLPPKMGALQFGSYIKSGGFLIGRTLSVLITMTLGTSMAARQGSLPMAAHQICMQVWLAVSLLTDALATSAQALIASYLSEGELETVKEIANFVLKIGFVTGVLLAAILGVSFGYLVPLFTQDAEVLGILKTGVLFVSASQPINALAFIFDGLHYGVSDFPYAACSMMLLSAISSAFLLFAPKVLGLQGVWLGLTLFMALRMTAGFVRTLSKTGPWWFLHSDLERA >KJB77805 pep chromosome:Graimondii2_0_v6:12:33156897:33160955:1 gene:B456_012G158400 transcript:KJB77805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MTLTSLKVPTISSGLTNRKREWNKINRSTGSNSLLNQSEICKFRKELGYIDGVRSCHLSAAHRNSLLSPLVAHRNPRFPVFRNQLSSDFGVEDVKETLTLNEKDDAALLRSSSTGSVNVREVKVKSVDVKRELIMLSLPAIAGQAIDPFAQLMETAYIGRLSSVALASAGVSVSIFNVVSKLFNIPLFSVATSFVAEDISRNAIENLSAGSTNGKALDGVAERKQLSSVSTALLLAILIGIFEALALSLGSGLFLKLMGVPSTSDMHVPAKQFLSLRALGAPAVVVSLALQGIFRGFKDTQTPVFCLGVGNLSSILFFPLFMYGLRMGVTGAALSTVLSQYIVAFLMIRYLNKKVVLLPPKMGALQFGSYIKSGGFLIGRTLSVLITMTLGTSMAARQGSLPMAAHQICMQVWLAVSLLTDALATSAQALIASYLSEGELETVKEIANFVLKIGFVTGVLLAAILGVSFGYLVPLFTQDAEVLGILKTGVLVSIQNQHPVSSSFLLLLHLWCPWIIITVSSKNYYSSLVLVNL >KJB77804 pep chromosome:Graimondii2_0_v6:12:33156552:33163439:1 gene:B456_012G158400 transcript:KJB77804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MTLTSLKVPTISSGLTNRKREWNKINRSTGSNSLLNQSEICKFRKELGYIDGVRSCHLSAAHRNSLLSPLVAHRNPRFPVFRNQLSSDFGVEDVKETLTLNEKDDAALLRSSSTGSVNVREVKVKSVDVKRELIMLSLPAIAGQAIDPFAQLMETAYIGRLSSVALASAGVSVSIFNVVSKLFNIPLFSVATSFVAEDISRNAIENLSAGSTNGKALDGVAERKQLSSVSTALLLAILIGIFEALALSLGSGLFLKLMGVPSTSDMHVPAKQFLSLRALGAPAVVVSLALQGIFRGFKDTQTPVFCLGVGNLSSILFFPLFMYGLRMGVTGAALSTVLSQYIVAFLMIRYLNKKVVLLPPKMGALQFGSYIKSGGFLIGRTLSVLITMTLGTSMAARQGSLPMAAHQICMQVWLAVSLLTDALATSAQALIASYLSEGELETVKEIANFVLKIGFVTGVLLAAILGVSFGYLVPLFTQDAEVLGILKTGVLFVSASQPINALAFIFDGLHYGVSDFPYAACSMMLLSAISSAFLLFAPKVLGLQGVWLGLTLFMALRMTAGFVRTLSKTGPWWFLHSDLERA >KJB77803 pep chromosome:Graimondii2_0_v6:12:33156312:33163439:1 gene:B456_012G158400 transcript:KJB77803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MTLTSLKVPTISSGLTNRKREWNKINRSTGSNSLLNQSEICKFRKELGYIDGVRSCHLSAAHRNSLLSPLVAHRNPRFPVFRNQLSSDFGVEDVKETLTLNEKDDAALLRSSSTGSVNVREVKVKSVDVKRELIMLSLPAIAGQAIDPFAQLMETAYIGRLSSVALASAGVSVSIFNVVSKLFNIPLFSVATSFVAEDISRNAIENLSAGSTNGKALDGVAERKQLSSVSTALLLAILIGIFEALALSLGSGLFLKLMGVPSTSDMHVPAKQFLSLRALGAPAVVVSLALQGIFRGFKDTQTPVFCLGVGNLSSILFFPLFMYGLRMGVTGAALSTVLSQYIVAFLMIRYLNKKVVLLPPKMGALQFGSYIKSGGFLIGRTLSVLITMTLGTSMAARQGSLPMAAHQICMQVWLAVSLLTDALATSAQALIASYLSEGELETVKEIANFVLKIGFVTGVLLAAILGVSFGYLVPLFTQDAEVLGILKTGVLFVSASQPINALAFIFDGLHYGVSDFPYAACSMMLLSAISSAFLLFAPKVLGLQGVWLGLTLFMALRMTAGFVRTLSKTGPWWFLHSDLERA >KJB77802 pep chromosome:Graimondii2_0_v6:12:33156317:33163437:1 gene:B456_012G158400 transcript:KJB77802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MTLTSLKVPTISSGLTNRKREWNKINRSTGSNSLLNQSEICKFRKELGYIDGVRSCHLSAAHRNSLLSPLVAHRNPRFPVFRNQLSSDFGVEDVKETLTLNEKDDAALLRSSSTGSVNVREVKVKSVDVKRELIMLSLPAIAGQAIDPFAQLMETAYIGRLSSVALASAGVSVSIFNVVSKLFNIPLFSVATSFVAEDISRNAIENLSAGSTNGKALDGVAERKQLSSVSTALLLAILIGIFEALALSLGSGLFLKLMGVPSTSDMHVPAKQFLSLRALGAPAVVVSLALQGIFRGFKDTQTPVFCLGVGNLSSILFFPLFMYGLRMGVTGAALSTVLSQYIVAFLMIRYLNKKVVLLPPKMGALQFGSYIKSGGFLIGRTLSVLITMTLGTSMAARQGSLPMAAHQICMQVWLAVSLLTDALATSAQALIASYLSEGELETVKEIANFVLKIGFVTGVLLAAILGVSFGYLVPLFTQDAEVLGILKTGVLFVSASQPINALAFIFDGLHYGVSDFPYAACSMMLLSAISSAFLLFAPKVLGLQGVWLGLTLFMALRMTAGFVRTLSKTGPWWFLHSDLERA >KJB77806 pep chromosome:Graimondii2_0_v6:12:33156447:33161611:1 gene:B456_012G158400 transcript:KJB77806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MTLTSLKVPTISSGLTNRKREWNKINRSTGSNSLLNQSEICKFRKELGYIDGVRSCHLSAAHRNSLLSPLVAHRNPRFPVFRNQLSSDFGVEDVKETLTLNEKDDAALLRSSSTGSVNVREVKVKSVDVKRELIMLSLPAIAGQAIDPFAQLMETAYIGRLSSVALASAGVSVSIFNVVSKLFNIPLFSVATSFVAEDISRNAIENLSAGSTNGKALDGVAERKQLSSVSTALLLAILIGIFEALALSLGSGLFLKLMGVPSTSDMHVPAKQFLSLRALGAPAVVVSLALQGIFRGFKDTQTPVFCLGVGNLSSILFFPLFMYGLRMGVTGAALSTVLSQYIVAFLMIRYLNKKVVLLPPKMGALQFGSYIKSGGFLIGRTLSVLITMTLGTSMAARQGSLPMAAHQICMQVWLAVSLLTDALATSAQALIASYLSEGELETVKEIANFVLKIGFVTGVLLAAILGVSFGYLVPLFTQDAEVLGILKTGVLFVSASQPINALAFIFDGLHYGVSDFPYAACSMMLLSAISSAFLLFAPKVLGLQGVWLGLTLFMALRMTAGFVRTLSKTGPWWFLHSDLERGGFSVCS >KJB77809 pep chromosome:Graimondii2_0_v6:12:33156317:33163437:1 gene:B456_012G158400 transcript:KJB77809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MTLTSLKVPTISSGLTNRKREWNKINRSTGSNSLLNQSEICKFRKELGYIDGVRSCHLSAAHRNSLLSPLVAHRNPRFPVFRNQLSSDFGVEDVKETLTLNEKDDAALLRSSSTGSVNVREVKVKSVDVKRELIMLSLPAIAGQAIDPFAQLMETAYIGRLSSVALASAGVSVSIFNVVSKLFNIPLFSVATSFVAEDISRNAIENLSAGSTNGKALDGVAERKQLSSVSTALLLAILIGIFEALALSLGSGLFLKLMGVPSTSDMHVPAKQFLSLRALGAPAVVVSLALQGIFRGFKDTQTPVFCLGVGNLSSILFFPLFMYGLRMGVTGAALSTVLSQYIVAFLMIRYLNKKVVLLPPKMGALQFGSYIKSGGFLIGRTLSVLITMTLGTSMAARQGSLPMAAHQICMQVWLAVSLLTDALATSAQALIASYLSEGELETVKEIANFVLKIGFVTGVLLAAILGVSFGYLVPLFTQDAEVLGILKTGVLFVSASQPINALAFIFDGLHYGVSDFPYAACSMMLLSAISSAFLLFAPKVLGLQGVWLGLTLFMALRMTAGFVRTLSKTGPWWFLHSDLERA >KJB77807 pep chromosome:Graimondii2_0_v6:12:33156302:33163439:1 gene:B456_012G158400 transcript:KJB77807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MTLTSLKVPTISSGLTNRKREWNKINRSTGSNSLLNQSEICKFRKELGYIDGVRSCHLSAAHRNSLLSPLVAHRNPRFPVFRNQLSSDFGVEDVKETLTLNEKDDAALLRSSSTGSVNVREVKVKSVDVKRELIMLSLPAIAGQAIDPFAQLMETAYIGRLSSVALASAGVSVSIFNVVSKLFNIPLFSVATSFVAEDISRNAIENLSAGSTNGKALDGVAERKQLSSVSTALLLAILIGIFEALALSLGSGLFLKLMGVPSTSDMHVPAKQFLSLRALGAPAVVVSLALQGIFRGFKDTQTPVFCLGVGNLSSILFFPLFMYGLRMGVTGAALSTVLSQYIVAFLMIRYLNKKVVLLPPKMGALQFGSYIKSGGFLIGRTLSVLITMTLGTSMAARQGSLPMAAHQICMQVWLAVSLLTDALATSAQALIASYLSEGELETVKEIANFVLKIGFVTGVLLAAILGVSFGYLVPLFTQDAEVLGILKTGVLFVSASQPINALAFIFDGLHYGVSDFPYAACSMMLLSAISSAFLLFAPKVLGLQGVWLGLTLFMALRMTAGFVRTLSKTGPWWFLHSDLERA >KJB76204 pep chromosome:Graimondii2_0_v6:12:12272016:12274784:1 gene:B456_012G077200 transcript:KJB76204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTRRKIFTETGLIMKHRKNSNLPIFVVVFSVFLFGVFMYNEDVKSIAEFPFSKPKASEIHDEPSKGENPVQESINMEKENVVSFNTTDEETVTTKESIVVKDKVEDDEEEEEEEEVEKVELRLPVIEEEDDEDVELPPESCDLFTGKWVFDNETHPLYKEDECEFLTAQVTCMRNGRKDSLYQNWRWQPRDCNLPKYKPRLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQSVVPPGRKSLNKSGSLSVFRIETRIIRSRRYGIRRDRPASSVSESLNYMV >KJB76205 pep chromosome:Graimondii2_0_v6:12:12272016:12274784:1 gene:B456_012G077200 transcript:KJB76205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTRRKIFTETGLIMKHRKNSNLPIFVVVFSVFLFGVFMYNEDVKSIAEFPFSKPKASEIHDEPSKGENPVQESINMEKENVVSFNTTDEETVTTKESIVVKDKVEDDEEEEEEEEVEKVELRLPVIEEEDDEDVELPPESCDLFTGKWVFDNETHPLYKEDECEFLTAQVTCMRNGRKDSLYQNWRWQPRDCNLPKYKPRLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQSVVPPGRKSLNKSGSLSVFRIEDYNATVEFYWAPFLVQSNSDDPDMHSILNRIIMPKSIQNHGKNWKDVDYLVFNTYIWWMNTFNMKVLRGSFDQGDTEYDEIDRPVAYQKVLTTWSKWVDKNVNPNRTTVFFSSMSPLHIKSLDWENPDGIKCALETTPILNQSMHLNVGTDRRLYVIASNITQNMKVPVHFIDITSLSEYRKDAHTSVYTIRQGKMLTPEQQADPATFADCIHWCLPGLPDTWNEFLYARIIAHS >KJB77944 pep chromosome:Graimondii2_0_v6:12:33971226:33971702:-1 gene:B456_012G169400 transcript:KJB77944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQAEFVFSKFLSDTDIKMRLAVPSAIQGSLPPFNGGHALIFQFWQGTRFWPMHYSIRRKGYSKPVFSGRYWRNFVYNNLNVGDSFTLHQVKVEDGSSYYRVEVGREIDYLGRNAMAKNAMAYGSGSTANEHVITHHHQGLSLELSLGQPNVEGADN >KJB74767 pep chromosome:Graimondii2_0_v6:12:3484098:3488384:1 gene:B456_012G028300 transcript:KJB74767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGFADRSGVEKGGHAKYECPHCKITAPDLKSMQIHHDAKHPKVPFEESKLVNLHAVHVVETSKPRPGVRGSLKK >KJB74766 pep chromosome:Graimondii2_0_v6:12:3484098:3488384:1 gene:B456_012G028300 transcript:KJB74766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGFADRSGVEKGGHAKYECPHCKITAPDLKSMQIHHDAKHPKVPFEESKLVNLHAVHVVETSKPRPGVRGSLKK >KJB74768 pep chromosome:Graimondii2_0_v6:12:3484098:3488384:1 gene:B456_012G028300 transcript:KJB74768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGFADRSGVEKGGHAKYECPHCKITAPDLKSMQIHHDAKHPKVPFEESKLVNLHAVHVVETSKPRPGVRGSLKK >KJB77575 pep chromosome:Graimondii2_0_v6:12:31854915:31856922:1 gene:B456_012G144500 transcript:KJB77575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEDAENLYLKSQNPAFRSILSILVMGLLVAASSMDDHNINFNFAGFTPNMPDIVYEADAYASNNALQLTLNQRKQNLRGGIGRATYYKPMHLWDKSSGNPVLADFTTQFSFSIDSLHNNPGDGFAFFIAPNGSKIPPNSGGGHLGLQSDGIVNSPFVAVEFDNFGNSWDPLGCQLTWALIANGGLVNAFITYNSSTNNLSVLLLDADDFTRQNSSRLSATLDLSIYLPEWVTFGFSGTTGSYYELHTIYSWNFSSTLQVVMPTNTTINPPATSPPANPKRKSRTWLWVVLAIIGAISASLLVLGLVWFYCRRGDDSRKEDGTMSVNVNREMVTAPRKFSYKELRLATSNFADEGLLGEGGFGKVYLGFLRDISSNIAVKRVTPNSQQGFKELRHRNLVQLIGWCHDNNQFLIVYEFLPNKNLDYHLHREPCILIWDKSYKIAMGLASALFYLQEECNQCVLHRDIKSSNVLLDLSFNAKLGDFGLARLVDHGQGSQTTQVMLGTEGYIAPECRDTYKAIKESDIYSFGIVALEIATGKKAIAVIERNGQRFKTKLVGWVWELYGKENLFDAADPRLSGNYDMEQMERLLLVGLACAHPNYFDRPSKTQAVDILSSKAPLPVLLPEMPIPTYIVSPEDTI >KJB75004 pep chromosome:Graimondii2_0_v6:12:2210363:2213291:1 gene:B456_012G018600 transcript:KJB75004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSTSGPGLLFPKGGWENDETVEEAAVREAIEEAGVRGDLLDFVGDYNFKSKTHEDEFSPEGLCKAAMFALLVKEELNSWPEQSTRIRSWLTISQAIQNCRHAWMKEALEYGFCKWLAQKRKTTS >KJB75003 pep chromosome:Graimondii2_0_v6:12:2208413:2213322:1 gene:B456_012G018600 transcript:KJB75003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLVARTGRLQQRYENGYRLVAGCIPFRYRCLDESHHENSENVVEVLMINSTSGPGLLFPKGGWENDETVEEAAVREAIEEAGVRGDLLDFVGDYNFKSKTHEDEFSPEGLCKAAMFALLVKEELNSWPEQSTRIRSWLTISQAIQNCRHAWMKEALEYGFCKWLAQKRKTTS >KJB76080 pep chromosome:Graimondii2_0_v6:12:10298267:10306996:1 gene:B456_012G069900 transcript:KJB76080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDELKTKAMASNSTNVNPSKDIEASKTKEEGELSDSYDDENLDCSTAQSSGTIAPPLGPNSVLSAVKPIPPNLAGNAIAGNNIAGAVDVLSQQPIPPMSQKNVEKNQLPVKSTNRSWYAPSGGSNNLVICFSDDDSGSDSEECSRQKPVENKSNSIRDGTQRPLTSSAPKLNKLGQTSTNTTRAIPKKPLSRTFISSMTKINGGANPRIAVSSVDQGSQIRSFNPRNKSLACQDLLSEQGVVSNNSKLQDLRQQIALRESELKLKAAQQHKEIVLTSTMNLDNSGGRKWIATSAYGSVDPKEPDKKRLKLGDSNFTQSNSGAQPEVHHVKSNLVSKDQKLETKSLQSKDKVDHSKKVDPVSKTKSSIKWKKKDDKRVDVSLEDASKVVKDGVDMHKDLHQSVRTSREVDPSILANQTVALTNASASAMKNNLRYVELNHPTKVGLSNPRSSSPSKAVRELNLSKGNDYREVTSGDKTLDPHFSKRSQTSQDTASLWNSLGNVNIPGHSNVDVDIHSLVEIEEKLDKELEEAQKHRCLCEIEERNALKAYRKAQRALIEANARCTDLYRQRELCSARFRSLLVDDPCLLRSSRQHEHTRMGLDASDNVPENMDLVPISTHRLLPAYDGLNQPGYAANIQCINIARHNLSIQHDNGRNLGSEPCSEQDASTSEPFPHNSNNAANGVRSPCSPIISADEDEGTSLMDHDSVQPSPEYQQKKQNSEVIRKSANNESNNQDSLLLEATLRSELFARLGMRTSKNIDSCYNEPSMERGAENDVESEKTQISNGSVTLSEAEKKHQFDVSGPEKPNEVMPEALVLQEKNNIPKFYASANPKDNGISVGCQFSATSIIFAPSSILRSAMGHLKAMAPVTRQRVNHVYSEEVAYVNIDEMEQSGQIANSLEAICDLSRKGMGSYTCNIAIDPSWPLCMYELRGKCNNDECPFQHVKELSKRNTYMNGNDDSDSADSQLVLASCQQRSNGPTKPVKYNDVFISPTYIVSLDILKADPLESVVPWADAHSWWKYFSICLALSSFFQKDLPTDEPFFHEDDGRIEVRGSWNRQSSYFQSRNGIAKKLSQSQASDAKAQSLEMALLILNQEVNKVEGMKKALSLLSRSLEADPASETLWMVYLLICYSRRLFVGKDDMFSFAVRNNEGSYELWLMYINSRKQLDDRLGAYDAALSALCRGSSSSGKDVLHMSACILDLFLQMMDCLCMSGNVEKAIQKIYRLFPATTNSDGPRSMFTDILACLTISDKCVLWVSCIYLVIYRKLPDAVVQRLEREKELLPVKWPSVDLGNNEKKRAMQLLEMVVSCVDSYINTESFKSESDLRSAQLFALNHIKCLVALNSTDCCQSMFEKYRKVYPSCLELVLISARVPKYDSENLSFLGFKEALNNWPKGSPGIHCIWNQYAEYAQQNGKAGLAKELITCWYNSVWKVEYPETENLKPIDDGNSFVSWGTNSMSKSSVVVPNANQTDMMFGYLNLFLYNFLQNNNVDACSAIDQALRAATPMGFNHCVKEHALFLLNDESQKGDVPISWQINTLNMYLDTARSFQVSEPLSRHFITKIEKPRVQQLVRNILSPVSSDSSLVNLVLEVWHGPSLLPQNLTQPKDLVNFVEATLALVPSNYELMFSVCKVLSQGDCFRDVSPSLMFWASSTLVDAFFHAVPIAPEFVWVKAANIMDNVPGIETILTRFYKKALAVYPFSLTLWQSYHTLTDKTDDRNVMEEARERGIVLD >KJB76081 pep chromosome:Graimondii2_0_v6:12:10298267:10307007:1 gene:B456_012G069900 transcript:KJB76081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDELKTKAMASNSTNVNPSKDIEASKTKEEGELSDSYDDENLDCSTAQSSGTIAPPLGPNSVLSAVKPIPPNLAGNAIAGNNIAGAVDVLSQQPIPPMSQKNVEKNQLPVKSTNRSWYAPSGGSNNLVICFSDDDSGSDSEECSRQKPVENKSNSIRDGTQRPLTSSAPKLNKLGQTSTNTTRAIPKKPLSRTFISSMTKINGGANPRIAVSSVDQGSQIRSFNPRNKSLACQDLLSEQGVVSNNSKLQDLRQQIALRESELKLKAAQQHKEIVLTSTMNLDNSGGRKWIATSAYGSVDPKEPDKKRLKLGDSNFTQSNSGAQPEVHHVKSNLVSKDQKLETKSLQSKDKVDHSKKVDPVSKTKSSIKWKKKDDKRVDVSLEDASKVVKDGVDMHKDLHQSVRTSREVDPSILANQTVALTNASASAMKNNLRYVELNHPTKVGLSNPRSSSPSKAVRELNLSKGNDYREVTSGDKTLDPHFSKRSQTSQDTASLWNSLGNVNIPGHSNVDVDIHSLVEIEEKLDKELEEAQKHRCLCEIEERNALKAYRKAQRALIEANARCTDLYRQRELCSARFRSLLVDDPCLLRSSRQHEHTRMGLDASDNVPENMDLVPISTHRLLPAYDGLNQPGEQDASTSEPFPHNSNNAANGVRSPCSPIISADEDEGTSLMDHDSVQPSPEYQQKKQNSEVIRKSANNESNNQDSLLLEATLRSELFARLGMRTSKNIDSCYNEPSMERGAENDVESEKTQISNGSVTLSEAEKKHQFDVSGPEKPNEVMPEALVLQEKNNIPKFYASANPKDNGISVGCQFSATSIIFAPSSILRSAMGHLKAMAPVTRQRVNHVYSEEVAYVNIDEMEQSGQIANSLEAICDLSRKGMGSYTCNIAIDPSWPLCMYELRGKCNNDECPFQHVKELSKRNTYMNGNDDSDSADSQLVLASCQQRSNGPTKPVKYNDVFISPTYIVSLDILKADPLESVVPWADAHSWWKYFSICLALSSFFQKDLPTDEPFFHEDDGRIEVRGSWNRQSSYFQSRNGIAKKLSQSQASDAKAQSLEMALLILNQEVNKVEGMKKALSLLSRSLEADPASETLWMVYLLICYSRRLFVGKDDMFSFAVRNNEGSYELWLMYINSRKQLDDRLGAYDAALSALCRGSSSSGKDVLHMSACILDLFLQMMDCLCMSGNVEKAIQKIYRLFPATTNSDGPRSMFTDILACLTISDKCVLWVSCIYLVIYRKLPDAVVQRLEREKELLPVKWPSVDLGNNEKKRAMQLLEMVVSCVDSYINTESFKSESDLRSAQLFALNHIKCLVALNSTDCCQSMFEKYRKVYPSCLELVLISARVPKYDSENLSFLGFKEALNNWPKGSPGIHCIWNQYAEYAQQNGKAGLAKELITCWYNSVWKVEYPETENLKPIDDGNSFVSWGTNSMSKSSVVVPNANQTDMMFGYLNLFLYNFLQNNNVDACSAIDQALRAATPMGFNHCVKEHALFLLNDESQKGDVPISWQINTLNMYLDTARSFQVSEPLSRHFITKIEKPRVQQLVRNILSPVSSDSSLVNLVLEVWHGPSLLPQNLTQPKDLVNFVEATLALVPSNYELMFSVCKVLSQGDCFRDVSPSLMFWASSTLVDAFFHAVPIAPEFVWVKAANIMDNVPGIETILTRFYKKALAVYPFSLTLWQSYHTLTDKTDDRNVMEEARERGIVLD >KJB76079 pep chromosome:Graimondii2_0_v6:12:10298150:10308213:1 gene:B456_012G069900 transcript:KJB76079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDELKTKAMASNSTNVNPSKDIEASKTKEEGELSDSYDDENLDCSTAQSSGTIAPPLGPNSVLSAVKPIPPNLAGNAIAGNNIAGAVDVLSQQPIPPMSQKNVEKNQLPVKSTNRSWYAPSGGSNNLVICFSDDDSGSDSEECSRQKPVENKSNSIRDGTQRPLTSSAPKLNKLGQTSTNTTRAIPKKPLSRTFISSMTKINGGANPRIAVSSVDQGSQIRSFNPRNKSLACQDLLSEQGVVSNNSKLQDLRQQIALRESELKLKAAQQHKEIVLTSTMNLDNSGGRKWIATSAYGSVDPKEPDKKRLKLGDSNFTQSNSGAQPEVHHVKSNLVSKDQKLETKSLQSKDKVDHSKKVDPVSKTKSSIKWKKKDDKRVDVSLEDASKVVKDGVDMHKDLHQSVRTSREVDPSILANQTVALTNASASAMKNNLRYVELNHPTKVGLSNPRSSSPSKAVRELNLSKGNDYREVTSGDKTLDPHFSKRSQTSQDTASLWNSLGNVNIPGHSNVDVDIHSLVEIEEKLDKELEEAQKHRCLCEIEERNALKAYRKAQRALIEANARCTDLYRQRELCSARFRSLLVDDPCLLRSSRQHEHTRMGLDASDNVPENMDLVPISTHRLLPAYDGLNQPGEQDASTSEPFPHNSNNAANGVRSPCSPIISADEDEGTSLMDHDSVQPSPEYQQKKQNSEVIRKSANNESNNQDSLLLEATLRSELFARLGMRTSKNIDSCYNEPSMERGAENDVESEKTQISNGSVTLSEAEKKHQFDVSGPEKPNEVMPEALVLQEKNNIPKFYASANPKDNGISVGCQFSATSIIFAPSSILRSAMGHLKAMAPVTRQRVNHVYSEEVAYVNIDEMEQSGQIANSLEAICDLSRKGMGSYTCNIAIDPSWPLCMYELRGKCNNDECPFQHVKELSKRNTYMNGNDDSDSADSQLVLASCQQRSNGPTKPVKYNDVFISPTYIVSLDILKADPLESVVPWADAHSWWKYFSICLALSSFFQKDLPTDEPFFHEDDGRIEVRGSWNRQSSYFQSRNGIAKKLSQSQASDAKAQSLEMALLILNQEVNKVEGMKKALSLLSRSLEADPASETLWMVYLLICYSRRLFVGKDDMFSFAVRNNEGSYELWLMYINSRKQLDDRLGAYDAALSALCRGSSSSGKDVLHMSACILDLFLQMMDCLCMSGNVEKAIQKIYRLFPATTNSDGPRSMFTDILACLTISDKCVLWVSCIYLVIYRKLPDAVVQRLEREKELLPVKWPSVDLGNNEKKRAMQLLEMVVSCVDSYINTESFKSESDLRSAQLFALNHIKCLVALNSTDCCQSMFEKYRKVYPSCLELVLISARVPKYDSENLSFLGFKEALNNWPKGSPGIHCIWNQYAEYAQQNGKAGLAKELITCWYNSVWKVEYPETENLKPIDDGNSFVSWGTNSMSKSSVVVPNANQTDMMFGYLNLFLYNFLQNNNVDACSAIDQALRAATPMGFNHCVKEHALFLLNDESQKGDVPISWQINTLNMYLDTARSFQVSEPLSRHFITKIEKPRVQQLVRNILSPVSSDSSLVNLVLEVWHGPSLLPQNLTQPKDLVNFVEATLALVPSNYELMFSVCKVLSQGDCFRDVSPSLMFWASSTLVDAFFHAVPIAPEFVWVKAANIMDNVPGIETILTRFYKKALAVYPFSLTLWQSYHTLTDKTDDRNVMEEARERAATHEHA >KJB76370 pep chromosome:Graimondii2_0_v6:12:14344335:14345211:1 gene:B456_012G084700 transcript:KJB76370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPPPIDEHQKSQNLLLNDEIGRVVKIDYNAENGSRGHFVRMTIYVNREVRLKPKIRIDGTLQRVEYEALPNVCFGCGRYDHVQDVCPSLGGRDKGREDDSRKNFDTAELNCRVEKFGSWMLVGRKQRRKARNGREQNT >KJB77559 pep chromosome:Graimondii2_0_v6:12:31728924:31731074:-1 gene:B456_012G143700 transcript:KJB77559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNTTEAERWLTIAEKLLASRDLHGARTLAIRARELAPVPADQILAVTDTLMAAQSNPQDWYGILQLVPLTQSMEVVAGQYRKLAMFLNYGKNGLSFADQAFRLVSEAWNVLSNPSKKAIYDNELRFLQFGPVSQFGQQYHHHQQQQQHQQQPQLQQPQTQALFMQPPPPPKETQTLFMQQPPSAPPKETQTLFMQPPAPPPPKETQTLFMQPPAPSPPKETQTLFMQPPAPPPPKETQTQTLFMQPPAPPPPKETQTQTLFMQSPPKETQTQVTQPLFAIRSPTNNNKDGNAALEGGGQLGLNNNPPEPTRPAESTWARQMNQTGLAGPSQINQSGSVSSSHISRPEPTRTSQVNRPEPTQSSQVNQPEPTRTSQVNQIGVASSRELNRTESIRTVEINQTAPPPPPPAENTRTYPNGVTGKTDSTRPSQATESEGPTFWTACPYCYVLYEYPRVYEDCTLRCQTKSCRRAYHAVVIQSPPVNGKDTHFYCWGFFPIGISRNGKNKGGNFPGWSPISNMFACPIDKIAGKQKTATKPAPRVYYDDNDAYVEILDSSGPSDEDDDDDEWQKDERKKKKAKSAKGKGSAGRKPKKPQSERVNKGSNEQVSDNHSGNLNGAPIAPEGKPTAESSRRGVANSGRKQMVRGAKNLGKLDLNVEFSNEVEEPVPRRSEGNHAGYGEEDNMEGNGFFEGLDEFLSSLPILSAVGDDKVKAT >KJB77560 pep chromosome:Graimondii2_0_v6:12:31727211:31731402:-1 gene:B456_012G143700 transcript:KJB77560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNTTEAERWLTIAEKLLASRDLHGARTLAIRARELAPVPADQILAVTDTLMAAQSNPQDWYGILQLVPLTQSMEVVAGQYRKLAMFLNYGKNGLSFADQAFRLVSEAWNVLSNPSKKAIYDNELRFLQFGPVSQFGQQYHHHQQQQQHQQQPQLQQPQTQALFMQPPPPPKETQTLFMQQPPSAPPKETQTLFMQPPAPPPPKETQTLFMQPPAPSPPKETQTLFMQPPAPPPPKETQTQTLFMQPPAPPPPKETQTQTLFMQSPPKETQTQVTQPLFAIRSPTNNNKDGNAALEGGGQLGLNNNPPEPTRPAESTWARQMNQTGLAGPSQINQSGSVSSSHISRPEPTRTSQVNRPEPTQSSQVNQPEPTRTSQVNQIGVASSRELNRTESIRTVEINQTAPPPPPPAENTRTYPNGVTGKTDSTRPSQATESEGPTFWTACPYCYVLYEYPRVYEDCTLRCQTKSCRRAYHAVVIQSPPVNGKDTHFYCWGFFPIGISRNGKNKGGNFPGWSPISNMFACPIDKIAGKQKTATKPAPRVYYDDNDAYVEILDSSGPSDEDDDDDEWQKDERKKKKAKSAKGKGSAGRKPKKPQSERVNKGSNEQVSDNHSGNLNGAPIAPEGKPTAESSRRGVANSGRKQMVRGAKNLGKLDLNVEFSNEVEEPVPRRSEGNHAGYGEEDNMEGNGFFEGLDEFLSSLPILSAVGDDKVKAT >KJB77232 pep chromosome:Graimondii2_0_v6:12:29166797:29167465:1 gene:B456_012G126500 transcript:KJB77232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DMP7 [Source:Projected from Arabidopsis thaliana (AT4G28485) UniProtKB/Swiss-Prot;Acc:Q5XV67] MDTKAVEHKQPLLKNVEETAQEESRTTSEFPLLTAIVKQPKTKKQKIVRKTFKGTAVLSKLLPTGSALTFQILSPVLTNQGQCKTQVCQNLMLGLVAFCASLCFFLSFTDSVRDERGKVRYGVATFRGLWVMDGPVELPIEEANKYKVKLIDFFHALVSIMIFMALVLFDQNVVDCFGLKPSGDSNALLLMLLPASIGAIGCLLFVSFPSKRHGIGCPLSKN >KJB76792 pep chromosome:Graimondii2_0_v6:12:24129343:24131749:1 gene:B456_012G107500 transcript:KJB76792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAIKFFELNTGAKIPSVGLGTWQSEPGLVGAAVAAAVKIGYRHIDCAQVYGNEKEIGVVFKKLFEDGVVKREELFITSKLWCTDHSPEDVPAALERTLRDLQLDYVDLYLIHWPVRMKKGSAGFKPENFIQPDIPSTWRAMEALYDSGKARALGVSNFSSKKLGDLLAVARVPPAVNQVECHPSWQQAKLREFCKSKGVHLSGYSPLGSPGTTWLKSDVLKHPILNMVAQKLGKTPAQVALRWGLQMGHSVLPKSTHEERIKENFNVFDWSIPEDLFAKLSEIEQASCYNLSQQKCYYSVVRNYKWLD >KJB76791 pep chromosome:Graimondii2_0_v6:12:24129336:24131749:1 gene:B456_012G107500 transcript:KJB76791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAIKFFELNTGAKIPSVGLGTWQSEPGLVGAAVAAAVKIGYRHIDCAQVYGNEKEIGVVFKKLFEDGVVKREELFITSKLWCTDHSPEDVPAALERTLRDLQLDYVDLYLIHWPVRMKKGSAGFKPENFIQPDIPSTWRAMEALYDSGKARALGVSNFSSKKLGDLLAVARVPPAVNQVECHPSWQQAKLREFCKSKGVHLSGYSPLGSPGTTWLKSDVLKHPILNMVAQKLGKTPAQVALRWGLQMGHSVLPKSTHEERIKENFNVFDWSIPEDLFAKLSEIEQARLLRGNSFVHETFGPYRTVEELWDGEI >KJB76793 pep chromosome:Graimondii2_0_v6:12:24129343:24131749:1 gene:B456_012G107500 transcript:KJB76793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAIKFFELNTGAKIPSVGLGTWQSEPGLVGAAVAAAVKIGYRHIDCAQVYGNEKEIGVVFKKLFEDGVVKREELFITSKLWCTDHSPEDVPAALERTLRDLQLDYVDLYLIHWPVRMKKGSAGFKPENFIQPDIPSTWRAMEALYDSGKARALGVSNFSSKKLGDLLAVARVPPAVNQVECHPSWQQAKLREFCKSKGVHLSVSMQFLFHFVFDSRVLY >KJB75200 pep chromosome:Graimondii2_0_v6:12:3750685:3753466:-1 gene:B456_012G030500 transcript:KJB75200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEEIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KJB75199 pep chromosome:Graimondii2_0_v6:12:3750468:3753431:-1 gene:B456_012G030500 transcript:KJB75199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEEIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KJB75198 pep chromosome:Graimondii2_0_v6:12:3750644:3753537:-1 gene:B456_012G030500 transcript:KJB75198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEEIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >KJB75201 pep chromosome:Graimondii2_0_v6:12:3751228:3752544:-1 gene:B456_012G030500 transcript:KJB75201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEEIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQVKYFCFYLAHVLHFL >KJB78068 pep chromosome:Graimondii2_0_v6:12:34472497:34477050:1 gene:B456_012G175300 transcript:KJB78068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPITSFSLFRSRLPAITTVLRRHSPPYRHLFILSAKQTPSNSRQNVSKKPQPNKNLLKAKHTFKDYSSLAPVLAPNETPSLSDSQAVGAVAAAQANFMRVIVQREPEDGMKTGLELLCVVRAVLKKIKRRVLVGDKVVVGSIDWVERRGVIENVFQRSSEIVDPPVANVDRLLVLFSMEQPPVEPFMLTRFLVEAESYSIPLTLALNKTELVDEEISGSKWFEDQRVGEVSTRSGRGKHTTRHVSLLPLLGGGYVADTPGFNQPSLLKVTKQSLAQAFPEIRKMLKDSEPAKCSFNDCLHLGEPGCIVKGDWERYPYYFQLLDEIRIREEFQLRTFGTKREGDVRYKVGDMGVQQAEPRLEPKKHRRQSRKRINQSLLDELDELEDDDDSLDLENDPIVRAIENENQ >KJB78070 pep chromosome:Graimondii2_0_v6:12:34472578:34476992:1 gene:B456_012G175300 transcript:KJB78070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPITSFSLFRSRLPAITTVLRRHSPPYRHLFILSAKQTPSNSRQNVSKKPQPNKNLLKAKHTFKDYSSLAPVLAPNETPSLSDSQAVGAVAAAQANFMRVIVQREPEDGMKTGLELLCVVRAVLKKIKRRVLVGDKVVVGSIDWVERRGVIENVFQRSSEIVDPPVANVDRLLVLFSMEQPPVEPFMLTRFLVEAESYSIPLTLALNKTELVDEETLFAWKNRLRSWGYEPVFCSVETKNGLDSLAFYLRDQTTVIVGPSGVGKSSLINVLRSDHRTPDSLEGDNLFDPVVATVKSDEYSICVHISGSKWFEDQRVGEVSTRSGRGKHTTRHVSLLPLLGGGYVADTPGFNQPSLLKVTKQSLAQAFPEIRKMLKDSEPAKCSFNDCLHLGEPGCIVKGDWERYPYYFQLLDEIRIREEFQLRTFGTKREGDVRYKVGDMGVQQAEPRLEPKKHRRQSRKRINQSLLDELDELEDDDDSLDLENDPIVRAIENENQ >KJB78069 pep chromosome:Graimondii2_0_v6:12:34472497:34477082:1 gene:B456_012G175300 transcript:KJB78069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPITSFSLFRSRLPAITTVLRRHSPPYRHLFILSAKQTPSNSRQNVSKKPQPNKNLLKAKHTFKDYSSLAPVLAPNETPSLSDSQAVGAVAAAQANFMRVIVQREPEDGMKTGLELLCVVRAVLKKIKRRVLVGDKVVVGSIDWVERRGVIENVFQRSSEIVDPPVANVDRLLVLFSMEQPPVEPFMLTRFLVEAESYSIPLTLALNKTELVDEETLFAWKNRLRSWGYEPVFCSVETKNGLDSLAFYLRDQTTVIVGPSGVGKSSLINVLRSDHRTPDSLEGDNLFDPISGSKWFEDQRVGEVSTRSGRGKHTTRHVSLLPLLGGGYVADTPGFNQPSLLKVTKQSLAQAFPEIRKMLKDSEPAKCSFNDCLHLGEPGCIVKGDWERYPYYFQLLDEIRIREEFQLRTFGTKREGDVRYKVGDMGVQQAEPRLEPKKHRRQSRKRINQSLLDELDELEDDDDSLDLENDPIVRAIENENQ >KJB74588 pep chromosome:Graimondii2_0_v6:12:13520600:13523233:-1 gene:B456_012G082000 transcript:KJB74588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRGRAVETLPKLMRSLRKDSASSTVTRTPALPSLRRAFSLYDQINLIDNVPDDQLRFQRYTETGFTVNGVNYEGSLLCVGNLVTSWGPRKFSEITPQSLSIFQIIRPIPEILILGCGRYIEPVDPELRRFIRSTGMKLEALDSKCCIYLQHTERGR >KJB74592 pep chromosome:Graimondii2_0_v6:12:13520640:13523233:-1 gene:B456_012G082000 transcript:KJB74592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRGRAVETLPKLMRSLRKDSASSTVTRTPALPSLRRAFSLYDQINLIDNVPDDQLRFQRYTETGFTVNGVNYEGSLLCVGNLVTSWGPRKFSEITPQSLSIFQIIRPIPGTLNL >KJB74590 pep chromosome:Graimondii2_0_v6:12:13521176:13523130:-1 gene:B456_012G082000 transcript:KJB74590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRGRAVETLPKLMRSLRKDSASSTVTRTPALPSLRRAFSLYDQINLIDNVPDDQLRFQRYTETGFTVNGVNYEGSLLCVGNLVTSWGPRKFSEITPQSLSIFQIIRPIPEILILGCGRYIEPVDPELRRFIRSTGMKLEALDSAQNLFSCCREMLHLLTTY >KJB74589 pep chromosome:Graimondii2_0_v6:12:13521656:13523130:-1 gene:B456_012G082000 transcript:KJB74589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRGRAVETLPKLMRSLRKDSASSTVTRTPALPSLRRAFSLYDQINLIDNVPDDQLRFQRYTETGFTVNGVNYEGSLLCVGNLVTSWGPRKFSEITPQSLSIFQIIRPIPEILILGCGRYIEPVDPELRRFIRSTGMKLEALDSKWTFHPLLVNLFLSLA >KJB74587 pep chromosome:Graimondii2_0_v6:12:13521783:13523130:-1 gene:B456_012G082000 transcript:KJB74587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRGRAVETLPKLMRSLRKDSASSTVTRTPALPSLRRAFSLYDQINLIDNVPDDQLRFQRYTETGFTVNGVNYEGSLLCVGNLVTSWGPRKFSEITPQSLSIFQIIRPIPEILILGCGRYIEPVDPELRRFIRSTGMKLEALDSVLSFSLEYFC >KJB74586 pep chromosome:Graimondii2_0_v6:12:13520594:13523269:-1 gene:B456_012G082000 transcript:KJB74586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRGRAVETLPKLMRSLRKDSASSTVTRTPALPSLRRAFSLYDQINLIDNVPDDQLRFQRYTETGFTVNGVNYEGSLLCVGNLVTSWGPRKFSEITPQSLSIFQIIRPIPEILILGCGRYIEPVDPELRRFIRSTGMKLEALDSRNAASTYNILNEEGRIVAAALLPYGVSS >KJB74591 pep chromosome:Graimondii2_0_v6:12:13520600:13523233:-1 gene:B456_012G082000 transcript:KJB74591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRGRAVETLPKLMRSLRKDSASSTVTRTPALPSLRRAFSLYDQINLIDNVPDDQLRFQRYTETGFTVNGVNYEGSLLCVGNLVTSWGPRKFSEITPQSLSIFQIIRPIPEILILGCGRYIEPVDPELRRFIRSTGMKLEALDSRNAASTYNILNEEGRIVAAALLPYGVSS >KJB74593 pep chromosome:Graimondii2_0_v6:12:13521224:13523233:-1 gene:B456_012G082000 transcript:KJB74593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRGRAVETLPKLMRSLRKDSASSTVTRTPALPSLRRAFSLYDQINLIDNVPDDQLRFQRYTETGFTVNGVNYEGSLLCVGNLVTSWGPRKFSEITPQSLSIFQIIRPIPEILILGCGRYIEPVDPELRRFIRSTGMKLEALDSKCWLLLMEVI >KJB76738 pep chromosome:Graimondii2_0_v6:12:23445236:23447734:1 gene:B456_012G104300 transcript:KJB76738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACWSNCIKSVSSSGTGVDSRSRSRNGNNFSSSRVSSASISQTPRSEGEIWQSSNLKTFTFSELKTATRNFSPDTVLGAGGFGSVFKGWIDEHSLTATKPGTGIVTAVKRLNKEGFQGHKEWLAEINYLGQLHHPNLVKLIGYCLEDEHRLLVYEFMAWGSMENHLFRRGSYFPPLPWGIRMKVALGAAKGLAFLHNAKTQVIYRDFKTSNILLDSNYNTKLSDFGLARDGPTGDRSHVSTRVMGTYGYAAPEYLATGHLTAKSDIYSFGVVLLEMLSGRRAVDKNRPSREHNLVDWAKP >KJB76739 pep chromosome:Graimondii2_0_v6:12:23445236:23447841:1 gene:B456_012G104300 transcript:KJB76739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACWSNCIKSVSSSGTGVDSRSRSRNGNNFSSSRVSSASISQTPRSEGEIWQSSNLKTFTFSELKTATRNFSPDTVLGAGGFGSVFKGWIDEHSLTATKPGTGIVTAVKRLNKEGFQGHKEWLAEINYLGQLHHPNLVKLIGYCLEDEHRLLVYEFMAWGSMENHLFRRGSYFPPLPWGIRMKVALGAAKGLAFLHNAKTQVIYRDFKTSNILLDSNYNTKLSDFGLARDGPTGDRSHVSTRVMGTYGYAAPEYLATALELFFLKCYPADEL >KJB77471 pep chromosome:Graimondii2_0_v6:12:31259354:31262846:-1 gene:B456_012G138900 transcript:KJB77471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCRGPSKGNLYKGYNTSSHRSSSNNNNNNPPSKPLIPRESGEETGENDNHSASISASEQESIMKLGNDNQTYFVMGHKTPNIRDLYTLGPKLGQGQFGTTYLCTELSTGIEYACKSISKRKLVAKEDLDDVRREIQIMYHLAGHNNIVTIKGTYEDSLYVHIVMELCSGGELFDRIIQRGHYTERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLQKHYGPEADVWTAGVILYILLSGIPPFWGETQQGIFDAVLKGFIDFDSEPWPLISDSAKDLIRKMLCSQPSERLTAHKVLCHPWICENGVAPDKALDPAILSRLKQFSAMNKLKKLALRVIAESLSEEEIAGLREMFKSMDTDNSGAITFDELKAGLRRYGSTLKDAEIRALMDAADIDNSGTIDYGEFIAATVHLNKLEHEEHLVAAFQYFDKDRSGYITVDELQQAWAEYNLTDVFFEDIIREVDQDNV >KJB77470 pep chromosome:Graimondii2_0_v6:12:31259337:31263004:-1 gene:B456_012G138900 transcript:KJB77470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCRGPSKGNLYKGYNTSSHRSSSNNNNNNPPSKPLIPRESGEETGENDNHSASISASEQESIMKLGNDNQTYFVMGHKTPNIRDLYTLGPKLGQGQFGTTYLCTELSTGIEYACKSISKRKLVAKEDLDDVRREIQIMYHLAGHNNIVTIKGTYEDSLYVHIVMELCSGGELFDRIIQRGHYTERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLQKHYGPEADVWTAGVILYILLSGIPPFWGETQQGIFDAVLKGFIDFDSEPWPLISDSAKDLIRKMLCSQPSERLTAHKVLCHPWICENGVAPDKALDPAILSRLKQFSAMNKLKKLALRVIAESLSEEEIAGLREMFKSMDTDNSGAITFDELKAGLRRYGSTLKDAEIRALMDAADIDNSGTIDYGEFIAATVHLNKLEHEEHLVAAFQYFDKDRSGYITVDELQQAWAEYNLTDVFFEDIIREVDQDNDGRIDYGEFVDMMKKGNGWIGRQTMRNSLNISMRNSHDSQ >KJB75238 pep chromosome:Graimondii2_0_v6:12:4125052:4126041:-1 gene:B456_012G033600 transcript:KJB75238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRCTRQLILLVWLFLALGFIVCLRCQGSRTTPTTNVFKTKPKSQYTGHFVGFLPRHFPIPGSGPSRKHNDLGLQSWKSP >KJB75896 pep chromosome:Graimondii2_0_v6:12:9002730:9008599:1 gene:B456_012G063700 transcript:KJB75896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVSSPKLGGLSPPGCVSDPEEKEVSDEDDDDRNHKHRRRETRSQSLETDSMDPAFTKSYRKRNKFFENGQPFRENESQAGETWKSNNSLPLDKDLTSKFDRRRPGLASLPRGHVDVNQRVRSNQPFSGDSGPGRGRGRDNSSWNQRDSRFNSIDIASQMVHPGSVAPSLFAGRGLPNVSNAQSPSWGAFGMMPGIPNGGLDTLHPIGLPGVLRPPMNSSLNMGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVIEDVQSLSQFNLPVTVPSAQLLATPAAPRPLPSSVPPTTLMSSKGIHSKSSKSGMTDDALGLNGAYTGSTSASVADLYDPDQPLWNNNGPEASTAVSGLHSPKIDEAEPFLSGDISDRHHGRLHENADNELASRSIGSQGTSLSVWGRIGSPGSRTDTKEKIDLAQSAYPENETKEDQEAFPSSQGTSCQVKRISTEDDGSKFMDTSFKSQTDSMHNSRKPTQKALRTLFVNGIPLKSNKREALLSHFRKFGEVIDIYIPKNSERAFVQFSRREEAEAALKAPDAVMGNRFIKLWWANRDSVPDDGINSGSSVTVTSRGPTVFVIPAQAVVNRGGKDNLQPIAQKSNAVHGAAVPSVNSPKPSGVNGPKVQLPLQKKLETLEQMKEELRKKQEMLEQKRNDFRRQLDKLQKQSSGVKGDLPTEQAAKKQKVGIAADPAKASTPSLSEPGAPTTIPCTVGMTDKNKSTENVGSHGPTSNATMSLQESKSSKQQSCPSAPTGYPFLMNKYKLDNRPTAFRVIPPLPSGFADVDVLKEHFLQYGDLSAVEIEDVENDNDMGSEAMNNCSIVITYGTRWSAERAYVNGKCWQGNNLQFTWLTSSNSSNDPSSKETSSSTPKGTLEADVQTEGELEFSVSPEVIASGDKESKNSEGESFVENMALPEVSEHSSSPSSCMKESPKGDAC >KJB75895 pep chromosome:Graimondii2_0_v6:12:9002801:9009094:1 gene:B456_012G063700 transcript:KJB75895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVSSPKLGGLSPPGCVSDPEEKEVSDEDDDDRNHKHRRRETRSQSLETDSMDPAFTKSYRKRNKFFENGQPFRENESQAGETWKSNNSLPLDKDLTSKFDRRRPGLASLPRGHVDVNQRVRSNQPFSGDSGPGRGRGRDNSSWNQRDSRFNSIDIASQMVHPGSVAPSLFAGRGLPNVSNAQSPSWGAFGMMPGIPNGGLDTLHPIGLPGVLRPPMNSSLNMGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVIEDVQSLSQFNLPVTVPSAQLLATPAAPRPLPSSVPPTTLMSSKGIHSKSSKSGMTDDALGLNGAYTGSTSASVADLYDPDQPLWNNNGPEASTAVSGLHSPKIDEAEPFLSGDISDRHHGRLHENADNELASRSIGSQGTSLSVWGRIGSPGSRTDTKEKIDLAQSAYPENETKEDQEAFPSSQGTSCQVKRISTEDDGSKFMDTSFKSQTDSMHNSRKPTQKALRTLFVNGIPLKSNKREALLSHFRKFGEVIDIYIPKNSERAFVQFSRREEAEAALKAPDAVMGNRFIKLWWANRDSVPDDGINSGSSVTVTSRGPTVFVIPAQAVVNRGGKDNLQPIAQKSNAVHGAAVPSVNSPKPSGVNGPKVQLPLQKKLETLEQMKEELRKKQEMLEQKRNDFRRQLDKLQKQSSGVKGDLPTEQAAKKQKVGIAADPAKASTPSLSEPGAPTTIPCTVGMTDKNKSTENVGSHGPTSNATMSLQESKSSKQQSCPSAPTGYPFLMNKYKLDNRPTAFRVIPPLPSGFADVDVLKEHFLQYGDLSAVEIEDVENDNDMGSEAMNNCSIVITYGTRWSAERAYVNGKCWQGNNLQFTWLTSSNSSNDPSSKETSSSTPKGTLEADVQTEGELEFSVSPEVIASGDKESKNSEGESFVENMALPEVSEHSSSPSSCMKESPKGDAC >KJB75898 pep chromosome:Graimondii2_0_v6:12:9002813:9008926:1 gene:B456_012G063700 transcript:KJB75898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVSSPKLGGLSPPGCVSDPEEKEVSDEDDDDRNHKHRRRETRSQSLETDSMDPAFTKSYRKRNKFFENGQPFRENESQAGETWKSNNSLPLDKDLTSKFDRRRPGLASLPRGHVDVNQRVRSNQPFSGDSGPGRGRGRDNSSWNQRDSRFNSIDIASQMVHPGSVAPSLFAGRGLPNVSNAQSPSWGAFGMMPGIPNGGLDTLHPIGLPGVLRPPMNSSLNMGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVIEDVQSLSQFNLPVTVPSAQLLATPAAPRPLPSSVPPTTLMSSKGIHSKSSKSGMTDDALGLNGAYTGSTSASVADLYDPDQPLWNNNGPEASTAVSGLHSPKIDEAEPFLSGDISDRHHGRLHENADNELASRSIGSQGTSLSVWGRIGSPGSRTDTKEKIDLAQSAYPENETKEDQEAFPSSQGTSCQVKRISTEDDGSKFMDTSFKSQTDSMHNSRKPTQKALRTLFVNGIPLKSNKREALLSHFRKFGEVIDIYIPKNSERAFVQFSRREEAEAALKAPDAVMGNRFIKLWWANRDSVPDDGINSGSSVTVTSRGPTVFVIPAQAVVNRGGKDNLQPIAQKSNAVHGAAVPSVNSPKPSGVNGPKVQLPLQKKLETLEQMKEELRKKQEMLEQKRNDFRRQLDKLQKQSSGVKGDLPTEQAAKKQKVGIAADPAKASTPSLSEPGAPTTIPCTVGMTDKNKSTENVGSHGPTSNATMSLQESKSSKQQSCPSAPTGYPFLMNKYKLDNRPTAFRVIPPLPSGFADVDVLKEHFLQYGDLSAVEIEDVENDNDMGSEAMNNCSIVITYGTRWSAERAYVNGKCWQGNNLQFTWLTSSNSSNDPSSKETSSSTPKGTLEADVQTEGELEFSVSPEVIASGDKESKNSEGESFVENMALPEVSEHSSSPSSCMKESPKGDAC >KJB75897 pep chromosome:Graimondii2_0_v6:12:9002676:9009279:1 gene:B456_012G063700 transcript:KJB75897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVSSPKLGGLSPPGCVSDPEEKEVSDEDDDDRNHKHRRRETRSQSLETDSMDPAFTKSYRKRNKFFENGQPFRENESQAGETWKSNNSLPLDKDLTSKFDRRRPGLASLPRGHVDVNQRVRSNQPFSGDSGPGRGRGRDNSSWNQRDSRFNSIDIASQMVHPGSVAPSLFAGRGLPNVSNAQSPSWGAFGMMPGIPNGGLDTLHPIGLPGVLRPPMNSSLNMGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVIEDVQSLSQFNLPVTVPSAQLLATPAAPRPLPSSVPPTTLMSSKGIHSKSSKSGMTDDALGLNGAYTGSTSASVADLYDPDQPLWNNNGPEASTAVSGLHSPKIDEAEPFLSGDISDRHHGRLHENADNELASRSIGSQGTSLSVWGRIGSPGSRTDTKEKIDLAQSAYPENETKEDQEAFPSSQGTSCQVKRISTEDDGSKFMDTSFKSQTDSMHNSRKPTQKALRTLFVNGIPLKSNKREALLSHFRKFGEVIDIYIPKNSERAFVQFSRREEAEAALKAPDAVMGNRFIKLWWANRDSVPDDGINSGSSVTVTSRGPTVFVIPAQAVVNRGGKDNLQPIAQKSNAVHGAAVPSVNSPKPSGVNGPKVQLPLQKKLETLEQMKEELRKKQEMLEQKRNDFRRQLDKLQKQSSGVKGDLPTEQAAKKQKVGIAADPAKASTPSLSEPGAPTTIPCTVGMTDKNKSTENVGSHGPTSNATMSLQESKSSKQQSCPSAPTGYPFLMNKYKLDNRPTAFRVIPPLPSGFADVDVLKEHFLQYGDLSAVEIEDVENDNDMGSEAMNNCSIVITYGTRWSAERAYVNGKCWQGNNLQFTWLTSSNSSNDPSSKETSSSTPKGTLEADVQTEGELEFSVSPEVIASGDKESKNSEGESFVENMALPEVSEHSSSPSSCMKESPKGDAC >KJB76136 pep chromosome:Graimondii2_0_v6:12:11325831:11327077:-1 gene:B456_012G074300 transcript:KJB76136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWTILEGFLLLANALAILNEDRFLAPRGWSFSEFSAGRTKSFKGQLIGLIYATQYMRVPLILLNAICIFVKLVSG >KJB76134 pep chromosome:Graimondii2_0_v6:12:11325137:11327077:-1 gene:B456_012G074300 transcript:KJB76134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWTILEGFLLLANALAILNEDRFLAPRGWSFSEFSAGRTKSFKGQLIGLIYATQYMRVPLILLNAICIFVKLVSG >KJB76135 pep chromosome:Graimondii2_0_v6:12:11325081:11327195:-1 gene:B456_012G074300 transcript:KJB76135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWTILEGFLLLANALAILNEDRFLAPRGWSFSEFSAGRTKSFKGQLIGLIYATQYMRVPLILLNAICIFVKLVSG >KJB77608 pep chromosome:Graimondii2_0_v6:12:33039807:33042637:1 gene:B456_012G156600 transcript:KJB77608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLMNLLKACFRPRSDRFIHKNADTGGHQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQSQLESGCLSTHESGPYGTFVGVYDGHGGPETSRYVNDHLFQHLKRFTYEQQSMSVDVIRKAYQATEEGFLSLVARQWPMTPQLAAVGSCCLVGVVCGTNLYVANLGDSRAVLGRAIKETEEYLAIQLSAEHNACIESVRQELQLLHPDDSQIVVLKHNVWRVKGLIQIRNLYLGYYEIQQDTFELN >KJB77607 pep chromosome:Graimondii2_0_v6:12:33039736:33042637:1 gene:B456_012G156600 transcript:KJB77607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLMNLLKACFRPRSDRFIHKNADTGGHQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQSQLESGCLSTHESGPYGTFVGVYDGHGGPETSRYVNDHLFQHLKRFTYEQQSMSVDVIRKAYQATEEGFLSLVARQWPMTPQLAAVGSCCLVGVVCGTNLYVANLGDSRAVLGRAIKETEEYLAIQLSAEHNACIESVRQELQLLHPDDSQIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNREPLYPKFRLREPFKKPILSADPSISVHKLLPHDKFAIFASDGLWEHLTNQEAVDIVQKHSRTGSARRLVKTALREAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDSNLISKASSVKGPNISVRGGGINFPSNTLAPCTTPTETTG >KJB77609 pep chromosome:Graimondii2_0_v6:12:33039807:33042637:1 gene:B456_012G156600 transcript:KJB77609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLMNLLKACFRPRSDRFIHKNADTGGHQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQSQLESGCLSTHESGPYGTFVGVYDGHGGPETSRYVNDHLFQHLKRFTYEQQSMSVDVIRKAYQATEEGFLSLVARQWPMTPQLAAVGSCCLVGVVCGTNLYVANLGDSRAVLGRAIKETEEYLAIQLSAEHNACIESVRQELQLLHPDDSQIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNREPLYPKFRLREPFKKPILSADPSISVHKLLPHDKFAIFASDGLWEHLTNQEAVDIVQKHSRTVSLSLKSRALTYKNRCICNLSSVFGLFSCREVQGGS >KJB74700 pep chromosome:Graimondii2_0_v6:12:338750:342385:1 gene:B456_012G003000 transcript:KJB74700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLFFPYMQKVSQEFHQFEEFCETQKSNASMNNMVQTSAISEYYLGGEGDLFKAPEPIIEEPIVDLNPMTAAISLSFCGEDVITSQGFKAADIESIQNEQFLEVLYECEKDLMARAVIETPLSEVLDVKIPLKTDENQNHENEVLCDVQFQKSVSSDCLSSMEGMQGAAMKPNFLDFPGIDFGSAYGMRRAFSEGDMKDGVGHLILSFYDRLLAMVMRVSSILLLSDR >KJB74699 pep chromosome:Graimondii2_0_v6:12:338750:342385:1 gene:B456_012G003000 transcript:KJB74699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLFFPYMQKVSQEFHQFEEFCETQKSNASMNNMVQTSAISEYYLGGEGDLFKAPEPIIEEPIVDLNPMTAAISLSFCGEDVITSQGFKAADIESIQNEQFLEVLYECEKDLMARAVIETPLSEVLDVKIPLKTDENQNHENEVLCDVQFQKSVSSDCLSSMEGMQGAAMKPNFLDFPGIDFGSAYGMRRAFSEGDMKTLGNGNASVIHSPLERPIIVSSCSTKDRREKLSRYRNKKTKRNFGRKIKVTETHGYFSYSCN >KJB74698 pep chromosome:Graimondii2_0_v6:12:338627:342385:1 gene:B456_012G003000 transcript:KJB74698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLFFPYMQKVSQEFHQFEEFCETQKSNASMNNMVQTSAISEYYLGGEGDLFKAPEPIIEEPIVDLNPMTAAISLSFCGEDVITSQGFKAADIESIQNEQFLEVLYECEKDLMARAVIETPLSEVLDVKIPLKTDENQNHENEVLCDVQFQKSVSSDCLSSMEGMQGAAMKPNFLDFPGIDFGSAYGMRRAFSEGDMKTLGNGNASVIHSPLERPIIVSSCSTKDRREKLSRYRNKKTKRNFGRKIKYACRKALADCQPRIRGRFAKTEESNNSKRQ >KJB75398 pep chromosome:Graimondii2_0_v6:12:4945312:4950311:-1 gene:B456_012G039900 transcript:KJB75398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTVFKRTTSLASRRILAASAQTLLHHFHSTATVAAAARDAETCFINRFKPFPGFPASAAKGFHAKSGPLYFRASTSLQAEYAVEDYVEEKGSEALEISNLGIAPEIVSALKNRGITKLFPIQRAVLEPAMQGRDMIGRAKTGTGKTLAFGIPIMDKIIRYNAKHGRGRNPMCLVLAPTRELAKQVEKEFHESAPNLDTICVYGGTPISRQMRQLDYGVDVAVGTPGRIIDLLKRGALNLSEVQFLVLDEADQMLQVGFAEDVETILERLPANRQSMMFSATMPNWIRSLTQKHLKNPLTIDLVGENDQKLAEGISLYSIAADMRGKAGILGPLITEHAKGGKCIVFTQTKRDADRLAYAMARNFKCEALHGDISQSQRERTLSGFRDGHFNILVATDVAARGLDIPSVDLVIHYELPNTSETFVHRTGRTGRAGRKGSAILIYTQDQSRAVKVIEREVGCRFSELPRIEVDGGSTGMFNDIGAGGRFGGPRDRGYGDMGFGRSGRQAEYGFGRSGGNRGTGFGRSGSQFSDEMGGYGGRFGSNNRSGNFGGSASSRSSGFGSFGSRRTSGFGDFGSGNPGGFNDNRSGQTAGGFGGFGSGGFGDKHSRQSSASNGDSRSSRFSRFGVSDVDTDQSTGGTPF >KJB75400 pep chromosome:Graimondii2_0_v6:12:4943976:4950340:-1 gene:B456_012G039900 transcript:KJB75400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTVFKRTTSLASRRILAASAQTLLHHFHSTATVAAAARDAETCFINRFKPFPGFPASAAKGFHAKSGPLYFRASTSLQAEYAVEDYVEEKGSEALEISNLGIAPEIVSALKNRGITKLFPIQRAVLEPAMQGRDMIGRAKTGTGKTLAFGIPIMDKIIRYNAKHGRGRNPMCLVLAPTRELAKQVEKEFHESAPNLDTICVYGGTPISRQMRQLDYGVDVAVGTPGRIIDLLKRGALNLSEVQFLVLDEADQMLQVGFAEDVETILERLPANRQSMMFSATMPNWIRSLTQKHLKNPLTIDLVGENDQKLAEGISLYSIAADMRGKAGILGPLITEHAKGGKCIVFTQTKRDADRLAYAMARNFKCEALHGDISQSQRERTLSGFRDGHFNILVATDVAARGLDIPSVDLVIHYELPNTSETFVHRTGRTGRAGRKGSAILIYTQDQSRAVKVIEREVGCRFSELPRIEVDGGSTGMFNDIGAGGRFGGPRDRGYGDMGFGRSGRQAEYGFGRSGGNRGTGFGRSGSQFSDEMGGYGGRFGSNNRSGNFGGSASSRSSGFGSFGSRRTSGFGDFGSGNPGGFNDNRSGQTAGGFGGFGSGGFGDKHSRQSSASNGDSRSSRFSRFGVSDVDTDQSTGGTPF >KJB75399 pep chromosome:Graimondii2_0_v6:12:4944012:4950311:-1 gene:B456_012G039900 transcript:KJB75399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTVFKRTTSLASRRILAASAQTLLHHFHSTATVAAAARDAETCFINRFKPFPGFPASAAKGFHAKSGPLYFRASTSLQAEYAVEDYVEEKGSEALEISNLGIAPEIVSALKNRGITKLFPIQRAVLEPAMQGRDMIGRAKTGTGKTLAFGIPIMDKIIRYNAKHGRGRNPMCLVLAPTRELAKQVEKEFHESAPNLDTICVYGGTPISRQMRQLDYGVDVAVGTPGRIIDLLKRGALNLSEVQFLVLDEADQMLQVGFAEDVETILERLPANRQSMMFSATMPNWIRSLTQKHLKNPLTIDLVGENDQKLAEGISLYSIAADMRGKAGILGPLITEHAKGGKCIVFTQTKRDADRLAYAMARNFKCEALHGDISQSQRERTLSGFRDGHFNILVATDVAARGLDIPSVDLVIHYELPNTSETFVHRTGRTGRAGRKGSAILIYTQDQSRAVKVIEREVGCRFSED >KJB77129 pep chromosome:Graimondii2_0_v6:12:27763111:27766495:-1 gene:B456_012G121800 transcript:KJB77129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLMKKFNMDQVSASNVLNIWSGVSNFAPLIGAFISDAYVGRFATIAVASVASFLGMLTITLTAWIPQLQPPQCPAEQQLHGHCISPSKAQLGVLLTGLGLLSIGTGGIRPCSIPFGIDQFDPTTEEGAKGINSFFNWYYTTFTLVILLTLTLVVYIQDSVSWVLGLGIPTLLMAASIVLFLIGTRIYVHVKPQGSIFSSIAQVFVAAYNKRHLRLPAEGQEFGVFYDPPLKEYVLSKLPLTYQFRFLNKAAITTKNDLEDDGSPKKWWLCSIQDVEEVKCLIRIIPIWASGIISFTAMAQQGTFTLSQALKMNRHLGPKFQIPAGSISVISMLTIGIFLPVYDRIMVPSLRKITKHEGGITLLQRIGIGNFFSILAMVAAGVFEQKRRNSATLHPGAAPISVFWLSPQLILMGFCEAFNILGQIEFFNKQFPEHMRSIANSLIFCSLAGSSYLSSIVVNVVHHVTGGHGHPDWLTNDINAGRLDYFYYILAVMSALNFLYFLYVAHRYRYKGQVRIEPKSEPDVELSSM >KJB77128 pep chromosome:Graimondii2_0_v6:12:27763055:27766595:-1 gene:B456_012G121800 transcript:KJB77128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKSIKEKSSSFFLYFCSKGFKKPASPQQGTKGNAVSSEQKQPGGWKAMPFILGNETFERFATIGLLSNFMVYLMKKFNMDQVSASNVLNIWSGVSNFAPLIGAFISDAYVGRFATIAVASVASFLGMLTITLTAWIPQLQPPQCPAEQQLHGHCISPSKAQLGVLLTGLGLLSIGTGGIRPCSIPFGIDQFDPTTEEGAKGINSFFNWYYTTFTLVILLTLTLVVYIQDSVSWVLGLGIPTLLMAASIVLFLIGTRIYVHVKPQGSIFSSIAQVFVAAYNKRHLRLPAEGQEFGVFYDPPLKEYVLSKLPLTYQFRFLNKAAITTKNDLEDDGSPKKWWLCSIQDVEEVKCLIRIIPIWASGIISFTAMAQQGTFTLSQALKMNRHLGPKFQIPAGSISVISMLTIGIFLPVYDRIMVPSLRKITKHEGGITLLQRIGIGNFFSILAMVAAGVFEQKRRNSATLHPGAAPISVFWLSPQLILMGFCEAFNILGQIEFFNKQFPEHMRSIANSLIFCSLAGSSYLSSIVVNVVHHVTGGHGHPDWLTNDINAGRLDYFYYILAVMSALNFLYFLYVAHRYRYKGQVRIEPKSEPDVELSSM >KJB77114 pep chromosome:Graimondii2_0_v6:12:27567707:27572270:1 gene:B456_012G120500 transcript:KJB77114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQIQSELLNALTKILQTSKNPIDSLTPYISFLTPSLLHSLISSPSLSSQPSTLLSLHKLSLSLFPCLSSSPSFLLALLPRLLSHHKFSESKSLLLSFLSSDPQNTFFNSLIHHPSLSKLKPLLEISVSSYVQSGRPHLGFELFCLLKRLKKKPNLLTCNTLINGLIKFPSLHSIQLGKQVFYDSIKLGVIPQTSTFNIMILGCCLEGKFNEAISFIEKMKEFGCFPDNVTYNTILGFFCKKGRLKEAGNLLQDMKEKGLMPNRYTFNILVSGYCKVGWLKEARKVIDLMVQNDVLLDIWTYNMLINGLCGEGRIEEAVKLKDEMENSKVLPDVVTYNTLIDGYFKHGSSEEGFRLVEEMREKGMELNAVTNNILVKWYCKEGKMDEASERVRMMEESGFPPDKVTYNTLINGYCKAGKLGEAFEMMDLMGRKGFKMDTITLNTILHTLCKEKKLKEASELLSSASNRGYLLDEVSYGTLLAGYFKDGMADKALQLWSEMRKKEIIPSIITYNTIIGGLCQLGKTEQAISKFKELLRNGLVPDATTYNTIIHVYCKEGKVEKAFELHNKMVEKSLKPDVFTCNILLSGLCSDGMLEKALKLFNTWISEGKAIDQVTYNTMISGLCKEGRLEDAFHLVSEMKERSLGPDHYTYSSILGALASAGRMNEAEEFMSKMVEVENFREQSLQLKEQNVKTSEITEAYDPDSNACSEQIIELCNQGRYKDAMRIFEASNQKGVTLNKSTYIVLMEGLIKRRKRLSKAVQ >KJB77113 pep chromosome:Graimondii2_0_v6:12:27567707:27573117:1 gene:B456_012G120500 transcript:KJB77113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQIQSELLNALTKILQTSKNPIDSLTPYISFLTPSLLHSLISSPSLSSQPSTLLSLHKLSLSLFPCLSSSPSFLLALLPRLLSHHKFSESKSLLLSFLSSDPQNTFFNSLIHHPSLSKLKPLLEISVSSYVQSGRPHLGFELFCLLKRLKKKPNLLTCNTLINGLIKFPSLHSIQLGKQVFYDSIKLGVIPQTSTFNIMILGCCLEGKFNEAISFIEKMKEFGCFPDNVTYNTILGFFCKKGRLKEAGNLLQDMKEKGLMPNRYTFNILVSGYCKVGWLKEARKVIDLMVQNDVLLDIWTYNMLINGLCGEGRIEEAVKLKDEMENSKVLPDVVTYNTLIDGYFKHGSSEEGFRLVEEMREKGMELNAVTNNILVKWYCKEGKMDEASERVRMMEESGFPPDKVTYNTLINGYCKAGKLGEAFEMMDLMGRKGFKMDTITLNTILHTLCKEKKLKEASELLSSASNRGYLLDEVSYGTLLAGYFKDGMADKALQLWSEMRKKEIIPSIITYNTIIGGLCQLGKTEQAISKFKELLRNGLVPDATTYNTIIHVYCKEGKVEKAFELHNKMVEKSLKPDVFTCNILLSGLCSDGMLEKALKLFNTWISEGKAIDQVTYNTMISGLCKEGRLEDAFHLVSEMKERSLGPDHYTYSSILGALASAGRMNEAEEFMSKMVEVENFREQSLQLKEQNVKTSEITEAYDPDSNACSEQIIELCNQGRYKDAMRIFEASNQKGVTLNKSTYIVLMEGLIKRRKRLSKAVQ >KJB75859 pep chromosome:Graimondii2_0_v6:12:8654784:8658644:-1 gene:B456_012G061600 transcript:KJB75859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FKIFQDLGFSTHDVADIVASDPWILTRSVDDRIAPSISDLKTVLGSNDDVVKLLRTSAWFLKSDLQKTMMPNVVSTPNIEFLRNCGICSSQIVSYVFSFPRFFLLKPKSIKQFVERADALGFDRKSNMFLTAIRMLSSMTFRKLGFSEDDIMSTFRRTPQVFAVSERKIKQVTDFLLNRTNVGISFIISHPMVLICSLERRLKPRLLVIETLESKNSLRRKVSMTTIYKMPDKKFREKYVVPYLKELEEVSMSIVGT >KJB78063 pep chromosome:Graimondii2_0_v6:12:34447123:34449921:1 gene:B456_012G174900 transcript:KJB78063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRNGGYHGNGFRNRDSEFEVPRREIANSKDYEPSRNDSRNNERRDSVRVRQKDVKEREVVNGGSLSSSSRDSGGSSGGGGGSRGPKRCEFSRRVVDKEPGELSSESGSEDAIESELTIKNSEIAKAMDNGAQSPVGKKRKFSPIVWDIDDKVLSNSSKSRISPAVTAVPPPPAVPKVYNNSPNNVSGGTVQISPVRERKKQKLQSPSPVAATEIAGYSTLESRIDLDFSPPKEQVNNQDAGQLDDEDYVPTRHISSSRWASGDSSSGDEGEILEDEEMPKMRKKLSLLESAHKRFWNKSTTPELGELNREGSEGVRAKSSESDERAARSRSGSGNDSEKDDYMEIDVEHDRNDSSASESDRDAENESDSPGTPEPPAPPLRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSVVDVKEVVVGSNLDSIFMVMEYMEHDLKALMETMRQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNQGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGARQYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRTLGTPNETIWPGFSKLPGVKVNFVKHQLPALGDSVLAFWPPLVTVQ >KJB78065 pep chromosome:Graimondii2_0_v6:12:34446565:34450903:1 gene:B456_012G174900 transcript:KJB78065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRNGGYHGNGFRNRDSEFEVPRREIANSKDYEPSRNDSRNNERRDSVRVRQKDVKEREVVNGGSLSSSSRDSGGSSGGGGGSRGPKRCEFSRRVVDKEPGELSSESGSEDAIESELTIKNSEIAKAMDNGAQSPVGKKRKFSPIVWDIDDKVLSNSSKSRISPAVTAVPPPPAVPKVYNNSPNNVSGGTVQISPVRERKKQKLQSPSPVAATEIAGYSTLESRIDLDFSPPKEQVNNQDAGQLDDEDYVPTRHISSSRWASGDSSSGDEGEILEDEEMPKMRKKLSLLESAHKRFWNKSTTPELGELNREGSEGVRAKSSESDERAARSRSGSGNDSEKDDYMEIDVEHDRNDSSASESDRDAENESDSPGTPEPPAPPLRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSVVDVKEVVVGSNLDSIFMVMEYMEHDLKALMETMRQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNQGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGARQYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRTLGTPNETIWPGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGTPVLSDAGFDLLNKLLTYDPEKRITAEAALNHEWFREVPLPKTKAFMPTFPAQHAQDRRMRRMLKSPDPLQEQRRKELQQGELGTSGLFG >KJB78064 pep chromosome:Graimondii2_0_v6:12:34446565:34450903:1 gene:B456_012G174900 transcript:KJB78064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRNGGYHGNGFRNRDSEFEVPRREIANSKDYEPSRNDSRNNERRDSVRVRQKDVKEREVVNGGSLSSSSRDSGGSSGGGGGSRGPKRCEFSRRVVDKEPGELSSESGSEDAIESELTIKNSEIAKAMDNGAQSPVGKKRKFSPIVWDIDDKVLSNSSKSRISPAVTAVPPPPAVPKVYNNSPNNVSGGTVQISPVRERKKQKLQSPSPVAATEIAGYSTLESRIDLDFSPPKEQVNNQDAGQLDDEDYVPTRHISSSRWASGDSSSGDEGEILEDEEMPKMRKKLSLLESAHKRFWNKSTTPELGELNREGSEGVRAKSSESDERAARSRSGSGNDSEKDDYMEIDVEHDRNDSSASESDRDAENESDSPGTPEPPAPPLRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSVVDVKEVVVGSNLDSIFMVMEYMEHDLKALMETMRQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNQGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGARQYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRTLGTPNETIWPGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGTPVLSDAGFDLLNKLLTYDPEKRITAEAALNHEWFREVPLPKTKAFMPTFPAQHAQDRRMRRMLKSPDPLQEQRRKELQQGELGTSGLFG >KJB76332 pep chromosome:Graimondii2_0_v6:12:13926101:13930830:-1 gene:B456_012G083300 transcript:KJB76332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSDDSAEEGEAASRAAFLQSPPRFAGSSACDLDGMPKGSLGQTIGNSFCPSLGDFQRKTSLELSRFSDETFKHGGETNASSNVHGLKVGSASVVGPYATPKNAQKIQNAVSRIVGFESLGPSKLVEGISSDQVHSSSVIGVRDNETESGGSLVRKRLLSPLNSMLFSDQINSDPLDISYSSNQGNLSPLADKHRLPVLQDNKKANIGSQMKSTASSWSLPSCLGHGDIPYEKGGTASVFFTDGPLLGNKEPHLSCTFSYSPGVDQFQESSLLRSQTGAISISSQMATSPPVTLSPLGPKFSERITAAGGWRNLKKEMDDCSSTLKDIEQSVERFDSGSIFAPEEEEFEITSRSFEDTSFLHKEFSTSSLESSARLSWPLWQESAPTSPYKRFIKGFSGHPVRRSLVGSFEESLLSGRFFSGKLNQRIDGFLAVLSITGGAFSPQSQKLPFSVTSVDGDCFLLYYASIDLSRNSSSNKCRDQKQKRGLSIDESQPVRSRLRIPMKGRIQLVLSNPEKTPLHTFLCNYDLSDMPAGTKTFVRQKITLASSAPNSAELKPSFDTKVQDKVRLTSQENSPLCHNRHEKESSGIKGSEGLELLESTQPTGCNNDQCKDYACTDACQKSDRKVSHGCAKVNEHTNSVGSLRYALHLRFLCPSPKKGSKSFLRCKSDPGSVPQKTSIEKDGDRRFYLYNDLRVVFPQRHSDADEGKVMQSTICSLYIYTFNSTQVCLYHAICVLIRLLCNGS >KJB76330 pep chromosome:Graimondii2_0_v6:12:13925836:13931361:-1 gene:B456_012G083300 transcript:KJB76330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSDDSAEEGEAASRAAFLQSPPRFAGSSACDLDGMPKGSLGQTIGNSFCPSLGDFQRKTSLELSRFSDETFKHGGETNASSNVHGLKVGSASVVGPYATPKNAQKIQNAVSRIVGFESLGPSKLVEGISSDQVHSSSVIGVRDNETESGGSLVRKRLLSPLNSMLFSDQINSDPLDISYSSNQGNLSPLADKHRLPVLQDNKKANIGSQMKSTASSWSLPSCLGHGDIPYEKGGTASVFFTDGPLLGNKEPHLSCTFSYSPGVDQFQESSLLRSQTGAISISSQMATSPPVTLSPLGPKFSERITAAGGWRNLKKEMDDCSSTLKDIEQSVERFDSGSIFAPEEEEFEITSRSFEDTSFLHKEFSTSSLESSARLSWPLWQESAPTSPYKRFIKGFSGHPVRRSLVGSFEESLLSGRFFSGKLNQRIDGFLAVLSITGGAFSPQSQKLPFSVTSVDGDCFLLYYASIDLSRNSSSNKCRDQKQKRGLSIDESQPVRSRLRIPMKGRIQLVLSNPEKTPLHTFLCNYDLSDMPAGTKTFVRQKITLASSAPNSAELKPSFDTKVQDKVRLTSQENSPLCHNRHEKESSGIKGSEGLELLESTQPTGCNNDQCKDYACTDACQKSDRKVSHGCAKVNEHTNSVGSLRYALHLRFLCPSPKKGSKSFLRCKSDPGSVPQKTSIEKDGDRRFYLYNDLRVVFPQRHSDADEGKLNVEYHYPEDPRYFDISN >KJB76333 pep chromosome:Graimondii2_0_v6:12:13925836:13932392:-1 gene:B456_012G083300 transcript:KJB76333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSDDSAEEGEAASRAAFLQSPPRFAGSSACDLDGMPKGSLGQTIGNSFCPSLGDFQRKTSLELSRFSDETFKHGGETNASSNVHGLKVGSASVVGPYATPKNAQKIQNAVSRIVGFESLGPSKLVEGISSDQVHSSSVIGVRDNETESGGSLVRKRLLSPLNSMLFSDQINSDPLDISYSSNQGNLSPLADKHRLPVLQDNKKANIGSQMKSTASSWSLPSCLGHGDIPYEKGGTASVFFTDGPLLGNKEPHLSCTFSYSPGVDQFQESSLLRSQTGAISISSQMATSPPVTLSPLGPKFSERITAAGGWRNLKKEMDDCSSTLKDIEQSVERFDSGSIFAPEEEEFEITSRSFEDTSFLHKEFSTSSLESSARLSWPLWQESAPTSPYKRFIKGFSGHPVRRSLVGSFEESLLSGRFFSGKLNQRIDGFLAVLSITGGAFSPQSQKLPFSVTSVDGDCFLLYYASIDLSRNSSSNKCRDQKQKRGLSIDESQPVRSRLRIPMKGRIQLVLSNPEKTPLHTFLCNYDLSDMPAGTKTREGK >KJB76331 pep chromosome:Graimondii2_0_v6:12:13925753:13932392:-1 gene:B456_012G083300 transcript:KJB76331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSDDSAEEGEAASRAAFLQSPPRFAGSSACDLDGMPKGSLGQTIGNSFCPSLGDFQRKTSLELSRFSDETFKHGGETNASSNVHGLKVGSASVVGPYATPKNAQKIQNAVSRIVGFESLGPSKLVEGISSDQVHSSSVIGVRDNETESGGSLVRKRLLSPLNSMLFSDQINSDPLDISYSSNQGNLSPLADKHRLPVLQDNKKANIGSQMKSTASSWSLPSCLGHGDIPYEKGGTASVFFTDGPLLGNKEPHLSCTFSYSPGVDQFQESSLLRSQTGAISISSQMATSPPVTLSPLGPKFSERITAAGGWRNLKKEMDDCSSTLKDIEQSVERFDSGSIFAPEEEEFEITSRSFEDTSFLHKEFSTSSLESSARLSWPLWQESAPTSPYKRFIKGFSGHPVRRSLVGSFEESLLSGRFFSGKLNQRIDGFLAVLSITGGAFSPQSQKLPFSVTSVDGDCFLLYYASIDLSRNSSSNKCRDQKQKRGLSIDESQPVRSRLRIPMKGRIQLVLSNPEKTPLHTFLCNYDLSDMPAGTKTFVRQKITLASSAPNSAELKPSFDTKVQDKVRLTSQENSPLCHNRHEKESSGIKGSEGLELLESTQPTGCNNDQCKDYACTDACQKSDRKVSHGCAKVNEHTNSVGSLRYALHLRFLCPSPKKGSKSFLRCKSDPGSVPQKTSIEKDGDRRFYLYNDLRVVFPQRHSDADEGKLNVEYHYPEDPRYFDISN >KJB76334 pep chromosome:Graimondii2_0_v6:12:13928885:13932484:-1 gene:B456_012G083300 transcript:KJB76334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSDDSAEEGEAASRAAFLQSPPRFAGSSACDLDGMPKGSLGQTIGNSFCPSLGDFQRKTSLELSRFSDETFKHGGETNASSNVHGLKVGSASVVGPYATPKNAQKIQNAVSRIVGFESLGPSKLVEGISSDQVHSSSVIGVRDNETESGGSLVRKRLLSPLNSMLFSDQINSDPLDISYSSNQGNLSPLADKHRLPVLQDNKKANIGSQMKSTASSWSLPSCLGHGDIPYEKGGTASVFFTDGPLLGNKEPHLSCTFSYSPGVDQFQESSLLRSQTGAISISSQMATSPPVTLSPLGPKFSERITAAGGWRNLKKEMDDCSSTLKDIEQSVERFDSGSIFAPEEEEFEITSRSFEDTSFLHKEFSTSSLESSARLSWPLWQESAPTSPYKRFIKGFSGHPVRRSLVGSFEESLLSGRFFSGKLNQRIDGFLAVLSITGGAFSPQSQKLPFSVTSVDGDCFLLYYASIDLSRNSSSNKCRDQKQKRGLSIDESQPVRSRLRIPMKGRIQLVNASYQNLVGFFRVS >KJB78330 pep chromosome:Graimondii2_0_v6:12:35162983:35171346:1 gene:B456_012G184300 transcript:KJB78330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSEEKLKEESKGTTSGSKEKRNDEPKDTTTSSEDKRNEKPKGTGTRPEEPKSRRTLWDKFEDPMEGTKSDAGIKALRTWRELPPTHYMVKIKSFLSLVKSLEKREHKNYISDEFEASGFKWHLLLYPGVEKEKENPQVSLSLEFVSPKKLDKEIKAVVIFFLHDQVNNRYLSIQDTDTKRFSGKKKESELSPIVPLECFENPLNGYLVDDKCAFGVEVFVLEDDGKTRASFRTLIEESKKVILDVCVKKFISEKTRGVYSKPFTLGPNPEEAYKWRLHLSKGIDEKKDRYMSIYICLLQMENQTEFPLGWKMHLEFRLSLTYPNSNTISQQGKAWFSVEEKAWGFPKFIKLDALRDCDGVEIEAEFIKMSMERIEQKPKPKEESSPSNKPK >KJB78331 pep chromosome:Graimondii2_0_v6:12:35163253:35171346:1 gene:B456_012G184300 transcript:KJB78331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSEEKLKEESKGTTSGSKEKRNDEPKDTTTSSEDKRNEKPKGTGTRPEEPKSRRTLWDKFEDPMEGTKSDAGIKALRTWRELPPTHYMVKIKSFLSLVKSLEKREHKNYISDEFEASGFKWHLLLYPGVEKEKENPQVSLSLEFVSPKKLDKEIKAVVIFFLHDQVNNRYLSIQDTDTKRFSGKKKESELSPIVPLECFENPLNGYLVDDKCAFGVEVFVLEDDGKTRASFRTLIEESKKVILDVCVKKFISEKTRGVYSKPFTLGPNPEEAYKWRLHLSKGIDEKKDRYMSIYICLLQMENQTEFPLGWKMHLEFRLSLTYPNSNTISQQGKAWFSVEEKAWGFPKFIKLDALRDCDGVEIEAEFIKMSMERIEQKPKPKEESSPSNKPK >KJB78324 pep chromosome:Graimondii2_0_v6:12:35161488:35171346:1 gene:B456_012G184300 transcript:KJB78324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTTTGSKEKRNDEPKDTTTSSEDKRNEKPKGTGTRPEEPKSRRTLWDKFEDPMEGTKSDAGIKALRTWRELPPTHYMVKIKSFLSLVKSLEKREHKNYISDEFEASGFKWHLLLYPGVEKEKENPQVSLSLEFVSPKKLDKEIKAVVIFFLHDQVNNRYLSIQDTDTKRFSGKKKESELSPIVPLECFENPLNGYLVDDKCAFGVEVFVLEDDGKTRASFRTLIEESKKVILDVCVKKFISEKTRGVYSKPFTLGPNPEEAYKWRLHLSKGIDEKKDRYMSIYICLLQMENQTEFPLGWKMHLEFRLSLTYPNSNTISQQGKAWFSVEEKAWGFPKFIKLDALRDCDGVEIEAEFIKMSMERIEQKPKPKEESSPSNKPK >KJB78321 pep chromosome:Graimondii2_0_v6:12:35155116:35171346:1 gene:B456_012G184300 transcript:KJB78321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNWLNSVLRHKKPDSSKQEMEKPVEDQSRDEKGADKVKDGTKDIEVCSEDGFEDTWEEMKVLREDQEDKGEDTTSSRDTLGDKKGVATPMKDKAEDETKGTTTDLEEKRNEESKGTTSGSKEKRNDEPKDTTTSSEDKRNEKPKGTGTRPEEPKSRRTLWDKFEDPMEGTKSDAGIKALRTWRELPPTHYMVKIKSFLSLVKSLEKREHKNYISDEFEASGFKWHLLLYPGVEKEKENPQVSLSLEFVSPKKLDKEIKAVVIFFLHDQVNNRYLSIQDTDTKRFSGKKKESELSPIVPLECFENPLNGYLVDDKCAFGVEVFVLEDDGKTRASFRTLIEESKKVILDVCVKKFISEKTRGVYSKPFTLGPNPEEAYKWRLHLSKGIDEKKDRYMSIYICLLQMENQTEFPLGWKMHLEFRLSLTYPNSNTISQQGKAWFSVEEKAWGFPKFIKLDALRDCDGVEIEAEFIKMSMERIEQKPKPKEESSPSNKPK >KJB78328 pep chromosome:Graimondii2_0_v6:12:35162983:35171346:1 gene:B456_012G184300 transcript:KJB78328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSEEKLKEESKGTTSGSKEKRNDEPKDTTTSSEDKRNEKPKGTGTRPEEPKSRRTLWDKFEDPMEGTKSDAGIKALRTWRELPPTHYMVKIKSFLSLVKSLEKREHKNYISDEFEASGFKWHLLLYPGVEKEKENPQVSLSLEFVSPKKLDKEIKAVVIFFLHDQVNNRYLSIQDTDTKRFSGKKKESELSPIVPLECFENPLNGYLVDDKCAFGVEVFVLEDDGKTRASFRTLIEESKKVILDVCVKKFISEKTRGVYSKPFTLGPNPEEAYKWRLHLSKGIDEKKDRYMSIYICLLQMENQTEFPLGWKMHLEFRLSLTYPNSNTISQQGKAWFSVEEKAWGFPKFIKLDALRDCDGVEIEAEFIKMSMERIEQKPKPKEESSPSNKPK >KJB78325 pep chromosome:Graimondii2_0_v6:12:35162136:35171346:1 gene:B456_012G184300 transcript:KJB78325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSKEKLNEEPKGTTTDTKEKRNEEPKDTATGLEEKRNEEPKGMATGSEEKLKEESKGTTSGSKEKRNDEPKDTTTSSEDKRNEKPKGTGTRPEEPKSRRTLWDKFEDPMEGTKSDAGIKALRTWRELPPTHYMVKIKSFLSLVKSLEKREHKNYISDEFEASGFKWHLLLYPGVEKEKENPQVSLSLEFVSPKKLDKEIKAVVIFFLHDQVNNRYLSIQDTDTKRFSGKKKESELSPIVPLECFENPLNGYLVDDKCAFGVEVFVLEDDGKTRASFRTLIEESKKVILDVCVKKFISEKTRGVYSKPFTLGPNPEEAYKWRLHLSKGIDEKKDRYMSIYICLLQMENQTEFPLGWKMHLEFRLSLTYPNSNTISQQGKAWFSVEEKAWGFPKFIKLDALRDCDGVEIEAEFIKMSMERIEQKPKPKEESSPSNKPK >KJB78329 pep chromosome:Graimondii2_0_v6:12:35163253:35171346:1 gene:B456_012G184300 transcript:KJB78329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSEEKLKEESKGTTSGSKEKRNDEPKDTTTSSEDKRNEKPKGTGTRPEEPKSRRTLWDKFEDPMEGTKSDAGIKALRTWRELPPTHYMVKIKSFLSLVKSLEKREHKNYISDEFEASGFKWHLLLYPGVEKEKENPQVSLSLEFVSPKKLDKEIKAVVIFFLHDQVNNRYLSIQDTDTKRFSGKKKESELSPIVPLECFENPLNGYLVDDKCAFGVEVFVLEDDGKTRASFRTLIEESKKVILDVCVKKFISEKTRGVYSKPFTLGPNPEEAYKWRLHLSKGIDEKKDRYMSIYICLLQMENQTEFPLGWKMHLEFRLSLTYPNSNTISQQGKAWFSVEEKAWGFPKFIKLDALRDCDGVEIEAEFIKMSMERIEQKPKPKEESSPSNKPK >KJB78322 pep chromosome:Graimondii2_0_v6:12:35155116:35171346:1 gene:B456_012G184300 transcript:KJB78322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNWLNSVLRHKKPDSSKQEMEKPVEDQSRDEKDIEVCSEDGFEDTWEEMKVLREDQEDKGEDTTSSRDTLGDKKGVATPMKDKAEDETKGTTTDLEEKRNEESKGTTSGSKEKRNDEPKDTTTSSEDKRNEKPKGTGTRPEEPKSRRTLWDKFEDPMEGTKSDAGIKALRTWRELPPTHYMVKIKSFLSLVKSLEKREHKNYISDEFEASGFKWHLLLYPGVEKEKENPQVSLSLEFVSPKKLDKEIKAVVIFFLHDQVNNRYLSIQDTDTKRFSGKKKESELSPIVPLECFENPLNGYLVDDKCAFGVEVFVLEDDGKTRASFRTLIEESKKVILDVCVKKFISEKTRGVYSKPFTLGPNPEEAYKWRLHLSKGIDEKKDRYMSIYICLLQMENQTEFPLGWKMHLEFRLSLTYPNSNTISQQGKAWFSVEEKAWGFPKFIKLDALRDCDGVEIEAEFIKMSMERIEQKPKPKEESSPSNKPK >KJB78327 pep chromosome:Graimondii2_0_v6:12:35162709:35171346:1 gene:B456_012G184300 transcript:KJB78327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSKEKLNEEPKDTTTGSKEKPNEEPKGTTTSSEEKRNEELKGSTTSSKEKRNEEPKGTTTDTKEKRNEEPKDTATGLEEKRNEEPKGMATGSEEKLKEESKGTTSGSKEKRNDEPKDTTTSSEDKRNEKPKGTGTRPEEPKSRRTLWDKFEDPMEGTKSDAGIKALRTWRELPPTHYMVKIKSFLSLVKSLEKREHKNYISDEFEASGFKWHLLLYPGVEKEKENPQVSLSLEFVSPKKLDKEIKAVVIFFLHDQVNNRYLSIQDTDTKRFSGKKKESELSPIVPLECFENPLNGYLVDDKCAFGVEVFVLEDDGKTRASFRTLIEESKKVILDVCVKKFISEKTRGVYSKPFTLGPNPEEAYKWRLHLSKGIDEKKDRYMSIYICLLQMENQTEFPLGWKMHLEFRLSLTYPNSNTISQQGKAWFSVEEKAWGFPKFIKLDALRDCDGVEIEAEFIKMSMERIEQKPKPKEESSPSNKPK >KJB78323 pep chromosome:Graimondii2_0_v6:12:35159976:35171346:1 gene:B456_012G184300 transcript:KJB78323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGLEKKRNEEPKDTATGLEEKRNEEPKGMATGSEEKLKEESKGTTSGSKEKRNDEPKDTTTSSEDKRNEKPKGTGTRPEEPKSRRTLWDKFEDPMEGTKSDAGIKALRTWRELPPTHYMVKIKSFLSLVKSLEKREHKNYISDEFEASGFKWHLLLYPGVEKEKENPQVSLSLEFVSPKKLDKEIKAVVIFFLHDQVNNRYLSIQDTDTKRFSGKKKESELSPIVPLECFENPLNGYLVDDKCAFGVEVFVLEDDGKTRASFRTLIEESKKVILDVCVKKFISEKTRGVYSKPFTLGPNPEEAYKWRLHLSKGIDEKKDRYMSIYICLLQMENQTEFPLGWKMHLEFRLSLTYPNSNTISQQGKAWFSVEEKAWGFPKFIKLDALRDCDGVEIEAEFIKMSMERIEQKPKPKEESSPSNKPK >KJB78326 pep chromosome:Graimondii2_0_v6:12:35162709:35171346:1 gene:B456_012G184300 transcript:KJB78326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSKEKLNEEPKDTTTGSKEKPNEEPKGTTTSSEEKRNEELKGTTTDTKEKRNEEPKDTATGLEEKRNEEPKGMATGSEEKLKEESKGTTSGSKEKRNDEPKDTTTSSEDKRNEKPKGTGTRPEEPKSRRTLWDKFEDPMEGTKSDAGIKALRTWRELPPTHYMVKIKSFLSLVKSLEKREHKNYISDEFEASGFKWHLLLYPGVEKEKENPQVSLSLEFVSPKKLDKEIKAVVIFFLHDQVNNRYLSIQDTDTKRFSGKKKESELSPIVPLECFENPLNGYLVDDKCAFGVEVFVLEDDGKTRASFRTLIEESKKVILDVCVKKFISEKTRGVYSKPFTLGPNPEEAYKWRLHLSKGIDEKKDRYMSIYICLLQMENQTEFPLGWKMHLEFRLSLTYPNSNTISQQGKAWFSVEEKAWGFPKFIKLDALRDCDGVEIEAEFIKMSMERIEQKPKPKEESSPSNKPK >KJB75068 pep chromosome:Graimondii2_0_v6:12:2715993:2720458:-1 gene:B456_012G022200 transcript:KJB75068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIFGLTGANVSSQSVPCSHNVECRLKGSSCLYHKFIKLLKMLIRRARRCNHLKLLEKYCPLPSLDQKAGKSRTIVERSIMDKRGIKRSSGGVAKENHNTPETDNAELESTRPYCLKSQVLEFLWAVCRSLVPPELLGTPSNWRLLKRNIFRFIRLRRFEKFSVMQCMHQLKSLDFPFLSDNSNAWVVKNGSELKFGEAICSEHDIKRELLVNWILWFFSSLVVPLVQANFYVTEGECGKLDVFYYRKPVWQEFIDDAVSCLKGRNYLEMDEAAVRAIIRKRPFGFSRLRLCPKQNGVRLLANLNASSKMLQEKSSKFRCSWMRKSSKARSRIFKSKCVKSPNSVLRGVHAILKGLLLKEPEKLGSTVFDYKDVYTKLRPFIITLKNASTTMPGVPGIFIVAADVSKAFDSVDQDKLLRMMEDVIMEKKYILQQNREIVWLKNHLLVRQSLMLVDETVNLGFTNLVSSVSCRSLSGVVVNQGIFTALLKEHLFSHLYQLLKCNVLQLDKKFYLQKLGIPQGSILSTLLCSLYYGHMEKHVIFPYLEKPLEHSAETLSSRPFDSMDAQNSSEDPVIFPPTYLLLRFVDDFLFISTSKEQASGILSMFRQGFPDYNCYMNQEKFCLNFDIEHQSGILSNRAVTGDDSTLFVPWSGLLINSSTLEILGDYTRYLGKHLSSTLTVRWRGQPGNHLKHKLFSFMRPKCHSIFFDSSINSASVVRLNIYQTFLLSAMKFHCYVSELSDFFRPRRQYCLKIILKSFRHMHRLIEKQMALGGLSSGLCWDRKHKVVRDEVEWLGLTAFIEVLKRKQSRHKALLSMLRSKFFCHRITGKESSELRYAVERSHSSCLWKIKY >KJB75069 pep chromosome:Graimondii2_0_v6:12:2715993:2724087:-1 gene:B456_012G022200 transcript:KJB75069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRKRGWKAPMVLWQIFKNDARNLAKTIAFIIPRPSPPFTCGRCEGRSCLRCCEDPMSFLIRPDDPLDYVNLLNDCFVVVNGDAPFLDFYPDKRWTQEKLVVRVVEMMSSLRLTASNVICSGFNKHTNSSHIVELLTSSAWGILHERVGDKCMFHLLWHTTIFVPISDKKHLQVAGSPVNYFRKKSKEVKNPQSGRKRKRTYGSKSLSNGEFQGSSIQTAEKQKPFSRPFKWKRDKRHRLHSIPESKEESISRTFCFYEDCLPGSLVCLSNPNQATVPCSCYLMLKAPRKVSNSIEISRQSMFYNMECSSSVLPEGHILNTLVPNFSSSKCLMENIFGLTGANVSSQSVPCSHNVECRLKGSSCLYHKFIKLLKMLIRRARRCNHLKLLEKYCPLPSLDQKAGKSRTIVERSIMDKRGIKRSSGGVAKENHNTPETDNAELESTRPYCLKSQVLEFLWAVCRSLVPPELLGTPSNWRLLKRNIFRFIRLRRFEKFSVMQCMHQLKSLDFPFLSDNSNAWVVKNGSELKFGEAICSEHDIKRELLVNWILWFFSSLVVPLVQANFYVTEGECGKLDVFYYRKPVWQEFIDDAVSCLKGRNYLEMDEAAVRAIIRKRPFGFSRLRLCPKQNGVRLLANLNASSKMLQEKSSKFRCSWMRKSSKARSRIFKSKCVKSPNSVLRGVHAILKGLLLKEPEKLGSTVFDYKDVYTKLRPFIITLKNASTTMPGVPGIFIVAADVSKAFDSVDQDKLLRMMEDVIMEKKYILQQNREIVWLKNHLLVRQSLMLVDETVNLGFTNLVSSVSCRSLSGVVVNQGIFTALLKEHLFSHLYQLLKCNVLQLDKKFYLQKLGIPQGSILSTLLCSLYYGHMEKHVIFPYLEKPLEHSAETLSSRPFDSMDAQNSSEDPVIFPPTYLLLRFVDDFLFISTSKEQASGILSMFRQGFPDYNCYMNQEKFCLNFDIEHQSGILSNRAVTGDDSTLFVPWSGLLINSSTLEILGDYTRYLGKHLSSTLTVRWRGQPGNHLKHKLFSFMRPKCHSIFFDSSINSASVVRLNIYQTFLLSAMKFHCYVSELSDFFRPRRQYCLKIILKSFRHMHRLIEKQMALGGLSSGLCWDRKHKVVRDEVEWLGLTAFIEVLKRKQSRHKALLSMLRSKFFCHRITGKESSELRYAVERSHSSCLWKIKY >KJB76492 pep chromosome:Graimondii2_0_v6:12:16132243:16139305:-1 gene:B456_012G092000 transcript:KJB76492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPLPNGSGSNAATGPETAATTTAITVTSNTAPSSKLSHLTESLKLEHQFLRVPFEHFKKTIRTNHRTVEKEVSAVISSVTDVADSDEISKDDAILSLTSLVSRLQGIKRKLEEGSHAENLQAHRCRARLDHLDSADAENLSEWNNVRLKRVLVDYMSRMSYYDSAMKLAESSNIQELVDIDVFQEAKKVIDGLRNQEVGPALAWCADNKSRLKKSKSKFEFQLRLQEFVELVRAENYMRAISYARRYLSPWGATHLKEFQRVMATLAVRSHTECAKYKILLRR >KJB76495 pep chromosome:Graimondii2_0_v6:12:16133134:16139305:-1 gene:B456_012G092000 transcript:KJB76495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPLPNGSGSNAATGPETAATTTAITVTSNTAPSSKLSHLTESLKLEHQFLRVPFEHFKKTIRTNHRTVEKEVSAVISSVTDVADSDEISKDDAILSLTSLVSRLQGIKRKLEEGSHAENLQAHRCRARLDHLDSADAENLSEWNNVRLKRVLVDYMSRMSYYDSAMKLAESSNIQELVDIDVFQEAKKVIDGLRNQEVGPALAWCADNKSRLKKSKSKFEFQLRLQEFVELVRAENYMRAISYARRYLSPWGATHLKEFQRVMATLAVRSHTECAKYKVLFEPKQWDFLVDQFKQEFCRLYGMTLEPLLLTYLQAGLSALKTPYPCCNCNYITIYCLTYIICQLSSLRVGRVLIKSTYGEDTGILLFMLNAFSNQVILLSFQLLCTQANMIFRLTYD >KJB76493 pep chromosome:Graimondii2_0_v6:12:16133465:16139276:-1 gene:B456_012G092000 transcript:KJB76493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPLPNGSGSNAATGPETAATTTAITVTSNTAPSSKLSHLTESLKLEHQFLRVPFEHFKKTIRTNHRTVEKEVSAVISSVTDVADSDEISKDDAILSLTSLVSRLQGIKRKLEEGSHAENLQAHRCRARLDHLDSADAENLSEWNNVRLKRVLVDYMSRMSYYDSAMKLAESSNIQELVDIDVFQEAKKVIDGLRNQEVGPALAWCADNKSRLKKSKSKFEFQLRLQEFVELVRAENYMRAISYARRYLSPWGATHLKEFQRVMATLAVRSHTECAKYKVLFEPKQWDFLVDQFKQEFCRLYGMTLEPLLLTYLQAGLSALKTPYPCCNCNYITIYCLTYIICQLSSLRVGRVLIKSTYGEDTGILLFMLNAFSNQVILLSFQLLCTQANMIFRLTYD >KJB76491 pep chromosome:Graimondii2_0_v6:12:16132225:16139374:-1 gene:B456_012G092000 transcript:KJB76491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPLPNGSGSNAATGPETAATTTAITVTSNTAPSSKLSHLTESLKLEHQFLRVPFEHFKKTIRTNHRTVEKEVSAVISSVTDVADSDEISKDDAILSLTSLVSRLQGIKRKLEEGSHAENLQAHRCRARLDHLDSADAENLSEWNNVRLKRVLVDYMSRMSYYDSAMKLAESSNIQELVDIDVFQEAKKVIDGLRNQEVGPALAWCADNKSRLKKSKSKFEFQLRLQEFVELVRAENYMRAISYARRYLSPWGATHLKEFQRVMATLAVRSHTECAKYKVLFEPKQWDFLVDQFKQEFCRLYGMTLEPLLLTYLQAGLSALKTPYCYEDDCTKEDPLSQDSFRKLAMPLPYSKQHHSKLVCYITKELMDTENPPQVLPNGYVYSTKALKEMAEKNNGKITCPRTGLVCSYSDLVKAYIS >KJB76494 pep chromosome:Graimondii2_0_v6:12:16132537:16139276:-1 gene:B456_012G092000 transcript:KJB76494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPLPNGSGSNAATGPETAATTTAITVTSNTAPSSKLSHLTESLKLEHQFLRVPFEHFKKTIRTNHRTVEKEVSAVISSVTDVADSDEISKDDAILSLTSLVSRLQGIKRKLEEGSHAENLQAHRCRARLDHLDSADAENLSEWNNVRLKRVLVDYMSRMSYYDSAMKLAESSNIQELVDIDVFQEAKKVIDGLRNQEVGPALAWCADNKSRLKKSKSKFEFQLRLQEFVELVRAENYMRAISYARRYLSPWGATHLKEFQRVMATLAVRSHTECAKYKWDFLVDQFKQEFCRLYGMTLEPLLLTYLQAGLSALKTPYCYEDDCTKEDPLSQDSFRKLAMPLPYSKQHHSKLVCYITKELMDTENPPQVLPNGYVYSTKALKEMAEKNNGKITCPRTGLVCSYSDLVKAYIS >KJB76417 pep chromosome:Graimondii2_0_v6:12:15321819:15324845:-1 gene:B456_012G089500 transcript:KJB76417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKIGINGFGRIGRLVARVALQSDDIELVAVNDPFITTEYMTYMFKYDSVHGQWKHHELKVKDSKTLLFGEKPVTVFGIRNPEEIPWAEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLNIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKATYDEIKAAIKAESEGNLKGILGYVDEDLVSTDFIGDNRYYVIHF >KJB76416 pep chromosome:Graimondii2_0_v6:12:15321819:15324529:-1 gene:B456_012G089500 transcript:KJB76416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYMFKYDSVHGQWKHHELKVKDSKTLLFGEKPVTVFGIRNPEEIPWAEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLNIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKATYDEIKAAIKAESEGNLKGILGYVDEDLVSTDFIGDNRSSIFDAKAGIALNDNFVKLVTWYDNEWGYSSRVIDLIRHMSKA >KJB76419 pep chromosome:Graimondii2_0_v6:12:15322542:15324596:-1 gene:B456_012G089500 transcript:KJB76419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKIGINGFGRIGRLVARVALQSDDIELVAVNDPFITTEYMTYMFKYDSVHGQWKHHELKVKDSKTLLFGEKPVTVFGIRNPEEIPWAEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLNIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKATYDEIKAAIKAESEGNLKGILGYVDEDLVSTDFIGDNRYYVIVNTFLNCQFLTCLSYLCHILQKAMLLWLF >KJB76418 pep chromosome:Graimondii2_0_v6:12:15322168:15324596:-1 gene:B456_012G089500 transcript:KJB76418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKIGINGFGRIGRLVARVALQSDDIELVAVNDPFITTEYMTYMFKYDSVHGQWKHHELKVKDSKTLLFGEKPVTVFGIRNPEEIPWAEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLNIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKATYDEIKAAIKAESEGNLKGILGYVDEDLVSTDFIGDNRSSIFDAKAGIALNDNFVKLVTWYDNEWGYSSRVIDLIRHMSKA >KJB76420 pep chromosome:Graimondii2_0_v6:12:15321819:15324845:-1 gene:B456_012G089500 transcript:KJB76420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKIGINGFGRIGRLVARVALQSDDIELVAVNDPFITTEYMTYMFKYDSVHGQWKHHELKVKDSKTLLFGEKPVTVFGIRNPEEIPWAEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLNIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKGCFSFITQVLECFKFHCSCFSTLMHTW >KJB76745 pep chromosome:Graimondii2_0_v6:12:23557904:23558387:1 gene:B456_012G104900 transcript:KJB76745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCGSTEEGCTTPKHLMPEAFQCPPPPRKKSRGESKREPPKNGYFQPPDLDSLFTMKSRPEACA >KJB76113 pep chromosome:Graimondii2_0_v6:12:10703058:10704572:-1 gene:B456_012G072400 transcript:KJB76113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIENILLVYLIIGCFCEIISKNGVVEAFHKVHPHLQSKIELAVKQLHQTGYHFQPPMNWINDFNENRM >KJB77462 pep chromosome:Graimondii2_0_v6:12:31211072:31213113:-1 gene:B456_012G138300 transcript:KJB77462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCMRLCMRKLALWYTKTFKPLMTHDELEPIMATMGFVGLPPDHGTSPVVWKEYIYRASPGFLWRWSTKSSSCLGEPPTTEPPRPKLPYPRIDGLHIYTYRAFLDAVYFYLEICDISELFHIRGMPLYRSHDRSRKWRCMEEDDSVFVYREGTLEQTTYTLYHFNKTNTSYNGYSSIVIRDKGNNNNATVSSCFVPLKDIIV >KJB75868 pep chromosome:Graimondii2_0_v6:12:8726596:8734237:-1 gene:B456_012G062000 transcript:KJB75868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSGKKGTQEWCIATNLIVKSKFGSACVSFSPLAPYSAPSIILPLVVVIGATMAKEGVEDWRRNLQDIEANNRKVEVYDKSSCSFRQTKWKDLRVGELVKVCKDEYFPADILLLSSSYEDGVCYVETMNLDGETNLKLKHALNVTYFRSSAESLKEFRAVIKCEDPNEHLYSFIGTLYSDCQQYSLSPQQILLRDSKLKNTEYIFGVVIFTGHDTKVMQNATDPPSKRTKIERRMDRIIYVLFSALILVSFIGSLFFGIETNNDLSGGNYTRWYLRPDKTTVFFDPRRPAVAAFLHCLTGLMLYGYLIPISLYVSIEICKVLQSIFINQDQAMYDEEMDRPAHARTSNLNEELGQVHTILSDKTGTLTCNSMEFVKCSIAGTLYGRGMTEVEIALARKRGEQLTEQAPIDASESKKSIKGFNFRDERIMDGKWVSEPQRDVIQRFFRVLATCHTAVPEVMGPDEIMYEAESPDEAAFVIAAREVGFEFFVRNPTSIKLRELDPTSGIRVDRVYELLHVLEFSSARKRMSVIVKNPENQLLLLVKGADSVIFERLSKEGRAYEEQTKEHIEKYSEAGLRTMAVAYRELDDDEYKTWEQEFLKAKTSVSADRDVLMDELAGQIERDLILLGATAVEDKLQKGVPDCIDKLARAGIGIWVLTGDKRGTAVNIGYACSLIRHGMKQIVITLELLEILALEKEGDKEAIAEASLKSIKLQIRKAKSQVTEESSTEFGLIIDGKSLTYAMDKNLVDSFMDLAMRCATVICCRSSPKQKAVITRLVKSVTGRTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRISMMICYFFYKNITFGFTLFWFEAYTSFSGQPAYNDWYMSCYNVFFTSLPVIALGVFDQDVSARLCLKHPLLYQEGVRNILFNWPRILGWMFNGLLSSIIIYFLTTNSITGPAFRKDGQVADYSVLGVTMYTCVVWTVNCQMALSINYFTWIQHLFIWGSIAFWYIFLVVYGSIPPTVSTTAYKVFVEACAPSILYWLTTLLVVIAALLPLLSYRAFQIRFRPMEHDRIQIQRRRPESTEYDATQRRLSESSEARTSSELPSEVRIRIDSLKASSKRKN >KJB75867 pep chromosome:Graimondii2_0_v6:12:8726596:8734216:-1 gene:B456_012G062000 transcript:KJB75867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRKRSIQLSKLCSFACCRSSSRDDHAEIGQKGYSRVVYCNEPDSQEQIRLRYRGNYVSTTKYTAVNFIPKSLFEQFRRVANIYFLVVACVSFSPLAPYSAPSIILPLVVVIGATMAKEGVEDWRRNLQDIEANNRKVEVYDKSSCSFRQTKWKDLRVGELVKVCKDEYFPADILLLSSSYEDGVCYVETMNLDGETNLKLKHALNVTYFRSSAESLKEFRAVIKCEDPNEHLYSFIGTLYSDCQQYSLSPQQILLRDSKLKNTEYIFGVVIFTGHDTKVMQNATDPPSKRTKIERRMDRIIYVLFSALILVSFIGSLFFGIETNNDLSGGNYTRWYLRPDKTTVFFDPRRPAVAAFLHCLTGLMLYGYLIPISLYVSIEICKVLQSIFINQDQAMYDEEMDRPAHARTSNLNEELGQVHTILSDKTGTLTCNSMEFVKCSIAGTLYGRGMTEVEIALARKRGEQLTEQAPIDASESKKSIKGFNFRDERIMDGKWVSEPQRDVIQRFFRVLATCHTAVPEVMGPDEIMYEAESPDEAAFVIAAREVGFEFFVRNPTSIKLRELDPTSGIRVDRVYELLHVLEFSSARKRMSVIVKNPENQLLLLVKGADSVIFERLSKEGRAYEEQTKEHIEKYSEAGLRTMAVAYRELDDDEYKTWEQEFLKAKTSVSADRDVLMDELAGQIERDLILLGATAVEDKLQKGVPDCIDKLARAGIGIWVLTGDKRGTAVNIGYACSLIRHGMKQIVITLELLEILALEKEGDKEAIAEASLKSIKLQIRKAKSQVTEESSTEFGLIIDGKSLTYAMDKNLVDSFMDLAMRCATVICCRSSPKQKAVITRLVKSVTGRTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRISMMICYFFYKNITFGFTLFWFEAYTSFSGQPAYNDWYMSCYNVFFTSLPVIALGVFDQDVSARLCLKHPLLYQEGVRNILFNWPRILGWMFNGLLSSIIIYFLTTNSITGPAFRKDGQVADYSVLGVTMYTCVVWTVNCQMALSINYFTWIQHLFIWGSIAFWYIFLVVYGSIPPTVSTTAYKVFVEACAPSILYWLTTLLVVIAALLPLLSYRAFQIRFRPMEHDRIQIQRRRPESTEYDATQRRLSESSEARTSSELPSEVRIRIDSLKASSKRKN >KJB74538 pep chromosome:Graimondii2_0_v6:12:22554240:22556501:-1 gene:B456_012G101900 transcript:KJB74538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFSLVTGWPGPSGFGSSSTAEQVTQGIDGTNLTAIITGGASGIGLETSRVLALRGVHVIIGARNVKAANEAKKTILTEIETARVDVLELDLCSIRSIRAFADNFIALNLPLNILINNAGIMFCPFQLSQDGIEVQFATNHIGHFLLTELLLDKMKNTVKTTGIQGRIVNLSSIAHTHCYKNGIRFDHINAKEGYNDKRAYGQSKLANILHANELSRRLQEGGVNITVNSVHPGLIMTPLFRHSAVLMRGGDDLLRGPPPTAEGSHRQILCRLQ >KJB74537 pep chromosome:Graimondii2_0_v6:12:22554221:22556649:-1 gene:B456_012G101900 transcript:KJB74537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFSLVTGWPGPSGFGSSSTAEQVTQGIDGTNLTAIITGGASGIGLETSRVLALRGVHVIIGARNVKAANEAKKTILTEIETARVDVLELDLCSIRSIRAFADNFIALNLPLNILINNAGIMFCPFQLSQDGIEVQFATNHIGHFLLTELLLDKMKNTVKTTGIQGRIVNLSSIAHTHCYKNGIRFDHINAKEGYNDKRAYGQSKLANILHANELSRRLQEGGVNITVNSVHPGLIMTPLFRHSAVLMNFLKFFSFFLWKNVPQGAATTCYVALHPRLKGVTAKYFVDCNEMTPSSYARDEALARKLWDFSHKLINSVSKP >KJB74540 pep chromosome:Graimondii2_0_v6:12:22554814:22556501:-1 gene:B456_012G101900 transcript:KJB74540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFSLVTGWPGPSGFGSSSTAEQVTQGIDGTNLTAIITGGASGIGLETSRVLALRGVHVIIGARNVKAANEAKKTILTEIETARVDVLELDLCSIRSIRAFADNFIALNLPLNILINNAGIMFCPFQLSQDGIEVQFATNHIGHFLLTELLLDKMKNTVKTTGIQGRIVNLSSIAHTHCYKNGIRFDHINAKEGYNDKRAYGQSKLANILHANELSRRLQEGGVNITVNSVHPGLIMTPLFRHSAVLMSKPSHSKFFYF >KJB74541 pep chromosome:Graimondii2_0_v6:12:22555075:22556340:-1 gene:B456_012G101900 transcript:KJB74541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFSLVTGWPGPSGFGSSSTAEQVTQGIDGTNLTAIITGGASGIGLETSRVLALRGVHVIIGARNVKAANEAKKTILTEIETARVDVLELDLCSIRSIRAFADNFIALNLPLNILINNAGIMFCPFQLSQDGIEVQFATNHIGHFLLTELLLDKMKNTVKTTGIQGRIVNLSSIAHTHCYKNGIRFDHINAKEGYNDKRAYGQSKLANILHANELSRRLQVKLSISTYQYQVVWSHFFLNQPVKNLMLTYELVHTLNNHKFSSKSG >KJB74539 pep chromosome:Graimondii2_0_v6:12:22554240:22556501:-1 gene:B456_012G101900 transcript:KJB74539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEHHVLHFCLNVQFDYIYAGGASGIGLETSRVLALRGVHVIIGARNVKAANEAKKTILTEIETARVDVLELDLCSIRSIRAFADNFIALNLPLNILINNAGIMFCPFQLSQDGIEVQFATNHIGHFLLTELLLDKMKNTVKTTGIQGRIVNLSSIAHTHCYKNGIRFDHINAKEGYNDKRAYGQSKLANILHANELSRRLQEGGVNITVNSVHPGLIMTPLFRHSAVLMNFLKFFSFFLWKNVPQGAATTCYVALHPRLKGVTAKYFVDCNEMTPSSYARDEALARKLWDFSHKLINSVSKP >KJB76210 pep chromosome:Graimondii2_0_v6:12:12388588:12390768:1 gene:B456_012G077500 transcript:KJB76210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDCSQKLICLRDCCFHYLQAMKHSCNISHTILFSLILILVFKLFIYCDDFQQVTRSIFLAKLRFPGFLEEGDEIKLPPKDCDLFTGKWVLDKIAHPLYKEDECKFLSEQVTCIKNGRLDSLYQNWRWQPRDCSLPKFNARLLLEKLRGKRLMFVGDSLNRNQWESMVCLLLQSGVSGKKSRKKSGSLIIFSIKEYNTTVEFFWSPFLVESNSDNPWRHSIKDRIIKPESIKNNGDDWKGVDYLIFNTYIWWMNSGYMKVLTGRVR >KJB76209 pep chromosome:Graimondii2_0_v6:12:12388318:12390729:1 gene:B456_012G077500 transcript:KJB76209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDCSQKLICLRDCCFHYLQAMKHSCNISHTILFSLILILVFKLFIYCDDFQQVTRSIFLAKLRFPGFLEEGDEIKLPPKDCDLFTGKWVLDKIAHPLYKEDECKFLSEQVTCIKNGRLDSLYQNWRWQPRDCSLPKFNARLLLEKLRGKRLMFVGDSLNRNQWESMVCLLLQSGVSGKKSRKKSGSLIIFSIKEYNTTVEFFWSPFLVESNSDNPWRHSIKDRIIKPESIKNNGDDWKGVDYLIFNTYIWWMNSGYMKVLRGSFDAEPVEYDEIERPIAYGRVVKRWAKWVDENVDSKFTSVYFMSMSPTHIKSSDWNNPDGILCANETLPMTNLSIPPPYIGTDYRALQIVADVIQSMEVPVNFINITAMSEYRKDAHTSVYTTRQGQLITKEQQADPATYADCIHWCLPGLPDTWNELLYTHIISHS >KJB77127 pep chromosome:Graimondii2_0_v6:12:27718179:27722947:-1 gene:B456_012G121700 transcript:KJB77127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEAATISSDSLATVSNGPVLSFINKRLRALRKKYNRILQMEESVSQGKTLNKEQDDVLRSKPTVSALIDELEKLRQPLSSAVSEEISLALQRQTVSSSETTSQAQPDKTEPQEQLPNVPDHAIEDLLNLLYFGSLFDVKSQSDFTSTMLTRTHERGCCLTYDYVTDDATDLLNEKDLDLISTLSGLLTSRPADSSLSHKNALHRCIQHAMLWLSNSDQPVDPNADVSYAGLRERLNKIMALDYFTTTPEIKDPAEVAAAAGTYTSFQVPVHAVPISVPVQVEDSVGQYQQKAEDASNYQETETGDNQFSTTEELQKDPALQDNLEKENQAEDITVQEEEHGKLQVDNGESKEQQYVPRRPYQNQRGGRRGYFVGRGGRSSGRGGVSYQNGHNQYYDQPANHYSSNHYNNRGRGGRGGGGHAYNNHGGNPSADVGVAS >KJB76634 pep chromosome:Graimondii2_0_v6:12:20178528:20179624:-1 gene:B456_012G097700 transcript:KJB76634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHCSPRSFFGVENFLDDDNSKPYTYQKGKKSKNPNKHVSFKQRTEAYMEPFTLDVFISKRFVSTSVTHRVTCKQVAVAGTNSKDIKAVLRSRSDIPACLVIGRILAERAREADVYTTSYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >KJB76676 pep chromosome:Graimondii2_0_v6:12:21369369:21372440:1 gene:B456_012G100000 transcript:KJB76676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAKYTPINGGTTTAVTAEFNYLFSIIKTRRTVAFFMFAFVGFTVFLAFSPSSNSSSPWVTNIFSSTSSPATSSAGSYRSQSFSLFDYFFPNTSSSRTLNITSPSPSPSPSSSNSNTRSNNTATQVANNPSEDLLSAKKTNKTQSFNINKQRPSSENTTFRSPYSGLNNTKSSVLHANQTTVSPPPNLNQNPPSTNNQTGKKENSDKAQVLKSNQTTTVTEKTLEAANRSTNSPAKSESSNKLSSGKGEKIVGQKGVVSNYSASLTNKPSNGSDSGLTAKQEIDNLIDSLMNCDLFDGKWLKDDSYPLYKPGSCSFIDEQFSCVANGRPDRDYQKLKWKPKGCTLPRLNGGHMLELLRGKRLVFVGDSLNRNMWESLVCILRNAAKKPENVYEAHGRHYFRGEASYSFIFKDYNFTIEFFVSPFLVREWEMPDKTGAKKETLRLDLVGKSSDQYKSADILIFNTGHWWTHEKTSKGKDYYQEGSHVYNELNVLEAFRKALTTWARWVDASVNPMKTMVFFRGYSASHFR >KJB76673 pep chromosome:Graimondii2_0_v6:12:21369335:21372451:1 gene:B456_012G100000 transcript:KJB76673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAKYTPINGGTTTAVTAEFNYLFSIIKTRRTVAFFMFAFVGFTVFLAFSPSSNSSSPWVTNIFSSTSSPATSSAGSYRSQSFSLFDYFFPNTSSSRTLNITSPSPSPSPSSSNSNTRSNNTATQVANNPSEDLLSAKKTNKTQSFNINKQRPSSENTTFRSPYSGLNNTKSSVLHANQTTVSPPPNLNQNPPSTNNQTGKKENSDKAQVLKSNQTTTVTEKTLEAANRSTNSPAKSESSNKLSSGKGEKIVGQKGVVSNYSASLTNKPSNGSDSGLTAKQEIDNLIDSLMNCDLFDGKWLKDDSYPLYKPGSCSFIDEQFSCVANGRPDRDYQKLKWKPKGCTLPRLNGGHMLELLRGKRLVFVGDSLNRNMWESLVCILRNAAKKPENVYEAHGRHYFRGEASYSFIFKDYNFTIEFFVSPFLVREWEMPDKTGAKKETLRLDLVGKSSDQYKSADILIFNTGHWWTHEKTSKGKDYYQEGSHVYNELNVLEAFRKALTTWARWVDASVNPMKTMVFFRGYSASHFSGGQWNSGGACDSETRPIKNETYLKPYPPKMLVLESVLKGMKTHVTYLNITRLTDFRKDGHPSIYRKHLKQTLPEDERVAPLKYQDCSHWCLPGVPDSWNELLYAELLVKENKMRQHQRRAR >KJB76675 pep chromosome:Graimondii2_0_v6:12:21369369:21372440:1 gene:B456_012G100000 transcript:KJB76675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAKYTPINGGTTTAVTAEFNYLFSIIKTRRTVAFFMFAFVGFTVFLAFSPSSNSSSPWVTNIFSSTSSPATSSAGSYRSQSFSLFDYFFPNTSSSRTLNITSPSPSPSPSSSNSNTRSNNTATQVANNPSEDLLSAKKTNKTQSFNINKQRPSSENTTFRSPYSGLNNTKSSVLHANQTTVSPPPNLNQNPPSTNNQTGKKENSDKAQVLKSNQTTTVTEKTLEAANRSTNSPAKSESSNKLSSGKGEKIVGQKGVVSNYSASLTNKPSNGSDSGLTAKQEIDNLIDSLMNCDLFDGKWLKDDSYPLYKPGSCSFIDEQFSCVANGRPDRDYQKLKWKPKGCTLPRLNGGHMLELLRGKRLVFVGDSLNRNMWESLVCILRNAAKKPENVYEAHGRHYFRGEASYSFIFKDYNFTIEFFVSPFLVREWEMPDKTGAKKETLRLDLVGKSSDQYKSADILIFNTGHWWTHEKTSKGLVDYISM >KJB76674 pep chromosome:Graimondii2_0_v6:12:21369468:21371316:1 gene:B456_012G100000 transcript:KJB76674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAKYTPINGGTTTAVTAEFNYLFSIIKTRRTVAFFMFAFVGFTVFLAFSPSSNSSSPWVTNIFSSTSSPATSSAGSYRSQSFSLFDYFFPNTSSSRTLNITSPSPSPSPSSSNSNTRSNNTATQVANNPSEDLLSAKKTNKTQSFNINKQRPSSENTTFRSPYSGLNNTKSSVLHANQTTVSPPPNLNQNPPSTNNQTGKKENSDKAQVLKSNQTTTVTEKTLEAANRSTNSPAKSESSNKLSSGKGEKIVGQKGVVSNYSASLTNKPSNGSDSGLTAKQEIDNLIDSLMNCDLFDGKWLKDDSYPLYKPGSCSFIDEQFSCVANGRPDRDYQKLKWKPKGCTLPRLNGGHMLELLRGKRLVFVGDSLNRNMWESLVCILRNAAKKPENVYEAHGRHYFRGEASYSFIFKDYNFTIEFFVSPFLVREWEMPDKTGAKKETLRLDLVGKSSDQYKSADILIFNTGHWWTHEKTSKGLVDYISM >KJB77251 pep chromosome:Graimondii2_0_v6:12:29468446:29470841:1 gene:B456_012G127700 transcript:KJB77251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIGSEAQNRNMVNELNVDEGEYSEPVSPTGQYFNSSELSICVLAVLDSDIPIDDSPALALLKDVFLPINPRFSSLMVKDENGVKQWKKVEVKLEDHVNIPNFPPGLLPESYDNHLSNYLSKIAMEQLPHNRPLWNIHIIKYPTSNAAGNLIFKLHHSLGDGFSLMGALLSCLQRADNPSVPLTFPSLTSAPTTPSLSLKTNCIFKNIPNVLWSAFNTVSDFGWSLLKSSYVEDDISLIRSGGPGVEFKPIVVSTMTFSLDHIKQIKTKLGVTINDVITGIIFFGTRLYMQGGSNKLNNENSTALVLLNTRNIGGYKSVKEMVKPNPESAWGNQFGFLHVSLPELTTVQSCKPLDFVWKAQKLIQRKRNSRAVFLTGQLLECLRKFTGPEDIC >KJB77250 pep chromosome:Graimondii2_0_v6:12:29468446:29470646:1 gene:B456_012G127700 transcript:KJB77250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIGSEAQNRNMVNELNVDEGEYSEPVSPTGQYFNSSELSICVLAVLDSDIPIDDSPALALLKDVFLPINPRFSSLMVKDENGVKQWKKVEVKLEDHVNIPNFPPGLLPESYDNHLSNYLSKIAMEQLPHNRPLWNIHIIKYPTSNAAGNLIFKLHHSLGDGFSLMGALLSCLQRADNPSVPLTFPSLTSAPTTPSLSLKTNCIFKNIPNVLWSAFNTVSDFGWSLLKSSYVEDDISLIRSGGPGVEFKPIVVSTMTFSLDHIKQIKTKLGVTINDVITGIIFFGTRLYMQGGSNKLNNENSTALVLLNTRNIGGYKSVKEMVKPNPESAWGNQFGFLHVSLPELTTVQSCKPLDFVWKAQKLIQRKRNSRAVFLTGQLLECLRKFTGPEVCYFNFMIYIDLVFDILAE >KJB77252 pep chromosome:Graimondii2_0_v6:12:29468599:29470613:1 gene:B456_012G127700 transcript:KJB77252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIGSEAQNRNMVNELNVDEGEYSEPVSPTGQYFNSSELSICVLAVLDSDIPIDDSPALALLKDVFLPINPRFSSLMVKDENGVKQWKKVEVKLEDHVNIPNFPPGLLPESYDNHLSNYLSKIAMEQLPHNRPLWNIHIIKYPTSNAAGNLIFKLHHSLGDGFSLMGALLSCLQRADNPSVPLTFPSLTSAPTTPSLSLKTNCIFKNIPNVLWSAFNTVSDFGWSLLKSSYVEDDISLIRSGGPGVEFKPIVVSTMTFSLDHIKQIKTKLGVEHWRVQISEGDGETQS >KJB76159 pep chromosome:Graimondii2_0_v6:12:11725545:11728161:-1 gene:B456_012G076000 transcript:KJB76159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPSKADKKIAYDAKLCQLLDEYTQILIAAADNVGSNQLQNIRKGLRGDSVVLMGKNTMMKRSVRMHAEKTGNQAFLNLIPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLIVLSVYDNGSVFSPEVLDLTEDDLIEKFATGVSMVTALSLAISYPTLAAAPHMFINGYKNVLAVAVATEYSFPQADKVKEYLADPSKFAVAAAPVAAGGGAAPAAAAPVEEKKPEPEEESDDDMGFSLFD >KJB76158 pep chromosome:Graimondii2_0_v6:12:11725545:11728035:-1 gene:B456_012G076000 transcript:KJB76158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPSKADKKIAYDAKLCQLLDEYTQILIAAADNVGSNQLQNIRKGLRGDSVVLMGKNTMMKRSVRMHAEKTGNQAFLNLIPLLQGNVGLIFTKGDLKEVSEEVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLIVLSVYDNGSVFSPEVLDLTEDDLIEKFATGVSMVTALSLAISYPTLAAAPHMFINGYKNVLAVAVATEYSFPQADKVKEYLADPSKFAVAAAPVAAGGGAAPAAAAPVEEKKPEPEEESDDDMGFSLFD >KJB78148 pep chromosome:Graimondii2_0_v6:12:34899509:34905094:-1 gene:B456_012G180600 transcript:KJB78148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKFQVSLRYSSSKLTSLCHILSVNPFWTRILYFVFLSLLGFWGLNVLEPRTDSFKPRKFDLFFTSVSATTVSSMSTVEMEILSNPQLIIMTILMFIGGEVFTSMAGLFLSNFISEKNNVIDDGEIELGNPKPENLNPEQLAQNKGETFNNGEHLLYHSIMFLGFVILGYLLIVNILGSATVFLFISFVSSARSTLKSKGLNLFTFSIFTTISSFTNCGFIPTNENMVVFNKNSGLLLVIIALALLGNPLFPMCLRFSIWVMGKCVSKVGDYCDYLLKNTREIGFHHLFTTRRSCCVVGTALVFVVVQAVLFFAMEWNSASLKELNPFERTIGVLFQSVNTSQAGETIVNLPAMSTVILVVITIIMYFPPYTSIPFVKDEKKEQQNQEKREGKTAKELLSQLASICVFVFLICITERKNMKEDPFNFTPFNFLFEVVSAYGNVGYSLGYSCKLRLKDEANCVDKSYGFVGRWSDAGKTVLIVVMMLGRLKRYNMVWKFS >KJB74543 pep chromosome:Graimondii2_0_v6:12:23510240:23512896:-1 gene:B456_012G104700 transcript:KJB74543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDRYRQAQRPKYDCLLFDLDDTLYPLSSGISKECGKNIKDYMVEKLGIEKDKIVELSNLLYKNYGTTMAGLRAIGYDFDYDEYHSYVHGRLPYDNLKPDPQLRSLLLTLPLRKIIFTNADKVHAAKALIKLGLEDCFQGIICFETLNPTHKNTVSDDEDDIEFLGSDVATTDVPSSPEIFDIIGHFAEPKPGPSATLLPKTPIVCKPQESAIELALKIAKINPQRTLFFDDSVRNIQAGKCVGLHTVLVGTSQRPKGADYALESIHNIKQALPELWETDMKSEVNYSGQVTVETPVTA >KJB74542 pep chromosome:Graimondii2_0_v6:12:23510483:23511608:-1 gene:B456_012G104700 transcript:KJB74542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFFFCSCSDYMVEKLGIEKDKIVELSNLLYKNYGTTMAGLRAIGYDFDYDEYHSYVHGRLPYDNLKPDPQLRSLLLTLPLRKIIFTNADKVHAAKALIKLGLEDCFQGIICFETLNPTHKNTVSDDEDDIEFLGSDVATTDVPSSPEIFDIIGHFAEPKPGPSATLLPKTPIVCKPQESAIELALKIAKINPQRTLFFDDSVRNIQAGKCVGLHTVLVGTSQRPKGADYALESIHNIKQALPELWETDMKSEVNYSGQVTVETPVTA >KJB74545 pep chromosome:Graimondii2_0_v6:12:23510654:23512013:-1 gene:B456_012G104700 transcript:KJB74545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDRYRQAQRPKYDCLLFDLDDTLYPLSSGISKECGKNIKDYMVEKLGIEKDKIVELSNLLYKNYGTTMAGLRAIGYDFDYDEYHSYVHGRLPYDNLKPDPQLRSLLLTLPLRKIIFTNADKVHAAKALIKLGLEDCFQGIICFETLNPTHKNTVSDDEDDIEFLGSDVATTDVPSSPEIFDIIGHFAEPKPGPSATLLPKTPIVCKPQESAIELALKIAKINPQRTLFFDDSVRNIQAGKCVGLHTVLV >KJB74544 pep chromosome:Graimondii2_0_v6:12:23510240:23512013:-1 gene:B456_012G104700 transcript:KJB74544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDRYRQAQRPKYDCLLFDLDDTLYPLSSGISKECGKNIKDYMVEKLGIEKDKIVELSNLLYKNYGTTMAGLRAIGYDFDYDEYHSYVHGRLPYDNLKPDPQLRSLLLTLPLRKIIFTNADKVHAAKALIKLGLEDCFQGIICFETLNPTHKNTVSDDEDDIEFLGSDVATTDVPSSPEIFDIIGHFAEPKPGPSATLLPKTPIVCKPQESAIELALKIAKINPQRTLFFDDSVRNIQAGKCVGLHTVLVGTSQRPKGADYALESIHNIKQALPELWETDMKSEVNYSGQVTVETPVTA >KJB75073 pep chromosome:Graimondii2_0_v6:12:2808645:2820447:1 gene:B456_012G023000 transcript:KJB75073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA ligase (EC 6.5.1.2) [Source: Projected from Oryza sativa (Os11g0572100)] MSDIRKWFMKAHDKGKGKGNGTASKPANAAETKTDSVPGGQENSGRRKTSKYFPAEKQKPKDEQENEELPVKRKVQNESSEKAPPSKKPSKVDVDDDFVLPKSKNSVDVTPSKKLKSGSGKGVAQKAVDIDESDEDDVKDLKSPVKPGGKVRGGRGASKGPAGGRGRGGDIDESDEEDIKDLESPVKSGGRARGGRGASTGSASGRGRGGGRGGFMNFGERKDPPHKGEKEVPEGAPDCLTGLTFVISGTLDSLEREEAEDLIKRYGGRVTGSVSKKTNYLLCDEDIGGRKSSKAKELGTKFLTEDGLFDMIRASNHGKAPLKGQSNKSAVTVAPSLPKKSPQQTEVKATLTKSPSPSVSSAKKRGQPVQHSSLPWTEKYRPKVPNEITGNQSLVKQLHDWLTQWNKQFLGTGSKGKGKKQNDSGAKKAVLLSGTPGIGKTTSAKLVSQMLGFQTIEVNASDSRGKADANVSKGIGGSNANSIKELVSNEALGVNMDRSKHPKTVLIMDEVDGMSAGDRGGIADLIASIKISKIPIICICNDRYSQKLKSLVNYCLLLSYRKPTKQQMAKRLMQVANAEGLQVNEIALEELAERVNGDMRMALNQLQYMSLSMSVINYNDIKQRLLSSSKDEDMSPFTAVDKLFGFNGGKLRMDERIDLSMSDPDLVPLLVQENYINYRPSSIGKDDSGIKRMNSIARAAESIGDGDIINVQIRRYRQWQLSQTSALSSCIIPAALLHGQRETLEQGERNFNRFGGWLGKNSTMSKNYRLLEDLHVHFLASRESCSGRETLRLEYLTILLKRLTNPLRDMPKDEAVKQVLECMNAYSISQEDFDTIVELSKFQAFHQLSKQH >KJB75074 pep chromosome:Graimondii2_0_v6:12:2808645:2820447:1 gene:B456_012G023000 transcript:KJB75074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA ligase (EC 6.5.1.2) [Source: Projected from Oryza sativa (Os11g0572100)] MSDIRKWFMKAHDKGKGKGNGTASKPANAAETKTDSVPGGQENSGRRKTSKYFPAEKQKPKDEQENEELPVKRKVQNESSEKAPPSKKPSKVDVDDDFVLPKSKNSVDVTPSKKLKSGSGKGVAQKAVDIDESDEDDVKDLKSPVKPGGKVRGGRGASKGPAGGRGRGGGRGGFMNFGERKDPPHKGEKEVPEGAPDCLTGLTFVISGTLDSLEREEAEDLIKRYGGRVTGSVSKKTNYLLCDEDIGGRKSSKAKELGTKFLTEDGLFDMIRASNHGKAPLKGQSNKSAVTVAPSLPKKSPQQTEVKATLTKSPSPSVSSAKKRGQPVQHSSLPWTEKYRPKVPNEITGNQSLVKQLHDWLTQWNKQFLGTGSKGKGKKQNDSGAKKAVLLSGTPGIGKTTSAKLVSQMLGFQTIEVNASDSRGKADANVSKGIGGSNANSIKELVSNEALGVNMDRSKHPKTVLIMDEVDGMSAGDRGGIADLIASIKISKIPIICICNDRYSQKLKSLVNYCLLLSYRKPTKQQMAKRLMQVANAEGLQVNEIALEELAERVNGDMRMALNQLQYMSLSMSVINYNDIKQRLLSSSKDEDMSPFTAVDKLFGFNGGKLRMDERIDLSMSDPDLVPLLVQENYINYRPSSIGKDDSGIKRMNSIARAAESIGDGDIINVQIRRYRQWQLSQTSALSSCIIPAALLHGQRETLEQGERNFNRFGGWLGKNSTMSKNYRLLEDLHVHFLASRESCSGRETLRLEYLTILLKRLTNPLRDMPKDEAVKQVLECMNAYSISQEDFDTIVELSKFQAFHQLSKQH >KJB75295 pep chromosome:Graimondii2_0_v6:12:4442814:4443327:1 gene:B456_012G035900 transcript:KJB75295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALFTSLNALELVKEGYEDLEDATKEQLEELKNKTTDAGVLGMIQRGVLNVIFSRITRAKKSEEAWDILQQEFEGDMNHESINEYHSRLSELVNQIKAHGDMIDDRRVIDKILISLREKFDLMVVVIEKTKDLSIMTIRALMGSLRSYE >KJB76755 pep chromosome:Graimondii2_0_v6:12:23686975:23688951:-1 gene:B456_012G105500 transcript:KJB76755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSKSESRIAMENLLCDEVWLSSPGTPDLSHESEHYIWKGYGYADLFYTTKEDSEQALVICLKKEFSYMPEAGYLDYLQSKNLVFARFRAVQWLIKTCTRLNLSIGTVFNAVNYLDRFLSMSQCHGWKHWMMELLSVACLSIAAKFNETSLPSLDELQMEDLEHCFQPSTIQQMELMVLQALKWRLGSTTSYSYIELITSNYICDINYNLHKELINQVNRTLVKAILDFELVPYPPSVVAVSALWCSLEELVPSSYNAHLTKILKLINQDHEDDVMECRRIMKAWVVHPFYNMKVSEQYSHYYPPSPVTVLLTDRIDMINDCQVDLSVFKMPLAGSNAFKLDRESSGNRKRKKEEE >KJB77208 pep chromosome:Graimondii2_0_v6:12:29001029:29003977:1 gene:B456_012G125700 transcript:KJB77208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDHRRHGKRPLPPETSAEKRQGPDDFRTASWPESADTSAIVTALSQVIGSDDQQLIGFPQSSAPSSAQPHPGPPQLAVQDQENTTKRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAALAYDKAALKFKGTKAKLNFPERAQWNMEAPSPGDSSTMRSEQNPTPLAPPSSWSQESYPHLLQYAQLLSSSNDADISYYTSNLFNEQQPLSPQLPSMSASSQHYQQDLTRFSTEYQSSEYQGQYGKDFDPSNRSQ >KJB77619 pep chromosome:Graimondii2_0_v6:12:32488094:32490540:1 gene:B456_012G150900 transcript:KJB77619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFNGFHLVEKRFNGRIPDNFVKKFGDELSVAAALTVPDGHVWRVGIRKADKKVWFHEGWQEFVERYYIRAGYLLVFRYEGNSCFCVSIFSLYNSEINYQTNAFVGTQYYHRKPYPFEELEDDECISPALQNLFSRSKVNNCMNWSGEINFRALKGMNSQSFRGAVLSKPNKPGRKKQKFDHAEPDSSVGREYDVYTNFRLYESASARKRTVTTEERERAINTAKSFEPMNPFCRVVLRPSYLYRGCIMYLPSCFAEKYLSGVSGFIKLQLPDGRQWPVRCRYRGGKAKFSQGWYEFTLENNLGEGDVCIFELLRSREFVLKVTVFRVRESAPVSMKCRPELNQLTYSEHTLPDDVKPIRVDKSMPF >KJB77620 pep chromosome:Graimondii2_0_v6:12:32488908:32490416:1 gene:B456_012G150900 transcript:KJB77620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMCYDVYMHLNHSSPDFIICQITKPNLIWVLQRIPDNFVKKFGDELSVAAALTVPDGHVWRVGIRKADKKVWFHEGWQEFVERYYIRAGYLLVFRYEGNSCFCVSIFSLYNSEINYQTNAFVGTQYYHRKPYPFEELEDDECISPALQNLFSRSKVNNCMNWSGEINFRALKGMNSQSFRGAVLSKPNKPGRKKQKFDHAEPDSSVGREYDVYTNFRLYESASARKRTVTTEERERAINTAKSFEPMNPFCRVVLRPSYLYRGCIMYLPSCFAEKYLSGVSGFIKLQLPDGRQWPVRCRYRGGKAKFSQGWYEFTLENNLGEGDVCIFELLRSREFVLKVTVFRVRESAPVSMKCRPELNQLTYSEHTLPDDVKPIRVDKSMPF >KJB77618 pep chromosome:Graimondii2_0_v6:12:32487170:32490577:1 gene:B456_012G150900 transcript:KJB77618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPFFHKLILSTTLQHKKLRIPDNFVKKFGDELSVAAALTVPDGHVWRVGIRKADKKVWFHEGWQEFVERYYIRAGYLLVFRYEGNSCFCVSIFSLYNSEINYQTNAFVGTQYYHRKPYPFEELEDDECISPALQNLFSRSKVNNCMNWSGEINFRALKGMNSQSFRGAVLSKPNKPGRKKQKFDHAEPDSSVGREYDVYTNFRLYESASARKRTVTTEERERAINTAKSFEPMNPFCRVVLRPSYLYRGCIMYLPSCFAEKYLSGVSGFIKLQLPDGRQWPVRCRYRGGKAKFSQGWYEFTLENNLGEGDVCIFELLRSREFVLKVTVFRVRESAPVSMKCRPELNQLTYSEHTLPDDVKPIRVDKSMPF >KJB77870 pep chromosome:Graimondii2_0_v6:12:33401403:33404721:1 gene:B456_012G162000 transcript:KJB77870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQQWLLPMFLVFVSIIEMSCAESDELQILLKLKSALQNSNTDVFSSWIQGRSACNFNGVVCNSNGFVKEINLPHQQLIGYIPFGSICELKSLVKIDFGDNSLYGKVTDEDMKNCTGLQYLDLGLNSFSGQVPDLSPLNELKFLSLNSSGFSGHFPWKSLENLKELTFLSLGDNPFASSPFPQVVLYLEKLYWLYLTNCSITGQIPEGIHNLTRLRNLELADNTLSGPIPAGIIQLDKLWQLQLYNNSLSGNLPVGFGNLTSLVNFDASMNMLRGKLSELRSLNNLASLQLFENHFSGKIPEEFGEFKYLVGLSLYRNNLSGRIPPKIGSWSDFIFIDVSENFLTGPIPPDMCKNGKMVKLLLLQNNLTGTIPKSYANCKSLARFRVNNNLLSGIVPAGIWSLPNLALIDLTMNQFEGPVTGDIGNAKSLAQLLLSNNRFSGELPASISKASSLVSIHVSLNQFSGQIPGTIGELKSLGSLYLDGNMFSGTIPDSLGSCVSLHDVNLAGNSLYGEIPDSVGSLQSLNSLNLSKNTLSGEIPTSLSSLRLSLLDLSDNRLVGPIPKSLSVEVFKDGFEGNLGLCSSNLKDFQPCSSDSGTLSHLRTFLSCFIAGILVLVLSIGCYMFVKVRKTNLNHPLKQGSWEMKSFHMLSFTEKDITNGIKSENLIGKGGSGNVYKVELNGGKKLAVKHIWTSDSSNGKSYRSSATMLTKSKFRSQEYDAEVTALSAIRHVNVVKLFCSITSEDCSLLVYEYLPNGSLWDKLHSCPKIDMSWELRYAIALGAARGLKYLHHGYDRPVIHRDVKSSNILLDEEWKPRIADFGLAKIVQNGSGGDWTHFIAGTHGYIAPEYAYTCNINEKCDVYSFGVVLMELVTGKRPLEPEFGENKDIVHWIYTKLENNEILDEVVDMNIANALKEDVIKVLRIAVHCTAKIPVLRPSMRMVVQMLEEADPSKLTDIIVH >KJB74958 pep chromosome:Graimondii2_0_v6:12:1762351:1764290:-1 gene:B456_012G015600 transcript:KJB74958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKDDDYGEEEDSEYVLLDLEAVRGQIDIPPNAPYTLSGLDTMNPILIIDKKVKLSKYEETIGACFVFSEDEASPVVHEETGPLEANLFSGKYILDPNQAPRKQVKPVARLQKILKFRLLLDEDVQVETNSQNNSIL >KJB76222 pep chromosome:Graimondii2_0_v6:12:12605107:12606381:1 gene:B456_012G078200 transcript:KJB76222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGGDRVKGSWSPQEDANLIKLVEQHGPRNWSMISSSIPGRSGKSCRLRWCNQLSPAVQHRPFTAAEDAVIIQAHAVHGNKWATIARLLPGRTDNAIKNHWNSTLRRKRETELSSGSSESNSGDKRPSQDASESESGNKKQCLGLVLHEQEQEHENVGMLEPKTLLTLSPPGENMEEEAVVVVVKSEESGEEKQRAVVDETACLLSIMQRMIKEEVRSYIDKIIADQNPLK >KJB76618 pep chromosome:Graimondii2_0_v6:12:21182758:21184633:1 gene:B456_012G099600 transcript:KJB76618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYGEGDKRWIVEERPDGANVHNWHWAETDCLPWSKMFFTKSLSDLTILDGQGNLRIKTKKVEKVDGEAYVNIRKGKVIPGYEISLSVSWEGEAKDGEGKTLLKAEGNLEIPYISDENADEDPEVKVTVKDEGPIGKALKEAMLAKGKPLVLEKVRDFVQAMAKGGPVKDELEAKKSAPKTNTTTSNSNSAAVSKEKVGVEKEPKKEVEKEGKKGFKTITMLEKFNCRAKDMYEILMDENRWKGFTQSNARISKEVGGQFSIFDGSVTGINLELQEGKLIAQRWRFGSWPDGIDSTVNLFSMSLSLGLL >KJB76617 pep chromosome:Graimondii2_0_v6:12:21182703:21184654:1 gene:B456_012G099600 transcript:KJB76617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYGEGDKRWIVEERPDGANVHNWHWAETDCLPWSKMFFTKSLSDLTILDGQGNLRIKTKKVEKVDGEAYVNIRKGKVIPGYEISLSVSWEGEAKDGEGKTLLKAEGNLEIPYISDENADEDPEVKVTVKDEGPIGKALKEAMLAKGKPLVLEKVRDFVQAMAKGGPVKDELEAKKSAPKTNTTTSNSNSAAVSKEKVGVEKEPKKEVEKEGKKGFKTITMLEKFNCRAKDMYEILMDENRWKGFTQSNARISKEVGGQFSIFDGSVTGINLELQEGKLIAQRWRFGSWPDGIDSTVRLVFNEPEPGVTIIKLTHLDVPEEDRYGNATVVENTERGWRDLIFNKIRAVFGFGI >KJB75301 pep chromosome:Graimondii2_0_v6:12:4456254:4457353:-1 gene:B456_012G036100 transcript:KJB75301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEDGRSVNHLPPGFRFCPTDEELVLHFLYPKSLRLPCYSNIIPELDLHRLHPWELDGKALMSGKRYFFFSQKMENRVLENGYWKQLDMEEPIFSGGSGKVGVKKLFEFYIGGAPFGMKTNWVMQEYHLCNWGSALSFHYPKTKGIRKLDSNYKWVLCKVEEHKGNAQSFNYSDEDGSELSCLDEMFLSLDDDLDDISSSHFL >KJB76564 pep chromosome:Graimondii2_0_v6:12:17113532:17115525:1 gene:B456_012G094900 transcript:KJB76564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESRSIGFFFLLLIFSTCFGDQTLDKITELPGQPKNVGFNQYSGYVTVNQQAGRALFYWLIESPVSRKPESRPLVLWLNGGPGCSSIAYGAAEEIGPFRIRPDGKTLYLNRYAWNNLANVLFLESPAGVGFSYTNTTSDLYTTGDKRTAEDAYVFLVNWFERFPQYKHRDFYIAGESYAGHYVPQLSQLVYERNKGVQNPVINFKGFLVGNAVTDDYHDFIGTFEYWWTHGLISDSTYRSLRVACDLGSSTHPSLQCMNALRAAQIEQGNIDPYSIFTQPCKDTSTLRHNMRGHYVSSQSICSVFSSYEHYNGAFPLISNMNVCIISPLFYQ >KJB76569 pep chromosome:Graimondii2_0_v6:12:17113532:17117626:1 gene:B456_012G094900 transcript:KJB76569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESRSIGFFFLLLIFSTCFGDQTLDKITELPGQPKNVGFNQYSGYVTVNQQAGRALFYWLIESPVSRKPESRPLVLWLNGGPGCSSIAYGAAEEIGPFRIRPDGKTLYLNRYAWNNSNVLFLESPAGVGFSYTNTTSDLYTTGDKRTAEDAYVFLVNWFERFPQYKHRDFYIAGESYAGHYVPQLSQLVYERNKGVQNPVINFKGFLVGNAVTDDYHDFIGTFEYWWTHGLISDSTYRSLRVACDLGSSTHPSLQCMNALRAAQIEQGNIDPYSIFTQPCKDTSTLRHNMRGHYPWMSRAYDPCTERYSKVYFNRLEVQKALHANVTALSYPWQTCSDIVGNYWTDAPLSMLPIYKELIAAGLRIWVYSGDTDAVVPVTATRYSIDALKLPTVINWYPWYDNGKVGGWSQAYKGLTLVTVTGAGHEVPLHRPRQAFILFRSFLENKLMPS >KJB76568 pep chromosome:Graimondii2_0_v6:12:17113532:17117626:1 gene:B456_012G094900 transcript:KJB76568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCSWNLQLVLGFHTLTQHQIYTLPVIRELLKMHMFFWLTGLKGFHNTSIEISTLLVKVMQVGNAVTDDYHDFIGTFEYWWTHGLISDSTYRSLRVACDLGSSTHPSLQCMNALRAAQIEQGNIDPYSIFTQPCKDTSTLRHNMRGHYPWMSRAYDPCTERYSKVYFNRLEVQKALHANVTALSYPWQTCSDIVGNYWTDAPLSMLPIYKELIAAGLRIWVYSGDTDAVVPVTATRYSIDALKLPTVINWYPWYDNGKVGGWSQAYKGLTLVTVTGAGHEVPLHRPRQAFILFRSFLENKLMPS >KJB76567 pep chromosome:Graimondii2_0_v6:12:17113532:17117626:1 gene:B456_012G094900 transcript:KJB76567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESRSIGFFFLLLIFSTCFGDQTLDKITELPGQPKNVGFNQYSGYVTVNQQAGRALFYWLIESPVSRKPESRPLVLWLNGGPGCSSIAYGAAEEIGPFRIRPDGKTLYLNRYAWNNLANVLFLESPAGVGFSYTNTTSDLYTTGDKRTAEDAYVFLVNWFERFPQYKHRDFYIAGESYAGHYVPQLSQLVYERNKGVQNPVINFKGFLVGNAVTDDYHDFIGTFEYWWTHGLISDSTYRSLRVACDLGSSTHPSLQCMNALRAAQIEQGNIDPYSIFTQPCKDTSTLRHNMRGHYPWMSRAYDPCTERYSKVYFNRLEVQKALHANVTALSYPWQTCRYDHFLNTSRIFKIFVSNIYFIRICKSLFYSDIVGNYWTDAPLSMLPIYKELIAAGLRIWVYSGDTDAVVPVTATRYSIDALKLPTVINWYPWYDNGKVGGWSQAYKGLTLVTVTGAGHEVPLHRPRQAFILFRSFLENKLMPS >KJB76565 pep chromosome:Graimondii2_0_v6:12:17114032:17116269:1 gene:B456_012G094900 transcript:KJB76565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESRSIGFFFLLLIFSTCFGDQTLDKITELPGQPKNVGFNQYSGYVTVNQQAGRALFYWLIESPVSRKPESRPLVLWLNGGPGCSSIAYGAAEEIGPFRIRPDGKTLYLNRYAWNNLANVLFLESPAGVGFSYTNTTSDLYTTGDKRTAEDAYVFLVNWFERFPQYKHRDFYIAGESYAGHYVPQLSQLVYERNKGVQNPVINFKGFLVGNAVTDDYHDFIGTFEYWWTHGLISDSTYRSLRVACDLGSSTHPSLQCMNALRAAQIEQGNIDPYSIFTQPCKDTSTLRHNMRGHYPWMSRAYDPCTERYSKVYFNRLEVQKALHANVTALSYPWQTCRYAI >KJB76563 pep chromosome:Graimondii2_0_v6:12:17113499:17117626:1 gene:B456_012G094900 transcript:KJB76563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESRSIGFFFLLLIFSTCFGDQTLDKITELPGQPKNVGFNQYSGYVTVNQQAGRALFYWLIESPVSRKPESRPLVLWLNGGPGCSSIAYGAAEEIGPFRIRPDGKTLYLNRYAWNNLANVLFLESPAGVGFSYTNTTSDLYTTGDKRTAEDAYVFLVNWFERFPQYKHRDFYIAGESYAGHYVPQLSQLVYERNKGVQNPVINFKGFLVGNAVTDDYHDFIGTFEYWWTHGLISDSTYRSLRVACDLGSSTHPSLQCMNALRAAQIEQGNIDPYSIFTQPCKDTSTLRHNMRGHYPWMSRAYDPCTERYSKVYFNRLEVQKALHANVTALSYPWQTCSDIVGNYWTDAPLSMLPIYKELIAAGLRIWVYSGDTDAVVPVTATRYSIDALKLPTVINWYPWYDNGKVGGWSQAYKGLTLVTVTGAGHEVPLHRPRQAFILFRSFLENKLMPS >KJB76566 pep chromosome:Graimondii2_0_v6:12:17113532:17117626:1 gene:B456_012G094900 transcript:KJB76566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESRSIGFFFLLLIFSTCFGDQTLDKITELPGQPKNVGFNQYSGYVTVNQQAGRALFYWLIESPVSRKPESRPLVLWLNGGPGCSSIAYGAAEEIGPFRIRPDGKTLYLNRYAWNNLANVLFLESPAGVGFSYTNTTSDLYTTGDKRTAEDAYVFLVNWFERFPQYKHRDFYIAGESYAGHYVPQLSQLVYERNKGVQNPVINFKGFLVGNAVTDDYHDFIGTFEYWWTHGLISDSTYRSLRVACDLGSSTHPSLQCMNALRAAQIEQGNIDPYSIFTQPCKDTSTLRHNMRGHYPWMSRAYDPCTERYSKVYFNRLEVQKALHANVTALSYPWQTCSDIVGNYWTDAPLSMLPIYKELIAAGLRIWVYSGDTDAVVPVTATRYSIDALKLPTVINWYPWYDNGKVSTYIIIIIIIIIIWLKIHLLRIITYLIYFFIHTIKNFDFFSGLLELDTIRYNHYIILLLSWKIRLRKKQDEGKRKEI >KJB75028 pep chromosome:Graimondii2_0_v6:12:2344486:2345511:-1 gene:B456_012G019500 transcript:KJB75028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPQITENPTIAVDEDEENGISAECKELIHGCRKEKGWRTSFLYEFQGFWCQPKEIDAILSFQKHYLARDSDVILATIPKSGTTWIKSLTFAIMNRNNVPVSDGNHPLLASNPHDLVPFFEYKLYANNQNPNLSILPKPRIFATHIPFGSLSESIRSSDCRIVYVCRNPLDTFISSWHYINQLRRESRPPIPLEEAFDMYCKGVIGYGPFWEHMLGYWKESQERPNKVLFMKYEDMKEDAMSHVKMLANFLGLPFSVEEEKQGLIEEIVKLCSFKKLKDLEVNQNGKSIKNFDKKHLFRKGEVGDWVNYLSPSMVHQLSKIMDEKLGDFGLKFKVCSTVS >KJB76689 pep chromosome:Graimondii2_0_v6:12:22139048:22139329:1 gene:B456_012G1010001 transcript:KJB76689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLLGLQQGQEKMSKSDPSSSIFMEDEEV >KJB78228 pep chromosome:Graimondii2_0_v6:12:35199234:35203604:1 gene:B456_012G1847001 transcript:KJB78228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YFTNTLILPVVNGPTEGLALIYVMHFLTGFLGAHWWVEQFGRSIPIFSWVPFLNEISTYRVVLYIMIAFAVIPTVGCNIQNVHKVIQARKGSMLLALAMLYPFVVLMGGVLIWDYLSPSDIMGNYPHLVILGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPLAIANALTARLNDGVPLVDDFWVLLGYCVFTGSLYLHFATSVIHEITTALGIYCFRITRKEA >KJB78226 pep chromosome:Graimondii2_0_v6:12:35199233:35204008:1 gene:B456_012G1847001 transcript:KJB78226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YFTNTLILPVVNGPTEGLALIYVMHFLTGFLGAHWWVEQFGRSIPIFSWVPFLNEISTYRVVLYIMIAFAVIPTVGCNIQNVHKVIQARKGSMLLALAMLYPFVVLMGGVLIWDYLSPSDIMGNYPHLVILGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPLAIANALTARLNDGVPLVDDFWVLLGYCVFTGSLYLHFATSVIHEITTALGIYCFRITRKEA >KJB78224 pep chromosome:Graimondii2_0_v6:12:35199233:35203983:1 gene:B456_012G1847001 transcript:KJB78224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YFTNTLILPVVNGPTEGLALIYVMHFLTGFLGAHWWVEQFGRSIPIFSWVPFLNEISTYRVVLYIMIAFAVIPTVGCNIQNVHKVIQARKGSMLLALAMLYPFVVLMGGVLIWDYLSPSDIMGNYPHLVILGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPLAIANALTARLNDGVPLVDDFWVLLGYCVFTGSLYLHFATSVIHEITTALGIYCFRITRKEA >KJB78227 pep chromosome:Graimondii2_0_v6:12:35199234:35203604:1 gene:B456_012G1847001 transcript:KJB78227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YFTNTLILPVVNGPTEGLALIYVMHFLTGFLGAHWWVEQFGRSIPIFSWVPFLNEISTYRVVLYIMIAFAVIPTVGCNIQNVHKVIQARKGSMLLALAMLYPFVVLMGGVLIWDYLSPSDIMGNYPHLVILGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPLAIANALTARLNDGVPLVDDFWVLLGYCVFTGSLYLHFATSVIHEITTALGIYCFRITRKEA >KJB78225 pep chromosome:Graimondii2_0_v6:12:35199233:35204001:1 gene:B456_012G1847001 transcript:KJB78225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YFTNTLILPVVNGPTEGLALIYVMHFLTGFLGAHWWVEQFGRSIPIFSWVPFLNEISTYRVVLYIMIAFAVIPTVGCNIQNVHKVIQARKGSMLLALAMLYPFVVLMGGVLIWDYLSPSDIMGNYPHLVILGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPLAIANALTARLNDGVPLVDDFWVLLGYCVFTGSLYLHFATSVIHEITTALGIYCFRITRKEA >KJB75905 pep chromosome:Graimondii2_0_v6:12:9178169:9181412:-1 gene:B456_012G064600 transcript:KJB75905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRQTEKEDRLEWRKGKVNPFSSSIRARLRPKAMPMNLVHDVDGLPRIILTEPTGSSAEVLLYGGQVVSWKNERREELLFMSSKANWKPPRAIRGGIPICFPQFGNLGSLEQHGFARNRMWSLDSDPSPLPPANNQSSVDLILKSTEEDLKIWPRCFELRLRVTLSAGRLTLIPRVRNMDNKTFSFMFTLCNYFSVSDISEVRVEGLETLDYFDNLNNRQRFTEQADAITFDGEFERVYLSTPTKIAIIDHEKKRTFELWKDGMPDAVVWNPWDKKAKALQDLGDEDYQSMLCVDSAVIETPIILKPFEEWKGRQEISTVSSSYCSGQLDPRKVLYGFH >KJB75904 pep chromosome:Graimondii2_0_v6:12:9178243:9181077:-1 gene:B456_012G064600 transcript:KJB75904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKANWKPPRAIRGGIPICFPQFGNLGSLEQHGFARNRMWSLDSDPSPLPPANNQSSVDLILKSTEEDLKIWPRCFELRLRVTLSAGRLTLIPRVRNMDNKTFSFMFTLCNYFSVSDISEVRVEGLETLDYFDNLNNRQRFTEQADAITFDGEFERVYLSTPTKIAIIDHEKKRTFELWKDGMPDAVVWNPWDKKAKALQDLGDEDYQSMLCVDSAVIETPIILKPFEEWKGRQEISTVSSSYCSGQLDPRKVLYGFH >KJB75902 pep chromosome:Graimondii2_0_v6:12:9178243:9181077:-1 gene:B456_012G064600 transcript:KJB75902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMNLVHDVDGLPRIILTEPTGSSAEVLLYGGQVVSWKNERREELLFMSSKANWKPPRAIRGGIPICFPQFGNLGSLEQHGFARNRMWSLDSDPSPLPPANNQSSVDLILKSTEEDLKIWPRCFELRLRVTLSAGRLTLIPRVRNMDNKTFSFMFTLCNYFSVSDISEVRVEGLETLDYFDNLNNRQRFTEQADAITFDGEFERVYLSTPTKIAIIDHEKKRTFELWKDGMPDAVVWNPWDKKAKALQDLGDEDYQSMLCVDSAVIETPIILKPFEEWKGRQEISTVSSSYCSGQLDPRKVLYGFH >KJB75899 pep chromosome:Graimondii2_0_v6:12:9178243:9181077:-1 gene:B456_012G064600 transcript:KJB75899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMNLVHDVDGLPRIILTEPTGSSAEVLLYGGQVVSWKNERREELLFMSSKANWKPPRAIRGGIPICFPQFGNLGSLEQHGFARNRMWSLDSDPSPLPPANNQSSVDLILKSTEEDLKIWPRCFELRLRVTLSAGRLTLIPRVRNMDNKTFSFMFTLCNYFSVSDISEVRVEGLETLDYFDNLNNRQRFTEQADAITFDGEFERVYLSTPTKIAIIDHEKKRTFELWKDGMPDAVVWNPWDKKAKALQDLGDEDYQSMLCVDSAVIETPIILKPFEEWKGRQEISTVSSSYCSGQLDPRKVLYGFH >KJB75903 pep chromosome:Graimondii2_0_v6:12:9178547:9180575:-1 gene:B456_012G064600 transcript:KJB75903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMDCPELYSLNQPVHLLRLVHKGCFKIDIKEFQVLLYGGQVVSWKNERREELLFMSSKANWKPPRAIRGGIPICFPQFGNLGSLEQHGFARNRMWSLDSDPSPLPPANNQSSVDLILKSTEEDLKIWPRCFELRLRVTLSAGRLTLIPRVRNMDNKTFSFMFTLCNYFSVSDISEVRVEGLETLDYFDNLNNRQRFTEQADAITFDGEFERVYLSTPTKIAIIDHEKKRTFELWKDGMPDAVVWNPWDKKAKALQDLGDEDYQSMLCVDSAVIETPIILKPFEEWKGRQEISTVSSSYCSGQLDPRKVLYGFH >KJB75900 pep chromosome:Graimondii2_0_v6:12:9178243:9180480:-1 gene:B456_012G064600 transcript:KJB75900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKANWKPPRAIRGGIPICFPQFGNLGSLEQHGFARNRMWSLDSDPSPLPPANNQSSVDLILKSTEEDLKIWPRCFELRLRVTLSAGRLTLIPRVRNMDNKTFSFMFTLCNYFSVSDISEVRVEGLETLDYFDNLNNRQRFTEQADAITFDGEFERVYLSTPTKIAIIDHEKKRTFELWKDGMPDAVVWNPWDKKAKALQDLGDEDYQSMLCVDSAVIETPIILKPFEEWKGRQEISTVSSSYCSGQLDPRKVLYGFH >KJB75906 pep chromosome:Graimondii2_0_v6:12:9178969:9181077:-1 gene:B456_012G064600 transcript:KJB75906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMNLVHDVDGLPRIILTEPTGSSAEVLLYGGQVVSWKNERREELLFMSSKANWKPPRAIRGGIPICFPQFGNLGSLEQHGFARNRMWSLDSDPSPLPPANNQSSVDLILKSTEEDLKIWPRCFELRLRVTLSAGRLTLIPRVRNMDNKTFSFMFTLCNYFSVSDISEVRVEGLETLDYFDNLNNRQRFTEQADAITFDGEVVLSYFHIHV >KJB75901 pep chromosome:Graimondii2_0_v6:12:9178243:9181164:-1 gene:B456_012G064600 transcript:KJB75901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMNLVHDVDGLPRIILTEPTGSSAEVLLYGGQVVSWKNERREELLFMSSKANWKPPRAIRGGIPICFPQFGNLGSLEQHGFARNRMWSLDSDPSPLPPANNQSSVDLILKSTEEDLKIWPRCFELRLRVTLSAGRLTLIPRVRNMDNKTFSFMFTLCNYFSVSDISEVRVEGLETLDYFDNLNNRQRFTEQADAITFDGEFERVYLSTPTKIAIIDHEKKRTFELWKDGMPDAVVWNPWDKKAKALQDLGDEDYQSMLCVDSAVIETPIILKPFEEWKGRQEISTVSSSYCSGQLDPRKVLYGFH >KJB75233 pep chromosome:Graimondii2_0_v6:12:4093533:4101487:1 gene:B456_012G033300 transcript:KJB75233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIFIIISISIVWVASLWKIFFSHSKPTFLNEGTPLHTKNVLLVVAHPDDESMFFSPTINYLTSKGHNLYLLCLSIGNADGMGSSRKDELYRACVVHKIQLQQVNVLDHPELQDGFGKVWNHGLVARIIEEEVYSHVIDVIITFDSYGVSGHCNHGDVHYGVRKFLHDSSPGNIEAWELVSINIMRKYSGLFDIWMSNLDVMQHPSGVMHCLLNEHPLKSFRAMAKHSSQWVWFRKLFVSFSSYTYVNTLRKIN >KJB75234 pep chromosome:Graimondii2_0_v6:12:4093526:4101491:1 gene:B456_012G033300 transcript:KJB75234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIFIIISISIVWVASLWKIFFSHSKPTFLNEGTPLHTKNVLLVVAHPDDESMFFSPTINYLTSKGHNLYLLCLSIGNADGMGSSRKDELYRACVVHKIQLQQVNVLDHPELQDGFGKVWNHGLVARIIEEEVYSHVIDVIITFDSYGVSGHCNHGDVHYGVRKFLHDSSPGNIEAWELVSINIMRKYSGLFDIWMSNLDVMQHPSGVMHCLLNEHPLKSFRAMAKHSSQWVWFRKLFVSFSSYTYVNTLRKIN >KJB75235 pep chromosome:Graimondii2_0_v6:12:4093501:4101487:1 gene:B456_012G033300 transcript:KJB75235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIFIIISISIVWVASLWKIFFSHSKPTFLNEGTPLHTKNVLLVVAHPDDESMFFSPTINYLTSKGHNLYLLCLSIGNADGMGSSRKDELYRACVVHKIQLQQVNVLDHPELQDGFGKVWNHGLVARIIEEEVYSHVIDVIITFDSYGVSGHCNHGDVHYGVRKFLHDSSPGNIEAWELVSINIMRKYSGLFDIWMSNLDVMQHPSGVMHCLLNEHPLKSFRAMAKHSSQWVWFRKLFVSFSSYTYVNTLRKIN >KJB76772 pep chromosome:Graimondii2_0_v6:12:23931604:23935173:1 gene:B456_012G106500 transcript:KJB76772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSAPAERIKNLRRPHRRFRKHRRKVSRSITDGTKKRNSDAHVTDRAVSEYLHMENGATTTRRRSEVSSSTFNFTQFQWHLSQIDTNVCTDKGKI >KJB76773 pep chromosome:Graimondii2_0_v6:12:23932015:23935173:1 gene:B456_012G106500 transcript:KJB76773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSAPAERIKNLRRPHRRFRKHRRKVSRSITDGTKKRNSDAHVTDRAVSEYLHMENGATTTRRRSEVSSSTFNFTQFQWHLSQIDTNVCTDKGKI >KJB77580 pep chromosome:Graimondii2_0_v6:12:31898247:31900779:1 gene:B456_012G144900 transcript:KJB77580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHVEFEADTTASGGQIQLTTNQINKALNDSVGRATYYQPMHLWDNSSGNPRLADFTTHFSFSIDSLNKSSGYRGDGFAFFLAPDGSKIPPNSGGGCLGLQSCDIDANSKFVAVEFDTFPNVWDDLRLDHVAIDLNSVKASFHPVEWLWSDIENGGKVDAFITYNSSTKNLSVFLLGAEDFNRLNSSALSAILDLSQYLPEWVTFGFSGATGSSIELHTIYSWSFSSNLQVSMNKTINPPNVAPGPPINPKRKSKTWLWVVLAIAGGISALLPVLSLVWLFCRRRKYRRMREDGTMPVNVDVEMMTAPRKFSYRELRLATNNFADEGLLGEGGFGKVYLGFLRDINSNIAVKRITPNSQQGVKEYESEITTIIKLRHRNLVQLIGWCHDNKEFLIVYEFLPNRSLDYHLHREPCLLTWDTRYKIAMGVASGLFYLQEECDRCVLHRDIKSSNVLLDFSFNAKLGDFGLARLVDHGQGSQTTKLILGTDGYIAPECLETYKAIKESDIYSFGIVALEIASGKKAVDVIERNGKRFKTKLVEWVWELYREGRLLDAADPRLSGNYDTERMERLLLVGMACAHPNYFDRPSITNAIEILGSKAPLPSLPREMPVPIYIAALQDNIFTSSASTSSYTSASNRSQTQSSGTGSSIHSFKDKTMAPGYDTEAKH >KJB74550 pep chromosome:Graimondii2_0_v6:12:21206060:21206437:-1 gene:B456_012G099800 transcript:KJB74550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPMSNELKQRKPMVTRKRAVRPTDTSRPDELKCVLWSLVFKHHLGL >KJB77872 pep chromosome:Graimondii2_0_v6:12:33407167:33407521:1 gene:B456_012G1621002 transcript:KJB77872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQYIVGVAVVIAPLLGFVFLYYNSLVRFWGLSKLKRRASMEFPKENRINKNGSGEDVGTTDVIIVRAGVAGAALAYSLGK >KJB77258 pep chromosome:Graimondii2_0_v6:12:29601255:29605748:-1 gene:B456_012G128100 transcript:KJB77258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMSSKSAAVEDSRENHKEKLRRKGSLDKLVPRADSSRREDSVGSKDKYDGGDVKVLLIDKKTSGSNRYCYNDRAEKERIIDKFEVIETINKIGQGTYSSVYKARDVVHNKLVALKKVRFDNHDPESVKFMAREINLLRRLNHPNVIKLEGLITSHTSCSLYLVFEYMEHDLVGLASLPGIKFSEPQIKCYMHQLLSGLDHCHSLGVLHRDIKGSNLLIDSNGILKIADFGLACHFDPHDSVPMTSRVVTLWYRPPELLFGASHYGVAIDLWSAGCILGELYSGKPILPGKTEVEQLHKIFKLCGSPSEEYWRRAKLPHSTVFKPLHPYRRCVTETFKDLPSPAVSLMDTLLSIDPVHRRTAAFALKSEFFTTQPFACDPSSLPKYPPSKEIDAKLRDEEARRQRAVGSRGSRVDMERRGQKEPISNAEQTASSQRRQPHPNLKSRSQMLNSRNADGFSGLLINPPKQTPTAKENGRDFLEYNRKKVSHSGPLVYGAAFGKSGKEPDDLTRLSSRANLSKLSGLVATRTLAPEDHRSGPLTSEPVNQAGRSRRSFHELESAGKLDVRHHMPKTTESPQTAGGRACIKEPMHGRGPRGNKIYVSGPLLAPSENVDQLLKEHDRKIQEFARRARLDKTKAGKLQAQAKQATENSLFVTARGAE >KJB77256 pep chromosome:Graimondii2_0_v6:12:29601244:29605837:-1 gene:B456_012G128100 transcript:KJB77256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMSSKSAAVEDSRENHKEKLRRKGSLDKLVPRADSSRREDSVGSKDKYDGGDVKVLLIDKKTSGSNRYCYNDRAEKERIIDKFEVIETINKVENCEVANTITVGHHHLHPGSGRVLNSIKGEQVAAGWPSWLVAVASDALKGWIPRRANTFEKLDKIGQGTYSSVYKARDVVHNKLVALKKVRFDNHDPESVKFMAREINLLRRLNHPNVIKLEGLITSHTSCSLYLVFEYMEHDLVGLASLPGIKFSEPQIKCYMHQLLSGLDHCHSLGVLHRDIKGSNLLIDSNGILKIADFGLACHFDPHDSVPMTSRVVTLWYRPPELLFGASHYGVAIDLWSAGCILGELYSGKPILPGKTEVEQLHKIFKLCGSPSEEYWRRAKLPHSTVFKPLHPYRRCVTETFKDLPSPAVSLMDTLLSIDPVHRRTAAFALKSEFFTTQPFACDPSSLPKYPPSKEIDAKLRDEEARRQRAVGSRGSRVDMERRGQKEPISNAEQTASSQRRQPHPNLKSRSQMLNSRNADGFSGLLINPPKQTPTAKENGRDFLEYNRKKVSHSGPLVYGAAFGKSGKEPDDLTRLSSRANLSKLSGLVATRTLAPEDHRSGPLTSEPVNQAGRSRRSFHELESAGKLDVRHHMPKTTESPQTAGGRACIKEPMHGRGPRGNKIYVSGPLLAPSENVDQLLKEHDRKIQEFARRARLDKTKAGKLQAQAKQATENSLFVTARGAE >KJB77257 pep chromosome:Graimondii2_0_v6:12:29601535:29604447:-1 gene:B456_012G128100 transcript:KJB77257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSISHVDILIFLHLVFLYDSSSFLQIGQGTYSSVYKARDVVHNKLVALKKVRFDNHDPESVKFMAREINLLRRLNHPNVIKLEGLITSHTSCSLYLVFEYMEHDLVGLASLPGIKFSEPQIKCYMHQLLSGLDHCHSLGVLHRDIKGSNLLIDSNGILKIADFGLACHFDPHDSVPMTSRVVTLWYRPPELLFGASHYGVAIDLWSAGCILGELYSGKPILPGKTEVEQLHKIFKLCGSPSEEYWRRAKLPHSTVFKPLHPYRRCVTETFKDLPSPAVSLMDTLLSIDPVHRRTAAFALKSEFFTTQPFACDPSSLPKYPPSKEIDAKLRDEEARRQRAVGSRGSRVDMERRGQKEPISNAEQTASSQRRQPHPNLKSRSQMLNSRNADGFSGLLINPPKQTPTAKENGRDFLEYNRKKVSHSGPLVYGAAFGKSGKEPDDLTRLSSRANLSKLSGLVATRTLAPEDHRSGPLTSEPVNQAGRSRRSFHELESAGKLDVRHHMPKTTESPQTAGGRACIKEPMHGRGPRGNKIYVSGPLLAPSENVDQLLKEHDRKIQEFARRARLDKTKAGKLQAQAKQATENSLFVTARGAE >KJB77867 pep chromosome:Graimondii2_0_v6:12:33359857:33360636:1 gene:B456_012G161700 transcript:KJB77867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRATVLGDAVKYIKQLQEKVKTPEEQARQKPMEYVACVKKHQLLIDSNGDFSSWDEHFSAPFDEPLPEIEARCCDQSVLISIHFINSNAMTFGSCALHITIVAQMDMEFCMTIKELVKKLRLAFIFLV >KJB75770 pep chromosome:Graimondii2_0_v6:12:7726941:7729440:1 gene:B456_012G056800 transcript:KJB75770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLMKMPTTDADIAQAIACHQEDCSPEAKKTENRRKLHENADLNSSSPSHEDLVDSKEEDELESAKAEMGEVMEENERLKKMLEKIQENYKSLQLSFFEILQQGAVKKSKCSSISSDHNDEPVVLEPELVSLSLGRTTPMESVKDEKTTSSIKSKDDPEIKAGLTLGLDSKFQLSSPQKSSEDQVKEDDGAAETWPPNKIQKTTSRNGDEDQQNHVKRARVSVRARCDAPTMNDGCQWRKYGQKISKGNPCPRAYYRCTVASGCPVRKQVQRCAEDMSILITTYEGNHNHPLPVSATAMASTTSAAASMMLSGSSTSQPGLNGLNFSHHDNSTTRQFYLSNSTSSSLSPTITLDVTSSPSHSPLSSSNYFNSFPTSFPRFPPSLNFSSSESNILPAVWGNGYPGYGAVPYYQTQPGNSILGNESQNQIYQSLPGNNQQGGASQQSLTETLTKVITSDPSFRSVIAAAISSVVCNSAKSEDQTDQKAQHFGQKLMQAIVSQNEKGQSSCSSSYFNGLASSSSQTGSSLLQSSLPFSILNSAFKPASDDKGLKN >KJB77688 pep chromosome:Graimondii2_0_v6:12:32478570:32486098:1 gene:B456_012G150800 transcript:KJB77688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPMETIQDLIDEAKVRTVWWVIAIFAVTYFLSHTSTSMWMNLPVAVLILSALRILTNEVEFRWKIKSVRPQTYLSHLEKKQLSVNDYRLSSTPPPPKWKRKIDSPMVESAMNEFIGKILKDFVTDLWYSEITPDRETPELMRAVILDAIGEISGRVKRINLVDLLTRDIVDLIGDHLDLFRRNQAAIGVDVMVTLSSEERDERLKHHLLVSEELHPALISPESEYKVIQKLVGGILAIVLRPREAQCPLVRTIAREIVTCLVLQPLLKLASPGYINEVIEHVLLAIKDDTNKMVVGSDQPSVGVHGDDSTSYKKSSSNSQGADLTLARIDDRKETYSDCNRYEEESVQPRPADWARILEAATQRRTEVLAPENLENMWTKGRNYKKKNKHEKAGFQESITKDSVTKSAVLTGNSGSEISTSKFGTSTGREEKNVMQLMPGLSNDTQLCDDNTTGTKLELEFNKSSSFEGDHLVGKHTDASKQAADGNKNRLRRSSSTSDLKVKPDIKKALTGDIGRPISEFYSHDVGRHSEEYSGKIASNMVLHNEEPHTPKLTCRVIGAYFEKLKSKPFAVYSISVTDAENRTWFVKRRYSNFERLHRHLKEIPNYTLHLPPKTIFSSSTEDALVHQRCIQLDKYLQDLLSKANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAVDDIVRQFRGVSDGLMRKVVGSSSLPIEASSSADRTLSWNAGEMAKDISRQSNLETVNSASDNDERYKDGSHDQDDRSGPQGHGSHSEDELKSKSLPPKVIEQGGEPDNFVPEKQDLGVKPELLGQGGFPEVKFSSTSSPWEDPVGMPPEWTPPNVSVPLLNLVDKVFQLKRRGWLRRQVFWISKQILQLVMEDAIDDWLLRQIYWLRREDTVALGIRWVQDVLWPGGTFFTKLVNIQSKFGDTQQPNQQLSGTSSQFSGSNVSKPGSFEQQLEATRRASDIKKMLFDGAPTTLVSLIGHKQYRRCARDIYYFTQSTICVKQLAFAILELLLVSIFPEMRELVKDLHGKKQIKVA >KJB77689 pep chromosome:Graimondii2_0_v6:12:32478598:32486088:1 gene:B456_012G150800 transcript:KJB77689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLSSEERDERLKHHLLVSEELHPALISPESEYKVIQKLVGGILAIVLRPREAQCPLVRTIAREIVTCLVLQPLLKLASPGYINEVIEHVLLAIKDDTNKMVVGSDQPSVGVHGDDSTSYKKSSSNSQGADLTLARIDDRKETYSDCNRYEEESVQPRPADWARILEAATQRRTEVLAPENLENMWTKGRNYKKKNKHEKAGFQESITKDSVTKSAVLTGNSGSEISTSKFGTSTGREEKNVMQLMPGLSNDTQLCDDNTTGTKLELEFNKSSSFEGDHLVGKHTDASKQAADGNKNRLRRSSSTSDLKVKPDIKKALTGDIGRPISEFYSHDVGRHSEEYSGKIASNMVLHNEEPHTPKLTCRVIGAYFEKLKSKPFAVYSISVTDAENRTWFVKRRYSNFERLHRHLKEIPNYTLHLPPKTIFSSSTEDALVHQRCIQLDKYLQDLLSKANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAVDDIVRQFRGVSDGLMRKVVGSSSLPIEASSSADRTLSWNAGEMAKDISRQSNLETVNSASDNDERYKDGSHDQDDRSGPQGHGSHSEDELKSKSLPPKVIEQGGEPDNFVPEKQDLGVKPELLGQGGFPEVKFSSTSSPWEDPVGMPPEWTPPNVSVPLLNLVDKVFQLKRRGWLRRQVFWISKQILQLVMEDAIDDWLLRQIYWLRREDTVALGIRWVQDVLWPGGTFFTKLVNIQSKFGDTQQPNQQLSGTSSQFSGSNVSKPGSFEQQLEATRRASDIKKMLFDGAPTTLVSLIGHKQYRRCARDIYYFTQSTICVKQLAFAILELLLVSIFPEMRELVKDLHGKKQIKVA >KJB76718 pep chromosome:Graimondii2_0_v6:12:22918821:22919738:-1 gene:B456_012G102800 transcript:KJB76718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KJB76408 pep chromosome:Graimondii2_0_v6:12:14973480:14976899:1 gene:B456_012G087500 transcript:KJB76408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDSNHLANPETPHSLEAETYEEEEGRDPESNSLRQPLLKRNRTLSSTPLALVGAKVSHIESLDYEINENDLFKHDWRRRSKVQVLQYIFLKWSLAFLVGLLTGLIATLINLAIENIAGYKLLAIVGFIEEGRYISGLIFFTGINFFLTLIAAVLCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTLLVKIVGSIGAVSAGLDLGKEGPLVHIGSCIASLLAQGGPDNYRIKWRWLRYFNNDRDRRDIITCGSSSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFIEICNSGKCGLFGTGGLIMFDVSDVKVSYQAMDVIPIIIIGIIGGLLGSLYNHVLHKVLRVYNLINHKGKMHKLLLALGVSLFTSVCQYCLPFLAQCRACDPSFPETCPTNDRSGNFKQFNCPDGYYNDLATLLLTTNDDAVRNIFSTNTTNEFHVTSILIFFALYCILGLITFGIAVPSGLFLPIILMGSGYGRLLSMAMGSYTNLDEGLFAVLGAGSLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDCFNPSIYEIILELKGLPFLDANPEPWMRNLTVGELADVKPPVVTLCGVEKVSRIVDVLRNTTHNAFPIVDQGVPVPGMVATGATELHGLILRAHLVQALKKKWFLPEKRRTEEWEVREKFNWVELAEREIKIEQVAVTRDEMEMYVDLHPLTNTTPFTVVESLSVAKALVLFRQVGLRHLLIVPKYQGAGVSLLTINTSLLFHAYSSIFVHLTYKVASAGSSCSWDLDQARLAGLQHFECLSSSRKTQAFLREK >KJB76407 pep chromosome:Graimondii2_0_v6:12:14973317:14976944:1 gene:B456_012G087500 transcript:KJB76407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDSNHLANPETPHSLEAETYEEEEGRDPESNSLRQPLLKRNRTLSSTPLALVGAKVSHIESLDYEINENDLFKHDWRRRSKVQVLQYIFLKWSLAFLVGLLTGLIATLINLAIENIAGYKLLAIVGFIEEGRYISGLIFFTGINFFLTLIAAVLCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTLLVKIVGSIGAVSAGLDLGKEGPLVHIGSCIASLLAQGGPDNYRIKWRWLRYFNNDRDRRDIITCGSSSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFIEICNSGKCGLFGTGGLIMFDVSDVKVSYQAMDVIPIIIIGIIGGLLGSLYNHVLHKVLRVYNLINHKGKMHKLLLALGVSLFTSVCQYCLPFLAQCRACDPSFPETCPTNDRSGNFKQFNCPDGYYNDLATLLLTTNDDAVRNIFSTNTTNEFHVTSILIFFALYCILGLITFGIAVPSGLFLPIILMGSGYGRLLSMAMGSYTNLDEGLFAVLGAGSLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDCFNPSIYEIILELKGLPFLDANPEPWMRNLTVGELADVKPPVVTLCGVEKVSRIVDVLRNTTHNAFPIVDQGVPVPGMVATGATELHGLILRAHLVQALKKKWFLPEKRRTEEWEVREKFNWVELAEREIKIEQVAVTRDEMEMYVDLHPLTNTTPFTVVESLSVAKALVLFRQVGLRHLLIVPKYQGAGVAPVVGILTRQDLRAYNILSAFPHLERPKLS >KJB76409 pep chromosome:Graimondii2_0_v6:12:14973480:14976899:1 gene:B456_012G087500 transcript:KJB76409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDSNHLANPETPHSLEAETYEEEEGRDPESNSLRQPLLKRNRTLSSTPLALVGAKVSHIESLDYEINENDLFKHDWRRRSKVQVLQYIFLKWSLAFLVGLLTGLIATLINLAIENIAGYKLLAIVGFIEEGRYISGLIFFTGINFFLTLIAAVLCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTLLVKIVGSIGAVSAGLDLGKEGPLVHIGSCIASLLAQGGPDNYRIKWRWLRYFNNDRDRRDIITCGSSSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFIEICNSGKCGLFGTGGLIMFDVSDVKVSYQAMDVIPIIIIGIIGGLLGSLYNHVLHKVLRVYNLINHKGKMHKLLLALGVSLFTSVCQYCLPFLAQCRACDPSFPETCPTNDRSGNFKQFNCPDGYYNDLATLLLTTNDDAVRNIFSTNTTNEFHVTSILIFFALYCILGLITFGIAVPSGLFLPIILMGSGYGRLLSMAMGSYTNLDEGLFAVLGAGSLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDCFNPSIYEIILELKGLPFLDANPEPWMRNLTVGELADVKPPVVTLCGVEKVSRIVDVLRNTTHNAFPIVDQGVPVPGMVATGATELHGLILRAHLVQALKKKWFLPEKRRTEEWEVREKFNWVELAEREIKIEQVAVTRDEMEMYVDLHPLTNTTPFTVVESLSVAKALVLFRQVGLRHLLIVPKYQGAGVTPVVGILTRQDLRAYNILSAFPHLERPKLS >KJB75172 pep chromosome:Graimondii2_0_v6:12:3576376:3577270:1 gene:B456_012G028800 transcript:KJB75172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAYGVPLCLLFLFFSSCFFNYNEATTMGQVNGSSEMVPIMEEKMVKMMMLFNESKRNLRRFQICAVCTCCGGARGVCLPSPCCYAINCNIPNRPFGFCSFTPKTCNCFGCHL >KJB77142 pep chromosome:Graimondii2_0_v6:12:28355471:28356225:1 gene:B456_012G123000 transcript:KJB77142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRSNTEGATMEGGKKIKNAVEGNEMDGKNENNGGEVAVENWCGWEERAWWLRGGAMDELMSWGCFWSPFWGVDYVDFAYTEIFSDVAWDDDIWNLKTIVEIPKP >KJB75024 pep chromosome:Graimondii2_0_v6:12:2292900:2300012:-1 gene:B456_012G019200 transcript:KJB75024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMKSSVTTSGGTDGDAVEARFNALCKNGLSLDEKACSNATKLFKETKHLLTPNVSAIGSGTLEEAERFWFSFVLYSLKRLSEKVGENTQHESDESGFSLCQILRATKLNIVDFFKELPQFVVKAGPVLRNMYGEDWETRLEAKEMQANFVHLSLLSKSYKRAFWELFLTSDANIDKQQNATSSPDYVSECHRFGWLLFLALRVHAFSRFKDLVTCANGFVSILAILIIHVPVSFRNFKINDSPHFVKKGDKGVVDLLASLCNMYDASEDDLRKTMEMANKLIEDILKKKPCPAAKCKTETLENIDTDSLIYFEGLMEEQSLSSSLKILEKDYDDAICNKGDLDERLFVNDEDSLLGLGSLSGGAMNVTGIKRKLDSVASPSKSISSPLSPQRSSASHANGVLGPPNAKMAATPVSTAMTTAKWLRSVICPLPSKPSAELQHFLSSCDKDVTTDVICRVHIILEAIFPRNQERSVTGSLQSVNLMDNIWMEHRRLEARKLYYRVLEAMCTAEAQILHAPNLTSLLTNERFHRCMLACSAELVLATHKTATMLFPIVLDRTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWDKGSSMYNSLIVAKPALSAEINRLGLLAEPMPPLDAIATHINFSGGTPPVPPLQKHETSTGNAGSSILGQNGDVRSPMRPCTELRSVLVERNAFTSPVKDRLLALSNLKKAPLQSAFASPTRPNPGGGGETCAETGINIFFSKINKLAAVRINGMVERLQLSQQIRESVYSLFQKILNQRTSLFFNRHIDQIILCCFYVVAKLRLTFKEIICNYKKQPQCKPQVFQSVFVDRSSARRNGRTGQDNVDIIAFYNETFVPSIKPLLGELGSAGTTTRTSRVAEANNSNDGTCPGSPKVSPFPSLPDMSPKKVSATHNVYVSPLRTSKMDALISHSSRSYYACVGESTRAYQSPSKDLTAINNHLNGGRKIRGALNFDDVDVGLVSDSMVANSLYLQNGSCASSSGAPLKSEQPES >KJB75023 pep chromosome:Graimondii2_0_v6:12:2292899:2300261:-1 gene:B456_012G019200 transcript:KJB75023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMKSSVTTSGGTDGDAVEARFNALCKNGLSLDEKACSNATKLFKETKHLLTPNVSAIGSGTLEEAERFWFSFVLYSLKRLSEKVGENTQHESDESGFSLCQILRATKLNIVDFFKELPQFVVKAGPVLRNMYGEDWETRLEAKEMQANFVHLSLLSKSYKRAFWELFLTSDANIDKQQNATSSPDYVSECHRFGWLLFLALRVHAFSRFKDLVTCANGFVSILAILIIHVPVSFRNFKINDSPHFVKKGDKGVVDLLASLCNMYDASEDDLRKTMEMANKLIEDILKKKPCPAAKCKTETLENIDTDSLIYFEGLMEEQSLSSSLKILEKDYDDAICNKGDLDERLFVNDEDSLLGLGSLSGGAMNVTGIKRKLDSVASPSKSISSPLSPQRSSASHANGVLGPPNAKMAATPVSTAMTTAKWLRSVICPLPSKPSAELQHFLSSCDKDVTTDVICRVHIILEAIFPRNQERSVTGSLQSVNLMDNIWMEHRRLEARKLYYRVLEAMCTAEAQILHAPNLTSLLTNERFHRCMLACSAELVLATHKTATMLFPIVLDRTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWDKGSSMYNSLIVAKPALSAEINRLGLLAEPMPPLDAIATHINFSGGTPPVPPLQKHETSTGNAGSSILGQNGDVRSPMRPCTELRSVLVERNAFTSPVKDRLLALSNLKKAPLQSAFASPTRPNPGGGGETCAETGINIFFSKINKLAAVRINGMVERLQLSQQIRESVYSLFQKILNQRTSLFFNRHIDQIILCCFYVVAKISQLRLTFKEIICNYKKQPQCKPQVFQSVFVDRSSARRNGRTGQDNVDIIAFYNETFVPSIKPLLGELGSAGTTTRTSRVAEANNSNDGTCPGSPKVSPFPSLPDMSPKKVSATHNVYVSPLRTSKMDALISHSSRSYYACVGESTRAYQSPSKDLTAINNHLNGGRKIRGALNFDDVDVGLVSDSMVANSLYLQNGSCASSSGAPLKSEQPES >KJB76399 pep chromosome:Graimondii2_0_v6:12:14788969:14789430:1 gene:B456_012G086700 transcript:KJB76399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDLLTVQSAKRRLCRHEARKTSARTALGLAGLLTEAKPYQTLRYEPPKAKPVPWIPRTINVRSTNMKDKTGARRHVMNKAKRTKTRAKARQTTPSMKAT >KJB77164 pep chromosome:Graimondii2_0_v6:12:28594311:28598261:-1 gene:B456_012G123800 transcript:KJB77164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLLSSLLRSTSRRSLSKSPSPTPRLPSPSPTRRPFPCPYFLSRDAEYSTSAAAAASAPSQPPPAKKGGKGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVMDHSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQPVLNTGSPITVPVGRATLGRIINVIGEPIDEKGELKTDHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQADSKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQPYMCLLMT >KJB77166 pep chromosome:Graimondii2_0_v6:12:28595722:28598083:-1 gene:B456_012G123800 transcript:KJB77166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLLSSLLRSTSRRSLSKSPSPTPRLPSPSPTRRPFPCPYFLSRDAEYSTSAAAAASAPSQPPPAKKGGKGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVMDHSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQPVLNTGSPITVPVGRATLGRIINVIGEPIDEKGELKTDHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQADSKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQVLCTFVFSC >KJB77162 pep chromosome:Graimondii2_0_v6:12:28582192:28598261:-1 gene:B456_012G123800 transcript:KJB77162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLLSSLLRSTSRRSLSKSPSPTPRLPSPSPTRRPFPCPYFLSRDAEYSTSAAAAASAPSQPPPAKKGGKGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVMDHSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQPVLNTGSPITVPVGRATLGRIINVIGEPIDEKGELKTDHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQADSKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARPSPK >KJB77163 pep chromosome:Graimondii2_0_v6:12:28594278:28598261:-1 gene:B456_012G123800 transcript:KJB77163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLLSSLLRSTSRRSLSKSPSPTPRLPSPSPTRRPFPCPYFLSRDAEYSTSAAAAASAPSQPPPAKKGGKGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVMDHSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQPVLNTGSPITVPVGRATLGRIINVIGEPIDEKGELKTDHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQADSKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESITSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKADKIAKESAA >KJB77165 pep chromosome:Graimondii2_0_v6:12:28595444:28598083:-1 gene:B456_012G123800 transcript:KJB77165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLLSSLLRSTSRRSLSKSPSPTPRLPSPSPTRRPFPCPYFLSRDAEYSTSAAAAASAPSQPPPAKKGGKGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVMDHSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQPVLNTGSPITVPVGRATLGRIINVIGEPIDEKGELKTDHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQADSKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQVLSLSLVFILLWILWILHLVCSLLIFWVRNTTTLLVVSRRFSKTTRTCKILLLFWGWTSLVKMIN >KJB77858 pep chromosome:Graimondii2_0_v6:12:33413738:33419455:1 gene:B456_012G162200 transcript:KJB77858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYIVVVAGVIASLLGFVFLYYNSLDGRQVLVIERNLNAPNRIAGENLLPGGYLKLIELGLQDEIDAQRILGYILYKDGKNVLVSFPLEKFQPHVAGRNFHNGHFFQKLQKKAASLHNVNLEQGTVISLLEENGIVKGVHYKNKSGQMLTAYAPLTIVCNGCFSNLRRSLCYPKVDIPSYTVGVILTNCKLPNENYGALILADPSPVLFYPISSTEIRCLVNIPSEIVPSVSNGEMAHFLKTLVAPKVLPELYNSFIFAIEKKDNIRTMPNKIMAAAPHPTPGAILIGDAFNMRHGITGGGMTVALSDVVILRDLLRPLHDLSDASAICKYLESFYTLRKPMSSTINILANVLQKVFSASSNPAMENLQQTFLEYLRLGGVFSYGISAIKLSISFLFHCIIWRWPIVTSISFSQTLVGWG >KJB76737 pep chromosome:Graimondii2_0_v6:12:23336110:23336747:1 gene:B456_012G104200 transcript:KJB76737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYSVFILFFLHFLSIQTIIRTTKSTHRNVVLHIINSFQDDSGRRCRFAGHCHKTKRKYTEKKLSINRETEI >KJB77138 pep chromosome:Graimondii2_0_v6:12:28342775:28345028:1 gene:B456_012G122900 transcript:KJB77138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRAIQQNAIGSSCEELQSSISVSVMVTDRRETMVCPKPRRLGLLNASFNDQPVRSLRWQLSHQTELCDSKAGSDILDMILTKGGCRAEQVASSPPFFCGSPPSRVANPLIQDARFGDEKMVNPPSPNPPPPSSPSSSSRKGGCIRANFGNKPAVRVEGFDCFALA >KJB77137 pep chromosome:Graimondii2_0_v6:12:28342775:28345028:1 gene:B456_012G122900 transcript:KJB77137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRAIQQNAIGSSCEELQSSISVSVMVTDRRETMVCPKPRRLGLLNASFNDQPVRSLRWQLSHQTELCDSKAGSDILDMILTKGGCRAEQVASSPPFFCGSPPSRVANPLIQDARFGDEKMVNPPSPNPPPPSSPSSSSRKGGCIRANFGNKPAVRVEGFDCFALA >KJB77140 pep chromosome:Graimondii2_0_v6:12:28342775:28345028:1 gene:B456_012G122900 transcript:KJB77140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRAIQQNAIGSSCEELQSSISVSVMVTDRRETMVCPKPRRLGLLNASFNDQPVRSLRWQLSHQTELCDSKAGSDILDMILTKGGCRAEQVASSPPFFCGSPPSRVANPLIQDARFGDEKMVNPPSPNPPPPSSPSSSSRKGGCIRANFGNKPAVRVEGFDCFALA >KJB77141 pep chromosome:Graimondii2_0_v6:12:28342775:28345028:1 gene:B456_012G122900 transcript:KJB77141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRAIQQNAIGSSCEELQSSISVSVMVTDRRETMVCPKPRRLGLLNASFNDQPVRSLRWQLSHQTELCDSKAGSDILDMILTKGGCRAEQVASSPPFFCGSPPSRVANPLIQDARFGDEKMVNPPSPNPPPPSSPSSSSRKGGCIRANFGNKPAVRVEGFDCFALA >KJB77139 pep chromosome:Graimondii2_0_v6:12:28342669:28345498:1 gene:B456_012G122900 transcript:KJB77139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRAIQQNAIGSSCEELQSSISVSVMVTDRRETMVCPKPRRLGLLNASFNDQPVRSLRWQLSHQTELCDSKAGSDILDMILTKGGCRAEQVASSPPFFCGSPPSRVANPLIQDARFGDEKMVNPPSPNPPPPSSPSSSSRKGGCIRANFGNKPAVRVEGFDCFALA >KJB75515 pep chromosome:Graimondii2_0_v6:12:5596871:5598954:-1 gene:B456_012G044900 transcript:KJB75515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSLEQRFWCQRVLRFGFAFVCVCLGGYIVGPTSLLWRLKDKSRPQVSCLPCVCDCSSHTDDFFLTPGCGQNDPDLNQELEKDTVALLSEEIALQKIVSKETMDRTSALTMDAKRASSHYQKEAEKCNAGVETCEEAREWAEAQLREELKLTALWEQRARQLGWKDRKRAYT >KJB75516 pep chromosome:Graimondii2_0_v6:12:5597112:5598448:-1 gene:B456_012G044900 transcript:KJB75516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSLEQRFWCQRVLRFGFAFVCVCLGGYIVGPTSLLWRLKDKSRPQVSCLPCVCDCSSHTDDFFLTPGLADNTYSGCGQNDPDLNQELEKDTVALLSEEIALQKIVSKETMDRTSALTMDAKRASSHYQKEAEKCNAGVETCEEAREWAEAQLREELKLTALWEQRARQLGWKDRKRAYT >KJB78144 pep chromosome:Graimondii2_0_v6:12:34862523:34867927:-1 gene:B456_012G180300 transcript:KJB78144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTLERYQKCNYGAPEPNVSSREAALELSSRQEYLKLKARYDALQRSQRNLLGEDLGPLSSKELESLEKQLDSSLKLIRSTRTQYMLDQLNDLQRKEHLLNEANKTLKQRLVEGYQVNSLQLNPNATEDVGYGRQQVHHQPHGDAFFHPLDCEPTLQIGYQHDPMSVVTAGPSVNNYMTGWLP >KJB78142 pep chromosome:Graimondii2_0_v6:12:34862486:34867996:-1 gene:B456_012G180300 transcript:KJB78142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMIKTLERYQKCNYGAPEPNVSSREAALELSSRQEYLKLKARYDALQRSQRNLLGEDLGPLSSKELESLEKQLDSSLKLIRSTRTQYMLDQLNDLQRKEHLLNEANKTLKQRLVEGYQVNSLQLNPNATEDVGYGRQQVHHQPHGDAFFHPLDCEPTLQIGYQHDPMSVVTAGPSVNNYMTGWLP >KJB78143 pep chromosome:Graimondii2_0_v6:12:34862523:34866293:-1 gene:B456_012G180300 transcript:KJB78143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTLERYQKCNYGAPEPNVSSREAALELSSRQEYLKLKARYDALQRSQRNLLGEDLGPLSSKELESLEKQLDSSLKLIRSTRTQYMLDQLNDLQRKEHLLNEANKTLKQRLVEGYQVNSLQLNPNATEDVGYGRQQVHHQPHGDAFFHPLDCEPTLQIGYQHDPMSVVTAGPSVNNYMTGWLP >KJB78145 pep chromosome:Graimondii2_0_v6:12:34862931:34867554:-1 gene:B456_012G180300 transcript:KJB78145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMIKTLERYQKCNYGAPEPNVSSREAALELSSRQEYLKLKARYDALQRSQRNLLGEDLGPLSSKELESLEKQLDSSLKLIRSTRTQYMLDQLNDLQRKEHLLNEANKTLKQRLVEGYQVNSLQLNPNATEDVGYGRQQVHHQPHGDAFFHPLDCEPTLQIGYVNFDSVSKNRMLDRIEFVRFIGFYIINIHFLNGLNLFTFPYFKSIFWFESIIRFMNKSKSVNFF >KJB75051 pep chromosome:Graimondii2_0_v6:12:2608552:2611342:-1 gene:B456_012G021200 transcript:KJB75051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLHVHAFPIILFFLFLFCSACGELIKALPSQPAKQYSGYILTQPQHGRALFYYFVEVDFANPLAHPLTLWLNGVLSAKDNMEFLLNWFQEFLLYRNLDLYLSGGSYAVHYIPQLVDLILSYNKRFSGSPIKLKAIAVNISLPNYSVVVFSYIWI >KJB75050 pep chromosome:Graimondii2_0_v6:12:2607945:2611342:-1 gene:B456_012G021200 transcript:KJB75050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLHVHAFPIILFFLFLFCSACGELIKALPSQPAKQYSGYILTQPQHGRALFYYFVEVDFANPLAHPLTLWLNGVLSAKDNMEFLLNWFQEFLLYRNLDLYLSGGSYAVHYIPQLVDLILSYNKRFSGSPIKLKAIAVNISLPNYSVVVFSYIWI >KJB76132 pep chromosome:Graimondii2_0_v6:12:11239775:11241097:-1 gene:B456_012G074100 transcript:KJB76132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNSKPKVDCIIGDGVLGLALDIADDLGIPIIQFRTISACSLWAYFAIPDMIHAGELPIKGNEDMDRLITSVPGMEAFLRCRDLPSFCRASDTKDSILQQLAQETRKNSEAHGLLLNTFEDLEGPILSHMRTKFPKIYTIGPLNLHLKTRLFKPDQTSSGPSSNSFREVDRSCLSWLDKQPKGSLF >KJB75203 pep chromosome:Graimondii2_0_v6:12:3760402:3763709:1 gene:B456_012G030600 transcript:KJB75203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPMSAAQSSLPTHWIGYLDDINSSGQNHPLENCFGQQLLIRHEVSPFDHMGKDITRYANCHGAHVHIYNDVVWCELVLHRLKL >KJB77402 pep chromosome:Graimondii2_0_v6:12:30878885:30882801:1 gene:B456_012G135500 transcript:KJB77402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSGIIQRPLAAAAAVAVASVSADFSVKLPSWDTCSTSEQIRTSTSSSLPEPKSSFVDHISASKLANFSFVTRIRVPIPSVKFPVPNSARDFVPKSSSFASSPLLVNLYQSAELSKASKPVAFKHNIPTSAPDVLYRWHLPEPSAIDVSGNSDCSTAKSRTAVVLLGWLGSKQKHLKKYADWYTSRGYHVITFTFPMIDIINYQDGGKAEKDVDMLVNHLADWLEEEYGENLVFHTFSNTGWLTYGVILEKFQKQDPSLMGRIRGCIVDSAPVAALDPQVWASGFSAAFLKKHSVATKGFPGSVEPGMGTLVGKKEVSEPKPALTEAALLVVLEKFFGVVLNFPGVNRRLSNVLGLLSSGQPNCPQLYIYSSADRVIPAKRVESFIEEQKRSGHEVRACNFVSTPHVDHFRNDPKLYTSQLSRFLEDCVHTCCKDS >KJB77399 pep chromosome:Graimondii2_0_v6:12:30878885:30882749:1 gene:B456_012G135500 transcript:KJB77399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPCRAVLNKRRLQRRVLWSVFDIVRAVNVHSLTARFFLKPLFNLHKVILVFVFLLFLGFLGIDQFVFWVFNMGSLSGIIQRPLAAAAAVAVASVSADFSVKLPSWDTCSTSEQIRTSTSSSLPEPKSSFVDHISASKLANFSFVTRIRVPIPSVKFPVPNSARDFVPKSSSFASSPLLVNLYQSAELSKASKPVAFKHNIPTSAPDVLYRWHLPEPSAIDVSGNSDCSTAKSRTAVVLLGWLGSKQKHLKKYADWYTSRGYHVITFTFPMIDIINYQDGGKAEKDVDMLVNHLADWLEEEYGENLVFHTFSNTGWLTYGVILEKFQKQDPSLMGRIRGCIVDSAPVAALDPQVWASGFSAAFLKKHSVATKGFPGSVEPGMGTLVGKKEVSEPKPALTEAALLVVLEKFFGVVLNFPGVNRRLSNVLGLLSSGQPNCPQLYIYSSADRVIPAKRVESFIEEQKRSGHEVRACNFVSTPHVDHFRNDPKLYTSQLSRFLEDCVHTCCKDS >KJB77400 pep chromosome:Graimondii2_0_v6:12:30879503:30882749:1 gene:B456_012G135500 transcript:KJB77400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSGIIQRPLAAAAAVAVASVSADFSVKLPSWDTCSTSEQIRTSTSSSLPEPKSSFVDHISASKLANFSFVTRIRVPIPSVKFPVPNSARDFVPKSSSFASSPLLVNLYQSAELSKASKPVAFKHNIPTSAPDVLYRWHLPEPSAIDVSGNSDCSTAKSRTAVVLLGWLGSKQKHLKKYADWYTSRGYHVITFTFPMIDIINYQDGGKAEKDVDMLVNHLADWLEEEYGENLVFHTFSNTGWLTYGVILEKFQKQDPSLMGRIRGCIVDSAPVAALDPQVWASGFSAAFLKKHSVATKGFPGSVEPGMGTLVGKKEVSEPKPALTEAALLVVLEKFFGVVLNFPGVNRRLSNVLGLLSSGQPNCPQLYIYSSADRVIPAKRVESFIEEQKRSGHEVRACNFVSTPHVDHFRNDPKLYTSQLSRFLEDCVHTCCKDS >KJB77403 pep chromosome:Graimondii2_0_v6:12:30880060:30882117:1 gene:B456_012G135500 transcript:KJB77403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSGIIQRPLAAAAAVAVASVSADFSVKLPSWDTCSTSEQIRTSTSSSLPEPKSSFVDHISASKLANFSFVTRIRVPIPSVKFPVPNSARDFVPKSSSFASSPLLVNLYQSAELSKASKPVAFKHNIPTSAPDVLYRWHLPEPSAIDVSGNSDCSTAKSRTAVVLLGWLGSKQKHLKKYADWYTSRGYHVITFTFPMIDIINYQDGGKAEKDVDMLVNHLADWLEEEYGENLVFHTFSNTGWLTYGVILEKFQKQDPSLMGRIRGCIVDSAPVAALDPQVWASGFSAAFLKKHSVATKGFPGSVEPGMGTLVGKKEVSEPKPALTEAALLVVLEKFFGVVLNFPGVNR >KJB77401 pep chromosome:Graimondii2_0_v6:12:30879549:30882801:1 gene:B456_012G135500 transcript:KJB77401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSGIIQRPLAAAAAVAVASVSADFSVKLPSWDTCSTSEQIRTSTSSSLPEPKSSFVDHISASKLANFSFVTRIRVPIPSVKFPVPNSARDFVPKSSSFASSPLLVNLYQSAELSKASKPVAFKHNIPTSAPDVLYRWHLPEPSAIDVSGNSDCSTAKSRTAVVLLGWLGSKQKHLKKYADWYTSRGYHVITFTFPMIDIINYQDGGKAEKDVDMLVNHLADWLEEEYGENLVFHTFSNTGWLTYGVILEKFQKQDPSLMGRIRGCIVDSAPVAALDPQVWASGFSAAFLKKHSVATKGFPGSVEPGMGTLVGKKEVSEPKPALTEAALLVVLEKFFGVVLNFPGVNRRLSNVLGLLSSGQPNCPQLYIYSSADRVIPAKRVESFIEEQKRSGHEVRACNFVSTPHVDHFRNDPKLYTSQLSRFLEDCVHTCCKDS >KJB76963 pep chromosome:Graimondii2_0_v6:12:26465324:26468712:1 gene:B456_012G114700 transcript:KJB76963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHHRHHHHHQQQHLQQQQQPVSVNVEADRFPQWSVQETKDFLMIRAELDQSFMETKRNKLLWEVISTRMREKGYNRSAEQCKCKWKNLFTRYKGCGTVDAETVRQQFPFYDELQAIFTARMQSVLCSESEGGGATGSKKKAAQAQLSSDEEDDTEENEYSFRKKKKGKTGGGSSSKSGSINIKEMLEDFMRQQLQMEMQWREALESRENERRMKEMEWRQTMEALENERIMMERRWREREEQRRIREEARAEKRDALITALLNKLRREDQM >KJB76964 pep chromosome:Graimondii2_0_v6:12:26465553:26466670:1 gene:B456_012G114700 transcript:KJB76964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHHRHHHHHQQQHLQQQQQPVSVNVEADRFPQWSVQETKDFLMIRAELDQSFMETKRNKLLWEVISTRMREKGYNRSAEQCKCKWKNLFTRYKGCGTVDAETVRQQFPFYDELQAIFTARMQSVLCSESEGGGATGSKKKAAQAQLSSDEEDDTEENEYSFRKKKKGKTGGGSSSKSGSINIKEMLEDFMRQQLQMEMQWREALESRENERRMKEMEWRQTMEALENERIMMERRWREREEQRRIREEARAEKRDALITALLNKLRREDQM >KJB78172 pep chromosome:Graimondii2_0_v6:12:35015006:35018027:-1 gene:B456_012G182100 transcript:KJB78172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDVYMQSWTGTIIGPPNTVHEGRIYQLKLFCGMDYPDNPPSVRFQTQINMTCVNPETKVVEPSLFPMLANWRREHTMEDILTQLKKEMMSPQNRKLTQPPEGV >KJB78170 pep chromosome:Graimondii2_0_v6:12:35014517:35018027:-1 gene:B456_012G182100 transcript:KJB78170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSSVVGKNFRLLEELERGEKGIGDGTVSYGMDDADDVYMQSWTGTIIGPPNTVHEGRIYQLKLFCGMDYPDNPPSVRFQTQINMTCVNPETKVVEPSLFPMLANWRREHTMEDILTQLKKEMMSPQNRKLTQPPEGNDEARIDQKGLVVRCCIF >KJB78169 pep chromosome:Graimondii2_0_v6:12:35014517:35018027:-1 gene:B456_012G182100 transcript:KJB78169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDVYMQSWTGTIIGPPNTVHEGRIYQLKLFCGMDYPDNPPSVRFQTQINMTCVNPETKVVEPSLFPMLANWRREHTMEDILTQLKKEMMSPQNRKLTQPPEGAMMRRGLIKRD >KJB78171 pep chromosome:Graimondii2_0_v6:12:35014527:35018027:-1 gene:B456_012G182100 transcript:KJB78171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDVYMQSWTGTIIGPPNTVHEGRIYQLKLFCGMDYPDNPPSVRFQTQINMTCVNPETKVVG >KJB78168 pep chromosome:Graimondii2_0_v6:12:35014479:35018074:-1 gene:B456_012G182100 transcript:KJB78168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDVYMQSWTGTIIGPPNTVHEGRIYQLKLFCGMDYPDNPPSVRFQTQINMTCVNPETKVVEPSLFPMLANWRREHTMEDILTQLKKEMMSPQNRKLTQPPEGNDEARIDQKGLVVRCCIF >KJB77730 pep chromosome:Graimondii2_0_v6:12:32716733:32720951:-1 gene:B456_012G153400 transcript:KJB77730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKIFSVFFFALLFLASPFLQVARCQSEAEADVAEAVEGGDLGIVGEDVQDFGDPNYSSAPEVKTVCVFPKNSAKLVLAGEQTELLVGMENIGESPVNVIAIKASVHLPFDHRMLVQNLTAVAFNNATVPPSVQATFPYVFAVSKYLQPGTFDLVGSIIYDIDQQPYQSTFYNGTIEVVEAGGFLSVESVFLVTLGIALLVLLGLWLHGQFQRITKKTKRAPKVEVGTRAPDASLDEWLQGTAYTQSASKSKKKK >KJB75117 pep chromosome:Graimondii2_0_v6:12:3145077:3151586:1 gene:B456_012G025200 transcript:KJB75117 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MNCLQNLPSFRSYALPFQGFKCNPRKLLSCSLNMMLHFQGGMNSSNVPRSMVVKAIPGSTSSEKTSNSDSEGKKSETYSHDMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQDPDLEYFGVDIGAIQDYAKKCGDIEHIRAQIRDFDAFDLRMRLPAVVSILHKAVNRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLSEAHRELLSKRSCFPKLEAIKSATADILTDLKKELVTLSWEDRKCSTVEVSGLDIGWGQRMPLKFDEENGSWTLQRELPEGRYEYKYIVDGEWTYNEFELVTTPNKDGHVNNFLHVVNSDPNSANGEARKRLTSDDPDLTKEERIKIRRFLES >KJB75113 pep chromosome:Graimondii2_0_v6:12:3145077:3147894:1 gene:B456_012G025200 transcript:KJB75113 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MNCLQNLPRSYALPFQGFKCNPRKLLSCSLNMMLHFQGGMNSSNVPRSMVVKAIPGSTSSEKTSNSDSEGKKSETYSHDMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQDPDLEYFGVDIGAIQDYAKKCGDIEHIRAQISRDFDAFDLRMRLPAVVSILHKAVNRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLSEAHRELLVSLPLFPCFYFRVNSILGAWICFYHFRPFG >KJB75118 pep chromosome:Graimondii2_0_v6:12:3145077:3151586:1 gene:B456_012G025200 transcript:KJB75118 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MNSSNVPRSMVVKAIPGSTSSEKTSNSDSEGKKSETYSHDMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQDPDLEYFGVDIGAIQDYAKKCGDIEHIRAQIRDFDAFDLRMRLPAVVSILHKAVNRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLSEAHRELLSKRSCFPKLEAIKSATADILTDLKKELVTLSWEDRKCSTVEVSGLDIGWGQRMPLKFDEENGSWTLQRELPEGRYEYKYIVDGEWTYNEFELVTTPNKDGHVNNFLHVVNSDPNSANGEARKRLTSDDPDLTKEERIKIRRFLES >KJB75112 pep chromosome:Graimondii2_0_v6:12:3145070:3151619:1 gene:B456_012G025200 transcript:KJB75112 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MNCLQNLPRSYALPFQGFKCNPRKLLSCSLNMMLHFQGGMNSSNVPRSMVVKAIPGSTSSEKTSNSDSEGKKSETYSHDMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQDPDLEYFGVDIGAIQDYAKKCGDIEHIRAQIRDFDAFDLRMRLPAVVSILHKAVNRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLSEAHRELLSKRSCFPKLEAIKSATADILTDLKKELVTLSWEDRKCSTVEVSGLDIGWGQRMPLKFDEENGSWTLQRELPEGRYEYKYIVDGEWTYNEFELVTTPNKDGHVNNFLHVVNSDPNSANGEARKRLTSDDPDLTKEERIKIRRFLES >KJB75119 pep chromosome:Graimondii2_0_v6:12:3145164:3147772:1 gene:B456_012G025200 transcript:KJB75119 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MNCLQNLPRSYALPFQGFKCNPRKLLSCSLNMMLHFQGGMNSSNVPRSMVVKAIPGSTSSEKTSNSDSEGKKSETYSHDMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQDPDLEYFGVDIGAIQDYAKKCGDIEHIRAQIRDFDAFDLRMRLPAVVSILHKAVNRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLSEAHRELLVSLPLFPCFYFRVNSILGAWICFYHFRVDDFILE >KJB75115 pep chromosome:Graimondii2_0_v6:12:3145077:3151589:1 gene:B456_012G025200 transcript:KJB75115 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MNCLQNLPRSYALPFQGFKCNPRKLLSCSLNMMLHFQGGMNSSNVPRSMVVKAIPGSTSSEKTSNSDSEGKKSETYSHDMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQDPDLEYFGVDIGAIQDYAKKCGDIEHIRAQIRDFDAFDLRMRLPAVVSILHKAVNRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLSEAHRELLVSLPLFPCFYFRVNSILGAWICFYHFRSKRSCFPKLEAIKSATADILTDLKKELVTLSWEDRKCSTVEVSGLDIGWGQRMPLKFDEENGSWTLQRELPEGRYEYKYIVDGEWTYNEFELVTTPNKDGHVNNFLHVVNSDPNSANGEARKRLTSDDPDLTKEERIKIRRFLES >KJB75114 pep chromosome:Graimondii2_0_v6:12:3145077:3150054:1 gene:B456_012G025200 transcript:KJB75114 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MNCLQNLPRSYALPFQGFKCNPRKLLSCSLNMMLHFQGGMNSSNVPRSMVVKAIPGSTSSEKTSNSDSEGKKSETYSHDMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQDPDLEYFGVDIGAIQDYAKKCGDIEHIRAQIRDFDAFDLRMRLPAVVSILHKAVNRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLSEAHRELLSKRSCFPKLEAIKSATADILTDLKKELVTLSWEDRKCSTVEVSGLDIGWGQVMIYYSLLAHLSYSSS >KJB75116 pep chromosome:Graimondii2_0_v6:12:3145077:3151586:1 gene:B456_012G025200 transcript:KJB75116 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MNCLQNLPRSYALPFQGFKCNPRKLLSCSLNMMLHFQGGMNSSNVPRSMVVKAIPGSTSSEKTSNSDSEGKKSETYSHDMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQDPDLEYFGVDIGAIQDYAKKCGDIEHIRAQISRDFDAFDLRMRLPAVVSILHKAVNRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLSEAHRELLSKRSCFPKLEAIKSATADILTDLKKELVTLSWEDRKCSTVEVSGLDIGWGQRMPLKFDEENGSWTLQRELPEGRYEYKYIVDGEWTYNEFELVTTPNKDGHVNNFLHVVNSDPNSANGEARKRLTSDDPDLTKEERIKIRRFLES >KJB77446 pep chromosome:Graimondii2_0_v6:12:31094584:31098288:1 gene:B456_012G137600 transcript:KJB77446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATRHRALGGGVNHVLDYLRRMQAENPSFFYAIQGDNDHTGGSIFWADATSRMNYAYFGDTVIFDTTYRTNRYRVPFASFTGLNHHGQPVLFGCALILNDSEASFAWLFQTWLHAMSDRRPISITTDPDRLIQMAVTQVLPETRLRFNRWSIFKETQEKLAHIYQSQPTFEIEFKKCVNETETINEFESSWVSLLERYFVMDNEWLQSMYNARQQWVPAYMRDTFFGDFSITERNIGLNSFFEGFVNASTTIQMLIKQYEKAVASWHEKELKSDYDTINTSPVLKTPSPMEKQAANLYTRRIFMKFQEELVETLANPATKIDDSGTVATYRVAKFGEEHKAHTVNFTSFEMKANCSCQMFEHSGIICRHILAVFRAKNVLTLPSQYVLKRWTRNAKIGDMQDEHATELPNNSRESLTDRSNTLRQEAIKYVEEGAKSIHIYKVAMDALQEALKKVSSAKNQSPVSAEDGALSNGRNQELHAAGDTETVACQSADEKEKKIRELTTELESINRRCEVYRSNLLAVLRDMEEQKLKLSVKVQNARLNLRE >KJB77445 pep chromosome:Graimondii2_0_v6:12:31094584:31097494:1 gene:B456_012G137600 transcript:KJB77445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATRHRALGGGVNHVLDYLRRMQAENPSFFYAIQGDNDHTGGSIFWADATSRMNYAYFGDTVIFDTTYRTNRYRVPFASFTGLNHHGQPVLFGCALILNDSEASFAWLFQTWLHAMSDRRPISITTDPDRLIQMAVTQVLPETRLRFNRWSIFKETQEKLAHIYQSQPTFEIEFKKCVNETETINEFESSWVSLLERYFVMDNEWLQSMYNARQQWVPAYMRDTFFGDFSITERNIGLNSFFEGFVNASTTIQMLIKQYEKAVASWHEKELKSDYDTINTSPVLKTPSPMEKQAANLYTRRIFMKFQEELVETLANPATKIDDSGTVATYRVAKFGEEHKAHTVNFTSFEMKANCSCQMFEHSGIICRHILAVFRAKNVLTLPSQYVLKRWTRNAKIGDMQDEHATELPNNSRESLTDRSNTLRQEAIKYVEEGAKSIHIYKVAMDALQEALKKVSSAKNQSPVSAEDGALSNGRNQELHAAGDTETVACQSARGSHQSAFYFY >KJB78365 pep chromosome:Graimondii2_0_v6:12:3663083:3666862:1 gene:B456_012G029500 transcript:KJB78365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGNGELSIDTLNLNALPQDCIATIISFTSPRDACRLSIVSTIFKLAAESDAVWESFLPSQYQALIPSSLSFSSKKELYLSLCENPVLIEGGRKSFWLEKVSGKKCYMLSPKDLYIEWVNTPTYWRWVSIPEARFEEVSELLSVCWFEIRGKISISRLSPMTLYKAYLVFKVHDVYGFDYYPVHLSVGLVGTDGSMRTAYLDPEHVLTEQQSTPVEDEMFPKARVDGWLEVELGEFFNEGCACDGELEMTAIEIDGGNWKGGLIFQGIEIRAVTPNLCDSNLGLDSLSAAESDTAWESLLPCQYQDLVPTLVSFSSKKDIYLSLGGNPVLIEGGRKSFWLEKASGKKCYMLSPKELYIEWVNTPTYWSWVRILEARSLFPPCRWI >KJB75969 pep chromosome:Graimondii2_0_v6:12:9305651:9308523:-1 gene:B456_012G065900 transcript:KJB75969 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 724, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G14400) TAIR;Acc:AT5G14400] MFGFFPLILSAFLLGLGFYLLLQVLARKKPANLPRGSMGWPLFGETLGFLKPHSSNSMGSFLQEHCSRYGRVFKSHLFGSPTIVSCDHELNMVVLQNEEKLFKVSYPRAMHGILGKFSLLIVSGDVHKKLRNIGVSFITSSKSNPEFLDCVEKMTISMMNSWKNCKEIGFYKEVKKFTLNLMVKHLLSIEPEEPLAFKILEDFLTYMKGFVSLPVYLPGTPYASAVKARARLSATVREIIKERRKEQLGLVKGDFLDAILSKENLSDEKTVSIVLDMLLAGYETTATLMALIVYFLSLYPNVLAHLKQEHNAIRKNKENGESLNWEDYQKMEFTCNVIYESLRCGNVVKFVHRVALQDVKFKGYFIPSGWKILPVLTAVHFDPSLHENPMEFNPWRWTDKATSKKVMPFGGGPRLCPGADLAKVEIAFFLHHLVLNYRWKTMADDSPLAYPYVEFRRGLLLEIEPIQAKAGKIET >KJB77316 pep chromosome:Graimondii2_0_v6:12:30034827:30035638:1 gene:B456_012G131300 transcript:KJB77316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETRFSINLKTFFDIGNKGGSHGIHVFVSKTPSFICVAVVVVKARRPKVRHRLVLLVTSWLLRRYHWNQRGRIVCHVLGGVHRRRNLSASSATMLGDGSRERLG >KJB74583 pep chromosome:Graimondii2_0_v6:12:5565566:5567624:1 gene:B456_012G044500 transcript:KJB74583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPRAASNDDDKHSGNSKEAAAAKTAGFIVFSGIAMSILKTLNPFNKERNATAVPQQPVVESIQPSPAQPIRDSPPPPVSEPIITKEAGGYTEQRSPE >KJB74582 pep chromosome:Graimondii2_0_v6:12:5565566:5567024:1 gene:B456_012G044500 transcript:KJB74582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPRAASNDDDKHSGNSKEAAAAKTAGFIVFSGIAMSILKTLNPFNKERNATAVPQQPVVESIQPSPAQPIRDSPPPPVSEPIITKEAGGYTEQRSPE >KJB75730 pep chromosome:Graimondii2_0_v6:12:7010401:7010937:-1 gene:B456_012G053700 transcript:KJB75730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSANSNSNMILLFNGFSCYNTVKSYVSRYWSFSPQSQTSDWDLKNQKPGLCRKEVEILMGNLGIFCSQESEEQLNESYSCEEISRLFEQEPSLEEVKQAFDVFDVNKDGFIDAEELQRILCVLGLKQGLKLENCNNMINTFDEDGDGRIDFQEFVKFMENSFC >KJB76542 pep chromosome:Graimondii2_0_v6:12:16712119:16713390:-1 gene:B456_012G093700 transcript:KJB76542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQNRPLKFSTITHHASVTQCLGSIGGHVWYLGIAKPSLVDSEEVKNEKGKIAVQSRCGHFYVPPAIDNVHVFRIAGPKFIKLNRGTWHAGPLFKADAMDFYNLELSNTNVVDHTTHVFKKENGVIFSIDE >KJB76543 pep chromosome:Graimondii2_0_v6:12:16712502:16713826:-1 gene:B456_012G093700 transcript:KJB76543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELTVIKLKPIEATPESFKEFGQVIEASPDGEEFGPTDAQLDLSKGIPRFYIMNLQNRPLKFSTITHHASVTQCLGSIGGHVWYLGIAKPSLVDSEEVKNEKGKIAVQSRCGHFYVPPAIDNVHVFRIAGPKFIKLNRGTWHAGPLFKADAMDFYNLELSNTNVSSCALKPFSL >KJB76541 pep chromosome:Graimondii2_0_v6:12:16712071:16713948:-1 gene:B456_012G093700 transcript:KJB76541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELTVIKLKPIEATPESFKEFGQVIEASPDGEEFGPTDAQLDLSKGIPRFYIMNLQNRPLKFSTITHHASVTQCLGSIGGHVWYLGIAKPSLVDSEEVKNEKGKIAVQSRCGHFYVPPAIDNVHVFRIAGPKFIKLNRGTWHAGPLFKADAMDFYNLELSNTNVVDHTTHVFKKENGVIFSIDE >KJB75270 pep chromosome:Graimondii2_0_v6:12:4328673:4332647:1 gene:B456_012G035000 transcript:KJB75270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSTLARTSLLHLSPLRSTSSTRDNATESVAIEGRNINFSVNTRQGRIVPILKDCSIRIPSGQLWMLLGPNGCGKSTLLKILAGLLTPTNGALYVRRPKSYVFQNPDHQVVMPTVEADVAFGLGRLNLTNSEVRSRVSKALEAVGMSEYLQRPVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDESDQMGVIKAVQNLLDISGEVTALWVTHRLEELEYADGAVYMEDGRVIMHGDAASINKFIKAKQSSYINRINS >KJB75275 pep chromosome:Graimondii2_0_v6:12:4331177:4332618:1 gene:B456_012G035000 transcript:KJB75275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVMPTVEADVAFGLGRLNLTNSEVRSRVSKALEAVGMSEYLQRPVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDESDQMGVIKAVQNLLDISGEVTALWVTHRLEELEYADGAVYMEDGRVIMHGDAASINKFIKAKQSSYINRINS >KJB75273 pep chromosome:Graimondii2_0_v6:12:4328684:4332618:1 gene:B456_012G035000 transcript:KJB75273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSTLARTSLLHLSPLRSTSSTRDNATESVAIEGRNINFSVNTRQGRIVPILKDCSIRIPSGQLWMLLGPNGCGKSTLLKVVMPTVEADVAFGLGRLNLTNSEVRSRVSKALEAVGMSEYLQRPVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDESDQMGVIKAVQNLLDISGEVTALWVTHRLEELEYADGAVYMEDGRVIMHGDAASINKFIKAKQSSYINRINS >KJB75274 pep chromosome:Graimondii2_0_v6:12:4328937:4332618:1 gene:B456_012G035000 transcript:KJB75274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGPNGCGKSTLLKILAGLLTPTNGALYVRRPKSYVFQNPDHQVVMPTVEADVAFGLGRLNLTNSEVRSRVSKALEAVGMSEYLQRPVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDESDQMGVIKAVQNLLDISGEVTALWVTHRLEELEYADGAVYMEDGRVIMHGDAASINKFIKAKQSSYINRINS >KJB75271 pep chromosome:Graimondii2_0_v6:12:4328684:4332026:1 gene:B456_012G035000 transcript:KJB75271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSTLARTSLLHLSPLRSTSSTRDNATESVAIEGRNINFSVNTRQGRIVPILKDCSIRIPSGQLWMLLGPNGCGKSTLLKILAGLLTPTNGALYVRRPKSYVFQNPDHQVVMPTVEADVAFGLGRLNLTNSEVRSRVSKALEAVGMSEYLQRPVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDESDQVSNSRHKVECVSIFTDASFA >KJB75272 pep chromosome:Graimondii2_0_v6:12:4328684:4332618:1 gene:B456_012G035000 transcript:KJB75272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSTLARTSLLHLSPLRSTSSTRDNATESVAIEGRNINFSVNTRQGRIVPILKDCSIRIPSGQLWMLLGPNGCGKSTLLKILAGLLTPTNGALYVRRPKSYVFQNPDHQVVMPTVEADVAFGLGRLNLTNSEVRSRVSKALEAVGMSEYLQRPVQTLSGGQKQRVAIAGALAEACKVLLLDETRVCRWCSIHGRWKSDYAW >KJB76717 pep chromosome:Graimondii2_0_v6:12:22916664:22917203:1 gene:B456_012G102700 transcript:KJB76717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVIRFGWSFEGFTVTNVIYSSIAGVEIPKFVDTVTPQYKPKFDALLVELKEAEEKSLKESERLEKEIAEVQELKQKISTMTADEYFEKHPELKKKFNDEIRNDYWGY >KJB77193 pep chromosome:Graimondii2_0_v6:12:28881123:28887347:-1 gene:B456_012G125200 transcript:KJB77193 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 3 [Source:Projected from Arabidopsis thaliana (AT2G33540) UniProtKB/Swiss-Prot;Acc:Q8LL04] MKEIEVMLLSLNSPARAIDSEKEIKIVNKKDPDALAENVGHDLTVTNKLPLSVDSEIHNMPNILTEALKPGVPNFRNKGLSLPLLDLHKDHDADSLPSPTRETTPCLPVLRPLTTGDGMVRSGFMMAKGLPDAERNKMHPYETDALKAFSSYQRKFGRGSFFSSDRLPSPTPSEESGDEGCDTGGEVSSSSSIGNFKPNLPVMGHPIVSSAPHIDSASSTSSMQGQFTTQNATPVTVSSASNILSKASAKSRDPRLRFANSNVSALDLNQRPLHNASKVPPVSGIMDPRKKKSTEEPVLDGPAPKRQKNELENFGVRDVQAVSGNGGWLEDTDNCESQITNRNQTMETLDSNSRKMEHGVTCSSTLSGKTNTTVNKNEQVPLTGMSNPSLPALLKDIAVNPTMLINILKMGQQQRLPSESQQKTPDPLKNTLYQPSSNPVLGVIPPANVIPSPSVNVVPSSSSGTLSKPAGNLQGPPLDESCKIRMKPRDPRRVLHGNVLQKSGSVGPDQLKTNGTSPASSTQGSKDNMNAQKQLENQIEAKPIQCQFVPPPDIAQQFTQSLKNIAGMMSGPQSFAGLPAVSQNLVSQPIQVKSETADKNTKGSNSEDQQTGTGTAPEAGVTCPPPSQNAWGDVEHLFEKYDDRQKAAIQRERARRIEEQKKMFAARKLCLVLDLDHTLLNSAKFIEVDPVHEEILRKKEEQDREKPQRHLFRFHHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPKGVLFAGRVISRGDDGDPFDGDERVPRSKDLEGVLGMESSVVIIDDSVRVWPHNKLNLIVVERYTYFPCSRRQFGLLGPSLLEIDHDERPEDGTLASSLAVIERIHQNFFSHQNLDDLDVRNILATEQRKILSGCRIVFSRVFPVGEANPHLHPLWQTAEQFGAVCTNQIDEHVTHVVANSLGTDKVNWALSTGKFVVHPGWVEASALLYRRANEHDFAIKP >KJB77192 pep chromosome:Graimondii2_0_v6:12:28881114:28886138:-1 gene:B456_012G125200 transcript:KJB77192 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 3 [Source:Projected from Arabidopsis thaliana (AT2G33540) UniProtKB/Swiss-Prot;Acc:Q8LL04] MLIANVAESDLFSLQAFVALNSNLKEQNVSILSRLLSVVKGFDPPLFPLDKMKEIEVMLLSLNSPARAIDSEKEIKIVNKKDPDALAENVGHDLTVTNKLPLSVDSEIHNMPNILTEALKPGVPNFRNKGLSLPLLDLHKDHDADSLPSPTRETTPCLPVLRPLTTGDGMVRSGFMMAKGLPDAERNKMHPYETDALKAFSSYQRKFGRGSFFSSDRLPSPTPSEESGDEGCDTGGEVSSSSSIGNFKPNLPVMGHPIVSSAPHIDSASSTSSMQGQFTTQNATPVTVSSASNILSKASAKSRDPRLRFANSNVSALDLNQRPLHNASKVPPVSGIMDPRKKKSTEEPVLDGPAPKRQKNELENFGVRDVQAVSGNGGWLEDTDNCESQITNRNQTMETLDSNSRKMEHGVTCSSTLSGKTNTTVNKNEQVPLTGMSNPSLPALLKDIAVNPTMLINILKMGQQQRLPSESQQKTPDPLKNTLYQPSSNPVLGVIPPANVIPSPSVNVVPSSSSGTLSKPAGNLQGPPLDESCKIRMKPRDPRRVLHGNVLQKSGSVGPDQLKTNGTSPASSTQGSKDNMNAQKQLENQIEAKPIQCQFVPPPDIAQQFTQSLKNIAGMMSGPQSFAGLPAVSQNLVSQPIQVKSETADKNTKGSNSEDQQTGTGTAPEAGVTCPPPSQNAWGDVEHLFEKYDDRQKAAIQRERARRIEEQKKMFAARKLCLVLDLDHTLLNSAKFIEVDPVHEEILRKKEEQDREKPQRHLFRFHHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPKGVLFAGRVISRGDDGDPFDGDERVPRSKDLEGVLGMESSVVIIDDSVRVWPHNKLNLIVVERYTYFPCSRRQFGLLGPSLLEIDHDERPEDGTLASSLAVIERIHQNFFSHQNLDDLDVRNILATEQRKILSGCRIVFSRVFPVGEANPHLHPLWQTAEQFGAVCTNQIDEHVTHVVANSLGTDKVNWALSTGKFVVHPGWVEASALLYRRANEHDFAIKP >KJB77191 pep chromosome:Graimondii2_0_v6:12:28880827:28887434:-1 gene:B456_012G125200 transcript:KJB77191 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 3 [Source:Projected from Arabidopsis thaliana (AT2G33540) UniProtKB/Swiss-Prot;Acc:Q8LL04] MGKDEIKLEDVEEGEISDSASIEEISEEDFNKQEVKILKESKSSKGGEANSNSRVWTMQDLCKYPSVIRGYASGLYNFAWAQAVQNKPLNDIFVKELEQQPQQDENNNSKRSSPSSSVASVNSKEEKGYSGNSADRVVIDDDTGDEMEEDKIVNLDKEEGELEEGEIDLDSEPVKERVLSSEDGNVGISDELEKRVNLIRGVLEGITVIEAEKSFEVVCSRLQNALESLQGLVFEYGVPTKDTLIELALGAVNSAFVALNSNLKEQNVSILSRLLSVVKGFDPPLFPLDKMKEIEVMLLSLNSPARAIDSEKEIKIVNKKDPDALAENVGHDLTVTNKLPLSVDSEIHNMPNILTEALKPGVPNFRNKGLSLPLLDLHKDHDADSLPSPTRETTPCLPVLRPLTTGDGMVRSGFMMAKGLPDAERNKMHPYETDALKAFSSYQRKFGRGSFFSSDRLPSPTPSEESGDEGCDTGGEVSSSSSIGNFKPNLPVMGHPIVSSAPHIDSASSTSSMQGQFTTQNATPVTVSSASNILSKASAKSRDPRLRFANSNVSALDLNQRPLHNASKVPPVSGIMDPRKKKSTEEPVLDGPAPKRQKNELENFGVRDVQAVSGNGGWLEDTDNCESQITNRNQTMETLDSNSRKMEHGVTCSSTLSGKTNTTVNKNEQVPLTGMSNPSLPALLKDIAVNPTMLINILKMGQQQRLPSESQQKTPDPLKNTLYQPSSNPVLGVIPPANVIPSPSVNVVPSSSSGTLSKPAGNLQGPPLDESCKIRMKPRDPRRVLHGNVLQKSGSVGPDQLKTNGTSPASSTQGSKDNMNAQKQLENQIEAKPIQCQFVPPPDIAQQFTQSLKNIAGMMSGPQSFAGLPAVSQNLVSQPIQVKSETADKNTKGSNSEDQQTGTGTAPEAGVTCPPPSQNAWGDVEHLFEKYDDRQKAAIQRERARRIEEQKKMFAARKLCLVLDLDHTLLNSAKFIEVDPVHEEILRKKEEQDREKPQRHLFRFHHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPKGVLFAGRVISRGDDGDPFDGDERVPRSKDLEGVLGMESSVVIIDDSVRVWPHNKLNLIVVERYTYFPCSRRQFGLLGPSLLEIDHDERPEDGTLASSLAVIERIHQNFFSHQNLDDLDVRNILATEQRKILSGCRIVFSRVFPVGEANPHLHPLWQTAEQFGAVCTNQIDEHVTHVVANSLGTDKVNWALSTGKFVVHPGWVEASALLYRRANEHDFAIKP >KJB75120 pep chromosome:Graimondii2_0_v6:12:3359900:3363512:-1 gene:B456_012G027000 transcript:KJB75120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKTSPGLKILWVWTIGTAAILVTNVMRTRLRDMEKVMSAQQQQQEGVTPDSVILDTSPESNEGIVREVK >KJB75121 pep chromosome:Graimondii2_0_v6:12:3362593:3363512:-1 gene:B456_012G027000 transcript:KJB75121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKTSPGLKILWVWTIGTAAILVTNVMRTRLRDMEKVMSAQQQQQEGVTPDSVILDTSPESNEGIVREVK >KJB75067 pep chromosome:Graimondii2_0_v6:12:2710898:2715690:1 gene:B456_012G022000 transcript:KJB75067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTKNEREDRMLSKNQKELPLIDDGNCGDADGGALLKKGPWTSAEDAILIDYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGAFTREEEHLIIELHAKMGNKWARMAANLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVCLQALKESHRISAVSGGDKGTHDILQNNTYEIPDVIFDSLKANQNVLPYVPELPDISASSMMMKVLGSSQYSSFMPPAIHRQKRLRESAAFSPGCTAAVKNDCPLFEQFEDNMFNKAAKPFGQSFPFEPDPLTKKSQSFSAFQGSHALSHGNFSASEPTLEAVKLELPSLQYPETELENWGTFSCSSSLLESVDAFIQSPQTTSAVVLDSHSPRNSGLLDALLHEAKTLSSAKNHASDKTSNSSTPCDIAERSNFNICETEWENCGETLSPMCHSATSFFSESISASGSGSSLDEQPPAETFTEPHTKSESSDHVLTPEVEKEAPIWSDGRCPDILLASNWLEQGSGYDKDETIMIDAIATLLSDDLSSEYTSMLAGTSVSSQAWEPSCCAWNNMPAVCQMSELP >KJB75701 pep chromosome:Graimondii2_0_v6:12:6719158:6720163:-1 gene:B456_012G051900 transcript:KJB75701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGLNLGLIVIMIGLVVETEIGESMRFELDSGKTKCIAEDIKANAMTVGKYSIVNPSEGQPFPDSHKLVVRGNNYHLGDQVDSGTFAFTAAESGDYTTCFWANKHKPPVKMTIEFDWKSGVAAKDWSKTKEIELKKLYDTVSAIHEEMFYLRERDEEMQELNKETNSKMATLSFFSLLLCLSVAGLQIWHLKSFFESKKLL >KJB78125 pep chromosome:Graimondii2_0_v6:12:34983388:34988149:1 gene:B456_012G1818002 transcript:KJB78125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEVMKVPTTPFEGQKPGTSGLRKKVKVFVQPHYLQNFVQATFNALTPEKVKGATLVVSGDGRYFSKDAVQIIIKMSAANGVRRVWVGQNGLLSTPAVSAVIRERVGADGSKATGAFILTASHNPGGPNEDFGIKYNMENGGPAPEALTDKIFENTKTIKEYLIADELREVDISKIGVTNFSGPDGPFDVEVFDSASDYVKLMRSIFDFELIRKLLSSPKFTFCYDALHGVAGAYANRIFVEELGAQQSSLLNCTPKEDFGGGHPDPNLTYAKELVERMGLGKSNSGVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVGAIPYFSSGLKGVA >KJB78127 pep chromosome:Graimondii2_0_v6:12:34983870:34988149:1 gene:B456_012G1818002 transcript:KJB78127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEVMKVPTTPFEGQKPGTSGLRKKVKVFVQPHYLQNFVQATFNALTPEKVKGATLVVSGDGRYFSKDAVQIIIKMSAANGVRRVWVGQNGLLSTPAVSAVIRERVGADGSKATGAFILTASHNPGGPNEDFGIKYNMENGGPAPEALTDKIFENTKTIKEYLIADELREVDISKIGVTNFSGPDGPFDVEVFDSASDYVKLMRSIFDFELIRKLLSSPKFTFCYDALHGVAGAYANRIFVEELGAQQSSLLNCTPKEDFGGGHPDPNLTYAKELVERMGLGKSNSGVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVGAIPYFSSGLKGVA >KJB78128 pep chromosome:Graimondii2_0_v6:12:34983388:34988149:1 gene:B456_012G1818002 transcript:KJB78128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEVMKVPTTPFEGQKPGTSGLRKKVKVFVQPHYLQNFVQATFNALTPEKVKGATLVVSGDGRYFSKDAVQMSAANGVRRVWVGQNGLLSTPAVSAVIRERVGADGSKATGAFILTASHNPGGPNEDFGIKYNMENGGPAPEALTDKIFENTKTIKEYLIADELREVDISKIGVTNFSGPDGPFDVEVFDSASDYVKLMRSIFDFELIRKLLSSPKFTFCYDALHGVAGAYANRIFVEELGAQQSSLLNCTPKEDFGGGHPDPNLTYAKELVERMGLGKSNSGVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVGAIPYFSSGLKGVA >KJB78131 pep chromosome:Graimondii2_0_v6:12:34983783:34988149:1 gene:B456_012G1818002 transcript:KJB78131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEVMKVPTTPFEGQKPGTSGLRKKVKVFVQPHYLQNFVQATFNALTPEKVKGATLVVSGDGRYFSKDAVQIIIKMSAANGVRRVWVGQNGLLSTPAVSAVIRERVGADGSKATGAFILTASHNPGGPNEDFGIKYNMENGGPAPEALTDKIFENTKTIKEYLIADELREVDISKIGVTNFSGPDGPFDVEVFDSASDYVKLMRSIFDFELIRKLLSSPKFTFCYDALHGVAGAYANRIFVEELGAQQSSLLNCTPKEDFGGGHPDPNLTYAKELVERMGLGKSNSGVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVGAIPYFSSGLKGVA >KJB78130 pep chromosome:Graimondii2_0_v6:12:34983388:34988149:1 gene:B456_012G1818002 transcript:KJB78130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEVMKVPTTPFEGQKPGTSGLRKKVKVFVQPHYLQNFVQATFNALTPEKVKGATLVVSGDGRYFSKDAVQIIIKMSAANGVRRVWVGQNGLLSTPAVSAVIRERVGADGSKATGAFILTASHNPGGPNEDFGIKYNMENGGPAPEALTDKIFENTKTIKEYLIADELREVDISKIGVTNFSGPDGPFDVEVFDSASDYVKLMRSIFDFELIRKLLSSPKFTFCYDALHGVAGAYANRIFVEELGAQQSSLLNCTPKEDFGGGHPDPNLTYAKELVERMGLGKSNSGVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVGAIPYFSSGLKGVA >KJB78129 pep chromosome:Graimondii2_0_v6:12:34983370:34988149:1 gene:B456_012G1818002 transcript:KJB78129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEVMKVPTTPFEGQKPGTSGLRKKVKVFVQPHYLQNFVQATFNALTPEKVKGATLVVSGDGRYFSKDAVQIIIKMSAANGVRRVWVGQNGLLSTPAVSAVIRERVGADGSKATGAFILTASHNPGGPNEDFGIKYNMENGGPAPEALTDKIFENTKTIKEYLIADELREVDISKIGVTNFSGPDGPFDVEVFDSASDYVKLMRSIFDFELIRKLLSSPKFTFCYDALHGVAGAYANRIFVEELGAQQSSLLNCTPKEDFGGGHPDPNLTYAKELVERMGLGKSNSGVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVGAIPYFSSGLKGVA >KJB78126 pep chromosome:Graimondii2_0_v6:12:34983870:34988149:1 gene:B456_012G1818002 transcript:KJB78126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEVMKVPTTPFEGQKPGTSGLRKKVKVFVQPHYLQNFVQATFNALTPEKVKGATLVVSGDGRYFSKDAVQIIIKMSAANGVRRVWVGQNGLLSTPAVSAVIRERVGADGSKATGAFILTASHNPGGPNEDFGIKYNMENGGPAPEALTDKIFENTKTIKEYLIADELREVDISKIGVTNFSGPDGPFDVEVFDSASDYVKLMRSIFDFELIRKLLSSPKFTFCYDALHGVAGAYANRIFVEELGAQQSSLLNCTPKEDFGGGHPDPNLTYAKELVERMGLGKSNSGVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVGAIPYFSSGLKGVA >KJB74848 pep chromosome:Graimondii2_0_v6:12:1172873:1177608:-1 gene:B456_012G010600 transcript:KJB74848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETSGYTAIAVDKDRNSQLAAKWAVDHLLKPGSKCFFVHVLKSLHPQEFEAIPMEGRPPSEAEMQLYFLSCRGYCSRKGIESHEMVLHGIDVPSTLVDYINNNDIGNIIVGASSRNVLTRKLRNPDVPTSLLKLAPESCGVYVILKGKVQASRLASRSQKSEDSKSTNPHKSQHLKALLSTRTYDNSEIEDISRSSSQRTVSSSKGRSSGITSDRVNDSFQVRPCENLKTNKQVTSPKASKRSKIPESLHRISITERTDFSGPPSCRSTDISSHGSESTAYNSSSLASLTPRGLEVEMKRLRKELKRSMDMFKSVCEEAVVAKDKLKELQEWKEAEERKLEEAKLSEEAAVALAEAEREKTKAAMDAAQTAQRLADMEARKRKLAELKANQEEEEKQRALDKLVNNKAAYRKYTLDDIEAATDYFASAHKIGEGGYGPVFKATLDHTAVAIKILRPDISQGQKQFQQEVEVLSCMRHPHLVLLIGACPEYGCLVYEYMENGSLEDRLFRKDNTPSIPWKIRFRIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDKNYVSKISDVGLSRLVPASIADGVAQYRLTAARGTFCYIDPEYQQTGMLGVKSDLYSLGVVLLQLLTSKPPVGLTVHIQEAIEKGTFAEMLDPTVPDWPVEEALSLAKLALQCCELRKRDRPDLDSVVLPELNRLRNLELQHEANNSEIQHEANNSEKVASKPPLHISVPEIRSQENQVS >KJB76526 pep chromosome:Graimondii2_0_v6:12:16588992:16595622:1 gene:B456_012G093200 transcript:KJB76526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAKEKGDSVSIVSGSSVTVSETVVETMACEDRIRIKEGGEAGLGNGDDVTVEVLDSHVHIDGGDGGAVQARSIDEAVCGHQESSKVGLEVNMRTLDSECHAVGGLGSRNEVLGGEARAQNEVNGGVVRSCSNDVVCGCDESDEVGLEGNPSTLDSEGDLAGDLGSRYEVSRGEAKALNEVNGARVLGFSALDSSAGKNAEQSSGINEGGGDLNQATETGKVGNLDSYELNHGNQKRVVCLSAASEDSGVQTKIVEEAAMAIDEEDLNALDGGQETPISEPIKKAASVNVDAASLNVNTLVTAECVPDSEAKDPVYSCQSTGSIAAGQLDEKVSSNMEIDKQGADSEQQQMEVKTPHLSTQKHDTGNVQSLKPEPIIDGGEGVGLSTVEAVLDEKQVSDAKEVGFDAEKDVKVEKMGVSPKNHDASDVSEPLGHQMDVFVGSGEGEASKVDNNVLNQISPAVASDKELQSSGNEDPLAKNVVSEDDSSVGQEMNVEDQITSDEPDCLEQVEERDSDSDQPTNVDEQTVKQTSLKSLSGVKMHQAQQLLSEEGGFSVSDLVWGKVKSHPWWPGQIFDPSDASKKAVKYQKKDSFLVAYFGDRTFAWNEPSVLKPFRTHFSQIVKQSNLESFENAVNCALEEVSRRVELGLACPCIPKYAYDRIKFQKVENTGVQEESSLRDGVDVSLSVSSFEPDKLIDYMKALAESPYSRGDRIDLVIAKAQLLAFYRLKGYHQLPESQFSEEKRLEVVDCATPMDTDGELKSEASKTRRRSYLKRKHNLKDGLYPSKKERSLSELMSETFDSPDGEIGSDVIGNKPPSSSFGKKRKAIDSSEDSVMQDRRKTSKGSLSTPHFPKPSFKIGERISRAASQMAGSPSILKSSGDRLQKLDGGCETPATCGYDVPIDNLEDAEGKRMGILTEYSSLDELLLQLHLAACDPMKGYSSLNIIISFFSDFRDSIVLDRLSRDKAVGQRKKSPNSIIGFSETFEFEDMSDTYWTDRIVQNGSEERPSLGTDRGQYQFVPVELDKPIQKVRKSRKRYSDASHDLRAQKPPGYVDERAPAEIVMSFPEINSVPSETKLNKMLKHFGPLKESETEVDCETSRARVVFRRSSDAEVAYNSASKFNIFGPVSVTYQLNYTISESFKASLYAPILAEENPFIASAPCGDHALIAPSLGEEASFMVSTLGDETLPIATTFHEEPWFIASTTGEDTLAIPTTLADGASDVATTMYEKTLPVTTTAGVETMADATTIVDKTFTVATTVGEQSLPVFTTIDEQSSTAAASLVDEASFSHLTSSKGTSTMTTTLGDQTSSIATFHPEIPSVPATVGEETCSIPAPSGEETCSILPNMDAETPIHPVTLAEESPSISRTLGEDTPSVPAASNEEAPVGTLIFSGGTHTLPGKLDRETKTVPATLCEETTIPATLGQETPTLPATLGEETHSVPSTSSDENRGIPTNLDEETPTDPVTLAEESPSIPETLGEETPAVPPAFSEGTTIPPSFHEETPTVPVTLGPETLTISTTTTEETAKIPATLGEETTSAPVTLHEETLAIPTTLDEGRNFNQSHKLS >KJB76525 pep chromosome:Graimondii2_0_v6:12:16588907:16595691:1 gene:B456_012G093200 transcript:KJB76525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAKEKGDSVSIVSGSSVTVSETVVETMACEDRIRIKEGGEAGLGNGDDVTVEVLDSHVHIDGGDGGAVQARSIDEAVCGHQESSKVGLEVNMRTLDSECHAVGGLGSRNEVLGGEARAQNEVNGGVVRSCSNDVVCGCDESDEVGLEGNPSTLDSEGDLAGDLGSRYEVSRGEAKALNEVNGARVLGFSALDSSAGKNAEQSSGINEGGGDLNQATETGKVGNLDSYELNHGNQKRVVCLSAASEDSGVQTKIVEEAAMAIDEEDLNALDGGQETPISEPIKKAASVNVDAASLNVNTLVTAECVPDSEAKDPVYSCQSTGSIAAGQLDEKVSSNMEIDKQGADSEQQQMEVKTPHLSTQKHDTGNVQSLKPEPIIDGGEGVGLSTVEAVLDEKQVSDAKEVGFDAEKDVKVEKMGVSPKNHDASDVSEPLGHQMDVFVGSGEGEASKVDNNVLNQISPAVASDKELQSSGNEDPLAKNVVSEDDSSVGQEMNVEDQITSDEPDCLEQVEERDSDSDQPTNVDEQTVKQTSLKSLSGVKMHQAQQLLSEEGGFSVSDLVWGKVKSHPWWPGQIFDPSDASKKAVKYQKKDSFLVAYFGDRTFAWNEPSVLKPFRTHFSQIVKQSNLESFENAVNCALEEVSRRVELGLACPCIPKYAYDRIKFQKVENTGVQEESSLRDGVDVSLSVSSFEPDKLIDYMKALAESPYSRGDRIDLVIAKAQLLAFYRLKGYHQLPESQFSEEKRLEVVDCATPMDTDGELKSEASKTRRRSYLKRKHNLKDGLYPSKKERSLSELMSETFDSPDGEIGSDVIGNKPPSSSFGKKRKAIDSSEDSVMQDRRKTSKGSLSTPHFPKPSFKIGERISRAASQMAGSPSILKSSGDRLQKLDGGCETPATCGYDVPIDNLEDAEGKRMGILTEYSSLDELLLQLHLAACDPMKGYSSLNIIISFFSDFRDSIVLDRLSRDKAVGQRKKSPNSIIGFSETFEFEDMSDTYWTDRIVQNGSEERPSLGTDRGQYQFVPVELDKPIQKVRKSRKRYSDASHDLRAQKPPGYVDERAPAEIVMSFPEINSVPSETKLNKMLKHFGPLKESETEVDCETSRARVVFRRSSDAEVAYNSASKFNIFGPVSVTYQLNYTISESFKASLYAPILAEENPFIASAPCGDHALIAPSLGEEASFMVSTLGDETLPIATTFHEEPWFIASTTGEDTLAIPTTLADGASDVATTMYEKTLPVTTTAGVETMADATTIVDKTFTVATTVGEQSLPVFTTIDEQSSTAAASLVDEASFSHLTSSKGTSTMTTTLGDQTSSIATFHPEIPSVPATVGEETCSIPAPSGEETCSILPNMDAETPIHPVTLAEESPSISRTLGEDTPSVPAASNEEAPVGTLIFSGGTHTLPGKLDRETKTVPATLCEETTIPATLGQETPTLPATLGEETHSVPSTSSDENRGIPTNLDEETPTDPVTLAEESPSIPETLGEETPAVPPAFSEGTTIPPSFHEETPTVPVTLGPETLTISTTTTEETAKIPATLGEETTSAPVTLHEETLAIPTTLDEGSPTMTTKLDEETSTSPTNLAEETLTTPTSSGVEASTVLTTNSEETCAVSTTTEMETLPPAGAESVEPAT >KJB76527 pep chromosome:Graimondii2_0_v6:12:16588992:16595622:1 gene:B456_012G093200 transcript:KJB76527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAKEKGDSVSIVSGSSVTVSETVVETMACEDRIRIKEGGEAGLGNGDDVTVEVLDSHVHIDGGDGGAVQARSIDEAVCGHQESSKVGLEVNMRTLDSECHAVGGLGSRNEVLGGEARAQNEVNGGVVRSCSNDVVCGCDESDEVGLEGNPSTLDSEGDLAGDLGSRYEVSRGEAKALNEVNGARVLGFSALDSSAGKNAEQSSGINEGGGDLNQATETGKVGNLDSYELNHGNQKRVVCLSAASEDSGVQTKIVEEAAMAIDEEDLNALDGGQETPISEPIKKAASVNVDAASLNVNTLVTAECVPDSEAKDPVYSCQSTGSIAAGQLDEKVSSNMEIDKQGADSEQQQMEVKTPHLSTQKHDTGNVQSLKPEPIIDGGEGVGLSTVEAVLDEKQVSDAKEVGFDAEKDVKVEKMGVSPKNHDASDVSEPLGHQMDVFVGSGEGEASKVDNNVLNQISPAVASDKELQSSGNEDPLAKNVVSEDDSSVGQEMNVEDQITSDEPDCLEQVEERDSDSDQPTNVDEQTVKQTSLKSLSGVKMHQAQQLLSEEGGFSVSDLVWGKVKSHPWWPGQIFDPSDASKKAVKYQKKDSFLVAYFGDRTFAWNEPSVLKPFRTHFSQIVKQSNLESFENAVNCALEEVSRRVELGLACPCIPKYAYDRIKFQKVENTGVQEESSLRDGVDVSLSVSSFEPDKLIDYMKALAESPYSRGDRIDLVIAKAQLLAFYRLKGYHQLPESQFSEEKRLEVVDCATPMDTDGELKSEASKTRRRSYLKRKHNLKDGLYPSKKERSLSELMSETFDSPDGEIGSDVIGNKPPSSSFGKKRKAIDSSEDSVMQDRRKTSKGSLSTPHFPKPSFKIGERISRAASQMAGSPSILKSSGDRLQKLDGGCETPATCGYDVPIDNLEDAEGKRMGILTEYSSLDELLLQLHLAACDPMKGYSSLNIIISFFSDFRDSIVLDRLSRDKAVGQRKKSPNSIIGFSETFEFEDMSDTYWTDRIVQNGSEERPSLGTDRGQYQFVPVELDKPIQKVRKSRKRYSDASHDLRAQKPPGYVDERAPAEIVMSFPEINSVPSETKLNKMLKHFGPLKESETEVDCETSRARVVFRRSSDAEVAYNSASKFNIFGPVSVTYQLNYTISESFKASLYAPILAEENPFIASAPCGDHALIAPSLGEEASFMVSTLGDETLPIATTFHEEPWFIASTTGEDTLAIPTTLADGASDVATTMYEKTLPVTTTAGVETMADATTIVDKTFTVATTVGEQSLPVFTTIDEQSSTAAASLVDEASFSHLTSSKGTSTMTTTLGDQTSSIATFHPEIPSVPATVGEETCSIPAPSGEETCSILPNMDAETPIHPVTLAEESPSISRTLGEDTPSVPAASNEEAPVGTLIFSGGTHTLPGKLDRETKTVPATLCEETTIPATLGQETPTLPATLGEETHSVPSTSSDENRGIPTNLDEETPTDPVTLAEESPSIPETLGEETPAVPPAFSEGTTIPPSFHEETPTVPVTLGPETLTISTTTTEETAKIPATLGEETTSAPVTLHEETLAIPTTLDEGSPTMTTKLDEETSTSPTNLAEETLTTPTSSGVEASTVLTTNSEETCAVSTTTEMETLPPAGAESVEPAT >KJB76524 pep chromosome:Graimondii2_0_v6:12:16588978:16594657:1 gene:B456_012G093200 transcript:KJB76524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAKEKGDSVSIVSGSSVTVSETVVETMACEDRIRIKEGGEAGLGNGDDVTVEVLDSHVHIDGGDGGAVQARSIDEAVCGHQESSKVGLEVNMRTLDSECHAVGGLGSRNEVLGGEARAQNEVNGGVVRSCSNDVVCGCDESDEVGLEGNPSTLDSEGDLAGDLGSRYEVSRGEAKALNEVNGARVLGFSALDSSAGKNAEQSSGINEGGGDLNQATETGKVGNLDSYELNHGNQKRVVCLSAASEDSGVQTKIVEEAAMAIDEEDLNALDGGQETPISEPIKKAASVNVDAASLNVNTLVTAECVPDSEAKDPVYSCQSTGSIAAGQLDEKVSSNMEIDKQGADSEQQQMEVKTPHLSTQKHDTGNVQSLKPEPIIDGGEGVGLSTVEAVLDEKQVSDAKEVGFDAEKDVKVEKMGVSPKNHDASDVSEPLGHQMDVFVGSGEGEASKVDNNVLNQISPAVASDKELQSSGNEDPLAKNVVSEDDSSVGQEMNVEDQITSDEPDCLEQVEERDSDSDQPTNVDEQTVKQTSLKSLSGVKMHQAQQLLSEEGGFSVSDLVWGKVKSHPWWPGQIFDPSDASKKAVKYQKKDSFLVAYFGDRTFAWNEPSVLKPFRTHFSQIVKQSNLESFENAVNCALEEVSRRVELGLACPCIPKYAYDRIKFQKVENTGVQEESSLRDGVDVSLSVSSFEPDKLIDYMKALAESPYSRGDRIDLVIAKAQLLAFYRLKGYHQLPESQFSEEKRLEVVDCATPMDTDGELKSEASKTRRRSYLKRKHNLKDGLYPSKKERSLSELMSETFDSPDGEIGSDVIGNKPPSSSFGKKRKAIDSSEDSVMQDRRKTSKGSLSTPHFPKPSFKIGERISRAASQMAGSPSILKSSGDRLQKLDGGCETPATCGYDVPIDNLEDAEGKRMGILTEYSSLDELLLQLHLAACDPMKGYSSLNIIISFFSDFRDSIVLDRLSRDKAVGQRKKSPNSIIGFSETFEFEDMSDTYWTDRIVQNGSEERPSLGTDRGQYQFVPVELDKPIQKVRKSRKRYSDASHDLRAQKPPGYVDERAPAEIVMSFPEINSVPSETKLNKMLKHFGPLKESETEVDCETSRARVVFRRSSDAEVAYNSASKFNIFGPVSVTYQLNYTISESFKASLYAPILAEENPFIASAPCGDHALIAPSLGEEASFMVSTLGDETLPIATTFHEEPWFIASTTGEDTLAIPTTLADGASDVATTMYEKTLPVTTTAGVETMADATTIVDKTFTVATTVGEQSLPVFTTIDEQSSTAAASLVDEASFSHLTSSKGTSTMTTTLGDQTSSIATFHPEIPSVPATVGEETCSIPAPSGEETCSILPNMDAETPIHPVTLAEESPSISRTLGEDTPSVPAASNEEAPVGTLIFSGGTHTLPGKLDRETKTVPATLCEETTIPATLGQETPTLPATLGEETHSVPSTSSDENRGIPTNLDEETPTDPVTLAEESPSIPETLGEETPAVPPAFSEGTTIPPSFHEETPTVPVTLGPETLTISTTTTEETAKIPATLGEETTSAPVTLHEETLAIPTTLDEGSPTMTTKLDEETSTSPTNLAEETLTTPTSSGVEASTVLTTNSEETCAVSTTTEMETLPPAGAESVEPAT >KJB77731 pep chromosome:Graimondii2_0_v6:12:32737908:32742032:1 gene:B456_012G153600 transcript:KJB77731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMISTYCPHFNGLRRSSPKLDSSQSQSFLQHINSQLRLASSRKPCRAVTAMAGSGKFFVGGNWKCNGTKDSITKLVSDLNSTKLETDVDVVVSPPFVYLDQVTASLTGRIEVSAQNSWIGKGGAFTGEISVEQLKDIGCKWVILGHSERRHIIGEDDQFIGKKAAYALNEGLGVIACIGELLEEREAGKTFDVCFQQLKAFADVVPSWDNIVIAYEPVWAIGTGKVATPQQAQEVHVAVRDWLKKNVSEEVASKTRIIYGGSVNGSNCAELAKQEDIDGFLVGGASLKGPEFATIVNSVTSKKVAA >KJB78307 pep chromosome:Graimondii2_0_v6:12:30236940:30237293:-1 gene:B456_012G132600 transcript:KJB78307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGKLVLISLLFWEVLLVHAMAGGSEAKPPEIKVHKEDDDEPGWVVPREADEPDESPQDVEAEAPEIRRLGKHHILSSTKSVAAGGVIIAGLVTAAFAVVVAYIRVTRTRDDGVKH >KJB77629 pep chromosome:Graimondii2_0_v6:12:32135941:32141355:1 gene:B456_012G147300 transcript:KJB77629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MAQKFNEFQSRIEELASNCLEQSRCNDALQIDLAKQKEENETLTKVINKFYEIRQGTIGEVEDASLDDKCACLLHDPGEMWSFNDSSTAKYISSLEEELERVRSSVDDLRNKLRVGLEIENHLKKKVRGLERKKITSDRMILDRVTELRHYHSEHKVQIMNLLDMEKSHIKRFVELVEEKIRQFDARGQNVHCVLKPDEYEHVDVHLSTDAEAELVSKGDMLNLNIAETKAHAAEALAQALQEKRDVLDNIAESKGDASEALAQALQEKVATLLLLSQQEERHLLEKNINAALQKKVDELQRNLLQVTNEKVKALLELAQFKRKYQLLQEKINNEVKQGNVLAEVADRRIATYERDGKLKSLLKKTYLRRWVGAPDTGGNEAEASPNSMDFVRMRIENATLKESMESLDHLTSTLHRLRLSLLKVTESINSKDTDTNASELDEIITEAKLVKTALGSSLPISWSAEGDGESIGESIDTETGDMFEDSGGQKIDSVTAAGFEMLDLLILAAQVLKGKNQTCSLTTP >KJB77628 pep chromosome:Graimondii2_0_v6:12:32134936:32141506:1 gene:B456_012G147300 transcript:KJB77628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MGTSLNDNESSLARIKQLEHERDELRKDIEQLCMQQAGPSYLGVATRMHFQRTAGLEQEIENLRKELAACVRNNQNLQEELCEAYRIKTQLADLHREEAAKNVEAEKQVKFFQGCVAAAFAERDHSILEAEKAKEKEELMAQKFNEFQSRIEELASNCLEQSRCNDALQIDLAKQKEENETLTKVINKFYEIRQGTIGEVEDASLDDKCACLLHDPGEMWSFNDSSTAKYISSLEEELERVRSSVDDLRNKLRVGLEIENHLKKKVRGLERKKITSDRMILDRVTELRHYHSEHKVQIMNLLDMEKSHIKRFVELVEEKIRQFDARGQNVHCVLKPDEYEHVDVHLSTDAEAELVSKGDMLNLNIAETKAHAAEALAQALQEKRDVLDNIAESKGDASEALAQALQEKVATLLLLSQQEERHLLEKNINAALQKKVDELQRNLLQVTNEKVKALLELAQFKRKYQLLQEKINNEVKQGNVLAEVADRRIATYERDGKLKSLLKKTYLRRWVGAPDTGGNEAEASPNSMDFVRMRIENATLKESMESLDHLTSTLHRLRLSLLKVTESINSKDTDTNASELDEIITEAKLVKTALGSSLPISWSAEGDGESIGESIDTETGDMFEDSGGQKIDSVTAAGFEMLDLLILAAQVLKGKNQTCSLTTP >KJB75077 pep chromosome:Graimondii2_0_v6:12:2830212:2843141:1 gene:B456_012G023100 transcript:KJB75077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPKLRCFLLGFNFTNARVSTLFDSLPFILPNTSSARFYLPLRRRLCGYAVEQFSDDEYECDFESHKASSSVANIDEWKWKLGMLSRSENDQEIISRDKRDRRDYEQISNLAKRMGLYSEMYGKVVVASKVPLPNYRPDLDDKRPQREVVVPLGLQRRVEGLLQEYLDRLQLNSGKVGENSDIANSIDPAEYVNPDENPDSFLDSSVMEKVLQRRSLRLRNMQRAWQESPEGKKMMEFRKSLPAFKEKERLLQAIARNQVIVISGETGCGKTTQLPQYILESEIETGRGAFCSIICTQPRRISAMAVAERVSSERGEPLGETVGYKVRLEGMKGKNTQLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRQDLRLILMSATLNAELFSNYFGGAPKIHIPGFTYPVRAHFLEDVLEFTGYKLTSFNQIDDYGQEKMWKMQKQLAPRKRKNQITALVEDALNKSSFENYSSRARDSLACWMPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISSLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFEKPPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPRCVYEAFSEYQLPELLRTPLNSLCLQIKSLQVESIGGFLSAALQAPEPLAVQNAIDFLKMVGALDEKENLTNLGKFLAMLPVDPKLGKMLIMGAIFRCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFGYILKEAGLVDADVAANNKLSHNQSLVRAVICSGLFPGIASVVVRHLYYL >KJB75075 pep chromosome:Graimondii2_0_v6:12:2830126:2846019:1 gene:B456_012G023100 transcript:KJB75075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPKLRCFLLGFNFTNARVSTLFDSLPFILPNTSSARFYLPLRRRLCGYAVEQFSDDEYECDFESHKASSSVANIDEWKWKLGMLSRSENDQEIISRDKRDRRDYEQISNLAKRMGLYSEMYGKVVVASKVPLPNYRPDLDDKRPQREVVVPLGLQRRVEGLLQEYLDRLQLNSGKVGENSDIANSIDPAEYVNPDENPDSFLDSSVMEKVLQRRSLRLRNMQRAWQESPEGKKMMEFRKSLPAFKEKERLLQAIARNQVIVISGETGCGKTTQLPQYILESEIETGRGAFCSIICTQPRRISAMAVAERVSSERGEPLGETVGYKVRLEGMKGKNTQLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRQDLRLILMSATLNAELFSNYFGGAPKIHIPGFTYPVRAHFLEDVLEFTGYKLTSFNQIDDYGQEKMWKMQKQLAPRKRKNQITALVEDALNKSSFENYSSRARDSLACWMPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISSLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFEKPPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPRCVYEAFSEYQLPELLRTPLNSLCLQIKSLQVESIGGFLSAALQAPEPLAVQNAIDFLKMVGALDEKENLTNLGKFLAMLPVDPKLGKMLIMGAIFRCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFGYILKEAGLVDADVAANNKLSHNQSLVRAVICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILMLFGGSLSRGAEVGHLKMLQGYIDFFMDSTLAECYLKLKEELDRLIQKKLQDPSVDILQEGKYLMLAVQELVSGDLCEGRFVFGRASRKPKDSADNSRFTRDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPMKNKQLAERDAAVEALAWLTHTSDNSRGDDGSPLDVTDNMLKLLGKRRRSKRR >KJB75076 pep chromosome:Graimondii2_0_v6:12:2830212:2840478:1 gene:B456_012G023100 transcript:KJB75076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPKLRCFLLGFNFTNARVSTLFDSLPFILPNTSSARFYLPLRRRLCGYAVEQFSDDEYECDFESHKASSSVANIDEWKWKLGMLSRSENDQEIISRDKRDRRDYEQISNLAKRMGLYSEMYGKVVVASKVPLPNYRPDLDDKRPQREVVVPLGLQRRVEGLLQEYLDRLQLNSGKVGENSDIANSIDPAEYVNPDENPDSFLDSSVMEKVLQRRSLRLRNMQRAWQESPEGKKMMEFRKSLPAFKEKERLLQAIARNQVIVISGETGCGKTTQLPQYILESEIETGRGAFCSIICTQPRRISAMAVAERVSSERGEPLGETVGYKVRLEGMKGKNTQLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRQDLRLILMSATLNAELFSNYFGGAPKIHIPGFTYPVRAHFLEDVLEFTGYKLTSFNQIDDYGQEKMWKMQKQLAPRKRKNQITALVEDALNKSSFENYSSRARDSLACWMPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISSLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFEKPPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPRCVYEAFSEYQLPELLRTPLNSLCLQIKSLQVESIGGFLSAALQAPEPLAVQNAIDFLKMVGALDEKENLTNLGKFLAMLPVDPKLGKMLIMGAIFRCFDPVLTIVSGLSVRDPFLLPQDKKDVSA >KJB78251 pep chromosome:Graimondii2_0_v6:12:35310771:35314216:1 gene:B456_012G186000 transcript:KJB78251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEMQEQKPPSKTVASSKRPMITPPPRPFSEALFNGGAGLMGFSPGPMTLVSNFFSDTTDDSKSFSQLLAGAMASPAAQKLTEEQAEGGGVNTGLRFKQNKPAGLAITQQPSSFVMPQGLLSPASLLESPGFSVFSPGPQGPFGMTHQQALAQVTAQVAQANSHMQIHADTATSLQDSSVMIKQPSDVSQPDQRSQPASDKHASDGYNWRKYGQKQVKGSEFPRSYYKCTHPGCPVKKKVERSLDGQVTEIIYKGQHNHQPPQQNKRAKGNGTSNNRGNSESTSQLHSTNLNIIKEGTCSSMSKKDQESSQATTDLLSGTSDSDDEKDEDEPDTKRRSMEIRVSEPCSSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTPGCNVRKHVERASTDPKAVITTYEGKHNHDVPAARTSSHNTASSNATQVRTQNIVTDNNHGVNNSVDSTKDRQRPVARLRLKEEQET >KJB78252 pep chromosome:Graimondii2_0_v6:12:35310921:35314050:1 gene:B456_012G186000 transcript:KJB78252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEMQEQKPPSKTVASSKRPMITPPPRPFSEALFNGGAGLMGFSPGPMTLVSNFFSDTTDDSKSFSQLLAGAMASPAAQKLTEEQAEGGGVNTGLRFKQNKPAGLAITQQPSSFVMPQGLLSPASLLESPGFSVFSPGPQGPFGMTHQQALAQVTAQVAQANSHMQIHADTATSLQDSSVMIKQPSDVSQPDQRSQPASDKHASDGYNWRKYGQKQVKGSEFPRSYYKCTHPGCPVKKKVERSLDGQVTEIIYKGQHNHQPPQQNKRAKGNGTSNNRGNSESTSQLHSTNLNIIKEGTCSSMSKKDQESSQATTDLLSGTSDSDDEKDEDEPDTKRRSMEIRVSEPCSSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPR >KJB75475 pep chromosome:Graimondii2_0_v6:12:5455073:5455369:1 gene:B456_012G043200 transcript:KJB75475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNQLHHHFTCLALLIFILGVCEATSRAALEDASMYERHQQWMVQFGRVYKDTNERQKRFQIFKQNVARIDSFNAANNKPYKLGMNQFADLTNQEF >KJB77046 pep chromosome:Graimondii2_0_v6:12:27617717:27619108:-1 gene:B456_012G121100 transcript:KJB77046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESNVMVLGTWASPFVLRVKIALHLKSVNYENHEENLPESKSDLLLKSNPVYKKVPVLIHGHNPICESLIIVEYIDEVWTTSASSILPSDAYERAQSRFWAAYVEDKFSTALRRVLFGATEEDKRAAMAEVSEGMALLEEAFVKLSKGKAFFGGENIGFVDIVFGSLLAWIEVIEKLSEMKLISEIKTPGLVQWADCFSAHEAVKDVSPDVDKLVEFALKLGARVLKATAASN >KJB77045 pep chromosome:Graimondii2_0_v6:12:27617631:27619108:-1 gene:B456_012G121100 transcript:KJB77045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESNVMVLGTWASPFVLRVKIALHLKSVNYENHEENLPESKSDLLLKSNPVYKKVPVLIHGHNPICESLIIVEYIDEVWTTSASSILPSDAYERAQSRFWAAYVEDKFSTALRRVLFGATEEDKRAAMAEVSEGMALLEEAFVKLSKGKAFFGGENIGFVDIVFGSLLAWIEVIEKLSEMKLISEIKTPGLVQWADCFSAHEAVKDVSPDVDKLVEFALKLGARVLKATAASN >KJB77047 pep chromosome:Graimondii2_0_v6:12:27617986:27619108:-1 gene:B456_012G121100 transcript:KJB77047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESNVMVLGTWASPFVLRVKIALHLKSVNYENHEENLPESKSDLLLKSNPVYKKVPVLIHGHNPICESLIIVEYIDEVWTTSASSILPSDAYERAQSRFWAAYVEDKFSTALRRVLFGATEEDKRAAMAEVSEGMALLEEAFVKLSKGKAFFGGENIGFVDIVFGSLLAWIEVIEKLSEMKLISEIKTPGLVQWADCFSAHEAVKDVSPDVDKLVEFALKLGARVLKATAASN >KJB75005 pep chromosome:Graimondii2_0_v6:12:2219468:2233238:-1 gene:B456_012G018700 transcript:KJB75005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPCCSVCQTRYNEEERVPLLLQCGHGFCKECLSRMFSASPDTSLPCPRCRHVSLVGNSVLALKKNYGILALLNSNPNSAGSNSRNDFDCDYTDDEGDDDDEGREDDDENGDFFHELAGGRINRGSHASSSGGAAAGCGPVIELTAHPEVKLIRKIEGKGEGKGGRAGVETWAAVISGAYGGGGGRRCKHKVAVKKVGAMEGMDGEWVQGQLDSLRRASMWCRNVCTFHGVIKLEESSLGIVMDRCHGSIQSAMFNNEGRLTLEQVLRYGADIARGVAELHAAGVVCMNIKPSNLLLDANGHAVVSDYGLASILKNPACRKARAECDSSKIHSCMDCTMLSPHYTAPEAWEPVKKSLNLFWDDAIGISVESDAWSFGCTLVEMCTGSIPWAGLSADEIYRAVVKSRKLPPQYASVVGVGLPRELWKMIGDCLHFKPSKRPTFNAMLAIFLRHLQEIPCSPPASPDNGFAKFPGSNVVEPPAVADLEVVPDNPNLLHRLISEGDVSSVRDFLANASSGNSGTSISSLLEAENADGQTALHLACRRGSAELVEAILEYAEANVDVLDKDGDPPLVFALAAGSPECVLALIRRGSDVQSRLREGFGPSVAHVCAYHGQPDCMRELLLAGADPNAVDDEGESVLHRAVAKKYTDCALVILENGGCASMAVLNSKNLTPLHLCVATWNVAVVKRWVEVASPEEIAEALDIPSPVGTALCMAAALKKDHEIEGRELVRLLLAAGADPTAQDGQHGRTALHTAAMANDVELVKIILDAGVDVNIRNVHNTTPLHVALARGATSCVGLLLSAGADCNLQGDEGDNAFHIAADTAKMIRENLEWLIVMLRNPDAAVEVRNHSGKTLRDFLETLPREWISEDLMEALMNRGVHLSPTLFEVGDWVKFRRRITTPTYGWQGARHKSVGFVQNVVDRDNLIVSFCSGDGNARVLVNEVVKVIPLDRGQHVKLRADVKEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPTLTTAKHGLGSVTPGSIGIVYCIRPDSSLLLDLSYLPNPWHCEPEEVEPVSPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIETDGLLIIEIPNRPIPWQADPSDMEKLEDFKVGDWVRVKASVPSPKYGWEDITRSSIGIIHSLEDDGDIGIAFCFRSKPFCCSVTDVEKVPPFEVGQEVHVTPSVSQPRLGWSNETPATVGKIVRIDMDGALNVRVSGRHSLWKLSPGDAERLSGFEVGDWVRSKPSLGTRPSYDWNTIGKENLAVVHSIQDTGYLELACCFRKGKWSTHFSDVEKVPSYKVGQHVRFRAGLVEPRWGWRGTQSDSRGIITSVHADGEVRVAFFGLPGMWRGDPADLEIEPMFGVGEWVQLRENASCWKSIGPGSVGVVQGIGYEGDEWDGSTLVAFCGEQERWVGPTSHLEKVDRLVIGQKVRVKLSVKQPRFGWSGHSHTSVGTIAAIDADGKLRIYTPVGSKTWMLDPSEVELIEEQELCIGDWVRVRPSISVPTHHWGEVTHSSIGVVHRMENGDLWVAFCFMERLWLCKACEMERVRPFKVGDKVRIREGLVTPRWGWGMETHASKGQVVGVDANGKLRIKFQWREGRPWIGDPADIELDDSFGITSTS >KJB75006 pep chromosome:Graimondii2_0_v6:12:2219518:2233155:-1 gene:B456_012G018700 transcript:KJB75006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPCCSVCQTRYNEEERVPLLLQCGHGFCKECLSRMFSASPDTSLPCPRCRHVSLVGNSVLALKKNYGILALLNSNPNSAGSNSRNDFDCDYTDDEGDDDDEGREDDDENGDFFHELAGGRINRGSHASSSGGAAAGCGPVIELTAHPEVKLIRKIEGKGEGKGGRAGVETWAAVISGAYGGGGGRRCKHKVAVKKVGAMEGMDGEWVQGQLDSLRRASMWCRNVCTFHGVIKLEESSLGIVMDRCHGSIQSAMFNNEGRLTLEQVLRYGADIARGVAELHAAGVVCMNIKPSNLLLDANGHAVVSDYGLASILKNPACRKARAECDSSKIHSCMDCTMLSPHYTAPEAWEPVKKSLNLFWDDAIGISVESDAWSFGCTLVEMCTGSIPWAGLSADEIYRAVVKSRKLPPQYASVVGVGLPRELWKMIGDCLHFKPSKRPTFNAMLAIFLRHLQEIPCSPPASPDNGFAKFPGSNVVEPPAVADLEVVPDNPNLLHRLISEGDVSSVRDFLANASSGNSGTSISSLLEAENADGQTALHLACRRGSAELVEAILEYAEANVDVLDKDGDPPLVFALAAGSPECVLALIRRGSDVQSRLREGFGPSVAHVCAYHGQPDCMRELLLAGADPNAVDDEGESVLHRAVAKKYTDCALVILENGGCASMAVLNSKNLTPLHLCVATWNVAVVKRWVEVASPEEIAEALDIPSPVGTALCMAAALKKDHEIEGRELVRLLLAAGADPTAQDGQHGRTALHTAAMANDVELIILDAGVDVNIRNVHNTTPLHVALARGATSCVGLLLSAGADCNLQGDEGDNAFHIAADTAKMIRENLEWLIVMLRNPDAAVEVRNHSGKTLRDFLETLPREWISEDLMEALMNRGVHLSPTLFEVGDWVKFRRRITTPTYGWQGARHKSVGFVQNVVDRDNLIVSFCSGDGNARVLVNEVVKVIPLDRGQHVKLRADVKEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPTLTTAKHGLGSVTPGSIGIVYCIRPDSSLLLDLSYLPNPWHCEPEEVEPVSPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIETDGLLIIEIPNRPIPWQADPSDMEKLEDFKVGDWVRVKASVPSPKYGWEDITRSSIGIIHSLEDDGDIGIAFCFRSKPFCCSVTDVEKVPPFEVGQEVHVTPSVSQPRLGWSNETPATVGKIVRIDMDGALNVRVSGRHSLWKLSPGDAERLSGFEVGDWVRSKPSLGTRPSYDWNTIGKENLAVVHSIQDTGYLELACCFRKGKWSTHFSDVEKVPSYKVGQHVRFRAGLVEPRWGWRGTQSDSRGIITSVHADGEVRVAFFGLPGMWRGDPADLEIEPMFGVGEWVQLRENASCWKSIGPGSVGVVQGIGYEGDEWDGSTLVAFCGEQERWVGPTSHLEKVDRLVIGQKVRVKLSVKQPRFGWSGHSHTSVGTIAAIDADGKLRIYTPVGSKTWMLDPSEVELIEEQELCIGDWVRVRPSISVPTHHWGEVTHSSIGVVHRMENGDLWVAFCFMERLWLCKACEMERVRPFKVGDKVRIREGLVTPRWGWGMETHASKGQVVGVDANGKLRIKFQWREGRPWIGDPADIELDDSFGITSTS >KJB76836 pep chromosome:Graimondii2_0_v6:12:24719908:24723722:1 gene:B456_012G109600 transcript:KJB76836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLVFLFASSLLLMVAATTVSAAILEHSFYAKNLTVTRLCNRQVITAVNDSFPGPSLRVQEGDKLIIHVFNISPFKITIHWRGVSQLMSAWSDGPEMITQCAIRPGNNYTYKYRITKQEGTLFWHAHSSFLRATVHGAIIIHPRARHSYPFPKPYREVPILLGEWWNANVVDVENQALALGIGPNISNAYTINGWPGDLYPCSQNQMYKQWVCDQILDEGDGKLRLRPELSSHLTVVRIRTKRCELSSLVPPTCTYRGSMILMKGHLCYLDKY >KJB76837 pep chromosome:Graimondii2_0_v6:12:24719908:24723722:1 gene:B456_012G109600 transcript:KJB76837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLVFLFASSLLLMVAATTVSAAILEHSFYAKNLTVTRLCNRQVITAVNDSFPGPSLRVQEGDKLIIHVFNISPFKITIHWRGVSQLMSAWSDGPEMITQCAIRPGNNYTYKYRITKQEGTLFWHAHSSFLRATVHGAIIIHPRARHSYPFPKPYREVPILLGEWWNANVVDVENQALALGIGPNISNAYTINGWPGDLYPCSQNHCVQNCRHT >KJB76835 pep chromosome:Graimondii2_0_v6:12:24719908:24723001:1 gene:B456_012G109600 transcript:KJB76835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLVFLFASSLLLMVAATTVSAAILEHSFYAKNLTVTRLCNRQVITAVNDSFPGPSLRVQEGDKLIIHVFNISPFKITIHWRGVSQLMSAWSDGPEMITQCAIRPGNNYTYKYRITKQEGTLFWHAHSSFLRATVHGAIIIHPRARHSYPFPKPYREVPILLGEWWNANVVDVENQALALGIGPNISNAYTINGWPGDLYPCSQNQMYKQWVCDQILDEGDGKLSRTGTRIAQ >KJB76806 pep chromosome:Graimondii2_0_v6:12:24494409:24496462:1 gene:B456_012G109000 transcript:KJB76806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLDDAEFCLPPQFLTDDDLVMDNSKAKSNPKYVDGGFGLELGGSKSLFPYEFPYGFGSLGFSSDPSSPVESVVGSTETESDEEDYLAGLTRQMAHSTLEDGIRRNDRAFSSENPKGRVMSSSPQSTLCVSRSGCSCMQGSSRGSPTCQSRVPPPPGTWDLLYAAAEEVARMRMSEESYGGLNNRGLLGSSARKFSPNADVTGFYLPTQSHAHQKLQTAQDKSSSCIRTTITMWSKAE >KJB76807 pep chromosome:Graimondii2_0_v6:12:24494409:24496462:1 gene:B456_012G109000 transcript:KJB76807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLDDAEFCLPPQFLTDDDLVMDNSKAKSNPKYVDGGFGLELGGSKSLFPYEFPYGFGSLGFSSDPSSPVESVVGSTETESDEEDYLAGLTRQMAHSTLEDGIRRNDRAFSSENPKGRVMSSSPQSTLCVSRSGCSCMQGSSRGSPTCQSRVPPPPGTWDLLYAAAEEVARMRMSEESYGGLNNRGLLGSSARKFSPNADVTGFYLPTQSHAHQKLQTAQMLKQQQLMKQQNASVWAGQKQQLHSHHHNHVVQSRVRSNNSSNRPMGLSQSAWPPLQQQTQTPNGSGMRAVFLGNPTGKRECAGTGVFLPRRIGAPSEPRKKPACPTVLLPARVVQALNLNLDELGAQPLLNPRLNANVTAEIDAALKLGSGWNQKQGNFRQHQGINHEVALPQEWTY >KJB76804 pep chromosome:Graimondii2_0_v6:12:24494220:24496494:1 gene:B456_012G109000 transcript:KJB76804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLDDAEFCLPPQFLTDDDLVMDNSKAKSNPKYVDGGFGLELGGSKSLFPYEFPYGFGSLGFSSDPSSPVESVVGSTETESDEEDYLAGLTRQMAHSTLEDGIRRNDRAFSSENPKGRVMSSSPQSTLCVSRSGCSCMQGSSRGSPTCQSRVPPPPGTWDLLYAAAEEVARMRMSEESYGGLNNRGLLGSSARKFSPNADVTGFYLPTQSHAHQKLQTAQFQMLKQQQLMKQQNASVWAGQKQQLHSHHHNHVVQSRVRSNNSSNRPMGLSQSAWPPLQQQTQTPNGSGMRAVFLGNPTGKRECAGTGVFLPRRIGAPSEPRKKPACPTVLLPARVVQALNLNLDELGAQPLLNPRLNANVTAEIDAALKLGSGWNQKQGNFRQHQGINHEVALPQEWTY >KJB76805 pep chromosome:Graimondii2_0_v6:12:24494487:24495689:1 gene:B456_012G109000 transcript:KJB76805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLDDAEFCLPPQFLTDDDLVMDNSKAKSNPKYVDGGFGLELGGSKSLFPYEFPYGFGSLGFSSDPSSPVESVVGSTETESDEEDYLAGLTRQMAHSTLEDGIRRNDRAFSSENPKGRVMSSSPQSTLCVSRSGCSCMQGSSRGSPTCQSRVPPPPGTWDLLYAAAEEVARMRMSEESYGGLNNRGLLGSSARKFSPNADVTGFYLPTQSHAHQKLQTAQFQMLKQQQLMKQQNASVWAGQKQQLHSHHHNHVVQSRVRSNNSSNRPMGLSQSAWPPLQQQTQTPNGSGMRAVFLGNPTGKRECAGTGVFLPRRIGAPSEPRKKPGPYLFSFCN >KJB76808 pep chromosome:Graimondii2_0_v6:12:24494409:24496462:1 gene:B456_012G109000 transcript:KJB76808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLDDAEFCLPPQFLTDDDLVMDNSKAKSNPKYVDGGFGLELGGSKSLFPYEFPYGFGSLGFSSDPSSPVESVVGSTETESDEEDYLAGLTRQMAHSTLEDGIRRNDRAFSSENPKGRVMSSSPQSTLCVSRSGCSCMQGSSRGSPTCQSRVPPPPGTWDLLYAAAEEVARMRMSEESYGGLNNRGLLGSSARKFSPNADVTGFYLPTQSHAHQKLQTAQFQMLKQQQLMKQQNASVWAGQKQQLHSHHHNHVVQSRVRSNNSSNRPMGLSQSAWPPLQQQTQTPNGSGMRAVFLGNPTGKRECAGTGVFLPRRIGAPSEPRKKPGPYLFSFCN >KJB77561 pep chromosome:Graimondii2_0_v6:12:31739359:31742826:1 gene:B456_012G143800 transcript:KJB77561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLTQLWKHFKGEDKPPEQLGASRDYNVDMVPKFMMANGSLVRILIHTDVTKYLNFKAVDGSFVYNKSKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYDENDPKSHEGLDLTKVTAKELISKYGLEDDTIDFIGHALALYSSDNYLDQPALDFVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKIEYGDDGKVIGVTSEGETAKCKKVVCDPSYLPDKVKKVGKVARAVCIMSHPIPDTNDSHSAQVIVPQKQLGRKSDMYLFCCSYAHNVAPKGKFIAFVSTEAETDDPKVELEPGIKLLGPVDEIFYDNYDRYEPTNDHTADNCFISASYDPTTHFETTVNDVIQMYTKITGKTLDLSVDLSAASATEE >KJB75171 pep chromosome:Graimondii2_0_v6:12:3570093:3573968:1 gene:B456_012G028700 transcript:KJB75171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETKTPPASRYYSFDKSSQQIQMTNKTNSFQTLEPDVGQHLLDLIQSIFQVVVASKDIDQSAELNKMISSLETFQGMRQVLNDIRDISCEMSCNLLAAGSGNVEKTRTAVLERLRSYSWSAKVVIAIAAFASSIGELSMLVKHRDDEPMAKFLVNILKGYSPKLDLNALAEAKLIEGMLKVVRTNLDFSNRLKQESMKEAMLELYLNATKNIFDIVLQISTVLSRREDVHVELESLSKQLTEINIQLQDNMKYFGAERISPNWYEEVSEISTSELIAKIKKYIEVRDSEKLRNKHVLFLISDLNISIEEIKVLERLYEKNEGKYEIVWLPIVGSLAYDKKAAARFWELRQMTKWIGVEPSRIEEEVIQYIKRDWHFIKEAIAVSVNEVGEITCLNALPMLWAWGNRAFPFTDKITLWNELDERNGWTWRLDLLFDQLILPGDSGIDIQSWTKSEGTLVCLFGGGDISWNQEFIQKVKYAARSAGVTLKLVYLGVGKNKGKGLTRNQLGRDVLVIQSESQWQFWSRLESILYAKIRLGKKDEVMQEALKVVGYGGNGEEWAMFSMGEGAMVTTSGKTALTIMEDYQMWRSDMIGVRFLEGIKKYKELITRDVHSCINLHLPVMGQIPGIMICPECSKVMDVFYTYRCCPE >KJB76924 pep chromosome:Graimondii2_0_v6:12:25841910:25846512:1 gene:B456_012G112900 transcript:KJB76924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQKQAEEAIVSNLTEMELETREEDKDEEEQQSLFSFKNLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGLMGSWTAYIISVLYIEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAAFVHGQVDGVTHSGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTLPSASAVYWAFGDELLNHSNAFSLLPKNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKASARQNAAEKPPFFMPSWTAMYAFNAFIVVWVLVVGFGFGGWASMTNFIRQIDTFGLFAKCYQCKPPPPTGAAAAAANHH >KJB76923 pep chromosome:Graimondii2_0_v6:12:25841910:25846512:1 gene:B456_012G112900 transcript:KJB76923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQKQAEEAIVSNLTEMELETREEDKDEEEQQSLFSFKNLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGLMGSWTAYIISVLYIEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAAFVHGQVDGVTHSGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTLPSASAVYWAFGDELLNHSNAFSLLPKNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKASARQNAAEKPPFFMPSWTAMYAFNAFIVVWVLVVGFGFGGWASMTNFIRQIDTFGLFAKCYQCKPPPPTGAAAAAANHH >KJB75183 pep chromosome:Graimondii2_0_v6:12:3678336:3681803:1 gene:B456_012G029700 transcript:KJB75183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALPSTVWHATKFQPQPPLLQRRRPLLVQSFRRSDFDTFTRRMASGEALKDAWRTANDGFEQFVFEAKKTAERLDRQYSVSRRLSSAAQSAADRAREIDREFEIGLRWRTFSMDFSRNWPRYRKQLNDFLDTPLGRSFATIFFLWFALSGWMFRCLIFATWILPFAGPLLIGTVANNLVIKGACPACKRQFVGYKNQIVRCVSCGNIVWQPEGDFFRRDSKGTNSRKSEPDIIDVEFEEK >KJB77921 pep chromosome:Graimondii2_0_v6:12:33718003:33720450:1 gene:B456_012G166900 transcript:KJB77921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVSSAHSYGDFLHCLSLRISNSSTISKVIYTQNNPSYSSVLNASIHNARFSTPTTPKPYAIITPRKTSHVQSTIYCSKNHGFQLRIRSGGHDVEGVSYVAQVPFVILDLVNFRDVNVDTKNEVAWVQSGATTGELYYGIASKTQTLGFPAGICHTIGIGGHLSGGGFGVLGRKYGLAVDHVIDAKLVDANGRVLRRKSMGEDLFWAIRGGGGNTFGVVLAWKVKLVPVPPVVTVFTVNKNLEQNATKIFHRWQYIAHKLPKDLFTTVWVMKVNSSQVGKKTVQASFRGMLLGGVDELIPLIQNEFPELGLAKENCTQMSWVQSILYFGGLPIQPVDILLNRHALPVSSVKEKTDFVREPMSETGIEGFMNMFLEEEADFAITMIEAFGGRMDEIRENELPYPHRAGILFESTYIVQWTNEADAGTYINWIRRLYSYMASYASKSPREAYYNYKDLDLGTNNIIGYTSYEQASVWGLKYFKNNFKRLVQVKTKVDPMNFFRNEQSIPPL >KJB75490 pep chromosome:Graimondii2_0_v6:12:5559064:5564293:1 gene:B456_012G044400 transcript:KJB75490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKTKGHKAKTKNKNKPDSVHSDNNEESGGGKIIKDARFASLHSDPRFQKVPKRKTKVVIDSRFNRMFTDKRFASSSAPLDKRGKPKKGNTQSSLRHYYHLEEEEGVEDKRKKAALSEEDGTEEESDTSEMPQMDSDGELEEEEISETGSTTEEEDIDIDYEDGEPEIQEENIPMIEKETRRLAVVNMDWRHVKAADLYVMLSSFLPKDGQIISVAVYPSEFGLQRMKEEEIHGPVGLFDGENEDNDEDGDDEIDNEKLREYERSRLRYYYAVVECNSSATADYLYKSCDGAEFERSSNVLDLRFIPDSMEFKHEPRDVAVEAPANYEGLNFQTQALQQSKINLSWDEDEPQRGKILKRKLNDEQLADLELREFLASDESESDDDDDENEDTTKDQPDKKNKKRDLYRALLQSGDGSDGDGEEGGQDMEVTFNTGLEDISKRILEKKDKQAETVWEAYLRKRREKKKSKKNKSKYSSEDETDDTDIEEATEEADDFFVEEPSLKKSKKERKKHEDAEKEAEASRAELELLLTDDKGADTGLKGYNLKAKKAKGKKQKEVLDVEKIPVVEDDPRFSALFTSPLFALDPTDPRYKRSATYARQIAKKLQKGEQQELAAEDTKIPTDGQLSADDPGMYKAEHENSDILPSKEKHNLSSMVRSVKMKLKQVQLPSERKVSKKSVSGMGGKKEKHRVHSKNKAKYLNE >KJB75491 pep chromosome:Graimondii2_0_v6:12:5559064:5564293:1 gene:B456_012G044400 transcript:KJB75491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKTKGHKAKTKNKNKPDSVHSDNNEESGGGKIIKDARFASLHSDPRFQKVPKRKTKVVIDSRFNRMFTDKRFASSSAPLDKRGKPKKGNTQSSLRHYYHLEEEEGVEDKRKKAALSEEDGTEEESDTSEMPQMDSDGELEEEEISETGSTTEEEDIDIDYEDGEPEIQEENIPMIEKETRRLAVVNMDWRHVKAADLYVMLSSFLPKDGQIISVAVYPSEFGLQRMKEEEIHGPVGLFDGENEDNDEDGDDEIDNEKLREYERSRLRYYYAVVECNSSATADYLYKSCDGAEFERSSNVLDLRFIPDSMEFKHEPRDVAVEAPANYEGLNFQTQALQQSKINLSWDEDEPQRGKILKRKLNDEQLADLELREFLASDESESDDDDDENEDTTKDQPDKKNKKRDLYRALLQSGDGSDGDGEEGGQDMEVTFNTGLEDISKRILEKKDKQAETVWEAYLRKRREKKKSKKNKSKYSSEDETDDTDIEEATEEADDFFVEEPSLKKSKKERKKHEDAEKEAEASRAELELLLTDDKGADTGLKGYNLKAKKAKGKKQKEVLDVEKIPVVEDDPRFSALFTSPLFALDPTDPRYKRSATYARQIAKKLQKGEQQELAAEDTKIPTDGQLSADDPGMYKAEHENSDILPSKEKHNLSSMVRSVKMKLKQVQLPSERKVSKKSVSGMGGKKEKHRVHSKNKAKYLNE >KJB75492 pep chromosome:Graimondii2_0_v6:12:5559064:5564293:1 gene:B456_012G044400 transcript:KJB75492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKTKGHKAKTKNKNKPDSVHSDNNEESGGGKIIKDARFASLHSDPRFQKVPKRKTKVVIDSRFNRMFTDKRFASSSAPLDKRGKPKKGNTQSSLRHYYHLEEEEGVEDKRKKAALSEEDGTEEESDTSEMPQMDSDGELEEEEISETGSTTEEEDIDIDYEDGEPEIQEENIPMIEKETRRLAVVNMDWRHVKAADLYVMLSSFLPKDGQIISVAVYPSEFGLQRMKEEEIHGPVGLFDGENEDNDEDGDDEIDNEKLREYERSRLRYYYAVVECNSSATADYLYKSCDGAEFERSSNVLDLRFIPDSMEFKHEPRDVAVEAPANYEGLNFQTQALQQSKINLSWDEDEPQRGKILKRKLNDEQLADLELREFLASDESESDDDDDENEDTTKDQPDKKNKKRDLYRALLQSGDGSDGDGEEGGQDMEVTFNTGLEDISKRILEKKDKQAETVWEAYLRKRREKKKSKKNKSKYSSEDETDDTDIEEATEEADDFFVEEPSLKKSKKERKKHEDAEKEAEASRAELELLLTDDKGADTGLKGYNLKAKKAKGKKQKEVLDVEKIPVVEDDPRFSALFTSPLFALDPTDPRYKRSATYARQIAKKLQKGEQQELAAEDTKIPTDGQLSADDPGMYKAEHENSDILPSKEKHNLSSMVRSVKMKLKQVQLPSERKVSKKSVSGMGGKKEKHRVHSKNKAKYLNE >KJB78366 pep chromosome:Graimondii2_0_v6:12:3792517:3793164:-1 gene:B456_012G030900 transcript:KJB78366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAFTTQFIQDFVGEFHSRRLLLPNPENHHNSSHPYTTANITFDGNLVVMVLWFLLCALICSLGVKSIIKCTSRCLCLLTSESGGSSTTALANTGVKPKALNTFPTVNYSDELKLSGLDSECVICLSDFAPGDRVRLLPKCNHGFHVRCIDKWLSSHSSCPKCRHCLIETCQKIVGCREASSPEPPSSLQQTILTIRPIAPESFIHSYRSISLA >KJB77799 pep chromosome:Graimondii2_0_v6:12:33150146:33154016:1 gene:B456_012G158300 transcript:KJB77799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSEGKLKSSSSELDLDRPNIEDYLPSGSSIQEPHGKLRLRDLLDISPALTEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCCGVVFRYLILFPMRALVLTIGWIIFLSCFIPVHFLLKGNDNLRKKMERALVELICSFFVASWTGVVKYHGPRPSMRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVTRKLREHSQGADNNPLLIFPEGTCVNNQYSVMFKKGAFELGCTVCPIAIKYNKIFVDAFWNSRKQSFTMHLLQLMTSWAVVCDVWYLEPQNLRPGETPIEFAER >KJB77800 pep chromosome:Graimondii2_0_v6:12:33150146:33154902:1 gene:B456_012G158300 transcript:KJB77800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSEGKLKSSSSELDLDRPNIEDYLPSGSSIQEPHGKLRLRDLLDISPALTEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCCGVVFRYLILFPMRALVLTIGWIIFLSCFIPVHFLLKGNDNLRKKMERALVELICSFFVASWTGVVKYHGPRPSMRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVTRKLREHSQGADNNPLLIFPEGTCVNNQYSVMFKKGAFELGCTVCPIAIKYNKIFVDAFWNSRKQSFTMHLLQLMTSWAVVCDVWYLEPQNLRPGETPIEFAERDIISVRAGLKKVPWDGYLKYSRPSPKHRERKQQSFAESVLRGLELEEK >KJB77798 pep chromosome:Graimondii2_0_v6:12:33150125:33154932:1 gene:B456_012G158300 transcript:KJB77798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSEGKLKSSSSELDLDRPNIEDYLPSGSSIQEPHGKLRLRDLLDISPALTEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCCGVVFRYLILFPMRALVLTIGWIIFLSCFIPVHFLLKGNDNLRKKMERALVELICSFFVASWTGVVKYHGPRPSMRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVTRKLREHSQGADNNPLLIFPEGTCVNNQYSVMFKKGAFELGCTVCPIAIKYNKIFVDAFWNSRKQSFTMHLLQLMTSWAVVCDVWYLEPQNLRPGETPIEFAERIRDIISVRAGLKKVPWDGYLKYSRPSPKHRERKQQSFAESVLRGLELEEK >KJB74985 pep chromosome:Graimondii2_0_v6:12:1987096:1994697:1 gene:B456_012G017300 transcript:KJB74985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLESIFSTISPTPIAAASLGQVYKARLKYSGQTVAVKVQRPGIEDAIGLDFYLIRGLGFFINKYVDIITTDAVALIDEFASRVYQELNYVQEGQNARKFKMLYADKEDILVPDIFWNYTSGKVLTMEWVDGVKLNEQAAIERQGLKLLDLVTTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARFAIIGHVVHMVNRDYEAMARDYYALDFLSTDVDVSPIVPALRDFFDDALSYTVSELNFKTLVDGLGSVLYQYPFNVPPYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDERFRWNRLENLLVQGSKDRDFSAKDALQPVLKLLLGPDGEELRTLVIKEAVRVTEAFTLCTFVDTYNSVPSFMRSLIFNGNGALAMSSAELQSMMELRDQVFRIWGLLRSSENFDPALLQPILQILQQPEARSLGGRVVGGITQRLAARLLQQVLRMPMAPTSSLSALDTR >KJB74982 pep chromosome:Graimondii2_0_v6:12:1987096:1993637:1 gene:B456_012G017300 transcript:KJB74982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVVSAGGHSFCLQAGKGFPISKPKGYKFGPRAALVEATPRVNGSPSSTSIQVLATDRAEELKAEARALARAADASVYSPQLLAKKYGSRPVKAVKRTLEILVALGTFALKLFLDQKNGTLDQNKRKRAAELRTIFTRLGPTFIKLGQGLSTRPDLCPPEYLEELAQLQDALPTFPDADAFSCIETELGMPLESIFSTISPTPIAAASLGQVYKARLKYSGQTVAVKVQRPGIEDAIGLDFYLIRGLGFFINKYVDIITTDAVALIDEFASRVYQELNYVQEGQNARKFKMLYADKEDILVPDIFWNYTSGKVLTMEWVDGVKLNEQAAIERQGLKLLDLVTTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARFAIIGHVVHMVNRDYEAMARDYYALDFLSTDVDVSPIVPALRDFFDDALSYTVSELNFKTLVDGLGSVLYQYPFNVPPYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDERFRWNRLENLLVQGSKDRDFSAKDALQPVLKLLLGPDGEELRTLVIKEAVRVTEAFTLCTFVDTYNSVPSFMRSLIFNGNGALAMSSAELQSMMELRDQVFRIWGLLRSSENFDPALLQPILQVSKLYLCCSLVTKVERDILRHRHRSHN >KJB74983 pep chromosome:Graimondii2_0_v6:12:1987096:1994697:1 gene:B456_012G017300 transcript:KJB74983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLESIFSTISPTPIAAASLGQVYKARLKYSGQTVAVKVQRPGIEDAIGLDFYLIRGLGFFINKYVDIITTDAVALIDEFASRVYQELNYVQEGQNARKFKMLYADKEDILVPDIFWNYTSGKVLTMEWVDGVKLNEQAAIERQGLKLLDLVTTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARFAIIGHVVHMVNRDYEAMARDYYALDFLSTDVDVSPIVPALRDFFDDALSYTVSELNFKTLVDGLGSVLYQYPFNVPPYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDERFRWNRLENLLVQGSKDRDFSAKDALQPVLKLLLGPDGEELRTLVIKEAVRVTEAFTLCTFVDTYNSVPSFMRSLIFNGNGALAMSSAELQSMMELRDQVFRIWGLLRSSENFDPALLQPILQILQQPEARSLGGRVVGGITQRLAARLLQQVLRMPMAPTSSLSALDTR >KJB74981 pep chromosome:Graimondii2_0_v6:12:1987086:1994762:1 gene:B456_012G017300 transcript:KJB74981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVVSAGGHSFCLQAGKGFPISKPKGYKFGPRAALVEATPRVNGSPSSTSIQVLATDRAEELKAEARALARAADASVYSPQLLAKKYGSRPVKAVKRTLEILVALGTFALKLFLDQKNGTLDQNKRKRAAELRTIFTRLGPTFIKLGQGLSTRPDLCPPEYLEELAQLQDALPTFPDADAFSCIETELGMPLESIFSTISPTPIAAASLGQVYKARLKYSGQTVAVKVQRPGIEDAIGLDFYLIRGLGFFINKYVDIITTDAVALIDEFASRVYQELNYVQEGQNARKFKMLYADKEDILVPDIFWNYTSGKVLTMEWVDGVKLNEQAAIERQGLKLLDLVTTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARFAIIGHVVHMVNRDYEAMARDYYALDFLSTDVDVSPIVPALRDFFDDALSYTVSELNFKTLVDGLGSVLYQYPFNVPPYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDERFRWNRLENLLVQGSKDRDFSAKDALQPVLKLLLGPDGEELRTLVIKEAVRVTEAFTLCTFVDTYNSVPSFMRSLIFNGNGALAMSSAELQSMMELRDQVFRIWGLLRSSENFDPALLQPILQILQQPEARSLGGRVVGGITQRLAARLLQQVLRMPMAPTSSLSALDTR >KJB74984 pep chromosome:Graimondii2_0_v6:12:1987096:1994697:1 gene:B456_012G017300 transcript:KJB74984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLESIFSTISPTPIAAASLGQVYKARLKYSGQTVAVKVQRPGIEDAIGLDFYLIRGLGFFINKYVDIITTDAVALIDEFASRVYQELNYVQEGQNARKFKMLYADKEDILVPDIFWNYTSGKVLTMEWVDGVKLNEQAAIERQGLKLLDLVTTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARFAIIGHVVHMVNRDYEAMARDYYALDFLSTDVDVSPIVPALRDFFDDALSYTVSELNFKTLVDGLGSVLYQYPFNVPPYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDERFRWNRLENLLVQGSKDRDFSAKDALQPVLKLLLGPDGEELRTLVIKEAVRVTEAFTLCTFVDTYNSVPSFMRSLIFNGNGALAMSSAELQSMMELRDQVFRIWGLLRSSENFDPALLQPILQILQQPEARSLGGRVVGGITQRLAARLLQQVLRMPMAPTSSLSALDTR >KJB74986 pep chromosome:Graimondii2_0_v6:12:1987096:1994697:1 gene:B456_012G017300 transcript:KJB74986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLESIFSTISPTPIAAASLGQVYKARLKYSGQTVAVKVQRPGIEDAIGLDFYLIRGLGFFINKYVDIITTDAVALIDEFASRVYQELNYVQEGQNARKFKMLYADKEDILVPDIFWNYTSGKVLTMEWVDGVKLNEQAAIERQGLKLLDLVTTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARFAIIGHVVHMVNRDYEAMARDYYALDFLSTDVDVSPIVPALRDFFDDALSYTVSELNFKTLVDGLGSVLYQYPFNVPPYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDERFRWNRLENLLVQGSKDRDFSAKDALQPVLKLLLGPDGEELRTLVIKEAVRVTEAFTLCTFVDTYNSVPSFMRSLIFNGNGALAMSSAELQSMMELRDQVFRIWGLLRSSENFDPALLQPILQILQQPEARSLGGRVVGGITQRLAARLLQQVLRMPMAPTSSLSALDTR >KJB78014 pep chromosome:Graimondii2_0_v6:12:34252268:34257485:1 gene:B456_012G172600 transcript:KJB78014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLSPESSSLYFTSINIVSRDPNPCRSRTSLYFLKRDGGCRLIRRKTRSTAVKALLDSATIEELGLKESDMRNPAISSTYRRSMLPKPNQTVLEAQARICTGPTQTRPLSEEQAFKVLDTILRSVRGELKDEEQVSKAQIGAFFAGMTIRANSFPEETQWSEGERRAMNLFWPLLLRALPPDVIFIADPEGSIMGLGNSVGPQFIGKGTTEMRLVGALREILAGGHLGYEEVQGVLKDVLPLKFEDGKSTGVSESLLSAFLIGQRMNRETDRELKAYCLAFDDELGPAPVADVNSLTHYGEPYDGNTRFFRSTLFVAAVRSCYGESSLLHGVEWMPPKVSVTEEQMLNFMGANTNLSLHQAKKLIEDEEVGFAYLSQREARPSLYSLIGLREHIKKRPPLATTEKVQQFIRAKGKESIVTGFYHEGYEEPLLMLMKRRGVYSGLVVKGEEGALSMTTRLRSASTSKGFPVNYCSGFRSVGTETACKEDGVSHQSFRLEVNAMDYGFEPTDTPRTDRSVSKNIELGLAALHGQKGPAYDRIVLNAGIVDHLLGCDGAEDVTIALDRAREAIDSGKALKKLLNYIKVSHKLK >KJB78015 pep chromosome:Graimondii2_0_v6:12:34252268:34257485:1 gene:B456_012G172600 transcript:KJB78015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRANSFPEETQWSEGERRAMNLFWPLLLRALPPDVIFIADPEGSIMGLGNSVGPQFIGKGTTEMRLVGALREILAGGHLGYEEVQGVLKDVLPLKFEDGKSTGVSESLLSAFLIGQRMNRETDRELKAYCLAFDDELGPAPVADVNSLTHYGEPYDGNTRFFRSTLFVAAVRSCYGESSLLHGVEWMPPKGGVTEEQMLNFMGANTNLSLHQAKKLIEDEEVGFAYLSQREARPSLYSLIGLREHIKKRPPLATTEKVQQFIRAKGKESIVTGFYHEGYEEPLLMLMKRRGVYSGLVVKGEEGALSMTTRLRSASTSKGFPVNYCSGFRSVGTETACKEDGVSHQSFRLEVNAMDYGFEPTDTPRTDRSVSKNIELGLAALHGQKGPAYDRIVLNAGIVDHLLGCDGAEDVTIALDRAREAIDSGKALKKLLNYIKVSHKLK >KJB78013 pep chromosome:Graimondii2_0_v6:12:34252141:34257580:1 gene:B456_012G172600 transcript:KJB78013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLSPESSSLYFTSINIVSRDPNPCRSRTSLYFLKRDGGCRLIRRKTRSTAVKALLDSATIEELGLKESDMRNPAISSTYRRSMLPKPNQTVLEAQARICTGPTQTRPLSEEQAFKVLDTILRSVRGELKDEEQVSKAQIGAFFAGMTIRANSFPEETQWSEGERRAMNLFWPLLLRALPPDVIFIADPEGSIMGLGNSVGPQFIGKGTTEMRLVGALREILAGGHLGYEEVQGVLKDVLPLKFEDGKSTGVSESLLSAFLIGQRMNRETDRELKAYCLAFDDELGPAPVADVNSLTHYGEPYDGNTRFFRSTLFVAAVRSCYGESSLLHGVEWMPPKGGVTEEQMLNFMGANTNLSLHQAKKLIEDEEVGFAYLSQREARPSLYSLIGLREHIKKRPPLATTEKVQQFIRAKGKESIVTGFYHEGYEEPLLMLMKRRGVYSGLVVKGEEGALSMTTRLRSASTSKGFPVNYCSGFRSVGTETACKEDGVSHQSFRLEVNAMDYGFEPTDTPRTDRSVSKNIELGLAALHGQKGPAYDRIVLNAGIVDHLLGCDGAEDVTIALDRAREAIDSGKALKKLLNYIKVSHKLK >KJB77083 pep chromosome:Graimondii2_0_v6:12:27426283:27428712:-1 gene:B456_012G119700 transcript:KJB77083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSLPGATKLQHLAKVTGAAHHLNTTGKNPKPTTLNRRIIGEDNKPNSKKKMESSSASQRLPLSEVVSDCVKRWFKDSLKEAKAGDVNMQVLVGQMYYSGYGVPRDAQKGRIWMTRASRLRSSVWKVSHKHPGYNASDSDSDEIKGDS >KJB77084 pep chromosome:Graimondii2_0_v6:12:27427923:27428681:-1 gene:B456_012G119700 transcript:KJB77084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSLPGATKLQHLAKVTGAAHHLNTTGKNPKPTTLNRRIIGEDNKPNSKKKMESSSASQRLPLSEVVSDCVKRWFKDSLKEAKAGDVNMQVLVGQMYYSGYGVPRDAQKGRIWMTRASRLRSSVWKVSHKHPGSPL >KJB77082 pep chromosome:Graimondii2_0_v6:12:27426413:27428712:-1 gene:B456_012G119700 transcript:KJB77082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSLPGATKLQHLAKVTGAAHHLNTTGKNPKPTTLNRRIIGEDNKPNSKKKMESSSASQRLPLSEVVSDCVKRWFKDSLKEAKAGDVNMQVLVGQMYYSGYGVPRDAQKGRIWMTRASRLRSSVWKVSHKHPGYNASDSDSDEIKGDS >KJB77085 pep chromosome:Graimondii2_0_v6:12:27425995:27428769:-1 gene:B456_012G119700 transcript:KJB77085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSLPGATKLQHLAKVTGAAHHLNTTGKNPKPTTLNRRIIGEDNKPNSKKKMESSSASQRLPLSEVVSDCVKRWFKDSLKEAKAGDVNMQVLVGQMYYSGYGVPRDAQKGRIWMTRASRLRSSVWKVSHKHPGYNASDSDSDEIKGDS >KJB77439 pep chromosome:Graimondii2_0_v6:12:31041720:31050422:1 gene:B456_012G137000 transcript:KJB77439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSGSLSRSCNSPSVRVSSSPSQSPYPLSQQSLRRLSLCSQIASHSSPIVLPEKRTKKLKASSRRGEAPVTDDQPDKSKRDEHRIDIGEGDEKSDLLGYVVYSGKLILDKRKNVPTNANPDDVEQNSASDIANQDAVVAKLTSKALVWGSHVLLLDDVVSVSYNVGVRHFIVHSYPLKKGSYGLSCFIKPKRSRKDFYFEASSVEEAVQWLAGFADQQCSINCLPQPLVSSRKQGSSELFPIDTPPELLFRCKNPPQMLVILNPRSGHGRSSKVFHGIAEPILKLAGFELEVVKTTSAGHAKKLASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAISIVKGGLTATDVFAVEWVQTGLIHFGMTCTYYGFASDVLELSEKYQKRFGPLRYFVAGFQKFLCLPKYNYEVQYLPVAKEDQGGKNSADREVVDMSDLYTDIMRRSNTDGIPRASSLSSIDSIMTPSRMSGGEMDTFGTTHASTEPSEYVRGLDPKSKRQSSGRSNLTAEPEVIHPQLPHSTLNWPRTRTKSRTDKGWSGLTAAHDPSQCSWGNAAINDREDISSTLSDPGPIWDAEPKWDTEANWDVENPIELPGPSDDVESRINKEVVPRFKDKWIVTKGPFLGILVCNHACRTVQSSQVVAPRAEHDDNTMDMLLVHGSGRLRLMRFFLLLQMGKHLSLPYVEYVKVKSVKIKARKHTHNGCGIDGELFPLKGQVVSSLLPEQCRLIGRFPGHHI >KJB78248 pep chromosome:Graimondii2_0_v6:12:35304038:35306798:1 gene:B456_012G185800 transcript:KJB78248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASTSASIPADPPPPPTVPAWAPAPPSVVEPPAAAAAVPPVSDHPPYPDMIIEAIGALKEKNGSSKRAISKYIELAHKPYPPSHDELLTQHLKLLKSSGQLVMVRKSYKLAPSAGSEVPVPDSATSNVPDGSLAPKRGRGRPPKAKPTVSATDSGSQLLDVQVAGEVKKSVGRPRKNAPIGQLDARRGRGRPPKSGSRRPKTVRSVVAGGANAVKRGRGRPPRAVNQVPQQGFVPIQGQPVAVPYADADPAAPVAPSLPRRRGRPKGTARATGTVVPGKRRGRPPKVGSNTMAKKTTGRPVGRPKKQSKVKHAVEVLKPQCINNGTGAGAIQELEGLAEMDFNAPFHEQAQPPQQVPTQPPILQNEGQGP >KJB78249 pep chromosome:Graimondii2_0_v6:12:35304038:35306798:1 gene:B456_012G185800 transcript:KJB78249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASTSASIPADPPPPPTVPAWAPAPPSVVEPPAAAAAVPPVSDHPPYPDMIIEAIGALKEKNGSSKRAISKYIELAHKPYPPSHDELLTQHLKLLKSSGQLVMVRKSYKLAPSAGSEVPVPDSATSNVPDGSLAPKRGRGRPPKAKPTVSATDSGSQLLDVQVAGEVKKSVGRPRKNAPIGQLDARRGRGRPPKSGSRRPKTVRSVVAGGANAVKRGRGRPPRAVNQVPQQGFVPIQGQPVAVPYADADPAAPVAPSLPRRRGRPKGTARATGTVVPGKRRGRPPKVGSNTMAKKTTGRPVGRPKKTTGGASAATYEDLKRKLEFFQSKVKHAVEVLKPQCINNGTGAGAIQELEGLAEMDFNAPFHEQAQPPQQVPTQPPILQNEGQGP >KJB75093 pep chromosome:Graimondii2_0_v6:12:2892351:2896849:-1 gene:B456_012G023500 transcript:KJB75093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEGVLQVLSGAAPRLLSSDLGSSNSDLALYSKCHFKSVKNKGSRYFKSLKCSSMIRCHIGSYNYRRLVGGFDRNAAINRLRLLGCKCQQADHGSGVGMDEGNGARFVDNANESNLSGSMNSQKKLEFEDSERLKREKEMLASAGTGTTTFYKSGIDSIEDEAWSLLRDSMVYYCGSPIGTIAANDPTDSGVLNYDQVFIRDFIPSGIALLLKGEYDIVKNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRSAPLDGDDSATVEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSLQDRIDVQTGIRMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQSLFFSALLSAREMLTPEDGSDDLTRALNNRLIALSFHIREYYWIDLVKVNEIYRYKTEEYSFDAVNKFNIYPDQISPWLVEWMPHKGGYLIGNLQPAHMDFRFFSLGNFWAIVSGLATTNQSHAILDLIEAKWADLVAEMPFKICYPALEGREWQIITGCDPKNTPWSYHNAGSWPTLLWQLTVACIKMNRPEIAAKAVAVAEKRISSDKWPEYYDTKKARFIGKQARLFQTWSIAGFLVAKLLLADPNAAKMLTTEEDSELVNAFSSLVCGNPRRKRGPKTYIV >KJB76847 pep chromosome:Graimondii2_0_v6:12:25023332:25025738:1 gene:B456_012G110100 transcript:KJB76847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQAISTSSCCNILFSKSHRSTSPHSLHRFNHYSPSFAHRISTGVQRKALELPLPETVTQQVQNKEQRKTQFALENLTSWLLKQELAGNIDAELTIVLSSISLACKQIASLLQRSSIINLTGGQGTINVQGEDQKKLDVISNELFCNCLRSSGRTGIIASEEEDIPVAVEETYSGNYIVVFDPIDGSANIDTALTTGSIFGIYGPDEQCLIDLDDTSTLDEAREKCIINVCQPGRNLLVAGYCLYSSSVVFTISLGKGVFAFTLDPTYGEFVLTHENIKIPESGKIYSFNEGNYDLWDDKLKNYLDHLRQPGSNGKPYSGRYIGCLVGEIHRMLLRGGIYGNPKNKNNKNGNLRLLYECAPMSFLIEQAGGTAIDGVQRILDIVPEQHVILAGTPTYTNFHWKPR >KJB76846 pep chromosome:Graimondii2_0_v6:12:25023012:25025805:1 gene:B456_012G110100 transcript:KJB76846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQAISTSSCCNILFSKSHRSTSPHSLHRFNHYSPSFAHRISTGVQRKALELPLPETVTQQVQNKEQRKTQFALENLTSWLLKQELAGNIDAELTIVLSSISLACKQIASLLQRSSIINLTGGQGTINVQGEDQKKLDVISNELFCNCLRSSGRTGIIASEEEDIPVAVEETYSGNYIVVFDPIDGSANIDTALTTGSIFGIYGPDEQCLIDLDDTSTLDEAREKCIINVCQPGRNLLVAGYCLYSSSVVFTISLGKGVFAFTLDPTYGEFVLTHENIKIPESGNQDPMANHTQAAT >KJB76848 pep chromosome:Graimondii2_0_v6:12:25023332:25025805:1 gene:B456_012G110100 transcript:KJB76848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQAISTSSCCNILFSKSHRSTSPHSLHRFNHYSPSFAHRISTGVQRKALELPLPETVTQQVQNKEQRKTQFALENLTSWLLKQELAGNIDAELTIVLSSISLACKQIASLLQRSSIINLTGGQGTINVQGEDQKKLDVISNELFCNCLRSSGRTGIIASEEEDIPVAVEETYSGNYIVVFDPIDGSANIDTALTTGSIFGIYGPDEQCLIDLDDTSTLDEAREKCIINVCQPGRNLLVAGYCLYSSSVVFTISLGKGVFAFTLDPTYGEFVLTHENIKIPESGKIYSFNEGNYDLWDDKLKNYLDHLRQPGSNGKPYSGRYIGCLVGEIHRMLLRGGIYGNPKNKNNKNGNLRLLYECAPMSFLIEQAGGTAIDGVQRILDIVPEQVHQRTPIFIGSPDEVQKLQKYLA >KJB77817 pep chromosome:Graimondii2_0_v6:12:33196066:33201911:-1 gene:B456_012G159000 transcript:KJB77817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDEERSLLEAELDRAENSGLYTGDGSVDFNGNPVLKQNTGNWKACPFILGNECCERLAYYGIATNLVSYLTKKLHEGNASAARNVTTWQGTCYVTPLIGAVLADAYWGRYWTIAAFSTIYFIGMCTLTLSASIPALKPAECVGSICPSATPAQYVVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPKERVKKGSFFNWFYFSINIGALISSSLLVWIQDNAGWGLGFGIPALFMGLAIGSFFSGTSLYRFQRPGGSPITRMCQVMVAAFHKRNLKVPEDSSLLYETGDNHSAIEGSRKLEHSEELKCLDNAAIVTDAETKSGDFSNPWRLCTVTQVEELKILIRMFPIWATGIVFSAVYAQMSTMFVEQGMMMDTKIGSFTIPPASLSSFDVISVIFWVPIYDKIIVPIARKFTRKERGFSELQRMGIGLFISVLCMSAAALVEIKRLQLAKELDLVDKQVAVPINILWQIPQYFLLGAAEVCTFIGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSFILTLVIYFTTKGGEIGWIPDNLNEGHLDYFFWLLAGLSFLNMLIYTLCAAKYKQKKAS >KJB77818 pep chromosome:Graimondii2_0_v6:12:33196066:33201845:-1 gene:B456_012G159000 transcript:KJB77818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDEERSLLEAELDRAENSGLYTGDGSVDFNGNPVLKQNTGNWKACPFILGNECCERLAYYGIATNLVSYLTKKLHEGNASAARNVTTWQGTCYVTPLIGAVLADAYWGRYWTIAAFSTIYFIGMCTLTLSASIPALKPAECVGSICPSATPAQYVVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPKERVKKGSFFNWFYFSINIGALISSSLLVWIQDNAGWGLGFGIPALFMGLAIGSFFSGTSLYRFQRPGGSPITRMCQVMVAAFHKRNLKVPEDSSLLYETGDNHSAIEGSRKLEHSEELKCLDNAAIVTDAETKSGDFSNPWRLCTVTQVEELKILIRMFPIWATGIVFSAVYAQMSTMFVEQGMMMDTKIGSFTIPPASLSSFDVISVIFWVPIYDKIIVPIARKFTRKERGFSELQRMGIGLFISVLCMSAAALVEIKRLQLAKELDLVDKQVAVPINILWQIPQYFLLGAAEVCTFIGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSFILTLVIYFTTKGGEIGWIPDNLNEGHLDYFFWLLAGLSFLNMLIYTLCAAKYKQKKAS >KJB77816 pep chromosome:Graimondii2_0_v6:12:33196066:33199695:-1 gene:B456_012G159000 transcript:KJB77816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDEERSLLEAELDRAENSGLYTGDGSVDFNGNPVLKQNTGNWKACPFILGNECCERLAYYGIATNLVSYLTKKLHEGNASAARNVTTWQGTCYVTPLIGAVLADAYWGRYWTIAAFSTIYFIGMCTLTLSASIPALKPAECVGSICPSATPAQYVVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPKERVKKGSFFNWFYFSINIGALISSSLLVWIQDNAGWGLGFGIPALFMGLAIGSFFSGTSLYRFQRPGGSPITRMCQVMVAAFHKRNLKVPEDSSLLYETGDNHSAIEGSRKLEHSEELKCLDNAAIVTDAETKSGDFSNPWRLCTVTQVEELKILIRMFPIWATGIVFSAVYAQMSTMFVEQGMMMDTKIGSFTIPPASLSSFDVISVIFWVPIYDKIIVPIARKFTRKERGFSELQRMGIGLFISVLCMSAAALVEIKRLQLAKELDLVDKQVAVPINILWQIPQYFLLGAAEVCTFIGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSFILTLVIYFTTKGGEIGWIPDNLNEGHLDYFFWLLAGLSFLNMLIYTLCAAKYKQKKAS >KJB75215 pep chromosome:Graimondii2_0_v6:12:3987137:3989956:1 gene:B456_012G032200 transcript:KJB75215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVWSLLMLLSSFFVARGVEDKHSNGTIGLGYSLSPLTPPTSLASPSGSFAFGFYSQGNGFSIGVWLEGNRKTDNKVVWTANRDDPPLHATATLTLNEKGLLLSSGVSGENKVIFYPNDSAVSVFSASMLDSGNFVLYSTVNHTIWESFEHPTDTLLGGQATTQSLISCSSENDHSSGRFLLGFLQLINNTDSSIYLEINSRFVTEVSYNENTTTTSNDGIVHSASLDVDGNFRLYTHQFDPSGGFRTSRVSRALKDSCEIIGFCGINSYCTFNDDRAYCACLPGTDFIDPQRNTLGCKRNYSEAHCKGGKDNIPLYNITQLQNIEWTTGIFYSEEVMSMSACSQTCLEDCNCEAAKFSDGVCRKQKLPLWHLRRRQGVSSTVFLKMGIRSLEADDGTVSHELKPQIKRKDPTLKILLLTFSLVACSCALLAISGVFIFKFRVLRYKRLLEIGNLGLTGELTLTSFSYNELKRATNGFKVELGKGSFGAVYKGSLNRGRNLIAVKRLEKLVEEGEREFQAEMRAIGRAHHKNLVRLLGYCAEDSKRVLVYEYMGNGSLADLLFKSRQRPDWDERTRIALDVARGILYLHEECETPIIHCDIKPQNILMDDYWRAKISDFGLAKLLMGDHTRTFTVVRGTRG >KJB75650 pep chromosome:Graimondii2_0_v6:12:6501083:6504038:1 gene:B456_012G050000 transcript:KJB75650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQDQLEIKFRLTDGSDIGPKTFPAATSVATLKESVVAQWPKEKENGPRTVKDVKLISAGKILENNKTLGECQSPLCDIPGGVTTMHVVVQPPPVEKEKKAIIEPKQNKCVCVIL >KJB75649 pep chromosome:Graimondii2_0_v6:12:6501569:6503586:1 gene:B456_012G050000 transcript:KJB75649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQDQLEIKFRLTDGSDIGPKTFPAATSVATLKESVVAQWPKEKENGPRTVKDVKLISAGKILENNKTLGECQSPLCDIPGGVTTMHVVVQPPPVEKEKKAIIEPKQNKCVCVIL >KJB75648 pep chromosome:Graimondii2_0_v6:12:6500766:6504038:1 gene:B456_012G050000 transcript:KJB75648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQDQLEIKFRLTDGSDIGPKTFPAATSVATLKESVVAQWPKEKENGPRTVKDVKLISAGKILENNKTLGECQSPLCDIPGGVTTMHVVVQPPPVEKEKKAIIEPKQNKCVCVIL >KJB75653 pep chromosome:Graimondii2_0_v6:12:6501231:6504038:1 gene:B456_012G050000 transcript:KJB75653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQDQLEIKFRLTDGSDIGPKTFPAATSVATLKESVVAQWPKEKENGPRTVKDVKLISAGKILENNKTLGECQSPLCDIPGGVTTMHVVVQPPPVEKEKKAIIEPKQNKCVCVIL >KJB75652 pep chromosome:Graimondii2_0_v6:12:6500766:6504038:1 gene:B456_012G050000 transcript:KJB75652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQDQLEIKFRLTDGSDIGPKTFPAATSVATLKESVVAQWPKEKENGPRTVKDVKLISAGKILENNKTLGECQSPLCDIPGGVTTMHVVVQPPPVEKEKKAIIEPKQNKCVCVIL >KJB75651 pep chromosome:Graimondii2_0_v6:12:6500634:6504058:1 gene:B456_012G050000 transcript:KJB75651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQDQLEIKFRLTDGSDIGPKTFPAATSVATLKESVVAQWPKEKENGPRTVKDVKLISAGKILENNKTLGECQSPLCDIPGGVTTMHVVVQPPPVEKESNNRTKAEQMCLCHIIIHSLKRGQHYFQMKE >KJB77098 pep chromosome:Graimondii2_0_v6:12:27533191:27537725:1 gene:B456_012G120200 transcript:KJB77098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTGDLDLQIEQLMKCKPLSEEEVKALCEQARAVLVEELNVQPVKCPVTVCGDIHGQFYDLVELFRIGGNVPDTNYLFMGDYVDRGYYSVETATLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHDGPMCDLLWSDPDDRCGWGVSPRGAGYTFGQDIAAQFNRTNGLTLISRAHQLVMEGYNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQKFLQFDPAPRQVDPDSMSKTPDYFL >KJB74709 pep chromosome:Graimondii2_0_v6:12:416603:423915:-1 gene:B456_012G003500 transcript:KJB74709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYPPEKVVRFQDWNSEKTCEGHYANNNGINSGKFTFAINSFSEKFQRGVESGSERIKAIRKSFKSSSFNHVVAKGFGSSKKVLDPQGPFLQRWNKIFVLSCIIAVSIDPLFFYVPVVNDDKKCLALDEKMETTACVLRSFTDIFYIIHIIFQFRTGFIAPSSRVFGRGVLVEDSQAIAKRYLRSYFLIDVLAVLPLPQLVILIIIPEMSGLKSLNTKNLLKIVVLFQYLPRVFRIYPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVFGASWYLFSVEREFTCWKGACGNNTTCHRSFYCDNGDSYFRTLLNQSCPIQTPNTTLFNFGIFLDALQSGIVESKDFPEKFFYCFWWGLQNLSSLGQNLETSTYVFEICFAVFISISGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPENLRERIRRYEQYRWQETRGVDEENLLRNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPVLYTEESYIVREGDPVDEMLFIMRGKLLTVTTNGGRTGFFNSEYLGAGDFCGEELLTWALDPHSSSNLPISTRTVRALTEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLREEENRLQDALANAGGSSPSLGATIYASRFAANALRALRRNRSRKARVTERVPPLLLQKPAEPDFTAEEQ >KJB74708 pep chromosome:Graimondii2_0_v6:12:417080:423954:-1 gene:B456_012G003500 transcript:KJB74708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYPPEKVVRFQDWNSEKTCEGHYANNNGINSGKFTFAINSFSEKFQRGVESGSERIKAIRKSFKSSSFNHVVAKGFGSSKKVLDPQGPFLQRWNKIFVLSCIIAVSIDPLFFYVPVVNDDKKCLALDEKMETTACVLRSFTDIFYIIHIIFQFRTGFIAPSSRVFGRGVLVEDSQAIAKRYLRSYFLIDVLAVLPLPQLVILIIIPEMSGLKSLNTKNLLKIVVLFQYLPRVFRIYPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVFGASWYLFSVEREFTCWKGACGNNTTCHRSFYCDNGDSYFRTLLNQSCPIQTPNTTLFNFGIFLDALQSGIVESKDFPEKFFYCFWWGLQNLSSLGQNLETSTYVFEICFAVFISISGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPENLRERIRRYEQYRWQETRGVDEENLLRNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPVLYTEESYIVREGDPVDEMLFIMRGKLLTVTTNGGRTGFFNSEYLGAGDFCGEELLTWALDPHSSSNLPISTRTVRALTEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLREEENRLQDALANAGGSSPSLGATIYASRFAANALRALRRNRSRKARVTERVPPLLLQKPAEPDFTAEEQ >KJB74710 pep chromosome:Graimondii2_0_v6:12:417138:422918:-1 gene:B456_012G003500 transcript:KJB74710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYPPEKVVRFQDWNSEKTCEGHYANNNGINSGKFTFAINSFSEKFQRGVESGSERIKAIRKSFKSSSFNHVVAKGFGSSKKVLDPQGPFLQRWNKIFVLSCIIAVSIDPLFFYVPVVNDDKKCLALDEKMETTACVLRSFTDIFYIIHIIFQFRTGFIAPSSRVFGRGVLVEDSQAIAKRYLRSYFLIDVLAVLPLPQLVILIIIPEMSGLKSLNTKNLLKIVVLFQYLPRVFRIYPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVFGASWYLFSVEREFTCWKGACGNNTTCHRSFYCDNGDSYFRTLLNQSCPIQTPNTTLFNFGIFLDALQSGIVESKDFPEKFFYCFWWGLQNLSSLGQNLETSTYVFEICFAVFISISGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPENLRERIRRYEQYRWQETRGVDEENLLRNLPKDLRRDIKRHLCLALLMRVGALTFYSFFLTGLHTF >KJB75010 pep chromosome:Graimondii2_0_v6:12:2269528:2272699:-1 gene:B456_012G019000 transcript:KJB75010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGGGAGGVEWHVRPPNPKNPIVFFDITIGSIPAGRIKMELFADIAPKTAENFRQLCTGEYRKAGLPVGYKGCQFHRVIKDFMIQAGDFLKGDGSGCTSIYGLKFDDENFIAKHTGPGLLSMANSGPNTNGCQFFITCAKCEWLDNKHVVFGRVLGDSLLVVRKIENVATGPNNRPKLPCIIAECGEM >KJB75009 pep chromosome:Graimondii2_0_v6:12:2269528:2272664:-1 gene:B456_012G019000 transcript:KJB75009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGGGAGGVEWHVRPPNPKNPIVFFDITIGSIPAGRIKMELFADIAPKTAENFRQLCTGEYRKAGLPVGYKGCQFHRVIKDFMIQAGDFLKGDGSGCTSIYGLKFDDENFIAKHTGPGLLSMANSGPNTNGCQFFITCAKCEWLDNKHVVFGGARR >KJB74529 pep chromosome:Graimondii2_0_v6:12:35186204:35189859:1 gene:B456_012G184600 transcript:KJB74529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNVLKFQHHQPSHLRISQTTLPRHPTSLLHLFPKLSFRPSSFSLSKTSRSFKFSSLSKVEQPVYFDDEEERGGPSNESVVEFEDLVQNGVVYRETLRLVECSMFAAVTGLVYFLSNSLAIENYFGCFFSLPIVISSMRWGVACGRKQLVATVLLLFVLSGPVKAINYLLTHGILGFVMGALWRSGADWTVTIPLCTLARAIGLVGYVLTTSFLIRENILALITINIHASLSYIFAAVGINLIPSMNFIYTLFGILVLLNSASFVFLLHLLYSLFLTRMGMKTSLRLPRWFKKAI >KJB74526 pep chromosome:Graimondii2_0_v6:12:35186291:35188691:1 gene:B456_012G184600 transcript:KJB74526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNVLKFQHHQPSHLRISQTTLPRHPTSLLHLFPKLSFRPSSFSLSKTSRSFKFSSLSKVEQPVYFDDEEERGGPSNESVVEFEDLVQNGVVYRETLRLVECSMFAAVTGLVYFLSNSLAIENYFGCFFSLPIVISSMRWGVACGRKQLVATVLLLFVLSGPVKAINYLLTHGILGFVMGALWRSGADWTVTIPLCTLVVSSPLSHVLSP >KJB74528 pep chromosome:Graimondii2_0_v6:12:35186204:35189469:1 gene:B456_012G184600 transcript:KJB74528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNVLKFQHHQPSHLRISQTTLPRHPTSLLHLFPKLSFRPSSFSLSKTSRSFKFSSLSKVEQPVYFDDEEERGGPSNESVVEFEDLVQNGVVYRETLRLVECSMFAAVTGLVYFLSNSLAIENYFGCFFSLPIVISSMRWGVACGRKQLVATVLLLFVLSGPVKAINYLLTHGILGFVMGALWRSGADWTVTIPLCTLVKTYWL >KJB74525 pep chromosome:Graimondii2_0_v6:12:35186204:35189469:1 gene:B456_012G184600 transcript:KJB74525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNVLKFQHHQPSHLRISQTTLPRHPTSLLHLFPKLSFRPSSFSLSKTSRSFKFSSLSKVEQPVYFDDEEERGGPSNESVVEFEDLVQNGVVYRETLRLVECSMFAAVTGLVYFLSNSLAIENYFGCFFSLPIVISSMRWGVACGRKQLVATVLLLFVLSGPVKAINYLLTHGILGFVMGALWRSGADWTVTIPLCTLARAIGLVGYVLTTSFLIRENILALITINIHASLSYIFAAVGINLIPSMNFIYTLFGILVQLNYLYALLLLSFSGTRNT >KJB74527 pep chromosome:Graimondii2_0_v6:12:35186204:35189469:1 gene:B456_012G184600 transcript:KJB74527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNVLKFQHHQPSHLRISQTTLPRHPTSLLHLFPKLSFRPSSFSLSKTSRSFKFSSLSKVEQPVYFDDEEERGGPSNESVVEFEDLVQNGVVYRETLRLVECSMFAAVTGLVYFLSNSLAIENYFGCFFSLPIVISSMRWGVACGRKQLVATVLLLFVLSGPVKAINYLLTHGILGFVMGALWRSGADWTVTIPLCSSDRFGGLCLDNLFLN >KJB76248 pep chromosome:Graimondii2_0_v6:12:13087150:13088420:-1 gene:B456_012G080400 transcript:KJB76248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCQSNFFYCFYSEMDDKFAQELYSESLHLSNLQLDRSSSTNGFNKADIQDEDGSLWGGSDEELDKTSDLDREWKRRHDQFHTIGYRDGLIAGKEASAQEGFNIGFKQSIPIGYNWGIARGVTSALACLPDELRERLIETQENRDKFQELYESVNLLSATDALKLFHDDILTKKAVEQSGSTEASVSVGGAQEHISNSSSLGTYSTKLQSLLLESPEIKVQFFHQGASTPDRC >KJB76247 pep chromosome:Graimondii2_0_v6:12:13086952:13088799:-1 gene:B456_012G080400 transcript:KJB76247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKFAQELYSESLHLSNLQLDRSSSTNGFNKADIQDEDGSLWGGSDEELDKTSDLDREWKRRHDQFHTIGYRDGLIAGKEASAQEGFNIGFKQSIPIGYNWGIARGVTSALACLPDELRERLIETQENRDKFQELYESVNLLSATDALKLFHDDILTKKAVEQSGSTEASVSVGGAQEHISNSSSLGTYSTKLQSLLLESPEIKVQFFHQGASTPDRC >KJB75973 pep chromosome:Graimondii2_0_v6:12:9381845:9386546:1 gene:B456_012G066200 transcript:KJB75973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSDRGLRLSFSTSYDGLMLKQIQAVHVPDGRAIDVRPLLHIVEDILSFAAPSGDAIVETGKQATGTEAFQHQTNYQTNITDMLETLSFLIDRISIEMARKCSETREEHATTMSILSMVSNYPWDAKLVIALSAFAVNYGEFWLLAQCYTSNQLAKNLAILKQVPGILQHSTMLKSRFDTIKDLITAMLDIAKCLVEFKEIPSNYVTEDVAAAVSAAMDHIPVAIYWTIRSMLATASQITGLSGSENEFLSSLESWELSSLVHKLSTMHSHLVGLLAICHKQIDERKFIEAYQNLQYLFNAAQIDNIKVLKALINPKDDPLPLVDGANKKRVSVDVLRKRNVLLLISDLDILQDEVVILQQIYEESRRQSNSLDQNPYELVWLPVLDSSVSLSKIKQRIFENLTATMTWFTLRHPALLNRAVFKFIKEEWGFEQKPIVVVLDPQGRVTCSNAIHMMWIWGNLAFPFTIAKEDALWKAETLTLDFLVDGIDPVILKWISEERFIFLYGGEDIEWIRNFTHTVKTAARACGIAMEMVYVGKRNPKENIRRNMAIINEEKLSHCLPDITAIWYFWIRIESMWNSKHQLGKADENDPTTQEIMTLLSYDGGEGYSQQRCLTSDLLSNSLFFTTGTSA >KJB75753 pep chromosome:Graimondii2_0_v6:12:7438797:7443360:1 gene:B456_012G055500 transcript:KJB75753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVPGDIQVGPWNVENSKGKKKKDSEDGEPGCWFKFRFMGNCLSPRTKMEGSTSGTSTPYAESKSTNESNETTNKSNDTSRGQPVVPVVTSSTTTNAGSTPSTPNISEELKIASQLRKFSFNDLKLATRSFRPESLLGEGGFGCVFKGWISENGNAPVKPGTGLPVAVKTLNHDGLQGHREWLAEVNYLGDLLHTNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSMPLPWSTRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDTDYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPYLAERRRFYRLIDPRLEGHFSIKGAQRAIQLAAHCLSRDPKARPLMSGVVETLKPLPNLKDMACTSSQFQAMQIERMASTSKSRTGTRAPAALSIRNGQPTRSPLTQNGPQISPYHNNLPYRSPKPNAGQPLR >KJB75212 pep chromosome:Graimondii2_0_v6:12:3942368:3945004:1 gene:B456_012G031800 transcript:KJB75212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWVWALLLLLSSLCVKQSSSIISLGSSLSSATQSIPWRSPSGRFAFGFYSQGGGLSVGVWLDGRGKNDNKVVWTANRDDPLLTSNVTLTLNDKGVLLSIAVSGEKKFIANPNNSAVSVFSASMLDSGNFVLYNKDNHTIWESFEHPTDTLLGGQTLLTNHELISSSSENDHSGGRFHLSMQGDGNLVLYPRQSEDSAINAYWSTNTFWMGLSLRLFLNATGLLQLINNDDSSIYETINLSFFPEPTYNDYNESSSSNNNNNSTVFSASLDVDGNFRLYAHLFERNGGFQTYPLLRALLNSCKVRGFCGLNSYCTFNDNRPYCACLPGTDFIDPLQNNLGCKRNYSEAHCKGGKANIPFYKMTSMPGIEWTTGSFYGKERLSKDACSRTCLEDCNCEAAQFDNGICRKQKLPLRYLLRDPDAQVNSTVLLKVGIISLEADNDTVPSELKSPKVLIKRKNTTVLILLTFSFVACSCAMLTISGVYIYKFRVLRYKRVLELGNLGLTEELTLTLFSYKELKRATNGFKEELGKGSFGAVYNGSLNRGRQLIAVKRLEKLVEEGEKEFQAEMRAIGRAHHKNLVRLLGYCVEDSKRLLVYEYMGNGSLADLLFKSTKPLDWDERTRIALDVARGILYLHDECETPIIHCDIKPQNILMDDLWRAKISDFGLAKLLMGDQTRTFTVVRGTRGYMAPEWQKNTPISVKADIYSYGIVLLETVFCRRNLDINASKPEEVILSSMVYRCLVEKELDKLMLGEEVDKRSLERMVMVALWCIQDEPALRPSIKTVVMMLEGITDICIPPCPTASFI >KJB77238 pep chromosome:Graimondii2_0_v6:12:29238570:29239800:-1 gene:B456_012G126800 transcript:KJB77238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATGQVNAKRKPVFVKVEDLKPGTSGHTLIVKVVEMTPVKTSARPNRSRALLSRPVPPARIAECVVGDETGTIIFTARNEQVDLMTKGATVILRNAKIVMFKRTTMRLAVDKWGRIEVTEPAKFAVKEDNNLSLVEYELVTVPDQGMN >KJB75213 pep chromosome:Graimondii2_0_v6:12:3946980:3951104:1 gene:B456_012G031900 transcript:KJB75213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHSNTHTEAAKLEQIITEFFYKSLLIILESRSPYVSSRNCSGEQIMSSPSSSSSSSSGVRPRDKWFNLALRECPSELENFDLCRQSNFEPVVSDVILEQKPLDWDPVTFSPKRDLVKHSSSKDKNSFFWNSDQEESGCEAKGEKVIERWFVQHESRKGSDCSSGSRRSSSNNLSALYKKLVLLLRSLYVTFRLLPAYKIFRDLNSSGQIRAFKLVPRVSSSDEYFTQKDEAEMQRIWITPVDTSCGRLCLSVLYRSSISDKSSVSSTPMSPQFIPDYVGSPLTDPLRRFPSLPVSQGSPSSLPFSRRHLPPHPPGASLPHKKNTNFDEYWPSPKFSASPSPSPPIHIPGGHLSKALLRSESAPVNISVRKLAGSPALSCKQNLPSPPLKISRADISWTDNNMGPVKSGATIEKLFSFGKGDGQKYSGVNSPRISFFRSSSRSIQDDFDDSEFSCPFDVEYDDMDPGSRPDSYDRRGNPSDPNEAGLFMNRKSQDAAVGALVRMLKKAPPLRQDIPSSINFSEASRPEMWNNNIQEKNQNTEAVTVEHDVSSIITSSRLVASMTTADALEELRSYKEMKNLLLSEGGKSCISANLTSGAKHSGKGT >KJB77522 pep chromosome:Graimondii2_0_v6:12:31538933:31543126:-1 gene:B456_012G141900 transcript:KJB77522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NINPNRKTFSISRSLFFPQFFSSSHQLRRKKMSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFGKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGREITVQFAKYGPNAERIHKGRIIEPVPRSRSRSPRRRHRDDYSRDRGYRRRSRSRSYDRYERDRYRGKDRDYRRRSRSRSASPDYSKGRGRGCYDDDRRSSSRSLSASPARRSPSPRRSLTPRKASSPRGESPYRRSRDGGSPSPRSVSPRGHPADSRSPSPRNSDVDE >KJB77523 pep chromosome:Graimondii2_0_v6:12:31540055:31543126:-1 gene:B456_012G141900 transcript:KJB77523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NINPNRKTFSISRSLFFPQFFSSSHQLRRKKMSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFGKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGREITVQFAKYGPNAERIHKGRIIEPVPRSRSRSPRRRHRDDYSRDRGYRRRSRSRSYDRYERDRYRGKDRDYRRRSRSRSASPDYSKGRGRGCYDDDRRSSSRSLSASPARRSPSPRRSLTPRKASSPRGESPYRRSRDGGSPSPRSVSPRGHPADSRSPSPRNSDVDVSFSLSSLFFSASPFLFNLFFFFFTVKLPLQSSSNP >KJB77521 pep chromosome:Graimondii2_0_v6:12:31538748:31543170:-1 gene:B456_012G141900 transcript:KJB77521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NINPNRKTFSISRSLFFPQFFSSSHQLRRKKMSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFGKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGREITVQFAKYGPNAERIHKGRIIEPVPRSRSRSPRRRHRDDYSRDRGYRRRSRSRSYDRYERDRYRGKDRDYRRRSRSRSASPDYSKGRGRGCYDDDRRSSSRSLSASPARRSPSPRRSLTPRKASSPRGESPYRRSRDGGSPSPRSVSPRGHPADSRSPSPRNSDVDE >KJB77520 pep chromosome:Graimondii2_0_v6:12:31540020:31543033:-1 gene:B456_012G141900 transcript:KJB77520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFGKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGREITVQFAKYGPNAERIHKGRIIEPVPRSRSRSPRRRHRDDYSRDRGYRRRSRSRSYDRYERDRYRGKDRDYRRRSRSRSASPDYSKGRGRGCYDDDRRSSSRSLSASPARRSPSPRRSLTPRKASSPRGESPYRRSRDGGSPSPRSVSPRGHPADSRSPSPRNSDVDE >KJB75407 pep chromosome:Graimondii2_0_v6:12:4997212:4997985:-1 gene:B456_012G040300 transcript:KJB75407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAKHILQQKDNQFRTDGRKILAYHSYLIKEKDDEGIQLLKKIAEMDIEDFPFNILQQIRSTWENCHQNLSTIHHYGLSQKRWQPERKENHIPTTKFGNVEIIMMQTWK >KJB76148 pep chromosome:Graimondii2_0_v6:12:11477681:11482682:-1 gene:B456_012G075300 transcript:KJB76148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARVSSGVLTKTQSPFLSPLRGRKLQSLRNMSTLPNTIHNHQFSNASLYLRSTFSSRTHGFKQAIKDDSREFELSSLTALSPLDGRYWGKVKDLAPYMSEYGLIYFRVLVEIKWLLMLSQIPEVIEVPSFSAEAQSYLLGLIDGFSMDDALEVKKIERVTNHDVKAVEYFLKQKCQSQPEIAKVLEFFHFACTSEDINNLAHALMLKEAMTKVMFPTMDKLVEAICKLAKANASVSMLSRTHGQPASPTTLGKEMAIFAVRLSRERQEISQVEMMGKFAGAVGNYNAHIVAYPTVIWPQIAEQFVTSLGLKFNPYVTQIETHDYMAKLYYAIIRFNNILIDFDRDIWGYISLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGKANEDLTYLSMKLPISRWQRDLTDSTVLRNMGGGLGHSLLAYKSALQGIGKLQVNEARLSEDLNQAWEVMRRYGVPEPYEKLKELTRGRAVTKESIREFIEGLELPEEAKTHLLKLTPHSYVGAAVELAKTVDSAVNVINGSEVLETCR >KJB76149 pep chromosome:Graimondii2_0_v6:12:11478744:11482682:-1 gene:B456_012G075300 transcript:KJB76149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARVSSGVLTKTQSPFLSPLRGRKLQSLRNMSTLPNTIHNHQFSNASLYLRSTFSSRTHGFKQAIKDDSREFELSSLTALSPLDGRYWGKVKDLAPYMSEYGLIYFRVLVEIKWLLMLSQIPEVIEVPSFSAEAQSYLLGLIDGFSMDDALEVKKIERVTNHDVKAVEYFLKQKCQSQPEIAKVLEFFHFACTSEDINNLAHALMLKEAMTKVMFPTMDKLVEAICKLAKANASVSMLSRTHGQPASPTTLGKEMAIFAVRLSRERQEISQVEMMGKFAGAVGNYNAHIVAYPTVIWPQIAEQFVTSLGLKFNPYVTQIETHDYMAKLYYAIIRFNNILIDFDRDIWGYISLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGKANEDLTYLSMKLPISRWQRDLTDSTVLRNMGGGLGHSLLAYKSALQGIGKLQVCCIT >KJB76146 pep chromosome:Graimondii2_0_v6:12:11477631:11482810:-1 gene:B456_012G075300 transcript:KJB76146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARVSSGVLTKTQSPFLSPLRGRKLQSLRNMSTLPNTIHNHQFSNASLYLRSTFSSRTHGFKQAIKDDSREFELSSLTALSPLDGRYWGKVKDLAPYMSEYGLIYFRVLVEIKWLLMLSQIPEVIEVPSFSAEAQSYLLGLIDGFSMDDALEVKKIERVTNHDVKAVEYFLKQKCQSQPEIAKVLEFFHFACTSEDINNLAHALMLKEAMTKVMFPTMDKLVEAICKLAKANASVSMLSRTHGQPASPTTLGKEMAIFAVRLSRERQEISQVEMMGKFAGAVGNYNAHIVAYPTVIWPQIAEQFVTSLGLKFNPYVTQIETHDYMAKLYYAIIRFNNILIDFDRDIWGYISLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGKANEDLTYLSMKLPISRWQRDLTDSTVLRNMGGGLGHSLLAYKSALQGIGKLQVNEARLSEDLNQAWEVLAEPIQTVMRRYGVPEPYEKLKELTRGRAVTKESIREFIEGLELPEEAKTHLLKLTPHSYVGAAVELAKTVDSAVNVINGSEVLETCR >KJB76147 pep chromosome:Graimondii2_0_v6:12:11477914:11482582:-1 gene:B456_012G075300 transcript:KJB76147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARVSSGVLTKTQSPFLSPLRGRKLQSLRNMSTLPNTIHNHQFSNASLYLRSTFSSRTHGFKQAIKDDSREFELSSLTALSPLDGRYWGKVKDLAPYMSEYGLIYFRVLVEIKWLLMLSQIPEVIEVPSFSAEAQSYLLGLIDGFSMDDALEVKKIERVTNHDVKAVEYFLKQKCQSQPEIAKVLEFFHFACTSEDINNLAHALMLKEAMTKVMFPTMDKLVEAICKLAKANASVSMLSRTHGQPASPTTLGKEMAIFAVRLSRERQEISQVEMMGKFAGAVGNYNAHIVAYPTVIWPQIAEQFVTSLGLKFNPYVTQIETHDYMAKLYYAIIRFNNILIDFDRDIWGYISLGYFKQQITKAGEIGSSTMPHKVNPIDFENSEGNLGKANEDLTYLSMKLPISRWQRDLTDSTVLRNMGGGLGHSLLAYKSALQGIGKLQVNEARLSEDLNQAWEVLAEPIQTVMRRYGVPEPYEKLKELTRGRAVTKESIREFIEGLELPEEAKTHLLKLTPHSYVGAAVELAKTVDSAVNVINGSEVLETCR >KJB75870 pep chromosome:Graimondii2_0_v6:12:8804630:8807378:1 gene:B456_012G062200 transcript:KJB75870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIARAQDEESERREFEDFRKTLVALAATERSKENDDFKGLMSSHIADLDLQLDKLVCVTSGVSFLGLALVNCLLLRGYSVRILVDNQEDVEKLREMQVSGEMMMACSNKISVVIAKQTEIQGLMEAFDGCRGVFHTSAFVDPSGISGYSKSMAEIEVKASENVMKACARTSSVRNCVLTSSLLACIWRDSSQHDLPLLVNHDSWSNEALCMEKKLWFALGKLKAEKVAWKIAEEMSLKLTTICPGLITGPQFSHRNPTATIAYLKGAQEMYAKGLLATVDVIRLAEAHVAVFEAMNKTAFGRYICFDRIIARDEEAEKLASEIGIPPNRICGNSLEFIPTHFELSNKKLNNLMSRTIRNWHGQSLP >KJB75871 pep chromosome:Graimondii2_0_v6:12:8804630:8807378:1 gene:B456_012G062200 transcript:KJB75871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIARAQDEESERREFEDFRKTLVALAATERSKENDDFKGLMSSHIADLDLQLDKLVCVTSGVSFLGLALVNCLLLRGYSVRILVDNQEDVEKLREMQVSGEMMMACSNKISVVIAKQTEIQGLMEAFDGCRGVFHTSAFVDPSGISGYSLWFALGKLKAEKVAWKIAEEMSLKLTTICPGLITGPQFSHRNPTATIAYLKGAQEMYAKGLLATVDVIRLAEAHVAVFEAMNKTAFGRYICFDRIIARDEEAEKLASEIGIPPNRICGNSLEFIPTHFELSNKKLNNLMSRTIRNWHGQSLP >KJB75872 pep chromosome:Graimondii2_0_v6:12:8805214:8807378:1 gene:B456_012G062200 transcript:KJB75872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSGEMMMACSNKISVVIAKQTEIQGLMEAFDGCRGVFHTSAFVDPSGISGYSKSMAEIEVKASENVMKACARTSSVRNCVLTSSLLACIWRDSSQHDLPLLVNHDSWSNEALCMEKKLWFALGKLKAEKVAWKIAEEMSLKLTTICPGLITGPQFSHRNPTATIAYLKGAQEMYAKGLLATVDVIRLAEAHVAVFEAMNKTAFGRYICFDRIIARDEEAEKLASEIGIPPNRICGNSLEFIPTHFELSNKKLNNLMSRTIRNWHGQSLP >KJB77097 pep chromosome:Graimondii2_0_v6:12:27523627:27527603:-1 gene:B456_012G120100 transcript:KJB77097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGEPKKIIIDTDPGIDDAMAIFVALRSPEVEVIGLTTIYGNVYTTLATRNALHLLEVADRTDIPVAEGSHVTITKGTKLRIADFVHGADGLGNQNFPPPEGKPIDMSATDFLVEQANLYPGKVTVVALGPLTNIALAIQQDSSFVKNIGQIVLLGGAFAVNGNVNPAAEANIFGDPDAADIVFTSGADVLAVGINVTHQVILTDSDRETLASSNGKFAQYLLKILEVYFNYHHDAYSTKGVYLHDPTAMLAAINPSLITYVEGAVRVQTNGITRGLTLLYNKQKRFAEITEWSDQPSVKVAVTVDAPAVLKLVLERLME >KJB77094 pep chromosome:Graimondii2_0_v6:12:27524057:27527369:-1 gene:B456_012G120100 transcript:KJB77094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGEPKKIIIDTDPGIDDAMAIFVALRSPEVEVIGLTTIYGNVYTTLATRNALHLLEVADRTDIPVAEGSHVTITKGTKLRIADFVHGADGLGNQNFPPPEGKPIDMSATDFLVEQANLYPGKVTVVALGPLTNIALAIQQDSSFVKNIGQIVLLGGAFAVNGNVNPAAEANIFGDPDAADIVFTSGADVLAVGINVTHQVILTDSDRETLASSNGKFAQYLLKILEVYFNYHHDAYSTKGVYLHDPTAMLAAINPSLITYVEGAVRVQTNGITRGLTLLYNKQKSDVVLVLDRR >KJB77092 pep chromosome:Graimondii2_0_v6:12:27523627:27527494:-1 gene:B456_012G120100 transcript:KJB77092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATDFLVEQANLYPGKVTVVALGPLTNIALAIQQDSSFVKNIGQIVLLGGAFAVNGNVNPAAEANIFGDPDAADIVFTSGADVLAVGINVTHQVILTDSDRETLASSNGKFAQYLLKILEVYFNYHHDAYSTKGVYLHDPTAMLAAINPSLITYVEGAVRVQTNGITRGLTLLYNKQKRFAEITEWSDQPSVKVAVTVDAPAVLKLVLERLME >KJB77095 pep chromosome:Graimondii2_0_v6:12:27523627:27527601:-1 gene:B456_012G120100 transcript:KJB77095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGEPKKIIIDTDPGIDDAMAIFVALRSPEVEVIGLTTIYGNVYTTLATRNALHLLEVADRTDIPVAEGSHVTITFCTQKGTKLRIADFVHGADGLGNQNFPPPEGKPIDMSATDFLVEQANLYPGKVTVVALGPLTNIALAIQQDSSFVKNIGQIVLLGGAFAVNGNVNPAAEANIFGDPDAADIVFTSGADVLAVGINVTHQVILTDSDRETLASSNGKFAQYLLKILEVYFNYHHDAYSTKGVYLHDPTAMLAAINPSLITYVEGAVRVQTNGITRGLTLLYNKQKRFAEITEWSDQPSVKVAVTVDAPAVLKLVLERLME >KJB77093 pep chromosome:Graimondii2_0_v6:12:27524608:27527369:-1 gene:B456_012G120100 transcript:KJB77093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGEPKKIIIDTDPGIDDAMAIFVALRSPEVEVIGLTTIYGNVYTTLATRNALHLLEVADRTDIPVAEGSHVTITKGTKLRIADFVHGADGLGNQNFPPPEGKPIDMSATDFLVEQANLYPGKVTVVALGPLTNIALAIQQDSSFVKNIGQIVLLGGAFAVNGNVNPAAEANIFGDPDAADIVFTSGADVLAVGINVTHQVILTDSDRETLASSNGKFAQYLLKILEVYFNYHHDAYSTKGSSAGNG >KJB77096 pep chromosome:Graimondii2_0_v6:12:27524057:27527369:-1 gene:B456_012G120100 transcript:KJB77096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGEPKKIIIDTDPGIDDAMAIFVALRSPEVEVIGLTTIYGNVYTTLATRNALHLLEVADRTDIPVAEGSHVTITFCTQKGTKLRIADFVHGADGLGNQNFPPPEGKPIDMSATDFLVEQANLYPGKVTVVALGPLTNIALAIQQDSSFVKNIGQIVLLGGAFAVNGNVNPAAEANIFGDPDAADIVFTSGADVLAVGINVTHQVILTDSDRETLASSNGKFAQYLLKILEVYFNYHHDAYSTKGVYLHDPTAMLAAINPSLITYVEGAVRVQTNGITRGLTLLYNKQKSDVVLVLDRR >KJB77091 pep chromosome:Graimondii2_0_v6:12:27523627:27527522:-1 gene:B456_012G120100 transcript:KJB77091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATDFLVEQANLYPGKVTVVALGPLTNIALAIQQDSSFVKNIGQIVLLGGAFAVNGNVNPAAEANIFGDPDAADIVFTSGADVLAVGINVTHQVILTDSDRETLASSNGKFAQYLLKILEVYFNYHHDAYSTKGVYLHDPTAMLAAINPSLITYVEGAVRVQTNGITRGLTLLYNKQKRFAEITEWSDQPSVKVAVTVDAPAVLKLVLERLME >KJB76990 pep chromosome:Graimondii2_0_v6:12:26751105:26752565:-1 gene:B456_012G115800 transcript:KJB76990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESQDFFSLAQDASVVDGDLLSWTRKLKELLENSLGWEFQQKSAVDGIYFEENDEYAPVVEMLDEPSGSEPTS >KJB77812 pep chromosome:Graimondii2_0_v6:12:33162482:33165445:-1 gene:B456_012G158500 transcript:KJB77812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKVAIIGAGISGLLACKYTLSKGFHPIVFESQSTVGGVWTKTVETTKLQTPKPVYQFSDFPWPESVSEDFPDGQKVFDYVNGYAKHFDLVKHIKFNTKVVGIEFEGPNDEEIQAWSLWGCNGEPFGSKGKWKVVVEDLVTLSTEIYNVDFVIVCVGRFSGLPNIPEFPPKKGPEAFDGKVIHSMEYAAMDHKQAAEFVKGKRVIVVGFQKSALDIAVECSAANGKEDPCTVLYRTAHWNVPDYLPWGFSLAHMYLSRFSELMVHKPGEGLLLSLLATILTPLRYAYSKFVESDIKKKLRLEKHGMVPTHSFLKEISSCLISTVPEKFYDKVENGEIKLKKAPSFSFCNNGVLVEGETSPIEADLVILATGFKGEKKLKHIFMSQTFQDYITGSPDAALPLYRSVYLQ >KJB77811 pep chromosome:Graimondii2_0_v6:12:33161861:33165445:-1 gene:B456_012G158500 transcript:KJB77811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKVAIIGAGISGLLACKYTLSKGFHPIVFESQSTVGGVWTKTVETTKLQTPKPVYQFSDFPWPESVSEDFPDGQKVFDYVNGYAKHFDLVKHIKFNTKVVGIEFEGPNDEEIQAWSLWGCNGEPFGSKGKWKVVVEDLVTLSTEIYNVDFVIVCVGRFSGLPNIPEFPPKKGPEAFDGKVIHSMEYAAMDHKQAAEFVKGKRVIVVGFQKSALDIAVECSAANGKEDPCTVLYRTAHWNVPDYLPWGFSLAHMYLSRFSELMVHKPGEGLLLSLLATILTPLRYAYSKFVESDIKKKLRLEKHGMVPTHSFLKEISSCLISTVPEKFYDKVENGEIKLKKAPSFSFCNNGVLVEGETSPIEADLVILATGFKGEKKLKHIFMSQTFQDYITGSPDAALPLYRECIQPRIPQLAVIGFSESISNIFTSEMRCRWVAELLDGTFKLPSIKDMEKNIKEWDEYLKQYSGGYYRRKCIGALHIWYNDQLCKDMAWKPRRKKGFFAELFEPYGPMDYVSN >KJB76798 pep chromosome:Graimondii2_0_v6:12:24133734:24135043:1 gene:B456_012G107600 transcript:KJB76798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCMIMRKTLALKPIFRFKNVLLSSDWLKIMLMIWLIRDLSPFFFCFWNINRCCDHAPEDVPKALARTLGDLQLDYIDLYLIHWPFRTKPGIRGWDPEIMAPLCLPETWKAMEDLHVSGKARAIGVSNFSTKKLQDLLKYTKVPPAVNQVECHPVWQQPGLHYLCKSTGVHLSAYSPLGSPGSWIRGEVLKEPILVEIAEKLNKSPAQVALRWGIQSGHSVLPKSVNESRIKENLSLFDWSIPPELFSKFSSIHQQRLLRGEFAIHETCSPYKSLQELWDGEI >KJB76795 pep chromosome:Graimondii2_0_v6:12:24132948:24135636:1 gene:B456_012G107600 transcript:KJB76795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKSGHGPFYFELNTGAKMPCIGLGTWKAPPGVVGEAVVAAVKAGYRHIDCAHVYDNEEEIGLALKRLFSSGQVKRSELFITSKLWCCDHAPEDVPKALARTLGDLQLDYIDLYLIHWPFRTKPGIRGWDPEIMAPLCLPETWKAMEDLHVSGKARAIGVSNFSTKKLQDLLKYTKVPPAVNQVECHPVWQQPGLHYLCKSTGVHLSAYSPLGSPGSWIRGEVLKEPILVEIAEKLNKSPAQVALRWGIQSGHSVLPKSVNESRIKENLSLFDWSIPPELFSKFSSIHQQRLLRGEFAIHETCSPYKSLQELWDGEI >KJB76796 pep chromosome:Graimondii2_0_v6:12:24133029:24135043:1 gene:B456_012G107600 transcript:KJB76796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKSGHGPFYFELNTGAKMPCIGLGTWKAPPGVVGEAVVAAVKIGLALKRLFSSGQVKRSELFITSKLWCCDHAPEDVPKALARTLGDLQLDYIDLYLIHWPFRTKPGIRGWDPEIMAPLCLPETWKAMEDLHVSGKARAIGVSNFSTKKLQDLLKYTKVPPAVNQVECHPVWQQPGLHYLCKSTGVHLSAYSPLGSPGSWIRGEVLKEPILVEIAEKLNKSPAQVALRWGIQSGHSVLPKSVNESRIKENLSLFDWSIPPELFSKFSSIHQQRLLRGEFAIHETCSPYKSLQELWDGEI >KJB76797 pep chromosome:Graimondii2_0_v6:12:24132481:24135636:1 gene:B456_012G107600 transcript:KJB76797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKSGHGPFYFELNTGAKMPCIGLGTWKAPPGVVGEAVVAAVKAGYRHIDCAHVYDNEEEIGLALKRLFSSGQVKRSELFITSKLWCCDHAPEDVPKALARTLGDLQLDYIDLYLIHWPFRTKPGIRGWDPEIMAPLCLPETWKAMEDLHVSGKARAIGVSNFSTKKLQDLLKYTKVPPAVNQVECHPVWQQPGLHYLCKSTGVHLSAYSPLGSPGSWIRGEVLKEPILVEIAEKLNKSPAQVALRWGIQSGHSVLPKSVNESRIKENLSLFDWSIPPELFSKFSSIHQQRLLRGEFAIHETCSPYKSLQELWDGEI >KJB76794 pep chromosome:Graimondii2_0_v6:12:24133029:24135634:1 gene:B456_012G107600 transcript:KJB76794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKSGHGPFYFELNTGAKMPCIGLGTWKAPPGVVGEAVVAAVKAGYRHIDCAHVYDNEEEIGLALKRLFSSGQVKRSELFITSKLWCCDHAPEDVPKALARTLGDLQLDYIDLYLIHWPFRTKPGIRGWDPEIMAPLCLPETWKAMEDLHVSGKARAIGVSNFSTKKLQDLLKYTKVPPAVNQVECHPVWQQPGLHYLCKSTGVHLSAYSPLGSPGSWIRGEVLKEPILVEIAEKLNKSPAQVALRWGIQSGHSVLPKSVNESRIKENLSLFDWSIPPELFSKFSSIHQQRLLRGEFAIHETCSPYKSLQELWDGEI >KJB77922 pep chromosome:Graimondii2_0_v6:12:33723961:33726190:1 gene:B456_012G167000 transcript:KJB77922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSSEHEIPSTFCASISYCDIVIEWGNFSSSLWRFPSLPFSRISNSSTISKVIYTQNNPSYSSVLNASIHNSRFSTPTTPKPYAIITPLKTSHVQSTIYCSKNHGFQLRIRSGGHDVEGVSYVSQVPFVILDLVNFRDVKVDTKNEVAWVQSGATTGELYYGIASKTQTLGFPAGICHTIGIGGHLSGGGFGILGRKYGLAADHIIDAKMIDANGRVFHRKSMGEDLFWAIRGGGGNTFGVVVAWKIKLVAVPPVVTVFTVNKNLEQNATKIFHRWQNIAHKLPKDLFTSVWVMKVNSSQVGKKTVQASFKGMFLGRIDVLIPLIQYSFPELGLARENCTEMSWVQSVLYYGALPIEPVEILLNRSALPRLSLKAKTDYIREPMSETGIEGFMNMFLEEGTDFAITMIEAFGGKMDEIRESEIPFPHRSGILFESVYIVQWANEEDAGLCINWMRRLYSYMSSYASKSLRGAYYNYKDLDLGTNNINGYTSYEQASVWGLKYFRNNFKRLVRIKTMIDPMNFFSNEQSIPPLLSP >KJB78109 pep chromosome:Graimondii2_0_v6:12:34693578:34695559:1 gene:B456_012G178300 transcript:KJB78109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPEEPEENITHMDAWLYKAAAEGNVEVFNNNQELQLELLKTPNHDNVLHLNLATKENVLWLFNRFHTIFEEFPAEYVLFHRCLSIFITMIKREKRSDFIGQILSKCPSLLQQTNAKGQTPLHVAARYGHSAIVKLLIKSCAKARDGDLEKLGTDQVNAVREMLRITDQESNTALHEAAGCGNVKVVKALLEFEDPDFPYSANKKQETPLYIAARRRGSGRLLTLLLDKFRSAAADGGPQGRTALHAAAMAGDAEAVKVILKKKGNLTKERDEDGRTPLHYAAHFGSRLSVVEELLKRDVSAAYIGDKKRGMTPLLMAARQDCCEEVDNKCLNLLHYLAFRGSSTLFGRSFFKLGGIKIAYGSLRNLMELEGDFGMTPQEVYNALQDEKHHHKQKQIKKLLEEIENDQVAEEPVHRFLSRNVSTESSEMTINAHLIVAALIYTVAFAAAITVPGGLNSEKGSEQGTPLLIDKAAFKVFFGTNLSAFILSVFTLTIHFGILDILFSGFSFWHQTGFIRTEFVSLILGYATLAMVVAFITGSFVILKPTYGLPDVLFLISPAVFFFVFIFTIYIQ >KJB78108 pep chromosome:Graimondii2_0_v6:12:34693555:34695559:1 gene:B456_012G178300 transcript:KJB78108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRITDQESNTALHEAAGCGNVKVVKALLEFEDPDFPYSANKKQETPLYIAARRRGSGRLLTLLLDKFRSAAADGGPQGRTALHAAAMAGDAEAVKVILKKKGNLTKERDEDGRTPLHYAAHFGSRLSVVEELLKRDVSAAYIGDKKRGMTPLLMAARQDCCEEVDNKCLNLLHYLAFRGSSTLFGRSFFKLGGIKIAYGSLRNLMELEGDFGMTPQEVYNALQDEKHHHKQKQIKKLLEEIENDQVAEEPVHRFLSRNVSTESSEMTINAHLIVAALIYTVAFAAAITVPGGLNSEKGSEQGTPLLIDKAAFKVFFGTNLSAFILSVFTLTIHFGILDILFSGFSFWHQTGFIRTEFVSLILGYATLAMVVAFITGSFVILKPTYGLPDVLFLISPAVFFFVFIFTIYIQ >KJB74691 pep chromosome:Graimondii2_0_v6:12:297303:317901:1 gene:B456_012G002900 transcript:KJB74691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDGFPIPRDKEHLRDELSRIDESWATARFDSLPHVVRILTSKDREGELQFLKEQSDVVEDVVDEVVHAYHGGFNKAIQNYSQILRLFSESSESIGVLKVDLSEAKKCLGVRNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPARIEKLISDNQLYAAAQSLVQSVLMLEREGLQTVGALQDVRSDLSKLRSVLFYKVLEDLHAHLYNKGEYSSITSSLHEKDDEVPTTTAVACTANTSHPGSRRTRSVRGDGMFGSQGIVDGSYRPGSIDEGSSYDGHDEDGYLEPHDNVGDAKDAKVISHQIPLWFSSSTPDEFETIKKSDAPLHVKYLQTMVECLCLLNKVGAAGAVISQRLRPTIHDIITSKIKAHAEFANSSRSGNDKATRIGNSTLHSINGQLGSYQLPKQKCQNGMSLAGTLLAVSPVSLVMAPTGKAQAAAKELLDSILESVVRIFENHVVVGELIQSKSSLQSDPNAQKSMSTNVNLDSEASQVTGGYSIGFSLTVLQSECQQLICEILRATPEAASADASQTARLASKVPTNEKRDGSEDTLTFAFRFTDATVSVPNQGVDLIRQGWNKKGPNVSQEGYGSATVLPEQGIYLAASLYRPVHQFTDKIASMLPKKYSQLGNDGLLAFVENFVKDHLLPTMFVEYRKSVQQAISSPAAFRPRANPYVSYNSSIEKGRPVLQGLQAIDFLAKEVLGWAQAMPKFAAELVKFVQTFLERTFERCRTSYMEAVLEKQSYMVVGRHDIDKLMRCDPASACLPNALGQSNMGNIVSDMESMEIESELNELLLNLRPIRQDNLIRDDHKLVLLASLSDSLEFVADSIERLVQSTPLAALNNVETSNKQTSSSPARDLASFADEYRKLAIDCLKVLRVEMQLETIFHLQEMTSREYLDNQDAEEPDDFVISLTAQITRRDEEIAPFVAGVKRNYIFGGICSIAANVSLKALADMKSINLFGVQQICRNSIALGQALAAIPSIDSEAVQQRLDHVRTYYELLNMPFEALVAFITEHEHLFTAAEYANLLKVQVPGREIPPDAQDRISEILSR >KJB74689 pep chromosome:Graimondii2_0_v6:12:297303:317901:1 gene:B456_012G002900 transcript:KJB74689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDGFPIPRDKEHLRDELSRIDESWATARFDSLPHVVRILTSKDREGELQFLKEQSDVVEDVVDEVVHAYHGGFNKAIQNYSQILRLFSESSESIGVLKVDLSEAKKCLGVRNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPARIEKLISDNQLYAAAQSLVQSVLMLEREGLQTVGALQDVRSDLSKLRSVLFYKVLEDLHAHLYNKGEYSSITSSLHEKDDEVPTTTAVACTANTSHPGSRRTRSVRGDGMFGSQGIVDGSYRPGSIDEGSSYDGHDEDGYLEPHDNVGDAKDAKVISHQIPLWFSSSTPDEFVETIKKSDAPLHVKYLQTMVECLCLLNKVGAAGAVISQRLRPTIHDIITSKIKAHAEFANSSRSGNDKATRIGNSTLHSINGQLGSYQLPKQKCQNGMSLAGTLLAVSPVSLVMAPTGKAQAAAKELLDSILESVVRIFENHVVVGELIQSKSSLQSDPNAQKSMSTNVNLDSEASQVTGGYSIGFSLTVLQSECQQLICEILRATPEAASADASQTARLASKVPTNEKRDGSEDTLTFAFRFTDATVSVPNQGVDLIRQGWNKKGPNVSQEGYGSATVLPEQGIYLAASLYRPVHQFTDKIASMLPKKYSQLGNDGLLAFVENFVKDHLLPTMFVEYRKSVQQAISSPAAFRPRANPYVSYNSSIEKGRPVLQGLQAIDFLAKEVLGWAQAMPKFAAELVKFVQTFLERTFERCRTSYMEAVLEKQSYMVVGRHDIDKLMRCDPASACLPNALGQSNMGNIVSDMESMEIESELNELLLNLRPIRQDNLIRDDHKLVLLASLSDSLEFVADSIERLVQSTPLAALNNVETSNKQTSSSPARDLASFADEYRKLAIDCLKVLRVEMQLETIFHLQEMTSREYLDNQDAEEPDDFVISLTAQITRRDEEIAPFVAGVKRNYIFGGICSIAANVSLKALADMKSINLFGVQQICRNSIALGQALAAIPSIDSEAVQQRLDHALVAFITEHEHLFTAAEYANLLKVQVPGREIPPDAQDRISEILSR >KJB74692 pep chromosome:Graimondii2_0_v6:12:297303:317901:1 gene:B456_012G002900 transcript:KJB74692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDGFPIPRDKEHLRDELSRIDESWATARFDSLPHVVRILTSKDREGELQFLKEQSDVVEDVVDEVVHAYHGGFNKAIQNYSQILRLFSESSESIGVLKVDLSEAKKCLGVRNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPARIEKLISDNQLYAAAQSLVQSVLMLEREGLQTVGALQDVRSDLSKLRSVLFYKVLEDLHAHLYNKGEYSSITSSLHEKDDEVPTTTAVACTANTSHPGSRRTRSVRGDGMFGSQGIVDGSYRPGSIDEGSSYDGHDEDGYLEPHDNVGDAKDAKVISHQIPLWFSSSTPDEFVETIKKSDAPLHVKYLQTMVECLCLLNKVGAAGAVISQRLRPTIHDIITSKIKAHAEFANSSRSGNDKATRIGNSTLHSINGQLGSYQLPKQKCQNGMSLAGTLLAVSPVSLVMAPTGKAQAAAKELLDSILESVVRIFENHVVVGELIQSKSSLQSDPNAQKSMSTNVNLDSEASQVTGGYSIGFSLTVLQSECQQLICEILRATPEAASADASQTARLASKVPTNEKRDGSEDTLTFAFRFTDATVSVPNQGVDLIRQGWNKKGPNVSQEGYGSATVLPEQGIYLAASLYRPVHQFTDKIASMLPKKYSQLGNDGLLAFVENFVKDHLLPTMFVEYRKSVQQAISSPAAFRPRANPYVSYNSSIEKGRPVLQGLQAIDFLAKEVLGWAQAMPKFAAELVKFVQTFLERTFERCRTSYMEAVLEKQSYMVVGRHDIDKLMRCDPASACLPNALGQSNMGNIVSDMESMEIESELNELLLNLRPIRQDNLIRDDHKLVLLASLSDSLEFVADSIERLVQSTPLAALNNVETSNKQTSSSPARDLASFADEYRKLAIDCLKVLRVEMQLETIFHLQEMTSREYLDNQDAEEPDDFVISLTAQITRRDEEIAPFVAGVKRNYIFGGICSIAANVSLKALADMKSINLFGVQQICRNSIALGQALAAIPSIDSEAVQQRLDHVRTYYELLNMPFEALVAFITEHEHLFTAAEYANLLKVQVPGREIPPDAQDRISEILSR >KJB74690 pep chromosome:Graimondii2_0_v6:12:298121:317509:1 gene:B456_012G002900 transcript:KJB74690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDGFPIPRDKEHLRDELSRIDESWATARFDSLPHVVRILTSKDREGELQFLKEQSDVVEDVVDEVVHAYHGGFNKAIQNYSQILRLFSESSESIGVLKVDLSEAKKCLGVRNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPARIEKLISDNQLYAAAQSLVQSVLMLEREGLQTVGALQDVRSDLSKLRSVLFYKVLEDLHAHLYNKGEYSSITSSLHEKDDEVPTTTAVACTANTSHPGSRRTRSVRGDGMFGSQGIVDGSYRPGSIDEGSSYDGHDEDGYLEPHDNVGDAKDAKVISHQIPLWFSSSTPDEFVETIKKSDAPLHVKYLQTMVECLCLLNKVGAAGAVISQRLRPTIHDIITSKIKAHAEFANSSRSGNDKATRIGNSTLHSINGQLGSYQLPKQKCQNGMSLAGTLLAVSPVSLVMAPTGKAQAAAKELLDSILESVVRIFENHVVVGELIQSKSSLQSDPNAQKSMSTNVNLDSEASQVTGGYSIGFSLTVLQSECQQLICEILRATPEAASADASQTARLASKVPTNEKRDGSEDTLTFAFRFTDATVSVPNQGVDLIRQGWNKKGPNVSQEGYGSATVLPEQGIYLAASLYRPVHQFTDKIASMLPKKYSQLGNDGLLAFVENFVKDHLLPTMFVEYRKSVQQAISSPAAFRPRANPYVSYNSSIEKGRPVLQGLQAIDFLAKEVLGWAQAMPKFAAELVKFVQTFLERTFERCRTSYMEAVLEKQSYMVVGRHDIDKLMRCDPASACLPNALGQSNMGNIVSDMESMEIESELNELLLNLRPIRQDNLIRDDHKLVLLASLSDSLEFVADSIERLVQSTPLAALNNVETSNKQTSSSPARDLASFADEYRKLAIDCLKVLRVEMQLETIFHLQEMTSREYLDNQDAEEPDDFVISLTAQITRRDEEIAPFVAGVKRNYIFGGICSIAANVSLKALADMKSINLFGVQQICRNSIALGQALAAIPSIDSEAVQQRLDHVRTYYELLNMPFEASLGCLHYRARALVYSCRVC >KJB74687 pep chromosome:Graimondii2_0_v6:12:297303:310109:1 gene:B456_012G002900 transcript:KJB74687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDGFPIPRDKEHLRDELSRIDESWATARFDSLPHVVRILTSKDREGELQFLKEQSDVVEDVVDEVVHAYHGGFNKAIQNYSQILRLFSESSESIGVLKVDLSEAKKCLGVRNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPARIEKLISDNQLYAAAQSLVQSVLMLEREGLQTVGALQDVRSDLSKLRSVLFYKVLEDLHAHLYNKGEYSSITSSLHEKDDEVPTTTAVACTANTSHPGSRRTRSVRGDGMFGSQGIVDGSYRPGSIDEGSSYDGHDEDGYLEPHDNVGDAKDAKVISHQIPLWFSSSTPDEFVETIKKSDAPLHVKYLQTMVECLCLLNKVGAAGAVISQRLRPTIHDIITSKIKAHAEFANSSRSGNDKATRIGNSTLHSINGQLGSYQLPKQKCQNGMSLAGTLLAVSPVSLVMAPTGKAQAAAKELLDSILESVVRIFENHVVVGELIQSKSSLQSDPNAQKSMSTNVNLDSEASQVTGGYSIGFSLTVLQSECQQLICEILRATPEAASADASQTARLASKVPTNEKRDGSEDTLTFAFRFTDATVSVPNQGVDLIRQGWNKKGPNVSQEGYGSATVLPEQGIYLAASLYRPVHQVWDHENQIPMFSNLSFVTCSLMGLQMAFCNLICGAVYRQDCFYAAKKIFPAWVSLLSRLVCGTKK >KJB74686 pep chromosome:Graimondii2_0_v6:12:297291:317958:1 gene:B456_012G002900 transcript:KJB74686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDGFPIPRDKEHLRDELSRIDESWATARFDSLPHVVRILTSKDREGELQFLKEQSDVVEDVVDEVVHAYHGGFNKAIQNYSQILRLFSESSESIGVLKVDLSEAKKCLGVRNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPARIEKLISDNQLYAAAQSLVQSVLMLEREGLQTVGALQDVRSDLSKLRSVLFYKVLEDLHAHLYNKGEYSSITSSLHEKDDEVPTTTAVACTANTSHPGSRRTRSVRGDGMFGSQGIVDGSYRPGSIDEGSSYDGHDEDGYLEPHDNVGDAKDAKVISHQIPLWFSSSTPDEFVETIKKSDAPLHVKYLQTMVECLCLLNKVGAAGAVISQRLRPTIHDIITSKIKAHAEFANSSRSGNDKATRIGNSTLHSINGQLGSYQLPKQKCQNGMSLAGTLLAVSPVSLVMAPTGKAQAAAKELLDSILESVVRIFENHVVVGELIQSKSSLQSDPNAQKSMSTNVNLDSEASQVTGGYSIGFSLTVLQSECQQLICEILRATPEAASADASQTARLASKVPTNEKRDGSEDTLTFAFRFTDATVSVPNQGVDLIRQGWNKKGPNVSQEGYGSATVLPEQGIYLAASLYRPVHQFTDKIASMLPKKYSQLGNDGLLAFVENFVKDHLLPTMFVEYRKSVQQAISSPAAFRPRANPYVSYNSSIEKGRPVLQGLQAIDFLAKEVLGWAQAMPKFAAELVKFVQTFLERTFERCRTSYMEAVLEKQSYMVVGRHDIDKLMRCDPASACLPNALGQSNMGNIVSDMESMEIESELNELLLNLRPIRQDNLIRDDHKLVLLASLSDSLEFVADSIERLVQSTPLAALNNVETSNKQTSSSPARDLASFADEYRKLAIDCLKVLRVEMQLETIFHLQEMTSREYLDNQDAEEPDDFVISLTAQITRRDEEIAPFVAGVKRNYIFGGICSIAANVSLKALADMKSINLFGVQQICRNSIALGQALAAIPSIDSEAVQQRLDHVRTYYELLNMPFEALVAFITEHEHLFTAAEYANLLKVQVPGREIPPDAQDRISEILSR >KJB74688 pep chromosome:Graimondii2_0_v6:12:297303:314711:1 gene:B456_012G002900 transcript:KJB74688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDGFPIPRDKEHLRDELSRIDESWATARFDSLPHVVRILTSKDREGELQFLKEQSDVVEDVVDEVVHAYHGGFNKAIQNYSQILRLFSESSESIGVLKVDLSEAKKCLGVRNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPARIEKLISDNQLYAAAQSLVQSVLMLEREGLQTVGALQDVRSDLSKLRSVLFYKVLEDLHAHLYNKGEYSSITSSLHEKDDEVPTTTAVACTANTSHPGSRRTRSVRGDGMFGSQGIVDGSYRPGSIDEGSSYDGHDEDGYLEPHDNVGDAKDAKVISHQIPLWFSSSTPDEFVETIKKSDAPLHVKYLQTMVECLCLLNKVGAAGAVISQRLRPTIHDIITSKIKAHAEFANSSRSGNDKATRIGNSTLHSINGQLGSYQLPKQKCQNGMSLAGTLLAVSPVSLVMAPTGKAQAAAKELLDSILESVVRIFENHVVVGELIQSKSSLQSDPNAQKSMSTNVNLDSEASQVTGGYSIGFSLTVLQSECQQLICEILRATPEAASADASQTARLASKVPTNEKRDGSEDTLTFAFRFTDATVSVPNQGVDLIRQGWNKKGPNVSQEGYGSATVLPEQGIYLAASLYRPVHQFTDKIASMLPKKYSQLGNDGLLAFVENFVKDHLLPTMFVEYRKSVQQAISSPAAFRPRANPYVSYNSSIEKGRPVLQGLQAIDFLAKEVLGWAQAMPKFAAELVKFVQTFLERTFERCRTSYMEAVLEKQSYMVVGRHDIDKLMRCDPASACLPNALGQSNMGNIVSDMESMEIESELNELLLNLRPIRQDNLIRDDHKLVLLASLSDSLEFVADSIERQVLSSGLSWYWI >KJB77040 pep chromosome:Graimondii2_0_v6:12:27134928:27135719:1 gene:B456_012G117700 transcript:KJB77040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPLLSSYMEAPINPQQDDHLLLSPDRNFALHGEIMMFVFLLLFAAFLSFLLFVFYKKRCGCSDNANRQDFYSSEPVSLNKLSYVQPKTQFPKQ >KJB76095 pep chromosome:Graimondii2_0_v6:12:10521793:10525395:1 gene:B456_012G071200 transcript:KJB76095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYDFLKTQHRLLQLLKSSSAFPSLISTQSLHALAITFGPYASQPIFLFNNIISLYASLGHLPVARKVFDNMTNRNTVSFSSMITAYGKSGDLWAACELFSSMRSYGFLPTPYVLAGLLSSQALSLSGGVQLQALVVKNGLFFADSFVGTALLGLYGRYGCVSEALQAFDHMPRKSLVTWNSIISLCAHHGLVKDCMLLFRELQRVEASLSDSSFVGVLSGLKGELDLEFGEQIHGLVIKCGFDHEVTVTNSLINAYVKCAQICLAEKVFEGMRITDVVSWNTIIGALEKDEHPQKALGFFFQMSWEGMMPNHTTFVIIIASCSNLRIPMLGEYIHAKTIKKGFQSDVVVGSALVDFYVKCDKLQDSHRCFDGIREKNVVSWNALILGYASKFSSTAASLLLDMLHQGYRPNEFTFSAILKSSATIELKQLHCLIIRMGHEDNIYVLSSLMTSYAKNGFLSDALTFITDFGRPPSTVPSNIAAGIYYRVGQYHETIRLLSILEDPDIVSWNIVIAACARTGHYKEVFELFKHMQMIQIYPDNYTFVSLLSVCNKLCNLALGSSVHGLIIKTDYSLCDSFVCNLLIDMYGKCGCIKSAVKIFGGMVDKNLITWTSLISALGVHGYYHEALETFREMEFHGFKPDGVSLIAILTVCRHAGLVEEGMEFFRRVESDYGFEPKMEHYYCVVDLLARYGKLGEAEQIIASMPFPPDAIIWRNFLEGLKRHTTGENL >KJB76931 pep chromosome:Graimondii2_0_v6:12:25976599:25979260:-1 gene:B456_012G113300 transcript:KJB76931 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAIRP2 [Source:Projected from Arabidopsis thaliana (AT5G01520) UniProtKB/TrEMBL;Acc:A0A178U9T4] MSIHERKASIREFYGVIFPSLLQLSRGITDVDDRKQKEICASKFSKRDELNKGKVSEIDLEREEECGICMEMRSKIVLPTCNHSMCMKCYRTWRIRSQSCPFCRDSLKRVDSGDLWIYTSKNEIVDLASISRDNMKRLFMYIEKLPQIVPDPKIVSYDPQHQR >KJB76930 pep chromosome:Graimondii2_0_v6:12:25976599:25978374:-1 gene:B456_012G113300 transcript:KJB76930 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAIRP2 [Source:Projected from Arabidopsis thaliana (AT5G01520) UniProtKB/TrEMBL;Acc:A0A178U9T4] MIRASDYPREYDGACLQMRLSYSPVAHFFLFLVQWTDCHLAGALGFLRILIYKAYADGKTTMSIHERKASIREFYGVIFPSLLQLSRGITDVDDRKQKEICASKFSKRDELNKGKVSEIDLEREEECGICMEMRSKIVLPTCNHSMCMKCYRTWRIRSQSCPFCRDSLKRVDSGDLWIYTSKNEIVDLASISRDNMKRLFMYIEKLPQIVPDPKIVSYDPQHQR >KJB76932 pep chromosome:Graimondii2_0_v6:12:25976599:25979430:-1 gene:B456_012G113300 transcript:KJB76932 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAIRP2 [Source:Projected from Arabidopsis thaliana (AT5G01520) UniProtKB/TrEMBL;Acc:A0A178U9T4] MRKSFKDSLKALEADIQFANTLASDYPREYDGACLQMRLSYSPVAHFFLFLVQWTDCHLAGALGFLRILIYKAYADGKTTMSIHERKASIREFYGVIFPSLLQLSRGITDVDDRKQKEICASKFSKRDELNKGKVSEIDLEREEECGICMEMRSKIVLPTCNHSMCMKCYRTWRIRSQSCPFCRDSLKRVDSGDLWIYTSKNEIVDLASISRDNMKRLFMYIEKLPQIVPDPKIVSYDPQHQR >KJB78124 pep chromosome:Graimondii2_0_v6:12:34784120:34786323:1 gene:B456_012G179500 transcript:KJB78124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIGEPEKLVESITYMDVPLYKAAAEGKIEEFDNCQGLNLESLKTPNYDNVLHVNLANSERTASAWSFKSRSRRSNRSDFVKKILGECPLLLLQANRKGQTPLHIAARYGRSTTVKLFIESRANGDIENLGMDELEAVREMLRLKDEESNTALHVAARYGHVGVVQALLELEDPDFPYSVNKNHETPLYLAAKGGYWRSVTILLDKWKSTVHGGPYGRTALHAAVMSGDVETTKKILKVKGDLTKEIDENGHTPLHYAAHLGYYPIVEKLLKRDVSAAYIGDKKWEMTPLLMAARQGHEGTVRKILFHCPACCEKVDKRGWNLLHFLAFRDRSLELILSFIITGDAKYKYGSIKNLMDWKDASGITPQQVCDAYQGEASCKSKNDQRKMDQIVKLVKDIVVNEEVAEEAVNPVPSPTVTGNDLEKRLYLEKARDTQLVVAALVATVTFAAAITVPGGFKGEKEIDQGTPFLIHKQQWWPCKTYGAK >KJB78359 pep chromosome:Graimondii2_0_v6:12:4335880:4343791:1 gene:B456_012G035100 transcript:KJB78359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKFHEPNGVLDQGHQASSPVRRDVNRSLSGVKFLENDDLDLEDGKLEKDRNKTVRSNKAVKIQNQALLSGLAYCLSSCSMILVNKFVLSGYDFNAGISLMLYQNFISVVIVSILSSMGLISREPLTWRLIKVWLPVNVIFVGMLLTSMFSLKYINVAMVTVLKNVTNVITAVGEMYLFKKHHDSRVWAALFLMIVSAVSGGITDLSFHAIGYSWQIVNCFLTASYSLTLRRVMDTAKQVTKSGNLNEFSMVLLNNTLSLPLGILLIFVFNEVDYLYTTPLLRRPDFWLVMTLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGIVLFKVPTSLENSASIFFGLLAGVFFARAKMREKTQS >KJB78360 pep chromosome:Graimondii2_0_v6:12:4336568:4343791:1 gene:B456_012G035100 transcript:KJB78360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLPSIRDHTESWIFFLFGRTLSIGASLDSPDGHFERNHTENSSMVSLKFHEPNGVLDQGHQASSPVRRDVNRSLSGVKFLENDDLDLEDGKLEKDRNKTVRSNKAVKIQNQALLSGLAYCLSSCSMILVNKFVLSGYDFNAGISLMLYQNFISVVIVSILSSMGLISREPLTWRLIKVWLPVNVIFVGMLLTSMFSLKYINVAMVTVLKNVTNVITAVGEMYLFKKHHDSRVWAALFLMIVSAVSGGITDLSFHAIGYSWQIVNCFLTASYSLTLRRVMDTAKQVTKSGNLNEFSMVLLNNTLSLPLGILLIFVFNEVDYLYTTPLLRRPDFWLVMTLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGIVLFKVPTSLENSASIFFGLLAGVFFARAKMREKTQS >KJB78358 pep chromosome:Graimondii2_0_v6:12:4335064:4343791:1 gene:B456_012G035100 transcript:KJB78358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTLSIGASLDSPDGHFERNHTENSSMVSLKFHEPNGVLDQGHQASSPVRRDVNRSLSGVKFLENDDLDLEDGKLEKDRNKTVRSNKAVKIQNQALLSGLAYCLSSCSMILVNKFVLSGYDFNAGISLMLYQNFISVVIVSILSSMGLISREPLTWRLIKVWLPVNVIFVGMLLTSMFSLKYINVAMVTVLKNVTNVITAVGEMYLFKKHHDSRVWAALFLMIVSAVSGGITDLSFHAIGYSWQIVNCFLTASYSLTLRRVMDTAKQVTKSGNLNEFSMVLLNNTLSLPLGILLIFVFNEVDYLYTTPLLRRPDFWLVMTLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGIVLFKVPTSLENSASIFFGLLAGVFFARAKMREKTQS >KJB77570 pep chromosome:Graimondii2_0_v6:12:31753632:31758386:1 gene:B456_012G144000 transcript:KJB77570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPSSPKPPNLTFPSPHFPSFKTPFFYPLSTSRRFPHSTLCRCSNDSSDGNSGNWRWDSAIQGVFKNAVKRFYSYMNPFPKSPTGDGDKVNDNVSDKQRVEDCDWDWDRWKKHFEQVDEQERLLSLLRSQLNEAVLSEDYEDAARLKVAIAAASRNDTVGRVISHLNRAVMEERFLDAVFLRDNAGAGLVGWWAGLPNDTKDPYGLIIRITAEHGRYVARSFSPRQLTTASVGVPLFEIFLTVNKKGEYKQQAVYLKRRSVSQDTSMSPKTSGTTSHLGPSDSIEEKNDLFVLGTEDGVDEEDDDKDDDADESEGLTGFQNILQDVVPGAKVKVVKVTSPGKVDQDFISKVIEQIIDEEDEEKDADIESIEVDDEIKSDNDQERGKIEMDVDNGVIDSDRRSEIAIKVVVGGLVQKLSGNVPAIESLRVPAKLVKKGHLAFSFSIEKDVSQQDNSLKAKGLIDRKAKVQGQRTADEIMIDLAKFIGREKIPLKVLKDVGELINLTLSQVKNRQPLSGSTTFHRIEIAASPDPLNGLYIGTHGLYTSEVIHLKRKFGQWQGDSGTKEPSDLEFYEYVEAVKLTGDPYVPAGQVAFRARVGKRYQLPHNGLIPEEFGVVARYKGQGRLAEPGFRNPRWVDGELVILDGKYIKGGPVVGFVYWAPEYHFLVFFNRLRLQP >KJB74675 pep chromosome:Graimondii2_0_v6:12:279643:281646:-1 gene:B456_012G002800 transcript:KJB74675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQRKKEKPASSRQIFCLSGPLHLTSVDWDNFHDRRSVAASLVQGVYILERDRQENRKDPLAHAPAWWEFFNFQLFHILVDDVDNSIFGAIFQSKPCNFTYNHSSQSSPHYVIAFRGTINTSNTRSRDLKLDFLCVRNRLHESSRFQQAMQAAESLFSVYRNSSIWLTGHSLGSAISLLIGKNMTKMGYNVEAYLFNPPFLSAPVEGIKSERLKHGIRFTSSVVKAGLAFAVKGRNLRHEQNDPFTSLSSWRPYLFVNPSDLICSGYIGYFEHRKKMEEIGAGKIERVATQVGSLLSGSGLQRSESEPLHLIPSAHLTINLRHSPDFKHAHGIHQWWDNGFIGLSELHEYRPFVTDEKYLLR >KJB74676 pep chromosome:Graimondii2_0_v6:12:279270:282330:-1 gene:B456_012G002800 transcript:KJB74676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQRKKEKPASSRQIFCLSGPLHLTSVDWDNFHDRRSVAASLVQGVYILERDRQENRKDPLAHAPAWWEFFNFQLFHILVDDVDNSIFGAIFQSKPCNFTYNHSSQSSPHYVIAFRGTINTSNTRSRDLKLDFLCVRNRLHESSRFQQAMQAAESLFSVYRNSSIWLTGHSLGSAISLLIGKNMTKMGYNVEAYLFNPPFLSAPVEGIKSERLKHGIRFTSSVVKAGLAFAVKGRNLRHEQNDPFTSLSSWRPYLFVNPSDLICSGYIGYFEHRKKMEEIGAGKIERVATQVGSLLSGSGLQRSESEPLHLIPSAHLTINLRHSPDFKHAHGIHQWWDNGFIGLSELHEYRPFVTDEKYLLR >KJB74674 pep chromosome:Graimondii2_0_v6:12:279270:281748:-1 gene:B456_012G002800 transcript:KJB74674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQRKKEKPASSRQIFCLSGPLHLTSVDWDNFHDRRSVAASLVQGVYILERDRQENRKDPLAHAPAWWEFFNFQLFHILVDDVDNSIFGAIFQSKPCNFTYNHSSQSSPHYVIAFRGTINTSNTRSRDLKLDFLCVRNRLHESSRFQQAMQAAESLFSVYRNSSIWLTGHSLGSAISLLIGKNMTKMGYNVEAYLFNPPFLSAPVEGIKSERLKHGIRFTSSVVKAGLAFAVKGRNLRHEQNDPFTSLSSWRPYLFVNPSDLICSGYIGYFEHRKKMEEIGAGKIERVATQVGSLLSGSGLQRSESEPLHLIPSAHLTINLRHSPDFKHAHGIHQWWDNGFIGLSELHEYRPFVTDEKYLLR >KJB75418 pep chromosome:Graimondii2_0_v6:12:5158853:5163161:1 gene:B456_012G041300 transcript:KJB75418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 4 [Source:Projected from Arabidopsis thaliana (AT2G42910) UniProtKB/Swiss-Prot;Acc:Q680A5] MENNNNQVTGELKSGNKKQVRLFYCMECEELARKVAAHSQLITLQSINWRSFDDGFPNLFINNAHDIRGQHVAFLASFSSPAVIFEQLSVIYNLPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMERFYFGDHVLPLFETGIPLLKQRLHQLSDADKIVVAFPDDGAWKRFHKLLDHFPTVICTKVREGDKRIVQIKEGNPTGCHVVIVDDLVQSGGTLIECQKVLFARGAAKVSAYVTHGVFPKCSWERFTQKNNRSEKGFAYFWITDSCPQTVKAVENTAPFEVLSLAGSIADALQI >KJB75416 pep chromosome:Graimondii2_0_v6:12:5159031:5162374:1 gene:B456_012G041300 transcript:KJB75416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 4 [Source:Projected from Arabidopsis thaliana (AT2G42910) UniProtKB/Swiss-Prot;Acc:Q680A5] MENNNNQVTGELKSGNKKQVRLFYCMECEELARKVAAHSQLITLQSINWRSFDDGFPNLFINNAHDIRGQHVAFLASFSSPAVIFEQLSVIYNLPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISRGGPTSVVIYDIHALQERFYFGDHVLPLFETGIPLLKQRLHQLSDADKIVVAFPDDGAWKRFHKLLDHFPTVICTKVREGDKRIVQIKEGNPTGCHVVIVDDLVQSGGTLIECQVLIANTLSYVLFPLVHYNFTLCPDLRLWP >KJB75417 pep chromosome:Graimondii2_0_v6:12:5158853:5163161:1 gene:B456_012G041300 transcript:KJB75417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 4 [Source:Projected from Arabidopsis thaliana (AT2G42910) UniProtKB/Swiss-Prot;Acc:Q680A5] MENNNNQVTGELKSGNKKQVRLFYCMECEELARKVAAHSQLITLQSINWRSFDDGFPNLFINNAHDIRGQHVAFLASFSSPAVIFEQLSVIYNLPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISRGGPTSVVIYDIHALQERFYFGDHVLPLFETGIPLLKQRLHQLSDADKIVVAFPDDGAWKRFHKLLDHFPTVICTKVREGDKRIVQIKEGNPTGCHVVIVDDLVQSGGTLIECQKVLFARGAAKVSAYVTHGVFPKCSWERFTQKNNSKYLFDLILCL >KJB75415 pep chromosome:Graimondii2_0_v6:12:5158824:5163161:1 gene:B456_012G041300 transcript:KJB75415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 4 [Source:Projected from Arabidopsis thaliana (AT2G42910) UniProtKB/Swiss-Prot;Acc:Q680A5] MENNNNQVTGELKSGNKKQVRLFYCMECEELARKVAAHSQLITLQSINWRSFDDGFPNLFINNAHDIRGQHVAFLASFSSPAVIFEQLSVIYNLPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISRGGPTSVVIYDIHALQERFYFGDHVLPLFETGIPLLKQRLHQLSDADKIVVAFPDDGAWKRFHKLLDHFPTVICTKVREGDKRIVQIKEGNPTGCHVVIVDDLVQSGGTLIECQKVLFARGAAKVSAYVTHGVFPKCSWERFTQKNNRSEKGFAYFWITDSCPQTVKAVENTAPFEVLSLAGSIADALQI >KJB75633 pep chromosome:Graimondii2_0_v6:12:6445136:6448148:-1 gene:B456_012G049400 transcript:KJB75633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSFPCPKISSFLKTNQQTQSHKGSLSLPPTSNFNSKSCLSFGSSIRVPAFSASQWPNDGKQTTVFASHTQLNEVAAEKSSNSVAVVDMKPKVALPEEDDKRSAEKAIPDAAAISEFMAQVSDLVKLVDSRDITELQLKQSDCELVIRKKEALQQPESASPIVMPQYVPQPTFQTPAPAAPVAAPAPANPAPPAAAPSLPPPAKAVGSSHPPLKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVVCIIEAMKLMNEIEADQSGTVTEILVEDGKSVSVDMPLFVIVG >KJB75636 pep chromosome:Graimondii2_0_v6:12:6445631:6448034:-1 gene:B456_012G049400 transcript:KJB75636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSFPCPKISSFLKTNQQTQSHKGSLSLPPTSNFNSKSCLSFGSSIRVPAFSASQWPNDGKQTTVFASHTQLNEVAAEKSSNSVAVVDMKPKVALPEEDDKRSAEKAIPDAAAISEFMAQVSDLVKLVDSRDITELQLKQSDCELVIRKKEALQQPESASPIVMPQYVPQPTFQTPAPAAPVAAPAPANPAPPAAAPSLPPPAKAVGSSHPPLKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVVCIIEAMKLMNEIEADQSGTVTEILVEDGKSVSVDMVTSYLLTLFMS >KJB75634 pep chromosome:Graimondii2_0_v6:12:6445185:6448034:-1 gene:B456_012G049400 transcript:KJB75634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSFPCPKISSFLKTNQQTQSHKGSLSLPPTSNFNSKSCLSFGSSIRVPAFSASQWPNDGKQTTVFASHTQLNEVAAEKSSNSVAVVDMKPKVALPEEDDKRSAEKAIPDAAAISEFMAQVSDLVNRLVDSRDITELQLKQSDCELVIRKKEALQQPESASPIVMPQYVPQPTFQTPAPAAPVAAPAPANPAPPAAAPSLPPPAKAVGSSHPPLKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVVCIIEAMKLMNEIEADQSGTVTEILVEDGKSVSVDMPLFVIVG >KJB75635 pep chromosome:Graimondii2_0_v6:12:6445188:6448034:-1 gene:B456_012G049400 transcript:KJB75635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSFPCPKISSFLKTNQQTQSHKGSLSLPPTSNFNSKSCLSFGSSIRVPAFSASQWPNDGKQTTVFASHTQLNEVAAEKSSNSVAVVDMKPKVALPEEDDKRSAEKAIPDAAAISEFMAQVSDLVKLVDSRDITELQLKQSDCELVIRKKEALQQPESASPIVMPQYVPQPTFQTPAPAAPVAAPAPANPAPPAAAPSLPPPAKADGKSVSVDMPLFVIVG >KJB76235 pep chromosome:Graimondii2_0_v6:12:12845626:12846750:-1 gene:B456_012G079200 transcript:KJB76235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLPLSEFDHDVKGHALHAMEFALSMEKIANARLLHLHRIALRNHDAQLADFVESEFLSMQVEAIKKIAEHVSQLRRVGAGHGVWHFNQMLLREGGIA >KJB76672 pep chromosome:Graimondii2_0_v6:12:21076029:21078669:-1 gene:B456_012G099400 transcript:KJB76672 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase [Source:Projected from Arabidopsis thaliana (AT3G12040) UniProtKB/Swiss-Prot;Acc:Q39147] VLTSLSAPTLLVQMNPTPQFKPVASKSRKTVRSSQCLEERRSQSSKAATVRTRTKPSRKQTRPQSSPVSLPCFRNTTILPPEFFQIDALDLAPHLLGKFLRRDDVVLQITEVEAYRPNDSACHGRFGVTARTAPVFGPGGHAYVYLCYGLHTMLNVVADKEGAGAAVLIRACAPVCGLETIQERRGQQTEKPILLTGPGKVGQALGITTEWSNHPLYTPGN >KJB76671 pep chromosome:Graimondii2_0_v6:12:21075546:21078678:-1 gene:B456_012G099400 transcript:KJB76671 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase [Source:Projected from Arabidopsis thaliana (AT3G12040) UniProtKB/Swiss-Prot;Acc:Q39147] MNPTPQFKPVASKSRKTVRSSQCLEERRSQSSKAATVRTRTKPSRKQTRPQSSPVSLPCFRNTTILPPEFFQIDALDLAPHLLGKFLRRDDVVLQITEVEAYRPNDSACHGRFGVTARTAPVFGPGGHAYVYLCYGLHTMLNVVADKEGAGAAVLIRACAPVCGLETIQERRGQQTEKPILLTGPGKVGQALGITTEWSNHPLYTPGGLELLDGPEPDKILIGPRVGIEYALPEHVNALWRFAIAGSPWISAPKNTLRLP >KJB76731 pep chromosome:Graimondii2_0_v6:12:23254056:23255010:1 gene:B456_012G103800 transcript:KJB76731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCGGNCGCGTGCKCGSGCGGCKMYPDLNAAEMTTTETLVLGVAPQKAHFEGAEIEFGAENGCKCGDNCTCNPCNCK >KJB77577 pep chromosome:Graimondii2_0_v6:12:31874466:31876336:1 gene:B456_012G144700 transcript:KJB77577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAMPPLKLPFLCSSPPSLAPLPPLFLLIRRPRVVASVRAMLTATPFSPPATLSPSALTAAPIPRDRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDAK >KJB77578 pep chromosome:Graimondii2_0_v6:12:31874466:31875759:1 gene:B456_012G144700 transcript:KJB77578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAMPPLKLPFLCSSPPSLAPLPPLFLLIRRPRVVASVRAMLTATPFSPPATLSPSALTAAPIPRDRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDAK >KJB74979 pep chromosome:Graimondii2_0_v6:12:1935297:1940583:-1 gene:B456_012G017100 transcript:KJB74979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDFRSVLETAGVDVWTFIDTAILVASLDYGQEFKQRRDGIVERLYATSMVTRCKSCDFGERSNVYQVNKEDSPHEGKEGGKGSPFTPHSDNEDDDMDPYGGLFDDEQKRVLEIKERLELPDQSEDSLVDLLQSLADMDITFQALKETDIGRHVNKLRKHSSNDVRRLVKQLVRKWKEIVDEWVRVNQPGEPEPAGLMADGDSPQQKLPQNGRQQVPDFAYSPNPHNGSFGSEKNNSEPERKPKPIPPCRKDPPSRHTHSTPPQNVQRQREQKESNFDSERLASARKRLQESYKEAENAKKQRTVQVMDIHELPKPKNAFFAKNKGGGSQGRHW >KJB74563 pep chromosome:Graimondii2_0_v6:12:14842900:14844993:-1 gene:B456_012G087000 transcript:KJB74563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFRSHKNCSNFLTNFHFYFGRFNLTSCKKHSVNRPPTPDGAEDQGNEPTLQEIINIKLIESGEKERLMELLRERLIECGWKDEMKALCRSYVKKKGRNNVTLDDLVHLITPKGRASVPDFVKAELLQRIRSFLMSAAL >KJB74564 pep chromosome:Graimondii2_0_v6:12:14842918:14844987:-1 gene:B456_012G087000 transcript:KJB74564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSHKNCSNFLTNFHFYFGRFNLTSCKKHSVNRPPTPDGAEDQGNEPTLQEIINIKLIESGEKERLMELLRERLIECGWKDEMKALCRSYVKKKGRNNVTLDDLVHLITPKGRDFVKAELLQRIRSFLMSAAL >KJB74562 pep chromosome:Graimondii2_0_v6:12:14842379:14845208:-1 gene:B456_012G087000 transcript:KJB74562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSVNRPPTPDGAEDQGNEPTLQEIINIKLIESGEKERLMELLRERLIECGWKDEMKALCRSYVKKKGRNNVTLDDLVHLITPKGRASVPDFVKAELLQRIRSFLMSAAL >KJB74796 pep chromosome:Graimondii2_0_v6:12:897923:899601:1 gene:B456_012G008200 transcript:KJB74796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLKPITRLSLFQTHFQINNNNNPRARETSVSCSWSHGAHKIVREFDPKIPIEKAITPPSSWYTDPSFYAFELDRVFYRGWQAVGYTEQIQEPRDFFSGRLGNVEFVVCRDDNGKIAAFHNVCRHHASLLVSGSGKTSCFTCPYHGWTYGLNGELRKATRISGIEDFSINDFGLVPIKVATWGPFVILNMDNEILQKDNIDTDNVASEWLGSSSELFSLNGVDTTLTYVCRREYIIECNWKVRLCGSWQLLQFNFLLLAFYDNT >KJB74794 pep chromosome:Graimondii2_0_v6:12:897792:902979:1 gene:B456_012G008200 transcript:KJB74794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLKPITRLSLFQTHFQINNNNNPRARETSVSCSWSHGAHKIVREFDPKIPIEKAITPPSSWYTDPSFYAFELDRVFYRGWQAVGYTEQIQEPRDFFSGRLGNVEFVVCRDDNGKIAAFHNVCRHHASLLVSGSGKTSCFTCPYHGWTYGLNGELRKATRISGIEDFSINDFGLVPIKVATWGPFVILNMDNEILQKDNIDTDNVASEWLGSSSELFSLNGVDTTLTYVCRREYIIECNWKVFCDNYLDGGYHVPFAHKGLASGLSLDSYTTSDDKAFIERSLADSEKVQMEDIRLCEGVQKGIESPAYSTGRYAPNVEKAMHHFHCLLYDNLIN >KJB74797 pep chromosome:Graimondii2_0_v6:12:897871:901560:1 gene:B456_012G008200 transcript:KJB74797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLKPITRLSLFQTHFQINNNNNPRARETSVSCSWSHGAHKIVREFDPKIPIEKAITPPSSWYTDPSFYAFELDRVFYRGWQAVGYTEQIQEPRDFFSGRLGNVEFVVCRDDNGKIAAFHNVCRHHASLLVSGSGKTSCFTCPYHGWTYGLNGELRKATRISGIEDFSINDFGLVPIKVATWGPFVILNMDNEILQKDNIDTDNVASEWLGSSSELFSLNGVDTTLTYVCRREYIIECNWKVRLCGSWQLLQFNFLLLAFYDNT >KJB74799 pep chromosome:Graimondii2_0_v6:12:897871:902979:1 gene:B456_012G008200 transcript:KJB74799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLKPITRLSLFQTHFQINNNNNPRARETSVSCSWSHGAHKIVREFDPKIPIEKAITPPSSWYTDPSFYAFELDRVFYRGWQAVGYTEQIQEPRDFFSGRLGNVEFVVCRDDNGKIAAFHNVCRHHASLLVSGSGKTSCFTCPYHGWTYGLNGELRKATRISGIEDFSINDFGLVPIKVATWGPFVILNMDNEILQKDNIDTDNVASEWLGSSSELFSLNGVDTTLTYVCRREYIIECNWKIFEKVSIQSAEGGSKEKEDDRLGSKAFYAFIYPNFMINRYGPWMDTNLAIPLGPRKCLVVFDYFLEASFKDDKAFIERSLADSEKVQMEDIRLCEGVQKGIESPAYSTGRYAPNVEKAMHHFHCLLYDNLIN >KJB74798 pep chromosome:Graimondii2_0_v6:12:897871:902979:1 gene:B456_012G008200 transcript:KJB74798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLKPITRLSLFQTHFQINNNNNPRARETSVSCSWSHGAHKIVREFDPKIPIEKAITPPSSWYTDPSFYAFELDRVFYRGWQAVGYTEQIQEPRDFFSGRLGNVEFVVCRDDNGKIAAFHNVCRHHASLLVSGSGKTSCFTCPYHGWTYGLNGELRKATRISGIEDFSINDFGLVPIKVATWGPFVILNMDNEILQKDNIDTDNVASEWLGSSSELFSLNGVDTTLTYVCRREYIIECNWKVFCDNYLDGGYHVPFAHKGLASGLSLDSYTTSIFEKVSIQSAEGGSKEKEDDRLGSKAFYAFIYPNFMINRYGPWMDTNLAIPLGPRKCLVVFDYFLEASFKDDKAFIERSLADSEKVQENTQMEDIRLCEGVQKGIESPAYSTGRYAPNVEKAMHHFHCLLYDNLIN >KJB74795 pep chromosome:Graimondii2_0_v6:12:897792:902979:1 gene:B456_012G008200 transcript:KJB74795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLKPITRLSLFQTHFQINNNNNPRARETSVSCSWSHGAHKIVREFDPKIPIEKAITPPSSWYTDPSFYAFELDRVFYRGWQAVGYTEQIQEPRDFFSGRLGNVEFVVCRDDNGKIAAFHNVCRHHASLLVSGSGKTSCFTCPYHGWTYGLNGELRKATRISGIEDFSINDFGLVPIKVATWGPFVILNMDNEILQKDNIDTDNVASEWLGSSSELFSLNGVDTTLTYVCRREYIIECNWKVFCDNYLDGGYHVPFAHKGLASGLSLDSYTTSIFEKVSIQSAEGGSKEKEDDRLGSKAFYAFIYPNFMINRYGPWMDTNLAIPLGPRKCLVVFDYFLEASFKDDKAFIERSLADSEKVQMEDIRLCEGVQKGIESPAYSTGRYAPNVEKAMHHFHCLLYDNLIN >KJB75767 pep chromosome:Graimondii2_0_v6:12:7571836:7573948:1 gene:B456_012G056300 transcript:KJB75767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRMVNDERYLGLGRRRKCCDDSENVQVEAIRCAKRRRRDPAGSVAFDNRDGHQQRNAAATATTVKRSSRFRGVSRHRWTGRYEAHLWDKLSWNITQKKKGKQGAYDEEEAAARAYDLAALKYWGTSTFTNFPISDYGKEIEIMQTLTKEEYLASLRRKSSGFSRGVSKYRGVARHHNNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPNESLPMAVEPEPVTLPSQASTPREESKPSVNHSSTADYLNSFPKQVVESKIHVMNSNKCSSTTALGLLLRSSIFRDLVEKNLANVCEDESGSTDEDEEKNKHLAGNDDEFCGLFYDGIGTEFPFFRSSMKDTMELQERGSSFI >KJB74570 pep chromosome:Graimondii2_0_v6:12:32496912:32497796:-1 gene:B456_012G151100 transcript:KJB74570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFFKVLTKIDIERTLSLPDSCLQALQQSQRSHGGKKLKVKDDVGILWNFHCTIRSGVAPKLDIVSGWIQFVRFKQLNTGDVIVLNKDDDTLTGPHYKIEVFKGDADGYSSFVHKNEI >KJB76722 pep chromosome:Graimondii2_0_v6:12:22998314:23000558:-1 gene:B456_012G103100 transcript:KJB76722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLLTALLMENHHPSTLLSMDSSASSHDELDLEMNRQTVLSRPPDINLPLSAERSPPPQPWNSDQCDILDVGLSSQAFEVESFLAAPKVERKFAKRVDSIWGAWLFFSFYFKPALNDKSKGKIIRDSNGVSGFDKSDLKLDIFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKQYRGLSNPQCLHGIEVVPAPNLMALSEEDRKRWMELTGRDLNFTVPPEASDFSSWRNLPNTDFELERPVPPPSIKSVSNSHSKKLLNGSGLNLCSQPSSHSNGDGMDLTLVSNKRRKELFRHRNNDDCYLPAVPPSDRILDMEIHPSEPHWLKDFSGIMKNVYGPVTAAKTIYEDEAGYLIIISLPFVDLQRVKVSWRNTLTHGIIKISCVSTSGMPFIKRHERTFKLTDPASEHCSPGEFVREIPLSTRIPEDANIEAYHDGPGSVLEIMVPKLRVVPEEHEVLVCLRPKLIGSDLMLT >KJB76724 pep chromosome:Graimondii2_0_v6:12:22998605:23000119:-1 gene:B456_012G103100 transcript:KJB76724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLLTALLMENHHPSTLLSMDSSASSHDELDLEMNRQTVLSRPPDINLPLSAERSPPPQPWNSDQCDILDVGLSSQAFEVESFLAAPKVERKFAKRVDSIWGAWLFFSFYFKPALNDKSKGKIIRDSNGVSGFDKSDLKLDIFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKQYRGLSNPQCLHGIEVVPAPNLMALSEEDRKRWMELTGRDLNFTVPPEASDFSSWRNLPNTDFELERPVPPPSIKSVSNSHSKKLLNGSGLNLCSQPSSHSNGDGMDLTLVSNKRRKELFRHRNNDDCYLPAVPPSDRILDMEIHPSEPHWLKDFSGIMKNVYGPVTAAKTIYEDEAGYLIIISLPFVDLQRVKVSWRNTLTHGIIKISCVSTSGMPFIKRHERTFKLTDPASEHCSPGEFVREIPLSTRIPEDANIEAYHDGPGSVLEIMVPKLRVVPEEHEVLVCLRPKLIGSDLMLT >KJB76723 pep chromosome:Graimondii2_0_v6:12:22998290:23001117:-1 gene:B456_012G103100 transcript:KJB76723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLLTALLMENHHPSTLLSMDSSASSHDELDLEMNRQTVLSRPPDINLPLSAERSPPPQPWNSDQCDILDVGLSSQAFEVESFLAAPKVERKFAKRVDSIWGAWLFFSFYFKPALNDKSKGKIIRDSNGVSGFDKSDLKLDIFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKQYRGLSNPQCLHGIEVVPAPNLMALSEEDRKRWMELTGRDLNFTVPPEASDFSSWRNLPNTDFELERPVPPPSIKSVSNSHSKKLLNGSGLNLCSQPSSHSNGDGMDLTLVSNKRRKELFRHRNNDDCYLPAVPPSDRILDMEIHPSEPHWLKDFSGIMKNVYGPVTAAKTIYEDEAGYLIIISLPFVDLQRVKVSWRNTLTHGIIKISCVSTSGMPFIKRHERTFKLTDPASEHCSPGEFVREIPLSTRIPEDANIEAYHDGPGSVLEIMVPKLRVVPEEHEVLVCLRPKLIGSDLMLT >KJB78167 pep chromosome:Graimondii2_0_v6:12:35003340:35008707:-1 gene:B456_012G182000 transcript:KJB78167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSKSEDFQDGVDGDSLRSTMATDQNVLQKHAAFFDRNHDGLVYPWETFQGFRAIGAGYLLSMAGAVFINLSLSGTTRPGKFPSLLFPIEVKNIQMGKHGSDSGVYDSEGRFVPSKFDEIFSKHARTHTNALTSDELMAMLKSNREPKDYKGWIGSWTEWKALYTLCKDENGLLRRETIKAVYDGSLFEHLERERAAAKAKATA >KJB77707 pep chromosome:Graimondii2_0_v6:12:32624207:32625595:-1 gene:B456_012G152100 transcript:KJB77707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKSVSFSFHLLLLLLLVMHGDGSGTEETADDLISKGNSVLRLHSMDHDRQTEDDGTTVQSRVDPLSRMDHMDLSVMVFFTLKDLKVGNSLPIYFRKKDSSKSPRFLPRQEADSIAFSLKELPNLLRLFSLSRDSPQAKAMEATLRECESQAIKGETKFCATSLESMLEFASSIFGSNTRFKTLATEHLTKSSTLFQNYTVLTTPEEIPAPMMVACHTVPYPYAILYCHSQVTENRVFKVSLDGENGDKVTAVAVCHKDTTQWNRNHVSFRVLGIEPGTPGVCHFFPADNFVLVPDP >KJB78183 pep chromosome:Graimondii2_0_v6:12:35033471:35039146:-1 gene:B456_012G182400 transcript:KJB78183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAHSVVPASVLRNLSDKLYEKRKNAALEVEGIVKQLAALGDHEKISAVISLLTTEYTYSPQANHRKGGLIGLAAATVGLTSEASQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIMFFNQIFDALCKLSADSAANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAMTWINEFVKLGGDQLVPYYADILGAILPCMSDKEEKIRGFARETNEELRSVKGEPEEAFNVGAILSIARRQLDSEWEATRIEALHWISTLLDRHRSEVLCFLNDIFDTLLKALADSSDEVVLLVLDIHACIAQDPQHFSQLVVFLVQNFRIDHSLLERRGALIIRRLCDLLDAERVYRELSSILEGEADLEFACIMVQALNLILLTSSELSEIRKLLKQSLANAAGKDLFVALYASWSHSPMAIISLCLLAQMYPHASAVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYVWLLKALYGLLMLLPQVCLYPFFLGITPSN >KJB78182 pep chromosome:Graimondii2_0_v6:12:35032399:35039304:-1 gene:B456_012G182400 transcript:KJB78182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNQIFDALCKLSADSAANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAMTWINEFVKLGGDQLVPYYADILGAILPCMSDKEEKIRGFARETNEELRSVKGEPEEAFNVGAILSIARRQLDSEWEATRIEALHWISTLLDRHRSEVLCFLNDIFDTLLKALADSSDEVVLLVLDIHACIAQDPQHFSQLVVFLVQNFRIDHSLLERRGALIIRRLCDLLDAERVYRELSSILEGEADLEFACIMVQALNLILLTSSELSEIRKLLKQSLANAAGKDLFVALYASWSHSPMAIISLCLLAQMYPHASAVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYVWLLKALYGLLMLLPQQSAAFKILQTRLKTVPSYSFNGDQLKHALSGNPYFHHGAPQISEDGNKNLNNGNVQNDINFTSWLEQFEQIQRQHRLLAKSQAQSRNNSLLKDGPKAEEPLQLPTSNTNRAVSRSSKRGPG >KJB78184 pep chromosome:Graimondii2_0_v6:12:35032399:35039304:-1 gene:B456_012G182400 transcript:KJB78184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAHSVVPASVLRNLSDKLYEKRKNAALEVEGIVKQLAALGDHEKISAVISLLTTEYTYSPQANHRKVGLIGLAAATVGLTSEASQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIMFFNQIFDALCKLSADSAANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAMTWINEFVKLGGDQLVPYYADILGAILPCMSDKEEKIRGFARETNEELRSVKGEPEEAFNVGAILSIARRQLDSEWEATRIEALHWISTLLDRHRSEVLCFLNDIFDTLLKALADSSDEVVLLVLDIHACIAQDPQHFSQLVVFLVQNFRIDHSLLERRGALIIRRLCDLLDAERVYRELSSILEGEADLEFACIMVQALNLILLTSSELSEIRKLLKQSLANAAGKDLFVALYASWSHSPMAIISLCLLAQMYPHASAVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYVWLLKALYGLLMLLPQQSAAFKILQTRLKTVPSYSFNGDQLKHALSGNPYFHHGAPQISEDGNKNLNNGNVQNDINFTSWLEQFEQIQRQHRLLAKSQAQSRNNSLLKDGPKAEEPLQLPTSNTNRAVSRSSKRGPG >KJB78181 pep chromosome:Graimondii2_0_v6:12:35032371:35039436:-1 gene:B456_012G182400 transcript:KJB78181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAHSVVPASVLRNLSDKLYEKRKNAALEVEGIVKQLAALGDHEKISAVISLLTTEYTYSPQANHRKGGLIGLAAATVGLTSEASQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIMFFNQIFDALCKLSADSAANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAMTWINEFVKLGGDQLVPYYADILGAILPCMSDKEEKIRGFARETNEELRSVKGEPEEAFNVGAILSIARRQLDSEWEATRIEALHWISTLLDRHRSEVLCFLNDIFDTLLKALADSSDEVVLLVLDIHACIAQDPQHFSQLVVFLVQNFRIDHSLLERRGALIIRRLCDLLDAERVYRELSSILEGEADLEFACIMVQALNLILLTSSELSEIRKLLKQSLANAAGKDLFVALYASWSHSPMAIISLCLLAQMYPHASAVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYVWLLKALYGLLMLLPQQSAAFKILQTRLKTVPSYSFNGDQLKHALSGNPYFHHGAPQISEDGNKNLNNGNVQNDINFTSWLEQFEQIQRQHRLLAKSQAQSRNNSLLKDGPKAEEPLQLPTSNTNRAVSRSSKRGPG >KJB77528 pep chromosome:Graimondii2_0_v6:12:31547057:31552552:1 gene:B456_012G142000 transcript:KJB77528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVISGSGSSGREGEINIRVGLTSRDSLDSRWVFPGEDDSEIEDNGDGDDDLPHRAAVDSEGEDNQEHRLIRTEPRVDSFDVEALEVHGAHRSDYEDLGIARRIIHAFQTLGVVFGDVGTSPLYTFSVMFSKAPIKGDEDVIGALSLVLYTLLLVPLVKYVLIVLWANDDGEGGTFALYSLICRHANVSLLPNQLPSDTRISNFILKLPSPELERSLKIKERLEASLTLKKLLLMLVLAGTSMVIADGVVTPAMSVMSAVGGLKVGVAAIEQDEVVMISVTFLVILFSVQKFGTSQVGLAVGPALFIWFCSLAGIGIYNLIKYDASVLRAFNPVHIYLYFKRNSVNAWYALGGCLLCATGSEAMFADLCYFSVRSIQLTFVFLVLPCLLLGYLGQAAYLTGNLNGAEKHPFFLSIPSGIFWPVLLIANIAALIASRAMTTATFSCIKQSSNLGCFPRLKIIHTSKRLMGQIYIPVINWFLLVGCLVLVCSMSSINEIGNAYGIAELGVMMMTTILVTIVMLLIWRLNIIIVLSFVVFFLGLELTFFSSVLWSVTDGSWVILVFAVIMFVIMYIWNYGSKLKYETEVERKLPMDLMREIGCNLGTIRAPGIGLLYNELAKGVPAIFGHFITTLPAIHSMVVFVCIKYVPVPVVPQNERFFFRRVCPKSYHLFRCIARFKFCTAKFFIKTNWNG >KJB77529 pep chromosome:Graimondii2_0_v6:12:31548565:31552552:1 gene:B456_012G142000 transcript:KJB77529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVGGLKVGVAAIEQDEVVMISVTFLVILFSVQKFGTSQVGLAVGPALFIWFCSLAGIGIYNLIKYDASVLRAFNPVHIYLYFKRNSVNAWYALGGCLLCATGSEAMFADLCYFSVRSIQLTFVFLVLPCLLLGYLGQAAYLTGNLNGAEKHPFFLSIPSGIFWPVLLIANIAALIASRAMTTATFSCIKQSSNLGCFPRLKIIHTSKRLMGQIYIPVINWFLLVGCLVLVCSMSSINEIGNAYGIAELGVMMMTTILVTIVMLLIWRLNIIIVLSFVVFFLGLELTFFSSVLWSVTDGSWVILVFAVIMFVIMYIWNYGSKLKYETEVERKLPMDLMREIGCNLGTIRAPGIGLLYNELAKGVPAIFGHFITTLPAIHSMVVFVCIKYVPVPVVPQNERFFFRRVCPKSYHLFRCIARYGYKDVRKENHQAFEQLLIESLEKLIRREAQQRQLESDGDEDADSEEDTSFSRVLIDPNGSVYSLGVPLLAEFRGSSNSISEASISEELNPNSPASPSISDAEHSLERELSFIRQAKESGVVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGIANLSVPHSHLIQVGMTYMV >KJB77525 pep chromosome:Graimondii2_0_v6:12:31547011:31552552:1 gene:B456_012G142000 transcript:KJB77525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVISGSGSSGREGEINIRVGLTSRDSLDSRWVFPGEDDSEIEDNGDGDDDLPHRAAVDSEGEDNQEHRLIRTEPRVDSFDVEALEVHGAHRSDYEDLGIARRIIHAFQTLGVVFGDVGTSPLYTFSVMFSKAPIKGDEDVIGALSLVLYTLLLVPLVKYVLIVLWANDDGEGGTFALYSLICRHANVSLLPNQLPSDTRISNFILKLPSPELERSLKIKERLEASLTLKKLLLMLVLAGTSMVIADGVVTPAMSVMSAVGGLKVGVAAIEQDEVVMISVTFLVILFSVQKFGTSQVGLAVGPALFIWFCSLAGIGIYNLIKYDASVLRAFNPVHIYLYFKRNSVNAWYALGGCLLCATGSEAMFADLCYFSVRSIQLTFVFLVLPCLLLGYLGQAAYLTGNLNGAEKHPFFLSIPSGIFWPVLLIANIAALIASRAMTTATFSCIKQSSNLGCFPRLKIIHTSKRLMGQIYIPVINWFLLVGCLVLVCSMSSINEIGNAYGIAELGVMMMTTILVTIVMLLIWRLNIIIVLSFVVFFLGLELTFFSSVLWSVTDGSWVILVFAVIMFVIMYIWNYGSKLKYETEVERKLPMDLMREIGCNLGTIRAPGIGLLYNELAKGVPAIFGHFITTLPAIHSMVVFVCIKYVPVPVVPQNERFFFRRVCPKSYHLFRCIARYGYKDVRKENHQAFEQLLIESLEKLIRREAQQRQLESDGDEDADSEEDTSFSRVLIDPNGSVYSLGVPLLAEFRGSSNSISEASISEELNPNSPASPSISDAEHSLERELSFIRQAKESGVVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGIANLSVPHSHLIQVGMTYMV >KJB77527 pep chromosome:Graimondii2_0_v6:12:31547057:31552552:1 gene:B456_012G142000 transcript:KJB77527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVISGSGSSGREGEINIRVGLTSRDSLDSRWVFPGEDDSEIEDNGDGDDDLPHRAAVDSEGEDNQEHRLIRTEPRVDSFDVEALEVHGAHRSDYEDLGIARRIIHAFQTLGVVFGDVGTSPLYTFSVMFSKAPIKGDEDVIGALSLVLYTLLLVPLVKYVLIVLWANDDGEGGTFALYSLICRHANVSLLPNQLPSDTRISNFILKLPSPELERSLKIKERLEASLTLKKLLLMLVLAGTSMVIADGVVTPAMSVMSAVGGLKVGVAAIEQDEVVMISVTFLVILFSVQKFGTSQVGLAVGPALFIWFCSLAGIGIYNLIKYDASVLRAFNPVHIYLYFKRNSVNAWYALGGCLLCATGSEAMFADLCYFSVRSIQLTFVFLVLPCLLLGYLGQAAYLTGNLNGAEKHPFFLSIPSGIFWPVLLIANIAALIASRAMTTATFSCIKQSSNLGCFPRLKIIHTSKRLMGQIYIPVINWFLLVGCLVLVCSMSSINEIGNAYGNISS >KJB77526 pep chromosome:Graimondii2_0_v6:12:31547057:31552552:1 gene:B456_012G142000 transcript:KJB77526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLPQQLMSAVGGLKVGVAAIEQDEVVMISVTFLVILFSVQKFGTSQVGLAVGPALFIWFCSLAGIGIYNLIKYDASVLRAFNPVHIYLYFKRNSVNAWYALGGCLLCATGSEAMFADLCYFSVRSIQLTFVFLVLPCLLLGYLGQAAYLTGNLNGAEKHPFFLSIPSGIFWPVLLIANIAALIASRAMTTATFSCIKQSSNLGCFPRLKIIHTSKRLMGQIYIPVINWFLLVGCLVLVCSMSSINEIGNAYGIAELGVMMMTTILVTIVMLLIWRLNIIIVLSFVVFFLGLELTFFSSVLWSVTDGSWVILVFAVIMFVIMYIWNYGSKLKYETEVERKLPMDLMREIGCNLGTIRAPGIGLLYNELAKGVPAIFGHFITTLPAIHSMVVFVCIKYVPVPVVPQNERFFFRRVCPKSYHLFRCIARYGYKDVRKENHQAFEQLLIESLEKLIRREAQQRQLESDGDEDADSEEDTSFSRVLIDPNGSVYSLGVPLLAEFRGSSNSISEASISEELNPNSPASPSISDAEHSLERELSFIRQAKESGVVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGIANLSVPHSHLIQVGMTYMV >KJB77934 pep chromosome:Graimondii2_0_v6:12:33853960:33854556:-1 gene:B456_012G168400 transcript:KJB77934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRSRMVFSKPLTDTDIKQRLAIPSKTLAYLPNFDGSNGVRINIMYGTKIWPIDCTVRRKGHQKAIFSGRLWRAFIMSNELKVGDRISLYKVQGEDGCSHFKFEAEKQPASASNQYGTTVRIFGFNISDEATEMKFMKEREIDFFKGGAIAMSAYSSGTTTRSHAGGDTRHKIMTDHHHGLSLDLNLRPPSRNCHAC >KJB74602 pep chromosome:Graimondii2_0_v6:12:13542877:13544514:-1 gene:B456_012G082200 transcript:KJB74602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFSILAKTIWDVLSNKEVIDIIESALACSSAARTLLQTAVRAWRYKYLTSKADDCAVVIIRFSVATHEL >KJB78189 pep chromosome:Graimondii2_0_v6:12:35044713:35049041:-1 gene:B456_012G1826002 transcript:KJB78189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHNCVAAGGKVLIPTFALGRAQELCILLEDYWERMNLKVPIYFSAGLTIQANMYYKMLINWTSQKIKETYATHNAFDFKNVRNFDRSLINAPGPCVLFATPGMIVGGFSLEVFMQWAPSENNLVTLPGYCVAGTIGHKLMSGKPTKIDLDKDTQINVRCQIHQLSFSPHTDAKGIMDLVKFLSPKHAILVHGEKPKMATLKERIQSELGIQCYCPANNETVTIPSTHYVKADASGTFIRSCLNPNFKFSTCSSTDKSYLDSNKSKAVSRLSVSDDRATEGILVVEKGKKAKVIHQDEFLHMLGEKKHEIQFAYCFPMHMARTEDLSSTSHMLCSFDKCTLISRLSMILSDELSEGNILDLGEELQVESFHVSVCSSDNCPHRLSSSLQNGSESVFFCCHWSVADEKLAWKIISIMKNSTL >KJB78191 pep chromosome:Graimondii2_0_v6:12:35044888:35049041:-1 gene:B456_012G1826002 transcript:KJB78191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHNCVAAGGKVLIPTFALGRAQELCILLEDYWERMNLKVPIYFSAGLTIQANMYYKMLINWTSQKIKETYATHNAFDFKNVRNFDRSLINAPGPCVLFATPGMIVGGFSLEVFMQWAPSENNLVTLPGYCVAGTIGHKLMSGKPTKIDLDKDTQINVRCQIHQLSFSPHTDAKGIMDLVKFLSPKHAILVHGEKPKMATLKERIQSELGIQCYCPANNETVTIPSTHYVKADASGTFIRSCLNPNFKFSTCSSTDKSYLDSNKSKAVSRLSVSDDRATEGILVVEKGKKAKVIHQDEFLHMLGEKKHEIQFAYCFPMHMARTEDLSSTSHMLCSFDKCTLISRLSMILSDELSEGNILDLGEELQVESFHVSVCSSDNCPHRLSSSLQNGSESVFFCCHWSVADEKLAWKIISIMKNSTL >KJB78190 pep chromosome:Graimondii2_0_v6:12:35044704:35049041:-1 gene:B456_012G1826002 transcript:KJB78190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHNCVAAGGKVLIPTFALGRAQELCILLEDYWERMNLKVPIYFSAGLTIQANMYYKMLINWTSQKIKETYATHNAFDFKNVRNFDRSLINAPGPCVLFATPGMIVGGFSLEVFMQWAPSENNLVTLPGYCVAGTIGHKLMSGKPTKIDLDKDTQINVRCQIHQLSFSPHTDAKGIMDLVKFLSPKHAILVHGEKPKMATLKERIQSELGIQCYCPANNETVTIPSTHYVKADASGTFIRSCLNPNFKFSTCSSTDKSYLDSNKSKAVSRLSVSDDRATEGILVVEKGKKAKVIHQDEFLHMLGEKKHEIQFAYCFPMHMARTEDLSSTSHMLCSFDKCTLISRLSMILSDELSEGNILDLGEELQVESFHVSVCSSDNCPHRLSSSLQNGSESVFFCCHWSVADEKLAWKIISIMKNSTL >KJB75837 pep chromosome:Graimondii2_0_v6:12:8472853:8476309:-1 gene:B456_012G060500 transcript:KJB75837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSHLQFLFFQQFQGWSFQCPKNNIDSLYHSADVEVPAACAILIFLFALQHYGTHRVGFLFAPVVITWLLCISAIGVYNIFYWNPKVYQALSPFYMYKFLKKTQKGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTFVVYPSLILAYMGQAAYLSKHHILETNYRIGFYVSVPEKIRWPVLVIAIFAAVVGSQAIITGTFSIIKQCSALGCFPRVKIIHTSSKMHGQIYIPEINWTLMLLCLAVTVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWHKSVFLAILFIFFFGSIEALYFSASLIKFLEGAWVPIALALIFSAIMYVWHYGTLKKYEFDVQNKVSINWLLALGPTLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVVFLCIKSVPVPHVSPKERFLVGRVGPKEYRLYRCIARYGYRDVHKDDLEFEKDLICSIAEFIRSERPECSIPILDSENDERMTVIGTSSSNLEGIRMHEDGDVDSSQIVGTSEPRVAKSPEKVRKRVRFIVPESSQINNGVKEELQELMEAREAGMAFILGHSYVRAKKGSSLIKKIVINLGYDFLRRNSRGPTYALSIPHASTLEVGMVYQV >KJB75835 pep chromosome:Graimondii2_0_v6:12:8472508:8477573:-1 gene:B456_012G060500 transcript:KJB75835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGAIPTSPIKKKESWKTVLSLAYQSLGVVYGDLSTSPLYVYKSAFAEDIQHSDTNEEIFGVLSFVFWTLTLMPLLKYVFIVLKADDNGEGGTFALYSLLCRHARVCSLPNCQLADEELSEYKKDGMASNNNRSFWGSSLKSTLEKHKILQRLLLVLALIGTCMVIGDGVLTPAISVFSAVSGLELSMSKEQHRYVEVPAACAILIFLFALQHYGTHRVGFLFAPVVITWLLCISAIGVYNIFYWNPKVYQALSPFYMYKFLKKTQKGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTFVVYPSLILAYMGQAAYLSKHHILETNYRIGFYVSVPEKIRWPVLVIAIFAAVVGSQAIITGTFSIIKQCSALGCFPRVKIIHTSSKMHGQIYIPEINWTLMLLCLAVTVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWHKSVFLAILFIFFFGSIEALYFSASLIKFLEGAWVPIALALIFSAIMYVWHYGTLKKYEFDVQNKVSINWLLALGPTLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVVFLCIKSVPVPHVSPKERFLVGRVGPKEYRLYRCIARYGYRDVHKDDLEFEKDLICSIAEFIRSERPECSIPILDSENDERMTVIGTSSSNLEGIRMHEDGDVDSSQIVGTSEPRVAKSPEKVRKRVRFIVPESSQINNGVKEELQELMEAREAGMAFILGHSYVRAKKGSSLIKKIVINLGYDFLRRNSRGPTYALSIPHASTLEVGMVYQV >KJB75834 pep chromosome:Graimondii2_0_v6:12:8472853:8476309:-1 gene:B456_012G060500 transcript:KJB75834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSHLQFLFFQQFQGWSFQCPKNNIDSLYHSADVEVPAACAILIFLFALQHYGTHRVGFLFAPVVITWLLCISAIGVYNIFYWNPKVYQALSPFYMYKFLKKTQKGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTFVVYPSLILAYMGQAAYLSKHHILETNYRIGFYVSVPEKIRWPVLVIAIFAAVVGSQAIITGTFSIIKQCSALGCFPRVKIIHTSSKMHGQIYIPEINWTLMLLCLAVTVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWHKSVFLAILFIFFFGSIEALYFSASLIKFLEGAWVPIALALIFSAIMYVWHYGTLKKYEFDVQNKVSINWLLALGPTLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVVFLCIKSVPVPHVSPKERFLVGRVGPKEYRLYRCIARYGYRDVHKDDLEFEKDLICSIAEFIRSERPECSIPILDSENDERMTVIGTSSSNLEGIRMHEDGDVDSSQIVGTSEPRVAKSPEKVRKRVRFIVPESSQINNGVKEELQELMEAREAGMAFILGHSYVRAKKGSSLIKKIVINLGYDFLRRNSRGPTYALSIPHASTLEVGMVYQV >KJB75836 pep chromosome:Graimondii2_0_v6:12:8472508:8477573:-1 gene:B456_012G060500 transcript:KJB75836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGAIPTSPIKKESWKTVLSLAYQSLGVVYGDLSTSPLYVYKSAFAEDIQHSDTNEEIFGVLSFVFWTLTLMPLLKYVFIVLKADDNGEGGTFALYSLLCRHARVCSLPNCQLADEELSEYKKDGMASNNNRSFWGSSLKSTLEKHKILQRLLLVLALIGTCMVIGDGVLTPAISVFSAVSGLELSMSKEQHRYVEVPAACAILIFLFALQHYGTHRVGFLFAPVVITWLLCISAIGVYNIFYWNPKVYQALSPFYMYKFLKKTQKGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTFVVYPSLILAYMGQAAYLSKHHILETNYRIGFYVSVPEKIRWPVLVIAIFAAVVGSQAIITGTFSIIKQCSALGCFPRVKIIHTSSKMHGQIYIPEINWTLMLLCLAVTVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWHKSVFLAILFIFFFGSIEALYFSASLIKFLEGAWVPIALALIFSAIMYVWHYGTLKKYEFDVQNKVSINWLLALGPTLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVVFLCIKSVPVPHVSPKERFLVGRVGPKEYRLYRCIARYGYRDVHKDDLEFEKDLICSIAEFIRSERPECSIPILDSENDERMTVIGTSSSNLEGIRMHEDGDVDSSQIVGTSEPRVAKSPEKVRKRVRFIVPESSQINNGVKEELQELMEAREAGMAFILGHSYVRAKKGSSLIKKIVINLGYDFLRRNSRGPTYALSIPHASTLEVGMVYQV >KJB77902 pep chromosome:Graimondii2_0_v6:12:33574046:33575047:-1 gene:B456_012G164600 transcript:KJB77902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQRQGRFLESSRLEPTTKAIIPYTSSEEFPKGARNLWKPTGKPLILSEKLTFTDYKGSSQEFNLTENTIITSAERILIDNIFIAHKKGDIKHQIACLNGLSLYFHNIHNNEICYILFTGDYKGIYKEWTEIIHYTKSHKNPKYKKYNSLSAAYKDAQIYLGHKYNVSEKLKTKWVEIYENPQLEMETPAEKATLQDQIIKHKEQQLLLEKQLQTERARNIELIKRMEDLQKEAKHKEEVISYAGATAGQPMTKEDKIIERLSEIQQDLSTVISGLNQFDERPSEGWDQWWLEMACRANIIEKIPESTKASEAQDEEYRDGALEQYVASIKM >KJB76664 pep chromosome:Graimondii2_0_v6:12:20861975:20865889:-1 gene:B456_012G098900 transcript:KJB76664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTSSMLTQYDIEEVQQHFSQQEIVSLYERFCQLDRNGGGFVSGEEFLSVPEFAVNPLSQRLLTMLDGLNFKEFVAFLSAFSPRATVQQKIEFIFKVYDSDGNGKVTFNDMLDVLHDLTGQFISEQQREQVLTKVLEEAGYTKESLLVMSDFVKILGSSGLKMEVEVPVD >KJB76663 pep chromosome:Graimondii2_0_v6:12:20862342:20865703:-1 gene:B456_012G098900 transcript:KJB76663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTSSMLTQYDIEEVQQHCNNAFSQQEIVSLYERFCQLDRNGGGFVSGEEFLSVPEFAVNPLSQRLLTMLDGLNFKEFVAFLSAFSPRATVQQKIEFIFKVYDSDGNGKVTFNDMLDVLHDLTGQFISEQQREQVLTKVLEEAGYTKESLLVMSDFVKILGSSGLKMEVEVPVD >KJB77988 pep chromosome:Graimondii2_0_v6:12:34055306:34059773:-1 gene:B456_012G171100 transcript:KJB77988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVSALCVIAPLLLLGAVSSAPTTSSPARILNGFFSNALSASLKWLWSLKTTTKTAITGRPMVKFEGGYTIETVFDGSKLGIEPHTVEVLPNGELLILDSANSNLYRISASLSLYTRPRLVAGSPEGYPGHVDGKPRDARMNHPKGLAVDDRGNIYISDTMNMAIRKISDAGVTTIAGGKWNRGGRHVDGPSEDARFSDDFDVVYVGSSCSLLVIDRGNRAIREIQLRFDDCAYQYGSGFPLGIAVLIGAGFFGYMLALLQHRVGTIVSSQNDLVRTDATLSSPYHKPMKMVRPPLIPTEEEQEKQDEGFFGSLRKLVHNGGVSVLEIFRKKSPRLHQQQPNHSMPWPTQESFVIPDEDEPPPIDTRAPTPRKTYPFMSKDAEKIHQLRQSRVFYNGWDADPQQQQHHRYLLSAPRTYYEQSHEKTNEIIFGAVQERDRTRESKTIKSVDNGNNSYDHQNIRSRSNSFNRGY >KJB77985 pep chromosome:Graimondii2_0_v6:12:34055306:34057704:-1 gene:B456_012G171100 transcript:KJB77985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPKGLAVDDRGNIYISDTMNMAIRKISDAGVTTIAGGKWNRGGRHVDGPSEDARFSDDFDVVYVGSSCSLLVIDRGNRAIREIQLRFDDCAYQYGSGFPLGIAVLIGAGFFGYMLALLQHRVGTIVSSQNDQDLVRTDATLSSPYHKPMKMVRPPLIPTEEEQEKQDEGFFGSLRKLVHNGGVSVLEIFRKKSPRLHQQQPNHSMPWPTQESFVIPDEDEPPPIDTRAPTPRKTYPFMSKDAEKIHQLRQSRVFYNGWDADPQQQQHHRYLLSAPRTYYEQSHEKTNEIIFGAVQERDRTRESKTIKSVDNGNNSYDHQNIRSRSNSFNRGY >KJB77986 pep chromosome:Graimondii2_0_v6:12:34055306:34059646:-1 gene:B456_012G171100 transcript:KJB77986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVSALCVIAPLLLLGAVSSAPTTSSPARILNGFFSNALSASLKWLWSLKTTTKTDTRPRLVAGSPEGYPGHVDGKPRDARMNHPKGLAVDDRGNIYISDTMNMAIRKISDAGVTTIAGGKWNRGGRHVDGPSEDARFSDDFDVVYVGSSCSLLVIDRGNRAIREIQLRFDDCAYQYGSGFPLGIAVLIGAGFFGYMLALLQHRVGTIVSSQNDQDLVRTDATLSSPYHKPMKMVRPPLIPTEEEQEKQDEGFFGSLRKLVHNGGVSVLEIFRKKSPRLHQQQPNHSMPWPTQESFVIPDEDEPPPIDTRAPTPRKTYPFMSKDAEKIHQLRQSRVFYNGWDADPQQQQHHRYLLSAPRTYYEQSHEKTNEIIFGAVQERDRTRESKTIKSVDNGNNSYDHQNIRSRSNSFNRGY >KJB77989 pep chromosome:Graimondii2_0_v6:12:34055544:34059467:-1 gene:B456_012G171100 transcript:KJB77989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVSALCVIAPLLLLGAVSSAPTTSSPARILNGFFSNALSASLKWLWSLKTTTKTAITGRPMVKFEGGYTIETVFDGSKLGIEPHTVEVLPNGELLILDSANSNLYRISASLSLYTRPRLVAGSPEGYPGHVDGKPRDARMNHPKGLAVDDRGNIYISDTMNMAIRKISDAGVTTIAGGKWNRGGRHVDGPSEDARFSDDFDVVYVGSSCSLLVIDRGNRAIREIQLRFDDCAYQYGSGFPLGIAVLIGAGFFGYMLALLQHRVGTIVSSQNDQDLVRTDATLSSPYHKPMKMVRPPLIPTEEEQEKQDEGFFGSLRKLVHNGGVSVLEIFRKKSPRLHQQQPNHSMPWPTQESFVIPDEDEPPPIDTRAPTPRKTYPFMSKDAEKIHQLRQSRVFYNGWDADPQQQQHHRYLLSAPRTYYEQSHEKTNEIIFGAVQERDRTRESKTIKSVDNGNNSYDHQNIRSRSNSFNRGY >KJB77987 pep chromosome:Graimondii2_0_v6:12:34055544:34059467:-1 gene:B456_012G171100 transcript:KJB77987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVSALCVIAPLLLLGAVSSAPTTSSPARILNGFFSNALSASLKWLWSLKTTTKTAITGRPMVKFEGGYTIETVFDGSKLGIEPHTVEVLPNGELLILDSANSNLYRISASLSLYTRPRLVAGSPEGYPGHVDGKPRDARMNHPKGLAVDDRGNIYISDTMNMAIRKISDAGVTTIAGGKWNRGGRHVDGPSEDARFSDDFDVVYVGSSCSLLVIDRGNRAIREIQLRFDDCAYQYGSGFPLGIAVLIGAGFFGYMLALLQHRVGTIVSSQNRFFYTLLKDQDLVRTDATLSSPYHKPMKMVRPPLIPTEEEQEKQDEGFFGSLRKLVHNGGVSVLEIFRKKSPRLHQQQPNHSMPWPTQESFVIPDEDEPPPIDTRAPTPRKTYPFMSKDAEKIHQLRQSRVFYNGWDADPQQQQHHRYLLSAPRTYYEQSHEKTNEIIFGAVQERDRTRESKTIKSVDNGNNSYDHQNIRSRSNSFNRGY >KJB77545 pep chromosome:Graimondii2_0_v6:12:31668205:31670587:1 gene:B456_012G142900 transcript:KJB77545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSPREENVYMAKLAEQAERYEEMVKFMENVVSAVPAPDELSVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNADHVAVIRDYRAKIEAELSEICAGILKLLDEKLVPAAGNGDSKVFYLKMKGDYHRYLAEFKTGDDRKSAAENTLTAYKSAQDIAVAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQVELQDFRILV >KJB77547 pep chromosome:Graimondii2_0_v6:12:31668205:31670588:1 gene:B456_012G142900 transcript:KJB77547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSPREENVYMAKLAEQAERYEEMVKFMENVVSAVPAPDELSVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNADHVAVIRDYRAKIEAELSEICAGILKLLDEKLVPAAGNGDSKVFYLKMKGDYHRYLAEFKTGDDRKSAAENTLTAYKSAQDIAVAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQDDGTDEIKEASKPEEEKQP >KJB77546 pep chromosome:Graimondii2_0_v6:12:31668205:31670587:1 gene:B456_012G142900 transcript:KJB77546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSPREENVYMAKLAEQAERYEEMVKFMENVVSAVPAPDELSVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNADHVAVIRDYRAKIEAELSEICAGILKLLDEKLVPAAGNGDSKVFYLKMKGDYHRYLAEFKTGDDRKSAAENTLTAYKSAQDIAVAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQVELQDFRILV >KJB78076 pep chromosome:Graimondii2_0_v6:12:34534404:34538361:-1 gene:B456_012G175900 transcript:KJB78076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSDRAGDDAERTAFRKTEKTYKLYYENSKSTKKKKQPKQVDLSEVLDFKSISESFNQNGELPPGVVHVICGFDRPIFGLENRPGFYFIPGALTLDEQCTWIRESLTNFPQPPNRTNHNVIYGPISNLFVAAKEGKVFVEEERSDNGLDSEPSASVSNGDSHRWKFYEEDIARSRGKTCKTVPASVLLRKLRWSTLGLQFDWSNRNYAVSLPHNKIPNALCRLAKRMAEPAMPIGEEFRPEAAIVNYFGSGDTLGGHLDDMEADWSKPIVSMSFGCKAIFLLGGKSRQDEPLAMFLRSGDVVLMAGEARECFHGVPRIFTDEENAEITPLELRFSDEDDRCFLDYIRTSRININIRQVF >KJB78077 pep chromosome:Graimondii2_0_v6:12:34535788:34538272:-1 gene:B456_012G175900 transcript:KJB78077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSDRAGDDAERTAFRKTEKTYKLYYENSKSTKKKKQPKQVDLSEVLDFKSISESFNQNGELPPGVVHVICGFDRPIFGLENRPGFYFIPGALTLDEQCTWIRESLTNFPQPPNRTNHNVIYGPISNLFVAAKEGKVFVEEERSDNGLDSEPSASVSNGDSHRWKFYEEDIARSRGKTCKTVPASVLLRKLRWSTLGLQFDWSNRNYAVSLPHNKIPNALCRLAKRMAEPAMPIGEEFRPEAAIVNYFGSGILRIQ >KJB75317 pep chromosome:Graimondii2_0_v6:12:4534646:4539829:-1 gene:B456_012G036700 transcript:KJB75317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGCKFNILKGIHVSIALLTLCFLVIMLSPWKNNPFLTTLLLAQQRFRQPSSDLLVDAPNNSSISRSRGGHTEEANKSFLKKEATRTGEHHNSSSSAEPVTTFSSEDNDSDEEELSPQNADCNFAKGRWVADSKRPLYSGFGCKQWLSVMWACRLTQRTDFSFEGYRWQPKNCKMKKFNRFSFLRRMRNKTIAFVGDSLGRQQFQSMMCMVSGGEHIIYVEDAAREYGLVKPRGAKRPDGWVYRFTKTNTTILYYWSASLSDLVPINSTDKASDVAMHLDRPPAFLRRYLHRFDLLVLNTGHHWNRGKFEGNRWVMHVNGKPNENKEFEDIRNAKNFTVHSFVRWLDSQLTSHPRLKAFFRTISPRHYRNGDWNTGGTCNNTIPLTNGIEVLQDGSNDKVVESAVRGTKVKLLDITAISELRDEAHMSGYSIRPTQSVNDCLHWCLPGIPDTWNEILIAQI >KJB77787 pep chromosome:Graimondii2_0_v6:12:33079173:33080363:1 gene:B456_012G157200 transcript:KJB77787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWTQLPKELLELISKRLGTHYDVIRFRSVCSTWRSAVTAHNRCRNRFAPRFPLLPISSQFSLSKRSVFLLGSPTTSTQTNASSPSSWVIKIEEDPMNGRVQLLNPLSRSRFDSLPDNFPKPLNLLDFRVLELGEEYVLHYLTHHSVGNLYMEKVVLSCLDDNVNDFIILTIYVSGKLAMFKSRNFYAVDNTGRTVVVGLDFETNLIAMPVFGGDKKFLVDSKGELLLVDMYLSIESEPGLSSSSAGFGFVEEYFENLALYMNERTVKFKVFKLDDVDKQWVEAKDLDDRVLFLGDGCTFSASIEDLSVCRGNSIIYVDNFFHSLGEEDGASEHCDVGVFDLESGSIGPLTKVPQSSELFWPPPHWISSTAASD >KJB76950 pep chromosome:Graimondii2_0_v6:12:26242619:26244836:1 gene:B456_012G114100 transcript:KJB76950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGVVAVYGNGAIAETHKSPFSVKVGLAQMLRGGVIMDVVTPDQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTLADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNVIEAVRHVRSVMGDIRALRNMDDDEVFSFAKKIQSPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPAKRARAIVQAVTHYSDPNILAEVSCGLGEAMVGLNLNDKKVERFAARSD >KJB76949 pep chromosome:Graimondii2_0_v6:12:26242619:26244187:1 gene:B456_012G114100 transcript:KJB76949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGVVAVYGNGAIAETHKSPFSVKVGLAQMLRGGVIMDVVTPDQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTLADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNVIEAVRHVRSVMGDIRALRNMDDDEVFSFAKKIQSPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPAKRARAIVQAVTHYSDPNILAEVSCGLGEAMVGLNLNDKKVERFAARSD >KJB75204 pep chromosome:Graimondii2_0_v6:12:3773452:3774285:1 gene:B456_012G030700 transcript:KJB75204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFFENTVMAMQTGVGLSKILILAGANTVPTEFLTSILLFYFSYCFNFDFNLLISFPGYTGTVLLKNGKLSDILGELQSLVKGLEKSGEQADDSDALLA >KJB75349 pep chromosome:Graimondii2_0_v6:12:4934488:4941795:-1 gene:B456_012G039800 transcript:KJB75349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRYIAVGVYCQRKSRYSYSKKSSYLPDLRKLIKRRKMGLKQTIRSLDAFPRTEEHLLQKTQSGALVSVVGLVIMATLFIHELTYYLTTYTVHEMSVDLKRGETLPIHINMTFPSLPCDVASIDAIDLSGKHEVDLDTNIWKLRLNSHGHIIGTKYLSDLVENKHTTRNHDDKEHHDGSAKKPHKFSFDKDAENMINKVKKALENGEGCRVYGVLDVQRVAGNFHISVHALNIYVAQMIFGGAKHVNVSHMIHDLSFGPKYPGLHNPLDDTVRILHDTSGTFKYYIKRASIKLLRRLHSNIGCLQIVPTEYRYISKEVLPTNQFSVSEYFSPMNEFDRTWPAVYFLYDLSPITVTIKEECRSFLHFITRLSAVLGGTFALTGMLDRWMYRLIKALTTPSARGAYR >KJB75345 pep chromosome:Graimondii2_0_v6:12:4934155:4941685:-1 gene:B456_012G039800 transcript:KJB75345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKQTIRSLDAFPRTEEHLLQKTQSGALVSVVGLVIMATLFIHELTYYLTTYTVHEMSVDLKRGETLPIHINMTFPSLPCDVASIDAIDLSGKHEVDLDTNIWKLRLNSHGHIIGTKYLSDLVENKHTTRNHDDKEHHDGSAKKPHKFSFDKDAENMINKVKKALENGEGCRIFGGAKHVNVSHMIHDLSFGPKYPGLHNPLDDTVRILHDTSGTFKYYIKIVPTEYRYISKEVLPTNQFSVSEYFSPMNEFDRTWPAVYFLYDLSPITVTIKEECRSFLHFITRLSAVLGGTFALTGMLDRWMYRLIKALTTPSARGAYR >KJB75346 pep chromosome:Graimondii2_0_v6:12:4934324:4941658:-1 gene:B456_012G039800 transcript:KJB75346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKQTIRSLDAFPRTEEHLLQKTQSGALVSVVGLVIMATLFIHELTYYLTTYTVHEMSVDLKRGETLPIHINMTFPSLPCDVASIDAIDLSGKHEVDLDTNIWKLRLNSHGHIIGTKYLSDLVENKHTTRNHDDKEHHDGSAKKPHKFSFDKDAENMINKVKKALENGEGCRVYGVLDVQRVAGNFHISVHALNIYVAQMIFGGAKHVNVSHMIHDLSFGPKYPGLHNPLDDTVRILHDTSGTFKYYIKIVPTEYRYISKEVLPTNQFSVSEYFSPMNEFDRTWPAVYFLYDLSPITVTIKEECRSFLHFITRLSAVLGGTFALTDRWMYRLIKALTTPSARGAYR >KJB75348 pep chromosome:Graimondii2_0_v6:12:4934488:4940253:-1 gene:B456_012G039800 transcript:KJB75348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDLKRGETLPIHINMTFPSLPCDVASIDAIDLSGKHEVDLDTNIWKLRLNSHGHIIGTKYLSDLVENKHTTRNHDDKEHHDGSAKKPHKFSFDKDAENMINKVKKALENGEGCRVYGVLDVQRVAGNFHISVHALNIYVAQMIFGGAKHVNVSHMIHDLSFGPKYPGLHNPLDDTVRILHDTSGTFKYYIKIVPTEYRYISKEVLPTNQFSVSEYFSPMNEFDRTWPAVYFLYDLSPITVTIKEECRSFLHFITRLSAVLGGTFALTGMLDRWMYRLIKALTTPSARGAYR >KJB75344 pep chromosome:Graimondii2_0_v6:12:4934111:4941862:-1 gene:B456_012G039800 transcript:KJB75344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRYIAVGVYCQRKSRYSYSKKSSYLPDLRKLIKRRKMGLKQTIRSLDAFPRTEEHLLQKTQSGALVSVVGLVIMATLFIHELTYYLTTYTVHEMSVDLKRGETLPIHINMTFPSLPCDVASIDAIDLSGKHEVDLDTNIWKLRLNSHGHIIGTKYLSDLVENKHTTRNHDDKEHHDGSAKKPHKFSFDKDAENMINKVKKALENGEGCRVYGVLDVQRVAGNFHISVHALNIYVAQMIFGGAKHVNVSHMIHDLSFGPKYPGLHNPLDDTVRILHDTSGTFKYYIKIVPTEYRYISKEVLPTNQFSVSEYFSPMNEFDRTWPAVYFLYDLSPITVTIKEECRSFLHFITRLSAVLGGTFALTGMLDRWMYRLIKALTTPSARGAYR >KJB75343 pep chromosome:Graimondii2_0_v6:12:4934111:4941685:-1 gene:B456_012G039800 transcript:KJB75343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKQTIRSLDAFPRTEEHLLQKTQSGALVSVVGLVIMATLFIHELTYYLTTYTVHEMSVDLKRGETLPIHINMTFPSLPCDVASIDAIDLSGKHEVDLDTNIWKLRLNSHGHIIGTKYLSDLVENKHTTRNHDDKEHHDGSAKKPHKFSFDKDAENMINKVKKALENGEGCRVYGVLDVQRVAGNFHISVHALNIYVAQMIFGGAKHVNVSHMIHDLSFGPKYPGLHNPLDDTVRILHDTSGTFKYYIKIVPTEYRYISKEVLPTNQFSVSEYFSPMNEFDRTWPAVYFLYDLSPITVTIKEECRSFLHFITRLSAVLGGTFALTGMLDRWMYRLIKALTTPSARGAYR >KJB75347 pep chromosome:Graimondii2_0_v6:12:4934324:4941862:-1 gene:B456_012G039800 transcript:KJB75347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRYIAVGVYCQRKSRYSYSKKSSYLPDLRKLIKRRKMGLKQTIRSLDAFPRTEEHLLQKTQSGALVSVVGLVIMATLFIHELTYYLTTYTVHEMSVDLKRGETLPIHINMTFPSLPCDVASIDAIDLSGKHEVDLDTNIWKLRLNSHGHIIGTKYLSDLVENKHTTRNHDDKEHHDGSAKKPHKFSFDKDAENMINKVKKALENGEGCRVYGVLDVQRVAGNFHISVHALNIYVAQMIFGGAKHVNVSHMIHDLSFGPKYPGLHNPLDDTVRILHDTSGTFKYYIKIVPTEYRYISKEVLPTNQFSVSEYFSPMNEFDRTWPAVYFLYDLSPITVTIKEECRSFLHFITRLSAVLGGTFALTDRWMYRLIKALTTPSARGAYR >KJB75732 pep chromosome:Graimondii2_0_v6:12:7031531:7035822:1 gene:B456_012G053900 transcript:KJB75732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTVSLSVSHLPGCKAHDFSHLPKPTNKDNPSSKASSDIKFSKRNLLLSSMASGLIGRGVLVGEPVKAEPETPMESSSSRLSYSRFLQYLDEGGVKTVDLFENGTVAIAEIYNPALEKIQRVKVQLPGLPQELVRKMKEKNVDFAAHPMQMNWSAALFGLLGNLAFPLVFLGTLLLSSSSVNNPGGGPNLPFGLGRSKAKFQMEPNTGVTFNDVAGIDEAKKDFQEVVEFLKTPEKFAAIGATIPKGVLLIGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKANSPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFTGNTGVIVIAATNRPEILDSALLRPGRFDRQVTVGLPDIRGREEILKVHSDNKRLDKDVSLSVIAMRTPGFSGADLANLMNEAAILAGRRSKDKITMKEIDDSIDRIVAGMEGTKMTDGKSKILVAYHEVGHAVCATLTPGHDPVQKVTLIPRGQARGLTWFIPIEDSDLISKQQLFARVVGGLGGRAAEEVIFGEPEITTGAAGDLQQVTQIARQMVTKFGMSEIGPWALTDPAVQSSDVVLRMLARNSMSEKLAEDIDSSVKKITETAYEIAKNHIRNNREAIDKLVEVLLEKETLTGDEFRAILSEFVDESVIKVDRTPVREMINA >KJB77418 pep chromosome:Graimondii2_0_v6:12:30897556:30904001:1 gene:B456_012G135800 transcript:KJB77418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGITGYSNGYHQNQQQHSAEEKRGGGRRRRGGRRSRHPPGFRVSDHHHYHYNNDDDDDKQEQKAPPPCTDFDMAYFHSYAHVGIHEEMIKDRVRTDTYRAAIMEHQSFIEGKVVMDVGCGTGILSIFCAQAGAKRVYAVDASDIALQANEVVKANNLAEKIIVLHGRVEDVEIDEEVDVIISEWMGYMLLYESMLGSVITARDRWLKHGGLILPSNATLYMAPITHPDRYNDSIEFWRNVYGIDMSAMLQLAKQCAFEEPCVETITGENVLTWPHVVKHVDCYTIQHNELESVSTRYKFQSMMRAPLHGFAFWFDVEFNGPTNSPTNNHIPSLLTGASNNNHIDGIQKKKRANPNEALVLSTAPEDPPTHWQQTLIYFYDPIEVEQDQIIEGSLTLSQSKENRRFMNIHLEYSSGGRSYVKESVMR >KJB77419 pep chromosome:Graimondii2_0_v6:12:30897560:30904001:1 gene:B456_012G135800 transcript:KJB77419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGITGYSNGYHQNQQQHSAEEKRGGGRRRRGGRRSRHPPGFRVSDHHHYHYNNDDDDDKQEQKAPPPCTDFDMAYFHSYAHVGIHEEMIKVVMDVGCGTGILSIFCAQAGAKRVYAVDASDIALQANEVVKANNLAEKIIVLHGRVEDVEIDEEVDVIISEWMGYMLLYESMLGSVITARDRWLKHGGLILPSNATLYMAPITHPDRYNDSIEFWRNVYGIDMSAMLQLAKQCAFEEPCVETITGENVLTWPHVVKHVDCYTIQHNELESVSTRYKFQSMMRAPLHGFAFWFDVEFNGPTNSPTNNHIPSLLTGASNNNHIDGIQKKKRANPNEALVLSTAPEDPPTHWQQTLIYFYDPIEVEQDQIIEGSLTLSQSKENRRFMNIHLEYSSGGRSYVKESVMR >KJB74571 pep chromosome:Graimondii2_0_v6:12:32506316:32506833:-1 gene:B456_012G151200 transcript:KJB74571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFIKVLTKIDIERTLSLPDSCLQAAPQLQRSHGGEKLQVKDDVGILWNFHCTIRSGVFPKLDIVSGWIQFVRFKQLNTGDAIVLYKDDDTLTGPHYKIEVLKGHGDDYSSFAQMYIKSCYAS >KJB77727 pep chromosome:Graimondii2_0_v6:12:32699109:32699630:-1 gene:B456_012G153100 transcript:KJB77727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNPKVFFEVTIGGEPAGRIVMELFADVTPRTAENFRALCTGEKGVGRSGKPLHYKGSKFHRVIPDFICQGGDFTAGNGTGGESIYGSNFADENFIKKHIGPGVLSMANAGPGTNKSQFFICTTKAEWLDGKHVVFGRVVEGMKVVRDIEKVGSSRGKTTKPVVIADCGQST >KJB77761 pep chromosome:Graimondii2_0_v6:12:32964735:32968136:1 gene:B456_012G155900 transcript:KJB77761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVSLICGAKTQSNPENTVGILTMAGKGVRVLATPTSDLGKILSCMHGLEMGGEMNLAAGIQIAQLALKHRQNKNQQQRIIVFAGSPIKHEKKALEMIGKKLKKNSVALDIVDFGEDEDGKPEKLEALLTSVNNNDTSHIVHVPAGQNALSDVLISTPVFTGDGEGGSGFAAAAAAAAAGGVSDFDFGVDPNIDPELALALRVSMEEERARQEAAAKRAAEEATRQEKGEEAQPQSDSQNATMNATEKASDPMDEDDALLKQALALSMNIPGSDSSAGDAQMSEATNDDQEFALALQMSMQDGSKDSSAQSDVSKVLGDQSFMSSILSSLPGVDPNDPKVKDLLASLPSQSEPQEKKDEDEQPKDDK >KJB77762 pep chromosome:Graimondii2_0_v6:12:32964738:32967294:1 gene:B456_012G155900 transcript:KJB77762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVSLICGAKTQSNPENTVGILTMAGKGVRVLATPTSDLGKILSCMHGLEMGGEMNLAAGIQIAQLALKHRQNKNQQQRIIVFAGSPIKHEKKALEMIGKKLKKNSVALDIVDFGEDEDGKPEKLEALLTSVNNNDTSHIVHVPAGQNALSDVLISTPVFTGDGEGGSGFAAAAAAAAAGGVSDFDFGVDPNIDPELALALRVSMEEERARQEAAAKRAAEEATRQEKGEEAQPQSDSQNATMNATEKASDPMDEDDALLKQALALSMNIPGSDSSAGDAQMSEATNDDQEFALGMSYFIGCFNVVGCILHIKLKNDTRFTKCEKWSM >KJB76223 pep chromosome:Graimondii2_0_v6:12:12621256:12622858:-1 gene:B456_012G078300 transcript:KJB76223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSVHVNEATIVTPSEPTPTGVLSLSALDSQLFLRFTIEYLLVYKPHPASDPRATTARLKAALAKALVPYYPLAGRVRASSADASTGLEVVCRAQGALFIEAVSDLNVHEFDNAPRFVTQWRKLLSFHVADVLKGAPPLVVQLTWLKDGNAALGVGFNHCLCDGIGSAEFLNSFADFASTSQSKFPPNPIWDRHLLNPPPFKPSTDNNNNSSSHPEFNRVPDLCGFMSRFSNERLVPTSFIFDKTSLNELKKIVFSTSRVGESNYTSFEVLSAHIWRSWARALNLPSNQILKLLFSINVRERVKPSLPSGYYGNAFVLGCAQTSVKDLTEKGLGYAAMLVKRAKERVDGEYVKSVVESVSQEGASPDSVGVLVLSQWSRLGLEKVELGGMGKPIHVGPICCDRYCLLLPVFNQTDAVKAMVAVPTTAAQRYEDLLRSFSS >KJB76690 pep chromosome:Graimondii2_0_v6:12:22134706:22136182:1 gene:B456_012G1010002 transcript:KJB76690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKHALHHTPPSSGVQSLSVDSQPPSSSSTPQMSLEEKFKIIRSVGEECIQEDELLNLLNLKPEPICYDGFEPSGRMHIAQKKLIMDLLCFFLNVEFLWSSDEINSRASEYWPLVMDIARRNKLLRIMRCCQIMGRSEQDELLAAQILYPCMQCADIFFLK >KJB75102 pep chromosome:Graimondii2_0_v6:12:3069423:3071343:1 gene:B456_012G024400 transcript:KJB75102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRNRARTRTRSQEHFFFKKGSTVSRRGDKSKGFFSGKMTDQEKLTEQLNPFLIHVEGKLVGLTLEMQKSDQKMVPPAHLNPKSSNHMDALAKTREAKIKRLQEIILEANLELARLKKKRRLE >KJB75101 pep chromosome:Graimondii2_0_v6:12:3069423:3071343:1 gene:B456_012G024400 transcript:KJB75101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRNRARTRTRSQEHFFFKKGSTVSRRGDKSKGFFSGKVPPAHLNPKSSNHMDALAKTREAKIKRLQEIILEANLELARLKKKRRLE >KJB77460 pep chromosome:Graimondii2_0_v6:12:33784351:33784788:-1 gene:B456_012G167500 transcript:KJB77460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEKELFKKRLTKTDVEKRLSIPSRKKKCFLNFRGKHMAEFKVKDKHGKEWKFNCSTRKKDYPKPVLTSGWLLFVQWWNLAVGDEVKVYGKMDQAGEEHYRIEVAVATDSTADGTVNDDQTETHFFEFISLKPDLNVGKSKLIN >KJB75324 pep chromosome:Graimondii2_0_v6:12:4613158:4615090:-1 gene:B456_012G037400 transcript:KJB75324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISKEKKTEQKSKEKMLPLPLKLVRQAITHHPLLLSQNTHHRRRRSRRRDHHHRDIDEEEDDDSENDACNSKPSSYNFYHHSSKPTKPKSKTPFLFFLPTRELIGDTYRLANIAREMGMDLYPTPSLSHIIFSFSSSSPSPSSSSSISSASSSSASSSALSLSSSFSMPLPTGAVLISFPSLSSSSLSHLRSFVSLSKGLFKLVFITTDSDYDPKSDDARNWDCCSFSLFSRRTGDRIESMQSFSRALTGMGWTLYSTRKNPSLDSLNTATKSVHLFRKVDSGRVRGGRGNGEHRIRELRLPSLDFRNAPLKILQYIVLMTDDVFYLG >KJB75322 pep chromosome:Graimondii2_0_v6:12:4613695:4615188:-1 gene:B456_012G037400 transcript:KJB75322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISKEKKTEQKSKEKMLPLPLKLVRQAITHHPLLLSQNTHHRRRRSRRRDHHHRDIDEEEDDDSENDACNSKPSSYNFYHHSSKPTKPKSKTPFLFFLPTRELIGDTYRLANIAREMGMDLYPTPSLSHIIFSFSSSSPSPSSSSSISSASSSSASSSALSLSSSFSMPLPTGAVLISFPSLSSSSLSHLRSFVSLSKGLFKLVFITTDSDYDPKSDDARNWDCCSFSLFSRRTGDRIESMQSFSRALTGMGWTLYSTRKNPSLDSLNTATKSVHLFRKVDSGRVRGGRGNGEHRIRELRLPSLDFRNAPLKILQYIVLMTDDVFYLG >KJB75323 pep chromosome:Graimondii2_0_v6:12:4612812:4615090:-1 gene:B456_012G037400 transcript:KJB75323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISKEKKTEQKSKEKMLPLPLKLVRQAITHHPLLLSQNTHHRRRRSRRRDHHHRDIDEEEDDDSENDACNSKPSSYNFYHHSSKPTKPKSKTPFLFFLPTRELIGDTYRLANIAREMGMDLYPTPSLSHIIFSFSSSSPSPSSSSSISSASSSSASSSALSLSSSFSMPLPTGAVLISFPSLSSSSLSHLRSFVSLSKGLFKLVFITTDSDYDPKSDDARNWDCCSFSLFSRRTGDRIESMQSFSRALTGMGWTLYSTRKNPSLDSLNTATKSVHLFRKVDSGRVRGGRGNGEHRIRELRLPSLDFRNAPLKILQYIVLMTDDVFYLG >KJB77552 pep chromosome:Graimondii2_0_v6:12:31687438:31689300:1 gene:B456_012G143200 transcript:KJB77552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKMSKGLKRMLLLLNCAMLGLGNVGGPLLMRLYFLKGGKGVWISSCLETAGWPFMMLPLTVSYLYRRRKEGPGTKLVLIKAPVLLASVVLGVLTGVDDFLYAYGVARLPISTSALIISTQLAFTATFAFILVKPKFTPFTINSVFLLTLAAVVLALHTSSDRPANESKLQYFLGFFMTLAASALYGFVLPAIELTYKKAKQTITYSLVMESQMVMSFSATVFCCIGMLFHGDFKAIPREASEYTLGQSTYFVVLVLNAILWQLFFMGAVGVIFSASSLLSGILIATLLPVTESLAVVLYHEKFQVEKAISLVLSLWGSLNYFYGEFHENNKIKQKQDAETDVA >KJB77553 pep chromosome:Graimondii2_0_v6:12:31687081:31689290:1 gene:B456_012G143200 transcript:KJB77553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKMSKGLKRMLLLLNCAMLGLGNVGGPLLMRLYFLKGGKGVWISSCLETAGWPFMMLPLTVSYLYRRRKEGPGTKLVLIKAPVLLASVVLGVLTGVDDFLYAYGVARLPISTSALIISTQLAFTATFAFILVKPKFTPFTINSVFLLTLAAVVLALHTSSDRPANESKLQYFLGFFMTLAASALYGFVLPAIELTYKKAKQTITYSLVMESQMVMSFSATVFCCIGMLFHGDFKAIPREASEYTLGQSTYFVVLVLNAILWQLFFMGAVGVIFSASSLLSGILIATLLPVTESLAVVLYHEKFQVEKAISLVLSLWGSLNYFYGEFHENNKIKQKQDAETDVA >KJB77550 pep chromosome:Graimondii2_0_v6:12:31687026:31689337:1 gene:B456_012G143200 transcript:KJB77550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKMSKGLKRMLLLLNCAMLGLGNVGGPLLMRLYFLKGGKGVWISSCLETAGWPFMMLPLTVSYLYRRRKEGPGTKLVLIKAPVLLASVVLGVLTGVDDFLYAYGVARLPISTSALIISTQLAFTATFAFILVKPKFTPFTINSVFLLTLAAVVLALHTSSDRPANESKLQYFLGFFMTLAASALYGFVLPAIELTYKKAKQTITYSLVMESQMVMSFSATVFCCIGMLFHGDFKAIPREASEYTLGQSTYFVVLVLNAILWQLFFMGAVGVIFSASSLLSGILIATLLPVTESLAVVLYHEKFQVEKAISLVLSLWGSLNYFYGEFHENNKIKQKQDAETDVA >KJB77551 pep chromosome:Graimondii2_0_v6:12:31687026:31689337:1 gene:B456_012G143200 transcript:KJB77551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKMSKGLKRMLLLLNCAMLGLGNVGGPLLMRLYFLKGGKGVWISSCLETAGWPFMMLPLTVSYLYRRRKEGPGTKLVLIKAPVLLASVVLGVLTGVDDFLYAYGVARLPISTSALIISTQLAFTATFAFILVKPKFTPFTINSVFLLTLAAVVLALHTSSDRPANESKLQYFLGFFMTLAASALYGFVLPAIELTYKKAKQTITYSLVMESQMVMSFSATVFCCIGMLFHGDFKAIPREASEYTLGQSTYFVVLVLNAILWQLFFMGAVGVIFSASSLLSGILIATLLPVTESLAVVLYHEKFQVEKAISLVLSLWGSLNYFYGEFHENNKIKQKQDAETDVA >KJB74892 pep chromosome:Graimondii2_0_v6:12:1502293:1504386:1 gene:B456_012G013200 transcript:KJB74892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQPVKMRAVVYALSPFQQKIMSGLWKDLPAKITHKVSENWISATLLLTPLVGTYTYVQNFKEKEKLEHRY >KJB74894 pep chromosome:Graimondii2_0_v6:12:1502326:1505517:1 gene:B456_012G013200 transcript:KJB74894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQPVKMRAVVYALSPFQQKIMSGLWKDLPAKITHKVSENWISATLLLTPLVGTYTYVQNFKEKEKLEHSGIIQKKVGFKP >KJB74893 pep chromosome:Graimondii2_0_v6:12:1502448:1504762:1 gene:B456_012G013200 transcript:KJB74893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQPVKMRAVVYALSPFQQKIMSGLWKDLPAKITHKVSENWISATLLLTPLVGTYTYVQNFKEKEKLEHR >KJB74827 pep chromosome:Graimondii2_0_v6:12:1004283:1007107:-1 gene:B456_012G009300 transcript:KJB74827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYKNASKHIAVLAFPFGTHAAPLLNITRQLSDACPDTMFSFLSTQQSNNSTFSKNHDKIKPFNVWDGLPEGYSFRGNPHEPVDYFLKAVPGSFIKAIDAVVSETGKPVDCLITDAFYAFGADIADELNIPWVAVWMSGPRALFLHLQTDVIRQHVGINNPEDKPLDFPEFSGFRVTDLPNGIASGDIDAPMPALLHKIGVSLSRATAIVANSYEELDNTVVNMLKLRFSMFLNVGPFTLVSISSSTVDDSHGCLDWVSKHEAASVVYISFGSLITPPPHELQALCEALEECEFPFLWSLKGNPEKQLPQGFLERTSSKGKIVPWAPQQQILEHPSVGVFVSHGGWNSVLESINGGVPMICRPFFGDQQLNTRTVEVVWGFALGLEGGTLTKGGAKKALKLILCSQEGKKMREKIRVQKELVCKAVKPNGSSIEDFKTLVKLLQHLPPS >KJB76729 pep chromosome:Graimondii2_0_v6:12:23120018:23120961:-1 gene:B456_012G103600 transcript:KJB76729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAEFSQISLLTMSNSELILVNKDDDQKRIVKRPPLEFQDECCCEATAIYNYPSNDIPGDDDREPAVVQDDEEKKGIEKDKKKHICSGELKVRVDDDDDGFKTPTSMDHKIPEKKQCPPAPRKPKANKRKASPSASICSVSEEVESWFAASLVDDLHRKMKKARTQENGSC >KJB76730 pep chromosome:Graimondii2_0_v6:12:23119923:23120955:-1 gene:B456_012G103600 transcript:KJB76730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAEFSQISLLTMSNSELILVNKDDDQKRIVKRPPLEFQDECCCEATAIYNYPSNDIPGDDDREPAVVQDDEEKKGIEKDKKKHICSGELKVRVDDDDDGFKTPTSMDHKIPEKKQCPPAPRKPKANKRKASPSASICSVSEEVESWFAASLVDDLHRKMKKARTQENGSC >KJB76728 pep chromosome:Graimondii2_0_v6:12:23120186:23120820:-1 gene:B456_012G103600 transcript:KJB76728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTHIFSLISLILMYAEFSQISLLTMSNSELILVNKDDDQKRIVKRPPLEFQDECCCEATAIYNYPSNDIPGDDDREPAVVQDDEEKKGIEKDKKKHICSGELKVRVDDDDDGFKTPTSMDHKIPEKKQCPPAPRKPKANKRKASPSASICSVSEEVESWFAASLVDDLHRKMKKARTQENGSC >KJB76727 pep chromosome:Graimondii2_0_v6:12:23119863:23121040:-1 gene:B456_012G103600 transcript:KJB76727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIFHSLFKISMLCCQPISFPLLEFSQISLLTMSNSELILVNKDDDQKRIVKRPPLEFQDECCCEATAIYNYPSNDIPGDDDREPAVVQDDEEKKGIEKDKKKHICSGELKVRVDDDDDGFKTPTSMDHKIPEKKQCPPAPRKPKANKRKASPSASICSVSEEVESWFAASLVDDLHRKMKKARTQENGSC >KJB75668 pep chromosome:Graimondii2_0_v6:12:6635760:6638958:1 gene:B456_012G051000 transcript:KJB75668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMEAKYHEKAMETGSLIVSACGFDSIPAEMGVMFNTRQWVAPAVPNHVTAYVSLESDKRIVGNFATYESAVLGVANMDKLQQLRRSRPKKPRPVIPGPRLPNGPTIEYQEKIGLRALKLPSADAVVVRRTLVTLMENPHGLPGINESPEHIGKREAYWSSVKPAHFGVKIASKSLLGIYRILGVGVFIGLLCRFSFGRWLLLKFPSFFSFGWFRKTGPSEDEVRSASFKMWFVGYGFSDSNLASERNSKPDMEIITRVMGPEIGYVTTPISLVQCALIVLSQRENLPKGGVYTPGIVFGPDLQERLQENGISFDVVSKTALPSHAPA >KJB75667 pep chromosome:Graimondii2_0_v6:12:6635735:6638958:1 gene:B456_012G051000 transcript:KJB75667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSTAKALDPLKGKKTSAVKFSLLFSSILIFRENQKKPPKTMQTAQPPNPRPLYDIIILGASGFTGKYVVKEALKFLNTPSSPLKTLALAGRSREKLVKTLQWAAHPSSPPNDVSVIIADTTDLPSLSSLCNQTKLLLNCVGPYRIHGEPVVAACASSGCDYLDITGEPEFMEEMEAKYHEKAMETGSLIVSACGFDSIPAEMGVMFNTRQWVAPAVPNHVTAYVSLESDKRIVGNFATYESAVLGVANMDKLQQLRRSRPKKPRPVIPGPRLPNGPTIEYQEKIGLRALKLPSADAVVVRRTLVTLMENPHGLPGINESPEHIGKREAYWSSVKPAHFGVKIASKSLLGIYRILGVGVFIGLLCRFSFGRWLLLKFPSFFSFGWFRKTGPSEDEVRSASFKMWFVGYGFSDSNLASERNSKPDMEIITRVMGPEIGYVTTPISLVQCALIVLSQRENLPKGGVYTPGIVFGPDLQERLQENGISFDVVSKTALPSHAPA >KJB74776 pep chromosome:Graimondii2_0_v6:12:760613:764981:-1 gene:B456_012G006500 transcript:KJB74776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFKNMLKPKPNPQQLLRDWQRKLRQECRNIERQIRDVQREEKSVQKAIREAAKRNDMGSAKALAKEIVMSRKAVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPQMATTMQEFSKEMTKVILKFVIVLCLCCQFLFHNIHHPCWQYSCLSCSKSLFSQLIGN >KJB74775 pep chromosome:Graimondii2_0_v6:12:760600:765224:-1 gene:B456_012G006500 transcript:KJB74775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFKNMLKPKPNPQQLLRDWQRKLRQECRNIERQIRDVQREEKSVQKAIREAAKRNDMGSAKALAKEIVMSRKAVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPQMATTMQEFSKEMTKAGVIEEIVNDAVDTALDSEDIEEETEEEVNKVLSEIAGETAAQLPEAVRKERARVPTQRETTSHQEEAIAEGADDEEELEEIRARLARVRS >KJB76597 pep chromosome:Graimondii2_0_v6:12:18822932:18825948:1 gene:B456_012G096100 transcript:KJB76597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTHRRSSTKVSSLPTTSSPMPISSTKKTKKNHFNWEIVLITLATGPFMVAAGGISNIFLHRLAKNIQDAYAEAASIAEQSHYLTPKPIFYGFFKEEKC >KJB76595 pep chromosome:Graimondii2_0_v6:12:18635470:18637683:-1 gene:B456_012G096000 transcript:KJB76595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNSTPSPSEACPETMDILSRAWCDFAVQALQPELHDQSIVILDNPTKKFESDSPISFTWQKMEKSIKIDATDFKSSLPPWKSNDVKSWIWMQQAMHPELNYNSCLRKKWMPWKIVPFKGISIKKWFKEMKAKRKEEERLQRAEVHAAISVAGLAAALAAIAAENSKRNDYNPTKEAAIASAAALVATQCAKVAEAMGAKKEQIGSVIGSAMSGTSASDILTLTASANASLRGAGTLKARTECKNRSSGGAPILPIEDNNDLPFEFEKCRSILAKGAELAVETPDGKYMVRSVSIVLDGESKVILKLRKLRLLKSKKECIVLDQHAELYRDPEAEETTDTCYVIVLTTNFGTIKLDMVDDYLCYKTWATTIHHMLMLSTSYTKYQLQFPKN >KJB76594 pep chromosome:Graimondii2_0_v6:12:18635287:18638052:-1 gene:B456_012G096000 transcript:KJB76594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNSTPSPSEACPETMDILSRAWCDFAVQALQPELHDQSIVILDNPTKKFESDSPISFTKMEKSIKIDATDFKSSLPPWKSNDVKSWIWMQQAMHPELNYNSCLRKKWMPWKIVPFKGISIKKWFKEMKAKRKEEERLQRAEVHAAISVAGLAAALAAIAAENSKRNDYNPTKEAAIASAAALVATQCAKVAEAMGAKKEQIGSVIGSAMSGTSASDILTLTASANASLRGAGTLKARTECKNRSSGGAPILPIEDNNDLPFEFEKCRSILAKGAELAVETPDGKYMVRSVSIVLDGESKVILKLRKLRLLKSKKECIVLDQHAELYRDPEAEETTDTCYVIVLTTNFGTIKLDMVDDYLCYKTWATTIHHMLMLSTSYTKYQLQFPKN >KJB76596 pep chromosome:Graimondii2_0_v6:12:18636183:18638052:-1 gene:B456_012G096000 transcript:KJB76596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNSTPSPSEACPETMDILSRAWCDFAVQALQPELHDQSIVILDNPTKKFESDSPISFTKMEKSIKIDATDFKSSLPPWKSNDVKSWIWMQQAMHPELNYNSCLRKKWMPWKIVPFKGISIKKWFKEMKAKRKEEERLQRAEVHAAISVAGLAAALAAIAAENSKRNDYNPTKEAAIASAAALVATQCAKVAEAMGAKKEQIGSVIGSAMSGTSASDILTLTASANASLRGAGTLKARTECKNRSSGGAPILPIEDNNDLPFEFEKCRSILAKGAELAVETPDG >KJB77125 pep chromosome:Graimondii2_0_v6:12:27704353:27705310:1 gene:B456_012G121500 transcript:KJB77125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFLNAKSVRLRSHHDKYLVADEDEESVTQDRNGSSKNARWTVEFVPGSQTIIRLKSSYNRYLTASNQPFLLGMTGRKVIQTLPRRLDSSVEWEPIREGCEVKLKTRYGNFLRANGGLPPWRNSVTHDIPHRTATQDWVLWDVDIVEIQEKPSANIQHPPPIPHSDSLDFDSPSSISGKSAHFSRQESSDSYVGSPPKSEGRTIYFHVADDSGEVDDDAIEGYSFSFKGNGVDQLAHKLKEESGLEDVVVCTRSPLNGKLFPLRLQLPPNNADMHVVLVPSASKG >KJB77588 pep chromosome:Graimondii2_0_v6:12:31980879:31983070:-1 gene:B456_012G145600 transcript:KJB77588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYSYNSYPDSGDSSPRSREIDFENPPPWEDQAQQPQSYKAKFMCSYGGKIHPRPHDNQLSYIGGETKILAADRNIKFSAMISKLSALCGGGDGDFSFKYQLPGEDLDALISVTNDDDLEHMMLEYDRLYRASAKPARMRLFVFPATGSLNYGSEGAKLDRERFVEALNSGTSQGGEKTAAIPQSNMDFLFGLEKGMAPPPPVKIRDPVAEPVIQPPPPPVPEVVGTDHALSPGEIQRQIQELQRLQIRDQEHLQELQRLQIRDQEQLAMYRKKNEEAASFPYTGEYYAQKLPEKPQPVTVQQHVPATAGIWPDKQISSGGFPATVTAAPGPPPPADHPVYMIPAPVPGSAPANLYHAPPPVPAPAPASASAAPQMVRPVTGQAGQGYYTNLQRMPHEVYREQPVYNMVAQPQPPPTQHQPISTIPQQVVRPPSSGVTDAGYGHVAAYDRQAYYTAQGGVVPPQYQGVGVAVSGDKRGNVDGKVVYKVSQGSV >KJB75706 pep chromosome:Graimondii2_0_v6:12:6833486:6835761:-1 gene:B456_012G052500 transcript:KJB75706 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB16 [Source:Projected from Arabidopsis thaliana (AT5G15310) UniProtKB/TrEMBL;Acc:A0A178UJ10] MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGRGSWRSLPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPITHKPKNDALLSTTDGQSKKAANLSHMAQWESARLEAEARLVRESKIRSHSLQHHHHFNPPAFTLESPTSTLSVSENAPPIITGLGVSPMPMIEFVGTTSGSSETAGIVKEEGEQEWKELGSSSNLADYKEGMGNSLSSFTSSLQDMTISIEGGWTPESLRPNNINVNNVGNIMEEGFTNLLLNDSFNRSLSDSGKESDENSGGSGDGSDYYQDNKNYWNSILNLVNSSPSDSPMF >KJB77241 pep chromosome:Graimondii2_0_v6:12:29240326:29242635:-1 gene:B456_012G126900 transcript:KJB77241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGKDILHKMKAGLFSSGADTGKGKSKMSKHTTHGFHCLKGKSNHDMEDYVVSEFKKKEDSELGLFAIFDGHLGHDVAKYLQSHLFDNILKQHDFWTNMEDAIRRAYRSTDAEILDKAKVLGRGGSTAVTAILINSQKLVVGNVGDSRAVMCKNGVAEQLSVDHEPSTEKRLIESRGGFVSNLPGDVPRVDGQLAVARAFGDKSLKLHLSSEPDVKVVTIDDDTEFIILASDGIWKDRTIRAFKASIHN >KJB77242 pep chromosome:Graimondii2_0_v6:12:29240405:29242748:-1 gene:B456_012G126900 transcript:KJB77242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGKDILHKMKAGLFSSGADTGKGKSKMSKHTTHGFHCLKGKSNHDMEDYVVSEFKKKEDSELGLFAIFDGHLGHDVAKYLQSHLFDNILKQHDFWTNMEDAIRRAYRSTDAEILDKAKVLGRGGSTAVTAILINSQKLVVGNVGDSRAVMCKNGVAEQLSVDHEPSTEKRLIESRGGFVSNLPGDVPRVDGQLAVARAFGDKSLKLHLSSEPDVKVVTIDDDTEFIILASDGIWKVMSNQEAVDAIKNIKDAQSAAKHLIEAAVSKKSKDDISCIIVRFR >KJB77239 pep chromosome:Graimondii2_0_v6:12:29240964:29242499:-1 gene:B456_012G126900 transcript:KJB77239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGKDILHKMKAGLFSSGADTGKGKSKMSKHTTHGFHCLKGKSNHDMEDYVVSEFKKKEDSELGLFAIFDGHLGHDVAKYLQSHLFDNILKQHDFWTNMEDAIRRAYRSTDAEILDKAKVLGRGGSTAVTAILINSQKLVVGNVGDSRAVMCKNGVAEQLSVDHEPSTEKRLIESRGGFVSNLPGDVPRVDGQLAVARAFGDKSLKLHLSSEPDVKVVTIDDDTEFIILASDGIWKVITFAILVSHANINSIEIPSFSCSADLVAGYVKPRSSGRHKKHQGCSISSKALD >KJB77240 pep chromosome:Graimondii2_0_v6:12:29240405:29242635:-1 gene:B456_012G126900 transcript:KJB77240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGKDILHKMKAGLFSSGADTGKGKSKMSKHTTHGFHCLKGKSNHDMEDYVVSEFKKKEDSELGLFAIFDGHLGHDVAKYLQSHLFDNILKQHDFWTNMEDAIRRAYRSTDAEILDKAKVLGRGGSTAVTAILINSQKLVVGNVGDSRAVMCKNGVAEQLSVDHEPSTEKRLIESRGGFVSNLPGNTN >KJB75735 pep chromosome:Graimondii2_0_v6:12:7121357:7123049:-1 gene:B456_012G054100 transcript:KJB75735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSRVGILSTPYALASGGWLSLILLFAIATAAFFSGLLIQRCMDSNSNIRTYPDIGKHAFGKKGKLIVSIFMYIELYLVATGFLILEGDNLQKLFPNMEFEVGQGLTIGGKRGFIILVSLIVLPTVWLDNLSLLSYVSASGVLASGITLGSIIWTGAFEGIGFQQKGTLVNWDGMLTAISLYAFCYCAHPVFPTLYTSMKKKHQFSNVLVVCFILCTITYASTAIFGYLMFGPQIQSLVTLSLPASKISSKVAIYTTLVNPITKYALMVTPIVNAIKTRFPCCYNPGFLTIFIGTNLLISTVFVALAIPFFGSLMSLVGALLSITASVILPCLCYLKISGIYRRFNGQLVGICLIIIVGVCLVIFGTYASVLDIIGNL >KJB74993 pep chromosome:Graimondii2_0_v6:12:2028632:2029558:1 gene:B456_012G017700 transcript:KJB74993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIKSAMADALLTSIWVFSMPFLRILTLEIAAFLGLRSFPLAAFFITTLLVSLMMFIFTIVGNALGGATFNPTASVAFYVAGLKKDWSALSMAVRFPLQAAGGVVGVKAVLGVLPREYKETIKGPSLKVDMQTGILAEGLLTFGLCPALLVILVRGPNNPLLKLLLMAISTVGFVGRGANYTGPSMNPANAFGWAYVNNWHNSWELYYVYWMGPLIGATLAAWVFRFLLSPSSSTKEKKA >KJB75852 pep chromosome:Graimondii2_0_v6:12:9012428:9012949:-1 gene:B456_012G063800 transcript:KJB75852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSYSNPPCAACKCLRRKCMPDCIFAPYFPPEEPQKFINVHKIFGASNVSKLLNDVPPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVMRLQKELDATNADIIRYAYNEMPQPPQPPAASQYGGRRTAAGHGSSGGGSFDQNSGFYYPSQWNNNDPSGDNESGSM >KJB75853 pep chromosome:Graimondii2_0_v6:12:9012172:9013824:-1 gene:B456_012G063800 transcript:KJB75853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSYSNPPCAACKCLRRKCMPDCIFAPYFPPEEPQKFINVHKIFGASNVSKLLNDVPPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVMRLQKELDATNADIIRYAYNEMPQPPQPPAASQYGGRRTAAGHGSSGGGSFDQNSGFYYPSQWNNNDPSGDNESGSM >KJB78031 pep chromosome:Graimondii2_0_v6:12:34310655:34312307:1 gene:B456_012G173300 transcript:KJB78031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHALGTTRLIYRARISRFVKTGLIDQAVHLFDEMAQSDCRVFGIDYNRFIGVLIRHSLFDLAERYYFQMFPQGFSLTPFTYSRFISGLCTVKNFSLIELLLDDMDKLNYVPDIWAFNVYLNLLFKEKKVELALEVFYSVAEKGRDPDVVTYTIMIDGMCKAGKLDNAVEFWKEMVGKGLKPDKKACCALVIWLCEGGKVDLAYELVIEVIKGGDVEFSSLLFNALINGFCKMRRIDKAQAIKSFMTKNGCQPDLVTYNILLNYCCNELMLEEAEKLMKKMERNGMGPDVYSYNQMIKGLCNADRLDKAYFLMVNKMEPKGLADAVSYNTIIKAFCKGGHVRKAYKLFEEMGRKGISPDVATYTTLIKACLNEGNSDVAKALIDHMSGMRLLPDQIFYTTIIDHLCKSGKVERACGIFNDMIMQGVNPDVISYNALINGFCKSNRVNEALQLYEEMQTKGFSPDETTYKLIIGGLVRERKLAKACKVWDQMMEKGFTLDGALSETLINAIQSTDSA >KJB75568 pep chromosome:Graimondii2_0_v6:12:5923795:5928740:-1 gene:B456_012G047100 transcript:KJB75568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVAGEGKDGEREKQRYSENKVYTRKAFKGSKKNNLLNSTVNSSNLNHHHNHDTTTTTSTSTTTTNNHDNNKNNSNVDRAAVNDTDVTPTAVPNTDDYSNHPVERPGQPLPLEFGGSAHQQPGPRVDTAVSDDCSNLNKQAAVAGALKPSSENQVKINLASRSKQELGELRRKLVSELDLVRSLVKRIEAKEAQIRGFSNAPVPLNNAVDYGFNRVQPEPASVGISAEPVKKSRPLNQLNISALENSQGANENLEKEKRTPKANQFYRNSEFLLAKDKFPPAESNKKLKLNGKKQGGGEFTHGFGMGNKFFKNCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLGKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEASLRMPTPRKAHSMLPPPLDTRRTLDRSESMTRPVDPRPKLIATTPLGRTPAPKKPKAKDPYKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSAVLQHDDEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELSIQARAEAAQIVPEKLQTVAPVLVEVPKETTTNEQNVSRSLVEEEKQGDAASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75570 pep chromosome:Graimondii2_0_v6:12:5925639:5928563:-1 gene:B456_012G047100 transcript:KJB75570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVAGEGKDGEREKQRYSENKVYTRKAFKGSKKNNLLNSTVNSSNLNHHHNHDTTTTTSTSTTTTNNHDNNKNNSNVDRAAVNDTDVTPTAVPNTDDYSNHPVERPGQPLPLEFGGSAHQQPGPRVDTAVSDDCSNLNKQAAVAGALKPSSENQVKINLASRSKQELGELRRKLVSELDLVRSLVKRIEAKEAQIRGFSNAPVPLNNAVDYGFNRVQPEPASVGISAEPVKKSRPLNQLNISALENSQGANENLEKEKRTPKANQFYRNSEFLLAKDKFPPAESNKKLKLNGKKQGGGEFTHGFGMGNKFFKNCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLGKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEASLRMPTPRKAHSMLPPPLDTRRTLDRSESMTRPVDPRPKLIATTPLGRTPAPKKPKAKDPYKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSAVLQHDDEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELSIQARAEAAQIVPEKLQTVAPVLVEVPKETTTNEQNVSRSLVEEEKQGDAASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB75569 pep chromosome:Graimondii2_0_v6:12:5923801:5929060:-1 gene:B456_012G047100 transcript:KJB75569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMASGIVAGEGKDGEREKQRYSENKVYTRKAFKGSKKNNLLNSTVNSSNLNHHHNHDTTTTTSTSTTTTNNHDNNKNNSNVDRAAVNDTDVTPTAVPNTDDYSNHPVERPGQPLPLEFGGSAHQQPGPRVDTAVSDDCSNLNKQAAVAGALKPSSENQVKINLASRSKQELGELRRKLVSELDLVRSLVKRIEAKEAQIRGFSNAPVPLNNAVDYGFNRVQPEPASVGISAEPVKKSRPLNQLNISALENSQGANENLEKEKRTPKANQFYRNSEFLLAKDKFPPAESNKKLKLNGKKQGGGEFTHGFGMGNKFFKNCSSLLERLMKHKHGWVFNSPVDVKGLGLHDYYSVIKHPMDLGTVKTRLGKNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLSKIFEGKWASIEADYIRDMRLAVEYEASLRMPTPRKAHSMLPPPLDTRRTLDRSESMTRPVDPRPKLIATTPLGRTPAPKKPKAKDPYKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSAVLQHDDEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELSIQARAEAAQIVPEKLQTVAPVLVEVPKETTTNEQNVSRSLVEEEKQGDAASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRA >KJB74969 pep chromosome:Graimondii2_0_v6:12:1857725:1859350:1 gene:B456_012G016300 transcript:KJB74969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHHHHHLLLQNLFNLCPLLSSSAALLFFRKRKKKMDCSICSSMPFILRPPRNTICGTCYEGARNVITLMNKLEIDHHCKSTDKATPAPSSSPNSCKQQPLGNLSKWMTSMKEIEEDLNKKISFLSGLIVAFRDQIHTDIQLKPGNDGPSIPAHGALLAARSEIFRNMLDSDGCKAPPSDTITLSELNTEELESLLEFLYSGNLGWDKLEKHVYSLFLAADKYEIPYLQDFCERYMLSSLNASNVLEILEISDVCSNKALKEIALNFIIRNMEDIVFSPKYEVFAPKNPHLGLQITRAFLMDAKTKRINGV >KJB74968 pep chromosome:Graimondii2_0_v6:12:1857725:1859271:1 gene:B456_012G016300 transcript:KJB74968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHHHHHLLLQNLFNLCPLLSSSAALLFFRKRKKKMDCSICSSMPFILRPPRNTICGTCYEGARNVITLMNKLEIDHHCKSTDKATPAPSSSPNSCKPLGNLSKWMTSMKEIEEDLNKKISFLSGLIVAFRDQIHTDIQLKPGNDGPSIPAHGALLAARSEIFRNMLDSDGCKAPPSDTITLSELNTEELESLLEFLYSGNLGWDKLEKHVYSLFLAADKYEIPYLQDFCERYMLSSLNASNVLEILEISDVCSNKALKEIALNFIIRNMEDIVFSPKYEVFAPKNPHLGLQITRAFLMDAKTKRINGV >KJB74793 pep chromosome:Graimondii2_0_v6:12:888630:891121:1 gene:B456_012G008100 transcript:KJB74793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEQQQQVTFMEMSKNKGDHRSRRRCMEEGRVRVDMSGMSLDSFPFLSLNLATISNLDLSNNNLESIPESLTARLLNVVALDVHSNQLKFLPNSIGCLSKLKILNVSSNLLRTLPKTIENCKSLEELNANFNQLSVLPDTIGFELINLKKLSVNANKLGFLPQSISHLTSLRVLDARLNCLRFLPEDMENLINLEVLNVSQNFQCLENLPYSLGLLVSLVELDVSYNKITGLPDSIGCLKKLQKLCVEGNPLVSPPMEVFEQSLQAVKEYLSEKMKAGQSPPKKQSWVGKLVKCGTFNGKIGGRPRGEREGFIMISEYRSIEGLASPRYMGLLSPRRLFSPRKYLTK >KJB76481 pep chromosome:Graimondii2_0_v6:12:15865534:15868370:-1 gene:B456_012G091600 transcript:KJB76481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALSIWPSHGGSLVVPHLDFEHGSSCFASIKPRSRKKWSLIDGRGHSFLLLSSYARFSRSETCCRNLNCCLRFEFLCCYSKLKVVLFCEPKGGSSSGLVASAWALERQETGNELEREGSYSKDDDNGNGDRSEEVDISSEGEVELESARIDVRALARSLQFAKTADDIGKVLKDMGELPLQVHSSMISGFGRDKYMDAAMSLVEWLKRKKKESGGGIGPNLFIYNSLLGAVKHSKQFGEMEKILDDMAEEGIIPNIVTYNVLMAIYVEQGEATKALNVLEEIQEKGFSPSPVSYSTALYAYRRMEDGHGALKFFIELREKYVKGDIGRNADENWEYEFVKLEKFTVRICQQVMRRWLVKDENLSTNVLKLLRDMDNVGLKLSREDYERLIWACTREEHYLVAKELYSRIRESFSEISLSVCNHLIWVMGKAKKWWAALEIYEDLLDKGPSPNNMSYELVVSHFNILLSAARQRGIWRWGVRLLNKMEEKGLKPGSREWNAVLVACSKASETTAAVQIFRRMVEQGEKPTIISYGALLSALEKGKLYDEALRVWDHMIKVGVKPNLYAYTIMASIFTGQGNFKMVNAVFQEMASSGIEPTVVTYNAIISGCARNGMSSAAYEWFHRMKVQNISPNEITYEMLIEALANDGKPRLAYDLYMRAQNESLNLSSKAYDAVVQSSQVYGATTYLSVLGPRPPDTKKVQIRKNLTEFCNIADVPRRSKPFDRKEIYIPPKQGNQ >KJB74514 pep chromosome:Graimondii2_0_v6:12:34243009:34243289:1 gene:B456_012G1724003 transcript:KJB74514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYPEETKFLRSELYKWAGDANCYDKDEPYIEVVTSPNNPDGSIRGTVVNREGGKAIHDLAYYWPQYAPITSKADHDAMLFTFSKATGHAGTRI >KJB74515 pep chromosome:Graimondii2_0_v6:12:34243009:34244568:1 gene:B456_012G1724003 transcript:KJB74515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYPEETKFLRSELYKWAGDANCYDKDEPYIEVVTSPNNPDGSIRGTVVNREGGKAIHDLAYYWPQYAPITSKADHDAMLFTFSKATGHAGTRIGWAIVKDKVIAAKMVKFIEVSSIGVSREAQLRAAKVLGVIADDCRNPDVKGENFFEYGRRLMSERWGKLREVGMKSNGVFSLPKYPRDYCKFTGEYTDSNPAFAWLKSKEGLNCENLLRDESKIITRAGPSFGGDSTYTRVSMLSRDVEFELLLERLAAVKGTVNGN >KJB75737 pep chromosome:Graimondii2_0_v6:12:7163805:7164473:-1 gene:B456_012G054200 transcript:KJB75737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLVAAFFLALIAISMLQVMVLASHGHGGHHYDQKHYGPGSLKSYPECPSQCSRRCSKTQYHKPCMFFCQKCCNKCLCVPPGYYGNKAVCPCYNNWKTKEGGPKCP >KJB75738 pep chromosome:Graimondii2_0_v6:12:7163499:7164652:-1 gene:B456_012G054200 transcript:KJB75738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLVAAFFLALIAISMLQVMVLASHGHGGHHYDQKHYGPGSLKSYQCPSQCSRRCSKTQYHKPCMFFCQKCCNKCLCVPPGYYGNKAVCPCYNNWKTKEGGPKCP >KJB75736 pep chromosome:Graimondii2_0_v6:12:7163499:7164558:-1 gene:B456_012G054200 transcript:KJB75736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLVAAFFLALIAISMLQVMVLASHGHGGHHYDQKHYGPGSLKSYQCPSQCSRRCSKTQYHKPCMFFCQKCCNKCLCVPPGYYGNKAVCPCYNNWKTKEGGPKCP >KJB76590 pep chromosome:Graimondii2_0_v6:12:17638792:17646002:-1 gene:B456_012G095800 transcript:KJB76590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide and calmodulin-regulated ion channel-like protein [Source:Projected from Arabidopsis thaliana (AT4G30360) UniProtKB/TrEMBL;Acc:Q0WUI2] MELKKDKLVRFYSDGKRHKETPWGKNDPSFLEKSSSGYKISFSSVLKPEIGMDGGRNRLPEMGKIGRSKVLPQDNALWYKRILDPGSEIVLQWNWVFIISCLVALFIDPLYFYLPAVGGDAKTSCVKTDTNLRIVVTCFRTVADIFYLLHIIIKFRTGYVAPNSSTRLFGRGELVMDPKKIARRYLGSDFFIDFIATLPLPQIVIWFIIPATRSPRTDHKNNALALIVLIQYIPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVVGAAWYVLSVDRYTSCWKKICKKEFPPHRCNLSYLDCDTFGRSDRNTWAKVTDVFKQCDPNNDIDFQYGIFESAVKKNVVKKGFLEKYFYCLWWGLQQLSSYGQNLSTSTFIGETLFAILISILGLVLFAHLIGNMQTYLQSLTVRLEEWRLKRRDTEEWMSHRQLPEDLRHRVRRFVQYKWLATRGVNEEAILHGLPADLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTEGTYLVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSIVLRPGDFCGEELLAWALLPKSTLNLPSSTRTVRALVEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWHRYKRRMMENSLMTESLSLDEKEDDETGQEKEKNFIVGSNPSQAKLNLGVTILASRFAANTRRGAQKIKDVEMPKLQKPEEPDFSAEPDDD >KJB76591 pep chromosome:Graimondii2_0_v6:12:17638794:17645761:-1 gene:B456_012G095800 transcript:KJB76591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide and calmodulin-regulated ion channel-like protein [Source:Projected from Arabidopsis thaliana (AT4G30360) UniProtKB/TrEMBL;Acc:Q0WUI2] MKYFLLTNLWWNLFIYRFYSDGKRHKETPWGKNDPSFLEKSSSGYKISFSSVLKPEIGMDGGRNRLPEMGKIGRSKVLPQDNALWYKRILDPGSEIVLQWNWVFIISCLVALFIDPLYFYLPAVGGDAKTSCVKTDTNLRIVVTCFRTVADIFYLLHIIIKFRTGYVAPNSSTRLFGRGELVMDPKKIARRYLGSDFFIDFIATLPLPQIVIWFIIPATRSPRTDHKNNALALIVLIQYIPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVVGAAWYVLSVDRYTSCWKKICKKEFPPHRCNLSYLDCDTFGRSDRNTWAKVTDVFKQCDPNNDIDFQYGIFESAVKKNVVKKGFLEKYFYCLWWGLQQLSSYGQNLSTSTFIGETLFAILISILGLVLFAHLIGNMQTYLQSLTVRLEEWRLKRRDTEEWMSHRQLPEDLRHRVRRFVQYKWLATRGVNEEAILHGLPADLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTEGTYLVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSIVLRPGDFCGEELLAWALLPKSTLNLPSSTRTVRALVEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWHRYKRRMMENSLMTESLSLDEKEDDETGQEKEKNFIVGSNPSQAKLNLGVTILASRFAANTRRGAQKIKDVEMPKLQKPEEPDFSAEPDDD >KJB76599 pep chromosome:Graimondii2_0_v6:12:19330511:19338058:1 gene:B456_012G096500 transcript:KJB76599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFNTKALLQSPKGETLLIETDTTRSHTTIPRTIQWHEINLPDKWKLEGATDPVALTLIRNTSLSEISQHQDGTVELKFNRPQRMPPRYFFEIGSTRTAFRRLNLEEESNPEKQTIDFKTAKASVSSIPTTFRTNLQGIDNSSNIAQPIYAKQEESPQNSPNMSPTYSSMTNNARQGENLEIFVLENNFEINKKWCRKHFYYNKNKQKREDYFQNYNDKKESILQEYYEFMNTNKIYIKFFEWFEEYYSESVNTIKHNTRWQTNKGEVESRHPPLMEIQYLHKNTGIKENPLRMRAPDAGEQISSKDIKMIVEKNNYTNINLHTIGKQLDYIENLVESQPIRKEQSDEQQINKMAWNEPKRLYYTKTTALDLNIEEKHVFQNKYNANTIYEWNIDGMSEYNILSLLQQMTMVSNVYKTQNQNGLISDHAIANLLVVGFTGQLKRRWDHELTKTQQEEILKAIKMMIKIRASWNSDEQGREIQDAVATLIFSISKHFIGDPSHLKDRNSELLSNLKCKKLTDFKWYKDVFMTRVMQSFDNQQPFWKENEEIEQKLNEILLETTSSENETSTEIDELQIDELHTTSQSSGDENEPPINMLTKDQEFMIEVIDKIQDLELKREYLLKLKSSLKDKPEKEKEIISSQSQIKSSLEIEPEPEENTQKYMMVLTEVSIQRYLIKINIVINNEFQLKTIALFDIGADQNCIRKGIIPTKYYNKTSESLKAANEISNKGIKYQTCFLMVKGITQDVILGTSFISLLKPYKVTNNSISTKVLNTKVEFPFV >KJB74652 pep chromosome:Graimondii2_0_v6:12:42607:47085:-1 gene:B456_012G000600 transcript:KJB74652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAESAGAKIGSSGQNLENTVVSSDSNLVNDPDKSKTKTDSMNGAKTTKEDMFEQENPVAITKSNGDSNIPMQNGFNEKNQQQTVTKNGGLNGFSNVENGSNDEIFKNEMRDLVEILSKLNPMAEEFVPPSLANHHHHEKHDQNQNRDNRFPENGFGYTDNFVVQANSGNADGHTNRRKRNNYSQGKRRLNNRTSIAQREDAIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDTNSVLRFAFVEFYKEEDARAALNLSGTMLGYYPVRVLPSRTAIAPVNPTFLPRSEDEREMCTRTIYCTNIDKKITQADIKLFFESVCGEVKRLRLLGDYHHSTRIAFVEFKMAESAIAALNCSGAILGSLPIRVSPSKTPVRPRTLHPAMQ >KJB74650 pep chromosome:Graimondii2_0_v6:12:42606:47245:-1 gene:B456_012G000600 transcript:KJB74650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAESAGAKIGSSGQNLENTVVSSDSNLVNDPDKSKTKTDSMNGAKTTKEDMFEQENPVAITKSNGDSNIPMQNGFNEKNQQQTVTKNGGLNGFSNVENGSNDEIFKNEMRDLVEILSKLNPMAEEFVPPSLANHHHHEKHDQNQNRDNRFPENGFGYTDNFVVQANSGNADGHTNRRKRNNYSQGKRRLNNRTSIAQREDAIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDTNSVLRFAFVEFYKEEDARAALNLSGTMLGYYPVRVLPSRTAIAPVNPTFLPRSEDEREMCTRTIYCTNIDKKITQADIKLFFESVCGEVKRLRLLGDYHHSTRIAFVEFKMAESAIAALNCSGAILGSLPIRVSPSKTPVRPRTLHPAMQ >KJB74651 pep chromosome:Graimondii2_0_v6:12:43116:46631:-1 gene:B456_012G000600 transcript:KJB74651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAESAGAKIGSSGQNLENTVVSSDSNLVNDPDKSKTKTDSMNGAKTTKEDMFEQENPVAITKSNGDSNIPMQNGFNEKNQQQTVTKNGGLNGFSNVENGSNDEIFKNEMRDLVEILSKLNPMAEEFVPPSLANHHHHEKHDQNQNRDNRFPENGFGYTDNFVVQANSGNADGHTNRRKRNNYSQGKRRLNNRTSIAQREDAIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDTNSVLRFAFVEFYKEEDARAALNLSGTMLGYYPVRVLPSRTAIAPVNPTFLPRSEDEREMCTRTIYCTNIDKKITQADIKLFFESVCGEVKRLRLLGDYHHSTRIAFVEFKMAESAIAALNCSGAILGSLPIRLVCEASLFELMFYMFFWL >KJB74649 pep chromosome:Graimondii2_0_v6:12:44088:46631:-1 gene:B456_012G000600 transcript:KJB74649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAESAGAKIGSSGQNLENTVVSSDSNLVNDPDKSKTKTDSMNGAKTTKEDMFEQENPVAITKSNGDSNIPMQNGFNEKNQQQTVTKNGGLNGFSNVENGSNDEIFKNEMRDLVEILSKLNPMAEEFVPPSLANHHHHEKHDQNQNRDNRFPENGFGYTDNFVVQANSGNADGHTNRRKRNNYSQGKRRLNNRTSIAQREDAIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDTNSVLRFAFVEFYKEEDARAALNLSGTMLGYYPVRVLPSRTAIAPVNPTFLPRSEDEREMCTRTIYCTNIDKKITQADIKLFFESVCGEVKRLRLLGDYHHSTRIAFVEFKMKNVFCV >KJB74653 pep chromosome:Graimondii2_0_v6:12:44480:47085:-1 gene:B456_012G000600 transcript:KJB74653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAESAGAKIGSSGQNLENTVVSSDSNLVNDPDKSKTKTDSMNGAKTTKEDMFEQENPVAITKSNGDSNIPMQNGFNEKNQQQTVTKNGGLNGFSNVENGSNDEIFKNEMRDLVEILSKLNPMAEEFVPPSLANHHHHEKHDQNQNRDNRFPENGFGYTDNFVVQANSGNADGHTNRRKRNNYSQGKRRLNNRTSIAQREDAIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDTNSVLRFAFVEFYKEEDARAALNLSGTMLGYYPVRVLPSRTAIAPVNPTFLPRSEDEREMCTRTIYCTNIDKKITQADIKLFFESVCGEVKRLRLLGDYHHSTRIAFVEFKMVVNLLLSFFTETVATSVFLVKLSVMFFIKLRTFCIMLRHIR >KJB74648 pep chromosome:Graimondii2_0_v6:12:42607:47085:-1 gene:B456_012G000600 transcript:KJB74648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAESAGAKIGSSGQNLENTVVSSDSNLVNDPDKSKTKTDSMNGAKTTKEDMFEQENPVAITKSNGDSNIPMQNGFNEKNQQQTVTKNGGLNGFSNVENGSNDEIFKNEMRDLVEILSKLNPMAEEFVPPSLANHHHHEKHDQNQNRDNRFPENGFGYTDNFVVQANSGNADGHTNRRKRNNYSQGKRRLNNRTSIAQREDAIRKTVYVSDIDLQVTEELLAGLFLSCGPVVDCRICGDTNSVLRFAFVEFYKEEDARAALNLSGTMLGYYPVRVLPSRTAIAPVNPTFLPRSEDEREMCTRTIYCTNIDKKITQADIKLFFESVCGEVKRLRLLGDYHHSTRIAFVEFKMAESAIAALNCSGAILGSLPIRVSPSKTPVRPRTLHPAMQ >KJB76934 pep chromosome:Graimondii2_0_v6:12:26012010:26016820:-1 gene:B456_012G113400 transcript:KJB76934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSYSLQLPFPSPVFRSPRNTIEKRLGNLRTLHSSKEGGQQHTENDRTQDQVILLERYGNGTIKRYMLDDDDDDDDDLQIRAFLEKHDSTSNEFQGSNLSDPNLSWLPQVLKEFILPAGFPGSVSDDYLQYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFSGTAAAASAAAIRWVSKDGIGAVGRLFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFDLTTQVYPAYFLPLASLGNLAKAVARGLKDPSFRVIQNHFAISGNLGEVSAKEEVWEVTAQLLGLSLGILILDTPGLVRSYPVLVSTWASMRLLHLWLRYLSLSALQFNTINLKRARMLVESHILQSRVPGCIDCNRDENILLWQRFIKPRIIFGVPMEEMLGSGRSTSMLKTLLRLYAREKYIVVVNQQRIDFEVFVSFKVGATSVSVLRSVWQTYWLHENLNVSEDIFDQLAKSLSMMDERFNEFIQQLDKGGWDIHQINLRVPKEISIDECPV >KJB76935 pep chromosome:Graimondii2_0_v6:12:26012173:26016675:-1 gene:B456_012G113400 transcript:KJB76935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSYSLQLPFPSPVFRSPRNTIEKRLGNLRTLHSSKEGGQQHTENDRTQDQVILLERYGNGTIKRYMLDDDDDDDDDLQIRAFLEKHDSTSNEFQGSNLSDPNLSWLPQVLKEFILPAGFPGSVSDDYLQYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFSGTAAAASAAAIRWVSKDGIGAVGRLFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFDLTTQVYPAYFLPLASLGNLAKAVARGLKDPSFRVIQNHFAISGNLGEVSAKDTPGLVRSYPVLVSTWASMRLLHLWLRYLSLSALQFNTINLKRARMLVESHILQSRVPGCIDCNRDENILLWQRFIKPRIIFGVPMEEMLGSGRSTSMLKTLLRLYAREKYIVVVNQQRIDFEVFVSFKVGATSVSVLRSVWQTYWLHENLNVSEDIFDQLAKSLSMMDERFNEFIQQLDKGGWDIHQINLRVPKEISIDECPV >KJB76933 pep chromosome:Graimondii2_0_v6:12:26012929:26016675:-1 gene:B456_012G113400 transcript:KJB76933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSYSLQLPFPSPVFRSPRNTIEKRLGNLRTLHSSKEGGQQHTENDRTQDQVILLERYGNGTIKRYMLDDDDDDDDDLQIRAFLEKHDSTSNEFQGSNLSDPNLSWLPQVLKEFILPAGFPGSVSDDYLQYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFSGTAAAASAAAIRWVSKDGIGAVGRLFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFDLTTQVYPAYFLPLASLGNLAKAVARGLKDPSFRVIQNHFAISGNLGEVSAKEEVWEVTAQLLGLSLGILILDTPGLVRSYPVLVSTWASMRLLHLWLRYLSLSALQFNTINLKRARMLVESHILQSRVPGCIDCNRDENILLWQRFIKPRIIFGVPMEEMLGSGRSTSMNS >KJB76668 pep chromosome:Graimondii2_0_v6:12:21067485:21070419:-1 gene:B456_012G099300 transcript:KJB76668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 3 [Source:Projected from Arabidopsis thaliana (AT5G06680) UniProtKB/Swiss-Prot;Acc:Q9FG37] MEEEDQRKVTDLVIELVRRLLSQQNPQNASPNSPHFSQSLRYALRILSSRLTPSVSPDADAVAESIKRRLATQGNSSGALTFADLYTKFASKNGPGSVNNKWAVLYLLKIISEDRKNAISGMDSSVFLPNLGLNDDEMGNDLRVLNAKENREKAWKNGVLLVSKDPENLREISFREFGNLVEEENEVTEEVLVRDVLYACQGIDGKYVKFDSNLDGYALPDSIKVPRATRTIVRKLCELGWLFRKVKGYISESMDRFPAEDVGTVGQAFCAALQDELSEYYKLLAVLEAQSTNPIPLVSENASSGNYLSLRRLSVWFAEPMVKMRLMAVLVDKCKALRGGAMAGAIHLHAQHGDPLVHDFMRRLLRRVCSPLFEMVRSWVLEGELEDIFAEFFIVGQPVKAESLWREGYRLHAGMLPLFISQSIAQRILRTGKSINFLRVCCDDRGWADAATEAVAAAGVTTRRGGLGYGETDALESLVMEAAKRIDKHLLDVIYKRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLAGLLESAIRSSNAQYDDPDILDRLRVRMMPHGTGDRGWDVFSLEYDARVPLDTVFTESVMTRYLRIFNFLWKLRRVEHALIGAWKTMKPNCLTSHVYTKLQHAVKLQLLSTLRRCQVLWDEMNHFVTNLQYYIMFEVLEVSWSNFSNEMEVAKDLDDLLAAHEKYLYSIVEKSLLGERSQTLYKSLFVLFDLILQFRSHADRFYEGIHELQARTAESSLSSQDKNKSRQTKDKSSEPGSWIREGRKALTQRASEFLQNMGQELDALATEYKSLLEGFLTELPVQQHVDLKFLLFRLDFTEFYTRQHATM >KJB76670 pep chromosome:Graimondii2_0_v6:12:21065388:21070503:-1 gene:B456_012G099300 transcript:KJB76670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 3 [Source:Projected from Arabidopsis thaliana (AT5G06680) UniProtKB/Swiss-Prot;Acc:Q9FG37] MEEEDQRKVTDLVIELVRRLLSQQNPQNASPNSPHFSQSLRYALRILSSRLTPSVSPDADAVAESIKRRLATQGNSSGALTFADLYTKFASKNGPGSVNNKWAVLYLLKIISEDRKNAISGMDSSVFLPNLGLNDDEMGNDLRVLNAKENREKAWKNGVLLVSKDPENLREISFREFGNLVEEENEVTEEVLVRDVLYACQGIDGKYVKFDSNLDGYALPDSIKVPRATRTIVRKLCELGWLFRKVKGYISESMDRFPAEDVGTVGQAFCAALQDELSEYYKLLAVLEAQSTNPIPLVSENASSGNYLSLRRLSVWFAEPMVKMRLMAVLVDKCKALRGGAMAGAIHLHAQHGDPLVHDFMRRLLRRVCSPLFEMVRSWVLEGELEDIFAEFFIVGQPVKAESLWREGYRLHAGMLPLFISQSIAQRILRTGKSINFLRVCCDDRGWADAATEAVAAAGVTTRRGGLGYGETDALESLVMEAAKRIDKHLLDVIYKRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLAGLLESAIRSSNAQYDDPDILDRLRVRMMPHGTGDRGWDVFSLEYDARVPLDTVFTESVMTRYLRIFNFLWKLRRVEHALIGAWKTMKPNCLTSHVYTKLQHAVKLQLLSTLRRCQVLWDEMNHFVTNLQYYIMFEVLEVSWSNFSNEMEVAKDLDDLLAAHEKYLYSIVEKSLLGERSQTLYKSLFVLFDLILQFRSHADRFYEGIHELQARTAESSLSSQDKNKSRQTKDKSSEPGSWIREGRKALTQRASEFLQNMGQELDALATEYKSLLEGFLTELPVQQHVDLKFLLFRLDFTEFYTRQHATM >KJB76669 pep chromosome:Graimondii2_0_v6:12:21067053:21070503:-1 gene:B456_012G099300 transcript:KJB76669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 3 [Source:Projected from Arabidopsis thaliana (AT5G06680) UniProtKB/Swiss-Prot;Acc:Q9FG37] MEEEDQRKVTDLVIELVRRLLSQQNPQNASPNSPHFSQSLRYALRILSSRLTPSVSPDADAVAESIKRRLATQGNSSGALTFADLYTKFASKNGPGSVNNKWAVLYLLKIISEDRKNAISGMDSSVFLPNLGLNDDEMGNDLRVLNAKENREKAWKNGVLLVSKDPENLREISFREFGNLVEEENEVTEEVLVRDVLYACQGIDGKYVKFDSNLDGYALPDSIKVPRATRTIVRKLCELGWLFRKVKGYISESMDRFPAEDVGTVGQAFCAALQDELSEYYKLLAVLEAQSTNPIPLVSENASSGNYLSLRRLSVWFAEPMVKMRLMAVLVDKCKALRGGAMAGAIHLHAQHGDPLVHDFMRRLLRRVCSPLFEMVRSWVLEGELEDIFAEFFIVGQPVKAESLWREGYRLHAGMLPLFISQSIAQRILRTGKSINFLRVCCDDRGWADAATEAVAAAGVTTRRGGLGYGETDALESLVMEAAKRIDKHLLDVIYKRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLAGLLESAIRSSNAQYDDPDILDRLRVRMMPHGTGDRGWDVFSLEYDARVPLDTVFTESVMTRYLRIFNFLWKLRRVEHALIGAWKTMKPNCLTSHVYTKLQHAVKLQLLSTLRRCQVLWDEMNHFVTNLQYYIMFEVLEVSWSNFSNEMEVAKDLDDLLAAHEKYLYSIVEKSLLGERSQTLYKSLFVLFDLILQFRSHADRFYEGIHELQARTAESSLSSQDKNKSRQTKDKSSEPGSWIREGRKALTQRASEFLQNMGQELDALATEYKSLLEGFLTELPVQQHVDLKFLLFRLDFTEFYTRQHATM >KJB75832 pep chromosome:Graimondii2_0_v6:12:8466321:8469601:1 gene:B456_012G060300 transcript:KJB75832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRGPKSKNPEPGNQGNDEKKSKKGLRLLARVKPSEIFLKSITKDVTNVQITYPCRLYGYCPPEKR >KJB78138 pep chromosome:Graimondii2_0_v6:12:34829548:34830453:1 gene:B456_012G180000 transcript:KJB78138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFLAKHQVRWQTRVRWPPLVRWLRRVRKLRRVRWQPRPIIAPKPEEREQNITYMDASLYKAAAEGKIEVFNNYQELDLESQKTPNHDNVLHVNLATHELAAWFSNGILSRTRSFPKLYFFILLFLWFFIIKKKSEKRSDFIRQILTKCPSLLLQTNAKGQTPLHVAAMNGHSAIVKLLIKSCAKARDGDLEKLEMGQVNAVREMLRITDQESNTALHLAVKYGDVEMVKELLEHEDPDFQYSANKNQETPLYLAAKRGDTGMLSILLEISNSTGHGCPHSRTALHAAAMAGDIAGMLMS >KJB78137 pep chromosome:Graimondii2_0_v6:12:34829220:34831670:1 gene:B456_012G180000 transcript:KJB78137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFLAKHQVRWQTRVRWPPLVRWLRRVRKLRRVRWQPRPIIAPKPEEREQNITYMDASLYKAAAEGKIEVFNNYQELDLESQKTPNHDNVLHVNLATHELAAWFSNGILSRTRSFPKLYFFILLFLWFFIIKKKSEKRSDFIRQILTKCPSLLLQTNAKGQTPLHVAAMNGHSAIVKLLIKSCAKARDGDLEKLEMGQVNAVREMLRITDQESNTALHLAVKYGDVEMVKELLEHEDPDFQYSANKNQETPLYLAAKRGDTGMLSILLEISNSTGHGCPHSRTALHAAAMAGDIAEATTIILKKNANLIKERDEDGHTPLHYAAHLGCISVVEELLKTDVSAAYIGDRKLGMTPLLMAARQGYLGTRQSPFSFHSWWY >KJB77307 pep chromosome:Graimondii2_0_v6:12:29970172:29973635:1 gene:B456_012G130800 transcript:KJB77307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSTSSRSTSGSMGRREEVSPTCCDIGFCGQKRTKRTFSDHVIALHHLPSVPNRVFTNGKSRSSCIFTQQGRKGINQDAMIVWEDFMPEDVTFCGVFDGHGPHGHLVARKVRDALPLKLLSSMDSCQSRQNGSGQTCFKGSLKAADLEKDVSAEERLISLWREAFMKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAVMGSKDNNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVCRVWLPFDDAPGLAMARAFGDFCLKEYGVISMPEFSHRLLTEKDQFIVLASDGVIFFHLTFYLLNSGHITCLVFFITGMGCIEQ >KJB77309 pep chromosome:Graimondii2_0_v6:12:29970172:29973635:1 gene:B456_012G130800 transcript:KJB77309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSTSSRSTSGSMGRREEVSPTCCDIGFCGQKRTKRTFSDHVIALHHLPSVPNRVFTNGKSRSSCIFTQQGRKGINQDAMIVWEDFMPEDVTFCGVFDGHGPHGHLVARKVRDALPLKLLSSMDSCQSRQNGSGQTCFKGSLKAADLEKDVSAEERLISLWREAFMKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAVMGSKDNNDSMVAIQLTVDLKPDLPTERIKRCKGRVFALQDEPEVCRVWLPFDDAPGLAMARAFGDFCLKEYGVISMPEFSHRLLTEKDQFIVLASDGVWDVLSNEEVVEIVSSAPSRSSAARMLVDSAAREWKLKYPTSKMDDCAVVCFFLDGKMDSESDYEEQGFSSATIQSYHSGNAGESDDSCHRSEPSLQRNFTVRPSEECEGFGNGRPHPEEFEGNEDTVAGEDQNWLGLEGVTRVNSLIQLPRFSEERPNP >KJB77305 pep chromosome:Graimondii2_0_v6:12:29970086:29973635:1 gene:B456_012G130800 transcript:KJB77305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSTSSRSTSGSMGRREEVSPTCCDIGFCGQKRTKRTFSDHVIALHHLPSVPNRVFTNGKSRSSCIFTQQGRKGINQDAMIVWEDFMPEDVTFCGVFDGHGPHGHLVARKVRDALPLKLLSSMDSCQSRQNGSGQTCFKGSLKAADLEKDVSAEERLISLWREAFMKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAVMGSKDNNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVCRVWLPFDDAPGLAMARAFGDFCLKEYGVISMPEFSHRLLTEKDQFIVLASDGVWDVLSNEEVVEIVSSAPSRSSAARMLVDSAAREWKLKYPTSKMDDCAVVCFFLDGKMDSESDYEEQGFSSATIQSYHSGNAGESDDSCHRSEPSLQRNFTVRPSEECEGFGNGRPHPEEFEGNEDTVAGEDQNWLGLEGVTRVNSLIQLPRFSEERPNP >KJB77306 pep chromosome:Graimondii2_0_v6:12:29970071:29973635:1 gene:B456_012G130800 transcript:KJB77306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSTSSRSTSGSMGRREEVSPTCCDIGFCGQKRTKRTFSDHVIALHHLPSVPNRVFTNGKSRSSCIFTQQGRKGINQDAMIVWEDFMPEDVTFCGVFDGHGPHGHLVARKVRDALPLKLLSSMDSCQSRQNGSGQTCFKGSLKAADLEKDVSAEERLISLWREAFMKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAVMGSKDNNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVCRVWLPFDDAPGLAMARAFGDFCLKEYGVISMPEFSHRLLTEKDQFIVLASDGVWDVLSNEEVVEIVSSAPSRSSAARMLVDSAAREWKLKYPTSKMDDCAVVCFFLDGKMDSESDYEEQGFSSATIQSYHSGNAGESDDSCHRSEPSLQRNFTVRPSEECEGFGNGRPHPEEFEGNEDTVAGEDQNWLGLEGVTRVNSLIQLPRFSEERPNP >KJB77308 pep chromosome:Graimondii2_0_v6:12:29970172:29973635:1 gene:B456_012G130800 transcript:KJB77308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSTSSRSTSGSMGRREEVSPTCCDIGFCGQKRTKRTFSDHVIALHHLPSVPNRVFTNGKSRSSCIFTQQGRKGINQDAMIVWEDFMPEDVTFCGVFDGHGPHGHLVARKVRDALPLKLLSSMDSCQSRQNGSGQTCFKGSLKAADLEKDVSAEERLISLWREAFMKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQVNETKALLLLIFINCLLILVDFYHFQGSNLFMGYIGDSRAVMGSKDNNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVCRVWLPFDDAPGLAMARAFGDFCLKEYGVISMPEFSHRLLTEKDQFIVLASDGVWDVLSNEEVVEIVSSAPSRSSAARMLVDSAAREWKLKYPTSKMDDCAVVCFFLDGKMDSESDYEEQGFSSATIQSYHSGNAGESDDSCHRSEPSLQRNFTVRPSEECEGFGNGRPHPEEFEGNEDTVAGEDQNWLGLEGVTRVNSLIQLPRFSEERPNP >KJB77310 pep chromosome:Graimondii2_0_v6:12:29971738:29973116:1 gene:B456_012G130800 transcript:KJB77310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDVTFCGVFDGHGPHGHLVARKVRDALPLKLLSSMDSCQSRQNGSGQTCFKGSLKAADLEKDVSAEERLISLWREAFMKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAVMGSKDNNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVCRVWLPFDDAPGLAMARAFGDFCLKEYGVISMPEFSHRLLTEKDQFIVLASDGVWDVLSNEEVVEIVSSAPSRSSAARMLVDSAAREWKLKYPTSKMDDCAVVCFFLDGKMDSESDYEEQGFSSATIQSYHSGNAGESDDSCHRSEPSLQRNFTVRPSEECEGFGNGRPHPEEFEGNEDTVAGEDQNWLGLEGVTRVNSLIQLPRFSEERPNP >KJB76943 pep chromosome:Graimondii2_0_v6:12:26103848:26104171:1 gene:B456_012G113700 transcript:KJB76943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNHLNEFGYKKTTRFIGLKQQKNFKYLFKELGLTSYGAEITTLTRDFQLKRLTSVKSKEMMIWITLFDVFVDDTKVPRKIYFANLMGLPQSYPTTTVEDEPKEMK >KJB75132 pep chromosome:Graimondii2_0_v6:12:3239489:3239972:1 gene:B456_012G026000 transcript:KJB75132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKENSSTQSSSDPSHSFFVHHSDQPGHLLIPIKLNGANYQSWSKAMIHQSSKSRNMSVASYYIKLKMLWDELDTYHNPFTCN >KJB75079 pep chromosome:Graimondii2_0_v6:12:2787879:2788942:1 gene:B456_012G022700 transcript:KJB75079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSMVEDTVQASPAVVTFSQQPISPPASGFVFGASNPSAAGSVPFGTRPSMAAPQNPSPFLASGSPEFGGGRSFSLGTNGGDKSARKYVKVRKQRKKKKV >KJB74728 pep chromosome:Graimondii2_0_v6:12:508120:511871:-1 gene:B456_012G004300 transcript:KJB74728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGSGIFSGFTKLCKGLTVILIGGHIVVRLLPSSVIYLALIPARTIPFGWNLITAGYIEQSLHGVVVSVIGLLFMGKLLEPIWGSKEFLKFIFIVNFLTSVCVFTTAIALYYITREENYLYMPLSGFHGVLAGFLVGIKQIVPDQELSLLKIKAKWLPSLMLLISIAISFFTPDSATYLPTLIFGAYMGWIYLRYFQRKPEAKHRGDPSEDFAFSTFFPEFLRPIIDPIASMFHRMLCGKSEASTNAQGYTVGGAPLIGSDPIEASRRRERGARALEERLAAERLAAGKNSEEPQIDESDNV >KJB74727 pep chromosome:Graimondii2_0_v6:12:508035:511782:-1 gene:B456_012G004300 transcript:KJB74727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGSGIFSGFTKLCKGLTVILIGGHIVVRLLPSSVIYLALIPARTIPFGWNLITAGYIEQSLHGVVVSVIGLLFMGKLLEPIWGSKEFLKFIFIVNFLTSVCVFTTAIALYYITREENYLYMPLSGFHGVLAGFLVGIKQIVPDQELSLLKIKAKWLPSLMLLISIAISFFTPDSATYLPTLIFGAYMGWIYLRYFQRKPEAKHRGDPSEDFAFSTFFPEFLRPIIDPIASMFHRMLCGKSEASTNAQGYTVGGAPLIGSDPIEASRRRERGARALEERLAAERLAAGKNSEEPQIDESDNV >KJB74729 pep chromosome:Graimondii2_0_v6:12:508366:510714:-1 gene:B456_012G004300 transcript:KJB74729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLEPIWGSKEFLKFIFIVNFLTSVCVFTTAIALYYITREENYLYMPLSGFHGVLAGFLVGIKQIVPDQELSLLKIKAKWLPSLMLLISIAISFFTPDSATYLPTLIFGAYMGWIYLRYFQRKPEAKHRGDPSEDFAFSTFFPEFLRPIIDPIASMFHRMLCGKSEASTNAQGYTVGGAPLIGSDPIEASRRRERGARALEERLAAERLAAGKNSEEPQIDESDNV >KJB74594 pep chromosome:Graimondii2_0_v6:12:11427136:11428543:1 gene:B456_012G074800 transcript:KJB74594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMGDRVRVFMYPSNI >KJB74597 pep chromosome:Graimondii2_0_v6:12:11427872:11428936:1 gene:B456_012G074800 transcript:KJB74597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGIEAYYLFNGGGVVRSKSNQYPNTSLIQIEGVNTKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMGDRVRVFMYPSNI >KJB74595 pep chromosome:Graimondii2_0_v6:12:11427054:11429068:1 gene:B456_012G074800 transcript:KJB74595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMGDRVRVFMYPSNI >KJB74596 pep chromosome:Graimondii2_0_v6:12:11427136:11428936:1 gene:B456_012G074800 transcript:KJB74596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMILLYLFVSIAGRSSQSLHVP >KJB74818 pep chromosome:Graimondii2_0_v6:12:956010:960742:-1 gene:B456_012G008900 transcript:KJB74818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVKPSPSLIALKPRQVVLLSNPTMKMEASAPSLTPHQNSLLLLSIARFLQRNSFSKTLKKFLSEAQIQKDVLAGSSLALEEMCCKYLAKSDGQDCIASTVEVAPKKKKKRGNESSMDIIGGQSEVDDKSANSKKFEEQVFKDAAKESATDKTKDKKKKKSKSTSDSLIESVGQHGSEDTQHAAVTLNASDISVEDKTSKSKKKKKKDDSEDVEKEKSIEENKISKVDSTITDDKGSKKRKRLDSEVHDSQPVDKNATEDSKRRKTAGSEEQLNSLVGADKHVGEENKGESGETDVDVLPKKPAKRFHEQENGNAEKNGKHSEQKSSKKQQNGSVQPKKPFQRVNVDEVEFVDSRLEDNSYWAKV >KJB74815 pep chromosome:Graimondii2_0_v6:12:954424:960832:-1 gene:B456_012G008900 transcript:KJB74815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVKPSPSLIALKPRQVVLLSNPTMKMEASAPSLTPHQNSLLLLSIARFLQRNSFSKTLKKFLSEAQIQKDVLAGSSLALEEMCCKYLAKSDGQDCIASTVEVAPKKKKKRGNESSMDIIGGQSEVDDKSANSKKFEEQVFKDAAKESATDKTKDKKKKKSKSTSDSLIESVGQHGSEDTQHAAVTLNASDISVEDKTSKSKKKKKKDDSEDVEKEKSIEENKISKVDSTITDDKGSKKRKRLDSEVHDSQPVDKNATEDSKRRKTAGSEEQLNSLVGADKHVGEENKGESGETDVDVLPKKPAKRFHEQENGNAEKNGKHSEQKSSKKQQNGSVQPKKPFQRVNVDEVEFVDSRLEDNSYWAKDGAETGYGAKAQEVLGQVRGRGFRHEKTKKKRGSYRGGQIDLQSHSIKFNYSDEE >KJB74817 pep chromosome:Graimondii2_0_v6:12:954474:960742:-1 gene:B456_012G008900 transcript:KJB74817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCKYLAKSDGQDCIASTVEVAPKKKKKRGNESSMDIIGGQSEVDDKSANSKKFEEQVFKDAAKESATDKTKDKKKKKSKSTSDSLIESVGQHGSEDTQHAAVTLNASDISVEDKTSKSKKKKKKDDSEDVEKEKSIEENKISKVDSTITDDKGSKKRKRLDSEVHDSQPVDKNATEDSKRRKTAGSEEQLNSLVGADKHVGEENKGESGETDVDVLPKKPAKRFHEQENGNAEKNGKHSEQKSSKKQQNGSVQPKKPFQRVNVDEVEFVDSRLEDNSYWAKDGAETGYGAKAQEVLGQVRGRGFRHEKTKKKRGSYRGGQIDLQSHSIKFNYSDEE >KJB74816 pep chromosome:Graimondii2_0_v6:12:954474:958944:-1 gene:B456_012G008900 transcript:KJB74816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIGGQSEVDDKSANSKKFEEQVFKDAAKESATDKTKDKKKKKSKSTSDSLIESVGQHGSEDTQHAAVTLNASDISVEDKTSKSKKKKKKDDSEDVEKEKSIEENKISKVDSTITDDKGSKKRKRLDSEVHDSQPVDKNATEDSKRRKTAGSEEQLNSLVGADKHVGEENKGESGETDVDVLPKKPAKRFHEQENGNAEKNGKHSEQKSSKKQQNGSVQPKKPFQRVNVDEVEFVDSRLEDNSYWAKDGAETGYGAKAQEVLGQVRGRGFRHEKTKKKRGSYRGGQIDLQSHSIKFNYSDEE >KJB77489 pep chromosome:Graimondii2_0_v6:12:31341770:31342779:1 gene:B456_012G139800 transcript:KJB77489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQSLKLPSLNNVEIPVHIIHTEENQIQEPAVDELDYSQRGQWLRAAVLGANDGLVSVASLMMGVSSVKEDVKAVIVVGFAGLVAGACSMAIGEFVSVYTQRDVEIAQMKREKRKGSSKSDENDEKLPNPVQAALASALAFAIGAVVPLLAAAFIRQHKVRMAVVVAVASVALVVFGVAGALLGGTPMVKSSARVLVGGWMAMGTTFGLTKLIGSDHGMQF >KJB75110 pep chromosome:Graimondii2_0_v6:12:3126468:3129359:-1 gene:B456_012G025000 transcript:KJB75110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATADPNFIHLSSPQKENGVSESPGQLDAGALFVLKSRGSWLHSGYHLTTSIVGPVIFSFPFALSLLGWAPGLLITTLQALITFYSYNLLSVILDHHAQLGKRQLRFRDMARDILGPRWGKYFVGPLQIAICYGAVIACILLGGQSLKFIYLLYNPSGKMQLYQFITIFGTVPLFLAQIPSFHSLRHINLASLLLVLAYSACVVAGSIHIGNSRNAPNKDYSIKGSKENRILGAINGISIIATTYGCGIIPEIQATIAPPVKGKMFKGLCVCFGVIVSTYFSVAISGYWAFGNQSQPSILSNFMDENRPLLPSWFLLLTNIFTLMQLVTITVIYLQPTNEVFEKWFANPKMDQFSARNVMPRLVLRSLSVIIGTTFAAMFPFFGDIMALFGAFGVIPLDFILPMVLYNLTFKPSRQSIVFWANTLIAVASSALVAMGALASVRQIILDAKTYNLFANV >KJB77263 pep chromosome:Graimondii2_0_v6:12:29635201:29635693:-1 gene:B456_012G1285001 transcript:KJB77263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFCDICIKEILKGNRPSTHFIRDEWLKIMTNFEKEMGKGFSQRQLKNRWDDLKKEWKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQVVPEAKKFRTSGIDLEFEGKLDQMFMGIVVTGDKAWAPSSGTLP >KJB75529 pep chromosome:Graimondii2_0_v6:12:5721482:5727068:1 gene:B456_012G045700 transcript:KJB75529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 12 [Source:Projected from Arabidopsis thaliana (AT5G23580) UniProtKB/Swiss-Prot;Acc:Q42396] MSRTSSGTKPTMVLPYQTPSLTEHYSIGKKLGQGQFGTTYLCTHKPTGQHFACKSIPKRKLICQEDYDDVWREIQIMHHLSEHPHVVRIRGTYEDQLSVHLVMELCEGGELFDRIVQKGHYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFDTVEEDATLKATDFGLSVFYKPGESFSDVVGSPYYVAPEVLRKHYGPEADVWSAGVILYILLSGVPPFWAETEMGIFRQILQGKIDFDSEPWPAISDSAKDLIRKMLDRHPKRRLTAHQVLCHPWIVDDTIAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITYEELKDGLKRVGSELMESEIKDLMDAADIDNNGTIDYGEFLAATVHLNKLEREENLVGAFSFFDKDGSGYITIDELQQACEEFGLSDVHLDEMIKEIDQDNDGQIDYGEFAAMMRKGNGGIGRRTMRRTINLGDAFGVKSNGAKELNSSV >KJB75530 pep chromosome:Graimondii2_0_v6:12:5721589:5727068:1 gene:B456_012G045700 transcript:KJB75530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 12 [Source:Projected from Arabidopsis thaliana (AT5G23580) UniProtKB/Swiss-Prot;Acc:Q42396] MSRTSSGTKPTMVLPYQTPSLTEHYSIGKKLGQGQFGTTYLCTHKPTGQHFACKSIPKRKLICQEDYDDVWREIQIMHHLSEHPHVVRIRGTYEDQLSVHLVMELCEGGELFDRIVQKGHYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFDTVEEDATLKATDFGLSVFYKPGESFSDVVGSPYYVAPEVLRKHYGPEADVWSAGVILYILLSGVPPFWAETEMGIFRQILQGKIDFDSEPWPAISDSAKDLIRKMLDRHPKRRLTAHQVLLLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITYEELKDGLKRVGSELMESEIKDLMDAADIDNNGTIDYGEFLAATVHLNKLEREENLVGAFSFFDKDGSGYITIDELQQACEEFGLSDVHLDEMIKEIDQDNDGQIDYGEFAAMMRKGNGGIGRRTMRRTINLGDAFGVKSNGAKELNSSV >KJB76328 pep chromosome:Graimondii2_0_v6:12:13849379:13851855:1 gene:B456_012G083100 transcript:KJB76328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSRVPKPKPRRSTMSVCHFCNSKPAVLYCSADSARLCLFCDQQVHSANALSLKHVRSQICDGCKAKPASFLCSIDNLMLCHDCDWSSHNKNNNNINSSSSSNSCVSAMHERAPVEGFSGRPSVVELASLFGFDLKPKDLMNLIPGFSLYERELMNLEDFMVPEEENSCVSSALISSVKLDHEVYRQLVDMGKRDLVRGSGDGAELGPGTPPITSAEQENFATFEVENGDDEEQLQQQTPFTSLLTLQSDDVLRQNDYVAEGELVWDCKSSYLPSQIWDFQSERSKDCEESGAEDAGFVKGCSFFRNNTCNEPFSSCKPTTEESNNVGVVGSLSDSKVVESLETPTCDSTRYVQVMEHPIVGGSEVMNYEAKTNVDMEPLAQNRDNAMLRYKEKKKHRRYDKQIRYESRKTRADTRKRFKGRFVKASEAPDVRV >KJB77052 pep chromosome:Graimondii2_0_v6:12:27215894:27217707:-1 gene:B456_012G118100 transcript:KJB77052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSKLLSLLLLVNFIFIYQSHSSPDNNVTKAQSHIKPKKVHLSLYYESLCPYCRSFIVSQLVKVFNTDLLNIINLRLVPWGNAQYVKPNKTIICQHGEDECYLNTIHACAISIWPDPRKHFNFIYCIENQGLPIKDNQHSEGMEAVWKACSARSGMDQKLIKDCYDSGYGRKLLLQYATETDHLYPKHLYVPWVTVNNQPLYDKYEDFITYVCNAYKDKDLVRACLSNSLKVTEEKFSRSPVCYVEIRSQIQQRLHCCSGGT >KJB77054 pep chromosome:Graimondii2_0_v6:12:27216737:27217555:-1 gene:B456_012G118100 transcript:KJB77054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSKLLSLLLLVNFIFIYQSHSSPDNNVTKAQSHIKPKKVHLSLYYESLCPYCRSFIVSQLVKVFNTDLLNIINLRLVPWGNAQYVKPNKTIICQHGEDECYLNTIHACAISIWPDPAFPSRIISTLKVWKQCGKPALPDPVWIRNSSRTAMTVDMEERQASST >KJB77051 pep chromosome:Graimondii2_0_v6:12:27216624:27217555:-1 gene:B456_012G118100 transcript:KJB77051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSKLLSLLLLVNFIFIYQSHSSPDNNVTKAQSHIKPKKVHLSLYYESLCPYCRSFIVSQLVKVFNTDLLNIINLRLVPWGNAQYVKPNKTIICQHGEDECYLNTIHACAISIWPDPRKHFNFIYCIENQGLPIKDNQHSEGMEAVWKACSARSGMDQKLIKDCYDSGYGRKASFFNMKLDVHNQLDLNSSITQQFSVVLHLIAPYIFLAKCICR >KJB77050 pep chromosome:Graimondii2_0_v6:12:27216474:27217555:-1 gene:B456_012G118100 transcript:KJB77050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSKLLSLLLLVNFIFIYQSHSSPDNNVTKAQSHIKPKKVHLSLYYESLCPYCRSFIVSQLVKVFNTDLLNIINLRLVPWGNAQYVKPNKTIICQHGEDECYLNTIHACAISIWPDPAFPSRIISTLKVWKQCGKPALPDPVWIRNSSRTAMTVDMEESFYCSMLLKLIISIQNIYMSPG >KJB77053 pep chromosome:Graimondii2_0_v6:12:27215894:27217707:-1 gene:B456_012G118100 transcript:KJB77053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSKLLSLLLLVNFIFIYQSHSSPDNNVTKAQSHIKPKKVHLSLYYESLCPYCRSFIVSQLVKVFNTDLLNIINLRLVPWGNAQYVKPNKTIICQHGEDECYLNTIHACAISIWPDPDNQHSEGMEAVWKACSARSGMDQKLIKDCYDSGYGRKLLLQYATETDHLYPKHLYVPWVTVNNQPLYDKYEDFITYVCNAYKDKDLVRACLSNSLKVTEEKFSRSPVCYVEIRSQIQQRLHCCSGGT >KJB77825 pep chromosome:Graimondii2_0_v6:12:33215770:33218368:1 gene:B456_012G159400 transcript:KJB77825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAADAHRTDLMTITRHVLNEQTKYPESRGDFTILLNHIVLGCKFVCSSVSKAGLAKLFGLAGETNIQGEEQKKLDVLSNEVFVKALISSGRTCLLVSEEDEEAIFVDQSKRGKYIVVFDPLDGSSNIDCGVSIGTIFGIYMVKHKDNPTIDDVLQPGNNLVAAGYCMYGSSCTLVLSTGSGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDEPTRKFVEKCKFPTDGSPPKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLR >KJB77824 pep chromosome:Graimondii2_0_v6:12:33215687:33218432:1 gene:B456_012G159400 transcript:KJB77824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAADAHRTDLMTITRHVLNEQTKYPESRGDFTILLNHIVLGCKFVCSSVSKAGLAKLFGLAGETNIQGEEQKKLDVLSNEVFVKALISSGRTCLLVSEEDEEAIFVDQSKRGKYIVVFDPLDGSSNIDCGVSIGTIFGIYMVKHKDNPTIDDVLQPGNNLVAAGYCMYGSSCTLVLSTGSGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDEPTRKFVEKCKFPTDGSPPKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALNLVPGKIHERSPVFLGSYDDVEEIKALYAAADAAK >KJB75315 pep chromosome:Graimondii2_0_v6:12:4516756:4518350:1 gene:B456_012G036500 transcript:KJB75315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRRYGVAGEQISSFNPAYKIHNDCDGTGDIEFDFWSEREAWYYNSNNLDDVDVDGSEVEFQVEAACDGDSPSPLWGANKVSDASPLLPNNHFYSYLSPTRRRQMIEEGRKELMEMIRNMPESSYELSLKDLVDKQNSSETVKEKVVLEGKSFRLETEIRTKKRTANAKAGSLSRTASMETDKLLLKMFFPSRLSFKKKSMAENSSKVSPSPSCEGSEKPVDKQWWIKKIFIQRDHKNKEDSCKNSSKSRDAKGSSLPGCWPFFFCKKR >KJB74580 pep chromosome:Graimondii2_0_v6:12:12960573:12962553:-1 gene:B456_012G080100 transcript:KJB74580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALAAHFNTLLFKRGPWNVQSRNVSSFNGKAADEASLEQEAERKIGWLLKLFFAGTATFVAYQFFPYMGDNLMHQSVSLLHVKDPLFKRMGASRLARFAIDDQRRMKIVEIGGAQELLNMLGSARDERTQKEALKALSALSKSDEAVKALHNGGAISVIKSTPDTFEDAEIGAYKSNLLKRFQDLRYDISS >KJB74579 pep chromosome:Graimondii2_0_v6:12:12960221:12962818:-1 gene:B456_012G080100 transcript:KJB74579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALAAHFNTLLFKRGPWNVQSRNVSSFNGKAADEASLEQEAERKIGWLLKLFFAGTATFVAYQFFPYMGKLIIGFPFTGDNLMHQSVSLLHVKDPLFKRMGASRLARFAIDDQRRMKIVEIGGAQELLNMLGSARDERTQKEALKALSALSKSDEAVKALHNGGAISVIKSTPDTFEDAEIGAYKSNLLKRFQDLRYDISS >KJB74581 pep chromosome:Graimondii2_0_v6:12:12960221:12962823:-1 gene:B456_012G080100 transcript:KJB74581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALAAHFNTLLFKRGPWNVQSRNVSSFNGKDEASLEQEAERKIGWLLKLFFAGTATFVAYQFFPYMGDNLMHQSVSLLHVKDPLFKRMGASRLARFAIDDQRRMKIVEIGGAQELLNMLGSARDERTQKEALKALSALSKSDEAVKALHNGGAISVIKSTPDTFEDAEIGAYKSNLLKRFQDLRYDISS >KJB74577 pep chromosome:Graimondii2_0_v6:12:12960221:12962818:-1 gene:B456_012G080100 transcript:KJB74577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNLMHQSVSLLHVKDPLFKRMGASRLARFAIDDQRRMKIVEIGGAQELLNMLGSARDERTQKEALKALSALSKSDEAVKALHNGGAISVIKSTPDTFEDAEIGAYKSNLLKRFQDLRYDISS >KJB74578 pep chromosome:Graimondii2_0_v6:12:12960573:12962295:-1 gene:B456_012G080100 transcript:KJB74578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCLLAADEASLEQEAERKIGWLLKLFFAGTATFVAYQFFPYMGDNLMHQSVSLLHVKDPLFKRMGASRLARFAIDDQRRMKIVEIGGAQELLNMLGSARDERTQKEALKALSALSKSDEAVKALHNGGAISVIKSTPDTFEDAEIGAYKSNLLKRFQDLRYDISS >KJB74576 pep chromosome:Graimondii2_0_v6:12:12960221:12962062:-1 gene:B456_012G080100 transcript:KJB74576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQSVSLLHVKDPLFKRMGASRLARFAIDDQRRMKIVEIGGAQELLNMLGSARDERTQKEALKALSALSKSDEAVKALHNGGAISVIKSTPDTFEDAEIGAYKSNLLKRFQDLRYDISS >KJB74783 pep chromosome:Graimondii2_0_v6:12:845878:846209:1 gene:B456_012G007400 transcript:KJB74783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKILITFVMAIGMILTPIYSLSMSRQMFYGYKLFNAPSSYFFYSGPRELFVSISIFLPVIGIGIYPDFVLSLSGEKVETILYNYFYR >KJB77143 pep chromosome:Graimondii2_0_v6:12:28383875:28389291:1 gene:B456_012G123100 transcript:KJB77143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPRGRVTITLGRSGQVVKRSGAASDDSLPVAGTKRSVRDRLGGNIDSSLLNGGQLNNKRQRGDGQRASLSDLHIGKDDLRFKLLQKSAFRRVQSDNNQKGMDLRQKLSRLGQPPVNSYETRQQMPDSKERIPEPRDRMPESRETSILGKIPSTRSMDDFPHVTTSISYSPWTLDHLRQRSPDRVMGASRGLSPPRNVELQRRPVGRTYDDVRTVPYMGKDVADALRPVSTASFVTKSTLPTTSAKPMPPGPPIPSTVPPSNIVQKSSYLGDEQQTVEGLLHSLGLGKYAIIFKAEEVDMTALKQMGENDLKELGIPMGPRKKILLALLPRSKRQP >KJB77145 pep chromosome:Graimondii2_0_v6:12:28383926:28386525:1 gene:B456_012G123100 transcript:KJB77145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPRGRVTITLGRSGQVVKRSGAASDDSLPVAGTKRSVRDRLGGNIDSSLLNGGQLNNKRQRGDGQRASLSDLHIGKDDLRFKLLQKSAFRRVQSDNNQKGMDLRQKLSRLGQPPVNSYETRQQMPDSKERIPEPRDRMPESRETSILGKIPSTRSMDDFPHVTTSISYSPWTLDHLRQRSPDRVMGASRGLSPPRNVELQRRPVGRTYDDVRTVPYMGKDVADALRPVSTASFVTKSTLPTTSAKPMPPGPPIPSTVPPSNIVQKSSYLVFDILDCIVESFC >KJB77144 pep chromosome:Graimondii2_0_v6:12:28384302:28388321:1 gene:B456_012G123100 transcript:KJB77144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPRGRVTITLGRSGQVVKRSGAASDDSLPVAGTKRSVRDRLGGNIDSSLLNGGQLNNKRQRGDGQRASLSDLHIGKDDLRFKLLQKSAFRRVQSDNNQKGMDLRQKLSRLGQPPVNSYETRQQMPDSKERIPEPRDRMPESRETSILGKIPSTRSMDDFPHVTTSISYSPWTLDHLRQRSPDRVMGASRGLSPPRNVELQRRPVGRTYDDVRTVPYMGKDVADALRPVSTASFVTKSTLPTTSAKPMPPGPPIPSTVPPSNIVQKSSYLGDEQQTVEGLLHSLGLGKYAIIFKAEEYFSGLSVLMQLKILGSFLHLRLMRWI >KJB77771 pep chromosome:Graimondii2_0_v6:12:32988616:32989619:-1 gene:B456_012G156200 transcript:KJB77771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSAVRGNAHFKSGHGFEPKFKQLVGKVGRLGFLPCDDENVFWFFTWIPATKEEGMEEDPIKLKQFVANELKDASDEMKSIIANTSLECIISSPLRYRKPLELLLWGNISKGNVCVAGDALHPMTPDLGQGACSAMEDGVILARCLGEALLKPGVEDDDEEYKRIEMGLKKYGRERRWRSFDLVTTAFMVGFVLRNDGKMIRYLRDKFLTLGFIAGLLLRKAGFDCEKHMVSGTESDRLVGRTD >KJB74829 pep chromosome:Graimondii2_0_v6:12:1022722:1024562:-1 gene:B456_012G009500 transcript:KJB74829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYRNASKHIAVLAFPFGTHAVPLLNLIRQLSDACPHTMFSFLSTQQSNNSTFPKNLDKIKPFNVWDGLPVGYSFRGNPHEPVDYFLKAVPGNFMKAIDAVVFETGKPFDCLITDAFYAFGADIADELNIPWVALWTASPRALFVHVDSDIIRHHVGINGPKDKPLHFLPGFSSIRVADLPNGLTSGDIDAPMPALLYKMGVSLSRAAAVATNSYEDLDNTVVNMVKLRFSTFLNVGPFNLVSVSSSTVDDSHGTLDWMSKHEAASVVYISFGSVITPPPHELHALCEALEECEFPYLWSLRGSPEKQLPLGFLERTSSKGKIVPWAPQQKILEHPSVGVFVSHVGWNSVLESINGCVPMICRPFFGDQQLNTRTVEVVWGFGLGLEGGTLTKEGAKKALKLILCSQEGKKMREKIRVQKELACKAVKPNGSSNENFKTLVKLVSNTYHQVNA >KJB75058 pep chromosome:Graimondii2_0_v6:12:2739094:2745694:1 gene:B456_012G022300 transcript:KJB75058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMNSLHLKHCVQSYNVFDFLREIVSRVPDYGHGHGHSDAVAAAAADDDRSISRRRKAAGDEVHDSDEESKRSRIHDVGHVGTIGRGRGRGRGRGRGRGGRNVERDAHREIEPEPCATLQQNSKSHPTPGMVVDDGSESKEPIKENTIAEDANQAVRNFDLNAEVDENVEAKPTVGAATAAAPEPATAAAAAAQASSAEPITETKHEEYPGWSLSDMDKMAIDPLQLAQLGKRLDEDEEDYDEEG >KJB76646 pep chromosome:Graimondii2_0_v6:12:20917519:20931874:1 gene:B456_012G099000 transcript:KJB76646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLQCPSKLLFPFHHPYSNSTPGLLHLHRQTVPIFCAKRTGKQRYPSEKKKLKLKQKEALSSVTDKFQGIWRLSKLAVSVKNDPGKDFLHIHVGLLQEIAIVLEFPVASLLPEEAFSVVRKSFDARKILKEAKFVYTVDMDVSKLLSLEPRTWDFISRLEPKIGLIEHMPRERISRDLTSIIRDFKDSNGALSREQGHNIDSGESHKCSTVRKPRIAIVGSGPSGLFASLVLAEFGADVTLIERGQAVERRGRDIGALVVRRILESESNFCFGEGGAGTWSDGKLVTRIGRNSDCVLTIMNTLVHFGAPKSILVDGKPHLGTDRLVPLLRNFRQHLQSLGVDIRFGMRVDDLLIQNGHVVGVEVSDSTNKLKSDCKKLGFDAVILAVGHSARDIYQMLLSHNVDLIPKDFAVGLRVEHPQELINNIQYSALANEVCSGRGKVPVAEYKVVQYVNDKDKNSPLKSESTNHSCYSFCMCPGGQVVLTSTSPSEICINGMSFSRRASRWANAALVVTVSTKDFDALNFHGPLAGVEFQREFERRAAVMGGGNFVVPVQTVSGFMDNKVSVTSMPSSSYRLGVKAASLHELFPIYMTDALRYSIAMFDKELPGFISKEALLHGVETRTSSPIQIPRDSDTYESMSLRGLYPVGEGAGYAGGIVSAAVDGMYSGFSVAQKLGLFHGNIESVLGKAQSAGMVKY >KJB76647 pep chromosome:Graimondii2_0_v6:12:20917707:20928806:1 gene:B456_012G099000 transcript:KJB76647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLQCPSKLLFPFHHPYSNSTPGLLHLHRQTVPIFCAKRTGKQRYPSEKKKLKLKQKEALSSVTDKFQGIWRLSKLAVSVKNDPGKDFLHIHVGLLQEIAIVLEFPVASLLPEEAFSVVRKSFDARKILKEAKFVYTVDMDVSKLLSLEPRTWDFISRLEPKIGLIEHMPRERISRDLTSIIRDFKDSNGALSREQGHNIDSGESHKCSTVRKPRIAIVGSGPSGLFASLVLAEFGADVTLIERGQAVERRGRDIGALVVRRILESESNFCFGEGGAGTWSDGKLVTRIGRNSDCVLTIMNTLVHFGAPKSILVDGKPHLGTDRLVPLLRNFRQHLQSLGVDIRFGMRVDDLLIQNGHVVGVEVSDSTNKLKSDCKKLGFDAVILAVGHSARDIYQMLLSHNVDLIPKDFAVGLRVEHPQELINNIQYSALANEVCSGRGKVPVAEYKVVQYVNDKDKNSPLKSESTNHSCYSFCMCPGGQVVLTSTSPSEICINGMSFSRRASRWANAALVVTVSTKDFDALNFHGPLAGVEFQREFERRAAVMGGGNFVVPVQTVSGFMDNKVSVTSMPSSSYRLGVKAASLHELFPIYMTDALRYSIAMFDKEVFYISLIRITLQLQFFLLISKLNLRSFLFHQPMELSATWIYLEGGSSSRS >KJB76648 pep chromosome:Graimondii2_0_v6:12:20917707:20931711:1 gene:B456_012G099000 transcript:KJB76648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLQCPSKLLFPFHHPYSNSTPGLLHLHRQTVPIFCAKRTGKQRYPSEKKKLKLKQKEALSSVTDKFQGIWRLSKLAVSVKNDPGKDFLHIHVGLLQEIAIVLEFPVASLLPEEAFSVVRKSFDARKILKEAKFVYTVDMDVSKLLSLEPRTWDFISRLEPKIGLIEHMPRERISRDLTSIIRDFKDSNGALSREQGHNIDSGESHKCSTVRKPRIAIVGSGPSGLFASLVLAEFGADVTLIERGQAVERRGRDIGALVVRRILESESNFCFGEGGAGTWSDGKLVTRIGRNSDCVLTIMNTLVHFGAPKSILVDGKPHLGTDRLVPLLRNFRQHLQSLGVDIRFGMRVDDLLIQNGHVVGVEVSDSTNKLKSDCKKLGFDAVILAVGHSARDIYQMLLSHNVDLIPKDFAVGLRVEHPQELINNIQYSALANEVCSGRGKVPVAEYKVVQYVNDKDKNSPLKSESTNHSCYSFCMCPGGQVVLTSTSPSEICINGMSFSRRASRWANAALVVTVSTKDFDALNFHGPLAGVEFQREFERRAAVMGGGNFVVPVQTVSGFMDNKVSVTSMPSSSYRLGVKAASLHELFPIYMTDALRYSIAMFDKELPGFISKEALLHGVETRTSSPIQIPRDSDTYESMSLRGLYPVGEGAGYAGGIVSAAVDGMYSGFSVAQKLGLFHGNIESVLGKAQSAGMVKY >KJB76198 pep chromosome:Graimondii2_0_v6:12:12721531:12726756:-1 gene:B456_012G078600 transcript:KJB76198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 1 [Source:Projected from Arabidopsis thaliana (AT5G05490) UniProtKB/Swiss-Prot;Acc:Q9S7T7] MFYSRELLARKASLGQIWLLGTRQAKIDRRKANKLDIARLCEEILNPPVPIALRLSSILMGGVVILYEKKVMFLHDDANRFLVEIRNAWKVMNEPTLLPKRKEKAKRKSITLPENDESSMGFTNVGELEEEISMGPGDSFRLADDNDITLFDLFQPNSNIRGQFERFEEADEGTQVNFTLDEHTQIPTTPIPSPAQKEPQRAGGTQERHPEHQLDQQFNKNREARDQQRQGPVKQRRKPKGIITDDEQTVISNHVYHSWLHDTSDIASRIRRKNRGPASILSTFKIAKLMELPSTVIMDDMLLKGNQEISYPEPLLDLWKKSNQPLHDSPSDLHSGVGSPSHAASIEVQRANVVNKVTPAGINQFVSPENSGDAVRYTGNSVSGDGVPSGNLEVNIERVGSKKKNVHSTSKNSGSLDTVVEVFHEADTDYKLSRPKRKNLEPDHDFLVETQLTMETPADDPPDMMTENIKKHMKTHFETPGAPQVESLQNLAAGLNRKGAAQLFYRTCVLASQGFLKVQQKVAFGDIFISKGAKM >KJB75875 pep chromosome:Graimondii2_0_v6:12:8841883:8843895:1 gene:B456_012G062500 transcript:KJB75875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDEHLAKIIDECYNNFDHYQIQPGIDLLSQARYFLHDEVYKLWTDGRRILAYPNGNIQEDRDEEYLKLLKKIADMEIEEFPSPIIENIKNAWETWNSPPKLSLDSLHLDEIEEMNLNNVQEG >KJB76225 pep chromosome:Graimondii2_0_v6:12:12693338:12697949:-1 gene:B456_012G078500 transcript:KJB76225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMNKNWKFVRLRQEFQVGVLLLLLCQSLACWSLNEEGFALLRLRERVVNDPFGALWAWKEEDGEINHCSWFGVECCDGKVIALNLKDLCLEGTLAPELGTLIHIKSIILRNNSFTGTIPEGISELKELEVLDLGYNNFNESLPSGLSSDLSLTIQNSDRSEGAVLRKLLQETANTSAKSPKAPTHPASSELSTNSTYLRDAPVPRPPPSPVPQPPSAPASKPSGNDTVPNTSAPSPSGSNPSISDSKSSHEAAILVGSIGGGTFLLILIIIAYLLKTYKVSTVKPWATGLSGQLQKAFVTGVPKLKRSELEAACEDFSNVIGSTMIGTVYKGTLSNGVEIAVVSVPVESTKDWSKSLETQFRKKIDTLSKVNHKNFVNLLGYCEEDNPFTRMLVFEYAPNGTLFEHLHIKESEHLDWAMRLRIIMGMAYCLEHMHQLNPPVPHNNLSSSAVSLTEDYATKISDSCFWNELTASDREADGINLSDTTMASLESNVYTFGVLLFEIVTGRMPYLVDNGSSEDMASDYLRREQALIEMVDPTLNSFDKDQFDLIGEVIKSCIDPEPGHRPDMRGVSARLREITAITPDNAIPKLSPLWWAELEIMSTEAS >KJB76226 pep chromosome:Graimondii2_0_v6:12:12693934:12697949:-1 gene:B456_012G078500 transcript:KJB76226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMNKNWKFVRLRQEFQVGVLLLLLCQSLACWSLNEEGFALLRLRERVVNDPFGALWAWKEEDGEINHCSWFGVECCDGKVIALNLKDLCLEGTLAPELGTLIHIKSIILRNNSFTGTIPEGISELKELEVLDLGYNNFNESLPSGLSSDLSLTIQNSDRSEGAVLRKLLQETANTSAKSPKAPTHPASSELSTNSTYLRDAPVPRPPPSPVPQPPSAPASKPSGNDTVPNTSAPSPSGSNPSISDSKSSHEAAILVGSIGGGTFLLILIIIAYLLKTYKVSTVKPWATGLSGQLQKAFVTGVPKLKRSELEAACEDFSNVIGSTMIGTVYKGTLSNGVEIAVVSVPVESTKDWSKSLETQFRKKIDTLSKVNHKNFVNLLGYCEEDNPFTRMLVFEYAPNGTLFEHLHIKESEHLDWAMRLRIIMGMAYCLEHMHQLNPPVPHNNLSSSAVSLTEDYATKISDSCFWNELTASDREADGINLSDTTMASLESNVYTFGVLLFEIVTGRMPYLVDNGSSEDMASDYLRREQALIEMVDPTLNSFDKDQFDLIGEVIKSCIDPEPGHRPDMRGVSARLREITAITPDNAIPKLSPLWWAELEIMSTEAS >KJB77928 pep chromosome:Graimondii2_0_v6:12:33810368:33811039:1 gene:B456_012G167800 transcript:KJB77928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATKMFSKLLTETDIKKRLAIPVKILPSLPGFNGSHAVRIQLMYGTRIWPIVCTVRKQGYKKPVFSGGWRNFVICNSFNVGDRITMFKVQYEDGSCLYRVEVEKLADSNQYGDLQLHKASDAPIKQEGVIPIMELPNVANDTFVDHVIAKPPVRIFGTNTTDEMKCLGDTKDTDMGEPPLLSPYMAKGEKEINSGEACCCNIITDQRLSLDLTLAPPNMEGV >KJB77449 pep chromosome:Graimondii2_0_v6:12:31139549:31139668:1 gene:B456_012G137900 transcript:KJB77449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYFGVLSVEEQHQSIPNLVVKLYCGDDTVWEVLQKNS >KJB75544 pep chromosome:Graimondii2_0_v6:12:5811905:5815634:-1 gene:B456_012G046500 transcript:KJB75544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYIQRLYNTCKAAFSTNGPVSDEALERVRTMLEKMKPSDVGLEQEAQVVRNWSGPVNEQNGTHHSLPPIKYLHLHECVSFSIGIFCLPPSSLIPLHNHPGMTVLSRLIYGSLHVKSYDWLDPTEPEDPLQARAAKLVKDTEMTAPCATTVLYPTSGGNIHCFRARTPCAIFDILSPPYSSEHGRHCTYFRRSPGRDLPGKKCNFEPSIRW >KJB75542 pep chromosome:Graimondii2_0_v6:12:5811156:5816660:-1 gene:B456_012G046500 transcript:KJB75542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYIQRLYNTCKAAFSTNGPVSDEALERVRTMLEKMKPSDVGLEQEAQVVRNWSGPVNEQNGTHHSLPPIKYLHLHECVSFSIGIFCLPPSSLIPLHNHPGMTVLSRLIYGSLHVKSYDWLDPTEPEDPLQARAAKLVKDTEMTAPCATTVLYPTSGGNIHCFRARTPCAIFDILSPPYSSEHGRHCTYFRRSPGRDLPGVVILDTLFIVELLFSCNVSMA >KJB75540 pep chromosome:Graimondii2_0_v6:12:5811156:5816691:-1 gene:B456_012G046500 transcript:KJB75540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYIQRLYNTCKAAFSTNGPVSDEALERVRTMLEKMKPSDVGLEQEAQVVRNWSGPVNEQNGTHHSLPPIKYLHLHECVSFSIGIFCLPPSSLIPLHNHPGMTVLSRLIYGSLHVKSYDWLDPTEPEDPLQARAAKLVKDTEMTAPCATTVLYPTSGGNIHCFRARTPCAIFDILSPPYSSEHGRHCTYFRRSPGRDLPGVVILDTLFIVELLFSCNVSMA >KJB75543 pep chromosome:Graimondii2_0_v6:12:5811210:5816503:-1 gene:B456_012G046500 transcript:KJB75543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYIQRLYNTCKAAFSTNGPVSDEALERVRTMLEKMKPSDVGLEQEAQVVRNWSGPVNEQNGTHHSLPPIKYLHLHECVSFSIGIFCLPPSSLIPLHNHPGMTVLSRLIYGSLHVKSYDWLDPTEPEDPLQARAAKLVKDTEMTAPCATTVLYPTSGGNIHCFRARTPCAIFDILSPPYSSEHGRHCTYFRRSPGRDLPGEIEMNGEMFSEVTWLEDFQPPDDFVIRRGLYKGPVIRR >KJB75541 pep chromosome:Graimondii2_0_v6:12:5811711:5815634:-1 gene:B456_012G046500 transcript:KJB75541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYIQRLYNTCKAAFSTNGPVSDEALERVRTMLEKMKPSDVGLEQEAQVVRNWSGPVNEQNGTHHSLPPIKYLHLHECVSFSIGIFCLPPSSLIPLHNHPGMTVLSRLIYGSLHVKSYDWLDPTEPEDPLQARAAKLVKDTEMTAPCATTVLYPTSGGNIHCFRARTPCAIFDILSPPYSSEHGRHCTYFRRSPGRDLPGEIEMNGEMFSEVTWLEDFQPPDDFVIRRGLYKGPVIRR >KJB76001 pep chromosome:Graimondii2_0_v6:12:9663896:9666226:-1 gene:B456_012G067300 transcript:KJB76001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDNNHHRNSPSQYFQHSLELIAGELSTATGASPTLGQLLKHVGDTRKEATGDETPVHEVLVDVTEPRPIPFVLSFNNLTYSVKVPRKMTLPGFFRRRGGSAAADAAIVDNPLAGDSYFTRTKTLLNDISGEARDGEILAVLGASGSGKSTLIDALANRIAKGSLKGNVTLNGEALESRMLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRTLSKSKKKMRVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVVMSVHQPSYRILGLLDRLIFLSRGQTVYSGSPKTLPLYFSEFGYPIPENENKTEFALDLIRELEGSPGGTKSLVEFNKSWQSMKHTRDTEPDRVGLSLKEAISASISRGKLVPGATNDVNSTSMVPTFANPFWKEMVVLSNRSILNLRRMPELFGIRLAAVLVTGFILATVFWQLDNSPKGVQERLGFFAFAMSTTYYTCADALPVFLQERYIFMRETAYNAYRRLSYVISNALVTLPGLILLSFAFSMTTFWAVGLDGGLSGFLFYFLIMFASFWSGSSFVTFLSGVVPHVMLGYTIVVAVLAYFLLFSGFFINRDRIPGYWIWFHYLSLVKYPYEAVLQNEFENPAKCFVRGIQIFDNSPLGAVPPAMKVRLLQSLSNTLGMRITSSTCMTTGLDILKQEGITDLSKWNCLLITVAWGFLFRILFYFSLLLGSKNKRS >KJB75614 pep chromosome:Graimondii2_0_v6:12:6242643:6244517:-1 gene:B456_012G048500 transcript:KJB75614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSSEEAGSPIQEGKEDESSNKVRKGASTSSPLLRLKDPRIVRVSRAFGGKDRHSKVFTVRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNEAKHEIEELPPLPIPQGNFSLNPQMPLNASQQPNKQDSTGGFCRAKSKDIVRESSKGSKRDEEASEGHQVQTDYGLQRSNHSPMPALSNNAVSMPMPMPMPYGSYYHFEPSNFPLPHLGSHGFVAPQTEEVHNFNVVPLSSTLSLSSGSQNHFQMLSSGAQNPFANPPQYSITQPDLRSFHLSIAPRLLPHSLNINGSQPGKEDEFPSK >KJB75613 pep chromosome:Graimondii2_0_v6:12:6242356:6244652:-1 gene:B456_012G048500 transcript:KJB75613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSSEEAGSPIQEGKEDESSNKVRKGASTSSPLLRLKDPRIVRVSRAFGGKDRHSKVFTVRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNEAKHEIEELPPLPIPQGNFSLNPQMPLNASQQPNKQDSTGGFCRAKSKDIVRESSKGSKRDEEASEGHQVQTDYGLQRSNHSPMPALSNNAVSMPMPMPMPYGSYYHFEPSNFPLPHLGSHGFVAPQTEEVHNFNVVPLSSTLSLSSGSQNHFQMLSSGAQNPFANPPQYSITQPDLRSFHLSIAPRLLPHSLNINGSQPGKEDEFPSK >KJB75299 pep chromosome:Graimondii2_0_v6:12:4444514:4448499:-1 gene:B456_012G036000 transcript:KJB75299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNMVTLQTLDTIFYEAQRQGRISFYVTSIGEEAINIASAAALTSDDIVFPQYREPGVLLWRGFTLQEFANQCFGNKADYGKGRQMPIHYGSNKLNYFTVASTIATQIPHAVGAAYSLKMDKKDACAVTYFGDGGTSEGDFHAAMNFAAVTESPVIFICRNNGWAISTPTSDQFRSDGIAVRGQAYGVRSIRVDGNDALAMYSAVHSARHMAVNEHRPILIEAVTYRVGHHSTSDDSTKYRPANEIEWWRMARDPVTRFRKWIEINGWWGSEAESQLRSSIRSQLLHAIQIAERAEKPPVNDLFTDVYDNIPSNLREQEKLLKETINRHPKDYPADVPI >KJB75297 pep chromosome:Graimondii2_0_v6:12:4443573:4448554:-1 gene:B456_012G036000 transcript:KJB75297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYSKIPRNIINNLKSKVGLWSLMKQSHGTCSYSSCLNTIHLHRFLPLTTLPQFTNPDSISHKKGHFPHFQRFQSTTTEKQIDSVPNGEQSQVLDFPGGKVVFSPEMRFLSESPSVYSQCYRVLDDNGQLISHSNFVTVSEEVAVKMYSNMVTLQTLDTIFYEAQRQGRISFYVTSIGEEAINIASAAALTSDDIVFPQYREPGVLLWRGFTLQEFANQCFGNKADYGKGRQMPIHYGSNKLNYFTVASTIATQIPHAVGAAYSLKMDKKDACAVTYFGDGGTSEGDFHAAMNFAAVTESPVIFICRNNGWAISTPTSDQFRSDGIAVRGQAYGVRSIRVDGNDALAMYSAVHSARHMAVNEHRPILIEAVTYRVGHHSTSDDSTKYRPANEIEWWRMARDPVTRFRKWIEINGWWGSEAESQLRSSIRSQLLHAIQIAERAEKPPVNDLFTDVYDNIPSNLREQEKLLKETINRHPKDYPADVPI >KJB75296 pep chromosome:Graimondii2_0_v6:12:4443573:4448499:-1 gene:B456_012G036000 transcript:KJB75296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYSKIPRNIINNLKSKVGLWSLMKQSHGTCSYSSCLNTIHLHRFLPLTTLPQFTNPDSISHKKGHFPHFQRFQSTTTEKQIDSVPNGEQSQVLDFPGGKVVFSPEMRFLSESPSVYSQCYRVLDDNGQLISHSNFVTVSEEVAVKMYSNMVTLQTLDTIFYEAQRQGRISFYVTSIGEEAINIASAAALTSDDIVFPQYREPGVLLWRGFTLQEFANQCFGNKADYGKGRQMPIHYGSNKLNYFTVASTIATQIPHAVGAAYSLKMDKKDACAVTYFGDGGTSEGDFHAAMNFAAVTESPVIFICRNNGWAISTPTSDQFRSDGIAVRGQAYGVRSIRVDGNDALAMYSAVHSARHMAVNEHRPILIEAVTYRVGHHSTSDDSTKYRPANEIEWWRMARDPVTRFRKWIEINGWWGSEAESQLRSSIRSQIAERAEKPPVNDLFTDVYDNIPSNLREQEKLLKETINRHPKDYPADVPI >KJB75300 pep chromosome:Graimondii2_0_v6:12:4444540:4448499:-1 gene:B456_012G036000 transcript:KJB75300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNMVTLQTLDTIFYEAQRQGRISFYVTSIGEEAINIASAAALTSDDIVFPQYREPGVLLWRGFTLQEFANQCFGNKADYGKGRQMPIHYGSNKLNYFTVASTIATQIPHAVGAAYSLKMDKKDACAVTYFGDGGTSEGDFHAAMNFAAVTESPVIFICRNNGWAISTPTSDQFRSDGIAVRGQAYGVRSIRVDGNDALAMYSAVHSARHMAVNEHRPILIEAVTYRVGHHSTSDDSTKYRPANEIEWWRMARDPVTRFRKWIEINGWWGSEAESQLRSSIRSQIAERAEKPPVNDLFTDVYDNIPSNLREQEKLLKETINRHPKDYPADVPI >KJB75298 pep chromosome:Graimondii2_0_v6:12:4443585:4448554:-1 gene:B456_012G036000 transcript:KJB75298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFSPREPGVLLWRGFTLQEFANQCFGNKADYGKGRQMPIHYGSNKLNYFTVASTIATQIPHAVGAAYSLKMDKKDACAVTYFGDGGTSEGDFHAAMNFAAVTESPVIFICRNNGWAISTPTSDQFRSDGIAVRGQAYGVRSIRVDGNDALAMYSAVHSARHMAVNEHRPILIEAVTYRVGHHSTSDDSTKYRPANEIEWWRMARDPVTRFRKWIEINGWWGSEAESQLRSSIRSQLLHAIQIAERAEKPPVNDLFTDVYDNIPSNLREQEKLLKETINRHPKDYPADVPI >KJB76814 pep chromosome:Graimondii2_0_v6:12:24528188:24529715:-1 gene:B456_012G109200 transcript:KJB76814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAEPEAPHVLILPIPAQGHLKPMLKLAELLSYASFQITFLNTEYFHHTFLSSIDIHAFSRRFPKFQFVTIPDGIPPDSPRPGKSIAHSLISLTDAAKPAVVEVLVSLRSKSGRPPTCIIADGIMSSAAVAAGEEFGIPVLAFRTYSACCTWTYFHLSNLIEGGEVPLPDKDMDKLVTCIPGLENVLRRRDLPSFCRLEKADDHLLYDFFIAQASAMRRASALILNTFEQLETPFISKLASIFSKIYTIGPLHCLSNVDDPTALASTKSIFWQEEKGCLTWLDSHPSKSVVFVSFGSVVTFTLDQMLEFWHGLVNSGKPFLWVIRSNAIIGEDDPRKILEDLKDNTKGKGSIVSWAPQEEVLAHPAVGAFLTHSGWNSTLESIYAGVPMICWPLFVDQYVNSRCVSHVWRVGFDMKDSCHRSIVEKMVRDVIEMKNEEIMKSMEEISKQAQESVKESGPSYCNLDKMIQDIMSVNLENINRRD >KJB76816 pep chromosome:Graimondii2_0_v6:12:24527262:24529782:-1 gene:B456_012G109200 transcript:KJB76816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAEPEAPHVLILPIPAQGHLKPMLKLAELLSYASFQITFLNTEYFHHTFLSSIDIHAFSRRFPKFQFVTIPDGIPPDSPRPGKSIAHSLISLTDAAKPAVVEVLVSLRSKSGRPPTCIIADGIMSSAAVAAGEEFGIPVLAFRTYSACCTWTYFHLSNLIEGGEVPLPDKDMDKLVTCIPGLENVLRRRDLPSFCRLEKADDHLLYDFFIAQASAMRRASALILNTFEQLETPFISKLASIFSKIYTIGPLHCLSNVDDPTALASTKSIFWQEEKGCLTWLDSHPSKSVVFVSFGSVVTFTLDQMLEFWHGLVNSGKPFLWVIRSNAIIGEDDPRKILEDLKDNTKGKGSIVSWAPQEEVLAHPAVGAFLTHSGWNSTLESIYAGVPMICWPLFVDQYVNSRCVSHVWRVGFDMKDSCHRSIVEKMVRDVIEMKNEEIMKSMEEISKQAQESVKESGPSYCNLDKMIQDIMSVNLENINRRD >KJB76813 pep chromosome:Graimondii2_0_v6:12:24528038:24529782:-1 gene:B456_012G109200 transcript:KJB76813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAEPEAPHVLILPIPAQGHLKPMLKLAELLSYASFQITFLNTEYFHHTFLSSIDIHAFSRRFPKFQFVTIPDGIPPDSPRPGKSIAHSLISLTDAAKPAVVEVLVSLRSKSGRPPTCIIADGIMSSAAVAAGEEFGIPVLAFRTYSACCTWTYFHLSNLIEGGEVPLPDKDMDKLVTCIPGLENVLRRRDLPSFCRLEKADDHLLYDFFIAQASAMRRASALILNTFEQLETPFISKLASIFSKIYTIGPLHCLSNVDDPTALASTKSIFWQEEKGCLTWLDSHPSKSVVFVSFGSVVTFTLDQMLEFWHGLVNSGKPFLWVIRSNAIIGEDDPRKILEDLKDNTKGKGSIVSWAPQEEVLAHPAVGAFLTHSGWNSTLESIYAGVPMICWPLFVDQYVNSRCVSHVWRVGFDMKDSCHRSIVEKMVRDVIEMKNEEIMKSMEEISKQAQESVKESGPSYCNLDKMIQDIMSVNLENINRRD >KJB76815 pep chromosome:Graimondii2_0_v6:12:24527774:24529794:-1 gene:B456_012G109200 transcript:KJB76815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAEPEAPHVLILPIPAQGHLKPMLKLAELLSYASFQITFLNTEYFHHTFLSSIDIHAFSRRFPKFQFVTIPDGIPPDSPRPGKSIAHSLISLTDAAKPAVVEVLVSLRSKSGRPPTCIIADGIMSSAAVAAGEEFGIPVLAFRTYSACCTWTYFHLSNLIEGGEVPLPDKDMDKLVTCIPGLENVLRRRDLPSFCRLEKADDHLLYDFFIAQASAMRRASALILNTFEQLETPFISKLASIFSKIYTIGPLHCLSNVDDPTALASTKSIFWQEEKGCLTWLDSHPSKSVVFVSFGSVVTFTLDQMLEFWHGLVNSGKPFLWVIRSNAIIGEDDPRKILEDLKDNTKGKGSIVSWAPQEEVLAHPAVGAFLTHSGWNSTLESIYAGVPMICWPLFVDQYVNSRCVSHVWRVGFDMKDSCHRSIVEKMVRDVIEMKNEEIMKSMEEISKQAQESVKESGPSYCNLDKMIQDIMSVNLENINRRD >KJB74789 pep chromosome:Graimondii2_0_v6:12:863655:865080:-1 gene:B456_012G007700 transcript:KJB74789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPSLFVRPITHFSSKTSKSHSLSFTPSFSLQDFSVSNEIHSILRTVNPMEPALEPLLPFLSPDIVTSIIKDQPNPQLGFRFFIWATQRERLRSSASEKLVLDMLLRKDNAFDMFWQTLEEVKKCGVVIVPNIFKILISGYSKMGLEEKAVECFGKMKDFDCKPDLFTFNAIIYVMISKKVLLLALAVYNQMLKSNYKPNRATFSILLNGLCKNGKTEDALKMFDEMTLRGIEPNRCIYTIIISGLCRADRADDACRLLGRMKDSGCSPDFVAYNALLNGFCELGRLDEAFALLQSFQKDGFVLGLRGYSSFINSLFKARRYEEAHEWYTKMFEENVEPDVVLYAIMLQGLSKAGKVDDAMKLLTEMTERVVCAGMDWLGRLSKFLMRWKSLDVIPQFIHLILLFMDFLRLVSLTKQTFCFTKWK >KJB74788 pep chromosome:Graimondii2_0_v6:12:862192:865334:-1 gene:B456_012G007700 transcript:KJB74788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPSLFVRPITHFSSKTSKSHSLSFTPSFSLQDFSVSNEIHSILRTVNPMEPALEPLLPFLSPDIVTSIIKDQPNPQLGFRFFIWATQRERLRSSASEKLVLDMLLRKDNAFDMFWQTLEEVKKCGVVIVPNIFKILISGYSKMGLEEKAVECFGKMKDFDCKPDLFTFNAIIYVMISKKVLLLALAVYNQMLKSNYKPNRATFSILLNGLCKNGKTEDALKMFDEMTLRGIEPNRCIYTIIISGLCRADRADDACRLLGRMKDSGCSPDFVAYNALLNGFCELGRLDEAFALLQSFQKDGFVLGLRGYSSFINSLFKARRYEEAHEWYTKMFEENVEPDVVLYAIMLQGLSKAGKVDDAMKLLTEMTERGMDWLGRLSKFLMRWKSLDVIPQFIHLILLFMDFLRLVSLTKQTFCFTKWK >KJB76059 pep chromosome:Graimondii2_0_v6:12:10124894:10126215:-1 gene:B456_012G069100 transcript:KJB76059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G39470) UniProtKB/Swiss-Prot;Acc:O80634] MAVAMAMNSVSLNWVPPSFTKKANYVTNSTELAPPAFSSQNSLTYTKETISNEENNCKRRLLLLGVGAITANLLPANSLLAEEIPQNYRAFVDIPDGYSYYYPSDWREFDFRGHDSAFKDRFLQLQNVRVRFIPTDKQDIHELGPIEEVVYNLVNHVYAAPNQMVNILDMQERTSDGKNYYTFEYELTSPNYASASFATIAIGNGKFYLPGFFISVKFSHGLVFLLLTGRYYTLVVGALERRWRRLRNKLKVVADSFKVLDI >KJB76058 pep chromosome:Graimondii2_0_v6:12:10124643:10126332:-1 gene:B456_012G069100 transcript:KJB76058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G39470) UniProtKB/Swiss-Prot;Acc:O80634] MAVAMAMNSVSLNWVPPSFTKKANYVTNSTELAPPAFSSQNSLTYTKETISNEENNCKRRLLLLGVGAITANLLPANSLLAEEIPQNYRAFVDIPDGYSYYYPSDWREFDFRGHDSAFKDRFLQLQNVRVRFIPTDKQDIHELGPIEEVVYNLVNHVYAAPNQMVNILDMQERTSDGKNYYTFEYELTSPNYASASFATIAIGNGRYYTLVVGALERRWRRLRNKLKVVADSFKVLDI >KJB75372 pep chromosome:Graimondii2_0_v6:12:4840401:4843151:1 gene:B456_012G038800 transcript:KJB75372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLAVLLFLLQQILTCAQIYIPADNIALDCGSSTSGNSTAPDGREWTGDNMSWFAESGSQSVSASVEKHNSSFHVVPYMTARISKSEFTYMFPVTAGQKFIRLYFNPARYNGFDTSVYFFSVKVGPYTLLNNFTASITADRSRKGSSIREFCVSLMQNQTLNVTFTPSLEGSYAFVNGIEIVSMPTNLYYSTSDHHGIKFIGLGTRFWIDNYTALENVHRLNVGGPSITAADDTGMYRNWYNDIDNLIEAGVVPSNTSLELDYSNIHEYTAPANVYETARSMGNNRTENLLYNLTWSLPVDSGFRYLVRLHFCEFDPAPEKTSDRRFRIFIDNKTAEPAFDVIETAGGKDKPIYHDYMVMIGNIGDIKSEYSLFIALHPNKEFSAYADALLNGIEVFKLNNSDGNLGRPNPEPKLPEKGTEKKSSKDTKRKLLIFIGVGGIGLLIVLVLVCSIIWRHRKMKQYGSHYKPSCFCCLLDRSKGKSFVGAKTSSLPDELCRRFSLDEIKAATSDFNQDLIIGVGGFGNVYKGFLDNGETIVAIKRLNPESKQGAREFKTEIEMLSQLRHIHLVSLVGYCNDNNEMILVYDYMINGTLRDHLYDTTNEPLTWKQRLKICHGAAIGLNYLHTEVKYTVIHRDVKTSNILLDHKFTAKVADFGLSKLDPKLDMLNTGVKGTWGYLDPEYARGHTLTQKSDVYSFGVVLLEVLCARKALDKRVSMEQMNLAHWVRKCIADGTLHQAIDPKLKGKIAPQCLKVFVEIAESCIQEMGVKRPFMNDVMERLGFALELQEAADAELVKSNHGDDHCYPDIIFPVNPCDDVDFEASDSDVIAVHNPIHDSGSFLSSDVSNTSNA >KJB78081 pep chromosome:Graimondii2_0_v6:12:34564794:34567329:1 gene:B456_012G176400 transcript:KJB78081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVDDEMSIESPDISKAKSELETLHEDRRTLPIYAHRDSLLKAIEEHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKVACTQPRRVAAMSVAARVSQEMDVKLGHEVGYSIRFEDCTSEKTVLQYMTDGKLLREFLGEPDLASYSVIMVDEAHERTVSTDVLFGLLKDLVRFRKDIKILISSATPDASKFSDFFDCAPIYRIPGRRYPVEIQYTKAPVADYLDAAIVTVLQIHMTQSPGDILVFLTGQEEIESAEEILKHKISGFGSEIPELVISKIFSNLPAELQAKIFQPIPIGSRKVVLATNIAEASLTIDGINYVIDPGFCKMEAYNPRTGMESLQVTSISKASADQRAGRSGRTGHGALNKLGEVTKVGRQMAEFPLDPMLSKMIVASDKYKCSDEVISIAAMLSVGNSIFYRPKDEQVHADHARMSFHTGNVGDHIALMNVYNSWRETNYSTQWCYENYVQAIKKAITSGFFSHSARLQNYGSYRTVKHPRIVHIHPRSGLADNFPRWVVYDEVVLTTKEYMRNVTELKPEWLVEIAPHYYQMKEVEDA >KJB78082 pep chromosome:Graimondii2_0_v6:12:34564794:34567329:1 gene:B456_012G176400 transcript:KJB78082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVDDEMSIESPDISKAKSELETLHEDRRTLPIYAHRDSLLKAIEEHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKVACTQPRRVAAMSVAARVSQEMDVKLGHEVGYSIRFEDCTSEKTVLQYMTDGKLLREFLGEPDLASYSVIMVDEAHERTVSTDVLFGLLKDLVRFRKDIKILISSATPDASKFSDFFDCAPIYRIPGRRYPVEIQYTKAPVADYLDAAIVTVLQIHMTQSPGDILVFLTGQEEIESAEEILKHKISGFGSEIPELVISKIFSNLPAELQAKIFQPIPIGSRKVVLATNIAEASLTIDGINYVIDPGFCKMEAYNPRTGMESLQVTSISKASADQRAGRSGRTGHGKCFRLYTADSYKTKLDDNTPPEIQRTNLTSVVLSLKSLGIQNLLNFDFMDPPSNEALLKALELLFALGALNKLGEVTKVGRQMAEFPLDPMLSKMIVASDKYKCSDEVISIAAMLSVGNSIFYRPKDEQVHADHARMSFHTGNVGDHIALMNVYNSWRETNYSTQWCYENYVQVRSMRRARDIRDQLEGLLERVKIELTGCPNDLQAIKKAITSGFFSHSARLQNYGSYRTVKHPRIVHIHPRSGLADNFPRWVVYDEVVLTTKEYMRNVTELKPEWLVEIAPHYYQMKEVEDA >KJB76245 pep chromosome:Graimondii2_0_v6:12:12954255:12956811:1 gene:B456_012G079900 transcript:KJB76245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAITSANAPLLGENKPPAKHTSVSSAVFNVSTSIIGAGIMSIPATLKVLGVIPAFMLIVIIAWLADISVEFLMRYTHAGKSTTYAGVMKESFGRIGSVLVQLCVITNNLGCLIIYLIIIGDVLSGNQPEGSVHLGVLQEWFGIHWWNSRAFSILFIVAFVMLPLVSFRRVDALRFSSALAVFLAVLFVSISSVMAIDALIEGKTETPRLLPYLDSNASFFDLFTAVPVIVTAFTFHFNVHPIGLEMDKPSDMMKAVRISLILCGTIYFTVGIFGYLLFGESIMPDILVNFDQNSGSALGSLLNDVVRLSYALHLVLVFPLLNFSLRANIDEFLFPSRPILAKDNTRFMSLTLILLAICYLSAIAIPNIWYFFEFMGSTSAVSLAFVFPGAIALRDVHGISTSRDRIVSAVMIILASVTSVIAISSNIYSFFT >KJB74835 pep chromosome:Graimondii2_0_v6:12:1105126:1107282:-1 gene:B456_012G010000 transcript:KJB74835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGKWFKSLITFKPLQDPTNQEKVGDKTKKKWRLWRSSSEGLGSISSKVPRMHHVAASEASDSSLLVDDVLASAMATVARAPPKDFRAVKREWAAIRIQTAFRALLARRALRALKAVVRIQAIFRGRQVRKQAAVTLRCMQALVRVQARVRAQCVTSSEGQAMPKLMDEDPAKQAERGWCDSLGTLEELRAKQQMRQQGAIKRERAVAYSVLKQQSRSCASPNARANKQPYSHNHQRLDRNSPDWNWLDRWMATKPWDTRAMEEGTEPAEMVMMMNTISRKSEDNNFSFHSSSYEHEPLKVKRNNVTTRILARPPTSMQTSGSLSAPSSESVYEETSTSTSSASPTTLSSNTPVAGTLEDNHAQKPSYMNPTESIKAKQKKTFRFSPDNVRRRHVVDDDLQYFHKKLMTLSCEDDTKSSADSYNPSLYFSRKLYGKLYGPRQMGGRQDSPKRNHWSQGRRQANSFM >KJB74836 pep chromosome:Graimondii2_0_v6:12:1104927:1107524:-1 gene:B456_012G010000 transcript:KJB74836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGKWFKSLITFKPLQDPTNQEKVGDKTKKKWRLWRSSSEGLGSISSKVPRMHHVAASEASDSSLLVDDVLASAMATVARAPPKDFRAVKREWAAIRIQTAFRALLARRALRALKAVVRIQAIFRGRQVRKQAAVTLRCMQALVRVQARVRAQCVTSSEGQAMPKLMDEDPAKQAERGWCDSLGTLEELRAKQQMRQQGAIKRERAVAYSVLKQSRSCASPNARANKQPYSHNHQRLDRNSPDWNWLDRWMATKPWDTRAMEEGTEPAEMVMMMNTISRKSEDNNFSFHSSSYEHEPLKVKRNNVTTRILARPPTSMQTSGSLSAPSSESVYEETSTSTSSASPTTLSSNTPVAGTLEDNHAQKPSYMNPTESIKAKQKKTFRFSPDNVRRRHVVDDDLQYFHKKLMTLSCEDDTKSSADSYNPSLYFSRKLYGKLYGPRQMGGRQDSPKRNHWSQGRRQANSFM >KJB77747 pep chromosome:Graimondii2_0_v6:12:32878865:32880003:1 gene:B456_012G154600 transcript:KJB77747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPSKSYGVVLPTMDEDHEEFVFIEEDYDVDDDLSHWEFIDSSVTDSDDADDDVSFHRGELSRRNVESFIPFTFPFPPITEVKKSIPIPAPIQDTDDHDPSHDGSDDQDDDVDASFRRDELSRRRNLVFGMPFPFPPLTEVKQFNLMHDHDNDDPDPSHDDASFHRDEVSRRNLVYGMPFPLPPLTEVEKFIPFPAPIQDNDDHHPSHDGSDDQDDVDDDYGCDLDDELVPKALSGKFGRQRMRKLGKRAFAKMNTSKKSPYLHVKPGCVHGKHGLGLKHSF >KJB75085 pep chromosome:Graimondii2_0_v6:12:2868666:2871938:-1 gene:B456_012G023300 transcript:KJB75085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERIKVLSFPSDSPPLSIIAAAKIAGIALPTQTSTSGSLTLSFSNGLELHGNYVILRYIGRVAAIRNFYGDDAFHAAQIDEWLEYAPTLLLGSEFENACSYMDIYMEKRTFFVSHSFSIADIAIWSGLAGAGQRWESLRKSSKYRNLVRWYNSVSAEYSDALNEVTALYVGKKGLGKQVAAKPKEQKSADGNSSDKVNLGSRPSSEIDLPYAELGKVRLRFAPEPSGYLHIGHSKAALLNQYFAQRYQGEVILRFDDTNPTKESNEFVENLIKDVETLGIKYQKITYTSDYFPKLMDMAEKLIKEGKAYVDDTPREQMQKERMDGIESKCRSNSVEENLKLWKEMIAGSERGLQCCLRGKLDMQDPNKSLRDPVYYRCNPVPHHRIGAKYKLYPTYDFACPFVDAEEGITHALRSSEYHDRNAQYYRIQEDMGMRKVHIYEFSRLNMVYTLLSKRKLLWFVQNGKVDGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIEERKVLLTLTDGPDEPFVRIIPRHKKYDGAGEKATTFTKRIWIDYADAEYISVNEEVTLMDWGNAIVKEIIKDQDGNITQLVGVLHLQGSVKTTKLKLTWLAETSELVNLSLVEFDYLITKKKLEEGEDFLDALNPCTKKETAAIGDSNIRNLKQGEILQLERKGYFRCDVPFVRPSKPVVLIAIPDGRQQSMLK >KJB75087 pep chromosome:Graimondii2_0_v6:12:2868234:2872056:-1 gene:B456_012G023300 transcript:KJB75087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERIKVLSFPSDSPPLSIIAAAKIAGIALPTQTSTSGSLTLSFSNGLELHGNYVILRYIGRVAAIRNFYGDDAFHAAQIDEWLEYAPTLLLGSEFENACSYMDIYMEKRTFFVSHSFSIADIAIWSGLAGAGQRWESLRKSSKYRNLVRWYNSVSAEYSDALNEVTALYVGKKGLGKQVAAKPKEQKSADGNSSDKVNLGSRPSSEIDLPYAELGKVRLRFAPEPSGYLHIGHSKAALLNQYFAQRYQGEVILRFDDTNPTKESNEFVENLIKDVETLGIKYQKITYTSDYFPKLMDMAEKLIKEGKAYVDDTPREQMQKERMDGIESKCRSNSVEENLKLWKEMIAGSERGLQCCLRGKLDMQDPNKSLRDPVYYRCNPVPHHRIGAKYKLYPTYDFACPFVDAEEGITHALRSSEYHDRNAQYYRIQEDMGMRKVHIYEFSRLNMVYTLLSKRKLLWFVQNGKVDGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIEERKVLLTLTDGPDEPFVRIIPRHKKYDGAGEKATTFTKRIWIDYADAEYISVNEEVTLMDWGNAIVKEIIKDQDGNITQLVGVLHLQGSVKTTKLKLTWLAETSELVNLSLVEFDYLITKKKLEEGEDFLDALNPCTKKETAAIGDSNIRNLKQGEILQLERKGYFRCDVPFVRPSKPVVLIAIPDGRQQSMLK >KJB75088 pep chromosome:Graimondii2_0_v6:12:2868983:2872505:-1 gene:B456_012G023300 transcript:KJB75088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERIKVLSFPSDSPPLSIIAAAKIAGIALPTQTSTSGSLTLSFSNGLELHGNYVILRYIGRVAAIRNFYGDDAFHAAQIDEWLEYAPTLLLGSEFENACSYMDIYMEKRTFFVSHSFSIADIAIWSGLAGAGQRWESLRKSSKYRNLVRWYNSVSAEYSDALNEVTALYVGKKGLGKQVAAKPKEQKSADGNSSDKVNLGSRPSSEIDLPYAELGKVRLRFAPEPSGYLHIGHSKAALLNQYFAQRYQGEVILRFDDTNPTKESNEFVENLIKDVETLGIKYQKITYTSDYFPKLMDMAEKLIKEGKAYVDDTPREQMQKERMDGIESKCRSNSVEENLKLWKEMIAGSERGLQCCLRGKLDMQDPNKSLRDPVYYRCNPVPHHRIGAKYKLYPTYDFACPFVDAEEGITHALRSSEYHDRNAQYYRIQEDMGMRKVHIYEFSRLNMVYTLLSKRKLLWFVQNGKVDGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIEERKVLLTLTDGPDEPFVRIIPRHKKYDGAGEKATTFTKRIWIDYADAEYISVNEEVTLMDWGNAIVKEIIKDQDGNITQLVGVLHLQGSVKTTKLKLTWLAETSELVNLSLVEFDYLITKKKVCS >KJB75086 pep chromosome:Graimondii2_0_v6:12:2868184:2872589:-1 gene:B456_012G023300 transcript:KJB75086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERIKVLSFPSDSPPLSIIAAAKIAGIALPTQTSTSGSLTLSFSNGLELHGNYVILRYIGRVAAIRNFYGDDAFHAAQIDEWLEYAPTLLLGSEFENACSYMDIYMEKRTFFVSHSFSIADIAIWSGLAGAGQRWESLRKSSKYRNLVRWYNSVSAEYSDALNEVTALYVGKKGLGKQVAAKPKEQKSADGNSSDKVNLGSRPSSEIDLPYAELGKVRLRFAPEPSGYLHIGHSKAALLNQYFAQRYQGEVILRFDDTNPTKESNEFVENLIKDVETLGIKYQKITYTSDYFPKLMDMAEKLIKEGKAYVDDTPREQMQKERMDGIESKCRSNSVEENLKLWKEMIAGSERGLQCCLRGKLDMQDPNKSLRDPVYYRCNPVPHHRIGAKYKLYPTYDFACPFVDAEEGITHALRSSEYHDRNAQYYRIQEDMGMRKVHIYEFSRLNMVYTLLSKRKLLWFVQNGKVDGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIEERKVLLTLTDGPDEPFVRIIPRHKKYDGAGEKATTFTKRIWIDYADAEYISVNEEVTLMDWGNAIVKEIIKDQDGNITQLVGVLHLQGSVKTTKLKLTWLAETSELVNLSLVEFDYLITKKKLEEGEDFLDALNPCTKKETAAIGDSNIRNLKQGEILQLERKGYFRCDVPFVRPSKPVVLIAIPDGRQQSMLK >KJB76756 pep chromosome:Graimondii2_0_v6:12:23690443:23692894:-1 gene:B456_012G105600 transcript:KJB76756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRVTESSTPSQVSGTNSGDTSPPACTLLSVGQFYLQTFSGTQNVSSLQKEEAWRVNVRIQGCDLEHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFYTGKWEASPEDDKRHWTKFPSFSPLLSQVEVDGGKSLDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSISGFYYDPNSSPFQKLELKSTNEGRSGYSFSSYELQ >KJB76757 pep chromosome:Graimondii2_0_v6:12:23690443:23692868:-1 gene:B456_012G105600 transcript:KJB76757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNVPMADTPVVTFWEGEIVDTKNYTFYTGKWEASPEDDKRHWTKFPSFSPLLSQVEVDGGKSLDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSISGFYYDPNSSPFQKLELKSTNEGRSGYSFSSYELQ >KJB76762 pep chromosome:Graimondii2_0_v6:12:23690443:23692987:-1 gene:B456_012G105600 transcript:KJB76762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRVTESSTPSQVSGTNSGDTSPPACTLLSVGQTFSGTQNVSSLQKEEAWRVNVRIQGCDLEHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFYTGKWEASPEDDKRHWTKFPSFSPLLSQVEVDGGKSLDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSISGFYYDPNSSPFQKLELKSTNEGRSGYSFSSYELQ >KJB76761 pep chromosome:Graimondii2_0_v6:12:23690443:23692868:-1 gene:B456_012G105600 transcript:KJB76761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNVPMADTPVVTFWEGEIVDTKNYTFYTGKWEASPEDDKRHWTKFPSFSPLLSQVEVDGGKSLDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSISGFYYDPNSSPFQKLELKSTNEGRSGYSFSSYELQ >KJB76759 pep chromosome:Graimondii2_0_v6:12:23690443:23692868:-1 gene:B456_012G105600 transcript:KJB76759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRVTESSTPSQVSGTNSGDTSPPACTLLSVGQTFSGTQNVSSLQKEEAWRVNVRIQGCDLEHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFYTGKWEASPEDDKRHWTKFPSFSPLLSQVEVDGGKSLDLSNYPYIFMMERAILRKCWDRLWANHSRILLCLLLLQRWFYQRLLLRS >KJB76760 pep chromosome:Graimondii2_0_v6:12:23691026:23692809:-1 gene:B456_012G105600 transcript:KJB76760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRVTESSTPSQVSGTNSGDTSPPACTLLSVGQTFSGTQNVSSLQKEEAWRVNVRIQGCDLEHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFYTGKWEASPEDDKRHWTKFPSFSPLLSQVEVDGGKSLDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSISGFYYDPNSRYLYFFMVFHISVNDLI >KJB76758 pep chromosome:Graimondii2_0_v6:12:23690777:23692259:-1 gene:B456_012G105600 transcript:KJB76758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNVPMADTPVVTFWEGEIVDTKNYTFYTGKWEASPEDDKRHWTKFPSFSPLLSQVEVDGGKSLDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSISGFYYDPNSSPFQKLELKSTNEGRSGYSFSSYELQ >KJB77254 pep chromosome:Graimondii2_0_v6:12:29491376:29492657:-1 gene:B456_012G127900 transcript:KJB77254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMEYLAVDKQTEKKRARCSSAKVERKIIEKNRRNHMKNLYASLNSLLPHRNSKEPLSLPDQIDEAVNYITKLKTRMNESREKKESLMSRKRSYTYADTITSETTASLKSPEIKMNENGSAMEVVLTTGKDSQFMFYEMIYIIHQHGAQVLNASFSILGNTVFHIVHAEIGAFVGAQIIKDKLKRLVKGSSCEEELQQELWNYQIHPETWDLNYEIYSETWDFPIMLGSTYMP >KJB78265 pep chromosome:Graimondii2_0_v6:12:35358892:35361441:1 gene:B456_012G186700 transcript:KJB78265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNDVEGVKEKKVMNDGEKIQVDDGKDQIFGEEVALSEELKDSDENENEKNLMPSATEEEEAIKKKYGGLLPKKPTLISKNHDRAFFDSADWALGKQGSQKPKGPLEALRPKLQPTPHQQMRSRRSVYAPAEDDNEASSSEDQSCTLEGDNDNINSGTEEHVHGDGDET >KJB78266 pep chromosome:Graimondii2_0_v6:12:35359649:35361194:1 gene:B456_012G186700 transcript:KJB78266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNDVEGVKEKKVMNDGEKIQVDDGKDQIFGEEVALSEELKDSDENENEKNLMPSATEEEEAIKKKYGGLLPKKPTLISKNHDRAFFDSADWALGKQGSQKPKGPLEALRPKLQTATSHILGHEYGDMTESCVSFVSQLHLPRIKAAHWRGTTIILTLEQRSMFMVMVMKHDMSHSCGVWITFQI >KJB74838 pep chromosome:Graimondii2_0_v6:12:1113284:1116009:-1 gene:B456_012G010200 transcript:KJB74838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGETLKSSFSNNSCYVFSIQKHQVLMGTRIFKNGSHFQLKRKKPEKLELKTTTVPAADNLMCTKISDSGCGTGNDDKILVNDSTEKLHAAATKLQKFYKSYRTRRNLADCAVVVEELWWKALEFAALRRSSVSFFNANKSETAVSRWARARTRAAKVGKGLSKDVKGQQLALRHWLEAIDPRHRYGHNLHFYYNVWFESGSCQPFFYWLDVGDGKAVTLDTCSRADLQRQCIKYLGPKEREAYEVILEKGKLIYKQNKAPVSTREGSKWIFVLSTSRILYVAEKVKGLFQHSSFLAGGATIASGRLVVRHGILHAIWAYSGHYRPTEENFMELCSFLEEHHVDLTNVKKNPIDEDIPWEDKIQKELKVAAEISEDNAIHGKEKSIDTGKMKEAVRYKWSTGVGPRIGCVRDYPAQLQFKALEHVNLSPRLAVKPCGPIPSPRPSPNLLLSPRLAYLGLVSPRVRLSATN >KJB77815 pep chromosome:Graimondii2_0_v6:12:33189331:33194357:1 gene:B456_012G158800 transcript:KJB77815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGDSSESRLGGNGGGEEGVTVNIRCSNGTKFTVRTNLESTVGSFKALLAQNCDIPADQQRLIYKGRILKDDQTLQSYGLQADHTIHMVRGFAPSSSTPPPSATTNVATDNTTPGVTRGIGSNDGAGLGASLFPGLNPLGGGGGSSGFGLFGSGLPEFEQVQQQLTQNPNMMREIMNTPAIQSLMNNPELMRSLIMSNPQMREIIDRNPELGHILNDPSILRQTLEAARNPELMREMMRNTDRAMSNIEASPEGFNMLRRMYENVQEPFLNATTMGGNNGNSPATNPFAALLGNQGGSQARRSPINTSTTGSETVQGQTSPNTNPLPNPWSNTAGGGVTQTNATTRPNPAGDARTPGVGGMGGLGLPDLPPMLNGMPDASQLTQLLQNPAISQMMQSIMSNPQYMNQIMNLNPQLRGMFDMNPQLRDMMQNPEVLRQMFSPETMQQMLALQQSLLSLNRQQSTPDSAQTGGTTGIEKKFWIFFIKGMKITS >KJB77814 pep chromosome:Graimondii2_0_v6:12:33189288:33194373:1 gene:B456_012G158800 transcript:KJB77814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGDSSESRLGGNGGGEEGVTVNIRCSNGTKFTVRTNLESTVGSFKALLAQNCDIPADQQRLIYKGRILKDDQTLQSYGLQADHTIHMVRGFAPSSSTPPPSATTNVATDNTTPGVTRGIGSNDGAGLGASLFPGLNPLGGGGGSSGFGLFGSGLPEFEQVQQQLTQNPNMMREIMNTPAIQSLMNNPELMRSLIMSNPQMREIIDRNPELGHILNDPSILRQTLEAARNPELMREMMRNTDRAMSNIEASPEGFNMLRRMYENVQEPFLNATTMGGNNGNSPATNPFAALLGNQGGSQARRSPINTSTTGSETVQGQTSPNTNPLPNPWSNTAGGGVTQTNATTRPNPAGDARTPGVGGMGGLGLPDLPPMLNGMPDASQLTQLLQNPAISQMMQSIMSNPQYMNQIMNLNPQLRGMFDMNPQLRDMMQNPEVLRQMFSPETMQQMLALQQSLLSLNRQQSTPDSAQTGGTTAAPGTANLDLLMNMFGGLGAGGLGVPNQPDVPPEELYATQLSQLQEMGFYDTQENIRALRATAGNVHAAVERLLGNSGQ >KJB76962 pep chromosome:Graimondii2_0_v6:12:26404347:26409940:-1 gene:B456_012G114600 transcript:KJB76962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCRSPAAVAREDVKSNFSGHDHGRKDSVSKQKPPITVLNGVPKENIEEKYLVDRELGRGEFGVTYLCIDRGTRELLACKSISKRKLRTAVDIEDVRREVAIMKHLPKNSSIVSLKEACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGQEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGLIDFKRDPWPNISESAKSLVRQMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIAEFLSVEEVEDIKVMFNKMDTDNDGIVSVEELKAGFKNYGSQLAEPEVQMLIEAVDANGKGTLDYGEFLAVSLHLQRMANDEHLRKAFSYFDKDGNGFIEPDELRDALMEDGADDCTNVANDIFQEVDTDKDGRISYDEFAAMMKTGTDWRKASRHYSRGRFNSLSIKLMKDGSLNLGNE >KJB78285 pep chromosome:Graimondii2_0_v6:12:35423231:35423661:1 gene:B456_012G187900 transcript:KJB78285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRAQIMKKIGPNYRKQKTKLKNRKPNTWSALNCCLFHISLPAVQSIASLKKSSISCYLFSLQTFHENLFNLGASAIFPLVGVDCRL >KJB77159 pep chromosome:Graimondii2_0_v6:12:28555585:28561753:-1 gene:B456_012G123600 transcript:KJB77159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEEDVWAKATKVADDLYEIRDTFFPQNADDKTSKLQHESDLALNLLDSIPAEQRKLPARRAAYEYLRGKILDVVPDYRKEAEDHLSKAVKLNPSLGDAWLCLGNCIWKKGDLTSAKNCFNLALSKGPNKKILCQLSMLERRMAQGADNQAEMVEESIQHAREAITLDVKDGNSWYNMGNACLTSFFVTGTWDHSKLLQSLKAYQNAEKDERMKSNPDLYFNCATVNKYLENYDRALAGFEAAALKDPSLNASEEVEKMVNLLNKLEILLRIGLYRDILSLKDLLL >KJB77156 pep chromosome:Graimondii2_0_v6:12:28554298:28561787:-1 gene:B456_012G123600 transcript:KJB77156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEEDVWAKATKVADDLYEIRDTFFPQNADDKTSKLQHESDLALNLLDSIPAEQRKLPARRAAYEYLRGKILDVVPDYRKEAEDHLSKAVKLNPSLGDAWLCLGNCIWKKGDLTSAKNCFNLALSKGPNKKILCQLSMLERRMAQGADNQAEMVEESIQHAREAITLDVKDGNSWYNMGNACLTSFFVTGTWDHSKLLQSLKAYQNAEKDERMKSNPDLYFNCATVNKYLENYDRALAGFEAAALKDPSLNASEEVEKMVNLLNKLEILLRGHSKSKRLASLASTTGAVNLNSSYKRATLDALSEGLNKAVAVLGKVLLFVKHENITPLIWYTQ >KJB77157 pep chromosome:Graimondii2_0_v6:12:28554639:28561560:-1 gene:B456_012G123600 transcript:KJB77157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEEDVWAKATKVADDLYEIRDTFFPQNADDKTSKLQHESDLALNLLDSIPAEQRKLPARRAAYEYLRGKILDVVPDYRKEAEDHLSKAVKLNPSLGDAWLCLGNCIWKKGDLTSAKNCFNLALSKGPNKKILCQLSMLERRMAQGADNQAEMVEESIQHAREAITLDVKDGNSWYNMGNACLTSFFVTGTWDHSKLLQSLKAYQNAEKDERMKSNPDLYFNCATVNKYLENYDRALAGFEAAALKDPSLNASEEVEKMVNLLNKLEILLRGHSKSKRLASLASTTGAVNLNSSYKRATLDALSEGLNKAVAVLGKVLLFVKHENITPLYFLVCDSDQSCFVLSVYGIRNDTIKEGDQLTLLEPHFRNIDFSWKGKCYQFKSIRVDFLEQVLVNGKALPPHQAIRTSIYAQHKP >KJB77158 pep chromosome:Graimondii2_0_v6:12:28554373:28561753:-1 gene:B456_012G123600 transcript:KJB77158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEEDVWAKATKVADDLYEIRDTFFPQNADDKTSKLQHESDLALNLLDSIPAEQRKLPARRAAYEYLRGKILDVVPDYRKEAEDHLSKAVKLNPSLGDAWLCLGNCIWKKGDLTSAKNCFNLALSKGPNKKILCQLSMLERRMAQGADNQAEMVEESIQHAREAITLDVKDGNSWYNMGNACLTSFFVTGTWDHSKLLQSLKAYQNAEKDERMKSNPDLYFNCATVNKYLENYDRALAGFEAAALKDPSLNASEEVEKMVNLLNKLEILLRGHSKSKRLASLASTTGAVNLNSSYKRATLDALSEGLNKAVAVLGKVLLFVKHENITPLSYFLVCDSDQSCFVLSVYGIRNDTIKEGDQLTLLEPHFRNIDFSWKGKCYQFKSIRVDFLEQVLVNGKALPPHQAIRTSIYAQHKP >KJB76482 pep chromosome:Graimondii2_0_v6:12:15942732:15944984:-1 gene:B456_012G091700 transcript:KJB76482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIVAENISSANTSNNSSQYFQPSLELTAGELSTARGPSPTLGQLLKHVGDARKEVTGDETPIHEVLVNVTEPRPIPFVLSFNNLTYSVKVPRKMALPGFFRRRGGSASADAAVVGNPLAARDSYFMRTKTLLNNISGEARDGEILAVLGASGSGKSTLIDALANRIAKGSLKGNVTLNGEALESRMLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRTLSKSKKKMRVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVVMSIHQPSYRILGLLDRLIFLSRGQTVYSGSPTNLPLYFSEFGYPIPENENKTEFALDLIRELEGSPGGTKSLVEFNKSWQSMKHTGDSEPDELGLSLKEAISASISRGKLVPGATNDINSSSMVPTFANPFWKEMVVLSKRSILNSKRMPELFGTRLAAVLVTGFILATVFWQLDNSPKGVQERLGFFAFAMSTTYYTCADALPVFLQERYIFMRETAYNAYRRLSYVISNALVALPGLIFLSFAFAMTTFWAVGLDGGLSGFLFYFLMMFASFWSGSSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPVYWIWFHYLSLVKYPYEAVLQNEFENPTKCFVRGIQIFDNSPLGVVPPAMKVRLLQSLSNTLGMRITSSTCLTTGLDILKQEGITDLSKWNCLLITVAWGFLFRILFYFSLLLGSKNKRS >KJB74585 pep chromosome:Graimondii2_0_v6:12:8433443:8433792:-1 gene:B456_012G0601002 transcript:KJB74585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVLQAFSTFAISWGLHLKGPVYIAIFKPVSIAIAAFMSAIFLGDSLYLGSIIGAIIISIGFYAVIWGKAKEDERTTSSSKVPLLKVEENVE >KJB74975 pep chromosome:Graimondii2_0_v6:12:1918159:1918769:1 gene:B456_012G016800 transcript:KJB74975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEMSMADSSSLKVLMFLIVQALVYLILSKSSNVFSDDKLMMTSLSFKPPRSLSIRRLLASISDLPQGVEPSPSSSSSPSSRGLESPILQQHAQHYQT >KJB76714 pep chromosome:Graimondii2_0_v6:12:22887755:22889683:1 gene:B456_012G102400 transcript:KJB76714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQSFRDNRFHKKHSFDLLMRIQFAEEKQSVTNLPQTKLEEFEDVKEEAVITTLRSALDYYSIIQVDDGHWPGDYGGPMFLLHGLVITLYVTGALNINRDGGWGLHIEGPSTMFGTVLNYVSLRLLGESAKGGERAIEKARKWILEHGRMWCHCRMVHLPMSFLYAKKFVGPITPTTLS >KJB77842 pep chromosome:Graimondii2_0_v6:12:33299907:33302609:-1 gene:B456_012G160500 transcript:KJB77842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSKGRRGIAFRQVRPTPYSFTSHNENISGDFYSKKCSKALGKNDWEDATCSVCMECPHNAVLLLCSSHDNGCRPYMCGTSFRYSNCLDQYKKFYTKVVSSNEEEPLFSSIDTPVLAPGSGWPVEKSEVTELACPLCRGQVKGWTVVEPAREYLNSKKRSCMQDDCTFVGTFKELRKHMRLDHPCAQPREVDPTLEQKWRRLVRERERDDVISTIRSTMPGSMVYGDYVIEGNHHGFETDEEEDGQNGGFEASLDSNFVNFFLLLHAFGSSGNDLGSIRPRQPTHAADENAEPINLSDQDDDDNDDDDDGGNIALVSRLGRRSGNRQTGR >KJB77841 pep chromosome:Graimondii2_0_v6:12:33300310:33301308:-1 gene:B456_012G160500 transcript:KJB77841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSKGRRGIAFRQVRPTPYSFTSHNENISGDFYSKKCSKALGKNDWEDATCSVCMECPHNAVLLLCSSHDNGCRPYMCGTSFRYSNCLDQYKKFYTKVVSSNEEEPLFSSIDTPVLAPGSGWPVEKSEVTELACPLCRGQVKGWTVVEPAREYLNSKKRSCMQDDCTFVGTFKELRKHMRLDHPCAQPREVDPTLEQKWRRLVRERERDDVISTIRSTMPGSMVYGDYVIEGNHHGFETDEEEDGQNGGFEASLDSNFVNFFLLLHAFGSSGNDLGSIRPRQPTHAADENAEPINLSDQDDDDNDDDDDGGNIALVSRLGRRSGNRQTGR >KJB77843 pep chromosome:Graimondii2_0_v6:12:33299907:33302822:-1 gene:B456_012G160500 transcript:KJB77843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSKGRRGIAFRQVRPTPYSFTSHNENISGDFYSKKCSKALGKNDWEDATCSVCMECPHNAVLLLCSSHDNGCRPYMCGTSFRYSNCLDQYKKFYTKVVSSNEEEPLFSSIDTPVLAPGSGWPVEKSEVTELACPLCRGQVKGWTVVEPAREYLNSKKRSCMQDDCTFVGTFKELRKHMRLDHPCAQPREVDPTLEQKWRRLVRERERDDVISTIRSTMPGSMVYGDYVIEGNHHGFETDEEEDGQNGGFEASLDSNFVNFFLLLHAFGSSGNDLGSIRPRQPTHAADENAEPINLSDQDDDDNDDDDDGGNIALVSRLGRRSGNRQTGR >KJB74746 pep chromosome:Graimondii2_0_v6:12:669158:673828:1 gene:B456_012G005600 transcript:KJB74746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDCEETRHQGNGDNLENSYGGGSSPQPRSDNQKDSKSQHGSRDYERESSRSREKERERVHDKEREKDRDRHRDRDRDREKDRDRDRHHRDRHRDRSRERSERRDRGRDRDDDDYYRSRDYDRRRDYDRDRKDRPGRSSQSHSRGRSERRSKSRSRSRSRSRSKSKRVSGFDMAPPTSAMLAAGAAAAATAGQIPGTSPTLPGVFPSIFPLATTQPFGALPVMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPSPNLNLAAVGLTQGTSGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLILQPQGVPTKVVCLTQALNVDDLMDDEEYEDIVEDMRQEGGKYGELVNVVIPRPNPNGEPSPGVGKVCVDLLTRILTSYLLSIVLFSSYVFCSCK >KJB74747 pep chromosome:Graimondii2_0_v6:12:671098:673828:1 gene:B456_012G005600 transcript:KJB74747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHMKSNFLSGQIPGTSPTLPGVFPSIFPLATTQPFGALPVMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPSPNLNLAAVGLTQGTSGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLILQPQGVPTKVVCLTQALNVDDLMDDEEYEDIVEDMRQEGGKYGELVNVVIPRPNPNGEPSPGVGKVFLEYSDVEGSKKAQVAMNGRKFGGNQVIAVYYPESKFAEREYDG >KJB74745 pep chromosome:Graimondii2_0_v6:12:669158:673828:1 gene:B456_012G005600 transcript:KJB74745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDCEETRHQGNGDNLENSYGGGSSPQPRSDNQKDSKSQHGSRDYERESSRSREKERERVHDKEREKDRDRHRDRDRDREKDRDRDRHHRDRHRDRSRERSERRDRGRDRDDDDYYRSRDYDRRRDYDRDRKDRPGRSSQSHSRGRSERRSKSRSRSRSRSRSKSKRVSGFDMAPPTSAMLAAGAAAAATCGKFVSKYWCGFGQIPGTSPTLPGVFPSIFPLATTQPFGALPVMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPSPNLNLAAVGLTQGTSGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLILQPQGVPTKVVCLTQALNVDDLMDDEEYEDIVEDMRQEGGKYGELVNVVIPRPNPNGEPSPGVGKVFLEYSDVEGSKKAQVAMNGRKFGGNQVIAVYYPESKFAEREYDG >KJB74744 pep chromosome:Graimondii2_0_v6:12:668982:673856:1 gene:B456_012G005600 transcript:KJB74744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDCEETRHQGNGDNLENSYGGGSSPQPRSDNQKDSKSQHGSRDYERESSRSREKERERVHDKEREKDRDRHRDRDRDREKDRDRDRHHRDRHRDRSRERSERRDRGRDRDDDDYYRSRDYDRRRDYDRDRKDRPGRSSQSHSRGRSERRSKSRSRSRSRSRSKSKRVSGFDMAPPTSAMLAAGAAAAATAGQIPGTSPTLPGVFPSIFPLATTQPFGALPVMPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPSPNLNLAAVGLTQGTSGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLILQPQGVPTKVVCLTQALNVDDLMDDEEYEDIVEDMRQEGGKYGELVNVVIPRPNPNGEPSPGVGKVFLEYSDVEGSKKAQVAMNGRKFGGNQVIAVYYPESKFAEREYDG >KJB77652 pep chromosome:Graimondii2_0_v6:12:32384811:32389977:1 gene:B456_012G149900 transcript:KJB77652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQGGTINSFPETVNIDMGSSPDNTSIGQPNSLGNMLNPVETRLSNYMVSSGGTTNGNTFTPDVRIFSGWNSGEPSSRLRTQNQVFQDVLNHHLDDDDGTKIEHGWSSSYGAAGDAPRSEERQIEPPNVFFPGRLNNGRSGNQIRSGPLYLQGSSSTHSPQNMNLTEGFTSHRGNGGSSVGTGIGSVGLEREQVSNASVFSGNVGSSSGSGEENDDGSGSSLGSWGLSCKRKAVEGTSEQSYSASTSGNSQQIENVAWHTVPARNDASSRLSLSTLSRNFLDVSPPDQLNSRVGLGMRIVNDAFPSSSTRSANQESQQESLPYSLSSTGVAGPSSFGSPTHPRAAAFGDSLNLRSAAAIAGNSSSPSTQSHMRTISVVPRNAHPFPWTSISSSRTSHPSGSINPLERATALQEEPNERNIPRNNAEHPMFVPATQDPTGWSLASENISMSGGVPSSNRPGPRSTIQLLSPAWIPPCNPPVHYQQRVSEVAPWSLFPPFDSEPGGSSGRFPSLSSAPSASSWETAVSSLSNSQGNNQTYRRSAFIAERQGDDALGRPHSLRSLAADIEGRHRLISEIREVLNAMRRGENLRIEDHMLFDPFIYHGMVETHDRHRDMRLDVDNMSYEELLALGERIGDVSTGLNEETILELMKQRKYSSTTTESTQEPEPCCICQEEYEDGDNTGILNCGHDFHTNCIKHWLMLKNLCPICKTTGLLK >KJB77651 pep chromosome:Graimondii2_0_v6:12:32384811:32389977:1 gene:B456_012G149900 transcript:KJB77651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQGGTINSFPETVNIDMGSSPDNTSIGQPNSLGNMLNPVETRLSNYMVSSGGTTNGNTFTPDVRIFSGWNSGEPSSRLRTQNQVFQDVLNHHLDDDDGTKIEHGWSSSYGAAGDAPRSEERQIEPPNVFFPGRLNNGRSGNQIRSGPLYLQGSSSTHSPQNMNLTEGFTSHRGNGGSSVGTGIGSVGLEREQVSNASVFSGNVGSSSGSGEENDDGSGSSLGSWGLSCKRKAVEGTSEQSYSASTSGNSQQIENVAWHTVPARNDASSRLSLSTLSRNFLDVSPPDQLNSRVGLGMRIVNDAFPSSSTRSANQESQQESLPYSLSSTGVAGPSSFGSPTHPRAAAFGDSLNLRSAAAIAGNSSSPSTQSHMRTISVVPRNAHPFPWTSISSSRTSHPSGSINPLERATALQEEPNERNIPRNNAEHPMFVPATQDPTGWSLASENISMSGGVPSSNRPGPRSTIQLLSPAWIPPCNPPVHYQQRVSEVAPWSLFPPFDSEPGGSSGRFPSLSSAPSASSWETAVSSLSNSQGNNQTYRRSAFIAERQGDDALGRPHSLRSLAADIEGRHRLISEIREVLNAMRRGENLRIEDHMLFDPFIYHGMVETHDRHRDMRLDVDNMSYEELLALGERIGDVSTGLNEETILELMKQRKYSSTTTESTQEPEPCCICQEEYEDGDNTGILNCGHDFHTNCIKHWLMLKNLCPICKTTGLLK >KJB77653 pep chromosome:Graimondii2_0_v6:12:32385707:32389943:1 gene:B456_012G149900 transcript:KJB77653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQGGTINSFPETVNIDMGSSPDNTSIGQPNSLGNMLNPVETRLSNYMVSSGGTTNGNTFTPDVRIFSGWNSGEPSSRLRTQNQVFQDVLNHHLDDDDGTKIEHGWSSSYGAAGDAPRSEERQIEPPNVFFPGRLNNGRSGNQIRSGPLYLQGSSSTHSPQNMNLTEGFTSHRGNGGSSVGTGIGSVGLEREQVSNASVFSGNVGSSSGSGEENDDGSGSSLGSWGLSCKRKAVEGTSEQSYSASTSGNSQQIENVAWHTVPARNDASSRLSLSTLSRNFLDVSPPDQLNSRVGLGMRIVNDAFPSSSTRSANQESQQESLPYSLSSTGVAGPSSFGSPTHPRAAAFGDSLNLRSAAAIAGNSSSPSTQSHMRTISVVPRNAHPFPWTSISSSRTSHPSGSINPLERATALQEEPNERNIPRNNAEHPMFVPATQDPTGWSLASENISMSGGVPSSNRPGPRSTIQLLSPAWIPPCNPPVHYQQRVSEVAPWSLFPPFDSEPGGSSGRFPSLSSAPSASSWETAVSSLSNSQGNNQTYRRSAFIAERQGDDALGRPHSLRSLAADIEGRHRLISEIREVLNAMRRGENLRIEVWFLIRAMIMLP >KJB77967 pep chromosome:Graimondii2_0_v6:12:34008709:34010890:1 gene:B456_012G170200 transcript:KJB77967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFEQAPPGDAKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVIWGENTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKESTA >KJB77969 pep chromosome:Graimondii2_0_v6:12:34008743:34010843:1 gene:B456_012G170200 transcript:KJB77969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFEQAPPGDAKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVIWGENTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKESTA >KJB77968 pep chromosome:Graimondii2_0_v6:12:34008743:34010034:1 gene:B456_012G170200 transcript:KJB77968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFEQAPPGDAKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVIWGENTLYDYLLNPKKVCASLVYFFSIKLMTFARYCKLVNVSCFLNIEI >KJB74881 pep chromosome:Graimondii2_0_v6:12:1409730:1413523:1 gene:B456_012G012300 transcript:KJB74881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 10 [Source:Projected from Arabidopsis thaliana (AT2G40935) UniProtKB/Swiss-Prot;Acc:Q8S8T8] MVICSTEFETVTHCDLVNNNTCIPLQCTGCIGLFFPCYLFGKNAEFLGSGTLVGSCITHFILWALVNTLCCVLTQGFYLALPGFGCFISCYACGYRRALRSRYNLQEAPCGDFATHFFCHLCAICQEYREIRERAEDPKPPDLNLAVVTAPDVQTMESSGL >KJB74880 pep chromosome:Graimondii2_0_v6:12:1409677:1413523:1 gene:B456_012G012300 transcript:KJB74880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 10 [Source:Projected from Arabidopsis thaliana (AT2G40935) UniProtKB/Swiss-Prot;Acc:Q8S8T8] MKSRSSYVPPPYIPLGQSDEDLPRPTGEGDGEPRPSPSPSQSQSVQWSSGICACCDDMQSCCIGLFFPCYLFGKNAEFLGSGTLVGSCITHFILWALVNTLCCVLTQGFYLALPGFGCFISCYACGYRRALRSRYNLQEAPCGDFATHFFCHLCAICQEYREIRERAEDPKPPDLNLAVVTAPDVQTMESSGL >KJB76736 pep chromosome:Graimondii2_0_v6:12:23314856:23318348:-1 gene:B456_012G104100 transcript:KJB76736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERQRWRAEEDALLCAYVKQYGPREWNLVSHRMNTPLNRDAKSCLERWNNYLKPGIKKGSLTEEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREHKEKHKTVEPVEEGKYDRILETFAEKLVKQGHSSAFPMAASNGGFLHTDPPSPAPPTLLPPWLSNSSNASVVTPPSPSVTLSLSPSTVAAAPPIPWLQPERMSETSPVLGNMVPHGSFPRSENLLISELMDCCRQLEDGRRAWVAHRKEAAWRLRRVELQLESEKASRKRKKMEEIESKIEALREEQKSTLDRIEAEYREQLEGLRRDAEAKEQKLAEQWAAKHLHLTKFLEQTGCRPRVVEPNGQ >KJB76735 pep chromosome:Graimondii2_0_v6:12:23314877:23318189:-1 gene:B456_012G104100 transcript:KJB76735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERQRWRAEEDALLCAYVKQYGPREWNLVSHRMNTPLNRDAKSCLERWNNYLKPGIKKGSLTEEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREHKEKHKTVEPVEEGKYDRILETFAEKLVKQGHSSAFPMAASNGGFLHTDPPSPAPPTLLPPWLSNSSNASVVTPPSPSVTLSLSPSTVAAAPPIPWLQPERMSETSPVLGNMVPHGSFPRSENLLISELMDCCRQLEDGRRAWVAHRKEAAWRLRRVELQLESEKASRKRKKMEEIESKIEALREEQKSTLDRIEAEYREQLEGLRRDAEAKEQKLAEQWAAKHLHLTKFLEQTGCRPRVVEPNGQ >KJB76945 pep chromosome:Graimondii2_0_v6:12:26142029:26142951:1 gene:B456_012G113900 transcript:KJB76945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPLASHRERAEIYHEASLCKQKTQVLLHQFHLPKGLMPLNHLNEFGYNKTTWFIWLKQEKTFKYLFKELGLTSYGVEITAFIGDRQLKKLTGVKSKEMMIWITLFDVFVDAKKGRSKIYCSDSMGLSKSYPVTTVEDEPKELK >KJB77597 pep chromosome:Graimondii2_0_v6:12:32061979:32065499:1 gene:B456_012G146300 transcript:KJB77597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSEVGLKLLFSPISSNIVVRTACCTVGTVIPVYSTFKAIENKDQNEQQKWLLYWTVYGSFSVAEVFADKILSWFPLYNHAKFAFLVWLQLPSSNGAKHLYKSHLRPFLLRHQATFDKILEFINSEMSKIVSARQAEIKFSRTLIVKLMASVNQIVWYLIHPMQTPQNRSIEGPRQVDSSDTQSNSED >KJB75125 pep chromosome:Graimondii2_0_v6:12:3174553:3180061:-1 gene:B456_012G025500 transcript:KJB75125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGSSSSLTSTRLSFPSNISSIKTSKNPPSFHLLCVKASATDSPPKARFVARRKESISVRQLNRPLIEYMSLPASQYSVLDAERIERVDDNTFRCYVYRFKFFNFEVCPVLLVRVEEQPNGCCIKLLSCKLEGSPIVVAQNDKFDGARCKKSTSQSKVNRNMYLLWSTEYRAIPSEMTH >KJB75126 pep chromosome:Graimondii2_0_v6:12:3174645:3180061:-1 gene:B456_012G025500 transcript:KJB75126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGSSSSLTSTRLSFPSNISSIKTSKNPPSFHLLCVKASATDSPPKARFVARRKESISVRQLNRPLIEYMSLPASQYSVLDAERIERVDDNTFRCYVYRFKFFNFEVCPVLLVRVEEQPNGCCIKLLSCKLEGSPIVVAQNDKFDASMVNRISCNTIRNDSLVQELTSDAVIEVNIEVPFAFRPIPLGMIESSGTQVLEQILRLMLPRFMAQLQQVLLLLELICFCNWKYENMKHQLVKDYQAWASGDTSRQPLGTGEI >KJB75124 pep chromosome:Graimondii2_0_v6:12:3174497:3180135:-1 gene:B456_012G025500 transcript:KJB75124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGSSSSLTSTRLSFPSNISSIKTSKNPPSFHLLCVKASATDSPPKARFVARRKESISVRQLNRPLIEYMSLPASQYSVLDAERIERVDDNTFRCYVYRFKFFNFEVCPVLLVRVEEQPNGCCIKLLSCKLEGSPIVVAQNDKFDASMVNRISCNTIRNDSLVQELTSDAVIEVNIEVPFAFRPIPLGMIESSGTQVLEQILRLMLPRFMAQLVKDYQAWASGDTSRQPLGTGEI >KJB76052 pep chromosome:Graimondii2_0_v6:12:10436410:10439349:1 gene:B456_012G070600 transcript:KJB76052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSARIKAESPLHNGANSRYGSKNGEEMGGSSSRVSSMSMPRTEGEILQSSNLKSFNFSELRTATRNFRPDSVLGEGGFGCVFKGWIDENSLTAAKPGTGLVIAVKRLNQEGFQGHQEWLAEINYLGQLHHPNLVKLIGYCLEDDHRLLVYEFMPKGSLENHLFRRNSYFQPLSWNLRMKVALGAAKGLAFLHSDEAKSHVSTRVMGTYGYAAPEYMATGHLTARSDVYSFGVVLLEMLTGKRAMDKNRPSREHSLVDWAKPYLTSKRKILHVMDSRIESQYTLDAALKAAYLALQCLSIEPKLRPNMNAVVKALEQLQDSGRDHKGAPRNSSVLNSHQHSRNAHKHQAKNANDLGNRNTISYPRPAASPLCT >KJB76054 pep chromosome:Graimondii2_0_v6:12:10436806:10439349:1 gene:B456_012G070600 transcript:KJB76054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGANSRYGSKNGEEMGGSSSRVSSMSMPRTEGEILQSSNLKSFNFSELRTATRNFRPDSVLGEGGFGCVFKGWIDENSLTAAKPGTGLVIAVKRLNQEGFQGHQEWLAEINYLGQLHHPNLVKLIGYCLEDDHRLLVYEFMPKGSLENHLFRRNSYFQPLSWNLRMKVALGAAKGLAFLHSDEAKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYMATGHLTARSDVYSFGVVLLEMLTGKRAMDKNRPSREHSLVDWAKPYLTSKRKILHVMDSRIESQYTLDAALKAAYLALQCLSIEPKLRPNMNAVVKALEQLQDSGRDHKGAPRNSSVLNSHQHSRNAHKHQAKNANDLGNRNTISYPRPAASPLCT >KJB76051 pep chromosome:Graimondii2_0_v6:12:10436410:10439425:1 gene:B456_012G070600 transcript:KJB76051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSARIKAESPLHNGANSRYGSKNGEEMGGSSSRVSSMSMPRTEGEILQSSNLKSFNFSELRTATRNFRPDSVLGEGGFGCVFKGWIDENSLTAAKPGTGLVIAVKRLNQEGFQGHQEWLAEINYLGQLHHPNLVKLIGYCLEDDHRLLVYEFMPKGSLENHLFRRNSYFQPLSWNLRMKVALGAAKGLAFLHSDEAKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYMATGHLTARSDVYSFGVVLLEMLTGKRAMDKNRPSREHSLVDWAKPYLTSKRKILHVMDSRIESQYTLDAALKAAYLALQCLSIEPKLRPNMNAVVKALEQLQDSGRDHKGAPRNSSVLNSHQHSRNAHKHQAKNANDLGNRNTISYPRPAASPLCT >KJB76053 pep chromosome:Graimondii2_0_v6:12:10436318:10439489:1 gene:B456_012G070600 transcript:KJB76053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSARIKAESPLHNGANSRYGSKNGEEMGGSSSRVSSMSMPRTEGEILQSSNLKSFNFSELRTATRNFRPDSVLGEGGFGCVFKGWIDENSLTAAKPGTGLVIAVKRLNQEGFQGHQEWLAEINYLGQLHHPNLVKLIGYCLEDDHRLLVYEFMPKGSLENHLFRRNSYFQPLSWNLRMKVALGAAKGLAFLHSDEAKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYMATGHLTARSDVYSFGVVLLEMLTGKRAMDKNRPSREHSLVDWAKPYLTSKRKILHVMDSRIESQYTLDAALKAAYLALQCLSIEPKLRPNMNAVVKALEQLQDSGRDHKGAPRNSSVLNSHQHSRNAHKHQAKNANDLGNRNTISYPRPAASPLCT >KJB76363 pep chromosome:Graimondii2_0_v6:12:14511057:14511679:1 gene:B456_012G085300 transcript:KJB76363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSGGKVKAMWDKRLTKIFCDIYIKEILKGNRSGTHFTKDGWLKIMTNFEKETGKGFSQRQLKNRWDALKKEWKAWKKLKGEDTGLGWNLIKRTVDASDDWWESRLQVVPEAQKFRTSDIDLEFEGKLDQMFMGIVATGDKAWAPSSGTLWSDFFENVNNEIPEKNEEENFK >KJB75222 pep chromosome:Graimondii2_0_v6:12:4043946:4046324:1 gene:B456_012G032600 transcript:KJB75222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLQSDILFLFLLLSLHVIIIAQGNESNIIRPGSSLYAGKHPRSWPSSSGYFQFGFYRQGNGYAVGIWLVGRPENTIVWTANRDDPTVSSNATLEFTTQGSLLLRTEDGVEKIANLAGSVSVDSASMLDTGNFMLYQNRTVVWESFNFPTDTILGGQNLSGSHNQLISSVSRSNHSSGPYLLRMQDDGNLVAYANNPAELDPSDAYWATMTNGWYFSVLNLNERGVLAMYSSPKFHQEKVLANLSATGNETMIIYRATLDPDGNFRLYSHQLERNTISHKWQILNDECDAKGQCGLNSYCSSRGKDTECYCYPGFTFIDENTKSLGCSQNFTIDGCVARKDLVIHYNITTLDNMYWAGDPYSVKPNLEKEDCKKACQEDCSCGGVLYSDTKSCRMYRLPLKYGKRHGNITTTAFIKFILGSTISPPPETSQILISEGNQSLVLTMGLSLGSVTSLCFVIAICSFLLYRHRVQNYEKLLENKSSVLTEQFTLRSFTFDELDEATHGFQDELGKGSFGTVYKGILPGDGKSKTIAVKRLKKVNAGETDQIRTEMTVIGRTNHRNLVRLLGFCVEGSRKLLVYEYLGNGSLAYFLFNMNKRPVWKERARIALDVAKGILYLHEECEGCIIHCNLKPHNILLDDSLTAKISDFGLAKLLRTNQTCSTPRTKGTAWYSAPEWQSSGLVSVKVDVYSFGVILLEIICCRSNIEVQVCSADEILLSTWVYNCFIGGELNKLVEGEEEVDMKMVERFVKVGLWCIQDDPNLRPLMKNVIFMLEGTMTIPVPPSPSLLL >KJB76260 pep chromosome:Graimondii2_0_v6:12:13166446:13171074:1 gene:B456_012G080800 transcript:KJB76260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHHRSNSLPPSTHSFLSKLLLFLTILPLALATLAFVLQWRGGSVSDPTTATSRWAPRGSRREVFPGMETSSSLLPNYHHSSNCINLGRSSSPSFPYYRDWKFGFEDSLKPKICITTSTSAGLAQILPWMFYHKVIGVTTFYLFVEGHAASPNVSGVLESIPGVKVIYRTKELEEQQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMENAIFMARDAGMDWIIHLDTDELIYPAGASEYSLRQLLRDLPSDVDLVVFPNYESSVERDDIKDPFGEVSMFKKNYDHLPKETYFGLYREATRGNPNYFLTYGNGKEAARVQNELRPNGAHRWHNYMKTPNEIKLDNAAVLHYTYAKFSDLTSRRDRCGCKPTQEDVKRCFMLEFDRAVPGTCCLG >KJB76262 pep chromosome:Graimondii2_0_v6:12:13167398:13170898:1 gene:B456_012G080800 transcript:KJB76262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYHKVIGVTTFYLFVEGHAASPNVSGVLESIPGVKVIYRTKELEEQQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMENAIFMARDAGMDWIIHLDTDELIYPAGASEYSLRQLLRDLPSDVDLVVFPNYESSVERDDIKDPFGEVSMFKKNYDHLPKETYFGLYREATRGNPNYFLTYGNGKEAARVQNELRPNGAHRWHNYMKTPNEIKLDNAAVLHYTYAKFSDLTSRRDRCGCKPTQEDVKRCFMLEFDRAAFIIASTATEEELLHWYREHVVWGDKDLRLKLLRKGILTRIYTPMAIIQALRESGVFSSVIANAPATLSRDKFMSSINSSNSSRDVPSVSFSSRKIGGEGENKASARKLLKIEAMAAEVAAVPPLSPPVWTDNDRSRIVERVAGGNSSI >KJB76259 pep chromosome:Graimondii2_0_v6:12:13166446:13171099:1 gene:B456_012G080800 transcript:KJB76259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHHRSNSLPPSTHSFLSKLLLFLTILPLALATLAFVLQWRGGSVSDPTTATSRWAPRGSRREVFPGMETSSSLLPNYHHSSNCINLGRSSSPSFPYYRDWKFGFEDSLKPKICITTSTSAGLAQILPWMFYHKVIGVTTFYLFVEGHAASPNVSGVLESIPGVKVIYRTKELEEQQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMENAIFMARDAGMDWIIHLDTDELIYPAGASEYSLRQLLRDLPSDVDLVVFPNYESSVERDDIKDPFGEVSMFKKNYDHLPKETYFGLYREATRGNPNYFLTYGNGKEAARVQNELRPNGAHRWHNYMKTPNEIKLDNAAVLHYTYAKFSDLTSRRDRCGCKPTQEDVKRCFMLEFDRAAFIIASTATEEELLHWYREHVVWGDKDLRLKLLRKGILTRIYTPMAIIQALRESGVFSSVIANAPATLSRDKFMSSINSSNSSRDVPSVSFSSRKIGGEGENKASARKLLKIEAMAAEVAAVPPLSPPVWTDNDRSRIVERVAGGNSSI >KJB76261 pep chromosome:Graimondii2_0_v6:12:13166446:13171099:1 gene:B456_012G080800 transcript:KJB76261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYHKVIGVTTFYLFVEGHAASPNVSGVLESIPVSMFKKNYDHLPKETYFGLYREATRGNPNYFLTYGNGKEAARVQNELRPNGAHRWHNYMKTPNEIKLDNAAVLHYTYAKFSDLTSRRDRCGCKPTQEDVKRCFMLEFDRAAFIIASTATEEELLHWYREHVVWGDKDLRLKLLRKGILTRIYTPMAIIQALRESGVFSSVIANAPATLSRDKFMSSINSSNSSRDVPSVSFSSRKIGGEGENKASARKLLKIEAMAAEVAAVPPLSPPVWTDNDRSRIVERVAGGNSSI >KJB75639 pep chromosome:Graimondii2_0_v6:12:6450031:6452343:-1 gene:B456_012G049500 transcript:KJB75639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKQLLSLARRSRKPFSSLTVARSSNSAAAPPPPTAMIYDRLSHCVNSRLRKLEHPDSRFLKYGSPHPTQTSHTHILSSPETKITTLSNGLRVATESSLHCRTATVGVWIDAGSRFESEETNGTAHFLEHMIFKGTEKRSAGALEEEIENMGGHLNAYTSREQTAYYAKVMGSDVLKALDILADILQNSKFEERSISRQRDAILREMRMQEVEGQTQEAVFDHLHSTAFQYTPLGRNIHGPVDNMKKITKDHLLNYIQTHYSAPRMVIAASGAVKHEEIVDQAKKLFTNLSSDSTTATQLVAKEPASFTGSDVRIVNDYLPLAQFAVAFEATAWTDPDSVALMVIQVMLGSWSKYAGGGKHMGSELAQKVSINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLGDLAYAIMSAITKLVYRVSEADVIRARNQLKSSLMLHTDGTTPVAEDIGHQVHFYTRSAFDSSAACISLLSSDFLMLTMLQG >KJB75638 pep chromosome:Graimondii2_0_v6:12:6449615:6452343:-1 gene:B456_012G049500 transcript:KJB75638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKQLLSLARRSRKPFSSLTVARSSNSAAAPPPPTAMIYDRLSHCVNSRLRKLEHPDSRFLKYGSPHPTQTSHTHILSSPETKITTLSNGLRVATESSLHCRTATVGVWIDAGSRFESEETNGTAHFLEHMIFKGTEKRSAGALEEEIENMGGHLNAYTSREQTAYYAKVMGSDVLKALDILADILQNSKFEERSISRQRDAILREMRMQEVEGQTQEAVFDHLHSTAFQYTPLGRNIHGPVDNMKKITKDHLLNYIQTHYSAPRMVIAASGAVKHEEIVDQAKKLFTNLSSDSTTATQLVAKEPASFTGSDVRIVNDYLPLAQFAVAFEATAWTDPDSVALMVIQVMLGSWSKYAGGGKHMGSELAQKVSINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLGDLAYAIMSAITKLVYRVSEADVIRARNQLKSSLMLHTDGTTPVAEDIGHQLLTYGRRIPYAELFARIDAVDPATIKRVANRFFYDRDIVIAAIGPVQDLPDYNWFRRRTYWNRY >KJB76088 pep chromosome:Graimondii2_0_v6:12:10358570:10361185:1 gene:B456_012G070300 transcript:KJB76088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDYEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKELSALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQVSYWTSDLHICIYITDNAACLLGRYLGFRCGLQRPSMMNQVQRSSTGNASKCYWYGDRMKERSTVSLFLDAAWNFALF >KJB76089 pep chromosome:Graimondii2_0_v6:12:10358570:10361306:1 gene:B456_012G070300 transcript:KJB76089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDYEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKELSALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPAIVHRKCF >KJB76086 pep chromosome:Graimondii2_0_v6:12:10358473:10361306:1 gene:B456_012G070300 transcript:KJB76086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDYEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKELSALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPAIVHRKCF >KJB76085 pep chromosome:Graimondii2_0_v6:12:10358570:10361306:1 gene:B456_012G070300 transcript:KJB76085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDYEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKELSALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPAIVHRKCF >KJB76087 pep chromosome:Graimondii2_0_v6:12:10358570:10361185:1 gene:B456_012G070300 transcript:KJB76087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDYEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKELSALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQVNVDCKGRV >KJB76090 pep chromosome:Graimondii2_0_v6:12:10358473:10361306:1 gene:B456_012G070300 transcript:KJB76090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDYEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKELSALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPAIVHRKCF >KJB78336 pep chromosome:Graimondii2_0_v6:12:34218424:34222933:1 gene:B456_012G172300 transcript:KJB78336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKEHFKRLSGRDLPIKSAQITESTDFDQLVEKEPWLSSQKLVVKPDMLFGKRGKSGLVGLNLDFAQVIAFVKERLGKEVEMSGCKGPVTTFIVEPFIPHNEEFYLNIVSERLGCSISFSECGGIEIEENWDKVKTIFVPTGLLLTSETCAPLVATLPLEIKGEIEEFIKVIFNLFQDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGNIEFPMPFGRVMSATESYIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALVIGGGIANFTDVGATFNGIIRALKEKESKLKAARMMLYVRRGGPNYQRGLAKMRALGEEIGIPIEVYGPEATMTGICQQAIQYISAAA >KJB78337 pep chromosome:Graimondii2_0_v6:12:34218302:34222936:1 gene:B456_012G172300 transcript:KJB78337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKEHFKRLSGRDLPIKSAQITESTDFDQLVEKEPWLSSQKLVVKPDMLFGKRGKSGLVGLNLDFAQVIAFVKERLGKEVEMSGCKGPVTTFIVEPFIPHNEEFYLNIVSERLGCSISFSECGGIEIEENWDKVKTIFVPTGLLLTSETCAPLVATLPLEIKGEIEEFIKVIFNLFQDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGNIEFPMPFGRVMSATESYIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALVIGGGIANFTDVGATFNGIIRALKEKESKLKAARMMLYVRRGGPNYQRGLAKMRALGEEIGIPIEVYGPEATMTGICQQAIQYISAAA >KJB78334 pep chromosome:Graimondii2_0_v6:12:34218337:34222933:1 gene:B456_012G172300 transcript:KJB78334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKEHFKRLSGRDLPIKSAQITESTDFDQLVEKEPWLSSQKLVVKPDMLFGKRGKSGLVGLNLDFAQVIAFVKERLGKEVEMSGCKGPVTTFIVEPFIPHNEEFYLNIVSERLGCSISFSECGGIEIEENWDKVKTIFVPTGLLLTSETCAPLVATLPLEIKGEIEEFIKVIFNLFQDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGNIEFPMPFGRVMSATESYIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALVIGGGIANFTDVGATFNGIIRALKEKESKLKAARMMLYVRRGGPNYQRGLAKMRALGEEIGIPIEVYGPEATMTGICQQAIQYISAAA >KJB78338 pep chromosome:Graimondii2_0_v6:12:34219739:34222933:1 gene:B456_012G172300 transcript:KJB78338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGKRGKSGLVGLNLDFAQVIAFVKERLGKEVEMSGCKGPVTTFIVEPFIPHNEEFYLNIVSERLGCSISFSECGGIEIEENWDKVKTIFVPTGLLLTSETCAPLVATLPLEIKGEIEEFIKVIFNLFQDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGNIEFPMPFGRVMSATESYIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALVIGGGIANFTDVGATFNGIIRALKEKESKLKAARMMLYVRRGGPNYQRGLAKMRALGEEIGIPIEVYGPEATMTGICQQAIQYISAAA >KJB78335 pep chromosome:Graimondii2_0_v6:12:34218332:34222933:1 gene:B456_012G172300 transcript:KJB78335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKEHFKRLSGRDLPIKSAQITESTDFDQLVEKEPWLSSQKLVVKPDMLFGKRGKSGLVGLNLDFAQVIAFVKERLGKEVEMSGCKGPVTTFIVEPFIPHNEEFYLNIVSERLGCSISFSECGGIEIEENWDKVKTIFVPTGLLLTSETCAPLVATLPLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGNIEFPMPFGRVMSATESYIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALVIGGGIANFTDVGATFNGIIRALKEKESKLKAARMMLYVRRGGPNYQRGLAKMRALGEEIGIPIEVYGPEATMTGICQQAIQYISAAA >KJB76374 pep chromosome:Graimondii2_0_v6:12:14499483:14501846:1 gene:B456_012G085100 transcript:KJB76374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKYQMFFPISSPASSAAYDPFTTNIMAPTSPHVFNNFHGNSSNGLMDLKTDQKFVKKAAEVKEPVDQNGSFVGSEADYHLKSSAATDKKKEKMIRKPRYAFQTRSEVDILEDGYRWRKYGQKAVKNSKFPRSYYRCTHQGCNVKKQVQRLSKDQSIVVTTYEGIHTHPIHNPTDNFEHILSQMHVYTPLFKPIHL >KJB74658 pep chromosome:Graimondii2_0_v6:12:104320:110746:1 gene:B456_012G000900 transcript:KJB74658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLLHDQKNTMIMSFVLVPLLLILNMHYYMLPALADSKRNVYIVYMGKRQHLDVELLTRTHHDMLVTVLGSEETSEDSIVYSYKHGFSGFAAKMTKAQALKLSKLPGVIHVTRNRFYKVQTTRSWDYLGLSSTSLSNLLNKSKMGNGVIIGLLDTGIWPELEVFNDENLGPIPSRWRGVCESGQHFDGAKACNRKLIGARYFISGLEAEYGQPYNTSENDDYMSPRDSSGHGTHTSSIAGGSFVANASYDGLGLGTVRGGAPGAHLAMYKVCWRLYGGVCADADVLKAFDEAINDGVDVLSVSLAADIPLYSEVDHRGSIPIGSFHAVAKGITVVCAAGNAGPRAETVQNTAPWILTVAASTVDRSFPTPITLGNNQTIIGQAMFTGEDTVSAALVYPEVSDLMPPRNCESLSSNDDWMAGKIVLCFASDYNQSLLNDAILSVKAAGGLGVIVSRSSSNYYPYAMNFPCLQVTYETGTQILYYIRSTSNPQVRLSPSRTHIGKPVSTNVAYFSSRGPSSNVPAILKPDIAAPGVQILAAIPPFDETTTGAFAFLSGTSMATPHVSGIVALLKSLYPDWSPAAIKSAIITTGLTSHQSGGPIFAEGEPPKLADPFDFGGGIVNPNSAADPGLVYDMNTENYVHYLCAMGYNNSDIFQLTEHPVVCPSKQPSILDVNLPSITIPNLKKPTILTRTVTNVGPVNSKYKASVEFASGINVAVRPETLIFSSRTKAISFSVMISSAHNVNAGYYFGSLTWTDGVHVVRSPISVRTEVGESFF >KJB75478 pep chromosome:Graimondii2_0_v6:12:5471107:5471696:1 gene:B456_012G0435001 transcript:KJB75478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CCWAFSAVAAMEGVTKLTTGKLISLSEQELVDCDTKGEDQGCEGGLMDDAFQLIEKNKGLTTESIYPYKGVDGTCNTNGEANPAARINGFEDVPANSEDALQKAVANQPVSVAIDAGGFDFQFYSGGLFTGSYGTDLDHGVMAVGYGEDGGTKYWLVKNSWGNSWGEEDYIRMQRDVEAKEGLCGIAMQASYPTA >KJB77862 pep chromosome:Graimondii2_0_v6:12:33345057:33347246:-1 gene:B456_012G161400 transcript:KJB77862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NFSSIRTVRKSFLFHCSFFGLSLFFKVAAPMAGDIPGKLTDSDSAGQTGTIGLLKTLNRDELLEVLSQGFCQHCEALLEERIHQLLNKRGSESTVTSDITLISNPVTGKQLARTPPPPPPAANSDILLNDGLGGSGSTSSDNGLSEEQKEHIRFSQVVRKKDFVHMEKINGKSMNVLKGLELHTKVFNAEEQKKIIECVYNLQCMGQKGQLRERTYSEPRKWMRGKGRVTIQFGCCYNYAVDKIGNPPGILREEEVDPLPPLFKQMIKRMVRWHVLPTMCVPNSCIVNIYNEGDCIPPHIDHHDFLRPFCTVSFLTQCNILFGSSLKIVSPGEFSGPVSIPLPVGSVLILNGNGADIAKHCVPAVPGKRYPIFIFSD >KJB77861 pep chromosome:Graimondii2_0_v6:12:33345057:33347246:-1 gene:B456_012G161400 transcript:KJB77861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NFSSIRTVRKSFLFHCSFFGLSLFFKVAAPMAGDIPGKLTDSDSAGQTGTIGLLKTLNRDELLEVLSQGFCQHCEALLEERIHQLLNKRGSESTVTSDITLISNPVTGKQLARTPPPPPPAANSDILLNDGLGGSGSTSSDNGLSEEQKEHIRFSQVVRKKDFVHMEKINGKSMNVLKGLELHTKVFNAEEQKKIIECVYNLQCMGQKGQLRERTYSEPRKWMRGKGRVTIQFGCCYNYAVDKIGNPPGILREEEVDPLPPLFKQMIKRMVRWHVLPTMCVPNSCIVNIYNEGDCIPPHIDHHDFLRPFCTVSFLTQCNILFGSSLKIVSPGEFSGPVSIPLPVGYICAYSKRQRSRHC >KJB77860 pep chromosome:Graimondii2_0_v6:12:33345057:33346853:-1 gene:B456_012G161400 transcript:KJB77860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLILTYECCNDLIIVSIPERGSESTVTSDITLISNPVTGKQLARTPPPPPPAANSDILLNDGLGGSGSTSSDNGLSEEQKEHIRFSQVVRKKDFVHMEKINGKSMNVLKGLELHTKVFNAEEQKKIIECVYNLQCMGQKGQLRERTYSEPRKWMRGKGRVTIQFGCCYNYAVDKIGNPPGILREEEVDPLPPLFKQMIKRMVRWHVLPTMCVPNSCIVNIYNEGDCIPPHIDHHDFLRPFCTVSFLTQCNILFGSSLKIVSPGEFSGPVSIPLPVGSVLILNGNGADIAKHCVPAVPGKRISITFRRMDESKLPYNFLPDPELSRIKPFIVSPSSAPQGHHQKPVINSSFVKAIVQQNQHRNDQAIKDKSDQEATKTTKNDSFLCGNDDFPPLGKASKGASRR >KJB77859 pep chromosome:Graimondii2_0_v6:12:33345009:33347282:-1 gene:B456_012G161400 transcript:KJB77859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRIYFPYFELKSNFSSIRTVRKSFLFHCSFFGLSLFFKVAAPMAGDIPGKLTDSDSAGQTGTIGLLKTLNRDELLEVLSQGFCQHCEALLEERIHQLLNKRGSESTVTSDITLISNPVTGKQLARTPPPPPPAANSDILLNDGLGGSGSTSSDNGLSEEQKEHIRFSQVVRKKDFVHMEKINGKSMNVLKGLELHTKVFNAEEQKKIIECVYNLQCMGQKGQLRERTYSEPRKWMRGKGRVTIQFGCCYNYAVDKIGNPPGILREEEVDPLPPLFKQMIKRMVRWHVLPTMCVPNSCIVNIYNEGDCIPPHIDHHDFLRPFCTVSFLTQCNILFGSSLKIVSPGEFSGPVSIPLPVGSVLILNGNGADIAKHCVPAVPGKRISITFRRMDESKLPYNFLPDPELSRIKPFIVSPSSAPQGHHQKPVINSSFVKAIVQQNQHRNDQAIKDKSDQEATKTTKNDSFLCGNDDFPPLGKASKGASRR >KJB75659 pep chromosome:Graimondii2_0_v6:12:8327205:8328022:-1 gene:B456_012G059400 transcript:KJB75659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKKAKVPIPAPAPAPTPVPVRSPTSAPPYKAPIPAPPPKGPTPPYKPPSSPAPPPKAPNPPYRPPAPAPSKAPTPPNKPPKTAAPPYKAPRTPTTPWNPPRRPSPPVKTIKDCIPYCRKSCIFRPRTNLCMSRCMPCCNRCKCLPPGTYINRWCGKCP >KJB77506 pep chromosome:Graimondii2_0_v6:12:31418238:31424191:1 gene:B456_012G140900 transcript:KJB77506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERLISVCLQLILVLDLVFRVSGNAEGDALNALKNNMADPNSVLQSWDSTLVNPCTWFHVTCNSENSVTRVDLGNANLSGQLVPQLGQLPNLQYLELYSNNISGIIPEDLGNLTNLVSLDLYLNTLTGHIPSTLGKLQKLRFLRLNNNTLAGQIPMPLTTIMSLQVLDLSNNKLEGDIPVNGSFSLFTPISFANNRLNNPPPAPPPPITPTAPIPSVSLLVGNSATGAIAGGVAAGAALLFAAPAIVLVWWRKKKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPFMVNGSVASCLRERPESQAPLDWAIRKRIALGAARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDRRLETLVDADLQGNYIDREVEQLIQVALLCTQGTPMERPKMSEVVRMLEGDGLAERWEEWQKEEMFRQEFNHNHQPNADWIIADSTSYLRPDELSGPR >KJB77507 pep chromosome:Graimondii2_0_v6:12:31420396:31424191:1 gene:B456_012G140900 transcript:KJB77507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYFDVFFLWSRRLNNNTLAGQIPMPLTTIMSLQVLDLSNNKLEGDIPVNGSFSLFTPISFANNRLNNPPPAPPPPITPTAPIPSGNSATGAIAGGVAAGAALLFAAPAIVLVWWRKKKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPFMVNGSVASCLRERPESQAPLDWAIRKRIALGAARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDRRLETLVDADLQGNYIDREVEQLIQVALLCTQGTPMERPKMSEVVRMLEGDGLAERWEEWQKEEMFRQEFNHNHQPNADWIIADSTSYLRPDELSGPR >KJB77505 pep chromosome:Graimondii2_0_v6:12:31418041:31424272:1 gene:B456_012G140900 transcript:KJB77505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERLISVCLQLILVLDLVFRVSGNAEGDALNALKNNMADPNSVLQSWDSTLVNPCTWFHVTCNSENSVTRVDLGNANLSGQLVPQLGQLPNLQYLELYSNNISGIIPEDLGNLTNLVSLDLYLNTLTGHIPSTLGKLQKLRFLRLNNNTLAGQIPMPLTTIMSLQVLDLSNNKLEGDIPVNGSFSLFTPISFANNRLNNPPPAPPPPITPTAPIPSGNSATGAIAGGVAAGAALLFAAPAIVLVWWRKKKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPFMVNGSVASCLRERPESQAPLDWAIRKRIALGAARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDRRLETLVDADLQGNYIDREVEQLIQVALLCTQGTPMERPKMSEVVRMLEGDGLAERWEEWQKEEMFRQEFNHNHQPNADWIIADSTSYLRPDELSGPR >KJB75611 pep chromosome:Graimondii2_0_v6:12:6101149:6108117:-1 gene:B456_012G048100 transcript:KJB75611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVDDVGGILPAINHSPWNGLTLADYVMPFFLFIVGVSLGLTYKRVSCRVTATRKAILRALKLLILGIFLQGGFFHGLNNLTYGMDIQQMRLMGILQRIAIAYLVAALCEIWLKGDDHVTSQLALLRKYQFQLLAASVLTVIYIFLLYGLYVPTWQYQIPDATSSSAPKTFSVKCGVRGDTGPACNVVGMIDRKILGIQHLYRKPVFERTKQCSINSPDYGPLPSDAPAWCQAPFEPEGLLSSVMAMVTCFVGLHYGHIIVHFKDHADRIRLWLIPSSAFLVLGLALDIFGMHINKALYTFSYMCVTAGAAGFLFAGIYLLVDIYGYQRMTLVLEWMGKHALVIYILAACNLIPVVIQGFYWKQPPNNILSLIGIGR >KJB75612 pep chromosome:Graimondii2_0_v6:12:6101149:6105868:-1 gene:B456_012G048100 transcript:KJB75612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVDDVGGILPAINHSPWNGLTLADYVMPFFLFIVGVSLGLTYKRVSCRVTATRKAILRALKLLILGIFLQGGFFHGLNNLTYGMDIQQMRLMGILQRIAIAYLVAALCEIWLKGDDHVTSQLALLRKYQFQLLAASVLTVIYIFLLYGLYVPTWQYQIPDATSSSAPKTFSVKCGVRGDTGPACNVVGMIDRKILGIQHLYRKPVFERTKQCSINSPDYGPLPSDAPAWCQAPFEPEGLLSSVMAMVTCFVGLHYGHIIVHFKDHADRIRLWLIPSSAFLVLGLALDIFGMHINKALYTFSYMCVTAGAAGFLFAGIYLLVDIYGYQRMTLVLEWMGKHALVIYILAACNLIPVVIQGFYWKQPPNNILSLIGIGR >KJB75610 pep chromosome:Graimondii2_0_v6:12:6101147:6108253:-1 gene:B456_012G048100 transcript:KJB75610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEYEPIKPYADDLEDSVYTQEKHFSIDIDIIGNAEKIQDDDESKNLNQLAFRISDPNIANKGEESPFIPASNSTNLPLHRQQPPQHRLISLDVFRGLTVVLMILVDDVGGILPAINHSPWNGLTLADYVMPFFLFIVGVSLGLTYKRVSCRVTATRKAILRALKLLILGIFLQGGFFHGLNNLTYGMDIQQMRLMGILQRIAIAYLVAALCEIWLKGDDHVTSQLALLRKYQFQLLAASVLTVIYIFLLYGLYVPTWQYQIPDATSSSAPKTFSVKCGVRGDTGPACNVVGMIDRKILGIQHLYRKPVFERTKQCSINSPDYGPLPSDAPAWCQAPFEPEGLLSSVMAMVTCFVGLHYGHIIVHFKDHADRIRLWLIPSSAFLVLGLALDIFGMHINKALYTFSYMCVTAGAAGFLFAGIYLLVDIYGYQRMTLVLEWMGKHALVIYILAACNLIPVVIQGFYWKQPPNNILSLIGIGR >KJB75572 pep chromosome:Graimondii2_0_v6:12:5984971:5987527:1 gene:B456_012G047600 transcript:KJB75572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEKLEKVGEGTYGKVYKAKDKASGQLVALKKTRLEMDEEGVPPTALREVSLLQMLSQSLYIVRLLCVEHVDTNKNGGSKANLYLVFEYLDTDLKKFIDSHRKGPNPRPLPPSLIQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLLDKEKGPLRFCLALLTTPPPSTCGLLDASSPKWLEGKHCSRGILNSSNCSTFSGYWAPQLRSNGLGLPL >KJB75573 pep chromosome:Graimondii2_0_v6:12:5984971:5987527:1 gene:B456_012G047600 transcript:KJB75573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEKLEKVGEGTYGKVYKAKDKASGQLVALKKTRLEMDEEGVPPTALREVSLLQMLSQSLYIVRLLCVEHVDTNKNGGSKANLYLVFEYLDTDLKKFIDSHRKGPNPRPLPPSLIQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLLDKEKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTAVDMWSVGCIFAEMVRRQALFPGDSEFQQLLHIFRYICFFPMYLFLATALFLVLFS >KJB75574 pep chromosome:Graimondii2_0_v6:12:5984971:5987585:1 gene:B456_012G047600 transcript:KJB75574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEKLEKVGEGTYGKVYKAKDKASGQLVALKKTRLEMDEEGVPPTALREVSLLQMLSQSLYIVRLLCVEHVDTNKNGGSKANLYLVFEYLDTDLKKFIDSHRKGPNPRPLPPSLIQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLLDKEKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTAVDMWSVGCIFAEMVRRQALFPGDSEFQQLLHIFRLLGTPTEKQWPGVTSLRDWHVYPRWEPQNLERAVPSLSPEGVDLLSKMLKYDPAERISAKAAMDHPYFDSLDKSQF >KJB75571 pep chromosome:Graimondii2_0_v6:12:5984971:5987527:1 gene:B456_012G047600 transcript:KJB75571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEKLEKVGEGTYGKVYKANLYLVFEYLDTDLKKFIDSHRKGPNPRPLPPSLIQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLLDKEKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTAVDMWSVGCIFAEMVRRQALFPGDSEFQQLLHIFRLLGTPTEKQWPGVTSLRDWHVYPRWEPQNLERAVPSLSPEGVDLLSKMLKYDPAERISAKAAMDHPYFDSLDKSQF >KJB77099 pep chromosome:Graimondii2_0_v6:12:27538660:27540589:1 gene:B456_012G120300 transcript:KJB77099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORT HYPOCOTYL IN WHITE LIGHT 1 [Source:Projected from Arabidopsis thaliana (AT1G69935) UniProtKB/Swiss-Prot;Acc:F4I3V6] MAMALSCSCVVPIATNKLPHSSFSPPATLSFPPHKSFNPKPPISSICRSQFDGSGYEESEAVEEPFFPYLVEESDDEDDETESSVDLLLRFLHSMFRKVSKRAKKASRSILPAAMSPQLVSFAVDGVLLLAALSILRALLEVVCTLGGTVFVVILLLRVIWAAVSYFQSIGNGFNQGDGSFGTSQPII >KJB77100 pep chromosome:Graimondii2_0_v6:12:27538704:27540537:1 gene:B456_012G120300 transcript:KJB77100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORT HYPOCOTYL IN WHITE LIGHT 1 [Source:Projected from Arabidopsis thaliana (AT1G69935) UniProtKB/Swiss-Prot;Acc:F4I3V6] MAMALSCSCVVPIATNKLPHSSFSPPATLSFPPHKSFNPKPPISSICRSQFDGSGYEESEAVEEPFFPYLVEESDDEDDETESSVDLLLRFLHSMFRKVSKRAKKASRSILPAAMSPQLLMESSYWLHFPFLEHFLRWYALLEEQYLLLYCFFV >KJB77101 pep chromosome:Graimondii2_0_v6:12:27538704:27540537:1 gene:B456_012G120300 transcript:KJB77101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORT HYPOCOTYL IN WHITE LIGHT 1 [Source:Projected from Arabidopsis thaliana (AT1G69935) UniProtKB/Swiss-Prot;Acc:F4I3V6] MAMALSCSCVVPIATNKLPHSSFSPPATLSFPPHKSFNPKPPISSICRSQFDGSGYEESEAVEEPFFPYLVEESDDEDDETESSVDLLLRFLHSMFRKVSKRAKKASRSILPAAMSPQLVSFAVDGVLLLAALSILRALLEVSITSTYSYFCIWLRWQSLLQV >KJB77790 pep chromosome:Graimondii2_0_v6:12:33094039:33095631:1 gene:B456_012G157500 transcript:KJB77790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWTQLPKELLELISKRLGTHYDVIRFRSVCSNWRSAVTAPNRCRNRFAPRFPLLPISSQFSLSKRSVFLLGSPTTSTQTNASSPSSWVIKIEEDPMNGRVQLLNPLSRSRFDSLPDNFPKPLNLLDFRVLELGEEYVLHYLTHHSVGNLYMEKVVLSCLDDNVNDFIILTIHVSGKLAMFKSSVKKWAIIQDMPSPFDDVILYKGNFYAVDNTGRTVVVGLDFETNLIAMPVFGGDKKFLVDSKGELLLVDMYLSIESEPGSSSSSAGFGFVEEYFENLALYMNERTIKFKVFKLDDVGKQWVEAKDLDDRVLFLGDGCTFSASIEDLSVCRGNCIIYVDNFFYSLGEEDGASEHCDVGVFDLESGSIGPLTKFPQFSELFWPPPHWISSTTASDLRFGQLR >KJB77789 pep chromosome:Graimondii2_0_v6:12:33093984:33096729:1 gene:B456_012G157500 transcript:KJB77789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWTQLPKELLELISKRLGTHYDVIRFRSVCSNWRSAVTAPNRCRNRFAPRFPLLPISSQFSLSKRSVFLLGSPTTSTQTNASSPSSWVIKIEEDPMNGRVQLLNPLSRSRFDSLPDNFPKPLNLLDFRVLELGEEYVLHYLTHHSVGNLYMEKVVLSCLDDNVNDFIILTIHVSGKLAMFKSSVKKWAIIQDMPSPFDDVILYKGNFYAVDNTGRTVVVGLDFETNLIAMPVFGGDKKFLVDSKGELLLVDMYLSIESEPGSSSSSAGFGFVEEYFENLALYMNERTIKFKVFKLDDVGKQWVEAKDLDDRVLFLGDGCTFSASIEDLSVCRGNCIIYVDNFFYSLGEEDGASEHCDVGVFDLESGSIGPLTKFPQFSELFWPPPHWISSTTASDAQINVGESA >KJB75741 pep chromosome:Graimondii2_0_v6:12:7234222:7236600:-1 gene:B456_012G054400 transcript:KJB75741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSDAKTMKRVVLKRVDPPRPVTTVRYVECQKNHAAAAGGHIVDGCREFIPSGAEGTGAAFTCAACGCHRNFHRRVES >KJB75740 pep chromosome:Graimondii2_0_v6:12:7235954:7236169:-1 gene:B456_012G054400 transcript:KJB75740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVVLKRVDPPRPVTTVRYVECQKNHAAAAGGHIVDGCREFIPSGAEGTGAAFTCAACGCHRNFHRRVES >KJB78043 pep chromosome:Graimondii2_0_v6:12:34382778:34387810:-1 gene:B456_012G174400 transcript:KJB78043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQILSKLPRKSSKSANNREHGGHHNAYYSSVSGGGSRSSDFGTGKSANLSVSSFAVSSPTTDVGWKSADLKQNGNHMLSSYEAMPAFKDVPASERQNLFVKKLNLCCVIFDFTDPTKNLKEKEIKRQTLLELVEYVTSANGKFSDVVLQEIVKMVSANLFRSFNPQPRENKVIEGVDLEEEEPSMDPAWPHLQIVYEFLLRFIASPETDAKLAKRYVDQPFILKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKVFLVRALIPLHKPKCLAMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLNELEEVLEATQPPEFQRCMMPLFRQIARCLNSLHFQVAERALFLWNNDHIENLIIQNRKVILPIIFPALEKNARNHWNQAVHSLTLNVRKIFNDLDPELFKECLLKFQEDESKEDETEAKRENTWKRLEELAEKKAFHSEATVETSESMANGSSG >KJB78044 pep chromosome:Graimondii2_0_v6:12:34381509:34387833:-1 gene:B456_012G174400 transcript:KJB78044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQILSKLPRKSSKSANNREHGGHHNAYYSSVSGGGSRSSDFGTGKSANLSVSSFAVSSPTTDVGWKSADLKQNGNHMLSSYEAMPAFKDVPASERQNLFVKKLNLCCVIFDFTDPTKNLKEKEIKRQTLLELVEYVTSANGKFSDVVLQEIVKMVSANLFRSFNPQPRENKVIEGVDLEEEEPSMDPAWPHLQIVYEFLLRFIASPETDAKLAKRYVDQPFILKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKVFLVRALIPLHKPKCLAMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLNELEEVLEATQPPEFQRCMMPLFRQIARCLNSLHFQVAERALFLWNNDHIENLIIQNRKVILPIIFPALEKNARNHWNQAVHSLTLNVRKIFNDLDPELFKECLLKFQEDESKEDETEAKRENTWKRLEELAEKKAFHSEATVETSESMANGSSG >KJB78045 pep chromosome:Graimondii2_0_v6:12:34382791:34387807:-1 gene:B456_012G174400 transcript:KJB78045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQILSKLPRKSSKSANNREHGGHHNAYYSSVSGGGSRSSDFGTGKSANLSVSSFAVSSPTTDVGWKSADLKQNGNHMLSSYEAMPAFKDVPASERQNLFVKKLNLCCVIFDFTDPTKNLKEKEIKRQTLLELVEYVTSANGKFSDVVLQEIVKMVSANLFRSFNPQPRENKVIEGVDLEEEEPSMDPAWPHLQIVYEFLLRFIASPETDAKLAKRYVDQPFILKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKVFLVRALIPLHKPKCLAMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLNELEEVLEATQPPEFQRCMMPLFRQIARCLNSLHFQVAERALFLWNNDHIENLIIQNRKVILPIIFPALEKNARNHWNQAVHSLTLNVRKIFNDLDPELFKECLLKFQEDESKEDETEAKRENTWKRLEELAEKKAFHSEATVETSESMANGSSG >KJB74962 pep chromosome:Graimondii2_0_v6:12:1821330:1822732:1 gene:B456_012G015900 transcript:KJB74962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGERERFDEIGKKIKREGGVSCHQQMGRRHILSPPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVPESQRADAANSLVYEANVRLRDPVYGCMGAISALQHQVQSLQAEVHAVRAELLKYKYREANFIPSSLVALLSSGAVSVAAPPPPPQPPPPPLPTTSTSTSSMYTQLTTAADYTTISNENVSYFG >KJB75426 pep chromosome:Graimondii2_0_v6:12:5231302:5232913:-1 gene:B456_012G041800 transcript:KJB75426 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP718 [Source:Projected from Arabidopsis thaliana (AT2G42850) UniProtKB/TrEMBL;Acc:A0A178VUF2] MALEMNNFLSWILFCLAAMVSTIFFKHRKRCYGAKRKLPPGQLGLPLIGETMEFYKAQRSNRLFEEFIEPRVAKYGKIFKTSLMGSPTVVVNGAEANRFILSNEFKLVVSSWPSSSIQLMGKNSIMEKQGEQHRFHRGLITSSLSSTALETFVPKICMAVQLHLQKYWRGKDSVRLYRSTKLLTFTIVFECLLGINVEPQMLDTFERVLEGAFAPPLNFPGSKFSRAKKARAEIVKILEKVVGEKRKEMENCSMVGEENGCMLLSRLVGAMIRGELCEEEVIDNVVLLVFAAHDTTSFAIAMTFKMLAQHPDCYSKILQEHDEVKKTKKAGEMLTLGDVKKMERTWQAARECMRLFPPIFGSFRKTVADIEYEGFTIPKGWKVLWTAYGTHYNEEYFKDPLRYDPSRFQEFVPPYVYVPFGGGPRTCAGYQLAKLNILIFLHYVVTAYHWSLLHPNEPIIMDPLPLPSKGMPINISPKLTTTPN >KJB76119 pep chromosome:Graimondii2_0_v6:12:10769948:10771664:1 gene:B456_012G073000 transcript:KJB76119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSILRKTANSLAPLAIRLTRVQRNYHSCIFTALNHGFQPQQPTVNRFYPNTLHFSTAVDSQKSASDESLLRVIESEIQCAEESDEYDQAEGTPSEFPFKIEDTPGLQTITLTREYDGELVKVNVHMPDLVTGEGEADDDNDDDTEKPSKSNVPLVVNVSKKDGPSLEFNCTAYPDEITIDSLSVRNPNSEDELAYEGPDFYDLDENLQKAFHKYLEIRGIKPSTTNYLHEYMINKDGREYILWLKNLKKFIEE >KJB76120 pep chromosome:Graimondii2_0_v6:12:10769982:10771664:1 gene:B456_012G073000 transcript:KJB76120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSILRKTANSLAPLAIRLTRVQRNYHSCIFTALNHGFQPQQPTVNRFYPNTLHFSTAVDSQKSASDESLLRVIESEIQCAEESDEYDQVRTPSEFPFKIEDTPGLQTITLTREYDGELVKVNVHMPDLVTGEGEADDDNDDDTEKPSKSNVPLVVNVSKKDGPSLEFNCTAYPDEITIDSLSVRNPNSEDELAYEGPDFYDLDENLQKAFHKYLEIRGIKPSTTNYLHEYMINKDGREYILWLKNLKKFIEE >KJB75046 pep chromosome:Graimondii2_0_v6:12:2566053:2566580:1 gene:B456_012G020800 transcript:KJB75046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTINLKARLAKTIWPNQALDAVTLSYTKPKTVNSIRRFLKYRQICKSKSSCSFGFEWPLHPPIFATKTKKNVKR >KJB76154 pep chromosome:Graimondii2_0_v6:12:11539979:11544470:-1 gene:B456_012G075700 transcript:KJB76154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENGNKLHKTMTSQPGMSDVVLGCVMPYIDDPKDRDAVSLVCRRWYELDALTRKHITIALCYTTSPDRLRRRFRHLESLKLKGKPRAAMFNLIPEDWGGYVTPWVNEIAENFNCLKAVHFRRMIVKDSDLEVLARSRGKVLQVLKLDKCSGFSTDGLLHVGRLCRQLRTLFLEESAIIEKDGQWLHELAVNNSVLMNLNFYMTDLMKVSCEDLEFIAQNCRNLASVKISDCEILDLVGFFHAAPVLEEFCGGLFNEQPERYAAVSFPPRLCRLGLTYMGKNEMPIVFPFASLLKKLDLLYAFLDTEDHCLLIQRCPNLEVLETRNVIGDRGLEVLARSCKRLKRLRIERGADEQEMEDEEGVVSQRGLMALAQGCLELEYLAVYVSDITNASLQYIGTYLKNLCDFRLVLLDREERITDLPLDDGVRDLLRGCEKLRRFALYLRPGGLTDVGLGYIGQYSPKVRWMLLGYVGGSDVGLLEFSKGCPSLQKLEMRGCCFSEHALAASVMQLTSLRYLWVQGYRASESGRDLLAMARPFWNIELIPARRIVGEDAVIEHPAHILAYYSLAGPRTDFPESVIPLDPSAAGISS >KJB77557 pep chromosome:Graimondii2_0_v6:12:31718043:31718619:-1 gene:B456_012G1435002 transcript:KJB77557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPKPELPKPELPKAPELPKPESPKVPELPKSELPKVPKVPKSELPKAPELPKIPELTKSELPKIPEVPKPELSKATELPKPELPKVPEVPKPELPKAPELPKPQLPKISEYRNQNCPKLLSCQNLNYLKFLNCLTCRNPKNPKFQSYPNQNYLSYPIYQSRKHLNKNCLKLPDLPTVLKP >KJB77356 pep chromosome:Graimondii2_0_v6:12:30548150:30554786:1 gene:B456_012G133500 transcript:KJB77356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASRFSFFGGGGSNKVGNSVEGDKKELKELNPTLKLQTDRDVYRPGDSVYVTIEICNPFTSGNTGAIVPSLLIEKLGFEIKGIEKLDTQWYATQKPAAGTKQRRGEHVFLDCSTPSMISNQIVPSGSAKTYVVRAVLPSIIPPSYKGSTIRYIYYIKSALSVRWLILENGHSSKDSVKDLTEVEARVPLQVWVTQKGNGLVMEDGQSDGIVPATTIQTDIYWKEMDGDSEWARVNDLYDSIEEGYESSRDEISSVSSFNLSKENLNRTFGSSLSLQSSAARSSFRDGPYYDGDRLSLSSNVGLPRLSVAEVLHDSSADVSTALLSPSQQQTSTKSLAANDITGVSSAPSPGATEPLASEGFIRGRSYNIRMDDQVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVSVTLETSETISRRFVHPSRRNSPTITKVQSDHHEVVADLVQTGFLFSVPMDGPMSFSTPHVSVQWVLRFEFFTTPKNVDWTRYEHPLFVEGRDKCEWVLPITVHAPPPGTPGAHTRSDKPFSLEPLWTHS >KJB77353 pep chromosome:Graimondii2_0_v6:12:30548150:30554786:1 gene:B456_012G133500 transcript:KJB77353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASRFSFFGGGGSNKVGNSVEGDKKELKELNPTLKLQTDRDVYRPGDSVYVTIEICNPFTSGNTGAIVPSLLIEKLGFEIKGIEKLDTQWYATQKPAAGTKQRRGEHVFLDCSTPSMISNQIVPSGSAKTYVVRAVLPSIIPPSYKGSTIRYIYYIKSALSVRWLILENGHSSKDSVKDLTEVEARVPLQVWVTQKGNGLVMEDGQSDGIVPATTIQTDIYWKEMDGDSEWARVNDLYDSIEEGYESSRDEISSVSSFNLSKENLNRTFGSSLSLQSSAARSSFRDGPYYDGDRLSLSSNVGLPRLSVAEVLHDSSADVSTALLSPSQQQTSTKSLAANDITGVSSAPSPGATEPLASEGFIRGRSYNIRMDDQVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVSVTLETSETISRRFVHPSRRNSPTITKVVIIMRLLLIWYRQVFSFLSPWMVPCHFPLLMSRCSGF >KJB77354 pep chromosome:Graimondii2_0_v6:12:30548849:30553969:1 gene:B456_012G133500 transcript:KJB77354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASRFSFFGGGGSNKVGNSVEGDKKELKELNPTLKLQTDRDVYRPGDSVYVTIEICNPFTSGNTGAIVPSLLIEKLGFEIKGIEKLDTQWYATQKPAAGTKQRRGEHVFLDCSTPSMISNQIVPSGSAKTYVVRAVLPSIIPPSYKGSTIRYIYYIKSALSVRWLILENGHSSKDSVKDLTEVEARVPLQVWVTQKGNGLVMEDGQSDGIVPATTIQTDIYWKEMDGDSEWARVNDLYDSIEEGYESSRDEISSVSSFNLSKENLNRTFGSSLSLQSSAARSSFRDGPYYDGDRLSLSSNVGLPRLSVAEVLHDSSADVSTALLSPSQQQTSTKSLAANDITGVSSAPSPGATEPLASEGFIRGRSYNIRMDDQVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVSVTLETSETISRRFVHPSRRNSPTITKVFRVIIMRLLLIWYRQVFSFLSPWMVPCHFPLLMSRCSGF >KJB77355 pep chromosome:Graimondii2_0_v6:12:30548150:30554786:1 gene:B456_012G133500 transcript:KJB77355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASRFSFFGGGGSNKVGNSVEGDKKELKELNPTLKLQTDRDVYRPGDSVYVTIEICNPFTSGNTGAIVPSLLIEKLGFEIKGIEKLDTQWYATQKPAAGTKQRRGEHVFLDCSTPSMISNQIVPSGSAKTYVVRAVLPSIIPPSYKGSTIRYIYYIKSALSVRWLILENGHSSKDSVKDLTEVEARVPLQVWVTQKGNGLVMEDGQSDGIVPATTIQTDIYWKEMDGDSEWARVNDLYDSIEEGYESSRDEISSVSSFNLSKENLNRTFGSSLSLQSSAARSSFRDGPYYDGDRLSLSSNVGLPRLSVAEVLHDSSADVSTALLSPSQQQTSTKSLAANDITGVSSAPSPGATEPLASEGFIRGRSYNIRMDDQVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVSVTLETSETISRRFVHPSRRNSPTITKVQSDHHEVVADLVQTGFLFSVPMDGPMSFSTPHVSVQWVLRFEFFTTPKNVDWTRYEHPLFVEGRDKCEWVLPITVHAPPPGTPGAHTRSDKPFSLEPLWTHS >KJB77352 pep chromosome:Graimondii2_0_v6:12:30548136:30554987:1 gene:B456_012G133500 transcript:KJB77352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASRFSFFGGGGSNKVGNSVEGDKKELKELNPTLKLQTDRDVYRPGDSVYVTIEICNPFTSGNTGAIVPSLLIEKLGFEIKGIEKLDTQWYATQKPAAGTKQRRGEHVFLDCSTPSMISNQIVPSGSAKTYVVRAVLPSIIPPSYKGSTIRYIYYIKSALSVRWLILENGHSSKDSVKDLTEVEARVPLQVWVTQKGNGLVMEDGQSDGIVPATTIQTDIYWKEMDGDSEWARVNDLYDSIEEGYESSRDEISSVSSFNLSKENLNRTFGSSLSLQSSAARSSFRDGPYYDGDRLSLSSNVGLPRLSVAEVLHDSSADVSTALLSPSQQQTSTKSLAANDITGVSSAPSPGATEPLASEGFIRGRSYNIRMDDQVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVSVTLETSETISRRFVHPSRRNSPTITKVQSDHHEVVADLVQTGFLFSVPMDGPMSFSTPHVSVQWVLRFEFFTTPKNVDWTRYEHPLFVEGRDKCEWVLPITVHAPPPGTPGAHTRSDKPFSLEPLWTHS >KJB74680 pep chromosome:Graimondii2_0_v6:12:261642:261728:1 gene:B456_012G0024002 transcript:KJB74680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILSGSGDVTSCYKEVVIMEYVKDVHDE >KJB77574 pep chromosome:Graimondii2_0_v6:12:31838856:31841078:1 gene:B456_012G144400 transcript:KJB77574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAQNAENLYVKSPKPAFLCILLFILVVRLPKAASMDDDDNINFTFPDFNSNTHRIVYEADAYASGNAILLTANKTDQGLNGSVGRATYYKPMRLWNNSSGDLLLADFTTQISFAVDSFHSSSYGSGFAFFLAPNGSKIPTHSEGACFGLQACYPSLSYDANSKFVAVEFDTHRSDWDPPGMSEHVGIDINSVKTSYPTVAWWWSDIENGGRVNAFITFKSSTKNLSVTFVDADDFTRKNSSSLSATLDLSQYLPEWVTFGFSGATGFNRSTELHTIYSWNFSSTLQVSMNTAIYSPTAAPVATTSTSNSPVEPRRKKRTWPWIVLAMFGAISALVPVLGLIWFFYRWRKYSRKEDGTMAVNVEMEMVTAPRKFSYKELRFATNNFADEGLLGEGGFGKVYLGFLRDINCSIAVKRITPNSQQGVKEYLSEVTTIARLRHRNLVQLIGWCHDNKEFLIVYDFLPNKSLDFHLRREPCLLTWDKRYKIAMGLASALFYLQEECDQCVLHRDMKSSNVLLDLSFNAKLGDFGLARLVDHGQESQTTSVLLGTDGYIAPECLVTYKATKESDLYSFGIVALEIASGKKAIAVIERHGKRFKTKLVEWVWELYGKESLLDAADPQLYGNYEIEQMERLLLVGLACAHPNYFDRPSIPQVIDILSFKAPVPMLPQEMPVPTYIAALQDNIVTSSASNSFHTGASSRSQTQSFIGQIFGFMFSSFDALLHPFFIGQIFRQQFQNN >KJB75792 pep chromosome:Graimondii2_0_v6:12:8077571:8084690:1 gene:B456_012G058200 transcript:KJB75792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIREDIYSGSQFKRPFGSSRAESYGQNQMLGGGGVGRGGAAGGGEGGGTVGGGMSQKLTTNDALTYLKEVKEMFQDKKEKYDMFLEVMKDFKAQRTDTVGVIARVKELFKGHNNLIYGFNTFLPKGYEITLHENEAPPKKTVEFEEAISFVNKIKKRFQNDEYVYKSFLDILNMYRKEHKDINEVYNEVASLFEDHPDLLDEFTRFLPDSSAAPLTEQVPYGRTSTQRYNEQSSATPTLQHIQMDKQRQRDWIMTAHADRGLSVDRPELDDDKDILKMQKDQRKRVEKDSRDRRTREHDDPEHDNNRDFNLQRFPDKKRLGRKIEGFASNDERETFKSMCNQGFIFCEKVKERLCSSDDHQAFLKCLNIYSYGIIKRNDLQNLVTDLLGKHPDLMNEFNQFLECCENTDGLLAGVISKKSLSGNGHTSRPVKIEEKDREQRREMEGPKEKERYREYLAKSIQELDLSNCQSCTPSYRLLPDDYPIPSASQRSALGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNSINENKINMDSPIRVQEYFTVLNLRCIERLYGDHGLDVMEILHKNPALALPVILTRLKQKQEEWTKCRSDFNKVWAEIYSKNHYKSLDHRSFYFKQHDSKNLSVKSLVAEIKELKEKNQKEDDVLVASVAGHRQPLAPHLNYEYLDVDIHEDLYKLIEYSCEEMCSTKEQLSKVMRLWTTFVEPMSGIPPRPNGKEGTDDAGKAQNPAVNCVTSSIAESGGSPRADTTVNSTQQKAATDRDENGSPELTNSCRNGLANGETSAKEHSSHLSRDDLKKNTQPGINMLAIGALAFEAENNHSKSNTEGASASRPSSVAAGEDLEPEANADLLHTSEGGDVTKHALLVNGVPTDETNASRYLEELAGPLKIEKEEGELSPNGDFEEDNFVAHGDNGLKAVPVAKHSVESGQYQSGKGSQCKDAGVENGADADDEDGENASEAGDDASGSESADDECSRGEHEEEEVELDEVDGKAESEGEAEGMNDMHAGGDGMSVATSEQFLFTVKPLAKHVPAVFPEEDQNISRVFYANDDFYVLFRFHQVRKRPESFSYLF >KJB75790 pep chromosome:Graimondii2_0_v6:12:8077454:8086081:1 gene:B456_012G058200 transcript:KJB75790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIREDIYSGSQFKRPFGSSRAESYGQNQMLGGGGVGRGGAAGGGEGGGTVGGGMSQKLTTNDALTYLKEVKEMFQDKKEKYDMFLEVMKDFKAQRTDTVGVIARVKELFKGHNNLIYGFNTFLPKGYEITLHENEAPPKKTVEFEEAISFVNKIKKRFQNDEYVYKSFLDILNMYRKEHKDINEVYNEVASLFEDHPDLLDEFTRFLPDSSAAPLTEQVPYGRTSTQRYNEQSSATPTLQHIQMDKQRQRDWIMTAHADRGLSVDRPELDDDKDILKMQKDQRKRVEKDSRDRRTREHDDPEHDNNRDFNLQRFPDKKRLGRKIEGFASNDERETFKSMCNQGFIFCEKVKERLCSSDDHQAFLKCLNIYSYGIIKRNDLQNLVTDLLGKHPDLMNEFNQFLECCENTDGLLAGVISKKSLSGNGHTSRPVKIEEKDREQRREMEGPKEKERYREYLAKSIQELDLSNCQSCTPSYRLLPDDYPIPSASQRSALGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNSINENKINMDSPIRVQEYFTVLNLRCIERLYGDHGLDVMEILHKNPALALPVILTRLKQKQEEWTKCRSDFNKVWAEIYSKNHYKSLDHRSFYFKQHDSKNLSVKSLVAEIKELKEKNQKEDDVLVASVAGHRQPLAPHLNYEYLDVDIHEDLYKLIEYSCEEMCSTKEQLSKVMRLWTTFVEPMSGIPPRPNGKEGTDDAGKAQNPAVNCVTSSIAESGGSPRADTTVNSTQQKAATDRDENGSPELTNSCRNGLANGETSAKEHSSHLSRDDLKKNTQPGINMLAIGALAFEAENNHSKSNTEGASASRPSSVAAGEDLEPEANADLLHTSEGGDVTKHALLVNGVPTDETNASRYLEELAGPLKIEKEEGELSPNGDFEEDNFVAHGDNGLKAVPVAKHSVESGQYQSGKGSQCKDAGVENGADADDEDGENASEAGDDASGSESADDECSRGEHEEEEVELDEVDGKAESEGEAEGMNDMHAGGDGMSVATSEQFLFTVKPLAKHVPAVFPEEDQNISRVFYANDDFYVLFRFHQILYERILSAKTNSTGAELKRKNSKELCSSDLYARFMSALYSLLDGSSDNMKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQAVATDEMDNKLLQLFEYEKSRKHARTMDSVYYENARVLLHEENIYRLKSSSSPSRLSIQLMDNVIEKPEAFAVSMEPNFSAFLHNDFLSVFPGKKEPHGVTLKRNKKKYANLDEFAAICMAMEGVELVNGLENKIACNSYKISYVLDTEDFFCRRRRNSPPKCGSYNTQARLQRFHKFLSASQ >KJB75793 pep chromosome:Graimondii2_0_v6:12:8077571:8086081:1 gene:B456_012G058200 transcript:KJB75793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIREDIYSGSQFKRPFGSSRAESYGQNQMLGGGGVGRGGAAGGGEGGGTVGGGMSQKLTTNDALTYLKEVKEMFQDKKEKYDMFLEVMKDFKAQRTDTVGVIARVKELFKGHNNLIYGFNTFLPKGYEITLHENEAPPKKTVEFEEAISFVNKIKKRFQNDEYVYKSFLDILNMYRKEHKDINEVYNEVASLFEDHPDLLDEFTRFLPDSSAAPLTEQVPYGRTSTQRYNEQSSATPTLQHIQMDKQRQRDWIMTAHADRGLSVDRPELDDDKDILKMQKDQRKRVEKDSRDRRTREHDDPEHDNNRDFNLQRFPDKKRLGRKIEGFASNDERETFKSMCNQGFIFCEKVKERLCSSDDHQAFLKCLNIYSYGIIKRNDLQNLVTDLLGKHPDLMNEFNQFLECCENTDGLLAGVISKKSLSGNGHTSRPVKIEEKDREQRREMEGPKEKERYREYLAKSIQELDLSNCQSCTPSYRLLPDDYPIPSASQRSALGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNSINENKINMDSPIRVQEYFTVLNLRCIERLYGDHGLDVMEILHKNPALALPVILTRLKQKQEEWTKCRSDFNKVWAEIYSKNHYKSLDHRSFYFKQHDSKNLSVKSLVAEIKELKEKNQKEDDVLVASVAGHRQPLAPHLNYEYLDVDIHEDLYKLIEYSCEEMCSTKEQLSKVMRLWTTFVEPMSGIPPRPNGKEGTDDAGKAQNPAVNCVTSSIAESGGSPRADTTVNSTQQKAATDRDENGSPELTNSCRNGLANGETSAKEHSSHLSRDDLKKNTQPGINMLAIGALAFEAENNHSKSNTEGASASRPSSVAAGEDLEPEANADLLHTSEGGDVTKHALLVNGVPTDETNASRYLEELAGPLKIEKEEGELSPNGDFEEDNFVAHGDNGLKAVPVAKHSVESGQYQSGKGSQCKDAGVENGADADDEDGENASEAGDDASGSESADDECSRGEHEEEEVELDEVDGKAESEGEAEGMNDMHAGGDGMSVATSEQFLFTVKPLAKHVPAVFPEEDQNISRVFYANDDFYVLFRFHQILYERILSAKTNSTGAELKRKNSKELCSSDLYARFMSALYSLLDGSSDNMKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQAVATDEMDNKLLQLFEYEKSRKHARTMDSVYYENARVLLHEENIYRLKSSSSPSRLSIQLMDNVIEKPEAFAVSMEPNFSAFLHNDFLSVFPGKKEPHGVTLKRNKKKYANLDEFAAICMAMEGVELVNGLENKIACNSYKVIFLY >KJB75791 pep chromosome:Graimondii2_0_v6:12:8077454:8086081:1 gene:B456_012G058200 transcript:KJB75791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIREDIYSGSQFKRPFGSSRAESYGQNQMLGGGGVGRGGAAGGGEGGGTVGGGMSQKLTTNDALTYLKEVKEMFQDKKEKYDMFLEVMKDFKAQRTDTVGVIARVKELFKGHNNLIYGFNTFLPKGYEITLHENEAPPKKTVEFEEAISFVNKIKKRFQNDEYVYKSFLDILNMYRKEHKDINEVYNEVASLFEDHPDLLDEFTRFLPDSSAAPLTEQVPYGRTSTQRYNEQSSATPTLQHIQMDKQRQRDWIMTAHADRGLSVDRPELDDDKDILKMQKDQRKRVEKDSRDRRTREHDDPEHDNNRDFNLQRFPDKKRLGRKIEGFASNDERETFKSMCNQGFIFCEKVKERLCSSDDHQAFLKCLNIYSYGIIKRNDLQNLVTDLLGKHPDLMNEFNQFLECCENTDGLLAGVISKKSLSGNGHTSRPVKIEEKDREQRREMEGPKEKERYREYLAKSIQELDLSNCQSCTPSYRLLPDDYPIPSASQRSALGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNSINENKINMDSPIRVQEYFTVLNLRCIERLYGDHGLDVMEILHKNPALALPVILTRLKQKQEEWTKCRSDFNKVWAEIYSKNHYKSLDHRSFYFKQHDSKNLSVKSLVAEIKELKEKNQKEDDVLVASVAGHRQPLAPHLNYEYLDVDIHEDLYKLIEYSCEEMCSTKEQLSKVMRLWTTFVEPMSGIPPRPNGKEGTDDAGKAQNPAVNCVTSSIAESGGSPRADTTVNSTQQKAATDRDENGSPELTNSCRNGLANGETSAKEHSSHLSRDDLKKNTQPGINMLAIGALAFEAENNHSKSNTEGASAASRPSSVAAGEDLEPEANADLLHTSEGGDVTKHALLVNGVPTDETNASRYLEELAGPLKIEKEEGELSPNGDFEEDNFVAHGDNGLKAVPVAKHSVESGQYQSGKGSQCKDAGVENGADADDEDGENASEAGDDASGSESADDECSRGEHEEEEVELDEVDGKAESEGEAEGMNDMHAGGDGMSVATSEQFLFTVKPLAKHVPAVFPEEDQNISRVFYANDDFYVLFRFHQILYERILSAKTNSTGAELKRKNSKELCSSDLYARFMSALYSLLDGSSDNMKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQAVATDEMDNKLLQLFEYEKSRKHARTMDSVYYENARVLLHEENIYRLKSSSSPSRLSIQLMDNVIEKPEAFAVSMEPNFSAFLHNDFLSVFPGKKEPHGVTLKRNKKKYANLDEFAAICMAMEGVELVNGLENKIACNSYKISYVLDTEDFFCRRRRNSPPKCGSYNTQARLQRFHKFLSASQ >KJB76732 pep chromosome:Graimondii2_0_v6:12:23255945:23256747:-1 gene:B456_012G103900 transcript:KJB76732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAILERHESESLWGRFYNWITSTKNRLYIEWFGVLMIPTLLIVTFVFIIAFIATPPIDIDGIREPVFGYLLYENNIIYGVIIPTFAAIEWELSFCQDMRPWTTVAYSTPVVVATTAEHNILMHMFHMLGIVGIFGGSLFSAMFGSMLTSSLIRETTENESTNGGYRFDQEKEIYNTVTTHHYFGRLIFQYVSFKNSHSLHFS >KJB74712 pep chromosome:Graimondii2_0_v6:12:432670:437685:1 gene:B456_012G003700 transcript:KJB74712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEALRAKEIAERKVTEKDYNGAKKFALKAQNLYPGLDGVAQMLTTLDVYISAENKVSGEADWYGVLGLNPSADDELVRKQYRKLALILHPDKNKSVGADGAFKLVSEAWGLLSDRSKRLAYNQKINFRGTQQKFPVQSGLSSVPPRANGSHSSSSNLASNVRTQNSHSQVGQTSFASNKKPATFWTVCNRCKTQYEYLRIYLNQTLLCPNCHEAFLALEKAPPPNVYRSSNWSAQQQSSGNHAANNNLFNHGTNSSSSQNSVHDGSFWKSQERVKREHEEALKAEKLLKKRKDDIHGNGYVGNMASQTAMGNVPGSGNTFESRGVFEKGSIYGYSGNYNKPITERELSLFEIRNMLIHKAQNVIHNKLKEWRSATEVRTADKVKVKVRGKENRKQRSMANGDGHDTNNEYHGKQSLPASSPDVSDAGTASLTINVPDPDFHNFDLGRSENSFEEDQVWAAYDNDDGMPRFYARIHKVISLKPFKMKISWLNSRSNSEFGFLDWIGSGFTKTCGEFRSGRHEISETLNYFSHKVQWTKGTRGVIRIFPRKGDVWALYRNWSPDWNEHTPNEVIHKYDMVEVLDDYNGEQGVSVVPLVKVTGFTTVFHKHMDPKEVRRIPRGEMFRFSHQVPNYLLTGREAHNAPTGCRELDPAATPLELLEVINEANETSVEDNSLKSDKESLKSARELAADGQGETVDENAREG >KJB75169 pep chromosome:Graimondii2_0_v6:12:3551571:3557161:1 gene:B456_012G028600 transcript:KJB75169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSFGAGIPMSRTPAAGPEGTSLSPSLNQDGTWQINLRSSETMEPGPYPERPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAITTARMKGEFPERVGQPECQYYLKTGTCKFGATCKFHHPRDKAGIAGRVSLNFLGYPLRPNETECAYYLRTGQCKFGSTCKFHHPQPTNMMVSLRGSPIYPTFPSPTTSGQQSFLGGLTNWSRASFIPSPRWQGPSSYAPLILPQGMVSVPSWNAYGGQLASVSSSENLQQTNGNNQIYGTSRPNESASAGSQALFSQFRSGSAPVGFYALQRENVFPERPGELECQFYMKTGDCKFGAVCRFHHPRERVLPAPDCILSPIGLPLRPVSYINYHLLS >KJB75170 pep chromosome:Graimondii2_0_v6:12:3552076:3561373:1 gene:B456_012G028600 transcript:KJB75170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGPYPERPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAITTARMKGEFPERVGQPECQYYLKTGTCKFGATCKFHHPRDKAGIAGRVSLNFLGYPLRPNETECAYYLRTGQCKFGSTCKFHHPQPTNMMVSLRGSPIYPTFPSPTTSGQQSFLGGLTNWSRASFIPSPRWQGPSSYAPLILPQGMVSVPSWNAYGGQLASVSSSENLQQTNGNNQIYGTSRPNESASAGSQALFSQFRSGSAPVGFYALQRENVFPERPGELECQFYMKTGDCKFGAVCRFHHPRERVLPAPDCILSPIGLPLRPGEPLCIFYSRYGICKFGPSCKFNHPMGVFTFNYSASSPFEAPVHRLLGSSSGSGGINLSSEGLVEAGSTKPRRLSLSENRQLSSSDDSIDTEG >KJB75168 pep chromosome:Graimondii2_0_v6:12:3551399:3561373:1 gene:B456_012G028600 transcript:KJB75168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSFGAGIPMSRTPAAGPEGTSLSPSLNQDGTWQINLRSSETMEPGPYPERPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAITTARMKGEFPERVGQPECQYYLKTGTCKFGATCKFHHPRDKAGIAGRVSLNFLGYPLRPNETECAYYLRTGQCKFGSTCKFHHPQPTNMMVSLRGSPIYPTFPSPTTSGQQSFLGGLTNWSRASFIPSPRWQGPSSYAPLILPQGMVSVPSWNAYGGQLASVSSSENLQQTNGNNQIYGTSRPNESASAGSQALFSQFRSGSAPVGFYALQRENVFPERPGELECQFYMKTGDCKFGAVCRFHHPRERVLPAPDCILSPIGLPLRPGEPLCIFYSRYGICKFGPSCKFNHPMGVFTFNYSASSPFEAPVHRLLGSSSGSGGINLSSEGLVEAGSTKPRRLSLSENRQLSSSDDSIDTEG >KJB75014 pep chromosome:Graimondii2_0_v6:12:2467126:2467546:-1 gene:B456_012G020300 transcript:KJB75014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVRPFGVSLLVAGYDDNGPQLYQDVKAIGLTQGDEIMESSPVSQTTDPRPTFSDNVPNLGNGGNVPAMNVNNKLPPL >KJB77643 pep chromosome:Graimondii2_0_v6:12:32234207:32236438:-1 gene:B456_012G148300 transcript:KJB77643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIDEVTLVAKHVHRWRLRDRSHTLPAGRIVLTVIDDEIQWWQFKCRSKGDMHYITGTEWSCFVRPRIGARLTLYAQEACENFHRMKVIMGRN >KJB77871 pep chromosome:Graimondii2_0_v6:12:33407854:33410648:1 gene:B456_012G1621001 transcript:KJB77871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGRQVRVIERDLNPPDRIAGESLMPGGYLKLIELGLEDCVDEIDAQRNQGYILYKDGKNAPISYPLEKFHSHVVGKSFHNNRFVQKLRKKAASLHNVSLEQGTVTSLLEENGTIKGGHYKDKSSQMLTAYASLTVVCDGCFSNLRRSLCNPKVDVPSYFVGFILTNCKLSDQNHGAIILADPSPIVFYPISSTEIRCMVDVPSQNLPSLSNGEMSHYLKTLVAPKVFPETYDSFISAIEKKDNIKIMPNRIMADAPHRTPGALLIGDSLNMRHAITGGGMTVALSDVVLLRDLLRPLHDLSDASVVCKYLQSFYTLRKPMSSTINILASVLHKVFSSSSDPTIEDIQHACIGYLRLGGVFSSGLSAMISGLCPRPLSLAFHLFALAIYGVGRLLLPFPSPKRLWNGAKLFWVSSNIIFPILHSEGVRQMFFPLTVPAYYRTPPRSKDLKV >KJB75840 pep chromosome:Graimondii2_0_v6:12:8515327:8515965:1 gene:B456_012G060700 transcript:KJB75840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDGECLIELIGSCRNKVQIYRSVRMPQLHTLLHFHLTPIVMINSPSRRDLLLNSQNFCHSIPAGTENPLSSRLCYRRLWGSRNRRALILGWAYYLDAFSSYPPRTWLPSVYRGHDN >KJB78122 pep chromosome:Graimondii2_0_v6:12:34780197:34780808:1 gene:B456_012G1794001 transcript:KJB78122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEIKKFWEEIENDQVAENPVHRLPTISPKNLEKKREAHLIVAALIATVAFAAAITVPGGLQSEKGSEQGTALLIDEAAFKAFVVTNAMAFIFSVCVLTIHFGFQDNILFKLRLWRVSIIYETPPAFGLLASATVAMVIAFSTGSYVVLKPSHELVIVSYLICPAFFSVMWPILSNKVSVDNI >KJB78103 pep chromosome:Graimondii2_0_v6:12:34684505:34687010:1 gene:B456_012G178200 transcript:KJB78103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSINVMPMSSGKPEEPEENITYMDASLYKAAAEGNIEVFNNKQGHQLESLKTPNHDNVLHVNLATHELAAWFSNGILSRTRSFPNLYLFVYLFLWIFIIKKTSEKRLYFIGQILTKYPSLLLQTNAKGQTPLHVAARNGHSAIVKLLISSCAKARVGDLENLGMDQVVAVRQMLRITDQESNTALHEAAQYGDVEMVEELLKLEHPVERLLELENPYSPYSANKNQETPLYLAALRGEEPLLNVILNKWKSADHGGPHGRTTLHATTMAEDKEATSKILEKQENLRKKKDKDGHTPLHYAAHIGYNSVVEVLLEKDVSAAYIGDKKLGMTPLLVAAREGNVGTVKKILSKCPDCCEKVDKRGLNLLHYLAFRDRSYKLSHSLFMPGGTKTEYGSIRNLRKLKGAFGFTPEQVYALLRYEGHNEKYLDEKKQVEELLKEIASEEVAGLQVSHIPLPTVPAESLEKTRDAHLVVAALIATVTFAAAITVPGGLNTEKGSEQGTPFLIDEAAFKAFVVTNALAFILSVSALSIHFGILDPLLPKLKFWGINLILYRTQSVSNLLGRAMFAMVIAFSTGSYVILKPSHGLAIASCFICPGFFVCYYVQQSLSFVKRLLE >KJB78102 pep chromosome:Graimondii2_0_v6:12:34684505:34687108:1 gene:B456_012G178200 transcript:KJB78102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSINVMPMSSGKPEEPEENITYMDASLYKAAAEGNIEVFNNKQGHQLESLKTPNHDNVLHVNLATHELAAWFSNGILSRTRSFPNLYLFVYLFLWIFIIKKTSEKRLYFIGQILTKYPSLLLQTNAKGQTPLHVAARNGHSAIVKLLISSCAKARVGDLENLGMDQVVAVRQMLRITDQESNTALHEAAQYGDVEMVEELLKLEHPVERLLELENPYSPYSANKNQETPLYLAALRGEEPLLNVILNKWKSADHGGPHGRTTLHATTMAEDKEATSKILEKQENLRKKKDKDGHTPLHYAAHIGYNSVVEVLLEKDVSAAYIGDKKLGMTPLLVAAREGNVGTVKKILSKCPDCCEKVDKRGLNLLHYLAFRDRSYKLSHSLFMPGGTKTEYGSIRNLRKLKGAFGFTPEQVYALLRYEGHNEKYLDEKKQVEELLKEIASEEVAGLQVSHIPLPTVPAESLEKTRDAHLVVAALIATVTFAAAITVPGGLNTEKGSEQGTPFLIDEAAFKAFVVTNALAFILSVSALSIHFGILDPLLPKLKFWGINLILYRTQSVSNLLGRAMFAMVIAFSTGSYVILKPSHGLAIASCFICPGFFVCYYVQQSLSFVKRLLE >KJB76377 pep chromosome:Graimondii2_0_v6:12:14594989:14595758:1 gene:B456_012G085600 transcript:KJB76377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNSKRRGFFKGKLTPFYRAVKGVPTRQYSSKVKPNQGSSTSASISFRVHQDYMISQPKQISYIVPGDKNREKLSQIDNFFGVTGDESVDIKAATYISSVQERFKLET >KJB77246 pep chromosome:Graimondii2_0_v6:12:29351999:29352621:-1 gene:B456_012G127300 transcript:KJB77246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEPIPSENLPPGFDPSTCRSVYVGNIHSQVTESLLQEVFASTGPIEGL >KJB76008 pep chromosome:Graimondii2_0_v6:12:9796681:9797712:1 gene:B456_012G067600 transcript:KJB76008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSHFSNNLEEEEEDEYIDMEVSSRSKNMVSNPISREFEFQMSSVSIEKEPTNSPADELFYKGKLLPLHLPPRLQMVQKLLQNSITEPHKKDISPSSESSGDQLEYSNGGSGENPKKSWTKKLKQIKQSSIGSKLKKASRVYLRYLFGNYKSTKKAPLEQDMSGNAHRRSFSMASKRHSSGSSNGQQHLLVLKRSSSVNAEMESPIQGAIAHCKQSQQAWVRSRRTVSEVGVFSFSVSDMKCSW >KJB76852 pep chromosome:Graimondii2_0_v6:12:25044173:25046712:1 gene:B456_012G110300 transcript:KJB76852 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MKQGLLLWSPCYLSPPSFHSISLKIPSIKHTPRLAPISASLDSTDTQVQLQQQLSARERRQLRNERRESKSGYSWREEVEERLIKKPKKRYTSWTEELNLDNLAHLGPQWWVVRVSRLRGLETAEVTARVLARNFPNIEFKFLFFSLQIYTPAVQEKKRLKNGSISVKPKPLFPGCVFLRCVLNKEIHDFIRECDGVGGFVGSKVGNTKRQINKPRPVSVDDMEAIFRQAKVEQEKSDQAFQEEQQGENALMSDKMNIEYNVDSNGVTSSVLDTKPKRQTKKKSDTVVNGAKYSKQLVPGSKVRVLSGNFAEFIGSLKKLNRKTGKATVGFTLFGKETLVDLDVKDVVLETK >KJB76857 pep chromosome:Graimondii2_0_v6:12:25044173:25047428:1 gene:B456_012G110300 transcript:KJB76857 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MKQGLLLWSPCYLSPPSFHSISLKIPSIKHTPRLAPISASLDSTDTQVQLQQQLSARERRQLRNERRESKSGYSWREEVEERLIKKPKKRYTSWTEELNLDNLAHLGPQWWVVRVSRLRGLETAEVTARVLARNFPNIEFKIYTPAVQEKKRLKNGSISVKPKPLFPGCVFLRCVLNKEIHDFIRECDGVGGFVGSKVGNTKRQINKPRPVSVDDMEAIFRQAKVEQEKSDQAFQEEQQGENALMSDKMNIEYNVDSNGVTSSVLDTKPKRQTKKKSDTVVNGAKYSKQLVPGSKVRVLSGNFAEFIGSLKKLNRKTGKATVGFTLFGKETLVDLDVKDVVLETK >KJB76855 pep chromosome:Graimondii2_0_v6:12:25044173:25047357:1 gene:B456_012G110300 transcript:KJB76855 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MKQGLLLWSPCYLSPPSFHSISLKIPSIKHTPRLAPISASLDSTDTQVQLQQQLSARERRQLRNERRESKSGYSWREEVEERLIKKPKKRYTSWTEELNLDNLAHLGPQWWVVRVSRLRGLETAEVTARVLARNFPNIEFKIYTPAVQEKKRLKNGSISVKPKPLFPGCVFLRCVLNKEIHDFIRECDGVGGFVGSKVGNTKRQINKPRPVSVDDMEAIFRQAKVEQEKSDQAFQEEQQGENALMSDKMNIEYNVDSNGVTSSVLDTKPKRQTKKKSDTVVNGAKYSKQLVPGSKVRVLSGNFAEFIGSLKKLNRKTGKATVGFTLFGKETLVDLDVKDVVLETK >KJB76856 pep chromosome:Graimondii2_0_v6:12:25044173:25046712:1 gene:B456_012G110300 transcript:KJB76856 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MKQGLLLWSPCYLSPPSFHSISLKIPSIKHTPRLAPISASLDSTDTQVQLQQQLSARERRQLRNERRESKSGYSWREEVEERLIKKPKKRYTSWTEELNLDNLAHLGPQWWVVRVSRLRGLETAEVTARVLARNFPNIEFKIYTPAVQEKKRLKNGSISVKPKPLFPGCVFLRCVLNKEIHDFIRECDGVGGFVGSKVGNTKRQINKPRPVSVDDMEAIFRQAKVEQEKSDQAFQEEQQGENALMSDKMNIEYNVDSNGVTSSVLDTKPKRQTKKKSDTVVNGAKYSKQLVPGSKVRVLSGNFAEFIGSLKKLNRKTGKATVGFTLFGKETLVDLDVKDVVLETK >KJB76851 pep chromosome:Graimondii2_0_v6:12:25044173:25049115:1 gene:B456_012G110300 transcript:KJB76851 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MKQGLLLWSPCYLSPPSFHSISLKIPSIKHTPRLAPISASLDSTDTQVQLQQQLSARERRQLRNERRESKSGYSWREEVEERLIKKPKKRYTSWTEELNLDNLAHLGPQWWVVRVSRLRGLETAEVTARVLARNFPNIEFKIYTPAVQEKKRLKNGSISVKPKPLFPGCVFLRCVLNKEIHDFIRECDGVGGFVGSKVGNTKRQINKPRPVSVDDMEAIFRQAKVEQEKSDQAFQEEQQGENALMSDKMNIEYNVDSNGVTSSVLDTKPKRQTKKKSDTVVNGAKYSKQLVPGSKVRVLSGNFAEFIGSLKKLNRKTGKATVGFTLFGKETLVDLDVKDVVLETK >KJB76854 pep chromosome:Graimondii2_0_v6:12:25044173:25047357:1 gene:B456_012G110300 transcript:KJB76854 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MKQGLLLWSPCYLSPPSFHSISLKIPSIKHTPRLAPISASLDSTDTQVQLQQQLSARERRQLRNERRESKSGYSWREEVEERLIKKPKKRYTSWTEELNLDNLAHLGPQWWVVRVSRLRGLETAEVTARVLARNFPNIEFKIYTPAVQEKKRLKNGSISVKPKPLFPGCVFLRCVLNKEIHDFIRECDGVGGFVGSKVGNTKRQINKPRPVSVDDMEAIFRQAKVEQEKSDQAFQEEQQGENALMSDKMNIEYNVDSNGVTSSVLDTKPKRQTKKKSDTVVNGAKYSKQLVPGSKVRVLSGNFAEFIGSLKKLNRKTGKATVGFTLFGKETLVDLDVKDVVLETK >KJB76853 pep chromosome:Graimondii2_0_v6:12:25044173:25049124:1 gene:B456_012G110300 transcript:KJB76853 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MKQGLLLWSPCYLSPPSFHSISLKIPSIKHTPRLAPISASLDSTDTQVQLQQQLSARERRQLRNERRESKSGYSWREEVEERLIKKPKKRYTSWTEELNLDNLAHLGPQWWVVRVSRLRGLETAEVTARVLARNFPNIEFKIYTPAVQEKKRLKNGSISVKPKPLFPGCVFLRCVLNKEIHDFIRECDGVGGFVGSKVGNTKRQINKPRPVSVDDMEAIFRQAKVEQEKSDQAFQEEQQGENALMSDKMNIEYNVDSNGVTSSVLDTKPKRQTKKKSDTVVNGAKYSKQLVPGSKVRVLSGNFAEFIGSLKKLNRKTGKATVGFTLFGKETLVDLDVKDVVLETK >KJB75473 pep chromosome:Graimondii2_0_v6:12:5424470:5425613:1 gene:B456_012G043000 transcript:KJB75473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNQLHHQFLYLALLFFILGVYASVYERHQQWKGQYGRVYKDTNERQKRFQIFKQNVARIDSFNAANNKPYKLGVNQFADLTNQEFTASRNGFKGHMCSNTATTFKYENATALPSTVDWRKKGAVTPIKDQGQCGCCWAFSAVAAMEGVTKLTTGKLISLSEQELVDCDTKGEDQGCEGGLMDDAFQFIEKNKGLTTESIYPYEGVDGTCNTNQEANHAAKINGFEDVPANSEDALQKAVANQPVSVAIDAGGFDFQFYSGGVFTGSCGTDLDHGVTAVGYGEDGGTKYWLVKNSWGSSWGEEGYIRMQRNVDAKEGLCGIAMQASYPTA >KJB76750 pep chromosome:Graimondii2_0_v6:12:23625448:23627552:1 gene:B456_012G105200 transcript:KJB76750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMQSQTVDVIKRMKEITMVMQTPEQVLEAQGVTAADIEDMLDELQEHVESIDMANDLHSIGGLVPLLGYLKNSHANIRAKAAEVVSTIVQNNPRSQQLVMEANGLEPLLSNFASDPDVTVRTKALGAISSLIRHNKPGIAAFRLANGYAALRDAVGADSARFQRKALNLIQYLLHENHSDCNVVNELGLPRVMLHLASSEDAEVREAALRGLLEIARDKTGGSNGGLGEEDEKLKQILEERIKGISLMSPEDLGAAREERQLVDCLWSTCYKEPSSLREKGLLSLPGEDDPPPDVASKHFEPPLRGWAANHTGDSKPSVKKQEAPLLLGPIPPN >KJB76749 pep chromosome:Graimondii2_0_v6:12:23625031:23627622:1 gene:B456_012G105200 transcript:KJB76749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDGPNWDGLLKWSIANSDGTRPTRNLSEEDKRWFMEAMQSQTVDVIKRMKEITMVMQTPEQVLEAQGVTAADIEDMLDELQEHVESIDMANDLHSIGGLVPLLGYLKNSHANIRAKAAEVVSTIVQNNPRSQQLVMEANGLEPLLSNFASDPDVTVRTKALGAISSLIRHNKPGIAAFRLANGYAALRDAVGADSARFQRKALNLIQYLLHENHSDCNVVNELGLPRVMLHLASSEDAEVREAALRGLLEIARDKTGGSNGGLGEEDEKLKQILEERIKGISLMSPEDLGAAREERQLVDCLWSTCYKEPSSLREKGLLSLPGEDDPPPDVASKHFEPPLRGWAANHTGDSKPSVKKQEAPLLLGPIPPN >KJB75219 pep chromosome:Graimondii2_0_v6:12:4027677:4030052:1 gene:B456_012G032300 transcript:KJB75219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLRKSDILLVLLLSLHVIIIAQENESSIIQPASSLYAGKHPSSWPSSSGYFRFGFYPQGNGYSVGIWLVGRSENTTVWTANRDDPPVSSNATLEFTTQGRLLLRTEDGMEKIIANLTMSVSVDAASMSDTGNFMLYQNRTVVWESFNFPTDTILGGQNLSGSHNMLISNVSRSNHSSGPYLLRMQDDGNLVAYANNPAELDPSDAYWATMTNGWYFSVLNLNERGVLAMYSSPKFHQEKVLANLSATGNETMIIYRATLDPDGNFRLYSHQLERNTISHKWQILNDECDAKGQCGLNSYCSSRGKDTECYCYPGFTFIDENTKSLGCSQNFTIDECVARKDLVIHYNITTLDNIDWAGDPYSVKQNLEKEDCKKSCQEDCSCGGVLYSNRKCCSMYMLPLKYGKRHGNITTTAFIKLILGSTISHPPETSQILISEGNQSLILTMGLSLGSVASLCFVIAIGSFLLYKHRVQNYEKLLENKSSGLTEQFTLRSFTFDELDEATNGFQDELGKGSFGTVYKGILRDGKSKTIAIKRLAKVNEGETDQIRTEMTVIGRTNHRNLVRLLGFCVEGSRKLLVYEYLGNGSLANFLFNMNKRPVWKERARIALDVAKGIFYLHEECEVCIIHCNLKPHNILLDDSLTAKISDFGLAKLLRTNQTCSTPRTKGTAWYSAPEWQSSGLVSVKVDVYSFGVILLEIICCRSNIEVQVCSADEILLSTWVYSCFIGGELNKLVEGEEEVDMKMVERFVKVGLWCIQDDPNLRPLMKNVIFMLEGTMTIPVPPSPSLLL >KJB77975 pep chromosome:Graimondii2_0_v6:12:34024461:34026836:-1 gene:B456_012G170500 transcript:KJB77975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDGVIGEDEGLRRRGCSCGKDDFLPEESFKSWGNYVQALKETPNRFMNRVLTRSLDSTELHEIKARSQHEMKKNLSWWDLIWFGIGAVIGSGIFVLTGLQAQQVSGPAVVLSFVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFIAYIAAGNILLEYVIGGAAVARSWTSYFATLCNHDSDDFVIIVHSMPDDYSKLDPIAVVVVCVICVLAVLSTKGSSRFNYIASIIHVIVILFIIIAGFTKADTKNYSNFAPFGVRGIFKSSAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMAITTAAYCLLSVVLCLMQPYPKIDKDAPFSVAFEAVGMSWAKYIVAAGALKGMTTVLLVGAVGQARYLTHIARTHMLPPWLAQIHPKTGTPINATIVMLIATAIIAFFTDLKILADLLSISTLFIFLLVALALIVRRYYVSGETSTTDRIILITCLILILGSSIATATYWGVSENNDWVAYVITMPIWFLATAGIAVFIPQARKPKVWGVPLVPWLPSLSIAINIFLLGSINGASFKRFGIWTCVLLLYYFFFGLHASYDTAKELGENRIGDGWKRVEEGQSVSTVTASGVSLDEAK >KJB77479 pep chromosome:Graimondii2_0_v6:12:31604663:31606444:-1 gene:B456_012G142600 transcript:KJB77479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKGILGFDYGIVLAPMGHDIAGPELVAAVANAGALALLHAPEWDSPEQLKELIRKTRELTDKPFGVGVLLPFPHKENIKAILEEKVAVLQVAWGECSKELVLEAHNAGVMVVPQVGSLEEAKKVIDAGVDAIIVQGHEAGGHVLGQDGLISLLPPVVDAVADHDIPVIAAGGIVDARGYVAALALGAKGICMGTRFLATQESYAHPTYKQKLIEHDETEYTDIFGRALWPGAPQRVLRTPFVCDWKSLPAHENESNQPVIGRSLIHGMVRI >KJB77477 pep chromosome:Graimondii2_0_v6:12:31604276:31606654:-1 gene:B456_012G142600 transcript:KJB77477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPQVGSLEEAKKVIDAGVDAIIVQGHEAGGHVLGQDGLISLLPPVVDAVADHDIPVIAAGGIVDARGYVAALALGAKGICMGTRFLATQESYAHPTYKQKLIEHDETEYTDIFGRALWPGAPQRVLRTPFVCDWKSLPAHENESNQPVIGRSLIHGMETEIQRFSASDPNPTTTGEIENMALYAGQSVGLIKEILPAGEVVKRLVEGAQHLIRQRFNEDSV >KJB77476 pep chromosome:Graimondii2_0_v6:12:31605049:31606444:-1 gene:B456_012G142600 transcript:KJB77476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKGILGFDYGIVLAPMGHDIAGPELVAAVANAGALALLHAPEWDSPEQLKELIRKTRELTDKPFGVGVLLPFPHKENIKAILEEKVAVLQVAWGECSKELVLEAHNAGVMVVPQVGSLEEAKKVIDAGVDAIIVQGHEAGGHVLGQDGLISLLPPVVDAVADHDIPVIAAGGIVDARGYVAALALGAKGICMGTRFEPSYYNLKIWLSFGARILLPHSCI >KJB77480 pep chromosome:Graimondii2_0_v6:12:31604276:31606698:-1 gene:B456_012G142600 transcript:KJB77480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKGILGFDYGIVLAPMGHDIAGPELVAAVANAGALALLHAPEWDSPEQLKELIRKTRELTDKPFGVGVLLPFPHKENIKAILEEKVAVLQVAWGECSKELVLEAHNAGVMVVPQVGSLEEAKKVIDAGVDAIIVQGHEAGGHVLGQDGLISLLPPVVDAVADHDIPVIAAGGIVDARGYVAALALGAKGICMGTRFLATQESYAHPTYKQKLIEHDETEYTDIFGRALWPGAPQRVLRTPFVCDWKSLPAHENESNQPVIGRSLIHGMETEIQRFSASDPNPTTTGEIENMALYAGQSVGLIKEILPAGEVVKRLVEGAQHLIRQRFNEDSV >KJB77478 pep chromosome:Graimondii2_0_v6:12:31604276:31606654:-1 gene:B456_012G142600 transcript:KJB77478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKGILGFDYGIVLAPMGHDIAGPELVAAVANAGALALLHAPEWDSPEQLKELIRKTRELTDKPFGVGVLLPFPHKENIKAILEEKVAVLQVAWGECSKELVLEAHNAGVMVVPQVGSLEEAKKVIDAGVDAIIVQGHEAGGHVLGQDGLISLLPPVVDAVADHDIPVIAAGGIVDARGYVAALALGAKGICMGTRFEPSYYNLKIWLSFGARILLPHSCI >KJB76763 pep chromosome:Graimondii2_0_v6:12:23713159:23715212:-1 gene:B456_012G105700 transcript:KJB76763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTFRLAILLTLLVSLAARDDFGFIYNGFRLANLSLDGIAVLTSNGLLKLTNETERQQGHAFYPHLVNFNNSTSRSVSSFSTTFVFAIIPQYPTVSAHGMAFVVSPTRGLPGADPGQYLGLFNKSNNGDGRNHVVAVEFDTLLSPQFDDINDNHVGIDINGLKSNLSFPAGYHEDGSRQFRNLSLIGQRIQVWVEYHGLEKRMDVTLAPFRHSKPKIPLLSLPLDLSSIVNNGMYVGFSSSTGSVLTSHYVLGWSFKMNGQARELTLSQLPKLPRVGPKKISRLLTNGLPLISVIVILAAISGVVYFMRRKRKFAEVVEDWELEYGPHRFKFKDLYVATKGFKDKELLGSGGFGKVYRGVLPTSKLEVAVKRVSHESRQGMKEFIAEIVSIGRLRHRNLVQLLGYCRRKDELLLVYDYMPNGSLDKYLYGQPKLTLNWRQRFRVIKGVASGLFYLHEEWEQVVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGTEPQTTHIMGTLGYLAPEHTRTGRATPCTDVFAFGAFMLEVACGRRPILQSPTNNVILVDWVYSCWCKGDILEAKDPYLGSDYAAEEVELVLKLGLICSHSQPEARPTMRHVVQILDGDMPSPELSSLSLSSSGLAFAHGEGFDGFSMMYTTSTYNGTSQSSTVAASHLSGGR >KJB76137 pep chromosome:Graimondii2_0_v6:12:11327597:11329592:-1 gene:B456_012G074400 transcript:KJB76137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFNPIDGALSTRAGCRVVVAPIPVYFPLGNNRYFYVGELPPSRGTGVFIPNYINDGAEDGGHGNTTEPEIDEDEGVELIGMALYNYINAGVEDGGHGNTREPEIEEDEGAYLIGIELYNYINAGAEYGGHGNTMDPEVDEGEGEGEGAHVIGIEPFHLIHFNN >KJB74834 pep chromosome:Graimondii2_0_v6:12:1069246:1074963:1 gene:B456_012G009800 transcript:KJB74834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFKFTEVNYLGQLSHSNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFRRVGCSLTWSKRMKIALDAAKGLAFLHGAERPIIYRDFKTSNILLDADFNAKLADFGLAKDGPTGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGYGVVLLEMLLGRRAMDKCRPSREHNLVEWARPLLNHNKKVLRILDPKMDGQYSAKTARKVANLAYQCLSQNPKGRPLMNEVVELLETLETNDDSQEEACTSVKKRNSGRTGSHREGSADRSRSTNVRSRSEPPKECELYDPTSFETEEKSESDRG >KJB74833 pep chromosome:Graimondii2_0_v6:12:1069170:1074963:1 gene:B456_012G009800 transcript:KJB74833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSPETKIPCILPLAAKDVKDLLQNPGYSNVDIFTYEEMRLATNQFRPDYILGEGGFGAVYKGVIDETVRPGYTSTAVAIKELNPDGFQGDREWLTEVNYLGQLSHSNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFRRVGCSLTWSKRMKIALDAAKGLAFLHGAERPIIYRDFKTSNILLDADFNAKLADFGLAKDGPTGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGYGVVLLEMLLGRRAMDKCRPSREHNLVEWARPLLNHNKKVLRILDPKMDGQYSAKTARKVANLAYQCLSQNPKGRPLMNEVVELLETLETNDDSQEEACTSVKKRNSGRTGSHREGSADRSRSTNVRSRSEPPKECELYDPTSFETEEKSESDRG >KJB77320 pep chromosome:Graimondii2_0_v6:12:30052218:30053718:-1 gene:B456_012G131600 transcript:KJB77320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTTTVTAPSYPKLATVAVLRSKVFILNRHNPRYKSSVVTLSSSLQANPMLSSNSGSQGFLSSTGARCKALSALSSPFLGEDDDDLWRTTNVLPLRSRSLSLPRAYKDDVFKFRYPVITEKPEWWLRTLACVPYLLALQISDAGYFLHPFLEHCEMFENLIYFVPGAIKRLPPWFSMIYCYFGYIGIVKNKDWSHYIRFHLMMGMLLETALQLIWYTSNFLPLIHYNGCFGMHYWAGIGFGYILVLFECVRCALGGRYAHIPFISDAAYIHTVFNVGGFQRPF >KJB77321 pep chromosome:Graimondii2_0_v6:12:30052324:30053690:-1 gene:B456_012G131600 transcript:KJB77321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTTTVTAPSYPKLATVAVLRSKVFILNRHNPRYKSSVVTLSSSLQANPMLSSNSGSQGFLSSTVHNPFAGARCKALSALSSPFLGEDDDDLWRTTNVLPLRSRSLSLPRAYKDDVFKFRYPVITEKPEWWLRTLACVPYLLALQISDAGYFLHPFLEHCEMFENLIYFVPGAIKRLPPWFSMIYCYFGYIGIVKNKDWSHYIRFHLMMGMLLETALQLIWYTSNFLPLIHYNGCFGMHYWAGIGFGYILVLFECVRCALGGRYAHIPFISDAAYIHTVFNVGGFQRPF >KJB77319 pep chromosome:Graimondii2_0_v6:12:30052218:30053230:-1 gene:B456_012G131600 transcript:KJB77319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLTRSKVFILNRHNPRYKSSVVTLSSSLQANPMLSSNSGSQGFLSSTGARCKALSALSSPFLGEDDDDLWRTTNVLPLRSRSLSLPRAYKDDVFKFRYPVITEKPEWWLRTLACVPYLLALQISDAGYFLHPFLEHCEMFENLIYFVPGAIKRLPPWFSMIYCYFGYIGIVKNKDWSHYIRFHLMMGMLLETALQLIWYTSNFLPLIHYNGCFGMHYWAGIGFGYILVLFECVRCALGGRYAHIPFISDAAYIHTVFNVGGFQRPF >KJB78194 pep chromosome:Graimondii2_0_v6:12:35073627:35075394:1 gene:B456_012G183000 transcript:KJB78194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYTTCDRTSIDGSRSPLFQNIDSDFSSGYLEDALLEFTEMSKRRRLLSFHGGGDDDDDDLTNSFNDLAMNYMNSENFSCMNQLTTINGVADKKKNKRVIKSVVYPFALVKPGGIEGNTTLNDINQRLLLPPTRPIRHPVGDFACRPCMSADGTGLSGKAVTALTKIYTKGSGSITIIRTKG >KJB78193 pep chromosome:Graimondii2_0_v6:12:35073579:35075725:1 gene:B456_012G183000 transcript:KJB78193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYTTCDRTSIDGSRSPLFQNIDSDFSSGYLEDALLEFTEMSKRRRLLSFHGGGDDDDDDLTNSFNDLAMNYMNSENFSCMNQLTTINGVAGNEDKKKNKRVIKSVVYPFALVKPGGIEGNTTLNDINQRLLLPPTRPIRHPVGDFACRPCMSADGTGLSGKAVTALTKIYTKGSGSITIIRTKG >KJB76838 pep chromosome:Graimondii2_0_v6:12:30558542:30560364:-1 gene:B456_012G133600 transcript:KJB76838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRRFRVGYGDDPFLSLKTRPIKTQKPRKPLKTLESIITGSIFVRLLEWPPPSLQFSGSLDSAVAMVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKVQAL >KJB76839 pep chromosome:Graimondii2_0_v6:12:30558542:30560366:-1 gene:B456_012G133600 transcript:KJB76839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRRFRVGYGDDPFLSLKTRPIKTQKPRKPLKTLESIITGSIFVRLLEWPPPSLQFSGSLDSAVAMVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >KJB77016 pep chromosome:Graimondii2_0_v6:12:26936088:26939620:1 gene:B456_012G116800 transcript:KJB77016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDEKGGPGQSGFTSGPGGWQHMGGDGSSQTFSFSFGGPSGSRFFGGSSFFGFDYNDIFSSFFGGGMNHQGPFGGFSGSSRSQSQSRNSRKSIRAISSDVFKKEISDKGMTWLLLSYTPALQQGKQHYESIIDEVAGLLQGAIKVGRINCETEYSLCKDLGMHPGRALRLFVYSYKRNEKGTLEEYKGDLVAKNVKTFCQDHLPRFSRRLSLNHLDLSSSNLEKYPRVILLSTKKDTPVIWRALSGLYYRRFSFYDAEVHDVSEPAAKQLGVDALPAIIGWLPNGERHILKSGISVKDLKSAIKDLSLLLDSFEKKNKKVASSQASKNQKDSAQRKLPLLTASNSDALCGDKTPVCIIGAFRSSRAREKLESLLSKVSQKSLTRGPNVASGSRDSVSYIFLDATKQPLFLCAFDKSGYKSSDNILVAFKPRKGKFAAFSGDMTVEEVEKFISSVLNGDIQFTKTRQKPVLK >KJB77015 pep chromosome:Graimondii2_0_v6:12:26936088:26939620:1 gene:B456_012G116800 transcript:KJB77015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRPPLLFAFLLTLLVLHAEAKSIDPYKVLGVEKSASQREVQKAFHKLSLKYHPDKNKSKGAQEKFAEINNAYDILSDEEKRKNYDMYGDEKGGPGQSGFTSGPGGWQHMGGDGSSQTFSFSFGGPSGSRFFGGSSFFGFDYNDIFSSFFGGGMNHQGPFGGFSGSSRSQSQSRNSRKSIRAISSDVFKKEISDKGMTWLLLSYTPALQQGKQHYESIIDEVAGLLQGAIKVGRINCETEYSLCKDLGMHPGRALRLFVYSYKRNEKGTLEEYKGDLVAKNVKTFCQDHLPRFSRRLSLNHLDLSSSNLEKYPRVILLSTKKDTPVIWRALSGLYYRRFSFYDAEVHDVSEPAAKQLGVDALPAIIGWLPNGERHILKSGISVKDLKSAIKDLSLLLDSFEKKNKKVASSQASKNQKDSAQRKLPLLTASNSDALCGDKTPVCIIGAFRSSRAREKLESLLSKVSQKSLTRGPNVASGSRDSVSYIFLDATKQPLFLCAFDKSGYKSSDNILVAFKPRKGKFAAFSGDMTVEEVEKFISSVLNGDIQFTKTRQKPVLK >KJB75176 pep chromosome:Graimondii2_0_v6:12:3621183:3624285:1 gene:B456_012G029100 transcript:KJB75176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein CLAVATA2 [Source:Projected from Arabidopsis thaliana (AT1G65380) UniProtKB/Swiss-Prot;Acc:O80809] MELKWDVPVFRPWIPSKLPSVPLLLMLVLSLCLFSPTKCLDLDPDDKSSLLCFNSSVQDPNRSLATWVGSNCTNWTGISCENRTGRVVSVNLANMNLSGKVLPCLCNLSFLEYLNLSGNNFNGTIPTCFGSLASLKTIDLSHNSFSGVLPDNITRLGRLRELVLNGNRQLGGDLPWWIGNFSSNLEKLDLSSNSFNGEMPDSLFHLKSLRHLDLGDNYISGNVHEFYQSLEFLGLASNKLFGTLPCFSASTKSLTVLTLANNSLVGGIPTCIASLEALAHLNLSFNHLSYGISPRLVFTEKLLVLDLSFNDLSGPLPGKIAEATEKSGLVLLDLSHNRFSGKIPLKITELKSLQALFLSHNLLTGEIPARIGNLTYLQVVDLSHNSLSGSIPLDIVGCFQLLALILNNNNLSGEIQPELDALDSLKILDVSHNKISGEIPLTLAGLKSLEVVDLSSNNLSGTLNDAITKWLNLKYLSLAHNKFSGNLPSWLFTFEAIQVIDFSGNKFSGFIPDGNFNISFRSNNGNSVRDPKVPFISVKSIEIKVSAMVIDTSELSFTFKVSSMVGIDLSDNLLRGEIPSVLFEVEGLEYLNLSYNYLDGQLPSLEKMWNLRALDLSHNSLSGQIPGNISTLKDLILLNLSYNSFSGSVPVNQGYRRFPGAFAGNPDLCVESSGEGCERGSPPAEPGKTFEEVEGPILVWVFCVSAFVSFYLGVVGLFCSARARSYILQKKV >KJB78305 pep chromosome:Graimondii2_0_v6:12:34440706:34441458:-1 gene:B456_012G174800 transcript:KJB78305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSFQTLTLPTFFFFFVSSYLLAYFRIFHNWDPKHRAEASSCFISLTHGTPAVFLAIHALTTHTTKSPSPPTFSSPNTFLHNTVLEFSISYFSIDLLHYLIFFPNDTLFILHHLATLYVFFTCRFIVHHGSFALLVLLILAEITSFCQNVWTLAGYRKADLPVAGKVFDLMSLPFFAFYTIVRGIIGPLFVYKMGVFYINQMAGDSIPVWAWVSWMIVIVTAILISIVWVFDHWIDWFKRRKAMNKLA >KJB77122 pep chromosome:Graimondii2_0_v6:12:27633241:27635165:-1 gene:B456_012G121200 transcript:KJB77122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSKFESLVSPSRLRLLQFLIGVLFLYLLFVSFEIPLVFKADSDGFFTDTLPRPLILESEEDFSYKTAPARPDNDPDRVHNPGSRSPEGNVREFKGVSGLLFNDSSFDNIGSKDELSVLHKTARHAFVVGKKLWDDLQSGVQKSDSEPEPQSQSQNKNQTESCPDSISLSGPEFVNQGRILVLPCGLTLGSHITVVGMPHWAHAENDPKIAVLREGDESVMVTQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGSALRCEGWKSRADEETVDGEVKCEKWIRDDDNGSEESKTTWWLNRLIGRKKKVTLDWQYPFAEGKLFVLTLRAGLEGYHVNVDGRHITSFPYRTGFVLEDATGLSLKGDLDVHSVFAASLPNSHPSFDPQKHLERLSKWKAPPLPNGNVELFIGILSAGNHFAERMAVRKSWMQHKLIKSSNVVARFFVALVSVNKGRTGSFPWKFPLLDIFTNFLFSEWKKGSKCRAEKGSRLFW >KJB77120 pep chromosome:Graimondii2_0_v6:12:27631821:27635537:-1 gene:B456_012G121200 transcript:KJB77120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSKFESLVSPSRLRLLQFLIGVLFLYLLFVSFEIPLVFKADSDGFFTDTLPRPLILESEEDFSYKTAPARPDNDPDRVHNPGSRSPEGNVREFKGVSGLLFNDSSFDNIGSKDELSVLHKTARHAFVVGKKLWDDLQSGVQKSDSEPEPQSQSQNKNQTESCPDSISLSGPEFVNQGRILVLPCGLTLGSHITVVGMPHWAHAENDPKIAVLREGDESVMVTQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGSALRCEGWKSRADEETVDGEVKCEKWIRDDDNGSEESKTTWWLNRLIGRKKKVTLDWQYPFAEGKLFVLTLRAGLEGYHVNVDGRHITSFPYRTGFVLEDATGLSLKGDLDVHSVFAASLPNSHPSFDPQKHLERLSKWKAPPLPNGNVELFIGILSAGNHFAERMAVRKSWMQHKLIKSSNVVARFFVALSGRKEVNVELKKEADYFGDIVIVPYMDNYDLVVLKTVAISEYGVRTMAAKYIMKCDDDTFVRVDSVIEEAKKYGDKSMYIGNMNYYHKPLRDGKWAVTYEEWPEEDYPPYANGPGYIISSDIAQFIVAEFEKQKLRLFKMEDVSMGMWVEKFNSSKPVEYEHSLKFCQFGCVEDYYTAHYQSPRQMLCMWDKLINVGKPQCCNMR >KJB77121 pep chromosome:Graimondii2_0_v6:12:27632621:27635165:-1 gene:B456_012G121200 transcript:KJB77121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSKFESLVSPSRLRLLQFLIGVLFLYLLFVSFEIPLVFKADSDGFFTDTLPRPLILESEEDFSYKTAPARPDNDPDRVHNPGSRSPEGNVREFKGVSGLLFNDSSFDNIGSKDELSVLHKTARHAFVVGKKLWDDLQSGVQKSDSEPEPQSQSQNKNQTESCPDSISLSGPEFVNQGRILVLPCGLTLGSHITVVGMPHWAHAENDPKIAVLREGDESVMVTQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGSALRCEGWKSRADEETVDGEVKCEKWIRDDDNGSEESKTTWWLNRLIGRKKKVTLDWQYPFAEGKLFVLTLRAGLEGYHVNVDGRHITSFPYRTGFVLEDATGLSLKGDLDVHSVFAASLPNSHPSFDPQKHLERLSKWKAPPLPNGNVELFIGILSAGNHFAERMAVRKSWMQHKLIKSSNVVARFFVALSGRKEVNVELKKEADYFGDIVIVPYMDNYDLVVLKTVAISEYGVRTMAAKYIMKCDDDTFVRVDSVIEEAKKYGDKSMYIGNMNYYHKPLRDGKWAVTYEVCVFCSVLSKSRGFTFMLSNNTYCKFISMEGFF >KJB76115 pep chromosome:Graimondii2_0_v6:12:10714485:10718379:1 gene:B456_012G072600 transcript:KJB76115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEGKKSDELKMEVVNRERVVFMWGYLPGALPQRAPLVSPVLVRIPDSIDHSWTDVCGGGCGFAMAISDSGKLITWGSTDDSGQSYVTSGKHGEIPEPFPLPTEASLVKAAAGWAHCVAVTENGEVYTWGWKECIPSGKVFGDPSTGTSLEKDVFERQNSLTEQVSPHSQSSRSSGETFSATNTKGVGEESTKRRRISSTKQSAESSSSGDETVSALPCLVALNPGVRIVTVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIELSSFGKDSVAACSRGSLCSEGQGFRVPGNYVKGIACGGRHSAVITDAGAVLTFGWGLYGQDQYVGKVEIGGEKGPVKLKMRFNLIRDILLDSFSNISLLYFIVIYACSIF >KJB76116 pep chromosome:Graimondii2_0_v6:12:10714353:10719723:1 gene:B456_012G072600 transcript:KJB76116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEGKKSDELKMEVVNRERVVFMWGYLPGALPQRAPLVSPVLVRIPDSIDHSWTDVCGGGCGFAMAISDSGKLITWGSTDDSGQSYVTSGKHGEIPEPFPLPTEASLVKAAAGWAHCVAVTENGEVYTWGWKECIPSGKVFGDPSTGTSLEKDVFERQNSLTEQVSPHSQSSRSSGETFSATNTKGVGEESTKRRRISSTKQSAESSSSGDETVSALPCLVALNPGVRIVTVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIELSSFGKDSVAACSRGSLCSEGQGFRVPGNYVKGIACGGRHSAVITDAGAVLTFGWGLYGQCGQGSTDDELSPTSVSSLLGIKIVSVAAGLWHTVCISADGDVYAFGGNQFGQLGTGGDQAETLPRLLEDPSLENSHVKVASCGARHSAIITEDGKIFCWGWNKYGQLGLGDVIDRSTPSQVTIEGCRPKNIVCGWWHTLLLAESAS >KJB75922 pep chromosome:Graimondii2_0_v6:12:9295288:9297923:-1 gene:B456_012G065800 transcript:KJB75922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKRHLFNYQGYVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAVFESNYREGLTRDEGIKLVTEAICSGIFNDLGSGSNVDICVITKGGKEYLRNHLQPNPRTYTSSKGYSFPKKTEVLLTKITPLKEKVEIIEGGGDVMEE >KJB75923 pep chromosome:Graimondii2_0_v6:12:9296219:9297766:-1 gene:B456_012G065800 transcript:KJB75923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSVIETPPKGGFSFDLCKRNEMLAKKGANPPSFRKTGTTIVGLIFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKRHLFNYQGYVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAVFESNYREGLTVSSSVPFFWCLED >KJB75921 pep chromosome:Graimondii2_0_v6:12:9295259:9297946:-1 gene:B456_012G065800 transcript:KJB75921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSVIETPPKGGFSFDLCKRNEMLAKKGANPPSFRKTGTTIVGLIFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKRHLFNYQGYVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAVFESNYREGLTRDEGIKLVTEAICSGIFNDLGSGSNVDICVITKGGKEYLRNHLQPNPRTYTSSKGYSFPKKTEVLLTKITPLKEKVEIIEGGGDVMEE >KJB77943 pep chromosome:Graimondii2_0_v6:12:33970446:33970997:1 gene:B456_012G169300 transcript:KJB77943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPHSSLPLGFRFHPTDEELILHYLMKKLTSSPFPVSIIADVDIYKFDPWDLPDKAVLGEKEWNFFSPRDRKYPNGARPNGATSSGFWKATGIVKIIVASSMAAGRGGVHFNIGVKKALVFHRRNKPSTHL >KJB78367 pep chromosome:Graimondii2_0_v6:12:3780069:3782836:1 gene:B456_012G030800 transcript:KJB78367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSGACGKAPLKPTIASKPWQWPSERSCRFLKIVALGSQSDGKSSLLEALLGFRFNVREIEMGTRRPLILQMVHYRSALEPRCCFQVLTETDHCYCVLVNALDGVAFPSEKDTNDSSSSNKVPLRVDTNSMKTKNSKLTLFSGFVSYQMVRDAYDVGSSGFGSLLSMGHSSGKKDRLYMKGPGGRGEVEVAVSGVVGLVISFTSRGLLNC >KJB74780 pep chromosome:Graimondii2_0_v6:12:818797:819630:1 gene:B456_012G006900 transcript:KJB74780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVAMGSRHHTNVVKGKRTKRSRPQSPIPFAILCNNGGEVLDGIGNSCSSSSFEYQDSTTEEEEDMANCLILLAQGKSRESPKDMLVYKKFASRKFLETPSNGTGKVGYYVYECKTCNRTFPSFQALGGHRASHKKPKATLSEVAMVEAAYEEQGPNYNNNNNSKVKVKVHECSICGAEFTSGQALGGHMRRHRGSIGNHVNVLTTNTTLSLTVPMALESEKPKKPPNVLSLSLDLNLPAPEDDHRESEFTFASTQQQQQQSPLVFSASTLVDCHY >KJB75363 pep chromosome:Graimondii2_0_v6:12:4799502:4800632:1 gene:B456_012G038300 transcript:KJB75363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEKPRHHGKHERQALPWAVRLVVSMVSTVVDNACRPNGTVNRRLIHFLDYQTPPISTTSVSSTDISIDATRNLWIRLYSPSNNQLLPVLIFFHGGGFSFLSPASLAFDMVCRNFATNLPAIGISVNYRLAPEHRYPSQCHDGFDALNFIDENWATVLPKNADSARCFFAGDSAGANLAHHEAVQACRTKLHTMKMIGLISIQPFFGGEERSQSEMQLVGSGLLVSVPLTDWCWNAYLPLGSNRDHEAANVSGPNADDISGLDFPATMVVVGGFDPLKDWQRRYYEWLLKSGKEARLIEYHNMIHAFYTFPLLPDFPLGCANQGVHCQVFIQSSKPQI >KJB77286 pep chromosome:Graimondii2_0_v6:12:29766841:29769480:-1 gene:B456_012G129400 transcript:KJB77286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERRELGFQRTGGCSLKEQLAKTTLNNVRSQGHTYVELREDGKRFIFFCTLCLAPCYSDSVLLDHLKGSLHTERLAAAKVTLLGSNPWPFNDGVLFFGATNGEEKQLEVVNDNQNRLLEFQNSDNNLAIVEYVGSQASSCNKEVNGRAGESDLVIPGVLIKDKISDLKVSFSGFGRIAARFCEKDGISNGISRIWCEWLGKEAPRNDDRFKVPKHEFAVVTFPYNCDLGRKGLLDDVKSLLTSGSTTELDNGEAAIRKRKKSFSDPEDISGSLSNQYDSSGEDSSASNGDSSRLALDRYDDQLLLTRFISSRTIRRELRRQQRIAAERMCDICRQKMLPDKDVATLMNLNTGKLVCSSRNVNGAFHVFHTSCLIHWILLCELERIENHSVNPKARRRSRRKNRTKCKKMGKDGETKPTGTLINSVLCPECQGTGIEVEGDELEKPDVSLSQVRIM >KJB77285 pep chromosome:Graimondii2_0_v6:12:29766162:29769631:-1 gene:B456_012G129400 transcript:KJB77285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERRELGFQRTGGCSLKEQLAKTTLNNVRSQGHTYVELREDGKRFIFFCTLCLAPCYSDSVLLDHLKGSLHTERLAAAKVTLLGSNPWPFNDGVLFFGATNGEEKQLEVVNDNQNRLLEFQNSDNNLAIVEYVGSQASSCNKEVNGRAGESDLVIPGVLIKDKISDLKVSFSGFGRIAARFCEKDGISNGISRIWCEWLGKEAPRNDDRFKVPKHEFAVVTFPYNCDLGRKGLLDDVKSLLTSGSTTELDNGEAAIRKRKKSFSDPEDISGSLSNQYDSSGEDSSASNGDSSRLALDRYDDQLLLTRFISSRTIRRELRRQQRIAAERMCDICRQKMLPDKDVATLMNLNTGKLVCSSRNVNGAFHVFHTSCLIHWILLCELERIENHSVNPKARRRSRRKNRTKCKKMGKDGETKPTGTLINSVLCPECQGTGIEVEGDELEKPDVSLSQMFRYKIKVSDARRAWMKNPEILENCSTGFHFPSQSAEMVQEKVMPLKLLHFYSADKLESGTSYLG >KJB75193 pep chromosome:Graimondii2_0_v6:12:3720454:3723679:-1 gene:B456_012G030100 transcript:KJB75193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSMAITEDGALFYWVSSDPHLRCQQLYSLCEKTIVGISAGKYWAATATAIGDVYMWDGKKSMEKPPVATRLHRVKGKKIP >KJB77111 pep chromosome:Graimondii2_0_v6:12:27560739:27566827:1 gene:B456_012G120400 transcript:KJB77111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRPEGCVGQRSRSSKKKSRKRRKTFKKRAPSRLSEGSSDNVDRRSSFTNPAFQGSQELWFDPVSVFDSDCEEEFESVQEDTLSLNGLEGVASSNISSLRDANYGEHSSLVDQMQKPGGLSTGNSACNSASEAARISNSQILCSKDVNPQLKYDGASNEVKQPVFLDDIASSAGEGPGKEVGLLDNCGILPSNCLPCLASTVSSVEKRRSLSSSPPSARKKNALKLPFKWKEGHPNAALFSSKRLLQRPKAGSQVPFCPTEKRMFDCWSHIEPGTFKVRSENYFRDKKKDFAHNHAAYYPFGVDVFLSPRKIDHIARFVELPVVGHSGKLPSILVVNVQIPLYPPALFHSEIDGEGMNFVLYFKLSDSYLKELPPHFQENIRRIIDDGVEKVKGFPVDTNVPFRERLKILGRVANVEDLHMSAAERKLMQAYNEKPVLSRPQHEFYSGNKPEELPEQILCCVRLSGIDYMNYHQLSLNQEPSDTSAES >KJB77107 pep chromosome:Graimondii2_0_v6:12:27560739:27566827:1 gene:B456_012G120400 transcript:KJB77107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRPEGCVGQRSRSSKKKSRKRRKTFKKRAPSRLSEGSSDNVDRRSSFTNPAFQGSQELWFDPVSVFDSDCEEEFESVQEDTLSLNGLEGVASSNISSLRDANYGEHSSLVDQMQKPGGLSTGNSACNSASEAARISNSQILCSKDVNPQLKYDGASNEVKQPVFLDDIASSAGEGPGKEVGLLDNCGILPSNCLPCLASTVSSVEKRRSLSSSPPSARKKNALKLPFKWKEGHPNAALFSSKRLLQRPKAGSQVPFCPTEKRMFDCWSHIEPGTFKVRSENYFRDKKKDFAHNHAAYYPFGVDVFLSPRKIDHIARFVELPVVGHSGKLPSILVVNVQIPLYPPALFHSEIDGEGMNFVLYFKLSDSYLKELPPHFQENIRVRIIDDGVEKVKGFPVDTNVPFRERLKILGRVANVEDLHMSAAERKLMQAYNEKPVLSRPQHEFYSGENYFEIDIDMHRFSYISRKGFDAFLDRLKFCILDVGLTIQGNKPEELPEQILCCVRLSGIDYMNYHQLSLNQEPSDTSAES >KJB77103 pep chromosome:Graimondii2_0_v6:12:27560535:27566884:1 gene:B456_012G120400 transcript:KJB77103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPGGLSTGPGKEVGLLDNCGILPSNCLPCLASTVSSVEKRRSLSSSPPSARKKNALKLPFKWKEGHPNAALFSSKRLLQRPKAGSQVPFCPTEKRMFDCWSHIEPGTFKVRSENYFRDKKKDFAHNHAAYYPFGVDVFLSPRKIDHIARFVELPVVGHSGKLPSILVVNVQIPLYPPALFHSEIDGEGMNFVLYFKLSDSYLKELPPHFQENIRRIIDDGVEKVKGFPVDTNVPFRERLKILGRVANVEDLHMSAAERKLMQAYNEKPVLSRPQHEFYSGENYFEIDIDMHRFSYISRKGFDAFLDRLKFCILDVGLTIQGNKPEELPEQILCCVRLSGIDYMNYHQLSLNQEPSDTSAES >KJB77108 pep chromosome:Graimondii2_0_v6:12:27560871:27565559:1 gene:B456_012G120400 transcript:KJB77108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRPEGCVGQRSRSSKKKSRKRRKTFKKRAPSRLSEGSSDNVDRRSSFTNPAFQGSQELWFDPVSVFDSDCEEEFESVQEDTLSLNGLEGVASSNISSLRDANYGEHSSLVDQMQKPGGLSTGNSACNSASEAARISNSQILCSKDVNPQLKYDGASNEVKQPVFLDDIASSAGEGPGKEVGLLDNCGILPSNCLPCLASTVSSVEKRRSLSSSPPSARKKNALKLPFKWKEGHPNAALFSSKRLLQRPKAGSQVPFCPTEKRMFDCWSHIEPGTFKVRSENYFRDKKKDFAHNHAAYYPFGVDVFLSPRKIDHIARFVELPVVGHSGKLPSILVVNVQIPLYPPALFHSEIDGEGMNFVLYFKLSDSYLKELPPHFQENIRVRE >KJB77102 pep chromosome:Graimondii2_0_v6:12:27560535:27566884:1 gene:B456_012G120400 transcript:KJB77102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPGGLSTGPGKEVGLLDNCGILPSNCLPCLASTVSSVEKRRSLSSSPPSARKKNALKLPFKWKEGHPNAALFSSKRLLQRPKAGSQVPFCPTEKRMFDCWSHIEPGTFKVRSENYFRDKKKDFAHNHAAYYPFGVDVFLSPRKIDHIARFVELPVVGHSGKLPSILVVNVQIPLYPPALFHSEIDGEGMNFVLYFKLSDSYLKELPPHFQENIRRIIDDGVEKVKGFPVDTNVPFRERLKILGRVANVEDLHMSAAERKLMQAYNEKPVLSRPQHEFYSGNKPEELPEQILCCVRLSGIDYMNYHQLSLNQEPSDTSAES >KJB77105 pep chromosome:Graimondii2_0_v6:12:27560535:27566884:1 gene:B456_012G120400 transcript:KJB77105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRPEGCVGQRSRSSKKKSRKRRKTFKKRAPSRLSEGSSDNVDRRSSFTNPAFQGSQELWFDPVSVFDSDCEEEFESVQEDTLSLNGLEGVASSNISSLRDANYGEHSSLVDQMQKPGGLSTGPGKEVGLLDNCGILPSNCLPCLASTVSSVEKRRSLSSSPPSARKKNALKLPFKWKEGHPNAALFSSKRLLQRPKAGSQVPFCPTEKRMFDCWSHIEPGTFKVRSENYFRDKKKDFAHNHAAYYPFGVDVFLSPRKIDHIARFVELPVVGHSGKLPSILVVNVQIPLYPPALFHSEIDGEGMNFVLYFKLSDSYLKELPPHFQENIRRIIDDGVEKVKGFPVDTNVPFRERLKILGRVANVEDLHMSAAERKLMQAYNEKPVLSRPQHEFYSGNKPEELPEQILCCVRLSGIDYMNYHQLSLNQEPSDTSAES >KJB77112 pep chromosome:Graimondii2_0_v6:12:27563133:27566827:1 gene:B456_012G120400 transcript:KJB77112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPGGLSTGPGKEVGLLDNCGILPSNCLPCLASTVSSVEKRRSLSSSPPSARKKNALKLPFKWKEGHPNAALFSSKRLLQRPKAGSQVPFCPTEKRMFDCWSHIEPGTFKVRSENYFRDKKKDFAHNHAAYYPFGVDVFLSPRKIDHIARFVELPVVGHSGKLPSILVVNVQIPLYPPALFHSEIDGEGMNFVLYFKLSDSYLKELPPHFQENIRRIIDDGVEKVKGFPVDTNVPFRERLKILGRVANVEDLHMSAAERKLMQAYNEKPVLSRPQHEFYSGENYFEIDIDMHRFSYISRKGFDAFLDRLKFCILDVGLTIQGNKPEELPEQILCCVRLSGIDYMNYHQLSLNQEPSDTSAES >KJB77109 pep chromosome:Graimondii2_0_v6:12:27560739:27566827:1 gene:B456_012G120400 transcript:KJB77109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRPEGCVGQRSRSSKKKSRKRRKTFKKRAPSRLSEGSSDNVDRRSSFTNPAFQGSQELWFDPVSVFDSDCEEEFESVQEDTLSLNGLEGVASSNISSLRDANYGEHSSLVDQMQKPGGLSTGNSACNSASEAARISNSQILCSKDVNPQLKYDGASNEVKQPVFLDDIASSAGEGPGKEVGLLDNCGILPSNCLPCLASTVSSVEKRRSLSSSPPSARKKNALKLPFKWKEGHPNAALFSSKRLLQRPKAGSQVPFCPTEKRMFDCWSHIEPGTFKVRSENYFRDKKKDFAHNHAAYYPFGVDVFLSPRKIDHIARFVELPVVGHSGKLPSILVVNVQIPLYPPALFHSEIDGEGMNFVLYFKLSDSYLKELPPHFQENIRRIIDDGVEKVKGFPVDTNVPFRERLKILGRVANVEDLHMSAAERKLMQAYNEKPVLSRPQHEFYSIQLHLQERFRCIPR >KJB77110 pep chromosome:Graimondii2_0_v6:12:27560739:27566827:1 gene:B456_012G120400 transcript:KJB77110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRPEGCVGQRSRSSKKKSRKRRKTFKKRAPSRLSEGSSDNVDRRSSFTNPAFQGSQELWFDPVSVFDSDCEEEFESVQEDTLSLNGLEGVASSNISSLRDANYGEHSSLVDQMQKPGGLSTGNSACNSASEAARISNSQILCSKDVNPQLKYDGASNEVKQPVFLDDIASSAGEGPGKEVGLLDNCGILPSNCLPCLASTVSSVEKRRSLSSSPPSARKKNALKLPFKWKEGHPNAALFSSKRLLQRPKAGSQVPFCPTEKRMFDCWSHIEPGTFKVRSENYFRDKKKDFAHNHAAYYPFGVDVFLSPRKIDHIARFVELPVVGHSGKLPSILVVNVQIPLYPPALFHSEIDGEGMNFVLYFKLSDSYLKELPPHFQENIRRIIDDGVEKVKGFPVDTNVPFRERLKILGRVANVEDLHMSAAERKLMQAYNEKPVLSRPQHEFYSGENYFEIDIDMHRFSYISRKGFDAFLDRLKFCILDVGLTIQGNKPEELPEQILCCVRLSGIDYMNYHQLSLNQEPSDTSAES >KJB77106 pep chromosome:Graimondii2_0_v6:12:27560871:27565774:1 gene:B456_012G120400 transcript:KJB77106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRPEGCVGQRSRSSKKKSRKRRKTFKKRAPSRLSEGSSDNVDRRSSFTNPAFQGSQELWFDPVSVFDSDCEEEFESVQEDTLSLNGLEGVASSNISSLRDANYGEHSSLVDQMQKPGGLSTGNSACNSASEAARISNSQILCSKDVNPQLKYDGASNEVKQPVFLDDIASSAGEGPGKEVGLLDNCGILPSNCLPCLASTVSSVEKRRSLSSSPPSARKKNALKLPFKWKEGHPNAALFSSKRLLQRPKAGSQVPFCPTEKRMFDCWSHIEPGTFKVRSENYFRDKKKDFAHNHAAYYPFGVDVFLSPRKIDHIARFVELPVVGHSGKLPSILVVNVQIPLYPPALFHSEIDGEGMNFVLYFKLSDSYLKELPPHFQENIRRIIDDGVEKVKGFPVDTNVPFRERLKILGRVANVEDLHMSAAERKLMQAYNEKPVLSRPQHEFYSVSLSFIFL >KJB77104 pep chromosome:Graimondii2_0_v6:12:27560535:27566884:1 gene:B456_012G120400 transcript:KJB77104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRPEGCVGQRSRSSKKKSRKRRKTFKKRAPSRLSEGSSDNVDRRSSFTNPAFQGSQELWFDPVSVFDSDCEEEFESVQEDTLSLNGLEGVASSNISSLRDANYGEHSSLVDQMQKPGGLSTGPGKEVGLLDNCGILPSNCLPCLASTVSSVEKRRSLSSSPPSARKKNALKLPFKWKEGHPNAALFSSKRLLQRPKAGSQVPFCPTEKRMFDCWSHIEPGTFKVRSENYFRDKKKDFAHNHAAYYPFGVDVFLSPRKIDHIARFVELPVVGHSGKLPSILVVNVQIPLYPPALFHSEIDGEGMNFVLYFKLSDSYLKELPPHFQENIRRIIDDGVEKVKGFPVDTNVPFRERLKILGRVANVEDLHMSAAERKLMQAYNEKPVLSRPQHEFYSGENYFEIDIDMHRFSYISRKGFDAFLDRLKFCILDVGLTIQGNKPEELPEQILCCVRLSGIDYMNYHQLSLNQEPSDTSAES >KJB78339 pep chromosome:Graimondii2_0_v6:12:32507570:32511753:-1 gene:B456_012G151300 transcript:KJB78339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSTNIRNLMFAGKHALLPPKSPFPIASPVYTDYIPNNVIGSKVIQKSRVGSTYHQCTSSESHLIEEQPSWLDDLLNEPETPMYKGRHRRSSSDSFAFIDVSNAPNVDYGARDECRYKSMISSPSWTHQDFDHHNQKDARVNSFHANVNLVKQNNRAWDSTSLRESTFIQGLGSSCTLEEPEAPPSTASEKKDSAESASPNAKGSSEKKDNSHTKSSSSDTDSKRAKQQFAQRSRGRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILSMENKALKQRLESLAQEQAIKYLEQEVLEREIGRLRVMYQQQNQQQPSSSHQCSSSRDLDSQFANLSLKHNDTSSSLDPITGPVRI >KJB78341 pep chromosome:Graimondii2_0_v6:12:32509392:32510822:-1 gene:B456_012G151300 transcript:KJB78341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSTNIRNLMFAGKHALLPPKSPFPIASPVYTDYIPNNVIGSKVIQKSRVGSTYHQCTSSESHLIEEQPSWLDDLLNEPETPMYKGRHRRSSSDSFAFIDVSNAPNVDYGARDECRYKSMISSPSWTHQDFDHHNQKDARVNSFHANVNLVKQNNRAWDSTSLRESTFIQGLGSSCTLEEPEAPPSTASEKKDSAESASPNAKGSSEKKDNSHTKSSSSDTDSKRAKQQFAQRSRGRKLQYIAELERNVQALQARVRAN >KJB78340 pep chromosome:Graimondii2_0_v6:12:32507649:32510822:-1 gene:B456_012G151300 transcript:KJB78340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSTNIRNLMFAGKHALLPPKSPFPIASPVYTDYIPNNVIGSKVIQKSRVGSTYHQCTSSESHLIEEQPSWLDDLLNEPETPMYKGRHRRSSSDSFAFIDVSNAPNVDYGARDECRYKSMISSPSWTHQDFDHHNQKDARVNSFHANVNLVKQNNRAWDSTSLRESTFIQGLGSSCTLEEPEAPPSTASEKKDSAESASPNAKGSSEKKDNSHTKSSSSDTDSKRAKHTFVIVLSRQFAQRSRGRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILSMENKALKQRLESLAQEQAIKYLEQEVLEREIGRLRVMYQQQNQQQPSSSHQCSSSRDLDSQFANLSLKHNDTSSSLDPITGPVRI >KJB77627 pep chromosome:Graimondii2_0_v6:12:32129782:32130100:1 gene:B456_012G147200 transcript:KJB77627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYDQKPPPVGVPPQCYPPPGYPPQQTYPPAAYPPPGYPPQGYPPQGYPAQGYPPPYAPQEQKHFGCLQGW >KJB76639 pep chromosome:Graimondii2_0_v6:12:20335696:20336464:1 gene:B456_012G098000 transcript:KJB76639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADYAKDLEARRIAAIGTQRFLGFELRGIERGEEEETSGGAIHRRRRRNPTEMVKPAAATIGGLAPGTVKAYPS >KJB77736 pep chromosome:Graimondii2_0_v6:12:32777834:32779329:1 gene:B456_012G154000 transcript:KJB77736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRYGAFIPLGFGYVVA >KJB77738 pep chromosome:Graimondii2_0_v6:12:32777834:32780567:1 gene:B456_012G154000 transcript:KJB77738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFMILGMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >KJB77739 pep chromosome:Graimondii2_0_v6:12:32777834:32780714:1 gene:B456_012G154000 transcript:KJB77739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >KJB77737 pep chromosome:Graimondii2_0_v6:12:32777834:32780567:1 gene:B456_012G154000 transcript:KJB77737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRYANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >KJB78152 pep chromosome:Graimondii2_0_v6:12:34937071:34938650:-1 gene:B456_012G180900 transcript:KJB78152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLSLAVAPRSEPDHTIAPGEKPEHKPPRQQRQQQQQQQSGVGSIMGSLRVIELQLVVFIMVFSISGLVPLLDLVFPAIASAYIIALSRFAFPSNGHVSTASQEIFQGSKLFRLYVILGTAVGLFLPLAYVLGGFARGDNHDVRSATPHLFLLSFQILTENVIGGLSLFSPPVRALVPLLYTVRRIFVLVDWIHDVWLNKTLPVNAQLKDIVWHWLGKCLAAANLLYFSINLFFFLIPRFLPRAFERYFKERDEIRCKMSEDKRPIMANKSLATNKKDD >KJB78151 pep chromosome:Graimondii2_0_v6:12:34936706:34939477:-1 gene:B456_012G180900 transcript:KJB78151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLSLAVAPRSEPDHTIAPGEKPEHKPPRQQRQQQQQQQSGEIFQGSKLFRLYVILGTAVGLFLPLAYVLGGFARGDNHDVRSATPHLFLLSFQILTENVIGGLSLFSPPVRALVPLLYTVRRIFVLVDWIHDVWLNKTLPVNAQLKDIVWHWLGKCLAAANLLYFSINLFFFLIPRFLPRAFERYFKERDEIRCKMSEDKRPIMANKSLATNKKDD >KJB76688 pep chromosome:Graimondii2_0_v6:12:22125190:22125805:1 gene:B456_012G100900 transcript:KJB76688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWMMTFPSAPTILQLGSFHHLSKPPTDALRPIIPDNACILYITAAAGTKLVDAYSPDTIIASSQGKEVHDLWAFYLQVALLRQAFAHCGKLPIAASRRSLGRVSVPVWLIILSDQLLIIALVSYCLTN >KJB74868 pep chromosome:Graimondii2_0_v6:12:1399913:1405744:1 gene:B456_012G012200 transcript:KJB74868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPKNLTTTNHLRHVESMASLPSGAGKISHLNAVILGEALASEENDFVFPSDDFSRQALIPSPHKYLEMYKRSIEDPAGFWSGIASSEFYWKQKWGDQVCSENLDVRKGDIKIEWFKGGITNICYNCLDRNIDAGLADKIALYWESNEPGFDAHLTYSQLLHRVCQLANYLKSIGVRKGDAVIVYLPMLMELPITMLACARIGAVHSVVFAGFSSESLAQRIVDCKPKVVITCNAVKRGPKTIHLKDIVDAALVESAKNGISIDVCLTYENQSALKKESTKWQEGRDVWWEDVVPKYPTTCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKESDVYWCTADCGWITGHSYVTYGPMLNGATVVVYEGAPNYPDSGRCWDIVDKYKVTIFYTAPTLVRSLMRDGDEYVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGGSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGTEIDGECSGYLCVKGSWPGAFRTLYGDHERYETTYFRPFPGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVEGVPYSEELRKSLILTVRNQV >KJB74869 pep chromosome:Graimondii2_0_v6:12:1399913:1405744:1 gene:B456_012G012200 transcript:KJB74869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPKNLTTTNHLRHVESMASLPSGAGKISHLNAVILGEALASEENDFVFPSDDFSRQALIPSPHKYLEMYKRSIEDPAGFWSGIASSEFYWKQKWGDQVCSENLDVRKGDIKIEWFKGGITNICYNCLDRNIDAGLADKIALYWESNEPGFDAHLTYSQLLHRVCQLANYLKSIGVRKGDAVIVYLPMLMELPITMLACARIGAVHSVVFAGFSSESLAQRIVDCKPKVVITCNAVKRGPKTIHLKDIVDAALVESAKNGISIDVCLTYENQSALKKESTKWQEGRDVWWEDVVPKYPTTCDVEWVDAEDPLFLLYTSGSTGKPKVSLGHSYVTYGPMLNGATVVVYEGAPNYPDSGRCWDIVDKYKVTIFYTAPTLVRSLMRDGDEYVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGGSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGTEIDGECSGYLCVKGSWPGAFRTLYGDHERYETTYFRPFPGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVEGVPYSEELRKSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDISTLAEPGVVDQLIALADS >KJB74867 pep chromosome:Graimondii2_0_v6:12:1399856:1405770:1 gene:B456_012G012200 transcript:KJB74867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPKNLTTTNHLRHVESMASLPSGAGKISHLNAVILGEALASEENDFVFPSDDFSRQALIPSPHKYLEMYKRSIEDPAGFWSGIASSEFYWKQKWGDQVCSENLDVRKGDIKIEWFKGGITNICYNCLDRNIDAGLADKIALYWESNEPGFDAHLTYSQLLHRVCQLANYLKSIGVRKGDAVIVYLPMLMELPITMLACARIGAVHSVVFAGFSSESLAQRIVDCKPKVVITCNAVKRGPKTIHLKDIVDAALVESAKNGISIDVCLTYENQSALKKESTKWQEGRDVWWEDVVPKYPTTCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKESDVYWCTADCGWITGHSYVTYGPMLNGATVVVYEGAPNYPDSGRCWDIVDKYKVTIFYTAPTLVRSLMRDGDEYVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGGSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGTEIDGECSGYLCVKGSWPGAFRTLYGDHERYETTYFRPFPGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVEGVPYSEELRKSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDISTLAEPGVVDQLIALADS >KJB76925 pep chromosome:Graimondii2_0_v6:12:25881573:25883137:-1 gene:B456_012G113000 transcript:KJB76925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTKIFVSPVVIPAKSPVAFMILFALLMFLMLTDSIVSNISLESAAFTQKQDSQSLQTLTVTRNIKSDVVLPLSLTVSTKNNIVDGDSEHQNSLASSLGVREKRGIGKFRRKLPEHEILKSDELTKKFHGRVLEFFNRGCELHFFMTWISKVGSFGRREIMAAESVFKAHPNGCLMILSRTMDSVQGYRILKPLLDRGFKVIAVAPDFPFLVKNTPAEAWLDDMKSGKKDPGVIPLAQNLSNLMRLVVLYKYGGVYLDTDFIVMRSFKGLKNTIGAQSIDVVSKNWTRLNNAVLVFDMKHPLVLKFIEEFALTFDGNKWGHNGPYMVSRVVRRVEGRPGYNFTILPPMALYPVDWIKIGGLFKMPKDRAGSRWIESKVQQLKRQTYGVHLWNKQSSKLVVEEGSVMERLMAQNCVLCTHIYSS >KJB75707 pep chromosome:Graimondii2_0_v6:12:6894743:6894949:1 gene:B456_012G052600 transcript:KJB75707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRTIIKYDGSREIQEHIIKITNITTMLKTLGMIVDGSFLVQFILNLLPPNYGIFQIDYNCSTPHPT >KJB77335 pep chromosome:Graimondii2_0_v6:12:30101235:30101855:1 gene:B456_012G132000 transcript:KJB77335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIPTMKLLSLVLSSFVIVQIALAGDPDILSDFLVPNQNNVDGSFFTYTGMRVLVNQSTFPANFTILKASMVEFPALNGQSVSYAVLQYPASSLNPPHTHPRAAELLFLVDGSLEVGFVDTTNKLFTQSLQAGDMFIFPKGLVHYQYNADPNNPAIAISSFGSANAGTVSLPKTLFATNIDDTILAKSFKTDVSTIQALKAGLA >KJB75796 pep chromosome:Graimondii2_0_v6:12:8093439:8094813:1 gene:B456_012G058400 transcript:KJB75796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G28340) UniProtKB/TrEMBL;Acc:W8QNM3] MFLSRFVLAFIFLSSLLLFPANSIRLFKAEDESDLFMEAPVYQNGPHCPVLAIHSVVKHGSCPQNVFFHFIASDSSSVVPTQLTRIVKSVFPSLSFKVYVFQKKLVRDLVSSSIRQALDNPLNYARIYLADLLETCIQKVIYLDSDTIVVDNIQKLWNINLTGSRTIGAPEYCNANFDKYFTSDFWSNPRFSKVFEGKRACYFNTGVMVMDLGRWRQGDYTREIEKWMRVQKDKRIYELGSLPPFLLVFGGDIEAIDHRWNQHGLGGDNLVNSCRTLHPGPISLLHWSGKGKPWVRLDAKRPCSVDFLWAPYDLYKFHGYSRHRQLDGFRFDSL >KJB76243 pep chromosome:Graimondii2_0_v6:12:12931407:12932630:-1 gene:B456_012G079700 transcript:KJB76243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKSNLFMVALLLVSMTIINTSGASAGTNPKFPAIIIFGDSTVDPGNNNYIDTIFKGNVAPYGINFPGHVPTGRFSDGKLVTDFVASSLGIKDAVPPFLDPNLSDDDLRAGVSFASAGSGYDDLTTLLSNVITVSRQVEMFRSYIEKLKGIVGDEEANNIIAQALVVVSAGTNDLIFNFFDIPTRRLEFDISGYQSFLLQRLEDFVKQIYDLGCRNIVVAGLPPVGCLPVQMTVRLENPMNRQCLEDQNSDARSYNEKLVKLLPEIQAEVPRSKLVYADIYQPLMDMIIHPQQYGIVETTVGCCGTGVLGLSLFCNSVTSACGNPSQYVFWDSVHPTQQVYRQLAKYIVKEVVPKLLPN >KJB77734 pep chromosome:Graimondii2_0_v6:12:32762473:32765338:-1 gene:B456_012G153900 transcript:KJB77734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLNNGFQMPIIGLGVWRMEKKAIKDLIIESIKIGFRHFDCASDYKNEAEVGEALCEAIKTGLVKREELFITTKLWNTDHGHVVEACKHSLKKLQLDYLDLYLVHFPISIRHTGIGATGSPLDEDGVLDIETNISLETTWHAMEDLVSMGLVRSIGISNYDIFLARDCLAYSKIKPAVNQIETHPYFQRESLVNFCQKHGICVTAHTPLGGADANTKRFGTVSCLDDPILKGIAEKYKKTVAQIVLRWGIQRNTVVIPKTSKSERLKENFKVLEFELAKEDMDVIQAIDKNYRSNIQPAKFWGIDLYA >KJB77735 pep chromosome:Graimondii2_0_v6:12:32762500:32765338:-1 gene:B456_012G153900 transcript:KJB77735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLNNGFQMPIIGLGVWRMEKKAIKDLIIESIKIGFRHFDCACIISFQNSLAFTNFDIVFTKCLMFCAADYKNEAEVGEALCEAIKTGLVKREELFITTKLWNTDHGHVVEACKHSLKKLQLDYLDLYLVHFPISIRHTGIGATGSPLDEDGVLDIETNISLETTWHAMEDLVSMGLVRSIGISNYDIFLARDCLAYSKIKPAVNQIETHPYFQRESLVNFCQKHGICVTAHTPLGGADANTKRFGTVSCLDDPILKGIAEKYKKTVAQIVLRWGIQRNTVVIPKTSKSERLKENFKVLEFELAKEDMDVIQAIDKNYRSNIQPAKFWGIDLYA >KJB77836 pep chromosome:Graimondii2_0_v6:12:33288620:33291111:1 gene:B456_012G160200 transcript:KJB77836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLANIFQCIKAPLLMVLVQIIFAGINVMYKLASNDGMSSRIIVVYRFMFATAVMVPLALLFERKSLKKFNRKVLLQAFLCGLFGGSLVQNLYLQSLVHTSATFVAAIVNLAPAFTFILAICFKMEKLAIKTSAGKAKVCSTLIGIGGAMIFIFYKGIDVNIWSTDVNILKHHHQQVPSYHGTGQFIIGAFLGLLSCVSFCLWLIIQAKMSMGFPYLYSSTALMCLMGSIQGALYAVCTVRDWNQWKLGWNVRLLAVAYVGTMGSALFVFLISWAVRLKGPLYAATFNPLGLVLVAVVGSLLLDEKLHLGSIIGGLMIICGVYMVLWGRAKEMKQQTQESNETVEDEQEHEGTETPVIILD >KJB77835 pep chromosome:Graimondii2_0_v6:12:33284067:33291111:1 gene:B456_012G160200 transcript:KJB77835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEFPYLYSSTALMCLMGSIQGALYAVCTVGDWNQWKLGWNVRLLAVAFVGIMGSSLFVFLVSWAVRLKGPLYIAIFNPLGLVLVAVVGSLLHDEKLHLGREYAASHIQSIWISACYSDENLGRKSLKKFNRKVLLQAFLCGLFGGSLVQNLYLQSLVHTSATFVAAIVNLAPAFTFILAICFKMEKLAIKTSAGKAKVCSTLIGIGGAMIFIFYKGIDVNIWSTDVNILKHHHQQVPSYHGTGQFIIGAFLGLLSCVSFCLWLIIQAKMSMGFPYLYSSTALMCLMGSIQGALYAVCTVRDWNQWKLGWNVRLLAVAYVGTMGSALFVFLISWAVRLKGPLYAATFNPLGLVLVAVVGSLLLDEKLHLGSIIGGLMIICGVYMVLWGRAKEMKQQTQESNETVEDEQEHEGTETPVIILD >KJB77662 pep chromosome:Graimondii2_0_v6:12:32363342:32364181:1 gene:B456_012G149700 transcript:KJB77662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERAPIESVQCFGRKKTAVAVTYCKRGRGLIKINGCPIELVEPEILRFKAVEPILLLGRQRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >KJB77723 pep chromosome:Graimondii2_0_v6:12:32890210:32894154:-1 gene:B456_012G154900 transcript:KJB77723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDTSYYDILGVDVDASIPQIKKAYYLKARRVHPDKNPDDPKAADKFHALGEAYQVLTDPEKREAYDKRGKTRVIPDTMLDPTAVFGMLFGSDFFEDYVGQLAMATLSAIEVESNSLLDKEAHRKKLEENMQAFQKQREDKLIEILKNRLQPFVEGHTNEFIQWANSEARDLSKAAFGEAMLHTIGYIYTRKAARELGKDGSCMQVPFLAEWVRDKGHHIKSQVKAASGAVSLIQIQDELRRLTQGADREENILKALEEKKDAMLQSLWQINVVDIESTLSNVCLEVLRDASVSEEVLVLRAKGMKKLGTIFQVTKSAYSRENSLRRVNVETETAGS >KJB77722 pep chromosome:Graimondii2_0_v6:12:32890126:32894154:-1 gene:B456_012G154900 transcript:KJB77722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDTSYYDILGVDVDASIPQIKKAYYLKARRVHPDKNPDDPKAADKFHALGEAYQVLTDPEKREAYDKRGKTRVIPDTMLDPTAVFGMLFGSDFFEDYVGQLAMATLSAIEVESNSLLDKEAHRKKLEENMQAFQKQREDKLIEILKNRLQPFVEGHTNEFIQWANSEARDLSKAAFGEAMLHTIGYIYTRKAARELGKDGSCMQVPFLAEWVRDKGHHIKSQVKAASGAVSLIQIQDELRRLTQGADREENILKALEEKKDAMLQSLWQINVVDIESTLSNVCLEVLRDASVSEEVLVLRAKGMKKLGTIFQGAKSAYSRENSLRRVNVETETAGS >KJB77721 pep chromosome:Graimondii2_0_v6:12:32889881:32893999:-1 gene:B456_012G154900 transcript:KJB77721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDTSYYDILGVDVDASIPQIKKAYYLKARRVHPDKNPDDPKAADKFHALGEAYQVLTDPEKREAYDKRGKTRVIPDTMLDPTAVFGMLFGSDFFEDYVGQLAMATLSAIEVESNSLLDKEAHRKKLEENMQAFQKQREDKLIEILKNRLQPFVEGHTNEFIQWANSEARDLSKAAFGEAMLHTIGYIYTRKAARELGKDGSCMQVPFLAEWVRDKGHHIKSQVKAASGAVSLIQIQDELRRLTQGADREENILKALEEKKDAMLQSLWQINVVDIESTLSNVCLEVLRDASVSEEVLVLRAKGMKKLGTIFQGAKSAYSRENSLRRVNVETETAGS >KJB76790 pep chromosome:Graimondii2_0_v6:12:24115879:24119123:1 gene:B456_012G107400 transcript:KJB76790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATGRRNSNTQLLDELEALSQSLYQSHISTTRRTASLALPRSSLPPTDEVPEVKFEDNKHSARPRARRLSLSPWRSRPKADDQNDNQVQATRPNQLEAKAVSTEKKGIWNWKPIRALTHIGMQKLSCLLSVEVVTAQGLPASMNGLRLSVCVRKKETKDGAVNTMPSRVSQGAADFEETLFVRCHVYCSSGNGKPTKFEPRPFWIYLVAVDAEELDFGRNTVDLSLLIQESVEKSYEGTRVRQWDKSFNLLGKAKGGELIVKLGFQIMEKDGGIGIYNQASGGLQSTKSKNFSASFARKQSKTSFSVPSPRMMSRSEAWTPSQTGVTPDLQGLDDLNLDEPATVPSSSVSVQKSEEPEKMEEIDLPEFDVEDKGVEIQEKELKEAEEEEPEDNKSVSSEVVKEMVNDQLHKTRLTELDSIARQIKALESMMGDEKIVKADEETESQRLDADEETVTREFLQMLEDEGSNEFKDIPHFQLDKAEDDTAGDSDSKVYLPDLGKGLGCVVQTRDGGYLAAVNPLDSLVARKDMPKLAMQVSKPMVIPSDKSLNGFELFQKMAAVGVEKLSSQISSSMPLDEIMGKTAEQIAFEGIASSIIQGRNKEGANSSAARTIAAVKVMATAMNTGRKERIATGIWNVSENPLTAEEILAFSLQKIEGMAVEALKVQAEMAEEEPPFDVSALSGKAITQDQPLDSAIPLENWTKDYGLTSSEDQLGDPETLTLALVVQLRDPLRRYEAVGGPVFALVHASSGDIEPKKNDEEKRFKVMSLHVGGLKVGTPGKRNIWDSERHRLTAMQWLVAYGLGKSGRKGKQVVSKGQDMLWSLSSRVMADMWLKTMRNPDVKFAK >KJB75041 pep chromosome:Graimondii2_0_v6:12:2434980:2441196:1 gene:B456_012G020100 transcript:KJB75041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSHMTIEFLRARLLSERSVSKSARQRADELARRVAELEEHLRIVSVQRRRAEKATADVLAILENNGVSDVSEELDSSSDQDTPFESNMDNVSTKEEESSVSSKARRKESEELSGSDDFSSVSGRSLSWKGRKSASHSPEKYKDSLARRRNSFTSMAFSSPKQRQGKSCRQIRRRESRLVAEEHKSDDVKVDPPVNGLVNSLEVNTNHSESEKDMEKALERQAQLIDRYEAMERAQREWEEKFRENNSSTPDSCDPGNNSDVTEERGSSQVQEAEGEHICFSKELPKTQSHDPVPPSHDEMDQLQERNCSSSFPPASLDPPSSGQKFVSPMAKVCICCSKELPKTQSHDPVPPSHVEMDQLRDRNCSSSLSPASLDPTSSGQKFVSPIAKENHDQESLQSNHSPLPSSHQFAHAHDSPGKQAVQHYSSDMSSSSIMEPSGNKNELYALVPHEAPGKFTNVLDALKQARMSLQQKIHTLPLIEGASGGKAIEPSVHGRKIGERVEIPVGCSGLFRVPTDFSAEASKVNFRGSGLQLSLANYYPEAVVAPTSSSHLLTTSYMNTQSSSSSNYQPVSSDRFYSNPYMDVRSSYSAVPTASGYINDDQNFTGRYAETGSRSTQKPRFDPYMEPGLPSSGLQSYPTFPTYPDLVPQMQTKEAFPVFRAPSSGQVRPDQFSFYDNHFRPDTHRF >KJB75040 pep chromosome:Graimondii2_0_v6:12:2434980:2441196:1 gene:B456_012G020100 transcript:KJB75040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSDQEKQDQRITCNMEDSHMTIEFLRARLLSERSVSKSARQRADELARRVAELEEHLRIVSVQRRRAEKATADVLAILENNGVSDVSEELDSSSDQDTPFESNMDNVSTKEEESSVSSKARRKESEELSGSDDFSSVSGRSLSWKGRKSASHSPEKYKDSLARRRNSFTSMAFSSPKQRQGKSCRQIRRRESRLVAEEHKSDDVKVDPPVNGLVNSLEVNTNHSESEKDMEKALERQAQLIDRYEAMERAQREWEEKFRENNSSTPDSCDPGNNSDVTEERGSSQVQEAEGEHICFSKELPKTQSHDPVPPSHDEMDQLQERNCSSSFPPASLDPPSSGQKFVSPMAKVCICCSKELPKTQSHDPVPPSHVEMDQLRDRNCSSSLSPASLDPTSSGQKFVSPIAKENHDQESLQSNHSPLPSSHQFAHAHDSPGKQAVQHYSSDMSSSSIMEPSGNKNELYALVPHEAPGKFTNVLDALKQARMSLQQKIHTLPLIEGASGGKAIEPSVHGRKIGERVEIPVGCSGLFRVPTDFSAEASKVNFRGSGLQLSLANYYPEAVVAPTSSSHLLTTSYMNTQSSSSSNYQPVSSDRFYSNPYMDVRSSYSAVPTASGYINDDQNFTGRYAETGSRSTQKPRFDPYMEPGLPSSGLQSYPTFPTYPDLVPQMQTKEAFPVFRAPSSGQVRPDQFSFYDNHFRPDTHRF >KJB76609 pep chromosome:Graimondii2_0_v6:12:19671471:19672977:1 gene:B456_012G097000 transcript:KJB76609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSRIVFPCRAFSLAFLVPSFTSSTQLSLKSFLAHTSLPPTAFSFLRMASSSSSSLTNGNDINPSNDAAAPSPPSASSAIDFLTLCHRLKTTKRAGWVRRDVQNPESIADHMYRMGLMALIVSDIPGIDQDKCVKMAIVHDIAEAIVGDITPSDGISKAEKSRREREALDHMCKLLGGGSRAKEIEELWMEYEENTSSEAKIVKDFDKVCNASVCIFLLFMIYQ >KJB76608 pep chromosome:Graimondii2_0_v6:12:19671437:19676062:1 gene:B456_012G097000 transcript:KJB76608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSRIVFPCRAFSLAFLVPSFTSSTQLSLKSFLAHTSLPPTAFSFLRMASSSSSSLTNGNDINPSNDAAAPSPPSASSAIDFLTLCHRLKTTKRAGWVRRDVQNPESIADHMYRMGLMALIVSDIPGIDQDKCVKMAIVHDIAEAIVGDITPSDGISKAEKSRREREALDHMCKLLGGGSRAKEIEELWMEYEENTSSEAKIVKDFDKVEMILQALEYENEQGVDLDEFFQSTAGKFQTEVGKAWASEIASRRKQR >KJB75421 pep chromosome:Graimondii2_0_v6:12:5164719:5168886:-1 gene:B456_012G041400 transcript:KJB75421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSKGPTSSQAGGPSDTPSVNISRKWETGALGIPRRTEAYHSSSDASLFSSSLPVLPHEKLNFTDGENSGHSVDDNSPELRKLELKNEGKDPLEDVETNAIGNLLPDDEDDLLAGIMDDFDLNELPSQLEDLEEYDVFGTGGGMELDFEPQEGLNIGISKMNLSEGVLANGIGHYPLTNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEHYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVIFNLDPSVSNDDLRQIFGDYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDEARSFRHHIGSPVASSPPGNWAQFGSPVEQTPLHAFSKSPGLGSFSPVNSNNLPGLASILPHQLPNSPKIAPIGKDQSKTNNTNPIFTNSGAMQGASYQHSRSFSEQKVSASPGPISAFGESTSSSSGIGTLTGPQFLWGSPTPYSERANSAWPTPSVTHPFSSSGQGFPYASRHGSFLGSSNHHHVGSAPSGVHLDRHFNYLSESPETSFMNPVSFAGVGLNRSNGSFLMNIGARGTIGAGVGHLGNVTENGSPSFRMMSMPRHGPIFLGNGSYPGQGTAGNEGLADRSRSRLVENNGNQIDNKKQYQLDLDKIINGEDTRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFLYLPIDFKNKCNVGYAFINMISPSHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFHSEGQETSDQVVKF >KJB75420 pep chromosome:Graimondii2_0_v6:12:5163726:5168886:-1 gene:B456_012G041400 transcript:KJB75420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSKGPTSSQAGGPSDTPSVNISRKWETGALGIPRRTEAYHSSSDASLFSSSLPVLPHEKLNFTDGENSGHSVDDNSPELRKLELKNEGKDPLEDVETNAIGNLLPDDEDDLLAGIMDDFDLNELPSQLEDLEEYDVFGTGGGMELDFEPQEGLNIGISKMNLSEGVLANGIGHYPLTNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEHYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVIFNLDPSVSNDDLRQIFGDYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDEARSFRHHIGSPVASSPPGNWAQFGSPVEQTPLHAFSKSPGLGSFSPVNSNNLPGLASILPHQLPNSPKIAPIGKDQSKTNNTNPIFTNSGAMQGASYQHSRSFSEQKVSASPGPISAFGESTSSSSGIGTLTGPQFLWGSPTPYSERANSAWPTPSVTHPFSSSGQGFPYASRHGSFLGSSNHHHVGSAPSGVHLDRHFNYLSESPETSFMNPVSFAGVGLNRSNGSFLMNIGARGTIGAGVGHLGNVTENGSPSFRMMSMPRHGPIFLGNGSYPGQGTAGNEGLADRSRSRLVENNGNQIDNKKQYQLDLDKIINGEDTRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFLYLPIDFKNKCNVGYAFINMISPSHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFHSEGQETSDQVHFLSCNLNICIRQPDGSYSGDSLESPKGLLDEKPEKS >KJB75419 pep chromosome:Graimondii2_0_v6:12:5163568:5169975:-1 gene:B456_012G041400 transcript:KJB75419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSKGPTSSQAGGPSDTPSVNISRKWETGALGIPRRTEAYHSSSDASLFSSSLPVLPHEKLNFTDGENSGHSVDDNSPELRKLELKNEGKDPLEDVETNAIGNLLPDDEDDLLAGIMDDFDLNELPSQLEDLEEYDVFGTGGGMELDFEPQEGLNIGISKMNLSEGVLANGIGHYPLTNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEHYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVIFNLDPSVSNDDLRQIFGDYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDEARSFRHHIGSPVASSPPGNWAQFGSPVEQTPLHAFSKSPGLGSFSPVNSNNLPGLASILPHQLPNSPKIAPIGKDQSKTNNTNPIFTNSGAMQGASYQHSRSFSEQKVSASPGPISAFGESTSSSSGIGTLTGPQFLWGSPTPYSERANSAWPTPSVTHPFSSSGQGFPYASRHGSFLGSSNHHHVGSAPSGVHLDRHFNYLSESPETSFMNPVSFAGVGLNRSNGSFLMNIGARGTIGAGVGHLGNVTENGSPSFRMMSMPRHGPIFLGNGSYPGQGTAGNEGLADRSRSRLVENNGNQIDNKKQYQLDLDKIINGEDTRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFLYLPIDFKNKCNVGYAFINMISPSHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFHSEGQETSDQEHFLSCNLNICIRQPDGSYSGDSLESPKGLLDEKPEKS >KJB75422 pep chromosome:Graimondii2_0_v6:12:5163726:5168886:-1 gene:B456_012G041400 transcript:KJB75422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSKGPTSSQAGGPSDTPSVNISRKWETGALGIPRRTEAYHSSSDASLFSSSLPVLPHEKLNFTDGENSGHSVDDNSPELRKLELKNEGKDPLEDVETNAIGNLLPDDEDDLLAGIMDDFDLNELPSQLEDLEEYDVFGTGGGMELDFEPQEGLNIGISKMNLSEGVLANGIGHYPLTNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEHYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVIFNLDPSVSNDDLRQIFGDYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDEARSFRHHIGSPVASSPPGNWAQFGSPVEQTPLHAFSKSPGLGSFSPVNSNNLPGLASILPHQLPNSPKIAPIGKDQSKTNNTNPIFTNSGAMQGASYQHSRSFSEQKVSASPGPISAFGESTSSSSGIGTLTGPQFLWGSPTPYSERANSAWPTPSVTHPFSSSGQGFPYASRHGSFLGSSNHHHVGSAPSGVHLDRHFNYLSESPETSFMNPVSFAGVGLNRSNGSFLMNIGARGTIGAGVGHLGNVTENGSPSFRMMSMPRHGPIFLGNGSYPGQGTAGNEGLADRSRSRLVENNGNQIDNKKQYQLDLDKIINGEDTRTTLMIKNIPNK >KJB76082 pep chromosome:Graimondii2_0_v6:12:10328186:10329942:-1 gene:B456_012G070000 transcript:KJB76082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILVTGGAGFIGSHLVDKLMEKEKSEVIVADNYFTGSKDNLKKWIGHPRFELIRHDISRPLFVEVDQIYHLACPASPIFYKYNSVKTIKTNVMGTLNMLGLAKRLRARILFTSTSEVYGDPLEHPQKETYWGNVNPIGVRSCYDEGKRMAETLMFDYHRQYGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQLPGTQTRSFCYVSDLVDGLTQLMEGTDTGPINLGNPGEFTMNELAKAVQELINPEVQISEVENTPDDPRQRKPDITKAKEVLGWEPKVNLHEGIPLMEDDFRQRLGVPRT >KJB75517 pep chromosome:Graimondii2_0_v6:12:5604119:5604712:1 gene:B456_012G045000 transcript:KJB75517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMFSATWPAAVHRLAQEYMDPNPVKVVIGSEDLAANHDVMQIVLDDRAHYERLTAFKISLHWLNRMGSI >KJB75027 pep chromosome:Graimondii2_0_v6:12:2332349:2333086:1 gene:B456_012G019400 transcript:KJB75027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQNSPSEISPCNDTSTTTSPASPRSESRPPVSLQQPSNKKGSSKSSKMFRRFRSVFRSFPIITPVCKIPINLHGHDSHIHGGTRMTGTLFGYRKARVNLAIQENSKCLPILILELAINTGKLLQDMGLGLVRIALECEKRPSEKIKILEEPIWTLYCNGKKSGYGVKRDPTDEDLMVMQTLHPISMGAGVIPAEATENPDGELTYMRAHFERVVNSRDSETYYMMNPDGNSGPELSIFFVRVG >KJB75726 pep chromosome:Graimondii2_0_v6:12:35172867:35173591:1 gene:B456_012G184400 transcript:KJB75726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRCRSFPRHSLTRSEPFLKYLKPGALARLRDSRISARSHRPSPVFQISLPDLRSNDVRSFSIAVDSIPCFVATRRAYGPKCLQRKKLSAGKGMIFLNSTQSALDLLDPAVDLLSSE >KJB76093 pep chromosome:Graimondii2_0_v6:12:10498335:10503599:1 gene:B456_012G071000 transcript:KJB76093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSGEGSDISESEMEEYEDKYYEKLKNGNYKIKISNEKYTCPFCPKKKKQDFLYKDLLQHASGVGKSNSDKRSAREKANHLALFKYLENDLRGTVGSSSSSAAAAAEAEDPLSGCDHDEKIVWPWTGVVVNIPTQKLEDGRSVGGSGSKLRDELIRRGFNPLRVHPLWNYRGHSGTAVVEFRKDWPGLHNALSFEKAYEADHRGKKDWFANNAVKEGLYAWVARADDYKSSTIIGEHLRKIGDLKTVSELMEEEARKQDRLVTNLTNIIETKNKHIQEMEQRCSETSKSLEALMEEKDNLSQAYNEEIKKIQVSARDHFQRIFSDHEKLKSQLESHKKDLELRGVELEKREALNESERKKLAEELEENAVQNSALHLAALEQKRADENVMKLAEDQKRQKEELHNRIIQLEKKLDQKQALELEIEQLRGSLNVIRHMGDEDDIEVLEKVDASLKELREKEAELEDLEALNQTLIVRERKSNDELQDARKELINGLKEISTRSQIGVKRMGELDSKPFLEAMKRRYNEELAEERASEVCSLWEEYLKDPDWHPFKRIKLEGGEEYQEVIDDEDEKLRDLTDQMGIEAYKSVTSAIKEINEYNPSGRYIISELWNYGEGRKATLKEGVTFLLKLWDNAKRKRGMT >KJB77813 pep chromosome:Graimondii2_0_v6:12:33655754:33656029:1 gene:B456_012G166100 transcript:KJB77813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIGEVNLVTHHQARWRFLYRGHALPGGISILTVTDGFQWWHFQCRSEGDNMYCINGSEWSRFVQTRINAMITLYSKQDGEDFHRIRVRS >KJB78291 pep chromosome:Graimondii2_0_v6:12:33184610:33185709:1 gene:B456_012G158700 transcript:KJB78291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRRSLPLLRTLVAGKTQTRPVTYMPRPGDGAPRPVTLIPGDGIGPLVTNAVEQVMEAMHAPVYFEKYDVHGDMKRVPQEVLDSIKKNKVCLKGGLRTPMGGGVSSLNMQLRKELDLYASLVNCCNLPGLPTRHEDVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPSIKYNEIIVDNCCMQLVSKPEQFDVMVLKFYIRTGLASFVY >KJB78290 pep chromosome:Graimondii2_0_v6:12:33184528:33186945:1 gene:B456_012G158700 transcript:KJB78290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRRSLPLLRTLVAGKTQTRPVTYMPRPGDGAPRPVTLIPGDGIGPLVTNAVEQVMEAMHAPVYFEKYDVHGDMKRVPQEVLDSIKKNKVCLKGGLRTPMGGGVSSLNMQLRKELDLYASLVNCCNLPGLPTRHEDVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPSIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGAEYAVFEQGASAGNVGKEKIVEQKTANPVALLLSSAMMLRHLQFPSFADRLESAVKRVISEGQYRTKDLGGQSSTQEVVDAVIAKLD >KJB74953 pep chromosome:Graimondii2_0_v6:12:1700644:1705443:1 gene:B456_012G015300 transcript:KJB74953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTQKTNKEKESNTKTRTKESEIFASCSFSSLGLHSTLSEQLQERLGFEAPTLVQAQAIPVILSGRHVLVNAETGSGKTIAYLAPIIHYLQGYNPRIERSHGTFALVLVPTRELCLQVYEILQKLLHRFHWIVPGYVMGGENRNKEKARLRKGISILIATPGRLLDHLKNTSSFVHTNLRWIIFDEADRILELGFGKDIEEILDLLGSRANESVEKGKSSEFQRQNLLLSATLNEKVNHLSKISLENPVMIGLDNMKMQPDSSVNQTGSLGSDVDEDLDYSIKSVNSSSGDYRLPAQLVQRFVKVPCGSRLAVLLSILKHLFEREASQKVVVFFSTCDAVDFHYMLLSEFQWSPYSQFEEELKQMFLKCKTFRLHGNMKQEDRRTTFSAFKTEKSALLVSTDVAARGLDFPKVRCIIQYDSPGEASEYVHR >KJB74954 pep chromosome:Graimondii2_0_v6:12:1700644:1705443:1 gene:B456_012G015300 transcript:KJB74954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTQKTNKEKESNTKTRTKESEIFASCSFSSLGLHSTLSEQLQERLGFEAPTLVQAQAIPVILSGRHVLVNAETGSGKTIAYLAPIIHYLQGYNPRIERSHGTFALVLVPTRELCLQVYEILQKLLHRFHWIVPGYVMGGENRNKEKARLRKGISILIATPGRLLDHLKNTSSFVHTNLRWIIFDEADRILELGFGKDIEEILDLLGSRANESVEKGKSSEFQRQNLLLSATLNEKVNHLSKISLENPVMIGLDNMKMQPDSSVNQTGSLGSDVDEDLDYSIKSVNSSSGDYRLPAQLVQRFVKVPCGSRLAVLLSILKHLFEREASQKVVVFFSTCDAVDFHYMLLSEFQWSPYSQFEEELKQMFLKCKTFRLHGNMKQEDRRTTFSAFKTEKSALLVSTDVAARGLDFPKVRCIIQYDSPGEASEYVHRVGRTARLGERGESLLFLQPIEVDYLQDLEKHGVSLTEYPLLKIIDSFPLHSQMHRVKKFVSLESHPWVVSLQRELESYISAEPKIKKQAKDAFCSWVRAYTAHRGDLKQIFMVKKLHLGHVAKSFALREQPSLVGKTFQNQSKKRKRDLKQKQKGLFKKRKVASKT >KJB74952 pep chromosome:Graimondii2_0_v6:12:1700644:1703768:1 gene:B456_012G015300 transcript:KJB74952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTQKTNKEKESNTKTRTKESEIFASCSFSSLGLHSTLSEQLQERLGFEAPTLVQAQAIPVILSGRHVLVNAETGSGKTIAYLAPIIHYLQGYNPRIERSHGTFALVLVPTRELCLQVYEILQKLLHRFHWIVPGYVMGGENRNKEKARLRKGISILIATPGRLLDHLKNTSSFVHTNLRWIIFDEADRILELGFGKDIEEILDLLGSRANESVEKGKSSEFQRQNLLLSATLNEKVNHLSKISLENPVMIGLDNMKMQPDSSVNQTGSLGSDVDEDLDYSIKSVNSSSGDYRLPAQLVQRFVKVPCGSRLAVLLSILKHLFEREASQKVVVFFSTCDAVDFHYMLLSEFQWSPYSQFEEELKQMFLKCKTFRLHGNMKQEDRRTTFSAFKTEKSALLVSTDVAARGLDFPKVRCIIQYDSPGEASEYVHRYLEAIFLEISI >KJB76975 pep chromosome:Graimondii2_0_v6:12:26682553:26685585:1 gene:B456_012G115600 transcript:KJB76975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCO1 homolog 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G08950) UniProtKB/Swiss-Prot;Acc:Q8VYP0] MATAIWRNASRLRSFNRCLYARSLSQFRSSIPSSTTTPHSLCAPSLPSFPPAIPVGAEFKSLGIYGRFLSNSTATPTENQEKPSSSLKTNSEETQNTGGSQQSSGSEGKPVRGGPVSWLSFLLLLATGIGIIFYYDNLKKRHIEEISNASKAVKEGPSAGKAAIGGPFNLVNHDGKRVTEKDFKGKWTLVYFGFTHCPDICPDELLKLAAAIDKIKEKAGIDIVPVFISVDPERDTIEQVREYVKEFHPKLVGLTGTPDEIKKVARAYRVYYMKTAEEDSDYLVDHSIVMYLMDPNMEFVKFFGKNNDVNSLTDGVIKEISQRKK >KJB75314 pep chromosome:Graimondii2_0_v6:12:4497303:4498390:-1 gene:B456_012G036400 transcript:KJB75314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAYWILHLSSEFNQRCSKSLIISLFKDGIQLKILPVFFMVETRRKVDKIVHPKANGKQKTRRPMFKPSTPKLKQKQVNTFDNQAEKT >KJB75313 pep chromosome:Graimondii2_0_v6:12:4497303:4498390:-1 gene:B456_012G036400 transcript:KJB75313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAYWILHLSSEFNQRCSKSLIISLFKDGIQLKILPVFFMVETRRKVDKIVHPKANGKQKTRRPMFKPSTPKLKQKQVNTFDNQAEKT >KJB75312 pep chromosome:Graimondii2_0_v6:12:4497544:4498019:-1 gene:B456_012G036400 transcript:KJB75312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKKGTEGKTFVPAAMTRGATHLLLMQMESAYWILHLSSEFNQRCSKSLIISLFKDGIQLKILPVFFMVETRRKVDKIVHPKANGKQKTRRPMFKPSTPKLKQKQVNTFDNQAEKT >KJB75865 pep chromosome:Graimondii2_0_v6:12:8713048:8714993:-1 gene:B456_012G061900 transcript:KJB75865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKSYEEAIEALKKLLSEKGELKAVAAAKVDQVTAELKTTSDDSVERLKQGFVYFKSQKYEKNPALYAELAKGQSPKYMIVACSDSRVCPSHVLDMQPGEAFVVRNVANIVPPYDQTKYAGTGSAVEYAVLHLKVQEIVVIGHSACGGIKGLMSFLYDGTTSTDFIEDWVKIGMPAKTKVQAEHGGEPLGVQCTHCEKEAVNVSLGNLLSYPFVRDGLVRKTLALKGGYYDFVKGSFELWSLNFQLSSPLSV >KJB75866 pep chromosome:Graimondii2_0_v6:12:8713070:8715040:-1 gene:B456_012G061900 transcript:KJB75866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKSYEEAIEALKKLLSEKGELKAVAAAKVDQVTAELKTTSDDSVERLKQGFVYFKSQKYEKNPALYAELAKGQSPKYMIVACSDSRVCPSHVLDMQPGEAFVVRNVANIVPPYDQTKYAGTGSAVEYAVLHLKVQEIVVIGHSACGGIKGLMSFLYDGTTSTDFIEDWVKIGMPAKTKVQAEHGGEPLGVQCTHCEKEAVNVSLGNLLSYPFVRDGLVRKTLALKGGYYDFVKGSFELWSLNFQLSSPLSV >KJB77830 pep chromosome:Graimondii2_0_v6:12:33227432:33230471:1 gene:B456_012G159700 transcript:KJB77830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIANIFHSIKPPLLMVLVQIIFAGVNVMYKLAADDGMSLRLIVVYRFMFATVIMVPLALIFERKSLKKINRKVLLQAFLCGLFGGSLGQNLYLQSLVHTSATFVAAMINLAPAFTFILAICFKMEKLAIRTNAGKAKVCGTLIGIGGAMVFTFYKGIDINIWSTNVNLLKHHHHQQVGPGPSYHGTGHFIIGAFFGLLSCISFSLWLINQAKMSVGFPYLYSSTALMCLMGSIQGALYAVCTVRDWNQWKLGWNVRLLAVVFVGIMGSALLVFLVSWAVRLKGPLYAAIFNPLGLVFVAIVGSLLLDEKLHLGSIIGGLMIVCGVYVVLWGKAKEMKQKTQLVPVPKVDEESNEIEEDKQSENKETDEEQEHEGTETPPVIILA >KJB76789 pep chromosome:Graimondii2_0_v6:12:24103577:24105801:1 gene:B456_012G107300 transcript:KJB76789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDSVVKMAAAIRYFDLNTGAKIPSVGLGTWQAAPGVVGNAVATAIKHGYRHIDCAQVYGNEKEIGLVLKKLSEDGVVKREELWITSKLWNTNHAPEDVPEALNGTLQDLQLDYVDLYLMHWPISMIKGAVGFEQKDLTQPDITSTWRAMEALYDSGKARAIGVSNFSCKKLEDLLEVAGIPPAVVQVECHPIWQQPKLHALCKSKGIHLTVSMKR >KJB76787 pep chromosome:Graimondii2_0_v6:12:24103535:24105822:1 gene:B456_012G107300 transcript:KJB76787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDSVVKMAAAIRYFDLNTGAKIPSVGLGTWQAAPGVVGNAVATAIKHGYRHIDCAQVYGNEKEIGLVLKKLSEDGVVKREELWITSKLWNTNHAPEDVPEALNGTLQDLQLDYVDLYLMHWPISMIKGAVGFEQKDLTQPDITSTWRAMEALYDSGKARAIGVSNFSCKKLEDLLEVAGIPPAVVQVECHPIWQQPKLHALCKSKGIHLTGYSPLGSPGTGFVKKEVLKNPVVNMVAEKLGMSPAQVALRWGLQKGHSVLPKSTNEVRIKQNFDVFDWSIPEDLLAKFAEIEQERLIKGSVFVHETLGAYRSIEELWDGEI >KJB76788 pep chromosome:Graimondii2_0_v6:12:24103577:24105801:1 gene:B456_012G107300 transcript:KJB76788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDSVVKMAAAIRYFDLNTGAKIPSVGLGTWQAAPGVVGNAVATAIKIGLVLKKLSEDGVVKREELWITSKLWNTNHAPEDVPEALNGTLQDLQLDYVDLYLMHWPISMIKGAVGFEQKDLTQPDITSTWRAMEALYDSGKARAIGVSNFSCKKLEDLLEVAGIPPAVVQVECHPIWQQPKLHALCKSKGIHLTVSMKR >KJB76267 pep chromosome:Graimondii2_0_v6:12:14840451:14842787:1 gene:B456_012G086900 transcript:KJB76267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMNSFWQLGDDLRGQSKASEDHKWLMVASKLAEQTRIKGERMNNLDLSKGPAEVRTRDKFGFHEDNKFENLNFNMLNLDSKIGDSISKSTFRNGIYNTDAVYQKNNSHNIGNLSANKYSGNNHCNKDINNNSNTNSNNNENNNANNAADKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEVTSFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKVCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLMDLCEQAGSP >KJB76270 pep chromosome:Graimondii2_0_v6:12:14840464:14842787:1 gene:B456_012G086900 transcript:KJB76270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMNSFWQLGDDLRGQSKASEDHKWLMVASKLAEQTRIKGERMNNLDLSKGPAEVRTRDKFGFHEDNKFENLNFNMLNLDSKIGDSISKSTFRNGIYNTDAVYQKNNSHNIGNLSANKYSGNNHCNKDINNNSNTNSNNNENNNANNAADKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKVCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLMDLCEQAGSP >KJB76268 pep chromosome:Graimondii2_0_v6:12:14841130:14841948:1 gene:B456_012G086900 transcript:KJB76268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMNSFWQLGDDLRGQSKASEDHKWLMVASKLAEQTRIKGERMNNLDLSKGPAEVRTRDKFGFHEDNKFENLNFNMLNLDSKIGDSISKSTFRNGIYNTDAVYQKNNSHNIGNLSANKYSGNNHCNKDINNNSNTNSNNNENNNANNAADKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEVSSPESNG >KJB76274 pep chromosome:Graimondii2_0_v6:12:14840925:14842787:1 gene:B456_012G086900 transcript:KJB76274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFVIDKSFQILLSSLIRICKMESMNSFWQLGDDLRGQSKASEDHKWLMVASKLAEQTRIKGERMNNLDLSKGPAEVRTRDKFGFHEDNKFENLNFNMLNLDSKIGDSISKSTFRNGIYNTDAVYQKNNSHNIGNLSANKYSGNNHCNKDINNNSNTNSNNNENNNANNAADKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKVCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLMDLCEQAGSP >KJB76273 pep chromosome:Graimondii2_0_v6:12:14840360:14842912:1 gene:B456_012G086900 transcript:KJB76273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMNSFWQLGDDLRGQSKASEDHKWLMVASKLAEQTRIKGERMNNLDLSKGPAEVRTRDKFGFHEDNKFENLNFNMLNLDSKIGDSISKSTFRNGIYNTDAVYQKNNSHNIGNLSANKYSGNNHCNKDINNNSNTNSNNNENNNANNAADKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKVCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLMDLCEQAGSP >KJB76272 pep chromosome:Graimondii2_0_v6:12:14840569:14842787:1 gene:B456_012G086900 transcript:KJB76272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMNSFWQLGDDLRGQSKASEDHKWLMVASKLAEQTRIKGERMNNLDLSKGPAEVRTRDKFGFHEDNKFENLNFNMLNLDSKIGDSISKSTFRNGIYNTDAVYQKNNSHNIGNLSANKYSGNNHCNKDINNNSNTNSNNNENNNANNAADKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKVCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLMDLCEQAGSP >KJB76265 pep chromosome:Graimondii2_0_v6:12:14840813:14842787:1 gene:B456_012G086900 transcript:KJB76265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMNSFWQLGDDLRGQSKASEDHKWLMVASKLAEQTRIKGERMNNLDLSKGPAEVRTRDKFGFHEDNKFENLNFNMLNLDSKIGDSISKSTFRNGIYNTDAVYQKNNSHNIGNLSANKYSGNNHCNKDINNNSNTNSNNNENNNANNAADKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKVCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLMDLCEQAGSP >KJB76269 pep chromosome:Graimondii2_0_v6:12:14840451:14842787:1 gene:B456_012G086900 transcript:KJB76269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMNSFWQLGDDLRGQSKASEDHKWLMVASKLAEQTRIKGERMNNLDLSKGPAEVRTRDKFGFHEDNKFENLNFNMLNLDSKIGDSISKSTFRNGIYNTDAVYQKNNSHNIGNLSANKYSGNNHCNKDINNNSNTNSNNNENNNANNAADKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKVCKALEEDAFRPVLHHYDGPKFRLELSVPEAPHKQNASRVQM >KJB76271 pep chromosome:Graimondii2_0_v6:12:14840721:14842787:1 gene:B456_012G086900 transcript:KJB76271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMNSFWQLGDDLRGQSKASEDHKWLMVASKLAEQTRIKGERMNNLDLSKGPAEVRTRDKFGFHEDNKFENLNFNMLNLDSKIGDSISKSTFRNGIYNTDAVYQKNNSHNIGNLSANKYSGNNHCNKDINNNSNTNSNNNENNNANNAADKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKVCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLMDLCEQAGSP >KJB76266 pep chromosome:Graimondii2_0_v6:12:14840451:14842346:1 gene:B456_012G086900 transcript:KJB76266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMNSFWQLGDDLRGQSKASEDHKWLMVASKLAEQTRIKGERMNNLDLSKGPAEVRTRDKFGFHEDNKFENLNFNMLNLDSKIGDSISKSTFRNGIYNTDAVYQKNNSHNIGNLSANKYSGNNHCNKDINNNSNTNSNNNENNNANNAADKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGNCCSF >KJB77783 pep chromosome:Graimondii2_0_v6:12:33060582:33068138:-1 gene:B456_012G157000 transcript:KJB77783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPTDQQWKFVSCRSNLHLMELIPLFQTRCARSLLKILQNTLTDRGLLLFSLWTLTVLLMMLTILAGFSTVSIHPPPAFGSSPNLEALALEANKSKDQDGDSSLLDSSHLSRPMHEVTFSTEDKPKLLSQLTALLAEVGLNIQEAHVFSTVDGYSLDCFVVDGWPYEETERLKFALEKEILKIEKQSWLKQHSFSPTRDYCEVGSSDDQNYVAIPNDGIDVWEIDPRQLKFETKVASGSYGDLYKGTYCSQEVAIKVLKPERINTDLQKEFAQEVFIMRKVRHKNVVQFIGACTKPPNLYIVTEFMSGGSVYDYLHKQKGVFKLPSLLKVAIDVSKGMNYLHQNNIIHRDLKAPNLLMDENEVVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYACLTPLQAAVGVVQKGLRPTIPKNTNPKLAELLERCWQLDPTLRPDFSEIIDILRQIAMEVGDEGENHHKVDDHQRKEKSAGGFFSVLRRGSH >KJB77784 pep chromosome:Graimondii2_0_v6:12:33060582:33068833:-1 gene:B456_012G157000 transcript:KJB77784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEVDNESSGSKANDTSSLQSRQQRQKLDVYNEVLRRLRESDNDEANRPGFEDELWTHFKRLPTRYALDVNVERAEDVLIHKRLLYLAHDPANRPAMEVRLVQVQSASDGADSTLSNPLCEKSAQNSPKYSNRQSIHPPPAFGSSPNLEALALEANKSKDQDGDSSLLDSSHLSRPMHEVTFSTEDKPKLLSQLTALLAEVGLNIQEAHVFSTVDGYSLDCFVVDGWPYEETERLKFALEKEILKIEKQSWLKQHSFSPTRDYCEVGSSDDQNYVAIPNDGIDVWEIDPRQLKFETKVASGSYGDLYKGTYCSQEVAIKVLKPERINTDLQKEFAQEVFIMRKVRHKNVVQFIGACTKPPNLYIVTEFMSGGSVYDYLHKQKGVFKLPSLLKVAIDVSKGMNYLHQNNIIHRDLKAPNLLMDENEVVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYACLTPLQAAVGVVQKGLRPTIPKNTNPKLAELLERCWQLDPTLRPDFSEIIDILRQIAMEVGDEGENHHKVDDHQRKEKSAGGFFSVLRRGSH >KJB77785 pep chromosome:Graimondii2_0_v6:12:33060186:33069030:-1 gene:B456_012G157000 transcript:KJB77785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEVDNESSGSKANDTSSLQSRQQRQKLDVYNEVLRRLRESDNDEANRPGFEDELWTHFKRLPTRYALDVNVERAEDVLIHKRLLYLAHDPANRPAMEVRLVQVQSASDGADSTLSNPLCEKSAQNSPKYSNRQSIHPPPAFGSSPNLEALALEANKSKDQDGDSSLLDSSHLSRPMHEVTFSTEDKPKLLSQLTALLAEVGLNIQEAHVFSTVDGYSLDCFVVDGWPYEETERLKFALEKEILKIEKQSWLKQHSFSPTRDYCEVGSSDDQNYVAIPNDGIDVWEIDPRQLKFETKVASGSYGDLYKGTYCSQEVAIKVLKPERINTDLQKEFAQEVFIMRKVRHKNVVQFIGACTKPPNLYIVTEFMSGGSVYDYLHKQKGVFKLPSLLKVAIDVSKGMNYLHQNNIIHRDLKAPNLLMDENEVVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYACLTPLQAAVGVVQKGLRPTIPKNTNPKLAELLERCWQLDPTLRPDFSEIIDILRQIAMEVGDEGENHHKVDDHQRKEKSAGGFFSVLRRGIDVYNLISHSLF >KJB77631 pep chromosome:Graimondii2_0_v6:12:32147023:32149902:-1 gene:B456_012G147400 transcript:KJB77631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFQGTQQKCNACNKTVYLVDKLTADNRVFHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHYDQLFKRTGSLDKSFEGTPKVVRPERQIDSESALKVMNSFGGTREKCAACSKTAYPIERVTVNGTIYHKSCFKCTHGGCTISPSNYIAHEGKLYCKHHHIQLFKEKGNYSQLETEREKQAATAANKVPAPAATSTTTTTTEIAAES >KJB74608 pep chromosome:Graimondii2_0_v6:12:3371153:3373936:1 gene:B456_012G027100 transcript:KJB74608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTTAEVCDANPQLIVSGELRALQPIFQIYGRRPVFSGPIVTLKVFEDNVLIREFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIVVNGCVRDVDEINGCDIGVRALHSHPMKANKKGIGEKHVPLTIAGTRICDGEWLYADTDGILVSKTELSV >KJB74611 pep chromosome:Graimondii2_0_v6:12:3371320:3373858:1 gene:B456_012G027100 transcript:KJB74611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTTAEVCDANPQLIVSGELRALQPIFQIYGRRPVFSGPIVTLKVFEDNVLIREFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIVVNGCVRDVDEINGCDIGVRALHSHPMKANKKGIGEKHVPLTIAGTRICDGEWLYADTDGILVSKTELSV >KJB74609 pep chromosome:Graimondii2_0_v6:12:3371512:3373858:1 gene:B456_012G027100 transcript:KJB74609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTTAEVCDANPQLIVSGELRALQPIFQIYGRRPVFSGPIVTLKVFEDNVLIREFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIVVNGCVRDVDEINGCDIGVRALHSHPMKANKKGIGEKHVPLTIAGTRICDGEWLYADTDGILVSKTELSV >KJB74612 pep chromosome:Graimondii2_0_v6:12:3371320:3373858:1 gene:B456_012G027100 transcript:KJB74612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTTAEVCDANPQLIVSGELRALQPIFQIYGRRPVFSGPIVTLKVFEDNVLIREFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIVVNGCVRDVDEINGCDIGVRALHSHPMKANKKGIGEKHVPLTIAGTRICDGEWLYADTDGILVSKTELSV >KJB74610 pep chromosome:Graimondii2_0_v6:12:3371320:3373858:1 gene:B456_012G027100 transcript:KJB74610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTTAEVCDANPQLIVSGELRALQPIFQIYGRRPVFSGPIVTLKVFEDNVLIREFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIVVNGCVRDVDEINGCDIGVRALHSHPMKANKKGIGEKHVPLTIAGTRICDGEWLYADTDGILVSKTELSV >KJB76003 pep chromosome:Graimondii2_0_v6:12:9777590:9780935:1 gene:B456_012G067400 transcript:KJB76003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTTVLPQNDTLWRLWISDNAYLIHNNLGSSVSNVSAVNFTEVTEDIAPASVYGTATILNSSDPNLNANLTWTFDVNPGFDYLVRLHFCNIMNEPTQQAIFLEIFIDSRHAGHLDLGSRTSDVFGAPYFMDVCTRVSGSTKLNVSVGPSKLNNPTVILNGLEIMKINDARGNLDVPDVVSSGHSEIKVVVIVVIAVGSFVVVVSVVIVILFFFRRRMKPVLGKEQHFLMNRGQKVHTTGSTYSNGTSILSSPMIGYRYPFVAILEATDNFSENLVIGVGGFGKVYRGILKDETEVAVKRGTPQSNQGLAEFRTEIEMLSQFRHRHLVSLIGYCDEQDEMIIIYEYMENGTLKNHLYGSNLPSLSWRQRLEICIGSAKGLHYLHTGSAKAIIHRDVKSANILLDKHFMAKVADFGLSKTGPDIDQTHVSTAVKGSFGYLDPEYLTRQQLTEKSDVYSFGVVLLEVLSGRAVIDPSLPREKANLLEWAMKSYRSGKLEDIVDPCLVGQVKPDCLRKLWDIIEKCLAENGICRPSMGEVLWNLEYALQQQENEERSNQNNEHSSHISCISTSETSQQFSRASSGVNDDELAGISMSKMFAEMVRQERPVRNI >KJB76002 pep chromosome:Graimondii2_0_v6:12:9777590:9780935:1 gene:B456_012G067400 transcript:KJB76002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVCTRVSGSTKLNVSVGPSKLNNPTVILNGLEIMKINDARGNLDVPDVVSSGHSEIKVVVIVVIAVGSFVVVVSVVIVILFFFRRRMKPVLGKEQHFLMNRGQKVHTTGSTYSNGTSILSSPMIGYRYPFVAILEATDNFSENLVIGVGGFGKVYRGILKDETEVAVKRGTPQSNQGLAEFRTEIEMLSQFRHRHLVSLIGYCDEQDEMIIIYEYMENGTLKNHLYGSNLPSLSWRQRLEICIGSAKGLHYLHTGSAKAIIHRDVKSANILLDKHFMAKVADFGLSKTGPDIDQTHVSTAVKGSFGYLDPEYLTRQQLTEKSDVYSFGVVLLEVLSGRAVIDPSLPREKANLLEWAMKSYRSGKLEDIVDPCLVGQVKPDCLRKLWDIIEKCLAENGICRPSMGEVLWNLEYALQQQENEERSNQNNEHSSHISCISTSETSQQFSRASSGVNDDELAGISMSKMFAEMVRQERPVRNI >KJB76004 pep chromosome:Graimondii2_0_v6:12:9777770:9780934:1 gene:B456_012G067400 transcript:KJB76004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPGNFGFIIWISSIYGLLHLSLGFNPVDNYLIDCGSIKNISVGDRVFQADNSTPSYNLSTPHQIFAISSSNSNPTSLYYDSPLYQTARIFNATSHYSFPIKQQGRHWIRLHFFAYVFEKFDMSKAKFSVFAQNFTLLRAQMGDGYIVKEYSLNITSNKLILTFRPAVNSFAFINGLEVFSVPDNLFPEEVRTIDLQGGNKSLQEQALETVARVDMGNTTVLPQNDTLWRLWISDNAYLIHNNLGSSVSNVSAVNFTEVTEDIAPASVYGTATILNSSDPNLNANLTWTFDVNPGFDYLVRLHFCNIMNEPTQQAIFLEIFIDSRHAGHLDLGSRTSDVFGAPYFMDVCTRVSGSTKLNVSVGPSKLNNPTVILNGLEIMKINDARGNLDVPDVVSSGHSEIKVVVIVVIAVGSFVVVVSVVIVILFFFRRRMKPVLGKEQHFLMNRGQKVHTTGSTYSNGTSILSSPMIGYRYPFVAILEATDNFSENLVIGVGGFGKVYRGILKDETEVAVKRGTPQSNQGLAEFRTEIEMLSQFRHRHLVSLIGYCDEQDEMIIIYEYMENGTLKNHLYGSNLPSLSWRQRLEICIGSAKGLHYLHTGSAKAIIHRDVKSANILLDKHFMAKVADFGLSKTGPDIDQTHVSTAVKGSFGYLDPEYLTRQQLTEKSDVYSFGVVLLEVLSGRAVIDPSLPREKANLLEWAMKSYRSGKLEDIVDPCLVGQVKPDCLRKLWDIIEKCLAENGICRPSMGEVLWNLEYALQQQENEERSNQNNEHSSHISCISTSETSQQFSRASSGVNDDELAGISMSKMFAEMVRQERPVRNI >KJB74561 pep chromosome:Graimondii2_0_v6:12:1594444:1594904:-1 gene:B456_012G014300 transcript:KJB74561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKELIMKPSFLHLFLVAALVLSSIMVTSSRFSWSTCDPACQMELTRTRRVLEKQDYSGYVPSPDDYDYNGFYRRQGDVPSPGIGH >KJB77223 pep chromosome:Graimondii2_0_v6:12:29109775:29111066:1 gene:B456_012G126300 transcript:KJB77223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAPFPAQLSVSDRRVIDNNATDVRVYQVWKGSNKFFLGGRVIFGPDVRSIFLTISLIVIPVLLFCAFVSRRIIYAFDNHLGKLIIFILILLTVYDLILLLLTSGRDPGIIPRNSHPPELEEDGSTMSTDWLGSQSSAGVPNLPPTKDVVVNGVIVKVKYCQTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKVCYASVLIFQFMKNVG >KJB77226 pep chromosome:Graimondii2_0_v6:12:29110084:29112596:1 gene:B456_012G126300 transcript:KJB77226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDWLGSQSSAGVPNLPPTKDVVVNGVIVKVKYCQTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTILCLYVFVFSWVTIKKIMAEYDCNLWSAFLKSPVSGILILYTFMTAWFVGGLTVFHLYLICTNQTTYENFRYRYDSKRNPYNRGCVRNVCEIFLSKIPKSKSNFRAMVRVDSSSLFGSTMSLARPMSPNIRKKSFDIETGKRQAVVAEDFEDIHSQIDSVGGLERCGTQPRHIIQCENSNWEISPDISVMSAEFAMEQGFKDREKVCKKGH >KJB77224 pep chromosome:Graimondii2_0_v6:12:29110217:29111803:1 gene:B456_012G126300 transcript:KJB77224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAPFPAQLSVSDRRVIDNNATDVRVYQVWKGSNKFFLGGRVIFGPDVRSIFLTISLIVIPVLLFCAFVSRRIIYAFDNHLGKLIIFILILLTVYDLILLLLTSGRDPGIIPRNSHPPELEEDGSTMSTDWLGSQSSAGVPNLPPTKDVVVNGVIVKVKYCQTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTILCLYVFVFSWVTIKKIMAEYDCNLWSAFLKSPVSGILILYTFMTAWFVGGLTVFHLYLICTNQVVIPSFPSFLPLLSVLFLCLCLFYVFADNI >KJB77222 pep chromosome:Graimondii2_0_v6:12:29109775:29112596:1 gene:B456_012G126300 transcript:KJB77222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAPFPAQLSVSDRRVIDNNATDVRVYQVWKGSNKFFLGGRVIFGPDVRSIFLTISLIVIPVLLFCAFVSRRIIYAFDNHLGKLIIFILILLTVYDLILLLLTSGRDPGIIPRNSHPPELEEDGSTMSTDWLGSQSSAGVPNLPPTKDVVVNGVIVKVKYCQTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTILCLYVFVFSWVTIKKIMAEYDCNLWSAFLKSPVSGILILYTFMTAWFVGGLTVFHLYLICTNQTTYENFRYRYDSKRNPYNRGCVRNVCEIFLSKIPKSKSNFRAMVRVDSSSLFGSTMSLARPMSPNIRKKSFDIETGKRQAVVAEDFEDIHSQIDSVGGLERCGTQPRHIIQCENSNWEISPDISVMSAEFAMEQGFKDREKVCKKGH >KJB77225 pep chromosome:Graimondii2_0_v6:12:29109765:29112668:1 gene:B456_012G126300 transcript:KJB77225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAPFPAQLSVSDRRVIDNNATDVRVYQVWKGSNKFFLGGRVIFGPDVRSIFLTISLIVIPVLLFCAFVSRRIIYAFDNHLGKLIIFILILLTVYDLILLLLTSGRDPGIIPRNSHPPELEEDGSTMSTDWLGSQSSAGVPNLPPTKDVVVNGVIVKVKYCQTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTILCLYVFVFSWVTIKKIMAEYDCNLWSAFLKSPVSGILILYTFMTAWFVGGLTVFHLYLICTNQTTYENFRYRYDSKRNPYNRGCVRNVCEIFLSKIPKSKSNFRAMVRVDSSSLFGSTMSLARPMSPNIRKKSFDIETGKRQAVVAEDFEDIHSQIDSVGGLERCGTQPRHIIQCENSNWEISPDISVMSAEFAMEQGFKDREKVCKKGH >KJB77660 pep chromosome:Graimondii2_0_v6:12:32355542:32357226:1 gene:B456_012G149500 transcript:KJB77660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSFSTSALLFTIVFHSCLAASNDDPLTGTKREALEIIIGGGGGEAPSPSDEFPPSSPPCKHFQNENGCFENARLAKAYDVIQRFKEKIKVDANSEKYLKTWCGTDVCKYRGIYCDIRPDVKEKAVAGVDFNGAKFAGCDGTLPLDGFFDELDDLAIFHANSNNFTGTVPFKASKIKYLYELDLSNNKIAGGFPMKTLSTMNLTFLDLRYNSLKGTVPQQAFDLTLDVFFINNNNFAPQMLPTNLGDTTAVYLTFANNNFTGSIPASIGKARNLLEVLFLNNQLTGCLPYEIGNLTQSTVFDASSNKLTGPIPYSFGCLKKMQILSLTNNQFYGEVPETVCKLPMLNKLSLSNNYFTHVGPACRGLIEKKKLDVKKNCIYGLPNQRSEKECVEFFLKKKDCPRMETFYWVPCVKHGYDYYKYSEEGKSPAAAPSARTYSTLTPHHRL >KJB77883 pep chromosome:Graimondii2_0_v6:12:33477805:33478802:1 gene:B456_012G163100 transcript:KJB77883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKKLSSLFPLLSLICFISFFLLLSISRKASISSSTTHPQFLRFKPIDANLTTRSDPSATGDSSCDYSDGSWVYDPNAGFDRYDSSCKEIFKGWNCILNNKSNGRDIIKWRWKPRNCDLPPFDPLQFLHTYRDTNIGFIGDSLNRNMFVSLFCTLKRVSNDVKKWRPAGADRGFTFLHYNLTIAYHRTNLLARYGR >KJB77884 pep chromosome:Graimondii2_0_v6:12:33478048:33481287:1 gene:B456_012G163100 transcript:KJB77884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKKLSSLFPLLSLICFISFFLLLSISRKASISSSTTHPQFLRFKPIDANLTTRSDPSATGDSSCDYSDGSWVYDPNAGFDRYDSSCKEIFKGWNCILNNKSNGRDIIKWRWKPRNCDLPPFDPLQFLHTYRDTNIGFIGDSLNRNMFVSLFCTLKRVSNDVKKWRPAGADRGFTFLHYNLTIAYHRTNLLARYANGNGGKLEALGYKEGYRVDVDVPESTWEKAPSFHDILIFNTGHWWWAPSKFDPVKSPLLFFEKGLPVIPPIPPDLGLDKVLNHVIRFVEKTMRPDAIKLFRTQSPRHFEGGDWDQGGSCQRLQPLLPEQVENLFSLKNNGTNVETRLVNQHIYNALKGSNVHVLDITRMSEFRADAHPSTAGGKKHDDCMHWCLPGVTDTWNDLFVTHLNSLKI >KJB76681 pep chromosome:Graimondii2_0_v6:12:21974694:21975874:1 gene:B456_012G100500 transcript:KJB76681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVFANGAKSWWIFFHEEELRYQLSAVVKHFGFRPTFGRYVCYIRSSPNMWHKMNDLRVTCVEEEAVDTKVCSR >KJB76263 pep chromosome:Graimondii2_0_v6:12:13172089:13172656:1 gene:B456_012G080900 transcript:KJB76263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMKRPSFSFSAVFLLLLFLSSGNRVTMGQNSDCDLQSFASPGCNQDGCNNLCIQHFGKTGKFGPVYGVCFASAICMCRQC >KJB75704 pep chromosome:Graimondii2_0_v6:12:8416214:8416414:-1 gene:B456_012G0600002 transcript:KJB75704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLFSIVFIIIGAIIISIGFYAVIWGKAKEDERTTSSSKVPLLKVEENVE >KJB75703 pep chromosome:Graimondii2_0_v6:12:8416214:8416414:-1 gene:B456_012G0600002 transcript:KJB75703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLFSIVFIIIGAIIISIGFYAVIWGKAKEDERTTSSSKVPLLKVEENVE >KJB76215 pep chromosome:Graimondii2_0_v6:12:12746801:12748857:-1 gene:B456_012G078700 transcript:KJB76215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLVEISESEVRINFILNTKCRCNLVLRSLCPSSPVAFKVQTSSPHKFLVNPPSGLVPPSSHIALQIILKPQDRIPPTFPRSHSDRFLIRTAPFDLDSYGSNQYGSVSSWLSTRPTQDIKLKVAFVGPFLLQHAVSRGDFEAVKNIIKRQKSVLYDLSTKEAESLLRVATQLDNNSENMVNLLLEAGLRIGSREDQEEDANNSNVGFYQVDPRWESKGWNELHVAVALDRTADLETLLRRGRRETLDRRDKEGRTPLLLAATKGNIECAKMLLESGAEKNAKSNDGRTALHRAVANGNRRMIEMLIQLDADPTICDDRGRSALDNARDKGHDEMVEIMERGKEVLMAARCGDKRRLQSLLQNGGSMNFQDQYGLTPLHVAAIKGHKDVVLVLVEMGLDLERQDNEGHTALHMAVEGGDVEVVEALIDNGANANAKTKTGVSPLYMAKIMGYDHISQLLLNRGTCSSPSSG >KJB74535 pep chromosome:Graimondii2_0_v6:12:15474487:15475602:1 gene:B456_012G090400 transcript:KJB74535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAQEVGKSNTIDNGLGMHTMSDSTQRKGIAAVQGSQTKIWDTAGQERFQSLGSAIYRGADCCVVVFDVNILRSFETLNNWREEFLKRVS >KJB77117 pep chromosome:Graimondii2_0_v6:12:27579627:27583942:-1 gene:B456_012G120800 transcript:KJB77117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFEQDQKETKDILLRCFGTHRVLISCFLFINFPLKHTLLRHLKFFFLVKSKQMLYVIFPVPSLLLASTFMLMQEKYEKAIAALDEMEKRAVMAESMEEGTLHYYSGQNKAQPSLSPRYAIAFLNYRYCVAIAGTDYCVIIADT >KJB75845 pep chromosome:Graimondii2_0_v6:12:8522868:8525417:-1 gene:B456_012G061000 transcript:KJB75845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKPTGQAINLILLQGLFIVDHYLEYVIVCLLLAFQFQTLISIMSGNALRDLNTLPTPERKNDSSSKGNFTKPCNGKTIENVEEQLKKSLSSVQINGGETLNVQHNKSSASVQINGGETVNVGVEVANSEVEYIESENLSDLEDVDTCLKKLLPGLDSKDWILVVETLNNVRRLSVFHKERMHSMLGDLIPLVVKSLKNPRSAVCKTAIMTSANIFSAYNDDLIDSLDPLLVQLLLKASQDKRFVCEAAERALEAMTTSVSPMLLLPKLQPYLKNRNPRIRAKASMYFSRSVPRLGVEGIKEYGIDKLIQVAASQLSDQLPESREAARTLLLKLQTVYEKSHGLSTVVSENPEMGSWENFCLSKLSPLSAQAVLRVTTNIAREGLVIGS >KJB75843 pep chromosome:Graimondii2_0_v6:12:8522859:8526247:-1 gene:B456_012G061000 transcript:KJB75843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNALRDLNTLPTPERKNDSSSKGNFTKPCNGKTIENVEEQLKKSLSSVQINGGETLNVQHNKSSASVQINGGETVNVGVEVANSEVEYIESENLSDLEDVDTCLKKLLPGLDSKDWILVVETLNNVRRLSVFHKERMHSMLGDLIPLVVKSLKNPRSAVCKTAIMTSANIFSAYNDDLIDSLDPLLVQLLLKASQDKRFVCEAAERALEAMTTSVSPMLLLPKLQPYLKNRNPRIRAKASMYFSRSVPRLGVEGIKEYGIDKLIQVAASQLSDQLPESREAARTLLLKLQTVYEKSHGLSTVVSENPEMGSWENFCLSKLSPLSAQAVLRVTTNIAREGLVIGS >KJB75846 pep chromosome:Graimondii2_0_v6:12:8522868:8526110:-1 gene:B456_012G061000 transcript:KJB75846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNALRDLNTLPTPERKNDSSSKGNFTKPCNGKTIENVEEQLKKSLSSVQINGGETLNVQHNKSSASVQINGGETVNVGVEVANSEVEYIESENLSDLEDVDTCLKKLLPGLDSKDWILVVETLNNVRRLSVFHKERMHSMLGDLIPLVVKSLKNPRSAVCKTAIMTSANIFSAYNDDLIDSLDPLLVQLLLKASQDKRFVCEAAERALEAMTTSVSPMLLLPKLQPYLKNRNPRIRAKASMYFSRSVPRLVGSWDLICLLLFYLSGRKSIFFPVLIMFNLFHWFGWYRCLLYLQQHSSYRFLLTKFI >KJB75844 pep chromosome:Graimondii2_0_v6:12:8522859:8526165:-1 gene:B456_012G061000 transcript:KJB75844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNALRDLNTLPTPERKNDSSSKGNFTKPCNGKTIENVEEQLKKSLSSVQINGGETLNVQHNKSSASVQINGGETVNVGVEVANSEVEYIESENLSDLEDVDTCLKKLLPGLDSKDWILVVETLNNVRRLSVFHKERMHSMLGDLIPLVVKSLKNPRSAVCKTAIMTSANIFSAYNDDLIDSLDPLLVQLLLKASQDKRFVCEAAERALEAMTTSVSPMLLLPKLQPYLKNRNPRIRAKASMYFSRSVPRLGVEGIKEYGIDKLIQVAASQLSDQLPESREAARTLLLKLQTVYEKSHGLSTVVSENPEMGSWENFCLSKLSPLSAQAVLRVTTNIAREGLVIGS >KJB77602 pep chromosome:Graimondii2_0_v6:12:32071316:32075692:1 gene:B456_012G146500 transcript:KJB77602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFVFLGFGGSIDVAAIVNFVDSGHDLIVAADVNASDLIREVGTECGVDFDEDPSAMVIDHIGYAVSGTEGDHTLIASDDFIKSDVILGGKRIEAPILFRGIGHSVSPANSLVLKVLSASPSAYSANPKSKLSTPPSLTGSAISLVSIVQARNNARLLITGSLSMFSNRFFRSGVQKAGSQTKHEKSGNEQFLTEISKWIFHERGHLKAVNVKHHRVGETDEPALYRINDELEYSVEIYEWSGTSWEPYVANDVQVQFYMMSPYVLKTLSSDKKGLYSASFKVPDVYGVFQFKVEHQKLGYTSLSLSKQIPVRPYRHNEYERFIPAAYPYYGAAFSMMAGFFIFSFVHLYSK >KJB77604 pep chromosome:Graimondii2_0_v6:12:32071316:32075692:1 gene:B456_012G146500 transcript:KJB77604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDHIGYAVSGTEGDHTLIASDDFIKSDVILGGKRIEAPILFRGIGHSVSPANSLVLKVLSASPSAYSANPKSKLSTPPSLTGSAISLVSIVQARNNARLLITGSLSMFSNRFFRSGVQKAGSQTKHEKSGNEQFLTEISKWIFHERGHLKAVNVKHHRVGETDEPALYRINDELEYSVEIYEWSGTSWEPYVANDVQVQFYMMSPYVLKTLSSDKKGLYSASFKVPDVYGVFQFKVEHQKLGYTSLSLSKQIPVRPYRHNEYERFIPAAYPYYGAAFSMMAGFFIFSFVHLYSK >KJB77600 pep chromosome:Graimondii2_0_v6:12:32071293:32075692:1 gene:B456_012G146500 transcript:KJB77600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLWLICIASISILLPFLCNAFSPESPTDRRVLVLLDDFAIKSSHSLYFNALKSRGFDLEFKLADDPKIALQRYGQYLYDALILFCPSVERFGGSIDVAAIVNFVDSGHDLIVAADVNASDLIREVGTECGVDFDEDPSAMVIDHIGYAVSGTEGDHTLIASDDFIKSDVILGGKRIEAPILFRGIGHSVSPANSLVLKVLSASPSAYSANPKSKLSTPPSLTGSAISLVSIVQARNNARLLITGSLSMFSNRFFRSGVQKAGSQTKHEKSGNEQFLTEISKWIFHERGHLKAVNVKHHRVGETDEPALYRINDELEYSVEIYEWSGTSWEPYVANDVQVQFYMMSPYVLKTLSSDKKGLYSASFKVPDVYGVFQFKVEHQKLGYTSLSLSKQIPVRPYRHNEYERFIPAAYPYYGAAFSMMAGFFIFSFVHLYSK >KJB77605 pep chromosome:Graimondii2_0_v6:12:32071316:32075692:1 gene:B456_012G146500 transcript:KJB77605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLWLICIASISILLPFLCNAFSPESPTDRRVLVLLDDFAIKSSHSLYFNALKSRGFDLEFKLADDPKIALQRYGQYLYDALILFCPSVERFGGSIDVAAIVNFVDSGHDLIVAADVNASDLIREVGTECGVDFDEDPSAMVIDHIGYAVSGTEGDHTLIASDDFIKSDVILGGKRIEAPILFRGIGHSVSPANSLVLKVLSASPSAYSANPKSKLSTPPSLTGSAISLVSIVQARNNARLLITGSLSMFSNRFFRSGVQKAGSQTKHEKSGNEQFLTEISKWIFHERGHLKAVNVKHHRVGETDEPALYRINDELEYSVEIYEWSGTSWEPYVANDVQVQFYMMSPYVLKTLSSDKKGLYSASFKVPDVYGVFQFKVEHQKLGYTSLSLSKQVTNFVLS >KJB77603 pep chromosome:Graimondii2_0_v6:12:32071316:32075692:1 gene:B456_012G146500 transcript:KJB77603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLWLICIASISILLPFLCNAFSPESPTDRRVLVLLDDFAIKSSHSLYFNALKSRGFDLEFKLADDPKIALQRYGQYLYDALILFCPSVERFGGSIDVAAIVNFVDSGHDLIVAADVNASDLIREVGTECGVDFDEDPSAMVIDHIGYAVSGTEGDHTLIASDDFIKSDVILGGKRIEAPILFRGIGHSVSPANSLVLKVLSASPSAYSANPKSKLSTPPSLTGSAISLVSIVQARNNARLLITGSLSMFSNRFFRSGVQKAGSQTKHEKSGNEQFLTEISKWIFHERGHLKAVNVKHHRVGETDEPALYRINDELEYSVEIYEWSGTSWEPYVANDVQVQFYMMSPYVLKTLSSDKKVVYIPHHLRFPMFMVFSNSRSSTKSLGILVYPFQSRFLSGHIDTMNMKDSYLLLTPIMELHFL >KJB77601 pep chromosome:Graimondii2_0_v6:12:32071316:32075594:1 gene:B456_012G146500 transcript:KJB77601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLWLICIASISILLPFLCNAFSPESPTDRRVLVLLDDFAIKSSHSLYFNALKSRGFDLEFKLADDPKIALQRYGQYLYDALILFCPSVERFGGSIDVAAIVNFVDSGHDLIVAADVNASDLIREVGTECGVDFDEDPSAMVIDHIGYAVSGTEGDHTLIASDDFIKSDVILGGKRIEAPILFRGIGHSVSPANSLVLKVLSASPSAYSANPKSKLSTPPSLTGSAISLVSIVQARNNARLLITGSLSMFSNRFFRSGVQKAGSQTKHEKSGNEQFLTEISKWIFHERGHLKAVNVKHHRVGETDEPALYRINDELEYSVEIYEWSGTSWEPYVANDVQVQFYMMSPYVLKTLSSDKKGLYSASFKVPDVYGVFQFKVEHQKLGYTSLSLSKQVTNFVLS >KJB78301 pep chromosome:Graimondii2_0_v6:12:8372821:8378815:-1 gene:B456_012G059700 transcript:KJB78301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFITKILFNNILGRFIHDDFHEAVSSMTITDAFLFLMVHWVDKVGKWHRLPVILGLIYLAVRRRLHQHYNLISVGKSPSGVRVNPGDYKYRTADGSYGDPFNEGARSQGTFFGRNIMPVDQTDKLKKPDPMVVTTKLMTRTQYKDTGKQLNMIAASWIQFMIHDWVDHMENTNQQVELIAPKEVANKCPLTSFKFYPTKEFSTGFDDVKTGAKNIRTHWWDGSVIYGSDKERLQKVRTLVDGKLKISEDGLLQQDEDGIPISGDIKNSWAGVSTLQALFIKEHNAICDALKKEYPALNDEELYRHARLITSAVIAKIHTIDWTVELLKTDMLLAGMRINWYGFLGKKFKDTFGHVGGSTLGGLVGLKKPINHGVPYTLTEEFTSVYRMHQLLPDSIHLRNINVTPGLNKSPPLLEEVPMPDLIGHKGEKTLSQIGFTRQFVSMGHQACGALELFNYPSWLQDLVAQDVDGKDRPDHVDLAALEVYRDRERKVARYNQFRRNLLLIPISKWEDLTEDKEAIEVLKEVYGDDVEELDLMVGLMAEKKIKGFAISETSFIVFLLMASRRLEADRFFTSDFNEEAYTKKGFEWVNTTESLKDVLNRHYPEISKKWINSTSAFSVWDSPPNAPNPIPLYFRFPS >KJB74865 pep chromosome:Graimondii2_0_v6:12:1279370:1281163:1 gene:B456_012G011300 transcript:KJB74865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLRRKRKHKYLFVLHFRLSNHLLCISVSSSGRLLAFITLSLLFHLEATINGNLILISTHNSGMNTWDKLPREDGYRNRRENPSFSSTLLDAIYRSIDESNNGSTKGEGELILYGKKHGSNPSLKEDKMQSACMVQKWMEKKVCCDRRRKSMADSERIYRNEFDDPMFTSSSSDSSCVGRFSSSESDSFYREKSRSWSSSSSSHYTTHSHSHSHRPKPIRTSVEDSFHGHAAASATQKKPKHEGGFVRTKSKALKIYSDLKKVKHPISPGGRFAAFLNSLFTAGNAKKKNITSSAGGYEERKSKSEQPTSSTCSSASSFSRSCLSKTPSSRGNGTKRSVRFCLDHEDYSRPRENKNDPHQSQASIRKPIDKELELRIMEENRRVVEAAKDLLKNYQKKKEEYGNIEEYSDDDEEEEEEDAASYASSELFELDNLSAIGIERYRQELPVYETTHLDTNRAIANGLIV >KJB77190 pep chromosome:Graimondii2_0_v6:12:28872216:28873417:-1 gene:B456_012G125100 transcript:KJB77190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSNLTASNELDMKINAILSIIVQDAGDTFAKRAEMYYQKRPELIEMVEDLQKSYRSLAEKYDQLRSQLNNQGLQNHVEGEEHEEARAEDPEHEIEFHHDPSKGPADPTKTSKNVNGVTFQRNISDGSQLMENEKLWNELRFKVSELVVEDNLSQQAELIRRNDEKRGKIRELLGTKMNVVDDDNEKSHKVPKKTKSLLSRLKRLFLGRFT >KJB76303 pep chromosome:Graimondii2_0_v6:12:13642582:13650189:1 gene:B456_012G082600 transcript:KJB76303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase, H3 lysine-9 specific SUVH4 [Source:Projected from Arabidopsis thaliana (AT5G13960) UniProtKB/Swiss-Prot;Acc:Q8GZB6] MVVQSPHSLQSSVPTPNNGTKRVQILVKENGSAVTDNVAGQRRASARLQAAKQKAEMELLAKRKLELLNEDVGRSTKKVNVDAEKLKPKLQPAQTVIQQLPEISSLPADPKINKKVAKMVERAAKIAEGLDGTNAPNVAEKSAHMKVKETIRLFNKHYLHFVQEEEKRCGAAKVDKKALKAKKKANRGSVSEADVKAKAKRPDLKAISKMMERNEVLYPEKRIGSIPGIDVGHRFYSRAEMVAVGFHSHWLNGIDYMGQSYKKGEYEHYIFPLAVAIVLSGMYEDDLDNAEDVVYTGQGGHDLTGNKRQIRDQVLERGNLALKNCVDLGVTVRVVRGHECANSYSGKVYTYDGLYKVVHYWAEKGISGFTVFKYRLRRLEGQPTLTTSQVHFTYGRVPQCPSEIRGLVCEDISGGQEVVPIPATNLVDDPPVAPTGYQYSKSMKFARNIKLPANAAGCDCKGLCWDPKTCACARLNGSDFPYVHRDGGRLIEAKHVVFECGPKCGCDPSCVNRTSQRGLKYRLEVFCTPKKGWAVRSWDFIPAGAPVCEYIGVLTRTEELDNVSENNYIFDIDCLQTMRGLGGRERRQLDASLPMIQNMDKIDEQRSDSVPEFCIDAASIGNVARFINHSCEPNLFIQCVLSAHQDIKLARVMLFAADSIPPLQELTYDYGYALDSVHGPDGKVKKMACYCGAEGCRKRLF >KJB76302 pep chromosome:Graimondii2_0_v6:12:13642582:13650189:1 gene:B456_012G082600 transcript:KJB76302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase, H3 lysine-9 specific SUVH4 [Source:Projected from Arabidopsis thaliana (AT5G13960) UniProtKB/Swiss-Prot;Acc:Q8GZB6] MVVQSPHSLQSSVPTPNNGTKRVQILVKENGSAVTDNVAGQRRASARLQAAKQKAEMELLAKRKLELLNEDVGRSTKKVNVDAEKLKPKLQPAQTVIQQLPEISSLPADPKINKKVAKMVERAAKIAEGLDGTNAPNVAEKSAHMKVKETIRLFNKHYLHFVQEEEKRCGAAKVDKKALKAKKKANRGSVSEADVKAKAKRPDLKAISKMMERNEVLYPEKRIGSIPGIDVGHRFYSRAEMVAVGFHSHWLNGIDYMGQSYKKGEYEHYIFPLAVAIVLSGMYEDDLDNAEDVVYTGQGGHDLTGNKRQIRDQVLERGNLALKNCVDLGVTVRVVRGHECANSYSGKVYTYDGLYKVVHYWAEKGISGFTVFKYRLRRLEGQPTLTTSQVHFTYGRVPQCPSEIRGLVCEDISGGQEVVPIPATNLVDDPPVAPTGYQYSKSMKFARNIKLPANAAGCDCKGLCWDPKTCACARLNGSDFPYVHRDGGRLIEAKHVVFECGPKCGCDPSCVNRTSQRGLKYRLEVSYYCKKNHSARF >KJB76301 pep chromosome:Graimondii2_0_v6:12:13642874:13648680:1 gene:B456_012G082600 transcript:KJB76301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase, H3 lysine-9 specific SUVH4 [Source:Projected from Arabidopsis thaliana (AT5G13960) UniProtKB/Swiss-Prot;Acc:Q8GZB6] MVVQSPHSLQSSVPTPNNGTKRVQILVKENGSAVTDNVAGQRRASARLQAAKQKAEMELLAKRKLELLNEDVGRSTKKVNVDAEKLKPKLQPAQTVIQQLPEISSLPADPKINKKVAKMVERAAKIAEGLDGTNAPNVAEKSAHMKVKETIRLFNKHYLHFVQEEEKRCGAAKVDKKALKAKKKANRGSVSEADVKAKAKRPDLKAISKMMERNEVLYPEKRIGSIPGIDVGHRFYSRAEMVAVGFHSHWLNGIDYMGQSYKKGEYEHYIFPLAVAIVLSGMYEDDLDNAEDVVYTGQGGHDLTGNKRQIRDQVLERGNLALKNCVDLGVTVRVVRGHECANSYSGKVYTYDGLYKVVHYWAEKGISGFTVFKYRLRRLEGQPTLTTSQVHFTYGRVPQCPSEIRGLVCEDISGGQEVVPIPATNLVDDPPVAPTGYQYSKSMKFARNIKLPANAAGCDCKGLCWDPKTCACARLNGSDFPYVHRDGGR >KJB76300 pep chromosome:Graimondii2_0_v6:12:13642465:13650223:1 gene:B456_012G082600 transcript:KJB76300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase, H3 lysine-9 specific SUVH4 [Source:Projected from Arabidopsis thaliana (AT5G13960) UniProtKB/Swiss-Prot;Acc:Q8GZB6] MVVQSPHSLQSSVPTPNNGTKRVQILVKENGSAVTDNVAGQRRASARLQAAKQKAEMELLAKRKLELLNEDVGRSTKKVNVDAEKLKPKLQPAQTVIQQLPEISSLPADPKINKKVAKMVERAAKIAEGLDGTNAPNVAEKSAHMKVKETIRLFNKHYLHFVQEEEKRCGAAKVDKKALKAKKKANRGSVSEADVKAKAKRPDLKAISKMMERNEVLYPEKRIGSIPGIDVGHRFYSRAEMVAVGFHSHWLNGIDYMGQSYKKGEYEHYIFPLAVAIVLSGMYEDDLDNAEDVVYTGQGGHDLTGNKRQIRDQVLERGNLALKNCVDLGVTVRVVRGHECANSYSGKVYTYDGLYKVVHYWAEKGISGFTVFKYRLRRLEGQPTLTTSQVHFTYGRVPQCPSEIRGLVCEDISGGQEVVPIPATNLVDDPPVAPTGYQYSKSMKFARNIKLPANAAGCDCKGLCWDPKTCACARLNGSDFPYVHRDGGRLIEAKHVVFECGPKCGCDPSCVNRTSQRGLKYRLEVFCTPKKGWAVRSWDFIPAGAPVCEYIGVLTRTEELDNVSENNYIFDIDCLQTMRGLGGRERRQLDASLPMIQNMDKIDEQRSDSVPEFCIDAASIGNVARFINHSCEPNLFIQCVLSAHQDIKLARVMLFAADSIPPLQELTYDYGYALDSVHGPDGKVKKMACYCGAEGCRKRLF >KJB76264 pep chromosome:Graimondii2_0_v6:12:13205600:13208071:1 gene:B456_012G081000 transcript:KJB76264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFLRNLIILFLICIAIKINLCVPTIPSSLKTLPIDGHFDFKQVHHAAKDFGNRYSFLPSAVLHPKSASDIATTVKHIWEMGPGSHLTVAARGHGHSLQGQAQAHRGVVINMESLQGPKMKVHTGNFPYVDVSGSELWINILHETLKHGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVRQLEVVTGKGEVVNCSEKQNSDLFYSVLGGLGQFGIITRARISLEPAPKMVKWIRVLYTDFATFAKDQEMLISGESTFDYIEGFVIINRTGLLNNWRSSFNSNDSAQTSHFKSDGRTLFCLELAKYFNPEEMAIVNQEIMTSLSQLNHIPSTLFQSQVPYIEFLDRVHISEIKLRSKGLWEVPHPWLNLLIPRSNIHIFAQQVFGNILTNTSNGPILIYPVNKSKWDNRTSVVLPEEDVFYLVAFLSSAAPSSTGSDGLEHILNQNKRILELCEIDGLGVKQYLPHYSTNGEWRSHFGPQWEAFVHRKSTYDPLAILAPGQRIFQKAAPLSL >KJB76097 pep chromosome:Graimondii2_0_v6:12:10539979:10541968:1 gene:B456_012G071400 transcript:KJB76097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTTSYWCYRCSRFLRIFNRDPIACPDCDGGFIEEIGYHPHAESRRLGTDRFQAVSRHTSSTPASTSRRNRGDRSPFNPVIVLRGGASTSSAAGENSNVEHNGRGFDLYYDDGGGAGLRPLPPTMSEILLGSGFERLLDQLSEMEIQNIGRYENPPASKAAVEAMATVEINETHILNELYCGVCKEPFELGTQVRNMPCNHLYHSECILPWLELRNSCPVCRNELPAETGGRYAEEGLSIWRLPSGGFAVGRFTGGRMGGENREVSVGGERGGVLGRVWRNMVGLFGGSSSAAATRLDSGISRSSRLSFNATSRRRRGWAVEVDHDRRRIW >KJB74771 pep chromosome:Graimondii2_0_v6:12:747340:751949:1 gene:B456_012G006300 transcript:KJB74771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQMAKLKSLSLIFFSHLFLVSSSAAVFPVLDDLRFAGSSFPSVQAKKLIRELNLFPKEEVNLVDRGRVSLPQDSKLVEKRFKFPGLEALGGVSVDDLSHHAGYYKLPNSYDARMFYFFFESRSNKEDPVVIWLTGGPGCSSELALFYENGPFSISDNMSLVWNEFGWDKVSNLLYVDQPVGTGFSYSSDRRDIRHNEDEVSNDLYDFLQAFFAEHPEFAKNDFYITGESYAGHYIPAFAARLHRGNKAKDGIHINLKGFAIGNGLTDPAIQYKAYPDYALDMGLIKKTDYSLINKLVPVCEFAIKLCGTDGTVSCMASYFVCNTIFASIIARAGGINYYDIRKKCEGSLCYDFSNMETFLNKKSVRDALGVGNIEFVSCSPTVYQAMLVDWMRNLEVGIPALLEDGIKLLVYAGEYDLICNWLGNSRWVHAMQWSGRKEFVASPEVPFVVDGSEAGVLKTHEPLGFLKVHDAGHMVPMDQPKAALEMLKRWTKGSLAEGGKAEKLFAEM >KJB74773 pep chromosome:Graimondii2_0_v6:12:748279:751932:1 gene:B456_012G006300 transcript:KJB74773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYFFFESRSNKEDPVVIWLTGGPGCSSELALFYENGPFSISDNMSLVWNEFGWDKVSNLLYVDQPVGTGFSYSSDRRDIRHNEDEVSNDLYDFLQAFFAEHPEFAKNDFYITGESYAGHYIPAFAARLHRGNKAKDGIHINLKGFAIGNGLTDPAIQYKAYPDYALDMGLIKKTDYSLINKLVPVCEFAIKLCGTDGTVSCMASYFVCNTIFASIIARAGGINYYDIRKKCEGSLCYDFSNMETFLNKKSVRDALGVGNIEFVSCSPTVYQAMLVDWMRNLEVGIPALLEDGIKLLVYAGEYDLICNWLGNSRWVHAMQWSGRKEFVASPEVPFVVDGSEAGVLKTHEPLGFLKVHDAGHMVPMDQPKAALEMLKRWTKGSLAEGGKAEKLFAEM >KJB74772 pep chromosome:Graimondii2_0_v6:12:747358:751932:1 gene:B456_012G006300 transcript:KJB74772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQMAKLKSLSLIFFSHLFLVSSSAAVFPVLDDLRFAGSSFPSVQAKKLIRELNLFPKEEVNLVDRGRVSLPQDSKLVEKRFKFPGLEALGGVSVDDLSHHAGYYKLPNSYDARMFYFFFESRSNKEDPVVIWLTGGPGCSSELALFYENGPFSISDNMSLVWNEFGWDKVSNLLYVDQPVGTGFSYSSDRRDIRHNEDEVSNDLYDFLQAFFAEHPEFAKNDFYITGESYAGHYIPAFAARLHRGNKAKDGIHINLKGFAIGNGLTDPAIQYKAYPDYALDMGLIKKTDYSLINKLVPVCEFAIKLCGNFASEMASSLLYDHSLHIYLEFSNFKELIFPPNSAFYIIRFSLEKVISQIESVLLISLILFLSFWKTIFPGTDGTVSCMASYFVCNTIFASIIARAGGINYYDIRKKCEGSLCYDFSNMETFLNKKSVRDALGVGNIEFVSCSPTVYQAMLVDWMRNLEVGIPALLEDGIKLLVYAGEYDLICNWLGNSRWVHAMQWSGRKEFVASPEVPFVVDGSEAGVLKTHEPLGFLKVHDAGHMVPMDQPKAALEMLKRWTKGSLAEGGKAEKLFAEM >KJB77976 pep chromosome:Graimondii2_0_v6:12:34030199:34033755:1 gene:B456_012G170600 transcript:KJB77976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLAKFAASQSHNASRRWLASQLFTTSTAAKRCSKSGILSDTKPPTAQEWPRPSKIPYQPKAANSVTLSGYINMPVQFEAASDGKFWAGTVISQNPSHDSPPLWIPIIFEGDLAHTAAFHLKENDHVYIDGQLTADPPSNATRNQASVQVMVRAINFVDESSPKTKSIASVKTKRTSRHSDSTGKDAEPAANTWRDLLDNPKEWMDYREHKLNGSVKPKYPDFKRKDGVHSLWLDSAPKWVVPKLEGLKFDIPKVKPPKDLVEGSWKDLVENPNKWWDNRLQKINGKVKEKYPDFKHKETGKVLWVSDMPTWAESKLPPLNSKKWSGNP >KJB77977 pep chromosome:Graimondii2_0_v6:12:34030236:34033612:1 gene:B456_012G170600 transcript:KJB77977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLAKFAASQSHNASRRWLASQLFTTSTAAKRCSKSGILSDTKPPTAQEWPRPSKIPYQPKAANSVTLSGYINMPVQFEAASDGKFWAGTVISQNPSHDSPPLWIPIIFEGDLAHTAAFHLKENDHVYIDGQLTADPPSNATRNQASVQVMVRAINFVDESSPKTKSIASVKTKRTSRHSDSTGKDAEPAANTWRDLLDNPKEWMDYREHKLNGSVILSFDSSFAFFAMELVLIVLFNR >KJB77896 pep chromosome:Graimondii2_0_v6:12:33543127:33544928:1 gene:B456_012G164100 transcript:KJB77896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNDEGGTMVMEQQTGLHSRPNMGTLFQQSEPPSFSDDDDFHNRKSNASLSSPRYSTTTSGDGEVSPYMMSPWNSQPSSWHLQHHQPCPSENDFGQDGLIGSIVKEEGHIYSLAASGDMLYTGTDSKNIRVWKNLKEFSGFKAKSGLVKAIIILGDRVFTGHQDGKIRAWKASPTNPTVYKKFGSFPAIGHVIKSSVKPKNYVEVRRKCSVLRIKHFDAVSCLSLNEEQGLLYSGSWDKTLKVWRISDFKCMESIDGAHDDAINSVVDGFSGLVFTGSADGTVKSWYRELQEKGTKHSMVQQLLKQENAVTALAVSRESDTLYCGSSDGLVNFWEHTNRGLAHGGVLRGHKVAVLCMATAGKLVFSGSADKSICVWRREEGGIHACLSVLTGHTGPLKCLAVEEDHQMSTETNRKWIVYSGSLDKSVKVWRVSEHAPTMNEIKETYHIH >KJB75055 pep chromosome:Graimondii2_0_v6:12:2626439:2630172:1 gene:B456_012G021400 transcript:KJB75055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGGGKGDNVNGVNAESNKGGDNNWNLIENLTEIEVVANQDDDGVAGGKPCVGMEFESEDAGKTFYDGYARQLGFSTHVGQFTRATPDGPIVTWDFACSREVFKRKNVESCNAMFRIERKDGGKWIATKFVEDHNHSMVTPSKVHYLRPRRHLAGATKNVSETLEATADVYVSSDENHACYEATRIKNASSVMPNRLGRSMGPSGYFTPSSQRRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDDNRMTNVFWADARSRAAYNYFGDAVTFDTMYRPNQYQIPFAPFTGINHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDQPPVSIITDQDRAIQAAVSQVFPETRHCICKWHILREGQERLAHIYLAHPSFYGELYSCINFSETTEDFELSWGALLDKYDLHKNEWLQAVYNARKQWAPVYFRGTFFGTLSSNQGVSSFFNGYVNQQTTIPLFFKQYERALEDSLEKEIEADFDTICTTPVPKTPSPMEQQAANLYTKKVFSKFQEELVETFVYTANKIEGDGIVCKYRVAKYEHEHKAYFVALNISDMKASCTCQMFEYSGILCRHILTVFTVTNVLTLPSHYILKRWTRSAKSWVGLDEQHADPQGIETLTTRFNNLCQEALKLAEEGAMAPETYNAAINALKEAGKKITSVKKNVSKVRPPSSRTSGNNHEDGCKKTTSPVSKMIPSLWPWQDAIPPHFNLNDVGAPLTDLNQPSMVPVSLHRDTGPLDSTVVLTCFKSMTWVIENQNAMEAGKVAVINLKLHDYGKNPLGETEVQFRLTRVTLEPMLQSMAYISQQLSTPLNRVAVINLKV >KJB75053 pep chromosome:Graimondii2_0_v6:12:2626393:2634330:1 gene:B456_012G021400 transcript:KJB75053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGGGKGDNVNGVNAESNKGGDNNWNLIENLTEIEVVANQDDDGVAGGKPCVGMEFESEDAGKTFYDGYARQLGFSTHVGQFTRATPDGPIVTWDFACSREVFKRKNVESCNAMFRIERKDGGKWIATKFVEDHNHSMVTPSKVHYLRPRRHLAGATKNVSETLEATADVYVSSDENHACYEATRIKNASSVMPNRLGRSMGPSGYFTPSSQRRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDDNRMTNVFWADARSRAAYNYFGDAVTFDTMYRPNQYQIPFAPFTGINHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDQPPVSIITDQDRAIQAAVSQVFPETRHCICKWHILREGQERLAHIYLAHPSFYGELYSCINFSETTEDFELSWGALLDKYDLHKNEWLQAVYNARKQWAPVYFRGTFFGTLSSNQGVSSFFNGYVNQQTTIPLFFKQYERALEDSLEKEIEADFDTICTTPVPKTPSPMEQQAANLYTKKVFSKFQEELVETFVYTANKIEGDGIVCKYRVAKYEHEHKAYFVALNISDMKASCTCQMFEYSGILCRHILTVFTVTNVLTLPSHYILKRWTRSAKSWVGLDEQHADPQGIETLTTRFNNLCQEALKLAEEGAMAPETYNAAINALKEAGKKITSVKKNVSKVRPPSSRTSGNNHEDGCKKTTSPVSKMIPSLWPWQDAIPPHFNLNDVGAPLTDLNQPSMVPVSLHRDTGPLDSTVVLTCFKSMTWVIENQNAMEAGKVAVINLKLHDYGKNPLGETEVQFRLTRVTLEPMLQSMAYISQQLSTPLNRVAVINLKLQDTKTTSGETEVKFQVSKDTLGSMLRSMAYIREQL >KJB75054 pep chromosome:Graimondii2_0_v6:12:2626393:2634330:1 gene:B456_012G021400 transcript:KJB75054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGGGKGDNVNGVNAESNKGGDNNWNLIENLTEIEVVANQDDDGVAGGKPCVGMEFESEDAGKTFYDGYARQLGFSTHVGQFTRATPDGPIVTWDFACSREVFKRKNVESCNAMFRIERKDGGKWIATKFVEDHNHSMVTPSKVHYLRPRRHLAGATKNVSETLEATADVYVSSDENHACYEATRIKNASSVMPNRLGRSMGPSGYFTPSSQRRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDDNRMTNVFWADARSRAAYNYFGDAVTFDTMYRPNQYQIPFAPFTGINHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDQPPVSIITDQDRAIQAAVSQVFPETRHCICKWHILREGQERLAHIYLAHPSFYGELYSCINFSETTEDFELSWGALLDKYDLHKNEWLQAVYNARKQWAPVYFRGTFFGTLSSNQGVSSFFNGYVNQQTTIPLFFKQYERALEDSLEKEIEADFDTICTTPVPKTPSPMEQQAANLYTKKVFSKFQEELVETFVYTANKIEGDGIVCKYRVAKYEHEHKAYFVALNISDMKASCTCQMFEYSGILCRHILTVFTVTNVLTLPSHYILKRWTRSAKSWVGLDEQHADPQGIETLTTRFNNLCQEALKLAEEGAMAPETYNAAINALKEAGKKITSVKKNVSKVRPPSSRTSGNNHEDGCKKTTSPVSKMIPSLWPWQDAIPPHFNLNDVGAPLTDLNQPSMVPVSLHRDTGPLDSTVVLTCFKSMTWVIENQNAMEAGKVAVINLKLHDYGKNPLGETEVQFRLTRVTLEPMLQSMAYISQQLSTPLNRVAVINLKLQDTKTTSGETEVKFQVSKDTLGSMLRSMAYIREQL >KJB75056 pep chromosome:Graimondii2_0_v6:12:2626439:2634824:1 gene:B456_012G021400 transcript:KJB75056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGGGKGDNVNGVNAESNKGGDNNWNLIENLTEIEVVANQDDDGVAGGKPCVGMEFESEDAGKTFYDGYARQLGFSTHVGQFTRATPDGPIVTWDFACSREVFKRKNVESCNAMFRIERKDGGKWIATKFVEDHNHSMVTPSKVHYLRPRRHLAGATKNVSETLEATADVYVSSDENHACYEATRIKNASSVMPNRLGRSMGPSGYFTPSSQRRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDDNRMTNVFWADARSRAAYNYFGDAVTFDTMYRPNQYQIPFAPFTGINHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDQPPVSIITDQDRAIQAAVSQVFPETRHCICKWHILREGQERLAHIYLAHPSFYGELYSCINFSETTEDFELSWGALLDKYDLHKNEWLQAVYNARKQWAPVYFRGTFFGTLSSNQGVSSFFNGYVNQQTTIPLFFKQYERALEDSLEKEIEADFDTICTTPVPKTPSPMEQQAANLYTKKVFSKFQEELVETFVYTANKIEGDGIVCKYRVAKYEHEHKAYFVALNISDMKASCTCQMFEYSGILCRHILTVFTVTNVLTLPSHYILKRWTRSAKSWVGLDEQHADPQGIETLTTRFNNLCQEALKLAEEGAMAPETYNAAINALKEAGKKITSVKKNVSKVRPPSSRTSGNNHEDGCKKTTSPVSKMIPSLWPWQDAIPPHFNLNDVGAPLTDLNQPSMVPVSLHRDTGPLDSTVVLTCFKSMTWVIENQNAMEAGKVAVINLKLHDYGKNPLGETEVQFRLTRVTLEPMLQSMAYISQQLSTPLNRVAVINLKLQDTKTTSGETEVKFQVSKDTLGSMLRSMAYIREQL >KJB77178 pep chromosome:Graimondii2_0_v6:12:28707701:28713765:1 gene:B456_012G124000 transcript:KJB77178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLHCPVQMQSDIRTALHVAFSSIGSLSPFRRSTLTLPPRCLRPAASLGLLSRPFSTAASLPDKPSICTADELHYVSLPNSYWRLALWRYHPPPQATPRNHPLLLLSGVGTNAIGYDLSPESSFARYMSGQGFDTWILEVRGAGLSVQGSNFKEIKESANAVSEQMEAVAKGVTNGVSPVQQPNNVSDTLSDSEISHFGQDSTGIATAWDKSKLVSKLTEILMRLSERLSGFLSDGQSMLISAKLFDQISNLLEGSQLSDRFEEVRANLSNLLEKQQNSGVTSQIRDLSQRLVNIIEEGQRSVSPQFIDMQERLSSTIEDFQKQLDLIVKYDWDFDHYLEEDVPAAMEYIRAQTKPKDGKLLAVGHSMGGILLYAKLARCGFEGREPELKAVVTLASSLDYTSSNSTLKLLLPLADPAQALNVPVVPLGAMLAAAYPLSSRPPYILARLNNLISAEDMMHPELLKKLVLNNFCTIPAKLLLQLTSAFRERGLCDRSGKFFFKDHLHKSNVPVLAIAGDQDLICPPEAVEETVKLLPQNLVTYKIFGEHQGPHYAHYDLVGGRLAVEQVYPCIIQFLSQHDD >KJB78134 pep chromosome:Graimondii2_0_v6:12:34821765:34823308:1 gene:B456_012G179800 transcript:KJB78134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSTNIVRISSGEPEKPEENITYMDALLYRAAAEGRIEEFNSHPKLQLLKTPNHDKVLHIKFYRIILVKCPSLLLQKNAKGQTPLHVAARKGHSAIVKLLIRSCAKARDGDLEKLGMDQANAIREMLRITDQESDTALHVAAQYGDDEMVEGLLELEDPDFSYSANKNQKTPLYLAAEIGNRGVLSVLLDKSKSAGQGGPHGRTALHAAAMAEDAEATKIILKKKGNLTTERDEDGHTPLHYAAHKCCSSVVEELLKWDASAAYVCDRKLGMTPLLMAARQGHLQISQKIHSFCPDCCENVDKRGWNLLHFLAFRVYRPRIAIYFFTLSRKMECASIRNLMDWNNALGITPHQVYDAYQPRIARARKSLENSGQKEKKKQIEELLEDIALEEVAECPV >KJB78135 pep chromosome:Graimondii2_0_v6:12:34822048:34823847:1 gene:B456_012G179800 transcript:KJB78135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQANAIREMLRITDQESDTALHVAAQYGDDEMVEGLLELEDPDFSYSANKNQKTPLYLAAEIGNRGVLSVLLDKSKSAGQGGPHGRTALHAAAMAEDAEATKIILKKKGNLTTERDEDGHTPLHYAAHKCCSSVVEELLKWDASAAYVCDRKLGMTPLLMAARQGHLQISQKIHSFCPDCCENVDKRGWNLLHFLAFRVYRPRIAIYFFTLSRKMECASIRNLMDWNNALGITPHQVYDAYQPRIARARKSLENSGQKEKKKQIEELLEDIALEEVAECPV >KJB76446 pep chromosome:Graimondii2_0_v6:12:15192267:15195925:1 gene:B456_012G088700 transcript:KJB76446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDLIRPHVAVQAFVTACCRGFAEVVNTLMKCGVDASASHRQLLRSSKPSLHTNVDCTALVAAVVSRQASVVRLLLQARTPIDIKVSLGAWSWDTTTGEEFRVGAGLAEPYAISWCAVEYFEDSGAILRMLLQHLPLETLHHGRTLLHHAILCCNAGAVKVLLDCGANVECPVKTPKTEFCPIHMAARLGLSAALQSLINAGCDLNSKTDSGDTALMVCAKYKHEECVKVLTMAGADFGLVNVSGQSAGSIARSNQWSLSFQQAVLDAIKVGKIPKSSNVSVFSPLMFVAQAGDVQALKALIGSGEVNIDYQDDKGFSAVMVAALKGHVEAFRLLVYAGADVKLLNKSGETAFKLSELNQNRHLFEKVMLEFALEKGNRNAGGFYALHCAARHGVLDAVKLLTSRGYDVNVPDGNGYTPLMLAAREGHGSMCELLISHGANCYFKNAKGETALSLARKIVGLKNNAERVILDSLARSLVLEGTSVMKHTKGGKGNPHGKQMKMVGTTGVLQWGKSRKRNVICREAELGPSQAFERNRNGKGNANEPGVFRVVTTKNKEVHFMCEGGLEMAELWVRGIKLVTREAIFGKQPER >KJB76445 pep chromosome:Graimondii2_0_v6:12:15190500:15195925:1 gene:B456_012G088700 transcript:KJB76445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFSGSRQVVPVDYEAEVSQRLLDASLSGDLKSALECLADPFVDVNFVGTVCLKTRKTEVVLREESAAEVRFDYEEFKTDVTALFLAVHVGNLALVKKLLSIGADVNHKLFKGFATTVAVREGRLEILEILLKTGASQPACEEALLEASCHGQARLAELLMGSDLIRPHVAVQAFVTACCRGFAEVVNTLMKCGVDASASHRQLLRSSKPSLHTNVDCTALVAAVVSRQASVVRLLLQARTPIDIKVSLGAWSWDTTTGEEFRVGAGLAEPYAISWCAVEYFEDSGAILRMLLQHLPLETLHHGRTLLHHAILCCNAGAVKVLLDCGANVECPVKTPKTEFCPIHMAARLGLSAALQSLINAGCDLNSKTDSGDTALMVCAKYKHEECVKVLTMAGADFGLVNVSGQSAGSIARSNQWSLSFQQAVLDAIKVGKIPKSSNVSVFSPLMFVAQAGDVQALKALIGSGEVNIDYQDDKGFSAVMVAALKGHVEAFRLLVYAGADVKLLNKSGETAFKLSELNQNRHLFEKVMLEFALEKGNRNAGGFYALHCAARHGVLDAVKLLTSRGYDVNVPDGNGYTPLMLAAREGHGSMCELLISHGANCYFKNAKGETALSLARKIVGLKNNAERVILDSLARSLVLEGTSVMKHTKGGKGNPHGKQMKMVGTTGVLQWGKSRKRNVICREAELGPSQAFERNRNGKGNANEPGVFRVVTTKNKEVHFMCEGGLEMAELWVRGIKLVTREAIFGKQPER >KJB76447 pep chromosome:Graimondii2_0_v6:12:15193426:15195925:1 gene:B456_012G088700 transcript:KJB76447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQHLPLETLHHGRTLLHHAILCCNAGAVKVLLDCGANVECPVKTPKTEFCPIHMAARLGLSAALQSLINAGCDLNSKTDSGDTALMVCAKYKHEECVKVLTMAGADFGLVNVSGQSAGSIARSNQWSLSFQQAVLDAIKVGKIPKSSNVSVFSPLMFVAQAGDVQALKALIGSGEVNIDYQDDKGFSAVMVAALKGHVEAFRLLVYAGADVKLLNKSGETAFKLSELNQNRHLFEKVMLEFALEKGNRNAGGFYALHCAARHGVLDAVKLLTSRGYDVNVPDGNGYTPLMLAAREGHGSMCELLISHGANCYFKNAKGETALSLARKIVGLKNNAERVILDSLARSLVLEGTSVMKHTKGGKGNPHGKQMKMVGTTGVLQWGKSRKRNVICREAELGPSQAFERNRNGKGNANEPGVFRVVTTKNKEVHFMCEGGLEMAELWVRGIKLVTREAIFGKQPER >KJB76708 pep chromosome:Graimondii2_0_v6:12:23011918:23013321:1 gene:B456_012G103200 transcript:KJB76708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVPTFPFQFLLFQNTRKLHLHSPTTAPSTISSFTCSIKNNSSFTIVFSFIMENSPTVIMSQPPPPPPFFVSSPPDFQPDNMTNSTIIGSPPPLPFSSSPQIYPLTDQNASTVTIVTINQPPPFPDSPRSVDLSPLEFILALMAVITIPALIYSFFFAVKCPPWSSGERHHDSPEDLPGYNHGSSTVVEITERRREPVSGVKYQKETHSKEIGNECPVCLSVFADGEEVKQLSGCKHSFHTTCIDLWLNNHNNCPICRASVAVKRPNNNRTPPPGSGSTRDSDHHQGLPDAASLV >KJB76449 pep chromosome:Graimondii2_0_v6:12:15215877:15220188:1 gene:B456_012G089000 transcript:KJB76449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTCLHNKFNLSALPHLSLPSSKNPNPRFFSRKRNNLCLASTSDTLVTGSGKEVSSKKDAELGDLKSWMHKNGLPPCKVVLKERPSYDEKHRPIHYVAACEDLRAGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSDDELAYLTGSPTKAEILERAEGIKREYNELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVPLARRFALVPLGPPLLAYRSNCKAMLSAVAGAVELVVDRPYKAGEPIVVWCGPQPNSKLLINYGFVDDDNSYDRLVVEAALNTEDPQYQDKRLVVQRNGKLSVQAFPVYAGKEKEAVSDMLPYFRLGYVSDPSEMQSVLSSQGPVCPVSSCMERAVLDQLADYFKRRLAGYPTTLNEDESLLSDPNLNPKKRVATQLVRLEKKILHACLQATMDLIDQLPDHTVSPCPAPYAPLLK >KJB76451 pep chromosome:Graimondii2_0_v6:12:15215877:15220188:1 gene:B456_012G089000 transcript:KJB76451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSDDELAYLTGSPTKAEILERAEGIKREYNELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVPLARRFALVPLGPPLLAYRSNCKAMLSAVAGAVELVVDRPYKAGEPIVVWCGPQPNSKLLINYGFVDDDNSYDRLVVEAALNTEDPQYQDKRLVVQRNGKLSVQAFPVYAGKEKEAVSDMLPYFRLGYVSDPSEMQSVLSSQGPVCPVSSCMERAVLDQLADYFKRRLAGYPTTLNEDESLLSDPNLNPKKRVATQLVRLEKKILHACLQATMDLIDQLPDHTVSPCPAPYAPLLK >KJB76453 pep chromosome:Graimondii2_0_v6:12:15215877:15220188:1 gene:B456_012G089000 transcript:KJB76453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTCLHNKFNLSALPHLSLPSSKNPNPRFFSRKRNNLCLASTSDTLVTGSGKEVSSKKDAELGDLKSWMHKNGLPPCKVVLKERPSYDEKHRPIHYVAACEDLRAGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSDDELAYLTGSPTKAEILERAEGIKREYNELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVPLARRFALVPLGPPLLAYRSNCKAMLSAVAGAVELVVDRPYKAGEPIVVWCGPQPNSKLLINYGFVDDDNSYDRLVVEAALNTEDPQYQDKRLVVQRNGKLSVQAFPVYAGKEKEAVSDMLPYFRLGYVSDPSEMQSVLSSQGPVCPVSSCMERAVLDQLADYFKRRLAGYPTTLNEDESLLSDPNLNPKKRVATQLVRLEKKILHACLQATMDLIDQLPDHTVSPCPAPYAPLLK >KJB76452 pep chromosome:Graimondii2_0_v6:12:15215932:15219030:1 gene:B456_012G089000 transcript:KJB76452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTCLHNKFNLSALPHLSLPSSKNPNPRFFSRKRNNLCLASTSDTLVTGSGKEVSSKKDAELGDLKSWMHKNGLPPCKVVLKERPSYDEKHRPIHYVAACEDLRAGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSDDELAYLTGSPTKAEILERAEGIKREYNELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVPLARRFALVPLGPPLLAYRSNCKAMLSAVAGAVELVVDRPYKAGEPIVVWCGPQPNSKLLINYGFVDDDNSYDRLVVEAALNTEDPQYQDKRLVVQRNGKLSVQAFPVYAGKEKEAVSDMLPYFRLGYVSDPSEMQSVLSSQGPVCPVSSCMERAVLDQLADYFKRRLAGYPTTLNEDESLVMLVLLTKLMIRSQLMVK >KJB76450 pep chromosome:Graimondii2_0_v6:12:15215877:15220188:1 gene:B456_012G089000 transcript:KJB76450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTCLHNKFNLSALPHLSLPSSKNPNPRFFSRKRNNLCLASTSDTLVTGSGKEVSSKKDAELGDLKSWMHKNGLPPCKVVLKERPSYDEKHRPIHYVAACEDLRAGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSDDELAYLTGSPTKAEILERAEGIKREYNELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVPLARRFALVPLGPPLLAYRSNCKAMLSAVAGAVELVVDRPYKAGEPIVVWCGPQPNSKLLINYGFVDDDNSYDRLVVEAALNTEDPQYQDKRLVVQRNGKLSVQAFPVYAGKEKEAVSDMLPYFRLGYVSDPSEMQSVLSSQGPVCPVSSCMERAVLDQLADYFKRRLAGYPTTLNEDESLLSDPNLNPKKRVATQLVRLEKKILHACLQATMDLIDQLPDHTVSPCPAPYAPLLK >KJB76454 pep chromosome:Graimondii2_0_v6:12:15215559:15220436:1 gene:B456_012G089000 transcript:KJB76454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTCLHNKFNLSALPHLSLPSSKNPNPRFFSRKRNNLCLASTSDTLVTGSGKEVSSKKDAELGDLKSWMHKNGLPPCKVVLKERPSYDEKHRPIHYVAACEDLRAGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSDDELAYLTGSPTKAEILERAEGIKREYNELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVPLARRFALVPLGPPLLAYRSNCKAMLSAVAGAVELVVDRPYKAGEPIVVWCGPQPNSKLLINYGFVDDDNSYDRLVVEAALNTEDPQYQDKRLVVQRNGKLSVQAFPVYAGKEKEAVSDMLPYFRLGYVSDPSEMQSVLSSQGPVCPVSSCMERAVLDQLADYFKRRLAGYPTTLNEDESLLSDPNLNPKKRVATQLVRLEKKILHACLQATMDLIDQLPDHTVSPCPAPYAPLLK >KJB76905 pep chromosome:Graimondii2_0_v6:12:25176192:25184993:1 gene:B456_012G111600 transcript:KJB76905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASMDLNASPLPEDDEEIYERHIEHYSVPEEHVESAVEISRREREERRKKLKRDRSDDHPVHVSQPQVLDHFYQNRNPKFYDKSRLPPGWLDCPSAGQEIGCIIPSKVPLGESYNDCIPPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTSRYYQTTDLKKEGIKHVKIQCRGRDAVPDNMSVNTFVYEVSQFLLRQKSKKYILVHCTHGHNRTGYMIVHYLMRSQSMSVSQAIKFFSEARPPGIYKPDYIDALYTFYHERRPEMVICPPTPEWKRSSDLDLNGEAVPDDDDDGSPIALPENHETDVVLTNDDILGDEIPQDQLQSLRLFCYHTLKLNAGVRGNAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITVDGCYLIDRSFNFRRVQMRFPCRHPTEGIGDGTHHFTLLDGEMVIDTLPDSQKQERRYLIYDMMALNHTPIIERPFYERWKMLEKEVIEPRNYERHNIYQSRNPYYRYDLEPFRVRRKDFWLLSTVNKVLKEFIPKLSHEADGLIFQGWDDPYVPRTHEGLLKWKYARLNSVDFLFEIGSDDREQLFLFERGRKKLMEGNKVEFRDAPDPPSSFSGKIIECSWDPDEHVWVYMRIRTDKSTPNDINTFRKVMRSIRDNITEEILLNEINEIIQLSMYADRIRIDSKARMHANAVRRR >KJB76903 pep chromosome:Graimondii2_0_v6:12:25175980:25185005:1 gene:B456_012G111600 transcript:KJB76903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASMDLNASPLPEDDEEIYERHIEHYSVPEEHVESAVEISRREREERRKKLKRDRSDDHPVHVSQPQVLDHFYQNRNPKFYDKSRLPPGWLDCPSAGQEIGCIIPSKVPLGESYNDCIPPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTSRYYQTTDLKKEGIKHVKIQCRGRDAVPDNMSVNTFVYEVSQFLLRQKSKKYILVHCTHGHNRTGYMIVHYLMRSQSMSVSQAIKFFSEARPPGIYKPDYIDALYTFYHERRPEMVICPPTPEWKRSSDLDLNGEAVPDDDDDGSPIALPENHETDVVLTNDDILGDEIPQDQLQSLRLFCYHTLKLNAGVRGNAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITVDGCYLIDRSFNFRRVQMRFPCRHPTEGIGDGTHHFTLLDGEMVIDTLPDSQKQERRYLIYDMMALNHTPIIERPFYERWKMLEKEVIEPRNYERHNIYQSRNPYYRYDLEPFRVRRKDFWLLSTVNKVLKEFIPKLSHEADGLIFQGWDDPYVPRTHEGLLKWKYARLNSVDFLFEIGSDDREQLFLFERGRKKLMEGNKVEFRDAPDPPSSFSGKIIECSWDPDEHVWVYMRIRTDKSTPNDINTFRKVMRSIRDNITEEILLNEINEIIQLSMYADRIRIDSKARMHANAVRRR >KJB76906 pep chromosome:Graimondii2_0_v6:12:25178810:25184993:1 gene:B456_012G111600 transcript:KJB76906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVMFWFQLGLVIDLTNTSRYYQTTDLKKEGIKHVKIQCRGRDAVPDNMSVNTFVYEVSQFLLRQKSKKYILVHCTHGHNRTGYMIVHYLMRSQSMSVSQAIKFFSEARPPGIYKPDYIDALYTFYHERRPEMVICPPTPEWKRSSDLDLNGEAVPDDDDDGSPIALPENHETDVVLTNDDILGDEIPQDQLQSLRLFCYHTLKLNAGVRGNAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITVDGCYLIDRSFNFRRVQMRFPCRHPTEGIGDGTHHFTLLDGEMVIDTLPDSQKQERRYLIYDMMALNHTPIIERPFYERWKMLEKEVIEPRNYERHNIYQSRNPYYRYDLEPFRVRRKDFWLLSTVNKVLKEFIPKLSHEADGLIFQGWDDPYVPRTHEGLLKWKYARLNSVDFLFEIGSDDREQLFLFERGRKKLMEGNKVEFRDAPDPPSSFSGKIIECSWDPDEHVWVYMRIRTDKSTPNDINTFRKVMRSIRDNITEEILLNEINEIIQLSMYADRIRIDSKARMHANAVRRR >KJB76904 pep chromosome:Graimondii2_0_v6:12:25176872:25184347:1 gene:B456_012G111600 transcript:KJB76904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASMDLNASPLPEDDEEIYERHIEHYSVPEEHVESAVEISRREREERRKKLKRDRSDDHPVHVSQPQVLDHFYQNRNPKFYDKSRLPPGWLDCPSAGQEIGCIIPSKVPLGESYNDCIPPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTSRYYQTTDLKKEGIKHVKIQCRGRDAVPDNMSVNTFVYEVSQFLLRQKSKKYILVHCTHGHNRTGYMIVHYLMRSQSMSVSQAIKFFSEARPPGIYKPDYIDALYTFYHERRPEMVICPPTPEWKRSSDLDLNGEAVPDDDDDGSPIALPENHETDVVLTNDDILGDEIPQDQLQSLRLFCYHTLKLNAGVRGNAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITVDGCYLIDRSFNFRRVQMRFPCRHPTEGIGDGTHHFTLLDGEMVIDTLPDSQKQERRYLIYDMMALNHTPIIERPFYERWKMLEKEVIEPRNYERHNIYQSRNPYYRYDLEPFRVRRKDFWLLSTVNKVLKEFIPKLSHEADGLIFQGWDDPYVPRTHEGLLKWKYARLNSVDFLFEIGSDDREQLFLFERGRKKLMEGNKVEFRDAPDPPSSFSGKIIECSWDPDEHVWVYMRIRTDKSTPNDINTFRKVGLIC >KJB76539 pep chromosome:Graimondii2_0_v6:12:16767105:16770502:1 gene:B456_012G093900 transcript:KJB76539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKNRNYLQMGKKGGWFSIVKKALSPESKKSQHQTPKPKKKWFGKSKDLGPVSVPEETEVITEDVKLKEAENEQSKHAYSVALATAVAAEAAVAAAQAAAEVVRLTSQPRHLGKSKEEIAAIRIQTAFRGYLARRALRALRGLVRLKSLIRGQSVKRQATTTLRCMQTLARLQSEISARRIRMSEENQALQRQLQQKCQKELEKLRAPMGEDWNDSTQSKEQIEARQQNKQEATMKRERALAYAYCHQRSWKNCSRSVNQTFMDPSNSHWGWSWLERWMAARPWEVRSTTDNNDRGSVKSMGARSISISEISRAYSRRDLNNDNKPSPTPQKSSRVPSHQSPSTPPSRAPSISSVSGKTRLPNPRGSQWGGYEDSRSILSTRSDRYRRHSIAGSSMRDDESLTSSPAVPSYMAPTQSTKARSQIPSPLGSGTPDRRVAGSAKKRLLFSASPASSRRHSEPPKVDISEVRKNQHAPSNGRQVVW >KJB76538 pep chromosome:Graimondii2_0_v6:12:16767098:16770502:1 gene:B456_012G093900 transcript:KJB76538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGGWFSIVKKALSPESKKSQHQTPKPKKKWFGKSKDLGPVSVPEETEVITEDVKLKEAENEQSKHAYSVALATAVAAEAAVAAAQAAAEVVRLTSQPRHLGKSKEEIAAIRIQTAFRGYLARRALRALRGLVRLKSLIRGQSVKRQATTTLRCMQTLARLQSEISARRIRMSEENQALQRQLQQKCQKELEKLRAPMGEDWNDSTQSKEQIEARQQNKQEATMKRERALAYAYCHQRSWKNCSRSVNQTFMDPSNSHWGWSWLERWMAARPWEVRSTTDNNDRGSVKSMGARSISISEISRAYSRRDLNNDNKPSPTPQKSSRVPSHQSPSTPPSRAPSISSVSGKTRLPNPRGSQWGGYEDSRSILSTRSDRYRRHSIAGSSMRDDESLTSSPAVPSYMAPTQSTKARSQIPSPLGSGTPDRRVAGSAKKRLLFSASPASSRRHSEPPKVDISEVRKNQHAPSNGRQVVW >KJB77325 pep chromosome:Graimondii2_0_v6:12:31003887:31005862:1 gene:B456_012G136700 transcript:KJB77325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFKMDEDNERVVDCTTPNFNGSWAARWLRIGRFVPGCYTLAVSEALPEDLQNLCEEVHVQYVPPKRV >KJB77322 pep chromosome:Graimondii2_0_v6:12:31003839:31005937:1 gene:B456_012G136700 transcript:KJB77322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFKMDEDNERVVDCTTPNFNGIISVMDPSRSWAARWLRIGRFVPGCYTLAVSEALPEDLQNLCEEVHVQYVPPKRV >KJB77323 pep chromosome:Graimondii2_0_v6:12:31003843:31006755:1 gene:B456_012G136700 transcript:KJB77323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFKMDEDNERVVDCTTPNFNGIISVMDPSRSWAARWLRIGRFVPGCYTLAVSEALPEDLQNLCEEVHVQYVPPKRV >KJB77324 pep chromosome:Graimondii2_0_v6:12:31004029:31005054:1 gene:B456_012G136700 transcript:KJB77324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFKMDEDNERVVDCTTPNFNGIISVMDPSRSWAARWLRIGMFNTD >KJB77589 pep chromosome:Graimondii2_0_v6:12:32004873:32005481:-1 gene:B456_012G145700 transcript:KJB77589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASVLKTQSFIIFLILFFITIITSFSRLTVAYGYSELFGKYISPSSLGLKREKLSHLHFYLHDVLSGKNITGIRVVDGKPSTNRSSQFMFGDVYVIDDPLTIEPDINSKTVGKAQGTYTVASQGELSLLMVTNFAFTEGKYNGSTLSVLGRNEVLSTAREIPIVGGSGVFRFARGYAQVRTQSINQARAIVEYNVFVFHYR >KJB76364 pep chromosome:Graimondii2_0_v6:12:14173713:14176309:-1 gene:B456_012G084300 transcript:KJB76364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVTDLTTRIGRALISASNHATPNPTWTPSLEQTLLRLGCRESLTPSLVARVIDSFPFTHYSLALGFFNWASRKPGFSHDSISYQSILKSLSSSRQFNAIEALLKQVKAQKLSLDPSVYRFIISSLIKGKKTQNAIWVFNEVNSLSAELGSELCNSLLATSVSDGYFVESQKVFDEMFQKGIVFNTIGLGLFIWSTCKNGELSKVLSLLDEVKKGSSWDVNGSIIAVLVVHGLCFSSRESEAFWALDELRSRGCKPDFIAYSIVAEAFRMTNNVVERELVLKKKRKLGVAPRSNDYREFILGLILERRISEARDLGEAIVSGNFPIEDDVLNALIGSVSRIDPGSAIMFLNFMVGKGRLPTLSTLSNLSKNLCKRSKGDELWEVYQVLFSHGYFSDLESYNVMVSFFCRAGRLREAYKVLQEMKTKGLSPNVLFYNHLMEACCKEDLVRPAKRLWDEMFASGCPGNLSTYNILIGKLSQIGEVEEATRLFRHMAEKGVTPDATTYTALLEGLCQESDFKSAFEIFNKSVEQDVRLAQTILGTFVIHLCRKGQFPVASKLLCVLNSDIAHSDTHVVMLKWLADAKEIQLAIKHIQRVRGTSPSILQVIFSKLAASVSSTSGPNSTEQLLQAIQEEGPVGCKIY >KJB76411 pep chromosome:Graimondii2_0_v6:12:14977225:14980197:-1 gene:B456_012G087600 transcript:KJB76411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSVHKSSQESAMKLGLSLEPKTDSLIIPPSPVKEKPAAANGDFALKSQSSSTFKDLGSKDETFFDSRAYLDSDCEDDFVSVNGDFTPSHSNTPVHQSFSVGTSQVNKVGDDGSPGSVSETSPSGKKKKLVELFQESIRDDHDTSESNTSSNQDTANEKIVKPTIQDILPPKSADGTPYVSGANSCCSSERTVNGDNPIFKEKPLRSVQCCLPSLVSCSSFSERKKKMSPAIAANNKP >KJB76412 pep chromosome:Graimondii2_0_v6:12:14977874:14980098:-1 gene:B456_012G087600 transcript:KJB76412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSVHKSSQESAMKLGLSLEPKTDSLIIPPSPVKEKPAAANGDFALKSQSSSTFKDLGSKDETFFDSRAYLDSDCEDDFVSVNGDFTPSHSNTPVHQSFSVGTSQVNKVGDDGSPGSVSETSPSGKKKKLVELFQESIRDDHDTSESNTSSNQDTANEKIVKPTIQDILPPKSADGTPYVSGANSCCSSERTVNGDNPIFKEKPLRSVQCCLPSLVSCSSFSERKKKMSPAIAANNKP >KJB76413 pep chromosome:Graimondii2_0_v6:12:14977536:14980720:-1 gene:B456_012G087600 transcript:KJB76413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSVHKSSQESAMKLGLSLEPKTDSLIIPPSPVKEKPAAANGDFALKSQSSSTFKDLGSKDETFFDSRAYLDSDCEDDFVSVNGDFTPSHSNTPVHQSFSVGTSQVNKVGDDGSPGSVSETSPSGKKKKLVELFQESIRDDHDTSESNTSSNQDTANEKIVKPTIQDILPPKSADGTPYVSGANSCCSSERTVNGDNPIFKEKPLRSVQCCLPSLVSCSSFSERKKKMSPAIAANNKP >KJB76410 pep chromosome:Graimondii2_0_v6:12:14977047:14980720:-1 gene:B456_012G087600 transcript:KJB76410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSVHKSSQESAMKLGLSLEPKTDSLIIPPSPVKEKPAAANGSKDETFFDSRAYLDSDCEDDFVSVNGDFTPSHSNTPVHQSFSVGTSQVNKVGDDGSPGSVSETSPSGKKKKLVELFQESIRDDHDTSESNTSSNQDTANEKIVKPTIQDILPPKSADGTPYVSGANSCCSSERTVNGDNPIFKEKPLRSVQCCLPSLVSCSSFSERKKKMSPAIAANNKP >KJB78353 pep chromosome:Graimondii2_0_v6:12:14450663:14455627:1 gene:B456_012G085000 transcript:KJB78353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLAKNVLITSDGDEISVNIALHLAKRGCRLVLMGNECSLRSAKQKIMDSIMNVVVPEPVAVVGLDMDDEREGAFNDAVDKAWRAFGHLDALVNCHAYEGKMQDHLQLAEGEFRKIVKVNFMAPWYLLKAVGRRMRDRKSGGSVVFMTTILGAERGLYQGAAAYGSCLAGVQQLVRVSK >KJB78350 pep chromosome:Graimondii2_0_v6:12:14450527:14458702:1 gene:B456_012G085000 transcript:KJB78350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLAKNVLITSDGDEISVNIALHLAKRGCRLVLMGNECSLRSAKQKIMDSIMNVVVPEPVAVVGLDMDDEREGAFNDAVDKAWRAFGHLDALVNCHAYEGKMQDHLQLAEGEFRKIVKVNFMAPWYLLKAVGRRMRDRKSGGSVVFMTTILGAERGLYQGAAAYGSCLAGVQQLVRVSALEIGKHNIRVNAIARGLHLEDEFPKSVGKDRAEKLVKEAAPLQRWLDVKKDLASTVIYLISDGSRYMTGTTIFVDGAQSIVRPRMRSYI >KJB78355 pep chromosome:Graimondii2_0_v6:12:14455014:14458642:1 gene:B456_012G085000 transcript:KJB78355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDHLQLAEGEFRKIVKVNFMAPWYLLKAVGRRMRDRKSGGSVVFMTTILGAERGLYQGAAAYGSCLAGVQQLVRVSALEIGKHNIRVNAIARGLHLEDEFPKSVGKDRAEKLVKEAAPLQRWLDVKKDLASTVIYLISDGSRYMTGTTIFVDGAQSIVRPRMRSYI >KJB78352 pep chromosome:Graimondii2_0_v6:12:14450558:14458637:1 gene:B456_012G085000 transcript:KJB78352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFWTLGCSCQLSCLRRWMQDHLQLAEGEFRKIVKVNFMAPWYLLKAVGRRMRDRKSGGSVVFMTTILGAERGLYQGAAAYGSCLAGVQQLVRVSALEIGKHNIRVNAIARGLHLEDEFPKSVGKDRAEKLVKEAAPLQRWLDVKKDLASTVIYLISDGSRYMTGTTIFVDGAQSIVRPRMRSYI >KJB78349 pep chromosome:Graimondii2_0_v6:12:14451078:14458637:1 gene:B456_012G085000 transcript:KJB78349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKLVLIRLVLMGNECSLRSAKQKIMDSIMNVVVPEPVAVVGLDMDDEREGAFNDAVDKAWRAFGHLDALVNCHAYEGKMQDHLQLAEGEFRKIVKVNFMAPWYLLKAVGRRMRDRKSGGSVVFMTTILGAERGLYQGAAAYGSCLAGVQQLVRVSALEIGKHNIRVNAIARGLHLEDEFPKSVGKDRAEKLVKEAAPLQRWLDVKKDLASTVIYLISDGSRYMTGTTIFVDGAQSIVRPRMRSYI >KJB78354 pep chromosome:Graimondii2_0_v6:12:14450527:14458642:1 gene:B456_012G085000 transcript:KJB78354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKLVLIRLVLMGNECSLRSAKQKIMDSIMNVVVPEPVAVVGLDMDDEREGAFNDAVDKAWRAFGHLDALVNCHAYEGKMQDHLQLAEGEFRKIVKVNFMAPWYLLKAVGRRMRDRKSGGSVVFMTTILGAERGLYQGAAAYGSCLAGVQQLVRVSALEIGKHNIRVNAIARGLHLEDEFPKSVGKDRAEKLVKEAAPLQRWLDVKKDLASTVIYLISDGSRYMTGTTIFVDGAQSIVRPRMRSYI >KJB78351 pep chromosome:Graimondii2_0_v6:12:14450558:14458637:1 gene:B456_012G085000 transcript:KJB78351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEREGAFNDAVDKAWRAFGHLDALVNCHAYEGKMQDHLQLAEGEFRKIVKVNFMAPWYLLKAVGRRMRDRKSGGSVVFMTTILGAERGLYQGAAAYGSCLAGVQQLVRVSALEIGKHNIRVNAIARGLHLEDEFPKSVGKDRAEKLVKEAAPLQRWLDVKKDLASTVIYLISDGSRYMTGTTIFVDGAQSIVRPRMRSYI >KJB77003 pep chromosome:Graimondii2_0_v6:12:26840993:26844034:-1 gene:B456_012G116200 transcript:KJB77003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSCSSNIISHKSKSYHVILLVSLILILLFKRFIYNGDFRLLARSNVLFRPIGIIEEADDEDRVKLPPKGCDIFTGNWVFDNKTHPLYKEDECEFLSQQVTCIRNGRQDSLYQSWRWQPRDCSLPKFKPRLMLEKLRGKRVMFVGDSLNRNQWESMVCLLQSSISPGKKSLKMSDSLVIFTIEEYNTTVEFYWAPFLVESNSDNPWRHTIKDRIIMPESIKNGGNDWKGVDYLIFNTYIWWMDTGYIKVLRGSFNADPIEYDEIERPVAFRKVLKTWAKWIEENVDPKFTSVYFMSMSPLHIKSSDWNNSDGIRCAKETLPVTNVFKPPNVGTDHRLFRMVANVTESMKVPVFFLDITTLSEYRKDAHASVYTTRQGKLMTPEQQADPATYADCIHWCLPGLPDTWNELLFAHIILHS >KJB76620 pep chromosome:Graimondii2_0_v6:12:19852925:19866600:-1 gene:B456_012G097300 transcript:KJB76620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVYGEMESQCSTDKGDGTVAGFGRGVEVVDIKKLSAEERHVLIEKLIKNIEKDNLQLLQKTRKRLDRVGVKLPTVEVRYRNLSVEAECDVVHGKPLPTLWNCLLSVLCYPAVRLFGFKTHRAKISIINNVSGIIKPGRMNLLLGPPGCGKTSLLKALSANLNGSLKVSGEVSYNGYKLEEFVPQKTSAYISQDDLHIPELTVRETLDFSARCQGLGNRQELMMEVSRREKEAGIVPDPDIDAYMKATWESRTLQTDYILKILGLDICAGTIVGDALQRGISGGQKKRVTTGEIIVGPIKTLFMDEITNGLDSSTAFQIVSCLQQLVHITDATLLVALLQPSPETFELFDDIILMAEGKILYHGPRDAVVEFFESCGFRCPQRKEIVDFLQEVLSKKDQAQYWYNTELPYSYISADVFSENFRASPLRKRIEEDLSEPYDKSQCHKNALSFNFYSVSRWEIFRACMSREVLLMRRNKYLYIFKFTQVVIIAIVTMTSFLKSGMDIDALHANYYLGAIFYAVLILLVDEFSELAVTVSRLSSFYKQKTLGFYPAWAFAIPCIILQIPVSFFQSLVWTSLTYYVIGYSPQVWRFFRQFLVYFTMQVSSASLFRFLASVCQTMDSSIAAATLIIFTKQIVCGFIIPQSSMPSWLRWVFWVSPATYATIGLSGNEFHAPRWQRVQETNSTLGQEILKSHGFDFEDYFFWISIAVLLGFALVWNIGFTLALSFLNPPGSSRVVISHEKLSTLRKGDSLNGSDKEDVSKKEESSKGRMVLPFDSSTLTFQNVQYCVDTPQEMRKGGYAQRKLKILSDITGAARPGVLTALMGATGAGKTTLLDVLAGRKTIGCIEGEIRVNGYPKVQETFVRISGYCEQNDVHSPQITVKESLIFSAWLRLPACIDSKTKTEFVQEVIETIELGGVKDALVGTPGLRGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLATRAAAIVMRAVKNVADTGRTIVCTIHQPSNDIFESFDELIFLKHGGSVIYYGPIGPHSSKVTEYFENIPGVPKIKDNCNPATWILEVASASAERELGIDFAEIYKNSALYENNIELVRQLSNPAPGPGSRELHFPTQFAQNYWGQIKSCLWKLHLSYWRTPSYNLMRFFFTISVSLILGLLFWNQGTKINNQQSLFNIFGSMYGAVIFLGCNSGSAVQPFVATERIIMYRERFAGMYCSWCYTLAQVLIEIPYLFTQAVVFVILTYSMIGYNVTTYKVFWYFYAIFSTLLGFNYLGMLLVALTPNVAIAGALTSFFYPLFNLFSGFLLPKPKIPGWWIWMYYLVPTSWTLDVLLTSQFGDVNDEIVVYTQTTTIAKLLDQYFGFGNHHIMLSAVVLSSYPLIYSSLFVFFIKRLNFERR >KJB76619 pep chromosome:Graimondii2_0_v6:12:19852780:19866393:-1 gene:B456_012G097300 transcript:KJB76619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLLGPPGCGKTSLLKALSANLNGSLKVSGEVSYNGYKLEEFVPQKTSAYISQDDLHIPELTVRETLDFSARCQGLGNRQELMMEVSRREKEAGIVPDPDIDAYMKATWESRTLQTDYILKILGLDICAGTIVGDALQRGISGGQKKRVTTGEIIVGPIKTLFMDEITNGLDSSTAFQIVSCLQQLVHITDATLLVALLQPSPETFELFDDIILMAEGKILYHGPRDAVVEFFESCGFRCPQRKEIVDFLQEVLSKKDQAQYWYNTELPYSYISADVFSENFRASPLRKRIEEDLSEPYDKSQCHKNALSFNFYSVSRWEIFRACMSREVLLMRRNKYLYIFKFTQVVIIAIVTMTSFLKSGMDIDALHANYYLGAIFYAVLILLVDEFSELAVTVSRLSSFYKQKTLGFYPAWAFAIPCIILQIPVSFFQSLVWTSLTYYVIGYSPQVWRFFRQFLVYFTMQVSSASLFRFLASVCQTMDSSIAAATLIIFTKQIVCGFIIPQSSMPSWLRWVFWVSPATYATIGLSGNEFHAPRWQRVQETNSTLGQEILKSHGFDFEDYFFWISIAVLLGFALVWNIGFTLALSFLNPPGSSRVVISHEKLSTLRKGDSLNGSDKEDVSKKEESSKGRMVLPFDSSTLTFQNVQYCVDTPQEMRKGGYAQRKLKILSDITGAARPGVLTALMGATGAGKTTLLDVLAGRKTIGCIEGEIRVNGYPKVQETFVRISGYCEQNDVHSPQITVKESLIFSAWLRLPACIDSKTKTEFVQEVIETIELGGVKDALVGTPGLRGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLATRAAAIVMRAVKNVADTGRTIVCTIHQPSNDIFESFDELIFLKHGGSVIYYGPIGPHSSKVTEYFENIPGVPKIKDNCNPATWILEVASASAERELGIDFAEIYKNSALYENNIELVRQLSNPAPGPGSRELHFPTQFAQNYWGQIKSCLWKLHLSYWRTPSYNLMRFFFTISVSLILGLLFWNQGTKINNQQSLFNIFGSMYGAVIFLGCNSGSAVQPFVATERIIMYRERFAGMYCSWCYTLAQVLIEIPYLFTQAVVFVILTYSMIGYNVTTYKVFWYFYAIFSTLLGFNYLGMLLVALTPNVAIAGALTSFFYPLFNLFSGFLLPKPKIPGWWIWMYYLVPTSWTLDVLLTSQFGDVNDEIVVYTQTTTIAKLLDQYFGFGNHHIMLSAVVLSSYPLIYSSLFVFFIKRLNFERR >KJB76621 pep chromosome:Graimondii2_0_v6:12:19852780:19866719:-1 gene:B456_012G097300 transcript:KJB76621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVYGEMESQCSTDKGDGTVAGFGRGVEVVDIKKLSAEERHVLIEKLIKNIEKDNLQLLQKTRKRLDRVGVKLPTVEVRYRNLSVEAECDVVHGKPLPTLWNCLLSVLCYPAVRLFGFKTHRAKISIINNVSGIIKPGRMNLLLGPPGCGKTSLLKALSANLNGSLKVSGEVSYNGYKLEEFVPQKTSAYISQDDLHIPELTVRETLDFSARCQGLGNRQELMMEVSRREKEAGIVPDPDIDAYMKATWESRTLQTDYILKILGLDICAGTIVGDALQRGISGGQKKRVTTGEIIVGPIKTLFMDEITNGLDSSTAFQIVSCLQQLVHITDATLLVALLQPSPETFELFDDIILMAEGKILYHGPRDAVVEFFESCGFRCPQRKEIVDFLQEVLSKKDQAQYWYNTELPYSYISADVFSENFRASPLRKRIEEDLSEPYDKSQCHKNALSFNFYSVSRWEIFRACMSREVLLMRRNKYLYIFKFTQVVIIAIVTMTSFLKSGMDIDALHANYYLGAIFYAVLILLVDEFSELAVTVSRLSSFYKQKTLGFYPAWAFAIPCIILQIPVSFFQSLVWTSLTYYVIGYSPQVWRFFRQFLVYFTMQVSSASLFRFLASVCQTMDSSIAAATLIIFTKQIVCGFIIPQSSMPSWLRWVFWVSPATYATIGLSGNEFHAPRWQRVQETNSTLGQEILKSHGFDFEDYFFWISIAVLLGFALVWNIGFTLALSFLNPPGSSRVVISHEKLSTLRKGDSLNGSDKEDVSKKEESSKGRMVLPFDSSTLTFQNVQYCVDTPQEMRKGGYAQRKLKILSDITGAARPGVLTALMGATGAGKTTLLDVLAGRKTIGCIEGEIRVNGYPKVQETFVRISGYCEQNDVHSPQITVKESLIFSAWLRLPACIDSKTKTEFVQEVIETIELGGVKDALVGTPGLRGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLATRAAAIVMRAVKNVADTGRTIVCTIHQPSNDIFESFDELIFLKHGGSVIYYGPIGPHSSKVTEYFENIPGVPKIKDNCNPATWILEVASASAERELGIDFAEIYKNSALYENNIELVRQLSNPAPGPGSRELHFPTQFAQNYWGQIKSCLWKLHLSYWRTPSYNLMRFFFTISVSLILGLLFWNQGTKINNQQSLFNIFGSMYGAVIFLGCNSGSAVQPFVATERIIMYRERFAGMYCSWCYTLAQKIPGWWIWMYYLVPTSWTLDVLLTSQFGDVNDEIVVYTQTTTIAKLLDQYFGFGNHHIMLSAVVLSSYPLIYSSLFVFFIKRLNFERR >KJB76607 pep chromosome:Graimondii2_0_v6:12:19651747:19652063:1 gene:B456_012G0969002 transcript:KJB76607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLGVGKWKPSSQKATDKLECVSNNSNVKFFRIDLQNSSQ >KJB76965 pep chromosome:Graimondii2_0_v6:12:26511020:26513468:-1 gene:B456_012G114800 transcript:KJB76965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRGRYPSVLSRGRGANANPSFQSRPEQPQYAQRNLVQNHHHFQQQQHHHHHLQQQQHQQQWLRRNQLPGQNDSTVADEVEKTVQSEAVDSSSQDWKARLKMPLSNTRYKTEEESIPIALTGSDILARVKNGTGKTAAFCIPALEKIDQDNNVIQAASKFREFEAVYGKHQSKGSEDKLPMQSSHVVFIRELISLTRLKTCDEGTCIRSLTQLQHRARMLLHQH >KJB78123 pep chromosome:Graimondii2_0_v6:12:34778507:34780026:1 gene:B456_012G1794002 transcript:KJB78123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSINIMLISSCEPREPEENITHMDAWLYKAAAEGNIEVFNNNQGLQLESLKTPNHDNVLHLNLATQENAVSLFNRFLSKFMFFPVQYEFCSPSLPNLITRLRGEKRSDFIEQFLSKCPSLLLQTNAKGQTPLHVAARYGHSAIVKLLIKSCAKPRDGDLEKLGTDQVNAVREMLRIRDQESSTALHEAARCGNVEVVKALLEFEDPDFPYSANEKQETPLYIAARRGSGPLLTLLFDKFKSTTHGGSHGRTALHAAAMAGDAEAVKVILKKKGNLTKERDEDGHTPLHYAAHLGLRISVVEELLKWDVSAAYIGDKKRGMTPLLMATRQGNDGIVSKILSLCPDCCEKVDNKGLNFLHYLAFRGSSSPLGHSTFKYKVFKLAGVEIAYGSVNNLMEWECDFGMTPQEVYSTLHYKRYHHKE >KJB75445 pep chromosome:Graimondii2_0_v6:12:5334119:5336801:1 gene:B456_012G042300 transcript:KJB75445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKYTPKNILITGAAGFIASHVCNRLIRNYPDYKIVVLDKLDYCSSLKNLDRSRSSPNFKFIKGDIASADLVHFILQTEFIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGTNQFPEKLIPKFILLAMNGKILPIHGDGSNVRSYLYCEDVAEAFEVILHRGEVGHVYNIGTKKERRVFDVARDICRLFNLDPESQIKFVENRPFNDQRYFLDDQKLKSLGWYERTTWEEGLKKTMEWYVSNPDWWGDVSGALLPHPRMLMLPGIERQFNAPDSSNSGLGSAPVTNKFNQSRMLVPSPKHNIPSQKPSLKFLIYGRTGWIGGLLGKLCEKQGIPFEYGKGRLEQRSQLLDDIQTVKPTHVFNAAGVTGRPNVDWCETHKPETIRTNVVGTLTLADICREHDLLMINYATGCIFEYDATHPLGTGVSFKEEDKPNFTGSFYSKTKAVVEELLREFDNVCTLRVRMPISSDLSNPRNFITKITRYNKVVDISNSMTILDELLPVSIEMAKRNLRGIWNFTNPGVVSHNEILQMYKDYIDPNFNWVNFSLQEQAKVIVAPRSNNELDASKLKNEFPELLSIKDSLIKYVFEPNRKTFAWELSN >KJB75708 pep chromosome:Graimondii2_0_v6:12:6901834:6902785:-1 gene:B456_012G052700 transcript:KJB75708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casparian strip membrane protein 5 [Source:Projected from Arabidopsis thaliana (AT5G15290) UniProtKB/Swiss-Prot;Acc:Q9LXF3] MAKGNGVERKSHAVQKGVSVMDLIFRILALIGTLGSAFAMATTNQRLPFFNSFTQFKARYRDIPAFIFFVAANGIVSAYLLLSLPFSFFHILRSSAQRTRLILMFFDMAMLVILTAGASAAAAIVYLAHIGNPNWNWFSFCRQFHSFCERSSGSLIGSFVAVIFMTLVIILAGVALARRP >KJB74569 pep chromosome:Graimondii2_0_v6:12:14845321:14845969:-1 gene:B456_012G087100 transcript:KJB74569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEWGTIIVGGRPGHRCSFLYNSQQLSYLTTWLRQGNPIIIPMTLELLERVLFFPADAIIVGWHKMSLKNSIKLAILGNSDGHMILTQNMKATKMQSFQPLNFLP >KJB77582 pep chromosome:Graimondii2_0_v6:12:31917878:31919827:1 gene:B456_012G145100 transcript:KJB77582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHVAIDLNSVKASLHPVEWLWNDIENGGKVDAFITYNSSTKNLSVLLCDADGLTHQNSSSLSAILDLSLYLPEWVTFGFSGSTGRLSELHTIYSWDFSSSLQVSMNPTSNPPNVAPGPPINPKRKSKTWQWVVLAIAGGIFALLLVLGLASLFCKRRKYRRMRENGTMSANVDMEMVTAPRKFSYRELWLATNNFADKGLLGEGGFGKVYLGFLRDINSNIAVKRITPNSQQGVKEYESEITTIIRLRHRNLVQLIGWCHDNKVFLIVYEFLPNRSLDYHLHREPCLLTWDTRYKIAMGVASALFYLQEECDQCVLHRDIKSSNVLLDLSYNAKLGDFGLARLVDHGQGSQTTKLILGTDGYIAPECLETYKAIKESDIYGFGIVALEIASGKKAVAVIERNGKRFKTKLVEWVWELYREERLLDAADPRLSGNYDTEQMERLLLVGMACAHPNYFDRPSITQAIEILGSKAPPPSLPREMPVPIYSAALQDNIVTPSAATSSYTSASSRSQTQSSGTVLSIHSFKDKTMAPGYDTEAKQ >KJB77672 pep chromosome:Graimondii2_0_v6:12:32404250:32406426:-1 gene:B456_012G150200 transcript:KJB77672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPHVDTMSENPPTPTYSVAGHQDPIPASGIPGVQSHPMTIHHHMRHQPYIPHNLSRIPSAPLPGQWTSGLCHCFDDPVNCAITCVCPCITFGQITEIINRGSKSCVSRGFLFGMLAMVGGACFYSCFYRSKLRGQYDLPEEPCTDCLVHFCCAACALCQEYRELKNRGFDMGIGWEANMDRQKRGVTMAPIVVPGMAR >KJB77671 pep chromosome:Graimondii2_0_v6:12:32401759:32406403:-1 gene:B456_012G150200 transcript:KJB77671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPHVDTMSENPPTPTYSVAGHQDPIPASGIPGVQSHPMTIHHHMRHQPYIPHNLSRIPSAPLPGQWTSGLCHCFDDPVNCAITCVCPCITFGQITEIINRGSKSCVSRGFLFGMLAMVGGACFYSCFYRSKLRGQYDLPEEPCTDCLVHFCCAACALCQEYRELKNRGFDMGIGSSGEK >KJB76379 pep chromosome:Graimondii2_0_v6:12:14617858:14618498:1 gene:B456_012G085800 transcript:KJB76379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRRVWIAMALPLVFMLPNSSCLLFPGNENKSPGDSNTNSTTPIRTGAQSAIKNSSLPPTILRRASEISYKSSLFFQRRKVKLLDCFWVGLWPRGLKDET >KJB76640 pep chromosome:Graimondii2_0_v6:12:20362736:20365246:1 gene:B456_012G098100 transcript:KJB76640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDEVALACLISRILFAILGLVSFSILWAVNWRPWRIYSGTYQEEATEMRRTELDLTLEPIYSCHLGCFAA >KJB76926 pep chromosome:Graimondii2_0_v6:12:25921574:25923858:-1 gene:B456_012G113100 transcript:KJB76926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKNFGCRLVNRSKSPVVFMILFALLMFSMLADSIVSKISLESAAFNQKRDYQALQSPKLVRNIKRNVVFPLPLTVSAQNKIIRVDNEHGIGKFRRRRLPEYDIFTSDELTKKFHGRVLEFFNHGCEVHFFMTWIAKVGSFGRREIMAVESVFKAHPNGCLMILSRTMDSVQGYRILKPLLDRGFKVLAVAPDFPFLVKNTPAEAWLDDMKSGKKDPGEIPLAQNLSNLLRLAVLYKYGGVYLDTDFIVLRSFKGLKNTIGAQSIDVVSKNWTRLNNAVLVFDMNHPLVLKFIEEFALTFDGNKWGHNGPYMVSRVVRGVEGRAGYNFTILPPMAFYPVDWMKIGSFFKLPKDSAGWRWIEAKVWQLKRQTYGVHLWNKQSSKLMVEEGSVMGRLMGENCVLCKHVYSS >KJB77444 pep chromosome:Graimondii2_0_v6:12:31077273:31083038:-1 gene:B456_012G137500 transcript:KJB77444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIHFVLLISRQGKVRLTKWYSTYSKKERSKVLRELSGVILTRGPRLCNFVEWRGLKVVYKRYASLYFCMCIDPDGNELEILEIIHHYVEVLDRYFGSVCELDLIYNFHKAYYILDEILISGELQESSKRTVVRSVAAQDSLVEAAKEETGSTISNIIAHAAK >KJB76457 pep chromosome:Graimondii2_0_v6:12:15242595:15244689:-1 gene:B456_012G089200 transcript:KJB76457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAGVLSLPYALSELGWGPGVAILVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLIVEVGVCIVYMVTGGKSLEKFHDTVCSTCKQIKLTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWGASVAKGVQPEVQYGYKAKTAAGTVFNFFSGLGDIAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVIVAYIVVALCYFPVALIGYWMFGNSIEDNILISLEKPAWLIAMANIFVVIHVIGSYQIYAMPVFDMIETVLVKKLNFRPSTTLRFFVRNFYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIIWLAIYKPKKFGLSWWTNWICIVFGVCLMVLSPIGGLRQIILQAKEYEFYS >KJB76456 pep chromosome:Graimondii2_0_v6:12:15242595:15244331:-1 gene:B456_012G089200 transcript:KJB76456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLIVEVGVCIVYMVTGGKSLEKFHDTVCSTCKQIKLTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWGASVAKGVQPEVQYGYKAKTAAGTVFNFFSGLGDIAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVIVAYIVVALCYFPVALIGYWMFGNSIEDNILISLEKPAWLIAMANIFVVIHVIGSYQIYAMPVFDMIETVLVKKLNFRPSTTLRFFVRNFYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIIWLAIYKPKKFGLSWWTNWICIVFGVCLMVLSPIGGLRQIILQAKEYEFYS >KJB76064 pep chromosome:Graimondii2_0_v6:12:10185912:10191072:-1 gene:B456_012G069400 transcript:KJB76064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLRAGSSVAKLAIRRTLSQGGSYAARSRIVPSQSRYFHTTVFKSKAQTAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPDSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPAFYGFTEADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMHIAERDKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTRPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDEDRTKNMAILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALQIYRNKLLESGQVTDDDIGNISQKVSTILNDEFLASKDYVPKRRDWLSAYWTGFKSPEQISRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYEQRAQMIETGEGLDWAMGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVIHDQETGEQYCPLDHVIINQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVMWEAQFGDFANGAQVIFDQFISSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERYLQMSDDNPFVIPEMDTTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNMHSDLEEGIRRLVLCSGKVYYELDDERKKNNATDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYNYIAPRLATAMTALGRGTFDDIRYIGRAPSASTATGFYSVHVKEQTELVQKAIQPEPIKTNTTI >KJB76062 pep chromosome:Graimondii2_0_v6:12:10185948:10191959:-1 gene:B456_012G069400 transcript:KJB76062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLRAGSSVAKLAIRRTLSQGGSYAARSRIVPSQSRYFHTTVFKSKAQTAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPDSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPAFYGFTEADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMHIAERDKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTRPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDEDRTKNMAILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALQIYRNKLLESGQVTDDDIGNISQKVSTILNDEFLASKDYVPKRRDWLSAYWTGFKSPEQISRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYEQRAQMIETGEGLDWAMGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVIHDQETGEQYCPLDHVIINQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVMWEAQFGDFANGAQVIFDQFISSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERYLQMSDDNPFVIPEMDTTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNMHSDLEEGIRRLVLCSGKVYYELDDERKKNNATDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYNYIAPRLATAMTALGRGTFDDIRYIGRAPSASTATGFYSVHVKEQTELVQKAIQPEPIKTNTTI >KJB76063 pep chromosome:Graimondii2_0_v6:12:10185948:10191860:-1 gene:B456_012G069400 transcript:KJB76063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLRAGSSVAKLAIRRTLSQGGSYAARSRIVPSQSRYFHTTVFKSKAQTAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPDSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPAFYGFTEADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMHIAERDKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTRPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDEDRTKNMAILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALQIYRNKLLESGQVTDDDIGNISQKVSTILNDEFLASKDYVPKRRDWLSAYWTGFKSPEQISRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYEQRAQMIETGEGLDWAMGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVIHDQETGEQYCPLDHVIINQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVMWEAQFGDFANGAQVIFDQFISSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERYLQMSDDNPFVIPEMDTTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNMHSDLEEGIRRLVLCSGKVYYELDDERKKNNATDVAICRVEQLCPFPYDLIQRELKRYPSMLDCLVPGRTDEHGCIQLHCTSSCHCNDCFGQRNL >KJB75163 pep chromosome:Graimondii2_0_v6:12:3473845:3479955:1 gene:B456_012G028200 transcript:KJB75163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNVILFTDPQESVTADDDDQGEGRGPSIAERRAATCGFKAEMICTPTFKSPLGPTSPRLPYFTISPGISPTALLDSPIMLPNAQASPTTGTFHNHDDTVVNHIKGDRDRSLVSSLTCKTQNMDSRPSLSSVEDQVSSAFNLVQNAEVDPQPLSHLDRPLDLKIPSSLSKEATSRSFAADSVADVGILNDIVNDNANLGFHPSELGSGQTLRQKESLNGQDVCTRLLEGDQKVTNTAMGTTRTSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSLDGQITEIIYKGAHNHSKPQPCRRPSLGSTLSSEEMSESVEGNGTSVKVGGGLIWRNSQAGSKEIKLGSDWRADGLERTSSSIVTDLSDPLSTAQGKSVGMFESAETPEFSSTLASHDDENDDRATQGSISLCGDAANDDESESKRRKTESCMTEMNVASSASREPRVVVQIESDVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSPGCPVRKHVERASHNLKYVLTTYDGKHNHEVPAARNSSHVNSSGCNLPPTMPNTQAALSLSRNTHPLKPETPIQDFAPPFDRKPEFKSEYVRPGFLGDFSNEMKLGEAALASVYQMKFPSIQKAIPYGSFGLNPNCIASHSSSSIASTIPNFPISLPLNLPTPANLSLAGFDMNNVGKSTGPIHSFLPGQQLKENGVRFHGIKQELKDDNLYDPSLPIVDHASVSSSSVYQYAIGKFPS >KJB75164 pep chromosome:Graimondii2_0_v6:12:3473845:3479955:1 gene:B456_012G028200 transcript:KJB75164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNVILFTDPQESVTADDDDQGEGRGPSIAERRAATCGFKAEMICTPTFKSPLGPTSPRLPYFTISPGISPTALLDSPIMLPNAQASPTTGTFHNHDDTVVNHIKGDRDRSLVSSLTCKTQNMDSRPSLSSVEDQVSSAFNLVQNAEVDPQPLSHLDRPLDLKIPSSLSKEATSRSFAADSVADVGILNDIVNDNANLGFHPSELGSGQTLRQKESLNGQDVCTRLLEGDQKVTNTAMGTTRTSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSLDGQITEIIYKGAHNHSKPQPCRRPSLGSTLSSEEMSESVEGNGTSVKVGGGLIWRNSQAGSKEIKLGSDWRADGLERTSSSIVTDLSDPLSTAQGKSVGMFESAETPEFSSTLASHDDENDDRATQGSISLCGDAANDDESESKRRKTESCMTEMNVASSASREPRVVVQIESDVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSPGCPVRKHVERASHNLKYVLTTYDGKHNHEVPAARNSSHVNSSGCNLPPTMPNTQAALSLSRNTHPLKPETPIQDFAPPFDRKPEFKSEYVRPGFLGDFSNEMKLGEAALASVYQMKFPSIQKAIPYGSFGLNPNCIASHSSSSIASTIPNFPISLPLNLPTPANLSLAGFDMNNVGKSTGPIHSFLPGQQLKENGVRFHGIKQELKDDNLYDPSLPIVDHASVSSSSVYQYAIGKFPS >KJB75063 pep chromosome:Graimondii2_0_v6:12:2672839:2674401:-1 gene:B456_012G021700 transcript:KJB75063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVLFVYLGFMVFGIIVLRFLYRFIDNNGLPRNWPFVGMIPTLLLNIHRPHDKVAQVLRRSNGTFFYRGLWFTNTSFLGTSDPENVRYILSSNSSVYLKGPEWLKQFDIFGEALFNSDGEAWKCHRRVFHAFLNHPQYRQSLSKVLHQRIEEALVKVLEYFSGREMVVNLQDLLVRHAFDIGCITGVGFNPGLLSIEFPENRFQKAMSDTLEAAFYRYVVPDSLWKLQSWLQIGKEKKRSDAWKALDDLLTQFISTQRHKSNKSVASSGSNEENDFNFLNCYLTGHEITGPTPKESLIRDNLIHFLFASDGTYSLTLTWFFYLISKAPMVENKIRKEIKRHLSMKQVEGSLQIPSNYDDLSKLTYLHAALCETLRLYPPIPFDFRTCTKQEYLPSGHRVDQNTRIIIGIHAMGRMESLWGEDCYAFKPERWIGEDGKIKRESPTKFSAFLTGPRICPGKVVSFLLMKATATAIIHNYNVHVVEGQNIGPKNSVVYQMKKGLMVRIKKRWS >KJB76821 pep chromosome:Graimondii2_0_v6:12:24460205:24462487:1 gene:B456_012G108600 transcript:KJB76821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGKGTGSSSPSITTQLFGSREPPSSSNEVLRALLSPQSKVSGGRYFRPGTVALPQDSLNVPQNAKPTTNGNHSKGHAGEVRSAENGRVGSTYQEQIVEPCHLSSSIYYGGQDIYIRSHSDQDSGLNDDSEEDESGYASRGNWWQGSVYY >KJB76822 pep chromosome:Graimondii2_0_v6:12:24460280:24461652:1 gene:B456_012G108600 transcript:KJB76822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGKGTGSSSPSITTQLFGSREPPSSSNEVLRALLSPQSKVSGGRYFRPGTVALPQDSLNVPQNAKPTTNGNHSKGHAGEVRSAENGRVGSTYQEQIVEPCHLSSSIYYGGQDIYIRSHSDQDSGLNDDSEEDESGYASRGNWWQGMVV >KJB78078 pep chromosome:Graimondii2_0_v6:12:34539059:34542239:1 gene:B456_012G176000 transcript:KJB78078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRAVLRSIFMTDTSRPLRRSWDLLSLRSFGTTTTTTAAAAKRGSGGCYGTMVVRAREVHFWNGIQKLSCSGNEVPSKSEDEIDQGPPQEAVLKAISELSKTEGRVGQTTNVVIGGTVADDSTNEWLALDQMVNSYPCVRGFIAIGTGGDDFVRAMVVAVESVLQQPIPEGRVRRRVSSRGKYVSVNIGPVQVVSSEQVQAVYNAMRRDNRMKYFL >KJB76776 pep chromosome:Graimondii2_0_v6:12:23973585:23976590:1 gene:B456_012G106800 transcript:KJB76776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPKSKANVDTIIERCAGAGHLRDDILHYSKEPAEYLLSDLPSSYGEGATNTSMGFDKELNKEVAIKVIDLEESPMHEVTFSTEDKPKLLSQKHMPYALFSFKDRQGNSETES >KJB78186 pep chromosome:Graimondii2_0_v6:12:35049708:35052282:-1 gene:B456_012G1826001 transcript:KJB78186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDCLVLGAGQEVGKSCMVVSINGKRIMFDCGMHMGYTDSRRYPDFSLISKNGDFDNALTCVIVTHFHLDHIGALPYFTEVCGYKGPIYMTYPTKALAPLMLEDYRKNMDRRGEDEQFTSDHIAECMKKVIPVDLKQTVQVDKDLQIRAYYAGHVIGAAMFYAKVGDAAMVYTGDYNMTPDRHLGAAQIDRLQLDLLITESTYATTIRDSRYGREREFLKA >KJB78187 pep chromosome:Graimondii2_0_v6:12:35049708:35053063:-1 gene:B456_012G1826001 transcript:KJB78187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDCLVLGAGQEVGKSCMVVSINGKRIMFDCGMHMGYTDSRRYPDFSLISKNGDFDNALTCVIVTHFHLDHIGALPYFTEVCGYKGPIYMTYPTKALAPLMLEDYRKNMDRRGEDEQFTSDHIAECMKKVIPVDLKQTVQVDKDLQIRAYYAGHVIGAAMFYAKVGDAAMVYTGDYNMTPDRHLGAAQIDRLQLDLLITESTYATTIRDSRYGREREFLKA >KJB78188 pep chromosome:Graimondii2_0_v6:12:35049708:35053046:-1 gene:B456_012G1826001 transcript:KJB78188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDCLVLGAGQEVGKSCMVVSINGKRIMFDCGMHMGYTDSRRYPDFSLISKNGDFDNALTCVIVTHFHLDHIGALPYFTEVCGYKGPIYMTYPTKALAPLMLEDYRKNMDRRGEDEQFTSDHIAECMKKVIPVDLKQTVQVDKDLQIRAYYAGHVIGAAMFYAKVGDAAMVYTGDYNMTPDRHLGAAQIDRLQLDLLITESTYATTIRDSRYGREREFLKA >KJB76499 pep chromosome:Graimondii2_0_v6:12:16214292:16218032:-1 gene:B456_012G092300 transcript:KJB76499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLKLSLVASIYVKPRQWVRTITTTRPPPPPPLRLTRRMTALFSPSTHFSNSLLPKKNLLPLVRFAFPNCFSSSANNKGAINGSGPGSDRILADMDPDSKPSPLIEPQPQMRDPNFPSDNSSSKILTLPTVLTLGRVAAVPLLIFTFYVDSWWGRTATTSIFVAAAITDWLDGYIARKMKLHSVFGAFLDPVADKLMVAATLVLLCSRPLNVANFGQVPWLLIVPSIAIIGREITMSAVREWAASQNSMLLEAVAVNNLGKWKTATQMAALTILLATRDSSLGEAGILVASGVILLYISAGLSVMSLAVYMGKIWQVLLK >KJB76498 pep chromosome:Graimondii2_0_v6:12:16214292:16217951:-1 gene:B456_012G092300 transcript:KJB76498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLKLSLVASIYVKPRQWVRTITTTRPPPPPPLRLTRRMTALFSPSTHFSNSLLPKKNLLPLVRFAFPNCFSSSANNKGAINGSGPGSDRILADMDPDSKPSPLIEPQPQMRDPNFPSDNSSSKILTLPTVLTLGRVAAVPLLIFTFYVDSWWGRTATTSIFVAAAITDWLDGYIARKMKLHSVFGAFLDPVADKAVAVNNLGKWKTATQMAALTILLATRDSSLGEAGILVASGVILLYISAGLSVMSLAVYMGKIWQVLLK >KJB77670 pep chromosome:Graimondii2_0_v6:12:32396356:32402572:1 gene:B456_012G150100 transcript:KJB77670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein (Utp) family protein [Source:Projected from Arabidopsis thaliana (AT2G43650) UniProtKB/TrEMBL;Acc:Q8L3P4] MGKRGNISKNDKRNSKRRHSANDAFNPENVDDEIDVFHKQRDVVPLNMDGDFRDSDDEDDEHPVFDLQDTDDDDDDEGDDDDIDDAQVSKFAAKIARQHKFLRAKFGGAEDEMLEEEEDDEDDKEEMAQWGGIKSRYYGGDNRDFELHSSDDEAPREEEEEVKEIQKERAKNLSIEDFGLEDASDDETNRELTLEKMFPAQEMSSKGKGGKLSLASEEPVDDVATFEEVKKDLNALSKEEQMDVVHSSAPELIGLLSELDAALEELESKVNPLLRKAKEGKILLEGGMRYLEVKQILLLAYCQAITFYLLLKSEGQPVRGHPVLGRIVEIQGLIDKVKQLGGNLPSEWEEILKNKGAEMGQNLVKESAEPVSDSGTKDHGPSLVTDLQMAELEDTPNLLKMEFASNLDNKGMKLKRENDQVGIQSREMLKVRAALEEKLKQKGIFSSNIQKPDKTKKHRKPVNGQLETYDDFVDDAMDVEGSAHGLSNGHASSNHSNISKLITAKQNKSKVISGDDDLPKRDDIGERRRKHELRVLAGATVKSEDDHGGEYDMLEEDDGGNGGISGDEDGDTEDTEVSEDEFYKQFKQQRAAKLAAKAEIYTRTSVPPSLPETVDGKRQITHQIEKNRGLTRQRNKNIKNPRKKYRLQSKKREKQRKGQVRDTRKPVSQYGGEASGINVGISRSIRFKG >KJB77668 pep chromosome:Graimondii2_0_v6:12:32396309:32402630:1 gene:B456_012G150100 transcript:KJB77668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein (Utp) family protein [Source:Projected from Arabidopsis thaliana (AT2G43650) UniProtKB/TrEMBL;Acc:Q8L3P4] MGKRGNISKNDKRNSKRRHSANDAFNPENVDDEIDVFHKQRDVVPLNMDGDFRDSDDEDDEHPVFDLQDTDDDDDDEGDDDDIDDAQVSKFAAKIARQHKFLRAKFGGAEDEMLEEEEDDEDDKEEMAQWGGIKSRYYGGDNRDFELHSSDDEAPREEEEEVKEIQKERAKNLSIEDFGLEDASDDETNRELTLEEMSSKGKGGKLSLASEEPVDDVATFEEVKKDLNALSKEEQMDVVHSSAPELIGLLSELDAALEELESKVNPLLRKAKEGKILLEGGMRYLEVKQILLLAYCQAITFYLLLKSEGQPVRGHPVLGRIVEIQGLIDKVKQLGGNLPSEWEEILKNKGAEMGQNLVKESAEPVSDSGTKDHGPSLVTDLQMAELEDTPNLLKMEFASNLDNKGMKLKRENDQVGIQSREMLKVRAALEEKLKQKGIFSSNIQKPDKTKKHRKPVNGQLETYDDFVDDAMDVEGSAHGLSNGHASSNHSNISKLITAKQNKSKVISGDDDLPKRDDIGERRRKHELRVLAGATVKSEDDHGGEYDMLEEDDGGNGGISGDEDGDTEDTEVSEDEFYKQFKQQRAAKLAAKAEIYTRTSVPPSLPETVDGKRQITHQIEKNRGLTRQRNKNIKNPRKKYRLQSKKREKQRKGQVRDTRKPVSQYGGEASGINVGISRSIRFKG >KJB77669 pep chromosome:Graimondii2_0_v6:12:32396356:32402572:1 gene:B456_012G150100 transcript:KJB77669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein (Utp) family protein [Source:Projected from Arabidopsis thaliana (AT2G43650) UniProtKB/TrEMBL;Acc:Q8L3P4] MGKRGNISKNDKRNSKRRHSANDAFNPENVDDEIDVFHKQRDVVPLNMDGDFRDSDDEDDEHPVFDLQDTDDDDDDEGDDDDIDDAQVSKFAAKIARQHKFLRAKFGGAEDEMLEEEEDDEDDKEEMAQWGGIKSRYYGGDNRDFELHSSDDEAPREEEEEVKEIQKERAKNLSIEDFGLEDASDDETNRELTLEEMSSKGKGGKLSLASEEPVDDVATFEEVKKDLNALSKEEQMDVVHSSAPELIGLLSELDAALEELESKVNPLLRKAKEGKILLEGGMRYLEVKQILLLAYCQAITFYLLLKSEGQPVRGHPVLGRIVEIQGLIDKVKQLGGNLPSEWEEILKNKGAEMGQNLVKESAEPVSDSGTKDHGPSLVTDLQMAELEDTPNLLKMEFASNLDNKGMKLKRENDQVGIQSREMLKVRAALEEKLKQKGIFSSNIQKPDKTKKHRKPVNGQLETYDDFVDDAMDVEGSAHGLSNGHASSNHSNISKLITAKQNKSKVISGDDDLPKRDDIGERRRKHELRVLAGATVKSEDDHGGEYDMLEEDDGGNGGISGDEDGDTEDTEVSEDEFYKQFKQQRRNKGKVRSGILENQSVNTAEKPLVSMLESVGVLDSRAELKPEASRKLVIVPILCWIVTKMVTIFLVQNRSMTGPKLLNAPLMWPLT >KJB76201 pep chromosome:Graimondii2_0_v6:12:15721885:15723846:1 gene:B456_012G090700 transcript:KJB76201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKYLDKHFDNRHFDLLNTSHSRCLADLCGALHCDLVMDSSLRKTKCNPAAAARNKHLCESLADSCFPVSKGPVTGRLHEFFLRQFCDAHTCSGGPKPFPKGQKKRTSMLYISLSILTLMLLPLFYFFIYLYQR >KJB76200 pep chromosome:Graimondii2_0_v6:12:15721885:15722512:1 gene:B456_012G090700 transcript:KJB76200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKYLDKHFDNRHFDLLNTSHSRCLADLCGALHCDLVMDSSLRKTKCNPAAAARNKHLCESLADSCFPVSKGPVTGRLHEFFLRQFCDAHTCSGGPKPFPKGQKVEISVT >KJB76199 pep chromosome:Graimondii2_0_v6:12:15722091:15723406:1 gene:B456_012G090700 transcript:KJB76199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLRKTKCNPAAAARNKHLCESLADSCFPVSKGPVTGRLHEFFLRQFCDAHTCSGGPKPFPKGQKKRTSMLYISLSILTLMLLPLFYFFIYLYQRGIKSGSQELKRISLSGRKKKSF >KJB76202 pep chromosome:Graimondii2_0_v6:12:15721885:15724011:1 gene:B456_012G090700 transcript:KJB76202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKYLDKHFDNRHFDLLNTSHSRCLADLCGALHCDLVMDSSLRKTKCNPAAAARNKHLCESLADSCFPVSKGPVTGRLHEFFLRQFCDAHTCSGGPKPFPKGQKRTSMLYISLSILTLMLLPLFYFFIYLYQRGIKSGSQELKRISLSGRKKKSF >KJB76203 pep chromosome:Graimondii2_0_v6:12:15721884:15723846:1 gene:B456_012G090700 transcript:KJB76203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKYLDKHFDNRHFDLLNTSHSRCLADLCGALHCDLVMDSSLRKTKCNPAAAARNKHLCESLADSCFPVSKGPVTGRLHEFFLRQFCDAHTCSGGPKPFPKGQKKRTSMLYISLSILTLMLLPLFYFFIYLYQRGIKSGSQELKRISLSGRKKKSF >KJB78236 pep chromosome:Graimondii2_0_v6:12:35342549:35343121:-1 gene:B456_012G186500 transcript:KJB78236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDPVTHKPKTNALGSTTGNPKDAANLSHMAQWESARLEAEARLVRESKLVPSNPPQSNHFTAVAPSPTPATRPQCLDVLKAWQGVVCGLFTLNMDNNNLQSPTSTLNFMENTTTLPMSSSSVNGMFNENFGWNSSFNPCESGDILKVEYGSDQIPELKERLDHPMELHEMDCSSEGTWFQELFGFNGL >KJB78235 pep chromosome:Graimondii2_0_v6:12:35342381:35343800:-1 gene:B456_012G186500 transcript:KJB78235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDPVTHKPKTNALGSTTGNPKDAANLSHMAQWESARLEAEARLVRESKLVPSNPPQSNHFTAVAPSPTPATRPQCLDVLKAWQGVVCGLFTLNMDNNNLQSPTSTLNFMENTTTLPMSSSSVNGMFNENFGWNSSFNPCESGDILKVEYGSDQIPELKERLDHPMELHEMDCSSEGTWFQELFGFNGL >KJB78234 pep chromosome:Graimondii2_0_v6:12:35342381:35343800:-1 gene:B456_012G186500 transcript:KJB78234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKVGLKKGPWTPEEDQKLLAYIEQHGHGSWRALPLKAGLQRCGKSCRLRWINYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLTKMGIDPVTHKPKTNALGSTTGNPKDAANLSHMAQWESARLEAEARLVRESKLVPSNPPQSNHFTAVAPSPTPATRPQCLDVLKAWQGVVCGLFTLNMDNNNLQSPTSTLNFMENTTTLPMSSSSVNGMFNENFGWNSSFNPCESGDILKVEYGSDQIPELKERLDHPMELHEMDCSSEGTWFQELFGFNGL >KJB78237 pep chromosome:Graimondii2_0_v6:12:35342549:35343220:-1 gene:B456_012G186500 transcript:KJB78237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRWSAIATHLPKRTDNEIKNYWNTHLKKRLTKMGIDPVTHKPKTNALGSTTGNPKDAANLSHMAQWESARLEAEARLVRESKLVPSNPPQSNHFTAVAPSPTPATRPQCLDVLKAWQGVVCGLFTLNMDNNNLQSPTSTLNFMENTTTLPMSSSSVNGMFNENFGWNSSFNPCESGDILKVEYGSDQIPELKERLDHPMELHEMDCSSEGTWFQELFGFNGL >KJB74871 pep chromosome:Graimondii2_0_v6:12:1498642:1501956:-1 gene:B456_012G013100 transcript:KJB74871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFEVPCLEDNYSYLVIDESTKEAAVVDPVEAEKVFDVANQHGVVLKFVLTTHHHWDHAGGNDKIKQLVPGIKVYGGSLDNVRGCTHQLQNGDTLSLGSHLNILALHTPCHTKGHISYYITGKDGEDPAVFTGDTLFIAGCGKFFEGTAEQMYQSLCVTLGSLPKPTRVYCGHEYTVKNLQFASTVEPKNARIQQKLAWANGQRKAGLPTIPSTIEEEMETNPFMRVDLPELQGSIGCQSPVEALREIRQMKDNWRG >KJB74872 pep chromosome:Graimondii2_0_v6:12:1498718:1501946:-1 gene:B456_012G013100 transcript:KJB74872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFEVPCLEDNYSYLVIDESTKEAAVVDPVEAEKVFDVANQHGVVLKFVLTTHHHWDHAGGNDKIKQLVPGIKVYGGSLDNVRGCTHQLQNGDTLSLGSHLNILALHTPCHTKGHISYYITGKDGEDPAVFTGDTLFIAGCGKFFEGTAEQMYQSLCVTLGSLPKPTRVYCGHEYTVKNLQFASTVEPKNARIQQKLAWANGQRKAGLPTIPSTIEEEMETNPFMRVDLPELQVYSLP >KJB77657 pep chromosome:Graimondii2_0_v6:12:32344795:32347432:-1 gene:B456_012G149300 transcript:KJB77657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEQEQSRPLSLLDDIDALYCEEEEEDDDASSACNNGGNPFFPLDQDLFWDNEELLSMFSKEITQHKVVPAPDTINGADEFLAMARCVAVEWVLKVNARYGFTTLTAVLAINYLDRFLTSFRFQSDNKPWMIHLAAVTCLSLAAKIEETQVPLLLDLQDEDTKYVFEAKTIQRMEILILTTLKWKMHPITPLSFIDHIIRRLGLKTHLHWEFLKRCEHLLLCVISDSSSMHYLPSVLATATMMHVIDQVEPFTPIDYQNQLLDVLKIKKERVSECYNFVVDVSNKQSQKRKISRAPSLNRVFQNVVVASSHS >KJB77361 pep chromosome:Graimondii2_0_v6:12:30595176:30603017:1 gene:B456_012G133900 transcript:KJB77361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTNLAISISALKLDSNNSIITCPGCSSVGIGEAEEVGPFFPQKDEQTLKLNPHRWNKAANLLFIESPVGVGFSYTNTSIDLYQLGDEITANDSYTFLVNWFKRFPQFKSHDFYIAGESYAGHYVPQLAEVIFDNNKHVPESNYINLKGCMVGNALMDDETDQTGMVDYAWDHAVISDRVYNNIKIKCNFSRPNPTQDCKKALNAYFDVYYIIDMYNLYIPTCVSNSSISSNRQHPVIQGVAPQIFSKFDGWHKRLAGYDPCAPNYTKVYLNRPDVQKALHANVTNISYPWTQCSEIVPVWGDSPASLLPTLKKLIAGGIRIWVLSGDTDGRIPVTSTRLTLNKLWLKINKEWTPWYTHHKQVGGWTIEYEGLMFVSIRGAGHQVPSFKPRQALQIVRHFLANKKLSSTPFSQGSEFGKHSSSFFKQTLL >KJB75141 pep chromosome:Graimondii2_0_v6:12:3390119:3391270:1 gene:B456_012G027300 transcript:KJB75141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHQQRIHPVVDVEAPAPSTPLVPHGSATSEKGSPIQQRPLQRTIPVRPPPPPPRKRSCCCKCICWTVSLIVVLLIILGATIGILYLVFRPQLPKYSIDSLRISDLRLNFDMTLYAKFDVKITANNPNKKIGIYYEKGGRLSVWYTNSKLCEGSLPKFYQGHQNITKLDVVLTGQTQSGSTLMSALQEQQQTGQIPLDLKVHAPVAVKLGKLKMRKVKILGECKLVVDSLSANNIISIKASNCKFRLKL >KJB76046 pep chromosome:Graimondii2_0_v6:12:10023281:10024825:-1 gene:B456_012G068600 transcript:KJB76046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEICVKAAVGAPNVLGDCPFSQRALLTLEEKKISYNMHLINISDKPQWFLEISPEGKVPVVKFDDKWVADSDVIVGILEEKYPQPCLKTPPQFASVGSNIFGTFVTFLKSKDANDGSEQALLNELKALDEHLKAHVSDYKFGVLLGFGFGYMFDMNIFNFFFLYIWRITPHVSCLTMKSRSNMQDYLIFYVC >KJB76045 pep chromosome:Graimondii2_0_v6:12:10022598:10024825:-1 gene:B456_012G068600 transcript:KJB76045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEICVKAAVGAPNVLGDCPFSQRALLTLEEKKISYNMHLINISDKPQWFLEISPEGKVPVVKFDDKWVADSDVIVGILEEKYPQPCLKTPPQFASVGSNIFGTFVTFLKSKDANDGSEQALLNELKALDEHLKAHGPFIAGEKISAVDLALGPKLYHLEVALGHFKKWTVPESLTNVRNYMKSIFSRESFVKTRAAKEYVIAGWAPKVNA >KJB74956 pep chromosome:Graimondii2_0_v6:12:1705615:1709889:-1 gene:B456_012G015400 transcript:KJB74956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGNNREFICLSYDLIGNGEGLYASKLHVDPDKKPSPEENHLNGKSFIRFESITFRRPKESAEKKNEMQQKTGLVEAIIVEVKDREKIGGSYLHSAAICCTPDLSKEGSCNVGEVIIHQDPNYPYNPQRIQTFFEGKTEETTMVLQTVEINHTGMYYLYFMFCDPELMGTTISGRTVWRNPEGYLPGKMAPLMTYFGLMSLAYLGLGLVWFLWSVQYWKDIIQLQYHITAVIGLGMCEMALWYFEYANFNATGNRPMGITLWAVTFTAVKKTVSRLLLLVVSMGYGVVRPTLGGVIFKVLLLGLTYFVFSEALGLVENLGNIDDLTGKARLFLVLPVSLLDACFIIWIFSALSQTLEKLQIRRSMAKFALYRKFTNSLAISVLLSIAWVGYELYFNAADPLSELWQRAWIIPAFWNLLAFVLLVVICSLWAPSNNPTRYAYSEETGDELEEEGISLTSSSVLLAGESANKQLERKERRASNADLFRLGEEVEEDKRE >KJB74955 pep chromosome:Graimondii2_0_v6:12:1705602:1710178:-1 gene:B456_012G015400 transcript:KJB74955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYASKLHVDPDKKPSPEENHLNGKSFIRFESITFRRPKESAEKKNEMQQKTGLVEAIIVEVKDREKIGGSYLHSAAICCTPDLSKEGSCNVGEVIIHQDPNYPYNPQRIQTFFEGKTEETTMVLQTVEINHTGMYYLYFMFCDPELMGTTISGRTVWRNPEGYLPGKMAPLMTYFGLMSLAYLGLGLVWFLWSVQYWKDIIQLQYHITAVIGLGMCEMALWYFEYANFNATGNRPMGITLWAVTFTAVKKTVSRLLLLVVSMGYGVVRPTLGGVIFKVLLLGLTYFVFSEALGLVENLGNIDDLTGKARLFLVLPVSLLDACFIIWIFSALSQTLEKLQIRRSMAKFALYRKFTNSLAISVLLSIAWVGYELYFNAADPLSELWQRAWIIPAFWNLLAFVLLVVICSLWAPSNNPTRYAYSEETGDELEEEGISLTSSSVLLAGESANKQLERKERRASNADLFRLGEEVEEDKRE >KJB75757 pep chromosome:Graimondii2_0_v6:12:7491459:7491830:1 gene:B456_012G0557002 transcript:KJB75757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAGDGRGYKKIIVGVCVMEKKAFSAPMRQILDRIKAFGEFE >KJB76049 pep chromosome:Graimondii2_0_v6:12:10074267:10075005:1 gene:B456_012G0688002 transcript:KJB76049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLGSLPSLVYLDLSLNHLEGPLSSVELENLKNFKVLNLYRNYLNGTLPIQDLSYNNLEGSIPPNIGNLSSLKAFSVARNLLAASLPS >KJB76586 pep chromosome:Graimondii2_0_v6:12:17454916:17458365:-1 gene:B456_012G095500 transcript:KJB76586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSNPAKILIGISLNPDDSKELLSWAIRVLARPNDDIIALHVLVGEEPKKSKLVRNDQSKLRQSKAHVISVLGEFARTCQSKQVNLEAKVGLSSSVRRGLIEEAKSISANFLLLLGSRTRSKQTSHKITSYCFEHAPEGCAVVSIGKSKRPLQNSTLNSTYAHLDETGQWSTRWSNKSDNSGEASISPVQNSIVSKQKLQKPSPRTVLDALQGESNSTEDDSSSFWDSTMSGSPPQPPKFKGQSCIKKQMSPYKFVSMVFRSPLRKRNANFSNKQKGQPLMKCFSFEEISTATNNFHLDNIVGRGGYSEVYRGDLSDGRAVAVKRLAKDNRDENKEREFLTELGTIGHVCHPNTATLVGCCVENGLYLIFNFSEKGTLASALHGKINVVLDWPVRYKIALGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQITDFGLAKWLPNKWTHHAVIPIEGTFGYLAPEYFMHGIVDEKIDVFAFGVLLLEIITGRRPVDSSKKNLLLWAKPLMESGNITELADPKLEGKYDEDQMHRSVLTACYCVRQSAVWRPSMSEVLELLMTGHDSDVAKSWRMPKFTSDELDDYSMVFGYEVPTGISLEEFLC >KJB76587 pep chromosome:Graimondii2_0_v6:12:17455219:17458257:-1 gene:B456_012G095500 transcript:KJB76587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSNPAKILIGISLNPDDSKELLSWAIRVLARPNDDIIALHVLVGEEPKKSKLVRNDQSKLRQSKAHVISVLGEFARTCQSKQVNLEAKVGLSSSVRRGLIEEAKSISANFLLLLGSRTRSKQTSHKITSYCFEHAPEGCAVVSIGKSKRPLQNSTLNSTYAHLDETGQWSTRWSNKSDNSGEASISPVQNSIVSKQKLQKPSPRTVLDALQGESNSTEDDSSSFWDSTMSGSPPQPPKFKGQSCIKKQMSPYKFVSMVFRSPLRKRNANFSNKQKGQPLMKCFSFEEISTATNNFHLDNIVGRGGYSEVYRGDLSDGRAVAVKRLAKDNRDENKEREFLTELGTIGHVCHPNTATLVGCCVENGLYLIFNFSEKGTLASALHDSLGKINVVLDWPVRYKIALGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQITDFGLAKWLPNKWTHHAVIPIEGTFGYLAPEYFMHGIVDEKIDVFAFGVLLLEIITGRRPVDSSKKNLLLWAKPLMESGNITELADPKLEGKYDEDQMHRSVLTACYCVRQSAVWRPSMSEVLELLMTGHDSDVAKSWRMPKFTSDELDDYSMVFGYEVPTGISLEEFLC >KJB75333 pep chromosome:Graimondii2_0_v6:12:4605753:4610718:1 gene:B456_012G037300 transcript:KJB75333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKENLPQPVNGGVSNDSCSNADIDDSGTYSRYSLDKEAGLPTCRVCQCSESDKRGDAALGFLGITPPLAEAVKSKGEAKPDTEGVQQDVESDESHSKSLRRESGFVEFISPEGEVFICSTDLEMGSCRHQDALIELGCSCKSDLALVHYACALKWFVSHGSTVCEICGNPAKTIRTSDFKKVVVSLKDYEALRERTASGDPNPAQIHSSSGVDPDAVAAIRRQRLSEISLWFSPHGNNNNNHSSSAVSQVVSEQPTNTVTEEVTPSESPATKWAVEGTGILLATGLLTVTLAWLIAPRVGKKTAKSGLHILLGGICALTVVVFFRFIVLTRIKYGPARYWAILFVFWFLVFGIWASRTHGAHTT >KJB75334 pep chromosome:Graimondii2_0_v6:12:4605753:4610718:1 gene:B456_012G037300 transcript:KJB75334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKENLPQPVNGGVSNDSCSNADIDDSGTYSRYSLDKEAGLPTCRVCQCSESDKRGDAALGFLGITPPLAEAVKSKGEAKPDTEGVQQDVESDESHSKSLRRESGFVEFISPEGEVFICSTDLEMGSCRHQDALIELGCSCKSDLALVHYACALKWFVSHGSTVCEICGNPAKTIRTSDFKKVVVSLKDYEALRERTASGDPNPAQIHSSSGVDPDAVAAIRRQRLSEISLWFSPHGNNNNNHSSSAVSQVVSEQPTNTVTEEVTPSESPATKWAVEGTGILLATGLLTVTLAWLIAPRVGKKTAKSGLHILLGGICALTVVVFFRFIVLTRIKYGPARYWAILFVFWFLVFGIWASRTHGAHTT >KJB75335 pep chromosome:Graimondii2_0_v6:12:4606488:4610718:1 gene:B456_012G037300 transcript:KJB75335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKENLPQPVNGGVSNDSCSNADIDDSGTYSRYSLDKEAGLPTCRVCQCSESDKRGDAALGFLGITPPLAEAVKSKGEAKPDTEGVQQDVESDESHSKSLRRESGFVEFISPEGEVFICSTDLEMGSCRHQDALIELGCSCKSDLALVHYACALKWFVSHGSTVCEICGNPAKTIRTSDFKKVVVSLKDYEALRERTASGDPNPAQIHSSSGVDPDAVAAIRRQRLSEISLWFSPHGNNNNNHSSSAVSQVVSEQPTNTVTEEVTPSESPATKWAVEENG >KJB77907 pep chromosome:Graimondii2_0_v6:12:33592287:33593647:-1 gene:B456_012G165100 transcript:KJB77907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HGPAFLIRLGVHRALVVSNLEVAKECFTTNDKVFPTHRKSIAVKYMGYDYKMLGFAHYGPYWRNMRKLAMVELLSSHWLELLKHVDDNKIGSFIKELYVQSLKNGGVALLEIKERIGELATNIIESRRFLKALSDFFYLAGLFLVSNTIPFLDWLDFVMGIIGKIKRTEKELDFAIGSWVNEHRERRLDKGIEGNQDFIDVMLSIMDENNVPTQEADVTIRPNCLQQVEESDIANLVYLQAIIKETMRLYPAAPVLVVREAMDDYIVANFHIPAGTQLLLNLWKLHRDPRIWHKPLDFLPERFLNDHANIDVRGQNFELIPFGAGRRICPGITFALHFQHLALAQLLHGLIGEWSRIKAST >KJB75786 pep chromosome:Graimondii2_0_v6:12:8049879:8056835:1 gene:B456_012G058100 transcript:KJB75786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEKEKLKKMNGSIRSIFMHADDVDMWLMTLGFIGAVGDGFSTPLVLLVTSKLMNNLGGSSAFTADMFIHNINKNSMALLYLACWSWVACFLEGFCWSRTGERQATRMRAGYLKAILRQDVGYFDLHVTSTAEVITSVSNDSLVIQDVLSEKVPNFLMNVAIFVGCYMVAFIMLWRLAIVGFPFAVVLVIPGLMYGRGLIGIARKISEEYNKAGTIAEQAISSIRTVYSFVGESKTIAEFSAALQVSVKLGLRQGLAKGLAIGSNGVVFATWSFMSYYGSRMVMYHNAPGGTVFIVAAAIAKGGLSLGASLSNLKYFSEACAAGERIMEVMKRVPNIDSDNLEGEILEKVTGAVEFRHVDFAYPSRPDTMVFKDLCLNIPAGKTVALVGGSGSGKSTVIALLQRFYDPLGGEILLDGISIDKLQVKWLRSQMGLVSQEPALFATTIKENILFGKEDASMDEVIDAAKASNAHNFISQLPQGYETQVGERGVQMSGGQKQRIAIARAIIKAPRILLLDEATSALDSESEQVVQQAIDQAAVGRSSIIIAHRLSTIRNADLIAVVQKGQVIEIGSHDQLMENDIGHYASLVHLQQIEKEKTLDEANSNLSTCASSSISNIDIYSTSSHRLSFVSRSSSANSFTRNHTLLAGESIVEEKKLPVPSFRRLLALNLPEWRQAIMGCLSAILFGAVQPVYAFSLGSTVSVYFLTDHNEIKEKTKIYALCFLGLSVFTLLINVGQHYNFAYMGEYLTKRIRERMLSKILTFEIGWFDQDENSSGAVCSRLAKDANALRSLVGDRMALIVQTMSAVTIACTMGMVIAWRLAIVMIAVQPIIIICFYTKRVLLKSMSQKAIKAQGESSKLAAEAVSNLRTITAFSSQDRILKMLERAQEGPRRESIRQSWFAGIGLGTSQSLTTCTWALNFWYGGKLISQGYITAKALFETFMILVSTGRVIADAGSMTSDLVKGLEAIGSVFAILDRFTTIEPEDSNGHNPEKITGHVELHDIDFAYPARPNIMIFRAFSLNIDAGKSTALVGQSGSGKSTIIGLIERFYDPLDGVVEIDGRDIRSYQLRSLRKHIAVVSQEPTLFAGTIRENIAYGASDTMDESQIIEAAMAANAHEFISGLKDGYNTWCGDRGMQLSGGQKQRIAIARAILKNPAILLLDEATNALDSQSEKAVQDALERVMIGRTSVVVAHRLRTIQNCDQIAVLHKGKIVEKGTHQSLLAKGPTGAYFSLVSLQRRPLLAHN >KJB77290 pep chromosome:Graimondii2_0_v6:12:29839312:29843369:1 gene:B456_012G129800 transcript:KJB77290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQRKDGEVEAVPVVIGGMVLDIQATSSIPPHPRTTCPGQIYYVQGGVARNIAECMTKLGAQPFMISALGFDMPGNLLLEHWKSAGLRTEGIRKHKDIKTPTVCHILDVTGEVAAGVASVEAVEMFLTPEWIQRFKHTIHSAPLLIIDANLSPPALEVSCRLAAESNVPVWFEPVSIAKSKRIAPIVKYITFASPNEDELIAMANALSSQNLFRPIERNNCSTDTLFQMLKPAIWLLLEKGVKILVLTIGSDGVLLCTKGESISWRICLEKTQQHGFSRQLFENMTSSCPSNLYSDSKVLERSPNFLAVHFPALPASVVRLTGAGDCLVGGMIASLSTGLDVMQSVAIGIAAAKASVEVDSNVPSQFSLPTITGDARIVYSTAKLLQHQSKL >KJB77289 pep chromosome:Graimondii2_0_v6:12:29839312:29843369:1 gene:B456_012G129800 transcript:KJB77289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQRKDGEVEAVPVVIGGMVLDIQATSSIPPHPRTTCPGQIYYVQGGVARNIAECMTKLGAQPFMISALGFDMPGNLLLEHWKSAGLRTEGIRKHKDIKTPTVCHILDVTGEVAAGVASVEAVEMFLTPEWIQRFKHTIHSAPLLIIDANLSPPALEVSCRLAAESNVPVWFEPVSIAKSKRIAPIVKYITFASPNEDELIAMANALSSQNLFRPIERNNCSTDTLFQMLKPAIWLLLEKGVKILVLTIGSDGVLLCTKGESISWRICLEKTQQHGFSRQLFENMTSSCPSNLYSDSKVLERSPNFLAVHFPALPASVVRLTGAGDCLVGGMIASLSTGLDVMQSVAIGIAAAKASVEVDSNVPSQFSLPTITGDARIVYSTAKLLQHQSKL >KJB77291 pep chromosome:Graimondii2_0_v6:12:29839312:29843369:1 gene:B456_012G129800 transcript:KJB77291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQRKDGEVEAVPVVIGGMVLDIQATSSIPPHPRTTCPGQIYYVQGGVARNIAECMTKLGAQPFMISALGFDMPGNLLLEHWKSAGLRTEGIRKHKDIKTPTVCHILDVTGEVAAGVASVEAVEMFLTPEWIQRFKHTIHSAPLLIIDANLSPPALEVSCRLAAESNVPVWFEPVSIAKSKRIAPIVKYITFASPNEDELIAMANALSSQNLFRPIERNNCSTDTLFQMLKPAIWLLLEKGVKILVLTIGSDGVLLCTKGESISWRICLEKTQQHGFSRQLFENMTSSCPSNLYSDSKVLERSPNFLAVHFPALPASVVRLTGAGDCLVGGMIASLSTGLDVMQSVAIGIAAAKASVEVDSNVPSQFSLPTITGDARIVYSTAKLLQHQSKL >KJB77569 pep chromosome:Graimondii2_0_v6:12:32017993:32021606:1 gene:B456_012G145900 transcript:KJB77569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASAVSSSLSLSLYSRTRPTSISSPSSRLIISALPTPYGDSSTMGLSSRVSGLPLKIDKKGFGDSDLSYGAIEAKKGNPPVMPAVMTPGGPLDLSTVLFRNRIIFIGQPVNSQVAQRVITQLVTLAAIDENADILVYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHKIDKMYAAFTSQPLEKVQQYTERDRFLSVSEAMEFGLIDGVLETEY >KJB77565 pep chromosome:Graimondii2_0_v6:12:32017584:32021606:1 gene:B456_012G145900 transcript:KJB77565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSAVSSSLSLSLYSRTRPTSISSPSSSSTRLIISALPTPYGDSSTMGLSSRVSGLPLKIDKKGFGDSDLSYGAIEAKKGNPPVMPAVMTPGGPLDLSTVLFRNRIIFIGQPVNSQVAQRVITQLVTLAAIDENADILVYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHKIDKMYAAFTSQPLEKVQQYTERDRFLSVSEAMEFGLIDGVLETEY >KJB77566 pep chromosome:Graimondii2_0_v6:12:32017941:32021606:1 gene:B456_012G145900 transcript:KJB77566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASAVSSSLSLSLYSRTRPTSISSPSSRSSTRLIISALPTPYGDSSTMGLSSRVSGLPLKIDKKGFGDSDLSYGAIEAKKGNPPVMPAVMTPGGPLDLSTVLFRNRIIFIGQPVNSQVAQRVITQLVTLAAIDENADILVYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHKIDKMYAAFTSQPLEKVQQYTERDRFLSVSEAMEFGLIDGVLETEY >KJB77568 pep chromosome:Graimondii2_0_v6:12:32017952:32021606:1 gene:B456_012G145900 transcript:KJB77568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASAVSSSLSLSLYSRTRPTSISSPSSRSSTRLIISALPTPYGDSSTMGLSSRVSGLPLKIDKKGFGDSDLSYGAIEAKKGNPPVMPAVMTPGGPLDLSTVLFRNRIIFIGQPVNSQVAQRVITQLVTLAAIDENADILVYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHVISSFVYFAIFRKIDKMYAAFTSQPLEKVQQYTERDRFLSVSEAMEFGLIDGVLETEY >KJB77567 pep chromosome:Graimondii2_0_v6:12:32017952:32021086:1 gene:B456_012G145900 transcript:KJB77567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASAVSSSLSLSLYSRTRPTSISSPSSRSSTRLIISALPTPYGDSSTMGLSSRVSGLPLKIDKKGFGDSDLSYGAIEAKKGNPPVMPAVMTPGGPLDLSTVLFRNRIIFIGQPVNSQVAQRVITQLVTLAAIDENADILVYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHVISSFVYFAIFRVS >KJB77433 pep chromosome:Graimondii2_0_v6:12:30978147:30982616:-1 gene:B456_012G136400 transcript:KJB77433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQWIAKVKEGQHLSEDELQLLCEYVKEILVEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGQVPETNYIFMGDFVDRGYNSLEVFTILLLLKARHPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDIRSVDQMRVIERNSEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEVIDLISVYSHVEIIILIFSSVAVQPHK >KJB77432 pep chromosome:Graimondii2_0_v6:12:30978088:30982666:-1 gene:B456_012G136400 transcript:KJB77432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQWIAKVKEGQHLSEDELQLLCEYVKEILVEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGQVPETNYIFMGDFVDRGYNSLEVFTILLLLKARHPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDIRSVDQMRVIERNSEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFEDKGLVTVWSAPNYCYRCGNVASILSFNENMERKVKYFTETEENNQMRGPRTGVPYFL >KJB78173 pep chromosome:Graimondii2_0_v6:12:35021818:35026370:1 gene:B456_012G182200 transcript:KJB78173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEVEIEEGDELFIGSPPPALIAEAEPANEAEVTRIMGAESGCPYDVIGANRNISADNINKKYWKLSLSAHQAFVILNKALKVLQGLDKKIKLKELQEEFKAELQAMREAAQWRRLQGISMEGDDELLVHEVEVKVPPKRVEWMTTLPPESKPGVTKQSARSNKNAKEGRGDTSVWTDTPLELARKANTHYLEAYNEAAALASNEEENTKRMQIWGGCKATKEEIVARERRVGGEISMEAMRSGEDLTVGRQTVNLDTANMAKDLVSRFSIGTFQRNFL >KJB76404 pep chromosome:Graimondii2_0_v6:12:14901177:14902789:-1 gene:B456_012G087300 transcript:KJB76404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMAEESCSDPSDLRSQDVDYSYVPELSDELESLILARFPRSEHWKLYLLNKHFSDLLKSGELNKIRKEIGFKESSIFMSPSGQNCWWACDGLFKSCRKLPELPSLDICFINGDKESVCAGSHLIVSGRETFGSVVWRFELEIGKWFKGPSMIDPRCLFASATCGTFAFVAGGIGMDTRVSNSAEKYNPETKSWELLPRMHQKRKLCSGCFMDNKFYVIGGRDEHNNQLTCGEAYDKDNNTWEWIPDMLKDDSTVTQPAAAAAAMLLQSPPLVAVVNNQLYCLETSCNEVRVYMKSSKTWKNLGKVPVRADLHEGWGVAFKSLGNELLVIGFSSSVSSGGNGSGMTIYTCSPRPESDDLKWRRVEGCEDRLNFFLLNCSVMVA >KJB76405 pep chromosome:Graimondii2_0_v6:12:14901093:14903213:-1 gene:B456_012G087300 transcript:KJB76405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMAEESCSDPSDLRSQDVDYSYVPELSDELESLILARFPRSEHWKLYLLNKHFSDLLKSGELNKIRKEIGFKESSIFMSPSGQNCWWACDGLFKSCRKLPELPSLDICFINGDKESVCAGSHLIVSGRETFGSVVWRFELEIGKWFKGPSMIDPRCLFASATCGTFAFVAGGIGMDTRVSNSAEKYNPETKSWELLPRMHQKRKLCSGCFMDNKFYVIGGRDEHNNQLTCGEAYDKDNNTWEWIPDMLKDDSTVTQPAAAAAAMLLQSPPLVAVVNNQLYCLETSCNEVRVYMKSSKTWKNLGKVPVRADLHEGWGVAFKSLGNELLVIGFSSSVSSGGNGSGMTIYTCSPRPESDDLKWRRVEGCEDRLNFFLLNCSVMVA >KJB75755 pep chromosome:Graimondii2_0_v6:12:7443749:7445118:-1 gene:B456_012G055600 transcript:KJB75755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHGGPVGFSDVVWKVNKYKKDGYAPSIVFAYDSYDGEEGFPGALRVTVTYTLYPGNRLTVRMKAKALNKATPVNLAQHTYWNLGNHNSGDILSEQVQIFASHYTPVDSQLIPTGKFATVKGTPYDFLKPHTVGSRINKLENGYDINYVIDGVDGKLKKAAVVKDKKSGRVMELFTNQAGVQFYTANFLKDVRGKGGYVYESHGALCLETQAFPDSVNHPNFPSVIVYPGKEYKHVMVFKFSISS >KJB75756 pep chromosome:Graimondii2_0_v6:12:7443749:7446147:-1 gene:B456_012G055600 transcript:KJB75756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVSLLLFSLFIIVASAIINGSEAVEKVGIYELKKGDLSVKFTNWGATIVSVFLPDKYGKMGDIVLGYDSINDYKNDTSYIGSVVGRVANRIGGAQFTLNGVHYKLIPNEGKNMLHGGPVGFSDVVWKVNKYKKDGYAPSIVFAYDSYDGEEGFPGALRVTVTYTLYPGNRLTVRMKAKALNKATPVNLAQHTYWNLGNHNSGDILSEQLKKAAVVKDKKSGRVMELFTNQAGVQFYTANFLKDVRGKGGYVYESHGALCLETQAFPDSVNHPNFPSVIVYPGKEYKHVMVFKFSISS >KJB75754 pep chromosome:Graimondii2_0_v6:12:7443727:7446239:-1 gene:B456_012G055600 transcript:KJB75754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVSLLLFSLFIIVASAIINGSEAVEKVGIYELKKGDLSVKFTNWGATIVSVFLPDKYGKMGDIVLGYDSINDYKNDTSYIGSVVGRVANRIGGAQFTLNGVHYKLIPNEGKNMLHGGPVGFSDVVWKVNKYKKDGYAPSIVFAYDSYDGEEGFPGALRVTVTYTLYPGNRLTVRMKAKALNKATPVNLAQHTYWNLGNHNSGDILSEQVQIFASHYTPVDSQLIPTGKFATVKGTPYDFLKPHTVGSRINKLENGYDINYVIDGVDGKLKKAAVVKDKKSGRVMELFTNQAGVQFYTANFLKDVRGKGGYVYESHGALCLETQAFPDSVNHPNFPSVIVYPGKEYKHVMVFKFSISS >KJB75244 pep chromosome:Graimondii2_0_v6:12:4171917:4177932:1 gene:B456_012G034300 transcript:KJB75244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHTRRRHGSRHRSWCCTFNAPPPSPENPHLSQHYRTNNNNCKTKLGPQKLDSLSKLTTSISVPNSPQSSKSELSLVGRMDPRRILSPGRVSPIDHTVSLEEDRHSSHATPSAAAVDSLPRSRSQSFRAKIESPDTQSSLDPSRVEGEIGGPYDVRLNLKRKNGGVMVLELSSSVLASNSEVFAGLIAGSAGKKMYRIEVPEVENLGVFKETIELMFEEDIAKRLVKIGVNRAIDILEVSAGIMFRRGVSSCLKYLEAVPWTEEEEEKLRSLFTRFKFDEATSRDMLARLHSQQSTDTLQNLARHLVSSITTCSDANARNELKSLVKGLLCKSSVYEKEQPDINKEDFYAVCQSCMSVLHNLFEEASDAIPHERMTIKEMGKPLIARISKQVDNINFLLEILLDRQMAEEFVDLWVNQGNLLKLHERASPMVRYELSRVSAILFIAMGTRKLHCCSEARSGLLQAWFGPMLLDFGWLQRCRKGLDMKALEEAMGQTLLTLPLKQQYVLFMEWFRCFSRNGSECPNLSKAFQIWWRRSFLRGSETHAVESR >KJB77174 pep chromosome:Graimondii2_0_v6:12:28671452:28680859:-1 gene:B456_012G123900 transcript:KJB77174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAIGISADSAPYIPLDHEPNVTDDARLNQLGYKQELSRSLSAIANFSVTFSIISVITGLTTMYSTGLTFGGPVTMVYGWPIVGVLTMIVGLAMAEICSAYPTSGGLYFWSARLCGNEWGPMVSWFTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGKNGGGYEASKYVVIAFHGAILSMHAIINSLPISVLSFFGQLAAVWNLLGVVLLMILIPSVATERASAKFVFTHFNTDNGEGINSKVYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPKGIISAIGISIIFGWGYLLGITFAVTDIPYLLSEDNDAGGYAIAEIFYLAFKNRYGSGVGGIICLGVIAIAIFFCGMSSVTSNSRYLFSGWFMRSRETVPCHCHLCGIK >KJB77172 pep chromosome:Graimondii2_0_v6:12:28670729:28681003:-1 gene:B456_012G123900 transcript:KJB77172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAIGISADSAPYIPLDHEPNVTDDARLNQLGYKQELSRSLSAIANFSVTFSIISVITGLTTMYSTGLTFGGPVTMVYGWPIVGVLTMIVGLAMAEICSAYPTSGGLYFWSARLCGNEWGPMVSWFTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGKNGGGYEASKYVVIAFHGAILSMHAIINSLPISVLSFFGQLAAVWNLLGVVLLMILIPSVATERASAKFVFTHFNTDNGEGINSKVYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPKGIISAIGISIIFGWGYLLGITFAVTDIPYLLSEDNDAGGYAIAEIFYLAFKNRYGSGVGGIICLGVIAIAIFFCGMSSVTSNSRMVYAFSRDGAMPLSSLWHKVNKQEVPINAVWLSAFISFCMALTGKLGSEIVCPRTLQPRALWSGGWLDRSAMGGDHLGPILIACCLSSHL >KJB77168 pep chromosome:Graimondii2_0_v6:12:28670594:28674860:-1 gene:B456_012G123900 transcript:KJB77168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSHTVENGSVLVDSGQSRLRELGYKQELKRDLSVFSNFAFSFSIISVLTGITTLYNTGLTFGGPISLVYGWFIAGGFTMFVGLSMAEICSSYPTSGGLYYWSARLAGRNWAPFASWFTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGKNGGGYEASKYVVIAFHGAILSMHAIINSLPISVLSFFGQLAAVWNLLGVVLLMILIPSVATERASAKFVFTHFNTDNGEGINSKVYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPKGIISAIGISIIFGWGYLLGITFAVTDIPYLLSEDNDAGGYAIAEIFYLAFKNRYGSGVGGIICLGVIAIAIFFCGMSSVTSNSRMVYAFSRDGAMPLSSLWHKVNKQEVPINAVWLSAFISFCMALTSLGSLVAFQAMVSIATIGLYIAYALPIFFRVSLGRKSFVPGPFNLGRYGVVVGWIAVLWVATISVLFSLPVAYPVTSETLNYTPVAVGGLLFITVSWWIVSARHWFTGPITNI >KJB77177 pep chromosome:Graimondii2_0_v6:12:28670729:28681003:-1 gene:B456_012G123900 transcript:KJB77177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAIGISADSAPYIPLDHEPNVTDDARLNQLGYKQELSRSLSAIANFSVTFSIISVITGLTTMYSTGLTFGGPVTMVYGWPIVGVLTMIVGLAMAEICSAYPTSGGLYFWSARLCGNEWGPMVSWFTGWFNIVGQWAVTTSVDFSLAQLIQTEETKNADKNGPKGIISAIGISIIFGWGYLLGITFAVTDIPYLLSEDNDAGGYAIAEIFYLAFKNRYGSGVGGIICLGVIAIAIFFCGMSSVTSNSRMVYAFSRDGAMPLSSLWHKVNKQEVPINAVWLSAFISFCMALTSLGSLVAFQAMVSIATIGLYIAYALPIFFRVSLGRKSFVPGPFNLGRYGVVVGWIAVLWVATISVLFSLPVAYPVTSETLNYTPVAVGGLLFITVSWWIVSARHWFTGPITNI >KJB77176 pep chromosome:Graimondii2_0_v6:12:28670819:28680659:-1 gene:B456_012G123900 transcript:KJB77176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMMTRLRNVWCRAIANFSVTFSIISVITGLTTMYSTGLTFGGPVTMVYGWPIVGVLTMIVGLAMAEICSAYPTSGGLYFWSARLCGNEWGPMVSWFTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGKNGGGYEASKYVVIAFHGAILSMHAIINSLPISVLSFFGQLAAVWNLLGVVLLMILIPSVATERASAKFVFTHFNTDNGEGINSKVYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPKGIISAIGISIIFGWGYLLGITFAVTDIPYLLSEDNDAGGYAIAEIFYLAFKNRYGSGVGGIICLGVIAIAIFFCGMSSVTSNSRMVYAFSRDGAMPLSSLWHKVNKQEVPINAVWLSAFISFCMALTSLGSLVAFQAMVSIATIGLYIAYALPIFFRVSLGRKSFVPGPFNLGRYGVVVGWIAVLWVATISVLFSLPVAYPVTSETLNYTPVAVGGLLFITVSWWIVSARHWFTGPITNI >KJB77173 pep chromosome:Graimondii2_0_v6:12:28670729:28681003:-1 gene:B456_012G123900 transcript:KJB77173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAIGISADSAPYIPLDHEPNVTDDARLNQLGYKQELSRSLSAIANFSVTFSIISVITGLTTMYSTGLTFGGPVTMVYGWPIVGVLTMIVGLAMAEICSAYPTSGGLYFWSARLCGNEWGPMVSWFTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGKNGGGYEASKYVVIAFHGAILSMHAIINSLPISVLSFFGQLAAVWNLLGVVLLMILIPSVATERASAKFVFTHFNTDNGEGINSKVYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPKGIISAIGISIIFGWGYLLGITFAVTDIPYLLSEDNDAGGYAIAEIFYLAFKNRYGSGVGGIICLGVIAIAIFFCGMSSVTSNSRMVYAFSRDGAMPLSSLWHKVNKQEVPINAVWLSAFISFCMALTSLGSLVAFQAMVSIATIGLYIAYALPIFFRVSLGRKSFVPGPFNLGRYGVVVGWIAVLWVATISVLFSLPVAYPVTSETLNYTPVAVGGLLFITVSWWIVSARHWFTGPITNI >KJB77170 pep chromosome:Graimondii2_0_v6:12:28670819:28674443:-1 gene:B456_012G123900 transcript:KJB77170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSHTVENGSVLVDSGQSRLRELGYKQELKRDLSVFSNFAFSFSIISVLTGITTLYNTGLTFGGPISLVYGWFIAGGFTMFVGLSMAEICSSYPTSGGLYYWSARLAGRNWAPFASWFTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGKNGGGYEASKYVVIAFHGAILSMHAIINSLPISVLSFFGQLAAVWNLLGMKISGLNMNSGLSNPPFLTYSSLLLGVVLLMILIPSVATERASAKFVFTHFNTDNGEGINSKVYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPKGIISAIGISIIFGWGYLLGITFAVTDIPYLLSEDNDAGGYAIAEIFYLAFKNRYGSGVGGIICLGVIAIAIFFCGMSSVTSNSRMVYAFSRDGAMPLSSLWHKVNKQEVPINAVWLSAFISFCMALTSLGSLVAFQAMVSIATIGLYIAYALPIFFRVSLGRKSFVPGPFNLGRYGVVVGWIAVLWVATISVLFSLPVAYPVTSETLNYTPVAVGGLLFITVSWWIVSARHWFTGPITNI >KJB77171 pep chromosome:Graimondii2_0_v6:12:28670819:28672577:-1 gene:B456_012G123900 transcript:KJB77171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAIINSLPISVLSFFGQLAAVWNLLGMKISGLNMNSGLSNPPFLTYSSLLLGVVLLMILIPSVATERASAKFVFTHFNTDNGEGINSKVYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPKGIISAIGISIIFGWGYLLGITFAVTDIPYLLSEDNDAGGYAIAEIFYLAFKNRYGSGVGGIICLGVIAIAIFFCGMSSVTSNSRMVYAFSRDGAMPLSSLWHKVNKQEVPINAVWLSAFISFCMALTSLGSLVAFQAMVSIATIGLYIAYALPIFFRVSLGRKSFVPGPFNLGRYGVVVGWIAVLWVATISVLFSLPVAYPVTSETLNYTPVAVGGLLFITVSWWIVSARHWFTGPITNI >KJB77169 pep chromosome:Graimondii2_0_v6:12:28670729:28674600:-1 gene:B456_012G123900 transcript:KJB77169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSHTVENGSVLVDSGQSRLRELGYKQELKRDLSVFSNFAFSFSIISVLTGITTLYNTGLTFGGPISLVYGWFIAGGFTMFVGLSMAEICSSYPTSGGLYYWSARLAGRNWAPFASWFTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTVLSFFGQLAAVWNLLGVVLLMILIPSVATERASAKFVFTHFNTDNGEGINSKVYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPKGIISAIGISIIFGWGYLLGITFAVTDIPYLLSEDNDAGGYAIAEIFYLAFKNRYGSGVGGIICLGVIAIAIFFCGMSSVTSNSRMVYAFSRDGAMPLSSLWHKVNKQEVPINAVWLSAFISFCMALTSLGSLVAFQAMVSIATIGLYIAYALPIFFRVSLGRKSFVPGPFNLGRYGVVVGWIAVLWVATISVLFSLPVAYPVTSETLNYTPVAVGGLLFITVSWWIVSARHWFTGPITNI >KJB77175 pep chromosome:Graimondii2_0_v6:12:28670819:28680859:-1 gene:B456_012G123900 transcript:KJB77175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAIGISADSAPYIPLDHEPNVTDDARLNQLGYKQELSRSLSAIANFSVTFSIISVITGLTTMYSTGLTFGGPVTMVYGWPIVGVLTMIVGLAMAEICSAYPTSGGLYFWSARLCGNEWGPMVSWFTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGKNGGGYEASKYVVIAFHGAILSMHAIINSLPISVLSFFGQLAAVWNLLGMKISGLNMNSGLSNPPFLTYSSLLLGVVLLMILIPSVATERASAKFVFTHFNTDNGEGINSKVYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPKGIISAIGISIIFGWGYLLGITFAVTDIPYLLSEDNDAGGYAIAEIFYLAFKNRYGSGVGGIICLGVIAIAIFFCGMSSVTSNSRMVYAFSRDGAMPLSSLWHKVNKQEVPINAVWLSAFISFCMALTSLGSLVAFQAMVSIATIGLYIAYALPIFFRVSLGRKSFVPGPFNLGRYGVVVGWIAVLWVATISVLFSLPVAYPVTSETLNYTPVAVGGLLFITVSWWIVSARHWFTGPITNI >KJB77123 pep chromosome:Graimondii2_0_v6:12:27642617:27644542:-1 gene:B456_012G121300 transcript:KJB77123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGREDVEILKPRIDKREYRRIVLRNSLQVLLISDLDTDKCAASMNVGVGSFCDPDGLEGLAHFLGSTNAFTASEMTNYFFDVNTDCFEEALDRFAQFLIKPLMSADATMREIKAVDSENQKNLLSDAWRMNQRNKSLTNMIDSSKW >KJB77037 pep chromosome:Graimondii2_0_v6:12:27097916:27100832:-1 gene:B456_012G117600 transcript:KJB77037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNQIAYTANERAPAVPQWLNKGDNAWQMTASTLVGIQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLVCYRMAFGDELLPFWGKGAPALGQKYLVIRARVPESRHRVTTDRYETTEPFFPMASLVYFQFTFAAITLILLAGSVLGRMNIKAWMAFVPLWLIFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRLKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANIDSSIAVLNTNVCAATSLLVWTSLDVVFFGKPSVIGAVQGMMTGLVCITPGAGLVQPWAAIVMGMLSGSIPWVSMMILHKKCSWLQQVDDTLGVFHTHAVAGLLGGLLTGLLAEPDLCRLILPRETRGAVYGGNGGVQFVKQIVAALFVIAWNVASTTIILLVIRLFIPLRMPDNQLEIGDDAVHGEEAYALWGDGEKYDPTKHGWHTSSYSEVTAPSRFVDGARGVTISL >KJB77038 pep chromosome:Graimondii2_0_v6:12:27097919:27100712:-1 gene:B456_012G117600 transcript:KJB77038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNQIAYTANERAPAVPQWLNKGDNAWQMTASTLVGIQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLVCYRMAFGDELLPFWGKGAPALGQKYLVIRARVPESRHRVTTDRYETTEPFFPMASLVYFQFTFAAITLILLAGSVLGRMNIKAWMAFVPLWLIFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRLKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANIDSSIAVLNTNVCAATSLLVWTSLDVVFFGKPSVIGAVQGMMTGLVCITPGAGLVQPWAAIVMGMLSGSIPWVSMMILHKKCSWLQQVGR >KJB77039 pep chromosome:Graimondii2_0_v6:12:27097919:27100712:-1 gene:B456_012G117600 transcript:KJB77039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNQIAYTANERAPAVPQWLNKGDNAWQMTASTLVGIQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLVCYRMAFGDELLPFWGKGAPALGQKYLVIRARVPESRHRVTTDRYETTEPFFPMASLVYFQFTFAAITLILLAGSVLGRMNIKAWMAFVPLWLIFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRLKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANIDSSIAVLNTNVCAATSLLVWTSLDVVFFGKPSVIGAVQGMMTGLVCITPGAGSIVILYSTRNLRKKSLIMFPVSILFELLNFSLSTLISRI >KJB75769 pep chromosome:Graimondii2_0_v6:12:7646905:7649803:1 gene:B456_012G056500 transcript:KJB75769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYLICFSAPLASTPVRERDFSSSEELITEFCQECMIVRKGWNGDLKNAMKDGKPIIIEVNEFKSIEKFKIFDTNNLRSMESRFFNWKL >KJB75408 pep chromosome:Graimondii2_0_v6:12:5006728:5010476:1 gene:B456_012G040400 transcript:KJB75408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQGALNAELSKKTSFLGLKLWVLIGISVGAFIVLILGILSVWVTFRRRSRRSVDKFSLSQIPNVSKEIKVDKVGVQRFNDQPESLFLSVNDKSNDKNSERMLAHLGMSKSSDPDNASQCSSIYHHERGFSSHSGEEGSSGTVRKQSSLSYGGLVTASPLVGLPEISHLGWGHWFTLRDLDLATSHFAAENVLGEGGYGVVYKGRLINGTEVAVKKILNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGAMRQHGTLTWEARMKVIIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGSGESHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAVTGRDPVDYGRPANEVNLVEWLKMMVGTRRAEEVVDPNLETRPATRALKRALLVALRCVDPDANKRPKMTQVVRMLEADEYPFREDRRNRKSRTASMEIESLKEPTDAESKAGESQSSVTKTTHE >KJB75409 pep chromosome:Graimondii2_0_v6:12:5005832:5010496:1 gene:B456_012G040400 transcript:KJB75409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQGALNAELSKKTSFLGLKLWVLIGISVGAFIVLILGILSVWVTFRRRSRRSVDKFSLSQIPNVSKEIKVDKVGVQRFNDQPESLFLSVNDKSNDKNSERMLAHLGMSKSSDPDNASQCSSIYHHERGFSSHSGEEGSSGTVRKQSSLSYGGLVTASPLVGLPEISHLGWGHWFTLRDLDLATSHFAAENVLGEGGYGVVYKGRLINGTEVAVKKILNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGAMRQHGTLTWEARMKVIIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGSGESHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAVTGRDPVDYGRPANEVNLVEWLKMMVGTRRAEEVVDPNLETRPATRALKRALLVALRCVDPDANKRPKMTQVVRMLEADEYPFREDRRNRKSRTASMEIESLKEPTDAESKAGESQSSVTKTTHE >KJB77793 pep chromosome:Graimondii2_0_v6:12:33108698:33111158:1 gene:B456_012G157800 transcript:KJB77793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFICFLSLLLFSSATACDRCLYQSKAAYFSKANALSSGACGYGSLALGLSGGHLAAGVSSLYKDGAGCGACFQIRCNNSTLCSSKGTNVTITDINHNNQTGFVLSTRAFMAMANHGMGQHILKLGTVEVEYKRIPCEYKNQNLAVRVEESSQKPNYLAIKLLYQGGQTEIVAIDVAQVGSSNWNFMKRNHGAVWDTSRAPNGALQFRFVVTSGYDGKWIWAKTVLPADWKSGVVYDSGVQINDIAKEGCFHCDYSHW >KJB75402 pep chromosome:Graimondii2_0_v6:12:4968081:4988451:1 gene:B456_012G040000 transcript:KJB75402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPECLCYIFHNMANEVYGILFSNARPVSGDTYENAPPDDEAFLRNVITPIYKVLQREVKRNKGGKASHSKWRNYDDLNEYFWSGKCFQLKWPMNVKADFFAHSDDPPLANETNNQITVGKRKPKTNFVEARTFWHLYRSFDRMWIFFIMAFQAMLIVAWNSGSLLGFFDEDVFRKVLTIFITAAFLNFLQATLDIILSFNAWRSLNFSQILRYLAKFAIAAFWAVVLPLAYSSSVQNPTGLVRFFSSWINDWQNESLYNYCLAIYLIPNILAAIIFLLPPLRRRMERSNWRIVTLFMWWAQPKLYVGRGMHEDFFSLLKYTMFWILLLISKLAFSYYVEILPLVQPTKIIMELHVDNYQWHEFFSEVKTHNIGVVIAIWSPIVLVYFMDAQIWYAIFSTLFGGIRGAFSHLGEIRTLGMLRSRFESVPRAFCRRLVPSPNQHNRKRHLDEATERKNIASFSLVWNKFIHSMRMQDLISDRDRDLLLVPSSSSDVSVVQWPPFLLASKIPIALDMAKDFKKKDDAGLFKKIETDDYMHSAVIECYETLRDILYNLLDDDADRLIVKEICYEVDMSIHQRRFLTDFRMSGLPALSNRLEKFLGILLSNVEDVDTFRSQIINVLQDIMEIITQDVMVNGSGIIARAHRHHEGDQKKEQRFEKINIDLIQMKTWREKIIRLYLLLTVKESAINVPPNLEARRRITFFANSLFMNMPSAPKVRDMLSFSVLTPYYKEDVLYSDEELTKENEDGISILFYLQRIYPDEWNNFLERVQPSENKDESEEARLKEEVRKWVSYRGQTLSKTVRGMMYYRQALELQYCLEFSDDSEILGGFQAFEDDPRYIEQAQALANMKFTYVVSCQVYGAQKKSSDQRDRSCYLNILNLMLKYPSLRVAYIDEREETVDGISQKVYYSVLVKGGEKLDEEIYRIRLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAYKMRNVLEEFLKARHKERKPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHLTRGGISKASKIINLSEDIFAGFNSTLRGGYVTHHEYIQVGKGRDVGMNQISAFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLIVYVFLYGRLYMVMSGLEQEIIENATIHQSKALEEALATQSVFQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTILHGGSKYRATGRGFVVFHARFADNYRLYSRSHFVKGLELLILLVLYEVYGQSYRSSSLYMFITVSMWFLVGSWLFAPFVFNPSGFDWQKTVDDWTDWKRWMGNRGGIGINPNKSWESWWEEEQEHLKFTNIRGRLLEIILVFRFFIYQYGIVYHLDIAHHSKKILVYGLSWLVMLTGLLVLKMVSMGRRRFGTDFQLMFRILKALLFLGFLSVMTVLFVVCGLTISDLFAAILAFLPTGWAILLIGQAMRPVLKSLKFWDSIKELARGYEYIMGLVLFMPTAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGRKEKDITSPVKYA >KJB75401 pep chromosome:Graimondii2_0_v6:12:4964230:4988423:1 gene:B456_012G040000 transcript:KJB75401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGLARTPSKRMSRAQTMMVEIPNEDNSTADSELVPSSLASIAPILRVANEIEKDNPRVAYLCRFHAFEKAHQMDPTSSGRGVRQFKTYLLHRLEREEEETKPMLARNDPREIQMYYQQFYLKNIADGQYTKKPEEMAKIYQIASVLFDVLRTVVPVGRVDDQTQRFADEVEKKKEQFEHYNILPLYAVGVKPAIMELPEIQAALRAIQNVEGLPVARHTSDDTRQERGKPVNDVLDWLSFHFGFQKGNVANQREHLILLLANMDVRKKENLEGYTTLNADTIRKLVDKVFKNYRSWCNYLRCKSHLRQQLELIYISLYLLIWGEASNIRFMPECLCYIFHNMANEVYGILFSNARPVSGDTYENAPPDDEAFLRNVITPIYKVLQREVKRNKGGKASHSKWRNYDDLNEYFWSGKCFQLKWPMNVKADFFAHSDDPPLANETNNQITVGKRKPKTNFVEARTFWHLYRSFDRMWIFFIMAFQAMLIVAWNSGSLLGFFDEDVFRKVLTIFITAAFLNFLQATLDIILSFNAWRSLNFSQILRYLAKFAIAAFWAVVLPLAYSSSVQNPTGLVRFFSSWINDWQNESLYNYCLAIYLIPNILAAIIFLLPPLRRRMERSNWRIVTLFMWWAQASITYIFSFHYLPKLYVGRGMHEDFFSLLKYTMFWILLLISKLAFSYYVEILPLVQPTKIIMELHVDNYQWHEFFSEVKTHNIGVVIAIWSPIVLVYFMDAQIWYAIFSTLFGGIRGAFSHLGEIRTLGMLRSRFESVPRAFCRRLVPSPNQHNRKRHLDEATERKNIASFSLVWNKFIHSMRMQDLISDRDRDLLLVPSSSSDVSVVQWPPFLLASKIPIALDMAKDFKKKDDAGLFKKIETDDYMHSAVIECYETLRDILYNLLDDDADRLIVKEICYEVDMSIHQRRFLTDFRMSGLPALSNRLEKFLGILLSNVEDVDTFRSQIINVLQDIMEIITQDVMVNGSGIIARAHRHHEGDQKKEQRFEKINIDLIQMKTWREKIIRLYLLLTVKESAINVPPNLEARRRITFFANSLFMNMPSAPKVRDMLSFSVLTPYYKEDVLYSDEELTKENEDGISILFYLQRIYPDEWNNFLERVQPSENKDESEEARLKEEVRKWVSYRGQTLSKTVRGMMYYRQALELQYCLEFSDDSEILGGFQAFEDDPRYIEQAQALANMKFTYVVSCQVYGAQKKSSDQRDRSCYLNILNLMLKYPSLRVAYIDEREETVDGISQKVYYSVLVKGGEKLDEEIYRIRLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAYKMRNVLEEFLKARHKERKPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHLTRGGISKASKIINLSEDIFAGFNSTLRGGYVTHHEYIQVGKGRDVGMNQISAFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLIVYVFLYGRLYMVMSGLEQEIIENATIHQSKALEEALATQSVFQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTILHGGSKYRATGRGFVVFHARFADNYRLYSRSHFVKGLELLILLVLYEVYGQSYRSSSLYMFITVSMWFLVGSWLFAPFVFNPSGFDWQKTVDDWTDWKRWMGNRGGIGINPNKSWESWWEEEQEHLKFTNIRGRLLEIILVFRFFIYQYGIVYHLDIAHHSKKILVYGLSWLVMLTGLLVLKMVSMGRRRFGTDFQLMFRILKALLFLGFLSVMTVLFVVCGLTISDLFAAILAFLPTGWAILLIGQAMRPVLKSLKFWDSIKELARGYEYIMGLVLFMPTAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGRKEKDITSPVKYA >KJB75945 pep chromosome:Graimondii2_0_v6:12:9233319:9236361:1 gene:B456_012G065200 transcript:KJB75945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHLLRPKILYFFTAIGSSRLLSSKSCNFTNKLIFSKQPFSSFFFSTTSTPYPLQYDMIINTPTQSQPTPTRRRLSKPDSPNSPEEEDPEKELGFDSWVQKKLTSEEEMDKSKRKYYKKRRKRMYGSDSEDDDKGKNEDGFVELKPKVVEFDRLHEREEELYFYDTFAYPWENDKHYKMVYQLEKKYFPDQCFDKAFLEPGESNEKIKIKGKSKKTDDNNKKNNKVEDKGLVFFEEEENSGEDVKEKVTEKKVEEFFKCLKKVPNKETDDGEPYLVSRSTGLPTRWDGPYGTVVLVNKPKGWTSFTVCGKLRRLVKVKKVGHAGTLDPMATGLLIVCVGKATKLVERYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEQIKDEDIKKAAASFCGEIWQVPPMFSAIKVGGERMYEKARKGETVELSPRRISIFHFDIERSLEDRQNLIFRVTCSKGTYIRSLCADFGKALGSCAHLTALRRDSIGKIPSLC >KJB75944 pep chromosome:Graimondii2_0_v6:12:9233201:9237155:1 gene:B456_012G065200 transcript:KJB75944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHLLRPKILYFFTAIGSSRLLSSKSCNFTNKLIFSKQPFSSFFFSTTSTPYPLQYDMIINTPTQSQPTPTRRRLSKPDSPNSPEEEDPEKELGFDSWVQKKLTSEEEMDKSKRKYYKKRRKRMYGSDSEDDDKGKNEDGFVELKPKVVEFDRLHEREEELYFYDTFAYPWENDKHYKMVYQLEKKYFPDQCFDKAFLEPGESNEKIKIKGKSKKTDDNNKKNNKVEDKGLVFFEEEENSGEDVKEKVTEKKVEEFFKCLKKVPNKETDDGEPYLVSRSTGLPTRWDGPYGTVVLVNKPKGWTSFTVCGKLRRLVKVKKVGHAGTLDPMATGLLIVCVGKATKLVERYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEQIKDEDIKKAAASFCGEIWQVPPMFSAIKVGGERMYEKARKGETVELSPRRISIFHFDIERSLEDRQNLIFRVTCSKGTYIRSLCADFGKALGSCAHLTALRRDSIGEYSAEDAWEFKELEEAITKGYF >KJB77004 pep chromosome:Graimondii2_0_v6:12:26845772:26850835:-1 gene:B456_012G116300 transcript:KJB77004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLRRSSKTLLTETGAMKHRKNNHVPVFIVVISVFLFALFMYNEDVKSIAEFPFLSRPKASEIIQHDEPNKPVQESTRIEETKKEVITSVDKELKSMATVKDDDEGKVAEDKADDVQQKVEILPVVEEDDEDVELPPEDCDLFTGKWVYDNETHPLYKEDECEFLTAQVTCVRNGRKDSRYQNWRWQPRDCNLPKYKPRLFLEKLRNKRLMFVGDSLNRNQWESMVCLVQSVVPPGRKSLKKFGSLSIFRIEDYNATVEFYWAPFLVQSNADNPDMHAILDRIIMPGSIKKHGKHWKNVDYLVFNTYIWWMNTVTMKVLRGSFDRGDTEYDEIERPIAYKKVLTTWSKWVNKNVNPNRTTVFLNSMSPLHIRSLDWNNPDGIKCALETTPILNQSMHLNVGTDRRLYVVAKNITQNTKIPVHFIDITTLSEYRKDAHTAIHTIRQGKILTPEQKADPAIYADCIHWCLPGLPDTWNEFLYTRIISRS >KJB78067 pep chromosome:Graimondii2_0_v6:12:34467704:34471676:1 gene:B456_012G175200 transcript:KJB78067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDTTGMESAAPVWGSHNELVDGFSAAPSFELPNTTDFDGFQKEAIQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGTKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDNEGGRLKGMRFSVGSGSPYAYGVLDNGYRYDMSVEEAAELARRAIYHATFRDGASGGVASVYYVGPNGWKKLSGDDVGELHYRYYPVMPSTVEQEMVEVTGA >KJB78250 pep chromosome:Graimondii2_0_v6:12:35309556:35310050:1 gene:B456_012G185900 transcript:KJB78250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSEPIPTPTISSSPSLSPNSSISSNSPPSPPLSSPLVVISPCAACKILRRRCADKCVLAPYFPPTEPAKFAIAHRVFGASNIIKFLQVVLWSFLQLNNIPCHVLSLLEMIIRLILSATVPTAQFSPPLLLISPAENQLTSVVKKKLHCTDSLNQTTQQ >KJB74724 pep chromosome:Graimondii2_0_v6:12:493809:497670:1 gene:B456_012G004100 transcript:KJB74724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MADATYKTRSLEETPTWAVAVVCLALVVISIIIELAIHMLGKWFKKRHKTALYEALEKVKAELMLMGFISLLLTVTQSLISDICIPRSIANTWHPCDQQAEAQKYGQISGRKLVEFSDDDDDDDTSYIPRRSLATSKYDKCQEKATLVSAYGIHQLHIFIFMLAVCHILYCIIIYALGRTKMRKWKSWENETKTIEYQYYNDPERFRFARDTSFGRRHLNCWSRSSLTLWIMCFFRQFFGSVTKVDYLTLRHGFIMAHLAPANETKFEFQKYIKRSLEQDFKVVVGISPIIWFIAVLFLLAYTHGWYSYLWLPFVPLIIVLMVGTKLQVIITELAVSIQDRGGVVKGAPLVRPGDDLFWFGRPRFLLFLIHLVLFTNAFQLAFFVWSTYEFSIRSCYHEHLEDIIIRISMGVITQFICSYVTLPLYALVTQMGSNMRPTIFNERVATALRNWHQKAKKHTKQNKQSHSQNTTPLSSRPATPTHEMSPVHLLHNYPRSVESYPPSPRLSITENNRLVLHSPRQHGIKDDVDDRSLHKKIAQADATVEESNSLQRVAMAQTTRTQHEIDIASSVFSLQKK >KJB77180 pep chromosome:Graimondii2_0_v6:12:28736402:28737373:-1 gene:B456_012G124300 transcript:KJB77180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHAAKLQKKAPDSVFMGTRVRVFSNVRRSSSPSLAMAPPQPSDSPVETISDDGAQYGLNDFLEPPEAMHGMDDAQLLWRASMIPRIAQYPFNRVPKVAFLFLTKGHVSLAPLWEKFFKGYKGMYSIYIHSNPSFNLSKAAEPQGSVFHGRRIPSKVSRLNFPSHFFFLIVEFDNR >KJB74732 pep chromosome:Graimondii2_0_v6:12:605323:607308:-1 gene:B456_012G004900 transcript:KJB74732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDFVFYRAPPATFPRPDGKLKAISLPEDVYIKKFFQKYPVAKGHDAIKISAYDPPPARLFGLRVLELKEQGVPEEEAMAVADMEYRKEKKEKKKAYARLKQIARLQGKKPPPNPYPSAIKERQALERKFVRERFSSPEILKIVEKIKEERRAERFNGAAGGGF >KJB74733 pep chromosome:Graimondii2_0_v6:12:605323:608162:-1 gene:B456_012G004900 transcript:KJB74733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFMKGDLLTKTRKLVNGLAKPQPVWLKAMEQAPPATFPRPDGKLKAISLPEDVYIKKFFQKYPVAKGHDAIKISAYDPPPARLFGLRVLELKEQGVPEEEAMAVADMEYRKEKKEKKKAYARLKQIARLQGKKPPPNPYPSAIKERQALERKFVRERFSSPEILKIVEKIKEERRAERFNGAAGGGF >KJB77656 pep chromosome:Graimondii2_0_v6:12:32334038:32337781:1 gene:B456_012G149200 transcript:KJB77656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGASYQRFPRVKIRELKDDYAKFELHDTDASMANALRRVMIAEVPTIAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSQRAMSMRFSRDCDACDGDGQCEFCSVEFHLRAKCISDQTLDVTSKDLYSSDHTVVPVDFTDSAGYESSEQRGIIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIHINEDMMDTLTLEEKQSFVDSSPTRVFDIDPNTQQVVVVDPEAYTYDDEVLKKAEAMGKPGLVEIYAKEDSFIFTIESTGAVKAFQLVLNAIEILKQKLDAVRLSEDTVEADDQFGELGAHMRGG >KJB78201 pep chromosome:Graimondii2_0_v6:12:35097044:35098165:-1 gene:B456_012G183400 transcript:KJB78201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWKKVTGIIKDKNSIVWANFSGKSSFRNPDLETSIIKATSHDEYHIDKRNAQIVFSWIRASPISLRPLVWALSRRMEKTRSWVVAVKGLMLMHGVFYCKVPAVEKMGRLPFDLSSFTDGDTTSGKTWGFNAFVREYYAFLDQRALVLSEKDNRKADDRSPMAQQLSKLQKLQSLLDMLLQVKPRAENMKLPLILEAMDCIIIEIYDVYSRICAEITKVLLNIYSVKKPEAATALKVLQKAMTQGEELSSFFDSCKEFGVMNANEFPTVAQIPKEEVEELERIVNWASDTTYEDSIGDYSIEENDETAAIVEHKEALKTVITDKWVVFDENLKINEEKKNKNFSFAEETAALIEYVPVYNSHRQEIPDLISF >KJB78287 pep chromosome:Graimondii2_0_v6:12:2680934:2681055:1 gene:B456_012G0218003 transcript:KJB78287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGSSFWGMALILASTNLLTARIAAGCFLLALVAVLFYAKN >KJB77398 pep chromosome:Graimondii2_0_v6:12:30873752:30876334:1 gene:B456_012G135400 transcript:KJB77398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKPYPLLLTVTSSSSVRCSFAAKHILHFVYLFILTIITIKVRQKMLACGGSRISHQNLGSRFCSTGIFHLSSFTVKPPSYATATVAAIVDNNSHNPRFHPRFVSSSSLQSKSPGDIPFPPVSFSQREDEHEKPSEALSSVAGGIVALGKFDALHIGHRELAIQASKVGTPYLLSFVGMAEVLGWDPRPPVVAQCDRERVLSSWAPYCGNVAPKEFQVQFMSVRHLSPRQFVEKLARELGVCGVVAGENYRFGYKAAGDASELVRLCDEFGMGAYIINSVMDRHQDARNMNRLDLKDRGQVSSTRVRQALAEGDMKYVSELLGRPHRLLLTIKDWESLTSTSSTQRMSAPRSSLLNLPPKDGFYENCSLLFGEQNAVTCRVSIDTSHIHLEMDRVDFCDNDYSQKSQVLGIEFGELKS >KJB77396 pep chromosome:Graimondii2_0_v6:12:30873752:30876334:1 gene:B456_012G135400 transcript:KJB77396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKPYPLLLTVTSSSSVRCSFAAKHILHFVYLFILTIITIKVRQKMLACGGSRISHQNLGSRFCSTGIFHLSSFTVKPPSYATATVAAIVDNNSHNPRFHPRFVSSSSLQSKSPGDIPFPPVSFSQREDEHEKPSEALSSVAGGIVALGKFDALHIGHRELAIQASKVGTPYLLSFVGMAEVLGWDPRPPVVAQCDRERVLSSWAPYCGNVAPKEFQVQFMSVRHLSPRQFVEKLARELGVCGVVAGENYRFGYKAAGDASELVRLCDEFGMGAYIINSVMDRHQDARNMNRLDLKDRGQVSSTRVRQALAEGDMKYVSELLGRPHRLLLTIKDWESLTSTSSTQRMSAPRSSLLNLPPKDGFYENCSLLFGEQNAVTCRVSIDTSHIHLEMDRVDFCDNDYSQKSQVLGIEFGELKS >KJB77397 pep chromosome:Graimondii2_0_v6:12:30873752:30876334:1 gene:B456_012G135400 transcript:KJB77397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKPYPLLLTVTSSSSVRCSFAAKHILHFVYLFILTIITIKVRQKMLACGGSRISHQNLGSRFCSTGIFHLSSFTVKPPSYATATVAAIVDNNSHNPRFHPRFVSSSSLQSKSPGDIPFPPVSFSQREDEHEKPSEALSSVAGGIVALGKFDALHIGHRELAIQASKVGTPYLLSFVGMAEVLGWDPRPPVVAQCDRERVLSSWAPYCGNVAPKEFQVQFMSVRHLSPRQFVEKLARELGVCGVVAGENYRFGYKAAGDASELVRLCDEFGMGAYIINSVMDRHQDARNMNRLDLKDRGQVSSTRVRQALAEGDMKYVSELLGRPHRLLLTIKDWESLTSTSSTQRMSAPRSSLLNLPPKDGFYENCSLLFGEQNAVTCRVSIDTSHIHLEMDRVDFCDNDYSQKSQVLGIEFGELKS >KJB77394 pep chromosome:Graimondii2_0_v6:12:30873744:30876334:1 gene:B456_012G135400 transcript:KJB77394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKPYPLLLTVTSSSSVRCSFAAKHILHFVYLFILTIITIKVRQKMLACGGSRISHQNLGSRFCSTGIFHLSSFTVKPPSYATATVAAIVDNNSHNPRFHPRFVSSSSLQSKSPGDIPFPPVSFSQREDEHEKPSEALSSVAGGIVALGKFDALHIGHRELAIQASKVGTPYLLSFVGMAEVLGWDPRPPVVAQCDRERVLSSWAPYCGNVAPKEFQVQFMSVRHLSPRQFVEKLARELGVCGVVAGENYRFGYKAAGDASELVRLCDEFGMGAYIINSVMDRHQDARNMNRLDLKDRGQVSSTRVRQALAEGDMKYVSELLGRPHRLLLTIKDWESLTSTSSTQRMSAPRSSLLNLPPKDGFYENCSLLFGEQNAVTCRVSIDTSHIHLEMDRVDFCDNDYSQKSQVLGIEFGELKS >KJB77395 pep chromosome:Graimondii2_0_v6:12:30873752:30876317:1 gene:B456_012G135400 transcript:KJB77395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKPYPLLLTVTSSSSVRCSFAAKHILHFVYLFILTIITIKVRQKMLACGGSRISHQNLGSRFCSTGIFHLSSFTVKPPSYATATVAAIVDNNSHNPRFHPRFVSSSSLQSKSPGDIPFPPVSFSQREDEHEKPSEALSSVAGGIVALGKFDALHIGHRELAIQASKVGTPYLLSFVGMAEVLGWDPRPPVVAQCDRERVLSSWAPYCGNVAPKEFQVQFMSVRHLSPRQFVEKLARELGVCGVVAGENYRFGYKAAGDASELVRLCDEFGMGAYIINSVMDRHQDARNMNRLDLKDRGQVSSTRVRQALAEGDMKYVSELLGRPHRLLLTIKDWESLTSTSSTQRMSAPRSSLLNLPPKDGFYENCSLLFGEQNAVTCRVSIDTSHIHLEMDRVDFCDNDYSQKSQVLGIEFGELKS >KJB75850 pep chromosome:Graimondii2_0_v6:12:8555757:8558501:1 gene:B456_012G061100 transcript:KJB75850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET4 [Source:Projected from Arabidopsis thaliana (AT3G28007) UniProtKB/Swiss-Prot;Acc:Q944M5] MAVEEFKPDPYIATAMNCMLWIFYGLPMVHPDSILVVTINSIGLAMELIYLSIFFLYAPNKGRAKVIGWLALEILFLGVVAACTLTLRKTHAQRSDLVGILCVIFGVLMYASPLTVMRKVIKTKSVKYMPFYLSLANFLNGVIWVTYALIRFDLYILIGNGLGALSGAIQLILYACYFKSTPKDDDENGVVEPSELQLSGGNGPSRPTV >KJB75851 pep chromosome:Graimondii2_0_v6:12:8555936:8558431:1 gene:B456_012G061100 transcript:KJB75851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET4 [Source:Projected from Arabidopsis thaliana (AT3G28007) UniProtKB/Swiss-Prot;Acc:Q944M5] MVSADTARTIVGIIVFRILFVMFCFAGNVISFFLFASPLPTFVKIYKKMAVEEFKPDPYIATAMNCMLWIFYGLPMVHPDSILVVTINSIGLAMELIYLSIFFLYAPNKGRAKVIGWLALEILFLGVVAACTLTLRKTHAQRSDLVGILCVIFGVLMYASPLTVMRKVIKTKSVKYMPFYLSLANFLNGVIWVTYALIRFDLYILIGNGLGALSGAIQLILYACYFKSTPKDDDENGVVEPSELQLSGGNGPSRPTV >KJB75849 pep chromosome:Graimondii2_0_v6:12:8555757:8558501:1 gene:B456_012G061100 transcript:KJB75849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET4 [Source:Projected from Arabidopsis thaliana (AT3G28007) UniProtKB/Swiss-Prot;Acc:Q944M5] MAVEEFKPDPYIATAMNCMLWIFYGLPMVHPDSILVVTINSIGLAMELIYLSIFFLYAPNKGRAKVIGWLALEILFLGVVAACTLTLRKTHAQRSDLVGILCVIFGVLMYASPLTVMIGNGLGALSGAIQLILYACYFKSTPKDDDENGVVEPSELQLSGGNGPSRPTV >KJB77427 pep chromosome:Graimondii2_0_v6:12:30956823:30957066:-1 gene:B456_012G136200 transcript:KJB77427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIATLVAISISGLLRSIRGTRGIIEVMKTHFIFLVRILGGSRKKIAKKIIPKVDTKRNVT >KJB75449 pep chromosome:Graimondii2_0_v6:12:5362013:5370280:1 gene:B456_012G042500 transcript:KJB75449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MTSKQQDIKAFAFKTKAMLLGMEQKVQSAKKRELMYWYLASRGVPKSLHCLCLKLAEEYAVNAMARSCLPPPEHVSRLADTSFNHIVLLTDNVLAASVVISSTVENAANPEKLVFHIVTDKKTYSPMHAWFATNRLKSAVVEVKGLHQYDWSQEVNVGVKQMLEIHHLICSHYYNNLKENDLEYGGEHQNFLEALSPSCLSLMNHLRIYIPELFPELNKIVFLDDDVVVQHDISSLWTLDLNGKIVGAVVDSWCGENCCPGRKYKDYLNFSHPVIPSHLDQDRCAWLSGMNIFDLEAWRRSNITTSYHKWLKLSLNSGFTLWQPGVLPPSLLAFQGHVHPIDPLWQVAGLGYRSSSAGGQILEAAAVLHFNGPAKPWLEIGSPEVRSLWSRHVNFTNSFIQKCRVLH >KJB75455 pep chromosome:Graimondii2_0_v6:12:5364535:5370181:1 gene:B456_012G042500 transcript:KJB75455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MEQKVQSAKKRELMYWYLASRGVPKSLHCLCLKLAEEYAVNAMARSCLPPPEHVSRLADTSFNHIVLLTDNVLAASVVISSTVENAANPEKLVFHIVTDKKTYSPMHAWFATNRLKSAVVEVKGLHQYDWSQEVNVGVKQMLEIHHLICSHYYNNLKENDLEYGGEHQNFLEALSPSCLSLMNHLRIYIPELFPELNKIVFLDDDVVVQHDISSLWTLDLNGKIVGAVVDSWCGENCCPGRKYKDYLNFSHPVIPSHLDQDRCAWLSGMNIFDLEAWRRSNITTSYHKWLKLSLNSGFTLWQPGVLPPSLLAFQGHVHPIDPLWQVAGLGYRSSSAGGQILEAAAVLHFNGPAKPWLEIGSPEVRSLWSRHVNFTNSFIQKCRVLH >KJB75454 pep chromosome:Graimondii2_0_v6:12:5367765:5370181:1 gene:B456_012G042500 transcript:KJB75454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MVSLLGMEQKVQSAKKRELMYWYLASRGVPKSLHCLCLKLAEEYAVNAMARSCLPPPEHVSRLADTSFNHIVLLTDNVLAASVVISSTVENAANPEKLVFHIVTDKKTYSPMHAWFATNRLKSAVVEVKGLHQYDWSQEVNVGVKQMLEIHHLICSHYYNNLKENDLEYGGEHQNFLEALSPSCLSLMNHLRIYIPELFPELNKIVFLDDDVVVQHDISSLWTLDLNGKIVGAVVDSWCGENCCPGRKYKDYLNFSHPVIPSHLDQDRCAWLSGMNIFDLEAWRRSNITTSYHKWLKLSLNSGFTLWQPGVLPPSLLAFQGHVHPIDPLWQVAGLGYRSSSAGGQILEAAAVLHFNGPAKPWLEIGSPEVRSLWSRHVNFTNSFIQKCRVLH >KJB75448 pep chromosome:Graimondii2_0_v6:12:5361960:5369902:1 gene:B456_012G042500 transcript:KJB75448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MKATGIKRVTISNTSEGGGSKGATVAATSAAAARGRLSSRTLLPVALVLGIVLPFLFVRIAFLVLESASSSSCSSPIECRGWRLFSGGYISQKLREELTRALIEVKDDNIVDGGTEGSLETFSELVKEMTSKQQDIKAFAFKTKAMLLGMEQKVQSAKKRELMYWYLASRGVPKSLHCLCLKLAEEYAVNAMARSCLPPPEHVSRLADTSFNHIVLLTDNVLAASVVISSTVENAANPEKLVFHIVTDKKTYSPMHAWFATNRLKSAVVEVKGLHQYDWSQEVNVGVKQMLEIHHLICSHYYNNLKENDLEYGGEHQNFLEALSPSCLSLMNHLRIYIPELFPELNKIVFLDDDVVVQHDISSLWTLDLNGKIVGAVVDSWCGENCCPGRKYKDYLNFSHPVIPSHLDQDRCAWLSGMNIFDLEAWRRSNITTSYHKWLKLSLNSGFTLWQPGVLPPSLLAFQGHVHPIDPLWQVAGLGYRSSSAGGQILEAAAVLHFNGPAKPWLEIGSPEVRSLWSRHVNFTNSFIQKCRVLH >KJB75451 pep chromosome:Graimondii2_0_v6:12:5364838:5369581:1 gene:B456_012G042500 transcript:KJB75451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MTSKQQDIKAFAFKTKAMLLGMEQKVQSAKKRELMYWYLASRGVPKSLHCLCLKLAEEYAVNAMARSCLPPPEHVSRLADTSFNHIVLLTDNVLAASVVISSTVENAANPEKLVFHIVTDKKTYSPMHAWFATNRLKSAVVEVKGLHQYDWSQEVNVGVKQMLEIHHLICSHYYNNLKENDLEYGGEHQNFLEALSPSCLSLMNHLRIYIPELFPELNKIVFLDDDVVVQHDISSLWTLDLNGKIVGAVVDSWCGENCCPGRKYKDYLNFSHPVIPSHLDQDRCAWLSGMNIFDLEAWRRSNITTSYHKWLKLVSKFVCCCVSYLVINVALLSM >KJB75452 pep chromosome:Graimondii2_0_v6:12:5362228:5370181:1 gene:B456_012G042500 transcript:KJB75452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MTSKQQDIKAFAFKTKAMLLGMEQKVQSAKKRELMYWYLASRGVPKSLHCLCLKLAEEYAVNAMARSCLPPPEHVSRLADTSFNHIVLLTDNVLAASVVISSTVENAANPEKLVFHIVTDKKTYSPMHAWFATNRLKSAVVEVKGLHQYDWSQEVNVGVKQMLEIHHLICSHYYNNLKENDLEYGGEHQNFLEALSPSCLSLMNHLRIYIPELFPELNKIVFLDDDVVVQHDISSLWTLDLNGKIVGAVVDSWCGENCCPGRKYKDYLNFSHPVIPSHLDQDRCAWLSGMNIFDLEAWRRSNITTSYHKWLKLSLNSGFTLWQPGVLPPSLLAFQGHVHPIDPLWQVAGLGYRSSSAGGQILEAAAVLHFNGPAKPWLEIGSPEVRSLWSRHVNFTNSFIQKCRVLH >KJB75456 pep chromosome:Graimondii2_0_v6:12:5367923:5370181:1 gene:B456_012G042500 transcript:KJB75456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MEQKVQSAKKRELMYWYLASRGVPKSLHCLCLKLAEEYAVNAMARSCLPPPEHVSRLADTSFNHIVLLTDNVLAASVVISSTVENAANPEKLVFHIVTDKKTYSPMHAWFATNRLKSAVVEVKGLHQYDWSQEVNVGVKQMLEIHHLICSHYYNNLKENDLEYGGEHQNFLEALSPSCLSLMNHLRIYIPELFPELNKIVFLDDDVVVQHDISSLWTLDLNGKIVGAVVDSWCGENCCPGRKYKDYLNFSHPVIPSHLDQDRCAWLSGMNIFDLEAWRRSNITTSYHKWLKLSLNSGFTLWQPGVLPPSLLAFQGHVHPIDPLWQVAGLGYRSSSAGGQILEAAAVLHFNGPAKPWLEIGSPEVRSLWSRHVNFTNSFIQKCRVLH >KJB75457 pep chromosome:Graimondii2_0_v6:12:5367780:5370181:1 gene:B456_012G042500 transcript:KJB75457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MEQKVQSAKKRELMYWYLASRGVPKSLHCLCLKLAEEYAVNAMARSCLPPPEHVSRLADTSFNHIVLLTDNVLAASVVISSTVENAANPEKLVFHIVTDKKTYSPMHAWFATNRLKSAVVEVKGLHQYDWSQEVNVGVKQMLEIHHLICSHYYNNLKENDLEYGGEHQNFLEALSPSCLSLMNHLRIYIPELFPELNKIVFLDDDVVVQHDISSLWTLDLNGKIVGAVVDSWCGENCCPGRKYKDYLNFSHPVIPSHLDQDRCAWLSGMNIFDLEAWRRSNITTSYHKWLKLSLNSGFTLWQPGVLPPSLLAFQGHVHPIDPLWQVAGLGYRSSSAGGQILEAAAVLHFNGPAKPWLEIGSPEVRSLWSRHVNFTNSFIQKCRVLH >KJB75450 pep chromosome:Graimondii2_0_v6:12:5362215:5370181:1 gene:B456_012G042500 transcript:KJB75450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MTSKQQDIKAFAFKTKAMLLGMEQKVQSAKKRELMYWYLASRGVPKSLHCLCLKLAEEYAVNAMARSCLPPPEHVSRLADTSFNHIVLLTDNVLAASVVISSTVENAANPEKLVFHIVTDKKTYSPMHAWFATNRLKSAVVEVKGLHQYDWSQEVNVGVKQMLEIHHLICSHYYNNLKENDLEYGGEHQNFLEALSPSCLSLMNHLRIYIPELFPELNKIVFLDDDVVVQHDISSLWTLDLNGKIVGAVVDSWCGENCCPGRKYKDYLNFSHPVIPSHLDQDRCAWLSGMNIFDLEAWRRSNITTSYHKWLKLSLNSGFTLWQPGVLPPSLLAFQGHVHPIDPLWQVAGLGYRSSSAGGQILEAAAVLHFNGPAKPWLEIGSPEVRSLWSRHVNFTNSFIQKCRVLH >KJB75453 pep chromosome:Graimondii2_0_v6:12:5366841:5370270:1 gene:B456_012G042500 transcript:KJB75453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MLLGMEQKVQSAKKRELMYWYLASRGVPKSLHCLCLKLAEEYAVNAMARSCLPPPEHVSRLADTSFNHIVLLTDNVLAASVVISSTVENAANPEKLVFHIVTDKKTYSPMHAWFATNRLKSAVVEVKGLHQYDWSQEVNVGVKQMLEIHHLICSHYYNNLKENDLEYGGEHQNFLEALSPSCLSLMNHLRIYIPELFPELNKIVFLDDDVVVQHDISSLWTLDLNGKIVGAVVDSWCGENCCPGRKYKDYLNFSHPVIPSHLDQDRCAWLSGMNIFDLEAWRRSNITTSYHKWLKLSLNSGFTLWQPGVLPPSLLAFQGHVHPIDPLWQVAGLGYRSSSAGGQILEAAAVLHFNGPAKPWLEIGSPEVRSLWSRHVNFTNSFIQKCRVLH >KJB76657 pep chromosome:Graimondii2_0_v6:12:20461923:20463132:-1 gene:B456_012G098400 transcript:KJB76657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLFLWLKFKTNSSYKPVSTQQHQSDEVKTNKDSTPLVKKSGTNATSVSRLSNKKENTTQPPKDATTSLFKSRGTSTIMESKQLTLKSTAQPLKDPAGLAKANEISGSETTQSPRKPKTVENVRNSGTLASKHAMQNKETVQPPKDPAGFFKNGGTSRVTASKHVMQKREKSQYPKNPLGLVKNIGTSVIPASTHSMQNKKAIHWPEDSASPVTKIETSARSPQLIQKKEKKQPSENSAGAVSGSRLATHKKVTTLDSQRQKQHISIDHIVWEGFGDDIVPLEKSCLLCDGDLANEPEYYLDMASLNPAENAVLSCGHVFHSMCLRQTIAEEKCRDPPCIICASSLS >KJB77918 pep chromosome:Graimondii2_0_v6:12:33703238:33707245:-1 gene:B456_012G166800 transcript:KJB77918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRRWSEGMASDNVKGLVLALSSSLFIGASFIVKKKGLKKAGASGIRAGSGGYSYLLEPLWWVGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAALAHIILREKLHTFGILGCVLCVVGSTAIVLHAPPERQIESVTEVWDLATEPGFLFYALLVLTAVFILIFHFVPQYGQSHIMVYIGVCSLVGSISVMSVKAVGIALKLTFSGMNQLVYPQTWAFTLVVVTCVVTQMNYLNKALDTFNTAVVSPIYYVMFTTLTILASVIMFKDWDRQNPTQIITEMCGFVTILSGTFLLHKTKDMVDGTSLTSMSMRQLKHEEEDDFDESIPLKRQDSLRIP >KJB77920 pep chromosome:Graimondii2_0_v6:12:33702859:33707245:-1 gene:B456_012G166800 transcript:KJB77920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRRWSEGMASDNVKGLVLALSSSLFIGASFIVKKKGLKKAGASGIRAGSGGYSYLLEPLWWVGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAALAHIILREKLHTFGILGCVLCVVGSTAIVLHAPPERQIESVTEVWDLATEPGFLFYALLVLTAVFILIFHFVPQYGQSHIMVYIGVCSLVGSISVMSVKAVGIALKLTFSGMNQLVYPQTWAFTLVVVTCVVTQMNYLNKALDTFNTAVVSPIYYVMFTTLTILASVIMFKDWDRQNPTQIITEMCGFVTILSGTFLLHKTKDMVDGTSLTSMSMRQLKHEEEDDFDESIPLKRQDSLRIP >KJB77919 pep chromosome:Graimondii2_0_v6:12:33703238:33706277:-1 gene:B456_012G166800 transcript:KJB77919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITMVVGEIANFAAYAFAPAILVTPLGALSIIISAALAHIILREKLHTFGILGCVLCVVGSTAIVLHAPPERQIESVTEVWDLATEPGFLFYALLVLTAVFILIFHFVPQYGQSHIMVYIGVCSLVGSISVMSVKAVGIALKLTFSGMNQLVYPQTWAFTLVVVTCVVTQMNYLNKALDTFNTAVVSPIYYVMFTTLTILASVIMFKDWDRQNPTQIITEMCGFVTILSGTFLLHKTKDMVDGTSLTSMSMRQLKHEEEDDFDESIPLKRQDSLRIP >KJB76800 pep chromosome:Graimondii2_0_v6:12:24135191:24145274:-1 gene:B456_012G107700 transcript:KJB76800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLCPFAEKAMESERTFMRNVKRVFVKVGTAVVTRADGRLAVGRLGALCEQLKELNSQGYEIVLVTSGAVGLGRQRLRYRKLVNSSLADLQNPQYELDGKACAAVGQSSLMALYDTLFSQLDVSSSQHLVTDTDFRNGSFRKQLSETVKSLLALKVIPIFNENDAVSTRRAPYEDSSGIFWDNDSLAGLLALELQADLLVLLSDVEGLYSGPPSDPDSKLIHTYIKEKHQGEITFGDKSRLGRGGMTAKVNAAVCAAYAGIPVVITSGYATDSIIKVLQGKRIGTLFHQDAHLWTSVKEVGAREMAVAARECSRRLQAMHSDDRRKILLDIADALEANESLIKVENEADVADAQDAGYDKSLVARLALKPGKIASLAKSVRVLAEMEEPIGQVLKRTELADGLILEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPKSIGNKLIGLVASREDIPDLLKLDDVIDLVIPRGSNKLVSQIKELTKIPVLGHSDGICHVYVDKSAKVDTAKRIVLDAKIDYPAACNAMETLLVHKDLSSNGLLNTLTKELQHEGVTLYGGPRASSLLNIPEAHSFHHEYSSMACTIEIVDDVQAAIDHIHQHGSSHTDCIVTENHEVAEIFLHGVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGMLTTRWILRGSGQVVDGDKGVIYTHRDLSQ >KJB76799 pep chromosome:Graimondii2_0_v6:12:24134950:24141700:-1 gene:B456_012G107700 transcript:KJB76799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRCFVTNVKRLIVKVGTAVVTRADGRLAVGRLGALCEQLKELNSQGYEIVLVTSGAVGLGRQRLRYRKLVNSSLADLQNPQYELDGKACAAVGQSSLMALYDTLFSQLDVSSSQHLVTDTDFRNGSFRKQLSETVKSLLALKVIPIFNENDAVSTRRAPYEDSSGIFWDNDSLAGLLALELQADLLVLLSDVEGLYSGPPSDPDSKLIHTYIKEKHQGEITFGDKSRLGRGGMTAKVNAAVCAAYAGIPVVITSGYATDSIIKVLQGKRIGTLFHQDAHLWTSVKEVGAREMAVAARECSRRLQAMHSDDRRKILLDIADALEANESLIKVENEADVADAQDAGYDKSLVARLALKPGKIASLAKSVRVLAEMEEPIGQVLKRTELADGLILEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPKSIGNKLIGLVASREDIPDLLKLDDVIDLVIPRGSNKLVSQIKELTKIPVLGHSDGICHVYVDKSAKVDTAKRIVLDAKIDYPAACNAMETLLVHKDLSSNGLLNTLTKELQHEGVTLYGGPRASSLLNIPEAHSFHHEYSSMACTIEIVDDVQAAIDHIHQHGSSHTDCIVTENHEVAEIFLHGVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGMLTTRWILRGSGQVVDGDKGVIYTHRDLSQ >KJB77230 pep chromosome:Graimondii2_0_v6:12:29135523:29137803:-1 gene:B456_012G126400 transcript:KJB77230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLPARKGRHRQRYEDNLRLVAGCIPYRVEKDVEDSRISVLMISTPNRDDLVFPKGGWEDDETVNEAACREALEEAGVKGILDEEPLGVWEFRSKSKQNSCSLEGGCRGYMFALEVTEELDSWAEQSTYKRQWVSPEEAYKLCRYDWMSEALEKHLRRMERNSNIEKAEKLVEHQMLSAGCSTKPSNLEESFSKCIVQG >KJB77231 pep chromosome:Graimondii2_0_v6:12:29135537:29137641:-1 gene:B456_012G126400 transcript:KJB77231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLPARKGRHRQRYEDNLRLVAGCIPYRVEKDVEDSRISVLMISTPNRDDLVFPKLYFQGGWEDDETVNEAACREALEEAGVKGILDEEPLGVWEFRSKSKQNSCSLEGGCRGYMFALEVTEELDSWAEQSTYKRQWVSPEEAYKLCRYDWMSEALEKHLRRMERNSNIEKAEKLVEHQMLSAGCSTKPSNLEESFSKCIVQG >KJB77229 pep chromosome:Graimondii2_0_v6:12:29135481:29138020:-1 gene:B456_012G126400 transcript:KJB77229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLPARKGRHRQRYEDNLRLVAGCIPYRVEKDVEDSRISVLMISTPNRDDLVFPKGGWEDDETVNEAACREALEEAGVKGILDEEPLGVWEFRSKSKQNSCSLEGGCRGYMFALEVTEELDSWAEQSTYKRQWVSPEEAYKLCRYDWMSEALEKHLRRMERNSNIEKAEKLVEHQMLSAGCSTKPSNLEESFSKCIVQG >KJB77227 pep chromosome:Graimondii2_0_v6:12:29135523:29137643:-1 gene:B456_012G126400 transcript:KJB77227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLPARKGRHRQRYEDNLRLVAGCIPYRVEKDVEDSRISVLMISTPNRDDLVFPKGGWEDDETVNEAACREALEEAGVKGILDEEPLGVWEFRSKSKQNSCSLEGGCRGYMFALEVTEELDSWAEQSTYKRQWVSPEEAYKLCRYDWMSEALEKHLRRMERNSNIEKAEKLVEHQMLSAGCSTKPSNLEESFSKCIVQG >KJB77228 pep chromosome:Graimondii2_0_v6:12:29135523:29137641:-1 gene:B456_012G126400 transcript:KJB77228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLPARKGRHRQRCIPYRVEKDVEDSRISVLMISTPNRDDLVFPKGGWEDDETVNEAACREALEEAGVKGILDEEPLGVWEFRSKSKQNSCSLEGGCRGYMFALEVTEELDSWAEQSTYKRQWVSPEEAYKLCRYDWMSEALEKHLRRMERNSNIEKAEKLVEHQMLSAGCSTKPSNLEESFSKCIVQG >KJB77088 pep chromosome:Graimondii2_0_v6:12:27470107:27473115:1 gene:B456_012G119900 transcript:KJB77088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHNMLFLLRFQYPCPSPSPFAFGCTRPKPYRSPQLSFSTPRRPRRSRSSRSPWNHSHNSHSLSLKRTIDFESSADNPNLKLLLHFDPISPLSSFDRFVSFSSDAFQDLLHSVHIDTQTRTFRFSCRKSTLQFLAGFLVCGFLVAFAFRVCFRLGLAFKARFSPKQKVIVRRDRSLGGKEVIVGTTRDHHHPRTNSSALDNPLSLSATPPNLANKTHYPRLHVRHELPKWWPQQLPQRNTASVFDSEYYQTKANRLIKAIIDNRLGGKDFSEENIIQLRQICRASGVCVSIDTTNTRDSLYRAAVELVLNVCCRAPINSTNVQIDGEDAREFLAGLAENIGLDNIRASRMVSAGVAARTRFCFLQAWAFEMQSKHTEAVSELSKICLIHGIFPPGKSSPEMEMVARGLEKILKVEQRELLMATVVGYCNCSEEIRTSAAEALGLVC >KJB77089 pep chromosome:Graimondii2_0_v6:12:27470134:27473040:1 gene:B456_012G119900 transcript:KJB77089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHNMLFLLRFQYPCPSPSPFAFGCTRPKPYRSPQLSFSTPRRPRRSRSSRSPWNHSHNSHSLSLKRTIDFESSADNPNLKLLLHFDPISPLSSFDRFVSFSSDAFQDLLHSVHIDTQTRTFRFSCRKSTLQFLAGFLVCGFLVAFAFRVCFRLGLAFKARFSPKQKVIVRRDRSLGGKEVIVGTTRDHHHPRTNSSALDNPLSLSATPPNLANKTHYPRLHVRHELPKWWPQQLPQRNTASVFDSEYYQTKANRLIKAIIDNRLGGKDFSEENIIQLRQICRASGVCVSIDTTNTRDSLYRAAVELVLNVCCRDYAGHQSTLQMFRLMVKMLENSLLG >KJB74546 pep chromosome:Graimondii2_0_v6:12:10767457:10768257:1 gene:B456_012G072900 transcript:KJB74546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIGDCLDLIREGWVMEVRHIFREGNHYADHLANLAHEGTNGLVRLPNPPDGLLPSLHADALRHGKLRF >KJB77759 pep chromosome:Graimondii2_0_v6:12:32947193:32948129:1 gene:B456_012G155700 transcript:KJB77759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTMILAWILILSTAMAVARCQDYYSHAGPYIPPPQKVTYLHFFLHDTMSGNNLSAVPIVSPNITTSTGFGGVIAFDDPLTVGPDITSEVIGNAQGLWVSTGKDVLTLMAYLDIGFTKGEFNGSSISVLSRNPITESERELAVVGGKGKFRISKGYAQLKTYSVNFKTGDAIVEYNVTVIHY >KJB74624 pep chromosome:Graimondii2_0_v6:12:561261:562548:-1 gene:B456_012G004500 transcript:KJB74624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVGLTGGIASGKSTVSSMFKHNHIPVVDADIIARDALKKNSGGYKKVVAAFGPDILQDDGQVDRLKLGRIVFSDASKRQLLNRLLAPYISSGIFLEIFKLWIKGHKVIVLDIPLLFEAKMDKWTKPIVVVWVDPETQLRRLMERDNSTEEDARNRINAQMSLDLKKSQADIVIDNTGSRQDLQERFSEVLSQVKRPLTWTEFWLSRDGALSALLGVIIVILAGKKLFW >KJB74622 pep chromosome:Graimondii2_0_v6:12:561261:562548:-1 gene:B456_012G004500 transcript:KJB74622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVGLTGGIASGKSTVSSMFKHNHIPVVDADIIARDALKKNSGGYKKVVAAFGPDILQDDGQVDRLKLGRIVFSDASKRQLLNRLLAPYISSGIFLEIFKLWIKGHKVIVLDIPLLFEAKMDKWTKPIVVVWVDPETQLRRLMERDNSTEEDARNRINAQMSLDLKKSQADIVIDNTGSRQDLQERFSEVLSQVKRPLTWTEFWLSRDGALSALLGVIIVILAGKKLFW >KJB74626 pep chromosome:Graimondii2_0_v6:12:561092:562805:-1 gene:B456_012G004500 transcript:KJB74626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVGLTGGIASGKSTVSSMFKHNHIPVVDADIIARDALKKNSGGYKKVVAAFGPDILQDDGQVDRLKLGRIVFSDASKRQLLNRLLAPYISSGIFLEIFKLWIKGHKVIVLDIPLLFEAKMDKWTKPIVVVWVDPETQLRRLMERDNSTEEDARNRINAQMSLDLKKSQADIVIDNTGSRQDLQERFSEVLSQVKRPLTWTEFWLSRDGALSALLGVIIVILAGKKLFW >KJB74623 pep chromosome:Graimondii2_0_v6:12:559088:562949:-1 gene:B456_012G004500 transcript:KJB74623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVGLTGGIASGKSTVSSMFKHNHIPVVDADIIARDALKKNSGGYKKVVAAFGPDILQDDGQVDRLKLGRIVFSDASKRQLLNRLLAPYISSGIFLEIFKLWIKGHKVIVLDIPLLFEAKMDKWTKPIVVVWVDPETQLRRLMERDNSTEEDARNRINAQMSLDLKKSQADIVIDNTGSRQDLQERFSEVLSQVKRPLTWTEFWLSRDGALSALLGVIIVILAGKKLFW >KJB74625 pep chromosome:Graimondii2_0_v6:12:559087:563060:-1 gene:B456_012G004500 transcript:KJB74625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVGLTGGIASGKSTVSSMFKHNHIPVVDADIIARDALKKNSGGYKKVVAAFGPDILQDDGQVDRLKLGRIVFSDASKRQLLNRLLAPYISSGIFLEIFKLWIKGHKVIVLDIPLLFEAKMDKWTKPIVVVWVDPETQLRRLMERDNSTEEDARNRINAQMSLDLKKSQADIVIDNTGSRQDLQERFSEAY >KJB74621 pep chromosome:Graimondii2_0_v6:12:561261:562548:-1 gene:B456_012G004500 transcript:KJB74621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVGLTGGIASGKSTVSSMFKHNHIPVVDADIIARDALKKNSGGYKKVVAAFGPDILQDDGQVDRLKLGRIVFSDASKRQLLNRLLAPYISSGIFLEIFKLWIKGHKVIVLDIPLLFEAKMDKWTKPIVVVWVDPETQLRRLMERDNSTEEDARNRINAQMSLDLKKSQADIVIDNTGSRQDLQERFSEVLSQVKRPLTWTEFWLSRDGALSALLGVIIVILAGKKLFW >KJB74781 pep chromosome:Graimondii2_0_v6:12:837686:842873:-1 gene:B456_012G007300 transcript:KJB74781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAELSTSCTKTHSSQLSLSSSSSSRFSHHFRRSFRPLPTTRNPTISCSVASDQVQTPIPVQAQDLKGKSECYGVFCLTYDLQAEEETKSWKKLINIAVSGAAGMISNHLLFKIASGEVFGPNQPVALKLLGSERSIQALEGVAMELEDSLFPLLREVSIGINPYEVFQDAEWALLIGAKPRGPGMERADLLDINGQIFAEQGKALNASASPNVKVIVVGNPCNTNALICMKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDQVSNMTIWGNHSTTQVPDFLNARIKGLPVKEVIKDHKWLEEEFTEKVQKRGGVLIKKWGRSSAASTAVSIVDAIRSLITPTPKGDWFSSGVYTNGNPYGIVEDIVFSMPCRSKGDGDYELVKDVIFDDYLLKRIKKSEAELLAEKRCVAHLTGEGIGFCDLPEDTMLPGEM >KJB74782 pep chromosome:Graimondii2_0_v6:12:837733:842819:-1 gene:B456_012G007300 transcript:KJB74782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAELSTSCTKTHSSQLSLSSSSSSRFSHHFRRSFRPLPTTRNPTISCSVASDQVQTPIPVQAQDLKGKSECYGVFCLTYDLQAEEETKSWKKLINIAVSGAAGMISNHLLFKIASGEVFGPNQPVALKLLGSERSIQALEGVAMELEDSLFPLLREVSIGINPYEVFQDAEWALLIGAKPRGPGMERADLLDINGQIFAEQGKALNASASPNVKVIVVGNPCNTNALICMKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDQVSNMTIWGNHSTTQVPDFLNARIKGLPVKEVIKDHKWLEEEFTEKVQKRGGVLIKKWGRSSAASTAVSIVDAIRSLITPTPKGDWFSSGVYTNGNPYGIVEDIVFSMPCRSKSEAELLAEKRCVAHLTGEGIGFCDLPEDTMLPGEM >KJB78318 pep chromosome:Graimondii2_0_v6:12:35135303:35140192:-1 gene:B456_012G184100 transcript:KJB78318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLVSSRYYLLLTFIFIIMYVLSLVPIVKSGDAEALLALKSTIDPFNSLQWQSSTNLCSWQGVKECKEGRVTKLVLEHLNLTGSLNGLVNLKIIYLDNNKFNGEFPESLPGLHRLKIIVLSGNQINGEIPFSLLKLKRLYTLYLQNNELKGPIPPLNQTSLRFFNVSNNQLYGQIPVTPSLVRFNTSSFLGNAGLCGEQVKKPCPGTGTEPGLPSLNRKSNKKTKLIIIVVAASVGGLLLFLLCFLCFIFIKRKNKSTNEVKRNKGVVEAEGVEAGDGGNGGGDGGSGGGGGFWESEGVGSLVFVGAGDQQLSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDARYPRSEEFRRHVELIGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGTRTNGGGKPLHWTSCLKIAEDLASGLLYIHQNPGLTHGNLKSSNVLLGPDFESCLTDYGLTLFRDPESPEELGAATFFYRAPECRDIRKASTQPADVYSFGVLLLELLTGKTPFQDLVQEHGSDIPQWVRSVREEETESGDEPTSGNETSEGKLQSLLNIAMACIALVPENRPMMREVLKMIRDVRAEAQVSSNSSEHSPGRWSGTVQSLPREEQLSI >KJB78317 pep chromosome:Graimondii2_0_v6:12:35135095:35140372:-1 gene:B456_012G184100 transcript:KJB78317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLVSSSTNLCSWQGVKECKEGRVTKLVLEHLNLTGSLNGLVNLKIIYLDNNKFNGNAGLCGEQVKKPCPGTGTEPGLPSLNRKSNKKTKLIIIVVAASVGGLLLFLLCFLCFIFIKRKNKSTNEVKRNKGVVEAEGVEAGDGGNGGGDGGSGGGGGFWESEGVGSLVFVGAGDQQLSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDARYPRSEEFRRHVELIGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGTRTNGGGKPLHWTSCLKIAEDLASGLLYIHQNPGLTHGNLKSSNVLLGPDFESCLTDYGLTLFRDPESPEELGAATFFYRAPECRDIRKASTQPADVYSFGVLLLELLTGKTPFQDLVQEHGSDIPQWVRSVREEETESGDEPTSGNETSEGKLQSLLNIAMACIALVPENRPMMREVLKMIRDVRAEAQVSSNSSEHSPGRWSGTVQSLPREEQLSI >KJB78315 pep chromosome:Graimondii2_0_v6:12:35135037:35140624:-1 gene:B456_012G184100 transcript:KJB78315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLVSSRYYLLLTFIFIIMYVLSLVPIVKSGDAEALLALKSTIDPFNSLQWQSSTNLCSWQGVKECKEGRVTKLVLEHLNLTGSLNGTSLNLLDQLRVLSFKGNSISGQIPNLSGLVNLKIIYLDNNKFNGNAGLCGEQVKKPCPGTGTEPGLPSLNRKSNKKTKLIIIVVAASVGGLLLFLLCFLCFIFIKRKNKSTNEVKRNKGVVEAEGVEAGDGGNGGGDGGSGGGGGFWESEGVGSLVFVGAGDQQLSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDARYPRSEEFRRHVELIGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGTRTNGGGKPLHWTSCLKIAEDLASGLLYIHQNPGLTHGNLKSSNVLLGPDFESCLTDYGLTLFRDPESPEELGAATFFYRAPECRDIRKASTQPADVYSFGVLLLELLTGKTPFQDLVQEHGSDIPQWVRSVREEETESGDEPTSGNETSEGKLQSLLNIAMACIALVPENRPMMREVLKMIRDVRAEAQVSSNSSEHSPGRWSGTVQSLPREEQLSI >KJB78316 pep chromosome:Graimondii2_0_v6:12:35135303:35140192:-1 gene:B456_012G184100 transcript:KJB78316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLVSSRYYLLLTFIFIIMYVLSLVPIVKSGDAEALLALKSTIDPFNSLQWQSSTNLCSWQGVKECKEGRVTKLVLEHLNLTGSLNGTSLNLLDQLRVLSFKGNSISGQIPNLSGLVNLKIIYLDNNKFNGEFPESLPGLHRLKIIVLSGNQINGEIPFSLLKLKRLYTLYLQNNELKGPIPPLNQTSLRFFNVSNNQLYGQIPVTPSLVRFNTSSFLGNAGLCGEQVKKPCPGTGTEPGLPSLNRKSNKKTKLIIIVVAASVGGLLLFLLCFLCFIFIKRKNKSTNEVKRNKGVVEAEGVEAGDGGNGGGDGGSGGGGGFWESEGVGSLVFVGAGDQQLSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDARYPRSEEFRRHVELIGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGTRTNGGGKPLHWTSCLKIAEDLASGLLYIHQNPGLTHGNLKSSNVLLGPDFESCLTDYGLTLFRDPESPEELGAATFFYRAPECRDIRKASTQPADVYSFGVLLLELLTGKTPFQDLVQEHGSDIPQWVRSVREEETESGDEPTSGNETSEGKLQSLLNIAMACIALVPENRPMMREVLKMIRDVRAEAQVSSNSSEHSPGRWSGTVQSLPREEQLSI >KJB78011 pep chromosome:Graimondii2_0_v6:12:34241689:34242605:1 gene:B456_012G1724002 transcript:KJB78011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGLVETAVPAAKSTVSSAVKKPTTLSSDPIINVARGDPTIYEPYWKKMGDRCKVVIAGDEFMSYFCNAKNLCWFLLPELDNAIRTLHRVVGNAMVDDDRFIVVGNGSTQLFHALLYALSSPDMPEPINVVAAAPFYS >KJB78010 pep chromosome:Graimondii2_0_v6:12:34241766:34242605:1 gene:B456_012G1724002 transcript:KJB78010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGLVETAVPAAKSTVSSAVKKPTTLSSDPIINVARGDPTIYEPYWKKMGDRCKVVIAGDEFMSYFCNAKNLCWFLLPELDNAIRTLHRVVGNAMVDDDRFIVVGNGSTQLFHALLYALSSPDMPEPINVVAAAPFYS >KJB75666 pep chromosome:Graimondii2_0_v6:12:6627352:6629733:-1 gene:B456_012G050900 transcript:KJB75666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFLLKIPSILQKTNAIPSFNSRCLFLYHSTSHKVENFASLLENCKDFVSLRKLHACIFTNGICQSTFISSKLLTCYQKFGSSLESRWVFDGIIKSNIFLRNSVLVGCFRSGQYGEVLRLYLKLKQKKIGFDCSAITFTLKSCAELGSFGFGKGVHLDVLKFGLSKDGFVGSSLICLYSKNGDMVGASKVFDEITERDVVVYTSMITGYALVGGHITYKAFEFARLMQKEGIDPNRVTMVSLLQAAAELEALEEGRSIHGYAIRRGIGCSDEVFETSLMDMYIKCKVPTMAACIFGRMKMKTIGSWNAMITGYLNMGQPLEALGHFCKMVHENVFPDLISLANGILCCADLKYLQEGKAIQGRIIRIGYELDLIATTALVDMYSKCNDLTRARKLFDVVEKRDVILYNVMMAGYLQNGFATETVDLFIEMVGSGLKPNLGSILNVLSALSEMKNVRGGRSVHGYILKHEFRMNTEVANQIVYMYARCSYIYDARQVFNGIRYKDLISWTSMMMGYIYHANPEEAILLFRMMKREKLDHDSVTLISLLQAFLKLGHLSLAKEVHCHSYRTRLDHETLVINSLITTYAKLGNLNMARNLFEHTNRGCVTSWNTMIAAYGMHGNCKEVLRLFDRMRSEMIKPDDMTFTSILTACSHSGMVEEGLRVFNCMREDYCIIPCEAHYGCIIDLLSRAGRLEEAYELLKLLPARQSASAMAAMLAACRIHGNTELGEVIGHWLLDLEPERPSVYNLVSNLYAESGKWDEAARTRNMAKMRGLKKAAGYSQIELNPRASTM >KJB77221 pep chromosome:Graimondii2_0_v6:12:29086856:29088749:-1 gene:B456_012G126200 transcript:KJB77221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLFVRPEIKAPSGLPMRSILTSYLISKNFEKHESSLHTSRIATILCSDSKQSMYCQMLCALLQRNEVVCVGSVFGSTLVQAIRFFEDYWKELCSNIRRGQLSAWISDTGCINSLSMILNKPNPELADLIEDICNAKSWEGIIKKLWPGTKCIDAIGTGSMAQYIPMLEFYCGGLPLVSKYYASSEGLLGINLKPLSKPCDTCYTLVPNMAYFEFLPVHENNEEERSKKEVIEVVDLVNVKLGQCYELVVTTFIGLYRYKVGDILKVTGYHNNAPQFQFVRRKDAFLSIDSEKTAEDELAEGILQAKLFVGQFQLQLVDYTSAVDISLIPGHCILFWELKMEGSSKSPELSPSIIEESCYIVEQSLNFVYRASRMGNLIGPLEIRMVKHGTFDALMDFSVSKGSSVSQYKTPRCIKLEEALKKLNSRVVGRYFSQQLPGYKTLKWKLNKSVMHARKINSFGD >KJB77746 pep chromosome:Graimondii2_0_v6:12:32871852:32877490:1 gene:B456_012G154500 transcript:KJB77746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHTKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHMSQPLFVSGGDDYKIKVWNYKLHRCVFTLLGHLDYIRTVQFHHENPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLNKKTASPADDILRFSQMNSELFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNETKAWEVDTFRGHMNNVSCVLFHSKLDIIVSNSEDKSIRVWDVTKRTGLQTFRREHDRFWILAVHPEMNVLAAGHDSGMIVFKLERERPAFAVSGESLFYAKDRFLRCYDFSTQREAQVIPIRRPGSASLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPKDSFGRGEMQEAKKGLGSSAIFVARNRFAVLDKGNNQVLIKNLKNEVVKKSGLPVPTDAIFYAGTGNLLCRSEDRVVIFDLQQRLVLGDLQTPLVKYVVWSNDMENVALLSKHTIVITNKKLVHRCTLHETIRVKSGAWDDNGIFIYSTLNHIKYCLPNGDCGIIRTLEVPIYITKVSGNTIFCLDRDGKNKAIVIDATEYIFKLSLLRKRYDQVMSMIRNSQLCGEAMIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLINGNLEKLSKMLKIAEVKNDVMGQFHNALYLGDIKERVKILENAGHLPLAYVTASVHGLQDVADRLAAELGDDVLPLPTGKEPSLLMPPTPVVSGGDWPLLRVMKGIFEGGLDSIGRGGVDEEEGAEGDWGEDLDVVDVDGLQNGDVAAVLEDGEVAEENEEEGGWDLEDLELPPEVETPRVSNNARSSVFVAPTPGMPVSQIWTQRSSLAADHAAAGNFDTAMRLLSRQLGIRNFAPLKSMFLDLHAGSHSYLRALSSAPVVSLAIERGWSESGSPNVRSPPALVFNFSQLDEKLKAGYKATTAGKFTEALRLFLSILHTIPFIVVESRREVDEVKELIIIAKEYVLGMQMELKRREMKDNPVRQQELAAYFTHCNLQLPHLRLALLNAMTVCYKAKNLATAANFARRLLETNPTNENQAKTARQVLQAAERNMTDASQLNYDFRNPFVTCGATYVPIYRGQKDVSCPYCTARFVPSQGGQLCTVCDLAVVGADASGLLCSPSQVR >KJB77745 pep chromosome:Graimondii2_0_v6:12:32871852:32877382:1 gene:B456_012G154500 transcript:KJB77745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHTKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHMSQPLFVSGGDDYKIKVWNYKLHRCVFTLLGHLDYIRTVQFHHENPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLNKKTASPADDILRFSQMNSELFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNETKAWEVDTFRGHMNNVSCVLFHSKLDIIVSNSEDKSIRVWDVTKRTGLQTFRREHDRFWILAVHPEMNVLAAGHDSGMIVFKLERERPAFAVSGESLFYAKDRFLRCYDFSTQREAQVIPIRRPGSASLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPKDSFGRGEMQEAKKGLGSSAIFVARNRFAVLDKGNNQVLIKNLKNEVVKKSGLPVPTDAIFYAGTGNLLCRSEDRVVIFDLQQRLVLGDLQTPLVKYVVWSNDMENVALLSKHTIVITNKKLVHRCTLHETIRVKSGAWDDNGIFIYSTLNHIKYCLPNGDCGIIRTLEVPIYITKVSGNTIFCLDRDGKNKAIVIDATEYIFKLSLLRKRYDQVMSMIRNSQLCGEAMIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLINGNLEKLSKMLKIAEVKNDVMGQFHNALYLGDIKERVKILENAGHLPLAYVTASVHGLQDVADRLAAELGDDVLPLPTGKEPSLLMPPTPVVSGGDWPLLRVMKAEGWLQGNNGWKIHRGSSALSQHSSYDSIYCCGIKEGS >KJB77960 pep chromosome:Graimondii2_0_v6:12:33991617:33993641:-1 gene:B456_012G169900 transcript:KJB77960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVSRRRTLRLLLLFASVSLQFISGFSGDSTSSISLNSKSNANSKNGTKVVIILIVLVAVGLFSFFLFKVWQKRKRDEQYARLLKLFEEDGDLEAELGLHD >KJB77466 pep chromosome:Graimondii2_0_v6:12:31240867:31244212:1 gene:B456_012G138500 transcript:KJB77466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKACNSHYHNQSLLLLPLFLSLLVAGLAYPTQDEQSQIISRFQQYLQINTTQPSPDYQSSTQFLLSQANSLSLESQVIEFVKGKPVILLKWPGSDLSLPSILLNSHTDVVPFEPSKWTHPPLGAYIDEGGNIFGRGSQDMKSVGMQYLEAIRRLKLSGFQPKRSLYLSFVPDEEIGGYDGAKKLAYSDVFKDMNVEIVLDEGLPSTDENYRLFYAEMAPWWLVIKSNGAPGHGAKLYDNSAMENLLKSIESIRRFRASQFDLLKAGLKSKGDVISVNMVFLKAGTPSPTPSEAEAGFDIRAPPTADIESLEKRIEEEWAPASRNMTFEFKQKVTPHDDLGRPLITATDDSNPWWTLIEEAINKANGKIGKPEILSGATDARYFRQLGLTAIGFSPMTNTPFLLHDHNEFLNKAEYFKGINVYESIIEAYTSYIPPGRDGVSRDEL >KJB77467 pep chromosome:Graimondii2_0_v6:12:31240867:31244212:1 gene:B456_012G138500 transcript:KJB77467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKACNSHYHNQSLLLLPLFLSLLVAGLAYPTQDEQSQIISRFQQYLQINTTQPSPDYQSSTQFLLSQANSLSLESQVIEFVKGKPVILLKWPGSDLSLPSILLNSHTDVVPFEPSKWTHPPLGAYIDEGGNIFGRGSQDMKSVGMQYLEAIRRLKLSGFQPKRSLYLSFVPDEEIGGYDGAKKLAYSDVFKDMNVEIVLDEGLPSTDENYRLFYAEMAPWWLVIKSNGAPGHGAKLYDNSAMENLLKSIESIRRFRASQFDLLKAGLKSKGDVISVNMVFLKAGTPSPTGFVMNLQPSEAEAGFDIRAPPTADIESLEKRIEEEWAPASRNMTFEFLNKAEYFKGINVYESIIEAYTSYIPPGRDGVSRDEL >KJB77468 pep chromosome:Graimondii2_0_v6:12:31240867:31244212:1 gene:B456_012G138500 transcript:KJB77468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKACNSHYHNQSLLLLPLFLSLLVAGLAYPTQDEQSQIISRFQQYLQINTTQPSPDYQSSTQFLLSQANSLSLESQVIEFVKGKPVILLKWPGSDLSLPSILLNSHTDVVPFEPSKWTHPPLGAYIDEGGNIFGRGSQDMKSVGMQYLEAIRRLKLSGFQPKRSLYLSFVPDEEIGGYDGAKKLAYSDVFKDMNVEIVLDEGLPSTDENYRLFYAEMAPWWLVIKSNGAPGHGAKLYDNSAMENLLKSIESIRRFRASQFDLLKAGLKSKGDVISVNMVFLKAGTPSPTGFVMNLQPSEAEAGFDIRAPPTADIESLEKRIEEEWAPASRNMTFEFKQKVTPHDDLGRPLITATDDSNPWWTLIEEAINKANGKIGKPEILSGATDARYFRQLGLTAIGFSPMTNTPFLLHDHNEFLNKAEYFKGINVYESIIEAYTSYIPPGRDGVSRDEL >KJB76444 pep chromosome:Graimondii2_0_v6:12:15211518:15215511:1 gene:B456_012G088900 transcript:KJB76444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVEGLLTQIQGLSSTASDVLSLQNVLKQADESLHAESTRLLPFLDQLDPSKHSLGYLYFLEACTAGPVTTESASSFVLVIARFISSCVAEQIRLAPDKFISVCKRFKDQVLLLEEPLRGVAPMLTAVRKLQSSTEHLTTLHPEFLLLCLLAKCYKTGLSILEEDIFEVDQPRDLYLYCYYGGMICIGQKCFRKALELLHNVVTAPMSTINAIAVEAYKKYILVSLIHHGQLSTSLPKYASGVAQRNLKSLCLSYIELANSYNNGKIADLETYVQANMEKFGSDNNLGLVKQVVSSIYKRNIQRLTQTYLTLSLQDIANTVQLNSPKEAEMHVLQMIQDGEIYATINQKDGMVRFLEDPELYKTCEMIEHIDLSIQRLMTLSKKLTVMDELISCDPLYLGKVSLPFPFIKLF >KJB76443 pep chromosome:Graimondii2_0_v6:12:15211518:15215511:1 gene:B456_012G088900 transcript:KJB76443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAVRKLQSSTEHLTTLHPEFLLLCLLAKCYKTGLSILEEDIFEVDQPRDLYLYCYYGGMICIGQKCFRKALELLHNVVTAPMSTINAIAVEAYKKYILVSLIHHGQLSTSLPKYASGVAQRNLKSLCLSYIELANSYNNGKIADLETYVQANMEKFGSDNNLGLVKQVVSSIYKRNIQRLTQTYLTLSLQDIANTVQLNSPKEAEMHVLQMIQDGEIYATINQKDGMVRFLEDPELYKTCEMIEHIDLSIQRLMTLSKKLTVMDELISCDPLYLGKAGRERQRFDFDDFDSVPQRFNI >KJB76442 pep chromosome:Graimondii2_0_v6:12:15211503:15215511:1 gene:B456_012G088900 transcript:KJB76442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVEGLLTQIQGLSSTASDVLSLQNVLKQADESLHAESTRLLPFLDQLDPSKHSLGYLYFLEACTAGPVTTESASSFVLVIARFISSCVAEQIRLAPDKFISVCKRFKDQVLLLEEPLRGVAPMLTAVRKLQSSTEHLTTLHPEFLLLCLLAKCYKTGLSILEEDIFEVDQPRDLYLYCYYGGMICIGQKCFRKALELLHNVVTAPMSTINAIAVEAYKKYILVSLIHHGQLSTSLPKYASGVAQRNLKSLCLSYIELANSYNNGKIADLETYVQANMEKFGSDNNLGLVKQVVSSIYKRNIQRLTQTYLTLSLQDIANTVQLNSPKEAEMHVLQMIQDGEIYATINQKDGMVRFLEDPELYKTCEMIEHIDLSIQRLMTLSKKLTVMDELISCDPLYLGKAGRERQRFDFDDFDSVPQRFNI >KJB74769 pep chromosome:Graimondii2_0_v6:12:731008:732055:1 gene:B456_012G006100 transcript:KJB74769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEETGKVNNENDLKATFKEMLMSNTKKADVEEGRVENGEISLLEDDVRISMEGQYPEISFSKRVHELIDRSMSQTIIAWLLGRSIGYGALVNCIKNMWEISGDIQVVDLDNEYFFIKFSRFKGLRMVLLGGPRMIYDPYLVVQP >KJB77833 pep chromosome:Graimondii2_0_v6:12:33277183:33280158:1 gene:B456_012G160000 transcript:KJB77833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQALNRAGGKSGNKEAICFYSTQYIEWQISRNYLVNRFLSFHKITQILLGIWTNFIKKKHIKLILKSSNNNLLRVDSFRRSFLSVIRRAIDRTLNNERYCFR >KJB78244 pep chromosome:Graimondii2_0_v6:12:35256094:35257820:-1 gene:B456_012G1855001 transcript:KJB78244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLKRIENKINRQVTFSKRRAGLLKKAHEISVLCDAEVALIVFSHKGKLFEYSTDSCMEKILERYE >KJB77663 pep chromosome:Graimondii2_0_v6:12:32367371:32369342:-1 gene:B456_012G149800 transcript:KJB77663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTTQEQQSEAGRHQEVGHKSLLQSDALYQYMLETSVYPREPEPMKELRELTAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKILAMDINRENYELGLPVIQKAGVAHKIEFKEGPAMPVLDKLVEDEKNHGSYDFIFVDADKDNYLNYHKRLIELVKVGGLIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAVDPRIEICMLPVGDGITLCRRVK >KJB76037 pep chromosome:Graimondii2_0_v6:12:9959249:9965184:-1 gene:B456_012G068200 transcript:KJB76037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFSQNSHYKLSFLVLVLWVSHLVDSKSGFELDEPLTYLWPLPSEFTSGNQTLTVDPTLSFSALGKGGNSKILIEGFERYKKIIFKHVSGISLFGKSRGIRSVYDISELRFIVNSDSEELQLGVDESYTLFVAKKDGKSIVGEATIEANTVYGALRGLETFSQLCAFDYETKSVQIYKAPWYIKDKPRFAFRGLMLDTSRHYLPIDVIKQIIESMSYAKLNVLHWHIIDEESFPLEVPSYPKLWNGAYTKWERYTIEDASEIVSFAKMRGIHIMAEVDVPGHAESWGAGYPDLWPSSTCREPLDVSKSFTFDLISGILSEMRKIFPFELFHLGGDEVHTDCWTSTPHIKQWLNDQNMTAKDAYQYFVLKAQEIAISKNWTPVNWEETFNSFPSKLNPRTIVHNW >KJB76035 pep chromosome:Graimondii2_0_v6:12:9957505:9965331:-1 gene:B456_012G068200 transcript:KJB76035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFSQNSHYKLSFLVLVLWVSHLVDSKSGFELDEPLTYLWPLPSEFTSGNQTLTVDPTLSFSALGKGGNSKILIEGFERYKKIIFKHVSGISLFGKSRGIRSVYDISELRFIVNSDSEELQLGVDESYTLFVAKKDGKSIVGEATIEANTVYGALRGLETFSQLCAFDYETKSVQIYKAPWYIKDKPRFAFRGLMLDTSRHYLPIDVIKQIIESMSYAKLNVLHWHIIDEESFPLEVPSYPKLWNGAYTKWERYTIEDASEIVSFAKMRGIHIMAEVDVPGHAESWGAGYPDLWPSSTCREPLDVSKSFTFDLISGILSEMRKIFPFELFHLGGDEVHTDCWTSTPHIKQWLNDQNMTAKDAYQYFVLKAQEIAISKNWTPVNWEETFNSFPSKLNPRTIVHNWLGPGVCPKAVAKGFRCIFSNQGAWYLDHLDVPWNEVYSAEPLEGINNVSEQNLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERLWSKREAVSARNITLTILPRLHFFRCLLNRRGVQAAPVTNKYARQPPIGAGSCYEQ >KJB76036 pep chromosome:Graimondii2_0_v6:12:9957603:9965307:-1 gene:B456_012G068200 transcript:KJB76036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFSQNSHYKLSFLVLVLWVSHLVDSKSGFELDEPLTYLWPLPSEFTSGNQTLTVDPTLSFSALGKGGNSKILIEGFERYKKIIFKHVSGISLFGKSRGIRSVYDISELRFIVNSDSEELQLGVDESYTLFVAKKDGKSIVGEATIEANTVYGALRGLETFSQLCAFDYETKSVQIYKAPWYIKDKPRFAFRGLMLDTSRHYLPIDVIKQIIESMSYAKLNVLHWHIIDEESFPLEVPSYPKLWNGAYTKWERYTIEDASEIVSFAKMRGIHIMAEVDVPGHAESWGAGYPDLWPSSTCREPLDVSKSFTFDLISGILSEMRKIFPFELFHLGGDEVHTDCWTSTPHIKQWLNDQNMTAKDAYQYFVLKAQEIAISKNWTPVN >KJB77374 pep chromosome:Graimondii2_0_v6:12:30689134:30694666:-1 gene:B456_012G134700 transcript:KJB77374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAAVWLGFFVLVLLSVNSEGSRHGGELSHSDVLRQQEADRVVELPGQPAVEFKQYAGYVTVNESHGRALFYWFFEATSKPEKKPLLLWLNGGPGCSSIGYGEAEELGPFFTQKDEQTLKLNPHRWNKAANLLFLESPVGVGFSYTNTSSDIHQLGDKITGHYVPQLAELIFDSNKIVPKSDYINFKGFMIGNALMDDETDQTGMVDYAWDHAVISDGVYSNIKIKCNFSTPNTTNGCNEAMQAYFDVYNIIDMYSLYAPTCNSNSSTSNNRQRPMIQGIAPQIFSKFDRWHMRPAGYDPCLSDYTEVYLNRPDVQQALHANVTNISYPWTHCSDIINTWGDAPSSMLPTLKKLIAGGIRIWVFSGDTDGRIPVTATRLTLNKLGQKIIEDWTPWYTNHKQVGGWTIEYEGLMFVTIRGAGHQVPTFKPSQALQLVRHFLASKKLPPAPF >KJB77373 pep chromosome:Graimondii2_0_v6:12:30689130:30694825:-1 gene:B456_012G134700 transcript:KJB77373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAAVWLGFFVLVLLSVNSEGSRHGGELSHSDVLRQQEADRVVELPGQPAVEFKQYAGYVTVNESHGRALFYWFFEATSKPEKKPLLLWLNGGPGCSSIGYGEAEELGPFFTQKDEQTLKLNPHRWNKAANLLFLESPVGVGFSYTNTSSDIHQLGDKITAEDSYIFLVNWFKRFPQFKSRDFYIAGESYAGHYVPQLAELIFDSNKIVPKSDYINFKGFMIGNALMDDETDQTGMVDYAWDHAVISDGVYSNIKIKCNFSTPNTTNGCNEAMQAYFDVYNIIDMYSLYAPTCNSNSSTSNNRQRPMIQGIAPQIFSKFDRWHMRPAGYDPCLSDYTEVYLNRPDVQQALHANVTNISYPWTHCSDIINTWGDAPSSMLPTLKKLIAGGIRIWVFSGDTDGRIPVTATRLTLNKLGQKIIEDWTPWYTNHKQVGGWTIEYEGLMFVTIRGAGHQVPTFKPSQALQLVRHFLASKKLPPAPF >KJB77375 pep chromosome:Graimondii2_0_v6:12:30689305:30694618:-1 gene:B456_012G134700 transcript:KJB77375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAAVWLGFFVLVLLSVNSEGSRHGGELSHSDVLRQQEADRVVELPGQPAVEFKQYAGYVTVNESHGRALFYWFFEATSKPEKKPLLLWLNGGPGCSSIGYGEAEELGPFFTQKDEQTLKLNPHRWNKAANLLFLESPVGVGFSYTNTSSDIHQLGDKITAEDSYIFLVNWFKRFPQFKSRDFYIAGESYAGHYVPQLAELIFDSNKIVPKSDYINFKGFMIGNALMDDETDQTGMVDYAWDHAVISDGVYSNIKIKCNFSTPNTTNGCNEAMQAYFDVYNIIDMYSLYAPTCNSNSSTSNNRQRPMIQGIAPQIFSKFVSSFSHPSLKNIGKMSRFKFESDFLQDRWHMRPAGYDPCLSDYTEVYLNRPDVQQALHANVTNISYPWTHCSDIINTWGDAPSSMLPTLKKLIAGGIRIWVFSGDTDGRIPVTATRLTLNKLGQKIIEDWTPWYTNHKQVGGWTIEYEGLMFVTIRGAGHQVPTFKPSQALQLVRHFLASKKLPPAPF >KJB74801 pep chromosome:Graimondii2_0_v6:12:902980:903338:-1 gene:B456_012G008400 transcript:KJB74801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILCYKFIPYPWNRFSIITLFHSSYHARSVPLAPIHQDFTPQMCSLSPQNPLIFPPILPCLLPLIYMNTKDYPYAFLTLSLFDKC >KJB74731 pep chromosome:Graimondii2_0_v6:12:595464:598153:-1 gene:B456_012G004800 transcript:KJB74731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVMDCRKEVVKTSEKCLDPQLWHACAGNMVQMPAVNSKVFYFPQGHAEHANRNVDFGSLLIPSLILCRISAIKFMADPETDEVYAKIMLVPLRENDFGYEDGFDGNIGMENLEKPPSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTIHAKDVHGNVWKFRHIYRGTPRRHLLTTGWSNFVNHKKLVAGDSIVFLRAENGDLCVGIRRVKRGIGSGHEYPSSWNLGDGSSGYSPLLREGESKSMRNDSNGDLRGRIRPENVIEAVTRAANGQPFEVVYYPRASTPEFCVKASSVRTATQIHWYPGMRFKMAFETEDSSRISWFMGTISIAQVVDPIRWPNSPWRLLQVAWDEPDLLHDVKRVSPWLVELVTNIPAIHLNPFSPPRKRMRLPQHPDFSLLGQIPMPSFSDSTFRSSSPACCITNNIPGGIQGARHAPFGLSSSDLRSSKLQSGLFPHGFNQLDHTIPPMGHSSDYGNNRNISSSPAMGNLTQSLKESNEIKTPHILLFGQLIFCEQQVSQSCSDTIGNSSSNGNTEKTMISSDASGSALHQNARENSSDEGSPWYKELPKTNMGLETGHCQVFTESENVGRTLDLSVLRSYEELHGNLANMFGVKSSDMLSNVFYHDAAASVKHTGDEPFSEFLKTARRLTVLTDSGSDNMGR >KJB74730 pep chromosome:Graimondii2_0_v6:12:595474:598551:-1 gene:B456_012G004800 transcript:KJB74730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVMDCRKEVVKTSEKCLDPQLWHACAGNMVQMPAVNSKVFYFPQGHAEHANRNVDFGSLLIPSLILCRISAIKFMADPETDEVYAKIMLVPLRENDFGYEDGFDGNIGMENLEKPPSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTIHAKDVHGNVWKFRHIYRGTPRRHLLTTGWSNFVNHKKLVAGDSIVFLRAENGDLCVGIRRVKRGIGSGHEYPSSWNLGDGSSGYSPLLREGESKSMRNDSNGDLRGRIRPENVIEAVTRAANGQPFEVVYYPRASTPEFCVKASSVRTATQIHWYPGMRFKMAFETEDSSRISWFMGTISIAQVVDPIRWPNSPWRLLQVAWDEPDLLHDVKRVSPWLVELVTNIPAIHLNPFSPPRKRMRLPQHPDFSLLGQIPMPSFSDSTFRSSSPACCITNNIPGGIQGARHAPFGLSSSDLRSSKLQSGLFPHGFNQLDHTIPPMGHSSDYGNNRNISSSPAMGNLTQSLKESNEIKTPHILLFGQLIFCEQQVSQSCSDTIGNSSSNGNTEKTMISSDASGSALHQNARENSSDEGSPWYKELPKTNMGLETGHCQVFTESENVGRTLDLSVLRSYEELHGNLANMFGVKSSDMLSNVFYHDAAASVKHTGDEPFSEFLKTARRLTVLTDSGSDNMGR >KJB75702 pep chromosome:Graimondii2_0_v6:12:6738621:6742667:1 gene:B456_012G052000 transcript:KJB75702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFEAQMVQEWQETYVDYSNLKSILKDILRFKQLNNAPSPMAAAIKGKLKRNASLYRAFSGLTSRFRPDSTLKNNEDEVILVSAVQEGDGEGDYQTMFLRSNEEGAEHELVFFRRLDDEFNKVIKFYKKNVAEVIVEADELSKQMNALIALRIKVDNPVIVQNDVNLVPNGFSSNSSSIDHPTNGGNQAWSMMNVIQEEQKEEDNDGINGGEGNKKEGFKAAPLEVLDHVKINVEVGTPLSTLKAVIRSSKSDLSFSRKELRTAEEKITRAIVEFYRKLRLLKSYCFLNQLAFSKIMKKYDKISSRNASKAYLQMVDKSYFGSSDEVTTLTERVEDTYAKHFANGNRRKGMKKLRPQAKRERHRITFLYGLFSGCSIALTVAIILNLHARALLKSVERDQYMVNIFPLYSLFGYIALHMLMYAGNIYFWKRYRINFPFIFGFKQGTELGYRQLLLLTSGLSLLTLAAAISHLDIEIDPRTQTFRTLTELIPLFLLILVVSIAFCPFNIIYRSSRFFLIRCAFRCVCAPLYEVTLPDFFLADQLTSQVQAFRSLEFYICYYAWGNFRERSNKCEDSEVYRVFYIVVAIVPYSLRFIQCLRRLLEEKDAAHGVNGLKYLSTIAAVALRTIYQFQKQKATTWLVLAAATSGMATIFNTYWDIVIDWGLLRRKSSNPWLRDKLVLPHKVVYFLAMALNCVLRLVWMQQVLGIQTVLFLHGTALTAVVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFCYDHNGDNSM >KJB74504 pep chromosome:Graimondii2_0_v6:12:33354529:33357821:-1 gene:B456_012G1615001 transcript:KJB74504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARVIAIAGNSTAAASSCLLIKTPFALQRCSNGLRFNNVRRSSKRLFSCNAIYNPQVQIKREGQPETLDYRVFFEDNSGKKISPWHDVPLHLGDGVFSFIVEIPKESSAKMEVATDELYTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSLANSEVEGAFGDNDPVDVVEIGESRRKIGDILKVKPLAALAMIDEGELDWKIVAISLDDPRASLVNDIDDVEKHFPGTLTAVRDWFRDYKIPDGKPANKFGLGNKAANKDYALKVITETNESWAKLVKRSIPAGELSLV >KJB74506 pep chromosome:Graimondii2_0_v6:12:33355287:33357786:-1 gene:B456_012G1615001 transcript:KJB74506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARVIAIAGNSTAAASSCLLIKTPFALQRCSNGLRFNNVRRSSKRLFSCNAIYNPQVQIKREGQPETLDYRVFFEDNSGKKISPWHDVPLHLGDGVFSFIVEIPKESSAKMEVATDELYTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSLANSEVEGAFGDNDPVDVVEIGESRRKIGDILKVKPLAALAMIDEGELDWKIVAISLDDPRASLVNDIDDVEKHFPGTLTAVRDWFRDYKIPDGKPANKFGLGNKAANK >KJB74505 pep chromosome:Graimondii2_0_v6:12:33355287:33357786:-1 gene:B456_012G1615001 transcript:KJB74505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARVIAIAGNSTAAASSCLLIKTPFALQRCSNGLRFNNVRRSSKRLFSCNAIYNPQVQIKREGQPETLDYRVFFEDNSGKKDTKKGKLRYYPYNINWNYGLLPQTWEDPSLANSEVEGAFGDNDPVDVVEIGESRRKIGDILKVKPLAALAMIDEGELDWKIVAISLDDPRASLVNDIDDVEKHFPGTLTAVRDWFRDYKIPDGKPANKFGLGNKAANK >KJB76394 pep chromosome:Graimondii2_0_v6:12:14755745:14760620:-1 gene:B456_012G086500 transcript:KJB76394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFKFLKLSFFVAATVVGALNFTESAVYIHFDQTPPPWSRFSTSIFRYSFERPDGSKACRNNSCSIYCERITKIFNFLFLDEQLDGQRLKPCHVGNLVLKNLTANRKHNFLLNVTTHNGDKNSSAYSWFIDTIPPTAKISSEQNYTNAEKITVDITFSEACTRHGGFSCLNSSNCDVIVDGPAHVQPSSLSTIEPNIKYSFLLVLPFKSMYGRVVVTLADEFCKDRAGNNFTRSNTSTIIIHFDRRPVLVDLWSSVPSYELEINGVPRTVFATNGTEDLEVYVDFSIPVINSTEQILNALDVNFGSLIPVNQRTHGNSRFAFKLKNIASKTEIITVKLQASLLIGRTGTPVSPVNSLAFLYDCKKPGIGLSTSSENVTKDSSINIIVEFTKPVFGFGASVVEVNGGRLIRFKELSRALYSFTVMAITNNMVSVSIPEGKVNDISGNMNVASNRLEIVHSDSTPAISTALHSFVTAGVLATTLAAAALSLASTNLGAIARNNYVASDPSMNLHGMIGHLQVFVLSDWLLADQPIEYSETTKGLRWLIPRQKLPWKKNGSSLWPNNVYLDLGRFLKRRRRAYHAIGLINWSYMPQKLLFPTEIDPKFHGRHNISKENTPFGLPLSSNEYFTFFLRGEPLSAGNVVKKLQNCKGWQDMEMNLFWLSIGVGSLLLLHFVLLVFLKWRIGTAAQWMLSVPRFELLLLILALPCISQSAAFVIRGGTIEGIITGALLLAIPAAFILSVCLFLTIAVFTGSFAQYKEIIYGNAEEQWHKKLWFFIVGRPAYGKWFYMDGLPSLFLSCFGILFDDHKGPPMYVFVDQNDTNTVPRWVGSGQNGIGRMRAVSSDDSHEEMKIPLPMRFLGCARSSYIVLDLLRRVCLGVIAGSYSTHRSSQSLCALMVTLVQFICLFTLKPHIRRGLYIVESISLLSEASIFGLSLSMNKSNSIRAKTLGFLMLALLFLSFVSQLVNEWYALINFILNLSQPHKSSFKLGLKFAAKGLLLPFFPRKHWSRVIPGSSQAKSVLVPVLPQSRETELARSNQREPCVSQLSSMTATIVPLISPSSGSPIIQATGTTGETTLTAQKKDMKKLRQLAKASFSGQSKDEDTKASYRFRLESFSHETVSNDPQTSTSNVMK >KJB74874 pep chromosome:Graimondii2_0_v6:12:1339253:1342951:1 gene:B456_012G011800 transcript:KJB74874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNWLGFSLTPDLRIDESFGREDHGGFPSVMPLRSDGSLCVVDPFRRSSTAADEDWRYENGIGAATANEQGPKLEDFLGCYSNSPSQETKAYCGTHENQNTVPSPTRINVNVAPNYSSSGDADAAENFTNPSSFIQTYRNYNENPQTLMAGGHSLQQCDPNPNHNQRSGVYHVPFESATSVSGFKSWLRQTPFPGGKASGNETNNNFNFQALSLTMSPTSRNGFPATAPLEVVDNRKRPVGRTLTRESVPRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQVYLGGYDKEEKAAKAYDLAALKYWGPTTHINFPLSTYEKELEEMKNMTRQEFVAHLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDISRYDVKRICSSSTLIGGELAKRSPKDTACIAPEDYNSCASSASPQPLLAIPSGEASDELADMVWTANSDEQQHQNTNTNNDASLANSSSRNSSKPQSPKGSIGLASDQFGIGGDYSHQGYFSLQGSKYEDGNSETDNSNENRLGNLGLVHKIPMFALWNE >KJB75221 pep chromosome:Graimondii2_0_v6:12:4036393:4038018:1 gene:B456_012G032500 transcript:KJB75221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHSNTHTEAAKMEQIITEFFYKSLLIILESRSPYVSSRNYIGEQIMSSPSSSPSSFSGCPSELENFYLCRQSNFEPVVIDVILEQKPLDWDPVTFSPKPDLVKHSSSKDKNSFFWNSDQEESGCEAKGEKVIERWFVQHESRKGRDCSSGSRRSSSNNLSALYKKLLLLLRSLYVTFRLLPAYKIFRDLNSSGQIRAFKLLPRVSSSDKSFTQKDEAEMQPFWFTPVDTSCGRLCLSVLYRSSISDTSSQSSTPMSPQFIPDYVGSPLTDPLRRFPSLPLSPCVPPPMSLPPHPPGASLPHKKNTNFDEYWPSPNFSASPSPSPSPPIRIPGGHLSKALLRSESAHVNIPQNLPPSPPLKVSRADSSWTDNNMGPMKPGATIEKLFSFGKGDGQKYSGVNSPRISFFRSSSRSIQDDFDDSEFPCPFDVEYDDTDAGSR >KJB77376 pep chromosome:Graimondii2_0_v6:12:30703470:30704645:-1 gene:B456_012G134800 transcript:KJB77376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin X, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50320) UniProtKB/Swiss-Prot;Acc:Q8LD49] MDTLLSSSSSMVVRSPLPPVRTIASPSKVYSAAARFSSTPSVNGFRRNHLQLPRPFTSSSVPKFSVRCGAGVREIKESEFQSTVLESNRPVLVEFVATWCGPCRLISPAMESIAQEYGDKLEVVKIDHDANPQLIEEYKVYGLPTLILFKDGQEVAESRREGAITKAKLKEYVDSLLETISVA >KJB76662 pep chromosome:Graimondii2_0_v6:12:20860954:20861875:1 gene:B456_012G098800 transcript:KJB76662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRALHYANNYSHILRAYISIFSHNTTRQLDIDCVISFAIMHTQHSMKFGGVVVALDSRLFVANSWQQQQSKLVASSSDCPSSDLKNFKSPGASTTIGNEKNINYIMTELRCIRNFNHSSLILANFSNKWKSNSRHKTTCQILKPENLPYKLYPV >KJB74500 pep chromosome:Graimondii2_0_v6:12:7502244:7514810:1 gene:B456_012G0557001 transcript:KJB74500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGISVPRYALVNREETYQELDYFIEDEDYVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEIRYPVLLTPNEKQMAREVCIAFRQAVCGFDLLRSEGRSYACDVNGWSFVKNSHKYYDDAACVLRKMFLDAKAPHLSSVIPPTLPWKVNEPVQPSERLTRQGSGVIGSSGQSEELRCVIVVIRHGDRTPKQKVKLKVTEENLLNLMLKYNGGRPRSETKLKSAVELQDLLDATRMLVPRPGVGSDNEAEDLEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVQKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENVSIEMEKAKTRLNEIITSGARTIPSNGTSECPWMVDGAGLPSNASELLPKLVTLTKKVTEQVRVLAKHEDENLAETSPYDVILPYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMFARWKKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLNLEDLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEALHVAVVKGNQDNCSNSTKTAKANKVFPPNISIKTDDIRRSNTTSEMSIDQDDDDDKETKYRLDPKYANVMTPERHVRTRLYFTSESHIHSLMNVIRYCNLDESLQGEAGLVCQSALESLYKTKELDYMSHIVIRMFENTEVALEEPRRFRIELTFSHGADSSPLESNDGKVASLNQEHTLPIMGPERLQDVASYLTLEKMEKMIRPFAMPAEDFPPPSTPAGFSGYFLRSALVLERLVNLWPFHKNAHTNGK >KJB74498 pep chromosome:Graimondii2_0_v6:12:7500449:7514810:1 gene:B456_012G0557001 transcript:KJB74498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILHFGDRVILEDPIESWPICDCLIAFYSSGFPLKKAEEYAALRKPFLVNELEPQHLLHDRRKVYERLEMFGISVPRYALVNREETYQELDYFIEDEDYVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEIRYPVLLTPNEKQMAREVCIAFRQAVCGFDLLRSEGRSYACDVNGWSFVKNSHKYYDDAACVLRKMFLDAKAPHLSSVIPPTLPWKVNEPVQPSERLTRQGSGVIGSSGQSEELRCVIVVIRHGDRTPKQKVKLKVTEENLLNLMLKYNGGRPRSETKLKSAVELQDLLDATRMLVPRPGVGSDNEAEDLEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVQKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENVSIEMEKAKTRLNEIITSGARTIPSNGTSECPWMVDGAGLPSNASELLPKLVTLTKKVTEQVRVLAKHEDENLAETSPYDVILPYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMFARWKKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLNLEDLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEALHVAVVKGNQDNCSNSTKTAKANKVFPPNISIKTDDIRRSNTTSEMSIDQDDDDDKETKYRLDPKYANVMTPERHVRTRLYFTSESHIHSLMNVIRYCNLDESLQGEAGLVCQSALESLYKTKELDYMSHIVIRMFENTEVALEEPRRFRIELTFSHGADSSPLESNDGKVASLNQEHTLPIMGPERLQDVASYLTLEKMEKMIRPFAMPAEDFPPPSTPAGFSGYFLRSALVLERLVNLWPFHKNAHTNGK >KJB74499 pep chromosome:Graimondii2_0_v6:12:7501530:7514810:1 gene:B456_012G0557001 transcript:KJB74499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGISVPRYALVNREETYQELDYFIEDEDYVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEIRYPVLLTPNEKQMAREVCIAFRQAVCGFDLLRSEGRSYACDVNGWSFVKNSHKYYDDAACVLRKMFLDAKAPHLSSVIPPTLPWKVNEPVQPSERLTRQGSGVIGSSGQSEELRCVIVVIRHGDRTPKQKVKLKVTEENLLNLMLKYNGGRPRSETKLKSAVELQDLLDATRMLVPRPGVGSDNEAEDLEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVQKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENVSIEMEKAKTRLNEIITSGARTIPSNGTSECPWMVDGAGLPSNASELLPKLVTLTKKVTEQVRVLAKHEDENLAETSPYDVILPYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMFARWKKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLNLEDLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEALHVAVVKGNQDNCSNSTKTAKANKVFPPNISIKTDDIRRSNTTSEMSIDQDDDDDKETKYRLDPKYANVMTPERHVRTRLYFTSESHIHSLMNVIRYCNLDESLQGEAGLVCQSALESLYKTKELDYMSHIVIRMFENTEVALEEPRRFRIELTFSHGADSSPLESNDGKVASLNQEHTLPIMGPERLQDVASYLTLEKMEKMIRPFAMPAEDFPPPSTPAGFSGYFLRSALVLERLVNLWPFHKNAHTNGK >KJB77074 pep chromosome:Graimondii2_0_v6:12:28868623:28869046:1 gene:B456_012G125000 transcript:KJB77074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAALSLAPSPLSIVTKPLFPPCKSMLKLQTPRGFKATPVGQDNSTVDYSSTASVFPAEACDTLGGEACDVEMFPEVKLKPDQTQSNKGKTGSEQVDREYLEYNSPKT >KJB77075 pep chromosome:Graimondii2_0_v6:12:28868540:28869407:1 gene:B456_012G125000 transcript:KJB77075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAALSLAPSPLSIVTKPLFPPCKSMLKLQTPRGFKATPVGQDNSTVDYSSTASVFPAEACDTLGGEACDVEMFPEVKLKPDQTQSNKGKTGSEQVDREYLEYNSPKTVFIGEACDDLGGEFCEPEYQKGVQ >KJB77073 pep chromosome:Graimondii2_0_v6:12:28868019:28869867:1 gene:B456_012G125000 transcript:KJB77073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAALSLAPSPLSIVTKPLFPPCKSMLKLQTPRGFKATPVGQDNSTVDYSSTASVFPAEACDTLGGEACDVEMFPEVKLKPDQTQSNKGKTGSEQVDREYLEYNSPKTVFIGEACDDLGGEFCEPEYQKGVQ >KJB75626 pep chromosome:Graimondii2_0_v6:12:6388520:6391332:1 gene:B456_012G048800 transcript:KJB75626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGDYESTATSLEGEENLIAAAEHIVKALGSNKNFTKDVKKILADLGSQLSTMATIEDNMVEGKKSGIEEQLSVVEEKIMSWESDDSMIWDSGLDEVAEYLNAVDGARKLTERLENQCLSSQEEKDLLCRAHDVLQMAMQRLEDEFKHVLVHHRQPFEPEPISFRSSEDDAVEEGSIVSFGDESVEESTLRDSISRNSEEFIVDLVHPDVISDLKCIANLMFSSNYDHECRQAYILVRKDALEECLFNLEIEKLSIEDVLKMEWGTLNSKIKRWVRAMKVFVRPYLVSEKWLCDQTFAELGSSNLVCFVEAAKAPMLQLLNFAEAISIGSHQPERLVRILDMYEVLADLLLDINALFSDEVGSSIRIEYQEVLKRLADTVRVTFLEFENAIATNASISPFAGGGVHHLTKYVMNYIRLLADYNETLNLLLKNHDGAAATSLSPDTSPATGEESITKDFSDSCSPMALHLQSLTSVLEANLDEKSKLYRDASLQHFFLMNNIYYMAQKVKNSELRLIFEDKWIRKHNWKFQQHAMSYERATWSSILSLLKDDSNSSSGSTSRTVLRERLRSFYVAFDEVYKTQTAWIITDVQLREDLRISTSLKVIQAYRTFVGRQMQHIGEKHIRYNAEELQDYLLDLFEGSQKSLHNPNRR >KJB75627 pep chromosome:Graimondii2_0_v6:12:6388853:6391332:1 gene:B456_012G048800 transcript:KJB75627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGDYESTATSLEGEENLIAAAEHIVKALGSNKNFTKDVKKILADLGSQLSTMATIEDNMVEGKKSGIEEQLSVVEEKIMSWESDDSMIWDSGLDEVAEYLNAVDGARKLTERLENQCLSSQEEKDLLCRAHDVLQMAMQRLEDEFKHVLVHHRQPFEPEPISFRSSEDDAVEEGSIVSFGDESVEESTLRDSISRNSEEFIVDLVHPDVISDLKCIANLMFSSNYDHECRQAYILVRKDALEECLFNLEIEKLSIEDVLKMEWGTLNSKIKRWVRAMKVFVRPYLVSEKWLCDQTFAELGSSNLVCFVEAAKAPMLQLLNFAEAISIGSHQPERLVRILDMYEVLADLLLDINALFSDEVGSSIRIEYQEVLKRLADTVRVTFLEFENAIATNASISPFAGGGVHHLTKYVMNYIRLLADYNETLNLLLKNHDGAAATSLSPDTSPATGEESITKDFSDSCSPMALHLQSLTSVLEANLDEKSKLYRDASLQHFFLMNNIYYMAQKVKNSELRLIFEDKWIRKHNWKFQQHAMSYERATWSSILSLLKDDSNSSSGSTSRTVLRERLRSFYVAFDEVYKTQTAWIITDVQLREDLRISTSLKVIQAYRTFVGRQMQHIGEKHIRYNAEELQDYLLDLFEGSQKSLHNPNRR >KJB78066 pep chromosome:Graimondii2_0_v6:12:34453695:34454240:1 gene:B456_012G175000 transcript:KJB78066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGTGSIIRFFPCLYCIPCSLVALLSTVLLRTLEMNIIDYHLAEKNLQKLQWQTYDPQLQKLPCLRLSQIVFGLLMHLLEFVQSSMCCIVRRRPSWVLFLVGIGLESFVGLWAVVV >KJB77279 pep chromosome:Graimondii2_0_v6:12:29727134:29728284:-1 gene:B456_012G129000 transcript:KJB77279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTYNYESTSPVAPARLFKAFSLEADKVWPKAAPQAVKSVEVEANPGPGSIVKINFAEGLPFQYMKHQIGGHDDKNLSYSYSLIEGGPLGDKLEKISYDNKFEAAAGGGSLCKSSMKFYTVGDYVITEDEIKAQIKGSEGVYKAVEAYLLANPDACN >KJB75414 pep chromosome:Graimondii2_0_v6:12:5138060:5138625:-1 gene:B456_012G041200 transcript:KJB75414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIILLVIDEYVGGILGEFWAIFEELFIPCKLVLNVVGMEKTKTLVVLKSVVCVSRFDSSSIFNFLKDVLMLSISKECLGGNIYGLLNINSTVRSW >KJB76809 pep chromosome:Graimondii2_0_v6:12:24241336:24247467:1 gene:B456_012G108100 transcript:KJB76809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPTGRGRVVGDYLVGRQIGSGSFSIVWHARHRVHGTEVAIKEIVTSRLNKKLQDSLMSEIFILKRINHPNIIRLHDIIEVPGKIHLVLEYCKGGDLSMYVTRYGSVPEATAKHFMQQLAAGLLVLRDNNLIHRDLKPQNLLLSTNDSNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNILKSTELHFPVENDYLTADCKDLCQKLLRRNPVERLTFEEFFNHPFLSEGQPDKSLRSQRFPRAGFPLSESGAVRNTEESFQEDCLPFFLDDDSSGPDGSPSFAKKRSLMKSAYGFSADAKDAREATSSPVNRVDFTSKYSGARQKLKDASFRLESCKVSSEALHEPHKSMDQRSVNTRSRVAESLELIDQDYVLVSGPPLDVSSSSVTSKPNHIPYKSENPPLIPFVNKTASTAPMPIIGPSNVKICHAGSLDSQSSAPGTSQGSMDIGDVLEQPSSHCLTRIKSLQHCASTITELVHEKIEAGRQLEAFSIQLVILAIWKQALHICHTQAASGTEGSPSREASRLGASRKHETSDTEECTNVVSPEGPEDISSEIEREFLREVEHAEELAKVIEPGSTEMPDAMETIFQAALALGRHGGVEELMGDMESAALLYSKAERLLVFLLVEAPSLILNPPFSLTNSDRYRLRTYIDFLRNRQGYSRSQMMALLKCKDQP >KJB76811 pep chromosome:Graimondii2_0_v6:12:24242273:24247467:1 gene:B456_012G108100 transcript:KJB76811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRYGSVPEATAKHFMQQLAAGLLVLRDNNLIHRDLKPQNLLLSTNDSNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNILKSTELHFPVENDYLTADCKDLCQKLLRRNPVERLTFEEFFNHPFLSEGQPDKSLRSQRFPRAGFPLSESGAVRNTEESFQEDCLPFFLDDDSSGPDGSPSFAKKRSLMKSAYGFSADAKDAREATSSPVNRVDFTSKYSGARQKLKDASFRLESCKVSSEALHEPHKSMDQRSVNTRSRVAESLELIDQDYVLVSGPPLDVSSSSVTSKPNHIPYKSENPPLIPFVNKTASTAPMPIIGPSNVKICHAGSLDSQSSAPGTSQGSMDIGDVLEQPSSHCLTRIKSLQHCASTITELVHEKIEAGRQLEAFSIQLVILAIWKQALHICHTQAASGTEGSPSREASRLGASRKHETSDTEECTNVVSPEGPEDISSEIEREFLREVEHAEELAKVIEPGSTEMPDAMETIFQAALALGRHGGVEELMGDMESAALLYSKAERLLVFLLVEAPSLILNPPFSLTNSDRYRLRTYIDFLRNRQGYSRSQMMALLKCKDQP >KJB76810 pep chromosome:Graimondii2_0_v6:12:24242273:24247467:1 gene:B456_012G108100 transcript:KJB76810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRYGSVPEATAKHFMQQLAAGLLVLRDNNLIHRDLKPQNLLLSTNDSNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNILKSTELHFPVENDYLTADCKDLCQKLLRRNPVERLTFEEFFNHPFLSEGQPDKSLRSQRFPRAGFPLSESGAVRNTEESFQEDCLPFFLDDDSSGPDGSPSFAKKRSLMKSAYGFSADAKDAREATSSPVNRVDFTSKYSGARQKLKDASFRLESCKVSSEALHEPHKSMDQRSVNTRSRVAESLELIDQDYVLVSGPPLDVSSSSVTSKPNHIPYKSENPPLIPFVNKTASTAPMPIIGPSNVKICHAGSLDSQSSAPGTSQGSMDIGDVLEQPSSHCLTRIKSLQHCASTITELVHEKIEAGRQLEAFSIQLVILAIWKQALHICHTQAASGTEGSPSREASRLGASRKHETSDTEECTNVVSPEGPEDISSEIEREFLREVEHAEELAKVIEPGSTEMPDAMETIFQAALALGRHGGVEELMGDMESAALLYSKAERLLVFLLVEAPSLILNPPFSLTNSDRYRLRTYIDFLRNRQGYSRSQMMALLKCKDQP >KJB76129 pep chromosome:Graimondii2_0_v6:12:11197958:11200316:-1 gene:B456_012G074000 transcript:KJB76129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGGCASLVGAEIHGFHTLQGGTIVLFDRKMLRNFRKDGYNWKKKKDGKTIKEAHEHLKIGDKERIHVDYAHGEDNSTFVRRCYWLLDKSLEQIVLVHYRETNEVSLATHSNSSLLIDQSTPLLVTEEFDSGIANTYSEEPGESVNVRNHEMKLLEINTLEWDELLVANGANDSIASRGDNVSCFDQQNQMAVNDFSNYVSRTIS >KJB76130 pep chromosome:Graimondii2_0_v6:12:11198096:11200316:-1 gene:B456_012G074000 transcript:KJB76130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSGTIVLFDRKMLRNFRKDGYNWKKKKDGKTIKEAHEHLKIGDKERIHVDYAHGEDNSTFVRRCYWLLDKSLEQIVLVHYRETNEVSLATHSNSSLLIDQSTPLLVTEEFDSGIANTYSEEPGESVNVRNHEMKLLEINTLEWDELLVANGANDSIASRGDNVSCFDQQNQMAVNDFSNYVSRTIS >KJB76131 pep chromosome:Graimondii2_0_v6:12:11198267:11199394:-1 gene:B456_012G074000 transcript:KJB76131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSGTIVLFDRKMLRNFRKDGYNWKKKKDGKTIKEAHEHLKIGDKERIHVDYAHGEDNSTFVRRCYWLLDKSLEQIVLVHYRETNEVSLATHSNSSLLIDQSTPLLVTEEFDSGIANTYSEEPGESVNVRNHEMKLLEINTLEWDELLVANGANDSIASRGGIVLVSSISSNTYLVAELFTYFGCDSRQCFLL >KJB76774 pep chromosome:Graimondii2_0_v6:12:23940737:23942830:1 gene:B456_012G106600 transcript:KJB76774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYNGNTLDGIYLSLEFKAIRKVLRSLPKRFSTKATAIEEAKDINAMCIDELVESLQTFEINLDETKRSKIKREKNIFYK >KJB76941 pep chromosome:Graimondii2_0_v6:12:26346415:26348095:-1 gene:B456_012G114400 transcript:KJB76941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPTTYPSYLCSNTCSPLHRATQPLLSSTRHVGVRFNSKCSASFCSGKEQLKPWILVAKASDGESQTTEQEEQQYEEYEVEIEQPYGLKFRKGRDGGTYIDAIQPGGAADKAGVFTVGDKVLATSAVFGTEIWPAAEYGRTMYTIRQRIGPLLMKMQKRYGKVDEGGELTEKEIIRAERNSGVISDRVREIQMQNYMRKKEQKERRETDLREGLQLYKSGNYEQALEKFESVLGSKPEPNEAAVASYNVACCYAKLNQVVI >KJB76940 pep chromosome:Graimondii2_0_v6:12:26345858:26348095:-1 gene:B456_012G114400 transcript:KJB76940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSEKAEMVGLTLMLFSLVELLTRLGFSPLGTKYLPPVFGTEIWPAAEYGRTMYTIRQRIGPLLMKMQKRYGKVDEGGELTEKEIIRAERNSGVISDRVREIQMQNYMRKKEQKERRETDLREGLQLYKSGNYEQALEKFESVLGSKPEPNEAAVASYNVACCYAKLNQIQAGLSALEDALEAGFEDFKRIRTDPDLANLKNSEQFEPLLKRFDESFINENAINAIKSLFGIFNQK >KJB76939 pep chromosome:Graimondii2_0_v6:12:26345858:26347609:-1 gene:B456_012G114400 transcript:KJB76939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLWECSAVFGTEIWPAAEYGRTMYTIRQRIGPLLMKMQKRYGKVDEGGELTEKEIIRAERNSGVISDRVREIQMQNYMRKKEQKERRETDLREGLQLYKSGNYEQALEKFESVLGSKPEPNEAAVASYNVACCYAKLNQIQAGLSALEDALEAGFEDFKRIRTDPDLANLKNSEQFEPLLKRFDESFINENAINAIKSLFGIFNQK >KJB76938 pep chromosome:Graimondii2_0_v6:12:26345809:26348314:-1 gene:B456_012G114400 transcript:KJB76938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPTTYPSYLCSNTCSPLHRATQPLLSSTRHVGVRFNSKCSASFCSGKEQLKPWILVAKASDGESQTTEQEEQQYEEYEVEIEQPYGLKFRKGRDGGTYIDAIQPGGAADKAGVFTVGDKVLATSAVFGTEIWPAAEYGRTMYTIRQRIGPLLMKMQKRYGKVDEGGELTEKEIIRAERNSGVISDRVREIQMQNYMRKKEQKERRETDLREGLQLYKSGNYEQALEKFESVLGSKPEPNEAAVASYNVACCYAKLNQIQAGLSALEDALEAGFEDFKRIRTDPDLANLKNSEQFEPLLKRFDESFINENAINAIKSLFGIFNQK >KJB76032 pep chromosome:Graimondii2_0_v6:12:10996044:10998366:1 gene:B456_012G073500 transcript:KJB76032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKRQVSGSSSSLSSSSSSSVSLDHLFGPKDSSSSSTTTTGLFGTIFPPPSVVLGRDSTHSGIRGSWNTQGLPHHARYGTDHNTDYSKGQSSSTRNKDKSSSVYHNETVEPSYLSSSIYYGGQENYSPRKKTTESPPYFQKDGGDDDLNGNNSNGASRGNWWQGSLYY >KJB76033 pep chromosome:Graimondii2_0_v6:12:10996044:10998366:1 gene:B456_012G073500 transcript:KJB76033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKRQVSGSSSSLSSSSSSSVSLDHLFGPKDSSSSSTTTTGLFGTIFPPPSVVLGRDSTHSGIRGSWNTQGLPHHARYGTDHNTDYSKGQSSSTRNKDKSSSVYHNETVEPSYLSSSIYYGGQENYSPRKKTTESPPYFQKDGGDDDLNGNNSNGASRGNWWQGSLYY >KJB76523 pep chromosome:Graimondii2_0_v6:12:16344862:16346195:1 gene:B456_012G092800 transcript:KJB76523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLSRYYLQYFLAKHIRYFLYFYSCTRHDSFYDNQFQNLKKKDTMLQQKVSQRHSSYCSGSKYNTSKGYCSSSSYQISEQCWASAYQCVI >KJB77912 pep chromosome:Graimondii2_0_v6:12:33623295:33623585:1 gene:B456_012G165500 transcript:KJB77912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIMDEVNLEARHVLRWKFRDHSRTLSGRRIDLTVTDGNERWQFECCRSEEGDNTYYIRCPAWSDFVRPRINARLTLYAKQANENFHRVRVIRRD >KJB78232 pep chromosome:Graimondii2_0_v6:12:35191289:35193835:1 gene:B456_012G1847002 transcript:KJB78232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMFLVTSAVLGYVYSPHLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFETMAFGSTAMCGRDSFWFWVISAVPFYGATWE >KJB78230 pep chromosome:Graimondii2_0_v6:12:35191039:35193835:1 gene:B456_012G1847002 transcript:KJB78230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGSHGVQALKRYKYSGVDHSYLAKYVLQPFWSRFVNFFPLWMPPNMITLMGFMFLVTSAVLGYVYSPHLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFETMAFGSTAMCGRDSFWFWVISAVPFYGATWE >KJB78233 pep chromosome:Graimondii2_0_v6:12:35192937:35193835:1 gene:B456_012G1847002 transcript:KJB78233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGSTAMCGRDSFWFWVISAVPFYGATWE >KJB78229 pep chromosome:Graimondii2_0_v6:12:35191264:35193835:1 gene:B456_012G1847002 transcript:KJB78229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGSHGVQALKRYKYSGVDHSYLAKYVLQPFWSRFVNFFPLWMPPNMITLMGFMFLVTSAVLGYVYSPHLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFETMAFGSTAMCGRDSFWFWVISAVPFYGATWE >KJB78231 pep chromosome:Graimondii2_0_v6:12:35191289:35193835:1 gene:B456_012G1847002 transcript:KJB78231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMFLVTSAVLGYVYSPHLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFETMAFGSTAMCGRDSFWFWVISAVPFYGATWE >KJB77863 pep chromosome:Graimondii2_0_v6:12:33349755:33350121:-1 gene:B456_012G1615002 transcript:KJB77863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DYALKVITETNESWAKLVKRSIPAGELSLV >KJB77864 pep chromosome:Graimondii2_0_v6:12:33349364:33350121:-1 gene:B456_012G1615002 transcript:KJB77864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DYALKVITETNESWAKLVKRSIPAGELSLV >KJB76325 pep chromosome:Graimondii2_0_v6:12:13816730:13819328:-1 gene:B456_012G083000 transcript:KJB76325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIRNSLMKALIVIFFASVATATGDAPFIVAHKKASLTRLKSGSERVSVSIDIYNQGFSTAYDMSLLDDSWPQDMFDIVSGNTSRSWERLDAGGLLSHSFELEAKKQGMFYGAPAVITFRIPTKAALQEAYSTPILPLDVLAERPPEKKFDWVSFVAG >KJB76323 pep chromosome:Graimondii2_0_v6:12:13808224:13819328:-1 gene:B456_012G083000 transcript:KJB76323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIRNSLMKALIVIFFASVATATGDAPFIVAHKKASLTRLKSGSERVSVSIDIYNQGFSTAYDMSLLDDSWPQDMFDIVSGNTSRSWERLDAGGLLSHSFELEAKKQGMFYGAPAVITFRIPTKAALQEAYSTPILPLDVLAERPPEKKFDWVKVAG >KJB76322 pep chromosome:Graimondii2_0_v6:12:13817384:13819226:-1 gene:B456_012G083000 transcript:KJB76322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIRNSLMKALIVIFFASVATATGDAPFIVAHKKASLTRLKSGSERVSVSIDIYNQGFSTAYDMSLLDDSWPQDMFDIVSGNTSRSWERLDAGGLLSHSFELEAKKQGMFYGAPAVITFRIPTKAALQEAYSTPILPLDVLAERPPEKKFDWVKVAG >KJB76326 pep chromosome:Graimondii2_0_v6:12:13816730:13819328:-1 gene:B456_012G083000 transcript:KJB76326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIRNSLMKALIVIFFASVATATGDAPFIVAHKKASLTRLKSGSERVSVSIDIYNQGFSGGLLSHSFELEAKKQGMFYGAPAVITFRIPTKAALQEAYSTPILPLDVLAERPPEKKFDWVKVAG >KJB76324 pep chromosome:Graimondii2_0_v6:12:13817810:13819226:-1 gene:B456_012G083000 transcript:KJB76324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIRNSLMKALIVIFFASVATATGDAPFIVAHKKASLTRLKSGSERVSVSIDIYNQGFSTAYDMSLLDDSWPQDMFDIVSGNTSRSWERLDAGGLLSHSFELEAKKQGMFYGAPAVITFRIPTKAALQEAYSTPILPLDVLAERPPEKKFDWVSFVWNNSNQ >KJB76327 pep chromosome:Graimondii2_0_v6:12:13816730:13819440:-1 gene:B456_012G083000 transcript:KJB76327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIRNSLMKALIVIFFASVATATGDAPFIVAHKKASLTRLKSGSERVSVSIDIYNQGFSTAYDMSLLDDSWPQDMFDIVSGNTSRSWERLDAGGLLSHSFELEAKKQGMFYGAPAVITFRIPTKAALQEAYSTPILPLDVLAERPPEKKFDWAKRLLAKYGSQISVISIVVLFIYLIVTPSKSTAAKASKKKR >KJB77503 pep chromosome:Graimondii2_0_v6:12:31399890:31402640:-1 gene:B456_012G140700 transcript:KJB77503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVILATLGFIFLYVYIITGEELARLAKDYIKYLFGGSKSVQLKRTMHKWSQFFEKLTEKKEYDKFWLEKAIITTPTWYDSPDKYRRVLNSYIEYDDEDESDYDD >KJB74667 pep chromosome:Graimondii2_0_v6:12:177392:180320:-1 gene:B456_012G001600 transcript:KJB74667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDRRTKMKGRVSRIGSYAIASSLKDHPHQPCITCTTFNILAPIYKRLSHKDQNCRESDYRAYWLARNQRILDSLLYERSSIICLQEFWVGNEELVNMYEKRLGDAGYLKFKLGRTNNRGDGLLTAVHKDYFRVINYRELHFNDCGDRVAQLLHVELVTPASQCRNNDPCQEILIVNTHLLFPHDSSLCIVRLHQVYKILQYVESYQKEYNLNPLPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHQYTDADAHKWVSHLNHRGNICGVDFIWLLNPNSYRKLLKTSWTEAVFGMFKNQLRKASLTEDDAFAFLKADNDGDYITYSGFCEALRQFNIIGHRYGLSVEETNDLWVQADIDGNGVVDYKEFQLRIWKPTWSEPRDGDMKEGQERGHKVTEKYGRKKQATGFSVKNAVLFPPEVEKGRWPENYFLSDHARLTVVFSPITMPCSQLA >KJB74666 pep chromosome:Graimondii2_0_v6:12:176666:180599:-1 gene:B456_012G001600 transcript:KJB74666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDRRTKMKGRVSRIGSYAIASSLKDHPHQPCITCTTFNILAPIYKRLSHKDQNCRESDYRAYWLARNQRILDSLLYERSSIICLQEFWVGNEELVNMYEKRLGDAGYLKFKLGRTNNRGDGLLTAVHKDYFRVINYRELHFNDCGDRVAQLLHVELVTPASQCRNNDPCQEILIVNTHLLFPHDSSLCIVRLHQYVESYQKEYNLNPLPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHQYTDADAHKWVSHLNHRGNICGVDFIWLLNPNSYRKLLKTSWTEAVFGMFKNQLRKASLTEDDAFAFLKADNDGDYITYSGFCEALRQFNIIGHRYGLSVEETNDLWVQADIDGNGVVDYKEFQLRIWKPTWSEPRDGDMKEGQERGHKVTEKYGRKKQATGFSVKNAVLFPPEVEKGRWPENYFLSDHARLTVVFSPITMPCSQLA >KJB75483 pep chromosome:Graimondii2_0_v6:12:5511339:5512120:-1 gene:B456_012G044000 transcript:KJB75483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPWYRVHTVILNDPIACFLNNQFIGRLEYYWGTITGIWSYEGMAGAHIVFFGLCFMAVIWHWIYWDLEFFFVQPVNLMWGVESFNSFVLGGIASHHIACPPAQRLYKGLHMGNIETVLSSSIAAVFFAAFVVAGTMWYED >KJB77706 pep chromosome:Graimondii2_0_v6:12:32573943:32577069:-1 gene:B456_012G152000 transcript:KJB77706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDLSPKFPATFVETEDGGYYNWAAADSPVLREAKVAAGKLVLKPRGFALPHYADCSKVGYVLEGKCGVGLTTTASNTKEKMAFIGIKKGDIIPVPCASVSCWYNYGDSDVVIVFLADATNAYVAGEITYFLLTGPLGHLHAFSLESIAKTYQISPQKAQKLTSNQKGLLLIKLNQEQAETIPKPNEELLNIYTQNINGSLPDVEVNNGGNFTTLKPSKFPFLEQVGLNVSRLVLETNATRAPWYASDPQVVYVVKGSGEVQIVGLQGKLVLNTKVETGQLFVVPKLFMVAISADENGLELISIVTSTRAIMGEMGSKNSVFNTSPSILQVSLNVSSELNQQLIEMMETGTIIVTPVNLY >KJB77705 pep chromosome:Graimondii2_0_v6:12:32573943:32577056:-1 gene:B456_012G152000 transcript:KJB77705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRSKEKVQVKKMDLDLSPKFPATFVETEDGGYYNWAAADSPVLREAKVAAGKLVLKPRGFALPHYADCSKVGYVLEGKCGVGLTTTASNTKEKMAFIGIKKGDIIPVPCASVSCWYNYGDSDVVIVFLADATNAYVAGEITYFLLTGPLGHLHAFSLESIAKTYQISPQKAQKLTSNQKGLLLIKLNQEQAETIPKPNEELLNIYTQNINGSLPDVEVNNGGNFTTLKPSKFPFLEQVGLNVSRLVLETNATRAPWYASDPQVVYVVKGSGEVQIVGLQGKLVLNTKVETGQLFVVPKLFMVAISADENGLELISIVTSTRAIMGEMGSKNSVFNTSPSILQVSLNVSSELNQQLIEMMETGTIIVTPVNLY >KJB77703 pep chromosome:Graimondii2_0_v6:12:32573943:32576848:-1 gene:B456_012G152000 transcript:KJB77703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYIVFVIYIVIPFICRSKEKVQVKKMDLDLSPKFPATFVETEDGGYYNWAAADSPVLREAKVAAGKLVLKPRGFALPHYADCSKVGYVLEGKCGVGLTTTASNTKEKMAFIGIKKGDIIPVPCASVSCWYNYGDSDVVIVFLADATNAYVAGEITYFLLTGPLGHLHAFSLESIAKTYQISPQKAQKLTSNQKGLLLIKLNQEQAETIPKPNEELLNIYTQNINGSLPDVEVNNGGNFTTLKPSKFPFLEQVGLNVSRLVLETNATRAPWYASDPQVVYVVKGSGEVQIVGLQGKLVLNTKVETGQLFVVPKLFMVAISADENGLELISIVTSTRAIMGEMGSKNSVFNTSPSILQVSLNVSSELNQQLIEMMETGTIIVTPVNLY >KJB77704 pep chromosome:Graimondii2_0_v6:12:32574117:32576528:-1 gene:B456_012G152000 transcript:KJB77704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKVSKCGVGLTTTASNTKEKMAFIGIKKGDIIPVPCASVSCWYNYGDSDVVIVFLADATNAYVAGEITYFLLTGPLGHLHAFSLESIAKTYQISPQKAQKLTSNQKGLLLIKLNQEQAETIPKPNEELLNIYTQNINGSLPDVEVNNGGNFTTLKPSKFPFLEQVGLNVSRLVLETNATRAPWYASDPQVVYVVKGSGEVQIVGLQGKLVLNTKVETGQLFVVPKLFMVAISADENGLELISIVTSTRAIMGEMGSKNSVFNTSPSILQVSLNVSSELNQQLIEMMETGTIIVTPVNLY >KJB77571 pep chromosome:Graimondii2_0_v6:12:31766008:31768028:1 gene:B456_012G144100 transcript:KJB77571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEDAENLYLKSQNPAFRSILSILVMGLRVAALSMDDHNINFNFTGFTPDMPDIVYKADAYASNNAVQLTINQMKQNLRGGIGRATYYKPMHLWDKSSGNPVLADFTTQFSFSIDSLHNNPGDGFAFFIAPNGSKIPPNSGGGHLGLQSDSIVNSTFVAVEFDTFGNSWDPFGLSAHVGIGLNSTETSLTAVKWWWNEIANGGLVNAFITYNSSTNNLSVLLLDADDFTRQNSSRLSATLDLSIYLPEWVTFGFSGTTGSCYELHTIYSWNFSSTLQVVTPTNTTINPPATSPPANPKRKSRTWLWVVLAIIGAISASLLVLGLVWFYCRRRNYSRKEDGTMSVNVNREMVTAPRKFSYKELRLPTSNFADEGFLRDISCNIAVKRVTPNSQQGFKEYESEITTITRLRHRNLVQLIGWCHDNNLFLIVYEFLPNKNLDYHLHREPCILIWDKRYKIAMGLASALFYLQEECNQCVLHRDIKSSNVLLDLSFNAKLGDFGLARLVDHGQGSQTTQVMLGTEGYIAPECRDTYKAIKESDIYSFGIVALEIATGKKAIAVIERNGQRYKTKLVEWVWELYGTESLFDAADPRLSGNYGMKQMERLILVGLACAHPNYFDRPSITQAVDILSSKAPLPVLPLEMPVGTFLNIYSIPITINE >KJB75749 pep chromosome:Graimondii2_0_v6:12:7344211:7345116:-1 gene:B456_012G054900 transcript:KJB75749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTIKRAALIVAGLGVLSFILGVIAENKKPEAGTPIPGKGVVICKYPRDPSLALGYLSVAFLTLSTIAGYWSLFYPYKGKSVPHSVLFQSASFFVFFNIALITSGVAATLLLWPTITEHLHLIRNVHHNPTTTCPTAKTGLLGGGAFVSLDSALFWLVALMLADNARHDHFYDVEKHSKAQVLPDGC >KJB77438 pep chromosome:Graimondii2_0_v6:12:31036366:31040867:1 gene:B456_012G136900 transcript:KJB77438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1 [Source:Projected from Arabidopsis thaliana (AT4G10180) UniProtKB/Swiss-Prot;Acc:P48732] MFKTNNVASRIFERQIRTPAPGTSVHSARRFYENLVPSFTIYDVECPDHSFFKFTDDGQYFVCFSRNRQDLIVYRPTWLSFSCKGEDCDNNQELPPQAKRFESFFTQLYVKPLASCNELICKDFFLYMESNQYGIFATSTAQIQDARGTDGAILGVPSFEKITIHLFRLEDGFKLDEKVFHNDCVNLTHNMGAFLYDDLLAVVSLRYQTIHILQIRDSGNLVDVRAIGAYCREDDELFINSSSQLAGNRGDLVDNGTNHDQPNSNNSFLSGIKQRLLSFIFREIWSEETDQTQRVQCLKKKFYFHFQDYVDLVILKVQFLDRHHLLIKFGSVDVGASRNTDQLPAFFAVYNMETTEIVAFYQNAADELYLLFERFCDHFHATSINSMYMNFISSHSNNIHALEQLKSMKNKATSVSQFVKKMLSSLPLNCQSMSPSPYFDQSLFRFDEKLISATDRPRQSTDHPIRFISRRQPNILKFKIKTGPEFGGADGRSKKYSHFLFHPAWPLALSFIQPSLFLPPSAVNIHFRR >KJB77437 pep chromosome:Graimondii2_0_v6:12:31037415:31040582:1 gene:B456_012G136900 transcript:KJB77437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1 [Source:Projected from Arabidopsis thaliana (AT4G10180) UniProtKB/Swiss-Prot;Acc:P48732] MEWENLHPLRKPQHLRLHPGPMLELLRVSGTSQTSAQVAKIHSTRWLEDGFKLDEKVFHNDCVNLTHNMGAFLYDDLLAVVSLRYQTIHILQIRDSGNLVDVRAIGAYCREDDELFINSSSQLAGNRGDLVDNGTNHDQPNSNNSFLSGIKQRLLSFIFREIWSEETDQTQRVQCLKKKFYFHFQDYVDLVILKVQFLDRHHLLIKFGSVDVGASRNTDQLPAFFAVYNMETTEIVAFYQNAADELYLLFERFCDHFHATSINSMYMNFISSHSNNIHALEQLKSMKNKATSVSQFVKKMLSSLPLNCQSMSPSPYFDQSLFRFDEKLISATDRPRQSTDHPIRFISRRQPNILKFKIKTGPEFGGADGRSKKYSHFLFHPAWPLALSFIQPSLFLPPSAVNIHFRR >KJB77059 pep chromosome:Graimondii2_0_v6:12:27222411:27225835:1 gene:B456_012G118300 transcript:KJB77059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINFNPFESWFRKPQNPIQPINLLSLAHSFRPQTSSPSPNFASINFSSPFNKKPKKPDSDSDTPGPYKQMLDQFFWECENLPDYRHTPEVEKILDEDPVFEKKENPTEEEIKENEKWWRDFRASPVVQFLARAEEIADEINRMELKENEEPFRKEDKKLWQALPHVIGLDGRPMPRKAIKTREESDDKFWDFTKQFFFGLWGFRQRPYPPGKPIDVAQAIGYKRLEKRYYDFIMRSGGWYYKDRLGRTRGPCELITLKTAWGAGIIDRHTFIWGEDMDEWAPIHMVYGLEPAIATWEVRLGAAATAFLHKLQKGIPPWVPLKGHEEKTYKQLQHEAIESKRRDLAVLKANDGIWPGVRIPSHALFLWAGGSEMTTLLEADYMPNKYISKDLRQKLAKVIPGLRPWEVLSVEQAMDEITYGGEWYREPLGTYTTGPPYIRHWNKDVKRIFRIFYNLSSQVFNKLDRTVPGFNAIMEKVQADSAARDARRKEKREAQKKAEAEAIYGRRENDP >KJB77060 pep chromosome:Graimondii2_0_v6:12:27222487:27225672:1 gene:B456_012G118300 transcript:KJB77060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINFNPFESWFRKPQNPIQPINLLSLAHSFRPQTSSPSPNFASINFSSPFNKKPKKPDSDSDTPGPYKQMLDQFFWECENLPDYRHTPEVEKILDEDPVFEKKENPTEEEIKENEKWWRDFRASPVVQFLARAEEIADEINRMELKENEEPFRKEDKKLWQALPHVIGLDGRPMPRKAIKTREESDDKFWDFTKQFFFGLWGFRQRPYPPGKPIDVAQAIGYKRLEKRYYDFIMRSGGWYYKDRLGRTRGPCELITLKTAWGAGIIDRHTFIWGEDMDEWAPIHMVYGLEPAIATWEGLVQQQQLSFTNFRKVYLLGFPLKDTRRKLISSFNTKL >KJB77493 pep chromosome:Graimondii2_0_v6:12:31372335:31374291:-1 gene:B456_012G140200 transcript:KJB77493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTLPPSSSFSFLSPTAKSTHSHRFFTIPHASTTQLAPKPPPVIKTAPVIVIGAGLAGLAAATRLNSDNIPFLLLEASDGVGGRVRTDVVDGFLLDRGFQIFITAYPEAQKLLNYNELNLRKFYSGARIYYDGKFHTVADPLRHFSDSLLSLGNPIGSVLDKLLIALTRVRVLSNSYEEIMSADEVSTIQLLKNIGFSDSMIGRFFRPFFGGIFFDPQLETTSRLFDFVFKCLALGDNTLPAKGIGEIPNQLAAKLPSDSLLLNTKVVSVDSDDSNSPSVTLESGEILKSELGVIMAVEQPAVDKILAGKKQPPPPPGQRKKPARSTVCLYFSMDQDQVPVQDPVLFLNGSGKGIINNMFFATNVAPSYGPSNKALASVSLIGLYENTADDDLRAEVIKELSGWFGASKVESWRHLRTYRIGFAQPNQSPPTDLMKNPNIGPGLYLCGDYMTSATFDGALVSGRRAVEALLKDRALALV >KJB75039 pep chromosome:Graimondii2_0_v6:12:2417881:2420929:1 gene:B456_012G020000 transcript:KJB75039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFFKSKKPTAEIAPCDCTRRFRPAPVAPTVRLYGSASSPVAAYIRFALLHKNVSLQLVPTEGDTLVLEIGSETVSGHRETLLQFIEEKFPHPPLRFDLVERTPLVVKVTRLQHRSITWHLERMVRWVEDLRTRGKRKTVDPAVGSPRMELKKLEKNYAQLLEVMLEHAQMEERIVFPLLQRADPGLCKAANEEHARDLPVMNGIKEDMKSIGVMDYGTPACKEGLSNLSTRLKSLQKHCKEHFDEEEKDVLPLLEATELSGEEEKRVFEDCIEAMKVTHSHLFNFFLEGLLPSEAMEYVDLIMKCGDKQLRASMIQIISNAK >KJB76987 pep chromosome:Graimondii2_0_v6:12:26797900:26801667:1 gene:B456_012G116000 transcript:KJB76987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G51820) UniProtKB/Swiss-Prot;Acc:Q38833] MSSVLNTVSSLRLSRVYNSNNNNHLRLPIHSVLTPPSVSFTRRRLTIRAADTDTNEVKSKAPDKAPAGGGSSFNQLLGIKGAAQETDKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWNLEDVAKSILCMIMSGPCLTGYTQTLNDWYDREIDAINEPYRPIPSGAISENEVISQIWLLLLGGLGIAGLLDVWAGHDFPIVFYLALGGSLLSYIYSAPPLKVLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIVVLTLLYSIAGLGIAIVNDFKSIEGDKALGLQSLPVAFGAETAKWICVGAIDITQLSIAGYLLGAGKPYYALALVGLIVPQVVFQFQYFLKDPVKYDVKYQASAQPFLVLGLLVTALATSH >KJB76985 pep chromosome:Graimondii2_0_v6:12:26797881:26801679:1 gene:B456_012G116000 transcript:KJB76985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G51820) UniProtKB/Swiss-Prot;Acc:Q38833] MSSVLNTVSSLRLSRVYNSNNNNHLRLPIHSVLTPPSVSFTRRRLTIRAADTDTNEVKSKAPDKAPAGGGSSFNQLLGIKGAAQETDKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWNLEDVAKSILCMIMSGPCLTGYTQTLNDWYDREIDAINEPYRPIPSGAISENEVISQIWLLLLGGLGIAGLLDVWAGHDFPIVFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIVVLTLLYSIAGLGIAIVNDFKSIEGDKALGLQSLPVAFGAETAKWICVGAIDITQLSIAGYLLGAGKPYYALALVGLIVPQVVFQFQYFLKDPVKYDVKYQASAQPFLVLGLLVTALATSH >KJB76986 pep chromosome:Graimondii2_0_v6:12:26797900:26801817:1 gene:B456_012G116000 transcript:KJB76986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G51820) UniProtKB/Swiss-Prot;Acc:Q38833] MSSVLNTVSSLRLSRVYNSNNNNHLRLPIHSVLTPPSVSFTRRRLTIRAADTDTNEVKSKAPDKAPAGGGSSFNQLLGIKGAAQETDKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWNLEDVAKSILCMIMSGPCLTGYTQTLNDWYDREIDAINEPYRPIPSGAISENEVISQIWLLLLGGLGIAGLLDVWAGHDFPIVFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIVVLTLLYSIAGLGIAIVNDFKSIEGDKALGLQSLPVAFGAETAKWICVGAIDITQLSIAGYLLGAGKPYYALALVGLIVPQVVFQFQYFLKDPVKYDVKYQVNFTQLHCSLTRTD >KJB76988 pep chromosome:Graimondii2_0_v6:12:26797900:26801667:1 gene:B456_012G116000 transcript:KJB76988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G51820) UniProtKB/Swiss-Prot;Acc:Q38833] MSSVLNTVSSLRLSRVYNSNNNNHLRLPIHSVLTPPSVSFTRRRLTIRAADTDTNEVKSKAPDKAPAGGGSSFNQLLGIKGAAQETDKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWNLEDVAKSILCMIMSGPCLTGYTQTLNDWYDREIDAINEPYRPIPSGAISENEVISQIWLLLLGGLGIAGLLDVWVGHDFPIVFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIVVLTLLYSIAGLGIAIVNDFKSIEGDKALGLQSLPVAFGAETAKWICVGAIDITQLSIAGYLLGAGKPYYALALVGLIVPQVVFQFQYFLKDPVKYDVKYQASAQPFLVLGLLVTALATSH >KJB76989 pep chromosome:Graimondii2_0_v6:12:26797900:26801595:1 gene:B456_012G116000 transcript:KJB76989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G51820) UniProtKB/Swiss-Prot;Acc:Q38833] MSSVLNTVSSLRLSRVYNSNNNNHLRLPIHSVLTPPSVSFTRRRLTIRAADTDTNEVKSKAPDKAPAGGGSSFNQLLGIKGAAQETDKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWNLEDVAKSILCMIMSGPCLTGYTQTLNDWYDREIDAINEPYRPIPSGAISENEVISQIWLLLLGGLGIAGLLDVWAGHDFPIVFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIVVLTLLYSIAGLGIAIVNDFKSIEGDKALGLQSLPVAFGAETAKWICVGAIDITQLSIAGYLLGAGKPYYALALVGLIVPQVVFQFQYFLKDPVKYDVKYQVNFTQLHCSLTRTD >KJB76611 pep chromosome:Graimondii2_0_v6:12:19755690:19758735:-1 gene:B456_012G097200 transcript:KJB76611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFFLFSFISIGDEDMKIFCYYLQRNRRLNTPDLLDQLPVLQELLHHLLNCKIAGESVKLYIAITDGILNLIDKYFGMQHHHAVRALEIYRKAGEQVSLLSEFFEICRGLHYGQGQKYLKIKPLPESFLIAMEEYVKETPEVLALPYTLITKDQGAAPTETPAPVSDLLTDDVQEKSVTSSDKTQSEPRQAVGKLDIADLMCFDEPSEEGTELNENNPLALAIVKSAQHLHLQVGSLDFVMHQSPMELLLLIIK >KJB76612 pep chromosome:Graimondii2_0_v6:12:19756209:19758676:-1 gene:B456_012G097200 transcript:KJB76612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFFLFSFISIGDEDMKIFCYYLQRNRRLNTPDLLDQLPVLQELLHHLLNCKIAGESVKLYIAITDGILNLIDKYFGMQHHHAVRALEIYRKAGEQVSLLSEFFEICRGLHYGQGQKYLKIKPLPESFLIAMEEYVKETPEVLALPYTLITKDQGAAPTETPAPVSDLLTDDVQEKSVTSSDKTQSEPRQAVGKLDIADLMCFDEPSEEGTELNENNPLALAIVKSESPPYAENVGSSAPAFTSWELGLCDAPVSNGAAVADNKMTGSSNRLTPHSLSTTNQQMAYNFGQVSANP >KJB78085 pep chromosome:Graimondii2_0_v6:12:34569138:34570121:-1 gene:B456_012G176500 transcript:KJB78085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFISLMEWNEQTPLQWDWENLMLNATPTEIPRRLRPVEWDIDEGEGGLDSVSLNSSSVAGGSGGSGSDLGLASLSKNLKSASIDSLSMGEAKLTKFTLDAYEAIPDDISNKKEVSGIETTSTSLTLVASVGSGEPLLSLKLGKQTYSEDVSTGTNATDSSYSATLSSSSPLSAKRSKLNCRSTHVVRCQVEGCNLDLSSARDYHRKHKVCESHSKSPKVIVSGLERRFCQQCSRFHALSEFDVNKRSCRQRLSDHNARRRKPQTEATHFNAARISSSYGTILNLTLIVFCCMILLRE >KJB78083 pep chromosome:Graimondii2_0_v6:12:34567854:34571044:-1 gene:B456_012G176500 transcript:KJB78083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFISLMEWNEQTPLQWDWENLMLNATPTEIPRRLRPVEWDIDEGEGGLDSVSLNSSSVAGGSGGSGSDLGLASLSKNLKSASIDSLSMGEAKLTKFTLDAYEAIPDDISNKKEVSGIETTSTSLTLVASVGSGEPLLSLKLGKQTYSEDVSTGTNATDSSYSATLSSSSPLSAKRSKLNCRSTHVVRCQVEGCNLDLSSARDYHRKHKVCESHSKSPKVIVSGLERRFCQQCSRFHALSEFDVNKRSCRQRLSDHNARRRKPQTEATHFNAARISSSYDGKQHTSFVWNEVPILHNARPNKKFAMEGTFYSKSSRMTSYMPMKLGNINEQVQLPINQLSNPIMMRCNDFNKFLPSKGKQNTAEVLDGGVEQSMVASSTGTAQELHRALSLLSNDSQVSSEPKHGSLTYPIMHVDPTSTSQPAMNAIPQGFQHALPENWQIGQEEETTTESRMHTSDVDNRFREFLLVKAPYDGGFYS >KJB78086 pep chromosome:Graimondii2_0_v6:12:34568081:34571260:-1 gene:B456_012G176500 transcript:KJB78086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFISLMEWNEQTPLQWDWENLMLNATPTEIPRRLRPVEWDIDEGEGGLDSVSLNSSSVAGGSGGSGSDLGLASLSKNLKSASIDSLSMGEAKLTKFTLDAYEAIPDDISNKKEVSGIETTSTSLTLVASVGSGEPLLSLKLGKQTYSEDVSTGTNATDSSYSATLSSSSPLSAKRSKLNCRSTHVVRCQVEGCNLDLSSARDYHRKHKVCESHSKSPKVIVSGLERRFCQQCSRFHALSEFDVNKRSCRQRLSDHNARRRKPQTEATHFNAARISSSYDGKQHTSFVWNEVPILHNARPNKKFAMEGTFYSKSSRMTSYMPMKLGNINEQVQLPINQLSNPIMMRCNDFNKFLPSKGKQNTAEVLDGGVEQSMVASSTGTAQELHRALSLLSNDSQVSSEPKHGSLTYPIMHVDPTSTSQPAMNAIPQGFQHALPENWQIGQEEETTTESRMHTSDVDNRFREFLLVKAPYDGGFYS >KJB78084 pep chromosome:Graimondii2_0_v6:12:34568081:34570666:-1 gene:B456_012G176500 transcript:KJB78084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFISLMEWNEQTPLQWDWENLMLNATPTEIPRRLRPVEWDIDEGEGGLDSVSLNSSSVAGGSGGSGSDLGLASLSKNLKSASIDSLSMGEAKLTKFTLDAYEAIPDDISNKKEVSGIETTSTSLTLVASVGSGEPLLSLKLGKQTYSEDVSTGTNATDSSYSATLSSSSPLSAKRSKLNCRSTHVVRCQVEGCNLDLSSARDYHRKHKVCESHSKSPKVIVSGLERRFCQQCSRFHALSEFDVNKRSCRQRLSDHNARRRKPQTEATHFNAARISSSYDGKQHTSFVWNEVPILHNARPNKKFAMEGTFYSKSSRMTSYMPMKLGNINEQVQLPINQLSNPIMMRCNDFNKFLPSKGKQNTAEVLDGGMFSLFY >KJB74685 pep chromosome:Graimondii2_0_v6:12:277132:279142:-1 gene:B456_012G002700 transcript:KJB74685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRANFSLSGPSQLKAIDWLNEHHRRSIAASLVQGVYVLERDRQKNREGHQVRCAPPWWESFHFRLNHRLIDDVDGSIFGAIYEFNINTSSAHHSQLQNAPNYVIAFRGTLNTPPSISRDLKLDLLCACNRLHGSSRFKLAMKFVNDTVERIGPNIWLTGHSLGSAMALIAGKELTMKGYLIETYLFNPPFLSTPVEIVKYPVLKTGIRFTSSVVKAALTMAIKGRNPKPGKQDPFTALCSWTPHLFVNPNDVLCSEYKGYFEHRKKMEEIGAGKIERISTKNSIVCLLSSLVPNMRCEPLHLLPSAYLTINLRPCSEFRTAHGIEQWWDPKFNAKVELHQFK >KJB77645 pep chromosome:Graimondii2_0_v6:12:32277852:32280135:-1 gene:B456_012G148500 transcript:KJB77645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQGFFSSLKEDVIRGLSPSRSRSNSLARTGSPMSGLLRRKKTSNQYYAGGGGYVAQPEPLIARSGSLRPVGEALAPLMEGPDPDGVEIGDSKRVGSGIGQWVKGQLSRNPSVTASYKRSDLRLLLGVMAAPLAPVHVNCNDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIRNAYAMGKLKMVACEYETATRTVKNRNGSKGAESGGFVLWQMNPDMWYVELAVGGSKVRAGCNGKLVWRHTPWLGSHTAKGPVRPLRRALQGLDPRTTAGMFADARCIGEKNVNGEDCFILKLCTEPQTLKARSEGPAEIIRHVLFGYFSQKTGLLVHIEDSHLTRIQSNGGDAVYWETTIDSYLDDYRPVEGIMIAHSGRSVVTLFRFGEVAMSHTKTKMEEAWTIEEVAFNVPGLSVDCFIPPGDLKSGSIGETCELPQDEKGKTAIVLAAQRAKLAAAGNTIWKMEV >KJB75031 pep chromosome:Graimondii2_0_v6:12:2386457:2388057:1 gene:B456_012G019700 transcript:KJB75031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASQAMISTNSCAFTSPNLLFLKKCSKINNKTRQFHFFTVRASSDESDDCNEEECAPDKEVGKVSVEWLAGDKTKVVGTFPPRRKGWTGYVEKDTAGQTNIYSIEPAVYVAESAISSGTAGSSADGAENTAAVAAGFALIFVAAASSIVLQVGKNSPPVKTAEYTGPTLSYYINKFKPPEIIQAVAPSVTETPSSELTENSAPEVSDIQVQSELPPESSSLSSTS >KJB75032 pep chromosome:Graimondii2_0_v6:12:2386552:2388057:1 gene:B456_012G019700 transcript:KJB75032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMTVMRRNVHPIKRFQHLFFPVGKVSVEWLAGDKTKVVGTFPPRRKGWTGYVEKDTAGQTNIYSIEPAVYVAESAISSGTAGSSADGAENTAAVAAGFALIFVAAASSIVLQVGKNSPPVKTAEYTGPTLSYYINKFKPPEIIQAVAPSVTETPSSELTENSAPEVSDIQVQSELPPESSSLSSTS >KJB77820 pep chromosome:Graimondii2_0_v6:12:33205309:33208466:-1 gene:B456_012G159200 transcript:KJB77820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDEERSLLEAELDRAENSGLYTGDGSVDFNGNPVLKQNTGNWKACLFILGNECCERLAYYGIATNLVSYLTKKLHEGNATAARNVTTWQGTCYVTPLIGAVLADAYWGRYWTIAAFSTIYFIGMCTLTLSASIPALKPAECVGSICPSATPAQYVVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPKERVKKGSFFNWFYFSINIGALISSSLLVWIQDNAGWGLGFGISALFMGLAIGSFFSGTSLYRFQRPGGSPITRMCQVMVAAFHKRNLKVPEDSSLLYETGDNHSAIEGSRKLEHSEELKCLDNAAIVIDAKTKSGDFSNPWRLCTVTQVEELKILIRMFPIWATGIVFSAVYAQMSTMFVEQGMMMDTKIGSFTIPPASLSSLILSIIVPIARKFTRKERGFSELQRMGIGLFISVLCMSAAALVEIKRLQLAKELDLVDKQVAVPINILWQIPQYFLFGAAEVCTFIGQLEFFYDQSPDAMRSLCSALSLLTISLGNYLSSFILTLVIYFTTKGGEIGWIPDNLNEGHLDYFFWLLAGLGFLNMLIYTQCAAKYKQKKAS >KJB75472 pep chromosome:Graimondii2_0_v6:12:5420811:5423551:1 gene:B456_012G042900 transcript:KJB75472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQSQRLNVVPTVTMLGIMKARLVGATRGHALLKKKSDALTVQFRQILKKIVSTKESMGEIMKTSSFSLTEAKYVAGENIKHVVLENVQNASLKVRSRQENVAGVKLPKFEYFTEGETKNDLTGLARGGQQVQQCRAAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIERQLAEAKLFAEEQVAEKVSLRKGVSINSAHNMLSAAREKDEDIIF >KJB78261 pep chromosome:Graimondii2_0_v6:12:35338649:35341676:1 gene:B456_012G186400 transcript:KJB78261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSSVSPSIVLPPTNTLPTRRRHRPQVVAFSFATNTRLPRLSSFFGVKLKGRLNRVVDCKCKFNGGDARRGEEDGHGGNDDDVDGEKEEVERALHLDGTIPSTSSEFLRRVSSRAYDMRRHLQQSFDSSSYDVLEANPWRDPSKPVYVLTHKENQLCTMKTRRNLKSKRNSGYCFLKEESGVLGSETKPNSLDLVLSLRCWWRMLGKEYLYSKMRMKLQNIVT >KJB78260 pep chromosome:Graimondii2_0_v6:12:35338553:35341824:1 gene:B456_012G186400 transcript:KJB78260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSSVSPSIVLPPTNTLPTRRRHRPQVVAFSFATNTRLPRLSSFFGVKLKGRLNRVVDCKCKFNGGDARRGEEDGHGGNDDDVDGEKEEVERALHLDGTIPSTSSEFLRRVSSRAYDMRRHLQQSFDSSSYDVLEANPWRDPSKPVYVLTHKENQLCTMKTRRNRSEVETELGLLFSKGGKRSSGFGNKTEQPRSGTKFEMLVEDVREGVLVFEDENEAAKYCDLMQGGGQGCEGVAEIDASSVFDLCRTVRALVVLFRRGRTPPLPQSLELNLKARKRSLEDQEGLI >KJB75545 pep chromosome:Graimondii2_0_v6:12:5826870:5829515:-1 gene:B456_012G046600 transcript:KJB75545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFSLIASGVREDYWKKDWVGVLETRILSPPGMTAGFRELVDNKGYHEGIVQTTSALVALIYSYYREVQVLGETCSLSVGHHERKWRPPAEPYVKVNFDATYRVHSWQSCSGVVVTNRNGLILGASKQLHHYVPNAFVAEVKAFIQTVSFADDLGLRYVVFEGNTLIVIKNICSTHEDRSEIAALIKEGFNLGMYHFCVEEILVEVEVVVAANI >KJB74907 pep chromosome:Graimondii2_0_v6:12:1548315:1550401:1 gene:B456_012G013800 transcript:KJB74907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIASKYEEICAPRVEEFCFITDNIYTREEVLKMESKVLNFLYFQLSVPTTKTFLRRQAQESEILTIDVKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPYDLYKRDGNDLIVNKRVSLAEALGGTTINLTTLDGGGGDDTIF >KJB74906 pep chromosome:Graimondii2_0_v6:12:1548267:1550204:1 gene:B456_012G013800 transcript:KJB74906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSVSEEYKLVPDTLYLTVSLIDHFLSHNFIEKQRLQLLGVACMLIASKYEEICAPRVEEFCFITDNIYTREEVLKMESKVLNFLYFQLSVPTTKTFLRRQAQESEILTIDVKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPYDLYKRDGNDLIVNKRVSLAEALGGTTINLTTLDGGGGDDTIF >KJB74671 pep chromosome:Graimondii2_0_v6:12:198323:201051:1 gene:B456_012G001800 transcript:KJB74671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRDCCSSGFAVGFSRHYFVWWSSSFYLLALIRFLVLVNSSAATSGPVINVTKHISFTHFSLDDPSVLSDVKLLGSAKFSMEKSVIQIPDESEAFDLRHQAGRAIYSSPVRLLDPYTHTPASFQTTFSFQFSNSSNASVDGDGPSHGGSGLTFIIVPDEFTVGRPGPWLGMLNDACQEDYKAVGIEFDTRQNPEFEDPNDNHVGINLASIVSTKAIDASDFGVFLNDGSVHRAWITYDGPRRWMDIHLGSDGHPSKPVFSGSLDLSPHLNEYMFIGFSASTGNKTQIHNVLSWNFSATSQAFLRFPSEETCESKITLRKSEAAQSQTTQKRSNKEPSSSFFIFIAVAVLALATMIGFIFCSRRGRNDNSKLTAIPEKTQRPRPPNKPRRFTFTEISSATRAFSETEMLGSDARGIYYRGKLSNGCQVAIKRLSAQFLNSQQGLDRRRLLKEIGAMSRVRHPNLVPIRGWCNDNREMMIVYDFYANGSLDKWLFGAGVLPWTRRFKVINDVAEALSFLHSKQLAHKNMKTSSVFLDVSFRAVLGDFGMVLSATDSRRFESAISQTTDVFEFGIFVLEVVSGRGRLDPEVEQEERDLVDFAWRMHVKDEKVKVVDGRMGSLVNLEQAIRALDIGLLCTLNESKGRPKMEEVVEFLSMDKQIPELPACRPVSLFPYNSATSLCTGYSCGPFK >KJB77160 pep chromosome:Graimondii2_0_v6:12:28579574:28583160:1 gene:B456_012G123700 transcript:KJB77160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLLSSFLRSTSRRSLSKSPSPTPRLPSPSPTRRPFPCPYFLSRDAEYSTSAAAAASAPSQPPPAKKGGKGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVMDHSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQPVLNTGSPITVPVGRATLGRIINVIGEPIDEKGELKTDHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQADSKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESITSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKADKIAKESAA >KJB77161 pep chromosome:Graimondii2_0_v6:12:28579639:28583085:1 gene:B456_012G123700 transcript:KJB77161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLLSSFLRSTSRRSLSKSPSPTPRLPSPSPTRRPFPCPYFLSRDAEYSTSAAAAASAPSQPPPAKKGGKGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVMDHSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQPVLNTGSPITVPVGRATLGRIINVIGEPIDEKGELKTDHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQADSKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVADKIAKESAA >KJB75052 pep chromosome:Graimondii2_0_v6:12:2614685:2617861:1 gene:B456_012G021300 transcript:KJB75052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g18485 [Source:Projected from Arabidopsis thaliana (AT1G18485) UniProtKB/Swiss-Prot;Acc:Q0WN60] MALVAHPSSPSHHRQSPLITRRTTYQRLNSSISQTTSLPQTNTSTKYQLPLLQQLTSLCQSKQSLPQALTFLQQQNPHHETLDSLQRKEAIGLLLQACGRYQDIETGREVHRMVASSTLFHNDVVITTRLITMYSMCDSPLDSRLVFDGLEKKNLFQWNAMVSGYSRNKLYEEALRAFIELVLQTDFKPDNFTFPCVIKACGGILDVRLGQGVHGMTAKLGLLGDVFVCNALIAFYGKCGLVDEAVKVFDFMSEKNLVSWNSMICVFAENGFAQEGLRLFSEMIKCEKSFVPDVASLVTILPVCAGEGNLEMGMVFHGLAVKLGLNQELMVKNALLDMYSKCGCLSHAKGLFDKDNNKNVVSWNTMIGGFATQGDARGTFYLLRKMQVEGREKTNEVTILNVLPVCLERSELLCLKELHAYSIRHGFHYDQLVANAFIAAYAKCGSLCSSQLMFNGMETKTVSSWNALIGGYAQNGAPRKALEFYLQMINSGIKPDRFSLGSLLLACSHMKSPRFGKEIHGYLIRIGLETDPFIVISLLSLYIRCGKSASARVLFEEMENKSLVSWNALIAGYSQNGLPDEALVLFRQMLSDGIQPDEISINSVFRACSQLSALRLGKEAHCYALKAYLAEDIFVGCSIIDMYAKSGCIEQARRVFEKSRNKDVALWNAIIVGYGLHGYGKEALGLFEKMLAFGMKPDGFTFVGILMACCHSGLVEEGLKYFNDMQNFHGITPKLEHYACIVDMLGRAGRLDESLRLVNEMPDEPDAGIWSSLLSSCKTFNALDIGTKVAEKLFELEPNKAENYVLLSNLFAASGKWDDVRRVRQKMKEIGLQKDAGRSWIELGGKVHSFMAGNTSFTGSKQMQNMWRRLEEKIRKIGYKPNTNSALHELAEDEKIEVLRGHSEKQAICVGLLRTSKGETLRINKNLRICVDCHNAAKLISKVVEREIVIRDNKRFHHFRDGFCSCGDYW >KJB76952 pep chromosome:Graimondii2_0_v6:12:26246918:26250339:1 gene:B456_012G114200 transcript:KJB76952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKILQVDRNANDEDLKKAYRKLAMKWHPDKNPKSKKEAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGQMPPPGVGGFPGGADGGSGPTMFRFNPRSPEDIFSEFFGFSSPFGGMGDMGGSRAGMSGFPRGMFREDIFGSFRGGAGEGSTTMLRKGPAIEQPLPCSLEDLYKGTTKKMKISRDVIDASGRPSTEEEILTIQIKPGWKKGTKITFPEKGNEQRGVIPSDLVFIIDEKPHSVFKRDGNDLILTQKISLVEALTGYTAQLTTLDGRTLTVPINNIINPTYEEVVKGEGMPIPKEPSKKGNLRIKFNIKFPTKLTTEQKTGLKRLIPSP >KJB76954 pep chromosome:Graimondii2_0_v6:12:26246812:26250486:1 gene:B456_012G114200 transcript:KJB76954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKILQVDRNANDEDLKKAYRKLAMKWHPDKNPKSKKEAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGQMPPPGVGGFPGGADGGSGPTMFRFNPRSPEDIFSEFFGFSSPFGGMGDMGGSRAGMSGFPRGMFREDIFGSFRGGAGEGSTTMLRKGPAIEQPLPCSLEDLYKGTTKKMKISRDVIDASGRPSTEEEILTIQIKPGWKKGTKITFPEKGNEQRGVIPSDLVFIIDEKPHSVFKRDGNDLILTQKISLVEALTGYTAQLTTLDGRTLTVPINNIINPTYEEVVKGEGMPIPKEPSKKGNLRIKFNIKFPTKLTTEQKTGLKRLIPSP >KJB76951 pep chromosome:Graimondii2_0_v6:12:26246918:26250339:1 gene:B456_012G114200 transcript:KJB76951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKILQVDRNANDEDLKKAYRKLAMKWHPDKNPKSKKEAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGQMPPPGVGGFPGGADGGSGPTMFRFNPRSPEDIFSEFFGFSSPFGGMGDMGGSRAGMSGFPRGMFREDIFGSFRGGAGEGSTTMLRKGPAIEQPLPCSLEDLYKGTTKKMKISRDVIDASGRPSTEEEILTIQIKPGWKKGTKITFPEKGNEQRGVIPSDLVFIIDEKPHSVFKRDGNDLILTQKISLVEALTGYTAQLTTLDGRTLTVPINNIINPTYEEVVKGEGMPIPKEPSKKGNLRIKFNIKFPTKLTTEQKTGLKRLIPSP >KJB76955 pep chromosome:Graimondii2_0_v6:12:26246918:26250339:1 gene:B456_012G114200 transcript:KJB76955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKILQVDRNANDEDLKKAYRKLAMKWHPDKNPKSKKEAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGQMPPPGVGGFPGGADGGSGPTMFRFNPRSPEDIFSEFFGFSSPFGGMGDMGGSRAGMSGFPRGMFREDIFGSFRGGAGEGSTTMLRKGPAIEQPLPCSLEDLYKGTTKKMKISRDVIDASGRPSTEEEILTIQIKPGWKKGTKITFPEKGNEQRGVIPSDLVFIIDEKPHSVFKRDGNDLILTQKISLVEALTGYTAQLTTLDGRTLTVPINNIINPTYEEVVKGEGMPIPKEPSKKGNLRIKFNIKFPTKLTTEQKTGLKRLIPSP >KJB76953 pep chromosome:Graimondii2_0_v6:12:26246918:26249867:1 gene:B456_012G114200 transcript:KJB76953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPGVGGFPGGADGGSGPTMFRFNPRSPEDIFSEFFGFSSPFGGMGDMGGSRAGMSGFPRGMFREDIFGSFRGGAGEGSTTMLRKGPAIEQPLPCSLEDLYKGTTKKMKISRDVIDASGRPSTEEEILTIQIKPGWKKGTKITFPEKGNEQRGVIPSDLVFIIDEKPHSVFKRDGNDLILTQKISLVEALTGYTAQLTTLDGRTLTVPINNIINPTYEEVVKGEGMPIPKEPSKKGNLRIKFNIKFPTKLTTEQKTGLKRLIPSP >KJB76455 pep chromosome:Graimondii2_0_v6:12:15220038:15221892:-1 gene:B456_012G089100 transcript:KJB76455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNHQPQWKNNNCTKFVLLVTFSCLVGLACILNLASSCSFYLSYLSFTSNWVSHKPPFFVVPRAGEDPKISTKVGEGRSSQRFISATFADIAAPDLPWEQMPSAPVVRLDGSSIQINNLFYVLSGYGTLDYVHSHVDVYNFTDNTWFARFDAPKYMANSHLGVASDGRYIYVVSGQYGPQCRGPTSATYVLDTQTRQWHDFPPLPVPRYAPATQLWRGRLHVMGGSKENRHTPGLEHWSIAVKDGNALEKNWRSEIPIPRGGPHRACVVYNDRLFVIGGQEGDFMAKPGSPIFKCSRRNEVVYGDVYLLEGEMKKWKVLPPMPKPNSHIECSWVIVNNSIIITGGTTEKHPVNKRMILVGEVFQFNLDSLTWSVIGKLPYRVKTTLAAFWDGYLYFTSGQRDRGPVNPQPMKVVGEMYRAKINV >KJB75366 pep chromosome:Graimondii2_0_v6:12:4806776:4808695:-1 gene:B456_012G038400 transcript:KJB75366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRYQFCSSALY >KJB75365 pep chromosome:Graimondii2_0_v6:12:4806125:4808703:-1 gene:B456_012G038400 transcript:KJB75365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTARDYNIEGGSVLHLVLALRGGCL >KJB75364 pep chromosome:Graimondii2_0_v6:12:4806129:4808648:-1 gene:B456_012G038400 transcript:KJB75364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTARDYNIEGGSVLHLVLALRGGCL >KJB75931 pep chromosome:Graimondii2_0_v6:12:9119567:9121559:-1 gene:B456_012G064200 transcript:KJB75931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMLCDELLQEIFRKLPSTPPSSLSVSLVSKRWLNLYRSSKASLSLKLLPHNSMVISLSSLLSHYPSLSFLSLVLSDAADSTSSNNNSSTTAFFDNVLFVVSSSCSNLHHLRFLAGPVSCLSLLSLSKSCSQLTSITASLSRPLFLNWVVSFPCLKELCLHVCSTDGVDDGDKQFGLSLNEELDVKFGLETLCVSGIQADDKGISWLWRNCKRLKKLQLRSCDSVGDGESFASFIWCVEGLEEVELRKCRSIIDRVLLRLAQNCASLISLLIYDGCSREGLLEFITTCRCNLQKLDLRLPLDLNNDHLLAIAMNLRGLLTLRLQSCCLVTGEGLHILGVALNPTLEELALINCDVVDREVGLLATLGQNLRMLRKLDLSYNEMLVDKELISMLVSCNHLTELKLRGCRKLTSMTMVTISKTYKGLQSIDIMNCPGIGAQAVEFFVLNCPQMKQIVVEESKVSDIARTWACHKFIEVTSGS >KJB77701 pep chromosome:Graimondii2_0_v6:12:32559619:32567395:-1 gene:B456_012G151900 transcript:KJB77701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEQTFIRVQERFSQILTPRVRVALEYIYLFIAITSFCILVVMHANYVQQPGCSSELSGVESNEVQLVQIKITSAGLWSQSESEPPVVNNLDMETVTENIEVANADDDGLMFLDAEFWLNWFGSGARKGKLALKFWKTDDEFIEKQAECSTNGESSKPTIDDAALKIEKEETRNGFYLSAKQTFKAAFVHFGKKWYRRLSFVWRHGIRIFGSFQKLWNITGFHLNLDVPKWLRILHLDRLNSYAVHWLERRSKAFEPTYLYTMEKGYFLLPDAAKSRHNIRTVNISISARHPCFGNRWQQLLINRFVGYDTILMNSLLHQPGKGYLYNFQTKEFYNLTYARELPDGSARVGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFILISVRTPISMKFFPRFFLLYFLVFHIYFFCYAYGIIQYFSKYRFTGIYFICLKSIFLNSPGFSYLALSTTAAFMQHLILYFWNRFEVPTLQRFMQNRRSQLQQHPDFHITSSTILASTLHITRLNTRNPGPVRPDPTSMPGLRPGSDQEVPANGAGEAAGPRRQSENENISQNGNPMQIPAQPDLQQAEAGPNPRTMSSFSSLLLWILGGASSEGLSSFLSMFRDVREQGQVYADPPHENRAMQNVQ >KJB77702 pep chromosome:Graimondii2_0_v6:12:32559619:32567563:-1 gene:B456_012G151900 transcript:KJB77702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEQTFIRVQERFSQILTPRVRVALEYIYLFIAITSFCILVVMHANYVQQPGCSSELSGVESNEVQLVQIKITSAGLWSQSESEPPVVNNLDMETVTENIEVANADDDGLMFLDAEFWLNWFGSGARKGKLALKFWKTDDEFIEKQAECSTNGESSKPTIDDAALKIEKEETRNGFYLSAKQTFKAAFVHFGKKWYRRLSFVWRHGIRIFGSFQKLWNITGFHLNLDVPKWLRILHLDRLNSYAVHWLERRSKAFEPTYLYTMEKGYFLLPDAAKSRHNIRTVNISISARHPCFGNRWQQLLINRFVGYDTILMNSLLHQPGKGYLYNFQTKEFYNLTYARELPDGSARVGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFILISVRTPISMKFFPRFFLLYFLVFHIYFFCYAYGFSYLALSTTAAFMQHLILYFWNRFEVPTLQRFMQNRRSQLQQHPDFHITSSTILASTLHITRLNTRNPGPVRPDPTSMPGLRPGSDQEVPANGAGEAAGPRRQSENENISQNGNPMQIPAQPDLQQAEAGPNPRTMSSFSSLLLWILGGASSEGLSSFLSMFRDVREQGQVYADPPHENRAMQNVQ >KJB76715 pep chromosome:Graimondii2_0_v6:12:22894432:22896509:1 gene:B456_012G102500 transcript:KJB76715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEPTSIEGSVTPPTSIDSKNSGVGASIQTKGTTGKRKAPPQSEGASKAKCNYCEKKFCCDMKKNDTGSLKYHIGSCKKNPSNVVDTSQGQLVLPRKGVEWGEGNLSTWIFDQEACRKGLAQMILIDELPFKFVESEGFKKFMFVACPRFHIPSRTTMTRDVYQLYLDKRVKIKQLLRSSCSRVCLTTDTWTSLQRVNYLCITAHFIDNDWKLNKKILKFCPISNHKGESIGMVIEKCLLNWGIDKLFTVTVDNASSNDVAIGYLRKKFNPRGGLVQNGKYLHMRCMAHIVNLIVVEGLKEMNKSVERVKGAVRYVRQSPARLQKFKEWNSTYLMLDTAQNFERAFERFEEGEGWPSVDDWDNVRNLRDFLEHFYEVTLRISGTSYLNSNIDFNVMAIKMKEKYDKYWGDIDKMNLLMFVACVLDPRQKLKYLEFALSEMSSSEKACEMMQKLKESLYELFDEYKPPIHTLYKKRKLEICGEDKTSELDKYLAEANEEFVEDFDILLWWKVNSPRFPTFSKIARDVLAILVSTVASEFAFSTGGRVLDQYRSSLTPKIVQALVCAQDWIQRSSSQEDIKKIEEQIQELDKIENGMFIVLF >KJB76560 pep chromosome:Graimondii2_0_v6:12:16992893:16994305:-1 gene:B456_012G094600 transcript:KJB76560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNNRSFKYAWVLDKLKAERERGITIDITLWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFECDNMIERSTNLDWYKGPTLTSHSVFHFRMCTRLEVLELSPVGRVETGILKPGMVATFGPSGLTIEVKSVEMHHESLTEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPAQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGFVKMVPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIESVEKKDPSGAKVTKSAAKKGGK >KJB75774 pep chromosome:Graimondii2_0_v6:12:8932143:8934808:-1 gene:B456_012G063300 transcript:KJB75774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLPLQTLLAALIVVVTLGEEFYLGGVVGAALIIVGLYLVILGKSEESKYLSENEPIYSVSENNDMESTFIRPLLGNKLQS >KJB74657 pep chromosome:Graimondii2_0_v6:12:100270:102721:1 gene:B456_012G000800 transcript:KJB74657 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC15 [Source:Projected from Arabidopsis thaliana (AT5G54180) UniProtKB/TrEMBL;Acc:A0A178U8Y7] MVAAITMFTNSFCLSSSSTFLNSHAVTTTKLLSPVSLYANPTARPREWFPMINATKTSHNLIYFRPFKCPQPASRLLIQCNSVDTAISLWWEAGMLFSVFREIGLNEKETNLLLGKNPTLRSTSLDRIRARVLALQSVGINGFALYRLVIKCPSVLIAEEIDPVISFVRDDLEGKIEAAQLERLFITTEARFLLGFAQKVKLLLHHEVPQEKIAHVLNNANLNKAICCKSVEELERTIAFLKPYHGIEIIVRRPAILNYDLDSQLIPKIRFLEELSGGDANATGTVLRKLPAILSYSLEHMESHVDFMKSYVGLDDREIFKIILVFPNVVSASKERKLRPRIEFLKQCGLNSNDIFKFLTRAPLFVALSFEDNLAHKLGFLVKIGYEYRTKELAVALGAVTRTSCENMQKVIGLFLSYGLSCQDIFYMSKKHPQILQYNPGSLEEKMEYLTEEMGRDVSELLTFPAFLGYKLDDRIKHRYEVKKKTAGTGMSLNKLLSESADRFSTTEQPKSLVND >KJB74721 pep chromosome:Graimondii2_0_v6:12:572083:573407:-1 gene:B456_012G004700 transcript:KJB74721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHPIAEANEESPFGTLTPDEFYARHSVSHSSEFITNARGLKLFTQSWTPLDTPITGIVAVVHGFTGESSWFLQLTSVLFAKSGFATCAIDHQGHGFSEGLDGLDTHIPNIDGVVDDCIQCFESFRARHAPDLPAFLYSESLGGAIALYISLRQKGVWDGLILNGAMCGISAKFKPPWPLEHFLFIVAKLIPTWRVVPTRGSIPDVSFKEPWKRKLAIASPRRTVARPRAATAYELIRICNDLQGRFEEVDVPLLIVHGGDDVVCDPACVEELYKRAASTDKTLRIHPGMWHQLVGEPEESVELVFGEMIDWLKSRGSRAAEAKGASGLSSAVGSTSG >KJB78032 pep chromosome:Graimondii2_0_v6:12:34313588:34315066:1 gene:B456_012G173400 transcript:KJB78032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQHVETNPHFIEDPDRITPEQQHDLPATLLPQRGRDQRVPRRGPIDQDLHPHPLGPSQPEPHHDSQPHLPLHVWVPPPVHHEDRRPQLRSRPSQFEGPTPEEVMLQPHLHGQHPSSSSVRPQHEINEGDQHQRWHPQQHRPHSGVFLPSVRQTDPLTLSTATSCVIFWLIVILAGLLVLVVYLIFRPHRPLFDLNGFTLNAATLDTGYLLDADVTLLVNFTNPNKKVSIDFNHLSLDLYFDETLIATQYIEPFSAAKGQTMFASIHMIASQVSLSMKEALLFENQIKNNQVLFSVKGAFRARSKLGGFMKYSYWLHSYCGIMVSSPPTGVLREKICRTKH >KJB74683 pep chromosome:Graimondii2_0_v6:12:266698:270702:1 gene:B456_012G002500 transcript:KJB74683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIASVAFSSAIEEFACFPENFAHRYGQTLSNSILIFLSVGGSIIPMRVMEYDSIASVKLRIQTSKGFFVRKQKLVFEGRELAQNDSRVQDYGVADGNVLHLVLKLSDLQAITVRTVCGKEFEFHIPRGRNVGYVKQQIAKKGKGFLNLKDQELVCNGEVLEDQRLITDICKNSDAVIHLLVQNSAKVRAVPIERDFEVSIEALSLNEGPHAARQYLGDTSSVEHLILAGKLFQRDSILEPLVVNSKIQLPMAIKELIDLTFDGLQQGSRPIRSSEGSGGAYFMQDSSGQKYISVFKPTDEEPMAVNNPHGLPLSLDGEGLKKGTCVGEGALREVAAYLLDHPMTGPRSFDSGEKGFAGVPPTVMVKCLHKAFNYPNGYDYDCKIGSLQMFVNNVGSCEDMGSRAFPVDEVQKISVLDIRLANADRHAGNILVTRNCEEGRFSLVPIDHGYCLPENFEDCTFDWLYWPQAREPYSPDVIKYIKSLDAEQDIELLRFHGWDMPPKCARTFRISTMLLKKGAERGLTPYAIGRIMCRETVKQESVIEQIVKEAEEALLPGMSDEAFFEAVTLIMDGRLDELTS >KJB74681 pep chromosome:Graimondii2_0_v6:12:267462:270430:1 gene:B456_012G002500 transcript:KJB74681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIASVAFSSAIEEFACFPENFAHRYGQTLSNSILIFLSVGGSIIPMRVMEYDSIASVKLRIQTSKGFFVRKQKLVFEGRELAQNDSRVQDYGVADGNVLHLVLKLSDLQAITVRTVCGKEFEFHIPRGRNVGYVKQQIAKKGKGFLNLKDQELVCNGEVLEDQRLITDICKNSDAVIHLLVQNSAKVRAVPIERDFEVSIEALSLNEGPHAARQYLGDTSSVEHLILAGKLFQRDSILEPLVVNSKIQLPMAIKELIDLTFDGLQQGSRPIRSSEGSGGAYFMQDSSGQKYISVFKPTDEEPMAVNNPHGLPLSLDGEGLKKGTCVGEGALREVAAYLLDHPMTGPRSFDSGEKGFAGVPPTVMVKCLHKAFNYPNGYDYDCKIGSLQMFVNNVGSCEDMGSRAFPVDEVQKISVLDIRLANADRHAGNILVTRNCEEGRFSLVPIDHGYCLPENFEDCTFDWLYWPQAREPYSPDVIKYIKSLDAEQDIELLRFHGWDMPPKCARTFRISTMLLKKGAERGLTPYAIGRIMCRETVKQESVIEQIVKEAEEALLPGMSDEAFFEAVTLIMDGRLDELTS >KJB74682 pep chromosome:Graimondii2_0_v6:12:267553:270506:1 gene:B456_012G002500 transcript:KJB74682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIASVAFSSAIEEFACFPENFAHRYGQTLSNSILIFLSVGGSIIPMRVMEYDSIASVKLRIQTSKGFFVRKQKLVFEGRELAQNDSRVQDYGVADGNVLHLVLKLSDLQAITVRTVCGKEFEFHIPRGRNVGYVKQQIAKKGKGFLNLKDQELVCNGEVLEDQRLITDICKNSDAVIHLLVQNSAKVRAVPIERDFEVSIEALSLNEGPHAARQYLGDTSSVEHLILAGKLFQRDSILEPLVVNSKIQLPMAIKELIDLTFDGLQQGSRPIRSSEGSGGAYFMQDSSGQKYISVFKPTDEEPMAVNNPHGLPLSLDGEGLKKGTCVGEGALREVAAYLLDHPMTGPRSFDSGEKGFAGVPPTVMVKCLHKAFNYPNGYDYDCKIGSLQMFVNNVGSCEDMGSRAFPVDEVQKISVLDIRLANADRHAGNILVTRNCEEGRFSLVPIDHGYCLPENFEDCTFDWLYWPQAREPYSPDVIKYIKSLDAEQDIELLRFHGWDMPPKCARTFRISTMLLKKGAERGLTPYAIGRIMCRETVKQESVIEQIVKEAEEALLPGMSDEAFFEAVTLIMDGRLDELTS >KJB77941 pep chromosome:Graimondii2_0_v6:12:33954428:33954880:-1 gene:B456_012G169100 transcript:KJB77941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHSGIIFFKELTDTDVKKRLVVPKEYQDFFLPSYGRLPAKIKLMYDGKIWEVKCTVRTKGFLRPVLTVGWKMFVVANELKVGDRITMYKDEDGFSHYMVEVEKPPAGNQHGTLPNSPAPSFIHHEPDETTVEYRREVPKNTTSLILHR >KJB75424 pep chromosome:Graimondii2_0_v6:12:5222804:5224228:1 gene:B456_012G041600 transcript:KJB75424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRSDENEAKLKELSKKLEALQKEKLELRSENKEVKETIEKLTLEIDEFRHREVETKTETDQWEDEMVLESLASRSAELENEVSRLQHDLITSMSEIDEANKDAVELKRGLEEKAWVIEGMEKEISELKKEKLEIEKRERDLERKLGVLEVRETEERSKKVRLEEEMKEKIDELKKKANALQAEVARTKAELDKTNAEIVEFEERATLLESNMLEVKEGVEGKTSGAIKGRSKVKGWLLGVPAVAILFAAAVVFLCSRQRS >KJB76351 pep chromosome:Graimondii2_0_v6:12:14070573:14074511:1 gene:B456_012G083900 transcript:KJB76351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFNAQILVEKLAKLNNSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPNALRDVIENGDEFGRNAALRLIRIWEERKVFGSQGQILKEELVGRQSENNNRNGRHVGVKLKQPVRNTVDKIVSAYQVVYGSQMDEDVIFSKCRNAISCIDKVDKEIGTDVNTGQFRGSALVEEVQGQHAVLRDCIQQLTAVASSRASLVSHLREALQEQEFKLEQVRAELQVAQSRSEHAAPVMYARQASFPDNSGRNEEDPRKSAAAAVAAKLTASTSSAEMLSYVLSSLASDGVIGNPMKDSSGDYPSEKRSKRENDPSYIPSQNPQQASVPPFSHPESHQHNVVTTTQQLALNEVPPPPSSSPPPLPPLPPMPPYAVPQYMPTAGSINGATYSYSMTSQQPSLPGYSIAGAAMSGISLFATSSTNSYQSFQSSEGFHNQPSSLPTAPISRQ >KJB76350 pep chromosome:Graimondii2_0_v6:12:14070473:14074738:1 gene:B456_012G083900 transcript:KJB76350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFNAQILVEKLAKLNNSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPNALRDVIENGDEFGRNAALRLIRIWEERKVFGSQGQILKEELVGRQSENNNRNGRHVGVKLKQPVRNTVDKIVSAYQVVYGSQMDEDVIFSKCRNAISCIDKVDKEIGTDVNTGQFRGSALVEEVQGQHAVLRDCIQQLTAVASSRASLVSHLREALQEQEFKLEQVRAELQVAQSRSEHAGNICGQFLNIDNPELVAEQSSKEPHTSIAPQSFIPSATEQSAPVMYARQASFPDNSGRNEEDPRKSAAAAVAAKLTASTSSAEMLSYVLSSLASDGVIGNPMKDSSGDYPSEKRSKRENDPSYIPSQNPQQASVPPFSHPESHQHNVVTTTQQLALNEVPPPPSSSPPPLPPLPPMPPYAVPQYMPTAGSINGATYSYSMTSQQPSLPGYSIAGAAMSGISLFATSSTNSYQSFQSSEGFHNQPSSLPTAPISRQ >KJB76352 pep chromosome:Graimondii2_0_v6:12:14071786:14074470:1 gene:B456_012G083900 transcript:KJB76352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKAKQVVETWDRQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPNALRDVIENGDEFGRNAALRLIRIWEERKVFGSQGQILKEELVGRQSENNNRNGRHVGVKLKQPVRNTVDKIVSAYQVVYGSQMDEDVIFSKCRNAISCIDKVDKEIGTDVNTGQFRGSALVEEVQGQHAVLRDCIQQLTAVASSRASLVSHLREALQEQEFKLEQVRAELQVAQSRSEHAGNICGQFLNIDNPELVAEQSSKEPHTSIAPQSFIPSATEQSAPVMYARQASFPDNSGRNEEDPRKSAAAAVAAKLTASTSSAEMLSYVLSSLASDGVIGNPMKDSSGDYPSEKRSKRENDPSYIPSQNPQQASVPPFSHPESHQHNVVTTTQQLALNEVPPPPSSSPPPLPPLPPMPPYAVPQYMPTAGSINGATYSYSMTSQQPSLPGYSIAGAAMSGISLFATSSTNSYQSFQSSEGFHNQPSSLPTAPISRQ >KJB77956 pep chromosome:Graimondii2_0_v6:12:34258651:34263310:-1 gene:B456_012G172700 transcript:KJB77956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISAQLASFKSFSSLLNDKKCSRFWCQIKSFENQHSNWSVEFLSGKESVHLIRNSSPSISMAASVAGSAVKPAKKVCLFYCSETEVLAKRIAADSDAIELRSINWRTFEDGFPNLFIPNAHGIRGQNVAFLASFSSPAVIFEQLSVIYALPKLFVSSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSHIPTSRGGPTSLVTFDIHALQERFYFGDTILPCFESGIPLLKNRLQKLPDSDKIVCNKVRIGDQRIVRIKEGDATGRHVVIVDDLVQSGGTLIECQKVLAANGATKISAYVTHGIFPKSSWQRFEHDNGGQPEKGLTYFWITDSCPHTVKEVKCKRPFEILSLSGSIAAALQV >KJB77957 pep chromosome:Graimondii2_0_v6:12:34260609:34263240:-1 gene:B456_012G172700 transcript:KJB77957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISAQLASFKSFSSLLNDKKCSRFWCQIKSFENQHSNWSVEFLSGKESVHLIRNSSPSISMAASVAGSAVKPAKKVCLFYCSETEVLAKRIAADSDAIELRSINWRTFEDGFPNLFIPNAHGIRGQNVAFLASFSSPAVIFEQLSVIYALPKLFVSSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSHIPTSRGGPTSLVTFDIHALQERFYFGDTILPCFESGIPLLKNRLQKLPDSDKISIAFPDDGAWKRFHKQLQHFPTFTKIKWMDIIWKFSFS >KJB77958 pep chromosome:Graimondii2_0_v6:12:34259448:34263310:-1 gene:B456_012G172700 transcript:KJB77958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISAQLASFKSFSSLLNDKKCSRFWCQIKSFENQHSNWSVEFLSGKESVHLIRNSSPSISMAASVAGSAVKPAKKVCLFYCSETEVLAKRIAADSDAIELRSINWRTFEDGFPNLFIPNAHGIRGQNVAFLASFSSPAVIFEQLSVIYALPKLFVSSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSHIPTSRGGPTSLVTFDIHALQERFYFGDTILPCFESGIPLLKNRLQKLPDSDKISIAFPDDGAWKRFHKQLQHFPTIVCNKVRIGDQRIVRIKEGDATGRHVVIVDDLVQSGGTLIECQKVLAANGATKISAYVTHGIFPKSSWQRFEHDNGGIACTFTIAF >KJB77954 pep chromosome:Graimondii2_0_v6:12:34258563:34263353:-1 gene:B456_012G172700 transcript:KJB77954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISAQLASFKSFSSLLNDKKCSRFWCQIKSFENQHSNWSVEFLSGKESVHLIRNSSPSISMAASVAGSAVKPAKKVCLFYCSETEVLAKRIAADSDAIELRSINWRTFEDGFPNLFIPNAHGIRGQNVAFLASFSSPAVIFEQLSVIYALPKLFVSSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSHIPTSRGGPTSLVTFDIHALQERFYFGDTILPCFESGIPLLKNRLQKLPDSDKISIAFPDDGAWKRFHKQLQHFPTIVCNKVRIGDQRIVRIKEGDATGRHVVIVDDLVQSGGTLIECQKVLAANGATKISAYVTHGIFPKSSWQRFEHDNGGQPEKGLTYFWITDSCPHTVKEVKCKRPFEILSLSGSIAAALQV >KJB77955 pep chromosome:Graimondii2_0_v6:12:34258651:34263310:-1 gene:B456_012G172700 transcript:KJB77955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISAQLASFKSFSSLLNDKKCSRFWCQIKSFENQHSNWSVEFLSGKESVHLIRNSSPSISMAASVAGSAVKPAKKVCLFYCSETEVLAKRIAADSDAIELRSINWRTFEDGFPNLFIPNAHGIRGQNVAFLASFSSPAVIFEQLSVIYALPKLFVSSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSHIPTSRGGPTSLVTFDIHALQERFYFGDTILPCFESGIPLLKNRLQKLPDSDKISIAFPDDGAWKRFHKQLQHFPTFG >KJB76389 pep chromosome:Graimondii2_0_v6:12:14707094:14708319:-1 gene:B456_012G086300 transcript:KJB76389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASPNGDAEKDAQDNLSLAGDGFDTVIKAKQAVEVQCPGIVSCADILALAARDVVVLAGGPSWEVELGRRDGLVSQASKVAGNLPDPEFNLVQLNTIFAKNNLTQFDMIALSGAHTLGFSHCNRFSNRLYSFSSSSVVDPSLDPNYAQQLMQACPQNVDPSIAINMDPETPRTFDNVYYQNLVSGKGLFTSDEVLFSDPASQPTVSDFASNPGNFNGAFITAMRKLGRVGVKTGNEGEIRMDCTKFKDA >KJB76390 pep chromosome:Graimondii2_0_v6:12:14707094:14709280:-1 gene:B456_012G086300 transcript:KJB76390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLQRGLVLLMVFMVFQRGEGQLFENFYRGTCPNLEMIVKQVVSTKFTQTFVTIPATLRLFFHDCFVEGCDASVMIASPNGDAEKDAQDNLSLAGDGFDTVIKAKQAVEVQCPGIVSCADILALAARDVVVLAGGPSWEVELGRRDGLVSQASKVAGNLPDPEFNLVQLNTIFAKNNLTQFDMIALSGAHTLGFSHCNRFSNRLYSFSSSSVVDPSLDPNYAQQLMQACPQNVDPSIAINMDPETPRTFDNVYYQNLVSGKGLFTSDEVLFSDPASQPTVSDFASNPGNFNGAFITAMRKLGRVGVKTGNEGEIRMDCTKFKDA >KJB76376 pep chromosome:Graimondii2_0_v6:12:14515358:14517970:-1 gene:B456_012G085500 transcript:KJB76376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLLGSIKHVAKSAQLKNRNGNSSSYSCLFLPPSPTNSSSVHLSAKDVVASLKDWFKCPDTPLLDRIFTILSSQDDASSRHATDLALSHLNLHLSETFVLQVLSYGRSSSQDVLSCLKFFDWAGRQPGFNHTRATFFTLFKILSKAKFMPLMLDILQDFMEHRRIHNVRFHDTLVWGYALAGKPEMALQLFGRMRFQGLDLDTFAYHVLLNALVEESCFDAADMIAKQISMRGLENDITHSILVKCWCKQNKFKEAEAYLRRLAESRKPVDGHSVSIMVNALCKGNRFKHAATLLEEFTELNVPMEHAYGIWLQNVVQSGRLNWSLEFINSRRLLYRNVPRLFQYNVLVLKLLSEYRLNDVYELLIEMEKDGISPDKVTMNAILCFFCKVGIVDVAIELYNSRSELRLSLNSTTYSSLINDLCWNGVIDEAYRVLRNSIREGYFPSKNTFTHVAVALCREAKFDMIKELVIFSLERKVKPRYAICDPFIVALCKANRIEDAYLLHGEVCRINKDIARETYFHLIHSFCRSNRGDIAATLLFEMQEKGHKPTRTLFRSVICSLCDMESPENQFFKMLEMQLSHFEPEARIFNFFISGAGYAKKPELAREVFEMMQRSGIKPGLRSDISMLVSYLQNNRISDALNFFNDIRQRRKIGRKLYSSMIVGLCKADQADYALSFMKEMKGNNVYPSMECYELLIKLLCSKKSYWLAVKLVNELENSRGYITPFIGNVLLLHSLKTNQLYEAWVQFKEGEDETSDVSMLGRVIGIFSGCIKASIDIERAEEIIAKCFPLDVYTYNTLLKKVSKSKVEEAFEVYDWICEKGYEPNRWTYDIIIHGLLKKGMRVEAQRWLKEMSEKGFDLTDRTKMLL >KJB77997 pep chromosome:Graimondii2_0_v6:12:34143258:34144016:-1 gene:B456_012G171600 transcript:KJB77997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVNPSLSQFTGTAFPVAAGGFNRDFGVKVQIGDDESSKQLEMEEKEEEEFSFECLNPDGSLISADDVFLNGKIRPVYPFSNEDLVFANGNGSVSKPEDCEMSVRTLLKKVFVEGSPETTSSSETTGPYRRIVEKTLPDTCKKSNSTGFSKLWRFKDFMIRSNSDGKDAFVFFNHTTSSSSGTSSVKAEMKSEKPKATIKQKSSKTTSAHEKLYVKNRATREGEKRRSFLPYKQFGFFTNVNKLSRNLHPF >KJB77005 pep chromosome:Graimondii2_0_v6:12:26874719:26875484:1 gene:B456_012G116400 transcript:KJB77005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKICSPASGALILKGFVFIPKCFLHHSLFISKVFSKTNISEFFPYLALLVIDEYVGDILAIFEELSIPCKLVLNVVGIEETEALSVLKSAICVSGFDSSSKFNLPNVVLVLPILKECLGGILCGLLNINSTMPSWC >KJB74777 pep chromosome:Graimondii2_0_v6:12:776509:777840:-1 gene:B456_012G006600 transcript:KJB74777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKFEAQILSKEIIKPSSPEIHLKEPFKLCVFDQLTPTTYVPIILFYAPSDTNTSNILTQLKKSLSETLNILYPFSGRIFSNMFIHDFDAGVPFLSARIGCRLSEFLRHHQIETLNNLLPCTPLSKESNHQGPLLVCQVTMFACGGIALGICASHKITDSETAVILGFVWSTICQGYHPEIRFPALSKASLIFPPKNPMPKNYVSMMENLWFTKGNFITRKFVFNEEAVAILKDMAKGEVVTTPTRTVAISSFIWKCSMAASRAIQGTLKPSIVVQAVNMRSKGKLTTLDGSIGNVFWLASALSNPAETGTELSTLVELMSQSIAVIDGEYVRSIQGEQGFEAIAEHLNQLELLFSFEKPDIFAFTSWINTEFHKLDFGRGQPCSWAILGKIGQFGNLTILLETKCGKGIEAWITLDETRMSALQKDHEFLNFASPNLQISSL >KJB75655 pep chromosome:Graimondii2_0_v6:12:6541699:6545610:-1 gene:B456_012G050300 transcript:KJB75655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESIGSPVTLDISGSDRGNLRRNSLAVADSVSSREKTLPRYLRASTGSCHDFCKYGKKHESEEIARHPFRKRIVKKLCDEPNLFRSLDLVQRKLTSTTESKSFPNSQSHTPDMPDTIELQLPTCSPSGNNSRIHGVQLKKEKTSAAKLKSKHPPNFRSRHKSSDVVTAGVSTNSSNSQIPRNDEVLLEEKKTSIAKLRSSPNLKSRSSDASKVMQKGGSTSSKKVGISSKEVSSKPNDKNFSKALGTSSKPKSQAEKLPLVSAPSGASSVKRNSGSSDTKMGKRTVTSKVAVKKALASPRASLSPRASLARATSLTARKNLNLKVVPLQKNQNKVEKAESEQPPDEQKVRNNNALEEKTLYVIKMETENMLLESDKNENCAAELPPPVALSPKSSSLTMSPPLSSHGGRDEDESEYTEDDSDSEYYEDDEDETVNTEEVEHLERENGGRSRKGRMVFSEEKDSRPVKLSFRRGKVVDIQTENNGPRRLKFRRGRLLGVNQNIKAERRTFRTREVDGDMNDNEPGGEKVVLRHQGLQGKKDEKGLFNNVIEETASKLVETRKSKVKALVGAFETVISLQDGKPSSNTVT >KJB75657 pep chromosome:Graimondii2_0_v6:12:6541699:6545234:-1 gene:B456_012G050300 transcript:KJB75657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESIGSPVTLDISGSDRGNLRRNSLAVADSVSSREKTLPRYLRASTGSCHDFCKYGKKHESEEIARHPFRKRIVKKLCDEPNLFRSLDLVQRKLTSTTESKSFPNSQSHTPDMPDTIELQLPTCSPSGNNSRIHGVQLKKEKTSAAKLKSKHPPNFRSRHKSSDVVTAGVSTNSSNSQIPRNDEVLLEEKKTSIAKLRSSPNLKSRSSDASKVMQKGGSTSSKKVGISSKEVSSKPNDKNFSKALGTSSKPKSQAEKLPLVSAPSGASSVKRNSGSSDTKMGKRTVTSKVAVKKALASPRASLSPRASLARATSLTARKNLNLKVVPLQKNQNKVEKAESEQPPDEQKVRNNNALEEKTLYVIKMETENMLLESDKNENCAAELPPPVALSPKSSSLTMSPPLSSHGGRDEDESEYTEDDSDSEYYEDDEDETVNTEEVEHLERENGGRSRKGRMVFSEEKDSRPVKLSFRRGKVVDIQTENNGPRRLKFRRGRLLGVNQNIKAERRTFRTREVDGDMNDNEPGGEKVVLRHQGLQGKKDEKGLFNNVIEETASKLVETRKSKVKALVGAFETVISLQDGKPSSNTVT >KJB75656 pep chromosome:Graimondii2_0_v6:12:6541699:6545245:-1 gene:B456_012G050300 transcript:KJB75656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESIGSPVTLDISGSDRGNLRRNSLAVADSVSSREKTLPRYLRASTGSCHDFCKYGKKHESEEIARHPFRKRIVKKLCDEPNLFRSLDLVQRKLTSTTESKSFPNSQSHTPDMPDTIELQLPTCSPSGNNSRIHGVQLKKEKTSAAKLKSKHPPNFRSRHKSSDVVTAGVSTNSSNSQIPRNDEVLLEEKKTSIAKLRSSPNLKSRSSDASKVMQKGGSTSSKKVGISSKEVSSKPNDKNFSKALGTSSKPKSQAEKLPLVSAPSGASSVKRNSGSSDTKMGKRTVTSKVAVKKALASPRASLSPRASLARATSLTARKNLNLKVVPLQKNQNKVEKAESEQPPDEQKVRNNNALEEKTLYVIKMETENMLLESDKNENCAAELPPPVALSPKSSSLTMSPPLSSHGGRDEDESEYTEDDSDSEYYEDDEDETVNTEEVEHLERENGGRSRKGRMVFSEEKDSRPVKLSFRRGKVVDIQTENNGPRRLKFRRGRLLGVNQNIKAERRTFRTREVDGDMNDNEPGGEKVVLRHQGLQGKKDEKGLFNNVIEETASKLVETRKSKVKALVGAFETVISLQDGKPSSNTVT >KJB76687 pep chromosome:Graimondii2_0_v6:12:22113605:22116935:-1 gene:B456_012G100800 transcript:KJB76687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDYNNSNSDELEEDSFSLRDSLLWCRDLEKHSCGDFSFAVVQANEIIEDHSQVETGKDAIFVGVYDGHGGPDASRFISDHLFQNLIRLARANGTISEDILRNAFSATEDGFLALVRRSCGIKPLIAAIGSCCLVGVIWRGTLYVANLGDSRAVIGYLGRSNKIVAEQLTRDHNASIEEVRQELRSLHPDDSHIVVMKHGVWRIKGIIQVSRSIGDAYLKRPEFSLDPSFPRFHLSEPIRRPVLTAEPSLCTRVLQPSDKFLIFASDGLWEHLTNQQAVEIVSNSPRAGIARRLIKTALNEAARKREMRYDDLKKVDKGIRRFFHDDITVVVIFIDQESLSTKMPVLEQSVKGFADSIGPSNFNIL >KJB76686 pep chromosome:Graimondii2_0_v6:12:22113584:22117069:-1 gene:B456_012G100800 transcript:KJB76686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSWLARIALACWRPVSRYARMNKDYNNSNSDELEEDSFSLRDSLLWCRDLEKHSCGDFSFAVVQANEIIEDHSQVETGKDAIFVGVYDGHGGPDASRFISDHLFQNLIRLARANGTISEDILRNAFSATEDGFLALVRRSCGIKPLIAAIGSCCLVGVIWRGTLYVANLGDSRAVIGYLGRSNKIVAEQLTRDHNASIEEVRQELRSLHPDDSHIVVMKHGVWRIKGIIQVSRSIGDAYLKRPEFSLDPSFPRFHLSEPIRRPVLTAEPSLCTRVLQPSDKFLIFASDGLWEHLTNQQAVEIVSNSPRAGIARRLIKTALNEAARKREMRYDDLKKVDKGIRRFFHDDITVVVIFIDQESLSTKMPVLEQSVKGFADSIGPSNFNIL >KJB76685 pep chromosome:Graimondii2_0_v6:12:22113584:22117030:-1 gene:B456_012G100800 transcript:KJB76685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSWLARIALACWRPVSRYARMNKDYNNSNSDELEEDSFSLRDSLLWCRDLEKHSCGDFSFAVVQANEIIEDHSQVETGKDAIFVGVYDGHGGPDASRFISDHLFQNLITGLARANGTISEDILRNAFSATEDGFLALVRRSCGIKPLIAAIGSCCLVGVIWRGTLYVANLGDSRAVIGYLGRSNKIVAEQLTRDHNASIEEVRQELRSLHPDDSHIVVMKHGVWRIKGIIQVSRSIGDAYLKRPEFSLDPSFPRFHLSEPIRRPVLTAEPSLCTRVLQPSDKFLIFASDGLWEHLTNQQAVEIVSNSPRAGIARRLIKTALNEAARKREMRYDDLKKVDKGIRRFFHDDITVVVIFIDQESLSTKMPVLEQSVKGFADSIGPSNFNIL >KJB77184 pep chromosome:Graimondii2_0_v6:12:28782446:28784299:-1 gene:B456_012G124600 transcript:KJB77184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDDSSILEIVDENEKASKPAGFNLDQTLEEVDQFIQKLVPGENKFVKCIDPLQELVDSRIAFYEQKEPAAKFGLNIDEDNSFFEAVRRLSLIVNNLDEFPSDLAAISCLNRASSAHFRAMLLFECELRALLDNPKRTADPNFDPKSPNTDGSQGSSKSEDEFPYFSSQSIHVMNQISTAMILAGYEAECCTVYGGLRLKALDVEFSKQCYENINVEDIQKMSWESLEGEINNWIHIMKFCTTNLFPAERNLCDSVFSDNRLTAQRLFCDLATSLSIRLLNFPNALVWPRRYSTEKLFKFLDIYEMLQDLANLNIGNDSSAEEFMSETSMAQSRIGGAVMSIFSQIESSIKNDNAGRTPVAGGAVHPLTRYTMNYLKYACEYKDTLEQVFQNQDKVQGSPRQKVVEQESKDVTEDDDRCPPLSPFALKLMMVIDLLDANIEMKSKLYRNPALRLVFLMNNGRYILQKIKESAEIYEMMGPYWSRKRTSELRRYHKTYQRETWSNVLQCISHEGVQVNGKVSKPILKERFKNFNTIFDEILKTQSSWIVSDGKLRSELRVSISSVVIPAYRSFLGRFKSYLDNNKQAGKYIKYQPEDIEELIEQLFEGNTASMAPRR >KJB75975 pep chromosome:Graimondii2_0_v6:12:9457295:9460793:1 gene:B456_012G066400 transcript:KJB75975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINTQAFVFPYIYIYCLCFSVTYSMDQHKTTAGALQTPMTGPINNPAALAQALLHNPGATQALNNNPTAAGNHGVTHKPHLNNPGVGQQLISYPGVGQQHINNPGVGQQLISYPGVGQQHISNPGVGQLMGVPGSGQGSINKPSTAQALMRSPSDSRALIRGDRGSMLSMSDDNVMMNQILTTHAPDGREFDVRPLLYLVEDILNRATQHVDFLVKGTLDQVELEERAQAANHIAMLEALTYTIDRIACELSYKALGGCDAHATTTAIFNLLSSYTWDAKLVISLSAFALNYGEFWLLAQIYSTNQLAKSMAVLRQLPSLLEHTAPLKPRFDALNSLIRTMMDVTRCVVQFRELPLKYISPDAPALATAMTHIPTAVYWTIRSMVACATQISSLTSAGHEFGISTTESWELSTLAHKLRNIYEHLKQQLNYCYKHIEERMDIEIYQMLLAIFDPNVLHIDNMKALKALIYDKDDKLPLLDGATKRQVSLDVLRRKNVLLLISSLEFSNDELAILEQIYNESRIHATRLESQYEVVWIPIVDHSIIPLPEEMQTKFENLQSTMPWYSVQDPLVIKKPVIRFIKEVWHFRTKPILVVLDPQGKVVSPNAIHMMWIWGSTAFPFTSLREEALWREETWRLDLLVDGIDPTVLTWVKEEKYIFLYGGDDIEWIRRFVNSARGVASASRIPLEMVYVGKSNKREQVKKVTGIINAEKLSNAWQDQAMVWFFWSRLESMLFSKIQLGRGDDQDPMLQQIKKLLSYGREGGWAVLSRGSNIAVNGHSSTVLPALGGYDEWKINVAEKGFDGAFKDYHDKLHDAAHPCCRFEFPNTIRIPENMRCPECPRLMEKYTAFLCCHDEQGIPGSLF >KJB76817 pep chromosome:Graimondii2_0_v6:12:24325484:24330816:-1 gene:B456_012G108300 transcript:KJB76817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGFEPYHVPQQSRRDKLRIVAQNHSACVESTAVTLPGCSGLLPLYDASLLSSDLLTCAANASASHDFHHHQANQLSASASGKNSSLVCGVKEGVNSMGFVGGVVNGSSSASHHHPYLDGQSSLPVNPSSIHDMNNSPFLYTPQNLQTIRDFDQSYNSGGEVVVYKPEPLSLNHESSATAQALSLSLSSHNTHQNNLPLELNLQRYGSTIYSDKVTDSGYVVPSIIRGSASTSNEVSRGSLPLGPFTGYASILKGSRFLRPAQMLLEELCDVGRGLYAEKMTPDSSLMDPSLQNLSGTGIIDDSLSGGDGGESRRKKSRLISMLDEVYRSYKQYYQQIQAVVASFEYVAGLGNAAPYANLALKAMSKHFRCLKNAITDQLQFINKPHGRTSPGKDEGPMFGNTERSLYNLAVHNAGFHEHQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDTDKLMLAKQTGLSRSQVSNWFINARVRLWKPMVEEIHMLEQAQKNSQKEARNPNKSSDHLSSANSIAPENPSTSFQRAQDTPSKRTRSEPLSDIPLGSEPHNLTYNSLSSHPHVGMGVSMAGGSNGVSLTLGLHQNNGISLSEPFSFNAAQRFGLGLSSEGYVIGGYEAQNRHFGRDVMGGQLLHDFVG >KJB76818 pep chromosome:Graimondii2_0_v6:12:24325563:24330138:-1 gene:B456_012G108300 transcript:KJB76818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGFEPYHVPQQSRRDKLRIVAQNHSACVESTAVTLPGCSGLLPLYDASLLSSDLLTCAANASASHDFHHHQANQLSASASGKNSSLVCGVKEGVNSMGFVGGVVNGSSSASHHHPYLDGQSSLPVNPSSIHDMNNSPFLYTPQNLQTIRDFDQSYNSGGEVVVYKPEPLSLNHESSATAQALSLSLSSHNTHQNNLPLELNLQRYGSTIYSDKVTDSGYVVPSIIRGSASTSNEVSRGSLPLGPFTGYASILKGSRFLRPAQMLLEELCDVGRGLYAEKMTPDSSLMDPSLQNLSGTGIIDDSLSGGDGGESRRKKSRLISMLDEVYRSYKQYYQQIQAVVASFEYVAGLGNAAPYANLALKAMSKHFRCLKNAITDQLQFINKPHGRTSPGKDEGPMFGNTERSLYNLAVHNAGFHEHQPVWRPQRGLPERAVTVLRAWLFEHFLHP >KJB75084 pep chromosome:Graimondii2_0_v6:12:2855668:2862476:1 gene:B456_012G023200 transcript:KJB75084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKTPVENLYSIEPALSSSSRRSNFSARSKSLGGSSIREVNFSDVGPQPVRYGSQGAESDTYSMSQKEINDEDARLVHINDPVNTNERFKFAGNSIRTAKYSILTFLPRNLFEQFHRVAYIYFLVIAVLNQLPQLAVFGRTASILPLAFVLLVTAVKDAYEDYRRHRADRIENNRLALVLVNNEFQQKKWKNIQVGEIIKIQANETIPCDMVLLSTSEPTGVAYVQTINLDGESNLKTRYAKQETLQKIPEKENVSGLIKCEKPNRNIYGFQANMEVDGKRVSLGPSNIILRGCELKNTTWAVGVVVYAGSETKAMLNNSGAPSKRSRLETHMNLEIIFLSLFLVALCTVVSVCAAVWLRRHRDELDYLPFYRRKDFSEDEEKNYNYHGWGLEIFFTFLMSVIVFQIMIPISLYISMELVRVGQAYFMIRDAEMYDESSNTRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIWGVDYNGGKATSQDQKDGYFVQADGQVLRPKMVVKTDPELLQFVRNGKETKEGSYVHDFFLALAACNTIVPIIVDTPDPTLRLIDYQGESPDEQALVYAAAAYGFMLIERTSGHIVIDIQGERKRFNVLGLHEFDSDRKRMSVILGFPNQSVKVFVKGADTTMFSVIDRSLNTSIIRATEGHLQSYSSIGLRTLVIGMRELSTSEFEEWHSAFEVASTALMGRARLLRKIASNIESNLCILGASGIEDKLQQGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTSKMTQVIVNSNSKESCRKSLEDAIIMSKKLTTMSGTTNETGRTLGSGSTPVALIIDGTSLVYILDSELEERLFELACNCSVVLCCRVAPLQKAGIVSLVKKRTSDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLFVHGHWNYQRMGYMILYNFYRNAVFVLVLFWYVLFTGFTLTTAINEWSSVLYSVIYTSVPTIVVGILDKDLSRLTLLKHPQLYGAGHRDECYNKTLFWMTMLDTLYQSVVVFFIPLLAYWGSTIDASSIGDLWTLAVVILVNLHLAMDVIQWNWITHAAIWGSIIATFICVIIIDAIPSLVGYWAIFEIAKTRLFWCCLLAIIVTALIPRFVVKVLYQFYAPCDVQIAREAEKFWAQNQSAAVEVEMSPILDHQRR >KJB77315 pep chromosome:Graimondii2_0_v6:12:29998705:29999750:-1 gene:B456_012G131200 transcript:KJB77315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAAVAARRAATLARTPLPSQPASLIPRRGLAGAADHHGPPKVNFWQDPMSPSKWKEEHFVIVSLTGWGLLFFSGYKFFTKGKGKKEEVPSYTLELCYI >KJB75709 pep chromosome:Graimondii2_0_v6:12:6925980:6931322:-1 gene:B456_012G052800 transcript:KJB75709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRNSYGKRARSQFDYPDNGANKRRNAGDDREQFIVDSEDTVYRYLCPVRKIGSIIGRGGEIVKQLRVDTKSKIRIGETVPGSEERVVTIYSSRDETNAVEDGDSFVSPAQDALFRVHDKVVAEDLHGDEESEGHPITARLLVSSDQIGCIIGKGGQIVQNIRSETGAQIRILKDDLPSCALPTDELVQISGEAAVVKKALHQIASRLHENPSRSQHLLASAMSNAYTAGGALLGPAAGAPIVPLASLVGPYGGYKGDAGEWPRSLYSAPRDERSSKEFSLRIVCPNANIGGVIGKGGAIINQIRQESGAVIKVDSSSSDGDDCLITISAKEFFEDTFSPTIEAAARLQPRCSEKVERDSGIISFTTRLLVPTSRIGCLIGKGGSIVTEMRRMTKANIRILSKENLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANFFDREGVVSPLVPVLPYLPMPTEGTDSLSYESRESKRHGRGHTYSGGYGSSDLAPSESYGTYGAPQIGVSNSAYGAYGGYSSGRSGPSGLSSHNSVSRRKNYGY >KJB77431 pep chromosome:Graimondii2_0_v6:12:30967155:30974569:-1 gene:B456_012G136300 transcript:KJB77431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNCIGGVLHLRLLLFSTSHRILAAHPKISASRRLSTATMGSRSQLPSPPLAEKVKHEMELFGDVRVDNYYWLRDDSRKNPKVISYLQQENAYTEAMMSGTKKFEDEIYAEIRGRIKEDDISAPLRKGPYYYYSRTLEGKEYVQYCRRPIPNRDAPSSVHDTMPTGPDAPPEHVILDENVKAQEHGFYRIGAFKVSPNHKMVAYAEDTKGDEIYTVYVIDAETQAPIGKPLVGVTSNLEWAGNDALVYITMDEILRPDKAWLHKLGADQSNDSCLYHEKDDMFSLGLEASESEKFLFIASESKITRFVFYLEVSKLEEGLKVLTPRIIGIDTSVSHRGNHFFIQRRSDEFFNSELLACPVDNTSATTVLIPHRASVKIQYIKLFSDHLAVSEREEGLPKITVYHLPEVEEPLINLEGGKSVQFIDPVYSVDLSVSQFSSTILRFSYSSLRMPNSVYDYDMNTGESVLKKIETVLGGFDASNYVTKRKWATASDGTEIPISIVYQKNLVKLDGSDPMLLYGYGSYEICIDPDFEASRLSLLDRGFIFAIAHIRGGGEMGRQWYENGKFLKKKNTFTDFISCAEYLIEQKYCSKEKLCIEGRSAGGLLIGAVLNMRPDLWKAAVAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVKAQNYPDILVTAGLHGKSACYVLRTCKVCS >KJB77428 pep chromosome:Graimondii2_0_v6:12:30967034:30974632:-1 gene:B456_012G136300 transcript:KJB77428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNCIGGVLHLRLLLFSTSHRILAAHPKISASRRLSTATMGSRSQLPSPPLAEKVKHEMELFGDVRVDNYYWLRDDSRKNPKVISYLQQENAYTEAMMSGTKKFEDEIYAEIRGRIKEDDISAPLRKGPYYYYSRTLEGKEYVQYCRRPIPNRDAPSSVHDTMPTGPDAPPEHVILDENVKAQEHGFYRIGAFKVSPNHKMVAYAEDTKGDEIYTVYVIDAETQAPIGKPLVGVTSNLEWAGNDALVYITMDEILRPDKAWLHKLGADQSNDSCLYHEKDDMFSLGLEASESEKFLFIASESKITRFVFYLEVSKLEEGLKVLTPRIIGIDTSVSHRGNHFFIQRRSDEFFNSELLACPVDNTSATTVLIPHRASVKIQYIKLFSDHLAVSEREEGLPKITVYHLPEVEEPLINLEGGKSVQFIDPVYSVDLSVSQFSSTILRFSYSSLRMPNSVYDYDMNTGESVLKKIETVLGGFDASNYVTKRKWATASDGTEIPISIVYQKNLVKLDGSDPMLLYGYGSYEICIDPDFEASRLSLLDRGFIFAIAHIRGGGEMGRQWYENGKFLKKKNTFTDFISCAEYLIEQKYCSKEKLCIEGRSAGGLLIGAVLNMRPDLWKAAVAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVKAQNYPDILVTAGLHDPRVMYSEPAKFVAKLRDMKTDDNMLLFKCELGAGHFSKSGRFERLQEDAMTYTFMLKALNMIPASGSA >KJB77429 pep chromosome:Graimondii2_0_v6:12:30967155:30974569:-1 gene:B456_012G136300 transcript:KJB77429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNCIGGVLHLRLLLFSTSHRILAAHPKISASRRLSTATMGSRSQLPSPPLAEKVKHEMELFGDVRVDNYYWLRDDSRKNPKVISYLQQENAYTEAMMSGTKKFEDEIYAEIRGRIKEDDISAPLRKGPYYYYSRTLEGKEYVQYCRRPIPNRDAPSSVHDTMPTGPDAPPEHVILDENVKAQEHGFYRIGAFKVSPNHKMVAYAEDTKGDEIYTVYVIDAETQAPIGKPLVGVTSNLEWAGNDALVYITMDEILRPDKAWLHKLGADQSNDSCLYHEKDDMFSLGLEASESEKFLFIASESKITRFVFYLEVSKLEEGLKVLTPRIIGIDTSVSHRGNHFFIQRRSDEFFNSELLACPVDNTSATTVLIPHRASVKIQYIKLFSDHLAVSEREEGLPKITVYHLPEVEEPLINLEGGKSVQFIDPVYSVDLSVSQFSSTILRFSYSSLRMPNSVYDYDMNTGESVLKKIETVLGGFDASNYVTKRKWATASDGTEIPISIVYQKNLVKLDGSDPMLLYGYGSYEICIDPDFEASRLSLLDRGFIFAIAHIRGGGEMGRQWYENGKFLKKKNTFTDFISCAEYLIEQKYCSKEKLCIEGRSAGGLLIGAVLNMRPDLWKAAVAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVRL >KJB77430 pep chromosome:Graimondii2_0_v6:12:30968071:30974555:-1 gene:B456_012G136300 transcript:KJB77430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNCIGGVLHLRLLLFSTSHRILAAHPKISASRRLSTATMGSRSQLPSPPLAEKVKHEMELFGDVRVDNYYWLRDDSRKNPKVISYLQQENAYTEAMMSGTKKFEDEIYAEIRGRIKEDDISAPLRKGPYYYYSRTLEGKEYVQYCRRPIPNRDAPSSVHDTMPTGPDAPPEHVILDENVKAQEHGFYRIGAFKVSPNHKMVAYAEDTKGDEIYTVYVIDAETQAPIGKPLVGVTSNLEWAGNDALVYITMDEILRPDKAWLHKLGADQSNDSCLYHEKDDMFSLGLEASESEKFLFIASESKITRFVFYLEVSKLEEGLKVLTPRIIGIDTSVSHRGNHFFIQRRSDEFFNSELLACPVDNTSATTVLIPHRASVKIQYIKLFSDHLAVSEREEGLPKITVYHLPEVEEPLINLEGGKSVQFIDPVYSVDLSVSQFSSTILRFSYSSLRMPNSVYDYDMNTGESVLKKIETVLGGFDASNYVTKRKWATASDGTEIPISIVYQKNLVKLDGSDPMLLYGYGSYEICIDPDFEASRLSLLDRGFIFAIAHIRGGGEMGRQWYENGKFLKKKNTFTDFISCAEYLIEQKYCSKEKLCIEGRSAGGLLIGAVLNMRPDLWKAAVAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVKAQNYPDILVTAGLHGKYKDQYNT >KJB75240 pep chromosome:Graimondii2_0_v6:12:4144081:4145266:-1 gene:B456_012G033800 transcript:KJB75240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPNKAVVVLQGRYAGRKAVIVKSFDEGTRDRPYGHCLVAGIKKYPSKVVRKDSSKKTAKKSRVKCFLKLVNYQHVMPTRYTLDVDLKDVVTVDSLQSKDKKVSACKATKQKLEERFKTGKNRWFFTKLRF >KJB76992 pep chromosome:Graimondii2_0_v6:12:26784005:26787042:-1 gene:B456_012G115900 transcript:KJB76992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQIEGDASVLLRVAHSNLKSFATDIRFSLQMSVEAVKDKVWKKCGTSVNSMRLELYDDCKNKLRDLSDDSRPLGFYSPLDGFRIHIIDLDPSSVTSEGWLEDTSLVEKYSISEDEYNKRSGTFRKFKKQMASQNPSAFRNKMSDDYMEDLCTNIKVGDRCEVDSGEKRGVVKYVGRAEALGPGFWIGVQYDEPLGKHDGIVKGTRYFQCPPLCGAMVRPDKVKVGGYPERDPFEEDEI >KJB76996 pep chromosome:Graimondii2_0_v6:12:26784005:26787042:-1 gene:B456_012G115900 transcript:KJB76996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQIEGDASVLLRVAHSNLKSFATDIRFSLQMSVEAVKDKVWKKCGTSVNSMRLELYDDCKNKLRDLSDDSRPLGFYSPLDGFRIHIIDLDPSSVTSEGWLEDTSLVEKYSISEDEYNKRSGTFRKFKKQMASQNPSAFRNKMSDDYMEDLCTNIKVGDRCEVDSGEKRGVVKYVGRAEALGPGFWIGVQYDEPLGKHDGIVKGTRYFQCPPLCGAMVRPDKVKVGGYPERDPFEEDEI >KJB76997 pep chromosome:Graimondii2_0_v6:12:26783799:26788362:-1 gene:B456_012G115900 transcript:KJB76997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQIEGDASVLLRVAHSNLKSFATDIRFSLQMSVEAVKDKVWKKCGTSVNSMRLELYDDCKNKLRDLSDDSRPLGFYSPLDGFRIHIIDLDPSSVTSEGWLEDTSLVEKYSISEDEYNKRSGTFRKFKKQMASQNPSAFRNKMSDDYMEDLCTNIKVGDRCEVDSGEKRGVVKYVGRAEALGPGFWIGVQYDEPLGKHDGIVKGTRYFQCPPLCGAMVRPDKVKVGGYPERDPFEEDEI >KJB76991 pep chromosome:Graimondii2_0_v6:12:26782858:26788362:-1 gene:B456_012G115900 transcript:KJB76991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQIEGDASVLLRVAHSNLKSFATDIRFSLQMSVEAVKDKVWKKCGTSVNSMRLELYDDCKNKLRDLSDDSRPLGFYSPLDGFRIHIIDLDPSSVTSEGWLEDTSLVEKYSISEDEYNKRSGTFRKFKKQMASQNPSAFRNKMSDDYMEDLCTNIKVGDRCEVDSGEKRGVVKYVGRAEALGPGFWIGVQYDEPLGKHDGIVKGTRYFQCPPLCGAMVRPDKVKVGGYPERDPFEEDEI >KJB76994 pep chromosome:Graimondii2_0_v6:12:26782858:26788362:-1 gene:B456_012G115900 transcript:KJB76994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQIEGDASVLLRVAHSNLKSFATDIRFSLQMSVEAVKDKVWKKCGTSVNSMRLELYDDCKNKLRDLSDDSRPLGFYSPLDGFRIHIIDLDPSSVTSEGWLEDTSLVEKYSISEDEYNKRSGTFRKFKKQMASQNPSAFRNKMSDDYMEDLCTNIKVGDRCEVDSGEKRGVVKYVGRAEALGPGFWIGVQYDEPLGKHDGMLVAILNEIPLKRMRYDVIAKGSGERRCSWYLGKAIIPEEVEK >KJB76999 pep chromosome:Graimondii2_0_v6:12:26784803:26787042:-1 gene:B456_012G115900 transcript:KJB76999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQIEGDASVLLRVAHSNLKSFATDIRFSLQMSVEAVKDKVWKKCGTSVNSMRLELYDDCKNKLRDLSDDSRPLGFYSPLDGFRIHIIDLDPSSVTSEGWLEDTSLVEKYSISEDEYNKRSGTFRKFKKQMASQNPSAFRNKMSDDYMEDLCTNIKVGDRCEVDSGEKRGVVKYVGRAEALGPGFWIGVQYDEPLGKHDGIVKGTRYFQCPPLCGAMVRPDKVKVILWPSQVQIF >KJB76993 pep chromosome:Graimondii2_0_v6:12:26782858:26788435:-1 gene:B456_012G115900 transcript:KJB76993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQIEGDASVLLRVAHSNLKSFATDIRFSLQMSVEAVKDKVWKKCGTSVNSMRLELYDDCKNKLRDLSDDSRPLGFYSPLDGFRIHIIDLDPSSVTSEGWLEDTSLVEKYSISEDEYNKRSGTFRKFKKQMASQNPSAFRNKMSDDYMEDLCTNIKVGDRCEVDSGEKRGVVKYVGRAEALGPGFWIGVQYDEPLGKHDGMLVAILNEIPLKRMRYDVIAKGSGERRCSWYLGKAIIPEEVEK >KJB76995 pep chromosome:Graimondii2_0_v6:12:26782858:26788435:-1 gene:B456_012G115900 transcript:KJB76995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQIEGDASVLLRVAHSNLKSFATDIRFSLQMSVEAVKDKVWKKCGTSVNSMRLELYDDCKNKLRDLSDDSRPLGFYSPLDGFRIHIIDLDPSSVTSEGWLEDTSLVEKYSISEDEYNKRSGTFRKFKKQMASQNPSAFRNKMSDDYMEDLCTNIKVGDRCEVDSGEKRGVVKYVGRAEALGPGFWIGVQYDEPLGKHDGMLVAILNEIPLKRMRYDVIAKGSGERRCSWYLGKAIIPEEVEK >KJB76998 pep chromosome:Graimondii2_0_v6:12:26784723:26788362:-1 gene:B456_012G115900 transcript:KJB76998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQIEGDASVLLRVAHSNLKSFATDIRFSLQMSVEAVKDKVWKKCGTSVNSMRLELYDDCKNKLRDLSDDSRPLGFYSPLDGFRIHIIDLDPSSVTSEGWLEDTSLVEKYSISEDEYNKRSGTFRKFKKQMASQNPSAFRNKMSDDYMEDLCTNIKVGDRCEVDSGEKRGVVKYVGRAEALGPGFWIGVQYDEPLGKHDGIVKGTRYFQCPPLCGAMVRPDKVKVILWPSQVQIF >KJB75746 pep chromosome:Graimondii2_0_v6:12:7330031:7333197:1 gene:B456_012G054600 transcript:KJB75746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLNDFMIKTRFNFKNMSSFNLFLFQNLVPFGGFLLISISALFSSLFAIFSKALSWIQSDEISKLNNSIPIETEPEDVTEEKTKETKPVATEPKVEDTGIIEEDGSPKFFFKFQFQTQTFEEFSKKFEAKEKYNLGLDSIPSIPYTSTNKYEFKSGDDLSCIMEKPEDLSFCVKEMYADSSNGFLSEQDFMEDDSSENEVDTQQSKPIVCENIPGNLEFLSEEDTTVPETDMGSITSSPENLSDCEDFEADSSRNIEDEDTMEELQSKNKDINALDNSEKSNLENPLASDPEDSTDLETLWEHQELIEQLKMELKKVKATGLPTILEESESPKIMDDLKPWKIDEKFQYADRMSELHKFYKSYRERMRKFDILNYQKMYAIGVLHSKDPFQSISTHKSSSAPPITSLLPQNLWPRRRKTSDSDPMSKFINELHGDLEMVYVGQLCLSWEILHWQYEKAIGIWESDPYCMRRYNEVAGEFQQFQVLIQRFIENEPFEGPRVRNYIKNRCVLRNLLQVPVIREDSLKDKRKGRRKGRDEDDNAITADMLVEIMEEAIRIFWRFLRADKDANIVIRKMRKGAQVEPTEPDELQLLATLQTSLQKKDKKLREIVRSGNCILRKLKKNEEENSDQVLYFFSQVDLKLVARVLNMSNVTRDQLLWCHSKLSKINFVNRKINVEPSFLLFPC >KJB77667 pep chromosome:Graimondii2_0_v6:12:32390871:32394061:-1 gene:B456_012G150000 transcript:KJB77667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNASTSLVPSESSEQRGTVLVDSNVNVLPPPMNQQKRTSSDGSVAILWDIENCPVPSDVRPEDVAGNIRMALRVHPVIKGAVMMFSAYGDFNAFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYIVILVIPAGVGVSSALCSAGKFVWDWPSVARGEGFVPPSKALMPPRGGPVDVARCFMGCHISDNPDGQNEEEAIVYKGASQSCYNPRDFSTVSRSLAEYTSNPSVCLPSYPATFRSQSLPCGLNEASGCPGYYDQNDTMWVQPGDINGLKGQLVKLLELSGGCMPLTRVPAEYQKIFGRPLYVAEYGALKLVNLFKKMGDTLAIDGKGHKKFVYLRNWKANPSAPPLVLTRKDKKGKGIHEEITDVTAGAGSSDEFSDEERVVVDERDQRKTDDNLEQFKYELQEILVSYSCRIFLGCFEEIYQQRYKKTLDYRKLSVEKLEELFDKVRDVVVLHEEPVSKRKFLCAVGS >KJB77666 pep chromosome:Graimondii2_0_v6:12:32390847:32394082:-1 gene:B456_012G150000 transcript:KJB77666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNASTSLVPSESSEQRGTVLVDSNVNVLPPPMNQQKRTSSDGSVAILWDIENCPVPSDVRPEDVAGNIRMALRVHPVIKGAVMMFSAYGDFNAFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYIVILVIPAGVGVSSALCSAGKFVWDWPSVARGEGFVPPSKALMPPRGGPVDVARCFMGCHISDNPDGQNEEEAIVYKGASQSCYNPRDFSTVSRSLAEYTSNPSVCLPSYPATFRSQSLPCGLNEASGCPGYYDQNDTMWVQPGDINGLKGQLVKLLELSGGCMPLTRVPAEYQKIFGRPLYVAEYGALKLVNLFKKMGDTLAIDGKGHKKFVYLRNWKANPSAPPLVLTRKDKKGKGIHEEITDVTAGAGSSDEFSDEERVVVDERDQRKTDDNLEQFKYELQEILVSYSCRIFLGCFEEIYQQRYKKTLDYRKLSVEKLEELFDKVRDVVVLHEEPVSKRKFLCAVGS >KJB77665 pep chromosome:Graimondii2_0_v6:12:32390918:32394031:-1 gene:B456_012G150000 transcript:KJB77665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNASTSLVPSESSEQRGTVLVDSNVNVLPPPMNQQKRTSSDGSVAILWDIENCPVPSDVRPEDVAGNIRMALRVHPVIKGAVMMFSAYGDFNAFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYIVILVIPAGVGVSSALCSAGKFVWDWPSVARGEGFVPPSKALMPPRGGPVDVARCFMGCHISDNPDGQNEEEAIVYKGASQSCYNPRDFSTVSRSLAEYTSNPSVCLPSYPATFRSQSLPCGLNEASGCPGYYDQNDTMWVQPGDINGLKGQLVKLLELSGGCMPLTRVPAEYQKIFGRPLYVAEYGALKLVNLFKKMGDTLAIDGKGHKKFVYLRNWKANPSAPPLVLTRKDKKGKGIHEEITDVTAGAGSSDEFSDEERVVVDERDQRKTDDNLEQFKYELQEILVSYSCRIFLGCFEEIYQQRYKKTLDYRKLSVEKLEELFDKVRDVVVLHEEPVSKRKFLCAVGS >KJB77014 pep chromosome:Graimondii2_0_v6:12:27328089:27329969:-1 gene:B456_012G119000 transcript:KJB77014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFETVKIHEITRVIPSSDSPQSINEFSLPLTKFPPALALYWYQLTNSNSTPSYFNSQILPTLKQSLSLALAYYLPLAGYLKRPLGSSKPIVSYTPNDGVTLTVAESNVANFDTLISNEMHQATDLHPLVPHLILSDDKAEILALQITLFPHQGFCIGTSARHSVVDGNTRKYVHELTPSFDRSIIKDPTGLDMLFLNKWLAIFDEAQEPNTRSLKIPHQFPPVSQEMVRARFEFSSKDVQQLREKALSKLVITNEKKYHPSTFVLTLAYTATCLVKPKEKVIGLYFGMDCRSRLDPPVPLTYFGNCVMNYSSSAEARNFMGGNGFGFAVEMVSDTIQKIKKGVVEGAEKDVSNFYTLKPVYEGDFGVMSKTKKVEMVSIERDEAVFMAESRDGSGGVEIVLALRKHEMEKFTALEMTVPIFR >KJB76502 pep chromosome:Graimondii2_0_v6:12:16470619:16475940:1 gene:B456_012G092900 transcript:KJB76502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQQGKSKADLAKKQKVVEDKTFGLKNKNKSKNVQKYVQNLQQSVQPKADPSKVAAKKKKEEEKAREKELNDLFKIAVTQPKVPPGVDPKSIVCEFFKAGQCTKGFKCKFSHDLNVQRKGEKIDIYSDKRDQETMEDWDQETLEKVVESKKTEYNQNKPTEIVCKHFLEAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESEKITIEEEIENQRSKTTSSTPMTTELFMQWKTKKMEEREVSLAAQRADRAKNDRMSGRELFLSDASLFVDDAEAYEAYHREEPEDTEQKGKDDTTAAGPSGTASVAADSEDILLDDDDDDDELDMDELNELEASLSRTSVQIQEPGNPGSS >KJB76505 pep chromosome:Graimondii2_0_v6:12:16470661:16475905:1 gene:B456_012G092900 transcript:KJB76505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQQGKSKADLAKKQKVVEDKTFGLKNKNKSKNVQKYVQNLQQSVQPKADPSKVAAKKKKEEEKAREKELNDLFKIAVTQPKVPPGVDPKSIVCEFFKAGQCTKGFKCKFSHDLNVQRKGEKIDIYSDKRDQETMEDWDQETLEKVVESKKTEYNQNKPTEIVCNSFFLNLSFHKLLVVFHCLYFKISALLSCIFPLR >KJB76504 pep chromosome:Graimondii2_0_v6:12:16470858:16473699:1 gene:B456_012G092900 transcript:KJB76504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQQGKSKADLAKKQKVVEDKTFGLKNKNKSKNVQKYVQNLQQSVQPKADPSKVAAKKKKEEEKAREKELNDLFKIAVTQPKVPPGVDPKSIVCEFFKAGQCTKGFKCKFSHDLNVQRKGEKIDIYSDKRDQETMEDWDQETLEKVVESKKTEYNQNKPTEIVCKHFLEAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESEKITIEEEIENQRSKTTSSTPMTTELFMQWKTKKMEEREVSLAAQRADRAKNDRMRYYLPAG >KJB76503 pep chromosome:Graimondii2_0_v6:12:16470661:16474050:1 gene:B456_012G092900 transcript:KJB76503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQQGKSKADLAKKQKVVEDKTFGLKNKNKSKNVQKYVQNLQQSVQPKADPSKVAAKKKKEEEKAREKELNDLFKIAVTQPKVPPGVDPKSIVCEFFKAGQCTKGFKCKFSHDLNVQRKGEKIDIYSDKRDQETMEDWDQETLEKVVESKKTEYNQNKPTEIVCKHFLEAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESEKITIEEEIENQRSKTTSSTPMTTELFMQWKTKKMEEREVSLAAQRADRAKNDRMSGRELFLSDASLFVDDAEAYEAYHREEPEDTEQKVILILSIFVTIDNTVSQKLVFLISSRSTLLFYLPLCPPRDGNRVG >KJB76844 pep chromosome:Graimondii2_0_v6:12:27420848:27422105:1 gene:B456_012G119500 transcript:KJB76844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPDEAATHNGDAGPSATAFTPPAYVENPTPALGFGVSSITRRWKREDLFNKGSLIARGFAFLLSLLSFIITASNKHGDWRNFDNYEEYRYLLAIAILSTLYTGIQALIHVNALWNVKQILNQRISAMVDFVGDQVMSYLLISSVSAAIPLTNRMREGQDNAFTDSSASAISMSFFAFLTLAISAVVSGYKISTQSYI >KJB77066 pep chromosome:Graimondii2_0_v6:12:27341093:27348039:-1 gene:B456_012G119100 transcript:KJB77066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWQIFSHAGTSFRWEASPPILPTKPADNPNGAPVPPLPSMADLLLQGCSKLIENGDGEFEEYPMFRTGLGKSVALKESSIAKALSILANDDAASTVTSSKLIPANNGCGSSLFQTGSGKMVNISSAGLVRAKTLLGLEHDNENHNFEGFQHPRRLPATNEPYDCQRFSHSGKKEDLQNYGVMDVTSEPRHMLNSRNGLVGGELGSENDSTPMHSKMFDSAPKPPPMKFHTAGGRSLSVSSDALKRARSLLGDPELGNFFGEMDQEVPPFTVYKEENFNDASLNKENCFFTSFPVSKDTSKDFTSPLKSSFKQTRSIFNSENLRFGSNLIEKFDAVESSSACASAINMPSPQNALNSINRLSEKNFATNNSLPSGSGSQTNLPRRSFGGPLADISNNIATSQTNNKQVMNEKRRIGRSSFVSPFKRPRCSKISAPLNNDVSLVANDDHTCCNRTVSTKYPFRVPRVYIKEYFAVPPSACSTLKCFPIKGKQITPENAYEYLFKDETGFSRIGAEAFYDMLSHSGASVQHVSKEWVANHYKWIVWKLACYERCYPSKCAGKFLTVSNVLEELKYRYEREVNHGQRSAIKKILEGDASPSKMLVLCVSTIQSNSEPNMETNLEITNGTHYSGNAKVELTDGWYSINAVLDVLLSKQLAVGKLFVGQKLRIWGAGLCGWDGPVSPLEASSAISLALNMNGTFRAHWADRLGFCKGFRIPMAFRCIKGDGGPVPLTLVGVTRIYPVLYKERLRNGGSIVRSERMESRMVQKHNQRCSVLVDHVISEYQRGVNSSYIHNDSESEGAKILRILETSAEPEVLMAEMSPEQLTSFATYKSKLEATRQLEMEKSIEKALAEAGLNERDVVPFMRVRVVGLINRNYHGKGRPKEGIITIWNPTEKQESELVEGQAYVVSGLIPMNSDSEILYLQARGSTNKWQPLSPLAMESFEAFFFPRKSMKLSNLGEISLSSEFDIAAYVVYVGEVYTASHQKKQWVFVTDDSISDLLSDSLLAISFCSPSIDDDSFAPINSNLVGSMVGFCNLIKKPKDQMNHLWVAEATENSTYYLNFKPSISHLKSAGASVQAWAKASSSIIDELRKKVLFVIGNCEG >KJB77065 pep chromosome:Graimondii2_0_v6:12:27341877:27347935:-1 gene:B456_012G119100 transcript:KJB77065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWQIFSHAGTSFRWEASPPILPTKPADNPNGAPVPPLPSMADLLLQGCSKLIENGDGEFEEYPMFRTGLGKSVALKESSIAKALSILANDDAASTVTSRTKEHWLLEPFNIFTEMIVKLRDQALFFFELICHTGKLIPANNGCGSSLFQTGSGKMVNISSAGLVRAKTLLGLEHDNENHNFEGFQHPRRLPATNEPYDCQRFSHSGKKEDLQNYGVMDVTSEPRHMLNSRNGLVGGELGSENDSTPMHSKMFDSAPKPPPMKFHTAGGRSLSVSSDALKRARSLLGDPELGNFFGEMDQEVPPFTVYKEENFNDASLNKENCFFTSFPVSKDTSKDFTSPLKSSFKQTRSIFNSENLRFGSNLIEKFDAVESSSACASAINMPSPQNALNSINRLSEKNFATNNSLPSGSGSQTNLPRRSFGGPLADISNNIATSQTNNKQVMNEKRRIGRSSFVSPFKRPRCSKISAPLNNDVSLVANDDHTCCNRTVSTKYPFRVPRVYIKEYFAVPPSACSTLKCFPIKGKQITPENAYEYLFKDETGFSRIGAEAFYDMLSHSGASVQHVSKEWVANHYKWIVWKLACYERCYPSKCAGKFLTVSNVLEELKYRYEREVNHGQRSAIKKILEGDASPSKMLVLCVSTIQSNSEPNMETNLEITNGTHYSGNAKVELTDGWYSINAVLDVLLSKQLAVGKLFVGQKLRIWGAGLCGWDGPVSPLEASSAISLALNMNGTFRAHWADRLGFCKGFRIPMAFRCIKGDGGPVPLTLVGVTRIYPVLYKERLRNGGSIVRSERMESRMVQKHNQRCSVLVDHVISEYQRGVNSSYIHNDSESEGAKILRILETSAEPEVLMAEMSPEQLTSFATYKSKLEATRQLEMEKSIEKALAEAGLNERDVVPFMRVRVVGLINRNYHGKGRPKEGIITIWNPTEKQESELVEGQAYVVSGLIPMNSDSEILYLQARGSTNKWQPLSPLAMESFEAFFFPRKSMKLSNLGEISLSSEFDIAAYVVYVGEVYTASHQKKQWVFVTDDSISDLLSDSLLAISFCSPSIDDDSFAPINSNLVGSMVGFCNLIKKPKDQMNHLWVAEATENSTYYLNFKPSISHLKSAGASVQAWAKASSSIIDELRKKVLFVIGNCEG >KJB76827 pep chromosome:Graimondii2_0_v6:12:24514640:24515359:-1 gene:B456_012G109100 transcript:KJB76827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTNTIPFRVRSLSISDENSILQEGFSSDDCETRNSRDHLLFEFFEHKTPYSLEAFADKIFDLSCKYPGLNTLRSCDLLPISWMSIAWYPIYRIPTGSTLKDLDACFLTYHSLCTPIEGKKIFLFRSKI >KJB75842 pep chromosome:Graimondii2_0_v6:12:8520492:8521019:1 gene:B456_012G060900 transcript:KJB75842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNRSKAVTTKQTLMADHSSQASPVQNCTKPIPSFFVSPRFKAFTSKAFLNTDFLKSPTSILENKPFFPFANLFVLDKNHPKSFFFSLLLLFLLLLFLFFFFFFFFSSYYTA >KJB76181 pep chromosome:Graimondii2_0_v6:12:11914134:11914397:1 gene:B456_012G076500 transcript:KJB76181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLHVIGGVKKLNNQNYKSWSTRMMLYLQGQNLWEVPTSDMMRKWKIKVGKSMCVLKMTIEKDALEHIRDAKTP >KJB77742 pep chromosome:Graimondii2_0_v6:12:32844732:32855254:1 gene:B456_012G154300 transcript:KJB77742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRARSRSPFSHRKPPSPSSSTSSTSSFVRNKLIPRTCSSSAASYLNSAGGYDSRSRTTSRSKSDSVYYDSRGYNASTPVAHAPEEIIGEPLETSRSGDSISVTIRFRPLNEREFQRGDEIAWYADGDKTVRNEYNPATAYAFDRVFGPHATSQEVYEIAARPVVQAAMEGVNGTVFAYGVTSSGKTHTMHGDQNAPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHVLSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVVFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQKEISVLKEELDHLRQGMLIGVNHEELMTLRQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNSISGLSDVPSHQRIHSVGEDDKLDGQGAETLVIDSENQKDPLTSIAVLASDPSYELKHRRSSSRRNDELSPTSSTATESTQAGELISGTKLLAGGMTSDQMDLLAEQVKVLAGEIAFSSSTLKRLLDQSANDPDSSKSQIQNLEREIQEKRRQMRALEQHIIESGEASISNASFVEMQQTVMKLMTQCNEKSFELEIKSADNRILQEQLQNKCSENEELQAKVTLLEQQLASLSGDKLSSSAHGISEEHADELRKKIQSQEIENEKLKLEQVQLSEENSGLCVQNQKLAEEASYAKELASAAAVELKNLAGEVTRLSVQNAKLEKELLAARGSANQTINGVNRKYSDSTGPGRKGRHSGHSHDFSGAAGDDFELWNLDLDDLKMELQARKQREAALEATLAEKEFIEVEYRKKVEEAKKREEALENDLANMWVLVAKLKKEGVATPESNTDEQHGNSIDNADNPKANSIENNRQVSDVSSKPAIEMPKEEPLVVRLKARMQEMKEKELNSVGNGDAHSHLCKVCFESPNAAILLPCRHFCLCKSCSLACSECPICRTMIADRLFAFTS >KJB77743 pep chromosome:Graimondii2_0_v6:12:32844870:32855236:1 gene:B456_012G154300 transcript:KJB77743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRARSRSPFSHRKPPSPSSSTSSTSSFVRNKLIPRTCSSSAASYLNSAGGYDSRSRTTSRSKSDSVYYDSRGYNASTPVAHAPEEIIGEPLETSRSGDSISVTIRFRPLNEREFQRGDEIAWYADGDKTVRNEYNPATAYAFDRVFGPHATSQEVYEIAARPVVQAAMEGVNGTVFAYGVTSSGKTHTMHGDQNAPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHVLSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVVFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQKEISVLKEELDHLRQGMLIGVNHEELMTLRQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNSISGLSDVPSHQRIHSVGEDDKLDGQGAETLVIDSENQKDPLTSIAVLASDPSYELKHRRSSSRRNDELSPTSSTATESTQAGELISGTKLLAGGMTSDQMDLLAEQVKVLAGEIAFSSSTLKRLLDQSANDPDSSKSQIQNLEREIQEKRRQMRALEQHIIESGEASISNASFVEMQQVTVMKLMTQCNEKSFELEIKSADNRILQEQLQNKCSENEELQAKVTLLEQQLASLSGDKLSSSAHGISEEHADELRKKIQSQEIENEKLKLEQVQLSEENSGLCVQNQKLAEEASYAKELASAAAVELKNLAGEVTRLSVQNAKLEKELLAARGSANQTINGVNRKYSDSTGPGRKGRHSGHSHDFSGAAGDDFELWNLDLDDLKMELQARKQREAALEATLAEKEFIEVEYRKKVEEAKKREEALENDLANMWVLVAKLKKEGVATPESNTDEQHGNSIDNADNPKANSIENNRQVSDVSSKPAIEMPKEEPLVVRLKARMQEMKEKELNSVGNGDAHSHLCKVCFESPNAAILLPCRHFCLCKSCSLACSECPICRTMIADRLFAFTS >KJB77961 pep chromosome:Graimondii2_0_v6:12:33994546:33999092:-1 gene:B456_012G170000 transcript:KJB77961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICSKETQPNQYIENNPGEKEDASSKKWSDSSKDNDIKVDVDATARLISDQQSGSLSNDERSRKDLSQLQRLPTIDATTWGGQRQPRITRVMSGERGAQVVAGWPSWLVAVAGEAINGWIPSKADSYEKLEKIGQGTYSTVYKARDIESNKIVALKKVRFANLDPERIRFMAREIIILRRLDHPNVMKLEGLIVSRVSGCLYLIFDYMEHDLRGLVATLEIKLTEAQIKCYMQQLLNGLDHCHSRGVLHRDIKCANLLIDYNGNLKIGDFGLATILRPNQMQPLTSRVVTLWYRPPELLLGSTDYGVTIDLWSSGCILAELFAGKPIMPGRTEVEQLHRIFKLCGSPSEEYWKRSKLPNATVFKPQHPYQRCVNQTFKDFPTSTLALLDMLLAVEPECRGTASSALESEFFTTSPLPCDPSSLPKSPPSKEFDVKLRGDESARQRASREKVNGNDRARKATAIPAPDSNFELQASIQSNTKSVNGVNNPGIPVEPLQGTSKPVCSHVSPSMHLGNVGSSQNMKANKVKSMKACTRAFGSPSQAKYVRHGATTLSQFSNSVSIRGKSRLYMTNPQWHEEHFNGGYDHDDIVQSTHHLFDRLSTSHLPSEESTTGYVPKEKRIHYSGPLMQSGHGRNLEEMLKEHERRMQNAVRKAHLDKTKTLARNSFDNNRQSESLLCVAVNGR >KJB75311 pep chromosome:Graimondii2_0_v6:12:4493532:4495833:1 gene:B456_012G036300 transcript:KJB75311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQGCEIEVVGINYKIYRQKRQNPFKIFKKNQQEVADQELKQQQPSSQFQDACPGIRHVLKDVNCKAKPWEILAIVGPSGAGKSSLLEILAGKFTPQSGSILVNQSPIDKAQFRKISGYVTQADNLFPLLTVEETLMFSAKLRLRLPQAQLSSRVKSLILELGLEHVAMARVGDDRVRGISGGERRRVSIGVDVIHDPKVLILDEPTSGLDSTSALQIIDMLKVMAETRGRTIILSIHQPGFRIVKLFSSMLLMADGSVLHHGTVDQLGANLRGMGLQLPLHVNIVEFAIESIETIQQQRKGQLQVQEQGFSAPPPQKTGEEGESRSEALPIFLQEREILMKETSSGSYRVSSYAIANGLVYLPFLLILAVLFSTPLYWLVGLNPNFMAFMHFLLLIWLILYTANSVVVCFSALVPNFIVGNSVISGVMGSFFLFSGYFISKHGIPKYWIFMHYISLFKYPFEGFLINEFSKSGKCLEYMLGSCLVTGEAVLREEGYGEESRWRNVLIMVCFILVYRFVSYVILRCRCSQRGLRASLS >KJB77219 pep chromosome:Graimondii2_0_v6:12:29083022:29084543:-1 gene:B456_012G126100 transcript:KJB77219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFCCCSCGDDCEEYAYPSNSIYRHCICLRFFFYQLFTGYGALFHRLDGRPASQIQGASLSSTTTVTAISDNSAIETHVTMSRPVPYDAEQRYSRLQRDGLVSRRDKSVTHFQEETQPLRRNISSSDGESLGIGRKWNGVELEDDSKIVHLELSERSLAPKVAQGPNYIQPSAEEEDVCPTCLDEYTPENPKITARCSHHFHLGCIYEWLERSESCPICGKEMEFCESP >KJB77214 pep chromosome:Graimondii2_0_v6:12:29082543:29085740:-1 gene:B456_012G126100 transcript:KJB77214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFCCCSCGDDCEEYAYPSNSIYRHCICLRFFFYQLFTGYGALFHRLDGRPASQIQGASLSSTTTVTAISDNSAIETHVTMSRPVPYDAEQRYSRLQRDGLVSRRDKSVTHFQEETQPLRRNISSSDGESLGIGRKWNGVELEDDSKIVHLELSERSLAPKVAQGPNYIQPSAEEEDVCPTCLDEYTPENPKITARCSHHFHLGCIYEWLERSESCPICGKEMEFCESP >KJB77215 pep chromosome:Graimondii2_0_v6:12:29080525:29085740:-1 gene:B456_012G126100 transcript:KJB77215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFCCCSCGDDCEEYAYPSNSIYRHCICLRFFFYQLFTGYGALFHRLDGRPASQIQGASLSSTTTVTAISDNSAIETHVTMSRPVPYDAEQRYSRLQRDGLVSRRDKSVTHFQEETQPLRRNISSSDGESLGIGRKWNGVELEDDSKIVHLELSERSLAPKVAQGPNYIQPSAEEEDVCPTCLDEYTPENPKITARCSHHFHLGCIYEWLERSESCPICGKEMEFCESP >KJB77220 pep chromosome:Graimondii2_0_v6:12:29082976:29085740:-1 gene:B456_012G126100 transcript:KJB77220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFCCCSCGDDCEEYAYPSNSIYRHCICLRFFFYQLFTGYGALFHRLDGRPASQIQGASLSSTTTVTAISDNSAIETHVTMSRPVPYDAEQRYSRLQRDGLVSRRDKSVTHFQEETQPLRRNISSSDGESLGIGRKWNGVELEDDSKIVHLELSERSLAPKVAQGPNYIQPSAEEEDVCPTCLDEYTPENPKITARCSHHFHLGCIYEWLERSESCPICGKVCIVGQITPSKMQIGAVSVVWFSPMGYLGKFHNILNDNNYQDCRLNLWGTSDFKCRCRRWNFVKAPKVWLHLDSMQWSKYI >KJB77217 pep chromosome:Graimondii2_0_v6:12:29080525:29085740:-1 gene:B456_012G126100 transcript:KJB77217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFCCCSCGDDCEEYAYPSNSIYRHCICLRFFFYQLFTGYGALFHRLDGRPASQIQGASLSSTTTVTAISDNSAIETHVTMSRPVPYDAEQRYSRLQRDGLVSRRDKSVTHFQEETQPLRRNISSSDGESLGIGRKWNGVELEDDSKIVHLELSERSLAPKVAQGPNYIQPSAEEEDVCPTCLDEYTPENPKITARCSHHFHLGCIYEWLERSESCPICGKEMEFCESP >KJB77218 pep chromosome:Graimondii2_0_v6:12:29080525:29085045:-1 gene:B456_012G126100 transcript:KJB77218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFCCCSCGDDCEEYAYPSNSIYRHCICLRFFFYQLFTGYGALFHRLDGRPASQIQGASLSSTTTVTAISDNSAIETHVTMSRPVPYDAEQRYSRLQRDGLVSRRDKSVTHFQEETQPLRRNISSSDGESLGIGRKWNGVELEDDSKIVHLELSERSLAPKVAQGPNYIQPSAEEEDVCPTCLDEYTPENPKITARCSHHFHLGCIYEWLERSESCPICGKEMEFCESP >KJB77216 pep chromosome:Graimondii2_0_v6:12:29083022:29084543:-1 gene:B456_012G126100 transcript:KJB77216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFCCCSCGDDCEEYAYPSNSIYRHCICLRFFFYQLFTGYGALFHRLDGRPASQIQGASLSSTTTVTAISDNSAIETHVTMSRPVPYDAEQRYSRLQRDGLVSRRDKSVTHFQEETQPLRRNISSSDGESLGIGRKWNGVELEDDSKIVHLELSERSLAPKVAQGPNYIQPSAEEEDVCPTCLDEYTPENPKITARCSHHFHLGCIYEWLERSESCPICGKEMEFCESP >KJB76329 pep chromosome:Graimondii2_0_v6:12:13897230:13897715:-1 gene:B456_012G083200 transcript:KJB76329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSMYNFFNQPSSASRPTKKHPQRPSPSAPPRLFQCLYCPRKFYTSQALGGHQNAHKRERAASRRKFPTDQDQTQQCTTLHHHHHHHQISPAFSTFPTEPPMDHHPAAQQGPYLDQWLQPFQPHLPPAGFVPHQGFSAASSPTSDAYDSAVDVDLTLRL >KJB78280 pep chromosome:Graimondii2_0_v6:12:35403060:35406906:-1 gene:B456_012G187500 transcript:KJB78280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATMITSLPRFCFSASIKTFAKRAPPFLYNRPNTLLLKFPNTCTTLPSLTPLKLLPFCFFNAGKDNPDFQKKEETELGWPILKRWEVPWEWQTVSLTSLACGLSFILTGLIETAAIPYLGLNIEELSLDQKAEILFVDQSITTIVVLGVLSGVANTFQPLPEDLFRYDFKEPFNLKKGWLLWAAIGLVGALIAIALTGAAMSLFRGEDSQRETDALVRLLPLIGSSSISTACLVGITGVLAPVLEETVFRGFFMTSLTKWVPTPVSVIISAAVFALAHLTPGEFPQLFVLGTALGFSYAQTRNLVTPITIHAFWNSGVILLLTFLQLQGYDIKELLQAT >KJB78279 pep chromosome:Graimondii2_0_v6:12:35403060:35406824:-1 gene:B456_012G187500 transcript:KJB78279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATMITSLPRFCFSASIKTFAKRAPPFLYNRPNTLLLKFPNTCTTLPSLTPLKLLPFCFFNAGKDNPDFQKKEETELGWPILKRWEVPWEWQTVSLTSLACGLSFILTGLIETAAIPYLGLNIEELSLDQKAEILFVDQSITTIVVLGVLSGVANTFQPLPEDLFRYAIGLVGALIAIALTGAAMSLFRGEDSQRETDALVRLLPLIGSSSISTACLVGITGVLAPVLEETVFRGFFMTSLTKWVPTPVSVIISAAVFALAHLTPGEFPQLFVLGTALGFSYAQTRNLVTPITIHAFWNSGVILLLTFLQLQGYDIKELLQAT >KJB76107 pep chromosome:Graimondii2_0_v6:12:10655478:10657967:1 gene:B456_012G072100 transcript:KJB76107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETANNSKPKNSRWFLNGMTALVTGGTRGIGHAIVEELAGLGASVYTCSRNGDELNKCLKEWESKGFLVNGSVCDASSKDQRLKLIDNVASVFNGKLNILVNNVGTNISKPTVEYTAEEYSKLMSTNFDSSYHFCQLAHPLLKASGKGSIVFISSVAGLTHIESGSIYAPAKAAMNQLTKNLACEWAKDNIRTNCVAPWYIRTSLVEHLLEKKEFVEKVISRTPLERIGEPEEVSSMVAYLCLPAASYVTGQIVSVDGGFTVNGFNR >KJB76013 pep chromosome:Graimondii2_0_v6:12:11475213:11476455:-1 gene:B456_012G075200 transcript:KJB76013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGHQMEREFSSQSLLSREDTESGSQYVLDSGFYMKSFAATIFIAGLLIVGVLLVTLIVTLAVMLQSCESRSKGVVEIEKASDSYHYCKAFALHGELNSLEEPEIPPVCWNLAIHYIEGGEYERDLNFTISMIESFFDTISPSDNHLDAVLMDIDDILASNHTYSHQSMHQQQFNQYGSNKPSGSIEDAANLKHWRILELYVKLKSRGWSLILLSRKPERQRNVTAEQLKAVGFNGWSSLIMRFFGYKRGCCLEIWKKGYRS >KJB76011 pep chromosome:Graimondii2_0_v6:12:11474539:11476519:-1 gene:B456_012G075200 transcript:KJB76011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGHQMEREFSSQSLLSREDTESGSQYVLDSGFYMKSFAATIFIAGLLIVGVLLVTLIVTLAVMLQSCESRSKGVVEIEKASDSYHYCKAFALHGELNSLEEPEIPPVCWNLAIHYIEGGEYERDLNFTISMIESFFDTISPSDNHLDAVLMDIDDILASNHTYSHQSMHQQQFNQYGSNKPSGSIEDAANLKHWRILELYVKLKSRGWSLILLSRKPERQRNVTAEQLKAVGFNGWSSLIMRKIMQGR >KJB76012 pep chromosome:Graimondii2_0_v6:12:11475394:11476455:-1 gene:B456_012G075200 transcript:KJB76012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGHQMEREFSSQSLLSREDTESGSQYVLDSGFYMKSFAATIFIAGLLIVGVLLVTLIVTLAVMLQSCESRSKGVVEIEKASDSYHYCKAFALHGELNSLEEPEIPPVCWNLAIHYIEGGEYERDLNFTISMIESFFDTISPSDNHLDAVLMDIDDILASNHTYSHQSMHQQQFNQYGSNKPSGSIEDAANLKHWRILELYVKLKSRGWSLILLSRKPERQRNVTAEQLKAVGFNGWSSLIMR >KJB76009 pep chromosome:Graimondii2_0_v6:12:11474467:11477340:-1 gene:B456_012G075200 transcript:KJB76009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGHQMEREFSSQSLLSREDTESGSQYVLDSGFYMKSFAATIFIAGLLIVGVLLVTLIVTLAVMLQSCESRSKGVVEIEKASDSYHYCKAFALHGELNSLEEPEIPPVCWNLAIHYIEGGEYERDLNFTISMIESFFDTISPSDNHLDAVLMDIDDILASNHTYSHQSMHQQQFNQYGSNKPSGSIEDAANLKHWRILELYVKLKSRGWSLILLSRKPERQRNVTAEQLKAVGFNGWSSLIMRFFGYKRGCCLEIWKKGYRRQIAKWKWKHGNTFVHEEQQ >KJB76010 pep chromosome:Graimondii2_0_v6:12:11474467:11477340:-1 gene:B456_012G075200 transcript:KJB76010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGHQMEREFSSQSLLSREDTESGSQYVLDSGFYMKSFAATIFIAGLLIVGVLLVTLIVTLAVMLQSCESRSKGVVEIEKASDSYHYCKAFALHGELNSLEEPEIPPVCWNLAIHYIEGGEYERDLNFTISMIESFFDTISPSDNHLDAVLMDIDDILASNHTYSHQSMHQQQFNQYGSNKPSGSIEDAANLKHWRILELYVKLKSRGWSLILLSRKPERQRNVTAEQLKAVGFNGWSSLIMRADSEMEMETWEYFRTRRTAMKEEGTQIISVISSQLDALTGSSLGKRVFKLPKPLHYNSQTPTL >KJB76014 pep chromosome:Graimondii2_0_v6:12:11474539:11477340:-1 gene:B456_012G075200 transcript:KJB76014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGHQMEREFSSQSLLSREDTESGSQYVLDSGFYMKSFAATIFIAGLLIVGVLLVTLIVTLAVMLQSCESRSKGVVEIEKASDSYHYCKAFALHGELNSLEEPEIPPVCWNLAIHYIEGGEYERDLNFTISMIESFFDTISPSDNHLDAVLMDIDDILASNHTYSHQSMHQQQFNQYGSNKPSGSIEDAANLKHWRILELYVKLKSRGWSLILLSRKPERQRNVTAEQLKAVGFNGWSSLIMR >KJB78241 pep chromosome:Graimondii2_0_v6:12:35221257:35222808:1 gene:B456_012G185100 transcript:KJB78241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTATRPNPHPHILVFPYPAQGHMLALLNLTHQLALRGLTITILVTPKNLPFLSPLLSSHPPITPLVFPFPSHPLIPPGVEHVKDLGNSGNLPIMVALGKLHDPLVEWFDSHSEPPVAIVSDFFIGWTQCLATRLQIPRLTFYSSGAFVALKSLSEIEFGNLPGSPAFKQEHLPSLFKLYKPSDPDLEMIKDGHVANTKSWGCFINSFEDLEAEYVQWLKTHVGHNRVFSVGPLSLIGPDVSDRGNSGSVSEMNDQVLTWLDRCPDGSVVYVCFGSQKLLRKEQMEALANGLEKSATRFIWVVKSGTTQQQVEGFGVVPYGFEQRTAGQGLVLNHKAVGGFLSHCGWNSVLEGIVSGVMILAGPMEADQFLNARLLVDNIGVGVRECEGADSVPDSDELGRAIAEFMTEGGGMKAKAKDLKDKALAAVSHGESSMKDLDRFVEELGQLGG >KJB75954 pep chromosome:Graimondii2_0_v6:12:9243418:9247175:1 gene:B456_012G065400 transcript:KJB75954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPDIASILENSRELDRLRKEQEDVLVEINKLHKKLQATPEVVEKPGDSSLARLKAMYIQAKDLSEREVTISNLLISQLDTSLPSGLPRQQRRKMDGNDQKRKRMKSDSDISRLSPSMWSYIEACVSFKDEQVAARVTSDAEKDEWFVVKVINFDEKTKEFEVLDEEPGDDEEGSGQKKYKLPASCIIPFPKRNDPLSTQEFPAGRNVLAVYPGTTALYKATVISTPRKRKSDEYLLEFDDDEEDGALPQRTVPFHKVVPLPEGHRQ >KJB77726 pep chromosome:Graimondii2_0_v6:12:32685758:32688313:-1 gene:B456_012G153000 transcript:KJB77726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSSPWGKAGAWALDAEEHEAELQQEQESGVDSSTGKLADFPSLSAAAATKTRKKKGQTVSLAEFTHGSAKPSEPTGRTHEDLLVLPTGPRQRSSEELDRNQLGGGFKSYGSNRYNSNGDDSSSNSRWGSSRVSNRDSNREIAPSRADEIDNWASVKKSAPTGNGFGGGFERRDRGFFDSQSKADEVDNWAASKSNKSPNGAPPPRRFGGGFEKRSSFDSLQSRDSPRDLDNWGKKKEEINSAGGGGVRPKLVLQPRTVPVMEECKKDSTVAKPKGANPFGEARPREEVLKEKGKDWKEIDEKLEAMKIKEAVAVTEKERGAKASFGNGHIPADKSWRKSEPVEATADADHQPQSAEESENGHVAEN >KJB75187 pep chromosome:Graimondii2_0_v6:12:3814568:3814991:1 gene:B456_012G031000 transcript:KJB75187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGILASLGLYQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEDLSIKMIKFKAFDLG >KJB78149 pep chromosome:Graimondii2_0_v6:12:34922740:34928713:-1 gene:B456_012G180700 transcript:KJB78149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLGKLTIIVGAGIVGSILAKEGRMSSVSDFVSGAFKIAFRQLKHDDSTPSSKPRNDYLVAQVNSLRQELQILASNRPITIVTGQGTGTSKYSIIIVVVVVGYGYIWWKGWRLPNMMFATRRSLSDARDAIAKQLESVYSSISATKRQLSSRIEGVDNRLHEIADITDTTHKEVTLLQDESKKLNTNVQSVRYVVQTLESKIKRIEGKQDMTNEGVTWLCDYAQTIEQNRPTDHTQALPANSSRPALEPPTKTPSRSGSLPPIIPVDPPSPSSGSSNGTPKVQRSPRHVISASGLKELGESSANNVGNNGTVFSGLSGLFSVLTRTRSATNAPMGGSSGQQ >KJB75474 pep chromosome:Graimondii2_0_v6:12:5449750:5450692:1 gene:B456_012G043100 transcript:KJB75474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NVARIDSFNAANNKPYKLGVNQFADLTNQEFTASRNGFKGRMCSNTAATFKYENATALPSTVDWRKKGAVTPIKDQGQCGRCWAFSAVAAMEGVTKLTTGKLISLSEQELVDCDTKGEDQGCEGGLMDDAFQFIEKNKGLTTESIYPYKGVDGTCNTNEEANHAAKINGFEDVPANSEDALQKAVANQPVSVAIDAGGFDFQFYSGGVFTGSCGTDLDHGVTAVGYGEDGGTKYWLVKNSWGSSWGEEGYIRMQRDVDAKEGLCGIAMQASYPTA >KJB76475 pep chromosome:Graimondii2_0_v6:12:15782729:15783524:-1 gene:B456_012G091100 transcript:KJB76475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREGRQHGMVRTYRVLPSPWNPRPEPRFVQQVNSPTTAGLFTKVPAKPTNHSKFTGRCGRPRCLGCHMHPACKSKDKAKGTHKFRSNDMATNYRLVTWKVVDRRPGLNFSGFSAARMLDHLSSDYEVYDDEDDDDDDQCHVVNDGLKASSQSQEEVENHEGKIEDDDEKHEGVDVDDMKFVLDRELEEEGWCLVGEI >KJB76074 pep chromosome:Graimondii2_0_v6:12:10471328:10472869:1 gene:B456_012G070800 transcript:KJB76074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGGSNPDGNTQANRFINEFPVFTNQIDLLIAKYEKLVDTEATQLDEINSLKVMVADKDEKIRIHEQLCRELQKEANELRANLEKTNKEHDVKVSELEKKLKAKEKEVGTMINKVEEMANLMTWEKLRSLNLGSGPQLDDSPNTRRSKSRDRYMDRHRSPSRVRRCSRSPRESEDDYRNELRKTVWPGKRPKLRSRDRGSSR >KJB77533 pep chromosome:Graimondii2_0_v6:12:31555575:31560127:-1 gene:B456_012G142200 transcript:KJB77533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFVLRSVKQCLVNGQRYYGSGGGLVYGSSVVGRSVHLSGQRNGVMFGGFEWRRMMSSAPASMEKAPSEKKEQEKEETKGKDIVASSYWGISRPTITREDGTVWPWNCFMPWETYKADVSIDLKKHHVPKNFLDNLAYRTVKLLRIPVDLFFQRRYGCHAMMLETVAAVPGMVGGMLLHLKSLRKFQQSGGWIKALLEEAENERMHLMTIVELVKPKWYERLLVLAVQGVFFNGFFVLYLSSPKLAHRFVGYLEEEAVFSYTEYLESIESGETENVPAPAIAIDYWRLPKDARLKDVITVIRADEAHHRDINHFASDIQFQGKELREAPAPVGYH >KJB77532 pep chromosome:Graimondii2_0_v6:12:31554002:31556595:1 gene:B456_012G142100 transcript:KJB77532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALATLPSAFTPFSFSKPLNPKSPLFSFSQSPLLSPVAVLSCSFPLRTTSSIPKRLHRRLECVSSGTGGGFGGNDGSSGGGGDGEGTGGGDSKAKLGAGLVDDLSALSPDVIILNVGGMMCGGCASSVKKILESQPQVSSATVDLTTATAMVWPVFEAKAVPNWQKELGEALAKQLTSSGFESKLRGEEGIEGDV >KJB77530 pep chromosome:Graimondii2_0_v6:12:31553950:31556821:1 gene:B456_012G142100 transcript:KJB77530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALATLPSAFTPFSFSKPLNPKSPLFSFSQSPLLSPVAVLSCSFPLRTTSSIPKRLHRRLECVSSGTGGGFGGNDGSSGGGGDGEGTGGGDSKAKLGAGLVDDLSALSPDVIILNVGGMMCGGCASSVKKILESQPQVSSATVDLTTATAMVWPVFEAKAVPNWQKELGEALAKQLTSSGFESKLRVAGEEGIEGDV >KJB77531 pep chromosome:Graimondii2_0_v6:12:31554002:31555089:1 gene:B456_012G142100 transcript:KJB77531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALATLPSAFTPFSFSKPLNPKSPLFSFSQSPLLSPVAVLSCSFPLRTTSSIPKRLHRRLECVSSGTGGGFGGNDGSSGGGGDGEGTGGGDSKAKLGAGLVDDLSALSPDVIILNVGGMMCGGCASSVKKILESQPQVSSATVDLTTATAMVWPVFEAKAVPNWQKELGEALAKQLTSSGFESKLRGMCSACM >KJB75447 pep chromosome:Graimondii2_0_v6:12:5338901:5339058:-1 gene:B456_012G0424002 transcript:KJB75447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGATIGGMCATRCSGSLAMM >KJB77724 pep chromosome:Graimondii2_0_v6:12:32669334:32670269:-1 gene:B456_012G152500 transcript:KJB77724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNMILAWILSLSTAMTVARCQDYYSHAGPYVPPPQKVTYLHFFLHDTRSGNNPSAVPIVSPNTTTSTGFGGVIAFDDPLTVGPDITSEVIGNAQGLWVSTGKDVLTLMAYLDMGFTKGEFNGSSISVLSRNPITESERELAVVGGKGKFRMAKGYAQLKTYSVNFKTGDAIVEYNVTVIHD >KJB76716 pep chromosome:Graimondii2_0_v6:12:22898415:22899020:1 gene:B456_012G102600 transcript:KJB76716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPGNKVIDVAFKASKNIDWEGMAKLLVSDEARKEFATLRRTFDEVNSTLQTKFSQEPEPIDWEYYRKGIGSHLVD >KJB77362 pep chromosome:Graimondii2_0_v6:12:30650565:30652142:1 gene:B456_012G134100 transcript:KJB77362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQALINVLVKCKSFSELKQIHALVITFGLRHDSPISSKLLSLAALSGAGNIDYAYRLFSTLPAPRVFDWNTVIRGYSISKNPNKSFSVFIKMLRAGVFPDYLTYPFLAKASARLLKPELGGAIHGHALKNGFQLDKFINNSLIHMYSSCHDIVYARRVFDEMPMKNIVSWNAMLDGYAKCGDMASARQVFDLMPQRDVVSWSCLIDGYVKNGDHKEALAIFAEMLVLGPKANEVTMVSVLCACAHLGALDQGRSMHCYVMDNGLQMTLVLRTSLVDMYAKCGAIEEALYVFRGVSNGKADVLFWNAMIGGLAIHGLVNESLELFIEMQIAGILPDEITYLCLLSACAHGGLVKEAWYFFECLGKHGMTPKSEHYACMVDVLARAGQVAEAYQFLCEMPVEPSSSLLGALLNGCLIYGKSDLAEIVGRKLIELDPDHDGRYIGLSNIYAAVKRWKEARTMREAMERRGVKKSAGFSCVEISGTLYSFIAHDETHPNSKQIYEILEFIVSQMKLDVHKDIQEYPSL >KJB75477 pep chromosome:Graimondii2_0_v6:12:5465480:5465776:1 gene:B456_012G043400 transcript:KJB75477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNQLHHHFTCLALLIFILGVCEATSRAALEDASMYERHQQWMVQFGRVYKDTNERQKRFQIFKQNMARIDSFNAANNKPYKLGMNQFADLTNQEF >KJB77942 pep chromosome:Graimondii2_0_v6:12:33962164:33966143:-1 gene:B456_012G169200 transcript:KJB77942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTMAAQSKHVFSKILTVTDIKKRLAVPSAIQSCLPPFNGGHTVTFQFLHGTRPWPIRYTIRRKGYKKSVFSGLKWGEKSTSLASPRGCKCNRLWQWHW >KJB75157 pep chromosome:Graimondii2_0_v6:12:3406621:3407403:-1 gene:B456_012G027600 transcript:KJB75157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGLFLALSFILCASGSAAPDPVLDISGKMLRTGNDYYILPVFRGRGGGLTLASTGNETCPLHVVQEQLEVSNGLPVTFSPFNIKKGVIRVSTDHNIKFSAATICVQSTVWKLANFDDSTRQWFLTSGGVEGNPGRQTIDNWFKIEKHEDDYKLVFCPTVCDFCKVMCRDVGVFIDDAGVRRLALSDVPFKIMFKRA >KJB77187 pep chromosome:Graimondii2_0_v6:12:28807132:28809254:-1 gene:B456_012G124700 transcript:KJB77187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNCRPTFCRIYKKKSTESFQSLPYQVALFSCMLWLYYALIKKGAFLLITINAFGCVVETIYISMFLAYASKNSRMSAMKLFISMNLGLFSFILILTHFLLKSSIRVQVLGWICVAISVSVFAAPLNIMARVIRTKSVEFMPFTLSFFLTLSAVMWFAYGLFIKDLCVALPNVLGFILGMLQMLLYAIYRHSEKVNIEEKKLPAEQMKSINVVLTTLGASEVHPVVLDIHTDDTKEEDNKNNEPTGEPDKQTDVKMEDSNESPV >KJB77185 pep chromosome:Graimondii2_0_v6:12:28807011:28809362:-1 gene:B456_012G124700 transcript:KJB77185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLADHHSLAVVFGILGNIISVLVFLAPVPTFCRIYKKKSTESFQSLPYQVALFSCMLWLYYALIKKGAFLLITINAFGCVVETIYISMFLAYASKNSRMSAMKLFISMNLGLFSFILILTHFLLKSSIRVQVLGWICVAISVSVFAAPLNIMARVIRTKSVEFMPFTLSFFLTLSAVMWFAYGLFIKDLCVALPNVLGFILGMLQMLLYAIYRHSEKVNIEEKKLPAEQMKSINVVLTTLGASEVHPVVLDIHTDDTKEEDNKNNEPTGEPDKQTDVKMEDSNESPV >KJB77186 pep chromosome:Graimondii2_0_v6:12:28807132:28809254:-1 gene:B456_012G124700 transcript:KJB77186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLADHHSLAVVFGILGNIISVLVFLAPVPTFCRIYKKKSTESFQSLPYQVALFSCMLWLYYALIKKGAFLLITINAFGCVVETIYISMFLAYASKNSRMSAMKLFISMNLGLFSFILILTHFLLKSSIRVQVLGWICVAISVSVFAAPLNIMARVIRTKSVEFMPFTLSFFLTLSAVMWFAYGLFIKDLCVAVSSILWDTIYLLFRPSLSLEATNRLILFCCCL >KJB75936 pep chromosome:Graimondii2_0_v6:12:9165903:9169061:1 gene:B456_012G064500 transcript:KJB75936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYARFNFSFKAPLMRIAHPSRCFFTSSISFARTQLNVSLKPLPSVSSSSMADRSNFSTVSAEDEAKYGFTREEMYSSNLAGTVNPYDRHLFLRHRSYTDWASRVEEDSLPKLFSSTLKSRKNDIPVKTLLTVIEGEQSDGDVLIFPEMIKYKGLTEADLDGFVEDVLVNGKPWASGMQETFTGSYVFVCAHGNRDKRCGVCGPILIEKLNEEIALRGLKDQVFVNACSHIGGHKYAGNLIIFSPDSKGKITGHWYGYVTPEDVPELLDQHIANGKIIERLWRGQMGVSTESGDGTGEQKLPNGTEVKKNEKPEESTVQKTRENLGGCCQGANGSSCCMTASSEVSETKKTEETTEAHGKKGLCGLTSWVGSWEQRDVLTAAAVVGAVATVAVAYSYYRKVRLN >KJB76562 pep chromosome:Graimondii2_0_v6:12:17044563:17046275:-1 gene:B456_012G094800 transcript:KJB76562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RMHCTKNACTIIRIMIHQPASSFYGAQTREFILELEELLKLHKSLTRVYVQRTGKPLWVVLKTRKEMFLLCQLLKQLIRNVGQPIRNITKSATLRGCPQCQGTCTRVLFASNFRGAHSRLTRTITQQRIRALVFAHWDKDRKKRDFGRLWITQINAVISGVGVSHSYSRLIHNLYKKQLLLNRKIFAQIAISNRNCLYMISNEIRK >KJB78293 pep chromosome:Graimondii2_0_v6:12:22394326:22396729:-1 gene:B456_012G101600 transcript:KJB78293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASRLRRVFTVPSTLSSRFAAIRLNSTLTSPKLFISGLSRETTDEQFKEAFNPFGQIVDAKIVRDRATGRSKGFGFVTYTSIEEAEKAREEMNAKFLHGWVIFVDPAKPREFKPTPRSESGPSETGFRTNKTVGWCG >KJB74741 pep chromosome:Graimondii2_0_v6:12:824968:829234:1 gene:B456_012G007000 transcript:KJB74741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGENSLAIVTAPSAAAETGGSATALAPGFRFHPTDEELVSYYLKRKVTNKPVRFNAIAEVDIYKHEPWDLSDKSRLKTRDQEWYFFSLLDKKYGNGGRMNRATNQGYWKATGKDREVRHNDQLMGMKKTLVFHNGRAPDGLRTNWVMHEYRLVEEELERIGTLQGYVLCRVFHKNNIGPPNGNRYAPFIEAEWDDGSAVLVPGIDIGDDGVASEKALVESNGVQRSGFEQEIQNADEEAPANDKVRRELLNERTYDCPPLPPGKIERLDNGPPLCLINREAPLPLIHYKRRRQNDSGPSHANISENSTRTTQDHCSSTTTAGTTTASPSSDTTTAVSALLEFSLMESIEPKKKKPHVPPPIYETANLDSMVPPGCMKLITDLQNEIRKISAERETLKLEMMSAQAMINILQSKVDFLSKENEDLKRNNRELRGGSVGSCSHP >KJB74742 pep chromosome:Graimondii2_0_v6:12:824979:829224:1 gene:B456_012G007000 transcript:KJB74742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRATNQGYWKATGKDREVRHNDQLMGMKKTLVFHNGRAPDGLRTNWVMHEYRLVEEELERIGTLQGYVLCRVFHKNNIGPPNGNRYAPFIEAEWDDGSAVLVPGIDIGDDGVASEKALVESNGVQRSGFEQEIQNADEEAPANDKVRRELLNERTYDCPPLPPGKIERLDNGPPLCLINREAPLPLIHYKRRRQNDSGPSHANISENSTRTTQDHCSSTTTAGTTTASPSSDTTTAVSALLEFSLMESIEPKKKKPHVPPPIYETANLDSMVPPGCMKLITDLQNEIRKISAERETLKLEMMSAQAMINILQSKVDFLSKENEDLKRNNRELRGGSVGSCSHP >KJB76161 pep chromosome:Graimondii2_0_v6:12:11831516:11835633:1 gene:B456_012G076100 transcript:KJB76161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGEKQAEEAIVESFNESTENEEKEVELEEKGDHSIFSVKSLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIILQIFYGVLGSWTAYLISVLYVEYRSRKEKENVSFKNHVIQAEGVTHQGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKSIYLLATLYVFTLTIPSATSVYWAFGDQLLDHSNAFSLLPHSAWLDAAVILMLIHQFITFGFACTPLYFVWEKVVGMHDTKSICLRAVCRLPVVIPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMFTYKSASARKNAAEKPPFFLPSWTAVYALNTFTVIWVLVIGFGLGGWASMANFIKQVDTFGLFAKCYQCPPSPSSVHH >KJB76162 pep chromosome:Graimondii2_0_v6:12:11831753:11835633:1 gene:B456_012G076100 transcript:KJB76162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGEKQAEEAIVESFNESTENEEKEVELEEKGDHSIFSVKSLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIILQIFYGVLGSWTAYLISVLYVEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLAMTTYTAWYLTIASLVHGQAEGVTHQGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKSIYLLATLYVFTLTIPSATSVYWAFGDQLLDHSNAFSLLPHSAWLDAAVILMLIHQFITFGFACTPLYFVWEKVVGMHDTKSICLRAVCRLPVVIPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMFTYKSASARKNAAEKPPFFLPSWTAVYALNTFTVIWVLVIGFGLGGWASMANFIKQVDTFGLFAKCYQCPPSPSSVHH >KJB76163 pep chromosome:Graimondii2_0_v6:12:11831516:11835633:1 gene:B456_012G076100 transcript:KJB76163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGEKQAEEAIVESFNESTENEEKEVELEEKGDHSIFSVKSLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIILQIFYGVLGSWTAYLISVLYVEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLAMTTYTAWYLTIASLVHGQAEGVTHQGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKSIYLLATLYVFTLTIPSATSVYWAFGDQLLDHSNAFSLLPHSAWLDAAVILMLIHQFITFGFACTPLYFVWEKVVGMHDTKSICLRAVCRLPVVIPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMFTYKSASARKNAAEKPPFFLPSWTAVYALNTFTVIWVLVIGFGLGGWASMANFIKQVDTFGLFAKCYQCPPSPSSVHH >KJB76448 pep chromosome:Graimondii2_0_v6:12:15195926:15198101:-1 gene:B456_012G088800 transcript:KJB76448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYHFVYKDVEGASTQWDDIQRKLGNLPPKPPAFKPDPFEPAPDPDSVPKDKSWIDEKTEEQLEEIEDDLDDDRFLEEYRKKRLAEMREAVKISKYGSVMPISGSDFVREVSQAPQDVWVVVILYKEGFLECGLLLQCLEELAVKYPATKFVKIISTDCIPNYPDRNLPTLLVYNNGAVKANYVGLHSFGHRCTPEGVALVLCQSDPVLNDGQSGSNASVLEGVRKRFIEKVVTEHEDHDDDGSSSD >KJB77937 pep chromosome:Graimondii2_0_v6:12:33888800:33889630:-1 gene:B456_012G168700 transcript:KJB77937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPTKMFSKKLKTTDINKRLTIPGKILPSLPAFNGGHAVMIQLNYGTKIWPIYCTVRKNGYKKPVLSVGWRKFVADNKLKTGDIITMYKDFEDEDGGSHFRVEVEKPPAASKQDRSHGTLPSSSVLAFNDHEVDGTNSGNEADRIPNKALDDGAINLEGGIPIMELPDVATDTLVDGHVIAKPSVTIFGAIMTDEMKCLGITKGTDMAEPSFLSPCMAKPEREIDFLGIAEGGDAIAYGSGPSDAAGEACCNFITHHRSVTLDLVLSQPNPYAG >KJB74980 pep chromosome:Graimondii2_0_v6:12:1979793:1980590:-1 gene:B456_012G017200 transcript:KJB74980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESGKNKTKSSSPSSGFLNRSFTMHASVAESKVHLFFKNPPPLSHNRAAPLSKFHNSMDSVKGKVKKLRSLFESKPSSSSSTLPSPKESCPKPVLRPTKSIGSGFINPTIRLPGTEDRIVVYLTSLRGIRRTFEDCYAVKMIFRGFRLWVDERDISMDAAYKKELQNILKVKNVTLPQVFIKGKYIGGADVIKSMFEMGELAKILDDFPRRQPGFACNACGDVRFVPCGNCSGSRKVFDDDEGSLKRCFECNENGLIRCPGCCD >KJB77116 pep chromosome:Graimondii2_0_v6:12:27574166:27575695:-1 gene:B456_012G120700 transcript:KJB77116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMPYLSLSIIILHYLSPSIIILHYFPYCFRDSLHQVGDYPLPQSPSGSQYPGPFELLGGMQSLLPQKKKMSLKSGVKIDLYKRPFRRPSSRLLGTSS >KJB78163 pep chromosome:Graimondii2_0_v6:12:34957915:34963492:-1 gene:B456_012G181300 transcript:KJB78163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVDLHEVHETAASNGGANHTDHGWQKVSYPKRQRKTKSKADPEKPNLTRLNGTLTNGGPNVFHSLEQQSEDRRRRILEAQRAYAADVIDADAKVKNNRSDLDDDDDSDLEGVKPNGKPAEVEKKVKQKKPKKPKVTVAEAAAKIDPAFLSVHLAELNGEQQEIQMQKFANFYGKAFQEVVAGQFPWMKMFRESTITKLADIPLSHISDDVYKTSADWISQQSLEALGFFVLWSLDIILEDLVAQQASAKGSKKSVQQPSSKSKVGIFVALAMVLRRKPDALISVLPKLREESKYQGQDKLPVIIWMIIQASRGDLAVGLYMWAHHLLPIVGGKNCNPQSRDLILQLVEWILSASKARTILVNAAVRKGERLVPPASFEILMRVTFPASSSRVKATERFEAIYPTVKEVGLAGSHGSKAMKQVSVQIFHFAFKAAGDGTPELSKEAAGIVIWSLNQNAECYRIWEKAYLDNLEASVAVLRRLSEDWKQHSAKLTTLDPLRETVKNFRNKNEKAMSNGADAVRQSLFQEADKYCKHISGKLSRGHGCLKALAFLVVAFAVGAAVVTPNIDPSDWSKLSEAIGTADWDKLSEALSTADWNKLSKVFSS >KJB78162 pep chromosome:Graimondii2_0_v6:12:34957915:34963437:-1 gene:B456_012G181300 transcript:KJB78162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVDLHEVHETAASNGGANHTDHGWQKVSYPKRQRKTKSKADPEKPNLTRLNGTLTNGGPNVFHSLEQQSEDRRRRILEAQRAYAADVIDADAKVKNNRSDLDDDDDSDLEGVKPNGKPAEVEKKVKQKKPKKPKVTVAEAAAKIDPAFLSVHLAELNGEQQEIQMQKFANFYGKAFQEVVAGQFPWMKMFRESTITKLADIPLSHISDDVYKTSADWISQQSLEALGFFVLWSLDIILEDLVAQQASAKGSKKSVQQPSSKSKVGIFVALAMVLRRKPDALISVLPKLREESKYQGQDKLPVIIWMIIQASRGDLAVGLYMWAHHLLPIVGGKNCNPQSRDLILQLVEWILSASKARTILVNAAVRKGERLVPPASFEILMRVTFPASSSRVKATERFEAIYPTVKEVGLAGSHGSKAMKQVSVQIFHFAFKAAGDGTPELSKEAAGIVIWSLNQNAECYRIWKAYLDNLEASVAVLRRLSEDWKQHSAKLTTLDPLRETVKNFRNKNEKAMSNGADAVRQSLFQEADKYCKHISGKLSRGHGCLKALAFLVVAFAVGAAVVTPNIDPSDWSKLSEAIGTADWDKLSEALSTADWNKLSKVFSS >KJB77049 pep chromosome:Graimondii2_0_v6:12:27212669:27214573:1 gene:B456_012G118000 transcript:KJB77049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYYFIYTYAKIQSKTNFALNITKMMQSQDFTPSWPLVNSTIDQLEPYGFNMCVHFDASSSFHTSVDSFFSNDIFPFSPYDFDDDLLRVTVPWEDISAPLAGLDSMLTDGVEESFPLSSQRQDIWSPCPSIRSSEASTDTMQSPLTMPILGEEAMEIDNQLPLFHLLKAHGDATEKNQTDLAEVILRCVSEKGNPVGETLERIAFNLSQDIQNQNNYYLMQESSKNFEVAFRTFYQIFPYGRFAHFAANSAILEAIPNDAEQLHIVDFDLGVGIQWPSLIEAIAGHWQHKTLRLTSIKWGDDHSPWRFQHTRRLLCDHARSFGLNFKVEEMGIHDLVNEFMAIKKQGGGTKEWLAFNCMVGLPHMGKNKSRKLVNEFLGIAKQLLTSCGDNARTTKRGMITLGEGDACEKLKDGTGSSFGAYFNAQLMHYQAIMESMESNMAKHLVQARLAMECLFVGPNICAQAWFQKWKEMNETCYFQAGTTLEGLKVSRGRVMEAKEMVKERGNSYEVSIGGDSGNELTLDWRGITLVKVSSWRN >KJB76458 pep chromosome:Graimondii2_0_v6:12:15301024:15303891:-1 gene:B456_012G089300 transcript:KJB76458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTYLPLRWESTGDQWWYASPIDWAAANGHFDLVRELLRIDGNHLIKLTSLRRIRRLETVWDDEEQFDDVAKCRSQVARKLFLECESKKSKNSLIRAGYGGWLIYTAASAGDLGFVQQLLQRNPLLVFGEGEYGVTDVLYAAARGKNSEVFRLIYDFAVSPRFLAAKGDGFEEHIGEIPSVYKWEITNRAVHAAARGGNLKVLKDLLSDCTDILGYRDKRGSTILHAAAGKGQVEVVKYLVASFDIMDSTDEQGNTALHIAAYRGQSAVVEALILASPSLISVTNKAGETFLHLAVSGFQTPAFRRVDRQVNLMKRLVHAKNFSMEDIVNAKNNDGRTALHMAIIGNVHTDLVELLMSAKSINVNIRDADGMTPLDLLKQRPHSASSDILIRHLISAGGMFGCQDYTARRAIASHIKMQGHGSSPGTSFRISDTQIFLYTGVETTSDASDLGSGGKSRSSSTDFDSADENRKSSVDKKPAGSSMNNAAQRLKSVLHWPRMKDQKPKRMNGNYSEETPIPLRQRFSKPSSLPNNKRTLSVRSGQSSPIAKKKLASGIIHGVMQAMPQITIPRRSRSSSFSKSSVSSPSSMDKQKGIFIEGDITGPSCSNPSLDDEKPDTMKQRPMKKGLRSQYFCFGGSGLAVKNPVSRQRQSQTSAANPAMVSMA >KJB76459 pep chromosome:Graimondii2_0_v6:12:15300948:15303927:-1 gene:B456_012G089300 transcript:KJB76459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTYLPLRWESTGDQWWYASPIDWAAANGHFDLVRELLRIDGNHLIKLTSLRRIRRLETVWDDEEQFDDVAKCRSQVARKLFLECESKKSKNSLIRAGYGGWLIYTAASAGDLGFVQQLLQRNPLLVFGEGEYGVTDVLYAAARGKNSEVFRLIYDFAVSPRFLAAKGDGFEEHIGEIPSVYKWEITNRAVHAAARGGNLKVLKDLLSDCTDILGYRDKRGSTILHAAAGKGQVEVVKYLVASFDIMDSTDEQGNTALHIAAYRGQSAVVEALILASPSLISVTNKAGETFLHLAVSGFQTPAFRRVDRQVNLMKRLVHAKNFSMEDIVNAKNNDGRTALHMAIIGNVHTDLVELLMSAKSINVNIRDADGMTPLDLLKQRPHSASSDILIRHLISAGGMFGCQDYTARRAIASHIKMQGHGSSPGTSFRISDTQIFLYTGVETTSDASDLGSGGKSRSSSTDFDSADENRKSSVDKKPAGSSMNNAAQRLKSVLHWPRMKDQKPKRMNGNYSEETPIPLRQRFSKPSSLPNNKRTLSVRSGQSSPIAKKKLASGIIHGVMQAMPQITIPRRSRSSSFSKSSVSSPSSMDKQKGIFIEGDITGPSCSNPSLDDEKPDTMKQRPMKKGLRSQYFCFGGSGLAVKNPVSRQRQSQTSAANPAMVSMA >KJB78080 pep chromosome:Graimondii2_0_v6:12:34554214:34556129:1 gene:B456_012G176200 transcript:KJB78080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKMGFIVLALAVVSAATVVTAADESGLANECSKDFQSVMTCLSFAQGKAASPSKECCNSVTEIKENKPKCLCYILQQTQTSGAQNLKSLGVQEDKLFQLPSACQLKNASVSDCPSNFLGYLRAHQTLPSSPTPPLKQRHPVLQQPPQRRLPRRIKPIANPVEPSLVPTSSVPRRRYWLLQRPCFSLYSQLDSLQ >KJB76145 pep chromosome:Graimondii2_0_v6:12:11473443:11474451:1 gene:B456_012G075100 transcript:KJB76145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPSFLFIYFIHFASSLPSISITFSYRQDFHQPISFSNTSFSSPSLTFSSLSTAFTATFFLSTLLLKSGTFSLQQNFSPF >KJB77891 pep chromosome:Graimondii2_0_v6:12:33515148:33516634:-1 gene:B456_012G163700 transcript:KJB77891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYEGKVFTEEQEALVVKSWTVMKKNAAELGLKFFLKIFEIAPSAKKLFSFLRDSNVPLEQNTKLKPHAMSVFVMTCESAVQLRKAGKVTVRESNLKKLGATHFKYGVVDEHFEVTKFALLETIKEAVPDMWSDEMKNAWGEAYDRLVAAIKIEMKACSLAA >KJB77910 pep chromosome:Graimondii2_0_v6:12:33602206:33602685:-1 gene:B456_012G1653002 transcript:KJB77910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFLIAKQVGNLLRHCGSSKGRLTSSIRRMRRGCR >KJB76966 pep chromosome:Graimondii2_0_v6:12:26543409:26544440:-1 gene:B456_012G114900 transcript:KJB76966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTKNSSSGCFSAVFRRLFCSGSPQTHPSDPIIELNAVDIMAKAQVQASESGPGIVARLMGLDTLPDSNWVCRAKTPISVPRSKSVNFMDYMLDFDLTKATHRRVKTSTSFQELPQSPQLLQHNQETMSEPRKWKSQRVNGSSGKNVKQNVNVREKVCSKKKNKKISKLKNEPRRVSSKQSLKSSGCIGVNSKAKTPLEEVSVKTKKKNQRAVKKVEYTDSNSEGSSSSLSPVSVLYVNDFESTKNEETACFMELVDRPSKMTDEDIKFSNWITKKLFTFEDYEEICVKLEEQILDLMLHQVADELVGFHTWNVWKQKTNLVGTLSKTQSFYPSNLQVNLEK >KJB78370 pep chromosome:Graimondii2_0_v6:12:33662226:33662501:1 gene:B456_012G166300 transcript:KJB78370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGEVNLLTHHEARWRFLYRDHALPGGDNMYCIIGTEWSRFVRRINAMITLYSKEDGEDFHRVRVRQS >KJB76683 pep chromosome:Graimondii2_0_v6:12:22262793:22266870:-1 gene:B456_012G1014001 transcript:KJB76683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDSQEQLQRKQSTYLSLDENFEIQKEVYRGQQYSQIYFARLHMMRTLLYSLVPNWKSHLPVCTVLELEEGKECIIFGTLYKHMKLKPCILDEYSKERSVAPLIKPHNFMHQDDYLVLEDESGRVKLGGTKLSPSVYVTGVVVALHGKETSAGAFFVEDVLEAGLPPQIKRPLKSREDKYVVFVSGLSIGRSSSNPLQFQLLVDHITGHLGDEEEQGLAAEIVHLVIVGNSVEISRGLLNGQNLASKDQSRLCEPFKELDILLTQIAASLPLDIMPGSSDPANFALPQQ >KJB76682 pep chromosome:Graimondii2_0_v6:12:22262793:22266694:-1 gene:B456_012G1014001 transcript:KJB76682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDSQEQLQRKQSTYLSLDENFEIQKEVYRGQQYSQIYFARLHMMRTLLYSLVPNWKSHLPVCTVLELEEGKECIIFGTLYKHMKLKPCILDEYSKERSVAPLIKPHNFMHQDDYLVLEDESGRVKLGGTKLSPSVYVTGVVVALHGKETSAGAFFVEDVLEAGLPPQIKRPLKSREDKYVVFVSGLSIGRSSSNPLQFQLLVDHITGHLGDEEEQGLAAEIVHLVIVGNSVEISRGLLNGQNLASKDQSRLCEPFKELDILLTQIAASLPLDIMPGSSDPANFALPQQ >KJB76684 pep chromosome:Graimondii2_0_v6:12:22262793:22266826:-1 gene:B456_012G1014001 transcript:KJB76684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDSQEQLQRKQSTYLSLDENFEIQKEVYRGQQYSQIYFARLHMMRTLLYSLVPNWKSHLPVCTVLELEEGKECIIFGTLYKHMKLKPCILDEYSKERSVAPLIKPHNFMHQDDYLVLEDESGRVKLGGTKLSPSVYVTGVVVALHGKETSAGAFFVEDVLEAGLPPQIKRPLKSREDKYVVFVSGLSIGRSSSNPLQFQLLVDHITGHLGDEEEQGLAAEIVHLVIVGNSVEISRGLLNGQNLASKDQSRLCEPFKELDILLTQIAASLPLDIMPGSSDPANFALPQQ >KJB74678 pep chromosome:Graimondii2_0_v6:12:237069:241318:1 gene:B456_012G002200 transcript:KJB74678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVEDMSLSALFEQARKIHLTVTESGADQDLVKKGCEVLEKCEDMISKLGLFSSNETKDDISTNNLKYLLVPFYLAELTEKLAQEERIQILKISQAKLKEFISFCEAMELVPQEELEASVQGASNSFADRRALKIARFRRQRAAEAKLTEIKERKERRGRSTKAATLSTPVEVGEDDLLDDDGEEEREAWLTTISLAICKAFDLLEMLKKEEEMLSAIKEKQLKDGEKEFSQAILDDRTKKAEAWHRDAAARARYTQPAAPITCATFAQDVIEGRANVSQAHDHKHQPMIFGPQSLIGGSLTSERERMAAQVFQPAYR >KJB74677 pep chromosome:Graimondii2_0_v6:12:237047:241363:1 gene:B456_012G002200 transcript:KJB74677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVEDMSLSALFEQARKIHLTVTESGADQDLVKKGCEVLEKCEDMISKLGLFSSNETKDDISTNNLKYLLVPFYLAELTEKLAQEERIQILKISQAKLKEFISFCEAMELVPQEELEASVQGASNSFADRRALKIARFRRQRAAEAKLTEIKERKERRGRSTKAATLSTPVEVGEDDLLDDDGEEEREAWLTTISLAICKAFDLLEMLKKEEEMLSAIKEKQLKDGEKEFSQAILDDRTKKAEAWHRDAAARARYTQPAAPITCATFAQDVIEGRANVSQAHDHKHQPMIFGPQSLIGGSLTSERERMAAQVFQPAYRMPTISIEEAGLREMEMMNKWQERNAKMFEEANSAWYKDKPKMGPSEDDEDDDAAQEKARAWDDWKDDNPRGAGNKKLTPCG >KJB76785 pep chromosome:Graimondii2_0_v6:12:24088874:24091139:1 gene:B456_012G107200 transcript:KJB76785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKMAQAIRYFELNTGAKIPSVGLGTWQASPGVVGAAVAAAIKIGYRHIDCAQAYDNEKEIGSVLKKLFQDGVVRREDLWITSKLWCTNHAPEDVPVALNNTLHDLQLDYLDLYLIHWPASMKKGSVGFKPENLTKPDIPSTWKAIEALYDSGKARAIGVSNFSSKKLGDLLQVARVPPAVLQVECHPVWQQPKLHAFCESKGIHITGYSPLGSPGTGTIKKEVLKHPVLNMVAEKLGKSPAQVALRWGLQMGHSVLPKSTNETRIKENFDVFDWSIPEELFAKFAEIEQERLIRGSGFVHDTFGAYRTFEELWDGEF >KJB76786 pep chromosome:Graimondii2_0_v6:12:24088995:24090280:1 gene:B456_012G107200 transcript:KJB76786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKMAQAIRYFELNTGAKIPSVGLGTWQASPGVVGAAVAAAIKIGYRHIDCAQAYDNEKEIGSVLKKLFQDGVVRREDLWITSKLWCTNHAPEDVPVALNNTLHDLQLDYLDLYLIHWPASMKKGSVGFKPENLTKPDIPSTWKAIEALYDSGKARAIGVSNFSSKKLGDLLQVARVPPAVLQVECHPVWQQPKLHAFCESKGIHITVSTDMPSTKATNTDWCSNSQLFL >KJB77772 pep chromosome:Graimondii2_0_v6:12:32990569:32990867:-1 gene:B456_012G156300 transcript:KJB77772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVEDVVIVGAGVAGLTTSLGLHRLGIHSLVLESSDKLRITGFAFTTWSNAWKALDAIGIGESLRCQHNLIT >KJB76679 pep chromosome:Graimondii2_0_v6:12:21793943:21795189:1 gene:B456_012G100300 transcript:KJB76679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPVSTLNQCYLHLAPCFALISFIFFHFFSLIKIYVCGCGSSGLGWFAREKNVLGAKLGTGSPPQSSSSHHQAVGRWGEGEKEFPLKKRRGSVETTGDDDDTIMAKDTNNKRPMKSSTSKMKTKLNKKLVLQQQQSNGEEQESKDIACTSVYNNNNSSGAKKRGRGGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRSRSLASKEEGQPNNGDNQGLMLSNLSSSSQQLAKRELHGESGGNDAEGEDQKPLMMTKKRAKLGMVKARSISSLLGQNDNAIAVADDNN >KJB77441 pep chromosome:Graimondii2_0_v6:12:31067665:31071753:1 gene:B456_012G137300 transcript:KJB77441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSQKESTATGEKSNLTTEGESSKPETSTGESKPVQGGASPDTMPGMGFTPPNPFDFSAMSGLLNDPSIKELAEQIAKDPSFNQMAEHLSKTFQGAAVEESIPQFDPQQYYSTMQQVMQNPQFMSMAEHLGNALMQDPAMSSMLDSLTNPQHKDQIEERMSRIKEDPSLKHILEEIETGGPAAMMRYWNDKEVLQKLGEAMGVAGSGDAATPAGNSAADEGDVGNEDESVVHNCASVGDVEGLKAALDSGADKDEEDSEGRTALHFACGYGEVKCAQILLEAGAAVDALDKNKNTALHYAAGYGRKDCVALLLKNGAAVTLQNMDGKTPIDVAKLNDQHEVVKLLEKDAFL >KJB76666 pep chromosome:Graimondii2_0_v6:12:21044910:21047107:-1 gene:B456_012G099200 transcript:KJB76666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCCGGSDNEPKGPPANQYTAPSKGGDQSGGTGGTGRGEPNARNGVKPGGASQKVLPIEIPSVPLDELNKMTDNFGKKALIGEGSYGRVFYGTFNGQPAAIKKLDTGSSPEPDSEFGAQLSVVSRLKHENFVELLGYCMEENNKILIYEHATMGSLHDVLHGRKGVQGAEPGPVLSWNQRVRIAVGAAKGLEYLHEKVQPSIVHRDVRSSNVLLFDDFVTKIADFNLTNQSSDSSARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQFVDPKLNNDYPPKAIAKLAAVAALCVQYEAGFRPNMTIVVKALQPLLNAKPEAKPAGTDPQP >KJB76667 pep chromosome:Graimondii2_0_v6:12:21044869:21047871:-1 gene:B456_012G099200 transcript:KJB76667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCCGGSDNEPKGPPANQYTAPSKGGDQSGGTGGTGRGEPNARNGVKPGGASQKVLPIEIPSVPLDELNKMTDNFGKKALIGEGSYGRVFYGTFNGQPAAIKKLDTGSSPEPDSEFGAQLSVVSRLKHENFVELLGYCMEENNKILIYEHATMGSLHDVLHGRKGVQGAEPGPVLSWNQRVRIAVGAAKGLEYLHEKVQPSIVHRDVRSSNVLLFDDFVTKIADFNLTNQSSDSSARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQFVDPKLNNDYPPKAIAKLAAVAALCVQYEAGFRPNMTIVVKALQPLLNAKPEAKPAGTDPQP >KJB77754 pep chromosome:Graimondii2_0_v6:12:32922825:32928433:1 gene:B456_012G155300 transcript:KJB77754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVMEDEEYTYRDVFLPTLIPRIPAPGLERGTVERRRGRDIVIAIDHGPNSKHAFDWALIHLVRLADTLYLVHAVSSVRSEIIYEASQALMEKLSVEAFQIAMVKTMARIVSGDAGKVICKEAERVKPAAVVMGTRGRSLIQSVFQGSVSDYCVHNCKFAPVIIVPGKEAGDGSLTWN >KJB75957 pep chromosome:Graimondii2_0_v6:12:10850704:10852990:-1 gene:B456_012G073300 transcript:KJB75957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKVDHEYNYLFKIVLIGDSGVGKSNILSRFTRNEFFLESKSTIGVEFATRTLQVEGKTIKAQIWDTAGQERYRAITSAYYRGAAGALLVYDVTKRQTFDNVLRWLRELRDHADSNIVIMMAGNKSDLNHLRAVSMEDAEGLAEKEGLSFLETSALEAFNVEKAFQTILLDIYQIVRKKALAAQEAGSSTGVPHGTTIKVSNLQDNGTKNACCST >KJB75956 pep chromosome:Graimondii2_0_v6:12:10850458:10853337:-1 gene:B456_012G073300 transcript:KJB75956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGKTIKAQIWDTAGQERYRAITSAYYRGAAGALLVYDVTKRQTFDNVLRWLRELRDHADSNIVIMMAGNKSDLNHLRAVSMEDAEGLAEKEGLSFLETSALEAFNVEKAFQTILLDIYQIVRKKALAAQEAGSSTGVPHGTTIKVSNLQDNGTKNACCST >KJB77877 pep chromosome:Graimondii2_0_v6:12:33443273:33444672:1 gene:B456_012G162600 transcript:KJB77877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPKENCVNKNGNGEGESESEGVGTAEIIIVGAGVAGAALAYSLGKDGRRVRVIERDLNAPNRIAGEALMPGGYLKLIELGLEDK >KJB77115 pep chromosome:Graimondii2_0_v6:12:27572918:27573729:-1 gene:B456_012G120600 transcript:KJB77115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKLIRTSLIEDEANKVLKKTDWCGGTWIIQVYTRLEVATRNSLNWNHCCTKETKKQKNRLSFIFNGNGET >KJB76508 pep chromosome:Graimondii2_0_v6:12:16303688:16310655:-1 gene:B456_012G092600 transcript:KJB76508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNEDRSETSSERRTKKLNFLESLAEFTAVSNGLLAWKILEDLTNHIDLVLSEVVMPCLSGIGLLCKIMNHKTRKNIPVIMMSSHDSMNIVLKCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSGSGSGSGIQTQKSTKSKSGDSDNNTGNNKEDDIGSVGLNAQDRSDNGSGTQSSWTKRAIEVDSSQPISARDQVMHSRSEVLGNIWVPVTITRECDGRDDELVKGKDLEIGVPKITALQLENPSEKVNTNVAGGNQEKLSELNPSKDDEKLEKAQLELTGEKLGVDLVNQAADVIGVISKNTDAQIESAVFDIPDGLPQVSDTKGKVIYKTKEMPSLELSLKRLIDVGDSGTSAPERNVLRHSDLSAFSRYNSGATANQAPVGNVGSCSPLDNSLEAANTDSMKNFHSNSNNMPPNQQSNSNNNDMGSTTNNAFSKSAVLNDKPASKTSVPSSAFQPVQKGHATAMQPPAEDKADAAIGKKILAKAKGTDQQVRVQHHHHHYYHYHHHVHKMPQNQTLDNQDDLCQCGSSNMSSAPHVEANAGNHSSNGSAPESNHGSNGQNGNITALNSRELNLESENGLLGKGGTVGGIGFGSSNGADQNRFAQREAALNKFRQKRKERCFEKKVRYQSRKKLAEQRPRIRGQFVRQVPENKNKDTNC >KJB76509 pep chromosome:Graimondii2_0_v6:12:16304000:16310459:-1 gene:B456_012G092600 transcript:KJB76509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNEDRSETSSERRTKKLNFLESLAEFTAVSNGLLAWKILEDLTNHIDLVLSEVVMPCLSGIGLLCKIMNHKTRKNIPVIMMSSHDSMNIVLKCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSGSGSGSGIQTQKSTKSKSGDSDNNTGNNKEDDIGSVGLNAQDRSDNGSGTQSSWTKRAIEVDSSQPISARDQVMHSRSEVLGNIWVPVTITRECDGRDDELDNAVKGKDLEIGVPKITALQLENPSEKVNTNVAGGNQEKLSELNPSKDDEKLEKAQLELTGEKLGVDLVNQAADVIGVISKNTDAQIESAVFDIPDGLPQVSDTKGKVIYKTKEMPSLELSLKRLIDVGDSGTSAPERNVLRHSDLSAFSRYNSGATANQAPVGNVGSCSPLDNSLEAANTDSMKNFHSNSNNMPPNQQSNSNNNDMGSTTNNAFSKSAVLNDKPASKTSVPSSAFQPVQKGHATAMQPPAEDKADAAIGKKILAKAKGTDQQVRVQHHHHHYYHYHHHVHKMPQNQTLDNQDDLCQCGSSNMSSAPHVEANAGNHSSNGSAPESNHGSNGQNGNITALNSRELNLESENGLLGKGGTVGGIGFGSSNGADQNRFAQREAALNKFRQKRKERCFEKKVRYQSRKKLAEQRPRIRGQFVRQVPENKNKDTNC >KJB76507 pep chromosome:Graimondii2_0_v6:12:16304000:16308514:-1 gene:B456_012G092600 transcript:KJB76507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVQMNNNSPVTSGLVELNTHICDENTNIMDGVTGEGQGLSDEDESRINEDVENRNKGKKAVVQRRGPLVCWERFLPLRSLKVLLVENDDSTRHVVCALLRNCGYEVTAVSNGLLAWKILEDLTNHIDLVLSEVVMPCLSGIGLLCKIMNHKTRKNIPVIMMSSHDSMNIVLKCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSGSGSGSGIQTQKSTKSKSGDSDNNTGNNKEDDIGSVGLNAQDRSDNGSGTQSSWTKRAIEVDSSQPISARDQVMHSRSEVLGNIWVPVTITRECDGRDDELDNAVKGKDLEIGVPKITALQLENPSEKVNTNVAGGNQEKLSELNPSKDDEKLEKAQLELTGEKLGVDLVNQAADVIGVISKNTDAQIESAVFDIPDGLPQVSDTKGKVIYKTKEMPSLELSLKRLIDVGDSGTSAPERNVLRHSDLSAFSRYNSGATANQAPVGNVGSCSPLDNSLEAANTDSMKNFHSNSNNMPPNQQSNSNNNDMGSTTNNAFSKSAVLNDKPASKTSVPSSAFQPVQKGHATAMQPPAEDKADAAIGKKILAKAKGTDQQVRVQHHHHHYYHYHHHVHKMPQNQTLDNQDDLCQCGSSNMSSAPHVEANAGNHSSNGSAPESNHGSNGQNGNITALNSRELNLESENGLLGKGGTVGGIGFGSSNGADQNRFAQREAALNKFRQKRKERCFEKKVRYQSRKKLAEQRPRIRGQFVRQVPENKNKDTNC >KJB76511 pep chromosome:Graimondii2_0_v6:12:16303688:16310655:-1 gene:B456_012G092600 transcript:KJB76511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVQMNNNSPVTSGLVELNTHICDENTNIMDGVTGEGQGLSDEDESRINEDVENRNKGKKAVVQRRGPLVCWERFLPLRSLKVLLVENDDSTRHVVCALLRNCGYEVTAVSNGLLAWKILEDLTNHIDLVLSEVVMPCLSGIGLLCKIMNHKTRKNIPVIMMSSHDSMNIVLKCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSGSGSGSGIQTQKSTKSKSGDSDNNTGNNKEDDIGSVGLNAQDRSDNGSGTQSSWTKRAIEVDSSQPISARDQVMHSRSEVLGNIWVPVTITRECDGRDDELVKGKDLEIGVPKITALQLENPSEKVNTNVAGGNQEKLSELNPSKDDEKLEKAQLELTGEKLGVDLVNQAADVIGVISKNTDAQIESAVFDIPDGLPQVSDTKGKVIYKTKEMPSLELSLKRLIDVGDSGTSAPERNVLRHSDLSAFSRYNSGATANQAPVGNVGSCSPLDNSLEAANTDSMKNFHSNSNNMPPNQQSNSNNNDMGSTTNNAFSKSAVLNDKPASKTSVPSSAFQPVQKGHATAMQPPAEDKADAAIGKKILAKAKGTDQQVRVQHHHHHYYHYHHHVHKMPQNQTLDNQDDLCQCGSSNMSSAPHVEANAGNHSSNGSAPESNHGSNGQNGNITALNSRELNLESENGLLGKGGTVGGIGFGSSNGADQNRFAQREAALNKFRQKRKERCFEKKVRYQSRKKLAEQRPRIRGQFVRQVPENKNKDTNC >KJB76510 pep chromosome:Graimondii2_0_v6:12:16303688:16310756:-1 gene:B456_012G092600 transcript:KJB76510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVQMNNNSPVTSGLVELNTHICDENTNIMDGVTGEGQGLSDEDESRINEDVENRNKGKKAVVQRRGPLVCWERFLPLRSLKVLLVENDDSTRHVVCALLRNCGYEVTAVSNGLLAWKILEDLTNHIDLVLSEVVMPCLSGIGLLCKIMNHKTRKNIPVIMMSSHDSMNIVLKCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSGSGSGSGIQTQKSTKSKSGDSDNNTGNNKEDDIGSVGLNAQDRSDNGSGTQSSWTKRAIEVDSSQPISARDQVMHSRSEVLGNIWVPVTITRECDGRDDELVKGKDLEIGVPKITALQLENPSEKVNTNVAGGNQEKLSELNPSKDDEKLEKAQLELTGEKLGVDLVNQAADVIGVISKNTDAQIESAVFDIPDGLPQVSDTKGKVIYKTKEMPSLELSLKRLIDVGDSGTSAPERNVLRHSDLSAFSRYNSGATANQAPVGNVGSCSPLDNSLEAANTDSMKNFHSNSNNMPPNQQSNSNNNDMGSTTNNAFSKSAVLNDKPASKTSVPSSAFQPVQKGHATAMQPPAEDKADAAIGKKILAKAKGTDQQVRVQHHHHHYYHYHHHVHKMPQNQTLDNQDDLCQCGSSNMSSAPHVEANAGNHSSNGSAPESNHGSNGQNGNITALNSRELNLESENGLLGKGGTVGGIGFGSSNGADQNRFAQREAALNKFRQKRKERCFEKKVRYQSRKKLAEQRPRIRGQFVRQVPENKNKDTNC >KJB76512 pep chromosome:Graimondii2_0_v6:12:16304000:16308514:-1 gene:B456_012G092600 transcript:KJB76512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVQMNNNSPVTSGLVELNTHICDENTNIMDGVTGEGQGLSDEDESRINEDVENRNKGKKAVVQRRGPLVCWERFLPLRSLKVLLVENDDSTRHVVCALLRNCGYEVTAVSNGLLAWKILEDLTNHIDLVLSEVVMPCLSGIGLLCKIMNHKTRKNIPVIMMSSHDSMNIVLKCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSGSGSGSGIQTQKSTKSKSGDSDNNTGNNKEDDIGSVGLNAQDRSDNGSGTQSSWTKRAIEVDSSQPISARDQVMHSRSEVLGNIWVPVTITRECDGRDDELDNAVKGKDLEIGVPKITALQLENPSEKVNTNVAGGNQEKLSELNPSKDDEKLEKAQLELTGEKLGVDLVNQAADVIGVISKNTDAQIESAVFDIPDGLPQVSDTKGKVIYKTKEMPSLELSLKRLIDVGDSGTSAPERNVLRHSDLSAFSRYNSGATANQAPVGNVGSCSPLDNSLEAANTDSMKNFHSNSNNMPPNQQSNSNNNDMGSTTNNAFSKSAVLNDKPASKTSVPSSAFQPVQKGHATAMQPPAEDKADAAIGKKILAKAKGTDQQVRVQHHHHHYYHYHHHVHKMPQNQTLDNQDDLCQCGSSNMSSAPHVEANAGNHSSNGSAPESNHGSNGQNGNITALNSRELNLESENGLLGKGGTVGGIGFGSSNGADQNRFAQREAALNKFRQKRKERCFEKKVRYQSRKKLAEQRPRIRGQFVRQVPENKNKDTNC >KJB77869 pep chromosome:Graimondii2_0_v6:12:33380763:33382590:1 gene:B456_012G161900 transcript:KJB77869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPPIGELPEMGLLENPNIFNQWCIDELSVLPVPVPVSASFGDNSQHYEASSSRPATIDKPQKQLKITNCCKSGKTHISTDIQSSFSPHTLPFSSSNYNPFGNQNFLVKGYHDTNNRISQSKDHIMAERKRREKLSEKFIALSALVPGLKKMDKATVLGDAIKYMKQLQEKVKTLEEQARQKSIESVVFVKKYQLLGDINAQFSSWDEISSAPFDEPLPEIEARFCDQSVLISIHCEKRKGFPEKIISAIEKFNLTVINSNVMTFGSCALHITIVAQMDMEFCMTIKELVKNLHSAFIFLV >KJB76529 pep chromosome:Graimondii2_0_v6:12:16846643:16848053:-1 gene:B456_012G094200 transcript:KJB76529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNERGSISPISIPTTFSYSPSSSFSSPLSQFSPSLPSPDSQSSSPPRAAAASPQLVLSPCAACKILRRRCVDKCVLAPYFPPTEPSKFIIAHRVFGASHIIKSLQELPESQREDAVSSMVYEASSRIRDPVYGCAGAIFQLQKQVSDLQAQLAKAQAEVVTLQCQQANVLTLILEMSQSKEPIDTTCFLDDTCIVSPWEPLWT >KJB78042 pep chromosome:Graimondii2_0_v6:12:34380069:34381369:1 gene:B456_012G174300 transcript:KJB78042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNVEVMSKDIIKPSIPTSDQFRRYRLSFLDQISPPVYNPLILFYRLNITEGNIKTDDKIKIIDHLKQSLSDVLTYFYPLAGRIKDNMFVNCNDEGVPFRETRVQCKISDVLDNPVPDELNKLLLFVLDDADEVPFGVQFNVFDCGGFAIGVCISHKIADALSFFTFLKTWAAVCRGDPRSSIAFPEFVSAELFPPKTTLGFEPRSGISTDKIVTKRFVFTALKIQEIKVNIGDTTYSSRIAALSAFIWTRFIAATQADATHEGKDNRFYAIVHAVNLRKRFNPPLPDHSFGNLYRIAMTIPTKNSQQDCRNLVNQIGESIRKIDAENIKRLRDGSGRYLEFMKEGTESFMKGEMITFNVTSLCNFPIYEVDFGWGKPAWVGSVGLTFKNLIVFMDPINGDGIEAWISLKEEEMGTFENDEIMRGFVV >KJB74713 pep chromosome:Graimondii2_0_v6:12:450246:451996:1 gene:B456_012G003800 transcript:KJB74713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNSNGFGSAGAVAATEFVRRHHRHETGENQCSSAVVKRIKAPVPLVWSLVRRFDQPQKYKPFVSRCVAQGNLEIGSLREVDVKSGLPATTSTERLELLDDDEHILSIRIIGGDHRLKEAFYCENLVKEQCERLINGLVAAKTGGELSFEEL >KJB74714 pep chromosome:Graimondii2_0_v6:12:449941:453757:1 gene:B456_012G003800 transcript:KJB74714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNSNGFGSAGAVAATEFVRRHHRHETGENQCSSAVVKRIKAPVPLVWSLVRRFDQPQKYKPFVSRCVAQGNLEIGSLREVDVKSGLPATTSTERLELLDDDEHILSIRIIGGDHRLKNYSSIISLHPEIIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLADVSEGLAVQDRTEPIDL >KJB74715 pep chromosome:Graimondii2_0_v6:12:449941:452994:1 gene:B456_012G003800 transcript:KJB74715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNSNGFGSAGAVAATEFVRRHHRHETGENQCSSAVVKRIKAPVPLVWSLVRRFDQPQKYKPFVSRCVAQGNLEIGSLREVDVKSGLPATTSTERLELLDDDEHILSIRIIGGDHRLKNYSSIISLHPEIIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLADVSEGLAVQDRTEPIDL >KJB76585 pep chromosome:Graimondii2_0_v6:12:17367399:17371455:1 gene:B456_012G095400 transcript:KJB76585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ENLPFNLFNTLMPESGLKSCETKTKEPLKAEKPFGFRSSILVGYLPQTSAEALFAIQLGDNLSPSYSPLLIRTTMPDFSRLAEATVSTLEMFEWNKVTLIYEDMEFSNAIIPYLTDALVNKDIQLSHKIAIPTFPEDFQILERLEVLMSSQSEVFVVHMSSNLASRLFVAANKEGMMGKGFAWPVTDGLSNFVDTMDPIAIGSMKGVIGLRPYIPKTTALKNFRTRYKRISSMKQNKAATKLNLLGLWLYDIVWGFGMTVERIGNVNSGFLKEMNDRTWLIGILNPSFRGISGILDLVNRQFQPSVFEIFNITGKRNRVIGYWTNGQGTSKNNNSTSRNTPRILQAEEPTRAKWRIGVPSKKGFTEFVNIQSGNKSNDDELPGFSIEVFRKVWDLALPSTTSYEFVNIDGTYDDLCCQVKYQPLSWDLWLVIIAICIFIGIVVRVLERRENTEFNGSARKQLSTILMFPCQSVAIPQRDMVVTNGSRLVLVVWIFLALILMQSYTANLSSILTVNQLQPTIPSIKELRKSYVGYQNHSFVKGFLINQLGFQESMLKPYCSVDDYQEALSKGSENEGVSAIFDEIPYIKLFLAQYTTGYLMVGPTYRTDGLGFALPIGSPMVANFSRAILNFTQRKYMNSLEKKYFGMVSIDQDETGAVSSASPSLTSR >KJB74601 pep chromosome:Graimondii2_0_v6:12:13524447:13526498:-1 gene:B456_012G082100 transcript:KJB74601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLFKELCLSHKKRLPTEDKSGAGIRIHDNNEGKESSTVRFEGEVSLGDLIWLKLLGEAWWPAVVCLLLWLFDLATSSLFRPFKD >KJB77691 pep chromosome:Graimondii2_0_v6:12:32514739:32517887:-1 gene:B456_012G151400 transcript:KJB77691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPFSFRRPSIRRRRPKSTAVPQSPKPIPTAGAVASSTSPPPPSTGNLIGGGGGGGGVVAAGKGKKKAAGARLWMRFDAMGVSELVEYDKSTIIKRASIPARDLRILGPVFSHSSTILAREKAMVVNLEFIKAIVTAEEVLILDPLQQEVLQFVDQLRLQLPHKSAYKTQGAGAADVNDSETHVSTGGRWLPVPEAMEGLQCELPFEFQVLEIALEVVCSFLDKSVAELETDAYPVLDELARNVSTKNLEHVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMSNLYLTRKWIQNQQLEALSGGAASNSITTISPRLPRLSSNRSASLVSSHNLDDDDVEDLEMLLEAYFMQLDGTRNKILSVSISVCIIQNRCIDFHLLDLITISSVEFTGPRIHR >KJB77690 pep chromosome:Graimondii2_0_v6:12:32514636:32518091:-1 gene:B456_012G151400 transcript:KJB77690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPFSFRRPSIRRRRPKSTAVPQSPKPIPTAGAVASSTSPPPPSTGNLIGGGGGGGGVVAAGKGKKKAAGARLWMRFDAMGVSELVEYDKSTIIKRASIPARDLRILGPVFSHSSTILAREKAMVVNLEFIKAIVTAEEVLILDPLQQEVLQFVDQLRLQLPHKSAYKTQGAGAADVNDSETHVSTGGRWLPVPEAMEGLQCELPFEFQVLEIALEVVCSFLDKSVAELETDAYPVLDELARNVSTKNLEHVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMSNLYLTRKWIQNQQLEALSGGAASNSITTISPRLPRLSSNRSASLVSSHNLDDDDVEDLEMLLEAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFAIAVETLIAGWFGMNIPFTWNNKEGIFEIFVGGITVGCVLIFLLILGYARWKKLIGS >KJB77819 pep chromosome:Graimondii2_0_v6:12:33203644:33204566:1 gene:B456_012G159100 transcript:KJB77819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGIDKHVDLQGSSAMRLLKLFVENGAYVVIADILDEPGTMLADSIGACYIHCDVPKESNAESAIQLAITWKGKLDILFSNAGIGGIARSITSLDMEQVKHLILINLLGKVHAIKHAARAMLRCSTKGSIICKSSSTGIIGGLASHPYSLSKPVKTLVGEEGILLRGKAATVEDVAQTAVFLASDDNGFITAHDLVIDGGYTSAISSEFHLQVVKN >KJB76068 pep chromosome:Graimondii2_0_v6:12:10253259:10254892:1 gene:B456_012G069600 transcript:KJB76068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMVVHDDCELKFLELKAKRTYHFIVFKIEEKHKQVIVEKLGEPTDRYEAFTSSLPADECRYAVYDFDFVTDENCQKSRIIFIAWSPDTSKVRSKMVYASSKDRFKRELNGIQVELQATDPTEMGLDVIRSRAT >KJB77829 pep chromosome:Graimondii2_0_v6:12:33224816:33225700:1 gene:B456_012G159600 transcript:KJB77829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACATKPKVLKDENSPATVPAPEPVKEESVQPVETKDEPVVVKEADETKPNSLGSLLDNEEKSNETKVETITAEEPKIKEEAAIVEAPKKVEEAIVEAPKEAPKKEEVIVEAKTMVEAEKQKKKEEEEEEEEEVIVLAEKKSEGAKKEEPIVKEVKTEAPIV >KJB77828 pep chromosome:Graimondii2_0_v6:12:33224746:33225700:1 gene:B456_012G159600 transcript:KJB77828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACATKPKVLKDENSPATVPAPEPVKEESVQPVETKDEPVVVKEADETKPNSLGSLLDNEEKSNETKVETITAEEPKIKEEAAIVEAPKKVEEAIVEAPKEAPKKAEVIVEAPKEAPKKEEVIVEAKTMVEAEKQKKKEEEEEEEEEVIVLAEKKSEGAKKEEPIVKEVKTEAPIV >KJB78345 pep chromosome:Graimondii2_0_v6:12:26554807:26556643:-1 gene:B456_012G115000 transcript:KJB78345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD78-1D MAGFVISGLKPLPCIYDRPAAGVISTSSSKSRFLTKNKNFPGLKTLNPIKSRNWGLNVSAPFRVASVEEDEGRKERNHGINGFEEQEQEAGFDPGAPPPFKLADIRAAIPKHCWVKDPWKSMSYVVRDVAVVLGLAAAAVYVNNWIVWPLYWAAQGTMFWALFVLGHDCGHGSFSNDPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIYRSLDTLTRTLRFILPFPMLAFPFYLWNRSPGKSGSHFDPSSDLFVPTERKDVITSTLSWTAMAAILVGLGFTMGPMQLLKLYGIPYWIFVMWLDGVTYLHHHGHEEKLPWYRGKVYEICWSNLIC >KJB78342 pep chromosome:Graimondii2_0_v6:12:26553772:26556716:-1 gene:B456_012G115000 transcript:KJB78342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD78-1D MAGFVISGLKPLPCIYDRPAAGVISTSSSKSRFLTKNKNFPGLKTLNPIKSRNWGLNVSAPFRVASVEEDEGRKERNHGINGFEEQEQEAGFDPGAPPPFKLADIRAAIPKHCWVKDPWKSMSYVVRDVAVVLGLAAAAVYVNNWIVWPLYWAAQGTMFWALFVLGHDCGHGSFSNDPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIYRSLDTLTRTLRFILPFPMLAFPFYLWNRSPGKSGSHFDPSSDLFVPTERKDVITSTLSWTAMAAILVGLGFTMGPMQLLKLYGIPYWIFVMWLDGVTYLHHHGHEEKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATEAARPVLGKYYREPEQSGPLPFHLIGSLMRSLKKDHYVSDTGDVVYYQTDPELKKNAS >KJB78344 pep chromosome:Graimondii2_0_v6:12:26553834:26556643:-1 gene:B456_012G115000 transcript:KJB78344 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD78-1D MAGFVISGLKPLPCIYDRPAAGVISTSSSKSRFLTKNKNFPGLKTLNPIKSRNWGLNVSAPFRVASVEEDEGRKERNHGINGFEEQEQEAGFDPGAPPPFKLADIRAAIPKHCWVKDPWKSMSYVVRDVAVVLGLAAAAVYVNNWIVWPLYWAAQGTMFWALFVLGHDCGHGSFSNDPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIYRSLDTLTRTLRFILPFPMLAFPFYLWNRSPGKSGSHFDPSSDLFVPTERKDVITSTLSWTAMAAILVGLGFTMGPMQLLKLYGIPYWIFVMWLDGVTYLHHHGHEEKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEAVSTFTYLFFFSTLMLSYFIGFLIVMLV >KJB78343 pep chromosome:Graimondii2_0_v6:12:26553834:26556643:-1 gene:B456_012G115000 transcript:KJB78343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD78-1D MAGFVISGLKPLPCIYDRPAAGVISTSSSKSRFLTKNKNFPGLKTLNPIKSRNWGLNVSAPFRVASVEEDEGRKERNHGINGFEEQEQEAGFDPGAPPPFKLADIRAAIPKHCWVKDPWKSMSYVVRDVAVVLGLAAAAVYVNNWIVWPLYWAAQGTMFWALFVLGHDCGHGSFSNDPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIYRSLDTLTRTLRFILPFPMLAFPFYLWNRSPGKSGSHFDPSSDLFVPTERKDVITSTLSWTAMAAILVGLGFTMGPMQLLKLYGIPYWVDFRDVAGWGYILASPWS >KJB77875 pep chromosome:Graimondii2_0_v6:12:33436148:33436469:1 gene:B456_012G162400 transcript:KJB77875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYIVGVAGVIAFLLGFVFLVRSWGLSKLKRAASMEFPKESCVNKNGNGEGEGEAVGTTEIIIVGAGVAGAALAYSLGKVPIFTLLYFNFIVLSSELG >KJB75293 pep chromosome:Graimondii2_0_v6:12:4416921:4418181:-1 gene:B456_012G035700 transcript:KJB75293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGQKASNLVRIVSQLPNEKETVYGALDKWVAWETEFPLIAAAKALRILRKRSQWLRVIQAGSLWNTVLHTHSCSISKRLFSRMISLFDHHCMPNKIIEVFADMEELCVRLDENTVKKVVRAFQELSQEDKQKLVLRRYMIKWKYIHFNGEQVRVKRYTSDED >KJB74778 pep chromosome:Graimondii2_0_v6:12:785791:787861:-1 gene:B456_012G006700 transcript:KJB74778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKFEAQILSKEIIKPSSPEIHKKEPFKLCVFDQLTPTTYAPIIVFYAPSDTNTTNILTQLKKSLSETLNILYPFSGRIFSNMFIHDFDAGVPFLSARIGCRLSEFLRHHQIETLNNLLPCPPFYKESNHQGPLLVCQVTMFACGGVALGICASHKITDAKTGFILTFVWRTICQGYHPEIKFPALSKASLIFPPKNPMPKNYISMMENLWFTKGNFITRKFVFNEEAIAILKDMAKGEVQTTPTRTEAVSGFIWKCSMAASRAIQGTLKPSIVVQAVNMRSKGKLTTLDGSVGNVFWWASALSNPAETGTELSTLVELMSQSIAVFDDEYMRSIQGEQGFEAIAEHLNQLELLFSFEKPDIFAFTSWINTDFHKLDFGWGQPCSFAILGKAGPEFRNFTVLVETKYGKGIEAWITLDETKMSALQKDHEFLNFASPSPQISSL >KJB75405 pep chromosome:Graimondii2_0_v6:12:4989645:4993245:1 gene:B456_012G040100 transcript:KJB75405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKHHHNSNSAAAAQSLSFDDIADFFSLPLDDAASTLGVCTSVLKKICRENGLDRWPHRKFLAGKSVEEIKRHAARERRREIAELSKAHRQSSQPQPQNNEQPKLQGGSALPNLQQQGIRNIQTAQALNFSHQSLMTGAAMSDEFKFGFPSDGLSFTTNKWWGSSKSDCNEVGDADGAETEGEDKHQTIEEPDYMANDKPDQNGKIEDGISPQGSSLLAAIRKRSMEEGREALELGVYKGRGMKRLGSRKASLLLRIFKSSLPDVWIHDPS >KJB75403 pep chromosome:Graimondii2_0_v6:12:4989657:5000646:1 gene:B456_012G040100 transcript:KJB75403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKHHHNSNSAAAAQSLSFDDIADFFSLPLDDAASTLGVCTSVLKKICRENGLDRWPHRKFLAGKSVEEIKRHAARERRREIAELSKAHRQSSQPQPQNNEQPKLQGGSALPNLQQQGIRNIQTAQALNFSHQSLMTGAAMSDEFKFGFPSDGLSFTTNKWWGSSKSDCNEVGDADGAETEGEDKHQTIEEPDYMANDKPDQNGKIEDGISPQGSSLLAAIRKRSMEEGREALELGVYKGRGMKRLGSRKASLLLRIFKSSLPDVWIHDPS >KJB75404 pep chromosome:Graimondii2_0_v6:12:4989657:4993245:1 gene:B456_012G040100 transcript:KJB75404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPTSSLFPLTTPLPLLAFAPLFSRKSAVKMVSTDGLTASSQPQPQNNEQPKLQGGSALPNLQQQGIRNIQTAQALNFSHQSLMTGAAMSDEFKFGFPSDGLSFTTNKWWGSSKSDCNEVGDADGAETEGEDKHQTIEEPDYMANDKPDQNGKIEDGISPQGSSLLAAIRKRSMEEGREALELGVYKGRGMKRLGSRKASLLLRIFKSSLPDVWIHDPS >KJB74825 pep chromosome:Graimondii2_0_v6:12:984860:988378:-1 gene:B456_012G009100 transcript:KJB74825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQTVKWKHYFLYLAFIYAILYFLHTNLLLNNRPIRIKKWPHLPLRFRHDGTFKILQVADMHFGSGLLSRCRDVLPSHFHYCSDLNTTRFLKTMIQLEKPDFVAFTGDNIFGPSTTDAAESLLSAFGPVMESGIPWAAVLGNHDQESSMTREELMSFISLMDYSLSQTNPPSKDINNVKRGMFLDIDGFGNYNLSVYGAPGSHLANSSVLNLFFLDSGDRETVQGVRTYGWIKESQLNWLRSASRELQVQNEEVKYVIKTQPVDASAALAFFHIPIPEVRQLYYQKFVGRFGEGVACSSVNSGVLKTLVSIKDVKAVFIGHDHTNDFCGNLEGIWFCYGGGFGYHGYGRIGLARRARVILAELRKGDKEWMGVERIKTWKRLDDENLSKIDEQVLWELSPSR >KJB76606 pep chromosome:Graimondii2_0_v6:12:19653095:19653577:1 gene:B456_012G0969001 transcript:KJB76606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRHRLRKDHGIEGGIPVVFSLEKPKAKLLPFRGPSGEEDNPSDYQRGNSSNILKQNLRIESTFGWS >KJB76474 pep chromosome:Graimondii2_0_v6:12:15735181:15737530:-1 gene:B456_012G091000 transcript:KJB76474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSITVKLYSVFFKMRLKNRLQGWIQTPPDESSNQEGVRTRPEEPVSASNPYFTDGIATKDIRVDPSTALSVRIFLPESALLPSEQPQPKSKAEESSLKSENNGDQGYTPSGQNCRKLPIMLQFHGGCWVSGSNDSVANDSFCQRIAKLCDAIVVAVGYRLAPESKYPAAFEDGLKVLNWLGKQSNNSTGDEPWLVAHADPSRCVLLGVSCGANIADYVARRTVELGKELDPVKVVAQILMYPFFLANEPTQSEIRLANTYFYDKAICLLAWQLFLPKDEFSLEHPAANPLAPNRGPPLKLMPPTLTVVAEYDWMRDQGVAYSEELRKVNVPAPLLDYKDTVHEFATLEMLLKTPQAEACAEDICIWVKKYISLGCHEFSY >KJB74655 pep chromosome:Graimondii2_0_v6:12:80700:83808:1 gene:B456_012G000700 transcript:KJB74655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSIPTAKSGSNWLDRLRSSKGFPTGDNLDLDHFLANPNPSDSPITNASDSPNSNSESTHSNDGQLQNPKPPPPEAISSDPEGDKEWFGIMRNVLSELFNMGEQAQTSRFSRKKASRKQTNPRICTFKTPEEQKSSSDNVRNDKDTLVSTRSSNSREESKEEGENNNVEEDKEEGEGEGERELKGYSRSEVTVIDTSCPVWKVDKLIFRRKNIWKVKDKKGKSRTIGRKKRKTPPSDLNNVGISNKKPKISSLELRSLKETSGRECGSPANHMTKTKKFVMRDLLILPKFLERDYLGNQEREVLLSL >KJB74654 pep chromosome:Graimondii2_0_v6:12:80628:83808:1 gene:B456_012G000700 transcript:KJB74654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSIPTAKSGSNWLDRLRSSKGFPTGDNLDLDHFLANPNPSDSPITNASDSPNSNSESTHSNDGQLQNPKPPPPEAISSDPEGDKEWFGIMRNVLSELFNMGEQAQTSRFSRKKASRKQTNPRICTFKTPEEQKSSSDNVRNDKDTLVSTRSSNSREESKEEGENNNVEEDKEEGEGEGERELKGYSRSEVTVIDTSCPVWKVDKLIFRRKNIWKVKDKKGKSRTIGRKKRKTPPSDLNNVGISNKKPKISSLELRSLKETSGRECGSPANHGWNGPDDKDEEVRDEGPADLTQVLGKRLPRKSGKGSSVILMKAIPTGKKNGAKLAKNGLKDSQRQYKT >KJB74656 pep chromosome:Graimondii2_0_v6:12:80700:83808:1 gene:B456_012G000700 transcript:KJB74656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSIPTAKSGSNWLDRLRSSKGFPTGDNLDLDHFLANPNPSDSPITNASDSPNSNSESTHSNDGQLQNPKPPPPEAISSDPEGDKEWFGIMRNVLSELFNMGEQAQTSRFSRKKASRKQTNPRICTFKTPEEQKSSSDNVRNDKDTLVSTRSSNSREESKEEGENNNVEEDKEEGEGEGERELKGYSRSEVTVIDTSCPVWKVDKLIFRRKNIWKVKDKKGKSRTIGRKKRKTPPSDLNNVGISNKKPKISSLELRSLKETSGRECGSPANHGWNGPDDKDEEVRDEGPADLTQVLGKRFPFSRLPRKSGKGSSVILMKAIPTGKKNGAKLAKNGLKDSQRQYKT >KJB75818 pep chromosome:Graimondii2_0_v6:12:8276282:8277655:1 gene:B456_012G059100 transcript:KJB75818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMEKFTEKERELRTSSLTRKQPNTGSKETSLDEVLCRHKERKPFAYGLCNECYEEVSTHVPTRRSYLLLPATIVPLETVLSLKLKFMLLLVGAVHKGFRRARWRIKSTSIPAC >KJB75181 pep chromosome:Graimondii2_0_v6:12:5108938:5111995:1 gene:B456_012G041000 transcript:KJB75181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTTISTKIFLLFCLLFSPGRGVSKKVDISSEQKDITTPITTMPTIIPTTTPTSSSPVLNPTSNPDTASPMIMTPMTMAPATTTSGGLGGSWCVASQSASERAVQVALDYACGHGGADCAAIQPGGMCYNPNTVHAHASYAFNSYFRKNPVPSSCNFGGTAVTTNIDPSSGTCQYQSTR >KJB75180 pep chromosome:Graimondii2_0_v6:12:5108836:5112517:1 gene:B456_012G041000 transcript:KJB75180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTTISTKIFLLFCLLFSPGRGVSKKVDISSEQKDITTPITTMPTIIPTTTPTSSSPVLNPTSNPDTASPMIMTPMTMAPATTTSGGLGGSWCVASQSASERAVQVALDYACGHGGADCAAIQPGGMCYNPNTVHAHASYAFNSYFRKNPVPSSCNFGGTAVTTNIDPSSGTCQYQSTSTTSSILNTTNSNGSNVFGAVPYNPSPPSAAAVRLRPPGQPFFTTLTCLGIYYQRSR >KJB77752 pep chromosome:Graimondii2_0_v6:12:32906528:32910778:-1 gene:B456_012G155100 transcript:KJB77752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSSLLVDRQARMGVEKSDVESSEDEKKTRLGGLKKKAVSSSTKFRHSLKKIRRHSRVMSADCIEDELDAKELQSLTAFRQALFVDDLLPTKHDDHHMMLRFLKARKFDQDKAKQMWADMLQWRKDFGADTIREDFDFKEYDEVIKYYPQGYHGVDKGGRPVYIERLGQVDVNKLTQVTTIDRYLRYHVKDFEKTLNVKFPAASISAKRHITQNTTILDVEGVGLKSFNKSARELLQRLQKIDGDNYPETLNRMFIINAGSGFKLLWSTVKSFLDPKTTAKIHVLGNKYHSKLLEIIDASELPEFFGGSCNCADKGGCMVSDKGPWNDPEILKRVENGEAKCTWRTLSGIDEKTCRVLNSFDTEISMDNAECPAEAPLLSPVPETPIKKKCQDSFTYDKLIPIVDKGVDTSWPKPVENEMLAISKDCYQVKNGRKESDRIGSSIFGGIMAFVMGIVTMVRMSRTAPKKQSEATVYSGGQVYYPKPITAPASQLPAPITSAEFITMAKRMAELEEKVVVLSAKPAVMPPDKEEMLNAALRRVCILEKELSEAKRALEEALNKQRMLQTFIAKSEMKKSASHQSFYCFKC >KJB77076 pep chromosome:Graimondii2_0_v6:12:27385834:27387615:-1 gene:B456_012G119400 transcript:KJB77076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRFDLFFHFYFPVHSSPFFSRSLVGANHTSARFCSLSVIMEPATGKSSLFRNILARALIFGVLIMVFRFAYVVSITGESCILSSFCFFSLPQNLNFIVPGAGVSAIVGNDAVSRTDSRRDLYTSKEWIRAVHFYSSVFQDLISEGLLSPHSKSLCVETPLGQEVFALKEIGVENSIGIFKKAAKPLVVKGEGHQIPFDDNSFDFIFSGGARLDASARPADFASEIARTLKPEGFVVVHVKANDTYSFNSLLDLFSSFNLVKTIDMAGFDSLMPYIREIVLRKDTVIRNLGGGKIPDGISGNKCSVPGHKRELVQKAEPLIEEEPLKPWITLKRNINNVKYLPSMVDISFKNRYVYVDVGARSYGSSIGSWFRKQYPKQNKTFHVYAIEADKTFHQQYESKKKTVTLLPYAAWVKNETLRFEINRDPGQEEVDELKDKGRGMGRIQPVKSSGGEVDKIQGIDFAEWLKNTVTESDFVVMKMDVEGTEFDLIPRLFKTGAICLIDEIFLECHYNRWQRCCPGQRSAKYEKTYGQCLQLFTSLRESGVLVHQWW >KJB76128 pep chromosome:Graimondii2_0_v6:12:11195869:11201066:1 gene:B456_012G073900 transcript:KJB76128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQTETHRKQKKWLRLLLFPLPLQGHVSPMLQLANLLYSKGFSITIIHTCFISSPKYPSNNPHFTFHSIPNGLSKSECSDADNLVALVSILNANCADPFRDCLVKLLSEVSDEPVAGLISDSTWEFTDSIAKSLQLPRFVLRTNNISSFLALAALALLQEKGYLPIQESQEEKPVIELPPLKVKDIPVFKTQDQEGLHQTIAALVEQTKSYTGLIWNSFEELEHGSLTDFRHNFPVPIFPIGPLHKYFPVPSTSLLSQDQSAISWLNKQAPKSVIYVSFGSVANIEKSEFVEIAWGLANSEQPFLWVVRPGSVIGSEWLEPLPKGFMEEIKERGHIVKWAPQQEVLAHTAVGGFWTHSGWNSTLESICEGVPMICHPCFGDQRVNARYVSDVWRIGVHLENKVDRFEIAKVVRKLLVEAEGHEIRDRILQFKKMANQSTQQQGSSYRSLESLVSLILSSQSQRKL >KJB76469 pep chromosome:Graimondii2_0_v6:12:15425236:15425926:1 gene:B456_012G090000 transcript:KJB76469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKIKIQRLEDLKARQAKYSKRKTGILKKAKELNILCEVDVALLFSSPSGRPTLFVGKNSNGLSSILKRLPNLSFEEREERRAYTIEMLKKTYENSESEFDPLSLSHDTNADTLKVNGFPKLEK >KJB75155 pep chromosome:Graimondii2_0_v6:12:3392346:3396198:-1 gene:B456_012G027400 transcript:KJB75155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEERVNNGINAEEGEYEKNGKKEVKGGGEKSVEEIFQSQEVPLWKNQLTIRAFGVSFLLSIMFSFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKMLQKSGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSERIAKQSDDNGSFKNPSLGWIIGFLFVVSFLGLFSVLPLRKIMVIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVRALGKFFSFSFLWGFFQWFFTAGEDCGFVNFPTFGIKAYKNKFYFDFSTTYVGVGMICPYIINISVLLGGILSWGLMWPLIETRKGDWYPAGIPASNMHGLQGYKVFIAIAMILGDGLYNFFKVFSRTLTGLFRQVRCKQSLPVANRPSTSDTSKKISYDDQRRTEIFLKDQIPVWFSIAGYVTIAIISTIALPHVFHDLKWYYILVIYVFAPTLAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGSHGGVLAGLAACGVMMNIVSTASDLMQDFKTGYLTLASPRAMFVSQVIGTAMGCIVSPCVFWLFYKAFDDFGVPDSQYPAPFAIVYRNMAILGVQGFSALPKNCLLLCYGFFGAAILINLMKDMMGKKWGSFIPLPMAMAIPFYIGPYFAIDMCIGSLILFVWEKLNKAKADAFAPAVASGLICGDGIWTLPSSILALAGVKPPICMKFLSRATNAKVDTFLGS >KJB76127 pep chromosome:Graimondii2_0_v6:12:10999501:11000465:-1 gene:B456_012G073600 transcript:KJB76127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIGCFLVFLAILGIALLNVAEGAGECGRSSPDREAWKLAPCAMAAQDSNAPVSSSCCQQVKKIGQNPRCLCAVMLSNTAKSSGIKPEIAITIPKRCNIADRPVGYQCGEYTLP >KJB75674 pep chromosome:Graimondii2_0_v6:12:6655857:6658699:1 gene:B456_012G051400 transcript:KJB75674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASICYSCIVFPSPSPKPFPFLPKLPHSNPFPSFRTSRLRAANSAPVQEQHYMSIDNLRQFFDLNSGKWTGSFHQFDGNGNLLQQISTRLSASCYGEDELISLIQTLYIEQPQSSTSISGHDEEAEWAEYKIKETNMFTVDKYQQIGFFPNERAFSLRYQTAGMLETVLRQGVLGEDDTGEESPKNVKLPSRQPSIVCENCLYSQAKDRRARAFHIMDPKGFVDMLLIFLEDRGDGPLVHPSLGNIIDGEDRILPFLGKWEGHSVTKRSGVYGSTMTEADTVSYLEMDDKKKLIQDVTSMDSRRGVRTNVHWTGMISNNSVEFGGAYQLTLLPGGMYMGYPSDIAKSVAESKSFHLEFCWLDAPGKRQRLVRTYDAEGLAVSSTYFSEVKL >KJB76616 pep chromosome:Graimondii2_0_v6:12:20129516:20139914:1 gene:B456_012G097600 transcript:KJB76616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSFTVKSVATFIGKEKRLGRFRDLVSLSSMENQTKNKIYFHYNHTDSCNSARWTARESYQFMYERPWQDVLHFFSNVVNARLTLSTMFGTDNGPQVSTCIDVVDDDYKTSEVCDEKEERCGRWERVTFKIILSYSGYAFDGWQKQPGLNTVQEIVEKSLGRFVDDKKARLLKEKSKPLEGCAVVAGRTDKGVSAIRQVCSFYTWRKDVKPCDIEGEINSAAPGKLRVVSVSEVSRVFHPNFSAKWRRYLYIFPLNDQENEKQCCENEKEVESFSFARNCNEPSNKCVESSSSENVENLIFGNNKEFEAPNKPTCFSVCRVNQLLRHLEGKLLSYKMFARDTKASRNIGPPTECFMYHARAAEARIPCLVHEEGRKVMCVELVANRFLRKMVRVLVATSIREAAAGAEEDALLKLMEATCRRATAPPAPPDGLCLVDVGYTDFNPKNCLIP >KJB76613 pep chromosome:Graimondii2_0_v6:12:20129441:20139943:1 gene:B456_012G097600 transcript:KJB76613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSFTVKSVATFIGKEKRLGRFRDLVSLSSMENQTKNKIYFHYNHTDSCNSARWTARESYQFMYERPWQDVLHFFSNVVNARLTLSTMFGTDNGPQTCIDVVDDDYKTSEVCDEKEERCGRWERVTFKIILSYSGYAFDGWQKQPGLNTVQEIVEKSLGRFVDDKKARLLKEKSKPLEGCAVVAGRTDKGVSAIRQVCSFYTWRKDVKPCDIEGEINSAAPGKLRVVSVSEVSRVFHPNFSAKWRRYLYIFPLNDQENEKQCCENEKEVESFSFARNCNEPSNKCVESSSSENVENLIFGNNKEFEAPNKPTCFSVCRVNQLLRHLEGKLLSYKMFARDTKASRNIGPPTECFMYHARAAEARIPCLVHEEGRKVMCVELVANRFLRKMVRVLVATSIREAAAGAEEDALLKLMEATCRRATAPPAPPDGLCLVDVGYTDFNPKNCLIP >KJB76614 pep chromosome:Graimondii2_0_v6:12:20129516:20139914:1 gene:B456_012G097600 transcript:KJB76614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGYAFDGWQKQPGLNTVQEIVEKSLGRFVDDKKARLLKEKSKPLEGCAVVAGRTDKGVSAIRQVCSFYTWRKDVKPCDIEGEINSAAPGKLRVVSVSEVSRVFHPNFSAKWRRYLYIFPLNDQENEKQCCENEKEVESFSFARNCNEPSNKCVESSSSENVENLIFGNNKEFEAPNKPTCFSVCRVNQLLRHLEGKLLSYKMFARDTKASRNIGPPTECFMYHARAAEARIPCLVHEEGRKVMCVELVANRFLRKMVRVLVATSIREAAAGAEEDALLKLMEATCRRATAPPAPPDGLCLVDVGYTDFNPKNCLIP >KJB76615 pep chromosome:Graimondii2_0_v6:12:20129516:20139914:1 gene:B456_012G097600 transcript:KJB76615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSFTVKSVATFIGKEKRLGRFRDLVSLSSMENQTKNKIYFHYNHTDSCNSARWTARESYQFMYERPWQDVLHFFSNVVNARLTLSTMFGTDNGPQTCIDVVDDDYKTSEVCDEKEERCGRWERVTFKIILSYSGYAFDGWQKQPGLNTVQEIVEKSLGRFVDDKKARLLKEKSKPLEGCAVVAGRTDKGVSAIRQVCSFYTWRKDVKPCDIEGEINSAAPGKLRVVSVSEVSRVFHPNFSAKWRRYLYIFPLNDQENEKQCCENEKEVESFSFARNCNEPSNKCVESSSSENVENLIFGNNKEFEAPNKPTCFSVCRVNQLLRHLEGKLLSYKMFARDTKASRNIGPPTECFMYHARAAEARIPCLAARAIRYISFSPVLCCYNFISCRSVPSPRFMRKEGRLCVLSWLQIDSYARWSVCLWRPL >KJB74495 pep chromosome:Graimondii2_0_v6:12:263524:265639:1 gene:B456_012G0024001 transcript:KJB74495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKTGYWWSLDGKFIAFTEVDYSEIPLFRIMHQGKSSVGPEAQEDHAYPFAGASNVKVRLGVVSTTGGPVTWMDLLCGGPNYDDEYLARVNWMHANVLTAQVVNRSQTKLKILKFDIKTGKKDVVMVEELKPWINLHDCFTPLDKGVSRYSAGFIWASEKTGFRHLYLHDANGTCLGPITRGDWMVEQIAGINEAAGLVYFTGTLDGPLESHLYCAKLCPDENSTLQAPVRLTHGKGKHVVVLAHHMRKFVDIYDSLDSPPRVSLCNLIDGSLIMSIYEPPSTIPGLERLQLEPPEIVHIQANDGTRLYGALYKPDATRFGPPPYKTLISVYGGPGVQLVYDSWINTVDMRAQYLRSKGILVWKLDNRGTARRGLKFEGYLKGNIGRVDAEDQLTGAEWLIKKGLAKPAHIGLYGWSYGGYLSAMVLARFPDVFRCAISGAPVTSWDGYDTFYTEKYMGFPSEGAESFEYGSVMHHVNKMKGRLLLVHGMIDENVHFRHTARLVNALVAAGKPYELLIFPDERHMPRRHRDRIYMEERIWEFIDRSL >KJB74494 pep chromosome:Graimondii2_0_v6:12:263244:265972:1 gene:B456_012G0024001 transcript:KJB74494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein THGLAEYIAQEEMDRKTGYWWSLDGKFIAFTEVDYSEIPLFRIMHQGKSSVGPEAQEDHAYPFAGASNVKVRLGVVSTTGGPVTWMDLLCGGPNYDDEYLARVNWMHANVLTAQVVNRSQTKLKILKFDIKTGKKDVVMVEELKPWINLHDCFTPLDKGVSRYSAGFIWASEKTGFRHLYLHDANGTCLGPITRGDWMVEQIAGINEAAGLVYFTGTLDGPLESHLYCAKLCPDENSTLQAPVRLTHGKGKHVVVLAHHMRKFVDIYDSLDSPPRVSLCNLIDGSLIMSIYEPPSTIPGLERLQLEPPEIVHIQANDGTRLYGALYKPDATRFGPPPYKTLISVYGGPGVQLVYDSWINTVDMRAQYLRSKGILVWKIIEEQLDVD >KJB74496 pep chromosome:Graimondii2_0_v6:12:263524:265639:1 gene:B456_012G0024001 transcript:KJB74496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKTGYWWSLDGKFIAFTEVDYSEIPLFRIMHQGKSSVGPEAQEDHAYPFAGASNVKVRLGVVSTTGGPVTWMDLLCGGPNYDDEYLARVNWMHANVLTAQVVNRSQTKLKILKFDIKTGKKDVVMVEELKPWINLHDCFTPLDKGVSRYSAGFIWASEKTGFRHLYLHDANGTCLGPITRGDWMVEQIAGINEAAGLVYFTGTLDGPLESHLYCAKLCPDENSTLQAPVRLTHGKGKHVVVLAHHMRKFVDIYDSLDSPPRVSLCNLIDGSLIMSIYEPPSTIPGLERLQLEPPEIVHIQANDGTRLYGALYKPDATRFGPPPYKTLISVYGGPGVQLVYDSWINTVDMRAQYLRSKGILVWKLDNRGTARRGLKFEGYLKGNIGRVDAEDQLTGAEWLIKKGLAKPAHIGLYGWSYGGYLSAMVLARFPDVFRCAISGAPVTSWDGYDTFYTEKYMGFPSEGAESFEYGSVMHHVNKMKGRLLLVHGMIDENVHFRHTARLVNALVAAGKPYELLIFPDERHMPRRHRDRIYMEERIWEFIDRSL >KJB75925 pep chromosome:Graimondii2_0_v6:12:9188258:9191944:1 gene:B456_012G064800 transcript:KJB75925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVTELLQGGTLRKYLLNLRPKCLDLRVAIGFALDIARAMECLHSHGIIHRDLKPENLILTEDHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAEDLPEDLASIVTSCWQEDPNARPNFSQIIQMLLHYLSTISPPEPVMPPKRTTSENAVLPPESPGTSSLMAARDDVVETPKATEEDQPRSFLFCFNQCY >KJB75926 pep chromosome:Graimondii2_0_v6:12:9188839:9191944:1 gene:B456_012G064800 transcript:KJB75926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNDFFSTQEFNLDAKWLIDPQQLFVGPKIGEGAHAKVYEGKYKDETVAIKVVRRGETPEEIARREGRFAREVAMLSRVQHRNLVKFIGACKEPIMVIVTELLQGGTLRKYLLNLRPKCLDLRVAIGFALDIARAMECLHSHGIIHRDLKPENLILTEDHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAEDLPEDLASIVTSCWQEDPNARPNFSQIIQMLLHYLSTISPPEPVMPPKRTTSENAVLPPESPGTSSLMAARDDVVETPKATEEDQPRSFLFCFNQCY >KJB75928 pep chromosome:Graimondii2_0_v6:12:9188161:9191944:1 gene:B456_012G064800 transcript:KJB75928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNDFFSTQEFNLDAKWLIDPQQLFVGPKIGEGAHAKVYEGKYKDETVAIKVVRRGETPEEIARREGRFAREVAMLSRVQHRNLVKVFIGACKEPIMVIVTELLQGGTLRKYLLNLRPKCLDLRVAIGFALDIARAMECLHSHGIIHRDLKPENLILTEDHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAEDLPEDLASIVTSCWQEDPNARPNFSQIIQMLLHYLSTISPPEPVMPPKRTTSENAVLPPESPGTSSLMAARDDVVETPKATEEDQPRSFLFCFNQCY >KJB75927 pep chromosome:Graimondii2_0_v6:12:9188161:9192027:1 gene:B456_012G064800 transcript:KJB75927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQLFVGPKIGEGAHAKVYEGKYKDETVAIKVVRRGETPEEIARREGRFAREVAMLSRVQHRNLVKFIGACKEPIMVIVTELLQGGTLRKYLLNLRPKCLDLRVAIGFALDIARAMECLHSHGIIHRDLKPENLILTEDHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAEDLPEDLASIVTSCWQEDPNARPNFSQIIQMLLHYLSTISPPEPVMPPKRTTSENAVLPPESPGTSSLMAARDDVVETPKATEEDQPRSFLFCFNQCY >KJB76912 pep chromosome:Graimondii2_0_v6:12:25635220:25638149:-1 gene:B456_012G112200 transcript:KJB76912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfoquinovosyl transferase SQD2 [Source:Projected from Arabidopsis thaliana (AT5G01220) UniProtKB/Swiss-Prot;Acc:Q8S4F6] MTITEMREEENPPLIDPGNDSKHRRIALFVEPSPFSYVSGYKNRFQNFIRYLREMGDEVMVVTTHEGVPQEFYGAKLVGSRSFPCPWYQNVPLSLALSPRIISEVSQFKPDIIHASSPGIMVFGALAIAKLLSVPIVMSYHTHVPVYIPRYTFSWLVKPMWLIITNKIRLWNKGVDSESFHPRYCSHEMRLRLSNGEPEKPLIIHVGRVGVEKSLDFLKSVMDRLPEARIAFIGDGPYREELEKLFTGMPAVFTGMFQGEELSEAYASGDVFVMPSESETLGLVVLEAMSSGIPVVGARAGGIPDIIPAEQEGKTGFLFTPGDIEDCMSKLVPLLQNKELRETIGKAAREEMEKYDWKAATKTIRNEQYEAAIWFWRKKRAEALGPLQWLTKRLFPSPAINFR >KJB76911 pep chromosome:Graimondii2_0_v6:12:25635068:25636480:-1 gene:B456_012G112200 transcript:KJB76911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfoquinovosyl transferase SQD2 [Source:Projected from Arabidopsis thaliana (AT5G01220) UniProtKB/Swiss-Prot;Acc:Q8S4F6] MSTLPANKIRLWNKGVDSESFHPRYCSHEMRLRLSNGEPEKPLIIHVGRVGVEKSLDFLKSVMDRLPEARIAFIGDGPYREELEKLFTGMPAVFTGMFQGEELSEAYASGDVFVMPSESETLGLVVLEAMSSGIPVVGARAGGIPDIIPAEQEGKTGFLFTPGDIEDCMSKLVPLLQNKELRETIGKAAREEMEKYDWKAATKTIRNEQYEAAIWFWRKKRAEALGPLQWLTKRLFPSPAINFR >KJB76769 pep chromosome:Graimondii2_0_v6:12:23821856:23823717:-1 gene:B456_012G106200 transcript:KJB76769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPTAETEQVDKVSRSCAMFRHEKPCSPTLQILAFETARNMSRLVALYKSLTDEEFLKLRNGPMRSPGVAFLNSTDETYLLSLICQEKLEDLNQIASIVSRLAKNCNGEELNKFETAFRNMKQGAVDVRNLDFNSRHVRKIIEKMEEYASATSLLHSSLVVLNELESSEKKMQRLKTNNCPEIPSDKTNLDLYNDKISFQRKQVRYFRQVSLWSKTFDKCVGLMARIVCTVYARICVVFGPFVPGLVCTMFTITTKNYFRRLHVSRTKAFHMKVYPEAEYCLFVKEENHMRKASKSGPIPKASRFKRGPTTRFPSSELSPEAKGLGFLLSGVTATKNNVEANTRRNQRLIQSAPANTVGAAGLALRYANLITMAETYFYSTAIITDDARHLMFEMLPVHMKRKLRVKLRKHWYKEAKESEEQGLTEGWKEALEEIIEWLAPVAHDTLRWQQDRNLEQQKLNAMPSVLLFQTLHFSDLEKTEAAIVQVLIGLSCIYRYENRRKMNGVGYKEPYVTS >KJB77456 pep chromosome:Graimondii2_0_v6:12:31197086:31205519:-1 gene:B456_012G138200 transcript:KJB77456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASQTLGGPSRCGRVLGPSLDKIIKNAAWRKHSHLVSSCKSVLDKLETLPDAALPDPSSPLLGLSSSDADFVLNPILLALDSNYAKVADPALECVFKLFSAGVVRGEIDGKISDSIMYKIVDSVCKVGGIGEESVELAVLRALLSAVRCPCVLIRGDCLLQVVRTLYNIYLGGLNGTNQICAKSVLAQIMLIIFSRADEDSMDVSITTVSVSELLEFTDKNLNEGNSIYFCQNFVSEVMSASEGVPDLKLSQPVPVSQNGESKDEGEEIGSEKMKDEVELCPGGISSKIREDGFIVFKNLCKLSMKFSSQENPEDQVLLRGKTLSLELLKVIMDDGGSIWCSNERFLNAIKQFLCLSLLKNSALSVMSIFQLQCSIFMSLLTKYRSGLKAEIGIFFPMLILRVLENVLQPSFLQKMTILNMLEKIAGDSQIIIDIFVNYDCDVDSPNIFERIVNGLLKTALGPPPGSTTTLSAVQDITFRHESVKCLVGIIKSMGAWMDQQLKIGDSDLPSGSESETSAESHLTPSAEDGVVPDYELHLELNSELSDSATLEQRRAYKIELQKGVQLFNKKPSKGIEFLIKTKKVGNSPEEVASFLKKNTAGLNETMIGDYLGEREEFALRVMHAYVDSFNFKSIDFGEAIRFLLSGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNSMVKDKMTKSDFIRNNRGIDDGKDLPEEYLGALYDQIVKNEIKINADSSVPQSKQANSLNKLLGLDGILNLVSWKQTEEKPLGANGHLIRHIQEQFKAKSGKSESVYHAVSDIAILRFMVEVCWGPMLAAFSVTLDQSDDRLTTTQCILGFRYSVHVTAVMGLQTQRDAFVTSVAKFTFLHCAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGATTDASFLSVSNTEQSLMKKGTLQNPAVMAVVLGGSYDSTTVGVNSSRLVTPEQINHFIANLNLLDQIGNFELNHVFAHSQRLNSEAIVAFVKALCKVSMLELQSPTDPRVFSLIKLVEIAHYNMNRIRLVWSRMWNVLSDFFVSVGLSESLSVAIFVMDSLRQLAMKFLEREELANYNFQNQFLRPFVIIMQKSNSAEIRELIVRCISQMVLSRVTNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFPHITETDATVFTDCVRCLIKFTNSRFNNDASLNAIAFLRFCAVKLAEGGFVCTNKSSDDGSSVSSINKDDSDVKGFAYIDDHGSYWLPLLTGLSKLTSDSRLDIRKSSVEVLFNILKDHGHLFSRVFWVGIFNSVVLPIFDGVCGRREMPVKDEQNLPTLRSSHHDGSTWDTETSTVAAQCLVDLYIGFYSVLRPQLSNVLSVLTGYLRSSIQGPASNGVVAMFRLIGELGSRLSEEEWREICLAIKEAAISMFPGFMKLLRTMDDIEVPDNSPSRSSTEASTDHGFTDHELEDANLQTAAYVVSKMKSHIAIQLLIMQVIIDMYKTNLQFLSAANINIIVDIVSSVASHAQELNSETTLRKKIQKACSILELSDPPLVHFENEAYQNYLNFLQDLIKNNPSVVEKMNLESQLVAVCEKILQIYLNCTINQNTVQISVNEPTSRWVLPLGSAKREELAARTSLLVSALKTLSGLEKDRFRKYIARFFHLLVALIRCEHSSSEVQRVLSDLFQSSIGPIIKQ >KJB77458 pep chromosome:Graimondii2_0_v6:12:31197167:31205453:-1 gene:B456_012G138200 transcript:KJB77458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASQTLGGPSRCGRVLGPSLDKIIKNAAWRKHSHLVSSCKSVLDKLETLPDAALPDPSSPLLGLSSSDADFVLNPILLALDSNYAKVADPALECVFKLFSAGVVRGEIDGKISDSIMYKIVDSVCKVGGIGEESVELAVLRALLSAVRCPCVLIRGDCLLQVVRTLYNIYLGGLNGTNQICAKSVLAQIMLIIFSRADEDSMDVSITTVSVSELLEFTDKNLNEGNSIYFCQNFVSEVMSASEGVPDLKLSQPVPVSQNGESKDEGEEIGSEKMKDEVELCPGGISSKIREDGFIVFKNLCKLSMKFSSQENPEDQVLLRGKTLSLELLKVIMDDGGSIWCSNERFLNAIKQFLCLSLLKNSALSVMSIFQLQCSIFMSLLTKYRSGLKAEIGIFFPMLILRVLENVLQPSFLQKMTILNMLEKIAGDSQIIIDIFVNYDCDVDSPNIFERIVNGLLKTALGPPPGSTTTLSAVQDITFRHESVKCLVGIIKSMGAWMDQQLKIGDSDLPSGSESETSAESHLTPSAEDGVVPDYELHLELNSELSDSATLEQRRAYKIELQKGVQLFNKKPSKGIEFLIKTKKVGNSPEEVASFLKKNTAGLNETMIGDYLGEREEFALRVMHAYVDSFNFKSIDFGEAIRFLLSGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNSMVKDKMTKSDFIRNNRGIDDGKDLPEEYLGALYDQIVKNEIKINADSSVPQSKQANSLNKLLGLDGILNLVSWKQTEEKPLGANGHLIRHIQEQFKAKSGKSESVYHAVSDIAILRFMVEVCWGPMLAAFSVTLDQSDDRLTTTQCILGFRYSVHVTAVMGLQTQRDAFVTSVAKFTFLHCAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGATTDASFLSVSNTEQSLMKKGTLQNPAVMAVVLGGSYDSTTVGVNSSRLVTPEQINHFIANLNLLDQIGNFELNHVFAHSQRLNSEAIVAFVKALCKVSMLELQSPTDPRVFSLIKLVEIAHYNMNRIRLVWSRMWNVLSDFFVSVGLSESLSVAIFVMDSLRQLAMKFLEREELANYNFQNQFLRPFVIIMQKSNSAEIRELIVRCISQMVLSRVTNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFPHITETDATVFTDCVRCLIKFTNSRFNNDASLNAIAFLRFCAVKLAEGGFVCTNKSSDDGSSVSSINKDDSDVKGFAYIDDHGSYWLPLLTGLSKLTSDSRLDIRKSSVEVLFNILKDHGHLFSRVFWVGIFNSVVLPIFDGVCGRREMPVKDEQNLPTLRSSHHDGSTWDTETSTVAAQCLVDLYIGFYSVLRPQLSNVLSVLTGYLRSSIQGPASNGVVAMFRLIGELGSRLSEEEWREICLAIKEAAISMFPGFMKLLRTMDDIEVPDNSPSRSSTEASTDHGFTDHELEDANLQTAAYVVSKMKSHIAIQLLIMQVLPLHAIFSVLK >KJB77455 pep chromosome:Graimondii2_0_v6:12:31197368:31203376:-1 gene:B456_012G138200 transcript:KJB77455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIHLIYLKDVCRIVNGLLKTALGPPPGSTTTLSAVQDITFRHESVKCLVGIIKSMGAWMDQQLKIGDSDLPSGSESETSAESHLTPSAEDGVVPDYELHLELNSELSDSATLEQRRAYKIELQKGVQLFNKKPSKGIEFLIKTKKVGNSPEEVASFLKKNTAGLNETMIGDYLGEREEFALRVMHAYVDSFNFKSIDFGEAIRFLLSGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNSMVKDKMTKSDFIRNNRGIDDGKDLPEEYLGALYDQIVKNEIKINADSSVPQSKQANSLNKLLGLDGILNLVSWKQTEEKPLGANGHLIRHIQEQFKAKSGKSESVYHAVSDIAILRFMVEVCWGPMLAAFSVTLDQSDDRLTTTQCILGFRYSVHVTAVMGLQTQRDAFVTSVAKFTFLHCAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGATTDASFLSVSNTEQSLMKKGTLQNPAVMAVVLGGSYDSTTVGVNSSRLVTPEQINHFIANLNLLDQIGNFELNHVFAHSQRLNSEAIVAFVKALCKVSMLELQSPTDPRVFSLIKLVEIAHYNMNRIRLVWSRMWNVLSDFFVSVGLSESLSVAIFVMDSLRQLAMKFLEREELANYNFQNQFLRPFVIIMQKSNSAEIRELIVRCISQMVLSRVTNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFPHITETDATVFTDCVRCLIKFTNSRFNNDASLNAIAFLRFCAVKLAEGGFVCTNKSSDDGSSVSSINKDDSDVKGFAYIDDHGSYWLPLLTGLSKLTSDSRLDIRKSSVEVLFNILKDHGHLFSRVFWVGIFNSVVLPIFDGVCGRREMPVKDEQNLPTLRSSHHDGSTWDTETSTVAAQCLVDLYIGFYSVLRPQLSNVLSVLTGYLRSSIQGPASNGVVAMFRLIGELGSRLSEEEWREICLAIKEAAISMFPGFMKLLRTMDDIEVPDNSPSRSSTEASTDHGFTDHELEDANLQTAAYVVSKMKSHIAIQLLIMQVIIDMYKTNLQFLSAANINIIVDIVSSVASHAQELNSETTLRKKIQKACSILELSDPPLVHFENEAYQNYLNFLQDLIKNNPSVVEKMNLESQLVAVCEKILQIYLNCTINQNTVQISVNEPTSRWVLPLGSAKREELAARTSLLVSALKTLSGLEKDRFRKYIARFFHLLVALIRCEHSSSEVQRVLSDLFQSSIGPIIKQ >KJB77454 pep chromosome:Graimondii2_0_v6:12:31197086:31202276:-1 gene:B456_012G138200 transcript:KJB77454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGVQLFNKKPSKGIEFLIKTKKVGNSPEEVASFLKKNTAGLNETMIGDYLGEREEFALRVMHAYVDSFNFKSIDFGEAIRFLLSGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNSMVKDKMTKSDFIRNNRGIDDGKDLPEEYLGALYDQIVKNEIKINADSSVPQSKQANSLNKLLGLDGILNLVSWKQTEEKPLGANGHLIRHIQEQFKAKSGKSESVYHAVSDIAILRFMVEVCWGPMLAAFSVTLDQSDDRLTTTQCILGFRYSVHVTAVMGLQTQRDAFVTSVAKFTFLHCAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGATTDASFLSVSNTEQSLMKKGTLQNPAVMAVVLGGSYDSTTVGVNSSRLVTPEQINHFIANLNLLDQIGNFELNHVFAHSQRLNSEAIVAFVKALCKVSMLELQSPTDPRVFSLIKLVEIAHYNMNRIRLVWSRMWNVLSDFFVSVGLSESLSVAIFVMDSLRQLAMKFLEREELANYNFQNQFLRPFVIIMQKSNSAEIRELIVRCISQMVLSRVTNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFPHITETDATVFTDCVRCLIKFTNSRFNNDASLNAIAFLRFCAVKLAEGGFVCTNKSSDDGSSVSSINKDDSDVKGFAYIDDHGSYWLPLLTGLSKLTSDSRLDIRKSSVEVLFNILKDHGHLFSRVFWVGIFNSVVLPIFDGVCGRREMPVKDEQNLPTLRSSHHDGSTWDTETSTVAAQCLVDLYIGFYSVLRPQLSNVLSVLTGYLRSSIQGPASNGVVAMFRLIGELGSRLSEEEWREICLAIKEAAISMFPGFMKLLRTMDDIEVPDNSPSRSSTEASTDHGFTDHELEDANLQTAAYVVSKMKSHIAIQLLIMQVIIDMYKTNLQFLSAANINIIVDIVSSVASHAQELNSETTLRKKIQKACSILELSDPPLVHFENEAYQNYLNFLQDLIKNNPSVVEKMNLESQLVAVCEKILQIYLNCTINQNTVQISVNEPTSRWVLPLGSAKREELAARTSLLVSALKTLSGLEKDRFRKYIARFFHLLVALIRCEHSSSEVQRVLSDLFQSSIGPIIKQ >KJB77457 pep chromosome:Graimondii2_0_v6:12:31197167:31205425:-1 gene:B456_012G138200 transcript:KJB77457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASQTLGGPSRCGRVLGPSLDKIIKNAAWRKHSHLVSSCKSVLDKLETLPDAALPDPSSPLLGLSSSDADFVLNPILLALDSNYAKVADPALECVFKLFSAGVVRGEIDGKISDSIMYKIVDSVCKVGGIGEESVELAVLRALLSAVRCPCVLIRGDCLLQVVRTLYNIYLGGLNGTNQICAKSVLAQIMLIIFSRADEDSMDVSITTVSVSELLEFTDKNLNEGNSIYFCQNFVSEVMSASEGVPDLKLSQPVPVSQNGESKDEGEEIGSEKMKDEVELCPGGISSKIREDGFIVFKNLCKLSMKFSSQENPEDQVLLRGKTLSLELLKVIMDDGGSIWCSNERFLNAIKQFLCLSLLKNSALSVMSIFQLQCSIFMSLLTKYRSGLKAEIGIFFPMLILRVLENVLQPSFLQKMTILNMLEKIAGDSQIIIDIFVNYDCDVDSPNIFERIVNGLLKTALGPPPGSTTTLSAVQDITFRHESVKCLVGIIKSMGAWMDQQLKIGDSDLPSGSESETSAESHLTPSAEDGVVPDYELHLELNSELSDSATLEQRRAYKIELQKGVQLFNKKPSKGIEFLIKTKKVGNSPEEVASFLKKNTAGLNETMIGDYLGEREEFALRVMHAYVDSFNFKSIDFGEAIRFLLSGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNSMVKDKMTKSDFIRNNRGIDDGKDLPEEYLGALYDQIVKNEIKINADSSVPQSKQANSLNKLLGLDGILNLVSWKQTEEKPLGANGHLIRHIQEQFKAKSGKSESVYHAVSDIAILRFMVEVCWGPMLAAFSVTLDQSDDRLTTTQCILGFRYSVHVTAVMGLQTQRDAFVTSVAKFTFLHCAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGATTDASFLSVSNTEQSLMKKGTLQNPAVMAVVLGGSYDSTTVGVNSSRLVTPEQINHFIANLNLLDQIGNFELNHVFAHSQRLNSEAIVAFVKALCKVSMLELQSPTDPRVFSLIKLVEIAHYNMNRIRLVWSRMWNVLSDFFVSVGLSESLSVAIFVMDSLRQLAMKFLEREELANYNFQNQFLRPFVIIMQKSNSAEIRELIVRCISQMVLSRVTNVKSGWKSVFMV >KJB74502 pep chromosome:Graimondii2_0_v6:12:19956886:19957925:-1 gene:B456_012G0974002 transcript:KJB74502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKDGYVSETHATNIFLVKKGRVLTPHADYCLPGITRATIMELVVKEKFELVERRISLSEFHAADEEHGHNKNRNMALIKTGTWPSFVQPA >KJB74501 pep chromosome:Graimondii2_0_v6:12:19956260:19957961:-1 gene:B456_012G0974002 transcript:KJB74501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKDGYVSETHATNIFLVKKGRVLTPHADYCLPGITRATIMELVVKEKFELVERRISLSEFHAADEVLDC >KJB77341 pep chromosome:Graimondii2_0_v6:12:30306765:30309634:1 gene:B456_012G132700 transcript:KJB77341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPPSLILCSPGLNPKQVMIPTNICRRKVECDHRSLMSSSRIFCAVPPPTTQVSNSDRPKDGRSSANYQPTIWSYDFLQSLKNDHADIIYKERAAKLEQELRFALHDENAEPVNLLELIDDIQRLGLGHRFEIDINRALEKFVSSDDYSVSTKNSLHAAALRFRLLRQHGYVVSQDVFKAFKDHKGNFKDCLYKDVKGMLSLYEASRLAFEGEYVMDEAFLFTRMHLMDLQGVSNLEEGLLLEQVIHALELPLHRRMVRLEARWYIEAYSKSAARKTNLLELAKLDFNLVQSTLQEDLKEMTRWWIGMGLSSKLNFARDRLMECFFWSVGMVSEPQFRNCRKSLTKVASFVTIIDDVYDVYGTLDELELFTEAVQRWDVCAVKDLPKCMELCFLALYNSVNEMAYETLRDHGENIIPYLTKAWADLCNAFLKEAEWARTKHVPTFQDYLENAWVSVSGHIFLVHAYFLQSPNITSEALDSLEQYHDILRWPSTIFRLCNDLGTSKDELERGESTNSIICYMKEVGCSEATARQHISDLIDNYWMKMNKCRMEGSPFAKHLVETATNLARISQCTYQHGDAHGCPDNLSKNRVQSLIVDPISIN >KJB76084 pep chromosome:Graimondii2_0_v6:12:10354940:10358088:1 gene:B456_012G070200 transcript:KJB76084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTLVSRSGREFINGGLELPDSATVADLQEAIYKRTKKFYPSRQRLTLPVPPGSKERPVVLNYKKSLKDYSDEKQNKLTVVFKDLGPQVSYSTLFFFEYLGPLILYPIFYYFPVYKFFGYGEDRVIHPVQTYAVYYWCFHYLKRITETFFVHRFSHATSPFSNVFRNCAYYWLFGSYIAYYVNHPLYTPVGDLQMKIGFGFGLVCQLSNLYCHIILKNLRNPDGSGGYQIPHGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFLVVATSIMTNWALAKHRRLKKLFDGNEGRPKYPRRWVILPPFL >KJB76022 pep chromosome:Graimondii2_0_v6:12:9890835:9892090:1 gene:B456_012G067900 transcript:KJB76022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCSHTFPFPRSFITSQTQLLHINPAPRLIIRILLPFLSLFFASMYPSTYHSPPADVFPNRWSAIRAGSMSYSFSPKLFPSPSITALPPG >KJB77584 pep chromosome:Graimondii2_0_v6:12:31941694:31944574:-1 gene:B456_012G145300 transcript:KJB77584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKRFAVLLCAEDSEYVKKRYGGYYGVFVEMLAEEGETWDVFRVANGEFPDDEQVDRFDGFVITGSCNDAHGNDAWICRLVSLLKKLDSLNKKVLGICFGHQVLSRALGGKTGRAISGWDIGVTTIHFSSSSSKLFSSLKIPTTLSIIECHRDEVRELPPKAEVLAWSEKTGVEMFRYGNHIMGIQGHPEYTKDILVHLIDRLSQRDFIADSYADDLKANMGKVEPDKDAWKKLCTSFLKGRL >KJB77585 pep chromosome:Graimondii2_0_v6:12:31942385:31944370:-1 gene:B456_012G145300 transcript:KJB77585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKRFAVLLCAEDSEYVKKRYGGYYGVFVEMLAEEGETWDVFRVANGEFPDDEQVDRFDGFVITGSCNDAHGNDAWICRLVSLLKKLDSLNKKVLGICFGHQVLSRALGGKTGRAISGWDIGVTTIHFSSSSSKLFSSLKIPTTLSIIECHRDEVCRFYQEEHSIIYCFEI >KJB78094 pep chromosome:Graimondii2_0_v6:12:34624625:34625993:1 gene:B456_012G177300 transcript:KJB78094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FHLDPDFSYSANKKQETPLYIAARRRGSGRLLTLLLDQLKSTAHCGPHGRSALHAAAMAGDAARQGYVGTVSKILSLYPDCCEKVDNEGLNLLHYLAFRDLSSPLRLSLFKRGGVEIAYGSVRNLMELEGVFRMTPQEVYNALRSENHFGKHHHKQKQIKELLEEIENDQVADEPVRHFGFRNISTKSLEKTRDAHLVVAALIATVTFAAAITVPGGLISEKGLEQGIPLLIDEEAFKLFVVTNAIAFIFSVSALIFHLGVLNYLLAGVFWRQTVLDRTRSISEILSCATGRW >KJB77350 pep chromosome:Graimondii2_0_v6:12:30522525:30526852:-1 gene:B456_012G133400 transcript:KJB77350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNDLINLNLSDTTEKIIAEYIWIGGSGMDLRSKARTLPGPVTDPSKLPKWNYDGSSTNQAPGDDSEVILYPQAIFKDPFRKGNNILVMCDAYTPAGEPIPTNKRFNAAKIFSHPDVVAEEPWYGIEQEYTLLQKDTKWPLGWPVGGFPGPQGPYYCGVGADKSFGRDIVDSHYKACLYAGVNISGINGEVMPGQWEFQVGPSVGISAGDQIWIARYILERITEIAGVVLSFDPKPIPGDWNGAGAHTNYSTKSMRNDGGINVIKKAIEKLGLRHKEHIAAYGEGNERRLTGRHETADINSFSWGVANRGASIRVGRDTEKDGKGYFEDRRPASNMDPYVVTSMIAETTIIWKP >KJB77349 pep chromosome:Graimondii2_0_v6:12:30522461:30526896:-1 gene:B456_012G133400 transcript:KJB77349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNDLINLNLSDTTEKIIAEYIWIGGSGMDLRSKARTLPGPVTDPSKLPKWNYDGSSTNQAPGDDSEVILYPQAIFKDPFRKGNNILVMCDAYTPAGEPIPTNKRFNAAKIFSHPDVVAEEPWYGIEQEYTLLQKDTKWPLGWPVGGFPGPQGPYYCGVGADKSFGRDIVDSHYKACLYAGVNISGINGEVMPGQWEFQVGPSVGISAGDQIWIARYILERITEIAGVVLSFDPKPIPGDWNGAGAHTNYSTKSMRNDGGINVIKKAIEKLGLRHKEHIAAYGEGNERRLTGRHETADINSFSWGVANRGASIRVGRDTEKDGKGYFEDRRPASNMDPYVVTSMIAETTIIWKP >KJB77351 pep chromosome:Graimondii2_0_v6:12:30523453:30526896:-1 gene:B456_012G133400 transcript:KJB77351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNDLINLNLSDTTEKIIAEYIWIGGSGMDLRSKARTLPGPVTDPSKLPKWNYDGSSTNQAPGDDSEVILYPQAIFKDPFRKGNNILVMCDAYTPAGEPIPTNKRFNAAKIFSHPDVVAEEPWYGIEQEYTLLQKDTKWPLGWPVGGFPGPQGPYYCGVGADKSFGRDIVDSHYKACLYAGVNISGINGEVMPGQWEFQVGPSVGISAGDQIWIARYILERITEIAGVVLSFDPKPIPGDWNGAGAHTNYR >KJB75191 pep chromosome:Graimondii2_0_v6:12:3699804:3702174:-1 gene:B456_012G029900 transcript:KJB75191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADMCGSRLMAANGYEGELKMIEELTTNAEQIQEEVLGEILSRNAGTEYLRGFLHGQTEKQLFKKNVPIVTYEDLKPYIDRIANGETSDILLAEPITGFFLSSGTSGGQPKLMPVTAQVVNKWELFRGLYESPAMKHFGDINQAGKGLELMFARPEIETPSGLKAASVSTSIYNECNFRANLPKLYTSPVETIFCPDPNQGLYCQLLFGLIQRDEVVKVGSVFASTVLRGIKFLENHWQELCYDIKTGRLSDWITDSGCRNAASLVMKPNPEQADLIETICNCKSWEGIIRKLWPKARYIWCICTGIMRQYTTELEFYCRGLPLVSALYACSEAICGINLEPLRKPCDVSYTFLPNMAYFEFLPVKNEGDGSIEMKSNNEDTELVDLVNVKAGQCYELVVTTCAGLYRYKVGDVLMVSGFYNNAPQFQFVERKNVILSVDQEKTSETDLFKAVTEAKALLDPLGFILTEYTSYVDTSSAPGHYVLFWEIKGKEGKHCKELDPKIMVECCSRMEESLHYTYKIYRERNIIAALEIRVVKQESFEALMDYYVSKGTSMSQYKKPSCIKSEEALNILDSRVIGKYFSPKSPL >KJB77334 pep chromosome:Graimondii2_0_v6:12:30083968:30084609:1 gene:B456_012G131900 transcript:KJB77334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIPTMKLLSLVLSSFVTVQIALASDPDILSDFLVPANQNNVGGGFFTYTGMRVLVNQSSFPANFTILKASMVEFPALNGQSVSYAVLQYPASSLNPPHTHLRAAELLFLVDGSLEVGFVYTTNKLFTQSLQAGNMFIFPKGLVHYQYNADPNNPAIAISSFGSANAGTVSLPKTLFATNIDDTILAKSFKTDVSTIQALKAGLAS >KJB76057 pep chromosome:Graimondii2_0_v6:12:10118254:10125004:1 gene:B456_012G069000 transcript:KJB76057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLIIGFVNCWQIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYVRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTNHKAHGGEIIAALFAVILSGLGLNQAATNFYSFDQGRIAAFRLFEMISRSSSGLNQEGNILASIQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIKDNIAYGRDATFDQIEEAAKIARAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLLNPTVLLLDEVTGGLDFEAERTVQEALDLLMLGRSTIIIARQLCLIRNVDYIAVMEDGQLVEMGTHDELLALDGLYAELLRCEEAAKLPRRMPVRNYKETSTFQIEKDSSSVHSFQESSSPKFVKSPSLQRVHGIFRPQDGAFNSQESPKAHSPPPEKMLENGLSVDAGDKEPSIRRQDSFERRLPELPKLDVQSAQQQKSNDSDPESPVSPLLTSDPKNERSHSQTFSRPLSYSDDIPMEVKEAKDVHHGEAPSFWRLAQLSFAEWLYAVLGSIGAAIFGSFNPLLAYAIALIVTAYYRRQEHHHLQDEVDRWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEIGWFDNEENSPDNLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAILIGMLLHWRLALVAFATLPVLAVSAFAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMDLYRLQLKKILKQSFFHGMAIGFAFGFSQFLLFACNALLLWYTALSVKRSYIDLPTALKEYMVFSFATFALVEPFGLAPYILKRRKSLASVFEIIDRVPKIEPDENSALKPPNVYGSIELKNVDFCYPTRPEMLVLSNFSLKVNGGQTVAIVGVSRSGKSTIISLIERFYDPVAGQILLDGRDLKLYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNASESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEEGTHDSLLAKNGLYVRLTQPHFGKGLRQHRLV >KJB76056 pep chromosome:Graimondii2_0_v6:12:10116306:10124671:1 gene:B456_012G069000 transcript:KJB76056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSRGFFGWSPPHIQPLTPVSEVSEPPESPSPYLDTTAEASGEAAQVEADEEMEEEEEIEPPPAAVPFSGLFACADRLDWVLMIVGSLAAAAHGTALVVYLHCFAKIIQVLGLGPPEQGQDRMDEQFERFKELSLTIVYIAAGVFAAGWIEVSCWILTGERQTAVIRSRYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLIIGFVNCWQIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYVRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTNHKAHGGEIIAALFAVILSGLGLNQAATNFYSFDQGRIAAFRLFEMISRSSSGLNQEGNILASIQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIKDNIAYGRDATFDQIEEAAKIARAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLLNPTVLLLDEVTGGLDFEAERTVQEALDLLMLGRSTIIIARQLCLIRNVDYIAVMEDGQLVEMGTHDELLALDGLYAELLRCEEAAKLPRRMPVRNYKETSTFQIEKDSSSVHSFQESSSPKFVKSPSLQRVHGIFRPQDGAFNSQESPKAHSPPPEKMLENGLSVDAGDKEPSIRRQDSFERRLPELPKLDVQSAQQQKSNDSDPESPVSPLLTSDPKNERSHSQTFSRPLSYSDDIPMEVKEAKDVHHGEAPSFWRLAQLSFAEWLYAVLGSIGAAIFGSFNPLLAYAIALIVTAYYRRQEHHHLQDEVDRWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEIGWFDNEENSPDNLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAILIGMLLHWRLALVAFATLPVLAVSAFAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMDLYRLQLKKILKQSFFHGMAIGFAFGFSQFLLFACNALLLWYTALSVKRSYIDLPTALKEYMVFSFATFALVEPFGLAPYILKRRKSLASVFEIIDRVPKIEPDENSALKPPNVYGSIELKNVDFCYPTRPEMLVLSNFSLKVNGGQTVAIVGVSRSGKSTIISLIERFYDPVAGQILLDGRDLKLYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNASESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEEGTHDSLLAKNGLYVRLTQPHFGKGLRQHRLV >KJB77893 pep chromosome:Graimondii2_0_v6:12:33523910:33525757:-1 gene:B456_012G163900 transcript:KJB77893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGMFMRKPDKAAALKQLKVHVAMFGVWVAVVRVTPYILHYLSDEKEELKIEF >KJB75232 pep chromosome:Graimondii2_0_v6:12:4083976:4085112:-1 gene:B456_012G033100 transcript:KJB75232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYDFSTDILFEILSRADLKTMKKCRVLSKECKDLTDESNFMRLHSQRTSTMVGYLVQSSRLFGQLTSDLVSGLDPKPTQDLLNFLPQPGWIVATVNGGLVLCTPGKRRDDPFYICKPTTQQWEVVPSPNPRFWTAKISMLVLGSNPLWFKIVRLSDSRDDTIDLELDLDSEYSNEEDNFNVFSNEKSWHCEIFESKSWEWRQSDDLKLTHNDYFECNQDVSACGALHWLVFNDKQDKYTMLSFDGDKEEWAMTSLPDSLRGNDHCDQIAPVSCEGKLGLIHLRQETKMLDVWILNYEKIWIKKHTINLKSLHEKVPLPRSRIHSFYGVDTLFMVDVGSVSFYNFRTRKLNRIRVGGWIKSAYFIQTDSEPIKLKPQ >KJB77554 pep chromosome:Graimondii2_0_v6:12:31689539:31693074:-1 gene:B456_012G143300 transcript:KJB77554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNIVLVGARRLLETFVPKLELPKVPELPKLELPKALELPKPELSKTPELPKPELLKVLELPKPELPIVHEVSKPELPKAPELPKLELSKFLELPKSELPKVPEVPKSKLPKAPELPKHESPKVPKLPMPELSRVPEVPKPKLPKALELPKPELQISRPEIPKMLELSKPELPKVSELPKLEFLKFPVLPKLPELQKPKEVNDPELPKPELPKVHEIPKAPKLPKILKLPKPELSKVPEMPKPELPKAPELPKPKLPKVPEAPKPKLPKAPKLPNPKLPKVLELPKSKLPKVPKLLKPELPKAPKLSKPELPKIPELTKPELSKVLKVPKPELPKALELPKIPELPNYLTCQNLKNSKLPKPELPKLSNLETRNT >KJB78075 pep chromosome:Graimondii2_0_v6:12:34529962:34530774:-1 gene:B456_012G175800 transcript:KJB78075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPAVLTQVATGLSVLAGAVLVKSVMDQKPMAGPFQRCPTCNGTGRITCICTRWSDGDIGCRTCAGSGRMACSSCGGSGTGRPIPVQISVRQPTNRNS >KJB75718 pep chromosome:Graimondii2_0_v6:12:6986810:6987281:1 gene:B456_012G053400 transcript:KJB75718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRRLYVLQVFSAESSTLYCCVHLIVVYLIIFDINKAGGYICLCFLSPKRYQLVLKVRFSLFQAYSVKGRKGEMNSMQIYRRGTWHGDMMYIKIFKDDLNKPV >KJB74862 pep chromosome:Graimondii2_0_v6:12:1458928:1460238:1 gene:B456_012G012900 transcript:KJB74862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIWIEVCIISARGLRRSSSFWKLQWFTVGWIDPDNKYCTKIDASGSSNPVWKTKFAALVDESNIQDMVLHVEVYSREPIFLREKLQGTASVALKEFLAKYNNNSTSSSEEVGSYQLRKRNSNKPQGFVDISIRISEERENPASFPGNEGGLVLMDHRTDIPISTEGGYPAEATGPHKQSQVNIPFPYKHPVPYPTSNYNPSQGEPSASGPGYRPPRSATPPPPPPPSNVGYIPTFLPRTETYMNMPSSVGAGVGPRPGFAMGVGAGALAAGAVLFGDDFMSGFDVPAGFQDPTFAISTDPPF >KJB74774 pep chromosome:Graimondii2_0_v6:12:754654:756825:1 gene:B456_012G006400 transcript:KJB74774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPTAIGTTNRSSSAMSSMTTTVTIENTSGASSSSSQPQEALVLELRPRKKKVTWKEGTVDNEFMNKKSSKICCIYHKEKPFDEDDSDDDGHDHHHHHHPSNGHDSSKDGCRPSSSSSSSSSA >KJB77181 pep chromosome:Graimondii2_0_v6:12:28750702:28753880:1 gene:B456_012G124400 transcript:KJB77181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLCDPTAVAETFQTSSPTVTWREPKAATLEAVDLVDQTLTAAAGTTWDDVIGLEDQQRRHLSKLHAKGVLWKHPSEHGSSVVFRLSHGGDVSTDGNCLFTASQKAMAREVDARDLRKRTVKRFLEDLGSAKEEERQMINEVIKNMYSPDLRNGWGVHVVQEVKLLAKKDERVALDSAIEELVQLGMQRELVAESIYKERCIPVNDDSSWAKYMSISGSPDDEYDIITLQYTEEGLLSVDENREGCAAAFGDDIAIECLATEFNREIYVVQAHGSDAMVDEDNCVFFLPHRPRSEICEPPFFLFMKGTGWCGAGADHYEPLIAHASSLVSSEKVALILGQ >KJB77182 pep chromosome:Graimondii2_0_v6:12:28750960:28753735:1 gene:B456_012G124400 transcript:KJB77182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLCDPTAVAETFQTSSPTVTWREPKAATLEARFLEDLGSAKEEERQMINEVIKNMYSPDLRNGWGVHVVQEVKLLAKKDERVALDSAIEELVQLGMQRELVAESIYKERCIPVNDDSSWAKYMSISGSPDDEYDIITLQYTEEGLLSVDENREGCAAAFGDDIAIECLATEFNREIYVVQAHGSDAMVDEDNCVFFLPHRPRSEICEPPFFLFMKGTGWCGAGADHYEPLIAHASSLVSSEKVALILGQ >KJB75242 pep chromosome:Graimondii2_0_v6:12:4148700:4149858:1 gene:B456_012G033900 transcript:KJB75242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLQEEELVQMVQDFIESEPSSPIVPSNHLQSSNRLAQLSTLQEILRCRTDTERKVIEIVLKHIRSKRDVQKSSGLKKWLAMRLKMNGFNASLRQTCWVTSSGCPAGDYEYVDIVMENENGSEEKLREIISVVCSAAKQSFREAGLHVPPWRTTTYMHSKWLSATPNVNGDFGKVNGEPKPRGGALGFSKWTPPMVKPKTQNRELGGGGSALSSQFSNMGINCC >KJB75241 pep chromosome:Graimondii2_0_v6:12:4148659:4149878:1 gene:B456_012G033900 transcript:KJB75241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLQEEELVQMVQDFIESEPSSPIVPSNHLQSSNRLAQLSTLQEILRCRTDTERKVIEIVLKHIRSKRDVQKSSGLKKWLAMRLKMNGFNASLRQTCWVTSSGCPAGDYEYVDIVMENENGETVRLIVDIDFKSQFELARPSPTYKELTDALPSIFIGSEEKLREIISVVCSAAKQSFREAGLHVPPWRTTTYMHSKWLSATPNVNGDFGKVNGEPKPRGGALGFSKWTPPMVKPKTQNRELGGGGSALSSQFSNMGINCC >KJB77442 pep chromosome:Graimondii2_0_v6:12:31073692:31076993:1 gene:B456_012G137400 transcript:KJB77442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREKKQSSAVSNIGAWALNVVSSVGLIMANKQLMSPAGYAFVFATTLTGFHFCMTGIIGLVSKASGYTTQKKVPLWELLWFSIVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHGKQYSSKVKVAVMVVVVGVGVCTVTDVKVNAQGFLCAFVAVLSTSLQQISIGSLQKKYAIGSFELLSQTAPIQAVSLLLLGPFVDYFLTGNLLPTYHLSSAAFFFILVSCSLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELTFKNILGMAIAVLGMVLYSWAVEADKAAPLPKHGSDHVKLLLKQHEVDGSSPVKDVELGVGGLSRG >KJB77443 pep chromosome:Graimondii2_0_v6:12:31073833:31077010:1 gene:B456_012G137400 transcript:KJB77443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREKKQSSAVSNIGAWALNVVSSVGLIMANKQLMSPAGYAFVFATTLTGFHFCMTGIIGLVSKASGYTTQKKVPLWELLWFSIVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHGKQYSSKVKVAVMVVVVGVGVCTVTDVKVNAQGFLCAFVAVLSTSLQQISIGSLQKKYAIGSFELLSQTAPIQAVSLLLLGPFVDYFLTGNLLPTYHLSSAAFFFILVSCSLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELTFKNILGMAIAVLGMVLYSWAVEADKAAPLPKHGSDHVKLLLKQHEVDGSSPVKDVELGVGGLSRG >KJB76726 pep chromosome:Graimondii2_0_v6:12:23116961:23117730:-1 gene:B456_012G103500 transcript:KJB76726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQMVTQDRYKTKLSDEDNDISNSKYEVREMRAILKHEKERNYINIGRETTIDLENSPMLESIQHEGNSSLEELEQELHIRCK >KJB76460 pep chromosome:Graimondii2_0_v6:12:15352626:15356793:-1 gene:B456_012G089600 transcript:KJB76460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKWDDIGNSLSYWSCNWDMENDHFSGSESKGFSEAVNDDIVDRLPADPFGMEIRSTFAAAITGWIHDVENELGSDLCVFGMQDGDENKITDHHRLFKGLNSVWNGTVSFQQEEGNSSFSGFGSEDDDKNIDDDQSSFFKGNHRVRNGTLSFQQEEGTFQVDEISIPNEFIDGFGIGNGLPDGGFVFNDEGNKLEGCNGVSCDDSEGDAPNNALFFTLGYLGVKDLLVMERVCISLRDAVRNDPLLWRNIHIEDSLSKRITDDALLKLTSRAQGTIECLSLVGCKMITDDGLKRVLESNSKLSKLSVPECTRLNVEGILLNLRAFKSAGFPGIKHLRIGGSFSVTEEQFKELKLLLGINNSMQLQEQKPQFFRQGQSQLMSDDDRAIDIEVCPRCQKLKLVYDCPSGSCRRTYHAAQLCRACILCIARCIHCGCCFKDCDYEETFTLDLLCFNCWKQIGGPSSKHMLHETRNQLCFHG >KJB74864 pep chromosome:Graimondii2_0_v6:12:1268088:1271102:1 gene:B456_012G011200 transcript:KJB74864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIACSKQLNDNNGSVGRQQDEDTLETPRTRQAIKALTSQIKDIALKASGAYKNCKPCSGSSNHNRNYADSDAASDSARFHCPYRRTGSPTPRMWGKEMESRLKALSSAEGTPTSVSGRTESVVFMEEDEPKEWVAQVEPGVLITFVSLPEGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNHQAVPVSTPPRSEDEGSRIESAKNSPATPPLNKEQPRNFVRPTGMGYSSSDSLDHQPIQSRQYYDSAALASTPKLSSISGAKTETSSIDGSARSSSSREADRSGELSISNASDMETEWVEQDEPGVYLTIRALPGGSRELRRVRFRYVTG >KJB74863 pep chromosome:Graimondii2_0_v6:12:1267709:1272293:1 gene:B456_012G011200 transcript:KJB74863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIACSKQLNDNNGSVGRQQDEDTLETPRTRQAIKALTSQIKDIALKASGAYKNCKPCSGSSNHNRNYADSDAASDSARFHCPYRRTGSPTPRMWGKEMESRLKALSSAEGTPTSVSGRTESVVFMEEDEPKEWVAQVEPGVLITFVSLPEGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNHQAVPVSTPPRSEDEGSRIESAKNSPATPPLNKEQPRNFVRPTGMGYSSSDSLDHQPIQSRQYYDSAALASTPKLSSISGAKTETSSIDGSARSSSSREADRSGELSISNASDMETEWVEQDEPGVYLTIRALPGGSRELRRVRFSRERFGEMQARMWWDENRARIQQHYLS >KJB77086 pep chromosome:Graimondii2_0_v6:12:27432123:27440021:-1 gene:B456_012G119800 transcript:KJB77086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLACGSTLSLLRAANPNNTARSLHLSSNLLPGFTHKLLTASSSSSSSKTVGVRVTRAAAQVADAVLGDKEDKSRVLRVGVICGGPSAERGISLNSARSVLDHIQGEDLHVSCYYIDSHLNAFAISSAQVYSNTPSDFDFKLESLAQGFQSLSEFAEHLANSVDIVFPVIHGQFGEDGGIQELLEKYNVPFVGTGSKECQQAFNKYNASLGLSKYGFVTVPSFLVQGSEVNQDELSEWFVSNHLDVNSGKVVVKPTRAGSSIGVTVAYGVSDSLTKANEIISQGIDDGVLVELFLEGGSEFTAIVLDVGQGFDCQPVVLLPTEVELQFQGSGDVREKDAIFNYRRKYLPTQQVAYHTPPRFPIEVIKSIREGASLLFQRLGLRDFARIDGWFLPSSTKALSSSEDKFGITEFGTVLFTDINLISGMEQTSFLFQQASKVGFSHSNILRSIISRACLRFPELATYSSKSSQFQKNLKSSKLNGTSKGREGFRKVFVLFGGDTSERQVSLMSGTNVWLNLQGFDDLDVTPCLLAPSSDHSSTTDSDKKDPGLNSIEVWSLPYSLVLRHTTEEVLDACMEAIEPARAALTSHLRNQVMNELAEGLTKHGWFTGFDIADELPVRYSLKEWIKFAKEVEATVFIAVHGGIGEDGTLQFLLDTEGIPYTGPGAMASKTCMDKVATSLALEHLKDKGVLTINKVVKKKEDLLKMPVRLTWNDLISKLQCETLCIKPARDGCSTGVARLCCAEDLAVYAKALDDCLLRIPPNSFSKEHGMIEMPNPPPELLIFEPFVETDEIVLSSKTVSYDTQRLLWKEHSRWVEVTVGVIGKRGSMHSLSPSITVKETGDILSLEEKFQGGTGINLTPPPVSIISNEALGRCKQRIELIANTLQLEGFSRIDAFVNVDSGEVLIIEVNTVPGMTPSTVLIHQALAEQPPVYPHRFFRTLLDLATERVV >KJB77087 pep chromosome:Graimondii2_0_v6:12:27432354:27439789:-1 gene:B456_012G119800 transcript:KJB77087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLACGSTLSLLRAANPNNTARSLHLSSNLLPGFTHKLLTASSSSSSSKTVGVRVTRAAAQVADAVLGDKEDKSRVLRVGVICGGPSAERGISLNSARSVLDHIQGEDLHVSCYYIDSHLNAFAISSAQVYSNTPSDFDFKLESLAQGFQSLSEFAEHLANSVDIVFPVIHGQFGEDGGIQELLEKYNVPFVGTGSKECQQAFNKYNASLGLSKYGFVTVPSFLVQGSEVNQDELSEWFVSNHLDVNSGKVVVKPTRAGSSIGVTVAYGVSDSLTKANEIISQGIDDGVLVELFLEGGSEFTAIVLDVGQGFDCQPVVLLPTEVELQFQGSGDVREKDAIFNYRRKYLPTQQVAYHTPPRFPIEVIKSIREGASLLFQRLGLRDFARIDGWFLPSSTKALSSSEDKFGITEFGTVLFTDINLISGMEQTSFLFQQASKVGFSHSNILRSIISRACLRFPELATYSSKSSQFQKNLKSSKLNGTSKGREGFRKVFVLFGGDTSERQVSLMSGTNVWLNLQGFDDLDVTPCLLAPSSDHSSTTDSDKKDPGLNSIEVWSLPYSLVLRHTTEEVLDACMEAIEPARAALTSHLRNQVMNELAEGLTKHGWFTGFDIADELPVRYSLKEWIKFAKEVEATVFIAVHGGIGEDGTLQFLLDTEGIPYTGPGAMASKTCMDKVATSLALEHLKDKGVLTINKVVKKKEDLLKMPVRLTWNDLISKLQCETLCIKPARDGCSTGVARLCCAEDLAVYAKALDDCLLRIPPNSFSKEHGMIEMPNPPPELLIFEPFVETDEIVLSSKTVSYDTQRLLWKEHSRWVEVTVGVIGKRGSMHSLSPSITVKETGDILSLEEKFQGGTGINLTPPPVSIISNEALGRCKQRIELIANTLQLEGFSRIDAFVNVDSGEVLIIEVNTVPGMTPSTVLIHQALAEQPPVYPHRFFRTLLDLATERVV >KJB76238 pep chromosome:Graimondii2_0_v6:12:12898267:12903531:-1 gene:B456_012G079500 transcript:KJB76238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLLLYFLRLFITRRTLLYLHNFFTSILKPITNLIAKIMPSQSDDHNSDPPPPPFDPSKPSIPISYPINSLEDLDSASYFTSFHYPFNKSTVPLHPPNSALPPRPRLLVCHDMQGGYVDDKWIQGGHNSGAYAIWHWYLIDVFVYFSHNLVTLPPPCWTNTAHRHGVKVLGTFITEWDEGAAICKKLLSTKESAHKYAERLAELAVALGFDGWLLNLEVKVDIGQIPNLKEFVSHLTQTIHSSLPGSLVIWYDSVTIDGELSWQNQLNEKNKPFFDISDGIFINYTWKEGYPKLSARVAGDRKFDVYMGIDVFGRGTFGGGQWTTNVALDLIKKDDVSAAVFAPGWVYETKQPPDFQTAQNRWWALVEKSWGIVQNYPKVLPFYSNFDQGRGNHISIDGAQVLSTQWNNISSQSFQPFLEYVDDPTSNTIDVRVDFSEVSFSGGGNLTFKGTLEANAYVSTRLFLGELFMGGLPVRFTYSVKSEGNSQLGLSLEFSSEMEGKKKLLLASQENQFSCKFDETIVLHQPTKSDTAPGWVIQESNISMNGYTLTEIRAICYRKQPENSEHKSEARSKTTQDPAEYFAVLGHISISTSIQNTEFPPSTSWIVKGKDVEWGGSQGSKTLSLKISWKLKDGWSSRFPRYNIYVEKLIKQAVRPQGRKLETPALAPPNARNGKTEVSGEYLGESQVEAFYVSDFIIPSAISSLKYIIQPCDSGGASQVLDDAPFFQFNVEGQ >KJB75072 pep chromosome:Graimondii2_0_v6:12:2748530:2757739:1 gene:B456_012G022400 transcript:KJB75072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAREEGNPYDGAQGAGGKFKKRPFRRTTKTTPYDRPPASIRNPSGSSNENGWLSKLVDPARRLITSSAHRLFASVFTKRLPPPPPPTPQLLDSETNREPTENQLDTTSTVPPVVQGDIIECENPTNHSGKGGVAELEEILKQKTFTRSEIDLLTSLLRSRTVDITGGNEEKRSEIISVVSHDKKEDFLESPVRENGTENHLILTPVARSTVPDEDVASPAELTKAYMGSRPSKVSTSMHGLQNQVPRRDLSSPSNRIFPSKSSMTSLVRRSSGHVGSLGNGFMTPRSRGRSAIYSMARTPYSRVNSSTLIKGTLEHNRISGSGQGVLSRSSVLDNDIGSVGPIRRIRQKSSLLSSRNLTLPASAAPLSAHVVGTSSAGLDTLAETGGNSSPGTSFATVPSKSSQMASKIFQQLEMLVSPGEKSPSMLSPSMLRGQARKSLANVDSSKFLENVHDSSKVSGSRIVLTDFQDSVSNKHDKVKKNVSTLVSLSDKQVPAVNGVDSNSLMKDNRPSDRAADSSGIKSVVQLSQEKSQAFQISAHEDYLDLDDGDYSIGATPARFGEWREKSDNSVNGSKRAAPEAVVEKPSIFSEVMPISSAAVNRNPGMRTSNGSPVVEKNSSITFPVVQVANSSMQSAFLGSQSTQAAKRDAFSTESNAPPMVSIGEKVAPVQLDAAVTTFGFASRNVGEVLSITGSSGVKLAKSSDQELDYSSSFATTAPGVTNHLSEKTDGGSTMNGIFRTPETALTSAVSTSNFKFGASADCSAVNNGSFSSSSFSFSSPVPSLVPSNGRSSSSATANNNDSPATATTSASATVNPTICHTSIPSVETSIPSFIASPVFKFSSSGDPSTSREATKSKTQDTSLGNVGIFPCSSTFAFTCSGSSAVSSTTGTTAVFTSSGNSSFSGTSSTITNSGSGFFSSTFTTVMGTGSSIFGSSSSTTSTGSSIFGGTSLPVAGTGNSTFSTKSAITGSGSNIFGFGAPAAPTSTALTQGLNPFNAVDTQASAAGTGIGSSTHSTPIQFSSSASSPSFGLGGNATFSSGSSIFGSSASVAKPFSSGASFGTSSSSSEINSLSSSSGIAAGAFGSNWQAPKTLTFGSSSGFSFGSSTSVSAPSSAPTIFGSSTGASSSSIFSFTSAAAATPSQPVFGNTSPGLVFGSTPSSNNDQMEDSMAEDTVQASPTVVTFSQQPISSPASGFVFGASNPSGVGSVQFGGQTSIGAPQNPSPFLASGSVEFGAGGSFSLGTGGSDKSARKFVKVRKQRRDKSS >KJB75071 pep chromosome:Graimondii2_0_v6:12:2748530:2757739:1 gene:B456_012G022400 transcript:KJB75071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAREEGNPYDGAQGAGGKFKKRPFRRTTKTTPYDRPPASIRNPSGSSNENGWLSKLVDPARRLITSSAHRLFASVFTKRLPPPPPPTPQLLDSETNREPTENQLDTTSTVPPVVQGDIIECENPTNHSGKGGVAELEEILKQKTFTRSEIDLLTSLLRSRTVDITGGNEEKRSEIISVVSHDKKEDFLESPVRENGTENHLILTPVARSTVPDEDVASPAELTKAYMGSRPSKVSTSMHGLQNQVPRRDLSSPSNRIFPSKSSMTSLVRRSSGHVGSLGNGFMTPRSRGRSAIYSMARTPYSRVNSSTLIKSVGTDSDAFGGPLSSSQGTLEHNRISGSGQGVLSRSSVLDNDIGSVGPIRRIRQKSSLLSSRNLTLPASAAPLSAHVVGTSSAGLDTLAETGGNSSPGTSFATVPSKSSQMASKIFQQLEMLVSPGEKSPSMLSPSMLRGQARKSLANVDSSKFLENVHDSSKDYLDLDDGDYSIGATPARFGEWREKSDNSVNGSKRAAPEAVVEKPSIFSEVMPISSAAVNRNPGMRTSNGSPVVEKNSSITFPVVQVANSSMQSAFLGSQSTQAAKRDAFSTESNAPPMVSIGEKVAPVQLDAAVTTFGFASRNVGEVLSITGSSGVKLAKSSDQELDYSSSFATTAPGVTNHLSEKTDGGSTMNGIFRTPETALTSAVSTSNFKFGASADCSAVNNGSFSSSSFSFSSPVPSLVPSNGRSSSSATANNNDSPATATTSASATVNPTICHTSIPSVETSIPSFIASPVFKFSSSGDPSTSREATKSKTQDTSLGNVGIFPCSSTFAFTCSGSSAVSSTTGTTAVFTSSGNSSFSGTSSTITNSGSGFFSSTFTTVMGTGSSIFGSSSSTTSTGSSIFGGTSLPVAGTGNSTFSTKSAITGSGSNIFGFGAPAAPTSTALTQGLNPFNAVDTQASAAGTGIGSSTHSTPIQFSSSASSPSFGLGGNATFSSGSSIFGSSASVAKPFSSGASFGTSSSSSEINSLSSSSGIAAGAFGSNWQAPKTLTFGSSSGFSFGSSTSVSAPSSAPTIFGSSTGASSSSIFSFTSAAAATPSQPVFGNTSPGLVFGSTPSSNNDQMEDSMAEDTVQASPTVVTFSQQPISSPASGFVFGASNPSGVGSVQFGGQTSIGAPQNPSPFLASGSVEFGAGGSFSLGTGGSDKSARKFVKVRKQRRDKSS >KJB75070 pep chromosome:Graimondii2_0_v6:12:2748530:2757739:1 gene:B456_012G022400 transcript:KJB75070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAREEGNPYDGAQGAGGKFKKRPFRRTTKTTPYDRPPASIRNPSGSSNENGWLSKLVDPARRLITSSAHRLFASVFTKRLPPPPPPTPQLLDSETNREPTENQLDTTSTVPPVVQGDIIECENPTNHSGKGGVAELEEILKQKTFTRSEIDLLTSLLRSRTVDITGGNEEKRSEIISVVSHDKKEDFLESPVRENGTENHLILTPVARSTVPDEDVASPAELTKAYMGSRPSKVSTSMHGLQNQVPRRDLSSPSNRIFPSKSSMTSLVRRSSGHVGSLGNGFMTPRSRGRSAIYSMARTPYSRVNSSTLIKSVGTDSDAFGGPLSSSQGTLEHNRISGSGQGVLSRSSVLDNDIGSVGPIRRIRQKSSLLSSRNLTLPASAAPLSAHVVGTSSAGLDTLAETGGNSSPGTSFATVPSKSSQMASKIFQQLEMLVSPGEKSPSMLSPSMLRGQARKSLANVDSSKFLENVHDSSKVSGSRIVLTDFQDSVSNKHDKVKKNVSTLVSLSDKQVPAVNGVDSNSLMKDNRPSDRAADSSGIKSVVQLSQEKSQAFQISAHEDYLDLDDGDYSIGATPARFGEWREKSDNSVNGSKRAAPEAVVEKPSIFSEVMPISSAAVNRNPGMRTSNGSPVVEKNSSITFPVVQVANSSMQSAFLGSQSTQAAKRDAFSTESNAPPMVSIGEKVAPVQLDAAVTTFGFASRNVGEVLSITGSSGVKLAKSSDQELDYSSSFATTAPGVTNHLSEKTDGGSTMNGIFRTPETALTSAVSTSNFKFGASADCSAVNNGSFSSSSFSFSSPVPSLVPSNGRSSSSATANNNDSPATATTSASATVNPTICHTSIPSVETSIPSFIASPVFKFSSSGDPSTSREATKSKTQDTSLGNVGIFPCSSTFAFTCSGSSAVSSTTGTTAVFTSSGNSSFSGTSSTITNSGSGFFSSTFTTVMGTGSSIFGSSSSTTSTGSSIFGGTSLPVAGTGNSTFSTKSAITGSGSNIFGFGAPAAPTSTALTQGLNPFNAVDTQASAAGTGIGSSTHSTPIQFSSSASSPSFGLGGNATFSSGSSIFGSSASVAKPFSSGASFGTSSSSSEINSLSSSSGIAAGAFGSNWQAPKTLTFGSSSGFSFGSSTSVSAPSSAPTIFGSSTGASSSSIFSFTSAAAATPSQPVFGNTSPGLVFGSTPSSNNDQMEDSMAEDTVQASPTVVTFSQQPISSPASGFVFGASNPSGVGSVQFGGQTSIGAPQNPSPFLASGSVEFGAGGSFSLGTGGSDKSARKFVKVRKQRRDKSS >KJB75127 pep chromosome:Graimondii2_0_v6:12:3190107:3190896:-1 gene:B456_012G0256001 transcript:KJB75127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRVIGAAFLVLLLVDLAFATRPFKAARKGVGGGGGGGSGGGGATGSGYGSGYGSGSGSGYGSGANGNGRDRGRGEGGGGGSESSGGTGNRGGGGGGGGGGGGGGNGGGSGYGFGSGYGSGSGYGSEGRISSGGGGGGGGGGGGSGEGSGYGSGSGYGSGGGSASGGGGGGGGGGSRGGGGSGGGFGYGSGFGYGSGGSGGGGGGGRGGGGNGEGSGYGFGSGYGSGGGGGGGGGGGGGGGGGGGNGGGSGYGSGYGSGGG >KJB75038 pep chromosome:Graimondii2_0_v6:12:2408484:2411910:-1 gene:B456_012G019900 transcript:KJB75038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLWRSFSLYSPFHLSVPPKPIYSYSSQSSCTCSSSSSMEAPPQGYRRNVGICLINSSKKIFSASRLDIPSAWQMPQGGIDDNEDPKVAALRELKEETGVSSAEILAEVPYWLTYDFPPEVREKLKHQWGSDWKGQAQKWFLLKFTGKEEEINLLGDGTEKPEFGEWSWMTPEQIVEHVSTPLLLS >KJB75036 pep chromosome:Graimondii2_0_v6:12:2408025:2411962:-1 gene:B456_012G019900 transcript:KJB75036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLWRSFSLYSPFHLSVPPKPIYSYSSQSSCTCSSSSSMEAPPQGYRRNVGICLINSSKKIFSASRLDIPSAWQMPQGGIDDNEDPKVAALRELKEETGVSSAEILAEVPYWLTYDFPPEVREKLKHQWGSDWKGQAQKWFLLKFTGKEEEINLLGDGTEKPEFGEWSWMTPEQIVEHAVDFKKPVYNKVLEVFTPYLH >KJB75037 pep chromosome:Graimondii2_0_v6:12:2408059:2411910:-1 gene:B456_012G019900 transcript:KJB75037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLWRSFSLYSPFHLSVPPKPIYSYSSQSSCTCSSSSSMEAPPQGYRRNIFSASRLDIPSAWQMPQGGIDDNEDPKVAALRELKEETGVSSAEILAEVPYWLTYDFPPEVREKLKHQWGSDWKGQAQKWFLLKFTGKEEEINLLGDGTEKPEFGEWSWMTPEQIVEHAVDFKKPVYNKVLEVFTPYLH >KJB75035 pep chromosome:Graimondii2_0_v6:12:2408025:2411941:-1 gene:B456_012G019900 transcript:KJB75035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLWRSFSLYSPFHLSVPPKPIYSYSSQSSCTCSSSSSMEAPPQGYRRNVGICLINSSKKGGIDDNEDPKVAALRELKEETGVSSAEILAEVPYWLTYDFPPEVREKLKHQWGSDWKGQAQKWFLLKFTGKEEEINLLGDGTEKPEFGEWSWMTPEQIVEHAVDFKKPVYNKVLEVFTPYLH >KJB75268 pep chromosome:Graimondii2_0_v6:12:4294383:4296731:-1 gene:B456_012G034800 transcript:KJB75268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSTLARTSLLHLSPLRSTSLTRENATESVAIEGRNINFSVNTRQGKMVPILKDLVSKALDAVSMSEYLQRPVQTLSGGQEQRVAIAGALAEACKALILDEFTTFLDESDQMGVIKAVQNLLDISEVTALWV >KJB77207 pep chromosome:Graimondii2_0_v6:12:28987528:28987704:1 gene:B456_012G1256002 transcript:KJB77207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFCGAFMKNAAKANGVVLFKIIFFAAFFIK >KJB75534 pep chromosome:Graimondii2_0_v6:12:5774311:5776730:1 gene:B456_012G046100 transcript:KJB75534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTMKDTFYISHGAPTLAIDKSIPARHFLQSWKDKVFPQKPKAILVISGHWDTSFPAVNIVHRNDTIYDFYGFPDSMYKLKYPAPGAPELALRVKELLMASGFKRVDEDRERGLDHGAWVPLMLMYPEADIPVCQLSVQSKRDGSYHYNLGKALAPLKDEGVLIMGSGSATHNLRALRMVDGIVPWALEFDTWLKDALLQGRYEDVNCYQEKAPHAKMAHPWPDHFYPLHVAMGAAGENAKAKLIHHSWQHGALSYASYQFKSAS >KJB75535 pep chromosome:Graimondii2_0_v6:12:5774345:5776193:1 gene:B456_012G046100 transcript:KJB75535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTMKDTFYISHGAPTLAIDKSIPARHFLQSWKDKVFPQKPKAILVISGHWDTSFPAVNIVHRNDTIYDFYGFPDSMYKLKYPAPGAPELALRVKELLMASGFKRVDEDRERGLDHGAWVPLMLMYPEADIPVCQLSVQSKRDGSYHYNLGKALAPLKDEGVLIMGSGSATHNLRALRMVDGIVPWALEFDTWLKDALLQGR >KJB76227 pep chromosome:Graimondii2_0_v6:12:12753807:12754623:-1 gene:B456_012G078900 transcript:KJB76227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKTKKKWCHLPLSFSSPLFGKKGGMRFYFFSSSLFDLLENRLEEGLVSHAWRLFLCCFWIGKENSLKGKNGGEA >KJB77949 pep chromosome:Graimondii2_0_v6:12:33981287:33981656:-1 gene:B456_012G169600 transcript:KJB77949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSNEQQAFPHQQQCQNNEMLQGLPINTSPYVNYKDMEDYKQKGYGTEGHQQVQQKKSASGSTDAPTLLEPHAPNSCKAGRPSRN >KJB77434 pep chromosome:Graimondii2_0_v6:12:30987052:30994016:-1 gene:B456_012G136500 transcript:KJB77434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVWENFMRNNQDTFKSLFQRKKSLSNDEDSQVISPRPIPQLSALANSVVFRCSKILKVPTEELQHRFDIELPESVKQLFTYARNFLEFCSYQALYKVSRSPDYLSDPDFRRLTYEMMLAWEAPCVECERGTKETSSNNEEVEDDEDGSLFYSSSISMAVQVDDKKTVGREAFERIAPVCAVVADIITVHNLFDALTSSSGPRLHFIIYDKYLRSLDKVIKAAKNTLGPSLTNLPLSDVEIIIDIEGAVPTQPVLQHVGISAWPGRLTLTNYALYFEALGVGVYDKAVRYDLATDLKQVIKPELTGPLGARLFDKAVMYKSTTVTEPVYFEFPEFKGNFRRNFWLDISLEILYSHRFARKNNFKETLQSEVLARAILGIYRYRALREAFQFFSSQYKTLLSFNLAESLPGGDVILETLASRLALLNVDNSPHTVKHPPTSSPFSLLALSQLGFIPQKNAILDGEALIVGDFCVGETNPLETAVKQSISDTGRAEAAQATVNQVKVEGIETNLAVMKELLLPVIQLATLLELLSSWKSPIKSTVFLMLTSFAIIRGWIAYILALVFVFFAIVMLWNRYFNKGKPLEAFRIIAPPNRNAVEQLLTLQEVISQYEALIQTANVILLKIRAILFAVLPQATDRVALSLVFLAVVLAFVPLRFLILFVFVEAFTRELPYRRDSNDRWLRRLREWWFRIPAAPVQLVRADEKKKKL >KJB77435 pep chromosome:Graimondii2_0_v6:12:30987959:30993853:-1 gene:B456_012G136500 transcript:KJB77435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVWENFMRNNQDTFKSLFQRKKSLSNDEDSQVISPRPIPQLSALANSVVFRCSKILKVPTEELQHRFDIELPESVKQLFTYARNFLEFCSYQALYKVSRSPDYLSDPDFRRLTYEMMLAWEAPCVECERGTKETSSNNEEVEDDEDGSLFYSSSISMAVQVDDKKTVGREAFERIAPVCAVVADIITVHNLFDALTSSSGPRLHFIIYDKYLRSLDKVIKAAKNTLGPSLTNLPLSDVEIIIDIEGAVPTQPVLQHVGISAWPGRLTLTNYALYFEALGVGVYDKAVRYDLATDLKQVIKPELTGPLGARLFDKAVMYKSTTVTEPVYFEFPEFKGNFRRNFWLDISLEILYSHRFARKNNFKETLQSEVLARAILGIYRYRALREAFQFFSSQYKTLLSFNLAESLPGGDVILETLASRLALLNVDNSPHTVKHPPTSSPFSLLALSQLGFIPQKNAILDGEALIVGDFCVGETNPLETAVKQSISDTGRAEAAQATVNQVKVEGIETNLAVMKELLLPVIQLATLLELLSSWKSPIKSTVFLMLTSFAIIRGWIAYILALVFVFFAIVMLWNRYFNKGKPLEAFRIIAPPNRNAVEQLLTLQEVISQYEALIQTANVILLKIRAILFAVLPQVHVPAIFESHKRKMKYAKYVCPIIYK >KJB77029 pep chromosome:Graimondii2_0_v6:12:27004616:27004986:1 gene:B456_012G1172002 transcript:KJB77029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISVLFWLLLYSFVAVLVSGECQSHQQELLLGLGKTLNSSLSAKMRNWNQSTDCCSWGGITCDQSGRVIILDLSNQLISGTIDNSSSLFNLQHLQQLNLAYNTLSFSFPCGFDKLSNLSYLN >KJB76096 pep chromosome:Graimondii2_0_v6:12:10531559:10533384:1 gene:B456_012G071300 transcript:KJB76096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVVSEFEGTLLKDPDPFSYFMLVAFEASGLIRFALLLLFWPVIRLLEMLGLDDARLKLMVFFATVGLRVSEIESVSRAVLPKFYMDDVDMEAWKVFSSHDRRVVVTKTPRVMVERFAKEHLRADEVIGTELVVTRFGFATGFVKSDIASISRRVAKLFVDDEPILGLGRATSSFQFYSLCKEKVHPPFITNQNLNDQQLLRPLPVIFHDGRLVKRPTPSTALLILLWLPLGILLATIRIVIGLILPMQVIPYISHLFGGKIVVKGKPPPPASRNSPGVLFVCTHRTLMDPVILSNVLMRKIPAVTYSISRISEILSPIPTIRLTRIREVDAEKIKNKLAKGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAINYRVGFFHATTASGWKALDPIFFFMNPRPVYEVTFLNQLPMEATCSSGKSPEDVANYVQRILAATLGFECTNLTRKEKYKILAGNDGTVSRTSFVNQVKKVVRTFKPSFQ >KJB77576 pep chromosome:Graimondii2_0_v6:12:31869024:31871183:1 gene:B456_012G144600 transcript:KJB77576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAQNAENLYVKSPKPAFLSILLFILVVRLPKAASMDDNDNINFTFPDFNSNTHRIVYEADAYASGSAILLTANKTDQGLNGSVGRATYYKPMRLWNNSSGDFLLADFTTQFSFAVDSFHSSSYGSGFAFFLAPNGSKIPTHSEGACFGLQACYPSLSYDGNSKFVAVEFDTHQSDWDPPGMSEHVGIDINSVKTSYPTVAWWWSDIENGGKVNAFITFNSSTKNLSVTFVDADDFTRENSSSLSATLDLSQYLPEWVTFGFSGATGFNRSTELHTIYSWNFSSTLQVSMNTAIYSPTAAPVATTSTSNSPVEPRRKKRTWPWIVLAMFGAISALVPVLGLIWFFYRRRKYSRKEDGTMVVNVEMEMVTAPRKFSYKELRFATNNFADDGLLGEGGFGKVYLGFLRDINCSIAVKRITPNSQQGVKEYLSEVTTIARLRHRNLVQLIGWCHDNKEFLIVYDFLPNKSLDFHLRREPCLLTWDKRYKIAMGLASALFYLQEECDQCVLHRDMKSSNVLLDLSFNAKLGDFGLARLVDHGQESQTTSVMLGTDGYIAPECLVTYKATKESDIYSFGIVALEIASGKKAIAVIERHGKRFKTKLVEWVWELYGKESLLDAADPQLYGNYEIEQMERLLLVGLACADPNYFERPSIPQVIDILSFKAPVPMLPQDIPVPTYIAALQDNMVTSSASNSFHTGASSRSQTQSPGIASSIHSLKG >KJB75778 pep chromosome:Graimondii2_0_v6:12:7874214:7875265:-1 gene:B456_012G057600 transcript:KJB75778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLQFAAVFLMLLFSAHTVTFYVHNKCPFPIWPGTAANAGHPVIADGGFYLPTGETRRTEAPWTWSGRIWARTGCNFNSNWQPACETGDCDGRLQCDGLIGTPPATLVQIALQGGKAKPDFYDVSLVDGYNLPVSISTRPFSPKCAIGGCSENLNNLCPQELEVRNKHGQVVACKSACLAFNVDSFCCRNEYGTPETCKPSLYSKIFKEACPCYYSYAFDMPPPLINCASKEYIITFCPSTWGAHQASI >KJB75779 pep chromosome:Graimondii2_0_v6:12:7874331:7875170:-1 gene:B456_012G057600 transcript:KJB75779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLQFAAVFLMLLFSGHSLAHTVTFYVHNKCPFPIWPGTAANAGHPVIADGGFYLPTGETRRTEAPWTWSGRIWARTGCNFNSNWQPACETGDCDGRLQCDGLIGTPPATLVQIALQGGKAKPDFYDVSLVDGYNLPVSISTRPFSPKCAIGGCSENLNNLCPQELEVRNKHGQVVACKSACLAFNVDSFCCRNEYGTPETCKPSLYSKIFKEACPCYYSYAFDMPPPLINCASKEYIITFCPSTWGAHQASI >KJB74673 pep chromosome:Graimondii2_0_v6:12:222359:225193:-1 gene:B456_012G002100 transcript:KJB74673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLLFCISYIRNMSDHQFCCSSYESQRSLPSVPCITSQLHLQHYYLSNIQHHCLTTLKGHTSYVSSLAFDGKFLYTGSSDKEIRLWKCNDLNSDFEFENLTNNIVVMGKGAVKSLIVLADKLFSAHQDHKIRVWKINNDGPDNQNYTRLATLPTLSDRASKLLQPKNHVQIRRHKTCTWVHHVDTVSALALSRDETLLYSVSWDRTLKIWRTSDFKCLESVTNAHDDAINAVALSDDGDVYTGSTDKKIKVWRKIPGDKNHSLVTTLEKHNSGINALAISLDGSTLYSGASDRSIVVWEKDDGGDGMAVAGALRGHTKSILCLAVVSDLVCSGSADKTIRIWRRGVDGNYSCLAVLEGHEGPVKCLAGAVDRSSRCDTSYVIYSGSLDCDIKVWQIIAPLPYGDLES >KJB77155 pep chromosome:Graimondii2_0_v6:12:28551024:28552505:1 gene:B456_012G123500 transcript:KJB77155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNGGVAWMRTTEKIDGYDLSTSDTEEVHVLAVDDSLVDRKVIERLLRISSCKVTAVDSGRRALQYLGLDEEIQKKETNGFDPFFYCDFQGLKVDLIITDYCMPGMTGYELLKKVKESSAFREIPVVIMSSENVIARIDRCLEEGAEDFIVKPVKLSDVKRIIDYTTTELREGELREGARAKRRGINKRKQREGDDDLSSSPPSTLSSSTSSSPSSSIQSATAPSSPSTLDSPTRRLKMTSSE >KJB77154 pep chromosome:Graimondii2_0_v6:12:28550663:28552564:1 gene:B456_012G123500 transcript:KJB77154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNGGVAWMRTTEKIDGYDLSTSDTEEVHVLAVDDSLVDRKVIERLLRISSCKVTAVDSGRRALQYLGLDEEIQKKETNGFDGLKVDLIITDYCMPGMTGYELLKKVKESSAFREIPVVIMSSENVIARIDRCLEEGAEDFIVKPVKLSDVKRIIDYTTTELREGELREGARAKRRGINKRKQREGDDDLSSSPPSTLSSSTSSSPSSSIQSATAPSSPSTLDSPTRRLKMTSSE >KJB77596 pep chromosome:Graimondii2_0_v6:12:32058172:32061056:1 gene:B456_012G146200 transcript:KJB77596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLRDGLIFHVQSIKAGFSLPILTSNQLIHLYSKHGRIHEARKLFDEMPERNVFSWNTIISAYIKFQNLTQARALFDAAPRKDLVTYNSMLSGYVSTDGYETPALELFYDMQTACEDKIKIDEFTVTTILNLSAKLGKLSYGAQLHCFMVKTRNDKTGFAVSSLIDMYSKCGCFKEAFQVYKGGCGLVDLVSKNAMVAAFCRENEMEMALELFWKDPELNDAVSWNTLISGYQQHGYLEESLKLFVMMRENGFRWNEHTFTTVLSACATLKNLKAGKEVHGWVLKNGLISNLFVISGIVDLYCKCGKMKYAELMHLCSGRNNSFSVTSMIVGYSSQGNMVEARRLFDSLAEKNSVVWTALFSGYLKSRNCDAVFQLLSEYWDKEATVPDGLILMSVLGACAIQAALDPGKQTHGFMLRVGIEMDEKLFSAMIDMYSKCGNIAYAEKMFRMVKVKDSVIYNVMMTGYAHHGHESKAFQLFEEMLHQAIKPDVVTFVALLSACRHCGLVELGEQYFNSMKEVYKILPENDHNACMIDLYGRANRLDKAVAFMKAIPIEHDAAIMGAFLNACRVNKNVELAQEAEEILLRIEGDNGARYVQLANIYAAEGNWAEMGRIRKEMRGKVKKFAGCSWVFVENGVNTFISSDRSHSKAETIYATLDCLSKELREPVEAFL >KJB75524 pep chromosome:Graimondii2_0_v6:12:5686760:5687578:1 gene:B456_012G045400 transcript:KJB75524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVPELAVEMGTKKLFGMLFMLLLLVALASHGGMVEGRICESKSHRFKGVCLSDHNCGLVCRNEGFLDGWCRGFRHRCFCTRNC >KJB76605 pep chromosome:Graimondii2_0_v6:12:19632410:19634924:1 gene:B456_012G096800 transcript:KJB76605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLEDELFPSTPGKFKVDRAHNMNRQFHRCFASTSTMFLWALFLIALTASYLSFQSFVDSGSRYFSASWGGIQWEKQVRNSAQIHRSGGMSVLVTGAAGFVGTHVSLALKKRGDGVVGLDNFNNYYDPSLKKARKSLLNSHGILVVEGDLNDAKLLAKLFDVVAFTHVMHLAAQAGVRYAMENPNSYVHSNIAGLVTLLEICKSANPQPAVVWASSSSVYGLNEKVPFSEADRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITIYRGKNRVDLARDFTYIDDIVKGCLGSLDTSGKSTGSGGKKKGNAPYRIFNLGNTSPVKVPELVNILERHLKVKAKRNIVDMPGNGDVPFTHANISLAQREFGYKPTTDLQTGLKKFVRWYLSYYGYNNRKGLQ >KJB75130 pep chromosome:Graimondii2_0_v6:12:3222744:3223319:-1 gene:B456_012G025800 transcript:KJB75130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRVIGAAFLALLLVDLAFAARLGKGGGGGGGGGGGGGGSGSGSGSGYGSGSGSGHGSGGGIGSGGGGGGGSGGGGGGGGGNGGGSGYGSGSGYGSGSGYGSGGGRGRGGGGGGGSGGGGGGGGGHGGGSGYGSGSGYGSGSGSGSGSGRGGGGGGGSGGGGGGGGGEGNGSGYGSGSGYGSGYGNGGG >KJB76927 pep chromosome:Graimondii2_0_v6:12:25931397:25935041:-1 gene:B456_012G113200 transcript:KJB76927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKERDEELALFLEMRRREKEKEKSNNLLSVHKSEQQLNVPLGSNVNVNGNGNVGGGGSPVSKIFSALPVRKTAADNFLNSENEKSDYDWLLTPPGTPLFPSLEMESQKTLMSQIGMSNARPVSLKTRLANLPEEPALKSTLALKQQAPSAGVISSSTLNRRPSSSGGSKSASRPATPTGRPTLPTTTKPSRSSTPTSRANLPSVKPAASTARSSTPTRSAPRSLTPTARPSLPASKSTSRSSTPTRRSASSSSTPIASAPSGRSSSVTRSAPTASSIPKSASLTSSLMKSAPATSSVTKSGTAASSIRKPSTATTSKSTVQSRGTSPTVKSRPWKPSEMPGFSLETPPNLRTSLPERPVSASRGRPAAPGARSSSVEANSSGRPRRQSCSPARSRASSGSFGNGSSIRSVRRADANGSDNESPVVIGTKMVERVVNMRKLVPPKQDDNHRNNPTAKLSSSLDSSGFGRTLSKKSLDMAMRHMDIRRSISGQQRPLMTNVPASSIYSVRSGSTKSRTLSVCDSPLATSSTASSEPSVNNNSFFMDGSEMEDNDISSERGISSPTSQHVS >KJB76928 pep chromosome:Graimondii2_0_v6:12:25931449:25934943:-1 gene:B456_012G113200 transcript:KJB76928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKERDEELALFLEMRRREKEKEKSNNLLSVHKSEQQLNVPLGSNVNVNGNGNVGGGGSPVSKIFSALPVRKTAADNFLNSENEKSDYDWLLTPPGTPLFPSLEMESQKTLMSQIGMSNARPVSLKTRLANLPEEPALKSTLALKQQAPSAGVISSSTLNRRPSSSGGSKSASRPATPTGRPTLPTTTKPSRSSTPTSRANLPSVKPAASTARSSTPTRSAPRSLTPTARPSLPASKSTSRSSTPTRRSASSSSTPIASAPSGRSSSVTRSAPTASSIPKSASLTSSLMKSAPATSSVTKSGTAASSIRKPSTATTSKSTVQSRGTSPTVKSRPWKPSEMPGFSLETPPNLRTSLPERPVSASRGRPAAPGARSSSVEANSSGRPRRQSCSPARSRASSGSFGNGSSIRSVRRADANGSDNESPVVIGTKMVERVVNMRKLVPPKQDDNHRNNPTAKLSSSLDSSGFGRTLSKKSLDMAMRHMAKYFRSAASAYDKCSSFLHI >KJB76929 pep chromosome:Graimondii2_0_v6:12:25931503:25934927:-1 gene:B456_012G113200 transcript:KJB76929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQKTLMSQIGMSNARPVSLKTRLANLPEEPALKSTLALKQQAPSAGVISSSTLNRRPSSSGGSKSASRPATPTGRPTLPTTTKPSRSSTPTSRANLPSVKPAASTARSSTPTRSAPRSLTPTARPSLPASKSTSRSSTPTRRSASSSSTPIASAPSGRSSSVTRSAPTASSIPKSASLTSSLMKSAPATSSVTKSGTAASSIRKPSTATTSKSTVQSRGTSPTVKSRPWKPSEMPGFSLETPPNLRTSLPERPVSASRGRPAAPGARSSSVEANSSGRPRRQSCSPARSRASSGSFGNGSSIRSVRRADANGSDNESPVVIGTKMVERVVNMRKLVPPKQDDNHRNNPTAKLSSSLDSSGFGRTLSKKSLDMAMRHMDIRRSISGQQRPLMTNVPASSIYSVRSGSTKSRTLSVCDSPLATSSTASSEPSVNNNSFFMDGSEMEDNDISSERGISSPTSQHVS >KJB75526 pep chromosome:Graimondii2_0_v6:12:5690162:5702486:-1 gene:B456_012G045500 transcript:KJB75526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGESSGSQSSSQIWAKLVPLQAQQSDIEVCSDEMIVSSQITSSSQVKHDWCKITRNADMLTAMMQNKSSNDILVDDAVVRREDVVEIKCGTEMVLGPNREEYLSYIFKLMPSQETCKKKLKISVDVEHAKCSICLNIWHDVITIAPCLHNFCNGCFSEWLKRSQKKHSGVLCPQCRAVVQFAGRNPFLRNIEEEILQADPSLKRSDEEIALIDSYATIRSNLAIRSERGSRRKRGWAYDGDEYASEESDGVGPQCPQCGSAIGGFQCNQQTIHIQCQNCGGMMPSRADMDVPQHCSGCDGSFCGAYWHAQRVTRSEYHPVCNHETFRPISEHTITRIPFLAHEMNRHEQDITERCISQSGRTLQEVVAEWIRKLNNREIGMLIF >KJB75527 pep chromosome:Graimondii2_0_v6:12:5691153:5702486:-1 gene:B456_012G045500 transcript:KJB75527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGESSGSQSSSQIWAKLVPLQAQQSDIEVCSDEMIVSSQITSSSQVKHDWCKITRNADMLTAMMQNKSSNDILVDDAVVRREDVVEIKCGTEMVLGPNREEYLSYIFKLMPSQETCKKKLKISVDVEHAKCSICLNIWHDVITIAPCLHNFCNGCFSEWLKRSQKKHSGVLCPQCRAVVQFAGRNPFLRNIEEEILQADPSLKRSDEEIALIDSYATIRSNLAIRSERGSRRKRGWAYDGDEYASEESDGVGPQCPQCGSAIGGFQCNQQTIHIQCQNCGGMMPSRADMDVPQHCSGCDGSFCGAYWHAQRVTRSEYHPVCNHETFRPVYAAS >KJB75525 pep chromosome:Graimondii2_0_v6:12:5687863:5702698:-1 gene:B456_012G045500 transcript:KJB75525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEHPFMAEVGESSGSQSSSQIWAKLVPLQAQQSDIEVCSDEMIVSSQITSSSQVKHDWCKITRNADMLTAMMQNKSSNDILVDDAVVRREDVVEIKCGTEMVLGPNREEYLSYIFKLMPSQETCKKKLKISVDVEHAKCSICLNIWHDVITIAPCLHNFCNGCFSEWLKRSQKKHSGVLCPQCRAVVQFAGRNPFLRNIEEEILQADPSLKRSDEEIALIDSYATIRSNLAIRSERGSRRKRGWAYDGDEYASEESDGVGPQCPQCGSAIGGFQCNQQTIHIQCQNCGGMMPSRADMDVPQHCSGCDGSFCGAYWHAQRVTRSEYHPVCNHETFRPISEHTITRIPFLAHEMNRHEQDITERCISQSGRTLQEVVAEWIRKLNNREIDRTRMPLNHAERITAATHVCSTCYEKLVSFLLYWFRISLPKYHLPSDASQREDCWYGYACRTQHHNEEHARKRNHVCRPTRGA >KJB78029 pep chromosome:Graimondii2_0_v6:12:34303238:34309129:-1 gene:B456_012G173200 transcript:KJB78029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELRHSGSSLGSRASSSPMKRDEDASPLIFNDHVLRDDDDDHPRHSFRDRYRSFWSQIYSFFPFLNDDPRFSQHGSRISLLLLLFIAVAGLISFSSILQRLNSPYLCKKDGIVLHCPRVKENPSLWENPFSATTSWKPCAERHDGGMSDLPPENETSGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKDDVRIVRDIPGWFTDKSELFTSIRYDNVPPEINRLRCRVNYHALKFLPQIEQMANLLVSRMRNRTGSPNPYMALHLRFEKGMVGLSFCDFVGTREEKAKMAEYRQKEWPRRYKNGSHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELASKEELAGFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRQKSIKPDKGLMSKSFGDPYMGWATFVEDVVVTHQTRTGLPEETFPNYDLWENPLTPCMCKA >KJB78030 pep chromosome:Graimondii2_0_v6:12:34303719:34308923:-1 gene:B456_012G173200 transcript:KJB78030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELRHSGSSLGSRASSSPMKRDEDASPLIFNDHVLRDDDDDHPRHSFRDRYRSFWSQIYSFFPFLNDDPRFSQHGSRISLLLLLFIAVAGLISFSSILQRLNSPYLCKKDGIVLHCPRVKENPSLWENPFSATTSWKPCAERHDGGMSDLPPENETSGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKDDVRIVRDIPGWFTDKSELFTSIRRTVKNIPKYAPAQFYIDNVLPRVKEKKIMALKPFVDRLGYDNVPPEINRLRCRVNYHALKFLPQIEQMANLLVSRMRNRTGSPNPYMALHLRFEKGMVGLSFCDFVGTREEKAKMAEYRQKEWPRRYKNGSHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELASKEELAGFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRQKSIKPDKGLMSKSFGDPYMGWATFVEDVVVTHQTRTGLPEETFPNYDLWENPLTPCMCKA >KJB77781 pep chromosome:Graimondii2_0_v6:12:33051603:33057858:-1 gene:B456_012G156900 transcript:KJB77781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKRARTSVDKAVVDVWQREVGELSTRNFAHRLAASEDLVLRLEIYKKLKKHRGCVNTVSFNADGNILVSGSDDKRVILWDWETGNAKLTFQSGHVNNVFQAKIMPYSDDRSLVTCAADGQVRHAQILERGVETKLLAKHQGRAHKVVIEPGSPYIFYTCGEDGLVQHIDLRTVASTELFTCRPVDDSRAYMPFIHLNAIAIDPRNPNLFAVAGSDEYTRLYDIRKYKWDGSTDIGQPTDYFCPPHLIGNDQVGITGLTFSDQSELLVSYSDEFIYLFTRDMGLGPNPIPSSPLSACNEASDIGLDHSATDANEKAIPQVYEGHRNCETVKGVNFFGPKADYVVSGSDCGHIFIWRKKGGELIRVMEADKDVVNCIESHPHTTVLASSGIESDIKIWTPKAIDKPTLPAKIEQFKPKPRGWLYRLTTPRDLMFHLFSLQRREASPERNALSLSAASRELLGHILAFNANSDASSDGGNASGPEDLFS >KJB77782 pep chromosome:Graimondii2_0_v6:12:33052306:33057019:-1 gene:B456_012G156900 transcript:KJB77782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYSDDRSLVTCAADGQVRHAQILERGVETKLLAKHQGRAHKVVIEPGSPYIFYTCGEDGLVQHIDLRTVASTELFTCRPVDDSRAYMPFIHLNAIAIDPRNPNLFAVAGSDEYTRLYDIRKYKWDGSTDIGQPTDYFCPPHLIGNDQVGITGLTFSDQSELLVSYSDEFIYLFTRDMGLGPNPIPSSPLSACNEASDIGLDHSATDANEKAIPQVYEGHRNCETVKGVNFFGPKADYVVSGSDCGHIFIWRKKGGELIRVMEADKDVVNCIESHPHTTVLASSGIESDIKIWTPKAIDKPTLPAKIEQFKPKPRGWLYRLTTPRDLMFHLFSLQRREASPERNALSLSAASRELLGHILAFNANSDASSDGGNASGPEDLFS >KJB78101 pep chromosome:Graimondii2_0_v6:12:34677989:34680910:1 gene:B456_012G178100 transcript:KJB78101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTLNEIVISSGESEKPAENITYMDASLYKAAAIGKIEEFNNYQRPELESLKTPNHDNVLHVNLSDFIEQILFKCPSLLRQTNAKGQTPLHVAARNGHSAIVKLLIKSRAKATDEDLKKLGMDQLNAVREMLRNTDQESNTALHVAARYGHVEVVQELLEFENPDFPYFVNRNQETPLHIAARRINWTALHAAAMAGDVGTLKATKIIVENKGDMTKETDENGHTPLHYAAHLGHDSVVEELLKWDLLAAYVGDKEWEMTPLLMAARQGHGQIVTKILSSCPGCCEKVDKRGWITFSFCGVKEQIMELLKDITNEEVAEKPVRPIPSITISADRLEKEREVHLVVAALIATVTFAAAITVPGGLKKEKGSEQGIPFLIHDATFKAFIVTDALAFLFSLCALLFHFGMLPPFSSIQRPTFLSHVGATTFLGYATYAMVIAFCTTIYVVLKPSYGLSFVC >KJB76740 pep chromosome:Graimondii2_0_v6:12:23449175:23451327:-1 gene:B456_012G104400 transcript:KJB76740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDASSSSLTLLCFASLMCLFGMVNARIPGVYTGGSWESAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCTDDPRWCHPGNPSIFITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLKMAEYRAGIVPVSFRRVPCRKQGGIRFTINGFRYFNLVLVTNVAGAGDIVKVSVKGTNTGWLSMSRNWGQNWQSNAVLVGQQLSFRVTGSDRRTSTSWNMAPANWQFGQTFTGKNFRV >KJB74944 pep chromosome:Graimondii2_0_v6:12:1686770:1688588:1 gene:B456_012G015000 transcript:KJB74944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKEILSIFSFLLLFLQSHARPPVESSLPSGPSSPAPAPGPSNHWCWLSSTSCHNESLRACLDPASIGSKKVIVLVMNEGERNLNVSVSMSHGKTKTVEVLPNLTRKVEITAKVGGNSTIQIDAGELRCAIHIRAAASSYGIFNYIPFPRHISPIYLVILTGLIIGSTYACYKRSKRDDGIAYQQLEMGQPVSSSPNNVDVETAQGWEQDWDGEWQEVKSKLASVNGLSSRSGKRDDD >KJB76910 pep chromosome:Graimondii2_0_v6:12:25560376:25562638:1 gene:B456_012G112100 transcript:KJB76910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPSFTLVSRCTVSPDQISDIKTLKLSVSDIPMLSCQYIQKGVLLTSPPYSFDDLVCFLKQSLSTTLSYFPPLAGRLTTDPDGHVHITCNDAGIDFLVVKSPNISIHNVLVQGDVPDCVKQFFTFDKTLSYSGHFKPLAAVQVTELADGVFIGCIVNHAVTDGTSFWHFFNTFAEITKGALKISKSPDFGRNTVFNSQAVLKFPPGGPTVTFAGDEPLRERILHFSREAILKLKYRANYGCLLTKQTNSEVLGKLCNDSWKSVNVETNGKVRNSNDEISSFQSLCAQLWRSVTRARKLEPTKTTTFRMAVNCRHRLDPKLEPYYFGNAIQSIPTFASAGELLGKDLSWAADILHKNVVAHNNDTVRKGVADWEKQPRLFPLGNADGASITMGSSPRFPMYNNDFGWGRPLAVRSGRANKFDGKISAFPGRDGNGSVDLEVVLAPDTMLEVENDAEIMQYVSEFL >KJB77343 pep chromosome:Graimondii2_0_v6:12:30409103:30411044:-1 gene:B456_012G132900 transcript:KJB77343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPIPLLIPRYMPTSMLEDKPRVWLGTGSCNLLQKTKDSIDELSFWARSDLLVALKHYQDSPRTATSSGVLEKCLDSLVGRLAMASEVTPCASTSSPDSSGLRISFNTRSTQSSNKGLFRATWWFEDLSALSPNLIEMLVKSMVSRGHNHVIVSGFLFYYQKSKFYTASSEEKREVLEIVIDMLYTLDPSSISCKSLFGVLRPVLRSNISKSCRNKLESMIGSQLDQATIDNLLIPSYGRSYLYDVNLVLRFLKAFLREGGSESSPIRMKKVASLIDLYITEVAPDRCLKSSKFSALVMVLPDSARDSWDELYHAMDIYLEVHTGLSEEEKMKICGGLNYKKLSSEACMHLSQNTKFPSTSAVQALISQQWKLKNLLEGMHNAKHYTDFTAKEDRGREQVVVYNEKLRAQLKGMQWRVMELEKVCKKMQNQMAKIMKAKVLTHSDARPLPRLCS >KJB77344 pep chromosome:Graimondii2_0_v6:12:30409373:30410967:-1 gene:B456_012G132900 transcript:KJB77344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPIPLLIPRYMPTSMLEDKPRVWLGTGSCNLLQKTKDSIDELSFWARSDLLVALKHYQDSPRTATSSGVLEKCLDSLVGRLAMASEVTPCASTSSPDSSGLRISFNTRSTQSSNKGLFRATWWFEDLSALSPNLIEMLVKSMVSRGHNHVIVSGFLFYYQKSKFYTASSEEKREVLEIVIDMLYTLDPSSISCKSLFGVLRPVLRSNISKSCRNKLESMIGSQLDQATIDNLLIPSYGRSYLYDVNLVLRFLKAFLREGGSESSPIRMKKVASLIDLYITEVAPDRCLKSSKFSALVMVLPDSARDSWDELYHAMDIYLE >KJB74565 pep chromosome:Graimondii2_0_v6:12:27952205:27953211:-1 gene:B456_012G122300 transcript:KJB74565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CHELLGSIGISCDEVAHTGDSLALSELSLKFLRPLRSGDKFVVKARISNSSGARLYFEYFIFKMANEEPILKAKATVVWLDKNYRPARIHPEFRSKFVQFLRCKEPN >KJB77483 pep chromosome:Graimondii2_0_v6:12:31299822:31301768:1 gene:B456_012G139200 transcript:KJB77483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHHLLFPILILAFSTASSLAATAEDQTLNTHQAEFFNPKLPPRILSSSKKFEGSSDIVDLRYHMGPVLSSSPINIYLIWYGRWSVSQKLLIKDFINSISPSATPSFPPSPSVSDWWKTVSLYTDQTGANVSRTVVIAKEHSDTGYSHGSHLTRLSVQQVIATAVEAAPFPVDHRNGIYLILTSHDVTVEDFCRAVCGFHYFTFPSMVGHTLPYAWIGNSGKQCPEVCAYPFAVPGYMGGGGPGSLAPPNGDVGLDGMISVIAHELAELSTNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGQVMRDQKGKTYNMNGNKGRKFMVQWIWSPVLKACAGPNALD >KJB77598 pep chromosome:Graimondii2_0_v6:12:32066879:32069635:1 gene:B456_012G146400 transcript:KJB77598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGRREGGLMRKASQSVVKSRILIAVAIGISIGCLFAFLYPHGFLSFYSPAFHSQVLSSKCESSHRLNELKAEYAAELEKNEELRQQVKELTEKVRLAEQKKDHAQKQIEALGKWRKAGPSGTVKGLRTNPTVIPDESVNPRLAVILEAVAVGRELIVALANWKVKESLEIWFTNIKRVGITNYLVVALDDKITEFCKSNNVPVFTRDANDGIASIGRTGNNHAVSGLKFHILREFLQLGYSVLLSDIDIVYLQNPFDYLYRDSDVESMTDGHDNMTAYGFDDVFDEPAMGWARYAHTMRIWVFNSGFFYIRPTIPSIELLDRVAGRLAKEPKSWDQAVFNEELFFPSHLGYEGLHAARRTMDFYLFMNSKVLFKTVRKDDRLSKLKPVIVHVNYHPNKLQRMKAVMEFYVDGNRDALKPFPDGSEWEW >KJB77599 pep chromosome:Graimondii2_0_v6:12:32066879:32070091:1 gene:B456_012G146400 transcript:KJB77599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGRREGGLMRKASQSVVKSRILIAVAIGISIGCLFAFLYPHGFLSFYSPAFHSQVLSSKCESSHRLNELKAEYAAELEKNEELRQQVKELTEKVRLAEQKKDHAQKQIEALGKWRKAGPSGTVKGLRTNPTVIPDESVNPRLAVILEAVAVGRELIVALANWKVKESLEIWFTNIKRVGITNYLVVALDDKITEFCKSNNVPVFTRDANDGIASIGRTGNNHAVSGLKFHILREFLQLGYSVLLSDIDIVYLQNPFDYLYRDSDVESMTDGHDNMTAYGFDDVFDEPAMGWARYAHTMRIWVFNSGFFYIRPTIPSIELLDRVAGRLAKEPKSWDQAVFNEELFFPSHLGYEGLHAARRTMDFYLFMNSKVLFKTVRKDDRLSKLKPVIVHVNYHPNKLQRMKAVMEFYVDGNRDALKPFPDGSEWEW >KJB75174 pep chromosome:Graimondii2_0_v6:12:3582754:3588418:-1 gene:B456_012G028900 transcript:KJB75174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRDRIPLVTERKSCSSCLCSLIPATALLCIVYFLGSSYVAPANKEKLSMWGVTDFLQSSNKCKSQCKPLGSEPLPEGIITNTSNLQMRPLWGFPKKEKVSTSLFAVAVGIKQKDIVHKMVKKFLSSGFVVMLFHYDGIVDEWKDFAWSDQVIHVSARNQTKWWFAKRFLHPGIVWEYSYIFLWDEDLGVEDFHPKKYVSIVEREGLEISQPALDTAKSEVHHQITARGRKSIVHRRTFKHGVNRTSCDGHSKAPPCTGWIEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDMQLGYCAQGDRTKNIGVVDAEYIVHYNRPTLGSTVEKNHSTLQGGNKTYSHRRGKDPRVEVRRQSYIELDIFRKRWEKAVKNDKCWADPYQ >KJB75173 pep chromosome:Graimondii2_0_v6:12:3582613:3586918:-1 gene:B456_012G028900 transcript:KJB75173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSDFNIELCSDDVHTLFVKKEKVSTSLFAVAVGIKQKDIVHKMVKKFLSSGFVVMLFHYDGIVDEWKDFAWSDQVIHVSARNQTKWWFAKRFLHPGIVWEYSYIFLWDEDLGVEDFHPKKYVSIVEREGLEISQPALDTAKSEVHHQITARGRKSIVHRRTFKHGVNRTSCDGHSKAPPCTGWIEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDMQLGYCAQGDRTKNIGVVDAEYIVHYNRPTLGSTVEKNHSTLQGGNKTYSHRRGKDPRVEVRRQSYIELDIFRKRWEKAVKNDKCWADPYQ >KJB74905 pep chromosome:Graimondii2_0_v6:12:1537343:1539788:-1 gene:B456_012G013700 transcript:KJB74905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLPPHLELQRSRVSCNKDAPIHTESIQYSGAYASMGIDNSSRLDRFSNNFRVEVVRLNEDDMEFDMIGIDAAIANSFRRILIAELPKMAIEKVLIANNTSIIQDEVLAHRLGLVPIQNDQPNEKNTIVFKLHVQCKRGSPRITVKSDALKWLPNGSELVKETRNATSDSSSKPETYTYFCCSQETIPEFVKNPIIPKYPDIIIAKLGPGQEIELEAYAVKGIRKTHAKWSPVATAWYRMLPEVVLLEDIEDDLAEELKSKCPVNLFDIEDLGKGRRATVARPRACTLCRECIRGDDWEKRVALHRVKDHFIFTIESTGALPPEVLFTEAVKILEDTNA >KJB77541 pep chromosome:Graimondii2_0_v6:12:31659418:31661150:1 gene:B456_012G142700 transcript:KJB77541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIYRLATLMLFCFIQLSFSELVQEQPLVLKYHNGPLLKGKITVNLIWYGKFTPIQRSIIVDFISSLSSDKATMVPSTSSWWKITEKYKGGSSTLAVGKQILLENYPLGKFLKNPHLSALASKFNGVDTINVILTSKDVAVEGFCMRCGTHGSTRVGRGPVRGTYIWVGNSETQCPGQCAWPFHQPIYGPQTPPLVAPNGDVGVDGMIINLATLLGNTVTNPFNNGYFQGPANAPLEAVSACTGMFGSGSYPGYPGKVLVEKSTGASYNANGINGRKYLLPAMWDPQTSTCKTLM >KJB75064 pep chromosome:Graimondii2_0_v6:12:2684621:2686153:1 gene:B456_012G0218001 transcript:KJB75064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSDVHSFRHNEVCKILEARGGIDPHLYLNFFLWTTVHILRYAILFIDIYDDLISRGVNSNDAKKFAEICTCPCNGVGGGFIWGMMSFIFLGASMYLGLLILA >KJB77845 pep chromosome:Graimondii2_0_v6:12:33316235:33319676:-1 gene:B456_012G160900 transcript:KJB77845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNEDPNLQQREQQSIESRNNEGYHQGFFFYGRFWMVFSSFTNEFNFRCVFILFLSLSVLLPGIFWIFPFRSIKSGFDAKQAIKLSAPVHAYFTLKKPVSELVQHIQKLEYDIYEEIGVPDTKVAILSMHQSSVPNSTYVVFGVLPDPVNRLINQISLSVLRESLIELFLRHSNLTLTTSIFGQPSKFEILKFPGGITITPLQSASIFQKTQILFNFTLYNSISEIDDKFMELMDQLQYGLHLRSYENLFVQITNINGSTMSSPVIVQASIMSNGFSSLLPQRLKQLAQTITGSPAKNLGLNNSVFGRVKSISLSSYLNGTLHPTPPPTPSPAPSPGLLIAPSPISSPAHSPAPSPKEHHLPPCPNCKSPAPSSHSPLRSPGPGSGSYPTFPPLVSPAPSSAATQPPPPCYLPRPHPSQTSNHQPVMSPRSKLSPNLPPLPSLSYGSRPDQGMNGMKGPVSAPPAQSPSSIATSVLPKQLWLLAFLGFLTFHLHL >KJB77846 pep chromosome:Graimondii2_0_v6:12:33316234:33319727:-1 gene:B456_012G160900 transcript:KJB77846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNEDPNLQQREQQSIESRNNEGYHQGFFFYGRFWMVFSSFTNEFNFRCVFILFLSLSVLLPGIFWIFPFRSIKSGFDAKQAIKLSAPVHAYFTLKKPVSELVQHIQKLEYDIYEEIGVPDTKVAILSMHQSSVPNSTYVVFGVLPDPVNRLINQISLSVLRESLIELFLRHSNLTLTTSIFGQPSKFEILKFPGGITITPLQSASIFQKTQILFNFTLYNSISEIDDKFMELMDQLQYGLHLRSYENLFVQITNINGSTMSSPVIVQASIMSNGFSSLLPQRLKQLAQTITGSPAKNLGLNNSVFGRVKSISLSSYLNGTLHPTPPPTPSPAPSPGLLIAPSPISSPAHSPAPSPKEHHLPPCPNCKSPAPSSHSPLRSPGPGSGSYPTFPPLVSPAPSSAATQPPPPCYLPRPHPSQTSNHQPVMSPRSKLSPNLPPLPSLSYGSRPDQGMNGMKGPVSAPPAQSPSSIATSVLPKQLWLLAFLGFLTFHLHL >KJB77426 pep chromosome:Graimondii2_0_v6:12:30928587:30930356:-1 gene:B456_012G136100 transcript:KJB77426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLKWVLNLLLVCSMLQVFPLPLAQTICSEADRAALLGFKAKILKDSTGSLSSWVGKDCCGGDWEGVGCNPTGRVNTLALQRPERDSTLYMKGTLSSSLGSLQFLEVLVISGMKFITGPIPESLSNLSRLKQLVLEDNSLQGNIPSGLGRLSFLQTLSLAGNHFNGVVPLSLGNLRNLVMLNLGRNSLTGSIPSSFKNLVHLQSFDLSFNLLSGFVPEFLGQFHNITFIDLSNNQLSGHLPVSLFNLVTLSDLSLSHNQLTGSIPDQVGNLKALTSLSLSHNKLIGPIPASISRLQKLWSLNLSRNGFSNPLPAISSKGVPSLLSIDLSFNNLSLGTVPNWIRDRQLSDVNLASCKLRGAFPKFRPDSLSSIDLSSNLLTGTISTHFTNMTGLQKLKLSNNQLKFDLSELKVPDGISSIDLHSNQVFGSLSSILNNRTSSFLEVIDVSNNLISGTIPEFTEGLSLKVLNIGSNKIAGQIPSSISNLIELERLDISRNQITGTIPASLGQVVKLQWLDLSINRLTGKIPTSLLGIHSLRHANFRANRLCGEIPQRRPYNIFPASTYAYNLCLCGKPLQPCQGKKQEMVQ >KJB75440 pep chromosome:Graimondii2_0_v6:12:5262778:5266287:-1 gene:B456_012G042000 transcript:KJB75440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRGTKLKAWHGQKKYPVVVPVMFVVSVSVALVFLFHRNDEKRIQAYGLPPERKWNRFESLVQFNPKREFRNGTDLIWQIPDSPKAVLFLAHGCSGRAVNFWDKSPKCPECVGLPEERLLVLHALARKFAVLTISSAGRCWAFGEERLIVEDIITWWVKRQNLGKLPLVALGASSGGYFVSAIANDLKFSSITLVIAEGLFDHMDIREDYPPTLFVHMPKDLRRQQKITEFIEVLRNKGVDVAEIECMELPLSPTFLFDRIPGLDQTISATLFNLFREKGFVDENGYMKRDGRATRWKDALQDSKPNLLEKDLVHPVEEELNLAFAYHEMTSLQSEEIFKWFESHMA >KJB75439 pep chromosome:Graimondii2_0_v6:12:5260991:5266287:-1 gene:B456_012G042000 transcript:KJB75439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRGTKLKAWHGQKKYPVVVPVMFVVSVSVALVFLFHRNDEKRIQAYGLPPERKWNRFESLVQFNPKREFRNGTDLIWQIPDSPKAVLFLAHGCSGRAVNFWDKSPKCPECVGLPEERLLVLHALARKFAVLTISSAGRCWAFGEERLIVEDIITWWVKRQNLGKLPLVALGASSGGYFVSAIANDLKFSSITLVIAEGLFDHMDIREDYPPTLFVHMPKDLRRQQKITEFIEVLRNKGVDVAEIECMELPLSPTFLFDRIPGLDQTISATLFNLFREKGFVDENGYMKRDGRATRWKDALQDSKPNLLEKDLVHPVEEELNLAFAYHEMTSLQSEEIFKWFESHMA >KJB77751 pep chromosome:Graimondii2_0_v6:12:33646648:33647484:1 gene:B456_012G166000 transcript:KJB77751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIDAVNLVANHVHRWRLRDRSGTLPAGRIVLRVTEDEKQWWRFECCQIEGVMHCISGSAWSDFVGPRVHARLTLYAQQDGRYRIYGDEWRGFVGQNRGAVLTLYAGEGDNATHRLGVRQ >KJB77579 pep chromosome:Graimondii2_0_v6:12:31882205:31886613:1 gene:B456_012G144800 transcript:KJB77579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRGAESDFDGGRWVRWGSLGGFDSNSLRISNGRLEDAVCDNGCWVQIIYSTPENAMYYHAYAATFLKILTLKMKTAENLYVKSPKPAFLFILLFILVLHLPKAASVDDDDNINFTFPDFNPNTHRIVYEADAYASGNAIQLTADQINKGLNGSVGRATYYKPMHLWDNSSGNLLLADFTTQFSFSIDSLHNSSYGSGFAFFLAPNGSKIPPRSAGGCLGLQTCNSSLTYDVNSKFVAVEFDTYHSPWDPLGMSEHVGIDLNSVNSSNPTVKWWWSDIENGGKVNAFITYNSSTKHLSVFLVDANDFSRENSSSLSATLDLSGYLPEWVTFGFSGAAGFNTITELNTIYSWNFSSTLQVSMNTTIHPPATAPSLPVNPRRKSKTWLCLVLAVVGGIFALLLVPGLVWLFCRRGKYNKMRDDGPMSVNVEMEKVTAPRQFSYKELRLATSNFADEGLLGEGGFGKVYLGFLRDINCSIAVKRITPHSQQGVKEYVSEVTTITRLRHRNLVQLIGWCHDSKEFLIVYEFLPNKSLDYHLHREPCLLTWDTRYKIAMGLASALFYLQEECDKCVLHRDIKSSNVLLDLSFNAKLGDFGLARLVDHGQGSQTTKVMLGTDGYIAPECLDTCKAIKESDIYSFGIVALEIATGMKAIAVIERNGKRFKRKLVEWVWELYGKESVFDAVDPRLYGNYDMEQMQRLLLVGLACANPNYYARPSITRAIDILGFKAPLPILPGELPVPTYIAALEDNIVTSSASNSSHTSGSRRSETQTSGNGSNIHS >KJB77822 pep chromosome:Graimondii2_0_v6:12:33211484:33215028:-1 gene:B456_012G159300 transcript:KJB77822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTKLTQALLKNTKNPKLAWQLFKRIQSSPSNPCFLSSVPTIARILIRSKMLPEIDHLHLLLLSSQPQEKSLPSLISLVNLLAKSGFFDKAFSQFQSIRKMFPQNPPSICLYNVLFGCCIKERRSDCVLWLYKDMVLAGVSPETYTFNLLICGLCDLGHLEDARELFDKMPEKGCLPNEFSFGILVRGYCRFGLANKGLELLDEMRSSGILPNRVVYNTLISSFCKEGKTGDAEKLVERMREDGLFPDVVTFNARISALCSAGKVLEASRIFRDMQIDEALGLPRPNVITYNLMLEGFCKQGMLVEAKALVESMEKNGDLMNLDSYNIWLLGLLRNAKLVEAQLVLEDMVDKGVEPNIYSYNIVMDGLCKNGMLSDARMVMGFIVRSGLSPDTVTYSTLLHGYCRKGKLSEANAILNEMMRSGYVPNTYTCNILLHSLWKEGKILEAEELLQKMNEKGYGVDTVTCNIVIDGLCKSGKLDKAMEIAHEMWTHGSAALGNLGNSFIGLVDDVSRSMRCIPDLVTYSIIISALCKAGKIDEAKKKFREMMGKNLQPDAVIFDTFIHIFCKEGKISSAFRVLKDMEKKGCNKSVQTYNSLILGLGSKNQIFEIYGLVDEMRERGITPNVCIYNNIIQSLCKNGKIQDTTSILDDMLQMGINPNISTFRMLIEAFCKASDFGVAKELFEIGLSICGHKEAFYSLMFNELLSGGQLSEAKVIFEAALDRSFHLGNFLYKDLIEKLCKDGKLEEASGILHKLIIKGYKFDPASFMPVVDDLGKRGNKHEADELAEKMLEMASDGRVENKISRKPKELIHRKETKYGGDDWQTIVHRDDGSGIALKTLKRVQKGWGQGSIPSLQTEKTEFLDYW >KJB77823 pep chromosome:Graimondii2_0_v6:12:33211296:33215309:-1 gene:B456_012G159300 transcript:KJB77823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTKLTQALLKNTKNPKLAWQLFKRIQSSPSNPCFLSSVPTIARILIRSKMLPEIDHLHLLLLSSQPQEKSLPSLISLVNLLAKSGFFDKAFSQFQSIRKMFPQNPPSICLYNVLFGCCIKERRSDCVLWLYKDMVLAGVSPETYTFNLLICGLCDLGHLEDARELFDKMPEKGCLPNEFSFGILVRGYCRFGLANKGLELLDEMRSSGILPNRVVYNTLISSFCKEGKTGDAEKLVERMREDGLFPDVVTFNARISALCSAGKVLEASRIFRDMQIDEALGLPRPNVITYNLMLEGFCKQGMLVEAKALVESMEKNGDLMNLDSYNIWLLGLLRNAKLVEAQLVLEDMVDKGVEPNIYSYNIVMDGLCKNGMLSDARMVMGFIVRSGLSPDTVTYSTLLHGYCRKGKLSEANAILNEMMRSGYVPNTYTCNILLHSLWKEGKILEAEELLQKMNEKGYGVDTVTCNIVIDGLCKSGKLDKAMEIAHEMWTHGSAALGNLGNSFIGLVDDVSRSMRCIPDLVTYSIIISALCKAGKIDEAKKKFREMMGKNLQPDAVIFDTFIHIFCKEGKISSAFRVLKDMEKKGCNKSVQTYNSLILGLGSKNQIFEIYGLVDEMRERGITPNVCIYNNIIQSLCKNGKIQDTTSILDDMLQMGINPNISTFRMLIEAFCKASDFGVAKELFEIGLSICGHKEAFYSLMFNELLSGGQLSEAKVIFEAALDRSFHLGNFLYKDLIEKLCKDGKLEEASGILHKLIIKGYKFDPASFMPVVDDLGKRGNKHEADELAEKMLEMASDGRVENKISRKPKELIHRKETKYGGDDWQTIVHRDDGSGIALKTLKRVQKGWGQGSIPSLQTEKTEFLDYW >KJB77821 pep chromosome:Graimondii2_0_v6:12:33212121:33215028:-1 gene:B456_012G159300 transcript:KJB77821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTKLTQALLKNTKNPKLAWQLFKRIQSSPSNPCFLSSVPTIARILIRSKMLPEIDHLHLLLLSSQPQEKSLPSLISLVNLLAKSGFFDKAFSQFQSIRKMFPQNPPSICLYNVLFGCCIKERRSDCVLWLYKDMVLAGVSPETYTFNLLICGLCDLGHLEDARELFDKMPEKGCLPNEFSFGILVRGYCRFGLANKGLELLDEMRSSGILPNRVVYNTLISSFCKEGKTGDAEKLVERMREDGLFPDVVTFNARISALCSAGKVLEASRIFRDMQIDEALGLPRPNVITYNLMLEGFCKQGMLVEAKALVESMEKNGDLMNLDSYNIWLLGLLRNAKLVEAQLVLEDMVDKGVEPNIYSYNIVMDGLCKNGMLSDARMVMGFIVRSGLSPDTVTYSTLLHGYCRKGKLSEANAILNEMMRSGYVPNTYTCNILLHSLWKEGKILEAEELLQKMNEKGYGVDTVTCNIVIDGLCKSGKLDKAMEIAHEMWTHGSAALGNLGNSFIGLVDDVSRSMRCIPDLVTYSIIISALCKAGKIDEAKKKFREMMGKNLQPDAVIFDTFIHIFCKEGKISSAFRVLKDMEKKGCNKSVQTYNSLILGLGSKNQIFEIYGLVDEMRERGITPNVCIYNNIIQSLCKNGKIQDTTSILDDMLQMGINPNISTFRMLIEAFCKASDFGVAKELFEIGLSICGHKEAFYSLMFNELLSGGQLSEAKVIFEAALDRSFHLGNFLYKDLIEKLCKDGKLEEASGILHKLIIKGYKFDPASFMPVVDDLGKRGNKHEADELAEKMLEMASDGRVENKISRKPKELIHRKETKYGGDDWQTIVHRDDGSGIALKTLKRVQKGWGQGSIPSLQTEKTEFLDYW >KJB74804 pep chromosome:Graimondii2_0_v6:12:925511:926229:-1 gene:B456_012G008700 transcript:KJB74804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKATEYVAISAPTKSFPILSIVGVRWHPPPQGPLSPNLNAISFNTHSGKATAVRTCWPNLEALFLFVPLTVFCLACIHFVNNLHTV >KJB74553 pep chromosome:Graimondii2_0_v6:12:22448078:22448765:1 gene:B456_012G101700 transcript:KJB74553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERPNRHQRRPSQSVFMSFDDITAPISDNATANKPVPSNEAPPPQPVRSPVPAVVAAETSDIVAKDGEKPE >KJB75184 pep chromosome:Graimondii2_0_v6:12:3684238:3696952:-1 gene:B456_012G029800 transcript:KJB75184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGYEGGLKMIEELTTNAEQIQDQELGEILSRNAGTEYLRGFLHGQTEKQLFKKHVPIVTYEDLKPYIDRIANGETSDILVAEPVTGFYLSSGTSGGQPKLIPVTAEYLKKGPLVGTFSESPTMRHFGDINQAGKRMELMFARPEIETPSGLKAATFSTRMYSESKFRTNLPKLYTSPIETIFCPDPNQGLYCQLLFGLIQRDEVVKVGSLFASTVLRGIKFLENHWQELCYDIKTGRLSDWITDSGCRNAASLIMKPNPEQADLIESICNYKSWEGIIRKLWTKARYIGCICTGAMRQYTTELEFYCRGLPLVSAFYACSETFCGLNLEPLCKPYDISYTLLPNMAYFEFLPVKNERDESFEMKSNDEDTELVDLVNVKVGQCYELVVSTCAGLYRYKVGDVLMVSGFYNNAPQFQFLERKNVIPSVDHEKTSETDLFKAVTEAKALLDPLGFILAEYTSYVDTSSAPGHYVLFWEIKGKEGKHCKELDPKIMVECCSRMEESLHYTYKIYRKRSIIAALEIRVVKQGSFEALMDYFVSKGTSLSQYKKPNCIKSEEALKLLDSRVMGKYFSPKSPL >KJB75186 pep chromosome:Graimondii2_0_v6:12:3691664:3696951:-1 gene:B456_012G029800 transcript:KJB75186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGYEGGLKMIEELTTNAEQIQDQELGEILSRNAGTEYLRGFLHGQTEKQLFKKHVPIVTYEDLKPYIDRIANGETSDILVAEPVTGFYLRHFGDINQAGKRMELMFARPEIETPSGLKAASVSTSIYNESKFRTNLPKLYTSPIETIFCPDPNQGLYCQLLFGLIQRDEVVMVGSLFASTVLRGIKFLENHWQELCYDIKTGRLSDWITDSGCRNAASLIMKPNPEQADLIESICNYKSWEGIIRKLWTKARYIGCICTGAMRQYTTELEFYCRGLPLVSAFYACSEAFCGLNLEPLCKPCDVSYTLLPNVAYFEFLPVKNERDESFEMKSNDEDTELVDLVNVKAGQCYELVVTTCAGLYRYKVGDVLMVSGFYNNAPQFQFVERKNVLLSVDQEKTSETDLFKAVTEAKALLDPLGFILTEYTSYVDTSSAPGHYVLFWEIKGKEGKHCKELDPKIMVECCSRMEESLHYTYKIYRKRNIIAALEIRVVKQGSFEALMDYFVSKGTSLSQYKKPSCIKSEEALKILDSRVIGTYFSPKPPL >KJB75185 pep chromosome:Graimondii2_0_v6:12:3691413:3693132:-1 gene:B456_012G029800 transcript:KJB75185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMFARPEIETPSGLKAASVSTSIYNESKFRTNLPKLYTSPIETIFCPDPNQGLYCQLLFGLIQRDEVVMVGSLFASTVLRGIKFLENHWQELCYDIKTGRLSDWITDSGCRNAASLIMKPNPEQADLIESICNYKSWEGIIRKLWTKARYIGCICTGAMRQYTTELEFYCRGLPLVSAFYACSEAFCGLNLEPLCKPCDVSYTLLPNVAYFEFLPVKNERDESFEMKSNDEDTELVDLVNVKAGQCYELVVTTCAGLYRYKVGDVLMVSGFYNNAPQFQFVERKNVLLSVDQEKTSETDLFKAVTEAKALLDPLGFILTEYTSYVDTSSAPGHYVLFWEIKGKEGKHCKELDPKIMVECCSRMEESLHYTYKIYRKRNIIAALEIRVVKQGSFEALMDYFVSKGTSLSQYKKPSCIKSEEALKILDSRVIGTYFSPKPPL >KJB77069 pep chromosome:Graimondii2_0_v6:12:27374527:27379246:1 gene:B456_012G119200 transcript:KJB77069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPCITFIYDALMLSLLNLKVRMSACYSLRTLTVFSLRFACEALNLMMDMLNDDSTVVRLQALDTIHHMATSNHLKVEKIHIHRFLSALVDSSSVIRSMTRKILKLAKLPQFKLFKLCIDGLLGNLEIYPQDEADVFSALFHIGRNHGKFTVHIIEEVSPEMEPASGGKLSFDSTRVAAFLVLAISAPLSHEKDVSAIPPRIFSYAVTWLGRISYGLSDLMNQEKLLAYLSECSRSSTISLADFKINEALLTVESDAPIPLCSKVDSPVSMPFWKDSGGTSDYHHQEFLSLGKSAAHAEYELGEHSELRKYVNLIFKKVKDFWSLVELGCTTEAFKGIRACKEEVASFTADSPGSSGAVAFTLQYLQVIGMLAKVWGHLRPTKMLNPYGVGKLELLFAKLDRRLREISNRFIGLSKGEELQILDLVLVACLLRLSKMEICCCNAAMKLLLSTVAHVEYLHKEGSIELSNFVTEVKKSLHDADSSSSSSTCKPLLFKRLLDSFSLQQFVLYGTPRYIHAELAVPSNDSENPLPFIPGIPASIPLAITLHNVLTESRLWVRISMSEESTQFVFLDLNLIRNEKRSINVVREFTFVAPFYRTPKAISFTVRASLGLECFRENIDRVKVFGGPKCELTYLCPEKEIFLCKSTEC >KJB77067 pep chromosome:Graimondii2_0_v6:12:27372678:27379246:1 gene:B456_012G119200 transcript:KJB77067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVAELERRQSAMELHVINSIQQSLDNNQPLSFQALASLRSLIINPATPDSTLSSVLDALTRSLQLNRDPVFLHHALKLLSDLASHRPHLSPFALDLLRSDSLFSSASPRLVGESLSVLLSLASIGNDMDAARFVSLCLGPSVSVRQCLLRNAEKLVFRESVLLAVFLGFTRDPYPYVRKEALDGLVKLCKNGDFDDRDVIEGCYCRAVELLRDAENYVRSAAVRAVCEWGRLLVICSEDMNKQDSSDAVFIQLGCMVRDMSVDVRLEAFEALGKIGLVSEDILLQTLSKKVLGINKDKAFKPVEGLDISASAVAGAYIHGLEDEFSVVRMSACYSLRTLTVFSLRFACEALNLMMDMLNDDSTVVRLQALDTIHHMATSNHLKVEKIHIHRFLSALVDSSSVIRSMTRKILKLAKLPQFKLFKLCIDGLLGNLEIYPQDEADVFSALFHIGRNHGKFTVHIIEEVSPEMEPASGGKLSFDSTRVAAFLVLAISAPLSHEKDVSAIPPRIFSYAVTWLGRISYGLSDLMNQEKLLAYLSECSRSSTISLADFKINEALLTVESDAPIPLCSKVDSPVSMPFWKDSGGTSDYHHQEFLSLGKSAAHAEYELGEHSELRKYVNLIFKKVKDFWSLVELGCTTEAFKGIRACKEEVASFTADSPGSSGAVAFTLQYLQVIGMLAKVWGHLRPTKMLNPYGVGKLELLFAKLDRRLREISNRFIGLSKGEELQILDLVLVACLLRLSKMEICCCNAAMKLLLSTVAHVEYLHKEGSIELSNFVTEVKKSLHDADSSSSSSTCKPLLFKRLLDSFSLQQFVLYGTPRYIHAELAVPSNDSENPLPFIPGIPASIPLAITLHNVLTESRLWVRISMSEESTQFVFLDLNLIRNEKRSINVVREFTFVAPFYRTPKAISFTVRASLGLECFRENIDRVKVFGGPKCELTYLCPEKEIFLCKSTEC >KJB77068 pep chromosome:Graimondii2_0_v6:12:27372678:27379246:1 gene:B456_012G119200 transcript:KJB77068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQDSSDAVFIQLGCMVRDMSVDVRLEAFEALGKIGLVSEDILLQTLSKKVLGINKDKAFKPVEGLDISASAVAGAYIHGLEDEFSVVRMSACYSLRTLTVFSLRFACEALNLMMDMLNDDSTVVRLQALDTIHHMATSNHLKVEKIHIHRFLSALVDSSSVIRSMTRKILKLAKLPQFKLFKLCIDGLLGNLEIYPQDEADVFSALFHIGRNHGKFTVHIIEEVSPEMEPASGGKLSFDSTRVAAFLVLAISAPLSHEKDVSAIPPRIFSYAVTWLGRISYGLSDLMNQEKLLAYLSECSRSSTISLADFKINEALLTVESDAPIPLCSKVDSPVSMPFWKDSGGTSDYHHQEFLSLGKSAAHAEYELGEHSELRKYVNLIFKKVKDFWSLVELGCTTEAFKGIRACKEEVASFTADSPGSSGAVAFTLQYLQVIGMLAKVWGHLRPTKMLNPYGVGKLELLFAKLDRRLREISNRFIGLSKGEELQILDLVLVACLLRLSKMEICCCNAAMKLLLSTVAHVEYLHKEGSIELSNFVTEVKKSLHDADSSSSSSTCKPLLFKRLLDSFSLQQFVLYGTPRYIHAELAVPSNDSENPLPFIPGIPASIPLAITLHNVLTESRLWVRISMSEESTQFVFLDLNLIRNEKRSINVVREFTFVAPFYRTPKAISFTVRASLGLECFRENIDRVKVFGGPKCELTYLCPEKEIFLCKSTEC >KJB77031 pep chromosome:Graimondii2_0_v6:12:27054429:27055633:1 gene:B456_012G117400 transcript:KJB77031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDQDQFRLVSPAINQEGKLPRKYTDEGQGAKRKLSPPLEWYNVPEGTRSLALVVEDVDAPDPSGPIVPWTCWVAINIPPTLKGLPEGFSGKEEEVGGDYASIKEGNNDHKVPGWRGPKLPSHGHRFLFRLFALDDELNVGNKVTKEKVLEAMEGHVVGEAELMTKF >KJB77725 pep chromosome:Graimondii2_0_v6:12:32670919:32671617:-1 gene:B456_012G152600 transcript:KJB77725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEFPGTIFKPEKRFVQLGNPEVNYRFMKVNVDALKIIQLGLTLSDSEGNLPDFGTPFCYIWEFNFKDFDIEKDHYDKESIELLKRQGIDFTRNKEKSICSRDFGMMVLISGLGFGELTWVTFHSAYDFGFLLKILSQNLLPPDLKSFMRHLTYYFGCMIFDIKYNFKIFNLHGGLEKVAKTLNVARVAGLSHQAGSDSLLILRCFMQIKNAKAFKQCNQKLPASALYVLV >KJB76801 pep chromosome:Graimondii2_0_v6:12:24168873:24169442:-1 gene:B456_012G107800 transcript:KJB76801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDWVRCENHTTFHHCHPLMKVSANTEFLCDGCRTLGFGTRYRCEPCDSDLYDHYANFPLEISSFKHQMCLFYWCKLCEFDAHTLCTQLPEYVRHVMHTDNPLRLQ >KJB75607 pep chromosome:Graimondii2_0_v6:12:6222997:6229399:1 gene:B456_012G048300 transcript:KJB75607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKFMYSLSDKNPDLQKQIGCMNGLFQLFDCHHFYGNRRIAGPNRKRLPSGQNGKHGTEAKIGSDKIKGNNLKKTVKEKQRYSFESPRTSLSSSSCSSSFSPADCSKESLVDRSSSSQIAFHETPRKEISSHRSNASLQSSQNSLNLRDVVKDSIYREACGLSIKTATKLEAGQHQTLKHIDSPRPLQSPKPSKTRNTSLNESSHALLKLKGTPKMYNECEDGSLTFARQDAPRLSYDGRGAKDAHKIKPKDLPRLSLDSRESSIKGSVDCMNSDFLLGEINRSRMKSNDIRNQEQEPGSYKGPSSVVAKLMGVEALLNPMLTNGNRSRDIKTCQDLKSNPASPSSRINEKKKSLISGCSRNSGKEPRSPRNRMTNVESKKPVATRCPIESAPWRQLDGNKGALKSQETPMKAPNSFLTVYGEIEKSLAALEFMKSGKDTRALKQTPKQMQMSKQMSDTRKEEQASSLISHTSSILGHSSEAPNLRKLHSKNAVSATIKETSSPTCLKLPIKIIKEEKVMENGGNSTSTVVATGSLRRLRTSSHANTRSEKADKQSYKDSIPKPKTPKDPSSRLHSRDKNTARTLRDNQISKEPSPTAQENTNIAVSSETTCLKLHQKKLEMEKQSRRTGPASDQRKSRRQSSSLQAESGLPHRKPRHRSHNLRQSDDQLSDISSDMRDLNHQGDDRSMQSESNMSMTSYGDTEVTSAQSYGKIEGTFSQEQEKKQKNPAARLIEGDPKAEPPRTAPEQPSPVSVLDAAFYGDESPSPVKKTSKAFEGDEGLTPNDADWSSIGLNHLPTCRETSPRFKTDSRKAENIQHLVQKFMNLDSIDECAITNEIIPNPDHEYIAEVMLASGLLSKLDSSFMACQLHPSGHLINPNLFPALEQIRASIWLLNRKHNSRKVSQLDPIEKNHRLLIFDAINEILIIKSVKKGSYKQWILPSTVEDTRQKRQQVVRDLCSDIDKMQTTSNIEDKNLNSIVCGDLMLGSMDWTEFKSEFPWIALDVERLIFKDLICEVISSEVTNLQQQHRGHCRRLFLK >KJB76919 pep chromosome:Graimondii2_0_v6:12:25720316:25720721:1 gene:B456_012G112500 transcript:KJB76919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFNSFPSIFVPLVGLLFKAIVMASLSLYVQKTKIF >KJB76826 pep chromosome:Graimondii2_0_v6:12:24469758:24471000:-1 gene:B456_012G108900 transcript:KJB76826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGARSIGVAMDFSASSKNALKWAIDNLADKGDTLYIIHINNNSLDESRNALWAKSGSPLIPLTEFRVPEIMKKYDVETDIEVLDMLDTASRQKEINVVSKIYWGGDAREKILDAIEDLKLDSLVMGSRGLGTVRRIILGSVSNYVVTHATCPVTIVKEQSSSSKH >KJB76803 pep chromosome:Graimondii2_0_v6:12:24223838:24224072:-1 gene:B456_012G1080002 transcript:KJB76803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IMELVVKEKFELMERRISLSKFHAADEVSCCFSIESIYMEYF >KJB74684 pep chromosome:Graimondii2_0_v6:12:270723:273635:-1 gene:B456_012G002600 transcript:KJB74684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKNLITIFLLFVFTMINVCAAGRGAAVIRHNPTQAISNTCSRTRFPNHCVNSLLKFPDSFTASEQDLVHVSFNMTLQHFSDALYMTTSVSNIKMYPRVRSAFDACLELLVDSIEALSRSLSAVIHKGGSTQDVMTWLSAALTNLDTCAEGFEGVTGALKDHVVAKLNDLSQLVSNCLSIFAATGGDDFAGASGENKRLLASSPSNEEKFPKWVGRIERKLLDTPLSEIQADIIVSKDGNGTVETISEAIKKAPENSSRRIVIYVRAGRYEETNLKVGRKKMNLMFIGDGKGKTVISGGKSVFDNVTTFHTATFAATGSGFIARDMTFENWAGPTKHQAVALRVGADHAVVYKCNIIGYQDTLYVHSNRQFYRECDIYGTVDFIFGNAAVVLQNCSIYARKPMPFQKNTITAQSRKDPHQNTGISIHACRILPTPDLAVMNGSFQTYLGRPWKLHSRVVFMLSYMHDHIDPRGWLEWNGSFALDSLYYGEYMNYGAGAAVGQRVKWPGYRVITSESEASNFTVAQFIYGTLWLPSTGIAFLAGLQV >KJB74524 pep chromosome:Graimondii2_0_v6:12:35254094:35255771:-1 gene:B456_012G1855002 transcript:KJB74524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HYMGEDLDSLSLKELQNLEQQLDTAIKHIRSKKNQLISESISELQRKEKAIQEQNAMLAKQIKEREKTVAQAQQSQWGQHQQQLGLNTSTSFLLPQPPHPCLNIGGTYQEEATDQVRRNELDLTLEPIYTCHLGYFAA >KJB74523 pep chromosome:Graimondii2_0_v6:12:35254095:35255739:-1 gene:B456_012G1855002 transcript:KJB74523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDLDSLSLKELQNLEQQLDTAIKHIRSKKNQLISESISELQRKEKAIQEQNAMLAKQIKEREKTVAQAQQSQWGQHQQQLGLNTSTSFLLPQPPHPCLNIGGTYQEEATDQVRRNELDLTLEPIYTCHLGYFAA >KJB75514 pep chromosome:Graimondii2_0_v6:12:5593286:5594701:-1 gene:B456_012G044800 transcript:KJB75514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWQSLELKLVSCRDLKAFNFFQKLSAYSVVSIVIINEQPKKKEEHPQKKHLQRQKTGIAKGGKNPEWNHVFEFDLESLPPEESDHLFLKFDLRADGLVNRTIGEVRVPLKALIDDFCGVVRFVSYQVRDSDGKPNGVLNFSYKLKGKVKTNGNYDDSPRLIQSSSPEKSTQCSSDKIVYPKVEVDDNNNQSWRREIVRYPSLDDACNTGPVFQWQTAGTGSYYSSRNMVTLVPGGYLDPYSRSSPVVQPSVTYWYTVEPTMLQ >KJB77501 pep chromosome:Graimondii2_0_v6:12:31394787:31396931:-1 gene:B456_012G140500 transcript:KJB77501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCSQQFAPLLKHKRCVSVSKCRQGTTVCKFGGQDKPAGDNEGSPWKSIEKAIGNFGKKQSIEDVLRQQIEKQDYYDEGSGQNPPRGGGGGSSGGGDGFGESEDEGPSGILDETIQVILATLGFIFLYVYIITGEELARLAKDYIKYLFGGSKSVRLKRTMYKWSQFFEKLTEKKEYDKFWLEKAIITTPTWYDSPDKY >KJB75893 pep chromosome:Graimondii2_0_v6:12:8977610:8981033:1 gene:B456_012G063500 transcript:KJB75893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLTKVSSGVSGLVDGHNNQSLISLEGSNFIANGHVFLTDVPANITVTPSPYVSTTDKSIPSVGSFVGFDTVESNSRHVVPIGKLKNIKFMSIFRFKVWWTTHWVGSNGSDLENETQMVILDRSDSGRPYILLLPLIEGPFRASLQPGTDNNVDVCVESGSTKVAAASFRSVVYVHVGEDPFILVKDAMKVIRTHLGTFKLLEEKTPPGIVDKFGWCTWDAFYLTVHPQGVWEGVKGLVDGGCPPGLVLIDDGWQSISHDEDPITKEGMNCAVAGEQMPCRLLKFQENYKFRDYVSPRSLANGSTNMGMGAFIKDLKEEFNTVDFVYVWHALCGYWGGLRPNVPGLPETKVIKPELSPGLKKTMEDLAVDKIVNTGIGLVPPEMADQLYEGIHSHLENVGIDGVKVDVIHLLEMLCENYGGRVDLARAYYKALTDSVKKHFKGNGVIASMEHCNDFMFLGTEAICLGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSSHPCAEFHAASRAISGGPIYISDTVGNHNFALLKRLVLPDGSILRCQYYALPTRDCLFEDPLHDGKTMLKIWNLNKYTGVIGAFNCQGGGWCRETRRNQCFSEFSHTVKAEMNPKSIEWNSGKNPISIEDVQVFAMYFSQSKKLVLSKPAENMEISLKPFDFELITVSPVTVFGRKSVQFAPIGPVNMLNAGGAIQSLAFNESSVRIELKGAGEMRAFASDKPTACKIDGKDVGFEFENNMVVVHVPWPAPSGLSTLEYLF >KJB77694 pep chromosome:Graimondii2_0_v6:12:32535385:32538497:1 gene:B456_012G151600 transcript:KJB77694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLSQSADTSSTLSLGLVSSSPQSHRTQIADDSISLEVDGRDTAQPLSRFAFQLLEPEKNRRCLRNGDRNESECYDDDDDGGGEESKEIEEFHLLGHTMCLKRRRDGCSSSASSKRMTPEPDLETRKAAVKSWGNQPLSVADPDVFDMMEHEKKRQFMGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYGGNQYIDEIETLCWKRALQAFGLDSENWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPHGRKVSSASIFFESLPYKVNPQTGYIDYEKLEERALDFRPKILICGGSSYPREWDYGRFRQIADKCGAVLLCDMAQISGLIAAKECANPFGYCDIVTSTTHKSLRGPRGGIIFYRKGAKRKGGKLQSQGDDNEQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKRNAQALASALLRRKCRLITGGTDNHLILWDLRPFGLTGKIYEKVCEMCHITLNKIAICGDNGAITPGGVRIGTPAMTSRGCVESDFETIADIVLRAAHVASMIHGKLQKASVKSLHDNKDILELRTQVETFASQFAMPGFEI >KJB75748 pep chromosome:Graimondii2_0_v6:12:7336481:7337637:-1 gene:B456_012G054800 transcript:KJB75748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVEKVEDVMLPGFRFHPTDEELVEFYLKNKIQQKSLPIQLITQLDIYKYEPWDLPKLAASGEKEWYFYCPRDRKYRNSARPNRVTRGGFWKATGTDRPIYSSDATKCIGLKKSLVFYRGRAAKGIKTDWMMHEFRLPSLSDKTLSANVMILIRSLISNDSWAICKIFRKTNCMAQRALSHTSIPSDIPHHYGPFNHFNEVQHAQQWTTSPLPVPNGDLHNNSMFLQCTVDTSSVVLSPDATMIADDVRNNSESIDFKGKQQSFNGFSIRLPQDMEGTRNDDGDWRSIPLMGFPFSLSPNVLDSWKPNLPWDTSLYPTEMSTTYSTDRYHT >KJB75879 pep chromosome:Graimondii2_0_v6:12:8857948:8858786:1 gene:B456_012G062800 transcript:KJB75879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFYFACLYACYFGFAGILCCECKGYKKTFDTSIISISLDDRVIDVAPLRSYIDHDEYLRDHGLNYDTSSFSSSFSVYENYMFCFFMW >KJB76155 pep chromosome:Graimondii2_0_v6:12:11663547:11664980:1 gene:B456_012G075800 transcript:KJB76155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPLIHVSKSTIFPDRKSNLGDLKLSVSDLPMLSCHYIQKGCLFPRPSIPIHLLLSLLKQSLSKTLSFFPPLAGRLYTDLNGSIYIACNDAGVEFHHSTCATSFIRDVIAPVYVPELVNEFFSFDKTVSYQGHFKPIMAIQFTELPDGVFIGCSINHAVTDGTSFWNFFNTYADICRKISNNDPSIEKISRQPEFSRDSVLISSAILKVPKGGPKVTFNVNEPLRERIFSFCREAILELKAKVNSNNKDKLLVNEDFNAFEKCYFDKSVNLNGIFENWLFKSSNIANTAEISSFQSLSALLWRAVTRARKLPVSKTTTFRMAVNCRHRLNPKLDPLYFGNAIQSIPTYAVAGDVTSRDLRWCAERLNESVEAHKDERVRGYLKEWEKDPRCFPLGNFDGASMTMGSSPRFPMYENDFGWGRPLAIRSGGANKFDGKISAFPGREGMGGVDLEVVLAPETMAAIESDPEFMQYVMN >KJB78115 pep chromosome:Graimondii2_0_v6:12:34741986:34743894:1 gene:B456_012G178900 transcript:KJB78115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSLNDIVISSGEPEKPAENITCMDASLYKAAAVGKIEEFNNYQGPELESLKTPNHDNVLHVNLSTPERIWQRTRFDFVLQILDKCPSLLLQTNAKSQIPLHVAARYGYSTIVRHLIMFCARVIYKDLEKLGMDQLNAVRGMIRHTDQESNTALHIATRYGHVEVVQALLEHEDPDFPYFANINHETPLYLAARRGSRRLLSILLDKSKSTAHGGPHGRTALHATAMVRDPWVTRIILEKKGNLTKETDENGHTPLHYAAHLGHDAVVEELLKWDISVAYIGDKKWGMTPLLMAARQGLFGTVRKILSYCPDCCERVDKRGWNLLHFMAFRDLSRGFRILLKNDNATTTEYGSVRNLRDWKDASGITPQQVFNACQYDKEEKKEQIVKLLKEIVNEEVAEKPVYPIGLPNISADKNLEKARDAHLVVAALIVTVTFAAAITVPGGLQSEKGLEQGTPLLIHEAVFKAFVVTNALAFIFSVSALTTHFGVLDNQLSGFKFFRETVLYRTQSVSGILGYATLAMVVAFSTGSYVVLKPSHGLAIVSYLICPAFLLCIWEILNPLMQM >KJB76778 pep chromosome:Graimondii2_0_v6:12:24019811:24021606:1 gene:B456_012G106900 transcript:KJB76778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFLKEFEKKLGIKITCSQETEPLGTAGPIALARDKLIDGSGEPFFVLNSDVISEYPLKEMVNFHKGHGGEASIMVTKVDEPSKYGVVVMEETTGKVKKFVEKPQIFVGNKINAGIYLLNPSVLNRIELRPTSIEKEVFPKIATENKLYAMVLPGFWMDIGQPKDYITGLRMYLESLRKKSSAKLSTGSHFVGNVLVDESAVIGDGCLIGPDVAIGPGCIIESGVRLSRCTIMRGVRIKKHTCVSNSIIGWHSTVGKWARLENMTILGEDVHLGDEVYSNGGVVLPHKEIKSSILKPEIVM >KJB76777 pep chromosome:Graimondii2_0_v6:12:24019051:24021756:1 gene:B456_012G106900 transcript:KJB76777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKEFEKKLGIKITCSQETEPLGTAGPIALARDKLIDGSGEPFFVLNSDVISEYPLKEMVNFHKGHGGEASIMVTKVDEPSKYGVVVMEETTGKVKKFVEKPQIFVGNKINAGIYLLNPSVLNRIELRPTSIEKEVFPKIATENKLYAMVLPGFWMDIGQPKDYITGLRMYLESLRKKSSAKLSTGSHFVGNVLVDESAVIGDGCLIGPDVAIGPGCIIESGVRLSRCTIMRGVRIKKHTCVSNSIIGWHSTVGKWARLENMTILGEDVHLGDEVYSNGGVVLPHKEIKSSILKPEIVM >KJB78035 pep chromosome:Graimondii2_0_v6:12:34341214:34347064:1 gene:B456_012G173800 transcript:KJB78035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQIDFGDEEYGGVQKTQYQGSGTIPALADVEMIGEDDEYDDLYNDVNVGEGFLQLQRSEPPVQPGTGGTGLQAHKNEAPEPRGEAGGSQGPNISGISVQGKYPNVSAGYREADVQPTVNRPEIGSGNYPSGSASSQGGSVMETNFDTQVKNMGFQGLTSASSKVGTGPSVVPQKIANNPAQSLNSGTGGPQGASQVPPNQMGMNVNHPMMNENQVRPPIENGPTMLFVGELHWWTTDAELESVLSQYGRVKEIKFFDERASGKSKGYCQVEFYDSASAAACKEGMNGYMFNGRACVVAFASPQTLKQMGASYMNKDQGQPQVQPQGRRPNEGFGKGGNMNYQGGDAGRNYGRGGWGRGGQAGNRGGGGGPMRGRGGVGVKNMVGSSAGVGNGAYGAGAYGPGPGGPAFGGPAGGMMHPQGMMGAGFDPTYMGRGGGYGGFPGPGFPGMLPSFPAVNTMGLAGVAPHVNPAFFGRGMAPNGLGMMGVAGMDGPHSGMWTDTNMGGWGGDEHDRRTRESSYGGEDGASEYGYGDANHEKGRSSGATREKDRASEREWSGNSERRHHDEKERESDRPEREHREHRYREEKDSYREHRHRGRDVDYDDDWDRGQSSSRSRNRSHATREEEHRSRSRDVDYGKKRRLPSE >KJB77687 pep chromosome:Graimondii2_0_v6:12:32464378:32466018:-1 gene:B456_012G150700 transcript:KJB77687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIDNPMYKLQILQAFGCFLLFSSLFSLLPTSTTFALTDAEAAYIVRRQLKTLPPNDELPPEVEYEVKVSLTFSNQRLKKAYIGLQALKKAIYSDPMNKTGDWVGSNVCNYTGVFCSEALDDKTLTVVSGVDLNHADIAAHFPAEMGYLTDLALIHLNSNRFCGIVPKTMSRLKLMYEFDISNNRFVGPFPEVALSWPTIRFIDLRYNNFEGSIPPELFEKNLDALFLNNNRFKSTIPKAIGKSTVSVVTFANNKFEGCIPHSIGKMSNLNEIIFMNNNLVGCFPEEVGMLTNVTIFDASSNAFTGSLPESLAGMKNIESLDLSHNKLTGTVPENVCKLPKLSNFTFSRNYFQNEPNDCQVPSKKDIVFDDTYNCLPGRPKQRSTKECQPVISQLVDCSKDKCAGGGGRSPHKPKHKPKPNPEPQQPKQPHPPTPVHHHPPPSPPKESPKTPIKQAGKEEVSPLERSRPPAPPIHPPPVSSPPPVHSPPPPVKPPPAPVYSPPPPVHSPPPPVKSPPPRPTEGIHLPPNLGFQYSSPPPPMFPGY >KJB78195 pep chromosome:Graimondii2_0_v6:12:35082491:35085074:-1 gene:B456_012G183100 transcript:KJB78195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDALNMRNWGYYEPSFKGHLGLQLMSSMVERDAKSFIPGRDPNLMITTNTTFHQRDPVVSEAHIPMNYVRDSWIADREKLFNMFPATTPNYAVLPETSAAHSLPILQPPPDSSTRDERVASSVEELPANKDSVEPKKRQGGAVPKMPKAKKPKKPKENANSAVQRVKPAKKSIIFKINGYEMDISGIPIPVCSCTGTAQQCYRWGFGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAVENYNFSNPIDLRSHWARHGTNKFVTIR >KJB78196 pep chromosome:Graimondii2_0_v6:12:35082859:35083707:-1 gene:B456_012G183100 transcript:KJB78196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDALNMRNWGYYEPSFKGHLGLQLMSSMVERDAKSFIPGRDPNLMITTNTTFHQRDPVVSEAHIPMNYVRDSWIADREKLFNMFPATTPNYAVLPETSAAHSLPILQPPPDSSTRDERVASSVEELPANKDSVEPKKRQGGAVPKMPKAKKPKKPKENANSAVQRVKPAKKSIIFKINGYEMDISGIPIPVCSCTGTAQQCYRWGFGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAVENYNFSNPIDLRSHWARHGTNKFVTIR >KJB77118 pep chromosome:Graimondii2_0_v6:12:27604435:27605617:-1 gene:B456_012G120900 transcript:KJB77118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESNVKVLGTWASPFVMRVKIALHLKSVRYEDVEEDLLAPKSELLLKSNPVYKKIPVFFHAHNPICESLIILQYIDEVWTTSASSILPSDPYERSQSRFWAAYVDDKFFPALRRLLIGATEEDKKAALAEVVEGTVVLERAFGELSKGKAFFGGDNIGFVDIALGSLLGWIEVIGKQCEKKLVSEAKTPRLVQWADCFSAHEAVKDVLPDVDKLADFGLKLRAKILKALGRTN >KJB77519 pep chromosome:Graimondii2_0_v6:12:31515709:31521388:-1 gene:B456_012G141800 transcript:KJB77519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGMSDLYMYELEDNVWDDFGATDDHIVPHTADEYGGRKKPRYEVLGVTSDADSRKKFGILREKEKGLHILTKNRMLEKDPWSRIHDSLFPTSGDNDSLKDGTSMASDDPRMSSHGLETGNIDSVGSDLCADDPILVDKCAPEDNNDYHFPLNHITAADDDLRFFNNNHEDKENSDLLYYGWGDIGNFEDVDRMFRSCDSTFGLGSLSNEDDMCWFSSSQVAEGSQGALKADAKLNGLQECPTSRSASAGLATVDSIKKSEFLNEKITSVNMSSDNADLAHLSSVHVPNKESESKDGSTPSEQISPQEKHSKQHRASGERKDQHLENGGSFTQYGNIKQFADAKHPFSDSSCQLFSSLDFQRSKLNDGPDSVNYRQTNIPYMHLSYSGSLDQVSICPTVSSAKSENNGQSSSKNESSYASNKVHSIDSSHGPLFEAPAIITNEKRGKPHRRQDTEIPLNRNVKQAKIDTRMAFCDPVTIQKQVCQSEQDEGHSEVEGVSVGNPAELDSSNVRESSCVVSPFDEVSLEATSFRRLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCTKTKSGISDGNDGSGSSASEETNRCTGFMDMETDTNPIDRSIAHLLFHRPSDPSQRPVTDTALLKSHGKIHGSITNPPLMMPEKHIGHEETDAGSDKKVPTNSNSR >KJB77518 pep chromosome:Graimondii2_0_v6:12:31515521:31521467:-1 gene:B456_012G141800 transcript:KJB77518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGMSDLYMYELEDNVWDDFGATDDHIVPHTADEYGGRKKPRYEVLGVTSDADSRKKFGILREKEKGLHILTKNRMLEKDPWSRIHDSLFPTSGDNDSLKDGTSMASDDPRMSSHGLETGNIDSVGSDLCADDPILVDKCAPEDNNDYHFPLNHITAADDDLRFFNNNHEDKENSDLLYYGWGDIGNFEDVDRMFRSCDSTFGLGSLSNEDDMCWFSSSQVAEGSQGALKADAKLNGLQECPTSRSASAESESKDGSTPSEQISPQEKHSKQHRASGERKDQHLENGGSFTQYGNIKQFADAKHPFSDSSCQLFSSLDFQRSKLNDGPDSVNYRQTNIPYMHLSYSGSLDQVSICPTVSSAKSENNGQSSSKNESSYASNKVHSIDSSHGPLFEAPAIITNEKRGKPHRRQDTEIPLNRNVKQAKIDTRMAFCDPVTIQKQVCQSEQDEGHSEVEGVSVGNPAELDSSNVRESSCVVSPFDEVSLEATSFRRLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCTKTKSGISDGNDGSGSSASEETNRCTGFMDMETDTNPIDRSIAHLLFHRPSDPSQRPVTDTALLKSHGKIHGSITNPPLMMPEKHIGHEETDAGSDKKVPTNSNSR >KJB76472 pep chromosome:Graimondii2_0_v6:12:15435438:15437149:1 gene:B456_012G090200 transcript:KJB76472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKLKIQRLEDLKARQAKYSKRKTGILKKAKELNILCEVDVALLFSSPSGRPTLFVGKNSNGLSSILKRLSNLSFEEREERRAYTIELYEDELQELKHKLVQKSKILRDWRNPNNVEDINQIKMMEDHLIESLNGLRSRKNQLTIEQQIRERESEVGLSISMKLFMHFQL >KJB76471 pep chromosome:Graimondii2_0_v6:12:15435438:15437149:1 gene:B456_012G090200 transcript:KJB76471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKLKIQRLEDLKARQAKYSKRKTGILKKAKELNILCEVDVALLFSSPSGRPTLFVGKNSNGLSSILKRLSNLSFEEREERRAYTIEMLKKIYENSESEFDPLSLSHDTNADIHKLYEDELQELKHKLVQKSKILRDWRNPNNVEDINQIKMMEDHLIESLNGLRSRKNQLTIEQQIRERESEVGLSISMKLFMHFQL >KJB76473 pep chromosome:Graimondii2_0_v6:12:15435438:15437356:1 gene:B456_012G090200 transcript:KJB76473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKLKIQRLEDLKARQAKYSKRKTGILKKAKELNILCEVDVALLFSSPSGRPTLFVGKNSNGLSSILKRLSNLSFEEREERRAYTIEMLKKIYENSESEFDPLSLSHDTNADIHKLYEDELQELKHKLVQKSKILRDWRNPNNVEDINQIKMMEDHLIESLNGLRSRKNQLTIEQQIRERESEGNENLGT >KJB76588 pep chromosome:Graimondii2_0_v6:12:17509915:17511871:-1 gene:B456_012G095600 transcript:KJB76588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTFSCCGSDKEDEGVSVVTPGNTTWRMFTYKELHTATNGFCDDNKLGEGGFGSVYWGKTGDGLQIAVKKLKSMTSKAEMEFAVEVEVLGRVRHKNLLGLRGYCVGTDQRLIVYDYMPNLSLLSHLHGQFAGEVQLDWKKRMKIAIASAEGISYLHHEVTPHIIHRDIKASNVLLDSDFEPLVADFGFAKLIPEGVSHMTTRVKGTLGFLAPEYAMWGKVSDGCDVYSFGILLLELLTGRKPIEKLPGGVKRSITEWAEPLVARGQFKDLVDPKLRGNFDENQLKQAISVACLCVQSEPEKRPNMKEVVYMLKGYGSEGKVLQARMDSVKYKEELLALDQTSDEDDGGPEESYGMFGPMEVQEMQDPYNQHGDAAKHV >KJB75090 pep chromosome:Graimondii2_0_v6:12:2991330:3033014:1 gene:B456_012G024100 transcript:KJB75090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERVVHQVLLGYLGRYVKDFSRDQVKVTLWNIEVELKDIDLILEAFDYLQLPFALKQGRVGRLSIKVPWSLIGGEPILIALENVFFSVSPRDDHEWRMDAIETRELAGKKAKLAAAELAKLSRRVCADNKGGWSFIPFVTTKVLENIQVSIRNFHVLYSDMQSNSEQVLFGLRFSSLTMLKQNSVGLRMGQVSKVVEVEGLEIYCSICKDTAKDLSLSHTGGSESWFNSHCVGDKSEHIVEPFNVSLSLLVNRSEKLNDLPQYSISAKMTCLVLSLNEIQLQQILILSDYLSTSQLREKYGRYRPWSSPLSRKEDGWQRLWWHYAQESILSDVREKLKKTSWRYLGQRLSNRRKYVNLYKTKLELLRQDQPIDESLIRDLEQMEKESDIDDILSYRSAAEHELQEVLLKSSTAIFSVEKSRLDGQSSGKSRGWLNWLSRGMLGAGGTDDSSQFSGVVSDEDVQDIYEATKFYPPVLSGIDADTNDKIYSRAIEFSINEISATIWSMNLCQDIARLNLHGAVMKCNLQEELVNVIAFVKSGEMVNAGNEQVIRLMSCMEKNVGEDLPSYRVQVDLYQRRDAELSVNVMLQSLEVAYETTFFWDLIEFFSVIKYFEFQHERVLSSLNGIEDVKSRLLAKAECILSSHKKVAWDVNISNITINFPSGNAVSEEFNMVLDLGSLVFASKTELSSSIDGQSFIRKNLVHSASISDWLTGFQLQDLYNHFDIKLVDFEVKLVKTNYPLTISIVKKFCACITFASCIIPDESRLKQLEVYVTVPSLDANFSLSIYESVVALMVLLNAQCSRSEPVVLRNPDSLHSASSHLGDRPFGLTLTASINSAKLLLDLANDGEHSSSIMLALKTLDVWYSLIEYETCQVSLKAIEVTAHTNGEKNNHVLCSFGDLYPSNTANQDGMANTLGDASDPFDENKTAEACFVLYYEAIASIDFTSHKFTLYLNDADLHFYPYIIGLLVGFYDRICSSTAFIGAENAVGPAFEDKSTKNMPGFQFRGFDFSNYSGIGSSDYASLSLDCFPFVTMHNSGSVGSLGSSLRFPVPDWQKSFSLRDNKLRSPSCSSEKGFNPFHSSPLKSKVGMVAFPVSGSSPEASLYAIDINLSGVKLHFHDSSCIVGTITLPTSKSSVSICDDCMDLVSSSEGAILTSSWWTSNFHDFLWGPSLPNLSPILNICMKKRNFGSLSSQFEFSIGIQHTCWVLPFHYIAIIIGYFSLDDWRSNPSMQSTSKSIEHMENQSEIAIICKFEVLESSLIFPIESDDQRFLKTDIQQLYGSFTNHCVLSDVLKDIPPECVVPENKVARSNNCLNIFGRDLSLSLLLFKDDCITFIPGTKPRNFPLVAPFSADFWIRIPSELEFLSEKSSDYTCIMSRVGVCQVYIDDFYFIGGFEVLLEIIDRFSIVHDESKSYTSDVLQFLQSRRLQKENKEVSVVDSSMTLIEVRCYVESLLIQLNRLGKDLLEPVSKAEMSFICSMSLTNETHMNLDLSFYSLELLSLPNLVILARCSDACSTSSVFDLSFSKSNPCQNEFSVCLPSLNIWLHSSDWTDILDLFDSYGKKLTTTAKLDSLPGSSAMSVPEHVPQISDKMSAPTCVPLSTMQETVVVLRSENIGIMFYFPMHVAGEEFTELVFAEKGSQNVSSTGTEGKLCKLLTFMTHSKSSELIISGKNAKFKCILEKTSGAVGFQGDDNVNYWPLFQIFQVNVETEICNIEEKPVHVNLEIQCDQLDVWLSHQIFFFLHDVRFDVPGSRSQYDFGSMEFQIQLRKGSLMVSDGRWSCSGPLLEILLKNFLLRANFTGNSMNGAVACDLQVNYNNVHKVFWEPFLEPWKFEMEIIRKQDLNALLDNSNITDVHLISTGQLNFNFTEPLIETVLRTIEMVKDAWGFLEHDSSEKQRFLDPQLTENMSGGRYAPYILQNLTSSPLEYHVYQGLASSDQFDASKEKDGKIVQAGASVPIYLNDIPDEQLYHYRPSHSSDNLSERQSNGVAHHLMTIQLDGMSVPSAPVSMDLVGLTYFEVDFSNNSQYNVNLKENGATDGKNGFVVPVVFDVSVQRYSKLIRLYSTVIILNATSIPLELRFDIPFGISPKILDPVYPGQEFPLPLHLAEAGRMRWRPLGNSYLWSEAHNLSDLLSLEGKIGFLRSFVCYPSHPSSDPFRCCLSLRHISIPAADRLKKGSVSCNDDTVSQSIQSSNKNIKDLCKSKDRYIHQMTLSTPLVINNYLPEAISLTIESGGITRTALLSKIINFFHHIDLSHDLTLEFNIYGYRPSVLKFPRTETFSTTAKFSGTKFSQSETVAFEPDTCSGPIHVTMEKMMDAFSGARELFIYVPFLLYNCTPFPLSISESANEMDRTVCTLPSCYNQVDNELFQGTRDGLSLLFSNQHSAIESPQIESLGLSFLKNRIVSTRKTFDLQLGRFVRNPSISLSQKQTDQHDLVDKKNSSNILKNRLGSSTRLSGNNDFMEKECGMVKACIYSPHPISSGSEIVVCVANSSRGHNSENVPSSPWSGPFLLVPPSGSTTVLVPQPLSNAMFILSVTSNAIPGAFAGRTRAITFQPRYVISNACSKELYYKQKGTDILYHLGVGQHSQLHWTDTTRELLISLRFDEPGWQWSGSFLPDHLGDTQVKIRNYASGTMNMIRVEVQNADVSIRNEKIVGSLQGNCGTNLILISEDDTGYMPYRIDNFSKERLRIYQQRCESLDTIVHPYTSCPYAWDEPCYPHRVSIEVPGERMVGSFALDDLKEYMPVHLQSTSEKPERMLLLSVRAEGATKVLTIIDSTYHVLKDMTDQSTIKFQEKQNQEKPAEYKEKFSVTIPCIGISLVNSYPQELLFASAKNIKIDLFQSVDHQKLSCHISSLQIDNQLHNTPYPVVLSFNREYRSNQVGQIRKDDSPKFKAERGLLISSDSSFEPVFNLAVAKWRKKDISLVSFEYISLRMADFCLELEQELILSLLYFYKAVSPGLQSQALPFSDPNFNVGFMHGQTSEHVKVREQLHGTGTPVLSRIDDTGGLPLIVPIGAPWQQIHCLARRQKKIYVESFDLAPIKFTLSFSSSPWMLRSGVLTSGESVIHRGLMAFADVEGARIHLKELSIMHQMASWESIQEILIRHYTRQFLHEMYKVFGSAGVIGNPMGFARSLGVGIRDFLAVPAKSILKSPTGLITGMAQGTTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDEQAVARMERQLKGEASHSKGIINEVFEGLTGLLQSPVKEAEKHGLPGILSGIALGVTGLVGRPAASILEVTGKTAQSIRNRSRLYQMGSQRCRVRLPRPLSRELPLRPYSWEEAVGISVLKEADDGKLKDEVYVMSKALKNPGKYVIMTERLVLVVNCPSLVDLGKPEFRGVAANQEWVIETEISLHSVIHTDADDGVVHIVGSSSDALLRQNQQMSRKGGGGGTKMRWNNPSTPLPLFQTNLELESEEDTKHFLRVLLSTIEQGKEQGGSEYLLQRSSIRMLLGLCVFFFFE >KJB75089 pep chromosome:Graimondii2_0_v6:12:2991330:3032594:1 gene:B456_012G024100 transcript:KJB75089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERVVHQVLLGYLGRYVKDFSRDQVKVTLWNIEVELKDIDLILEAFDYLQLPFALKQGRVGRLSIKVPWSLIGGEPILIALENVFFSVSPRDDHEWRMDAIETRELAGKKAKLAAAELAKLSRRVCADNKGGWSFIPFVTTKVLENIQVSIRNFHVLYSDMQSNSEQVLFGLRFSSLTMLKQNSVGLRMGQVSKVVEVEGLEIYCSICKDTAKDLSLSHTGGSESWFNSHCVGDKSEHIVEPFNVSLSLLVNRSEKLNDLPQYSISAKMTCLVLSLNEIQLQQILILSDYLSTSQLREKYGRYRPWSSPLSRKEDGWQRLWWHYAQESILSDVREKLKKTSWRYLGQRLSNRRKYVNLYKTKLELLRQDQPIDESLIRDLEQMEKESDIDDILSYRSAAEHELQEVLLKSSTAIFSVEKSRLDGQSSGKSRGWLNWLSRGMLGAGGTDDSSQFSGVVSDEDVQDIYEATKFYPPVLSGIDADTNDKIYSRAIEFSINEISATIWSMNLCQDIARLNLHGAVMKCNLQEELVNVIAFVKSGEMVNAGNEQVIRLMSCMEKNVGEDLPSYRVQVDLYQRRDAELSVNVMLQSLEVAYETTFFWDLIEFFSVIKYFEFQHERVLSSLNGIEDVKSRLLAKAECILSSHKKVAWDVNISNITINFPSGNAVSEEFNMVLDLGSLVFASKTELSSSIDGQSFIRKNLVHSASISDWLTGFQLQDLYNHFDIKLVDFEVKLVKTNYPLTISIVKKFCACITFASCIIPDESRLKQLEVYVTVPSLDANFSLSIYESVVALMVLLNAQCSRSEPVVLRNPDSLHSASSHLGDRPFGLTLTASINSAKLLLDLANDGEHSSSIMLALKTLDVWYSLIEYETCQVSLKAIEVTAHTNGEKNNHVLCSFGDLYPSNTANQDGMANTLGDASDPFDENKTAEACFVLYYEAIASIDFTSHKFTLYLNDADLHFYPYIIGLLVGFYDRICSSTAFIGAENAVGPAFEDKSTKNMPGFQFRGFDFSNYSGIGSSDYASLSLDCFPFVTMHNSGSVGSLGSSLRFPVPDWQKSFSLRDNKLRSPSCSSEKGFNPFHSSPLKSKVGMVAFPVSGSSPEASLYAIDINLSGVKLHFHDSSCIVGTITLPTSKSSVSICDDCMDLVSSSEGAILTSSWWTSNFHDFLWGPSLPNLSPILNICMKKRNFGSLSSQFEFSIGIQHTCWVLPFHYIAIIIGYFSLDDWRSNPSMQSTSKSIEHMENQSEIAIICKFEVLESSLIFPIESDDQRFLKTDIQQLYGSFTNHCVLSDVLKDIPPECVVPENKVARSNNCLNIFGRDLSLSLLLFKDDCITFIPGTKPRNFPLVAPFSADFWIRIPSELEFLSEKSSDYTCIMSRVGVCQVYIDDFYFIGGFEVLLEIIDRFSIVHDESKSYTSDVLQFLQSRRLQKENKEVSVVDSSMTLIEVRCYVESLLIQLNRLGKDLLEPVSKAEMSFICSMSLTNETHMNLDLSFYSLELLSLPNLVILARCSDACSTSSVFDLSFSKSNPCQNEFSVCLPSLNIWLHSSDWTDILDLFDSYGKKLTTTAKLDSLPGSSAMSVPEHVPQISDKMSAPTCVPLSTMQETVVVLRSENIGIMFYFPMHVAGEEFTELVFAEKGSQNVSSTGTEGKLCKLLTFMTHSKSSELIISGKNAKFKCILEKTSGAVGFQGDDNVNYWPLFQIFQVNVETEICNIEEKPVHVNLEIQCDQLDVWLSHQIFFFLHDVRFDVPGSRSQYDFGSMEFQIQLRKGSLMVSDGRWSCSGPLLEILLKNFLLRANFTGNSMNGAVACDLQVNYNNVHKVFWEPFLEPWKFEMEIIRKQDLNALLDNSNITDVHLISTGQLNFNFTEPLIETVLRTIEMVKDAWGFLEHDSSEKQRFLDPQLTENMSGGRYAPYILQNLTSSPLEYHVYQGLASSDQFDASKEKDGKIVQAGASVPIYLNDIPDEQLYHYRPSHSSDNLSERQSNGVAHHLMTIQLDGMSVPSAPVSMDLVGLTYFEVDFSNNSQYNVNLKENGATDGKNGFVVPVVFDVSVQRYSKLIRLYSTVIILNATSIPLELRFDIPFGISPKILDPVYPGQEFPLPLHLAEAGRMRWRPLGNSYLWSEAHNLSDLLSLEGKIGFLRSFVCYPSHPSSDPFRCCLSLRHISIPAADRLKKGSVSCNDDTVSQSIQSSNKNIKDLCKSKDRYIHQMTLSTPLVINNYLPEAISLTIESGGITRTALLSKIINFFHHIDLSHDLTLEFNIYGYRPSVLKFPRTETFSTTAKFSGTKFSQSETVAFEPDTCSGPIHVTMEKMMDAFSGARELFIYVPFLLYNCTPFPLSISESANEMDRTVCTLPSCYNQVDNELFQGTRDGLSLLFSNQHSAIESPQIESLGLSFLKNRIVSTRKTFDLQLGRFVRNPSISLSQKQTDQHDLVDKKNSSNILKNRLGSSTRLSGNNDFMEKECGMVKACIYSPHPISSGSEIVVCVANSSRGHNSENVPSSPWSGPFLLVPPSGSTTVLVPQPLSNAMFILSVTSNAIPGAFAGRTRAITFQPRYVISNACSKELYYKQKGTDILYHLGVGQHSQLHWTDTTRELLISLRFDEPGWQWSGSFLPDHLGDTQVKIRNYASGTMNMIRVEVQNADVSIRNEKIVGSLQGNCGTNLILISEDDTGYMPYRIDNFSKERLRIYQQRCESLDTIVHPYTSCPYAWDEPCYPHRVSIEVPGERMVGSFALDDLKEYMPVHLQSTSEKPERMLLLSVRAEGATKVLTIIDSTYHVLKDMTDQSTIKFQEKQNQEKPAEYKEKFSVTIPCIGISLVNSYPQELLFASAKNIKIDLFQSVDHQKLSCHISSLQIDNQLHNTPYPVVLSFNREYRSNQVGQIRKDDSPKFKAERGLLISSDSSFEPVFNLAVAKWRKKDISLVSFEYISLRMADFCLELEQELILSLLYFYKAVSPGLQSQALPFSDPNFNVGFMHGQTSEHVKVREQLHGTGTPVLSRIDDTGGLPLIVPIGAPWQQIHCLARRQKKIYVESFDLAPIKFTLSFSSSPWMLRSGVLTSGESVIHRGLMAFADVEGARIHLKELSIMHQMASWESIQEILIRHYTRQFLHEMYKVFGSAGVIGNPMGFARSLGVGIRDFLAVPAKSILKSPTGLITGMAQGTTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDEQAVARMERQLKGEASHSKGIINEVFEGLTGLLQSPVKEAEKHGLPGILSGIALGVTGLVGRPAASILEVTGKTAQSIRNRSRLYQMGSQRCRVRLPRPLSRELPLRPYSWEEAVGISVLKEADDGKLKDEVYVMSKALKNPGKYVIMTERLVLVVNCPSLVDLGKPEFRGVAANQEWVIETEISLHSVIHTDADDGVVHIVGSSSDALLRQNQQMSRKGGGGGTKMRWNNPSTPLPLFQTNLELESEEDTKHFLRVLLSTIEQGKEQGGSEYLLQRSSIR >KJB74882 pep chromosome:Graimondii2_0_v6:12:1420173:1422059:1 gene:B456_012G012400 transcript:KJB74882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFRLLLLRSINRDPPKPAASLLLTHFFHNVALNPASENPIQGPPTPPPPQRSYAFTSAEEAAAERRRRKRRLRIEPPIHALRASAPSAPPTRDPNAPRLPDSTSALVGHRLNLHNRVQSLIRASDLDAASLLARSSVFSSTRPTVFTCNAIIAAMYRNKRFNEAIALFHFFFKQSDLVPNVVSYNNLINTHCDRGEVDIAIQVYHEILEKAPFNPSPVTYRHLTKGLIDAGRIGEAVDLLREMLNKGHGADSLVFNNLISGFLNLGNLDKANELFDELKERCLVYDGVVNATFMEWWFNQGKDKEAMESYKSLLDRKFKMPPPTCNTLLEVLLKHGKTKEAWTLFEDMLDNHTPPNTQAVNSDTFNIMVNECFKLGNIEEAIKTFKKVGTKPGSKPFAMDVAGYNNIITRFCEHGMLSEAEKFFQELCGKSLTPDVPMYRTMIDAYLKAGRVDDALRSFTKMVETGLRVVASFGTRVFSEFLKNGKNLEVATLLAKMGEKEPKPDASIYDVVVRGLCNADELDKSLDVLDQMMKYSIGVTPALQEFVRGAFGKVGRNEELDRVLNENRWRFPGRQPRPFGQSPRSPSGPAEMGEWQSSGPPQMAGGQQFSGASQMPGQQSLSWRST >KJB78139 pep chromosome:Graimondii2_0_v6:12:34842878:34845097:1 gene:B456_012G180100 transcript:KJB78139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGRYRSTKMEPSINIMLISSCEPQEPEENITHMDAWLYKAAAEGNIEVFNNNQGLPLESLKTPNHDNVLHLNLATQENAVSLFNRFLLKFMFFPVQYEFCSPSLPNLITRLRGEKRSDFIEQILTKCPSLLLQTNAKGQTPLHVAARYGHSAIVKLLIKSCAKPRDGDLEKLGKDQVNAVREMLRIRDQESNTALHEAARCGNVEVVKALLEFEDPDFPYSANEKQETPLYIAARRGSGRLLTLLFDKFKSTPHGGSHGRTALHAAAMAGDAEAVKVILKKKGNLTKERDEDGHTPLHYAAHLGLRISVVEELLKWDVSAAYIGDKKRGMTPLLMATRQGNDGTVSKILSLCPDCCEKVDNKGLNFLHYLAFRGSSSPLGHSTFKYKVFKLAGVEIAYGSVNNLMEWECDFGMTPQEVYSTLHYKRYHHKEKEIKKFWEEIENDRVAEYPVHRLPTISPKNLEKKREAHLIVAALIATVAFAAAITVPGGLQSEKGSEQGTPLLIDEAAFKAFVVTNAMAFIFSVCVLTIHFGFQDNILFKLRLWRVSIIYETPPTFGLLASATVAMVIAFSTGSYVVLKPSHELVIVSYLICPAFFSVMWLILSNKVSVDNI >KJB77640 pep chromosome:Graimondii2_0_v6:12:32230671:32233401:-1 gene:B456_012G148200 transcript:KJB77640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSENPNPFQALFQTVERFSNCVQTHLANFTQQAHRSLPTKKNPLISISSSINADSPATNTHFLVKKPAAPVTKEELGRATWTFLHTLAAQYPENPTRQQKKDVKELMSILSRMYPCQECADHFKEVLRANPVMAGSHDEFSQWLCHVHNVVNRSLGKLIFPCERVDARWGKLDCEQRGCDLQGATSKHIEP >KJB77641 pep chromosome:Graimondii2_0_v6:12:32230744:32233357:-1 gene:B456_012G148200 transcript:KJB77641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSENPNPFQALFQTVERFSNCVQTHLANFTQQAHRSLPTKKNPLISISSSINADSPATNTHFLVKKPAAPVTKEELGRATWTFLHTLAAQVFSFIPLLLVPPPPPPPFSIFHL >KJB77642 pep chromosome:Graimondii2_0_v6:12:32231289:32233357:-1 gene:B456_012G148200 transcript:KJB77642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSENPNPFQALFQTVERFSNCVQTHLANFTQQAHRSLPTKKNPLISISSSINADSPATNTHFLVKKPAAPVTKEELGRATWTFLHTLAAQYPENPTRQQKKDVKELMSILSRMYPCQECADHFKEVLRANPVMAGSHDEFSQWLCHVHNVVNRR >KJB75929 pep chromosome:Graimondii2_0_v6:12:11063315:11064151:-1 gene:B456_012G073800 transcript:KJB75929 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit S, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23890) UniProtKB/Swiss-Prot;Acc:Q9T0A4] MGSSAITLPTLQGSLFQSHFLGKSPITHRPHKPFFSVAKQPKKAFYAKFDLFEILGGRGLCNGEKGIEVELKRNVDEASSVGNTDVESSDRGFPGGEKGLKKFIEENPPPAKQSVSDSVSIARLGKPKSPELPLLMPGMIAIVKNPNNPFYMYCGIVQRITDGKAGVLFEGGNWDRLITFRLDELERREKGPPMKNPKSVVLEALLEKDPK >KJB78239 pep chromosome:Graimondii2_0_v6:12:35207751:35209959:1 gene:B456_012G184800 transcript:KJB78239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIAENHSTKLPRKPQNQPRSIPNNLQPQRFPTHHDAPDISPTVRILCDLLTRISPHDIESALSSTGVIPTSDDIQQVLGFSYNQPLSAIKFFRWAGCFVKPSAYAWNLIVDLLGKNQSFEPMWDAMRSMKQEGLLSTTTFGSVFSSYCIAHRFSEATMSFDVMDRYGVEQDVVAVNSLLSAICHEDNQMSVAIEFFDKIKMKIPPDGDTFAILLEGWEKEGNLAKAKNTFGEMVVRVGWSPKHISAYDAFLTTLVRGSQVDEALKFLQVMKKNDCLPGLKFFSNTLDILVKQNDSAQIIPLWDTMVGGGLVPNLIMYNALISVLCNNDDVHDAFRFLDEMTFHGAFPDSLTYNMIFHCLVRNKMVREVGKFFVEMTKNEWPPTSSNYAAAIKMLLENDDPEMAINMWNHMVENHVSTLDESANELLIGLCNLGRLVEVKRFVETMLDKRISIYDSTMEKLKNPFYKKGRSFRDKYDSLSREWKAMKMS >KJB78238 pep chromosome:Graimondii2_0_v6:12:35207751:35209959:1 gene:B456_012G184800 transcript:KJB78238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDAMRSMKQEGLLSTTTFGSVFSSYCIAHRFSEATMSFDVMDRYGVEQDVVAVNSLLSAICHEDNQMSVAIEFFDKIKMKIPPDGDTFAILLEGWEKEGNLAKAKNTFGEMVVRVGWSPKHISAYDAFLTTLVRGSQVDEALKFLQVMKKNDCLPGLKFFSNTLDILVKQNDSAQIIPLWDTMVGGGLVPNLIMYNALISVLCNNDDVHDAFRFLDEMTFHGAFPDSLTYNMIFHCLVRNKMVREVGKFFVEMTKNEWPPTSSNYAAAIKMLLENDDPEMAINMWNHMVENHVSTLDESANELLIGLCNLGRLVEVKRFVETMLDKRISIYDSTMEKLKNPFYKKGRSFRDKYDSLSREWKAMKMS >KJB75145 pep chromosome:Graimondii2_0_v6:12:3310419:3315676:1 gene:B456_012G026600 transcript:KJB75145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLRRLALVVVTVAVTVVGEFGCGCFGNVLTLNVLRKFAGHGKNLSALRAHDIRRHGRLLSTIGVDLPLGGNGHPSETGLYFAKIGLGNPSKDYYVQVDTGSDILWVNCAGCDKCPTKSDLGLGLTLYDPKKSSTSSLVYCDQDFCTSTYDGPLPGCKPNLQCQYNVVYGDGSSTAGYFVKDNMKLERVTGNLQSRSTNGTVVFGCGARQSGELGSSSEALDGILGFGQANSSIISQLAASGKVKKSFAHCLDNIEGGGIFAIGEVVSPKVKRTPMVQNQAHYNIVMKDIEVGGDLLRLPSDIFDSGDQKGTIVDSGTTLAYLPSSIYEPLMTKILSQQPALNLHTVEDQFTCFQFVGSVDSGFPVVKFHFEDSLVLTVFPHEYTFQIRVSTIYIF >KJB75146 pep chromosome:Graimondii2_0_v6:12:3310419:3319982:1 gene:B456_012G026600 transcript:KJB75146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLRRLALVVVTVAVTVVGEFGCGCFGNVLTLNVLRKFAGHGKNLSALRAHDIRRHGRLLSTIGVDLPLGGNGHPSETGLYFAKIGLGNPSKDYYVQVDTGSDILWVNCAGCDKCPTKSDLGLGLTLYDPKKSSTSSLVYCDQDFCTSTYDGPLPGCKPNLQCQYNVVYGDGSSTAGYFVKDNMKLERVTGNLQSRSTNGTVVFGCGARQSGELGSSSEALDGILGFGQANSSIISQLAASGKVKKSFAHCLDNIEGGGIFAIGEVVSPKVKRTPMVQNQAHYNIVMKDIEVGGDLLRLPSDIFDSGDQKGTIVDSGTTLAYLPSSIYEPLMTKILSQQPALNLHTVEDQFTCFQFVGSVDSGFPVVKFHFEDSLVLTVFPHEYTFQIRRYLVFWLAEQWSAI >KJB75144 pep chromosome:Graimondii2_0_v6:12:3310350:3320078:1 gene:B456_012G026600 transcript:KJB75144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLRRLALVVVTVAVTVVGEFGCGCFGNVLTLNVLRKFAGHGKNLSALRAHDIRRHGRLLSTIGVDLPLGGNGHPSETGLYFAKIGLGNPSKDYYVQVDTGSDILWVNCAGCDKCPTKSDLGLGLTLYDPKKSSTSSLVYCDQDFCTSTYDGPLPGCKPNLQCQYNVVYGDGSSTAGYFVKDNMKLERVTGNLQSRSTNGTVVFGCGARQSGELGSSSEALDGILGFGQANSSIISQLAASGKVKKSFAHCLDNIEGGGIFAIGEVVSPKVKRTPMVQNQAHYNIVMKDIEVGGDLLRLPSDIFDSGDQKGTIVDSGTTLAYLPSSIYEPLMTKILSQQPALNLHTVEDQFTCFQFVGSVDSGFPVVKFHFEDSLVLTVFPHEYTFQIREDIWCFGWQNSGVQSKDGKDMILLGDLVLSNKLVIYDIENQNIGWTEYNCSSSIKVKDESSGAVYSVGAHDIGSASSLRIGGILTLLSIIIALLHSSIA >KJB75932 pep chromosome:Graimondii2_0_v6:12:9121979:9127365:-1 gene:B456_012G064300 transcript:KJB75932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKEKSLSDEKPKPRVRPIVRLGIFLISHSFHVSVVCCTAGVLALFLLPVLAKNTYISENALMPGSASPMLSNQHVSDGNRLVKDLTNPNSKSSETGIESHKIIAQYMLDLGAEVSFHKFHPQMNQFHPLHFFSSPDSGKIQENYSCSSYGINTVGIIRAPRGDGKEAIVLVTPYNALKSGFGEALSLGIAYSVFSLLTQVTWLAKDIIWLVADSQYGEYAAVAAWLREYQTPKFSSLSAPNAEMCPDVNNLFELKGHSISGSKFSNSFRRAGTMAAALVLKVRDQNEQFEDTISIYAEASNGQMPNLDLINVVNYLAVHRQGLRVNVEKLWSLLNSSWLKSLGEIFESLAKVAKSLYPKWKFGIPATEYVEGTATLASSLYYQALGVPTGPHGAFRDYQVDAITLEISPKFSLDKVWRNDILLRGGRLIEGVIRSVNNLLEKFHQSFFLYLLTSPSKFVSVGVYMIAFALLIAPLPMVAASLYVDANSSSKRSKGDSSTPSAITDTDEHSIAIRSWRWLNSAKLVFIVHLWGAIVSLLPYFISQIPDCSPTTSFMIWVLLSILSLLTLNSVLASPLACAKATQEKEWALVKSVTISSVFIGLGLMSVINFATAEIGALLMVPMCLLAQPLKLDVRAGTLRSFCRMICNLVLGHIAFPPAAFFLLKGMLDGFGNANVGDFWMWVESLWAWNSATYLFIGMVQLPCWVLCISILFHTC >KJB75933 pep chromosome:Graimondii2_0_v6:12:9123389:9127348:-1 gene:B456_012G064300 transcript:KJB75933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKEKSLSDEKPKPRVRPIVRLGIFLISHSFHVSVVCCTAGVLALFLLPVLAKNTYISENALMPGSASPMLSNQHVSDGNRLVKDLTNPNSKSSETGIESHKIIAQYMLDLGAEVSFHKFHPQMNQFHPLHFFSSPDSGKIQENYSCSSYGINTVGIIRAPRGDGKEAIVLVTPYNALKSGFGEALSLGIAYSVFSLLTQVTWLAKDIIWLVADSQYGEYAAVAAWLREYQTPKFSSLSAPNAEMCPDVNNLFELKGHSISGSKFSNSFRRAGTMAAALVLKVRDQNEQFEDTISIYAEASNGQMPNLDLINVVNYLAVHRQGLRVNVEKLWSLLNSSWLKSLGEIFESLAKVAKSLYPKWKFGIPATEYVEGTATLASSLYYQALGVPTGPHGAFRDYQVDAITLEISPKFSLDKVWRNDILLRGGRLIEGVIRSVNNLLEKFHQSFFLYLLTSPSKFVSVGVYMIAFALLIAPLPMVAASLYVDANSSSKRSKGDSSTPSAITDTDEHSIAIRSWSPTTSFMIWVLLSILSLLTLNSVLASPLACAKATQEKEWALVKSVTISSVFIGLGLMSVINFATAEIGALLMVPMCLLAQPLKLDVRAGTLRSFCRMICNLVLGHIAFPPAAFFLLKGMLDGFGNANVGDFWMWVESLWAWNSATYLFIGMVQLPCWVLCISILFHTC >KJB76921 pep chromosome:Graimondii2_0_v6:12:25723109:25724453:1 gene:B456_012G112700 transcript:KJB76921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TYLCIRFHFPHGWELMIGSIYKDFGFAHNDEIISGLVSTFPVILDTIFKYWIFRYLNHESPSLLLEFLMGVVLILPEGFELALPDHILPEMKEKKRNLSFQNYRPTKKNTLVIDPVPGKKYSEITFPILSPDPVSIKDVHFLKYPIYVGENRGSGQIYPDGEKSNNNATATGIGYEITITDALDGHQVVDIIPPGPKLLVPKGESIKLDQPLTINPNVGGFGQGNAEIVLQDPLGIQGLLFFLASIIFAQIFLVLKKKQFEKVQVSEMNF >KJB75986 pep chromosome:Graimondii2_0_v6:12:9542313:9543258:1 gene:B456_012G066700 transcript:KJB75986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHIIVVALVFIAIVGAFAADISPSQAPSRATKTFAPYGSPSSSSNTISASPSESPSPSGSPEESSKGPSADSPDSDSDEDTDEDEEAEGPDSDDDSDYSA >KJB76468 pep chromosome:Graimondii2_0_v6:12:15402326:15402751:1 gene:B456_012G089900 transcript:KJB76468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQNLFLIVFELEEVLELIMEGRPWLFQKSLILFDRLIQSVERSQIRLNSSPFWLKIGLCLPEFDKKDLLQAIGVTFGGVLRSEISGEWCRLKINLNVQKPLRRGIFVLMDNRNKWWISFKYEKLPMFCFGCGRVGHCLSD >KJB77755 pep chromosome:Graimondii2_0_v6:12:32934600:32936580:1 gene:B456_012G155400 transcript:KJB77755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLRSKDSGPTWTPKQNKLFEKALAQFDKDTPDRWQNVAKAVGGNKTAEEVKRHYEILIQDLEHIESGRIPIPKYKSSGGGGNGGR >KJB78219 pep chromosome:Graimondii2_0_v6:12:35144379:35146081:-1 gene:B456_012G1842002 transcript:KJB78219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAMWLVLWWKYIPVLVIISKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRQNKLQEVGYVTVMCSSCFLVRCIMMCFDAFDKAADLNVLNHPVLNFIYYLVCLINISSCF >KJB78218 pep chromosome:Graimondii2_0_v6:12:35143300:35146081:-1 gene:B456_012G1842002 transcript:KJB78218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAMWLVLWWKYIPVLVIISKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRQNKLQEVGYVTVMCSSCFLVRCIMMCFDAFDKAADLNVLNHPVLNFIYYLLVEILPSILVLFILRKLPPRRGITQYHPIH >KJB78272 pep chromosome:Graimondii2_0_v6:12:35383023:35383932:1 gene:B456_012G187200 transcript:KJB78272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSRQRVVMFLIFFGLLTIQPCRVCGLKRSDLVFTQHGIGSRNERVLKAIVDMKGINKEKKASAVNNRFDPNQSSKRRFRRGPDPIHNKS >KJB78273 pep chromosome:Graimondii2_0_v6:12:35383023:35383932:1 gene:B456_012G187200 transcript:KJB78273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSRQRVVMFLIFFGLLTIQPCRVCGLKRSDLVFTQHGIGSRNERVLKAIVDMKGINKEKKASAVNNRFDPNQSSKRRFRRGPDPIHNKS >KJB76969 pep chromosome:Graimondii2_0_v6:12:26630443:26635718:-1 gene:B456_012G115300 transcript:KJB76969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase SAC8 [Source:Projected from Arabidopsis thaliana (AT3G51830) UniProtKB/Swiss-Prot;Acc:Q96328] MEVGSSSSNFKLYDQFELLEFEDRLVFKSLESPDKGFSICRRQGNIEPLSDESSSGKPPKTSTIYGVAGTIRLLAGTYVLVITSRKEVGSFLGFPVYRVESMKFLACNEALRFSNSQEKRDEAYFMSLLKTVEATPGLYYSYETDITVNLQRRCKLMEAWTSKPLWKQADPRFVWNNHLLEELIEYKLDRFIIPLLQGNILKLLLYHAFEPICLSFQVTQLKLKSSPATFTLLSRRCTRRLGTRMWRRGANLEGDSANFIETEQLLELEGFRCSSLQIRGSIPLLWEQIVDLSYKPQLRIIQHEQTPQVVERHFNDLYQRYGETIAVDLTDKHGDEGQLSAAYAEEMQKLPNVRYVSFDFHHVCGSSNFANLQVLYDKISEEFEKQGYFLIDKDGKILEEQRGIIRSNCIDCLDRTNVTQSYLAQKTLDIQLQRLGVFTSTEYISMFPEDYVKFRTLWAEQGDEISLEYAGTHALKGDLVRYGKQTVAGFIKDGMSALSRYYVNNFHDGIRQDALDLVSGRYTVSKSNPSPFQLNSFESFSYLPVASALLIGGLTLTTFSLQQGIPSCLKNKRLETHSIMCLPLSGLE >KJB76970 pep chromosome:Graimondii2_0_v6:12:26630443:26635722:-1 gene:B456_012G115300 transcript:KJB76970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase SAC8 [Source:Projected from Arabidopsis thaliana (AT3G51830) UniProtKB/Swiss-Prot;Acc:Q96328] MEVGSSSSNFKLYDQFELLEFEDRLVFKSLESPDKGFSICRRQGNIEPLSDESSSGKPPKTSTIYGVAGTIRLLAGTYVLVITSRKEVGSFLGFPVYRVESMKFLACNEALRFSNSQEKRDEAYFMSLLKTVEATPGLYYSYETDITVNLQRRCKLMEAWTSKPLWKQADPRFVWNNHLLEELIEYKLDRFIIPLLQGNILKLLLYHAFEPICLSFQVTQLKLKSSPATFTLLSRRCTRRLGTRMWRRGANLEGDSANFIETEQLLELEGFRCSSLQIRGSIPLLWEQIVDLSYKPQLRIIQHEQTPQVVERHFNDLYQRYGETIAVDLTDKHGDEGQLSAAYAEEMQKLPNVRYVSFDFHHVCGSSNFANLQVLYDKISEEFEKQGYFLIDKDGKILEEQRGIIRSNCIDCLDRTNVTQSYLAQKTLDIQLQRLGVFTSTEYISMFPEDYVKFRTLWAEQGDEISLEYAGTHALKGDLVRYGKQTVAGFIKDGMSALSRYYVNNFHDGIRQDALDLVSGRYTVSKSNPSPFQLNSFESFSYLPVASALLIGGLTLTTFSLQQAARNAQHYVSSVVWAGVTAGAMALVKANGRQFCSRPRLCRLL >KJB77826 pep chromosome:Graimondii2_0_v6:12:33314282:33315991:1 gene:B456_012G160800 transcript:KJB77826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLEPYTNIDFGRRIRWESGPENGPWITLSFVEPPDQQPSNAGIEGAPPATASAIGALPMVKISESHVTNTMHCPICKDEFEIGGEARELPCKHLYHSDCIVPWLNIHNTCPVCRYEIDNESGNAPGDYEMNEIDRGDVGLGVEDLANGLTWLRTWLLSSRPLRVFSHWTRGYLDSLGSMINGNNFSQKASSWWRSWLIL >KJB76701 pep chromosome:Graimondii2_0_v6:12:22561079:22561482:1 gene:B456_012G102100 transcript:KJB76701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQNLFDTLRDIPNHNYLGEGDILDAMGNFLHRKFFNWRIIHFCLRNNVDIGSWVDTIPRVTKILRLCNPSNKKGIHFDWMGLNEEILSHPIPNLELWFFPEFVLLYNAYK >KJB76365 pep chromosome:Graimondii2_0_v6:12:14176355:14179346:-1 gene:B456_012G084400 transcript:KJB76365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEFAKLVRRVNQPRVVIDNNACEDATVIQVDSVNKHGILLEVVQVLTDMNLTITKAYISSDGGWFMDVFNVVDNDGNKIRDKEVMDYIQSRIETSAGFVPSRRGSVGVMPAEEHTSIELAGTDRPGLLSEVCAVLADLHCNVVNAEIWTHNARAAAVVHVTDDSMSCAISDPKRLSMIKELLCNVLKGSDDLKTAKTTLSAPGVMHRERRLHQIMFADRDYERVEKAGVRAVEEGSSRPQVTLLNIEKDYTVVTMRSKDRPKLLFDIVCTLTDMQYVVFHGMVNTGRIEAYQEFYIRHVDGLPISSEAERVRVIQCLEAAIERRASEGLELELCTDDRLGLLSDITRIFRENSLCIRRALISTKGGKAKDTFYVTDVTGNPVDPKIIDSIRAQIGHTALQVKCNSSLAPKLPHQETTMGHFFGNLFKARTFQNFKLIRSYS >KJB77649 pep chromosome:Graimondii2_0_v6:12:32314834:32320542:1 gene:B456_012G148900 transcript:KJB77649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVEHTGGSSSQANSENGNHSHFHPEESTSELANEFRSECLLTEANGSGFMNTETSEETAEHSQPLCNDLSKNTISESLGLLPEDSSKNIQADQISSPQLCSAEPTVSSGELPEQQQQLDSQSLPNGIGNSLSTGVSNEAVELNPKDIIMSNGGKHLQLPSKDANPLGLPQELASTNPTIQQPDHHCEDMSKDSGLEQHETTPKNLVKNSGQRKGGKTSKQVQKKNLRSLRSSDRVLRSKSQEKSKATESSKKSTATELSKKSTATESSKKSTATESSKKSTVTDSSKKPTATESSKKSTATESSKKLTATESSKKSTATESSKKSTATESSKKSTATESSKKSTATESSNKLTNVGPSKQQKRKKRKREKKEEKKEVSDEYLRIRKHLRYLLNRISYERCLIAAYSAEGWKGLSLEKLKPEKELQRAASEILRRKLKIRDLFQRIESLCTEGRLAESLFDSEGEIDSEDIFCAICGSKDIPANNDIILCDGACDRGFHQYCLQPPLLKEDIPPDDEGWLCPGCDCKFDCIELVNESQGTNFSLEDSWEKVFPEAALAAGGQNQDPNYGLPSDDSDDNDYNPDISENDEKDQEDESSSDESDFTSTSDEVELPAKVDPYLGLPSDDSEDDDYNPDGPDQDHDNVAKSESLSSDFSSDSDDLGAMLVDDISSQKGHMSNGSSRKSKSKKPKLGGKKSLNSEVLTTMEPASGEDDATVSEKRSIPRLDYKRLYDETYGNVPSSSSDDEDWNDGTAPRKKKKRNAEVATTSANGNASPTGSVSVSNGLKNPGEKRAPRRSANGLKQNRGERHTTKRSANGLKQNPEEDHTPRRRTRKKSNQKGTAKLPKATPKSPAKLPEPTPTPGSSGKKAGSSTYKRLGEAVTQGLQKSFKQNQYPDRAMKESLAKELGITFRQVSKWFENARWFFNNSNNVSGESPKKVAGNDITPSARRKKK >KJB77650 pep chromosome:Graimondii2_0_v6:12:32314699:32320542:1 gene:B456_012G148900 transcript:KJB77650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVEHTGGSSSQANSENGNHSHFHPEESTSELANEFRSECLLTEANGSGFMNTETSEETAEHSQPLCNDLSKNTISESLGLLPEDSSKNIQADQISSPQLCSAEPTVSSGELPEQQQQLDSQSLPNGIGNSLSTGVSNEAVELNPKDIIMSNGGKHLQLPSKDANPLGLPQELASTNPTIQQPDHHCEDMSKDSGLEQHETTPKNLVKNSGQRKGGKTSKQVQKKNLRSLRSSDRVLRSKSQEKSKATESSKKSTATELSKKSTATESSKKSTATESSKKSTVTDSSKKPTATESSKKSTATESSKKLTATESSKKSTATESSKKSTATESSKKSTATESSKKSTATESSNKLTNVGPSKQQKRKKRKREKKEEKKEVSDEYLRIRKHLRYLLNRISYERCLIAAYSAEGWKGLSLEKLKPEKELQRAASEILRRKLKIRDLFQRIESLCTEGRLAESLFDSEGEIDSEDIFCAICGSKDIPANNDIILCDGACDRGFHQYCLQPPLLKEDIPPDDEGWLCPGCDCKFDCIELVNESQGTNFSLEDSWEKVFPEAALAAGGQNQDPNYGLPSDDSDDNDYNPDISENDEKDQEDESSSDESDFTSTSDEVELPAKVDPYLGLPSDDSEDDDYNPDGPDQDHDNVAKSESLSSDFSSDSDDLGAMLVDDISSQKGHMSNGSSRKSKSKKPKLGGKKSLNSEVLTTMEPASGEDDATVSEKRSIPRLDYKRLYDETYGNVPSSSSDDEDWNDGTAPRKKKKRNAEVATTSANGNASPTGSVSVSNGLKNPGEKRAPRRSANGLKQNRGERHTTKRSANGLKQNPEEDHTPRRRTRKKSNQKGTAKLPKATPKSPAKLPEPTPTPGSSGKKAGSSTYKRLGEAVTQGLQKSFKQNQYPDRAMKESLAKELGITFRQVSKWFENARWFFNNSNNVSGESPKKVAGNDITPSARRKKK >KJB74839 pep chromosome:Graimondii2_0_v6:12:1161181:1165648:1 gene:B456_012G010300 transcript:KJB74839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRIRAKLRKSHLYSFCLFRSSGSGGQSIGGPGFSRTVYCNEPQVLNQKPYRSNIISTTKYNFFSFLPKALYEQFHRVANLYFLGCAIASLTPLSPFSPVSMIAPLAFVVGLSMAKEGLEDWRRFMQDREVNSRKVHVHNEEGGFGKKTWEDVRVGDVVKVEKDQFFPADLLLLSSNYEDGICYVETMNLDGETNLKAKKALEATLGLDEDDNFNNFKGIIKCEDPNPSLYTFVGNLEYEGKVYAIDPTQILLRDSKLRNTEFVYGVVIFTGHDSKVMQNSTRSPSKRSRVEKKMDYIIYVLFSVLLVISLISSFGFAVEIKYSMPVWWFMRPQDADEYYSPKKPVISGLSHMVTALMLYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEDTGIPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGIPYGVSPSEVELAAAQQMANDQEDQGELKTLVASKKEKAHKSPIKGFNFEDNRLMNGNWLKEPTAARIMLFCQILAVCHTAIPELNEETGNYTYEAESPDEGSFLVAARELGFEFFQRTQSSVFVREKYTASGKPIEREYKILNVLEFTSKRKRMTVIVRDGEGQILLLCKGADSIIFDRLSKDGKLYLEDTTRHLNDYGEAGLRTLALAYRKLEESEYTAWNNEFQKAKTSIGADRDAMLENAADMMERDLFLVGATAVEDKLQKGVPQCIDKLAQAGLKLWVLTGDKMETAINIGFACSLLRQGMKQICITESGSEDKQEVKEDILKQITNGLEMIKQENDPHAAFALIIDGKTLAYALEDDMKLKFLGLAVECASVICCRVSPKQKALVTRLVKQGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSVSQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTGFSGQSVYDDWYMLLFNVVLTSLPVMSLGVFEQDVSSEVCLEFPAVYQQGPRNLFFDWYRILGWMGNGLLCSLIIFFINIIILYDQAFRAEGQTADLAVLGTTMFTCTVWSLNCQIALTMSHFTWIQHVTIWGSIAAWYIFLLIYGALSPRISGDAYQILVEALAPAPIYWQTTLLATIACTLPYMAHIAYQRCFEPEDHHIIQEIKYYRKDVEDQHMWTRERSKARQKTKIGFTARVDAKIRQVRAKLNKKQ >KJB77006 pep chromosome:Graimondii2_0_v6:12:26898657:26899304:1 gene:B456_012G116500 transcript:KJB77006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGVIPVCYCRNPIGFHPRSDSDIVQWQNFVRNCHCKTLFCFTGAPRTAIKNDFKGLLLNHCNNASGSCKVVDCTGSCCSNDTSHQTTYLQYQWFLPNDLISYSIFIHRDLVKNDTSSIKTILQSYRKQEINQMREKVIQYIPKLVYAKPEKGLNNIKDAFNIAIDGVLKKIKEQE >KJB75987 pep chromosome:Graimondii2_0_v6:12:9552705:9553462:-1 gene:B456_012G066800 transcript:KJB75987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVNKEHESFKEKEVNNMKSYTAKDDVVHQPSQKQGDEGSEDGDKSGTTLPVKPVEKGSPDQSEVRVPTQNKSNPSVETVEDMVFYPRPLPPSPNSKPSGKKVCFCGCSIS >KJB78304 pep chromosome:Graimondii2_0_v6:12:23040797:23045926:1 gene:B456_012G103300 transcript:KJB78304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAHFPYSPDRSWHLAVTVISFISLSFLLALTFIQGNSSSFETQPKFSFSDRAYGGLPELSRFAYLISGTKGDGPRVKQLLQGVYHPRNYGVLHLDLEASDSERMELAKYVKSERVVGEFENVIVIGNADLVTYKEAQDWDWFVNLSASDYPLMSQDDIVHIFSFLHRDLTFVEHTSSIGWKEYPGARPIIIDPGLYHAKKSGVFWAKEKRSFLLLSNYQNTTVNHDLYYIIWDNPPKQHPMTLTLKHFDDMVQSGTPFAPKFAKDDLVLDKIDKGLLRRSYGKFTPSGWCVGGSFSSKDPCVVYGNPNAFKPTVNSKRLKKLLIKLFDSESFRSKQCK >KJB77508 pep chromosome:Graimondii2_0_v6:12:31435420:31440445:1 gene:B456_012G141000 transcript:KJB77508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERLISVCLRLILVLDLVFRVSGNKEGDALNALKNNLADPNNVLQSWNSTLVNPCTWLHVTCNCENSVTRVDLGNSNLSGHLVPQLGQLPALQNLELYGNNISGTIPEDLGNLTNLVSLDLYFNTLTGHIPSTLGKLQKLRFLRLNNNTLTGQIPMPLTTIMSLQVLDLSNNKLEGDIPANGSFSLFTPNSFANNRLNNPPPAPLPPITPTAPIPSERPESQAPLDWAVRKRIALGAARGLAYLHDHCDPKIIHRDVKAANIFLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGYIAPEYLSTGKSSEKSDVFGYGVMLLELITGQRAFDLAGLANDDDVMLLDWVKGLLKDRRLETLVDAALQGNYIDHEVEQLIQVALLCTQGTPMERPKMCEVVSMLDGDGLAERWEEWQKEEMFRQEFNHNHQPNAD >KJB77509 pep chromosome:Graimondii2_0_v6:12:31435420:31440445:1 gene:B456_012G141000 transcript:KJB77509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERLISVCLRLILVLDLVFRVSGNKEGDALNALKNNLADPNNVLQSWNSTLVNPCTWLHVTCNCENSVTRVDLGNSNLSGHLVPQLGQLPALQNLELYGNNISGTIPEDLGNLTNLVSLDLYFNTLTGHIPSTLGKLQKLRFLRLNNNTLTGQIPMPLTTIMSLQVLDLSNNKLEGDIPANGSFSLFTPNSFANNRLNNPPPAPLPPITPTAPIPSGNSATGAIAGGVAAGIALLFAAPAIVFAWWLKKKPHDHFFDVPAEEDLEVHLGQLKRFSLPELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTQGGELQFRTEVEIISMAVHRNLLRIRGFCMTATERLLVYPFMVNGSVASCLRERPESQAPLDWAVRKRIALGAARGLAYLHDHCDPKIIHRDVKAANIFLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGYIAPEYLSTGKSSEKSDVFGYGVMLLELITGQRAFDLAGLANDDDVMLLDWVKGLLKDRRLETLVDAALQGNYIDHEVEQLIQVALLCTQGTPMERPKMCEVVSMLDGDGLAERWEEWQKEEMFRQEFNHNHQPNAD >KJB77839 pep chromosome:Graimondii2_0_v6:12:33295789:33298961:-1 gene:B456_012G160400 transcript:KJB77839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQKVEKPKADTPINENELRITAQGRMRNYISYAITLLQDKGANEIVLKATGRAINKTVMIAELIKRRIAGLHQNTSTGSIDITDTWEPLEEGLLPLETTRHVSIITITLSKKELDSSSIGYQPPIPADQVKPSAEFEDNEGGHINGGTVEHRNGGWDGGRGYGGRGRGRGRGRGRGFRGRGRGYGGGNMQRDSGYYNGNGPSGPLPAQGRGKPFAFNVFSYPVLVHSPFLSNHLLHQVVDEVEEGDVEAVVVRVSHPMVHSRKVLETARALILRFFCKTLALVNKKSCPFSTLIKALFFTWRICVVRDL >KJB77838 pep chromosome:Graimondii2_0_v6:12:33295789:33298961:-1 gene:B456_012G160400 transcript:KJB77838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQKVEKPKADTPINENELRITAQGRMRNYISYAITLLQDKGANEIVLKATGRAINKTVMIAELIKRRIAGLHQNTSTGSIDITDTWEPLEEGLLPLETTRHVSIITITLSKKELDSSSIGYQPPIPADQVKPSAEFEDNEGATYMTNFRQRQGNYFTYFGEAISMVARWSTGMEDGMVGEAMEEEVEVEVVVGDVVSEGVEEGMVVEICNGTQDITMVMVHQDHCLPRVVVVDEVEEGDVEAVVVRVSHPMVHSRKVLETARALILRFFCKTLALVNKKSCPFSTLIKALFFTWRICVVRDL >KJB77840 pep chromosome:Graimondii2_0_v6:12:33295789:33299056:-1 gene:B456_012G160400 transcript:KJB77840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQKVEKPKADTPINENELRITAQGRMRNYISYAITLLQDKGANEIVLKATGRAINKTVMIAELIKRRIAGLHQNTSTGSIDITDTWEPLEEGLLPLETTRHVSIITITLSKKELDSSSIGYQPPIPADQVKPSAEFEDNEGGHINGGTVEHRNGGWDGGRGYGGRGRGRGRGRGRGFRGRGRGYGGGNMQRDSGYYNGNGPSGPLPAQGRGRGRGRGRGRGGGRGQGFTPDGPFQKGA >KJB77874 pep chromosome:Graimondii2_0_v6:12:33428458:33432244:1 gene:B456_012G162300 transcript:KJB77874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYMVGLLGGVIASLLGFVFLYCNFLIRFRGLRKLNRAASMEFPKKNSVNKTGKSEGVGTTDIIIVGAGVAGAALAYSLGKDGHRVRVIERDLNAPNRMAGESLTPGGYLKLIELRLEDCVDEIDAQRLLGYTLYKDGKEARVSFPLEKFQSHVAGRTFHNGRFIQRLRKKVASLHNVSLEQGTVTSLIEENGIVKGVHYKNGNGKLLTTYAPLTIVCDGCFSNLRRSLCYSKVDIPSYFVGLTLTNCNLPKENYGAIILAHPSAIVFYQISSTEVRCMVNVPSENVPSVSNGEMACYLKTQVAPKVLPELYDSFISAIQKKGNIRIAPNKIMAAAPHLTPGALLIGDALNMRHAITGGGMTVALSDVVILRDLLRPLHDLSDASTISKYLESFYTLRKVL >KJB77873 pep chromosome:Graimondii2_0_v6:12:33428407:33433923:1 gene:B456_012G162300 transcript:KJB77873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYMVGLLGGVIASLLGFVFLYCNFLIRFRGLRKLNRAASMEFPKKNSVNKTGKSEGVGTTDIIIVGAGVAGAALAYSLGKDGHRVRVIERDLNAPNRMAGESLTPGGYLKLIELRLEDCVDEIDAQRLLGYTLYKDGKEARVSFPLEKFQSHVAGRTFHNGRFIQRLRKKVASLHNVSLEQGTVTSLIEENGIVKGVHYKNGNGKLLTTYAPLTIVCDGCFSNLRRSLCYSKVDIPSYFVGLTLTNCNLPKENYGAIILAHPSAIVFYQISSTEVRCMVNVPSENVPSVSNGEMACYLKTQVAPKVLPELYDSFISAIQKKGNIRIAPNKIMAAAPHLTPGALLIGDALNMRHAITGGGMTVALSDVVILRDLLRPLHDLSDASTISKYLESFYTLRKPMSSTLNILANVLQKVFSASSNPAMKNLQHTFLGYLRLGGMFSYGASAMLCGLCPSSLSLAFHFFSIAIYGVGRLLLPFPSPRRLWDGAKFLWMASSVLLPYIYSEGTRQMFFPLTVPAYYRTPPENKDKKNFMQ >KJB75771 pep chromosome:Graimondii2_0_v6:12:7738398:7740248:-1 gene:B456_012G056900 transcript:KJB75771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVLSLCLLSLPASTTGKNNDVQDWLNHGGNMLNRRFADKETMISPETASRLRLKWKFNAGQDISPTHAIFDGTVYFPSWDGYIYAVKASNGGLVWKKKLQQLTGLNSTKAVSNIDPNITVSRTTPVIAYDLLIFGMSGPAYVVAVKRSNGELVWLTQLDKHPKAIITMSGTYYNGSVFFCYISSSYAPQLAKGSFVKLNARTGKILWRTYMLPNNLGQRGEYTGVAIWGSSLSIDIRRNHVYIGTGNLYSAPKNVRNCQERKNNRTDMPSTDECVEPENHSVSILALDLDTGKVKWFNQLGGYDVWFFACNNVPNPKCPPSPKLDADF >KJB75775 pep chromosome:Graimondii2_0_v6:12:7792093:7794350:-1 gene:B456_012G057200 transcript:KJB75775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIFHNNVILTFLFIFPFFNPTFAGFTWKSVAKEPKSSQNWVNHGGDLYNRRHAEKETMISPETVSNLRLKWEFIAGKDITATPAIFDGTLYFPSWNGNIYAIKACDGSLVWEKNLEELTGLNATGFIVNVNWTVARATPTIADDLLIIGISGPAIVIAVERLTGELVWSTLLDNHPAGIITMSGTYYKGHFYVGTSSSEEGASIEQCCIFRGSFAKLDVRSGKVLWQTFTLPDNFGQKGEYAGAAIWGSSPSIDTTRNHIYVSTGNLYSAPLRIRQCQEAENNQTVPTSPDKCIEPENHSNSILAFDLETGVIKWYRQLGGYDLWFLACNNLSTPNCPPGPNPDADFGEAPMMLSIDVNGTKRDIAVAVQKSGFAWALNRDNGDLIWSTEVGPGGPGGGGTWGAATDKKRVYTNLANSLFMNFTLIPSQTNTNASGWVAMDAKSGEILWSTADPSNSRVSGPVTIANGVLFAGSTDKQGPIYAIDAKNGRILWSYETGATVYGGMSVSNGCIYVGNGYKVNIGAFIPTYSAGTSLFAFCLT >KJB75034 pep chromosome:Graimondii2_0_v6:12:2402016:2406471:1 gene:B456_012G019800 transcript:KJB75034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELEGFNFEQRHGKARVRVGRVWRSKDGCRHFMVEWNVNISLLSNCVAAYVRDDNSDIVATDTMKNTVYVKAKECSEQLSAEEFAILLGKHFTSFYPQVFTAIVKIVEKPWERISVNGQPHEHGFKLGSEKHTTEAIVQKSGVLQLTSGVEGLSLLKTTQSGFEGFIRDKYTALPETRERMLATEVTASWRYSYESVSSIPQKSLYFNEQYLNVKKVLVDTFFGPPERGVYSASVQSTLLQMAKAVLGRFSLTYTYICTYCVYSHASSHVNSCLHIHARTDFIFCFNGF >KJB75033 pep chromosome:Graimondii2_0_v6:12:2401955:2407292:1 gene:B456_012G019800 transcript:KJB75033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELEGFNFEQRHGKARVRVGRVWRSKDGCRHFMVEWNVNISLLSNCVAAYVRDDNSDIVATDTMKNTVYVKAKECSEQLSAEEFAILLGKHFTSFYPQVFTAIVKIVEKPWERISVNGQPHEHGFKLGSEKHTTEAIVQKSGVLQLTSGVEGLSLLKTTQSGFEGFIRDKYTALPETRERMLATEVTASWRYSYESVSSIPQKSLYFNEQYLNVKKVLVDTFFGPPERGVYSASVQSTLLQMAKAVLGRFGDISSVKLKMPNIHFLPVNISSKDHGSIVKFNDDVYLPTDEPHGSIEASLSRFWSKM >KJB76249 pep chromosome:Graimondii2_0_v6:12:13089995:13092330:-1 gene:B456_012G080500 transcript:KJB76249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPEGPSPVTSSPLQFFPWMSPSPGIGSPFPWLWELKPEERGLWLIRLLVACANHVAAGSLENANIGLEQISHLASPDGDTMQRIAAYFTEALANRMLKAWPGLHKALNSTKISSVPDEMLVQKLFFELCPFLKLAYIITNQAIVEAMEGEKMVHIIDLNSCEPAQWINLFQTFSARPEGPPHLRITGIHEQKEVLEQMALRLTEEAEKLDIPFQFNPIVCKLENLDLESLRVKTGEALAVSSVLQLHSLLATDDEVPRRNFNSPPVSKTRVLQMTQRTFGEWLEKEPVHIYNPSSDIASSPSSLAPAPKMGSFLAALRALSPKVMVVTEQESNHNGSTLMERVIEALNFYAALFDCLESTVSRAPIERQKVEKMLFGEEIKNIIACEGGERKERHEKLEKWILRLELAGFGRVPLSYHGMLQAGRLLQTNNYDGYKMKEENGCLVMCWQERPLYSISAWGFRR >KJB77905 pep chromosome:Graimondii2_0_v6:12:33587170:33587451:-1 gene:B456_012G164900 transcript:KJB77905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIDTVNLVAHHVQRWRFRDRSGILPAGRIVLRVTEDEKQWWRFECCRIEGGMYCISGSAWSDFVGPRVHARLTLYAKQYGENFNRVRVRAG >KJB77389 pep chromosome:Graimondii2_0_v6:12:30788548:30789684:1 gene:B456_012G135100 transcript:KJB77389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGKEKLSNESATKPSGVSITQSPTLRSLPLRNRSDTISRRFGANESEWSSDEEEDAYADDNNRQILIQDFRRHLQETHQTIQEMINIQRWKPFAGA >KJB75819 pep chromosome:Graimondii2_0_v6:12:8286989:8288853:1 gene:B456_012G059200 transcript:KJB75819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKCFYCFLFLIFLGFQDCCEGRWLLASPKPDRDNAAGYARWLVSQSSWGILSTISMELGGSPFGNVVSFSDGVVDKSTGIPYFYLTTLDPTARNALKDHRSSLTISENPLGTCGNADPENPVCAKITLTGKLVLLDANSKESKFAQTALFTKHPEMKGWPQSHNFRIFKLKIENIFMINWFGGPKPLTVGQYLKYEM >KJB75820 pep chromosome:Graimondii2_0_v6:12:8287617:8288483:1 gene:B456_012G059200 transcript:KJB75820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICNVRTCRDTLLWPFIFPYFINSCCRNVVSFSDGVVDKSTGIPYFYLTTLDPTARNALKDHRSSLTISENPLGTCGNADPENPVCAKITLTGKLVLLDANSKESKFAQTALFTKHPEMKGWPQSHNFRIFKLKIENIFMINWFGGPKPLTVGQYLKYEM >KJB76476 pep chromosome:Graimondii2_0_v6:12:15784461:15786325:1 gene:B456_012G091200 transcript:KJB76476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLNKALKPIKHPNFLSALRSLNFSSLATAHGNPSDGPSSSSFTFDNANNNKEKDDNTIYIKPPTSNASTEKTTSVTMPMSFMTGSIVGKRFYEKVTTRESDDGVGWTVMLDYRTLKTPSKRPLKLPTLALAKAIAAEWEYQQTDGIRPFTMPLMKLACTALERVPLTRTKIIEHLMKKFDQDLVFCRAPEDNTLTAGVHARQVEKVDPLLSWLNSEFGFQPIVYSSFFGGKQGEGLSKAVENLLKKTDDCELAAIDALAAAAHSLVIALGIFRGKLQIEEAIELIRLEEDLQVDKWGLVEGGHDVDIADLKVQISSATVFLGLSRKNFFH >KJB76477 pep chromosome:Graimondii2_0_v6:12:15784501:15786538:1 gene:B456_012G091200 transcript:KJB76477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLNKALKPIKHPNFLSALRSLNFSSLATAHGNPSDGPSSSSFTFDNANNNKEKDDNTIYIKPPTSNASTEKTTSVTMPMSFMTGSIVGKRFYEKVTTRESDDGVGWTVMLDYRTLKTPSKRPLKLPTLALAKAIAAEWEYQQTDGIRPFTMPLMKLACTALERVPLTRTKIIEHLMKKFDQDLVFCRAPEDNTLTAGVHARQVEKVDPLLSWLNSEFGFQPIVYSSFFGGKQGEGLSKAVENLLKKTDDCELAAIDALAAAAHSLVIALGIFRGKLQIEEAIELIRLEEDLQVDKWGLVEGGHDVDIADLK >KJB74659 pep chromosome:Graimondii2_0_v6:12:123323:127833:1 gene:B456_012G001000 transcript:KJB74659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVVVTSEEVEEVKIDSETEEQENGEVEGNMRRRRRKKKKKKKKKPGCSASGAVVNWERFLPMPALRVLLVEADDSTRQIISALLRKCSYRVAAVPDGLKAWEMLKGRPHNVDLILTEVDLPSISGFALLTLIMEHDICKSIPVIMMSSQDSVSTVYKCMLRGAADYLVKPIRRNELRNLWQHVWRRKSSIVGGNSPQDESIGQKKVETTSENNAASNLSIGCLDGVRKNKEQTEKGSDAQSSCTKPEMEAESAQKENMQEFSHLIKVNSPPIESQKHEAHGGFNQNLLMHEMETEVVDSCKDAYTTLYKGVELENQRRDTRVLVEAGDALVDSPREAIDFMGTFNKNCTSSSINSAKKFDSSLFLDLSLRRSNPNVFENHVTQERPTLWHPSSSAFTRYTSRVSQPLHSTLMSFSDQKKDSGTNSEKMLTNVMSENNSDTPSPTLTSQRNTNPLTIGATVELKQTEVATPCTQHRLFPVPVPVKGIRLNNPCNGYNTIIPPMFCARSSSSMAPSPSTANQQEPAFHLNLFRHSSFEANSSGQLYDRLASNTNQSTSQLLHKLDQKLDSIEDRGHISPTTDQSASSSFCNGSLSQLNGVAYGSTGASNGNVDQAAVTRASTESKNDDSFPSPSGKPCRSIQREAALMKFRLKRKDRCFEKKVRYESRKKLAEQRPRVKGQFVRQVQADPMHIETEHHYGNSSDG >KJB75133 pep chromosome:Graimondii2_0_v6:12:3246924:3248571:1 gene:B456_012G026100 transcript:KJB75133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERVQAIVSSSLAKDNIPLEFVRPEDEQPAITTFHGLIPDIPVIDFNHPDQDHIIHLIANASRDWGIFQVVNHGIPFHLIQKLQQVGKEFFDLPQEEKEVYAKPPGALTLEGYGSKIGKDVNGKKNWADHLFHKIWPASCINHQFWPKNPPSYRPVNEEYAQEVRKVVDKLFKWLSMGLGLEADVLKQGVGGEEIEYLMKINYYPPCPRPDLTLGVTSHTDLSAMTVLVPNEVPGLQVFKDGHWIDAKYIPGALIIHIGDQIEILSNGKYKAVLHRTTVDKEKTRMSWPVFLEPPGEFVVGPLPQLLDPQIPPKYKPKKFKDYSYCKFHKLPQY >KJB75519 pep chromosome:Graimondii2_0_v6:12:5615096:5624331:-1 gene:B456_012G045100 transcript:KJB75519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKAAETAFQSIGLGYDLTVDLKLKFCKKASNLITIADHDRVRDVAVPGGVLVRNVPKYIKCDKGERMRFASDVLSFQQMSEQFNQEMSLSGKIPSGHFNVAFEFTTGWQKDAANTKTLAFDGVFITLYNVALEKSQVMLCDHVKQAVPSSWDPPALAKFIETYGTHIIVGVKMGGKDVVYMKQMHSSGLQPIELQQKLKELANKMLLEETQHKTNYDKLNKSEKIFKEHGLASMDMFQTSSYSEVEFQDIKFICKRKGGKLNSNLSHSEWCQTVQSEPDVISMSFIPITSLLSGVNGSGYLTHAINLYLRYKPSIDELHQFLEFQLPKQWAPVFGELALGPQKKQQNSASLQFSFMGPKLYVNTTPVYVDKKPVTGLRLYLEGKRGNRLAIHLQHLSSLPKAFQLEDGPSVSVSDPLSERKYYEKVQWKSCSHVCTAPVEVDDDVSIVTGAHFEVGVYGLKKVVLLRLHFSKLIGAKAIKQPEWDGSPGLAQKSGIISTFISTRFTGAQKHAPQPANVNINSALYPGGPPMPSQPPKVLQFVDTTEMSRGPQDLPGYWVVSGARLIVEKGKISLKVKYSLLTMILPD >KJB75520 pep chromosome:Graimondii2_0_v6:12:5614739:5624631:-1 gene:B456_012G045100 transcript:KJB75520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKAAETAFQSIGLGYDLTVDLKLKFCKKASNLITIADHDRVRDVAVPGGVLVRNVPKYIKCDKGERMRFASDVLSFQQMSEQFNQEMSLSGKIPSGHFNVAFEFTTGWQKDAANTKTLAFDGVFITLYNVALEKSQVMLCDHVKQAVPSSWDPPALAKFIETYGTHIIVGVKMGGKDVVYMKQMHSSGLQPIELQQKLKELANKMLLEETQHKTNYDKLNKSEKIFKEHGLASMDMFQTSSYSEVEFQDIKFICKRKGGKLNSNLSHSEWCQTVQSEPDVISMSFIPITSLLSGVNGSGYLTHAINLYLRYKPSIDELHQFLEFQLPKQWAPVFGELALGPQKKQQNSASLQFSFMGPKLYVNTTPVYVDKKPVTGLRLYLEGKRGNRLAIHLQHLSSLPKAFQLEDGPSVSVSDPLSERKYYEKVQWKSCSHVCTAPVEVDDDVSIVTGAHFEVGVYGLKKVVLLRLHFSKLIGAKAIKQPEWDGSPGLAQKSGIISTFISTRFTGAQKHAPQPANVNINSALYPGGPPMPSQPPKVLQFVDTTEMSRGPQDLPGYWVVSGARLIVEKGKISLKVYCFGSFRLLNFPSQAIWVKT >KJB75518 pep chromosome:Graimondii2_0_v6:12:5614739:5624631:-1 gene:B456_012G045100 transcript:KJB75518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKAAETAFQSIGLGYDLTVDLKLKFCKKASNLITIADHDRVRDVAVPGGVLVRNVPKYIKCDKGERMRFASDVLSFQQMSEQFNQEMSLSGKIPSGHFNVAFEFTTGWQKDAANTKTLAFDGVFITLYNVALEKSQVMLCDHVKQAVPSSWDPPALAKFIETYGTHIIVGVKMGGKDVVYMKQMHSSGLQPIELQQKLKELANKMLLEETQHKTNYDKLNKSEKIFKEHGLASMDMFQTSSYSEVEDIKFICKRKGGKLNSNLSHSEWCQTVQSEPDVISMSFIPITSLLSGVNGSGYLTHAINLYLRYKPSIDELHQFLEFQLPKQWAPVFGELALGPQKKQQNSASLQFSFMGPKLYVNTTPVYVDKKPVTGLRLYLEGKRGNRLAIHLQHLSSLPKAFQLEDGPSVSVSDPLSERKYYEKVQWKSCSHVCTAPVEVDDDVSIVTGAHFEVGVYGLKKVVLLRLHFSKLIGAKAIKQPEWDGSPGLAQKSGIISTFISTRFTGAQKHAPQPANVNINSALYPGGPPMPSQPPKVLQFVDTTEMSRGPQDLPGYWVVSGARLIVEKGKISLKVKYSLLTMILPD >KJB78206 pep chromosome:Graimondii2_0_v6:12:35114986:35116158:-1 gene:B456_012G183800 transcript:KJB78206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSFQQAFNALKEHTCLSYAKIATMGGLCNVDHIIVKATAPNSSPLSDKYVHQLLRLFAISPPCCRAFSLGFARRFGKTRSWRVALKCLLLLHRLLRSSPEDSLFRTELLINRSNGSISLYPCNFRDVSSSDPESFTVFIRSYARLLDEISNEDNHRARPGPPDNSPEEIGRVLEVLEQIQSLIDRVIECKPTGVTARNFLIQTAMKHIIRDSFVCYTVFKNDIVFLLENMFQMPTYQCCVSAFSVYKKAALQADQLYEFYQWCKLMGVCGCYEYPFVDRIPLLQIQALESFINGMWEIKDDDGDHQSPSSSPLSSTAEKVGDEGEEERQPLIKLNDDDDDDSWEDILEASVINFSSHGSDEKDEWRIQVYNPFDGKYVSSDPNYPWGL >KJB75384 pep chromosome:Graimondii2_0_v6:12:4882407:4892116:-1 gene:B456_012G039300 transcript:KJB75384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKNPLAEIAVIRLNNILEKFRESEDEVYTFESNLTNKERAVVHKACRKMGMKSKSKGRGSQRCVSVYKIKEKASSMTEKNPTNVSFSEGTKLVLQDLFAYYPPDDGELEEKVIGKYSGKSAKIRRKKDDIFSKPLMNAAEIAKKVKTLASKREKHPNMRQIDEERSKLPISGFRDAITSAVECHQIILISGETGCGKTTQVPQFLLDYMWGKGEACKILCTQPRRISATSVAERIANERGESVGENVGYKIRLESKGGRHSSIVFCTNGVLLRVLVANSRSKREDISDMTHIIMDEIHERDCFCDFMLAIIRDILPSYPHLRVVLMSATLDSERFSQYFGGCPIIRVPGFTYPVRSFYLEDVLSILKSTDDNHLIAANASDQDEDPELTEEDKIALDEAINLAWSSDDFDPLLDLISVEGGSKVHNYQHSLTGLTPLMVFAGKGRVEEVCMLLSFGVDCSLISKDGKSALDWAEQENQQEAAEIIKNHIESSQKNIGGQHLLEKYIASGNPEIIDVVLIEQLLRKICIGSNEGAILVFLPGWEDINRMKEKLLANPFFKDSSRFIIIPLHSMVPSADQKKVFNRPPLGCRKIVLSTNVAESSVTIDDVVYVIDSGRMKEKNYDPYNNVSTLQSSWVSKANAKQREGRAGRCQPGICYHLYSKLRAASMLDFQVPEIKRMPIEELCLQVKLLDPACKVEDFLRKTLDPPVSETVHNALRVLQDIGAFTQDEELTELGEKLGYLPVHPLTCRMLFFAILMNCLDPALTLACASDFKDPFVLPMRPNEKQKAAAARHELASLYGGQSDQLALIAAFECWKNAKRKGLERRFCSQYFVSSSTMNLLFGMRKQLQGELIRHGFIPDDVSSCSLNANHPGILHAVLVAGLYPMVGRILPVKQGKRLIIEAANGSKVRLHTRSVNSKLVPKKPEDCPLIMYDEITRGDGGMFIRNCTVVGPFPLLFLATEIAVAPIKGIVYDEDEDDDGADGDGDDDDDDGSDDGDGDACNTDGDEKLAVSKSGGKEDKVMSSPDNSVMVVVDRWLSFRSTALDVAQIYCLRERLLAAILFKVMHPHEVLPPVLGASVYAIAWILSYDGLSGIPTPAESVDSLTSRVRSTDINKSMPVMKDTSPNPSRFLLSLLNRSPFSCSSFQKGVKGPAVGAVTNGVESMSYNQQAPVMSAGMSLDQAALQDPTSVASGSGASKSQGSGDDSCKRQRGKRSIS >KJB75383 pep chromosome:Graimondii2_0_v6:12:4882823:4890960:-1 gene:B456_012G039300 transcript:KJB75383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKNPTNVSFSEGTKLVLQDLFAYYPPDDGELEEKVIGKYSGKSAKIRRKKDDIFSKPLMNAAEIAKKVKTLASKREKHPNMRQIDEERSKLPISGFRDAITSAVECHQIILISGETGCGKTTQVPQFLLDYMWGKGEACKILCTQPRRISATSVAERIANERGESVGENVGYKIRLESKGGRHSSIVFCTNGVLLRVLVANSRSKREDISDMTHIIMDEIHERDCFCDFMLAIIRDILPSYPHLRVVLMSATLDSERFSQYFGGCPIIRVPGFTYPVRSFYLEDVLSILKSTDDNHLIAANASDQDEDPELTEEDKIALDEAINLAWSSDDFDPLLDLISVEGGSKVHNYQHSLTGLTPLMVFAGKGRVEEVCMLLSFGVDCSLISKDGKSALDWAEQENQQEAAEIIKNHIESSQKNIGGQHLLEKYIASGNPEIIDVVLIEQLLRKICIGSNEGAILVFLPGWEDINRMKEKLLANPFFKDSSRFIIIPLHSMVPSADQKKVFNRPPLGCRKIVLSTNVAESSVTIDDVVYVIDSGRMKEKNYDPYNNVSTLQSSWVSKANAKQREGRAGRCQPGICYHLYSKLRAASMLDFQVPEIKRMPIEELCLQVKLLDPACKVEDFLRKTLDPPVSETVHNALRVLQDIGAFTQDEELTELGEKLGYLPVHPLTCRMLFFAILMNCLDPALTLACASDFKDPFVLPMRPNEKQKAAAARHELASLYGGQSDQLALIAAFECWKNAKRKGLERRFCSQYFVSSSTMNLLFGMRKQLQGELIRHGFIPDDVSSCSLNANHPGILHAVLVAGLYPMVGRILPVKQGKRLIIEAANGSKVRLHTRSVNSKLVPKKPEDCPLIMYDEITRGDGGMFIRNCTVVGPFPLLFLATEIAVAPIKGIVYDEDEDDDGADGDGDDDDDDGSDDGDGDACNTDGDEKLAVSKSGGKEDKVMSSPDNSVMVVVDRWLSFRSTALDVAQIYCLRERLLAAILFKVMHPHEVLPPVLGASVYAIAWILSYDGLSGIPTPAESVDSLTSRVRSTDINKSMPVMKDTSPNPSRFLLSLLNRSPFSCSSFQKGVKGPAVGAVTNGVESMSYNQQAPVMSAGMSLDQAALQDPTSVASGSGASKSQGSGDDSCKRQRGKRSIS >KJB75858 pep chromosome:Graimondii2_0_v6:12:8647370:8650533:1 gene:B456_012G061500 transcript:KJB75858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLAMDAMNGETGVEEHDNGFHTPYKYSFAQERSPRSALSPRSDSLDLAIDGVVATSIEQLYHNVYEMQSSDQSPSLSSYGSYGEESRIDSELRHLVGDFGVVEITKEVVVAEKKEEDSVGDLNLKKENEVTDEMPKKKNNDKNQTQGAKHRHRLQLDSEASVKSSPKSKSSQEKTPVEKRHEKNARKQRNFGAKFNNGTGDSLEAGLENPELGPFLLKQTRNMISSGENPRKALELAIRATKSFEKCADGKPSLELVMSLHVLAALHCSLGQYDEAIPVLERSIEIPVIEDGQTHALAKFAGCMQLGDTYVMLGQIENSILCYTAGLEIQRQVLGETDPRVGETCRYVAEAHVQALQFDEAERLCQMALDIHRENGAPASIEEAADRRLMGLICDSKGDYESALEHYVLASMAMAANGRELDVASIDCSIGDAYLSLARFDEAVFAYQKALTVFKSAKGENHPTVASVFVRLADLYNKVGKLRDSRTYCENALRIYGKPNPGIPSEEIASGLIDIAAICQSMNELDQALKLLKKALSIFSEAPGKQSTIAGIEAQMGVMYYMMGSYADSYSTFKSAISKFRASGDKKSALFGIALNQMGLACVQRYSINEAADLFEEARSILEKEYGPYHPDTLGVYSNLAGTYDAMGRLDDAIEILDYVVDMREEKLGTANPDVIDEKRRLSELLKEAGKVRSRKSRALVTLLDTTTQIINDDGIKV >KJB76899 pep chromosome:Graimondii2_0_v6:12:25124106:25132005:-1 gene:B456_012G111300 transcript:KJB76899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKLCAVHCVNTVLQGPFFSEFGLAALASYLDPKERQMMFQGTPPPPVLQKALEVWDYQNNPLNCPLAEPAQIDPELENAFICHLHDHWFCIRKVTGEWYNFNSLYTAPEHFPKFYLSAYLDSLKGARWSVFLVRGNFPKEFHISSTEAFNGYGQWLSPEDAERITKSSNIIQAPPERNNTQQHPNQLDETDTQMLLDMEDEDLKAVIAASLMDSSFAVAMTEASNPKSENEDSPEKTTKAANFLTYWTSDNGSCHVLDGLSKHIRLENLTFLNIFNSSRALPKRYITLRGRKYKNFQNGSDRKCLT >KJB75876 pep chromosome:Graimondii2_0_v6:12:8851980:8855006:-1 gene:B456_012G062700 transcript:KJB75876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASKA >KJB75878 pep chromosome:Graimondii2_0_v6:12:8852080:8854905:-1 gene:B456_012G062700 transcript:KJB75878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASKASMRKDQCIGC >KJB75877 pep chromosome:Graimondii2_0_v6:12:8852019:8854905:-1 gene:B456_012G062700 transcript:KJB75877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASKA >KJB75149 pep chromosome:Graimondii2_0_v6:12:3338236:3340141:-1 gene:B456_012G026800 transcript:KJB75149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGVDACGCGWIQFICPLIWVVILECGFWQSNFLAVKSKGSDTSEDLRIKRLDWYVGNGEKLSVGGCIQDIDHLFIQCYFAQQMYNTIVEMDGVIQEILLAEKKKLNIRLWMHIKQVLGS >KJB76426 pep chromosome:Graimondii2_0_v6:12:15150647:15153730:-1 gene:B456_012G088400 transcript:KJB76426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFYLLVLVLFSRSCFFQTTKGLQAYQTQLFLQIRKHLEYPSQLQVLDNYSGSLCDLAATAHMMISCQDNFVTELKIRGDKLANVSGFNGYAIHNKTLSETFSINSLVTTLTRLTSLRVLSLVSLGIWGPLPDKIHRLYSLELLDLSSNFMFGSIPPQISRMVKLQTLTLDGNYFNDTIPDTLDSLSNLSVLSLRGNHLKGQFPSSICRISSLTDIALCHNKLSGELPDFSSLTRLRVLDVRENQFDSQLPVMPRGLVTALLGKNLFSGEIPAQVGILTHLQHLDLSFNHLSGTPPSALFDLPNISYLNLASNMLSGSLPEQITCGSKLGFVNISSNKLVGKLPSCLDNTSDKRAVKFGGNCLSIEGHQQHQSSYCKEANTRKTGRKIALLIAIIVGSILLLVILAFGVLFLSRRCFERKTLETQIRQKAVQENPTTGVSPDILANARFISEVMKLGTQGSPVSRLFSLEELEEATNNFDSSMFMGESPAGKLYKGRLENGTYVAIRSLNLLKRYSIQNLKVRLDFFSKLHHPHLVSLLGHCIDGGVQDDPFANKVFLVYEYVPNGNYRMHLSESCPEKVLKWSDRLAILIDVAKAVHFLHTGVIPGVHNNRLKTNNILLDEHRIAKLCDYGMSIILEYNEKLEAKGGGLKSSQRQTLEDDVYNFGFILLESLVGPIVSGKGETFLLNEMASFGSQDGRKRIVDPAVLMTSSQESLSIIVSVTRKCTCPEPSSRPSFEDVLWNLQYAAQVQATADADQKSDSTS >KJB76427 pep chromosome:Graimondii2_0_v6:12:15150073:15154383:-1 gene:B456_012G088400 transcript:KJB76427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFYLLVLVLFSRSCFFQTTKGLQAYQTQLFLQIRKHLEYPSQLQVLDNYSGSLCDLAATAHMMISCQDNFVTELKIRGDKLANVSGFNGYAIHNKTLSETFSINSLVTTLTRLTSLRVLSLVSLGIWGPLPDKIHRLYSLELLDLSSNFMFGSIPPQISRMVKLQTLTLDGNYFNDTIPDTLDSLSNLSVLSLRGNHLKGQFPSSICRISSLTDIALCHNKLSGELPDFSSLTRLRVLDVRENQFDSQLPVMPRGLVTALLGKNLFSGEIPAQVGILTHLQHLDLSFNHLSGTPPSALFDLPNISYLNLASNMLSGSLPEQITCGSKLGFVNISSNKLVGKLPSCLDNTSDKRAVKFGGNCLSIEGHQQHQSSYCKEANTRKTGRKIALLIAIIVGSILLLVILAFGVLFLSRRCFERKTLETQIRQKAVQENPTTGVSPDILANAIMKLGTQGSPVSRLFSLEELEEATNNFDSSMFMGESPAGKLYKGRLENGTYVAIRSLNLLKRYSIQNLKVRLDFFSKLHHPHLVSLLGHCIDGGVQDDPFANKVFLVYEYVPNGNYRMHLSESCPEKVLKWSDRLAILIDVAKAVHFLHTGVIPGVHNNRLKTNNILLDEHRIAKLCDYGMSIILEYNEKLEAKGGGLKSSQRQTLEDDVYNFGFILLESLVGPIVSGKGETFLLNEMASFGSQDGRKRIVDPAVLMTSSQESLSIIVSVTRKCTCPEPSSRPSFEDVLWNLQYAAQVQATADADQKSDSTS >KJB76422 pep chromosome:Graimondii2_0_v6:12:15046625:15048573:1 gene:B456_012G088000 transcript:KJB76422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNDFPVYPSPSEQSAADDAQKQKLDDADKKITIFLFHNSIPFSAAKSMYLHVEFYISKLSDQFTNARKKQMSCAELGRRKMTVHALPQKCFLSC >KJB74967 pep chromosome:Graimondii2_0_v6:12:1841548:1843432:-1 gene:B456_012G016200 transcript:KJB74967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMLGNPKMGSASSFSKFCLTLLLLVDVLGSTNAQLSTNFYSKSCPNLLSTVKSTVTSAINKEARMGASLLRLFFHDCFVNGCDGSVLLDDTSSFTGEKNAIPNRNSARGFDVVDNIKSAVENVCPGVVSCADILAIAARDSVEIVSPKWAVKVGRRDARSASQSAANNGIPPPTSNLNRLTSRFNALGLSTRDLVALSGAHTIGQARCTSFRARIYNESNIDASFAQTRQRNCPRTTGSGDNNLAPLDIQTPTSFDNNYFKNLISQRGLLHSDQQLFNGGSTDSIVRGYGNSPSSFNSDFVSAMIKMGDISPLTGSRGEIRKNCRRVN >KJB74965 pep chromosome:Graimondii2_0_v6:12:1841527:1843444:-1 gene:B456_012G016200 transcript:KJB74965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMLGNPKMGSASSFSKFCLTLLLLVDVLGSTNAQLSTNFYSKSCPNLLSTVKSTVTSAINKEARMGASLLRLFFHDCFVNGCDGSVLLDDTSSFTGEKNAIPNRNSARGFDVVDNIKSAVENVCPGVVSCADILAIAARDSVEILGGPKWAVKVGRRDARSASQSAANNGIPPPTSNLNRLTSRFNALGLSTRDLVALSGAHTIGQARCTSFRARIYNESNIDASFAQTRQRNCPRTTGSGDNNLAPLDIQTPTSFDNNYFKNLISQRGLLHSDQQLFNGGSTDSIVRGYGNSPSSFNSDFVSAMIKMGDISPLTGSRGEIRKNCRRVN >KJB74966 pep chromosome:Graimondii2_0_v6:12:1841548:1843432:-1 gene:B456_012G016200 transcript:KJB74966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMLGNPKMGSASSFSKFCLTLLLLVDVLGSTNAQLSTNFYSKSCPNLLSTVKSTVTSAINKEARMGASLLRLFFHDCFVNGCDGSVLLDDTSSFTGEKNAIPNRNSARGFDVVDNIKSAVENVCPGVVSCADILAIAARDSVEILGGPKWAVKVGRRDARSASQSAANNGIPPPTSNLNRLTSRFNALGLSTRDLVALSASSVNCAGAHTIGQARCTSFRARIYNESNIDASFAQTRQRNCPRTTGSGDNNLAPLDIQTPTSFDNNYFKNLISQRGLLHSDQQLFNGGSTDSIVRGYGNSPSSFNSDFVSAMIKMGDISPLTGSRGEIRKNCRRVN >KJB76490 pep chromosome:Graimondii2_0_v6:12:16114264:16114845:-1 gene:B456_012G091900 transcript:KJB76490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREQFKDTRAQYIVIIFYKLQYFMQNGPATQLGSFPSAWIHKTYFLEVSSNPYNYKDLQKYLCQVNRTIPIEIWPLGDVLAPWDIKIEPPTPYQKQLKKALEEYQSNIPDPKECDGLEQQIKQMEIKCYRAKEEKVKMIEELNLTSDVSTDYESD >KJB74672 pep chromosome:Graimondii2_0_v6:12:208229:211174:1 gene:B456_012G001900 transcript:KJB74672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQAWPCTLFFFLFSSAYAIAFSEPSPSPMEMPQALLSSASSKAKVVKAIVATAATTLVIAFIVFFFFFFFRRIRRGRWWPRGKYESSFRREAVVIPDEFKKYGGKAKGLAVEENGREVLCMTKLDNRRLKNEFPKVMFNPSYAYEEDEDEKTMDTTVERSKDSESREDFEFFDEPSSVILPPVSTPPPSELSPAMVSMKQTTPNPPPPSIMPPAPPAADLSAPLLKPPAAPRGTASRNRPSTSPERRRGAGFGQLKLRPLHWDKVIADSDHSMVWNQIKDGSLRFDDEIIETLFGYTTANHKSPDSNNISSTPGSSGNTPEAQVFILEPRKSQNSAIVLKSLGISRTEIIGALREGQGLSAETLEKLTKIAPTREEEAKILHFSGNPMGLPDAESFLYHVLKAVPSTFIRINVMLFRSNYELEFLKLKESLQTLELACKELRNRGLFLNLLEAILKAGNKMNAGTARGNALGFNLSALQKLCDVKSTYGKTTLLHFVVEQIARSEGRRRGTNRIFCTNGEVNSEKLMPEEEDREYLMLGLPALAALGSEFSNVKTATTIEYESFINLCSNLTSRVAESKQLLAFCGNSEETDFVMEMEGFLEKCEDDLKVVQEEQVRVMELVKKTTEYYQAGSSKVRGANPLQLFVIVKDFLEMVDRVRADITRNCGIQMGYTVQDHCHH >KJB75804 pep chromosome:Graimondii2_0_v6:12:8229458:8230147:-1 gene:B456_012G058800 transcript:KJB75804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEWQLLCPQRVKVFLWLPFQNKLLANRIRVHRHIAIDARFVACGDPIESIDHILCFLLPGYVHEFLNSPFAEWLDVNITDPSRFPFDSNNWDCFFAALCWCIWKQQNRGIFDVDSVVGGCLIHQTRRLWANSRALTIVHWIKLNISWLKVNTEGARNEQFECAVDESAVQNHDGVWIAGCARNIDACSVLEISKDQILTN >KJB78216 pep chromosome:Graimondii2_0_v6:12:35150815:35151941:-1 gene:B456_012G1842001 transcript:KJB78216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYGVDEAVIPYNLNGESNWWHDINDSPLWQDRIFHILTALYGLVAVIALVQLVRIQLRVPEFGWTTQKVFHFLNFLVNGVRGLVFIFRRNVQDLHPEIVRHILLDMPSLVFFTTYALLVLFWAEIYYQARAESTDGLRPIFFTINVAVYSIQ >KJB78217 pep chromosome:Graimondii2_0_v6:12:35150815:35151870:-1 gene:B456_012G1842001 transcript:KJB78217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYGVDEAVIPYNLNGESNWWHDINDSPLWQDRIFHILTALYGLVAVIALVQLVRIQLRVPEFGWTTQKVFHFLNFLVNGVRGLVFIFRRNVQDLHPEIVRHILLDMPSLVFFTTYALLVLFWAEIYYQARAESTDGLRPIFFTINVAVYSIQ >KJB74824 pep chromosome:Graimondii2_0_v6:12:1323016:1324130:-1 gene:B456_012G011700 transcript:KJB74824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YTFCFWCKLLKYGAKLSVYIIEVVVEVILSLKQATQSLDDPSLRPHSSSNLYRLSNHTYPILETIMGVKKERPVSSKRSQINKGAWTSEEDTKLAEVIAVHGAKSWNTIASKAGLKRCGKSCRLRWMNYLRPNIKRGNISEQEEDLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKIKQKEKQGCKDEKRSVENGKEELRQENTCAAGGEDSNISFDVDEFFDFSDEKFEWMNR >KJB74790 pep chromosome:Graimondii2_0_v6:12:866666:868243:1 gene:B456_012G007800 transcript:KJB74790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKADTQSAGAVAAADASSQFSMDPVFHVLKVVPYSFLRPPRLRLKIPSVSLPSAMTVFSLVLLTYFMVVSGFVYDVIVEPPGIGSTQDPATGAVRPVVFLPGRVNGQYIIEGLSSGFMFVLGGIGIVLLDLALDKNRARSVKVSYAIAGISSVVIAYVMTTLFIRIKIPGYLR >KJB77371 pep chromosome:Graimondii2_0_v6:12:30676352:30678645:-1 gene:B456_012G134500 transcript:KJB77371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITFGNSTFLPIFSLLLCICSGCHGCMDEERDALLQIKASINSPEGTAFSSWYGEDCCQWEGVQCNASTTRVSSIFFNYQRDPSLLKNWYPNATLFAQFKDLEILHLRGNQIAGFTSPEELHSLKRLQQLNLQDNSIENASHLCWGKGALPSLYYLDLSGNNLQGFIPECLCDGLLLKQLFMFDNDLHGKISPCLSNMTALQYLDLSNNQFSDSLPTFPFHNLPSIDTLILSGNKFRGKFSFSIFANLSKLSHLDISYNPYLELETESRTCFPSIKLIELSLAGCNLRKLPRFLSSQNSLKTLDLSDNLLEGNIPSWLMHNATLQLWVRGNNLSGPFPKTFGNISSRLTSLDISNNGFYGPLLEDIHLIFPELLYLSASDNGFNGGIPPSFGRLKRLQSLDLSGNKLHGEIQHLLTSNTSRLQYLYLHDNNLRGDALPRNLSFSKLEVINLRGNLLDSLPWSLLQWPAFPQLRVLSLHGNHLEGQIPHWLCQMRHLEMLDLSRNNLSGHIPDCFDNITSWSDFSHGHFSHGLLYLPGLDLSSNRLTGSIPVQITQLKALIVLNLSHNKLFGELSPELTKLTGLEALDVSHNKLFGELCPEFTNLTFLEVFNVSFNNLSGTIPVGYQFSTFDASSYIGNPGLCGNPLSRNCGVAVENPPKSNGNVLLSNMATTNHLLFPCILFLPVVNLVYLGGLISTSF >KJB75316 pep chromosome:Graimondii2_0_v6:12:4531379:4533202:-1 gene:B456_012G036600 transcript:KJB75316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEEEMKGGDGFRTTGKKISVALLALVLMPFLLWDWETNPFVYTHESTQYRSNTPPGIAPACIHCDPNYSTESMKPAEMKTDGDKYQSLEKEEGKEPMENGDDFEAEIIDRSLVNGTASVDTKGTRECNAMHFLPCITNEGCRGLNCIISIFAVCNYARGRWVADSRPPLYTAKCKYMERKWACRLSSRTDFSYEGYRWQSVDCQKPEFEASHFLERMKDKIVAFVGDSLSREQFESLMCMLTGGQESSDIEDVQILYAGKIHHPGWGYRFRSTNTTILYSKSTRLCDLEPINATDPNTLNAMHLDRQPAFIRENIDHLNVLVMNTAQHWRKTLVSWDNEVMYVNGAPVQDRNLMNISNAMIFKVNNIVKWLDSKLASNPNLQVFFRTKSPTHFFKGDWNTGGRCDNTIPMTRGSEVFEDESSDKVVAAAVKGTRVKLLDITALSDLRDEAHNSYYGKKSPDCLHWCLPGVPDTWNELLSAQL >KJB76421 pep chromosome:Graimondii2_0_v6:12:15043051:15044652:-1 gene:B456_012G087900 transcript:KJB76421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPVSDTVVDVESLVASMENKMMGSQLRLSPDYCIFRTPTILARHSPSAYIPNCFAFGPFHRCQPNLKATEKIKVKYLRELLLRSSNPEAMLRECLNSVKEIKGKARDCYAGYIDLAEEEFLEMLVVDGCFIVELFRKDADVVAKEDDDPIFSMSCMLQFLNHDLILLENQIPWLVLQLLFDKTKASTEANSLVELALQFFRTMFSYENPINPSLFFEKEIKHILDLLRLSLVLPSEEVELYERKKQLNKQPSEWQPIPSATRLNEAGVKFRRVVNVKSILDIKYSNGVLEIPSLLIQETTETIFRNLISYEQCLPHCKPVFTSYAKIMDNLIDTPHDMEILCKKVVLDNWLSPEDATHFFNKLYNDTYVKDFYYADLCNQLNDHCRKWLPKWRAAYVHNYFSKPWAIAAQIYAIIILFLTVFQSFKK >KJB75821 pep chromosome:Graimondii2_0_v6:12:8310005:8310970:-1 gene:B456_012G059300 transcript:KJB75821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPSLLLVVLFLLSPTALLSESRLSLDYYSKTCPSFNKIMQEAITDKQINNPTTAAGTLRLLFHDCLPNGCDGSILISSTPFNKAERDADINLSLPGDPFDLIVRAKTALELSCPNTVSCSDILAVATRDLVTMLGGPYYKVFLGRKDSRSSQASSIEGKLPKPTMSMSQIINLFAASGFNVQEMVALSGAHTIGFSHCKEFSSNLGNNTHYNPRFAQALKQACSGYPKNPTLSVFNDIMSPNKFDNLYYQNLPKGLGLLESDHGLYNDPRTKPFVELYAKDQNKFFRDFARAMQKLSVYRIKTGRRGEIRRRCDAVN >KJB76047 pep chromosome:Graimondii2_0_v6:12:10057188:10058101:1 gene:B456_012G068700 transcript:KJB76047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILLAMILALQFQELKSCVEEVRVGLLQFKEFIESEGCDSDHLFPSWSVTCNSSTGRVIQLSLNNTRKYIRCSYDSNWYVNLALFQPFVHLTTLDLSFNAIGGWTENQGGFGSYLRLKKLETLDLSQNNLNKSSLKQLSALTSLKSLNLSGNNMGGKRVELKYDDILHFDVELSVFESLEYLDLSSNALQGSSSTAQ >KJB78147 pep chromosome:Graimondii2_0_v6:12:34893070:34896443:-1 gene:B456_012G180500 transcript:KJB78147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEVFTSMVGLFIAQNTFNNIDEHLLYHSIMFLSFIILGYLLVINLLGIAMVFLYISLVSNAHRTLKSKGLNLFTFSIFTTISSFTNCGFIPTNENMLVFNKNPGLFLVIIPLVILGNTLFPTCLRFSIWVLGKCVNKVRDYCDYLLKNTKEIGFHHLVSTRCSFCLVGTAFGFVVIQVVLLFAMEWNSKSLKGLNPYEKTIGVLFQSVNTRQAGETVVNLPAMSTVILVVITVIMYLPPYTSFPFVNDEEEQHQQQKRKRKIAKKLLSQLAYISIFVMLICITERKSMKEDPLNFTPFNIVFEVISAYGNVGYTMGYNCKLRLKDGANCEDKWYGFVGKWSDAGKTILIVVMLFGRLKRYNMGWKFS >KJB77311 pep chromosome:Graimondii2_0_v6:12:29974998:29975824:-1 gene:B456_012G130900 transcript:KJB77311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLEEIDESKNKNNKQQKRNHGSTMFFVMVDYLFLLIFFGFLVFILFKILHFF >KJB76658 pep chromosome:Graimondii2_0_v6:12:20482781:20484873:-1 gene:B456_012G098500 transcript:KJB76658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDTPSGGNTSHGYYIPHGRKVSSASIFFESLPYKVNPQTGYIDYEKLEERALDFRPKILIYGGSSYSREWDYGSYLVPELKTRIKKYFEGDEEALPSVLEAILRRKLAGKHEETDDELMDELEVQPRDDVDDEEFESDFDNLYSTDEEILAMFLMK >KJB78133 pep chromosome:Graimondii2_0_v6:12:34819674:34820613:1 gene:B456_012G179700 transcript:KJB78133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDIIGKQQIVKAFGRKLCNRSTKMEPSLNIVLIRAGETEKPEENVTYMDAYVAAEGQIEEFNNHPELQLESLKTKNHDNVLHVNFSTAEYYAEFYVGILSSRYFPLFYLFFHSFLWISINKRNSKTNQILSNKFLLSVRHCYSK >KJB78132 pep chromosome:Graimondii2_0_v6:12:34796735:34798798:1 gene:B456_012G179600 transcript:KJB78132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTNIMLISSCEPQEPEENIPHMDASLYMAAAKGNIEEFNNRQGLQLESLKTPNHDNLLHVNLATQENAIWHFDSVHSLFKFLPIRCVSFHRYLSIFISLIKREKRSDFIEQILSKCPSLLFQTNAKGQTPLHVAARYGHSAIVKLLIKSCAKARDGDLELGMDQVSAVREMLRITDQESNTALHEAAGCGNVEVVKALLEFEDPDFPYSANKKQEAPLYIAARRRGSGRLLTLLFDKFKSTPHGGSHGRTALHAAAMAGDAEAVKVILKKKGNLTKERDEDGHTPLHYAAHLGLEISVVKELLKWDVSAAYIGDKKRGMTPLLMAARQGHLGIKQIKELLEEIENDQVAEEPVCPFLIQNVSTESLGKQREAHLIVAALIATVAFAAAITVPGGLQSEKGSEQGTPLLIHEAAFKAFVVTNAMAFTFSVSALTTHFGVLDNLLSQFKFFQRTIFFRTQLVSGILGYATLAMVIAFSTGSYVVLKPSHILVIVSYLVCPIFFYCILGISNVKIPK >KJB75161 pep chromosome:Graimondii2_0_v6:12:3462979:3463838:-1 gene:B456_012G028000 transcript:KJB75161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQRVQQSVDAAKLQGHTICNDVDKWLTMVDHKIFEMAETKLKEAEEKANERCLIGLCPNFKSRYLLSKTAEKEAYAIVQLLEKGRFDSVSYRPAPKPANIEDININIWSFVEQLYLHIFSIYLLAIFGYLFIWLLPNF >KJB75205 pep chromosome:Graimondii2_0_v6:12:3816312:3817779:1 gene:B456_012G031100 transcript:KJB75205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGASGDSVLPDMVMARQVKPDPGLESPWPELGKVDMAAMGFGPCGYGNGPSFDMNHAISRTSSYPPAVVATKAVEVKGNYEKMASAVGKESFNKRKAEKLQNSNVVAEESKRIKACEDEGEESKITGPNTNKSSNNKKEASGDASKENSKVFEVQKPDFIHVWARRGQATDRHSLAERVRREKISERMKYLQDLVPGCNKITGKASMLDEIINYVQSLQRKVEVKK >KJB77913 pep chromosome:Graimondii2_0_v6:12:33625376:33625657:1 gene:B456_012G165600 transcript:KJB77913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIDTVNLVAHHVQRWRFRDRSGILPAGRIVLRVTEDEKQWWRFECCRIEGGMYCISGSAWSDFVGPRVHARLTLYAKQYGENFNRVRVRAG >KJB78275 pep chromosome:Graimondii2_0_v6:12:35385707:35386361:1 gene:B456_012G187300 transcript:KJB78275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLNVSYVLQIPHLRPAEYKRSRLPRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKVSSKS >KJB78274 pep chromosome:Graimondii2_0_v6:12:35384995:35386361:1 gene:B456_012G187300 transcript:KJB78274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRTRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKVSSKS >KJB75230 pep chromosome:Graimondii2_0_v6:12:4159074:4160669:-1 gene:B456_012G034100 transcript:KJB75230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRDNGSLTIAPKLHYRVYIYIWRLRFSYVVCVPSRKSYMNCVVGRKPGFVYTILGLFLSLSEKMEGESSSLQIHRTSSIENEPRTLSIDQIQYAREAAMYVVNTRSIEEAMNIFTQGLEPVVEVARDEMETTTIEEIKR >KJB75972 pep chromosome:Graimondii2_0_v6:12:9349012:9352139:-1 gene:B456_012G066100 transcript:KJB75972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMPRNSDGSSEQCKAGPDFFSFYACQIADLLSEDKNTLSNSNASELSQGKYVVVNDKESMDCSPKDVDSLFENSIGAELSDFKKGRLKGLLRQSVNDLSMEVDEMLDPVVSMSELRYKIRSNSLVTSPLDGDAAQVASKKPKLLSSCSPTSITGNSHPIKSGSCKEVEDDLEFLLKNDNQLLVEETMKKYSDELSSTLVHMEQKLEETLDAIMSKCRPMTRTEKRQLQKLIQQLPKENLVRVVEIIQRGRPAEKPCEEIFVDLEKEENVTLWRLYYYVEAVEKAKMLAQLQCSTTPTS >KJB76380 pep chromosome:Graimondii2_0_v6:12:14630962:14631817:1 gene:B456_012G085900 transcript:KJB76380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDWAAPFVAAALFAFLSPGVILQIPGKNQPICFMNMKTSVAAIFVHAVLYALFLILFLVVLHIHLYV >KJB76937 pep chromosome:Graimondii2_0_v6:12:26021608:26025236:-1 gene:B456_012G113500 transcript:KJB76937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETKSRSKAIIRFQSIQDLSPLETTTLDDPLYLNARLCYNLSDSRGTARNYFACLSISEKREQRQGFEPTPVQLLNHPLAALSYVPKDAAIFAAGAVAGAVAKTVTAPLDRIKLLMQTHGVRAGQESAKKAIGFIEAIVLIGKEEGIKGYWKGNLPQVIRVIPYSAVQLFAYETYKKLFKGKDGELSVVGRLAAGACAGMTSTFITYPLDVLRLRLAVEPGYRKMSEVALTMLREEGFASFYYGLGPSLIGIAPYIAVNFCIFDLVKRALPEKYRQKTQASLLTAVVSALAATVTCYPLDTVRRQMQMRGTPYKSVLEAIPGIIEREGVIGLYRGFVPNALKNLPNSSIRLTTFDIVKRLISASEKEFQKIVDENRLKEKQETNVGEP >KJB76936 pep chromosome:Graimondii2_0_v6:12:26021608:26024316:-1 gene:B456_012G113500 transcript:KJB76936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQTHGVRAGQESAKKAIGFIEAIVLIGKEEGIKGYWKGNLPQVIRVIPYSAVQLFAYETYKKLFKGKDGELSVVGRLAAGACAGMTSTFITYPLDVLRLRLAVEPGYRKMSEVALTMLREEGFASFYYGLGPSLIGIAPYIAVNFCIFDLVKRALPEKYRQKTQASLLTAVVSALAATVTCYPLDTVRRQMQMRGTPYKSVLEAIPGIIEREGVIGLYRGFVPNALKNLPNSSIRLTTFDIVKRLISASEKEFQKIVDENRLKEKQETNVGEP >KJB75547 pep chromosome:Graimondii2_0_v6:12:5833429:5835337:1 gene:B456_012G046700 transcript:KJB75547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNSSCSVYIGNLDERVSDRVLYDILIQAGRVVDLYIPRDKETDKPKGFAFVEYETEDVADYAVRLFSGLVTLYNRTLKFAISGQDKSSQNPANAAMPATNSSYKSRHYHGVVNHMETSQQPKKSSTPSRIPDYPARYSQAPPPPGVSHHFNGYGSHINDIDYEYSRRVFGATWDSISRPRSRRYDTSDPISYPFY >KJB75546 pep chromosome:Graimondii2_0_v6:12:5833429:5835363:1 gene:B456_012G046700 transcript:KJB75546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNSSCSVYIGNLDERVSDRVLYDILIQAGRVVDLYIPRDKETDKPKGFAFVEYETEDVADYAVRLFSGLVTLYNRTLKFAISGQDKSSQNPANAAMPATNSSYKSRHYHGVVNHMETSQQPKKSSTPSRIPDYPARYSQAPPPPGVSHHFNGYGSHINDIDYEYSRRVFGATWDSISRPRSRRYDTSDPISYPFY >KJB75548 pep chromosome:Graimondii2_0_v6:12:5833429:5835337:1 gene:B456_012G046700 transcript:KJB75548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNSSCSVYIGNLDERVSDRVLYDILIQAGRVVDLYIPRDKETDKPKGFAFVEYETEDVADYAVRLFSGLVTLYNRTLKFAISGQDKSSQNPANAAMPATNSSYKSRHYHGVVNHMETSQQPKKSSTPSRIPDYPARYSQAPPPPGVSHHFNGYGSHINDIDYEYSRRVFGATWDSISRPRSRRYDTSDPISYPFY >KJB75549 pep chromosome:Graimondii2_0_v6:12:5833429:5837190:1 gene:B456_012G046700 transcript:KJB75549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNSSCSVYIGNLDERVSDRVLYDILIQAGRVVDLYIPRDKETDKPKGFAFVEYETEDVADYAVRLFSGLVTLYNRTLKFAISGQDKSSQNPANAAMPATNSSYKSRHYHGVVNHMETSQQPKKSSTPSRIPDYPARYSQGIDLWQGQI >KJB74890 pep chromosome:Graimondii2_0_v6:12:1450642:1456589:1 gene:B456_012G012700 transcript:KJB74890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGEYRRSPRIIELDARKAKERSQNKGKAICEVTDEEEELDKGQNILKQKRGRKKVKVRTVQDVVVNSVEYKVQKADTGNGEGGLVNAAIVPSGAAIPEKSKLELLLGILQRKDTQKIFAEPVDPEEVEYYYDVIKEPMDFGTIAKKLNEGSYQTLEEFERDIFLVPNNAMLFNASNTIYYRQARALKELATRLFHALKTDPESFETEASIQRLGLSGRTKAGARTLNKTNPSIAARGCRAQKRVHDVEVDRRRTYRPRNSFQGGNRSLVSAVYNAPKHLMLNKQADIGYVDSLKRFTKDMGPIVQKVANKKIDSYISEAMRVWNMTTNHQLGTQNIQIPNAAFASNIKVAPSFKVPSSTPGYQNNS >KJB74889 pep chromosome:Graimondii2_0_v6:12:1450642:1456589:1 gene:B456_012G012700 transcript:KJB74889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGEYRRSPRIIELDARKAKERSQNKGKAICEVTDEEEELDKGQNILKQKRGRKKVKVRTVQDVVVNSVEYKVQKADTGNGEGGLVNAGAAIPEKSKLELLLGILQRKDTQKIFAEPVDPEEVEYYYDVIKEPMDFGTIAKKLNEGSYQTLEEFERDIFLVPNNAMLFNASNTIYYRQARALKELATRLFHALKTDPESFETEASIQRLGLSGRTKAGARTLNKTNPSIAARGCRAQKRVHDVEVDRRRTYRPRNSFQGGNRSLVSAVYNAPKHLMLNKQADIGYVDSLKRFTKDMGPIVQKVANKKIDSYISEAMRVWNMTTNHQLGTQNIQIPNAAFASNIKVAPSFKVPSSTPGYQNNS >KJB78074 pep chromosome:Graimondii2_0_v6:12:34523157:34525962:1 gene:B456_012G175700 transcript:KJB78074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMEMKEVKFENKDLKHLGFVRVVAIHALVCVSNLYEYAKQNSGPLRSTVEGAVTTVVGPVYEKFKDVPDHLLQFLDKKVDEALHKIDDHLPAKAKQAINQAQYVVHKTAQHAWKLVDEARTNGPRGALHYATAEYKHLMVINSTKLWVKLNHNSAFHSVAEWVTPTAANWSGKYNNLINDMSGKGYIVFGYLPLIPVDEFGEAIKVAKAKEKEHLNDHKSDSSSDSD >KJB74840 pep chromosome:Graimondii2_0_v6:12:1165680:1166908:-1 gene:B456_012G010400 transcript:KJB74840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGFNFRSKSSRDGGMQMESYYGGKGGGPSNMQDLRCYSANYANSVQPNQLGKEIKMKKSKSSFGSSSKTWSFNDPELQRKKRVASYKVYAVEGKMKGSLRKSFRWIKDTYIQAVYGWSICKIVRLYRLAQASRDKATCNKDEDIDYFHRLPTLVMCVSSSVQTTQYNTIQYNCPQHPEAFV >KJB75083 pep chromosome:Graimondii2_0_v6:12:2875885:2879885:-1 gene:B456_012G023400 transcript:KJB75083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCIDDLDNLSFTTTSTTVPETKRSTSSGSEASNLVPRDPCWHAIRRATALTLEDLRFIHRLGSGDIGSVYLVEVKGGSSGCVLAAKVMDKKELMSRKKESRARIEREILESLDHPFLPTLYATLDCPRWSCLLTEFCPGGDLHVLRQRQPDRRFHEAAVRFYASEVVVALEYLHMMGIIYRDLKPENVLVRSDGHIMLTDFDLSLRSDNTSAASAAHLVSDQNTSYSSSTNLSTATPFDKSSCILPSCIVPVVSCFQPKHKRKRRKNSMHRGAFEIVAEPVDVRSMSFVGTHEYLAPEIVSGEGHGNAVDWWTLGIFIFEMFYGVTPFKGIDHELTLANILARALEFPKEPSIPASAKDLITQLLMKEPSRRMGSAMGATAIKHHQFFDGVNWALLRCTPPPYTPRPEATDNSTDSSFEYY >KJB75425 pep chromosome:Graimondii2_0_v6:12:5226601:5230650:1 gene:B456_012G041700 transcript:KJB75425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPVVLAAFPNCCCYPLPIHFLHFNFDHKPINLSFPRTQSQFKTLCSLALPTTTTHDFSTPQQEDPNRTNSYTYPDQKSTFLQQFYSSVSQKTVNNQDPDPENEEKEEDKHPKKTKFLGFLRVIFTNMWWTDLKAAVGQRINVEGLVFSVRVFVKDRHLALPHVAVRDIRCINWSELRRRGFKGVVFDKDNTITVPYSLTLWPPLRPSIEQCKDVFGPDIAVFSNSAGLLEYDYDGSKAKKLEGTIGIKVIRHKVKKPAGTAEEIEKHFGYKSSQLIMVGDRPFTDVVYGNRNGFLTILTEPLSVVEEPFIVRQVRKLELTLVNWWFRRGLKPVSHKLVSDATQCVKDPHPL >KJB76414 pep chromosome:Graimondii2_0_v6:12:14989201:14991359:1 gene:B456_012G087700 transcript:KJB76414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIYLRALVLLIWLLISPLGQNLLSCNVTSPDALGYRCGINGSEDQCGTFAVLRATSYYSSLSNLSFYLGFNRVAIAEANGFSAQTEFLPRDQPLLLPIDCKCNNGFFHADLTKTTIKGESFYGIAESLQGLTTCKAIQDKNPGVSPWGLGDKVRLVVPLRCACPSPSEVTLKARLLLSYPVSPGDTISNLAAAFNTTSEAIISANNRSLETFEPESLATLTSLLIPLNGEPLPHFPETSIPIINPQKKKPRMWKAGVYIAVSGVVIGTIIAVAATFLVIRLKRKKKKQNLSKDDDLELQQLSLSVRTASEKKVSFAGSQDAGDGPLIDSVTPRKALLELYTIEELRKATEDFNPSTHIEGSVYHGHLNGKNMAIRRTRTENISKVETRFFTDATHHHPNIIRLLGTCVTEGSHPFLVFEYAKNGSLKDWLHGGLAMKNQFIASCYCFLTWKQRLKISFDIAVALQYMHQVMNPSYVHRNIKSRNIFLDEDLNAKIGNFGMARCVEDDTKYPDLSTNPSSWSLGYLAPEYLHQGVISPGIDIFAYGIVLLEILSGQTPISRPDKKGGGNVWLSEKIKAILQSESADELRAWMDSALGENYSFDTAVTLANLARACTEEDPCLRPSAGEIVDRLSRLVEESTEGEHTLIFESSSKPLVNTSSTTSNL >KJB75763 pep chromosome:Graimondii2_0_v6:12:7520305:7523727:-1 gene:B456_012G056000 transcript:KJB75763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVLTNPKAGFYINRDVFGAEGDFITSPEVSQMFGEMVGVWAMCLWEQMGQPKRVNLVELGPGRGTLMADLLRGASKFKNFTESLHIHMVECSPALQELQHQSLKCMDEENTSEGVDKRSLSTLAGTPVSWHATLEQVPFGLPTIIIAHEFYDALPVHQFQRGSRGWCEKMIDVTEDSSFHFVLSPQPTPATLYLMKRCKWAVPKEVEKLNQIEVCPKAMDLTSTLAKRIGVDGGGALIIDYGLNGVVSDSLQAIRKHKFVNILDNPGSADLSAYVDFASIKHSAQEASDDVSVHGPITQSQFLGSLGINFRVEALLQNCTDEQAEALRTGYWRLVGDGEAPFWEGPEEQVPIGMGTRYMAMAIVNKKQGIPIPFQ >KJB75762 pep chromosome:Graimondii2_0_v6:12:7520304:7523845:-1 gene:B456_012G056000 transcript:KJB75762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKLLFRQAPRAYRFLSTQSTLPLLSKCSVFSYCSSSRPEIPPSNSIEHLEDQPTNTISIDRSGLCSPPEHSHEPSSDSELVKHLKGIIKFRGGPISVAEYMEEVLTNPKAGFYINRDVFGAEGDFITSPEVSQMFGEMVGVWAMCLWEQMGQPKRVNLVELGPGRGTLMADLLRGASKFKNFTESLHIHMVECSPALQELQHQSLKCMDEENTSEGVDKRSLSTLAGTPVSWHATLEQVPFGLPTIIIAHEFYDALPVHQFQRGSRGWCEKMIDVTEDSSFHFVLSPQPTPATLYLMKRCKWAVPKEVEKLNQIEVCPKAMDLTSTLAKRIGVDGGGALIIDYGLNGVVSDSLQAIRKHKFVNILDNPGSADLSAYVDFASIKHSAQEASDDVSVHGPITQSQFLGSLGINFRVEALLQNCTDEQAEALRTGYWRLVGDGEAPFWEGPEEQVPIGMGTRYMAMAIVNKKQGIPIPFQ >KJB75764 pep chromosome:Graimondii2_0_v6:12:7520305:7523727:-1 gene:B456_012G056000 transcript:KJB75764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVWAMCLWEQMGQPKRVNLVELGPGRGTLMADLLRGASKFKNFTESLHIHMVECSPALQELQHQSLKCMDEENTSEGVDKRSLSTLAGTPVSWHATLEQVPFGLPTIIIAHEFYDALPVHQFQRGSRGWCEKMIDVTEDSSFHFVLSPQPTPATLYLMKRCKWAVPKEVEKLNQIEVCPKAMDLTSTLAKRIGVDGGGALIIDYGLNGVVSDSLQAIRKHKFVNILDNPGSADLSAYVDFASIKHSAQEASDDVSVHGPITQSQFLGSLGINFRVEALLQNCTDEQAEALRTGYWRLVGDGEAPFWEGPEEQVPIGMGTRYMAMAIVNKKQGIPIPFQ >KJB75150 pep chromosome:Graimondii2_0_v6:12:3340384:3348444:-1 gene:B456_012G026900 transcript:KJB75150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKEKPTLGGTRIKTRKRNIAAPLDPSAFADAVVQIYLDNAGDLELVARSIESSDLNFSRYGDTFFEVVFAGGRTQPGTLKPDEGECHPYSIIECEAKRDAILLSVIYIQKILRRRPFLIKNLENVMRRLLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGLVLSFMTDFFKDYLVDNSLDDLISLLKRGKIEDDLLQFFPSTKRSAEGFSEHFTKAGLVPLVEYNEKKIFEVKLKEMKSALTTQIVEESDMSEVIETVKQRVKDAKLPDIEVIRILWDVIMDAVQWSGKNQQQNANSALRQVKTWAKLLNSFCTSGNIELELIYKVQMQCYEDAKLMKLFPEIVRSLYDQDVLAEDTILHWFRKGNNPKGRQTFVKALEPFVNWLEEAEEEE >KJB78295 pep chromosome:Graimondii2_0_v6:12:6014330:6015790:-1 gene:B456_012G047700 transcript:KJB78295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPSFTLLLVCALCISSVVQCKYNCPEKYDPHDMQERYQRWVARHGRKYKSKNEWALRFGIYKSNSQFIDCVNSQNLSFKLTDNEFADMTNDEFRAMYLGYQSIRSPCESNSKGFAYDKYHNLPKSIDWRKKGAVAPIKNQGQCGSCWAFSAVAAIEGINQIKTGNLTSLSEQELIDCDTDSIDQGCNGGHMVQAYEFIIKNGGITTEKDYPYTGRDDTCKRTQAKNHAVTISGYKRLPTNNETALQIAVSQQPVSVAIDAAGLEFQFYFGGVFTGDCGNELNHGVAIVGYGEVLNKKYWIVKNSWGTEWGEAGYVRMERGVSDKRGLCGIAMDTSYPVKK >KJB77390 pep chromosome:Graimondii2_0_v6:12:30858502:30860563:1 gene:B456_012G135200 transcript:KJB77390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIKRMECQLEIKSSADKFFDAYKTKAQLMPKMANQVVRDVKLVEGSGWDSEGSVRQWYFVAAGKLETCKEMMEKVNDKDRTIVYKLVEGEIMKAFKSWNSILNVMPMGEGSLVKWTMEFEKQNDDVPDPVKYGEFLTTWAKNVDTYLLNV >KJB77425 pep chromosome:Graimondii2_0_v6:12:31253536:31258661:-1 gene:B456_012G138800 transcript:KJB77425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRKIKDEDNDGGTVSNDIENLRGIGFSGVASVSNVPPREQAKWKRKTVVTVALTFLTSSQAILIVWSKRAGKYEYSVTTANFLVETLKCALSLAALARIWKSEGVTEDNRLSTTLDEVIVYPIPALLYLVKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYRIILKKKLSDIQWAAFILLCAGCTTAQLNSRSDHVLQTSLPGWIMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMAFNAIAILIQDFDAVMNKGFFHGYSIITTLMILNHALSGIAVSMVMKFADNIVKVYSTSVAMLLTAVVSVLLFGFNLTLAFFLGATVVSVSVYLHSAGKLQR >KJB77424 pep chromosome:Graimondii2_0_v6:12:31253536:31257705:-1 gene:B456_012G138800 transcript:KJB77424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLMGLTFNFYFGKQVETLKCALSLAALARIWKSEGVTEDNRLSTTLDEVIVYPIPALLYLVKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYRIILKKKLSDIQWAAFILLCAGCTTAQLNSRSDHVLQTSLPGWIMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMAFNAIAILIQDFDAVMNKGFFHGYSIITTLMILNHALSGIAVSMVMKFADNIVKVYSTSVAMLLTAVVSVLLFGFNLTLAFFLGATVVSVSVYLHSAGKLQR >KJB75224 pep chromosome:Graimondii2_0_v6:12:4055345:4057786:1 gene:B456_012G032800 transcript:KJB75224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKKGKAKTQKAESSQPSENDSKFPSSIRSVPPSSVAITIHAKPGAKSSSITDFSDDSVGVQIDAPAKDGEANAALLDYISSVLGVKRRQVSIGSGSKSRDKVVVVEEITLQSVFDALNKASKC >KJB77931 pep chromosome:Graimondii2_0_v6:12:33815938:33818910:1 gene:B456_012G168000 transcript:KJB77931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVVITPTYCCSTHYQTLERFSSRPNPSKPSSSLFGARVSVAKGRNPSRRCTWRCLRVRSPALTRRLIVRAVATPNSALELPLTAENVENVLDEVRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVMTMKMGIERRLMEKIPEIVAVEPVTDEETGLEMNEENIEKVLEEIRPYLVGAAGGSLELVAIEEPIVKVRITGPAAGVMTVRVAVTQKLREKIPAIAAVQLL >KJB77932 pep chromosome:Graimondii2_0_v6:12:33815987:33818878:1 gene:B456_012G168000 transcript:KJB77932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSGSISCSYAQAEAVATPNSALELPLTAENVENVLDEVRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVMTMKMGIERRLMEKIPEIVAVEPVTDEETGLEMNEENIEKVLEEIRPYLVGAAGGSLELVAIEEPIVKVRITGPAAGVMTVRVAVTQKLREKIPAIAAVQLL >KJB77586 pep chromosome:Graimondii2_0_v6:12:31966418:31970513:-1 gene:B456_012G145400 transcript:KJB77586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTRFSLTFCFIGLALFPLMYVQSAPTAYRSANAPVMYVLPNDSSVPPDGPNTVVSRAPLPVGADGPTTVVYRAPPHVGADGPTTLVYRAPPHVGADGPHTFVAPPPPAARLSPSLVVGLIVGAGALIFGLGFIWFILRRKTHKASKLDYDMFDELFGGEFQNGMGPRKFSFVEIAKMTSNFKGEKLGEGGFGAVYRGYLRDLDTHVAVKRISKASKQGIKEYASEVKIISRLRHKNLVKLIGWCHEKGQLILVYEFMVNGSLDSHLFKGKTLLTWDVRFQIVQGLASALFYLHEEGDHCVLHRDIKASNVMLDSSFNAKIGDFGLARLVDHVKGSQTTHLAGTMGYIAPECVSSGKASKESDVYSFGVVALEIACGRRSIEPRYEESQASLVAWVWELYGNQQILGAVDLKLGMDFDAIQMECLLMVGLWCVHPDQNLRPSIRQVIQVLNFEAPLPKLPSRRPTPTYDVQTTSGIQGSRVQNRHGLAHGRVANPCGNAQAVLGGGHTP >KJB75352 pep chromosome:Graimondii2_0_v6:12:4677450:4697742:1 gene:B456_012G037700 transcript:KJB75352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVREMVWWWWIYIAVAVQASIKGEDYVTYDGRSLIINGQRKLLFSGSIHYPRSTPQMWSSLIAKAKEGGVDVIQTYVFWNLHEPQPGQYDFSGRYDLVKFIKEIQAQGLYACLRIGPFIESEWTYGGFPFWLHDVPGIVYRTDNEPFKFYMQNFTRKIVNLMKSEGLYASQGGPIILSQIENEYQNVEAAFHEKGPIYVKWAAKMGVELETGVPWVMCKQTDAPDPVINTCNGMRCGETFGGPNSPNKPSMWTENWTSFYQVYGGEPYIRSAEDIAFHVALFIAKKGSYINYYMYHGGTNFGRTSSAYVITSYYDQAPLDEYGLLRQPKWGHLKKLHIVIKNCFTPLLQGVQSNFSIGPLQQAYVYEEGMGACVAFLVNNDSTKNATVQFQNNSFELLPKSIGILPDCQNMVFNTAKVSTKPNKRITTLTKMFNEVDMWKEFKEDIPNFLDTSLRSNSLLEHMNTTQDKSDYLWYTFSFQPNSTCSQPVLHVESLGHVVHAYINTIFIEAGHGSHDTKGFSMDIPITLIDGINNVSILSVMVGLPDSGAFLESRYAGLTKVTIQCSESYIYDFTNYTWGYQIGLEGEKLQVFKEQSLEEVEWSEIDDSTNKSLTWYKTTFDAPIGDEPIALNMSSMQKGEVWVNEQSIGRYWVSFLTSKGNPSQTLYHVPRSFLNPTGNLLVVLEELNGDPLQISLNTISLVNVNSPFSYHHLPQ >KJB75237 pep chromosome:Graimondii2_0_v6:12:4113089:4117017:1 gene:B456_012G033500 transcript:KJB75237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAGSAGDGGAHAEPPDPDILEIDPTSRYIRYNEVLGKGAFKTVYKAFDEANGIEVAWSQVRIDEVLQKPEDLERLYSEVRLLKSLKHSNIVRFYNSWIDDKKKTVNIITELFTSGSLRQYRKKHKKVDMKAVKSWARQVLAGLIYLHSHDPPIIHRDLKCDNIFINGNQGEVKIGDLGLATVLEQSNAKSVIGTPEFMAPELYDESYNELVDIYSFGMCMLEMVTFEYPYSECRNSAQIYKKVSSGIKPAALSKVKDQEMKLFIEKCLVPVPQRLSAKELLMDPFLQANASAKNHPLSLPDIVMPKMGAFGDRCLVSEVPASTKNRPSSADLNSDSELPVIKFLDNSTGIEVRRSNKGNIFLLKGEANDENSVSLILRIADKNGRVRNIHFLFFLDSDTALSVSSEMVEQLELSDQNDAFIAELIDLLLLNLIPTWKPCVTIDHLVPPRKQSSRDNLCSLQDHDAITLGASDESTRPGSCYVKYNGKMSHANMMGENSGSEMSLGSANSNDLNDKLSSIPSIMSAELGPVGSDGCVTGAELSVDAQTKLMNPVSSSPCDEDEDEELRMELEMIELQYQEAMKDISKKRHEAIMDTRRRLSQKKIQSD >KJB78207 pep chromosome:Graimondii2_0_v6:12:35118870:35126886:-1 gene:B456_012G183900 transcript:KJB78207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTIKLHGFASAITVDEVKQFLESYTGEGSVETVKVSHKEGSRSFAKVQFKNLEDVESILSWTSSQSLWHNDSYLRAWPLKHDIIPQKPKFDLHSIDDLVLHFGCPASKDKFIVLWNQSRVSFKYGQKLDKLYFFLSYNSLDYKLELYNENVLQMVLHYVPDHTKKCLLIQLLGAPRIYEKDLSTALSSSKELTDDEQWVREVDFTPGHCIGQSFAMCIELPRSVQLPRFDTSFYYKKIEDNFVIEPGSQFSSNTDLVPIITPPTGFDLPYNILFKVNALLQHGCLPPTALDSRFFELVDPCRIETLFIEHALEKMHGLRDCCYDPVKWLNDRYKEYRKADKRPRPPKLDEGLVAVRRIQVTPSKVYFSGPDVNLSNRVLRSYIKDIDNFLRVSFVDEELGKIHSMDLSTPSTSSTDGKPSRIYQRILSTLRNGILIGDKKFEFLACSTSQLRENSIWMFASKPGLTADNIRGQMGHIHVIRNVAKYAARLGQSLSSSRETLEVRQDEIEEIPDIEVETGGNKYNFSDGIGKISAKLARQVAWKCGLRQTPSAFQIRYGGYKGVVAVDPSSSVKLSLRNSMQKFEADSTSLDVLSWSKYHTCYLNRQIIILMSTLGVKDSVFKAKQKAFLARLDAILMDPENAKEALEGIHHGEIVKVLREMLLCGYKPDSEPFLSMMLRTIRASKLLDLRTRTRISIDKGGILMGCLDETGTLEYGQVFVQYSTKPSQSSSGDARSYQNYHVVEGKVVIAKNPCLHPGDLRVLEAVDAVVLHHMVDCIVFPQKGHRPHPNECSGSDLDGDLYFVSWDEDLIPPCRYPPMDYGAAQSVPLDHDVTIEEVANYFTNYILNESLGIISNAHTVFADKEPTKALSEQCIELAKLSSIAVDFPKTGIPAKIPHRLRAKEYPDFMEKPDKFTYESQSVIGQLYREVKSIEAEVSIGKHFTKSIAKRSYDPDMEFEGFLAYVNDAVHHKKQYDNRLASLMRTYGVKTEAEIISGCILKLSKSFDRKVDLEAVNIAVRSLRREAKSWFTEKASHSVEDAFAKASAWYHVTYHPSFGGSNNDEDDQEHFISFPWCIYYKLLQIKREKIREKPSQDSESLEIKVKNEELISDEKPRVEKIREKSSQDFESLEVKTESGESISYGKPHRQKNREKSSREEGSLKGSKTLEILSPEVKTRELNFDGKPGGEKIREKSSRDSLETKPKEVEESNLDEKPSGWSLCSCM >KJB78208 pep chromosome:Graimondii2_0_v6:12:35119053:35125205:-1 gene:B456_012G183900 transcript:KJB78208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTIKLHGFASAITVDEVKQFLESYTGEGSVETVKVSHKEGSRSFAKVQFKNLEDVESILSWTSSQSLWHNDSYLRAWPLKHDIIPQKPKFDLHSIDDLVLHFGCPASKDKFIVLWNQSRVSFKYGQKLDKLYFFLSYNSLDYKLELYNENVLQMVLHYVPDHTKKCLLIQLLGAPRIYEKDLSTALSSSKELTDDEQWVREVDFTPGHCIGQSFAMCIELPRSVQLPRFDTSFYYKKIEDNFVIEPGSQFSSNTDLVPIITPPTGFDLPYNILFKVNALLQHGCLPPTALDSRFFELVDPCRIETLFIEHALEKMHGLRDCCYDPVKWLNDRYKEYRKADKRPRPPKLDEGLVAVRRIQVTPSKVYFSGPDVNLSNRVLRSYIKDIDNFLRVSFVDEELGKIHSMDLSTPSTSSTDGKPSRIYQRILSTLRNGILIGDKKFEFLACSTSQLRENSIWMFASKPGLTADNIRGQMGHIHVIRNVAKYAARLGQSLSSSRETLEVRQDEIEEIPDIEVETGGNKYNFSDGIGKISAKLARQVAWKCGLRQTPSAFQIRYGGYKGVVAVDPSSSVKLSLRNSMQKFEADSTSLDVLSWSKYHTCYLNRQIIILMSTLGVKDSVFKAKQKAFLARLDAILMDPENAKEALEGIHHGEIVKVLREMLLCGYKPDSEPFLSMMLRTIRASKLLDLRTRTRISIDKGGILMGCLDETGTLEYGQVFVQYSTKPSQSSSGDARSYQNYHVVEGKVVIAKNPCLHPGDLRVLEAVDAVVLHHMVDCIVFPQKGHRPHPNECSGSDLDGDLYFVSWDEDLIPPCRYPPMDYGAAQSVPLDHDVTIEEVANYFTNYILNESLGIISNAHTVFADKEPTKALSEQCIELAKLSSIAVDFPKTGIPAKIPHRLRAKEYPDFMEKPDKFTYESQSVIGQLYREVKSIEAEVSIGKHFTKSIAKRSYDPDMEFEGFLAYVNDAVHHKKQYDNRLASLMRTYGVKTEAEIISGCILKLSKSFDRKVDLEAVNIAVRSLRREAKSWFTEKASHSVEDAFAKASAWYHVTYHPSFGGSNNDEDDQEHFISFPWCIYYKLLQIKREKIREKPSQDSESLEIKVKNEELISDEKPRVEKIREKSSQDFESLEVKTESGESISYGKPHRQKNREKSSREEGSLKGSKTLEILSPEVKTRELNFDGKPGGEKIREKSSRDSLETKPKEVEESNLDEKPSGWSLCSCM >KJB74551 pep chromosome:Graimondii2_0_v6:12:14511740:14512076:1 gene:B456_012G085400 transcript:KJB74551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQATSSLTPVMDPYGIPQAVKVLDSMSEEVSEASSLYFFALKLLLNKDKRIMFLSINPKIRALWLKSEMEDS >KJB77780 pep chromosome:Graimondii2_0_v6:12:33046832:33048290:1 gene:B456_012G156800 transcript:KJB77780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSMVYLDYFLSLTIIAVFTLTTTWELPFILPPIFLKISVLILFRHFPFPENSEKGYFLLLPFMDTENPIIENITTNHVVKGANQNRDHDHHPSMNSRSSAFLLHNGLSSSQFSDVEMMTSYTSLKELLPASSPTNISPTTSTVHNSSWNEIPIKNPLVKQAALAYLQPMESLPPAGEKGFLERVKENCSNECGCVSWMFDVVLKNAKKVFWPTREVSATGADYVGDNYYEDKEKVD >KJB75761 pep chromosome:Graimondii2_0_v6:12:7518543:7520186:1 gene:B456_012G055900 transcript:KJB75761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPKSLDLIALKTGDDRFSDLPEYIILHVLSFIGSNDIVGLSYVSRKFRQLTMCSRNLYFKLGCDSKKCAPNCKQVQGFLQGFLNQHNEPQIDRFRLHWFCRASRYDVKGPIFSLCVQKALRHKVQELDIGVPVTAGRAFHLPAGIESLRALKLELQGGKPKLFALAFASLETLSLSSVSVLGLELGEWMSHSCRSLKVLNLVDIDGIKDLNISNSCLKALTVSSCKDSIIFIHCYSLEKLEICKCGFDNLYLNVNAPCLKDLQISNCEIYGSFDVRISAEQLQTLCLTMELSFCVPEASLSRCRIYSDNLRSLSKATINLAVPYSSTLGIADYTCKEFNSNGLVEFIYSVRYAKSLQLNFQIIKDFDIADFLRCCSCLNTLTFRYDSNADQLSETELVDQLRSKLGDECGNTRFACRVINCKDSENKGFNGRV >KJB77210 pep chromosome:Graimondii2_0_v6:12:29061239:29065891:1 gene:B456_012G125800 transcript:KJB77210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLIPLISSSITSGHYRIISRLLSAHLTKPQRSTLPPFFNCQPPSMAASEESLRKALAEKQSAVEAHGNAVRALKAAKASKPEIDAAIEALNSLKLEKSSIEKQLQAAVSGSDGDGALSREAFRQAAVNTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCNEMLQKDLTISAEKAAELKHVLATLDDLSAEALGAKIKEYGITASDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKYSEVVDLEFLMFPREEQMCGQSAKKIRLGDAVSKGTVNNETLGYFIGRVYLFLTRLGIDKDRLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGVPLVAAEKFSEPREVEKLVIAPVKKELGLAFKGSQKNVVEALEAMNEKEALEMKAALESKGEVEFYVCSLGKNVPIKKNMVSISKEKKKEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKAGDEQLNVFRFPPLVAPIKCTVFPLVQNQQYEDVAKVISKSLTTAGISHKIDITGTSIGKRYARTDELGVPFAITVDSTKDVTIRERDSKLQVRVSVEEAALVVKSVTDGLRTWEDVWEKYPHHTSGSADD >KJB77209 pep chromosome:Graimondii2_0_v6:12:29061328:29065891:1 gene:B456_012G125800 transcript:KJB77209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLIPLISSSITSGHYRIISRLLSAHLTKPQRSTLPPFFNCQPPSMAASEESLRKALAEKQSAVEAHGNAVRALKAAKASKPEIDAAIEALNSLKLEKSSIEKQLQAAVSGSDGDGALSREAFRQAAVNTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCNEMLQKDLTISAEKAAELKHVLATLDDLSAEALGAKIKEYGITASDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKYSEVVDLEFLMFPREEQMCGQSAKKIRLGDAVSKGTVNNETLGYFIGRVYLFLTRLGIDKDRLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGVPLVAAEKFSEPREVEKLVIAPVKKELGLAFKGSQKNVVEALEAMNEKEALEMKAALESKGEVEFYVCSLGKNVPIKKNMVSISKEKKKEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKAGDEQLNVFRFPPLVAPIKCTVFPLVQNQQYEDVAKVISKSLTTAGISHKIDITGTSIGKRYARTDELGVPFAITVDSTKDVTIRERDSKLQVRVSVEEAALVVKSVTDGLRTWEDVWEKYPHHTSGSADD >KJB76858 pep chromosome:Graimondii2_0_v6:12:25050837:25052789:1 gene:B456_012G110400 transcript:KJB76858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFFFSNYLTALTCLVFFSKILAAEQSSSFSFKIFGKDPKFESNIALYGDAHVGNDGSWVQLTNSVSWSAGAVMYKKPIKLVQGKVRKLASFSTYFSFSMSHKNGDGLAFIMVPSSFKVDVSGNSTFGISLGLEKNNKSGIVAVEFDTFRDAKHGDLNENHVGIDVGSLASVKARNLSSLNLVLSNGEKLHSWIDYEASSKRLEIRLSQSSSTRPDDPLLSYSIDLSKLWNDEQVFVGLSSSNGNSSQTCFIHLWSFKLRQVPNWMHSQPLDPEAITKNPKPLTTPHKSSKCFWKVLVVFVFGGACGALTASCVLYLWNMFGDRRPVVPEECGAEGVDFEYKKVKVVVVDNAVKDGKK >KJB78089 pep chromosome:Graimondii2_0_v6:12:34582387:34587105:1 gene:B456_012G176800 transcript:KJB78089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCSMLTCQPSYRTRSDFIEQILVKCPSLLLQTNAKGQTPLHVATRNGGSTIVRLLIMFCAKVIDEDLEKLGMDQLNAVREMIRHTDQESNTALHIATRYGHVEVVQALLEHEDPDFPYFANINHETPLYLAARRGSRRLLSILLDKSKSTAHGGPHGRTALHATAMVRDPIKLRGTRIILDKKGNLTKKTDENGHTPLHYAAHLGHNAVLGELLKWDISVAYIGDKKRGMTPLLMAARQGHVGTVSKILSLCPDCCEKVDNKGLNLLHYLAFRGPFSPLGHSLFKRGGIEIAYRSFRNLMELEGAFGMTPKQVYNALFYLRDIIINRSKSKNCWKRLRMIKWRRNQFITLA >KJB75629 pep chromosome:Graimondii2_0_v6:12:6423387:6423785:1 gene:B456_012G049000 transcript:KJB75629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLRDRVEEGRRFSNEEKNPMTSKELNEESYESGSKGDLSVNFFTITPKKPNSALRKIAKVRLTYAFEITAYILDIGHNSQEHSIVLVRGGRVKDLPNQRYHIARGTLDVAGVKDRQQRRFSAL >KJB75523 pep chromosome:Graimondii2_0_v6:12:15733975:15734802:-1 gene:B456_012G090900 transcript:KJB75523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPCISPRRFPHVIAKAGDQFQVFPSILRYLSEQLTCTKCYNKVRGTTFFYSKALIIHGNALIKFSIYF >KJB77638 pep chromosome:Graimondii2_0_v6:12:32222373:32223079:1 gene:B456_012G148000 transcript:KJB77638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGKKTRGRKKIQMKMIENKEDRLVTFSKCRLGIYKKISELSILCGTEILFIVFSPTGKPFSFGCPSIESVSNHFLSRNRPINDNTNILIEACRMVRTNKLVQHYNEVHSQMDAIKRKKKVLVSAQQTNETNNTNHWWKTPIHQCNPRELDELYSRISEFSHLCHIAWCKKIANASSMSA >KJB77889 pep chromosome:Graimondii2_0_v6:12:33503216:33504666:1 gene:B456_012G163500 transcript:KJB77889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYEGKVFTEEQEALVVKSWTVMKKNAAELGLKFFLKIFEIAPSAKKLFSFLRDSNVPLEQNTKLKPHAMSVFVMTCESAVQLRKAGKVTVRESNLKKLGATHFKYGVVDEHFEVTKFALLETIKEAVPDMWSDEMKNAWGEAYDRLVAAIKIEMKACSLAA >KJB74849 pep chromosome:Graimondii2_0_v6:12:1210107:1211756:1 gene:B456_012G010800 transcript:KJB74849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDGEMTPFWLETSDSRRRKPSSFFLNTGILIILLLVIAFAFVLFVIPSFLSLTSNIFKPQLVKKSWDSVNLVLVLFAIICGFLSNSSNNNTPTPTTTYEATTRPSPKHADDHVPGSNPSTPSQWYDRTAYNSLRRLKNSSSYPDIRQEYSSWMVNGDDRWRFYDDTHLYNYRSRSRRQHDQQVYINNTKDIAVDTVCTSPQPPPQSPPPQLPKVVRRKSKRIHYEDAKTKERSERKEVIFSEMKISPPIDDEPEKRSSKSEKKTGGGGTNDFLISLRRKKKKQRQRSVENLEEFFNLSTLPLYPTPSPPPPPPPPPPPPLPSFYQSIVSSKKSKARKHHSMEPPVTTQKPPFPVKINNMNNVEESMESGNESPLYPVPPPPPPPPFKLRPWKFEVQGDFVRIKSINSADSDDPSSGEASPSDVKRMGEMEGEDSRGGALFCPSPDVNTEADHFIARFRAGLTLEKINSVRARSNLGPTSP >KJB77312 pep chromosome:Graimondii2_0_v6:12:29977746:29982940:1 gene:B456_012G131000 transcript:KJB77312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDTNPFAEEEVNPFADPSVRKGSGGGSFNSSNPGSISRLSPLPPEPYDRGATIDVPLDSAKDIKEKEKELKAKEAELKRREQELKRREEALARSGVVIEEKNWPPFFPIIHNDISNDIPIHLQTIMYVGFTSLIGLVICLTWNVMAVTVAWIKGEGPTIWFLAIIYFLTGVPGGYYLWYRPLYGACRTDSALKFGSFFLVYVFHILFCVLAAIAPPFIFKGKSLAGVLPALDLFSYNYVLGILYFVGFAFFCCESLVSIWVIQQVYMYFRGSGKAAEMKREATRRTMSAL >KJB77313 pep chromosome:Graimondii2_0_v6:12:29977746:29982940:1 gene:B456_012G131000 transcript:KJB77313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDTNPFAEEEVNPFADPSVRKGSGGGSFNSSNPGSISRLSPLPPEPYDRGATIDVPLDSAKDIKEKEKELKAKEAELKRREQELKRREEALARSGVVIEEKNWPPFFPIIHNDISNDIPIHLQTIMYVGFTSLIGLVICLTWNVMAVTVAWIKGEGPTIWFLAIIYFLTGVPGGYYLWYRPLYGACRTDSALKFGSFFLVYVFHILFCVLAAIAPPFIFKGKSLAGVLPALDLFSYNYVLGILYFVGFAFFCCESLVSIWVIQQVYMYFRGSGKAAEMKREATRRTMSAL >KJB77879 pep chromosome:Graimondii2_0_v6:12:33457496:33461871:1 gene:B456_012G162900 transcript:KJB77879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYIVGVADVIAFLLGFVFLVRSWGLSKLKRAASMEFPKENCVNKNGNGEGEGEAVGTTEVIIVGAGVAGAALAYSLGKDECRVQVIERDLNALNRIAGEFLMPGGYLKLIELGLGDCVDEIDAQPILGYTLNKDGKEALVSFPLEKFQSHVVGKTFHNGRFVQRLRKKAASLHNVSLEQGTVTSLLEENGIVKGVYYKDKSGQLLTAYAPLTIVCDGCFSNLRRSLCHSKVDIPSYFVGFILTNCKLPKEKYGVFILADPSPILFYPISSTEIRCLVDVPSQNLPSVSNGEMAHYLKTLVAPKVLPELYNSFISAIEKKDNIRIMPNKIMAGAPHLTPGAFLIGDAFNMRHAITGGGMTVALSDVVILRDLLRPCMIYLMHPPFANISNPFIL >KJB77512 pep chromosome:Graimondii2_0_v6:12:31440841:31442448:-1 gene:B456_012G141100 transcript:KJB77512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTFSFALSLAILSIWAVDAAHHHATAPSPSSSSSSSSSTVDCSTLILNMADCLSFVSSGSEVSKPEGSCCSGLKTVLKTGPECLCEAFKSSASMGVSLNVTKASTLPAACKVSAPSSTNCADVEPSATAGAPTTFSAANEVAPTPAPGISGSAVLSVSAGSIVVGIISMLVSGYVLR >KJB77511 pep chromosome:Graimondii2_0_v6:12:31441268:31442372:-1 gene:B456_012G141100 transcript:KJB77511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTFSFALSLAILSIWAVDAAHHHATAPSPSSSSSSSSSTVDCSTLILNMADCLSFVSSGSEVSKPEGSCCSGLKTVLKTGPECLCEAFKSSASMGVSLNVTKASTLPAACKVSAPSSTNCAGNICHSCWCPRCGTISNSRCTHNILCSK >KJB77510 pep chromosome:Graimondii2_0_v6:12:31440837:31442564:-1 gene:B456_012G141100 transcript:KJB77510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTFSFALSLAILSIWAVDAAHHHATAPSPSSSSSSSSSTVDCSTLILNMADCLSFVSSGSEVSKPEGSCCSGLKTVLKTGPECLCEAFKSSASMGVSLNVTKASTLPAACKVSAPSSTNCAASVTPAGAPDVEPSATAGAPTTFSAANEVAPTPAPGISGSAVLSVSAGSIVVGIISMLVSGYVLR >KJB77749 pep chromosome:Graimondii2_0_v6:12:32882915:32887202:1 gene:B456_012G154700 transcript:KJB77749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEITNNSSKEGVNENKNNKSCINGTDKTSEKKASMKDPETFCCLLQPGNADSSPDYIGIRRFLLARKAESSSYRRLDWRCKGKGYVAYRNYMRRPRKWEKLQTPSRSSTPGNSGLWNSRSGSFSHLFEAESLNSSKDQRSGSVASTNRSSSLSDSDRPRQRGVEPAYSFVGMHCIFDQCKAAAVTVLKFGHMSSDLLAYGTSDGTLVVCSVSDPPSVLKQLNGHSKDVTDFDFSSNNQYIASSSKDKTVRVWELSKGLCIRIIYEVSPQLCIRFHPVNNNFLSVGNANKEITVFNFSTGRIITKSNFDSEVTSMDHDHTGQLIFCGDAQGCIYSASMESRTGALSRSHRYKSGNKLKCPVATVQYRSFSLMTKGPVLLTCTQDGSLSFFSINLESQGYLTLRCSLKLTSRIHTIRASFCPLLSHDKGEYIVAGSEDTHVNFYDLTRPKHTCVNKLQVLLPRFPNYSGSQTI >KJB77748 pep chromosome:Graimondii2_0_v6:12:32882664:32887611:1 gene:B456_012G154700 transcript:KJB77748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEITNNSSKEGVNENKNNKSCINGTDKTSEKKASMKDPETFCCLLQPGNADSSPDYIGIRRFLLARKAESSSYRRLDWRCKGKGYVAYRNYMRRPRKWEKLQTPSRSSTPGNSGLWNSRSGSFSHLFEAESLNSSKDQRSGSVASTNRSSSLSDSDRPRQRGVEPAYSFVGMHCIFDQCKAAAVTVLKFGHMSSDLLAYGTSDGTLVVCSVSDPPSVLKQLNGHSKDVTDFDFSSNNQYIASSSKDKTVRVWELSKGLCIRIIYEVSPQLCIRFHPVNNNFLSVGNANKEITVFNFSTGRIITKSNFDSEVTSMDHDHTGQLIFCGDAQGCIYSASMESRTGALSRSHRYKSGNKLKCPVATVQYRSFSLMTKGPVLLTCTQDGSLSFFSINLESQGYLTLRCSLKLTSRIHTIRASFCPLLSHDKGEYIVAGSEDTHVNFYDLTRPKHTCVNKLQGHGFPVIGVAWNHGENFLASSDLYGVVIVWKRAKTK >KJB77866 pep chromosome:Graimondii2_0_v6:12:33347095:33349349:1 gene:B456_012G1616002 transcript:KJB77866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRFLPSEFGVEEDRLSFLPPFEACLEKKRKIRRAVEASGIPYTYVSANCFGAYFLNYLLRPHEQHEDVTIYGSGEAKAPFTYEEDIANYTIRVANDPRTCNKMVIYKMQKNILSQIELISLWEKKTGKYFKKVHVPEEELVKLTE >KJB77090 pep chromosome:Graimondii2_0_v6:12:27474125:27476445:-1 gene:B456_012G120000 transcript:KJB77090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPPLFLPLILFLSFNSSLAALLNLNVLSFGAKPDGTTDSTNAFLSAWTRACASTNPATIYVPKGRFLLRSVNFRGKCNNNAISFRIDGTLVAPVDYRIIGKADNWISFQYVDGVSIYGGKLDAKGTGLWACKNSGKACPSGATTLSFSNSKNVVVDRLTSLNSQMFHIVINGCHNVKMQGVTVIASGNSPNTDGIHVQLSSGVTILNSNIQTGDDCISIGPGATNLWIEKITCGPGHGISIGSLGKDQNEAGVQNVTVKTAKFMGTQNGVRIKSWARPSSGFARNIVFQHIVMKNVENPIVIDQNYCPGNNNCPDQVSGVKVSNVRYQDIHGTSATQVAVKFDCSAKHPCSGIRLEEVKLTYKNEVAEASCKHAAGTISGVVQPTSCL >KJB77832 pep chromosome:Graimondii2_0_v6:12:33261052:33262485:1 gene:B456_012G159900 transcript:KJB77832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGLKSLTYCILVPRVMGKLMILRHSKPHGQLHVRWRASTVVVPAKFIFLVGPISFSGPYCQANIVFQLDGTIIAPTDSKPWGKDILQWLEFTKLKGITVQGKGIIDGRGSVSNFEH >KJB75011 pep chromosome:Graimondii2_0_v6:12:2279789:2286969:-1 gene:B456_012G019100 transcript:KJB75011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTKPSVATSGGTDGDAVEARFNALCKNGLSLDEKACSNAMKLFKETKHLLTSNVSSIGSGTLEEAERFWFSFVLYSLKRLSEKIGENTQQESDESGFSFCQILRATKLNIVDFFKELPQFVVKAGPVLRNMYGEDCATRLEGTEMQANFVHLSLLSKSYKRAFWELFLTSDANIDKQQNATSSSDYVSECHRFGWLLFLALRVHAFSRFKDLVTCANGFVSILAILIIHVPVRFRNFKINDSPHFVKKGDKGVDLLASLCNMYDASEDDLRKKMETANKLIEDILKKKPCPAAECKTETLENIDTDSLIYFEGLMEEKSLSSSLNMLEKDYDNAICNKGDLDERVFVNDEDSLLGLGSFSGGTMNVTGIKRKFDSVASPSKSISSPLSPQRPPASHANGVLGPPSAKMAATPVSAAMTTAKWLRSVICPLPSKPSAELQRFLSSCDKDVTNDVICRAHIILEAIFPRNQECSVTGSLQSVNLMDNIWMEQRILEALKLYYRVLEAMCTAEAQILHAPNLTSLLTNERFHRCMLACSAELVLATHKTATMLFPTVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWDKGSSMYNSLIVAKPALSAEINRLGLLAEPMPPLDAIATHVNFSGSTPPVPSLQKHETSTGNAGSSILGQNGDVRSPMRPCTELRSVLVERNAFTSPVKDRLLALNNLKKAPLQSAFASPTRPNPGGGGETCAETGISIFFGKINKLAAVRINGMVERLQLSQQIRESVYSLFQKILNQRTSLFFNRHIDQIILCCFYVVAKISQLRLTFKEIICNYRKQPQCKPQVFQSVFVDRSSARRNGRTGQDNVDIIAFYNEIFVPSIKPLLGELGSAGTTTRTSRVAEANNSNDGTCPGSPKVSPFPSLPDMSPKKVSATHNVYVSPLRTSKMDALISHSSRSYYACVGESTRAYQSPSKDLTAINNHLNGGRKIRGALNFDDVDVGLVSDSMVANSLYLQNGSCASSSGAPLKSEQPES >KJB75013 pep chromosome:Graimondii2_0_v6:12:2280111:2286820:-1 gene:B456_012G019100 transcript:KJB75013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTKPSVATSGGTDGDAVEARFNALCKNGLSLDEKACSNAMKLFKETKHLLTSNVSSIGSGTLEEAERFWFSFVLYSLKRLSEKIGENTQQESDESGFSFCQILRATKLNIVDFFKELPQFVVKAGPVLRNMYGEDCATRLEGTEMQANFVHLSLLSKSYKRAFWELFLTSDANIDKQQNATSSSDYVSECHRFGWLLFLALRVHAFSRFKDLVTCANGFVSILAILIIHVPVRFRNFKINDSPHFVKKGDKGVDLLASLCNMYDASEDDLRKKMETANKLIEDILKKKPCPAAECKTETLENIDTDSLIYFEGLMEEKSLSSSLNMLEKDYDNAICNKGDLDERVFVNDEDSLLGLGSFSGGTMNVTGIKRKFDSVASPSKSISSPLSPQRPPASHANGVLGPPSAKMAATPVSAAMTTAKWLRSVICPLPSKPSAELQRFLSSCDKDVTNDVICRAHIILEAIFPRNQECSVTGSLQSVNLMDNIWMEQRILEALKLYYRVLEAMCTAEAQILHAPNLTSLLTNERFHRCMLACSAELVLATHKTATMLFPTVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWDKGSSMYNSLIVAKPALSAEINRLGLLAEPMPPLDAIATHVNFSGSTPPVPSLQKHETSTGNAGSSILGQNGDVRSPMRPCTELRSVLVERNAFTSPVKDRLLALNNLKKAPLQSAFASPTRPNPGGGGETCAETGISIFFGKINKLAAVRINGMVERLQLSQQIRESVYSLFQKILNQRTSLFFNRHIDQIILCCFYVVAKISQLRLTFKEIICNYRKQPQCKPQVFQSVFVDRSSARRNGRTGQDNVDIIAFYNEIFVPSIKPLLGELGSAGTTTRTSRVAEANNSNDGTCPGSPKVSPFPSLPDMSPKKVSATHNVYVSPLRTSKMDALISHSSRSYYACVGESTRAYQSPSKDLTAINNHLNGYSSPLTGGRKIRGALNFDDVDVGLVSDSMVANSLYLQNGSCASSSGAPLKSEQPES >KJB75012 pep chromosome:Graimondii2_0_v6:12:2279757:2287468:-1 gene:B456_012G019100 transcript:KJB75012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTKPSVATSGGTDGDAVEARFNALCKNGLSLDEKACSNAMKLFKETKHLLTSNVSSIGSGTLEEAERFWFSFVLYSLKRLSEKIGENTQQESDESGFSFCQILRATKLNIVDFFKELPQFVVKAGPVLRNMYGEDCATRLEGTEMQANFVHLSLLSKSYKRAFWELFLTSDANIDKQQNATSSSDYVSECHRFGWLLFLALRVHAFSRFKDLVTCANGFVSILAILIIHVPVRFRNFKINDSPHFVKKGDKGVDLLASLCNMYDASEDDLRKKMETANKLIEDILKKKPCPAAECKTETLENIDTDSLIYFEGLMEEKSLSSSLNMLEKDYDNAICNKGDLDERVFVNDEDSLLGLGSFSGGTMNVTGIKRKFDSVASPSKSISSPLSPQRPPASHANGVLGPPSAKMAATPVSAAMTTAKWLRSVICPLPSKPSAELQRFLSSCDKDVTNDVICRAHIILEAIFPRNQECSVTGSLQSVNLMDNIWMEQRILEALKLYYRVLEAMCTAEAQILHAPNLTSLLTNERFHRCMLACSAELVLATHKTATMLFPTVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWDKGSSMYNSLIVAKPALSAEINRLGLLAEPMPPLDAIATHVNFSGSTPPVPSLQKHETSTGNAGSSILGQNGDVRSPMRPCTELRSVLVERNAFTSPVKDRLLALNNLKKAPLQSAFASPTRPNPGGGGETCAETGISIFFGKINKLAAVRINGMVERLQLSQQIRESVYSLFQKILNQRTSLFFNRHIDQIILCCFYVVAKISQLRLTFKEIICNYRKQPQCKPQVFQSVFVDRSSARRNGRTGQDNVDIIAFYNEIFVPSIKPLLGELGSAGTTTRTSRVAEANNSNDGTCPGSPKVSPFPSLPDMSPKKVSATHNVYVSPLRTSKMDALISHSSRSYYACVGESTRAYQSPSKDLTAINNHLNGGRKIRGALNFDDVDVGLVSDSMVANSLYLQNGSCASSSGAPLKSEQPES >KJB75742 pep chromosome:Graimondii2_0_v6:12:7272830:7274538:-1 gene:B456_012G054500 transcript:KJB75742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKVGVKKGPWTPEEDIVLVSYIQEHGPGNWRAVPTNTGLLRCSKSCRLRWTNYLRPGIRRGNFTEHEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKLHGSEVYCRDGFTSSAASDQISRGQWERKLQTDINMAKQALSDALSPEKSSDLTELKPCHGNTYAKPEGYASSTENIAKLLKGWMRKNPLKPASTNSGVTQQSYDNMVATGVTTDSANSSEGNDQRCSKPMCEGFESLFVFGSFDSSNSDDFSQSISTEASLSLQDETKPDLSPQLSLLEKWLFDDAANQGKYYQLSDITLDENPSFFLEGGI >KJB75481 pep chromosome:Graimondii2_0_v6:12:5491579:5492055:1 gene:B456_012G043700 transcript:KJB75481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRTILTCLMWLLLVFSITLCASATAGARLGTSQQVVYPQGCRCCYFVGKPFMLRCGKVCCGDDCC >KJB78009 pep chromosome:Graimondii2_0_v6:12:34249181:34250243:1 gene:B456_012G1724001 transcript:KJB78009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WAIVKDKVIAAKMVKFIEVSSIGVSREAQLRAAKVLGVIADDCRNPDVKGENFFEYGRRLMSERWGKLREVGMKSNGVFSLPNYPRDYCKFTGEYTDSNPAFAWLKSKEGLNCENLLRDESKIITRGGPSFGVDSTYTRVSMLSRDVEFELLLERLAAVKGTVNGS >KJB78284 pep chromosome:Graimondii2_0_v6:12:35420540:35422485:-1 gene:B456_012G187800 transcript:KJB78284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGNRSCKLLLFFFAAIIPALQGHIGEYDEYWKQRELEAKENTEQAYNPNPEEVTQHVNHLVSRSLMRLNSTRRHLRARKKGGPCDPTSPIDQCWRCDRNWEKNRQRLADCAIGFARGTIGGKYGRIYVVTDPSDDDLLNPKPGTLRHAVIQKEPLWIIFARAMIITLKEELIMSGDKTIDARGANVHVAYGAGITIQYVRNVIIHGLHIHHIVPGNGGMIRDSVNHYGFRTKSDGDGISLFGATNVWLDHLSMYKCRDGLIDAIQGSTAITISNCHFTHHDQVMLFGANDNHKMDEKMQITLAFNHFGKGLVQRMPRCRWGFIHVVNNDYTHWLMYAIGGSSHPTVISQGNRYIARRHVASKEVTKRDYAPPEVWKTWNWRSEGDLMVNGAFFSQSGDPKASSKFKGDTKVSAKPAYKVDLLTKDSGTLICIRGRAC >KJB77549 pep chromosome:Graimondii2_0_v6:12:31684336:31686428:1 gene:B456_012G143100 transcript:KJB77549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRFHFRNLGYNDSSIEVLAENCDDHDDGCNDSCASLSCFQDDGSIAHHHSALRTKYLIIIFTVLAFSFLILCLYVYYVRYYRRRPDVRRRRSNETTETHDEFLDEDHGPVVDHHVWYINTVGLQPSIIDSIAVFKYVKGEGLVEGTECSVCLNEFEEGETLRLLPKCSHAFHISCIDTWLRSHTNCPLCRAPIVSNMADKGPSSSSGVNNEVTEGTQVAIIVDNEESEGQTGSVTSEMRHRPYEEDERNTEDEDGVLQPVRRSVSLDSMAASQISQALANNGLAEGSNGSNLDNELGKGKESSLRIVPRRGAGNQGLLRLMRNSSIGRRSLQIRPIFMKRSFSCNGKFSLPISNRSRNPPLRSF >KJB77895 pep chromosome:Graimondii2_0_v6:12:33526987:33529632:-1 gene:B456_012G164000 transcript:KJB77895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLAMRTKQEATYQLSSDYKELVFAAKKLANHAIKLGSLGFGVSFLEWIASFAAIYLLILDRTHWKTNILTTLLIPYIFLSLPGILFSAFRGDIGKWIAFVAVVLRLFFPRRFPDWLELPAALVLLIVVAPSLFSSTLRSSLVGVIICLAIACYLLQQHVRASGGFRNSFTKAHGISNTVGIILLLVYPAWALLLEIL >KJB77894 pep chromosome:Graimondii2_0_v6:12:33526975:33529565:-1 gene:B456_012G164000 transcript:KJB77894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLAMRTKQEATYQLSSDYKELVFAAKKLANHAIKLGSLGFGVSFLEWIASFAAIYLLILDRTHWKTNILTTLLIPYIFLSLPGILFSAFRGDIGKWIAFVAVVLRLFFPRRFPDWLELPAALVLLIVVAPSLFSSTLRSSLVGVIICLAIACYLLQQHVRASGGFRNSFTKAHGISNTVGIILLLVYPAWALLLEIL >KJB78146 pep chromosome:Graimondii2_0_v6:12:34887729:34890496:-1 gene:B456_012G180400 transcript:KJB78146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTQKKKGFFKSKILPKSFSRLFTGTRKISPSTISNTAQNMTNHSIVPTVMSKQPTSSHQHKAVSYFKSPSFYDHNGCANETWGRGDKNVDSMATTFILNAKERFKSDGELTH >KJB75294 pep chromosome:Graimondii2_0_v6:12:4426962:4429558:-1 gene:B456_012G035800 transcript:KJB75294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAEYTVANKSDDTVVCYSPTMITTNGVWQGDNPLDYSLPLFILQLTLVVVTTRLLVFILKPLRQPRVVSEILGGVLLGPSVLGRSVNFANTLFPLRSVMVLETMANIGLLYFLFLVGVEMDLSVIRRTGKKALAIAISGMILPFVIGICFSFILHGNEAEPLAHATYVLFLGVALSVTAFPVLARILAELKLINSEIGKLAMSSALINDMCAWILLALAIALAENDSTSLASLWVVLSSATFVAICILAVRPAISWMIRRTPEGESFNEFYICLILTGVMISGFITDAIGTHSVFGAFVFGLIIPNGPLGVTLIEKLEDFVSGLLLPLFFAISGLKTDVASIREGQTWGILAVVIVLSCAGKIAGTVLVTTFYHMPLSEGFTLGLLMNTKGLIEMIVLNVGKDQQVLDDESFAIMVIIAVVMTGIISPIVATIYKPARRFVPYKRRTIQRSKPDGELRVLVCVHGPRNVPTIINLLEASNPTKKSPICVYVLHLVELTGRASAMLIVHNTRGSGRPALNRTQAQSDHIIHAFENFEQNSSFVSIQPLTAISPYSSMHEDICGLAEDKRVALIIIPFHKQQTVDGGMEATNPAFRTVNQNLLANAPCSVGILVDRGLSGSTRLAANEVAHHVAVLFFGGPDDREALAYGWRMCENTGTSLTVLRFVASDESLASTTELPPHMHDQDDPRILTAETDGIQEKRFDEEHLNEFRTKSEENELVVYAEQVVNNGEETVAVIRSLDIQHDLFIVGRGQGMSSPVTTGLTDWSECPELGAIGDILASSDFASTVSVLVIQQYVGLMPHETAAIPDSPPGQPDDKLANRWTPQASGTATPVF >KJB76184 pep chromosome:Graimondii2_0_v6:12:11951706:11953642:-1 gene:B456_012G076700 transcript:KJB76184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFVVNLEGKVYSCKHCKINLALVDDILSKSFQSRHGKAYLFSKVVNVSVGEKEDRLMITGLHTVADIFCIGCGSIVGWKYEFAHEKSQKYKEGKSVLER >KJB76186 pep chromosome:Graimondii2_0_v6:12:11951141:11953995:-1 gene:B456_012G076700 transcript:KJB76186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFVVNLEGKVYSCKHCKINLALVDDILSKSFQSRHGKAYLFSKVVNVSVGEKEDRLMITGLHTVADIFCIGCGSIVGWKYEFAHEKSQKYKEGKSVLERFKVSGPDGSHYWVSHETHVGGSDADDV >KJB76185 pep chromosome:Graimondii2_0_v6:12:11950818:11954018:-1 gene:B456_012G076700 transcript:KJB76185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFVVNLEGKVYSCKHCKINLALVDDILSKSFQSRHGKAYLFSKVVNVSVGEKEDRLMITGLHTVADIFCIGCGSIVGWKYEFAHEKSQKYKEGKSVLERFKVSGPDGSHYWVSHETHVGGSDADDV >KJB76183 pep chromosome:Graimondii2_0_v6:12:11951141:11953995:-1 gene:B456_012G076700 transcript:KJB76183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFVVNLEGKVYSCKHCKINLALVDDILSKSFQSRHGKAYLFSKVVNVSVGEKEDRLMITGLHTVADIFCIGCGSIVGWKYEFAHEKSQKYKEGKSVLERFKVSGPDGSHYWVSHETHVGGSDADDV >KJB76968 pep chromosome:Graimondii2_0_v6:12:26628078:26629309:-1 gene:B456_012G115200 transcript:KJB76968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGSGASTSRDGGSFDTFFEGWLVRHEHYLEELLTTQQQCREYQGDDVKDLITRVLSHYQQYFEEKSRVAQRNVFLVFAPTCLSSLECASLWITGFKPGFALRLVFSSVQDLSQEQSERIERLMEETKVEERVLNDELARVQESVAAPPLLEMARKQARRMNVEGGREEALLTLRKALEEVVAGADLLRMTTTMKVVEILKPEQNVRYLTAATQLFLNLRNLGLQKDASTKG >KJB77788 pep chromosome:Graimondii2_0_v6:12:33082628:33086743:-1 gene:B456_012G157300 transcript:KJB77788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDMEVTIKEEARAEIKIWNYVLGYVKITVVKCAIELGIADVIENYGSPMPLSELATALRCEPSRLHRIMRFMVHDRIFKQEPINQHTIGFSSTPLSRLLIKGGEKSMAAFILLMSGPACLATWHSLSARVLETGNNISPFEVANGKDLWSYAEANPDFRELFNNAMGCHARLTVHATIEGCPEVFDGVESLVDVGGGNGTALSLLVKAFPWIRGINFDLPHVVAVAATSDSIENVGGDMFMSIPNADAAFLMCVLHDWDDEECIKILKKCREAIPEDKGKVVIVEAVLEEDKEDDEIGGVGLMLDTALMAITNKGKERTLKEWSYVLRQSGFTRFNVKPIRAVRSVIEAYP >KJB77292 pep chromosome:Graimondii2_0_v6:12:29844525:29844894:1 gene:B456_012G129900 transcript:KJB77292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQRKDGEVEAVPVVIGGMVLDIQATSSIPPHLRTTSLNRVHYVQGGVARNIAERVTKLGAQPFMISALGFDMPCHFL >KJB75190 pep chromosome:Graimondii2_0_v6:12:15728317:15729562:1 gene:B456_012G090800 transcript:KJB75190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSREHKRAGLHEKLQLLRSITNSHSMKKASIIVDASKYIEELKQKVERLNQDITAAKTSNNRNPLPMQVTVETLEKGFLINIFSEKSCPGLLVSVLEAFQDLGLNVLEARVSCTDSFRLQAVGGENEEQSENIDAQVVKQAVLQAIKNWSESSDEQE >KJB75188 pep chromosome:Graimondii2_0_v6:12:15727807:15730049:1 gene:B456_012G090800 transcript:KJB75188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSREHKRAGLHEKLQLLRSITNSHSMKKASIIVDASKYIEELKQKVERLNQDITAAKTSNNRNPLPMVTVETLEKGFLINIFSEKSCPGLLVSVLEAFQDLGLNVLEARVSCTDSFRLQAVGGENEEQSENIDAQVVKQAVLQAIKNWSESSDEQE >KJB75189 pep chromosome:Graimondii2_0_v6:12:15728387:15729266:1 gene:B456_012G090800 transcript:KJB75189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSREHKRAGLHEKLQLLRSITNSHSMKKASIIVDASKYIEELKQKVERLNQDITAAKTSNNRNPLPMQVTVETLEKGFLINIFSEKSCPGLLVSVLEAFQDLGLNVLEARVSCTDSFRLQAVGGEVSKHLNPFI >KJB75937 pep chromosome:Graimondii2_0_v6:12:9208973:9212038:1 gene:B456_012G064900 transcript:KJB75937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAARNLQKHCLKTLSSLLQSNPNRNVVAFKDTAPKLISSNVIRLDGCFEDNFASFPSFTDPLNGWFRMMSTSRGRSMRSKVERRMQKESGKTAREIRRAKKIKKKLMTDEERLIYNLKRAKKKVALLLQKLKKYELPELLPSVHDPELLTPEQLQAFKKIGFRNKNYVPVGVRGVFGGVVQNMHLHWKFHETVQVCCDNFPKEKIKEMATMLARLSGGIVINIHNVKTIIMFRGRNYRQPKNLIPINTLTKRKALFKARFEQALEAQKLNIKKIEQELRREGINPEDPVAMASIQRVAATFFNAIDEKEGSPYVFRGDQSPIAEPKTTLAHEEAPAESDQEDLDRFIAEIEHAADREWAEGEEEEKEEIGRIRYWNRQEFGGRPGRFDNLQNDYSDDEFRGSRGWKGPHGNKRTAGSVDEYEDNFGDAAGLDRGNAGGLSEAGSEDYDDGSEEDFEFKRSMVEKRKQDTVGRWSSTAGIKRNAGGSYRRAMAEEDSEMESMLDDLDSAMRESEVEEDEDDDFGASNRSKNFRSSSDEEDGFYTTKGSEKNRVKYYESDGCYEDNAELEKSNRAAYGKVDRIGRGRNADAEYRKKITKEADDVFSD >KJB74560 pep chromosome:Graimondii2_0_v6:12:1424307:1426055:1 gene:B456_012G012500 transcript:KJB74560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSGLQTAEAMLMATIKKKKKETPFILKNLRRLHYAERKIKTMKREYVKATNGVLVLNMRFAFLAPLSRMLMKLLLVRMGFPRICILQG >KJB74559 pep chromosome:Graimondii2_0_v6:12:1423974:1426055:1 gene:B456_012G012500 transcript:KJB74559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYTILAACKARGSSLRVHFKRCPMMKSGLQTAEAMLMATIKKKKKETPFILKNLRRLHYAERKIKTMKREYVKATNGVLVLNMRFAFLAPLSRMLMKLLLVRMGFPRICILQG >KJB75410 pep chromosome:Graimondii2_0_v6:12:5014110:5014820:1 gene:B456_012G040500 transcript:KJB75410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKGTTFTIVTQIFLLVFLLSASEPVKADDKTFVRKLCDQTLEPDTTYAHQCADHLYQNTAAVRLKTTYGVCRDTMLSASNTLWDGLTKMEVSDYKNAHVSARMAHLDLLRCVFAFRKYADVPVPAELLSYMVQTKRLFDAAQFMFLLLD >KJB78164 pep chromosome:Graimondii2_0_v6:12:34964506:34966238:-1 gene:B456_012G181400 transcript:KJB78164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHNEQKKNQGCKGNKLLISVSVLGSSGPIRFVVNEGELVGTVIDIALKSYAREGRYLFLDRILMSFFFIPLVLDQTH >KJB76371 pep chromosome:Graimondii2_0_v6:12:14388044:14390533:1 gene:B456_012G084800 transcript:KJB76371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGWMQHKLRPSNIEPFKDFTIGNYCTCLLAHSSLSDHQGYEYGSRYSTLSQQEIENSFREFKGKGGEDNFGDETSSVISELFQGFLTIGTLGSQQIMSEPETPTFKVSLDNITEDETEVTENDLKLINDELGRFLEAEAEEHGSNESSGRNSQVSTITLSGKAIQAAASVEECGKTILCPLQGYLFGSSVELPEPRFEVKKEKASLAELFYRTKVAEEYNNNPMEKSGKEDTQTKQTTKPAKHLIKKIIKKFHASSGKEETNSFSTKKKLQKVIKLFHRKIHPENSIAERESKMNNAPYSDNDYTGGDNMRFPQGSRSKAGLGNNKKKTISKLPQYGLTGCTAATENGEHWIKTDADYLVLEL >KJB76372 pep chromosome:Graimondii2_0_v6:12:14385041:14390487:1 gene:B456_012G084800 transcript:KJB76372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGWMQHKLRPSNIEPFKDFTIGNYCTCLLAHSSLSDHQGYEYGSRYSTLSQQEIENSFREFKGKGGEDNFGDETSSVISELFQGFLTIGTLGSQQIMSEPETPTFKVSLDNITEDETEVTENDLKLINDELGRFLEAEAEEHGSNESSGRNSQVSTITLSGKAIQAAASVEECGKTILCPLQGYLFGSSVELPEPRFEVKKEKASLAELFYRTKVAEEYNNNPMEKSGKEDTQTKQTTKPAKHLIKKIIKKFHASSGKEETNSFSTKKKLQKVIKLFHRKIHPENSIAERESKMNNAPYSDNDYTGGDNMRFPQGSRSKAGLGNNKKKTISKLPQYGLTGCTAATENGEHWIKTDADYLVLEL >KJB75357 pep chromosome:Graimondii2_0_v6:12:4753954:4760041:1 gene:B456_012G038100 transcript:KJB75357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase-like PAD4 [Source:Projected from Arabidopsis thaliana (AT3G52430) UniProtKB/Swiss-Prot;Acc:Q9S745] METEASCGQNNFEMSETLATFLASTPLLEEAWRVCNIANITFPGAYLVERIGSVAYIAFSGRQMTSGSDQKCRNLVALSKEDGGVFAPLYRHSEAEEPMVHHGMLKLFFSMFPSLQIQIADLIGKVKSIVITGQCIGGTTASLSALFLLCHLLSLSVYPPMSVLCITFGSPLVGNEALHRSIRRQRWGENFCHVVSKHDIMPRLLLAEIVNHIPHIQALLQFWHCCMASPHLPVAGLSSQVSNDLKYILFHSVLKDLELLTQADDPSESLFWPFGSYVFCCQEGAICVENVASIMKMMHLMMATGSPNQSIEDHLKYGDYVAKVSRQFLQARNFEEGIPDSSYEAGVALALQSSDLTDKEPVAVMAKECLQMAQHSDKPNLNAANLAIKLSKIVPYRAEIEWYKACCDEADDQMGYYDSFKLTGASRREGRVNINRHRLAQFWNSVIHMLESNKLPHDFDRRGKWVNASHFYKLLVEPLDIADYYRTGMHRERGHYIEHGRERRYEVFDKWWREKSVPEEENKRSKFASLTQDSCFWAKVEEAKEWLDNVRSERDAMKRQQLWHEIDNFEAYARQLIYNKEVSKDVLAKNSSFSRWMEEWKEMKSQVQQITPLFPGFVDGKVVP >KJB75358 pep chromosome:Graimondii2_0_v6:12:4754139:4760041:1 gene:B456_012G038100 transcript:KJB75358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase-like PAD4 [Source:Projected from Arabidopsis thaliana (AT3G52430) UniProtKB/Swiss-Prot;Acc:Q9S745] MSETLATFLASTPLLEEAWRVCNIANITFPGAYLVERIGSVAYIAFSGRQMTSGSDQKCRNLVALSKEDGGVFAPLYRHSEAEEPMVHHGMLKLFFSMFPSLQIQIADLIGKVKSIVITGQCIGGTTASLSALFLLCHLLSLSVYPPMSVLCITFGSPLVGNEALHRSIRRQRWGENFCHVVSKHDIMPRLLLAEIVNHIPHIQALLQFWHCCMASPHLPVAGLSSQVSNDLKYILFHSVLKDLELLTQADDPSESLFWPFGSYVFCCQEGAICVENVASIMKMMHLMMATGSPNQSIEDHLKYGDYVAKVSRQFLQARNFEEGIPDSSYEAGVALALQSSDLTDKEPVAVMAKECLQMAQHSDKPNLNAANLAIKLSKIVPYRAEIEWYKACCDEADDQMGYYDSFKLTGASRREGRVNINRHRLAQFWNSVIHMLESNKLPHDFDRRGKWVNASHFYKLLVEPLDIADYYRTGMHRERGHYIEHGRERRYEVFDKWWREKSVPEEENKRSKFASLTQDSCFWAKVEEAKEWLDNVRSERDAMKRQQLWHEIDNFEAYARQLIYNKEVSKDVLAKNSSFSRWMEEWKEMKSQVQQITPLFPGFVDGKVVP >KJB74701 pep chromosome:Graimondii2_0_v6:12:372633:382280:1 gene:B456_012G003100 transcript:KJB74701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKRNLKSQMPSLKRCKLGDSVGEDEDSSGTTRKKRKINYYYPLTLLGHVAAGIIPVSLHYIIASGELEKGFAASWNTEVSCSPDEVESKSKRSDSSKPKNRTVEIARPPLVRTSRGRVQVLPSRFNDSVIESWKKESKTSLHDHSFDEDEDDDDFECKKDKFSFKSSKNCKQNMKNQRNKENNGYKGRKYTTLCEEDDREAGYGRSSGIGKYSSFRSSLTSVHEQLVGEYEKNANGVLIVDLTTQGQASRENGEKEDGLYGPEDFYSGDIVWARPGKREPCWPAIVIDPMTQAPELVLRSCIAEAACVMFFGHSGNENQRDYAWVRRGMIFPFADFLDRFHEQPELAGCKPSDFQLAMEEALLAEEGFTEKLMDDINIAAGNPAYDESILRWGQEATGSNQDLGYCLPNQGLFGKCKDARFCESCGMTLPFKMGKKMKASTPGGQFLCKTCARLTKSKHYCGICKKIWNQSNSGSWVRCDGCKVWVHAECDKISSRHFKDLGATDYYCPACKAKFNFELSNPEKWQPKAKSKNNNSQLLLPNKVTIVCCGVEGIYYPSLHLVVCKCGSCGSEKQALSEWERHTGSRERNWRVSVKVKGSLLPLEQWMLQLAEYHANATSSAKPPKRPSLRERKQKLLAFLQEKYEPVRAKWTTERCAVCRWVEDCDYNKIIICNRCQIAVHQECYGARNVRDFTSWVCKACETPEVTRECCLCPVKGGALKPTDIETLWVHVTCAWFQPEVSFASDEKMEPALGILSIPSDSFVKICVICKQIHGSCTKCCKCSTYYHAMCASRAGYCMELHCLEKNGRQITKKVSYCAYHRAPNPDTVLIIQTPLGVFSAKKKSGSRLISSKRVKIEEVPTVETTDVEPFSAARCRVFKRSNNNRKRTEEEAVAHRLMRPCHHPLSTIQSLNAFRMVEEPTGFSSFRDRLYHLQRTENDRVCFGRSGIHGWGLFARRNIQEGEMVLEYRGEQVRRSIADLREARYRIEGKDCYVR >KJB74703 pep chromosome:Graimondii2_0_v6:12:372633:382302:1 gene:B456_012G003100 transcript:KJB74703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKRNLKSQMPSLKRCKLGDSVGEDEDSSGTTRKKRKINYYYPLTLLGHVAAGIIPVSLHYIIASGELEKGFAASWNTEVSCSPDEVESKSKRSDSSKPKNRTVEIARPPLVRTSRGRVQVLPSRFNDSVIESWKKESKTSLHDHSFDEDEDDDDFECKKDKFSFKSSKNCKQNMKNQRNKENNGYKGRKYTTLCEEDDREAGYGRSSGIGKYSSFRSSLTSVHEQLVGEYEKNANGVLIVDLTTQGQASRENGEKEDGLYGPEDFYSGDIVWARPGKREPCWPAIVIDPMTQAPELVLRSCIAEAACVMFFGHSGNENQRDYAWVRRGMIFPFADFLDRFHEQPELAGCKPSDFQLAMEEALLAEEGFTEKLMDDINIAAGNPAYDESILRWGQEATGSNQDLGYCLPNQGLFGKCKDARFCESCGMTLPFKMGKKMKASTPGGQFLCKTCARLTKSKHYCGICKKIWNQSNSGSWVRCDGCKVWVHAECDKISSRHFKDLGATDYYCPACKAKFNFELSNPEKWQPKAKSKNNNSQLLLPNKVTIVCCGVEGIYYPSLHLVVCKCGSCGSEKQALSEWERHTGSRERNWRVSVKVKGSLLPLEQWMLQLAEYHANATSSAKPPKRPSLRERKQKLLAFLQEKYEPVRAKWTTERCAVCRWVEDCDYNKIIICNRCQIAVHQECYGARNVRDFTSWVCKACETPEVTRECCLCPVKGGALKPTDIETLWVHVTCAWFQPEVSFASDEKMEPALGILSIPSDSFVKICVICKQIHGSCTKCCKCSTYYHAMCASRAGYCMELHCLEKNGRQITKKVSYCAYHRAPNPDTVLIIQTPLGVFSAKKKSGSRLISSKRVKIEEVPTVETTDVEPFSAARCRVFKRSNNNRKRTEEEAVAHRLMRPCHHPLSTIQSLNAFRMVEEPTGFSSFRDRLYHLQRTENDRVCFGRSGIHGWGLFARRNIQEGEMVLEYRGEQVRRSIADLREARYRIEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGDDESRIVLIAKTNVSAGDELTYDYLFDPDEPEEFKVPCLCKAPNCRKFMN >KJB74702 pep chromosome:Graimondii2_0_v6:12:372633:382280:1 gene:B456_012G003100 transcript:KJB74702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKRNLKSQMPSLKRCKLGDSVGEDEDSSGTTRKKRKINYYYPLTLLGHVAAGIIPVSLHYIIASGELEKGFAASWNTEVSCSPDEVESKSKRSDSSKPKNRTVEIARPPLVRTSRGRVQVLPSRFNDSVIESWKKESKTSLHDHSFDEDEDDDDFECKKDKFSFKSSKNCKQNMKNQRNKENNGYKGRKYTTLCEEDDREAGYGRSSGIGKYSSFRSSLTSVHEQLVGEYEKNANGVLIVDLTTQGQASRENGEKEDGLYGPEDFYSGDIVWARPGKREPCWPAIVIDPMTQAPELVLRSCIAEAACVMFFGHSGNENQRDYAWVRRGMIFPFADFLDRFHEQPELAGCKPSDFQLAMEEALLAEEGFTEKLMDDINIAAGNPAYDESILRWGQEATGSNQDLGYCLPNQGLFGKCKDARFCESCGMTLPFKMGKKMKASTPGGQFLCKTCARLTKSKHYCGICKKIWNQSNSGSWVRCDGCKVWVHAECDKISSRHFKDLGATDYYCPACKAKFNFELSNPEKWQPKAKSKNNNSQLLLPNKVTIVCCGVEGIYYPSLHLVVCKCGSCGSEKQALSEWERHTGSRERNWRVSVKVKGSLLPLEQWMLQLAEYHANATSSAKPPKRPSLRERKQKLLAFLQEKYEPVRAKWTTERCAVCRWVEDCDYNKIIICNRCQIAVHQECYGARNVRDFTSWVCKACETPEVTRECCLCPVKGGALKPTDIETLWVHVTCAWFQPEVSFASDEKMEPALGILSIPSDSFVKICVICKQIHGSCTKCCKCSTYYHAMCASRAGYCMELHCLEKNGRQITKKVSYCAYHRAPNPDTVLIIQTPLGVFSAKKKSGSRLISSKRVKIEEVPTVETTDVEPFSAARCRVFKRSNNNRKRTEEEAVAHRLMRPCHHPLSTIQSLNAFRMVEEPTGFSSFRDRLYHLQRTENDRVCFGRSGIHGWGLFARRNIQEGEMVLEYRGEQVRRSIADLREARYRIEGKDCYLFKISEEVVVDATDKGNIARLINHSVNHFL >KJB78185 pep chromosome:Graimondii2_0_v6:12:35041094:35043714:-1 gene:B456_012G182500 transcript:KJB78185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRLCSRLFFFRIPKPCWPPRPPPFSRSESPLNPLLSSSTSHLNGGFYPNFQVLRSYAREGRKHYDLFGSKTPGNEDFRKAWQQEMDEDETLWTGSEDESDPENDETDSKTDRNRLEREIRKARQQAKDHSELIDADDSDELRSVWSGSDEEKTLWTGSECDDDDDIPTEPYPNESSDKYIDKLFEFEEKPKYRTISELLKSEKEPEELSPGKKARKLAVENALKKLKKGPDGRYTNVWEVMSDIDILIGAFENIVSGPEYEELRQGGPKKLNMEFFKDIQARMRDPNYKFSPELKLKPKSKLVPKKKWQKAQSRRRKAQKR >KJB74791 pep chromosome:Graimondii2_0_v6:12:869093:877575:-1 gene:B456_012G007900 transcript:KJB74791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPVYMAPELVREQPYNHTVDLRSLGVVLYESFVGQPPFYTNSVHALIQHIVKEVRATTATARQSDFALRSDENSIQTPNGNLALDRLENNSSAVNGAQIIGQENEALALTLIPIKKWSEGSQNACSDFYRDQDIVHSSQSLRILSNLVAAGALQSGGILDEIMCELLNFTAILVGLKSSDVNELVAKSFSVTKILLAENNGSDAATSYFKHWVVLVEIFSQLRVSQGLRACSSTQAPKGIASPSVINESLKQILDHAVTSRLVDHLCLCLATSGASLTYRAIWSLMDAHEIFFVKENPSLFPLDALRSHSLARLDIRDHARGWLAETEAAKVVDAVTRAFVRSKSVQFAIVNCLHQRVEPALSAAIHLFSILSLCSSLNKDAQSEMKCKISNPPALALHTCLLLATIAQCLKSTGRNSAIFMLTTSPKKQSLEYNYNLILHQLCWLLLPFYHLKVDLPLIPPTSTLCDHLKISSDCENDVGPKNTKAVLSYWHGFRDGCVGLLEAKLKWGGPLAVQQLIASGIPLLLINFLASNHSIASRQGVDIPNDGVGLSPIGVVWAVSSICFCLSGGVLTFRQTLLSSENMKLICSLISDVHLKLVRSWVGPGGGKDGIRDTINTVIDFLVFPFVAVQNAPGLPAATASVNSGFILNMGSAAERVCKEDKEMVKAIVEDMGKYIKILLEVGVPGIVLRCLDQLESKDLGRTVAFLAKMVGHRPLAVQLVGKGLLDPNRMRRLLDSSPRDATLDTLMIVSDLARMDKGFYEFINGALILDTLRDFLSHEDPNVRAKACNALGNMCRHSAYFYESLARHHIIGLLIDRCADPDRRTRKFACFAIGNAAYHNDMLYEELRRSIPQLAKLLVSAEEDKTKANAAGALSNLVRNSNKLCEEIISKGAIQALLKLVADCSAVALNPSKKDAVNESPLKIALFSLGKMCAYPNCRQFLRSSELFPVIGRLRQSPESSIVKLAVAIVSKVTDAS >KJB75099 pep chromosome:Graimondii2_0_v6:12:3060452:3064148:-1 gene:B456_012G024300 transcript:KJB75099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAESWFRSFWKIPRRHEGGSERVEIGVLAFEVASLMSKLVHLWRCLSDKQVSRLREEIMTCVGIKKLVSEDEGYIGHLICAEMIETVTHVAKSVARLAKKCSDPGLKSFELAFTELVQIGADPYCWIFSLKKMDKKVKKMERYISVNATLYQEMEMLLDLEQTLRRMKASDAEPENLLEFQKKVMWKQHEVKNLREMSLWSRTYDYTIRLTTRSIFTVFVRIKHVFGIEQNVDDGDLRAASINRSQSVSTLMQSSVHRTDNTSLTRFSSGPFGMFSTKSGPISKPNKTNYFHSGPLGGSTTKSGSIARKNGNFNFHSGPLERSTAKSGQLLGMDKISKKIWQTNNHPSAANGNKPHLKSNRLTQVGPFKGCIIDADEAIHSGILSGIKAGNLNPPEGNAVQTSSPVFRSQCRLLDAPPETLAASALALHYANVIIVIEKLAASPHLIGNDARDDLYNMLPTSVRAALRARLKPYAKSLTSSVFDTELAEDWTEAMAAILEWLAPLAHNMIRWQSERSFEQQSFVSGTNALLVQTLYFANREKTEAAIIEILVGLNYVWRLGRELNAKALQECASSRNFDECLYL >KJB75100 pep chromosome:Graimondii2_0_v6:12:3061596:3063353:-1 gene:B456_012G024300 transcript:KJB75100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAESWFRSFWKIPRRHEGGSERVEIGVLAFEVASLMSKLVHLWRCLSDKQVSRLREEIMTCVGIKKLVSEDEGYIGHLICAEMIETVTHVAKSVARLAKKCSDPGLKSFELAFTELVQIGADPYCWIFSLKKMDKKVKKMERYISVNATLYQEMEMLLDLEQTLRRMKASDAEPENLLEFQKKVMWKQHEVKNLREMSLWSRTYDYTIRLTTRSIFTVFVRIKHVFGIEQNVDDGDLRAASINRSQSVSTLMQSSVHRTDNTSLTRFSSGPFGMFSTKSGPISKPNKTNYFHSGPLGGSTTKSGSIARKNGNFNFHSGPLERSTAKSGQLLGMDKISKKIWQTNNHPSAANGNKPHLKSNRLTQVGPFKGCIIDADEAIHSGILSGIKAGNLNPPEGNAVQTSSPVFRSQCRLLDAPPETLAASALALHYANVIIVIEKLAASPHLIGNDARDDLYNMLPTSVRAALRARLKPYAKSLTSSVFDTELAEDWTEAMAAILEWLAPLAHNMIRWQSERSFEQQSFVSGTNALLVQTLYFANREKTEAAIIEILVGLNYVWRLGRELNAKALQECASSRNFDECLYL >KJB75026 pep chromosome:Graimondii2_0_v6:12:2302596:2313049:-1 gene:B456_012G019300 transcript:KJB75026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTLLQELVSSAVQSGEEIMLYGKSIDDVDEMQGVIPRLLDVVLYLCEKEHVEGGMIFQLLEDLTEMSTMRNCKDIFRYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKDPPEGISVDFNFYRTFWSLQEYFCNPASLSNAPLKWQKFTASLMVVLNTFDAQPLSEEEGAENNLEEEATTFNIKYLTSSKLMGLELKDPSFRRHILLQCLILFDYLKAPGKNDKDSSESVKEEINSCEDRVKKLLEVTPPKGKDFLHSIEHILDREKNWVWWKRDGCQPFEKQPIEKKTVHDGTKKRRPRWRLGNKELSQLWKWADQNPNALTDSQRVRTPTISDYWKPLAEDMDDSAGIEAEYHHKNNRVYCWKGLRFSARQDLEGFSKFTEHGIEGVVPLELLPPEVRSKFQGKPSDRSKRAKKEEMRSTSHQVEESQIATPASEIEGEGIRADAEASVAVMDTDVSAATGNNSQGGTPTPEPDEHQKQSPDTDVGQEAGQLEADAEVETGMLDGETDPEAESDNVG >KJB75025 pep chromosome:Graimondii2_0_v6:12:2302453:2313778:-1 gene:B456_012G019300 transcript:KJB75025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAFRKAILQAGPPETFALKTVQEVIKPQKQTKLAQDENQLLENMLRTLLQELVSSAVQSGEEIMLYGKSIDDVDEMQGVIPRLLDVVLYLCEKEHVEGGMIFQLLEDLTEMSTMRNCKDIFRYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKDPPEGISVDFNFYRTFWSLQEYFCNPASLSNAPLKWQKFTASLMVVLNTFDAQPLSEEEGAENNLEEEATTFNIKYLTSSKLMGLELKDPSFRRHILLQCLILFDYLKAPGKNDKDSSESVKEEINSCEDRVKKLLEVTPPKGKDFLHSIEHILDREKNWVWWKRDGCQPFEKQPIEKKTVHDGTKKRRPRWRLGNKELSQLWKWADQNPNALTDSQRVRTPTISDYWKPLAEDMDDSAGIEAEYHHKNNRVYCWKGLRFSARQDLEGFSKFTEHGIEGVVPLELLPPEVRSKFQGKPSDRSKRAKKEEMRSTSHQVEESQIATPASEIEGEGIRADAEASVAVMDTDVSAATGNNSQGGTPTPEPDEHQKQSPDTDVGQEAGQLEADAEVETGMLDGETDPEAESDNVG >KJB76713 pep chromosome:Graimondii2_0_v6:12:22738897:22743170:-1 gene:B456_012G102300 transcript:KJB76713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNLTSIKTSSNGAWQGDNPLDFAFPLLIVQTTLILVLSRFLAFLLKPLRQPKVIAEIVGGILLGPSAFGRNKDYLHRIFPSWSMPILETVASIGLLFFLFLVGLELDLSSIRRTGKRAFGIALSGISLPFICGIGVAFVIRKTVEGADKVGFGQFLVFMGVALSITAFPVLARILAELKLLTTQLGEIAMAAAAFNDVAAWILLALAVALAGDGPGEQKSPLISVWVLLSGVAFVVFMMIVIRPAMKWVARRCSPERDVVDEAYICLTLAGVMVSGFMTDLIGIHSIFGAFIFGLTIPKEGEFAERLIERIEDFVSGLLLPLYFASSGLKTDVAKISGGRAWGLLMLVISTACAGKIIGTFAVALMFKMAVRESLALGVLMNTKGLVELIVLNIGKEKQVLNDEVFAILILMALVTTFITTPTVMAIYKPARGSSALTHRKLRDLTNTDESKDELRILACLHGLGNVPSIITLIESTRSTKKSQLKLFIMHLVELTERSSSIILVHRARRNGLPFVNRLRRGVWHDRVTGAFQAYSQLGRVSVRPTTAISALSTIHEDICHVAETKRVTMIVLPFHKQQWTGEGDEQTVENVGHGWRLVNQRVLKNAPCSVAVLVDRGFGNGALTPGPTATTTAQSVCILFFGGADDREALELGGRMAEHPAVKVTIVRFVENEGSERNGVLLRPSASKSNEKNYSFCTAKLNPEKEKELDEAVIAEFKSKWDGMVGYTEKTACNIIDDVLGLGQCGDYDLIVVGKGRFPSPMVAKLADHQVEHPELGPVGDLLASSSHRVLSSVLVIQQHDPTHTEETPATKVAQDDDDELKGEVTSGVGEISKVV >KJB77440 pep chromosome:Graimondii2_0_v6:12:31053351:31056293:1 gene:B456_012G137100 transcript:KJB77440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKDKSDKTSSNDNSSCLGSNAAKNDDNSCNIQTTADRCGYLYCQYDETTAPYDRVPFTEKIKELGKHYPGLINLHCMNLSPYSWIAVAWYPVYQIPTAINVKELSACFVTYHPLFSLPQASENGKLEVEKVEGKKSKEEEMCLPPFGMVSYKMFGTLWTNPKTTDYDIALRHRTAACCWLKQLNFHHHDFNFFMSRQYQNY >KJB78018 pep chromosome:Graimondii2_0_v6:12:34287007:34295786:1 gene:B456_012G173000 transcript:KJB78018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYQQQQHRYMRPPPPPPPQASPTDPHLQQQHYYQYQPPQPPPRAAAPPPPGSWYSNQFQFQYQQHHNQSHSAPPHHHSPSPQQPPSQWGPPPHPDHSAYPPPPPLPFPPHHHNGSNHFAPPPPPPPPRPYMPPSQIPNTFSHVNQEWSNPNWSHHQAHNNVEDWGAKAREWANTRAAMQDQPVQSQITPAGRPEDQNHFHDPYSQAVDPHYMDAQQALPISSYQQFPVPAASPHGPPTTYPTETLSNNSRSSSYIPDGCLPYNVRDRTSARDPNSGFLHQESLPASSSVHLQEVPSSYSPVSGKEKSADQKEQSYKPFPLPISSAQESAYHMQPPLPDTGRSVLSEQSLLYGNQTAAPAADLSDRPLDFAPRFNNDHDPQMLSNYAAHHESLGTVRGVDPVAISSSINSWTPPVAPDAVYPPVLPPGAQHDPLSAPSPVSGHPAPSFPRFPGPSFQPHIPSATAPFGLGVGAQLHPAAAFPGDTYGAIPERPKKGPVPNWLKEEILRNKATIAKSSLEQPKEETESIEDEAVDKSLAKNDQADSKSIDSSKSTEEEDDDEDYVEAARTAAINQEIKRVLTEVLLKVTDELFSEIATKVVNEDDSTIEVDHNTAASSHKVSPSTSLAPTPKASARVLVPGRAKEPDTGGVTEKSSSSSPGNVLGLANYASDDEDDEIQSAKIPDSRSNDAVLQSSIRKLSRDIDVTENGSSQVILDEHRGVEKNFGSDLKSESRRDTTDDSADRNYENSFSSKLISGNENNIISRKLQDGNNGSKMDDILGERVIKKSDSELPDEGGVKKSTKSESQSRETRVKSDKNDRHESRKSSFSKDPDSGRELEAIKSRGEQKGDENHMREDERHRKQKIEDRNSSKEREKAKESDSRKRSSHHDAKDDKKDADRSNRASAKEDVGRKRERTKEEDRSRHKRGSDSSRHKRRRSSSISSRGRKSKDNSSDHANESSDETSDGSKRKSRSRKQRSSPSPIKSRRRQVSRSPHSKHSQRRHSPYSSSETTRGRKSRSRSPVRR >KJB78019 pep chromosome:Graimondii2_0_v6:12:34287173:34294139:1 gene:B456_012G173000 transcript:KJB78019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYQQQQHRYMRPPPPPPPQASPTDPHLQQQHYYQYQPPQPPPRAAAPPPPGSWYSNQFQFQYQQHHNQSHSAPPHHHSPSPQQPPSQWGPPPHPDHSAYPPPPPLPFPPHHHNGSNHFAPPPPPPPPRPYMPPSQIPNTFSHVNQEWSNPNWSHHQAHNNVEDWGAKAREWANTRAAMQDQPVQSQITPAGRPEDQNHFHDPYSQAVDPHYMDAQQALPISSYQQFPVPAASPHGPPTTYPTETLSNNSRSSSYIPDGCLPYNVRDRTSARDPNSGFLHQESLPASSSVHLQEVPSSYSPVSGKEKSADQKEQSYKPFPLPISSAQESAYHMQPPLPDTGRSVLSEQSLLYGNQTAAPAADLSDRPLDFAPRFNNDHDPQMLSNYAAHHESLGTVRGVDPVAISSSINSWTPPVAPDAVYPPVLPPGAQHDPLSAPSPVSGHPAPSFPRFPGPSFQPHIPSATAPFGLGVGAQLHPAAAFPGDTYGAIPERPKKGPVPNWLKEEILRNKATIAKSSLEQPKEETESIEDEAVDKSLAKNDQADSKSIDSSKSTEEEDDDEDYVEAARTAAINQEIKRVLTEVLLKVTDELFSEIATKVVNEDDSTIEVDHNTAASSHKVSPSTSLAPTPKASARVLVPGRAKEPDTGGVTEKSSSSSPGNVLGLANYASDDEDDEIQSAKIPDSRSNDAVLQSSIRKLSRDIDVTENGSSQVILDEHRGVEKNFGSDLKSESRRDTTDDSADRNYENSFSSKLISGNENNIISRKLQDGNNGSKMDDILGERVIKKSDSELPDEGGVKKSTKSESQSRETRVKSDKNDRHESRKSSFSKDPDSGRELEAIKSRGEQKGDENHMREDERHRKQKIEDRNSSKEREKAKESDSRKRSSHHDAKDDKKDADRSNRASAKEDVGRKRERTKEEDRSRHKRGSDSSRHKRRRSSSISSRGRKSKDNSSDHANESSDETSDGSKRKSRSRKQRSSPSPIKSRRR >KJB78099 pep chromosome:Graimondii2_0_v6:12:34652446:34654318:1 gene:B456_012G177800 transcript:KJB78099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPEEPEDNITYMDASLYKAAAEGNIEVFNNKRGLQLESLKTPNHDNLLHVNLATQENAVWLFDSVHSFFKLFPVEYVIFHRYLSIFITMIKREKRSDFIEQILSKCPSLLLQTNAKGQTPLHVAARYGHSAIVKLLIKFCAKARDGDLEKLGMDQVNAVREMLRITDQESNMALHEAARCGNVEVAKALLEFEDPDFPYSANKKQETPLYIAARRRGSGRLLTLLLDKFKSTGHGGPHGRTALHAAAMAGDAEAVRVILKKKGNLTKERDEDGHTPLHYAAHFGRRFSVVKELLKWDVSAAYIGDKKRGMTPLLMAARQGYLGTVSKILSLCPDCSEKVDKKGLSLLHYLAFRGSSSPIGRSVFKYVCSEIVYGSFRNLRKLEGAFGMTPQEVYNALRSEKHHHKQKQIKELLEEIENDQVAEEPVCRFPIQNVSTESLEKTREAHLIVAALIATVAFAAAITIPGGLQSEKGSEQGTPLLIHEAAFKAFVVTNALAFILSVSALTTHFGVLDNLLSGFKFFRQTVLYRTQSVSGILGYATLAMVIAFSTGSYVVL >KJB77831 pep chromosome:Graimondii2_0_v6:12:33235667:33236661:1 gene:B456_012G159800 transcript:KJB77831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLAPAFTFILAICYKMEKLAIRKNAGKAKVCGTLVGIGGAMVFTFYKGIDINIWSTNVNRLKHHHQQVGPGPSYHGTGRFIIGAFFGLLSCISFSLCLINQVKLRFFSFSYSINFTLLTWSFFVLNF >KJB75156 pep chromosome:Graimondii2_0_v6:12:3405123:3405654:1 gene:B456_012G027500 transcript:KJB75156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRLPGNRKPTTEIYDKEEKVFIPAEDQPSTVSESSISPSSQTDTERLNVEDYKDGGTLLERCDKLLHSIAEMADATTELQPSPVPVLDSLFYKVESSPSPY >KJB76279 pep chromosome:Graimondii2_0_v6:12:13301285:13302034:-1 gene:B456_012G081200 transcript:KJB76279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENMEDGEIELSDHVLLSNTAPSTNFQDSTSVDLIIDEYLKNTRTCSHTHTCNPPGPDVAHSHTCYHTHTQLLTSEDDDYHNNKASSGLNLKPRRSLGNREAVRKYREKKKAHTAYLEEEVKKLRLLNQQLVRKLQGQTILEAEVLRLRSLLVGIRAKVDSELGVFPFHKQCANATILKEGDCGVQSIGDTISLQCQTDLPCFHPHPGSLSSHASIGGSEKVSISLEGNCQPATVNCQANEHNSDDQC >KJB76277 pep chromosome:Graimondii2_0_v6:12:13300891:13302690:-1 gene:B456_012G081200 transcript:KJB76277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSYCLSGQENMEDGEIELSDHVLLSNTAPSTNFQDSTSVDLIIDEYLKNTRTCSHTHTCNPPGPDVAHSHTCYHTHTQLLTSEDDDYHNNKASSGLNLKPRRSLGNREAVRKYREKKKAHTAYLEEEVKKLRLLNQQLVRKLQGQTILEAEVLRLRSLLVGIRAKVDSELGVFPFHKQCANATILKEGDCGVQSIGDTISLQCQTDLPCFHPHPGSLSSHASIGGSEKVSISLEGNCQPATVNCQANEHNSDDQC >KJB76278 pep chromosome:Graimondii2_0_v6:12:13300891:13302825:-1 gene:B456_012G081200 transcript:KJB76278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENMEDGEIELSDHVLLSNTAPSTNFQDSTSVDLIIDEYLKNTRTCSHTHTCNPPGPDVAHSHTCYHTHTQLLTSEDDDYHNNKASSGLNLKPRRSLGNREAVRKYREKKKAHTAYLEEEVKKLRLLNQQLVRKLQGQTILEAEVLRLRSLLVGIRAKVDSELGVFPFHKQCANATILKEGDCGVQSIGDTISLQCQTDLPCFHPHPGSLSSHASIGGSEKVSISLEGNCQPATVNCQANEHNSDDQC >KJB77728 pep chromosome:Graimondii2_0_v6:12:32705692:32706600:-1 gene:B456_012G153200 transcript:KJB77728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPKVFFDMTIGGQPAGRIVMELFADVTPRTAENFRALCTGEKGVGRSGKPLHYKGSKFHRVIPDFMCQGGDFTAGNGTGGESIYGSKFADENFIKKHTGPGILSMANAGPGTNGSQFFICTAKTEWLDGMHVVFGQVVEGMDVVKAIEKVGSSSGRTAKPVVIADCGQLC >KJB76133 pep chromosome:Graimondii2_0_v6:12:11312068:11313731:1 gene:B456_012G074200 transcript:KJB76133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRIFPLVASYIMPWKKNSIINSSKNQFMKINYLRICGCSSQPKAILRGLAGDLASLKIKIFSLIPL >KJB76000 pep chromosome:Graimondii2_0_v6:12:9646865:9649111:-1 gene:B456_012G067200 transcript:KJB76000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIVAQNVSSNNDSSTPYFQHSLELIAGEFSTATAPSSTLGQLLQHVGDTRKEATGDQTSVHELLVDISEPRPIPFVLSFNNLTYSVKVPRKMALPGFFRWSGGGAAADAAVVGNPLAAGDSYFTRTKMLLNDISGEARDGEILAVLGASGSGKSTLIDALANRIAKGSLKGNVTLNGETLESRMLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRTLSKSKKKMRVQVLIDQLGLRNAAKTIIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVVMSIHQPSYRILGLLDRLIFLSRGQTVYSGSPTNLPLYFSEFGHPIPENENKTEFALDLIRELEGSPGGTKSLVEFNKSWQSMKHTRDSEPDQLGLSLKEAISASISRGKLVSGATNDINSSSMVPTFANPFWKEMVVLSKRSILNSKRMPELFGIRLAAVLVTGSILATVFWQLDNSPKGVQERLGFFAFAMSTTYYTCADALPVFLQERYIFMRETAYNAYRRLSYVISNALVALPGLIFLSFAFAMATFWAVGLDGGLSGFLFYFLIMFASFWSGSSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPGYWIWFHYLSLVKYPYEAVLRNEFENPSKCLVRGIQIFDNSPLGEIPSAMKVRLLQSVSKSLGVRITRSTCMTTGLDILKQEGITDLSKWNCLLITVAWGFLFRILLYFSLLLGSKNKRS >KJB75195 pep chromosome:Graimondii2_0_v6:12:3736844:3740047:-1 gene:B456_012G030300 transcript:KJB75195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFVNRVFELHRVEVVETLNTLREQPDKAFSFFNRLKEDGFSHDVCTYASIIRILCQCCWERKLDSVLLEIIWREKHLGFKVMDLFEILEEGLEGEDSNLLVRLSNALVKAYVSVEMFDEVIDILFQTRRWGFIPHIFSCNFLMNRLICCGKVDMAIAVYHQLKRLGLKANDYTYGIMIKAFCRKGNFEKAVGIFDEMYEVRVRPNAVAYTTYIEGLCMHGRTELGYEVLKESRELKIPLDDAFAYYVVIKGFCDEMKLEEAADVLFEAELYGIVLDTFPYGALIRGYCKCGNIDRALEVHDKMMSNGIKTNCVILTSILQSLCQMGRDFEAVNQFKKFRNFGIFLDEVCYNVVADALCKAGKVEAAVELLDEMKGKQISPDIINYTTLINGYCLQGKVEDAVNLFEEMSENGHKPDIVSWNVLVSLIRS >KJB75196 pep chromosome:Graimondii2_0_v6:12:3738348:3740041:-1 gene:B456_012G030300 transcript:KJB75196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFVNRVFELHRVEVVETLNTLREQPDKAFSFFNRLKEDGFSHDVCTYASIIRILCQCCWERKLDSVLLEIIWREKHLGFKVMDLFEILEEGLEGEDSNLLVRLSNALVKAYVSVEMFDEVIDILFQTRRWGFIPHIFSCNFLMNRLICCGKVDMAIAVYHQLKRLGLKANDYTYGIMIKAFCRKGNFEKAVGIFDEMYEVRVRPNAVAYTTYIEGLCMHGRTELGYEVLKESRELKIPLDDAFAYYVVIKGFCDEMKLEEAADVLFEAELYGIVLDTFPYGALIRGYCKCGNIDRALEVHDKMMSNGIKTNCVILTSILQSLCQMGRDFEAVNQFKKFRNFGIFLDEVCYNVVADALCKAGKVEAAVELLDEMKGKQISPDIINYTTLINGYCLQGKVEDAVNLFEEMSENGHKPDIVSWNVLEMKGKGIEPDVVCYTVLIDHYCRTNNIWDAIRIFDQMIDTGLEPDNVTYMTLISGYCKGGYLTMALNLFEEMYRRGIQPDMRTISTVDHCKRVVRSKYF >KJB76884 pep chromosome:Graimondii2_0_v6:12:25118738:25121058:1 gene:B456_012G111100 transcript:KJB76884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIRLTKTPSSDAAGPVKPLPVETVTVACPDHLVIADLPVANSIGAAISSSLVKTVGRKSRRQLGERVHFCVRCDFPIAIYGRLSPCEHAFCLDCARSDSICYLCDERIQKIQTIKIMEGIFICAAPHCLKSFLKKTEFESHIHENHAALIQPNAEKEDGKDSEVQSAKQPTGSDAAVRGLPRSVISPGSNPLLHDTEDKARWQQPREQLPSRPIMQPKGPLVFGQVPNYPSEPQSDDNHFQQGFDRPGPHNHFQQGFDLQGTPQPDSSQFSDKLQGLLSENQYSEYPPMHSMQPPNFAMPMNSNRMLTPYGVPPFPTDGCQPFYGAPYEMARRSKASDVGSEQGSLLSFPPGPVGGVNYPTAYPPWNGGQPGVHF >KJB76887 pep chromosome:Graimondii2_0_v6:12:25118804:25121054:1 gene:B456_012G111100 transcript:KJB76887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIRLTKTPSSDAAGPVKPLPVETVTVACPDHLVIADLPVANSIGAAISSSLVKTVGRKSRRQLGERVHFCVRCDFPIAIYGRLSPCEHAFCLDCARSDSICYLCDERIQKIQTIKIMEGIFICAAPHCLKSFLKKTEFESHIHENHAALIQPNAEKEDGKDSEVQSAKQPTGSDAAVRGLPRSVISPGSNPLLHDTEDKARWQQPREQLPSRPIMQPKGPLVFGQVPNYPSEPQSDDNHFQQGFDLQGTPQPDSSQFSDKLQGLLSENQYSEYPPMHSMQPPNFAMPMNSNRMLTPYGVPPFPTDGCQPFYGAPYEMARRSKASDVGSEQGSLLSFPPGPVGGVNYPTAYPPWNGGQPGVHF >KJB76885 pep chromosome:Graimondii2_0_v6:12:25118804:25121054:1 gene:B456_012G111100 transcript:KJB76885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIFICAAPHCLKSFLKKTEFESHIHENHAALIQPNAEKEDGKDSEVQSAKQPTGSDAAVRGLPRSVISPGSNPLLHDTEDKARWQQPREQLPSRPIMQPKGPLVFGQVPNYPSEPQSDDNHFQQGFDRPGPHNHFQQGFDLQGTPQPDSSQFSDKLQGLLSENQYSEYPPMHSMQPPNFAMPMNSNRMLTPYGVPPFPTDGCQPFYGAPYEMARRSKASDVGSEQGSLLSFPPGPVGGVNYPTAYPPWNGGQPGVHF >KJB76886 pep chromosome:Graimondii2_0_v6:12:25118804:25121054:1 gene:B456_012G111100 transcript:KJB76886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIRLTKTPSSDAAGPVKPLPVETVTVACPDHLVIADLPVANSIGAAISSSLVKTVGRKSRRQLGERVHFCVRCDFPIAIYGRLSPCEHAFCLDCARSDSICYLCDERIQKIQTIKIMEGIFICAAPHCLKSFLKKTEFESHIHENHAALIQPNAEKEDGKDSEVQSAKQPTGSDAAVRGLPRSVISPGSNPLLHDTEDKARWQQPREQLPSRPIMQPKGPLVFGQVPNYPSEPQSDDNHFQQGFDRPGHPATRF >KJB76366 pep chromosome:Graimondii2_0_v6:12:14188512:14190576:-1 gene:B456_012G084500 transcript:KJB76366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLFSTISREQMRQVAYAIWAIWSARNKALHEGIKQPPESSLTKINFNVTFQSHTKKSCSGIMGRNSNGFVLGSRIIINHIPLRRRLHVFMCEVEIEGDALAIVKKINANVEDGSIISAFIKDLKALSEGHRRCHFIHIANEKNGLAHLLAIEGIKKRETTYLWRRKNDRPIRRTNFDRRKAVIVPDLVYMGRDDGSSKGLLVF >KJB74503 pep chromosome:Graimondii2_0_v6:12:30608869:30609750:-1 gene:B456_012G1340002 transcript:KJB74503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWAFKTLKRYRERFCMFNDDVQGTAGVALAGLLGTVRAQGRSLDDFPNHKIVVVGAGSAGLGVLSMAVQAVVRMTGNADTAAQNFFLLDKDVQFCTSFLAFFILFVQSLFCSFRYVMSFLLCNEDVDFMN >KJB77359 pep chromosome:Graimondii2_0_v6:12:30569921:30574073:1 gene:B456_012G133800 transcript:KJB77359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSPCFLLCCFLFIHIPTFTQSRQPSYAEQQETDRVTNLPGQPPVKFRHYAGYVKLRPQDSKALFYWFFEAQDGVSDKPLVLWLNGGPGCSSVAYGAAQELGPFLIRSNGTRLTFNKFSWNKVANILFLEAPVGVGFSYTNNSHDLHKLGDQVTAADSYTFLINWFKRFPNFKSHDFYIAGESYAGHYVPQLAQLIYDKNQGSSPDSYINFKGFMIGNAAINEPTDTLGLFDYAWSHAIISDQLYDQINKECDFKQTNNLTVQCADHMKGFMDAYANIDMYSIYTPVCIKALGTRRTYPKLPVAPRLLSQHVIPQINPICMYVYALVC >KJB77358 pep chromosome:Graimondii2_0_v6:12:30569847:30574081:1 gene:B456_012G133800 transcript:KJB77358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSPCFLLCCFLFIHIPTFTQSRQPSYAEQQETDRVTNLPGQPPVKFRHYAGYVKLRPQDSKALFYWFFEAQDGVSDKPLVLWLNGGPGCSSVAYGAAQELGPFLIRSNGTRLTFNKFSWNKVANILFLEAPVGVGFSYTNNSHDLHKLGDQVTAADSYTFLINWFKRFPNFKSHDFYIAGESYAGHYVPQLAQLIYDKNQGSSPDSYINFKGFMIGNAAINEPTDTLGLFDYAWSHAIISDQLYDQINKECDFKQTNNLTVQCADHMKGFMDAYANIDMYSIYTPVCIKALGTRRTYPKLPVAPRLLSQHGIWHMLPRGYDPCTEGYVEKYFNREDVQSALHANLTKLSYPYTTCSGEIQGWNDSPDSVLPIIQKLLTAGLRIWIYSGDTDGRVPVTSTRYSIKKMGLKVKEEWRAWFHQSQVGGWVEVYEGGLTFATVRGAGHQVPVFAPRQSLSLFTHFLSADTLPSSRF >KJB77360 pep chromosome:Graimondii2_0_v6:12:30569921:30574073:1 gene:B456_012G133800 transcript:KJB77360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSPCFLLCCFLFIHIPTFTQSRQPSYAEQQETDRVTNLPGQPPVKFRHYAGYVKLRPQDSKALFYWFFEAQDGVSDKPLVLWLNGGPGCSSVAYGAAQELGPFLIRSNGTRLTFNKFSWNKVANILFLEAPVGVGFSYTNNSHDLHKLGDQVTAADSYTFLINWFKRFPNFKSHDFYIAGESYAGHYVPQLAQLIYDKNQGSSPDSYINFKGFMIGNAAINEPTDTLGLFDYAWSHAIISDQLYDQINKECDFKQTNNLTVQCADHMKGFMDAYANIDMYSIYTPVCIKALGTRRTYPKLPVAPRLLSQHGIWHMLPRGYDPCTEGYVEKYFNREDVQSALHANLTKLSYPYTTCSGEIQGWNDSPDSVLPIIQKLLTAGLRIWIYRYIHTYIHTYKTFCFN >KJB75210 pep chromosome:Graimondii2_0_v6:12:3922394:3922801:1 gene:B456_012G031600 transcript:KJB75210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHTRTFTVVRGTRGYMAPEWHKNIPISAKADVYSYGIVLLETVFCRRNLDTTVSNPEEIILSILVYKCLVEKKLDKLVLGEEVDKKSLERMVMVALWCIQDEPALRPSIKTVVMMLEGITDICIPPCPTASSI >KJB76700 pep chromosome:Graimondii2_0_v6:12:22558872:22559072:1 gene:B456_012G102000 transcript:KJB76700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ANANTYTNTKDANDPDQTDEVALIRYLQQSDFRRDIIKGSMCAQRRKPITWELFQANVHSPFFWTE >KJB77026 pep chromosome:Graimondii2_0_v6:12:26960606:26961306:1 gene:B456_012G1171002 transcript:KJB77026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSALVSWLFFYSYVATVFRIKAVSVSGQCQSDQQELLLGLKNSLNSSSSEKLLKWNQSTDCCSWDGITCNADGQVIGLDLSKELISGAIDKSNSLFRLRHLQRLNLAYNSFKSKFPSGFENLANLRYLNLSNAGFTGQIPVEISYMTKLVTLDLSKSWLLDLGSLKLKKPNLVMLVQNLTRLQNLYLDGINISADRNKWSQALSSSLPNLQVLSMSGCHLSGPINPSLAKL >KJB75592 pep chromosome:Graimondii2_0_v6:12:6029120:6037538:-1 gene:B456_012G0478001 transcript:KJB75592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPLLLRSLLSASTLKSFSSHLAPLPRFSRCFSSGPASAAAAATTYPSAYLDPGRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSVSWKENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLAKALKYGLRPLLLLNKVDRPSVSEERCNEVETLVFDLFANLGATEEQLDFPVLYASAKEGWASSTFTKDPPAGAKNMSQLLDAIVRHVPPPKANLDGPFQMLVSMMEKDFYLGRILTGRVSSGIVRVGDKIHGLRSSESGIEKIEEGKVVKLMKKKGTSMVLIDSAGAGDIISMAGLGSPSIGHTVANTEVMAALPTVELDPPTISMTFGVNDSPLAGRDGTHLTGGKIGDRLMAESETNLAINVLPGIADTFEVQGRGELQLGILIENMRREGFELSVSPPKVMYKVEKGQKLEPIEEVTIEVNDEHVGLVMEALSHRRAEVADMGPVPGNVGRTRLSLTCPS >KJB78281 pep chromosome:Graimondii2_0_v6:12:35408317:35411718:-1 gene:B456_012G187600 transcript:KJB78281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQKKRNFQIEAFKHRVVVDPKYAEKTWSILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVATMTAHLKEISKSIEAAQGDLFLEELNRKWNDHNKALQMIRDILMYMDRTYIPNTHKTPVHELGLNLWRDNVIHSSKIQSRLLSMLLELVHRERTGEVIDRGLMRNIIKMLMDLGSSVYQEDFEKPFLEVSAEFYMGESQKFIECCDCGDYLKKAELRLNEEIERVTHYLDVKSEVKITNVVEKEMIANHMMRLVHMENSGLVNMLLDDKYEDLGRMYNLFRRVPNGLSTIRDVMTSHLREIGKQLVTDAEKLKDPVEFVQRLLDEKDKYDSIISQAFSNDKTFQNALNSSFEYFINLNNRSPEFISLFVDDKLRKGLKGVSEEDVEIILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYASHPELTDGPTLVVQVLTTGSWPTQPSITCNLPAETSALCEKFRSYYLGTHTGRRLSWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNNADRLSYKEVEQATGIPASDLKRCLQSMACVKGKNVLRKEPMSKDIGEDDAFFVNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIIAEVTKQLQSRFLANPTEIKKRIESLIERDFLERDNNDRKLYRYLA >KJB78282 pep chromosome:Graimondii2_0_v6:12:35408360:35411718:-1 gene:B456_012G187600 transcript:KJB78282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQKKRNFQIEAFKHRVVVDPKYAEKTWSILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVATMTAHLKEISKSIEAAQGDLFLEELNRKWNDHNKALQMIRDILMYMDRTYIPNTHKTPVHELGLNLWRDNVIHSSKIQSRLLSMLLELVHRERTGEVIDRGLMRNIIKMLMDLGSSVYQEDFEKPFLEVSAEFYMGESQKFIECCDCGDYLKKAELRLNEEIERVTHYLDVKSEVKITNVVEKEMIANHMMRLVHMENSGLVNMLLDDKYEDLGRMYNLFRRVPNGLSTIRDVMTSHLREIGKQLVTDAEKLKDPVEFVQRLLDEKDKYDSIISQAFSNDKTFQNALNSSFEYFINLNNRSPEFISLFVDDKLRKGLKGVSEEDVEIILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYASHPELTDGPTLVVQVLTTGSWPTQPSITCNLPAETSALCEKFRSYYLGTHTGRRLSWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNNADRLSYKEVEQATGIPASDLKRCLQSMACVKGKNVLRKEPMSKDIGEDDAFFVNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIKRIESLIERDFLERDNNDRKLYRYLA >KJB75566 pep chromosome:Graimondii2_0_v6:12:5899104:5900668:1 gene:B456_012G047000 transcript:KJB75566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTAEEGVVSEREKRPESKSRLKSKLKKKTKIKDKMPKKPSTAFFYFLEDFRKEFQEQNPDIESMCNVEKCMARLQKLQLTVAGGSKVISG >KJB76194 pep chromosome:Graimondii2_0_v6:12:12036217:12039226:-1 gene:B456_012G077000 transcript:KJB76194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLHDWPEPVIRVQSLSESGLKSIPERYIKPATDRPSLNVEEDPSNMDVNIPIIDLTGLSEDGNNLPQSTMDQISLACREWGFFQVVNHGVCPGFMDQVRETWRSFFHLPMEMKQAYANSPKTYEGYGSRLGIEKGAILDWSDYYYLHYLPLTLKDYNKWPAPPDSCREVIDEYGKELVKLGGRIMKVLSISLGLKEDRLQNAFGGDNFGACLRVNFYPKCPQPELALGLSSHSDPGGLTLLLTDHQVPGLQVRKDDKWITVKPAPHAFIVNIGDQIQVLSNANYKSVEHRVIVNSDMERLSLGFFYNPKSDIPIQPMEELVSTDKPALYSPMTFDEYRLFIRLRGPKGKSQIESLHSPR >KJB75182 pep chromosome:Graimondii2_0_v6:12:3674262:3676239:1 gene:B456_012G029600 transcript:KJB75182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEVSGMKERKVKGEVMCGTLDLTALPLDCVTLIISLTSPRDACRLSLVSTAFNSAAESDAVWESFLPSQYQELIPSSLSFSSKKQLYLSLCENPLLIEAGRKSFWLERVSGKKCYMLSPRDLSIIWSDTPDYWRWVSIPEARFDEVAELRSVCWFEIRGRISISMLSPMTHYKAYLVFGANKVYGFDYHPVNLSVGVVGTEGSKRSAYLQPRKERRRRLYWQIREEQPTPGDDVQFPKARVDRWLEVEMGEFFNEGCVDDGELEMSAVEIEGGSWKGSLIFQGIEIRAITPN >KJB77136 pep chromosome:Graimondii2_0_v6:12:28295472:28297190:-1 gene:B456_012G122800 transcript:KJB77136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIALHCFSPTNKTSHKPFPAKVSRTIMELSSIGALSTLAQDGWPFGVGVRFALDAEGTPVLCLPQPSPDAMWVTDSSVYNTG >KJB78356 pep chromosome:Graimondii2_0_v6:12:13565944:13571466:-1 gene:B456_012G082500 transcript:KJB78356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPELGISIPSPPRNPSSLSWVNLSRNLILAYQSLGVVYGDLSTSPLYVYSSTFIGNLQDHQNEDAIFGAFSLIFWTLTLIPLLKYVFIMLGADDSGEGGTFALYSLLCRHAKFSLLPNQQAADEELSAYKYGPSMQVATGSPLKRFLEKHKTLRTALLVVVLFGASMVIGDGVLTPAISVLSSVSGLQVTEKKLASGEIVVLACVILVGLFALQHFGTRRVAFMFAPIVIIWLVSIFSIGLYNIIFWNPKIVRAISPYYIIKFFRETGKDGWFSLGGILLSVTGTEAMFADLGHFTALSIRLAFAFVVYPCLVVQYMGQAAFLSRNPQKVLNSFYDSIPGPVFWPIFVIATLATIVGSQAIITATFSLIKQCHALGCFPRVKVVHTSKHIRGQIYIPEINWILMILTLAITIGFRSTTLIGNAYGLACITVMFITTFLMALVVTFVWQKSVLLAAMFLVFFWFSEGVYLSSALMKVPQGGWVPLVLSVIFMLVMYIWHYGTRKKYNFDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHKVLVFVCVKSVPVPYVSPEERFLIGRVCPRPYRMYRCIVRYGYKDIQRDDGDFEDQLIQSIAEFIQMEAGDAQFCSSESSSYDGRLAVISSRTIQSSSSLIVTEREDCDGIESIQSSKSLMLQSLRSAYPDDENPGVRRRHVRFEVAASPGMDTGVREELMDLIEAKEAGVAYIMGHSYVKARRSSSYLKKLVIDMGYSFLRKNCRGPSVALNIPHINLIEVGMIYYV >KJB78357 pep chromosome:Graimondii2_0_v6:12:13566105:13569473:-1 gene:B456_012G082500 transcript:KJB78357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVDPVCQILIFHHLILSSVSGLQVTEKKLASGEIVVLACVILVGLFALQHFGTRRVAFMFAPIVIIWLVSIFSIGLYNIIFWNPKIVRAISPYYIIKFFRETGKDGWFSLGGILLSVTGTEAMFADLGHFTALSIRLAFAFVVYPCLVVQYMGQAAFLSRNPQKVLNSFYDSIPGPVFWPIFVIATLATIVGSQAIITATFSLIKQCHALGCFPRVKVVHTSKHIRGQIYIPEINWILMILTLAITIGFRSTTLIGNAYGLACITVMFITTFLMALVVTFVWQKSVLLAAMFLVFFWFSEGVYLSSALMKVPQGGWVPLVLSVIFMLVMYIWHYGTRKKYNFDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHKVLVFVCVKSVPVPYVSPEERFLIGRVCPRPYRMYRCIVRYGYKDIQRDDGDFEDQLIQSIAEFIQMEAGDAQFCSSESSSYDGRLAVISSRTIQSSSSLIVTEREDCDGIESIQSSKSLMLQSLRSAYPDDENPGVRRRHVRFEVAASPGMDTGVREELMDLIEAKEAGVAYIMGHSYVKARRSSSYLKKLVIDMGYSFLRKNCRGPSVALNIPHINLIEVGMIYYV >KJB77900 pep chromosome:Graimondii2_0_v6:12:33551916:33553764:-1 gene:B456_012G164300 transcript:KJB77900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGISCKQGLPLFRSNYCGSCKLVHYTMELSEQFQEQLLLALPFTIIITILGIIILVNGNKQQQRRPPEPVGALPFIGHLHLLGKSQLLHRKFADMADEHGPAFVIRLGVHRALVVSNWEIAKECFTTNDKVFPTRPKSLAVKYMGYDHKMLGFAPYGPYWRSMRKLATVELLSSRRLELLKHVHDTEISSFIKELYEQSVKNGGSAVLEMKERIGDLATNIIVRMIAGKRYCGIKESCSEESRRWQKALGDFFYLTGLFLVSDAIPFLGCLDVVIGTIGQIKRTAKELDFVLGNWVNEHRERRLNKGIEGNQDFVDVMLSIMDENNVPTQEADVTIKATCLSLVLGGIDTNVVTLTWAISLLLNNRHVLKKAQEELDIHVGNQQQVEESDIANLVYLQAIIKETLRLYPATPILVPREAMEDCTVAGFHIPAGTRLLVNLWKLQRDPRIWHKPLDFLPERFLSDHANIDVRGQNFVLIPFGAGRRICPGITFALHFQHLTLAQLLHGFKWGTVSDKAVDMSESPGMTVPKATPLQVTITPKLRSMLYR >KJB78098 pep chromosome:Graimondii2_0_v6:12:34640097:34641145:1 gene:B456_012G177700 transcript:KJB78098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQEPEQNITHMEASLYNAAAEGNIEVFNNKQGLQLESLKTPNQDNVLHVNLATEETVKFSIIKIFSVGFVPAYPFLNLFVTMIKREKKSDFIEQILSKCPSLLFQTNAKGQTPLHVAARYGHSAIVKLLIKSCAKAIDGDLELGMDQVSAVREMLRITDQESNTALHEAAGCGNVEVVKALLEFEVPDFPYSANKKQETPLYIAAKRGDGGVLSVILDKSKSAAHGGPHGRTALHAAAMAGDAEAIRVILKKKGNLTKERD >KJB76015 pep chromosome:Graimondii2_0_v6:12:9813235:9816576:-1 gene:B456_012G067700 transcript:KJB76015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSIQQGGEMDLEEGLGTPQPSWNPVIEASKMPSPSLTSTAPTLILPSSAKCIDRMASSLSSSSINSATPSPSSTVKAPALVLSNSSKRIDRMSSSLSSSSSGTAEPSVTPSPSSTATAPALVLSNSGKRIDQAGKRKYVKQVTGRHNDTELHLAAQRGDLASVKQILADIDLQMMRTASGEDLDMEVSEIQASVVNEINELGETALFTAADKGYLDVVKELLKYSNKETVTKKNKTGFDPLHIAASQGHHAIVQVLLDHDPSLCQTFGPSNANPLVSAATKGHTAVVNELLLRDGGLLESTRSNGKNALHLAARQGHVDVVKTLLCKYPQLARRTDKKGQTALHMAVKGQSCEVVKLLLEAEAAIVMLPDKFGNTALHVATRKKRAEIVSELLRLPDTNVNAPNRDHKTALDIAEGLPLSAESSDIKSCLCRCGALRANELNQPRDELRLTVTQIKKDVHTQLEQTRKTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDQDSGVAVVASSCSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKQVVEVINKLMWLASVCTSVAFMASSYIVVGRKHEWAAILVSVVGGMVMGGVIGTMTYYVVKSKRSRRKRVKNSRRSGSNSWHRSDASNSEVEVDRIYAL >KJB76016 pep chromosome:Graimondii2_0_v6:12:9812608:9816896:-1 gene:B456_012G067700 transcript:KJB76016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSIQQEEGLGTPQPSWNPVIEASKMPSPSLTSTAPTLILPSSAKCIDRMASSLSSSSINSATPSPSSTVKAPALVLSNSSKRIDRMSSSLSSSSSGTAEPSVTPSPSSTATAPALVLSNSGKRIDQAGKRKYVKQVTGRHNDTELHLAAQRGDLASVKQILADIDLQMMRTASGEDLDMEVSEIQASVVNEINELGETALFTAADKGYLDVVKELLKYSNKETVTKKNKTGFDPLHIAASQGHHAIVQVLLDHDPSLCQTFGPSNANPLVSAATKGHTAVVNELLLRDGGLLESTRSNGKNALHLAARQGHVDVVKTLLCKYPQLARRTDKKGQTALHMAVKGQSCEVVKLLLEAEAAIVMLPDKFGNTALHVATRKKRAEIVSELLRLPDTNVNAPNRDHKTALDIAEGLPLSAESSDIKSCLCRCGALRANELNQPRDELRLTVTQIKKDVHTQLEQTRKTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDQDSGVAVVASSCSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKQVVEVINKLMWLASVCTSVAFMASSYIVVGRKHEWAAILVSVVGGMVMGGVIGTMTYYVVKSKRSRRKRVKNSRRSGSNSWHRSDASNSEVEVDRIYAL >KJB76017 pep chromosome:Graimondii2_0_v6:12:9812764:9816156:-1 gene:B456_012G067700 transcript:KJB76017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDCLGGEMDLEEGLGTPQPSWNPVIEASKMPSPSLTSTAPTLILPSSAKCIDRMASSLSSSSINSATPSPSSTVKAPALVLSNSSKRIDRMSSSLSSSSSGTAEPSVTPSPSSTATAPALVLSNSGKRIDQAGKRKYVKQVTGRHNDTELHLAAQRGDLASVKQILADIDLQMMRTASGEDLDMEVSEIQASVVNEINELGETALFTAADKGYLDVVKELLKYSNKETVTKKNKTGFDPLHIAASQGHHAIVQVLLDHDPSLCQTFGPSNANPLVSAATKGHTAVVNELLLRDGGLLESTRSNGKNALHLAARQGHVDVVKTLLCKYPQLARRTDKKGQTALHMAVKGQSCEVVKLLLEAEAAIVMLPDKFGNTALHVATRKKRAEIVSELLRLPDTNVNAPNRDHKTALDIAEGLPLSAESSDIKSCLCRCGALRANELNQPRDELRLTVTQIKKDVHTQLEQTRKTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDQDSGVAVVASSCSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKQVVEVINKLMWLASVCTSVAFMASSYIVVGRKHEWAAILVSVVGGMVMGGVIGTMTYYVVKSKRSRRKRVKNSRRSGSNSWHRSDASNSEVEVDRIYAL >KJB77983 pep chromosome:Graimondii2_0_v6:12:34046086:34050154:-1 gene:B456_012G170900 transcript:KJB77983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFGLVSAWNKRRRSKSQDHTDPWVYKPVECWQIDGNHASRPMKRRHGSSVFTLKEMEEATGCFSDENLLGKGGFGRVYKGTLRSGEVVAIKKMDLPAFKEAEGEREFRVEVDILSRLDHPNLVSLIGYCADGKHRFLVYEYMQKGNLQDHLNGIGETKMDWPSRLKVALGAARGLAYLHSSSAVGISIVHRDFKSTNVLLNANFEAKISDFGLAKLMPEGHDIYVTARVLGTFGYFDPEYTSTGKLTLRSDVYAFGVVLLELLTGRRAVDINQGPNDQNLVLQVRHILNDRKKLRKVIDPEMAQSSYTIESIAMFANLASRCVRVESGERPSMPECVRELQLIFSTNAKGLGMGFRMR >KJB77248 pep chromosome:Graimondii2_0_v6:12:29387814:29391443:1 gene:B456_012G127500 transcript:KJB77248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNRGGFNILSINIDSCVVGKTRSRNTLFIFVSLYYNQTDRTSTQVIFVVGGEDKNRNMVNELNVEDVEYSEPVSPTAQYFNSSVLSVCILAVLDTEIPIDDSPALGLLKDVFLPISPRFSSLMVEDENGVKHWKKVKVKLEDHVNIPNFPSGLSPQSYDNHLSNYLSKIAMEQLPHNRPLWNIHIIKYPTRNAAGNLIFKLHHSLGDGYSLMAALLSCLQRADNPSVPLTFPSITSVPNPNLSVRGTSIFRNIPKVLRSAFNTVSDFGWSLMKSSYVEDDISLIRSGNPGVEFKPVVISTMTFSLDDIKQIKTKLRVTINDVITGIIFLGTRLYMQEGRNKLNNEHSTALVLLNTRAIREYKSVKEMHKPCAEKVWGNQFAFLHVSMPELISLESLNPIDFVWKAQKLIQRQRNSGAIFLTARLLEWFRKFKGPEATAKYIYSTIKNSSMALSNIIGPVEQMALANHPIKSLYFMMVGGPESLTITMVSYMGKLRVAFKTEKGFIDPEKLKSFIQNAFEMILKAAQDIA >KJB77152 pep chromosome:Graimondii2_0_v6:12:28396301:28396777:-1 gene:B456_012G123300 transcript:KJB77152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYIVCPKSHISFVIAACWKGASSGQINHSLDTCWKQSFGYFSYGFICCLTSIPHIQPVTKGQRYSASNAYPCTSMVWDT >KJB76388 pep chromosome:Graimondii2_0_v6:12:14700172:14700744:-1 gene:B456_012G0862002 transcript:KJB76388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGGPSFEVELGRRDGFVSKASRVAGQLPGPNFNLNQLNSMFAQHNLTQTDMIALSGAHIVGFSHCSRFANRLYSFSPSSPVDPDLDPTYVKQLKQACPQNVDPSIAINMDPVTPRTFDNKYFKNLVAKKGLFTSDKVLYTNRASRPTVVPFSKKQNVFKEAFITAMRKLGRVGVKTGKHGEIKVDCTAFN >KJB75892 pep chromosome:Graimondii2_0_v6:12:8945198:8952950:-1 gene:B456_012G063400 transcript:KJB75892 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase ROCK-N-ROLLERS [Source:Projected from Arabidopsis thaliana (AT3G27730) UniProtKB/TrEMBL;Acc:A0A1I9LN50] MDSYSLKSVFDLPEPFRSIFNFRYFNSLQSECFPVCFLSDVNMVISAPTGSGKTVLFELCILRLLSRFISGDGRFVHIKGTLKTIYIAPSKALVQEKLRDWTQKFGSLGISCLELTGDNDSYSTRNIQEADIILTTPEKFDAVTRYRIKDGGLSFFSDISLLLIDEVHLLNDLRGATLEAIVSRIKMLARNPEMKSSALASVRFLAVSATIPNTEDLAEWLEVPVQGIKRFGEEMRPVKLTTKVFVNAFLAGYASAKNDFLFEKAKHIYNYWSKLIADILMQYSRGKSALVFCSTRSGAQEAAQRLSQIVMTFGYSNPFIKSSEQQERLREASLSCSDKQMQSYILYGVGYHNGGLSLKDRNLIEGLFLNGDVQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKGLYMEYDRSMILQMCGRAGRPPFEDTGMVIIMTRRETVHLYENLLNGCEMVESQLLSCLTEHLTAEIVQLTISDITKAIEWMKCSYLYVRMKKAFKKGIRREQVEKHMQEICVQNVNELSCHQMIQTDQDGFALKPQEPGRLMTKYYLKFNTMKHIMQAPSNCSLEEVLQIICHAEEIAWIQLRRNEKKILNDLNGDKDGRLRFHVTGDKGKRKKRIQTREEKIFVLANDCLTGDPLVPDLSMTQDANSICSNGARIAKCMKEYFIYKKNYRGALNSSLLAKSLYQKLWDDSPYLLKQLPGIGMVTAKALHSMGIKSFETLAEADPRRIELVTGRKFPFGNHIKESLTSLPPKVDIKIEVSECQRQGKSKLAVTLTRLSSQGVQSTKRHYADMCRMFGYTCSPYSTTTLVSNPLGKMTIKADLVFEEYIGVDLHEKLLLVKESNSNANLKRARKPTQFFAPEEVYVIEDDKAATHKSFAQRPPDSIGSKRESSSMPSFNLLDEELEGEFAAGIENDDCKIITEQSIFDHIREKAKNFPLLTPNNAYSPSSGGLILTRKRSSDQEKSKIRQHILLDPLPESTGHEQVANDHNNYLTGKHHVIAGSSVTINITDESGYLPSEPEAFSFKSLTEEAIFDHIRKKSKHFPVINTPKPVDTDCCICTEEHNSANQPESGNATLGTSKYAMVISEPEPGEVNRDACGTKVGTKTKNNVLQGSSGGANGESAVSPKVSSTKTATSSVQMLSFDISMAKNSKHLADLGSSIQDGRKDKPSPSDSKRQSRSLASTDQAREVGSFLGFQSVFSFL >KJB78294 pep chromosome:Graimondii2_0_v6:12:22392187:22393612:1 gene:B456_012G101500 transcript:KJB78294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDILPGTISFFRLTIVTMECEFLQLARTVCIHWCYKRTMLGSREVDDLSDLKQAVLGACLKECVGGDTSGVDDLLEQGHQSKPPQKCLGKRASLSTRVSTPEDDVDEIETSLRWLFSEDLAQSSWSCSASFPTPLKLVSALKGSRQKQGLPTKELTVTWAPDVYDPLPTSVLHTVRGKKQQKSKKNNDKKKKGKKWHKGNNSLRGGGKDNKQFHRGGGSLDKWYNYKPLELHGTVVNNASGNLDGFKVGSTDPYCGTSYLKNSLTRMHYSVAEAL >KJB77897 pep chromosome:Graimondii2_0_v6:12:33695542:33697571:1 gene:B456_012G166500 transcript:KJB77897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTYSQNNKQTNNNTNLVSFDGTTTTSSAPSSTSNNNNSPFNITSISHLHRRLLHPTTSSTATVITTATPPLSSSSSSSSSSTTKTNPAQPVDASLAIATRSSASLNIDDSTKKNQPNLPPSSNPPAKRSTKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNVSLRSSGSTLSAPPSKSAPHSFHGALALASHHHPYEEGFAHSALFGFHHQQQQLLRAGQIAETLPAGGSGSGGGGNSSENYMRKRFREDLFKDDNQQQGETGDGGGSGDGDSGGDGSSIKAFKTGLPQLHKPSNILPATAMWAVAPAPSSTTGSTFWMLPVTTTGPSILTAASGAGSSEPQMWPFGTASGNTLQAPLHFVPRFNLQGDLESQGGRASPLQLGSMLLQQQHQPSQHLGLGLSESNLGMLAALNAYSRGGPNINSDQNNPMEHHQQQGTNSGDENPNNSQ >KJB77237 pep chromosome:Graimondii2_0_v6:12:29234226:29234881:1 gene:B456_012G126700 transcript:KJB77237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRNAITSRIHSIPNTPTSRNRHSPIERRLGITYLFLIFVSFFCPHRFLLLLPSILQRCGMFVNHTK >KJB75406 pep chromosome:Graimondii2_0_v6:12:4993743:4994610:1 gene:B456_012G040200 transcript:KJB75406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEKISKDSTYKPVSTLEYMSSGNRLYFKNNCITNTNENLVLPSSSQPEDEEDIISTNSNPIGMKTVQISIFPIEPGSNSRKTIMEEIQTSTIDKKSKVGINIKVTFQFRKYKNSLNAMIDTGATISSCRRNAVPVEK >KJB76138 pep chromosome:Graimondii2_0_v6:12:11356498:11356898:-1 gene:B456_012G074500 transcript:KJB76138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSDEGFATAGSLVRDQNVTKAELWGILDGLKLILDRRFERILSQTDSLEVANAIQEGFFSTSNSTLLRRIRQSLAKVKQWKIQHISQEENTLVDSIVKTICDRKLGLRLFEDPPLRV >KJB77025 pep chromosome:Graimondii2_0_v6:12:31001278:31002918:-1 gene:B456_012G136600 transcript:KJB77025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermembrane space import and assembly protein 40 homolog [Source:Projected from Arabidopsis thaliana (AT5G23395) UniProtKB/Swiss-Prot;Acc:Q8GYJ4] MGQAQSAVAAAAAINDQARTQPSSSFASMDSIIAEAAAYGSDENLSLDAQAQKALDCPCVAELRNGACGTQFTEAFLCFLKSTAEEKGSDCVHPFVALQTCIKGNPDAFPKNIIEDKEVKKEEPIQEYKIYPPIWFKESHKPKRKPKL >KJB77024 pep chromosome:Graimondii2_0_v6:12:31001046:31003011:-1 gene:B456_012G136600 transcript:KJB77024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermembrane space import and assembly protein 40 homolog [Source:Projected from Arabidopsis thaliana (AT5G23395) UniProtKB/Swiss-Prot;Acc:Q8GYJ4] MGQAQSAVAAAAAINDQARTQPSSSFASMDSIIAEAAAYGSDENLAQKALDCPCVAELRNGACGTQFTEAFLCFLKSTAEEKGSDCVHPFVALQTCIKGNPDAFPKNIIEDKEVKKEEPIQEYKIYPPIWFKESHKPKRKPKL >KJB77558 pep chromosome:Graimondii2_0_v6:12:31718942:31719284:1 gene:B456_012G143600 transcript:KJB77558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTLGNSGLGNSGTLGNSGFGNSGILGSSGFGISGNSGFGSSTFGNDGMSGNSGLGISGTDVSKRRRAPTKTVLLLIDDKVINKKGNTKKGKR >KJB76677 pep chromosome:Graimondii2_0_v6:12:21500484:21502119:-1 gene:B456_012G100100 transcript:KJB76677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELALSLGDPSKPFSFLDKTAKLSSKDLGFCMGLGNGFKSQENGDDAFEGKNSTDGDEKRVSSDPPLQLDLLPFSPVPRPQSSSQLRLSWLTDNQTDPWEGLGRGLDVNRLPLVAVADEAEEKAAVSSPNSAVSMDFGIRKGSRRGKKEVEVEAVETERTSTRASDDDDNGSTRKKLRLSKEQSAFLEESFKEHSTLNPKQKLALAKQLNLRPRQIEVWFQNRRARTKLKQTEVDCEYLKRCCETLAEENKRLQKELQELRALKTSQPFYMQLPATTLTMCPSCERVATASTTNASASTADAKTGGYPFFSSISNT >KJB77288 pep chromosome:Graimondii2_0_v6:12:29800347:29801353:-1 gene:B456_012G129700 transcript:KJB77288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIQHSHVQVRGLKLHLVQTGTGPKVSYRAVAFDFRGYGLPDHPPEPERANFNGLAEYAFSYSVLHTKYKTFGLFVDFGAFPAFTVAVTDPERVLGVITLGVPFLIPGPLGVQFDLLPKGYYVIRWAEPGRAEADFGRFDVKTVVRNIYILFCRSELQVAGDNEEIMDLVDPSTPLPPWFTEEDLDVYATLYQNSGFRTALQAPYRCMQLDCGITNPKVIAPSLLTMGEKDYVMKSQEWKITLGKG >KJB76038 pep chromosome:Graimondii2_0_v6:12:9981024:9981467:1 gene:B456_012G068300 transcript:KJB76038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLVVVANVEKIPCLNTLLVTMSHIHYSPTIEIVFVLEGELEVSFLTTSNMFISKLIKKGEIFLFPKGLVHFHKNIWRMPAAVITTFNSKNLGTQSIVSTLFVAMPTIPIDLLSKEFQIDTNEVEKIKLKLKPKN >KJB77878 pep chromosome:Graimondii2_0_v6:12:33444798:33447360:1 gene:B456_012G162700 transcript:KJB77878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRLSYLFHWKRFILMFVSLEQGTVISLLEENGIVKGVHYKDKSGQLLTAYAPLTIVCDGCFSNLRHSLCHSKVDIPSYFVGFILTNCKLPKENFGAFILADPSPILFYPISSTEIRCLVDVPSQNLPSVSNGVMAHYLKTLVAPKVLPEFYNSFISAIEKKDNIRIMPNKIMAGAPHLTPGAFLIGDAFNMRHAITGGGMTVALSDVVILRNLLRPLHDLSDASAICKYLESFYTLRKPMSSTINTLANVLHMVFSAPSDPAMENLQQTLLGYLKLGGVFSSGVSALLSGLCPRPLSLAFHFIVMAIYGVGQLLLPFPSPKRLLDGAKLLWVASSVFLPIIHSEGVRQMFFPLTVPAYYRTPPKGKKI >KJB77213 pep chromosome:Graimondii2_0_v6:12:29074772:29076891:1 gene:B456_012G125900 transcript:KJB77213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISKLSNPNPVASLSSSFKPRSSSSLKCFVGFPTRPGFSSKLVSSSSLSSINTHPFRGSLVVRCSLADGNGSSVKRTTLHDLYEKEGQSPWYDNLCRPVTDLLPLIASGVRGVTSNPAIFQKAISSSNAYNDQFRELVQSGKDIESAYWELVVKDIQDACKLFEPIYDQTDGGDGYVSVEVSPRLADDTNGTIEAAKYLHEVVDRPNVYIKIPATAPCIPSIKEVIANGISVNVTLIFSLARYEAVIDAYLDGLEDSGLSDLSRVTSVASFFVSRVDTLIDKMLEMIGTPEALDLRGKAAVAQAALAYRLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLIGPDTVSYLLAE >KJB77212 pep chromosome:Graimondii2_0_v6:12:29074612:29077552:1 gene:B456_012G125900 transcript:KJB77212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISKLSNPNPVASLSSSFKPRSSSSLKCFVGFPTRPGFSSKLVSSSSLSSINTHPFRGSLVVRCSLADGNGSSVKRTTLHDLYEKEGQSPWYDNLCRPVTDLLPLIASGVRGVTSNPAIFQKAISSSNAYNDQFRELVQSGKDIESAYWELVVKDIQDACKLFEPIYDQTDGGDGYVSVEVSPRLADDTNGTIEAAKYLHEVVDRPNVYIKIPATAPCIPSIKEVIANGISLIFSLARYEAVIDAYLDGLEDSGLSDLSRVTSVASFFVSRVDTLIDKMLEMIGTPEALDLRGKAAVAQAALAYRLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLIGPDTVSTMPDQALQAFIDHGTVSRTIDANVSEAEGIYSALEKLGIDWGKVGSQLEDEGVESFKKSFDSLLDTLQEKANTLKFVIS >KJB77211 pep chromosome:Graimondii2_0_v6:12:29074587:29077552:1 gene:B456_012G125900 transcript:KJB77211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISKLSNPNPVASLSSSFKPRSSSSLKCFVGFPTRPGFSSKLVSSSSLSSINTHPFRGSLVVRCSLADGNGSSVKRTTLHDLYEKEGQSPWYDNLCRPVTDLLPLIASGVRGVTSNPAIFQKAISSSNAYNDQFRELVQSGKDIESAYWELVVKDIQDACKLFEPIYDQTDGGDGYVSVEVSPRLADDTNGTIEAAKYLHEVVDRPNVYIKIPATAPCIPSIKEVIANGISVNVTLIFSLARYEAVIDAYLDGLEDSGLSDLSRVTSVASFFVSRVDTLIDKMLEMIGTPEALDLRGKAAVAQAALAYRLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLIGPDTVSTMPDQALQAFIDHGTVSRTIDANVSEAEGIYSALEKLGIDWGKVGSQLEDEGVESFKKSFDSLLDTLQEKANTLKFVIS >KJB77338 pep chromosome:Graimondii2_0_v6:12:30156620:30158767:1 gene:B456_012G132300 transcript:KJB77338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDLENPLTNFDDFHVSSSVPTFIPSFFLVESDHMPTETYIKTLEASDLATSIRREAICSIWQFSRKFSSFLSYLAVNYLDRFLSSQGLPQPETWVLRLVAISCVSLAAKMNKLEFELTHFQGDGGYMFEAQTIKRMEYVILGALKWRMRSITPFSFISFFISLFKLKDPPLMDVLKARAVEIILEAQNDIKLLEFKPSIIAATALVCASHELFPSQFPSFRKAISYCPYVNKENMLNCYNSIIDMGWRSNKAEDMVSQQFSSPERDMLKRRKTNDHGKNHTVHLSQVQHC >KJB78269 pep chromosome:Graimondii2_0_v6:12:35365706:35366872:-1 gene:B456_012G186900 transcript:KJB78269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGFHKDKHEKTRSEDIKDDSEASSLRSSKNGETTCSQQDKTMKKPDKMLPCPRCNSKATKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKTKASSSLHFNHQWFPSKVACFSRPFPWDVPMASYNIPVSPTVLGKHSRDEPNMDSTTLRIIDDDGETNKSSLLATPIMKTKNTNSGGLFNGLQAKGNDERNYRSVETFSVLRANPAALSRSLNFHEKT >KJB78268 pep chromosome:Graimondii2_0_v6:12:35365597:35367388:-1 gene:B456_012G186900 transcript:KJB78268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDHSCAAIKLFGKMIPLLPLNQDEALAARDDCCDTNLHSSSISLASMVDQESMENGFHKDKHEKTRSEDIKDDSEASSLRSSKNGETTCSQQDKTMKKPDKMLPCPRCNSKATKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKTKASSSLHFNHQWFPSKVACFSRPFPWDVPMASYNIPVSPTVLGKHSRDEPNMDSTTLRIIDDDGETNKSSLLATPIMKTKNTNSGGLFNGLQAKGNDERNYRSVETFSVLRANPAALSRSLNFHEKT >KJB74575 pep chromosome:Graimondii2_0_v6:12:3101059:3101629:1 gene:B456_012G024600 transcript:KJB74575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVPNQNNTSEFGDIAVTHSHGYQVHPQSFGALNNIFQNHPQFAENFQLKHPEFQNNFLKAVDDIHQKLESDLSELGVTGIDDMLLKVRDAEFTGLELLWMKEKLTNSRKKILKHETKIKMLEETIRQANLKLARLRKKPRLE >KJB77933 pep chromosome:Graimondii2_0_v6:12:33830708:33831286:-1 gene:B456_012G168100 transcript:KJB77933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSKLLTDTDIKKRLAIPSKTLSDLPNFNGSNGVRINIMYGTKIWPIDCTARRTGYKKPVFSGRLWRAFIMSNELKVGDRISLYKVQGKDGCSHFKFEVEKQPASASNQYGTTVRIFGFNISDEATEMKFMKEREIDFFKGGAIAMSAYGSGTTTRSHASGDTRHNIMTDHHHGLSLDLNLRPPSRSCHAC >KJB77617 pep chromosome:Graimondii2_0_v6:12:32097785:32100090:-1 gene:B456_012G147000 transcript:KJB77617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVASIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFIHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDEGEDDEY >KJB77616 pep chromosome:Graimondii2_0_v6:12:32097841:32100041:-1 gene:B456_012G147000 transcript:KJB77616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVASIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFIHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDEGEDDEY >KJB75664 pep chromosome:Graimondii2_0_v6:12:6597529:6600452:-1 gene:B456_012G050800 transcript:KJB75664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIGDLPRELFLEILLRLPAESLMRCKYVCKYWHSLITNPKFIQLHLNYNYNNNVCVLLKRCLVTCLGQKENLLSLVCGNGFSFENLDVDLSLYRKEPCLQLLGHCDGIICLSNYRDYILLCNPATRESMVLPESCLPCYPWIRSLISQTTGLGFGYDAKSHCFKVVRIVSYWEELRGSNLPHFSRAEVYSMGTDSWKEINVTVPAHVRYSPCFETYFNGAFHWYAMDDNGNEVILSFNMGNEEFQVIPMPSFISMHDHSICRNLLVWNDCIALVIYPERGIEKSFEIFVMKEYGVKESWTNVLTIGPLTRVERPLVFRKIDEILMEGSHGQMMSYNLRNKEVKDLPIYGVPKSFSTLVYVNSLVSVKGGNQMLDQRDNTDFGW >KJB75665 pep chromosome:Graimondii2_0_v6:12:6597529:6600164:-1 gene:B456_012G050800 transcript:KJB75665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIGDLPRELFLEILLRLPAESLMRCKYVCKYWHSLITNPKFIQLHLNYNYNNNVCVLLKRCLVTCLGQKENLLSLVCGNGFSFENLDVDLSLYRKEPCLQLLGHCDGIICLSNYRDYILLCNPATRESMVLPESCLPCYPWIRSLISQTTGLGFGYDAKSHCFKVVRIVSYWEELRGSNLPHFSRAEVYSMGTDSWKEINVTVPAHVRYSPCFETYFNGAFHWYAMDDNGNEVILSFNMGNEEFQVIPMPSFISMHDHSICRNLLVWNDCIALVIYPERGIEKSFEIFVMKEYGVKESWTNVLTIGPLTRVERPLVFRKIDEILMEGSHGQMMSYNLRNKEVKDLPIYGVPKSFSTLVYVNSLVSVKGGNQMLDQRDNTDFGW >KJB76182 pep chromosome:Graimondii2_0_v6:12:11926762:11927292:1 gene:B456_012G076600 transcript:KJB76182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNVKRIFAQVKGTNRVTVNERQENKYHFLDFYVPSMREEILKANLIWLPKMNHPLEKCFVLKDRIMQLHKEEKNVFEEEVASSNLTLPTMVSQFNMMDRTIKFGSFNPITLASSKVGRWISKGLEALFYFQNIEMVVIKLQSHIKEANKKQRS >KJB77023 pep chromosome:Graimondii2_0_v6:12:26964006:26965495:1 gene:B456_012G1171001 transcript:KJB77023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSLSIIRLDSNNLFGPFPKFFAEFQNLTSLHLGGNNLSGSVPKEILQAPKLQTLDLSFNKLLQGPIPEAIGDFRALYLLNLSNNALTGTVPSFLGNLPKLEALDLSSNHLIGQIPPQLANLNFLSFLNLSNNELIGKIPLGTQIQSFPEASFENNAGLCGPPLKAPCESPPVTKVGPPNPRTGNHINWNLISVEIGFVFGLGAVIVPLMFWKRWRIWYSKRIDRVLFKFFPKLDHRNRNHRTIAQWIQGRRL >KJB78253 pep chromosome:Graimondii2_0_v6:12:35322007:35326310:1 gene:B456_012G186100 transcript:KJB78253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHGWQLPSHPLQVVAVAVFLALGFAFYVFFAPFVGKKMFQYVVMGIYTPLITCCFGLYIWCAAADPADPGVFKSKKYLKIPESGKYSGPKDCKLGGGSATTLHDDNDALVGGKTAEKDTAATDETLKDGGVELEGNDGSMKRSSCLLWIFSPCAVICKCCGSNEESTEQQMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVNHFDHHCRWLNNCIGKRNYRQFFTLMVSALLLLILQWSTGILVLICCFVDRKQFSLDISTKLGSSFSLVPFIIVVVLCTILAMVATLPLAQLFFFHILLIKKGISTYDYIIALREQEQELQGVGGQQSPQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFLEDQFDVVPPDTGSVSSFGKKMVREEPIKKKNPGAVKISPWTLARLNAEDVSKAAAEARKKSKILQPVVRRDAPFGLEGDSSFGSSGRRMFPRPDGNRRRAAKRVRLPADLPMESIMNISSKSADKGFSDTSSSLAPLQLEARSAFQTSRAMSSSVGVVTSSPESSLDSPDIHPFRISSSGAEESRHPTGLPLNMAGQKGFPLSRSASDGYEASGGEDSDRVPSRIVHRPTNWNNILFSEQTERVVKLKAPSSSGQVNGRML >KJB77516 pep chromosome:Graimondii2_0_v6:12:31505796:31507873:1 gene:B456_012G141600 transcript:KJB77516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSCETTGNGIPTGDKLILRGLKFHGFHGVKSEEKKLGQKFLVDVDAWMDLRNAGKSDLLSDTISYTDIYRIVKEVVEGQSRDLLESVAQIVASKIFTNHSQISAVRVKVGKPHVAVHGSLDYLGVEIIRYRSIDASN >KJB75202 pep chromosome:Graimondii2_0_v6:12:15531522:15532040:-1 gene:B456_012G090600 transcript:KJB75202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIKLKIQRLEIMKARQAKYLKRKRGILKKAKELSILFDVEVVLLLSSTFTKPTFFCWSRPREGLYNGGMSRYPVLN >KJB75162 pep chromosome:Graimondii2_0_v6:12:3464555:3466647:-1 gene:B456_012G028100 transcript:KJB75162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSPEMEEKEAEVVEAPKPADWFNTLVSLQADLLYNCFTTLSSPFFTLLWAAFESYRRAEETTANVETVVQKLPSSITHGSTVLVKRVGLGLVGAAQMCMVLVFLMVLAAFVGIGLVQLWAEEPVFVREKLFFDYTEVNPTAVFCAGGGGFDGSCYRKKQMGVPVGHTIHVYLLLLMPESDFNREIGMFQLNAELLSINGDVIGESSQPVMLRFTSLPLRLARTFFMAIPLLLGISSETQKVKIEILRYKEEYPRSEAVRVTLAPRAGTLSLPQLYEAEIIMNSQLPWSKQLVHNWKWTLSVWTSLYVYMFFLILLVGCFRQLFFPFTASAGVERDASVEELREPVMGGRRNDRVEVSDLMSKWQHSRRKRKAIFLNKGVSEIAGSSASSMSLTREDKSAVIEEDVGDSESVCLGG >KJB76754 pep chromosome:Graimondii2_0_v6:12:23666300:23667862:-1 gene:B456_012G105400 transcript:KJB76754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSSILLSFFLYLLALSSISDGEQVILVNNCKESIWPGVLGSAGQSTPKNGGFHLGSGEEVVLDVPQKWSGRIWARQGCNFNSNGKGSCDTGDCSGRLQCQGTGGTPPATVIEMTLGSSSSPLHFYDVSLVDGFNLPVSMKPVGGGIGCGVASCEVDLNVCCPSALEVKKGAKVVGCKSACLAMQSAKYCCTGEYGNPKTCKPTLFAHLFKAICPKAYSYAFDDSSSLNKCRASRYVITFCPPK >KJB75831 pep chromosome:Graimondii2_0_v6:12:8441882:8443875:-1 gene:B456_012G060200 transcript:KJB75831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKWGYRDVVPITAMVAVECSDVVLSILFKAASLKGMSYFVYIAYCYVLATLVFVPLAFLSNRKKLLIPLEFPLISRICLLGLLGFSGQVCAYKGLELGSPTLASAISNLAPAFTFILAVLFRIEKVSFTSSTAQAKIIGTLTSISGALLIVLYKGPKVIPSSTSSSVSLQWPLESTQSNWVIGGLLEALAYLFFSFWYIVQSKVMKIYPEEITVNVYYNMSVAIIALAACLIKEQNLSSWTLHPSISVVSVLYSGLFGFPFSSGVHTWGVRVKGPVFVAIFRPTSIVIAVIMSAIFLGEAVYLGSVIGGVILTIGLYAVLWGKAKEEEMKDDGSGLSTKAPLLKSQNVEEN >KJB78111 pep chromosome:Graimondii2_0_v6:12:34722377:34724171:1 gene:B456_012G178500 transcript:KJB78111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLYNAAAEGNIEVFNNKQGLQLESLKTPNQDNVLHVNLATEETVKFSIIKIFSVGFVPAYPFLNLFVTMIKREKKSDFIEQILSKCPSLLFQTNAKGQTPLHVAARYGHSAIVKLLIKSCAKAIDGDLELGMDQVSAVREMLRITDQESNTALHEAAGCGNVEVVKALLEFEVPDFPYSANKKQETPLYIAAKRGDGGVLSVILDKSKSAAHGGPHGRTALHAAAMAGDAEAIRIILEKKGNLTKERDEDGHTPLHYAAHLGSRLSVVEELLKRDVSAAYIGDKKRGMTPLLMAAREGNGGTRAKILSLCPDCCEKVDNKGLNLLHYTAFKDCLPIRDVSIKRLEAIRNTHLIVAALIATITFAAVITVPGGLESEKGSKQGNPFLIHEAAFKAFVVNWPLFSLFLPVGIWRMIDE >KJB77925 pep chromosome:Graimondii2_0_v6:12:33747063:33747602:-1 gene:B456_012G167200 transcript:KJB77925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHGKVWLFCCSIRRKKDYPKPVLSKGWLPFLQRWKPAIGDTVMGHNRIGMIRGECLPLVQNHDAEEPSALAVASYTDVEEEATVASNSSTGRTVNNEQTEGLQNQPGLMFEFISLKPDITVSEPKVIELFGLGSQEQREMEHPSILALHNKVEMPVTSSRAPYFKFL >KJB78088 pep chromosome:Graimondii2_0_v6:12:34578050:34578578:1 gene:B456_012G176700 transcript:KJB78088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLKRRPTRSFTLSSLSFAYKKLHRFKYSINKSQLPSCPCKPYRKTMSDWGPIIVALVLFVLLTPGLLFQVPGHHRCVEFGNFKTSAASILVHSLLYFGLICVFLLAIKVHLYLG >KJB74802 pep chromosome:Graimondii2_0_v6:12:919404:920120:1 gene:B456_012G008500 transcript:KJB74802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRNEETPEMKNLVTFLKWFYPLEYWVDMLMFEFYKNTRVQWIVIIFYKPQYFMQNGSATQLASLPSSWIHNTYFEEVYENPFDLKKIQKYLCQINKIIPSEIWPSEEALWNVQRNPPTSYQNQLKEALNEYWSNIPDLKEWSQDYPMHCSQIIQDTHVWKDEEGPSISQDPLSRSNNDDVIPPDDLERMIEKLELRCYRAREKKRQKVEELNITSDIDTDYDTDTDVSIIDRLM >KJB76574 pep chromosome:Graimondii2_0_v6:12:17258645:17259525:-1 gene:B456_012G095200 transcript:KJB76574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVEHAFSISDEDIMMDTPHAINNRPPYKEIGLAVALLVFGTLGIILGIFMAVNKVGGDRAHGLFFAILGSILFIPGFYYTRIAYYAFKGYKGFSFSNIPPV >KJB76575 pep chromosome:Graimondii2_0_v6:12:17258645:17259558:-1 gene:B456_012G095200 transcript:KJB76575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVEHAFSISDEDIMMDTPHAINNRPPYKEIGLAVALLVFGTLGIILGIFMAVNKVGGDRAHGLFFAILGSILFIPGFYYTRIAYYAFKGYKGFSFSNIPPV >KJB75976 pep chromosome:Graimondii2_0_v6:12:9500529:9500969:1 gene:B456_012G0665001 transcript:KJB75976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTSDEVVDCSHGKQLWELCKEKYEPLWLKGGNHCDLEQYPEYIRHLKKFISTVEKSPSQKSRKNVDHQLERARKSVDLLDRIRTG >KJB75021 pep chromosome:Graimondii2_0_v6:12:2539490:2542255:-1 gene:B456_012G020600 transcript:KJB75021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGLSGNTVVPNSNDKSTVLDVKPLRMLIPQFPDTSEGPPFVCVPPNGPFPSGFSPFFPFSGPQGSQSTPDLNQNGLISTILPIRSFRVENASPMDAAHGTHKQKLVASSSVKKKAKVDKGSKLSFTTPINFDPGISLSERDDGNRELVENVLSRFDALRRKLSQMEDAVELHSGIIKRSDLKAGNMMMSKGVRTNMKKRIGAVPGVEIGDIFFFRMELCLVGLHAQSMAGIDYIKGELEGELVALSIVSSGGYDNDAEDPDILIYSGQGGNAGRDKEASDQKLERGNLALEKSLHRGNEVRVIRGFKDAIFQASKVYVYDGLYKIQESWMEKGKSGCNTFKYKLVRIPGQTGAFATWKSILKWKEGVSSRVGIILPDLTSGAEITPVALVNEVDDEKGPACFTYLATAKYLKSFNLVQTTLGCNCHDACRPGNSNCSCIKKNGGDFPYIANGVLACRKPLIYECGPSCPCIRNCKNRVSQGGLKFHLEVFKTSDRGWGLRSWDSIRAGAFICEYAGEVFDSDKARQDDGDGEINEYIFYTNRLYEPFKWNYEPESVGEASSDATEDYDIPSPLTISAKNNGNVARFMNHSCTPNVFWQPIVYEHNNGAYLHICFFAKKRIPPMTELTYDYGTPCQNETEGDNTTSGRKVCLCGSPKCRGYFY >KJB75022 pep chromosome:Graimondii2_0_v6:12:2539490:2542558:-1 gene:B456_012G020600 transcript:KJB75022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGLSGNTVVPNSNDKSTVLDVKPLRMLIPQFPDTSEGPPFVCVPPNGPFPSGFSPFFPFSGPQGSQSTPDLNQNGLISTILPIRSFRVENASPMDAAHGTHKQKLVASSSVKKKAKVDKGSKLSFTTPINFDPGISLSERDDGNRELVENVLSRFDALRRKLSQMEDAVELHSGIIKRSDLKAGNMMMSKGVRTNMKKRIGAVPGVEIGDIFFFRMELCLVGLHAQSMAGIDYIKGELEGELVALSIVSSGGYDNDAEDPDILIYSGQGGNAGRDKEASDQKLERGNLALEKSLHRGNEVRVIRGFKDAIFQASKVYVYDGLYKIQESWMEKGKSGCNTFKYKLVRIPGQTGAFATWKSILKWKEGVSSRVGIILPDLTSGAEITPVALVNEVDDEKGPACFTYLATAKYLKSFNLVQTTLGCNCHDACRPGNSNCSCIKKNGGDFPYIANGVLACRKPLIYECGPSCPCIRNCKNRVSQGGLKFHLEVFKTSDRGWGLRSWDSIRAGAFICEYAGEVFDSDKARQDDGDGEINEYIFYTNRLYEPFKWNYEPESVGEASSDATEDYDIPSPLTISAKNNGNVARFMNHSCTPNVFWQPIVYEHNNGAYLHICFFAKKRIPPMTELTYDYGTPCQNETEGDNTTSGRKVCLCGSPKCRGYFY >KJB77655 pep chromosome:Graimondii2_0_v6:12:32330445:32332859:1 gene:B456_012G149100 transcript:KJB77655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKIPTLKTPSHSLSSKLSLRSAFSANFPNSAHFSRSQPQRGRVLIITSAASSSSSNKPTVLVAEKLGEAGLTLLKEFADVDCSYNLSPEELRSKISLCDALIVRSGTKVNRAVFESSGGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLAAMARNVAQADASIKSGKWQRNKYVGVSLVGKTLAVLGFGKVGSEVARRAKGLGMHVIAHDPYAPAERARAIGVELVSFDEAIGAADFISMHMPLTPATSNMLNNETFAKMKKGVRIVNVARGGVVDEEALVRALDAGIVAQAALDVFSEEPPKQDNKLVQHEMVTVTPHLGASTMEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVLLELKPYVILAEKLGRLAVQLVAGGSGVKTVKVSYASSRPDLDTRLLRAMITKGIIEPISDIYVNLVNADYTAKQRGLRITEEHIHLDGSHEIPLEFIKVQIANVKSKFASATSKSGEIKVEGRVKDGIPHLTKVGSFRVDVSLEGSIILCRQVDQPGMIGKVGSILGEENVNVSFMSVGRVAPRKHAVMAIGVDDQPRKESLKRIGEVPAIEESVFLKL >KJB78004 pep chromosome:Graimondii2_0_v6:12:34180050:34184214:-1 gene:B456_012G172100 transcript:KJB78004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVGLRRHTFMFLVAFLVWSSSIETCIARKSKHWRQRRHAASVSLYKKKTKDHSHGHHNYHNGGTKTKPPPYKTTPPSPKVKAPPSPKPKVKVPSIPPPQKGYGNGQQSKVFDVLHFGAKGDGKADDTKAFQAAWAAACKVEASTVVVPAKFIFLVGPISFSGPYCQANTVFQLDGTIIAPTDSKPWGKGILQWLEFTKLKGITVQGKGIIDGRGSGWWLEAPYEDPYDDERKLIIPLKNTVQERPPMPVRNELSGKMPSIKPTALRFYGSFNVTVTGITIQNSPQCHLKFDNCIGVVVHDMSVSSPGDSPNTDGIHLQNSKDVLIHGTTLACGDDCVSIQTGCSNVYIHNVNCGPGHGISIGSLGKDNTKACVSNITVRDIMMHNTMNGVRIKTWQVSLQSSLPHHKRTSSLEESNHKHGLGK >KJB78003 pep chromosome:Graimondii2_0_v6:12:34177685:34184214:-1 gene:B456_012G172100 transcript:KJB78003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVGLRRHTFMFLVAFLVWSSSIETCIARKSKHWRQRRHAASVSLYKKKTKDHSHGHHNYHNGGTKTKPPPYKTTPPSPKVKAPPSPKPKVKVPSIPPPQKGYGNGQQSKVFDVLHFGAKGDGKADDTKAFQAAWAAACKVEASTVVVPAKFIFLVGPISFSGPYCQANTVFQLDGTIIAPTDSKPWGKGILQWLEFTKLKGITVQGKGIIDGRGSGWWLEAPYEDPYDDERKLIIPLKNTVQERPPMPVRNELSGKMPSIKPTALRFYGSFNVTVTGITIQNSPQCHLKFDNCIGVVVHDMSVSSPGDSPNTDGIHLQNSKDVLIHGTTLACGDDCVSIQTGCSNVYIHNVNCGPGHGISIGSLGKDNTKACVSNITVRDIMMHNTMNGVRIKTWQGGSGNVQGVLFSNIQVSEVQLPIVIDQFYCDKRTCKNQTAAVALSGITYEKIRGTYTVKPVHLACSDSLPCIGVTLSAIQLKPMQERYHLYDPFCWQSYGELTTPTVPPIACLQIGKPPNNRVQSNYDVC >KJB75833 pep chromosome:Graimondii2_0_v6:12:8472006:8472230:1 gene:B456_012G060400 transcript:KJB75833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLKSTLPFVPLIVFLSFTLLVFTLEARTLSPNHFPHAGQGGHGLRLDEVFKVLDLLGIKNSSGPSHGGEGH >KJB77556 pep chromosome:Graimondii2_0_v6:12:31724226:31725065:-1 gene:B456_012G1435001 transcript:KJB77556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHRLPFFVLPFLLITLSSMSSNTVLVGARRLLETSVPEIPKPELPDMPSFPKVELPKPELPEIPKPELPKMPELPKPELPKVPELPRPELPKVPEFPKVPELPKSELPKVPEFPKVPELPKPEFPKIPELKKSEEVKVPEIPNAPKLSKSEAPKVPELPKPEFPKVPEVPKPELPKAPKLPKPELPKVPELPKPELPKVPELPKPELPKPELPKAPELPKPESPKVPELSKSELPKVPEVPKPELPKATELPKPELPKVPE >KJB74928 pep chromosome:Graimondii2_0_v6:12:1613052:1618391:-1 gene:B456_012G014500 transcript:KJB74928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPRNLNPNPDPAFPSFTQNMPSFSNPAQYRGSYHRRAQSEVQFRIPDDLDLVSDPFEGLGSEDDMLCSYMDIEMPGESAKGVEAAAGSWSQNPKGEEVSGGSGIGEKYNGGGKGRHRYSNSVDGCSIMESIEAKKAMAPDKLAELWTIDPKRAKRIIANRRSATRSKEKKALYMSELERKVQTLQTEATTLSAHLTLFQRDTTGLTTENAELKLRLQAMEQQAQLSDALNEALKKEVERLKTATGEITTPTDTFNLGMHHISYAQSSFFPPQNTQLPPFHPFHSNLLTSSLNTNSHALADMMQQDPLGLLQGLDTSSRGSPFVKSESPSICAAESSGTV >KJB74927 pep chromosome:Graimondii2_0_v6:12:1613958:1618391:-1 gene:B456_012G014500 transcript:KJB74927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPRNLNPNPDPAFPSFTQNMPSFSNPAQYRGSYHRRAQSEVQFRIPDDLDLVSDPFEGLGSEDDMLCSYMDIEMPGESAKGVEAAAGSWSQNPKGEEVSGGSGIGEKYNGGGKGRHRYSNSVDGCSIMESIEAKKAMAPDKLAELWTIDPKRAKRIIANRRSATRSKEKKALYMSELERKVQTLQTEATTLSAHLTLFQRDTTGLTTENAELKLRLQAMEQQAQLSDALNEALKKEVERLKTATGEITTPTDTFNLGMHHISYAQSSFFPPQNTQLPPFHPFHSNLLTSSLNTNSHALADMMQQDPLGLLQGLDTSSRGSPFVKSESPSICAAESSGTV >KJB74929 pep chromosome:Graimondii2_0_v6:12:1613388:1618391:-1 gene:B456_012G014500 transcript:KJB74929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPRNLNPNPDPAFPSFTQNMPSFSNPAQYRGSYHRRAQSEVQFRIPDDLDLVSDPFEGLGSEDDMLCSYMDIEMPGESAKGVEAAAGSWSQNPKGEEVSGGSGIGEKYNGGGKGRHRYSNSVDGCSIMESIEAKKAMAPDKLAELWTIDPKRAKRIIANRRSATRSKEKKALYMSELERKVQTLQTEATTLSAHLTLFQRDTTGLTTENAELKLRLQAMEQQAQLSDALNEALKKEVERLKTATGEITTPTDTFNLGMHHISYAQSSFFPPQNTQLPPFHPFHSNLLTSSLNTNSHALADMMQQDPLGLLQGLDTSSRGSPFVKSESPSICAAESSGTV >KJB75129 pep chromosome:Graimondii2_0_v6:12:3196246:3196812:-1 gene:B456_012G025700 transcript:KJB75129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRVIGAAFLALLLVDLAFAARLGKGSGGGGGGGGGGGGGSGSGSGSGYGSGSGSGHGSGGGIGSGGGGGGGSGGGGGGGGDNGGGSGYGSGSGYGSGSGSGSGGGSGRGGGGGGGSGGGGGRGGGHGGGSGYGSGSGYGSGNGSGGGRGGGGGGGSGGGGGGGEGNGSGYGSGSGYGSGYGSGGG >KJB77536 pep chromosome:Graimondii2_0_v6:12:31563890:31566065:1 gene:B456_012G142300 transcript:KJB77536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLCCFNAIVGGRSSCSSGKGRSHNGAVKYGFALLKGKANHPMEDYHVAKFTQLQGHELGLFAIYDGHLGDSVPAYLQKHLLPNILKDEEFWTDPNRSIFKAYEKTDQAILSHSPDLGRGGSTAVTAILIDGRKLWVANVGDSRAVLSKNGQAMQMSIDHEPSTERGSIENRGGFVSNLPGDVARVNGQLAVSRAFGDKNLKLHLRSDPDIQNADINSNTDLLILASDGLWKVMSNQEAVDIAKKTKDPQRAAKKLAAEALNRDSKDDISCIVVRLKG >KJB77535 pep chromosome:Graimondii2_0_v6:12:31563716:31566093:1 gene:B456_012G142300 transcript:KJB77535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLCCFNAIVGGRSSCSSGKGRSHNGAVKYGFALLKGKANHPMEDYHVAKFTQLQGHELGLFAIYDGHLGDSVPAYLQKHLLPNILKDEEFWTDPNRSIFKAYEKTDQAILSHSPDLGRGGSTAVTAILIDGRKLWVANVGDSRAVLSKNGQAMQMSIDHEPSTERGSIENRGGFVSNLPGDVARVNGQLAVSRAFGDKNLKLHLRSDPDIQNADINSNTDLLILASDGLWKVMSNQEAVDIAKKTKDPQRAAKKLAAEALNRDSKDDISCIVVRLKG >KJB77534 pep chromosome:Graimondii2_0_v6:12:31563664:31566067:1 gene:B456_012G142300 transcript:KJB77534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLCCFNAIVGGRSSCSSGKGRSHNGAVKYGFALLKGKANHPMEDYHVAKFTQLQGHELGLFAIYDGHLGDSVPAYLQKHLLPNILKDEEFWTDPNRSIFKAYEKTDQAILSHSPDLGRGGSTAVTAILIDGRKLWVANVGDSRAVLSKNGQAMQMSIDHEPSTERGSIENRGGFVSNLPGDVARVNGQLAVSRAFGDKNLKLHLRSDPDIQNADINSNTDLLILASDGLWKVMSNQEAVDIAKKTKDPQRAAKKLAAEALNRDSKDDISCIVVRLKG >KJB76055 pep chromosome:Graimondii2_0_v6:12:10451146:10452118:1 gene:B456_012G070700 transcript:KJB76055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDKRLTNIFCDICIKEILKGNRPGTHFTKDGWLKIMTNFEKETGKIFSQRQLKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASNEWWESRVVPEAKKFKTSGIDPEFEGKLDQMFMGIVATGDKAWAPSSSTLRSDFFEDVNNEIPKESEEENMRNDVHISNDVQIDGNSQKRKNPETSSPHFKTGRKKSSKQIGGAARLSSQIEKLCNAADNMSQVTSSLTPVMDPYGIPQTVKMLDRMSEEVPEASPLYFFALRLLLNKAKRIMFLSINPKIRALWLKTEMKDS >KJB76725 pep chromosome:Graimondii2_0_v6:12:23080253:23081796:1 gene:B456_012G103400 transcript:KJB76725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSIPAYLDPPHWQHHTNHQAGPSSSTSGHQLPPPPGPPQPPPAQQPQGGGGAGSIRPGSMTDRARLANIHMPEAALKCPRCESTNTKFCYFNNYNLTQPRHFCRTCKRYWTRGGALRNVPVGGGCRRNKRSKGSSSKSAVTDDRQTASSSSRSSGSTDILGLGTQVPPLRFMSPLHNLTDFGLNYGTISAPVGGPSDLSFQIASTWSGGATAAPGMIGWEHWRLQQAPQFPFLGGLESSSGLYQFETVGVEPSGHGGGPKISSSAATQMASVKMDGNNQQELNLSKQFLGVPGNDEYWGGTAWTDLSRFSSSSTSNPL >KJB77482 pep chromosome:Graimondii2_0_v6:12:31283767:31287138:-1 gene:B456_012G139100 transcript:KJB77482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKFYKVPGGWAAQKIGGRQVLLLSFVLWSSTCFLVPLDPNRVTILVVARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAMGMLVLPSLVKFRGPQSVFIAEAALGVIWSFFWFKYATDPPRSEHQKATATGFGESLLPTKASQKIKVENGGTTARTSEIPWKRILASRPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQLSLQEMGSSKMLPYFNMFIFSNIGGVLADHLITKRIMSVTKTRKFLNTVGFIVSSLALMALPIFRTSAGAIFCSSVALGFLALGRAGFAVNHMDIAPKYAGIVMGVSNTAGTLAGIIGVDMTGKLLEAAKAEYSDLSSPESWRTVFVIPGLLCVFSSFIFLLFSTGERIFD >KJB77481 pep chromosome:Graimondii2_0_v6:12:31283732:31287458:-1 gene:B456_012G139100 transcript:KJB77481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMKFPKRYLIVLLTFVSTCVCYIERVGFSIAYTVAADAAGINQSSKGTILSTFYYGYACSQVPGGWAAQKIGGRQVLLLSFVLWSSTCFLVPLDPNRVTILVVARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAMGMLVLPSLVKFRGPQSVFIAEAALGVIWSFFWFKYATDPPRSEHQKATATGFGESLLPTKASQKIKVENGGTTARTSEIPWKRILASRPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQLSLQEMGSSKMLPYFNMFIFSNIGGVLADHLITKRIMSVTKTRKFLNTVGFIVSSLALMALPIFRTSAGAIFCSSVALGFLALGRAGFAVNHMDIAPKYAGIVMGVSNTAGTLAGIIGVDMTGKLLEAAKAEYSDLSSPESWRTVFVIPGLLCVFSSFIFLLFSTGERIFD >KJB75780 pep chromosome:Graimondii2_0_v6:12:7894891:7898428:1 gene:B456_012G057700 transcript:KJB75780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWDCKRKRKRLKTPTQTLGLSMQQQKNKTKTLPTYHLLYLILLLYSLVSYPNCLKFEYCLIPFFYFFLISNSPCLSPRLTLPFFDYLGHITPPTFLLTNHSGGDLHILRQRQPGKHFTEPAARFYASEVLLALEYLHMMGVVYRDLKPENVLVREDGHIMLSDFDLSLQCFVNPTLVQSCSEPSCRIASYCIQPACIDPACKLPVCVEPACLQPSCFKPQFLSCKTTKVKSEKTNLVNSDSFPVLIAEPTNARSMSFVGTHEYLAPEIIRGDGHGSAVDWWTFGIFLYELLLGRTPFKGNDNRETLFNVVGQSLKFTEGSSISFAAKDLIRGLLVKDPQKRLGFKRGATEIKQHPFFESVNWALIRSTHPPEIPKPIDIPLLNQAFKSSLPSNDKGATDSDRSSGPYLDFEFF >KJB75781 pep chromosome:Graimondii2_0_v6:12:7895101:7898428:1 gene:B456_012G057700 transcript:KJB75781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERPQENVKFETFTVTNTLKDLCLNNNSVSISGSDSLISCSNNGSSSSSSLQDVNINKNSVSISLCSSVSESANKISVSKNAESSDCEESEKSSFRSFCPSKPHKGNDRRWDAIQYVKGKDGDLGLAHFRLLKKLGCGDIGSVYLAELRGMGCLFAMKVMDKGMLAGRKKLLRAQTERQILSLLDHPFLPTLYSHFETEKFSCLLMEFCSGGDLHILRQRQPGKHFTEPAARFYASEVLLALEYLHMMGVVYRDLKPENVLVREDGHIMLSDFDLSLQCFVNPTLVQSCSEPSCRIASYCIQPACIDPACKLPVCVEPACLQPSCFKPQFLSCKTTKVKSEKTNLVNSDSFPVLIAEPTNARSMSFVGTHEYLAPEIIRGDGHGSAVDWWTFGIFLYELLLGRTPFKGNDNRETLFNVVGQSLKFTEGSSISFAAKDLIRGLLVKDPQKRLGFKRGATEIKQHPFFESVNWALIRSTHPPEIPKPIDIPLLNQAFKSSLPSNDKGATDSDRSSGPYLDFEFF >KJB77500 pep chromosome:Graimondii2_0_v6:12:31390325:31393476:-1 gene:B456_012G140400 transcript:KJB77500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNLYDEFGNYIGPDIESDQGSEGEEEEDEDLPDRHVQEEGQQSNGEAPDGVSNGWITAANDVDMDNQIVLAEDKKYYPTAEEVYGEDVETLVMDEDEQPLEQPIIKPVKNIKFEVGVKDSSTYVSTQFLIGLMSNPGLIRNVALVGHLQHGKTVFMDMLVEQTHHMNTFDQNSEKHMRYTDTRIDEQERRISIKAIPMSLVLEDSNGKSYLCNIMDTPGHVKFSDEMTAALRLADGAVLIVDAAEGVMVNTERAIRHAIQDRIPIVVVINKVDRLITELKVAPQDAYHKLRHTLEVINNHISAASTTAGNVPVIDPAAGNVCFASASAGWSFTLQSFAKLYVKLHGIPFDAEKFASCLWGDIYYHPDTRAFKRKPPAGGGERSFVEFVLEPLYKIYSQVIGERRKSVESTLAELGVTLSNAAYKLNVRPLLRLACSTFFGSASGFTDFLVQHIPSPKDAAAKKVDHTYTGPKHSMIYRAMVECDPSGPLMVNVTKLYPKSDCSVFDASSRVYSGRIQTGQSLRVLGEGYSPDDEEDMTLKEVTKLWIYQARYRIPISSAPPGSWVLIECVDASIMKTATLCNMDLDEDVYIFWPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSCPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVSSL >KJB75057 pep chromosome:Graimondii2_0_v6:12:2712820:2713487:1 gene:B456_012G022100 transcript:KJB75057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSWFPGIQIEKGICSFLRSKNLSRVIRSLHDKRMLSLSQVKSSPKVIKIQVLVNLYPSSSSIAHIPVHCKAKMESNEKTKIQYLVHALKDNEPH >KJB75862 pep chromosome:Graimondii2_0_v6:12:8659775:8661033:-1 gene:B456_012G061700 transcript:KJB75862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFSWKLFYVFGILLWGFSQRWRTVESNGGSFSCGFPAIYNFGDSNSDTGGISAAMSEILSPNGETFFGHPAGRACDGRLIIDFIAENLKLPYLSAYLDSVGTNFRHGANFATGGSSIRPPGYSPFNLWVQISQFIQFKARTTSLYNQLTLNRRNPLTISNLPRPAEFSQALYTIDIGQNDLAHGFQYTTVKQVLASIPDIIGQLPQAIHTLYNEGARFFWVHNTGPIGCLPVSVLYEISKPWNLDKNGCVRLQNEVAVEFNRQLKDMISQLRAQLPFARFTYVDVYSAKYTLVSDAKNLGKHV >KJB75860 pep chromosome:Graimondii2_0_v6:12:8659213:8661261:-1 gene:B456_012G061700 transcript:KJB75860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFSWKLFYVFGILLWGFSQRWRTVESNGGSFSCGFPAIYNFGDSNSDTGGISAAMSEILSPNGETFFGHPAGRACDGRLIIDFIAENLKLPYLSAYLDSVGTNFRHGANFATGGSSIRPPGYSPFNLWVQISQFIQFKARTTSLYNQLTLNRRNPLTISNLPRPAEFSQALYTIDIGQNDLAHGFQYTTVKQVLASIPDIIGQLPQAIHTLYNEGARFFWVHNTGPIGCLPVSVLYEISKPWNLDKNGCVRLQNEVAVEFNRQLKDMISQLRAQLPFARFTYVDVYSAKYTLVSDAKNLGFVEAVNFCCGNCMKKGMANGTVYGNPCNHPSKHISWDGIHYSQAANTWVAERILNGSFSDPPLSIQSACHHQTTIL >KJB75863 pep chromosome:Graimondii2_0_v6:12:8659291:8661261:-1 gene:B456_012G061700 transcript:KJB75863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFSWKLFYVFGILLWGFSQRWRTVESNGGSFSCGFPAIYNFGDSNSDTGGISAAMSEILSPNGETFFGHPAGRACDGRLIIDFIAENLKLPYLSAYLDSVGTNFRHGANFATGGSSIRPPGYSPFNLWVQISQFIQFKARTTSLYNQLTLNRRNPLTISNLPRPAEFSQVLASIPDIIGQLPQAIHTLYNEGARFFWVHNTGPIGCLPVSVLYEISKPWNLDKNGCVRLQNEVAVEFNRQLKDMISQLRAQLPFARFTYVDVYSAKYTLVSDAKNLGFVEAVNFCCGNCMKKGMANGTVYGNPCNHPSKHISWDGIHYSQAANTWVAERILNGSFSDPPLSIQSACHHQTTIL >KJB75861 pep chromosome:Graimondii2_0_v6:12:8659291:8661261:-1 gene:B456_012G061700 transcript:KJB75861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLILQQVVHLSDHLVIVHSIFGFRFHSSFNLKLAPLLSTINLPSIVRRNPLTISNLPRPAEFSQALYTIDIGQNDLAHGFQYTTVKQVLASIPDIIGQLPQAIHTLYNEGARFFWVHNTGPIGCLPVSVLYEISKPWNLDKNGCVRLQNEVAVEFNRQLKDMISQLRAQLPFARFTYVDVYSAKYTLVSDAKNLGFVEAVNFCCGNCMKKGMANGTVYGNPCNHPSKHISWDGIHYSQAANTWVAERILNGSFSDPPLSIQSACHHQTTIL >KJB74668 pep chromosome:Graimondii2_0_v6:12:185806:189554:-1 gene:B456_012G001700 transcript:KJB74668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTGRMKKWSGWSQSQMLAAMLAVQLFATGQQLLSKVVLSQGSFIFALMAYRHLVAALCVAPLAFFLERENSKKMEWSTWLWLFINAFTGITAAMGLFYCGLRDTTATYSSNFLNIIPIVTFVFSIIFRIEKLGLGSKGGKIKTAGAILCVGGALAASLYKGKVFHLADAHHFHRPAVMEVSKSQWTRGTFMLVGSCVCYASWYILQVKLLKVFPWKYRATLLTCIIASIQSAVIGLCLNRSKAAWRLEWNLQLITIVYSVMLLTQLHLP >KJB74670 pep chromosome:Graimondii2_0_v6:12:185806:190124:-1 gene:B456_012G001700 transcript:KJB74670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTGRMKKWSGWSQSQMLAAMLAVQLFATGQQLLSKVVLSQGSFIFALMAYRHLVAALCVAPLAFFLERENSKKMEWSTWLWLFINAFTGITAAMGLFYCGLRDTTATYSSNFLNIIPIVTFVFSIIFRIEKLGLGSKGGKIKTAGAILCVGGALAASLYKGKVFHLADAHHFHRPAVMEVSKSQWTRGTFMLVGSCVCYASWYILQVKLLKVFPWKYRATLLTCIIASIQSAVIGLCLNRSKAAWRLEWNLQLITIVYSGALSTAATFCLLTWSIAKRGPTYAPMFNPLALVFVAISEALVLGEQIRLGIVLGTVLIIVGLYSFLWGKRKELKCLPLSNEGVDEMATAVPESVELKSLAPV >KJB74669 pep chromosome:Graimondii2_0_v6:12:185806:189554:-1 gene:B456_012G001700 transcript:KJB74669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTGRMKKWSGWSQSQMLAAMLAVQLFATGQQLLSKVVLSQGSFIFALMAYRHLVAALCVAPLAFFLERENSKKMEWSTWLWLFINAFTGITAAMGLFYCGLRDTTATYSSNFLNIIPIVTFVFSIIFRIEKLGLGSKGGKIKTAGAILCVGGALAASLYKGKVFHLADAHHFHRPAVMEVSKSQWTRGTFMLVGSCVCYASWYILQV >KJB77448 pep chromosome:Graimondii2_0_v6:12:31115815:31119908:-1 gene:B456_012G137800 transcript:KJB77448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D4 [Source:Projected from Arabidopsis thaliana (AT4G38190) UniProtKB/Swiss-Prot;Acc:Q9SZL9] MATSLTSQQSKKGMRSPGGANNAQGGSRNSTGQQVKFARRTSSGRYVSLSRDDIDMSGEMSGDYMNYTVHIPPTPDNQPMDNSMAAKAEEQYVSNSLFTGGFNSVTRAHLMDKVIDSEVTHPQMAGAKGASCAMAACDGKVMKDERGNDVMPCVCRFKICRDCYMDAQKDKGLCPGCKEPYKVGDYDDEIEYSNGTLKLPAPNGKRDPNNMSMMKRNQGGDFDHNRWLFETSGTYGYGNAFRDDMYGGDDGDERLQGDIENNDKPWKPISRKIPIDSAILSPYRLLVFVRLVVLGFFLHWRITNPNDDAIWLWTMSIVCEVWFAFSWILDQIPKICPVNRTTDLEVLREKFELPSPSNPTGRSDLPGIDLFVSTADPEKEPPLTTANTILSILAVDYPVEKVACYTSDDGGALLTFEAMAEAASFADLWVPFCRKHNIEPRNPDAYFNLKIDPTKNKSRSDFVKDRRRVRREYDEFKVRINGLPDSIRRRSEAFNAREEMKMLKHLRETGADPMEKPKIQKATWMADGTHWPGTWAVGTSEHSKGDHAGILQVMLKPPSHDPLTGNGEDKLIDFTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAILSNGAFILNLDCDHYINNCKAIREGMCFMMDRGGEDICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGVQGPVYVGTGCMFRRFALYAFPPPNPDKLTQAKENETQPLTTSDLDPDLDVNLLPKRFGNSTMLAESIPVCEFQGRPIADHPAIKFGRPPGALRVPREPLDAVTVAEAVSVISCWYEDKTEWGERVGWIYGSVTEDVVTGFRMHNRGWRSVYCITKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNALLATRKLKFLQRLAYLNVGIYPFTSFFLIVYCFLPALSLISGQFIVKQLNLFFLIYLMIITFCLIGLALLEVRWAQISLEDWWRNEQFWLISGTSSHFAACIQGLLKVIAGIEISFTLTAKSAGEDEDDIYADLYIVKWTSLMIPPIVIAMVNIIALMIAFARTLFGSGQWNMFIGGAFFAFWVLAHLYPFLKGLMGRRRKTPTIVFVWAGLIAIIISLLWLAINPGALGNLGATGTGAGFVFP >KJB75091 pep chromosome:Graimondii2_0_v6:12:3044463:3046049:1 gene:B456_012G024200 transcript:KJB75091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNILVTGGAGFIGSHTVLELLLGGYNAVVVDNLNNSSDVAITRVKELAGQYGNNLYFHQLDLRDKPALQNVFTERKIDAVIHFAGLKAVGESMKKPLMYYSNNIVGAITLLEVMEAYGCKNLVFSSSATVYGWPKEVPCREEFPVSAINPYGRTKPIIEEICRDIQHADPEWKIISLRYFNPVGAHQSGYIGEHPRGTPTNLMPYMQQVAVGKRPALTIFGNDYSTKDGTCIRDYIHVVDLAQGHIAALRKLSDPKLACEVYNLGSGKGTSVLEMVAAFEKACGKKIPLVIAARRPGDAEILYASTEKAERELNWKAKYSIEDMCRDQWNWANNNPIGYQSPEKKAHNITCLNSPNF >KJB75194 pep chromosome:Graimondii2_0_v6:12:3727775:3730173:-1 gene:B456_012G030200 transcript:KJB75194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGYEGVLKMIEELTTNAGQIQDEVLREILSRNAGTEYLRGFLHGQTEKQLFKKNVPIVTYEHLKPYIDRIANGETSDILLAEPITGFFLSSGTSGGQPKMTPVTSQVTKNCELFRGFYESPVIKHFGDINQAGKRMELMFARPEIETPSGLKAASVSTSIYKESKFRTILPKLYTSPIETIFCPDPNHGLYCQLLFGLIQRDEVVTIGSLFASTVLRGIKFLENHWQELCYDIKTGRLSDWITDSGCRNAASLVMKPNPEQADLIENICNCKSWEGIIRKLWPKARYIGCICTGVMRQYIAELEFYCRGLPLVSAFYACSEAICGINLEPLCKPYDVSYTFLPNMAYFEFLPVENDHDESIDMKKNDEDTELVDLVNVKAGQCYELVVTTCAGLYRYKVGDVLMVSGFYNNAPQFQFVERKNVILSVDQEKTSETDLSKAVTEAKALLDPLGFILTEYTSYVDTSSAPGHYVLFWEIKGKEGKHCKELDPKIMVECCSRMEESLHYTYKIYRKRNIIAALEIRVVKQGSFEALMDYYVSKGTSLSQYKKPSCIKSEEALKILDSRVIGKYFSPKSPL >KJB76415 pep chromosome:Graimondii2_0_v6:12:14992690:14993112:-1 gene:B456_012G087800 transcript:KJB76415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKHQEYERVFNHFDENKDGKISPAELQQCVKAIGGELSREEAEVAVEVLDTDGDGLLGLEDFIRLVEEVGEEEKVNDLKEAFKMYEMEGCGCITPKSLKRMLSRLGESRTLEECKSMIAQFDLNGDGVLNFDEFRVMML >KJB76610 pep chromosome:Graimondii2_0_v6:12:19752621:19755484:1 gene:B456_012G097100 transcript:KJB76610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIEPHPTDEQPQQQQQQLEATKATSLTSSETTRGSTAAAVSENANGGDAASDGFETASERDVSDNEEDDRAGDKQPPQQRGPDSYQDALNDEQLKEKALAQANDAKTEGNKLFGNGQYQEALLQYEIALQVTAEMPSATEIRSICHSNRAVCLLKLGNYEETIKECNKALELNASYMKALVRRGEAHEKLEHFEEAIADMKKILELDPANDQARKAIRRLEPLAAEKREKMKEEMIGKLKELGNSVLGRFGMSIDNFKAVKDPNTGSYSISFQN >KJB76849 pep chromosome:Graimondii2_0_v6:12:25025749:25028278:-1 gene:B456_012G110200 transcript:KJB76849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQSRSKKPENLGKGKVTPVQVAFIVDRYLSDNNYTETRSIFRNEASSLISKSPVREAPKSLLSLGAMLDEYICLKEQKVIVEQEKARLEQEKCRVQSLLQGMQSVMNAYNASATASVPMIPHANATKTVAVVPQSDPRAGSPPGPPVYSTPTVIPVYGPSNSRMERNNYSSPVPSQPLTRNKRSSEVVAEAPTAAKKTRSRSTSTKLATQGTEKLPESDNVINRQVDGQLRSLNQSTPASCTPNESTMHASGVAKCLFNRPQLSPPTNSSGPKTPPQAVSPQSDKLMTPFGDSSTANRGHSNTPQEITPANCTIISTERVTVSPLKQMTCYTIERNRCISSCSPVKTGLTRLGKRDHVKSRLDFDGSDATVDVYKPIMNETSTSESEIDADLFDLDLPNLDAFGENFSFSELLVDLDLGPDGIGYPCQPTLGTSGSALSGSSHDSGDDNLGANQVMSAFSSTVTEVFSEKNMNAEGGPNTLTSMKSITKCIKILSPAKGQRTSPDKNNYSATN >KJB76850 pep chromosome:Graimondii2_0_v6:12:25025266:25028411:-1 gene:B456_012G110200 transcript:KJB76850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQSRSKKPENLGKGKVTPVQVAFIVDRYLSDNNYTETRSIFRNEASSLISKSPVREAPKSLLSLGAMLDEYICLKEQKVIVEQEKARLEQEKCRVQSLLQGMQSVMNAYNASATASVPMIPHANATKTVAVVPQSDPRAGSPPGPPVYSTPTVIPVYGPSNSRMERNNYSSPVPSQPLTRNKRSSEVVAEAPTAAKKTRSRSTSTKLATQGTEKLPESDNVINRQVDGQLRSLNQSTPASCTPNESTMHASGVAKCLFNRPQLSPPTNSSGPKTPPQAVSPQSDKLMTPFGDSSTANRGHSNTPQEITPANCTIISTERVTVSPLKQMTCYTIERNRCISSCSPVKTGLTRLGKRDHVKSRLDFDGSDATVDVYKPIMNETSTSESEIDADLFDLDLPNLDAFGENFSFSELLVDLDLGPDGIGYPCQPTLGTSGSALSGSSHDSGDDNLGANQVMSAFSSTVTEVFSEKNMNAEGPNTLTSMKSITKCIKILSPAKGQRTSPDKNNYSATN >KJB75081 pep chromosome:Graimondii2_0_v6:12:2796707:2800417:1 gene:B456_012G022900 transcript:KJB75081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSTTSGVSAAALPQQLPSQSRTFKPKSEWVHENEAKVLFLYLPGFTMEQLTISPEYSKQRVKVEGKRRLPNNRLLPVNETFNIPDDCDLSNMLKEFEKGTLSLKFPKVLSQQHKQTGVNAKSDEGEKKDQTDDANKKAADESNENGKEYSSTPSMPQNNLEKKDQTDDANKRAADESNENGKEYSSTPSMPQNNLQPSSPDVNLMVNVGAAVLIIMALGASLFYTISH >KJB75082 pep chromosome:Graimondii2_0_v6:12:2796707:2800417:1 gene:B456_012G022900 transcript:KJB75082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLTISPEYSKQRVKVEGKRRLPNNRLLPVNETFNIPDDCDLSNMLKEFEKGTLSLKFPKVLSQQHKQTGVNAKSDEGEKKDQTDDANKKAADESNENGKEYSSTPSMPQNNLEKKDQTDDANKRAADESNENGKEYSSTPSMPQNNLQPSSPDVNLMVNVGAAVLIIMALGASLFYTISH >KJB75930 pep chromosome:Graimondii2_0_v6:12:9099751:9100800:1 gene:B456_012G064100 transcript:KJB75930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKKSQVDGVLDSEGKKWVIAGIAIRTSLKLINTKPRGKQSGEDDGEEEEEEACSTTPTSKEARIPEKLPCPPPPRKRRPPLRRHYNGVRDFFTPPDLESVFKLRHVEKPI >KJB76592 pep chromosome:Graimondii2_0_v6:12:18082289:18084369:-1 gene:B456_012G095900 transcript:KJB76592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKKRSPNSRGSNEEAMLSFTSSVILPSSRVVKSSGKCRKKVAESFGFFFVDFLFVSHFPTNETKALEKLLKDHAGKYATGDEVSMANLFLAPQILAGIERFNVEMLLLDFLSFFAFSFSSMARYSVVTDKVSMEVVEEFILE >KJB76593 pep chromosome:Graimondii2_0_v6:12:18081239:18084657:-1 gene:B456_012G095900 transcript:KJB76593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKKRSPNSRGSNEEAMLSFTSSVILPSSRVVKSSGKCRKKVAESFGFFFVDFLFVSHFPTNETKALEKLLKDHAGKYATGDEVSMANLFLAPQILAGIERFNVEMLLLDFLSFFAFSFSSMARYSVVTDKVSMEVVEEFILE >KJB76237 pep chromosome:Graimondii2_0_v6:12:12876240:12879692:1 gene:B456_012G079400 transcript:KJB76237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKDGYVSETNATNIALPLERSVETPKIISVAQFRPEKELQEYMAVISYQFTVCISVVEYMAAGAIPIAHNSAGPKMDIVLDEDGHKQDFLLKM >KJB77990 pep chromosome:Graimondii2_0_v6:12:34062290:34066055:-1 gene:B456_012G171200 transcript:KJB77990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 6 [Source:Projected from Arabidopsis thaliana (AT1G70300) UniProtKB/Swiss-Prot;Acc:Q8W4I4] MDLETGFHHKESWRTVLTLAYQSLGVVYGDLSTSLYVYKSTFDEDIHHSETNEEIYGVLSFVFWTLTLVPLLKYVFIVLKADGNGEGGTFALYSLLCRHARVNSLPNCQLADEELSEYKKDGGVNGLSPKSNLGSRLKSSLEKHRVLQRFLLVLALIGTCMVIGDGILTPAISVFSAVSGLELSVLKEHHKYVEVPVTCIILICLFALQHYGTHRVGYLFAPVILIWLLCISSIGLYNIMYWNPHVYRALSPFYMRLVVLGGILLCITGSEAMFADLGHFSQLSIKIAFTSLVYPSLILAYMGQAAYLSWHHNIKSDYQIGFYVSVPEKLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSSLGCFPKVKIVHTSSKIHSQIYIPEINWLLMVLCLAVTVGFRDTRHMGNASGLAVITVMLVTSCLMSLVIVLCWQKSVFLAICFVFFFGTIEALYFTASLVKFLEGAWVPIALSFIFLIVMCVWHYGTLSPDLGMLVSGIPAIFSDFVTNFPAFHQVLVFLCIKECRLYRCIVRYGYCDFHKDDIEFEKDLVCSIAEFARSENGSPNDVKVDRETDNDDKMKVVGTCSTHLDGIQMSEDDSNDIEEASPLELKEIQSPPTIKPRKKSTDTCLRAKQGSILIKKLVIDFEYEFLQKNSRPSTLALTVPHVSTMEVGMVYHV >KJB78091 pep chromosome:Graimondii2_0_v6:12:34597347:34598529:1 gene:B456_012G177000 transcript:KJB78091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEVAEKPVCPIGLPNISADINLEKTRDAHLVVAALIVTVTFAAAITVPGGFKSEEGSDQGTPYLIHEVAFRTFVVTNALAFSLSLSTLFIHFGMLLPLFPKPLGSITSKLFIVDVILGLALVAMGIAFSTTTYIVLKPSLGLATTAAG >KJB77265 pep chromosome:Graimondii2_0_v6:12:29641893:29643342:-1 gene:B456_012G128600 transcript:KJB77265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMKGEVVLNIPSEKAWEMYRNNEILSKINPDLLSAAEYIQGDGAPGSLRLFKLGPAIRNYVKESVEKIEKVETGRSVTYEVIGGDLKEMYDPYRVTFSFIPIEGDNNVNKCIAEWKAEFKVSAPATPPPEKAKDAALRFLKSFDNFQLSY >KJB75367 pep chromosome:Graimondii2_0_v6:12:4809970:4810281:1 gene:B456_012G038500 transcript:KJB75367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTKFKTLRMQNNELSGKFYAKLYDLSNQLFALESEHSNLNLVKKVLRSLPEQFNINVTVIKEDKDFDTMRIDELIGPLQTFKINMDETKRNMSKEQKSMTL >KJB76942 pep chromosome:Graimondii2_0_v6:12:26087367:26089686:-1 gene:B456_012G113600 transcript:KJB76942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLQEPWVLDNVDSKGLVRHGKTSINMSSSLLRTKSDLPLGSRVRCPMLRHFLANLHEVVLGTKLSVIFPVVPLAFVAHFYGFGRPWVFALSLLGVSALAERISFLTEQIAYYIGPTVGGLVNATCGNATELIIAIFALRQNKIDMVKYSLLGSVLSNMLLVLGTSLFCGGIAYLRKGQKFNRRQAEVNCILLLLALLCHSLPLLFRMSGASAADTADPIMQLSRASSVVMLIAYITYLVFQLFTHSQFFEAQEEPKDEEDGALEQGGGEGEEEEEEAVIGFWSAFVWLVVLTALVSLLSQYLVETIEDASYSWGISVSFNSTILLPIVGNAAEHAGAIIFAFKDKLDISLGVALGSATQISMFAVPLCVIAAWIMGIDMDLNFNLLETGCLALSIIAVAFTLQDGNSHYLKGLILILLYSVIGACFFVSKTPLTT >KJB75411 pep chromosome:Graimondii2_0_v6:12:5019749:5020360:1 gene:B456_012G040600 transcript:KJB75411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILYAYDTWCPPPAGSLKFNIDGAVKIAVELGGCGGVLRNDKDSIIASFSYPLQVICSNLAELLAIKTALEVFTKTDWFDKVNLIIKSDSANAVQWVNDPSSKPWVYWDVREFIDRLIMEIGEVRFTFAYREINCLADAMAKAGIDRHCLFFACW >KJB76833 pep chromosome:Graimondii2_0_v6:12:24579180:24581502:-1 gene:B456_012G109400 transcript:KJB76833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIDLEQGTHRRDGSDVSSREASVCFSEADEGSCYSQFYSTAGGSYDDYSFTEGEIDGGASDSRRVSDCSVEAENEGGVAEIKVHLAKVEKDCRICHLGLESNSRESGVPIELGCSCKDDLAAAHKLCAEAWFKIRGNKTCEICHSIARNVVGVNEGTDQSNDTNSSTTTAAVPGPAAHTDTPSFWHGHRILNFLLACMVFAFVISWLFHFNMPSS >KJB77795 pep chromosome:Graimondii2_0_v6:12:33121909:33124083:-1 gene:B456_012G158000 transcript:KJB77795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYFLVTQLLVLVVALPDIHGNIFGLVPWRYTCWGHFLTVVEQLGSHLKVASCLLLPAIQLVMGISHPSWISLPFFIGSCIGLVDWSLTSNFLRLFRLWKALQFYACFSIFMLYMYQLLIEFSSILQWIANFVGLFKICSTSEWTQICSSIFLVLFYIMLQTRLWRLMTFLPF >KJB74549 pep chromosome:Graimondii2_0_v6:12:1291951:1304807:1 gene:B456_012G011500 transcript:KJB74549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRDRLLTDGTCRTNGVTQSQLAKEFGIEGKNFFYILKNLECRGLIVKQPALVRKKEHCSEGDSKNSSSVTTNLIYLHRYAKRLGSQQKFEINKEEQTVESLAYGEENASDDYGFASENGKENVSVTDYLPAMKVVCDKLEEANGKVLVVSDIKRDLGYTRSKGHKAWRNIYRRLKDAGLVEDLHAVVNEKVEFCLRLVKSFPEKNFEPKLLGCDDNLDKGQQLKFGKSILNVDQIVELPIDNQIYDMVDAEGSEGLPVMTVCERLGIDKKRSYSRFFNMFSRFGMHLQAESHKKTTAYRVWTSGNSCKSSNAFLIKAKNADDEKQISNLDVGHSGVPDELNQNLLEYNPSASASDSFTPVKVNDLENDTEISCGSPGETNHIVMYSDNTQGLPTEQSNTACEAELDLVSTESQICAAPPQPIGLDLLRPPDSGSYQTYSSQVLTADGARREQRMLGRLQDEKFILRPELYRWLVELEKDKSTKLDRKTVDRMLKKLQQQGHCKCMHINVPVVTNCGRSRITQVVLHPSVEALHPELLSEIHDRLRSFEMQVRGHATSKLKSNDSIPVLDGVQRTLNHVDLEAKAAKSEAMRANGFVLAKMVRLKLLHRFLWGFLSSFHGWNDVLSLERYLHGQKNLHGSCILFSLEAAIKAIPLELFVQVVGTTLKFDDMIEKCKKGFCLSDLPIDEYRLLMDTQATGRLSLLIDILRRLKLIRLVPDECSDNRLKAPHAILTHAMELKPYIEEPLSLVATSTSSSLDLRPRIRHDFIFSNGEAVDDYWKTLEYCYAAADSRAALHAFPGSAVHEVFLNRSWASVRVMTSDQRSELLKRIMKDNLNEKLSYRDCEKIGKDLNLTLEQVLRVYYDKRQKRLNRFRGVPNGNGEQYQGERDKESSARKRKRSTVKSIEGIKADARVIQLDEKEGGTLSDGNDEPKEDYCLASSVGPDAFQAYQEADLVEAVNKPGSHEEDEERYSLIIQNSFLKMKPTRRKRILWTDEADRELVTQYARYRAALGAKFHRVDWTSLDGLPAPPRACARRMTNLKRSAKFRKALMQLCNVLSERYMMHIEKRQNRSSNNSDCRLLRFSSIEHGMEHGEDVGFEKERWDDFDDRKIKSALVDVLHFKQIAKLEASKRKQQGPEMVLATTQDGSIGIPGAEQHKGSNQSSQHHRFHQKLVKLWNIGNGVGRQVHESLAVSNAVELFKLVFLSISIAPPSTNLLTETLRRYSEHDLFAAFSYLRDRKFMIGGTCGQPFVLSQQFLHSISKSPFPCNTGKRAANFSAWIREREKDLMEGGINLTADLQCGDIFHLFSLVFSGELSVSPCLPDEGVGEAEDLRSLKRRAEDNELCDVDKAKKLKSIAEGEFVSRREKGFPGIMVSVYSSTFPAANSLELFTDEETFNPELLNEESSTNLDHMKEMIEFRNSVTTASKSAESPWEAMAGYTEHLLSKPSDEGQGSHVDSEIIKAVCTEIQKAGDQGLSIEDVYSLVKMPGEKTPEIIIDTLQAFGVAKKVNAYDSVRVVDALYHSKYFLASVSIFHRDLKTPLLLTSQAKDGGNSVQQDTKSLVAAKLLGSSSVSDVHKVTILNLPEEHALPSNEVPTSNANEICMYGEVGLSQGDDEGMICEPFSGERLVPILPWLNADGTINSMVYNGLIRRVLGTVMQNPGILEEDIVCQLDVLNPQSCRKLLELMILDRHLIVKKMLQRTGSGPPALLATLVGSSCRKSKMVCREHFFANPTSTFFL >KJB74548 pep chromosome:Graimondii2_0_v6:12:1290898:1303438:1 gene:B456_012G011500 transcript:KJB74548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIITSALEEICFQGQLGISLSSLCTKLDIPPPLKASLWKNLLSIPVLRFKPRNAEFLSPTDASIQCAEGAEKLGIIILAHETLRNNFVGLYDENVQISSQQRRTLERLAIARTNGVTQSQLAKEFGIEGKNFFYILKNLECRGLIVKQPALVRKKEHCSEGDSKNSSSVTTNLIYLHRYAKRLGSQQKFEINKEEQTVESLAYGEENASDDYGFASENGKENVSVTDYLPAMKVVCDKLEEANGKVLVVSDIKRDLGYTRSKGHKAWRNIYRRLKDAGLVEDLHAVVNEKVEFCLRLVKSFPEKNFEPKLLGCDDNLDKGQQLKFGKSILNVDQIVELPIDNQIYDMVDAEGSEGLPVMTVCERLGIDKKRSYSRFFNMFSRFGMHLQAESHKKTTAYRVWTSGNSCKSSNAFLIKAKNADDEKQISNLDVGHSGVPDELNQNLLEYNPSASASDSFTPVKVNDLENDTEISCGSPGETNHIVMYSDNTQGLPTEQSNTACEAELDLVSTESQICAAPPQPIGLDLLRPPDSGSYQTYSSQVLTADGARREQRMLGRLQDEKFILRPELYRWLVELEKDKSTKLDRKTVDRMLKKLQQQGHCKCMHINVPVVTNCGRSRITQVVLHPSVEALHPELLSEIHDRLRSFEMQVRGHATSKLKSNDSIPVLDGVQRTLNHVDLEAKAAKSEAMRANGFVLAKMVRLKLLHRFLWGFLSSFHGWNDVLSLERYLHGQKNLHGSCILFSLEAAIKAIPLELFVQVVGTTLKFDDMIEKCKKGFCLSDLPIDEYRLLMDTQATGRLSLLIDILRRLKLIRLVPDECSDNRLKAPHAILTHAMELKPYIEEPLSLVATSTSSSLDLRPRIRHDFIFSNGEAVDDYWKTLEYCYAAADSRAALHAFPGSAVHEVFLNRSWASVRVMTSDQRSELLKRIMKDNLNEKLSYRDCEKIGKDLNLTLEQVLRVYYDKRQKRLNRFRGVPNGNGEQYQGERDKESSARKRKRSTVKSIEGIKADARVIQLDEKEGGTLSDGNDEPKEDYCLASSVGPDAFQAYQEADLVEAVNKPGSHEEDEERYSLIIQNSFLKMKPTRRKRILWTDEADRELVTQYARYRAALGAKFHRVDWTSLDGLPAPPRACARRMTNLKRSAKFRKALMQLCNVLSERYMMHIEKRQNRSSNNSDCRLLRFSSIEHGMEHGEDVGFEKERWDDFDDRKIKSALVDVLHFKQIAKLEASKRKQQGPEMVLATTQDGSIGIPGAEQHKGSNQSSQHHRFHQKLVKLWNIGNGVGRQVHESLAVSNAVELFKLVFLSISIAPPSTNLLTETLRRYSEHDLFAAFSYLRDRKFMIGGTCGQPFVLSQQFLHSISKSPFPCNTGKRAANFSAWIREREKDLMEGGINLTADLQCGDIFHLFSLVFSGELSVSPCLPDEGVGEAEDLRSLKRRAEDNELCDVDKAKKLKSIAEGEFVSRREKGFPGIMVSVYSSTFPAANSLELFTDEETFNPELLNEESSTNLDHMKEMIEFRNSVTTASKSAESPWEAMAGYTEHLLSKPSDEGQGSHVDSEIIKAVCTEIQKAGDQGLSIEDVYSLVKMPGEKTPEIIIDTLQAFGVAKKVNAYDSVRVVDALYHSKYFLASVSIFHRDLKTPLLLTSQAKDGGNSVQQDTKSLVAAKLLGSSSVSDVHKVTILNLPEEHALPSNEVPTSNANEICMYGEVGLSQGDDEGMICEPFSGERLVPILPWLNADGTINSMVYNGLIRRVLGTVMQNPGILEVLPFLYILI >KJB74547 pep chromosome:Graimondii2_0_v6:12:1290589:1304824:1 gene:B456_012G011500 transcript:KJB74547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIITSALEEICFQGQLGISLSSLCTKLDIPPPLKASLWKNLLSIPVLRFKPRNAEFLSPTDASIQCAEGAEKLGIIILAHETLRNNFVGLYDENVQISSQQRRTLERLAIARTNGVTQSQLAKEFGIEGKNFFYILKNLECRGLIVKQPALVRKKEHCSEGDSKNSSSVTTNLIYLHRYAKRLGSQQKFEINKEEQTVESLAYGEENASDDYGFASENGKENVSVTDYLPAMKVVCDKLEEANGKVLVVSDIKRDLGYTRSKGHKAWRNIYRRLKDAGLVEDLHAVVNEKVEFCLRLVKSFPEKNFEPKLLGCDDNLDKGQQLKFGKSILNVDQIVELPIDNQIYDMVDAEGSEGLPVMTVCERLGIDKKRSYSRFFNMFSRFGMHLQAESHKKTTAYRVWTSGNSCKSSNAFLIKAKNADDEKQISNLDVGHSGVPDELNQNLLEYNPSASASDSFTPVKVNDLENDTEISCGSPGETNHIVMYSDNTQGLPTEQSNTACEAELDLVSTESQICAAPPQPIGLDLLRPPDSGSYQTYSSQVLTADGARREQRMLGRLQDEKFILRPELYRWLVELEKDKSTKLDRKTVDRMLKKLQQQGHCKCMHINVPVVTNCGRSRITQVVLHPSVEALHPELLSEIHDRLRSFEMQVRGHATSKLKSNDSIPVLDGVQRTLNHVDLEAKAAKSEAMRANGFVLAKMVRLKLLHRFLWGFLSSFHGWNDVLSLERYLHGQKNLHGSCILFSLEAAIKAIPLELFVQVVGTTLKFDDMIEKCKKGFCLSDLPIDEYRLLMDTQATGRLSLLIDILRRLKLIRLVPDECSDNRLKAPHAILTHAMELKPYIEEPLSLVATSTSSSLDLRPRIRHDFIFSNGEAVDDYWKTLEYCYAAADSRAALHAFPGSAVHEVFLNRSWASVRVMTSDQRSELLKRIMKDNLNEKLSYRDCEKIGKDLNLTLEQVLRVYYDKRQKRLNRFRGVPNGNGEQYQGERDKESSARKRKRSTVKSIEGIKADARVIQLDEKEGGTLSDGNDEPKEDYCLASSVGPDAFQAYQEADLVEAVNKPGSHEEDEERYSLIIQNSFLKMKPTRRKRILWTDEADRELVTQYARYRAALGAKFHRVDWTSLDGLPAPPRACARRMTNLKRSAKFRKALMQLCNVLSERYMMHIEKRQNRSSNNSDCRLLRFSSIEHGMEHGEDVGFEKERWDDFDDRKIKSALVDVLHFKQIAKLEASKRKQQGPEMVLATTQDGSIGIPGAEQHKGSNQSSQHHRFHQKLVKLWNIGNGVGRQVHESLAVSNAVELFKLVFLSISIAPPSTNLLTETLRRYSEHDLFAAFSYLRDRKFMIGGTCGQPFVLSQQFLHSISKSPFPCNTGKRAANFSAWIREREKDLMEGGINLTADLQCGDIFHLFSLVFSGELSVSPCLPDEGVGEAEDLRSLKRRAEDNELCDVDKAKKLKSIAEGEFVSRREKGFPGIMVSVYSSTFPAANSLELFTDEETFNPELLNEESSTNLDHMKEMIEFRNSVTTASKSAESPWEAMAGYTEHLLSKPSDEGQGSHVDSEIIKAVCTEIQKAGDQGLSIEDVYSLVKMPGEKTPEIIIDTLQAFGVAKKVNAYDSVRVVDALYHSKYFLASVSIFHRDLKTPLLLTSQAKDGGNSVQQDTKSLVAAKLLGSSSVSDVHKVTILNLPEEHALPSNEVPTSNANEICMYGEVGLSQGDDEGMICEPFSGERLVPILPWLNADGTINSMVYNGLIRRVLGTVMQNPGILEEDIVCQLDVLNPQSCRKLLELMILDRHLIVKKMLQRTGSGPPALLATLVGSSCRKSKMVCREHFFANPTSTFFL >KJB75143 pep chromosome:Graimondii2_0_v6:12:3294491:3296391:1 gene:B456_012G026500 transcript:KJB75143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMNGVGSSEERSLEQGLEPSLSCSSSVQHKAKLRADEDTEISIFDAQRYFNETNSDIRVCKRVSPLNMPNLELDPISSAPQRDVSSTSCADGYGYGYGMTYRVRSFHATPTASSEASWNSQTGLLSNPPGAIAVSMTMKNPTTDHKRKGCGKLKWLWGRSCPCSGNKSVQVEPKTPLGMNQKSSSVADGDNKREERLLASNPHRMSAENQFDSSLGRQRVVASVSASARPRPPMISDGYSGTAVAGFTFPILNQQPTSSRRKMAVPLNRNHNSLNDGGEDPPRDSLEVFRPSDQSSSISVPKKLVSITDDDVGSDTSSDLFEIESFSTTTQGQTTSNPLYHRRDSMEEASSFNIRRSIVLAAAASPMMSECYEPSEASIDWSVTTAEGCGEAEENITAVGKGGKKKSGNGGLLSCRCEKAVSVGPNPVKYVPPQRQAGTTINHVGCAT >KJB77938 pep chromosome:Graimondii2_0_v6:12:33899277:33899729:-1 gene:B456_012G168800 transcript:KJB77938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHSGIIFFKELTDTDVKKRLVVPKEYQDFFLPSYGRLPAKIKLMYDGKIWEVKCTVRTKGYLKPVLSVGWKMFVVANELKVGDRITMYKDEDGFSHYMVEVEKPPAGNQHGTLPNSPAPSFIHHEPDETTVEYRREVPKNTTSLILHR >KJB76920 pep chromosome:Graimondii2_0_v6:12:25721095:25721643:1 gene:B456_012G112600 transcript:KJB76920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDLVCMSWRSESIWIEFIVGSRKTSNFCSAFILFFLVSLGFLLVGTSSYLVCIFRWVFPGKNRRIFLQFLMKDIQSIGIEVKEGIYTRHVLYMKIRGQRDVPLTRTDQNLTPHKIEQKAAELVYFLRRPIEVF >KJB75446 pep chromosome:Graimondii2_0_v6:12:5339293:5340281:-1 gene:B456_012G0424001 transcript:KJB75446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLYIRDLLVFLCTSSVLAIELLFLLRELRAGDLHVMKDKIMLVLLEVSIKVWISVAGILYKDMLRVKALHIRDMDVVVEPGIGWMELNEYLEPYGLFFPLDP >KJB75178 pep chromosome:Graimondii2_0_v6:12:3651086:3652909:-1 gene:B456_012G029300 transcript:KJB75178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGSVEDHLQGKKAQEKPLEWIPRMKIAYGAAQGLEYLHDKTNPPIIYRDFKSSNVLLDEHLNPKLSDIGLDKLDPSTDKMPLQSRSMGTYGYSAPEYSRSGRLTPISDVYSFGVVLLELITGRRVMDTTKPVDEQNLVAWAQPIFREPKRFPEMADPLLKKRFPERDLNQAVGIAAMCLQDEATARPLMSDVVTALSFLSMAKPEICIPPISSKPQSQQCVNHEKLNKQDSESDNESDHDDDDDEDEDDDDDDDCSSRSHSRSSSIRSRSMNSNGESRVSRDYSRRSNHGSSRLREEISSHGGNVSSRREHRRKSKPSISQDYSQGAEGSSEEDRRKSTKLSSRKSSESAHGNSSKNRRQYSKKVTNNPRSSNGES >KJB75715 pep chromosome:Graimondii2_0_v6:12:6961410:6962975:1 gene:B456_012G053100 transcript:KJB75715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGQDWISVLPDEILHHILSFLTTKQSIQTCILSKRWIDLWKFTPVIDIQMATPYHETTPSERTFIHNTLTQHQAFKLHKFSLDFSYEALYAVANVQLQHYIEFAISRQVQILSVSIELLFEYFCYVLPNVFYSHDNVPSLEQVSLKGFLFNPNPPCDLPFASLKALCLFDCAIDDGTLKGLLSYFPVLESLTIDLCHRLLNFKASWCQSMTLKHLVVRCMDNPGFNLEIDSSTKIFRSRYYPYMQNFDTRTWLRNLAHVKKFGVNSWFSQFLAREYEVNNNGWKIFENLKVFAWFGPLGKECDLIALIDFLVHCPSLEKIEIDFRFSFSEEAGEHRPPFALERPFVENSGDGQPTVGFLDNVKKIIIHHFFGYKSEIEFIKHLMQKAV >KJB77028 pep chromosome:Graimondii2_0_v6:12:27005240:27009241:1 gene:B456_012G1172001 transcript:KJB77028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRSLHLDGVKISARGNEWCKWLSSLTNLEELSMSNCNLSGPIEDSLQKLKNLSIIHLSGNNLSAVVPAFLAHLPNLTSLRLSSCGLHGQFPREILQVRMLQSLDVLDNEKLQGSLPEFHHNGSLQNLVLSGTNFSRSLPQSIDKLVNLTRLDLSSCNFSGAIPSSVSNLQQLVYLDLSSNNFTGQIPCFDLSKNLAHVDLSYNKLSGKIESFKWEDLPNLTHIDLRHNSLNGNIPSSLLALPSPKRVLLSNNQFDGEVTGVPKVRESLLDTLDLSYNQLQGPIPAYVFELSRLSVLVLSSNNFNGTIRPRDIRKLVNLTYLDLSHNNLSVIATESYSVLSSFPKITTLKLASCKLNVFPDLKNQSRLTYLDLSQNQISGEVPNWIWSVSDDLRHLNFSFNQLEGLQKPYQIVPNLSVLDLRFNRLTGHIPTLPLSASYLDFSSNNFTSSLPSNIGNYLSYTIFFSVSSNGLTGFIPKSICDAGYLQVLDLSKNSLRGAIPKCLIGKMDSLGVLNLRGNHLSGEIPDAFPSKCSIETLDVNGNELRGKIPKSLANCNRLEVLNLGNNHINDTYPCHLKKITSLRILVLRSNKFHGGIGCPADKRLWPKLQFVDFAHNSFNGKLPNKFVARWKAMEVYDDEAQLNVKHLRFEVLRLTGIYYLDGITVTNKGLQMELVKILTIFTSIDLSCNNFEGPIPDVIGKFKALYVLNLSHNALSGKIPPSLGNLQQLESLDLSCNNLSDSIPQQLLKLTFLAFLNLSYNQLEGRIPDGKQFATFTNDSYVGNEGLCGNPLTKQCNDANHSQDLRPRASKKTQNDEFDWQFIFIGVGFGVGAAVFVVPLMFWKRASQWVDDNVDNFLAENLPKMGLVYARPCYDNVDTDGNIEHDKKRHDDDDDDDDKESDESTGEFRGRYCVYCSKLDETRKKTIHNLGCICHDSPPSLSPSSSTSSSFSP >KJB76060 pep chromosome:Graimondii2_0_v6:12:10154377:10154993:1 gene:B456_012G069200 transcript:KJB76060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFDVQTLIKDKRFWFASFLIAWAAALQGHMMWMQRQDSFKQKFGTPNQSNDDETKNMSQS >KJB75873 pep chromosome:Graimondii2_0_v6:12:8820777:8822795:1 gene:B456_012G062300 transcript:KJB75873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEASVTRIMVAVNESTIKGYPHASISSKGAFEWTLQKIVMSNTSGFKLLFLHVQVPDEDGFDDMDSIYASPEDFKSLKSRDRARGLRLLEFFVTRCHEIGVACEAWIKKGDPKEVICHEVKRIQPDLLVLGSRGLGPFQRVFVGTVSEFCVKHAECPVVTIKRRLDETPQDPVDD >KJB75782 pep chromosome:Graimondii2_0_v6:12:7902136:7904956:1 gene:B456_012G057800 transcript:KJB75782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQHFLGFFLLIFLHSCLVSADINGSVLKMKTGTSSVPFDPTHVTQLSWHPRAFIYKGFLSSDECDHLITLAKDKLEKSMVADNESGKSVESEVRTSSGMFLQKAQDEVVADIEARIAAWTFLPVENGESIQILHYEHGQKYEPHFDYFHDKANQQLGGHRIATVLMYLSDVESGGETVFPNAEGRLSQVQDENWSACAKNGYAVKPRKGDALLFFSLHPDATTDTASLHGSCPVIKGEKWSATKWIHVRSFDRSKRLNRRAAMGECVDENENCAGWAKAGECKKNPTYMVGSGGSPGFCRKSCKVCS >KJB76470 pep chromosome:Graimondii2_0_v6:12:15431676:15433409:1 gene:B456_012G090100 transcript:KJB76470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKLKIQRLEDLKARQAKYSKRKTGILKKAKELNILCEVNVALLFSSPSGRPTLYVGKNSNGLSSILKRLSNLSFEEREERRAYTIEMLKKIYENSESEFDPLSLSHDTNADTLKLYKDELQELKDKLVEKSKILRDWRNPNNVEDLNQIKMMEDHLIASLNGLRSRKNQLAMEQQIRERESEVRLSISTKLFMHFQL >KJB75096 pep chromosome:Graimondii2_0_v6:12:2938865:2940806:-1 gene:B456_012G023800 transcript:KJB75096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELALLVVKMSGTCLLIGLIGMVIQLFDSMIWKPQRLRAKLRKQGIQGPPPTFLLGNTLDIKKAQSQSSSVLAQQHITHNNSSTVFPFFHQWIQQYGPTFLFSLGNIQILHITDADLVKEIITCTSMDLGNPTYQQKERGPLLGKGILTSNGALWAHQRKIIAPELYMDKVKGMTHLMAECSVMVVKGWKSKIDSHGGAADIKVDDCLRRFTRDVISRACFGSNYSKGEEIFFKIRALQEAMSKKVLSNGFPGMRYLPTKSNREIWRLEKEVRALILKTVYETKDETSKEDLLQMILKGAESSDLGPDATDNFIVDNCKNIYFAGYETTAITAAWTLFLLALNPDWQDKVRAEVVQICEGKIPDADMIRRMKTLTMVINETLRLYPPGAIISREALEDMKFGKLEVPKGVNIWLMPVTLHQDPEIWGPEAHKFNPERFANGVSGACKFPHVYLPFGFGPHTCLGQHFALAELKLLLALALSNFTFSPSPNYRHCPSLSLIIEPKYGVNLIVRRL >KJB74961 pep chromosome:Graimondii2_0_v6:12:1805253:1806733:1 gene:B456_012G015800 transcript:KJB74961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDSKGEELQHQKGLQIKQDDKFFSRLMSKETSMANSSCRVYYGGASGAVPFMWESHPGTPKHPSCDTAIPPLTPPPSYYSSFNSKSKQTKGLKSTLLNSIFPRLITARKSQASPSSSSSRSSTSSSSSLSPCSSLHDAGSSPLPKSSINRKLFHRQMSYFSCSRSPVHSCMDDDDHHHENEGLGSPTSTLCFGVKPRNLNVFKGCQSMINMKKALLSMVSHGSGQGTSN >KJB77048 pep chromosome:Graimondii2_0_v6:12:27286831:27287441:-1 gene:B456_012G118900 transcript:KJB77048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKKNKNTSTLRGCIKKSKGPWVVHRTTKEGGRVTRYRFPTEDERLKNKQRERKRRAVAHKIFAGLKEHGNYNLPKRADSNDLLKAVCEEAGWHVEADGTIYRKKSRATMAVSMVHSSCQFVEDIGQSRDEDYCICNDDGNVAAPTLLSLGLGRSHECHDINLMLSLSISSSFT >KJB76840 pep chromosome:Graimondii2_0_v6:12:24840977:24844089:1 gene:B456_012G109700 transcript:KJB76840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLVFLFASSLLLMVAATTVSAATVEHSFYVKNFTVTRLCNRQVITAVNDSLPGPSLRVREGDKLIIHVFNKSPYNMTIHWHGVFQLLSAWADGPEMITQCAIRPGNKYTYKFRIINQEGTLFWHAHSSFLRATVHGAIIIRPRVRHSYPFPTPYREVPIVLGEWWNANVVDVETQALALGVGPNLSNAYTINGWPGDLYPCSQNQMYKHRVKQGKTYLLRIINAAVSSHLFYKIANHNVTVVAVDARYTNPYVTDVMVISPGQTVDVLLTANQPVGSYYMTANNYASGSGTAVGVPFNPTTTRGVIIYQGASSSSTPLMPVVPAFNDTPTAHKFFTELTSLVGGRHWVPVPLNVDHKMFVTVGTALDVCPPNVSCLGRPPVGAALSASMNNVSFVSPTSLSLLQAFFFNIGGVYTTDFPANPPVQFDYTNPSINLDPPLLFAPKGTRITKVKFNSTVEMVMQNTAIIGVENHPMHLHGYDFHVLAQGFGNFNPATDTSKYNLVNPQMRNTVSVPVGGWAVIRFVANNPGVWFMHCHFDGHMAIGLSTAFIVENGPTPDTTLPPPPTDLPQC >KJB77119 pep chromosome:Graimondii2_0_v6:12:27610911:27612136:-1 gene:B456_012G121000 transcript:KJB77119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESNVMVLGTWASPFVLRVKIALHLKSVNYENHEENLPESKSDLLLKSNPVYKKVPVLIHGHNPICESLIIVEYIDEVWTTSASSILPSDAYERAQSRFWAAYVEDKFSAALRRVLFGATEEDKRAAMAEVSEGMVLLEEAFVKLSKGKAFFGGENIGFVDIVFGSLLAWIEVIEKLSEMKLISEIKTPGLVQWADCFSAHEAVKDVSPDVDKLVEYALKIGARVLKATAASK >KJB76696 pep chromosome:Graimondii2_0_v6:12:22251530:22258577:-1 gene:B456_012G1014002 transcript:KJB76696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLNRCLFPGSTTYNTFKSCTNPHCFELDSIRFLGTSGQNIDDLTKYSEAKDKLDFLERTLRWRHLAPTAPNTLGCYPFTDRDPFLIDSCPDVYFVGNQEKYETCLLKGLEGQLVRLICIPRFCETGVAVVLNMRNLECHALTFGTQISS >KJB76698 pep chromosome:Graimondii2_0_v6:12:22257172:22258577:-1 gene:B456_012G1014002 transcript:KJB76698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLNRCLFPGSTTYNTFKSCTNPHCFELDSIRFLGTSGQNIDDLTKYSEAKDKLDFLERTLRWRHLAPTAPNTLGCYPFTDRDPFLIDSCPDVYFVGNQEKYETCLLKGLEGQLVRLICIPRFCETGVAVVVSVFHLPGC >KJB76697 pep chromosome:Graimondii2_0_v6:12:22255881:22258577:-1 gene:B456_012G1014002 transcript:KJB76697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLNRCLFPGSTTYNTFKSCTNPHCFELDSIRFLGTSGQNIDDLTKYSEAKDKLDFLERTLRWRHLAPTAPNTLGCYPFTDRDPFLIDSCPDVYFVGNQEKYETCLLKGLEGQLVRLICIPRFCETGVAVVLNMRNLECHALTFGTQISS >KJB77347 pep chromosome:Graimondii2_0_v6:12:30495916:30496338:-1 gene:B456_012G133200 transcript:KJB77347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQRALVCLAAIAMLFQLAMAANHTVGAPGGGWDTSTDLQAWAVSQTFSVGDNLIFKYTTNHDVLEVTKANYDSCGTSNPMKTHTGGNTVIPLSTPGKRYFICGSRTLWPRNED >KJB75108 pep chromosome:Graimondii2_0_v6:12:3117826:3118490:1 gene:B456_012G024800 transcript:KJB75108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFTKSLTLSFRLFRNILADELVVVVIVSLVPSVVPILVMFLGLFTSGIQALIFATLAVAYIGESMEGHH >KJB76018 pep chromosome:Graimondii2_0_v6:12:9820526:9824632:1 gene:B456_012G067800 transcript:KJB76018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNNLKVPKMPGGGALPALLKIGVIGGLGLYGVANSLYNVDGGHRAIVFNRILGIKDKVYSEGTHLMIPWFERPIIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPKSTQLTEIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQRENVSKEIRKILTERAAYFNIQLDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKKSAVIRAQGEAKSAQLIGQAIAKNPAFITLRKIEASREIAQTIANSANKVFLNSKDLLLNLQEMDLESHPK >KJB76020 pep chromosome:Graimondii2_0_v6:12:9820594:9824433:1 gene:B456_012G067800 transcript:KJB76020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNNLKVPKMPGGGALPALLKIGVIGGLGLYGVANSLYNVDGGHRAIVFNRILGIKDKVYSEGTHLMIPWFERPIIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPKSTQLTEIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQRENVSKEIRKILTERAAYFNIQLDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKKSAVIRAQGEAKSAQLIGQAIAKNPAFITLRKIEASREIAQTIANSANKVFLNSKDLLLNLQEMDLESHPK >KJB76019 pep chromosome:Graimondii2_0_v6:12:9820251:9824632:1 gene:B456_012G067800 transcript:KJB76019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNNLKVPKMPGGGALPALLKIGVIGGLGLYGVANSLYNVDGGHRAIVFNRILGIKDKVYSEGTHLMIPWFERPIIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPKSTQLTEIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQRENVSKEIRKILTERAAYFNIQLDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKKSAVIRAQGEAKSAQLIGQAIAKNPAFITLRKIEASREIAQTIANSANKVFLNSKDLLLNLQEMDLESHPK >KJB76021 pep chromosome:Graimondii2_0_v6:12:9820396:9824433:1 gene:B456_012G067800 transcript:KJB76021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNNLKVPKMPGGGALPALLKIGVIGGLGLYGVANSLYNVDGGHRAIVFNRILGIKDKVYSEGTHLMIPWFERPIIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPKSTQLTEIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQRENVSKEIRKILTERAAYFNIQLDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKKSAVIRAQGEAKSAQLIGQAIAKNPAFITLRKIEASREIAQTIANSANKVFLNSKDLLLNLQEMDLESHPK >KJB76091 pep chromosome:Graimondii2_0_v6:12:10389072:10391964:-1 gene:B456_012G070400 transcript:KJB76091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSLWVPPEDYQNNSNNNNNNLWGFSHESEHDLALMVSDFLENNAGSAGADSWCSSDSDSGFSDLIHLADKISYYKHPVGQYEIDLSSVVHSLVFSISETDLHFVKSGQCNTSCIRYSLVKLLRLSGYDAAVCASRWQGSGKFPGGDHEYIDVVNYNNGCSERLIIDIDFRSHFEIARAVDSYGRILNSLPVVYVGSLTRLKQLLQLMVDAARSSLKQNSMPFPPWRSLAYLQAKWHSPYQRKFSPDEHDISGNILSAHKQCNGNLRRLQSSLQSELEAERLLKPVNVDCNRRLKLDRRRQSFKAL >KJB77810 pep chromosome:Graimondii2_0_v6:12:33310953:33312935:-1 gene:B456_012G160700 transcript:KJB77810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTSSSSSSAITALLKPHLQLSNEPIISFSPSFFTLSSKPLLSSSKPNVKINFFSSQTAVSLKWRTEASIFSGLFTKSKDIKTLKDELFDAIAPLDRGAEAAPEDQQRVDQIALELEALNEIKEPLKSNLLNGKWELLYTTSQSLLQTKRPKLLRPNGKIYQAINVDTLRAQNMETWPFFNQATANLVPINARRIAVKFDYFRIAGLIPIKSPGSGRGQLEITYLDEELRISRGNRENLFILKMVDPSYRVPL >KJB75693 pep chromosome:Graimondii2_0_v6:12:6790416:6791353:-1 gene:B456_012G052400 transcript:KJB75693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAPILDLSSPSTYNFLILVNVSPSISTSRWPIHSPNCIVWQHTRASTINANGMCSFISNHQTYAGLLEMVLKGDIKFDLHYRALRQPPSLQLQPPRQYVLGKCLHLLNCHIPYEANQSRKTCFVVSDPSPHLGHLVEPITLRTVN >KJB75277 pep chromosome:Graimondii2_0_v6:12:4352501:4356669:-1 gene:B456_012G035300 transcript:KJB75277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEKSNYGAIMVYFTKPSWAFLVLLFTVIAILSLQISPKAIILPFPLFPVPGSSELDFESDFDPGSCVATFGEFPERKHVMSLKDFGGVGDGKTSNTAAFRKALDYMQRFSEKGGAQLNVPQGKWLTGSFNLTSNFTLFLEQGAVILGSQDPEEWPIVEPLPSYGRGRERLGGRHISLIHGDGLTNVVITGNNGTIDGQGRIWWELWWNRTLNHTRGHLVELMNSRNILISNLTFRNSPFWTIHPVYCSNVVVKGMTILAPLSAPNTDGIDPDSSTNVCIEDCYIESGDDLVAIKSGWDQYGIKMARPSSNIKVRRISGTTPTCSGVGIGSEMSGGIFNVTIENMHVWNSAAGVRIKTDKGRGGYIANITIRNIMMERVKIPIRLSRGSNDHPDDRWDPKAIPKIRGIFISNIVSLNSTKAPVLAGIRGASFEGLCFKNVTLLGLSPNAAWHCEFVSGCTNAVFPLPCPHLQNNGSSTCCS >KJB75278 pep chromosome:Graimondii2_0_v6:12:4352509:4356479:-1 gene:B456_012G035300 transcript:KJB75278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEKSNYGAIMVYFTKPSWAFLVLLFTVIAILSLQISPKAIILPFPLFPVPGSSELDFESDFDPGSCVATFGEFPERKHVMSLKDFGGVGDGKTSNTAAFRKALDYMQRFSEKGGAQLNVPQGKWLTGSFNLTSNFTLFLEQGAVILGSQDPEEWPIVEPLPSYGRGRERLGGRHISLIHGDGLTNVVITGNNGTIDGQGRIWWELWWNRTLNHTRGHLVELMNSRNILISNLTFRNSPFWTIHPVYCSNVVVKGMTILAPLSAPNTDGIDPDSSTNVCIEDCYIESGDDLVAIKSGWDQYGIKMARPSSNIKVRRISGTTPTCSAGVRIKTDKGRGGYIANITIRNIMMERVKIPIRLSRGSNDHPDDRWDPKAIPKIRGIFISNIVSLNSTKAPVLAGIRGASFEGLCFKNVTLLGLSPNAAWHCEFVSGCTNAVFPLPCPHLQNNGSSTCCS >KJB77131 pep chromosome:Graimondii2_0_v6:12:27861101:27862047:-1 gene:B456_012G122000 transcript:KJB77131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPIINRIIDFESDINSLNNPSFISQVYSLFGIEEIYEAYNLWDWGALILVLVASLSTIINTLKILIIRSRRRHSLPSQPLLDDTDFDTDTDSSCVSSDDELEYEEPSTSHEWQQVDENFRVRGSGYLIDHQLKSRDFTLQKKLSSIGGIGFRLDLDDSHNVLNMYDANKETKLTSIFGRDSVIHAVSTSSSSTAIVVSAGADSLSSRVAVSAWDRRLHSSSPVILSEWRPKRSIEKITTVNTKGMEQVYIKGDVVGKLTVGDMRKVSSSLMSSNVETWWDADAVWHLERVD >KJB75080 pep chromosome:Graimondii2_0_v6:12:2790723:2793411:-1 gene:B456_012G022800 transcript:KJB75080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTNTFMVLRVIEDVVASSAELAKAYMGSRTPKESVSRPGLQNQVSRGDLTCPSNKNFPSMSSTMSLVPRSSGLVGNLGNSFVTPKIKGQSSGTASDAFGGSSSSSESAWEQKRISGLYTRVTYSGSFCATTAPGTTNYLSDKTDKESNLNGIFCRTPEAAITSSVSTSISAGIPSNCQSSSSATATKNDSSAATITSASATANASNSFTSSLSVEASIPSFTGPPVFKFSSSGDPSTSVSTLSATSGEATESKTQDTKLGNVGIFPFGSTSAFTVSGSSIFGGTSAASSSAGTTAEVASSGTSSSSGISSTITNSGSGFFSSTFSPVTSTSNGIFGGTSASTSTGNGIFGCTSATTSTGTGLFGDTSATTSTGNGISGGTSATSTVSSIFGGTSFPESGTGSSIFAAKAAVTITGSNIFGFSAPATSTSASQSQGLNPFNAVNTQASAAGTGIGSSTQSTPIQFSSFASSSSFGLTGNATFSSGSSIFGSSASVAKPFSSGSSFGISSSFSETKSLSSSSGIAGGAFGSTWQAPKTPTFGSSSGFSFGSSTSVSAPSGASSIFGSSTGASSSSIFSFTSAAAATPSLPVFGNTSPGLVFGSTPSSNNDQMEDSMVEDTVQASPAVVTFSQQPISPPASGFVFGSSNPPAAGSVPFGTRPSMAAPQNPSPFLASGSLEFGGGGSFSLGTNGGDKSARKYVKVRKQRKK >KJB76544 pep chromosome:Graimondii2_0_v6:12:16801615:16803539:-1 gene:B456_012G094100 transcript:KJB76544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPGKKVVDVAFKASKNIDWEGIAKLLVSDEARKEFATLRRTFDEVNSTLQTKFSQEPEPIDWEYYRKGIGSRLVDMYKEAYESVEIPKFVDTVTPQYKPKFDALLVELKEAEEKSLKESERLEKEIAQVQELKQKISTMTADEYFEKHPELKKKFDDEIRNDYWGY >KJB77950 pep chromosome:Graimondii2_0_v6:12:33982494:33985535:1 gene:B456_012G169700 transcript:KJB77950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYVKTPRGLRTLGTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKKFESEDGKKDIQSQLEKLKKYCTVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVDFAYGFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKAGNESHAAMTDYDRTEKDITPIGGFPHYGVVKEDYLMIKGGCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGKLKA >KJB77953 pep chromosome:Graimondii2_0_v6:12:33982510:33985472:1 gene:B456_012G169700 transcript:KJB77953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYVKTPRGLRTLGTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKKFESEDGKKDIQSQLEKLKKYCTVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVDFAYGFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKAGNESHAAMTDYDRTEKDITPIGGFPHYGVVKEDYLMIKGGCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGKLKA >KJB77952 pep chromosome:Graimondii2_0_v6:12:33982510:33985472:1 gene:B456_012G169700 transcript:KJB77952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYVKTPRGLRTLGTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKKFESEDGKKDIQSQLEKLKKYCTVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVDFAYGFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKAGNESHAAMTDYDR >KJB77951 pep chromosome:Graimondii2_0_v6:12:33983592:33985472:1 gene:B456_012G169700 transcript:KJB77951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVGVVGYVKTPRGLRTLGTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKKFESEDGKKDIQSQLEKLKKYCTVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVDFAYGFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKAGNESHAAMTDYDRTEKDITPIGGFPHYGVVKEDYLMIKGGCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGKLKA >KJB75465 pep chromosome:Graimondii2_0_v6:12:5402897:5405374:-1 gene:B456_012G042700 transcript:KJB75465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSDAVIVNSSRLKSIVWNDFDRVKKGDTFVAICRHCKKKLSGSSTSGTSHLRNHLIRCQRRSNHGVAQYFSAKDKKKEGSLALVTIDQEQKNDEVLSIVNLRYEQEQIKSEHVGIGSNSLDQRRSQFDLARMIILHNYPLAMVEHVGFKIFVRNLQPLFELVTRNKVEADCMEIYAKEKQKVYEIFDKLPGKISVSADVWTASEDDAAYLSLAAHYIDENWQLKKKNLNFVTIDPSYTEDMHSEVIMNCLMDWDIDRKLFSMIFDSFTSDNIVERIRDRLSQNRFLHCNGQLFDVRCAVDLLNRMAHDALETLCEITRKIRESIRYVKSSEATQATFNELADEVQVETKKCLCIDNPLKWNSTYLMLEAASEYRKVFSCLRDRDPVNMKFLLSDPEWDRLITVTSFLKLFVEVTNVFTRSKYPTANIFFPEICDIHLQLIEWCKNPDEYISSLALKMRKKFEEYWYKCSSGLAVAAMLDPRFKMKLLEYYYPQLYGDSATELIDDVFECIKSLYNEHSIVSPLASSINQGLDWQASGSPGSGKDSRDRLMGFDKFLHETSQAEGSSSDLDKYLEEPLFPRNVDFNVLNWWKVHTPRYPILSMMARNILGIPISKVAAESRFDTGGRMLNHNWSSLPPTTIQALMCSQDWIRSELES >KJB77646 pep chromosome:Graimondii2_0_v6:12:32303613:32304490:1 gene:B456_012G148600 transcript:KJB77646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFLTLLLCSLLLCSSVFAPTMAQPRSPFCEGKCKGRCNKAAVWDRCFKYCGICCEECQCVPSGTYGNKHECPCYRDKVNNKGKPKCP >KJB78255 pep chromosome:Graimondii2_0_v6:12:35327187:35330644:1 gene:B456_012G186200 transcript:KJB78255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTLPATTDSIAQALEAKTPSEAISILYRVLENPSSAPDALRIKEQAITNLSDLLRQENRAEELRSFLTQLRPFFALIPKAKTAKIVRGIIDDVAKIPGTSDLQISLCKEVVQWTRAEKRTFLRQRVEAKLAALLMENKEYPEALNLLSGLIKEVRRLDDKLLLVDINLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGNIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPELDAMKAVADAHSKRSLKLFETALRDFRAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKADAIYPATLETISNIGKVVDSLYVRSAKIMT >KJB78254 pep chromosome:Graimondii2_0_v6:12:35327228:35330606:1 gene:B456_012G186200 transcript:KJB78254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTLPATTDSIAQALEAKTPSEAISILYRVLENPSSAPDALRIKEQAITNLSDLLRQENRAEELRSFLTQLRPFFALIPKAKTAKIVRGIIDDVAKIPGTSDLQISLCKEVVQWTRAEKRTFLRQRVEAKLAALLMENKEYPEALNLLSGLIKEVRRLDDKLLLVDINLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGNIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPELDAMKAVADAHSKRSLKLFETALRDFRAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKADAIYPATLETISNIGKVVDSLYVRSAKIMT >KJB75750 pep chromosome:Graimondii2_0_v6:12:7399315:7400439:-1 gene:B456_012G055300 transcript:KJB75750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCASSKQKRCRHCRAPYSPVPRSYSMHVHHPAQHTGDSYHVVALTSTTLGTLKLEDNHHSNGGTINVAAFENGSKINGDLKEVEEESKGLNMKVIEAKIWSKMLEDKIPKVVPKTPIRTPPGEPETINTWEMMAGLEDISPLRSPGHFRSFSFDVPKPKWLQIEDTDDNKADLGVTDFDPEIISSFRESLKKDKVVLYFTSLRGVRKTYEDCCDVRVILKSLGVRIDERDVSMHSGFKEELKELIGEGFKGGLPRVFVGEKYIGGAEEVRRMHEEGKLEKAVEGCEMVGDDGDGNGGGSGACEACGDIRFVPCETCSGSCKVYYEDDEREEQEQQEQQEEDDDENGGEKGEYGFQRCPDCNENGLIRCPICCC >KJB76214 pep chromosome:Graimondii2_0_v6:12:12478549:12479064:-1 gene:B456_012G077800 transcript:KJB76214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILCVTWRPPESGFFRINFDTAYNHEMFRSTSRMVARNDRGEVLASQATLEEATASHFAAEACACTQAVRLRIQMGARKVEIEGDALVIIKKCQSNNEDKSEIGAYIRDIKQLATNFQTIRFKYTQRSSNQMTHFIATECLKRGEEMYLERLIPLYAVDSLCPSRQREREPD >KJB76584 pep chromosome:Graimondii2_0_v6:12:18924145:18925107:-1 gene:B456_012G096300 transcript:KJB76584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSKRCSRFRRKVLVKRGRKIRRNGMTAEPVRTSIERKLRQLQRMLQPCCPGINMETLFQRTADYIFLLEAKLSLLQNLSTFYGV >KJB76680 pep chromosome:Graimondii2_0_v6:12:21935854:21937246:-1 gene:B456_012G100400 transcript:KJB76680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQEEPLYHHFSHHHPMVRTTSSISSRNIFCSGCKLSILPAKGYYTCKTCPSYLHQVCYNMPRKTRHPSHPDHLLTLNVVPLSSNRTFECNACGHGVNGFYYNCADCCICYHILCSALPLSVSITSHLHTLKLEFSSPSDLQCDICKKLACYNGWLYRCQICEFDAHLACAISNHITQSFRHPTPPLPNSSMRKTKHSSALLMETKQREDYVNEGTELMQLVSLGVTRNMSDNTVQENGIKTVVGWDERLHSPKRKLTTGNGQDEHFGSSSSKPDMASISPSLLSSDLSTAQSYQFSDGCFSIDLAGSYSGLDHTNQGRSESKHSDATALQKVKETRDERNNIMLHRITSNLEPTKQGTIYVKKESFDSRMSEAFLNRNSTHSEEQRNRKNMSNESRNVSENGRSEFSSWWNPFQCCLPQKYERSTIAFSGGRS >KJB75209 pep chromosome:Graimondii2_0_v6:12:3907525:3909888:1 gene:B456_012G031500 transcript:KJB75209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVPSNIILFLFLLVSFHVNVIAEEDEANIIKPGSSLYAGKQPSSWASPSGNFEFGFYRQGEGYAAGIWLVGRPENTIVWTAKRDDPPVSSNATLEFTTQGVLLLRTEDGVGNVIANLSGVQFVDSASLLDTGNLVLYENRSVVWESFDIPTDTILGGQNLSLDSELISSVSSSNHSTGHYHLLLKSGGLVANLNISSGAAYEYWVFPNDFSSPVLNLNESGVLALYSSQNFDQENVLASGSTTGNGTMIIYRATLDHDGVFRLYSHQLESNTMSNKWQNLDDECEVPGRCGLNSYFSTSRGNDTECYCYPGFIYIDENAKFLGCSQNFTVDGCETKRDVVIHHNSTTLDNVSWAGNLYSVKRNLEKEDCKKACEDDCSCGGALYSPNHCSMYSLPLKYGRKHVNITTTAFIKLIPGSTISPPPEKSQILISEGNQSLILTMGLSLGSVASLCFVIAICSFLLYRHRVQSYEKLLDSKSSGFAEQFTLRSFTFNELDEATQGFQDELGRGSFGAVYKGTLPGDGKSIAVKRLGMVKEGERDQFRTEMTAIGRNNHRNLVRLLGFCVEGSRKFLVYEYMSNGSLADFLFNRNERPVWKQRARIALDVAKGILYLHEECEVSIIHCNIKPCNILLDDSLTAKISDFGLAKLLRPNQRSSTSGAAWYSAPEWQNSAVLSVKVDVYSFGVILLEIICCRSNIEVEGRSADEILLSTFVYNCFVGGELNKLVEGEEEVDMKMVERFVKVGLWCIQDDPNLRPLVKNVILMLEGTMNVPIPPFPSLPHVTN >KJB76743 pep chromosome:Graimondii2_0_v6:12:23471932:23472311:1 gene:B456_012G104600 transcript:KJB76743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIFGFLLVFYLFTASITPGTVLILLAGRFMGKRVVFLKQLTSGLLLVTGGHYIFYCYPCFVIDLLTALKYWMYDICYSIASWRYEHVC >KJB76589 pep chromosome:Graimondii2_0_v6:12:17628888:17631244:1 gene:B456_012G095700 transcript:KJB76589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRYTKGTTSSPESLHKKRHLPIKGPLKEEFTFPACSAPFNSCRASTIVEVSKGPFLVAYYGGTSEGAPDVKIWLQTMGGRWYTPIVMDEEPNVPMWNPVLFKLPSDELLLFYKVGLDVQKWSGCMKRSYDKGVTWTQREQLPPGILGPSKNKPLLLRNGNLLFGSSVESWNSWGAWAEVTPDSGRTWKKYGPIYVKSQVLSVIQPVPYLTAKGHLRLLMRSFNNISRVCMAESFDGGQGWGYAIPTELPNPNSGIDGVKLRDGRLLLAYNTISRGVLKVSLSKDDGDSWQDSLTLEETQGMEFSYPAVIQASDGLVHITYTYNRTQIKHVVVQPN >KJB75671 pep chromosome:Graimondii2_0_v6:12:6650587:6652614:1 gene:B456_012G051300 transcript:KJB75671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKRFFVKFELMLMAILMVSMESSMAALHRVGGKLGWNPNVNYSEWSDHERFYVGDWLLFNFDKRYFNVLEVNETSYDNCNDQGFVKNITRGGRDVVELTQARPYYFLSSGGYCYHGMKVAVNVEMLPPAPEPAPVKNGSLTSDAVSLKIFHYMISFAVAYYYLVLLF >KJB77515 pep chromosome:Graimondii2_0_v6:12:31500573:31501726:-1 gene:B456_012G141500 transcript:KJB77515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNCFNQTVFLVTLLLAIAASSVRGHSTRVGFYSSTCPRAESIIKSTVESHFKLDPTIAPGLLRMHFHDCFVRGCDASILIDGPNAEKTAPASQFLKGYKVIDDAKFQLECECPGVVSCADILALTARDSVVLTKGTSWAVPTGRRDGTVSNASDTDGNMPGFQDTIDVLKQKFAAKGLNTRDLVTLVGAHTIGTAACEVFSYRLYNFTSTGADPSINPAFVSHLQALCPQNRDGSRQIALDTGSADRFDTSFFANLREGKGILESDQRLWTDDLTKKFVQRFWEVRGSLGLSFNVEFGKSMVKMSNIEVKTGTMGEIRKVCSKVNEY >KJB77514 pep chromosome:Graimondii2_0_v6:12:31500409:31501774:-1 gene:B456_012G141500 transcript:KJB77514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNCFNQTVFLVTLLLAIAASSVRGHSTRVGFYSSTCPRAESIIKSTVESHFKLDPTIAPGLLRMHFHDCFVRGCDASILIDGPNAEKTAPASQFLKGYKVIDDAKFQLECECPGVVSCADILALTARDSVVLTKGTSWAVPTGRRDGTVSNASDTDGNMPGFQDTIDVLKQKFAAKGLNTRDLVTLVAHTIGTAACEVFSYRLYNFTSTGADPSINPAFVSHLQALCPQNRDGSRQIALDTGSADRFDTSFFANLREGKGILESDQRLWTDDLTKKFVQRFWEVRGSLGLSFNVEFGKSMVKMSNIEVKTGTMGEIRKVCSKVNEY >KJB76221 pep chromosome:Graimondii2_0_v6:12:12534810:12536106:1 gene:B456_012G078100 transcript:KJB76221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYYQSSSWTDFSDKVSNDSSFIVPWTIPVEEIAEDTATTVYTSHSQAEKRRRDRINAQLSALRELIPMSHKMDKAALLKSAVEQVKDLKRKAAEISKAFNIPTEMDEVDWDRPQDINPNGSRQGNDSKDKIFIRASVCWDDRPEVFTELIKILKGLKAKHH >KJB75869 pep chromosome:Graimondii2_0_v6:12:8801925:8803062:1 gene:B456_012G062100 transcript:KJB75869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNDLYIVMRQHLKIFRIDVQLNTDRLGKPCALASQSSTPLRLPSLQPPSEGFNVAAADSSKQPVASCGNPSTTIDVFDPDPLRDGVLRVVIPEIYRC >KJB76139 pep chromosome:Graimondii2_0_v6:12:11415299:11415622:1 gene:B456_012G074600 transcript:KJB76139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELWPLVIECWFSYSVETYLVIKVSANELSSFIFTDSVVCAYQLMTSFIFIDLVIKVPPNEFSSFVFTNFQLWYREIMANGSKRARAFFNPNMTMIFSRNKHSRAW >KJB75159 pep chromosome:Graimondii2_0_v6:12:3419123:3419967:-1 gene:B456_012G027800 transcript:KJB75159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 45 [Source:Projected from Arabidopsis thaliana (AT3G56891) UniProtKB/Swiss-Prot;Acc:B3H6D0] MLGWFNGKTKASNAMSIVELAVHMDCEGCEKRIRRAISKIDGVDSLEIDMDKQKITVRGYVEETKVLKVVRRTGRKAEFWPFPYDTEYYPYASQYLDESTYTSSYNYYRHGFNESVHGYFPHQPYTTVPDQTVHLFSDDNVHAYCNVM >KJB78314 pep chromosome:Graimondii2_0_v6:12:35217136:35219089:1 gene:B456_012G185000 transcript:KJB78314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAATRSNPHPHILVFPYPAQGHMLALLDLTHQLALHGLTITILVTPKNLPFLSPLLSSHPSSITPLVFPFPSHPLIPPGVEHVKDVGNCGNRLIMAALGKLEDPLFNWFNSQSNPPVAIISDFFLGWTQHLATRLQIPRLAFFSTRAFLVSVFDYIWNNVEKVKCLSEVEFGHLPGSPVFKQEHLPSLFKLYKRSDPDWEFVKDGLLANTKSWGYVLNSFDALEGEYVQWLKTHVAHDRVFNVGPLSLIGPDVSDRGNSGSSSDLNDQVMTWLNQCPDGSVVYVCFGSQKLLRKEQMEALASGLEKSDTRFIWVVKPGTTQQHVEGFGVVPDGFEQRTAGQGLVIKGWAPQALILNHKAVGGFLSHCGWNSVSEAIVGGVMMLAWPMEADQFVNARLLVDDMGVGVRVCEGADSVPNSDELGRVISEAMTEGGGMKTKAKDLKDKAFAAVSHGGSSMNDLVRFVKELGQLGS >KJB78313 pep chromosome:Graimondii2_0_v6:12:35217031:35219089:1 gene:B456_012G185000 transcript:KJB78313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAATRSNPHPHILVFPYPAQGHMLALLDLTHQLALHGLTITILVTPKNLPFLSPLLSSHPSSITPLVFPFPSHPLIPPGVEHVKDVGNCGNRLIMAALGKLEDPLFNWFNSQSNPPVAIISDFFLGWTQHLATRLQIPRLAFFSTRAFLVSVFDYIWNNVEKVKCLSEVEFGHLPGSPVFKQEHLPSLFKLYKRSDPDWEFVKDGLLANTKSWGYVLNSFDALEGEYVQWLKTHVAHDRVFNVGPLSLIGPDVSDRGNSGSSSDLNDQVMTWLNQCPDGSVVYVCFGSQKLLRKEQMEALASGLEKSDTRFIWVVKPGTTQQHVEGFGVVPDGFEQRTAGQGLVIKGWAPQALILNHKAVGGFLSHCGWNSVSEAIVGGVMMLAWPMEADQFVNARLLVDDMGVGVRVCEGADSVPNSDELGRVISEAMTEGGGMKTKAKDLKDKAFAAVSHGGSSMNDLVRFVKELGQLGSIRR >KJB78312 pep chromosome:Graimondii2_0_v6:12:35216970:35219263:1 gene:B456_012G185000 transcript:KJB78312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAATRSNPHPHILVFPYPAQGHMLALLDLTHQLALHGLTITILVTPKNLPFLSPLLSSHPSSITPLVFPFPSHPLIPPGVEHVKDVGNCGNRLIMAALGKLEDPLFNWFNSQSNPPVAIISDFFLGWTQHLATRLQIPRLAFFSTRAFLVSVFDYIWNNVEKVKCLSEVEFGHLPGSPVFKQEHLPSLFKLYKRSDPDWEFVKDGLLANTKSWGYVLNSFDALEGEYVQWLKTHVAHDRVFNVGPLSLIGPDVSDRGNSGSSSDLNDQVMTWLNQCPDGSVVYVCFGSQKLLRKEQMEALASGLEKSDTRFIWVVKPGTTQQHVEGFGVVPDGFEQRTAGQGLVIKGWAPQALILNHKAVGGFLSHCGWNSVSEAIVGGVMMLAWPMEADQFVNARLLVDDMGVGVRVCEGADSVPNSDELGRVISEAMTEGGGMKTKAKDLKDKAFAAVSHGGSSMNDLVRFVKELGQLGR >KJB74900 pep chromosome:Graimondii2_0_v6:12:1521143:1524411:1 gene:B456_012G013500 transcript:KJB74900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGTNPKVRLVRCPKCRLVLQEVPDFPVYRCGGCDAILVAKTQKSIAKSTSVLQAADNLSIEGHHNKDRDTSRSSNSYSYSDCEKLDENGSNKGQKNGKGQLQLENLEYWNAEQQGVSSDTFLKSTELHHESVAEANNDTLPLAANDNPQATREINLAVSDDLLEQPQKTELVFDRLRSVDTFETLDFGSPSSGLSGPDGGMDDQKLHSLKNSYKAASYLVPEETHPRDKLPARGTMDGSSGMQDPARNLSSDLSKKKHFATQKYIRRHRDEPLEPGNWPRLDIDECPPHISFSRKASLRRYEHAGPSGESQDEFPFDSTFYPHEKIECTEHENMKLLRMLHELQDQITKTCHLNGRSSTDAPWRQNPFPTYCCQEPPEDENFYPRYHGRHGQKSSWSQQGGFACMPFSGGGIDTRYSIDNSCLYCHPQDWKLSSEQLHPPIFRHDRELCRAHLGHSCCNSSSSCSSTPLGYLESDFSNWNHVIKSDDQRYKDHELKRYLKEKHHSVRRHLRPTAGGAPFVTCYFCFTTLRLPADFLLFKRKLHQLRCGACSKVLKFSFVKGIHIVPYELVAAAPPPCEVSDRSEAINAAVSTLASCSHGVLQADPMSNSDDCSHTFSKSCFTDGDPVSPGNTDTKTMFSSSYEHMEQRKDFVLKQSQNKHKTSTETFDSAEPSSTMSRSEEVSLEELPPTGGISLHHLMGYSSPNQLIYGLVSSISGTSSVHSGETSD >KJB77485 pep chromosome:Graimondii2_0_v6:12:31308692:31312006:-1 gene:B456_012G139400 transcript:KJB77485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAVFMWETHPLYPFILFLNRDEYHKRPTEPLIWWEGGEILGGRDAQAGGTWLASSRDGRLAFITNFRELQSIPQAKSRGNLPVDFLQSKKKPIEFAEEVVKEADQYNGFNLILVEVRSKSMVYLTNRPEKTGNFVTQVSPGIHVLSNANLDSPWLKAQRLDHNFKEVLARYGKDELPLKEMVGQLMMDTTKDDLSLLPHIYSPETEYDLSAIYIDTTSPQGRYGTRNQSALTVKSNGEVCFYERYLDKDRWKENTVTYQIEMTTK >KJB78017 pep chromosome:Graimondii2_0_v6:12:34282636:34283076:-1 gene:B456_012G172900 transcript:KJB78017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFHCPIVNIDKLWSLIPEDVKAKATKDAAPMIDVTQFGYFKVLGKGVLPENQPVVVKAKLVSKTAEKKIKEAGGAVVLTA >KJB76034 pep chromosome:Graimondii2_0_v6:12:9939565:9944493:-1 gene:B456_012G068000 transcript:KJB76034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYNLTLQQATGIVSAINGNFSSGKVQEIVVARGKILSLLRPDDLGKLQTLHSVEIFGCIRSLAQFRLTGAQKDYIVVGSDSGRIVILEYNKEKNVFDKVHQETFGKSGCRRIVPGQYLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTIVYSICGVDCGFDNPIFASIELDYSEADQDSTGQAANEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPAERGVLIVSAATHKQKSMFFFLLQTEYGDIFKVTLEHGNEGVTELKIKYFDTIPVTASMCVLKTGFLFAASEFGNHALYQFQAIGDDPDVESSSSTLMETEEGFQPVFFQPRGLKNLVRIDQAESLMPIMDMKISNLFEEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVSDAFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIVKVGSNGLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQILSVQSVSSPPESLLFLEVKASVGGEDGADHPANLFLNAGLQNGVLFRTVVDMVTGQLSDSRSRFLGLRPPKLFSVKVRGRPAMLCLSSRPWLGYIHQGHFLLTPLSYETLEFAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNETAIPLRYTPRRFVMQPKRKLLVIIESDQGSYTAEEREAARKECFEAAGMGENGNGNVNEMENGGDDEDKEDPLSDEQYGYPKAESNKWVSCIRILDPRTATTTCLLELQDSEAAFSVCTVNFHDKEYGALLAVGTAKGLQFWPKKSLVAGFIHIYRFLEDGRSLELLHKTQVEGVPLALCQFQGRLLAGIGSVLRLYDLGKKRLLRKCENKLFPNTIVSIHTYRDRIYVGDIQESFHFCKYRRDENQLYIFADDVVPRWLTASYHIDFDTMAGADKFGNVYFVRLPQDVSDEIEEDPTGGKIKWEQGRLNGAPNKAEEIVQFHIGDVVTCLQKASLIPGGGECVLYGTVMGSLGALLPFTSRDDVDFFSHLEMHMRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPLDLQRKIADELDRTPGEILKKLEEIRNKII >KJB77708 pep chromosome:Graimondii2_0_v6:12:32646073:32647356:-1 gene:B456_012G152200 transcript:KJB77708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NDTDLAEIGAAMVALDVFFYMKWKLNDYLFIALGSLVVFYWCINKSMRLWSLQATFTDIERDIENDRASCGGVLRDNERVARTLFSSSVATNDTNLAEISAVMVALDVFLDMKWKLNDYLFIELGSLVVFYWCTNKSMRLWSLQATFADIERDIEKVGNVVFSMAKKNGNEMASSLAIAGIIHEEMFKAWW >KJB74908 pep chromosome:Graimondii2_0_v6:12:1557156:1558483:1 gene:B456_012G013900 transcript:KJB74908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSPWPETLSNSKKRVIQQLVHGQECATQLQILFHNPSEEGGRLSAEEVLVQNILTSFNHTLSALSCIDSSEVSQNQTTCNDDSPWCEDRRSEGCSESSKRPGSKDKRGCYKRKRDAEAWTVVSATMEDGQAWRKYGQKKILNSIHPRSYFRCTRKYEQGCRAMKQVQRMEDDPQMYEITYIGTHTCTDSFRAPQIIPDSESWESQMGSHSQIPTKHHHLNPAAFPSVKQEAKEAAASDVTDMDCMVWKEIMGGGGGFEYSSEHGMGCDYGDCRAFQYEFLKPAEIEHDYHFDESECLIV >KJB75109 pep chromosome:Graimondii2_0_v6:12:3124937:3125436:1 gene:B456_012G024900 transcript:KJB75109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNLKFMALIFILFTSLVVVHECRSMVETGIKLGPCILHPECTPNVACWCCLGDPKKQCWYQKDDCLRLC >KJB77337 pep chromosome:Graimondii2_0_v6:12:30142713:30143807:1 gene:B456_012G132200 transcript:KJB77337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCRDKNGLKKGPWTPEEDLKLINYIQIHGAGHWRNLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSVLGNKWSAIACRLPGRTDNEIKNYWNTHIRKRLARNGIDPVTHAQRLDLVDLSSSIISSLLGVQALLNPQLLSLANTLLSLKQENPELLLQYLQQNQLLQTPTLEPRSQVLQSPMTDCSNQNSQKSYVLVSQPNYEHSNPNPTVPSLVSDNSHFHSMDGSQNFGLDSVRFTPISSPTPLHSSSTFINGSYTTHYEIERFNSLLKYEIPESLNINDLL >KJB74803 pep chromosome:Graimondii2_0_v6:12:923859:925152:-1 gene:B456_012G008600 transcript:KJB74803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIGKLKRKEIDQVNDDFSDFSLSSPATKIRRLDADLPPIIEEDSLPENHEKAIVLFNPNPLLLHDTPPLSSPPSTLSFSFDSHLLSGFKNQILRAADMKSAETEESKMENEGCLAVVPWVPSQIASVETRDSEQQVPALMETDEMDVEESCSNSGSMQEEHGYELDGLKPRDALHNWAQQQHCMLPQPPQNPFTPITWSD >KJB77283 pep chromosome:Graimondii2_0_v6:12:29761383:29763172:1 gene:B456_012G129300 transcript:KJB77283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEQYMESKICLQEWMDSPGSVSVSDDNPSRSFDCNICLDSVQDPVVTFCGHLFCWPCIYKWLSTGNQDQKQHQCPVCKAEVSDTTLIPLYGRGSVTSKESRPKASQFGMVIPKRPPGPTNGVGTIQGSPNTTDHHGYSYQPQAYFPPLDSYPDSPMFSPGGTPINVPDPVIRMFGEMVYTRVFGNSVTNFYTYPNSYNLTRSTSPRIRRHVMQADKSLGRISFFLFCCIFLCLLLF >KJB77284 pep chromosome:Graimondii2_0_v6:12:29761013:29763172:1 gene:B456_012G129300 transcript:KJB77284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEQYMESKICLQEWMDSPGSVSVSDDNPSRSFDCNICLDSVQDPVVTFCGHLFCWPCIYKWLSTGNQDQKQHQCPVCKAEVSDTTLIPLYGRGSVTSKESRPKASQFGMVIPKRPPGPTNGVGTIQGSPNTTDHHGYSYQPQAYFPPLDSYPDSPMFSPGGTPINVPDPVIRMFGEMVYTRVFGNSVTNFYTYPNSYNLTRSTSPRIRRHVMQADKSLGRISFFLFCCIFLCLLLF >KJB77492 pep chromosome:Graimondii2_0_v6:12:31353074:31354011:1 gene:B456_012G140100 transcript:KJB77492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQSLQLSSLNNVETPIHIIDTEENQIQEPAVDVLDYSQRGQRLRAAVLGANDGLVSVASLMMGVASVKEDVKAVIVSGFAGLVAGACSMAIRECVSVGTQRDVEIAQMKREKRKGSSRRDENDEKLQSVRCFHYWLLLL >KJB77753 pep chromosome:Graimondii2_0_v6:12:32919732:32921574:1 gene:B456_012G155200 transcript:KJB77753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEAPKYKLITPSILSDRMRINGSLARKAIRELMARGSIRLVSAHSSQQIYTRATNT >KJB74970 pep chromosome:Graimondii2_0_v6:12:1879829:1880953:1 gene:B456_012G016400 transcript:KJB74970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLKMPVEKTPPFLRTLFSSSAISTCSTFFSDIFSATRSEIFKDMLETNCFKDDTIRVPIRVPELNSEELESLLEFLYNGILPLEKLEKHVHTLFIASKKYEIPYLQEFCQCYMLNSLNASNVLDVLETSQACSNTALKEIALDFIFNNAEAVVLSDKYEALAAKNPQLCMQITREFFMNAKNEKFSPARVMGFQKKIGFSEKSISDFQASNVEEEMGNNQGSFASHM >KJB78369 pep chromosome:Graimondii2_0_v6:12:33452211:33452447:1 gene:B456_012G162800 transcript:KJB78369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQFIVGVADVIAFLLGFVFLVRFWGLSKLKRAASMGFPEENCVNKNGNGEGEGVGTTEIIIVSAGVAGAALAYSLGK >KJB76828 pep chromosome:Graimondii2_0_v6:12:25057141:25057740:1 gene:B456_012G110600 transcript:KJB76828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVFGKITVETPTSFTNSADYEIRKYPPAVAAEVTYDPSQFKGNKDGGFSLLANYIGALGNPQNAKPEKIAMTAPVITKSPGNESEKIPMTAPVVTKGDNNSMVTMQFLLPATYKTAEEAPKPLDERVVIKEEGERKYGVVKFGGVATDKVVEEKVENLKKSLERDGYKVIGQFLLARYNPPWTLPAFRTNEVMLPVE >KJB76102 pep chromosome:Graimondii2_0_v6:12:10590216:10590470:-1 gene:B456_012G0717002 transcript:KJB76102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IQSNGMYKSIEHRAIINEKKPRISIATFLFPDDEQEIGPVETMIDDQNHPKLYRNIKYVDYVREMFSRKMEGKAHTQFAKLYGK >KJB75269 pep chromosome:Graimondii2_0_v6:12:4318745:4319426:1 gene:B456_012G034900 transcript:KJB75269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNIGAVMFLFEGSFGNILHTGDCRLTPECLQNLPEKYIGREGKEPQCCFDSVFLDCTFRRFSRNLPSKHSAIRQVVLVCLVIFVLIVLSL >KJB76765 pep chromosome:Graimondii2_0_v6:12:23750185:23753070:-1 gene:B456_012G105900 transcript:KJB76765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFLLLFFLLISAASSQSTEVFFPGFKDLNPNNLTVTGVARIDKHGILCLTNDTSRLQGHAFYNSPFRFKNSSNGQAFSFSTSFAFVIVPEYLKLGGHGLAFTIATSKDLKALPSQYLGILNATDNGNLTNHLVAVEFDTVQDFEFQDINDNHIGIDINSMVSNASAPAAYFTDVSTKQNLTLKSGNPIQVWIDYDAVENVFNVTIAPNSTRPRLPILSYHVDLSPFLQEFMYVGFSASTGLLASSHYVLGWSFKINGQAQALDLSSLPSLPGPPKKHTALTVDWELEIGPQRCSYGELKQATNGFSDKNLLGQGGFGRVYKGTLRNSKTEVAVKRVSHESKQGLREFVSEIASIGRLRHRNLVQLLGWCRRRGDLLLVYDFMANGSLDQFLFDEPKIILNWEQRFRIIKGVASGLLYLHEGYEQIVIHRDVKASNVLLDDELNGRLGDFGLARLYEHGSNPGTTRVVGTLGYLAPELPKTGKATTCSDVYAFGALLLEVACGRRPIEPKALPEELVLVDWVWEKFIQGRLLDVADIRLNGKYDEGEMSMVLKLGLMCSNDMPVARPTMRHVVRYLDGEAELPESLRPPASFDGNKGYAEGFDAFVNSFASSSFDKMSSNSFMETGNVATSFASLSTSPLSLLRETR >KJB77539 pep chromosome:Graimondii2_0_v6:12:31602632:31604275:1 gene:B456_012G142500 transcript:KJB77539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMENSATVMAPLLLRNTVKSVLIYADKSLLNLAGKYKLLEIIRYLIVTAFLFFLRLFLSFFPSNYLHSLKSDDGYTFKPTQSSYIADSGIGDSGIGRSLWQLLVSVNDIPVSSTKYGIVRSLAERLIEGNNREDVEALREVNRRVLSAAFSRTICRVEAALIELGTGSGQFRLDRVFRTVRDGVWSGRVMEDVSSCLGNSAEKLAAELLWLTQKLVGCGFEEEAVERWASASNLAQLSVVAENRVQGSLVKVSAFLFRQMKDIGLEETEGGNKERLRQTKMKMLITWLPFLCRGNNGTDIPVLSLSERAELEKILEDAIDMLQHEEQEQVLSLWLHNFTYFPSSDWPNLHASYARWCTTSRKLFFSSIKDVK >KJB77540 pep chromosome:Graimondii2_0_v6:12:31602796:31604275:1 gene:B456_012G142500 transcript:KJB77540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMENSATVMAPLLLRNTVKSVLIYADKSLLNLAGKYKLLEIIRYLIVTAFLFFLRLFLSFFPSNYLHSLKSDDGYTFKPTQSSYIADSGIGDSGIGRSLWQLLVSVNDIPVSSTKYGIVRSLAERLIEGNNREDVEALREVNRRVLSAAFSRTICRVEAALIELGTGSGQFRLDRVFRTVRDGVWSGRVMEDVSSCLGNSAEKLAAELLWLTQKLVGCGFEEEAVERWASASNLAQLSVVAENRVQGSLVKVSGLEETEGGNKERLRQTKMKMLITWLPFLCRGNNGTDIPVLSLSERAELEKILEDAIDMLQHEEQEQVLSLWLHNFTYFPSSDWPNLHASYARWCTTSRKLFFSSIKDVK >KJB76224 pep chromosome:Graimondii2_0_v6:12:12690423:12693839:1 gene:B456_012G078400 transcript:KJB76224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSETMNSNLFSSIISEIKSYSGKDPLLPWLRGIKKIKDSLPPQILAEKLPRFLQKCTQTFEADRRYHDDLRYLRVWLQLMDFMDDPRLLLRRMEMNQIGTKRSLFYQAYALYYEKIKKFDEAEKMYHLGVQNLAEPVDELQKSYEQFLNRMERHKKKKIQRQEQKIARKPLQCTEFKENSEAVRMVEDSHKRSSALHTGKKVESKKGRALMERVLDEPRKVGNDDTVVVKFVDTAIVGKSEAEDACHHGLVDPTINMKEAMNAINSMFREPIETAPIGRRSSQRQLKEDCSLDIGFKVFDENLDSGINSSIQHQEKGLQGKAQICRPQEESFQIYIDDDEGNSEAGEGNDEIDNLEHIEVQNSGRVCNVFVFPNPNDLSPERSDDIGAKSSRRPKIQEDTVVHRFVGSTISDEPVVENVCHHGLVDPTINLKEAMQDINNMFGKPIDFVRAKRKKQDKAPVKQQDLGGFSILPDDDLEHQKPQSPSKSSGKLSDCDLFEPTMFTKEAMDDINKMFGMPLGF >KJB75197 pep chromosome:Graimondii2_0_v6:12:3740052:3740910:-1 gene:B456_012G030400 transcript:KJB75197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERMERKLDEHKSVFGRQNNQMKVKQERFDFLTSVLSTKNRPKTDQKQSNESDCRPKSKSKPKLTSVLIVGF >KJB78095 pep chromosome:Graimondii2_0_v6:12:34627687:34629701:1 gene:B456_012G177400 transcript:KJB78095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSLNHIVISSDEPEKPAENITYMDASLYKAAAIGKIEEFNNYQGPELESLKTPNHDNVLHVNLSTPERIWQRTRLDFVLKILVKCPSLLLQTNAKGQTPLHVATRYGDSTIVRLLILFCARVIDKDLEKLGMDQLYAVREMIRHTDQESNTALHIATRYGHVEVVQALLEHEDPDFAYFANINHETPLYLAARRGSRRLLSILLDKSKSTAHGGPHGRTALHATAMVRDPRVTRIILEKKGNLTKETDENGHTPLHYAAHLGHDAVVEELLKWDISVAYIGDKKWGMTSLLMAARQGFFGTVRKILSYCPDCCERVDKRGWNLLHFVAFRDLPNGLRFLLKDDDATTEYGSVRNLRDWKDASGITPQQVFYACQYDKEEKKEQIVKLLKEIVNEEVAEKPVCPIGLPNISADINLEKTRDAHLVVAALIVTVTFAAAITVPGGFKSEEGSDQGTPYLIHEVAFRTFVVTNALAFSLSLSTLFIHFGMLLPLFPKSLGSITSKMFIADVILGPALVAMGIAFSTATYVALKPSLGLATTAVCISPAIIFYFLFCSKFMPVYIINHNYF >KJB75008 pep chromosome:Graimondii2_0_v6:12:2257419:2258087:-1 gene:B456_012G018900 transcript:KJB75008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLGVHQMKNKKKQFKKRDCKKDIKVVYISSPMKVKTCASQFRALVQELTGKDSNAAVRFMDNDDNVSDHSPAYSDSTRDDGVLELPFANSNHQSMFESYDEGSFWGMFTSNLFHDPSQLDTFRSFGST >KJB75817 pep chromosome:Graimondii2_0_v6:12:8273145:8275090:1 gene:B456_012G059000 transcript:KJB75817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFSILAKMALYASVDKYLHGLFSLANDPAAEMRKLVCAAFVQLIEVRPSVLEPNMKNVIEYMLQVNKDTDDEAALEACEFWSAYCDAQLPPEILREYFTTSNSSMLIVC >KJB75043 pep chromosome:Graimondii2_0_v6:12:7350690:7351676:1 gene:B456_012G055100 transcript:KJB75043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKEVPIMEVDSGDSSTEEAESESPRSVVVQMKKKVEKVHNQVLRIREEESHLGEDFFGGDDENNKNDVVYGGGVGGGGFDERRRRRRRVNVVLVSRPILPCSPLSGKGNVKRPYTEPTEINQGSF >KJB76497 pep chromosome:Graimondii2_0_v6:12:16198143:16201427:1 gene:B456_012G092200 transcript:KJB76497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSLKRIASRCWRPSFDGENSCKAGDANGRVDGLLWYKDSGEHYTGKFSMAVIQANNLLEDHSQLESGPLSSFQSGPYGTFVGIYDGHGGPEAARFINEHLFDYIKKFTTENHGMSADVINKAFLATEEDFLTLVKKQWLSKPLIASVGSCCLVGIVCSGLLYVANVGDSRVVLGRLEKAFKEVKAIQLSTEHNVRCESVREELQSLHPDDPKIVVLRHKVWRVKGIIQIARSIGDAYLKKDEFNKEPLLPRFRVSEPFEKPILQAKPSILVQKLSPKDRFLIFASDGLWELLSNQDAVNIVNACPRTGIARKLVKAALREAAKKREMRYSDLKKVDRGVRRHFHDDITVIVLFLDSHLLSRASCRRF >KJB76501 pep chromosome:Graimondii2_0_v6:12:16267473:16268661:-1 gene:B456_012G092500 transcript:KJB76501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FIGVTLEEKHTSSVGTVVDVGLDKNVVVDQALGPGKRVTVAMGTNQNLDYVELRS >KJB75536 pep chromosome:Graimondii2_0_v6:12:5779082:5780114:-1 gene:B456_012G046200 transcript:KJB75536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNKPISTSGDGGDNCNKVVVRYKECLKNHAVAIGGNATDGCGEFMANGKEGSLEALICSACNCHRNFHQKETECSGCCPSDYGRKLMLGHLQSYMLRSSPQPMTLSYKGGGSIPSETNEKDDGKVRKRFRTKFSQAQKEKMLSFAEKAGWRIQKLDENAVQQFCQEIGIKRRVLKVWMHNNKQSFANKNNISAA >KJB75412 pep chromosome:Graimondii2_0_v6:12:5100396:5107225:1 gene:B456_012G040900 transcript:KJB75412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSKTNGRGGGDGGVQMSASVKKVVQNLKEIVNNSCTDWEIYAVLRDCNMDPSDAVQRLLSQDTFHEVKSKRERRKEMKETQEQKTRANSRASSRGVRDGSEHSFGQSRSMLISNELGKAACKKENVSVSYIPYSASSKLCAMGQTWNEQPSPQRNSFNADYRRQSIGTGDMIDSSMQPSYGSHSSWVGSTLQHVAMADIVKMGRPKSKGSEMPCETSYSPQDAVPPNSTINQIKPSLATSDSNLGTGQDLHSDLNTISESGMKSSQHGFDNEWAVNEPMMTSSDIGGTRYSNQPCFHGNRANLSNNCWSDTIIVSESNVARKNLSPNHVSSVQASNKQMFMSDSGGTSECDDDLRKDTSSPDSYGQTYEPLEGRGSNASAPNPAASLSDDAIKAASPIAVNLQQLSLGKEERAVTPKEDNRGVVLPDYLQAFSADCSHLSFGTYKSGKSTALSQPQTSSSLMNDLEETLATSNGCSSSMNLSSRNLVYHDEVDFDSHRATADARNDNSPKFSQPELRKLDIPDASTLGNDYISCASIPGSSFKNIQQSSSMSFVIDPNARNLPILPNKVESYSNTLPSDLLAVAIQSTKARDPAAFLASQSISSRYIGSASSVKNPIASMSQPSSLALEGANPATGPVLKEHLYAYSYPQTGYRAVPQGHTYTPSALQQEFPNGNVFHESHMETKYDLQRYSSASMSSSLPWSSSYTSGYRSLENSSGSPGSFLHDLLADSAGSKVAYDDFLCSQYSNGGANFNLLQQNDGSATWDYRHGSRTTSTIPDNAYYSLNGQNPQHAGYQQAQQLAQQLHEALGYPSIYNSHAAMAIEQPQQQQQNFRDLILNDLQGPSPRQLPQTWQHNY >KJB75049 pep chromosome:Graimondii2_0_v6:12:2575630:2576306:1 gene:B456_012G021100 transcript:KJB75049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDERVLAKEAYEDFQLIILHDRLPRHSNLLLILMSTPINADMFSKPPKVAPTIHISWLTFPMAKIFLECVAKAHCSIESEFDNLKGNPQSRSWIPRKITELHLLSVIELILNIRSTLHLQDIHLKHG >KJB75785 pep chromosome:Graimondii2_0_v6:12:8434161:8435445:-1 gene:B456_012G0601001 transcript:KJB75785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRYCYNDVLPLTAMVAIECTNVGLNVLFKKATSKGMSYFIFITYTYAIGALLLLPLSFLFPSGQVLPSLKFHLGFRIFLLGLIGFFAQVCAYKGIDYSNPTLASTIRNLSPAFTFILAVLFRLERVALRSSTSQAKIMGTIASISGALLVVLYKGPQVFSSPSPSSTLLQPSYSNWVIGGILLAVAYLLFSIRYIIQ >KJB77590 pep chromosome:Graimondii2_0_v6:12:32013045:32013635:-1 gene:B456_012G145800 transcript:KJB77590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMLKTHSFILFLILFFITVIAGRRSDSEVFGKYISISSLGLKRERLSHLHFYFHDVISGKNATAIRVAAEAPLTNRSSPLIFGDVYVVDDPLTIEPDVNSKMVGKAQGSYVVVSQAELSLLMVLNFAFTEGKYNGSTLSVLGRNEVLSAVREMPIVGGSGVFRFARGYAQAKTHSITQIHAIVEYNAFVFHYC >KJB78309 pep chromosome:Graimondii2_0_v6:12:32025843:32026802:1 gene:B456_012G146000 transcript:KJB78309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQEINRKRKNNHQEFTGFNNGGDDGNEQDNDLLELSLSTTAAANRVSFPMDLVTSALSFPVVPYQREQLQMVSQPSLMETLLYQPPPSLPLQLSPNHSIICPSQELVLSSSSSSSSPVAVSGVGSVTVPVPSPGPGPSRPIRVRRNSTNALREGQSETVPPPYPWATSHRATVHTLNYLLSIPIPTITGDVQCKRCERQYEMGYDLKTKFTEIATYIAQNKESMHDRAPSVWRNPVLPKCKFCDQENSAKPVISDKKKSINWLFLLLGQVLGCCTLEQLKYFCKHTKNHRTGAKDRVLYLTYLGLCKQLDPNGPFDR >KJB77459 pep chromosome:Graimondii2_0_v6:12:31249396:31251445:-1 gene:B456_012G138700 transcript:KJB77459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLLSKFSTQTLLIASIFVVSALGHDFSIVGYSPEDLGSADKLIELFESWISKHGKIYESIEEKLMRFEVFKDNLKHIDKRNKEISSYWLGLNEFADLSHDEFKKMYLGLRPDVRRKSQSTKDFSYGDVVELPKSVDWRNKGAVTPVKNQGSCGSCWAFSTVAAVEGINKIVTGNLTSLSEQELIDCDTSFNNGCNGGLMDYAFQFIIANGGLHKEQDYPYLMEEGTCEEKKEEMEVVTITGYHDVPENDEQSLLKALAHQPLSVAIEASGRDFQFYSGGVFNGPCGTELDHGVAAVGYGTTKGSDYIIVKNSWGAKWGEKGFIRMKRNTGKAEGLCGINKMASYPTKKN >KJB74536 pep chromosome:Graimondii2_0_v6:12:15439224:15440009:1 gene:B456_012G090300 transcript:KJB74536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKLKIQRLEDLKARQAKYSKRKTGILKKAKELNILWEVDVALLFSSPSGRPTLFVGKNSNGLSSILKRLSNLSFEEREESYTKMSCKS >KJB77868 pep chromosome:Graimondii2_0_v6:12:33373009:33373353:1 gene:B456_012G161800 transcript:KJB77868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNGVSVSHHVIGSLSENKNERVVCVKNMTPEVILLYATRLRNALGRNVVKLKTGHETKHPSVQGTWTTNRCEILRHNSTKFFTILSVCFFIVLCSHDGNFAATFTLWR >KJB75236 pep chromosome:Graimondii2_0_v6:12:4102782:4106611:1 gene:B456_012G033400 transcript:KJB75236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFELQDEDVMTKTVNEIPSITFDDRVHGFIEKKMALSIVIKLLGRKIAFNTLLNKSVDFSTTNSMVDTQVVWICLPGLSKGYYFDFLLRAIDSMIGPVFCIDAQIDAAVRGQFARLAISVDLEKKPLVSEIKINGSIQRVRHEGLPNICFSCGLYGHSSLLCTRNKSTMEEDVIVSSRSGPRNRVFKLG >KJB77959 pep chromosome:Graimondii2_0_v6:12:33987780:33990848:1 gene:B456_012G169800 transcript:KJB77959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGERISPGSYFQYPPPGFPSVSPHRPSSITTDRERYLAELFTEKEKLGPFTQVLPQCTGLLNQEIRRVSGFSPSLLDHERFEHDSPIRSFGHHPNGRQMDLEGWSIMQTEENGHLQRVAPVQAASMGWPGLPGAPTTPLVKKVVRLNVPVDQYPSYNFVGRILGPRGNSLKRVEAVTECRVYIRGKGSVKDSVKEEKLKDKPGFEHLNEPLHVLVEAELPEDVINSRLDYAVAILENLLKPVDESLDNYKKQQLRELALLNGTLREESLRMSPTMSPSMSPFNGTGMKRAKTGR >KJB77491 pep chromosome:Graimondii2_0_v6:12:31349257:31350286:1 gene:B456_012G140000 transcript:KJB77491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPQSLQLSSVNNVEIPVHIIHTEENQIQEPAVDEFDYSQRGQWLRAAVLGANDGLVSVASLMMGVASVKEDIKAVIVAGFAGLVAGACSMAIGEFVSVCTQRDVEIAQMKREKLKGSSKSDGNDEKLPNPAQAAAASALAFAIGAVLPLLAAAFIRQPMVRMAVVVAVASVALVVFGVVGAMLGRTPVVKSSARVLVGGWMAMGTTFGLTKLIGSNHGMQI >KJB76820 pep chromosome:Graimondii2_0_v6:12:24380358:24381029:1 gene:B456_012G108500 transcript:KJB76820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFLAFLLMLLLVISTSQAARPLSAQSVQQRYSKIFATLGVVCKCCDGSGGECSSTWTQSCSQPHLKCLPWKLH >KJB75752 pep chromosome:Graimondii2_0_v6:12:7420120:7421282:1 gene:B456_012G055400 transcript:KJB75752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRLTLPRLFLLILSSSCPMKIMVMIISFPLLALPNSSLVLTKFLWRMNDGSHVGEKKKRLKLEQVKALEKSFESGNKLEPQRKLQLAKALGLKPRQIAIWFQNRRVRWKTKQLEKDYDVLKKQCEALKADADALQAQNKKLSAELLSLKTKDTNEISIKDEVNEGSWSSKNLFSTSSTRPTSMVQLLQGSTRPNLPCTKPDQVVQEEGFCHLLNEVDEQQGFWPWGEQ >KJB75751 pep chromosome:Graimondii2_0_v6:12:7419952:7421282:1 gene:B456_012G055400 transcript:KJB75751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYNVARLSIVYTVDDDHISILEQKVAVFWLTLPRLFLLILSSSCPMKIMVMIISFPLLALPNSSLVLTKFLWRMNDGSHVGEKKKRLKLEQVKALEKSFESGNKLEPQRKLQLAKALGLKPRQIAIWFQNRRVRWKTKQLEKDYDVLKKQCEALKADADALQAQNKKLSAELLSLKTKDTNEISIKDEVNEGSWSSKNLFSTSSTRPTSMVQLLQGSTRPNLPCTKPDQVVQEEGFCHLLNEVDEQQGFWPWGEQ >KJB76250 pep chromosome:Graimondii2_0_v6:12:13101373:13103121:1 gene:B456_012G080600 transcript:KJB76250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILITMAAPMQEPVLSTPSLSSSATTSSGSISSTSSEADDSPLSSQRFVVQDVTKYELPCKPLPGFQSYKSLAMLSGHIGSVSCLALCGEFILSASQGKDIIVWQQPDLRQFTKFGQGDGSVKALVTVSNKVFTAHQDSRIRVWKVSRSSENVFKLVDTLPTTKDYLGKFMKQSNYIQTRRHHKRLWIEHADSISCLAVYNGLIYSGSWDKTLKVWRISDLKCMESIKAHDDAINCLVACKGIVYSASADGKIKAWGKQGKTSHSLLGIMEGHNDISLNSVVVSEDGKWVYGGGSDGSVMGWERNGDCVSWKLVSETKAHHMAILCMCMMGEFLCCGSADKTIGIWKREAYGKLCKVGVINGHEGPVKCLQASPCNVGTGFLLYSGGLDKSIRVWWVPKNEDTS >KJB77134 pep chromosome:Graimondii2_0_v6:12:28079809:28082363:1 gene:B456_012G122600 transcript:KJB77134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEKNPLDLNNLPDDYTRDGKLVFEEGSSSGSRKKKSGGKDGKDECGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFNNDNLATQGHLGCHPSYHPGGNVGDPTLPFRSVYPPRMFSGSSSTLMPPTLQPPPPQPYLHPSPSRLSSYPSQYPTHSINDYYVGHVLGSSGSSQYPQQNLNYLGAPESNYTCIGAPVGHGLGAGSNRPGGGGTGGRDVSLSNQEEGLNWGRRYAGGTQHRLENPSAINRFQDGF >KJB74552 pep chromosome:Graimondii2_0_v6:12:21218231:21221338:-1 gene:B456_012G099900 transcript:KJB74552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNAVEEEEFEFSRNYFLAKEMGSSGKKSARKLSDMNVVDEQKLRKASANIEQKHQNDVADLINSCKSLYPKWVFDLRHLD >KJB76902 pep chromosome:Graimondii2_0_v6:12:25140305:25141535:-1 gene:B456_012G111500 transcript:KJB76902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Steroid 5-alpha-reductase DET2 [Source:Projected from Arabidopsis thaliana (AT2G38050) UniProtKB/Swiss-Prot;Acc:Q38944] MASDQTLFHYCLLTLYIIALPTWISLYFLQAPYGKHNRPGWGPTISPPLAWFLMESPTLWLTFFLFPSGQHFYNPKSFLLISPFLFHYFNRTVLYPLRLSRNTTQTRAFPVSVAFMAFGFNLLNGYLQARWVSHYKDDYENEELFWWRFLGGLLIFVVGMWVNVWADKVLVGLKKQGDGGYKIPRGGLFELVSCPNYFGEIMEWFGWALMTWSWVGFGFFLYTCANLMPRARATRLWYLEKFKDDYPKDRKAVIPFIY >KJB76573 pep chromosome:Graimondii2_0_v6:12:17256627:17257811:1 gene:B456_012G095100 transcript:KJB76573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKSCGVGKTEHTKIRYLAYVGGRVKNAEEQGVVQKILESNPVLEIFGNAKTVRDNSSRFGKLIKIQFDQRGECGVAILHLGYIEFAKGQETDAFEPKDDKSWVHLKGAV >KJB77750 pep chromosome:Graimondii2_0_v6:12:32887678:32889137:-1 gene:B456_012G154800 transcript:KJB77750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNCLLYCKTHLPFLRIRKMADLRDEHGNPIQLTDEHGNPVQLTDEHGNPIYVTGIATKHPTTTTSLSGLMGYGTGSAVATDQSQQQLQPPPPQQTQPLHYEVSGKEKIQRSSSSSSSSSSSEDDGMGGRRKKGLKEKVKEKLTSGKKKEEDQSQTKTSAVKTTASTTTTTTGPPPGQHHEKKSVIEKIKEKLPGHHAH >KJB75663 pep chromosome:Graimondii2_0_v6:12:6590189:6591750:1 gene:B456_012G050700 transcript:KJB75663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISPGSVTSQPHIALFPSAGMGHLTPFLRLASLLLSHNCKLTLITTKPTVSVAECTHISSFLSKHPEINHIEFHVPPMQHSGSISDDPFFIQFEATSRSAHQIHPLLASLTPPVSAIFADLVVANGANKVAVDLGVRNYIVSTTSLKFLSLMAYLPVLTTSDAAKLVDGATEIEIPGLTPLPISSIPPPFFNADHGFTATLVSNAKALPFCNGILMNTFESFEPETLSAINNKRALSNLPSILPIGPLETYGLNMNGQTRYLPWLNDQPAESVVFLSFGSRTAMSKDQIKELSDGLERSGYRFLWVLKTKKVDKDEKEDLGEILSSSFLERTKNRGMVIKEWVNQQDILGNAAIGGFVTHCGWNSVMEAAGNGVPVLAWPQHGDQRTNAEVLEKAGLGIWDATWGWGGQRLVKQDEIQRKISELMTDEKLKSKAKSVGDEAKKATGNGGTSKNTILETIESLKQNVQTVLGTRKN >KJB77369 pep chromosome:Graimondii2_0_v6:12:30663497:30665994:-1 gene:B456_012G134300 transcript:KJB77369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVYSQCTTHGGGVLKSGGGGAVSVLGFPLQHLKPHTLPGSKKKQSFGAIKASLAKTEVALLRIGTRGSPLALAQAHETRNKLMASHPELAEEGAIEIVVIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPEKTILPCNLKREDVRDAFISLSASSLAELPAGSVVGTASLRRKSQILHRYPSLKVEDNFRGNVQTRLRKLNEGVVQATLLALAGLRRLSMTEKVTSTLSIDEMLPAVAQGAIGIACRSDDEKMASYLASLNHEETRLAVSCERAFLLTLDGSCRTPIAGYASKDEDGNCIFKGLVASPDGTRVLETSRKGSYHFEDMVSMGKDAGKELLSRAGPGFFDS >KJB76860 pep chromosome:Graimondii2_0_v6:12:25059751:25063289:-1 gene:B456_012G110800 transcript:KJB76860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAANISANGSPMQGGPTTTRRRVADSPITAESDSNNPSSITDASNDEESDNPNGYILSVSSSVCGSHCLQQHHVMRHLQLRRKLLFSWVPDRWFIWIDNLCHWTVNMGHSLRSGRNMGRKILGLLLFMAVVSVFIKVSLFSTHVDVNGKWKKENGLLILQTFKEDWAMAQRVVSETEHSMPKRVLERISTPEIWMKPNSDNYHQCISRPKNQIRTRSKSNGYIIVHANGGLNQMRTGICDMVAVAKIMNATLVLPSLDHESFWTDPSDFKDIFDWRHFINVLKDDIDIVEYLPIKYSTIKPLVKAPVSWSKANYYRREVLPLLKRHKVIKFTHTDSRLANNNLPSSIQRLRCRANYEALRHAKEIEDLGRTLVDRLKSNNDPYIALHLRYEKDMLAFTGCIHNLTVEEGNELTVMRYNVRHWKEKEIDSEERRRQGGCPMTPREAAVFLKAMGYPSSTPIYIVAGEIYGSNSMAAFRSEFPNVYSHSTLATEEELELFKPYQNRLAALDYIVALESDVFVYTHDGNMAKAVQGHRRFEGFRKTINPDRQNFVKLIDQLDEGFITWEMFSSEVKSLHSDRLGAPYMRQTGESPRLEENFYANPLPGCICKTSQVQKISGPKFDQTLSSVRR >KJB76771 pep chromosome:Graimondii2_0_v6:12:23904663:23905712:1 gene:B456_012G106400 transcript:KJB76771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFVYRAIVQYKNGKEDHVLATWFNESPSASYTRLPTGDSDRFQISDDRIFESDNYRKFSTSSSSSSSMVAPSGSAKIILSTGVQSPAVCRLTPRRVVT >KJB75615 pep chromosome:Graimondii2_0_v6:12:6313655:6315268:-1 gene:B456_012G048600 transcript:KJB75615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGHEFNEEGEGSSKHYLTDYEVNLNEIKPLSSHGSSDSYSSSGSGSSQDLFDFLGNNGSNDDHTGKSELKSPKKVRVSFASSTDKQEKQVYVPNRIPSSVFSEKPATPMDWSTASNESLFSIHVGNGSFSKDQFFMLYKSGELTKLDEQIIAQKNVLPSLKELDDMAAMDENTAKDSGNEATVAKTNEVAEDHSHSEPKMTEAEVQSSVDNSPIVDHSAAVGNLSLKKKPTAEVKNLPMNCMSSLSEDSNISISFTFPVLTATDGGRLSSVYADQNIKGSHQQQQPLPQQPLDEEKKEFTEEKEAQSPVSPQNGSSRSWFSWFSCCRCS >KJB78203 pep chromosome:Graimondii2_0_v6:12:35105202:35105717:1 gene:B456_012G183600 transcript:KJB78203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKDLIKYTNKIQRQPHPSSFFFFWQYSHLLSKVSVLSIVRVKIGIKRAFLSLIRNLIRLDSTPIYSVAQYDLFKILTIYIYSQYLLNKISILSIVNRESRVTISFPLRLGFGLTRFWYSCSTLWLLNIEIFIFDTQIQI >KJB74707 pep chromosome:Graimondii2_0_v6:12:406623:409399:-1 gene:B456_012G003400 transcript:KJB74707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDKIPKLQSLSTKYPRRMTSDPGEVSRLTVIRTKDARRRRLEIRRLKYTFETMMNITITENSNNGNKDQDDLLHGSKPSHGLTEISLSLSSPSSNQSTSAENGIVLAGFGEKTCMKNDDASTSTCTSHGLLSVIGRRCEMEDAVKVELGLMAKGGEKFDFYGVYDGHGGSRVAEECKERLHKLLVEEIVEEGSGSGIDWGRTMERCFEKMDEEVNKGRMAEEMVGSTAVVAVVGNGKVVVANCGDSRAVLSRGGVAMPLSFDHKPDRPDELERVEAAGGRVINWNGYRVLGVLATSRSIGDQYLKPFVICKPEVTVREVTNGDEFLILASDGLWDVVSNEVACRVVRRCLNGQIRSKTADVLNQNRASEAAAVLVELAMSRGSKDNISVIVVELGKT >KJB76347 pep chromosome:Graimondii2_0_v6:12:13988148:13989797:-1 gene:B456_012G083600 transcript:KJB76347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGVSKFDHAAGGGGWRQLSIVHKKSDAAVVDNILLSKSLPEGREGEGHAKGRGMHKKANSDEKGGMQRGRLQSSNKGESLEDDGYIPQSLSFRVYCISSLEDDNNQGDGNAEKMTDKQEGDGESNKGSSTRVRRRAKIGKGIKTILRA >KJB75292 pep chromosome:Graimondii2_0_v6:12:4397864:4399015:-1 gene:B456_012G035600 transcript:KJB75292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVEMTVPHLFRCPISLDLFTDPVTLCTGQTYDRSSIEKWLAAGNLTCPVTMQKLHDPSLVPNHNLRHLIQQWRQMDHRFGPDYFTAIDPLVSLKHCLQSPQSTFQEKLEALQKIQLLTDQTPSTNPFLIQIGFLPLLLQLSFQMVDPEFSQDYMNFVEQGLTCVLKLLPYGELESLNMLKQDSKLESLVVLFEHGSIIMKQSLCHLVGVISSSSSTRELCAMIGKHPRFLNLIVCIVNQNNEASETGIKAISALCCLESNRGKLVQQGLIDALVTYILNSERSLAAMAMAILEQVLGIERAKEALIKNPNGVKAVVKMVFRVSDHEGSESAVNSLMMVCRESLEAREKAIAAGVLTQLLLLLQSQCNGRTKTKATTLLKLL >KJB78047 pep chromosome:Graimondii2_0_v6:12:34411261:34419888:1 gene:B456_012G174600 transcript:KJB78047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSLEVVNVPFAIALLAWIIIDILKRRGHENDSNNDDDVKGKIRGYLRVFNVVTVVFSIIIFVLNLGFGFYTYWNYGIVPTKSVCLAITWFVASLVLIYWMNRGFSELKPRPPLVLILWWVFSFGLVSFSVLVYVVRLLGFRELPYRLPEPDIVDVVSLPLLVLFCCRCCCRPLSHGELERPLLLRKENDDDSSFNNASLWSQLTFQWLNPLFEKGRIEKLELHHIPSVPESETADNASLLLEESIRKQKTKSTSLPKAITGTVWKSLAINAVFAGLNTIASYIGPFLISNFVNFLTQKDDSSSYHYGLVLAFIFFFSKTVESLTQRLWYFGAHRIGIRVRAALTVLIYKKSLSTKFVGPSNGKVINLINVDAERIGDFCWYIHGVWLLPIQVFLALVILYWNLGAAPSVAAVFATILVMVSNTPLANRQERLHSKIMEAKDSRIKVTSETLKSMRVLKLHSWEPTFLNKLLQLRETERNWLKKYLYTCSAVAFLFWASPTLVSVITFGVCILLETPLTSGTVLSALATFRILQEPIYNLPELISMIAQTKVSFDRIQEFLGEEDQRKFITSSGPKESGVAVEIKAGEYAWDSSSQSLKNPTIKITEKMKIMKGYKIAICGSVGSGKSSLLCSILGEIPRISGAVIKVYGKKAYVPQRPWVQTGTIRENILFGKDMDDAFYERVLEACALNQDIEMWDNKDMSVVGERGMNLSGGQKQRIQLARAVYSDSDIYILDDPFSAVDAHTGTHLFKKCLKGLLSEKTVIYATHQLEFLDAADLVLVMKDGLVVQSGKYEELIADSDGELVRQMNAHRKSLDQMNPPQDNDSLIAKPCQISQIEVIEEKYGDPICFGKLFERSQEEETETGRVKWSVYSTFVTAAYKGALVPVVLLCQVLFQGLQIGSNYWIAWATEENHKVSREQLIGTFVMLSGGSSIFILGRAVLLATIAIETAQRLFLGMITSVFRAPISFFDSTPSSRILNRSSTDQSTLDTDIPYRLAGLAFALIQLFSIIILMSHVAWQIFLLFIAILGISFWYQTYYITTARELARMVGSRKAPILHHFSESITGAGTIRCFNQEDRFIEKNLSLIDDYSRVAFHNSGTMEWLCVRINFLFNFVFFLVLIILVSLPRSTIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERVLQFTNIASEAPLVIEDRRPKPEWPTEGTIELENLQVQYKPTLPVVLKGITCTFPGEMKIGVVGRTGSGKSTLIQALFRVVEPSGGRIIIDGVDISTIGLQDLRSRLGIIPQDPTLFQGTIRTNLDPLQQHTDQEIWEVLDKCRLADIVRQDQRLLDAPVAEDGENWSVGQRQLVCLARVLLKKRRILVLDEATASIDTATDNVIQETIREETSKCTVITVAHRIPTVIDNDLVLVLDKGKIVEYDKPGNLLEDSCSSFSKLVAEFLRSSPKSNNNNNVHHR >KJB75705 pep chromosome:Graimondii2_0_v6:12:6783506:6785545:1 gene:B456_012G052200 transcript:KJB75705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLNEADADSRPSQLSRLGSVMTNGAAVVGLIGGAVCVFSAIWALYGRMDGEFVNITDSWQFLVSYLGSERLAYAFIWDICLYTVFQPWFIGENLQNVEKSKVGVVSYLRFIPVVGLVAYLLFLNLEEDQ >KJB75059 pep chromosome:Graimondii2_0_v6:12:7361834:7362402:1 gene:B456_012G055200 transcript:KJB75059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRWRESSRTNISEEQITQLLSTLRQLLPEIPHSHSHKASSAAKVLKQTCNYIKTLHREVDDLSDRLSQLLATIDADSAEAAIIRSLLI >KJB77613 pep chromosome:Graimondii2_0_v6:12:32091981:32094038:-1 gene:B456_012G146800 transcript:KJB77613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPCLHIPPPKTPPPPLYLKFRTSHRENLRYLKAIGIIHPNTNPRNLPSPQTADYLISTVNFLKSKGIHDNDFPRLTFLCPQLFSSNFSTSEIEPVFDFLTTDLNATAQESRGLIVHCPYILFSDVEYCLKPTVEYLKGLGVEKLNEPSKQKAFLLNTRVDKLKAKIKFLRSIGLRYEEAAMVCARMPAIFGYNVEYNLRPKYEFLVGEMERSLEELKEFPQYFGFSLHKRIEPRHWHLKHRNVRIKLNRMLLGGDDRFYSKWK >KJB78270 pep chromosome:Graimondii2_0_v6:12:35372693:35374489:1 gene:B456_012G187000 transcript:KJB78270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLHNNIKNMGHQNMNLVLSTDTKPRLKWTPQLHQRFVEAVNQLGGADKATPKSLMRIMGISGLTLYHLKSHLQKYRLGKFQQTEICHSNKLDDYKEIRSNNGNFSDGTHNQMNESLQIAQALQMQMEVQRKLHEQIMVQRHLQLRIEAQGKYLQLVLKKAQETLVGYSSSSVGVGLAKAELSRLGSMVNTGCTSSLFSELTKIGDSNLKEIERSPIRGTMSSMESSLTASESCRRKDVEPPQDENICTQKSSTSAELSLMDVHTEKKPLISGSSNQANGKKRSGSDFSDGILVEQPLAKRLEFPGEETGYRLRKSGLLRSFDLNSQRHNDTESASKAVDLNCRE >KJB75777 pep chromosome:Graimondii2_0_v6:12:7845993:7849268:-1 gene:B456_012G057500 transcript:KJB75777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIFHNNVILTFLFIFPFLNPTFAGFAWNSVAKKSKSSQNWLNHGGDLYNRRYAEKETMISPETVSNLRLKWEFIAGKDITATPAIFDGTLYFPSWNGNIYAIKACDGSLVWEKNLEELTGLNATGFIVNVNWTVARATPTIADDLLIIGISGPAIVIAVERITGELVWSTQLDNHPAGIITMSGTYYKGHFYVGTSSAESGVSIEQCCTFRGSFAKLDVRSGKVLWQTFTLPDNFGQTGGYAGAAVWGSSPSIDTTRNHVYVGTGNLYSAPLRVRQCQEAENNQTVPTSPGKCVEPENHSDSILAFDLETGAIKWYRQLGGYDVWFLACNNLSTPNCPPGPNPDADFGEAPMMLSIDVNGTKRDIVVAVQKSGFAWALNRDSGDLIWSTEVGPGGPGGGGTWGAATDKKRVYTNLANSLFMNFTLIPSQINTNASGWVAMDAKSGEILWSIADPSNSRVSGPVTIANGVLFASSTDKQGPVYAIDAKNGRILWSYETGATVYGGMSVSNGCIYVGNGYKVNIGAFISTYTAGTSLFAFCLT >KJB77654 pep chromosome:Graimondii2_0_v6:12:32323029:32324043:-1 gene:B456_012G149000 transcript:KJB77654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDVDRVKGPWSPEEDELLRKLVHRHGARNWSLVSRSIPGRSGKSCRLRWCNQLSPEVEHRPFTSEEDGVIVKAHAKYGNKWATIARLLNGRTDNAVKNHWNSTLKRKLSEAEDGDSVQESEKRSVKSPRRESPSGSEVSDLGLSVAKSNQHSADVVSTELTLGRSWNESFEFNNSSSSEKKNEQPLEEKQVAGKNMTTVALAPAATAALTPAAMQEMIRKEVRDYMAEFDCNSENLRDLWGRED >KJB77345 pep chromosome:Graimondii2_0_v6:12:30434704:30435461:1 gene:B456_012G133000 transcript:KJB77345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFSELGSCYRPSTSHRDEDKASLRTTRHAERTITKSGGGSASSPSRHWRPVLQAIREDGKVTEKRTPNKNKSKGKYTSKPYRVSHDENSYYSRNNNSEPMVIPAFSPTPFMF >KJB74567 pep chromosome:Graimondii2_0_v6:12:28040406:28042871:1 gene:B456_012G122500 transcript:KJB74567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKKVYKCFMDIFPQLLLSRTLRMWTQLRRLFYLRLCLTYLNEPWLVVLPHGIGALLMRHQEALILGGLELISAEMIPL >KJB77447 pep chromosome:Graimondii2_0_v6:12:31108095:31112651:1 gene:B456_012G137700 transcript:KJB77447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSKPVMEETETEGQVPMEAETGAAEVKDQVQVEEDVRLEADQLVGDNPDSVAQEAIEDTSKALIVVGAQNEEKMKPEESVGVEDKSLAETDTKMGVVDHDDEGGVGGGPNHSHDNGLYGSPNSDMKPQDDDHGIDNGEQLKDLSTEREMNMQLATELGDKNPNLCFDNSGSDTEEEQAAFVKEVEAFYKEKNLEFKHPKFYKEDLNLLKLWRAVIKLGGYEQVTSCKLWRQVGESFNPPKTCTTVSWTFRIFYEKALLEYEKHKIHGGMLPHADTSFVEPNGVEAQAGSNQAPGSGRAKRDAAARAMRSWHSQRVLDNGEVCHPIIKDKTSSPTLKSDRQLKNFGLLKRKKPSTLDSGVRVSQLKATKSQRSFGRLDTAVVDVGAPADWVKINVQKSVDCYEVYALVPGLLREEVHVQSDPAGRLVISGQPKELKNPWGVTPFKKVVSLPSRIDPHHTSAVVTLHGQLFVRVPFEAADV >KJB75206 pep chromosome:Graimondii2_0_v6:12:3818051:3818317:1 gene:B456_012G031200 transcript:KJB75206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQADQLDTRLRAVRLVGSLFALPGANICEAFQPIFSEFLKRLTDRVVDVRMSVLEHVKIPLDLRLLK >KJB76387 pep chromosome:Graimondii2_0_v6:12:14705904:14706444:-1 gene:B456_012G0862001 transcript:KJB76387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIRRCFTLFILVIILQVGEGKLSQAFYNSTCPNVESIVRKVVEEKFSQTFVTVPATATLRLFFHDCFVEGCDASIMIASPNGDAEKDAPDNLSLAGDGFDTVIKAKKAVEAKCPKVVSCADILAIASRDVIVL >KJB77499 pep chromosome:Graimondii2_0_v6:12:31374886:31380428:-1 gene:B456_012G140300 transcript:KJB77499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVFENRAPKVFFILGFLICFSLISVRVVGQVSPAVFACDATKDRKVVHYGFCNASLGRDARVADLVRRLTLQEKILNIVNGAGNISRLGIPKYEWWSEALHGVSNVGPGTNFSSLVPGATSFPQVILTAASFNTSLFEAIGKVVSTEARAMYNVGLAGLTFWSPNVNIFRDPRWGRGQETPGEDPLLSSKYGSSYVKGLQQTDDNADPSRLKVAACCKHYTAYDLDNWKGVDRYHFNAVVTQQDMDDTFDPPFKSCVIDGNVASVMCSYNQVNGKPTCADPHLLAGVIRGQWKLNGYIVSDCDSVEVFFDDQHYTKTPEQAAAKAILAGLDLNCGSFLGQHTAAAVKKGLLKESDIDNAITNNFATLMRLGFFDGDPRKQPYGKLGPKDVCTAENQELARDAARQGIVLLKNTAGSLPLSSAAIKTLAVIGPNANVTKTMIGNYEGIPCKYTTPLQGLTASVATKYLPGCSNVTCGNAQVKEAKKLAATADATVLVMGIDQSLERESFDRVDLRLPGQQPLLIKEVAKVAKGPVILVIMSGGGFDISFAKHNDKVTSILWVGYPGEAGGAAIADVIFGYYNPSGRLPMTWYPQSYLDKVPMTNMNMRPDPPKGYPGRTYRFYTGETIYSFGHGLSYSKFSHKIVKAPQTVSIPLDDDHVCRHSLDCNSVEAVEQLCKKSVTFDIHLRVQNKARHGGSHSVLLFVTPPSVHNSPRKHLIGFEKISLKGKSGTLMRFKVDVCKDLSVVDELGRRKIALGQYVLHVGNFKHTLSLRI >KJB78000 pep chromosome:Graimondii2_0_v6:12:34172232:34177038:-1 gene:B456_012G171900 transcript:KJB78000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGNGCCWIQERILSRNGCSVFLAPRSRSSAVILPRKSTALSFSSSFQFQGFVVDRKQSSSSMQTAAVRHLVGSVTKTQGLRFAVVVARFNEIVTRQLLEGALDTFRKYSVNEEDIDVVWVPGSFEIGIVAERLGKSRNYHAILCIGAVIRGDTTHYDAVANSVASGVLSAGLNSGVPCIFGVLTCEDMDQALNRAGGKSGNKGAECALTAIEMASLFDHHLK >KJB78001 pep chromosome:Graimondii2_0_v6:12:34172339:34176964:-1 gene:B456_012G171900 transcript:KJB78001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGNGCCWIQERILSRNGCSVFLAPRSRSSAVILPRKSTALSFSSSFQFQGFVVDRKQSSSSMQTAAVRHLVGSVTKTQGLRFAVVVARFNEIVTRQLLEGALDTFRKYSVNEEDIDVVWVPGSFEIGIVAERLGKSRNYHAILCIGAVIRGDTTHYDAVANSVASGVLSAGLNSGVPCIFGVLTCEDMDQALNRAGGKSGNKGAECALTAIEMASLFDHHLK >KJB78192 pep chromosome:Graimondii2_0_v6:12:35065936:35070976:1 gene:B456_012G182900 transcript:KJB78192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPPPKPILPQDWESLVEDFQYGGFRREKWRSLSPSVVELALSSILKKEFPFKIPLVIFLDEFSDLLFPQDPSLASLMDRLVETLRAVVQSPNDGVHVTYALKEQMMVSTTSILISTNSVESVEVRFTESVVELLLAVINRPNHGPDRHARATACECLRELEKAYPCLLSDIAGHLWSLCQSERTHASQSYILLFTTVIHSIVNRKLSVSILNTSVPLIPFNVPQCVVELEKEGLLELNYKELRRAMSFLLEWPQVLTPCGMMIFMGMIMPLAVALDLQPSMLKVQFFGMIYSFNPLLYHVVLVMYSHFSEAFNEQEIEIVRRLYLVSLETQQHLVFRLLSVHWLMVFLNRLMVGRKKSIVETGFMFYPSVFDPLSLKALKLDLLAFCSVCINKLNPQSVSDMEGNSVVKLFQGGLVSVLGFKWLPPWSMETAVAFRTFHKFLIGASSHSEADPSTTTALMESAIFNHLKGMFVDLILEFQRLVPVIVAFIDRLLGCQKHLWLGERLLQTIDANLHPRVAIDYRLVSYFPIFDRIAENQTIPPRRLLELLTKFMAFLVEKHGPDTGVKSWSRGSKVLGICRTMLTHHQSSRLFLGLSRLLAFTCLYFPDLEVRDHARIYLRMLICVPGVKLRGMLNLGEQLLGISPSSHSGSFFNVPSPRHSQDPKKLKNIASYIHLERMIPLLVKQAWSLSLLPLRVGSNKMDFSGGFRDGEASTDERELDANIQVETVLDGQVTDKPQAPLYVMDSKVSEILAILRRHFSYIPDFRHMPGLRVKIPCYLRFDAESFNNVWGDESPKSGLHGVDALPAIYATVLKFSSLAPYGSIPTCHIPFLLGQPPTSGDFRDENVSLDIVATLDGDGGREEDIYKAPAMIELEPREPTPGMVDVFIETNGEDGQIITGQLQSITVGIEDLFLKAIAPPDIREDVLPDYYSDLFNALWDACGTTSNTGREIFPLKSGKGFAAIHGTRSVKLLEIPPYALIRATEHYLAPFVVSVSGDRLIDMVKDNGIIRDVVWQDEASGHLLEAPTSVAEPDSMPLYLTLVENEEEQESQLNIGKRSIGCIHVLIFLPPMFHLLLQMEVSDASTLVRIRTDHWPCLAYIDDYLEALFLS >KJB78116 pep chromosome:Graimondii2_0_v6:12:34755158:34756908:1 gene:B456_012G179100 transcript:KJB78116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSTNIVRISSGEPEEPEENITYMDALLYKAAAEGRIEEFNSHPKLQLESLKTPNHDNVLHVNLATPEYYAKFYVGILSSRYLPLFYLFFRSFLWISIYKRNSKKRSNFIEQILVKCPSLLLQKNAKGQTPLHVAARNGHSAIVKLLIRSCAKARDGDLEKLGMDQVNAVREMLRITDQESDTALHVAAQYGDVEMVEGLLELEDPDFPYSANKNQKTPLYLAAEIGNRGVLSVLLDKSKSTGQGGPTVEQLCMQQLWLKTQRQQR >KJB75336 pep chromosome:Graimondii2_0_v6:12:7711655:7712750:-1 gene:B456_012G056700 transcript:KJB75336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCGQKLRRKKNRSFEYSTKLLEKTESKRDIYMLSSAVEGIARIEIQKRLNLIQVIIYMGFPKLLIEDKPGKVEKLQINVQKELNCINRKLNIAITRIGNPYGHPNILAEFIAGQVKNRVSFQKTMKKAIELTEQADTKGIQIQIAGRTDRKEITRLK >KJB74996 pep chromosome:Graimondii2_0_v6:12:2161051:2163742:1 gene:B456_012G018400 transcript:KJB74996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKETNQENPSLLSNNNISITKEDSSPKKHPGNTAAAGGGDRLKRDEWSEGAVSSLLEAYENKWVLRNRAKLKGHDWEDVARYVSARANCTKSPKTQTQCKNKIESMKKRYRSESATADGSSWPLYPRLDLLLRGSTAPPPPPLLPPQLQPSAVPQAATPISTNPPLMTLPEPSMMVVLQQQHPPPPPPHLAPQLPGTTQNSHGSNGIDRIPKDGAGTKSSGHLSDKIAMETDSSTPALYSDRERPRSKKAKMKIETMATMMKKKKKRRKEECEIGGSIQWLAQVVLKSEQARMETMKEIEKMRVEAEAKRGEMDLKRTEIIANTQLEIARLFAGSNKGVDSSLRIGRN >KJB74995 pep chromosome:Graimondii2_0_v6:12:2160832:2163800:1 gene:B456_012G018400 transcript:KJB74995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKETNQENPSLLSNNNISITKEDSSPKKHPGNTAAAGGGDRLKRDEWSEGAVSSLLEAYENKWVLRNRAKLKGHDWEDVARYVSARANCTKSPKTQTQCKNKIESMKKRYRSESATADGSSWPLYPRLDLLLRGSTAPPPPPLLPPQLQPSAVPQAATPISTNPPLMTLPEPSMMVVLQQQHPPPPPPHLAPQLPGTTQNSHGSNGIDRIPKEDGAGTKSSGHLSDKIAMETDSSTPALYSDRERPRSKKAKMKIETMATMMKKKKKRRKEECEIGGSIQWLAQVVLKSEQARMETMKEIEKMRVEAEAKRGEMDLKRTEIIANTQLEIARLFAGSNKGVDSSLRIGRN >KJB75480 pep chromosome:Graimondii2_0_v6:12:5476005:5477149:1 gene:B456_012G043600 transcript:KJB75480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKHQLHHHFTCLALLIFILGVCEATSRAALEDASMYERHQQWMVQFGRVYKDTNERQKRFQIFKQNVARIDSFNAANNKPYKLGVNQFADLTNQEFTASRNGFKGHMCSNTATTFKYENATALPSTVDWRKKGAVTPIKDQGQCGCCWAFSAVAAMEGVTKLTTGKLISLSEQELVDCDTKGEDQGCEGGLMDDAFQFIEKNKGLTTESIYPYKGVDGTCNTNEEANHAAKINGFEDVPANSEDALQKAVANQPVSVAIDAGGFDFQFYSGGVFTGSCGTDLDHGVTAVGYGEDGGTKYWLVKNSWGSSWGEEGYIRMQRDVDAKEGLCGIAMQASYPTA >KJB78271 pep chromosome:Graimondii2_0_v6:12:35375374:35378955:-1 gene:B456_012G187100 transcript:KJB78271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWYGGALVASLFMLLVLRYVVMKNPVEESYLMNPFSSNATNPLEWVHITGSPTVQYPVNASQVISVDAIAFSLFAQRNLSEGEQQSLFTWNLLKNLINHSHALPNGVEAIKEAGSAWNSLMALVQEKKLGYANDNSSKKAKEKQCPHFLNKMNATEPDKSDYKLRVPCGLTQGSSITIIGIPNGLLGDFRIDLTGEALPGEPDPPIILHYNVRLHGDKMTEDPVIVQNTWTIAHDWGEEDRCPPSTPDKNRKVDELDQCIKLVSKDDNRTVSPHSHGSRKSSVGLQGVKYFPFKHGSLFVATLRVGSEGIQMTVDGKHITSFAYRETLEPWLVSEVRISGDLKLISVLASGLPTSEDSDLTVNLEALKAIPLSHQKPVDLFIGVFSTANNFKRRMALRRTWMQYPEVRSGTVAVRFFVGMELWNEARTYGDIQLMPFVDYYSLITWKTLAICTFGTEVVSAKFVMKTDDDAFLRVGEVVASLSRISMTRGLLYGLINSDSQPHRSTDSKWFISPEEWSEEKYPPWAHGPGYVVSYDIAKAVYNRFNEGRLKMFKLEDVAMGIWIDDMKKEGLEVRYEKEERIFTEGCKDGYVIAHYQGPREMMCLWQKLQETKRARCCGDP >KJB76345 pep chromosome:Graimondii2_0_v6:12:13952838:13958680:-1 gene:B456_012G083500 transcript:KJB76345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSFGKLRRFALHKNDNKDKLDILSSAHLDELAQAAQEMQDMRTCYDSLLSAAAATANSAYEFSESLQEMGSCLREKRVLPDDEESRRILLMLGNLQFELQKLVDNYRSHIVLTITNPSESLLNELRTVEDMKRQCDEKRSVYEYMVTQQKEKGRSKGGKGETFSLQQLQIAQEEYDEVATLCVFRLKSLKQGQSRSLLTQAARHHAAQLNFFKKGLKSLEAIEPHLRQVTEQQHIDYEFSGLEDDDEEDGEIAYDPNKEGELSFDYRANEKGVDVTSVSSIEEDEVTLSFPQTSYMENEEVNPERNHGDIQVSSREHRVGSHSAPIFPERKLDPAERVKQMLQSSTRKSNTYVLPTPNDSKSAVPSRTVSSFTHTRPTNVAGRLHNLWHSSPLESGDGQLSEKSESVLKESNNSNTFTQLPPPLSEGQVPAHPDSSTEAKRIKKKAAAGPLTSKQASSRPIPSAELPHIASAVFSHLPVPQPLSPPKVSPSASPPLVSSPRINELHELPRPPPVSSAAKPAKPSASVGHSAPLVSRNQEHSASTIPSLASSGASPLPAPPSVVPRSFSIPSSNQRAMAIHVSRLLEAPQVPSPPLTPLSIVNIKPLQDVSEVPSHGGQMRGGS >KJB76346 pep chromosome:Graimondii2_0_v6:12:13952839:13957437:-1 gene:B456_012G083500 transcript:KJB76346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLLSEFSESLQEMGSCLREKRVLPDDEESRRILLMLGNLQFELQKLVDNYRSHIVLTITNPSESLLNELRTVEDMKRQCDEKRSVYEYMVTQQKEKGRSKGGKGETFSLQQLQIAQEEYDEVATLCVFRLKSLKQGQSRSLLTQAARHHAAQLNFFKKGLKSLEAIEPHLRQVTEQQHIDYEFSGLEDDDEEDGEIAYDPNKEGELSFDYRANEKGVDVTSVSSIEEDEVTLSFPQTSYMENEEVNPERNHGDIQVSSREHRVGSHSAPIFPERKLDPAERVKQMLQSSTRKSNTYVLPTPNDSKSAVPSRTVSSFTHTRPTNVAGRLHNLWHSSPLESGDGQLSEKSESVLKESNNSNTFTQLPPPLSEGQVPAHPDSSTEAKRIKKKAAAGPLTSKQASSRPIPSAELPHIASAVFSHLPVPQPLSPPKVSPSASPPLVSSPRINELHELPRPPPVSSAAKPAKPSASVGHSAPLVSRNQEHSASTIPSLASSGASPLPAPPSVVPRSFSIPSSNQRAMAIHVSRLLEAPQVPSPPLTPLSIVNIKPLQDVSEVPSHGGQMRGGS >KJB74826 pep chromosome:Graimondii2_0_v6:12:999348:1004277:1 gene:B456_012G009200 transcript:KJB74826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWVTVADRVNIGLVCLFMMLWSVSGIGVNWGTQASHPLPPDTMVRLLRDNGFQRVKLFDADYDTLKTLGKTGIEVMVGIPNDMLAIVGGSMKAAEKWVAKNVTQHITSNNVNIRYVAVGNEPFLETYNGSYLGITFPALRNIQLALVKAGHNNQVKVTVPLNADVYESTNGSPSGGDFRADIHDIMLTIVNFLSLSSAPFTVNIYPFISLYSDPNFPVEYAFFDGNASPVSDGGTLYYNMFDANLDTLAHALQKNGFADLPIIVGEIGWPTDGDRNANTEYARRFNQGFMSHISGGRGTPMRPGPIDAYLFSLIDEDSKSIAPGNFERHWGIFTFDGQAKYPLNLGTTNSGALISAKGVQYLERKWCVMKPSVRLDDPQVEPSVSFACGLADCTCLGYGTSCGNLDAWGNISYAFNSYFQKNNQREDACKFPNVSMITKADPSPRDGNCKFNIMIQPYYGSAGWYARKPLGLTSVLLIFSLVL >KJB76721 pep chromosome:Graimondii2_0_v6:12:22996818:22998155:-1 gene:B456_012G103000 transcript:KJB76721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFSGSVIGSAAFVSLALFGAYVSRTGIKIVDVLTPKAFMVSLSEPCSHTGFPISIDASLCEIIPPGALVMLNPLTVGTLFGVETLASVQVAISASNTGSWFNNAKKYIEAAVKGDTKGDPLKDTSSPSFNILIKLMAVEPFVFALFFAGHGGLLFKFI >KJB77061 pep chromosome:Graimondii2_0_v6:12:27226003:27227186:1 gene:B456_012G118400 transcript:KJB77061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVVPEADSLLHPINPKGDDEAGAKQRGEEKERANGSGFINHLISNFVTAGSDADEESQATEAEKETMSAGAEAKNDEKGGGFFDQIISNLVSPLSPKAGSISAQGKAEAFGESGLRPEAETGGGGGGGVMNKEEQTEDGGGIIDNIVSQLPTSLPDDAAPTSDEATILIHIVQD >KJB77733 pep chromosome:Graimondii2_0_v6:12:32752110:32752454:1 gene:B456_012G153800 transcript:KJB77733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKSHKLPQTAVLKQILKRCSSLGTTAMVSLSTYPKAVGENRSRYIVRISFLTHPEFQCLLRRAEEEFGFNHNMGITIPCEYFQYEYYIVKLYFQQEYSSSNFKNNIIKKNP >KJB75214 pep chromosome:Graimondii2_0_v6:12:3979177:3981528:1 gene:B456_012G032100 transcript:KJB75214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVPSNIILLLFLLVSFHVDVIAEEDEAKIIKPGSSLYAGKQPSSWASPSGNFEFGFYRQGEGYAAGIWLVGRPENTIVWTAKRDDPPVSSNATLVFTTRGVLLLRTEDGVENVIANQSGVDSASLLDTRNLVLYENRSVVWESFDIPTDTILGGQNLRNDSVLISSVSSSNHATGHYYLLLKSDIGLVANLNISSAAAYQYWVFPNGFSYSLNLSESGVLALYSSLNFGQENVLANGSTTRNKTMIIYRATLDHDGVFRLYSHQLESNTMSNKWQNLDDECEIPGRCGLNSYCSTSRGNDTECYCYPGFIFIDENAKSLGCSQNFTVDGCEARRDVVIHHNSTTLDNVSWAGNLYSVKRNLEKEDCKKACEDDCSCGGALYSPNHCSMYSLPLKYGRKHVNITTTAFIKLIPGSTISPPPEKSQILISEGNESLILTMGLSLGSVASLCFVIAICSFLLYRHRVQSYEKLLDSKSSGFAEQFTLRSFTFNELDEATQGFQDELGRGSFGAVYKGTLPGDGKSIAVKRLGMVKEGERDQNERPVWKQRARIALDVAKGILYLHEECEVSIIHCNIKPCNILLDDSLTAKISDFGLAKLLRPNQRSSTSGAAWYSAPEWQNSAVLSVKVDVYSFGVILLEIICCRSNIEVEGRSADEILLSTFVYNCFVGGELNKLVEGEEEVDMKMVERFVKVGLWCIQDDPNLRPLVKNVILMLEGTMNVPIPPFPSLPHVTN >KJB77183 pep chromosome:Graimondii2_0_v6:12:28773895:28774275:-1 gene:B456_012G124500 transcript:KJB77183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCEGDSWGFYLPMSLRSMGSDPMKRVVKLASESAVVIFSVSSCCMCHAAKRLFCGMGVNPTVYELDQDPRGKEMEMALTTLLGNSQTVPIVFIGGKLIGAMDRVMASHINGTLVPLLKEAGALWL >KJB75716 pep chromosome:Graimondii2_0_v6:12:6963316:6964023:-1 gene:B456_012G053200 transcript:KJB75716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSANSNSNMILLFHGFSCYNTVKSYVSRYWSFSPQSQTSDWDLKDQKSGFSSKEVLSGGERLCRKEVEILMGNLGIFCSQESEEQLNESYGCEEISRLFEQEPSLEEVKQAFDVFDVNKDGFIDAEELQRVLCVLGLKQGLKLENCNNMINTFDEDGDGRIDFQEFVKFMENSFC >KJB74973 pep chromosome:Graimondii2_0_v6:12:1906579:1908031:1 gene:B456_012G016600 transcript:KJB74973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAFNFGSTPSTAPGFGFSSSTSSTAPGFGFSSSTSSTAPGFGFSSSTTSFGSSSSASSFSSRSAKSTVTTPVNEKPLTDTEDDLRKRISFLSGFMVAFKDQIHTDIKLKPNNGPCISAHRSLLAARSEIFKNILSSDNFKAPPTDTDTITLSELSTEELKSLLEFLYTGDLPADKFKNHVYALCAAADKYEIPYLQESCERYMLNSLNASNALDILDLSNLYSKKKLKETTLNFIVRNMKSIVSSQKYEEFASSNPNLCVEVSRAFVESRGECCWNSTINN >KJB75631 pep chromosome:Graimondii2_0_v6:12:6435193:6436236:-1 gene:B456_012G049200 transcript:KJB75631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQKHFKNPMKKSKRKSSTMRSRARKVARKRVVCSSKRRRICSKSCSKSKEVISEKLAALKSLIPGNNVRNGSNGIVKVEQLFQETADYIIVLKTQAVLLQKLIDFYDHGDEGSNNEMQHQIVQQHQS >KJB77880 pep chromosome:Graimondii2_0_v6:12:33465823:33466068:1 gene:B456_012G1630002 transcript:KJB77880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYIVGVGAVIASLLGFLFLYCNSLIRFRGLSKLKRAASMEFPKGNSVNKTGNSEGVGTTDIIIVGAGVAGAALAYSLGK >KJB77881 pep chromosome:Graimondii2_0_v6:12:33465823:33466068:1 gene:B456_012G1630002 transcript:KJB77881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYIVGVGAVIASLLGFLFLYCNSLIRFRGLSKLKRAASMEFPKGNSVNKTGNSEGVGTTDIIIVGAGVAGAALAYSLGK >KJB77314 pep chromosome:Graimondii2_0_v6:12:29989989:29993678:1 gene:B456_012G131100 transcript:KJB77314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSYSSGELSRESFQPHILVLPRLRTSVNFYSQMLNSRGCRFHPEFKLHCHPKTLFLPARSSSSNVKKKRYGGVLPSILRSLDSDKDLEKTLASVCENLSPKEQTLVLKEQSNCERLIRVFEFFKSLKDYVPNVIHYNIVLRALGRAQKWDKLRLCWIEMAKNGVLPTNNTYGMLVDVYGKAGMVKEALLWIKHMRLRGLYPDEVTMNTIVRVLKDAGDFDRADRFYKDWCIGRVDLNDIELDSMIDLDNGSGSAISFKQFLSTELFRTGGRSPVSGTSGSPDTESSVRKPRLTSTYNALIDLYGKADRLKDAADVFAEMLKSGVAMDTITFNTMIFTCGSHGHLLEAESLLAKMEERGIPPDTKTYNIFLSLYAGAGNIEAALEYYRKIRKVGLFPDIVTHRAVLHILCERNMVQEAETVIEEMEEFGIHIDEQSLPVIIKMYIAEGLLDRAKMLFEKFISDHELSSKTSAAIIDAYAERGLWSEAEAVFYGKRDSPRQNRSVLEYNVMVKAYGKAELYDKAYSLFKSMRNHGTWPDECTYNSLIQMFSGGDLVDHARDLLGEMRAAGLKPKCQTYSSLIACYARLGQLSDAVDVYQEMISAGVKPNEVVFGSLINGFAETGGVEEALQYFRMMEESGISANKIVLTSLIKAYTKVGCLEGAKRAYEKIKDLEGGPDIVASNSMLNLYADLGMVSEARCVFDNLKETGSADGFSFAAMMYLYKSMGMLDEAIDVADEMKQSGLLRDCSSYNKVMACYVTNGQLRGCGELLHEMINRKILPDMGTFNVLLTSLKKGGIPIEAVTQLESSYQEGKPYARQAVIITVFSLVGLHAYALKSCDAIIKAEIPLESFVYNAMIYAYGSSGQIDKALNVFMKMKDDGLEPDIITYINLVSCYGKAGMLEGVKRIYSQLKFGEIEPNESLFKAVMDAYKDANKPDLAELVNQEMKFAFEGPDYSESEIEGESKSEEDIVLDL >KJB78302 pep chromosome:Graimondii2_0_v6:12:32674369:32675178:-1 gene:B456_012G152800 transcript:KJB78302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFVNKPVIVREVFADNLEYEFMLIQSALHNYSFVSMDTEFPGTIFKPDKRFIQLGNPEVNYRFMKVNVDALKIIQLGLTLSDSEGNLPDFGTPFCYIWEFNFKDFDIEKDHYDKESIELLKRQGIDFTRNKEKDIFSRDFGMMVLISGLGFGELTWVTFHSAYDFGFLLKILTQNPLPPDLKSFMRHLTYYFGCMIFDIKYSFKIFNLHDGLEKVAKTLNVARVAGLSHQAGSDSLLILRCFMQIKNTKAFKQCNQKLSALALYGLV >KJB77339 pep chromosome:Graimondii2_0_v6:12:30195617:30196643:-1 gene:B456_012G132400 transcript:KJB77339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTPYCDKSGLRKGTWTPEEDRKLTAYVTRYGCWNWRQLPKYAGLARCGKSCRLRWLNYLRPNIKRGNYSKEEEETIIRLHESLGNRWSAIAAQLPGRTDNEIKNHWHTHLKKRFMDKQNNREKAVEKKEKKLNNHHHLVIDVPTSPPLILESSLSASPQPTSSDQYSTITKDNTVLSSKDSVTYDHNNNNKASLEGYEANSGNFWNEPFFWDSCNINTSVTLDPASYELPEFPFLDGEISCHFDSYDPLDGFYF >KJB78204 pep chromosome:Graimondii2_0_v6:12:35108620:35113774:-1 gene:B456_012G183700 transcript:KJB78204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIEMEEDHPRLILHNFLTEDECKELEFIHKSCSTIGYRPNVFSTTLSHLIATNSAHLIIPFLPIRDRLKEKVEETFGCEYELVTEFTGLISWSRGASIGWHSDDNRPYLKQRDFTAVCYLNSYGKDFEGGLFHFQDGELKTIAPMVGDAVIYTADGRNTHSVDEVTSGERLTLTLWFSRDSSHDEDAKLILLLEENVLLKSNNVPWSLLPLPASDNMYWFSPHQASNQDIGFDIRLGRLHALGFDVYYSRDMNFGSDLSELLMEPMQLARRDQLLDHKFVNVLHSLQVAQFYFWEGCKSQAFTNEVQSGNTVRLSRSQSEKITQVGVNRDIMLCVRPVQLGSVFYKISWTAIGIV >KJB78205 pep chromosome:Graimondii2_0_v6:12:35109488:35113774:-1 gene:B456_012G183700 transcript:KJB78205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIEMEEDHPRLILHNFLTEDECKELEFIHKSCSTIGYRPNVFSTTLSHLIATNSAHLIIPFLPIRDRLKEKVEETFGCEYELVTEFTGLISWSRGASIGWHSDDNRPYLKQRDFTAVCYLNSYGKDFEGGLFHFQDGELKTIAPMVGDAVIYTADGRNTHSVDEVTSGERLTLTLWFSRDSSHDEDAKLILLLEENVLLKSNNVPWSLLPLPASDNMYWFSPHQASNQDIGFDIRLGRLHALGFDVYYSRDMNFGSDLSELLMEPMQLARRDQLLDHKFVNVLHSLQVAQFYFWEGCKSQAFTNEVQSGNTVRLSRSQSEKITQVNSVFLKDTKLVETVFQSAGQGYFNLATFSAAITEWEDYSCKLHKEILLSLPQWRAHQAIYSVKFDV >KJB74964 pep chromosome:Graimondii2_0_v6:12:1837773:1840576:-1 gene:B456_012G016100 transcript:KJB74964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFFSLPLDDAASTLGVCTSVLKKICRENGLDRWLHCKPDAGLAAAILMQNLSRISMAATAYSKGELEYAAYLSDQVTYLFDKMEECLGIVLLEALQNGATVLDLKKLENLFYYIFDT >KJB75142 pep chromosome:Graimondii2_0_v6:12:3282341:3283534:-1 gene:B456_012G026400 transcript:KJB75142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSSISCTRPEKKISGTFAISFSLNDTFPVSSIDSSFGGDSSEFLFRTFLIARSIPDGIGELGRSRADEIAAAEFTVDII >KJB78303 pep chromosome:Graimondii2_0_v6:12:32673166:32673717:-1 gene:B456_012G152700 transcript:KJB78303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNMILAWILILSTAMAVARCQDFYSHAGPYVPPPQKVTYLHFFLHDTMSGNNPSAVPIVSPNITTSTGFGGVIAFDDPLTVGPDITSEVIGNAQGLWVSTGKDVLTLMAYLDIGFTKGEFNGSSISVLSRNPITESERELAVVGGKGKFRMAKGYAQLKTYSVNFKTGDAIVEYNVTVIHY >KJB75092 pep chromosome:Graimondii2_0_v6:12:3074133:3087577:1 gene:B456_012G024500 transcript:KJB75092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFCLGLELILSLLYFYKAVSPGLQSQALPFSDPNFNVGFMHGQTSEHVKVREQLHNTGTPVLSRIDDTGGLPLIVPIGAPWQQIHCLARRQKKIYVESFDLAPIKFTLSFSSSPWMLRSGVLTSGESVIHRGLMAFADVEGARIHFKELSIMHQMASWESIQEILIRHYTRQFLHEMYKVFGSAGVIGNPMGFARSLGVGIRDFLAVPAKSILKSPTGLFTGMPQGTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDEQAVARMKRRLKGEASHSKGIINEVFEGLTGLLQSPVKEAEKHGDTSQKAQPKLKNVMGPIKKFIHT >KJB74895 pep chromosome:Graimondii2_0_v6:12:1507605:1511316:1 gene:B456_012G013300 transcript:KJB74895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWRCRWGFLPSTPATAIFASFRLISVRLFSAPEVSSTDKSQAFSGEKKTLIINPQIVHSTLLNCPSNLIALSFFLWCAKQPNYFHDVQAFDCMVNVVSQLTKKYLTVRRIVGELENIGCVIKPQTFLLLLRIYWRSALYGMVFETFHEMAAFGFTPNTFARNVVMDVLYRIGHVDKAIKVLNDTHFPNFLTFNIALCNLCKLSDLSNISYVSRRMIQLGYYPNVKTFEMILNCFCKMGRLAEAYQVLGLMITLGASVSMNVWSILIDGFCRLHQPGLAYALFKKMLRTGCSPNLVIYTSLIKGFLDSRMVNSASSILNRLECDGYVPDLVLCNVLIDCLSKVGRYDDAFGIFLSLSDRNLVPDSYTFCSLLSNICLSRRFSLLPNIASGLAIEGDLMVCNSLLNYFCKAGYPLHAVQLYDYMLARGLTPDKYSFVGLLSGLCGAGRFDEAVNVYQALIRYYPGLDAHVHTVIVDRLIRVGKYHRAFRLFRRALEEKYPLDVVSYNFAIYGLFKSGRIYEAYTLYSQMKEVGVFPNAHTYNLVISGFCKGRDLKMVKQLMQEISKTEVELDHHTINSVIKLLFRSYPYPSDLNQLIEMCKSGLIPDEAMYAQFSKGLASGINIGHAQHSLMRDQLLVDPSSSDDIPDVAASVG >KJB74705 pep chromosome:Graimondii2_0_v6:12:569603:570597:-1 gene:B456_012G004600 transcript:KJB74705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKPKGNISIKELSDVTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGPGGLEVDVSKLIWTPYKEQSYEL >KJB76845 pep chromosome:Graimondii2_0_v6:12:25016814:25020218:1 gene:B456_012G110000 transcript:KJB76845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPVLLLVGVLTLVVATTASAAVVEHTFNVQNLTVTRLCNRQLIVAVNGSFPGPRIRVREGDTLIVHVYNKSPYNLTVHWHGVFQKLSCWADGPNMITQCPMLPGNKYTYKFKISGQEGTLWWHAHVSWLRATVHGALIIRPKSGHKYPFPKPHREVTIILGEWWNANVMDVEDEAVTSGGLPNISDAFTINGWPGDLYPCSQNHMYKLKVEPGKTYLLRIVNTALNGQLFYKIANHNLTVVAVDAAYTKPYVTNIIMIAPGQTVDALLVADQDIGSYYMAASPYSTSVGIPYDNTTTRGVVVYDGAPPIATPLMPTLPAFNDTATAYKFSSSLNGLKDGPHWAQVPKNVDYKMFVTVGLGFTPCEANRTCLGPKGTKLSASMNNQSFVPPKRMSLLQAFYSNVDGIYTTDFPAKPPIEFDYTNATINNYNPAFLFAPKVTKVTKLKFNSTVEMMLQNTAIIGVENHPMHLHGSNFHVLAQGFGNYNPSKHREMFNLVDPQIRNTIGVPAGGWAVIRFTADNPGVWIMHCHLDSHLTYGLGTVFMVENGPTPETTLPLPPVDLPNC >KJB78037 pep chromosome:Graimondii2_0_v6:12:34367192:34368621:-1 gene:B456_012G174100 transcript:KJB78037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAFSNLSVSIPFPSSNRASSNACRLLPRRCFGCKRNSITAMAAAGEGRDNLDHLQRVTKEDRSSQYNRKRVGPVAPVGLWDRFPTARTVQQMMETMERIMEDPFAYSGTWPSPLPTETDGYSRGRTPWEIIEGDGEYKMRFDMPGMTRDDVKVWVEDKMLVVKAEKKLNKKNANGGIGRGGEYEDDEWSAKSYGKYSSRIALPENVVFEKITAEVKDGVLYINIPKGDNNGRVLDINVE >KJB78034 pep chromosome:Graimondii2_0_v6:12:34337862:34338420:1 gene:B456_012G173700 transcript:KJB78034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPSVPHLSVTNPKRKQSNYQIPNSNGRSKVNKRRRIIRKKQIKCRKPRTTVRRCRGNRPSSIGTKLRTLRKLISGGNGSVGFEGLFNETARYILFMQMKIKAMEIMVRVLTDSNGVK >KJB74704 pep chromosome:Graimondii2_0_v6:12:390492:392931:1 gene:B456_012G003200 transcript:KJB74704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDWHFTLKNIPLFISSSELHRNLMMLRPRLVLFSVFALTLSLFPDVSDGRAGKSNHCGPSLCGHVNISHPFRLKGQPRGCGDRRFELECKRNRTSFPTKYGNFYVLNISYVDRTLRLVDVSLVDENCSIPRSSIVHSSDSYLEYIILNRADTMYLVNCTTRMKSSSVYVDASRCPTNSSSSPWTYFYFPVSFSNISDFHHSCRFIAQFPVMLSNISGLSPSYIYKNLLEGVDVYWYIPYTDWTLNPILKVLLDLVYYLLLPIESNLAFLLPGIFGHYPFGKGATFVCLAVSGILLARTLLGIFCLITLVIRKLKRRHLSMDDDIENFLQSQNNLIPIRYSYSEIKRITEGFKVKLGQGGYGSVFKGKLRSGRLVAIKMLDKSKANGEDFINEVATIGRIHHINVVQLIGFCVERSKQALVYDFMVNGSLDKIIFSKENCTLSWQKLFEVALGVARGIEYLHSGCQMQILHFDIKPHNILLDENFIPKVSDFGLAKLYSVDDSIISLTAARGTLGYIAPELFYRNIGGISYKADIYSFGMLLMEMVGRRKNLNAFDDHSSQIYFPSWIYDRFEQRENIELGDVTEVDDKIARKMIMVAFWCIQMNPANRPSMSKVLEMLENEHDQLELPSRPSLFSLEKSTEEHVCKNVSEEPSTSKSCVDI >KJB75078 pep chromosome:Graimondii2_0_v6:12:2776053:2777490:1 gene:B456_012G022600 transcript:KJB75078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEEAPEDDPKIVGAPLGALTEVDDPKENPDDEPNVGVLGACQVEPNAPPAMPLLELRDLVSEDEELIPKLEPELKGLATVAEDPKVELPEEKVAFPANPKDGDVADEN >KJB75166 pep chromosome:Graimondii2_0_v6:12:3492735:3495202:-1 gene:B456_012G028400 transcript:KJB75166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIVEGDESGDVVLEPPPNFSMVEEGIFRSSFPRPCNFAFLKTLNLRSIIYLCPEPYPEENLEFLRSHNIRLFQFGIEGKTEPSLASLKDAIRGALKILIDVRNHPVLIHCKRGKVIVQIQLLNVSFACGTELIKHRTGCLVGCLRKLQNWCLSSVFEEYQHFAGMKSRSADLRFIETFDIVCLTQCLHSIIYQYQGYGSKKRRLLYGEECTETPNNFDMNEESAHNINGRNSHFSLMV >KJB75165 pep chromosome:Graimondii2_0_v6:12:3492732:3495202:-1 gene:B456_012G028400 transcript:KJB75165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIVEGDESGDVVLEPPPNFSMVEEGIFRSSFPRPCNFAFLKTLNLRSIIYLCPEPYPEENLEFLRSHNIRLFQFGIEGKTEPSLASLKDAIRGALKILIDVRNHPVLIHCKRGKHRTGCLVGCLRKLQNWCLSSVFEEYQHFAGMKSRSADLRFIETFDIVCLTQCLHSIIYQYQGYGSKKRRLLYGEECTETPNNFDMNEESAHNINGRNSHFSLMV >KJB75464 pep chromosome:Graimondii2_0_v6:12:5495756:5499120:-1 gene:B456_012G043900 transcript:KJB75464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYHCRRRPQERKMSVPTSVSPPLLVSVAVMTVMFSGLVSVAESAIGVNWGTISFHKLKPSTVVDLLKDNKIQKVKLFEADPLVLRALMGSGIQVMVGIPNEMLAALSSSPAAADLWVRQNVSAYVGKGGADIRFIAVGNEPFLTSYNGQFQSYVIPAMANLQQSLVRANLAGYIKLVVPCNADAYEGNVPSQGAFRPELTQIMTQLVSFLNSNGSPFVVNIYPFLSLYGNSEFPQDYAFFEGTTHPVIDGANTYTNAFDGNYDTLIAALSKMGYGQMPIVIGEVGWPTDGAVGANLTAAEVFNQGLIKHVLSNKGTPLRPAVPPMDVYLFSLLDEGAKSTLPGNFERHWGIFSFDGQAKYALDLGLGNKKLKNAKNVQYLPARWCIANPNRDLSEVANHMKLACNVADCTTLNYGGSCNAIGAKGNVSYAFNSYYQLQMQKEKSCNFDGLGMVTFLDPSVGDCRFLVGVTDTSASFRPYQRWVVGCILMLWQVWAFRV >KJB74910 pep chromosome:Graimondii2_0_v6:12:1584162:1585194:-1 gene:B456_012G014100 transcript:KJB74910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRALPLSPSQYSIPKQHLYTQRLKSKSYPTLFRVSAKQQETNDEKEEGKKKSKQSLFSSVTEALDFSQVRSVKDAELLDEAREATKSGGRMSREQYGALRRKIGGTYKDFFKSYVEVNGEYVEEGWVDKACKVCKKDTRGEARQVDNFGRYVHVACLEKSNSGNFFTKLFSR >KJB74638 pep chromosome:Graimondii2_0_v6:12:23291:23808:-1 gene:B456_012G0001001 transcript:KJB74638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSMIQYFSTKPKPKMKPIELKTSPEQTQTITRVIFDILKEHGPLTVGDTWERVKEVGLRGLTSKRYMKIVLRWMRGRQKIRLICNHVGPSLNQASATQE >KJB75476 pep chromosome:Graimondii2_0_v6:12:5460604:5461161:1 gene:B456_012G043300 transcript:KJB75476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVTKLTTGKLISLSEQELVDCDTKGEDQGCEGGLMDDAFQFIEKNKGLTTESIYPYKGVDGTCNTNEEANHAAKINGFEDVPANSEDALQKAVANQPVSVAIDAGGFDFQFYSGGVFTGSCGTDLDHGVTAVGYGEDGGTKYWLVKNSWGSSWGEEGYIRMQRDVEAKEGLCGIAMQASYPTA >KJB76094 pep chromosome:Graimondii2_0_v6:12:10506366:10506833:1 gene:B456_012G071100 transcript:KJB76094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGLFLVKFGSRDDWDRILNLACWSFDQSLFSMIPFVNGQGTSCYNFIYVPFWVRIFNIPLKKMDRQVAFDVGKAIGEVLAINWRDKDGCWVEYIKIRVKLNISKSLRWVVYLVGADGEEILCTIKYECLPTFYFLCGCIGHHTYKYKQYEKVG >KJB77201 pep chromosome:Graimondii2_0_v6:12:28918893:28925280:-1 gene:B456_012G125300 transcript:KJB77201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVPAENLSKQNHLPHCGGLDFYGCGGGGGGGDSSYTENFGLMNRLHGYDYHNEACLGSDLGANQMAENPSRTNSLNETGSSSKDYNQEQEERDDEGWLQLSIGGHATKYHHHHHHNKHDQVDLTATRGGLTELDLLPGGTCHQERPHMPEFRPPLHPLVMQGFTTSLFLQQQGTSSMFSRACRPIAAATAPSPLVPLGSYFARPFQVQPGIDVAGPSTDFKIIDPPRRPHSGIWFILQASENQAKEPFLPQIPKSYLRIKDGKMTVGSIMKYLVNKLKLDSESEQVEIRCRGEELEPGLTLEHVRDQIWSSTDAVVTLLPHTSTPPSLHFNLMLLHYGRRA >KJB77202 pep chromosome:Graimondii2_0_v6:12:28918619:28925521:-1 gene:B456_012G125300 transcript:KJB77202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVPAENLSKQNHLPHCGGLDFYGCGGGGGGGDSSYTENFGLMNRLHGYDYHNEACLGSDLGANQMAENPSRTNSLNETGSSSKDYNQEQEERDDEGWLQLSIGGHATKYHHHHHHNKHDQVDLTATRGGLTELDLLPGGTCHQERPHMPEFRPPLHPLVMQGFTTSLFLQQQGTSSMFSRACRPIAAATAPSPLVPLGSYFARPFQVQPGIDVAGPSTDFKIIDPPRRPHSGIWFILQASENQAKEPFLPQIPKSYLRIKDGKMTVGSIMKYLVNKLKLDSESEVEIRCRGEELEPGLTLEHVRDQIWSSTDAVVTLLPHTSTPPSLHFNLMLLHYGRRA >KJB77294 pep chromosome:Graimondii2_0_v6:12:29861592:29861977:-1 gene:B456_012G130100 transcript:KJB77294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIQKSHVQVGGLKLHVAQIETGPKVVLFLHGFPGIWYSRKHQMIAVANAGFYAIAFDFRGYGLFTIHQSRKKLTSMTLSMILLPFSTPWPSIR >KJB77740 pep chromosome:Graimondii2_0_v6:12:32793078:32795881:1 gene:B456_012G154100 transcript:KJB77740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMPTLAYRVDSAVPPPGYSTFIVEESSIATTVSFSSFTTTSAAAVDSTNSNSTHWSPAHSSTLYRIDNWGAPYFAVNDSGNITVRPHGSSTLSHQEIDLLKVVKKASDPKSVGGLGLQMPLIVRFPDMLKNRLESLQSAFVSAIQTQGYESRYQGVYPVKCNQDRFVVEDIVKFGTPFRFGLEAGSKPELLLAMSCLCKGNPEALLICNGFKDAEYISLALLARKFHFNTVIVLEQEEEVKLVIDISKKLSVRPVIGLRAKLRTKHSGHFGSTSGEKGKFGLTTNHILRVVKDLHDSDMLDCLQLLHFHIGSQIPSTTLLQDGVSEAAQIYSELTRLGADMKVIDIGGGLGIDYDGSKSGNSDLSVSYSLEEYASAVVNAIRVVCDRKSVKHPIICSESGRAIVSHHSVLIFEAMSTTDTAPSMNQINLPFIELPEDARSDYWNMSDAVMRHDNETCLFYADQLKQRCVEQFKEGSLGIEQLAAVDGLFDLVTKIIVGTEPVRTYLVNLSIFTSIPDFWSIGQIFPIVPIHRLDQKPEVKAILSDLTCDSDGKIDKFIGGEANLPLHKPGNGQYYLGMFLGGAYQEALGGVHNLFGSPSVVTVLQSDGPHSFAITHAMSGPSCADVLRVMQHEPKLMFENLKHRAEEFCGIDAGSCLASTVARSFHNMPYLEPRSSCSLTAMNNGGFYYCNKEDYYAGSETGANEDEHWSYCFA >KJB77266 pep chromosome:Graimondii2_0_v6:12:29667788:29673550:-1 gene:B456_012G128700 transcript:KJB77266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRHYCPGSKSGQTWTTPPEDEPYNIIPVHNLLAILEDYIDENTGQPVMSSLSGENAFLHCVVKSIYDIVKAEVENSKNRTAPHTAWRNYDDLNDYFWSKRCFKKLKWPLDVGSKFFVTSSRSKNVGKTGFVEQRSFWNIYRSFDRLWVMQFLFLQAAIIVAWEEKGYPWQTLTSNDVQVKVLTAILDVGMQYSRVSRDTCGLGFRMVSKAVVAAGWIVTFAACYVRIWSQWNHDRRWSAEAGKRVVFFLLVACVYVLPELLALALFVLPWVWNFIEETNWKIFYLLTWWFQSKSFVGRGLREGLVDNIKNTLFWVLVLATKFTFSYFLQIKPMIKPTKQLLGLNNVDYEWPGGSIKLAVGLLWLPVVFIYFMDIQIWYSIYSSFVGAGVGLLQHLGEIRNIQQLKLRFQFFASAIPTFKSKFNNAISRLKLRYGLGQPYRKLESNQVEVRKFALALNLVDAPDKWLWHKISKNEYGRCAVIESYDSIKHMMLEILNAKFEEYSVFAVLFHEIDHSIEIEKFTKTFKMTSLPQIHSKLIQLVEILTKPKKDASQVVYILQALYEIAIRDFFKDQRTIEQLREDGLAPRDPASMAGLLFEKCPHPYYNEEVLYSKEQLWTENEDGISILYYLWTIYDDDWKNFMERMRREGMVKDDEIWTTKLRDLRLWASYRGQTLFRTVRGMMYYYRALKMLAFLDSASEMDIREGIQELGSVGEDVSLDGFNSKVSPSSRSLGGASSSLNLLFKGHEKGASLMKFTYVVACQIYGAQKDKKDPHAEEILYLMKHNEALRVAYVDEVSVGRDEKEYYSVLVKYDQQLQKEVEIYHVQLPGLLKIGEGKPENQNHAIIFTRGDAVQTIDMNQENYFEEALKMRNLLEEYKPYYGIRKPTILGAREHIFTSSVSSVNWFMSAQETSFVTLGQRVLENPLKIRIHYGHPYIFNRFWFLTRRGISKVSRVVNISEDIFTGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFETKVASENGEEVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTLMVILTVYAFLWGRLYLALSGVEIAALASNNNNNKALSAILNQQFIIQLGIFIALPMIVENSLEHGFLQAIWDFLTMQFQLSLVFYTFTMGTRTHFFGRTVLHGRAKYRGTGRGFVVQHKSFAENYRLYVRSHFIKAIEFGLILTVYASHNPVAKDTFVYIAMTISSWFLVLSWIMAPFVFNPSGFDWLKTVDDFDEFMNWIWYHGGVFAKAEQSWERWWYEEQDHLRTTGL >KJB75354 pep chromosome:Graimondii2_0_v6:12:4698566:4703663:-1 gene:B456_012G037800 transcript:KJB75354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISFTSTPSFASPCFHHKNPLPSFPIWVPCRNPTNSSASTLFPICFAAKPQTGGPVKKRSSSAAGNKKRRKGKSGNDDGNLKEMSLRDVEIVEENDDFDEGSSSSSSTSTTTRSLAYYSHPLPKPPAGFVVDDTGRVLMASNKRIATIVDSVNNNPLECVIRRVFKSSRGDECMLLCPVDMPVQILKSTNIDGWSAVSDEEVEAILPTAEYALAKVHMHLVHSGFCYTARGGFCYSEDDIFDFRSDDGQDIDGLPTEGIEITCFHLDGAHYMIYTPSDPLLFVAFKDQNGILQIADDVSKILHSAIDIIEACTLTTLSYVPLDYNRTIICHIYSCSVIKKYPIAMYHSCSWCSLAC >KJB75353 pep chromosome:Graimondii2_0_v6:12:4697628:4703728:-1 gene:B456_012G037800 transcript:KJB75353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISFTSTPSFASPCFHHKNPLPSFPIWVPCRNPTNSSASTLFPICFAAKPQTGGPVKKRSSSAAGNKKRRKGKSGNDDGNLKEMSLRDVEIVEENDDFDEGSSSSSSTSTTTRSLAYYSHPLPKPPAGFVVDDTGRVLMASNKRIATIVDSVNNNPLECVIRRVFKSSRGDECMLLCPVDMPVQILKSTNIDGWSAVSDEEVEAILPTAEYALAKVHMHLVHSGFCYTARGGFCYSEDDIFDFRSDDGQDIDGLPTEGIEITCFHLDGAHYMIYTPSDPLLFVAFKDQNGILQIADDELLEDPAIMSAIDEETEFNALVEEEAALLESLLGER >KJB74640 pep chromosome:Graimondii2_0_v6:12:25202:27955:-1 gene:B456_012G000200 transcript:KJB74640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQNHLGRFGANPGFQVERKLEVDDRSEVLQIMQMQAIASSNAVCEALKDEHLQKFISDLDSSPDALNISDELDKAMGLDVFRIFGDKASYHLQPILSAINQ >KJB74823 pep chromosome:Graimondii2_0_v6:12:3957475:3957725:-1 gene:B456_012G032000 transcript:KJB74823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PALPKLFWFTPTFPTCLTVAEQFLDIKRTSPERNFNFRTARAALANCPPLPSVISMLCMAVPKGIPIEVYSSF >KJB77538 pep chromosome:Graimondii2_0_v6:12:31570373:31572872:1 gene:B456_012G142400 transcript:KJB77538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMENSAVFMAPLLLRNTVTSLLVYADKSILVLAEKYKVLEIFRYLVIASFLFFLRLFPSFSQFLKADDDYTFNSTQSSGYFVGYGGVGDTGIGRALSQLLWSVNDIPVSSSKYGIVRSLAERLIEENNKEDIEALREMNRTVLSAAFSRTLCLVEAAMVELGQGRVGHYGAGFGPVCYQLNRLLRAVGVGSGRVGVSRSGNSAEKLAAELLWLAEELAAFLFKQMKDMDSDETEEGSRQTKMKMLTSWLPLLCRGSNGTDMPVLSLSERAELEKILEDTVDMLQHKEQEQVLSLWLHHFTYCPSSDWPNLHASYARWCTTSRKLLLPPLKM >KJB77537 pep chromosome:Graimondii2_0_v6:12:31570368:31572872:1 gene:B456_012G142400 transcript:KJB77537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMENSAVFMAPLLLRNTVTSLLVYADKSILVLAEKYKVLEIFRYLVIASFLFFLRLFPSFSQFLKADDDYTFNSTQSSGYFVGYGGVGDTGIGRALSQLLWSVNDIPVSSSKYGIVRSLAERLIEENNKEDIEALREMNRTVLSAAFSRTLCLVEAAMVELGQGRVGHYGAGFGPVCYQLNRLLRAVGVGSGRVGVSRSGNSAEKLAAELLWLAEELAGCGFEEEAVERWASASNLAALSLSAEPRLQGSLVKLSAFLFKQMKDMDSDETEEGSRQTKMKMLTSWLPLLCRGSNGTDMPVLSLSERAELEKILEDTVDMLQHKEQEQVLSLWLHHFTYCPSSDWPNLHASYARWCTTSRKLLLPPLKM >KJB75977 pep chromosome:Graimondii2_0_v6:12:9497063:9499005:1 gene:B456_012G0665002 transcript:KJB75977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMAAKFAFFPPNPPSYKVVTDDLTGLLLLTPFPHRENVEVLKLPTRRGTEIVAIYIRHPMATSTLLYSHGNATDLGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEQSTYADIEAAYKCLEESYGTKQEDIILYGQSVGSGPTLDLAARLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVNCPVLIIH >KJB77280 pep chromosome:Graimondii2_0_v6:12:29732753:29734967:-1 gene:B456_012G129100 transcript:KJB77280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFSLFANPSLHSITSTNYQLLSANLPPHALHNNHPVDTLSHLHQSIILLLHKQPRTKKKGAPSRLPRNLPLPSLSTALWAPTGHPSQLPSFCQL >KJB75533 pep chromosome:Graimondii2_0_v6:12:5982604:5983940:1 gene:B456_012G047500 transcript:KJB75533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDLVLDTTIRDWVLIPLSVVMVLIGVLRYFASKLMRSFQVPDPKIVKEGLFDLVGFISAMRFAFSYCFRTHVTKVYASKIPFPLTQSFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLMGLFNLILGEENDGLDIVQHEWALPKFEHRAEAVLKNLVS >KJB77041 pep chromosome:Graimondii2_0_v6:12:27152677:27154995:-1 gene:B456_012G117800 transcript:KJB77041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNSSTECSKTAPSEQSDHQVEAEADSESEENDGESMPRNGGSSSNSTVEENDKKPSVRPYVRSKMPRLRWTPDLHLRFVHAVERLGGQDRATPKLVLQMMNIKGLSIAHVKSHLQMYRSKKIDDPRQVISDHRHLVQSGDGNIFSLNQLPMLQGYNHHHQGDSSNTFRYRDTSWNGRHFSMRNPYTSRSFTDKQIPVLHGTVTDKIFGSNWTNYNFRMDTSSFNTLLPSWKCHEVLKNEISSSSPNLQSFLTKPSAQAKVEDETNCSRNSAQERRAMKRTISDSNLDLDLTLRLTQAKEEKRPSSEEDDVGLSLSLCGPPSSSKLSRLKGEDDSSRENGRRVSTLDLTI >KJB77130 pep chromosome:Graimondii2_0_v6:12:27829705:27840767:1 gene:B456_012G121900 transcript:KJB77130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPFSSLEPGGGGGGIAIMAGPLNPIDPSTPSKSCLKNSASKSPILIFQFFHKAIKAELDALHRAAMAFATNHHDSDLTSLLERCHFLRTIYKHHCHAEDEVIFPALDIRVKNVAPTYSLEHEGESVLFDQLFALLTSDMQNEESYRRELASCTGALQTSITQHMSKEEEQVFPLLIEKFTFEEQASLVWQFLCSIPVNMMAEFLPWLSSSISPDEHRDMRKCLSKIIPREKLLHQVIFTWMEGVKTAEKCKNCKGEARCEAFGASVLPSQTESGYCACESSKSCKRKYMELSSKPKDSTLSCPIDEIMLWHNAIKRELSDIAKAAEKIQISGDFSDLSGFNERLQFIAEVCIFHSIAEDRVIFPAVDAELSFTQEHVEEEIQFNKLRRLIENIQSAGADSSSAEFFANLCSQADQIMDSMQKHFHSEEAQVLPLARKHFSPQRQRELLYQSLCVMPLKLIECVLPWLVGSLSEEEARSFLQNMNLAAPPSNSALVTLFSGWVCKGHSADICLSSGAIGACPARILTRTQKDIDQPFCACTSICSTEERADDNRRPVKRGNIIFSEETDSFQLTGTINNHKLSCSDQSCCVPALGVNSSKLGMSSLAAAKSLRSLSFTPSAPSLNSSLFNWETDISSSDVRSLRPIDNIFKFHKAIRKDLEYLDIESGKLNDCNETFLRQFTGRFRLLWGLYRAHSNAEDDIVFPALESKESLHNVSHSYTLDHKQEERLLEDISSALSELTQLCEYLNDSNVNGNLNEINSDSSGQNDTMQKYIQKATELQGMCKSIRVTLDQHVFREELELWPLFDRHFSVDEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQEEQNKMMDTWKQATKNTMFSEWLNEWWEGNDASSPTSTSGSCISLGTDVHESLDQSDLNFKPGWKDIFRMNQNELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQTSAIECSNDEDLYGCSPSFRDLEKQEFGCEHYKRNCKLRAACCGKLYTCRFCHDKVSDHSMDRKATTDMMCMSCLKIQPVGPVCTTPSCGELSMAKYYCNICKFFDDERNVYHCPFCNLCRVGKGLGDDFFHCMVCNCCLAKKLVDHKCREKGLEINCPICCDFLFTSSESVRALPCGHFMHSACFQAYACSHYICPICSKSMGDMAVYFGMLDALLASEQLPEEYRNRCQDILCNDCDKKGTAAFHWLYHKCGYCGSYNTRVIKVESANTICSTSNI >KJB75734 pep chromosome:Graimondii2_0_v6:12:7046476:7047915:-1 gene:B456_012G054000 transcript:KJB75734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSNIRTYPDIGELAFGKKGRLIVSIFMYIELYLVATGFLILEGDNLQNLFPNMEFEVGQGLTIGGKQGFIIIVSLIILPTVWLDNLSLLSYVSASGVLASGIILGSIIWTGAFEGIGFQQKGTLVNWDGMLTAISLYAFCYCAHPVFPTLYTSMKKKHQFSNVLVVCFILCTITYASMAIFGYLMFGPQIQSQVTLNLPASISSKVAIYTTLVNPIAKYALMVTPIVNAIKTRFSCHYNLRFLSILIGTNLLISTVLVALAIPFFGSLMSLVGALLSITASIILPCLCYLKISGIYRRFNGQLVGICLIIIVGVCLVIFGTYTSVLDIIGNF >KJB75733 pep chromosome:Graimondii2_0_v6:12:7046468:7048248:-1 gene:B456_012G054000 transcript:KJB75733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMDEEESSFTLPLIEVDENKQALVTKLEEIEPNSSHSCSTTNSFFKTCFNGLNALSGVGILSTPYALASGGWLSLILLFAIATAAFFSGLLIQRCMDSDSNIRTYPDIGELAFGKKGRLIVSIFMYIELYLVATGFLILEGDNLQNLFPNMEFEVGQGLTIGGKQGFIIIVSLIILPTVWLDNLSLLSYVSASGVLASGIILGSIIWTGAFEGIGFQQKGTLVNWDGMLTAISLYAFCYCAHPVFPTLYTSMKKKHQFSNVLVVCFILCTITYASMAIFGYLMFGPQIQSQVTLNLPASISSKVAIYTTLVNPIAKYALMVTPIVNAIKTRFSCHYNLRFLSILIGTNLLISTVLVALAIPFFGSLMSLVGALLSITASIILPCLCYLKISGIYRRFNGQLVGICLIIIVGVCLVIFGTYTSVLDIIGNF >KJB76153 pep chromosome:Graimondii2_0_v6:12:11520597:11522407:1 gene:B456_012G075600 transcript:KJB76153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFFATSFMSNSDWFDQEDISRNPYWTKEENKRFESALAIYGEDVPDRWMKVAAMIPGKTVSDVIKQYRELEEDVFDIESGRIPIPGYLTSSFTFEMVDNHDFEPYRKRSNGAKGPDHERKKGVPWTEEEHRRFLMGLMKYGKGDWRNISRNLVVSKTPTQVASHAQKYFQRQLSGGKDKKRPSIHDITTLSITTPNTGFSDNQSDHVLALHQKLSSTLQKVDQNNDASAMALNSSQEGWFTSSQQYLTASNGLRFQGQNLYGNGFHGAQPPQSSVF >KJB76378 pep chromosome:Graimondii2_0_v6:12:14617237:14617609:-1 gene:B456_012G085700 transcript:KJB76378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDWGPVVVAVVLFVLCSPGLLFQLPGNKRAVEFANFQTSPISIFVHTIIFFGLVTIFVIAIGIHIYSG >KJB77030 pep chromosome:Graimondii2_0_v6:12:27034565:27035080:-1 gene:B456_012G117300 transcript:KJB77030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix (bHLH) transcription factor, Axillary meristem formatio [Source: Projected from Oryza sativa (Os01g0831000)] MDYFPSANFSSSFIDGSSSVTTTSNNSSSCSKEKKKAGKKGKGAVKLSTDPQSVAARERRHRISDRFKILQSMVPGGTKMDTVSMLDEAIHYVKFLKTQIWLHQAMINFVDDDSSSLFLPTSFPVETNIYPSSNPNPNLEPMQPSQLLPLPDSCFQGPQQTMPYDAYMRHQ >KJB76561 pep chromosome:Graimondii2_0_v6:12:17013722:17015385:1 gene:B456_012G094700 transcript:KJB76561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFHSPHGWNLMIGSIYKDFGFAHNDQIRSGLVSTFPVILDTIFKYQIFRYLNRLSPSLTMQTRNTFSWINEEITQSISISLMIYIITGDSISNAYPIFAQQGYENPREATGPIVCANCHLANKPEDIEVLQAVLLDTLFEVVVRIPYDMQLKQILANGKKGVLNVGVVLIFPEGFELALPDCIAPETKEKIVNLPFQDYHPTKKNILVIVLVPGKKFSEITFLILSLDLASNKHAHFLKYPIYIGENRGRGQIYPNGNKSNNTVYNFTTTCIRDVEIVLQDPLCVQDLLFFLASIVFVQILLVIKKKQFERV >KJB75717 pep chromosome:Graimondii2_0_v6:12:6985321:6986046:1 gene:B456_012G053300 transcript:KJB75717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYFHRSISVCNSVDQASPMANSGNSSDLMMNPKSASSKGNFCKKQKVFNPPQKVFNPPSCLKIPSCERSRSAAVDVVILIAVIAAFGILLFPTIKCISLKVIEFIEAAYDLVRDEMMISPMIYGSIGLGFSCSAIAAWILLLCTTRKCGNPHCKGLNKATEFDIQLETEECVKNLSPTVKDGVSKGLLQLLHNHHKELEAELKRLAPVNGRAVLVFRAKCGCSVGRLEVPGLKKQRKIKK >KJB77301 pep chromosome:Graimondii2_0_v6:12:29932548:29934190:-1 gene:B456_012G130600 transcript:KJB77301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEIEKVKEIAKGKGVDFGVELERQQWKPVFDEASISQRPLKKIRSPQRRHHHLVHSSVSYPSLPSSRLVFPFAFDGSFDSMSLPWQPQNQQHMISFSPQPHQQQQQQLLQYCSGALNLSPRGGMMGRLGQPVQPIHTSKLYRGVRQRQWGKWVAEIRLPRERTRLWLGTFDTAEDAALAYDRKAFKLRGKNARLNFPELFFKKDKDTSPSSPEPNQNQNLPKQEHESPKLQSANMESMSQGDNPGSEPTTKDMVQMTAEEGDSGSQASMWEDMALAEAWFNAFPEEWGPLNPVWDDIDAANNLLSPSNLSFTNQNQQDFSEFDHQKQQDSSSTSCPKKPFF >KJB75669 pep chromosome:Graimondii2_0_v6:12:6638959:6640207:-1 gene:B456_012G051100 transcript:KJB75669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACFLSSNSFTKSHEIVPSIKARSLTFTKKNPNLFHSRKVSFPSSITCCHLDSSSPSDDDKKPTLDSDWRSFRARLVALEKVSKPENSSSSTNPDTVVDHPPSVAINDKWVHTIHEPEKGCLLIATEKLDGVHIFERTVILLLSTGPIGPSGIILNRPSLMSIKEMRSPTLDIAGTFSDRQLFFGGPLEEGLFLVTPRMDEKSGVFEEVMEGLYYGTKESAGCAAETVKRNVIGAGDLRFFDGYCGWEKEQLNEEIRAGYWTIAACSPNVIGLGSVASVGLWDDIIGLLGPKKVW >KJB74566 pep chromosome:Graimondii2_0_v6:12:27962179:27963635:-1 gene:B456_012G122400 transcript:KJB74566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKASVFLAHAALPSPRPNATFLNLHCPSSSFPISPLLKPLHVPTLSTSRNFTVEAHFDLKGGQGMTSFHEVELKVRDYELDQYGVVNNAVYASCQHATLVNSI >KJB75094 pep chromosome:Graimondii2_0_v6:12:2913759:2920823:1 gene:B456_012G023600 transcript:KJB75094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALAGDDLARSMSNRSMSKRSMSRRMSLAPSGSQRGWASASIREAWNSQTDVFQKSGREEDEEELKWAAIERLPTYDRLRKGMLKHVLEEGKVGYEQVDIDNLDVQDKKNLMESVLRVVEEDNERFLLRLRERTDRVGIDVPKIEVRFEHLSIEGDAYLGTRALPTLLNSTLNTIEGVLGLLKLFPSKKRVVNILRDVSGMVKPSRMTLLLGPPGSGKTTLLQALAGKTDTDLRVSGKITYCGHEFHEFIPQRTSAYISQHDLHHGEMTVRETLDFSGRCLGVGTRYELLAELSRREKQAGIKPDPEIDAFMKATAMAGQKTSLGTDYVLKILGMDICSDIMVGDDMRRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVKFMKQMVHIMDVTMIISLLQPAPETYDLFDDIILLSEGKIVYQGPRENVLEFFESVGFKCPERKGVADFLQEVTSKKDQQQYWCRKDEPYRHISVPEFVEHFNSFHIGQKIDDELRVPYDNSKTHPAALVKERYGISNWELFKACFAREWLLMKRNSFVYIFKTTQITIMSVIAFTVFFRTKMKAGQIDNGVKFYGALFFSLINVMFNGMAELALTIFRLPVFFKQRDFMFYPAWAFALPIWVLRIPLSLLESGIWIILTYYTIGFAPGASRFFRQFLAFFGIHQMALSLFRFIAAVGRTQVVANTLGTFTLLVVFVLGGFVVAKDDIKSWMIWGYYISPMSYGQNAIVINEFLDKRWSIPMPFNQSISVGKVLLQSRGMYTEEFWYWICVAALLGFSLLFNLLFIVALTYLNPLGDSKSVILDEGDENKNRKQSSSDGRHNLKSIEMSSPSAASIFEGLDMAMKNTLDNSILSASDQASTKKGMVLPFQPLSLAFDHVSYFVDMPAEMKGQGIEETRLQLLRDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQETFARVSGYCEQNDIHSPHVTVYESLVYSAWLRLPKEVNAETRKMFVEEVMDLVELNPLKNSLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPGIDIFEAFDELFLMKRGGQVIYAGPLGCHSHKLVEYFEAVPGVPKIQEGYNPATWMLEISSTAVEAQLDVDFAEIYANSELYRKNEELIKDLSTPVPGTKDLHFPTTYSQDFFTQCKACFWKQYHSYWRNPQYNGIRFFMTFFVGIIFGVIFWDKGNKIHKQQDLMNLLGAMYSAVLFLGATNTSAVQSVVAIERTVFYRERAAGMYSPLPYAFAQVAIEAIYVSIQTLVYSILLYTMIGFQMEVGRFFMFYYFILMCFMYFTLYGMMLVALTPNHQFAAIIMSFFLSFWNLFSGFLIPRTEIPIWWRWYYWASPVAWTIYGLVTSQVGDKSDMVETTGEIPIAVKDFLEKSLGFDYSFLPAVVAAHIGWVLLFLIVFAYGIKFLNFQRR >KJB78333 pep chromosome:Graimondii2_0_v6:12:35056009:35061961:1 gene:B456_012G182700 transcript:KJB78333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVAGEAAEVRTNVRSESPMRMTSATTAGVPPSLTVSASFRESGGKGSSRRRGVRPSFDADNDFVPFLHGSDPVKVELTRLENEVRDKDRELGEAQAEIKALRMSERLREKACEELSDELSKMDEKLKLTESLLESKNLEIKKINDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLSRQEIAKLQDDNRALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVKELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITERAAKSEAQLKEKYQLRLRVLEENLRGSSNSFSRSTSVGRSTSNGPSRRQSLGGAENFSKISSNGFLPKRSPSSQLRSSLSSSTVLKHAKGTSKSFDGGTRSLDRAKVLLNGAASNVSVNHPSEGIKESELPSSEKSNDFQPVDTEDNVPGVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEHENKARRFANSKGPAAQLLTGRNTSRSGLTRSTQ >KJB78332 pep chromosome:Graimondii2_0_v6:12:35056009:35064324:1 gene:B456_012G182700 transcript:KJB78332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVAGEAAEVRTNVRSESPMRMTSATTAGVPPSLTVSASFRESGGKGSSRRRGVRPSFDADNDFVPFLHGSDPVKVELTRLENEVRDKDRELGEAQAEIKALRMSERLREKACEELSDELSKMDEKLKLTESLLESKNLEIKKINDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLSRQEIAKLQDDNRALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVKELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITERAAKSEAQLKEKYQLRLRVLEENLRGSSNSFSRSTSVGRSTSNGPSRRQSLGGAENFSKISSNGFLPKRSPSSQLRSSLSSSTVLKHAKGTSKSFDGGTRSLDRAKVLLNGAASNVSVNHPSEGIKESELPSSEKSNDFQPVDTEDNVPGVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEHENKARRFANSKGPAAQLLTGRNTSRSGLTRSTQ >KJB75177 pep chromosome:Graimondii2_0_v6:12:3644800:3646495:-1 gene:B456_012G029200 transcript:KJB75177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRNLGHDGFPGTEFTESSKSGNWSKEVNHEDDSDVLIECRNVYKSFGEKHILRGVSFKVIFLFFCFYVFFYSRGTWGTI >KJB77388 pep chromosome:Graimondii2_0_v6:12:30743789:30745384:1 gene:B456_012G135000 transcript:KJB77388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGKEKLSNESATKPSGVSITQSPTLPSLPPRNRSDTISRRFGANESEWSSDEEEDVYADDNNQQILIQDFRRHLQETHQTIQEMMKIQRWKPFAGD >KJB75848 pep chromosome:Graimondii2_0_v6:12:8850249:8851314:-1 gene:B456_012G062600 transcript:KJB75848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKLTKAVWLAFLILYSLYHSKHRKKRKQIKEEEKWLLPLSVYAPPTSSYPTHPPLFKTPSLQFPPRSATPPNLTHRSTALRPLNATAAPEKIGKLGTEISNLTLEEARTLVDYLQEKLGVSAAAFSPAAVPVAAPGGADAGETVVEEKTEFDVVIEEVPSNARIAVIKSVRALTNLALKEAKELIEGLPKKFKEGVSKEEADDAKKQLEEAGAKVSMA >KJB75847 pep chromosome:Graimondii2_0_v6:12:8849277:8851381:-1 gene:B456_012G062600 transcript:KJB75847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKLTKAVWLAFLILYSLYHSKHRKKRKQIKEEEKWLLPLSVYAPPTSSYPTHPPLFKTPSLQFPPRSATPPNLTHRSTALRPLNATAAPEKIGKLGTEISNLTLEEARTLVDYLQEKLGVSAAAFSPAAVPVAAPGGADAGETVVEEKTEFDVVIEEVPSNARIAVIKSVRALTNLALKEAKELIEGLPKKFKEGVSKEEADDAKKQLEEAGAKVSMA >KJB77295 pep chromosome:Graimondii2_0_v6:12:29875756:29876343:-1 gene:B456_012G130200 transcript:KJB77295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEFSEKPPHIFAKELFNGWHFKPLDSQKPQQYYENTLVQTGSVLFKHYTDPKDPNFITHSKAQILKILRPGDLNENSNSPKKFPTKFTTKIDHYPYFTYWDYQMTWYNAFLMNNQHMRHSWLIYFKYGTQFKFSNWFQEWWNWYGPSSFEILPEKIQNLWPKFFEKFQLEPDQKHIYKTIHFFSKLYISWIVS >KJB77991 pep chromosome:Graimondii2_0_v6:12:34071621:34072768:1 gene:B456_012G171300 transcript:KJB77991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWMEFEIKNHTHTLNQKLNFFNTLKQALMIPYKSPNIIVLCFLVSIPLCFTLFFHEMMLQQTIIAAMDMLREPNAKTMANSFFYKLIQLALLRLLPLHLSELCVAVVTIDSVSRKEKSEMVRRLVDKERWRGILVTSMYVQFVSNGFLLGSTWLMMNYYVIVRSFCYNVFTAAFLRICGVGLVVKFLEWMVMWNMSIVISTSEEVHGVDALGLSAYLCRGKERRGFLLTFVFFVSRIGLRFVCFNNESYGKRWWMILGVSLICLGKVIKWVVCVMYFYHCKEGRLERVDVEVGELVINCKSET >KJB77630 pep chromosome:Graimondii2_0_v6:12:33795545:33796324:1 gene:B456_012G167600 transcript:KJB77630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTTKMFSKLLTDTDIKKRLAIPAKSLPSLPPFNGSHAVIIHLLCGTSTWPILCTIRRKGYKKPVFSGGLWRNFVVSNNVNVGDKITMCKVQYEDGSSHYLVELEKSAASNQYGALPSPALSFNHDADANALPNSGNEVEHLAKTPDDAPIKQKGVIRIMELSNGATDTLVDDDHVINKPSVKIFGTNLSDEVTEMKWFMAKEEINFFGIDEGGAIAMAMTYGSGTSDDCGEACCNIITHHQSEVSLDLVLRQPNPL >KJB75134 pep chromosome:Graimondii2_0_v6:12:3260740:3262310:1 gene:B456_012G026200 transcript:KJB75134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERVQAIVSSSLTEDNIPTEFIRPEDEQPAITTFHGPIPDIPVIDFSDPDQDNIIRLIANASRDWGIFQVVNHGIPFDLIQTLQLIGKQFFNLPQEEKEVYAKPPRAHTIEGYGSKVGEDVNGKKNWSDYLFHRIWPASCINHQFWPKNPPSYRAVNEEYAQEVRKVVDKLFKWLSIGLGLEADVLKEGAGGEEIEYLMKINYYPPCPRPDLTLGVASHTDLSAMTVLVPNEVPGLQVFKDDHWIDAKYIPGALIVHIGDQIEILSNGKYKSVLHRTTVDKKKTRMSWPVFLEPPGEFVVGSLPQLVDPQNPPKYKPKKFKDYSYCKLNKLPQ >KJB76349 pep chromosome:Graimondii2_0_v6:12:14060121:14062336:1 gene:B456_012G083800 transcript:KJB76349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNPDKSSSFKSRDHDHKNSVPRAESLKSSKEKDPAAADKNETNEQGGEAKEAEIKYTLEKAWEDIQHFLSGEEGLEIPDIIDKYLDLVEHKVSRLEVPEKSKGCPVPDPEDDGWFHKVVEQMSKLHSHVSTVFKSDSSRGPLINRIGRIHQRVMSYLEDEFRVLLEESRTVEAAADQSPDAGQDQAKEEPNFPGYSKQVLATLNKISKLMISGGYEFECYEVYMVTRRNTIEETLNKLGFEKISLDDIQRMQWEAMEREIPPWVRAFKECANIYFSAEHKLAQTIFSDNPSVAKSLFANLIRVLFLQLLNFAEAIALSKRSTEKLFKFLDIYETLQDHSSAIDSLFPEECAKELKAELTATRSKIGETAICIFCDLENSIKSDTGRTPVPGGAVHPLTRYTMNYLKYACDEYKDTLEQVFKEHSTSKPRDYQGNSQSNNDENQSPFSRHLIKIMDLLDSILEAKSKLYKDVALSNIFMMNNGRYILQKIKGSPEIHQAMGDDRYKKRSYELRNYHQSYKRETWMKLLDCLNMEGLNVNGKVVKPALKERFKSFNAMFEEIHRTQSSWVVSDKQMQSELRVSIAGVIIPAYRSFLGRFSGYLTPGRQTEKYIKFQPEDIEAYIEGLFDGSTSSMPRRKT >KJB76540 pep chromosome:Graimondii2_0_v6:12:16791708:16794567:1 gene:B456_012G094000 transcript:KJB76540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM14-1 [Source:Projected from Arabidopsis thaliana (AT2G35795) UniProtKB/Swiss-Prot;Acc:Q8RV04] MATPFFAGLAVAAAALAGRYGIQAWQAFKARPPKPRMRKFYDGGFQPTMTRREAALILGVRENATAEKVKEQHRKVMVANHPDAGGSHYLASKINEAKDVMLGKTKGSGSAF >KJB74891 pep chromosome:Graimondii2_0_v6:12:1456722:1458058:1 gene:B456_012G012800 transcript:KJB74891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPYASRDFAPSLHLRGNSAGYQTFAGATMDGSPSFWNGGKVSTVNNAAMNDVLNKGKGKLGNEMDFQEKMFQPMRMGLDSGVAFKDYAANQSIGVHLDSSLPSYDSGNGELDLIALWNTKGKQKELDGTAMMVNPINVDNTVRKAGHGSSWWMQEPMVKSKIDASSSSWRSPSQVMTGFDGTQTMDYMSGMGSPSQVMTGFNGLNGTPTMDYMSGMGSHYAGKGMYEKEVIADGQGSSYRNNVEQVGVVSDLFKPAEMGVQPVSEYCFQDETISAELASLLQQKGGLDSLCNVPPDENWFGSLGDKNLMRASEKPLEYERFQPESNAAAAAAATDRFQPESNAAAAAATERRQKQTVEGAQQAQWRWL >KJB77581 pep chromosome:Graimondii2_0_v6:12:31904450:31906374:1 gene:B456_012G145000 transcript:KJB77581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAQKAENMYLKSRKPEFLFILLFLYTLPMGLLVPFTMAAAIDDGNIHFNLTDFTPDMPHIEFENDTTASGGQIQLTTNQINKNLNDSVGRATYYQPMHLWDNSSGNPRLADFTTHFSFSINSLKKPSGDRGDGFAFFLAPVGSKIPPYSGGGCLGLQSCDIDANSKFVAVEFDTFPNVWDDLRSDHVAIDLNSVKASFHPVEWLWSDIENGSKVDAFITYNSSTKNLSVFLLGAEDFNRLNSSALSAILDLSQYLPEWVTFGFSGATGSSIELHTIYSWSFSSNLQVSTNKTINPPNVAPGPPINPKRKSKTWLWVVLAIAGGISALLPVLSLVWLFCRRRKYRRMREDGTMSVNVDVEMMTALGSLLGEGGFGKVYLGFLRDINSNIAVKRITPNSQQGVKEYESEITTIIKLRHRNLVQLIGWCHDNKEFLIVYEFLPNRSLDYHLHREPCLLTWDTRYKIAMGVASGLFYLQEECDRCVLHRDIKSSNVLLDFSFNAKLGDFGLARLVDHGQGCQTTKLILGTDGYIAPECLETYKAIKESDIYSFGIVALEIASGKKAVDVIERNGKRFKTKLVEWVWELYREERLLDAADPRLSGNYDTERMERLLLVGMACAHPNYFD >KJB74679 pep chromosome:Graimondii2_0_v6:12:246780:253993:-1 gene:B456_012G002300 transcript:KJB74679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQLTRMLWSCKLSTNIALSSRKCCSFRRTRQLDFGPLLKRNIQNRKLTAIRSEKSGNEGSISSAIEGEENSDVISSPEKPGLMLGIDRDDSGSVIGLNLIPGDNEAIDAEVVSTVTKVKDEEIKKEKIETRVTYNIVFVTAEAAPYSKTGGLGDVCGSLPIELASRGHRVMVVSPRYLNGTSADENFAHVFDANRRIKLQCFGGEQEIAFFHEYREGVDWVFVDHPSYHRPGNPYGDSHGAFGDNQFRYTLLCHAACEAPLVLPLGGYTYGEKCLFLVNDWHAGLVSVLLAAKYRPFGVYKDARSVLVIHNLAHQGVEPAATFKNLGLPSNWYGALEWVFPTWARTHALDTGEAVNILKGAIVTSDRILTVSKGYAWEITTVEGGYGLNQLLSSRRSVLTGITNGIHIAEWDPSSDEHIAFHYSAASLSGKVQCKTALQQELGFPIKPGCPLIGFIGRLDYQKGIDLIHWAIPELMEDDLQFVMLGSGDPLYEDWMRSAENTYRDKFRGWVGFSVPISHRITAGCDILLMPSRFEPCGLNQLYAMRYGTVPVVHATGGLRDTVENFNPYAGEGRGEGTGWTFSPLTKDCMLNALKTAIRTYRDHKSTWEGLMRRCMQKDFTWENAAIQYEQVFEWAFIDPPYIM >KJB78090 pep chromosome:Graimondii2_0_v6:12:34593043:34595237:1 gene:B456_012G176900 transcript:KJB78090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEENITHMDSWLYKAAAEGNIEVFDNNQRLQLESLKTPNHNNVLHVTLATKENPVWLFNRVHSIFIFSPAVYLLFCRHLNFFITIIKREKKSNFIKQILSKCPSLLLQTNAKGQTPLHVAASYGHSAIVKLLIKSCAKARDGDLELGMDQVSAVREMLRITDEESNTALHEAAGCGNVEVVKALLEFEDPDFPYSANKKQETPLYIAARKRGSGRLLTLLLDKLKSTGHGGPHCRTALHAAVMAGDAEAVKVILKKKGNLTKERDEDGHTPLHYAAHLGSRFSVVKELLKWDVSAAYISDKKRGMTPLLMAARQGYFGTVRMILSLCPDCCEKVDNEGLNLLHYLAFRFSSSPLGRSFFKYDGSEIVYGSFRNLRKLGGAFGMTPQEIFTALRFEEHHHKQKQIKELLEEIQNDQVAEVPVRRFPLQNVSTKSLEKTRNAHLIVAGLIATVAFAAAITVPGGLKSEKGSEQGTPFFIDEAAFKAFVVTNALAFLFSVSALTTHFGALDNLLSQFKFFRHTVLYRTQSVSGILGYATLAMVIAFSTGSYVILKPSHGLTIVSYLICPVFLFCMWAILNPSLHM >KJB76375 pep chromosome:Graimondii2_0_v6:12:14509257:14510065:-1 gene:B456_012G085200 transcript:KJB76375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAVNAAKRAERKQQLREKKRHEELQRLEKERQAEIRSYKSLMVSEKMSSNKQIATTSKSLQKLEEDFM >KJB76506 pep chromosome:Graimondii2_0_v6:12:16478253:16478790:1 gene:B456_012G093000 transcript:KJB76506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETLIEVILAILLPPVGVFLRYGLGVEFWIDLVLTLVGYLPGIIYAIYVLVV >KJB77340 pep chromosome:Graimondii2_0_v6:12:30225347:30226250:1 gene:B456_012G132500 transcript:KJB77340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLVSVFVASCTCDEHCVSSHGGLTDKEVSYRKQRQLLYYRDEFAWEKAILSDPFNLTADWVGSSVCDYTGRIRTVAGIDLNHGDIVGCLPEELGLLTDLALFHINSNRFCGTVPHKFIKLKLMLELDLSNNWFTGKFLEVILKLPLLKFLDLRFNEFEGTIPKELFDKDLEVIFINHNRFRFNLPDNFGNSHVSVIALANNKFHGCMPASLGNTTGLEEIILMNNGFRSCLPEQFGGLKILTVFYVSVNRLLGTLPEQIGGM >KJB76819 pep chromosome:Graimondii2_0_v6:12:24327706:24328360:1 gene:B456_012G108400 transcript:KJB76819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKKTHLQTTDRMTVNCNKLKAQRQYLTVTRTDNSQSNNRKVITSERNNSPRLSEYISLRFIQQAKAKKISSLKPSVIITLSLMKLLSWAQPKHESLNS >KJB76907 pep chromosome:Graimondii2_0_v6:12:25278357:25281084:1 gene:B456_012G111800 transcript:KJB76907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPVMNPNVEPPLPGLAPPPQPSQPHRPSTSCDRHPQEHFTGFCPSCLYERLAVLEPSSSSASSSSRKPPIAASTSTATAALKAIFKPSGGGGTQSGFFPELRRTKSFSASKNEGFSGVFEPQRKSCDVRARNTLWSLFHQEATANGRSSEVAEAEARNLASSTVGQGPVFESKEEDQTETETDHNDDIVIVEEQPPNVAAPATNLIEEKVEEIVEEYEKELCQEEELKPMKDHIDLDSQTKNASGRDLKEIAGSFWSAASVFSKKLQKWRQKQKLKKRGNGCGSARLPVEKPIGRQYRETQSEIADYGFGRRSCDTDPRFSLDAGRMSFDAPRMSFDAARMSCDDPRYSFDEPRASCDGYLMGRMFPRMPTMVSVAEDAPVHHVMRSDTQIPVEDPTAMNYVTEEDESLPGGSAQTRDYYSDSRRRKSLDRSSSSRKTAAAIVAEMEEMRSASSTKVSPAAVDYTHGPKPVMPDRDSRDSNSLRDDYSDTFEIGFKDNASVIGNGEQKESSKKSRRWSKAWNIWGFINRKSVNKDEDEDRYSRANGVERSYSESWPELRGERNGDVRGGFNPKVMRSNSSVSWRNSSSFGAGSFSGARKNYVESNGHSKKKKDDFVLERNRSARYSPNHFDNGLLRFYMAPMSASRRVGSGKTRASNAHSIARTLLRLY >KJB74613 pep chromosome:Graimondii2_0_v6:12:835022:836608:-1 gene:B456_012G007200 transcript:KJB74613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALLGSQDVWKIIQNGYEAPKIRHHCHNMRRKKNQQVLTLIHQCLDESMFVKATNATTTKEAREILQSSLQSVNKVKKGSTLNITRNFEILFYYEIFLLGI >KJB77930 pep chromosome:Graimondii2_0_v6:12:33812364:33815240:-1 gene:B456_012G167900 transcript:KJB77930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPKSISSFNLLLLLSVLITHLCFSLVFADSHFEGFDSEDDDTVEEEPFDPHSVKSPPLTQSESQPSLDPDLNTVPTSDPSSQSDLQKPSTTTFEYWDEDEFEGLPIEQPQPEPSKTTENASPNDPDPKTALRPGNVSGSKKSFTVEIICGVFLIAFMINYFIGKRENENIALAWAAKFATKGSIFEKNFSLLGIGEGEDSPLLLKEGQNVFKFYASGRRYCQGLLATMELKSRHDLISRLFNLVVPCKDEITFEVSMNEEAMDQVVFALAKKKAAKGMQKEVRDLQRFAGLVANPSGRKWVVDELSVISESKEVAGDLITETVLEQVFGDKSFEKYGKDFIWMHFSDQHPDKHKKMLLFKFSLPDANHMADMTRLVALVPYYIDLIGRYKLSSQVFHLEITLCIEYSMHPFGNILTSFFAYRRLDPKPKLQE >KJB77929 pep chromosome:Graimondii2_0_v6:12:33812317:33815262:-1 gene:B456_012G167900 transcript:KJB77929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPKSISSFNLLLLLSVLITHLCFSLVFADSHFEGFDSEDDDTVEEEPFDPHSVKSPPLTQSESQPSLDPDLNTVPTSDPSSQSDLQKPSTTTFEYWDEDEFEGLPIEQPQPEPSKTTENASPNDPDPKTALRPGNVSGSKKSFTVEIICGVFLIAFMINYFIGKRENENIALAWAAKFATKGSIFEKNFSLLGIGEGEDSPLLLKEGQNVFKFYASGRRYCQGLLATMELKSRHDLISRLFNLVVPCKDEITFEVSMNEEAMDQVVFALAKKKAAKGMQKEVRDLQRFAGLVANPSGRKWVVDELSVISESKEVAGDLITETVLEQVFGDKSFEKYGKDFIWMHFSDQHPDKHKKMLLFKFSLPDANHMADMTRLVALVPYYIDLIGRYKLSSQARSKTEAARVKAAQEAYKELQNARLEALQKKKAERKKMLEEAEAKLSAEAVRKKEAKERARQMKKAMPKMKMTRA >KJB76208 pep chromosome:Graimondii2_0_v6:12:12369262:12371301:1 gene:B456_012G077400 transcript:KJB76208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINQLVCCTRIMIQVQCRGMFSTHYHRLAVDYRNNSKGFLTQYYEQLPVSLENLRLYTGNIEARDLKTSCQCKVVLTKW >KJB77906 pep chromosome:Graimondii2_0_v6:12:33588939:33589229:-1 gene:B456_012G165000 transcript:KJB77906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIMDEVNLEARHVLRWKFRDHSRTLSGRRIDLTVTDGNERWQFECCRSEEGDNTYYIRCPAWSDFVRPRINARLTLYAKQANENFHRVRVIRRD >KJB75211 pep chromosome:Graimondii2_0_v6:12:3938980:3941364:1 gene:B456_012G031700 transcript:KJB75211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLLESDILLLLLLSLHVIIIAQENESSIIQPASSLYAGKHPSSWPSSSGYFRFGFYPQGNGYSVGIWLVGWSENTTVWTANRDDPPVSSNATLEFTTQGRLLLRTEDGMEKIIVNPTMSVSVDAASMLDTGNFMLYQNRTVVWESFNFPTDTILGGQNLSGSHNQLISSVSRSNHSSGLYLLRMQNDGNLVAYANNPAELDPSDAYWDTGTNGWYFSVLNLNERGVLAMYSSPKFHQENVLANLSATGNETMIIYRATLDPDGNFRLYSHQLESNTISHKWQILNDECDAKGQCGLNSYCSSRGKDTECYCYPGFTFIDENTKSLGCSQNFTIDGCVARKDLVIHYNITTLDNMYWAGDPYSVKPNLEKEDCKKACQEDCSCGGVLYSDRKSCRMYRLPLKYGKRHGNITTTAFIKFILGSTISPPPETSQILISEGNQSLILTMGLSLGSVTSLCFVIAICSFLLYRHRVQNYKKLLENKSSVLTEQFTLRSFTFDELDEATHGFQDELGKGSFGTVYKGILPGDGKSKTIAVKRLEKVNEGETDQIRTEMTVIGRTNHRNLVRLLGFCVEGSRKLFVYEYLGNGSLANFLFNMNKRPVWKERARIALDVAKGILYLHEECEGCIIHCNLKPHNILLDDSLTAKISDFGLAKLLRPNQTCSTPRTKGTAWYSAPEWQSSGLVSVKVDVYSFGVILLEIICCRSNIEVQVSSADEILLSTWVYSCFIGGELNKLVEGEEEVDMLMVERFVKVGLWCIQDDPNLRPPMKNVILMLEGTMTIPVPPSPSLLLYL >KJB74660 pep chromosome:Graimondii2_0_v6:12:134958:141485:-1 gene:B456_012G001100 transcript:KJB74660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNFSHSSLISSTSLGLGDETVDVRFFLSSTNSDLGLTLVRDSDIINSNSGLVSHRVREVNRTYVSLNRFQAHITTVPEIVKTTRFLTPTSIMECMFASSSRVSPYEMFPTSGVFAQAQPHVRSQPNQLMNMNLFRFENPPMNQINVCSNVNRETNFESASSYSLENPMYYNQCLRNFDQIAVLLRNLDNMNKSNYNINPSTQRNQPSSNSNFEEVSDENKEDYNHLKRYDGRTYSLPGYPKSVYGSHIQTHYKYESKEERRRRMTARSRGRDLRLFWSSHGLTAIPESSKGITKGYSTRRKNELATKVEHIDMVRMGHGLSTPFEATMKAPIPSVMIREEPK >KJB74870 pep chromosome:Graimondii2_0_v6:12:1467038:1467482:-1 gene:B456_012G013000 transcript:KJB74870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASSKRAMKASSVSKILILMPLDCLFHEISICNFSETVNGLVHVLACVCLAAAHCWTAHTSKFDNS >KJB77664 pep chromosome:Graimondii2_0_v6:12:33483424:33484206:-1 gene:B456_012G163200 transcript:KJB77664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNQTRSSNTTTKATRGRQKIQIKKLENESSRQVTFSKRRNGLFKKASELCILCGCNIGIIVFSPKGKPFCFGHPDIDMILERYLSKNPNHADGLMMSGDDIAPCLEEFNEESRETLEKLEEEKKRSKEIQKEKEERKMKGLFWWDDPIDNNMGIEELEAYAKALEELRNNVANRASTLMGDVFAMSTAMTVANPDGLGNGFAVQNSGFAVGDTGVEGFNFGHGHDLDY >KJB76638 pep chromosome:Graimondii2_0_v6:12:20331360:20331636:1 gene:B456_012G097900 transcript:KJB76638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSCSFISCDKLDRMATWVGASMASAFFASLEQCSSKDRLLMFIKLVVQDEPQSQPDPAAANRPTAGKLNIDCLVF >KJB77661 pep chromosome:Graimondii2_0_v6:12:32360664:32362103:-1 gene:B456_012G149600 transcript:KJB77661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQLHIFFFPFMAHGHTIPFIDLAILFATKGVKSTIIATPSNAPHIKKVTHKANKLGYQIDTLVIKFPAVEAGLPDGCDDTDKVPSPDMMPKFFLAVEMLKQPLSDLLKQHCPHCLVADMFFPWTTEVAAMFKIPRIVFHGTCVFSLSAMEHVRLYQPHKSKETFTIPNFPGDDIKMTTAELQDYVKQETWRTKLFNDSKETESECFGVIVNSFYELESVYADHYTDFLGRRAWHVGPISLSTKGVINKAERGKQYSSIEEHECLKWLQSKDRNSVVYICFGSTTNFVDSQLMEIAKGLEASGQQFVWVVRKEKESNDEKGKENWLLEFEKRMEGKGLVIRGWAPQVLILENEAIGGFVTHCGWNSILESVTAGVPVITWPVAAEQFYNEKLSVEVLKIGVGVGARKWKRLVGDFVKSEAIEKAVKEIMVGEKAMEMRNRAKRFADMAKKAVEDGGSSESHLNALIGELSSTAVKTCK >KJB76212 pep chromosome:Graimondii2_0_v6:12:12415696:12417572:1 gene:B456_012G077600 transcript:KJB76212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFDKTRKCNSFPMLTMVAFPTLLFGFFCYNQYVKTIPFPDFNHQQPFQESSNSTNFKLQETNKDDDDGNVRVVLPLEECDIFTGEWVFDHGSSRPLYKEDCEFLNTMVTCLKNGRPDSLYQKWRWQPKACSLPKFEAKILLEKLRGKRLMFVGDSINFNQMLSMACMVQSVIPPEKRSLSYANYTIVFKMEDYNATMEFYWAPFLVESNVDPPTMRDGTVEPIVKLESISKHGDNWKNVDYFIFNTYIWWRYPTVKVLRGSFEDGVADYVDIDQNVAYESALKSWAKWMEENVDPNQTKVFFSSVAPSHMIRSSAWNNTNGIMCLNETTPIVNTSTFDVGTNQQLSAILENVVRSMKIPLQFLNITRLSEYRKDAHTSIYAAPGGKLLTQEQKADPARYADCVHWCLPGLPDTWNELLYTLIIFQT >KJB76211 pep chromosome:Graimondii2_0_v6:12:12415584:12417617:1 gene:B456_012G077600 transcript:KJB76211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFDKTRKCNSFPMLTMVAFPTLLFGFFCYNQYVKTIPFPDFNHQQPFQESSNSTNFKLQETNKDDDDGNVRVVLPLEECDIFTGEWVFDHGSSRPLYKEDCEFLNTMVTCLKNGRPDSLYQKWRWQPKACSLPKFEAKILLEKLRGKRLMFVGDSINFNQMLSMACMVQSVIPPEKRSLSYANYTIVFKMEDYNATMEFYWAPFLVESNVDPPTMRDGTVEPIVKLESISKHGDNWKNVDYFIFNTYIWWRYPTVKVLRGSFEDGVADYVDIDQNVAYESALKSWAKWMEENVDPNQTKVFFSSVAPSHMMSSAWNNTNGIMCLNETTPIVNTSTFDVGTNQQLSAILENVVRSMKIPLQFLNITRLSEYRKDAHTSIYAAPGGKLLTQEQKADPARYADCVHWCLPGLPDTWNELLYTLIIFQT >KJB76144 pep chromosome:Graimondii2_0_v6:12:11433167:11434705:-1 gene:B456_012G075000 transcript:KJB76144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELRLTRAGLLKKVLESIRDLVTDANFDCAATGCSLQAMDSSRVAMLSLLLRPDGFEHYRCDRNISMGMNLANMARMLRCASDDDTVTINADDAQDKISDYEMKLMHITSENLEIPEAGYEAIVKMPASLFARICKDLGTIGDTVMISVTKEGIQFFTRGDIRTANIFCRKTTPVAKEKEAISIEMEKPVSLTLALRYLISFTKATPLAKQVTISMSYGRPIVVEYKMEIMDHIRFYLVPKVEEEVTGPLENVAEADTKSKPDVKPEVEEKPKEVIEIEEGSELKAETKLKPEKNDIDDQSEPKLGTKPKEGRINGTMLQVEDKTEVELMDVE >KJB76496 pep chromosome:Graimondii2_0_v6:12:16193080:16197746:1 gene:B456_012G092100 transcript:KJB76496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYIEMKKLFFFKSSSSSSGNNKVLSASMDKQVYQGKTSESWLNDQLVDMADYSWKLMSDSPSFSNASSLVRSRSLSSGNELGQQNCSSSQQQYDHQSRCRAHMPEKKSKAKRCETEIISFERPCSSGSLRLHHDSSGSSSSCSSNVSAQVIDRYIDGEQLPEISKLRNSSTPGNGGRRHPPGARYTAPSSPTHSVKEKNKSHSFRDGDSTRLHFSSRDRVENGFGHESPRMVAKNVIERLSQTHVVPKSSSKGFNRHIPITKEDVYGGYLNMRPESKLEMDEPYKNCFIEGNPDGLNSSEDDSVVELERRSKHAKERALLLSEAHEQESFICNGGFDVSSLIRSVQHLKEEKKKLALEVLDLQQSRIDERASAREELRMAREEMESQIEKLEKAKHETQLRFEKEFDRRSSDWSVKLEKYSLEEQRLRDRVQELAEQNVSLQREVSSFNDRETENRSLTKYSEQQLKELMRRVEKMNDENQDLSQNLSESQEKHRAAMEDVACVRRNLEEKEKECKELQKSVTRLLRTCTEQEKMIEGLRDGYGKEIDQKQSMEKNEKQVQKLQREQMRLTGVELALRKEVESYRLEAYSLRRENIYLLNQLKGNGNEIIGLTSKFDNELQDHVCCLQNRGLSMLNEIINLSSKLIEFIKGKPSHLQGMQPGLDSLFIVESDLKIEGFKRGIESLRRNLQTISGLLHEKSGLVASDSHSASVDPTMSTKRNNQSSEKIIRSELKAETMMTSLLKEKLYSKEVEIEELQAEVAAALRGNEILRCELQNSTDNISCLTHRLKDLQLQIPKKDENVSRLESELQESTKELTILKGILVKVSQERDLMWEEVKQYNEKNMVLNSEINALKKRIEGLEEDIHLKEGQITILKDTLSNHKSCDLLGSPTSMPEFLLE >KJB77996 pep chromosome:Graimondii2_0_v6:12:34089272:34090246:-1 gene:B456_012G171500 transcript:KJB77996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGNGSSMRISRCLVERERRKHMKCLFTKLSSLLPIQQTKMSVPEMVDQATAYVKELQGRLEQHKGTKVQLERTCEMRKRKRMIRPVLNVRDLGYNLEVNLITGLNVEFALSDFINILQEEGADILSATCHHAGDKAIYTILCQVQTMISMILSVCGTLY >KJB76768 pep chromosome:Graimondii2_0_v6:12:23811900:23815163:-1 gene:B456_012G106100 transcript:KJB76768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPRFNNNTDQESLLVFKSQITDDPLGVLETWNPSSSLCNWTGINCNVTKQRVTSINLENLGLVGTIAPHIGNLSFLSYLNLQNNSFSGSLPQEIGQLFRLRTLILASNRIRGTIPASLSLCSKLSYLDLSINRLEGTIPNELGALSELEDVSFMQNFLTGPIPSSFGNLSSLSNLILMSNSLKGPIPEGLGRLPFLINLQIGLNNISGEIPRSLFNSSSLIVIAMAVNRLTGTLPRDTFTNLTSLTTFFVGGNLVSGRIPPSIGNASSLTRVDLANNSFSGQIPWLGNLPNIQILSLQSNQLVNDGAGGMDFLASLANSTQLQVFSVAENQLTGKLPSSIGNLSRQLSLLVMNNNFFHGSLPAEISNLVNLTLIAFEHNSLTGTIPPSIGTLPNLQYIFLHENKFSGKVPESLGNLTYLAEVHLSNNLLEGTIPSSLGNCQRLQLLDLSVNLLNGTIPSDILGIPGLGKVLNLSFNSLSGFVPFELGGLNMVQAIDLASNQLSGDIPVTIGDCSSLLYLDMSRNSFQGSIPNSLDKLKAIEYIDLSSNNLTGNISASLESLKFLQVLNLSRNQLSGEVPKAGIFENSTAVSLSGNLKLCGGVPDLGLPKCDSHEKKSGGSKLKIVLAATFASVAFIIIVSMLAFWFVRKKDSDLRAVNEEADSPEMYPMYRQHDLKLATRNFSQEYMIGEGSFGSVYKGVFEDGSLAAIKVFKMEQHGASKSFIAECEALRSIRHRNLVKIISLCSAGDFKALVLKFMPNGNLEQLLHPRIEDCEVEKVVDMNQRLKIAQDVALALAYLHHDCETPVVHCDLKPSNVLLDEEMSAHVGDFGLARILLKNSPNAHLSSSVGLKGSIGYMAPEYGMGAGVSTRGDVYSFGILILEMFTRKRPIDHLFSGNMDLQKWVSMHLPDHFYNIVDNELKAKEWQAEHADSMARILNIGTKAFLTSVHPT >KJB76314 pep chromosome:Graimondii2_0_v6:12:13711663:13714262:1 gene:B456_012G082800 transcript:KJB76314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26782, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G26782) UniProtKB/Swiss-Prot;Acc:Q9LW32] MKIVNPSSAFTKTALKSHFSTNSNLTIWFNKYLDKSSVSSWNSIIADLARAGDSTQALKAFHSMRKLSLKPNRSTFPCTIKACSALLDLYSGKQTHQQALIFGYHSDLFVSSALIDMYSKCGQLRDARILFDQIPQPNIVSWTSMITGYVQNNNPRQALLLFKELLIQESENDRDVANEHVFVDSVAIVSVLSACSRVPIKGASEGVHGMVIKKGFDGEVGVGNTLLDAYAKRGDVDLSRKVFDSMVEKDEISWNSMISVYALNGLSNEALEAFYGMVRDNNVNYNVITLSSVLLACAHSGALQVGKCVHDQVIKMDLENNVIVGTCIIDMYCKCGKVEMARKVFNCLKEKNVRSWTALIAGYGMHGRAKEALEVFYKMISDGVTPNYITFVSVLAACSHAGLVQEGWDCFNAMKEEFKVEPGLEHYSCMVDLLGRAGHLNHAYNLIKQMKVTPDFVIWGSLLAACRIHKNVELAEISANKLFELDSNNCGYYVLLSNIYADAGRWEDVERMRILMKDRGLVKHPGFSLVELKGRIHVFLVGDKEHPQHKKIYEHLEDLYVKLQGVGYTPKLTSVLYDVDEEEKGLTLRVHSEKLAVVFAIMHTVPGATIHVIKNLRICGDCHTFIKLISKIVDREIVVRDSKRFHHFKDGFCSCGDYW >KJB77204 pep chromosome:Graimondii2_0_v6:12:28957322:28958845:-1 gene:B456_012G125500 transcript:KJB77204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLSFVKNGVLRLPPGFRFHPTDEELVVQYLRRKVLACPLPASIIPEVDVCKADPWDLPGDLEQERYFFSTREAKYPNTNRSNRATISGYWKATGIDKQIVTSRSNQAVGMKKTLVFYRGKAPNGCRTDWIMHEYRVVSTETAACNAPPNKKNQTQNNVVPMENWVLCRIFLKKRGAKNDEEGLQSCNQRIGKLRTSKPVFYDFLTKDRTDLNLAPSSSSSVSSGITQVSNNDADDHEESSCCNSFPYFRRKP >KJB77205 pep chromosome:Graimondii2_0_v6:12:28957363:28958786:-1 gene:B456_012G125500 transcript:KJB77205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLSFVKNGVLRLPPGFRFHPTDEELVVQYLRRKVLACPLPASIIPEVDVCKADPWDLPGDLEQERYFFSTREAKYPNTNRSNRATISGYWKATGIDKQIVTSRSNQAVGMKKTLVFYRGKAPNGCRTDWIMHEYRVVSTETAACNAPPNKKNQTQVNCHITLLLSLCLYVLVKAKSLILY >KJB78028 pep chromosome:Graimondii2_0_v6:12:34297675:34302783:1 gene:B456_012G173100 transcript:KJB78028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSSLPSYGALMLIPILFFPLSKILSGYICRMLQLEYLDLYLIHWPLSAKPGKIGFPVPKDEQLAMDFNSVWAAMEDCLRFGLTKSIGVSNFSCKKLENILSFATIPPSVNQVEISPLWRQQKLREFCKSKNIVLTAYSPLGAKGTRWGTNEVMDNETLKEIANAHNKTVAQVCLRWAFEQGLSFVVKSFNKERMRENLQIFDWGLSLDDYKKINEIKQRRLFPKLEMVSPNGPFKSLEELWDGEI >KJB78027 pep chromosome:Graimondii2_0_v6:12:34297523:34302874:1 gene:B456_012G173100 transcript:KJB78027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAVVFILHVRFPKAGIFVDSFGPVPARCFSWAQMADVPQVPTLSIPEVKLSSSSGGRNMPVIGMGTAADPFDETVMKEAILEAISVGYRHFDAASLYKSEKPLGEAIAEAIKFGFIASRDELFITSKLWCTDAHPDLVLPALKNSLRMLQLEYLDLYLIHWPLSAKPGKIGFPVPKDEQLAMDFNSVWAAMEDCLRFGLTKSIGVSNFSCKKLENILSFATIPPSVNQVEISPLWRQQKLREFCKSKNIVLTAYSPLGAKGTRWGTNEVMDNETLKEIANAHNKTVAQVCLRWAFEQGLSFVVKSFNKERMRENLQIFDWGLSLDDYKKINEIKQRRLFPKLEMVSPNGPFKSLEELWDGEI >KJB78180 pep chromosome:Graimondii2_0_v6:12:35062962:35067505:-1 gene:B456_012G182800 transcript:KJB78180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETYMNMGYTILTPPMIPIFPKEINSLKTNRSAIVVAVKAFKFCNYRRAHRISCAAARRRIRYENDDDEEEKENGYNKEIAMLEIYSQSAREEALIVHALVDEQQVEVLIFKGFSSCLSYGTSPDPSKSVIPKRAVINSIDRIKGPFDPSNIQYIEKGLDWESFESRLAST >KJB75739 pep chromosome:Graimondii2_0_v6:12:7223152:7225070:1 gene:B456_012G054300 transcript:KJB75739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIALASTAPKSPEAEPEPEPVTETPTRIQPTKPVSFTNGVLKRHKPHHHHHYQAPPIVITYKECLKNHAASLGGHALDGCGEFMPSPTATPTDPTSLKCAACGCHRNFHRREPDDPPPTTATPTIEYQPHHRHHPPPPATAGQPNRSPNSASPPPISSSYYPSAPHMLLALSGGLTNSHLPAGGLTPNTANLGSNSKKRFRTKFTQYQKDKMLEFAEKIGWKIQKRDEEDIQEFCREVGVDRGVLKVWMHNNKNTFGKKDQANGGSSTRDGIGNGNGNGNGNGNGNGNGNGNGNGSVRFNHEEHNNSNNNGQNLNHHFETDSVAHVGTNGCSSSSS >KJB77277 pep chromosome:Graimondii2_0_v6:12:29910340:29912093:-1 gene:B456_012G130300 transcript:KJB77277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIQHSHVQVRGLKLHVAQIGTGPKVVLFLHGFPEIWYSWRHQMIAVANAGFRAIAFDSRGYGLSDHPPEPEKANFKDLVDDVVALLDLLGINKVFLVGKDFGAVPAFMVAVIHPERVLGVITLGIPFLVPGPVGIQFDLLPKGFYVLRWAEPGRAEADFGRFDVKTVVRNIYILFCRSELQVAGENEEIMDLVDPSTPLPPWFTEEDLEVYAALYQNSGFRTALQVPYRCSQLDYGITNPKVTAPSLLIMGEKDYFMKFPGMEEYMKKGIVKQFMPNLDITFMPEGNHFVQEQLPEQVNELIITFLNKN >KJB76823 pep chromosome:Graimondii2_0_v6:12:24462571:24466001:-1 gene:B456_012G108700 transcript:KJB76823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPFIHREQIGSTQQQDYQFKLCLPVPKLKASSFWVPKSVKSLCYRAIEPNVCCLERLDYGGTIVNNSAVRDSPGVLEEKRADMEGLVEKKERIEEKIKSFGENGVQMGTFAVLLEDKGRESSSSSDLFTSETTVHEEEHSHSSSEEDLYSPPSLDWHGHEIAETEDSSICSSFSEDRKKPPMDDRKLEKQGSTVSEIELMKERFAKLLLAEDMSGCGNGVCTALAISNAITNLCATLFGQIWRLEPVPLEKKAKWQREMEWFLSVSDHIVELIPSWQTFPDGSKLEVMTCRPRSDLYVNLPALRKLDNMLLEILDSFVDTEFWYVDHGILAQEAAGSTPFRKALQRQEEKWWLPVPRVPAGGLDENSRKQLQHRRDCANQILKAAMAINNITLADMEVPESYFESLPKNGRASLGDLIYRYVSSDLFTPECLLDCLDLSSEHQAIDIANRVEASIYLWRRKTNPKPVNNTSRSSSKSSWDMVKDLMVDAEKREILADRAESLLLCLKQRYPDLPQTTLDMSKIQYNKVCVTNSTFIFVLKKAF >KJB76824 pep chromosome:Graimondii2_0_v6:12:24462571:24466170:-1 gene:B456_012G108700 transcript:KJB76824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPFIHREQIGSTQQQDYQFKLCLPVPKLKASSFWVPKSVKSLCYRAIEPNVCCLERLDYGGTIVNNSAVRDSPGVLEEKRADMEGLVEKKERIEEKIKSFGENGVQMGTFAVLLEDKGRESSSSSDLFTSETTVHEEEHSHSSSEEDLYSPPSLDWHGHEIAETEDSSICSSFSEDRKKPPMDDRKLEKQGSTVSEIELMKERFAKLLLAEDMSGCGNGVCTALAISNAITNLCATLFGQIWRLEPVPLEKKAKWQREMEWFLSVSDHIVELIPSWQTFPDGSKLEVMTCRPRSDLYVNLPALRKLDNMLLEILDSFVDTEFWYVDHGILAQEAAGSTPFRKALQRQEEKWWLPVPRVPAGGLDENSRKQLQHRRDCANQILKAAMAINNITLADMEVPESYFESLPKNGRASLGDLIYRYVSSDLFTPECLLDCLDLSSEHQAIDIANRVEASIYLWRRKTNPKPVNNTSRSSSKSSWDMVKDLMVDAEKREILADRAESLLLCLKQRYPDLPQTTLDMSKIQYNKDIGKSILESYSRVLESLAFNIVARIDDLLYVNDLTKHSDQFSSPTRVGVISWKSLSIPYSVPFSSTPYRTAFTTPNFSPAHLVSPVKGESSPLFSSNKIPHHGMGVKKVLLTDYLGIDLRDKEHSSPNEGTESGSTSSIARESRVSFEGLKEAVSLPKPEPAPENEP >KJB75167 pep chromosome:Graimondii2_0_v6:12:3532983:3534562:-1 gene:B456_012G028500 transcript:KJB75167 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRIB domain-containing protein RIC4 [Source:Projected from Arabidopsis thaliana (AT5G16490) UniProtKB/Swiss-Prot;Acc:Q9FFD5] MRDKMEKLVILPFSVGCVSDSSVAVAAQRHHHHHQPRRSKPPPAAADTNSNAKRTQKEDEDSLSSENMKYSLKLLPLPKPDISTGFNRLVTKSFKTLSQLFAYKDEIVESENEMEIGFPTDVKHVTHIGLDGSASTSPSNGSWDNRLSLHDLFTFPSSTAPSGQCELPMETHPEAASPFVQAHN >KJB74743 pep chromosome:Graimondii2_0_v6:12:645828:646281:1 gene:B456_012G005500 transcript:KJB74743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQAEINTSFCCRHVADPCKAFGRRCTRFGQRCTRLVEEQRAKFYILRRCVTMLVCWHECGDT >KJB74735 pep chromosome:Graimondii2_0_v6:12:614374:614709:1 gene:B456_012G005100 transcript:KJB74735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIALGFIDLLRDDFIEKDRSRGVLFVASRGIHVWHMPALTKIFGDDSVLQFCGGTLRHPWGNAPGAGNEIIREASKWSPKLAAACEE >KJB76112 pep chromosome:Graimondii2_0_v6:12:10677187:10684170:-1 gene:B456_012G072300 transcript:KJB76112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGHVDSLKSQTSIKFAAFIFISVASFYLGKHWSDGSRQLIFFSRQSPSGTTSYSPSIALSPNLNKEFNVSALIDTPEPPRSAKSEGKWASGSLKAPPPPPEFKIYGIVDENGTMSDKFEIGEFDTDLVENWDNGAEIEAETGKEDVRSTFRVKKFGFCGENMREYIPCLDNVEAIKRLKSTERGERFERHCPEKGKGLNCLVPAPKGYRPPIPWPRSRDEVWFNNVPHTRLVEDKGGQNWISRKGKDKFKFPGGGTQFIHGADQYLNQISKMVPDITFGHHIRVVLDIGCGVASFGAYLMSRNVITMSIAPKDVHENQIQFALERGVPAMAAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEEALEERWEEMLNLTTRLCWNLVKKEGYIAIWQKPLDNSCYLSREAGTSPPLCDQDDDPDNVWYVDLKACISRLPENGYGANVAPWPARLQIPPDRLQSIQIDSYIARKELFMAESKYWNEIVDSYVRALHWKKFQLRNVLDMRASFGGFAAAIIENQLDAWVLNVVPISGPNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDFLHAAGLFSIERKRCNMSTIMLEMDRILRPGGRVYIRDSLDVMDELEDIAKAMGWRPTLRDTSEGPHASYRILTCDKRHG >KJB78300 pep chromosome:Graimondii2_0_v6:12:8382904:8387147:-1 gene:B456_012G059800 transcript:KJB78300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFITKALFNNILRRFIHQDFHEAVSSMTIIDAFLFLMVHSVDRLGIWHRLPVVLGLIYLAVRRHLHQQYNLINVGETPSGVRFSPGDYPYRTADGSYNDPFNEGAGSQGSFFGRNIMPVHQTDKLMKPDPMVVATKLLTRTQYKDTDKQFNMIAASWIQFMIHDWIDHMENTNQQVEFIAPKEVANKCPLSSFKFYPTKEFPTGFYNIKSGAKNIRTPWWDGSVIYGSNKERLQKVRTFTDGKLKISEDGLLQQDEDGIPISGDIRNSWAGISTLQALFIKEHNAVCDTLKKEYPDLNDEELYRYARLVTSAVIAKIHTIDWTVELLKTDMLLAGMRANWYGLLGKKFKDTFGHVGGSSLGGLVGLRKPVNHGVPYSLTEEFTSVYRLHQLLPDSIHLRNINVAPGPNKSPPLLEEVPMPDLIGHKGEKTLSQIGFTRQFVSMGHQACGALELWNYPSWLRDLVAQDVDGKDRPDHVDLAALEIYRDRERKVARYNQFRRALLLIPISKWEDLTEDKNAIEVLKDVYGDDVEELDLMVGLMAEKKIKGFAISETAFIVFLLMATRRLEADRFFTSDFNEETYTKKGFEWVNTTESLKDVLDRHYPEISKKWMNSTSAFSVWDSPPNAPNPIPLYLRFPSS >KJB77484 pep chromosome:Graimondii2_0_v6:12:31306921:31308358:1 gene:B456_012G139300 transcript:KJB77484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADARVSVREVVVCNDRCGCPSPCPGGGSCRCTTTETTSGADHKRCSCGDHCGCNPCTCSKDDVAAGTGKLYCKCGTGCTCATCAS >KJB75095 pep chromosome:Graimondii2_0_v6:12:2923579:2929534:-1 gene:B456_012G023700 transcript:KJB75095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRRKEEHVELSRTVKSRGQHRAAAEAGPHGPYPVSRREVINNRSPPLRGGRSYSPNSLDASRRGGSVRDRMTGSMMGRDSYGQHLGSVTTETARSKSPLREQMRKKPHYEDEGVVHRKYDYVEPVGFDDRTNSRARGVYHGTSRMTKEKDYMDNRVSTIDGHVMMSQKLLPVEEINVRGPHRLPQDLGTSLNFSETSGQLPFSSQDINIGQYEHEKFRHRETIPSKKATVMDSYKEDKPMFDSQDVTYSMVEASQSKDFMSTNQLKDFGSTSSGLPRTEFLCSYQDDAPLHVSEEYLRSSRKLTEPVGYNKYDQRPLTDSVRDPESARRNMTLYQQWTNSPSRAEYEDYVYRKPRVIGSNNHGYPVEDVKRMMPSQSRVSYEHASVDYGHIGMPKPNIMHHVVDRIDNTDHSYGSSRKAIIWDDHALQKQISTDYIDMRGSYAPMHGEEYVGSEDAHVAFGRRLPQDYEMSHLDASHNRQLSNLRSDSGYGRGVGPVFQNERMINSSASKYDAEQRRPGLRTKRMEGELDMYSDRILKRKFLMVEDIDRPSSKTIVSRKLHSAGDFGSSYDSEDQIDEDIIGLHASRTKRYGHNEYRKAGRTYDGQEHQGDSELDDWYMSEGSLAHSQRVPIRFYKNSGKYIKGNPGPGSLSWHTSNHNDRRSNLHNQNKVWKRNEDYDEDINANDGDMTEDLVNYAEAELSEDSEEFKQLVHEAFLKYSRKLNLNQSARRRYKEQGNAGSLFCIVCGKSYSKEFMDTQRLVTHAFMSHKVGLRAEHLGLHKAVCVLLGWDSIAPPDTITWVPQVLPEAEALAQKEDLVLWPPIVVIHNISMANNNPQEQKVVPIEGVQAFLRGKGFVGGKITVCLGRPADQSVMVVKFLGTFTGLAMAERLHKYFVENKRGRKEFTSKNKGDEEMGKPDEGEEQLLYGYMGVSEDLDKLDFHNRKWSVVKSKKEILDLANDPVKTDER >KJB76362 pep chromosome:Graimondii2_0_v6:12:14153554:14156558:-1 gene:B456_012G084200 transcript:KJB76362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDVIEGSAIMEKKSNGKVSQLISVKQGEPTLVSPAEETPKDLYFLSNLDQNIAVIVRTIYCFKSDEKGNDDAGEVIMDALRKVLVHYYPLAGRLTISSEGKLIVDCTGEGALFVKAEANCTMEEIGDITKPDPETLGKLVYDIPGATNILEMPPLVAQVTKFQCGGFVLGLCMNHCMFDGIGAMEFVNSWGETARGLPLSVPPFSDRTILKARSPPKIEHLHQEFAEIEDKSSTGDLYKDQMLYRSFCFDPEKLQKLKKYSMEDGVLEKCTTFEALSAFVWRARTKALNLLSHQQTKLLFAVDGRPKFDPPLPKGYFGNGIVLTNSICQAGELLDKPISHAVALIQDAIKMVTDGYMRSAIDYFEVTRARPSLSSTLLITTWSRLSFHTTDFGWGEPVLSGPVALPEKEVTLLLSHGKERKNINVLLGLPASAMKVFQEQMVV >KJB77888 pep chromosome:Graimondii2_0_v6:12:33498725:33501984:1 gene:B456_012G163400 transcript:KJB77888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMEFMEEATTHKRSSSFPFHNTIKSHVDANKDQIFNPKVQHSLKQEILQLEEQLQDQFVVRRVLEKALSPRPFTYDVAVDEFHSSKFQAAKELIKDIAVLELEIAYLEKYLLSLYRKMFDRRFSCLNITEENPESTSVAHDIKECNNDVRGTETLLDSSIYRSHSSLSRQSAYAIASPQKTGVSYHIPETPNWLSEEMIKTVSNIYFELADPPLNNHDCFSSPISYPSSVNDTWSTPQCFHVDNNRGPYCKMVKVQGIYRDSNKLQDIEHKLQYYRSLVYQLEEIDVRTMKHEEKLAFWINVHNSLVMHAYLVYGIPKNSTKRFSLLLKAAYNVGGYTISIETIQGSILGCKLQRPGQWLWFLFALKTKFKVGDPRRNYAIESPEPLLHFALCLGSSSDPAVRIYTPKEVFQELEVAKEEYIQANFSVNKEEKIELPKIMDYFAKDSDVCSAGLLQMLHQFMPQPLKKNLQVQLSCNRKNGKCIEWIPHNFAFQYLFSKELSY >KJB76598 pep chromosome:Graimondii2_0_v6:12:19217393:19219645:1 gene:B456_012G096400 transcript:KJB76598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTDGYLPAFAMVGLQFIYAGVALFTRAALLKGLSPRVFVVYRQGIATLLIAPIAFVSRRKKSSRCTLGLKSFAWILLASLLGVTANQNAYFEGLYLSSSTTASAMTNLIPAVTFVMTAILGMEKVNIRSPKTLAKMLGTVVCVGGAISMALLKGPKLLNTQLLPTKSLFSPGGENWLLGCLFLLGSSFFWSLWMVFQVPISESCPDHLYSSTWMCFLATLESAIVALLVEKDVKAWNLNSYIELSCCLYTGFALAVSFFVQAWCISGRGPLFSSMFNPLCTVIVTAFAAIFQHEETYTGSLVGAFAVIVGLYIVLWGKAKDLEEIVQVIVYESSGKTYRTDIEEPLLSDNNDEIGMNPK >KJB74909 pep chromosome:Graimondii2_0_v6:12:1570284:1572777:-1 gene:B456_012G014000 transcript:KJB74909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSNPKATPKHTMDQEQQQHTTLSLILDGCKLAKELEESIGNLGNQLQPEILSKSCDDIINIFATAKQRLNNNAHHHQDPSLFTHHLLHPPQDSSAHHMQTDPSLQEWLKYGAITQAMDMIQSCRPSISMGGEIQAMDVLNSGKAASSSSSQRSHRSRKDDEEKCKTRVAAPQMGNTDLPPDDNYTWRKYGQKEILGSKYPRAYYRCTHQKMYNCPAKKQVQRLDNDFYTFEVTYIGQHTCTMSSTAPSIPQPPPVLHDQMVMTQAMVSQPALPPTSSSTTSSSIVPSGSWLSMEFSLGSGSGGRGSGGAGSSSGGGSATGSRYGRDVEYSVVADMADVMFNSGSSSSNSMDFIFPCAEDKWEAPGSGDKKH >KJB77357 pep chromosome:Graimondii2_0_v6:12:30560751:30563104:-1 gene:B456_012G133700 transcript:KJB77357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVTANMSSDEAVVGNFVSRRLFFLQYLHVLEFVVAALVFVVINSLRQRRRYGLPVWPFLGMLPSLVSGLRSDLYEWISDILCEQNGTFRFKGPWFSSLNCVVTADPRNLEHLLKSKFSVFPKGPYFRDTARDLLGDGIFNADDETWQRQRKTASIEFHSAKFRQLTTESVLELVHARLLPVLENAVNQSISIDLQDVLLRLTFDNVCMTAFGVDPGCLRLGLPEIPFAKAFEDATEATLLRFVTPTCVWKTMRCLDLGTEKKLKKSIKGVDEFAEEVIRTRKKELSLQTEDKKQRSDLLTVFMRLKDEQGKPFSDKFLRDICLNFILAGRDTSSVALSWFFWLLEKNPVVEQKILAEICKIINERDDTKNGADLKSPLIFRPEEIKKMDYLQASLSEALRLYPSVPVDHKEVVEDDTFPDGTLLKKGTKVIYAIYAMGRMEAIWGKDCREYKPERWLRDGRYMSESAYKFTAFNGGPRLCLGRDFAYYQMKFVAASILYRYRVEVVKGHVVVPKLALTMYMKHGLKVNLIKRHESELQVYLKNNV >KJB78150 pep chromosome:Graimondii2_0_v6:12:34930715:34935494:-1 gene:B456_012G180800 transcript:KJB78150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKQALGWIEWLMGWMYVTYELLFQRTKTSHLQNPLELPSLNDLTCIVTGTTSGIGKEIARQLAEAGAHVVMAVRNRKTANELIDQWCSSWAGILLNVEVMELDLLSLDSVVGFANTWNARLEPLHVLINNAGIFSIGETQKFSKDGFEEHLQVNHLAPALLSVLLLPSLIKGSTSRIINVNSVMHYVGFVDTEDMNTVSGKRKYSSLLGYTNSKLAQVMFSSVLHKRLPVESGVNVMCVSPGIVHTNVARDLPRIVQAAYHLIPYFLFSPQEGSRSALFAATDPQIPEYCESLKTDEWPVCAFISQGCHPTNPSKEAQSVETSFEVWEKTLEMIGLPSDAVERLIEGKEVRCRYGTRKD >KJB76406 pep chromosome:Graimondii2_0_v6:12:14947154:14949231:1 gene:B456_012G087400 transcript:KJB76406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLSLSNFFSFLLPPNPSPPKAPPALNSISLSSQKPKNAPLSWSAPEDQSSSLSTELSSVISPSLANANTLFFKSAYNIQVIVDDNEPEERLLNRFRREVMKAGVIQECKRRRFFENKQDEKKRKSREAAKRNRRRRPQARFSQPNKQEVSTKKRDEDDEDNWDMPNEALPY >KJB76764 pep chromosome:Graimondii2_0_v6:12:23743694:23744252:1 gene:B456_012G105800 transcript:KJB76764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKQQTEPSDKQPGELTDSAPVLPRTYGTLLGPSTAPKMEWPELVGLTPEEAERKIKDDMPRVQIQVVQDNCFVTMDFKHGRVRLYLDPSGKVERPPRIG >KJB77342 pep chromosome:Graimondii2_0_v6:12:30370851:30373834:1 gene:B456_012G132800 transcript:KJB77342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPPSLILCSPRLNPKQVMIPTNICRRKVECDHRSLMSSSRIFCAVPPPTTQVSNSDHPKDGRSSANYQPTIWSYDFLQSLKNDHADIIYKERAAKLEQELRFALHDENAEPVNLQELIDDIQRLGLGHRFEIDINRALENFVSSDDYSVATKYSLHATALRFRLLRQHGYVVSQDVFKAFKDHKGNFKECLYKDVKGMLSLYEASRLAFEGEYVMDEAFLFTRMHLMDLQGGSNLEEGLLEQVIHALELPLHRRMVRLEARWYIEAYSKSAARKPNLLELAKLDFNMVQSTLQEDLKEMTIWWTGTELSSKLNFARDRLMECFFWSIGMVSEPQFRNWRKSFTKVASFATIIDDVYDLYGTLDELELFAEAVERWDLFAVKDLPKCMELCFLALYNSVNEMAYETLRDHGENIIPYLTKAWADLCKAYLKEAEWARSKHVPTFQDYLETAWVSSSGHIFLVYAYFLQSSNITSEALDSLERYHDILRWPSTIFRLCNDLVTSKVELERGESANSIICYMKEVGCSEATARQHISDLIDNYWMKMNKCRIEGSPFAKHLVETATNLARISTCICQHGDAHGYPNNLSKNRIQSLIVDPVSIN >KJB78348 pep chromosome:Graimondii2_0_v6:12:16705945:16710306:-1 gene:B456_012G093600 transcript:KJB78348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREKPRRANLPPVQENINKLEKVINDGNSYGAQQMYKSISARYVSAQRCAEALDLLHSGACLQLKHGEVTCGSELAVMFVDALVKGKIPCDPEILDRIRKIYKLFPQIPVPSNFAVEDDVQELTEALGAAKTRLHGCSSFLKAAIKWSAEFGADKNGDPQLHTMLAEYIYSESPEMDMAKVSYHFVRGNNLKKFATTLVNFMSKCYPGEDDIAIARAILMYLSMGNLQDASSLMEELKKQVQAQELEFPVSDLIQFINLLLLTLEKETLPLFNALRVKYKPSIDREPAFNELVCDIAEKFYGVQRRNPFQDMFGDMLKMM >KJB78347 pep chromosome:Graimondii2_0_v6:12:16705976:16708811:-1 gene:B456_012G093600 transcript:KJB78347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVDALVKGKIPCDPEILDRIRKIYKLFPQIPVPSNFAVEDDVQELTEALGAAKTRLHGCSSFLKAAIKWSAEFGADKNGDPQLHTMLAEYIYSESPEMDMAKVSYHFVRGNNLKKFATTLVNFMSKCYPGEDDIAIARAILMYLSMGNLQDASSLMEELKKQVQAQELEFPVSDLIQFINLLLLTLEKETLPLFNALRVKYKPSIDREPAFNELVCDIAEKFYGVQRRNPFQDMFGDMLKMM >KJB74899 pep chromosome:Graimondii2_0_v6:12:7347194:7349428:1 gene:B456_012G055000 transcript:KJB74899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELRLVGEYGLRCKRELWRVQYALSRIRNAARDLLTLDEKNPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQRAAAKKAAGGDGDEDDEE >KJB77648 pep chromosome:Graimondii2_0_v6:12:32314029:32314360:-1 gene:B456_012G148800 transcript:KJB77648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAILESRKSESLWGRFCNWITNTENRLYIGWFGVLMIPTLLTATFVFIIAFITAPLVDIDGIREPVSESLLYGNNIISGAIIPTSAAIRLHFYPIWEAASVDE >KJB76463 pep chromosome:Graimondii2_0_v6:12:15359626:15362651:-1 gene:B456_012G089700 transcript:KJB76463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEWSHRSDSFWSNDNVAETGCLSIIVLGASGDLAKKKTFPALFHLYCQGFLPPNEVHIFGYARTKISDDELRNRIRGYLLGERSSSPSEDVSEFLKLVKYVSGSYDSAEGFQLLDKEIAMHEISKSSQEVSCRRLFYLALPPSVYPSVCRMIRKYCMNKSDLGGWTRVVVEKPFGKDLDSAELLSSQIGELFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDEEVVLGQYEGYRDDPTVPDQSNTPTFATVILRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCEKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMSTVQSELDLSYKQRYQGVMIPEAYERLILDTYGPCPS >KJB76462 pep chromosome:Graimondii2_0_v6:12:15358462:15363327:-1 gene:B456_012G089700 transcript:KJB76462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEWSHRSDSFWSNDNVAETGCLSIIVLGASGDLAKKKTFPALFHLYCQGFLPPNEVHIFGYARTKISDDELRNRIRGYLLGERSSSPSEDVSEFLKLVKYVSGSYDSAEGFQLLDKEIAMHEISKSSQEVSCRRLFYLALPPSVYPSVCRMIRKYCMNKSDLGGWTRVVVEKPFGKDLDSAELLSSQIGELFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDEEVVLGQYEGYRDDPTVPDQSNTPTFATVILRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCEKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMSTVQSELDLSYKQRYQGVMIPEAYERLILDTIRCDQQHFVRRDELKAAWEIFTPLLHRIDNGEMKPTPYQQGSRGPTEADELSAKAGYVQTHGYIWALPPYRNLL >KJB76461 pep chromosome:Graimondii2_0_v6:12:15358462:15362671:-1 gene:B456_012G089700 transcript:KJB76461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEWSHRSDSFWSNDNVAETGCLSIIVLGASGDLAKKKTFPALFHLYCQGFLPPNEVHIFGYARTKISDDELRNRIRGYLLGERSSSPSEDVSEFLKLVKYVSGSYDSAEGFQLLDKEIAMHEISKSSQEVSCRRLFYLALPPSVYPSVCRMIRKYCMNKYIRYFPADLGGWTRVVVEKPFGKDLDSAELLSSQIGELFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDEEVVLGQYEGYRDDPTVPDQSNTPTFATVILRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCEKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMSTVQSELDLSYKQRYQGVMIPEAYERLILDTIRCDQQHFVRRDELKAAWEIFTPLLHRIDNGEMKPTPYQQGSRGPTEADELSAKAGYVQTHGYIWALPPYRNLL >KJB77637 pep chromosome:Graimondii2_0_v6:12:32214913:32215457:1 gene:B456_012G147900 transcript:KJB77637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGKKTRGRKKTQMKMIENKEDRLVTFSKRRLGIYKKISELSILCGTEILFIVFSPTGKPFSFGCPSVESVSNHFLSKNRPINDNTNILIEAYRMVRTNKLVQHYNEVHSQMDEIKRKKRELVSAQQTSETNNTNHWWKTPIHQCNPRELDELYSRFSELAHLFHIA >KJB78311 pep chromosome:Graimondii2_0_v6:12:35248023:35252283:-1 gene:B456_012G185400 transcript:KJB78311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQSTAGAKTKKKSNKKHHKKSDPDVISMKLKSQKPNPFETIWSRRKFDILGKKRKGEERRIGRARSLAIQKRKKTLLKEYEQSTKSSVFVDKRIGEQNDDLGEFEKGILRSQRERQLKLGKKSKFNLSDGEEDEFDAPEFGSLPERDDFEDEMLSDDDNYADEKRSTVLKYLNSHSAKDPLEGDLIEGEENKHKSKKEIMEEVILKSKFFKAQKARDKEENEQLMDELDKSFSSLVQSQALLSLTEPGKMNALKALVNKSIPDEHVKKEELAVARKSETNNQEQPDSYDKLVHEMVLDMRARPSDRTKTPEEIAQEERERLERLEEERQKRMLATDYSSDEDGENAEKDYAQRPRAISGDDLGDSFALDDEPGNKKGWVDEILERKDANDSEDEDEDDSEDLGSAEDTDEDEESEEEEEDDENECEKTLSLKDWEQSDDNNVGTDLEEDEETDEHDEAIGDEDVDKKSRNKTNKTELKKCVESVDAKKPKASGKHTSTKLDIPFIIEAPKNLEELSSLLENHSNDDVIVIINRIRASNAIKLAAENRKKMQVFYGVLLQYFAVLANKKPLNFELSNLLVKPIMEMSTEIPFFSAICARERILRTRVQFCEALKNHENGCWPTLKTLFLLRLWSMIFPCSDYRHVVTTPALLLMCEYLMRCPIMSGRDVAIGSFLCSMILMVCTCLSFSLYP >KJB78310 pep chromosome:Graimondii2_0_v6:12:35246189:35252336:-1 gene:B456_012G185400 transcript:KJB78310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQSTAGAKTKKKSNKKHHKKSDPDVISMKLKSQKPNPFETIWSRRKFDILGKKRKGEERRIGRARSLAIQKRKKTLLKEYEQSTKSSVFVDKRIGEQNDDLGEFEKGILRSQRERQLKLGKKSKFNLSDGEEDEFDAPEFGSLPERDDFEDEMLSDDDNYADEKRSTVLKYLNSHSAKDPLEGDLIEGEENKHKSKKEIMEEVILKSKFFKAQKARDKEENEQLMDELDKSFSSLVQSQALLSLTEPGKMNALKALVNKSIPDEHVKKEELAVARKSETNNQEQPDSYDKLVHEMVLDMRARPSDRTKTPEEIAQEERERLERLEEERQKRMLATDYSSDEDGENAEKDYAQRPRAISGDDLGDSFALDDEPGNKKGWVDEILERKDANDSEDEDEDDSEDLGSAEDTDEDEESEEEEEDDENECEKTLSLKDWEQSDDNNVGTDLEEDEETDEHDEAIGDEDVDKKSRNKTNKTELKKCVESVDAKKPKASGKHTSTKLDIPFIIEAPKNLEELSSLLENHSNDDVIVIINRIRASNAIKLAAENRKKMQVFYGVLLQYFAVLANKKPLNFELSNLLVKPIMEMSTEIPFFSAICARERILRTRVQFCEALKNHENGCWPTLKTLFLLRLWSMIFPCSDYRHVVTTPALLLMCEYLMRCPIMSGRDVAIGSFLCSMILMFTKQSRKFCPEAIMFLRTLLMAATDHKLASEQDSQFYHFMELKALRPLLCIHDGVDEINPLNFLMVMEMSDYSSFFCSDNFRASALLTVIETLRGFIEIYDGLNSFPEIFLPIATLLVEVSEQKHMPKALKDKFNNVSQLIKKKAGETHTLRRPLQLRKQKPAPIKLLNPKFEENFVKGRDYDPDRERAERRKLQKLIKREAKGAARELRKDNYFLYEAKQRDKELVEKERAANYGRAIAFLQEQEHAFKSGQLGKGSKKRRR >KJB76691 pep chromosome:Graimondii2_0_v6:12:22139538:22139937:1 gene:B456_012G101100 transcript:KJB76691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEELDISDNQIRVLPESFRLLSKLRVFRTDKTPLEVPPREAVAEFMADLIAKRDTKAAPPKKEKGFWFRICSICWPFRTANTDDNM >KJB75158 pep chromosome:Graimondii2_0_v6:12:3409080:3410008:-1 gene:B456_012G027700 transcript:KJB75158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTALFLAITSLVLGSTIASDEFDPVLDISGQELRTGIDYYILPVIRGRGGGLTLASTGNETCPLDVVQEQQEVSNGLPLTFSPVNVTQGVVRVSTDLNIKFSAASICVQTTLWTLRFDESVQKYVVTTGGVEGNPGRETLSNWFKIQKFEDDYRLVYCPTVCNFCRPVCGALGVFMDGGTRRLAISDEPLKVMFKRA >KJB76825 pep chromosome:Graimondii2_0_v6:12:24468775:24469673:1 gene:B456_012G108800 transcript:KJB76825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFWAKFIGDKCWTAIRKPEWSPESEREKAMEEARECLKALESALNGNKLYGGHTIGLVDIAANFTGFWLSIVQEATGRELLSAHKFPLLSNWSHCGIVQECLLPQRQGIGHLQSSYCSTLNKQPMCPSILNKQQTTNQMAPISGVQNS >KJB75098 pep chromosome:Graimondii2_0_v6:12:2978999:2980726:1 gene:B456_012G024000 transcript:KJB75098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVAIYFLMLLCLNIFTNSFSKGIPQPSCIKSERQALLKIKQQLKHSNMLADWNATKEDCCKWVRVFCNIVTGHVTELQLGSSNDTIASSRSKKVGSLKLGGKLSPALLDLKHLSYLDLSNNDFDPTQIPDWFWNLTSHLQYLNISGNQFQGKIPDLLTNQASLVLDLSFNNFTGSLPGISLNMTVLDLSNNVLSGSMSQFLCHKKHQMKLEVLNLEGNHLSGEIPDCWEKWRSLVAIELCNNNFSGGIPSSMGALTSLESLHIRNNHLEGEIPDSLTNCTQLLTLDFAANHLSGEIPPWMGERLSKLIIISFQSNRFHGHVPKQICGLSSLQILDLSHNNLSGNIPSCINNLTAMISRKISCSKISYNTSKGCFFDNMALMVKGTMLDYSNTLGLIKLVDLSDNNLSGEIPEEMTMLTGLLSLNLSKNHLAGKIPSNIGAIKTLECVDLSENNLSGTQLQSFSASSFLGTKLYGPPLPTPKTLVPASNIVGGQVDSRKQVDWYIIWLEFGFWCGFLCVACPLLFTNSGDACIFRILTKLKIKHF >KJB74992 pep chromosome:Graimondii2_0_v6:12:2008743:2009970:-1 gene:B456_012G017600 transcript:KJB74992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMATQTRFHVLAVDDSLIDRKLIERLLKTSSYQVTAVDSGSKALEFLGLNGENEDEERNSSVESVSAADEDHQVGVNLIITDYCMPGMTGYDLLRKIKQSSSFKDIPVVIMSSENIPSRINRCLEDGAEEFFLKPVKLSDVNKLRPHLMKGITTKNEMQSNTNKRKGSEEIQSPDRTRPRYNELEVV >KJB75225 pep chromosome:Graimondii2_0_v6:12:4064326:4066680:-1 gene:B456_012G032900 transcript:KJB75225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISFLFLVCSFSFHLHAVAQPRNSTIRLGSSLTPTTTGKSAWLSPSGLYGFGFYSQGKGYGVGVFLAGVPQRTVVWTANRDDPPVPSTASLVLTTDGRLILQSPPRRDVYIVTDASQKIAAASMLDTGNFVVYNSDEDKIWQSFQYPTTSILQGQPLSAGKELVSSVSETDHSTGIFRLKMQHDGNLVQYPVDTPDTAPYSYWSSFTDGQGDDVSLNLDNDGHLYMLNSTGFDIKDLTTGGYDDTNRTIYLMKIDSDGIFRLYSYRLDRNGNRSVIWSSTSDKCAPKGLCGLNGYCVDVDREANCICLPGFAPVIERNFTAGCERNFSSDSCKNGDGKIQYTIQAAENTVWEDTGYSEVTSTTREECETACYEDCNCEAAMFNNGKCTRQRLPLRYGRRDLSNSNIALIKVGIYSSINEPRKHADEPKDGNGKLLIIGLSLIGFSVMVLVISGALILRSRVFRYKRFSTDSNIRLCENVAPISFSFAEIVAMTDNFEEEIGKGAFGTVFKGMVMLNGLTKVVAVKRLDNISDQGEREFQNEMRIIGRTHHRNLVRLLGYCHDGANRLLIYQYMINGSLSDVLFTPERRPCWIDRVEIARDVARGLLYLHEECETQIIHCDIKSQNILMDENGQAKISDFGLAKLLKPDQTKTFTGIRGTRGYVAPEWHRKLPVTVKADVYSFGIVLLEIICCRRSVNWSLKDEEAILEEWVYDCYQAGKVGKIAGEDEEVDIKQLERMVIVGLWCILDEPTLRPSMKKVLLMLEGTVEIPIPPCPTSFLSSI >KJB74873 pep chromosome:Graimondii2_0_v6:12:1306267:1308918:1 gene:B456_012G011600 transcript:KJB74873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESPSSARKVVVHLRATGDAPILKQAKFKILGTDKFAKVIDFLRRQLHRDTLFVYVNSAFSPNPDELVIDLYDNFGFDGKLVVNYACSMAWG >KJB77469 pep chromosome:Graimondii2_0_v6:12:31244477:31246478:-1 gene:B456_012G138600 transcript:KJB77469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVSAKLKHIGTSLSSSSRPYSLTPLASSHGKPRNPPSPTLLNEFVNFCYQRDLPKAMNAMAAMERHGIYADSITYSELIKCCLARNAVEQGKLVHKHVFSNGYQPKTFLVNILIGMYVKFKLLDEAQALFDQMPERNVVSWTTMISAYANAKLSDKALEFFVLMLREGVLPNMFTFSSVLRACNGLFNVRQLHCGMIKLGLESDVFVRSALIDVYSKLDELKDAICVFNEMETKDLVVWNSIIGGLAQNNDGDEALDQFKRMKRAGFTADQATLTSVLRACTGLALLEVGRQVHVHVLKFDVDLILNNALLDMYCKSGSLEDAKSVFERMVHKDVISWSTMIAGLAQNGFSQEALKLFDLMKASGIKPNYITILGVLFACSHAGLVDDGLYYFRSMKRLYGIDPGREHYGCIIDLLGRAGKVDEAVRLVQEMECEPDAVTWRTLLGACRVHHNVDLAIYAGKQVLKLDPEDAGTYVLLSNIYANSQRWEDVSEIRRQMREKGITKEPGCSWIEVNKQIHAFIVGDTAHPKINEINRRLNQLIHKLMGIGYVPDTNFVLQDLEGEQRDDSLWYHSEKLAIVFGLMSLSSGSVIRIRKNLRICGDCHTFAKLVAKMECRVIVIRDPIRYHHFQNGVCSCGDYW >KJB75512 pep chromosome:Graimondii2_0_v6:12:5956319:5956618:-1 gene:B456_012G047400 transcript:KJB75512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQIIEHTTLHLIVEIDDMMEVALLKHQSVVSHPLGMLLANWRSLINKVWVIEVRHIIHEGNKFTDHLANLAQESNNGFIILESPFESCSFTRNRCLW >KJB75220 pep chromosome:Graimondii2_0_v6:12:4032153:4034838:1 gene:B456_012G032400 transcript:KJB75220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVWAFLLLLSSLCVKQSSSIISLGSSLSSATQSIPWRSPSGRFAFGFYSQNGGLSVGVWLDGRRKNDNKVVWTANRNEPPLTSNATLILNGKGVLLSIAVSGEKKFIANPNNSDVSVFSASMLDSGNFVLYNKDNHTIWESFDNPTDTLLGGQTLLTNHKLISSSSENDHSPGRFHLTMQRDGNLVLYPLEFEDSPPNAYWSTDTFGKNLSLSLFLNATGLLELINNDNSSIYDKINRCFSKEPTYNDYNESSSNNNSTVFSASLDVDGNFRLYAHLFEQNGGFHTYLLLRAVLNSCKVKGFCGFNSYCTFNDYQPYCACLPGTDFIDPLQNKLGCKRNYSEAHCKGGKANIPFYNMTSMQGIVWTTGIFYSKEQLSKDACSRTCLEDCNCEAAQFENGICRKQKLPLRYLLRDPGAGEISIVLLKVGIKSLEADDDTVLSELKLPKVLIKRKNTTVLLLLLTLSFVACSCALLTISGVYIYKFRVLRYKRLLELGNLGLTEELTLTLFSYKELKRATNGFKEELGKGSFGAVYKGSLDRGRQLIAVKRLEKLVEEGEKEFQAEMRAIGRAHHKNLVRLLGYCVEDSKRLLVYEYMGNGSLADLLFKSTKPPDWDERTRIALDVARGILYLHDECETPIIHCDIKPQNILMDDLWRAKISDFGLAKLLMGDQTRTFTGVRGTRGYMAPEWQKNTPISVKADIYSYGVVLLETVFCRRNLETNVSKPEEVILSSMVYRCLVEKELDKLMLGEEVDKRSLERMVMVALWCIQDEPALRPSIKTVVMMLEGITDICIPPCPTASFIK >KJB75484 pep chromosome:Graimondii2_0_v6:12:5524433:5524642:1 gene:B456_012G044100 transcript:KJB75484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYRIVAIDVRSRREGRDFRKVGFYDPINNQTYLNVPAILYFLEKGAQPIAIVHDILKRIKNKENERAKER >KJB76770 pep chromosome:Graimondii2_0_v6:12:23842619:23843828:-1 gene:B456_012G106300 transcript:KJB76770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQARYWMWTNRKPGLSSNNLQPSTKASYDDSWEEQAFAEDTAGSLGGCIWPPRSYSCSFCGREFTSAQALGGHMNVHRRDRARLKQSPTSQDGILHHDPQNSPNHIQKPFLPVSFQYQPDEVCNFVYNPNPNSNHGTPPSPPSNSKDNCPEPTLVPALSSETAQDHPMKSPKTSTKSWSNYVEDRYYHFSDLWNEVEKCPSILESGCSVKADYVQTDLSVCLNLIVRRGRTPPTTSGSKEEIGCKRKRTDHTPIWPFLLKPISIETHHLQAAEVSELSPSSDDDIDLELRLGPR >KJB77002 pep chromosome:Graimondii2_0_v6:12:26832862:26834874:-1 gene:B456_012G116100 transcript:KJB77002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTFRLAILLTLLLTSLADMDDVGFIYNGFLSANLSLDGIAKLTSNGLLKLTNETGRHEKGGAFYPHLINFKNSTNGSVSSFSTAFVFAIISESQAFGGHGIAFAISPTRGLPEAIPSDYLGLFNESNNGNGTNHVIAIELDTVQSNNFNDINNNHVGIDINGLNSTYSFPAGYYKDGSRQFRNLSMIDGKRIQVWVEYHGREKRMDVTLAPSGVSKPKTPLLSSPLDLSSIVNSEMYVGFSSSTGALISSHYVLGWSFKMNGQAQELTLSRLPKLPRLGPKKKSRLLTNGLPLVLASVILAGVSSVVYFVRRKRKFAEIVEDWELEYGPHRFKFKDLYVATKGFKDKELLGAGGFGRVYKGVLPTTKLEVAVKRVSRESKQGMKEFIAEIVSIGRLRHRNLVQLLGYCRRQGELLLVYDYMPNGSLDKYLYNQPKLTLNWSQRFRVIKGVASGLFYLHEEWEQVVIHRDVKASNILLDSGLNGRLGDFGLARLYDHGTEPQTTHVVGTVGYLAPELTRTGEATPCTDVFAFGAFLLEVACGRRPISQSSTDVILVDWVYSCWRKGDILEAKDPNLGSDCVAEEVELILKLGLICSQSEPEARPTMRHIVQFLEGDIPFPRMSSLRLSSGIAFSHRTGFDEFAMLYTSSLNNKFSHSSSAEASLLSGGL >KJB78306 pep chromosome:Graimondii2_0_v6:12:7844066:7844362:-1 gene:B456_012G057400 transcript:KJB78306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKSSEILWSIVDPSNSRVSSPVTIANGVLFVGSTYKQGPIYAIDAKNESILWSYEIGATVYDGMSVSNGCIYVGNGYKVNVRTYSSGTSLFAFCVT >KJB77179 pep chromosome:Graimondii2_0_v6:12:28715426:28718287:1 gene:B456_012G124100 transcript:KJB77179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQTSVSEFISNISGHKDVGESSQNHGDEVLPHSLTIAALVGQDDYFQNAFVMSSSFQTHTINQNPQGIEFPEEISLEPDSGQSNQRKRKLFELENSKCLQQEIAGVSQGLEGNQNEQQQQQQPKQKRRGKLHTISERRRRERIRGKIQALQELMPNCNKWDKASILDDAINCIKSLKVQVEMMSMARGAFYRVPYMSQALMHMKAGMVGMCFSSRLPTMPPFSEPAGLPLMPGTGTRNLGLPLLQMPFFHSQLPLPPAATPTMLPNYLTPAALFYYSSQGEAVDTQNIVSTTSIDCQQIPITIQSHDNSFLGENYLASSSPSKVPSSYQ >KJB77293 pep chromosome:Graimondii2_0_v6:12:29855845:29857261:-1 gene:B456_012G130000 transcript:KJB77293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCSHFHCPDVVIFTSKDRFNIPAFMVAIIHPKRVLGIITLGMPFRLPGPLGLQFNLLPKGFYISADINLVDSSTPLPPWFTEEDLDVYATLYQNSGFRIALQVPYRCWQWDYGVTNPKVMAPSLLIMGEKDYFMKFPEMEDYMRKGIVKQFMPNLDTTFMPEGSHFVQEQFLEQVNELIITFLNKNI >KJB75637 pep chromosome:Graimondii2_0_v6:12:22177694:22180288:1 gene:B456_012G101300 transcript:KJB75637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLMHALQYNNYGRASDVAGEVVKVGPGVTNYKVGDKVVSLLDHLYHNPKAFYLLMLVVFSFSYVFDGQPPDLKKQELVTQRGLMLLRICKKPWRYFFFVTHGVISKVFFCCLTTTEI >KJB77908 pep chromosome:Graimondii2_0_v6:12:33595796:33596071:1 gene:B456_012G165200 transcript:KJB77908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIDAVNLVANNVHRWRLRTLPAGRIVLRVTEDEKQWWRFECCQIEGGMHCISGSAWSDFVGPRVHARLTLYAQQDGENFHRMKVIMRRN >KJB74723 pep chromosome:Graimondii2_0_v6:12:484116:485760:1 gene:B456_012G004000 transcript:KJB74723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFSNTVIGFLNLFTLLASIPIIGAGLWMAKSSTTCESFLQTPLLILGFVILIISLAGFIGACFHVVWALWVYLLVMMLIIAALMGLTIFGFAVTSQGGGHEIAGRVYREYRLEDYSPWLRNRVKDPQYWNTIRSCLLNSKTCGKIALWTPLDYLNNDMTPIQSGCCKPPTSCNYEMMTMVAQNPDCYRWNNAPTVLCYECDSCKAGVLESVRRDWHKLSVLNIVMLLLLIGIYSIGCCAFQNTKRAETDYPYGQNRMSKVRPRWDYYWWRWWHDKKEQLY >KJB76742 pep chromosome:Graimondii2_0_v6:12:23468575:23471055:1 gene:B456_012G104500 transcript:KJB76742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVRVCLEEKNDDISSVPPGFEPRALFTLKSEAQDTKRHERDNLICCSASARVILVEKGTGLANDESSKITMSMSMRRRPWINYGQYDNSSEDEPNHGKLNQLNKRIGQASKVSDNEVEQVLKQVTARWRPEEACRPDIEDVPVLYPIDEEFEDTLKYIASIRPRAKQYGICRIVPPSSKMNKECDTILVNEESYL >KJB76741 pep chromosome:Graimondii2_0_v6:12:23468575:23471055:1 gene:B456_012G104500 transcript:KJB76741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVRVCLEEKNDDISSVPPGFEPRALFTLKSEAQDTKRHERDNLICCSASARVILVEKGTGLANDESSKITMSMSMRRRPWINYGQYDNSSEDEPNHGKLNQVTARWRPEEACRPDIEDVPVLYPIDEEFEDTLKYIASIRPRAKQYGICRIVPPSSKMNKECDTILVNEESYL >KJB76699 pep chromosome:Graimondii2_0_v6:12:22541311:22544077:1 gene:B456_012G101800 transcript:KJB76699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53700, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53700) UniProtKB/Swiss-Prot;Acc:Q9LFF1] MSSSTCFKCYPWSYSPFPFFQKPTSPFSSISFSSTQPNQTQFPLSHDFTPTQLLHTLRRQNDESSALRLFDWASKQPNFTPNLSIYEELLTKLGKLGSFDSIKLILQQVKHSGCELRTGTFLILIESYAEFGLYDEILHVVELMEGEFGLKADTHFYNFLLNVLVDGNKLKLVEIAHDGMVSRGIKPDVSTFNILIKALCSAHQIRPAILMMEEMPSYGLAPDEKTFTTIIQGFIDEGNMDGALRFRGQMVEAGCPITNVTVNVLVHGFCKEGRVEEALDFIQEMSENDGFYPDQFTFNTLVNGLCKAGFVEHALEIMDVMLREGFDPDVFTYNSLISGLCKIGEIEEAVEVLDQMVSRDCSPNTVTYNTLISTLCKENQIEEATELARVLTSKGILPDVCTFNSLIQGLCLTRNHTLALELFEEMKNKGCQPDEFTYNMLIDSFCCRGKLEEALSLLKEMESSGCARNVITYNTLIDGFCKNKRIQEAEEIFDEMELQGVSRNSVTYNTLIDGLCKNRRVEEAAQLMDQMLMEGLKPDKFTYNSLLTYFCRSGDIKKAADIVQTMTSNGCEPDIVTYGTLIGGLCKAGRVEVASKLLRTIQMKGMVLTPHAYNPVIQALFRRKRANEAMRLYREMLEKGDPPDAITYKVIFRGLCSGGGPIGEAVDFVVEMTEKGFLPEFSSFYMLAEGLCALSMEDTLITLVNMVIGKANCSDSEVSMIRGFLRIRKFQDALATLGNILDSRKQKKPYWSR >KJB75485 pep chromosome:Graimondii2_0_v6:12:5531380:5533377:1 gene:B456_012G044200 transcript:KJB75485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSQGSCNGPPPFLTKTYEMVDDPVTDSLVSWSETGYSFVVWNPPDFSRDLLPRYFKHNNFSSFVRQLNTYGFRKIDPDQWEFANEEFIRGQKHLLKNIYRRKPIHSHSLNRQGSSSVPLTEKEKKEFEQVIKMLSDDKNRLQLQLQGHQKENEEYRCQVRLLSERFRNMEDRQRRVMVSLARIIDKPHVLSQFHGKKRKLLNCNDFNDECNIQDLHSLASLNANMGLDLKQIEELESSIRCWETLFLEIGETIGEEVRDFGTSLRPSPVVVTEIQTSSGDYDMDGELYSPSSHHCSPYSTDINSSPELVAPAYRPIHTPSFHHVVNLNPKPPGIGLNSQHASPLETDQASKNQAEAVATGYTVPGSVNDGFWERFLTEVPDTSSYAQEIQSV >KJB77260 pep chromosome:Graimondii2_0_v6:12:29619338:29620637:-1 gene:B456_012G128300 transcript:KJB77260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALNLGANLQTIAFPLNSPATLTLNSPLKLQIQKPTTPLTHTIRTPLSSISLNCLSKFPKGSQTTTKRQTMVVSLGPGKFYGSSLPRPRIYTDTKFNPERVDPPVPVLDPLLSWADEAHWSMGGLSFKRLRLQGRIEGNVKRLKAQRKKLLKDTPDRGLNLKKSLRDDEDDEDVSPPPAPVAVKRRRFLDLNDDDDTESENKGDDDEEVTVAKRKKKRVLRNGAVRKLGDDFERVAKNSGLVSKRGRNFSDGIGSDVKKMVEEVNDEPESEEDKKKTEAQSGRSRTSPRLARRGRY >KJB78112 pep chromosome:Graimondii2_0_v6:12:34728180:34734149:1 gene:B456_012G178600 transcript:KJB78112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQEPEENISHMDASLYKAAAEGNIEVFNNKQGLQLESLKTPNHDNVLHVNLATQETAAWLLNRFHSCDPVMSFFITMIKREKRSDFIEQILSKCPSLLLQTNAKGQTPLHVAARNGHSAIVKLLIKFCAKARDGDLEKLGMDQVNAVREMLRITDQESNMALHEAARCGNVEVAKALLEFEDPEFPYSANKKQETPLYIAARRRGSGRLLTLLLDKFKSTAHGGPHGRTALHAAAMAGDAEAIKVILNKKGNLTKERDEDGHTPLHYAAHLGNRLSVVKELLKRDVTAAYIGDKMRGMAPLLMAARQGHLLTVSKILSLCPDCCEKVDNKSLNVLHYLAFRGSPSPFGNSVFKSGHIEIVYGSVKTLMELEGAFGMTPQDVDNAVRSQKHHHKQKQIKELLEEIENDQVAEEPVHHFTVRNNSIESLEKTGNAHLVAAALIATVAFAAAITVPGGLESEKGSNRGTPILIQEAAFKAFVVTNAMAFIFSVSALTTYFGVLDHLFTRFIFWREAIILNRTWSVSMLLNYATLAMVIAFSTGSYVVLKPSHELAIVSYLICPAFLLYFRQRINLFLFVIL >KJB77615 pep chromosome:Graimondii2_0_v6:12:32093706:32096899:1 gene:B456_012G146900 transcript:KJB77615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAQNPDSDHKKTDPPSSASAYLDPNYWNQRFSNEEHYEWFKDYSHFRHLMQSHIKPNSSVLELGCGNSQLCEELYKDGITDITCIDLSSVAVERMKERLLSKGYEEIKVLEADMLDLPFSNECFDVVIEKGTMDVLFVDSGDPWNPQPATISKVMAMLEGVHKVLKPNGIFISITFGQPHFRKPFFHNAKFTWSIEWSTFGDGFHYFFYILRKGLRCLDDEDSIEKIQMPSISLYHEELEGEDYIFRTNIEELNS >KJB77614 pep chromosome:Graimondii2_0_v6:12:32093706:32096899:1 gene:B456_012G146900 transcript:KJB77614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAQNPDSDHKKTDPPSSASAYLDPNYWNQRFSNEEHYEWFKDYSHFRHLMQSHIKPNSSVLELGCGNSQLCEELYKDGITDITCIDLSSVAVERMKERLLSKGYEEIKVLEADMLDLPFSNECFDVVIEKGTMDVLFVDSGDPWNPQPATISKVMAMLEGVHKVLKPNGIFISITFGQPHFRKPFFHNAKFTWSIEWSTFGDGFHYFFYILRKGLRCLDDEDSIEKIQMPSISLYHEELEGEDYIFRTNIEELNS >KJB75537 pep chromosome:Graimondii2_0_v6:12:5782847:5783810:1 gene:B456_012G046300 transcript:KJB75537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVIVTSRGSEEGELAGMLDFIFYFESMQLLSFDCLNRKLIGRTGGNVASDIVVEIRAIHCSLRSIGPASSWLGFDKRILLIGWFYYA >KJB75538 pep chromosome:Graimondii2_0_v6:12:5782847:5783810:1 gene:B456_012G046300 transcript:KJB75538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVIVTSRGSEEGELAGMLDFIFYFESMQLLSFDCLNRKLIGRTGGNVASDIVVEIRAIHCSLRSIGPASSWLGFDKRILLIGWFYYA >KJB78166 pep chromosome:Graimondii2_0_v6:12:34972874:34974589:1 gene:B456_012G181600 transcript:KJB78166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSTTKACFFCFFLFHCTISTDIFPKQALPTKSGYLTVNPASNSAIFYTFYEAQTPTSSLSQTPLLIWLQGGPGCSSMTGNFFELGPWRVVSSFRQNVEHLSLEPNPGAWNRLFGLLFLDNPIGTGFSIASTPQEIPRDQISVAKHLFVAITGFISLDPLFKHRPVYITGESYAGKYVPAIGYYILKQNNELVGSERVNLRGVAIGDGFSDPETQLATHGVTAYYSGLINDKQRDELEQAQWEAIKLVKMEKWSEATNARTKVMDLWQNMTGLATLYDFTKQKPYQTNLVTKFLNINEVKKALGVNESMVFESCSGVVSAAMHEDMMKSVKYMVEALVGNTRVLLYQGLYDVKIGVVPNEAWVKTMKWDGIREFLMADRMIWRVNGEVAGYVQKWEHLTNVVVLGAGHILPADQPLNSQAMIEGWVLESGLFGGEVKDA >KJB76659 pep chromosome:Graimondii2_0_v6:12:20499451:20506403:1 gene:B456_012G098600 transcript:KJB76659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTRWGFGLMTFFTFISFYLSLGSCLIAASLEDSIAQQKLDKVHNLPGQSFTVDFAHYAGYVTVNEHSGRALFYWFFEAAKDPDSKPLLLWLNGGPGCSSVAYGEAEEIGPFHIRPDGKTVYLNPYSWNQVANILFLESPVGVGFSYSNTSSDLLNNGDKRTAKDSLTFLLKWLERFPQYKERDFYIAGESYAGHYVPQLSQAIVRYNKATNGKAINLKGYMVGNALTDDYHDHLGQFQFMWSAGLISDETYKLLNVLCDFESFIHFSSACNEMMDVASEEMGNIDPYSIFTPSCSANASQTKWLLKRRHMVSQLSEKYDPCTEKHSEVYFNLPEVQKSLHVLPEAAPSKWETCSDIVNTNWKDSPITMLDVYRELTREGLRICIFSGDTDAVIPITSTRYSIDALKLATVKPWHAWYDDGQVGGWTQEYEGLKFVSVRGAGHEVPLHRPKQALALFKAFLSGTSMPASASAPAHAHASQLLISDS >KJB76660 pep chromosome:Graimondii2_0_v6:12:20499799:20503907:1 gene:B456_012G098600 transcript:KJB76660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTRWGFGLMTFFTFISFYLSLGSCLIAASLEDSIAQQKLDKVHNLPGQSFTVDFAHYAGYVTVNEHSGRALFYWFFEAAKDPDSKPLLLWLNGGPGCSSVAYGEAEEIGPFHIRPDGKTVYLNPYSWNQVANILFLESPVGVGFSYSNTSSDLLNNGDKRTAKDSLTFLLKWLERFPQYKERDFYIAGESYAGHYVPQLSQAIVRYNKATNGKAINLKGYMVGNALTDDYHDHLGQFQFMWSAGLISDETYKLLNVLCDFESFIHFSSACNEMMDVASEEMGNIDPYSIFTPSCSANASQTKWLLKRRHMVSQLSEKYDPCTEKHSEVYFNLPEVQKSLHVLPEAAPSKWETCRYVRYFCILKFCHLPTVTRPPDHLALSLYETYSILFKGCIKYFDAASIALIK >KJB75894 pep chromosome:Graimondii2_0_v6:12:8981543:8986063:1 gene:B456_012G063600 transcript:KJB75894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFPRNRVHISAMSGREKKNKNKKGNLFLFGQIIFSKIPSFFLTIQEPQTTKILLHQSRKITLFFPRHLKNKLKSFQSMNASQFMDKQIMDLTLSSSSPPHNSHKDFIDLMSHPQNEDNHNQFSGFSASSNGASKVEMFTDYDFEPIRPVSTSLDAAGVFSNPRSWSSIDSKAKNYGSLDSLEPAKGILDKDQSAFDTSILAEIDQTMKKHTDNLMHMMEKVSDRLTQLESRTRHLENSLDDLKVSVVNNHGSTDRKMKQLENILTEVQTGVHDLKEKQEIMEAQLQLAKLQVSKVDQPSETQNTVRTESVQQVASAPFQSHQQLPLAASFPQSLPSVPPPALPQPSLPPQVQHANQFPQSHVPSVPQQDPYYPPPGQTQETPSQQFPMPPTQQPQLPPATPPHQPYQPAPPPQYSQPPQPLQLQPSVGHHPEEAPYPPSQIYPPNLRQPPSQPPSGGPSSSQQYYGAPPQLREPSPSSRPGPGFSTGYIPQSGPSEPYAYGGSPSQYGSGNPMKMQQLPSSPMGQNSGSGYPQLPTAKILPHALPTASGVSGGSSPSGPGNRVPIDDVIDKVTTMGFPRDHVRATVRKLTENGQSVDLNVVLDKLMNDSDVQPPRGWFGR >KJB76604 pep chromosome:Graimondii2_0_v6:12:19428756:19429512:1 gene:B456_012G096700 transcript:KJB76604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFMGRHRNHLHMIAFKLETRRIRTTVSAISLTHQKLWFNRIPENRPHHFPLIATLVVCLHSSSGLQIHHLFFPSLFDYSCKSRAGCLKTMAEFWKCLLLVALLVSTCATVSESRVARKELGIDLGGIGVGLGIGLGLGLGGNGSGSGAGAGAGSGSGSRSSSSSSSSSSSSSSGSGSGAGSEAGSSAGSYAGSRAGSGGRG >KJB77206 pep chromosome:Graimondii2_0_v6:12:28988599:28989357:1 gene:B456_012G1256001 transcript:KJB77206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLRMFLGPINVRASRKEVQLKVKEEYNNYRDRTAFLFLLFPLTLLILKSWIWEGCLPGFPVQLYEAWLLFLYTGLAMRENILRANGSDIRPW >KJB74875 pep chromosome:Graimondii2_0_v6:12:1369765:1382114:1 gene:B456_012G011900 transcript:KJB74875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLISGSADDRDAESLFRAKPISEIRNVESATNKQIQDKKEELRQLVGTRYRDLIDSADSILQMKSASESISSNISSIHHSIRSLSISVFEAPSPKLQTPPNPTTRLRIYGIACRVKYLVDTPENIWGCLDESMFLEAAARYIRAKHVHSILMLPDGDLDHNNILSNFPLLQHQWQIVESFKAQISQRSRERLLDRGLSVAAYADALAAVAVTDDLDPERVLGLFLEARKNWILHTLTASAGNGNATSSVAISAFCDVLNIIQVTIGQVGELFLHVMNDMPLFYKVILDSPPASQLFGGIPNPDEEVRLWKSFRDKLESVTVMLHKTFISSTCWRWVRDCGAQIISKINGRYLIDTIPSGQELRTAEKLIRLTMESKEVLQESLEWLKSVFGSDIELPWNRIRELVLEGDLDLWNEIFEDAFVRRMKMIIDSGFEDLTRAVNVSDAIHTIVVTSGEKMDFETYLIRPSTGGGVWFAEPNNLKKLGPLLGNRALPEEDNLQSCLNAYFGPEVSQIRDQVDNCCQRVLEDLLSFLESTNASVRLKDLVPYLQNKCYDCVSAILKELQTELDNLYTAIGSEHKESDSVPPPLIVERSLFIGRLLFAFENYSKHIALILGSPRFWVKYTAPAIFEKLPSSLWQSKAVNGSPFSDSLGRQILTSSERQSSSATAALLGANESASPKLYDLRKIMRELCIRAYSLWISWLSDELSVILSRELGQDDGLSATTPLRGWEEIIVKQEQSGEGSSEMKISLPSMPSLYVISFLCQACAEIHRIGGHVLDKSIVQRFALSLIEKVISIYKNFLSTREASGAQVSEKGILQVLLDIRFAADVLSGGDVNVNEELSIKLKSKSAFRRKQDHIQTKSAVRDSVDGLIYSFSQKLDPIDWLTYEPYLWENERQSYLRHAVLFGFFVQLNRKYTDTIQKLPTNSESNIMRCSVVPRFKYLPISAPALSSRGNSGKPITAASNDITSRSSWEAYTNGELSQKADLDDHQSFGVATPFLKSFMQVGSRFGESTLKLGSILTDGQVGIFKDRSAAAMSTFGDILPVQATGLLSSFTTTRSDP >KJB75776 pep chromosome:Graimondii2_0_v6:12:7798168:7798425:1 gene:B456_012G057300 transcript:KJB75776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYNGIFLRHQKYTSKIVISNCCFSFKLTSETLVWEVDAAFLAFSAAFFLRNSSSCKKGGINCNNTW >KJB75692 pep chromosome:Graimondii2_0_v6:12:6786842:6787697:1 gene:B456_012G052300 transcript:KJB75692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFYEYSHASNNVLDALNMFDGTDGYYFHTESRGHHSVWDSRLFNYGSWEVCTEVSSFKCKIVAGGVQV >KJB78202 pep chromosome:Graimondii2_0_v6:12:35104169:35106258:1 gene:B456_012G183500 transcript:KJB78202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPYFSPQFPSLDVFERKESEVLPVEGSHRSWETRIDGGMDLDFRRQPHAVEFDVDFWPVEHPMEPQDEDRPVKCPMSAASSINDGKGHEEMVVGESSRKRSEQPQTVNGIGVAAMMEPLVRAVRKRQHTLTRDNHITVEPRIGKPSLPPIPIPTPTLTGSQMLQQLDKA >KJB75784 pep chromosome:Graimondii2_0_v6:12:7907789:7909947:-1 gene:B456_012G058000 transcript:KJB75784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSFRNFVVLGWMFLPWLVLSAVTEDDMKCLEGVKNSLKDPDGKLSGWRFDNNSVGQLPQSLEYCQSLQTLDLSANKLSGNIPSQICSWLPYLVTLDLSSNYLSGPIPSELSKCAYLNNLILSNNRLSGPIPYQLSGLDRLKNFAVENNDLSGAIPSAFESHDKADFAGNSGLCGSPLGNCGGLSRRNLAIIIAAGVLGAAVSMLFGFGVWWWHHLRWVSLRKKGFVGGSGWAERLRAHKLTQVSLFQKPLVKVKLADLMAATNNFSAESIIVSTRSGTTYKAVLPDGSALAIKRLTSCRILEKQFRWEMNRLGQLRHPNLAPLLGFSIVEDEKLLVYKHMSNGTLYSLLHGSVAVVDWPTRFRIGLGAARGLAWLHHGCRPPFLQQNISSIVILLDEDLDARIMDFGLAGFMNNSDVNETSFMEGALGELGYIAPEYSTTTVASLKGDVFGLGVVLLELVTRQKPLEVNAGGEEGFKGNLVDWINHLSDSGRIKDATDSNLRGKGHDEEMLQFLTIALNCVNPRSKDRWSMYQVYESLKNMGEENGSSEEFDDFPLIFIESM >KJB76083 pep chromosome:Graimondii2_0_v6:12:10339743:10340745:1 gene:B456_012G070100 transcript:KJB76083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKFGAAKQPTGTPSLAWSCVVVIASLLAGASVVHNIYKPDLTLPPVEDADEAKKKQAANARE >KJB78298 pep chromosome:Graimondii2_0_v6:12:34663016:34664735:1 gene:B456_012G177900 transcript:KJB78298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKREKRSDFIEQILSKCPSLLLQTNAKGQTPLHVAARNGHSAIVKLLIKFCAKARDGDLEKLGMDQVNAVREMLRITDQESNMALHEAARCGNVEVAKALLEFEDPDFPYSANKKQETPLYIAARRRGSGRLLTLLLDKLKSTAHGGPHGRTALHAAAMAGDAEAIKVILNKKGNLTKERDEDGHTPLHYASHLGNRLSVVKELLKRDVTAAYIGDKKRGMTPLLMAARQGHILTVSKILSLCPDCCEKVDNKSLNVLHYLAFRDSPSPFGNSVFKSGDIEIVYGSVKTLMELEGAFGMTPQDVDNAVRSQKHHHKQKQIKELLEEIENDQVAEEPVHHFTVPNNSIESLEKTGNAHLVAAALIATVAFAAAITVPGGLESEKGSNRGTPILIQEAAFKAFVVTNAMAFIFSVSALTTYFGILDHLFTRSIFWREAIILNRTWSVSTLLSSATLAMVIAFSTGSYVVLKPSHELAIVSYLICPAFLLCMWAALFVILTRSIHI >KJB78096 pep chromosome:Graimondii2_0_v6:12:34632030:34633913:1 gene:B456_012G177500 transcript:KJB78096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPREPEQNITHIEASLYNAAAEGNIEVFNNKQGLQLESLKTPNQDNVLHVNLATEETVKFSIIKIISLGFVPSYPFLDLFVTMIKREKKSDFIEQILSKCPSLLFQTNAKGQTPLHVAARYGHSAIVKLLIKSCAKARDGDLELGMDQVSAVREMLRITDQESNTALHEAAGCGNVEAVKALLEFEDPDFPYSANKKQETSLYIAAKRGDGGVLSVILDKSKSTAHGGPHGRTALHAAAMAGDAEAIRVILKKKGNLTKERDEDGRTPLHYAAHLGHRLSVVEELLKRDVSAAYIGDKKRGMTPLLMAARQGYLGTVSNILSLCPDCCEKVDNKGLNLLHYLAFGGYFYPVELSLFKRGGIDVVYGSLRNLMKLEDAFGMTPQEVYNVEQINELLEEIENDQVANKPVRPICSPTISAESLEKKREGHLVVAGLIATVTFAAAITVPGGFKSEKGFEEGTPFLIHKAAFITFVISNSLAFILSLSTLSVHLRTTYFFSSNSNQRRKIVSTRLTAINLLNRALIAMVIAFSTGSYVVLKPSHGLAIAS >KJB78296 pep chromosome:Graimondii2_0_v6:12:32678897:32679706:-1 gene:B456_012G152900 transcript:KJB78296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFVNKPVIVREVFADNLEYEFMLIQSALNNYPFVSMDTEFPGTIFKPDKNIVQLGNPEINYRFMKVNVDALKIIQLGLTLSDSEGNLPDFGTPFCYIWEFNFRDFDIEKDRYDKESIELLKRQGIDLTRNKEKGIYSRDFGMMFLISGLGFGELTWVTFHSAYDFGFLLKILTQHPLPPDLKSFMRHMTYYFGCRIFDIKYNFKIFNLHGGLEKVAKTLNVARVAGLSHQAGSDSLLILHCFMRIKNMKAFKQCNQNLPALALYGLV >KJB77796 pep chromosome:Graimondii2_0_v6:12:33126689:33127558:-1 gene:B456_012G158100 transcript:KJB77796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSALNKKSNFNARSNSLPSRPHPLIPQIDEHLRRLKSDESATSSSVITGKLSGLRDLYELVHSLFQLPITQKSLAQNYNDNNELLNGSLKLLDICGLAKDALLQAKEDTQQLQSSFRRRRSDDPIIANDAKAYLASRKKANKLINKSLRDLKINKCGFADVDEATCSMLRDVEGVTFTVLKSVFSYITATMPEPKSTNWSLVSKLVHSRCVTCKGRGSETNELERVNALLCGLIGYKSKKCGDMSNENAVIELQRLETSIEDVEDGIECVLKLLIKTRVSILNILSH >KJB75773 pep chromosome:Graimondii2_0_v6:12:7762349:7765272:-1 gene:B456_012G057100 transcript:KJB75773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVLSLCILSLSASTTGASNDWLNHGGNMLNRRFADKETMISPETASRLRLKWKFNAGQDISATPAIFDGTVYFPSWDGYIYAVKASNGGLVWKKKLQQLTGLNSTRAVSNIDPNITVSRTTPVIAHDLLIFGMSGPAYVVAVKQSNGELVWLTQLDKHPKAIITMSGTYYNGHFYVGTSSSEVTVSIEQCCIFRGSFVKLNAQTGNILWRTYMLPDNFGQHGEYAGAAIWGSSPSIDIRRNHVYIGTGNLYSAPKNVRDCQERQNNRTDMPSTDECVEAENHSVSILALDLDTGKVKWFNQLGGYDVWFFACNNVPNPKCPPGPNLDADFGAAPMMLTTYVNGVKRDLVVAVQKSGIAWALDRDNGNLIWSTEAGPGGLAGGGTWGAATDENRVYTNIANSGRKNFTLQPSTKVTTAGGWVAMDSKNGRILWSTADPSNGTASGP >KJB76645 pep chromosome:Graimondii2_0_v6:12:21120086:21121179:1 gene:B456_012G099500 transcript:KJB76645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYGEGDKRWIVEERPDGANVHNWHWAETDCLPWSKTFFTKSLSDLTILDCQGEAKDEEGKTLLKAEGNLEIPYISDENADEDPEVKVTIKDEGPIGKALKEAMLAKGKPLVLEKVSDFVKAMAKGGPVKDELEAKKSAPKNTTTTTSNSNSAAMSKEKVGVEKEAKKEVKKEGKKGFKTITMSEKFNCRAKDMYEILMDENRWKGFTQSNARISKDVGGQFSIFDGSVTGINLELQEGKLISQRWRFGSWSDGIDSTVRLVFNEPEPGVTVIKLTHSDVPGECNCGGEH >KJB76528 pep chromosome:Graimondii2_0_v6:12:16720563:16723470:-1 gene:B456_012G093800 transcript:KJB76528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPSGLTTEVKSVEMHHESLPEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKGGK >KJB76050 pep chromosome:Graimondii2_0_v6:12:10103677:10104376:1 gene:B456_012G068900 transcript:KJB76050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAIASTAKTEGCVKIDFYERGLRWLPTLRLHTLNSRYEHSTLVIGVMLKEPGHSRSAWILVSTPPAHLGQRLELVTIL >KJB77634 pep chromosome:Graimondii2_0_v6:12:32174549:32177563:1 gene:B456_012G147600 transcript:KJB77634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLQSGVILNLLSDMGVQEKTVIDDNDADCKEPAALLQIVSIIPVLSESDDLWPKQGFFIKVSDSTHELFVSLPQEQDEMILNNELQIGQFIYVEKLESAYPVPLLKGLKLIPGRQPFDRDPKHLVGNNIMEAFSQTSSPLLIQRRTERARSISPCTAPLRDRRASTGRQNCRTRTNGLNIQGFDMGYSRKKQDLNGSGCSRRSWCETAKGSTVVKHEIIHVSHTPNSHDSPFRSAGFLSFHDDNSNTRLRTKDVGQFSKPVKNTSKSWNSSSARTSKEPLTDSRKRASSKNKTWAETEMLWDTLPSSLVKHGKEVLRHRDVSLLAAVDALQEAAAIERLLKCLSKFSELRLAKEDDQQPSINKFFKLQDYLTQCRGIVQSLTHTSSQRMADSDLNSPGYTREALKLAVDRWRNATSWIKAAVASDLIPLSPEGKNEAKATKKPSQITMLRGSYSTTKQRNNGEFHSGFAAEKKNIPEWEKGSTLNIARNLADSLQDECKTWFLGYIENYLDGFDNERLSKVPHGQVAESMCRIKRLNDFLDMMEASNGKSTPESPEFVAYGRVRNKIYEILLKHVERTAMVLSFY >KJB77504 pep chromosome:Graimondii2_0_v6:12:31403887:31412510:1 gene:B456_012G140800 transcript:KJB77504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITISRKIHYVEFEIYVLTQFNSTSLNRHLSCAYASNMDGYKNEGFVRVLAAHQSPENLNWFQGTADAVRQWLWLFKEHNVLEFLVFIGDHLYRMDYERFIQVHRETDADIIVAALSMDEKRATVDTTILGLDDKRAKEPPFIASMGIYVVSKDVMLNLLRDQFSGTNDFGSEIIPGATSFGIREDIGTIEAFYNANLGITKMLVPDFSLVIYLIALMVLNFLPNFYDRLYLIYTQLRYLPPSKMLDADVTDSVIGEGGIIKNCKIHHYVVGLRSCISEGVIIEDTLLMRADYYETDADKRFMSTKGSVSIGIGKNSHIKRACIDKNARIGDNVKI >KJB75747 pep chromosome:Graimondii2_0_v6:12:7333703:7335649:1 gene:B456_012G054700 transcript:KJB75747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRTRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRNRRTVNRAYGGVLSSSAVRERIIRAFLVEEQKIVKKVLKIQKSKEKQASKS >KJB74661 pep chromosome:Graimondii2_0_v6:12:148953:149990:-1 gene:B456_012G001200 transcript:KJB74661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSTQESHLRSDNSVTYESAYTVYAMALSSTPSSTNINHQRIALGSFLEDYTNRVDIISFDPETLSFKTHPKLAFDHPYPPTKLMFQPNRKSASSSSSCSDLLASTGDFLRLWEVRESSIEPVTVLNNSKTSEFCAPLTSFDWNDVEPKRIGTSSIDTTCTIWDIEKCVVETQLIAHDKEVYDIAWGEARVFASVSADGSVRIFDLRDKEHSTIIYESPQPDTPLLRLAWNKQDLKYMATIQMDSNKVVILDIRSPTTPVAELERHHASVNAIAWAPQSCKHICSAGDDTQALIWELPTVAGPNGIDPLCVYSAGYEINQLQWSAAQPDWIAIAFSNKLQLLKV >KJB74662 pep chromosome:Graimondii2_0_v6:12:143388:150237:-1 gene:B456_012G001200 transcript:KJB74662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSTQESHLRSDNSVTYESAYTVYAMALSSTPSSTNINHQRIALGSFLEDYTNRVDIISFDPETLSFKTHPKLAFDHPYPPTKLMFQPNRKSASSSSSCSDLLASTGDFLRLWEVRESSIEPVTVLNNSKTSEFCAPLTSFDWNDVEPKRIGTSSIDTTCTIWDIEKCVVETQLIAHDKEVYDIAWGEARVFASVSADGSVRIFDLRDKEHSTIIYESPQPDTPLLRLAWNKQDLKYMATIQMDSNKVVILDIRSPTTPVAELERHHASVNAIAWAPQSCKHICSAGDDTQALIWELPTVAGPNGIDPLCVYSAGYEINQLQWSAAQPDWIAIAFSNKLQLLKV >KJB76118 pep chromosome:Graimondii2_0_v6:12:10765708:10767113:1 gene:B456_012G072800 transcript:KJB76118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSIPKPLVEFANKPMILHQIEALKAVGVTEVVLAINYQPEVMLNFLKEFEAKLGITISCSQETELLGQLYPFKEMIEFHKAHGGEASIVVTKVDEPSKCGVVVMEETTGKVDKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKAIFPKIAAEKKLYAIVLPGFWMDIGQPKDYIAGLRLYLDSPRKKSSTKLASGHHIVGNVLVHESAKIGEGCLIGPDVVVGPGCVVEAGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTVLGEDVHVWDEIYSSGGVILPHKEIKSSILKPEIVM >KJB75632 pep chromosome:Graimondii2_0_v6:12:6442154:6443272:-1 gene:B456_012G049300 transcript:KJB75632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKLFFSFLMVTVHLISSMAANRHMLMGNQMIDPNWYDAHATFYGDMTGGDTMQGACGYGDLFKQGYGLQTTALSTALFNNGLTCGACFEIKCFNDPQWCYPKAGSVIVTATNFCPPNYSKPEGNWCNPPLKHFDLSKLMFTKLAYYKAGIIPVKYRRVLCYKKGGVQFQIKGNPYWTLVLLYNVGGAGDIKDVKIKGSSTGSWLQMSRNWGVNWQTGAKLVGQSLSFQVTTSDGKMIQFDTVVPANWQFNQVFDGKKNF >KJB77647 pep chromosome:Graimondii2_0_v6:12:32308826:32311857:-1 gene:B456_012G148700 transcript:KJB77647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNSYTQGRQIAFMGDPTEENQEFPFDDSSSTGFSNPNFSQGLQGHHDVRVFGFQQSSLDDRFSQLNLNDIENPTRIVGSDFGPAPIGLLHENSFNGRHVLRSLPSQNMVVDRSPNYSPSTLIEHNVFDFDTNTQRRTPPFERPYNLCDPMRSVNGFGSTLMRPSMHDHQHQPFYSPPPRQLPRENSSYYYIPPLRELKAGDICTVAKDRDRCLALQKKLDNEVLTRGEIDMIFMEVKDHLHELMVHRFANYLIQKLFKAINNEQRTQLLLLLIRSHQRFFQVCTNLYGSRTIQKFIEIINIQEQRCILLSALKPIAITLAKDSNGHHIFEPCLKKFSSEETMHLMDGIIQHCVDIAINKSGCCALQQCLTHANDEVSEHFLVRIVANALFLSEDKYGNYVVQFVLQMGLPWVTSMIIGQLQGSFVSLCFSKYGSNVVEKCMKESEEQLSARVIMEILNDPDYLKVFGHDYGNFVIQSALLASKGHANLGIHNAIHCLIRKHYSFLQSSPFGRRILSAASKCRRS >KJB77043 pep chromosome:Graimondii2_0_v6:12:27207741:27211760:1 gene:B456_012G117900 transcript:KJB77043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEITQFLLAAQSADAKVRTEAEASLRQFQEQNMPVFLLSLSVELSNDDKPVESRRLAGIVLKNSLDAKDAIRKEQLVQQWMAIDISIKSQIKDSLLRTLGSSVPEARHTAAQVIAKIASIEIPRKQWPELIGSLLNNMTQKDKPAALKQATLEALGYVCEEISHQDLVQDEVNAVLTAVVQGMNLAEHGPEVRLAATKALYNALEFAQTNFENEMERNYIMKVVCDTAMSKEVEIRQAAFECLVAIASAYYEVLEPYMQTLFQLTSNAVKGDEETVALQAIEFWSSICDEEIELQEFESPESGDSGPPHSGFIEKALPSLVPLLLETLLKQEEDQDQDDTIWNISMAGGTCLGLVARTVGDAIVPLVMPFVESNILKPDWRCREAATYAFGSILEGPTVEKLSPLVQAGLDFLLNAMKDGNNHVKDTTAWTLSRIFELLHSPATGFSIISPENLKRVVGVLLESIKDAPNVAEKVCGAIYYLVQGYEDAGPSASLWSPYLTDIISCLISTADRTDGGDSKLRSSAYETLNEVVRCSNIAETSSIIAQLLPVIMNKLGQTMDIQIVSSDDREKQGDLQASLCGVLQVIIQKLSSTDETKTIILQAADQIMLLFLKVFGCRSSTVHEEAMLAIGALAYATGSQFEKYMPEFYKYLEMGLQNFEEYQVCGITVGVVGDICRALDDKVLPYCDGIMGLLLKDLASSELHRSVKPPIFSCFGDIALAIGEHFEKYVPYALPMMQGAAEICAKMETADEEMVDYGNQLRRSIFEAYSGILQGFKTVKPDVMLPYAQHLLKFIELVSRDNQR >KJB77042 pep chromosome:Graimondii2_0_v6:12:27207500:27211902:1 gene:B456_012G117900 transcript:KJB77042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEITQFLLAAQSADAKVRTEAEASLRQFQEQNMPVFLLSLSVELSNDDKPVESRRLAGIVLKNSLDAKDAIRKEQLVQQWMAIDISIKSQIKDSLLRTLGSSVPEARHTAAQVIAKIASIEIPRKQWPELIGSLLNNMTQKDKPAALKQATLEALGYVCEEISHQDLVQDEVNAVLTAVVQGMNLAEHGPEVRLAATKALYNALEFAQTNFENEMERNYIMKVVCDTAMSKEVEIRQAAFECLVAIASAYYEVLEPYMQTLFQLTSNAVKGDEETVALQAIEFWSSICDEEIELQEFESPESGDSGPPHSGFIEKALPSLVPLLLETLLKQEEDQDQDDTIWNISMAGGTCLGLVARTVGDAIVPLVMPFVESNILKPDWRCREAATYAFGSILEGPTVEKLSPLVQAGLDFLLNAMKDGNNHVKDTTAWTLSRIFELLHSPATGFSIISPENLKRVVGVLLESIKDAPNVAEKVCGAIYYLVQGYEDAGPSASLWSPYLTDIISCLISTADRTDGGDSKLRSSAYETLNEVVRCSNIAETSSIIAQLLPVIMNKLGQTMDIQIVSSDDREKQGDLQASLCGVLQVIIQKLSSTDETKTIILQAADQIMLLFLKVFGCRSSTVHEEAMLAIGALAYATGSQFEKYMPEFYKYLEMGLQNFEEYQVCGITVGVVGDICRALDDKVLPYCDGIMGLLLKDLASSELHRSVKPPIFSCFGDIALAIGEHFEKYVPYALPMMQGAAEICAKMETADEEMVDYGNQLRRSIFEAYSGILQGFKTVKPDVMLPYAQHLLKFIELVSRDNQRDESVTKAAVAVMGDLADALGSNIKLLLKDCLFYDEFLCECLRSDDEQLKETAGWTQGMIQRVMVL >KJB75676 pep chromosome:Graimondii2_0_v6:12:6660264:6662720:-1 gene:B456_012G051500 transcript:KJB75676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLASEPQSSAIEYSEASQSDRKNQLPIAVDKPAEDGYNWRKYGQKPIKGCEYPRSYYKCTYLNCPVKKKVERSADGEITEIIYKGAHNHEKPQPNKQAKGGSDGNANSQANPEFGSQVAALNSNKLSETMSSHSILGKTSESTQAAELPGSSDSEEGCDEESREERDDDEPNLKRRNTAPEAAVVLSHKTVTEPKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSAGCNVRKHVERSSTDPKAVITTYEGKHNHDVPAARNSSHNMVNNSLLQTKPHKVVAENQNHSLLKAMDFGNNGQGPAVLRLKEEQIRV >KJB75675 pep chromosome:Graimondii2_0_v6:12:6660221:6663718:-1 gene:B456_012G051500 transcript:KJB75675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKRTRSKLSAPTRPSITLPPKPAMDGLFSSGLGLSPGPMTLVSSFFSDLDSTENRSFSQLLASAMTSPGARLPHASMDGSFMEVGFKDGGEKSPGFKHNRPLNFDSPLFTAPTGLTPSGLLNSPSFFCLSPQSPFGISHRQALAQVTAQAALAQSQVHPQTEYQALTVSAPSESLIRHPSFTPEETSQLMPPLASEPQSSAIEYSEASQSDRKNQLPIAVDKPAEDGYNWRKYGQKPIKGCEYPRSYYKCTYLNCPVKKKVERSADGEITEIIYKGAHNHEKPQPNKQAKGGSDGNANSQANPEFGSQVAALNSNKLSETMSSHSILGKTSESTQAAELPGSSDSEEGCDEESREERDDDEPNLKRRNTAPEAAVVLSHKTVTEPKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSAGCNVRKHVERSSTDPKAVITTYEGKHNHDVPAARNSSHNMVNNSLLQTKPHKVVAENQNHSLLKAMDFGNNGQGPAVLRLKEEQIRV >KJB75677 pep chromosome:Graimondii2_0_v6:12:6661530:6663696:-1 gene:B456_012G051500 transcript:KJB75677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKRTRSKLSAPTRPSITLPPKPAMDGLFSSGLGLSPGPMTLVSSFFSDLDSTENRSFSQLLASAMTSPGARLPHASMDGSFMEVGFKDGGEKSPGFKHNRPLNFDSPLFTAPTGLTPSGLLNSPSFFCLSPQSPFGISHRQALAQVTAQAALAQSQVHPQTEYQALTVSAPSESLIRHPSFTPEETSQLMPPLASEPQSSAIEYSEASQSDRKNQLPIAVDKPAEDGYNWRKYGQKPIKGCEYPRSYYKCTYLNCPVKKKVERSADGEITEIIYKGAHNHEKPQPNKQAKGGSDGNANSQANPEFGSQVAALNSNKLSETMSSHSILGKTSESTQAAELPGSSDSEEGCDEESREERDDDEPNLKRRNTAPEAAVVLSHKTVTEPKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRYNGLSIYMFIYGSNNGVLAIVQSFE >KJB75192 pep chromosome:Graimondii2_0_v6:12:3714499:3717266:-1 gene:B456_012G030000 transcript:KJB75192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGCEGRLKMIEELTTNAEQIQDEVLGEILRRNAGTEYLRGYLHGQTEKQLFKKNVPMVTYEDLKPYIDRIANGETSDILLAEPITGFFLSSGTSGGQPKLMPVTAEVANKWELFRGLYESPAMKHFGDINQAGKRLELMFARPEIETPSGLKAASVSTSIYNECNFRANLPKLYTSPVETIFCPDPNQGLYCQLLFGLIQRDEVVKVGSVFASTVLRGIKFLENHWQELCYDIKTGRLSDWITDSGCRNAASLVMKPNPEQADLIETICNCKSWEGIIRKLWPKARYIWCICTGIMRQYIAELEFYCRGLPLVSDLYACSEAICGMNLEPLCKPCDISYTFLPNMAYFEFLPVKNERDGSIEMKSNNEDTELVDLVNVKAGQCYELVVTTCAGLYRYKVGDVLMVSGFYNNAPQFQFVERKNVILSVDQEKTSETDLFKAVTEAKALLDPLGFILTEYTSYVDTSSAPGHYVLFWEIKGKEGTHCKELDPKIMVECCSRMEESLHYTYKIYRKRNIIAALEIRVVKQGSFEALMDYYVSKGTSLSQYKKPSCIKSEEAMKILDSRVIGKYFSPKSPL >KJB76244 pep chromosome:Graimondii2_0_v6:12:12939342:12940359:1 gene:B456_012G079800 transcript:KJB76244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILSQRPSSFSTLNPNAPLFVPLAYRTVEDFSDEWWALVQSSPCFRDYWLQERFHDPQNDDADLLFPDDLDAVFDDYDEFFFDPTCEGKEEDTQKELVPVGAFKWRKGRAVSESTRFLEKAPKIVNVKVSPRNIHQPR >KJB78289 pep chromosome:Graimondii2_0_v6:12:33554421:33557891:-1 gene:B456_012G164400 transcript:KJB78289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQEQLHFALAFTITIAILVVTILVKGNKRQKRRPPEPDGALPFIGHLHLFGKNQLLHRIFADMADKHGPAFLIRLGVRRALVVNNWEVAKECFTTNDKVFSARPKSIAVKYMGYDYKMLGFAPYGPYWRNMRSLAMVELLSIRRLELLKHVRDNEIGSFIKELYEQSVKNGGVALLEMKERIGDLATNIIVRMVAGKRYHGTSEESRRFQKALSDFFYLAGLFLASDTIPFLGWLDVVMGNIGKIKRTAKELDFAIGSWVNEHRERRLDKGIEGNQDFIDAMLSIMDENNVPIQEADVTIKANCLTLALGGIDTNVSTLSWAISLLLNNRHVLKKAQEELDIHVGKQRQVEESDIANLVYLQAIIKETLRLYPATPVSVAREAMDDCTVAGFHIPAGTQLLLNLWKLHRDPGIWHKPLDFLPERFLSDHANIDVRGQKFELIPFGAGRRICPGITFALHFQHLALARLLHGFEWGTVSDKSVDMSERPGIIVPKATPLEVTLTPKLPSECY >KJB76353 pep chromosome:Graimondii2_0_v6:12:14120120:14121012:1 gene:B456_012G084000 transcript:KJB76353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCQTGSWGSYVPTTRTTVGDPLERIERLASENAVVIFSISSCCMCHAIKRLFCGMGVNPTVYELDQDPRGKDMEKALMRLLGSSPAVPVVFIGGKLVGTMDRVMASHINGTLVPLLKQAGALWL >KJB75061 pep chromosome:Graimondii2_0_v6:12:2657538:2659491:1 gene:B456_012G021600 transcript:KJB75061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVLFVYLGFMVFGIIVLRFLYRFIDNNGLPRNWPFVGMIPTLLLNIHRPHDKVAQVLRRSNGTFFYRGLWFTNTSFLATSDPENVRYILSSNSSVYLKGPEWLKQFDIFGEALFNSDGEAWKCHRRVFHAFLNHPQYRQSLSKVLHQRIEEALVKVLEYVSGREMVVNLQDLLVGHAFDIGCITGVGFNPGLLSIEFPENRFQKAMSDTLEAAFYRYVVPDSLWKLQSWLQIGKEKKRSDAWKALDDILTQFISTQRHKSTKSVASSGSNEEHDFNFLNCYLTGHEITGPTPKESLIRDNLIHFLFASDGTYSLTLTWFFYLISKAPMVENKIREEIKRHLSMKQVEGSLQIPSNYDELSKLTYLHAALCETLRLYPPIPFDFRTCTKQEYLPSGHRVDQNTRIIIGIHAMGRMESLWGEDCYAFKPERWIGEDGKIKRESPTKFSAFLAGPRICPGKEVSFLLMKATATAIIHNYNVHVVEGQNIGPKNSVVYQMKKGLMVRIKKRWS >KJB75062 pep chromosome:Graimondii2_0_v6:12:2657538:2662658:1 gene:B456_012G021600 transcript:KJB75062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVLFVYLGFMVFGIIVLRFLYRFIDNNGLPRNWPFVGMIPTLLLNIHRPHDKVAQVLRRSNGTFFYRGLWFTNTSFLATSDPENVRYILSSNSSVYLKGPEWLKQFDIFGEALFNSDGEAWKCHRRVFHAFLNHPQYRQSLSKVLHQRIEEALVKVLEYVSGREMVVNLQDLLVGHAFDIGCITGVGFNPGLLSIEFPENRFQKAMSDTLEAAFYRYVVPDSLWKLQSWLQIGKEKKRSDAWKALDDILTQFISTQRHKSTKSVASSGSNEEHDFNFLNCYLTGHEITGPTPKESLIRDNLIHFLFASDGTYSLTLTWFFYLISKAPMVENKIREEIKRHLSMKQVEGSLQIPSNYDELSKLTYLHAALCETLRLYPPIPFDFRTCTKQEYLPSGHRVDQNTRIIIGIHAMGRMESLWGEDCYAFKPERWIGEDGKIKRESPTKFSAFLAGPRICPGKEVSFLLMKATATAIIHNYNVHVVEGQNIGPKNSVKLIK >KJB78161 pep chromosome:Graimondii2_0_v6:12:34951108:34953864:-1 gene:B456_012G181200 transcript:KJB78161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNEKKKCFWDLNLGPEIQAFNYSSYIVFVASRLNVPGAWQMPQGGIEDGEEPRYAAIRELREETGVVSAEIIAEVPKWLTYDFPTAVKAKVNRLWGGEWHGNAQKWFLMKLTKDESEINLATGEVEPDFAEWKWATPEEVVEQAVDYKRPTYEEVMKTFQPYFSDNGKATKCKSTKW >KJB78160 pep chromosome:Graimondii2_0_v6:12:34950701:34954156:-1 gene:B456_012G181200 transcript:KJB78160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLPTGYRPNVGVCLINSDNQVFVASRLNVPGAWQMPQGGIEDGEEPRYAAIRELREETGVVSAEIIAEVPKWLTYDFPTAVKAKVNRLWGGEWHGNAQKWFLMKLTKDESEINLATGEVEPDFAEWKWATPEEVVEQAVDYKRPTYEEVMKTFQPYFSDNGKATKCKSTKW >KJB77488 pep chromosome:Graimondii2_0_v6:12:31335628:31336693:1 gene:B456_012G139700 transcript:KJB77488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQTLQLSSLNNVEILPIPVIDIEENKIQEPAVDEFDYSQRGQWLRAAVLGANDGLVSVASLMMGVASVKNDIKAVMVAGFAGLVAGACSMAIGEFVSVCTQRDVEISQMKREKRERSLESDENDEKLPNPGQAAVASALAFAVGAVLPLLAAAFIKQPKVRMAVVFVVASVALVAFGVVGALLGGTPVVKSSARVLVGGWMAMGTTFGLTKLIGIDHGMQI >KJB75123 pep chromosome:Graimondii2_0_v6:12:3167066:3167915:1 gene:B456_012G025400 transcript:KJB75123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDLLRLPKLKIQSPTEDQTLCKTPCSKENKIPAVVSCPPAPKKPKTRPLSCKRKLSPQFQFLEMVNRDEVDAFFKAAFDDSHSKRRCPCI >KJB78200 pep chromosome:Graimondii2_0_v6:12:35089341:35093910:-1 gene:B456_012G183300 transcript:KJB78200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLMSALVFLLLFSLFNGTESRKDPPPICSSSCGDSLEIRYPFRLPNDPFTCGDPGFELRCENNKTIMNFHGGLYYVKGISYDDHTIQLVDVNFADDGKCSLPNRSLSTDEILMEDRYPGLVNFTYSYTLNYVRCSDSSVSSVNNSMVPCLTRNSSHVYVNVTNWSSLTSYDVPKTCKVIAMAPAFYEESVPVNPSYETVLKMQQSGFQMVWSVECRDCRAKGRGCVYKSADTTFLFECEKEYDYNAELRNIYTVVAAMFLAAIIGFVRFILLPLVVFSFILHKYLSTNKDYREKSSDIQQPLTPERYNYTDILSMSNNFKDKIGEGCFGTVYKGQLHDDYTIVVKKLESFKVSEEHFINGVSRISGIQHPNLVPILGFCSEGSKHVLVNQYMPNGSLDKYVGNSDSFSWEKVWEIVLETGQGIEFLHGRSGGGIIHLDIKPRNILLDGNFRPRISDFGIAKLCRKKHDLVSLYGRSETMGYVAPELMVSRDFEAVSCKSDVYSFGMIILEMACGRRHVDVDAINSSKVHFPTWVYELNERGDLEFENLTKSDTMIARKLFVIGLWCTQTRPSDRPSMTRVLEMLETDLDDLEMPPKPVFISAQYLRERELDSPKEMLLPETMERSS >KJB76348 pep chromosome:Graimondii2_0_v6:12:14042776:14045057:-1 gene:B456_012G083700 transcript:KJB76348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMPIGFRFHPTDEELVVHYLKRKALSLPLPASVISEFDVLGTHPWSLPGEVNEKRYFFSSRGRDGGGSGGYWKPIGKEKPIIETGSNEVVGMRRALIFCGRKPSNHTNTRWFLHQYRLLHFNSTQMVKGEMDGDWLVFQVFQRKRKARKHAAKMATCIDFTAEDCPVFYPPPPPTSPSSSEITEVSPNGLDEEESSSFITSCIRN >KJB74828 pep chromosome:Graimondii2_0_v6:12:1014604:1017088:-1 gene:B456_012G009400 transcript:KJB74828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYRNASKHIAVLAFPFGTHAVPLLNFVHQLSDACPHTMFSFLSTQQSNNSTFPKNLDKIKSFNVWDGLPKGYTFRGNPHEPVDYFLKAVPRNFMKAIDVVFETGKPIDFLITDAFYAFGADIADELNIPWVALWTASPRALFVHVDSDIIRHYVGINGPKDKPLHFLPDFSSIRVADLPNGLTSGDIDAPMPALLNKMGVSLSRAAAVATNSYEDLDNTVVNMLKLRFSTFLNVGPFNLVSVSSSTVDDSHGTLDWMSKHEAASVVYISFGSVITPPPHELHALCEALEECEFPYLWSLRGSPEKQLPLGFLERTSSKGKIVPWAPQQKILEHPSVGVFVSHGGWNSVLESINGCVPMICRPFFGDQQLNTRTVEVVWGFGLGLEGGTLTKEGAKKAMKLILCSQEGKKMREKIRVQKELACKAVKPNGSSNENFKTLVKLVSNTYHQVSG >KJB75276 pep chromosome:Graimondii2_0_v6:12:4347442:4352460:1 gene:B456_012G035200 transcript:KJB75276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASQSQASANAALNPHPNPNSNYTNNSNSILSSTPVWPTIDGPLGLSEEESLAYARRFYKFGYALLPFLWAINCFYFWPVLRHSRSFPRLRPYVVSSAIGFSVFSVILSSWALTFAIGGEHLFGSTWDQLVMYNVADRLGLTAMNSSVLACNYAISGSGLNAKTPSVPSVASPVLPGGHKLPMISAQQQGKVSGSKESSGNEGRRAAMLYLAATLFTTAAASSANAGVIDDYLEKSKANKELNDKKRLATSGANFARAYTVQFGTCKFPENFTGCQDLAKQKKVPFISDDLALECEGKDKYKCGSNVFWKW >KJB77837 pep chromosome:Graimondii2_0_v6:12:33293082:33295788:1 gene:B456_012G160300 transcript:KJB77837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMMIIAVLIFCVLSSQMKNVAPQVDCYDACSTGCVQSNTRLMQRCDRKCQIRCSPDSKVEGNLG >KJB77524 pep chromosome:Graimondii2_0_v6:12:33806839:33807414:-1 gene:B456_012G167700 transcript:KJB77524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQATMMFSKKLTYTDINKRLAIPTKIWPSLPGFNRSHAVKIQLMYGTKIWPIDCTVRKQGYTKPVFSRGWRKFVVHNKLKIGDRVTIYKVQHQDGSSHYRVEVEKPAAGNHEVDETTVTRHRREQLPNAPMISMLASVPINTLVDDHVIITKPPVCRIFGTNISGEACCKISTGQRLSLDLVLSQPTIC >KJB74933 pep chromosome:Graimondii2_0_v6:12:1658397:1659758:1 gene:B456_012G014700 transcript:KJB74933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLGGLGCGGNVCLRRHQSSGLFKFHNPNGRPCSFMASNPQSSKPRTSTKKISRSKVSLQPLPKQKEKKRNELVYEKIDEWMRDSVAEIVKKLPESPLLVNVYSDDNTTRTRTEKADEINWVSVKQKWEKGETPMPDGVIFVEQIEGDDEESDGKEEVSRAWGIVVQGQGCGLAPACYLLKTSKDDTSVPSNADFNGRRGCCGQSGTAVSLTDLFYSLKLEALSLLMLTRHFTSEATASAPHLAIENDYGWRVTNFMF >KJB78292 pep chromosome:Graimondii2_0_v6:12:33175586:33177157:-1 gene:B456_012G158600 transcript:KJB78292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYGDKSLVSNGEIVAALGPNIPEIYELHFAVPMAGAVFSPLNIHQDSTALAAQFKLLEAKVIFIDYQFLNLVIEAFEILVKTCTDFEFPLVVLMLETDPDAKTAADDHQFLGYKTYDSLLNVENNSGFEILRPKGEFDPISIVFTSGTTGEPKGVVHSHRGAYFTTLAEIIINEMKAMPIYLWTVPMYHCNGWCFTWSTAALGGTNICLRTYDSKFIFDAIVEYNVTNLGGATPVLSLIANANQNPLPFKVNIMTGGAPPPPQVVLKIEELGLFLLTRPGTVYPWWPEYGSFSLQQQAKTKALHGLNHMLMAAVDVKDPVTMESVPFDGFTLGKVMFRGNTVTKGYYKEPEKTEVAFMGGWFHTGDIAVRHPDGSIEVKDRKLDLIVSGGKKISTVDVEAVLFNHPAVFEAGVVGKPDKVVGETVCAFVRLKEGCDDTRSNDIIEYC >KJB75122 pep chromosome:Graimondii2_0_v6:12:3152680:3153502:-1 gene:B456_012G025300 transcript:KJB75122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVDESFVVALGCFDVGDPSVVVLDDKWCNCTGLRQVAVMVGLLRVRLSLFSSTDWQAMLGPFWHVSFFFFFYLEV >KJB75710 pep chromosome:Graimondii2_0_v6:12:6944777:6950399:1 gene:B456_012G052900 transcript:KJB75710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSQPQFRYTQTPSKVLHLRNLPWECAEEELVELCKPFGKIVNTKCNVGANRNQAFVEFADLNQAISMVSYYASSSEPAQIRGKTVYIQYSNRHEIVNNKSPGDTPGNVLLVTIEGVEPNDVTIETIHLVFSAFGFVHKIATFEKAAGFQALIQFTDAETASSARNALDGRSIPRYLLPDHVTSCYLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAMEGLMQPVVGPDGKKQEPQSNVLLASIENMQYAVTVDVLHTVFSASGMVQKIAIFEKNGGTQALIQYPDVTTAAVAKESLEGHCIYDGGYCKLHLSYSRHTDLNVKAYSDKSRDYTISDPSLLATQVPGLPAAPNAWQNPQGVPVHHGTDYSASAAIQGQPLAGQLPAWDPNFQARLPYGSVPGQTYQSLTAPTYVNVARPAGSSPLSQPGASSMPMQQQQQPPWANVRQGGAAELGQPPYYSR >KJB75711 pep chromosome:Graimondii2_0_v6:12:6944818:6947712:1 gene:B456_012G052900 transcript:KJB75711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSQPQFRYTQTPSKVLHLRNLPWECAEEELVELCKPFGKIVNTKCNVGANRNQAFVEFADLNQAISMVSYYASSSEPAQIRGKTVYIQYSNRHEIVNNKSPGDTPGNVLLVTIEGVEPNDVTIETIHLVFSAFGFVHKIATFEKAAGFQALIQFTDAETASSARNALDGRSIPRYLLPDHVTSCYLRISYSAHTDLNIKFQSHRSRVLVKPGSQHTDVLSWPLSNFLNLLTTVPCIFKSHYSCSCLYVDCGITTLGAS >KJB75375 pep chromosome:Graimondii2_0_v6:12:4858435:4862016:1 gene:B456_012G039100 transcript:KJB75375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGVVWVTFPKQNLIPPPRKRFGRKLRTGVPLISSTAERAYSEEKVHEVVLKQAAWVKQQRPNEGCKDWDLLNEAYERCGEVCAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNASHITPRALDTWEKRLDNIFQGRPYDLFDAALSHTVSNYPLEGMRLDLLKTRYNNFDELYLYCYYVAGTVGLMSVPVMGIASESKASNETVYSAALALGIANQLTNIIRDVGEDCRRGRIYLPQDELASAGISEDEIFRGLVSNKWKIFMKNQIKRARMYFDEAEKGVAELEEASRWPVWASLLLYRQILDVIEENDYNNFTKKAYVGKAKKFASLPLAYGRAIMGTSKFF >KJB77372 pep chromosome:Graimondii2_0_v6:12:30681552:30684034:1 gene:B456_012G134600 transcript:KJB77372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFSTYNGMLLLFIVMITCCNIGFNHGCMDEERTALQEITESMGYGRDSYAYSYRSRFFDDCCRWEGVHCSPTNSQVIEIYFYFINKDSEEQWFLDMSLFSKLKQLQALHLVGNNIGGLDNPEAICELANLQRLVLSTNSIEEEVPPCWGNMPSVRTLDLSKNEFRGNLTSILANISKNIEVIDFSHNLFEGFVPFSILANLSNLKHLGLSYNYHLEVETEDPIWHPSFQVQHLLLADCNLNHQSGQGIPRFLSTQYNLQTLDLSSNSLVGNFPTWLLQNVSSVLSLRSNCFVGQFPEHFQSTLSTLDISDNRFDGHLPLHFDLILPQLFEFNASSNQFSGNIPLSVGDSKHLERVDLSNNRLSGPVPVGLTQNSPLWYLNLSNNSLEGEPLAVNCNMPKLHWLLLHNNHFVGEFPACLSNSLSLRLIDVRHNDLLGTISSLSVLMQLGAFLVGGNQISGHLPKELCEMQMLQFLDFSNNRFSGNIPPCLHKSLVWKNKTQANLWVPIDFTTKGISRLYQGIPLTLMTGIDLSVNTLVGAIPQAIGELSELHSLNLSNNHLTGHIPTSFKELNNLESLDLSHNNLTGHIPPEISQMSTLSKFSVAFNNLRGSIPSSTQFSTFSESDFEGNPELCGEPLQRKCSGNEDDDDGRKENPSEKAVEGVFDKPLIFYSFVFISYSLGFWGFIAPLYISTKWRRKYFATIDRWIEHLFYISFSN >KJB77865 pep chromosome:Graimondii2_0_v6:12:33352700:33353169:1 gene:B456_012G1616003 transcript:KJB77865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREAKNKILIFGGTGNLGTYMVKASIKLGHPTFVFARPLTPQSTINKINLHKEFQSSGVTIIQGELKEHEKIVAILRQVDIVISVLPFPQVPDQIHIIEAIKVASNIK >KJB76108 pep chromosome:Graimondii2_0_v6:12:10673030:10674914:1 gene:B456_012G072200 transcript:KJB76108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGICCEVVGESEAAAPVEPTSRASRRRRMELLPFKLVAEAAVLPPLENGRKRQKLDLVRPTSRDCDNAVQNSDTDKLEKDQELNGSLNFNGAVKSGAVEEEKESPKFGMTSVCGRRRDMEDAVSIHPSFCKQSRQVQMSSDVHFYGVFDGHGCSHVAMKCKDRFHEILKEEIEACGGQKAVEWKGTMERSFERMDKEVQKWTLDAKERSSCRCELQTPQCDAVGSTAVVAVVTPDEIIVANCGDSRAVLCRNGVALPLSDDHKPDRPDEMLRIQEAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPFVISEPEVTITKRKREEECLILASDGLWDVVPNDTACGVARMCLRAEKSVSPSSEAAVKGGTAESSDKACWDASILLTKLALARHSTDNVSVVVVDLKKNQPL >KJB74770 pep chromosome:Graimondii2_0_v6:12:739171:742098:-1 gene:B456_012G006200 transcript:KJB74770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLREDSVRLESLQATSRNMSSSSAFFSANQSPFFSPRSSTCQLSESTRSDAQCNSINFSADPPSSSSGIQVPECLADVGFSGFQKFDHVPSTTLVASGIRSSYDHMGDNGYNVPIAKHRKHMRNHDMSFSPVPISLSSNRRRSYDVYIGLHGRKPSLIRFTNWLRAELEVQGMTCFVSDRARFRNSRKHELRERAMDVSSFGVVILTRKSLRNPYTIEELRFFSSKKNLVPIYFDLRPGDCLVRDIVEKRGELWEKHGGDLWVLYGGLEKEWKEAVNGLVRVDEWKLEAQDGNWRDCILQAVTVLAMKLGRRSVLERLTKWRDMVDKEEFPFPQNENFIGRKKELSELEFILFGDITGESERDYFELKARSKRKNLTVGWSNSSSAEERHRASGSQKGKEPVIWKESEKEIEMQSFERQHYQRPKGGQSSRRKRSKKTVYGKGVACVTGDSGIGKTELLLEFAYRFHQRYKMVLWIGGESQYIRQNYLNLRSFLEVDVGVGNSIDKSRINSFEEQEEAAISRVRKELMRNIPFLVVIDNLESEKDWWDQKLVMDLLPRFGGETHILISTRLPCVMNLEPLKLSYLSGVEAMSLMQGSIKDYPIADIDALRIIEEKVGRLTLALAIVGSMLSELPINPSRLLDTINRMPSRDILWSGREVHLLRKNTFLLQLFEVCFSIFDHADGPGSLATRMVLVSGWFAPAAIPISLLSLAAHKVPKKHNGTQFWRKLLHSLTCGFSSSYSKRSEVEASSMLLRFNLARSSTKEGYFHFNELIKVYARKRGVTGAANAMVQAVASRGSLSLHSEHVWAACFLLFGFGNNPKVVELRVSELLCLVKQVILPLAIWTFVTFSRCGAALELLRVCTDALEAADQAFLTPVEKWLDKSLCWKPIQTNAQLNPYLWEELALSRATVLETRAKLMLRGGQFDIGDDLIRKAIFIRTSICGEDHPDTIAARETLSKLTRLLANVETHTSS >KJB76216 pep chromosome:Graimondii2_0_v6:12:12749407:12752446:-1 gene:B456_012G078800 transcript:KJB76216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISVLLSERKYSFLCLASILLISFAFLLLTYTSYPFFFAFPLPSDIHVSVSSPPPPSRSSSLQFPTEPELETQPADHLDLNVSLNIRWRACRLGSVTVDYIPCLDNSKAIKQLKSKKHLEHRERHCPKPPPRCLVPLPKSYKVPVQWPQSRDMIWYSNVPHPKLVEYKKEQNWVRKSDDYFVFPGGGTQFKNGVTAYIDFITETVPAIKWGEHIRVVLDVGCGVASFGGYLLDRDVVTMSFAPKDEHEAQIQFALERGIPATLSVIGSKKLTFPDDAYDLIHCARCRVHWEEDGGKPLLELNRILRPGGFFVWSATPVYRNDERDRYVWKSMVALTQSICWKVVAKRDNFDSTEIGLVIYQKPSSYSCYAHDRTKRPPLCDRKTKKEVSWYKPLRHCTSRLPVDSNGNLLGWPSPWPHRLRNKPQSLPAEPDAEAIFNEDTKHWAALVSDVYFDALAINWASIRNVMDMNAGYGGFAAALNELPLWVMNVVPIDAQDTLSIIFERGLIGIYHDWCESLSTYPRTYDLLHSSFLFKNRKERCNIIDVAVEMDRILRPGGYLLLQDTMETIQKLNPVLRSLQWSTSLYEGQFLVGKKGFWRPKN >KJB75783 pep chromosome:Graimondii2_0_v6:12:7903012:7903620:1 gene:B456_012G057900 transcript:KJB75783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAFVFSNLHLILIILIIYFKVFQTIISFEPLTARIAGRSLLMLCKKLYSAFFCINQLFGDSLLPMMHCMILLFPS >KJB75924 pep chromosome:Graimondii2_0_v6:12:9183664:9187582:1 gene:B456_012G064700 transcript:KJB75924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEQSRKRRRGKHKKPQKTQPSLLPKHSTRLHKKTHQQKNPAHQSSSSSLKSSSFLDKMKARLAGGHFRMINEKLYTCTGKEALDYFKEDPELFDMYHAGYQEQMSHWPELPVNIIIKWLKERSSSLIVADFGCGDARVAKNVKNKVFSIDLVSNDPSVISCDMSNTPLRPSSVDVAVFCLSLMGTNYASYLKEACRVLKPSGWLLIAEVKSRFDPNNGGADPNMFSKAVCEMGFTSALKDFSNKMFVLLYFKKKENKSSNGREIEWPELKPCIYKRR >KJB76744 pep chromosome:Graimondii2_0_v6:12:23539684:23541270:-1 gene:B456_012G104800 transcript:KJB76744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGEKHDWVDDDSQEELVRELLDEESPFFVLSQGTIQPKAITSEEEVSKQLVSNVYSGPRIEDIENALSSVSNWKDQPQQNTRINSMLERNLSKIESKYTLKVRSCGNGMADDGYKWRKYGQKSIKNSPNPRSYYKCTNPRCNAKKQVEKSRDEADTLIITYEGLHLHFVDPYFPPLSDQPFQHDNNEPTKKPRKAISESDESRAFGLPQTMQIQEATTNNINSAVSLEGCPCPQEVASEGLLEDVVPWIIRNPFHNSIISSNSTSCSSSQTRSPPVSPSSLSWSPNYNPWPVFI >KJB77659 pep chromosome:Graimondii2_0_v6:12:32350512:32352865:1 gene:B456_012G149400 transcript:KJB77659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDVMLYSYRICDSSPRVFDLLFKTYAHAKKFRNATDAFSRMKDYGFFPTIKSCNAYLSSLLDLHRVDIALGFYREMQRCRLSPNVYTFNIVIHAFCKSGKLDKAMQVLREMEIMGFTPTVVSYNTLIAGCCNKGLMSLAMKLKSSMGKGGVHPNVVTFNTLINGFCKEGKLHEANKVFNEMKALNLAPTIVTYNTLINGYSQVGKSEMSNRLYEDMLKNGVKADILTYNALILGLCKEGKTKKAAYLVKELDKDNLAPNASTFSALISGQCVRKNSDRAFQLYKTMIRSGFHPIESTYSMLISTLCKNEDFDGAVQVLNDMIDRSVVPDSGTLFELHKGLSRFAKNQLAIILCKKLEDRHLMPKGFDKSKIISSQPENEDKTS >KJB77658 pep chromosome:Graimondii2_0_v6:12:32350512:32352791:1 gene:B456_012G149400 transcript:KJB77658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFLSRHFSTLFDSVEVKPTSLKPLIDVNSSRKKWNPIPIPFRTIPEPRGQDLDFVNVVHSHLIHSDWNKLNALSTHFTPFRVKHVLLKIQKDHVLSLEFFNWVKTRNPTSHSLETHSVILHILTKNQKFKSAESVLRSLLVSGSLELPAKMFDVMLYSYRICDSSPRVFDLLFKTYAHAKKFRNATDAFSRMKDYGFFPTIKSCNAYLSSLLDLHRVDIALGFYREMQRCRLSPNVYTFNIVIHAFCKSGKLDKAMQVLREMEIMGFTPTVVSYNTLIAGCCNKGLMSLAMKLKSSMGKGGVHPNVVTFNTLINGFCKEGKLHEANKVFNEMKALNLAPTIVTYNTLINGYSQVGKSEMSNRLYEDMLKNGVKADILTYNALILGLCKEGKTKKAAYLVKELDKDNLAPNASTFSALISGQCVRKNSDRAFQLYKTMIRSGFHPIESTYSMLISTLCKNEDFDGAVQVLNDMIDRSVVPDSGTLFELHKGLSRFAKNQLAIILCKKLEDRHLMPKGFDKSKIISSQPENEDKTS >KJB75974 pep chromosome:Graimondii2_0_v6:12:9443898:9447340:1 gene:B456_012G066300 transcript:KJB75974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQKKTTSGALQAPLTAPSNNPTAAAQALLHNPGAAQALANNPGAAAAAEAILGNRGATYQPLLNNTHVGQQHMGVPGASISKPSTGEALMKGHTGSHALIRSDRGSMLSLSDDNVMMKQILATHAPDGREFDVRPLLNLVEDILNRASQHVDFLVKGTTQAQIEMEEKALQANHIVMLEALTYTIDRIASELSYKALGGSDAHATTTAIFNLLSSYTWDAKLVLSLSAFALNYGEFWLLAQIYSTNQLAKSMAILRQLPSLLEHTAPLKPRFDALNNLIRAMIDLTKCVVQFTQLPSTYISQDVPVLETAINLFPTAVYWTIRSMVACATQISNLSSMGHEFGISTTESWELSTLAHKLRNIYELLKQQLNLCYQYIEEKTDVAFYQLLLTLFDPNALHIDNMKVLKALIYDKDDKLPLLDGATKRRVSLDVLRRKNVLLLISSLEFANDELAILEQIYNESRIHATRLESQYEVVWIPIVDHSIIPLPEEMQTKFENLQSTMPWYSVQDPLVIKKPVIRFIKEVWHFRTKPILVVLDPQGKVVSPNAIHMMWIWGSTAFPFTSLREEALWREETWRLDLLVDGIDPTVLNWIKEEKYIFLYGGDDVEWVRRFANSARSVASASRIPLEMVYVGKSRKREHVKKVVGIINAEKLSYAWQDPTMVWFFWTRLESMLFSKIQLGRADDQDPMMQQIKKLLSYGREGGWAVLSRGSNIVVNGHSTTVLPTLGGYDEWKVNIAELGFDMAFKEYHDKLHDVAHPCCRFQFPTIIRTPENMRCPECHRVMERYTSFICCHDDKGIPGSLF >KJB75000 pep chromosome:Graimondii2_0_v6:12:2073492:2078440:-1 gene:B456_012G018000 transcript:KJB75000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKLGFRKALETKVKKSQVAAKKKAISIFTAMSVAHVDEEEAGSGEVRHVEKVLPRGDFYIGEWCDGLPHGNGKYLWTDGCMYVGGWFKGKIMGKGKFCWPSGATYEGEFKSGFMDGQGTFTGFSGDSYKGSWVMNLKHGQGIKNYFNGDYYEGEWRTGFQEGEGRYQWTNGIHYDGQWKNGLMNGNGRMEWSDGNSYEGCWEDGFPKGNGTFRWPDGSSYVGVWSKDVKEMNGTYNPSDSSTEKPDWDPQVVFLEYLKECKICPGEKMSILPSKKVPHWPGMGKGNDQGRPRRMSDGKLNCHSFSSDMHDVSAISEGDSKDDDDGIESLCLEDSTSRGSLPQFKTPTKRQGQTISKGHKNYELMLNLQLGIRHSVGRPGPVISLDLKSSAFDPKEKVWTKFPPEGSKHTPPHQSSDFRWKDYCPLVFRTLRKLFDVDAADYMISICGDDALRELSSPGKSGSFFYLTNDDKYMIKTIKKAEVKVLLRMLPAYYNHVRSFENTLVTKFYGLHCVKLTGTAQKKVRFVIMGNLLCTHYTIHRRFDLKGSSHGRTTSKPESEIDPSTTLKDLDLNYLFRLEKTWFEEFCRQVDRDCDLLEQERIMDYSLLVGFHFREVPTPLASGAVTPTGNADHENEEVPRLSQTGQDQLDSEPSGGSSIRLGISMPARIEKTVRKPECETQLIGEPTGVFYDVILFFGIIDILQDYDITKKLEHAYKSMQYDPTSISAVDPKQYSKRFRDFIFKIFVEDT >KJB75658 pep chromosome:Graimondii2_0_v6:12:6558576:6559534:-1 gene:B456_012G050400 transcript:KJB75658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLPENDVVYVIICVHPISMWSFASNRIAGTVGCKHDSLKRTHTTLVCSDNESSSVVSRDEGLECPICCESFNIVENVPYVLWCGHTLCKNCVLGLQWAAVKFPTLPVQLPLSISCPWCNLLSFRLVYRGNLIMNCTRRKSRYPLCEDHQPDWSSNNNLASGNQVTRGNHGRGQSPHHYESSESSRSYARFSNDFNFERIHSFLWKSLTFFIHLSAKFPFVIIFLLITLYAIPASAAILALYVLITVLFGLPSFLILYFAYPCLDWLVNEIIT >KJB76234 pep chromosome:Graimondii2_0_v6:12:12832877:12834080:1 gene:B456_012G079100 transcript:KJB76234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLFRSALFFPFSKFCPHSSAIKLSTQFLPSNSLAIFQIFSFIFSHRSVTFSPSVPIFQVMAVFPYLIFPSFNVSPLYFLIMFFFFFLIDCLLNEHFYSLSSPPNADLLFKKINFTPLNFSPKKCSDSASPTTTPFLPSTLVEGKTGR >KJB77606 pep chromosome:Graimondii2_0_v6:12:32077431:32082288:1 gene:B456_012G146600 transcript:KJB77606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRASVLTFNYLTALSMVRSSRRFPHSVTIFSKFLSTAPERWDFHSPSSTSQFEDSPYDTPSSLGYEQKQNGQALNPNQGFVVCPMDDYAGSPAGDNRNSYNSGLQPTRNQMGAMGQTGWHHHSHGETNGNLEISPNGIYANGSWKGAEPGFHQNHDGMHWGNKRNELQDNSVYGNGNFRGYEADAQSTSNMQNQVWSYWQGPKEIRQNQYDLNLPRFTESQGSHLNSQGPNFSQYRQKPQDVYNFSSFGQVTNNINFYGQVSATSNLKEELTEVPETISNSATVEMLEEFCKKGNVKEAVEVLVLMKQQGVHVDLAQILQLMKACGEVKALQEAKTVHEHLVGSFSPLKISIYNRILETYLKCGSTDDAFDVFEKMPRRNLTSWDTMITGLARNGLGEDALDLFSQFKQAGLKPDAKMFLGVFYSCGVVGDINEGMLHFSLMSSDYGIVPSMEHYVGVVDMLGSTGNLDEALEFIEKMPFEASADVWETLMNLCRTHGHLELGDRCAELVKQLDPSRLNEQSKAGLIPLKDSDLKKNEKKKLASQSPLEVRSRVNEYRAGDTSHPANDRIYALLRHLKEHMKEAGYVPETRFVLHDIDQESKEEALLAHSERLALANGLLTSPARGQIRIIKNLRVCGDCHAAFKIMSKIVGREIIMRDAKRFHHFSEGLCSCRDFW >KJB78283 pep chromosome:Graimondii2_0_v6:12:35413061:35415190:-1 gene:B456_012G187700 transcript:KJB78283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLSHPLSWIFSALSSIETHDFLLQSFPSFHSRLVWSSSSSSSLGVPSHEHIAHLILDQNSAETALKTFQWASKLPNFTHSQSTYRALIQKLCAFRRFNTVKELLDEMPTTLGVPPDEDILVTLVRGLGRARMIRDVIEVLDLASRFNKPPSLKIFNSILDVLVKEDIDLARNFYRKKMMPTGVQSDEYTFGILMKGLCLTNRIADAFKLLQLIKSSTVKPNAVLYNTLIHALCKNGKVGRARSLMNEMENPNAVTFNILISAYCKEENLVQALVLLEKSFTMGFVPDVITLTKVLKILCDVGRVSEGFEILEKVESKGGVVDVVAYNTLIKGYCRIGKVKLGQRLSRDMENKGRLPNADTYNILISGFCESDMLDSALDMFNEMKTDGISWNFATFDKLIEGLCSAGRMEDGFKILELMEESKVGSGGRVSPYNSVLYGLYKNNCSGEALEFLSKMQNLFPRAVDRNIRILEFCKEGSIEDAKRVYDQMIGEGGIPSVHVFDCLIRRFCQKGCMREAVELMNEMVVCGYLPAASTFNDVISGFCSRDKLGSALKLMEDMAGRGCRLDDGSYSPLINAFCRMGNIQKAIMLLLQMLGENIIPDDLTWKIVLVCLSQERQWLESKKLLVNNLLPCIIEM >KJB78046 pep chromosome:Graimondii2_0_v6:12:34393885:34397742:1 gene:B456_012G174500 transcript:KJB78046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNFSHRPVFPANLTEDNLLSPMRIANGYLVEGVAEKNGDGCMKPCHSDHAMEDCFDYGRDKGGGDRCGSQESVSNDIIDLLPSDPFGMDITSTFTAISGWLEALEFDYSHYVRDEVGTGDGSYQFAGLNLIWNNAMWFQTFPGSMGFECKGSALDQFGGGCSQVQETGDVSHRDGLGLDCNVVDTLCSGNEDMISVDLEKENFQDCKVPSDGPEGAPHEALILALGYLCVRDLLVVESVCTSLRNVVLNDPLLWRSIHIDQPLNEKLTDDVLLRITNRAQGSLQCLSLVDCKSISDEGLMRVVENNPKLIKLSVPGCTRLSIEGVLNSLKALKSMGTQGVKHLRIGGLFGVTLKHFEELKFLLGIDNQIQQIVQKPHFYNRRNLYISSEDDRAIDIEMCPRCQNMMLLYDCPAERCRQKVHTAQLCRACSLCILRCLQCGRCINDSEYEETFSLEYLCSDCCKQEPAKSHDRPDRMIGSSSLPETGSFHLHG >KJB76913 pep chromosome:Graimondii2_0_v6:12:25676203:25676789:1 gene:B456_012G112300 transcript:KJB76913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKKRGSGNSRRRSGNRNRMVVVGMFMFRLVKRPRFIPAKALLGRVGAKVASALRFESIRRSCRHKVSSSNLPRPRSLTESTESHHAEAIEDCIQFLNTSSSLSRSNSVSTCSS >KJB77635 pep chromosome:Graimondii2_0_v6:12:32178037:32181289:-1 gene:B456_012G147700 transcript:KJB77635 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G49970) UniProtKB/Swiss-Prot;Acc:Q9XJ35] MAASLLLSLTAPNPEAMVPNIGANKSSFLSGTKLFLLTKPHYRKPTAQKCFKPPFAKSIDHIPKQFREQNLKDGLMENYKNVPGYLYGLSPSQMDMFMTEDNPVRRQSESVTEESISSARNYLDNGGMWSMSSINDRGPSKYSMSVSMYRGGGRGYGRPRTAPPDLPSLLLDARICYLGMPIVPAVTELIVAQLMWLDYDNPSKPIYLYINSSGTQNEKMETVGSETEAYAIADTMAYCKSEMYTVNCGMAYGQAAMLLSQGAKGYRAVQPNSSTKLYLPKVSRSSGAVIDMWIKAKELDANTESYIELVAKGTGKTKEEIAKDVQRPKYFQAQEAIDYGLADKIIDSRDAAFEKRNYDEMLAQSKAMRRGAAGGPQATPSGFR >KJB77729 pep chromosome:Graimondii2_0_v6:12:32709355:32712171:-1 gene:B456_012G153300 transcript:KJB77729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLEFRGRALVAFTVWSLLFVTTFCNANGDGKTVEVVGVGECTDCKENNLDTTQAFSGLRVTIDCKPENGDHFKTRGSGKLDKQGNFKVSLPQHLFKDGKLNEHCYAQLRTVSSPQPCPSINGLESSKLVFKSTTDQKHQFGLKENLKFSPITCVSASFWPHHHHNFPPLPKLPPLPPLKNFHHHYPHPPIYKKPLPPPVYKPHPVPVYKKPLPPPVPVYKPPVYKPHPVPVYKKPLPPPVPVYKPPVYKPHPVPVYKKPLPPPVPIYKPKPFPPIPHKPLPPLPKIPPFTKKPCPPLPKLPPKYFHHPKFGKWPPLPPFAPHHP >KJB75290 pep chromosome:Graimondii2_0_v6:12:4369266:4370763:1 gene:B456_012G035400 transcript:KJB75290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMDGEQEELQFLGFFGIVKESLKIIYSWRKIFAQITLSFIFPLSFIFLAHIQISQLLFANILNNEDTLNYTQAGTPGYDKLSDVISSEWVALWLFKAAYFTFFLILSLLSTSAVVYTIACIYTGKEISFKKVISVVPKVWKRLFVTFLWSFAIVFVYNIVSGMLLLLPVAVLGFGMLGISVFVVVLVPYLAVLVYISLVWQLASVVSVLEDDYGIKAMKKSKALIKGKTGVAVAMFLVLVVCFIGIQVVFEIGVLFYWSMSVEMRIGIPILCFLMLFMVVLFGLVAQTVIYFVCKSYHHENIDKSCLADHLEVYLGEYVPLKAKDVQLEQFHVWV >KJB75999 pep chromosome:Graimondii2_0_v6:12:9597198:9597960:1 gene:B456_012G067100 transcript:KJB75999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGWCADSCDPCYFEAIKYQVGQLLKFLKCFIIYHVGGLFPRNKELNYVKFISVWQWIKTGKSYGHSSYSCLMQDGGAVCYDTCFFGVIKYQLALLNITLTPVLKGSEALFVLSFILVDW >KJB76775 pep chromosome:Graimondii2_0_v6:12:23944675:23947425:1 gene:B456_012G106700 transcript:KJB76775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLSILITMVMLLSRDCITVMALKLCPKCGRIPVPYPLSTGPDCGDPWYKVQCIVGTLWLDAYNGSSYKITSINPITKTLIIRPPGLAKNTCMAADFGTQGIQLDEKLPFNITSANTVMIMNCSATVLQEYVALNCSSTSVCHDYIRGNPEAKANCGALPICCWYVTGGTMHTYRIRVRPERCSAYQSFVNLDMNLPVSKWPVPGLEIEWLSPREPECKLPDDCNDLVNSMCLPDLVNAGQRRCLCKRGFQWNSIQGICQDVKCAQGRRCKNEKKKSIVGGAAFAAGVIIVGLIITIIIAYKQRKNCEREAEMSLTKAHRDLLSSNSGKLAKFFTIKEIAKATKNFSKDNLLGSGGFGEVFKGILDDGTSIAVKRAKLGNANGIDQIINEVRILCQVNHRNLVKLLGCCVELQQPLLIYEYIPNGTLFDHLHKIFPGKKVTLSWHRRLCIAYQTAQGLSYLHSSVVPPIYHRDVKSSNILLDENLDAKVSDFGISRLGFSDVSHVTTCAQGTLGYLDPEYYLNFQLTDKSDVYSFGVVLLELLTSKKAIDFNRGEEDVNLVVFARKILKEERFMDVIDPCLKQEAGKIELETMKALGFLAESCLNERKQNRPSMKSVAKEIESILSAVSSEVLEG >KJB75714 pep chromosome:Graimondii2_0_v6:12:6952987:6955711:-1 gene:B456_012G053000 transcript:KJB75714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGVWQLKKLIVSYCDWGGSSRGIRAFMESELPTFKEKNPQLEVVTELIRGQHPHLKGFYKNKNERVVCVKNMTPEDILLYATRLRNALGRKVVKLKTRHVTKHPSVQGTWTTDVKF >KJB75712 pep chromosome:Graimondii2_0_v6:12:6952937:6955711:-1 gene:B456_012G053000 transcript:KJB75712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGVWQLKKLIVSYCDWGGSSRGIRAFMESELPTFKEKNPQLEVVTELIRGQHPHLKGFYKNKNERVVCVKNMTPEDILLYATRLRNALGRKVVKLKTRHVTKHPSVQGTWTTDVKF >KJB75713 pep chromosome:Graimondii2_0_v6:12:6952987:6953787:-1 gene:B456_012G053000 transcript:KJB75713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQYWDAFQVRAFMESELPTFKEKNPQLEVVTELIRGQHPHLKGFYKNKNERVVCVKNMTPEDILLYATRLRNALGRKVVKLKTRHVTKHPSVQGTWTTDVKF >KJB77939 pep chromosome:Graimondii2_0_v6:12:33902279:33903007:-1 gene:B456_012G168900 transcript:KJB77939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHSGIIFFKELTDTDVKKRLVVPKEYQDFFLPSYGRLPAKIKLMYDGKIWEVKCTVRKKGYLKPVLSVGWKKFVVANELKVGDRITMYKDEDGFSHYMVEVEKPPASNQHDTLPDSPALSFIHHKPDETTLKYRKEVPEDLAGAHHQPDIAPMNIPVNAWQRPSIGMFGTNVSNERADHFSLMTYGSGTSAAGGIGEACPEIITDHHQDLSLDLVRRQPGTIPPPYVGEVKLDLTLAPPN >KJB76098 pep chromosome:Graimondii2_0_v6:12:10570977:10571252:-1 gene:B456_012G071500 transcript:KJB76098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLSASSSAPPSFYFDDKWKFSKKESSSKSRSSSSSYSSSPSSFMMKNPSSCSSKRSAFTRKCARLVKEQRARFYIMRRCVTMLICWHD >KJB77259 pep chromosome:Graimondii2_0_v6:12:29616525:29619126:-1 gene:B456_012G128200 transcript:KJB77259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYTRSTCGEDSRKIDQHSTKSISRCGSVASKRSRQHRRRRPKRGRINPRSGDGDEAGLTFAPFALEDQIAVQPLGIYYA >KJB78368 pep chromosome:Graimondii2_0_v6:12:33085736:33086738:1 gene:B456_012G157400 transcript:KJB78368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICKINSSKKFLFSLLKDFPAVVKSLLQTIINNDDFFYYFYIQISTLIGISEKERFFQPYQIWGIHTMLGNPRLSALSEDEECLTKIIDKYQNNSSYYQIQAGIDLMAKAKYLLQQKDYQFRTDGRRILAYHSYLIKEKDDEGIRLLKKIADMDIEDFPSNIMQQIRSTWENCHQDISTIHDYG >KJB76160 pep chromosome:Graimondii2_0_v6:12:12958054:12959266:-1 gene:B456_012G080000 transcript:KJB76160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQMVYGVPMISFAGDGFCLPYPLDLIVNRKQHGLSNIHYQVSDGKGNLYLLADGSYTTLFRKRVLRNSAGFPILTIREKAITGKKWMVHRGESSEKSQLFTVQRSRFQPMKTRLEVFLVGNIDKDISNFTVVGSNYPSQYIRVYKGDTILAEGKKESFRVSVHSGVDYAFIAALIIILVECE >KJB75841 pep chromosome:Graimondii2_0_v6:12:8516862:8519426:1 gene:B456_012G060800 transcript:KJB75841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated ion channel 18 [Source:Projected from Arabidopsis thaliana (AT5G14870) UniProtKB/Swiss-Prot;Acc:Q9LEQ3] MNSVLPSFRYLPSTSTTNATATRPTPQSKDNDNTDFSLLWHYQILDPGSDIVSYWNHVFLIVCIMALFIDPLYFFLPYVGGPACLASDSSMGVFITVLRSMADLLYLFNMVIKFRTGFIAPNSRVFGKGELVMDAREIAIRYLKKDFIIDLAATLPLPQFVIWVLIPTTRHSRTDHANNTIALIVLLQYVPRLFIIFPLNSKIIKSNGIIAKTAWAGAVYNLLLYVLASHVLGAIWYLCSIARQFSCWKEECAKENALKVIACIPSFLDCNSLVKPEREYWANVTNVLNNCDASNEETDFKFGMFAAAFTTDVASKNFVIKYLYCLWWGIRNLSSYGQNLETTIYIWENVFAIAICLLGLVLFALLIGNMQTSLQSMTVRIEEWRLKRRDTEEWMRHRQLPEDLQERVRRFVQYKWLATRGVDEEFILQSLPLDLRREIQRHLCLSLVRRVPFFAQMDDQLLDAMCERLVSSLSTEGNYIVREGEPVNEMLFIIRGQLESSTTGGGRTGFFNSITLRPGDFCGEELLTWALMPNSTLNLPLSTRTVQAVSEVEAFALQADDLQFFAHQFKRLQSKKLQHAFRYYSHQWRTWGACFIQAAWRRFKKRKMAKELIKRENSFYYRTLGDQDDYHYDIDDMEEGLLDHEDYIDGISSSSMDNQQNFQLGATILASKFAKNTKKGSKVPLIDSSADSLKMPKLFKPDEPDFSVDHIENV >KJB77685 pep chromosome:Graimondii2_0_v6:12:32429317:32431571:-1 gene:B456_012G150500 transcript:KJB77685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPSELSLDFRPKFIPKTISNFLEEISLMGSVSDKISKLDSFVKRLEEEMRKIDGFKRELPLSMLLLNDAILALKEESTQCVRRRNVEPVLEEFIPLKNNQKENNNHSDEEEEEEEEDDSKKNWMSSVQLWNTDDDDYSSIDDKLDTKRNHGDPFKNRGKVRGFMPFKANLSFAVKKEEKREIPIHGLTILTPGIKNPKVELGFTESRMSRSRAASYSSLKGQVNFRSELQPLSDLQRQQQQATRKQRRCWSSELHRRFVNALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRLPPSTRTTTTPANQSALVLGNGLCMSHDPYGDSSKGSSSQSGSPQGPLQLATNTGGTSTTRGDSTEDEEDAKSEAYSWKTPTHKPLKGNV >KJB75373 pep chromosome:Graimondii2_0_v6:12:4848227:4848334:1 gene:B456_012G038900 transcript:KJB75373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFLGNEKKSIYFHSIFWLNLFDSSILNHILPFND >KJB76779 pep chromosome:Graimondii2_0_v6:12:33844621:33845055:-1 gene:B456_012G168300 transcript:KJB76779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSRSLTGTEIKKRLSIPSNILPSLPSFNRSHAVRIKLMYGTEIWPIVCTILKKGYKKPVFSGPLWRNFVISKKLRIGDRICMYKVHDSDYRLEVEKPTATSNMELAMAVWLSIMSLPPVWLFGTNLSDETTEMSAGKEVRIL >KJB77792 pep chromosome:Graimondii2_0_v6:12:33103782:33104272:1 gene:B456_012G157700 transcript:KJB77792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAILERCKSESLWGRFCNWITSTETRLYIEWFGVLMIPILLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAIGLHFYLIWEATSVDEWLYNIGNPYELIVLHFLLLVFRLGILPWIAVTYSTPVAIAIAVFLIYPI >KJB75765 pep chromosome:Graimondii2_0_v6:12:7527407:7531764:1 gene:B456_012G056100 transcript:KJB75765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLP1 homolog 5 [Source:Projected from Arabidopsis thaliana (AT5G39930) UniProtKB/Swiss-Prot;Acc:Q9FLE2] MSYGGATTMTAPPAIGGSASASTIKQVKLERESELRIEVGNETPLKLRLLNGSAEIFGSELAPEMWLTFPPRLKFAVFTWYGATIEMDGATETDYTADETPMVSYVNVHAVLEGRRNRAKALSPNDSEASQGPRVIVVGPTDSGKSTLSRMLLSWAAKQGWKPTFVDLDIGQGSITVPGCIAATPIELPIDPVEGIPLEMPLVYFYGHTTPSNNVDLYKTLVNELAQMLETQFARNAESRAAGMVINTMGWIEGTGYELLLHAIDTFKANVVLVLGQEKLFSMLKAVAKSKPNVDVVKLQKSGGVVSRNAKYRQKSRGYRIREYFYGLANDLSPHSNIANFSDLLVYRIGGGPQAPRSALPIGADPIANPLRVTPVNIDRDLLHMVLAVSYAKEPDQILSSNVAGFIYITDIDIQRKKITYLAPSAGELPSKYLIMGTLTWLET >KJB78016 pep chromosome:Graimondii2_0_v6:12:34264273:34266613:1 gene:B456_012G172800 transcript:KJB78016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITAQLASFKFFSSLLNDRKCSRFCCQIKSFENQHSNWSVEFLSGKESIVCNKVRIGDQRIVRIKEGDATGRHVVIVDDLVQSGGTLIECQKVLAANGATKISAYVTHGTFPKSSWQRFEHDNGGQTEKGLTYFWITDSCPHTVKEVKCKRPFEILSLSGSIAAALQV >KJB76841 pep chromosome:Graimondii2_0_v6:12:24870383:24874832:-1 gene:B456_012G109800 transcript:KJB76841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLAVEVSDEKVKAMWDKRLTEIFCDICIKEILKGNRPGTHFTRDGWLKIMTNFEKETGKGFSQRQLKNRWDALKKEWKAWKKLKGEYTGLGWDALKKEWKAWKKLKGEYTGLGWNPIKRTVDASDEWWESRLQVLPEAKKFRTSGIDPEFEGKLDQMFMGIVATGDKAWAPSSGTLPSDFFEDVNNEIPEENEEENMRNDVHILNDVHISNDVHISNDVQIDGNSQKRKNPEMSSLHFKTGRKKSSKQIGGAARLSSQIEKLCNAANSMSQATSSLTPVMDLFGIPQAVKMLDSMSEEFPEASPLYFFALRLLLNKDKRIMFLSINPKIRALWLKTEMEGS >KJB78005 pep chromosome:Graimondii2_0_v6:12:34361839:34365778:1 gene:B456_012G174000 transcript:KJB78005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNQANKSSFRESLKALEADIQHANSLAAALPRDYGGSCYQMRLSYSPFAPFILFLIEWMDYSCTDTLPSYLGLLHILVYKAYVDGMPALSSKDKKATLKEFYAVIYPLLRQLGDEFIESEDNKKGRCTEVSSRKKLEDHKRIPDKDSERDDECGICMENCTKMVLPDCGHALCVDCFHEWNRRSQSCPFCRGSLKRVSSKDLWVLTSCNDVIDMVTLAKENLWRLYIYIEKLPLVMPETQPYVSDYMI >KJB78006 pep chromosome:Graimondii2_0_v6:12:34362033:34365741:1 gene:B456_012G174000 transcript:KJB78006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNQANKSSFRESLKALEADIQHANSLAAALPRDYGGSCYQMRLSYSPFAPFILFLIEWMDYSCTDTLPSYLGLLHILVYKAYVDGMPALSSKDKKATLKEFYAVIYPLLRQLGDEFIESEDNKKGRCTEVSSRKKLEDHKRIPDKDSERDDECGICMENCTKMVLPDCGHALCVDCFHEWYSPVSPTLANLSSFYFEITYG >KJB75642 pep chromosome:Graimondii2_0_v6:12:6458233:6462289:1 gene:B456_012G049700 transcript:KJB75642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKRSYTDDSQEVACKHMRQCEDTVHFASFVDVHPNYAFQNHQISEGKWEDIYTGCQDEGRFAEDQCNNVHSGTSKDYESGASACVPHFWWVNGNGMDADAEANVAVHLPLFPEYFASGNQVQAFLHSDEIYSSLLSPKKVSVGPEYQADIPEWSQQDINSSLDYLDTSDPQVALRSSCAGLMVDDDYGKKMMGTCVIPMPNSEATLMFCFEDARHGIDCDCLDRGSIRCIGQHVTEAREKLRGNLGLEIFRELGFCDMGEEVAKGWTEGEELAFDNVVLSNPFSLGKNFWDHLTVVLPSRTKKEAISYYFNVFMLRKRAEQNRIYPLHIDSDDDEWQVAECGIPAGDDDSVVESPTGHETAAHYENNDEEDCHEDIENDYDNEHGVDSSENAADDFCKDITEEEDEGDIDEISRHHVERFIDNDLQDDSCTSYEYQGEQVDSHGLPETAMDANQPSLE >KJB77892 pep chromosome:Graimondii2_0_v6:12:33520987:33523610:-1 gene:B456_012G163800 transcript:KJB77892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGMFMRKPDKAAALKQLKAHVAMFGVWVAVVRVTPYILHYLSDEKEELKIEF >KJB75356 pep chromosome:Graimondii2_0_v6:12:4720511:4724098:1 gene:B456_012G038000 transcript:KJB75356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTSAKVVEHNLGREASSSPFLFAHSDHTFLHHLPWVAEMGDNQRQVFDVSMAIPSHNVSECVDDDGRLKRTGTICTATAHIITAVIGSGVLSLAWAIAQLGWIAGPAVMLLFSFVTYYTSSLLTDCYRTGDPVSGKRNYTYTDAVRSILGGYKVKACGLIQYLNLFGISIGYTIAASISMMAIKRSNCFHESGGKNPCHMSSNPYMIMFGVTEILLSQIPDFDQIWWLSIVAAVMSFTYSGIGLGLGIAKVAATGTFKGSLTGISIGTVTQAQKIWRSLQAIGDIAFAYSFSVILIEIQDTVKSPPEEAKTMKKATKLSIAVTTVFYMLCGSMGYASFGDFAPGNLLTGFGFFNPFWLLDIANAAIIIHLVGAYQVFCQPIFAFIEKWATQRWPESYFITKEFKIPVPGYRHPYKLNMFRLVWRTGFVMLTTVISMLLPFFNDVVGILGALGFWPLTVYFPVEMYIQQKKISKWSSRWICLKMLSMACLMISIVAGAGSIVGVILDLKVYKPFKTTY >KJB77255 pep chromosome:Graimondii2_0_v6:12:29597216:29599476:-1 gene:B456_012G128000 transcript:KJB77255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLFSTAITFLLFFPWVSSVEFVYNTNFNSTNLLTFGDATIDSSILSITNGTFSIGRALYPSKIPVKSSSNSSKLLPFYTSFVFSIAPLKGFLPGHGFAFAFLPTAGIAGASSSQNLGLFNFSNNGNPNSSVFGVEFDVFANQEFDDINDNHVGVDVNSLKSVASSPTGFWGGSEGDKLKELKLNNGVNYQVWVDYEDSILNVTMAKVGDKRPIRPLISVFVNLSTVFLDEMHVGFAGATGQLVESHRILAWSFSNSNPSIGNALVTTNLPSFVPPKDPVFESTGFIVGVTIGAVLLVSFCIAMYFLLVRFRRKRNCKKDDIETWELEYWPHRIGYQEIYAATKAFSDENVIGSGGNGNVYKGVLKEGQEVAVKKISHESEHGITEFLAEVSSLGRLKHRNLVGLRGWSRNDKGSLILVYDYMENGSVDKRIFDCHEDSMLSWDKRVKVLKDVASAIWYLHEGWEAKVLHRDIKASNVLLDKYMNARLSDFGLARTHHQSELASTTRVVGTIGYMAPELIKTGRASTQTDVFCFGVLVLEIVCGRRPIEEGKPSLIDWTWRLMERQELVSALDDRLKGKGGHSNEEVERLMQLGLLCAHPEAHVRPTMRQVMKLLEVRHEGAAESEGEGMELNLLHRLRSTTTMWGSFSGREHPTFNEIKRNMSSSMSMSNSDVILDGR >KJB78286 pep chromosome:Graimondii2_0_v6:12:35424785:35427486:1 gene:B456_012G188000 transcript:KJB78286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLDFNLSPPDISPAIKLHRFFLSSFVGFLAQIDSRLRICAFVSQPERIQRHYIVILSTISVFGIFGLPCLIRYESFLQHCLFRSPF >KJB77572 pep chromosome:Graimondii2_0_v6:12:31812381:31814549:1 gene:B456_012G144200 transcript:KJB77572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAQNAENLYVKSPKPAFLCILLFILVVRLPKAASMDDNDNINFTFPDFNSNTHRIVYEADAYASGNAILLTANKTDQGLNGSVGRATYYKPMRLWNNSSGDLLLADFTTQISFAVDSFHSSSYGSGFAFFLAPNGSKIPTHSEGACFGLQACYPSSSYDGNSKFVAVEFDTHQSDWDPPGMSEHVGIDINSVKTSYPTVAWWWSDIENGGKVNAFITFNSSTKNLSVTFVDANDFTRENSSSLSATLDLSQYLPEWVTFGFSGATGFNRSTELHTIYSWNFSSTLQVSMDTAIHSPTAAPVATTSTSNSPVEPRRKKRTWPWIVLAMFGAISALVPVLGLIWFFYQRRKYSRKEDGTMVVNVEMEMVTAPRKFSYKELRFATNNFADEGLLGEGGFGKVYLGFLRDINCSIAVKRITPNSQQGVKEYLSEVTTIARLRHRNLVQLIGWCHDNKEFLIVYDFLPNKSLDFHLHREPCLLTWDKRYKIAMGLASALFYLQEECDQCVLHRDMKSSNVLLDLSFNAKLGDFGLARLVDHGQESQKTSVMLGTDGYIAPECLVTYKATKESDIYSFGIVALEIASGKKAIAVIERHGRRFKTKLVEWVWELYGKESLLDAADPQLYGNYEIEQMERLLLVGLACAHPNYFDRPSIPQVIDILSFKAPVPMLPQEMPVPTYIAALQDNIVTSSASNSFHTGASSRSQTQSSGIASSIHSLKG >KJB75386 pep chromosome:Graimondii2_0_v6:12:4893617:4894776:-1 gene:B456_012G039400 transcript:KJB75386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAACFLHHHALTTNGRSSSLSPSLRQVSNMKPNQQVVCKAQKQEDDGSLVSRRLALTVLIGAAAVGSKVSPADAAYGEAANVFGKPKTDTEFMPYNGDGFKLSIPSKWNPSKEVEFPGQVLRYEDNFDTTSNLSVMITPTDKKSITDYGSPEEFLSSVDYLLGKQAYFGKTDSEGGFDSGAVATANILESSSSTVGGKPYYFLSVLTRTADGDEGGKHQLITATVNNGKLYICKAQAGDKRWFKGARKFVESAASSFSVA >KJB75387 pep chromosome:Graimondii2_0_v6:12:4893277:4895018:-1 gene:B456_012G039400 transcript:KJB75387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAACFLHHHALTTNGRSSSLSPSLRQVSNMKPNQQVVCKAQKQEDDGSLVSRRLALTVLIGAAAVGSKVSPADAAYGEAANVFGKPKTDTEFMPYNGDGFKLSIPSKWNPSKEVEFPGQVLRYEDNFDTTSNLSVMITPTDKKSITDYGSPEEFLSSVDYLLGKQAYFGKTDSEGGFDSGAVATANILESSSSTVGGKPYYFLSVLTRTADGDEGGKHQLITATVNNGKLYICKAQAGDKRWFKGARKFVESAASSFSVA >KJB77486 pep chromosome:Graimondii2_0_v6:12:31312413:31313577:1 gene:B456_012G139500 transcript:KJB77486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFREDIIIHIFSGWRSFLRKCLFRVLRVGPIPSHIAVIMDGNRRYAKKKKIDDTTGYDAGALALLHLIIYCMELGVKYVTAYAFSIDNFRRQPEEVQKIMDLTMESVMLLTWISKLRSVRVHFAGNLQLLSAEIQDAAKRLMESTAGYNNFVLTICICYTSSDEILHAVKKSCKEKWDDGIGIMGYDKGSDYELLIKVVDIEKHMYTAIAPDPDILIRTGGEYRLSNFLVWQSSGCHLSSLGTVWPEFGVFHLVWVVLDFQQNYHYFAKKKLQL >KJB75007 pep chromosome:Graimondii2_0_v6:12:2229955:2230197:1 gene:B456_012G018800 transcript:KJB75007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVHISTNVQPKLQASDSTDMPIASSQNRFRDFFTGSQASGAESHSARAFRQAGFFRIEASP >KJB76048 pep chromosome:Graimondii2_0_v6:12:10077980:10080206:1 gene:B456_012G0688001 transcript:KJB76048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCQLKRLQELDLSQNYFEGILPPCLYNLTFIGLLDVSDNNFSGTLSASLIHSLTSSWYLDFSYNHFEGSFSLSSFKTQSKLEVLQFASNNDSCSHNKLRASFPGWLLVNNADLEILKLRNNFLFGEFQLPPFAMTNAVMVDVSGNQIHGLPENIGKILPHMEYLDLSRNAFEQDLLPSIGDMKNLQVLDLSYNNFSGEIPKELTVGCNDLRVLMLSGNKLHGQIFPMLYNLTQLRVLQLRNNYFSGNLSNVKAKGRVLGNLALLDIGYNFMTGNIGNWIGNVTYLDILVMRNNYLEGQFQCEGISRSVYYLDLSHNLLSAPLPLCPRLQHLYLQGNKYSGSISEAFLNTSQLLVLNLRDNNLSVSILGIIGLLTSLKVLLLSQNLLSGLIPEELCELKQISMMDLSLNSFSGSIPPCFQGIRYGTLKKDYVLHSTAEQSVTNVEVDFLTKHRSNTYKGGILNFMSGLDLSCNKLSGRIPYEVGNLAWIHTLNLSYNQLTGPIPKSFSNLTQIESLDLSHNMLSGEIPSLLINLYFLEVFSVAYNNLSGRIPDMKAQFGTFDRSSYEGNQFLCGQPLNQNCSIVSPHPLIKFVDQSKEKWYGIDPVLFSVTFSTTYIIFFLTSIIILYLNPYWRRRWFNFFENRIYYPAYDFISCIVHKACAKPCW >KJB75111 pep chromosome:Graimondii2_0_v6:12:3135960:3136882:1 gene:B456_012G025100 transcript:KJB75111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRHMGYTRVGKRSKGLRLRRFSVQGLRARFLYLFNQISRWRSSYGRALRSIIKKTGGDIMAIRNNSSRSWRSRTNHVPLTNSCSLRSSLGHSNSFYSEAISDCLEFIKRSSVSDDDQKPPVPVTICQT >KJB76285 pep chromosome:Graimondii2_0_v6:12:13327876:13328878:1 gene:B456_012G081400 transcript:KJB76285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKAVVGMRSEMELMDEIGSWLGFTSSYCCLVLIVIFFLFAVPRCAMSVIELLNFMNFNVFFTFRYKYNPTTKKAAATVTVPYCCVCLYEAEEGERLRRLPRCKHCFHVDCIDAWFQYRSTCPLCRNEVSIGLRRPHNQLVSFLHNLFRKIHH >KJB77911 pep chromosome:Graimondii2_0_v6:12:33610188:33610463:1 gene:B456_012G165400 transcript:KJB77911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIREVNLVTHHQARWKFLYRGHALPGGTSILTVTDGFQWWHFQCRSEGDNMYCINGSEWSRFVQTRINAMITLYSKQDGENFHRIRVRS >KJB77126 pep chromosome:Graimondii2_0_v6:12:27706879:27708714:-1 gene:B456_012G121600 transcript:KJB77126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g59720, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G59720) UniProtKB/Swiss-Prot;Acc:Q0WQW5] MALATGPRPPPLLLPSKAINIPNPPSFDPHSRILISLKQCTHISQLKQIHALTLRSTSPYHPKTFFLYSQLLHFSSFLDFNYALQLFNQIQNPNSFMWNTLIRACANDVNNKDQAMRLYLEMLEQALVSPDKHTFPFVLKGCAYLFDVSEGIQVHAHALKHGFGSDVYVNNSLIHFYASCGFLDLAEKVFVKMPERSLVSWNVLLEGFVQFGKFNSALNLFREMRNTFDPDGYTLQSILTACAGLGAFSLGAWVHAYLLKKCDFNLSIDVFINNSLVDMYCKCGSLELAHQVFKRMPKRDLTSWNHMIRGFAMHGQAEAAIRCFDKMIRTERFRPNSITFTGVLSACNHKGMVSYGRLYFDMMVTDYKIKPALEHYGCLVDLLARAGFIDEALDLVSTMPMRPDAVIWRSLLDACSKKNSSVELSEELARQVVESEGDIGSGVYVLLSRVYASASRWDDVGSVRKLMTDKGVKKEPGCSSIEMDGVAHELFAGDTSHPQTKEIYQMLKVIDKKLESVGYSPDYSQAPMIDELNETKQHSLKLHSERLAIALGLLKLQPGMPIRIFKNLRVCNDCHEVTKLISRVFNVEIIVRDRARFHHFKDGFCSCSDYW >KJB77935 pep chromosome:Graimondii2_0_v6:12:33864938:33865405:-1 gene:B456_012G168500 transcript:KJB77935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHSKLVFSKFLSESDIKKRLAVPSAIQRSLPPFNGGPALIFQFLHGTRFWPILYSIRTKGYNKPVFSGRLWRNFVIYNNLNVGDRFTLYQVQVEDGSSYYRVEVGREIDFLGINAMAYGSGSTADEHVITHHHQEELSLELSLGQPNVEGADN >KJB78247 pep chromosome:Graimondii2_0_v6:12:35289947:35292366:1 gene:B456_012G185700 transcript:KJB78247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWSYDCEGKNLFFTDFEAEGMEFNQFMDFSFDYKKKKPFFSDTNLGIFSDEFTTTTSSCYVEQHSSSLMESNSQESSIIDYGDSKGCKETSVVTSIQPVLISKKALTTRSSCPQTPQCQVYGCNKDLSSSKDYYKRNKVCEIHSKTAKVIVNGNEMRFCQQCSRFHLLAEFDDGKRSCRKRLVGHNERRRKLHFGTLPGKSHKFLQSYRERYEHADQVKFREKPVYDPQSAISIINGKLVSKKQHIPGSFSLATEAFHAPNELSGLSCSDCALSLLSSQSRDLSSHATGIQLTEPFINQASHHHGSCLENANGFCSCGMNPMGVSQAGSFRDLGLSSPNGTTVDLLQLSTHLQRVEQQRSSMHVKPENEDLCYFLTT >KJB77346 pep chromosome:Graimondii2_0_v6:12:30468214:30469711:1 gene:B456_012G133100 transcript:KJB77346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYVGKATKIFIFIVTALVVLGLVLGFGLLRHHKSHSHKCSGGDSCPSPNFFPNPISTPNSPPFSSSSSSYNPPPLAIGSNQPTSPNSPPTSIPTANPPPPPSTPPAVQDPTPTPPPPDANPNPPPQSTPPPPPAVLPVPPYNQPTPVLVAPGPVHA >KJB76150 pep chromosome:Graimondii2_0_v6:12:11499678:11500068:-1 gene:B456_012G075400 transcript:KJB76150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCLIFSASLSFALCMDETFLLSDSTFISSCNNRDKHELEVDFKRFWEEFRSSNSEKEKETALNLIVDAFCRLVK >KJB76106 pep chromosome:Graimondii2_0_v6:12:10652872:10653192:1 gene:B456_012G072000 transcript:KJB76106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFEELFIPCKLVLNVVGIEETEALAVLKSTVCVSGFDASSIFNLLKSVLVLPISNEYLGGILCGLLNFNSTVPSWYHGATRSVAPSSFHILGRFISCHCIVLGIMV >KJB77264 pep chromosome:Graimondii2_0_v6:12:29634743:29635017:-1 gene:B456_012G1285002 transcript:KJB77264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AARLSSQIEKFCNVANNMSQATSSLTPVMDPYGIPQAVKMLDSMSEEVPEASPLYFFALRLLLNKDKRIMFLSINPKIRALWLKTEIEDS >KJB78100 pep chromosome:Graimondii2_0_v6:12:34672247:34674229:1 gene:B456_012G178000 transcript:KJB78100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPEEPEQNITHMDASLYKAAVEGNIEEFNNKHGLQLESLKTPNHDNVLHLNLATQENATLLFNIFLSIIKFFPLLYGCFSPPMRDLITIIRGERRSDFIEQILSKCPSMLLQTNAKGQTPLHVAARYGHSAIVKLLIKSCAKARDGDLEKLGMDQVNAVRWMLRITDQESNTALHEAARCGNVEVVKALLEFEDPDFPYSANKKQETPLYIAARRGDGGMLSILLDKLKSAALGGPHGRTVLHAAAMARDAEAIRIILEKKGNLTKERDEDGHTPLHYAAHLGSRFSVVEELLKWDISAAYIGDKKRGMTPLLMAARQGYLGTCSKILSLCPDCCEKVDNYGLNLLHYAAFRDSVFRFGSSVFKRGGAEIVYGSVRNLMELEGAFGVTPQEVYNTVRSMKQHHKQKQIKELLEELENDQVAEEPVCKFRLPNVSTKRLAAIRNTHLIVAALIATVTFAAAITVPGGLESEKGSKEGTPFLIHEAAFKVFVVTNALAFIYSVSALCIYFGVLDNLLSRFNFWRETILHRTKAVSGLLGYATIAMMIAFSTGSYVVLKPSHGLAIVSYLICPAFFFILHWTIFDYYIKRILFYR >KJB76500 pep chromosome:Graimondii2_0_v6:12:16218624:16219902:-1 gene:B456_012G092400 transcript:KJB76500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVLSGFAAADEQLRLTTMVFKNIFPDIDINTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRNLQDVSDFVLAGYGSESEADEEAAIVTLTSDLSRVNRASTKSAVKLQEIGPRMTLQLTKIEGGLCSGEVMFSEYGNGGNKKEPGNKGNEKEDSENDGQMEDSDEDDEADNKKDMEESEED >KJB78002 pep chromosome:Graimondii2_0_v6:12:34177237:34178242:1 gene:B456_012G172000 transcript:KJB78002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLKMVINDLDLCYCLRKLWRTVEFLRGGGGSVASKEKLRDDAGSSSRPLFRFSEKKSKLSAERADYQSQRSLINYCNS >KJB75654 pep chromosome:Graimondii2_0_v6:12:6518181:6525164:1 gene:B456_012G050100 transcript:KJB75654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSVASFSGVSLCATRSFSNKNNLFSLHPCISLSFPSKPNSLKSFKPLHLRKNGVLDKFSRTSSRPFVVRCEASAGRITQQEFTEMAWQAIVSSPDVARENKHQIVETEHLMKALLEQKNGLARRIFAKVGVDNTRLLEATDKFIQRQPKVLGESAGSMLGRDLEVLIQRAREYKKDYGDSFVSVEHLVLGFTQDQRFGKQLFTDFQISDQSLKSAVESIRGRQSVIDQDPEGKYEALEKYGKDLTAMAREGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVAGDVPQALTDRKLVSLDMGALIAGAKYRGEFEDRLKAVLKEVTESDGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVGQPSVEDTVSILRGLRERYELHHGVRVSDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLTNDTDKASRDRLSRLESELSLLKKRQAELTEQWEHEKTVMTRIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNSLQRQLADAENELDEYMKCGKSMLREEVTGNDIAEVVSKWTGIPVSKLQQSEKEKLLHLEEVLHKRVVGQDPAVRSVAEAIQRSRAGLSDPRRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRVDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTEIVRRRPYAVILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNSDEDTPKNIAYGTIKQRVMEAARAVFRPEFINRVDEYIVFQPLDREEISSIVRLQLERVQKRISDKKIKLQITDAAVQLLGNLGYDPNYGARPVKRVIQQNVENELAKGILRGEFKDEDTILVDTEQTAVPNGPIPQQKLVFKRLNGDLDTQATGSQEALSKIV >KJB75320 pep chromosome:Graimondii2_0_v6:12:4555731:4560171:1 gene:B456_012G036900 transcript:KJB75320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPETCGSSLFLALPDDVFAIISRSLSLKDICNLSRCCKSLSALVASEKIWLSQCDVVGIVPTRDLVEWREGVVSYKALCRFLVRVKPLIGIWVHQNPELGNVVYVMPGFVSVVGCRIIPQELGPLGIEDGPILWAPVFEIIGDFDGSTTFLLHGREKGCDFLYPGLVESVEQNCNVLLLEVEPREKRNGCKLLPSKSFTHHSTEEISRKVCRSNSGLSRSQQVAGRNEAVVPFSRLAFGDRRTLLETVTFQVRLMVTDSIREPLFPRLREDAENFQKDLILLLQRRSLLLQMYKFGSDHSCTDLNESTAISAGPIQLELSDIRKSLDRSSSTSTSLNGDDGQTHGNNRKSIGGYFRASIKQILRKSSSLNGGRAMSRLNSSSGENRHALLHDFLNSGDTIGLTLHATKMKLSAYRAWPNMHDTQFALYKLPMRVPTEDQEYAGLWGGTFGWPPGRPTEDKPGKALFFLLLSYEETDGKRSLIATKILEGTHYVLHPNGSAMFIVNIDEPSLDPFPWDSDADSHPVSVKHAFMGEGIANGYGFRYPGSKPGSLFVIQNGLLAFIWKESTVVLTLQRLNLQDLLKKGEQVPALPPVANFSYLTKSYSNVFAGFSNTSTCSSSLRQRHI >KJB74994 pep chromosome:Graimondii2_0_v6:12:2151045:2153339:1 gene:B456_012G018300 transcript:KJB74994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQTFCLVFLFISVAQGLHSKCDTQDHGSTLQVFHIYSQCSPFKPSKPLSWEEDMLSMLAKDQARLQYLSSLVAGKSVVPVASGRQIVQSPTYIVRAKIGTPPQTMLMAMDTSNDAAWIPCNGCIGCSSKVFNTAKSTTFNTLGCHAAQCKQVPNPTCGGSACVFNMTYGSSSIAGNLSRDTVVLATDPIPSYTFGCLQKTTGKSVPQQGLLGLGRGPLSLLSQTQHLYKSTFSYCLPSFRSPNFSGSLRLGPKGQPIRIKYTQLLKNPRRPSLYFVNLIGIRVGRRVVDIPPKALAFNPSTGAGTIFDSGTVFTRLVEPAYVAVRNAFRRRVRVANVTSLGGFDTCYTVPIVAPTITFMFTGMNVTLPQENLLIHSTAGSITCLAMASAPDNVNSVLNVIANMQQLNHRVLFDVPNSRLGVARERCT >KJB75321 pep chromosome:Graimondii2_0_v6:12:4571265:4571576:-1 gene:B456_012G037000 transcript:KJB75321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAKGKVKKGWLAVEVGLEEEDEEQGFQRFVIPISYLYHPLFKQLLDKAYEVYGYHTKGPLKLPCSVDDFLNLKWQIEKESNYHHHHHHHHHLPLTLPFHSC >KJB77794 pep chromosome:Graimondii2_0_v6:12:33118671:33119544:1 gene:B456_012G157900 transcript:KJB77794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSALNQKSNFNARSNSLPSRPHPLIPQIDEHLRRLKSDESAASSSSITGKLSGLRDLYELVHSLLITQKSLAQNYNGNNELLLNGSLKLLDVCGLAKDVLLQAKEDTQQLQSSFRRRRSGDDAIFANEVKAYLASRKKANKLINKSLRDLKISKCGFADVDEPTCSMLRDVEGVTFAVFESVFSYITATTPEPKSNNWSLVSKLMHSKRVTCEGQVSGTNEFERVNAMLCGLIGYKSKKCGDMSNENAVVELQKLETSIEDVEDGIECVLRLLIKTRVSILNILSH >KJB76170 pep chromosome:Graimondii2_0_v6:12:11957084:11958669:-1 gene:B456_012G076800 transcript:KJB76170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKEEKSRRILRGFKTVFFLVTMVISFLVFSAPVFLVIADTILPSALLSASLSPPSLQTLYSHFTNYDFRNSLIDIPLISIIRSAIIICVYSFCDGPKLSRGPYLGTTMICCVSSLMFVSVKASYASGWSSGREGSAMETALFICSLALAIAHIIVAYRTSCRERRKLLVYKIDIEAISACKNGFPRYPKIVK >KJB76834 pep chromosome:Graimondii2_0_v6:12:24671881:24674895:1 gene:B456_012G109500 transcript:KJB76834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLVFLFASSLLLMVAATTVSAAILEHSFYVKNLTVTRLCNRQVITAVNDSFPGPSLRVREGDKLIIHVVNMSPYKITIHWHGVSQLMSAWSDGPEMITQCAIRPGNNYTYKYRITKQEGTLFWHAHSSCLRATVHGAIIIHPRARHSYPFPKPYREVPILLGEWWNANVIDVENQALALGIGPNISNAYTINGWPGDLYPCSQNQMYKHRVEQGKTYLLRIINAAVNSHLFYKIANHNMTVVAVDARYTNPYVTDIVVISPGQTVDVLLTADQPVGSYYMTANNYASGSGTAVGVPFNPTTTRGVIIYQGARSSTKPLMPVVPAFNDTPTAHKFFTELTSLVGGPHWVPVPLNVDQKMFVTVGMGLDVCPPNVSCQGRPPVGAALSGNMNNVSFVQPTKLSLLQAFFFNVGGVYTTDFPANPPVQFNFTNPSINADLPLLFAPKRTSITKVKFNSTVEMVLQNTALIGAENHPMHLHGFDFHVLAQGFGNFNPATDTLKYNLFNPQMRNTVSVPVAGWAVIRFVANNPGAWFMHCHFEGHNSVGLSTAFIVENGPTPETTLPPPPPDLPEC >KJB78012 pep chromosome:Graimondii2_0_v6:12:34246105:34246913:1 gene:B456_012G172500 transcript:KJB78012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPAISSTYRRSMLPKPNQTVLEAQARICTGPTQTRPLSEEQAFKVLDTILDSFSYGTYFVHALHVFPLVFFSLFFHALSFEGIIIIFSFIYHSMVKFDSFSNMIFELFFSLI >KJB75048 pep chromosome:Graimondii2_0_v6:12:2567310:2582725:-1 gene:B456_012G021000 transcript:KJB75048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIYLRTLCFYLTRPVSTGYCTPNCQVRLHALEIAAMDLHNLPFKVGQLVETRSFLQGYRGAWFRCKIKEIGLRNKELGHALEYIDFPDEKIRWTKLYQKSRKPKDNKRYLMVRPCFPSVYHESQMPDVNTISETVVIVNDSWKVGDLVDWWTDNCFWTGTIIEKLDDENFKIELPPPPKGEGCLYDVSCKDLRPSLDWSVDEGWKLPKGGKYHLYCARIVKPVYQGDSSNLIDCIVSEREKDVQSTEKTSTKHKGSLSSHISTGSYKGKQSVKKSLNEKHTNITKKTVGLDVVDHVPKKRNCSYGVSSPHIQDASTQVPGMVDKYDDSGLKKIKTDGSVCLNSTYSDTIEAAILDLEELVCRVKWLKRILDFGTPLSNTLTSSWKFIEHRESSIPK >KJB75513 pep chromosome:Graimondii2_0_v6:12:5577154:5579074:-1 gene:B456_012G044700 transcript:KJB75513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESNWVIEVNRKLENMVDTEAEKEHWMKQSIYRVPAAIAELNKKAYMPQVVSFGPYHHGEDRVKPMEDHKKRALLHFLRRSNKPLDLFVESLNKDLQKLKECYDLLDPIWQDDDKFLQLMIVDGCFMLEILRSATHTMDDYAPNDPIFSNHGKIHVMPFIKRDMLMLENQLPIPVLYSLVAVDSNGTKDGEFVNKLILKFCSPHTPILNMGPCLHVLDLYRKSLIQDIRPVRKRRKRLLKYRSSSHQDGDDIIRSAMELQEAGIQFKKSKTMSFKDISFRSGVLKLPVIIVDDATESMFLNLIAFERFHVGAGNEVTSYIFFMDNIIDNERDVALLHSTGIIQNALGSDKAVAYLFNSLSKDITLDPESSLDEVQKKVNKYCKKAWNEWRANLIHTYFRNPWAMLSLIGAIFLFALTIVQTVYTIYPYYHDSSPSPPPPLAPPPMAPPPIAQPPTAPPMASPKHRVPSQSNRTHH >KJB77188 pep chromosome:Graimondii2_0_v6:12:28840199:28840960:1 gene:B456_012G124800 transcript:KJB77188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREIIPIRKSYCTKWAQLDHAYFELSRLRCQKSDLEVAMRWNQGLLNCLEEALDELHFHNNSYKKGSTSPNFHVTLQHRVLHGNNINLATERELLKEMSTTRNQLPVDYDINHDSTHFKQSLNEFIQLFYEERQSESRYKNFHKELKCIEEKTIVIACLKGRIWNPWASLATIKKYITIIKELSEEIEKENREIISEIEEAKMNKETESIRKKLLKLEHQLRDMRLKREEVHKCLLNLTQCHQEAVLYPFNY >KJB77927 pep chromosome:Graimondii2_0_v6:12:33768958:33769845:-1 gene:B456_012G167400 transcript:KJB77927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEKLQFIKRLTKTDIGKRLSVPSKKKRCFLDFDGRHQVDVKVKDDNGKDWLFGCSKRRGRDNYPKPVLSKGWLQFVRCWKLAVGDTVIVNWVMEKDGKGNYRIKVIRTGVLPLVQNRDADRAIASNRSPERTITYDRTEELRNLPKFIDFFELDSKQREMEHPSNLALHNINVDEVLITSSAAPYSKLHDADRVMAVAAYTDVEQEATITFAGTELTVSYEQTEGLHNQPGLMFEFISQKPDETDRKSRAIDCSDLGSQEQREMEHPSILALHNINMVKMRVTSSRTPYIKFF >KJB77287 pep chromosome:Graimondii2_0_v6:12:29775165:29776144:1 gene:B456_012G129500 transcript:KJB77287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-19 [Source:Projected from Arabidopsis thaliana (AT2G20740) UniProtKB/Swiss-Prot;Acc:Q940P5] MNCRFIYTLLGLGVTLCLITYSGHVAGCCLYLYMTLLFLLLMLEAGVTTDVFFNQDWEEDFPVEPTESFNKFEDFVRSNFEFCKWIGLSVVFIQVWGLCVVSAVLLEAVGPYQNYESDDNIDPESMPLLKK >KJB76812 pep chromosome:Graimondii2_0_v6:12:24324418:24325301:1 gene:B456_012G108200 transcript:KJB76812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLQKACSAPRPASVAVALFLNFTAFTNHLPVDHSFSPAFLSQLVYYLDQSIPLQNNLSPYGLSPFPRLFRGDPSVGVEIEAALPLPPPALNLNQNNTIIRIN >KJB75772 pep chromosome:Graimondii2_0_v6:12:7756713:7759595:-1 gene:B456_012G057000 transcript:KJB75772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVRSFCLLSLLASTAAASNDWLNHGGNLLNRRFADKETKISPGTASRLRLKWKFNAGRDISATPAIFDGTLYFPSWDGYIYAVKASNGGLLWKKNLQHLTGLNSTGAIFDFDPNITVSRSTPVIAHDMLVFGLGGPAYVVAVKRSNGRLVWLTQLDKHSKALITMSGTYYKGHFYVGTSSNEETVSIEQCCIFRGSFVKLNARTGKILWRTYMLPDNFGQRGEYAGAAIWGSSPSIDIRRNHVYIGTGNLYSAPKNVRDCQERQNNRTDMPSTDECVEPENHSDSIIALDLDTGKIKWFNQLGGYDVWFFACNNVSNPKCPPGPNLGADFAAAPMMLTTYIDGIKRDLAVAVQKSGYAWALDRDNGKLIWSTEAGPGGLTGGGTWGAATDEKRVYTNIANSDRKNFTLQPSTKVTTAGGWVAMDSKSGRVLWSTADPSNGTANGPVTVANGVVIGGSTFRQGPIYAMNAMTGEILWSYKTGATIYGGASVSNGCIYLGHGYKVSVGLSDPGFTAGNSLFAFCVA >KJB78346 pep chromosome:Graimondii2_0_v6:12:25185510:25190213:1 gene:B456_012G111700 transcript:KJB78346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFDIRVVWFLFLLITRWGFTHGQDSKNKFRERRATDDELGYPEMDEDALLNTQCPRNLELRWQTEVSSSIFATPLITDINSDGKLDIVVPAFVHYLEVLEGSDGDKMPGWPAFHQSTVHSSPLLFDIDNDGVTEIALATYNGEVLFFRISGYIMTDKLSVPRRKVRKDWYVGLHPDPADRSHPDVHDDLLLEEASKMNAMSQINGNVPESNLTGFVPTESHSSKDNLSNAENGNKVNVSLKDNTIKLPTGYGNSSLNPEAVGSTEGHNGTSPQRRLLEDNNSKGSQEGSSDSKENVQEATVENEQGLEADADSSFELFRDTEELGDEYTYDYDDYIDESMWGDEEWTEGQHEKREDYVNIDSHILSTPVIADIDKDGVSEMIVAVSYFFDHEYYDNPKHMKELGGIDIGKYVACGIVVFNLYTRQVKWTRDLDLSTDTANFLAHIYSSPNVVDLDGDGNLDILVGTSFGLFYILDHHGNIRKKFPLEMAEIQSVVIAADINDDGKIELVTTDTHGNVAAWTAQGEEIWETHVKSLVPQGPAVGDVDGDGHTDLVVPTLSGNIFVLSGKDGSIVHPYPYRTHGRVMNQILLVDLSKRGEISKGLTIVTTSFDGYLYLIDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKAWRSLNQGRNNGANRYNREGIYVTHSSRAFRDEEGKSFWVEIEIVDKHRYPSGFQAPYNVTTTLLVPGNYQGERRITQRQIFERPGKYKIKLPTVAVRTTGTVIVEMVDRNGLYFSDDFSLTFHLYYYKLLKWLLVFPMLGMFGVLVILRPQDAVPLPSFSRNTDL >KJB77890 pep chromosome:Graimondii2_0_v6:12:33511152:33511666:-1 gene:B456_012G163600 transcript:KJB77890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYINSPLPPVSGDELLQLDQIYFLLPLSKSEATLSLQELCSLAIKASEYISLLNRAYSSQKISSFSYKRSCRVGTGFKYPVRSVNRNVVF >KJB74736 pep chromosome:Graimondii2_0_v6:12:615085:616353:-1 gene:B456_012G005200 transcript:KJB74736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSRKSTDAIQPTSSNSKRRSFSPSFQTPKSLKPASSFSDPSPSTTSTTNDLASSSSNFYFNSSSYSLSTQTSNTLSFLRASLPENPHIYDFSLISSATTNFLSKRFSSSSSSSSWLCHLHGKQVVVFRRKLRRSIELDDLVHKLSVICRSHHSSLIKLLGASLSGNFVYLVYEYVKGTNLGDCLRNPKNPSFTPLSSWISRMQIAIDIAHGLDYIHHCSGLETSFTHNHIKVTSIIVAEDSLTAKICHFGTAEICGEVSKEEGSRSLGRTDSKVMKIEGTRGYMAPELQFNGLVTQKCDVYAFGVVVLELLSGEEALKFMFDEGDGGYKRVSVIDTAREAAAGGSGGVRRWVDRRLKDSFPVEVAEKMVLVALECLEEDPERRPEMKKVVGKVSKLYLESKNWADNIGLPTDISVSMAPR >KJB77022 pep chromosome:Graimondii2_0_v6:12:26955108:26958299:1 gene:B456_012G117000 transcript:KJB77022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISLLSWLFFYSYIATIFIITAVLVSGECQSHQQELLLGLGKTLNSSLSAKMRNWNQSTDCCSWGGITCDQSGRVIILDLSNQLISGTIDNSSSLFNLQHLQQLNLAYNTLSFSFPCGFDKLSNLSYLNLSNAGFTGQVPAEISRLTNLITLNLSVNLFLRDGRPLKLETPNLKMLVENLTRLRSLHLDGVKISARGNEWCKWLSSLTNLEELSMSNCNLSGPIEDSLQKLKNLSIIHLDRNNLSAVVPAFLAHLPNLTSLRLSSCGLHGQFPREILQVRMLQSLDVLDNEKLQGSLPEFHHNGSLQNLVLSGTNFSGSLPQSIDKLVNLTILDLSFCNFSGAIPSSVSNLQQLVYLDLSFNNFTGQIPCFDLFKNLARVDLSYNKLSGKIESFKWEDLPNLTRIDLRVLLSNNQFDGEVTGAPKVRESLLDILDLSCNQLQGPIPAYVFELSRLSVLVLSSNNFNGTIRPRDIRKLVNLTYLDLSHNNLSVIATESYSVLSSFPKITTLNLASCKLNVFPDLKNQSRLTHLDLSQNQISGEVPNWIWSVSDDLVHLNFSFNQLEGLQKPYQIVPNLSVLDLRFNRLTGHIPTLPLSASYLDFSSNNFTSLPSNIGNYLYYTIFFSVSSNGLTGAIPKSICEAVNLRVLDLSNNSLSGAIPKCLIGQMDLLGVLNLRGNNLSGQIPNAFSRKCSIETLDVNGNELTGKIPISLGRCRKLEVLNLGNNHINDTYPCHLKNITSLRILVLRSNKFHGGIGCPADKRPWPKLQIMDFAHNSFNGKLPNKFVARWKAMEVYDDEAQLNVKHLRFEVLRFSRIYYLDGITVTNKGLQMELVKILTIFTSIDLSCNNLEGPIPDVIGKFIALYFLNLSHNALSGKIPPSLGNLQQLESLDLSSNNLSGSIPQQLLKLTFLAVLNLSYNQLEGCIPAGKQFATFTNDSYEGNRGLYGNPLTQQCKDAIPNHGQDSNPRTGNHINWNLISVEIGVFFGLGVVILPLTFWKGWRIWYFKRIDRLLFKFFPKLDRRNRNHRTISQWIQGRRL >KJB77453 pep chromosome:Graimondii2_0_v6:12:31153641:31156330:-1 gene:B456_012G138100 transcript:KJB77453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARWRSSAKPVQAPKTTKTVSFEEVSGKASSSEALPLSLHLTNAVFFSLFFSVVYFLLSRWREKIRTSTPLHLVNLSEIVAIFAFIASFVYLLGFFGIDFVHSLILRPSTDLWNSEDEEENEALLRKEDARKIPCGQALDCSVTSAPIISAQKVVDEKPVKLTTEEDEEIIKAIVAGTTPSYSLESKLGDCKRAASIRREALGRITGKSLSGLPLDGFDYESILGQCCEMPIGYVQIPVGIAGPLLLNGKEYSVPMATTEGCLVASTNRGCKAIHLSGGASSVLLKDGMTRAPVVRFGTAKRAVDLKFYLEDPENFETLAVVFNRSSRFARLQGIKCVIAGKNLYLRFTCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNLCSDKKPAAVNWIEGRGKSVVCEAIIKGDVVRKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVSAVYIATGQDPAQNIESSHCITMMEAVNEGNDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASQESPGANSRRLATIVAGAVLAGELSLMSALAAGQLVKSHMKYNRSSKDVSKTSS >KJB78079 pep chromosome:Graimondii2_0_v6:12:34544273:34545142:-1 gene:B456_012G176100 transcript:KJB78079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREGIIKNRQFIADKRWFLPITVAGIIAGAILISSSMKTTDYSLLCSLSKSRSGTINEFSTTVDQLKAIIHYATSRIVPQQNFREISVTFDVLQKRSPCNFLVFGLGYDSLMWTSLNPGGNTLFLEEDPKWIQTVLKDAPSLRAHAVKYRTQLKQANSLLAHYKSEPNCHPSKAYLRGNHKCKLALTGFPDEFYDTEWDLIMIDAPRGYFPEAPGRMAAIYSAAVMARNRKGSGATHVFLHDVDRKVEKGFAREFLCKKYLVKSVGRLWHFEIPPAANLSNHAGHRFC >KJB74974 pep chromosome:Graimondii2_0_v6:12:1913323:1914837:1 gene:B456_012G016700 transcript:KJB74974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPFGSSTPAFSSSSFGSSSSTPSINPFKPLTDTEDDLRKRISFLSGFMVAFKDQIHTDIKLKPNNGPCISAHRSLLEARSEIFKNILSSDNFKAPPTDTDTMTLSELSTEELESLLEFLYTGDLPADKFKKHVYALCAAADKYEIPYLQESCERYMLNSLNASNALDILDLSNLYSKKKLKETTLNFIVRNMKSIVSSQKYEEFASSNPHLCVEVSRAFVEAKF >KJB78110 pep chromosome:Graimondii2_0_v6:12:34701071:34702337:-1 gene:B456_012G178400 transcript:KJB78110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SWDTTSISLTAGCDLFMLYVTRTSALEYEDFNSAKSRLIERAEKFGEISCKARRIIAMLSQDFIFDGCTILVHGFSRVVLESSHCFKTEGRPDRTGLRFSNELANLDVPVKLLIDSAVAYTMDEVDMVLFGADGVVESEGIINMMAPFRIAFVAHSMNKPVYVAAECYKFARHYP >KJB78097 pep chromosome:Graimondii2_0_v6:12:34636711:34638047:1 gene:B456_012G177600 transcript:KJB78097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEEPEENVTHMDASLYKAAVEGNIEVFNIKQGLQLESLKTPNHDNLLHVNVATHEIAAWLFNRVHSIFKSFVRLNIRGEKRSDFIEQILSKCPSLLLQTNAKGQTPLHVAARYGHSAIVKLLIKSCAKARDGDLEKLGMDQVNAVREMLRIRDQESNTALHEAARCGNVKVVKALLEFEDPDFPYSANEKQETPLYIAARRTGSGRLLTLLLDKFKSTGHGGPHGRTALHAAAMAGDAEAIRVILKKGNLTKERDEDGHTPRHYAAHLGSRLSVVEELLKRDVSAAYIGDKKRGMTPLLMAARQCNLATVSQILSLCPDCCEKVDSKGLNLLHYLAFRGTFSPLRFSFCKIRGTEIVYGPVKNLTELEGEFGMTPQEVYNALRSEKNHQKQM >KJB77998 pep chromosome:Graimondii2_0_v6:12:34149028:34155624:1 gene:B456_012G171700 transcript:KJB77998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELEESLTLENNTSSPSPPSPSKDSKSNEESKDGNSTPPSNWSPSPPSAGDDVSNKKASSSSSNNESFDQSPPSPPPKKSSPPTSSPSPETPPNPSNKQSPPSPSPPPSSSSPPPSPPPPPSPPPPQEQSPPPSSPTLSKKQSPLSPSPSPPPPKKQSSPPSSPPPPKQKSSPPSDSFSRNSPQSPSGKSPLPPPPLLTTSPPQPPSSETSKSLYPSPAFSSQAPNVATKTLSELSPHASAIRPPSPPQSSSNDQKGLSIPKNAKYDVMIDATVVGAFFIVAFIALFFFVRKKGKKKEASTPPPTNIEVKSDAACYNPQLDGLDSTLVNGSETQRLNNYHGPESGIIAGSKTFFTYEELMEMTDGFARDNIVGEGGFGCVYKGRMPDGRFVAVKRLKVGNGQGEREFKAEVEIISRIHHRHLVSLVGYCISENQRLLIYDFVRNKTLEHHLHGKIIGKGMPVLEWAQRMKIAIGAAKGLAYLHEDCHPRIIHRDIKSANILLEDDFEAQVADFGLARLNDSSQSHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRQPVDPTQPLGDESLVEWARPLLIQALETSDFSEIIDARLEKRYVESEVLMMIEAAAACVRRSATKRPRMALVVRALDFDGSDLTNGVKVGQSTVYDSAKYSEEILNFRRMALGSDNSSESDVYSDEFTSREISRGQPSWRSECSSSEFTSDESELQPIKKNGSGYSDNGASGNYSGSRFK >KJB77916 pep chromosome:Graimondii2_0_v6:12:33640708:33640992:-1 gene:B456_012G165900 transcript:KJB77916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIDAVNLVANHVHRWRLRDRSGTLPAGRIVLMVTEDEKQWWRFECRSEGDRHYITGTEWSRFVQPRIDARLTLYAQQDGENFNRMKVIMRRN >KJB75001 pep chromosome:Graimondii2_0_v6:12:2095531:2097009:-1 gene:B456_012G018100 transcript:KJB75001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLRKASNNRGLIRTALELTKESINGIEMEYVDISPLPMLNTDLVVDGKLPPAVEAFRQKILQSDSILFASPEYNYSLTGPLKNALDWGSLSPNVWADKAAAIVSAGGGFGGARSHYHLRQVGVFLDLHFINKPEFYLNEFEPPAKFDSDGNLIDEASKEKMKQVLVALQAFTLRLQPKN >KJB75325 pep chromosome:Graimondii2_0_v6:12:4574821:4577846:-1 gene:B456_012G037100 transcript:KJB75325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRIFKFVFYLGVFFFLPIVSISKMELKDLQSDLEALRELYGLIRCGDDTSNVILGERSKLLLKDLLDGATKRVLETHIKIIAEAEHGICGNTFSSESGKWLVESQPCVSSNILNATPDVIRDLVKESKHPAFSSSKDEDYPNQLTTHEVISQQSRFTLDKSENRKKNCEFNKKSSMKQHSTAKILSKEAKQQGWQCDPLGHFYQAIGDDKNLSERNETNMKLLKSNETMRQSSICGLRGIESVASSSNSVASGDNLADLYEKGGEAVNNFSKDIDNVVKHIEYHISALRLLSKSADATKAPMPTSVYPMVQAKEHLVEKNEPSHDIDPFSDRDDLQLIRLVSQRNGKKNDSNGICHVLGQSTNDLLDEITSKRRRIQHKEMGQTCKHIVRSDSRVNKKTGNWNVSDMLEADGYKQNENTGCYVHGLRIPTKQDDITKRSPMPVKIPFPISIDRGKESSPTISKLRSSLPYQSTESKALCHKKSLAHEILPEQENGGMGILRNKILLHQQEPEESSTSGSGSSGSSDSEDYSLLSEDSSASISSRFDHGAREESSSSISSDYRDASESDRLHPRRTHKLIHPTDSEPEKAEGQRVGRLRKITNKLGLIFHHHHHHHHHHHRYNHHDSGDHSHGAHTKSLWKPLHKIFHPTNRNEVDEGKLRKAKASNAPVKHQVGHFHALVDGLMQHLRLSKKSKASKGGMGWLGKNQHKENRKVKQLHWWQMFQRQGGVKLPNRRRVKVGLMSKKKQLRVPKLR >KJB78243 pep chromosome:Graimondii2_0_v6:12:35237473:35241784:-1 gene:B456_012G185300 transcript:KJB78243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTEKLLIFLASSLTLFLLKFLHKYWWIPFKIQRALSLQGIKGPPYEFIHGNNKASTRFRYEALSKPMASLTHNIVPRVIPQIHSWINTYGKNYLTWEGNRAQLVISEPELIKEILKTNDGSFPKRKDDSSIIHKIVGEGLVTSEGAKWAKQRKLANHAFHGESLKNMNPAVIASVETMLEKWKGREGEEIEVFNEFRLLTSEVISRTAFGSNYLEGKKIFDMLTKLAILVSRNYFKTPIPGISKIWKTADEIESEKLANGIHDCVMEMVKRREKKVETGEADGFGNDFLGLLINAYRDFDEKNRFSIEDLVDECKTFYFAGQETTNSLLGWTILVLAIHTKWQEKTRQEVFEVFGDQNPNSEGIAKLKIMNMVVNETLRLYSPVAAVIRKIKKEVRLGKLVLPANLEILIPIVALHHDPQLWGDDVHLFKPERFVEGIASATKYNSAAFIPFSMGPRSCVGMSFATTETKVALSMILQRYAFTLSPTYVHAPFPVITLQPQHGIQVMLHPL >KJB75531 pep chromosome:Graimondii2_0_v6:12:5730077:5737417:1 gene:B456_012G045800 transcript:KJB75531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAAAAVAAAGGGGGQPQRQQQQGGFGQSITGIIRIAVFWYFASKFFSPKKPVDPSNLISNLFQKGEPLDMWLYLSEHQRFNDFGNEASLVWHETNIPYATWGPESTRTLSLDYHPSDTLKNNGSLYAHVFFARSGYPPDPNDPEYQPQAAFGRTHPVVKYLPKSRSDKRKSLLGNSKDGEVFEPEPQVADDTEADSKDEGPVEWISYWKPNITINLVEDFTRYAHNAVPPNIAPYLNIDPSQGNYYPTISFNEFWLLRDKLIAINETVTVLPLNLEVGPISMMKWQLFMQIDQSFQIHRSYGSMVEGEADELKRVFLEGNPYLLVITMVVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFLCQLIVFLYLLDNETSWMILASSGIGCCIEFWKIGKAMHIEVDRTGKIPMLRFRDRDSYASNKTKEYDDIAMKYLSYVLFLLVAGFSIYSLMYERHKSWYSWVLSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYLYQRWVYPVDKKRINEFGFGGEDEQTPSNTDITTAKEDEKKTN >KJB75060 pep chromosome:Graimondii2_0_v6:12:2641508:2643060:1 gene:B456_012G021500 transcript:KJB75060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVLFVYLGFIVFGIIVLRFLYCFIDNNGLPRNWPFVGMIPTLLLNIHRPHDKVAQVLRRSNGTFFYRGLWFTNTSFLATSDPENVRYILSSNSSVYLKGPEWLKQFDIFGEAIFNSDCEAWKCHRRVFHAFLNHPQYRQSLSKVLHQRIEEALVKVLEYVSGREMVVNLQDLLVRHAFDIGCITGVGFNPGLLSIEFPENRFQKAMSDTLEAAFYRYVAPDSLWKLQSWLQIGKEKKRSDAWKALDDLLTQFISTQRHKSNKSVASSGSNEENDFNFLNFYLTGHEITGPTPKDSLIRDNVIQFLFASNDTYSLTLTWFFYLISKAPMVENKIREEIKRHLSMKQVEGSLQIPSNYDELSKLTYLHAALCETLRLYPPIPFNFRTCTKQGYLPSGHRVDQNTRIIIGIHAMGRMESLWGEDCYAFKPERWIGEDGKIKRESPTRFSAFLAGPRICPGKEVSFLLMKATATAIIHNYNVHVVEGQNIGPKNSVVYQMKKGLMVRIKKRWS >KJB76678 pep chromosome:Graimondii2_0_v6:12:21749144:21751057:1 gene:B456_012G100200 transcript:KJB76678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTTTSSVGSFVAPNGLVMDKKLSSSSNWLSSLASISSSSFLSRRNVVLRRSRLPKISAVKELHFNKDGSAIKKLQVSLCFKC >KJB75002 pep chromosome:Graimondii2_0_v6:12:2187481:2187723:-1 gene:B456_012G018500 transcript:KJB75002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEESNRTMSKYFGPFGFGVGGFLLLLALAASMVVLPLMLPPLPPPPLMLLFFPVGIMAALMFLAFSPAEAVGDVLHTV >KJB75766 pep chromosome:Graimondii2_0_v6:12:7550889:7553088:-1 gene:B456_012G056200 transcript:KJB75766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLADVYHVIAATVPLYFAMILAYVSVKWWKLFTPEQCAGINKFVAKFSIPLLSFQVISENNPYKMNLKLILADFLQKLLAFLVLFALTKLSSRGGLSSIITGLSLSTMPNTLILGIPLLRAMYGDKSATLLAQIVVLQSLIWYNLLLFLFELNATKAASETTVAVSQASGGEEAPEEAQGKEGGEETQTRARKSKTMLIFLTVGKKLMANPNTHATLLGLIWASIQFRWNIKFPAIVEKSIAILSSGGLGMAMFSLGLFMASRPSIIACGIRMATVAMIMKFIAGPALMAAASTTLGLKGKLLRVAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPVALVYYLLLAL >KJB76075 pep chromosome:Graimondii2_0_v6:12:10478601:10483619:-1 gene:B456_012G070900 transcript:KJB76075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLCNIQVFAKAMPSLFAPYHEDFFVYSSDSYQVKALKLEILSSIAIDSSISSIFKEFQDYIRDPDRRFAAATVAAIGICARQLPKMAHICADGLLTLTRQELLTKDLGSRYQEADVLVQAIISIKSIIKQDPPIHEKVIIQLVRSLDSIKVPSVRAMIIWMVGEYSSLGEIIPRMLTTVLKYLAWCFTSEALETKLQILSTVTKVLSGATGEGLSTFKKVFRYLVELAECDSNYDVRDRACFLKKLLSYNFISQGSQGVYNLHIVVNCIFRKQTREVKTESINYRFYLPGSLSHMVLHTAPGYEPLPKPCSLLLDDINEPEGIRIIMKEAADFSGTDDHGTSSDPSDNESASDCGSQHSFSGSRSSGHGDDGESSEGNDNADLLLQISDNGNASENQSGSWLEEQPGSSNPGTLEQSQVRKSLSRISIGDVGKQIKPKSYSLLEPANRNDLKVDYSFSSEISSISHLLTISGIKLVNVESNKASSDNVPTLVPMEEIASLEPGQTTRRIIQVYFHHHLLPLKLALFYDGEKLPVKLRPNIGYFLKPLQMDVEAFTDKESRLPGMFEYSRSCTFTDHIEELNKESGDSLSMEDKILAICESLAMQIFVLYLLICPLLLIKMMHQVCAYGSAPKF >KJB77502 pep chromosome:Graimondii2_0_v6:12:31397860:31398245:1 gene:B456_012G140600 transcript:KJB77502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRDYCFKIGGQDKPAGDNEFCLGFLNGSSNTFGIGFSTKARVIKEIVRVHKVERLTRTMGHGFKSMR >KJB74856 pep chromosome:Graimondii2_0_v6:12:1229671:1230663:-1 gene:B456_012G011000 transcript:KJB74856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAIITTTSLLLTCSALLLGFLPTTAHARPFPTEHSVDKFMEMIIRKNIWISTSTETAMEITGNDDGDDKGKVVPSPPVTAYSLPSQKLETPCGVGSTCNGLISMVTNFGKTTKSPPSPNPAPSKHQGTIEQPIHQSSLAPDFEFKSVAAARSPPNPAPSKGQGIIEQPIQQRSHDFQLASF >KJB74957 pep chromosome:Graimondii2_0_v6:12:1729543:1731651:-1 gene:B456_012G015500 transcript:KJB74957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHSPKKFLTRLNSAVANGRIGKRFKLTERNSTFTTELRAGTATFLTMAYILAVNASILADSGGPCSVSDCVPLCSDPSVPLSNCTGSTQRVIQPDVSCKFDPVNPGYASCLEKVRKDLIVATVASSLIGCVIMGAFANLPLALAPAIGFRAKLAKLVPKPVRISSSAGIGLFLAFIGLQNNQGIGLIGYNPSTLVTLAGCPSSSRISVAPVLELANSSVSLMPGGTVSGDIFCLRNRMESPTLWLGIVGFVIIAYCLVKNIKGAMIYGIVFVTAVSWFRGTKVTAFPNTDAGNSAHEYFKKVVDIHLIQSTAGALSFDTIGKGYFWEALITFLYVDILDTTGTLYSMARFAGFTDENGDFEGQYFAFMSDAMSIVVGSLLGTSPVTAFIESSTGIREGGRTGLTALTVAGYFLLAFFFTPLLASIPAWAVGPPLILVGVLMMRAVVEIEWDDMRQAIPAFVTLILMPLSYSIAYGLIGGIGTYIVLHIGDWARDVLVKYGVVKRREIAANGAHIQQHACVKAVEVDPV >KJB76944 pep chromosome:Graimondii2_0_v6:12:26111633:26112415:1 gene:B456_012G113800 transcript:KJB76944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLIASHREGAEIYHGTTLCKQKTQELLDHFHLPKGLMPLSHINEFGYNKITGFIWLNQEKTVKYLFKELGLSSYGAEITAFIGDRQLKKLTGVKSKEMMIWITVSDISVDDTKVPSKICFANSMGLSKSYPVIAVEDEPKEMK >KJB75628 pep chromosome:Graimondii2_0_v6:12:6410815:6412615:1 gene:B456_012G048900 transcript:KJB75628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPHILAIPYPAQGHVIPLMELSHNLVSHGFKITFVNTDFNHKRVKDAFGNKVDGEGSIRLVSIPDGMEPDEDRKQLGKLTDGISKVMPLELKKLVKKINGSEDNKISCVIADVNMGWALDIAAEFEIPGVAFWPASAMLLALLFSTDKLLDDQVIDKHGTPINGENMIQLYPNTPAMHPNNFLWVCLGDFTAQKTIFEFAMRNNKAVENVEWLICNTTFDLEPGALSLIPEILPIGPLSATNQLGPLTGSFWPEDATCLKWLDQQPPGSVIYVAFGSFTVFDPIQFQELALGLELSNRPFLWVVRPDSTEGTQCDELYPIGFKARVAGRGKMVGWAAQRAVLAHPSIACFISHCGWNSTVEGLSNGIPFLCWPYFADQFINETYICDIWKIGLSFNRDERGIITREEIKGKVEQLVDDERFKAKALQLKGSVMNSVSENGSSNRIFNNFIEWMKS >KJB78240 pep chromosome:Graimondii2_0_v6:12:35214039:35216006:1 gene:B456_012G184900 transcript:KJB78240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATAAATASHPHILVFPYPAQGHMLPLLDLTHQLALRGLTITILVTPKSLPFLSPLLSTHPSSITPLVFPFPSHPLIPQGVEHVKDLGNSGNLPIMAALGKLHDPLLNWFNSQSNPPVAIISDFFLGWTQRLATQLQIPRLTFFASGAFVVSLCDYMWSNIEKLKSLSEIKLSHLPGSPVFKPENFPSLFKHYKQSDPDCEFVKDGILANTKSWGCVLNSFDALETEYIQWLKTYVGHNRVYSVGPVSLIGNRGDSDPSSGSDGVMTWLDQCPDGSVVYVCFGSQKLLRKEQVEALANGLEKSGTRFIWVVKPGTTNGFGDVPDGFEERVAGQGLVIKGWAPQLLILNHKAVGGFLSHCGWNSVLEGIVGGVMILAWPMEADQFVNAKLLVDEIGVAVRVCEGADSVPNSDELGRAVAEAMTEGGGMKTKAKDLKQKALAAVSHGESSMKDLDRVVEELGQLRG >KJB76213 pep chromosome:Graimondii2_0_v6:12:12465412:12467088:1 gene:B456_012G077700 transcript:KJB76213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWSLTVPSSPIFYKPDGSSFPSSPHISGSHSPKSPSSLPLSSPSSPPPLSSTSVMTPQSPSSSSSLKSPWSLCIRKPPQFSLGTDAAAPPSVPKRKKPPKIEKPTPVAVKLGFAAVTPRGEEEVQVDGEGYSVYCKRGRRGKIEDRYSVSIDLKGDSKQAFFGLKVAMAEVSKRCGDAIEEAIKEAYLTTDMDFLKEDVGGSTCCVTAMIHKGDLVVSNPGDCRAVLSRNGVAEALTSDHHVSRQDERARIEALGGYVDFCHGVWRIQDSLAVSRAIGNKHLKQWVIAEPETKSLKIQPEFRLFCVDIDKPEPFSACKKLAELSSWRGSFGDISVMIIQLERLVSRPV >KJB77940 pep chromosome:Graimondii2_0_v6:12:33948564:33949373:-1 gene:B456_012G169000 transcript:KJB77940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKKFKTTDINKRLAIPGKILPSLPAFNGGHAVMIQLKYGINIWPIYCTVRENGYKKPVLSVGWRKFVADNKLKTGDIITMYKDFEDEDGGSHFRVEVEKPPAASKQDRSHGTLPSSSVIAFNDHEVHGTNSGNEADRIPNKALDDGAINLEGGIPIMELPDVATDTLVDGHVIAKPSVTIFGAIMTDEMKCLGITKGTDMAEPSLFSPCMAKPEREIDFLGIVEGGDAIAYGSGPSDAAGEACCNFITHHRSITLDLVLSQPNPYAG >KJB77517 pep chromosome:Graimondii2_0_v6:12:31509025:31511304:1 gene:B456_012G141700 transcript:KJB77517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLLQGYSSAEDEETAEPDHIHYDDSSDDDDYGGGGGIKNHSAAPKRYDSSVFDFPDSSRKSDLPSAFDAFSQVSGPPEFLNHAVEEPISAGDAAQQQGRRSDRKDFKDKKDRPSGAVVEAKAQLVGIHERVRSDIEGSKPPASGVPSTKQDGAKRVTSATNPNAEDAAELLRMCLQCGIPKTYSSARGMVCPVCGDRPLADADKDSKKKGSTIKDKEKSKRMKGQSSHATWKSETEMQLRQQFD >KJB77984 pep chromosome:Graimondii2_0_v6:12:34053446:34054263:-1 gene:B456_012G171000 transcript:KJB77984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHEKGSSSWASFLRSMLSSCCRPFSKDTKFESTKTAEPSGPEAKMVAAAKHFSHKVHLG >KJB77243 pep chromosome:Graimondii2_0_v6:12:29274488:29280159:-1 gene:B456_012G127000 transcript:KJB77243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIAEAKKVVHVGVILDVNSPVGSVVNACLSMAISDFYGAHPNFRTRLSPHHRNSDDALAAAFTALELIDKEEVDAIIGPQTSKQARFVIDVGRKAQVPVISYSATSPSLSTTQNPFFVRVALDDSTQAKVITAIVQAFEWHEVILIYEDTEYGSDLIPYLAYEFQQVDIRLSSRFSFSPNDSKLRILKKLNKVMAMQTRVIVVHTSSSFGSKLFFLAKDVAMISEGYVWIITDGLSSLLDPMGVKAFGFMQGVLGIRPYIPQSKGLKNFKRKWQSRIHSSSTKLNIFGYWAYDTIWALAKAVEMIPQESSSVNGENHHRNTSQFPVIKVSKRGKMILNGLLKTKFKGLSGDFSLVGGQLQSSTFEIINVIDNEEKVIGYWTLENGLTRKPDKAKNGKSMSKYELKPPIWPGNTKDKPRGWTTPIGGKKLNIAVPHKPGFEAYLKVAQDPYTKEFIITGFSHDVFEEALALLSFPVPRKLIPFPIGPNGGTYDELLSNVKNQTYDAAVGDITILANRSQYVDFTLPYLQSDVSMVVKIKDDERNNMWIFLKPLSWDLWLTTGVAFVCTGLVVWILEHRINNEFRGSAHQQVGTVFWFSFSILVFAHREKIINNLSKFVLIIWIFVVLILTQSYTASLASMLTVQRLEPTIIDIDELKMNGDFVGYETGSFVKDLLVKQLDFDESKLRDYGTAEEFDKALSKGSQNGGVGAIFGARHCVNLFLAKYCDKYMIVGPTYNTDGLGFAFPRGSPLVPVISRAILSVIENKSKMDAMEHKYFLHLKACQKTISSSSLGLYSFGGLFIITAVASVSSLIIYFTKFLQSHWTTIHTVHHDSSFWSKISELVKHFYDQSLYPLPATNSTLQSVEALHDVENEVVDTVVIVGNVSSSTL >KJB75719 pep chromosome:Graimondii2_0_v6:12:6988555:6989090:-1 gene:B456_012G053500 transcript:KJB75719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIARKKVEEGYDCSICLEKFKEEEEGSEMPCKHVFHSDCVEKWLRINRSCPVCRFVMPDEEGESSGGGNGNLGILGSGNQEIVQSVVRVSSLANLIALAMTASGLRSEQ >KJB76746 pep chromosome:Graimondii2_0_v6:12:23581760:23584358:1 gene:B456_012G105000 transcript:KJB76746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDEDLGLDREDAFQTDDEENRAERVCCHVEDDTESDFTFPSRNSSNNCIGANNSKWPQSYRKSMDMLKGTTPQSLSFLKRSGATGSMGSSSCNNDESSLGTPLIFDTILDEEVHTPILLTSEQSVSVNGSIPSEKQCSFTQALINGINVLSGIGLLTTPYAVKEGGWLSLTLLIIFGIIACYTGVLLKRCLESSPGLQTYPDIGQAAFGVAGRLMISVILYAELYAACVEYVIMMSDNLSMIFPTTNIHFAEIYLDAPQVFAIIGTLIILPTVWLRDLSLLSYISVGGVGASILMVLCLLWVGVDQVGFHRGGTAVNLANLPISVGIYSFCYAGHSVFPNIYSSMREPSLFPFVLIGSFMFCWTVCTGVAISGFLIFGDSVESQFTLNMPMKFLASKIAVCTVVIITVSKYALTLTPIALSLEELVSSTWFRSYGVSILIRTALVISTLVVAMTVPFFAFVMALAGSLLAMLITIIFPCACYLSILGDRLNKLQITACICIAVLGLVIACLGTYSSIIRIVGRLD >KJB76061 pep chromosome:Graimondii2_0_v6:12:10154994:10158754:-1 gene:B456_012G069300 transcript:KJB76061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLAKTFDQTKPFVAVILLQFGFAGMSLISKYALNQGMSQHVLVVYRHAVATLVIAPFALVYDRKIRPKMTLSIFVKIFLLGLLEPTIDQNLFYSGMKYTSATFTSAMCNVLPAFAFTFAWIFKLEKVNIGEVHSQAKIIGTIVSVGGAMLMTLIKGPMLQLPWIKSDDGHAFSSAATHQYPIKGAIMITIGCFSWAAFIILQAITLKSYPAELSLTALICLVGTIEGCIVALAMEAGNAAVWSIHLDAKLLAAVYSGVICSGIAYYVQGMVMKTRGPVFVTAFSPLSMVVIAIFSSFILSEILYLGRVIGAIVIVIGLYLVLWGKSKDQTSSNQEIQTEGSNDLKMIPAIDETTKVSNQELVVLDLTSNKVTPTDEYV >KJB75231 pep chromosome:Graimondii2_0_v6:12:4077512:4079905:-1 gene:B456_012G033000 transcript:KJB75231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISFLFLVCSFSFHLHAVAQPRNSTILLGSSLTPTTTGKSAWLSPSGLYGFGFYPQGKGYGVGVFLAGVPQRTVVWTANRDDPPVPSTASLVLTTDGRLILQSPPRRDVYIITDASQKIAAASMLDTGNFVVFNSDGDMIWQSFQYPTTSILQGQRLSAGMELFSSVSETDQSTGIFRLKMQHDGNLVQYPVDTPDTARYAYWSSGTFGIGENVSLNLDNDGHLYMLNSTGFNIKDLTTGGYDDTNRTIYLMKIDSDGIFRLYSYRLDRNGNRSVIWSSTSNKCAPKGLCGLNGYCVDVDREANCICLPGFAPVIERNFTAGCERNFSSDSCKNGDGKIQYTIQAAENTVWEDTGYSEVTSTTREECETACYEDCNCEAAMFNDGKCTKQRLPLRYGRRDLSNSNIALIKVGISSSINEPRKHADEPKDGKGKVHTDILIIGLSLIGFAIMVLVISGALILWSRVFRYKRFSTDSNIRLCENVAPISFSFAEIEAMTDNFQEEIGKGAFGTVFKGTVMLNGLTKFVAVKRLDNISDQGEREFQNEMRIIGRTHHRNLVRLLGYCHDGANRLLIYQYMINGSLSDVLFTPERRPCWIDRVEIARDVARGLLYLHEECETQIIHCDIKSQNILMDENGQAKISDFGLAKLLKPDQTKTFTGIRGTRGYVAPEWHRKLPVTVKADVYSFGIVLLEIICCRRSVNWSLKDEEAILEEWVYDCYQAGKVGKIAGEDEEVDIKQLERMVIVGLWCILDEPTLRPSMKKVLLMLEGTVEIPVPPCPTSFFSSI >KJB75097 pep chromosome:Graimondii2_0_v6:12:2956774:2959871:1 gene:B456_012G023900 transcript:KJB75097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGVCSVQQTLTAEASNMVKQSVGLARRRGHAQVTPLHVASALLASANGLLRRACLQSHSHPLQFRALELCFNVALNRLPAFTSNPLLGPQSPHHHHPSLSNALVAAFKRAQAHQRRGTIENQQQPILALKIELQHLIISILDDPSVSRVMREAGFSSPQVKTKLEQTVSLEICSQVPSTKENPISKPQVVGPNVSNSQFLFGSSLSKPADQHRNQNISNVLNTIVNKRRNTVIIGECVDSAESVVKGVMDKFEKGQVSGDLRYLQFIKLPLFSLRNLAKDEVEQKLVELKCLVKNYIGRGVVLYLGDLKWISEFWSNNGEPRRNYYCPVEHIIMEIKRLVCGIRDSGKFFLLGISSFQTYIKCKTGQPSLETIWELHPLTISADSSLSLCLNLADSLPVDEAIGVNKNQTPLTHRLLNFDKEAEQSTASSSLRNKDFISTAIVSSGSSLPSWLQEAKINPLHHKDSVNIRDVQKEHQFWISESEHTDELGYGVPLGFDPKPDLLSNPNSSPNSASSSEATEVDIDGLNEFKIRNAENMNILCSALERKVPWHKDLVPEIVSTILECRSGTRKVKSWLNRGETKEETWLLFLGADHEAKKKIARELARCIFGSQNNMVTISSTSRTADLIEESNNKRKRDKWGSNYVERLGEALNENPHRVLLMEDMEQLNYCSMEAMKQAIENGRISISDGETVGVKDAIIIFSCKSLNALSRGGSSSRKRTKVYETEDEIKETEEMEHKNSSISLDLKIALEDYSAGDDIGIREYVDKLIVFRSVQEL >KJB76967 pep chromosome:Graimondii2_0_v6:12:26558902:26566608:-1 gene:B456_012G115100 transcript:KJB76967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKRLLQKTVHHSQQNVQRGDLKSEDLDLRVAIHYGIPSTASILAFDPIQRLLAIGTLDGRIKVIGGDGIEGLLISPKQLPYKYLEFIQNQGFLIGISNDNDIQVWNLETRHLACCLQWESNITAFCLIGGSHFMYIGDDYGILSVVKYDGEDGKLSHLPYNITANSLSEAAGFSFPDDQPIVGILPQPHSSGDRVIIAYANGLIILWDVSKSQIPFIGGGKDLQLKDAVDSDVQDDTFEHHLQEKEISAICWASSDGSILAVGYVDGDILFWNTSITSSKGERNGQNKNVVKLQLSSAERKLPVIVLQWSSNNTSRNDYNGKLFIYGGDEIGSEEVLTVLSLEWSSGMETVRCIGRVDLTLTGSFADMTLLPTAGATGGNHKADLFVLTNPGQLHLYDGTNLSTLLSEKERKQFAHPVEFPMFIPTADPSMTVGKFSALPAGGISSKCLSELASITKLCSTPTPAAGIKWPLTGGVPTQLAVSKDNSIDKLYIAGYQDGSVRIWDASYPILTLLFVLEGEVQGTNMARSSVPVTTLNFCWHTLNLAVGNECGVVSIYYLGRSDKTSFHYVTETRCEVQSFTQGKGPQCKAVFSLLKSPVQALHFGNCGAKLTVGFEFGRVAMLDVSSPSILFLTDCVSSSSSPIISLSWLEFKNVHSHVKSPEHSETDVAIKPEEEIIFILTKDAKIISINGANGEMIHPHPWHLKKEETALSMYIIENSFPLSILNCEKRSEESNKDTTAKIEAELDASSTGTEHPSSSEAASSLEHSLDTLLLLCCENSLRLYSMKSVIQGKDKTIHKVNHTRPCCWTTTFKKDGRVCGLLLLFQTGDMEIRSLPDLELVKESSIKSILRWNYKANMDKLMTSDNAQVALTSGCEMAFISLLAGANDFRIPESLPCLHDRVLAAAADAALSFSSNQKKKQGMAPGILGGIVKGFKGGKVNTSAMPESNFTHLESKFSKTPFIDTSQNVNKHEEMELDIDDIEIDDTPPVTSSSSHEAVQTKGGKETDREKLLGAADDSTPRVRTAQEIIAKYRKAGDASSAAAHARNKLVERREKLERINRRTEELQSGAENFASLADELVKAMENRKWWQI >KJB76478 pep chromosome:Graimondii2_0_v6:12:15786346:15786758:-1 gene:B456_012G091300 transcript:KJB76478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKWLMITWIFALIFSNVVQASNRLSVGFEPPKYVTITGCNNDCDTACCNCDIERQPPMCTYCCKEEMSATAKQDHNNGLKFSRP >KJB77461 pep chromosome:Graimondii2_0_v6:12:31456214:31457908:-1 gene:B456_012G141300 transcript:KJB77461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNCFNHRVFLLTLLLPIVVILVEGQGTRVGFYSTSCPKVESIVSSTVQSHFKSDPTIAPGLLRMHFHDCFVQGCDGSILIDGPNAEKTAPPNQLLRGYEVIDDAKTQLESVCPGVVSCADILALAARDSVVLTSGASWAVPTGRRDGTVSQSSDANNLPGFRDTVNVQKQKFADKGLNTQDLVTLVGGHTIGTAACQFFSYRLYNFTSTGADPSINPAFVSQLQALCPQNGDGSRRIGLDNGSANKFDTSFFANLRDGKGILESDQRLWTDNSTKTFVQRFLGVRGLLGLTFNVEFGKSMVKMSNIGVKTGTAGEIRKVCSKVN >KJB75065 pep chromosome:Graimondii2_0_v6:12:2678713:2679430:1 gene:B456_012G0218002 transcript:KJB75065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKLGNNFVNSETIDDDETIFFKIGFAIKIEDFRPSHSSSTKLVGQKVDSGGLYRLLQCASKGDKAGVIQELDKGVEPNGADYDRRTALHLAACEGWIEVVDLLLEK >KJB77203 pep chromosome:Graimondii2_0_v6:12:28949510:28952626:1 gene:B456_012G125400 transcript:KJB77203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAFWGTRVMEIVKKHDSGGLVWKRIKLTSTRKANAKKRLHRVWQNEAVLRACAEPPPSKATDVAAGGGEKGVEQST >KJB75223 pep chromosome:Graimondii2_0_v6:12:4051404:4054386:1 gene:B456_012G032700 transcript:KJB75223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVWALLLLLSSLCVKQSSSIISLGSSLSSATQSIPWRSPSGRFAFGFYSQGGGLSVGVWLDGRGKNDNKVVWTANRDDPPLTSNVTLILNDKGVLLSIAVSGEKKFIANPNNSAVSVFSASMLDSGNFVLYDKDNHTIWESFEHPTDTLLGGQTLLTNHELISSSSENDHSPGRFHLSMQLDGNLVLYPLQSEDSPITAYWSTKTFGMNLSLRLFLSATGLLELINNDDSSIYDTINRSFFPEPTYNDYNESSINNNNSTVFSASLDVDGNFRLYAYLFQRNGGFQTYPLLSALLNSCKVKGFCGFNSYCTFNDNQPYCACLPGTDFIDPLQNNLGCKRNYSEAHCKGGKANIPFYNITSMQGIVWTTGIFYSKEQLSKDACSRTCLEDCNCEAAQFENGICRKQKLPLRYLLRETDAQVNSTVLLKVGIISLEADNGTVPSELKPPKVLIKRKNKTVLILLLTFSLVACSCALLTISGVYIYKFRVLRYKRLLELGNLGLTEELTLTLFSYKELKRATNGFKEELGKGSFGAVYKGSLDRGRQLIAVKRLEKLVEEGEKEFQAEMRAIGRAHHKNLVRLLGYCVEDSKRLLVYEYMGNGSLADLLFKSTKPPDWDERTRIALDVARGILYLHDECETPIIHCDIKPQNILMDDLWRAKISDFGMAKLLMGDQTRTFTGARGTRGYVAPEWQKNTPISVKVDIYSYGVVLLETLFCRRNLDPNVSKPEEILLSTMVYGCLVEKELDKLMVGEEVDKRSLERMVMVALWCIQDEPALCPSIKTVVMMLEGITDICIPPCSTACFI >KJB77978 pep chromosome:Graimondii2_0_v6:12:34034345:34038449:-1 gene:B456_012G170700 transcript:KJB77978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGGGGGAAPPPKQEELQPHPVKDQLPNIAYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTSLVPQMGGGNEEKAKMIQTLLFVAGVNTLFQTFFGTRLPAVIGGSYTFVPTTISIILAGRYSDIVNPEEKFEKIMRGIQGPLIVASTLQIVLGFSGLWRNVARLLSPLSAVPLVAMSGFGLYELGFPVLAKCIEIGLPQIILLLIFSQYIPHMVRGERHVFDRFAVIFSVVIVWIYAHLLTVGGAYKNTGPKTQLSCRTDRAGIIGAAPWIRVPYPFQWGAPSFDAGESFAMMAASFVALVESTGTFIAVSRYASATPLPPSILSRGIGWQGVGILFSGIFGTGNGSSVSAENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPPIIAALYCLFFAYVGSAGLGLLQFCNLNSFRTKFILGFSVFMGLSIPQYFNEYTAVNSYGPVHTRARWFNDMINVPFSSEAFVAGLLAMFLDVTLHRKDNATRKDRGMHWWDKFRSFKTDTRSEEFYSLPFNLNKFFPSV >KJB76103 pep chromosome:Graimondii2_0_v6:12:10617054:10618752:-1 gene:B456_012G071800 transcript:KJB76103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRVENGGSCEVGKSGWGQSLPVPSIQEIVRSDFQSVPQRYIHENKGGPIISEDLADSLEIPVIDFSFLAKGDEDEVQKLHLACKDWGFFQVINHGVKEEILEKMKAAVAAFFELPFQEKKKYAKAENEIEGYGQNFVVSEHQKLDWSDMIFLFTFPSQNRNFKFWPLSLPGFKEALEEYSREMQKLAEELQANFSVLMGLKRDGLKRLQGGELKQGIRMNYYPICSRPDLVLGISPHSDGTSFTLLLQDDDVTGLQIKHNEAWVPVKPIPNSLIVNIGDATEIQSNGMYKSIEHRAVTNEKKPRISIATFMFPDDEQEIGPVETMIDDQNRPKLYRNIKYVDYVREKFSRKMEGKAHTQFAKLRATSDH >KJB78267 pep chromosome:Graimondii2_0_v6:12:35361621:35362088:-1 gene:B456_012G186800 transcript:KJB78267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHNFMSNLLLCYFQPLFSKPKTWELAPKLNMEINAAVGDGEKLSKSDVKVVMERLGMRYEIERNDGADELLGEMFGDEEPALEEIKEAFDVFDDNKDGFIDTTELQRVLICLGVKGKMVTVEECKRMIRVADKDGDGRVDINEFLKFMQTCFC >KJB78033 pep chromosome:Graimondii2_0_v6:12:34324845:34333432:1 gene:B456_012G173600 transcript:KJB78033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAILLLILIAVDHVNPTTSLPLSTNSRWIVDDQTDRRVKLACVNWPSHLEPVFAEGLSKRSMDSIAEQIVSMGFNCVRLTWPLYLVTNDSLSSLTVRRSFQNLGLMEPIAGIQANNPSVIDVSLIQAFQAVVSSLGKNNVMVILDNHLSKPGWCCGYFDGDGFFSDPYFNPDLWVTGLTRMATLFNGVTNVVGMSLRNELRGPKQNIDDWYRYMQKGAEAVHAANPNVLIILSGLSFDKDLSFIKNRPLILTFSRKLVFEMHWYGFSDGQAWVTGNPNRVCGRVTNDMKRMSGFLVDKGYPLFVSEFGVDLRGTNVNDNRYLNCFLGMVAELDLDWALWTLVGSYYLREGVIGFNEYYGILDWNWIDMRNSSFVERISALQSPFRGLGLLETELHKVIFHPLTGLCVLRKPSGSSLSLGPCTDSEAWNYSPQKTLELKGTQLCLQADEPGTMVKLGTICGGSNSRWETISDSKMHLSLKLGNVTSVCMDIDSNNDIVVAGCKCLNDDSQCDPKSQWFKLVNSTRSGNGGNSFVDFDSITDFGKRVFMESFGWFDIKISLDRFRASLALLLRLKGTF >KJB75243 pep chromosome:Graimondii2_0_v6:12:4165615:4167438:-1 gene:B456_012G034200 transcript:KJB75243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRASGYWWCWPMKCSSSRSTVNKNVIMWKPPPPGWMKFSVAGVIMEDEAGSGGVLRDNKGVAYAMFSRPIEATRLGKAELRAIKIVVEMFMSMGWHEKVHLLTCSSGERLKLVRMVWRNPSKFAPKNTAN >KJB75042 pep chromosome:Graimondii2_0_v6:12:2498773:2518057:1 gene:B456_012G020500 transcript:KJB75042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSATLRVSSFLRHNLFLAPSRASALRRNVSHLRFLSSSPFRRTVHHPVKARRGGGQEDDGGLGNGSLTAVVKDGTGDGRDGRVLPFELHKEAMDSYMAYALSVLLGRALPDVRDGLKPVHRRILYAMHELGLSSRKPFKKCARVVGEVLGKFHPHGDNAVYDSMVRMAQDFSLRFPLIQGHGNFGSIDADPPAAMRYTECRLEVDFVPNFDSSQKEPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPEASLQELLEYMPGPDFPTGGLIMGNLGILAAYRTGRGRIVVRGKADIELLDSKTKRSAVIIKEIPYQTNKSSLVEKIAELVENKTLEGINDIRDESDRSGMRVVIELKRGADPSIVLNNLYRLTALQSSFNCNMVGILDGQPKQMGLKELLQAFLEFRCSVVERRARYKLSQAQDRRHIVEGIVVGLDNLDRVIDIIKEAKGNAAASAGLKEEFNLSDKQAEAVLDINLRRLNLLERKKFVDESASLMEQISKITELLSSRKNILQLIEQEALELKNKFSSPRRSILDDSDGGQLEDIDVIPNEEMLLAFSEKGYVKRMKPSTFNLQNRGTIGKSVGKLRVNDAMSDFIVCRSHDHVLYFSDRGIVYSAYAYKIPESSRTAAGTPLIQIISLSEGERITSIVPVSEFAEDQFLVMLTVNGYIKKVSLNYFSAIRSTGIIAIQLVPGDELKWVRCCTNDDLVAMASQNGMVILSSCDIIRALSRNTRGAIAMRLKEGDKMASMDIIPAPRHTDLDKAAEDSISYDKGGSGPWLLFVSENGYGKRVPLSSFKKSPLNRVGLIGYKFSSEDRLAAVFVVGFSLTENGESDEQVVLVSQSGTVNRIKVRDISIQSRYARGVILMRLEHAGKIQSASLISASAEEAAELLPGMQSEEAATNAGTAEGISMSS >KJB76373 pep chromosome:Graimondii2_0_v6:12:14439270:14440371:1 gene:B456_012G084900 transcript:KJB76373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKNPPPWKKKQTQAGAILFEENEGKRRFCGYKSERFTDAEIHYHSTFKEILAVKRGIESFQFHLVGYDFLVEMDMSSFPKMVKFKQKQVPHPQLLRWAKWFFRYHFDVKHIQGKTNVLVDILSRPTIKPAESLFLKPLGLYPKYPFINPINLQFTKFPKELKWMFWYLTHLFHIGIIFPTQDLQHFLTEAIHDEFSPELKNLVTFFKWFYPLEQWADMIMFEFIKNTRAQWIMIIFYKPQYFMQNVPATQLRAFPSAWIHKVFYE >KJB74792 pep chromosome:Graimondii2_0_v6:12:878469:879700:1 gene:B456_012G008000 transcript:KJB74792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKADTQSAGAVAAADASSQFSMDPLFHTLKVVPYSFLRPPRLRLKIPSVSLPSAMTVFSLVLLTYFMVVSGFVYDVIVEPPGIGSTQDPATGAVRPVVFLPGRVNGQYIIEGLSSGFMFVLGGIGIVLLDLALDKNRARSVKVSYAIAGISSVVIAYVMTTLFVRIKIPGYLR >KJB77420 pep chromosome:Graimondii2_0_v6:12:30908007:30909509:1 gene:B456_012G135900 transcript:KJB77420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPALQLALVALLHLTLTNAATSFPDFQLLNVEQVLVGVLAQPSPLQTSEYNHHELLNVTESEDQWKWKLKLIHRDWLSTENATVSRNHSRRFHARIQRDVKRVASLLLRIPGGVIHDGEAAHGVNDFGSDVVSGMDQGSGEYFVRIGVGSPPRSQYMVIDSGSDIVWVQCKPCNQCYKQSDPVFDPADSASYGGVPCSSSVCDRIENSGCHAGRCRYEVMYGDGSYTKGTLALETLTFGPTVVQDVAIGCGHVNQGMFVGAAGLLGLGGGSMSLVGQLGGQTGGAFSYCLVSRGTNSSGSLVFGREAMPVGAAWVPLLRNPQAPTFYYIGLSGLGIGGIRVPISEDFFQLTELGYGGVVMDTGTAVTRFPTIAYNTFRDAFIEQTANLPRVSGVSIFDTCYNLSSFVTVRIPTVSFYLSAGPIVTLPANNFLIPVDSVGTFCFAFASSGSGLSIIGNIQQEGIQISFDGANGYVGFGPNVC >KJB75864 pep chromosome:Graimondii2_0_v6:12:8711631:8712762:1 gene:B456_012G061800 transcript:KJB75864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKKEGEILYKKGLWTMEEDKLLIDYVKVHGKGQWNKIANRTGLKRSGKSCRLRWMNYLSPNVKKGDFSEEEEDLIIRLHKLLGNRWSLIAKRVPGRTDNQVKNYWNSHLRKKLGIIDQNETRIDFCQSSKQVKVCHVDEAATDPSPGHGTTTETTGITVDQSNQQEAIDHRVLNNTTQESMTSESYINTFWIPDHDYELSTLAMIDHFHEYSSFHLS >KJB77834 pep chromosome:Graimondii2_0_v6:12:33281857:33283840:-1 gene:B456_012G160100 transcript:KJB77834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLAIRTNAGKAKVCETLLGIGGAMVFTFYKGININIWSTNVNLLKHHHRQQVGLGPSYHGTGHFIIGVFFSLLSCAKMSVEFPYLYSSTALMRLMGSIQGALYAKLGWNVRLLAVAFVGIIGSALFVFLVSWAVRLKGPLYAAIFNPLGLVLVAVVGSLLHDEKLHLGSIIGGLMIICEVYIYMVLWGRAKEMKQQTQVDEESNEIVEDEQEHEGTETPPVII >KJB78153 pep chromosome:Graimondii2_0_v6:12:34941221:34941691:-1 gene:B456_012G181000 transcript:KJB78153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSLCNTCFLSSTACFPKHRSRKPISTATPKIFAARRSDNDDLSCSGVGGGGRRRLVDENMIVLRKRIHEIKMIERNYEPPADWMEWEKRYYTSYDSIICDVLGVLQSQLMNTRPSLALGMVAVMMLSLPASAAFVVVHAVEMIKRIMETGMHI >KJB75207 pep chromosome:Graimondii2_0_v6:12:3823954:3824568:-1 gene:B456_012G031300 transcript:KJB75207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSITSTLFSQDLYPRKFLLHSSLHPIESPAISPAQQNNSPINDNFHSNATIILLVLICTIISFLGLFCMVKCAIRGSSSVASESGVNPLAKLANKGVERKALNSFPTIKYATELKLTSLDTACAICLSEFAAEERLRILPKCNHGFHTQCIDNWLSSHSSCPTCRHCLIETDQKSDNCSPIGSLEQPLPVQESIINVNVEEN >KJB75374 pep chromosome:Graimondii2_0_v6:12:4852829:4856664:-1 gene:B456_012G039000 transcript:KJB75374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWVEMSLLCSKICLLASKHILNHSVSNTGVHQVWEFCPESRTPKELSKVEMARQSFRDNRFHKKHSSDLLMRIQFAEEKQSVTNLPQTKLEEFEDVKEEAVMTTLRSALDFYSTIQADDSHWPGDYGGPMFLLPGLVITLYVTGALNIVLSKEHQYEILRYLFNHQASNVHCVCLRFYDFHLLSRYYLFVFLQNRDGGWGLHIEGPSTMFGTVLNYVSLKLLGEGAEGGERAIEKARKWILEHDSFQKFIIILYNSVGRMWCHCRMVYLPMSFLYGKKFMGPITPTILS >KJB76533 pep chromosome:Graimondii2_0_v6:12:16634587:16636262:1 gene:B456_012G093400 transcript:KJB76533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCQVHEQRDSKEADILIKGDANLYDDRMLYTSSNRWLQQKYIMGRAVGKDPITFTYAYLKACRSQICSSNSKPCSKVFL >KJB77844 pep chromosome:Graimondii2_0_v6:12:33306236:33308676:1 gene:B456_012G160600 transcript:KJB77844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQARGGAMAVAAATVVLCLLLSHFELAQAATYTVGGTGGWAFNVAGWTKDKRFKAGDTLVFKYNPSIHNVVAVNRAGYSSCTTPKGAKVYQSGKDQIKLVKGQNYFICNYSGHCQAGMKIAVTAA >KJB75441 pep chromosome:Graimondii2_0_v6:12:5283170:5284854:-1 gene:B456_012G042100 transcript:KJB75441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLGTKLKAWHGHKKYPVVVLVMFVVSVSVALVFLFNKYEENPIPVPGLPSDRKWNRFESLVQFNPKREFRNGTDLIWQIPDSPEAVLFLAHGCSCRAVNFWDKSPKCPECVGLPEDRLLVLHALARKFAVLTISSVGECWTFGKERLIVEDILTWWVKRQNLGKLPLVALGASSGGYFVSAIANDLKFSSITLMIAEGLFDRMDIKEDYPPTLFVHMPKDTHRQQKITEFMQVLRSKRVDVAEIKCMELPLSPTFLSDRIPGVGQTISAMLFDLFREKGFVDKNGYMKRDGRATGWEDAIQDSKPNLLENHLVHPVQEELNLAFAYHEMTSLQSEDILKWFESHMT >KJB78878 pep chromosome:Graimondii2_0_v6:13:1751099:1756989:-1 gene:B456_013G024000 transcript:KJB78878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLSATVPFQNPFSTNRSRIGSNNIGSKPNFKAKELALELSSSKALHVPSATTDKANALPLIDTVSPPTASKDRVKRHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTERILRQVVEQLNKLVNVIKVDDISMEPHVERELMLIKLNTNPTTRAEIMWLVDIFRARIVDTSEHFLTVEVTGDPGKMAAVQRNLSKFGIKELARTGKIALRREKMGETAPFWGFSAASYSDLEGRSTNGVVIRDAKRLVNGDINTYSKGDVYPVEPFDGFPVNQVLDAHWGVLYDEDSCGLRSHTLAMVVNDSPGVLNVVTGVISRRGYNIQSLAVGPAEKDGLSRITTVIPGTDETLAKLFQQLRKLVDLYEVQDMTHSPFAERELMLIKVAVSPAARRDILDIANIFRAKAVDVCDHTITLELTGDLNKMVALQKLLEPYGICEVARTGRVALVRESGVDSTYLRGYPLPF >KJB78879 pep chromosome:Graimondii2_0_v6:13:1751141:1753031:-1 gene:B456_013G024000 transcript:KJB78879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETAPFWGFSAASYSDLEGRSTNGVVIRDAKRLVNGDINTYSKGDVYPVEPFDGFPVNQVLDAHWGVLYDEDSCGLRSHTLAMVVNDSPGVLNVVTGVISRRGYNIQSLAVGPAEKDGLSRITTVIPGTDETLAKLFQQLRKLVDLYEVQDMTHSPFAERELMLIKVAVSPAARRDILDIANIFRAKAVDVCDHTITLELTGDLNKMVALQKLLEPYGICEVARTGRVALVRESGVDSTYLRGYPLPF >KJB78888 pep chromosome:Graimondii2_0_v6:13:1774871:1777372:-1 gene:B456_013G024300 transcript:KJB78888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEPLLQQQLDHHPSLAYSNHSSSKILTLPTVLTLGRVAAVPLLIFTFSVDSWWGRTATTSIFMAAAITDWLDGYIARRMRLCSAFGALLDPVADKLMVAATLVLLCSRPLNIAVFGQVPWLLNVPSIAIIGREVIYTIAGFVLYLYELFINILQIVDILFVDNYVRC >KJB78885 pep chromosome:Graimondii2_0_v6:13:1774808:1777492:-1 gene:B456_013G024300 transcript:KJB78885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEPLLQQQLDHHPSLAYSNHSSSKILTLPTVLTLGRVAAVPLLIFTFSVDSWWGRTATTSIFMAAAITDWLDGYIARRMRLCSAFGALLDPVADKLMVAATLVLLCSRPLNIAVFGQVPWLLNVPSIAIIGREITMSAVREWAASQNGCCRK >KJB78887 pep chromosome:Graimondii2_0_v6:13:1775753:1777234:-1 gene:B456_013G024300 transcript:KJB78887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEPLLQQQLDHHPSLAYSNHSSSKILTLPTVLTLGRVAAVPLLIFTFSVDSWWGRTATTSIFMAAAITDWLDGYIARRMRLCSAFGALLDPVADKLMVAATLVLLCSRPLNIAVFGQVPWLLNVPSIAIIGREITMSAVREWAASQNGKLLAFDT >KJB78886 pep chromosome:Graimondii2_0_v6:13:1774871:1777372:-1 gene:B456_013G024300 transcript:KJB78886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEPLLQQQLDHHPSLAYSNHSSSKILTLPTVLTLGRVAAVPLLIFTFSVDSWWGRTATTSIFMAAAITDWLDGYIARRMRLCSAFGALLDPVADKLMVAATLVLLCSRPLNIAVFGQVPWLLNVPSIAIIGREITMSAVREWAASQNGKLLAAVAVNKLGKWKTATQMAALTILLATRDSSLGETGILVASGVIFLYLSAGLSVMSLVVYMEKIRKVL >KJB80929 pep chromosome:Graimondii2_0_v6:13:31242549:31246376:1 gene:B456_013G122000 transcript:KJB80929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKDLIAKDVTQLIGKTPLVYLNKVVEGCVAPIAAKLEAMEPCSSVKDRIGYSMIADAEEKGIIKPGKSVLIEPTSGNTGIGLAFMAAAKGYKLVITMPSSMSLERRMVLRAFGAELVLTDPARGMKGAVLKAEEIHAKTPNSFILQQFENPANPKIHYKTTGPEIWKGTGGRVDILVSGIGTGGTVTGAGKYLKEQNPNIKLIGVEPVESAVLSGGKPGPHKIQGLGAGFIPGVLDVNLLDEVIQISSEEAVETAKQLALKEGLLVGISSGAAAAAAVKIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAENMVFEP >KJB80930 pep chromosome:Graimondii2_0_v6:13:31242569:31246376:1 gene:B456_013G122000 transcript:KJB80930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKDLIAKDVTQLIGKTPLVYLNKVVEGCVAPIAAKLEAMEPCSSVKDRIGYSMIADAEEKGIIKPGKSVLIEPTSGNTGIGLAFMAAAKGYKLVITMPSSMSLERRMVLRAFGAELVLTDPARGMKGAVLKAEEIHAKTPNSFILQQFENPANPKIHYKTTGPEIWKGTGGRVDILVSGIGTGGTVTGAGKYLKEQNPNIKLIGVEPVESAVLSGGKPGPHKIQGLGAGFIPGVLDVNLLDEVIQISSEEAVETAKQLALKEGLLVGISSGAAAAAAVKIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAENMVFEP >KJB80931 pep chromosome:Graimondii2_0_v6:13:31242549:31246376:1 gene:B456_013G122000 transcript:KJB80931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKDLIAKDVTQLIGKTPLVYLNKVVEGCVAPIAAKLEAMEPCSSVKDRIGYSMIADAEEKGIIKPGKSVLIEPTSGNTGIGLAFMAAAKGYKLVITMPSSMSLERRMVLRAFGAELVLTDPARGMKGAVLKAEEIHAKTPNSFILQQFENPANPKIHYKTTGPEIWKGTGGRVDILVSGIGTGGTVTGAGKYLKEQNPNIKLIGVEPVESAVLSGGKPGPHKIQGLGAGFIPGVLDVNLLDEVIQISSEEAVETAKQLALKEGLLVGISSGAAAAAAVKIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAENMVFEP >KJB82499 pep chromosome:Graimondii2_0_v6:13:50881071:50882399:1 gene:B456_013G199100 transcript:KJB82499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKNDHFIFYIAFATMICCYFLPNRSWVEAQRGGFSLELIHRDSPKSPFFNQLETTSERTINALRRSVVRLNRFAPTYISKTGAQSDIRSNQGVYLMNISIGTPPFEILAIADTGSDLIWTQCHPCAHYKSFSNGDLAIDTITLGSSTGGPTITLPKTIIGCGHNNEGSFSEKGSGIIGLGGGAVSLISQMSSLISGKFSYCLVPFSSESGISQINFGTNAVVSGSGVVSTPLIKKPPEVFYFLTLEAISVDDDKLEFTGSSLGTSEGNIIIDSGTTLTLFPQGFYSKLDASVARKIDAKRIDAPIESLRLCYEANRTDELRVPNITMHFRNADVKLSPLNTFLLVSEGISCFNFSSFQGLAIYGTLAQMNFLVGYDIENQAVSFKPTQCS >KJB80477 pep chromosome:Graimondii2_0_v6:13:17901788:17903697:1 gene:B456_013G099400 transcript:KJB80477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSSLGIVVVVVLALIGSTQAQLQMYFYGTSCPKAEHIVKDYVKQHIPNAPSLAAALLRMHFHDCFVRGCDASLLLNSTTGQAEKNATPNLSLRGFDFIDRVKSLLEAECPGVVSCADIIALAARDSVVTIGGPFWNVPTGRRDGVISNITEALANIPSALSNFTTLLSQFNNLGLNTTDMVLLSGGHTIGISHCPAVSPRLYNSTGPGGIDPTMDSEYAENLKANKCKTASDNTTILEMDPGSRKTFDLSYYSLLLKRRGLFQSDAALTTDSTSLAFINQLLSSPTQFFFDEFGKAMEKMGRINVKTGSEGEIRKQCALVNS >KJB82092 pep chromosome:Graimondii2_0_v6:13:46523710:46525830:1 gene:B456_013G175900 transcript:KJB82092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDLGLAFYITIGSIAFIISKIILTVLLYKRWKRKNMIYEDGFSRGKMVMFRSPLLQSLTSDVFLKKTLKLSNKDIIGAGGYGTVYRLTINETMAFAVKKLNRGPPDRDTGFERELEAMGDIKHRNIVNLLGYCTTPYYNLLLYELMPNGSLDAFLHGKARESKILDWPTRYKIAVGAARGVAYLHHDCIPHIIHRDIKSSNILLDQNMEPRVSDFGLATLMEPDKTHVSTLVAGTFGYLAPEYFDTGRATAKGDVYSFGVVLLELLTGKKATDEAFLEEGTKLVTWVKGVVEERREEYVVDNSLVSCPVDEVNKTFNIALMCLETDPSKRPTMAEVVKMLEQIKSDKL >KJB83945 pep chromosome:Graimondii2_0_v6:13:56292721:56293251:-1 gene:B456_013G243700 transcript:KJB83945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCLSSSCSCKHASPNTVRLVHLNGYVEDLDYPISVSQAIGNPPKQFLCTAAQLLSAGCQPLSPDAPLQPGQLYFVLPFSTLKGDTSPLDMAALVKRLTERAKSHRALPETRCLSRTTMTMNGRTRRRETTVHGGVRRSYRVRSWKPILDTIREMSFSRRSESDIQEIDFITSNV >KJB78862 pep chromosome:Graimondii2_0_v6:13:1765815:1769189:1 gene:B456_013G024100 transcript:KJB78862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFDPRDADDARYALNGRDMDGSRMIVEFAKGVPRGSGGSRDYPGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPYRGRSRSRSYSRGRSDSRSRSPVKRDRSYEREDRISRSPKRHKGSPSPSQGRKHSPAPDERRPQEGGGPSPKDRRHANGSDYSASPRGRSRSPDADAGAEDGAYRSSRKENGHSRSLSPPPRDDRSPVYDDDDDDDNHASTRRGESN >KJB78867 pep chromosome:Graimondii2_0_v6:13:1765863:1769189:1 gene:B456_013G024100 transcript:KJB78867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDYAFVEFSDPRDADDARYALNGRDMDGSRMIVEFAKGVPRGSGGSRDYPGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPYRGRSRSRSYSRGRSDSRSRSPVKRDRSYEREDRISRSPKRHKGSPSPSQGRKHSPAPDERRPQEGGGPSPKDRRHANGSDYSASPRGRSRSPDADAGAEDGAYRSSRKENGHSRSLSPPPRDDRSPVYDDDDDDDNHASTRRGESN >KJB78866 pep chromosome:Graimondii2_0_v6:13:1765863:1769189:1 gene:B456_013G024100 transcript:KJB78866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDYAFVEFSDPRDADDARYALNGRDMDGSRMIVEFAKGVPRGSGGSRDYPGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPYRGRSRSRSYSRGRSDSRSRSPVKRDRSYEREDRISRSPKRHKGSPSPSQGRKHSPAPDERRPQEGGGPSPKDRRHANGSDYSASPRGRSRSPDADAGAEDGAYRSSRKENGHSRSLSPPPRDDRSPVYDDDDDDDNHASTRRGESN >KJB78869 pep chromosome:Graimondii2_0_v6:13:1765863:1769189:1 gene:B456_013G024100 transcript:KJB78869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSRMIVEFAKGVPRGSGGSRDYPGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPYRGRSRSRSYSRGRSDSRSRSPVKRDRSYEREDRISRSPKRHKGSPSPSQGRKHSPAPDERRPQEGGGPSPKDRRHANGSDYSASPRGRSRSPDADAGAEDGAYRSSRKENGHSRSLSPPPRDDRSPVYDDDDDDDNHASTRRGESN >KJB78863 pep chromosome:Graimondii2_0_v6:13:1765852:1769189:1 gene:B456_013G024100 transcript:KJB78863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRRGGTRLYVGHLSSRTRSRDLEDMFSRYGRVRDVDMKRDYAFVEFSDPRDADDARYALNGRDMDGSRMIVEFAKGVPRGSGGSRDYPGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLRPRSYSRSPSPYRGRSRSRSYSRGRSDSRSRSPVKRDRSYEREDRISRSPKRHKGSPSPSQGRKHSPAPDERRPQEGGGPSPKDRRHANGSDYSASPRGRSRSPDADAGAEDGAYRSSRKENGHSRSLSPPPRDDRSPVYDDDDDDDNHASTRRGESN >KJB78860 pep chromosome:Graimondii2_0_v6:13:1765863:1769189:1 gene:B456_013G024100 transcript:KJB78860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDYAFVEFSDPRDADDARYALNGRDMDGSRMIVEFAKGVPRGSGGSRDYPGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPYRGRSRSRSYSRGRSDSRSRSPVKRDRSYEREDRISRSPKRHKGSPSPSQGRKHSPAPDERRPQEGGGPSPKDRRHANGSDYSASPRGRSRSPDADAGAEDGAYRSSRKENGHSRSLSPPPRDDRSPVYDDDDDDDNHASTRRGESN >KJB78864 pep chromosome:Graimondii2_0_v6:13:1765852:1769189:1 gene:B456_013G024100 transcript:KJB78864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRRGGTRLYVGHLSSRTRSRDLEDMFSRYGRVRDVDMKRDYAFVEFSDPRDADDARYALNGRDMDGSRMIVEFAKGVPRGSGGSRDYPGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPYRGRSRSRSYSRGRSDRSRSPVKRDRSYEREDRISRSPKRHKGSPSPSQGRKHSPAPDERRPQEGGGPSPKDRRHANGSDYSASPRGRSRSPDADAGAEDGAYRSSRKENGHSRSLSPPPRDDRSPVYDDDDDDDNHASTRRGESN >KJB78865 pep chromosome:Graimondii2_0_v6:13:1765863:1769189:1 gene:B456_013G024100 transcript:KJB78865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDYAFVEFSDPRDADDARYALNGRDMDGSRMIVEFAKGVPRGSGGSRDYPGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPYRGRSRSRSYSRGRSDSRSRSPVKRDRSYEREDRISRSPKRHKGSPSPSQGRKHSPAPDERRPQEGGGPSPKDRRHANGSDYSASPRGRSRSPDADAGAEDGAYRSSRKENGHSRSLSPPPRDDRSPVYDDDDDDDNHASTRRGESN >KJB78861 pep chromosome:Graimondii2_0_v6:13:1765815:1769189:1 gene:B456_013G024100 transcript:KJB78861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRRGGTRLYVGHLSSRTRSRDLEDMFSRYGRVRDVDMKRDYAFVEFSDPRDADDARYALNGRDMDGSRMIVEFAKGVPRGSGGSRDYPGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPYRGRSRSRSYSRGRSDSRSRSPVKRDRSYEREDRISRSPKRHKGSPSPSQGRKHSPAPDERRPQEGGGPSPKDRRHANGSDYSASPRGRSRSPDADAGAEDGAYRSSRKENGHSRSLSPPPRDDRSPVYDDDDDDDNHASTRRGESN >KJB78868 pep chromosome:Graimondii2_0_v6:13:1765815:1769189:1 gene:B456_013G024100 transcript:KJB78868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRRGGTRLYVGHLSSRTRSRDLEDMFSRYGRVRDVDMKRDYAFVEFSDPRDADDARYALNGRDMDGSRMIVEFAKGVPRGSGGSRDYPGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPYRGRSRSRSYSRGRSDSRSRSPVKRDRSYEREDRISRSPKRHKGSPSPSQGRKHSPAPDERRPQEGGGPSPKDRRHANGSDYSASPRGRSRSPDADAGAEDGAYRSSRKENGHSRSLSPPPRDDRSPVYDDDDDDDNHASTRRGESN >KJB79450 pep chromosome:Graimondii2_0_v6:13:4548197:4553260:-1 gene:B456_013G050300 transcript:KJB79450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRRLLHVVLSFWLLLCIGCSDGRFVVEKNSLTVTSPEKIKGTYDSAIGNFGIPQYGGSMAGTVVYPKDNQKGCKAFDEFSISFPSKPGSLPTFVLVDRGDCFFALKVWNVQKAGASAVLVADDIEEALITMDTPEEDSSSSKYIENITIPSALIQKSFGEALKKAISGADMVNVNLDWRESVPHPDDRVEYELWTNSNDECGVKCDMLMEFVKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTISKQCKSQCINHGRYCAPDPEQDFSSGYEGKDVVIENLRQLCVFKVANESNKSWLWWDYVTDFQIRCPMKEKKYNKECADAVIKSLGLDSKKIEKCMGDPNVDADNPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLAKGAVLKAICSGFEETTEPAVCLSGDVETNECLDNNGGCWQDKAANLTACKDTFRGRVCECPLVDGLQFKGDGYSHCEASGPGRCNINNGGCWHKSQDGHTYSACVDTGDVKCQCPPGFRGDGINNCEDIDECKEKKACQCPECSCKDTWGSYECTCSGDLLYIRDHDTCISKSGTEVKSAWAAVWVILIGLAMASGGAYLVYKYRLRSYMDSEIRAIMAQYMPLDSQSEVPNHVSEDRA >KJB83953 pep chromosome:Graimondii2_0_v6:13:45122898:45125448:-1 gene:B456_013G167200 transcript:KJB83953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSLEVKKLVYLYLLHYAEKRPNEALLSINCFQKDLGDPNPLVRAWALRTMAGIRLHVIAPLVLVAMGKCARDPSVYVRKCPASALPKVHDLRLEEHTSAIEEVHLIDLFLHGYFCFRVIHHLL >KJB82949 pep chromosome:Graimondii2_0_v6:13:54170800:54174024:1 gene:B456_013G221700 transcript:KJB82949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFRLFWLLFVWVLGIERGVSGLACNWGLQSTHPLPPNIVVKLLKDNGFDKVKLFEADPGALKALGKSGIQVMVGIPNDMLASLAGSAGNAEAWVQQNVSNYISKYGTDIRLVAIGNEPFLHSYKDMFTQTTLPALQNIQAALGKAGLGKKVKTTVPLNADVYQTDSGLPSGGDFRPDIRDLMLKIIKFIQDNGGILTINIYPFLSLQADPNFPKEFAFFNNKANPVVDGPIVYTNVYDANFDTLISALEKNGFGQMPVVIGEVGWPTDCDPNANIDNARRFNQGLLDRIIRGQGTPKRRAPPDVYIFSLIDEDNKSVEPGNFERHWGIFNYDGSIKYPLDLGKGKALTQAKGVKYLARQWCVLSPSVGVSDPNALAQSISQACQYADCTSIGPGSSCSNLDPQRNASYAFNMYYQTMDQRKDACSFNNLSTVTTVDPSQPPCRFEIMIDIGKHELSVSNKVPAAGFGSLLLVSLMLVLGLANGVH >KJB78587 pep chromosome:Graimondii2_0_v6:13:566226:568178:-1 gene:B456_013G008500 transcript:KJB78587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGTAPRVRLVRCPKCRLLLPEVEDVPVYKCGGCDTILAAKNRKGIVENKSFLQETPAAGSDILVQVSEDGESRSSSPQEVHLSREIGSRSGKIDENLSIGGHYNDQNKSGDTDYKREKLDENGRNEGLQNGSRRLQLEPSEHCKVSIEAEENNKTLRLEGAYLELKTTNKTASNIRGSSFDDLCAAREAAGEVTSSDNFFSSPNEHMEQPWRSEHRGFDHVSSIDSLGTLDYFSPSSELSDPDLESTATRTSHAYDGSMSSYDGMDDQFLVPEETLKRDKMLANGLMTCNARNRSLNLSAKKRYGTTKSSKWHRDEASEPAMHQRLPRNQTKRVRDEYRSQIPFSQRAYENAGPSHDEFQEYREHENMKLLRMVHELQDQISKTCNLNGRISRGRASIDIPCRQKHFPTYSYPEEENFYPRAWPRSEQLPPPMFPHNRGFYRHHSGHSCYNCNNYYPSSPQRYFESDFSRWSHKFISGDHKSKRYPKEKHNSVKRHCWAMAGGAPFVTCYHCFKPLELPTDFQLSEKRFHQLRCGACLKVLTFSLRNGIHIIPYEPVGSRNVDQVKSRPAVMRPAC >KJB81366 pep chromosome:Graimondii2_0_v6:13:38291563:38293037:1 gene:B456_013G141300 transcript:KJB81366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLIRRLSRVADSSSQYRLLRSDASNRERATPTRSAESFLIAVSSVKKPGRRSVPQGHVPVYVGEEMERFVVNAELLNHPVFVGLLNKSAQEYGYEQKGVLRIPCHVLVFERVMEALRLGVESRDLQDLLRSFSDDCCFLDF >KJB81367 pep chromosome:Graimondii2_0_v6:13:38291616:38293037:1 gene:B456_013G141300 transcript:KJB81367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLIRRLSRVADSSSQYRLLRSDASNRERATPTRSAESFLIAVSSVKKPGRRSVPQGHVPVYVGEEMERFVVNAELLNHPVFVGLLNKSAQEYGYEQKGVLRIPCHVLVFERVMEALRLGVESRDLQDLLRSFSDDCCFLDF >KJB79758 pep chromosome:Graimondii2_0_v6:13:7390907:7392401:1 gene:B456_013G065500 transcript:KJB79758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQARRVLTKLMKVLTLKPHHPVSRAAPSSCVLQTRFFSDIKRPITENESIRSNEDDDGATEHIPKRPLRGRRPFNPSFRETEGASFDRNRSSFQSPNAKFASDPTKKREDSQSDVNFLEKFKLGLENKRERVPSESEAMHRKEHEEKLSPPEDADEIFKKMKETGLIPNAVAMLDGLCKDGLIQEAMKLFGLMREKGTIPEVVIYTAVVDGFCKAHKLEDAKRIFRKMQSKAGHSPNVTTFVGLVDGLCKEKGVEEAVNVIGTLKQKGFLVNDKAVRQFLDKRAPFSPLVWEAIFGKKTSQKAF >KJB79757 pep chromosome:Graimondii2_0_v6:13:7390907:7392389:1 gene:B456_013G065500 transcript:KJB79757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQARRVLTKLMKVLTLKPHHPVSRAAPSSCVLQTRFFSDIKRPITENESIRSNEDDDGATEHIPKRPLRGRRPFNPSFRETEGASFDRNRSSFQSPNAKFASDPTKKREDSQSDVNFLEKFKLGLENKRERVPSESEAMHRKEHEEKLSPPEDADEIFKKMKETGLIPNAVAMLDGLCKDGLIQEAMKLFGLMREKGTIPEVVIYTAVVDGFCKAHKLEDAKRIFRKMQSKGVIPNAFSYTVLIQGLYKCKHLDDAIEFCLEMVEAGHSPNVTTFVGLVDGLCKEKGVEEAVNVIGTLKQKGFLVNDKAVRQFLDKRAPFSPLVWEAIFGKKTSQKAF >KJB82759 pep chromosome:Graimondii2_0_v6:13:52392045:52394590:-1 gene:B456_013G211800 transcript:KJB82759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYPRRLRPLRLGFRSNSSIIACSSIAETDTETSSTASNRPVSINLVYVPTPTNQDTRTPHSGITYPVGILRITVFQSQLSLSICVSAIHLWLAL >KJB82295 pep chromosome:Graimondii2_0_v6:13:48824080:48828964:-1 gene:B456_013G187600 transcript:KJB82295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVRVCLEEKNDDISSVPPGFEPRASFTLKTEAQDTKRHERDNLICCSASTRAILVEKGTGLANDESSKITRSMSMRRRPWINYGQYDNSSEDEPDHGKLNQWNKRIGQASKVSDHEVEQVLKQVTARWRPEEACRPDIEDVPVFYPTDEEFEDTLKYIASIRPRAEQYGICRIVPPSSWKPPCPLKEKNMWENSRFATRVQRVDKLQNRDPMRKMSEANNNIRRKRRRCMRMAIDCGPDSGSISESDAGLSELEGFGFEVGPEFTLDRFQKYADNFKTQYFRLKENDVNMEGKMTILQDHHEPSIQNIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFHKKLNQVGLASDEKYIKSGWNLNNFPRLSGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHLGAPKIWYGVPGKDASKLEKAMRKHLPDLFDEQPDLLHKLVTQLSPSILKSEGVPVHRCVQNAGEFVLTFPRAYHAGFNSGFNCAEAVNVGPVDWLPHGQIAIELYREQGRKTSISHDKLLLGAAREAVKAHWELNFVKNYTSDNMRWKDVCGKNGVLSKTLKARVEMERLAREFLCSSSQAVKMDRNFDATSERECCICFFDLHLSAAGCRCSPDRYACLNHAKHLCSCARDAKFFLFRYDINELNILVEALEGKLSAIYRWARLDLGMALSSYASKDNIMEGIPKEVQSKPSVDSFKDLQGEEMLKEKPLILTLISNPMLSHQRDEVSEAALPSNDPNSKLKKEDVILFGSDLSMHGGQTAMESKVKKPVAPVGDNINLLSYDEPKKPVLERPTEHFVAKQSEASTRCTENLSPYTCNNEPITDASSPDLQRNGCSFQYLQGKEEHTGNGITLLGSNDLESNHQELLLLGSEKANKEKHENVGAIASLSSVDNARSNVGAPTCSQNNLDRNFRQKGPRIAKVVRRINCNVEPLKFGVALSGKLWCNSQAIFPKGFKSRVRYISVLDPTNMAYYVSEILDAGRDGPLFMVSVEHCPNEVFIHVSATRCWEMVREQVNQEITKQHRLGRTNLPPLQPPGSLDGFEMFGFSSPEIVQAIEAMDLNRVCMEYWDCRPYSRPQVQIPQHFQPPGNGGNLETTSGEQNAGAYQNNCLPDGVDALLGRLFKKANSAELHSLYSILSDKRPPMGIDLVARLLNEEIHSRG >KJB82298 pep chromosome:Graimondii2_0_v6:13:48824080:48828656:-1 gene:B456_013G187600 transcript:KJB82298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQVTARWRPEEACRPDIEDVPVFYPTDEEFEDTLKYIASIRPRAEQYGICRIVPPSSWKPPCPLKEKNMWENSRFATRVQRVDKLQNRDPMRKMSEANNNIRRKRRRCMRMAIDCGPDSGSISESDAGLSELEGFGFEVGPEFTLDRFQKYADNFKTQYFRLKENDVNMEGKMTILQDHHEPSIQNIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFHKKLNQVGLASDEKYIKSGWNLNNFPRLSGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHLGAPKIWYGVPGKDASKLEKAMRKHLPDLFDEQPDLLHKLVTQLSPSILKSEGVPVHRCVQNAGEFVLTFPRAYHAGFNSGFNCAEAVNVGPVDWLPHGQIAIELYREQGRKTSISHDKLLLGAAREAVKAHWELNFVKNYTSDNMRWKDVCGKNGVLSKTLKARVEMERLAREFLCSSSQAVKMDRNFDATSERECCICFFDLHLSAAGCRCSPDRYACLNHAKHLCSCARDAKFFLFRYDINELNILVEALEGKLSAIYRWARLDLGMALSSYASKDNIMEGIPKEVQSKPSVDSFKDLQGEEMLKEKPLILTLISNPMLSHQRDEVSEAALPSNDPNSKLKKEDVILFGSDLSMHGGQTAMESKVKKPVAPVGDNINLLSYDEPKKPVLERPTEHFVAKQSEASTRCTENLSPYTCNNEPITDASSPDLQRNGCSFQYLQGKEEHTGNGITLLGSNDLESNHQELLLLGSEKANKEKHENVGAIASLSSVDNARSNVGAPTCSQNNLDRNFRQKGPRIAKVVRRINCNVEPLKFGVALSGKLWCNSQAIFPKGFKSRVRYISVLDPTNMAYYVSEILDAGRDGPLFMVSVEHCPNEVFIHVSATRCWEMVREQVNQEITKQHRLGRTNLPPLQPPGSLDGFEMFGFSSPEIVQAIEAMDLNRVCMEYWDCRPYSRPQVQIPQHFQPPGNGGNLETTSGEQNAGAYQNNCLPDGVDALLGRLFKKANSAELHSLYSILSDKRPPMGIDLVARLLNEEIHSRG >KJB82296 pep chromosome:Graimondii2_0_v6:13:48823789:48828964:-1 gene:B456_013G187600 transcript:KJB82296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVRVCLEEKNDDISSVPPGFEPRASFTLKTEAQDTKRHERDNLICCSASTRAILVEKGTGLANDESSKITRSMSMRRRPWINYGQYDNSSEDEPDHGKLNQVTARWRPEEACRPDIEDVPVFYPTDEEFEDTLKYIASIRPRAEQYGICRIVPPSSWKPPCPLKEKNMWENSRFATRVQRVDKLQNRDPMRKMSEANNNIRRKRRRCMRMAIDCGPDSGSISESDAGLSELEGFGFEVGPEFTLDRFQKYADNFKTQYFRLKENDVNMEGKMTILQDHHEPSIQNIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFHKKLNQVGLASDEKYIKSGWNLNNFPRLSGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHLGAPKIWYGVPGKDASKLEKAMRKHLPDLFDEQPDLLHKLVTQLSPSILKSEGVPVHRCVQNAGEFVLTFPRAYHAGFNSGFNCAEAVNVGPVDWLPHGQIAIELYREQGRKTSISHDKLLLGAAREAVKAHWELNFVKNYTSDNMRWKDVCGKNGVLSKTLKARVEMERLAREFLCSSSQAVKMDRNFDATSERECCICFFDLHLSAAGCRCSPDRYACLNHAKHLCSCARDAKFFLFRYDINELNILVEALEGKLSAIYRWARLDLGMALSSYASKDNIMEGIPKEVQSKPSVDSFKDLQGEEMLKEKPLILTLISNPMLSHQRDEVSEAALPSNDPNSKLKKEDVILFGSDLSMHGGQTAMESKVKKPVAPVGDNINLLSYDEPKKPVLERPTEHFVAKQSEASTRCTENLSPYTCNNEPITDASSPDLQRNGCSFQYLQGKEEHTGNGITLLGSNDLESNHQELLLLGSEKANKEKHENVGAIASLSSVDNARSNVGAPTCSQNNLDRNFRQKGPRIAKVVRRINCNVEPLKFGVALSGKLWCNSQAIFPKGFKSRVRYISVLDPTNMAYYVSEILDAGRDGPLFMVSVEHCPNEVFIHVSATRCWEMVREQVNQEITKQHRLGRTNLPPLQPPGSLDGFEMFGFSSPEIVQAIEAMDLNRVCMEYWDCRPYSRPQVQIPQHFQPPGNGGNLETTSGEQNAGAYQNNCLPDGVDALLGRLFKKANSAELHSLYSILSDKRPPMGIDLVARLLNEEIHSRG >KJB82297 pep chromosome:Graimondii2_0_v6:13:48823789:48829042:-1 gene:B456_013G187600 transcript:KJB82297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVRVCLEEKNDDISSVPPASTRAILVEKGTGLANDESSKITRSMSMRRRPWINYGQYDNSSEDEPDHGKLNQVTARWRPEEACRPDIEDVPVFYPTDEEFEDTLKYIASIRPRAEQYGICRIVPPSSWKPPCPLKEKNMWENSRFATRVQRVDKLQNRDPMRKMSEANNNIRRKRRRCMRMAIDCGPDSGSISESDAGLSELEGFGFEVGPEFTLDRFQKYADNFKTQYFRLKENDVNMEGKMTILQDHHEPSIQNIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFHKKLNQVGLASDEKYIKSGWNLNNFPRLSGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHLGAPKIWYGVPGKDASKLEKAMRKHLPDLFDEQPDLLHKLVTQLSPSILKSEGVPVHRCVQNAGEFVLTFPRAYHAGFNSGFNCAEAVNVGPVDWLPHGQIAIELYREQGRKTSISHDKLLLGAAREAVKAHWELNFVKNYTSDNMRWKDVCGKNGVLSKTLKARVEMERLAREFLCSSSQAVKMDRNFDATSERECCICFFDLHLSAAGCRCSPDRYACLNHAKHLCSCARDAKFFLFRYDINELNILVEALEGKLSAIYRWARLDLGMALSSYASKDNIMEGIPKEVQSKPSVDSFKDLQGEEMLKEKPLILTLISNPMLSHQRDEVSEAALPSNDPNSKLKKEDVILFGSDLSMHGGQTAMESKVKKPVAPVGDNINLLSYDEPKKPVLERPTEHFVAKQSEASTRCTENLSPYTCNNEPITDASSPDLQRNGCSFQYLQGKEEHTGNGITLLGSNDLESNHQELLLLGSEKANKEKHENVGAIASLSSVDNARSNVGAPTCSQNNLDRNFRQKGPRIAKVVRRINCNVEPLKFGVALSGKLWCNSQAIFPKGFKSRVRYISVLDPTNMAYYVSEILDAGRDGPLFMVSVEHCPNEVFIHVSATRCWEMVREQVNQEITKQHRLGRTNLPPLQPPGSLDGFEMFGFSSPEIVQAIEAMDLNRVCMEYWDCRPYSRPQVQIPQHFQPPGNGGNLETTSGEQNAGAYQNNCLPDGVDALLGRLFKKANSAELHSLYSILSDKRPPMGIDLVARLLNEEIHSRG >KJB82294 pep chromosome:Graimondii2_0_v6:13:48823789:48826868:-1 gene:B456_013G187600 transcript:KJB82294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGAPKIWYGVPGKDASKLEKAMRKHLPDLFDEQPDLLHKLVTQLSPSILKSEGVPVHRCVQNAGEFVLTFPRAYHAGFNSGFNCAEAVNVGPVDWLPHGQIAIELYREQGRKTSISHDKLLLGAAREAVKAHWELNFVKNYTSDNMRWKDVCGKNGVLSKTLKARVEMERLAREFLCSSSQAVKMDRNFDATSERECCICFFDLHLSAAGCRCSPDRYACLNHAKHLCSCARDAKFFLFRYDINELNILVEALEGKLSAIYRWARLDLGMALSSYASKDNIMEGIPKEVQSKPSVDSFKDLQGEEMLKEKPLILTLISNPMLSHQRDEVSEAALPSNDPNSKLKKEDVILFGSDLSMHGGQTAMESKVKKPVAPVGDNINLLSYDEPKKPVLERPTEHFVAKQSEASTRCTENLSPYTCNNEPITDASSPDLQRNGCSFQYLQGKEEHTGNGITLLGSNDLESNHQELLLLGSEKANKEKHENVGAIASLSSVDNARSNVGAPTCSQNNLDRNFRQKGPRIAKVVRRINCNVEPLKFGVALSGKLWCNSQAIFPKGFKSRVRYISVLDPTNMAYYVSEILDAGRDGPLFMVSVEHCPNEVFIHVSATRCWEMVREQVNQEITKQHRLGRTNLPPLQPPGSLDGFEMFGFSSPEIVQAIEAMDLNRVCMEYWDCRPYSRPQVQIPQHFQPPGNGGNLETTSGEQNAGAYQNNCLPDGVDALLGRLFKKANSAELHSLYSILSDKRPPMGIDLVARLLNEEIHSRG >KJB83748 pep chromosome:Graimondii2_0_v6:13:57661700:57666639:-1 gene:B456_013G262700 transcript:KJB83748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELEHLVDMTKVKMDVIRPWIATRVTELLGFEDEVLINFIYGLLDGKEVNGKQVQISLTGFMEKNTGKFMKELWSLLLSAQRNASGVPQQFLDAKEEETRKKKAESDRIANEIQKKKDKESRENEQNRLGKMDDGDEIKAGDTELDPSSKNMLPTKGSRARPEDERDADQRNGVGRNRSRSQSVSRSFSNSRSYSDGKQKFRSVSRSPESRRCSVSSDRMHPSPRRRSSTPRSRHSPSFSRSPVRRRPSYYRRRSPERRRPSYSRRRSQSRSPYRRGSPTPVRRRSRSPYRRRSPTPVHRRSRSPIRRYRSPSPITRRRSPLSIRRRRSPSLVRRRRSPSPMLHGSRSPVRRRSPSPIRRKSPLPVRRRSPSPLRRRSPPPMRRRSPSPVQQRHRRSSSPRRRRSPPSSRRSVTPSRGKSPSPYQSSSMSPVQRRSSSPVRRSPKGQRSPSLSQGGQRMRRNLSPVGHNHSSMRSTRIDRMDQSDTDDKVPGLSPPLNKSPLSKSPSHVRHRSGSEDRRLSSPYGSPPRQRKARIARDDSFSPEQKPRELKGHRDGQGTGRRNETSESRHSPPISRQRVSPRKVHTPERLAGSRSIDSRSRLDNVESRKKDLETKRSSGEGVHSGTDRQRSPAISEDSFQGEKQSRSRLREGKRSDERSCSHKNTKDSDEHHKVETSPVLLEKVDYSNRGSDSDSKGSDKRRTKHKDGKRKHKRSERRKVTSDDDDSSYDSEIDDRKEAKRRKKEEKRLRKEEKRKRSEERRRKREARRAEKLKTKRHDDDSSSDGEHAGTGKSRPGDDEEAETEQKKLEIELRKKALESLKAKKGISH >KJB83749 pep chromosome:Graimondii2_0_v6:13:57661723:57666432:-1 gene:B456_013G262700 transcript:KJB83749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELEHLVDMTKVKMDVIRPWIATRVTELLGFEDEVLINFIYGLLDGKEVNGKQVQISLTGFMEKNTGKFMKELWSLLLSAQRNASGVPQQFLDAKEEETRKKKAESDRIANEIQKKKDKESRENEQNRLGKMDDGDEIKAGDTELDPSSKNMLPTKGSRARPEDERDADQRNGVGRNRSRSQSVSRSFSNSRSYSDGKQKFRSVSRSPESRRCSVSSDRMHPSPRRRSSTPRSRHSPSFSRSPVRRRPSYYRRRSPERRRPSYSRRRSQSRSPYRRGSPTPVRRRSRSPYRRRSPTPVHRRSRSPIRRYRSPSPITRRRSPLSIRRRRSPSLVRRRRSPSPMLHGSRSPVRRRSPSPIRRKSPLPVRRRSPSPLRRRSPPPMRRRSPSPVQQRHRRSSSPRRRRSPPSSRRSVTPSRGKSPSPYQSSSMSPVQRRSSSPVRRSPKGQRSPSLSQGGQRMRRNLSPVGHNHSSMRSTRIDRMDQSDTDDKVPGLSPPLNKSPLSKSPSHVRHRSGSEDRRLSSPYGSPPRQRKARIARDDSFSPEQKPRELKGHRDGQGTGRRNETSESRHSPPISRQRVSPRKVHTPERLAGSRSIDSRSRLDNVESRKKDLETKSKRSSGEGVHSGTDRQRSPAISEDSFQGEKQSRSRLREGKRSDERSCSHKNTKDSDEHHKVETSPVLLEKVDYSNRGSDSDSKGSDKRRTKHKDGKRKHKRSERRKVTSDDDDSSYDSEIDDRKEAKRRKKEEKRLRKEEKRKRSEERRRKREARRAEKLKTKRHDDDSSSDGEHAGTGKSRPGDDEEAETEQKKLEIELRKKALESLKAKKGISH >KJB83752 pep chromosome:Graimondii2_0_v6:13:57662901:57666262:-1 gene:B456_013G262700 transcript:KJB83752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELEHLVDMTKVKMDVIRPWIATRVTELLGFEDEVLINFIYGLLDGKEVNGKQVQISLTGFMEKNTGKFMKELWSLLLSAQRNASGVPQQFLDAKEEETRKKKAESDRIANEIQKKKDKESRENEQNRLGKMDDGDEIKAGDTELDPSSKNMLPTKGSRARPEDERDADQRNGVGRNRSRSQSVSRSFSNSRSYSDGKQKFRSVSRSPESRRCSVSSDRMHPSPRRRSSTPRSRHSPSFSRSPVRRRPSYYRRRSPERRRPSYSRRRSQSRSPYRRGSPTPVRRRSRSPYRRRSPTPVHRRSRSPIRRYRSPSPITRRRSPLSIRRRRSPSLVRRRRSPSPMLHGSRSPVRRRSPSPIRRKSPLPVRRRSPSPLRRRSPPPMRRRSPSPVQQRHRRSSSPRRRRSPPSSRRSVTPSRGKSPSPYQSSSMSPVQRRSSSPVRRSPKGQRSPSLSQGGQRMRRNLSPVGHNHSSMRSTRIDRMDQSDTDDKVPGLSPPLNKSPLSKSPSHVRHRSGSEDRRLSSPYGSPPRQRKARIARDDSFSPEQKPRELKGHRDGQGTGRRNETSESRLIPY >KJB83753 pep chromosome:Graimondii2_0_v6:13:57662901:57666262:-1 gene:B456_013G262700 transcript:KJB83753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELEHLVDMTKVKMDVIRPWIATRVTELLGFEDEVLINFIYGLLDGKEVNGKQVQISLTGFMEKNTGKFMKELWSLLLSAQRNASGVPQQFLDAKEEETRKKKAESDRIANEIQKKKDKESRENEQNRLGKMDDGDEIKAGDTELDPSSKNMLPTKGSRARPEDERDADQRNGVGRNRSRSQSVSRSFSNSRSYSDGKQKFRSVSRSPESRRCSVSSDRMHPSPRRRSSTPRSRHSPSFSRSPVRRRPSYYRRRSPERRRPSYSRRRSQSRSPYRRGSPTPVRRRSRSPYRRRSPTPVHRRSRSPIRRYRSPSPITRRRSPLSIRRRRSPSLVRRRRSPSPMLHGSRSPVRRRSPSPIRRKSPLPVRRRSPSPLRRRSPPPMRRRSPSPVQQRHRRSSSPRRRRSPPSSRRSVTPSRGKSPSPYQSSSMSPVQRRSSSPVRRSPKGQRSPSLSQGGQRMRRNLSPVGHNHSSMRSTRIDRMDQSDTDDKVPGLSPPLNKSPLSKSPSHVRHRSGSEDRRLSSPYGSPPRQRKARIARDDSFSPEQKPRELKGHRDGQGTGRRNETSESRLIPY >KJB83755 pep chromosome:Graimondii2_0_v6:13:57661723:57666432:-1 gene:B456_013G262700 transcript:KJB83755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELEHLVDMTKVKMDVIRPWIATRVTELLGFEDEVLINFIYGLLDGKEVNGKQVQISLTGFMEKNTGKFMKELWSLLLSAQRNASGVPQQFLDAKEEETRKKKAESDRIANEIQKKKDKESRENEQNRLGKMDDGDEIKAGDTELDPSSKNMLPTKGSRARPEDERDADQRNGVGRNRSRSQSVSRSFSNSRSYSDGKQKFRSVSRSPESRRCSVSSDRMHPSPRRRSSTPRSRHSPSFSRSPVRRRPSYYRRRSPERRRPSYSRRRSQSRSPYRRGSPTPVRRRSRSPYRRRSPTPVHRRSRSPIRRYRSPSPITRRRSPLSIRRRRSPSLVRRRRSPSPMLHGSRSPVRRRSPSPIRRKSPLPVRRRSPSPLRRRSPPPMRRRSPSPVQQRHRRSSSPRRRRSPPSSRRMRRNLSPVGHNHSSMRSTRIDRMDQSDTDDKVPGLSPPLNKSPLSKSPSHVRHRSGSEDRRLSSPYGSPPRQRKARIARDDSFSPEQKPRELKGHRDGQGTGRRNETSESRHSPPISRQRVSPRKVHTPERLAGSRSIDSRSRLDNVESRKKDLETKSKRSSGEGVHSGTDRQRSPAISEDSFQGEKQSRSRLREGKRSDERSCSHKNTKDSDEHHKVETSPVLLEKVDYSNRGSDSDSKGSDKRRTKHKDGKRKHKRSERRKVTSDDDDSSYDSEIDDRKEAKRRKKEEKRLRKEEKRKRSEERRRKREARRAEKLKTKRHDDDSSSDGEHAGTGKSRPGDDEEAETEQKKLEIELRKKALESLKAKKGISH >KJB83750 pep chromosome:Graimondii2_0_v6:13:57661723:57666432:-1 gene:B456_013G262700 transcript:KJB83750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVKMDVIRPWIATRVTELLGFEDEVLINFIYGLLDGKEVNGKQVQISLTGFMEKNTGKFMKELWSLLLSAQRNASGVPQQFLDAKEEETRKKKAESDRIANEIQKKKDKESRENEQNRLGKMDDGDEIKAGDTELDPSSKNMLPTKGSRARPEDERDADQRNGVGRNRSRSQSVSRSFSNSRSYSDGKQKFRSVSRSPESRRCSVSSDRMHPSPRRRSSTPRSRHSPSFSRSPVRRRPSYYRRRSPERRRPSYSRRRSQSRSPYRRGSPTPVRRRSRSPYRRRSPTPVHRRSRSPIRRYRSPSPITRRRSPLSIRRRRSPSLVRRRRSPSPMLHGSRSPVRRRSPSPIRRKSPLPVRRRSPSPLRRRSPPPMRRRSPSPVQQRHRRSSSPRRRRSPPSSRRSVTPSRGKSPSPYQSSSMSPVQRRSSSPVRRSPKGQRSPSLSQGGQRMRRNLSPVGHNHSSMRSTRIDRMDQSDTDDKVPGLSPPLNKSPLSKSPSHVRHRSGSEDRRLSSPYGSPPRQRKARIARDDSFSPEQKPRELKGHRDGQGTGRRNETSESRHSPPISRQRVSPRKVHTPERLAGSRSIDSRSRLDNVESRKKDLETKSKRSSGEGVHSGTDRQRSPAISEDSFQGEKQSRSRLREGKRSDERSCSHKNTKDSDEHHKVETSPVLLEKVDYSNRGSDSDSKGSDKRRTKHKDGKRKHKRSERRKVTSDDDDSSYDSEIDDRKEAKRRKKEEKRLRKEEKRKRSEERRRKREARRAEKLKTKRHDDDSSSDGEHAGTGKSRPGDDEEAETEQKKLEIELRKKALESLKAKKGISH >KJB83754 pep chromosome:Graimondii2_0_v6:13:57661723:57666432:-1 gene:B456_013G262700 transcript:KJB83754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVKMDVIRPWIATRVTELLGFEDEVLINFIYGLLDGKEVNGKQVQISLTGFMEKNTGKFMKELWSLLLSAQRNASGVPQQFLDAKEEETRKKKAESDRIANEIQKKKDKESRENEQNRLGKMDDGDEIKAGDTELDPSSKNMLPTKGSRARPEDERDADQRNGVGRNRSRSQSVSRSFSNSRSYSDGKQKFRSVSRSPESRRCSVSSDRMHPSPRRRSSTPRSRHSPSFSRSPVRRRPSYYRRRSPERRRPSYSRRRSQSRSPYRRGSPTPVRRRSRSPYRRRSPTPVHRRSRSPIRRYRSPSPITRRRSPLSIRRRRSPSLVRRRRSPSPMLHGSRSPVRRRSPSPIRRKSPLPVRRRSPSPLRRRSPPPMRRRSPSPVQQRHRRSSSPRRRRSPPSSRRSVTPSRGKSPSPYQSSSMSPVQRRSSSPVRRSPKGQRSPSLSQGGQRMRRNLSPVGHNHSSMRSTRIDRMDQSDTDDKVPGLSPPLNKSPLSKSPSHVRHRSGSEDRRLSSPYGSPPRQRKARIARDDSFSPEQKPRELKGHRDGQGTGRRNETSESRHSPPISRQRVSPRKVHTPERLAGSRSIDSRSRLDNVESRKKDLETKSKRSSGEGVHSGTDRQRSPAISEDSFQGEKQSRSRLREGKRSDERSCSHKNTKDSDEHHKVETSPVLLEKVDYSNRGSDSDSKGSDKRRTKHKDGKRKHKRSERRKVTSDDDDSSYDSEIDDRKEAKRRKKEEKRLRKEEKRKRSEERRRKREARRAEKLKTKRHDDDSSSDGEHAGTGKSRPGDDEEAETEQKKLEIELRKKALESLKAKKGISH >KJB83751 pep chromosome:Graimondii2_0_v6:13:57662629:57666262:-1 gene:B456_013G262700 transcript:KJB83751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELEHLVDMTKVKMDVIRPWIATRVTELLGFEDEVLINFIYGLLDGKEVNGKQVQISLTGFMEKNTGKFMKELWSLLLSAQRNASGVPQQFLDAKEEETRKKKAESDRIANEIQKKKDKESRENEQNRLGKMDDGDEIKAGDTELDPSSKNMLPTKGSRARPEDERDADQRNGVGRNRSRSQSVSRSFSNSRSYSDGKQKFRSVSRSPESRRCSVSSDRMHPSPRRRSSTPRSRHSPSFSRSPVRRRPSYYRRRSPERRRPSYSRRRSQSRSPYRRGSPTPVRRRSRSPYRRRSPTPVHRRSRSPIRRYRSPSPITRRRSPLSIRRRRSPSLVRRRRSPSPMLHGSRSPVRRRSPSPIRRKSPLPVRRRSPSPLRRRSPPPMRRRSPSPVQQRHRRSSSPRRRRSPPSSRRSVTPSRGKSPSPYQSSSMSPVQRRSSSPVRRSPKGQRSPSLSQGGQRMRRNLSPVGHNHSSMRSTRIDRMDQSDTDDKVPGLSPPLNKSPLSKSPSHVRHRSGSEDRRLSSPYGSPPRQRKARIARDDSFSPEQKPRELKGHRDGQGTGRRNETSESRHSPPISRQRVSPRKVHTPERLAGSRSIDSRSRLDNVESRKKDLETKRYYFVAIMRLYAFV >KJB83269 pep chromosome:Graimondii2_0_v6:13:55623559:55629100:-1 gene:B456_013G238600 transcript:KJB83269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGSSEQEFNSIRASIAMLNSNLDQQNQRKISVLNELQNLQEKIRKEGAESKVKKFVSLLENLKLLERQESEIRCDFDEKRSSLEAEVSDLEEKIAAGSDSKMLSRGLDGSLNESLQKLNSAKRELAAKLRAIVSIKRQLDDAPSQSELIQYECRLSELNAHIQEKLQQTRKFYATYNALLEIKELMLKETSLLNSINSQFQEAIASTTGRMKLLESMQGIVKGSQQKLGKVQLGLQEEQKVCDALKERYTAAMAEQRRCYSLLKAFQEECARNEKLQSQTSA >KJB83270 pep chromosome:Graimondii2_0_v6:13:55623559:55629057:-1 gene:B456_013G238600 transcript:KJB83270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGSSEQEFNSIRASIAMLNSNLDQQNQRKISVLNELQNLQEKIRKEGAESKVKKFVSLLENLKLLERQESEIRCDFDEKRSSLEAEVSDLEEKIAAGSDSKMLSRGLDGSLNESLQKLNSAKRELAAKLRAIVSIKRQLDDAPSQSELIQYECRLSELNAHIQEKLQQTRKFYATYNALLEIKELMLKETSLLNSINSQFQEAIASTTGRMKLLESMQGIVKGSQQKLGKVQLGLQEEQKVCDALKERYTAAMAEQRRCYSLLKAFQEECARNEKLQSQTSA >KJB83268 pep chromosome:Graimondii2_0_v6:13:55624292:55628802:-1 gene:B456_013G238600 transcript:KJB83268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLMIQNQRKISVLNELQNLQEKIRKEGAESKVKKFVSLLENLKLLERQESEIRCDFDEKRSSLEAEVSDLEEKIAAGSDSKMLSRGLDGSLNESLQKLNSAKRELAAKLRAIVSIKRQLDDAPSQSELIQYECRLSELNAHIQEKLQQTRKFYATYNALLEIKELMLKETSLLNSINSQFQEAIASTTGRMKLLESMQGIVKGSQQKLGKVQLGLQEEQKVCDALKERYTAAMAEQRRCYSLLKAFQEECARNEKLQSQTSA >KJB81021 pep chromosome:Graimondii2_0_v6:13:32612758:32618378:-1 gene:B456_013G125600 transcript:KJB81021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSLTLLSPLFSNSSKSSLKLGISNANQTSIQESLNLICIDSKRGVSVSQRRETLIKGLALLPLVVFGGAPISEAREVEVGSYLPPSPTDPSFVVFKASPKDTPALRAGNVQPYQFLIPPTWKQSRVANILSGNYCQPKCAEPWVEVKFEDEKQGKIQVVASPLIRLTNKPNASIEEIGDPEKVIASLGPFVTGNSYDPDELLETSVEKRGQQTYYKYVLETPFAITGSHNLAKATAKGSTVVLFVASANDKQWSTSQKTLKAMLDSFEV >KJB81022 pep chromosome:Graimondii2_0_v6:13:32617701:32618270:-1 gene:B456_013G125600 transcript:KJB81022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSLTLLSPLFSNSSKSSLKLGISNANQTSIQESLNLICIDSKRGVSVSQRRETLIKGLALLPLVVFGGAPISEAREVEVGSYLPPSPTDPSFVVFKASPKDTPALRAVLVLLQEMCSRTSFLSHPHGSKAEWPTSCREITASPSVQNHG >KJB81023 pep chromosome:Graimondii2_0_v6:13:32617279:32618378:-1 gene:B456_013G125600 transcript:KJB81023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSLTLLSPLFSNSSKSSLKLGISNANQTSIQESLNLICIDSKRGVSVSQRRETLIKGLALLPLVVFGGAPISEAREVEVGSYLPPSPTDPSFVVFKASPKDTPALRAGNVQPYQFLIPPTWKQSRVANILSGNYCQPKCAEPWVEVKFEDEKQGKIQVVASPLIRLTNKPNASIEEIGDPEKVIASLGPFVTGNSYDPDELLETSVEKRGQQTVRFTTTTSLQPIRFSYFMFMHSYVRLSRRSKDLHKKR >KJB81020 pep chromosome:Graimondii2_0_v6:13:32617701:32618270:-1 gene:B456_013G125600 transcript:KJB81020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSLTLLSPLFSNSSKSSLKLGISNANQTSIQESLNLICIDSKRGVSVSQRRETLIKGLALLPLVVFGGAPISEAREVEVGSYLPPSPTDPSFVVFKASPKDTPALRAVLVLLQEMCSRTSFLSHPHGSKAEWPTSCREITASPSVQNHG >KJB81773 pep chromosome:Graimondii2_0_v6:13:43755060:43756815:-1 gene:B456_013G160400 transcript:KJB81773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSATNCLFGFISIEQITYKVELTFIRASFAGDLQSLSWLSMAFGGVCGSLLGGYALTNLEIDIIFLLFSILPAIQLFSCGLVEESSMGGEVLSDFCISSDSHHLNGKVNDLDEDSSLEKKSNVSTRKRKKIQKKSKKTQLTRRKAQTLSPGKGKSLPLLWFLSLKYATYNLCRAFKQPMILRPMAWFFLAHVTVPNLSTVMFYYQTEFLKLEASFLGTVRVIGWLGLMLGTFTYNQYLKKMKLRRILALTHIGLAFLNLFDVILVSRTNVAFGVSDKTLVLCGSALSDAVNQFKFMPFLILSGQLCPPGIEGTLFALFMSINNFGSTVGSFVGAGLASILNISSGSFDNLLLGINIQLFCTFIPVVLLFLIPKEATGIA >KJB81771 pep chromosome:Graimondii2_0_v6:13:43755060:43758476:-1 gene:B456_013G160400 transcript:KJB81771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVPWLILGINASTRSSIWHLMILLTLQNLGSAMADVLVDAMIAEAVRFEKASFAGDLQSLSWLSMAFGGVCGSLLGGYALTNLEIDIIFLLFSILPAIQLFSCGLVEESSMGGEVLSDFCISSDSHHLNGKVNDLDEDSSLEKKSNVSTRKRKKIQKKSKKTQLTRRKAQTLSPGKGKSLPLLWFLSLKYATYNLCRAFKQPMILRPMAWFFLAHVTVPNLSTVMFYYQTEFLKLEASFLGTVRVIGWLGLMLGTFTYNQYLKKMKLRRILALTHIGLAFLNLFDVILVSRTNVAFGVSDKTLVLCGSALSDAVNQFKFMPFLILSGQLCPPGIEGTLFALFMSINNFGSTVGSFVGAGLASILNISSGSFDNLLLGINIQLFCTFIPVVLLFLIPKEATGIA >KJB81774 pep chromosome:Graimondii2_0_v6:13:43755060:43757705:-1 gene:B456_013G160400 transcript:KJB81774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVPWLILGINASTRSSIWHLMILLTLQNLGSAMADVLVDAMIAEAVRFEKASFAGDLQSLSWLSMAFGGVCGSLLGGYALTNLEIDIIFLLFSILPAIQLFSCGLVEESSMGGEVLSDFCISSDSHHLNGKVNDLDEDSSLEKKSNVSTRKRKKIQKKSKKTQLTRRKAQTLSPGKGKSLPLLWFLSLKYATYNLCRAFKQPMILRPMAWFFLAHVTVPNLSTVMFYYQTEFLKLEASFLGTVRVIGWLGLMLGTFTYNQYLKKMKLRRILALTHIGLAFLNLFDVILVSRTNVAFGVSDKTLVLCGSALSDAVNQFKFMPFLILSGQLCPPGIEGTLFALFMSINNFGSTVGSFVGAGLASILNISSGSFDNLLLGINIQLFCTFIPVVLLFLIPKEATGIA >KJB81770 pep chromosome:Graimondii2_0_v6:13:43754702:43758506:-1 gene:B456_013G160400 transcript:KJB81770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQCIKQLKTAFGLAFLWLVCLIYFTQGFRSFVWTAVSYQLKDRLKLSPSASQFVFSVSFFPWSIKPIYGILSDCIPIKGKKRIPYLVISTVMSLVPWLILGINASTRSSIWHLMILLTLQNLGSAMADVLVDAMIAEAVRFEKASFAGDLQSLSWLSMAFGGVCGSLLGGYALTNLEIDIIFLLFSILPAIQLFSCGLVEESSMGGEVLSDFCISSDSHHLNGKVNDLDEDSSLEKKSNVSTRKRKKIQKKSKKTQLTRRKAQTLSPGKGKSLPLLWFLSLKYATYNLCRAFKQPMILRPMAWFFLAHVTVPNLSTVMFYYQTEFLKLEASFLGTVRVIGWLGLMLGTFTYNQYLKKMKLRRILALTHIGLAFLNLFDVILVSRTNVAFGVSDKTLVLCGSALSDAVNQFKFMPFLILSGQLCPPGIEGTLFALFMSINNFGSTVGSFVGAGLASILNISSGSFDNLLLGINIQLFCTFIPVVLLFLIPKEATGIA >KJB81772 pep chromosome:Graimondii2_0_v6:13:43755060:43757763:-1 gene:B456_013G160400 transcript:KJB81772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVPWLILGINASTRSSIWHLMILLTLQNLGSAMADVLVDAMIAEAVRFEKASFAGDLQSLSWLSMAFGGVCGSLLGGYALTNLEIDIIFLLFSILPAIQLFSCGLVEESSMGGEVLSDFCISSDSHHLNGKVNDLDEDSSLEKKSNVSTRKRKKIQKKSKKTQLTRRKAQTLSPGKGKSLPLLWFLSLKYATYNLCRAFKQPMILRPMAWFFLAHVTVPNLSTVMFYYQTEFLKLEASFLGTVRVIGWLGLMLGTFTYNQYLKKMKLRRILALTHIGLAFLNLFDVILVSRTNVAFGVSDKTLVLCGSALSDAVNQFKFMPFLILSGQLCPPGIEGTLFALFMSINNFGSTVGSFVGAGLASILNISSGSFDNLLLGINIQLFCTFIPVVLLFLIPKEATGIA >KJB82375 pep chromosome:Graimondii2_0_v6:13:49415319:49419521:1 gene:B456_013G193000 transcript:KJB82375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIENNKNEADTMAEDLAKSESSEKKSPNLLKAKSDIAKSVAKNFLKTRKANVTSEVLRKRPKMKSMGISEGNKAAENNVKKLDPVEKDQQKDATTSTEYVEKSQQIQKNEENTCASDSKEKITKSNDDPKKQRNGEGPGLSNKDKKNEEKREGKKKRKRNENKERHRNPVNNNMNDEKREGKGKKQEIKKKEKIDGLIFMCNARTKPDCFRYRVMGVSAGKKDLVLGIRPGLKLFLYDYDVRLLYGIYRATSSGGMKLEPKAFGGAFPAQVRFSVHSDCFPLAENIFKKAIKENYDEKNKFKTELTARQVRKLTELFRPVPVHSTALPFHSPSRAAARIIEHPEKREAHDRPREARPSSHREASVRDPYANISARNYAGFPHERNQRVAYGEVASNKREDGHRDLYLSEKEYRTYGLLGERRKMTPQHHIAPTLTSYLGDYREPPLRQPDTAYRESVPLQRDVVRSDPLRLTEREYRTYDLGATREMQPTVSAATANTSGAGASILDSYIADPYYGRYSGDPLVDAYLSRPREAHLIESDHLRRIESNQAERLYSQYPSDVLVDHNRMQRHRDVNPASASTSVSSRYSFGGASLPYR >KJB82369 pep chromosome:Graimondii2_0_v6:13:49415319:49419528:1 gene:B456_013G193000 transcript:KJB82369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIENNKNEADTMAEDLAKSESSEKKSPNLLKAKSDIAKSVAKNFLKTRKANVTSEVLRKRPKMKSMGISEGNKAAENNVKKLDPVEKDQQKDATTSTEYVEKSQQIQKNEENTCASDSKEKITKSNDDPKKQRNGEGPGLSNKDKKNEEKREGKKKRKRNENKERHRNPVNNNMNDEKREGKGKKQEIKKKEKIDGLIFMCNARTKPDCFRYRVMGVSAGKKDLVLGIRPGLKLFLYDYDVRLLYGIYRATSSGGMKLEPKAFGGAFPAQVRFSVHSDCFPLAENIFKKAIKENYDEKNKFKTELTARQVRKLTELFRPVPVHSTALPFHSPSRAAARIIEHPEKREAHDRPREARPSSHREASVRDPYANISARNYAGFPHERNQRVAYGEVASNKREDGHRDLYLSEKEYRTYGLLGERRKMTPQHHIAPTLTSYLGDYREPPLRQPDTAYRESVPLQRDVVRSDPLRLTEREYRTYDLGATREMQPTVSAATANTSGAGASILDSYIADPYYGRYSGDPLVDAYLSRPREAHLIESDHLRRIESNQAERLYSQYPSDVLVDHNRMQRHRDVNPASASTSVSSRYSFGGASLPYR >KJB82372 pep chromosome:Graimondii2_0_v6:13:49415319:49419521:1 gene:B456_013G193000 transcript:KJB82372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIENNKNEADTMAEDLAKSESSEKKSPNLLKAKSDIAKSVAKNFLKTRKANVTSEVLRKRPKMKSMGISEGNKAAENNVKKLDPVEKDQQKDATTSTEYVEKSQQIQKNEENTCASDSKEKITKSNDDPKKQRNGEGPGLSNKDKKNEEKREGKKKRKRNENKERHRNPVNNNMNDEKREGKGKKQEIKKKEKIDGLIFMCNARTKPDCFRYRVMGVSAGKKDLVLGIRPGLKLFLYDYDVRLLYGIYRATSSGGMKLEPKAFGGAFPAQVRFSVHSDCFPLAENIFKKAIKENYDEKNKFKTELTARQVRKLTELFRPVPVHSTALPFHSPSRAAARIIEHPEKREAHDRPREARPSSHREASVRDPYANISARNYAGFPHERNQRVAYGEVASNKREDGHRDLYLSEKEYRTYGLLGERRKMTPQHHIAPTLTSYLGDYREPPLRQPDTAYRESVPLQRDVVRSDPLRLTEREYRTYDLGATREMQPTVSAATANTSGAGASILDSYIADPYYGRYSGDPLVDAYLSRPREAHLIESDHLRRIESNQAERLYSQYPSDVLVDHNRMQRHRDVNPASASTSVSSRYSFGGASLPYR >KJB82373 pep chromosome:Graimondii2_0_v6:13:49415412:49419521:1 gene:B456_013G193000 transcript:KJB82373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIENNKNEADTMAEDLAKSESSEKKSPNLLKAKSDIAKSVAKNFLKTRKANVTSEVLRKRPKMKSMGISEGNKAAENNVKKLDPVEKDQQKDATTSTEYVEKSQQIQKNEENTCASDSKEKITKSNDDPKKQRNGEGPGLSNKDKKNEEKREGKKKRKRNENKERHRNPVNNNMNDEKREGKGKKQEIKKKEKIDGLIFMCNARTKPDCFRYRVMGVSAGKKDLVLGIRPGLKLFLYDYDVRLLYGIYRATSSGGMKLEPKAFGGAFPAQVRFSVHSDCFPLAENIFKKAIKENYDEKNKFKTELTARQVRKLTELFRPVPVHSTALPFHSPSRAAARIIEHPEKREAHDRPREARPSSHREASVRDPYANISARNYAGFPHERNQRVAYGEVASNKREDGHRDLYLSEKEYRTYGLLGERRKMTPQHHIAPTLTSYLGDYREPPLRQPDTAYRESVPLQRDVVRSDPLRLTEREYRTYDLGATREMQPTVSAATANTSGAGASILDSYIADPYYGRYSGDPLVDAYLSRPREAHLIESDHLRRIESNQAERLYSQYPSDVLVDHNRMQRHRDVNPASASTSVSSRYSFGGASLPYR >KJB82371 pep chromosome:Graimondii2_0_v6:13:49416055:49419528:1 gene:B456_013G193000 transcript:KJB82371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIENNKNEADTMAEDLAKSESSEKKSPNLLKAKSDIAKSVAKNFLKTRKANVTSEVLRKRPKMKSMGISEGNKAAENNVKKLDPVEKDQQKDATTSTEYVEKSQQIQKNEENTCASDSKEKITKSNDDPKKQRNGEGPGLSNKDKKNEEKREGKKKRKRNENKERHRNPVNNNMNDEKREGKGKKQEIKKKEKIDGLIFMCNARTKPDCFRYRVMGVSAGKKDLVLGIRPGLKLFLYDYDVRLLYGIYRATSSGGMKLEPKAFGGAFPAQVRFSVHSDCFPLAENIFKKAIKENYDEKNKFKTELTARQVRKLTELFRPVPVHSTALPFHSPSRAAARIIEHPEKREAHDRPREARPSSHREASVRDPYANISARNYAGFPHERNQRVAYGEVASNKREDGHRDLYLSEKEYRTYGLLGERRKMTPQHHIAPTLTSYLGDYREPPLRQPDTAYRESVPLQRDVVRSDPLRLTEREYRTYDLGATREMQPTVSAATANTSGAGASILDSYIADPYYGRYSGDPLVDAYLSRPREAHLIESDHLRRIESNQAERLYSQYPSDVLVDHNRMQRHRDVNPASASTSVSSRYSFGGASLPYR >KJB82379 pep chromosome:Graimondii2_0_v6:13:49415319:49419521:1 gene:B456_013G193000 transcript:KJB82379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIENNKNEADTMAEDLAKSESSEKKSPNLLKAKSDIAKSVAKNFLKTRKANVTSEVLRKRPKMKSMGISEGNKAAENNVKKLDPVEKDQQKDATTSTEYVEKSQQIQKNEENTCASDSKEKITKSNDDPKKQRNGEGPGLSNKDKKNEEKREGKKKRKRNENKERHRNPVNNNMNDEKREGKGKKQEIKKKEKIDGLIFMCNARTKPDCFRYRVMGVSAGKKDLVLGIRPGLKLFLYDYDVRLLYGIYRATSSGGMKLEPKAFGGAFPAQVRFSVHSDCFPLAENIFKKAIKENYDEKNKFKTELTARQVRKLTELFRPVPVHSTALPFHSPSRAAARIIEHPEKREAHDRPREARPSSHREASVRDPYANISARNYAGFPHERNQRVAYGEVASNKREDGHRDLYLSEKEYRTYGLLGERRKMTPQHHIAPTLTSYLGDYREPPLRQPDTAYRESVPLQRDVVRSDPLRLTEREYRTYDLGATREMQPTVSAATANTSGAGASILDSYIADPYYGRYSGDPLVDAYLSRPREAHLIESDHLRRIESNQAERLYSQYPSDVLVDHNRMQRHRDVNPASASTSVSSRYSFGGASLPYR >KJB82376 pep chromosome:Graimondii2_0_v6:13:49415313:49419528:1 gene:B456_013G193000 transcript:KJB82376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIENNKNEADTMAEDLAKSESSEKKSPNLLKAKSDIAKSVAKNFLKTRKANVTSEVLRKRPKMKSMGISEGNKAAENNVKKLDPVEKDQQKDATTSTEYVEKSQQIQKNEENTCASDSKEKITKSNDDPKKQRNGEGPGLSNKDKKNEEKREGKKKRKRNENKERHRNPVNNNMNDEKREGKGKKQEIKKKEKIDGLIFMCNARTKPDCFRYRVMGVSAGKKDLVLGIRPGLKLFLYDYDVRLLYGIYRATSSGGMKLEPKAFGGAFPAQVRFSVHSDCFPLAENIFKKAIKENYDEKNKFKTELTARQVRKLTELFRPVPVHSTALPFHSPSRAAARIIEHPEKREAHDRPREARPSSHREASVRDPYANISARNYAGFPHERNQRVAYGEVASNKREDGHRDLYLSEKEYRTYGLLGERRKMTPQHHIAPTLTSYLGDYREPPLRQPDTAYRESVPLQRDVVRSDPLRLTEREYRTYDLGATREMQPTVSAATANTSGAGASILDSYIADPYYGRYSGDPLVDAYLSRPREAHLIESDHLRRIESNQAERLYSQYPSDVLVDHNRMQRHRDVNPASASTSVSSRYSFGGASLPYR >KJB82378 pep chromosome:Graimondii2_0_v6:13:49415313:49419548:1 gene:B456_013G193000 transcript:KJB82378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIENNKNEADTMAEDLAKSESSEKKSPNLLKAKSDIAKSVAKNFLKTRKANVTSEVLRKRPKMKSMGISEGNKAAENNVKKLDPVEKDQQKDATTSTEYVEKSQQIQKNEENTCASDSKEKITKSNDDPKKQRNGEGPGLSNKDKKNEEKREGKKKRKRNENKERHRNPVNNNMNDEKREGKGKKQEIKKKEKIDGLIFMCNARTKPDCFRYRVMGVSAGKKDLVLGIRPGLKLFLYDYDVRLLYGIYRATSSGGMKLEPKAFGGAFPAQVRFSVHSDCFPLAENIFKKAIKENYDEKNKFKTELTARQVRKLTELFRPVPVHSTALPFHSPSRAAARIIEHPEKREAHDRPREARPSSHREASVRDPYANISARNYAGFPHERNQRVAYGEVASNKREDGHRDLYLSEKEYRTYGLLGERRKMTPQHHIAPTLTSYLGDYREPPLRQPDTAYRESVPLQRDVVRSDPLRLTEREYRTYDLGATREMQPTVSAATANTSGAGASILDSYIADPYYGRYSGDPLVDAYLSRPREAHLIESDHLRRIESNQAERLYSQYPSDVLVDHNRMQRHRDVNPASASTSVSSRYSFGGASLPYR >KJB82374 pep chromosome:Graimondii2_0_v6:13:49415319:49419548:1 gene:B456_013G193000 transcript:KJB82374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIENNKNEADTMAEDLAKSESSEKKSPNLLKAKSDIAKSVAKNFLKTRKANVTSEVLRKRPKMKSMGISEGNKAAENNVKKLDPVEKDQQKDATTSTEYVEKSQQIQKNEENTCASDSKEKITKSNDDPKKQRNGEGPGLSNKDKKNEEKREGKKKRKRNENKERHRNPVNNNMNDEKREGKGKKQEIKKKEKIDGLIFMCNARTKPDCFRYRVMGVSAGKKDLVLGIRPGLKLFLYDYDVRLLYGIYRATSSGGMKLEPKAFGGAFPAQVRFSVHSDCFPLAENIFKKAIKENYDEKNKFKTELTARQVRKLTELFRPVPVHSTALPFHSPSRAAARIIEHPEKREAHDRPREARPSSHREASVRDPYANISARNYAGFPHERNQRVAYGEVASNKREDGHRDLYLSEKEYRTYGLLGERRKMTPQHHIAPTLTSYLGDYREPPLRQPDTAYRESVPLQRDVVRSDPLRLTEREYRTYDLGATREMQPTVSAATANTSGAGASILDSYIADPYYGRYSGDPLVDAYLSRPREAHLIESDHLRRIESNQAERLYSQYPSDVLVDHNRMQRHRDVNPASASTSVSSRYSFGGASLPYR >KJB82370 pep chromosome:Graimondii2_0_v6:13:49415319:49419548:1 gene:B456_013G193000 transcript:KJB82370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIENNKNEADTMAEDLAKSESSEKKSPNLLKAKSDIAKSVAKNFLKTRKANVTSEVLRKRPKMKSMGISEGNKAAENNVKKLDPVEKDQQKDATTSTEYVEKSQQIQKNEENTCASDSKEKITKSNDDPKKQRNGEGPGLSNKDKKNEEKREGKKKRKRNENKERHRNPVNNNMNDEKREGKGKKQEIKKKEKIDGLIFMCNARTKPDCFRYRVMGVSAGKKDLVLGIRPGLKLFLYDYDVRLLYGIYRATSSGGMKLEPKAFGGAFPAQVRFSVHSDCFPLAENIFKKAIKENYDEKNKFKTELTARQVRKLTELFRPVPVHSTALPFHSPSRAAARIIEHPEKREAHDRPREARPSSHREASVRDPYANISARNYAGFPHERNQRVAYGEVASNKREDGHRDLYLSEKEYRTYGLLGERRKMTPQHHIAPTLTSYLGDYREPPLRQPDTAYRESVPLQRDVVRSDPLRLTEREYRTYDLGATREMQPTVSAATANTSGAGASILDSYIADPYYGRYSGDPLVDAYLSRPREAHLIESDHLRRIESNQAERLYSQYPSDVLVDHNRMQRHRDVNPASASTSVSSRYSFGGASLPYR >KJB82368 pep chromosome:Graimondii2_0_v6:13:49415319:49419548:1 gene:B456_013G193000 transcript:KJB82368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIENNKNEADTMAEDLAKSESSEKKSPNLLKAKSDIAKSVAKNFLKTRKANVTSEVLRKRPKMKSMGISEGNKAAENNVKKLDPVEKDQQKDATTSTEYVEKSQQIQKNEENTCASDSKEKITKSNDDPKKQRNGEGPGLSNKDKKNEEKREGKKKRKRNENKERHRNPVNNNMNDEKREGKGKKQEIKKKEKIDGLIFMCNARTKPDCFRYRVMGVSAGKKDLVLGIRPGLKLFLYDYDVRLLYGIYRATSSGGMKLEPKAFGGAFPAQVRFSVHSDCFPLAENIFKKAIKENYDEKNKFKTELTARQVRKLTELFRPVPVHSTALPFHSPSRAAARIIEHPEKREAHDRPREARPSSHREASVRDPYANISARNYAGFPHERNQRVAYGEVASNKREDGHRDLYLSEKEYRTYGLLGERRKMTPQHHIAPTLTSYLGDYREPPLRQPDTAYRESVPLQRDVVRSDPLRLTEREYRTYDLGATREMQPTVSAATANTSGAGASILDSYIADPYYGRYSGDPLVDAYLSRPREAHLIESDHLRRIESNQAERLYSQYPSDVLVDHNRMQRHRDVNPASASTSVSSRYSFGGASLPYR >KJB82377 pep chromosome:Graimondii2_0_v6:13:49415319:49419521:1 gene:B456_013G193000 transcript:KJB82377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIENNKNEADTMAEDLAKSESSEKKSPNLLKAKSDIAKSVAKNFLKTRKANVTSEVLRKRPKMKSMGISEGNKAAENNVKKLDPVEKDQQKDATTSTEYVEKSQQIQKNEENTCASDSKEKITKSNDDPKKQRNGEGPGLSNKDKKNEEKREGKKKRKRNENKERHRNPVNNNMNDEKREGKGKKQEIKKKEKIDGLIFMCNARTKPDCFRYRVMGVSAGKKDLVLGIRPGLKLFLYDYDVRLLYGIYRATSSGGMKLEPKAFGGAFPAQVRFSVHSDCFPLAENIFKKAIKENYDEKNKFKTELTARQVRKLTELFRPVPVHSTALPFHSPSRAAARIIEHPEKREAHDRPREARPSSHREASVRDPYANISARNYAGFPHERNQRVAYGEVASNKREDGHRDLYLSEKEYRTYGLLGERRKMTPQHHIAPTLTSYLGDYREPPLRQPDTAYRESVPLQRDVVRSDPLRLTEREYRTYDLGATREMQPTVSAATANTSGAGASILDSYIADPYYGRYSGDPLVDAYLSRPREAHLIESDHLRRIESNQAERLYSQYPSDVLVDHNRMQRHRDVNPASASTSVSSRYSFGGASLPYR >KJB80306 pep chromosome:Graimondii2_0_v6:13:14666850:14670675:-1 gene:B456_013G091200 transcript:KJB80306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MVQTLSLSGALTTSGNTAVYGTEVGGRRHFEIIGKGHMKYGISRQYFWHGYVPSFYFNGNPNLLKKRNFGVKVGWPFKGGDRELGASSERSETANEDILIFFFQLDLATQVQVEAEILKQQEAKRGLSSKSEAQDKALSLVRLRGELHNAIENENYALAAQLRDDMSKLEAESLAASAKALAYENAQYAFRLGQKVQHKIFGYRAVICGMDPVCCESSTWMEAAQVEKLSHGSNQPFYQVLVDVYADPNLLVAYVPEENLLAPEQPDLRQFDHPYVSFLFYGMDAAGDFIPIKQLREKYNRPRHEIPLDPEGDEGGGGAA >KJB80307 pep chromosome:Graimondii2_0_v6:13:14668166:14670675:-1 gene:B456_013G091200 transcript:KJB80307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MVQTLSLSGALTTSGNTAVYGTEVGGRRHFEIIGKGHMKYGISRQYFWHGYVPSFYFNGNPNLLKKRNFGVKVGWPFKGGDRELGASSERSETANEDILIFFFQLDLATQVQRALNLEEYEFAQQLRNKLTEVEAEILKQQEAKRGLSSKSEAQDKALSLVRLRGELHNAIENENYALAAQLRDDMSKLEAESLAASAKALAYENAQYAFRLGQKVQHKIFGIPSNMISTKLYQLLVSFLCFFSSIPFP >KJB80304 pep chromosome:Graimondii2_0_v6:13:14666811:14670719:-1 gene:B456_013G091200 transcript:KJB80304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MVQTLSLSGALTTSGNTAVYGTEVGGRRHFEIIGKGHMKYGISRQYFWHGYVPSFYFNGNPNLLKKRNFGVKVGWPFKGGDRELGASSERSETANEDILIFFFQLDLATQVQRALNLEEYEFAQQLRNKLTEVEAEILKQQEAKRGLSSKSEAQDKALSLVRLRGELHNAIENENYALAAQLRDDMSKLEAESLAASAKALAYENAQYAFRLGQKVQHKIFGYRAVICGMDPVCCESSTWMEAAQVEKLSHGSNQPFYQVLVDVYADPNLLVAYVPEENLLAPEQPDLPVISSLSSSFVRSTTGLAMKFPSIPKVTKVAVVLHEQIRPHFHQ >KJB80309 pep chromosome:Graimondii2_0_v6:13:14666879:14670675:-1 gene:B456_013G091200 transcript:KJB80309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MVQTLSLSGALTTSGNTAVYGTEVGGRRHFEIIGKGHMKYGISRQYFWHGYVPSFYFNGNPNLLKKRNFGVKVGWPFKGGDRELGASSERSETANEDILIFFFQLDLATQVQRALNLEEYEFAQQLRNKLTEVEAEILKQQEAKRGLSSKSEAQDKALSLVRLRGELHNAIENENYALAAQLRDDMSKLEAESLAASAKALAYENAQYAFRLGQKVQHKIFGYRAVICGMDPVCCESSTWMEAAQVEKLSHGSNQPFYQFLRRIF >KJB80305 pep chromosome:Graimondii2_0_v6:13:14667132:14670484:-1 gene:B456_013G091200 transcript:KJB80305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MVQTLSLSGALTTSGNTAVYGTEVGGRRHFEIIGKGHMKYGISRQYFWHGYVPSFYFNGNPNLLKKRNFGVKVGWPFKGGDRELGASSERSETANEDILIFFFQLDLATQVQRALNLEEYEFAQQLRNKLTEVEAEILKQQEAKRGLSSKSEAQDKALSLVRLRGELHNAIENENYALAAQLRDDMSKLEAESLAASAKALAYENAQYAFRLGQKVQHKIFGYRAVICGMDPVCCESSTWMEAAQVEKLSHGSNQPFYQVLVDVYADPNLLVAYVPEENLLAPEQPDLRQFDHPYVSFLFYGMDAAGDFIPIKQLREKYNRPRHEIPLDPEGDEGGGGAA >KJB80308 pep chromosome:Graimondii2_0_v6:13:14667616:14670484:-1 gene:B456_013G091200 transcript:KJB80308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MVQTLSLSGALTTSGNTAVYGTEVGGRRHFEIIGKGHMKYGISRQYFWHGYVPSFYFNGNPNLLKKRNFGVKVGWPFKGGDRELGASSERSETANEDILIFFFQLDLATQVQRALNLEEYEFAQQLRNKLTEVEAEILKQQEAKRGLSSKSEAQDKALSLVRLRGELHNAIENENYALAAQLRDDMSKLEAESLAASAKALAYENAQYAFRLGQKVQHKIFGYRAVICGMDPVCCESSTWMEAAQVEKLSHGSNQPFYQVLVDVYADPNLLVAYGKPFRYCCWLDSF >KJB80310 pep chromosome:Graimondii2_0_v6:13:14666879:14670675:-1 gene:B456_013G091200 transcript:KJB80310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MVQTLSLSGALTTSGNTAVYGTEVGGRRHFEIIGKGHMKYGISRQYFWHGYVPSFYFNGNPNLLKKRNFGVKVGWPFKGGDRELGASSERSETANEDILIFFFQLDLATQVQRALNLEEYEFAQQLRNKLTEVEAEILKQQEAKRGLSSKSEAQDKALSLVRLRGELHNAIENENYALAAQLRDDMSKLEAESLAASAKALAYENAQYAFRLGQKVQHKIFGIPSNMISTKLYQLLVSFLCFFSSIPFP >KJB82418 pep chromosome:Graimondii2_0_v6:13:49713952:49718703:-1 gene:B456_013G195000 transcript:KJB82418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVAADLRSSIWKQMSDAGIKYIPSNTFSYYDQVLDATSMLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVDEYKEAKALGVNTVPVLIGPVSYLLLSKPAKGVEKTFSLLSLLPKILPIYKEVISDLKAAGASWIQFDEPTLVLDLDSHKLQAFTAAYDDLESTLSGLNVLIETYFADLTSEAYKTLIGLKGVTAYGLDLVRGAQTLDLVKSNFPKGKYLFAGVVDGRNIWANDLAASFSTLKELEAVVGKENLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREFKANKISEDDYIKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTARPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYLKWAVHSFRITNCGVQDTTQVCILLHFFHVVKAFVSAHPLIGVVFIQQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVKPALNNMVAAAKLLRTQLASAK >KJB82417 pep chromosome:Graimondii2_0_v6:13:49713928:49718766:-1 gene:B456_013G195000 transcript:KJB82417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVAADLRSSIWKQMSDAGIKYIPSNTFSYYDQVLDATSMLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVDEYKEAKALGVNTVPVLIGPVSYLLLSKPAKGVEKTFSLLSLLPKILPIYKEVISDLKAAGASWIQFDEPTLVLDLDSHKLQAFTAAYDDLESTLSGLNVLIETYFADLTSEAYKTLIGLKGVTAYGLDLVRGAQTLDLVKSNFPKGKYLFAGVVDGRNIWANDLAASFSTLKELEAVVGKENLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREFKANKISEDDYIKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTARPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYLKWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVKPALNNMVAAAKLLRTQLASAK >KJB82420 pep chromosome:Graimondii2_0_v6:13:49713952:49718753:-1 gene:B456_013G195000 transcript:KJB82420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVAADLRSSIWKQMSDAGIKYIPSNTFSYYDQVLDATSMLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVDEYKEAKALGVNTVPVLIGPVSYLLLSKPAKGVEKTFSLLSLLPKILPIYKEVISDLKAAGASWIQFDEPTLVLDLDSHKLQAFTAAYDDLESTLSGLNVLIETYFADLTSEAYKTLIGLKGVTAYGLDLVRGAQTLDLVKSNFPKGKYLFAGVVDGRNIWANDLAASFSTLKELEAVVGKENLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREFKANKISEDDYIKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTARPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYLKWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVKPALNNMVAAAKLLRTQLASAK >KJB82415 pep chromosome:Graimondii2_0_v6:13:49713952:49718703:-1 gene:B456_013G195000 transcript:KJB82415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVAADLRSSIWKQMSDAGIKYIPSNTFSYYDQVLDATSMLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVDEYKEAKALGVNTVPVLIGPVSYLLLSKPAKGVEKTFSLLSLLPKILPIYKEVISDLKAAGASWIQFDEPTLVLDLDSHKLQAFTAAYDDLESTLSGLNVLIETYFADLTSEAYKTLIGLKGVTAYGLDLVRGAQTLDLVKSNFPKGKYLFAGVVDGRNIWANDLAASFSTLKELEAVVGKENLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREFKANKISEDDYIKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTARPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYLKWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVKPALNNMVAAAKLLRTQLASAK >KJB82416 pep chromosome:Graimondii2_0_v6:13:49713952:49718703:-1 gene:B456_013G195000 transcript:KJB82416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVAADLRSSIWKQMSDAGIKYIPSNTFSYYDQVLDATSMLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVDEYKEAKALGVNTVPVLIGPVSYLLLSKPAKGVEKTFSLLSLLPKILPIYKEVISDLKAAGASWIQFDEPTLVLDLDSHKLQAFTAAYDDLESTLSGLNVLIETYFADLTSEAYKTLIGLKGVTAYGLDLVRGAQTLDLVKSNFPKGKYLFAGVVDGRNIWANDLAASFSTLKELEAVVGKENLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREFKANKISEDDYIKAIKEEIKKVVDLQEELDIDVLVHGEPEVKTIWLSTLVSSCLVLHSLLMDGCNLTDLDVSSHQSSTVMLAAPSQ >KJB82419 pep chromosome:Graimondii2_0_v6:13:49715049:49717854:-1 gene:B456_013G195000 transcript:KJB82419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVAADLRSSIWKQMSDAGIKYIPSNTFSYYDQVLDATSMLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVDEYKEAKALGVNTVPVLIGPVSYLLLSKPAKGVEKTFSLLSLLPKILPIYKEVISDLKAAGASWIQFDEPTLVLDLDSHKLQAFTAAYDDLESTLSGLNVLIETYFADLTSEAYKTLIGLKGVTAYGLDLVRGAQTLDLVKSNFPKGKYLFAGVVDGRNIWANDLAASFSTLKELEAVVGKENLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREFKANKISEDDYIKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTARPMKGMLTGPVTILNWSFVRNDQPRYA >KJB79000 pep chromosome:Graimondii2_0_v6:13:2258361:2264076:-1 gene:B456_013G030000 transcript:KJB79000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSSGNRKLSFEILGKTRSLEEDDRSLLYRSTSDPIGLQSGVAKPSRNSRKKKKQKKRKEAIADSPVISEDPVAEQCGNDSSGTLVESTSENYGIRDNGNVNRISCVGSASVVVVEESVCQNVCGFGELRQRNVIGGGEEMAAVASRAEEDRAEMNGSKEPLPPAQPQPVVNGNVANKLDTAESLDWKRLMSEDPNYLYAVHKSPAKYFLDEMYSGNSLRRTTTLGSEKERERVYDTIFRLPWRCEVLIDVGFFVCFDAFLSLLTIMPTRILIKLWRFLTARQFKRLSAAELCDFGCFLVLACGVILLGQTDISLIYHMIRGQGTIKLYMIYNVLEIIDKLCQSFGGDVFETLFNSAEGLATCSQENMKFWIRRFVSDQALAMAFSILHSFILLAQAIALSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSKDNIHNLAYADSVERFHISAFLLFVLAQNILEAQGPWFESFLFNAFVVFFCEMLIDIIKHSFLAKFNGIKPIEYSEFLEGLCKQTLNMQTEDSKKNLTFVPLAPACVVIRVLTPVYAAHLPYSPLPWRLFWIIFLISVTYVMLTSLKVMIGMGLQKHATWYVNRCRKRKHHLHFD >KJB79001 pep chromosome:Graimondii2_0_v6:13:2257721:2264260:-1 gene:B456_013G030000 transcript:KJB79001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRSRYPRHSLSPWLMGTWRISWTQLSLWIGSGLCLRILIIFMQCTNHRQSTFWMKCIVEIHCGGPQHLVVRKNESECMILSSACHGDVKWQFKRLSAAELCDFGCFLVLACGVILLGQTDISLIYHMIRGQGTIKLYMIYNVLEIIDKLCQSFGGDVFETLFNSAEGLATCSQENMKFWIRRFVSDQALAMAFSILHSFILLAQAIALSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSKDNIHNLAYADSVERFHISAFLLFVLAQNILEAQGPWFESFLFNAFVVFFCEMLIDIIKHSFLAKFNGIKPIEYSEFLEGLCKQTLNMQTEDSKKNLTFVPLAPACVVIRVLTPVYAAHLPYSPLPWRLFWIIFLISVTYVMLTSLKVMIGMGLQKHATWYVNRCRKRKHHLHFD >KJB79002 pep chromosome:Graimondii2_0_v6:13:2257721:2264276:-1 gene:B456_013G030000 transcript:KJB79002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSSDPIGLQSGVAKPSRNSRKKKKQKKRKEAIADSPVISEDPVAEQCGNDSSGTLVESTSENYGIRDNGNVNRISCVGSASVVVVEESVCQNVCGFGELRQRNVIGGGEEMAAVASRAEEDRAEMNGSKEPLPPAQPQPVVNGNVANKLDTAESLDWKRLMSEDPNYLYAVHKSPAKYFLDEMYSGNSLRRTTTLGSEKERERVYDTIFRLPWRCEVLIDVGFFVCFDAFLSLLTIMPTRILIKLWRFLTARQFKRLSAAELCDFGCFLVLACGVILLGQTDISLIYHMIRGQGTIKLYMIYNVLEIIDKLCQSFGGDVFETLFNSAEGLATCSQENMKFWIRRFVSDQALAMAFSILHSFILLAQAIALSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSKDNIHNLAYADSVERFHISAFLLFVLAQNILEAQGPWFESFLFNAFVVFFCEMLIDIIKHSFLAKFNGIKPIEYSEFLEGLCKQTLNMQTEDSKKNLTFVPLAPACVVIRVLTPVYAAHLPYSPLPWRLFWIIFLISVTYVMLTSLKVMIGMGLQKHATWYVNRCRKRKHHLHFD >KJB79003 pep chromosome:Graimondii2_0_v6:13:2259731:2264202:-1 gene:B456_013G030000 transcript:KJB79003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSSDPIGLQSGVAKPSRNSRKKKKQKKRKEAIADSPVISEDPVAEQCGNDSSGTLVESTSENYGIRDNGNVNRISCVGSASVVVVEESVCQNVCGFGELRQRNVIGGGEEMAAVASRAEEDRAEMNGSKEPLPPAQPQPVVNGNVANKLDTAESLDWKRLMSEDPNYLYAVHKSPAKYFLDEMYSGNSLRRTTTLGSEKERERVYDTIFRLPWRCEVLIDVGFFVCFDAFLSLLTIMPTRILIKLWRFLTARQFKRLSAAELCDFGCFLVLACGVILLGQTDISLIYHMIRGQGTIKLYMIYNVLEIIDKLCQSFGGDVFETLFNSAEGLATCSQENMKFWIRRFVSDQALAMAFSILHSFILLAQAIALSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSKDNIHNLAYAGELFLCAFLFIAFVTA >KJB78998 pep chromosome:Graimondii2_0_v6:13:2257721:2264202:-1 gene:B456_013G030000 transcript:KJB78998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSSDPIGLQSGVAKPSRNSRKKKKQKKRKEAIADSPVISEDPVAEQCGNDSSGTLVESTSENYGIRDNGNVNRISCVGSASVVVVEESVCQNVCGFGELRQRNVIGGGEEMAAVASRAEEDRAEMNGSKEPLPPAQPQPVVNGNVANKLDTAESLDWKRLMSEDPNYLYAVHKSPAKYFLDEMYSGNSLRRTTTLGSEKERERVYDTIFRLPWRCEVLIDVGFFVCFDAFLSLLTIMPTRILIKLWRFLTARQFKRLSAAELCDFGCFLVLACGVILLGQTDISLIYHMIRGQGTIKLYMIYNVLEIIDKLCQSFGGDVFETLFNSAEGLATCSQENMKFWIRRFVSDQALAMAFSILHSFILLAQAIALSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSKDNIHNLAYADSVERFHISAFLLFVLAQNILEAQGPWFESFLFNAFVVFFCEMLIDIIKHSFLAKFNGIKPIEYSEFLEGLCKQTLNMQTEDSKKNLTFVPLAPACVVIRVLTPVYAAHLPYSPLPWRLFWIIFLISVTYVMLTSLKVMIGMGLQKHATWYVNRCRKRKHHLHFD >KJB78996 pep chromosome:Graimondii2_0_v6:13:2257721:2264202:-1 gene:B456_013G030000 transcript:KJB78996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSSDPIGLQSGVAKPSRNSRKKKKQKKRKEAIADSPVISEDPVAEQCGNDSSGTLVESTSENYGIRDNGNVNRISCVGSASVVVVEESVCQNVCGFGELRQRNVIGGGEEMAAVASRAEEDRAEMNGSKEPLPPAQPQPVVNGNVANKLDTAESLDWKRLMSEDPNYLYAVHKSPAKYFLDEMYSGNSLRRTTTLGSEKERERVYDTIFRLPWRCEVLIDVGFFVCFDAFLSLLTIMPTRILIKLWRFLTARQFKRLSAAELCDFGCFLVLACGVILLGQTDISLIYHMIRGQGTIKLYMIYNVLEIIDKLCQSFGGDVFETLFNSAEGLATCSQENMKFWIRRFVSDQALAMAFSRCQHFQTLNMQTEDSKKNLTFVPLAPACVVIRVLTPVYAAHLPYSPLPWRLFWIIFLISVTYVMLTSLKVMIGMGLQKHATWYVNRCRKRKHHLHFD >KJB78997 pep chromosome:Graimondii2_0_v6:13:2258361:2264076:-1 gene:B456_013G030000 transcript:KJB78997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSSDPIGLQSGVAKPSRNSRKKKKQKKRKEAIADSPVISEDPVAEQCGNDSSGTLVESTSENYGIRDNGNVNRISCVGSASVVVVEESVCQNVCGFGELRQRNVIGGGEEMAAVASRAEEDRAEMNGSKEPLPPAQPQPVVNGNVANKLDTAESLDWKRLMSEDPNYLYAVHKSPAKYFLDEMYSGNSLRRTTTLGSEKERERVYDTIFRLPWRCEVLIDVGFFVCFDAFLSLLTIMPTRILIKLWRFLTARQFKRLSAAELCDFGCFLVLACGVILLGQTDISLIYHMIRGQGTIKLYMIYNVLEIIDKLCQSFGGDVFETLFNSAEGLATCSQENMKFWIRRFVSDQALAMAFSILHSFILLAQAIALSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSKDNIHNLAYADSVERFHISAFLLFVLAQNILEAQGPWFESFLFNAFVVFFCEMLIDIIKHSFLAKFNGIKPIEYSEFLEGLCKQTLNMQTEDSKKNLTFVPLAPACVVIRVLTPVYAAHLPYSPLPWRLFWIIFLISVTYVMLTSLKVMIGMGLQKHATWYVNRCRKRKHHLHFD >KJB78995 pep chromosome:Graimondii2_0_v6:13:2258361:2264076:-1 gene:B456_013G030000 transcript:KJB78995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSSDPIGLQSGVAKPSRNSRKKKKQKKRKEAIADSPVISEDPVAEQCGNDSSGTLVESTSENYGIRDNGNVNRISCVGSASVVVVEESVCQNVCGFGELRQRNVIGGGEEMAAVASRAEEDRAEMNGSKEPLPPAQPQPVVNGNVANKLDTAESLDWKRLMSEDPNYLYAVHKSPAKYFLDEMYSGNSLRRTTTLGSEKERERVYDTIFRLPWRCEVLIDVGFFVCFDAFLSLLTIMPTRILIKLWRFLTARQFKRLSAAELCDFGCFLVLACGVILLGQTDISLIYHMIRGQGTIKLYMIYNVLEIIDKLCQSFGGDVFETLFNSAEGLATCSQENMKFWIRRFVSDQALAMAFSILHSFILLAQAIALSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSKDNIHNLAYADSVERFHISAFLLFVLAQNILEAQGPWFESFLFNAFVVFFCEMLIDIIKHSFLAKFNGIKPIEYSEFLEGLCKQTLNMQTEDSKKNLTFVPLAPACVVIRVLTPVYAAHLPYSPLPWRLFWIIFLISVTYVMLTSLKVMIGMGLQKHATWYVNRCRKRKHHLHFD >KJB78999 pep chromosome:Graimondii2_0_v6:13:2258361:2264076:-1 gene:B456_013G030000 transcript:KJB78999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSSDPIGLQSGVAKPSRNSRKKKKQKKRKEAIADSPVISEDPVAEQCGNDSSGTLVESTSENYGIRDNGNVNRISCVGSASVVVVEESVCQNVCGFGELRQRNVIGGGEEMAAVASRAEEDRAEMNGSKEPLPPAQPQPVVNGNVANKLDTAESLDWKRLMSEDPNYLYAVHKSPAKYFLDEMYSGNSLRRTTTLGSEKERERVYDTIFRLPWRCEVLIDVGFFVCFDAFLSLLTIMPTRILIKLWRFLTARQFKRLSAAELCDFGCFLVLACGVILLGQTDISLIYHMIRGQGTIKLYMIYNVLEIIDKLCQSFGGDVFETLFNSAEGLATCSQENMKFWIRRFVSDQALAMAFSILHSFILLAQAIALSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSKDNIHNLAYADSVERFHISAFLLFVLAQNILEAQGPWFESFLFNAFVVFFCEMLIDIIKHSFLAKFNGIKPIEYSEFLEGLCKQTLNMQTEDSKKNLTFVPLAPACVVIRVLTPVYAAHLPYSPLPWRLFWIIFLISVTYVMLTSLKVMIGMGLQKHATWYVNRCRKRKHHLHFD >KJB82944 pep chromosome:Graimondii2_0_v6:13:54135530:54142923:1 gene:B456_013G221400 transcript:KJB82944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPMEEEQCYPLKTTFSETHLHPSQIMVSINDDHAKNHHPSSSSNPDHSSPRGHQLQVVRYKMIAVMLIKDFVQPINSIDKKKLIIFLAPTVHLVNQQFEYIKFHTSLDVEQYYGDKGVNEWNSGYWEKDIKEHDVLVMTPQILLDALWKAFLSLEMVSLMIIDECHHATGNHPYAKIMKEFYHKSNNKPKIFGMTASPLVSKGALSNNDCEDQLSELENVMDCMIYAIEDMTEMETYVPTAKESCRFFDPTRFCSSGLKAMIEASWLKIDASLSTLQGSIQTSYTDMDDKFKALHKRSSNDHAKILHCLDDLGLICAYEAVKVCLENIPDTKEECEAYKEGVLQCKRFLNEVLQIIRESLPLGDENFLNSGFDYLKAVDSGYISPKLHELIEFFLSLGEPSQVLCLVFVERIVTAKVIERFVKKVSCLSCFVVSCLTGSNTSVDSMAPKIQKETLEYFMVNDCGEGIHIPNCSYVIRFDLPKTVLSYVQSRGRARQSGSQFIMILERGNEKQRDQLYDLIRSEHSMTNTAMNRDPDLSLPENRTLEETNVSIVAATGASIEETSKNRCASKGKDPIFDSGTTRWKELHGTTQIRAFSGSWGEKADASVFFAYKIDFSCNVVSVVYSGFLTDDVGNIEVDLYLIGKIAKARVSFYGKVHLDAVQITAAKRFQEIFFNGLFGRLFVGSSRTSRELLFHSKTSLLWHPFNMYLLLPLEDSLSNELRINWPGITACTLAVEFLTADGNRGNPSLNQTDSSVTEHKETNVIHFANRSIDVNNISNIVVLAIHTGRIYSTIELVHDTSAKSSFNDIVDMNSLKFATFSEYYNRKYCIVLKHPGQPLLLLKQSHNPHNLLVDFNDEGCRFSAQALQASSVNEKSWNTIHMPPKLLLILDVPVYVLKAFYLLPSLMHRLESLMLASQLREEIDFRSSNFDIPSSMILEALTTLRCCESFSMERLEFLGDSVLKYAGYIRDSAFDPRRWVAPGQLRLRSFPCNCRVDSREVPLDKKFQTEDLEIKVSISCDRGHRWMCSKTISDCVEALIGAYYVSGGLIAALHMMKWLGIDAELDPSLVAEAINRASLQSYKFSMKFFLQEALTHASANEFYCYQRLEFLGDSVLDLLITRYLYCNHTDIDSGELTDLRSASVSNETFAQVAVRNDLHKHLRHCSTLLLDQISEYAQSLPESWDTTRSVPGIKGPKICSNLIESIVAAILIDTNLNLDEVWRIVEPLLSLIVTPDKLEEKCVNTGEMVHAKLWLQLDDILLVGEAFNRSKKVAKGKAALYLLKELEDRGISRKRTKQDHAGSSGTTDDDLLEPKMCKKQRIAEIPSPTNDSSRTAYGAEIPSPANASSRTAYVIARINTNKGRPRATLYEICRKQLWPRPTFETTEGRSRSPMEIGKGADRKIGFNSFVTKITLNGPSSGIIECSGDVRADKKSSCDSAAILMLYRLKELGKLIIRES >KJB79070 pep chromosome:Graimondii2_0_v6:13:2494140:2495446:-1 gene:B456_013G032900 transcript:KJB79070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMINDEIAGLEWVSHFVDDSVPEIPLPCPVFKQETDNHAGTRFEAETGPVFVKTPSFSSSVPSKARSKRSKPTVPTWSVGSLPISESSSSTASSSSTCSGSSMTSTELANGFRVPPTKKQKKKPVAVQGSGLSSGNPFQRRCSHCQVQKTPQWRTGPLGAKTLCNACGVRYKSGRLFPEYRPACSPTFSGDLHSNSHRKVLEMRKRKELTVNDSVESTRLVPSF >KJB79069 pep chromosome:Graimondii2_0_v6:13:2493891:2495476:-1 gene:B456_013G032900 transcript:KJB79069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRALKSSVRELTMQNTHHVFDDSLVMNVMNGAVAGEDFSIDCFLDFNNGEFEEGSVEEEEKNSVSTSYQLRVADDDSNSNSTSFTLSVPNDEIAGLEWVSHFVDDSVPEIPLPCPVFKQETDNHAGTRFEAETGPVFVKTPSFSSSVPSKARSKRSKPTVPTWSVGSLPISESSSSTASSSSTCSGSSMTSTELANGFRVPPTKKQKKKPVAVQGSGLSSGNPFQRRCSHCQVQKTPQWRTGPLGAKTLCNACGVRYKSGRLFPEYRPACSPTFSGDLHSNSHRKVLEMRKRKELTVNDSVESTRLVPSF >KJB83293 pep chromosome:Graimondii2_0_v6:13:55846193:55848242:-1 gene:B456_013G240000 transcript:KJB83293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTVNAEIEFPAIEFRSSDLKRGTDGWRHLCKKVQEACEKFGCFEVVYDTISTKVREETFGLMKELVEVPVERKQKNTSPMPYHGWVGPCEQVSLLYEGFGIGNASNYDCVKSFTQLMWPEGHPHFCDTIYTMGTQMEELNKLIWLMLIDSYGLGDDSLKLNYTTLVRMMKYMAPPPGEYERGLFAHTDKPVSTLICEDQVSGLEIEVNDGQWIKLTNLSPSSFVFVVGDPLKAWSNGRLKSVNHRVMMSGDKDRYSIAAFVIPNEGTIIRTPEELIDDQHPRLFKDFDFMDFFLFAFSDPAKHIDNGELLHVFAGLSPPVSN >KJB78802 pep chromosome:Graimondii2_0_v6:13:1377061:1379398:1 gene:B456_013G019800 transcript:KJB78802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGTQQKCKACEKTVYPVELLSADGIPYHKSCFKCSHCKGTLKLGNYSSMEGVVYCKPHFEQLFKESGNFNKNFQSPARSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVEGQSYHKSCFKCSHGGCPITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAASVPEA >KJB78801 pep chromosome:Graimondii2_0_v6:13:1377017:1379342:1 gene:B456_013G019800 transcript:KJB78801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGTQQKCKACEKTVYPVELLSADGIPYHKSCFKCSHCKGTLKLGNYSSMEGVVYCKPHFEQLFKESGNFNKNFQSPARSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVEGQSYHKSCFKCSHGGCPITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAASVPEA >KJB78800 pep chromosome:Graimondii2_0_v6:13:1376506:1379398:1 gene:B456_013G019800 transcript:KJB78800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGTQQKCKACEKTVYPVELLSADGIPYHKSCFKCSHCKGTLKLGNYSSMEGVVYCKPHFEQLFKESGNFNKNFQSPARSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVEGQSYHKSCFKCSHGGCPITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAASVPEA >KJB80329 pep chromosome:Graimondii2_0_v6:13:14878681:14885458:1 gene:B456_013G092300 transcript:KJB80329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDKEGLDPAGTEFKSDSSSSWTLAGPDSVSDSINYFFDRESSILSEFGWNILQLDHADEIERFSELDRTDASRGLAGNFSGSQSQSCGAAGGSCSGAASNPVGSAEVPTSNPSVSSSSSEDPPEKSTGFGGKPPEIPSKVRKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPTIVITTYEGQHCHHSVGFPRGGLISHEAAFAGQFTPGVSQFYYSQGVQLHRGISPSTTQSQQLPIEVRESRALPEPTSQLPKDEGLLGDIVPPGMRKT >KJB80387 pep chromosome:Graimondii2_0_v6:13:15603981:15606560:1 gene:B456_013G094900 transcript:KJB80387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGEAPKAGTNNSQAAPAEAPAVSKPAPAPEPVDISKQIPAGINSISANNYMRADGQNTGNFLTDRPSTKVHSAPGGGSSLDYLFGGPGGSK >KJB80390 pep chromosome:Graimondii2_0_v6:13:15603939:15606560:1 gene:B456_013G094900 transcript:KJB80390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGEAPKAGTNNSQAAPAEAPAVSKPAPAPEPVDISKQIPAGINSISANNYMRADGQNTGNFLTDRPSTKVHSAPGGGSSLDYLFGGPGGSK >KJB80386 pep chromosome:Graimondii2_0_v6:13:15603805:15606560:1 gene:B456_013G094900 transcript:KJB80386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGEAPKAGTNNSQAAPAEAPAVSKPAPAPEPVDISKQIPAGINSISANNYMRADGQNTGNFLTDRPSTKVHSAPGGGSSLDYLFGGPGGSK >KJB80389 pep chromosome:Graimondii2_0_v6:13:15605423:15606560:1 gene:B456_013G094900 transcript:KJB80389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGEAPKAGTNNSQAAPAEAPAVSKPAPAPEPVDISKQIPAGINSISANNYMRADGQNTGNFLTDRPSTKVHSAPGGGSSLDYLFGGPGGSK >KJB80384 pep chromosome:Graimondii2_0_v6:13:15604314:15606560:1 gene:B456_013G094900 transcript:KJB80384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGEAPKAGTNNSQAAPAEAPAVSKPAPAPEPVDISKQIPAGINSISANNYMRADGQNTGNFLTDRPSTKVHSAPGGGSSLDYLFGGPGGSK >KJB80388 pep chromosome:Graimondii2_0_v6:13:15603981:15606560:1 gene:B456_013G094900 transcript:KJB80388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGEAPKAGTNNSQAAPAEAPAVSKPAPAPEPVDISKQIPAGINSISANNYMRADGQNTGNFLTDRPSTKVHSAPGGGSSLDYLFGGPGGSK >KJB80385 pep chromosome:Graimondii2_0_v6:13:15603979:15606560:1 gene:B456_013G094900 transcript:KJB80385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGEAPKAGTNNSQAAPAEAPAVSKPAPAPEPVDISKQIPAGINSISANNYMRADGQNTGNFLTDRPSTKVHSAPGGGSSLDYLFGGPGGSK >KJB82748 pep chromosome:Graimondii2_0_v6:13:52436216:52439924:1 gene:B456_013G212000 transcript:KJB82748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRQRPYLKRPVWIIVLVNFVILFLIAAYLYPPATSAACFIFSPTDCTLLNQPPAPKIPTRELTDDETISQVVIKEILKTPPVESNNRKIAFLFLTPGQLPFEPLWAKFFQGHEGRFSVYVHASKEKPVHTSHYFMGRDIHSESVSWGKISMVDAERRLLAKALLDSDNQQFVLLSESCIPLQNFDYVYNYLMLTNVSFIDSFVDLGPHGSGRYSEHMMPEVEKDDFRKGSQPNMEGRNCYADEHYLPTFFNMIDPVGIAKRSVTYVDWSERKWHPKSFKAEDITLKFLKNLTTIDDIVHFTSDEKRMLTGPCLWNGIKRPCYLFARKFYPQTLERLMFYFSNYTTLSV >KJB79985 pep chromosome:Graimondii2_0_v6:13:12740214:12743976:-1 gene:B456_013G085600 transcript:KJB79985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDRLVRPVPARPLESGAGPSTEEKEKVKDKEVFQGSNEEDPLIQVAECRICQEEDSIKNLETPCACNGSLKYAHRKCVQHWCNEKGDIICEICHQSYQPDYTAPPRTQTDETAIDIGGGWTISGTPLDLRDSRLLAIAEAERQFLETGYDEYAASNATGAAFCRSAAVILMALLLLRHAVTVPDADSDDDVSSFFSFFLLRAAGFLLPCYIMAWAISILQQRRQRQEAAALAATQVAFVLQSGQSRGMHFAIASGPAVTLHPENV >KJB79986 pep chromosome:Graimondii2_0_v6:13:12740106:12744027:-1 gene:B456_013G085600 transcript:KJB79986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDRLVRPVPARPLESGAGPSTEEKEKVKDKEVFQGSNEEDPLIQVAECRICQEEDSIKNLETPCACNGSLKYAHRKCVQHWCNEKGDIICEICHQSYQPDYTAPPRTQTDETAIDIGGGWTISGTPLDLRDSRLLAIAEAERQFLETGYDEYAASNATGAAFCRSAAVILMALLLLRHAVTVPDADSDDDVSSFFSFFLLRAAGFLLPCYIMAWAISILQQRRQRQEAAALAATQVAFVLQSGQSRGMHFAIASGPAVTLHPENV >KJB79981 pep chromosome:Graimondii2_0_v6:13:12740455:12743976:-1 gene:B456_013G085600 transcript:KJB79981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDRLVRPVPARPLESGAGPSTEEKEKVKDKEVFQGSNEEDPLIQVAECRICQEEDSIKNLETPCACNGSLKYAHRKCVQHWCNEKGDIICEICHQSYQPDYTAPPRTQTDETAIDIGGGWTISGTPLDLRDSRLLAIAEAERQFLETGYDEYAASNATGAAFCRSAAVILMALLLLRHAVTVPDADSDDDVSSFFSFFLLRAAGFLLPCYIMAWAISILQQRRQRQQRWLPPKLLLYYNLGKVGVCISQ >KJB79984 pep chromosome:Graimondii2_0_v6:13:12741398:12743776:-1 gene:B456_013G085600 transcript:KJB79984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDRLVRPVPARPLESGAGPSTEEKEKVKDKEVFQGSNEEDPLIQVAECRICQEEDSIKNLETPCACNGSLKYAHRKCVQHWCNEKGDIICEICHQSYQPDYTAPPRTQTDETAIDIGGGWTISGTPLDLRDSRLLAIAEAERQFLETGYDEYAASNATGAAFCRSAAVILMALLLLRHAVTVPDADSDDDVSSFFSVSCDLKLLS >KJB79980 pep chromosome:Graimondii2_0_v6:13:12740455:12743995:-1 gene:B456_013G085600 transcript:KJB79980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDRLVRPVPARPLESGAGPSTEEKEKVKDKEVFQGSNEEDPLIQVAECRICQEEDSIKNLETPCACNGSLKYAHRKCVQHWCNEKGDIICEICHQSYQPDYTAPPRTQTDETAIDIGGGWTISGTPLDLRDSRLLAIAEAERQFLETGYDEYAASNATGAAFCRSAAVILMALLLLRHAVTVPDADSDDDVSSFFSFFLLRAAGFLLPCYIMAWAISILQQRRQRQEAAALAATQVAFVLQSGQSRGMHFAIASGPAVTLHPENV >KJB79979 pep chromosome:Graimondii2_0_v6:13:12740455:12743976:-1 gene:B456_013G085600 transcript:KJB79979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDRLVRPVPARPLESGAGPSTEEKEKVKDKEVFQGSNEEDPLIQVAECRICQEEDSIKNLETPCACNGSLKYAHRKCVQHWCNEKGDIICEICHQSYQPDYTAPPRTQTDETAIDIGGGWTISGTPLDLRDSRLLAIAEAERQFLETGYDEYAASNATGAAFCRSAAVILMALLLLRHAVTVPDADSDDDVSSFFSFFLLRAAGFLLPCYIMAWAISILQQRRQRQEAAALAATQVAFVLQSGQSRGMHFAIASGPAVTLHPENV >KJB79983 pep chromosome:Graimondii2_0_v6:13:12741186:12743776:-1 gene:B456_013G085600 transcript:KJB79983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDRLVRPVPARPLESGAGPSTEEKEKVKDKEVFQGSNEEDPLIQVAECRICQEEDSIKNLETPCACNGSLKYAHRKCVQHWCNEKGDIICEICHQSYQPDYTAPPRTQTDETAIDIGGGWTISGTPLDLRDSRLLAIAEAERQFLETGYDEYAASNATGAAFCRSAAVILMALLLLRHAVTVPDADSDDDVSSFFSFFLLRAAGFLLPCYIMAWAISILQQRRQRQVIFFFITIFFFFTP >KJB79982 pep chromosome:Graimondii2_0_v6:13:12740455:12744185:-1 gene:B456_013G085600 transcript:KJB79982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDRLVRPVPARPLESGAGPSTEEKEKVKDKEVFQGSNEEDPLIQVAECRICQEEDSIKNLETPCACNGSLKYAHRKCVQHWCNEKGDIICEICHQSYQPDYTAPPRTQTDETAIDIGGGWTISGTPLDLRDSRLLAIAEAERQFLETGYDEYAASNATGAAFCRSAAVILMALLLLRHAVTVPDADSDDDVSSFFSFFLLRAAGFLLPCYIMAWAISILQQRRQRQEAAALAATQVAFVLQSGQSRGMHFAIASGPAVTLHPENV >KJB80537 pep chromosome:Graimondii2_0_v6:13:19497940:19498490:1 gene:B456_013G103300 transcript:KJB80537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRGIISNFRTPTLPFPTCKYSISDKDLESRGFFLRRTISDLNLDHLNSVFVAVGFPRRDTEKIKLALEHTQSILWVEHKKSQKPVAFARATGDGVFNAIIWDVVVDPSFQGIGLGKAVMERLVEELLEKGICNISLYSEPRVLGFYRPLGFVADPDGIRGMVYSRKQKKNK >KJB79839 pep chromosome:Graimondii2_0_v6:13:7986290:7988773:-1 gene:B456_013G069000 transcript:KJB79839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIAIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIIFSSTGKMCQYCTQPYRMEQIIERYQKVTGTRIPEHDNREHLYNELAVLRKETRLLQLSMRRYTGEDMSSIPYEELDQLEQELERSVNKVRERKNELLQQQLDNLRRKERMLEEENNNMYRWIQEHRAAIEYQQQGGLEAKPVEHHQQVLDEFPFYGEPSSVLQLATIPQQFSYQLQLAQPNLQDSNV >KJB79841 pep chromosome:Graimondii2_0_v6:13:7986109:7989105:-1 gene:B456_013G069000 transcript:KJB79841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIAIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIIFSSTGKMCQYCTQPYRMEQIIERYQKVTGTRIPEHDNREHLYNELAVLRKETRLLQLSMRRYTGEDMSSIPYEELDQLEQELERSVNKVRERKNELLQQQLDNLRRKERMLEEENNNMYRWIQEHRAAIDIINKFLMNSPSTESQAVCFSLQPFLNSSPISFSLLSPTFKIQMFSYIYKP >KJB79838 pep chromosome:Graimondii2_0_v6:13:7986109:7989137:-1 gene:B456_013G069000 transcript:KJB79838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIAIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIIFSSTGKMCQYCTQPYRMEQIIERYQKVTGTRIPEHDNREHLYNELAVLRKETRLLQLSMRRYTGEDMSSIPYEELDQLEQELERSVNKVRERKERMLEEENNNMYRWIQEHRAAIEYQQQGGLEAKPVEHHQQVLDEFPFYGEPSSVLQLATIPQQFSYQLQLAQPNLQDSNV >KJB79840 pep chromosome:Graimondii2_0_v6:13:7986109:7989105:-1 gene:B456_013G069000 transcript:KJB79840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIAIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIIFSSTGKMCQYCTQPYRMEQIIERYQKVTGTRIPEHDNREHLYNELAVLRKETRLLQLSMRRYTGEDMSSIPYEELDQLEQELERSVNKVRERKIQEHRAAIEYQQQGGLEAKPVEHHQQVLDEFPFYGEPSSVLQLATIPQQFSYQLQLAQPNLQDSNV >KJB79843 pep chromosome:Graimondii2_0_v6:13:7986290:7988773:-1 gene:B456_013G069000 transcript:KJB79843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIAIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIIFSSTGKMCQYCTQPYRMEQIIERYQKVTGTRIPEHDNREHLYNELAVLRKETRLLQLSMRRYTGEDMSSIPYEELDQLEQELERSVNKVRERKNELLQQQLDNLRRKERMLEEENNNMYRWIQEHRAAIEYQQQGGLEAKPVEHHQQVLDEFPFYGEPSSVLQLATIPQQFSYQLQLAQPNLQDSNV >KJB79842 pep chromosome:Graimondii2_0_v6:13:7986008:7989105:-1 gene:B456_013G069000 transcript:KJB79842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIAIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIIFSSTGKMCQYCTQPYRMEQIIERYQKVTGTRIPEHDNREHLYNELAVLRKETRLLQLSMRRYTGEDMSSIPYEELDQLEQELERSVNKVRERKERMLEEENNNMYRWIQEHRAAIEYQQQGGLEAKPVEHHQQVLDEFPFYGEPSSVLQLATIPQQFSYQLQLAQPNLQDSNV >KJB79844 pep chromosome:Graimondii2_0_v6:13:7986290:7988773:-1 gene:B456_013G069000 transcript:KJB79844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIAIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIIFSSTGKMCQYCTQPYRMEQIIERYQKVTGTRIPEHDNREHLYNELAVLRKETRLLQLSMRRYTGEDMSSIPYEELDQLEQELERSVNKVRERKNELLQQQLDNLRRKERMLEEENNNMYRWIQEHRAAIEYQQQGGLEAKPVEHHQQVLDEFPFYGEPSSVLQLATIPQQFSYQLQLAQPNLQDSNV >KJB82242 pep chromosome:Graimondii2_0_v6:13:48264655:48266695:-1 gene:B456_013G184000 transcript:KJB82242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINIDQEETSSVREIRPKSRRIMGGGGPDDEDDEDEDMKWPPWLRPLLQTSFFGQCKLHPDAHKSECNMYCLECMNGALCSLCLAYHKDHRTIQIRRSSYHDVIRVSEIQKLIDITGIQTYIINSARIVFLNERPQPRLGKGVTNTCHVCHRSLLDSFTFCSLGCKVVGTSKKLIKKGKLSTEMEYSEGVSLNISVSIEGIQNIQQSFTPSTPPPTARRRKGVPHRAPMGGSL >KJB83505 pep chromosome:Graimondii2_0_v6:13:56823595:56827012:-1 gene:B456_013G250600 transcript:KJB83505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAGSADMAVAPPSSRVSLSPFSPVRRRRRRLSVHFADLSRPVVSSATRLARVSLQGRLVNAEEASSARTVGGGLGCEEAVAWELFSPIERFLIVAVVGVATAESKKNWLISHLRKSVELRDEVLSSMQQKVDNLCEQLNNGEEKPIIGAKIEVGTPLNETFGSGSMKVDDCGCWICYQHREQFKGNYMVKNSSGSVTPLPNETEQEERRMSDLSDWASSVTSASEIQLNNLAIEQDIFNLKRECEEKDAIIKELNTSVQSTNMASSKRISELEDIIRRKNTTITRLKKDMVVLEQKVVHLTRLQRPSSSTSSPNYWQTPVMTDNLLYDMDSTTSPSSSDSDCSPKNQPQAPVPKVEEVCFQNNDIALDKEQKSAPAKVTSSFTGQTACRSMPEPATPLREISMNQRSKPPSSRQRQVSASQDSKRIKKQTRSVSKDSTSKKRWA >KJB83504 pep chromosome:Graimondii2_0_v6:13:56823595:56826860:-1 gene:B456_013G250600 transcript:KJB83504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAGSADMAVAPPSSRVSLSPFSPVRRRRRRLSVHFADLSRPVVSSATRLARVSLQGRLVNAEEASSARTVGGGLGCEEAVAWELFSPIERFLIVAVVGVATAESKKNWLISHLRKSVELRDEVLSSMQQKVDNLCEQLNNGEEKPIIGAKIEVGTPLNETFGSGSMKVDDCGCWICYQHREQFKGNYMVKNSSGSVTPLPNETEQEERRMSDLSDWASSVTSASEIQDIFNLKRECEEKDAIIKELNTSVQSTNMASSKRISELEDIIRRKNTTITRLKKDMVVLEQKVVHLTRLQRPSSSTSSPNYWQTPVMTDNLLYDMDSTTSPSSSDSDCSPKNQPQAPVPKVEEVCFQNNDIALDKEQKSAPAKVTSSFTGQTACRSMPEPATPLREISMNQRSKPPSSRQRQVSASQDSKRIKKQTRSVSKDSTSKKRWA >KJB79694 pep chromosome:Graimondii2_0_v6:13:6851771:6856095:-1 gene:B456_013G062300 transcript:KJB79694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFASIRQISRQFVKREPLLKFCCFVQNESFFPSPCLDCITFASQRSYSAVSTSLHALCVTSPDFSLLAKQNVLQHHNIFAKWLIARYLSHASIELKTDEDVIRFSFNKSVGRLASTNRKRKMSKKAKVNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEAWLIEKLRKYEVPKAPAEAYDPEILTEEEIHYLKRTGEKKKNYVQVGRRGVFGGVVLNMHLHWKKHETVKVICKPCKLGQVLEYAEELARLSKGIVIDIKPNNTIIFYRGKNYVQPNIMSPADTLSKSKALEKYKYEQSLDHTSEFIEKLEKELEEYLKHKARYHKAKESEPQDFAVSILQHQLQNYCHISVSRKHPYE >KJB79695 pep chromosome:Graimondii2_0_v6:13:6852935:6856095:-1 gene:B456_013G062300 transcript:KJB79695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFASIRQISRQFVKREPLLKFCCFVQNESFFPSPCLDCITFASQRSYSAVSTSLHALCVTSPDFSLLAKQNVLQHHNIFAKWLIARYLSHASIELKTDEDVIRFSFNKSVGRLASTNRKRKMSKKAKVNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEAWLIEKLRKYEVPKAPAEAYDPEILTEEEIHYLKRTGEKKKNYVQVGRRGVFGGVVLNMHLHWKKHETVKVICKPCKLGQVLEYAEELARLSKGIVIDIKPNNTIIFYRGKNYVQPNIMSPADTLSKSKVIFLGFLGLSVYCAYIWCFLNFPP >KJB79693 pep chromosome:Graimondii2_0_v6:13:6851028:6856187:-1 gene:B456_013G062300 transcript:KJB79693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFASIRQISRQFVKREPLLKFCCFVQNESFFPSPCLDCITFASQRSYSAVSTSLHALCVTSPDFSLLAKQNVLQHHNIFAKWLIARYLSHASIELKTDEDVIRFSFNKSVGRLASTNRKRKMSKKAKVNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEAWLIEKLRKYEVPKAPAEAYDPEILTEEEIHYLKRTGEKKKNYVQVGRRGVFGGVVLNMHLHWKKHETVKVICKPCKLGQVLEYAEELARLSKGIVIDIKPNNTIIFYRGKNYVQPNIMSPADTLSKSKALEKYKYEQSLDHTSEFIEKLEKELEEYLKHKARYHKAKESEPQDFADDNGCNSTLS >KJB83862 pep chromosome:Graimondii2_0_v6:13:58059326:58064473:1 gene:B456_013G268500 transcript:KJB83862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESAKVLYIVVVDEGEKGDETLSFRYTRPVLQGTLQLMGCKARHAFKISQRTFELITRKSSYNSLLQEGSKTLNSDGLKGNTKKEDIHPSNFYRAEAGSCLVSGEDNRDKSIPFELYKRRTSIVVKRKCFLDVVCQALDEYKYVGPSERADLILACRIRERKKSITVLLCGTSGCGKSTLSALLASRLGITTVISTDSIRHMMRSFVDEKENPLLWASTYHAGECLDPVAVAEAKAKKKAKKLAGTAQSFPKGERADGSSASKCDAQPMESGSICTELIGPKQIAVEGFKAQSEMVIDSLDRLITAWEERKESVIIEGVHLSLTFVMGLMRKHPSIIPFMIYISNEDKHLERFAVRAKYMTLDPAKNKYVKYIKNIRAIQDYLCKRADKHLVPKINNTNVDRSVAAIHATVFSCFRRHEAGEPLYDPVANTVGVIYDEYKKCAANSLSSKGMFQLIQRQGSSRQLMALLNTDGSVAKAWPVKPVDSNGRPISGHMCRGGVGLPLYGPLQIGKAEPVNLQFGNFGISAWPSDGGTSRAGSVDDLRCDAADTGSRHHSSCGSSPRMSDGPAKELKEETSEFGSDDEEVDDPPEVDSDEDFADRHKQFQEEVGSVDEGSTKSDEEYDDLEMEDMVENDDRLEDEDRRGKLMASSEDQATPTKGDKASKSDQLSVPREKSEKGFARMRKRSLSNPDMKKHVSIINDCILSRTPER >KJB83863 pep chromosome:Graimondii2_0_v6:13:58060458:58064473:1 gene:B456_013G268500 transcript:KJB83863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQRTFELITRKSSYNSLLQEGSKTLNSDGLKGNTKKEDIHPSNFYRAEAGSCLVSGEDNRDKSIPFELYKRRTSIVVKRKCFLDVVCQALDEYKYVGPSERADLILACRIRERKKSITVLLCGTSGCGKSTLSALLASRLGITTVISTDSIRHMMRSFVDEKENPLLWASTYHAGECLDPVAVAEAKAKKKAKKLAGTAQSFPKGERADGSSASKCDAQPMESGSICTELIGPKQIAVEGFKAQSEMVIDSLDRLITAWEERKESVIIEGVHLSLTFVMGLMRKHPSIIPFMIYISNEDKHLERFAVRAKYMTLDPAKNKYVKYIKNIRAIQDYLCKRADKHLVPKINNTNVDRSVAAIHATVFSCFRRHEAGEPLYDPVANTVGVIYDEYKKCAANSLSSKGMFQLIQRQGSSRQLMALLNTDGSVAKAWPVKPVDSNGRPISGHMCRGGVGLPLYGPLQIGKAEPVNLQFGNFGISAWPSDGGTSRAGSVDDLRCDAADTGSRHHSSCGSSPRMSDGPAKELKEETSEFGSDDEEVDDPPEVDSDEDFADRHKQFQEEVGSVDEGSTKSDEEYDDLEMEDMVENDDRLEDEDRRGKLMASSEDQATPTKGDKASKSDQLSVPREKSEKGFARMRKRSLSNPDMKKHVSIINDCILSRTPER >KJB80066 pep chromosome:Graimondii2_0_v6:13:10727208:10731085:1 gene:B456_013G079900 transcript:KJB80066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVMHFWVAISMVFFIAATTFTLLKLCGDVAALGWWDLFINFGIAECFAFLVCTKWYNPAIHRNSHLGQTSSSSMSTRYLDWNRGLVVSTDEDMQQNSGMCSMQDIGGHVMKIPFIGFQIMLFMYLEGTPPSARNISSPVLFSPLLLLQGAGVVLAAYRLIEKIVILIHSEAVSGRYFDISSKVVEFFGFLHHGSRLLGWWSIDEGSREEQARLYCSGASGYNTFSPDSVKKMPKSDLVEEIWRLQAAVREQTEITQFSQQEFERLQNEKILCRVCFEEQINIVLLPCRHHVLCSTCCEKCKRCPICRVSIEERLLVYDV >KJB80069 pep chromosome:Graimondii2_0_v6:13:10724976:10731397:1 gene:B456_013G079900 transcript:KJB80069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLLVAFELLLCIHLDNSFVVNLKIVFMPLLIFEIAILIDNIRMCRALMPGDDESMSDEVIWETLPHFWVAISMVFFIAATTFTLLKLCGDVAALGWWDLFINFGIAECFAFLVCTKWYNPAIHRNSHLGQTSSSSMSTRYLDWNRGLVVSTDEDMQQNSGMCSMQDIGGHVMKIPFIGFQIMLFMYLEGTPPSARNISSPVLFSPLLLLQGAGVVLAAYRLIEKIVILIHSEAVSGRYFDISSKVVEFFGFLHHGSRLLGWWSIDEGSREEQARLYCSGASGYNTFSPDSVKKMPKSDLVEEIWRLQAAVREQTEITQFSQQEFERLQNEKILCRVCFEEQINIVLLPCRHHVLCSTCCEKCKRCPICRVSIEERLLVYDV >KJB80065 pep chromosome:Graimondii2_0_v6:13:10724550:10731397:1 gene:B456_013G079900 transcript:KJB80065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVERRRVVMSWRRVGKSLLALLAHALLFSFTLLLVLKLHHAFSYSWWLVFAPLWLFHSVVARGRFSLPAPAIPHDRNWAPFHTVMASPLLVAFELLLCIHLDNSFVVNLKIVFMPLLIFEIAILIDNIRMCRALMPGDDESMSDEVIWETLPHFWVAISMVFFIAATTFTLLKLCGDVAALGWWDLFINFGIAECFAFLVCTKWYNPAIHRNSHLGQTSSSSMSTRYLDWNRGLVVSTDEDMQQNSGMCSMQDIGGHVMKIPFIGFQIMLFMYLEGTPPSARNISSPVLFSPLLLLQGAGVVLAAYRLIEKIVILIHSEAVSGRYFDISSKVVEFFGFLHHGSRLLGWWSIDEGSREEQARLYCSGASGYNTFSPDSVKKMPKSDLVEEIWRLQAAVREQTEITQFSQQEFERLQNEKILCRVCFEEQINIVLLPCRHHVLCSTCCEKCKRCPICRVSIEERLLVYDV >KJB80068 pep chromosome:Graimondii2_0_v6:13:10724655:10731397:1 gene:B456_013G079900 transcript:KJB80068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVERRRVVMSWRRVGKSLLALLAHALLFSFTLLLVLKLHHAFSYSWWLVFAPLWLFHSVVARGRFSLPAPAIPHDRNWAPFHTVMASPLLVAFELLLCIHLDNSFVVNLKIVFMPLLIFEIAILIDNIRMCRALMPGDDESMSDEVIWETLPHFWVAISMVFFIAATTFTLLKLCGDVAALGWWDLFINFGIAECFAFLVCTKWYNPAIHRNSHLGQTSSSSMSTRYLDWNRGLVVSTDEDMQQNSGMCSMQDIGGHVMKIPFIGFQIMLFMYLEGTPPSARNISSPVLFSPLLLLQGAGVVLAAYRLIEKIVILIHSEAVSGRYFDISSKVVEFFGFLHHGSRLLGWWSIDEGSREEQARLYCSGASGYNTFSPDSVKKMPKSDLVEEIWRLQAAVREQTEITQFSQQEFERLQNEKILCRVCFEEQINIVLLPCRHHVLCRYSYLPIPINTAKVRSFWLSRALSVMINALIYLLNLQLRHHKFSCMYVYGNYLQNCNLCLMTMHNITFLIKGCGILHSFLSD >KJB80067 pep chromosome:Graimondii2_0_v6:13:10724655:10731397:1 gene:B456_013G079900 transcript:KJB80067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVERRRVVMSWRRVGKSLLALLAHALLFSFTLLLVLKLHHAFSYSWWLVFAPLWLFHSVVARGRFSLPAPAIPHDRNWAPFHTVMASPLLVAFELLLCIHLDNSFVVNLKIVFMPLLIFEIAILIDNIRMCRALMPGDDESMSDEVIWETLPHFWVAISMVFFIAATTFTLLKLCGDVAALGWWDLFINFGIAECFAFLVCTKWYNPAIHRNSHLGQTSSSSMSTRYLDWNRGLVVSTDEDMQQNSGMCSMQDIGGHVMKIPFIGFQIMLFMYLEGTPPSARNISSPVLFSPLLLLQGAGVVLAAYRLIEKIVILIHSEAVSGRYFDISSKVVEFFGFLHHGSRLLGWWSIDEGSREEQARLYCSGASGYNTFSPDSVKKMPKSDLVEEIWRLQAAVREQTEITQFSQQEFERLQNILCRVCFEEQINIVLLPCRHHVLCSTCCEKCKRCPICRVSIEERLLVYDV >KJB81440 pep chromosome:Graimondii2_0_v6:13:40068424:40069980:-1 gene:B456_013G146100 transcript:KJB81440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTPLLSHCDKPAEPKRPPRSLDETIEGCIGDFGWWQFLQAILVSLAWVFDAQQTFISVFTDAEPSWHCTQGGDESVCNALSNICELPKNSWSWDWPSHTSIIAEWGLECAPSFITGLPASAFFMGCLAGGLALATLADSTLGRKNMLLFSCLMMSFSSIFTVFSPNIWIYSLLRFINGFGRATVGTCALVLSTELVGKRWRGQVGVVGFFCFTLGFLSLPVMAYVNRGSSWRTLYLWISVPTILYCILVHFLVHESPRWLFVRGRKEEAVLTLKSMAQANQSPITMSFSNVLIEQESWNVDIYSTIKILLNKRWAAKRLAAVMVGGFGIGMVYYGMPLGLGNLSVNLYLGVTLNALSELPASLITFFLIGKMKRKDSLLGFTILSGVCSVLCVVVGKVSPSLQIAMELISFFSACSAFNMSLIYTLELFPTCVRNSAISMVRQALVFGGVFSPLLVAAGRTNNFISFGVFGLVIGICGLPLVGLPETRGGTICDTMDEEEHKQNEKAAAAIATLA >KJB81241 pep chromosome:Graimondii2_0_v6:13:35498038:35504101:-1 gene:B456_013G134400 transcript:KJB81241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPEIETHHRFFRNSIYSSFPQFFEEISAILSRGSISFGRYADDSIAWEKRSVFTYNRCEEELQKFQAPGFVAQKTAYFNEFYKRVGVVEALPAHQQNTRQYGPSQETQENSKLRGIGFNDAVAEKEDKPSNASQIQISDSQGISNNMEPCCQEVKNCSTENNDATIEEGMKVCRNTVEVENYFEEASVSHPPLSEGNPKCAQRKSIASSKVKQIFNKTIKHGNKVKDKGTVASAITNKAKVCSITTKVAVMKSKPNPSLRQQASVKVNKSVPSTIESTPNAVRNISRQTTEPHSSLPRLSGLSIIVPSMKQSTPNAVRNISRQTTEPHSRLPRLSRLSKSAPSMKESTQNTERNISGQTIKPHSLPRLSGLSKSVSSTKESTQNAVRDICRQTTEPRSSLPRLSGLSKTVYSMKESTPNAVRNISRQTTERHFSLPRSSKLLISVPSIKESTQNAVRNMSRQTTERHFSLPRLSKLLKSVPSMKESTQNAVRNISRQTTERHSSLPRSSKLLRRAPSMKESTPNAVRNITRQTTKRDSSLPRPSRLSKSVPSMKESTPDAVRNISGQTIEPQAYVDCPDYRKVVAWCHLAPALEKLKPSHVQKEPNKNQSCQCKFPALK >KJB81238 pep chromosome:Graimondii2_0_v6:13:35498038:35503991:-1 gene:B456_013G134400 transcript:KJB81238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEACSGKWRQHSPAFDSLSLLPIFVDAIVDFLFSESRQGIKVNWDFLVCESVCTTPFKMATPEIETHHRFFRNSIYSSFPQFFEEISAILSRGSISFGRYADDSIAWEKRSVFTYNRCEEELQKFQAPGFVAQKTAYFNEFYKRVGVVEALPAHQQNTRQYGPSQETQENSKLRGIGFNDAVAEKEDKPSNASQIQISDSQGISNNMEPCCQEVKNCSTENNDATIEEGMKVCRNTVEVENYFEEASVSHPPLSEGNPKCAQRKSIASSKVKQIFNKTIKHGNKVKDKGTVASAITNKAKVCSITTKVAVMKSKPNPSLRQQASVKVNKSVPSTIESTPNAVRNISRQTTEPHSSLPRLSGLSIIVPSMKQSTPNAVRNISRQTTEPHSRLPRLSRLSKSAPSMKESTQNTERNISGQTIKPHSLPRLSGLSKSVSSTKESTQNAVRDICRQTTEPRSSLPRLSGLSKTVYSMKESTPNAVRNISRQTTERHFSLPRSSKLLISVPSIKESTQNAVRNMSRQTTERHFSLPRLSKLLKSVPSMKESTQNAVRNISRQTTERHSSLPRSSKLLRRAPSMKESTPNAVRNITRQTTKRDSSLPRPSRLSKSVPSMKESTPDAVRNISGQTIEPQAYVDCPDYRKVVAWCHLAPALEKLKPSHVQKEPNKNQSCQCKFPALK >KJB81237 pep chromosome:Graimondii2_0_v6:13:35499791:35503466:-1 gene:B456_013G134400 transcript:KJB81237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPEIETHHRFFRNSIYSSFPQFFEEISAILSRGSISFGRYADDSIAWEKRSVFTYNRCEEELQKFQAPGFVAQKTAYFNEFYKRVGVVEALPAHQQNTRQYGPSQETQENSKLRGIGFNDAVAEKEDKPSNASQIQISDSQGISNNMEPCCQEVKNCSTENNDATIEEGMKVCRNTVEVENYFEEASVSHPPLSEGNPKCAQRKSIASSKVKQIFNKTIKHGNKVKDKGTVASAITNKAKVCSITTKVAVMKSKPNPSLRQQASVKVNKSVPSTIESTPNAVRNISRQTTEPHSSLPRLSGLSIIVPSMKQSTPNAVRNISRQTTEPHSRLPRLSRLSKSAPSMKESTQNTERNISGQTIKPHSLPRLSGLSKSVSSTKESTQNAVRDICRQTTEPRSSLPRLSGLSKTVYSMKESTPNAVRNISRQTTERHFSLPRSSKLLISVPSIKESTQNAVRNMSRQTTERHFSLPRLSKLLKSVPSMKESTQNAVRNISRQTTERHSSLPRSSKLLRRAPSMKESTPNAVRNITRQTTKRDSSLPRPSRLSKSVPSMKESTPDAVRNISGQTIEPQAYVDCPDYRKVVAWCHLAPALEKLKPSHVQKEPNKNQSCQCKFPALK >KJB81236 pep chromosome:Graimondii2_0_v6:13:35498038:35502296:-1 gene:B456_013G134400 transcript:KJB81236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCCQEVKNCSTENNDATIEEGMKVCRNTVEVENYFEEASVSHPPLSEGNPKCAQRKSIASSKVKQIFNKTIKHGNKVKDKGTVASAITNKAKVCSITTKVAVMKSKPNPSLRQQASVKVNKSVPSTIESTPNAVRNISRQTTEPHSSLPRLSGLSIIVPSMKQSTPNAVRNISRQTTEPHSRLPRLSRLSKSAPSMKESTQNTERNISGQTIKPHSLPRLSGLSKSVSSTKESTQNAVRDICRQTTEPRSSLPRLSGLSKTVYSMKESTPNAVRNISRQTTERHFSLPRSSKLLISVPSIKESTQNAVRNMSRQTTERHFSLPRLSKLLKSVPSMKESTQNAVRNISRQTTERHSSLPRSSKLLRRAPSMKESTPNAVRNITRQTTKRDSSLPRPSRLSKSVPSMKESTPDAVRNISGQTIEPQAYVDCPDYRKVVAWCHLAPALEKLKPSHVQKEPNKNQSCQCKFPALK >KJB81239 pep chromosome:Graimondii2_0_v6:13:35499219:35504101:-1 gene:B456_013G134400 transcript:KJB81239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPEIETHHRFFRNSIYSSFPQFFEEISAILSRGSISFGRYADDSIAWEKRSVFTYNRCEEELQKFQAPGFVAQKTAYFNEFYKRVGVVEALPAHQQNTRQYGPSQETQENSKLRGIGFNDAVAEKEDKPSNASQIQISDSQGISNNMEPCCQEVKNCSTENNDATIEEGMKVCRNTVEVENYFEEASVSHPPLSEGNPKCAQRKSIASSKVKQIFNKTIKHGNKVKDKGTVASAITNKAKVCSITTKVAVMKSKPNPSLRQQASVKVNKSVPSTIESTPNAVRNISRQTTEPHSSLPRLSGLSIIVPSMKQSTPNAVRNISRQTTEPHSRLPRLSRLSKSAPSMKESTQNTERNISGQTIKPHSLPRLSGLSKSVSSTKESTQNAVRDICRQTTEPRSSLPRLSGLSKTVYSMKESTPNAVRNISRQTTERHFSLPRSSKLLISVPSIKESTQNAVRNMSRQTTERHFSLPRLSKLLKSVPSMKESTQNAVRNISRQTTERHSSLPRSSKLLRRAPSMKESTPNAVRNITRQTTKRDSSLPRPSRLSKSVPSMKESTPDAVRNISGQTIEPQAYVDCPDYRKVVAWCHLAPALEKLKPSHVQKEPNKNQSCQCKFPALK >KJB81240 pep chromosome:Graimondii2_0_v6:13:35498038:35504125:-1 gene:B456_013G134400 transcript:KJB81240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPEIETHHRFFRNSIYSSFPQFFEEISAILSRGSISFGRYADDSIAWEKRSVFTYNRCEEELQKFQAPGFVAQKTAYFNEFYKRVGVVEALPAHQQNTRQYGPSQETQENSKLRGIGFNDAVAEKEDKPSNASQIQISDSQGISNNMEPCCQEVKNCSTENNDATIEEGMKVCRNTVEVENYFEEASVSHPPLSEGNPKCAQRKSIASSKVKQIFNKTIKHGNKVKDKGTVASAITNKAKVCSITTKVAVMKSKPNPSLRQQASVKVNKSVPSTIESTPNAVRNISRQTTEPHSSLPRLSGLSIIVPSMKQSTPNAVRNISRQTTEPHSRLPRLSRLSKSAPSMKESTQNTERNISGQTIKPHSLPRLSGLSKSVSSTKESTQNAVRDICRQTTEPRSSLPRLSGLSKTVYSMKESTPNAVRNISRQTTERHFSLPRSSKLLISVPSIKESTQNAVRNMSRQTTERHFSLPRLSKLLKSVPSMKESTQNAVRNISRQTTERHSSLPRSSKLLRRAPSMKESTPNAVRNITRQTTKRDSSLPRPSRLSKSVPSMKESTPDAVRNISGQTIEPQAYVDCPDYRKVVAWCHLAPALEKLKPSHVQKEPNKNQSCQCKFPALK >KJB83635 pep chromosome:Graimondii2_0_v6:13:57223963:57228013:-1 gene:B456_013G255800 transcript:KJB83635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAGQDRGSPPSKPSKFSVYQNPTLSAALTATSLKPSKSTFLCILSLSSASAFALLSTIYSGNGFADKFKFGNLSDEVAYIFAKAVQVASGVVFVGTVFALFKAISWHRSKSTSSCLSLVSPSKGTKNQPNLTKRQLGLLGVKSKVEQVAPDSSKKPPKSKPLAALSSSDVLVPLHPSISGSDRKSLASSNKSNTSGGNKMSPFATPSRSQGSPSSLYLVPASTSSLSSRQTSPGQEPLVKTPWSTKRSSYAKEITTEEQLEQFLAEVDEKITESAGKLATPPPTISGFGIASPNTVASSANTSGTTRSTPLRPVRMSPGSQKFTTPPKKGEGELPPPMSMEEYVEAFGHLGIYPQIEHWRDRLRQWFSSVLLNPLLNKIETSHIQVMKAAAKLNISVTINQVGNDPSTNGNPAVLSPPDRSKEWQPSFTLEEEGLLHQLRATLVQTLEASTPKPIANQLQFPQQNPFIPVMQECIDAITEHQKLHALMKGEWMKGLLPQNSVRADYTVRRIRELADGTCLKNYEYQGNGDVYDKKNKKWTHELPTDSHLLLYLFCAFLEHPKWMLHVDPTSYAGAQSSKNPLFFGTLPRDRIPEKYIAILSGVPSTLHPGACILAIGKQSLPIFALYWDKKLQFSLQGRTALWDSILLLCHRIKDGYGGIVRGMHIGSSALNLLSVLQPENED >KJB83636 pep chromosome:Graimondii2_0_v6:13:57224000:57226662:-1 gene:B456_013G255800 transcript:KJB83636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFATPSRSQGSPSSLYLVPASTSSLSSRQTSPGQEPLVKTPWSTKRSSYAKEITTEEQLEQFLAEVDEKITESAGKLATPPPTISGFGIASPNTVASSANTSGTTRSTPLRPVRMSPGSQKFTTPPKKGEGELPPPMSMEEYVEAFGHLGIYPQIEHWRDRLRQWFSSVLLNPLLNKIETSHIQVMKAAAKLNISVTINQVGNDPSTNGNPAVLSPPDRSKEWQPSFTLEEEGLLHQLRATLVQTLEASTPKPIANQLQFPQQNPFIPVMQECIDAITEHQKLHALMKGEWMKGLLPQNSVRADYTVRRIRELADGTCLKNYEYQGNGDVYDKKNKKWTHELPTDSHLLLYLFCAFLEHPKWMLHVDPTSYAGAQSSKNPLFFGTLPRDRIPEKYIAILSGVPSTLHPGACILAIGKQSLPIFALYWDKKLQFSLQGRTALWDSILLLCHRIKDGYGGIVRGMHIGSSALNLLSVLQPENED >KJB78983 pep chromosome:Graimondii2_0_v6:13:2095047:2098979:1 gene:B456_013G028100 transcript:KJB78983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYSVDVAAIAYKLKDTTNWWRDINGSRHWQDRIFHILAALYGLVAAVALVQLIRIQMRVPEYGWTTQKVFHFLNFLVNGVRALVFVFRRSVQNLHPEIVQHILLDMPSLAFFTTYALLILFWAEIYYQARAVSTDGLRPSFFTINAVVYTIQIAMWLILWWKYIPVLVILSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFTCFLVRCIMVDPSFLFWLY >KJB81391 pep chromosome:Graimondii2_0_v6:13:38926934:38932273:-1 gene:B456_013G142600 transcript:KJB81391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEKEEMKSFKDLGLCEELVEACDSLGWKNPTKIQVEAIPHALEGKDLIGLAQTGSGKTGAFALPILHALLESHSKQGYKSAPVFFALVLSPTRELAIQIAEQFEALGSGISLKCAVLVGGVDIMQQQIALGKRPHIIVGTPGRLVDHLTNTKGFSLRMLKYLVLDEADRLLNEDFEKALDDILNVIPRDRHTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVNILTEMSGCTSMVFTRTCDATRLLAFILRNLNIRAIPISGQMTQAKRLGALNKFKSGECNVLVCTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLQIEKLIGKKLPEYPAQEEEVLQYLESVTEAKRLSQMKLKEIGGTKKRRGGDDDDEDIERYLGVKGKSSKKVKRK >KJB81388 pep chromosome:Graimondii2_0_v6:13:38926934:38932273:-1 gene:B456_013G142600 transcript:KJB81388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQIALGKRPHIIVGTPGRLVDHLTNTKGFSLRMLKYLVLDEADRLLNEDFEKALDDILNVIPRDRHTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVNILTEMSGCTSMVFTRTCDATRLLAFILRNLNIRAIPISGQMTQAKRLGALNKFKSGECNVLVCTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLQIEKLIGKKLPEYPAQEEEVLQYLESVTEAKRLSQMKLKEIGGTKKRRGGDDDDEDIERYLGVKGKSSKKVKRK >KJB81390 pep chromosome:Graimondii2_0_v6:13:38927998:38932131:-1 gene:B456_013G142600 transcript:KJB81390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEKEEMKSFKDLGLCEELVEACDSLGWKNPTKIQVEAIPHALEGKDLIGLAQTGSGKTGAFALPILHALLESHSKQGYKSAPVFFALVLSPTRELAIQIAEQFEALGSGISLKCAVLVGGVDIMQQQIALGKRPHIIVGTPGRLVDHLTNTKGFSLRMLKYLVLDEADRLLNEDFEKALDDILNVIPRDRHTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVNILTEMSGCTSMVFTRTCDATRLLAFILRNLNIRAIPISGQMTQAKRLGALNKFKSGECNVLVCTDVASRGLDIPSVDMVINYDIPTNSKVSFCIF >KJB81389 pep chromosome:Graimondii2_0_v6:13:38927857:38932131:-1 gene:B456_013G142600 transcript:KJB81389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEKEEMKSFKDLGLCEELVEACDSLGWKNPTKIQVEAIPHALEGKDLIGLAQTGSGKTGAFALPILHALLESHSKQGYKSAPVFFALVLSPTRELAIQIAEQFEALGSGISLKCAVLVGGVDIMQQQIALGKRPHIIVGTPGRLVDHLTNTKGFSLRMLKYLVLDEADRLLNEDFEKALDDILNVIPRDRHTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVNILTEMSGCTSMVFTRTCDATRLLAFILRNLNIRAIPISGQMTQAKRLGALNKFKSGECNVLVCTDVASRGLDIPSVDMVINYDIPTNSKVRLHPSCG >KJB81392 pep chromosome:Graimondii2_0_v6:13:38927543:38932131:-1 gene:B456_013G142600 transcript:KJB81392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEKEEMKSFKDLGLCEELVEACDSLGWKNPTKIQVEAIPHALEGKDLIGLAQTGSGKTGAFALPILHALLESHSKQGYKSAPVFFALVLSPTRELAIQIAEQFEALGSGISLKCAVLVGGVDIMQQQIALGKRPHIIVGTPGRLVDHLTNTKGFSLRMLKYLVLDEADRLLNEDFEKALDDILNVIPRDRHTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVNILTEMSGCTSMVFTRTCDATRLLAFILRNLNIRAIPISGQMTQAKRLGALNKFKSGECNVLVCTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLQIEKLIGKKLPEYPAQEEEVLQYLESVTEAKRLSQMVTQVSFSCLYFHI >KJB81943 pep chromosome:Graimondii2_0_v6:13:45309213:45310018:-1 gene:B456_013G168200 transcript:KJB81943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAAAVRRNLLKNSKSLPQILRGQINGRSNVTANLNNVAKDHQVVGSLLVSNQKVHAQMPIFNFPEMGSVFESSRGQSLVGRSVSHGMDGLVFDVRGKGVVQDDDDVDNEFDDDDDEFDDDFEGEFVDDEDDEDDDDDDDDDDDGGKYKDKF >KJB79471 pep chromosome:Graimondii2_0_v6:13:4864275:4864837:-1 gene:B456_013G051800 transcript:KJB79471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCKVIFSCMITMFLLFFILSSPFAVGRPEPDSSVQHSKNTPIITQIHLGEGEADQKVELEDSCEGIVEEEECLMRRTLAAHIDYIYTQKTKP >KJB78742 pep chromosome:Graimondii2_0_v6:13:1080152:1084661:-1 gene:B456_013G015500 transcript:KJB78742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNRRVLCPENEELANYLLQKRQELADKPKGIKENTDVTLSKAYNNICNAQHPIKTLKDLNDIKGVGKWILVLMRGYFDSGSGSSESEEITRKGKNTKGNRRYLPQKNSVAYALLITLYRETADGNEFMHKQDLIDAAEASGLSRAPIVPEKGKGKSSQFGSSSRDWYSGWSCMSMLIKKGLVVKSSCPAKYMLTPEGKEAARECLMKSKMEDPLENLVDVERLSQPDTQDAFVQDLCHSDSDIEEINERAAFKRKTSIDVPLDCLERCTRMGYLKEQVLSAFAEVSETSKNKEISSLWPAVLCRLREDQVYGQEAHNGVQSIWMQSSKNGGDMPNLCTMRACSSSRPSSDGLTADMNVLSVPPLSFGEKFEDTYEVILILDDREQFTSQGARSKKMLEKICSEFKIKIDVRRLPIGDGIWIARHKHISSEYVLDFIVERKKVADLRSSIRDNRYKDQKLRLLVIRILQKLLKASKQRASQQRSWRGLMFREQVVYMIP >KJB78745 pep chromosome:Graimondii2_0_v6:13:1080152:1084513:-1 gene:B456_013G015500 transcript:KJB78745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIPSKHSKISMTSSVGKWILVLMRGYFDSGSGSSESEEITRKGKNTKGNRRYLPQKNSVAYALLITLYRETADGNEFMHKQDLIDAAEASGLSRAPIVPEKGKGKSSQFGSSSRDWYSGWSCMSMLIKKGLVVKSSCPAKYMLTPEGKEAARECLMKSKMEDPLENLVDVERLSQPDTQDAFVQDLCHSDSDIEEINERAAFKRKTSIDVPLDCLERCTRMGYLKEQVLSAFAEVSETSKNKEISSLWPAVLCRLREDQVYGQEAHNGVQSIWMQSSKNGGDMPNLCTMRACSSSRPSSDGLTADMNVLSVPPLSFGEKFEDTYEVILILDDREQFTSQGARSKKMLEKICSEFKIKIDVRRLPIGDGIWIARHKHISSEYVLDFIVERKKVADLRSSIRDNRYKDQKLRLLVIRILQKLLKASKQRASQQRSWRGLMFREQVVYMIP >KJB78739 pep chromosome:Graimondii2_0_v6:13:1078411:1084866:-1 gene:B456_013G015500 transcript:KJB78739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIPSKHSKISMTSSVGKWILVLMRGYFDSGSGSSESEEITRKGKNTKGNRRYLPQKNSVAYALLITLYRETADGNEFMHKQDLIDAAEASGLSRAPIVPEKGKGKSSQFGSSSRDWYSGWSCMSMLIKKGLVVKSSCPAKYMLTPEGKEAARECLMKSKMEDPLENLVDVERLSQPDTQDAFVQDLCHSDSDIEEINERAAFKRKTSIDVPLDCLERCTRMGYLKEQVLSAFAEVSETSKNKEISSLWPAVLCRLREDQVYGQEAHNGVQSIWMQSSKNGGDMPNLCTMRACSSSRPSSDGLTADMNVLSVPPLSFGEKFEDTYEVILILDDREQFTSQGARSKKMLEKICSEFKIKIDVRRLPIGDGIWIARHKHISSEYVLDFIVERKKVADLRSSIRDNRYKDQKLRLLRSGLKKLIFLVEGDPNTSEAAESIKTACFTTEILEGFDVQRTSGLHDTLRKYAYLTRAIAQYYKLHLPEDHSKLSGVCPPFNEFIKRCQELDKMTVSDVFSIQLMQVPQVTEEVAIAVVDLYPTLVSLANAYSLLEGDVFAQEEMLRKQSNNKVSSVASKNIFRFIWG >KJB78743 pep chromosome:Graimondii2_0_v6:13:1078445:1084866:-1 gene:B456_013G015500 transcript:KJB78743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIPSKHSKISMTSSVGKWILVLMRGYFDSGSGSSESEEITRKGKNTKGNRRYLPQKNSVAYALLITLYRETADGNEFMHKQDLIDAAEASGLSRAPIVPEKGKGKSSQFGSSSRDWYSGWSCMSMLIKKGLVVKSSCPAKYMLTPEGKEAARECLMKSKMEDPLENLVDVERLSQPDTQDAFVQDLCHSDSDIEEINERAAFKRKTSIDVPLDCLERCTRMGYLKEQVLSAFAEVSETSKNKEISSLWPAVLCRLREDQVYGQEAHNGVQSIWMQSSKNGGDMPNLCTMRACSSSRPSSDGLTADMNVLSVPPLSFGEKFEDTYEVILILDDREQFTSQGARSKKMLEKICSEFKIKIDVRRLPIGDGIWIARHKHISSEYVLDFIVERKKVADLRSSIRDNRYKDQKLRLLVIRILQKLLKASKQRASQQRSWRGLMFREQVVYMIP >KJB78738 pep chromosome:Graimondii2_0_v6:13:1078707:1084661:-1 gene:B456_013G015500 transcript:KJB78738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNRRVLCPENEELANYLLQKRQELADKPKGIKENTDVTLSKAYNNICNAQHPIKTLKDLNDIKGVGKWILVLMRGYFDSGSGSSESEEITRKGKNTKGNRRYLPQKNSVAYALLITLYRETADGNEFMHKQDLIDAAEASGLSRAPIVPEKGKGKSSQFGSSSRDWYSGWSCMSMLIKKGLVVKSSCPAKYMLTPEGKEAARECLMKSKMEDPLENLVDVERLSQPDTQDAFVQDLCHSDSDIEEINERAAFKRKTSIDVPLDCLERCTRMGYLKEQVLSAFAEVSETSKNKEISSLWPAVLCRLREDQVYGQEAHNGVQSIWMQSSKNGGDMPNLCTMRACSSSRPSSDGLTADMNVLSVPPLSFGEKFEDTYEVILILDDREQFTSQGARSKKMLEKICSEFKIKIDVRRLPIGDGIWIARHKHISSEYVLDFIVERKKVADLRSSIRDNRYKDQKLRLLRSGLKKLIFLVEGDPNTSEAAESIKTACFTTEILEGFDVQRTSGLHDTLRKYAYLTRAIAQYYKLHLPEDHSKLSGVCPPFNEFIKRCQELDKMTVSDVFSIQLMQVPQVTEEVAIAVVDLYPTLVSLANAYSLLEGDVFAQEEMLRKQSNNKVSSVASKNIFRFIWG >KJB78744 pep chromosome:Graimondii2_0_v6:13:1078445:1084866:-1 gene:B456_013G015500 transcript:KJB78744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIPSKHSKISMTSSVGKWILVLMRGYFDSGSGSSESEEITRKGKNTKGNRRYLPQKNSVAYALLITLYRETADGNEFMHKQDLIDAAEASGLSRAPIVPEKGKGKSSQFGSSSRDWYSGWSCMSMLIKKGLVVKSSCPAKYMLTPEGKEAARECLMKSKMEDPLENLVDVERLSQPDTQDAFVQDLCHSDSDIEEINERAAFKRKTSIDVPLDCLERCTRMGYLKEQVLSAFAEVSETSKNKEISSLWPAVLCRLREDQVYGQEAHNGVQSIWMQSSKNGGDMPNLCTMRACSSSRPSSDGLTADMNVLSVPPLSFGEKFEDTYEVILILDDREQFTSQGARSKKMLEKICSEFKIKIDVRRLPIGDGIWIARHKHISSEYVLDFIVERKKVADLRSSIRDNRYKDQKLRLLRSGLKKLIFLVEGDPNTSEAAESIKTACFTTEILEGFDVQRTSGLHDTLRKYAYLTRAIAQYYKLHLPEDHSKLSGVCPPFNEFIKRCQELDKMTVSDVFSIQLMQVPQVTEEVAIAVVDLYPTLVSLANAYSLLGDVFAQEEMLRKQSNNKVSSVASKNIFRFIWG >KJB78741 pep chromosome:Graimondii2_0_v6:13:1078445:1084866:-1 gene:B456_013G015500 transcript:KJB78741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNRRVLCPENEELANYLLQKRQELADKPKGIKENTDVTLSKAYNNICNAQHPIKTLKDLNDIKGVGKWILVLMRGYFDSGSGSSESEEITRKGKNTKGNRRYLPQKNSVAYALLITLYRETADGNEFMHKQDLIDAAEASGLSRAPIVPEKGKGKSSQFGSSSRDWYSGWSCMSMLIKKGLVVKSSCPAKYMLTPEGKEAARECLMKSKMEDPLENLVDVERLSQPDTQDAFVQDLCHSDSDIEEINERAAFKRKTSIDVPLDCLERCTRMGYLKEQVLSAFAEVSETSKNKEISSLWPAVLCRLREDQVYGQEAHNGVQSIWMQSSKNGGDMPNLCTMRACSSSRPSSDGLTADMNVLSVPPLSFGEKFEDTYEVILILDDREQFTSQGARSKKMLEKICSEFKIKIDVRRLPIGDGIWIARHKHISSEYVLDFIVERKKVADLRSSIRDNRYKDQKLRLLVIRILQKLLKASKQRASQQRSWRGLMFREQVVYMIP >KJB78740 pep chromosome:Graimondii2_0_v6:13:1078707:1084661:-1 gene:B456_013G015500 transcript:KJB78740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNRRVLCPENEELANYLLQKRQELADKPKGIKENTDVTLSKAYNNICNAQHPIKTLKDLNDIKGVGKWILVLMRGYFDSGSGSSESEEITRKGKNTKGNRRYLPQKNSVAYALLITLYRETADGNEFMHKQDLIDAAEASGLSRAPIVPEKGKGKSSQFGSSSRDWYSGWSCMSMLIKKGLVVKSSCPAKYMLTPEGKEAARECLMKSKMEDPLENLVDVERLSQPDTQDAFVQDLCHSDSDIEEINERAAFKRKTSIDVPLDCLERCTRMGYLKEQVLSAFAEVSETSKNKEISSLWPAVLCRLREDQVYGQEAHNGVQSIWMQSSKNGGDMPNLCTMRACSSSRPSSDGLTADMNVLSVPPLSFGEKFEDTYEVILILDDREQFTSQGARSKKMLEKICSEFKIKIDVRRLPIGDGIWIARHKHISSEYVLDFIVERKKVADLRSSIRDNRYKDQKLRLLRSGLKKLIFLVEGDPNTSEAAESIKTACFTTEILEGFDVQRTSGLHDTLRKYAYLTRAIAQYYKLHLPEDHSKLSGVCPPFNEFIKRCQELDKMTVSDVFSIQLMQVPQVTEEVAIAVVDLYPTLVSLANAYSLLGDVFAQEEMLRKQSNNKVSSVASKNIFRFIWG >KJB82901 pep chromosome:Graimondii2_0_v6:13:53952003:53962302:-1 gene:B456_013G219900 transcript:KJB82901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHSRLLHFFTFFCTTFVICLSSSSIESDFWITDSDSEGLLFHQDYSPPAPPPPPPHAPSVSCTDDLGGVGSLDSTCKIVADLNLTRDVYIAGKGNFYILPGVRFHCPILGCSITLNISGNFSLGENSTIVAGTFELAAYNASFFNGSAVNTTGWAGDPPPQTSGTPQGVEGAGGGHGGRGASCLVEEGKLPEDVWGGDAYSWSSLQNPSSYGSKGGSTSKEVDYGGGGGGRVRMDIKEFLDVNGSLLAEGGDGGSKGGGGSGGSVYIKAHKMTGGGRISASGGNGFAGGGGGRVAVDVFSRHDEPTIYVHGGISRGCSKNAGAAGTLYDAVPRSLNVNNYNLSTDTETLLLEFPYQPLWTNVYIRNCARASVPLLWSRVQVQGQISLLCGGVLSFGLAHYATSEFELLAEELLMSDSIIKVYGALRMTVKIFLMWNSKMLIDGGEDSTVATSWLEASNLVVLKESSVIQSNANLGVHGQGLLNLSGSGDKIQAQRLVLSLFYSIHVGPGSVLRGPLEDASSYAITPKLYCELQDCPIELLHPPEDCNVNSSLSFTLQICRVEDITVEGLIKGSVVHFHRARTISVQSSGIISASGMGCIGGVGKGNFLDNGIGSGGGHGGKGGLGCYNSSCVGGGNSYGNSKLPCELGSGSGNESSADSIAGGGIIGGYGSPKGGGGGGGGRIHFHWSNIPTGDVYQPIARVKGSIYARGGLGRDESGSGENGTVTGKACPKGLYGTFCVECPVGTYKNVSGSDSSLCQPCPAWELPHRAIYIAVRGGIAETPCPYKCISDRYHMPNCYTALEELIYTFGGPWLFCLLLVGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRVEESQSHVHRMYFMGPNTFSEPWHLPHTPPEEIKEIVYEGAYNMFVDEINAIAAYQWWEGAIYTILSILAYPLAWSWQQWRRRMRLQRLREFVRSEYDHACLRSCRSRALYEGLKVSATSDLMLAYMDFFLGGDEKRTDLPPRLPQRFPMVIIFGGDGSYMAPFSLQNDNILTSLMSQLVPPTTWYRLVAGLNAQLRLVRRGQLRVTFRPVLRWLETHANPALRIYGVFIGLAWFQAAPNGYHQYGLLVSSVEEESEPVSSGNTDGGVQTALLSSVRTTYMQNQSGHLGEDVLLTEGHESSDGFARRRRSYGSLIDTNNLQMLEEKRDMFYLLSFIVHNTKPVGHQDLVGLVISMLLLGDFSLVLLTFLLLYSISLLDVFLVLFILPLGVLLPFPAGINALFSHGPRRSAGLARFYALWNITSLVNVVSSSNFQSLFT >KJB82896 pep chromosome:Graimondii2_0_v6:13:53951053:53962616:-1 gene:B456_013G219900 transcript:KJB82896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHSRLLHFFTFFCTTFVICLSSSSIESDFWITDSDSEGLLFHQDYSPPAPPPPPPHAPSVSCTDDLGGVGSLDSTCKIVADLNLTRDVYIAGKGNFYILPGVRFHCPILGCSITLNISGNFSLGENSTIVAGTFELAAYNASFFNGSAVNTTGWAGDPPPQTSGTPQGVEGAGGGHGGRGASCLVEEGKLPEDVWGGDAYSWSSLQNPSSYGSKGGSTSKEVDYGGGGGGRVRMDIKEFLDVNGSLLAEGGDGGSKGGGGSGGSVYIKAHKMTGGGRISASGGNGFAGGGGGRVAVDVFSRHDEPTIYVHGGISRGCSKNAGAAGTLYDAVPRSLNVNNYNLSTDTETLLLEFPYQPLWTNVYIRNCARASVPLLWSRVQVQGQISLLCGGVLSFGLAHYATSEFELLAEELLMSDSIIKVYGALRMTVKIFLMWNSKMLIDGGEDSTVATSWLEASNLVVLKESSVIQSNANLGVHGQGLLNLSGSGDKIQAQRLVLSLFYSIHVGPGSVLRGPLEDASSYAITPKLYCELQDCPIELLHPPEDCNVNSSLSFTLQICRVEDITVEGLIKGSVVHFHRARTISVQSSGIISASGMGCIGGVGKGNFLDNGIGSGGGHGGKGGLGCYNSSCVGGGNSYGNSKLPCELGSGSGNESSADSIAGGGIIVMGSVEHPLSSLSVEGSVRADGEGFAETVWQYENFLSNVSSIAPGGGSGGTVLLFLHTMTLGESAILSSVGGYGSPKGGGGGGGGRIHFHWSNIPTGDVYQPIARVKGSIYARGGLGRDESGSGENGTVTGKACPKGLYGTFCVECPVGTYKNVSGSDSSLCQPCPAWELPHRAIYIAVRGGIAETPCPYKCISDRYHMPNCYTALEELIYTFGGPWLFCLLLVGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRVEESQSHVHRMYFMGPNTFSEPWHLPHTPPEEIKEIVYEGAYNMFVDEINAIAAYQWWEGAIYTILSILAYPLAWSWQQWRRRMRLQRLREFVRSEYDHACLRSCRSRALYEGLKVSATSDLMLAYMDFFLGGDEKRTDLPPRLPQRFPMVIIFGGDGSYMAPFSLQNDNILTSLMSQLVPPTTWYRLVAGLNAQLRLVRRGQLRVTFRPVLRWLETHANPALRIYGVFIGLAWFQAAPNGYHQYGLLVSSVEEESEPVSSGNTDGGVQTALLSSVRTTYMQNQSGHLGEDVLLTEGHESSDGFARRRRSYGSLIDTNNLQMLEEKRDMFYLLSFIVHNTKPVGHQDLVGLVISMLLLGDFSLVLLTFLLLYSISLLDVFLVLFILPLGVLLPFPAGINALFSHGPRRSAGLARFYALWNITSLVNVGVAFLCGYIHYKSQSSSSKQIPNLQPWNINMDESEWWIFPAGLVLCKLFQSQLINWHVANLEIQDRSLYSNDFDLFWQS >KJB82902 pep chromosome:Graimondii2_0_v6:13:53952755:53962302:-1 gene:B456_013G219900 transcript:KJB82902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHSRLLHFFTFFCTTFVICLSSSSIESDFWITDSDSEGLLFHQDYSPPAPPPPPPHAPSVSCTDDLGGVGSLDSTCKIVADLNLTRDVYIAGKGNFYILPGVRFHCPILGCSITLNISGNFSLGENSTIVAGTFELAAYNASFFNGSAVNTTGWAGDPPPQTSGTPQGVEGAGGGHGGRGASCLVEEGKLPEDVWGGDAYSWSSLQNPSSYGSKGGSTSKEVDYGGGGGGRVRMDIKEFLDVNGSLLAEGGDGGSKGGGGSGGSVYIKAHKMTGGGRISASGGNGFAGGGGGRVAVDVFSRHDEPTIYVHGGISRGCSKNAGAAGTLYDAVPRSLNVNNYNLSTDTETLLLEFPYQPLWTNVYIRNCARASVPLLWSRVQVQGQISLLCGGVLSFGLAHYATSEFELLAEELLMSDSIIKVYGALRMTVKIFLMWNSKMLIDGGEDSTVATSWLEASNLVVLKESSVIQSNANLGVHGQGLLNLSGSGDKIQAQRLVLSLFYSIHVGPGSVLRGPLEDASSYAITPKLYCELQDCPIELLHPPEDCNVNSSLSFTLQICRVEDITVEGLIKGSVVHFHRARTISVQSSGIISASGMGCIGGVGKGNFLDNGIGSGGGHGGKGGLGCYNSSCVGGGNSYGNSKLPCELGSGSGNESSADSIAGGGIIGGYGSPKGGGGGGGGRIHFHWSNIPTGDVYQPIARVKGSIYARGGLGRDESGSGENGTVTGKACPKGLYGTFCVECPVGTYKNVSGSDSSLCQPCPAWELPHRAIYIAVRGGIAETPCPYKCISDRYHMPNCYTALEELIYTFGGPWLFCLLLVGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRVEESQSHVHRMYFMGPNTFSEPWHLPHTPPEEIKEIVYEGAYNMFVDEINAIAAYQWWEGAIYTILSILAYPLAWSWQQWRRRMRLQRLREFVRSEYDHACLRSCRSRALYEGLKVSATSDLMLAYMDFFLGGDEKRTDLPPRLPQRFPMVIIFGGDGSYMAPFSLQNDNILTSLMSQLVPPTTWYRLVAGLNAQLRLVRRGQLRVTFRPVLRWLETHANPALRIYGVFIGLAWFQAAPNGYHQYGLLVSSVEEESEPVSSGNTDGGVQTALLSR >KJB82897 pep chromosome:Graimondii2_0_v6:13:53951096:53962302:-1 gene:B456_013G219900 transcript:KJB82897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHSRLLHFFTFFCTTFVICLSSSSIESDFWITDSDSEGLLFHQDYSPPAPPPPPPHAPSVSCTDDLGGVGSLDSTCKIVADLNLTRDVYIAGKGNFYILPGVRFHCPILGCSITLNISGNFSLGENSTIVAGTFELAAYNASFFNGSAVNTTGWAGDPPPQTSGTPQGVEGAGGGHGGRGASCLVEEGKLPEDVWGGDAYSWSSLQNPSSYGSKGGSTSKEVDYGGGGGGRVRMDIKEFLDVNGSLLAEGGDGGSKGGGGSGGSVYIKAHKMTGGGRISASGGNGFAGGGGGRVAVDVFSRHDEPTIYVHGGISRGCSKNAGAAGTLYDAVPRSLNVNNYNLSTDTETLLLEFPYQPLWTNVYIRNCARASVPLLWSRVQVQGQISLLCGGVLSFGLAHYATSEFELLAEELLMSDSIIKVYGALRMTVKIFLMWNSKMLIDGGEDSTVATSWLEASNLVVLKESSVIQSNANLGVHGQGLLNLSGSGDKIQAQRLVLSLFYSIHVGPGSVLRGPLEDASSYAITPKLYCELQDCPIELLHPPEDCNVNSSLSFTLQICRVEDITVEGLIKGSVVHFHRARTISVQSSGIISASGMGCIGGVGKGNFLDNGIGSGGGHGGKGGLGCYNSSCVGGGNSYGNSKLPCELGSGSGNESSADSIAGGGIIGGYGSPKGGGGGGGGRIHFHWSNIPTGDVYQPIARVKGSIYARGGLGRDESGSGENGTVTGKACPKGLYGTFCVECPVGTYKNVSGSDSSLCQPCPAWELPHRAIYIAVRGGIAETPCPYKCISDRYHMPNCYTALEELIYTFGGPWLFCLLLVGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRVEESQSHVHRMYFMGPNTFSEPWHLPHTPPEEIKEIVYEGAYNMFVDEINAIAAYQWWEGAIYTILSILAYPLAWSWQQWRRRMRLQRLREFVRSEYDHACLRSCRSRALYEGLKVSATSDLMLAYMDFFLGGDEKRTDLPPRLPQRFPMVIIFGGDGSYMAPFSLQNDNILTSLMSQLVPPTTWYRLVAGLNAQLRLVRRGQLRVTFRPVLRWLETHANPALRIYGVFIGLAWFQAAPNGYHQYGLLVSSVEEESEPVSSGNTDGGVQTALLSSVRTTYMQNQSGHLGEDVLLTEGHESSDGFARRRRSYGSLIDTNNLQMLEEKRDMFYLLSFIVHNTKPVGHQDLVGLVISMLLLGDFSLVLLTFLLLYSISLLDVFLVLFILPLGVLLPFPAGINALFSHGPRRSAGLARFYALWNITSLVNVVSSSNFQSLFT >KJB82900 pep chromosome:Graimondii2_0_v6:13:53951096:53962383:-1 gene:B456_013G219900 transcript:KJB82900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHSRLLHFFTFFCTTFVICLSSSSIESDFWITDSDSEGLLFHQDYSPPAPPPPPPHAPSVSCTDDLGGVGSLDSTCKIVADLNLTRDVYIAGKGNFYILPGVRFHCPILGCSITLNISGNFSLGENSTIVAGTFELAAYNASFFNGSAVNTTGWAGDPPPQTSGTPQGVEGAGGGHGGRGASCLVEEGKLPEDVWGGDAYSWSSLQNPSSYGSKGGSTSKEVDYGGGGGGRVRMDIKEFLDVNGSLLAEGGDGGSKGGGGSGGSVYIKAHKMTGGGRISASGGNGFAGGGGGRVAVDVFSRHDEPTIYVHGGISRGCSKNAGAAGTLYDAVPRSLNVNNYNLSTDTETLLLEFPYQPLWTNVYIRNCARASVPLLWSRVQVQGQISLLCGGVLSFGLAHYATSEFELLAEELLMSDSIIKVYGALRMTVKIFLMWNSKMLIDGGEDSTVATSWLEASNLVVLKESSVIQSNANLGVHGQGLLNLSGSGDKIQAQRLVLSLFYSIHVGPGSVLRGPLEDASSYAITPKLYCELQDCPIELLHPPEDCNVNSSLSFTLQICRVEDITVEGLIKGSVVHFHRARTISVQSSGIISASGMGCIGGVGKGNFLDNGIGSGGGHGGKGGLGCYNSSCVGGGNSYGNSKLPCELGSGSGNESSADSIAGGGIIGGYGSPKGGGGGGGGRIHFHWSNIPTGDVYQPIARVKGSIYARGGLGRDESGSGENGTVTGKACPKGLYGTFCVECPVGTYKNVSGSDSSLCQPCPAWELPHRAIYIAVRGGIAETPCPYKCISDRYHMPNCYTALEELIYTFGGPWLFCLLLVGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRVEESQSHVHRMYFMGPNTFSEPWHLPHTPPEEIKEIVYEGAYNMFVDEINAIAAYQWWEGAIYTILSILAYPLAWSWQQWRRRMRLQRLREFVRSEYDHACLRSCRSRALYEGLKVSATSDLMLAYMDFFLGGDEKRTDLPPRLPQRFPMVIIFGGDGSYMAPFSLQNDNILTSLMSQLVPPTTWYRLVAGLNAQLRLVRRGQLRVTFRPVLRWLETHANPALRIYGVFIGLAWFQAAPNGYHQYGLLVSSVEEESEPVSSGNTDGGVQTALLSSVRTTYMQNQSGHLGEDVLLTEGHESSDGFARRRRSYGSLIDTNNLQMLEEKRDMFYLLSFIVHNTKPVGHQDLVGLVISMLLLGDFSLVLLTFLLLYSISLLDVFLVLFILPLGVLLPFPAGINALFSHGPRRSAGLARFYALWNITSLVNVGVAFLCGYIHYKSQSSSSKQIPNLQPWNINMDESEWWIFPAGLVLCKLFQSQLINWHVANLEIQDRSLYSNDFDLFWQS >KJB82898 pep chromosome:Graimondii2_0_v6:13:53951096:53962302:-1 gene:B456_013G219900 transcript:KJB82898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHSRLLHFFTFFCTTFVICLSSSSIESDFWITDSDSEGLLFHQDYSPPAPPPPPPHAPSVSCTDDLGGVGSLDSTCKIVADLNLTRDVYIAGKGNFYILPGVRFHCPILGCSITLNISGNFSLGENSTIVAGTFELAAYNASFFNGSAVNTTGWAGDPPPQTSGTPQGVEGAGGGHGGRGASCLVEEGKLPEDVWGGDAYSWSSLQNPSSYGSKGGSTSKEVDYGGGGGGRVRMDIKEFLDVNGSLLAEGGDGGSKGGGGSGGSVYIKAHKIRTGGGRISASGGNGFAGGGGGRVAVDVFSRHDEPTIYVHGGISRGCSKNAGAAGTLYDAVPRSLNVNNYNLSTDTETLLLEFPYQPLWTNVYIRNCARASVPLLWSRVQVQGQISLLCGGVLSFGLAHYATSEFELLAEELLMSDSIIKVYGALRMTVKIFLMWNSKMLIDGGEDSTVATSWLEASNLVVLKESSVIQSNANLGVHGQGLLNLSGSGDKIQAQRLVLSLFYSIHVGPGSVLRGPLEDASSYAITPKLYCELQDCPIELLHPPEDCNVNSSLSFTLQICRVEDITVEGLIKGSVVHFHRARTISVQSSGIISASGMGCIGGVGKGNFLDNGIGSGGGHGGKGGLGCYNSSCVGGGNSYGNSKLPCELGSGSGNESSADSIAGGGIIVMGSVEHPLSSLSVEGSVRADGEGFAETVWQYENFLSNVSSIAPGGGSGGTVLLFLHTMTLGESAILSSVGGYGSPKGGGGGGGGRIHFHWSNIPTGDVYQPIARVKGSIYARGGLGRDESGSGENGTVTGKACPKGLYGTFCVECPVGTYKNVSGSDSSLCQPCPAWELPHRAIYIAVRGGIAETPCPYKCISDRYHMPNCYTALEELIYTFGGPWLFCLLLVGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRVEESQSHVHRMYFMGPNTFSEPWHLPHTPPEEIKEIVYEGAYNMFVDEINAIAAYQWWEGAIYTILSILAYPLAWSWQQWRRRMRLQRLREFVRSEYDHACLRSCRSRALYEGLKVSATSDLMLAYMDFFLGGDEKRTDLPPRLPQRFPMVIIFGGDGSYMAPFSLQNDNILTSLMSQLVPPTTWYRLVAGLNAQLRLVRRGQLRVTFRPVLRWLETHANPALRIYGVFIGLAWFQAAPNGYHQYGLLVSSVEEESEPVSSGNTDGGVQTALLSSVRTTYMQNQSGHLGEDVLLTEGHESSDGFARRRRSYGSLIDTNNLQMLEEKRDMFYLLSFIVHNTKPVGHQDLVGLVISMLLLGDFSLVLLTFLLLYSISLLDVFLVLFILPLGVLLPFPAGINALFSHGPRRSAGLARFYALWNITSLVNVGVAFLCGYIHYKSQSSSSKQIPNLQPWNINMDESEWWIFPAGLVLCKLFQSQLINWHVANLEIQDRSLYSNDFDLFWQS >KJB82899 pep chromosome:Graimondii2_0_v6:13:53951096:53962302:-1 gene:B456_013G219900 transcript:KJB82899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHSRLLHFFTFFCTTFVICLSSSSIESDFWITDSDSEGLLFHQDYSPPAPPPPPPHAPSVSCTDDLGGVGSLDSTCKIVADLNLTRDVYIAGKGNFYILPGVRFHCPILGCSITLNISGNFSLGENSTIVAGTFELAAYNASFFNGSAVNTTGWAGDPPPQTSGTPQGVEGAGGGHGGRGASCLVEEGKLPEDVWGGDAYSWSSLQNPSSYGSKGGSTSKEVDYGGGGGGRVRMDIKEFLDVNGSLLAEGGDGGSKGGGGSGGSVYIKAHKMTGGGRISASGGNGFAGGGGGRVAVDVFSRHDEPTIYVHGGISRGCSKNAGAAGTLYDAVPRSLNVNNYNLSTDTETLLLEFPYQPLWTNVYIRNCARASVPLLWSRVQVQGQISLLCGGVLSFGLAHYATSEFELLAEELLMSDSIIKVYGALRMTVKIFLMWNSKMLIDGGEDSTVATSWLEASNLVVLKESSVIQSNANLGVHGQGLLNLSGSGDKIQAQRLVLSLFYSIHVGPGSVLRGPLEDASSYAITPKLYCELQDCPIELLHPPEDCNVNSSLSFTLQICRVEDITVEGLIKGSVVHFHRARTISVQSSGIISASGMGCIGGVGKGNFLDNGIGSGGGHGGKGGLGCYNSSCVGGGNSYGNSKLPCELGSGSGNESSADSIAGGGIIGGYGSPKGGGGGGGGRIHFHWSNIPTGDVYQPIARVKGSIYARGGLGRDESGSGENGTVTGKACPKGLYGTFCVECPVGTYKNVSGSDSSLCQPCPAWELPHRAIYIAVRGGIAETPCPYKCISDRYHMPNCYTALEELIYTFGGPWLFCLLLVGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRVEESQSHVHRMYFMGPNTFSEPWHLPHTPPEEIKEIVYEGAYNMFVDEINAIAAYQWWEGAIYTILSILAYPLAWSWQQWRRRMRLQRLREFVRSEYDHACLRSCRSRALYEGLKVSATSDLMLAYMDFFLGGDEKRTDLPPRLPQRFPMVIIFGGDGSYMAPFSLQNDNILTSLMSQLVPPTTWYRLVAGLNAQLRLVRRGQLRVTFRPVLRWLETHANPALRIYGVFIGLAWFQAAPNGYHQYGLLVSSVEEESEPVSSGNTDGGVQTALLSSVRTTYMQNQSGHLGEDVLLTEGHESSDGFARRRRSYGSLIDTNNLQMLEEKRDMFYLLSFIVHNTKPVGHQDLVGLVISMLLLGDFSLVLLTFLLLYSISLLDVFLVLFILPLGVLLPFPAGINALFSHGPRRSAGLARFYALWNITSLVNVGVAFLCGYIHYKSQSSSSKQIPNLQPWNINM >KJB81156 pep chromosome:Graimondii2_0_v6:13:34398834:34401883:-1 gene:B456_013G131600 transcript:KJB81156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLSWVWIVIAIAFLQLVTGFLDFNETELSLIEAYDYGVSKLNYNPLMVGLTFITSAGAKGAVCLDGTLPGYHWHRGYGSGANSWLIQLEGGGWCNNVRTCVYRKKTRRGSSTYMEKQIPFTGILSDKAEQNPDFFNWNRVKLRYCDGASFTGDTENKAAKLQFRGQRIWLAAMEDLMSKGMRNAKQALLSGCSAGGLASILHCDEFRNMFPRTSKVKCLSDAGLFLDAVDVSGGRTLRSLYNGVVGLQCFFPQNLISNIRTPLFILNAAYDSWQIQSSIAPPSADPHGYWHECRLNHAKCSASQMRFLQGFRIEMLNAIKGFSQSRENGLFINSCFAHCQTERQDTWFAANSPEIRNKAIAIAVGDWYFDRAGVKIIDCPYPCDKSCHNLVFK >KJB81154 pep chromosome:Graimondii2_0_v6:13:34398569:34401938:-1 gene:B456_013G131600 transcript:KJB81154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLSWVWIVIAIAFLQLVTGFLDFNETELSLIEAYDYGVSKLNYNPLMVGLTFITSAGAKGAVCLDGTLPGYHWHRGYGSGANSWLIQLEGGGWCNNVRTCVYRKKTRRGSSTYMEKQIPFTGILSDKAEQNPDFFNWNRVKLRYCDGASFTGDTENKAAKLQFRGQRIWLAAMEDLMSKGMRNAKQALLSGCSAGGLASILHCDEFRNMFPRTSKVKCLSDAGLFLDAVDVSGGRTLRSLYNGVVGLQCFFPQNLISNIRTPLFILNAAYDSWQIQSSIAPPSADPHGYWHECRLNHAKCSASQMRFLQGFRIEMLNAIKGFSQSRENGLFINSCFAHCQTERQDTWFAANSPEIRNKLLEIGILTEQE >KJB81153 pep chromosome:Graimondii2_0_v6:13:34398387:34402395:-1 gene:B456_013G131600 transcript:KJB81153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLSWVWIVIAIAFLQLVTGFLDFNETELSLIEAYDYGVSKLNYNPLMVGLTFITSAGAKGAVCLDGTLPGYHWHRGYGSGANSWLIQLEGGGWCNNVRTCVYRKKTRRGSSTYMEKQIPFTGILSDKAEQNPDFFNWNRVKLRYCDGASFTGDTENKAAKLQFRGQRIWLAAMEDLMSKGMRNAKQALLSGCSAGGLASILHCDEFRNMFPRTSKVKCLSDAGLFLDAVDVSGGRTLRSLYNGVVGLQGVQHNLPRICTIHSDPTSCFFPQNLISNIRTPLFILNAAYDSWQIQSSIAPPSADPHGYWHECRLNHAKCSASQMRFLQGFRIEMLNAIKGFSQSRENGLFINSCFAHCQTERQDTWFAANSPEIRNKAIAIAVGDWYFDRAGVKIIDCPYPCDKSCHNLVFK >KJB81155 pep chromosome:Graimondii2_0_v6:13:34398569:34401938:-1 gene:B456_013G131600 transcript:KJB81155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLSWVWIVIAIAFLQLVTGFLDFNETELSLIEAYDYGVSKLNYNPLMVGLTFITSAGAKGAVCLDGTLPGYHWHRGYGSGANSWLIQLEGGGWCNNVRTCVYRKKTRRGSSTYMEKQIPFTGILSDKAEQNPDFFNWNRVKLRYCDGASFTGDTENKAAKLQFRGQRIWLAAMEDLMSKGMRNAKQALLSGCSAGGLASILHCDEFRNMFPRTSKVKCLSDAGLFLDAVDVSGGRTLRSLYNGVVGLQGVQHNLPRICTIHSDPTSCFFPQNLISNIRTPLFILNAAYDSWQIQSSIAPPSADPHGYWHECRLNHAKCSASQMRFLQGFRIEMLNAIKGFSQSRENGLFINSCFAHCQTERQDTWFAANSPEIRNKLLEIGILTEQE >KJB78958 pep chromosome:Graimondii2_0_v6:13:2000562:2001282:-1 gene:B456_013G026500 transcript:KJB78958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNNTYNINQEELEETLSFCDLSLENDQDLDDTSHHSPNSPSYDHDIFEFPFIPKTPLNNNKENVVVFCGKLIKDQGFVDGDGDGDQSRHLFRLSSAKQFNNNKNDLGSFYLLNSKANSSFSTKGFRSQSYSSFGKHKVLIGISKIEPKVELNEMKKRQSRRNHPLPMFPPVATDDIAMVDVRNGRSVDGKRGHRWSWLKHLKCRPNLFSVLAKTSLGCISRV >KJB80693 pep chromosome:Graimondii2_0_v6:13:25341126:25343730:1 gene:B456_013G110800 transcript:KJB80693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLVLLSVLLCATYHIALSATNVTVADGLLDNGNFEQAPNASNMKGTVVVGRYAIPGWVNEGFVEYIKSGQKQGDMLLVVPEGAYAVRLGNEASIKQEIKVVKGMYYSITFSAARTCAQEERLNVTVAPDSGVMPIQTVYSSSGWDNYAWAFKTQSDAVMLIIHNPGVEEDPACGPLIDAVAIKALYPPRPTNKNIMKNGGFEEGPYIFPNTPWGVLIPPNIEDDNSPLPAWVVESLKAVKYIDSAHYFMPQGRRAVELVGGKESAIAQITRTIVGKTYRLSFSVGDANNSCAGSLVVEAFAGKATLKVPYESKGTGGFKRAALRFVAVSNRTRIMFLSTFYTMRSDDFSSLCGPVVDDVKLLSIRNP >KJB80694 pep chromosome:Graimondii2_0_v6:13:25342033:25343730:1 gene:B456_013G110800 transcript:KJB80694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTVVVGRYAIPGWVNEGFVEYIKSGQKQGDMLLVVPEGAYAVRLGNEASIKQEIKVVKGMYYSITFSAARTCAQEERLNVTVAPDSGVMPIQTVYSSSGWDNYAWAFKTQSDAVMLIIHNPGVEEDPACGPLIDAVAIKALYPPRPTNKNIMKNGGFEEGPYIFPNTPWGVLIPPNIEDDNSPLPAWVVESLKAVKYIDSAHYFMPQGRRAVELVGGKESAIAQITRTIVGKTYRLSFSVGDANNSCAGSLVVEAFAGKATLKVPYESKGTGGFKRAALRFVAVSNRTRIMFLSTFYTMRSDDFSSLCGPVVDDVKLLSIRNP >KJB82719 pep chromosome:Graimondii2_0_v6:13:52278260:52278496:-1 gene:B456_013G210900 transcript:KJB82719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSLLETNKEEGWFMSCLLHPCHWFPSVPPPPKVSAAAAACIPRSISEIDLFMFCNTHHLCFFLFFLLMCTVMACI >KJB82720 pep chromosome:Graimondii2_0_v6:13:52276767:52278998:-1 gene:B456_013G210900 transcript:KJB82720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSLLETNKEEGWFMSCLLHPCHWFPSVPPPPKVSAAAAACIPRSISEIDLFMFWNLGENGEKLKDLSLD >KJB83406 pep chromosome:Graimondii2_0_v6:13:56481092:56483308:-1 gene:B456_013G246000 transcript:KJB83406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKHCDICKPTATDPDFMCLSYDSSTTVHGGIGRVLMCDVCEQAPAAFTCKADAAALCVACDADIHSANHLARRHYRVPIDPFLGPQKEDVVEMGSWSLLPGFNHKLVETEDLGISEMDPLIDLEYQDGYHVLQHHNLGMESLVPVQIEPATTVPVVNPIHFCQSQSLSHSVPSSSVELSDGNSVSDISYPLRGTTVDPSVLICNNQANQVGTMDRVARVLRYREKRKKRKFEKTVRYASRKAYAESRPRIKGRFVKRTQIHNRVDHLCNSAISPSTTTTTTSHTHYYGIVPSFL >KJB83670 pep chromosome:Graimondii2_0_v6:13:57334293:57337204:1 gene:B456_013G257700 transcript:KJB83670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTQANNTFFLPRINGSNPRKPELLFPSYPYLVCFNAKVPVTKKLIASSLLCSQQFAPLLKHKRCVSVSKCRQGTTICKFGGQDKPAGDNEGSPWKSIEKAIGNFGKKQSIEDVLRQQIEKQDYYDEGSGQNPPRGGGGGSSGGGDGFGESEDEGLSGILDETVQVILATLGFIFLYVYIITGEELARLAKDYIKYLFGGSKSVRLKRTMYKWSKFFEKLTEKKEYDKFWLEKAIITTPTWYDSPDKYRRVLNSYIEYDDEDESDYDD >KJB81125 pep chromosome:Graimondii2_0_v6:13:34167767:34171341:1 gene:B456_013G130300 transcript:KJB81125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDEYGVLLYYKFAFITDLNSLFSFYHSNCNSLGLLGRVRLSPNGVNVTVGGLLSALEKHIEAVKSNSLFQGTDFKLASSHHPLNDKVAVECGFTSLSIRVVKELVTFSSYPLLNPPEVSNAGRHLSAAEFHSVLQSARMDFGQLMKNGSPTDDKQLVLLDARNLYETRIGKFHAPSVETLDPGIRQYSDLPSWIDDNSELLRGKHVLMYCTGGIRCEMASAYIRSKGAGFENVFQLYGGIQRYLEQFPDGGFFRGKNFVFDHRISVGSSDTSIMGVCLICGSSYDNYSSRCRCTHCRILVLVCDSCQIKSDAYVCELCQKHRMDFGSIPSVEDGELATVLDKNDLKTVCSDSKISSQLPSRNAPRKLRILCLHGFRQNASSFKGRSASLAKKLKSIAELVFIDAPHELPFIYQSCTEAKNSCAPPSGQHAPPPENCKRKYAWLVASDFGGKVEADWKIANQPFDPLQYQGQTDGFDVSLAYLKKMFSEQGPFDGILGFSQGAAMAALLCAQGDKLKGEIDLRFVILCSGFALPLADFGQKPINCPSLHIFGSDPGKDRQITSHTSRYLASRFEDGCSVIIEHEFGHIIPTRSPYMDNIKDFLRRFL >KJB81123 pep chromosome:Graimondii2_0_v6:13:34167728:34171341:1 gene:B456_013G130300 transcript:KJB81123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGSPTDDKQLVLLDARNLYETRIGKFHAPSVETLDPGIRQYSDLPSWIDDNSELLRGKHVLMYCTGGIRCEMASAYIRSKGAGFENVFQLYGGIQRYLEQFPDGGFFRGKNFVFDHRISVGSSDTSIMGVCLICGSSYDNYSSRCRCTHCRILVLVCDSCQIKSDAYVCELCQKHRMDFGSIPSVEDGELATVLDKNDLKTVCSDSKISSQLPSRNAPRKLRILCLHGFRQNASSFKGRSASLAKKLKSIAELVFIDAPHELPFIYQSCTEAKNSCAPPSGQHAPPPENCKRKYAWLVASDFGGKVEADWKIANQPFDPLQYQGQTDGFDVSLAYLKKMFSEQGPFDGILGFSQGAAMAALLCAQGDKLKGEIDLRFVILCSGFALPLADFGQKPINCPSLHIFGSDPGKDRQITSHTSRYLASRFEDGCSVIIEHEFGHIIPTRSPYMDNIKDFLRRFL >KJB81124 pep chromosome:Graimondii2_0_v6:13:34167728:34171440:1 gene:B456_013G130300 transcript:KJB81124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDEYGVLLYYKFAFITDLNSLFSFYHSNCNSLGLLGRVRLSPNGVNVTVGGLLSALEKHIEAVKSNSLFQGTDFKLASSHHPLNDKVAVECGFTSLSIRVVKELVTFSSYPLLNPPEVSNAGRHLSAAEFHSVLQSARQLMKNGSPTDDKQLVLLDARNLYETRIGKFHAPSVETLDPGIRQYSDLPSWIDDNSELLRGKHVLMYCTGGIRCEMASAYIRSKGAGFENVFQLYGGIQRYLEQFPDGGFFRGKNFVFDHRISVGSSDTSIMGVCLICGSSYDNYSSRCRCTHCRILVLVCDSCQIKSDAYVCELCQKHRMDFGSIPSVEDGELATVLDKNDLKTVCSDSKISSQLPSRNAPRKLRILCLHGFRQNASSFKGRSASLAKKLKSIAELVFIDAPHELPFIYQSCTEAKNSCAPPSGQHAPPPENCKRKYAWLVASDFGGKVEADWKIANQPFDPLQYQGQTDGFDVSLAYLKKMFSEQGPFDGILGFSQGAAMAALLCAQGDKLKGEIDLRFVILCSGFALPLADFGQKPINCPSLHIFGSDPGKDRQITSHTSRYLASRFEDGCSVIIEHEFGHIIPTRSPYMDNIKDFLRRFL >KJB81126 pep chromosome:Graimondii2_0_v6:13:34167771:34171341:1 gene:B456_013G130300 transcript:KJB81126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDEYGVLLYYKFAFITDLNSLFSFYHSNCNSLGLLGRVRLSPNGVNVTVGGLLSALEKHIEAVKSNSLFQGTDFKLASSHHPLNDKVAVECGFTSLSIRVVKELVTFSSYPLLNPPEVSNAGRHLSAAEFHSVLQSARQLMKNGSPTDDKQLVLLDARNLYETRIGKFHAPSVETLDPGIRQYSDLPSWIDDNSELLRGKHVLMYCTGGIRCEMASAYIRSKGAGFENVFQLYGGIQRYLEQFPDGGFFRGKNFVFDHRISVGSSDTSIMGVCLICGSSYDNYSSRCRCTHCRILVLVCDSCQIKSDAYVCELCQKHRMDFGSIPSVEDGELATVLDKNDLKTVCSDSKISSQLPSRNGKSCTEAKNSCAPPSGQHAPPPENCKRKYAWLVASDFGGKVEADWKIANQPFDPLQYQGQTDGFDVSLAYLKKMFSEQGPFDGILGFSQGAAMAALLCAQGDKLKGEIDLRFVILCSGFALPLADFGQKPINCPSLHIFGSDPGKDRQITSHTSRYLASRFEDGCSVIIEHEFGHIIPTRSPYMDNIKDFLRRFL >KJB81127 pep chromosome:Graimondii2_0_v6:13:34167771:34171341:1 gene:B456_013G130300 transcript:KJB81127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDEYGVLLYYKFAFITDLNSLFSFYHSNCNSLGLLGRVRLSPNGVNVTVGGLLSALEKHIEAVKSNSLFQGTDFKLASSHHPLNDKVAVECGFTSLSIRVVKELVTFSSYPLLNPPEVSNAGRHLSAAEFHSVLQSARQLMKNGSPTDDKQLVLLDARNLYETRIGKFHAPSVETLDPGIRQYSDLPSWIDDNSELLRGKHVLMYCTGGIRCEMASAYIRSKGAGFENVFQLYGGIQRYLEQFPDGGFFRGKNFVFDHRISVGSSDTSIMGVCLICGSSYDNYSSRCRCTHCRILVLVCDSCQIKSDAYVCELCQKHRMDFGSIPSVEDGELATVLDKNDLKTVCSDSKISSQLPSRNGNAAPRKLRILCLHGFRQNASSFKGRSASLAKKLKSIAELVFIDAPHELPFIYQSCTEAKNSCAPPSGQHAPPPENCKRKYAWLVASDFGGKVEADWKIANQPFDPLQYQGQTDGFDVSLAYLKKMFSEQGPFDGILGFSQGAAMAALLCAQGDKLKGEIDLRFVILCSGFALPLADFGQKPINCPSLHIFGSDPGKDRQITSHTSRYLASRFEDGCSVIIEHEFGHIIPTRSPYMDNIKDFLRRFL >KJB82694 pep chromosome:Graimondii2_0_v6:13:52161912:52164275:-1 gene:B456_013G209900 transcript:KJB82694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKIIAICQSGGEFETENDGSLSYRGGDAHAIDIDDQMKFSDFKMEVAEMFNCSFGAMSIKYFLPGNRKTLITVSNDKDLERMIKFHGDSITADVYIVMEENGAADVSNMSDSRSSRTTLSEAVPPLELPLDVVDDTTQPNIPLGAPLDIDGTNHIDAHFNLPPEVLSVRPLSVVPYEKHAKAAEQWQDTITGVGQRFSSVHEFRETLRKYAIAHQFAFKYKKNDSHRVTVKCKAEGCPWRIHASRLSATQLSICIKTMNPTHTCGGSAVTVGHQSWVAGIIKEKLKIFPDYKPKDIVNDIKQEYGIQLNYCQAWRGKEIAKEQLQGSYKDAYSQLPFLCDKIMETNPGSLATFTTKEDSSFQRLFIAFHASLSGFVQGCRPLLFLDSIPLKSKYQGILLTATAADGNDSVFPVAFAFVDAETNDNWHWFLSQLKSALSTSCPLTFVADRQKGLRESISEIFKDSYHGYCLHYLTEQLIRDLKGQFSRDVKGIMIEDLYHAAFAPRPEEFHKITEGIKSLSLEAYNWIMQSEPQNWANSLFQGARYNHMKSNFGELFYSWASDADELPIIQMVDVIRGEIMELIYFRRTDSDQWLTRLTPSMEEKLEKESLKVSALQVQPTTGSKFEVQGEFTEVVDMDGWDCSCKGWQLTGLPCCHAIAVINCIGRSPYDYCSRYFSTESYRLTYAESVKPIPDTDWAMQNDYSEAAMTVTPPTRRPPGRPTTKKVGSQEAMKRQLQCSKCKGLGHNKATCKELL >KJB82693 pep chromosome:Graimondii2_0_v6:13:52161281:52164948:-1 gene:B456_013G209900 transcript:KJB82693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKIIAICQSGGEFETENDGSLSYRGGDAHAIDIDDQMKFSDFKMEVAEMFNCSFGAMSIKYFLPGNRKTLITVSNDKDLERMIKFHGDSITADVYIVMEENGAADVSNMSSRTTLSEAVPPLELPLDVVDDTTQPNIPLGAPLDIDGTNHIDAHFNLPPEVLSVRPLSVVPYEKHAKAAEQWQDTITGVGQRFSSVHEFRETLRKYAIAHQFAFKYKKNDSHRVTVKCKAEGCPWRIHASRLSATQLSICIKTMNPTHTCGGSAVTVGHQSWVAGIIKEKLKIFPDYKPKDIVNDIKQEYGIQLNYCQAWRGKEIAKEQLQGSYKDAYSQLPFLCDKIMETNPGSLATFTTKEDSSFQRLFIAFHASLSGFVQGCRPLLFLDSIPLKSKYQGILLTATAADGNDSVFPVAFAFVDAETNDNWHWFLSQLKSALSTSCPLTFVADRQKGLRESISEIFKDSYHGYCLHYLTEQLIRDLKGQFSRDVKGIMIEDLYHAAFAPRPEEFHKITEGIKSLSLEAYNWIMQSEPQNWANSLFQGARYNHMKSNFGELFYSWASDADELPIIQMVDVIRGEIMELIYFRRTDSDQWLTRLTPSMEEKLEKESLKVSALQVQPTTGSKFEVQGEFTEVVDMDGWDCSCKGWQLTGLPCCHAIAVINCIGRSPYDYCSRYFSTESYRLTYAESVKPIPDTDWAMQNDYSEAAMTVTPPTRRPPGRPTTKKVGSQEAMKRQLQCSKCKGLGHNKATCKELL >KJB82692 pep chromosome:Graimondii2_0_v6:13:52161281:52164948:-1 gene:B456_013G209900 transcript:KJB82692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKIIAICQSGGEFETENDGSLSYRGGDAHAIDIDDQMKFSDFKMEVAEMFNCSFGAMSIKYFLPGNRKTLITVSNDKDLERMIKFHGDSITADVYIVMEENGAADVSNMSDSRSSRTTLSEAVPPLELPLDVVDDTTQPNIPLGAPLDIDGTNHIDAHFNLPPEVLSVRPLSVVPYEKHAKAAEQWQDTITGVGQRFSSVHEFRETLRKYAIAHQFAFKYKKNDSHRVTVKCKAEGCPWRIHASRLSATQLSICIKTMNPTHTCGGSAVTVGHQSWVAGIIKEKLKIFPDYKPKDIVNDIKQEYGIQLNYCQAWRGKEIAKEQLQGSYKDAYSQLPFLCDKIMETNPGSLATFTTKEDSSFQRLFIAFHASLSGFVQGCRPLLFLDSIPLKSKYQGILLTATAADGNDSVFPVAFAFVDAETNDNWHWFLSQLKSALSTSCPLTFVADRQKGLRESISEIFKDSYHGYCLHYLTEQLIRDLKGQFSRDVKGIMIEDLYHAAFAPRPEEFHKITEGIKSLSLEAYNWIMQSEPQNWANSLFQGARYNHMKSNFGELFYSWASDADELPIIQMVDVIRGEIMELIYFRRTDSDQWLTRLTPSMEEKLEKESLKVSALQVQPTTGSKFEVQGEFTEVVDMDGWDCSCKGWQLTGLPCCHAIAVINCIGRSPYDYCSRYFSTESYRLTYAESVKPIPDTDWAMQNDYSEAAMTVTPPTRRPPGRPTTKKVGSQEAMKRQLQCSKCKGLGHNKATCKELL >KJB82691 pep chromosome:Graimondii2_0_v6:13:52160839:52164967:-1 gene:B456_013G209900 transcript:KJB82691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKIIAICQSGGEFETENDGSLSYRGGDAHAIDIDDQMKFSDFKMEVAEMFNCSFGAMSIKYFLPGNRKTLITVSNDKDLERMIKFHGDSITADVYIVMEENGAADVSNMSDSRSSRTTLSEAVPPLELPLDVVDDTTQPNIPLGAPLDIDGTNHIDAHFNLPPEVLSVRPLSVVPYEKHAKAAEQWQDTITGVGQRFSSVHEFRETLRKYAIAHQFAFKYKKNDSHRVTVKCKAEGCPWRIHASRLSATQLSICIKTMNPTHTCGGSAVTVGHQSWVAGIIKEKLKIFPDYKPKDIVNDIKQEYGIQLNYCQAWRGKEIAKEQLQGSYKDAYSQLPFLCDKIMETNPGSLATFTTKEDSSFQRLFIAFHASLSGFVQGCRPLLFLDSIPLKSKYQGILLTATAADGNDSVFPVAFAFVDAETNDNWHWFLSQLKSALSTSCPLTFVADRQKGLRESISEIFKDSYHGYCLHYLTEQLIRDLKGQFSRDVKGIMIEDLYHAAFAPRPEEFHKITEGIKSLSLEAYNWIMQSEPQNWANSLFQGARYNHMKSNFGELFYSWASDADELPIIQMVDVIRGEIMELIYFRRTDSDQWLTRLTPSMEEKLEKESLKVSALQVQPTTGSKFEVQGEFTEVVDMDGWDCSCKGWQLTGLPCCHAIAVINCIGRSPYDYCSRYFSTESYRLTYAESVKPIPDTDWAMQNDYSEAAMTVTPPTRRPPGRPTTKKVGSQEAMKRQLQCRITLRIKCITYCTCKRRRLY >KJB83370 pep chromosome:Graimondii2_0_v6:13:56364907:56368564:1 gene:B456_013G244400 transcript:KJB83370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQSQLAVILGSDPVPFETLISHLMSSSNEQRSHAEALFNVCKQSEPDALCLRLAHLLQVCAQPDTRAMAAILLRKLLTRDDSYIWPRLNVSTHSSIKSVLLNQIQVETAQSLSKKLCDTVSELASSILPENGWPELLPFMFQCVSSDSPRLQESAFLIFAQLSQYIGDVLTPFIKDLHTVFLKCLSENSNADVKIAALNAVINFIQCLTSSSDRDRFQDLLPAMMKTLTEALNNGNEATAQEALELLIELAETEPRFLRRQIVDVVGSMLQIAEAESLEEGTRHLAIEFVVTLAEARERAPGMMRKLPQFISRLFAILMRLLLDIEDDAAWHTAEVEDEDAGETSNYAVGQECLDRLAISLGGNTIVPVASEQFSTYLAAPEWQKHHAALIALAQIAEGCSKVMVKNLEQVVSMVLNSFNHSHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKALLVNATDKSNRMLRAKSMECISIVGMAVGKEKFRDDAKQVGTSVKAPFLC >KJB83371 pep chromosome:Graimondii2_0_v6:13:56364907:56369198:1 gene:B456_013G244400 transcript:KJB83371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQSQLAVILGSDPVPFETLISHLMSSSNEQRSHAEALFNVCKQSEPDALCLRLAHLLQVCAQPDTRAMAAILLRKLLTRDDSYIWPRLNVSTHSSIKSVLLNQIQVETAQSLSKKLCDTVSELASSILPENGWPELLPFMFQCVSSDSPRLQESAFLIFAQLSQYIGDVLTPFIKDLHTVFLKCLSENSNADVKIAALNAVINFIQCLTSSSDRDRFQDLLPAMMKTLTEALNNGNEATAQEALELLIELAETEPRFLRRQIVDVVGSMLQIAEAESLEEGTRHLAIEFVVTLAEARERAPGMMRKLPQFISRLFAILMRLLLDIEDDAAWHTAEVEDEDAGETSNYAVGQECLDRLAISLGGNTIVPVASEQFSTYLAAPEWQKHHAALIALAQIAEGCSKVMVKNLEQVVSMVLNSFNHSHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKALLVNATDKSNRMLRAKSMECISIVGMAVGKEKFRDDAKQVMEVLMSLQVSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNDIEDSDDERLYFVTVLSKFTSNDINVNTCMYIFFILICIGKKLFSHVFYFSIFSFKNINNLRNTHPCLHVHRHCMDT >KJB83373 pep chromosome:Graimondii2_0_v6:13:56364907:56370365:1 gene:B456_013G244400 transcript:KJB83373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQSQLAVILGSDPVPFETLISHLMSSSNEQRSHAEALFNVCKQSEPDALCLRLAHLLQVCAQPDTRAMAAILLRKLLTRDDSYIWPRLNVSTHSSIKSVLLNQIQVETAQSLSKKLCDTVSELASSILPENGWPELLPFMFQCVSSDSPRLQESAFLIFAQLSQYIGDVLTPFIKDLHTVFLKCLSENSNADVKIAALNAVINFIQCLTSSSDRDRFQDLLPAMMKTLTEALNNGNEATAQEALELLIELAETEPRFLRRQIVDVVGSMLQIAEAESLEEGTRHLAIEFVVTLAEARERAPGMMRKLPQFISRLFAILMRLLLDIEDDAAWHTAEVEDEDAGETSNYAVGQECLDRLAISLGGNTIVPVASEQFSTYLAAPEWQKHHAALIALAQIAEGCSKVMVKNLEQVVSMVLNSFNHSHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKALLVNATDKSNRMLRAKSMECISIVGMAVGKEKFRDDAKQVMEVLMSLQVSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNDIEDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAMEKGMAQGRNETYVKQLSDYIIPALVEALHKEPDTEICASMLDALNECVQVCTIIK >KJB83369 pep chromosome:Graimondii2_0_v6:13:56364800:56373663:1 gene:B456_013G244400 transcript:KJB83369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQSQLAVILGSDPVPFETLISHLMSSSNEQRSHAEALFNVCKQSEPDALCLRLAHLLQVCAQPDTRAMAAILLRKLLTRDDSYIWPRLNVSTHSSIKSVLLNQIQVETAQSLSKKLCDTVSELASSILPENGWPELLPFMFQCVSSDSPRLQESAFLIFAQLSQYIGDVLTPFIKDLHTVFLKCLSENSNADVKIAALNAVINFIQCLTSSSDRDRFQDLLPAMMKTLTEALNNGNEATAQEALELLIELAETEPRFLRRQIVDVVGSMLQIAEAESLEEGTRHLAIEFVVTLAEARERAPGMMRKLPQFISRLFAILMRLLLDIEDDAAWHTAEVEDEDAGETSNYAVGQECLDRLAISLGGNTIVPVASEQFSTYLAAPEWQKHHAALIALAQIAEGCSKVMVKNLEQVVSMVLNSFNHSHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKALLVNATDKSNRMLRAKSMECISIVGMAVGKEKFRDDAKQVMEVLMSLQVSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNDIEDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAMEKGMAQGRNETYVKQLSDYIIPALVEALHKEPDTEICASMLDALNECVQISGPLLDESQVRSIVDEIKQVITASASRKSERAERAKAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFDELSSYLTPMWGKDKTPEERRIAICIFDDVAEQCREAALKYYDTYLPFILEACNDENPDVRQAAVYGLGVCAEFGGPVFKPLVGEALSRLNAVIQHPNASQPENVMAYDNAVSALGKICLFHRDSIDATQVVPAWLNCLPIKGDLIEAKVVHEQLCSMVERSDNEVLGPNHHFLPKIVSVFAEVLCGKDLATEQTASRMVNLLRQLQQTLPPAALASTLSSLQPQQQLALQSILSS >KJB83372 pep chromosome:Graimondii2_0_v6:13:56364907:56369914:1 gene:B456_013G244400 transcript:KJB83372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQSQLAVILGSDPVPFETLISHLMSSSNEQRSHAEALFNVCKQSEPDALCLRLAHLLQVCAQPDTRAMAAILLRKLLTRDDSYIWPRLNVSTHSSIKSVLLNQIQVETAQSLSKKLCDTVSELASSILPENGWPELLPFMFQCVSSDSPRLQESAFLIFAQLSQYIGDVLTPFIKDLHTVFLKCLSENSNADVKIAALNAVINFIQCLTSSSDRDRFQDLLPAMMKTLTEALNNGNEATAQEALELLIELAETEPRFLRRQIVDVVGSMLQIAEAESLEEGTRHLAIEFVVTLAEARERAPGMMRKLPQFISRLFAILMRLLLDIEDDAAWHTAEVEDEDAGETSNYAVGQECLDRLAISLGGNTIVPVASEQFSTYLAAPEWQKHHAALIALAQIAEGCSKVMVKNLEQVVSMVLNSFNHSHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKALLVNATDKSNRMLRAKSMECISIVGMAVGKEKFRDDAKQVMEVLMSLQVSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNDIEDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVCFNSMYWVISLDFLAMLY >KJB78689 pep chromosome:Graimondii2_0_v6:13:1132725:1136379:-1 gene:B456_013G016600 transcript:KJB78689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAALRSFHCLMFGGKNNSSTRRTVVLASSAKTPEATATAKSNVPPESTKKGSLEKKPSRNATFPNGFEALILEVCDETEVAELKMKIGDFEMHLKRNVASTKAPMSNISPTTAPSIPTEPMNEAAAATPPPSPPKPSPEKPSPFKSSAFGQSSKLAALEASGSSNYVLVPSPVVGIFQRGRTIKGKRQPPICKEGDLIKEGQVIGFLNQFGFELPVKSDIAGTVLKILFEDGDAVGYGDPLFAVLPSFHGIG >KJB78686 pep chromosome:Graimondii2_0_v6:13:1122425:1136184:-1 gene:B456_013G016600 transcript:KJB78686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAALRSFHYSVSAVSKACCTHERPGTFHMSSSCWPNSRKACVPGLMFGGKNNSSTRRTVVLASSAKTPEATATAKSNVPPESTKKGSLEKKPSRNATFPNGFEALILEVCDETEVAELKMKIGDFEMHLKRNVGATKAPMSNISPTTAPSIPTEPMNEAAAATPPPSPPKPSPEKPSPFKSSAFGQSSKLAALEASGSSNYVLVPSPVVGIFQRGRTIKGKRQPPICKEGDLIKEGQVIGFLNQFGFELPVKSDIAGTVLKILFEDGGIILSVHFIFISV >KJB78691 pep chromosome:Graimondii2_0_v6:13:1132878:1136169:-1 gene:B456_013G016600 transcript:KJB78691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAALRSFHYSVSAVSKACCTHERPGTFHMSSSCWPNSRKACVPGLMFGGKNNSSTRRTVVLASSAKTPEATATAKSNVPPESTKKGSLEKKPSRNATFPNGFEALILEVCDETEVAELKMKIGDFEMHLKRNVASTKAPMSNISPTTAPSIPTEPMNEAAAATPPPSPPKPSPEKPSPFKSSAFGQSSKLAALEASGSSNYVLVPSPVVGIFQRGRTIKGKRQPPICKEGDLIKEGQVIGFLNQFGFELPVKSDIAGTVLKILFEDGDAVGYGDPLFAVLPSFHGIG >KJB78690 pep chromosome:Graimondii2_0_v6:13:1132725:1136370:-1 gene:B456_013G016600 transcript:KJB78690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGKNNSSTRRTVVLASSAKTPEATATAKSNVPPESTKKGSLEKKPSRNATFPNGFEALILEVCDETEVAELKMKIGDFEMHLKRNVASTKAPMSNISPTTAPSIPTEPMNEAAAATPPPSPPKPSPEKPSPFKSSAFGQSSKLAALEASGSSNYVLVPSPVVGIFQRGRTIKGKRQPPICKEGDLIKEGQVIGFLNQFGFELPVKSDIAGTVLKILFEDGDAVGYGDPLFAVLPSFHGIG >KJB78687 pep chromosome:Graimondii2_0_v6:13:1133398:1136169:-1 gene:B456_013G016600 transcript:KJB78687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAALRSFHYSVSAVSKACCTHERPGTFHMSSSCWPNSRKACVPGLMFGGKNNSSTRRTVVLASSAKTPEATATAKSNVPPESTKKGSLEKKPSRNATFPNGFEALILEVCDETEVAELKMKIGDFEMHLKRNVASTKAPMSNISPTTAPSIPTEPMNEAAAATPPPSPPKPSPEKPSPFKSSAFGQSSKLAALEASGSSNYVLVPSPVVGIFQRGRTIKGKRQPPICKEVLFQCQLGILTKNISNIL >KJB78688 pep chromosome:Graimondii2_0_v6:13:1132725:1136327:-1 gene:B456_013G016600 transcript:KJB78688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAALRSFHYSVSAVSKACCTHERPGTFHMSSSCWPNSRKACVPGLMFGGKNNSSTRRTVVLASSAKTPEATATAKSNVPPESTKKGSLEKKPSRNATFPNGFEALILEVCDETEVAELKMKIGDFEMHLKRNVASTKAPMSNISPTTAPSIPTEPMNEAAAATPPPSPPKPSPEKPSPFKSSAFGQSSKLAALEASGSSNYVLVPSPVVGIFQRGRTIKGKRQPPICKEGDLIKEGQVIGFLNQFGFELPVKSDIAGTVLKILFEDGEIM >KJB82177 pep chromosome:Graimondii2_0_v6:13:47346245:47358480:1 gene:B456_013G179800 transcript:KJB82177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSFDAGIPMSRTATAAVPVTEGSSLSPSLNQDAMWQMNLRSSETMESSPYPERPGEPDCSYYIRTGLCRFGATCHFNHPPNRKLAIAAARMKGEFPERVGQPECQYYLKTGTCKFGATCKFHHPRDKAGIAGRVSLNILGYPLRPNETECAYYLRTGQCKFGSTCKFHHPQPTNMMVSLRGSPIYQTVPSPTTPGQQSYPGGITNWSRASFIPNPRWQGPSSYASLILPQGMVSVPGWNAYSGQLASVSSSENLQQTNVNHQIHGTSRQNESATAGSQASFSELRSSSVPVGVYALQRENVFPERPGEPECQFYMKTGDCKFGAVCKFHHPRERVLPAPDCVLSPIGLPLRPGEPLCIFYSRYGICKFGPSCKFNHPMGIFTYNYSPSSPSDAPVHCFLGSSSGTAGLNLSSEGLVEAVPTKPRRLSLSKNRQLSPSDDDIDAEG >KJB82176 pep chromosome:Graimondii2_0_v6:13:47346245:47357192:1 gene:B456_013G179800 transcript:KJB82176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSFDAGIPMSRTATAAVPVTEGSSLSPSLNQDAMWQMNLRSSETMESSPYPERPGEPDCSYYIRTGLCRFGATCHFNHPPNRKLAIAAARMKGEFPERVGQPECQNETECAYYLRTGQCKFGSTCKFHHPQPTNMMVSLRGSPIYQTVPSPTTPGQQSYPGGITNWSRASFIPNPRWQGPSSYASLILPQGMVSVPGWNAYSGQLASVSSSENLQQTNVNHQIHGTSRQNESATAGSQASFSELRSSSVPVGVYALQRENVFPERPGEPECQFYMKTGDCKFGAVCKFHHPRERVLPAPDCVLSPIGLPLRPGEPLCIFYSRYGICKFGPSCKFNHPMGIFTYNYSPSSPSDAPVHCFLGSSSGTAGLNLSSEGLVEAVPTKPRRLSLSKNRQLSPSDDDIDAEG >KJB82178 pep chromosome:Graimondii2_0_v6:13:47346246:47357286:1 gene:B456_013G179800 transcript:KJB82178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSFDAGIPMSRTATAAVPVTEGSSLSPSLNQDAMWQMNLRSSETMESSPYPERPGEPDCSYYIRTGLCRFGATCHFNHPPNRKLAIAAARMKGEFPERVGQPECQYYLKTGTCKFGATCKFHHPRDKAGIAGRVSLNILGYPLRPNETECAYYLRTGQCKFGSTCKFHHPQPTNMMVSLRGSPIYQTVPSPTTPGQQSYPGGITNWSRASFIPNPRWQGPSSYASLILPQGMVSVPGWNAYSVRSKREIK >KJB79917 pep chromosome:Graimondii2_0_v6:13:8624881:8626804:1 gene:B456_013G072200 transcript:KJB79917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIKLVEGDSAGTVTAYYMSSDGPNHNEFDFEFLGNTTGEPYLVQTNVYVNGVGNREQRMNLWFDPTKDFHSYSILWNQRQVVFLVDETPIRVHTNMEHKGIPFPKDQAMGVYSSIWNADDWATQGGLVKTDWSHAPFIASYKGFEIDACECPVSVAANEIADKCRSSGEKRFWWDEPTMSELSVHQSHQLVWVRANHLVYDYCTDTARFPVMPVECEHHHH >KJB79916 pep chromosome:Graimondii2_0_v6:13:8624819:8627170:1 gene:B456_013G072200 transcript:KJB79916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHSLLRQTIIRSKSFTELHLSLSLPFPSMAVSFKMCASFGLFVGLMMLGLVSSAKFDELFQPGWAMDHFIYEGELLKLKLDNYSGAGFASKSKYLFGKVTMQIKLVEGDSAGTVTAYYMSSDGPNHNEFDFEFLGNTTGEPYLVQTNVYVNGVGNREQRMNLWFDPTKDFHSYSILWNQRQVVFLVDETPIRVHTNMEHKGIPFPKDQAMGVYSSIWNADDWATQGGLVKTDWSHAPFIASYKGFEIDACECPVSVAANEIADKCRSSGEKRFWWDEPTMSELSVHQSHQLVWVRANHLVYDYCTDTARFPVMPVECEHHHH >KJB79116 pep chromosome:Graimondii2_0_v6:13:2964377:2972331:1 gene:B456_013G037400 transcript:KJB79116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIACDLLPLVLILGNAFLTQGMSMPIAHVEESWQMAEFYNNKVLVEYRNKDQIHVEWAKALKELYLPGLRDYVKSHYPLGPVWSASGKKASSASSKAPPPGAPAPPPPPPASLFSSEPSQPSSSNPKQGMSAVFQEISSGNVSVGLKKVTADMKTKNRTDRTGVVSASGKETHSSSPSFSKAGPPKLELQMGRKWAVENQIGRKNLVIDDCDAKQSVYIYGCKDSVLQIQGKVNNITIDKCTKMGVVFKDVVAACEVVNCNGVEVQCQGSAPTISVDNTSGCQLYLSKDSLGTSITTAKSSEINVLVPTGPDGDWSDLTHQKHYLKLVGILHLVAIK >KJB79114 pep chromosome:Graimondii2_0_v6:13:2962940:2972342:1 gene:B456_013G037400 transcript:KJB79114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLIERLEAAVARLESLSAGGISARGLPDGVDEVSSDPSILAFDDLMAQYAARVSAAAEKIGGQVLDVTKLVLEAFSVQKKLLIEIKQTQKPDMAGLVEFLKPLNEVILKVNAMTEGRRSDFFNHLKSAGDSLSALAWIAYTGKDCGMSMPIAHVEESWQMAEFYNNKVLVEYRNKDQIHVEWAKALKELYLPGLRDYVKSHYPLGPVWSASGKKASSASSKAPPPGAPAPPPPPPASLFSSEPSQPSSSNPKQGMSAVFQEISSGNVSVGLKKVTADMKTKNRTDRTGVVSASGKETHSSSPSFSKAGPPKLELQMGRKWAVENQIGRKNLVIDDCDAKQSVYIYGCKDSVLQIQGKVNNITIDKCTKMGVVFKDVVAACEVVNCNGVEVQCQGSAPTISVDNTSGCQLYLSKDSLGTSITTAKSSEINVLVPTGPDGDWSDLTHQKHYLKLVGILHLVAIK >KJB79112 pep chromosome:Graimondii2_0_v6:13:2962940:2966252:1 gene:B456_013G037400 transcript:KJB79112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLIERLEAAVARLESLSAGGISARGLPDGVDEVSSDPSILAFDDLMAQYAARVSAAAEKIGGQVLDVTKLVLEAFSVQKKLLIEIKQTQKPDMAGLVEFLKPLNEVILKVNAMTEGRRSDFFNHLKSAGDSLSALAWIAYTGKDCGMSMPIAHVEESWQMAEFYNNKVLVEYRNKDQIHVEWAKALKELYLPGLRDYVKSHYPLGPVWSASGKKASSASSKAPPPGAPAPPPPPPASLFSSEPSQPSSSNPKQGMSAVFQEISSGNVSVGLKKVTADMKTKNRTDRTGVVSASGKETHSSSPSFSKAGPPKLELQMGRKWAVENQIGRKNLVIDDCDAKQSVYIYGCKDSVLQIQAGKVNNITIDKCTKMGVVFKDVVAACEVVNCNGVEVQCQGSAPTISVDNTSGCQLYLSKDSLGTSITTAKSSEINVLVPTGPDGDWVS >KJB79113 pep chromosome:Graimondii2_0_v6:13:2963648:2972342:1 gene:B456_013G037400 transcript:KJB79113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIACDLLPLVLILGNAFLTQGMSMPIAHVEESWQMAEFYNNKVLVEYRNKDQIHVEWAKALKELYLPGLRDYVKSHYPLGPVWSASGKKASSASSKAPPPGAPAPPPPPPASLFSSEPSQPSSSNPKQGMSAVFQEISSGNVSVGLKKVTADMKTKNRTDRTGVVSASGKETHSSSPSFSKAGPPKLELQMGRKWAVENQIGRKNLVIDDCDAKQSVYIYGCKDSVLQIQGKVNNITIDKCTKMGVVFKDVVAACEVVNCNGVEVQCQGSAPTISVDNTSGCQLYLSKDSLGTSITTAKSSEINVLVPTGPDGDWSDLTHQKHYLKLVGILHLVAIK >KJB79111 pep chromosome:Graimondii2_0_v6:13:2962890:2966420:1 gene:B456_013G037400 transcript:KJB79111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLIERLEAAVARLESLSAGGISARGLPDGVDEVSSDPSILAFDDLMAQYAARVSAAAEKIGGQVLDVTKLVLEAFSVQKKLLIEIKQTQKPDMAGLVEFLKPLNEVILKVNAMTEGRRSDFFNHLKSAGDSLSALAWIAYTGKDCGMSMPIAHVEESWQMAEFYNNKVLVEYRNKDQIHVEWAKALKELYLPGLRDYVKSHYPLGPVWSASGKKASSASSKAPPPGAPAPPPPPPASLFSSEPSQPSSSNPKQGMSAVFQEISSGNVSVGLKKVTADMKTKNRTDRTGVVSASGKETHSSSPSFSKAGPPKLELQMGRKWAVENQIGRKNLVIDDCDAKQSVYIYGCKDSVLQIQGKVNNITIDKCTKMGVVFKDVVAACEVVNCNGVEVQCQGSAPTISVDNTSGCQLYLSKDSLGTSITTAKSSEINVLVPTGPDGDWVS >KJB79115 pep chromosome:Graimondii2_0_v6:13:2962940:2972342:1 gene:B456_013G037400 transcript:KJB79115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLIERLEAAVARLESLSAGGISARGLPDGVDEVSSDPSILAFDDLMAQYAARVSAAAEKIGGQVLDVTKLVLEAFSVQKKLLIEIKQTQKPDMAGLVEFLKPLNEVILKVNAMTEGRRSDFFNHLKSAGDSLSALAWIAYTGKDCGMSMPIAHVEESWQMAEFYNNKVLVEYRNKDQIHVEWAKALKELYLPGLRDYVKSHYPLGPVWSASGKKASSASSKAPPPGAPAPPPPPPASLFSSEPSQPSSSNPKQGMSAVFQEISSGNVSVGLKKVTADMKTKNRTDRTGVVSASGKETHSSSPSFSKAGPPKLELQMGRKWAVENQIGRKNLVIDDCDAKQSVYIYGCKDSVLQIQGKVNNITIDKCTKMGVVFKDVVAACEVVNCNGVEVQCQGSAPTISVDNTSGCQLYLSKDSLGTSITTAKSSEINVLVPTGPDGDWLSLILHIRNIT >KJB82114 pep chromosome:Graimondii2_0_v6:13:46769806:46774073:-1 gene:B456_013G177000 transcript:KJB82114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGRRRQVVDEKYTRPQGLYVHKDVDIKKLRKLILESKLAPCYPGDEECCSDLEECPICFLYYPSLNRSRCCMKSICTECFLQMKNPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGIEQIEEQRVIEAQIRMRQQELQDDEERMLKRQDLSSSSTAVAPGEVQYNSIADISPGEEEIFSSQDSQAASMIGQRSHPRVNRDEDFDIDLEEIMVMEAVWQSIQENSRQRNLNYVDAAASVHYVSGDGYVLPSTTTVAGSSSSPSGGLACAIAALAERQQMGGESSLNHDGSMQAFDMLPNSSSSSSSSSSRRFYNNRLHQVGENYPPAESPVGDGGMTPGSDEGKWGINHELEVAEAGTSYASSDVTEDSGGISAIPQEDEIRGSFQSVAGPGPMVPESYEEQMMLAMAVSLAEARAMTSDPGLSWQ >KJB82112 pep chromosome:Graimondii2_0_v6:13:46770170:46773517:-1 gene:B456_013G177000 transcript:KJB82112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGRRRQVVDEKYTRPQGLYVHKDVDIKKLRKLILESKLAPCYPGDEECCSDLEECPICFLYYPSLNRSRCCMKSICTECFLQMKNPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGIEQIEEQRVIEAQIRMRQQELQDDEERMLKRQDLSSSSTAVAPGEVQYNSIADISPGEEEIFSSQDSQAASMIGQRSHPRVNRDEDFDIDLEEIMVMEAVWQSIQENSRQRNLNYVDAAASVHYVSGDGYVLPSTTTVAGSSSSPSGGLACAIAALAERQQMGGESSLNHDGSMQAFDMLPNSSSSSSSSSSRRFYNNRLHQVGENYPPAESPVGDGGMTPGSDEGKWGINHELEVAEAGTSYASSDVTEDSGGISAIPQEDEIRGSFQSVAGPGPMVPESYEEQMMLAMAVSLAEARAMTSDPGLSWQ >KJB82113 pep chromosome:Graimondii2_0_v6:13:46769826:46774442:-1 gene:B456_013G177000 transcript:KJB82113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGRRRQVVDEKYTRPQGLYVHKDVDIKKLRKLILESKLAPCYPGDEECCSDLEECPICFLYYPSLNRSRCCMKSICTECFLQMKNPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGIEQIEEQRVIEAQIRMRQQELQDDEERMLKRQDLSSSSTAVAPGEVQYNSIADISPGEEEIFSSQDSQAASMIGQRSHPRVNRDEDFDIDLEEIMVMEAVWQSIQENSRQRNLNYVDAAASVHYVSGDGYVLPSTTTVAGSSSSPSGGLACAIAALAERQQMGGESSLNHDGSMQAFDMLPNSSSSSSSSSSRRFYNNRLHQVGENYPPAESPVGDGGMTPGSDEGKWGINHELEVAEAGTSYASSDVTEDSGGISAIPQEDEIRGSFQSVAGPGPMVPESYEEQMMLAMAVSLAEARAMTSDPGLSWQ >KJB83534 pep chromosome:Graimondii2_0_v6:13:56979246:56992352:-1 gene:B456_013G252300 transcript:KJB83534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFRNYSNDTVSHDALEGKSQGRNSGRILSSAENEDIDGTYSEREFDINMDAQYQSDGVGISNSEQSRLHHEVATGNGAGISNSNFQPAGRRIAPGRWGSTFWKDCQPMDRQGGSDSGQESKSDHKSLEGSVYNSSDDRDDRLESEVDEAQKEVGKSQRGHSDVPADEMLSDEYYEQDGEEQSDTMHYSGFGNSVGLNTRAQSKHVSVSTNVSRSSKALNKRNYDNEDDNDDNNDDVDADYEEEEEEDDDPDDVDFEPDYGLANSHAGNKDKDWDGEDSEEEDNSHGDLDVSDEDDSYYKKKPKGRQQIKVGPNVKPTREHKSSNRQRRGRSSFEEDEYSAEDSDSESDGDFKSMARRSGNNHKINARSNTLTSMGRNNEIRTSGRSVRKVSYVESEESEEIDEGKKKKTRKDEAEEEDGDTIEKVLWHQPKGMAEDAIRNNRSTEPVLLSHFFDSEPDWSEMEFLIKWKGQSHLHCQWKSISELQNLSGFKKVLNYTKKVMEDVRYRKSLSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRIRKDAFGNVMSEYLVKWQGLSYAEATWEKDIDIPFAQDAIDEYKAREAAMAVQGKMVDHQRKKGKASLRKLDEQPEWLRGGQLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNIIVYVGTRASREVCQQYEFYNDKKIGRPIKFSALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFKNKDDFVQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDTGMNDISKMERIILSSGKLVILDKLLMRLHETKHRVLIFSQMVRMLDILAEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGAYFDKNELSAILRFGAEELFKEDKNDEESKKRLLSMDIDEILERAEKVEEKVSEEEGNELLSAFKVANFCSAEDDGSFWSRWIKPDAIAQAEDALAPRAARNTKSYAETSQPERSNKRKKKGSDLQEQQERVPKRRKSEYSAPSAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNESQITLIAEEVGGAVAAAPLDAQIELFNALVEGCREAVEVGNIEPKGPLLDFFGVPVKANDLVNRVQELQLLAKRISRYEDPIKQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIYYHGFGNWEKIRLDERLGLTKKIAPAELQHHETFLPRAPNLKERANALLEMELAAVGGKNAGVKAGRKPSKKEKQNPLNVSISRVRDKKGKPGSTKVSFKMGRDKTERPQKVEPLVKEEGEMSDNEEVYEQFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRRIDQIVLEHEDEPYRQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEQDDDGVGPSHMNGSTPGHVDRDGDPNFFPPFSRSTDKQRGHKKNATAHQTSQPNHKGIDTAKFEAWKRWRAETVNHPQLQPPTQRPLNNGSTRVVDPNSLGILGAGPSDKRLVNTERPFRMRQTGFPQRQGFPSGIK >KJB83537 pep chromosome:Graimondii2_0_v6:13:56979797:56989674:-1 gene:B456_013G252300 transcript:KJB83537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSGNNHKINARSNTLTSMGRNNEIRTSGRSVRKVSYVESEESEEIDEGKKKKTRKDEAEEEDGDTIEKVLWHQPKGMAEDAIRNNRSTEPVLLSHFFDSEPDWSEMEFLIKWKGQSHLHCQWKSISELQNLSGFKKVLNYTKKVMEDVRYRKSLSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRIRKDAFGNVMSEYLVKWQGLSYAEATWEKDIDIPFAQDAIDEYKAREAAMAVQGKMVDHQRKKGKASLRKLDEQPEWLRGGQLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNIIVYVGTRASREVCQQYEFYNDKKIGRPIKFSALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFKNKDDFVQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDTGMNDISKMERIILSSGKLVILDKLLMRLHETKHRVLIFSQMVRMLDILAEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGAYFDKNELSAILRFGAEELFKEDKNDEESKKRLLSMDIDEILERAEKVEEKVSEEEGNELLSAFKVANFCSAEDDGSFWSRWIKPDAIAQAEDALAPRAARNTKSYAETSQPERSNKRKKKGSDLQEQQERVPKRRKSEYSAPSAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNESQITLIAEEVGGAVAAAPLDAQIELFNALVEGCREAVEVGNIEPKGPLLDFFGVPVKANDLVNRVQELQLLAKRISRYEDPIKQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIYYHGFGNWEKIRLDERLGLTKKIAPAELQHHETFLPRAPNLKERANALLEMELAAVGGKNAGVKAGRKPSKKEKQNPLNVSISRVRDKKGKPGSTKVSFKMGRDKTERPQKVEPLVKEEGEMSDNEEVYEQFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRRIDQIVLEHEDEPYRQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEQDDDGVGPSHMNGSTPGHVDRDGDPNFFPPFSRSTDKQRGHKKNATAHQTSQPNHKGIDTAKFEAWKRWRAETVNHPQLQPPTQRPLNNGSTRVVDPNSLGILGAGPSDKRLVNTERPFRMRQTGFPQRQGFPSGIK >KJB83542 pep chromosome:Graimondii2_0_v6:13:56980409:56991227:-1 gene:B456_013G252300 transcript:KJB83542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFRNYSNDTVSHDALEGKSQGRNSGRILSSAENEDIDGTYSEREFDINMDAQYQSDGVGISNSEQSRLHHEVATGNGAGISNSNFQPAGRRIAPGRWGSTFWKDCQPMDRQGGSDSGQESKSDHKSLEGSVYNSSDDRDDRLESEVDEAQKEVGKSQRGHSDVPADEMLSDEYYEQDGEEQSDTMHYSGFGNSVGLNTRAQSKHVSVSTNVSRSSKALNKRNYDNEDDNDDNNDDVDADYEEEEEEDEDDPDDVDFEPDYGLANSHAGNKDKDWDGEDSEEEDNSHGDLDVSDEDDSYYKKKPKGRQQIKVGPNVKPTREHKSSNRQRRGRSSFEEDEYSAEDSDSESDGDFKSMARRSGNNHKINARSNTLTSMGRNNEIRTSGRSVRKVSYVESEESEEIDEGKKKKTRKDEAEEEDGDTIEKVLWHQPKGMAEDAIRNNRSTEPVLLSHFFDSEPDWSEMEFLIKWKGQSHLHCQWKSISELQNLSGFKKVLNYTKKVMEDVRYRKSLSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRIRKDAFGNVMSEYLVKWQGLSYAEATWEKDIDIPFAQDAIDEYKAREAAMAVQGKMVDHQRKKGKASLRKLDEQPEWLRGGQLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNIIVYVGTRASREVCQQYEFYNDKKIGRPIKFSALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFKNKDDFVQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDTGMNDISKMERIILSSGKLVILDKLLMRLHETKHRVLIFSQMVRMLDILAEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGAYFDKNELSAILRFGAEELFKEDKNDEESKKRLLSMDIDEILERAEKVEEKVSEEEGNELLSAFKVANFCSAEDDGSFWSRWIKPDAIAQAEDALAPRAARNTKSYAETSQPERSNKRKKKGSDLQEQQERVPKRRKSEYSAPSAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNESQITLIAEEVGGAVAAAPLDAQIELFNALVEGCREAVEVGNIEPKGPLLDFFGVPVKANDLVNRVQELQLLAKRISRYEDPIKQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIYYHGFGNWEKIRLDERLGLTKKIAPAELQHHETFLPRAPNLKERANALLEMELAAVGGKNAGVKAGRKPSKKEKQNPLNVSISRVRDKKGKPGSTKVSFKMGRDKTERPQKVEPLVKEEGEMSDNEEVYEQFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRRIDQIVLEHEDEPYRQDRMWFFILFN >KJB83539 pep chromosome:Graimondii2_0_v6:13:56979166:56992046:-1 gene:B456_013G252300 transcript:KJB83539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFRNYSNDTVSHDALEGKSQGRNSGRILSSAENEDIDGTYSEREFDINMDAQYQSDGVGISNSEQSRLHHEVATGNGAGISNSNFQPAGRRIAPGRWGSTFWKDCQPMDRQGGSDSGQESKSDHKSLEGSVYNSSDDRDDRLESEVDEAQKEVGKSQRGHSDVPADEMLSDEYYEQDGEEQSDTMHYSGFGNSVGLNTRAQSKHVSVSTNVSRSSKALNKRNYDNEDDNDDNNDDVDADYEEEEEEDDDPDDVDFEPDYGLANSHAGNKDKDWDGEDSEEEDNSHGDLDVSDEDDSYYKKKPKGRQQIKVGPNVKPTREHKSSNRQRRGRSSFEEDEYSAEDSDSESDGDFKSMARRSGNNHKINARSNTLTSMGRNNEIRTSGRSVRKVSYVESEESEEIDEGKKKKTRKDEAEEEDGDTIEKVLWHQPKGMAEDAIRNNRSTEPVLLSHFFDSEPDWSEMEFLIKWKGQSHLHCQWKSISELQNLSGFKKVLNYTKKVMEDVRYRKSLSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRIRKDAFGNVMSEYLVKWQGLSYAEATWEKDIDIPFAQDAIDEYKAREAAMAVQGKMVDHQRKKGKASLRKLDEQPEWLRGGQLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNIIVYVGTRASREVCQQYEFYNDKKIGRPIKFSALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFKNKDDFVQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDTGMNDISKMERIILSSGKLVILDKLLMRLHETKHRVLIFSQMVRMLDILAEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGAYFDKNELSAILRFGAEELFKEDKNDEESKKRLLSMDIDEILERAEKVEEKVSEEEGNELLSAFKVANFCSAEDDGSFWSRWIKPDAIAQAEDALAPRAARNTKSYAETSQPERSNKRKKKGSDLQEQQERVPKRRKSEYSAPSAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNESQITLIAEEVGGAVAAAPLDAQIELFNALVEGCREAVEVGNIEPKGPLLDFFGVPVKANDLVNRVQELQLLAKRISRYEDPIKQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIYYHGFGNWEKIRLDERLGLTKKIAPAELQHHETFLPRAPNLKERANALLEMELAAVGGKNAGVKAGRKPSKKEKQNPLNVSISRVRDKKGKPGSTKVSFKMGRDKTERPQKVEPLVKEEGEMSDNEEVYEQFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRRIDQIVLEHEDEPYRQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEQDDDGVGPSHMNGSTPGHVDRDGDPNFFPPFSRSTDKQRGHKKNATAHQTSQPNHKGIDTAKFEAWKRWRAETVNHPQLQPPTQRPLNNGSTRVVDPNSLGILGAGPSDKRLVNTERPFRMRQTGFPQRQGFPSGIK >KJB83540 pep chromosome:Graimondii2_0_v6:13:56979797:56989674:-1 gene:B456_013G252300 transcript:KJB83540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSGNNHKINARSNTLTSMGRNNEIRTSGRSVRKVSYVESEESEEIDEGKKKKTRKDEAEEEDGDTIEKVLWHQPKGMAEDAIRNNRSTEPVLLSHFFDSEPDWSEMEFLIKWKGQSHLHCQWKSISELQNLSGFKKVLNYTKKVMEDVRYRKSLSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRIRKDAFGNVMSEYLVKWQGLSYAEATWEKDIDIPFAQDAIDEYKAREAAMAVQGKMVDHQRKKGKASLRKLDEQPEWLRGGQLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNIIVYVGTRASREVCQQYEFYNDKKIGRPIKFSALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFKNKDDFVQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDTGMNDISKMERIILSSGKLVILDKLLMRLHETKHRVLIFSQMVRMLDILAEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGAYFDKNELSAILRFGAEELFKEDKNDEESKKRLLSMDIDEILERAEKVEEKVSEEEGNELLSAFKVANFCSAEDDGSFWSRWIKPDAIAQAEDALAPRAARNTKSYAETSQPERSNKRKKKGSDLQEQQERVPKRRKSEYSAPSAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNESQITLIAEEVGGAVAAAPLDAQIELFNALVEGCREAVEVGNIEPKGPLLDFFGVPVKANDLVNRVQELQLLAKRISRYEDPIKQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIYYHGFGNWEKIRLDERLGLTKKIAPAELQHHETFLPRAPNLKERANALLEMELAAVGGKNAGVKAGRKPSKKEKQNPLNVSISRVRDKKGKPGSTKVSFKMGRDKTERPQKVEPLVKEEGEMSDNEEVYEQFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRRIDQIVLEHEDEPYRQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEQDDDGVGPSHMNGSTPGHVDRDGDPNFFPPFSRSTDKQRGHKKNATAHQTSQPNHKGIDTAKFEAWKRWRAETVNHPQLQPPTQRPLNNGSTRVVDPNSLGILGAGPSDKRLVNTERPFRMRQTGFPQRQGFPSGIK >KJB83536 pep chromosome:Graimondii2_0_v6:13:56979166:56992254:-1 gene:B456_013G252300 transcript:KJB83536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFRNYSNDTVSHDALEGKSQGRNSGRILSSAENEDIDGTYSEREFDINMDAQYQSDGVGISNSEQSRLHHEVATGNGAGISNSNFQPAGRRIAPGRWGSTFWKDCQPMDRQGGSDSGQESKSDHKSLEGSVYNSSDDRDDRLESEVDEAQKEVGKSQRGHSDVPADEMLSDEYYEQDGEEQSDTMHYSGFGNSVGLNTRAQSKHVSVSTNVSRSSKALNKRNYDNEDDNDDNNDDVDADYEEEEEEDEDDPDDVDFEPDYGLANSHAGNKDKDWDGEDSEEEDNSHGDLDVSDEDDSYYKKKPKGRQQIKVGPNVKPTREHKSSNRQRRGRSSFEEDEYSAEDSDSESDGDFKSMARRSGNNHKINARSNTLTSMGRNNEIRTSGRSVRKVSYVESEESEEIDEGKKKKTRKDEAEEEDGDTIEKVLWHQPKGMAEDAIRNNRSTEPVLLSHFFDSEPDWSEMEFLIKWKGQSHLHCQWKSISELQNLSGFKKVLNYTKKVMEDVRYRKSLSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRIRKDAFGNVMSEYLVKWQGLSYAEATWEKDIDIPFAQDAIDEYKAREAAMAVQGKMVDHQRKKGKASLRKLDEQPEWLRGGQLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNIIVYVGTRASREVCQQYEFYNDKKIGRPIKFSALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFKNKDDFVQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDTGMNDISKMERIILSSGKLVILDKLLMRLHETKHRVLIFSQMVRMLDILAEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGAYFDKNELSAILRFGAEELFKEDKNDEESKKRLLSMDIDEILERAEKVEEKVSEEEGNELLSAFKVANFCSAEDDGSFWSRWIKPDAIAQAEDALAPRAARNTKSYAETSQPERSNKRKKKGSDLQEQQERVPKRRKSEYSAPSAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNESQITLIAEEVGGAVAAAPLDAQIELFNALVEGCREAVEVGNIEPKGPLLDFFGVPVKANDLVNRVQELQLLAKRISRYEDPIKQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIYYHGFGNWEKIRLDERLGLTKKIAPAELQHHETFLPRAPNLKERANALLEMELAAVGGKNAGVKAGRKPSKKEKQNPLNVSISRVRDKKGKPGSTKVSFKMGRDKTERPQKVEPLVKEEGEMSDNEEVYEQFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRRIDQIVLEHEDEPYRQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEQDDDGVGPSHMNGSTPGHVDRDGDPNFFPPFSRSTDKQRGHKKNATAHQTSQPNHKGIDTAKFEAWKRWRAETVNHPQLQPPTQRPLNNGSTRVVDPNSLGILGAGPSDKRLVNTERPFRMRQTGFPQRQGFPSGIK >KJB83541 pep chromosome:Graimondii2_0_v6:13:56979246:56992352:-1 gene:B456_013G252300 transcript:KJB83541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSGNNHKINARSNTLTSMGRNNEIRTSGRSVRKVSYVESEESEEIDEGKKKKTRKDEAEEEDGDTIEKVLWHQPKGMAEDAIRNNRSTEPVLLSHFFDSEPDWSEMEFLIKWKGQSHLHCQWKSISELQNLSGFKKVLNYTKKVMEDVRYRKSLSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRIRKDAFGNVMSEYLVKWQGLSYAEATWEKDIDIPFAQDAIDEYKAREAAMAVQGKMVDHQRKKGKASLRKLDEQPEWLRGGQLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNIIVYVGTRASREVCQQYEFYNDKKIGRPIKFSALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFKNKDDFVQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDTGMNDISKMERIILSSGKLVILDKLLMRLHETKHRVLIFSQMVRMLDILAEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGAYFDKNELSAILRFGAEELFKEDKNDEESKKRLLSMDIDEILERAEKVEEKVSEEEGNELLSAFKVANFCSAEDDGSFWSRWIKPDAIAQAEDALAPRAARNTKSYAETSQPERSNKRKKKGSDLQEQQERVPKRRKSEYSAPSAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNESQITLIAEEVGGAVAAAPLDAQIELFNALVEGCREAVEVGNIEPKGPLLDFFGVPVKANDLVNRVQELQLLAKRISRYEDPIKQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIYYHGFGNWEKIRLDERLGLTKKIAPAELQHHETFLPRAPNLKERANALLEMELAAVGGKNAGVKAGRKPSKKEKQNPLNVSISRVRDKKGKPGSTKVSFKMGRDKTERPQKVEPLVKEEGEMSDNEEVYEQFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRRIDQIVLEHEDEPYRQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEQDDDGVGPSHMNGSTPGHVDRDGDPNFFPPFSRSTDKQRGHKKNATAHQTSQPNHKGIDTAKFEAWKRWRAETVNHPQLQPPTQRPLNNGSTRVVDPNSLGILGAGPSDKRLVNTERPFRMRQTGFPQRQGFPSGIK >KJB83543 pep chromosome:Graimondii2_0_v6:13:56983344:56992352:-1 gene:B456_013G252300 transcript:KJB83543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFRNYSNDTVSHDALEGKSQGRNSGRILSSAENEDIDGTYSEREFDINMDAQYQSDGVGISNSEQSRLHHEVATGNGAGISNSNFQPAGRRIAPGRWGSTFWKDCQPMDRQGGSDSGQESKSDHKSLEGSVYNSSDDRDDRLESEVDEAQKEVGKSQRGHSDVPADEMLSDEYYEQDGEEQSDTMHYSGFGNSVGLNTRAQSKHVSVSTNVSRSSKALNKRNYDNEDDNDDNNDDVDADYEEEEEEDEDDPDDVDFEPDYGLANSHAGNKDKDWDGEDSEEEDNSHGDLDVSDEDDSYYKKKPKGRQQIKVGPNVKPTREHKSSNRQRRGRSSFEEDEYSAEDSDSESDGDFKSMARRSGNNHKINARSNTLTSMGRNNEIRTSGRSVRKVSYVESEESEEIDEGKKKKTRKDEAEEEDGDTIEKVLWHQPKGMAEDAIRNNRSTEPVLLSHFFDSEPDWSEMEFLIKWKGQSHLHCQWKSISELQNLSGFKKVLNYTKKVMEDVRYRKSLSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRIRKDAFGNVMSEYLVKWQGLSYAEATWEKDIDIPFAQDAIDEYKAREAAMAVQGKMVDHQRKKGKASLRKLDEQPEWLRGGQLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNIIVYVGTRASREVCQQYEFYNDKKIGRPIKFSALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFKNKDDFVQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDTGMNDISKMERIILSSGKLVILDKLLMRLHETKHRVLIFSQMVRMLDILAEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVIFFNLHECVPIYS >KJB83535 pep chromosome:Graimondii2_0_v6:13:56979219:56992481:-1 gene:B456_013G252300 transcript:KJB83535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFRNYSNDTVSHDALEGKSQGRNSGRILSSAENEDIDGTYSEREFDINMDAQYQSDGVGISNSEQSRLHHEVATGNGAGISNSNFQPAGRRIAPGRWGSTFWKDCQPMDRQGGSDSGQESKSDHKSLEGSVYNSSDDRDDRLESEVDEAQKEVGKSQRGHSDVPADEMLSDEYYEQDGEEQSDTMHYSGFGNSVGLNTRAQSKHVSVSTNVSRSSKALNKRNYDNEDDNDDNNDDVDADYEEEEEEDEDDPDDVDFEPDYGLANSHAGNKDKDWDGEDSEEEDNSHGDLDVSDEDDSYYKKKPKGRQQIKVGPNVKPTREHKSSNRQRRGRSSFEEDEYSAEDSDSESDGDFKSMARRSGNNHKINARSNTLTSMGRNNEIRTSGRSVRKVSYVESEESEEIDEGKKKKTRKDEAEEEDGDTIEKVLWHQPKGMAEDAIRNNRSTEPVLLSHFFDSEPDWSEMEFLIKWKGQSHLHCQWKSISELQNLSGFKKVLNYTKKVMEDVRYRKSLSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRIRKDAFGNVMSEYLVKWQGLSYAEATWEKDIDIPFAQDAIDEYKAREAAMAVQGKMVDHQRKKGKASLRKLDEQPEWLRGGQLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNIIVYVGTRASREVCQQYEFYNDKKIGRPIKFSALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFKNKDDFVQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDTGMNDISKMERIILSSGKLVILDKLLMRLHETKHRVLIFSQMVRMLDILAEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGAYFDKNELSAILRFGAEELFKEDKNDEESKKRLLSMDIDEILERAEKVEEKVSEEEGNELLSAFKVANFCSAEDDGSFWSRWIKPDAIAQAEDALAPRAARNTKSYAETSQPERSNKRKKKGSDLQEQQERVPKRRKSEYSAPSAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNESQITLIAEEVGGAVAAAPLDAQIELFNALVEGCREAVEVGNIEPKGPLLDFFGVPVKANDLVNRVQELQLLAKRISRYEDPIKQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIYYHGFGNWEKIRLDERLGLTKKIAPAELQHHETFLPRAPNLKERANALLEMELAAVGGKNAGVKAGRKPSKKEKQNPLNVSISRVRDKKGKPGSTKVSFKMGRDKTERPQKVEPLVKEEGEMSDNEEVYEQFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRRIDQIVLEHEDEPYRQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEQDDDGVGPSHMNGSTPGHVDRDGDPNFFPPFSRSTDKQRGHKKNATAHQTSQPNHKGIDTAKFEAWKRWRAETVNHPQLQPPTQRPLNNGSTRVVDPNSLGILGAGPSDKRLVNTERPFRMRQTGFPQRQGFPSGIK >KJB83538 pep chromosome:Graimondii2_0_v6:13:56979246:56992352:-1 gene:B456_013G252300 transcript:KJB83538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFRNYSNDTVSHDALEGKSQGRNSGRILSSAENEDIDGTYSEREFDINMDAQYQSDGVGISNSEQSRLHHEVATGNGAGISNSNFQPAGRRIAPGRWGSTFWKDCQPMDRQGGSDSGQESKSDHKSLEGSVYNSSDDRDDRLESEVDEAQKEVGKSQRGHSDVPADEMLSDEYYEQDGEEQSDTMHYSGFGNSVGLNTRAQSKHVSVSTNVSRSSKALNKRNYDNEDDNDDNNDDDVRYRKSLSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRIRKDAFGNVMSEYLVKWQGLSYAEATWEKDIDIPFAQDAIDEYKAREAAMAVQGKMVDHQRKKGKASLRKLDEQPEWLRGGQLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNIIVYVGTRASREVCQQYEFYNDKKIGRPIKFSALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFKNKDDFVQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDTGMNDISKMERIILSSGKLVILDKLLMRLHETKHRVLIFSQMVRMLDILAEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGAYFDKNELSAILRFGAEELFKEDKNDEESKKRLLSMDIDEILERAEKVEEKVSEEEGNELLSAFKVANFCSAEDDGSFWSRWIKPDAIAQAEDALAPRAARNTKSYAETSQPERSNKRKKKGSDLQEQQERVPKRRKSEYSAPSAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNESQITLIAEEVGGAVAAAPLDAQIELFNALVEGCREAVEVGNIEPKGPLLDFFGVPVKANDLVNRVQELQLLAKRISRYEDPIKQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIYYHGFGNWEKIRLDERLGLTKKIAPAELQHHETFLPRAPNLKERANALLEMELAAVGGKNAGVKAGRKPSKKEKQNPLNVSISRVRDKKGKPGSTKVSFKMGRDKTERPQKVEPLVKEEGEMSDNEEVYEQFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRRIDQIVLEHEDEPYRQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEQDDDGVGPSHMNGSTPGHVDRDGDPNFFPPFSRSTDKQRGHKKNATAHQTSQPNHKGIDTAKFEAWKRWRAETVNHPQLQPPTQRPLNNGSTRVVDPNSLGILGAGPSDKRLVNTERPFRMRQTGFPQRQGFPSGIK >KJB82632 pep chromosome:Graimondii2_0_v6:13:51680931:51682714:1 gene:B456_013G206100 transcript:KJB82632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGGKSKKTKRTAPKSDDIYLKLLVKIYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLIEFMKGKEDKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSRGRKFERARGRRNSKGFRV >KJB82633 pep chromosome:Graimondii2_0_v6:13:51681474:51682352:1 gene:B456_013G206100 transcript:KJB82633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVNKPPLSLSRLIEFMKGKEDKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSRGRKFERARGRRNSKGFRV >KJB82634 pep chromosome:Graimondii2_0_v6:13:51681037:51682624:1 gene:B456_013G206100 transcript:KJB82634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGGKSKKTKRTAPKSDDIYLKLLVKIYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLIEFMKGKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSRGRKFERARGRRNSKGFRV >KJB83616 pep chromosome:Graimondii2_0_v6:13:57187443:57190477:1 gene:B456_013G255200 transcript:KJB83616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFSDLHTESGLKSLNDFLTGKSYISGDKLTKDDIKVYAAVLKNPGDSFPNVSQWYNCVSSHLAASFPGKAVGVSLAGKADPAQSAEAKSPAADDDDDDLDLFGDETEEDKKAAEEREAAKKSAKKKESGKSSVLMDVKPWDDETDMKQLEEAVRSVEMPGLLWGASKLVAVGYGIKKLQIMLTIVDDLVSVDTLIEEYLTVEPRNEYIQSCDIVAFNKI >KJB83617 pep chromosome:Graimondii2_0_v6:13:57187530:57189353:1 gene:B456_013G255200 transcript:KJB83617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFSDLHTESGLKSLNDFLTGKSYISGDKLTKDDIKVYAAVLKNPGDSFPNVSQWYNCVSSHLAASFPGKAVGVSLAGKADPAQSAEAKSPAADDDDDDLDLFGDETEEDKKAAEEREAAKKSAKKKESGKSSVLMDVKPWDDETDMKQLEEAVRSVEMPGLLWGACTVWF >KJB83618 pep chromosome:Graimondii2_0_v6:13:57187530:57190477:1 gene:B456_013G255200 transcript:KJB83618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFSDLHTESGLKSLNDFLTGKSYISGDKLTKDDIKVYAAVLKNPGDSFPNVSQWYNCVSSHLAASFPGKAVGVSLAGKADPAQSAEAKSAKKKESGKSSVLMDVKPWDDETDMKQLEEAVRSVEMPGLLWGASKLVAVGYGIKKLQIMLTIVDDLVSVDTLIEEYLTVEPRNEYIQSCDIVAFNKI >KJB83619 pep chromosome:Graimondii2_0_v6:13:57187530:57190477:1 gene:B456_013G255200 transcript:KJB83619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFSDLHTESGLKSLNDFLTGKSYISGDKLTKDDIKVYAAVLKNPGDSFPNVSQCFPGKAVGVSLAGKADPAQSAEAKSPAADDDDDDLDLFGDETEEDKKAAEEREAAKKSAKKKESGKSSVLMDVKPWDDETDMKQLEEAVRSVEMPGLLWGASKLVAVGYGIKKLQIMLTIVDDLVSVDTLIEEYLTVEPRNEYIQSCDIVAFNKI >KJB82329 pep chromosome:Graimondii2_0_v6:13:49115465:49116625:-1 gene:B456_013G189900 transcript:KJB82329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSVNSELVFRILRSCSNSPTESLRFFSWARSHYTPTSVEFEELVKILILHRKYESMWKTIQQMQKQQLSLSCDILSFIIEEYGKNGLIDQAVEVFNKSTNLGCKQTVSVYNSLLFALCEVKMFHGAYALIRRMIRKGELPDKRTYTVLVNGWCSSGKMKEAQDFLEDMSKKGFNPPVRGRDLLIEGLLNAGYLESAKKMVRRMTKEGFVPDIATFNSLAETICKTEEIDFCIDMYHSVCKLGLCPDINTYKILIPAASKVGRIDEAFRLLNNSIEQGYKPFPSLYAPIIKGLCRKGQFDDAFSFFGEMKIKGHAPNRPVYTMLITMCGRGGRFVEAANYLVEMTELGLAPISRCFDMVTDGLKNCGKHDLAKRIEQLEVSLRHV >KJB82331 pep chromosome:Graimondii2_0_v6:13:49115455:49119344:-1 gene:B456_013G189900 transcript:KJB82331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSVNSELVFRILRSCSNSPTESLRFFSWARSHYTPTSVEFEELVKILILHRKYESMWKTIQQMQKQQLSLSCDILSFIIEEYGKNGLIDQAVEVFNKSTNLGCKQTVSVYNSLLFALCEVKMFHGAYALIRRMIRKGELPDKRTYTVLVNGWCSSGKMKEAQDFLEDMSKKGFNPPVRGRDLLIEGLLNAGYLESAKKMVRRMTKEGFVPDIATFNSLAETICKTEEIDFCIDMYHSVCKLGLCPDINTYKILIPAASKVGRIDEAFRLLNNSIEQGYKPFPSLYAPIIKGLCRKGQFDDAFSFFGEMKIKGHAPNRPVYTMLITMCGRGGRFVEAANYLVEMTELGLAPISRCFDMVTDGLKNCGKHDLAKRIEQLEVSLRHV >KJB82332 pep chromosome:Graimondii2_0_v6:13:49115465:49116625:-1 gene:B456_013G189900 transcript:KJB82332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSVNSELVFRILRSCSNSPTESLRFFSWARSHYTPTSVEFEELVKILILHRKYESMWKTIQQMQKQQLSLSCDILSFIIEEYGKNGLIDQAVEVFNKSTNLGCKQTVSVYNSLLFALCEVKMFHGAYALIRRMIRKGELPDKRTYTVLVNGWCSSGKMKEAQDFLEDMSKKGFNPPVRGRDLLIEGLLNAGYLESAKKMVRRMTKEGFVPDIATFNSLAETICKTEEIDFCIDMYHSVCKLGLCPDINTYKILIPAASKVGRIDEAFRLLNNSIEQGYKPFPSLYAPIIKGLCRKGQFDDAFSFFGEMKIKGHAPNRPVYTMLITMCGRGGRFVEAANYLVEMTELGLAPISRCFDMVTDGLKNCGKHDLAKRIEQLEVSLRHV >KJB82327 pep chromosome:Graimondii2_0_v6:13:49115465:49116625:-1 gene:B456_013G189900 transcript:KJB82327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSVNSELVFRILRSCSNSPTESLRFFSWARSHYTPTSVEFEELVKILILHRKYESMWKTIQQMQKQQLSLSCDILSFIIEEYGKNGLIDQAVEVFNKSTNLGCKQTVSVYNSLLFALCEVKMFHGAYALIRRMIRKGELPDKRTYTVLVNGWCSSGKMKEAQDFLEDMSKKGFNPPVRGRDLLIEGLLNAGYLESAKKMVRRMTKEGFVPDIATFNSLAETICKTEEIDFCIDMYHSVCKLGLCPDINTYKILIPAASKVGRIDEAFRLLNNSIEQGYKPFPSLYAPIIKGLCRKGQFDDAFSFFGEMKIKGHAPNRPVYTMLITMCGRGGRFVEAANYLVEMTELGLAPISRCFDMVTDGLKNCGKHDLAKRIEQLEVSLRHV >KJB82330 pep chromosome:Graimondii2_0_v6:13:49115465:49116625:-1 gene:B456_013G189900 transcript:KJB82330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSVNSELVFRILRSCSNSPTESLRFFSWARSHYTPTSVEFEELVKILILHRKYESMWKTIQQMQKQQLSLSCDILSFIIEEYGKNGLIDQAVEVFNKSTNLGCKQTVSVYNSLLFALCEVKMFHGAYALIRRMIRKGELPDKRTYTVLVNGWCSSGKMKEAQDFLEDMSKKGFNPPVRGRDLLIEGLLNAGYLESAKKMVRRMTKEGFVPDIATFNSLAETICKTEEIDFCIDMYHSVCKLGLCPDINTYKILIPAASKVGRIDEAFRLLNNSIEQGYKPFPSLYAPIIKGLCRKGQFDDAFSFFGEMKIKGHAPNRPVYTMLITMCGRGGRFVEAANYLVEMTELGLAPISRCFDMVTDGLKNCGKHDLAKRIEQLEVSLRHV >KJB82333 pep chromosome:Graimondii2_0_v6:13:49115465:49117207:-1 gene:B456_013G189900 transcript:KJB82333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSDAQYTIFMHFSLVLAKCSSNSFCPRGLGLKKQQLQHVGLIEQILPQGTSVSIPSVSKDDYFAAIHHISNIIRREVHPERTLNRMNLSVNSELVFRILRSCSNSPTESLRFFSWARSHYTPTSVEFEELVKILILHRKYESMWKTIQQMQKQQLSLSCDILSFIIEEYGKNGLIDQAVEVFNKSTNLGCKQTVSVYNSLLFALCEVKMFHGAYALIRRMIRKGELPDKRTYTVLVNGWCSSGKMKEAQDFLEDMSKKGFNPPVRGRDLLIEGLLNAGYLESAKKMVRRMTKEGFVPDIATFNSLAETICKTEEIDFCIDMYHSVCKLGLCPDINTYKILIPAASKVGRIDEAFRLLNNSIEQGYKPFPSLYAPIIKGLCRKGQFDDAFSFFGEMKIKGHAPNRPVYTMLITMCGRGGRFVEAANYLVEMTELGLAPISRCFDMVTDGLKNCGKHDLAKRIEQLEVSLRHV >KJB82328 pep chromosome:Graimondii2_0_v6:13:49115455:49119344:-1 gene:B456_013G189900 transcript:KJB82328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSVNSELVFRILRSCSNSPTESLRFFSWARSHYTPTSVEFEELVKILILHRKYESMWKTIQQMQKQQLSLSCDILSFIIEEYGKNGLIDQAVEVFNKSTNLGCKQTVSVYNSLLFALCEVKMFHGAYALIRRMIRKGELPDKRTYTVLVNGWCSSGKMKEAQDFLEDMSKKGFNPPVRGRDLLIEGLLNAGYLESAKKMVRRMTKEGFVPDIATFNSLAETICKTEEIDFCIDMYHSVCKLGLCPDINTYKILIPAASKVGRIDEAFRLLNNSIEQGYKPFPSLYAPIIKGLCRKGQFDDAFSFFGEMKIKGHAPNRPVYTMLITMCGRGGRFVEAANYLVEMTELGLAPISRCFDMVTDGLKNCGKHDLAKRIEQLEVSLRHV >KJB83434 pep chromosome:Graimondii2_0_v6:13:56609954:56614804:-1 gene:B456_013G247500 transcript:KJB83434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVGLNSHDNLDKQISQLMQCKPLSEQEIKLLCDKAKEILRDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVAIKARYPQRITILRGNHESRQITQVYGFYDECLRKYGTANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPAIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQVLLFISFSFFFLHSLVCAIYITFMALTGHI >KJB83435 pep chromosome:Graimondii2_0_v6:13:56609954:56614973:-1 gene:B456_013G247500 transcript:KJB83435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVGLNSHDNLDKQISQLMQCKPLSEQEIKLLCDKAKEILRDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVAIKARYPQRITILRGNHESRQITQVYGFYDECLRKYGTANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPAIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHKNSLKLIARAHQLVMEGFNWGHEQNVVTIFSAPNYCYRCGNMASILEVDDCKAHTFIQFEPAPRRGEPDVTRRTPEYFL >KJB80489 pep chromosome:Graimondii2_0_v6:13:18360357:18366183:1 gene:B456_013G100200 transcript:KJB80489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGVMIPARNMPTMISGNGNVSGFGTSLLVQPSNMMEGQLHPLESESEIGRMRDDELDSTTKSGSENHEAASGDDQNPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSTASCPNCGGPTAVGQMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVSYPLLSSPMTPRPFEFGAQPGTGDMYGAGDLLRSISSPSEADKPIIIELAVAAMEELVRMAQMGEPLWMTSLDGTTYVLNEEEYIRTFPRGIGPKPTGFKCEASRETAVVIMNHINLVEILMDVNQWSTVFSGIVSKASTLDVLSTGIAGNYNGALQVMATEFQVPSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDNIRPSPTARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSGVHSLYKQLVSTGHAFGAQRWIATLDRQCERLASVMATNVPTGDVGVITNQDGRKSMLKLAERMVMSFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGSTITATTSSAGGGIDTDAAGSSSGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCENA >KJB80491 pep chromosome:Graimondii2_0_v6:13:18361719:18365243:1 gene:B456_013G100200 transcript:KJB80491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQQPSNMMEGQLHPLESESEIGRMRDDELDSTTKSGSENHEAASGDDQNPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSTASCPNCGGPTAVGQMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVSYPLLSSPMTPRPFEFGAQPGTGDMYGAGDLLRSISSPSEADKPIIIELAVAAMEELVRMAQMGEPLWMTSLDGTTYVLNEEEYIRTFPRGIGPKPTGFKCEASRETAVVIMNHINLVEILMDVNQWSTVFSGIVSKASTLDVLSTGIAGNYNGALQVMATEFQVPSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDNIRPSPTARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSGVHSLYKQLVSTGHAFGAQRWIATLDRQCERLASVMATNVPTGDVGVITNQDGRKSMLKLAERMVMSFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNV >KJB80490 pep chromosome:Graimondii2_0_v6:13:18360411:18366178:1 gene:B456_013G100200 transcript:KJB80490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGVMIPARNMPTMISGNGNVSGFGTSLLVQPSNMMEGQLHPLESESEIGRMRDDELDSTTKSGSENHEAASGDDQNPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSTASCPNCGGPTAVGQMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVSYPLLSSPMTPRPFEFGAQPGTGDMYGAGDLLRSISSPSEADKPIIIELAVAAMEELVRMAQMGEPLWMTSLDGTTYVLNEEEYIRTFPRGIGPKPTGFKCEASRETAVVIMNHINLVEILMDVNQWSTVFSGIVSKASTLDVLSTGIAGNYNGALQVMATEFQVPSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDNIRPSPTARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSGVHSLYKQLVSTGHAFGAQRWIATLDRQCERLASVMATNVPTGDVGVITNQDGRKSMLKLAERMVMSFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGSTITATTSSAGGGIDTDAAGSSSGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCENA >KJB80493 pep chromosome:Graimondii2_0_v6:13:18360559:18366153:1 gene:B456_013G100200 transcript:KJB80493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQQPSNMMEGQLHPLESESEIGRMRDDELDSTTKSGSENHEAASGDDQNPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSTASCPNCGGPTAVGQMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVSYPLLSSPMTPRPFEFGAQPGTGDMYGAGDLLRSISSPSEADKPIIIELAVAAMEELVRMAQMGEPLWMTSLDGTTYVLNEEEYIRTFPRGIGPKPTGFKCEASRETAVVIMNHINLVEILMDVNQWSTVFSGIVSKASTLDVLSTGIAGNYNGALQVMATEFQVPSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDNIRPSPTARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSGVHSLYKQLVSTGHAFGAQRWIATLDRQCERLASVMATNVPTGDVGVITNQDGRKSMLKLAERMVMSFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGSTITATTSSAGGGIDTDAAGSSSGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCENA >KJB80492 pep chromosome:Graimondii2_0_v6:13:18360559:18366153:1 gene:B456_013G100200 transcript:KJB80492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQQPSNMMEGQLHPLESESEIGRMRDDELDSTTKSGSENHEAASGDDQNPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSTASCPNCGGPTAVGQMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVSYPLLSSPMTPRPFEFGAQPGTGDMYGAGDLLRSISSPSEADKPIIIELAVAAMEELVRMAQMGEPLWMTSLDGTTYVLNEEEYIRTFPRGIGPKPTGFKCEASRETAVVIMNHINLVEILMDVNQWSTVFSGIVSKASTLDVLSTGIAGNYNGALQVMATEFQVPSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDNIRPSPTARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSGVHSLYKQLVSTGHAFGAQRWIATLDRQCERLASVMATNVPTGDVGVITNQDGRKSMLKLAERMVMSFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNTQRPLS >KJB80488 pep chromosome:Graimondii2_0_v6:13:18361456:18366218:1 gene:B456_013G100200 transcript:KJB80488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQQPSNMMEGQLHPLESESEIGRMRDDELDSTTKSGSENHEAASGDDQNPRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSTASCPNCGGPTAVGQMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVSYPLLSSPMTPRPFEFGAQPGTGDMYGAGDLLRSISSPSEADKPIIIELAVAAMEELVRMAQMGEPLWMTSLDGTTYVLNEEEYIRTFPRGIGPKPTGFKCEASRETAVVIMNHINLVEILMDVNQWSTVFSGIVSKASTLDVLSTGIAGNYNGALQVMATEFQVPSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDNIRPSPTARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSGVHSLYKQLVSTGHAFGAQRWIATLDRQCERLASVMATNVPTGDVGVITNQDGRKSMLKLAERMVMSFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGSTITATTSSAGGGIDTDAAGSSSGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCENA >KJB78507 pep chromosome:Graimondii2_0_v6:13:212420:214246:-1 gene:B456_013G002800 transcript:KJB78507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRWKGKGSQAKANADPMSKIVSQLQSSLIQSETRGLLSSCSVLVEVDAELADLLNRSCFGRPRITAQEDKQWFQLDMEEAFYLCFSLKCLKVIGEDGYIKSNEELWDYLKSKKPVFPVSYKVYSHLRHKNWVVRSGLQYGVDFVAYHHHPALVHSEYAVLVLSEGDNDLNGRLRVWSDVHCTVRLCGSVAKTLLTVIVNSNNQGANSPSCLEHYTVEEQTITRWNPERSREDQIGPKNGTKKV >KJB78508 pep chromosome:Graimondii2_0_v6:13:212420:214557:-1 gene:B456_013G002800 transcript:KJB78508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRWKGKGSQAKANADPMSKIVSQLQSSLIQSETRGLLSSCSVLVEVDAELADLLNRSCFGRPRITAQEDKQWFQLDMEEAFYLCFSLKCLKVIGEDGYIKSNEELWDYLKSKKPVFPVSYKVYSHLRHKNWVVRSGLQYGVDFVAYHHHPALVHSEYAVLVLSEGDNDLNGRLRVWSDVHCTVRLCGSVAKTLLTVIVNSNNQGANSPSCLEHYTVEEQTITRWNPERSREDQIGPKNGTKKV >KJB79863 pep chromosome:Graimondii2_0_v6:13:8095178:8097937:1 gene:B456_013G070100 transcript:KJB79863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIFNWLLPTSPFTPKQSSGHLSPAATMVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >KJB79864 pep chromosome:Graimondii2_0_v6:13:8096062:8097937:1 gene:B456_013G070100 transcript:KJB79864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >KJB79862 pep chromosome:Graimondii2_0_v6:13:8095178:8097937:1 gene:B456_013G070100 transcript:KJB79862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIFNWLLPTSPFTPKQSSGHLSPAATMVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKVQAL >KJB79616 pep chromosome:Graimondii2_0_v6:13:6135566:6135919:-1 gene:B456_013G058900 transcript:KJB79616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNCFALAFFVALSFSSIDVGLAARHLQQLPPMPTLPTTTLPPFPSIPNLPQPSIPSFPRPGALPPLPTMPGLPTLPSVPRATLPPLPSIPSIPTIQPTIPSIPFLSPPPSPSTP >KJB79784 pep chromosome:Graimondii2_0_v6:13:7582119:7583455:-1 gene:B456_013G066700 transcript:KJB79784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGERKNVNLPDVVVDLPMLTEKDKEDILGWGVPNNIDMIAFSFVRKGSDLVNVNKVFGPNAKQIQLMSKVENQEGVINFDEILCETDAFMVTRGDLGMEILIEKIFLAQKMMIYKCNLADKPVVTATQMLESIIKSPRPTHAEATDVANAVLDGTDCIMLSGESAAGAYPELAVKIISQICIEAESSLDYGGIFKEMIRSTLLPKSPLESLASSAVRTANKAKATLIVVLTRGGTIAKLVAKYRPAVPILSVVVPVLTTDSFNWSCSDEWLARHSLIYRGLIPVLAEGSAKATDAESTEVILEAAMKSATKKRLCKPGDAILALHRIGAASVIKICVVK >KJB79785 pep chromosome:Graimondii2_0_v6:13:7581906:7584427:-1 gene:B456_013G066700 transcript:KJB79785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGERKNVNLPDVVVDLPMLTEKDKEDILGWGVPNNIDMIAFSFVRKGSDLVNVNKVFGPNAKQIQLMSKVENQEGVINFDEILCETDAFMVTRGDLGMEILIEKIFLAQKMMIYKCNLADKPVVTATQMLESIIKSPRPTHAEATDVANAVLDGTDCIMLSGESAAGAYPELAVKIISQICIEAESSLDYGGIFKEMIRSTLLPKSPLESLASSAVRTANKAKATLIVVLTRGGTIAKLVAKYRPAVPILSVVVPVLTTDSFNWSCSDEWLARHSLIYRGLIPVLAEGSAKATDAESTEVILEAAMKSATKKRLCKPGDAILALHRIGAASVIKICVVK >KJB79787 pep chromosome:Graimondii2_0_v6:13:7581906:7585440:-1 gene:B456_013G066700 transcript:KJB79787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFWLITRADFYFEQIKKEMANIDIDGILKELPNDGSIAKTKTVCNLGPASQLVPMIEKLLRAGMKVGRFNFSHGSHKYHQETLNNLRATMQSTGILCAVMLDTKVENQEGVINFDEILCETDAFMVTRGDLGMEILIEKIFLAQKMMIYKCNLADKPVVTATQMLESIIKSPRPTHAEATDVANAVLDGTDCIMLSGESAAGAYPELAVKIISQICIEAESSLDYGGIFKEMIRSTLLPKSPLESLASSAVRTANKAKATLIVVLTRGGTIAKLVAKYRPAVPILSVVVPVLTTDSFNWSCSDEWLARHSLIYRGLIPVLAEGSAKATDAESTEVILEAAMKSATKKRLCKPGDAILALHRIGAASVIKICVVK >KJB79783 pep chromosome:Graimondii2_0_v6:13:7582119:7583455:-1 gene:B456_013G066700 transcript:KJB79783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGERKNVNLPDVVVDLPMLTEKDKEDILGWGVPNNIDMIAFSFVRKGSDLVNVNKVFGPNAKQIQLMSKVENQEGVINFDEILCETDAFMVTRGDLGMEILIEKIFLAQKMMIYKCNLADKPVVTATQMLESIIKSPRPTHAEATDVANAVLDGTDCIMLSGESAAGAYPELAVKIISQICIEAESSLDYGGIFKEMIRSTLLPKSPLESLASSAVRTANKAKATLIVVLTRGGTIAKLVAKYRPAVPILSVVVPVLTTDSFNWSCSDEWLARHSLIYRGLIPVLAEGSAKATDAESTEVILEAAMKSATKKRLCKPGDAILALHRIGAASVIKICVVK >KJB79786 pep chromosome:Graimondii2_0_v6:13:7581830:7585440:-1 gene:B456_013G066700 transcript:KJB79786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGERKNVNLPDVVVDLPMLTEKDKEDILGWGVPNNIDMIAFSFVRKGSDLVNVNKVFGPNAKQIQLMSKVENQEGVINFDEILCETDAFMVTRGDLGMEILIEKIFLAQKMMIYKCNLADKPVVTATQMLESIIKSPRPTHAEATDVANAVLDGTDCIMLSGESAAGAYPELAVKIISQICIEAESSLDYGGIFKEMIRSTLLPKSPLESLASSAVRTANKAKATLIVVLTRGGTIAKLVAKYRPAVPILSVVVPVLTTDSFNWSCSDEWLARHSLIYRGLIPVLAEGSAKATDAESTEVILEAAMKSATKKRLCKPGDAILALHRIGAASVIKICVVK >KJB81930 pep chromosome:Graimondii2_0_v6:13:49396133:49398883:1 gene:B456_013G192900 transcript:KJB81930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPRSFLTSVFLVLFYIQQRGALRSHTKMVKAVAVLSSNEGVSGTVFFSQEGDGPTTVTGNLSGLKAGLHGFHVHALGDTTNGCMSTGKRPHFNPAGKEHGAPEDENRHAGDLGNVTVGDDGCASFSITDKQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KJB81937 pep chromosome:Graimondii2_0_v6:13:49396753:49398883:1 gene:B456_013G192900 transcript:KJB81937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLSSNEGVSGTVFFSQEGDGPTTVTGNLSGLKAGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDENRHAGDLGNVTVGDDGCASFSITDKQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KJB81934 pep chromosome:Graimondii2_0_v6:13:49396531:49398883:1 gene:B456_013G192900 transcript:KJB81934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLSSNEGVSGTVFFSQEGDGPTTVTGNLSGLKAGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDENRHAGDLGNVTVGDDGCASFSITDKQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KJB81938 pep chromosome:Graimondii2_0_v6:13:49396808:49398883:1 gene:B456_013G192900 transcript:KJB81938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLSSNEGVSGTVFFSQEGDGPTTVTGNLSGLKAGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDENRHAGDLGNVTVGDDGCASFSITDKQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KJB81933 pep chromosome:Graimondii2_0_v6:13:49396531:49398883:1 gene:B456_013G192900 transcript:KJB81933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLSSNEGVSGTVFFSQEGDGPTTVTGNLSGLKAGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDENRHAGDLGNVTVGDDGCASFSITDKQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGKLSISIT >KJB81932 pep chromosome:Graimondii2_0_v6:13:49396920:49398883:1 gene:B456_013G192900 transcript:KJB81932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLSSNEGVSGTVFFSQEGDGPTTVTGNLSGLKAGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDENRHAGDLGNVTVGDDGCASFSITDKQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KJB81935 pep chromosome:Graimondii2_0_v6:13:49396508:49398883:1 gene:B456_013G192900 transcript:KJB81935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLSSNEGVSGTVFFSQEGDGPTTVTGNLSGLKAGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDENRHAGDLGNVTVGDDGCASFSITDKQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KJB81931 pep chromosome:Graimondii2_0_v6:13:49396133:49398883:1 gene:B456_013G192900 transcript:KJB81931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPRSFLTSVFLVLFYIQQRGALRSHTKMVKAVAVLSSNEGVSGTVFFSQEGDGPTTVTGNLSGLKAGLHGFHVHALGDTTNGCMSTGKRLEVGFVGFSWLLCICFLDFDLEVNLFAGPHFNPAGKEHGAPEDENRHAGDLGNVTVGDDGCASFSITDKQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KJB81936 pep chromosome:Graimondii2_0_v6:13:49396977:49398883:1 gene:B456_013G192900 transcript:KJB81936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLSSNEGVSGTVFFSQEGDGPTTVTGNLSGLKAGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDENRHAGDLGNVTVGDDGCASFSITDKQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KJB79628 pep chromosome:Graimondii2_0_v6:13:8035509:8038325:-1 gene:B456_013G069500 transcript:KJB79628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPHLRSGWAVDQAILAEEERLVVIRFGHDWDETCVQMDEVLASVAETIKNFAVIYLVNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGGRKGHGLVIAPKDYSTKYRY >KJB79629 pep chromosome:Graimondii2_0_v6:13:8036575:8038136:-1 gene:B456_013G069500 transcript:KJB79629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPHLRSGWAVDQAILAEEERLVVIRFGHDWDETCVQMDEVLASVAETIKNFAVIYLVNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGGRKGHGLVIAPKDYSTKYRY >KJB81375 pep chromosome:Graimondii2_0_v6:13:38630018:38631879:-1 gene:B456_013G141800 transcript:KJB81375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYLLLHYSKRKNRKKKVSSSLSKTDEQEESLAMAADVSSLHRVLSGYKDELTVGNESGGPKSTALITRDLLGFGGGGSAFTDTKNDQSQELDLDLHVPNGWEKRLDLKSGRVYLQRCNSTYSSSSSDGTKHQTNPTVAKLQDLNIPTSPLPCKPLLNLFDASNLELKLVPSSSNYQSVCTLDKVKSALERAEKELIKKRTSPSSLWKSSLSPSYSSSSSSIKDSQKGEFSKEKFASPVAAGCPGCLSYVLIMKHNPKCPRCNTLVPIMPAAKKPRIDLNISI >KJB81376 pep chromosome:Graimondii2_0_v6:13:38630020:38631808:-1 gene:B456_013G141800 transcript:KJB81376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVSSLHRVLSGYKDELTVGNESGGPKSTALITRDLLGFGGGGSAFTDTKNDQSQELDLDLHVPNGWEKRLDLKSGRVYLQRCNSTYSSSSSDGTKHQTNPTVAKLQDLNIPTSPLPCKPLLNLFDASNLELKLVPSSSNYQSVCTLDKVKSALERAEKELIKKRTSPSSLWKSSLSPSYSSSSSSIKDSQKGEFSKEKFASPVAAGCPGCLSYVLIMKHNPKCPRCNTLVPIMPAAKKPRIDLNISI >KJB80070 pep chromosome:Graimondii2_0_v6:13:10765842:10767506:1 gene:B456_013G080000 transcript:KJB80070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSCIDDSTTSDSMNVSPLSPLCRVGSGNSGVILDTEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAAKAYDIAARRFRGRDAVTNFKQAEKTEGDDMEMAFLESRSKAEIVDMLRKHTYNDELEQSRRSYGLDGNGKRVVKYNAGFGPFSFGLGLKPREQLFEKAVTPSDVGKLNRLVIPKQHAEKHFPLQTGTAFSKEVLLNFEDVRGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLNAGDIVSFQRSTGPEKQLYIDCKARTGSVSGSANPAVPVPFVRLFGVNIFNISGGGGDSVGGCNGKRTREMELLELEFSKKQRVIDAL >KJB82263 pep chromosome:Graimondii2_0_v6:13:48457339:48458081:-1 gene:B456_013G185600 transcript:KJB82263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILILTPIENKDADMKIKSTKRKIIKKATTLSPTATTMQVPMTPSLIFGQASPFYRFIFCAMFTLTLSLIACTKFCHCETTVDSGDNLPTGYNSLSNKIWVL >KJB78433 pep chromosome:Graimondii2_0_v6:13:51825930:51827667:1 gene:B456_013G207300 transcript:KJB78433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPPEMISGNMTSAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWARAQGYLSDKKDKATLQ >KJB78431 pep chromosome:Graimondii2_0_v6:13:51825509:51827942:1 gene:B456_013G207300 transcript:KJB78431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFRAFLNSSVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTSAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWARAQGYLSDKKDKATLQ >KJB78430 pep chromosome:Graimondii2_0_v6:13:51825509:51827667:1 gene:B456_013G207300 transcript:KJB78430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFRAFLNSSVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTSAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWARAQGYLSDKKDKATLQ >KJB78432 pep chromosome:Graimondii2_0_v6:13:51825779:51834684:1 gene:B456_013G207300 transcript:KJB78432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFRAFLNSSVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTSAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWARAQGIIVWPNTSITNIGNRTGKFTIKGIKYLNLQYIDIEQRNIQQKSY >KJB81685 pep chromosome:Graimondii2_0_v6:13:43215255:43216519:1 gene:B456_013G156700 transcript:KJB81685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELNNLIKLWVLTTFSLSYSYHISAKISKGFPRLVSIFPVIFLLSILPFNIHGFHLGAAHVFVLSWMANFKLLLFAFDRGPLSPPPENFILFILAACSPFKIKENSVKVLKGETPFQGNEPRVPSLILEAFLLAVAAIPAQICLPGVELEPQFNAPVRATSLQDFWGRRWNLRVSEALRLTIYSPIKTISSRVIGSRWASLPAVFATFVTSGFMHELIYYHIIRKKPTWEITWFFVLQGVLVDIEIFLKKKLVATEKFRLHEAISGPLVLANIALTAGWLSYTQLLRNGVDEKVIKEFNAIVEFFKRST >KJB81684 pep chromosome:Graimondii2_0_v6:13:43215255:43216510:1 gene:B456_013G156700 transcript:KJB81684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELNNLIKLWVLTTFSLSYSYHISAKISKGFPRLVSIFPVIFLLSILPFNIHGFHLGAAHVFVLSWMANFKLLLFAFDRGPLSPPPENFILFILAACSPFKIKENSVKVLKGETPFQGNEPRVPSLILEAVNKASLLTLLFYSYNFKQYFHKHVLLILYFFHTYLSIQFLLAVAAIPAQICLPGVELEPQFNAPVRATSLQDFWGRRWNLRVSEALRLTIYSPIKTISSRVIGSRWASLPAVFATFVTSGFMHELIYYHIIRKKPTWEITWFFVLQGVLVDIEIFLKKKLVATEKFRLHEAISGPLVLANIALTAGWLSYTQLLRNGVDEKVIKEFNAIVEFFKRST >KJB79479 pep chromosome:Graimondii2_0_v6:13:4895684:4898996:-1 gene:B456_013G052200 transcript:KJB79479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSRTSSSSIPCRTNANMRKSELCDPTRRSFNGSPFTTPAVITNPKAFNLNTPANSPSDFSRRHSTDRESVASLRDPNKENYIDQNPKPTRLRSPAVSKGKKNFMAPTISAASKINASPRKKILVERNELARSSVSFSDVKSLIMQHNESTSEIALKPKKVSFSDVKSMIMEDNECKEPLEGNADSVQVIPSFEISPKVSILAPLDADPLMIPHDPQTNYLSPRPQFLHYRPNPRIELYQERDGKQLKECLATESYYDTGETESEGSQRESEDAAATVEESFEAKRRSKQRFCTKSKFIVLLMVLAFAYFSVLAANSPAFTSSGLEELSLLKFHVPPQITTFAKAKFEVFTENLSSSRQGDKFSLFEYANLSHLLENEDHMVDEGHHHGLMFDHSVIINDQGEVEANEAVDEDEEEMEEETDAGEDDEEEDFKSSDLVPEAETDATEQEIKAEMIELDDQLDAGCNVDLKATEIKHDISKTWGPEGDESSNIAETMFPEEDSTYSSQISVDDSTIIGPEDRFLVKNTTGFALLVLCLLAASALVYTKRGKPSVAAGLKDSSSEPNDNHQSQERRKTTYRRESTALSDNSTGSSSYGSFTTYDKIPSKHRGREEGIVTPVRRSSRIRNQVMTSP >KJB79480 pep chromosome:Graimondii2_0_v6:13:4895846:4898728:-1 gene:B456_013G052200 transcript:KJB79480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSRTSSSSIPCRTNANMRKSELCDPTRRSFNGSPFTTPAVITNPKAFNLNTPANSPSDFSRRHSTDRESVASLRDPNKENYIDQNPKPTRLRSPAVSKGKKNFMAPTISAASKINASPRKKILVERNELARSSVSFSDVKSLIMQHNESTSEIALKPKKVSFSDVKSMIMEDNECKEPLEGNADSVQVIPSFEISPKVSILAPLDADPLMIPHDPQTNYLSPRPQFLHYRPNPRIELYQERDGKQLKECLATESYYDTGETESEGSQRESEDAAATVEESFEAKRRSKQRFCTKSKFIVLLMVLAFAYFSVLAANSPAFTSSGLEELSLLKFHVPPQITTFAKAKFEVFTENLSSSRQGDKFSLFEYANLSHLLENEDHMVDEGHHHGLMFDHSVIINDQGEVEANEAVDEDEEEMEEETDAGEDDEEEDFKSSDLVPEAETDATEQEIKAEMIELDDQLDAGCNVDLKATEIKHDISKTWGPEGDESSNIAETMFPEEDSTYSSQISVDDSTIIGPEDRFLVKNTTGFALLVLCLLAASALVYTKRGKPSVAAAK >KJB79310 pep chromosome:Graimondii2_0_v6:13:3742649:3750298:-1 gene:B456_013G043100 transcript:KJB79310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MARERLSTSSTDEDEDEEISSGSDSSDSSGEFAVDCSEVEEGEENDDDGGESPAHHPSSDGDLKSKNVDALLRGNLVVRRQSLLPRVLSVTEGAAVCRKPFKPPCSYGYSNGKENLARRLWARKRFVPWGSLTPALVAIAKPLGIARPESDEADIVEEIVTLPPGVEPLVLWQPEQFEDGPIEVVPIEVDPLLVRFLRPHQREGVQFMFGCVSGLYSAANINGCILADDMGLGKTLQSITLLYTLLRQGFDGKPMVKKAIIVTPTSLVSNWEAEIKKWVGQRVQLIALCESSRDDVVSGIDSFTSPRSLLQVLIVSYETFRMHSSKFCHSEACDLLICDEAHRLKNDQTITNRALAALSCKRRILLSGTPMQNDLEEFFAMVNFTNPGILGDVAFFRRYYETPIICGREPTSSEEEKKLAAERSSELSAIVNQFILRRTNALLSNHLPPKIVEVVCCKLTPLQSELYNHFIQSKNVKKVITEETKQTKILAYITALKKLCNHPKLIYDTIKSGSPGTTGFEDCMRFFPPEMFSGRSGSWTGGDGAWVELSGKMHVLARLLAHLRQRTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSISKRQKLVNRFNDSTKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQVDSVKGQGNVFSTEDLRDLFTFYDNVRSEIHEKMNCNRCKNDGSENIGEQERCESENGSSGSDQEVSDIGGFAGIAGCLDKLKSSEKQVGSPLEEDLISWGHHFHSESVPDAILQASAGGEVTFVFTNQVDGKLVPIESKVNPRMQEREGGKSQNIGKVSHMIQTERNKIQNSVKQNLDYRSKFLSKHHKLLNSVSSNRNSLMIPPPPPPPPPPPPPPPPPPSSSSTSPIPLQGALHKTISSGPSLGIQLPLKRSSRASVQHDDDFQ >KJB79309 pep chromosome:Graimondii2_0_v6:13:3742541:3750413:-1 gene:B456_013G043100 transcript:KJB79309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MIWGFDGKPMVKKAIIVTPTSLVSNWEAEIKKWVGQRVQLIALCESSRDDVVSGIDSFTSPRSLLQVLIVSYETFRMHSSKFCHSEACDLLICDEAHRLKNDQTITNRALAALSCKRRILLSGTPMQNDLEEFFAMVNFTNPGILGDVAFFRRYYETPIICGREPTSSEEEKKLAAERSSELSAIVNQFILRRTNALLSNHLPPKIVEVVCCKLTPLQSELYNHFIQSKNVKKVITEETKQTKILAYITALKKLCNHPKLIYDTIKSGSPGTTGFEDCMRFFPPEMFSGRSGSWTGGDGAWVELSGKMHVLARLLAHLRQRTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSISKRQKLVNRFNDSTKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQVDSVKGQGNVFSTEDLRDLFTFYDNVRSEIHEKMNCNRCKNDGSENIGEQERCESENGSSGSDQEVSDIGGFAGIAGCLDKLKSSEKQVGSPLEEDLISWGHHFHSESVPDAILQASAGGEVTFVFTNQVDGKLVPIESKVNPRMQEREGGKSQNIGKVSHMIQTERNKIQNSVKQNLDYRSKFLSKHHKLLNSVSSNRNSLMIPPPPPPPPPPPPPPPPPPSSSSTSPIPLQGALHKTISSGPSLGIQLPLKRSSRASVQHDDDFQ >KJB80555 pep chromosome:Graimondii2_0_v6:13:20048914:20050417:1 gene:B456_013G103500 transcript:KJB80555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIALILKLFFNSLTQTRKTSQNFPPGPYPLPIVGNLFLFRKSFNDLHHILQSLHAKLGPIITLHFALVQNGAIFADRPPPLDGREQVVITTAVYGPTWRVLGRNLTAEMIHSSRVKSYSYARKVVLERLLKLLKDQSDSADRWVEVTDQFRNSMFSMLAFMCFGKELEEQKIKEIAEVERDMMRTFGEVSMVSVLPRVTMIIFYKQWKKIFQLQEKLRRLIVPLILDRKKLKQEKSNEGENQDDNKHGISFYVDTLLDVKLPEENRSLDVEEILGLCSEFLNGGTDNTSALLQWIMANLVKNPHIQQKLFMEIKGVIGNEEKMVKEDDLHEMPYLKAVILEGLRRHPPLRFLLPHSVTKDVVLDGYFMPRNGTMNFMIGDMGMDPKVWEDPMSFKPERFVTSAEDGEGFDITGSNEIKMMPFGARRRICPGYALALLHLEYFVANLVWNFEWKAGGDITMEEQREFSVQMKHPLQALISPRFL >KJB81625 pep chromosome:Graimondii2_0_v6:13:41748907:41751779:-1 gene:B456_013G152900 transcript:KJB81625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRHPLLLLLIFSVFLSFQTSNGHNITRLLAKHPSLSTFNHYLTLTHLAQEINRRTTITVLALDNAAMSSLLNKNPSIYTMKNVLSLHVLLDYFGAKKLHQITNGTALAATMFQATGVAPGVSGFVNITDFKGGKVGFGAEDNGGDLDSFFVKSVEELPYNISVIQISKALPSAIAEAPTPGPSELNITGIMSAHGCKVFAGTLLANPEAMGTYEDNLNGGLTVFCPLDDAFKAFLPKYKNLTASGKESFLEFFGVPVYQSLSMLKSNNGLMNTLATDGASKFDFTVQNDGEQVTLKTKINTVKITGTLLDEQPVAIYTIDKVLMPRELFKAAPTPAPAPAPEEAADAPKASKSKSKSKSKSAPTPESDAPADSPDDDPADQTADDNAASSFKGGRFVAVGLSFLLVFLLL >KJB80922 pep chromosome:Graimondii2_0_v6:13:30881623:30884041:-1 gene:B456_013G121500 transcript:KJB80922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPSKSLVFTVRRQEAELVVPCEPTPHECKPLSDIDDQEGHRFHVRGFHFHQSNLSMKGQDPAKVIKEALAKALVFYYPFAGRLREGPNRKLIVDCTGEGVLFIEADADVSLVDFGDELYPPFPCAEELLYDVTRLKCGGFIFAHRFNHTMGDGTGLSQFMTAVGEIAHGALAPLTPPVWERHLLSARDAPLITFIVRSTLTILQVQKTEQLFWQTTWFVVHFYLDNLIFHHSVDLSPITSVVQHLTSYLHTYGAVVQKLWNLIQMMMFALFASLMLVSSSIPLCHWDIMGMHLASLQLHQTLES >KJB80921 pep chromosome:Graimondii2_0_v6:13:30881473:30884080:-1 gene:B456_013G121500 transcript:KJB80921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPSKSLVFTVRRQEAELVVPCEPTPHECKPLSDIDDQEGHRFHVRGFHFHQSNLSMKGQDPAKVIKEALAKALVFYYPFAGRLREGPNRKLIVDCTGEGVLFIEADADVSLVDFGDELYPPFPCAEELLYDVPGSNGLLNSPLLLIQVTRLKCGGFIFAHRFNHTMGDGTGLSQFMTAVGEIAHGALAPLTPPVWERHLLSARDAPLITFIVRSTLTILQLWNLIQMMMFALFASLMLVSSSIPLCHWDIMGMHLASLQLHQTLES >KJB81760 pep chromosome:Graimondii2_0_v6:13:43842467:43847068:-1 gene:B456_013G161200 transcript:KJB81760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNSSNHSESPVRRRGSPQRRSPSNRERSPGRHKSSHKGSSPVREKSPSRHRRSHRDHSLERENRSSHTKSPKHASSRSPSPRTKRLRRPQAEKEVEKVEREYERNHSRGSEKSTHKDRASGKERISERETGGDRKDRRSGRDDTDSKSSRGRHEWSVSPLDRHHRSRHRSRSPASNSRSRDEVNNSRGAEHRDDEDDSVAKMKAAEDALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADVPTDHPSCSKQHAVIQFRQVEKVQPDGTLTKQPRVCSTARELFPVRHAHTQSDGHHYDINQSHQHALEARIYLSTSLSQNTKTDVNVFSFSTFEIEEVPCRTR >KJB81758 pep chromosome:Graimondii2_0_v6:13:43843565:43846185:-1 gene:B456_013G161200 transcript:KJB81758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNSSNHSESPVRRRGSPQRRSPSNRERSPGRHKSSHKGSSPVREKSPSRHRRSHRDHSLERENRSSHTKSPKHASSRSPSPRTKRLRRPQAEKEVEKVEREYERNHSRGSEKSTHKDRASGKERISERETGGDRKDRRSGRDDTDSKSSRGRHEWSVSPLDRHHRSRHRSRSPASNSRSRDEVNNSRGAEHSRDDEDDSVAKMKAAEDALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADVPTDHPSCSKQHAVIQFRQVEKVQPDGTLTKQALYNGPWKH >KJB81755 pep chromosome:Graimondii2_0_v6:13:43842866:43846185:-1 gene:B456_013G161200 transcript:KJB81755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNSSNHSESPVRRRGSPQRRSPSNRERSPGRHKSSHKGSSPVREKSPSRHRRSHRDHSLERENRSSHTKSPKHASSRSPSPRTKRLRRPQAEKEVEKVEREYERNHSRGSEKSTHKDRASGKERISERETGGDRKDRRSGRDDTDSKSSRGRHEWSVSPLDRHHRSRHRSRSPASNSRSRDEVNNSRGAEHSRDDEDDSVAKMKAAEDALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADVPTDHPSCSKQHAVIQFRQVEKVQPDGTLTKQVRPYIMDLGSTNKTFINDNPIEPQRYYELFEKDTIKFGNSSREYVLLHENSSQ >KJB81754 pep chromosome:Graimondii2_0_v6:13:43842866:43846185:-1 gene:B456_013G161200 transcript:KJB81754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNSSNHSESPVRRRGSPQRRSPSNRERSPGRHKSSHKGSSPVREKSPSRHRRSHRDHSLERENRSSHTKSPKHASSRSPSPRTKRLRRPQAEKEVEKVEREYERNHSRGSEKSTHKDRASGKERISERETGGDRKDRRSGRDDTDSKSSRGRHEWSVSPLDRHHRSRHRSRSPASNSRSRDEVNNSRGAEHRDDEDDSVAKMKAAEDALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADVPTDHPSCSKQHAVIQFRQVEKVQPDGTLTKQVRPYIMDLGSTNKTFINDNPIEPQRYYELFEKDTIKFGNSSREYVLLHENSSQ >KJB81759 pep chromosome:Graimondii2_0_v6:13:43842544:43847068:-1 gene:B456_013G161200 transcript:KJB81759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNSSNHSESPVRRRGSPQRRSPSNRERSPGRHKSSHKGSSPVREKSPSRHRRSHRDHSLERENRSSHTKSPKHASSRSPSPRTKRLRRPQAEKEVEKVEREYERNHSRGSEKSTHKDRASGKERISERETGGDRKDRRSGRDDTDSKSSRGRHEWSVSPLDRHHRSRHRSRSPASNSRSRDEVNNSRGAEHRDDEDDSVAKMKAAEDALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADVPTDHPSCSKQHAVIQFRQVEKVQPDGTLTKQALYNGPWKH >KJB81757 pep chromosome:Graimondii2_0_v6:13:43842540:43847068:-1 gene:B456_013G161200 transcript:KJB81757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNSSNHSESPVRRRGSPQRRSPSNRERSPGRHKSSHKGSSPVREKSPSRHRRSHRDHSLERENRSSHTKSPKHASSRSPSPRTKRLRRPQAEKEVEKVEREYERNHSRGSEKSTHKDRASGKERISERETGGDRKDRRSGRDDTDSKSSRGRHEWSVSPLDRHHRSRHRSRSPASNSRSRDEVNNSRGAEHRDDEDDSVAKMKAAEDALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADVPTDHPSCSKQHAVIQFRQVEKVQPDGTLTKQVSREYVLLHENSSQ >KJB81756 pep chromosome:Graimondii2_0_v6:13:43842866:43846185:-1 gene:B456_013G161200 transcript:KJB81756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNSSNHSESPVRRRGSPQRRSPSNRERSPGRHKSSHKGSSPVREKSPSRHRRSHRDHSLERENRSSHTKSPKHASSRSPSPRTKRLRRPQAEKEVEKVEREYERNHSRGSEKSTHKDRASGKERISERETGGDRKDRRSGRDDTDSKSSRGRHEWSVSPLDRHHRSRHRSRSPASNSRSRDEVNNSRGAEHSRDDEDDSVAKMKAAEDALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADVPTDHPSCSKQHAVIQFRQVEKVQPDGTLTKQVSREYVLLHENSSQ >KJB80737 pep chromosome:Graimondii2_0_v6:13:27397479:27404998:-1 gene:B456_013G113200 transcript:KJB80737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAENLAGKWTRKSGRTLFDSITCVVFLFLDFLDAVLCVVFKLVDEFFEGNACTPCYCGKAGDKNGENKETEMLSESLYGRKYMLRNMGFLGFARKWRIYRKKKDDRDGSVNEGLMVNRWSDCGCQSCVSWMKKEYDQKLHVVVKNLPQAAKYPKFVKSVTLVAPPYLPCAKDGATTSLMALNTFAGKTLWPPLAFGKSVMSWYEHVGRCFCVLFCRNHRIWEMILKLFTLRRELNFMEVDLTRHTHHSAWHNMHNVICGAAKLMDKYLEILNKSRVLSNKLGLEVVGDRFTLAMIKLPIRYFH >KJB80738 pep chromosome:Graimondii2_0_v6:13:27402387:27404795:-1 gene:B456_013G113200 transcript:KJB80738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAENLAGKWTRKSGRTLFDSITCVVFLFLDFLDAVLCVVFKLVDEFFEGNACTPCYCGKAGDKNGENKETEMLSESLYGRKYMLRNMGFLGFARKWRIYRKKKDDRDGSVNEGLMVNRWSDCGCQSCVSWMKKEYDQKLHVVVKNLPQGRGDEAAENVIFLHGFLSSSSFWTETIFNNLSESVKDHYRLFAVDLLGFGRSPKPNDCMYTLLDHVERIDKSVISPFHLNSFHLVAHSMGCLIALALAAKYPKFVKSVTLVAPPYLPCAKDGATTSLMALNTFAGKTLWPPLAFGKSVMSWYEHVGRCFCVLFCRNHRIWEMILKLFTLRRELNFMEVDLTRHTHHSAWHNMHNVICGAAKLMDKYLEILNKSRSVKVCIIHGDHDMEVPLDCSNNMKMKFPELVELNMIENVDHTSVILGRVREFAKSLEHIWASS >KJB80990 pep chromosome:Graimondii2_0_v6:13:32225763:32229770:1 gene:B456_013G124500 transcript:KJB80990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHLLHAVAGDDNGNEKMKPEKIKGTVILMKKNVLDFNDFHASFLDGFHELLGKRVSFQLISSQHVDAGKLGKEAYLEDWNSTETSAVAGESKFDVNFDFEEEIGLPGAFLIKNNHHSEFYLKTLTLEHVPGHGRLHFVCNSWVYPDKKYDNPRVFFTNKTCLPHDMPKPLLQYREQELMALRGNGQGELQEWDRVYDYAYYNDLGNPDKGPEYARPVLGGSAKYPYPRRGRTGRPPTKSDPKTESRIPLLMSLNIYVPRDERFGHLKMSDFLAYALKSIVQFIVPELQDLTDKTHNEFDSLEDVLELYYGGVKLPSGPLRDNIINNIPLEMLKEIFRTDGAQLLKFPVPRVIQDSKTAWRTDEEFAREMLAGVNPVIIRLLQEFPPTSNLDPKVYGNQNSAITKEHIEHNLEGLTVEEALRTNRLFILDHHDSLMPYLRRINTTTTKTYASRTILLLRNDGTLKPLVIELSLPHPNGDQLGAVSKVYTPAEHGVEGSIWQLAKAYVAVNDSGVHQLISHWLNTHATMEPFVIATNRQLSVVHPIYKLLYPHFRDTMNINAFARQILINGGGVLELTVFPGKYAMEMSSVIYKSWNLLDQALPRDLKKRGVAVDDKSSPNGLRLLIKDYPYAVDGLEIWFAIEKWVRDYCSFYYKTDEMVQQDPELQAWWKELREEAHGDKKDEPWWPKMQTREELINSCTIIIWVASALHAAVNFGQYPYAGYLPNRPTISRRFMPEKGTSEYTELESNPDKVFLKTITAQLQTLLGISLIEILSRHSSDEVYLGQRASPEWTSDETPLAAFDEFGKRLTGIEERIVEMNNDEQLKNRVGPVNMPYTLLYPTSEGGLTGKGIPNSVSI >KJB80989 pep chromosome:Graimondii2_0_v6:13:32225541:32229781:1 gene:B456_013G124500 transcript:KJB80989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHLLHAVAGDDNGNEKMKPEKIKGTVILMKKNVLDFNDFHASFLDGFHELLGKRVSFQLISSQHVDADNGLQGKLGKEAYLEDWNSTETSAVAGESKFDVNFDFEEEIGLPGAFLIKNNHHSEFYLKTLTLEHVPGHGRLHFVCNSWVYPDKKYDNPRVFFTNKTCLPHDMPKPLLQYREQELMALRGNGQGELQEWDRVYDYAYYNDLGNPDKGPEYARPVLGGSAKYPYPRRGRTGRPPTKSDPKTESRIPLLMSLNIYVPRDERFGHLKMSDFLAYALKSIVQFIVPELQDLTDKTHNEFDSLEDVLELYYGGVKLPSGPLRDNIINNIPLEMLKEIFRTDGAQLLKFPVPRVIQDSKTAWRTDEEFAREMLAGVNPVIIRLLQEFPPTSNLDPKVYGNQNSAITKEHIEHNLEGLTVEEALRTNRLFILDHHDSLMPYLRRINTTTTKTYASRTILLLRNDGTLKPLVIELSLPHPNGDQLGAVSKVYTPAEHGVEGSIWQLAKAYVAVNDSGVHQLISHWLNTHATMEPFVIATNRQLSVVHPIYKLLYPHFRDTMNINAFARQILINGGGVLELTVFPGKYAMEMSSVIYKSWNLLDQALPRDLKKRGVAVDDKSSPNGLRLLIKDYPYAVDGLEIWFAIEKWVRDYCSFYYKTDEMVQQDPELQAWWKELREEAHGDKKDEPWWPKMQTREELINSCTIIIWVASALHAAVNFGQYPYAGYLPNRPTISRRFMPEKGTSEYTELESNPDKVFLKTITAQLQTLLGISLIEILSRHSSDEVYLGQRASPEWTSDETPLAAFDEFGKRLTGIEERIVEMNNDEQLKNRVGPVNMPYTLLYPTSEGGLTGKGIPNSVSI >KJB80991 pep chromosome:Graimondii2_0_v6:13:32226232:32229781:1 gene:B456_013G124500 transcript:KJB80991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPLLQYREQELMALRGNGQGELQEWDRVYDYAYYNDLGNPDKGPEYARPVLGGSAKYPYPRRGRTGRPPTKSDPKTESRIPLLMSLNIYVPRDERFGHLKMSDFLAYALKSIVQFIVPELQDLTDKTHNEFDSLEDVLELYYGGVKLPSGPLRDNIINNIPLEMLKEIFRTDGAQLLKFPVPRVIQDSKTAWRTDEEFAREMLAGVNPVIIRLLQEFPPTSNLDPKVYGNQNSAITKEHIEHNLEGLTVEEALRTNRLFILDHHDSLMPYLRRINTTTTKTYASRTILLLRNDGTLKPLVIELSLPHPNGDQLGAVSKVYTPAEHGVEGSIWQLAKAYVAVNDSGVHQLISHWLNTHATMEPFVIATNRQLSVVHPIYKLLYPHFRDTMNINAFARQILINGGGVLELTVFPGKYAMEMSSVIYKSWNLLDQALPRDLKKRGVAVDDKSSPNGLRLLIKDYPYAVDGLEIWFAIEKWVRDYCSFYYKTDEMVQQDPELQAWWKELREEAHGDKKDEPWWPKMQTREELINSCTIIIWVASALHAAVNFGQYPYAGYLPNRPTISRRFMPEKGTSEYTELESNPDKVFLKTITAQLQTLLGISLIEILSRHSSDEVYLGQRASPEWTSDETPLAAFDEFGKRLTGIEERIVEMNNDEQLKNRVGPVNMPYTLLYPTSEGGLTGKGIPNSVSI >KJB79815 pep chromosome:Graimondii2_0_v6:13:7860344:7860810:-1 gene:B456_013G068100 transcript:KJB79815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVTSNVPLPRLTKVNYENWSIQMKALLGSQDGWEVVQEGFVEPTTTAGYTAAQNKTLKEMRSKDKAALYMLFRAVDESGFEKIASATTSKEA >KJB79445 pep chromosome:Graimondii2_0_v6:13:4543886:4546714:-1 gene:B456_013G050200 transcript:KJB79445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAQPPAPGRELSNPPTDGISNLRFSNHSDHLLVSSWDKTVRLYDASADSLRGEFMHGGPVLDCCFHDDSSGFSASADNTVRRIVFSHGKEDILGRHDAPVRCIEYSYAAGQVITGSWDKTLKCWDPRGASGQERTLVGTYPQPERVYSLSLVGNRLVVATAGRQVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEASQAKKYAFKCHRKSEAGRDIVYPVNAIAFHPVYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPTSIAALSFSRDGRLLAVASSYTFEEGEKPHEPDAIFVRSVNEIEVKPKPKVYPNPPA >KJB79449 pep chromosome:Graimondii2_0_v6:13:4543673:4546776:-1 gene:B456_013G050200 transcript:KJB79449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAQPPAPGRELSNPPTDGISNLRFSNHSDHLLVSSWDKTVRLYDASADSLRGEFMHGGPVLDCCFHDDSSGFSASADNTVRRIVFSHGKEDILGRHDAPVRCIEYSYAAGQVITGSWDKTLKCWDPRGASGQERTLVGTYPQPERVYSLSLVGNRLVVATAGRQVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEASQAKKYAFKCHRKSEAGRDIVYPVNAIAFHPVYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPTSIAALSFSRDGRLLAVASSYTFEEGEKPHEPDAIFVRSVNEIEVKPKPKVYPNPPA >KJB79446 pep chromosome:Graimondii2_0_v6:13:4544267:4546636:-1 gene:B456_013G050200 transcript:KJB79446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAQPPAPGRELSNPPTDGISNLRFSNHSDHLLVSSWDKTVRLYDASADSLRGEFMHGGPVLDCCFHDDSSGFSASADNTVRRIVFSHGKEDILGRHDAPVRCIEYSYAAGQVITGSWDKTLKCWDPRGASGQERTLVGTYPQPERVYSLSLVGNRLVVATAGRQVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEASQAKKYAFKCHRKSEAGRDIVYPVNAIAFHPVYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPTSIAALSFSRDGRLLAVASSYTFEEGEKP >KJB79447 pep chromosome:Graimondii2_0_v6:13:4543826:4546714:-1 gene:B456_013G050200 transcript:KJB79447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVPIHYEESSCTVGLYSIVASMMIPLGLVPALIIRIVFSHGKEDILGRHDAPVRCIEYSYAAGQVITGSWDKTLKCWDPRGASGQERTLVGTYPQPERVYSLSLVGNRLVVATAGRQVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEASQAKKYAFKCHRKSEAGRDIVYPVNAIAFHPVYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPTSIAALSFSRDGRLLAVASSYTFEEGEKPHEPDAIFVRSVNEIEVKPKPKVYPNPPA >KJB79448 pep chromosome:Graimondii2_0_v6:13:4543826:4546714:-1 gene:B456_013G050200 transcript:KJB79448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAQPPAPGRELSNPPTDGISNLRFSNHSDHLLVSSWDKTVRLYDASADSLRGEFMHGGPVLDCCFHDDSSGFSASADNTVRRIVFSHGKEDILGRHDAPVRCIEYSYAAGQVITGSWDKTLKCWDPRVVATAGRQVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEASQAKKYAFKCHRKSEAGRDIVYPVNAIAFHPVYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPTSIAALSFSRDGRLLAVASSYTFEEGEKPHEPDAIFVRSVNEIEVKPKPKVYPNPPA >KJB83976 pep chromosome:Graimondii2_0_v6:13:51502941:51504326:-1 gene:B456_013G204000 transcript:KJB83976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEILSVISSGEANTNSDVSDSISTTHQANKSQRCGSKGNSSQFKGVMRQKNGQWGAQLYTNHTRIWLGTFKTEIDAAVAYDSAVIKFRPGDTHRNFPRNEITIEEPKLLSHYSAEAALSMIRDGSSQYKFMDFIKARSRSIKPSIALNSVETYSNEGLICKQLFQKELTPSDVGKLNRLVIPKKYAVKYFPPVSWTEKENADIGDRKTNHVELVFYDKFMRIWKFRYCYWNSSQSFVFTRGWNRFLKEKELKANDVVSFFVCECRKENEVRRFCMIDANKSGNGGTFVAVNTVHVGIEVDLQLRLGHYYPIGDEKHVQEEQGSMATAKQKQDDKTEGFKLFGMQIN >KJB83152 pep chromosome:Graimondii2_0_v6:13:55027454:55030242:1 gene:B456_013G231800 transcript:KJB83152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVNFVCVFLVSVVMFNSRVSSEPVEDKQALLAFISGIRHADRVKWNSSTSACDWFGVQCDANRSFVYTLRLPGAALIGSILPNTIGRLNRLRVLSLRANRLSGEIPADFSNLTQLRSLYLQGNEFTGPFPPSVTRLTRLTRLDLSSNNFTGPIPLGVNSLTQLTGLFLQNNKFSGSLPSIDSDGLNDFNVSNNNLNGSIPDSLSKFPESSFAGNIGLCGGPLPPCNPFPPSPSPTEPIPPTTSGQSSKSLPTGAIIAIALGSAIVALLLLLFLIICLRKWKRKSPRRQKAIPSTTHASPEEEAGTSSSKDDITGGSMEIERMMNNKLVFFKGGVYSFDLEDLMRASAEMLGKGSTGTSYKVVLAVGTTVAVKRLKDVAVSKREFVMKMGMLGKIMHENVVPLRAFYYSDEEKLLVYDYMHGGSLFALLQGSRNSVRTPLEWDHRIKIALSVARGLAHLHSSQNMIHGNIKSSNILLRPDHEACISEFGLNSLFNTNTPPSRIGGYQAPEVIQTHKVTVKSDVYSFGVLLLELLTGRAPIQPSITEEGFDLPRWVQSVVREEWAAEVFDAELMAYHNIEEEMVQALQIAMVCVSTVPDQRPVMSEVDGINTVQDQIRGLEMLRVAKW >KJB78485 pep chromosome:Graimondii2_0_v6:13:110818:117095:-1 gene:B456_013G001600 transcript:KJB78485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDTGIWAESPSFKDEGMGEIPKRWKGVCMEGPDFKKSDCNRKLIGARYYNVMHASNGNNTTQMRVDKSPRDTVGHGTHTASIAAGAIVANASYHGLAQGTARGGSPFFRIAAYKVCSEDGCPGSTILKAIDDAVKDGVDIISISIGTSAFLQPDFLKDPIAIGAFHAEQMGVMVVCSGGNEGPDPFTVTNAAPWIFTVAASNIDRGFHSKVLLGNGRIFQGSAINFSNLTRTETYPLAYGKDIAAKYSPIPEARSCYPGSLDPEKVKGKIIVCFDGFPVVSRTIKKLVAEDAKAKGLILINENDESAPFDSGPFPFTEVGTTIGYKILKYIKSNKNPSAIILPTVEIPGIKPAPVVAYFSSRGPSVLTENILKPDIMAPGVAILGAITPKDEEETASDGVKPGGYALESGTSMACPHVTGASALVKSVHPKWTSSMIRSALMTTATVYDNMRKPVTNGSASFATPHEMGVGEISPVKALNPGLVFETTTEDYLRFLCYNGSPEKTIRSMSKTKFKCPTKSSDDLISNINYPSISISKLEKSIGFLTIKRSVTNVGHPNVTYTSTVQAPMGMKVKVIPKKITFLENVKRVSFKVLFDGSEASSGYNFGSITWSAAQYSVRTVFAVNVE >KJB78484 pep chromosome:Graimondii2_0_v6:13:111029:117190:-1 gene:B456_013G001600 transcript:KJB78484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSQLKFPCAKKLSHSPYKYTEFILAKSTTNTITIMSCLLQLLSFFLIISSAASIQTPKHYVVYMGSSAGETAESDHLQLLSTVIPREERERISITNHYNHALRGFSAMLTDDEASELSGRNGVVSVFPDSILQLHTTRSWDFLDDNSNPKLNHGSYLPHKSTYDVIIGMIDTGIWAESPSFKDEGMGEIPKRWKGVCMEGPDFKKSDCNRKLIGARYYNVMHASNGNNTTQMRVDKSPRDTVGHGTHTASIAAGAIVANASYHGLAQGTARGGSPFFRIAAYKVCSEDGCPGSTILKAIDDAVKDGVDIISISIGTSAFLQPDFLKDPIAIGAFHAEQMGVMVVCSGGNEGPDPFTVTNAAPWIFTVAASNIDRGFHSKVLLGNGRIFQGSAINFSNLTRTETYPLAYGKDIAAKYSPIPEARSCYPGSLDPEKVKGKIIVCFDGFPVVSRTIKKLVAEDAKAKGLILINENDESAPFDSGPFPFTEVGTTIGYKILKYIKSNKNPSAIILPTVEIPGIKPAPVVAYFSSRGPSVLTENILKPDIMAPGVAILGAITPKDEEETASDGVKPGGYALESGTSMACPHVTGASALVKSVHPKWTSSMIRSALMTTATVYDNMRKPVTNGSASFATPHEMGVGEISPVKALNPGLVFETTTEDYLRFLCYNGSPEKTIRSMSKTKFKCPTKSSDDLISNINYPSISISKLEKSIGFLTIKRSVTNVGHPNVTYTSTVQAPMGMKVKVIPKKITFLENVKRVSFKVLFDGSEASSGYNFGSITWSAAQYSVRTVFAVNVE >KJB78483 pep chromosome:Graimondii2_0_v6:13:110786:117292:-1 gene:B456_013G001600 transcript:KJB78483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSQLKFPCAKKLSHSPYKYTEFILAKSTTNTITIMSCLLQLLSFFLIISSAASIQTPKHYVVYMGSSAGETAESDHLQLLSTVIPREERERISITNHYNHALRGFSAMLTDDEASELSGRNGVVSVFPDSILQLHTTRSWDFLDDNSNPKLNHGSYLPHKSTYDVIIGMIDTGIWAESPSFKDEGMGEIPKRWKGVCMEGPDFKKSDCNRKLIGARYYNVMHASNGNNTTQMRVDKSPRDTVGHGTHTASIAAGAIVANASYHGLAQGTARGGSPFFRIAAYKVCSEDGCPGSTILKAIDDAVKDGVDIISISIGTSAFLQPDFLKDPIAIGAFHAEQMGVMVVCSGGNEGPDPFTVTNAAPWIFTVAASNIDRGFHSKVLLGNGRIFQGSAINFSNLTRTETYPLAYGKDIAAKYSPIPEARSCYPGSLDPEKVKGKIIVCFDGFPVVSRTIKKLVAEDAKAKGLILINENDESAPFDSGPFPFTEVGTTIGYKILKYIKSNKNPSAIILPTVEIPGIKPAPVVAYFSSRGPSVLTENILKELPF >KJB80601 pep chromosome:Graimondii2_0_v6:13:22710637:22718909:1 gene:B456_013G106300 transcript:KJB80601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSGSSTPIGGVQSVTPSLLRSSSGMLGAQGGSLPSQTGYPSLVSPRTQFSNMNMLGNVPNVSSLLNQSFGNGVPNPQLSGPGSSQRGGIDSGAESDPLSNVGNGMGFNAPSSSFVPSNMANPGSSGQVQVQQFPNISGNHMLPDQQHSHQLESPHFQHGQQALQQFSAPHNTQQGQQQQQFQSIRGGLAGVGGAVKLEPQVTNDQLGQQQHQQQQQLQSLRKLAPVKLEPQQIPPMRTLAQVKMEPSHSDQSLFLHQQQQEPQQQQQLHHMSRQPSPAQINLLHQQRLLQLQHHQQQLLKAMPQQRSQLPQQFQQQNLPLRSPVKPVYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASTNLSAPDLQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETKTGPMESLAKFPRRTSTSFGIQAQQPEEQLQQQQLTPQQQTVAQNTSSQSSTQVSGMHLVANNGGVNINNSLSAASASTSAGTVGLLPQNSMNSRQQNSMNNASSPYGGNFVQIASPGSSSTIPQSQANPSPFQSPTPSSNNPTQVPHDALAATGHMNSANSPVNMPVQQSALSSEADPMNGIRAAMGNNSMMNGRVGNGMASMARDQGMNHQQQQDLGNQLLSGLGAVNGFNSLQYDWKTSP >KJB80602 pep chromosome:Graimondii2_0_v6:13:22711027:22718989:1 gene:B456_013G106300 transcript:KJB80602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSGSSTPIGGVQSVTPSLLRSSSGMLGAQGGSLPSQTGYPSLVSPRTQFSNMNMLGNVPNVSSLLNQSFGNGVPNPQLSGPGSSQRGGIDSGAESDPLSNVGNGMGFNAPSSSFVPSNMANPGSSGQVQVQQFPNISGNHMLPDQQHSHQLESPHFQHGQQALQQFSAPHNTQQGQQQQQFQSIRGGLAGVGGAVKLEPQVTNDQLGQQQHQQQQQLQSLRKLAPVKLEPQQIPPMRTLAQVKMEPSHSDQSLFLHQQQQEPQQQQQLHHMSRQPSPAQINLLHQQRLLQLQHHQQQLLKAMPQQRSQLPQQFQQQNLPLRSPVKPVYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASTNLSAPDLQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETKTGPMESLAKFPRRTSTSFGIQAQQPEEQLQQQQLTPQQQTVAQNTSSQSSTQVSGMHLVANNGGVNINNSLSAASASTSAGTVGLLPQNSMNSRQQNSMNNASSPYGGNFVQIASPGSSSTIPQSQANPSPFQSPTPSSNNPTQVPHDALAATGHMNSANSPVNMPVQQSALSSEADPSESQSSVQKIIHEIMSAQLNGTGGMVGVGTLGNDVKSLNGMLPTGNSTVVNGGNSMVGNGTVNNSSGIGSGGFGTMGGGRLGQSAIVNGIRAAMGNNSMMNGRVGNGMASMARDQGMNHQQQQDLGNQLLSGLGAVNGFNSLQYDWKTSP >KJB80600 pep chromosome:Graimondii2_0_v6:13:22710519:22718989:1 gene:B456_013G106300 transcript:KJB80600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSGSSTPIGGVQSVTPSLLRSSSGMLGAQGGSLPSQTGYPSLVSPRTQFSNMNMLGNVPNVSSLLNQSFGNGVPNPQLSGPGSSQRGGIDSGAESDPLSNVGNGMGFNAPSSSFVPSNMANPGSSGQVQVQQFPNISGNHMLPDQQHSHQLESPHFQHGQQALQQFSAPHNTQQGQQQQQFQSIRGGLAGVGGAVKLEPQVTNDQLGQQQHQQQQQLQSLRKLAPVKLEPQQIPPMRTLAQVKMEPSHSDQSLFLHQQQQEPQQQQQLHHMSRQPSPAQINLLHQQRLLQLQHHQQQLLKAMPQQRSQLPQQFQQQNLPLRSPVKPVYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASTNLSAPDLQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETKTGPMESLAKFPRRTSTSFGIQAQQPEEQLQQQQLTPQQQTVAQNTSSQSSTQVSGMHLVANNGGVNINNSLSAASASTSAGTVGLLPQNSMNSRQQNSMNNASSPYGGNFVQIASPGSSSTIPQSQANPSPFQSPTPSSNNPTQVPHDALAATGHMNSANSPVNMPVQQSALSSEADPSESQSSVQKIIHEIMSAQLNGTGGMVGVGTLGNDVKSLNGMLPTGNSTVVNGGNSMVGNGTVNNSSGIGSGGFGTMGGGRLGQSAIVNGIRAAMGNNSMMNGRVGNGMASMARDQGMNHQQQQDLGNQLLSGLGAVNGFNSLQYDWKTSP >KJB80603 pep chromosome:Graimondii2_0_v6:13:22711275:22718989:1 gene:B456_013G106300 transcript:KJB80603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSGSSTPIGGVQSVTPSLLRSSSGMLGAQGGSLPSQTGYPSLVSPRTQFSNMNMLGNVPNVSSLLNQSFGNGVPNPQLSGPGSSQRGGIDSGAESDPLSNVGNGMGFNAPSSSFVPSNMANPGSSGQVQVQQFPNISGNHMLPDQQHSHQLESPHFQHGQQALQQFSAPHNTQQGQQQQQFQSIRGGLAGVGGAVKLEPQVTNDQLGQQQHQQQQQLQSLRKLAPVKLEPQQIPPMRTLAQVKMEPSHSDQSLFLHQQQQEPQQQQQLHHMSRQPSPAQINLLHQQRLLQLQHHQQQLLKAMPQQRSQLPQQFQQQNLPLRSPVKPVYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASTNLSAPDLQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETKTGPMESLAKFPRRTSTSFGIQAQQPEEQLQQQQLTPQQQTVAQNTSSQSSTQVSGMHLVANNGGVNINNSLSAASASTSAGTVGLLPQNSMNSRQQNSMNNASSPYGGNFVQIASPGSSSTIPQSQANPSPFQSPTPSSNNPTQVPHDALAATGHMNSANSPVNMPVQQSALSSEADPSESQSSVQKIIHEIMSAQLNGTGGMVGVGTLGNDVKSLNGMLPTGNSTVVNGGNSMVGNGTVNNSSGIGSGGFGTMGGGRLGQSAIVNGIRAAMGNNSMMNGRVGNGMASMARDQGMNHQQQQDLGNQLLSGLGAVNGFNSLQYDWKTSP >KJB80670 pep chromosome:Graimondii2_0_v6:13:24548194:24551248:-1 gene:B456_013G109600 transcript:KJB80670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNNNVGFSGHVLPLTHVEPGSVPPPKVVLSFPRGNEVALPPPPATISTIPPPPSIMEVSPSKSDGLSVSTDETMSTCDSFKSPEVEYMDNHDVTAVDSIERKTFRNLCISDHVESTGNICNRDAVAEMEMDDKIIDVDDNYMDPQLCATFACDIYKHLRASEVKKRPSTDFMERIQKDINSNMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNMMNRQRLQLLGVACMMIAAKYEEICAPQVEEFCYITDNTYFKEEVIEMESSVLNYLKFEMTAPTAKCFLRRFVRAAQGINEVPLMQLECMANYITELSLLEYSMLCHAPSLIAASAIFLAKFILLPSKKPWNSTLQHYTLYKPSDLCDCVKDLHRLCCNNNSTLPAIREKYNQHKYKCVAKKNWPPSIPSEFFQN >KJB80669 pep chromosome:Graimondii2_0_v6:13:24548026:24551334:-1 gene:B456_013G109600 transcript:KJB80669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQNGNRRSSFSSSTTSSLAKRHASSSDNVGKVMASMPKKRVPLSNISNQKNTSRSSVSASSLVLCSNKVPKTRKTMPDNNNVGFSGHVLPLTHVEPGSVPPPKVVLSFPRGNEVALPPPPATISTIPPPPSIMEVSPSKSDGLSVSTDETMSTCDSFKSPEVEYMDNHDVTAVDSIERKTFRNLCISDHVESTGNICNRDAVAEMEMDDKIIDVDDNYMDPQLCATFACDIYKHLRASEVKKRPSTDFMERIQKDINSNMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNMMNRQRLQLLGVACMMIAAKYEEICAPQVEEFCYITDNTYFKEEVIEMESSVLNYLKFEMTAPTAKCFLRRFVRAAQGINEVPLMQLECMANYITELSLLEYSMLCHAPSLIAASAIFLAKFILLPSKKPWNSTLQHYTLYKPSDLCDCVKDLHRLCCNNNSTLPAIREKYNQHKYKCVAKKNWPPSIPSEFFQN >KJB80671 pep chromosome:Graimondii2_0_v6:13:24549030:24551248:-1 gene:B456_013G109600 transcript:KJB80671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQNGNRRSSFSSSTTSSLAKRHASSSDNVGKVMASMPKKRVPLSNISNQKNTSRSSVSASSLVLCSNKVPKTRKTMPDNNNVGFSGHVLPLTHVEPGSVPPPKVVLSFPRGNEVALPPPPATISTIPPPPSIMEVSPSKSDGLSVSTDETMSTCDSFKSPEVEYMDNHDVTAVDSIERKTFRNLCISDHVESTGNICNRDAVAEMEMDDKIIDVDDNYMDPQLCATFACDIYKHLRASEVKKRPSTDFMERIQKDINSNMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNMMNRQRLQLLGVACMMIAAKYEEICAPQVEEFCYITDNTYFKEEVIEMESSVLNYLKFEMTAPTAKCFLRRFVRAAQGINEVICLWVIIASSFGSPFVIFKITFLWWFVIRFH >KJB81990 pep chromosome:Graimondii2_0_v6:13:45771448:45772028:-1 gene:B456_013G171000 transcript:KJB81990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIRYHIPKAVYLLMLVVFSFSYVFDGQPPDLKKQELAKRYSKRADATEDLQEAMLVFFFGQTWSDK >KJB81691 pep chromosome:Graimondii2_0_v6:13:43245379:43248278:1 gene:B456_013G156800 transcript:KJB81691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSDPWIKEYNEAVKIADDINGMISERISLPASGPETQRHASAIRRKITILGTRLDGLHSLMSRPTGKPLTEKEMNRRKDMVANLRSKANQMASAFNMSNFANRDSLLGPETKADAMSRTVGSDNSGLVGLQRQIMKEQDEGLEKLEETVISTKHIALAVNEELDLHTRLIDDLDQHVEVTDSRLRHSLAAKT >KJB81692 pep chromosome:Graimondii2_0_v6:13:43245170:43248278:1 gene:B456_013G156800 transcript:KJB81692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSDPWIKEYNEAVKIADDINGMISERISLPASGPETQRHASAIRRKITILGTRLDGLHSLMSRPTGKPLTEKEMNRRKDMVANLRSKANQMASAFNMSNFANRDSLLGPETKADAMSRTVGSDNSGLVGLQRQIMKEQDEGLEKLEETVISTKHIALAVNEELDLHTRLIDDLDQHVEVTDSRLRRVQKNLAILNKRTKGGCSCMCMLLAVIGIVVLVVAIYLLIKYL >KJB81690 pep chromosome:Graimondii2_0_v6:13:43245170:43248278:1 gene:B456_013G156800 transcript:KJB81690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSDPWIKEYNEAVKIADDINGMISERISLPASGPETQRHASAIRRKITILGTRLDGLHSLMSRPTGKPLTEKEMNRRKDMVANLRSKANQMASAFNMSNFANRDSLLGPETKADAMSRTVGSDNSGLVGLQRQIMKEQDEGLEKLEETVISTKHIALAVNEELDLHTRLIDDLDQHVEVTDSRLRRVQKNLAILNKRTKGGCSCMCMLLAVIGIVVLVVAIYLLIKYL >KJB81689 pep chromosome:Graimondii2_0_v6:13:43245170:43248278:1 gene:B456_013G156800 transcript:KJB81689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSDPWIKEYNEAVKIADDINGMISERISLPASGPETQRHASAIRRKITILGTRLDGLHSLMSRPTGKPLTEKEMNRRKDMVANLRSKANQMASAFNMSNFANRDSLLGPETKADAMSRTVGSDNSGLVGLQRQIMKGK >KJB81688 pep chromosome:Graimondii2_0_v6:13:43246136:43246737:1 gene:B456_013G156800 transcript:KJB81688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSDPWIKEYNEAVKIADDINGMISERISLPASGPETQRHASAIRRKITILGTRLDGLHSLMSRPTGKPLTEKEMNRRKDMVANLRSKANQMASAFNMSNFANRDSLLGPETKADAMSRTVGSDNSGLVGLQRQIMKGKYFSFKWHPW >KJB81686 pep chromosome:Graimondii2_0_v6:13:43245170:43248278:1 gene:B456_013G156800 transcript:KJB81686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSDPWIKEYNEAVKIADDINGMISERISLPASGPETQRHASAIRRKITILGTRLDGLHSLMSRPTGKPLTEKEMNRRKDMVANLRSKANQMASAFNMSNFANRDSLLGPETKADAMSRTVGSDNSGLVGLQRQIMKEQDEGLEKLEETVISTKHIALAVNEELDLHTRLIVFYCFFLLYTFASKISA >KJB81687 pep chromosome:Graimondii2_0_v6:13:43246136:43246737:1 gene:B456_013G156800 transcript:KJB81687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSDPWIKEYNEAVKIADDINGMISERISLPASGPETQRHASAIRRKITILGTRLDGLHSLMSRPTGKPLTEKEMNRRKDMVANLRSKANQMASAFNMSNFANRDSLLGPETKADAMSRTVGSDNSGLVGLQRQIMKGKYFSFKWHPW >KJB83793 pep chromosome:Graimondii2_0_v6:13:57789590:57790513:-1 gene:B456_013G264900 transcript:KJB83793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKTVAEKAPAEKKPKAGKKLPKEGGAAAGDKKKKRTKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >KJB79025 pep chromosome:Graimondii2_0_v6:13:2296060:2299856:1 gene:B456_013G030300 transcript:KJB79025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLHNSTNISRVGFASPFLGSNSASKVSISVSLPSFSNLSFKSVETSSQQLYRINAMSKAESSSSDQVKVDISLSPRVNSVKPSKTVAITDHATALAQAGVPVIRLAAGEPDFDTPAIIAEAGINAIREGYTRYSPNAGTLELRTAICHKLKEENGISYTPDQIVVSNGAKQSILQAVLAVCSPGDEVIIPAPYWVSYPEMARLADATPVILPTLISDNFLLDPELLESNITEKSRLLILCSPSNPTGSVYPKKLLEKIAEIVAKHPRLLVLSDEIYEHIIYAPAAHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKNFIAACNKIQSQ >KJB79023 pep chromosome:Graimondii2_0_v6:13:2296628:2301583:1 gene:B456_013G030300 transcript:KJB79023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAESSSSDQVKVDISLSPRVNSVKPSKTVAITDHATALAQAGVPVIRLAAGEPDFDTPAIIAEAGINAIREGYTRYSPNAGTLELRTAICHKLKEENGISYTPDQIVVSNGAKQSILQAVLAVCSPGDEVIIPAPYWVSYPEMARLADATPVILPTLISDNFLLDPELLESNITEKSRLLILCSPSNPTGSVYPKKLLEKIAEIVAKHPRLLVLSDEIYEHIIYAPAAHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKNFIAACNKIQSQSTSGASSIAQKAGVAALGLGYAGGEVVSTMVKAFRERRDFLVKSFGELEGVKISEPQGAFYLFIDFSSYYGIEVEGFGKIENSESLCRYLLDKGQVALVPGDAFGDDSCIRISYAASLTTLQASFERIKKALISLRPAVPV >KJB79022 pep chromosome:Graimondii2_0_v6:13:2295434:2301637:1 gene:B456_013G030300 transcript:KJB79022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLHNSTNISRVGFASPFLGSNSASKVSISVSLPSFSNLSFKSVETSSQQLYRINAMSKAESSSSDQVKVDISLSPRVNSVKPSKTVAITDHATALAQAGVPVIRLAAGEPDFDTPAIIAEAGINAIREGYTRYSPNAGTLELRTAICHKLKEENGISYTPDQIVVSNGAKQSILQAVLAVCSPGDEVIIPAPYWVSYPEMARLADATPVILPTLISDNFLLDPELLESNITEKSRLLILCSPSNPTGSVYPKKLLEKIAEIVAKHPRLLVLSDEIYEHIIYAPAAHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKNFIAACNKIQSQSTSGASSIAQKAGVAALGLGYAGGEVVSTMVKAFRERRDFLVKSFGELEGVKISEPQGAFYLFIDFSSYYGIEVEGFGKIENSESLCRYLLDKGQVALVPGDAFGDDSCIRISYAASLTTLQASFERIKKALISLRPAVPV >KJB79027 pep chromosome:Graimondii2_0_v6:13:2295434:2301637:1 gene:B456_013G030300 transcript:KJB79027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAESSSSDQVKVDISLSPRVNSVKPSKTVAITDHATALAQAGVPVIRLAAGEPDFDTPAIIAEAGINAIREGYTRYSPNAGTLELRTAICHKLKEENGISYTPDQIVVSNGAKQSILQAVLAVCSPGDEVIIPAPYWVSYPEMARLADATPVILPTLISDNFLLDPELLESNITEKSRLLILCSPSNPTGSVYPKKLLEKIAEIVAKHPRLLVLSDEIYEHIIYAPAAHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKNFIAACNKIQSQSTSGASSIAQKAGVAALGLGYAGGEVVSTMVKAFRERRDFLVKSFGELEGVKISEPQGAFYLFIDFSSYYGIEVEGFGKIENSESLCRYLLDKGQVALVPGDAFGDDSCIRISYAASLTTLQASFERIKKALISLRPAVPV >KJB79026 pep chromosome:Graimondii2_0_v6:13:2296060:2301583:1 gene:B456_013G030300 transcript:KJB79026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLHNSTNISRVGFASPFLGSNSASKVSISVSLPSFSNLSFKSVETSSQQLYRINAMSKAESSSSDQVKVDISLSPRVNSVKPSKTVAITDHATALAQAGVPVIRLAAGEPDFDTPAIIAEAGINAIREGYTRYSPNAGTLELRTAICHKLKEENGISYTPDQIVVSNGAKQSILQAVLAVCSPGDEVIIPAPYWVSYPEMARLADATPVILPTLISDNFLLDPELLESNITEKSRLLILCSPSNPTGSVYPKKLLEKIAEIVAKHPRLLVLSDEIYEHIIYAPAAHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKNFIAACNKIQSQSTSGASSIAQKAGVAALGLGYAGGEVVSTMVKAFRERRDFLVKSFGELEGVKISEPQGAFYLFIDFSSYYGIEVEGFGKIENSESLCRYLLDKGQVCFIEYCFRALLFKLIKNCYAWFCQYYREWLNIVFNIKDDQTSKSGCND >KJB79024 pep chromosome:Graimondii2_0_v6:13:2295892:2301583:1 gene:B456_013G030300 transcript:KJB79024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLHNSTNISRVGFASPFLGSNSASKVSISVSLPSFSNLSFKSVETSSQQLYRINAMSKAESSSSDQVKVDISLSPRVNSVKPSKTVAITDHATALAQAGVPVIRLAAGEPDFDTPAIIAEAGINAIREGYTRYSPNAGTLELRTAICHKLKEENGISYTPDQIVVSNGAKQSILQAVLAVCSPGDEVIIPAPYWVSYPEMARLADATPVILPTLISDNFLLDPELLESNITEKSRLLILCSPSNPTGSVYPKKLLEKIAEIVAKHPRLLVLSDEIYEHIIYAPAAHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKNFIAACNKIQSQSTSGASSIAQKAGVAALGLGYAGGEVVSTMVKAFRERRDFLVKSFGELEGVKISEPQGAFYLFIDFSSYYGIEVEGFGKIENSESLCRYLLDKGQVALVPGDAFGDDSCIRISYAASLTTLQASFERIKKALISLRPAVPV >KJB78398 pep chromosome:Graimondii2_0_v6:13:38604577:38607032:-1 gene:B456_013G141700 transcript:KJB78398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPKSCTPEDVATVNVIAAKDLLGSNHCYLDVRTPEEFSKSHIHHAFNVPYMFITQEGRVKNPEFLKEVSLILKKDDRIIVGCNSGGRGVRACVDLIEAGCLLLETEL >KJB78400 pep chromosome:Graimondii2_0_v6:13:38604282:38607043:-1 gene:B456_013G141700 transcript:KJB78400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPKSCTPEDVATVNVIAAKDLLGSNHCYLDVRTPEEFSKSHIHHAFNVPYMFITQEGRVKNPEFLKEVSLILKKDDRIIVGCNSGGRGVRACVDLIEAVTMRM >KJB78399 pep chromosome:Graimondii2_0_v6:13:38604279:38607164:-1 gene:B456_013G141700 transcript:KJB78399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPKSCTPEDVATVNVIAAKDLLGSNHCYLDVRTPEEFSKSHIHHAFNVPYMFITQEGRVKNPEFLKEVSLILKKDDRIIVGCNSGGRGVRACVDLIEASYENVSNMEGGYSAWVDAGLTPAGDKPAEELKTFCKFRP >KJB82608 pep chromosome:Graimondii2_0_v6:13:51508672:51509676:-1 gene:B456_013G204200 transcript:KJB82608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVILSGEANINSDVSDSTSTTHQANKRQRCGGKGNSSQYKGVMRQKNGQWGAQLYTNHTRIWLGTFKSEIDAAMAYDSAAIKFRTGDTHRNFPWNEITIEEPTFLSHYSAEAVLSMIRDGSYQYKFMDFIKAHSGNTKLNLVGTYSNEGLICKQLFQKELTPSDVGQLNRLVIPKKYAVKYFPPVSGTEKENADVGHRKTNDVELIFYDKFMRIWKFRYCYWNSSQSFVFTRGWNRFLKEKELKANDVVSFFVCECRKENEVRRFCMIDANKSGNGGTFVSANNVHVGIEVDLQLRLGHCYLVQEEQGSMAAAKPKQDDKTEGFKLFGMQIS >KJB78591 pep chromosome:Graimondii2_0_v6:13:607861:608149:-1 gene:B456_013G0090001 transcript:KJB78591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKNYGHQHPLLMLNEEQLLGNGNGVVDCSRCGEKVSAPCFSCVECSGFYLHKTCAQAPLELNHPFHRHHPLLLLQTPPYTSYTRCVCDFCDET >KJB80059 pep chromosome:Graimondii2_0_v6:13:10572755:10573901:-1 gene:B456_013G079400 transcript:KJB80059 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLAVATA3/ESR (CLE)-related protein 12 [Source:Projected from Arabidopsis thaliana (AT1G68795) UniProtKB/Swiss-Prot;Acc:Q29PU4] MLPLQALMLPQNSPCMAWKVSHMLIFTILWLSLLLLWLHEFQNILNFNFNTNTSGKQPNPTTFSLLSSHRNPFITRKAVAAAKFDFTPFQKHQDQAPDQAKKQTKPADNEVDPRYGVEKRLVPTGPNPLHH >KJB79646 pep chromosome:Graimondii2_0_v6:13:6511651:6514044:1 gene:B456_013G060600 transcript:KJB79646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKYPMPSVVEFNQLLGAIVRMKRYAIAVSMCKQMEFFRVSPDVYTLNILTNCFCHLGQIDCGFSVLGKMLKLGVEPTVVTFSTLINGLCNQSQISHAVSLFDEMIGQGYRPNLIVYSTILNGLCKTGNTYRAVRFLRMMEERGFEPNIVAYSTVIDCLCKNGLLNEALDLFSEVKVKGIRPNTVSYNCLIHAMCNLGQQKETTRLLNEMVDNNISCDIVTYNVLIDAHCKEGMISEAIEILDTMRKHGIEPNVITYNICIDACCKEGMLAEAKDIVDTMIKQGIEPDVVTYSVLVDGHCLQNQMDKAKSVFQLMIEKGCAPDIHSCNIMINGYCKAKRLDEAMELFDELAQNGQIPDAVTYNTLMQGMCRLGRVSTACKLLRTMLASGPVPNLVTCSILLDGLCKSGKLEEALKLFQAIQKSRLEVDIVFYNILIDGLCKAGNIETGKELFHELFVNGLKPDVYTYSIMINRFCTEGLPDEACQLFRSMEENDCLPDSFCYNIMIRGFLRNSYTSKATQLLKEMVSKGFSADISTATLFLDLILRSNNKSILI >KJB79647 pep chromosome:Graimondii2_0_v6:13:6511651:6514092:1 gene:B456_013G060600 transcript:KJB79647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEERGFEPNIVAYSTVIDCLCKNGLLNEALDLFSEVKVKGIRPNTVSYNCLIHAMCNLGQQKETTRLLNEMVDNNISCDIVTYNVLIDAHCKEGMISEAIEILDTMRKHGIEPNVITYNICIDACCKEGMLAEAKDIVDTMIKQGIEPDVVTYSVLVDGHCLQNQMDKAKSVFQLMIEKGCAPDIHSCNIMINGYCKAKRLDEAMELFDELAQNGQIPDAVTYNTLMQGMCRLGRVSTACKLLRTMLASGPVPNLVTCSILLDGLCKSGKLEEALKLFQAIQKSRLEVDIVFYNILIDGLCKAGNIETGKELFHELFVNGLKPDVYTYSIMINRFCTEGLPDEACQLFRSMEENDCLPDSFCYNIMIRGFLRNSYTSKATQLLKEMVSKGFSADISTATLFLDLILRSNNKSILI >KJB82971 pep chromosome:Graimondii2_0_v6:13:54261370:54266287:-1 gene:B456_013G222600 transcript:KJB82971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSSLASPLCTWLVAACMSVTYGKDQSRSPLFNSSASASSNRLGRWARNRRKALLSQCCGGGATPNKDVGLISSFRGSTIQGLMASCLAFEPCNDYYSSKNGSFFGQNGSFSSFFGSKTVPFNNNRKQKRLNRRAHHSGHAMAIAVQPTREITTKKKPPTKQRQVVVTGMGVVTPLGHEPDVFYNNLLEGVSGISEIETFDCAQFPTRIAGEIKSFSTDGWVAPKLSKRMDKFMLYSLTAGKKALQDGGVNEDVMEELDKTKCGVLIGSAMGGMKVFNDAIEALRISYRKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADMMLCGGSDAAIIPIGLGGFVACRALSQRNNDPTKASRPWDANRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFTCDAYHMTEPHPDGVGVILCIEKALAHAGVSRGDINYINAHATSTPTGDIKEYQALLHCFGDNPEGELYKINDWSPTRSFRCCGSCCNSTGNTNWLGSSKYQPGKPGCRSGHKCACGAK >KJB82969 pep chromosome:Graimondii2_0_v6:13:54261333:54266430:-1 gene:B456_013G222600 transcript:KJB82969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSSLASPLCTWLVAACMSVTYGKDQSRSPLFNSSASASSNRLGRWARNRRKALLSQCCGGGATPNKDVGLISSFRGSTIQGLMASCLAFEPCNDYYSSKNGSFFGQNGSFSSFFGSKTVPFNNNRKQKRLNRRAHHSGHAMAIAVQPTREITTKKKPPTKQRQVVVTGMGVVTPLGHEPDVFYNNLLEGVSGISEIETFDCAQFPTRIAGEIKSFSTDGWVAPKLSKRMDKFMLYSLTAGKKALQDGGVNEDVMEELDKTKCGVLIGSAMGGMKVFNDAIEALRISYRKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADMMLCGGSDAAIIPIGLGGFVACRALSQRNNDPTKASRPWDANRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFTCDAYHMTEPHPDGVGVILCIEKALAHAGVSRGDINYINAHATSTPTGDIKEYQALLHCFGDNPELRVNSTKSMIGHLLGASGAVEAVATVQAIRTGWVHPNINLENPDVGVDTSVLVGPNKERLNVKAALSNSFGFGGHNSSIIFAPYK >KJB82972 pep chromosome:Graimondii2_0_v6:13:54262912:54266287:-1 gene:B456_013G222600 transcript:KJB82972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSSLASPLCTWLVAACMSVTYGKDQSRSPLFNSSASASSNRLGRWARNRRKALLSQCCGGGATPNKDVGLISSFRGSTIQGLMASCLAFEPCNDYYSSKNGSFFGQNGSFSSFFGSKTVPFNNNRKQKRLNRRAHHSGHAMAIAVQPTREITTKKKPPTKQRQVVVTGMGVVTPLGHEPDVFYNNLLEGVSGISEIETFDCAQFPTRIAGEIKSFSTDGWVAPKLSKRMDKFMLYSLTAGKKALQDGGVNEDVMEELDKTKCGVLIGSAMGGMKVFNDAIEALRISYRKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADMMLCGGSDAAIIPIGLGGFVACRALSQRNNDPTKASRPWDANRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFTCDAYHMTEPHPDGNEPHLYNHNSS >KJB82970 pep chromosome:Graimondii2_0_v6:13:54261370:54265123:-1 gene:B456_013G222600 transcript:KJB82970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAVQPTREITTKKKPPTKQRQVVVTGMGVVTPLGHEPDVFYNNLLEGVSGISEIETFDCAQFPTRIAGEIKSFSTDGWVAPKLSKRMDKFMLYSLTAGKKALQDGGVNEDVMEELDKTKCGVLIGSAMGGMKVFNDAIEALRISYRKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADMMLCGGSDAAIIPIGLGGFVACRALSQRNNDPTKASRPWDANRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFTCDAYHMTEPHPDGVGVILCIEKALAHAGVSRGDINYINAHATSTPTGDIKEYQALLHCFGDNPELRVNSTKSMIGHLLGASGAVEAVATVQAIRTGWVHPNINLENPDVGVDTSVLVGPNKERLNVKAALSNSFGFGGHNSSIIFAPYK >KJB82150 pep chromosome:Graimondii2_0_v6:13:47223676:47231423:1 gene:B456_013G178800 transcript:KJB82150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIVLYKQGWKWLKSQKHVYSKAKTAVGCCRDKMGLLIEKHWPMVCSAFLNLWKFSRLLLVYWKDCLVRGFQSCSRLGSASLLVIMWSCFLSLTSMSRLLYLLLSMGAAGAAIQNLGYTPGLFIVGLFSILILWMYANFWMTGTLFIVGGYMFSLSHARLIVLIATTYSIYFVKDRVGWLGVFLSINLAFLSNDVLNYLVQRFDNVSETAHYEEPKESKPVMEDDLSGECEYSNPSIDSEKVQPCKSSSKSATTSVINQKEVSAKRVVKEETSSADEMTRILNCTDHYQALGIPRHTKIDTAVLKKEYKKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDSVKKRDYDEQLRKEESRTRSVCQESHSSSRQQPSPDYCSEESRRIQCTKCGNSHIWVCTNRNKAKARWCQDCCQYHQAKDGDGWVEYKGSLVFDRPQKKQLHLPVLKQLLTLSIKCTWVFKIPDGQFQGFHLSSLCFMTGQVLTKMNHNCLITKCGET >KJB82153 pep chromosome:Graimondii2_0_v6:13:47223203:47234017:1 gene:B456_013G178800 transcript:KJB82153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQCHRICSLNCNFSNGLKGVMEDIVLYKQGWKWLKSQKHVYSKAKTAVGCCRDKMGLLIEKHWPMVCSAFLNLWKFSRLLLVYWKDCLVRGFQSCSRLGSASLLVIMWSCFLSLTSMSRLLYLLLSMGAAGAAIQNLGYTPGLFIVGLFSILILWMYANFWMTGTLFIVGGYMFSLSHARLIVLIATTYSIYFVKDRVGWLGVFLSINLAFLSNDVLNYLVQRFDNVSETAHYEEPKESKPVMEDDLSGECEYSNPSIDSEKVQPCKSSSKSATTSVINQKEVSAKRVVKEETSSADEMTRILNCTDHYQALGIPRHTKIDTAVLKKEYKKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDSVKKRDYDEQLRKEESRTRSVCQESHSSSRQQPSPDYCSEESRRIQCTKCGNSHIWVCTNRNKAKARWCQDCCQYHQAKDGDGWVEYKGSLVFDRPQKVEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKTQRSNTSRYPWDLDAEMIDEDEEFELWLQQALASGLFCETSKRRKSWSPFKLPQKKSKRQWRRSST >KJB82149 pep chromosome:Graimondii2_0_v6:13:47223323:47233898:1 gene:B456_013G178800 transcript:KJB82149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIVLYKQGWKWLKSQKHVYSKAKTAVGCCRDKMGLLIEKHWPMVCSAFLNLWKFSRLLLVYWKDCLVRGFQSCSRLGSASLLVIMWSCFLSLTSMSRLLYLLLSMGAAGAAIQNLGYTPGLFIVGLFSILILWMYANFWMTGTLFIVGGYMFSLSHARLIVLIATTYSIYFVKDRVGWLGVFLSINLAFLSNDVLNYLVQRFDNVSETAHYEEPKESKPVMEDDLSGECEYSNPSIDSEKVQPCKSSSKSATTSVINQKEVSAKRVVKEETSSADEMTRILNCTDHYQALGIPRHTKIDTAVLKKEYKKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDSVKKRDYDEQLRKEESRTRSVCQESHSSSRQQPSPDYCSEESRRIQCTKCGNSHIWVCTNRNKAKARWCQDCCQYHQAKDGDGWVEYKGSLVFDRPQKKQLHLPVLKQLLTLSIKCTWVFKIPDGQFQGFHLSSLCFMTGQVLTKMNHNCLITKCGET >KJB82154 pep chromosome:Graimondii2_0_v6:13:47223450:47233898:1 gene:B456_013G178800 transcript:KJB82154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYANFWMTGTLFIVGGYMFSLSHARLIVLIATTYSIYFVKDRVGWLGVFLSINLAFLSNDVLNYLVQRFDNVSETAHYEEPKESKPVMEDDLSGECEYSNPSIDSEKVQPCKSSSKSATTSVINQKEVSAKRVVKEETSSADEMTRILNCTDHYQALGIPRHTKIDTAVLKKEYKKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDSVKKRDYDEQLRKEESRTRSVCQESHSSSRQQPSPDYCSEESRRIQCTKCGNSHIWVCTNRNKAKARWCQDCCQYHQAKDGDGWVEYKGSLVFDRPQKVEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKTQRSNTSRYPWDLDAEMIDEDEEFELWLQQALASGLFCETSKRRKSWSPFKLPQKKSKRQWRRSST >KJB82152 pep chromosome:Graimondii2_0_v6:13:47223323:47233898:1 gene:B456_013G178800 transcript:KJB82152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIVLYKQGWKWLKSQKHVYSKAKTAVGCCRDKMGLLIEKHWPMVCSAFLNLWKFSRLLLVYWKDCLVRGFQSCSRLGSASLLVIMWSCFLSLTSMSRLLYLLLSMGAAGAAIQNLGYTPGLFIVGLFSILILWMYANFWMTGTLFIVGGYMFSLSHARLIVLIATTYSIYFVKDRVGWLGVFLSINLAFLSNDVLNYLVQRFDNVSETAHYEEPKESKPVMEDDLSGECEYSNPSIDSEKVQPCKSSSKSATTSVINQKEVSAKRVVKEETSSADEMTRILNCTDHYQALGIPRHTKIDTAVLKKEYKKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDSVKKRDYDEQLRKEESRTRSVCQESHSSSRQQPSPDYCSEESRRIQCTKCGNSHIWVCTNRNKAKARWCQDCCQYHQAKDGDGWVEYKGSLVFDRPQKKQLHLPVLKQLLTLSIKCTWVFKIPDGQFQGFHLSSLCFMTGQVLTKMNHNCLITKCGET >KJB82155 pep chromosome:Graimondii2_0_v6:13:47224035:47234791:1 gene:B456_013G178800 transcript:KJB82155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSHARLIVLIATTYSIYFVKDRVGWLGVFLSINLAFLSNDVLNYLVQRFDNVSETAHYEEPKESKPVMEDDLSGECEYSNPSIDSEKVQPCKSSSKSATTSVINQKEVSAKRVVKEETSSADEMTRILNCTDHYQALGIPRHTKIDTAVLKKEYKKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDSVKKRDYDEQLRKEESRTRSVCQESHSSSRQQPSPDYCSEESRRIQCTKCGNSHIWVCTNRNKAKARWCQDCCQYHQAKDGDGWVEYKGSLVFDRPQKVEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKTQRSNTSRYPWDLDAEMIDEDEEFELWLQQALASGLFCETSKRRKSWSPFKLPQKKSKRQWRRSST >KJB82148 pep chromosome:Graimondii2_0_v6:13:47223300:47233990:1 gene:B456_013G178800 transcript:KJB82148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIVLYKQGWKWLKSQKHVYSKAKTAVGCCRDKMGLLIEKHWPMVCSAFLNLWKFSRLLLVYWKDCLVRGFQSCSRLGSASLLVIMWSCFLSLTSMSRLLYLLLSMGAAGAAIQNLGYTPGLFIVGLFSILILWMYANFWMTGTLFIVGGYMFSLSHARLIVLIATTYSIYFVKDRVGWLGVFLSINLAFLSNDVLNYLVQRFDNVSETAHYEEPKESKPVMEDDLSGECEYSNPSIDSEKVQPCKSSSKSATTSVINQKEVSAKRVVKEETSSADEMTRILNCTDHYQALGIPRHTKIDTAVLKKEYKKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDSVKKRDYDEQLRKEESRTRSVCQESHSSSRQQPSPDYCSEESRRIQCTKCGNSHIWVCTNRNKAKARWCQDCCQYHQAKDGDGWVEYKGSLVFDRPQKVEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKTQRSNTSRYPWDLDAEMIDEDEEFELWLQQALASGLFCETSKRRKSWSPFKLPQKKSKRQWRRSST >KJB82151 pep chromosome:Graimondii2_0_v6:13:47223323:47231967:1 gene:B456_013G178800 transcript:KJB82151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIVLYKQGWKWLKSQKHVYSKAKTAVGCCRDKMGLLIEKHWPMVCSAFLNLWKFSRLLLVYWKDCLVRGFQSCSRLGSASLLVIMWSCFLSLTSMSRLLYLLLSMGAAGAAIQNLGYTPGLFIVGLFSILILWMYANFWMTGTLFIVGGYMFSLSHARLIVLIATTYSIYFVKDRVGWLGVFLSINLAFLSNDVLNYLVQRFDNVSETAHYEEPKESKPVMEDDLSGECEYSNPSIDSEKVQPCKSSSKSATTSVINQKEVSAKRVVKEETSSADEMTRILNCTDHYQALGIPRHTKIDTAVLKKEYKKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDSVKKRDYDEQLRKEESRTRSVCQESHSSSRQQPSPDYCSEESRRIQCTKCGNSHIWVCTNRNKAKARWCQDCCQYHQAKDGDGWVEYKGSLVFDRPQKKQLHLPVLKQLLTLSIKCTWVFKIPDGQFQGFHLSSLCFMTGQVLTKMNHNCLITKCGET >KJB82475 pep chromosome:Graimondii2_0_v6:13:50309119:50316312:-1 gene:B456_013G197500 transcript:KJB82475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLERMDVGVKVRKFIVISVRTCCSFVCNHPFLVGLACFLIFLYRSFPLLFSFLVTASPVLVCTAVLLGTLLSFGSPNIPEIDEHEEENVSHEVSPLKTGVSEDDTVVKRDFTDDDFVVERHVGKMWDIVENAGEKVSLVDNEVNEVEEGVCSVLYKPLINDDLDSRNVHCENGMIDEVEGLLNHSLLEKMTGIWGEMLESERLSSMRRAEESQHLLADEVGDRNVELGDGKLTSNIDDVPRGNELDSSLVSSWKCVTGDEDAGDGDKDDDDNDDDDESSDSGSDGAESSSPDASLADISLMLDELHPLLGSEAIQAAQLSRHGLDSASESSHGSSDDESDESENKGEGENNEEEGGAKGDNEDESKSAIKWTEDDQKNLMDLGSLEVERNLRLDKLIARRRARKSMRLMAEKNLIDLDFADIPLNLAPISTSRGNPFDLPYSYDDLGLPPIPGSAPSNLQPRRNPFDLPYDSSEEKPDLKGDSFQEEFSGFNQRGTNSQREAFFSRHESFNVGSSSLGVPRQELKWKPYFVPEQLVTEGASPSLFQRQSSEVSESKMSSIPDSESVSSVVDEEDNKPNKQDVSRETELILNEDHVSVAEQESHSSDSDDVESVDVYQVENRDVHHDVVEITLGDGESHLEIEPVSEAGATNHSEHTASEAENRDVHHDTVVITLGDVARATTYVGLNATEVHPRTEPAEEDYSSRSSLSSLSEIDEKISDVKGVGSAGCEPRDNELKESGISKQPSFEESEFHFTSGVVDDNQHTESIFYSSFHPPSVETFLSFSTVSSDKQAEISEMGSPSMLVESIDEKHEAHGEMAEQGTSSFQVMHGGSSDLLNGNELRERDLPEISKHEVTFAGLTMVSSTSADYNASMVPEYVVEYVSREARSSSDEGLEEDVPNKEESSIQNHVDLLSLGAETTLAIDEGMGEVVDSSPEEQQHQRHPNESSEGNIWEEHKKESEMDQTQAPFSDSKTNTGCDEGVPSNSSHQDMSSRESPSSESEKQLLFGKDELPVDEHDKLEEPSIIATESTRGADIVNTDTNVHEVDDSEDKLSANFSSMTSGSSSLPSKIVVHTLPMDQEDLKEKVLKEIENEGPDEHFSYADVYAPRVDEENNNEEVDEIKEIDERILSELDTVGDFNVGEIGLPERSHVAYTESAMLADDMETETSVGLPVLETRSVEDIDLAFKQLHEGVDFEEVILPSMIKNQPDHADTNSDLPVVEARSLEDIHNALQQDPEPNLAQLPHSTDLRIGSSEVEQHVVVSSEEIEVSNAVSGIEEGCDNAAGEPKNEEEIEELKTETNVELPVLEARSVEDIDLAFKQLHEGVDVEEVILPSMIENLPDHTDTTSEFPVVEARSLDDIHNAFIKGPEPNPAELPHSADLRHGSSEVELHDVVSSNEIEVGQAVSGFEEHLENVAAGEPKKEYEEIEELKMETNAELPVLDARSVEDIDLAFKQLHEGVDVEEIILPSMIENQQGDADTNSDLPVVEARSLEDIQSAFQQGPESNLAEVPHSSGVEHPDVVSTEEIDGSSPVSGIQALSENVASGPKNENKEMVEKEMETEVVLPVLEAKSVEDIDVAFKQLHEGVDGEEIIIPSMIVNQQVHADISSTLPVVEARSLEDIHNAFQQGPKSNPGEQPHSSDLRIGSSKIERHDEISTKEIEASDVVSGFQEHAENAAGEPEQKHHEE >KJB82472 pep chromosome:Graimondii2_0_v6:13:50309557:50315698:-1 gene:B456_013G197500 transcript:KJB82472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLERMDVGVKVRKFIVISVRTCCSFVCNHPFLVGLACFLIFLYRSFPLLFSFLVTASPVLVCTAVLLGTLLSFGSPNIPEIDEHEEENVSHEVSPLKTGVSEDDTVVKRDFTDDDFVVERHVGKMWDIVENAGEKVSLVDNEVNEVEEGVCSVLYKPLINDDLDSRNVHCENGMIDEVEGLLNHSLLEKMTGIWGEMLESERLSSMRRAEESQHLLADEVGDRNVELGDGKLTSNIDDVPRGNELDSSLVSSWKCVTGDEDAGDGDKDDDDNDDDDESSDSGSDGAESSSPDASLADISLMLDELHPLLGSEAIQAAQLSRHGLDSASESSHGSSDDESDESENKGEGENNEEEGGAKGDNEDESKSAIKWTEDDQKNLMDLGSLEVERNLRLDKLIARRRARKSMRLMAEKNLIDLDFADIPLNLAPISTSRGNPFDLPYSYDDLGLPPIPGSAPSNLQPRRNPFDLPYDSSEEKPDLKGDSFQEEFSGFNQRGTNSQREAFFSRHESFNVGSSSLGVPRQELKWKPYFVPEQLVTEGASPSLFQRQSSEVSESKMSSIPDSESVSSVVDEEDNKPNKQDVSRETELILNEDHVSVAEQESHSSDSDDVESVDVYQVENRDVHHDVVEITLGDGESHLEIEPVSEAGATNHSEHTASEAENRDVHHDTVVITLGDVARATTYVGLNATEVHPRTEPAEEDYSSRSSLSSLSEIDEKISDVKGVGSAGCEPRDNELKESGISKQPSFEESEFHFTSGVVDDNQHTESIFYSSFHPPSVETFLSFSTVSSDKQAEISEMGSPSMLVESIDEKHEAHGEMAEQGTSSFQVMHGGSSDLLNGNELRERDLPEISKHEVTFAGLTMVSSTSADYNASMVPEYVVEYVSREARSSSDEGLEEDVPNKEESSIQNHVDLLSLGAETTLAIDEGMGEVVDSSPEEQQHQRHPNESSEGNIWEEHKKESEMDQTQAPFSDSKTNTGCDEGVPSNSSHQDMSSRESPSSESEKQLLFGKDELPVDEHDKLEEPSIIATESTRGADIVNTDTNVHEVDDSEDKLSANFSSMTSGSSSLPSKIVVHTLPMDQEDLKEKVLKEIENEGPDEHFSYADVYAPRVDEENNNEEVDEIKEIDERILSELDTVGDFNVGEIGLPERSHVAYTESAMLADDMETETSVGLPVLETRSVEDIDLAFKQLHEGVDFEEVILPSMIKNQPDHADTNSDLPVVEARSLEDIHNALQQDPEPNLAQLPHSTDLRIGSSEVEQHVVVSSEEIEVSNAVSGIEEGCDNAAGEPKNEEEIEELKTETNVELPVLEARSVEDIDLAFKQLHEGVDVEEVILPSMIENLPDHTDTTSEFPVVEARSLDDIHNAFIKGPEPNPAELPHSADLRHGSSEVELHDVVSSNEIEVGQAVSGFEEHLENVAAGEPKKEYEEIEELKMETNAELPVLDARSVEDIDLAFKQLHEGVDVEEVVLASMIEDQLDHEDSTSKLPVVEARSLEDIHNAFQKGPEPNLAELPLSTDLRNGSSEMEQHDVVSNKEIEVGCAVSGIQENSQNSAGEPKNDHEETEEMKMETNAELPVLEARSLEDIDLAFKQFHEGVDVEEIILPSMIENQQGDADTNSDLPVVEARSLEDIQSAFQQGPESNLAEVPHSSGVEHPDVVSTEEIDGSSPVSGIQALSENVASGPKNENKEMVEKEMETEVVLPVLEAKSVEDIDVAFKQLHEGVDGEEIIIPSMIVNQQVHADISSTLPVVEARSLEDIHNAFQQGPKSNPGEQPHSSDLRIGSSKIERHDEISTKEIEASDVVSGFQEHAENAAGEPEQKHHEE >KJB82473 pep chromosome:Graimondii2_0_v6:13:50309557:50315698:-1 gene:B456_013G197500 transcript:KJB82473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLERMDVGVKVRKFIVISVRTCCSFVCNHPFLVGLACFLIFLYRSFPLLFSFLVTASPVLVCTAVLLGTLLSFGSPNIPEIDEHEEENVSHEVSPLKTGVSEDDTVVKRDFTDDDFVVERHVGKMWDIVENAGEKVSLVDNEVNEVEEGVCSVLYKPLINDDLDSRNVHCENGMIDEVEGLLNHSLLEKMTGIWGEMLESERLSSMRRAEESQHLLADEVGDRNVELGDGKLTSNIDDVPRGNELDSSLVSSWKCVTGDEDAGDGDKDDDDNDDDDESSDSGSDGAESSSPDASLADISLMLDELHPLLGSEAIQAAQLSRHGLDSASESSHGSSDDESDESENKGEGENNEEEGGAKGDNEDESKSAIKWTEDDQKNLMDLGSLEVERNLRLDKLIARRRARKSMRLMAEKNLIDLDFADIPLNLAPISTSRGNPFDLPYSYDDLGLPPIPGSAPSNLQPRRNPFDLPYDSSEEKPDLKGDSFQEEFSGFNQRGTNSQREAFFSRHESFNVGSSSLGVPRQELKWKPYFVPEQLVTEGASPSLFQRQSSEVSESKMSSIPDSESVSSVVDEEDNKPNKQDVSRETELILNEDHVSVAEQESHSSDSDDVESVDVYQVENRDVHHDVVEITLGDGESHLEIEPVSEAGATNHSEHTASEAENRDVHHDTVVITLGDVARATTYVGLNATEVHPRTEPAEEDYSSRSSLSSLSEIDEKISDVKGVGSAGCEPRDNELKESGISKQPSFEESEFHFTSGVVDDNQHTESIFYSSFHPPSVETFLSFSTVSSDKQAEISEMGSPSMLVESIDEKHEAHGEMAEQGTSSFQVMHGGSSDLLNGNELRERDLPEISKHEVTFAGLTMVSSTSADYNASMVPEYVVEYVSREARSSSDEGLEEDVPNKEESSIQNHVDLLSLGAETTLAIDEGMGEVVDSSPEEQQHQRHPNESSEGNIWEEHKKESEMDQTQAPFSDSKTNTGCDEGVPSNSSHQDMSSRESPSSESEKQLLFGKDELPVDEHDKLEEPSIIATESTRGADIVNTDTNVHEVDDSEDKLSANFSSMTSGSSSLPSKIVVHTLPMDQEDLKEKVLKEIENEGPDEHFSYADVYAPRVDEENNNEEVDEIKEIDERILSELDTVGDFNVGEIGLPERSHVAYTESAMLADDMETETSVGLPVLETRSVEDIDLAFKQLHEGVDFEEVILPSMIKNQPDHADTNSDLPVVEARSLEDIHNALQQDPEPNLAQLPHSTDLRIGSSEVEQHVVVSSEEIEVSNAVSGIEEGCDNAAGEPKNEEEIEELKTETNVELPVLEARSVEDIDLAFKQLHEGVDVEEVILPSMIENLPDHTDTTSEFPVVEARSLDDIHNAFIKGPEPNPAELPHSADLRHGSSEVELHDVVSSNEIEVGQAVSGFEEHLENVAAGEPKKEYEEIEELKMETNAELPVLDARSVEDIDLAFKQLHEGVDVEEVVLASMIEDQLDHEDSTSKLPVVEARSLEDIHNAFQKGPEPNLAELPLSTDLRNGSSEMEQHDVVSNKEIEVENSQNSAGEPKNDHEETEEMKMETNAELPVLEARSLEDIDLAFKQFHEGVDVEEIILPSMIENQQGDADTNSDLPVVEARSLEDIQSAFQQGPESNLAEVPHSSGVEHPDVVSTEEIDGSSPVSGIQALSENVASGPKNENKEMVEKEMETEVVLPVLEAKSVEDIDVAFKQLHEGVDGEEIIIPSMIVNQQVHADISSTLPVVEARSLEDIHNAFQQGPKSNPGEQPHSSDLRIGSSKIERHDEISTKEIEASDVVSGFQEHAENAAGEPEQKHHEE >KJB82474 pep chromosome:Graimondii2_0_v6:13:50309119:50316110:-1 gene:B456_013G197500 transcript:KJB82474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLERMDVGVKVRKFIVISVRTCCSFVCNHPFLVGLACFLIFLYRSFPLLFSFLVTASPVLVCTAVLLGTLLSFGSPNIPEIDEHEEENVSHEVSPLKTGVSEDDTVVKRDFTDDDFVVERHVGKMWDIVENAGEKVSLVDNEVNEVEEGVCSVLYKPLINDDLDSRNVHCENGMIDEVEGLLNHSLLEKMTGIWGEMLESERLSSMRRAEESQHLLADEVGDRNVELGDGKLTSNIDDVPRGNELDSSLVSSWKCVTGDEDAGDGDKDDDDNDDDDESSDSGSDGAESSSPDASLADISLMLDELHPLLGSEAIQAAQLSRHGLDSASESSHGSSDDESDESENKGEGENNEEEGGAKGDNEDESKSAIKWTEDDQKNLMDLGSLEVERNLRLDKLIARRRARKSMRLMAEKNLIDLDFADIPLNLAPISTSRGNPFDLPYSYDDLGLPPIPGSAPSNLQPRRNPFDLPYDSSEEKPDLKGDSFQEEFSGFNQRGTNSQREAFFSRHESFNVGSSSLGVPRQELKWKPYFVPEQLVTEGASPSLFQRQSSEVSESKMSSIPDSESVSSVVDEEDNKPNKQDVSRETELILNEDHVSVAEQESHSSDSDDVESVDVYQVENRDVHHDVVEITLGDGESHLEIEPVSEAGATNHSEHTASEAENRDVHHDTVVITLGDVARATTYVGLNATEVHPRTEPAEEDYSSRSSLSSLSEIDEKISDVKGVGSAGCEPRDNELKESGISKQPSFEESEFHFTSGVVDDNQHTESIFYSSFHPPSVETFLSFSTVSSDKQAEISEMGSPSMLVESIDEKHEAHGEMAEQGTSSFQVMHGGSSDLLNGNELRERDLPEISKHEVTFAGLTMVSSTSADYNASMVPEYVVEYVSREARSSSDEGLEEDVPNKEESSIQNHVDLLSLGAETTLAIDEGMGEVVDSSPEEQQHQRHPNESSEGNIWEEHKKESEMDQTQAPFSDSKTNTGCDEGVPSNSSHQDMSSRESPSSESEKQLLFGKDELPVDEHDKLEEPSIIATESTRGADIVNTDTNVHEVDDSEDKLSANFSSMTSGSSSLPSKIVVHTLPMDQEDLKEKVLKEIENEGPDEHFSYADVYAPRVDEENNNEEVDEIKEIDERILSELDTVGDFNVGEIGLPERSHVAYTESAMLADDMETETSVGLPVLETRSVEDIDLAFKQLHEGVDFEEVILPSMIKNQPDHADTNSDLPVVEARSLEDIHNALQQDPEPNLAQLPHSTDLRIGSSEVEQHVVVSSEEIEVSNAVSGIEEGCDNAAGEPKNEEEIEELKTETNVELPVLEARSVEDIDLAFKQLHEGVDVEEVILPSMIENLPDHTDTTSEFPVVEARSLDDIHNAFIKGPEPNPAELPHSADLRHGSSEVELHDVVSSNEIEVGQAVSGFEEHLENVAAGEPKKEYEEIEELKMETNAELPVLDARSVEDIDLAFKQLHEGVDVEEVVLASMIEDQLDHEDSTSKLPVVEARSLEDIHNAFQKGPEPNLAELPLSTDLRNGSSEMEQHDEISTKEIEASDVVSGFQEHAENAAGEPEQKHHEE >KJB78497 pep chromosome:Graimondii2_0_v6:13:426360:429256:1 gene:B456_013G006100 transcript:KJB78497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHPRARKRVHATIPRRSPNGSAFQKCDICGDMVAIALADMHECGPKKKELKKFKGISGTQNAVKPMVRLQPRSAFNIFWESFMEANKNGNLVDVDRKVFETWKNMSEEERKPYVTQAGKLNSAYMKDMTEADKNIVKVDDEADSAMVGKFDQFYEDYGYYETSDDDGEPYHSGGFESLNTTERRETAIEGGT >KJB78496 pep chromosome:Graimondii2_0_v6:13:426246:429304:1 gene:B456_013G006100 transcript:KJB78496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHPRARKRVHATIPRRSPNGSAFQKCDICGDMVAIALADMHECGPKKKELKKFKGISGTQNAVKPMVRLQPRSAFNIFWESFMEANKNGNLVDVDRKVFETWKNMSEEERKPYVTQAGKLNSAYMKDMTEADKNIVKVDDEADSAMVGKFDQFYEDYGYYETSDDDGEPYHSGGFESLNTTERETAIEGGT >KJB80104 pep chromosome:Graimondii2_0_v6:13:12232428:12235203:1 gene:B456_013G084700 transcript:KJB80104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) UniProtKB/Swiss-Prot;Acc:Q9ZVH3] MDLSDIARKLGLSEHKLLVRKAAELRRLCDVQFDSSIIGVGEVCKAVICLEIAATRFGEVIFDRQKAVKLSGMSEKAYNRSFNSLQNGLNIKTKLDIRELGIQFGCVRLIPFVKKGLSLYKDRFLVSLPSSRQASADFTRPVFTAVAFYLCAKKHKLKIDKVRLIEVCGTSESEFSCVSTSMKDLCHDVFGISSEKKDPKEVKGNRELLDVLPEKRKFDDGGYLSDEGPEQPFLLILSL >KJB80106 pep chromosome:Graimondii2_0_v6:13:12232428:12235203:1 gene:B456_013G084700 transcript:KJB80106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) UniProtKB/Swiss-Prot;Acc:Q9ZVH3] MDLSDIARKLGLSEHKLLVRKAAELRRLCDVQFDSSIIGVGEVCKAVICLEIAATRFGEVIFDRQKAVKLSGMSEKAYNRSFNSLQNGLNIKTKLDIRELGIQFGCVRLIPFVKKGLSLYKDRFLVSLPSSRQASADFTRPVFTAVAFYLCAKKHKLKIDKVRLIEVCGTSESEFSCVSTSMKDLCHDVFGISSEKKDPKEVKGNRELLDVLPEKRKFDDGGYLSDEGPEG >KJB80102 pep chromosome:Graimondii2_0_v6:13:12232400:12235231:1 gene:B456_013G084700 transcript:KJB80102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) UniProtKB/Swiss-Prot;Acc:Q9ZVH3] MDLSDIARKLGLSEHKLLVRKAAELRRLCDVQFDSSIIGVGEVCKAVICLEIAATRFGEVIFDRQKAVKLSGMSEKAYNRSFNSLQNGLNIKTKLDIRELGIQFGCVRLIPFVKKGLSLYKDRFLVSLPSSRQASADFTRPVFTAVAFYLCAKKHKLKIDKVRLIEVCGTSESEFSCVSTSMKDLCHDVFGISSEKKDPKEVKGNRELLDVLPEKRKFDDGGYLSDEGPELSSYKRAKKMEKAGYEEWKSSVLSSNKKSAKALCKRTTQTSLDFLKEVSEAKELKAA >KJB80103 pep chromosome:Graimondii2_0_v6:13:12232611:12234185:1 gene:B456_013G084700 transcript:KJB80103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) UniProtKB/Swiss-Prot;Acc:Q9ZVH3] MDLSDIARKLGLSEHKLLVRKAAELRRLCDVQFDSSIIGVGEVCKAVICLEIAATRFGEVIFDRQKAVKLSGMSEKAYNRSFNSLQNGLNIKTKLDIRELGIQFGCVRLIPFVKKGLSLYKDRFLVSLPSSRQASADFTRPVFTAVAFYLCAKKHKLKIDKVRLIEVCGTSESEFSCVSTSMKDLCHDVFGISSEKKDPKEVKGNRELLDVLPEKRKFDDGGYLSDEGPEVILHYL >KJB80107 pep chromosome:Graimondii2_0_v6:13:12232428:12235203:1 gene:B456_013G084700 transcript:KJB80107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) UniProtKB/Swiss-Prot;Acc:Q9ZVH3] MDLSDIARKLGLSEHKLLVRKAAELRRLCDVQFDSSIIGVGEVCKAVICLEIAATRFGEVIFDRQKAVKLSGMSEKAYNRSFNSLQNGLNIKTKLDIRELGIQFGCVRLIPFVKKGLSLYKDRFLVSLPSSRQASADFTRPVFTAVAFYLCAKKHKLKIDKVRLIEVCGTSESEFSCVSTSMKDLCHDVFGISSEKKDPKEVKGNRELLDVLPEKRKFDDGGYLSDEGPEPFLLILSL >KJB80105 pep chromosome:Graimondii2_0_v6:13:12232428:12235203:1 gene:B456_013G084700 transcript:KJB80105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) UniProtKB/Swiss-Prot;Acc:Q9ZVH3] MDLSDIARKLGLSEHKLLVRKAAELRRLCDVQFDSSIIGVGEVCKAVICLEIAATRFGEVIFDRQKAVKLSGMSEKAYNRSFNSLQNGLNIKTKLDIRELGIQFGCVRLIPFVKKGLSLYKDRFLVSLPSSRQASADFTRPVFTAVAFYLCAKKHKLKIDKVRLIEVCGTSESEFSCVSTSMKDLCHDVFGISSEKKDPKEVKGNRAFKLQASKENGESWL >KJB83149 pep chromosome:Graimondii2_0_v6:13:55005135:55006011:1 gene:B456_013G231600 transcript:KJB83149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHGNIKSSNILLRPDHEACISEFGLNSLFNTNTPPSRIGGYQAPEVIQTHKVTVKSDVYSFGVLLLELLTGRAPIQPSITEEGFDLPRWVQSVVREEWAAEVFDAELMAYHNIEEEMVQALQIAMVCVSTVPDQRPVMSEVARMIGDMIDRGGTNGGTAAAI >KJB82398 pep chromosome:Graimondii2_0_v6:13:49594397:49595967:1 gene:B456_013G194300 transcript:KJB82398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKILASSSHSFENECKEIHESRGRWNHLIQALVTRTQLECRRIRETYKDMYGEDLITLLQKSSLRNQPGVSPKTCAALSLWMLHPHERDAIVAREALLQDSDTNHQALVEIFVGRKSSHIALIKQAYLSKYKTQLDQDIINTEPPHPYQKILVALSTSHKAHQADVNQHTAKCDARRLYETGEGSPGAIDEGTVLEIFTKRSIPHLKLTFSCYKHIYGHDYTKSITDVNSGEFEDALKKVVKCICNPPNYYVKILFASIKGMTADRGAITRVMVSRSETEMDEIQRVFKAKYGIELRETICNSIPSGDYRDVLLALANKTV >KJB82399 pep chromosome:Graimondii2_0_v6:13:49594516:49595864:1 gene:B456_013G194300 transcript:KJB82399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKILASSSHSFENECKEIHESRGRWNHLIQALVTRTQLECRRIRETYKDMYGEDLITLLQKSSLRNQPGVSPKTCAALSLWMLHPHERDAIVAREALLQDSDTNHQALVEIFVGRKSSHIALIKQAYLSKYKTQLDQDIINTEPPHPYQKILVALSTSHKAHQADVNQHTAKCDARRLYETGEGSPGAIDEGTVLEIFTKRSIPHLKLTFSCYKHIYGHDYTKVNLFLFLPACQVQAS >KJB81463 pep chromosome:Graimondii2_0_v6:13:40331646:40337787:-1 gene:B456_013G146800 transcript:KJB81463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVKNSGLPLNKEERIKHFKYLISLLYPFLKQFNEEQMKEIELQAKIQGLRSSEMELLRAVSSDYERLYCNNCKTSIVDLHRVCPKCSYELCLTCCWEIRGKCLRGGDKMVQRYLDRGKAYLHGGEPLSLDKEKNKTSSRKHVKLPSEWQVKGNGDIPCPVEKLGGCGHKCLELKCMLPANWVSMLKIKAERLVKLHKLDNGLGTLTGHCSCLFDNEIGVVNDAIQEHGSKERLYSPLAKDLQQGDLEHFQWHWIKGEPVIVRNVHELTSGLSWEPMVLWRAFRDVSNKKGSSNVNVRAIDCLDLCEVELNIHKFFMGYLEGCVHSNSWPQILKLKDWPPSNHFEELLPRHCAEFVSSLPFLEYTNPFSGILNMAAKLPANILKPDLGPKTYIAYGFVEELGRGDSVTKLHFDMSDAVNVLVHSAEVIHTSDQLADIEILKMRHVRQDQMELYGNYRDSNLPLEEQVGMDFWPKHLKMIGITSKKEVNPCQCSDSTTKLLMKTLGFQNEENSKLDKESNGRIKEAHISDTSFSNMHFPNGWDEDSCLLMKGQVDADVMVKVVKSPKRKSRTRKKKVKSCQTSLLVQNKEELEVGESNRKIYKTHSDKAIDACLTNEASGGGALWDIFRRQDVPKLEEYLRKHHREFRHVYCSPVDQVVHPIHDQTFYLNMHHKRKLKEEFGVEPWTIIQKLGEAIFIPAGCPHQVRNLKSCTKVALDFVSPENIHECIRLTEEFRVLPHEHRSKEDKLEVKKMMLHALKYAVEELEKLTA >KJB81461 pep chromosome:Graimondii2_0_v6:13:40331498:40336066:-1 gene:B456_013G146800 transcript:KJB81461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNVAAGLRSSEMELLRAVSSDYERLYCNNCKTSIVDLHRVCPKCSYELCLTCCWEIRGKCLRGGDKMVQRYLDRGKAYLHGGEPLSLDKEKNKTSSRKHVKLPSEWQVKGNGDIPCPVEKLGGCGHKCLELKCMLPANWVSMLKIKAERLVKLHKLDNGLGTLTGHCSCLFDNEIGVVNDAIQEHGSKERLYSPLAKDLQQGDLEHFQWHWIKGEPVIVRNVHELTSGLSWEPMVLWRAFRDVSNKKGSSNVNVRAIDCLDLCEVELNIHKFFMGYLEGCVHSNSWPQILKLKDWPPSNHFEELLPRHCAEFVSSLPFLEYTNPFSGILNMAAKLPANILKPDLGPKTYIAYGFVEELGRGDSVTKLHFDMSDAVNVLVHSAEVIHTSDQLADIEILKMRHVRQDQMELYGNYRDSNLPLEEQVGMDFWPKHLKMIGITSKKEVNPCQCSDSTTKLLMKTLGFQNEENSKLDKESNGRIKEAHISDTSFSNMHFPNGWDEDSCLLMKGQVDADVMVKVVKSPKRKSRTRKKKVKSCQTSLLVQNKEELEVGESNRKIYKTHSDKAIDACLTNEASGGGALWDIFRRQDVPKLEEYLRKHHREFRHVYCSPVDQVVHPIHDQTFYLNMHHKRKLKEEFGVEPWTIIQKLGEAIFIPAGCPHQVRNLKSCTKVALDFVSPENIHECIRLTEEFRVLPHEHRSKEDKLEVKKMMLHALKYAVEELEKLTA >KJB81462 pep chromosome:Graimondii2_0_v6:13:40331498:40337787:-1 gene:B456_013G146800 transcript:KJB81462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGGGYCHQCHQLKSRVQFCRKCQRKRYCDSCIKKWYPRFPEKAIAGSCPFCRKICNCKSCLRSDQLMKDVKNSGLPLNKEERIKHFKYLISLLYPFLKQFNEEQMKEIELQAKIQGLRSSEMELLRAVSSDYERLYCNNCKTSIVDLHRVCPKCSYELCLTCCWEIRGKCLRGGDKMVQRYLDRGKAYLHGGEPLSLDKEKNKTSSRKHVKLPSEWQVKGNGDIPCPVEKLGGCGHKCLELKCMLPANWVSMLKIKAERLVKLHKLDNGLGTLTGHCSCLFDNEIGVVNDAIQEHGSKERLYSPLAKDLQQGDLEHFQWHWIKGEPVIVRNVHELTSGLSWEPMVLWRAFRDVSNKKGSSNVNVRAIDCLDLCEVELNIHKFFMGYLEGCVHSNSWPQILKLKDWPPSNHFEELLPRHCAEFVSSLPFLEYTNPFSGILNMAAKLPANILKPDLGPKTYIAYGFVEELGRGDSVTKLHFDMSDAVNVLVHSAEVIHTSDQLADIEILKMRHVRQDQMELYGNYRDSNLPLEEQVGMDFWPKHLKMIGITSKKEVNPCQCSDSTTKLLMKTLGFQNEENSKLDKESNGRIKEAHISDTSFSNMHFPNGWDEDSCLLMKGQVDADVMVKVVKSPKRKSRTRKKKVKSCQTSLLVQNKEELEVGESNRKIYKTHSDKAIDACLTNEASGGGALWDIFRRQDVPKLEEYLRKHHREFRHVYCSPVDQVVHPIHDQTFYLNMHHKRKLKEEFGVEPWTIIQKLGEAIFIPAGCPHQVRNLKSCTKVALDFVSPENIHECIRLTEEFRVLPHEHRSKEDKLEVKKMMLHALKYAVEELEKLTA >KJB80457 pep chromosome:Graimondii2_0_v6:13:17507305:17510320:-1 gene:B456_013G098600 transcript:KJB80457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH49 [Source:Projected from Arabidopsis thaliana (AT1G68920) UniProtKB/Swiss-Prot;Acc:Q9CAA9] MDVGEKDKYELEKRNDNSLNYQASSMSSAWQFGGSNLTSTPMSLVSSDNPLVIGSSSASASMGDSFCSNLWEHPSNSQNLGFCDINVQNGASSSNAMGIGRGGPASVRGSIDRPFEMGWNASSSMLKGGIFLPNASGVLPSSLSQLPTDSAFIERAAKFSSFNGGNFSDIVNPFGIPEAMGVYARGVGLMQGPQDVYTISGIKSISGVESQRSKLITTEASRDLPAENRATQESPLKNERNGGSLVRSNEEAKQGNGGSGNESNEAESSGGAGGHDEPSALDGTAGESSAKILSSKKRKRSVQEAEVDQAKGSQSPVEATKDGAENQQKVDQNQTTMVNKTTAKHGKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEVLEHNSQLNFSKPLVPW >KJB80456 pep chromosome:Graimondii2_0_v6:13:17506899:17509110:-1 gene:B456_013G098600 transcript:KJB80456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH49 [Source:Projected from Arabidopsis thaliana (AT1G68920) UniProtKB/Swiss-Prot;Acc:Q9CAA9] MDVGEKDKYELEKRNDNSLNYQASSMSSAWQFGGSNLTSTPMSLVSSDNPLVIGSSSASASMGDSFCSNLWEHPSNSQNLGFCDINVQNGASSSNAMGIGRGGPASVRGSIDRPFEMGWNASSSMLKGGIFLPNASGVLPSSLSQLPTDSAFIERAAKFSSFNGGNFSDIVNPFGIPEAMGVYARGVGLMQGPQDVYTISGIKSISGVESQRSKLITTEASRDLPAENRATQESPLKNERNGGSLVRSNEEAKQGNGGSGNESNEAESSGGAGGHDEPSALDGTAGESSAKILSSKKRKRSVQEAEVDQAKGSQSPVEATKDGAENQQKVDQNQTTMVNKTTAKHGKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRVDCNIEGLLAKDIIQSRAGPSTLGFSPDLSVGYPPLHPSQPGLGPGGFPVMENNADIIRRTLSSHFTPMTGGFKEPNQVLLLFFSL >KJB80453 pep chromosome:Graimondii2_0_v6:13:17505957:17510414:-1 gene:B456_013G098600 transcript:KJB80453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH49 [Source:Projected from Arabidopsis thaliana (AT1G68920) UniProtKB/Swiss-Prot;Acc:Q9CAA9] MDVGEKDKYELEKRNDNSLNYQASSMSSAWQFGGSNLTSTPMSLVSSDNPLVIGSSSASASMGDSFCSNLWEHPSNSQNLGFCDINVQNGASSSNAMGIGRGGPASVRGSIDRPFEMGWNASSSMLKGGIFLPNASGVLPSSLSQLPTDSAFIERAAKFSSFNGGNFSDIVNPFGIPEAMGVYARGVGLMQGPQDVYTISGIKSISGVESQRSKLITTEASRDLPAENRATQESPLKNERNGGSLVRSNEEAKQGNGGSGNESNEAESSGGAGGHDEPSALDGTAGESSAKILSSKKRKRSVQEAEVDQAKGSQSPVEATKDGAENQQKVDQNQTTMVNKTTAKHGKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRVDCNIEGLLAKDIIQSRAGPSTLGFSPDLSVGYPPLHPSQPGLGPGGFPVMENNADIIRRTLSSHFTPMTGGFKEPNQLSNAWEDELHNVVQMNYGTSTASDSQEVNGSQPSGHTKVEL >KJB80451 pep chromosome:Graimondii2_0_v6:13:17505968:17510363:-1 gene:B456_013G098600 transcript:KJB80451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH49 [Source:Projected from Arabidopsis thaliana (AT1G68920) UniProtKB/Swiss-Prot;Acc:Q9CAA9] MDVGEKDKYELEKRNDNSLNYQASSMSSAWQFGGSNLTSTPMSLVSSDNPLVIGSSSASASMGDSFCSNLWEHPSNSQNLGFCDINVQNGASSSNAMGIGRGGPASVRGSIDRPFEMGWNASSSMLKGGIFLPNASGVLPSSLSQLPTDSAFIERAAKFSSFNGGNFSDIVNPFGIPEAMGVYARGVGLMQGPQDVYTISGIKSISGVESQRSKLITTEASRDLPAENRATQESPLKNERNGGSLVRSNEEAKQGNGGSGNESNEAESSGGAGGHDEPSALDGTAGESSAKILSSKKRKRSVQEAEVDQAKGSQSPVEATKDGAENQQKVDQNQTTMVNKTTAKHGKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRVDCNIEGLLAKDIIQSRAGPSTLGFSPDLSVGYPPLHPSQPGLGPGGFPVMENNADIIRRTLSSHFTPMTGGFKEPNQLSNAWEDELHNVVQMNYGTSTASDSQEVNGSQPSGHTKVEL >KJB80455 pep chromosome:Graimondii2_0_v6:13:17505994:17510320:-1 gene:B456_013G098600 transcript:KJB80455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH49 [Source:Projected from Arabidopsis thaliana (AT1G68920) UniProtKB/Swiss-Prot;Acc:Q9CAA9] MDVGEKDKYELEKRNDNSLNYQASSMSSAWQFGGSNLTSTPMSLVSSDNPLVIGSSSASASMGDSFCSNLWEHPSNSQNLGFCDINVQNGASSSNAMGIGRGGPASVRGSIDRPFEMGWNASSSMLKGGIFLPNASGVLPSSLSQLPTDSAFIERAAKFSSFNGGNFSDIVNPFGIPEAMGVYARGVGLMQGPQDVYTISGIKSISGVESQRSKLITTEASRDLPAENRATQESPLKNERNGGSLVRSNEEAKQGNGGSGNESNEAESSGGAGGHDEPSALDGTAGESSAKILSSKKRKRSVQEAEVDQAKGSQSPVEATKDGAENQQKVDQNQTTMVNKTTAKHGKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRVDCNIEGLLAKDIIQSRAGPSTLGFSPDLSVGYPPLHPSQPGLGPGGFPVMENNADIIRRTLSSHFTPMTGGFKEPNQLSNAWEDELHNVVQMNYGTSTASDSQEVNGSQPSGHTKVEL >KJB80452 pep chromosome:Graimondii2_0_v6:13:17505994:17510320:-1 gene:B456_013G098600 transcript:KJB80452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH49 [Source:Projected from Arabidopsis thaliana (AT1G68920) UniProtKB/Swiss-Prot;Acc:Q9CAA9] MDVGEKDKYELEKRNDNSLNYQASSMSSAWQFGGSNLTSTPMSLVSSDNPLVIGSSSASASMGDSFCSNLWEHPSNSQNLGFCDINVQNGASSSNAMGIGRGGPASVRGSIDRPFEMGWNASSSMLKGGIFLPNASGVLPSSLSQLPTDSAFIERAAKFSSFNGGNFSDIVNPFGIPEAMGVYARGVGLMQGPQDVYTISGIKSISGVESQRSKLITTEASRDLPAENRATQESPLKNERNGGSLVRSNEEAKQGNGGSGNESNEAESSGGAGGHDEPSALDGTAGESSAKILSSKKRKRSVQEAEVDQAKGSQSPVEATKDGAENQQKVDQNQTTMVNKTTAKHGKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRVDCNIEGLLAKDIIQSRAGPSTLGFSPDLSVGYPPLHPSQPGLGPGGFPVMENNADIIRRTLSSHFTPMTGGFKEPNQLSNAWEDELHNVVQMNYGTSTASDSQEVNGSQPSGHTKVEL >KJB80454 pep chromosome:Graimondii2_0_v6:13:17506899:17509110:-1 gene:B456_013G098600 transcript:KJB80454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH49 [Source:Projected from Arabidopsis thaliana (AT1G68920) UniProtKB/Swiss-Prot;Acc:Q9CAA9] MDVGEKDKYELEKRNDNSLNYQASSMSSAWQFGGSNLTSTPMSLVSSDNPLVIGSSSASASMGDSFCSNLWEHPSNSQNLGFCDINVQNGASSSNAMGIGRGGPASVRGSIDRPFEMGWNASSSMLKGGIFLPNASGVLPSSLSQLPTDSAFIERAAKFSSFNGGNFSDIVNPFGIPEAMGVYARGVGLMQGPQDVYTISGIKSISGVESQRSKLITTEASRDLPAENRATQESPLKNERNGGSLVRSNEEAKQGNGGSGNESNEAESSGGAGGHDEPSALDGTAGESSAKILSSKKRKRSVQEAEVDQAKGSQSPVEATKDGAENQQKVDQNQTTMVNKTTAKHGKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRVDCNIEGLLAKDIIQSRAGPSTLGFSPDLSVGYPPLHPSQPGLGPGGFPVMENNADIIRRTLSSHFTPMTGGFKEPNQVLLLFFSL >KJB82350 pep chromosome:Graimondii2_0_v6:13:49257515:49259773:1 gene:B456_013G191500 transcript:KJB82350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPIKIAIADMLISFFFDPAATTAFYAAGSGADTLISMALRFPAQAFGAVGGALAIMEAIPEQYKHMIEGPSVKVDTHTGAFAEGVLTFAITFIVLLIMLRGPQSEIFKTWFLSIVIVTLVTFGSAYTGPSMNPAFAFGWAYVHNQHDTWDHFYIYWICPFIGATLAGWVFRQFFSLPPVKVKKT >KJB82349 pep chromosome:Graimondii2_0_v6:13:49257464:49259795:1 gene:B456_013G191500 transcript:KJB82349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPIKIAIADMLISFLWVFCSSAFGLLTYFITTAAGVQTVPWASIVIITVVFFVFLSIFNIIGGFLGGASFDPAATTAFYAAGSGADTLISMALRFPAQAFGAVGGALAIMEAIPEQYKHMIEGPSVKVDTHTGAFAEGVLTFAITFIVLLIMLRGPQSEIFKTWFLSIVIVTLVTFGSAYTGPSMNPAFAFGWAYVHNQHDTWDHFYIYWICPFIGATLAGWVFRQFFSLPPVKVKKT >KJB82351 pep chromosome:Graimondii2_0_v6:13:49257522:49258767:1 gene:B456_013G191500 transcript:KJB82351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPIKIAIADMLISFLWVFCSSAFGLLTYFITTAAGVQTVPWASIVIITVVFFVFLSIFNIIGGFLGGASFDPAATTAFYAAGSGADTLISMALRFPAQAFGAVGGALAIMEAIPEQYKHMIEGPSVKVDTHTGAFAEGVLTFAITFIVLLIMLRGPQSEIFKTWFLSIVIVTLVTFGSAYTGPSMNPAFVSFALSIPIWYSTLNCV >KJB80257 pep chromosome:Graimondii2_0_v6:13:13959385:13961932:-1 gene:B456_013G089200 transcript:KJB80257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYLPATTDSIARALEAKTPSEAISILYRVLQNPSSAPDAVRIKEQAITNLSDLLRQENRAEELKSLLAQLRPFFALIPKAKTAKIVRGIIDDVAKIPGTSDLQISLCKEVVQWTRAEKRTFLRQRVEAKLAGLLMENKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGNIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPELDAMKAVADAHAKRSLKLFEIALCDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPTTLETISNIGKVVDSLYVRSAKIMV >KJB80256 pep chromosome:Graimondii2_0_v6:13:13959679:13960947:-1 gene:B456_013G089200 transcript:KJB80256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYLPATTDSIARALEAKTPSEAISILYRVLQNPSSAPDAVRIKEQAITNLSDLLRQENRAEELKSLLAQLRPFFALIPKAKTAKIVRGIIDDVAKIPGTSDLQISLCKEVVQWTRAEKRTFLRQRVEAKLAGLLMENKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGNIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPELDAMKAVADAHAKRSLKLFEIALCDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPTTLETISNIGKVVDSLYVRSAKIMV >KJB80258 pep chromosome:Graimondii2_0_v6:13:13959385:13961855:-1 gene:B456_013G089200 transcript:KJB80258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYLPATTDSIARALEAKTPSEAISILYRVLQNPSSAPDAVRIKEQAITNLSDLLRQENRAEELKSLLAQLRPFFALIPKAKTAKIVRGIIDDVAKIPGTSDLQISLCKEVVQWTRAEKRTFLRQRVEAKLAGLLMENKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGNIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPELDAMKAVADAHAKRSLKLFEIALCDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPTTLETISNIGKVVDSLYVRSAKIMV >KJB80255 pep chromosome:Graimondii2_0_v6:13:13959385:13961099:-1 gene:B456_013G089200 transcript:KJB80255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLLTKMATIHAVKLGSGITMSSSYLPATTDSIARALEAKTPSEAISILYRVLQNPSSAPDAVRIKEQAITNLSDLLRQENRAEELKSLLAQLRPFFALIPKAKTAKIVRGIIDDVAKIPGTSDLQISLCKEVVQWTRAEKRTFLRQRVEAKLAGLLMENKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGNIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPELDAMKAVADAHAKRSLKLFEIALCDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPTTLETISNIGKVVDSLYVRSAKIMV >KJB83403 pep chromosome:Graimondii2_0_v6:13:56456653:56462173:1 gene:B456_013G245800 transcript:KJB83403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPTTARDSSSPTRIVARSSMMDWIRACGLSGLAGMKIDKEELRRRLSMPQYLRLAMLDSIKKKDVDGGDEHFRSRSSDYDGTVPQSPIVVFINSRSGGRHGPVLKERLQQLISEEQVFDLLDVKPHEFVRYGLACLEKWGNNGDICAKETRRNIRVVVAGGDGTVGWVLGCLGELHQKGRDPVPPVAVIPLGTGNDLSRSYGWGGSFPFAWKSAIKRTLHQATTGPICRLDSWHVVLQMPGGEVIDPPHSLKATEECHFDQTLEIDGGIPDKVNCYGGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPITNKIIYSSYSCTQGWFLTPCVSDPSLRGLKNILRMYIKKARCSEWEQIPVPRSVRAIVALNLHNYGSGRNPWGKLKPEYLEKV >KJB83402 pep chromosome:Graimondii2_0_v6:13:56456653:56461443:1 gene:B456_013G245800 transcript:KJB83402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPTTARDSSSPTRIVARSSMMDWIRACGLSGLAGMKIDKEELRRRLSMPQYLRLAMLDSIKKKDVDGGDEHFRSRSSDYDGTVPQSPIVVFINSRSGGRHGPVLKERLQQLISEEQVFDLLDVKPHEFVRYGLACLEKWGNNGDICAKETRRNIRVVVAGGDGTVGWVLGCLGELHQKGRDPVPPVAVIPLGTGNDLSRSYGWGGSFPFAWKSAIKRTLHQATTGPICRLDSWHVVLQMPGGEVIDPPHSLKATEECHFDQTLEIDGGIPDKVNCYGGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPITNKIIYSSYSCTQGWFLTPCVSDPSLRGLKNILRMYIKKARCSEWEQIPVPRRYIPNYLPLPCNTWASYLF >KJB83404 pep chromosome:Graimondii2_0_v6:13:56456686:56463245:1 gene:B456_013G245800 transcript:KJB83404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPTTARDSSSPTRIVARSSMMDWIRACGLSGLAGMKIDKEELRRRLSMPQYLRLAMLDSIKKKDVDGGDEHFRSRSSDYDGTVPQSPIVVFINSRSGGRHGPVLKERLQQLISEEQVFDLLDVKPHEFVRYGLACLEKWGNNGDICAKETRRNIRVVVCFYQRTTCFPLYSVSVAGGDGTVGWVLGCLGELHQKGRDPVPPVAVIPLGTGNDLSRSYGWGGSFPFAWKSAIKRTLHQATTGPICRLDSWHVVLQMPGGEVIDPPHSLKATEECHFDQTLEIDGGIPDKVNCYGGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPITNKIIYSSYSCTQGWFLTPCVSDPSLRGLKNILRMYIKKARCSEWEQIPVPRSVRAIVALNLHNYGSGRNPWGKLKPEYLEKRGFVEAHADDGLLEIFGLKQGWHASFVMVELISAKHIAQASSIRLEIRGGEWKDVFMQMDGEPWKQPMSSEYSTFLEIKRVPYQSLMINRE >KJB83401 pep chromosome:Graimondii2_0_v6:13:56456502:56463298:1 gene:B456_013G245800 transcript:KJB83401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPTTARDSSSPTRIVARSSMMDWIRACGLSGLAGMKIDKEELRRRLSMPQYLRLAMLDSIKKKDVDGGDEHFRSRSSDYDGTVPQSPIVVFINSRSGGRHGPVLKERLQQLISEEQVFDLLDVKPHEFVRYGLACLEKWGNNGDICAKETRRNIRVVVAGGDGTVGWVLGCLGELHQKGRDPVPPVAVIPLGTGNDLSRSYGWGGSFPFAWKSAIKRTLHQATTGPICRLDSWHVVLQMPGGEVIDPPHSLKATEECHFDQTLEIDGGIPDKVNCYGGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPITNKIIYSSYSCTQGWFLTPCVSDPSLRGLKNILRMYIKKARCSEWEQIPVPRSVRAIVALNLHNYGSGRNPWGKLKPEYLEKRGFVEAHADDGLLEIFGLKQGWHASFVMVELISAKHIAQASSIRLEIRGGEWKDVFMQMDGEPWKQPMSSEYSTFLEIKRVPYQSLMINRE >KJB83653 pep chromosome:Graimondii2_0_v6:13:57293983:57300388:1 gene:B456_013G256900 transcript:KJB83653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMDHYEIMEQIGRGAFGAAILVHHKSEKKKYVLKKIRLARQTERCRRSAHQEMALIARVQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGVYFPEDKLCKWFAQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKTLIKGMLRKNPEHRPSASELLKHPYLQPYVDQYRPSFSCPTNCSPDKHISSGRDNRKNMAESQNSNSSCSDKDSLPSSDRNTGTMVSNSNSKATDTDSISNDDEGTEPHPPREEENGPVIFSGKVDENGTRKPSHAEQGSNVQSKQPKTIKSIMMALKEGKVRENGSPMRGSRAKAVGGSTQRNNVEASPKVLKPPASTLVSKSNADTMTSASAKLPLDSARRIPGSHHLKHQSHLIEFSPKTKPRHEGIPPPVPGKHVAEDGLSSNPRLRTPPSNVARISSVMGRMKHAGTDASDGALNMPKLGSTEINPGSEAVPCQLPCHTNVSMEVTQEVEIAPTGASKGVETDSNNRVSSSISIQAFEICDHATTSFIDMTEQTHDHEITTGIKSLELHPASSSPASLQSKIPERESLGQDHESVMCSTEESVPTQDLLHFASANEKVGLSALLDLPVLNSEEISVHKDASAVNRTVSTDDAPLSRTSSRDVSISRPTSRDDAPSSRPSSRDDAPIIRLGVGEDALVSGQTTGEDCQISSSSNDTMLHSDETVASRPCSRHDTMLHSNLSSISSGDDKFTVMELLSSMAESTSCIASPISSIQNNSQPDKETNPTTTSRPPVFDNVIHVIRHSSFRVGNEQPVMEKVDTGVQNVDVGKLINAVRDELDTRNVTRPMNLKSSNVPDYPGIKEMDVRNSISSSPKLDSPDPTKPSSSLTEEETPAKETLDIKSFRQRAEALEGLLELSAELLQQNRLEELSVVLKPFGKHKVSPRETAIWLAKSLKGLMIEDSGRSS >KJB83654 pep chromosome:Graimondii2_0_v6:13:57293863:57300429:1 gene:B456_013G256900 transcript:KJB83654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMDHYEIMEQIGRGAFGAAILVHHKSEKKKYVLKKIRLARQTERCRRSAHQEMALIARVQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGVYFPEDKLCKWFAQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKTLIKGMLRKNPEHRPSASELLKHPYLQPYVDQYRPSFSCPTNCSPDKHISSGRDNRKNMAESQNSNSSCSDKDSLPSSDRNTGTMVSNSNSKATDTDSISNDDEGTEPHPPREEENGPVIFSGKVDENGTRKPSHAEQGSNVQSKQPKTIKSIMMALKEGKVRENGSPMRGSRAKAVGGSTQRNNVEASPKVLKPPASTLVSKSNADTMTSASAKLPLDSARRIPGSHHLKHQSHLIEFSPKTKPRHEGIPPPVPGKHVAEDGLSSNPRLRTPPSNVARISSVMGRMKHAGTDASDGALNMPKLGSTEINPGSEAVPCQLPCHTNVSMEVTQEVEIAPTGASKGVETDSNNRVSSSISIQAFEICDHATTSFIDMTEQTHDHEITTGIKSLELHPASSSPASLQSKIPERESLGQDHESVMCSTEESVPTQDLLHFASANEKVGLSALLDLPVLNSEEISVHKDASAVNRTVSTDDAPLSRTSSRDVSISRPTSRDDAPSSRPSSRDDAPIIRLGVGEDALVSGQTTGEDCQISSSSNDTMLHSDETVASRPCSRHDTMLHSNLSSISSGDDKFTVMELLSSMAESTSCIASPISSIQNNSQPDKETNPTTTSRPPVFDNVIHVIRHSSFRVGNEQPVMEKVDTGVQNVDVGKLINAVRDELDTRNVTRPMNLKSSNVPDYPGIKEMDVRNSISSSPKLDSPDPTKPSSSLTEEETPAKETLDIKSFRQRAEALEGLLELSAELLQQNRLEELSVVLKPFGKHKVSPRETAIWLAKSLKGLMIEDSGRSS >KJB83655 pep chromosome:Graimondii2_0_v6:13:57295074:57299753:1 gene:B456_013G256900 transcript:KJB83655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMNTVQKLCKWFAQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKTLIKGMLRKNPEHRPSASELLKHPYLQPYVDQYRPSFSCPTNCSPDKHISSGRDNRKNMAESQNSNSSCSDKDSLPSSDRNTGTMVSNSNSKATDTDSISNDDEGTEPHPPREEENGPVIFSGKVDENGTRKPSHAEQGSNVQSKQPKTIKSIMMALKEGKVRENGSPMRGSRAKAVGGSTQRNNVEASPKVLKPPASTLVSKSNADTMTSASAKLPLDSARRIPGSHHLKHQSHLIEFSPKTKPRHEGIPPPVPGKHVAEDGLSSNPRLRTPPSNVARISSVMGRMKHAGTDASDGALNMPKLGSTEINPGSEAVPCQLPCHTNVSMEVTQEVEIAPTGASKGVETDSNNRVSSSISIQAFEICDHATTSFIDMTEQTHDHEITTGIKSLELHPASSSPASLQSKIPERESLGQDHESVMCSTEESVPTQDLLHFASANEKVGLSALLDLPVLNSEEISVHKDASAVNRTVSTDDAPLSRTSSRDVSISRPTSRDDAPSSRPSSRDDAPIIRLGVGEDALVSGQTTGEDCQISSSSNDTMLHSDETVASRPCSRHDTMLHSNLSSISSGDDKFTVMELLSSMAESTSCIASPISSIQNNSQPDKETNPTTTSRPPVFDNVIHVIRHSSFRVGNEQPVMEKVDTGVQNVDVGKLINAVRDELDTRNVTRPMNLKSSNVPDYPGIKEMDVRNSISSSPKLDSPDPTKPSSSLTEEETPAKETLDIKSFRQRAEALEGLLELSAELLQQNRLEELSVVLKPFGKHKVSPRETAIWLAKSLKGLMIEDSGRSS >KJB83652 pep chromosome:Graimondii2_0_v6:13:57293863:57300145:1 gene:B456_013G256900 transcript:KJB83652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMDHYEIMEQIGRGAFGAAILVHHKSEKKKYVLKKIRLARQTERCRRSAHQEMALIARVQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGVYFPEDKLCKWFAQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKTLIKGMLRKNPEHRPSASELLKHPYLQPYVDQYRPSFSCPTNCSPDKHISSGRDNRKNMAESQNSNSSCSDKDSLPSSDRNTGTMVSNSNSKATDTDSISNDDEGTEPHPPREEENGPVIFSGKVDENGTRKPSHAEQGSNVQSKQPKTIKSIMMALKEGKVRENGSPMRGSRAKAVGGSTQRNNVEASPKVLKPPASTLVSKSNADTMTSASAKLPLDSARRIPGSHHLKHQSHLIEFSPKTKPRHEGIPPPVPGKHVAEDGLSSNPRLRTPPSNVARISSVMGRMKHAGTDASDGALNMPKLGSTEINPGSEAVPCQLPCHTNVSMEVTQEVEIAPTGASKGVETDSNNRVSSSISIQAFEICDHATTSFIDMTEQTHDHEITTGIKSLELHPASSSPASLQSKIPERESLGQDHESVMCSTEESVPTQDLLHFASANEKVGLSALLDLPVLNSEEISVHKDASAVNRTVSTDDAPLSRTSSRDVSISRPTSRDDAPSSRPSSRDDAPIIRLGVGEDALVSGQTTGEDCQISSSSNDTMLHSDETVASRPCSRHDTMLHSNLSSISSGDDKFTVMELLSSMAESTSCIASPISSIQNNSQPDKETNPTTTSRPPVFDNVIHVIRHSSFRVGNEQPVMEKVDTGVQNVDVGKLINAVRDELDTRNVTRPMNLKSSNVPDYPGIKEMDVRNSISSSPKLDSPDPTKPSSSLTEEETPAKETLDIKSFRQRAEALEGLLELSAELLQQNRLEELSVVLKPFGKHKVSPRETAIWLAKSLKGLMIEDSGRSS >KJB82943 pep chromosome:Graimondii2_0_v6:13:57491695:57494529:1 gene:B456_013G259900 transcript:KJB82943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITRAMLRSLIGGFTRPVQYLGTVRSFSTANKFDGNIPNSGSFESSEEFEGRIFGGFSSDSPKAQAFYEKLDKVERSRYRFGPSMANRDEPMTDGLDESFSTLSDGMDMKLKKAATYFEFDLNEVQKNDYAFRPDVTFHSGSTYEPKDLDLRRPGVRKPPKTATFEVTTEEVLKKADFRNVKFLAQFLTEAGIIIKRSSTGISAKAQRKVAREIKTARAFGLMPFTTMGTKSFVFGRSMEVDNKDYEYEAFDDMTPAMDEKDA >KJB82941 pep chromosome:Graimondii2_0_v6:13:57491821:57494529:1 gene:B456_013G259900 transcript:KJB82941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITRAMLRSLIGGFTRPVQYLGTVRSFSTANKFDGNIPNSGSFESSEEFEGRIFGGFSSDSPKAQAFYEKLDKVERSRYRFGPSMANRDEPMTDGLDESFSTLSDGMDMKLKKAATYFEFDLNEVQKNDYAFRPDVTFHSGSTYEPKDLDLRRPGVRKPPKTATFEVTTEEVLKKADFRNVKFLAQFLTEAGIIIKRSSTGISAKAQRKVAREIKTARAFGLMPFTTMGTKSFVFGRSMEVDNKDYEYEAFDDMTPAMDEKDA >KJB82942 pep chromosome:Graimondii2_0_v6:13:57491805:57493091:1 gene:B456_013G259900 transcript:KJB82942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITRAMLRSLIGGFTRPVQYLGTVRSFSTANKFDGNIPNSGSFESSEEFEGRIFGGFSSDSPKAQAFYEKLDKVERSRYRFGPSMANRDEPMTDGLDESFSTLSDGMDMKLKKAATYFEFDLNEVQKNDYAFRPDVTFHSGSTYEPKVCLLAIFVYVASILHIFLNTYIWTLNIYVSHPSMNNIDLCFLSISCFKDH >KJB83549 pep chromosome:Graimondii2_0_v6:13:57028974:57036108:-1 gene:B456_013G252900 transcript:KJB83549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEANIAWNLVRALIKPIKRETSFIILYKRKAANRDSKVRQLKFKWETVQSAVTLATRNGQEIIQVVKDWQDRARPIAEEPEKALGEEPKCFFGWFPNLKYRYEVSKKAEEDGLVIDKLLDEASGFKDKVAHPFDPQVRWATPSECYMAFESREAVVNQVLVALKDATLKVIGVYGVAGIGKTTLITQVAKMVKANKVFDWVALSSVTQTPDVETIQAEIADCLGLNFEEESVKGRATKLRHRLSKEKNVLVILDDIRTSLNLNDVGIVCGDHQHRGCKILLSSRDPNVLCEMNVDKSFKVDVLKEEEAWNLFDKMVGDRVKDPSERSKAHDVCRGCRGSPLSIVTTANALKKKDFSEWESASKQLTTHPSSAVELSFNHLANDELKSAFQLCSLMPYKPTIFDMLKYGTAFGLFQGITTVEGAIQRLHRLVQNLKSSCLLFDGRMAEEFAMHEVIREVAASIASREGKMFLMRNEIGQRELPNAGRLRNCTAISLFYNDFVILPDQLECPQLKVFQLYDNNPVLRISDQFFSKMEALEVLDVKGMKHLSSLPSSLSSLGDLQTLCLESCVLQHLAMVEKMKKLEILSFNNSIIEELPKEIGELTQLKVLNLDNCSKLRAIPPNVISNLSRLEELHIGNSFAQWEDEQTTQRHASLSELNHLPDLTSLNLHIPDYRNMPKRFFFKKLQRFKILIGNTWDWSDKHEASRILKLKLNESIHMNDGVQILLKRTEVLYLDDLKYVEDLLYDLDESTTGFPQLKYLHIQNGPGLKHIVNLTDKVTLDVFPVLESLYLHNLINLEKICNAQLEMQPFAKLRVINVGSCSQLKNLFSFSIARGLQQLQEVQVVDCKNMVEIITGGRGRDVGDNETTTTIEFEQLQSLTLQQLPKLISFNASSTTATLFNNKVTFPKLKSLKLSSISTLQIWQEQLLSVPNCIQSLTSITVEDCGNLKFLLSSSMVASLEQLIHLEISECKLVEAIIEETKMEERMEKILFPNLHSLKIKGLPQLTRFCSGKAVQFPSLKQLQIEHCPKLGTFISNFVKNEIRPLFDENVAFPSLEKMLISQLSSLKMLWNDQLPKNSFSELKTMEVEYCLQLQTIFPFNMVEKFQRLQTLVINDCVSLEEVFDFQRLNIKENKTEVAIPLKKLYLFNLPQLKHVWSKDPQERISFKNLTSVYVFGSESLKSLFPASVARGLQELESLEIDTCGVEQIVAMDVTPQPETRFVFPKLAFLQLWRLEKLRSFYPGVHSTEWPMLKRIVTYHYGDMKMFTSELLGTRQTRTVSQPLFLVEKVVQNLDELTLDSRDISMLSDENVFRPDLFSSIKVLQVHCYHQESAILPFGFIQNFTNLDNLNVGCCKFRELFPSERLVGDPRKPLGTLSGIRTLKLVLLSNLRHIWKPNSRPDLIPPYLESLVVWNCNRLISLAPPSSSFSNLTTLDIWKCHGVKHIISSSTAKTLTKLTKMSVRECDEVTEIVANDEDETLTDIVFSKLVCLELNKLPMLLYFDSGSYALKFPLLEVITLSQCPSLIEFHTRNELSTPKLKKVWQTEEMDQSCWEGDLNATVSEKMVLGTRRRDHGYRRKIDLT >KJB79491 pep chromosome:Graimondii2_0_v6:13:4931328:4935034:-1 gene:B456_013G052700 transcript:KJB79491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDHTGYAVSETEGDHTLIASDDFIKSDVIIGSKKIEAPVLFQGIGHSLNPVNSLVLKVLSASPSAYSANPLSKLSTPPSLTGSAISLVSVVQARNNARILITGSLSMFSNRFFRSGVQKSGSSTKYEKSGNEQFLTELSKWIFHERGHLKAVNVKHHKVGETDESALYRINDELEYSVEIYEWSGTSWEPYVTDDVQVQFYMMSPYVLKTLSNDKKGLYSASFKVPDVYGVFQFKVEYQKLGYTSLSLSKQIPVRPYRHNEYERFIPTAYPYYGAAFSTMAGFFIFSFVHLYNK >KJB79494 pep chromosome:Graimondii2_0_v6:13:4932477:4934931:-1 gene:B456_013G052700 transcript:KJB79494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFWVIFVASISILLPFLCNSFSPETPTDRRVLVLLDDLAVKSSHSSYFNSLKLRGFDLDFKLTDDPKIALQRYGQYLYDALILFCPSVERFGGSVDVAAIINFVDSGHDLIIAADVNASDLIREIATECGVDFDEDPSAMVIDHTGYAVSETEGDHTLIASDDFIKSDVIIGSKKIEAPVLFQGIGHSLNPVNSLVLKVLSASPSAYSANPLSKLSTPPSLTGSAISLVSVVQARNNARILITGSLSMFSNRFFRSGVQKSGSSTKYEKSGNEQFLTELSKWIFHERGHLKAVNVKHHKVGETDESALYRINDELEYSVEIYEWSGTSWEPYVTDDVQVQFYMMSPYVLKTLSNDKKVLYLPL >KJB79495 pep chromosome:Graimondii2_0_v6:13:4933182:4935034:-1 gene:B456_013G052700 transcript:KJB79495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFWVIFVASISILLPFLCNSFSPETPTDRRVLVLLDDLAVKSSHSSYFNSLKLRGFDLDFKLTDDPKIALQRYGQYLYDALILFCPSVERFGGSVDVAAIINFVDSGHDLIIAADVNASDLIREIATECGVDFDEDPSAMVIDHTGYAVSETEGDHTLIASDDFIKSDVIIGSKKIEAPVLFQGIGHSLNPVNSLVLKVLSASPSAYSANPLSKLSTPPSLTGSAISLVSVVQARNNARILITGSLSMFSNRFFRSGVQKSGSSTK >KJB79490 pep chromosome:Graimondii2_0_v6:13:4931328:4935034:-1 gene:B456_013G052700 transcript:KJB79490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFWVIFVASISILLPFLCNSFSPETPTDRRVLVLLDDLAVKSSHSSYFNSLKLRGFDLDFKLTDDPKIALQRYGQYLYDALILFCPSVERFGGSVDVAAIINFVDSGHDLIIAADVNASDLIREIATECGVDFDEDPSAMVIDHTGYAVSETEGDHTLIASDDFIKSDVIIGSKKIEAPVLFQGIGHSLNPVNSLVLKVLSASPSAYSANPLSKLSTPPSLTGSAISLVSVVQARNNARILITGSLSMFSNRFFRSGVQKSGSSTKYEKSGNEQFLTELSKWIFHERGHLKAVNVKHHKVGETDESALYRINDELEYSVEIYEWSGTSWEPYVTDDVQVQFYMMSPYVLKTLSNDKKGLYSASFKVPDVYGVFQFKVEYQKLGYTSLSLSKQVSFQSGHIDTMNMKDSYQLLIPTTELHFLRWQDSSSFLLFIYITSKVWHV >KJB79488 pep chromosome:Graimondii2_0_v6:13:4931256:4935054:-1 gene:B456_013G052700 transcript:KJB79488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFWVIFVASISILLPFLCNSFSPETPTDRRVLVLLDDLAVKSSHSSYFNSLKLRGFDLDFKLTDDPKIALQRYGQYLYDALILFCPSVERFGGSVDVAAIINFVDSGHDLIIAADVNASDLIREIATECGVDFDEDPSAMVIDHTGYAVSETEGDHTLIASDDFIKSDVIIGSKKIEAPVLFQGIGHSLNPVNSLVLKVLSASPSAYSANPLSKLSTPPSLTGSAISLVSVVQARNNARILITGSLSMFSNRFFRSGVQKSGSSTKYEKSGNEQFLTELSKWIFHERGHLKAVNVKHHKVGETDESALYRINDELEYSVEIYEWSGTSWEPYVTDDVQVQFYMMSPYVLKTLSNDKKGLYSASFKVPDVYGVFQFKVEYQKLGYTSLSLSKQIPVRPYRHNEYERFIPTAYPYYGAAFSTMAGFFIFSFVHLYNK >KJB79493 pep chromosome:Graimondii2_0_v6:13:4931888:4935034:-1 gene:B456_013G052700 transcript:KJB79493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFWVIFVASISILLPFLCNSFSPETPTDRRVLVLLDDLAVKSSHSSYFNSLKLRGFDLDFKLTDDPKIALQRYGQYLYDALILFCPSVERFGGSVDVAAIINFVDSGHDLIIAADVNASDLIREIATECGVDFDEDPSAMVIDHTGYAVSETEGDHTLIASDDFIKSDVIIGSKKIEAPVLFQGIGHSLNPVNSLVLKVLSASPSAYSANPLSKLSTPPSLTGSAISLVSVVQARNNARILITGSLSMFSNRFFRSGVQKSGSSTKYEKSGNEQFLTELSKWIFHERGHLKAVNVKHHKVGETDESALYRINDELEYSVEIYEWSGTSWEPYVTDDVQVQFYMMSPYVLKTLSNDKKGLYSASFKVPDVYGVFQFKVEYQKLGYTSLSLSKQVSYFVSHDSGYNFSFETSLTSYLEETCNRNRSSQKSV >KJB79492 pep chromosome:Graimondii2_0_v6:13:4931328:4935034:-1 gene:B456_013G052700 transcript:KJB79492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDHTGYAVSETEGDHTLIASDDFIKSDVIIGSKKIEAPVLFQGIGHSLNPVNSLVLKVLSASPSAYSANPLSKLSTPPSLTGSAISLVSVVQARNNARILITGSLSMFSNRFFRSGVQKSGSSTKYEKSGNEQFLTELSKWIFHERGHLKAVNVKHHKVGETDESALYRINDELEYSVEIYEWSGTSWEPYVTDDVQVQFYMMSPYVLKTLSNDKKGLYSASFKVPDVYGVFQFKVEYQKLGYTSLSLSKQIPVRPYRHNEYERFIPTAYPYYGAAFSTMAGFFIFSFVHLYNK >KJB79489 pep chromosome:Graimondii2_0_v6:13:4932477:4934931:-1 gene:B456_013G052700 transcript:KJB79489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFWVIFVASISILLPFLCNSFSPETPTDRRVLVLLDDLAVKSSHSSYFNSLKLRGFDLDFKLTDDPKIALQRYGQYLYDALILFCPSVERFGGSVDVAAIINFVDSGHDLIIAADVNASDLIREIATECGVDFDEDPSAMVIDHTGYAVSETEGDHTLIASDDFIKSDVIIGSKKIEAPVLFQGIGHSLNPVNSLVLKVLSASPSAYSANPLSKLSTPPSLTGSAISLVSVVQARNNARILITGSLSMFSNRFFRSGVQKSGSSTKYEKSGNEQFLTELSKWIFHERGHLKAVNVKHHKVGETDESALYRINDELEYSVEIYEWSGTSWEPYVTDDVQVQFYMMSPYVLKTLSNDKKVLYLPL >KJB78602 pep chromosome:Graimondii2_0_v6:13:659751:661375:1 gene:B456_013G010000 transcript:KJB78602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRYQFQQMPNGIAISRNTRSRQPYKVFSHPSSGHRNLTLATTVPHAFSLSGSGAGAQYSSAGELVDTGRNERKKRIAGVDQDELLDPKQLADPDSCFCEFQGVEIHHKLYEPESRSSDNSLYGQHEAQTPSLELGLPMILLHGFGASVFSWNKVMKRLASLTGSKVLAFDRPAFGLTSRHNTFETQSSDAIPLNPYSMAFSVLATLYFIDFLAAQKAILVGHSAGSLVAVEAYFEAPERIAALILVAPAILAPRAIPKVVDTKGDGSDSNDQGKPQFKLFKILHKFIKYVTQSIIQMMKRMVDMLNSLYKKALLSVLRSAFAVMLVRMIIKKFGVAAVRTAWYDSKQVDEHIIDGYTKVIYSLSRVDGMNA >KJB78605 pep chromosome:Graimondii2_0_v6:13:658873:662205:1 gene:B456_013G010000 transcript:KJB78605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRYQFQQMPNGIAISRNTRSRQPYKVFSHPSSGHRNLTLATTVPHAFSLSGSGAGAQYSSAGELVDTGRNERKKRIAGVDQDELLDPKQLADPDSCFCEFQGVEIHHKLYEPESRSSDNSLYGQHEAQTPSLELGLPMILLHGFGASVFSWNKVMKRLASLTGSKVLAFDRPAFGLTSRHNTFETQSSDAIPLNPYSMAFSVLATLYFIDFLAAQKAILVGHSAGSLVAVEAYFEAPERIAALILVAPAILAPRAIPKVVDTKGDGSDSNDQGKPQFKLFKILHKFIKYVTQSIIQMMKRMVDMLNSLYKKALLSVLRSAFAVRMIIKKFGVAAVRTAWYDSKQVDEHIIDGYTKPLRTKGWDKALVEFTAAMLVKGESETKPPLSKRLHEISCPVLIVTGNADRIVPSWNAERLSRAIPHSKLEVIKNCGHLPHEEKVDEFVRVVEKFLQRAFGGLEEPSLQAVT >KJB78604 pep chromosome:Graimondii2_0_v6:13:659751:661606:1 gene:B456_013G010000 transcript:KJB78604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRYQFQQMPNGIAISRNTRSRQPYKVFSHPSSGHRNLTLATTVPHAFSLSGSGAGAQYSSAGELVDTGRNERKKRIAGVDQDELLDPKQLADPDSCFCEFQGVEIHHKLYEPESRSSDNSLYGQHEAQTPSLELGLPMILLHGFGASVFSWNKVMKRLASLTGSKVLAFDRPAFGLTSRHNTFETQSSDAIPLNPYSMAFSVLATLYFIDFLAAQKAILVGHSAGSLVAVEAYFEAPERIAALILVAPAILAPRAIPKVVDTKGDGSDSNDQGKPQFKLFKILHKFIKYVTQSIIQMMKRMVDMLNSLYKKALLSVLRSAFAVMLVRMIIKKFGVAAVRTAWYDSKQVDEHIIDGYTKPLRTKGWDKALVEFTAAMLVKGESETKPPLSKRLHEISCPGNITQNHKLIYY >KJB78608 pep chromosome:Graimondii2_0_v6:13:658873:662205:1 gene:B456_013G010000 transcript:KJB78608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTWGSDGSGLTHLVAQMRMLDHPISCTTELPSMVFRYQFQQMPNGIAISRNTRSRQPYKVFSHPSSGHRNLTLATTVPHAFSLSGSGAGAQYSSAGELVDTGRNERKKRIAGVDQDELLDPKQLADPDSCFCEFQGVEIHHKLYEPESRSSDNSLYGQHEAQTPSLELGLPMILLHGFGASVFSWNKVMKRLASLTGSKVLAFDRPAFGLTSRHNTFETQSSDAIPLNPYSMAFSVLATLYFIDFLAAQKAILVGHSAGSLVAVEAYFEAPERIAALILVAPAILAPRAIPKVVDTKGDGSDSNDQGKPQFKLFKILHKFIKYVTQSIIQMMKRMVDMLNSLYKKALLSVLRSAFAVMLVRMIIKKFGVAAVRTAWYDSKQVDEHIIDGYTKPLRTKGWDKALVEFTAAMLVKGESETKPPLSKRLHEISCPVLIVTGNADRIVPSWNAERLSRAIPHSKLEVIKNCGHLPHEEKVDEFVRVVEKFLQRAFGGLEEPSLQAVT >KJB78606 pep chromosome:Graimondii2_0_v6:13:658873:662205:1 gene:B456_013G010000 transcript:KJB78606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRYQFQQMPNGIAISRNTRSRQPYKVFSHPSSGHRNLTLATTVPHAFSLSGSGAGAQYSSAGELVDTGRNERKKRIAGVDQDELLDPKQLADPDSCFCEFQGVEIHHKLYEPESRSSDNSLYGQHEAQTPSLELGLPMILLHGFGASVFSWNKVMKRLASLTGSKVLAFDRPAFGLTSRHNTFETQSSDAIPLNPYSMAFSVLATLYFIDFLAAQKAILVGHSAGSLVAVEAYFEAPERIAALILVAPAILAPRAIPKVVDTKGDGSDSNDQGKPQFKLFKILHKFIKYVTQSIIQMMKRMVDMLNSLYKKALLSVLRSAFAVMLVRMIIKKFGVAAVRTAWYDSKQVDEHIIDGYTKPLRTKGWDKALVEFTAAMLVKGESETKPPLSKRLHEISCPVLIVTGNADRIVPSWNAERLSRAIPHSKLEVIKNCGHLPHEEKVDEFVRVVEKFLQRAFGGLEEPSLQAVT >KJB78609 pep chromosome:Graimondii2_0_v6:13:658873:662205:1 gene:B456_013G010000 transcript:KJB78609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRYQFQQMPNGIAISRNTRSRQPYKVFSHPSSGHRNLTLATTVPHAFSLSGSGAGAQYSSAGELVDTGRNERKKRIAGVDQDELLDPKQLADPDSCFCEFQGVEIHHKLYEPESRSSDNSLYGQHEAQTPSLELGLPMILLHGFGASVFSWNKVMKRLASLTGSKVLAFDRPAFGLTSRHNTFETQSSDAIPLNPYSMAFSVLATLYFIDFLAAQKAILVGHSAGSLVAVEAYFEAPERIAALILVAPAILAPRAIPKVVDTKGDGSDSNDQGKPQFKLFKILHKFIKYVTQSIIQMMKRMVDMLNSLYKKALLSVLRSAFAVM >KJB78610 pep chromosome:Graimondii2_0_v6:13:658873:662205:1 gene:B456_013G010000 transcript:KJB78610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRYQFQQMPNGIAISRNTRSRQPYKVFSHPSSGHRNLTLATTVPHAFSLSGSGAGAQYSSAGELVDTGRNERKKRIAGVDQDELLDPKQLADPDSCFCEFQGVEIHHKLYEPESRSSDNSLYGQHEAQTPSLELGLPMILLHGFGASVFSWNKVMKRLASLTGSKVLAFDRPAFGLTSRHNTFETQSSDAIPLNPYSMAFSVLATLYFIDFLAAQKAILVGHSAGSLVAVEAYFEAPERIAALILVAPAILAPRAIPKVVDTKGDGSDSNDQGKPQFKLFKILHKFIKYVTQSIIQMMKRMVDMLNSLYKKALLSVLRSAFAVMLVRMIIKKFGVAAVRTAWYDSKQVDEHIIDGYTKPLRTKGWDKALVEFTAAMLVKGESETKPPLSKRLHEISCPVLIVTGNADRIVPSWNAERLSRAIPHSKLEVIKNCGHLPHEEKVDEFVRVVEKFLQRAFGGLEEPSLQAVT >KJB78607 pep chromosome:Graimondii2_0_v6:13:658873:662205:1 gene:B456_013G010000 transcript:KJB78607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRYQFQQMPNGIAISRNTRSRQPYKVFSHPSSGHRNLTLATTVPHAFSLSGSGAGAQYSSAGELVDTGRNERKKRIAGVDQDELLDPKQLADPDSCFCEFQGVEIHHKLYEPESRSSDNSLYGQHEAQTPSLELGLPMILLHGFGASVFSWNKVMKRLASLTGSKVLAFDRPAFGLTSRHNTFETQSSDAIPLNPYSMAFSVLATLYFIDFLAAQKAILVGHSAGSLVAVEAYFEAPERIAALILVAPAILAPRAIPKVVDTKGDGSDSNDQGKPQFKLFKILHKFIKYVTQSIIQMMKRMVDMLNSLYKKALLSVLRSAFAVM >KJB78603 pep chromosome:Graimondii2_0_v6:13:659751:661375:1 gene:B456_013G010000 transcript:KJB78603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRYQFQQMPNGIAISRNTRSRQPYKVFSHPSSGHRNLTLATTVPHAFSLSGSGAGAQYSSAGELVDTGRNERKKRIAGVDQDELLDPKQLADPDSCFCEFQGVEIHHKLYEPESRSSDNSLYGQHEAQTPSLELGLPMILLHGFGASVFSWNKVMKRLASLTGSKVLAFDRPAFGLTSRHNTFETQSSDAIPLNPYSMAFSVLATLYFIDFLAAQKAILVGHSAGSLVAVEAYFEAPERIAALILVAPAILAPRAIPKVVDTKGDGSDSNDQGKPQFKLFKILHKFIKYVTQSIIQMMKRMVDMLNSLYKKALLSVLRSAFAVMLVRMIIKKFGVAAVRTAWYDSKQVDEHIIDGYTKVIYSLSRVDGMNA >KJB79068 pep chromosome:Graimondii2_0_v6:13:2485806:2487961:-1 gene:B456_013G032800 transcript:KJB79068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLMDSLQTLLSPQSLPIFFLVSALLVSFVFMFGLPRNIPYPPGPKGYPIIGNMMMMDQLTHRGLAHLSRQYGHAGLLHLTMGKLHIVAVSTPEMAREVLLTQDSIYSNRPANDAIVYLTYDRADMAFANYGPFWRQMRKICVMKVFSRKRAESWASVREEVGSTVRTVMKSLGSPLNVGELVFALTRNITYRAAFGSFSRDGQEELVKILQEFSKLFGAFNIADFFPWMGWIHGGEFQKRLVKARASLDGFIDHIIDEHLVTRERRKAGDDAAAKYDDDMVDELMAFYSENVFKGDYNESSSSSIQLTKDNIKAIIMDVMFGGTETVASAIEWAMAELMSSPADLKKVQQELSDVVGLERVVHESDLEKLTYLKCAIKETLRLHPPIPLLLHETAVDSVLGGYRVPGKSRIMINAWAIGRDPSSWKDPDVFKPSRFLDEGAPDFKGSNFEFIPFGSGRRSCPGMQLGLYGLELSVAHLLHCFNWELPNGMKATELDMSDSFGLTAPRATRLVAVPSYRLSCPFPPEWKMNGIEKAELESKAN >KJB80071 pep chromosome:Graimondii2_0_v6:13:10795119:10796371:-1 gene:B456_013G080100 transcript:KJB80071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPSFTYNDISPRESSCHEADDHVEGEDSIVLSLGPPGQAPRISKYPLTSHPSSSTSSKVPSCPNPTSTTETESGVTVALHIGPPTTVETSSSNSNDIGNSLVEGQYWIPSPAQILVGPTQFSCSVCNKTFNRYNNMQMHMWGHGSQYRKGPESLRGTKPASSMLRLPCYCCAEGCKNNIDHPRSRPLKDFRTLQTHYKRKHGAKPFECRKCGKPFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRAFGDGHAPHTVEYCEVEVEDDDNDDVDDNDNDDDNDEGNHAPLFF >KJB78941 pep chromosome:Graimondii2_0_v6:13:1957167:1961505:-1 gene:B456_013G026200 transcript:KJB78941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSRFIDFLFVLLTCFTCFSSSFGDQNLDKITELPGQPKNVDFNQYSGYVTVNEEAGRALFYWFIESPLNRRPETRPLILWLNGGPGCSSVAYGAAEEIGPFRIRPDGKTLYSNPYAWNKLANTLFLESPAGVGFSYTNTTSDLYTSGDKRTAEDAYVFLVNWLERFPQYKYRDFYIAGESYAGHYVPQLAQIVYERNKGVQNPVINFKGFMVGNAVTDDYHDFVGTFEYWWTHGLISDSTYRNLQVACDLGSATHPSSECTTALEVAEAEQGNIDPYSIFTQPCADTTSLRRNLRGHYPWMSRAFDPCTERYSKEYFNRPEVQKALHANVTGIPYQWETCSDVVGYNWTDSPLSMLPIYQELIAAGIRIWVYR >KJB78938 pep chromosome:Graimondii2_0_v6:13:1957061:1961505:-1 gene:B456_013G026200 transcript:KJB78938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSRFIDFLFVLLTCFTCFSSSFGDQNLDKITELPGQPKNVDFNQYSGYVTVNEEAGRALFYWFIESPLNRRPETRPLILWLNGGPGCSSVAYGAAEEIGPFRIRPDGKTLYSNPYAWNKLANTLFLESPAGVGFSYTNTTSDLYTSGDKRTAEDAYVFLVNWLERFPQYKYRDFYIAGESYAGHYVPQLAQIVYERNKGVQNPVINFKGFMVGNAVTDDYHDFVGTFEYWWTHGLISDSTYRNLQVACDLGSATHPSSECTTALEVAEAEQGNIDPYSIFTQPCADTTSLRRNLRGHYPWMSRAFDPCTERYSKEYFNRPEVQKALHANVTGIPYQWETCSDVVGYNWTDSPLSMLPIYQELIAAGIRIWVYSGDTDAVVPVTATRYSIDALKLPTINKWHPWYDNGKVGGWSQEYKGLTFVTVTGAGHEVPLHRPRQAFILFRSFLENKSMPSYLYSLSAVNKWKEF >KJB78942 pep chromosome:Graimondii2_0_v6:13:1957804:1961505:-1 gene:B456_013G026200 transcript:KJB78942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSRFIDFLFVLLTCFTCFSSSFGDQNLDKITELPGQPKNVDFNQYSGYVTVNEEAGRALFYWFIESPLNRRPETRPLILWLNGGPGCSSVAYGAAEEIGPFRIRPDGKTLYSNPYAWNKLANTLFLESPAGVGFSYTNTTSDLYTSGDKRTAEDAYVFLVNWLERFPQYKYRDFYIAGESYAGHYVPQLAQIVYERNKGVQNPVINFKGFMVGNAVTDDYHDFVGTFEYWWTHGLISDSTYRNLQVACDLGSATHPSSECTTALEVAEAEQGNIDPYSIFTQPCADTTSLRRNLRGHYPWMSRAFDPCTERYSKEYFNRPEVQKALHANVTGIPYQWETCSDVVGYNWTDSPLSMLPIYQELIAAGIRIWVYSGDTDAVVPVTATRYSIDALKLPTINKWHPWYDNGKVSPYKPTHSFKL >KJB78940 pep chromosome:Graimondii2_0_v6:13:1958697:1961229:-1 gene:B456_013G026200 transcript:KJB78940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSRFIDFLFVLLTCFTCFSSSFGDQNLDKITELPGQPKNVDFNQYSGYVTVNEEAGRALFYWFIESPLNRRPETRPLILWLNGGPGCSSVAYGAAEEIGPFRIRPDGKTLYSNPYAWNKLANTLFLESPAGVGFSYTNTTSDLYTSGDKRTAEDAYVFLVNWLERFPQYKYRDFYIAGESYAGHYVPQLAQIVYERNKGVQNPVINFKGFMVGNAVTDDYHDFVGTFEYWWTHGLISDSTYRNLQVACDLGSATHPSSECTTALEVAEAEQGNIDPYSIFTQPCADTTSLRRNLRGHYPWMSRAFDPCTERYSKEYFNRPEVQKALHANVTGIPYQWETCSDVVGYNWTDSPLSMLPIYQELIAAGIRIWVYR >KJB78939 pep chromosome:Graimondii2_0_v6:13:1957167:1961505:-1 gene:B456_013G026200 transcript:KJB78939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSRFIDFLFVLLTCFTCFSSSFGDQNLDKITELPGQPKNVDFNQYSGYVTVNEEAGRALFYWFIESPLNRRPETRPLILWLNGGPGCSSVAYGAAEEIGPFRIRPDGKTLYSNPYAWNKLANTLFLESPAGVGFSYTNTTSDLYTSGDKRTAEDAYVFLVNWLERFPQYKYRDFYIAGESYAGHYVPQLAQIVYERNKGVQNPVINFKGFMVGNAVTDDYHDFVGTFEYWWTHGLISDSTYRNLQVACDLGSATHPSSECTTALEVAEAEQGNIDPYSIFTQPCADTTSLRRNLRGHYPWMSRAFDPCTERYSKEYFNRPEVQKALHANVTGIPYQWETCSDVVGYNWTDSPLSMLPIYQELIAAGIRIWVYSGDTDAVVPVTATRYSIDALKLPTINKWHPWYDNGKVSWWVEPRIQRADICDSNRSRS >KJB80828 pep chromosome:Graimondii2_0_v6:13:28924480:28926521:-1 gene:B456_013G116900 transcript:KJB80828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAGSSISMQPRPFAKGSVSGLKLGSFMNQGRSTLSFTMRPMPARLQICCAAKKETVDKVCEVVKRQLALDNDKPITGESTFLDLGADSLDTVEIVMGLEEEFGITVEEDNAQSITTVQDAAELIEKLCSEKSA >KJB80830 pep chromosome:Graimondii2_0_v6:13:28924530:28926455:-1 gene:B456_013G116900 transcript:KJB80830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGRSTLSFTMRPMPARLQICCAAKKETVDKVCEVVKRQLALDNDKPITGESTFLDLGADSLDTVEIVMGLEEEFGITVEEDNAQSITTVQDAAELIEKLCSEKSA >KJB80829 pep chromosome:Graimondii2_0_v6:13:28924530:28926455:-1 gene:B456_013G116900 transcript:KJB80829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVIVQAKKETVDKVCEVVKRQLALDNDKPITGESTFLDLGADSLDTVEIVMGLEEEFGITVEEDNAQSITTVQDAAELIEKLCSEKSA >KJB80831 pep chromosome:Graimondii2_0_v6:13:28925004:28926338:-1 gene:B456_013G116900 transcript:KJB80831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAGSSISMQPRPFAKGSVSGLKLGSFMNQGRSTLSFTMRPMPARLQICCAAKKETVDKVCEVVKRQLALDNDKPITGESTFLDLGADSLDTVLSQLYNHFIFSFFPFILCA >KJB83983 pep chromosome:Graimondii2_0_v6:13:52917041:52935368:1 gene:B456_013G213600 transcript:KJB83983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGSKSKKLGSSNSKAVNSPSSSTTSSSKHFPETSIDCQSSPASSSARSKPQCFYSENLHVDADRSKENVTVTVRFRPLSPREIRLGEEIAWYADGETIVRNEHNPSIAYAYDRVFGPTTTTRHVYDVAAQHVVSGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGVKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSSCGESSEGEAVNLSQLNLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNTEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKEELEQLKRGIVSIPQLKDIGEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQSSRFPQRPGLRRRHSFGEEELAYLPHRRRDLILDEENVELYVSLEENAGEDTVKEEKKTRKHGLLNWLKLRKRDSGTGTLTSASDMSSGIKSNSTPSTPQAGSSNFHAESRLSQSIVTGSSPPMDLLSDARHDREAPEDNFLGQETPLTSLKAIDQIDLLREQQKILSGEVALHSSALKRLSEEAMRNPQNEQQIQVEMKKLSDEIRGKNEQIALLEKQIAESILASPNKMEKSEISLSVAELVAQLNEKSFELEVKAADNRIIQEQLNLKICECEGLQETVASLKQQLSDALESQNVSPIAPNSQQFGEMKGLQMDNEAAASKDSDEDLLTKAQVAEIEELKQKVVELTESKEHLELRNQKLAEESSYAKGLASAAAVELKALSEEVTKLMNHNERLAAELAAAKNSPTQRRTSTLRNSRRESLTKRHDQLGSPSDLKKELAMSKERELSYEAALIERDHREAELQRKVEESKQREAYLENELANMWVLVAKLKKSNGVDAVVSEST >KJB83986 pep chromosome:Graimondii2_0_v6:13:52917620:52935368:1 gene:B456_013G213600 transcript:KJB83986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGSKSKKLGSSNSKAVNSPSSSTTSSSKHFPETSIDCQSSPASSSARSKPQCFYSENLHVDADRSKENVTVTVRFRPLSPREIRLGEEIAWYADGETIVRNEHNPSIAYAYDRVFGPTTTTRHVYDVAAQHVVSGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGVKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSSCGESSEGEAVNLSQLNLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNTEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKEELEQLKRGIVSIPQLKDIGEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQSSRFPQRPGLRRRHSFGEEELAYLPHRRRDLILDEENVELYVSLEENAGEDTVKEEKKTRKHGLLNWLKLRKRDSGTGTLTSASDMSSGIKSNSTPSTPQAGSSNFHAESRLSQSIVTGSSPPMDLLSDARHDREAPEDNFLGQETPLTSLKAIDQIDLLREQQKILSGEVALHSSALKRLSEEAMRNPQNEQQIQVEMKKLSDEIRGKNEQIALLEKQIAESILASPNKMEKSEISLSVAELVAQLNEKSFELEVKAADNRIIQEQLNLKICECEGLQETVASLKQQLSDALESQNVSPIAPNSQQFGEMKGLQMDNEAAASKDSDEDLLTKAQVAEIEELKQKVVELTESKEHLELRNQKLAEESSYAKGLASAAAVELKALSEEVTKLMNHNERLAAELAAAKNSPTQRRTSTLRNSRRESLTKRHDQLGSPSDLKKELAMSKERELSYEAALIERDHREAELQRKVEESKQREAYLENELANMWVLVAKLKKSNGVDAVVSEST >KJB83985 pep chromosome:Graimondii2_0_v6:13:52917602:52935368:1 gene:B456_013G213600 transcript:KJB83985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGSKSKKLGSSNSKAVNSPSSSTTSSSKHFPETSIDCQSSPASSSARSKPQCFYSENLHVDADRSKENVTVTVRFRPLSPREIRLGEEIAWYADGETIVRNEHNPSIAYAYDRVFGPTTTTRHVYDVAAQHVVSGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGVKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSSCGESSEGEAVNLSQLNLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNTEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKEELEQLKRGIVSIPQLKDIGEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQSSRFPQRPGLRRRHSFGEEELAYLPHRRRDLILDEENVELYVSLEENAGEDTVKEEKKTRKHGLLNWLKLRKRDSGTGTLTSASDMSSGIKSNSTPSTPQAGSSNFHAESRLSQSIVTGSSPPMDLLSDARHDREAPEDNFLGQETPLTSLKAIDQIDLLREQQKILSGEVALHSSALKRLSEEAMRNPQNEQQIQVEMKKLSDEIRGKNEQIALLEKQIAESILASPNKMEKSEISLSVAELVAQLNEKSFELEVKAADNRIIQEQLNLKICECEGLQETVASLKQQLSDALESQNVSPIAPNSQQFGEMKGLQMDNEAAASKDSDEDLLTKAQVAEIEELKQKVVELTESKEHLELRNQKLAEESSYAKGLASAAAVELKALSEEVTKLMNHNERLAAELAAAKNSPTQRRTSTLRNSRRESLTKRHDQLGSPSDLKKELAMSKERELSYEAALIERDHREAELQRKVEESKQREAYLENELANMWVLVAKLKKSNGVDAVVSEST >KJB83987 pep chromosome:Graimondii2_0_v6:13:52919231:52935402:1 gene:B456_013G213600 transcript:KJB83987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGVKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSSCGESSEGEAVNLSQLNLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNTEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKEELEQLKRGIVSIPQLKDIGEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQSSRFPQRPGLRRRHSFGEEELAYLPHRRRDLILDEENVELYVSLEENAGEDTVKEEKKTRKHGLLNWLKLRKRDSGTGTLTSASDMSSGIKSNSTPSTPQAGSSNFHAESRLSQSIVTGSSPPMDLLSDARHDREAPEDNFLGQETPLTSLKAIDQIDLLREQQKILSGEVALHSSALKRLSEEAMRNPQNEQQIQVEMKKLSDEIRGKNEQIALLEKQIAESILASPNKMEKSEISLSVAELVAQLNEKSFELEVKAADNRIIQEQLNLKICECEGLQETVASLKQQLSDALESQNVSPIAPNSQQFGEMKGLQMDNEAAASKDSDEDLLTKAQVAEIEELKQKVVELTESKEHLELRNQKLAEESSYAKGLASAAAVELKALSEEVTKLMNHNERLAAELAAAKNSPTQRRTSTLRNSRRESLTKRHDQLGSPSDLKKELAMSKERELSYEAALIERDHREAELQRKVEESKQREAYLENELANMWVLVAKLKKSNGVDAVVSEST >KJB83984 pep chromosome:Graimondii2_0_v6:13:52917041:52935368:1 gene:B456_013G213600 transcript:KJB83984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGSKSKKLGSSNSKAVNSPSSSTTSSSKHFPETSIDCQSSPASSSARSKPQCFYSENLHVDADRSKENVTVTVRFRPLSPREIRLGEEIAWYADGETIVRNEHNPSIAYAYDRVFGPTTTTRHVYDVAAQHVVSGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGVKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSSCGESSEGEAVNLSQLNLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNTEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKEELEQLKRGIVSIPQLKDIGEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQSSRFPQRPGLRRRHSFGEEELAYLPHRRRDLILDEENVELYVSLEENAGEDTVKEEKKTRKHGLLNWLKLRKRDSGTGTLTSASDMSSGIKSNSTPSTPQAGSSNFHAESRLSQSIVTGSSPPMDLLSDARHDREAPEDNFLGQETPLTSLKAIDQIDLLREQQKILSGEVALHSSALKRLSEEAMRNPQNEQQIQVEMKKLSDEIRGKNEQIALLEKQIAESILASPNKMEKSEISLSVAELVAQLNEKSFELEVKAADNRIIQEQLNLKICECEGLQETVASLKQQLSDALESQNVSPIAPNSQQFGEMKGLQMDNEAAASKDSDEDLLTKAQVAEIEELKQKVVELTESKEHLELRNQKLAEESSYAKGLASAAAVELKALSEEVTKLMNHNERLAAELAAAKNSPTQRRTSTLRNSRRESLTKRHDQLGSPSDLKKELAMSKERELSYEAALIERDHREAELQRKVEESKQREAYLENELANMWVLVAKLKKSNGVDAVVSEST >KJB79649 pep chromosome:Graimondii2_0_v6:13:6665807:6670078:1 gene:B456_013G061300 transcript:KJB79649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDISDTYSLLILNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGREITVQFAKYGPNAERIHKGRIVESAPRSGHRSRSRSPRRRHRDDHYRDRDYRRSRSRSHDRYERDRYRGKDRDSRRRSRSRSASPDYSKGRGRGRYDDDRRSVSRSISASPARRSPSTRKSPSPRKSPPRGESPDRRSRDGRSPSRRSVSPRGRLADSRSPSPQNSDVDE >KJB79648 pep chromosome:Graimondii2_0_v6:13:6665775:6670078:1 gene:B456_013G061300 transcript:KJB79648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDISDTYSLLILNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGREITVQFAKYGPNAERIHKGRIVESAPRSGHRSRSRSPRRRHRDDHYRDRDYRRSRSRSHDRYERDRYRGKDRDSRRRSRSRSASPDYSKGRGRGRYDDDRRSVSRSISASPARRSPSTRKSPSPRKSPPRGESPDRRSRDGRSPSRRSVSPRGRLADSRSPSPQNSDVDE >KJB82793 pep chromosome:Graimondii2_0_v6:13:53473641:53476292:1 gene:B456_013G213900 transcript:KJB82793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHNNHLSDHHQDLPLHHLTEHHHQQASQLSETNGPNWLNTALLRSQQPQPAQPHSSQFSEPNFLNLHTTRAASDSTTGSQAPNQWLSRTSSSLLHRNHSDVIDDVGAAAEAARGGGGESMVAMESGGSGNNNGIMNNNKSEGVVVESGGGGGDGVVNWQNARYKADILAHPLCEQLLSAHVACLRVATPVDQLPRIDAQLAQSQHVVAKYSSLSGGSQGVVADDKELDQFLTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDDDQVDSDANLFDGSLEGPDSMGFGPLILTDSEKSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWNSNPSTSTVSKSKRKRSNAGENNGDRFM >KJB82790 pep chromosome:Graimondii2_0_v6:13:53473547:53476292:1 gene:B456_013G213900 transcript:KJB82790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHNNHLSDHHQDLPLHHLTEHHHQQASQLSETNGPNWLNTALLRSQQPQPAQPHSSQFSEPNFLNLHTTRAASDSTTGSQAPNQWLSRTSSSLLHRNHSDVIDDVGAAAEAARGGGGESMVAMESGGSGNNNGIMNNNKSEGVVVESGGGGGDGVVNWQNARYKADILAHPLCEQLLSAHVACLRVATPVDQLPRIDAQLAQSQHVVAKYSSLSGGSQGVVADDKELDQFLTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDDDQVDSDANLFDGSLEGPDSMGFGPLILTDSEKSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWNSNPSTSTVSKSKRKSNAGENNGDRFM >KJB82792 pep chromosome:Graimondii2_0_v6:13:53473641:53476292:1 gene:B456_013G213900 transcript:KJB82792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHNNHLSDHHQDLPLHHLTEHHHQQASQLSETNGPNWLNTALLRSQQPQPAQPHSSQFSEPNFLNLHTTRAASDSTTGSQAPNQWLSRTSSSLLHRNHSDVIDDVGAAAEAARGGGGESMVAMESGGSGNNNGIMNNNKSEGVVVESGGGGGDGVVNWQNARYKADILAHPLCEQLLSAHVACLRVATPVDQLPRIDAQLAQSQHVVAKYSSLSGGSQGVVADDKELDQFLTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDDDQVDSDANLFDGSLEGPDSMGFGPLILTDSEKSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWNSNPSTSTVSKSKRKR >KJB82791 pep chromosome:Graimondii2_0_v6:13:53473547:53476791:1 gene:B456_013G213900 transcript:KJB82791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHNNHLSDHHQDLPLHHLTEHHHQQASQLSETNGPNWLNTALLRSQQPQPAQPHSSQFSEPNFLNLHTTRAASDSTTGSQAPNQWLSRTSSSLLHRNHSDVIDDVGAAAEAARGGGGESMVAMESGGSGNNNGIMNNNKSEGVVVESGGGGGDGVVNWQNARYKADILAHPLCEQLLSAHVACLRVATPVDQLPRIDAQLAQSQHVVAKYSSLSGGSQGVVADDKELDQFLTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDDDQVDSDANLFDGSLEGPDSMGFGPLILTDSEKSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWNSNPSTSTVSKSKRKRYIYYIYIYMMNNGCLVGVI >KJB80158 pep chromosome:Graimondii2_0_v6:13:11713107:11715417:-1 gene:B456_013G083600 transcript:KJB80158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGMAFFPANFMLQTPHEEDHHHHQPPTSINQMLPSCTPQDFHGVASFLGKRSISFSGIDHVCEEGNGEDDLSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARDLGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKKQYEAIKADNDALQAQNQKLHAEILALKGKEPTESINLNKETEGSCSNRSENSSDVKLGMSRTPTVIDSHPTSCRTLFPTSIRPTTAVAQLFQTSSSRPDNHLQCQKMDQIVKEEGLGNMFCNIEDQTGFWPWLEQHHFN >KJB81778 pep chromosome:Graimondii2_0_v6:13:45809734:45812082:-1 gene:B456_013G171600 transcript:KJB81778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSVNHEMETASTITIKGILSLLMQNLDENNCKRLIPLGMGDPTLYSCFHTTHVAGQAVVEALQSDKFNGYSPTVGLPQTRRAIAEYLSCDLPYKLSCDDVFITSGCTQAIDVALAMLARPGANVLIPRPGFPIYELCATFRRLEIRYYDLLPENGWEVDLDSVEALADQNTVGFVIINPGNPCGNVYSYQHLKKIAETAEKLKIPVIADEVYGHLVFGDNPFVPMGVFGSMVPVLTLGSLSKRWIVPGWRLGWFVINDPSYMFKNPKIIERIKKYFDILGGPATFIQVCIYGAGLFTN >KJB81777 pep chromosome:Graimondii2_0_v6:13:45808446:45812121:-1 gene:B456_013G171600 transcript:KJB81777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSVNHEMETASTITIKGILSLLMQNLDENNCKRLIPLGMGDPTLYSCFHTTHVAGQAVVEALQSDKFNGYSPTVGLPQTRRAIAEYLSCDLPYKLSCDDVFITSGCTQAIDVALAMLARPGANVLIPRPGFPIYELCATFRRLEIRYYDLLPENGWEVDLDSVEALADQNTVGFVIINPGNPCGNVYSYQHLKKIAETAEKLKIPVIADEVYGHLVFGDNPFVPMGVFGSMVPVLTLGSLSKRWIVPGWRLGWFVINDPSYMFKNPKIIERIKKYFDILGGPATFIQAAVPRIIEQTDNVFFKKTINTLKQTSDICWDMIKEIPCLTCPQKPQGSMAAMIKLNISSLEDISDDIDFCFRLAKEESVIILPGTAVGLKNWLRITFAADPQSLKEALGRLKSFCKRHTKLMLQQQQ >KJB81775 pep chromosome:Graimondii2_0_v6:13:45808446:45812082:-1 gene:B456_013G171600 transcript:KJB81775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSVNHEMETASTITIKGILSLLMQNLDENNCKRLIPLGMGDPTLYSCFHTTHVAGQAVVEALQSDKFNGYSPTVGLPQTRRAIAEYLSCDLPYKLSCDDVFITSGCTQAIDVALAMLARPGANVLIPRPGFPIYELCATFRRLEIRYYDLLPENGWEVDLDSVEALADQNTVGFVIINPGNPCGNVYSYQHLKKIAETAEKLKIPVIADEVYGHLVFGDNPFVPMGVFGSMVPVLTLGSLSKRWIVPGWRLGWFIIERIKKYFDILGGPATFIQAAVPRIIEQTDNVFFKKTINTLKQTSDICWDMIKEIPCLTCPQKPQGSMAAMIKLNISSLEDISDDIDFCFRLAKEESVIILPGTAVGLKNWLRITFAADPQSLKEALGRLKSFCKRHTKLMLQQQQ >KJB81776 pep chromosome:Graimondii2_0_v6:13:45809378:45811891:-1 gene:B456_013G171600 transcript:KJB81776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSVNHEMETASTITIKGILSLLMQNLDENNCKRLIPLGMGDPTLYSCFHTTHVAGQAVVEALQSDKFNGYSPTVGLPQTRRAIAEYLSCDLPYKLSCDDVFITSGCTQAIDVALAMLARPGANVLIPRPGFPIYELCATFRRLEIRYYDLLPENGWEVDLDSVEALADQNTVGFVIINPGNPCGNVYSYQHLKKIAETAEKLKIPVIADEVYGHLVFGDNPFVPMGVFGSMVPVLTLGSLSKRWIVPGWRLGWFVINDPSYMFKNPKIIERIKKYFDILGGPATFIQAAVPRIIEQTDNVFFKKTINTLKQTSDICWDMIKEIPCLTCPQKPQGSMAAMVMEQYNSQSLFETRTDS >KJB83931 pep chromosome:Graimondii2_0_v6:13:58253836:58253938:1 gene:B456_013G2721002 transcript:KJB83931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKKQFDEAKDHDYVETDPSGRYGR >KJB83930 pep chromosome:Graimondii2_0_v6:13:58253387:58253938:1 gene:B456_013G2721002 transcript:KJB83930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKKQFDEAKDHDYVETDPSGRYGR >KJB79682 pep chromosome:Graimondii2_0_v6:13:6800011:6806123:1 gene:B456_013G061900 transcript:KJB79682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTVLSKLRNLDAYPKVNEDFFTRSLSGGIITLVSSLAILLLVFSEFRLYLHTVTETKLLVDTSRGETLRINFDVTFPAIPCSILSVDAMDISGEQHLDIKHDIIKKRINTNGDVIESKPDGIGAPKIEKPLQRHGGRLEQNETYCGSCFGAEQSDDDCCNSCEEVRDAYRRKGWAMTNADLIDQCKREGFFERIKEQEGEGCNVQGSLEVNRVAGNFHFAPGKTFHQSNIFFDDLMSVHKDSYNISHRINRLAFGEYFPGVVNPLDGGHWTHETSNGMYQYFIKVVPTIYTDIRGRTVNSNQYSVTEHFKDLEYISPNSQPGVFFYYDFSPIKVTFKEEHSSFLHFITNICAVIGGIFTVAGIIDTFVYHGQRRMKKKMEIGKFR >KJB79684 pep chromosome:Graimondii2_0_v6:13:6800157:6805272:1 gene:B456_013G061900 transcript:KJB79684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTVLSKLRNLDAYPKVNEDFFTRSLSGGIITLVSSLAILLLVFSEFRLYLHTVTETKLLVDTSRGETLRINFDVTFPAIPCSILSVDAMDISGEQHLDIKHDIIKKRINTNGDVIESKPDGIGAPKIEKPLQRHGGRLEQNETYCGSCFGAEQSDDDCCNSCEEVRDAYRRKGWAMTNADLIDQCKREGFFERIKEQEGEGCNVQGSLEVNRVAGNFHFAPGKTFHQSNIFFDDLMSVHKDSYNISHRINRLAFGEYFPGVVNPLDGGHWTHETSNGMYQYFIKVVPTIYTDIRGRTVNSNQYSVTEHFKDLEYISPNSQPGVFFYYDFSPIKDPIRVQKLIHLNGD >KJB79683 pep chromosome:Graimondii2_0_v6:13:6800090:6806123:1 gene:B456_013G061900 transcript:KJB79683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTVLSKLRNLDAYPKVNEDFFTRSLSGGIITLVSSLAILLLVFSEFRLYLHTVTETKLLVDTSRGETLRINFDVTFPAIPCSILSVDAMDISGEQHLDIKHDIIKKRINTNGDVIESKPDGIGAPKIEKPLQRHGGRLEQNETYCGSCFGAEQSDDDCCNSCEEVRDAYRRKGWAMTNADLIDQCKREGFFERIKEQEGEGCNVQGSLEVNRVAGNFHFAPGKTFHQSNIFFDDLMSVHKDSYNISHRINRLAFGEYFPGVVNPLDGGHWTHETSNGMYQYFIKVVPTIYTDIRGRTVNSNQYSVTEHFKDLEYISPNSQPGVFFYYDFSPIKVTFKEEHSSFLHFITNICAVIGGIFTVAGIIDTFVYHGQRRMKKKMEIGKFR >KJB82079 pep chromosome:Graimondii2_0_v6:13:46485947:46487794:1 gene:B456_013G175500 transcript:KJB82079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSHPIVLTSSLNGPVTAFDAVSGVPLCHFSGSRSPYHGLALVGNSFIATSHISAETSSASIHLYNWWSSTALHNFLVPEPVAPLSATLDGLYLFAGGLSGSIHALSVPSGEILASYSAHNKPVSCLKISEDGSLLISGGDDGTISFVPIFQIIEASPDKSSTSLMLQRFVAHDGSVTAIDSIMAQCNSTIISSSLDCTIKIWGLLDGTKLRTVTFPCAIMGIALDQIRKEFFAAGSDGFIYKGSINAGSKKHVNQTQEFIRWAQKHDSGIVSLVMVSETNNLVSASEDGKVYIWEIETVDYAGWS >KJB82080 pep chromosome:Graimondii2_0_v6:13:46486189:46487841:1 gene:B456_013G175500 transcript:KJB82080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSHPIVLTSSLNGPVTAFDAVSGVPLCHFSGSRSPYHGLALVGNSFIATSHISAETSSASIHLYNWWSSTALHNFLVPEPVAPLSATLDGLYLFAGGLSGSIHALSVPSGEILASYSAHNKPVSCLKISEDGSLLISGGDDGTISFVPIFQIIEASPDKSSTSLMLQRFVAHDGSVTAIDSIMAQCNSTIISSSLDCTIKIWGLLDGTKLRTVTFPCAIMGIALDQIRKEFFAAGSDGFIYKGSINAGSKKHVNQTQEFIRWAQKHDSGIVSLVMVSETNNLVSASEDGKVYIWEIETGEAIMGLDNDVGSISDMVVANGMERGLNFGKKADNFYDGYSGLCRVELSRSLKDTLDIEDVLRVATKDRSRAIDMLESAISAYERLLELILKEAKKGPSSSKSGNEKHSL >KJB83421 pep chromosome:Graimondii2_0_v6:13:56559919:56563599:-1 gene:B456_013G246900 transcript:KJB83421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSTSKLDDEEAVQLCKDRKNFIKQAVEQRTRFATGHLAYIQSLKRVSAALRDYVEGDECHEFLLDSFITPPFTPVKKGSPGFISIQSNPKSTLKVSYLRSGGNPAVAVEERPQSPETVRVQAYSPVHHYGMDGIFAMQSSPMNNSSFFTYSPNNRPNIHPPSPQSAQWDFFWNPFSSLDYYGYPNRSSLDQAVMDDDVRGLRQVREEEGIPDLEEDETEHEESESKVNSVEEKAKIHTNYNREEVTVEDVDEDDEDEEEIDMAETEHDVKDVQPQRKVSVEVVRSQTAGQVEVSNKETVVGSSEAKEETPGFTVYVNQRPTSMAEVINDLDAQFMVACDAASEVSGMLEASRAQYSSTSNELTGMKMLNPVALLRSASSRSSSSRFLMNSSSSREAGYESSSSVSEESCMFHGSHQSTLDRLYAWEKKLYEEVKSGEKTRIAYEKKSRQLRNQDVKGDDPSVVDKTRAAIRDLHTQMKVSIHSVEAISKRIETLRDEELQPQLLELVHGMWKVMVECHQAQKRTLDEAKLLLAGAPSKLEAKRQSSISAAANLEAELRNWRACFESWIVSQRSYLRALSGWLLRCLRSDPDTSKLPFSPRRSSGTLVIFGLCIQWSRFLDATRETPVLDGIDFFAAGMGSLYSQQLREESRVGSKRFASGENMELVNIDEVGDVMTTEKLSDVAVRVLCAGMSVAMSSLSEFAISSADGYAELVSKLPQTSNGSRM >KJB83420 pep chromosome:Graimondii2_0_v6:13:56560239:56562660:-1 gene:B456_013G246900 transcript:KJB83420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSTSKLDDEEAVQLCKDRKNFIKQAVEQRTRFATGHLAYIQSLKRVSAALRDYVEGDECHEFLLDSFITPPFTPVKKGSPGFISIQSNPKSTLKVSYLRSGGNPAVAVEERPQSPETVRVQAYSPVHHYGMDGIFAMQSSPMNNSSFFTYSPNNRPNIHPPSPQSAQWDFFWNPFSSLDYYGYPNRSSLDQAVMDDDVRGLRQVREEEGIPDLEEDETEHEESESKVNSVEEKAKIHTNYNREEVTVEDVDEDDEDEEEIDMAETEHDVKDVQPQRKVSVEVVRSQTAGQVEVSNKETVVGSSEAKEETPGFTVYVNQRPTSMAEVINDLDAQFMVACDAASEVSGMLEASRAQYSSTSNELTGMKMLNPVALLRSASSRSSSSRFLMNSSSSREAGYESSSSVSEESCMFHGSHQSTLDRLYAWEKKLYEEVKSGEKTRIAYEKKSRQLRNQDVKGDDPSVVDKTRAAIRDLHTQMKVSIHSVEAISKRIETLRDEELQPQLLELVHGLARMWKVMVECHQAQKRTLDEAKLLLAGAPSKLEAKRQSSISAAANLEAELRNWRACFESWIVSQRSYLRALSGWLLRCLRSDPDTSKLPFSPRRSSGTLVIFGLCIQWSRFLDATRETPVLDGIDFFAAGMGSLYSQQLREESRVGSKRFASGENMELVNIDEVGDVMTTEKLSDVAVRVLCAGMSVAMSSLSEFAISSADGYAELVSKLPQTSNGSRM >KJB82533 pep chromosome:Graimondii2_0_v6:13:51212625:51215374:-1 gene:B456_013G201200 transcript:KJB82533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAESATAINRNQVDLLDFIDWSGVECLNQSSSHPLPNALKQGYREDEGLNLESDADEQLLIYIPFTQVIKLHSIVVMGSEEDGPKTVKLFANREHMGFSNVSDFPPSDTVVLSQDNLKGKPVVVKYVKFQNVRSLTIFIEDNQSGLEITKVQKIALYGSTYGGNDGHEGVEED >KJB82532 pep chromosome:Graimondii2_0_v6:13:51212408:51215422:-1 gene:B456_013G201200 transcript:KJB82532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAESATAINRNQVDLLDFIDWSGVECLNQSSSHPLPNALKQGYREDEGLNLESDADEQLLIYIPFTQVIKLHSIVVMGSEEDGPKTVKLFANREHMGFSNVSDFPPSDTVVLSQDNLKGKPVVVKYVKFQNVRSLTIFIEDNQSGLEITKVQKIALYGSTVETTDMKGLKKIEDH >KJB82534 pep chromosome:Graimondii2_0_v6:13:51213552:51215374:-1 gene:B456_013G201200 transcript:KJB82534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAESATAINRNQVDLLDFIDWSGVECLNQSSSHPLPNALKQGYREDEGLNLESDADEQLLIYIPFTQVIKLHSIVVMGSEEDGPKTVKLFANREHMGFSNVSDFPPSDTVVLSQDNLKGKPVVVKYVKFQNVRSLTIFIEDNQSGLEITKVQKIALYGSTYVLL >KJB80548 pep chromosome:Graimondii2_0_v6:13:19370349:19373580:-1 gene:B456_013G102900 transcript:KJB80548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIQFIKSIDWEQEAYPAYEDFVVLPIFALFFPSVRFFLDRFVFEKVGRRLIFGKGHQMMESDTDERRKKIRKFKESAWKCVYYLSAEILALSVTYDEPWFRNTRNFWVGPGDQVWPDQKIKLKLRGLYMYVAGFYAYSIFALVFWETRRSDFGVSMGHHVATVILIVLSYIFRFARVGSVVLAIHDASDVFLEIGKMSKYSGAEILASFAFVIFVLSWILLRLIYYPFWVLWSTRFV >KJB80547 pep chromosome:Graimondii2_0_v6:13:19368467:19373642:-1 gene:B456_013G102900 transcript:KJB80547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIQFIKSIDWEQEAYPAYEDFVVLPIFALFFPSVRFFLDRFVFEKVGRRLIFGKGHQMMESDTDERRKKIRKFKESAWKCVYYLSAEILALSVTYDEPWFRNTRNFWVGPGDQVWPDQKIKLKLRGLYMYVAGFYAYSIFALVFWETRRSDFGVSMGHHVATVILIVLSYIFRFARVGSVVLAIHDASDVFLEIGKMSKYSGAEILASFAFVIFVLSWILLRLIYYPFWVLWSTSYEVLLTLDKEKHPVDGPICYYLFNTLLFCLLVLHIYWWVLMYRMLVKQIQARGKLSEDVRSDSEDEHED >KJB80463 pep chromosome:Graimondii2_0_v6:13:17790363:17793872:1 gene:B456_013G098800 transcript:KJB80463 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 2 [Source:Projected from Arabidopsis thaliana (AT1G68910) UniProtKB/Swiss-Prot;Acc:A8MQR0] MNEFNVDEKYMHMGNPESNAVQFHEEALSTGEGIQEMLGANLDRIDLDLACYNEKLVNLHVLLMFLLGWDKDPEEMAYGSSDISAQFIEKALVFDLLCGILDSELREVESFLSAVQSEIVDARRKTSSCVPLGALSEKMDKKLHDSEESLKRCQGIVLEVKLLSTKLQASFPYFKHENWTNDEAMDVSEQYQLSNIIGKSKVLTVEQQRQILRMLDKSLARELELENKLLESGQNEESLKLKLHHTEQVALRMEEAAEVVWGRFLEAENVAEVLMGISKELLGRLQIVQFNINGSIQREAELKSKLEGCIEELNAKDIALEKLDISNVEHAAKASEVFTLRKNMKLLEEQLKESEIQLKNAMASNETSQEHLNEMETVIDSLKVSIYEAESRAESAEAKVSELTDANLELTEELNFLKGNNEKSTKKVTSLEKQLRESEIQLQHAKASSEASQEQQNMLYSAIWDMETLIEDLKSKVSKAESRTEGVEEQCIELSESNFELNNELGILRHKIECLETSLNQANIEKEANAKEISHRTKLITDMVAQLPTERERIQKQLSSLVKEKEILVKKLQNMVKADSTAVCNSGGDDGNEISVLNTDSTRATCTKTFEKEVPSATSVQVDEPSKDVSLLRTAARPSSTIIDGGTSAIPSKHVEEKGKTGNFKLLCMLIAILVAIFSALAVYFLSEKQVMFGF >KJB80464 pep chromosome:Graimondii2_0_v6:13:17790850:17793872:1 gene:B456_013G098800 transcript:KJB80464 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 2 [Source:Projected from Arabidopsis thaliana (AT1G68910) UniProtKB/Swiss-Prot;Acc:A8MQR0] MNEFNVDEKYMHMGNPESNAVQFHEEALSTGEGIQEMLGANLDRIDLDLACYNEKLMAYGSSDISAQFIEKALVFDLLCGILDSELREVESFLSAVQSEIVDARRKTSSCVPLGALSEKMDKKLHDSEESLKRCQGIVLEVKLLSTKLQASFPYFKHENWTNDEAMDVSEQYQLSNIIGKSKVLTVEQQRQILRMLDKSLARELELENKLLESGQNEESLKLKLHHTEQVALRMEEAAEVVWGRFLEAENVAEVLMGISKELLGRLQIVQFNINGSIQREAELKSKLEGCIEELNAKDIALEKLDISNVEHAAKASEVFTLRKNMKLLEEQLKESEIQLKNAMASNETSQEHLNEMETVIDSLKVSIYEAESRAESAEAKVSELTDANLELTEELNFLKGNNEKSTKKVTSLEKQLRESEIQLQHAKASSEASQEQQNMLYSAIWDMETLIEDLKSKVSKAESRTEGVEEQCIELSESNFELNNELGILRHKIECLETSLNQANIEKEANAKEISHRTKLITDMVAQLPTERERIQKQLSSLVKEKEILVKKLQNMVKADSTAVCNSGGDDGNEISVLNTDSTRATCTKTFEKEVPSATSVQAGG >KJB80461 pep chromosome:Graimondii2_0_v6:13:17791113:17792931:1 gene:B456_013G098800 transcript:KJB80461 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 2 [Source:Projected from Arabidopsis thaliana (AT1G68910) UniProtKB/Swiss-Prot;Acc:A8MQR0] MNEFNVDEKYMHMGNPESNAVQFHEEALSTGEGIQEMLGANLDRIDLDLACYNEKLVNLHVLLMFLLGWDKDPEEMAYGSSDISAQFIEKALVFDLLCGILDSELREVESFLSAVQSEIVDARRKTSSCVPLGALSEKMDKKLHDSEESLKRCQGIVLEVKLLSTKLQASFPYFKHENWTNDEAMDVSEQYQLSNIIGKSKVLTVEQQRQILRMLDKSLARELELENKLLESGQNEESLKLKLHHTEQVALRMEEAAEVVWGRFLEAENVAEVLMGISKELLGRLQIVQFNINGSIQREAELKSKLEGCIEELNAKDIALEKLDISNVEHAAKASEVFTLRKNMKLLEEQLKESEIQLKNAMASNETSQEHLNEMETVIDSLKVSIYEAESRAESAEAKVSELTDANLELTEELNFLKGNNEKSTKKVTSLEKQLRESEIQLQHAKASSEASQEQQNMLYSAIWDMETLIEDLKSKVSKAESRTEGVEEQCIELSESNFELNNELGILRHKIECLETSLNQANIEKEANAKEISHRTKLITDMVAQLPTERERIQKQVFTLCYCDTIKEIKTCPLHLE >KJB80462 pep chromosome:Graimondii2_0_v6:13:17791113:17792931:1 gene:B456_013G098800 transcript:KJB80462 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 2 [Source:Projected from Arabidopsis thaliana (AT1G68910) UniProtKB/Swiss-Prot;Acc:A8MQR0] MNEFNVDEKYMHMGNPESNAVQFHEEALSTGEGIQEMLGANLDRIDLDLACYNEKLVNLHVLLMFLLGWDKDPEEMAYGSSDISAQFIEKALVFDLLCGILDSELREVESFLSAVQSEIVDARRKTSSCVPLGALSEKMDKKLHDSEESLKRCQGIVLEVKLLSTKLQASFPYFKHENWTNDEAMDVSEQYQLSNIIGKSKVLTVEQQRQILRMLDKSLARELELENKLLESGQNEESLKLKLHHTEQVALRMEEAAEVVWGRFLEAENVAEVLMGISKELLGRLQIVQFNINGSIQREAELKSKLEGCIEELNAKDIALEKLDISNVEHAAKASEVFTLRKNMKLLEEQLKESEIQLKNAMASNETSQEHLNEMETVIDSLKVSIYEAESRAESAEAKVSELTDANLELTEELNFLKGNNEKSTKKVTSLEKQLRESEIQLQHAKASSEASQEQQNMLYSAIWDMETLIEDLKSKVSKAESRTEGVEEQCIELSESNFELNNELGILRHKIECLETSLNQANIEKEANAKEISHRTKLITDMVAQLPTERERIQKQVFTLCYCDTIKEIKTCPLHLE >KJB80465 pep chromosome:Graimondii2_0_v6:13:17790363:17793872:1 gene:B456_013G098800 transcript:KJB80465 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 2 [Source:Projected from Arabidopsis thaliana (AT1G68910) UniProtKB/Swiss-Prot;Acc:A8MQR0] MNEFNVDEKYMHMGNPESNAVQFHEEALSTGEGIQEMLGANLDRIDLDLACYNEKLVNLHVLLMFLLGWDKDPEEMAYGSSDISAQFIEKALVFDLLCGILDSELREVESFLSAVQSEIVDARRKTSSCVPLGALSEKMDKKLHDSEESLKRCQGIVLEVKLLSTKLQASFPYFKHENWTNDEAMDVSEQYQLSNIIGKSKVLTVEQQRQILRMLDKSLARELELENKLLESGQNEESLKLKLHHTEQVALRMEEAAEVVWGRFLEAENVAEVLMGISKELLGRLQIVQFNINGSIQREAELKSKLEGCIEELNAKDIALEKLDISNVEHAAKASEVFTLRKNMKLLEEQLKESEIQLKNAMASNETSQEHLNEMETVIDSLKVSIYEAESRAESAEAKVSELTDANLELTEELNFLKGNNEKSTKKVTSLEKQLRESEIQLQHAKASSEASQEQQNMLYSAIWDMETLIEDLKSKVSKAESRTEGVEEQCIELSESNFELNNELGILRHKIECLETSLNQANIEKEANAKEISHRTKLITDMVAQLPTERERIQKQLSSLVKEKEILVKKLQNMVKADSTAVCNSGGDDGNEISVLNTDSTRATCTKTFEKEVPSATSVQAGG >KJB80459 pep chromosome:Graimondii2_0_v6:13:17790363:17793872:1 gene:B456_013G098800 transcript:KJB80459 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 2 [Source:Projected from Arabidopsis thaliana (AT1G68910) UniProtKB/Swiss-Prot;Acc:A8MQR0] MNEFNVDEKYMHMGNPESNAVQFHEEALSTGEGIQEMLGANLDRIDLDLACYNEKLVNLHVLLMFLLGWDKDPEEMAYGSSDISAQFIEKALVFDLLCGILDSELREVESFLSAVQSEIVDARRKTSSCVPLGALSEKMDKKLHDSEESLKRCQGIVLEVKLLSTKLQASFPYFKHENWTNDEAMDVSEQYQLSNIIGKSKVLTVEQQRQILRMLDKSLARELELENKLLESGQNEESLKLKLHHTEQVALRMEEAAEVVWGRFLEAENVAEVLMGISKELLGRLQIVQFNINGSIQREAELKSKLEGCIEELNAKDIALEKLDISNVEHAAKASEVFTLRKNMKLLEEQLKESEIQLKNAMASNETSQEHLNEMETVIDSLKVSIYEAESRAESAEAKVSELTDANLELTEELNFLKGNNEKSTKKVTSLEKQLRESEIQLQHAKASSEASQEQQNMLYSAIWDMETLIEDLKSKVSKAESRTEGVEEQCIELSESNFELNNELGILRHKIECLETSLNQANIEKEANAKEISHRTKLITDMVAQLPTERERIQKQLSSLVKEKEILVKKLQNMVKADSTAVCNSGGDDGNEISVLNTDSTRATCTKTFEKEVPSATSVQAGG >KJB80460 pep chromosome:Graimondii2_0_v6:13:17790363:17793872:1 gene:B456_013G098800 transcript:KJB80460 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 2 [Source:Projected from Arabidopsis thaliana (AT1G68910) UniProtKB/Swiss-Prot;Acc:A8MQR0] MNEFNVDEKYMHMGNPESNAVQFHEEALSTGEGIQEMLGANLDRIDLDLACYNEKLVNLHVLLMFLLGWDKDPEEMAYGSSDISAQFIEKALVFDLLCGILDSELREVESFLSAVQSEIVDARRKTSSCVPLGALSEKMDKKLHDSEESLKRCQGIVLEVKLLSTKLQASFPYFKHENWTNDEAMDVSEQYQLSNIIGKSKVLTVEQQRQILRMLDKSLARELELENKLLESGQNEESLKLKLHHTEQVALRMEEAAEVVWGRFLEAENVAEVLMGISKELLGRLQIVQFNINGSIQREAELKSKLEGCIEELNAKDIALEKLDISNVEHAAKASEVFTLRKNMKLLEEQLKESEIQLKNAMASNETSQEHLNEMETVIDSLKVSIYEAESRAESAEAKVSELTDANLELTEELNFLKGNNEKSTKKVTSLEKQLRESEIQLQHAKASSEASQEQQNMLYSAIWDMETLIEDLKSKVSKAESRTEGVEEQCIELSESNFELNNELGILRHKIECLETSLNQANIEKEANAKEISHRTKLITDMVAQLPTERERIQKQLSSLVKEKEILVKKLQNMVKADSTAVCNSGGDDGNEISVLNTDSTRATCTKTFEKEVPSATSVQAGTLQVDEPSKDVSLLRTAARPSSTIIDGGTSAIPSKHVEEKGKTGNFKLLCMLIAILVAIFSALAVYFLSEKQVMFGF >KJB80589 pep chromosome:Graimondii2_0_v6:13:21339622:21341442:1 gene:B456_013G105500 transcript:KJB80589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADNCKPDRFTYNIFIHGVCKVGVIDEAVRLVKQMESFGYSLNVYTYTILIDGYCNARKVDDPFRLIETMKKRNVFPNEATVRSLIHGVFRCVAPRKAFELLIMFLEKEPMM >KJB78513 pep chromosome:Graimondii2_0_v6:13:359061:360591:-1 gene:B456_013G004800 transcript:KJB78513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLTRPSHSILLILFFMLAINSTSALTKYNVLNFGAKPNGKTDSTKAFLMAWKAACASADSTIIYVPKGRYLLGSMAFQGGCKSPQIIFRIDGTLVAPQDYRVLGKSTDWLSFQGVNGVSILGGALDAKGPSLWACKASHSNCPSGATTLSFTNSKNIRIRSLLSLNSQMFHIVINGCENVNVQGVRIIAAGNSPNTDGIHVQLSKNVNIIKCSIKTGDDCISIGPGTKNLWIEQITCGPGYGISIGSLAKDLKEEGVQNVTSWARPSTGFVQGVRFMDSLMRNVQNPIVIDQNYCPHNLNCPNQVSGIKIKDIIYEGIRGTSSTEVAIKFDCSPKNPCTGIRLQNVNLSYLNKPAQSSCSNVRGKALNLVRPESCI >KJB82674 pep chromosome:Graimondii2_0_v6:13:51985105:51986802:1 gene:B456_013G208500 transcript:KJB82674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNITSSRAATVTSRVRALTKAPTPPERAYATFLAGNGDYVKGVVGLAKGLRKVKSKYPLVVAVLPDVPEDHRKILVAQGCIVKEIEPVLPPENQTQFAMAYYVINYSKLRIWKFVEYSKMIYLDGDIQVFDNIDHLFDMEDGYFYAVMDCFCEKTWSHTPQYKIGYCQQCRNKVEWPAHLGPKPPLYFNAGMFVYEPNLSTYYQLLATLKVTPPTPFAEQDYLNKFFRDIYRPIPPIYNLVMAMLWRHPENVDAEKAKVVHYCAAGSKPWRFTGKEENMDREDIKKLVTKWWDIYNDASLDYNLGEAEEAEEDEQRGIEQFLAALSEAGVVHYISAPSAA >KJB82542 pep chromosome:Graimondii2_0_v6:13:51238680:51239173:-1 gene:B456_013G201500 transcript:KJB82542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDTPSGGNTSHGYYTPHGRKVSSASIFFESLPYKVNPQTGYIDYEKLEERALDFRPKILICGGSSYSREWDYGRFRQTADKCGAVLLCDMAQISGLIAAKRKGC >KJB82541 pep chromosome:Graimondii2_0_v6:13:51237157:51240369:-1 gene:B456_013G201500 transcript:KJB82541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDTPSGGNTSHGYYTPHGRKVSSASIFFESLPYKVNPQTGYIDYEKLEERALDFRPKILICGGSSYSREWDYGRFRQTADKCGAVLLCDMAQISGLIAAKNLPIFLKVLLLLQEKRLLNWKISHRLQSFQLMLDKRAENPNQEVL >KJB82543 pep chromosome:Graimondii2_0_v6:13:51237164:51240369:-1 gene:B456_013G201500 transcript:KJB82543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDTPSGGNTSHGYYTPHGRKVSSASIFFESLPYKVNPQTGYIDYEKLEERALDFRPKILICGGSSYSREWDYGRFRQTADKCGAVLLCDMAQISGLIAAKAAKLEDFSPTSIISTDAGQES >KJB83768 pep chromosome:Graimondii2_0_v6:13:57671655:57677175:1 gene:B456_013G262900 transcript:KJB83768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLVAASHLLPSSTRRQLPPSPPSSSRASSRATTIKCRFASRNDEPRNPTPFLRSLSKPVALASASAATLLIRITPIFTLLSGGGGNNCGGSGGGGFSGGGGGNNGGDGNFWEKLFSPSPAIADDNNQNQEWDSHGLPANIVVQLNKLSGFKKYKLSEILFFDRRRWTTVGTEDSFFEMVSLRPGGIYTKTQLQKELETLATCGMFEKVDMEGKTNPDGTLGLTISFAESTWQSADRFRCINVGLMAQSKPIEMDPDMTDKEKLEYYKSQEKDYKRRIERARPCLLPMQVHREVLQMLRDQGKVSARLLQKIRDRVQKWYHDEGYACAQVVNFGNLNTKEVVCEVVEGDITQLVIQFQDKLGNVIEGNTQLPVVRRELPRQLRQGNVFNIEAGKQALRNINSLALFSNIEVNPQPDEKNEGGIIVEIKLKELDQKSAEVSTEWSIVPGRGGHPTLASLQPGGTVSFEHRNLKGLNRSILGSLTTSNFFNPQDDLAFKLEYVHPYLDGVYNPRNRTLRANCFNSRKLSPVFTGGPGVDEVPPIWVDRAGVKFNITENFTRQSKFTYGLVMEEITTRDESSHISPNGQRVLPSGGISADGPPTTLSGTGVDRMAFLQANITRDNTKFVNGAIVGERNVFQVDQGLGIGTKFPFFNRHQLTITRFLQLKQVEEGAGKSPPPILVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGELGAARNILELGAEIRIPLRNTHVYAFAEHGNDLGSSKDVKGNPTEVYRRMGHGSSYGVGVKLGLVRAEYAVDHNNGTGAVFFRFGERY >KJB83769 pep chromosome:Graimondii2_0_v6:13:57671788:57676462:1 gene:B456_013G262900 transcript:KJB83769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLVAASHLLPSSTRRQLPPSPPSSSRASSRATTIKCRFASRNDEPRNPTPFLRSLSKPVALASASAATLLIRITPIFTLLSGGGGNNCGGSGGGGFSGGGGGNNGGDGNFWEKLFSPSPAIADDNNQNQEWDSHGLPANIVVQLNKLSGFKKYKLSEILFFDRRRWTTVGTEDSFFEMVSLRPGGIYTKTQLQKELETLATCGMFEKVDMEGKTNPDGTLGLTISFAESTWQSADRFRCINVGLMAQSKPIEMDPDMTDKEKLEYYKSQEKDYKRRIERARPCLLPMQVHREVLQMLRDQGKVSARLLQKIRDRVQKWYHDEGYACAQVVNFGNLNTKEVVCEVVEGDITQLVIQFQDKLGNVIEGNTQLPVVRRELPRQLRQGNVFNIEAGKQALRNINSLALFSNIEVNPQPDEKNEGGIIVEIKLKELDQKSAEVSTEWSIVPGRGGHPTLASLQPGGTVSFEHRNLKGLNRSILGSLTTSNFFNPQDDLAFKLEYVHPYLDGVYNPRNRTLRANCFNSRKLSPVFTGGPGVDEVPPIWVDRAGVKFNITENFTRQSKFTYGLVMEEITTRDESSHISPNGQRVLPSGGISADGPPTTLSGTGVDRMAFLQANITRDNTKFVNGAIVGERNVFQVDQGLGIGTKFPFFNRHQLTITRFLQLKQVEEGAGKSPPPILVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGELGAARNILEVRRIGDTYFFS >KJB80447 pep chromosome:Graimondii2_0_v6:13:17454992:17455679:-1 gene:B456_013G098200 transcript:KJB80447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERVITCLSILLLFAALISIAQATKDGIPDSNHMTVPIRKGLLKGGDEHAASVTAAINKKWLRGRKMVQMKKLNDVENMSGAFGLGGSTSKLSKCGDNNNNNKGCDQITVNLPDEVDEHQSGFVAFNADYHAPRHHPPKNN >KJB79164 pep chromosome:Graimondii2_0_v6:13:2792038:2793288:-1 gene:B456_013G0360001 transcript:KJB79164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGKILSSGPILLFLLYLVALVSLPADAAVKRYQFDVQVKNVSRLCHAKPIVTVNGMFPGPTIYARDGDRVVINVTNYAQYNMSIHWHGLKQLRNGWADGPAYITQCPIQNGHSYTYDFNVTGQRGTLWWHAHIFWLRATVYGAIAIMPKQGTPFPFPQPYRETNIVLGEWWNNDVEEVVKQGNKLGLPPNTSDAHTINGKPGPLFPCSEKHTFAMEVEQGKTYLLRIINAALNDELFFAVAGHNMTVVEIDAVYTKPFTTQAILIAPGQTTNVLVQANQAPGRYFMAARPFMDAPLT >KJB79956 pep chromosome:Graimondii2_0_v6:13:8931958:8935951:-1 gene:B456_013G074400 transcript:KJB79956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 1 [Source:Projected from Arabidopsis thaliana (AT2G20840) UniProtKB/Swiss-Prot;Acc:Q9SKT3] MSRFDANPFAEEEVNPFSDPAVRKGSGQSNYGGGAFYTTNPGSVPSATSRLSPLPPEPYDRGATVDIPLDSAKDLKAREKELKAKEAELNKREQELRRKEDAIARAGIVIEDKNWPPYFPIIHHDIANEIPVHLQKIQYVAFTTLLGLVLCLTWNVLAVTTAWIKGEGPTIWFLAIIYFISGVPGGYVMWYRPLYRAMRTDSALKFGWFFLFYLLHIGFCIFASVAPPIIFKGKSLAGILPAIDLIGHNALVGVSFRPLGCFACNCCFWFYFSTISEKT >KJB79954 pep chromosome:Graimondii2_0_v6:13:8931678:8935951:-1 gene:B456_013G074400 transcript:KJB79954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 1 [Source:Projected from Arabidopsis thaliana (AT2G20840) UniProtKB/Swiss-Prot;Acc:Q9SKT3] MSRFDANPFAEEEVNPFSDPAVRKGSGQSNYGGGAFYTTNPGSVPSATSRLSPLPPEPYDRGATVDIPLDSAKDLKAREKELKAKEAELNKREQELRRKEDAIARAGIVIEDKNWPPYFPIIHHDIANEIPVHLQKIQYVAFTTLLGLVLCLTWNVLAVTTAWIKGEGPTIWFLAIIYFISGVPGGYVMWYRPLYRAMRTDSALKFGWFFLFYLLHIGFCIFASVAPPIIFKGKSLAGILPAIDLIGHNALVGIFYFIGFGFFCIESLLSVWVIQQVYMYFRGSGKADEMKREAARRTVAAAL >KJB79955 pep chromosome:Graimondii2_0_v6:13:8931162:8936113:-1 gene:B456_013G074400 transcript:KJB79955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 1 [Source:Projected from Arabidopsis thaliana (AT2G20840) UniProtKB/Swiss-Prot;Acc:Q9SKT3] MSRFDANPFAEEEVNPFSDPAVRKGSGQSNYGGGAFYTTNPGSVPSATSRLSPLPPEPYDRGATVDIPLDSAKDLKAREKELKAKEAELNKREQELRRKEDAIARAGIVIEDKNWPPYFPIIHHDIANEIPVHLQKIQYVAFTTLLGLVLCLTWNVLAVTTAWIKGEGPTIWFLAIIYFISGVPGGYVMWYRPLYRAMRTDSALKFGWFFLFYLLHIGFCIFASVAPPIIFKGKSLAGILPAIDLIGHNALR >KJB79953 pep chromosome:Graimondii2_0_v6:13:8931083:8936158:-1 gene:B456_013G074400 transcript:KJB79953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 1 [Source:Projected from Arabidopsis thaliana (AT2G20840) UniProtKB/Swiss-Prot;Acc:Q9SKT3] MSRFDANPFAEEEVNPFSDPAVRKGSGQSNYGGGAFYTTDLKAREKELKAKEAELNKREQELRRKEDAIARAGIVIEDKNWPPYFPIIHHDIANEIPVHLQKIQYVAFTTLLGLVLCLTWNVLAVTTAWIKGEGPTIWFLAIIYFISGVPGGYVMWYRPLYRAMRTDSALKFGWFFLFYLLHIGFCIFASVAPPIIFKGKSLAGILPAIDLIGHNALVGIFYFIGFGFFCIESLLSVWVIQQVYMYFRGSGKADEMKREAARRTVAAAL >KJB83877 pep chromosome:Graimondii2_0_v6:13:58319855:58320000:1 gene:B456_013G2727001 transcript:KJB83877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PERIQRHYIVILSTISVFGIFGLPCLIRYESFLQHCLFRSPF >KJB83878 pep chromosome:Graimondii2_0_v6:13:58319855:58321163:1 gene:B456_013G2727001 transcript:KJB83878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PERIQRHYIVILSTISVFGIFGLPCLISVLSNKPLPLPLPLHTFQRLTSDQASFLPSFEI >KJB78649 pep chromosome:Graimondii2_0_v6:13:889563:892502:1 gene:B456_013G013200 transcript:KJB78649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRSWFSWVKKIFASNAQPKTQKKSRRWRWIFGKLKLKQCRPALPAIPQQKSLCQATEDQRKHALNLAIATAAAAEVAVAAARAAAEVVRLANASNHFSNFTTKDRNRAAIKIQTAFRAHLARKALRALKGLVLLQAIIRGQVTRRRAMKNMKCLQSGTEMYPGIKEKVICQDTRRNQSLVHKDQLQVKDIIEHEYYSRRNWNDSVFSKEDAEAVWLRRQEAMAKRERMKKYSYSHRERVNSYMLDESVHVKETGRNSFLEAEANSEGTRRERMMILKHNVASNSSTWEVHGLQGLNSPYLFPRRSFCRVQNQNPAGNDSSVPSSTVFPTYMAATESAKAKVRSMSTPRQRLGLSDTCFDHNMPYKGGLSLWSTYNGETFKYQ >KJB78643 pep chromosome:Graimondii2_0_v6:13:889272:892589:1 gene:B456_013G013200 transcript:KJB78643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRSWFSWVKKIFASNAQPKTQKKSRRWRWIFGKLKLKQCRPALPAIPQQKSLCQATEDQRKHALNLAIATAAAAEVAVAAARAAAEVVRLANASNHFSNFTTKDRNRAAIKIQTAFRAHLARKALRALKGLVLLQAIIRGQVTRRRAMKNMKCLQSGTEMYPGIKEKVICQDTRRNQSLVHKDQLQVKDIIEHEYYSRRNWNDSVFSKEDAEAVWLRRQEAMAKRERMKKYSYSHRERVNSYMLDESVHVKETGRNSFLEAEANSEGTRRERMMILKHNVASNSSTWEVHGLQGLNSPYLFPRRSFCRVQNQNPAGNDSSVPSSTVFPTYMAATESAKAKVRSMSTPRQRLGLSDTCFDHNMPYKGGLSLWSTYNGETFKYQ >KJB78646 pep chromosome:Graimondii2_0_v6:13:889586:892502:1 gene:B456_013G013200 transcript:KJB78646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRSWFSWVKKIFASNAQPKTQKKSRRWRWIFGKLKLKQCRPALPAIPQQKSLCQATEDQRKHALNLAIATAAAAEVAVAAARAAAEVVRLANASNHFSNFTTKDRNRAAIKIQTAFRAHLARKALRALKGLVLLQAIIRGQVTRRRAMKNMKCLQSGTEMYPGIKEKVICQDTRRNQSLVHKDQLQVKDIIEHEYYSRRNWNDSVFSKEDAEAVWLRRQEAMAKRERMKKYSYSHRERVNSYMLDESVHVKETGRNSFLEAEANSEGTRRERMMILKHNVASNSSTWEVHGLQGLNSPYLFPRRSFCRVQNQNPAGNDSSVPSSTVFPTYMAATESAKAKVRSMSTPRQRLGLSDTCFDHNMPYKGGLSLWSTYNGETFKYQ >KJB78644 pep chromosome:Graimondii2_0_v6:13:890444:892502:1 gene:B456_013G013200 transcript:KJB78644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRSWFSWVKKIFASNAQPKTQKKSRRWRWIFGKLKLKQCRPALPAIPQQKSLCQATEDQRKHALNLAIATAAAAEVAVAAARAAAEVVRLANASNHFSNFTTKDRNRAAIKIQTAFRAHLARKALRALKGLVLLQAIIRGQVTRRRAMKNMKCLQSGTEMYPGIKEKVICQDTRRNQSLVHKDQLQVKDIIEHEYYSRRNWNDSVFSKEDAEAVWLRRQEAMAKRERMKKYSYSHRERVNSYMLDESVHVKETGRNSFLEAEANSEGTRRERMMILKHNVASNSSTWEVHGLQGLNSPYLFPRRSFCRVQNQNPAGNDSSVPSSTVFPTYMAATESAKAKVRSMSTPRQRLGLSDTCFDHNMPYKGGLSLWSTYNGETFKYQ >KJB78648 pep chromosome:Graimondii2_0_v6:13:890650:892322:1 gene:B456_013G013200 transcript:KJB78648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRSWFSWVKKIFASNAQPKTQKKSRRWRWIFGKLKLKQCRPALPAIPQQKSLCQATEDQRKHALNLAIATAAAAEVAVAAARAAAEVVRLANASNHFSNFTTKDRNRAAIKIQTAFRAHLARKALRALKGLVLLQAIIRGQVTRRRAMKNMKCLQSGTEMYPGIKEKVICQDTRRNQSLVHKDQLQVKDIIEHEYYSRRNWNDSVFSKEDAEAVWLRRQEAMAKRERMKKYSYSHRERVNSYMLDESVHVKETGRNSFLEAEANSEGTRRERMMILKHNVASNSSTWEVHGLQGLNSPYLFPRRSFCRVQNQNPAGNDSSVPSSTVFPTYMAATESAKAKVRSMSTPRQRLGLSDTCFDHNMPYKGGLSLWSTYNGETFKYQ >KJB78645 pep chromosome:Graimondii2_0_v6:13:889449:892502:1 gene:B456_013G013200 transcript:KJB78645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRSWFSWVKKIFASNAQPKTQKKSRRWRWIFGKLKLKQCRPALPAIPQQKSLCQATEDQRKHALNLAIATAAAAEVAVAAARAAAEVVRLANASNHFSNFTTKDRNRAAIKIQTAFRAHLARKALRALKGLVLLQAIIRGQVTRRRAMKNMKCLQSGTEMYPGIKEKVICQDTRRNQSLVHKDQLQVKDIIEHEYYSRRNWNDSVFSKEDAEAVWLRRQEAMAKRERMKKYSYSHRERVNSYMLDESVHVKETGRNSFLEAEANSEGTRRERMMILKHNVASNSSTWEVHGLQGLNSPYLFPRRSFCRVQNQNPAGNDSSVPSSTVFPTYMAATESAKAKVRSMSTPRQRLGLSDTCFDHNMPYKGGLSLWSTYNGETFKYQ >KJB78647 pep chromosome:Graimondii2_0_v6:13:889397:892589:1 gene:B456_013G013200 transcript:KJB78647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRRWRWIFGKLKLKQCRPALPAIPQQKSLCQATEDQRKHALNLAIATAAAAEVAVAAARAAAEVVRLANASNHFSNFTTKDRNRAAIKIQTAFRAHLARKALRALKGLVLLQAIIRGQVTRRRAMKNMKCLQSGTEMYPGIKEKVICQDTRRNQSLVHKDQLQVKDIIEHEYYSRRNWNDSVFSKEDAEAVWLRRQEAMAKRERMKKYSYSHRERVNSYMLDESVHVKETGRNSFLEAEANSEGTRRERMMILKHNVASNSSTWEVHGLQGLNSPYLFPRRSFCRVQNQNPAGNDSSVPSSTVFPTYMAATESAKAKVRSMSTPRQRLGLSDTCFDHNMPYKGGLSLWSTYNGETFKYQ >KJB82990 pep chromosome:Graimondii2_0_v6:13:54355796:54358890:-1 gene:B456_013G223900 transcript:KJB82990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMASSTFFFFLLCLTVTAKKTYIVHMKHQDKPLSFETHNDWYSSSLQSLTATPAESLLYSYNAAFHGFAASLDPEQAEALSKSDSVLGVYEDTVYNLHTTRTPQFLGLDAESGLWAGHNTQQLEQASRDVIIGVLDTGVWPESKSFDDSGMPEVPAKWRGECESAPDFNPKFCNRKLIGARSFSKGYRMASGGGGIYKKPGEIQSPRDKDGHGTHTASTAAGSHVANASLLGYASGTARGMATHARVAAYKVCWETGCFGSDILAGMERAIEDGVDVLSLSLGGGSAPYFRDTIAIGAFTAMEKGIFVSCSAGNSGPTKATLANVAPWIMTVGAGTLDRDFPAYAVLGNKIRYNGVSLYSGRGMGKNPVGLVYSKGNSSGSNLCLTGSLDPALVRGKVVLCDRGTTARVEKGAVVRDAGGVGMILANTEASGEELVADSHLLPAVAVGRKVGDLIREYARSEPNPTAALVFGGTVLDIKPSPVVAAFSSRGPNMVTPQILKPDVIGPGVNILAAWSEAIGPTGLAKDSRKTMFNIMSGTSMSCPHISGLAALIKAAHPEWSPSAIKSALMTTAYTQDNTNSTLRDAADGSLSNPWAHGAGHVDPQKALSPGLVYDISTEEYITFLCSLGYTVDHVKTIVKRPNITCSTKFKDPGELNYPSFSVLFGGKRVVRYTRELTNVGPARSIYKVTVNGPSTVGISVRPKTLIFRSVGEKKRYTVTFVAKRGTSPMAKPEFGSIVWGNAQNQVKSPVSFSWSLM >KJB80581 pep chromosome:Graimondii2_0_v6:13:20945328:20951954:-1 gene:B456_013G105000 transcript:KJB80581 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS45 [Source:Projected from Arabidopsis thaliana (AT1G77140) UniProtKB/TrEMBL;Acc:A0A178WPE4] MVLVSAVRDYVYRMLQDISGMKVLILDSQTVSIVSVVYSQSELLQKEVFLVELIDSISKSKESMSHLKAVYFLRPTSENIQYMRRQLSNPRFGECHLFFSNMLKDTQIHLLADSDEQEVVQQVQEFYADFISVDPYHFTLNMPSNHYYILPAVVDPSNLQHFCDRAVDGIGAVFLALKRRPIIRYSRASDIAKRIAHETALMYQQESGLFDFRRMEMSPLLLIVDRRDDPVTPLLNQWTYQAMVHELIGIQDNKVDLRSIGKFPKDQQEVVLSSEQDAFFKANMYENFGDIGMNIKRMVDDFQQVAKSNQNIQTIEDMAKFVDNYPEYRKMHGNVSKHVTLVTEMSKIVEERKLMLVSETEQDLACNGGQVAAFEAVTNLLNNESVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKPGLVQFLLKQAGADKRTGDLYGNRDFLNIARNMARGLKGVENVYTQHQPLLFQTMESIIKGRLRDVDYPYVGNHFQQGRPQEVVIFIIGGTTYEESRSVAQLNASNSGIRFIIGGTAVLNSKRFLKDLEEAQGIARSNANVV >KJB80579 pep chromosome:Graimondii2_0_v6:13:20945317:20952051:-1 gene:B456_013G105000 transcript:KJB80579 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS45 [Source:Projected from Arabidopsis thaliana (AT1G77140) UniProtKB/TrEMBL;Acc:A0A178WPE4] MVLVSAVRDYVYRMLQDISGMKVLILDSQTVSIVSVVYSQSELLQKEVFLVELIDSISKSKESMSHLKAVYFLRPTSENIQYMRRQLSNPRFGECHLFFSNMLKDTQIHLLADSDEQEVVQQVQEFYADFISVDPYHFTLNMPSNHYYILPAVVDPSNLQHFCDRAVDGIGAVFLALKRRPIIRYSRASDIAKRIAHETAKLMYQQESGLFDFRRMEMSPLLLIVDRRDDPVTPLLNQWTYQAMVHELIGIQDNKVDLRSIGKFPKDQQEVVLSSEQDAFFKANMYENFGDIGMNIKRMVDDFQQVAKSNQNIQTIEDMAKFVDNYPEYRKMHGNVSKHVTLVTEMSKIVEERKLMLVSETEQDLACNGGQVAAFEAVTNLLNNESVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKPGLVQFLLKQAGADKRTGDLYGNRDFLNIARNMARGLKGVENVYTQHQPLLFQTMESIIKGRLRDVDYPYVGNHFQQGRPQEVVIFIIGGTTYEESRSVAQLNASNSGIRFIIGGTAVLNSKRFLKDLEEAQGIARSNANVV >KJB80580 pep chromosome:Graimondii2_0_v6:13:20946606:20951779:-1 gene:B456_013G105000 transcript:KJB80580 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS45 [Source:Projected from Arabidopsis thaliana (AT1G77140) UniProtKB/TrEMBL;Acc:A0A178WPE4] MVLVSAVRDYVYRMLQDISGMKVLILDSQTVSIVSVVYSQSELLQKEVFLVELIDSISKSKESMSHLKAVYFLRPTSENIQYMRRQLSNPRFGECHLFFSNMLKDTQIHLLADSDEQEVVQQVQEFYADFISVDPYHFTLNMPSNHYYILPAVVDPSNLQHFCDRAVDGIGAVFLALKRRPIIRYSRASDIAKRIAHETAKLMYQQESGLFDFRRMEMSPLLLIVDRRDDPVTPLLNQWTYQAMVHELIGIQDNKVDLRSIGKFPKDQQEVVLSSEQDAFFKANMYENFGDIGMNIKRMVDDFQQVAKSNQNIQTIEDMAKFVDNYPEYRKMHGNVSKHVTLVTEMSKIVEERKLMLVSETEQDLACNGGQVAAFEAVTNLLNNESVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKPGLVQFLLKQAGADKRTGDLYGNRDFLNIARNMARGLKGVENVYTQHQPLLFQTMESIIKGRLRDVDYPYVGNHFQQGRFVAIYKVIELFWESLQ >KJB82996 pep chromosome:Graimondii2_0_v6:13:54401513:54403700:1 gene:B456_013G224100 transcript:KJB82996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRFQIFKENLDLIRSTNKKGLSYTLAVNRFADWSWDEFQKHRLGAAQNCSATTKGNHQLTDVVLPESKDWREAGIVSPVKEQGSCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCHGGLPSQAFEYIKYNGGLDTEEAYPYTAKDGKCKFSPENVGVQVIDSVNITLGAEDELKHAVALVRPVSVAFQVITSFRFYKTGVFTSDKCGTTSQDVNHAVLAVGYGVENGVPYWLIKNSWGAQWGDNGYFKMEMGKNMCGVATCASYPVVA >KJB82997 pep chromosome:Graimondii2_0_v6:13:54401513:54403700:1 gene:B456_013G224100 transcript:KJB82997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTLVSSIILMLCCVAAASTFEDSNPIRMVSDGLRGYESSVLRVIGHTRHAISFARFAYKHGRKYETVEEMKLRFQIFKENLDLIRSTNKKGLSYTLAVNRFADWSWDEFQKHRLGAAQNCSATTKGNHQLTDVVLPESKDWREAGIVSPVKEQGSCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCHGGLPSQAFEYIKYNGGLDTEEAYPYTAKDGKCKFSPENVGVQVIDSVNITLGAEDELKHAVALVRPVSVAFQVITSFRFYKTGVFTSDKCGTTSQDVNHAVLAVGYGVENGVPYWLIKNSWGAQWGDNGYFKMEMGKNMCGVATCASYPVVA >KJB82995 pep chromosome:Graimondii2_0_v6:13:54401513:54403336:1 gene:B456_013G224100 transcript:KJB82995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTLVSSIILMLCCVAAASTFEDSNPIRMVSDGLRGYESSVLRVIGHTRHAISFARFAYKHGRKYETVEEMKLRFQIFKENLDLIRSTNKKGLSYTLAVNRFADWSWDEFQKHRLGAAQNCSATTKGNHQLTDVVLPESKDWREAGIVSPVKEQGSCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCHGGLPSQAFEYIKYNGGLDTEEAYPYTAKDGKCKFSPENVGVQVIDSVNITLGAEDELKHAVALVRPVSVAFQVITSFRFYKTGVFTSDKCGTTSQVRFLLSYSNLVVNVR >KJB83516 pep chromosome:Graimondii2_0_v6:13:56909114:56911619:1 gene:B456_013G251400 transcript:KJB83516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQSMGETITKRSKWQYPPPQPAPKILHLPPRPKRKPPLKPSKLPSLHNETKGKQLVNLFDQERCFKRGLIPLMLVNPMEESNEEMKREKVEEEEEEEEENNGGVVALVEEEKWKFQAEMLRAECNLLRIERDIVVKKMERSCVKMEKTLKSAVHTLVSGRNNICEENDVRMILEELINELLEKLEKLQKSTGVKDLEAKKWSNFDKQACFLRTRLDKFGEFSVEQIRAEEIREMAEASLSIKTSSESDESLISNRNNNILRRNMERLSKGVLFERMAEEYGLMASSGNSSKRIDYSDSSQSSTQRSDKEKMSGEPRVCSGHCKAIVRRIVEQVRAETEQWSQMQDMLGQVRDEMEELQKCRDYWEDRALDSDYQIRSLKSAVKEWRQKAHSSEAKASELQARMFVLHEEIERLRNERERKTVRGRNTSPANQEARNETELMCDLKENRCANDDGCKAQPCTVTGLLPRRSPLRELGNMSALMKQHGEGILPLFCLRRDPETKCSF >KJB83517 pep chromosome:Graimondii2_0_v6:13:56909114:56911619:1 gene:B456_013G251400 transcript:KJB83517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQSMGETITKRSKWQYPPPQPAPKILHLPPRPKRKPPLKPSKLPSLHNETKGKQLVNLFDQERCFKRGLIPLMLVNPMEESNEEMKREKVEEEEEEEEENNGGVVALVEEEKWKFQAEMLRAECNLLRIERDIVVKKMERSCVKMEKTLKSAVHTLVSGRNNICEENDVRMILEELINELLEKLEKLQKSTGVKDLEAKKWSNFDKQACFLRTRLDKFGEFSVEQIRAEEIREMAEASLSIKTSSESDESLISNRNNNILRRNMERLSKGVLFERMAEEYGLMASSGNSSKRIDYSDSSQSSTQRSDKVNIMNDSCYISMLIAHAYIRKVFTFVGSSSCKVNKWDMVAIVPRTIYSVPEKMSGEPRVCSGHCKAIVRRIVEQVRAETEQWSQMQDMLGQVRDEMEELQKCRDYWEDRALDSDYQIRSLKSAVKEWRQKAHSSEAKASELQARMFVLHEEIERLRNERERKTVRGRNTSPANQEARNETELMCDLKENRCANDDGCKAQPCTVTGLLPRRSPLRELGNMSALMKQHGEGILPLFCLRRDPETKCSF >KJB83816 pep chromosome:Graimondii2_0_v6:13:57878206:57883543:-1 gene:B456_013G266000 transcript:KJB83816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDKTEGRCPACRSAYDKEKIVGMEANCERLVAEINSGRKTKSQKAKTKSSEGRKQLSNVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGTIQQFPNNTCSVYITYSKEEEAICCIQSVHVFVLDGKPLKACFGTTKYCHAWLRNMPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGTTNNMQRRVGTTLPPPVDDYYPNSSASTAKPISKNAPNNMVLSSQKDSPSNGSTGRSIGLPAGASWGMRALNQPQSASLTCSNGLSKQKSDTVSSTLPFLSAVMNTNRAFALHDDAKKNPSEEIDVMYLKGKPDSLEQNTVLDSRTTAPEKPSSPDGVPTSKSLSSQISYPPVSSYNDPSTYTQSTVTSSIFDHGGKSFISSSEKGRSMSSTDGEIQSLCSDVASLTLDRNVANGHLNVVRPSSSASNHGSNSSSSSQGLQQCCSEHYREPLSSTSPGRSATSPERVSVSKEQSDWRIVTQTQAVASSSSEIEEDILSFDNQRLKDPEVISRSNYMPNSPISLHLSNLSRSHSFQNGDACGAVSSNADTFFVDNQASAGLHLHGSSVSSLSNGYPQKYISSSIGSGITTEGNLLVSNQEKGKQMGRFLGNAKSNTSKDTGENSIISNILSLDFDTWDESLTSPRNLAKLLDGTDQQQPNSLKLSSSGKALNSSQSRFSFARQEDSSYHPFGVESSSSVFGQMTQNHISSQVFAESRDLYLNAFGISNGFSPSSFDEYEKFTGSPSLFSSNKLSVSRAQVSAPPGFSVPSRAPPPGFSSHERVDHSFDTPGNHLMDSSSLLRNSYLAPVSSGFGGAEDVEFMDPAILAVGKGRHQGGLNNSGLDIRSNFPPQLSPYENEARVQLLMQRSLSPHQNLRHDVGDSFSSLNDSYGLSSRLMGQSQVNNISPYAQLPLQQSRNLHMSNGLHWDGWNEVQGGNSAGIAELLRNERLGFNKFYSGYEDSKYMMGDLYNRTFGM >KJB83817 pep chromosome:Graimondii2_0_v6:13:57876948:57884353:-1 gene:B456_013G266000 transcript:KJB83817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRSHHPKNQKEAPLFKGSPFLLIFFSFRISLSCFVFCYCCSSFNLIFTESSSFRSLNLLTEIMSDAGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDKTEGRCPACRSAYDKEKIVGMEANCERLVAEINSGRKTKSQKAKTKSSEGRKQLSNVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGTIQQFPNNTCSVYITYSKEEEAICCIQSVHVFVLDGKPLKACFGTTKYCHAWLRNMPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGTTNNMQRRVGTTLPPPVDDYYPNSSASTAKPISKNAPNNMVLSSQKDSPSNGSTGRSIGLPAGASWGMRALNQPQSASLTCSNGLSKQKSDTVSSTLPFLSAVMNTNRAFALHDDAKKNPSEEIDVMYLKGKPDSLEQNTVLDSRTTAPEKPSSPDGVPTSKSLSSQISYPPVSSYNDPSTYTQSTVTSSIFDHGGKSFISSSEKGRSMSSTDGEIQSLCSDVASLTLDRNVANGHLNVVRPSSSASNHGSNSSSSSQGLQQCCSEHYREPLSSTSPGRSATSPERVSVSKEQSDWRIVTQTQAVASSSSEIEEDILSFDNQRLKDPEVISRSNYMPNSPISLHLSNLSRSHSFQNGDACGAVSSNADTFFVDNQASAGLHLHGSSVSSLSNGYPQKYISSSIGSGITTEGNLLVSNQEKGKQMGRFLGNAKSNTSKDTGENSIISNILSLDFDTWDESLTSPRNLAKLLDGTDQQQPNSLKLSSSGKALNSSQSRFSFARQEDSSYHPFGVESSSSVFGQMTQNHISSQVFAESRDLYLNAFGISNGFSPSSFDEYEKFTGSPSLFSSNKLSVSRAQVSAPPGFSVPSRAPPPGFSSHERVDHSFDTPGNHLMDSSSLLRNSYLAPVSSGFGGAEDVEFMDPAILAVGKGRHQGGLNNSGLDIRSNFPPQLSPYENEARVQLLMQRSLSPHQNLRHDVGDSFSSLNDSYGLSSRLMGQSQVNNISPYAQLPLQQSRNLHMSNGLHWDGWNEVQGGNSAGIAELLRNERLGFNKFYSGYEDSKYMMGDLYNRTFGM >KJB83818 pep chromosome:Graimondii2_0_v6:13:57878206:57884353:-1 gene:B456_013G266000 transcript:KJB83818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRSHHPKNQKEAPLFKGSPFLLIFFSFRISLSCFVFCYCCSSFNLIFTESSSFRSLNLLTEIMSDAGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDKTEGRCPACRSAYDKEKIVGMEANCERLVAEINSGRKTKSQKAKTKSSEGRKQLSNVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGTIQQFPNNTCSVYITYSKEEEAICCIQSVHVFVLDGKPLKACFGTTKYCHAWLRNMPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGTTNNMQRRVGTTLPPPVDDYYPNSSASTAKPISKNAPNNMVLSSQKDSPSNGSTGRSIGLPAGASWGMRALNQPQSASLTCSNGLSKQKSDTVSSTLPFLSAVMNTNRAFALHDDAKKNPSEEIDVMYLKGKPDSLEQNTVLDSRTTAPEKPSSPDGVPTSKSLSSQISYPPVSSYNDPSTYTQSTVTSSIFDHGGKSFISSSEKGRSMSSTDGEIQSLCSDVASLTLDRNVANGHLNVVRPSSSASNHGSNSSSSSQGLQQCCSEHYREPLSSTSPGRSATSPERVSVSKEQSDWRIVTQTQAVASSSSEIEEDILSFDNQRLKDPEVISRSNYMPNSPISLHLSNLSRSHSFQNGDACGAVSSNADTFFVDNQASAGLHLHGSSVSSLSNGYPQKYISSSIGSGITTEGNLLVSNQEKGKQMGRFLGNAKSNTSKDTGENSIISNILSLDFDTWDESLTSPRNLAKLLDGTDQQQPNSLKLSSSGKALNSSQSRFSFARQEDSSYHPFGVESSSSVFGQMTQNHISSQVFAESRDLYLNAFGISNGFSPSSFDEYEKFTGSPSLFSSNKLSVSRAQVSAPPGFSVPSRAPPPGFSSHERVDHSFDTPGNHLMDSSSLLRNSYLAPVSSGFGGAEDVEFMDPAILAVGKGRHQGGLNNSGLDIRSNFPPQLSPYENEARVQLLMQRSLSPHQNLRHDVGDSFSSLNDSYGLSSRLMGQSQVNNISPYAQLPLQQSRNLHMSNGLHWDGWNEVQGGNSAGIAELLRNERLGFNKFYSGYEDSKYMMGDLYNRTFGM >KJB83815 pep chromosome:Graimondii2_0_v6:13:57876948:57884044:-1 gene:B456_013G266000 transcript:KJB83815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDKTEGRCPACRSAYDKEKIVGMEANCERLVAEINSGRKTKSQKAKTKSSEGRKQLSNVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGTIQQFPNNTCSVYITYSKEEEAICCIQSVHVFVLDGKPLKACFGTTKYCHAWLRNMPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGTTNNMQRRVGTTLPPPVDDYYPNSSASTAKPISKNAPNNMVLSSQKDSPSNGSTGRSIGLPAGASWGMRALNQPQSASLTCSNGLSKQKSDTVSSTLPFLSAVMNTNRAFALHDDAKKNPSEEIDVMYLKGKPDSLEQNTVLDSRTTAPEKPSSPDGVPTSKSLSSQISYPPVSSYNDPSTYTQSTVTSSIFDHGGKSFISSSEKGRSMSSTDGEIQSLCSDVASLTLDRNVANGHLNVVRPSSSASNHGSNSSSSSQGLQQCCSEHYREPLSSTSPGRSATSPERVSVSKEQSDWRIVTQTQAVASSSSEIEEDILSFDNQRLKDPEVISRSNYMPNSPISLHLSNLSRSHSFQNGDACGAVSSNADTFFVDNQASAGLHLHGSSVSSLSNGYPQKYISSSIGSGITTEGNLLVSNQEKGKQMGRFLGNAKSNTSKDTGENSIISNILSLDFDTWDESLTSPRNLAKLLDGTDQQQPNSLKLSSSGKALNSSQSRFSFARQEDSSYHPFGVESSSSVFGQMTQNHISSQVFAESRDLYLNAFGISNGFSPSSFDEYEKFTGSPSLFSSNKLSVSRAQVSAPPGFSVPSRAPPPGFSSHERVDHSFDTPGNHLMDSSSLLRNSYLAPVSSGFGGAEDVEFMDPAILAVGKGRHQGGLNNSGLDIRSNFPPQLSPYENEARVQLLMQRSLSPHQNLRHDVGDSFSSLNDSYGLSSRLMGQSQVNNISPYAQLPLQQSRNLHMSNGLHWDGWNEVQGGNSAGIAELLRNERLGFNKFYSGYEDSKYMMGDLYNRTFGM >KJB81062 pep chromosome:Graimondii2_0_v6:13:33226889:33229639:-1 gene:B456_013G127700 transcript:KJB81062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEVDMVAHITLQHGNIFKMQHKRKSSKGGSHSTLSRLRKELREGNLQSSSNSAPDPLLSSFILPMVDDFVSVKPHFSCETSATEKSSDINKLERNVPLTLLSVKDQEEKTERCEFIQGLLLSTILDDIL >KJB81060 pep chromosome:Graimondii2_0_v6:13:33227119:33229425:-1 gene:B456_013G127700 transcript:KJB81060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADSWSTRLSSVSKRYQFTLQSRSDMFMGFEEIDGEDDIREEFPSPFCSEHFDILSLCCHIDDEHPMEAKNGVCPVYAMKVEVDMVAHITLQHGNIFKMQHKRKSSKGGSHSTLSRLRKELREGNLQSSSNSAPDPLLSSFILPMVDDFVSVKPHFSCETSATEKSSDINKLERNVPLTLLSVKDQEEKTERCEFIQGLLLSTILDDIL >KJB81059 pep chromosome:Graimondii2_0_v6:13:33226221:33229718:-1 gene:B456_013G127700 transcript:KJB81059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADSWSTRLSSVSKRYQFTLQSRSDMFMGFEEIDGEDDIREEFPSPFCSEHFDILSLCCHIDDEHPMEAKNGVCPVYAMKVEVDMVAHITLQHGNIFKMQHKRKSSKGGSHSTLSRLRKELREGNLQSSSNSAPDPLLSSFILPMVDDFVSVKPHFSCETSATEKSSDINKLESQGSGREDRKM >KJB81061 pep chromosome:Graimondii2_0_v6:13:33227119:33229070:-1 gene:B456_013G127700 transcript:KJB81061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSIPWRLKMGLICYSKVCPVYAMKVEVDMVAHITLQHGNIFKMQHKRKSSKGGSHSTLSRLRKELREGNLQSSSNSAPDPLLSSFILPMVDDFVSVKPHFSCETSATEKSSDINKLERNVPLTLLSVKDQEEKTERCEFIQGLLLSTILDDIL >KJB81063 pep chromosome:Graimondii2_0_v6:13:33227382:33229631:-1 gene:B456_013G127700 transcript:KJB81063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADSWSTRLSSVSKRYQFTLQSRSDMFMGFEEIDGEDDIREEFPSPFCSEHFDILSLCCHIDDEHPMEAKNGVCPVYAMKVEVDMVAHITLQHGNIFKMQHKRKSSKGGSHSTLSRLRKELREGNLQSSSNSAPDPLLSSFILPMVDDFVSVKPHFSCETSATEKSSDINKLER >KJB78730 pep chromosome:Graimondii2_0_v6:13:1037406:1043124:-1 gene:B456_013G014900 transcript:KJB78730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHHHHHRSTPLLSATAASSSSSQSFVSKLLLLLTLLPLSLAALAFVLQWRGGGVSDPTIATSAAYSRWAPRGSHHEIFPGMETFSSLSPKSHSSSDCINLGRTSSHSLPYYGDWKFGFEANLKPKICITTSTSAGLEQILPWMFYHRVLGVTNFFLFVEGHAASPNVSKVLESLPGVKVVYRTKELEEQQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDAGMEWILHLDTDELIHPAGASEYSLRQLLLNVPSNVDMVIFPNYESSVERDDIKDPFTEVSMFKKNYDHLPKDTYFGMYKESTRGNPNYFLTYGNGKAAARIQDHLRPNGAHRWHNYMKTPNEIKLEEAAVLHYTYAKFSDLTSRRDRCGCKPTKDDVKRCFMLEFDRAAFIIASTQTEEEMLNWYRERVVWGDKDLRLKLLRKGILTRIYAPMAIIQGLRESGVFSSVIANAPTTISKDKFLASIDSSNSSRVVSPTTHTSRKIGRSRQQQTSARKVLGVETNAADEAAAVPPLSPPAWTMTTFEQ >KJB82013 pep chromosome:Graimondii2_0_v6:13:45901714:45905704:1 gene:B456_013G172300 transcript:KJB82013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRNMLCPNQMIEMDQQGQGYLHPEPCIFMGGRPNYPPPDIQMGVAAPGNATNLDTHPLPEHYDSGMFYGLPQYPGVQHHNHSPNLDLGIGSASNYYIPYVANPSSSAPVNHGPADQMPSSSNFNLLGVSANEYARNCHFMDNVRGSYKRKNSEGVPGNFQHFNASSSSSSSVTPLSSRHPDGVGTMDGASFNIPQYRGNGPPTIREAGPQRSVRNRLGATPVEPVLMHSANHVFQGNYMGQPFQPTITDGGASAWTQVPGVPYMHGSNVGAPMETSLRSSTNFSHASPLELRNHNFHHPAPPIEGVRGHSINIHPQVAAVPHRFPASYASQSSMNLSHDGWEMGRRHLGPVPPSGFRIYHSRRESGIVPETTARHRNLPHLRVLPPDGIAVLELPEFYEVGNFIDHHRDMRLDIEDMSYEELLALGERIGNVNTGLSEETITSKLKTRSYSTFATTINLEEAAPIDQEPDSCIICQEDYKNQEKIGTLDCGHEYHAGCLKKWLVVKNVCPICKSEAIATESKNV >KJB82016 pep chromosome:Graimondii2_0_v6:13:45901714:45905704:1 gene:B456_013G172300 transcript:KJB82016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRNMLCPNQMIEMDQQGQGYLHPEPCIFMGGRPNYPPPDIQMGVAAPGNATNLDTHPLPEHYDSGMFYGLPQYPGVQHHNHSPNLDLGIGSASNYYIPYVANPSSSAPVNHGPADQMPSSSNFNLLGVSANEYARNCHFMDNVRGSYKRKNSEGVPGNFQHFNASSSSSSSVTPLSSRHPDGVGTMDGASFNIPQYRGNGPPTIREAGPQRSVRNRLGATPVEPVLMHSANHVFQGNYMGQPFQPTITDGGASAWTQVPGVPYMHGSNVGAPMETSLRSSTNFSHASPLELRNHNFHHPAPPIEGVRGHSINIHPQVAAVPHRFPASYASQSSMNLSHDGWEMGRRHLGPVPPSGFRIYHSRRESGIVPETTARHRNLPHLRVLPPDGIAVLELPEFYEVGNFIDHHRDMRLDIEDMSYEELLALGERIGNVNTGLSEETITSKLKTRSYSTFATTINLEEAAPIDQEPDSCIICQEDYKNQEKIGTLDCGHEYHAGCLKKWLVVKNVCPICKSEAIATESKNV >KJB82017 pep chromosome:Graimondii2_0_v6:13:45901713:45905771:1 gene:B456_013G172300 transcript:KJB82017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRNMLCPNQMIEMDQQGQGYLHPEPCIFMGGRPNYPPPDIQMGVAAPGNATNLDTHPLPEHYDSGMFYGLPQYPGVQHHNHSPNLDLGIGSASNYYIPYVANPSSSAPVNHGPADQMPSSSNFNLLGVSANEYARNCHFMDNVRGSYKRKNSEGVPGNFQHFNASSSSSSSVTPLSSRHPDGVGTMDGASFNIPQYRGNGPPTIREAGPQRSVRNRLGATPVEPVLMHSANHVFQGNYMGQPFQPTITDGGASAWTQVPGVPYMHGSNVGAPMETSLRSSTNFSHASPLELRNHNFHHPAPPIEGVRGHSINIHPQVAAVPHRFPASYASQSSMNLSHDGWEMGRRHLGPVPPSGFRIYHSRRESGIVPETTARHRNLPHLRVLPPDGIAVLELPEFYEVGNFIDHHRDMRLDIEDMSYEELLALGERIGNVNTGLSEETITSKLKTRSYSTFATTINLEEAAPIDQEPDSCIICQEDYKNQEKIGTLDCGHEYHAGCLKKWLVVKNVCPICKSEAIATESKNV >KJB82015 pep chromosome:Graimondii2_0_v6:13:45903296:45905237:1 gene:B456_013G172300 transcript:KJB82015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRNMLCPNQMIEMDQQGQGYLHPEPCIFMGGRPNYPPPDIQMGVAAPGNATNLDTHPLPEHYDSGMFYGLPQYPGVQHHNHSPNLDLGIGSASNYYIPYVANPSSSAPVNHGPADQMPSSSNFNLLGVSANEYARNCHFMDNVRGSYKRKNSEGVPGNFQHFNASSSSSSSVTPLSSRHPDGVGTMDGASFNIPQYRGNGPPTIREAGPQRSVRNRLGATPVEPVLMHSANHVFQGNYMGQPFQPTITDGGASAWTQVPGVPYMHGSNVGAPMETSLRSSTNFSHASPLELRNHNFHHPAPPIEGVRGHSINIHPQVAAVPHRFPASYASQSSMNLSHDGWEMGRRHLGPVPPSGFRIYHSRRESGIVPETTARHRNLPHLRVLPPDGIAVLELPEFYEVGNFIDHHRDMRLDIEDMSYEELLALGERIGNVNTGLSEETITSKLKTRSYSTFATTINLEEAAPIDQEPDSCIICQVTDTEQCLELVLFFINELELHISVVQV >KJB82014 pep chromosome:Graimondii2_0_v6:13:45903296:45904701:1 gene:B456_013G172300 transcript:KJB82014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRNMLCPNQMIEMDQQGQGYLHPEPCIFMGGRPNYPPPDIQMGVAAPGNATNLDTHPLPEHYDSGMFYGLPQYPGVQHHNHSPNLDLGIGSASNYYIPYVANPSSSAPVNHGPADQMPSSSNFNLLGVSANEYARNCHFMDNVRGSYKRKNSEGVPGNFQHFNASSSSSSSVTPLSSRHPDGVGTMDGASFNIPQYRGNGPPTIREAGPQRSVRNRLGATPVEPVLMHSANHVFQGNYMGQPFQPTITDGGASAWTQVPGVPYMHGSNVGAPMETSLRSSTNFSHASPLELRNHNFHHPAPPIEGVRGHSINIHPQVAAVPHRFPASYASQSSMNLSHDGWEMGRRHLGPVPPSGFRIYHSRRESGIVPETTARHRNLPHLRVLPPDVSTCSSFLQSYLCLLLHFLF >KJB82796 pep chromosome:Graimondii2_0_v6:13:52907431:52909727:1 gene:B456_013G213500 transcript:KJB82796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCWHAALRSGFFEQKLLRKTGKATTRKGRGSHKAMLDLEISVVTIRGGFDKGPSQPTAILWAYSFCI >KJB81108 pep chromosome:Graimondii2_0_v6:13:33870888:33874298:1 gene:B456_013G129600 transcript:KJB81108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFMASKQPENSLLGPESDSQRTLYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERMKPIGKHSLLGASGEISDFQEILRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKNGQKYLGTVSMIGVNFEDNHVATGFGNHLARPILRQEWHENLSFENGVRLLEKCMRVLLYRDRSAVNKLQVQSFLF >KJB81107 pep chromosome:Graimondii2_0_v6:13:33870823:33874327:1 gene:B456_013G129600 transcript:KJB81107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFMASKQPENSLLGPESDSQRTLYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERMKPIGKHSLLGASGEISDFQEILRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKNGQKYLGTVSMIGVNFEDNHVATGFGNHLARPILRQEWHENLSFENGVRLLEKCMRVLLYRDRSAVNKLQIAKITEDGVTISQPYSLKTYWEFSAFENPAQGAIGSW >KJB81109 pep chromosome:Graimondii2_0_v6:13:33870888:33874298:1 gene:B456_013G129600 transcript:KJB81109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERMKPIGKHSLLGASGEISDFQEILRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKNGQKYLGTVSMIGVNFEDNHVATGFGNHLARPILRQEWHENLSFENGVRLLEKCMRVLLYRDRSAVNKLQIAKITEDGVTISQPYSLKTYWEFSAFENPAQGAIGSW >KJB81110 pep chromosome:Graimondii2_0_v6:13:33872150:33874298:1 gene:B456_013G129600 transcript:KJB81110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIGKHSLLGASGEISDFQEILRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKNGQKYLGTVSMIGVNFEDNHVATGFGNHLARPILRQEWHENLSFENGVRLLEKCMRVLLYRDRSAVNKLQIAKITEDGVTISQPYSLKTYWEFSAFENPAQGAIGSW >KJB78678 pep chromosome:Graimondii2_0_v6:13:803117:806214:-1 gene:B456_013G011800 transcript:KJB78678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYPHFTFVFIITSFICFFHGKSSSSWLNNEDNGVIMVQGRREDRPTRCDFSVGKWVYDQSYPLYDSNCPYLSTAVTCQRNGRPDSGYEKWKWKPNGCSLPRFDALKFLGKMRRKRIMLVGDSIMRNQWESLVCLVQGVIPTGHKKVTYNGLSMAFHALDFETSIEFSWAPLLVELKKGPENKRVLHLDLIEENARYWRGVDVLVFDSAHWWTHSDQWSSWDYYMEGKSLYKTMNPMIAYQKGLTTWAKWIDLNIDPRKTRVIFRSVSPRHNRQNGWRCYNQKTPLKFFSHPHVPEQVVVLKGVLKRMSFPVYLHDVTMMSAFRRDAHPSVYRRALNQAQRQHPREFSSDCSHWCLPGVPDIWNEMLSAMI >KJB83376 pep chromosome:Graimondii2_0_v6:13:56375935:56378401:-1 gene:B456_013G244600 transcript:KJB83376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISIHIGQAGIQVGNSCWELYCLEHEIQPDGMMPSDTSVGVANDAFNTFFSETGSGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTECSINNIYGCCKIVKLYL >KJB83378 pep chromosome:Graimondii2_0_v6:13:56375979:56378371:-1 gene:B456_013G244600 transcript:KJB83378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGLGLTDNFSTLNSLFLAKKMLPITLPEAIIQVHYKHHLWFFFLLLFFLLGYLQRLMLVLFAVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSIPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFARIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVEDEEDAEDY >KJB83375 pep chromosome:Graimondii2_0_v6:13:56375979:56378371:-1 gene:B456_013G244600 transcript:KJB83375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISIHIGQAGIQVGNSCWELYCLEHEIQPDGMMPSDTSVGVANDAFNTFFSETGSGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSIPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFARIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVEDEEDAEDY >KJB83377 pep chromosome:Graimondii2_0_v6:13:56376852:56378232:-1 gene:B456_013G244600 transcript:KJB83377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISIHIGQAGIQVGNSCWELYCLEHEIQPDGMMPSDTSVGVANDAFNTFFSETGSGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSIPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDW >KJB83251 pep chromosome:Graimondii2_0_v6:13:55544292:55546205:-1 gene:B456_013G237500 transcript:KJB83251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMLAGVECARRRRFHQSGGSSDSVLGFTRRPSFCLYTSNHESLHSSVFSQQRRVLIEGYEDDDEKLGEVAREAKERLDERLRSQRKSEPKRQNSKETMNHMQGKCLIQGELHREVFGSKRRLGWVNLSCKALEHDECLICLERFKAGETLVRPPCAHRFHSRCLVPWLENNGHCPCCRLGILS >KJB83252 pep chromosome:Graimondii2_0_v6:13:55544292:55546218:-1 gene:B456_013G237500 transcript:KJB83252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMLAGVECARRRRFHQSGGSSDSVLGFTRRPSFCLYTSNHESLHSSVFSQRRVLIEGYEDDDEKLGEVAREAKERLDERLRSQRKSEPKRQNSKETMNHMQGKCLIQGELHREVFGSKRRLGWVNLSCKALEHDECLICLERFKAGETLVRPPCAHRFHSRCLVPWLENNGHCPCCRLGILS >KJB82600 pep chromosome:Graimondii2_0_v6:13:51479882:51484374:1 gene:B456_013G203900 transcript:KJB82600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRHSHSSNNSGRSRHSARIIAQTTVDAKLHADFEESGSSFDYSSTVRVIGDQQPRSDNVTTAYLHNIQKGKFIQPFGCLLALDEKTYKVIAYSENAPEMLTMVSHAVPSVGDHPVLGIGTDIRTIFTAPSSSALLKALGIGEVSLLNPILVHCKTSGKPFYAIIHRVTGGLIIDFEPVKPSEVPMTAAGALQSYKLAAKAITRLQSLPSGSMERLCDTMVQEVFELTGYDRVMTYKFHDDDHGEVVSEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMIVDCRAKHVQVFQDDKLPMDLTLCGSTLRAPHSCHLQYMENMNSIASLVMAVIVNDGDEEGDGTNSEQPQQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAVHANKELELESQIVEKNILRTQTLLCDMLMRDAPVGIVSQSPNIMDLVKCDGAALLYKNKIWKLGATPSDFQLNEIALWLSEYHMDSTGLSTDSLYDAGFPGALALGDVVCGMAAVRITHKDMLFWFRSPTAAEIRWGGAKHEPGVKDDDRKMHPRSSFKAFLEVVKTRSLPWKDYEMDAIHSLQLILRNAFKDVETTDTNTNAIHSKLGDLRLEGMQELEAVTSEMVRLIETATVPILAVDVDGLVNGWNMKIAELTGLSVDNAIGKHFLTLVEDSSSETVQKMLFMALQGKEEKNIQFEIKTHGSRTEAGPISLVVNACVNRDLQESVVGVCFVAQDVTAQKIVMDKFTKIEGDYRAIVHNPNPLIPPIFGMDEFGWCSEWNPAMTKLTGWNRHEVVDKMLLGEVFGTNTACCRLKNQESFVNLGVVLNNAVTGNEPEKVPFGFFARSGKYVECLLCVNKKFDMEGGAVSGVFCFLQLPSHELQQALHIQKLSEQTAMKRLKALAYLKTQIRNPLSGIIFSRKMMEDTELRPEQKRLLQTSSMCQRQLSKILDDSDLSSIIDGYLDLEMIEFTLNDVLIASISQVMMKSTGKGIRILNETAEEVMEETLYGDGVRLQQVLADFLLVSVNFAPKGGQLSVVASLTKDQLGRSVHLARLNLRITHVGGGIPEALLNQMFETDGDATEEGISLLISRKLVKLMTGDIQYLREAGRTTFIINIELAAANRSRN >KJB79551 pep chromosome:Graimondii2_0_v6:13:5177876:5182444:-1 gene:B456_013G054000 transcript:KJB79551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVLYSMKSVIERYNKYREEYHRQLLDPASELKFWEKEVASLRQQLNDLQEYHRQLMGEELSGLSIKDLRNLENQLEMSLKSVRMRKDQILTNQIKELSLKGHHIHKENLELQKKLDLICQENTELQRKVDGNGTVEANEGSKSSSHSYGFNNGYDELQAPVVDLRLSQPQQLPDADTSYRNLRLL >KJB79553 pep chromosome:Graimondii2_0_v6:13:5177876:5188992:-1 gene:B456_013G054000 transcript:KJB79553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKKIEKSSSRQVTFSKRRNGLLKKAKELAILCDAELGLIIFSSTSKLHHFASSSMKSVIERYNKYREEYHRQLLDPASELKFWEKEVASLRQQLNDLQEYHRQLMGEELSGLSIKDLRNLENQLEMSLKSVRMRKDQILTNQIKELSLKGHHIHKENLELQKKLDLICQENTELQRKVDGNGTVEANEGSKSSSHSYGFNNGYDELQAPVVDLRLSQPQQLPDADTSYRNLRLL >KJB79554 pep chromosome:Graimondii2_0_v6:13:5179584:5188398:-1 gene:B456_013G054000 transcript:KJB79554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKKIEKSSSRQVTFSKRRNGLLKKAKELAILCDAELGLIIFSSTSKLHHFASSSMKSVIERYNKYREEYHRQLLDPASELKFWEKEVASLRQQLNDLQEYHRQLMGEELSGLSIKDLRNLENQLEMSLKSVRMRKGHHIHKENLELQKKLDLICQENTELQRKVDGNGTVEANEGSKSSSHSYGFNNGYDELQAPVVDLRLSQPQQLPDADTSYRNLRLL >KJB79552 pep chromosome:Graimondii2_0_v6:13:5177876:5188992:-1 gene:B456_013G054000 transcript:KJB79552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKKIEKSSSRQVTFSKRRNGLLKKAKELAILCDAELGLIIFSSTSKLHHFASSSMKSVIERYNKYREEYHRQLLDPASELKFWEKEVASLRQQLNDLQEYHRQLMGEELSGLSIKDLRNLENQLEMSLKSVRMRKGHHIHKENLELQKKLDLICQENTELQRKVDGNGTVEANEGSKSSSHSYGFNNGYDELQAPVVDLRLSQPQQLPDADTSYRNLRLL >KJB79555 pep chromosome:Graimondii2_0_v6:13:5179584:5188398:-1 gene:B456_013G054000 transcript:KJB79555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKKIEKSSSRQVTFSKRRNGLLKKAKELAILCDAELGLIIFSSTSKLHHFASSSMKSVIERYNKYREEYHRQLLDPASELKFWEKEVASLRQQLNDLQEYHRQLMGEELSGLSIKDLRNLENQLEMSLKSVRMRKDQILTNQIKELSLKGHHIHKENLELQKKLDLICQENTELQRKVDGNGTVEANEGSKSSSHSYGFNNGYDELQAPVVDLRLSQPQQLPDADTSYRNLRLL >KJB79556 pep chromosome:Graimondii2_0_v6:13:5179501:5188992:-1 gene:B456_013G054000 transcript:KJB79556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKKIEKSSSRQVTFSKRRNGLLKKAKELAILCDAELGLIIFSSTSKLHHFASSSMKSVIERYNKYREEYHRQLLDPASELKFWEKEVASLRQQLNDLQEYHRQLMGEELSGLSIKDLRNLENQLEMSLKSVRMRKGHHIHKENLELQKKLDLICQENTELQRKVDGNGTVEANEGSKSSSHSYGFNNGYDELQAPVVDLRLSQPQQLPDADTSYRNLRLL >KJB82425 pep chromosome:Graimondii2_0_v6:13:51987828:51990732:-1 gene:B456_013G208600 transcript:KJB82425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEVLICSRHVAVWSLGLGSTLFETVIYLSYV >KJB82424 pep chromosome:Graimondii2_0_v6:13:51987828:51990732:-1 gene:B456_013G208600 transcript:KJB82424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEVLICSRHVAVWSLGLGSTLFETVIYLSYV >KJB82429 pep chromosome:Graimondii2_0_v6:13:51987022:51991307:-1 gene:B456_013G208600 transcript:KJB82429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKG >KJB82428 pep chromosome:Graimondii2_0_v6:13:51987022:51991396:-1 gene:B456_013G208600 transcript:KJB82428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKG >KJB82422 pep chromosome:Graimondii2_0_v6:13:51987022:51991273:-1 gene:B456_013G208600 transcript:KJB82422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKG >KJB82423 pep chromosome:Graimondii2_0_v6:13:51987022:51991285:-1 gene:B456_013G208600 transcript:KJB82423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKG >KJB82427 pep chromosome:Graimondii2_0_v6:13:51987022:51991340:-1 gene:B456_013G208600 transcript:KJB82427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKG >KJB82421 pep chromosome:Graimondii2_0_v6:13:51987461:51990732:-1 gene:B456_013G208600 transcript:KJB82421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYYVINCFPVCLLLIRVFVCLHLQNVIACIHILVRLSQVYPEYLCHLWRRAV >KJB82426 pep chromosome:Graimondii2_0_v6:13:51987022:51991320:-1 gene:B456_013G208600 transcript:KJB82426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKG >KJB78663 pep chromosome:Graimondii2_0_v6:13:724265:727292:-1 gene:B456_013G010800 transcript:KJB78663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGVCTVQQALTAEAASLVKQALGLARRRGHAQVTPLHVASAMLASSTGLLRRACLQSHSHPLQFRALELCFNVALNRLPASTSSPLLGPHHHHHHHHHPSLSNALVAAFKRAQAHQRRGSIENQQQPILALKIELEHLIVSILDDPSVSRVMREAGFSSTQVKTKVEQTVSLEISSSPKENNTKPQVLASNLSPSMPHTHSHDQDVTNVLNTIVHRRGNTVIIGESVAIAESVIRGVMDKFEKGQVSGDLRYLQFISFPLLSLRNLAKHEVEQKLVELKCLVKSYMARGVVLYLGDLKWVSEFWSTYGEQRRNYYSPVEHIIMELRRLVSGTKETGKLFLMGIATFRTYMKCKTGQASLESIWELYPLTISADSLSLSLNLESCDSQSQYRTKESIDSISWQLGEVEANKNHSSFRDRLFNFDKKEAQSTSSLPSWLQNYKEESKMNPSHDKDSVNVKDLYKKWNSFSSASTDKDPCNSEEEALNLSWPVIFESKSSPKEHQFWISENDSKPDLLSNPNSSPNSASSSEAMEEDIDGLNAFKVVNAENMNILCNALEKKVPWQKDVIPEIVSTILECRSGMNKAKNWLNQREHKEETWLLFLGSDNEAKQKIARELARIIFGSQTSFASISPSNFGPDSNEDYKRKRDESGGNNYVLQRFGEALNENPHRVFLMEDTEQVDYCSMKSIKHAIETGKVTVSDGVTVPVMDAIVIFSCESFSSLSRACSSRKRPNCNDPEEIKEPEMEQEKNPSVSLDLNIAIGDNSEEDSSRIDDETGILKYVDKQIIFSVKEQ >KJB80523 pep chromosome:Graimondii2_0_v6:13:18899508:18900914:-1 gene:B456_013G101900 transcript:KJB80523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSMYKQFPSSLSLPPPEGPNSGILVILDEEAEPTCCFGLCKSHELDDLPFPQNKKIELQYTTGTSGENRHVHCNDVSFIPVLGQPLSSNRYYALQPRGSHKGEAFTNSSEEDAVTCCFCRCFPDIEPQPADEHDIYQQFEIRPTNWGGRFVAKSVAPDGVPPGFLGRKGWRAFTSTPRCFTLGEAPGLDTALRARLPHFDFPLSCKNSEPVVVGKWYCPFIFIKDGRPKDQMTRSMYYEMTLEQRWEQLFAYNNDYNEDNVVVVDTTVEKEVVKVNGTTEISVDDQETVDRVMWFRKGGLGIGLSLSIVERMKWEEERFGWSGGKERQERVKRVEKNGEWNRFGWYVLVERFALRRMDGSLALTYDFKHTQFVRNKWE >KJB81655 pep chromosome:Graimondii2_0_v6:13:42677328:42677684:-1 gene:B456_013G155000 transcript:KJB81655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQSEPAVHSSIALLQERFRRLQRAKELRQEREVLRLLSEAERINQGTPNVASQLFFHSELILQPRPPLQGSVHRESSMQIRRQVLETPILSNLQQRDMVLHTSTFNDSDVDTSLHL >KJB81224 pep chromosome:Graimondii2_0_v6:13:35197507:35210018:-1 gene:B456_013G133700 transcript:KJB81224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor E [Source:Projected from Arabidopsis thaliana (AT1G71440) UniProtKB/Swiss-Prot;Acc:Q8GRL7] MEQTTFFTLGQRVHSSTDPRRVGTVKFVGNVEGYSGIWVGVDWDNEGDGKHDGSINGVRYFQGRSQNSASFLRPQNLSPGISLLQALKLRYQSHSTEQDEDEMYVLSASNKRVSVQLLGKDKIQDKLSRFEELTSASISYLGVSTPGDPAEISASVPNLKELDLMGNLITDWKDVGTICEQLPHLVALNLSNNLMTQNMTGLPLLKAIRVLVLNSTGINWSQVEILEHSLPVIEELHLMGNNISSIKPTSSSTVQGFDFLRLLNLEDNCLAEWDEILKLSQLKSLEQLYLNTNKLTCIFYPDKIQEVLSNHESCEESYFPFQNLRCLLLGSNEINDLASIDSLNTFPKLIDIRLSDNPIADPARGGLPRFVLIARLAKVEMLNGSEISARERKESEIRYVRLVMSKLLDNPEEINRLHPRFVELKNFYGIEDERPLVGAAGPQKMASGLLSITLKCVASSVGEKLPLTKKLPATTTVGKLKALCENFFKLKSLKLKLFLQEEGSPLPMLLDDEMATLMDMGIGNESTIIVDEES >KJB81223 pep chromosome:Graimondii2_0_v6:13:35196881:35210254:-1 gene:B456_013G133700 transcript:KJB81223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor E [Source:Projected from Arabidopsis thaliana (AT1G71440) UniProtKB/Swiss-Prot;Acc:Q8GRL7] MEQTTFFTLGQRVHSSTDPRRVGTVKFVGNVEGYSGIWVGVDWDNEGDGKHDGSINGVRYFQGRSQNSASFLRPQNLSPGISLLQALKLRYQSHSTEQDEDEMYVLSASNKRVSVQLLGKDKIQDKLSRFEELTSASISYLGVSTPGDPAEISASVPNLKELDLMGNLITDWKDVGTICEQLPHLVALNLSNNLMTQNMTGLPLLKAIRVLVLNSTGINWSQVEILEHSLPVIEELHLMGNNISSIKPTSSSTVQGFDFLRLLNLEDNCLAEWDEILKLSQLKSLEQLYLNTNKLTCIFYPDKIQEVLSNHESCEESYFPFQNLRCLLLGSNEINDLASIDSLNTFPKLIDIRLSDNPIADPARGGLPRFVLIARLAKVEMLNGSEISARERKESEIRYVRLVMSKLLDNPEEINRLHPRFVELKNFYGIEDERPLVGAAGPQKMASGLLSITLKCVASSVGEKLPLTKKLPATTTVGKLKALCENFFKLKSLKLKLFLQEEGSPLPMLLDDEMATLMDMGIGNESTIIVDEES >KJB81222 pep chromosome:Graimondii2_0_v6:13:35196881:35210323:-1 gene:B456_013G133700 transcript:KJB81222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor E [Source:Projected from Arabidopsis thaliana (AT1G71440) UniProtKB/Swiss-Prot;Acc:Q8GRL7] MEQTTFFTLGQRVHSSTDPRRVGTVKFVGNVEGYSGIWVGVDWDNEGDGKHDGSINGVRYFQGRSQNSASFLRPQNLSPGISLLQALKLRYQSHSTEQDEDEMYVLSASNKRVSVQLLGKDKIQDKLSRFEELTSASISYLGVSTPGDPAEISASVPNLKELDLMGNLITDWKDVGTICEQLPHLVALNLSNNLMTQNMTGLPLLKAIRVLVLNSTGINWSQVEILEHSLPVIEELHLMGNNISSIKPTSSSTVQGFDFLRLLNLEDNCLAEWDEILKLSQLKSLEQLYLNTNKLTCIFYPDKIQEVLSNHESCEESYFPFQNLRCLLLGSNEINDLASIDSLNTFPKLIDIRLSDNPIADPARGGLPRFVLIARLAKVEMLNGSEISARERKESEIRYVRLVMSKLLDNPEEINRLHPRFVELKNFYGIEDERPLVGAAGPQKMASGLLSITLKCVASSVGEKLPLTKKLPATTTVGKLKALCENFFKLKSLKLKLFLQEEGSPLPMLLDDEMATLMDMGIGNESTIIVDEES >KJB81227 pep chromosome:Graimondii2_0_v6:13:35196881:35210119:-1 gene:B456_013G133700 transcript:KJB81227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor E [Source:Projected from Arabidopsis thaliana (AT1G71440) UniProtKB/Swiss-Prot;Acc:Q8GRL7] MEQTTFFTLGQRVHSSTDPRRVGTVKFVGNVEGYSGIWVGVDWDNEGDGKHDGSINGVRYFQGRSQNSASFLRPQNLSPGISLLQALKLRYQSHSTEQDEDEMYVLSASNKRVSVQLLGKDKIQDKLSRFEELTSASISYLGVSTPGDPAEISASVPNLKELDLMGNLITDWKDVGTICEQLPHLVALNLSNNLMTQNMTGLPLLKAIRVLVLNSTGINWSQVEILEHSLPVIEELHLMGNNISSIKPTSSSTVQGFDFLRLLNLEDNCLAEWDEILKLSQLKSLEQLYLNTNKLTCIFYPDKIQEVLSNHESCEESYFPFQNLRCLLLGSNEINDLASIDSLNTFPKLIDIRLSDNPIADPARGGLPRFVLIARLAKVEMLNGSEISARERKESEIRYVRLVMSKLLDNPEEINRLHPRFVELKNFYGIEDERPLVGAAGPQKMASGLLSITLKCVASSVGEKLPLTKKLPATTTVGKLKALCENFFKLKSLKLKLFLQEEGSPLPMLLDDEMATLMDMGIGNESTIIVDEES >KJB81226 pep chromosome:Graimondii2_0_v6:13:35197507:35210018:-1 gene:B456_013G133700 transcript:KJB81226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor E [Source:Projected from Arabidopsis thaliana (AT1G71440) UniProtKB/Swiss-Prot;Acc:Q8GRL7] MEQTTFFTLGQRVHSSTDPRRVGTVKFVGNVEGYSGIWVGVDWDNEGDGKHDGSINGVRYFQGRSQNSASFLRPQNLSPGISLLQALKLRYQSHSTEQDEDEMYVLSASNKRVSVQLLGKDKIQDKLSRFEELTSASISYLGVSTPGDPAEISASVPNLKELDLMGNLITDWKDVGTICEQLPHLVALNLSNNLMTQNMTGLPLLKAIRVLVLNSTGINWSQVEILEHSLPVIEELHLMGNNISSIKPTSSSTVQGFDFLRLLNLEDNCLAEWDEILKLSQLKSLEQLYLNTNKLTCIFYPDKIQEVLSNHESCEESYFPFQNLRCLLLGSNEINDLASIDSLNTFPKLIDIRLSDNPIADPARGGLPRFVLIARLAKVEMLNGSEISARERKESEIRYVRLVMSKLLDNPEEINRLHPRFVELKNFYGIEDERPLVGAAGPQKMASGLLSITLKCVASSVGEKLPLTKKLPATTTVGKLKALCENFFKLKSLKLKLFLQEEGSPLPMLLDDEMATLMDMGIGNESTIIVDEES >KJB81225 pep chromosome:Graimondii2_0_v6:13:35196881:35210121:-1 gene:B456_013G133700 transcript:KJB81225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor E [Source:Projected from Arabidopsis thaliana (AT1G71440) UniProtKB/Swiss-Prot;Acc:Q8GRL7] MEQTTFFTLGQRVHSSTDPRRVGTVKFVGNVEGYSGIWVGVDWDNEGDGKHDGSINGVRYFQGRSQNSASFLRPQNLSPGISLLQALKLRYQSHSTEQDEDEMYVLSASNKRVSVQLLGKDKIQDKLSRFEELTSASISYLGVSTPGDPAEISASVPNLKELDLMGNLITDWKDVGTICEQLPHLVALNLSNNLMTQNMTGLPLLKAIRVLVLNSTGINWSQVEILEHSLPVIEELHLMGNNISSIKPTSSSTVQGFDFLRLLNLEDNCLAEWDEILKLSQLKSLEQLYLNTNKLTCIFYPDKIQEVLSNHESCEESYFPFQNLRCLLLGSNEINDLASIDSLNTFPKLIDIRLSDNPIADPARGGLPRFVLIARLAKVEMLNGSEISARERKESEIRYVRLVMSKLLDNPEEINRLHPRFVELKNFYGIEDERPLVGAAGPQKMASGLLSITLKCVASSVGEKLPLTKKLPATTTVGKLKALCENFFKLKSLKLKLFLQEEGSPLPMLLDDEMATLMDMGIGNESTIIVDEES >KJB83731 pep chromosome:Graimondii2_0_v6:13:57575676:57579655:-1 gene:B456_013G261600 transcript:KJB83731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEDTVKLISAEGMEFVIDKEAAMVSQTIRNMLTSPGGFAEAEHGEVTFPEISAVILEKICQYFYWSLQYSRGKETEFHIEPELTLELMMAANYLHT >KJB83729 pep chromosome:Graimondii2_0_v6:13:57577183:57579382:-1 gene:B456_013G261600 transcript:KJB83729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEDTVKLISAEGMEFVIDKEAAMVSQTIRNMLTSPGGFAEAEHGEVTFPEISAVILEKICQYFYWSLQYSRGKETEFHIEPELTLELMMAANYLHT >KJB83732 pep chromosome:Graimondii2_0_v6:13:57577183:57579382:-1 gene:B456_013G261600 transcript:KJB83732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEDTVKLISAEGMEFVIDKEAAMVSQTIRNMLTSPGGFAEAEHGEVTFPEISAVILEKICQYFYWSLQYSRGKETEFHIEPELTLELMMAANYLHT >KJB83730 pep chromosome:Graimondii2_0_v6:13:57577183:57579382:-1 gene:B456_013G261600 transcript:KJB83730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEDTVKLISAEGMEFVIDKEAAMVSQTIRNMLTSPGGFAEAEHGEVTFPEISAVILEKICQYFYWSLQYSRGKETEFHIEPELTLELMMAANYLHT >KJB83728 pep chromosome:Graimondii2_0_v6:13:57575912:57579594:-1 gene:B456_013G261600 transcript:KJB83728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEDTVKLISAEGMEFVIDKEAAMVSQTIRNMLTSPGGFAEAEHGEVTFPEISAVILEKICQYFYWSLQYSRGKETEFHIEPELTLELMMAANYLHT >KJB83733 pep chromosome:Graimondii2_0_v6:13:57578358:57579594:-1 gene:B456_013G261600 transcript:KJB83733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEDTVKLISAEGMEFVIDKEAAMVSQTIRNMLTSPGGFAEAEHGEVTFPEISAVILEKICQYFYWSLQYSRFGFFIFYFLGSVSCFFYL >KJB82390 pep chromosome:Graimondii2_0_v6:13:49491618:49494481:1 gene:B456_013G193600 transcript:KJB82390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSSSLVYQIFQHRFPATFKGFFYFLPISLALTTLLLIFIYISTTANVTKTHSQTTLYLQTLPSLINNIDQTSNLPIVPFEDNEDDNDNLFADPSRTARLSRASQWLLGNIFGLIDGNDTNNKEAYHDGDIFLQDYKQMNKSLKIYVYPHSKDDPFANVLLPPDTDCKGNYASELMFKKALMESHFVTKDPNEAHLFYMPFSISSMRSDPRIDVHGIPDFVQNYISNITLKYPYWNRTGGADHFYVACHSIGKIAFKKAFVARLNVIQLVCSSTYFPSSYLPHKDASLPQVWPRGGDPPNLLTSQRKRLAFFAGAVNSPVRIALLKVWENDTEIFAHFGRLNTPYYEQFLGSKFCIHVKGFEVNTARVADALFYGCVPIILANHYDLPFTDILNWKSFSVVVHHIDIPVLKKILQGISNEEFAMLQSNALRVRKHFQWHTPPIDFDAFHMSMYELWKRRGVVRVRLTPSMEFM >KJB83556 pep chromosome:Graimondii2_0_v6:13:57013484:57015388:1 gene:B456_013G252600 transcript:KJB83556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 3 [Source:Projected from Arabidopsis thaliana (AT1G50420) UniProtKB/Swiss-Prot;Acc:Q9LPR8] MSQNESSPLKSSSSSLQVFSMMSLSPSLGSSYPWLKELKSEERGLYLIHLLLTCANHVATGSLENANIALEQISELASPDGDTMQRIAAYFTEALADRILKTWPGLHKAINSTRVVDLVSEQALVRRLFFEVFPFLKAAFLLVNQAIIEAMEGEKVVHVIDLDAAEPMQWIALIRGLSARPEGPPHLRITGIHVRKEVLDQMGHRLSEEAEKLDIPFQFNPVVGELEDLDVERLHVKTGEAVAISSVLRLHSLLASDDEPLRKKLPLALKNSNGIHLQRALQMSQNTLGELLEKDTVNGYSPSPDSASSSPLSSPVSPKVDTFLTALRGLLPKLVVITEQDSNHNGSTLMERLLESLHSYAALFDCLESTVSRASSERLKLEKMLFGNEIKNIISCEGAERKERHEKLEKWIQRLDSAGFCNVPLSYYGMLQAKTLLQGYNCNGYKMKGENGCVMICWQDRPMFSVSAWRCRNSL >KJB83555 pep chromosome:Graimondii2_0_v6:13:57013236:57015388:1 gene:B456_013G252600 transcript:KJB83555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 3 [Source:Projected from Arabidopsis thaliana (AT1G50420) UniProtKB/Swiss-Prot;Acc:Q9LPR8] MSQNESSPLKSSSSSLQVFSMMSLSPSLGSSYPWLKELKSEERGLYLIHLLLTCANHVATGSLENANIALEQISELASPDGDTMQRIAAYFTEALADRILKTWPGLHKAINSTRVVDLVSEQALVRRLFFEVFPFLKAAFLLVNQAIIEAMEGEKVVHVIDLDAAEPMQWIALIRGLSARPEGPPHLRITGIHVRKEVLDQMGHRLSEEAEKLDIPFQFNPVVGELEDLDVERLHVKTGEAVAISSVLRLHSLLASDDEPLRKKLPLALKNSNGIHLQRALQMSQNTLGELLEKDTVNGYSPSPDSASSSPLSSPVSPKVDTFLTALRGLLPKLVVITEQDSNHNGSTLMERLLESLHSYAALFDCLESTVSRASSERLKLEKMLFGNEIKNIISCEGAERKERHEKLEKWIQRLDSAGFCNVPLSYYGMLQAKTLLQGYNCNGYKMKGENGCVMICWQDRPMFSVSAWRCRNSL >KJB80448 pep chromosome:Graimondii2_0_v6:13:17479456:17484611:1 gene:B456_013G098300 transcript:KJB80448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSIGHHYLLPLIHGILLIHILTPNALNNAFSCSDLRWKLEKTTNLIAVQCVTSTVAEKETQVTFGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVNSYNASDALDKLRFLSVTEPSLLGDAGELEIRIKPDPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSEKQYVWEAVADSSSYVIREETDPEKLLNRGTQITLYLRLDDKYEFSDPIRIQNLVKNYSQFVSFPIYCWQEKSRTVEVEEEEKPKEGEEEKPEGEKKKKTTKTEKYWDWELANETKPIWMRNPKEVEKDECNEFYKKTFNEFLDPLGYTHFTTEGEVELRSVLYIPGMGPLNNEDVMNPKTKNIRLYVKRVFILDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEISESENKEDYKKFWENFGRFLKLGCIEDSGNHKRITPLLRFYTSKSEEELTSLDTYVANMSENQNAIYYLATDSLKSMFVDLHWMYKVLYLIEPIDEVAIQNLQTYKEKKFFDISKEYLELGDEDEVKERETKQEYNLLCDWVKQQLGGKVAKVQISKRQSSSPCVLVSGKFGWSANMERLMKAQALGDTASLEFKRGRRILEINPDHPIIKDLNAACKNAPESSDAKRAVDLLYDTALISSGFSPESLAELGNKIDEMMAMALGGRWGRSEEEDEMEASEASAAETYMGDDEILETQVIEPSEVRTESDPWQD >KJB81801 pep chromosome:Graimondii2_0_v6:13:44496436:44500305:1 gene:B456_013G163900 transcript:KJB81801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb group protein FERTILIZATION-INDEPENDENT ENDOSPERM [Source:Projected from Arabidopsis thaliana (AT3G20740) UniProtKB/Swiss-Prot;Acc:Q9LT47] MAKTPIGLEPVVGSLSSSKKKEYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTVYQCLEGGVIAVLQSYVDEDDESFYTVSWACNIDGTPFVVAGGINGIIRVIDASNEKIHKSFVGHGDSINEIRTQPLKPSLIVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPVFLASVHSNYVDCNRWLGDFILSKSVDNEIVLWEPKMKEQSPGEGTADILQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIFVWELQSSPPVLIARLSHAQSKSPIRQTATSFDGSTILSCCEDGTIWRWDSVQTN >KJB81805 pep chromosome:Graimondii2_0_v6:13:44496436:44500341:1 gene:B456_013G163900 transcript:KJB81805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb group protein FERTILIZATION-INDEPENDENT ENDOSPERM [Source:Projected from Arabidopsis thaliana (AT3G20740) UniProtKB/Swiss-Prot;Acc:Q9LT47] MAKTPIGLEPVVGSLSSSKKKEYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTVYQCLEGGVIAVLQSYVDEDKDESFYTVSWACNIDGTPFVVAGGINGIIRVIDASNEKIHKSFVGHGDSINEIRTQPLKPSLIVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPVFLASVHSNYVDCNRWLGDFILSKSVDNEIVLWEPKMKEQSPGEGTADILQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIFVWELQSSPPVLIARLSHAQSKSPIRQTATSFDGSTILSCCEDGTIWRWDSVQTN >KJB81799 pep chromosome:Graimondii2_0_v6:13:44496405:44500305:1 gene:B456_013G163900 transcript:KJB81799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb group protein FERTILIZATION-INDEPENDENT ENDOSPERM [Source:Projected from Arabidopsis thaliana (AT3G20740) UniProtKB/Swiss-Prot;Acc:Q9LT47] MAKTPIGLEPVVGSLSSSKKKEYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTVYQCLEGGVIAVLQSYVDEDKDESFYTVSWACNIDGTPFVVAGGINGIIRVIDASNEKIHKSFVGHGDSINEIRTQPLKPSLIVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPVFLASVHSNYVDCNRWLGDFILSKSVDNEIVLWEPKMKEQSPGEGTADILQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIFVWELQSSPPVLIARLSHAQSKSPIRQTATSFDGSTILSCCEDGTIWRWDSVQTN >KJB81800 pep chromosome:Graimondii2_0_v6:13:44496436:44498734:1 gene:B456_013G163900 transcript:KJB81800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb group protein FERTILIZATION-INDEPENDENT ENDOSPERM [Source:Projected from Arabidopsis thaliana (AT3G20740) UniProtKB/Swiss-Prot;Acc:Q9LT47] MAKTPIGLEPVVGSLSSSKKKEYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTVYQCLEGGVIAVLQSYVDEDKDESFYTVSWACNIDGTPFVVAGGINGIIRVIDASNEKIHKSFVGHGDSINEIRTQPLKPSLIVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPVFLASVHSNYVDCNRWLGDFILSKISTLSYFVKYI >KJB81798 pep chromosome:Graimondii2_0_v6:13:44496436:44500305:1 gene:B456_013G163900 transcript:KJB81798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb group protein FERTILIZATION-INDEPENDENT ENDOSPERM [Source:Projected from Arabidopsis thaliana (AT3G20740) UniProtKB/Swiss-Prot;Acc:Q9LT47] MAKTPIGLEPVVGSLSSSKKKEYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTVYQCLEGGVIAVLQSYVDEDKDESFYTVSWACNIDGTPFVVAGGINGIIRVIDASNEKIHKSFVGHGDSINEIRTQPLKPSLIVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPVFLASVHSNYVDCNRWLGDFILSKSVDNEIVLWEPKMKEQSPGEGTADILQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIFVWELQSSPPVLIARLSHAQSKSPIRQTATSFDGSTILSCCEDGTIWRWDSVQTN >KJB81803 pep chromosome:Graimondii2_0_v6:13:44496425:44500407:1 gene:B456_013G163900 transcript:KJB81803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb group protein FERTILIZATION-INDEPENDENT ENDOSPERM [Source:Projected from Arabidopsis thaliana (AT3G20740) UniProtKB/Swiss-Prot;Acc:Q9LT47] MAKTPIGLEPVVGSLSSSKKKEYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTVYQCLEGGVIAVLQSYVDEDKDESFYTVSWACNIDGTPFVVAGGINGIIRVIDASNEKIHKSFVGHGDSINEIRTQPLKPSLIVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPVFLASVHSNYVDCNRWLGDFILSKSVDNEIVLWEPKMKEQSPGEGTADILQKYPVPECDIWFIKFSCDFHYNAAAIEKARFLSGNCKVALLFSLPGYLMHSQNLQLDKLPRPLMEAPFSVAVRMGRYGDGILSRPTDHPPVSWPKEHHQSMFWSVVQCCR >KJB81804 pep chromosome:Graimondii2_0_v6:13:44496525:44499462:1 gene:B456_013G163900 transcript:KJB81804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb group protein FERTILIZATION-INDEPENDENT ENDOSPERM [Source:Projected from Arabidopsis thaliana (AT3G20740) UniProtKB/Swiss-Prot;Acc:Q9LT47] MAKTPIGLEPVVGSLSSSKKKEYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTVYQCLEGGVIAVLQSYVDEDKDESFYTVSWACNIDGTPFVVAGGINGIIRVIDASNEKIHKSFVGHGDSINEIRTQPLKPSLIVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPVFLASVHSNYVDCNRWLGDFILSKSVDNEIVLWEPKMKEQSPGEGTADILQKYPVPECDIWFIKFSCDFHYNAAAIGI >KJB81802 pep chromosome:Graimondii2_0_v6:13:44496436:44500305:1 gene:B456_013G163900 transcript:KJB81802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb group protein FERTILIZATION-INDEPENDENT ENDOSPERM [Source:Projected from Arabidopsis thaliana (AT3G20740) UniProtKB/Swiss-Prot;Acc:Q9LT47] MAKTPIGLEPVVGSLSSSKKKEYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTVYQCLEGGVIAVLQSYVDEDKDESFYTVSWACNIDGTPFVVAGGINGIIRVIDASNEKIHKSFVGHGDSINEIRTQPLKPSLIVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPVFLASVHSNYVDCNRWLGDFILSKSVDNEIVLWEPKMKEQSPGEGTADILQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIFVWELQSSPPVLIARLSHAQSKSPIRQTATSFDGSCCEDGTIWRWDSVQTN >KJB80762 pep chromosome:Graimondii2_0_v6:13:28232442:28237982:1 gene:B456_013G114500 transcript:KJB80762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSRLQELCQKKAWRLPEYNVTKQGQDHNPRFEATVDVNGMSFQSQNPAKSSKEAQNDAAYLAFLHFTAPPLPDPDSVILAGSSNAIANFDSNTTNRSLQPERQEACRDSHVSDCASICNNNEKFKDMQHFYKNLLQNYAQKRNVSRPVYSCEVEGPPHASRFRCKVTIDEKTYEGLEFFPTIKEAEHAAARVALSCLTPDAIEEVQEDSSLFKNLLQELTQKEGCPLPVYTTTRSGEAHASMFVSSVEVKGEAFTGQGARTKKQAEFLAAKVAYTKLKECKSNKVSTNITPAYHELEGHVVSSFHSPTNVNAGTEQNLGSKSGSLLNSSSTLGADRQDDRVHVTFMDHHSDQFPQPEMTTDWESSPSSPILSDHPLPEDNPSTANIPSNHWVTTNLVEDSMKQHVEMSSISCNRIIVRPRVPNMKFPAGSTVLPMSDNVWVAVKLGSDPNQ >KJB80763 pep chromosome:Graimondii2_0_v6:13:28232442:28237982:1 gene:B456_013G114500 transcript:KJB80763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSRLQELCQKKAWRLPEYNVTKQGQDHNPRFEATVDVNGMSFQSQNPAKSSKEAQNDAAYLAFLHFTAPPLPDPGSSNAIANFDSNTTNRSLQPERQEACRDSHVSDCASICNNNEKFKDMQHFYKNLLQNYAQKRNVSRPVYSCEVEGPPHASRFRCKVTIDEKTYEGLEFFPTIKEAEHAAARVALSCLTPDAIEEVQEDSSLFKNLLQELTQKEGCPLPVYTTTRSGEAHASMFVSSVEVKGEAFTGQGARTKKQAEFLAAKVAYTKLKECKSNKVSTNITPAYHELEGHVVSSFHSPTNVNAGTEQNLGSKSGSLLNSSSTLGADRQDDRVHVTFMDHHSDQFPQPEMTTDWESSPSSPILSDHPLPEDNPSTANIPSNHWVTTNLVEDSMKQHVEMSSISCNRIIVRPRVPNMKFPAGSTVLPMSDNVWVAVKLGSDPNQ >KJB80767 pep chromosome:Graimondii2_0_v6:13:28233522:28237982:1 gene:B456_013G114500 transcript:KJB80767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHFYKNLLQNYAQKRNVSRPVYSCEVEGPPHASRFRCKVTIDEKTYEGLEFFPTIKEAEHAAARVALSCLTPDAIEEVQEDSSLFKNLLQELTQKEGCPLPVYTTTRSGEAHASMFVSSVEVKGEAFTGQGARTKKQAEFLAAKVAYTKLKECKSNKVSTNITPAYHELEGHVVSSFHSPTNVNAGTEQNLGSKSGSLLNSSSTLGADRQDDRVHVTFMDHHSDQFPQPEMTTDWESSPSSPILSDHPLPEDNPSTANIPSNHWVTTNLVEDSMKQHVEMSSISCNRIIVRPRVPNMKFPAGSTVLPMSDNVWVAVKLGSDPNQ >KJB80765 pep chromosome:Graimondii2_0_v6:13:28232921:28237696:1 gene:B456_013G114500 transcript:KJB80765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLISLSFISLRPLFLILVLQMQLQILIVILLTEACNQKDKKHVEILMSVTVLLFATIMKNLKEDSSLFKNLLQELTQKEGCPLPVYTTTRSGEAHASMFVSSVEVKGEAFTGQGARTKKQAEFLAAKVAYTKLKECKSNKVSTNITPAYHELEGHVVSSFHSPTNVNAGTEQNLGSKSGSLLNSSSTLGADRQDDRVHVTFMDHHSDQFPQPEMTTDWESSPSSPILSDHPLPEDNPSTANIPSNHWVTTNLVEDSMKQHVEMSSISCNRIIVRPRVPNMKFPAGSTVLPMSDNVWVAVKLGSDPNQ >KJB80764 pep chromosome:Graimondii2_0_v6:13:28232442:28237982:1 gene:B456_013G114500 transcript:KJB80764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSRLQELCQKKAWRLPEYNVTKQGQDHNPRFEATVDVNGMSFQSQNPAKSSKEAQNDAAYLAFLHFTAPPLPDPGSSNAIANFDSNTTNRSLQPERQEACRDSHEDSSLFKNLLQELTQKEGCPLPVYTTTRSGEAHASMFVSSVEVKGEAFTGQGARTKKQAEFLAAKVAYTKLKECKSNKVSTNITPAYHELEGHVVSSFHSPTNVNAGTEQNLGSKSGSLLNSSSTLGADRQDDRVHVTFMDHHSDQFPQPEMTTDWESSPSSPILSDHPLPEDNPSTANIPSNHWVTTNLVEDSMKQHVEMSSISCNRIIVRPRVPNMKFPAGSTVLPMSDNVWVAVKLGSDPNQ >KJB80766 pep chromosome:Graimondii2_0_v6:13:28232442:28237982:1 gene:B456_013G114500 transcript:KJB80766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSRLQELCQKKAWRLPEYNVTKQGQDHNPRFEATVDVNGMSFQSQNPAKSSKEAQNDAAYLAFLHFTAPPLPDPGSSNAIANFDSNTTNRSLQPERQEACRDSHVSDCASICNNNEKFKDMQHFYKNLLQNYAQKRNVSRPVYSCEVEGPPHASRFRCKVTIDEKTYEGLEFFPTIKEAEHAAARVALSCLTPDAIEEVQEDSSLFKNLLQELTQKEGCPLPVYTTTRSGEAHASMFVSSVEVKGEAFTGQGARTKKQAEFLAAKVAYTKLKECKSNKVSTNITPAYHELEGHVVSSFHSPTNVNAVHVTFMDHHSDQFPQPEMTTDWESSPSSPILSDHPLPEDNPSTANIPSNHWVTTNLVEDSMKQHVEMSSISCNRIIVRPRVPNMKFPAGSTVLPMSDNVWVAVKLGSDPNQ >KJB80768 pep chromosome:Graimondii2_0_v6:13:28234124:28237982:1 gene:B456_013G114500 transcript:KJB80768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHFYKNLLQNYAQKRNVSRPVYSCEVEGPPHASRFRCKVTIDEKTYEGLEFFPTIKEAEHAAARVALSCLTPDAIEEVQEDSSLFKNLLQELTQKEGCPLPVYTTTRSGEAHASMFVSSVEVKGEAFTGQGARTKKQAEFLAAKVAYTKLKECKSNKVSTNITPAYHELEGHVVSSFHSPTNVNAGTEQNLGSKSGSLLNSSSTLGADRQDDRVHVTFMDHHSDQFPQPEMTTDWESSPSSPILSDHPLPEDNPSTANIPSNHWVTTNLVEDSMKQHVEMSSISCNRIIVRPRVPNMKFPAGSTVLPMSDNVWVAVKLGSDPNQ >KJB78831 pep chromosome:Graimondii2_0_v6:13:1519254:1521051:1 gene:B456_013G021500 transcript:KJB78831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKITRAYEREVKEGDVKMASPKFGMSILFFFLCISFCSSTDQSFQQCFSSHLPPSNITYDVIFTQNSSQYSSILQSSIRNLRFSNASKPRYLVTPYNEDHIQATIICSKEHHMHVRVRSGGHDYEGLSYISDVPFIVIDLFHIRSVMVDIKNEYAWVGAGATLGELYYSISAKSNVHGFPAGSCPTVGVGGHISGGGFGTIFRKYGLAADNVIDAKMIDVNGNVLDRKSMGEDLFWAIRGGGGASFGVIFSWKLKLVRVPPTVTVFKTVKSLEQGATKLVQKWQNIAYKFHHDLFVHAVIQVTNPNSNQNPTVQVSFDCLFLGTTERLLSSIQRSFPELGVTQENCTEMSWIQSVLYFAGYSIAESADVLLNRTTQSTQSFKGKSDYVKEAIPKTGLEGLFKMVVEEETSVLILTPYGGRMKQIKSSATPFPYRSEYLYGIQYMISWDVAEETGKRIGWMRRLYKYMEPYVSTAPRAAYFNYRDLDLGRNSYPNTSYVESSEWGLKYFNHNFNRLVRVKTLADPHNFFWNEQSIPVLRFE >KJB79268 pep chromosome:Graimondii2_0_v6:13:3310939:3311175:-1 gene:B456_013G040800 transcript:KJB79268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPLVYWQLPQKHSVKINFDAGFCQQNNKSCSGIILRDDSGIVLWSKAIMHVNIPSPFAAEAMVCVEGARWAYSWVFQE >KJB79286 pep chromosome:Graimondii2_0_v6:13:3573146:3577689:1 gene:B456_013G042100 transcript:KJB79286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAIAVEDVRREVKILRALTGHNNLVKFYDAFEDHDNVYIVMEFCEGGELLDRILARGGKYSEDDAKTVMVQILNVVAFCHLQGVVHRDLKPENFLYTSKEENSQLKAIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYGTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPTFNEAPWPSLSSEVKDFVKRLLNKDPRKRMTAAQALCHPWIRSHNDVKVPLDILVFRLMKAYMRSSTLRKAALKALSRTLTEDELFYMREQFALFEPKNGSITLENIKTALMKNATDAMKDSHVPDFLFSLNALQYRRMCFEEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRPIVIEELASELGLGPSIPVHAVLNDWIRHTDGKLSFLGFVKLLRGPSSRALAKAQ >KJB79287 pep chromosome:Graimondii2_0_v6:13:3574366:3577689:1 gene:B456_013G042100 transcript:KJB79287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEMTTAIAVEDVRREVKILRALTGHNNLVKFYDAFEDHDNVYIVMEFCEGGELLDRILARGGKYSEDDAKTVMVQILNVVAFCHLQGVVHRDLKPENFLYTSKEENSQLKAIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYGTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPTFNEAPWPSLSSEVKDFVKRLLNKDPRKRMTAAQALCHPWIRSHNDVKVPLDILVFRLMKAYMRSSTLRKAALKALSRTLTEDELFYMREQFALFEPKNGSITLENIKTALMKNATDAMKDSHVPDFLFSLNALQYRRMCFEEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRPIVIEELASELGLGPSIPVHAVLNDWIRHTDGKLSFLGFVKLLRGPSSRALAKAQ >KJB79285 pep chromosome:Graimondii2_0_v6:13:3574094:3577689:1 gene:B456_013G042100 transcript:KJB79285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAIAVEDVRREVKILRALTGHNNLVKFYDAFEDHDNVYIVMEFCEGGELLDRILARGGKYSEDDAKTVMVQILNVVAFCHLQGVVHRDLKPENFLYTSKEENSQLKAIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYGTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPTFNEAPWPSLSSEVKDFVKRLLNKDPRKRMTAAQALCHPWIRSHNDVKVPLDILVFRLMKAYMRSSTLRKAALKALSRTLTEDELFYMREQFALFEPKNGSITLENIKTALMKNATDAMKDSHVPDFLFSLNALQYRRMCFEEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRPIVIEELASELGLGPSIPVHAVLNDWIRHTDGKLSFLGFVKLLRGPSSRALAKAQ >KJB79284 pep chromosome:Graimondii2_0_v6:13:3572125:3577727:1 gene:B456_013G042100 transcript:KJB79284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACTSKPSKPNSYATRENLQNDYPATSQLPKSPPPPPLLPPTTPFLPLYTPSPAHRHQKPKTPSTPLRFLRRPFPPPSPAKHIRAVLRRRKSNKKAENEGKIVEGEEEEEEGVELDKRFGFSKELKSKLEVKEEVGRGHFGYTCSAKFKKGEFKDQQVAVKVIPKSKMTTAIAVEDVRREVKILRALTGHNNLVKFYDAFEDHDNVYIVMEFCEGGELLDRILARGGKYSEDDAKTVMVQILNVVAFCHLQGVVHRDLKPENFLYTSKEENSQLKAIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYGTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPTFNEAPWPSLSSEVKDFVKRLLNKDPRKRMTAAQALCHPWIRSHNDVKVPLDILVFRLMKAYMRSSTLRKAALKALSRTLTEDELFYMREQFALFEPKNGSITLENIKTALMKNATDAMKDSHVPDFLFSKNVF >KJB79283 pep chromosome:Graimondii2_0_v6:13:3571963:3577689:1 gene:B456_013G042100 transcript:KJB79283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACTSKPSKPNSYATRENLQNDYPATSQLPKSPPPPPLLPPTTPFLPLYTPSPAHRHQKPKTPSTPLRFLRRPFPPPSPAKHIRAVLRRRKSNKKAENEGKIVEGEEEEEEGVELDKRFGFSKELKSKLEVKEEVGRGHFGYTCSAKFKKGEFKDQQVAVKVIPKSKMTTAIAVEDVRREVKILRALTGHNNLVKFYDAFEDHDNVYIVMEFCEGGELLDRILARGGKYSEDDAKTVMVQILNVVAFCHLQGVVHRDLKPENFLYTSKEENSQLKAIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYGTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPTFNEAPWPSLSSEVKDFVKRLLNKDPRKRMTAAQALCHPWIRSHNDVKVPLDILVFRLMKAYMRSSTLRKAALKALSRTLTEDELFYMREQFALFEPKNGSITLENIKTALMKNATDAMKDSHVPDFLFSLNALQYRRMCFEEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRPIVIEELASELGLGPSIPVHAVLNDWIRHTDGKLSFLGFVKLLRGPSSRALAKAQ >KJB79720 pep chromosome:Graimondii2_0_v6:13:7115662:7118473:-1 gene:B456_013G063900 transcript:KJB79720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDKKNPSPSSSRKLNSPPAEETTPLIDNTKPLSSHPKTLANVFIAIVGAGVLGMPYAFKRTGWIMGLLILSFIAASTTYCMTLLVQIRRKLDSYENGTTNISSFGDLGFAVCGTLGRFVVDVLITLSQAGFCIGYLIFIANTLLHLFHDEPSSDLGLSSGMSRFTVKSLYIWGCFPFQLGLNSIKTLTHLAPLSIFADVVDIGAMGVVMLEDLRLIMRRRREVKAFGGVSVFFYGMGVALFGYEGIAMVLPIESEMKDNAKFNKILALSMGLTTLMYGAFGALGYFAFGDKTKDIITSNLGTGWISSLVQLGLCINLFFTFPLMMNPVYEIVERRFSGGRYCVWLRWLFVLIVSLVALFVPNFADFLSLVGSSVCCCLGFILPGLFHLLAFKDEQGCKGCSLDIGIMIFGVVLAISGTWFSLMEILSAKE >KJB78556 pep chromosome:Graimondii2_0_v6:13:410298:412852:1 gene:B456_013G005800 transcript:KJB78556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVFRQSNAGKVGNDFQENQFRQSNRPLVSMASIVSCFSKFCLTLFLLVLFHGSTNAKLSTNFYSKSCPNLLPIVKFIVHSAIMKEARMGASLLRLFFHDCFVNGCDGSVLLDDTSSFIGEKNAAPNRNSARGFNVVDDIKSAVEIVCPGVVSCADILALSARDSVTILGGPYWDVKLGRRDARTASQAAANNNIPSPSSNLNQLISRFSAHGLSPWDLVALSGGHTIGQARCTTFRARIYNESNIDSSFARTRQRNCPRATGSGDNNLAPLDIHTPTYFDNSYFKNLIDRRGLFHSDQQLFIGGRSTDSIVRGYSNNPRSFRSDFAAAMIKMGDISPLTGTKGEIRKNCRRVN >KJB80301 pep chromosome:Graimondii2_0_v6:13:14599809:14604924:1 gene:B456_013G090900 transcript:KJB80301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVQSKSYLPGFYSMRDFNEDSNGCSWPLYYGDKTLTNGQYYNSFFPRAIADVYPEYDKDRLKRTMLEHEAIFHNQVSELHRLYRIQRDLMDEVKKKELQKDQVPTGPSLSSSLLASQITSEVAHKCHIPNSPVANSVCGRPSISGVEDAHSRLSSVKGSSIQAGPFLSQNGVNLKDVEVLECRPTKVRRKMFDLQLPADTYIDIEEAEEFRNDIASGFSTYLPNGNGKIEPESGGKPFRNGVGKTSCHGDGSKSDACSKGKSCLADLNEPVEIEETNGSAHSHFVRHDRYHRGHELSAKPKPELLGFPKDISVNFHRQSDNRSITNLHVENNENARGIFSHVLEAGHSKGNSTSISQSFQPQKLPVPYQQVQVLFEKAHDPPTFSLTDRSKADFSRDRMLQSFEVSGRNHEISNNSYPESNMISKPSSSLNQKSLSVQTHPSLNSYGPYGKSSGISPHSNESFSKKRQESSNSKLNPAFGSEFSYRNGFHYGSSSGSKELGVQLLSTSYGSNVGKVVSEQFPTHGSTMPYNCSNGVDMKSAIDVNLNVVLSNNSSNMPVTQRGPQIDLQRKHEDHLPGLPWLRAKAACKNEAISARRDLNLNVGESIFFQSSSKKSTNKNETGNGFSQIFPQNVKSISSSNNADANRSEISECLHDKRILGVPIFEKHYVSENESSFTSPYVSGSQPSEGEAENKGRNMLLDINLPCDVSLDASQDNVAENSAIEKEADMKISSFGHQIDLNSCAVEDEASFILNVPSTGRKMTGGIDLEAPLIPEPEDVIHGEELSEKAHDLPLVSAESRDESLQDGPMKSAAEAIVAISSSGHCSHLDDVNCNSSETSTTDPLNWFAETVSSFGKDLDSKLEAISRDKDGGRDESSLEDIDSFESMVLRLAETKEEDYMPEPLVPENFKVEETGSTSLLTIRTRKGQGRRGRQRRDFQKDILPGLASLSRHEVTQDLQTFGGLMRATGHSWNSGLTRRNCGRGRRRSITTSPPASAAATSRTPLMQQLNNAELGLDDGSLTGWGKTTRRPRRQRCPAGNLPSLALT >KJB80300 pep chromosome:Graimondii2_0_v6:13:14599809:14604924:1 gene:B456_013G090900 transcript:KJB80300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVQSKSYLPGFYSMRDFNEDSNGCSWPLYYGDKTLTNGQYYNSFFPRAIADVYPEYDKDRLKRTMLEHEAIFHNQVSELHRLYRIQRDLMDEVKKKELQKDQVPTGPSLSSSLLASQITSEVAHKCHIPNSPVANSVCGRPSISGVEDAHSRLSSVKGSSIQAGPFLSQNGVNLKDVEVLECRPTKVRRKMFDLQLPADTYIDIEEAEEFRNDIASGFSTYLPNGNGKIEPESGGKPFRNGVGKTSCHGDGSKSDACSKGKSCLADLNEPVEIEETNGSAHSHFVRHDRYHRGHELSAKPKPELLGFPKDISVNFHRQSDNRSITNLHVENNENARGIFSHVLEAGHSKGNSTSISQSFQPQKLPVPYQQVQVLFEKAHDPPTFSLTDRSKADFSRDRMLQSFEVSGRNHEISNNSYPESNMISKPSSSLNQKSLSVQTHPSLNSYGPYGKSSGISPHSNESFSKKRQESSNSKLNPAFGSEFSYRNGFHYGSSSGSKELGVQLLSTSYGSNVGKVVSEQFPTHGSTMPYNCSNGVDMKSAIDVNLNVVLSNNSSNMPVTQRGPQIDLQRKHEDHLPGLPWLRAKAACKNEAISARRDLNLNVGESIFFQSSSKKSTNKNETGNGFSQIFPQNVKSISSSNNADANRSEISECLHDKRILGVPIFEKHYVSENESSFTSPYVSGSQPSEGEAENKGRNMLLDINLPCDVSLDASQDNVAENSAIEKEADMKISSFGHQIDLNSCAVEDEASFILNVPSTGRKMTGGIDLEAPLIPEPEDVIHGEELSEKAHDLPLVSAESRDESLQDGPMKSAAEAIVAISSSGHCSHLDDVNCNSSETSTTDPLNWFAETVSSFGKDLDSKLEAISRDKDGGRDESSLEDIDSFESMVLRLAETKEEDYMPEPLVPENFKVEETGSTSLLTIRTRKGQGRRGRQRRDFQKDILPGLASLSRHEVTQDLQTFGGLMRATGHSWNSGLTRRNCGRGRRRSITTSPPASAAATSRTPLMQQLNNAELGLDDGSLTGWGKTTRRPRRQRCPAGNLPSLALT >KJB80299 pep chromosome:Graimondii2_0_v6:13:14599809:14605043:1 gene:B456_013G090900 transcript:KJB80299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVQSKSYLPGFYSMRDFNEDSNGCSWPLYYGDKTLTNGQYYNSFFPRAIADVYPEYDKDRLKRTMLEHEAIFHNQVSELHRLYRIQRDLMDEVKKKELQKDQVPTGPSLSSSLLASQITSEVAHKCHIPNSPVANSVCGRPSISGVEDAHSRLSSVKGSSIQAGPFLSQNGVNLKDVEVLECRPTKVRRKMFDLQLPADTYIDIEEAEEFRNDIASGFSTYLPNGNGKIEPESGGKPFRNGVGKTSCHGDGSKSDACSKGKSCLADLNEPVEIEETNGSAHSHFVRHDRYHRGHELSAKPKPELLGFPKDISVNFHRQSDNRSITNLHVENNENARGIFSHVLEAGHSKGNSTSISQSFQPQKLPVPYQQVQVLFEKAHDPPTFSLTDRSKADFSRDRMLQSFEVSGRNHEISNNSYPESNMISKPSSSLNQKSLSVQTHPSLNSYGPYGKSSGISPHSNESFSKKRQESSNSKLNPAFGSEFSYRNGFHYGSSSGSKELGVQLLSTSYGSNVGKVVSEQFPTHGSTMPYNCSNGVDMKSAIDVNLNVVLSNNSSNMPVTQRGPQIDLQRKHEDHLPGLPWLRAKAACKNEAISARRDLNLNVGESIFFQSSSKKSTNKNETGNGFSQIFPQNVKSISSSNNADANRSEISECLHDKRILGVPIFEKHYVSENESSFTSPYVSGSQPSEGEAENKGRNMLLDINLPCDVSLDASQDNVAENSAIEKEADMKISSFGHQIDLNSCAVEDEASFILNVPSTGRKMTGGIDLEAPLIPEPEDVIHGEELSEKAHDLPLVSAESRDESLQDGPMKSAAEAIVAISSSGHCSHLDDVNCNSSETSTTDPLNWFAETVSSFGKDLDSKLEAISRDKDGGRDESSLEDIDSFESMVLRLAETKEEDYMPEPLVPENFKVEETGSTSLLTIRTRKGQGRRGRQRRDFQKDILPGLASLSRHEVTQDLQTFGGLMRATGHSWNSGLTRRNCGRGRRRSITTSPPASAAATSRTPLMQQLNNAELGLDDGSLTGWGKTTRRPRRQRCPAGNLPSLALT >KJB82985 pep chromosome:Graimondii2_0_v6:13:54325168:54327019:1 gene:B456_013G223100 transcript:KJB82985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFSRPTAVNLSDAAIKLKEIISKAASTVTVPESVFQAYIEAAEIMLDDDVATNKAIGSHGANFLQHQQNNSKRFSVLTHCNTGSLATAGYGTALGVIRALHAQGVLERAYCTETRPFNQGSRLTAFELVHEKIPATLIADSAAAALMKEDRVDAVIVGADRVTANGDTANKIGTYSLALCAMHHHIPFYVAAPLTSIDLSLSSGQEIVIEERSAKELLHTRGGLGEQVAASGISVWNPAFDVTPANLIAGIITEKGVITKNDMKDDAFDIKDFIEKATGKSTV >KJB82983 pep chromosome:Graimondii2_0_v6:13:54323873:54327019:1 gene:B456_013G223100 transcript:KJB82983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLDIHGSTDGWNAIRDMVVRGAPAIAIAAALSLAVEVANLKDFNGGSKDAASFLESKLEYLVSSRPTAVNLSDAAIKLKEIISKAASTVTVPESVFQAYIEAAEIMLDDDVATNKAIGSHGANFLQHQQNNSKRFSVLTHCNTGSLATAGYGTALGVIRALHAQGVLERAYCTETRPFNQGSRLTAFELVHEKIPATLIADSAAAALMKEDRVDAVIVGADRVTANGDTANKIGTYSLALCAMHHHIPFYVAAPLTSIDLSLSSGQEIVIEERSAKELLHTRGGLGEQVAASGISVWNPAFDVTPANLIAGIITEKGVITKNDMKDDAFDIKDFIEKATGKSTV >KJB82982 pep chromosome:Graimondii2_0_v6:13:54323873:54327019:1 gene:B456_013G223100 transcript:KJB82982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSKLIDDGLAADNTLQAICYKRGSLQLLDQRKLPLETMYLDIHGSTDGWNAIRDMVVRGAPAIAIAAALSLAVEVANLKDFNGGSKDAASFLESKLEYLVSSRPTAVNLSDAAIKLKEIISKAASTVTVPESVFQAYIEAAEIMLDDDVATNKAIGSHGANFLQHQQNNSKRFSVLTHCNTGSLATAGYGTALGVIRALHAQGVLERAYCTETRPFNQGSRLTAFELVHEKIPATLIADSAAAALMKEDRVDAVIVGADRVTANGIPWLLMMHFA >KJB82984 pep chromosome:Graimondii2_0_v6:13:54323873:54327019:1 gene:B456_013G223100 transcript:KJB82984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSKLIDDGLAADNTLQAICYKRGSLQLLDQRKLPLETMYLDIHGSTDGWNAIRDMVVRGAPAIAIAAALSLAVEVANLKDFNGGSKDAASFLESKLEYLVSSRPTAVNLSDAAIKLKEIISKAASTVTVPESVFQAYIEAAEIMLDDDVATNKAIGSHGANFLQHQQNNSKRFSVLTHCNTGSLATAGYGTALGVIRALHAQGVLERAYCTETRPFNQGSRLTAFELVHEKIPATLIADSAAAALMKEDRVDAVIVGADRVTANGDTANKIGTYSLALCAMHHHIPFYVAAPLTSIDLSLSSGQEIVIEERSAKELLHTRGGLGEQVAASGISVWNPAFDVTPANLIAGIITEKVSPFSHSLCL >KJB82981 pep chromosome:Graimondii2_0_v6:13:54323820:54327062:1 gene:B456_013G223100 transcript:KJB82981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSKLIDDGLAADNTLQAICYKRGSLQLLDQRKLPLETMYLDIHGSTDGWNAIRDMVVRGAPAIAIAAALSLAVEVANLKDFNGGSKDAASFLESKLEYLVSSRPTAVNLSDAAIKLKEIISKAASTVTVPESVFQAYIEAAEIMLDDDVATNKAIGSHGANFLQHQQNNSKRFSVLTHCNTGSLATAGYGTALGVIRALHAQGVLERAYCTETRPFNQGSRLTAFELVHEKIPATLIADSAAAALMKEDRVDAVIVGADRVTANGDTANKIGTYSLALCAMHHHIPFYVAAPLTSIDLSLSSGQEIVIEERSAKELLHTRGGLGEQVAASGISVWNPAFDVTPANLIAGIITEKGVITKNDMKDDAFDIKDFIEKATGKSTV >KJB82465 pep chromosome:Graimondii2_0_v6:13:50243684:50243958:-1 gene:B456_013G1971002 transcript:KJB82465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AARLSSQIEKLCNAIDNTSQAKCILTPVMDPYGIPQVVKVLDSLSEEVPKASLLYFFALKLLLNKEKRIMFLSINLKIRALWLKSEMEDS >KJB82464 pep chromosome:Graimondii2_0_v6:13:50243684:50243956:-1 gene:B456_013G1971002 transcript:KJB82464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AARLSSQIEKLCNAIDNTSQAKCILTPVMDPYGIPQVVKVLDSLSEEVPKASLLYFFALKLLLNKEKRIMFLSINLKIRALWLKSEMEDS >KJB82818 pep chromosome:Graimondii2_0_v6:13:53574652:53575468:1 gene:B456_013G215600 transcript:KJB82818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRVVKWLLFFFVVVVICSNNSLVHSSSEAVPFISSSKVSGHHYVNPLMEEKQLNKNVFKRHGRMLKTLHNEEINTRENAGEENRKGKGTYGGGDLLRPRARKSGANSLLLKSSPLMLIGFHLATIFFF >KJB81702 pep chromosome:Graimondii2_0_v6:13:43287755:43291931:-1 gene:B456_013G157400 transcript:KJB81702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMDAQNPLLGETTCGTLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAELLVTLSDAKLELSTLVSALGDKSFLGVDTVKASGTIKEQLAAIAPALEQLWKQKEERVKEFSDVQSQIQKICGEITGSANEQAGAPAVDESDLSLKKLNEYQVKLQELQKEKSDRLHKVLEFVGTVHDLCAVLGMDFFSTITEVHPSLDDKTCVQSKSISNDTLLRLAETVSALHEDKKQRIHKLQELATKLTDLWNLMDTPEEERRLFDHVTCNISASVDEVAVPGALALDLIEQAEVEVERLDQLKSSRMKELAFKRQVELEEIFAHAHIEIDPEAAREKIMALIDTGNVEPAELLADMDNQITKAKEEALSRKEILDRVEKWMSACEEESWLDDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGISFKYNGIPLLAMLDEYAMLRQEREEEKRRLRDQKKFGEQQNTEQEPIFSSRSTPARPASTKKVVGPRTNGTPGRRLSLSASQNGSRSAAKEGKRDSMRVAAPTNFVAISKEDAASHVSGTDRSPASP >KJB81701 pep chromosome:Graimondii2_0_v6:13:43288000:43290501:-1 gene:B456_013G157400 transcript:KJB81701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFSTITEVHPSLDDKTCVQSKSISNDTLLRLAETVSALHEDKKQRIHKLQELATKLTDLWNLMDTPEEERRLFDHVTCNISASVDEVAVPGALALDLIEQAEVEVERLDQLKSSRMKELAFKRQVELEEIFAHAHIEIDPEAAREKIMALIDTGNVEPAELLADMDNQITKAKEEALSRKEILDRVEKWMSACEEESWLDDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGISFKYNGIPLLAMLDEYAMLRQEREEEKRRLRDQKKFGEQQNTEQEPIFSSRSTPARPASTKKVVGPRTNGTPGRRLSLSASQNGSRSAAKEGKRDSMRVAAPTNFVAISKEDAASHVSGTDRSPASP >KJB81698 pep chromosome:Graimondii2_0_v6:13:43287755:43292757:-1 gene:B456_013G157400 transcript:KJB81698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMDAQNPLLGETTCGTLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAELLVTLSDAKLELSTLVSALGDKSFLGVDTVKASGTIKEQLAAIAPALEQLWKQKEERVKEFSDVQSQIQKICGEITGSANEQAGAPAVDESDLSLKKLNEYQVKLQELQKEKSDRLHKVLEFVGTVHDLCAVLGMDFFSTITEVHPSLDDKTCVQSKSISNDTLLRLAETVSALHEDKKQRIHKLQELATKLTDLWNLMDTPEEERRLFDHVTCNISASVDEVAVPGALALDLIEQAEVEVERLDQLKSSRMKELAFKRQVELEEIFAHAHIEIDPEAAREKIMALIDTGNVEPAELLADMDNQITKAKEEALSRKEILDRVEKWMSACEEESWLDDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGISFKYNGIPLLAMLDEYAMLRQEREEEKRRLRDQKKFGEQQNTEQEPIFSSRSTPARPASTKKVVGPRTNGTPGRRLSLSASQNGSRSAAKEGKRDSMRVAAPTNFVAISKEDAASHVSGTDRSPASP >KJB81700 pep chromosome:Graimondii2_0_v6:13:43287755:43292661:-1 gene:B456_013G157400 transcript:KJB81700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQIEQECLDVYKRKVEQAAKSRAELLVTLSDAKLELSTLVSALGDKSFLGVDTVKASGTIKEQLAAIAPALEQLWKQKEERVKEFSDVQSQIQKICGEITGSANEQAGAPAVDESDLSLKKLNEYQVKLQELQKEKSDRLHKVLEFVGTVHDLCAVLGMDFFSTITEVHPSLDDKTCVQSKSISNDTLLRLAETVSALHEDKKQRIHKLQELATKLTDLWNLMDTPEEERRLFDHVTCNISASVDEVAVPGALALDLIEQAEVEVERLDQLKSSRMKELAFKRQVELEEIFAHAHIEIDPEAAREKIMALIDTGNVEPAELLADMDNQITKAKEEALSRKEILDRVEKWMSACEEESWLDDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGISFKYNGIPLLAMLDEYAMLRQEREEEKRRLRDQKKFGEQQNTEQEPIFSSRSTPARPASTKKVVGPRTNGTPGRRLSLSASQNGSRSAAKEGKRDSMRVAAPTNFVAISKEDAASHVSGTDRSPASP >KJB81706 pep chromosome:Graimondii2_0_v6:13:43288991:43291380:-1 gene:B456_013G157400 transcript:KJB81706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMDAQNPLLGETTCGTLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAELLVTLSDAKLELSTLVSALGDKSFLGVDTVKASGTIKEQLAAIAPALEQLWKQKEERVKEFSDVQSQIQKICGEITGSANEQAGAPAVDESDLSLKKLNEYQVKLQELQKEKSDRLHKVLEFVGTVHDLCAVLGMDFFSTITEVHPSLDDKTCVQSKSISNDTLLRLAETVSALHEDKKQRIHKLQELATKLTDLWNLMDTPEEERRLFDHVTCNISASVDEVAVPGALALDLIEQAEVEVERLDQLKSSRMKELAFKRQVELEEIFAHAHIEIDPEAAREKIMALIDTGNVEPAELLADMDNQITKAKEEALSRKEILDRVEKWMSACEEESWLDDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPGRTI >KJB81697 pep chromosome:Graimondii2_0_v6:13:43287738:43292775:-1 gene:B456_013G157400 transcript:KJB81697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMDAQNPLLGETTCGTLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAELLVTLSDAKLELSTLVSALGDKSFLGVDTVKASGTIKEQLAAIAPALEQLWKQKEERVKEFSDVQSQIQKICGEITGSANEQAGAPAVDESDLSLKKLNEYQVKLQELQKEKSDRLHKVLEFVGTVHDLCAVLGMDFFSTITEVHPSLDDKTCVQSKSISNDTLLRLAETVSALHEDKKQRIHKLQELATKLTDLWNLMDTPEEERRLFDHVTCNISASVDEVAVPGALALDLIEQAEVEVERLDQLKSSRMKELAFKRQVELEEIFAHAHIEIDPEAAREKIMALIDTGNVEPAELLADMDNQITKAKEEALSRKEILDRVEKWMSACEEESWLDDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGISFKYNGIPLLAMLDEYAMLRQEREEEKRRLRDQKKFGEQQNTEQEPIFSSRSTPARPASTKKVVGPRTNGTPGRRLSLSASQNGSRSAAKEGKRDSMRVAAPTNFVAISKEDAASHVSGTDRSPASP >KJB81704 pep chromosome:Graimondii2_0_v6:13:43287755:43292488:-1 gene:B456_013G157400 transcript:KJB81704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMDAQNPLLGETTCGTLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAELLVTLSDAKLELSTLVSALGDKSFLGVDTVKASGTIKEQLAAIAPALEQLWKQKEERVKEFSDVQSQIQKICGEITGSANEQAGAPAVDESDLSLKKLNEYQVKLQELQKEKSDRLHKVLEFVGTVHDLCAVLGMDFFSTITEVHPSLDDKTCVQSKSISNDTLLRLAETVSALHEDKKQRIHKLQELATKLTDLWNLMDTPEEERRLFDHVTCNISASVDEVAVPGALALDLIEQAEVEVERLDQLKSSRMKELAFKRQVELEEIFAHAHIEIDPEAAREKIMALIDTGNVEPAELLADMDNQITKAKEEALSRKEILDRVEKWMSACEEESWLDDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGISFKYNGIPLLAMLDEYAMLRQEREEEKRRLRDQKKFGEQQNTEQEPIFSSRSTPARPASTKKVVGPRTNGTPGRRLSLSASQNGSRSAAKEGKRDSMRVAAPTNFVAISKEDAASHVSGTDRSPASP >KJB81699 pep chromosome:Graimondii2_0_v6:13:43287755:43292757:-1 gene:B456_013G157400 transcript:KJB81699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMDAQNPLLGETTCGTLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAELLVTLSDAKLELSTLVSALGDKSFLGVDTVKASGTIKEQLAAIAPALEQLWKQKEERVKEFSDVQSQIQKICGEITGSANEQAGAPAVDESDLSLKKLNEYQVKLQELQKEKSDRLHKVLEFVGTVHDLCAVLGMDFFSTITEVHPSLDDKTCVQSKSISNDTLLRLAETVSALHEDKKQRIHKLQELATKLTDLWNLMDTPEEERRLFDHVTCNISASVDEVAVPGALALDLIEQAEVEVERLDQLKSSRMKELAFKRQVELEEIFAHAHIEIDPEAAREKIMALIDTGNVEPAELLADMDNQITKAKEEALSRKEILDRVEKWMSACEEESWLDDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGISFKYNGIPLLAMLDEYAMLRQEREEEKRRLRDQKKFGEQQNTEQEPIFSSRSTPARPASTKKVVGPRTNGTPGRRLSLSASQNGSRSAAKEGKRDSMRVAAPTNFVAISKEDAASHVSGTDRSPASP >KJB81705 pep chromosome:Graimondii2_0_v6:13:43288389:43291380:-1 gene:B456_013G157400 transcript:KJB81705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMDAQNPLLGETTCGTLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAELLVTLSDAKLELSTLVSALGDKSFLGVDTVKASGTIKEQLAAIAPALEQLWKQKEERVKEFSDVQSQIQKICGEITGSANEQAGAPAVDESDLSLKKLNEYQVKLQELQKEKSDRLHKVLEFVGTVHDLCAVLGMDFFSTITEVHPSLDDKTCVQSKSISNDTLLRLAETVSALHEDKKQRIHKLQELATKLTDLWNLMDTPEEERRLFDHVTCNISASVDEVAVPGALALDLIEQAEVEVERLDQLKSSRMKELAFKRQVELEEIFAHAHIEIDPEAAREKIMALIDTGNVEPAELLADMDNQITKAKEEALSRKEILDRVEKWMSACEEESWLDDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGISFKYNGIPLLAMLDEYAMLRQEREEEKRRLRVNFLVIISSNYF >KJB81703 pep chromosome:Graimondii2_0_v6:13:43287755:43291434:-1 gene:B456_013G157400 transcript:KJB81703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQIEQECLDVYKRKVEQAAKSRAELLVTLSDAKLELSTLVSALGDKSFLGVDTVKASGTIKEQLAAIAPALEQLWKQKEERVKEFSDVQSQIQKICGEITGSANEQAGAPAVDESDLSLKKLNEYQVKLQELQKEKSDRLHKVLEFVGTVHDLCAVLGMDFFSTITEVHPSLDDKTCVQSKSISNDTLLRLAETVSALHEDKKQRIHKLQELATKLTDLWNLMDTPEEERRLFDHVTCNISASVDEVAVPGALALDLIEQAEVEVERLDQLKSSRMKELAFKRQVELEEIFAHAHIEIDPEAAREKIMALIDTGNVEPAELLADMDNQITKAKEEALSRKEILDRVEKWMSACEEESWLDDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGISFKYNGIPLLAMLDEYAMLRQEREEEKRRLRDQKKFGEQQNTEQEPIFSSRSTPARPASTKKVVGPRTNGTPGRRLSLSASQNGSRSAAKEGKRDSMRVAAPTNFVAISKEDAASHVSGTDRSPASP >KJB82388 pep chromosome:Graimondii2_0_v6:13:49483354:49486028:1 gene:B456_013G193500 transcript:KJB82388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNNHYYLLILVYLHFLPKEATETSSSVYVASVLVALFSNFEKGQYLPLNHPKQMAHPSMLLHRPLASLPSSAFFFLPATLALFTTLFILFYIFTTPSLFISHQHKHNLKYPLGPSQSSPLTPILPSNGSFHLSAKFPFNDVFGGEDGTVSPVSSRSHFGSKGNSMNDIDVFRDGDIFLEDYQQMNRSFKIYVYPHRRDDPFAHVFLPVDFEPGGNYASESYFKKALMNSHFITEDPRKADLFFLPFSIARLRHDRRVGGGGIQSFIRDYISNISTKYPYWNRTGGADHFYVACHSIGRSAMAKAEDVKLNAIQIVCSSSYFLPGYVAHKDASLPQVWPRTDDPPTTTSERKKLAFFAGAINSPVREKLVKFWRNDSEIYAHFGRLKTPYADELLGSKFCLHVKGFEVNTARIADSLYYGCVPIILANYYDLPFADILNWKSFSIAVVTVDIPLLKKILRGITSDEYSSLRGNVLKG >KJB82389 pep chromosome:Graimondii2_0_v6:13:49483408:49485464:1 gene:B456_013G193500 transcript:KJB82389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EATETSSSVYVASVLVALFSNFEKGQYLPLNHPKQMAHPSMLLHRPLASLPSSAFFFLPATLALFTTLFILFYIFTTPSLFISHQHKHNLKYPLGPSQSSPLTPILPSNGSFHLSAKFPFNDVFGGEDGTVSPVSSRSHFGSKGNSMNDIDVFRDGDIFLEDYQQMNRSFKIYVYPHRRDDPFAHVFLPVDFEPGGNYASESYFKKALMNSHFITEDPRKADLFFLPFSIARLRHDRRVGGGGIQSFIRDYISNISTKYPYWNRTGGADHFYVACHSIGRSAMAKAEDVKLNAIQIVCSSSYFLPGYVAHKDASLPQVWPRTDDPPTTTSERKKLAFFAGAINSPVREKLVKFWRNDSEIYAHFGRLKTPYADELLGSKFCLHVKGFEVNTARIADSLYYGCVPIILANYYDLPFADILNWKSFSIAVVTVDIPLLKKILRGITSDEYSSLRGNVLKVRKHFQWHVPPIDFDAFFMVMYELWLRRSCIRMSND >KJB79050 pep chromosome:Graimondii2_0_v6:13:2587092:2588390:-1 gene:B456_013G033800 transcript:KJB79050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRNVFPIFVIFSFFIVGVICTETFSAEGFEVDPLIEQVTDGHEGAEPQLLTAEHHFSLFKKRFKKSYGSQKEHDYRFKIFQVNLRRAARHQNLDPSATHGVTQFSDLTPGEFRKAYLGLRRLRLPKDATEAPILPTDNLPQDFDWREKGAVTPVKNQGSCGSCWSFSTTGALEGANFLATGKLVSLSEQQLVDCDHECDPEEAGSCDSGCNGGLMNSAFEYTLKAGGLMREEDYPYTGTDRGTCKFDNTKVAAKVANFSVVSLDEDQIAANLFKNGPLAGKCI >KJB79047 pep chromosome:Graimondii2_0_v6:13:2586244:2588462:-1 gene:B456_013G033800 transcript:KJB79047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRNVFPIFVIFSFFIVGVICTETFSAEGFEVDPLIEQVTDGHEGAEPQLLTAEHHFSLFKKRFKKSYGSQKEHDYRFKIFQVNLRRAARHQNLDPSATHGVTQFSDLTPGEFRKAYLGLRRLRLPKDATEAPILPTDNLPQDFDWREKGAVTPVKNQGSCGSCWSFSTTGALEGANFLATGKLVSLSEQQLVDCDHECDPEEAGSCDSGCNGGLMNSAFEYTLKAGGLMREEDYPYTGTDRGTCKFDNTKVAAKVANFSVVSLDEDQIAANLFKNGPLAVAINAVFMQTYIGGVSCPYICSKRLDHGVLLVGYGSAGYAPVRMKDKPYWIIKNSWGENWGENGFYRICRGRNICGVDSMVSTVAAVNTNSE >KJB79048 pep chromosome:Graimondii2_0_v6:13:2586431:2588440:-1 gene:B456_013G033800 transcript:KJB79048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRNVFPIFVIFSFFIVGVICTETFSAEGFEVDPLIEQVTDGHEGAEPQLLTAEHHFSLFKKRFKKSYGSQKEHDYRFKIFQVNLRRAARHQNLDPSATHGVTQFSDLTPGEFRKAYLGLRRLRLPKDATEAPILPTDNLPQDFDWREKGAVTPVKNQGSCGSCWSFSTTGALEGANFLATGKLVSLSEQQLVDCDHECDPEEAGSCDSGCNGGLMNSAFEYTLKAGGLMREEDYPYTGTDRGTCKFDNTKWLSMRCSCRPT >KJB79051 pep chromosome:Graimondii2_0_v6:13:2587674:2588390:-1 gene:B456_013G033800 transcript:KJB79051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRNVFPIFVIFSFFIVGVICTETFSAEGFEVDPLIEQVTDGHEGAEPQLLTAEHHFSLFKKRFKKSYGSQKEHDYRFKIFQVNLRRAARHQNLDPSATHGVTQFSDLTPGEFRKAYLGLRRLRLPKDATEAPILPTDNLPQDFDWREKGAVTPVKNQGSCGSCWSFSTTGALEGANFLATGKLVSLSEQQLVDCDHEVPFLLPFFLPCF >KJB79049 pep chromosome:Graimondii2_0_v6:13:2586431:2588440:-1 gene:B456_013G033800 transcript:KJB79049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRNVFPIFVIFSFFIVGVICTETFSAEGFEVDPLIEQVTDGHEGAEPQLLTAEHHFSLFKKRFKKSYGSQKEHDYRFKIFQVNLRRAARHQNLDPSATHGVTQFSDLTPGEFRKAYLGLRRLRLPKDATEAPILPTDNLPQDFDWREKGAVTPVKNQGSCGSCWSFSTTGALEGANFLATGKLVSLSEQQLVDCDHEVPFLLPFFLPCF >KJB81713 pep chromosome:Graimondii2_0_v6:13:43358801:43361523:1 gene:B456_013G157800 transcript:KJB81713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKLVILFFFVNLLMQIPKVRATVPAIIVFGDSTVDPGNNNQVSTVLKSNFEPYGRDYYGGQPTGRFSNGRIATDFISEALRIKPAIPAYLDPKYDIEDFATGVSFASAGTGYDNATSDVLSVIPFWKELEYYKEYQNKLRKHLGKDEANQRFSESLHLISIGTNDFLENYYIFPTRSSEYSVDEYQSFLIGIASNFIRELYKLGARKVVIGGLPPMGCLPLERTTNMFFGSDCIDEYNDVAKDFNKKLRGAVTELKQELGGIHLVMSSPYDKLMEMIRNPSHFGFEDAETACCGTGFFEMSYLCDNMNPFTCSDANKYVFWDAFHPTEKTNAILADYVVKTTLAEFQ >KJB79536 pep chromosome:Graimondii2_0_v6:13:5033398:5039109:-1 gene:B456_013G053300 transcript:KJB79536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKDLDLSTVKYEHEVIKAPHLMGLMLKLFVRMVEAPVLGSLIMSFLKKQNKMVEMLQNTVIPEAPMFKPEFPPQDAEPSVVIVDEERKPEDRAELALKCLPHYDPASCWSGDSLPSFRYWKIRDYAYAYRSKLVTPSMVAEKIISVIEDCNYHKPPTPLLISFDAEDIRKQAAASTRRFEEGNQLSILDGIFMAIKDDIDCYPHPSKGATTWMHEVRSVEKDAVCVSRLRSCGVILVGKANMHELGMGTTGNNPNYGTTRNPHAVERYTGGSSSGPAAIVASGLCSAALGTDGGGSVRIPSSLCGVVGLKTTYGRTSMEGSICDAGTVEIIGPIASTVEDVILVYAAILGSSPDNRICLRPPPPCFPDLSSLENANTLGSLRLGKYTEWFNDVHSTDISDICEDVLKLLSKSHGCETIEIVIPELHEMRTAHVVSIGSETQCSLNPDCEDGKGVKLTYDTRISMALFRSFTASDYIAAQCLRRRIMHHHMEIFKKVDVIVTPTTGMTAPKIPSSALKDGETDMQVTAYLMRFIIAGNLLGLPAITVPVSSALFSP >KJB79533 pep chromosome:Graimondii2_0_v6:13:5031502:5039123:-1 gene:B456_013G053300 transcript:KJB79533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKDLDLSTVKYEHEVIKAPHLMGLMLKLFVRMVEAPVLGSLIMSFLKKQNKMVEMLQNTVIPEAPMFKPEFPPQDAEPSVVIVDEERKPEDRAELALKCLPHYDPASCWSGDSLPSFRYWKIRDYAYAYRSKLVTPSMVAEKIISVIEDCNYHKPPTPLLISFDAEDIRKQAAASTRRFEEGNQLSILDGIFMAIKDDIDCYPHPSKGATTWMHEVRSVEKDAVCVSRLRSCGVILVGKANMHELGMGTTGNNPNYGTTRNPHAVERYTGGSSSGPAAIVASGLCSAALGTDGGGSVRIPSSLCGVVGLKTTYGRTSMEGSICDAGTVEIIGPIASTVEDVILVYAAILGSSPDNRICLRPPPPCFPDLSSLENANTLGSLRLGKYTEWFNDVHSTDISDICEDVLKLLSKSHGCETIEIVIPELHEMRTAHVVSIGSETQCSLNPDCEDGKGVKLTYDTRISMALFRSFTASDYIAAQCLRRRIMHHHMEIFKKVDVIVTPTTGMTAPKIPSSALKDGETDMQVTAYLMRFIIAGNLLGLPAITVPVGYDKQGLPIGLQLIGRPWGEATILHLASAVEELCAKSRKKPASFYDILNIK >KJB79535 pep chromosome:Graimondii2_0_v6:13:5031503:5039109:-1 gene:B456_013G053300 transcript:KJB79535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKDLDLSTVKYEHEVIKAPHLMGLMLKLFVRMVEAPVLGSLIMSFLKKQNKMVEMLQNTVIPEAPMFKPEFPPQDAEPSVVIVDEERKPEDRAELALKCLPHYDPASCWSGDSLPSFRYWKIRDYAYAYRSKLVTPSMVAEKIISVIEDCNYHKPPTPLLISFDAEDIRKQAAASTRRFEEGNQLSILDGIFMAIKDDIDCYPHPSKGATTWMHEVRSVEKDAVCVSRLRSCGVILVGKANMHELGMGTTGNNPNYGTTRNPHAVERYTGGSSSGPAAIVASGLCSAALGTDGGGSVRIPSSLCGVVGLKTTYGRTSMEGSICDAGTVEIIGPIASTVEDVILVYAAILGSSPDNRICLRPVSSRTLIPPPCFPDLSSLENANTLGSLRLGKYTEWFNDVHSTDISDICEDVLKLLSKSHGCETIEIVIPELHEMRTAHVVSIGSETQCSLNPDCEDGKGVKLTYDTRISMALFRSFTASDYIAAQCLRRRIMHHHMEIFKKVDVIVTPTTGMTAPKIPSSALKDGETDMQVTAYLMRFIIAGNLLGLPAITVPVGYDKQGLPIGLQLIGRPWGEATILHLASAVEELCAKSRKKPASFYDILNIK >KJB79531 pep chromosome:Graimondii2_0_v6:13:5033160:5038871:-1 gene:B456_013G053300 transcript:KJB79531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKDLDLSTVKYEHEVIKAPHLMGLMLKLFVRMVEAPVLGSLIMSFLKKQNKMVEMLQNTVIPEAPMFKPEFPPQDAEPSVVIVDEERKPEDRAELALKCLPHYDPASCWSGDSLPSFRYWKIRDYAYAYRSKLVTPSMVAEKIISVIEDCNYHKPPTPLLISFDAEDIRKQAAASTRRFEEGNQLSILDGIFMAIKDDIDCYPHPSKGATTWMHEVRSVEKDAVCVSRLRSCGVILVGKANMHELGMGTTGNNPNYGTTRNPHAVERYTGGSSSGPAAIVASGLCSAALGTDGGGSVRIPSSLCGVVGLKTTYGRTSMEGSICDAGTVEIIGPIASTVEDVILVYAAILGSSPDNRICLRPPPPCFPDLSSLENANTLGSLRLGKYTEWFNDVHSTDISDICEDVLKLLSKSHGCETIEIVIPELHEMRTAHVVSIGSETQCSLNPDCEDGKGVKLTYDTRISMALFRSFTASDYIAAQCLRRRIMHHHMEIFKKVDVIVTPTTGMTAPKIPSSALKDGETDMQVTAYLMRFIIAGNLLGLPAITVPVGYDKQGLPIGLQLIGRPWGEATILHLASAVEELCAKSRKKPASFYDILNIK >KJB79532 pep chromosome:Graimondii2_0_v6:13:5031503:5039109:-1 gene:B456_013G053300 transcript:KJB79532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKDLDLSTVKYEHEVIKAPHLMGLMLKLFVRMVEAPVLGSLIMSFLKKQNKMMLQNTVIPEAPMFKPEFPPQDAEPSVVIVDEERKPEDRAELALKCLPHYDPASCWSGDSLPSFRYWKIRDYAYAYRSKLVTPSMVAEKIISVIEDCNYHKPPTPLLISFDAEDIRKQAAASTRRFEEGNQLSILDGIFMAIKDDIDCYPHPSKGATTWMHEVRSVEKDAVCVSRLRSCGVILVGKANMHELGMGTTGNNPNYGTTRNPHAVERYTGGSSSGPAAIVASGLCSAALGTDGGGSVRIPSSLCGVVGLKTTYGRTSMEGSICDAGTVEIIGPIASTVEDVILVYAAILGSSPDNRICLRPPPPCFPDLSSLENANTLGSLRLGKYTEWFNDVHSTDISDICEDVLKLLSKSHGCETIEIVIPELHEMRTAHVVSIGSETQCSLNPDCEDGKGVKLTYDTRISMALFRSFTASDYIAAQCLRRRIMHHHMEIFKKVDVIVTPTTGMTAPKIPSSALKDGETDMQVTAYLMRFIIAGNLLGLPAITVPVGYDKQGLPIGLQLIGRPWGEATILHLASAVEELCAKSRKKPASFYDILNIK >KJB79534 pep chromosome:Graimondii2_0_v6:13:5033160:5038871:-1 gene:B456_013G053300 transcript:KJB79534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRVMVPAKDLDLSTVKYEHEVIKAPHLMGLMLKLFVRMVEAPVLGSLIMSFLKKQNKMVEMLQNTVIPEAPMFKPEFPPQDAEPSVVIVDEERKPEDRAELALKCLPHYDPASCWSGDSLPSFRYWKIRDYAYAYRSKLVTPSMVAEKIISVIEDCNYHKPPTPLLISFDAEDIRKQAAASTRRFEEGNQLSILDGIFMAIKDDIDCYPHPSKGATTWMHEVRSVEKDAVCVSRLRSCGVILVGKANMHELGMGTTGNNPNYGTTRNPHAVERYTGGSSSGPAAIVASGLCSAALGTDGGGSVRIPSSLCGVVGLKTTYGRTSMEGSICDAGTVEIIGPIASTVEDVILVYAAILGSSPDNRICLRPPPPCFPDLSSLENANTLGSLRLGKYTEVWFNDVHSTDISDICEDVLKLLSKSHGCETIEIVIPELHEMRTAHVVSIGSETQCSLNPDCEDGKGVKLTYDTRISMALFRSFTASDYIAAQCLRRRIMHHHMEIFKKVDVIVTPTTGMTAPKIPSSALKDGETDMQVTAYLMRFIIAGNLLGLPAITVPVGYDKQGLPIGLQLIGRPWGEATILHLASAVEELCAKSRKKPASFYDILNIK >KJB81501 pep chromosome:Graimondii2_0_v6:13:40652816:40659391:1 gene:B456_013G147700 transcript:KJB81501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIENPPPDPPCPCQVIVQLKSGGDEIERAPHKLPLPEVDLLKKPSLDNHHHRHHHQTPLPKFSIRDYVFTARGKDIKKNWPFSPKNLQLCLKHGLKDPLPPFQPLDTVRNLSIERCVVETNPFEKQNTRKSGEEPSGSNDHVVLESSSDAHSNHNLAGTCIDNSSCRSGEHGSGLPSTIASVSQSDIDSVLINKKSSLPLETDTSVEASAEVQATGKIRKTENTTRPSGKKCRLIVKFGAHSDRSSIEDITSNCTMLSESMASKVCPVCKTFSSSSNTTLNAHIDQCLSDESTPKWTLDSKLTRHRIKPRKTRLMVDVYATAKPCTLEELDRRNGTSWATASNIPRQDSGKLEISDEGKKQKISSTIPKDTGDVGAVYFDANGTKIRILSKPNDAPLVSKVGDDPGPNKAFKGSKGSKFLSTKKKRRHSLKHNKYLKLAPQSRKLFSHKTRSSMIVGGQEGCCGVSESCKNEGSHVPRQVKSSDSRNFRERVCSKQAGLSRKPDNQDRHQPSNCKRYVTLDLQVPSDQPHLGDPVVERNCVRRLKNLSENPISSPEKCEKTEKPVYEAPSDMVEREHSLGRKRVRSSLSGARIHNMVELRPLKQNANQLSKDHPHLDRHHMARSMNSGGNCSSSLSKQVIDIDANSNPNSPVTATTPISDRSFAFKCFRSSPKKNLPSASSRPSMVKSGSNLVKNHLTTESQLHFMEEIDEEESWGPESDQECDLVHDGAKNQCGRKEITKEMSFGGSSIRGAQSGEQRGRRSVSRREESMALKSLHSEPRYYDNDEMENTGSSARGSENILDRVDGLESIEETVTSLSQPVETKFNELSNLSMNRSNSLQTNEDYSKPLCGGEELANLTEPSLGGKPHMFCAEVSDGIIGQTANMGGELDSDAAQVNSFPEVDPIPIPGPPGSFLPSPRDMGSDDFQGNSSLTTSRIQSSQDQLDLVDGDSSDSPISAVSTISNSVEAKSDLKYAEPLAFVDAPAVLENYRSGYSTTKSEPLAENGAAFPHSSAGLDRTLEGEKLRVHRISFEKRPLIFKNDDQPCCCQRKDRSSQGFALNYQESQLLRQRTMGSMLVPATGMQIAANQNISPDNLDARPETTSRSSSASLGSEQMVLPVMKLPADPIPFNGFPDAGVKLSASNDRDSATPSSSNPVLRLMGKNLMVVNKEEDKSVPLGQAQSFAQSDHPTPKFPTPSGISPSNMGNQAGMPFHHTMSQSSLIFDQHPKDLVGQSFDVQFTNGYRNHANLGTPPQFPAGMFFDERMDRGLTTSMEFYKYECDYNLPAQLNRLKNKPGPAATYDMEKVATLDGRLRNGDSAVSSKQVIIIDDEPESETTKFADIAKHFEGSRESPLIPAGISMPLVPNHSIRHRNPFSRYHSEGALLGDPTMVQNKNFNAIPSGRANTVPVRWDCSSEGSGVPQRAPLMAISPSRGHLRPAVYYSPSLS >KJB81500 pep chromosome:Graimondii2_0_v6:13:40652431:40659431:1 gene:B456_013G147700 transcript:KJB81500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIENPPPDPPCPCQVIVQLKSGGDEIERAPHKLPLPEVDLLKKPSLDNHHHRHHHQTPLPKFSIRDYVFTARGKDIKKNWPFSPKNLQLCLKHGLKDPLPPFQPLDTVRNLSIERCVVETNPFEKQNTRKSGEEPSGSNDHVVLESSSDAHSNHNLAGTCIDNSSCRSGEHGSGLPSTIASVSQSDIDSVLINKKSSLPLETDTSVEASAEVQATGKIRKTENTTRPSGKKCRLIVKFGAHSDRSSIEDITSNCTMLSESMASKVCPVCKTFSSSSNTTLNAHIDQCLSDESTPKWTLDSKLTRHRIKPRKTRLMVDVYATAKPCTLEELDRRNGTSWATASNIPRQDSGKLEISDEGKKQKISSTIPKDTGDVGAVYFDANGTKIRILSKPNDAPLVSKVGDDPGPNKAFKGSKGSKFLSTKKKRRHSLKHNKYLKLAPQSRKLFSHKTRSSMIVGGQEGCCGVSESCKNEGSHVPRQVKSSDSRNFRERVCSKQAGLSRKPDNQDRHQPSNCKRYVTLDLQVPSDQPHLGDPVVERNCVRRLKNLSENPISSPEKCEKTEKPVYEAPSDMVEREHSLGRKRVRSSLSGARIHNMVELRPLKQNANQLSKDHPHLDRHHMARSMNSGGNCSSSLSKQVIDIDANSNPNSPVTATTPISDRSFAFKCFRSSPKKNLPSASSRPSMVKSGSNLVKNHLTTESQLHFMEEIDEEESWGPESDQECDLVHDGAKNQCGRKEITKEMSFGGSSIRGAQSGEQRGRRSVSRREESMALKSLHSEPRYYDNDEMENTGSSARGSENILDRVDGLESIEETVTSLSQPVETKFNELSNLSMNRSNSLQTNEDYSKPLCGGEELANLTEPSLGGKPHMFCAEVSDGIIGQTANMGGELDSDAAQVNSFPEVDPIPIPGPPGSFLPSPRDMGSDDFQGNSSLTTSRIQSSQDQLDLVDGDSSDSPISAVSTISNSVEAKSDLKYAEPLAFVDAPAVLENYRSGYSTTKSEPLAENGAAFPHSSAGLDRTLEGEKLRVHRISFEKRPLIFKNDDQPCCCQRKDRSSQGFALNYQESQLLRQRTMGSMLVPATGMQIAANQNISPDNLDARPETTSRSSSASLGSEQMVLPVMKLPADPIPFNGFPDAGVKLSASNDRDSATPSSSNPVLRLMGKNLMVVNKEEDKSVPLGQAQSFAQSDHPTPKFPTPSGISPSNMGNQAGMPFHHTMSQSSLIFDQHPKDLVGQSFDVQFTNGYRNHANLGTPPQFPAGMFFDERMDRGLTTSMEFYKYECDYNLPAQLNRLKNKPGPAATYDMEKVATLDGRLRNGDSAVSSKQVIIIDDEPESETTKFADIAKHFEGSRESPLIPAGISMPLVPNHSIRHRNPFSRYHSEGALLGDPTMVQNKNFNAIPSGRANTVPVRWDCSSEGSGVPQRAPLMAISPSRGHLRPAVYYSPSLS >KJB79996 pep chromosome:Graimondii2_0_v6:13:9637772:9641791:1 gene:B456_013G076600 transcript:KJB79996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFKGKVLKDDTTLAENSVNENSFIVIMLTKNKGASGECSTASTAPTKKAPEASSLPTATVPASTAPVVTSAAAAPPAESAPVASSTPLSDSDVYGQTASNLVAGSNFEGTIQQILDMGGGTWDRDTVVRALRAAYNNPERAVEYLYSGIPEQADATPVAHAPVVGQTTSPAEPPQQPAQMAAIPTSGPNSNPLDLFPQGLPNMGASGAGAGSLDFLRNSPQFQALRAMVQANPQILQPMLQELGKQNPNLVRLIQEHQGDFLRLINEPAEGGEGNILGQLAEAMPQAVQVTPEEREAIERLEAMGFDRATVLQVFFACNKNEELAANYLLDHMHDFQD >KJB79995 pep chromosome:Graimondii2_0_v6:13:9636817:9641791:1 gene:B456_013G076600 transcript:KJB79995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSVKTLKGTHFDIEVKPEDAVADVKKNIETVQGADVYPAAQQMLIFKGKVLKDDTTLAENSVNENSFIVIMLTKNKGASGECSTASTAPTKKAPEASSLPTATVPASTAPVVTSAAAAPPAESAPVASSTPLSDSDVYGQTASNLVAGSNFEGTIQQILDMGGGTWDRDTVVRALRAAYNNPERAVEYLYSGIPEQADATPVAHAPVVGQTTSPAEPPQQPAQMAAIPTSGPNSNPLDLFPQGLPNMGASGAGAGSLDFLRNSPQFQALRAMVQANPQILQPMLQELGKQNPNLVRLIQEHQGDFLRLINEPAEGGEGNILGQLAEAMPQAVQVTPEEREAIERLEAMGFDRATVLQVFFACNKNEELAANYLLDHMHDFQD >KJB79900 pep chromosome:Graimondii2_0_v6:13:8251344:8257004:1 gene:B456_013G070900 transcript:KJB79900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVSRLVVDKSTEFDSLKFMLIHRNMEKTLADSIGASDGITVLYYDHSVSYKYQGKLRARNILNSIHPYISVASPEELPLKPLNSQEELEMFLNSTDKALILAEFCGWSPKLVDKVKNNGTGTDLTLKEVGSGMLKCDVENGIGGIPWITGFSMVDDQVSLTESENMELGLGLNCTLKEYKQFDSFFSKLIPVRREFLVSQERLRFGLISNTSLVSSLGVEDSGTWMAVLYFKGCPGCSKVIKDEEELKNALMTDNSVVRELEFVGQDLPLALPGNRPSVILFVDRSSQTSETRRKSREALDAFREVALHHQISDWVSSQNTDHKEKSSLLAYKGTTGHPSLQLSETAQKIKLKDRMSFMIINEGKHVALDNLASDFQGKSLQEILTYLLQRKKESKLSSLAKELGFRLLSEDLDIKTAQEVPSQIEGQSNDVSPSPSQKVSLIDIVDPHSIPMESESGLVSEEKPKSIGVEVEASSQYKEDEEISSDKSKLFISIETDKLLEGLQLDIAGDLKAKEKISSEIDKSGEQEPHVLEFNGSFFLCDDNSRLLESLTGGLTIPSLVLVDPGSQHHYVYPEEAIFSYFSISKFLLDYLNGSLVPYQRSVPPVHSPRESTSPPFVNLDFHEMDSIPQVMMHTLSKLVFGSNGSNSGTSAHARSEDVVVLFSSNWCGFCQRMELVVREVYRAITGYMKMMKSASGKEQTVFDADNSMNNMKLPLIYLMDCTLNDCSLILKSVNQREVYPALMLFPAETEAVISYNGDVSVANIIKFIAHHGSNSHHLYGEKGILLTTAEAVKNQAILPDSSGVSANEEGQFPKDKFHEVILKNQNPKRVAYSKYNGGKSRSPMSVGSHKATFKVVVGSILTATDKLLEVIPFDNSKIIIVKVDEETGFQGLIFNKQIRWDALDELEEGLEFLKEAPVSFGGPVLRRGMPFVTLSRRVSEVQYVEVLPGIYFLDQFATVANIEKLKAGNQSMSDYWFFFGYTGWGWHQLIQEIREGAWTVSDDNESLDWPLN >KJB79898 pep chromosome:Graimondii2_0_v6:13:8250982:8257242:1 gene:B456_013G070900 transcript:KJB79898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLLLIPIPIISLALLSAVDCASNGVGEWQILTKLNYSSQIRRHPHTLLLVTVPWCGESRSLMREVSRLVVDKSTEFDSLKFMLIHRNMEKTLADSIGASDGITVLYYDHSVSYKYQGKLRARNILNSIHPYISVASPEELPLKPLNSQEELEMFLNSTDKALILAEFCGWSPKLVDKVKNNGTGTDLTLKEVGSGMLKCDVENGIGGIPWITGFSMVDDQVSLTESENMELGLGLNCTLKEYKQFDSFFSKLIPVRREFLVSQERLRFGLISNTSLVSSLGVEDSGTWMAVLYFKGCPGCSKVIKDEEELKNALMTDNSVVRELEFVGQDLPLALPGNRPSVILFVDRSSQTSETRRKSREALDAFREVALHHQISDWVSSQNTDHKEKSSLLAYKGTTGHPSLQLSETAQKIKLKDRMSFMIINEGKHVALDNLASDFQGKSLQEILTYLLQRKKESKLSSLAKELGFRLLSEDLDIKTAQEVPSQIEGQSNDVSPSPSQKVSLIDIVDPHSIPMESESGLVSEEKPKSIGVEVEASSQYKEDEEISSDKSKLFISIETDKLLEGLQLDIAGDLKAKEKISSEIDKSGEQEPHVLEFNGSFFLCDDNSRLLESLTGGLTIPSLVLVDPGSQHHYVYPEEAIFSYFSISKFLLDYLNGSLVPYQRSVPPVHSPRESTSPPFVNLDFHEMDSIPQVMMHTLSKLVFGSNGSNSGTSAHARSEDVVVLFSSNWCGFCQRMELVVREVYRAITGYMKMMKSASGKEQTVFDADNSMNNMKLPLIYLMDCTLNDCSLILKSVNQREVYPALMLFPAETEAVISYNGDVSVANIIKFIAHHGSNSHHLYGILLTTAEAVKNQAILPDSSGVSANEEGQFPKDKFHEVILKNQNPKRVAYSKYNGGKSRSPMSVGSHKATFKVVVGSILTATDKLLEVIPFDNSKIIIVKVDEETGFQGLIFNKQIRWDALDELEEGLEFLKEAPVSFGGPVLRRGMPFVTLSRRVSEVQYVEVLPGIYFLDQFATVANIEKLKAGNQSMSDYWFFFGYTGWGWHQLIQEIREGAWTVSDDNESLDWPLN >KJB79897 pep chromosome:Graimondii2_0_v6:13:8250982:8257242:1 gene:B456_013G070900 transcript:KJB79897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKCDVENGIGGIPWITGFSMVDDQVSLTESENMELGLGLNCTLKEYKQFDSFFSKLIPVRREFLVSQERLRFGLISNTSLVSSLGVEDSGTWMAVLYFKGCPGCSKVIKDEEELKNALMTDNSVVRELEFVGQDLPLALPGNRPSVILFVDRSSQTSETRRKSREALDAFREVALHHQISDWVSSQNTDHKEKSSLLAYKGTTGHPSLQLSETAQKIKLKDRMSFMIINEGKHVALDNLASDFQGKSLQEILTYLLQRKKESKLSSLAKELGFRLLSEDLDIKTAQEVPSQIEGQSNDVSPSPSQKVSLIDIVDPHSIPMESESGLVSEEKPKSIGVEVEASSQYKEDEEISSDKSKLFISIETDKLLEGLQLDIAGDLKAKEKISSEIDKSGEQEPHVLEFNGSFFLCDDNSRLLESLTGGLTIPSLVLVDPGSQHHYVYPEEAIFSYFSISKFLLDYLNGSLVPYQRSVPPVHSPRESTSPPFVNLDFHEMDSIPQVMMHTLSKLVFGSNGSNSGTSAHARSEDVVVLFSSNWCGFCQRMELVVREVYRAITGYMKMMKSASGKEQTVFDADNSMNNMKLPLIYLMDCTLNDCSLILKSVNQREVYPALMLFPAETEAVISYNGDVSVANIIKFIAHHGSNSHHLYGEKGILLTTAEAVKNQAILPDSSGVSANEEGQFPKDKFHEVILKNQNPKRVAYSKYNGGKSRSPMSVGSHKATFKVVVGSILTATDKLLEVIPFDNSKIIIVKVDEETGFQGLIFNKQIRWDALDELEEGLEFLKEAPVSFGGPVLRRGMPFVTLSRRVSEVQYVEVLPGIYFLDQFATVANIEKLKAGNQSMSDYWFFFGYTGWGWHQLIQEIREGAWTVSDDNESLDWPLN >KJB79895 pep chromosome:Graimondii2_0_v6:13:8250864:8257290:1 gene:B456_013G070900 transcript:KJB79895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLLLIPIPIISLALLSAVDCASNGVGEWQILTKLNYSSQIRRHPHTLLLVTVPWCGESRSLMREVSRLVVDKSTEFDSLKFMLIHRNMEKTLADSIGASDGITVLYYDHSVSYKYQGKLRARNILNSIHPYISVASPEELPLKPLNSQEELEMFLNSTDKALILAEFCGWSPKLVDKVKNNGTGTDLTLKEVGSGMLKCDVENGIGGIPWITGFSMVDDQVSLTESENMELGLGLNCTLKEYKQFDSFFSKLIPVRREFLVSQERLRFGLISNTSLVSSLGVEDSGTWMAVLYFKGCPGCSKVIKDEEELKNALMTDNSVVRELEFVGQDLPLALPGNRPSVILFVDRSSQTSETRRKSREALDAFREVALHHQISDWVSSQNTDHKEKSSLLAYKGTTGHPSLQLSETAQKIKLKDRMSFMIINEGKHVALDNLASDFQGKSLQEILTYLLQRKKESKLSSLAKELGFRLLSEDLDIKTAQEVPSQIEGQSNDVSPSPSQKVSLIDIVDPHSIPMESESGLVSEEKPKSIGVEVEASSQYKEDEEISSDKSKLFISIETDKLLEGLQLDIAGDLKAKEKISSEIDKSGEQEPHVLEFNGSFFLCDDNSRLLESLTGGLTIPSLVLVDPGSQHHYVYPEEAIFSYFSISKFLLDYLNGSLVPYQRSVPPVHSPRESTSPPFVNLDFHEMDSIPQVMMHTLSKLVFGSNGSNSGTSAHARSEDVVVLFSSNWCGFCQRMELVVREVYRAITGYMKMMKSASGKEQTVFDADNSMNNMKLPLIYLMDCTLNDCSLILKSVNQREVYPALMLFPAETEAVISYNGDVSVANIIKFIAHHGSNSHHLYGEKGILLTTAEAVKNQAILPDSSGVSANEEGQFPKDKFHEVILKNQNPKRVAYSKYNGGKSRSPMSVGSHKATFKVVVGSILTATDKLLEVIPFDNSKIIIVKVDEETGFQGLIFNKQIRWDALDELEEGLEFLKEAPVSFGGPVLRRGMPFVTLSRRVSEVQYVEVLPGIYFLDQFATVANIEKLKAGNQSMSDYWFFFGYTGWGWHQLIQEIREGAWTVSDDNESLDWPLN >KJB79899 pep chromosome:Graimondii2_0_v6:13:8250982:8257242:1 gene:B456_013G070900 transcript:KJB79899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLLLIPIPIISLALLSAVDCASNGVGEWQILTKLNYSSQIRRHPHTLLLVTVPWCGESRSLMREVSRLVVDKSTEFDSLKFMLIHRNMEKTLADSIGASDGITVLYYDHSVSYKYQGKLRARNILNSIHPYISVASPEELPLKPLNSQEELEMFLNSTDKALILAEFCGWSPKLVDKEVGSGMLKCDVENGIGGIPWITGFSMVDDQVSLTESENMELGLGLNCTLKEYKQFDSFFSKLIPVRREFLVSQERLRFGLISNTSLVSSLGVEDSGTWMAVLYFKGCPGCSKVIKDEEELKNALMTDNSVVRELEFVGQDLPLALPGNRPSVILFVDRSSQTSETRRKSREALDAFREVALHHQISDWVSSQNTDHKEKSSLLAYKGTTGHPSLQLSETAQKIKLKDRMSFMIINEGKHVALDNLASDFQGKSLQEILTYLLQRKKESKLSSLAKELGFRLLSEDLDIKTAQEVPSQIEGQSNDVSPSPSQKVSLIDIVDPHSIPMESESGLVSEEKPKSIGVEVEASSQYKEDEEISSDKSKLFISIETDKLLEGLQLDIAGDLKAKEKISSEIDKSGEQEPHVLEFNGSFFLCDDNSRLLESLTGGLTIPSLVLVDPGSQHHYVYPEEAIFSYFSISKFLLDYLNGSLVPYQRSVPPVHSPRESTSPPFVNLDFHEMDSIPQVMMHTLSKLVFGSNGSNSGTSAHARSEDVVVLFSSNWCGFCQRMELVVREVYRAITGYMKMMKSASGKEQTVFDADNSMNNMKLPLIYLMDCTLNDCSLILKSVNQREVYPALMLFPAETEAVISYNGDVSVANIIKFIAHHGSNSHHLYGEKGILLTTAEAVKNQAILPDSSGVSANEEGQFPKDKFHEVILKNQNPKRVAYSKYNGGKSRSPMSVGSHKATFKVVVGSILTATDKLLEVIPFDNSKIIIVKVDEETGFQGLIFNKQIRWDALDELEEGLEFLKEAPVSFGGPVLRRGMPFVTLSRRVSEVQYVEVLPGIYFLDQFATVANIEKLKAGNQSMSDYWFFFGYTGWGWHQLIQEIREGAWTVSDDNESLDWPLN >KJB79896 pep chromosome:Graimondii2_0_v6:13:8250982:8256151:1 gene:B456_013G070900 transcript:KJB79896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLLLIPIPIISLALLSAVDCASNGVGEWQILTKLNYSSQIRRHPHTLLLVTVPWCGESRSLMREVSRLVVDKSTEFDSLKFMLIHRNMEKTLADSIGASDGITVLYYDHSVSYKYQGKLRARNILNSIHPYISVASPEELPLKPLNSQEELEMFLNSTDKALILAEFCGWSPKLVDKVKNNGTGTDLTLKEVGSGMLKCDVENGIGGIPWITGFSMVDDQVSLTESENMELGLGLNCTLKEYKQFDSFFSKLIPVRREFLVSQERLRFGLISNTSLVSSLGVEDSGTWMAVLYFKGCPGCSKVIKDEEELKNALMTDNSVVRELEFVGQDLPLALPGNRPSVILFVDRSSQTSETRRKSREALDAFREVALHHQISDWVSSQNTDHKEKSSLLAYKGTTGHPSLQLSETAQKIKLKDRMSFMIINEGKHVALDNLASDFQGKSLQEILTYLLQRKKESKLSSLAKELGFRLLSEDLDIKTAQEVPSQIEGQSNDVSPSPSQKVSLIDIVDPHSIPMESESGLVSEEKPKSIGVEVEASSQYKEDEEISSDKSKLFISIETDKLLEGLQLDIAGDLKAKEKISSEIDKSGEQEPHVLEFNGSFFLCDDNSRLLESLTGGLTIPSLVLVDPGSQHHYVYPEEAIFSYFSISKFLLDYLNGSLVPYQRSVPPVHSPRESTSPPFVNLDFHEMDSIPQVMMHTLSKLVFGSNGSNSGTSAHARSEDVVVLFSSNWCGFCQRMELVVREVYRAITGYMKMMKSASGKEQTVFDADNSMNNMKLPLIYLMDCTLNDCSLILKSVNQREVYPALMLFPAETEAVISYNGDVSVANIIKFIAHHGSNSHHLYGEKGNF >KJB82305 pep chromosome:Graimondii2_0_v6:13:48884103:48887134:1 gene:B456_013G188200 transcript:KJB82305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGCECFCWHDKHSDYDEFTPLPQPQPFSLPSPIPDWPPGQGFATGKINLGELEVVKITKFESVWSCDSLHGKAEGPTFYKPVGIPDGFFCLGYYCQPNDQPLRGYVLVAREREGSTPEVYRDYDSDSDFPALKKPVNYSLIWSSDLDRNGCGFFWLPNAPMGYKAMGILVTDTPEEPDDDEVRCVREDLTETCEIKDTIHVAGAHPFQVWNTRPCKRGMCCKGVSVGTFFCSTYFVLENEELEIACLKNLDPTLHAMPDLNQIHALINHYGATVFFHPDEDCLPSSVQWFFKNGALLYEDGELKGKSIDYRGSNLPSGGTNDGAFWIDLPGDNNGRDNVKKGNLESAELYVHVKPAVGGTFTDIVMWVFCPFNGPANLKIGLMNIQMNKLGQHVSDWEHFTLRISNFTGELWQVYFSQHSGGEWVDAFDLEYIEGNKPIVYSSRHGHASFPHPGTYLQGSVKLGIGIRNDAARSKYFVDSSTRYKIIAAEYLGDGVVTEPCWLNYMREWGPTIVYDSRSELDRIINMLPFFVRFSVENIFDLFPTELYGEEGPTGPKEKDNWEGDERC >KJB83150 pep chromosome:Graimondii2_0_v6:13:55022701:55024152:-1 gene:B456_013G231700 transcript:KJB83150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKPNSPLAALLAPSSIILDSRNSKVKCKAAANVSGDSPTPKGMNQYERIIETSTTLFPVWVTLGAIVGIYKPAAVKFSSFVLLLVNNDSNAD >KJB83151 pep chromosome:Graimondii2_0_v6:13:55022764:55023670:-1 gene:B456_013G231700 transcript:KJB83151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKPNSPLAALLAPSSIILDSRNSKVKCKAAANVSGDSPTPKGMNQYERIIETSTTLFPVWVTLGAIVGIYKPAAVKFSSFVLLLVNNDSNAD >KJB79249 pep chromosome:Graimondii2_0_v6:13:3200906:3204874:-1 gene:B456_013G040000 transcript:KJB79249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPFFHKLILSTTLQEKKLRIPDNFVKKFRDELSVAAALTVPDGHVWRVGIKKVDNKVWFQEGWQEFLERYYIRVGYVLVFRYEGNSAFSVSIFNLYNSEINYQTNALVGTQYNHGKQYPFEQLEDDECISPALQNLFGGSKLNNCINWGGDANLQTSKGVNNQPIRGSGAMKPEPKKRGRKRKFDPNVQDSSAGREDDVDMRFRCYESASARKRTVTAEERERAINAAKAFEPTNPFCRVVLRPSYLYRGCIMYLPSCFAEKHLSGVSGSIKLQLPDGRQWSVRCLYKGGKAKFSQGWYEFTLENNLGEGDVCVFELLRSREFVLKVTVFRVMESGGLMHRSQ >KJB79248 pep chromosome:Graimondii2_0_v6:13:3201399:3204456:-1 gene:B456_013G040000 transcript:KJB79248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPFFHKLILSTTLQEKKLRIPDNFVKKFRDELSVAAALTVPDGHVWRVGIKKVDNKVWFQEGWQEFLERYYIRVGYVLVFRYEGNSAFSVSIFNLYNSEINYQTNALVGTQYNHGKQYPFEQLEDDECISPALQNLFGGSKLNNCINWGGDANLQTSKGVNNQPIRVKLHTSGSGAMKPEPKKRGRKRKFDPNVQDSSAGREDDVDMRFRCYESASARKRTVTAEERERAINAAKAFEPTNPFCRVVLRPSYLYRGCIMYLPSCFAEKHLSGVSGSIKLQLPDGRQWSVRCLYKGGKAKFSQGWYEFTLENNLGEGDVCVFELLRSREFVLKVTVFRVMESGGLMHRSQ >KJB79609 pep chromosome:Graimondii2_0_v6:13:5919670:5920330:-1 gene:B456_013G058200 transcript:KJB79609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNCLALAFLIALSFASIDVGLAARHLLQQPQPQSLPSFPNLPTPSRQSFPWPGALPPLPTTLPTGLPPLPSIPSVPTIPTAFPLFLSFLHHLLVLLLEIPPFLLCCILDLV >KJB80260 pep chromosome:Graimondii2_0_v6:13:14001315:14007358:1 gene:B456_013G089300 transcript:KJB80260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSALLTSVGINSGLCVLFFTLYSILRKQPTNYEVYIPRLIAEGSSKRRSHFNLERLIPSPGWVKRAWKLSEEDLLSTSGLDAVVFMRVITFSLRVFLFAGVIGIFVLLPVNCSGDQLHDIDFADLSNNSLDVFTISNLSSGSKRLWAHFSAVYLVTAFVCYLLYYEYKCITGKRIDFFHLTKPQPHQFTILVRSIPVSAGSSVSESVERFFMEYHPSTYLSHMVVHRSSKLQSLIKDAKKLYKRITHLQSEPNQPQYRHVGFLRHRVDLVDHYGKKLEDIEENMRLERSEVSLATQEVRAAFVSFKSRYGAAVAFHMKQSINPTEWVTELAPEPNDVYWPFFSSSFMRRWLSKIVVIVACILLTILFLIPVLVVQGLTNLNQLEIWFPSLKSILTITFVSQVITGYLPSLILQLFLKIVPPIMEFLSSIQGYICHSDIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLDPKHIPAKLASAVPAQASFFIAYVVTSGWTSTSSELFRIIPLIWSLIRKPFTCCSDEEFEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIYRNQFLNVYAPKYETAGKFWPIVHNSMIFSLVLMQAIAIGLFTLKKLPLASTLIFPLPVLTLLFNEYCRKRFLPNFIAYPAEVRIFTSHSRVSQL >KJB80264 pep chromosome:Graimondii2_0_v6:13:14001315:14008047:1 gene:B456_013G089300 transcript:KJB80264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSALLTSVGINSGLCVLFFTLYSILRKQPTNYEVYIPRLIAEGSSKRRSHFNLERLIPSPGWVKRAWKLSEEDLLSTSGLDAVVFMRVITFSLRVFLFAGVIGIFVLLPVNCSGDQLHDIDFADLSNNSLDVFTISNLSSGSKRLWAHFSAVYLVTAFVCYLLYYEYKCITGKRIDFFHLTKPQPHQFTILVRSIPVSAGSSVSESVERFFMEYHPSTYLSHMVVHRSSKLQSLIKDAKKLYKRITHLQSEPNQPQYRHVGFLRHRVDLVDHYGKKLEDIEENMRLERSEVSLATQEVRAAFVSFKSRYGAAVAFHMKQSINPTEWVTELAPEPNDVYWPFFSSSFMRRWLSKIVVIVACILLTILFLIPVLVVQGLTNLNQLEIWFPSLKSILTITFVSQVITGYLPSLILQLFLKIVPPIMEFLSSIQGYICHSDIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLDPKHIPAKLASAVPAQASFFIAYVVTSGWTSTSSELFRIIPLIWSLIRKPFTCCSDEEFEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIYRNQFLNVYAPKYETAGKFWPIVHNSMIFSLVLMQAIAIGLFTLKKLPLASTLIFPLPVLTLLFNEYCRKRFLPNFIAYPAEVLIKRDREDRYDPKMAEFYDNLVAAYQDPALLPIQFSANSDSLNSPLISAAQVRH >KJB80261 pep chromosome:Graimondii2_0_v6:13:14001315:14008047:1 gene:B456_013G089300 transcript:KJB80261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSALLTSVGINSGLCVLFFTLYSILRKQPTNYEVYIPRLIAEGSSKRRSHFNLERLIPSPGWVKRAWKLSEEDLLSTSGLDAVVFMRVITFSLRVFLFAGVIGIFVLLPVNCSGDQLHDIDFADLSNNSLDVFTISNLSSGSKRLWAHFSAVYLVTAFVCYLLYYKDAKKLYKRITHLQSEPNQPQYRHVGFLRHRVDLVDHYGKKLEDIEENMRLERSEVSLATQEVRAAFVSFKSRYGAAVAFHMKQSINPTEWVTELAPEPNDVYWPFFSSSFMRRWLSKIVVIVACILLTILFLIPVLVVQGLTNLNQLEIWFPSLKSILTITFVSQVITGYLPSLILQLFLKIVPPIMEFLSSIQGYICHSDIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLDPKHIPAKLASAVPAQASFFIAYVVTSGWTSTSSELFRIIPLIWSLIRKPFTCCSDEEFEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIYRNQFLNVYAPKYETAGKFWPIVHNSMIFSLVLMQAIAIGLFTLKKLPLASTLIFPLPVLTLLFNEYCRKRFLPNFIAYPAEVLIKRDREDRYDPKMAEFYDNLVAAYQDPALLPIQFSANSDSLNSPLISAAQVRH >KJB80265 pep chromosome:Graimondii2_0_v6:13:14001315:14008047:1 gene:B456_013G089300 transcript:KJB80265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSALLTSVGINSGLCVLFFTLYSILRKQPTNYEVYIPRLIAEGSSKRRSHFNLERLIPSPGWVKRAWKLSEEDLLSTSGLDAVVFMRVITFSLRVFLFAGVIGIFVLLPVNCSGDQLHDIDFADLSNNSLDVFTISNLSSGSKRLWAHFSAVYLVTAFVCYLLYYEYKCITGKRIDFFHLTKPQPHQFTILVRSIPVSAGSSVSESVERFFMEYHPSTYLSHMVVHRSSKLQSLIKDAKKLYKRITHLQSEPNQPQYRHVGFLRHRVDLVDHYGKKLEDIEENMRLERSEVSLATQEVRAAFVSFKSRYGAAVAFHMKQSINPTEWVTELAPEPNDVYWPFFSSSFMRRWLSKIVVIVACILLTILFLIPVLVVQGLTNLNQLEIWFPSLKSILTITFVSQVITGYLPSLILQLFLKIVPPIMEFLSSIQGYICHSDIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLDPKHIPAKLASAVPAQASFFIAYVVTSGWTSTSSELFRIIPLIWSLIRKPFTCCSDEEFEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIYRNQFLNVYAPKYETAGKFWPIVHNSMIFSLVLMQAIAIGLFTLKKLPLASTLIFPLPVLTLLFNEYCRKRFLPNFIAYPAEVLIKRDREDRYDPKMAEFYDNLVAAYQDPALLPIQFSANSDSLNSPLISAAQVRH >KJB80259 pep chromosome:Graimondii2_0_v6:13:14002016:14008047:1 gene:B456_013G089300 transcript:KJB80259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSALLTSVGINSGLCVLFFTLYSILRKQPTNYEVYIPRLIAEGSSKRRSHFNLERLIPSPGWVKRAWKLSEEDLLSTSGLDAVVFMRVITFSLRVFLFAGVIGIFVLLPVNCSGDQLHDIDFADLSNNSLDVFTISNLSSGSKRLWAHFSAVYLVTAFVCYLLYYEYKCITGKRIDFFHLTKPQPHQFTILVRSIPVSAGSSVSESVERFFMEYHPSTYLSHMVVHRSSKLQSLIKDAKKLYKRITHLQSEPNQPQYRHVGFLRHRVDLVDHYGKKLEDIEENMRLERSEVSLATQEVRAAFVSFKSRYGAAVAFHMKQSINPTEWVTELAPEPNDVYWPFFSSSFMRRWLSKIVVIVACILLTILFLIPVLVVQGLTNLNQLEIWFPSLKSILTITFVSQVITGYLPSLILQLFLKIVPPIMEFLSSIQGYICHSDIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLDPKHIPAKLASAVPAQASFFIAYVVTSGWTSTSSELFRIIPLIWSLIRKPFTCCSDEEFEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIYRNQFLNVYAPKYETAGKFWPIVHNSMIFSLVLMQAIAIGLFTLKKLPLASTLIFPLPVLTLLFNEYCRKRFLPNFIAYPAEVLIKRDREDRYDPKMAEFYDNLVAAYQDPALLPIQFSANSDSLNSPLISAAQVRH >KJB80266 pep chromosome:Graimondii2_0_v6:13:14001315:14008047:1 gene:B456_013G089300 transcript:KJB80266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSALLTSVGINSGLCVLFFTLYSILRKQPTNYEVYIPRLIAEGSSKRRSHFNLERLIPSPGWVKRAWKLSEEDLLSTSGLDAVVFMRVITFSLRVFLFAGVIGIFVLLPVNCSGDQLHDIDFADLSNNSLDVFTISNLSSGSKRLWAHFSAVYLVTAFVCYLLYYEYKCITGKRIDFFHLTKPQPHQFTILVRSIPVSAGSSVSESVERFFMEYHPSTYLSHMVVHRSSKLQSLIKDAKKLYKRITHLQSEPNQPQYRHVGFLRHRVDLVDHYGKKLEDIEENMRLERSEVSLATQEVRAAFVSFKSRYGAAVAFHMKQSINPTEWVTELAPEPNDVYWPFFSSSFMRRWLSKIVVIVACILLTILFLIPVLVVQGLTNLNQLEIWFPSLKSILTITFVSQVITGYLPSLILQLFLKIVPPIMEFLSSIQGYICHSDIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLDPKHIPAKLASAVPAQASFFIAYVVTSGWTSTSSELFRIIPLIWSLIRKPFTCCSDEEFEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIYRNQFLNVYAPKYETAGKFWPIVHNSMIFSLVLMQAIAIGLFTLKKLPLASTLIFPLPVLTLLFNEYCRKRFLPNFIAYPAEVLIKRDREDRYDPKMAEFYDNLVAAYQDPALLPIQFSANSDSLNSPLISAAQVRH >KJB80263 pep chromosome:Graimondii2_0_v6:13:14001315:14008047:1 gene:B456_013G089300 transcript:KJB80263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSALLTSVGINSGLCVLFFTLYSILRKQPTNYEVYIPRLIAEGSSKRRSHFNLERLIPSPGWVKRAWKLSEEDLLSTSGLDAVVFMRVITFSLRVFLFAGVIGIFVLLPVNCSGDQLHDIDFADLSNNSLDVFTISNLSSGSKRLWAHFSAVYLVTAFVCYLLYYEYKCITGKRIDFFHLTKPQPHQFTILVRSIPVSAGSSVSESVERFFMEYHPSTYLSHMVVHRSSKLQSLIKDAKKLYKRITHLQSEPNQPQYRHVGFLRHRVDLVDHYGKKLEDIEENMRLERSEVSLATQEVRAAFVSFKSRYGAAVAFHMKQSINPTEWVTELAPEPNDVYWPFFSSSFMRRWLSKIVVIVACILLTILFLIPVLVVQGLTNLNQLEIWFPSLKSILTITFVSQVITGYLPSLILQLFLKIVPPIMEFLSSIQGYICHSDIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLDPKHIPAKLASAVPAQASFFIAYVVTSGWTSTSSELFRIIPLIWSLIRKPFTCCSDEEFEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIYRNQFLNVYAPKYETAGKFWPIVHNSMIFSLVLMQAIAIGLFTLKKLPLASTLIFPLPVLTLLFNEYCRKRFLPNFIAYPAEVLIKRDREDRYDPKMAEFYDNLVAAYQDPALLPIQFSANSDSLNSPLISAAQVRH >KJB80267 pep chromosome:Graimondii2_0_v6:13:14001239:14008081:1 gene:B456_013G089300 transcript:KJB80267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSALLTSVGINSGLCVLFFTLYSILRKQPTNYEVYIPRLIAEGSSKRRSHFNLERLIPSPGWVKRAWKLSEEDLLSTSGLDAVVFMRVITFSLRVFLFAGVIGIFVLLPVNCSGDQLHDIDFADLSNNSLDVFTISNLSSGSKRLWAHFSAVYLVTAFVCYLLYYEYKCITGKRIDFFHLTKPQPHQFTILVRSIPVSAGSSVSESVERFFMEYHPSTYLSHMVVHRSSKLQSLIKDAKKLYKRITHLQSEPNQPQYRHVGFLRHRVDLVDHYGKKLEDIEENMRLERSEVSLATQEVRAAFVSFKSRYGAAVAFHMKQSINPTEWVTELAPEPNDVYWPFFSSSFMRRWLSKIVVIVACILLTILFLIPVLVVQGLTNLNQLEIWFPSLKSILTITFVSQVITGYLPSLILQLFLKIVPPIMEFLSSIQGYICHSDIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLDPKHIPAKLASAVPAQASFFIAYVVTSGWTSTSSELFRIIPLIWSLIRKPFTCCSDEEFEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIYRNQFLNVYAPKYETAGKFWPIVHNSMIFSLVLMQAIAIGLFTLKKLPLASTLIFPLPVLTLLFNEYCRKRFLPNFIAYPAEVLIKRDREDRYDPKMAEFYDNLVAAYQDPALLPIQFSANSDSLNSPLISAAQVRH >KJB80262 pep chromosome:Graimondii2_0_v6:13:14001315:14008081:1 gene:B456_013G089300 transcript:KJB80262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSALLTSVGINSGLCVLFFTLYSILRKQPTNYEVYIPRLIAEGSSKRRSHFNLERLIPSPGWVKRAWKLSEEDLLSTSGLDAVVFMRVITFSLRVFLFAGVIGIFVLLPVNCSGDQLHDIDFADLSNNSLDVFTISNLSSGSKRLWAHFSAVYLVTAFVCYLLYYEYKCITGKRIDFFHLTKPQPHQFTILVRSIPVSAGSSVSESVERFFMEYHPSTYLSHMVVHRSSKLQSLIKDAKKLYKRITHLQSEPNQPQYRHVGFLRHRVDLVDHYGKKLEDIEENMRLERSEVSLATQEVRAAFVSFKSRYGAAVAFHMKQSINPTEWVTELAPEPNDVYWPFFSSSFMRRWLSKIVVIVACILLTILFLIPVLVVQGLTNLNQLEIWFPSLKSILTITFVSQVITGYLPSLILQLFLKIVPPIMEFLSSIQGYICHSDIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLDPKHIPAKLASAVPAQASFFIAYVVTSGWTSTSSELFRIIPLIWSLIRKPFTCCSDEEFEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIYRNQFLNVYAPKYETAGKFWPIVHNSMIFSLVLMQAIAIGLFTLKKLPLASTLIFPLPVLTLLFNEYCRKRFLPNFIAYPAEVLIKRDREDRYDPKMAEFYDNLVAAYQDPALLPIQFSANSDSLNSPLISAAQVRH >KJB81433 pep chromosome:Graimondii2_0_v6:13:39870188:39875993:1 gene:B456_013G145400 transcript:KJB81433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFLIRSTAAASFHIEASDLSLSPSDRLKVSSIGFSGNLNSIFGAALSTTSVSLQKCNLRSIQPIKAIAAKIPPAAPKSRKSGKTKIGINGFGRIGRLVLRVANSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGGFKGKIRVVDESTLEINGKQIHVVNKRDPAEIPWGKFGAEFVVESSGIFTTVSKASAHMKGGAKKVVISAPSTDAPMFVVGVNEKSYKPSMNVVSNASCTTNCLAPLAKVVHEEFGIIEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLQKSASYETVKAAIKRASQGPLKGILGYTDEDVVSSDFVGDPRSSIFDAKAGIGLGNSFMKLVSWYDNEWGYSNRVVNLIQHMELVGSTPK >KJB81666 pep chromosome:Graimondii2_0_v6:13:43088420:43089618:1 gene:B456_013G156000 transcript:KJB81666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVNQDQSDKDSEPFVEIDPTGRYGRYSELLGSGAVKKVYRAFDQEEGIEVAWNQVKLRNLSNDPSMIDRLYSEVRLLRSLTNKNIISLYNVWRDEEHNTLNFITEVCTSGNLREYRKKHRQVSIKALKNWSKQILKGLDYFHTHDPCIIHRDLNCSNVFVNGNTGQVKIGDLGMAAIVGKSHSAHSILGTPEFMAPELYEEHYTELIDIYSFGMCLLEMVTLEIPYSECENVAKIYKKVSSGVRPQAMSKVRDEDVKAFIERCLAQPRSRPSAAELLKDPFFYEVVDDDDDGDDENA >KJB81665 pep chromosome:Graimondii2_0_v6:13:43088271:43089652:1 gene:B456_013G156000 transcript:KJB81665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVNQDQSDKDSEPFVEIDPTGRYGRYSELLGSGAVKKVYRAFDQEEGIEVAWNQVKLRNLSNDPSMIDRLYSEVRLLRSLTNKNIISLYNVWRDEEHNTLNFITEVCTSGNLREYRKKHRDLNCSNVFVNGNTGQVKIGDLGMAAIVGKSHSAHSILGTPEFMAPELYEEHYTELIDIYSFGMCLLEMVTLEIPYSECENVAKIYKKVSSGVRPQAMSKVRDEDVKAFIERCLAQPRSRPSAAELLKDPFFYEVVDDDDDGDDENA >KJB81667 pep chromosome:Graimondii2_0_v6:13:43088421:43089156:1 gene:B456_013G156000 transcript:KJB81667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVNQDQSDKDSEPFVEIDPTGRYGRYSELLGSGAVKKVYRAFDQEEGIEVAWNQVKLRNLSNDPSMIDRLYSEVRLLRSLTNKNIISLYNVWRDEEHNTLNFITEVCTSGNLREYRKKHRQVSIKALKNWSKQILKGLDYFHTHDPCIIHRDLNCSNVFVNGNTGQYRLRLVIWEWLRLWGRAIQRIQSSGHRNSWRRSCTRNITPSSSTYTHSGCVC >KJB82170 pep chromosome:Graimondii2_0_v6:13:47272312:47273886:-1 gene:B456_013G179200 transcript:KJB82170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKKLLLSVLGKYPNLKKLKKIHAQATTLGLLQHHNQALSCKILTTYANLNYPNDATKVFNQIHNPDIVSWTCLITLLSRHKNPFKSVLAFSQLIRAGLRPDSYSVVAALSACGKNRDLISGMLIHGLVLKYNLGFRNQIVCNALIDMYSRNGETMVAELVFDWMLVRDVASWNSLLNGFILCNDLEASHRVFDKMPVRNAVSWSALISGYVKGKEPLVGMKLFKEMRSQGEVNPTIVTIVAVLAGCADNGGLYFGGSVHGYVKKVNLGEKNIVLNNALMNMYSKCGYHDVSVMIFNEMVERDVFSWTIMITGCAFHGKGKQALQLLSDMLESGVAPNEVTFLSALSACSHEGLFVEGQELFRNMVQCYGLKPMIEHYGCVVDLLGRAGLLEEAKILIKQMPMSPDVAIWRSFLCACLIHGELDLAEMAGEKLMKLEPDDDGVYALVSHMYCSSSRHEDGLKIRKKMRNKKIRKRPGCSWIEVNGSVHEFLAVDRSYVAATHIYRILQCINEPNREFLWLELE >KJB79270 pep chromosome:Graimondii2_0_v6:13:3350593:3355120:1 gene:B456_013G041000 transcript:KJB79270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRYKREKERKEKGDYYSMSMMRRQRCYLDISIGEELEGRIIVELFNDVVPKTAENFRALCTGEKGIGPNTAVPLHYKGGRFHRVIKGFMVQGGDISAGDGTGGESIYGLKFEDENFDMKHERKGMLSMANAGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVTTGEGDCPTVDVTIVDCGEIPEGADDAISNFFNDGDAYADWPADLDESPDELSWWMTAVESIKAFGNEHYKKQDYKMALRKYRKALRYLDICWEKGGIDEEKTSSLRKTKSQIFSNSSACKLKLGDLKGALLDTEFAMRDGENNVKALFRQGQANMALNDVDAAAESFKKALQLEPNDGGIKKELAAAMKKINDRRNEERRRYRKMFQSDTTGADNQ >KJB79272 pep chromosome:Graimondii2_0_v6:13:3351037:3355120:1 gene:B456_013G041000 transcript:KJB79272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRYKREKERKEKGDYYSMSMMRRQRCYLDISIGEELEGRIIVELFNDVVPKTAENFRALCTGEKGIGPNTAVPLHYKGGRFHRVIKGFMVQGGDISAGDGTGGESIYGLKFEDENFDMKHERKGMLSMANAGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVTTGEGDCPTVDVTIVDCGEIPEGADDAISNFFNDGDAYADWPADLDESPDELSWWMTAVESIKAFGNEHYKKQDYKMALRKYRKALRYLDICWEKGGIDEEKTSSLRKTKSQIFSNSSACKLKLGDLKGALLDTEFAMRDGENNVKALFRQGQANMALNDVDAAAESFKKALQLEPNDGGIKKELAAAMKK >KJB79271 pep chromosome:Graimondii2_0_v6:13:3351037:3355120:1 gene:B456_013G041000 transcript:KJB79271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRYKREKERKEKGDYYSMSMMRRQRCYLDISIGEELEGRIIVELFNDVVPKTAENFRALCTGEKGIGPNTAVPLHYKGGRFHRVIKGFMVQGGDISAGDGTGGESIYGLKFEDENFDMKHERKGMLSMANAGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVTTGEGDCPTVDVTIVDCGEIPEGADDAISNFFNDGDAYADWPADLDESPDELSWWMTAVESIKAFGNEHYKKQDYKMALRKYRKALRYLDICWEKGGIDEEKTSSLRKTKSQIFSNSSACKLKLGDLKGALLDTEFAMRDGENNVKALFRQGQANMALNDVDAAAESFKKALQLEPNDGGIKKELAAAMKKINDRRNEERRRYRKMFQSDTTGTLCLSALWHAFILFMS >KJB81045 pep chromosome:Graimondii2_0_v6:13:33101087:33103358:-1 gene:B456_013G127100 transcript:KJB81045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQFSSMFNGLARSIRGKNSGNGDGKEAAEAMARDAKKNDLILRSSGSVNVDGSNNLASVFSKRGRKGVNQDCAIVWEEFGCQADMLFCGIFDGHGPWGHFVAKKVRESMPSSLLCNWQETLAQASLDPEIDLESDKKHQRFHIWKHSYLRTCAAVDHELEQHRKIDSFYSGTTALTIVRQGDLIYVANIGDSRAVLATTSDDGNLVPLQLTVDFKPNLPQEAERIVQCKGRVFCLHDEPGVHRVWLPDEESPGLAMSRAFGDYCIKDYGLISVPEVTQRHITCRDQFVVLATDGVWDVVSNQEAVQIVSSTPDKQKAAKQLVEYAVRAWKKKRKDIAMDDISAICLFFHSSPLS >KJB81047 pep chromosome:Graimondii2_0_v6:13:33101087:33104310:-1 gene:B456_013G127100 transcript:KJB81047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQFSSMFNGLARSIRGKNSGNGDGKEAAEAMARDAKKNDLILRSSGSVNVDGSNNLASVFSKRGRKGVNQDCAIVWEEFGCQADMLFCGIFDGHGPWGHFVAKKVRESMPSSLLCNWQETLAQASLDPEIDLESDKKHQRFHIWKHSYLRTCAAVDHELEQHRKIDSFYSGTTALTIVRQGDLIYVANIGDSRAVLATTSDDGNLVPLQLTVDFKPNLPQEAERIVQCKGRVFCLHDEPGVHRVWLPDEESPGLAMSRAFGDYCIKDYGLISVPEVTQRHITCRDQFVVLATDGVWDVVSNQEAVQIVSSTPDKQKAAKQLVEYAVRAWKKKRKDIAMDDISAICLFFHSSPLS >KJB81046 pep chromosome:Graimondii2_0_v6:13:33101428:33102837:-1 gene:B456_013G127100 transcript:KJB81046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQFSSMFNGLARSIRGKNSGNGDGKEAAEAMARDAKKNDLILRSSGSVNVDGSNNLASVFSKRGRKGVNQDCAIVWEEFGCQADMLFCGIFDGHGPWGHFVAKKVRESMPSSLLCNWQETLAQASLDPEIDLESDKKHQRFHIWKHSYLRTCAAVDHELEQHRKIDSFYSGTTALTIVRQGDLIYVANIGDSRAVLATTSDDGNLVPLQLTVDFKPNLPQEAERIVQCKGRVFCLHDEPGVHRVWLPDEESPGLAMSRAFGDYCIKDYGLISVPEVTQRHITCRDQFVVLATDGVWDVVSNQEAVQIVSSTPDKQKAAKQLVEYAVRAWKKKRKDIAMDDISAICLFFHSSPLS >KJB79375 pep chromosome:Graimondii2_0_v6:13:4078510:4080263:1 gene:B456_013G046200 transcript:KJB79375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKPDPLHILFFPHLAHGHMIPTIDMARVFARQGVKATILTTHLNASFFSKVIERDRKLGFEIDIVMIKFPSMEAGLPEGIENISSITSQEMGYKLFKAVRLLQQPLQQVLEDCHPNCLVADGMFPWATEVARKVGIPRLVFYGTSYLACCVLDSFLRYEPLKNVTSDDQLFEVPGIPDKIMMTGLQQAAELRDSRSNNETTVVLHKLLEAEITSSGVIMNSFQELEPAYVQHYRKTLGRKTWHIGPLSLCNNDIEDKLERGNANAVSTHRVECLRWLDTKKPNSVLYICFGSVSWISPTQLNELAKGIEASGVDFIWVVRKTNKDEEEEEDKEEWLPKGFEERMKGKGLIIRGWAHQLLILDHEAIGGFMTHCGWNSILESITAGVPMVTWPLSNEQFSNEKLVTDIVRVGVGVGAQECSKWMEGKKLLVTKENITSAISQLMVGEEANDMRNRASALKWMAKRAVEEGGSSHSDLKALLDGLRLNSI >KJB78759 pep chromosome:Graimondii2_0_v6:13:1172533:1174239:-1 gene:B456_013G017100 transcript:KJB78759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPYSIPLAFTVRRCKPELVAPAKPTPHEQKLLSDIDDQESLRAQFPAIQFYRYEPSMKGKDIAEVIKEALAQTLVFYYPFAGRLKEGANGKLIVDCNGEGVMFIKADADITLEQFGEPIQPPFPCFEELLFDVPGSQAMLNCPLLIYLHLIYIKIFTNTPSIPPVWERYLLNARDPPRVTFTHHEYDRVEATVIMDNMVECSFFFGPVEVSLLRSLLPLHLRHCTKFELITACLLRCQTIAMNLDPEEQVRMLCIANVRSKFNPPLPSGYCGNVLVSPTAITTVKNLCHNPVGYAVELIKKAIANVTEEFIKSTADLFAIRGKSLYVPAVIGSYGISDLMHMGVENVDFGWGKAEFGGTAPKATGLVSFFIPTKNKDKLALRFLKS >KJB78857 pep chromosome:Graimondii2_0_v6:13:1696557:1700864:-1 gene:B456_013G023200 transcript:KJB78857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQQKGFWMPRDDACLTDGDMNYDNSSRSEPKRGHDWFTDAAAPDLFSNKKQAIESVDNRPVSGIADINVSPWHTASFQSVSGQFSDRLFGSEPMQTVNLVDRNISSIDSGNMNMGRKDFEDQYVNSSSMGLSISHTGEDASCLNFGGIRKVKINQVKDSSNGMQASMGHPYSRGVNSLVSMSTAYSTSCNNAISLDLPYGSGDENTISMGPTFTKEDGNFISMGHTFNKRAGDFISMGHNYNKGNESILSVGLPFDKEDGNFISMGQSYDKGDANLTSLSPSYGKGQGNFISSAYGQPNGNLISMVPSFDKEDDNIPMQRSYHKAECSITAIAPTQDKGESSILSMHQNYNKGESNTISFGSFNDEPETNPSGNIISGYDLLMNNQSSVQASEVPSQVELIESNPASNVNTAPKNISRTDTNPKQKETKTAKKASPNNFPSNVKSLLSTGMLDGVVVKYVSWSREKSLKGYIQGTGYMCGCKDCNFKKALNAYEFEHHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQEKLFDVIQNVTGSQINQKNFRIWKASYQAATRELQRIYGKDNAVVSS >KJB78855 pep chromosome:Graimondii2_0_v6:13:1695957:1701398:-1 gene:B456_013G023200 transcript:KJB78855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQQKGFWMPRDDACLTDGDMNYDNSSRSEPKRGHDWFTDAAAPDLFSNKKQAIESVDNRPVSGIADINVSPWHTASFQSVSGQFSDRLFGSEPMQTVNLVDRNISSIDSGNMNMGRKDFEDQYVNSSSMGLSISHTGEDASCLNFGGIRKVKINQVKDSSNGMQASMGHPYSRGVNSLVSMSTAYSTSCNNAISLDLPYGSGDENTISMGPTFTKEDGNFISMGHTFNKRAGDFISMGHNYNKGNESILSVGLPFDKEDGNFISMGQSYDKGDANLTSLSPSYGKGQGNFISSAYGQPNGNLISMVPSFDKEDDNIPMQRSYHKAECSITAIAPTQDKGESSILSMHQNYNKGESNTISFGSFNDEPETNPSGNIISGYDLLMNNQSSVQASEVPSQVELIESNPASNVNTAPKNISRTDTNPKQKETKTAKKASPNNFPSNVKSLLSTGMLDGVVVKYVSWSREKSLKGYIQGTGYMCGCKDCNFKKALNAYEFEHHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQEKLFDVIQNVTGSQINQKNFRIWKASYQAATRELQRIYGKDNAVVSS >KJB78856 pep chromosome:Graimondii2_0_v6:13:1695759:1701398:-1 gene:B456_013G023200 transcript:KJB78856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGFWMPRDDACLTDGDMNYDNSSRSEPKRGHDWFTDAAAPDLFSNKKQAIESVDNRPVSGIADINVSPWHTASFQSVSGQFSDRLFGSEPMQTVNLVDRNISSIDSGNMNMGRKDFEDQYVNSSSMGLSISHTGEDASCLNFGGIRKVKINQVKDSSNGMQASMGHPYSRGVNSLVSMSTAYSTSCNNAISLDLPYGSGDENTISMGPTFTKEDGNFISMGHTFNKRAGDFISMGHNYNKGNESILSVGLPFDKEDGNFISMGQSYDKGDANLTSLSPSYGKGQGNFISSAYGQPNGNLISMVPSFDKEDDNIPMQRSYHKAECSITAIAPTQDKGESSILSMHQNYNKGESNTISFGSFNDEPETNPSGNIISGYDLLMNNQSSVQASEVPSQVELIESNPASNVNTAPKNISRTDTNPKQKETKTAKKASPNNFPSNVKSLLSTGMLDGVVVKYVSWSREKSLKGYIQGTGYMCGCKDCNFKKALNAYEFEHHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQEKLFDVIQNVTGSQINQKNFRIWKASYQAATRELQRIYGKDNAVVSS >KJB78859 pep chromosome:Graimondii2_0_v6:13:1695997:1701398:-1 gene:B456_013G023200 transcript:KJB78859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQQKGFWMPRDDACLTDGDMNYDNSSRSEPKRGHDWFTDAAAPDLFSNKKQAIESVDNRPVSGIADINVSPWHTASFQSVSGQFSDRLFGSEPMQTVNLVDRNISSIDSGNMNMGRKDFEDQYVNSSSMGLSISHTGEDASCLNFGGIRKVKINQVKDSSNGMQASMGHPYSRGVNSLVSMSTAYSTSCNNAISLDLPYGSGDENTISMGPTFTKEDGNFISMGHTFNKRAGDFISMGHNYNKGNESILSVGLPFDKEDGNFISMGQSYDKGDANLTSLSPSYGKGQGNFISSAYGQPNGNLISMVPSFDKEDDNIPMQRSYHKAECSITAIAPTQDKGESSILSMHQNYNKGESNTISFGSFNDEPETNPSGNIISGYDLLMNNQSSVQASEVPSQVELIESNPASNVNTAPKNISRTDTNPKQKETKTAKKASPNNFPSNVKSLLSTGMLDGVVVKYVSWSREKSLKGYIQGTGYMCGCKDCNFKKALNAYEFEHHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQEKLFDVIQNVTGSQINQKNFRIWKASYQAATRELQRIYGKDNAVVSS >KJB78858 pep chromosome:Graimondii2_0_v6:13:1695997:1701398:-1 gene:B456_013G023200 transcript:KJB78858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGFWMPRDDACLTDGDMNYDNSSRSEPKRGHDWFTDAAAPDLFSNKKQAIESVDNRPVSGIADINVSPWHTASFQSVSGQFSDRLFGSEPMQTVNLVDRNISSIDSGNMNMGRKDFEDQYVNSSSMGLSISHTGEDASCLNFGGIRKVKINQVKDSSNGMQASMGHPYSRGVNSLVSMSTAYSTSCNNAISLDLPYGSGDENTISMGPTFTKEDGNFISMGHTFNKRAGDFISMGHNYNKGNESILSVGLPFDKEDGNFISMGQSYDKGDANLTSLSPSYGKGQGNFISSAYGQPNGNLISMVPSFDKEDDNIPMQRSYHKAECSITAIAPTQDKGESSILSMHQNYNKGESNTISFGSFNDEPETNPSGNIISGYDLLMNNQSSVQASEVPSQVELIESNPASNVNTAPKNISRTDTNPKQKETKTAKKASPNNFPSNVKSLLSTGMLDGVVVKYVSWSREKSLKGYIQGTGYMCGCKDCNFKKALNAYEFEHHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQEKLFDVIQNVTGSQINQKNFRIWKASYQAATRELQRIYGKDNAVVSS >KJB83088 pep chromosome:Graimondii2_0_v6:13:54792163:54793161:-1 gene:B456_013G229000 transcript:KJB83088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGETGQLNPTVHVPPWPYVSDDLTAEDNFSDNGNPFYLQEALAALQCFLPSDELGFESDSEILSLYNPDSPVDAYSCDHFRMFEFKVRRCGRGRSHDWTECPYAHPGEKARRRDPRKFHYSGTACSDFRKGNCRKGDSCEFAHGVFECWLHPARYRTLPCKDGSGCRRRVCFFAHTPDQLRVVNFADSYDSSPPCTKAMPFSGSPRAESSPPVSPMSQSPTMNTMVASLRNLQLGKVKSLPSSGCGSPRGSMIRPILSSLPSTPTRNLTRPGIGYLDFGCGEEPVMERVESGKHLRSKMFEKLSQENSLERVYLGRVSGGPDVDWVSDLVN >KJB81836 pep chromosome:Graimondii2_0_v6:13:44521866:44524468:-1 gene:B456_013G164100 transcript:KJB81836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPENPSYWSHFDYSTLIDDIPVPDGPYTGFSWSTQPTNAPSTAVNVEVDNSFGDLDGLTESGSKKRSESCNPSSSKACREKLRRDRLNDKFMELGAILEPGRPPKTDKSAILVDAVRMVTQLRDEAQKMKDSNSSLQERIKELKAEKNELREEKQRLKAEKEKLEQQLKTMNAQPSFMPPAPAIPSAFAAAAAQGQAHGNKLVPFFGYPGVAMWQFMPPAAVDTSQDHVLRPPVA >KJB81835 pep chromosome:Graimondii2_0_v6:13:44521655:44524609:-1 gene:B456_013G164100 transcript:KJB81835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPENPSYWSHFDYSTLIDDIPVPDGPYTGFSWSTQPTNAPSTAVNVEVDNSFGDLDGLTESGSKKRFMELGAILEPGRPPKTDKSAILVDAVRMVTQLRDEAQKMKDSNSSLQERIKELKAEKNELREEKQRLKAEKEKLEQQLKTMNAQPSFMPPAPAIPSAFAAAAAQGQAHGNKLVPFFGYPGVAMWQFMPPAAVDTSQDHVLRPPVA >KJB81834 pep chromosome:Graimondii2_0_v6:13:44521615:44524647:-1 gene:B456_013G164100 transcript:KJB81834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPENPSYWSHFDYSTLIDDIPVPDGPYTGFSWSTQPTNAPSTAVNVEVDNSFGDLDGLTESGSKKRGRSESCNPSSSKACREKLRRDRLNDKFMELGAILEPGRPPKTDKSAILVDAVRMVTQLRDEAQKMKDSNSSLQERIKELKAEKNELREEKQRLKAEKEKLEQQLKTMNAQPSFMPPAPAIPSAFAAAAAQGQAHGNKLVPFFGYPGVAMWQFMPPAAVDTSQDHVLRPPVA >KJB81296 pep chromosome:Graimondii2_0_v6:13:36763800:36778056:-1 gene:B456_013G137700 transcript:KJB81296 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MLH1 [Source:Projected from Arabidopsis thaliana (AT4G09140) UniProtKB/Swiss-Prot;Acc:Q9ZRV4] MEIEPAEEVKEPPRIHRLDESVVNRIAAGEVIQRPVSAVKELVENSLDASSTSISVLVKDGGLKLIQVSDDGHGIRYEDLPILCERHTTSKLSKYEDLQSIKSMGFRGEALASMTYVGHVTVTTITRGQLHGYRVSYRDGVMENEPKACAAVKGTQIVIENLFYNMIARRKTLQNSADDYTKIVDLLSRFAIHHIDVSFSCRKHGAARADVHSVATPSRLNAIRSVYGLLVAQNLIKIEASDNDPSSSVFEMDGFISNSNYVAKKTTMVLFINDRLVECTALKRALEVVYVATLPKASKPFIYMSITLPPEHVDVNVHPTKREVSLLNQEVIVEKMQSVVESVLRNSNESRTFQEQTVEATPSVPSVTNNDLHLSPSPSGSKSQRVPVNKIVRTDSSDPAGRMHAYLYKNPQKYLGRDSSLTTVRSSVRQRRNLKETADLTSIQELINDIDSKCHSDLLDIVRQSTYVGMADDVFALLQHNTHLYLANVVNLSKELMYQQVLCRFAHFNAIQLSEPAPLQELIMLALKEEDLDLESNENDELKKKIAEMNTQLLKQKSEMLEEYFCIFIDSDGNLSRLPILLDQYTPDMDRVPEFVLCLGNDVEWEEEKNCFQSLAAALGNFYAMHPPMLPNPSGKGLEFYRKRKHGKHTQDKGNYSCHVGDGTAEKDEFEHELLSEAETAWAQREWSIQHVLFPSMRLFLKPPNSMASNGTFVRVASLEKLYKTFERC >KJB81297 pep chromosome:Graimondii2_0_v6:13:36769457:36778210:-1 gene:B456_013G137700 transcript:KJB81297 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MLH1 [Source:Projected from Arabidopsis thaliana (AT4G09140) UniProtKB/Swiss-Prot;Acc:Q9ZRV4] MEIEPAEEVKEPPRIHRLDESVVNRIAAGEVIQRPVSAVKELVENSLDASSTSISVLVKDGGLKLIQVSDDGHGIRYEDLPILCERHTTSKLSKYEDLQSIKSMGFRGEALASMTYVGHVTVTTITRGQLHGYRVSYRDGVMENEPKACAAVKGTQIVIENLFYNMIARRKTLQNSADDYTKIVDLLSRFAIHHIDVSFSCRKHGAARADVHSVATPSRLNAIRSVYGLLVAQNLIKIEASDNDPSSSVFEMDGFISNSNYVAKKTTMVLFINDRLVECTALKRALEVVYVATLPKASKPFIYMSITLPPEHVDVNVHPTKREVSLLNQEVIVEKMQSVVESVLRNSNESRTFQEQTVEATPSVPSVTNNDLHLSPSPSGSKSQRVPVNKIVRTDSSDPAGRMHAYLYKNPQKYLGRDSSLTTVRSSVRQRRNLKETADLTSIQELINDIDSKCHSDLLDIVRQSTYVGMADDVFALLQHNTHLYLANVVNLRAGVKKASVETHSKIHLLGIFCRFYHN >KJB81294 pep chromosome:Graimondii2_0_v6:13:36761942:36778210:-1 gene:B456_013G137700 transcript:KJB81294 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MLH1 [Source:Projected from Arabidopsis thaliana (AT4G09140) UniProtKB/Swiss-Prot;Acc:Q9ZRV4] MEIEPAEEVKEPPRIHRLDESVVNRIAAGEVIQRPVSAVKELVENSLDASSTSISVLVKDGGLKLIQVSDDGHGIRYEDLPILCERHTTSKLSKYEDLQSIKSMGFRGEALASMTYVGHVTVTTITRGQLHGYRVSYRDGVMENEPKACAAVKGTQIVIENLFYNMIARRKTLQNSADDYTKIVDLLSRFAIHHIDVSFSCRKHGAARADVHSVATPSRLNAIRSVYGLLVAQNLIKIEASDNDPSSSVFEMDGFISNSNYVAKKTTMVLFINDRLVECTALKRALEVVYVATLPKASKPFIYMSITLPPEHVDVNVHPTKREVSLLNQEVIVEKMQSVVESVLRNSNESRTFQEQTVEATPSVPSVTNNDLHLSPSPSGSKSQRVPVNKIVRTDSSDPAGRMHAYLYKNPQKYLGRDSSLTTVRSSVRQRRNLKETADLTSIQELINDIDSKCHSDLLDIVRQSTYVGMADDVFALLQHNTHLYLANVVNLSKELMYQQVLCRFAHFNAIQLSEPAPLQELIMLALKEEDLDLESNENDELKKKIAEMNTQLLKQKSEMLEEYFCIFIDSDGNLSRLPILLDQYTPDMDRVPEFVLCLGNDVEWEEEKNCFQSLAAALGNFYAMHPPMLPNPSGKGLEFYRKRKHGKHTQDKGNYSCHVGDGTAEKDEFEHELLSEAETAWAQREWSIQHVLFPSMRLFLKPPNSMASNGTFVRVASLEKLYKTFERC >KJB81295 pep chromosome:Graimondii2_0_v6:13:36763130:36778210:-1 gene:B456_013G137700 transcript:KJB81295 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MLH1 [Source:Projected from Arabidopsis thaliana (AT4G09140) UniProtKB/Swiss-Prot;Acc:Q9ZRV4] MEIEPAEEVKEPPRIHRLDESVVNRIAAGEVIQRPVSAVKELVENSLDASSTSISVLVKDGGLKLIQVSDDGHGIRYEDLPILCERHTTSKLSKYEDLQSIKSMGFRGEALASMTYVGHVTVTTITRGQLHGYRVSYRDGVMENEPKACAAVKGTQIVIENLFYNMIARRKTLQNSADDYTKIVDLLSRFAIHHIDVSFSCRKHGAARADVHSVATPSRLNAIRSVYGLLVAQNLIKIEASDNDPSSSVFEMDGFISNSNYVAKKTTMVLFINDRLVECTALKRALEVVYVATLPKASKPFIYMSITLPPEHVDVNVHPTKREVSLLNQEVIVEKMQSVVESVLRNSNESRTFQEQTVEATPSVPSVTNNDLHLSPSPSGSKSQRVPVNKIVRTDSSDPAGRMHAYLYKNPQKYLGRDSSLTTVRSSVRQRRNLKETADLTSIQELINDIDSKCHSDLLDIVRQSTYVGMADDVFALLQHNTHLYLANVVNLSKELMYQQVLCRFAHFNAIQLSEPAPLQELIMLALKEEDLDLESNENDELKKKIAEMNTQLLKQKSEMLEEYFCIFIDSDGNLSRLPILLDQYTPDMDRVPEFVLCLGNDVEWEEEKNCFQSLAAALGNFYAMHPPMLPNPSGKGLEFYRKRKHGKHTQDKGNYSCHVDGTAEKDEFEHELLSEAETAWAQREWSIQHVLFPSMRLFLKPPNSMASNGTFVRVASLEKLYKTFERC >KJB82538 pep chromosome:Graimondii2_0_v6:13:51227888:51233192:1 gene:B456_013G201300 transcript:KJB82538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDMLALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAEELLRHPHLQPYVLKVQIKINNPRRNSLPVNWPETNIKKTRFSDPVEVRFSGYRERRQSYSNDRTLNPSISGAEQDSVCSTKGIHHAVPGYLNQRLEDLSVDSSQGTVICKPITSKLSSITKTPRSSLAKASGTAKRKTEPTKNRDSFPGSRTPIKKPLPATRRTSLPLPTRTTVRESASMSKAGILHLIQSPDVSVNAPRIDKIAEFPLASYEDAFFPIHKTSSISAQGSSGSPQFVDRSITKDKCTVQTSDRASIKPCFTDAWQGIQRSMFKADGENATDSSDQNATAGASSRTSSDTQRWRFDPSSFQQRAEALEGLLEFSARLLQQERYDELGVLLKPFGPGKASPRETAIWLTKSFKENTGKPED >KJB82535 pep chromosome:Graimondii2_0_v6:13:51227871:51233218:1 gene:B456_013G201300 transcript:KJB82535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGAFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEMELISKIRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGKLFSEEKLCKWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQDIRLGDFGLAKILTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDMLALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAEELLRHPHLQPYVLKVQIKINNPRRNSLPVNWPETNIKKTRFSDPVEVRFSGYRERRQSYSNDRTLNPSISGAEQDSVCSTKGIHHAVPGYLNQRLEDLSVDSSQGTVICKPITSKLSSITKTPRSSLAKASGTAKRKTEPTKNRDSFPGSRTPIKKPLPATRRTSLPLPTRTTVRESASMSKAGILHLIQSPDVSVNAPRIDKIAEFPLASYEDAFFPIHKTSSISAQGSSGSPQFVDRSITKDKCTVQTSDRASIKPCFTDAWQGIQRSMFKADGENATDSSDQNATAGASSRTSSDTQRWRFDPSSFQQRAEALEGLLEFSARLLQQERYDELGVLLKPFGPGKASPRETAIWLTKSFKENTGKPED >KJB82536 pep chromosome:Graimondii2_0_v6:13:51229176:51232179:1 gene:B456_013G201300 transcript:KJB82536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGAFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEMELISKIRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGKLFSEEKLCKWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQDIRLGDFGLAKILTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDMLALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAEELLRHPHLQPYVLKVQIKINNPRRNSLPVNWPETNIKKTRFSDPVEVRFSGYRERRQSYSNDRTLNPSISGAEQDSVCSTKGIHHAVPGYLNQRLEDLSVDSSQGTVICKPITSKLSSITKTPRSSLAKASGTAKRKTEPTKNRDSVSSLELFSFLPFPRLFLSQTCVQHIPRDC >KJB82537 pep chromosome:Graimondii2_0_v6:13:51227888:51233192:1 gene:B456_013G201300 transcript:KJB82537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGAFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEMELISKIRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGKLFSEEKLCKWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQDIRLGDFGLAKILTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDMLALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAEELLRHPHLQPYVLKVQIKINNPRRNSLPVNWPETNIKKTRFSDPVEVRFSGYRERRQSYSNDRTLNPSISGAEQDSVCSTKGIHHAVPGYLNQRLEDLSVDSSQGTVICKPITSKLSSITKTPRSSLAKASGTAKRKTEPTKNRDSFQKLRFYCLSCADSFLVLALRLKNLFQQLEGHPCHYQQELQYENQLLCLKLVFFTSYNHRTFQLMLLELIR >KJB79328 pep chromosome:Graimondii2_0_v6:13:3822867:3825429:-1 gene:B456_013G044100 transcript:KJB79328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPLFVSFVMFRYGEIFKTQILGCPCVMLASPEAARFVLVTHAHLFKPTYPKSKEMMIGPWALFFHQGEYHTRLRKLVQYSLAPDTIRKLIPDIEHIALSALDSWAASGQVINTFYEMKKFSFDVGILSIFGHLDGGYKEKLEENYRIVDKGYNSFPTKIPGSAHHKALQARKRLNQILSEIIRERKEKRLVEKDLLGHLLNFKDEKGETLTEDQIADNVIGILFAAQDTTASFITWILKFLHDDPKLLEAVKAEQKAIYESNNGGKERLTWAQTRKMTLTYRVLLEGLRMASIISFTFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNPEFFSDPHDFDPSRFEVAPKPNTFMPFGNGVHACPGNELAKLEALILIHHLVTKFRWEVVGSESGIQYGPFPVPQQGLPARFWKQSSCPS >KJB79326 pep chromosome:Graimondii2_0_v6:13:3822546:3826823:-1 gene:B456_013G044100 transcript:KJB79326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIVFIILYVILFLSTLLSYPFLRKQKRGFPIERYKLPPGSMGWPYIGETLQLYSQDPNIFFLTKQKRYGEIFKTQILGCPCVMLASPEAARFVLVTHAHLFKPTYPKSKEMMIGPWALFFHQGEYHTRLRKLVQYSLAPDTIRKLIPDIEHIALSALDSWAASGQVINTFYEMKKFSFDVGILSIFGHLDGGYKEKLEENYRIVDKGYNSFPTKIPGSAHHKALQARKRLNQILSEIIRERKEKRLVEKDLLGHLLNFKDEKGETLTEDQIADNVIGILFAAQDTTASFITWILKFLHDDPKLLEAVKAEQKAIYESNNGGKERLTWAQTRKMTLTYRVLLEGLRMASIISFTFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNPEFFSDPHDFDPSRFEVAPKPNTFMPFGNGVHACPGNELAKLEALILIHHLVTKFRWEVVGSESGIQYGPFPVPQQGLPARFWKQSSCPS >KJB79324 pep chromosome:Graimondii2_0_v6:13:3822546:3825714:-1 gene:B456_013G044100 transcript:KJB79324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIVFIILYVILFLSTLLSYPFLRKQKRGFPIERYKLPPGSMGWPYIGETLQLYSQDPNIFFLTKQKRYGEIFKTQILGCPCVMLASPEAARFVLVTHAHLFKPTYPKSKEMMIGPWALFFHQGEYHTRLRKLVQYSLAPDTIRKLIPDIEHIALSALDSWAASGQVINTFYEMKKFSFDVGILSIFGHLDGGYKEKLEENYRIVDKGYNSFPTKIPGSAHHKALQARKRLNQILSEIIRERKEKRLVEKDLLGHLLNFKDEKGETLTEDQIADNVIGILFAAQDTTASFITWILKFLHDDPKLLEAVKAEQKAIYESNNGGKERLTWAQTRKMTLTYRVLLEGLRMASIISFTFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNPEFFSDPHDFDPSRFEVVTVLLMKIITIFYQFYYTDK >KJB79325 pep chromosome:Graimondii2_0_v6:13:3822546:3826326:-1 gene:B456_013G044100 transcript:KJB79325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIVFIILYVILFLSTLLSYPFLRKQKRGFPIERYKLPPGSMGWPYIGETLQLYSQDPNIFFLTKQKRYGEIFKTQILGCPCVMLASPEAARFVLVTHAHLFKPTYPKSKEMMIGPWALFFHQGEYHTRLRKLVQYSLAPDTIRKLIPDIEHIALSALDSWAASGQVINTFYEMKKFSFDVGILSIFGHLDGGYKEKLEENYRIVDKGYNSFPTKIPGSAHHKALQARKRLNQILSEIIRERKEKRLVEKDLLGHLLNFKDEKGETLTEDQIADNVIGILFAAQDTTASFITWILKFLHDDPKLLEAVKAEQKAIYESNNGGKERLTWAQTRKMTLTYRVLLEGLRMASIISFTFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNPEFFSDPHDFDPSRFEQVAPKPNTFMPFGNGVHACPGNELAKLEALILIHHLVTKFRWEVVGSESGIQYGPFPVPQQGLPARFWKQSSCPS >KJB79329 pep chromosome:Graimondii2_0_v6:13:3823306:3825718:-1 gene:B456_013G044100 transcript:KJB79329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIVFIILYVILFLSTLLSYPFLRKQKRGFPIERYKLPPGSMGWPYIGETLQLYSQDPNIFFLTKQKRYGEIFKTQILGCPCVMLASPEAARFVLVTHAHLFKPTYPKSKEMMIGPWALFFHQGEYHTRLRKLVQYSLAPDTIRKLIPDIEHIALSALDSWAASGQVINTFYEMKKFSFDVGILSIFGHLDGGYKEKLEENYRIVDKGYNSFPTKIPGSAHHKALQARKRLNQILSEIIRERKEKRLVEKDLLGHLLNFKDEKGETLTEDQIADNVIGILFAAQDTTASFITWILKFLHDDPKLLEAVKAEQKAIYESNNGGKERLTWAQTRKMTLTYRVLLEGLRMASIISFTFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNPEFFSDPHDFDPSRFEVVTVLLMKIITIFYQFYYTDK >KJB79327 pep chromosome:Graimondii2_0_v6:13:3822867:3825429:-1 gene:B456_013G044100 transcript:KJB79327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPLFVSFVMFRYGEIFKTQILGCPCVMLASPEAARFVLVTHAHLFKPTYPKSKEMMIGPWALFFHQGEYHTRLRKLVQYSLAPDTIRKLIPDIEHIALSALDSWAASGQVINTFYEMKKFSFDVGILSIFGHLDGGYKEKLEENYRIVDKGYNSFPTKIPGSAHHKALQARKRLNQILSEIIRERKEKRLVEKDLLGHLLNFKDEKGETLTEDQIADNVIGILFAAQDTTASFITWILKFLHDDPKLLEAVKAEQKAIYESNNGGKERLTWAQTRKMTLTYRVLLEGLRMASIISFTFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNPEFFSDPHDFDPSRFEQVAPKPNTFMPFGNGVHACPGNELAKLEALILIHHLVTKFRWEVVGSESGIQYGPFPVPQQGLPARFWKQSSCPS >KJB82179 pep chromosome:Graimondii2_0_v6:13:47370511:47371849:1 gene:B456_013G179900 transcript:KJB82179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGYGVTLFLLFLFFSAFFFNYNEATSMAQVNGSSEMFPLVEEKMMLFNESRRKLGSFQICAVCTCCGGAKGACLPSPCCYAINCNIPNRPFGFCSFTPKTCNCFGCHL >KJB82828 pep chromosome:Graimondii2_0_v6:13:53592148:53594448:-1 gene:B456_013G216000 transcript:KJB82828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTLGLTQPANIELPKISFAAKEIDVAEWKGDLLAVGVSEKDMTKDENSKFQNPILKKLDGLLGGLLAEASFEEDFTGKAGQSLVHRLPGLGSKRVGLFGLGQSASSPAAFHGLGEAVAAAAKTAQASGVAVILASSECPSAMSKGNTAAAIVSGTVLGLYEDNRYKSESKKPQLKSLDILGLGTGPEIEKKIKYAEVVSCAIIFGRELVNSPANVLTPAALAAEASKIASLYSDVLSANILTEEQCRELKMGSYLGVAAASANPPHFIHLCYKPPSGPVKTKLALVGKGLTFDSGGYNIKTGPGCSIETMKADMGGSAAVFGAAKALGQIKPSGVEVHFIVASCENMISGKGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKVKPKTRHHS >KJB82831 pep chromosome:Graimondii2_0_v6:13:53591830:53594448:-1 gene:B456_013G216000 transcript:KJB82831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTLGLTQPANIELPKISFAAKEIDVAEWKGDLLAVGVSEKDMTKDENSKFQNPILKKLDGLLGGLLAEASFEEDFTGKAGQSLVHRLPGLGSKRVGLFGLGQSASSPAAFHGLGEAVAAAAKTAQASGVAVILASSECPSAMSKGNTAAAIVSGTVLGLYEDNRYKSESKKPQLKSLDILGLGTGPEIEKKIKYAEVVSCAIIFGRELVNSPANVLTPAALAAEASKIASLYSDVLSANILTEEQCRELKMGSYLGVAAASANPPHFIHLCYKPPSGPVKTKLALVGKGLTFDSGGYNIKTGPGCSIETMKADMGGSAAVFGAAKALGQIKPSGVEVHFIVASCENMISGKGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACVVALGPSIAVRSKGKQSSFHWLLLNY >KJB82824 pep chromosome:Graimondii2_0_v6:13:53591335:53594448:-1 gene:B456_013G216000 transcript:KJB82824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTLGLTQPANIELPKISFAAKEIDVAEWKGDLLAVGVSEKDMTKDENSKFQNPILKKLDGLLGGLLAEASFEEDFTGKAGQSLVHRLPGLGSKRVGLFGLGQSASSPAAFHGLGEAVAAAAKTAQASGVAVILASSECPSAMSKGNTAAAIVSGTVLGLYEDNRYKSESKKPQLKSLDILGLGTGPEIEKKIKYAEVVSCAIIFGRELVNSPANVLTPAALAAEASKIASLYSDVLSANILTEEQCRELKMGSYLGVAAASANPPHFIHLCYKPPSGPVKTKLALVGKGLTFDSGGYNIKTGPGCSIETMKADMGGSAAVFGAAKALGQIKPSGVEVHFIVASCENMISGKGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKVKPKTRHRKFVIRIVDLATLTGACVVALGPSIAGIFTPNDDLAKELFQASEASGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGAINAALFLKQFVDEKVKWMHIDMAGPVLNDKKHVATGFGISTLVEWVLKNSSS >KJB82830 pep chromosome:Graimondii2_0_v6:13:53591072:53594665:-1 gene:B456_013G216000 transcript:KJB82830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTLGLTQPANIELPKISFAAKEIDVAEWKGDLLAVGVSEKDMTKDENSKFQNPILKKLDGLLGGLLAEASFEEDFTGKAGQSLVHRLPGLGSKRVGLFGLGQSASSPAAFHGLGEAVAAAAKTAQASGVAVILASSECPSAMSKGNTAAAIVSGTVLGLYEDNRYKSESKKPQLKSLDILGLGTGPEIEKKIKYAEVVSCAIIFGRELVNSPANVLTPAALAAEASKIASLYSDVLSANILTEEQCRELKMGSYLGVAAASANPPHFIHLCYKPPSGPVKTKLALVGKGLTFDSGGYNIKTGPGCSIETMKADMGGSAAVFGAAKALGQIKPSGVEVHFIVASCENMISGKGMRPGDIVTASNGKTIEIVDLATLTGACVVALGPSIAGIFTPNDDLAKELFQASEASGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGAINAALFLKQFVDEKVKWMHIDMAGPVLNDKKHVATGFGISTLVEWVLKNSSS >KJB82823 pep chromosome:Graimondii2_0_v6:13:53591072:53594665:-1 gene:B456_013G216000 transcript:KJB82823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDENSKFQNPILKKLDGLLGGLLAEASFEEDFTGKAGQSLVHRLPGLGSKRVGLFGLGQSASSPAAFHGLGEAVAAAAKTAQASGVAVILASSECPSAMSKGNTAAAIVSGTVLGLYEDNRYKSESKKPQLKSLDILGLGTGPEIEKKIKYAEVVSCAIIFGRELVNSPANVLTPAALAAEASKIASLYSDVLSANILTEEQCRELKMGSYLGVAAASANPPHFIHLCYKPPSGPVKTKLALVGKGLTFDSGGYNIKTGPGCSIETMKADMGGSAAVFGAAKALGQIKPSGVEVHFIVASCENMISGKGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACVVALGPSIAGIFTPNDDLAKELFQASEASGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGAINAALFLKQFVDEKVKWMHIDMAGPVLNDKKHVATGFGISTLVEWVLKNSSS >KJB82827 pep chromosome:Graimondii2_0_v6:13:53592148:53594448:-1 gene:B456_013G216000 transcript:KJB82827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTLGLTQPANIELPKISFAAKEIDVAEWKGDLLAVGVSEKDMTKDENSKFQNPILKKLDGLLGGLLAEASFEEDFTGKAGQSLVHRLPGLGSKRVGLFGLGQSASSPAAFHGLGEAVAAAAKTAQASGVAVILASSECPSAMSKGNTAAAIVSGTVLGLYEDNRYKSESKKPQLKSLDILGLGTGPEIEKKIKYAEVVSCAIIFGRELVNSPANVLTPAALAAEASKIASLYSDVLSANILTEEQCRELKMGSYLGVAAASANPPHFIHLCYKPPSGPVKTKLALVGKGLTFDSGGYNIKTGPGCSIETMKADMGGSAAVFGAAKALGQIKPSGVEVHFIVASCENMISGKGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQDS >KJB82832 pep chromosome:Graimondii2_0_v6:13:53591072:53594829:-1 gene:B456_013G216000 transcript:KJB82832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTLGLTQPANIELPKISFAAKEIDVAEWKGDLLAVGVSEKDMTKDENSKFQNPILKKLDGLLGGLLAEASFEEDFTGKAGQSLVHRLPGLGSKRVGLFGLGQSASSPAAFHGLGEAVAAAAKTAQASGVAVILASSECPSAMSKGNTAAAIVSGTVLGLYEDNRYKSESKKPQLKSLDILGLGTGPEIEKKIKYAEVVSCAIIFGRELVNSPANVLTPAALAAEASKIASLYSDVLSANILTEEQCRELKMGSYLGVAAASANPPHFIHLCYKPPSGPVKTKLALVGKGLTFDSGGYNIKTGPGCSIETMKADMGGSAAVFGAAKALGQIKPSGVEVHFIVASCENMISGKGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACVVALGPSIAGIFTPNDDLAKELFQASEASGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGAINAALFLKQFVDEKVKWMHIDMAGPVLNDKKHVATGFGISTLVEWVLKNSSS >KJB82825 pep chromosome:Graimondii2_0_v6:13:53592201:53594448:-1 gene:B456_013G216000 transcript:KJB82825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTLGLTQPANIELPKISFAAKEIDVAEWKGDLLAVGVSEKDMTKDENSKFQNPILKKLDGLLGGLLAEASFEEDFTGKAGQSLVHRLPGLGSKRVGLFGLGQSASSPAAFHGLGEAVAAAAKTAQASGVAVILASSECPSAMSKGNTAAAIVSGTVLGLYEDNRYKSESKKPQLKSLDILGLGTGPEIEKKIKYAEVVSCAIIFGRELVNSPANVLTPAALAAEASKIASLYSDVLSANILTEEQCRELKMGSYLGVAAASANPPHFIHLCYKPPSGPVKTKLALVGKGLTFDSGGYNIKTGPGCSIETMKADMGGSAAVFGAAKALGQIKPSGVEVHFIVASCENMISGKGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKVKPKTRHRKFVIRVVNLVVSIAYFV >KJB82826 pep chromosome:Graimondii2_0_v6:13:53592148:53594448:-1 gene:B456_013G216000 transcript:KJB82826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTLGLTQPANIELPKISFAAKEIDVAEWKGDLLAVGVSEKDMTKDENSKFQNPILKKLDGLLGGLLAEASFEEDFTGKAGQSLVHRLPGLGSKRVGLFGLGQSASSPAAFHGLGEAVAAAAKTAQASGVAVILASSECPSAMSKGNTAAAIVSGTVLGLYEDNRYKSESKKPQLKSLDILGLGTGPEIEKKIKYAEVVSCAIIFGRELVNSPANVLTPAALAAEASKIASLYSDVLSANILTEEQCRELKMGSYLGVAAASANPPHFIHLCYKPPSGPVKTKLALVGKGLTFDSGGYNIKTGPGCSIETMKADMGGSAAVFGAAKALGQIKPSGVEVHFIVASCENMISGKGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQDS >KJB82829 pep chromosome:Graimondii2_0_v6:13:53592201:53594448:-1 gene:B456_013G216000 transcript:KJB82829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTLGLTQPANIELPKISFAAKEIDVAEWKGDLLAVGVSEKDMTKDENSKFQNPILKKLDGLLGGLLAEASFEEDFTGKAGQSLVHRLPGLGSKRVGLFGLGQSASSPAAFHGLGEAVAAAAKTAQASGVAVILASSECPSAMSKGNTAAAIVSGTVLGLYEDNRYKSESKKPQLKSLDILGLGTGPEIEKKIKYAEVVSCAIIFGRELVNSPANVLTPAALAAEASKIASLYSDVLSANILTEEQCRELKMGSYLGVAAASANPPHFIHLCYKPPSGPVKTKLALVGKGLTFDSGGYNIKTGPGCSIETMKADMGGSAAVFGAAKALGQIKPSGVEVHFIVASCENMISGKGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKVKPKTRHRKFVIRVVNLVVSIAYFV >KJB83756 pep chromosome:Graimondii2_0_v6:13:57714657:57717777:-1 gene:B456_013G263600 transcript:KJB83756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICLSARIKAESPNNTGLSSNYVSGDTSSRVSSVSVPLTPRTEGEILQCTNLKSFSFANLKTATRNFRPDSVLGEGGFGSVFKGWIDENSFNASKPGTGIVIAVKRLNQEGFQGHKEWLAEVNYLGQLYHPNLVKLIGYCSEDEHRMLVYEFMPRGSLENHLFRRGSYFQPLSWDLRMKVALGAAKGLAFLHSAEIKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGHRAVDKNRPSGEHSLVDWAKPYLANKRKMFRVLDSRLEGQYSMEGAFKVATLALRCISTEPKFRPRMNEVVIVLEQLQNSNESGSNLSNNTSSTPRIRRRSADNPSGGRSTTTTAYPRPSASPLYD >KJB83757 pep chromosome:Graimondii2_0_v6:13:57714698:57717190:-1 gene:B456_013G263600 transcript:KJB83757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICLSARIKAESPNNTGLSSNYVSGDTSSRVSSVSVPLTPRTEGEILQCTNLKSFSFANLKTATRNFRPDSVLGEGGFGSVFKGWIDENSFNASKPGTGIVIAVKRLNQEGFQGHKEWLAEVNYLGQLYHPNLVKLIGYCSEDEHRMLVYEFMPRGSLENHLFRRGSYFQPLSWDLRMKVALGAAKGLAFLHSAEIKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGHRAVDKNRPSGEHSLVDWAKPYLANKRKMFRVLDSRLEGQYSMEGAFKVATLALRCISTEPKFRPRMNEVVIVLEQLQNSNESGSNLSNNTSSTPRIRRRSADNPSGGRSTTTTAYPRPSASPLYD >KJB83102 pep chromosome:Graimondii2_0_v6:13:54832933:54834689:1 gene:B456_013G229500 transcript:KJB83102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEHLMCEIDGNHLSSAAIVGHDGSIWAQSSNFPQFKQEEINAIMNDFAEPGSLAPTGLYLGGTKYMVIQGEPGYVIRGKKGSGGITIKKTNMALLIGIYDEPMAPSQCNMVVERLGDYLIDQGF >KJB83101 pep chromosome:Graimondii2_0_v6:13:54833111:54833772:1 gene:B456_013G229500 transcript:KJB83101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEHLMCEIDGNHLSSAAIVGHDGSIWAQSSNFPQFKQEEINAIMNDFAEPGSLAPTGLYLGGTKYMVIQGEPGYVIRGKKVMFFIRS >KJB80908 pep chromosome:Graimondii2_0_v6:13:30703998:30706484:1 gene:B456_013G121000 transcript:KJB80908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPDYLLQIPTVKLGTQGLEVSKLGFGCMGLTGIYNSPLSEDEIISIINHAFAKGITFFDTADVYGAHTNEVLVGKALKQLPREKIQLATKFGIAGMAPTGMIVKGSPEYVRSCCEASLKRLDVDYIDLYYQHRVDTSVPIEETMGELKKLVEEGKVKYIGLSESSPDTIRRAHAVHPITAVQMEWSLWTRDIEDEIVPLCSPLGRGFFGGRAVVDSLSAGTFLASHPRFQGDNLEKNKNIYIRIEDQAKKRQCTPAQLALAWVLQQGDDVVPIPGTTKIKNLDQNIDTLRVKLTASDLKEIRDAVPIEEVAGDRNYDSMKKASWKFADTPAKDVGVSIE >KJB80904 pep chromosome:Graimondii2_0_v6:13:30703980:30706585:1 gene:B456_013G121000 transcript:KJB80904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPDYLLQIPTVKLGTQGLEVSKLGFGCMGLTGIYNSPLSEDEIISIINHAFAKGITFFDTADVYGAHTNEVLVGKALKQLPREKIQLATKFGIAGMAPTGMIVKGSPEYVRSCCEASLKRLDVDYIDLYYQHRVDTSVPIEETMGELKKLVEEGKVKYIGLSESSPDTIRRAHAVHPITAVQMEWSLWTRDIEDEIVPLCRDLGIGIVPYSPLGRGFFGGRAVVDSLSAGTFLASHPRFQGDNLEKNKNIYIRIEDQAKKRQCTPAQLALAWVLQQGDDVVPIPGTTKIKNLDQNIDTLRVKLTASDLKEIRDAVPIEEVAGDRNYDSMKKASWKFADTPAKDVGVSIE >KJB80907 pep chromosome:Graimondii2_0_v6:13:30704648:30706146:1 gene:B456_013G121000 transcript:KJB80907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTGIYNSPLSEDEIISIINHAFAKGITFFDTADVYGAHTNEVLVGKALKQLPREKIQLATKFGIAGMAPTGMIVKGSPEYVRSCCEASLKRLDVDYIDLYYQHRVDTSVPIEETMGELKKLVEEGKVKYIGLSESSPDTIRRAHAVHPITAVQMEWSLWTRDIEDEIVPLCRDLGIGIVPYSPLGRGFFGGRAVVDSLSAGTFLASHPRFQGDNLEKNKNIYIRIEDQAKKRQCTPAQLALAWVLQQGDDVVPIPGTTKIKNLDQNIDTLRVKLTASDLKEIRDAVPIEEVAGDRNYDSMKKASWKFADTPAKDVGVSIE >KJB80905 pep chromosome:Graimondii2_0_v6:13:30703998:30705437:1 gene:B456_013G121000 transcript:KJB80905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPDYLLQIPTVKLGTQGLEVSKLGFGCMGLTGIYNSPLSEDEIISIINHAFAKGITFFDTADVYGAHTNEVLVGKALKQLPREKIQLATKFGIAGMAPTGMIVKGSPEYVRSCCEASLKRLDVDYIDLYYQHRVDTSVPIEETMGELKKLVEEGKVKYIGLSESSPDTIRRAHAVHPITAVQMEWSLWTRDIEDEIVPLCRLGFEDKSPDSDVYIYVYIPVYDGFT >KJB80906 pep chromosome:Graimondii2_0_v6:13:30703998:30705486:1 gene:B456_013G121000 transcript:KJB80906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPDYLLQIPTVKLGTQGLEVSKLGFGCMGLTGIYNSPLSEDEIISIINHAFAKGITFFDTADVYGAHTNEVLVGKALKQLPREKIQLATKFGIAGMAPTGMIVKGSPEYVRSCCEASLKRLDVDYIDLYYQHRVDTSVPIEETMGELKKLVEEGKVKYIGLSESSPDTIRRAHAVHPITAVQMEWSLWTRDIEDEIVPLCSSL >KJB78498 pep chromosome:Graimondii2_0_v6:13:196782:200816:-1 gene:B456_013G002500 transcript:KJB78498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITNEASVDSFSIGPSTIIGRTIAFRILLCKSMAHFRHQIFHVLVDLFYRCKNLVSPLISWLHPRNPQGILALVTIIAFLVKRYTNVKLRAEMAYRRKFWRNMMRTALTYEEWAHAAKMLDKETPKMNESNLYDEELVRNKIQELRRRRQDGSLRDIIFCMRADLIRNLGNMCNPELHQGRLHVPKLIKDYIDEVSTQLRMVCDSDSEELSLEEKLAFMHETRHAFGRTALLLSGGASLGAFHIGVVKTLVEHKLLPRIIAGSSVGSIMCAIVGTRSWPELQSFFEDSWRSLQFYDQLGGIFAVVRRVMRQGAVHEIRHMQWMLRHLTSNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHVVIWSAVSASCAFPGLFEAQELMAKDRSGELVPYHPPFNLDPEEGSSATARRWRDGSLEVDLPMMQLKELFNVNHFIVSQANPHIIPFLRVKEFVRAHGGNFAAKFAHLTEMEVKHRCSQILELGFRMGGLAKIFAQDWEGDITVVMPATVSQYLKILQNPTLVELQKAANQGRRCTWEKLSAMKANCGIELALDECVAILNHMRRLKRSADRAAAASSHGLANTTKFSASKRIPSWNCIARENSSGSLEEDLLTDVTSSLHHGVGSSSGIPPPARNLRAHRSAYDGSDSESEGVDLHSWTRSGGPLMRTSSANLFIDFVQNLDAEAEVNKGLMAHPSSPGFQICGGDSFSHSPRMMKSDRGSEYEFDQRDFGNRPPVNGSSIMVTEGDLLQPEKVINGLMLNVVKKADLAQSSRSPDSDNCSTEVAECVQLECPEKDDSSASECGDDDAGAVNETVATDNLTRSARDDDNQGGVVDA >KJB80712 pep chromosome:Graimondii2_0_v6:13:26427368:26451524:-1 gene:B456_013G111900 transcript:KJB80712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSGEQETLQRKHCCLIHEFYRAASKSPDKIAIIHASPSNPSANEVRIDRELINGGNPPVYKGDRCFTFANLLASVECLSFRICSVLDGADDRYLIKPQTSGDNSNGKHPQSVQMSEASLDFIRGVCQHTDLENMYVPKIVALFMPPSVEYVVSVLSVLKCGEAFLPVDPAWPRDRILSVLDSLDAALVVTCGSSLVKSGSELVDQLDWLLECCSCPIMRFSMEASIEPHKSQSSLAWPCENERKRLFCYLIYTSGSTGKPKGVCGTEEGLLNRFLWMQELYPMQGEELLLFKTSISFIDHLQEFLIAALTACTLVIPPFTELKQNVFSIIDFLQAYSINRLTAVPSLMRMILPAMQSQHDIRISSSLKLLVLSGEVLPLSLWNVLSNLLPKTSILNLYGSTEVSGDCLYFDCKGLPSILEMEKLTSVPIGLPISKCSTVLIGETGNSNVGEICVRGVCVSTGYLFENAIIPLNNAKLHQNSICKCSMVECGGQIYFRTGDFAHLLSSGDLVFLGRKDRTIKVNGQRIALEELEDTLRGLNDVVDASVISQKDQGDNEFIVAFISLKEKVKSAEIVKTSIRNSMINKFPSVMVPSRYVFLESLPMSASGKVDYAQLTDSIFSTSHVKDEIGDIGASNLMQIIKKAICDALMVEDVSDDDDFFMIGGNSITAAHVSHNLGIDMRLLYTFSTPAKLVISLLEKNVLNNTKFGVNDIPESTIEPDKVNRFSFPESETPDPLGSKLQGHLSLMPHERNDDQADQSKRLKVDLNKYYVLEPIDLFCGYPWNSAPMRDSFSFSRCNKVMHEGGQVVNGTWQAQLVEVSRTRTGYMQELWKVNMEACVDASPLVVFNDSDIYLFVGSHSYKFLCINAKSGFIQWETKLQGRVEGSAAIVADFSQVVVGCYDGNIYFLELLNGNICWTFHTSGEVKCQPIVHAHRGLIWCGSHDHNLYALDYRNKCCVYTLPCGGSIFGSPAIDETHGALYAASTSGRVTAISIKEMPFCTLWLHELEVPVFGSLSVSSPHGYVICCLVDGHVVALDSSGCIVWKWKTGGPIFAGACISSALPSQVLICSRNGSVYSFEMEKGELLWEVNVGDPITASAYVDENLQLVSNDPSISVDRLVCVCTSSGGIFLFRINLDEGTGDHRKKYVVQKFATLKLEGDVFSSPVIIGGRIFVGCRDDYLHCIAVET >KJB80714 pep chromosome:Graimondii2_0_v6:13:26438722:26451524:-1 gene:B456_013G111900 transcript:KJB80714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSGEQETLQRKHCCLIHEFYRAASKSPDKIAIIHASPSNPSANEVRIDRELINGGNPPVYKGDRCFTFANLLASVECLSFRICSVLDGADDRYLIKPQTSGDNSNGKHPQSVQMSEASLDFIRGVCQHTDLENMYVPKIVALFMPPSVEYVVSVLSVLKCGEAFLPVDPAWPRDRILSVLDSLDAALVVTCGSSLVKSGSELVDQLDWLLECCSCPIMRFSMEASIEPHKSQSSLAWPCENERKRLFCYLIYTSGSTGKPKGVCGTEEGLLNRFLWMQELYPMQGEELLLFKTSISFIDHLQEFLIAALTACTLVIPPFTELKQNVFSIIDFLQAYSINRLTAVPSLMRMILPAMQSQHDIRISSSLKLLVLSGEVLPLSLWNVLSNLLPKTSILNLYGSTEVSGDCLYFDCKGLPSILEMEKLTSVPIGLPISKCSTVLIGETGNSNVGEICVRGVCVSTGYLFENAIIPLNNAKLHQNSICKCSMVECGGQIYFRTGDFAHLLSSGDLVFLGRKDRTIKVNGQRIALEELEDTLRGLNDVVDASVISQKDQGDNEFIVAFISLKEKVKSAEIVKTSIRNSMINKFPSVMVPSRYVFLESLPMSASGKVDYAQLTDSIFSTSHVKDEIGDIGASNLMQIIKKAICDALMVEDVSDDDDFFMIGGNSITAAHVSHNLGIDMRLLYTFSTPAKLVISLLEKNVLNNTKFGVNDIPESTIEPDKVNRFSFPESETPDPLGSKLQGHLSLMPHERNDDQADQSKRLKVDLNKYYVLEPIDLFCGYPWNSAPMRDSFSFSRCNKVMHEGGQVVNGTWQAQLVEVSRTRTGYMQELWKVNMEACVDASPLVVFNDSDIYLFVGSHSYKFLCINAKRYGSHLNPLEKTNELSFIHSVEHLFDFISYLILCY >KJB80713 pep chromosome:Graimondii2_0_v6:13:26428398:26451524:-1 gene:B456_013G111900 transcript:KJB80713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSGEQETLQRKHCCLIHEFYRAASKSPDKIAIIHASPSNPSANEVRIDRELINGGNPPVYKGDRCFTFANLLASVECLSFRICSVLDGADDRYLIKPQTSGDNSNGKHPQSVQMSEASLDFIRGVCQHTDLENMYVPKIVALFMPPSVEYVVSVLSVLKCGEAFLPVDPAWPRDRILSVLDSLDAALVVTCGSSLVKSGSELVDQLDWLLECCSCPIMRFSMEASIEPHKSQSSLAWPCENERKRLFCYLIYTSGSTGKPKGVCGTEEGLLNRFLWMQELYPMQGEELLLFKTSISFIDHLQEFLIAALTACTLVIPPFTELKQNVFSIIDFLQAYSINRLTAVPSLMRMILPAMQSQHDIRISSSLKLLVLSGEVLPLSLWNVLSNLLPKTSILNLYGSTEVSGDCLYFDCKGLPSILEMEKLTSVPIGLPISKCSTVLIGETGNSNVGEICVRGVCVSTGYLFENAIIPLNNAKLHQNSICKCSMVECGGQIYFRTGDFAHLLSSGDLVFLGRKDRTIKVNGQRIALEELEDTLRGLNDVVDASVISQKDQGDNEFIVAFISLKEKVKSAEIVKTSIRNSMINKFPSVMVPSRYVFLESLPMSASGKVDYAQLTDSIFSTSHVKDEIGDIGASNLMQIIKKAICDALMVEDVSDDDDFFMIGGNSITAAHVSHNLGIDMRLLYTFSTPAKLVISLLEKNVLNNTKFGVNDIPESTIEPDKVNRFSFPESETPDPLGSKLQGHLSLMPHERNDDQADQSKRLKVDLNKYYVLEPIDLFCGYPWNSAPMRDSFSFSRCNKVMHEGGQVVNGTWQAQLVEVSRTRTGYMQELWKVNMEACVDASPLVVFNDSDIYLFVGSHSYKFLCINAKSGFIQWETKLQGRVEGSAAIVADFSQVVVGCYDGNIYFLELLNGNICWTFHTSGEVKCQPIVHAHRGLIWCGSHDHNLYALDYRNKCCVYTLPCGGSIFGSPAIDETHGALYAASTSGRVTAISIKEMPFCTLWLHELEVPVFGSLSVSSPHGYVICCLVDGHVVALDSSGCIVWKYSGKLVVQYLLELAYLLRFLLKC >KJB80711 pep chromosome:Graimondii2_0_v6:13:26427367:26451539:-1 gene:B456_013G111900 transcript:KJB80711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSGEQETLQRKHCCLIHEFYRAASKSPDKIAIIHASPSNPSANEVRIDRELINGGNPPVYKGDRCFTFANLLASVECLSFRICSVLDGADDRYLIKPQTSGDNSNGKHPQSVQMSEASLDFIRGVCQHTDLENMYVPKIVALFMPPSVEYVVSVLSVLKCGEAFLPVDPAWPRDRILSVLDSLDAALVVTCGSSLVKSGSELVDQLDWLLECCSCPIMRFSMEASIEPHKSQSSLAWPCENERKRLFCYLIYTSGSTGKPKGVCGTEEELYPMQGEELLLFKTSISFIDHLQEFLIAALTACTLVIPPFTELKQNVFSIIDFLQAYSINRLTAVPSLMRMILPAMQSQHDIRISSSLKLLVLSGEVLPLSLWNVLSNLLPKTSILNLYGSTEVSGDCLYFDCKGLPSILEMEKLTSVPIGLPISKCSTVLIGETGNSNVGEICVRGVCVSTGYLFENAIIPLNNAKLHQNSICKCSMVECGGQIYFRTGDFAHLLSSGDLVFLGRKDRTIKVNGQRIALEELEDTLRGLNDVVDASVISQKDQGDNEFIVAFISLKEKVKSAEIVKTSIRNSMINKFPSVMVPSRYVFLESLPMSASGKVDYAQLTDSIFSTSHVKDEIGDIGASNLMQIIKKAICDALMVEDVSDDDDFFMIGGNSITAAHVSHNLGIDMRLLYTFSTPAKLVISLLEKNVLNNTKFGVNDIPESTIEPDKVNRFSFPESETPDPLGSKLQGHLSLMPHERNDDQADQSKRLKVDLNKYYVLEPIDLFCGYPWNSAPMRDSFSFSRCNKVMHEGGQVVNGTWQAQLVEVSRTRTGYMQELWKVNMEACVDASPLVVFNDSDIYLFVGSHSYKFLCINAKSGFIQWETKLQGRVEGSAAIVADFSQVVVGCYDGNIYFLELLNGNICWTFHTSGEVKCQPIVHAHRGLIWCGSHDHNLYALDYRNKCCVYTLPCGGSIFGSPAIDETHGALYAASTSGRVTAISIKEMPFCTLWLHELEVPVFGSLSVSSPHGYVICCLVDGHVVALDSSGCIVWKWKTGGPIFAGACISSALPSQVLICSRNGSVYSFEMEKGELLWEVNVGDPITASAYVDENLQLVSNDPSISVDRLVCVCTSSGGIFLFRINLDEGTGDHRKKYVVQKFATLKLEGDVFSSPVIIGGRIFVGCRDDYLHCIAVET >KJB82493 pep chromosome:Graimondii2_0_v6:13:50771661:50773361:1 gene:B456_013G198500 transcript:KJB82493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIATLSLHSLGYCCQKSPPFSLKSSFLSKPTKTQFSFAFKTTEKRPFSHIVSMSMEAGIGVMGTKLGMMSFFETDGTVVPVTVVGFREGNLVTQVKTSATDGYDAVQVGYRRVRDKKLTKPELGHLGKAGVIPMRHLQEFRLQSVGEFETGQKLAVEEIFKEGDLVDVSGTTIGKGFQGGIKRHNFKRGQMSHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGSKRKIRKLKIVKIDNELRVVMIKGAVPGKPGNLLRITPAKIVGKNIPKS >KJB81216 pep chromosome:Graimondii2_0_v6:13:35092290:35094405:1 gene:B456_013G133400 transcript:KJB81216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASKGQKLSGMQRQVLSLYRGFLRAARSKPTEDRRQIESIVSAEFRQNSKQVDRKNFLYIEYLLRRGKKQLDQLKSPDTIGLSSCNVSSSKKNAET >KJB81218 pep chromosome:Graimondii2_0_v6:13:35092397:35094301:1 gene:B456_013G133400 transcript:KJB81218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASKGQKLSGMQRQVLSLYRGFLRAARSKPTEDRRQIESIVSAEFRQNSKQVDRKNFLYIEYLLRRGKKQLDQLKSPDTIGLSSCNVSSSKKNAET >KJB81217 pep chromosome:Graimondii2_0_v6:13:35093097:35094301:1 gene:B456_013G133400 transcript:KJB81217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASKGQKLSGMQRQVLSLYRGFLRAARSKPTEDRRQIESIVSAEFRQNSKQVDRKNFLYIEYLLRRGKKQLDQLKSPDTIGLSSCNVSSSKKNAET >KJB81219 pep chromosome:Graimondii2_0_v6:13:35092564:35094301:1 gene:B456_013G133400 transcript:KJB81219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASKGQKLSGMQRQVLSLYRGFLRAARSKPTEDRRQIESIVSAEFRQNSKQVDRKNFLYIEYLLRRGKKQLDQLKSPDTIGLSSCNVSSSKKNAET >KJB83576 pep chromosome:Graimondii2_0_v6:13:57090954:57092445:-1 gene:B456_013G253700 transcript:KJB83576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIQHRMVEVNGINIHIAEKGKGPVILFLHGFPELWYSWRHQILALSNMGYRTVAPDLRGYGDSDIPESVDSYTCFHIVGDLVELIDVLDPHERKVFVVGHDWGAILAWFLCLFRPDKVKALVNLSVPFLRFDRNIKPVELWRAYYGSDHYISRFQEYGEIEGEFAWVSTDRVEKEFLTDFPVLLPKGKLFKRPLDEPITLPSWLSEEEANYYVTQFQKTGFTGPLNFYRNLDRNWELLKPWVGCKIETPAKFLMGDKDLVYHVPGMKDYIHNGGFQEDVPGLKEVVVLEGVDHFISMEKPSEVNQYILDFFSQFH >KJB78620 pep chromosome:Graimondii2_0_v6:13:676119:684073:-1 gene:B456_013G010300 transcript:KJB78620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MEKLKCVVPERLKRRVEESHIDDLPSLSSSLLQLFLSLPQFHQLISELAAEPSTQAGLCGKNEGAALDLKQKGNQCYSSGDHSQALRCYSQLQALRLAPIDAHHSGKNLVATLYLNRASLFHKMGLPMESLRDCSRALEISPCYPKVWYRRGKVNATLGNYEDSVHDLSVAKDMEPSIGGKKQIERELDMLARHHEKTTAKPASQKSVEIPEIKLQCVKTPDKGRGMVSQFDIPQASLIHAEEPYAVVILKHCRETHCHYCLNELPADTIPCISCSLPLYCSQHCQVQAGGPIHIPDTMVGSNFKPTLECIPEHKHECQGVHWPAILPSDVVLAGRVVVKTMEQKGQFTDVPNLSETLGLCESYSKMSPESKLELHIYSIVLLFCLHHSFSSELSINGDSASQIVILLSQIRVNSIAVVRMRSNTNDSYDQQDWFQNFSFGEAEAASSVEQVRVGQALYKAASLFNHSCLPNIHAYFMSRTLLLRTTEFVSGGCALELSYGPQVGQWDCKDRLRFLEEEYFFRCWCCGCSEVNESDLVISGFCCVNPNCSGVVLDNLVANCEKQKPKVPETISNKSHLQVHELNDIDIKKVAQISLDQTQSSFHVDSGYCLKCGSYCNFASRSKEVKKAWTDFRRLQDSIASKDTCSTNLPGALRSLGLLRSILHAYNKGIAEAEDILAKAFCFIGDFEPARDHCKASIEILEKLYGPNHIAIGYELAKLASIQLALGDCTAVYNINRLSAIFSTYYGPHAGIIFPYLLVLERERSRIIQ >KJB78625 pep chromosome:Graimondii2_0_v6:13:676142:682693:-1 gene:B456_013G010300 transcript:KJB78625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MVSQFDIPQASLIHAEEPYAVVILKHCRETHCHYCLNELPADTIPCISCSLPLYCSQHCQVQAGGPIHIPDTMVGSNFKPTLECIPEHKHECQGVHWPAILPSDVVLAGRVVVKTMEQKGQFTDVPNLSETLGLCESYSKMSPESKLELHIYSIVLLFCLHHSFSSELSINGDSASQIVILLSQIRVNSIAVVRMRSNTNDSYDQQDWFQNFSFGEAEAASSVEQVRVGQALYKAASLFNHSCLPNIHAYFMSRTLLLRTTEFVSGGCALELSYGPQVGQWDCKDRLRFLEEEYFFRCWCCGCSEVNESDLVISGFCCVNPNCSGVVLDNLVANCEKQKPKVPETISNKSHLQVHELNDIDIKKVAQISLDQTQSSFHVDSGYCLKCGSYCNFASRSKEVKKAWTDFRRLQDSIASKDTCSTNLPGALRSLGLLRSILHAYNKGIAEAEDILAKAFCFIGDFEPARDHCKASIEILEKLYGPNHIAIGYELAKLASIQLALGDCTAVYNINRLSAIFSTYYGPHAGIIFPYLLVLERERSRIIQ >KJB78622 pep chromosome:Graimondii2_0_v6:13:676119:684073:-1 gene:B456_013G010300 transcript:KJB78622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MGLPMESLRDCSRALEISPCYPKVWYRRGKVNATLGNYEDSVHDLSVAKDMEPSIGGKKQIERELDMLARHHEKTTAKPASQKSVEIPDVPSEIKLQCVKTPDKGRGMVSQFDIPQASLIHAEEPYAVVILKHCRETHCHYCLNELPADTIPCISCSLPLYCSQHCQVQAGGPIHIPDTMVGSNFKPTLECIPEHKHECQGVHWPAILPSDVVLAGRVVVKTMEQKGQFTDVPNLSETLGLCESYSKMSPESKLELHIYSIVLLFCLHHSFSSELSINGDSASQIVILLSQIRVNSIAVVRMRSNTNDSYDQQDWFQNFSFGEAEAASSVEQVRVGQALYKAASLFNHSCLPNIHAYFMSRTLLLRTTEFVSGGCALELSYGPQVGQWDCKDRLRFLEEEYFFRCWCCGCSEVNESDLVISGFCCVNPNCSGVVLDNLVANCEKQKPKVPETISNKSHLQVHELNDIDIKKVAQISLDQTQSSFHVDSGYCLKCGSYCNFASRSKEVKKAWTDFRRLQDSIASKDTCSTNLPGALRSLGLLRSILHAYNKGIAEAEDILAKAFCFIGDFEPARDHCKASIEILEKLYGPNHIAIGYELAKLASIQLALGDCTAVYNINRLSAIFSTYYGPHAGIIFPYLLVLERERSRIIQ >KJB78623 pep chromosome:Graimondii2_0_v6:13:676362:683961:-1 gene:B456_013G010300 transcript:KJB78623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MEKLKCVVPERLKRRVEESHIDDLPSLSSSLLQLFLSLPQFHQLISELAAEPSTQAGLCGKNEGAALDLKQKGNQCYSSGDHSQALRCYSQLQALRLAPIDAHHSGKNLVATLYLNRASLFHKMGLPMESLRDCSRALEISPCYPKVWYRRGKVNATLGNYEDSVHDLSVAKDMEPSIGGKKQIERELDMLARHHEKTTAKPASQKSVEIPDVPSEIKLQCVKTPDKGRGMVSQFDIPQASLIHAEEPYAVVILKHCRETHCHYCLNELPADTIPCISCSLPLYCSQHCQVQAGGPIHIPDTMVGSNFKPTLECIPEHKHECQGVHWPAILPSDVVLAGRVVVKTMEQKGQFTDVPNLSETLGLCESYSKMSPESKLELHIYSIVLLFCLHHSFSSELSINGDSASQIVILLSQIRVNSIAVVRMRSNTNDSYDQQDWFQNFSFGEAEAASSVEQVRVGQALYKAASLFNHSCLPNIHAYFMSRTLLLRTTEFVSGGCALELSYGPQVGQWDCKDRLRFLEEEYFFRCWCCGCSEVNESDLVISGFCCVNPNCSGVVLDNLVANCEKQKPKVPETISNKSHLQVHELNDIDIKKVAQISLDQTQSSFHVDSGYCLKCGSYCNFASRSKEVKKAWTDFRRLQDSIASKDTCSTNLPGALRSLGLLRSILHAYNKGIAEAEDILAKAFCFIGDFEPARDHCKASIEILEKLYGPNHIAIGYELAKLASIQLALGDCTAVYNINRLSAIFSTYYGPHAGIIFPYLLVLERERSRIIQ >KJB78626 pep chromosome:Graimondii2_0_v6:13:677144:683961:-1 gene:B456_013G010300 transcript:KJB78626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MEKLKCVVPERLKRRVEESHIDDLPSLSSSLLQLFLSLPQFHQLISELAAEPSTQAGLCGKNEGAALDLKQKGNQCYSSGDHSQALRCYSQALRLAPIDAHHSGKNLVATLYLNRASLFHKMGLPMESLRDCSRALEISPCYPKVWYRRGKVNATLGNYEDSVHDLSVAKDMEPSIGGKKQIERELDMLARHHEKTTAKPASQKSVEIPDVPSEIKLQCVKTPDKGRGMVSQFDIPQASLIHAEEPYAVVILKHCRETHCHYCLNELPADTIPCISCSLPLYCSQHCQVQAGGPIHIPDTMVGSNFKPTLECIPEHKHECQGVHWPAILPSDVVLAGRVVVKTMEQKGQFTDVPNLSETLGLCESYSKMSPESKLELHIYSIVLLFCLHHSFSSELSINGDSASQIVILLSQIRVNSIAVVRMRSNTNDSYDQQDWFQNFSFGEAEAASSVEQVRVGQALYKAASLFNHSCLPNIHAYFMSRTLLLRTTEFVSGGCALELSYGPQVGQWDCKDRLRFLEEEYFFRCWCCGCSEVNESDLVISGFCCVNPNCSGVVLDNLVANCEKQKPKVPETISNKSHLQVHELNDIDIKKVAQISLDQTQSSFHVDSGYCLKCGSYCNFASRSKEVKKAWTDFRRLQDSIASKDTCSTNLPGALRSLGLLRSILHAYNKGIAEVSFIN >KJB78628 pep chromosome:Graimondii2_0_v6:13:676968:683961:-1 gene:B456_013G010300 transcript:KJB78628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MEKLKCVVPERLKRRVEESHIDDLPSLSSSLLQLFLSLPQFHQLISELAAEPSTQAGLCGKNEGAALDLKQKGNQCYSSGDHSQALRCYSQALRLAPIDAHHSGKNLVATLYLNRASLFHKMGLPMESLRDCSRALEISPCYPKVWYRRGKVNATLGNYEDSVHDLSVAKDMEPSIGGKKQIERELDMLARHHEKTTAKPASQKSVEIPDVPSEIKLQCVKTPDKGRGMVSQFDIPQASLIHAEEPYAVVILKHCRETHCHYCLNELPADTIPCISCSLPLYCSQHCQVQAGGPIHIPDTMVGSNFKPTLECIPEHKHECQGVHWPAILPSDVVLAGRVVVKTMEQKGQFTDVPNLSETLGLCESYSKMSPESKLELHIYSIVLLFCLHHSFSSELSINGDSASQIVILLSQIRVNSIAVVRMRSNTNDSYDQQDWFQNFSFGEAEAASSVEQVRVGQALYKAASLFNHSCLPNIHAYFMSRTLLLRTTEFVSGGCALELSYGPQVGQWDCKDRLRFLEEEYFFRCWCCGCSEVNESDLVISGFCCVNPNCSGVVLDNLVANCEKQKPKVPETISNKSHLQVHELNDIDIKKVAQISLDQTQSSFHVDSGYCLKCGSYCNFASRSKEVKKAWTDFRRLQDSIASKDTCSTNLPGALRSLGLLRSILHAYNKGIAEAEDILAKAFCFIGDFEPARDHCKASIEVTVY >KJB78627 pep chromosome:Graimondii2_0_v6:13:676142:683992:-1 gene:B456_013G010300 transcript:KJB78627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MEKLKCVVPERLKRRVEESHIDDLPSLSSSLLQLFLSLPQFHQLISELAAEPSTQAGLCGKNEGAALDLKQKGNQCYSSGDHSQALRCYSQALRLAPIDAHHSGKNLVATLYLNRASLFHKMGLPMESLRDCSRALEISPCYPKVWYRRGKVNATLGNYEDSVHDLSVAKDMEPSIGGKKQIERELDMLARHHEKTTAKPASQKSVEIPDVPSEIKLQCVKTPDKGRGMVSQFDIPQASLIHAEEPYAVVILKHCRETHCHYCLNELPADTIPCISCSLPLYCSQHCQVQAGGPIHIPDTMVGSNFKPTLECIPEHKHECQGVHWPAILPSDVVLAGRVVVKTMEQKGQFTDVPNLSETLGLCESYSKMSPESKLELHIYSIVLLFCLHHSFSSELSINGDSASQIVILLSQIRVNSIAVVRMRSNTNDSYDQQDWFQNFSFGEAEAASSVEQVRVGQALYKAASLFNHSCLPNIHAYFMSRTLLLRTTEFVSGGCALELSYGPQVGQWDCKDRLRFLEEEYFFRCWCCGCSEVNESDLVISGFCCVNPNCSGVVLDNLVANCEKQKPKVPETISNKSHLQVHELNDIDIKKVAQISLDQTQSSFHVDSGYCLKCGSYCNFASRSKEVKKAWTDFRRLQDSIASKDTCSTNLPGALRSLGLLRSILHAYNKGIAERTFLRRHFVSLVILSQLETIVKHPLRYWKSSMAPITLQLDMNWQSSHPFS >KJB78624 pep chromosome:Graimondii2_0_v6:13:676119:684073:-1 gene:B456_013G010300 transcript:KJB78624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MEKLKCVVPERLKRRVEESHIDDLPSLSSSLLQLFLSLPQFHQLISELAAEPSTQAGLCGKNEGAALDLKQKGNQCYSSGDHSQALRCYSQALRLAPIDAHHSGKNLVATLYLNRASLFHKMGLPMESLRDCSRALEISPCYPKVWYRRGKVNATLGNYEDSVHDLSVAKDMEPSIGGKKQIERELDMLARHHEKTTAKPASQKSVEIPEIKLQCVKTPDKGRGMVSQFDIPQASLIHAEEPYAVVILKHCRETHCHYCLNELPADTIPCISCSLPLYCSQHCQVQAGGPIHIPDTMVGSNFKPTLECIPEHKHECQGVHWPAILPSDVVLAGRVVVKTMEQKGQFTDVPNLSETLGLCESYSKMSPESKLELHIYSIVLLFCLHHSFSSELSINGDSASQIVILLSQIRVNSIAVVRMRSNTNDSYDQQDWFQNFSFGEAEAASSVEQVRVGQALYKAASLFNHSCLPNIHAYFMSRTLLLRTTEFVSGGCALELSYGPQVGQWDCKDRLRFLEEEYFFRCWCCGCSEVNESDLVISGFCCVNPNCSGVVLDNLVANCEKQKPKVPETISNKSHLQVHELNDIDIKKVAQISLDQTQSSFHVDSGYCLKCGSYCNFASRSKEVKKAWTDFRRLQDSIASKDTCSTNLPGALRSLGLLRSILHAYNKGIAEAEDILAKAFCFIGDFEPARDHCKASIEILEKLYGPNHIAIGYELAKLASIQLALGDCTAVYNINRLSAIFSTYYGPHAGIIFPYLLVLERERSRIIQ >KJB78621 pep chromosome:Graimondii2_0_v6:13:676142:683992:-1 gene:B456_013G010300 transcript:KJB78621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MEKLKCVVPERLKRRVEESHIDDLPSLSSSLLQLFLSLPQFHQLISELAAEPSTQAGLCGKNEGAALDLKQKGNQCYSSGDHSQALRCYSQALRLAPIDAHHSGKNLVATLYLNRASLFHKMGLPMESLRDCSRALEISPCYPKVWYRRGKVNATLGNYEDSVHDLSVAKDMEPSIGGKKQIERELDMLARHHEKTTAKPASQKSVEIPDVPSEIKLQCVKTPDKGRGMVSQFDIPQASLIHAEEPYAVVILKHCRETHCHYCLNELPADTIPCISCSLPLYCSQHCQVQAGGPIHIPDTMVGSNFKPTLECIPEHKHECQGVHWPAILPSDVVLAGRVVVKTMEQKGQFTDVPNLSETLGLCESYSKMSPESKLELHIYSIVLLFCLHHSFSSELSINGDSASQIVILLSQIRVNSIAVVRMRSNTNDSYDQQDWFQNFSFGEAEAASSVEQVRVGQALYKAASLFNHSCLPNIHAYFMSRTLLLRTTEFVSGGCALELSYGPQVGQWDCKDRLRFLEEEYFFRCWCCGCSEVNESDLVISGFCCVNPNCSGVVLDNLVANCEKQKPKVPETISNKSHLQVHELNDIDIKKVAQISLDQTQSSFHVDSGYCLKCGSYCNFASRSKEVKKAWTDFRRLQDSIASKDTCSTNLPGALRSLGLLRSILHAYNKGIAEAEDILAKAFCFIGDFEPARDHCKASIEILEKLYGPNHIAIGYELAKLASIQLALGDCTAVYNINRLSAIFSTYYGPHAGIIFPYLLVLERERSRIIQ >KJB82248 pep chromosome:Graimondii2_0_v6:13:48388714:48390924:1 gene:B456_013G185000 transcript:KJB82248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSKNMKAFYKQKKKNTTGGITKSKSSKSTKPAATDIAQLPHGVSLDFEDADIEEEERALREFDMNMAYGPCIGITRLGRWERAQRLGLNPPKEIEKLVKSGKVKLQSLFDGRM >KJB82249 pep chromosome:Graimondii2_0_v6:13:48388393:48390924:1 gene:B456_013G185000 transcript:KJB82249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSKNMKAFYKQKKKNTTGGITKSKSSKSTKPAATDIAQLPHGVSLDFEDADIEEEERALREFDMNMAYGPCIGITRLGRWERAQRLGLNPPKEIEKLVKSGKVKLQSLFDGRM >KJB78408 pep chromosome:Graimondii2_0_v6:13:41254536:41254568:-1 gene:B456_013G1512002 transcript:KJB78408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WNEIDRCLIN >KJB78407 pep chromosome:Graimondii2_0_v6:13:41254536:41254569:-1 gene:B456_013G1512002 transcript:KJB78407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WNEIDRCLIN >KJB78410 pep chromosome:Graimondii2_0_v6:13:41254536:41254568:-1 gene:B456_013G1512002 transcript:KJB78410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WNEIDRCLIN >KJB78406 pep chromosome:Graimondii2_0_v6:13:41254536:41254568:-1 gene:B456_013G1512002 transcript:KJB78406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WNEIDRCLIN >KJB78409 pep chromosome:Graimondii2_0_v6:13:41254536:41254568:-1 gene:B456_013G1512002 transcript:KJB78409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WNEIDRCLIN >KJB78411 pep chromosome:Graimondii2_0_v6:13:41254536:41254568:-1 gene:B456_013G1512002 transcript:KJB78411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WNEIDRCLIN >KJB78405 pep chromosome:Graimondii2_0_v6:13:41254536:41254568:-1 gene:B456_013G1512002 transcript:KJB78405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WNEIDRCLIN >KJB78413 pep chromosome:Graimondii2_0_v6:13:41254536:41254568:-1 gene:B456_013G1512002 transcript:KJB78413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WNEIDRCLIN >KJB78412 pep chromosome:Graimondii2_0_v6:13:41254536:41254568:-1 gene:B456_013G1512002 transcript:KJB78412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WNEIDRCLIN >KJB82225 pep chromosome:Graimondii2_0_v6:13:48122222:48125887:1 gene:B456_013G182800 transcript:KJB82225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYRMCGCFTRKFKIIEAAPPPDVIAAFEKCAEGGPQMTAEQLHRFLVDAQGQGGAKVSDTEEILLQGLQKRHHMAKFRKHALTLDDFHHYLFSANLNLPIDNKASLAFTSLVIAAIPTLF >KJB79460 pep chromosome:Graimondii2_0_v6:13:4786606:4787300:1 gene:B456_013G051000 transcript:KJB79460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFMASECSSGCESGWTNYLEQSFLSSNPSKKKNGFKKSGFCDEHREINRGKQKVDDDVENDDEEEEEDLSMVSDASSGPPHFYEDDNKLYHEYMVPQTGTTFNKNGGKRHRNKEQRRRQHEQQVHEEIDTASSPYINYSKKSFVGTNNQAPMGFSATHFEVLVLTFYLIYSMFVEF >KJB79461 pep chromosome:Graimondii2_0_v6:13:4786606:4787777:1 gene:B456_013G051000 transcript:KJB79461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFMASECSSGCESGWTNYLEQSFLSSNPSKKKNGFKKSGFCDEHREINRGKQKVDDDVENDDEEEEEDLSMVSDASSGPPHFYEDDNKLYHEYMVPQTGTTFNKNGGKRHRNKEQRRRQHEQQVHEEIDTASSPYINYSKKSFVGTNNQAPMGFSATHFEGGSQFEGHFGFFQSSSPSPDQLQNNQWL >KJB81672 pep chromosome:Graimondii2_0_v6:13:43158631:43164723:1 gene:B456_013G156400 transcript:KJB81672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-12F [Source:Projected from Arabidopsis thaliana (AT3G20150) UniProtKB/Swiss-Prot;Acc:F4JDI6] MKSTAEAAVAENGRFLGSISTSSLRNLLPKSKLKKSIKKSNSENTPPPDPNIIQINNSAQFSPKSPLSKSLTSDSFVNPSDAHPQIPLSMDSSVKKDMVESEEQNGELTNLDPSVKVVVRIRPINGQEKEVDRTVRKVSSDSLSVGDRKFTFDSVLDANSSQENVFQLIGVPLVKNALAGFNTSILSYGQTGSGKTYTMWGPPSAMVEDHSPASHQGIVPRIFQMLFSEIQREQENSDGRQTNYQCRCSFLEIYNEQIGDLLDPTQRNLEVNVCLYLFSHSSSFPEFIASGWCIIGLLDIVFQIKDDPKNGLYVENLTEEYVSSYEDVTQVLIKGLSSRKVGATTVNSKSSRSHIVFTFFIESWCKGASSKCFSSSKTSRISLIDLAGLDRNKLEDVGRQHVQEGKNVKKSLSQLGYLVNTLAKETQPEKPEDVPYGGSCLTRILRESLGGNAKLTVICNISANNRNTSEILSTLRFGQRVKAVRNEPVINEISEDDVNGLSDQIRQLKEELIRAKSDAYNSVGSKSGYFKGWNARESLNQLRISLNRSLILPRIDNEYEEEINIGEEDVKELRQQLDYLDSSCETNLRDPSDKRGSIQSSVKESCETDLLSEDDIHCPKETEIEEIDEVELPPMSSPEPADDLSVTSKTLKAVDPSIRSSISISPHRRSSILEEPTLSESPKIANNLRKSMAVPSALLASQKNVSESSESEVLRQSLRYSDHIRSSLHTSKTFLGPTESLAASLQRGLQIIDQHQHSSVPNRSSVAFSFEHLMLKPSPEADKANASVQTLPNDKPSPDGSSTPVFCSSCQRKFENNHPDEVQDSLKTWIVTVDNQQRDGGNTVEEASIKEKTLESVCKEQAAKIEQLTQLVEKYKLEREISAIEHAPEPLKNEIIPFDEQSNNGENGKEYFDMTEKEALLQEIQTLKSKLQSYTASPSPNKSTEILRSSLLSRSIQLRKSVDCRNNSEEELERERQRWMEMESDWISLTDELRMDLESNRCRAEKVEMELKLEKKCTEELDDALSRAVLGHARMVEHYADLQEKYNDLVTKHQAIMEGIAEIKKAAAKAGSKGHGARFAKSLAAELSALRVEREREKEQLKKENKSLRIQLRDTAEAVHAAGELLVRLREAEQAASIAEENFSNVQQENEKLKKQVDKLKRKHKMEMVTMKQYLAESRLPESALQPLYREDSDVAASHNSSAIPYDDQAWRAEFGAIYQEHY >KJB81671 pep chromosome:Graimondii2_0_v6:13:43158631:43164715:1 gene:B456_013G156400 transcript:KJB81671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-12F [Source:Projected from Arabidopsis thaliana (AT3G20150) UniProtKB/Swiss-Prot;Acc:F4JDI6] MKSTAEAAVAENGRFLGSISTSSLRNLLPKSKLKKSIKKSNSENTPPPDPNIIQINNSAQFSPKSPLSKSLTSDSFVNPSDAHPQIPLSMDSSVKKDMVESEEQNGELTNLDPSVKVVVRIRPINGQEKEVDRTVRKVSSDSLSVGDRKFTFDSVLDANSSQENVFQLIGVPLVKNALAGFNTSILSYGQTGSGKTYTMWGPPSAMVEDHSPASHQGIVPRIFQMLFSEIQREQENSDGRQTNYQCRCSFLEIYNEQIGDLLDPTQRNLEIKDDPKNGLYVENLTEEYVSSYEDVTQVLIKGLSSRKVGATTVNSKSSRSHIVFTFFIESWCKQGASSKCFSSSKTSRISLIDLAGLDRNKLEDVGRQHVQEGKNVKKSLSQLGYLVNTLAKETQPEKPEDVPYGGSCLTRILRESLGGNAKLTVICNISANNRNTSEILSTLRFGQRVKAVRNEPVINEISEDDVNGLSDQIRQLKEELIRAKSDAYNSVGSKSGYFKGWNARESLNQLRISLNRSLILPRIDNEYEEEINIGEEDVKELRQQLDYLDSSCETNLRDPSDKRGSIQSSVKESCETDLLSEDDIHCPKETEIEEIDEVELPPMSSPEPADDLSVTSKTLKAVDPSIRSSISISPHRRSSILEEPTLSESPKIANNLRKSMAVPSALLASQKNVSESSESEVLRQSLRYSDHIRSSLHTSKTFLGPTESLAASLQRGLQIIDQHQHSSVPNRSSVAFSFEHLMLKPSPEADKANASVQTLPNDKPSPDGSSTPVFCSSCQRKFENNHPDEVQDSLKTWIVTVDNQQRDGGNTVEEASIKEKTLESVCKEQAAKIEQLTQLVEKYKLEREISAIEHAPEPLKNEIIPFDEQSNNGENGKEYFDMTEKEALLQEIQTLKSKLQSYTASPSPNKSTEILRSSLLSRSIQLRKSVDCRNNSEEELERERQRWMEMESDWISLTDELRMDLESNRCRAEKVEMELKLEKKCTEELDDALSRAVLGHARMVEHYADLQEKYNDLVTKHQAIMEGIAEIKKAAAKAGSKGHGARFAKSLAAELSALRVEREREKEQLKKENKSLRIQLRDTAEAVHAAGELLVRLREAEQAASIAEENFSNVQQENEKLKKQVDKLKRKHKMEMVTMKQYLAESRLPESALQPLYREDSDVAASHNSSAIPYDDQAWRAEFGAIYQEHY >KJB81674 pep chromosome:Graimondii2_0_v6:13:43158799:43164650:1 gene:B456_013G156400 transcript:KJB81674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-12F [Source:Projected from Arabidopsis thaliana (AT3G20150) UniProtKB/Swiss-Prot;Acc:F4JDI6] MKSTAEAAVAENGRFLGSISTSSLRNLLPKSKLKKSIKKSNSENTPPPDPNIIQINNSAQFSPKSPLSKSLTSDSFVNPSDAHPQIPLSMDSSVKKDMVESEEQNGELTNLDPSVKVVVRIRPINGQEKEVDRTVRKVSSDSLSVGDRKFTFDSVLDANSSQENVFQLIGVPLVKNALAGFNTSILSYGQTGSGKTYTMWGPPSAMVEDHSPASHQGIVPRIFQMLFSEIQREQENSDGRQTNYQCRCSFLEIYNEQIGDLLDPTQRNLEIKDDPKNGLYVENLTEEYVSSYEDVTQVLIKGLSSRKVGATTVNSKSSRSHIVFTFFIESWCKQGASSKCFSSSKTSRISLIDLAGLDRNKLEDVGRQHVQEGKNVKKSLSQLGYLVNTLAKETQPEKPEDVPYGGSCLTRILRESLGGNAKLTVICNISANNRNTSEILSTLRFGQRVKAVRNEPVINEISEDDVNGLSDQIRQLKEELIRAKSDAYNSVGSKSGYFKGWNARESLNQLRISLNRSLILPRIDNEYEEEINIGEEDVKELRQQLDYLDSSCETNLRDPSDKRGSIQSSVKESCETDLLSEDDIHCPKETEIEEIDEVELPPMSSPEPADDLSVTSKTLKAVDPSIRSSISISPHRRSSILEEPTLSESPKIANNLRKSMAVPSALLASQKNVSESSESEVLRQSLRYSDHIRSSLHTSKTFLGPTESLAASLQRGLQIIDQHQHSSVPNRSSVAFSFEHLMLKPSPEADKANASVQTLPNDKPSPDGSSTPVFCSSCQRKFENNHPDEVQDSLKTWIVTVDNQQRDGGNTVCSRLALVQGNIFSFMVCLFHTY >KJB81673 pep chromosome:Graimondii2_0_v6:13:43158631:43164723:1 gene:B456_013G156400 transcript:KJB81673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-12F [Source:Projected from Arabidopsis thaliana (AT3G20150) UniProtKB/Swiss-Prot;Acc:F4JDI6] MKSTAEAAVAENGRFLGSISTSSLRNLLPKSKLKKSIKKSNSENTPPPDPNIIQINNSAQFSPKSPLSKSLTSDSFVNPSDAHPQIPLSMDSSVKKDMVESEEQNGELTNLDPSVKVVVRIRPINGQEKEVDRTVRKVSSDSLSVGDRKFTFDSVLDANSSQENVFQLIGVPLVKNALAGFNTSILSYGQTGSGKTYTMWGPPSAMVEDHSPASHQGIVPRIFQMLFSEIQREQENSDGRQTNYQCRCSFLEIYNEQIGDLLDPTQRNLEVNVCLYLFSHSSSFPEFIASGWCIIGLLDIVFQIKDDPKNGLYVENLTEEYVSSYEDVTQVLIKGLSSRKVGATTVNSKSSRSHIVFTFFIESWCKQGASSKCFSSSKTSRISLIDLAGLDRNKLEDVGRQHVQEGKNVKKSLSQLGYLVNTLAKETQPEKPEDVPYGGSCLTRILRESLGGNAKLTVICNISANNRNTSEILSTLRFGQRVKAVRNEPVINEISEDDVNGLSDQIRQLKEELIRAKSDAYNSVGSKSGYFKGWNARESLNQLRISLNRSLILPRIDNEYEEEINIGEEDVKELRQQLDYLDSSCETNLRDPSDKRGSIQSSVKESCETDLLSEDDIHCPKETEIEEIDEVELPPMSSPEPADDLSVTSKTLKAVDPSIRSSISISPHRRSSILEEPTLSESPKIANNLRKSMAVPSALLASQKNVSESSESEVLRQSLRYSDHIRSSLHTSKTFLGPTESLAASLQRGLQIIDQHQHSSVPNRSSVAFSFEHLMLKPSPEADKANASVQTLPNDKPSPDGSSTPVFCSSCQRKFENNHPDEVQDSLKTWIVTVDNQQRDGGNTVEEASIKEKTLESVCKEQAAKIEQLTQLVEKYKLEREISAIEHAPEPLKNEIIPFDEQSNNGENGKEYFDMTEKEALLQEIQTLKSKLQSYTASPSPNKSTEILRSSLLSRSIQLRKSVDCRNNSEEELERERQRWMEMESDWISLTDELRMDLESNRCRAEKVEMELKLEKKCTEELDDALSRAVLGHARMVEHYADLQEKYNDLVTKHQAIMEGIAEIKKAAAKAGSKGHGARFAKSLAAELSALRVEREREKEQLKKENKSLRIQLRDTAEAVHAAGELLVRLREAEQAASIAEENFSNVQQENEKLKKQVDKLKRKHKMEMVTMKQYLAESRLPESALQPLYREDSDVAASHNSSAIPYDDQAWRAEFGAIYQEHY >KJB83519 pep chromosome:Graimondii2_0_v6:13:56916781:56920130:1 gene:B456_013G251600 transcript:KJB83519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATSLFTPFPPFSPLPKTTSKFSTTFRLRLPSPITTLRHRKHSPFAAFSNLPGGGNNSGGGGGNNDNGKGKGGSGGGDENAGDENRKEAMIVLAEAGRSMETLPKDLAAAIQAGKVPGSVIDRFLGLEKSDLMRWLMQFDGFKERLLADDLFFAKVFIECGVGIFTKTAAEYERRRENFFKELEIVFADVVMAIIADFMLVYLPAPTVSLRPSLAASAGVISKFFHSCPDNAFQVALHGTSYSLLQRLGAVMRNGAKLFAVGTTSSLVGTAATNALINAKKAVDKSSVDEIENVPILSTSVAYGVYMAISSNLRYQVLAGVIEQRMLEPLLHQHKFLLSTICFAIRTGNTYLGSLLWVDYARLVGIQKPQEEENPVLVS >KJB83886 pep chromosome:Graimondii2_0_v6:13:58112409:58114150:1 gene:B456_013G269500 transcript:KJB83886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSVAVSNSPVFSPSSPLFCPSHDALNLKLTHLIPPSSYPPSPSPSSPFMLRLQKPPTGSLLSSSSVSTSPTACMGAGTVETILKRKRPAKLDIPVAKTTTSFGAPKTPCEVRRELESEGDGFSVYCKRGRKEAMEDRFSASVELQGDSKQAFFGVFDGHGGAKAAEFAAQKLRRNILDEVDRKRDKTMIKEAVKQGYLKTDAEFLKQDVAGGTCCVTALIQNGNLIVSNAGDCRAVLSRAGVAEPLTSDHRPSREDEKDRIETWGGYVDLCHGSWRIQGCLAVSRGIGDKHLKQWVIAEPETNIINIESDCEFLILASDGLWDKVSNQEAVDIARPTGLAINKTIDPLVACKKLVQLSVSRGSSDDISVMLIQLRNYI >KJB83887 pep chromosome:Graimondii2_0_v6:13:58112505:58114150:1 gene:B456_013G269500 transcript:KJB83887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSVAVSNSPVFSPSSPLFCPSHDALNLKLTHLIPPSSYPPSPSPSSPFMLRLQKPPTGSLLSSSSVSTSPTACMGAGTVETILKRKRPAKLDIPVAKTTTSFGAPKTPCEVRRELESEGDGFSVYCKRGRKEAMEDRFSASVELQGDSKQAFFGVFDGHGGAKAAEFAAQKLRRNILDEVDRKRDKTMIKEAVKQGYLKTDAEFLKQDVAGGTCCVTALIQNGNLIVSNAGDCRAVLSRAGVAEPLTSDHRPSREDEKDRIETWVSSFSINQMMDPLGTCSEHFD >KJB79018 pep chromosome:Graimondii2_0_v6:13:2238461:2238915:1 gene:B456_013G029500 transcript:KJB79018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYCFLLTLEVCKVFLHVCSTFSILILYFIAGWKSGRSKCLSLAFFFLMLVFRRVLEKLIQTVGNLQMMDLSEAKRTCLRI >KJB80321 pep chromosome:Graimondii2_0_v6:13:14706793:14708247:1 gene:B456_013G091600 transcript:KJB80321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFASNAIASIGLKNSKESSRACSEFSDDDVCSNGSRDEGLECPICWESFNIVENVPYVLWCGHTLCQNCILGLQPAVLKLPTSQFEIPLFVSCPWCHLLSLRLVYKGNLKFPSKNFFLLWMIESLNGDRYGVVRRASPGDNQCNLMLGNQASSGVVRRELYAHGSQQIRSGDNGGSSNVERHHRFSLYKSFYFFVHLTTKFPLVIMLLLIVFFAIPGSVVILLLYLLVTVVFAVPSLLVMYFAFPMLNRLVREIAS >KJB79526 pep chromosome:Graimondii2_0_v6:13:5026918:5033224:1 gene:B456_013G053200 transcript:KJB79526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQYIQVRHAQGIHNVDGDKNYKAYMSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTAVGVFGGDGYTERMDVVPLMVANAGNSGRAAISSLNCPPIVAVELCREHLGVHPCDKRRNISDYQFLFPAVDFSLIESDEDTWWKADVRETKEEVAARGQKFLNWLWTRKEKEIAIVTHSGFLFHTLSALGNDCHPLVKKEICKHFANCELRSMVIVDRSMIGFDPSMTNYPGKTPSGLDLPSDVVDEKAD >KJB79527 pep chromosome:Graimondii2_0_v6:13:5027928:5033224:1 gene:B456_013G053200 transcript:KJB79527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQYIQVRHAQGIHNVDGDKNYKAYMSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTAVGVFGGDGYTERMDVVPLMVANAGNSGRAAISSLNCPPIVAVELCREHLGVHPCDKRRNISDYQFLFPAVDFSLIESDEDTWWKADVRETKEEVAARGQKFLNWLWTRKEKEIAIVTHSGFLFHTLSALGNDCHPLVKKEICKHFANCELRSMVIVDRSMIGFDPSMTNYPGKTPSGLDLPSDVVDEKAD >KJB79520 pep chromosome:Graimondii2_0_v6:13:5026828:5033224:1 gene:B456_013G053200 transcript:KJB79520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSAGPSLFPLHRCKTLHLVRHAQGIHNVDGDKNYKAYMSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTAVGVFGGDGYTERMDVVPLMVANAGNSGRAAISSLNCPPIVAVELCREHLGVHPCDKRRNISDYQFLFPAVDFSLIESDEDTWWKADVRETKEEVAARGQKFLNWLWTRKEKEIAIVTHSGFLFHTLSALGNDCHPLVKKEICKHFANCELRSMVIVDRSMIGFDPSMTNYPGKTPSGLDLPSDVVDEKAD >KJB79521 pep chromosome:Graimondii2_0_v6:13:5026814:5033224:1 gene:B456_013G053200 transcript:KJB79521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGVNCSHCFPRHRPNSPTIVSSSSSLSSIIVCSKIPVRVSQHLQFLSLHPSDMDTSAGPSLFPLHRCKTLHLVRHAQGIHNVDGDKNYKAYMSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTAVGVFGGDGYTERMDVVPLMVANAGNSGRAAISSLNCPPIVAVELCREHLGVHPCDKRRNISDYQFLFPAVDFSLIESDEDTWWKADVRETKEEVAARGQKFLNWLWTRKEKEIAIVTHSGFLFHTLSALGNDCHPLVKKEICKHFANCELRSMVIVDRSMIGFDPSMTNYPGKTPSGLDLPSDVVDEKAD >KJB79525 pep chromosome:Graimondii2_0_v6:13:5028153:5032613:1 gene:B456_013G053200 transcript:KJB79525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQYIQVRHAQGIHNVDGDKNYKAYMSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTAVGVFGGDGYTERMDVVPLMVANAGNSGRAAISSLNCPPIVAVELCREHLGVHPCDKRRNISDYQFLFPAVDFSLIESDEDTWWKADVRETKEEVAARGQKFLNWLWTRKEKEIAIVTHSGFLFHTLSALGNDCHPLVKKEICKHFANCELRSMVIVDRSMIGFDPSMTNYPGKTPSGLDLPSDVVDEKAD >KJB79517 pep chromosome:Graimondii2_0_v6:13:5026821:5033224:1 gene:B456_013G053200 transcript:KJB79517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSAGPSLFPLHRCKTLHLVRHAQGIHNVDGDKNYKAYMSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTAVGVFGGDGYTERMDVVPLMVANAGNSGRAAISSLNCPPIVAVELCREHLGVHPCDKRRNISDYQFLFPAVDFSLIESDEDTWWKADVRETKEEVAARGQKFLNWLWTRKEKEIAIVTHSGFLFHTLSALGNDCHPLVKKEICKHFANCELRSMVIVDRSMIGFDPSMTNYPGKTPSGLDLPSDVVDEKAD >KJB79522 pep chromosome:Graimondii2_0_v6:13:5026836:5030934:1 gene:B456_013G053200 transcript:KJB79522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGVNCSHCFPRHRPNSPTIVSSSSSLSSIIVCSKIPVRVSQHLQFLSLHPSDMDTSAGPSLFPLHRCKTLHLVRHAQGIHNVDGDKNYKAYMSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTAVGVFGGDGYTERMDVVPLMVANAGNSGRAAISSLNCPPIVAVELCREHLGVHPCDKRRNISDYQFLFPAVDFSLANRK >KJB79518 pep chromosome:Graimondii2_0_v6:13:5026877:5033224:1 gene:B456_013G053200 transcript:KJB79518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQYIQVRHAQGIHNVDGDKNYKAYMSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTAVGVFGGDGYTERMDVVPLMVANAGNSGRAAISSLNCPPIVAVELCREHLGVHPCDKRRNISDYQFLFPAVDFSLIESDEDTWWKADVRETKEEVAARGQKFLNWLWTRKEKEIAIVTHSGFLFHTLSALGNDCHPLVKKEICKHFANCELRSMVIVDRSMIGFDPSMTNYPGKTPSGLDLPSDVVDEKAD >KJB79529 pep chromosome:Graimondii2_0_v6:13:5026836:5033205:1 gene:B456_013G053200 transcript:KJB79529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTAVGVFGGDGYTERMDVVPLMVANAGNSGRAAISSLNCPPIVAVELCREHLGVHPCDKRRNISDYQFLFPAVDFSLIESDEDTWWKADVRETKEEVAARGQKFLNWLWTRKEKEIAIVTHSGFLFHTLSALGNDCHPLVKKEICKHFANCELRSMVIVDRSMIGFDPSMTNYPGKTPSGLDLPSDVVDEKAD >KJB79519 pep chromosome:Graimondii2_0_v6:13:5026855:5033224:1 gene:B456_013G053200 transcript:KJB79519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQYIQVRHAQGIHNVDGDKNYKAYMSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTAVGVFGGDGYTERMDVVPLMVANAGNSGRAAISSLNCPPIVAVELCREHLGVHPCDKRRNISDYQFLFPAVDFSLIESDEDTWWKADVRETKEEVAARGQKFLNWLWTRKEKEIAIVTHSGFLFHTLSALGNDCHPLVKKEICKHFANCELRSMVIVDRSMIGFDPSMTNYPGKTPSGLDLPSDVVDEKAD >KJB79530 pep chromosome:Graimondii2_0_v6:13:5028153:5032613:1 gene:B456_013G053200 transcript:KJB79530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQYIQVRHAQGIHNVDGDKNYKAYMSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTAVGVFGGDGYTERMDVVPLMVANAGNSGRAAISSLNCPPIVAVELCREHLGVHPCDKRRNISDYQFLFPAVDFSLIESDEDTWWKADVRETKEEVAARGQKFLNWLWTRKEKEIAIVTHSGFLFHTLSALGNDCHPLVKKEICKHFANCELRSMVIVDRSMIGFDPSMTNYPGKTPSGLDLPSDVVDEKAD >KJB79516 pep chromosome:Graimondii2_0_v6:13:5026771:5033224:1 gene:B456_013G053200 transcript:KJB79516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGVNCSHCFPRHRPNSPTIVSSSSSLSSIIVCNMDTSAGPSLFPLHRCKTLHLVRHAQGIHNVDGDKNYKAYMSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTAVGVFGGDGYTERMDVVPLMVANAGNSGRAAISSLNCPPIVAVELCREHLGVHPCDKRRNISDYQFLFPAVDFSLIESDEDTWWKADVRETKEEVAARGQKFLNWLWTRKEKEIAIVTHSGFLFHTLSALGNDCHPLVKKEICKHFANCELRSMVIVDRSMIGFDPSMTNYPGKTPSGLDLPSDVVDEKAD >KJB79523 pep chromosome:Graimondii2_0_v6:13:5026836:5031914:1 gene:B456_013G053200 transcript:KJB79523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGVNCSHCFPRHRPNSPTIVSSSSSLSSIIVCSKIPVRVSQHLQFLSLHPSDMDTSAGPSLFPLHRCKTLHLVRHAQGIHNVDGDKNYKAYMSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTAVGVFGGDGYTERMDVVPLMVANAGNSGRAAISSLNCPPIVAVELCREHLGVHPCDKRRNISDYQFLFPAVDFSLIESDEDTWWKADVRETKEEVAARGQKFLNWLWTRKEKEIAIVTHSGFLFHTLSALGNDCHPLVKKEICKQ >KJB79528 pep chromosome:Graimondii2_0_v6:13:5026814:5033224:1 gene:B456_013G053200 transcript:KJB79528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSAGPSLFPLHRCKTLHLVRHAQGIHNVDGDKNYKAYMSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTAVGVFGGDGYTERMDVVPLMVANAGNSGRAAISSLNCPPIVAVELCREHLGVHPCDKRRNISDYQFLFPAVDFSLIESDEDTWWKADVRETKEEVAARGQKFLNWLWTRKEKEIAIVTHSGFLFHTLSALGNDCHPLVKKEICKHFANCELRSMVIVDRSMIGFDPSMTNYPGKTPSGLDLPSDVVDEKAD >KJB79524 pep chromosome:Graimondii2_0_v6:13:5026771:5033224:1 gene:B456_013G053200 transcript:KJB79524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGVNCSHCFPRHRPNSPTIVSSSSSLSSIIVCSKIPVRVSQHLQFLSLHPSDMDTSAGPSLFPLHRCKTLHLVRHAQGIHNVDGDKNYKAYMSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTAVGVFGGDGYTERMDVVPLMVANAGNSGRAAISSLNCPPIVAVELCREHLGVHPCDKRRNISDYQFLFPAVDFSLIESDEDTWWKADVRETKEEVAARGQKFLNWLWTRKEKEIAIVTHSGFLFHTLSALGNDCHPLVKKEICKHFANCELRSMVIVDRSMIGFDPSMTNYPGKTPSGLDLPSDVVDEKAD >KJB79610 pep chromosome:Graimondii2_0_v6:13:5979822:5980547:-1 gene:B456_013G058300 transcript:KJB79610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNCFALAFLMALSFASIDIGVATRHLLQQPQTQSLPSFPNLPTPSRPSFPWPGALPPLPTTLPTGLPPLPSIPSVSTIPTAIPPIPFSSPPLPSFTNLPNPGVLPPLPTTFPRGLAPLPSIPLVPTIPTAVPSIPFFSPPPSPSTR >KJB78805 pep chromosome:Graimondii2_0_v6:13:1379894:1382033:-1 gene:B456_013G019900 transcript:KJB78805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNSKLFTFGLVTSWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSKVQFLKISALSLVFCVSVVFGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTLKREAWLTYITLIPVVTGVIIASGGEPSFHLFGFIMCISATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLFMEENVVGITLALARDDVKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQV >KJB78803 pep chromosome:Graimondii2_0_v6:13:1379423:1382131:-1 gene:B456_013G019900 transcript:KJB78803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNSKLFTFGLVTSWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSKVQFLKISALSLVFCVSVVFGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTLKREAWLTYITLIPVVTGVIIASGGEPSFHLFGFIMCISATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLFMEENVVGITLALARDDVKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVFGVILYSEAKKRSK >KJB78804 pep chromosome:Graimondii2_0_v6:13:1380482:1381700:-1 gene:B456_013G019900 transcript:KJB78804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNSKLFTFGLVTSWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSKVQFLKISALSLVFCVSVVFGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTLKREAWLTYITLIPVVTGVIIASGGEPSFHLFGFIMCISATAARALKSVLQGILLSSEG >KJB80232 pep chromosome:Graimondii2_0_v6:13:13400774:13403739:1 gene:B456_013G087700 transcript:KJB80232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVWIAVLIVSWAYWISIVEPSCCIAVTENEHKLKIYTVRFTTERATRNSYLMFMKDLYNALTERADRSGDMPILPPRSAQPTDPRQYVLVELSNGYQTVTLALHVSNVYILGYRASAGSYFFSDVPDDVRNALFPGSTGLPFTGRYGALEGAAGVDDRREIPLGMDELRQHIDNLNYINPNNNHAPIARALIVCIQMVSEAARMRNIQQQILAVAEPHADGTYGTFNPDGLMMEYETSWEDISSAIQSATDGIFARAVRLVYDAQELVLSTLRQVIFIIALMPMECNPRANLQLLRMPTSTSSLRSSGLVDNSDTCERVLAPTSHITGQNGFCVDVYQGSYHDGNKVILWECGQNQANQLWTLTSNDNTIRSGGKCLTTYGYSFKNYVMIYDCETAVPDATKWEIRSDETIRNPRSGLVLTGSRDSSGMINLVVDHKYDGSRQTFYASNNTKPAVTTIVGYKDMCLLASGSRVWLEDCVSNDAEQQWAIYPDGTIRPQKNRNGCLKYANDYSGLVNVATCDGFVEERWVFRSDGTILHKMTEMVMDVLDPTATLLEVSANHYNNQQFSQIWFQVQP >KJB80231 pep chromosome:Graimondii2_0_v6:13:13400540:13403739:1 gene:B456_013G087700 transcript:KJB80231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMKDLYNALTERADRSGDMPILPPRSAQPTDPRQYVLVELSNGYQTVTLALHVSNVYILGYRASAGSYFFSDVPDDVRNALFPGSTGLPFTGRYGALEGAAGVDDRREIPLGMDELRQHIDNLNYINPNNNHAPIARALIVCIQMVSEAARMRNIQQQILAVAEPHADGTYGTFNPDGLMMEYETSWEDISSAIQSATDGIFARAVRLVYDAQELVLSTLRQVIFIIALMPMECNPRANLQLLRMPTSTSSLRSSGLVDNSDTCERVLAPTSHITGQNGFCVDVYQGSYHDGNKVILWECGQNQANQLWTLTSNDNTIRSGGKCLTTYGYSFKNYVMIYDCETAVPDATKWEIRSDETIRNPRSGLVLTGSRDSSGMINLVVDHKYDGSRQTFYASNNTKPAVTTIVGYKDMCLLASGSRVWLEDCVSNDAEQQWAIYPDGTIRPQKNRNGCLKYANDYSGLVNVATCDGFVEERWVFRSDGTILHKMTEMVMDVLDPTATLLEVSANHYNNQQFSQIWFQVQP >KJB81213 pep chromosome:Graimondii2_0_v6:13:35059537:35064016:1 gene:B456_013G133200 transcript:KJB81213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLLTLRPPFISSCYFPPPPSNSFIYSCFRPNKRFHLFKTCSSLRQSKKQRSLQKTPSNAPQSLRWFLNPKGDDDEKLKGDGDGDGNNGEMEGDTALKGTLLAGVLLVGVVGGFATVGYVYKDQINAFLNQFSTFIEGYGPAGYALFVAVYAGLEVLAIPAIPLTMSAGLLFGSIIGTILVSISGTVAASIAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFRVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGRI >KJB81211 pep chromosome:Graimondii2_0_v6:13:35059502:35064158:1 gene:B456_013G133200 transcript:KJB81211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLLTLRPPFISSCYFPPPPSNSFIYSCFRPNKRFHLFKTCSSLRQSKKQRSLQKTPSNAPQSLRWFLNPKGDDDEKLKGDGDGDGNNGEMEGDTALKGTLLAGVLLVGVVGGFATVGYVYKDQINAFLNQFSTFIEGYGPAGYALFVAVYAGLEVLAIPAIPLTMSAGLLFGSIIGTILVSISGTVAASIAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFRVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGTWAYVSAGAFGRAIIQEESDFGFGGGNGILTLGLGLLVTAIAAAYVTQLAKDAVKDIE >KJB81212 pep chromosome:Graimondii2_0_v6:13:35059537:35061974:1 gene:B456_013G133200 transcript:KJB81212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLLTLRPPFISSCYFPPPPSNSFIYSCFRPNKRFHLFKTCSSLRQSKKQRSLQKTPSNAPQSLRWFLNPKGDDDEKLKGDGDGDGNNGEMEGDTALKGTLLAGVLLVGVVGGFATVGYVYKDQINAFLNQFSTFIEGYGPAGYALFVAVYAGLEVLAIPAIPLTMSAGLLFGSIIGTILVSISGTVAASIAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFRVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGRFA >KJB81619 pep chromosome:Graimondii2_0_v6:13:41705340:41712566:-1 gene:B456_013G152600 transcript:KJB81619 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAEKLSFIEDVGQVGMTEFFDSAHVLQDKIEQLAKLIEKSRHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKPLPEASLPFHRAMPSMTHMALVGLEKAGILKFVISQNVDGLHLRSGIPREKLAELHGNSFMETCPSCGAEYYRDFELETIGLKETSRRCSDEKCGAKLRDTVLDWEDALPPKEMNLAEKHCRMGDLVLCLGTSLQITPACNLPLKSLRGGGKIVIVNLQKTPKDKKASLVIHGFVDKVIAGVMELLNMKIPPYIRIDLFQTILSQSLSSDKKFVNWTIRIASVHGLSAPLPFIKSVEVKLDFCISLLMFLSRTIKITKKLL >KJB81618 pep chromosome:Graimondii2_0_v6:13:41705235:41712684:-1 gene:B456_013G152600 transcript:KJB81618 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAEKLSFIEDVGQVGMTEFFDSAHVLQDKIEQLAKLIEKSRHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKPLPEASLPFHRAMPSMTHMALVGLEKAGILKFVISQNVDGLHLRSGIPREKLAELHGNSFMETCPSCGAEYYRDFELETIGLKETSRRCSDEKCGAKLRDTVLDWEDALPPKEMNLAEKHCRMGDLVLCLGTSLQITPACNLPLKSLRGGGKIVIVNLQKTPKDKKASLVIHGFVDKVIAGVMELLNMKIPPYIRIDLFQTILSQSLSSDKKFVNWTIRIASVHGLSAPLPFIKSVEVSFSNNQNYKEATLDTQPFLLKRRTVLTETFEIFLNLKFSDGCGCLNTRIEIPFNFQDSIEGFKLDKNATLEKMRDAAIEDSKCGQNAVVERKTVLVPKSEATVHAIVTNVKTFDYQLSNGDMKPIKEGLNGLKTTRKQRSKGRKRKTRL >KJB81621 pep chromosome:Graimondii2_0_v6:13:41706576:41712566:-1 gene:B456_013G152600 transcript:KJB81621 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAEKLSFIEDVGQVGMTEFFDSAHVLQDKIEQLAKLIEKSRHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKPLPEASLPFHRAMPSMTHMALVGLEKAGILKFVISQNVDGLHLRSGIPREKLAELHGNSFMETCPSCGAEYYRDFELETIGLKETSRRCSDEKCGAKLRDTVLDWEDALPPKEMNLAEKHCRMGDLVLCLGTSLQITPACNLPLKSLRGGGKIVIVNLQKTPKDKKASLVIHGFVDKVS >KJB81620 pep chromosome:Graimondii2_0_v6:13:41706888:41712418:-1 gene:B456_013G152600 transcript:KJB81620 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAEKLSFIEDVGQVGMTEFFDSAHVLQDKIEQLAKLIEKSRHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKPLPEASLPFHRAMPSMTHMALVGLEKAGILKFVISQNVDGLHLRSGIPREKLAELHGNSFMETCPSCGAEYYRDFELETIGLKETSRRCSDEKCGAKLRDTVLDWEDALPPKEMNLAEKHCRMGDLVLCLGTSLQITPACNLPLKSLRGGGKIVIVNLQKTPKDKKASLVIHGFVDKVIAGVMELLNMKIPPYIRIDLFQTILSQSLSSDKKFVNWTIRIASVHGLSAPLPFIKSVEVKLDFCISLLMFVFFGSICSHRLSIIC >KJB82384 pep chromosome:Graimondii2_0_v6:13:49441085:49447009:1 gene:B456_013G193300 transcript:KJB82384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYRHGRDALCGKKYDKTCKVGWKRLNRREVEENATVLEGTCKVLASCSFEQNTQWGNEVCYTLLFVHMSHNLCPNSFLESNIYVQMGLRYGCPAEDIITGLSIQCRGWKSIYLNPERESFLGIAPTSLLTMLVQHKRWAEGHFQIFLSRYCSLLYGHNRIPLKLQLAYCAGNLWAANSLPTLYYVVVPCFCLLKDIPLFPKVSSPWVLPFAYVAIAHRAYSLGEFLWCGGTFQAWCNDQRMWLFKRTTSYFFALCDTILKLLGYSNPTFVITAKVADEDVLRRYEQELMEFGDSSPMFVVLASLAMLNLFSSFGAIKKLVFNADHSEVWDRFGLQILLCFLLVALNWPVYKAVFFRKDNGGMPAPVTYKSITFAFCARTFQSARTHCGSKNNVAIDDARMKIFDIFGFQILLCYVLVFTNLPIYQGMFFRKDSGVIGSPRKVVVFNKRFDFIKTTILVHEIQKTGSGVDYVRRRISTLVTPKIGT >KJB82478 pep chromosome:Graimondii2_0_v6:13:50484519:50490347:1 gene:B456_013G197800 transcript:KJB82478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MIGEAEEGVTLEHTPTWIVAAICTVIVAISLAMERLLHVIGNVLKRKQQKPLFEALLKVKEELMLLGFISLLLTVFQNAISKICVSSDVLTGMLPCKREDNKETGEGSNHTTSHFQKYFALTLSGGTRHLLAETPSTGYCAKKGKVPLLSVEALHQLHIFIFVLAIVHVTFCVLTVSFGGLKIREWKRWEDSIAKQNYDSEQVLKKKVTHVQQHAFIQEHFQGLGKNSILLGWVHSFFKQFYASVTKSDYVTLRLGFIMTHCRGNPKFNFHRYMIRALEDDFKQVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFIPFILLLSVGTKLEHVITQLAHEVAEKHVAIEGELVVQPSDDHFWFNRPRIVLFLIHFILFQNAFEIAFFFWIWVQFGFDSCIMGQVRYIVPRLVIGVFIQVLCSYSTLPLYAIVTQMGSSFKKSIFDEHVQVGLVGWAQKVKKKKGVKAATGSAAQENSEPNPSVAIQMGRVLRNASAPEEIHPSQGS >KJB82477 pep chromosome:Graimondii2_0_v6:13:50484519:50490351:1 gene:B456_013G197800 transcript:KJB82477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MIGEAEEGVTLEHTPTWIVAAICTVIVAISLAMERLLHVIGNVLKRKQQKPLFEALLKVKEELMLLGFISLLLTVFQNAISKICVSSDVLTGMLPCKREDNKETGEGSNHTTSHFQKYFALTLSGGTRHLLAETPSTGYCAKKGKVPLLSVEALHQLHIFIFVLAIVHVTFCVLTVSFGGLKIREWKRWEDSIAKQNYDSEQVLKKKVTHVQQHAFIQEHFQGLGKNSILLGWVHSFFKQFYASVTKSDYVTLRLGFIMTHCRGNPKFNFHRYMIRALEDDFKQVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFIPFILLLSVGTKLEHVITQLAHEVAEKHVAIEGELVVQPSDDHFWFNRPRIVLFLIHFILFQNAFEIAFFFWIWVQFGFDSCIMGQVRYIVPRLVIGVFIQVLCSYSTLPLYAIVTQMGSSFKKSIFDEHVQVGLVGWAQKVKKKKGVKAATGSAAQENSEPNPSVAIQMGRVLRNASAPEEIHPSQGS >KJB82481 pep chromosome:Graimondii2_0_v6:13:50484444:50490351:1 gene:B456_013G197800 transcript:KJB82481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MIGEAEEGVTLEHTPTWIVAAICTVIVAISLAMERLLHVIGNVLKRKQQKPLFEALLKVKEELMLLGFISLLLTVFQNAISKICVSSDVLTGMLPCKREDNKETGEGSNHTTSHFQKYFALTLSGGTRHLLAETPSTGYCAKKGKVPLLSVEALHQLHIFIFVLAIVHVTFCVLTVSFGGLKIREWKRWEDSIAKQNYDSEQVLKKKVTHVQQHAFIQEHFQGLGKNSILLGWVHSFFKQFYASVTKSDYVTLRLGFIMTHCRGNPKFNFHRYMIRALEDDFKQVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFIPFILLLSVGTKLEHVITQLAHEVAEKHVAIEGELVVQPSDDHFWFNRPRIVLFLIHFILFQNAFEIAFFFWIWVQFGFDSCIMGQVRYIVPRLVIGVFIQVLCSYSTLPLYAIVTQMGSSFKKSIFDEHVQVGLVGWAQKVKKKKGVKAATGSAAQENSEPNPSVAIQMGRVLRNASAPEEIHPSQGS >KJB82480 pep chromosome:Graimondii2_0_v6:13:50484519:50490351:1 gene:B456_013G197800 transcript:KJB82480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MIGEAEEGVTLEHTPTWIVAAICTVIVAISLAMERLLHVIGNVLKRKQQKPLFEALLKVKEELMLLGFISLLLTVFQNAISKICVSSDVLTGMLPCKREDNKETGEGSNHTTSHFQKYFALTLSGGTRHLLAETPSTGYCAKKGKVPLLSVEALHQLHIFIFVLAIVHVTFCVLTVSFGGLKIREWKRWEDSIAKQNYDSEQVLKKKVTHVQQHAFIQEHFQGLGKNSILLGWVHSFFKQFYASVTKSDYVTLRLGFIMTHCRGNPKFNFHRYMIRALEDDFKQVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFIPFILLLSVGTKLEHVITQLAHEVAEKHVAIEGELVVQPSDDHFWFNRPRIVLFLIHFILFQNAFEIAFFFWIWVQFGFDSCIMGQVRYIVPRLVIGVFIQVLCSYSTLPLYAIVTQMGSSFKKSIFDEHVQVGLVGWAQKVKKKKGVKAATGSAAQENSEPNPSVAIQMGRVLRNASAPEEIHPSQGS >KJB82479 pep chromosome:Graimondii2_0_v6:13:50484490:50490351:1 gene:B456_013G197800 transcript:KJB82479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MIGEAEEGVTLEHTPTWIVAAICTVIVAISLAMERLLHVIGNVLKRKQQKPLFEALLKVKEELMLLGFISLLLTVFQNAISKICVSSDVLTGMLPCKREDNKETGEGSNHTTSHFQKYFALTLSGGTRHLLAETPSTGYCAKKGKVPLLSVEALHQLHIFIFVLAIVHVTFCVLTVSFGGLKIREWKRWEDSIAKQNYDSEQVLKKKVTHVQQHAFIQEHFQGLGKNSILLGWVHSFFKQFYASVTKSDYVTLRLGFIMTHCRGNPKFNFHRYMIRALEDDFKQVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFIPFILLLSVGTKLEHVITQLAHEVAEKHVAIEGELVVQPSDDHFWFNRPRIVLFLIHFILFQNAFEIAFFFWIWVQFGFDSCIMGQVRYIVPRLVIGVFIQVLCSYSTLPLYAIVTQMGSSFKKSIFDEHVQVGLVGWAQKVKKKKGVKAATGSAAQENSEPNPSVAIQMGRVLRNASAPEEIHPSQGS >KJB79351 pep chromosome:Graimondii2_0_v6:13:3956576:3963934:-1 gene:B456_013G045200 transcript:KJB79351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQGGTIDSFPETVNIDEGSGPNDTSIGQPNSLHNMLNPVETRLSNYAMSSSGMMHGSTVTPDVQSVSGWSSGEPSSRLRIQNQVMHDVLNHHLNDDGTKIERGWPSYGAHVGAAPRSEERRIEPANVIFPGRLNNGRSGNQVRSGPIFLQGSSSNHSPHNVNLNEGFISSSGNSRSSVGTGIGLNLHNSVGLEREQISNASVSSDNVGSSSGSSNYMGEENNDGSGTSLGSWGLSCKRKVLEGTSGQSYSAGTSSCFQQIENAAWHADPARNDASSSLSLSTPSWNLLNVSPPDQPNPRVGLGMRGVISDAFPSTLRRANPGNQQGPLPHSLSSTGVAGHSSFGSPGRSRAALFGDSLDLRSTAAIAGNSSSASTQPHMRTTSVVPRNVNPFPWNGTSGLRAANPSSSTNFGERAAALREEPNIRNIPRINAENTMFVPATEMRNLAQDPTGWNLASGNISTSGDFSSTSRPGPSSSIHPLPTPAWIPPQNPPIHNQQRLSEFAPWSLFPPIDSEPGGRSGHFPPLSSGPSASSQETMVPSGSNIQGNNQPYPRSAFILERQDDDVLGMPHSLRALAADIEGRHRLISEIRQVLNAMRRGENLRIEDYMVFDPFIYHGMAETHDRHRDMRLDVDNMSYEELLALEERIGDVSTGLNEETILKLLKQQKYSSTTTESTQELEPCCICQEEYADGDETGTLDCGHDFHTNCIKQWLMLKNLCPICKTTGLLK >KJB79350 pep chromosome:Graimondii2_0_v6:13:3956576:3962554:-1 gene:B456_013G045200 transcript:KJB79350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQGGTIDSFPETVNIDEGSGPNDTSIGQPNSLHNMLNPVETRLSNYAMSSSGMMHGSTVTPDVQSVSGWSSGEPSSRLRIQNQVMHDVLNHHLNDDGTKIERGWPSYGAHVGAAPRSEERRIEPANVIFPGRLNNGRSGNQVRSGPIFLQGSSSNHSPHNVNLNEGFISSSGNSRSSVGTGIGLNLHNSVGLEREQISNASVSSDNVGSSSGSSNYMGEENNDGSGTSLGSWGLSCKRKVLEGTSGQSYSAGTSSCFQQIENAAWHADPARNDASSSLSLSTPSWNLLNVSPPDQPNPRVGLGMRGVISDAFPSTLRRANPGNQQGPLPHSLSSTGVAGHSSFGSPGRSRAALFGDSLDLRSTAAIAGNSSSASTQPHMRTTSVVPRNVNPFPWNGTSGLRAANPSSSTNFGERAAALREEPNIRNIPRINAENTMFVPATEMRNLAQDPTGWNLASGNISTSGDFSSTSRPGPSSSIHPLPTPAWIPPQNPPIHNQQRLSEFAPWSLFPPIDSEPGGRSGHFPPLSSGPSASSQETMVPSGSNIQGNNQPYPRSAFILERQDDDVLGMPHSLRALAADIEGRHRLISEIRQVLNAMRRGENLRIEDYMVFDPFIYHGMAETHDRHRDMRLDVDNMSYEELLALEERIGDVSTGLNEETILKLLKQQKYSSTTTESTQELEPCCICQEEYADGDETGTLDCGHDFHTNCIKQWLMLKNLCPICKTTGLLK >KJB79791 pep chromosome:Graimondii2_0_v6:13:7625697:7627608:1 gene:B456_013G067000 transcript:KJB79791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTSSATPPTPSHPTTHHVLQALLDSSRPFLRGELQYVDKNLPNLVAVLRSVGAGECWHKHGSFLEHLVHIYRILKIWKAQDSVCLCGLFHSAYSNSYVNLAIFDPSTGRDVVRGHVGDAAERLIHLFCVVPRQPLIHDDLLFKYTDSEIVEHLAASEVSLKNAKEKGVFNEDEAWRNKIGGLVPENGLTVKHIKTGEDVLVSRRVLAIFLMMTMADFSDQLYGFQDVLFENFDGRLEFVGNNNVALWPGNGKPGLWLNSISRMGAIYSLILREEEIFVEQRKRVSGIEVETDRDEDIELVVPPVFEHCSKVLGAKEQIEARDLYWEAVCDDSKGGQERAEELLLGSIEKNPFVGEPHVVLAQVYLTKGRFEEAEKEAEKGLILMLQWSSPWDKRMSWEGWIAWGRVLLMKAKEQSWPQTSWGVLNLGLVK >KJB79336 pep chromosome:Graimondii2_0_v6:13:4139932:4143183:-1 gene:B456_013G046800 transcript:KJB79336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ADP-ribosylation factor At2g15310 [Source:Projected from Arabidopsis thaliana (AT2G15310) UniProtKB/Swiss-Prot;Acc:Q9SHU5] MGASIAKIAKKLGFLPQCRIRIVMVGLDGSGKTTILYKLKLGDLLKTKPTIGFNVETIQYKSICFDVWDIGGESKKELENAAVLVLGNKQDLSDAMSSSEMADKLGLHSLGQRPWYIQKTSACSGYGLYEGLHWLSNNTSNLADSCSYNHFPNPFSRPHKIDLTLIEIENVILL >KJB79335 pep chromosome:Graimondii2_0_v6:13:4140077:4143022:-1 gene:B456_013G046800 transcript:KJB79335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ADP-ribosylation factor At2g15310 [Source:Projected from Arabidopsis thaliana (AT2G15310) UniProtKB/Swiss-Prot;Acc:Q9SHU5] MGASIAKIAKKLGFLPQCRIRIVMVGLDGSGKTTILYKLKLGDLLKTKPTIGFNVETIQYKSICFDVWDIGGESKIRPLWKHYFLNVQAIVFVVDSSDRERIPEARKELHWVLADKELENAAVLVLGNKQDLSDAMSSSEMADKLGLHSLGQRPWYIQKTSACSGYGLYEGLHWLSNNTSNLADSCSYNHFPNPFSRPHKIDLTLIEIENVILL >KJB81709 pep chromosome:Graimondii2_0_v6:13:44642787:44644001:1 gene:B456_013G1648001 transcript:KJB81709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FNHRREDDCSAIECYMKQYGVTAQEAYNEFNKHIESSWKDVNEEFLKPTEMPTPVLCRSLNLARVMDVLYREGDGYTHVGKAAKVFDTSTSLTRK >KJB81707 pep chromosome:Graimondii2_0_v6:13:44642787:44643137:1 gene:B456_013G1648001 transcript:KJB81707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FNHRREDDCSAIECYMKQYGVTAQEAYNEFNKHIESSWKDVNEEFLKPTEMPTPVLCRSLNLARVMDVLYREGDGYTHVGKAAKGGITSLLIDPIQI >KJB81708 pep chromosome:Graimondii2_0_v6:13:44642836:44643129:1 gene:B456_013G1648001 transcript:KJB81708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FNHRREDDCSAIECYMKQYGVTAQEAYNEFNKHIESSWKDVNEEFLKPTEMPTPVLCRSLNLARVMDVLYREGDGYTHVGKAAKGGITSLLIDPIQI >KJB83882 pep chromosome:Graimondii2_0_v6:13:58089551:58092722:1 gene:B456_013G269200 transcript:KJB83882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSFSAALSRLSLFHLSRKPCISPSSLPFSYISKPHKPKDRTHFRLYSMASSDLKESAANNPGLHTTPDEATKDYIMQQTMFRIKDPKASLDFYSRVLGMSLLKRVDVPELKFTLYFMGYEDVSKAPSDPYGRTVWTFGRAATIELTHNWGTESDPEFKGYHTGNSEPRGFGNKDGL >KJB83880 pep chromosome:Graimondii2_0_v6:13:58089495:58092735:1 gene:B456_013G269200 transcript:KJB83880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSFSAALSRLSLFHLSRKPCISPSSLPFSYISKPHKPKDRTHFRLYSMASSDLKESAANNPGLHTTPDEATKDYIMQQTMFRIKDPKASLDFYSRVLGMSLLKRVDVPELKFTLYFMGYEDVSKAPSDPYGRTVWTFGRAATIELTHNWGTESDPEFKGYHTGNSEPRGFGHIGITVDDVNKACERFERLGVEFVKKLDAGKMKGIAFIKDPDGYWIEIFDLKTIGDVISRCS >KJB83881 pep chromosome:Graimondii2_0_v6:13:58089551:58092250:1 gene:B456_013G269200 transcript:KJB83881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDLKESAANNPGLHTTPDEATKDYIMQQTMFRIKDPKASLDFYSRVLGMSLLKRVDVPELKFTLYFMGYEDVSKAPSDPYGRTVWTFGRAATIELTHNWGTESDPEFKGYHTGNSEPRGFGHIGITVDDVNKACERFERLGVEFVKKLDAGKMKGIAFIKDPDGYWIEIFDLKTIGDVISRCS >KJB83879 pep chromosome:Graimondii2_0_v6:13:58089551:58092722:1 gene:B456_013G269200 transcript:KJB83879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSFSAALSRLSLFHLSRKPCISPSSLPFSYISKPHKPKDRTHFRLYSMASSDLKESAANNPGLHTTPDEATKDYIMQQTMFRIKDPKASLDFYSRVLGMSLLKRVDVPELKFTLYFMGYEDVSKAPSDPYGRTVWTFGRAATIELTHNWGTESDPEFKGYHTGNSEPRGFGHIGITVDDVNKACERFERLGVEFVKKLDAGKMKGIAFIKDPDGYWIEIFDLKTIGDVISRCS >KJB82199 pep chromosome:Graimondii2_0_v6:13:47552944:47554873:1 gene:B456_013G180900 transcript:KJB82199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSIIPMELFPFPSLLILLSSFLFLSVVVKIVRKMKIIDSNKKLPPGPWKLPFIGNLHQLLGSLPHRILRDLANQHGPLMHLQLGEISTIIVSSPEIAKDVLITHGRIFADRPYSIAGNVISYDSRDIVMAPYGNYWRQVRKICTVELLTAKRVQSFESIRQEEVLGLVKYISSNQGSPINLTKKIFSLTYGITSRAAFGKICKDKDSYSVVVEEIIKLASGFSLADLYPSFRVLELISGLRQKAEAQLQKSDEILQSIINEHRANLESGRIGEGEAKDDLVTVLLKTQQLADLEFPLTDKEIKAIIWNIFGAGGETSSTSVDWAMSEMVRNPKVLKKAQKEVRQVCHGKRDVAEASLRELKYLALVIKETMRLHPPFPLLLPRESRENCEINGYQVPSRTKLIINAWAMGRDPRYWSEAETFYPERFLNSSIDFKGTDLEYIPFGAGRRICPGISFALPNIELPLAKLLFHFDWELPSGLSHEDLDMTETFGVTARRKDDLILIPTSHANFSVK >KJB79607 pep chromosome:Graimondii2_0_v6:13:5897558:5898243:-1 gene:B456_013G058000 transcript:KJB79607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNCFALAFLIALSFASIDVGVAARHLLQQPRTQSLPSFPNLPTPSRPSFSWPGALPPLPTTFPTGLPPLPSIPSVPTIPTAIPPIPFSFPPLPSIPNLPNPGALPPLPTTLPRGLPPLPSIPSIPTAVPSIPSFSPPPSRSTP >KJB82935 pep chromosome:Graimondii2_0_v6:13:54174451:54175820:1 gene:B456_013G221800 transcript:KJB82935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKAISILFITIFFSSTLGLNISSTNSLSPNLTSIQSICQSTPYPDSCFDSLKLSISINISPDILTYLLQTLHTALSEATKLTNLFSNGGNSNDIVEKQRGTLQDCKELHETTLSILEKTVSSIKDGDSKKLADARVYLSAALTNKNTCLEGLDSALGPLKPVMVKSLIGTYKHVSNSLSMLPKLGKRHRNRRLSCRVLRGVDDEYNPREVVTVAVDGTGNFSTIGDAIRFAPNKSKERVMIYVREGVYEENVVIPSCKTNIVLIGDGSEVTFITGNRSVVDGWTTFRSATLAVSGEGFLARDITIQNRAGPEKHQAVALRVSADLAAFYRCTFNGYQDTLYVHSFRQFYRECNISGTIDYIFGNAAAVFQACNIISRMPMPGQFTVITAQS >KJB82934 pep chromosome:Graimondii2_0_v6:13:54174451:54176280:1 gene:B456_013G221800 transcript:KJB82934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKAISILFITIFFSSTLGLNISSTNSLSPNLTSIQSICQSTPYPDSCFDSLKLSISINISPDILTYLLQTLHTALSEATKLTNLFSNGGNSNDIVEKQRGTLQDCKELHETTLSILEKTVSSIKDGDSKKLADARVYLSAALTNKNTCLEGLDSALGPLKPVMVKSLIGTYKHVSNSLSMLPKLGKRHRNRRLSCRVLRGVDDEYNPREVVTVAVDGTGNFSTIGDAIRFAPNKSKERVMIYVREGVYEENVVIPSCKTNIVLIGDGSEVTFITGNRSVVDGWTTFRSATLAVSGEGFLARDITIQNRAGPEKHQAVALRVSADLAAFYRCTFNGYQDTLYVHSFRQFYRECNISGTIDYIFGNAAAVFQACNIISRMPMPGQFTYTGISIQNCSILATNELYRNSNNVKSYLGRPWRLYSTAVFLKSYIDDFIDPNGWRKWSSDEGLDTLYYGEYNNYGQSRMIGAYDFAVSEFIISEAWLDSTWFPYDYGI >KJB79067 pep chromosome:Graimondii2_0_v6:13:2461538:2463567:-1 gene:B456_013G032500 transcript:KJB79067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASATLLKSSPIIDKSEWIKGQNLRHPSVCFVQCNPTSAAFTVRASSYADELVKTAKTVASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVSAPGLGDYISGAILFEETLYQSTIDGKKMVDVLVEQKIVPGIKVDKGLVPLPGSNNESWCQGLDGLSSRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDSGLVPIVEPEILLDGDHGIDRTFEVAQKVWAEVFFYLAENNVMFEGILLKPSMVTPGAECKDKATPQQVADYTLKLLHRRIPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDSLLVRAKANSLAQLGKYTGEGESEEAKKGMFVKGYVY >KJB80298 pep chromosome:Graimondii2_0_v6:13:14543472:14546571:-1 gene:B456_013G090800 transcript:KJB80298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKILGSSRAAIATTLFCLLLLWFHTMSLSMSWISRINNIIAATSSPTYTFNNNQETRVQIRTVASQQNHQLLPRGVLPMSYQFSCNRTYLRYDLCTIDGSTVLDPTTSTFFTMDPTSPVHVEKIRPYPRKYEDYIMGQIKELNLVSGPSSPQCTIRHESPAIVFSAGGYTGNLFHAFNDGLIPLFITASSFYPDGDFIIVVSEFHDWWQSKYAEILKVLSKHPIVALEKDNATHCFPSATLGLIAHGFMTIDPTLIPTSKTLMHFRDLLEKAYTQNPILPSPPLDPKPERRPRLVLTCRGNDVSSRRILNQDEVIQVMKEIGFDVIVFQPNRYTSLSEAYAMLNSSHVMVGVHGAALTHALFLRPRSVFMQVVPIGTEWAADAFYGRIAKGLNVNYLEYKIRVEESSLMEKYGKDNVLLKDPSAVQKKGWPTEIMDIYLKQQNVKLDLVRFRKSLKSAYLMAKKFMHKER >KJB80297 pep chromosome:Graimondii2_0_v6:13:14543616:14546546:-1 gene:B456_013G090800 transcript:KJB80297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKILGSSRAAIATTLFCLLLLWFHTMSLSMSWISRINNIIAATSSPTYTFNNNQETRVQIRTVASQQNHQLLPRGVLPMSYQFSCNRTYLRYDLCTIDGSTVLDPTTSTFFTMDPTSPVHVEKIRPYPRKYEDYIMGQIKELNLVSGPSSPQCTIRHESPAIVFSAGGYTGNLFHAFNDGLIPLFITASSFYPDGDFIIVVSEFHDWWQSKYAEILKVLSKHPIVALEKDNATHCFPSATLGLIAHGFMTIDPTLIPTSKTLMHFRDLLEKAYTQNPILPSPPLDPKPERRPRLVLTCRGNDVSSRRILNQDEVIQVMKEIGFDVIVFQPNRYTSLSEAYAMLNSSHVMVGVHGAALTHALFLRPRSVFMQVVPIGTEWAADAFYGRIAKGLNVNYLEYKIRVEESSLMEKYGKDNVLLKDPSAVQKKGWPTEIMDIYLKQQNVKLDLVRFRKSLKSAYLMAKKFMHKER >KJB82046 pep chromosome:Graimondii2_0_v6:13:46131862:46135511:1 gene:B456_013G173300 transcript:KJB82046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSCNNTLFPKPFFTSCSSQTQGTHFSNLPQPTKQDPIFKIKGPSTLSGHITISGSKNASLPLLAATLCCSGTSLLHNLPNVSDIQAMASILNSLGAKVDAFDGKMRVNSDGVGKVEVDLEEMKKIRGGFFVIGPLVARFGEAVVALPGGCNIGKRPVDLHLRGLRALGAVVELRDGKVWARAANGRGLVGGKFRLDYPSVGATETLMMAASMADGTTMLSNVAKVMEPEVVDLARFLTDCGAWIEGAGSDNLIIRGKRQLYGSECVIKPDRIETGTFMLAAAITRSCILMSPVIPSRVSCLIDKLSQAGCKISRLDQQTLQVSAHPSHIGYDLKSFDIKTNPFPGFPTDLQPQTMALLTTCTGSSLVEESVFDNRMTHARELQKLGARIQVSGRNALVYGFDEGSSLQGSRVIARDLRGGVSLILAGLAAKGTTQIHDIAHIERGYENIDMKLQNLGADIQRLTLTPVPLIL >KJB82045 pep chromosome:Graimondii2_0_v6:13:46131862:46135511:1 gene:B456_013G173300 transcript:KJB82045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSCNNTLFPKPFFTSCSSQTQGTHFSNLPQPTKQDPIFKIKGPSTLSGHITISGSKNASLPLLAATLCCSGTSLLHNLPNVSDIQAMASILNSLGAKVDAFDGKMRVNSDGVGKVEVDLEEMKKIRGGFFVIGPLVARFGEAVVALPGGCNIGKRPVDLHLRGLRALGAVVELRDGKVWARAANGRGLVGGKFRLDYPSVGATETLMMAASMADGTTMLSNVAKEPEVVDLARFLTDCGAWIEGAGSDNLIIRGKRQLYGSECVIKPDRIETGTFMLAAAITRSCILMSPVIPSRVSCLIDKLSQAGCKISRLDQQTLQVSAHPSHIGYDLKSFDIKTNPFPGFPTDLQPQTMALLTTCTGSSLVEESVFDNRMTHGNCRSSEREFRSAGEMH >KJB82043 pep chromosome:Graimondii2_0_v6:13:46131782:46135512:1 gene:B456_013G173300 transcript:KJB82043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSCNNTLFPKPFFTSCSSQTQGTHFSNLPQPTKQDPIFKIKGPSTLSGHITISGSKNASLPLLAATLCCSGTSLLHNLPNVSDIQAMASILNSLGAKVDAFDGKMRVNSDGVGKVEVDLEEMKKIRGGFFVIGPLVARFGEAVVALPGGCNIGKRPVDLHLRGLRALGAVVELRDGKVWARAANGRGLVGGKFRLDYPSVGATETLMMAASMADGTTMLSNVAKEPEVVDLARFLTDCGAWIEGAGSDNLIIRGKRQLYGSECVIKPDRIETGTFMLAAAITRSCILMSPVIPSRVSCLIDKLSQAGCKISRLDQQTLQVSAHPSHIGYDLKSFDIKTNPFPGFPTDLQPQTMALLTTCTGSSLVEESVFDNRMTHARELQKLGARIQVSGRNALVYGFDEGSSLQGSRVIARDLRGGVSLILAGLAAKGTTQIHDIAHIERGYENIDMKLQNLGADIQRLTLTPVPLIL >KJB82044 pep chromosome:Graimondii2_0_v6:13:46131862:46134989:1 gene:B456_013G173300 transcript:KJB82044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSCNNTLFPKPFFTSCSSQTQGTHFSNLPQPTKQDPIFKIKGPSTLSGHITISGSKNASLPLLAATLCCSGTSLLHNLPNVSDIQAMASILNSLGAKVDAFDGKMRVNSDGVGKVEVDLEEMKKIRGGFFVIGPLVARFGEAVVALPGGCNIGKRPVDLHLRGLRALGAVVELRDGKVWARAANGRGLVGGKFRLDYPSVGATETLMMAASMADGTTMLSNVAKEPEVVDLARFLTDCGAWIEGAGSDNLIIRGKRQLYGSECVIKPDRIETGTFMLAAAITRSCILMSPVIPSRVSCLIDKLSQAGCKISRLDQQTLQVSAHPSHIGYDLKSFDIKTNPFPGFPTDLQPQTMALLTTCTGSSLVEESVFDNRMTHARELQKLGARIQVSGRNALVYGFDEGR >KJB81171 pep chromosome:Graimondii2_0_v6:13:34624992:34632132:-1 gene:B456_013G132300 transcript:KJB81171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSTPPSASLSSVVKTTATLPFLSLSKVSFRCFSRPNLLFFSKLQSLQTGQNDSAVVKAQLNEVAVDGSSNDSTIPPVNSGSSTPEPQDAKPSSNVFPPALATEESISEFLNQVSSLVKLVDSRDIVELQLKQLDCELIIRKNVALPQPPSAAPVVMSQASSLPPVGPPTQTAPASAPTPSGQAPAVATPPSFPAPKSAKSLLPPLKCPMAGTFYTSPGPGEPPFVKVGDKVQKGQVLCIIEAMKLMNEIEADQSGTIVEILVEDGKAVSVDTPLFVIEP >KJB81170 pep chromosome:Graimondii2_0_v6:13:34624954:34632153:-1 gene:B456_013G132300 transcript:KJB81170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSTPPSASLSSVVKTTATLPFLSLSKVSFRCFSRPNLLFFSKSLQTGQNDSAVVKAQLNEVAVDGSSNDSTIPPVNSGSSTPEPQDAKPSSNVFPPALATEESISEFLNQVSSLVKLVDSRDIVELQLKQLDCELIIRKNVALPQPPSAAPVVMSQASSLPPVGPPTQTAPASAPTPSGQAPAVATPPSFPAPKSAKSLLPPLKCPMAGTFYTSPGPGEPPFVKVGDKVQKGQVLCIIEAMKLMNEIEADQSGTIVEILVEDGKAVSVDTPLFVIEP >KJB81167 pep chromosome:Graimondii2_0_v6:13:34624954:34632073:-1 gene:B456_013G132300 transcript:KJB81167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILARGSVFKFSSLPGHALGSSVAFKVAVDGSSNDSTIPPVNSGSSTPEPQDAKPSSNVFPPALATEESISEFLNQVSSLVKLVDSRDIVELQLKQLDCELIIRKNVALPQPPSAAPVVMSQASSLPPVGPPTQTAPASAPTPSGQAPAVATPPSFPAPKSAKSLLPPLKCPMAGTFYTSPGPGEPPFVKVGDKVQKGQVLCIIEAMKLMNEIEADQSGTIVEILVEDGKAVSVDTPLFVIEP >KJB81173 pep chromosome:Graimondii2_0_v6:13:34625642:34632073:-1 gene:B456_013G132300 transcript:KJB81173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSTPPSASLSSVVKTTATLPFLSLSKVSFRCFSRPNLLFFSKSLQTGQNDSAVVKAQLNEVAVDGSSNDSTIPPVNSGSSTPEPQDAKPSSNVFPPALATEESISEFLNQVSSLVKLVDSRDIVELQLKQLDCELIIRKNVALPQPPSAAPVVMSQASSLPPVGPPTQTAPASAPTPSGQAPAVATPPSFPAPKSAKSLLPPLKCPMAGTFYTSPGPGEPPFVKVGDKVQKGQVLCIIEAMKLMNEIEHI >KJB81168 pep chromosome:Graimondii2_0_v6:13:34624954:34632073:-1 gene:B456_013G132300 transcript:KJB81168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSTPPSASLSSVVKTTATLPFLSLSKVSFRCFSRPNLLFFSKSLQTGQNDSAVVKAQLNEVAVDGSSNDSTIPPVNSGSSTPEPQDAKPSSNVFPPALATEESISEFLNQVSSLVKLVDSRDIVELQLKQLDCELIIRKNVALPQPPSAAPVVMSQASSLPPVGPPTQTAPASAPTPSGQAPAVATPPSFPAPKSAKSLLPPLKCPMAGTFYTSPGPGEPPFVKVGDKVQKGQVLCIIEAMKLMNEIEKFKKGQDEVC >KJB81172 pep chromosome:Graimondii2_0_v6:13:34625470:34631878:-1 gene:B456_013G132300 transcript:KJB81172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSTPPSASLSSVVKTTATLPFLSLSKVSFRCFSRPNLLFFSKLQSLQTGQNDSAVVKAQLNEVAVDGSSNDSTIPPVNSGSSTPEPQDAKPSSNVFPPALATEESISEFLNQVSSLVKLVDSRDIVELQLKQLDCELIIRKNVALPQPPSAAPVVMSQASSLPPVGPPTQTAPASAPTPSGQAPAVATPPSFPAPKSAKSLLPPLKCPMAGTFYTSPGPGEPPFVKVGDKVQKGQVLCIIEAMKLMNEIEMKNHCFNRLINQEP >KJB81169 pep chromosome:Graimondii2_0_v6:13:34624954:34632132:-1 gene:B456_013G132300 transcript:KJB81169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSTPPSASLSSVVKTTATLPFLSLSKVSFRCFSRPNLLFFSKSLQTGQNDSAVVKAQLNEVAVDGSSNDSTIPPVNSGSSTPEPQDAKPSSNVFPPALATEESISEFLNQVSSLVKLVDSRDIVELQLKQLDCELIIRKNVALPQPPSAAPVVMSQASSLPPVGPPTQTAPASAPTPSGQAPAVATPPSFPAPKSAKSLLPPLKCPMAGTFYTSPGPGEPPFVKVGDKVQKGQVLCIIEAMKLMNEIEMKNHCFNRLINQEP >KJB83671 pep chromosome:Graimondii2_0_v6:13:57627254:57627502:-1 gene:B456_013G262200 transcript:KJB83671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YSAINTMMIRHAIVEELAAFGAIVHKCSRTETELNDCLLEWKAKGLRVTGSVRDVSNQAQRENLLNTVSSEFNGKLNILVNY >KJB82115 pep chromosome:Graimondii2_0_v6:13:47147939:47153353:-1 gene:B456_013G178100 transcript:KJB82115 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine/serine-rich 45 [Source:Projected from Arabidopsis thaliana (AT1G16610) TAIR;Acc:AT1G16610] MLFAYMDKYYRTLYYHHPRPTRVSNFDSSFLSIPAMAKPTTRGRRSPSISGSSSRSRSRSKSRSRSYSGSDSKSSSRSRSVSRSRSASPSSSRSRSSFSSSPSRGGRSRSRSPPKRRSPEPGSRRGNSPPPQSKKPSPAPRKASPIRESLVLYVDSLSRNVNEGHLREIFSNFGEVVNVDLAMDRVLNLPRGYGYVEFKTRADAEKALLYMDGAQIDGNVVRAKFTLPPRPKVSPPPKPISSAAKGDVPKSDNASVDIERGGPKRPRESSPQRKSLPSPRRRSPVGRRGGSPRRPPESPRRRVDSPVHRNGETPPRRRPASPVRGRSPLSPPRRLRSPPRASPRRMRSPIRRRSPPPRRRSPPRRARSPPRRSPLGRRRSRSPIRRPARSRSRSFSPRRGKGPAARHGRSSSYSRSPSPRKVPRRISRSRSPRRPLRGRSSSNSSSNSSPPRKP >KJB82117 pep chromosome:Graimondii2_0_v6:13:47147971:47153259:-1 gene:B456_013G178100 transcript:KJB82117 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine/serine-rich 45 [Source:Projected from Arabidopsis thaliana (AT1G16610) TAIR;Acc:AT1G16610] MDKYYRTLYYHHPRPTRVSNFDSSFLSIPAMAKPTTRGRRSPSISGSSSRSRSRSKSRSRSYSGSDSKSSSRSRSVSRSRSASPSSSRSRSSFSSSPSRGGRSRSRSPPKRRSPEPGSRRGNSPPPQSKKPSPAPRKASPIRESLVLYVDSLSRNVNEGHLREIFSNFGEVVNVDLAMDRVLNLPRGYGYVEFKTRADAEKALLYMDGAQIDGNVVRAKFTLPPRPKVSPPPKPISSAAKGDVPKSDNASVDIERGGPKRPRESSPQRKSLPSPRRRSPVGRRGGSPRRPPESPRRRVDSPVHRNGETPPRRRPASPVRGRSPLSPPRRLRSPPRMRSPIRRRSPPPRRRSPPRRARSPPRRSPLGRRRSRSPIRRPARSRSRSFSPRRGKGPAARHGRSSSYSRSPSPRKVPRRISRSRSPRRPLRGRSSSNSSSNSSPPRKP >KJB82116 pep chromosome:Graimondii2_0_v6:13:47147971:47153259:-1 gene:B456_013G178100 transcript:KJB82116 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine/serine-rich 45 [Source:Projected from Arabidopsis thaliana (AT1G16610) TAIR;Acc:AT1G16610] MDKYYRTLYYHHPRPTRVSNFDSSFLSIPAMAKPTTRGRRSPSISGSSSRSRSRSKSRSRSYSGSDSKSSSRSRSVSRSRSASPSSSRSRSSFSSSPSRGGRSRSRSPPKRRSPEPGSRRGNSPPPQSKKPSPAPRKASPIRESLVLYVDSLSRNVNEGHLREIFSNFGEVVNVDLAMDRVLNLPRGYGYVEFKTRADAEKALLYMDGIDGNVVRAKFTLPPRPKVSPPPKPISSAAKGDVPKSDNASVDIERGGPKRPRESSPQRKSLPSPRRRSPVGRRGGSPRRPPESPRRRVDSPVHRNGETPPRRRPASPVRGRSPLSPPRRLRSPPRASPRRMRSPIRRRSPPPRRRSPPRRARSPPRRSPLGRRRSRSPIRRPARSRSRSFSPRRGKGPAARHGRSSSYSRSPSPRKVPRRISRSRSPRRPLRGRSSSNSSSNSSPPRKP >KJB79804 pep chromosome:Graimondii2_0_v6:13:7761906:7767914:1 gene:B456_013G067600 transcript:KJB79804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEAGNSSEWSRDQDRAFENALATYPEDSADRWEKIAADVPGKTLEEIKEHYELLEDDINQIESGCVPLPPYDSSDGSAGHAGDEGTGKKGSSNLGHYNSESNQGSKSSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNADISAPQGPITGQTNGAAAGGSSGKSVKQPPQHPAAPAGVGVYGAPTMGQPIGGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGAVVHGAPMNMGTATYPIPHTSAHR >KJB79805 pep chromosome:Graimondii2_0_v6:13:7761208:7767878:1 gene:B456_013G067600 transcript:KJB79805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEAGNSSEWSRDQDRAFENALATYPEDSADRWEKIAADVPGKTLEEIKEHYELLEDDINQIESGCVPLPPYDSSDGSAGHAGDEGTGKKGSSNLGHYNSESNQGSKSSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNADISAPQGPITGQTNGAAAGGSSGKSVKQPPQHPAAPAGVGVYGAPTMGQPIGGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGAVVHGAPMNMGTATYPIPHTSAHR >KJB79808 pep chromosome:Graimondii2_0_v6:13:7761436:7767914:1 gene:B456_013G067600 transcript:KJB79808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEAGNSSEWSRDQDRAFENALATYPEDSADRWEKIAADVPGKTLEEIKEHYELLEDDINQIESGCVPLPPYDSSDGSAGHAGDEGTGKKGSSNLGHYNSESNQGSKSSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNADISAPQGPITGQTNGAAAGGSSGKSVKQPPQHPAAPAGVGVYGAPTMGQPIGGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGAVVHGAPMNMGTATYPIPHTSAHR >KJB79803 pep chromosome:Graimondii2_0_v6:13:7762128:7767914:1 gene:B456_013G067600 transcript:KJB79803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEAGNSSEWSRDQDRAFENALATYPEDSADRWEKIAADVPGKTLEEIKEHYELLEDDINQIESGCVPLPPYDSSDGSAGHAGDEGTGKKGSSNLGHYNSESNQGSKSSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNADISAPQGPITGQTNGAAAGGSSGKSVKQPPQHPAAPAGVGVYGAPTMGQPIGGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGAVVHGAPMNMGTATYPIPHTSAHR >KJB79807 pep chromosome:Graimondii2_0_v6:13:7761174:7767914:1 gene:B456_013G067600 transcript:KJB79807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEAGNSSEWSRDQDRAFENALATYPEDSADRWEKIAADVPGKTLEEIKEHYELLEDDINQIESGCVPLPPYDSSDGSAGHAGDEGTGKKGSSNLGHYNSESNQGSKSSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNADISAPQGPITGQTNGAAAGGSSGKSVKQPPQHPAAPAGVGVYGAPTMGQPIGGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGAVVHGAPMNMGTATYPIPHTSAHR >KJB79801 pep chromosome:Graimondii2_0_v6:13:7762916:7767878:1 gene:B456_013G067600 transcript:KJB79801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEAGNSSEWSRDQDRAFENALATYPEDSADRWEKIAADVPGKTLEEIKEHYELLEDDINQIESGCVPLPPYDSSDGSAGHAGDEGTGKKGSSNLGHYNSESNQGSKSSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNADISAPQGPITGQTNGAAAGGSSGKSVKQPPQHPAAPAGVGVYGAPTMGQPIGGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGAVVHGAPMNMGTATYPIPHTSAHR >KJB79806 pep chromosome:Graimondii2_0_v6:13:7761821:7767914:1 gene:B456_013G067600 transcript:KJB79806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEAGNSSEWSRDQDRAFENALATYPEDSADRWEKIAADVPGKTLEEIKEHYELLEDDINQIESGCVPLPPYDSSDGSAGHAGDEGTGKKGSSNLGHYNSESNQGSKSSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNADISAPQGPITGQTNGAAAGGSSGKSVKQPPQHPAAPAGVGVYGAPTMGQPIGGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGAVVHGAPMNMGTATYPIPHTSAHR >KJB79802 pep chromosome:Graimondii2_0_v6:13:7761510:7767914:1 gene:B456_013G067600 transcript:KJB79802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEAGNSSEWSRDQDRAFENALATYPEDSADRWEKIAADVPGKTLEEIKEHYELLEDDINQIESGCVPLPPYDSSDGSAGHAGDEGTGKKGSSNLGHYNSESNQGSKSSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNADISAPQGPITGQTNGAAAGGSSGKSVKQPPQHPAAPAGVGVYGAPTMGQPIGGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGAVVHGAPMNMGTATYPIPHTSAHR >KJB81595 pep chromosome:Graimondii2_0_v6:13:41255011:41257477:-1 gene:B456_013G1512001 transcript:KJB81595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYVYQLPIEFSSMLQWIADFVGLFKICSTSEWTEICSSVSLVLFYIMVCTSFITIGLI >KJB81587 pep chromosome:Graimondii2_0_v6:13:41255011:41257459:-1 gene:B456_013G1512001 transcript:KJB81587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDWSLTSNFLGLFRLWKALQFYAGFSIFMLYVYQLPIEFSSMLQWIADFVGLFKICSTSEWTEICSSVSLVLFYIMVCTSFITIGLI >KJB81593 pep chromosome:Graimondii2_0_v6:13:41255011:41257096:-1 gene:B456_013G1512001 transcript:KJB81593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYVYQLPIEFSSMLQWIADFVGLFKICSTSEWTEICSSVSLVLFYIMVCTSFITIGLI >KJB81592 pep chromosome:Graimondii2_0_v6:13:41255011:41255649:-1 gene:B456_013G1512001 transcript:KJB81592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSVKWDKEIGKHGLNFERFHLKVASCLTSNFLVLMLVDWSLTSNFLGLFRLWKALQFYAGFSIFMLYVYQLPIEFSSMLQWIADFVGLFKICSTSEWTEICSSVSLVLFYIMVCTSFITIGLI >KJB81588 pep chromosome:Graimondii2_0_v6:13:41255011:41255470:-1 gene:B456_013G1512001 transcript:KJB81588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDWSLTSNFLGLFRLWKALQFYAGFSIFMLYVYQLPIEFSSMLQWIADFVGLFKICSTSEWTEICSSVSLVLFYIMVCTSFITIGLI >KJB81590 pep chromosome:Graimondii2_0_v6:13:41255011:41255395:-1 gene:B456_013G1512001 transcript:KJB81590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDWSLTSNFLGLFRLWKALQFYAGFSIFMLYVYQLPIEFSSMLQWIADFVGLFKICSTSEWTEICSSVSLVLFYIMVCTSFITIGLI >KJB81591 pep chromosome:Graimondii2_0_v6:13:41255011:41255297:-1 gene:B456_013G1512001 transcript:KJB81591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLILSLVNVFSSLCSVSFLSRLWKALQFYAGFSIFMLYVYQLPIEFSSMLQWIADFVGLFKICSTSEWTEICSSVSLVLFYIMVCTSFITIGLI >KJB81589 pep chromosome:Graimondii2_0_v6:13:41255011:41255189:-1 gene:B456_013G1512001 transcript:KJB81589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYVYQLPIEFSSMLQWIADFVGLFKICSTSEWTEICSSVSLVLFYIMVCTSFITIGLI >KJB81594 pep chromosome:Graimondii2_0_v6:13:41255011:41256156:-1 gene:B456_013G1512001 transcript:KJB81594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDWSLTSNFLGLFRLWKALQFYAGFSIFMLYVYQLPIEFSSMLQWIADFVGLFKICSTSEWTEICSSVSLVLFYIMVCTSFITIGLI >KJB82095 pep chromosome:Graimondii2_0_v6:13:46525485:46527388:-1 gene:B456_013G176000 transcript:KJB82095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty-acid-binding protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G53520) UniProtKB/Swiss-Prot;Acc:Q9C8L2] MVGLGSISTPMCTTSPLPSKPNPRNNFPGKLSHPLLGFHKVHSLSLSTHFSPQKNKLTQTHFTLKASSVGTADYTEEPATKVKFRTSLSVPDCSTSLSLLGTGQEKVFAIIGVKVYAAGLYVNPSILSKLDAWKGRSAAQIQDDMPLFKSFFEDPMEKSLQIVLVRDVDGKTFWDALDEAISPRIKAPTPVDESALSTFRGIFQGRPLNKGTSIFLTWPHPSKMLVAVSSDGLPTSNDATIESPNVGSALFDVFFGDAPVSPSLKTSVATGLATILK >KJB82094 pep chromosome:Graimondii2_0_v6:13:46525435:46527393:-1 gene:B456_013G176000 transcript:KJB82094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty-acid-binding protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G53520) UniProtKB/Swiss-Prot;Acc:Q9C8L2] MVGLGSISTPMCTTSPLPSKPNPRNNFPGKLSHPLLGFHKVHSLSLSTHFSPQKNKLTQTHFTLKASSGTADYTEEPATKVKFRTSLSVPDCSTSLSLLGTGYREKVFAIIGVKVYAAGLYVNPSILSKLDAWKGRSAAQIQDDMPLFKSFFEDPMEKSLQIVLVRDVDGKTFWDALDEAISPRIKAPTPVDESALSTFRGIFQGRPLNKGTSIFLTWPHPSKMLVAVSSDGLPTSNDATIESPNVGSALFDVFFGDAPVSPSLKTSVATGLATILK >KJB82093 pep chromosome:Graimondii2_0_v6:13:46525374:46527444:-1 gene:B456_013G176000 transcript:KJB82093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty-acid-binding protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G53520) UniProtKB/Swiss-Prot;Acc:Q9C8L2] MVGLGSISTPMCTTSPLPSKPNPRNNFPGKLSHPLLGFHKVHSLSLSTHFSPQKNKLTQTHFTLKASSVGTADYTEEPATKVKFRTSLSVPDCSTSLSLLGTGYREKVFAIIGVKVYAAGLYVNPSILSKLDAWKGRSAAQIQDDMPLFKSFFEDPMEKSLQIVLVRDVDGKTFWDALDEAISPRIKAPTPVDESALSTFRGIFQGRPLNKGTSIFLTWPHPSKMLVAVSSDGLPTSNDATIESPNVGSALFDVFFGDAPVSPSLKTSVATGLATILK >KJB80151 pep chromosome:Graimondii2_0_v6:13:11616049:11619535:1 gene:B456_013G083200 transcript:KJB80151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHGAGLRRVLVLAFCVAGIWSAYIYQGFLQETLSTKRFGSDGKRFEHLAFLNLAQNVVCLVWSYIMIKLWSSRSNGGAPLWTYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYTFPEYICTFLVAGGVSAFALMKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSITARYPKTSAWEIMLGMNLWGTIYNMIYMFGWPHGSGFEAVQFCKQHPEAAWDILLYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSLLSGNPLSPKQWGCVLMVFSGLSYQIYLKWRKLQRLPKKKKT >KJB80152 pep chromosome:Graimondii2_0_v6:13:11616050:11619535:1 gene:B456_013G083200 transcript:KJB80152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHGAGLRRVLVLAFCVAGIWSAYIYQGFLQETLSTKRFGSDGKRFEHLAFLNLAQNVVCLVWSYIMIKLWSSRSNGGAPLWTYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYTFPEYICTFLVAGGVSAFALMKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSITARGIEFCSNWLTSIYTLLCKSSRMNFWQSRFMRTKQISLLT >KJB80153 pep chromosome:Graimondii2_0_v6:13:11616450:11619535:1 gene:B456_013G083200 transcript:KJB80153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSTKRFGSDGKRFEHLAFLNLAQNVVCLVWSYIMIKLWSSRSNGGAPLWTYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYTFPEYICTFLVAGGVSAFALMKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSITARYPKTSAWEIMLGMNLWGTIYNMIYMFGWPHGSGFEAVQFCKQHPEAAWDILLYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSLLSGNPLSPKQWGCVLMVFSGLSYQIYLKWRKLQRLPKKKKT >KJB80154 pep chromosome:Graimondii2_0_v6:13:11617480:11619535:1 gene:B456_013G083200 transcript:KJB80154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGTLVYGIRYTFPEYICTFLVAGGVSAFALMKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSITARYPKTSAWEIMLGMNLWGTIYNMIYMFGWPHGSGFEAVQFCKQHPEAAWDILLYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSLLSGNPLSPKQWGCVLMVFSGLSYQIYLKWRKLQRLPKKKKT >KJB82771 pep chromosome:Graimondii2_0_v6:13:53451712:53452267:1 gene:B456_013G213800 transcript:KJB82771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFDYISNSNRGMLMIVTPLKSYKNIKRFKLLGGFNFSFLDYPIGFRVLNWQQWSFFNYTNNSLSIVCPCSNAIVAVNMWSWRLVLCPLPLLLFGHFK >KJB81474 pep chromosome:Graimondii2_0_v6:13:40429851:40436399:1 gene:B456_013G147200 transcript:KJB81474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKKEESSAIHPAVAPLSYLLGTWKGEGEGGYPTINSFRYGEELHFSHPASGKPVIAYSHKTWKLDSGQPMHSESGYWRPKPHGSLEVVIAQSTGLAEVLKGTYSAEDNVIKLHSQVVANASKVREISRVYEMVNGDLHYVVQMATNLTTLQPHLKAVLKKLP >KJB81477 pep chromosome:Graimondii2_0_v6:13:40429851:40436083:1 gene:B456_013G147200 transcript:KJB81477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKKEESSAIHPAVAPLSYLLGTWKGEGEGGYPTINSFRYGEELHFSHPASGKPVIAYSHKTWKLDSGQPMHSESGYWRPKPHGSLEVVIAQSTGLAEVLKGTYSAEDNVIKLHSQVVANASKVREISRVYEMVNGDLHYVVQMATNLTTLQPHLKAVLKKLP >KJB81475 pep chromosome:Graimondii2_0_v6:13:40429851:40436083:1 gene:B456_013G147200 transcript:KJB81475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKKEESSAIHPAVAPLSYLLGTWKGEGEGGYPTINSFRYGEELHFSHPASGKPVIAYSHKTWKLDSGQPMHSESGYWRPKPHGSLEVVIAQSTGLAEVLGTYSAEDNVIKLHSQVVANASKVREISRVYEMVNGDLHYVVQMATNLTTLQPHLKAVLKKLP >KJB81476 pep chromosome:Graimondii2_0_v6:13:40429851:40435732:1 gene:B456_013G147200 transcript:KJB81476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKKEESSAIHPAVAPLSYLLGTWKGEGEGGYPTINSFRYGEELHFSHPASGKPVIAYSHKTWKLDSGQPMHSESGYWRPKPHGSLEVVIAQSTGLAEVLKGTYSAEDNVIKLHSQVVANASKVREISRVYEMVNGDLHYVVQMATNLTTLQPHLKAVLKKLP >KJB79426 pep chromosome:Graimondii2_0_v6:13:4421251:4422930:-1 gene:B456_013G049000 transcript:KJB79426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMLRSLSRPLERCLGVRAGGDALMWHADLKPHASGDFSIAVVQANNCLEDQSQVFASPFATYVGVYDGHGGPEASRFVNKHLFPFLHKFATEQGGLSADVIKKAFNATEEEFLRLVKRSLPVRPQIASVGSCCLVGAISNDVLYVANLGDSRAVLGRKASGDKENTVVAERLSTDHNVGVEEVRKEVEELHPDDSHIVVYTRGVWRIKGIIQAPEA >KJB79425 pep chromosome:Graimondii2_0_v6:13:4420075:4423098:-1 gene:B456_013G049000 transcript:KJB79425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMLRSLSRPLERCLGVRAGGDALMWHADLKPHASGDFSIAVVQANNCLEDQSQVFASPFATYVGVYDGHGGPEASRFVNKHLFPFLHKQGGLSADVIKKAFNATEEEFLRLVKRSLPVRPQIASVGSCCLVGAISNDVLYVANLGDSRAVLGRKASGDKENTVVAERLSTDHNVGVEEVRKEVEELHPDDSHIVVYTRGVWRIKGIIQVSRSIGDVYLKKPEFYRDPIFQQFGNPVPLKRPVITAEPSILIRKLKPQDQFLIFASDGLWEQLSDEAAVNIVFKNPRAGIAKRLVRAAIQEVAKKREMRYSDIKKIEKGIRRHFHDDITVIVIYLDKHRGSSRNKRTKQNAMGCTMAPVDIYSFNADGGDEDLLQTIS >KJB79428 pep chromosome:Graimondii2_0_v6:13:4420075:4423098:-1 gene:B456_013G049000 transcript:KJB79428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMLRSLSRPLERCLGVRAGGDALMWHADLKPHASGDFSIAVVQANNCLEDQSQVFASPFATYVGVYDGHGGPEASRFVNKHLFPFLHKFATEQGGLSADVIKKAFNATEEEFLRLVKRSLPVRPQIASVGSCCLVGAISNDVLYVANLGDSRAGIAKRLVRAAIQEVAKKREMRYSDIKKIEKGIRRHFHDDITVIVIYLDKHRGSSRNKRTKQNAMGCTMAPVDIYSFNADGGDEDLLQTIS >KJB79423 pep chromosome:Graimondii2_0_v6:13:4420066:4423171:-1 gene:B456_013G049000 transcript:KJB79423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMLRSLSRPLERCLGVRAGGDALMWHADLKPHASGDFSIAVVQANNCLEDQSQVFASPFATYVGVYDGHGGPEASRFVNKHLFPFLHKFATEQGGLSADVIKKAFNATEEEFLRLVKRSLPVRPQIASVGSCCLVGAISNDVLYVANLGDSRAVLGRKASGDKENTVVAERLSTDHNVGVEEVRKEVEELHPDDSHIVVYTRGVWRIKGIIQVSRSIGDVYLKKPEFYRDPIFQQFGNPVPLKRPVITAEPSILIRKLKPQDQFLIFASDGLWEQLSDEAAVNIVFKNPRAGIAKRLVRAAIQEVAKKREMRYSDIKKIEKGIRRHFHDDITVIVIYLDKHRGSSRNKRTKQNAMGCTMAPVDIYSFNADGGDEDLLQTIS >KJB79424 pep chromosome:Graimondii2_0_v6:13:4420734:4422930:-1 gene:B456_013G049000 transcript:KJB79424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMLRSLSRPLERCLGVRAGGDALMWHADLKPHASGDFSIAVVQANNCLEDQSQVFASPFATYVGVYDGHGGPEASRFVNKHLFPFLHKFATEQGGLSADVIKKAFNATEEEFLRLVKRSLPVRPQIASVGSCCLVGAISNDVLYVANLGDSRAVLGRKASGDKENTVVAERLSTDHNVGVEEVRKEVEELHPDDSHIVVYTRGVWRIKGIIQVSRSIGDVYLKKPEFYRDPIFQQFGNPVPLKRPVITAEPSILIRKLKPQDQFLIFASDGLWEQLSDEAAVNIVFKNPRAVSIRVLPLT >KJB79427 pep chromosome:Graimondii2_0_v6:13:4420400:4422930:-1 gene:B456_013G049000 transcript:KJB79427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMLRSLSRPLERCLGVRAGGDALMWHADLKPHASGDFSIAVVQANNCLEDQSQVFASPFATYVGVYDGHGGPEASRFVNKHLFPFLHKFATEQGGLSADVIKKAFNATEEEFLRLVKRSLPVRPQIASVGSCCLVGAISNDVLYVANLGDSRAVLGRKASGDKENTVVAERLSTDHNVGVEEVRKEVEELHPDDSHIVVYTRGVWRIKGIIQVSRSIGDVYLKKPEFYRDPIFQQFGNPVPLKRPGIAKRLVRAAIQEVAKKREMRYSDIKKIEKGIRRHFHDDITVIVIYLDKHRGSSRNKRTKQNAMGCTMAPVDIYSFNADGGDEDLLQTIS >KJB80685 pep chromosome:Graimondii2_0_v6:13:25051648:25056900:1 gene:B456_013G110300 transcript:KJB80685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFGDSSSWSTALVKISPYTFSAVGIAIAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSSQIYEPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPAKSV >KJB80686 pep chromosome:Graimondii2_0_v6:13:25051665:25056825:1 gene:B456_013G110300 transcript:KJB80686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFGDSSSWSTALVKISPYTFSAVGIAIAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSSQIYEPESLRAGYAIFASGIIVGFANLVCGYCVLE >KJB78882 pep chromosome:Graimondii2_0_v6:13:1770849:1774523:1 gene:B456_013G024200 transcript:KJB78882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCYISGIGFGPSPTFQYSPNRTIPFSSNQITTTTLPRTKALSCFHHATTSVQPTFSFHCKTKTHFPFRPPWPASNKPTTISSAMSSGYVQEDLPPALDSTSDPPPIFDGTTRLYISYTCPYAQRVWITRNCKGLQDKIKLVPIDLKNRPTWYKENVYPPNKVPALEHNNEVKGESLDLIKYIDSHFEGPSLFPDDPAKKQFADELLSYIDSFYKTVTSSFKGEGTEAGIAFDNIETALTKFEDGPFFLGQFSLVDIAYAPFIERFHPFLLDVKKYDITLGRTKLATWIEEMNKNEGYTQTRCDPKELVESYKKRFMVIKI >KJB78883 pep chromosome:Graimondii2_0_v6:13:1770849:1774590:1 gene:B456_013G024200 transcript:KJB78883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCYISGIGFGPSPTFQYSPNRTIPFSSNQITTTTLPRTKALSCFHHATTSVQPTFSFHCKTKTHFPFRPPWPASNKPTTISSAMSSGYVQEDLPPALDSTSDPPPIFDGTTRLYISYTCPYAQRVWITRNCKGLQDKIKLVPIDLKNRPTWYKENVYPPNKVPALEHNNEVKGESLDLIKYIDSHFEGPSLFPDDPAKKQFADELLSYIDSFYKTVTSSFKGEGTEAGGYSLCTVH >KJB78881 pep chromosome:Graimondii2_0_v6:13:1770849:1774523:1 gene:B456_013G024200 transcript:KJB78881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLLSNQLSLFTAKLKPTSHFALHGLLQTNLPPFLQQCHLDMCKRIFHQLLILLQTHLQSLMEQQGCIYLTHAPTLSVFGLLETVPALEHNNEVKGESLDLIKYIDSHFEGPSLFPDDPAKKQFADELLSYIDSFYKTVTSSFKGEGTEAGIAFDNIETALTKFEDGPFFLGQFSLVDIAYAPFIERFHPFLLDVKKYDITLGRTKLATWIEEMNKNEGYTQTRCDPKELVESYKKRFMVIKI >KJB78880 pep chromosome:Graimondii2_0_v6:13:1770849:1773379:1 gene:B456_013G024200 transcript:KJB78880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCYISGIGFGPSPTFQYSPNRTIPFSSNQITTTTLPRTKALSCFHHATTSVQPTFSFHCKTKTHFPFRPPWPASNKPTTISSAMSSGYVQEDLPPALDSTSDPPPIFDGTTRLYISYTCPYAQRVWITRNCKGLQDKIKLVPIDLKNRPTWYKENVYPPNKVPALEHNNEVKGESLDLIKYIDSHFEGPSLFPDDPAKKQFADELLSYIDSFYKTVTSSFKGEGTEAGIAFDNIETALTKFEDGPFFLGQFSLVCDKHCIIALQ >KJB78884 pep chromosome:Graimondii2_0_v6:13:1770849:1774598:1 gene:B456_013G024200 transcript:KJB78884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCYISGIGFGPSPTFQYSPNRTIPFSSNQITTTTLPRTKALSCFHHATTSVQPTFSFHCKTKTHFPFRPPWPASNKPTTISSAMSSGYVQEDLPPALDSTSDPPPIFDGTTRLYISYTCPYAQRVWITRNCKGLQDKIKLVPIDLKNRPTWYKENVYPPNKVPALEHNNEVKGESLDLIKYIDSHFEGPSLFPDDPAKKQFADELLSYIDSFYKTVTSSFKGEGTEAGIAFDNIETALTKFEDGPFFLGQFSLVDIAYAPFIERFHPFLLDVKKYDITLGRTKLATWIEEMNKNEGYTQTRCDPKELVESYKKRFMAHL >KJB80204 pep chromosome:Graimondii2_0_v6:13:38053027:38059082:1 gene:B456_013G141000 transcript:KJB80204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEMNDRKKIGLGLTGFGIFFSFLGIIFFFDKGLLAMGNILFISGVTLTIGLKSTMQFFMKPQNFKGTISFGVGFFFVVVGWPIFGMILETYGFVVLFSGFWPTLAVFLQRIPILGWLFQQPYVRSLLDRYRGRRVPV >KJB80205 pep chromosome:Graimondii2_0_v6:13:38053044:38055348:1 gene:B456_013G141000 transcript:KJB80205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEMNDRKKIGLGLTGFGIFFSFLGIIFFFDKGLLAMGNILFISGVTLTIGLKSTMQFFMKPQNFKGTISFGVGFFFVVVGWPIFGMILETYGFVVLFRSI >KJB80207 pep chromosome:Graimondii2_0_v6:13:38052982:38059082:1 gene:B456_013G141000 transcript:KJB80207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEMNDRKKIGLGLTGFGIFFSFLGIIFFFDKGLLAMGNILFISGVTLTIGLKSTMQFFMKPQNFKGTISFGVGFFFVVVGWPIFGMILETYGFVVLFSGFWPTLAVFLQRIPILGWLFQQPYVRSLLDRYRGRRVPV >KJB80203 pep chromosome:Graimondii2_0_v6:13:38053087:38059082:1 gene:B456_013G141000 transcript:KJB80203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEMNDRKKIGLGLTGFGIFFSFLGIIFFFDKGLLAMGNILFISGVTLTIGLKSTMQFFMKPQNFKGTISFGVGFFFVVVGWPIFGMILETYGFVVLFSGFWPTLAVFLQRIPILGWLFQQPYVRSLLDRYRGRRVPV >KJB80206 pep chromosome:Graimondii2_0_v6:13:38053044:38056039:1 gene:B456_013G141000 transcript:KJB80206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEMNDRKKIGLGLTGFGIFFSFLGIIFFFDKGLLAMGNILFISGVTLTIGLKSTMQFFMKPQNFKGTISFGVGFFFVVVGWPIFGMILETYGFVVLFSGFWPTLAVFLQRIPILGWLFQQPYVRSVCSVSKSSKFSF >KJB83394 pep chromosome:Graimondii2_0_v6:13:56412738:56416410:-1 gene:B456_013G245200 transcript:KJB83394 gene_biotype:protein_coding transcript_biotype:protein_coding description:RGS1-HXK1-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT4G26410) UniProtKB/Swiss-Prot;Acc:Q84K90] MSGEEGREAATATVPAANSSTKPWVEELPTIESLIDSKDLAIRSAQSLFHNSSTHLRSFQDSLPQASSHYKSYEDAFFSKLKEGVMIAKENPGAAVGITLTAALCLMRGPRRFLFRNTLGRFQSEEAKFSRAEKNVKVLNLSVDLMKKESSKLLERAALAEKDMKRGQKELMNSGGQIHRLAKSVYKVEAEAI >KJB83396 pep chromosome:Graimondii2_0_v6:13:56413078:56416318:-1 gene:B456_013G245200 transcript:KJB83396 gene_biotype:protein_coding transcript_biotype:protein_coding description:RGS1-HXK1-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT4G26410) UniProtKB/Swiss-Prot;Acc:Q84K90] MSGEEGREAATATVPAANSSTKPWVEELPTIESLIDSKDLAIRSAQSLFHNSSTHLRSFQDSLPQASSHYKSYEDAFFSKLKEGVMIAKENPGAAVGITLTAALCLMRGPRRFLFRNTLGRFQSEEAKFSRAEKNVKVLNLSVDLMKKESSKLLERAALAEKDMKRGQKELMNSGGQIHRLAKSVYKVEAEAVDLMDGLREIPGREALKLRAEASPAFHFLSFQCSFASSKKKHPNLNP >KJB83392 pep chromosome:Graimondii2_0_v6:13:56412682:56416488:-1 gene:B456_013G245200 transcript:KJB83392 gene_biotype:protein_coding transcript_biotype:protein_coding description:RGS1-HXK1-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT4G26410) UniProtKB/Swiss-Prot;Acc:Q84K90] MSGEEGREAATATVPAANSSTKPWVEELPTIESLIDSKDLAIRSAQSLFHNSSTHLRSFQDSLPQASSHYKSYEDAFFSKLKEGVMIAKENPGAAVGITLTAALCLMRGPRRFLFRNTLGRFQSEEAKFSRAEKNVKVLNLSVDLMKKESSKLLERAALAEKDMKRGQKELMNSGGQIHRLAKSVYKVEAEAVDLMDGLREIPGREALKLRAEVASMASLLRQQRVSLDRRIRKISELGIPV >KJB83395 pep chromosome:Graimondii2_0_v6:13:56412999:56416318:-1 gene:B456_013G245200 transcript:KJB83395 gene_biotype:protein_coding transcript_biotype:protein_coding description:RGS1-HXK1-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT4G26410) UniProtKB/Swiss-Prot;Acc:Q84K90] MSGEEGREAATATVPAANSSTKPWVEELPTIESLIDSKDLAIRSAQSLFHNSSTHLRSFQDSLPQASSHYKSYEDAFFSKLKEGVMIAKENPGAAVGITLTAALCLMRGPRRFLFRNTLGRFQSEEAKFSRAEKNVKVLNLSVDLMKKESSKLLERAALAEKDMKRGQKELMNSGGQIHRLAKSVYKVEAEAVDLMDGLREIPGREALKLRAEKFTNLTSILGCFNGITFEAAKGFT >KJB83393 pep chromosome:Graimondii2_0_v6:13:56412682:56416414:-1 gene:B456_013G245200 transcript:KJB83393 gene_biotype:protein_coding transcript_biotype:protein_coding description:RGS1-HXK1-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT4G26410) UniProtKB/Swiss-Prot;Acc:Q84K90] MKMLFSANLKGVMIAKENPGAAVGITLTAALCLMRGPRRFLFRNTLGRFQSEEAKFSRAEKNVKVLNLSVDLMKKESSKLLERAALAEKDMKRGQKELMNSGGQIHRLAKSVYKVEAEAVDLMDGLREIPGREALKLRAEVASMASLLRQQRVSLDRRIRKISELGIPV >KJB83391 pep chromosome:Graimondii2_0_v6:13:56412999:56416318:-1 gene:B456_013G245200 transcript:KJB83391 gene_biotype:protein_coding transcript_biotype:protein_coding description:RGS1-HXK1-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT4G26410) UniProtKB/Swiss-Prot;Acc:Q84K90] MSGEEGREAATATVPAANSSTKPWVEELPTIESLIDSKDLAIRSAQSLFHNSSTHLRSFQDSLPQASSHYKSYEDAFFSKLKEGVMIAKENPGAAVGITLTAALCLMRGPRRFLFRNTLGRFQSEEAKFSRAEKNVKVLNLSVDLMKKESSKLLERAALAEKDMKRGQKELMNSGGQIHRLAKSVYKVEAEAVDLMDGLREIPGREALKLRAEASCFNGITFEAAKGFT >KJB80863 pep chromosome:Graimondii2_0_v6:13:29571899:29574341:-1 gene:B456_013G118700 transcript:KJB80863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEESSAAGGGREEELKKELQSVVKKIVDEDNYGTEITLKAISILSDLSDTVLTKKFRCPISGEIMGDPVVLSSGLTYDRPSIQKWLNEGNLTCPQSKEVLSHTILTPNCLVRKLISSWCKGHGVAVPRSHQDTNGEMITEFDRLYFNSLVTKMFSSLTDRKEAAKELRRLTTAAPSYRAVFFEFTDPISRLIGPLLEGSVDSDPELQEDLITTFMNLLVDCDNMKLVADHPDAIPLLIKSVEFGTIETRKNAAVALSMLSSNNDRRLMIGNAGAPMPLLQLLREGHPIAMKEAASAIFNQCVEYSNKEKFIELGAVKVLLEKIREGKLVDELINLVAQLSTHPKAVNELSELDTVHCLLGIIRETESERTKENCVAILYNVCLNDVGLLKVIWTEGIEHQTLAKLVDTGTARAKRKATALATKIGKLFPTVL >KJB80596 pep chromosome:Graimondii2_0_v6:13:22382753:22385530:1 gene:B456_013G106100 transcript:KJB80596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLHFIFGVFGNATALFLFLAPTITFTRIIRSKSTEQFSGIPYVMTLLNCLLSAWYGLPFVSKNNLLVSTINGTGAGIETIYVLIFIFYAPQTEKARILGLFACVLTVFSAVALISLFALHGNGRKLFCGLAATIFSIIMYASPLSIMRLVIKTKSVEFMPFFLSLFVFLCGTSWFVYGLLGRDAFVAIPNGFGCGLGFMQLILYSIYRNNKRSGEAKKLTANGTVEMGAEKPHQEKPTNAKLPHLEHV >KJB80598 pep chromosome:Graimondii2_0_v6:13:22383142:22385491:1 gene:B456_013G106100 transcript:KJB80598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLNCLLSAWYGLPFVSKNNLLVSTINGTGAGIETIYVLIFIFYAPQTEKARILGLFACVLTVFSAVALISLFALHGNGRKLFCGLAATIFSIIMYASPLSIMRLVIKTKSVEFMPFFLSLFVFLCGTSWFVYGLLGRDAFVAIPNGFGCGLGFMQLILYSIYRNNKRSGEAKKLTANGTVEMGAEKPHQEKPTNAKLPHLEHV >KJB80597 pep chromosome:Graimondii2_0_v6:13:22382952:22385491:1 gene:B456_013G106100 transcript:KJB80597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLNCLLSAWYGLPFVSKNNLLVSTINGTGAGIETIYVLIFIFYAPQTEKARILGLFACVLTVFSAVALISLFALHGNGRKLFCGLAATIFSIIMYASPLSIMRLVIKTKSVEFMPFFLSLFVFLCGTSWFVYGLLGRDAFVAIPNGFGCGLGFMQLILYSIYRNNKRSGEAKKLTANGTVEMGAEKPHQEKPTNAKLPHLEHV >KJB80029 pep chromosome:Graimondii2_0_v6:13:9906215:9908126:-1 gene:B456_013G077600 transcript:KJB80029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGNKSFSLILYFLCLGVIIPSLEAHIAEYDDYWRERELQAKENLEKAYNPNPEEVTQHFNDHVARTLMGFRTNSTRRMLKKQKGGPCGATNPIDQCWRCDRNWERNRKKLADCALGFGRGTTGGKDGPIYVVTDPTDDVLNPSSGTLRHAVIQNRPLWITFARGMIIRLKEELLMTSNKTIDGRGANVHIAYGAGITIQFAKNVIIHNIHIHNIFPSKGGKIKDGENHLGLRTRSDGDGISLFGATNVWLDHLSVYKCSDGIIDAIQGSTAITISNCHFTDHNDVMLFGASDSYSADEKMQITVAFTRFGKNLVQRMPRVRFGFVHVVNNDYRHWIMSAIGGSSHPTIISHGNRFRAPRNIAAKEVCKREYATQQEWKNWDWRSEGDLMLNGAIFTQSGDPKAAKKFGGYKMIAYKPAHMVPLLVRWSGTLECRPNKPC >KJB80030 pep chromosome:Graimondii2_0_v6:13:9906684:9907983:-1 gene:B456_013G077600 transcript:KJB80030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGNKSFSLILYFLCLGVIIPSLEAHIAEYDDYWRERELQAKENLEKAYNPNPEEVTQHFNDHVARTLMGFRTNSTRRMLKKQKGGPCGATNPIDQCWRCDRNWERNRKKLADCALGFGRGTTGGKDGPIYVVTDPTDDVLNPSSGTLRHAVIQNRPLWITFARGMIIRLKEELLMTSNKTIDGRGANVHIAYGAGITIQFAKNVIIHNIHIHNIFPSKGGKIKDGENHLGLRTRSDGDGISLFGATNVWLDHLSVYKCSDGIIDAIQGSTAITISNCHFTDHNDVMLFGASDSYSADEKMQITVAFTRFGKNLVQRMPRVRFGFVHVVNNDYRHWIMSAIGGSSHPTIISHGNRFRAPRNIAAKEVSHSILVS >KJB78728 pep chromosome:Graimondii2_0_v6:13:1005671:1007963:-1 gene:B456_013G014800 transcript:KJB78728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNMLFLRSFMILVLSCIAIKINLCFPNILSSLKTLPIDGHFNFEQLHHAAKDFGNRYSFLPLAVLHPNSVSDIATTVKHIWQMGPGSDLTVAARGHGHSLQGQAQAHGGIVINMKSLQGLKMQFHIGNLPYVDVSGGELWINILREGLKHGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVHQLEVVTGKGEVVTCSGKQNSDLFHGVLGGLGQFGIITRARISLEPAPEMVKWIRVLYTDFATFIRDQEELISGESTFDYVEGFVIINRTGLLNNWRSSFNPQDPVQASKFKSDGRTLFCLELAKYFNRDETAVVNREIHSSLSQLNHIPSTLFVSEVPYIEFLDRVHISEIKLRSKGLWEVPHPWLNLLVPRSKIQTFAQQVFGNILTDTSNGPILIYPVNKSKWDNRTSVVTPDEDVFYLVAFLSSAVPSSTGTDGLDHILIQNKRILEFCEIARLGVKQYLPHYSTQGEWKAHFGSRWEVFVRRKSSYDPLAILAPGQRIFQKAVPYSQ >KJB78653 pep chromosome:Graimondii2_0_v6:13:700365:704722:-1 gene:B456_013G010500 transcript:KJB78653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEYYDVLGVSPSATEAEIKKAYYIKARQVHPDKNPNDPLAAQNFQVLGEAYQVLSDPTQRQAYDAYGKSGISAEAIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDIFTDREQVDPKKLQEKMKVVQKEREEKLAQILKDRLNQYVQGSKEDFVNHAEAEVSRLSNAAYGVDMLNTIGYIYARQAAKELGKKAIYLGVPFIAEWFRDKGHYIKSQVTATTGAIALLQLQEEMKKQLSAEGNYTEEELEEYMQSHKEILTDSLWKLNVADIEATLSRVCQMVVSLVSSFTAVR >KJB78654 pep chromosome:Graimondii2_0_v6:13:698639:704785:-1 gene:B456_013G010500 transcript:KJB78654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEYYDVLGVSPSATEAEIKKAYYIKARQVHPDKNPNDPLAAQNFQVLGEAYQVLSDPTQRQAYDAYGKSGISAEAIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDIFTDREQVDPKKLQEKMKVVQKEREEKLAQILKDRLNQYVQGSKEDFVNHAEAEVSRLSNAAYGVDMLNTIGYIYARQAAKELGKKAIYLGVPFIAEWFRDKGHYIKSQVTATTGAIALLQLQEEMKKQLSAEGNYTEEELEEYMQSHKEILTDSLWKLNVADIEATLSRVCQMVLQDGNCKREELRARAKGLKTLGRIFQGQVCQWK >KJB78652 pep chromosome:Graimondii2_0_v6:13:698639:704425:-1 gene:B456_013G010500 transcript:KJB78652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARQVHPDKNPNDPLAAQNFQVLGEAYQVLSDPTQRQAYDAYGKSGISAEAIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDIFTDREQVDPKKLQEKMKVVQKEREEKLAQILKDRLNQYVQGSKEDFVNHAEAEVSRLSNAAYGVDMLNTIGYIYARQAAKELGKKAIYLGVPFIAEWFRDKGHYIKSQVTATTGAIALLQLQEEMKKQLSAEGNYTEEELEEYMQSHKEILTDSLWKLNVADIEATLSRVCQMVLQDGNCKREELRARAKGLKTLGRIFQRAKSANGSESETVESSNTVHTLDGSEPSYDSSSLNASSRSLNQEELSRSTFASQSPYVEAPNFVDTQFTYNFPRPTAPPGAQRTSLN >KJB78651 pep chromosome:Graimondii2_0_v6:13:698603:704914:-1 gene:B456_013G010500 transcript:KJB78651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEYYDVLGVSPSATEAEIKKAYYIKARQVHPDKNPNDPLAAQNFQVLGEAYQVLSDPTQRQAYDAYGKSGISAEAIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDIFTDREQVDPKKLQEKMKVVQKEREEKLAQILKDRLNQYVQGSKEDFVNHAEAEVSRLSNAAYGVDMLNTIGYIYARQAAKELGKKAIYLGVPFIAEWFRDKGHYIKSQVTATTGAIALLQLQEEMKKQLSAEGNYTEEELEEYMQSHKEILTDSLWKLNVADIEATLSRVCQMVLQDGNCKREELRARAKGLKTLGRIFQRAKSANGSESETVESSNTVHTLDGSEPSYDSSSLNASSRSLNQEELSRSTFASQSPYVEAPNFVDTQFTYNFPRPTAPPGAQRTSLN >KJB79059 pep chromosome:Graimondii2_0_v6:13:2410361:2411022:1 gene:B456_013G031800 transcript:KJB79059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHHSTMCTNKCCLSILYLQGEFNRAFQSDFYGGELMEAEWCSDRKQGARKEEEWWKQGVAWRVIRETGSRMFMSHVS >KJB83280 pep chromosome:Graimondii2_0_v6:13:55756564:55757377:-1 gene:B456_013G2395001 transcript:KJB83280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNAEIEYPVIEFRSSDLKRGTDGWNRLCKRVREACETFGSFEVVYEKISTEVREEVFRLIKELVEVPVERKQKNVSPMPFHGWVGSCPQISLLYEGFGVGDASNYDSIKSFAQLMWPDGYPHFCDIVHTLATQMEELNKLIWLMIIDSYGLGEESLKMCYTTLIRMVKYMAPPSGKFESGLFPHTDKPISTLICEDKISGLEIEVKDGQWLRLSNLSPSSFVFMVGDPFK >KJB79241 pep chromosome:Graimondii2_0_v6:13:3111282:3112688:1 gene:B456_013G039000 transcript:KJB79241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHELASSTPIPPLACHNNKRAVLFLKTENGFHRRPLHFHGQQSLSSLPNFKQALFGLKPQRGGRLTMAVYKVTLLTPEGPQEFECPDDLKILDAAEENGIDLPYSCRAGACSSCAGRVKEGSVDQSENSFLSDEQMGEGFVLTCVALPTSDVVIETHREEDVV >KJB79797 pep chromosome:Graimondii2_0_v6:13:7736794:7742534:-1 gene:B456_013G067300 transcript:KJB79797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSTGVGNVPRQQQTSGGRRGGSRGRRGGRRSREVFRASESQHPQQKDASDDQQDLKSPPPACTDFDVAYFEAYAHIGIHEEMIKDHVRTEAYRDAVMQHQSFIQGRVYAVDASAIAVQAKEVVKANNLAETVIVLHGRVEDVEIDEQVDVIISEWMGYTLLYESMLGSVITARDRWLKHGGLILPCAATLYLAPITHPDRYNDSIDFWRNVYGIDMSAMLPVAKQCAFEEPCVETITGENVLTWPHVVKHVDCYTIQLNELESVSTRYKFQSMMRAPLHGFAFWFDVEFSGPANSSIITPSQSPLIGLSDDDPVDDIPSKKRTNPNEPLVLSTAPEDPPTHWQQTLIYLYDPIEVEQDQIIEGSVTLSQSKENRRFMNIHLQYSSGGRSFVKESVFR >KJB79795 pep chromosome:Graimondii2_0_v6:13:7736754:7742735:-1 gene:B456_013G067300 transcript:KJB79795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSTGVGNVPRQQQTSGGRRGGSRGRRGGRRSREVFRASESQHPQQKDASDDQQDLKSPPPACTDFDVAYFEAYAHIGIHEEMIKDHVRTEAYRDAVMQHQSFIQGRVVVDVGCGTGILSIFCAQAGAKRVYAVDASAIAVQAKEVVKANNLAETVIVLHGRVEDVEIDEQVDVIISEWMGYTLLYESMLGSVITARDRWLKHGGLILPCAATLYLAPITHPDRYNDSIDFWRNVYGIDMSAMLPVAKQCAFEEPCVETITGENVLTWPHVVKHVDCYTIQLNELESVSTRYKFQSMMRAPLHGFAFWFDVEFSGPANSSIITPSQSPLIGLSDDDPVDDIPSKKRTNPNEPLVLSTAPEDPPTHWQQTLIYLYDPIEVEQDQIIEGSVTLSQSKENRRFMNIHLQYSSGGRSFVKESVFR >KJB79796 pep chromosome:Graimondii2_0_v6:13:7736794:7741986:-1 gene:B456_013G067300 transcript:KJB79796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQSFIQGRVYAVDASAIAVQAKEVVKANNLAETVIVLHGRVEDVEIDEQVDVIISEWMGYTLLYESMLGSVITARDRWLKHGGLILPCAATLYLAPITHPDRYNDSIDFWRNVYGIDMSAMLPVAKQCAFEEPCVETITGENVLTWPHVVKHVDCYTIQLNELESVSTRYKFQSMMRAPLHGFAFWFDVEFSGPANSSIITPSQSPLIGLSDDDPVDDIPSKKRTNPNEPLVLSTAPEDPPTHWQQTLIYLYDPIEVEQDQIIEGSVTLSQSKENRRFMNIHLQYSSGGRSFVKESVFR >KJB79794 pep chromosome:Graimondii2_0_v6:13:7737075:7741586:-1 gene:B456_013G067300 transcript:KJB79794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQSFIQGRVVVDVGCGTGILSIFCAQAGAKRVYAVDASAIAVQAKEVVKANNLAETVIVLHGRVEDVEIDEQVDVIISEWMGYTLLYESMLGSVITARDRWLKHGGLILPCAATLYLAPITHPDRYNDSIDFWRNVYGIDMSAMLPVAKQCAFEEPCVETITGENVLTWPHVVKHVDCYTIQLNELESVSTRYKFQSMMRAPLHGFAFWFDVEFSGPANSSIITPSQSPLIGLSDDDPVDDIPSKKRTNPNEPLVLSTAPEDPPTHWQQTLIYLYDPIEVEQDQIIEGSVTLSQSKENRRFMNIHLQYSSGGRSFVKESVFR >KJB80238 pep chromosome:Graimondii2_0_v6:13:13546998:13548246:1 gene:B456_013G088200 transcript:KJB80238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRLPFNGHKEVGLKRGPWTAEEDQILMAYIQQHGHGNWRALPEKAGLKRCGKSCRLRWINYLRPDIKRGKFSLQEEHTIIQLHALLGNRWSAMAAHLPKRTDNEIKNYWNTHLKKRLIKLGVDPMTHKPRTDASSFPSGSNLTHMAQWESARLEAEARLVRDSKQVIPNPIHPKNHLTLNHIQLRPRCLDVLKAWQGVVAGMFAFPTQDLGSPTSTLRFPAIGLNATSYTDGEMGFDDSLKCIENSNQMKEIEETITDGCIDEWFEDSFRVGNYENVPMAVGSSNCCDSVFDLVNSSPYGLSMLYDHNVMK >KJB82438 pep chromosome:Graimondii2_0_v6:13:49898150:49904526:1 gene:B456_013G195600 transcript:KJB82438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAFPLVLVLYILPMAKGFNAFVVLGIILLLINQSEQLQSSQTQTLLRLQLLLNYPDVLSSWNSSVDFCNAEPTSQVTVVCYEESITQLHVIGNDGTPSLPSNFSMDSFVTTLVKLPDLRVLTLVSLGLWGPLPGKIARLSSLEILNMTSNLLYGTIPHELSGVTTLQTLILDDNMFSGWLPEWLGSFPVLAVLSLRNNSFNGSLPDSFSILENLRVLALSHNHFQGELPDLSSLTNLQELDLEDNAFGPQFPRLGNKLVRLVLGKNRFRSAIPTELNSFYQLHWLDLSFNRFVGPFPSSLLSLPSITYLNIADNKLTGMLFENTSCNVELKFADFSSNLLTGLLPSCLSDSKDRVFLYAQNCLATGKENQHPLPFCRNEALAVGIFPHSKKSKPSNFALAFGITGGIIGGIVLLGLIFIFVRRLNEYKTINKPTTRLISEKASIAYTSKLLSDARYISQTMKLGALGLPPYRTFALEELEDATNNFDTTAFMGEGSQGQMYRGRLKDGTFVAIRCLKMKKSHSTQSFMHHVELISKLRYRHLVSALGHCFECYLDDSSVSRIFLIFEYVPNGTLRSWISEGHAGRSLTWPQRISAAIGITKGIQFLHTGIIPGVYSNNLKITDVLMDQNLVAKISSYNLPLLAESAGKVDHRTSALPKDSSSRTRASYEDKADVYDFGVILLEMILGRPSKAKSEVEILKNQLQAVVATDDATRRSIADPAVQTSCSDQSLKTMMEICARCLLKDPAERPSVEDVMWNLQFAAQVQDAWRGDSQSSSPGCSPSQPAILPVAFH >KJB82436 pep chromosome:Graimondii2_0_v6:13:49898114:49904713:1 gene:B456_013G195600 transcript:KJB82436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFENTSCNVELKFADFSSNLLTGLLPSCLSDSKDRVFLYAQNCLATGKENQHPLPFCRNEALAVGIFPHSKKSKPSNFALAFGITGGIIGGIVLLGLIFIFVRRLNEYKTINKPTTRLISEKASIAYTSKLLSDARYISQTMKLGALGLPPYRTFALEELEDATNNFDTTAFMGEGSQGQMYRGRLKDGTFVAIRCLKMKKSHSTQSFMHHVELISKLRYRHLVSALGHCFECYLDDSSVSRIFLIFEYVPNGTLRSWISEGHAGRSLTWPQRISAAIGITKGIQFLHTGIIPGVYSNNLKITDVLMDQNLVAKISSYNLPLLAESAGKVDHRTSALPKDSSSRTRASYEDKADVYDFGVILLEMILGRPSKAKSEVEILKNQLQAVVATDDATRRSIADPAVQTSCSDQSLKTMMEICARCLLKDPAERPSVEDVMWNLQFAAQVQDAWRGDSQSSSPGCSPSQPAILPVAFH >KJB82439 pep chromosome:Graimondii2_0_v6:13:49898736:49904246:1 gene:B456_013G195600 transcript:KJB82439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFENTSCNVELKFADFSSNLLTGLLPSCLSDSKDRVFLYAQNCLATGKENQHPLPFCRNEALAVGIFPHSKKSKPSNFALAFGITGGIIGGIVLLGLIFIFVRRLNEYKTINKPTTRLISEKASIAYTSKLLSDARYISQTMKLGALGLPPYRTFALEELEDATNNFDTTAFMGEGSQGQMYRGRLKDGTFVAIRCLKMKKSHSTQSFMHHVELISKLRYRHLVSALGHCFECYLDDSSVSRIFLIFEYVPNGTLRSWISEGHAGRSLTWPQRISAAIGITKGIQFLHTGIIPGVYSNNLKITDVLMDQNLVAKISSYNLPLLAESAGKVDHRTSALPKDSSSRTRASYEDKADVYDFGVILLEMILGRPSKAKSEVEILKNQLQAVVATDDATRRSIADPAVQTSCSDQSLKTMMEICARCLLKDPAERPSVEDVMWNLQFAAQVQDAWRGDSQSSSPGCSPSQPAILPVAFH >KJB82437 pep chromosome:Graimondii2_0_v6:13:49898114:49904713:1 gene:B456_013G195600 transcript:KJB82437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFENTSCNVELKFADFSSNLLTGLLPSCLSDSKDRVFLYAQNCLATGKENQHPLPFCRNEALAVGIFPHSKKSKPSNFALAFGITGGIIGGIVLLGLIFIFVRRLNEYKTINKPTTRLISEKASIAYTSKLLSDARYISQTMKLGALGLPPYRTFALEELEDATNNFDTTAFMGEGSQGQMYRGRLKDGTFVAIRCLKMKKSHSTQSFMHHVELISKLRYRHLVSALGHCFECYLDDSSVSRIFLIFEYVPNGTLRSWISEGHAGRSLTWPQRISAAIGITKGIQFLHTGIIPGVYSNNLKITDVLMDQNLVAKISSYNLPLLAESAGKVDHRTSALPKDSSSRTRASYEDKADVYDFGVILLEMILGRPSKAKSEVEILKNQLQAVVATDDATRRSIADPAVQTSCSDQSLKTMMEICARCLLKDPAERPSVEDVMWNLQFAAQVQDAWRGDSQSSSPGCSPSQPAILPVAFH >KJB83303 pep chromosome:Graimondii2_0_v6:13:56015778:56022563:-1 gene:B456_013G240700 transcript:KJB83303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGFTFMEVNSVRASSSKVTCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINNGNCARAFKGGTAPGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQQGHTKLIHSVCWDPSGELLASVSEDSVRVWSFASGSEGECVHELSCNGNKFHSCVFHPSFQSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTRLVSSASHDKFVKLWK >KJB83317 pep chromosome:Graimondii2_0_v6:13:56017879:56022946:-1 gene:B456_013G240700 transcript:KJB83317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLWDDKDLELQAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGV >KJB83308 pep chromosome:Graimondii2_0_v6:13:56015778:56022563:-1 gene:B456_013G240700 transcript:KJB83308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGFTFMEVNSVRASSSKVTCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINNGNCARAFKGGTAPGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQQGHTKLIHSVCWDPSGELLASVSEDSVRVWSFASGSEGECVHELSCNGNKFHSCVFHPSFQSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTRLVSSASHDKFVKLWK >KJB83315 pep chromosome:Graimondii2_0_v6:13:56015311:56023098:-1 gene:B456_013G240700 transcript:KJB83315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGFTFMEVNSVRASSSKVTCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINNGNCARAFKGGTAPGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQGHTKLIHSVCWDPSGELLASVSEDSVRVWSFASGSEGECVHELSCNGNKFHSCVFHPSFQSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTSRR >KJB83312 pep chromosome:Graimondii2_0_v6:13:56015344:56022946:-1 gene:B456_013G240700 transcript:KJB83312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCFTFMEVNSVRASSSKVTCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINNGNCARAFKGGTAPGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQGHTKLIHSVCWDPSGELLASVSEDSVRVWSFASGSEGECVHELSCNGNKFHSCVFHPSFQSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTRLVSSASHDKFVKLWK >KJB83314 pep chromosome:Graimondii2_0_v6:13:56015344:56022946:-1 gene:B456_013G240700 transcript:KJB83314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLWDDKDLELQAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGFTFMEVNSVRASSSKVTCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINNGNCARAFKGGTAPGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQGHTKLIHSVCWDPSGELLASVSEDSVRVWSFASGSEGECVHELSCNGNKFHSCVFHPSFQSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTRLVSSASHDKFVKLWK >KJB83305 pep chromosome:Graimondii2_0_v6:13:56015778:56022563:-1 gene:B456_013G240700 transcript:KJB83305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLWDDKDLELQAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGFTFMEVNSVRASSSKVTCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINNGNCARAFKGGTAPGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQQGHTKLIHSVCWDPSGELLASVSEDSVRVWSFASGSEGECVHELSCNGNKFHSCVFHPSFQSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTRLVSSASHDKFVKLWK >KJB83300 pep chromosome:Graimondii2_0_v6:13:56015336:56023098:-1 gene:B456_013G240700 transcript:KJB83300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGFTFMEVNSVRASSSKVTCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINNGNCARAFKGGTAPGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQGHTKLIHSVCWDPSGELLASVSEDSVRVWSFASGSEGECVHELSCNGNKFHSCVFHPSFQSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTRLVSSASHDKFVKLWK >KJB83304 pep chromosome:Graimondii2_0_v6:13:56015311:56023098:-1 gene:B456_013G240700 transcript:KJB83304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLWDDKDLELQAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGFTFMEVNSVRASSSKVTCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINNGNCARAFKGGTAPGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQGHTKLIHSVCWDPSGELLASVSEDSVRVWSFASGSEGECVHELSCNGNKFHSCVFHPSFQSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTRLVSSASHDKFVKLWK >KJB83309 pep chromosome:Graimondii2_0_v6:13:56015336:56023098:-1 gene:B456_013G240700 transcript:KJB83309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLWDDKDLELQAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGFTFMEVNSVRASSSKVTCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINNGNCARAFKGGTAPGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQGHTKLIHSVCWDPSGELLASVSEDSVRVWSFASGSEGECVHELSCNGNKFHSCVFHPSFQSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTRLVSSASHDKFVKLWK >KJB83302 pep chromosome:Graimondii2_0_v6:13:56015778:56022563:-1 gene:B456_013G240700 transcript:KJB83302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGFTFMEVNSVRASSSKVTCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINNGNCARAFKGGTAPGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQGHTKLIHSVCWDPSGELLASVSEDSVRVWSFASGSEGECVHELSCNGNKFHSCVFHPSFQSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTRLVSSASHDKFVKLWK >KJB83311 pep chromosome:Graimondii2_0_v6:13:56015778:56022563:-1 gene:B456_013G240700 transcript:KJB83311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGFTFMEVNSVRASSSKVTCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINNGNCARAFKGGTAPGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQQGHTKLIHSVCWDPSGELLASVSEDSVRVWSFASGSEGECVHELSCNGNKFHSCVFHPSFQSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTRLVSSASHDKFVKLWK >KJB83306 pep chromosome:Graimondii2_0_v6:13:56015778:56022563:-1 gene:B456_013G240700 transcript:KJB83306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLWDDKDLELQAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGFTFMEVNSVRASSSKVTCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINNGNCARAFKGGTAPGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQQGHTKLIHSVCWDPSGELLASVSEDSVRVWSFASGSEGECVHELSCNGNKFHSCVFHPSFQSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTRLVSSASHDKFVKLWK >KJB83313 pep chromosome:Graimondii2_0_v6:13:56015890:56022563:-1 gene:B456_013G240700 transcript:KJB83313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGFTFMEVNSVRASSSKVTCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINNGNCARAFKGGTAPGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQGHTKLIHSVCWDPSGELLASVSEDSVRVWSFASGSEGECVHELSCNGNKFHSCVFHPSFQSLLVIGCYQAIFGAMEYVGE >KJB83310 pep chromosome:Graimondii2_0_v6:13:56015311:56023098:-1 gene:B456_013G240700 transcript:KJB83310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGFTFMEVNSVRASSSKVTCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINNGNCARAFKGGTAPGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQGHTKLIHSVCWDPSGELLASVSEDSVRVWSFASGSEGECVHELSCNGNKFHSCVFHPSFQSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTRLVSSASHDKFVKLWK >KJB83316 pep chromosome:Graimondii2_0_v6:13:56017868:56022946:-1 gene:B456_013G240700 transcript:KJB83316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGV >KJB83307 pep chromosome:Graimondii2_0_v6:13:56015336:56023098:-1 gene:B456_013G240700 transcript:KJB83307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGFTFMEVNSVRASSSKVTCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINNGNCARAFKGGTAPGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQGHTKLIHSVCWDPSGELLASVSEDSVRVWSFASGSEGECVHELSCNGNKFHSCVFHPSFQSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTRLVSSASHDKFVKLWK >KJB83301 pep chromosome:Graimondii2_0_v6:13:56015778:56022563:-1 gene:B456_013G240700 transcript:KJB83301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQSQQAQQPQQRRDGSHLLNGNTNGLVGNDSLIRQPAGTANAMATKMYEERLKLPHQRDSLDDAAMKQRYGDNVGQLLDPNHASILKPAAATGPTSGQVWHGTAGGMSPQVQARSQQLPGTTPDIKSEMNPVLNPRAAGPDGSLMGIPGSNQGGNNLTLKGWPLTGLDQLRGGILQPQKSFMQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDDNRRLRMLLNNNRTMGLGKDGLSNSVGDVVPNVSPLQAGSPLMPRGDTEMLMKLKLAQLHQQQQQLQQQQQQQQQQNSNSQQQQLQQHALSNQQSQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPAMPHSGSSSKPLMMFGAEGAGTLASPSNQLAEMDRFVEDGSLDDNVESFLSHDDTDPRDAVGRCMDVTKGFTFMEVNSVRASSSKVTCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHSCLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSGNVMSLDFHPTKDDLICSCDGDGEIRYWSINNGNCARAFKGGTAPGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQQGHTKLIHSVCWDPSGELLASVSEDSVRVWSFASGSEGECVHELSCNGNKFHSCVFHPSFQSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTRLVSSASHDKFVKLWK >KJB82348 pep chromosome:Graimondii2_0_v6:13:49254675:49256682:-1 gene:B456_013G191400 transcript:KJB82348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFMSYVDLKKEAMKDLEAGPDYDLEMSSNASTMDQNLGLFLEEAEKIKQEMAVIRELLGKLQESNEESKSLHKQESLKALRNKINNDIVTVQKKARTIKAQLEEMDRANATNKRLSGCKEGTLDYRTRIAVTNGLRNKLKELMMDFQGLRQKMMTEYKETVGRRYFTVTGENPDEEIIEKIISDGNGGEGFLTRAIQEHGRGKVLETVVEIQDRHDAAKEIEKSLLELHQVFLDMAVMVEAQGEQMDDIEHHVMNASHYVKDGSKELNTAKQYQRSSRKCMCIGIILLLLIVLVIVIPIATSFSSS >KJB82278 pep chromosome:Graimondii2_0_v6:13:48756024:48758710:-1 gene:B456_013G187000 transcript:KJB82278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAGPRKEGNYTNPCLTMHQPWASLLVYGIKRIEGRSWPAPIRGRLWIHAASKVPDESTIKAMEDFYREIYALNGITDIKFPEHYPISRLLGCVEVAGCLTCEELVQWEALPEGVRLEGQTDFCWLCEQPKKLLVPFEMRGYQRIYNLEKKIYEAAVRGLVPVDGPAPVKFPLPNPRDPFSLKPGSIAASFPNSKASAIEKSSSLSAAIAGARGAATQFSKDQNIRTNAILNSAPDSVSTETRTIFIDDRIPDGNLKEGSMEANSASKQSDYVEEEGSSSRNQPPTRPKQDSGAPSKVSTHLLQYDFWCLTLCYCNSSFFLKYPCLTYIRIRGRRYIIFQIPWQKTWKN >KJB82277 pep chromosome:Graimondii2_0_v6:13:48755878:48758827:-1 gene:B456_013G187000 transcript:KJB82277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDIYKIQPPIFFRLQPIAKTQILSAFLTNQTLLRKKTMRKAGPRKEGNYTNPCLTMHQPWASLLVYGIKRIEGRSWPAPIRGRLWIHAASKVPDESTIKAMEDFYREIYALNGITDIKFPEHYPISRLLGCVEVAGCLTCEELVQWEALPEGVRLEGQTDFCWLCEQPKKLLVPFEMRGYQRIYNLEKKIYEAAVRGLVPVDGPAPVKFPLPNPRDPFSLKPGSIAASFPNSKASAIEKSSSLSAAIAGARGAATQFSKDQNIRTNAILNSAPDSVSTETRTIFIDDRIPDGNLKEGSMEANSASKQSDYVEEEGSSSRNQPPTRPKQDSGAPSKIFAAALRGLKPS >KJB83858 pep chromosome:Graimondii2_0_v6:13:58039959:58043614:-1 gene:B456_013G268300 transcript:KJB83858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMREKDGHKSENKQTEEVNSIEKEIDVLNGVPKSSNRDDDNNKIKDRTKKRKTEFDTDKKESQNTHKKHKTSKDAVEEIPMETCLGGKENAEQESSKAMNLENNGEAKRVKKDKHKKNRDEINAVATVTETLKHSKKESKKAHKKHKKSKDEVKEISMESCKGGKKNTEQESSKVMNLENNGEAKRVGKDKHKDKDGTNAVGTVTGTLEHSKKESPKAHKKSKDVVEEISMETCLGGKENAEKESSKVVNLGNNGEAEKVREDKHKRKNKDGIDAVATVTETFVHSKMQEPVETEVCEKQKKKKKLEKVNGNVADDIAAMSSTTDKKGTGKKNSAGNELERKKLRDIGGDDEAGNKRKKKKSKSVENDTDQVENATLKEKSKKVSFSDQVEVFPSMDDAKKDKEGFVKGDEGLNMVLNCGSHKEVRRCWNEIQAAIPWRPVESVYNRAHVLFERDEKRPWTPEEYEMVKKFVEQHGRNWRLLGDTLGKHRHHVKDTWRRISANAKKGQWSQDEYQKLFDLVNLDLCMKATQERKSKYGMLRDNICWTAIGNKMETRAFVSCCSKWYRNLTSPMVAEGLWADVDDYRMLDALSSLDACCMEDVNWDNILEHRSGDLCRKRWSQMVQRLGSNKNLSFAEQVDILAERYRPDMIEARETFDSKQPVDLP >KJB83857 pep chromosome:Graimondii2_0_v6:13:58039901:58043614:-1 gene:B456_013G268300 transcript:KJB83857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMREKDGHKSENKQTEEVNSIEKEIDVLNGVPKSSNRDDDNNKIKDRTKKRKTEFDTDKKESQNTHKKHKTSKDAVEEIPMETCLGGKENAEQESSKAMNLENNGEAKRVKKDKHKKNRDEINAVATVTETLKHSKKESKKAHKKHKKSKDEVKEISMESCKGGKKNTEQESSKVMNLENNGEAKRVGKDKHKDKDGTNAVGTVTGTLEHSKKESPKAHKKSKDVVEEISMETCLGGKENAEKESSKVVNLGNNGEAEKVREDKHKRKNKDGIDAVATVTETFVHSKMQEPVETEVCEKQKKKKKLEKVNGNVADDIAAMSSTTDKKGTGKKNSAGNELERKKLRDIGGDDEAGNKRKKKKSKSVENDTDQVENATLKEKSKKVSFSDQVEVFPSMDDAKKDKEGFVKGKRFSKEEDEIVMNAVADYIESHNLGDEGLNMVLNCGSHKEVRRCWNEIQAAIPWRPVESVYNRAHVLFERDEKRPWTPEEYEMVKKFVEQHGRNWRLLGDTLGKHRHHVKDTWRRISANAKKGQWSQDEYQKLFDLVNLDLCMKATQERKSKYGMLRDNICWTAIGNKMETRAFVSCCSKWYRNLTSPMVAEGLWADVDDYRMLDALSSLDACCMEDVNWDNILEHRSGDLCRKRWSQMVQRLGSNKNLSFAEQVDILAERYRPDMIEARETFDSKQPVDLP >KJB83856 pep chromosome:Graimondii2_0_v6:13:58038498:58043635:-1 gene:B456_013G268300 transcript:KJB83856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMREKDGHKSENKQTEEVNSIEKEIDVLNGVPKSSNRDDDNNKIKDRTKKRKTEFDTDKKESQNTHKKHKTSKDAVEEIPMETCLGGKENAEQESSKAMNLENNGEAKRVKKDKHKKNRDEINAVATVTETLKHSKKESKKAHKKHKKSKDEVKEISMESCKGGKKNTEQESSKVMNLENNGEAKRVGKDKHKDKDGTNAVGTVTGTLEHSKKESPKAHKKSKDVVEEISMETCLGGKENAEKESSKVVNLGNNGEAEKVREDKHKRKNKDGIDAVATVTETFVHSKMQEPVETEVCEKQKKKKKLEKVNGNVADDIAAMSSTTDKKGTGKKNSAGNELERKKLRDIGGDDEAGNKRKKKKSKSVENDTDQVENATLKEKSKKVSFSDQVEVFPSMDDAKKDKEGFVKGKRFSKEEDEIVMNAVADYIESHNLGDEGLNMVLNCGSHKEVRRCWNEIQAAIPWRPVESVYNRAHVLFERDEKRPWTPEEYEMVKKFVEQHGRNWRLLGDTLGKHRHHVKDTWRRISANAKKGQWSQDEYQKLFDLVNLDLCMKATQERKSKYGMLRDNICWTAIGNKMETRAFVSCCSKWYRNLTSPMVAEGLWADVDDYRMLDALSSLDACCMEDVNWDNILEHRSGDLCRKRWSQMVQRLGSNKNLSFAEQVDILAERYRPDMIEARETFDSKQPVDLP >KJB81248 pep chromosome:Graimondii2_0_v6:13:37111238:37111369:1 gene:B456_013G1384002 transcript:KJB81248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTSNLCIK >KJB81252 pep chromosome:Graimondii2_0_v6:13:37111238:37111369:1 gene:B456_013G1384002 transcript:KJB81252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTSNLCIK >KJB81249 pep chromosome:Graimondii2_0_v6:13:37110640:37111369:1 gene:B456_013G1384002 transcript:KJB81249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTSNLCIK >KJB81250 pep chromosome:Graimondii2_0_v6:13:37110640:37111369:1 gene:B456_013G1384002 transcript:KJB81250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTSNLCIK >KJB81253 pep chromosome:Graimondii2_0_v6:13:37110640:37111369:1 gene:B456_013G1384002 transcript:KJB81253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTSNLCIK >KJB81251 pep chromosome:Graimondii2_0_v6:13:37111238:37111369:1 gene:B456_013G1384002 transcript:KJB81251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAADLPPKFKSMLVPGMIQHVFCTSNLCIK >KJB78750 pep chromosome:Graimondii2_0_v6:13:1097009:1098245:-1 gene:B456_013G015800 transcript:KJB78750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVVELKVGLHCDECIKKILKAIKKIEDIETYNVDTKLNKVIVTGNVTNDEVIRVLQKIGKQATTWESD >KJB79170 pep chromosome:Graimondii2_0_v6:13:2813106:2815444:-1 gene:B456_013G036200 transcript:KJB79170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGKILSSGPILLFLLYLVALVSLPADAAVKRYQFDVQVKNVSRLCHAKPIVTVNGMFPGPTIYARDGDRVVINVTNYAQYNMSIHWHGLKQFRNGWADGPAYITQCPIQNGHSYTYDFNVTGQKGTLWWHAHIFWLRATVYGAIVIMPKQGTPFPFPQPYRETNIVLGEWWNNNVEEVVKQGNKLGLPPTTSDAHTINGKPGPLFPCSEKHTFAMEVEQGKTYLLRIINAALNDELFFAVAGHNMTVVEIDAVYTKPFTTQAIIIAPGQTTNVLVQANQAPGRYFMAARPFMDAPLTVDNKTATAILQYKGIPNTVLPILPKLPLPNDTAFALSYNAKLRSLDSPLYPANVPLKVDRRLFYTIGLGINPCPTCLNGTRLTASLNNITFVMPHIGLLQAHYLNIKGVYTADFPDRPPQPFNYTGAPLTANLGTTLGTRLSKIAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPAKDPAKFNLVDPPERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTAFVVENGKGPDQSILPPPKDLPPC >KJB83547 pep chromosome:Graimondii2_0_v6:13:57060591:57062922:-1 gene:B456_013G253400 transcript:KJB83547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLTRSLIAKARASSIRLLLHDTSIETQRLALVRFYVSGSAVAVSSSSPSLSIWRRKKEMTKEGLIAAKELKRLQSNPVRLHRFILSHVSRLLKSDIVSVLAEFQRQNQVFLSMKLYDVVRKEIWYRPDMFFYRDMLMMLARNRKVDESRRVWEDLKKEQVLFDQHTFGDLVRVYLDSGLPSEAMDIYDEMRRSPDPPLSLPFRVILKGLIPYPELREKVKDDFLELFPDMIVYDPPEDLFEDRESRSESEVE >KJB83548 pep chromosome:Graimondii2_0_v6:13:57060691:57062922:-1 gene:B456_013G253400 transcript:KJB83548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLTRSLIAKARASSIRLLLHDTSIETQRLALVRFYVSGSAVAVSSSSPSLSIWRRKKEMTKEGLIAAKELKRLQSNPVRLHRFILSHVSRLLKSDIVSVLAEFQRQNQLYDVVRKEIWYRPDMFFYRDMLMMLARNRKVDESRRVWEDLKKEQVLFDQHTFGDLVRVYLDSGLPSEAMDIYDEMRRSPDPPLSLPFRVILKGLIPYPELREKVKDDFLELFPDMIVYDPPEDLFEDRESRSESEVE >KJB79918 pep chromosome:Graimondii2_0_v6:13:8629781:8631125:1 gene:B456_013G072300 transcript:KJB79918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFPEFPNCKFYDFTGIPGSFKLNWSGEANTGRLSRGCQTGLDSMLASVRRPSQREAMESQRCRIHQQHRKPIGGLNRPLELLGINKRQGKHWCDDSINHHETGPTKSLEKCRRCNILGKASQFG >KJB82453 pep chromosome:Graimondii2_0_v6:13:50609587:50611425:1 gene:B456_013G198000 transcript:KJB82453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAQHHSQLHSTDRVLEGIVADALNAGSLLHCRPMLNIENQLLFFVLLTLYGMIEPNLGSEGHLYRLATSALSFFDKGILEKIVRSEDNFQTSRNLRPSATELEDVGIYFFGAPIQKMQDREQGVENMFDIKFHKNTKKLKIPTLQVCDSTEYIFQNYMAYEQLFTWEVPTFFFDYMIFIDKLINTSKDVELLQKSGIIDNFPKGFYYNEIANQVNKHCKRDWNKWKAKLKKDYFQTPWSPISFLAALVLLLLTIL >KJB80095 pep chromosome:Graimondii2_0_v6:13:11024909:11034269:-1 gene:B456_013G080700 transcript:KJB80095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLLGCLAALIVMTILSAVVGWAAPNLISRKWTHHITTLLFFGFGLWSLWDAFMENGEAEELAEVEAKLDADWKANPGTFKGGNKADDDSKKERRPFLTQFFSPIFLKAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGIFVHHCCCSRRKESGISDI >KJB80087 pep chromosome:Graimondii2_0_v6:13:11024124:11034548:-1 gene:B456_013G080700 transcript:KJB80087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLLGCLAALIVMTILSAVVGWAAPNLISRKWTHHITTLLFFGFGLWSLWDAFMENGEAEELAEVEAKLDADWKANPGTFKGGNKADDDSKKERRPFLTQFFSPIFLKAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQAVCTTAAVLGGKSLASQISEKIVALSGGVLFIVFGIQSLLSTES >KJB80092 pep chromosome:Graimondii2_0_v6:13:11024156:11034548:-1 gene:B456_013G080700 transcript:KJB80092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLLGCLAALIVMTILSAVVGWAAPNLISRKWTHHITTLLFFGFGLWSLWDAFMENGEAEELAEVEAKLDADWKANPGTFKGGNKADDDSKKERRPFLTQFFSPIFLKAFSITFFGEWGDKSQMRIHSVWFLVEFWDKQCAPLLLF >KJB80098 pep chromosome:Graimondii2_0_v6:13:11025875:11034548:-1 gene:B456_013G080700 transcript:KJB80098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLLGCLAALIVMTILSAVVGWAAPNLISRKWTHHITTLLFFGFGLWSLWDAFMENGEAEELAEVEAKLDADWKANPGTFKGGNKVQKQQYISQKGKVLYTDNMFSFSVELI >KJB80091 pep chromosome:Graimondii2_0_v6:13:11023704:11034548:-1 gene:B456_013G080700 transcript:KJB80091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLLGCLAALIVMTILSAVVGWAAPNLISRKWTHHITTLLFFGFGLWSLWDAFMENGEAEELAEVEAKLDADWKANPGTFKGGNKADDDSKKERRPFLTQFFSPIFLKAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQAVCTTAAVLGGKSLASQISEKIVALSGGVLFIVFGIQSLLSTES >KJB80093 pep chromosome:Graimondii2_0_v6:13:11024726:11034269:-1 gene:B456_013G080700 transcript:KJB80093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLLGCLAALIVMTILSAVVGWAAPNLISRKWTHHITTLLFFGFGLWSLWDAFMENGEAEELAEVEAKLDADWKANPGTFKGGNKADDDSKKERRPFLTQFFSPIFLKAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQAVCTTAAVLGGKSLASQISEKIVALSGGVLFIVFGIQSLLSTES >KJB80090 pep chromosome:Graimondii2_0_v6:13:11024156:11034548:-1 gene:B456_013G080700 transcript:KJB80090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLLGCLAALIVMTILSAVVGWAAPNLISRKWTHHITTLLFFGFGLWSLWDAFMENGEAEELAEVEAKLDADWKANPGTFKGGNKADDDSKKERRPFLTQFFSPIFLKAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQAVCTTAAVLGGKSLASQISEKIVALSGGVLFIVFGIQSLLSTES >KJB80089 pep chromosome:Graimondii2_0_v6:13:11017809:11034548:-1 gene:B456_013G080700 transcript:KJB80089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLLGCLAALIVMTILSAVVGWAAPNLISRKWTHHITTLLFFGFGLWSLWDAFMENGEAEELAEVEAKLDADWKANPGTFKGGNKADDDSKKERRPFLTQFFSPIFLKAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQAVCTTAAVLGGKSLASQISEKIVALSGGVLFIVFGIQSLLSTES >KJB80097 pep chromosome:Graimondii2_0_v6:13:11021255:11034549:-1 gene:B456_013G080700 transcript:KJB80097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLLGCLAALIVMTILSAVVGWAAPNLISRKWTHHITTLLFFGFGLWSLWDAFMENGEAEELAEVEAKLDADWKANPGTFKGGNKADDDSKKERRPFLTQFFSPIFLKAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQAVCTTAAVLGGKSLASQISEKIVALSGGVLFIVFGIQSLLSTES >KJB80096 pep chromosome:Graimondii2_0_v6:13:11024156:11034548:-1 gene:B456_013G080700 transcript:KJB80096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLLGCLAALIVMTILSAVVGWAAPNLISRKWTHHITTLLFFGFGLWSLWDAFMENGEAEELAEVEAKLDADWKANPGTFKGGNKADDDSKKERRPFLTQFFSPIFLKAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILLHSRVEFFSLFLESNPSSQQSLDDLLMVSSDFSIKKLFTRVGVLRWGGVGT >KJB80094 pep chromosome:Graimondii2_0_v6:13:11024842:11034269:-1 gene:B456_013G080700 transcript:KJB80094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLLGCLAALIVMTILSAVVGWAAPNLISRKWTHHITTLLFFGFGLWSLWDAFMENGEAEELAEVEAKLDADWKANPGTFKGGNKADDDSKKERRPFLTQFFSPIFLKAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQAVCTTAAVLGGKSLASQISEKIVIQIHTFPFYPYATVMVCI >KJB80088 pep chromosome:Graimondii2_0_v6:13:11024086:11034548:-1 gene:B456_013G080700 transcript:KJB80088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLLGCLAALIVMTILSAVVGWAAPNLISRKWTHHITTLLFFGFGLWSLWDAFMENGEAEELAEVEAKLDADWKANPGTFKGGNKADDDSKKERRPFLTQFFSPIFLKAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQAVCTTAAVLGGKSLASQISEKIVALSGGVLFIVFGIQSLLSTES >KJB82618 pep chromosome:Graimondii2_0_v6:13:51615237:51622742:1 gene:B456_013G205300 transcript:KJB82618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARASSGLAYPERFYAAAAYVGFDGSPNSNDKDIGSRFSTDVALILYALYQQATVGPCNVPKPSLWNPVEQGKWKSWKQLGNMVSAEAMRLFVKILEEEEPGWYSRASNSVLEPVTDVQMNHNAIVEPIIKNRNSFAETKTISAENGGLIETQDIDVVSEGLGSVVVYDQWTSLPITGQRPKGRYEHAAAVVEDKMYIYGGNHNGRYLSDLHVLDLRSCTWSKIEAKVESKSVETPSPINITPSAGHSLIPWENKLLSIAGHTKDPSETFQVKAFDLQIGTWSMLNTYGKPPVSRGGQSVTLVGTTLVIFGGQDAKQTLLNDLHILDLETLTWDEIDAVGVPPSPRSGHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQTMEWSRPTQQGEIPVPRAGHAGVTVGENWFIVGGGDNKSGASETVVLNMSTLAWSVVTSVEGRVPLASEGLSLVVGSLNGEDILISFGGYNGHYNSEVNVLKPSHKSTLQSKIMEGPLPDSVSAVHNATNPTRDLESEFEVGQEGKIRETAIDNFEPMKSKGGETSEHILAMLKAEKEELESSFNNEKLLSLQLKQELADAEARNTDLYKELQSVRGQLASEQSRCFKLEVDVAELRQKLQTMETLQRELELLQRQKATSEQVAINAKQRQGPGGVWGWLAGSTPQNADDA >KJB82620 pep chromosome:Graimondii2_0_v6:13:51616811:51622742:1 gene:B456_013G205300 transcript:KJB82620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYGGNHNGRYLSDLHVLDLRSCTWSKIEAKVESKSVETPSPINITPSAGHSLIPWENKLLSIAGHTKDPSETFQVKAFDLQIGTWSMLNTYGKPPVSRGGQSVTLVGTTLVIFGGQDAKQTLLNDLHILDLETLTWDEIDAVGVPPSPRSGHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQTMEWSRPTQQGEIPVPRAGHAGVTVGENWFIVGGGDNKSGASETVVLNMSTLAWSVVTSVEGRVPLASEGLSLVVGSLNGEDILISFGGYNGHYNSEVNVLKPSHKSTLQSKIMEGPLPDSVSAVHNATNPTRDLESEFEVGQEGKIRETAIDNFEPMKSKGGETSEHILAMLKAEKEELESSFNNEKLLSLQLKQELADAEARNTDLYKELQSVRGQLASEQSRCFKLEVDVAELRQKLQTMETLQRELELLQRQKATSEQVAINAKQRQGPGGVWGWLAGSTPQNADDA >KJB82619 pep chromosome:Graimondii2_0_v6:13:51616437:51622742:1 gene:B456_013G205300 transcript:KJB82619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAEAMRLFVKILEEEEPGWYSRASNSVLEPVTDVQMNHNAIVEPIIKNRNSFAETKTISAENGGLIETQDIDVVSEGLGSVVVYDQWTSLPITGQRPKGRYEHAAAVVEDKMYIYGGNHNGRYLSDLHVLDLRSCTWSKIEAKVESKSVETPSPINITPSAGHSLIPWENKLLSIAGHTKDPSETFQVKAFDLQIGTWSMLNTYGKPPVSRGGQSVTLVGTTLVIFGGQDAKQTLLNDLHILDLETLTWDEIDAVGVPPSPRSGHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQTMEWSRPTQQGEIPVPRAGHAGVTVGENWFIVGGGDNKSGASETVVLNMSTLAWSVVTSVEGRVPLASEGLSLVVGSLNGEDILISFGGYNGHYNSEVNVLKPSHKSTLQSKIMEGPLPDSVSAVHNATNPTRDLESEFEVGQEGKIRETAIDNFEPMKSKGGETSEHILAMLKAEKEELESSFNNEKLLSLQLKQELADAEARNTDLYKELQSVRGQLASEQSRCFKLEVDVAELRQKLQTMETLQRELELLQRQKATSEQVAINAKQRQGPGGVWGWLAGSTPQNADDA >KJB79093 pep chromosome:Graimondii2_0_v6:13:2512299:2514060:-1 gene:B456_013G033100 transcript:KJB79093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGCKIENQEMGFQASERLYLGMDFGTSGARYALIDKQGTIHAEGKREYPNYMKEDSLDWALSWKTTLFSLLEDVPVHLRPLVASISLDGTSATTLIIDSKTGEPLARPYLYNESCPDALPLVKSIAPINHTVCSGSSTLCKLVSWWNNDDSDKKSTMLLHQADWLLWLLHGQLGVSDYNNALKVGYDPEADSYPDWLLSQPYAQLLPMVKAPGTSIGHLKGDIRTQFGFSEDCIVCTGTTDSIAAFLAARATKPGKALFFGDLNSNFGYYFYVGYLFGFNPCN >KJB79082 pep chromosome:Graimondii2_0_v6:13:2511268:2513312:-1 gene:B456_013G033100 transcript:KJB79082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHQADWLLWLLHGQLGVSDYNNALKVGYDPEADSYPDWLLSQPYAQLLPMVKAPGTSIGHLKGDIRTQFGFSEDCIVCTGTTDSIAAFLAARATKPGKAVTSLGSTLAIKLLSTTRIEDARYGVYSHRLDDKWLVGGASNTGGAVLKENFSDEQLEKLSEHINPMEASPLDYYPLKSVGERFPVANPKMEPRLHPRPESDVEYLHGILESIARIEAKAYMLLKDLGATQVDEVFTAGGGAKNEKWTKIRERVLGLPVSRATQTEAAYGAALLALKGCQ >KJB79080 pep chromosome:Graimondii2_0_v6:13:2510947:2514501:-1 gene:B456_013G033100 transcript:KJB79080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSINHSSTLSILLYPLSPKPGCCSSRKLNVRIHPWEKSVEKSVKLRTMVVGCKIENQEMGFQASERLYLGMDFGTSGARYALIDKQGTIHAEGKREYPNYMKEDSLDWALSWKTTLFSLLEDVPVHLRPLVASISLDGTSATTLIIDSKTGEPLARPYLYNESCPDALPLVKSIAPINHTVCSGSSTLCKLVSWWNNDDSDKKSTMLLHQADWLLWLLHGQLGVSDYNNALKVGYDPEADSYPDWLLSQPYAQLLPMVKAPGTSIGHLKGDIRTQFGFSEDCIVCTGTTDSIAAFLAARATKPGKAVTSLGSTLAIKLLSTTRIEDARYGVYSHRLDDKWLVGGASNTGGAVLKENFSDEQLEKLSEHINPMEASPLDYYPLKSVGERFPVANPKMEPRLHPRPESDVEYLHGILESIARIEAKAYMLLKDLGATQVDEVFTAGGGAKNEKWTKIRERVLGLPVSRATQTEAAYGAALLALKGCQ >KJB79092 pep chromosome:Graimondii2_0_v6:13:2512417:2514060:-1 gene:B456_013G033100 transcript:KJB79092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGCKIENQEMGFQASERLYLGMDFGTSGARYALIDKQGTIHAEGKREYPNYMKEDSLDWALSWKTTLFSLLEDVPVHLRPLVASISLDGTSATTLIIDSKTGEPLARPYLYNESCPDALPLVKSIAPINHTVCSGSSTLCKLVSWWNNDDSDKKSTMLLHQADWLLWLLHGQLGVSDYNNALKVGYDPEADSYPDWLLSQPYAQLLPMVKAPGTSIGHLKGDIRTQFGFSEDCIVCTGTTDSIAAFLAARATKPGKAVCIFFSDLHFSLCFIRKWEASLFVVVILIFIRLCHMGFIIAKVTIDKHLSAIILFVKL >KJB79083 pep chromosome:Graimondii2_0_v6:13:2510944:2514526:-1 gene:B456_013G033100 transcript:KJB79083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSINHSSTLSILLYPLSPKPGCCSSRKLNVRIHPWEKSVEKSVKLRTMVVGCKIENQEMGFQASERLYLGMDFGTSGARYALIDKQGTIHAEGKREYPNYMKEDSLDWALSWKTTLFSLLEDVPVHLRPLVASISLDGTSATTLIIDSKTGEPLARPYLYNESCPDALPLVKSIAPINHTVCSGSSTLCKLVSWWNNDDSDKKSTMLLHQADWLLWLLHGQLGVSDYNNALKVGYDPEADSYPDWLLSQPYAQLLPMVKAPGTSIGHLKGDIRTQFGFSEDCIVCTGTTDSIAAFLAARATKPGKAVCIFFSDLHFSLCFIRKWEASLFVVVILIFIRLCHMGFIIAKVTSLGSTLAIKLLSTTRIEDARYGVYSHRLDDKWLVGGASNTGGAVLKENFSDEQLEKLSEHINPMEASPLDYYPLKSVGERFPVANPKMEPRLHPRPESDVEYLHGILESIARIEAKAYMLLKDLGATQVDEVFTAGGGAKNEKWTKIRERVLGLPVSRATQTEAAYGAALLALKGCQ >KJB79084 pep chromosome:Graimondii2_0_v6:13:2511909:2514060:-1 gene:B456_013G033100 transcript:KJB79084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGCKIENQEMGFQASERLYLGMDFGTSGARYALIDKQGTIHAEGKREYPNYMKEDSLDWALSWKTTLFSLLEDVPVHLRPLVASISLDGTSATTLIIDSKTGEPLARPYLYNESCPDALPLVKSIAPINHTVCSGSSTLCKLVSWWNNDDSDKKSTMLLHQADWLLWLLHGQLGVSDYNNALKVGYDPEADSYPDWLLSQPYAQLLPMVKAPGTSIGHLKGDIRTQFGFSEDCIVCTGTTDSIAAFLAARATKPGKAVTSLGSTLAIKLLSTTRIEDARYGVYSHRLDDKWLVGGASNTGGAVLKENFSDEQLEKLSEHINPMEASPLDYYPLKSVGERFPVANPKMEPR >KJB79089 pep chromosome:Graimondii2_0_v6:13:2510947:2514501:-1 gene:B456_013G033100 transcript:KJB79089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGCKIENQEMGFQASERLYLGMDFGTSGARYALIDKQGTIHAEGKREYPNYMKEDSLDWALSWKTTLFSLLEDVPVHLRPLVASISLDGTSATTLIIDSKTGEPLARPYLYNESCPDALPLVKSIAPINHTVCSGSSTLCKLVSWWNNDDSDKKSTMLLHQADWLLWLLHGQLGVSDYNNALKVGYDPEADSYPDWLLSQPYAQLLPMVKAPGTSIGHLKGDIRTQFAGFSEDCIVCTGTTDSIAAFLAARATKPGKAVTSLGSTLAIKLLSTTRIEDARYGVYSHRLDDKWLVGGASNTGGAVLKENFSDEQLEKLSEHINPMEASPLDYYPLKSVGERFPVANPKMEPRLHPRPESDVEYLHGILESIARIEAKAYMLLKDLGATQVDEVFTAGGGAKNEKWTKIRERVLGLPVSRATQTEAAYGAALLALKGCQ >KJB79090 pep chromosome:Graimondii2_0_v6:13:2510894:2514526:-1 gene:B456_013G033100 transcript:KJB79090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSINHSSTLSILLYPLSPKPGCCSSRKLNVRIHPWEKSVEKSVKLRTMVVGCKIENQEMGFQASERLYLGMDFGTSGARYALIDKQGTIHAEGKREYPNYMKEDSLDWALSWKTTLFSLLEDVPVHLRPLVASISLDGTSATTLIIDSKTGEPLARPYLYNESCPDALPLVKSIAPINHTVCSGSSTLCKLVSWWNNDDSDKKSTMLLHQADWLLWLLHGQLGVSDYNNALKVGYDPEADSYPDWLLSQPYAQLLPMVKAPGTSIGHLKGDIRTQFGFSEDCIVCTGTTDSIAAFLAARATKPGKAVTSLGSTLAIKLLSTTRIEDARYGVYSHRLDDKWLVGGASNTGGAVLKENFSDEQLEKLSEHINPMEASPLDYYPLKSVGERFPVANPKMEPRLHPRPESDVEYLHGILESIARIEAKAYMLLKDLGATQVDEVFTAGGGAKNEKWTKIRERVLGLPVSRATQTEAAYGAALLALKGCQ >KJB79086 pep chromosome:Graimondii2_0_v6:13:2511992:2514060:-1 gene:B456_013G033100 transcript:KJB79086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGCKIENQEMGFQASERLYLGMDFGTSGARYALIDKQGTIHAEGKREYPNYMKEDSLDWALSWKTTLFSLLEDVPVHLRPLVASISLDGTSATTLIIDSKTGEPLARPYLYNESCPDALPLVKSIAPINHTVCSGSSTLCKLVSWWNNDDSDKKSTMLLHQADWLLWLLHGQLGVSDYNNALKVGYDPEADSYPDWLLSQPYAQLLPMVKAPGTSIGHLKGDIRTQFGFSEDCIVCTGTTDSIAAFLAARATKPGKAVTSLGSTLAIKLLSTTRIEDARYGVYSHRLDDKWLVGGASNTGGAVLKENFSDEQLEKLSEHINPMEASPLDYYPLKSVGERFPVANPKMEPRYLISLATLEHCYRILYSSR >KJB79091 pep chromosome:Graimondii2_0_v6:13:2512417:2514060:-1 gene:B456_013G033100 transcript:KJB79091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGCKIENQEMGFQASERLYLGMDFGTSGARYALIDKQGTIHAEGKREYPNYMKEDSLDWALSWKTTLFSLLEDVPVHLRPLVASISLDGTSATTLIIDSKTGEPLARPYLYNESCPDALPLVKSIAPINHTVCSGSSTLCKLVSWWNNDDSDKKSTMLLHQADWLLWLLHGQLGVSDYNNALKVGYDPEADSYPDWLLSQPYAQLLPMVKAPGTSIGHLKGDIRTQFAGFSEDCIVCTGTTDSIAAFLAARATKPGKAVCIFFSDLHFSLCFIRKWEASLFVVVILIFIRLCHMGFIIAKVTIDKHLSAIILFVKL >KJB79088 pep chromosome:Graimondii2_0_v6:13:2510947:2514501:-1 gene:B456_013G033100 transcript:KJB79088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGCKIENQEMGFQASERLYLGMDFGTSGARYALIDKQGTIHAEGKREYPNYMKEDSLDWALSWKTTLFSLLEDVPVHLRPLVASISLDGTSATTLIIDSKTGEPLARPYLYNESCPDALPLVKSIAPINHTVCSGSSTLCKLVSWWNNDDSDKKSTMLLHQADWLLWLLHGQLGVSDYNNALKVGYDPEADSYPDWLLSQPYAQLLPMVKAPGTSIGHLKGDIRTQFGFSEDCIVCTGTTDSIAAFLAARATKPGKAVTSLGSTLAIKLLSTTRIEDARYGVYSHRLDDKWLVGGASNTGGAVLKENFSDEQLEKLSEHINPMEASPLDYYPLKSVGERFPVANPKMEPRLHPRPESDVEYLHGILESIARIEAKAYMLLKDLGATQVDEVFTAGGGAKNEKWTKIRERVLGLPVSRATQTEAAYGAALLALKGCQ >KJB79085 pep chromosome:Graimondii2_0_v6:13:2511992:2514060:-1 gene:B456_013G033100 transcript:KJB79085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGCKIENQEMGFQASERLYLGMDFGTSGARYALIDKQGTIHAEGKREYPNYMKEDSLDWALSWKTTLFSLLEDVPVHLRPLVASISLDGTSATTLIIDSKTGEPLARPYLYNESCPDALPLVKSIAPINHTVCSGSSTLCKLVSWWNNDDSDKKSTMLLHQADWLLWLLHGQLGVSDYNNALKVGYDPEADSYPDWLLSQPYAQLLPMVKAPGTSIGHLKGDIRTQFGFSEDCIVCTGTTDSIAAFLAARATKPGKAVTSLGSTLAIKLLSTTRIEDARYGVYSHRLDDKWLVGGASNTGGAVLKENFSDEQLEKLSEHINPMEASPLDYYPLKSVGERFPVANPKMEPRYLISLATLEHCYRILYSSR >KJB79081 pep chromosome:Graimondii2_0_v6:13:2511268:2514425:-1 gene:B456_013G033100 transcript:KJB79081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSINHSSTLSILLYPLSPKPGCCSSRKLNVRIHPWEKSVEKSVKLRTMVVGCKIENQEMGFQASERLYLGMDFGTSGARYALIDKQGTIHAEGKREYPNYMKEDSLDWALSWKTTLFSLLEDVPVHLRPLVASISLDGTSATTLIIDSKTGEPLARPYLYNESCPDALPLVKSIAPINHTVCSGSSTLCKLVSWWNNDDSDKKSTMLLHQADWLLWLLHGQLGVSDYNNALKVGYDPEADSYPDWLLSQPYAQLLPMVKAPGTSIGHLKGDIRTQFGFSEDCIVCTGTTDSIAAFLAARATKPGKAVCIFFSDLHFSLCFIRKWEASLFVVVILIFIRLCHMGFIIAKVTSLGSTLAIKLLSTTRIEDARYGVYSHRLDDKWLVGGASNTGGAVLKENFSDEQLEKLSEHINPMEASPLDYYPLKSVGERFPVANPKMEPRLHPRPESDVEYLHGILESIARIEAKAYMLLKDLGATQVDEVFTAGGGAKNEKWTKIRERVLGLPVSRATQTEAAYGAALLALKGCQ >KJB79087 pep chromosome:Graimondii2_0_v6:13:2510895:2514332:-1 gene:B456_013G033100 transcript:KJB79087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGCKIENQEMGFQASERLYLGMDFGTSGARYALIDKQGTIHAEGKREYPNYMKEDSLDWALSWKTTLFSLLEDVPVHLRPLVASISLDGTSATTLIIDSKTGEPLARPYLYNESCPDALPLVKSIAPINHTVCSGSSTLCKLVSWWNNDDSDKKSTMLLHQADWLLWLLHGQLGVSDYNNALKVGYDPEADSYPDWLLSQPYAQLLPMVKAPGTSIGHLKGDIRTQFGFSEDCIVCTGTTDSIAAFLAARATKPGKAVTSLGSTLAIKLLSTTRIEDARYGVYSHRLDDKWLVGGASNTGGAVLKENFSDEQLEKLSEHINPMEASPLDYYPLKSVGERFPVANPKMEPRLHPRPESDVEYLHGILESIARIEAKAYMLLKDLGATQVDEVFTAGGGAKNEKWTKIRERVLGLPVSRATQTEAAYGAALLALKGCQ >KJB82462 pep chromosome:Graimondii2_0_v6:13:50244232:50245184:-1 gene:B456_013G1971001 transcript:KJB82462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSGEKVKAMWDKRLTEIICDICIKEILKGNRPGTHFTKDGWLKIMTNFEKETGKGFSQRQLKNKWNALKKWKACKKLKGEDTGLGWNPIKRTVDASDDWWESRLQVVPEAQKFRTLGIDPEFEGKLDQMFMGIVTTGDKAWAPSS >KJB82463 pep chromosome:Graimondii2_0_v6:13:50244232:50244777:-1 gene:B456_013G1971001 transcript:KJB82463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSGEKVKAMWDKRLTEIICDICIKEILKGNRPGTHFTKDGWLKIMTNFEKETGKGFSQRQLKNKWNALKKWKACKKLKGEDTGLGWNPIKRTVDASDDWWESRLQVVPEAQKFRTLGIDPEFEGKLDQMFMGIVTTGDKAWAPSS >KJB82760 pep chromosome:Graimondii2_0_v6:13:52424949:52428394:1 gene:B456_013G211900 transcript:KJB82760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWRACYLDVILVPLGMLIPIAYHCWLWHKVRTQPLATIIGINSTGRRFWVSAIIKDNEKKNILAVQTLRNTIMGSTLMATTSILLCAGLAAVISSTYTVKKPLNDSIFGAHGEFMVALKYVTILCIFLFSFSCHTLSIRFINQVNILINTPQDPTSIVTPHYLSDLLEKGFLLNTVGNRLFYAALPLLLWIFGPVLVFVGSITIVTLLYNLDFVFGFRRNKQIDVGDCESG >KJB82761 pep chromosome:Graimondii2_0_v6:13:52425082:52428296:1 gene:B456_013G211900 transcript:KJB82761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHACMQDNEKKNILAVQTLRNTIMGSTLMATTSILLCAGLAAVISSTYTVKKPLNDSIFGAHGEFMVALKYVTILCIFLFSFSCHTLSIRFINQVNILINTPQDPTSIVTPHYLSDLLEKGFLLNTVGNRLFYAALPLLLWIFGPVLVFVGSITIVTLLYNLDFVFGFRRNKQIDVGDCESG >KJB81105 pep chromosome:Graimondii2_0_v6:13:33790443:33797353:-1 gene:B456_013G129500 transcript:KJB81105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIISRKIFPACESMCVCCPALRSRSRQPVKRYKKLLSEIFPKSPGAPPNERKITKLCEYAARNPFRIPKIAKYLEERCYKELRYKHVKFINIVIEAYNKLLCMCKEQMAYFAVNLLNVVSELLDNSKQEATQILGCQTLTKFIYSQADGTYTHNIEKFVHKVCKLAHEDGEEHLRSCLRASSLQCLSAMVWFMAQYSYIFSALDEIVHATLDNYELDTHAEDDDERGEPHRNWVDEVVRCEGRGATVACDASPSNMIIRPQPEKKDPSLLTREEIETPKVWAQICIQRMVELAKESTTLRLVVDPMFVYFDSRQHWVSQQGLAMVVLSDMSYWEASGNQQHVLAAVVRHLDHKNVAHDPQLKSYIIQVAAALARQIRSRRMLAEIGFVSDLCRHLRKSFQATLESVGEQDTNLNILLQNSIEDCLLEIAKGIDDVRSLFNMMAISLEKLPSSGSVARATVGSLMVLAHMISLALVTSRSQQVFPEALHVQLMKAMLHPNLEVRVGAHQIFSALLIPSSSRPQREVASLCSGNVYEPRRWRSNNASAFASISVLLEKLRREKDGIKKEKNGFNIHDDLKGKANMEDGRNQGHVHKSSPNIYNITSIIDRAAGPNMIEEPYIMKLTEDQIMQLLSAFWIQATLPDNLPSNIEAISHSFVLTLISLRLKNVNDNLVVRFFQLPLSLKNTSLDLSNGMLTPVLQRSILILSMSMLMFAAKIYQIPNDLIKSIVPFDADPYLGISEDIQVFVRPEADLRGYGSVTESQHASSLLFELRDKIYKYENAMMDVLVQNLSAITELGMDDLRKQLLEPFTPDDAFTFVPQSIFDLDHHQMITHSKESLSFDEVCH >KJB81106 pep chromosome:Graimondii2_0_v6:13:33790443:33797359:-1 gene:B456_013G129500 transcript:KJB81106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIISRKIFPACESMCVCCPALRSRSRQPVKRYKKLLSEIFPKSPGAPPNERKITKLCEYAARNPFRIPKIAKYLEERCYKELRYKHVKFINIVIEAYNKLLCMCKEQMAYFAVNLLNVVSELLDNSKQEATQILGCQTLTKFIYSQADGTYTHNIEKFVHKVCKLAHEDGEEHLRSCLRASSLQCLSAMVWFMAQYSYIFSALDEIVHATLDNYELDTHAEDDDERGEPHRNWVDEVVRCEGRGATVACDASPSNMIIRPQPEKKDPSLLTREEIETPKVWAQICIQRMVELAKESTTLRLVVDPMFVYFDSRQHWVSQQGLAMVVLSDMSYWEASGNQQHVLAAVVRHLDHKNVAHDPQLKSYIIQVAAALARQIRSRRMLAEIGFVSDLCRHLRKSFQATLESVGEQDTNLNILLQNSIEDCLLEIAKGIDDVRSLFNMMAISLEKLPSSGSVARATVGSLMVLAHMISLALVTSRSQQVFPEALHVQLMKAMLHPNLEVRVGAHQIFSALLIPSSSRPQREVASLCSGNVYEPRRWRSNNASAFASISVLLEKLRREKDGIKKEKNGFNIHDDLKGKANMEDGRNQGHVHKSSPNIYNITSIIDRAAGPNMIEEPYIMKLTEDQIMQLLSAFWIQATLPDNLPSNIEAISHSFVLTLISLRLKNVNDNLVVRFFQLPLSLKNTSLDLSNGMLTPVLQRSILILSMSMLMFAAKIYQIPNDLIKSIVPFDADPYLGISEDIQVFVRPEADLRGYGSVTESQHASSLLFELRDKIYKYENAMMDVLVQNLSAITELGMDDLRKQLLEPFTPDDAFTFVPQSIFDLDHHQMITHSKESLSFDEMQEVKHLFFTIPASIPKCLHPLPSLM >KJB81104 pep chromosome:Graimondii2_0_v6:13:33790418:33797359:-1 gene:B456_013G129500 transcript:KJB81104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIISRKIFPACESMCVCCPALRSRSRQPVKRYKKLLSEIFPKSPGAPPNERKITKLCEYAARNPFRIPKIAKYLEERCYKELRYKHVKFINIVIEAYNKLLCMCKEQMAYFAVNLLNVVSELLDNSKQEATQILGCQTLTKFIYSQADGTYTHNIEKFVHKVCKLAHEDGEEHLRSCLRASSLQCLSAMVWFMAQYSYIFSALDEIVHATLDNYELDTHAEDDDERGEPHRNWVDEVVRCEGRGATVACDASPSNMIIRPQPEKKDPSLLTREEIETPKVWAQICIQRMVELAKESTTLRLVVDPMFVYFDSRQHWVSQQGLAMVVLSDMSYWEASGNQQHVLAAVVRHLDHKNVAHDPQLKSYIIQVAAALARQIRSRRMLAEIGFVSDLCRHLRKSFQATLESVGEQDTNLNILLQNSIEDCLLEIAKGIDDVRSLFNMMAISLEKLPSSGSVARATVGSLMVLAHMISLALVTSRSQQVFPEALHVQLMKAMLHPNLEVRVGAHQIFSALLIPSSSRPQREVASLCSGNVYEPRRWRSNNASAFASISVLLEKLRREKDGIKKEKNGFNIHDDLKGKANMEDGRNQGHVHKSSPNIYNITSIIDRAAGPNMIEEPYIMKLTEDQIMQLLSAFWIQATLPDNLPSNIEAISHSFVLTLISLRLKNVNDNLVVRFFQLPLSLKNTSLDLSNGMLTPVLQRSILILSMSMLMFAAKIYQIPNDLIKSIVPFDADPYLGISEDIQVFVRPEADLRGYGSVTESQHASSLLFELRDKIYKYENAMMDVLVQNLSAITELGMDDLRKQLLEPFTPDDAFTFVPQSIFDLDHHQMITHSKESLSFDEDVQTNSLLEEDARSEASVLHHSRFNPKVSASPAISHVISIGQLMESALEVAGQVAATSVSTSPLPYDTMASQCEAFGTGTRKKLSNWLAHENNQNGAADKLLPTVMADRRTMLSKISSEGVFSGAVSWVDPCLAMRLPPASPFDNFLKVARY >KJB81858 pep chromosome:Graimondii2_0_v6:13:44694196:44696511:1 gene:B456_013G165000 transcript:KJB81858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGGSETCSHGFSLDSSLLLPTKEPNWEAIPESESEKLCIDDMLDVYCGEFGSWQLRHFVLTSLAWALEAFHTMVMIFADQEPGWRCVEGSGSWCDEKAESVCGLEPGSWEWEGGPGSSTVAQWGLVCREKYKVGLVQALFFAGCMIGAGVFGHLSDSSLGRKGSLKTVCILNAIFGCLTSIAPDYFTYLLLRFLTGFSTGGVGLCSFVLATEPVGPTKRGVAGMSTFYFFSTGIALLSGIAYIFPTWRALYVASSVPSILFLFLVIPFISESPRWYLVRGKINKAMKIMQAIAKSNGNNLPEGVILALDDEANDASNDNQSSSKEAVSGSIVDVIRSPVTCIRLFLAIGISFTCSVVYYGLSLNVVNLETNIHLSVLLNAVAEMPAFTITAVLLDRFGRKPLAIGTQWFSAIFCLTGCVVGSVRMWEVIKMGCGVLGIFGMAGTYNLLFIYTAELFPTVVRNAALGSATQAAQMGAILAPFVVVLGGVLPFLVFGICGLVGGMLAYYLPETLNKPLYDTMRGIEEGEADWRIT >KJB82223 pep chromosome:Graimondii2_0_v6:13:48073629:48074016:1 gene:B456_013G1826002 transcript:KJB82223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPDASAIDGGPEPDSESALLLRTFLKPEDKFNLGYIIYFTLGVGFLLSWNSFITAVDYFSYLYPEASVHRVFAVVYMVVGLACLLVIVFYAHKSEAYMRINVGLGIFVVSLLIVPVMDAVILRVGSD >KJB80446 pep chromosome:Graimondii2_0_v6:13:17446828:17450394:1 gene:B456_013G098100 transcript:KJB80446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLDSLVQTQMAVAVFKSPLRGEYPRNNRMEGKQPVGRRRVFVQTETGCVLGMELDRSDSAHTVKRRLQVALNFPAEQSSLTFGDVELKNDLSAIRNDSPLLLTRNYLHRSSSTPCLSPTGKDLQQRDKSGPIEILGHSDSFNVLKVVVKDIVKAIKMGVDPVPVHSGLGGAYYFRNPRGENVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVVAYLLDHDHFANVPPTALVKITHSVFNVNDGVNRNRPHKNLVSKIASCQQFIRHDFDASDHGTSSFPVSSVHRIGILDIRIFNTDRHAGNLLVRKLDGIGRFGQMELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSEDELEYIQKLDPMKDCEMLRRELPMIREACLRVLVLCTIFLKEAAAFGLCLAEIGEMMSREFRAGEEEPSELEVICLEARRLIAERELSSPKSDVRDAEFQFDIDFEEPELDLNPKMATDDFMSRAPFQLGNGFVSNHFPLSKLEECFEEDEEESEEETEQPCFSTFKALERIPSTSKLSMSFKNTSLGDKSQKYSKFSGTKQENGYLNNSSGHRSANEQLPGNVSFVKLADMNEEEWTLFLEKFQELLYPGFEKRKSVTLGQKQIQRLGTSCQF >KJB82090 pep chromosome:Graimondii2_0_v6:13:46654368:46658052:1 gene:B456_013G176700 transcript:KJB82090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGERRVALSPHKVMSALSLYIQNFDLTSQQDAAEAFLHLLSSLREEFSDIYLPNQSTLADIFASQTSRILTPERKEVQNEQKRWQQHYLGPFDGIIGSILSCRSCSYQISLDFQFFHSLPLFPVLYGASTIMAGCTLEDCLWQFVLAEKLENYYCSHCWHSAAIKFLSCKGANEIEIEKLKRCSAEESCDCRSFCCLENLPWSNNFSNTLKQLCIARCPKILCLHLQRASINEVGELIKLQGHIAFPLILDLSPFMTTEVEITNWEGVHKGQLKLQNQKTRPHLNLINAQYESILNRISKPTGEKVSSEILVANGSQCTTSPGESLPEKSKLYPTDGCSKASNIDMHEQHNDKVSLTSKLPPSETKLYRLASVVEHFGRPGGGHYTVYRSMRTKSDEVDSDEYSEPATTKWFCISDSQVCSVSEKDVLAAEASLLFYERIV >KJB82088 pep chromosome:Graimondii2_0_v6:13:46653001:46658141:1 gene:B456_013G176700 transcript:KJB82088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQETSMRAIIRNWKHGYRALLHMRWAADFGFHISVVGLVGVVGFVLAIIRDGKLGEKRKKHLEKLCLVPGLQNLGNNCFLNVILQALASCSYFQSFLQKILDECESTLVDRQGESLPLTITLSDLLEELGMAGERRVALSPHKVMSALSLYIQNFDLTSQQDAAEAFLHLLSSLREEFSDIYLPNQSTLADIFASQTSRILTPERKEVQNEQKRWQQHYLGPFDGIIGSILSCRSCSYQISLDFQFFHSLPLFPVLYGASTIMAGCTLEDCLWQFVLAEKLENYYCSHCWHSAAIKFLSCKGANEILCLHLQRASINEVGELIKLQGHIAFPLILDLSPFMTTEVEITNWEGVHKGQLKLQNQKTRPHLNLINAQYESILNRISKPTGEKVSSEILVANGSQCTTSPGESLPEKSKLYPTDGCSKASNIDMHEQHNDKVSLTSKLPPSETKLYRLASVVEHFGRPGGGHYTVYRSMRTKSDEVDSDEYSEPATTKWFCISDSQVCSVSEKDVLAAEASLLFYERIV >KJB82089 pep chromosome:Graimondii2_0_v6:13:46652579:46658186:1 gene:B456_013G176700 transcript:KJB82089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQETSMRAIIRNWKHGYRALLHMRWAADFGFHISVVGLVGVVGFVLAIIRDGKLGEKRKKHLEKLCLVPGLQNLGNNCFLNVILQALASCSYFQSFLQKILDECESTLVDRQGESLPLTITLSDLLEELGMAGERRVALSPHKVMSALSLYIQNFDLTSQQDAAEAFLHLLSSLREEFSDIYLPNQSTLADIFASQTSRILTPERKEVQNEQKRWQQHYLGPFDGIIGSILSCRSCSYQISLDFQFFHSLPLFPVLYGASTIMAGCTLEDCLWQFVLAEKLENYYCSHCWHSAAIKFLSCKGANEIEIEKLKRCSAEESCDCRSFCCLENLPWSNNFSNTLKQLCIARCPKILCLHLQRASINEVGELIKLQGHIAFPLILDLSPFMTTEVEITNWEGVHKGQLKLQNQKTRPHLNLINAQYESILNRISKPTGEKVSSEILVANGSQCTTSPGESLPEKSKLYPTDGCSKASNIDMHEQHNDKVSLTSKLPPSETKLYRLASVVEHFGRPGGGHYTVYRSMRTKSDEVDSDEYSEPATTKWFCISDSQVCSVSEKDVLAAEASLLFYERIV >KJB82087 pep chromosome:Graimondii2_0_v6:13:46652610:46658141:1 gene:B456_013G176700 transcript:KJB82087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQETSMRAIIRNWKHGYRALLHMRWAADFGFHISVVGLVGVVGFVLAIIRDGKLGEKRKKHLEKLCLVPGLQNLGNNCFLNVILQALASCSYFQSFLQKILDECESTLVDRQGESLPLTITLSDLLEELGMAGERRVALSPHKVMSALSLYIQNFDLTSQQDAAEAFLHLLSSLREEFSDIYLPNQSTLADIFASQTSRILTPERKEVQNEQKRWQQHYLGPFDGIIGSILSCRSCSYQISLDFQFFHSLPLFPVLYGASTIMAGCTLEDCLWQFVLAEKLENYYCSHCWHSAAIKFLSCKGANEILCLHLQRASINEVGELIKLQGHIAFPLILDLSPFMTTEVEITNWEGVHKGQLKLQNQKTRPHLNLINAQYESILNRISKPTGEKVSSEILVANGSQCTTSPGESLPEKSKLYPTDGCSKASNIDMHEQHNDKVSLTSKLPPSETKLYRLASVVEHFGRPGGGHYTVYRSMRTKSDEVDSDEYSEPATTKWFCISDSQVCSVSEKDVLAAEASLLFYERIV >KJB82086 pep chromosome:Graimondii2_0_v6:13:46653001:46658141:1 gene:B456_013G176700 transcript:KJB82086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQETSMRAIIRNWKHGYRALLHMRWAADFGFHISVVGLVGVVGFVLAIIRDGKLGEKRKKHLEKLCLVPGLQNLGNNCFLNVILQALASCSYFQSFLQKILDECESTLVDRQGESLPLTITLSDLLEELGMAGERRVALSPHKVMSALSLYIQNFDLTSQQDAAEAFLHLLSSLREEFSDIYLPNQSTLADIFASQTSRILTPERKEVQNEQKRWQQHYLGPFDGIIGSILSCRSCSYQISLDFQFFHSLPLFPVLYGASTIMAGCTLEDCLWQFVLAEKLENYYCSHCWHSAAIKFLSCKGANEIEIEKLKRCSAEESCDCRSFCCLENLPWSNNFSNTLKQLCIARCPKILCLHLQRASINEVGELIKLQGHIAFPLILDLSPFMTTEVEITNWEGVHKGQLKLQNQKTRPHLNLINAQYESILNRISKPTGEKVSSEILVANGSQCTTSPGESLPEKSKLYPTDGCSKASNIDMHEQHNDKVSLTSKLPPSETKLYRLASVVEHFGRPGGGHYTVYRSMRTKSDEVDSDEYSEPATTKWFCISDSQVCSVSEKDVLAAEASLLFYERIV >KJB83766 pep chromosome:Graimondii2_0_v6:13:57777495:57781150:-1 gene:B456_013G264600 transcript:KJB83766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALEMSLDDLIKRNRKSRSGKSRGRGRGSGPGPARRFPNRGANRSAPYAPAKAPETTWQQDMLSDKRAALQGLAGRNSGIETGTKLYISNLDYAVSNDDIKELFSEVGDLKRFSVHYDRSGRSKGTADIVFTRRADALAAVKRYNNVELDGKPMKIEIVGTNIATPPAPLGANGAFRSSNGAIKGGQGKGGGFGRQRGGGAAGRGRGRGKGRGEKVSAEDLDADLEKYHSESMQTN >KJB83765 pep chromosome:Graimondii2_0_v6:13:57778100:57781124:-1 gene:B456_013G264600 transcript:KJB83765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALEMSLDDLIKRNRKSRSGKSRGRGRGSGPGPARRFPNRGANRSAPYAPAKAPETTWQQDMLSDKRAALQGLAGRNSGIETGTKLYISNLDYAVSNDDIKELFSEVGDLKRFSVHYDRSGRSKGTADIVFTRRADALAAVKRYNNVELDGKPMKIEIVGTNIATPPAPLGANGAFRSSNGAIKGGQGKGGGFGRQRGGGAAGRGRGRGKGRGEKVSAEDLDADLEKYHSESMQTN >KJB83767 pep chromosome:Graimondii2_0_v6:13:57779565:57781124:-1 gene:B456_013G264600 transcript:KJB83767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALEMSLDDLIKRNRKSRSGKSRGRGRGSGPGPARRFPNRGANRSAPYAPAKAPETTWQQDMLSDKRAALQGLAGRNSGIETGTKLYISNLDYAVSNDDIKELFSEVGDLKRFSVHYDRSGRSKGTADIVFTRRADALAAVKRYNNVELDGKPMKIEIVGTNIATPPAPLGANGAFRSSNGAIKGVF >KJB81037 pep chromosome:Graimondii2_0_v6:13:32938680:32941048:-1 gene:B456_013G126600 transcript:KJB81037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSFILGIIGNVISILLFASPIKTFCGMIKKKSTGDYKGEPYITTLLSTSLWTLYGIINPDGLLVMTVNGAGAILQLIYVILFLVYAPKDTKVKAAKLVGVVNVGVLGTVTAVTLFAVHKNTRLTFVGILCTALTIGMYASPLSAMSTVVKTKSVEYMPFLLSLFLFLNAGVWSAYAVLVKDIYIGVPNAVGLFLGSAQLILYVTYKNKPVSTNPSEAIEEEEEEEEEGGSAHPGRRGIEMNSSLVNV >KJB81038 pep chromosome:Graimondii2_0_v6:13:32938951:32941133:-1 gene:B456_013G126600 transcript:KJB81038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSFILGIIGNVISILLFASPIKTFCGMIKKKSTGDYKGEPYITTLLSTSLWTLYGIINPDGLLVMTVNGAGAILQLIYVILFLVYAPKDTKVKAAKLVGVVNVGVLGTVTAVTLFAVHKNTRLTFVGILCTALTIGMYASPLSAMVPNAVGLFLGSAQLILYVTYKNKPVSTNPSEAIEEEEEEEEEGGSAHPGRRGIEMNSSLVNV >KJB81508 pep chromosome:Graimondii2_0_v6:13:40715574:40719999:1 gene:B456_013G148100 transcript:KJB81508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 8 [Source:Projected from Arabidopsis thaliana (AT5G56180) UniProtKB/Swiss-Prot;Acc:Q9FKT0] MATLLRKVWESVSARSSSTSHSPLQLTSSSATSSDSSLGPCFDSIPLDILLQILRLVGPKDAIKLGCVSRAWRSLVSDNRLWIYFLQYYQGHDDPWDSVFFAELNLRTFPSRTGELSFMRIYGQRAQVSGSVIIDGGSGYCKFGWSKYACPSGRSATFLEFGNIESPMYARLRHFFGTIYSRMQVKPSTQPIVLSIPICHYDDTDSAKASRRQLKDAIHTVLFDMNVPAVCAVNQATLALFAARRTSGIVVNIGFQVTSVVPILNGKVMRKVGVEVIGLGALKLTGYLKELMQQNNINFESLYTVRTLKENLCYVAADYKTELSKDTRASMEVPAMGWFTLSKERFQTGEILFQPRIGGVRAMGLHQAVALCMDHCHAAELTCDDTWFKTIVLSGGTACLPGLAERLEKELHETLSPSLANGLRVIPPPHGPDTAWFGAKFISNLSTFPGTWCIRKKQFRRKSRANIMW >KJB81509 pep chromosome:Graimondii2_0_v6:13:40715574:40720395:1 gene:B456_013G148100 transcript:KJB81509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 8 [Source:Projected from Arabidopsis thaliana (AT5G56180) UniProtKB/Swiss-Prot;Acc:Q9FKT0] MATLLRKVWESVSARSSSTSHSPLQLTSSSATSSDSSLGPCFDSIPLDILLQILRLVGPKDAIKLGCVSRAWRSLVSDNRLWIYFLQYYQGHDDPWDSVFFAELNLRSGYPLQTFPSRTGELSFMRIYGQRAQVSGSVIIDGGSGYCKFGWSKYACPSGRSATFLEFGNIESPMYARLRHFFGTIYSRMQVKPSTQPIVLSIPICHYDDTDSAKASRRQLKDAIHTVLFDMNVPAVCAVNQATLALFAARRTSGIVVNIGFQVTSVVPILNGKVMRKVGVEVIGLGALKLTGYLKELMQQNNINFESLYTVRTLKENLCYVAADYKTELSKDTRASMEVPAMGWFTLSKERFQTGEILFQPRIGGVRAMGLHQAVALCMDHCHAAELTCDDTWFKTIVLSGGTACLPGLAERLEKELHETLSPSLANGLRVIPPPHGPDTAWFGAKFISNLSTFPGTWCIRKKQFRRKSRANIMW >KJB80883 pep chromosome:Graimondii2_0_v6:13:29857454:29858507:-1 gene:B456_013G119800 transcript:KJB80883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVPQSHASSQASRGSKRKWVPEEDAALVSCMVDLHNVGTINADTGFKASYLNELEKMLEKALPRAMLKAKPNIEYWIRCLKREWLVIYDMLNGQNNSGFGWDEHRQLVVAEDTVWESYVKSHKEAAQFRHRSFPYYNQLTAIYARDRVTGKDAQTAADVLEEIHAEDVPTTDMNEERNTFYDCEADVSLDDMDVSGTEPRGDRDQGGSSSSNKRKKKSDARDNVYSSFDEAATLLAENIKAVGDQISRSIASEVVVQQKSEEYQKMEKKASHLYSTLWEIEGLSDDQRYEALTKIPDHPTQMIVFFSLPSVARLEWVRRFLSHH >KJB82052 pep chromosome:Graimondii2_0_v6:13:49305509:49306811:-1 gene:B456_013G192100 transcript:KJB82052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTHSTILAVSRIFVSYPMGPQEVIMFTDMAVEAARMREETRRMNELLRSLQLALREKAKEYEMLKKKKQSMVAKESPKLKLVDDFMLFLAAIDKNDRENALNFDEKAMMNSILAMMNGGNNGELAADGGKKEA >KJB80866 pep chromosome:Graimondii2_0_v6:13:29593543:29598103:-1 gene:B456_013G118800 transcript:KJB80866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSPIQPFLPSQNPNPNFPLPPLSQNPNPVCPPSLSAALCSLTSLVSLSHRTLDSHSTLTTSLNSGLVTCPSNPNHLLPPGSLFSHFLHCPSPRTIDLHPPNYRNTLKSALHLHPQDSIFQGKQCSDLCLSLDDYFSDFGSHFFYKNCPGAVSLFDIDNNTKATFTLPAFLSVECVSSEGSNERESEASERKGLRVLASGLWDIGREVEGWGDYPASYSFNIACGILGSRMMKGGDLRKWLVANSPRYGIVIDEYMGDHIVLLVRLCLKAVAREATSLVEAGMEYKEDKVKESDVCLARRMFDCPVLLQVLMWLGSQLSVLYGELNGKFFAINMIKQCVLEGASRLLLFPMEEKVIDSLNLGQESQSLVTNGVEEIKLEEPTEESNEPVKTVDGSIGAGTILVSQVAAAVAALHERCFLEGKIKHLRASRPPSRYERMAEHAYVSERADAERKKRPNYRPIIDHDGLPRQASSNEETNRTKTREEILAEERDYKRRRMSYRGKKLKRTKLEVMRDIIEEYTEEIKKAGGIGCFVKGAEEERRLSSESPVPYDHAVNAGEHRKGTSDISEAVSGSPNHYGRRSHNDQHSRSSRFENYSRNNFEQSRRHRDLEDQRRRIGEEKHREDHSRTSRHRSHGGSDGRRSHRRERDDVEPTRATHYERGSRSSISKYRDYKSSYSVSNSSDDSHEKKNDLKLDSRDQNRRSSYENQTSGSRAQNGFDDRYNPLESEDMYDDVLGGKYVRPD >KJB80864 pep chromosome:Graimondii2_0_v6:13:29593543:29598103:-1 gene:B456_013G118800 transcript:KJB80864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSPIQPFLPSQNPNPNFPLPPLSQNPNPVCPPSLSAALCSLTSLVSLSHRTLDSHSTLTTSLNSGLVTCPSNPNHLLPPGSLFSHFLHCPSPRTIDLHPPNYRNTLKSALHLHPQDSIFQGKQCSDLCLSLDDYFSDFGSHFFYKNCPGAVSLFDIDNNTKATFTLPAFLSVECVSSEGSNERESEASERKGLRVLASGLWDIGREVEGWGDYPASYSFNIACGILGSRMMKGGDLRKWLVANSPRYGIVIDEYMGDHIVLLVRLCLKAVAREATSLVEAGMEYKEDKVKESDVCLARRMFDCPVLLQVLMWLGSQLSVLYGELNGKFFAINMIKQCVLEGASRLLLFPMEEKVIDSLNLGQESQSLVTNGVEEIKLEEPTEESNEPVKTVDGSIGAGTILVSQVAAAVAALHERCFLEGKIKHLRASRPPSRYERMAEHAYVSERADAERKKRPNYRPIIDHDGLPRQASSNEETNRTKTREEILAEERDYKRRRMSYRGKKLKRTKLEVMRDIIEEYTEEIKKAGGIGCFVKGAEEERRLSSESPVPYDHAVNAGEHRKGTSDISEAVSGSPNHYGRRSHNDQHSRSSRFENYSRNNFEQSRRHRDLEDQRRRIGEEKHREDHSRTSRHRSHGGSDGRRSHRRERDDVEPTRATHYERGSRSSISKYRDYKSSYSVSNSSDDSHEKKNDLKLDSRDQNRRSSYENQTSGSRAQNGFDDRYNPLESEDMYDDVLGGKYVRPD >KJB80865 pep chromosome:Graimondii2_0_v6:13:29592471:29598103:-1 gene:B456_013G118800 transcript:KJB80865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSPIQPFLPSQNPNPNFPLPPLSQNPNPVCPPSLSAALCSLTSLVSLSHRTLDSHSTLTTSLNSGLVTCPSNPNHLLPPGSLFSHFLHCPSPRTIDLHPPNYRNTLKSALHLHPQDSIFQGKQCSDLCLSLDDYFSDFGSHFFYKNCPGAVSLFDIDNNTKATFTLPAFLSVECVSSEGSNERESEASERKGLRVLASGLWDIGREVEGWGDYPASYSFNIACGILGSRMMKGGDLRKWLVANSPRYGIVIDEYMGDHIVLLVRLCLKAVAREATSLVEAGMEYKEDKVKESDVCLARRMFDCPVLLQVLMWLGSQLSVLYGELNGKFFAINMIKQCVLEGASRLLLFPMEEKVIDSLNLGQESQSLVTNGVEEIKLEEPTEESNEPVKTVDGSIGAGTILVSQVAAAVAALHERCFLEGKIKHLRASRPPSRYERMAEHAYVSERADAERKKRPNYRPIIDHDGLPRQASSNEETNRTKTREEILAEERDYKRRRMSYRGKKLKRTKLEVMRDIIEEYTEEIKKAGGIGCFVKGAEEERRLSSESPVPYDHAVNAGEHRKGTSDISEAVSGSPNHYGRRSHNDQHSRSSRFENYSRNNFEQSRRHRDLEDQRRRIGEEKHREDHSRTSRHRSHGGSDGRRSHRRERDDVEPTRATHYERGSRSSISKYRDYKSSYSVSNSSDDSHEKKNDLKLDSRDQNRRSSYENQTSGSRAQNGFDDRYNPLESEDMYDDVLGGKYVRPD >KJB80867 pep chromosome:Graimondii2_0_v6:13:29592106:29598224:-1 gene:B456_013G118800 transcript:KJB80867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSPIQPFLPSQNPNPNFPLPPLSQNPNPVCPPSLSAALCSLTSLVSLSHRTLDSHSTLTTSLNSGLVTCPSNPNHLLPPGSLFSHFLHCPSPRTIDLHPPNYRNTLKSALHLHPQDSIFQGKQCSDLCLSLDDYFSDFGSHFFYKNCPGAVSLFDIDNNTKATFTLPAFLSVECVSSEGSNERESEASERKGLRVLASGLWDIGREVEGWGDYPASYSFNIACGILGSRMMKGGDLRKWLVANSPRYGIVIDEYMGDHIVLLVRLCLKAVAREATSLVEAGMEYKEDKVKESDVCLARRMFDCPVLLQVLMWLGSQLSVLYGELNGKFFAINMIKQCVLEGASRLLLFPMEEKVIDSLNLGQESQSLVTNGVEEIKLEEPTEESNEPVKTVDGSIGAGTILVSQVAAAVAALHERCFLEGKIKHLRASRPPSRYERMAEHAYVSERADAERKKRPNYRPIIDHDGLPRQASSNEETNRTKTREEILAEERDYKRRRMSYRGKKLKRTKLEVMRDIIEEYTEEIKKAGGIGCFVKGAEEERRLSSESPVPYDHAVNAGEHRKGTSDISEAVSGSPNHYGRRSHNDQHSRSSRFENYSRNNFEQSRRHRDLEDQRRRIGEEKHREDHSRTSRHRSHGGSDGRRSHRRERDDVEPTRATHYERGSRSSISKYRDYKSSYSVSNSSDDSHEKKNDLKLDSRDQNRRSSYENQTSGSRAQNGFDDRYNPLESEDMYDDVLGGKYVRPD >KJB79881 pep chromosome:Graimondii2_0_v6:13:8115432:8121584:-1 gene:B456_013G070400 transcript:KJB79881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSTPKVEAAPAVASPKSGKKGKREAQETLEKQVTVKKQKKNDGVAQAIVKKKVEAKTQKKKKDETSSSSDDSSDSEDEPVPLKKQAATTKSGSVPAKKAKQASSLKSSESSSEEDSSSDEEAPQNKKPVVSKNGPVPAAKTVKADSGSESSSEEDSSSDEEAPAKKKNPVVAKKGSVPAAKTLKADSSSSSSEEDSSSDEEAPAQNKKPVPAKPGSIPAAKTVKADSSSESSSEEDSSSDEEAPAQNKKSVATKSVSVPDAKTVKADSSSESSSEEGSSSDEEASVQKKKPVVAKSGSIPVAKTVKADSSSESSSEEDSSSDEERVAKTKVVTAVKNDSAPAKKGESSSSSDASDEDSSSDENEEKSKPATKKGPTTVPKKGTSESSESDISSDEEDEPPQKATLPASAAKNVPSAVAKGKTASSDSSSEEDSDEEDVKKATVVKKASAPTPKKMEDSSSSSSDDSDSEEEEQKDETKGGKKPVTGKTAKKEDSSESSEDTDSSEDEAPTNNVPVGSKRPAPTAGRKTEQDSDDNEDDSSDESEDEQPVAAKKSKVVSDAGKDAKAVKKVSSSEEEESEESSDDEENDEETLKRKDTDVEMVDATTPQKITKQEDLKSGKKAPQTPTTPQVQSTGSKTLFVGNLPFQVEQADIKNFFKDAGEVVDIRLSTDFEGNFKGYGHVEFATAEAAQKALELNGEYLMNRSLRLDLARERGAYTPSSGSGNNSFQKGGRGQARTIYVRGFDQSLSQDEAKQSLEEHFGSCGEISRVAIPVDRETGYVRGYAYLDFNEGDSFNKALELNGSELNNYSLTVDEAKPRGEFRDGPGSGRGGGRSGGRDGGGRSGGWSGGRDGGGRGGRGGRRGGGRFGGGRGTPNKPNLAAAGTGKKTTFNDDD >KJB79874 pep chromosome:Graimondii2_0_v6:13:8115432:8121584:-1 gene:B456_013G070400 transcript:KJB79874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSTPKVEAAPAVASPKSGKKGKREAQETLEKQVTVKKQKKNDGVAQAIVKKKVEAKTQKKKKDETSSSSDDSSDSEDEPVPLKKQAATTKSGSVPAKKAKQASSLKSSESSSEEDSSSDEEAPQNKKPVVSKNGPVPAAKTVKADSGSESSSEEDSSSDEEAPAKKKNPVVAKKGSVPAAKTLKADSSSSSSEEDSSSDEEAPAQNKKPVPAKPGSIPAAKTVKADSSSESSSEEDSSSDEEAPAQNKKSVATKSVSVPDAKTVKADSSSESSSEEGSSSDEEASVQKKKPVVAKSGSIPVAKTVKADSSSESSSEEDSSSDEERVAKTKVVTAVKNDSAPAKKGESSSSSDASDEDSSSDENEEKSKPATKKGPTTVPKKGTSESSESDISSDEEDEPPQKATLPASAAKNVPSAVAKGKTASSDSSSEEDSDEEDVKKATVVKKASAPTPKKMEDSSSSSSDDSDSEEEEKDETKGGKKPVTGKTAKKEDSSESSEDTDSSEDEAPTNNVPVGSKRPAPTAGRKTEQDSDDNEDDSSDESEDEQPVAAKKSKVVSDAGKDAKAVKKVSSSEEEESEESSDDEENDEETLKRKDTDVEMVDATTPQKITKQEDLKSGKKAPQTPTTPQVQSTGSKTLFVGNLPFQVEQADIKNFFKDAGEVVDIRLSTDFEGNFKGYGHVEFATAEAAQKALELNGEYLMNRSLRLDLARERGAYTPSSGSGNNSFQKGGRGQARTIYVRGFDQSLSQDEAKQSLEEHFGSCGEISRVAIPVDRETGYVRGYAYLDFNEGDSFNKALELNGSELNNYSLTVDEAKPRGEFRDGPGSGRGGGRSGGRDGGGRSGGWSGGRDGGGRGGRGGRRGGGRFGGGRGTPNKPNLAAAGTGKKTTFNDDD >KJB79879 pep chromosome:Graimondii2_0_v6:13:8115432:8121584:-1 gene:B456_013G070400 transcript:KJB79879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSTPKVEAAPAVASPKSGKKGKREAQETLEKQVTVKKQKKNDGVAQAIVKKKVEAKTQKKKKDETSSSSDDSSDSEDEPVPLKKQAATTKSGSVPAKKAKQASSLKSSESSSEEDSSSDEEAPQNKKPVVSKNGPVPAAKTVKADSGSESSSEEDSSSDEEAPAKKKNPVVAKKGSVPAAKTLKADSSSSSSEEDSSSDEEAPAQNKKPVPAKPGSIPAAKTVKADSSSESSSEEDSSSDEEASVQKKKPVVAKSGSIPVAKTVKADSSSESSSEEDSSSDEERVAKTKVVTAVKNDSAPAKKGESSSSSDASDEDSSSDENEEKSKPATKKGPTTVPKKGTSESSESDISSDEEDEPPQKATLPASAAKNVPSAVAKGKTASSDSSSEEDSDEEDVKKATVVKKASAPTPKKMEDSSSSSSDDSDSEEEEKDETKGGKKPVTGKTAKKEDSSESSEDTDSSEDEAPTNNVPVGSKRPAPTAGRKTEQDSDDNEDDSSDESEDEQPVAAKKSKVVSDAGKDAKAVKKVSSSEEEESEESSDDEENDEETLKRKDTDVEMVDATTPQKITKQEDLKSGKKAPQTPTTPQVQSTGSKTLFVGNLPFQVEQADIKNFFKDAGEVVDIRLSTDFEGNFKGYGHVEFATAEAAQKALELNGEYLMNRSLRLDLARERGAYTPSSGSGNNSFQKGGRGQARTIYVRGFDQSLSQDEAKQSLEEHFGSCGEISRVAIPVDRETGYVRGYAYLDFNEGDSFNKALELNGSELNNYSLTVDEAKPRGEFRDGPGSGRGGGRSGGRDGGGRSGGWSGGRDGGGRGGRGGRRGGGRFGGGRGTPNKPNLAAAGTGKKTTFNDDD >KJB79880 pep chromosome:Graimondii2_0_v6:13:8115107:8121750:-1 gene:B456_013G070400 transcript:KJB79880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSTPKVEAAPAVASPKSGKKGKREAQETLEKQVTVKKQKKNDGVAQAIVKKKVEAKTQKKKKDETSSSSDDSSDSEDEPVPLKKQAATTKSGSVPAKKAKQASSLKSSESSSEEDSSSDEEAPQNKKPVVSKNGPVPAAKTVKADSGSESSSEEDSSSDEEAPAQNKKPVPAKPGSIPAAKTVKADSSSESSSEEDSSSDEEAPAQNKKSVATKSVSVPDAKTVKADSSSESSSEEGSSSDEEASVQKKKPVVAKSGSIPVAKTVKADSSSESSSEEDSSSDEERVAKTKVVTAVKNDSAPAKKGESSSSSDASDEDSSSDENEEKSKPATKKGPTTVPKKGTSESSESDISSDEEDEPPQKATLPASAAKNVPSAVAKGKTASSDSSSEEDSDEEDVKKATVVKKASAPTPKKMEDSSSSSSDDSDSEEEEKDETKGGKKPVTGKTAKKEDSSESSEDTDSSEDEAPTNNVPVGSKRPAPTAGRKTEQDSDDNEDDSSDESEDEQPVAAKKSKVVSDAGKDAKAVKKVSSSEEEESEESSDDEENDEETLKRKDTDVEMVDATTPQKITKQEDLKSGKKAPQTPTTPQVQSTGSKTLFVGNLPFQVEQADIKNFFKDAGEVVDIRLSTDFEGNFKGYGHVEFATAEAAQKALELNGEYLMNRSLRLDLARERGAYTPSSGSGNNSFQKGGRGQARTIYVRGFDQSLSQDEAKQSLEEHFGSCGEISRVAIPVDRETGYVRGYAYLDFNEGDSFNKALELNGSELNNYSLTVDEAKPRGEFRDGPGSGRGGGRSGGRDGGGRSGGWSGGRDGGGRGGRGGRRGGGRFGGGRGTPNKPNLAAAGTGKKTTFNDDD >KJB79877 pep chromosome:Graimondii2_0_v6:13:8115432:8121584:-1 gene:B456_013G070400 transcript:KJB79877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSTPKVEAAPAVASPKSGKKGKREAQETLEKQVTVKKQKKNDGVAQAIVKKKVEAKTQKKKKDETSSSSDDSSDSEDEPVPLKKQAATTKSGSVPAKKAKQASSLKSSESSSEEDSSSDEEAPQNKKPVVSKNGPVPAAKTVKADSGSESSSEEDSSSDEEAPAKKKNPVVAKKGSVPAAKTLKADSSSSSSEEDSSSDEEAPAQNKKPVPAKPGSIPAAKTVKADSSSESSSEEDSSSDEEASVQKKKPVVAKSGSIPVAKTVKADSSSESSSEEDSSSDEERVAKTKVVTAVKNDSAPAKKGESSSSSDASDEDSSSDENEEKSKPATKKGPTTVPKKGTSESSESDISSDEEDEPPQKATLPASAAKNVPSAVAKGKTASSDSSSEEDSDEEDVKKATVVKKASAPTPKKMEDSSSSSSDDSDSEEEEQKDETKGGKKPVTGKTAKKEDSSESSEDTDSSEDEAPTNNVPVGSKRPAPTAGRKTEQDSDDNEDDSSDESEDEQPVAAKKSKVVSDAGKDAKAVKKVSSSEEEESEESSDDEENDEETLKRKDTDVEMVDATTPQKITKQEDLKSGKKAPQTPTTPQVQSTGSKTLFVGNLPFQVEQADIKNFFKDAGEVVDIRLSTDFEGNFKGYGHVEFATAEAAQKALELNGEYLMNRSLRLDLARERGAYTPSSGSGNNSFQKGGRGQARTIYVRGFDQSLSQDEAKQSLEEHFGSCGEISRVAIPVDRETGYVRGYAYLDFNEGDSFNKALELNGSELNNYSLTVDEAKPRGEFRDGPGSGRGGGRSGGRDGGGRSGGWSGGRDGGGRGGRGGRRGGGRFGGGRGTPNKPNLAAAGTGKKTTFNDDD >KJB79876 pep chromosome:Graimondii2_0_v6:13:8115005:8121811:-1 gene:B456_013G070400 transcript:KJB79876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSTPKVEAAPAVASPKSGKKGKREAQETLEKQVTVKKQKKNDGVAQAIVKKKVEAKTQKKKKDETSSSSDDSSDSEDEPVPLKKQAATTKSGSVPAKKAKQASSLKSSESSSEEDSSSDEEAPQNKKPVVSKNGPVPAAKTVKADSGSESSSEEDSSSDEEAPAKKKNPVVAKKGSVPAAKTLKADSSSSSSEEDSSSDEEAPAQNKKPVPAKPGSIPAAKTVKADSSSESSSEEDSSSDEEASVQKKKPVVAKSGSIPVAKTVKADSSSESSSEEDSSSDEERVAKTKVVTAVKNDSAPAKKGESSSSSDASDEDSSSDENEEKSKPATKKGPTTVPKKGTSESSESDISSDEEDEPPQKATLPASAAKNVPSAVAKGKTASSDSSSEEDSDEEDVKKATVVKKASAPTPKKMEDSSSSSSDDSDSEEEEQKDETKGGKKPVTGKTAKKEDSSESSEDTDSSEDEAPTNNVPVGSKRPAPTAGRKTEQDSDDNEDDSSDESEDEQPVAAKKSKVVSDAGKDAKAVKKVSSSEEEESEESSDDEENDEETLKRKDTDVEMVDATTPQKITKQEDLKSGKKAPQTPTTPQVQSTGSKTLFVGNLPFQVEQADIKNFFKDAGEVVDIRLSTDFEGNFKGYGHVEFATAEAAQKALELNGEYLMNRSLRLDLARERGAYTPSSGGNNSFQKGGRGQARTIYVRGFDQSLSQDEAKQSLEEHFGSCGEISRVAIPVDRETGYVRGYAYLDFNEGDSFNKALELNGSELNNYSLTVDEAKPRGEFRDGPGSGRGGGRSGGRDGGGRSGGWSGGRDGGGRGGRGGRRGGGRFGGGRGTPNKPNLAAAGTGKKTTFNDDD >KJB79875 pep chromosome:Graimondii2_0_v6:13:8115005:8121807:-1 gene:B456_013G070400 transcript:KJB79875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSTPKVEAAPAVASPKSGKKGKREAQETLEKQVTVKKQKKNDGVAQAIVKKKVEAKTQKKKKDETSSSSDDSSDSEDEPVPLKKQAATTKSGSVPAKKAKQASSLKSSESSSEEDSSSDEEAPQNKKPVVSKNGPVPAAKTVKADSGSESSSEEDSSSDEEAPAKKKNPVVAKKGSVPAAKTLKADSSSSSSEEDSSSDEEAPAQNKKPVPAKPGSIPAAKTVKADSSSESSSEEDSSSDEEAPAQNKKSVATKSVSVPDAKTVKADSSSESSSEEGSSSDEEASVQKKKPVVAKSGSIPVAKTVKADSSSESSSEEDSSSDEERVAKTKVVTAVKNDSAPAKKGESSSSSDASDEDSSSDENEEKSKPATKKGPTTVPKKGTSESSESDISSDEEDEPPQKATLPASAAKNVPSAVAKGKTASSDSSSEEDSDEEDVKKATVVKKASAPTPKKMEDSSSSSSDDSDSEEEEKDETKGGKKPVTGKTAKKEDSSESSEDTDSSEDEAPTNNVPVGSKRPAPTAGRKTEQDSDDNEDDSSDESEDEQPVAAKKSKVVSDAGKDAKAVKKVSSSEEEESEESSDDEENDEETLKRKDTDVEMVDATTPQKITKQEDLKSGKKAPQTPTTPQVQSTGSKTLFVGNLPFQVEQADIKNFFKDAGEVVDIRLSTDFEGNFKGYGHVEFATAEAAQKALELNGEYLMNRSLRLDLARERGAYTPSSGGNNSFQKGGRGQARTIYVRGFDQSLSQDEAKQSLEEHFGSCGEISRVAIPVDRETGYVRGYAYLDFNEGDSFNKALELNGSELNNYSLTVDEAKPRGEFRDGPGSGRGGGRSGGRDGGGRSGGWSGGRDGGGRGGRGGRRGGGRFGGGRGTPNKPNLAAAGTGKKTTFNDDD >KJB79878 pep chromosome:Graimondii2_0_v6:13:8115005:8121811:-1 gene:B456_013G070400 transcript:KJB79878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSTPKVEAAPAVASPKSGKKGKREAQETLEKQVTVKKQKKNDGVAQAIVKKKVEAKTQKKKKDETSSSSDDSSDSEDEPVPLKKQAATTKSGSVPAKKAKQASSLKSSESSSEEDSSSDEEAPQNKKPVVSKNGPVPAAKTVKADSGSESSSEEDSSSDEEAPAKKKNPVVAKKGSVPAAKTLKADSSSSSSEEDSSSDEEAPAQNKKPVPAKPGSIPAAKTVKADSSSESSSEEDSSSDEEASVQKKKPVVAKSGSIPVAKTVKADSSSESSSEEDSSSDEERVAKTKVVTAVKNDSAPAKKGESSSSSDASDEDSSSDENEEKSKPATKKGPTTVPKKGTSESSESDISSDEEDEPPQKATLPASAAKNVPSAVAKGKTASSDSSSEEDSDEEDVKKATVVKKASAPTPKKMEDSSSSSSDDSDSEEEEKDETKGGKKPVTGKTAKKEDSSESSEDTDSSEDEAPTNNVPVGSKRPAPTAGRKTEQDSDDNEDDSSDESEDEQPVAAKKSKVVSDAGKDAKAVKKVSSSEEEESEESSDDEENDEETLKRKDTDVEMVDATTPQKITKQEDLKSGKKAPQTPTTPQVQSTGSKTLFVGNLPFQVEQADIKNFFKDAGEVVDIRLSTDFEGNFKGYGHVEFATAEAAQKALELNGEYLMNRSLRLDLARERGAYTPSSGGNNSFQKGGRGQARTIYVRGFDQSLSQDEAKQSLEEHFGSCGEISRVAIPVDRETGYVRGYAYLDFNEGDSFNKALELNGSELNNYSLTVDEAKPRGEFRDGPGSGRGGGRSGGRDGGGRSGGWSGGRDGGGRGGRGGRRGGGRFGGGRGTPNKPNLAAAGTGKKTTFNDDD >KJB79882 pep chromosome:Graimondii2_0_v6:13:8115107:8121750:-1 gene:B456_013G070400 transcript:KJB79882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSTPKVEAAPAVASPKSGKKGKREAQETLEKQVTVKKQKKNDGVAQAIVKKKVEAKTQKKKKDETSSSSDDSSDSEDEPVPLKKQAATTKSGSVPAKKAKQASSLKSSESSSEEDSSSDEEAPQNKKPVVSKNGPVPAAKTVKADSGSESSSEEDSSSDEEAPAKKKNPVVAKKGSVPAAKTLKADSSSSSSEEDSSSDEEAPAQNKKPVPAKPGSIPAAKTVKADSSSESSSEEDSSSDEEAPAQNKKSVATKSVSVPDAKTVKADSSSESSSEEGSSSDEVTIILMLVILAWLGIFFCMIVNVQLSVLFQEASVQKKKPVVAKSGSIPVAKTVKADSSSESSSEEDSSSDEERVAKTKVVTAVKNDSAPAKKGESSSSSDASDEDSSSDENEEKSKPATKKGPTTVPKKGTSESSESDISSDEEDEPPQKATLPASAAKNVPSAVAKGKTASSDSSSEEDSDEEDVKKATVVKKASAPTPKKMEDSSSSSSDDSDSEEEEKDETKGGKKPVTGKTAKKEDSSESSEDTDSSEDEAPTNNVPVGSKRPAPTAGRKTEQDSDDNEDDSSDESEDEQPVAAKKSKVVSDAGKDAKAVKKVSSSEEEESEESSDDEENDEETLKRKDTDVEMVDATTPQKITKQEDLKSGKKAPQTPTTPQVQSTGSKTLFVGNLPFQVEQADIKNFFKDAGEVVDIRLSTDFEGNFKGYGHVEFATAEAAQKALELNGEYLMNRSLRLDLARERGAYTPSSGSGNNSFQKGGRGQARTIYVRGFDQSLSQDEAKQSLEEHFGSCGEISRVAIPVDRETGYVRGYAYLDFNEGDSFNKALELNGSELNNYSLTVDEAKPRGEFRDGPGSGRGGGRSGGRDGGGRSGGWSGGRDGGGRGGRGGRRGGGRFGGGRGTPNKPNLAAAGTGKKTTFNDDD >KJB80003 pep chromosome:Graimondii2_0_v6:13:32683253:32684292:-1 gene:B456_013G126000 transcript:KJB80003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWEVEGKWVVQGAVTVDIGANPSAEGGDEDEGVDDQAIKVVDIVDTFRLQEQPAFDKKQFVTYMKRYIKNLAPKLEAAKQETFKKNIEGATKFLLAKLKDLQFFVGESMHDDGSLVFAYYKDGATNPTFLYFPYGLKEVKC >KJB80002 pep chromosome:Graimondii2_0_v6:13:32683027:32684904:-1 gene:B456_013G126000 transcript:KJB80002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYQDILTGDELLSDSFPYKEIENGMLWEVEGKWVVQGAVTVDIGANPSAEGGDEDEGVDDQAIKVVDIVDTFRLQEQPAFDKKQFVTYMKRYIKNLAPKLEAAKQETFKKNIEGATKFLLAKLKDLQFFVGESMHDDGSLVFAYYKDGATNPTFLYFPYGLKEVKC >KJB80391 pep chromosome:Graimondii2_0_v6:13:15648480:15650443:1 gene:B456_013G095000 transcript:KJB80391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDHGLLLFQSPLLSFEAFLWAILLVGIVSLWLSPGGHAWARYRSKASVAIPGPLGSPVLGLLTVFTGATPHRALAKLAETVKAVKLMAFSVGFTRFIVSSDPETARDILNNSAFADRPVKESAYELLFHRAMGFAPYGEYWRNLRRISATHLFSPKRIADFEGFRRETGTKMVEEVKCLMEAKGEVFIRRLLHFGSLNNVMTTVFGKKYDFEKPGEGLELEELVSEGYELLGIFNWSDHFPLLRWLDLQGVRKRCRNLVSKVNVFVGKIIEEHKVNRVNGGFNDGDAKVGDFVDVLLDLEKHEKLSDSDMIAVLWEMIFRGTDTVAILLEWILARMVLHPEIQAKAQDEIDGVVGSSKLVSDSDIQNLPYVRAIVKETLRVHPPGPLLSWARLAIHEVHIGDNFIPAGTTAMVNMWAITHSEKVWAEPEKFKPERFMEEDVSIMGPDLRLAPFGSGRRVCPGKAMGLATVHLWLAQLLQAFKWVPCEDKDVDLLEHLKLSMEMKKPLVCKAMPRFA >KJB80626 pep chromosome:Graimondii2_0_v6:13:31331894:31334319:-1 gene:B456_013G122400 transcript:KJB80626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMLASRFATSISKRNLSSSSLSGYSYPDRRLILTPHRSIFTTSPVQFSWMDSIKGVFTGKKTSPDDPNISAESFTLLRFADELKNARKLGKFKQYVVGRSSDATFADVFEKQEAIIRYLGGCDPTGMNLQPSQKKEAAKQCDCTLLDVENALAKFTWAKEAHQKMAQLKEEGKPMPKSFAEVQKLIGSTPLDHARSNMAKSGQISRNALCPCGSKKRYKRCCGKDQ >KJB79308 pep chromosome:Graimondii2_0_v6:13:3721485:3726023:1 gene:B456_013G042900 transcript:KJB79308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFFVIGFLVSLAYLDPGNLETDLQAGASHGYELLWVVLIELVFALIIQSLAANLGVSTGKHLLELCKAEYPRMVKYCLWILAEIVVIADDRPEVIGTAFGLNILFNIPVWVGVLLTGLSTLLLLGLQRYGVRKLEMLIAVLVFVMAGCFFREMSYVKPPASGVVKCIFVPKLNGQGATGDAIALLGPLVMLHNIFLHSALVLSRKVPNYVRGVNDACRYFLIKSGIALFVAFLINVAVVSNVLGKSSKALYVVSLLASGKSFTITGTYVGQFIMQVLQIKPFFKCCGFLNLHMKKWVRNIMTRCIAITPSLIMILSFELPFALIPLLKFSSSSTNMGPYKNTAIVIVISWILSIGIISINVYYLITTFVDWLVHNDVPKLGNVFIRIIVLHLMAIYIIAITFLTCRKDIVVTYVEPQTNEAADTQ >KJB83676 pep chromosome:Graimondii2_0_v6:13:57344661:57347320:-1 gene:B456_013G257900 transcript:KJB83676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVRSLTSPSIVKAATPNRFTSPIAKAPTSLGSVKTISRSFGLKCSSNHRTSMAMYKIKLVGPKGEVNEFEVPDNQYILEAAEAAGVELPYCCRAGACSICTAKVVSGTVHQPEAIYLEDDQIKDGYMLTCVSKPTSDCEIHTHKELDLYSPSK >KJB83678 pep chromosome:Graimondii2_0_v6:13:57344726:57347318:-1 gene:B456_013G257900 transcript:KJB83678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVRSLTSPSIVKAATPNRFTSPIAKAPTSLGSVKTISRSFGLKCSSNHRTSMAMYKIKLVGPKGEVNEFEVPDNQYILEAAEAAGVELPYCCRAGACSICTAKVVSGTVHQPEAIYLEDDQIKDGYMLTCVSKPTSDCEIHTHKELDLYSPSK >KJB83677 pep chromosome:Graimondii2_0_v6:13:57344727:57347011:-1 gene:B456_013G257900 transcript:KJB83677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVRSLTSPSIVKAATPNRFTSPIAKAPTSLGSVKTISRSFGLKCSSNHRTSMAMYKIKLVGPKGEVNEFEVPDNQYILEAAEAAGVELPYCCRAGACSICTAKVVSGTVHQPEAIYLEDDQIKDGYMLTCVSKPTSDCEIHTHKELDLYSPSK >KJB83182 pep chromosome:Graimondii2_0_v6:13:55236174:55239309:1 gene:B456_013G233900 transcript:KJB83182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDDALKCLKIGKDALDAGDRARALKFLTKARRLDPSLPIENLLSAAEGGKSDDQPASEPVGSAKDPSGSSPSKSSDQPSIRRRNIPNGSAASASASSPASAAGGTYTEEQIVIVKQIRKKKDYYEILGLEKSCSVDDIRKAYRKLSLKVHPDKNKAPGAEEAFKAVSKAFQCLSNEESRKKYDLVGSDEPVYERRASPFRGGGNGFSGFYDTDFDADEIFRNFFFGGMPPATTQFRSFNFGPGMGARMGDQGSTGFNIRMLIQLLPVLLILLFSFLPSSEPVFSLSRSYPYEYKFTTKNGVNYYVRSTKFEQDYPTNSVERVRIEERVERDYYSVLAQNCRFELQRQQWGFIRETPHCDLLEKFQSAAAAA >KJB83181 pep chromosome:Graimondii2_0_v6:13:55236175:55239309:1 gene:B456_013G233900 transcript:KJB83181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDDALKCLKIGKDALDAGDRARALKFLTKARRLDPSLPIENLLSAAEGGKSDDQPASEPVGSAKDPSGSSPSKSSDQPSIRRRNIPNGSAASASASSPASAAGGTYTEEQIVIVKQIRKKKDYYEILGLEKSCSVDDIRKAYRKLSLKVHPDKNKAPGAEEAFKAVSKAFQCLSNEESRKKYDLVGSDEPVYERRASPFRGGGNGFSGFYDTDFDADEIFRNFFFGGMPPATTQFRSFNFGPGMGARMGDQGSTGFNIRMLIQLLPVLLILLFSFLPSSEPVFSLSRSYPYEYKFTTKNGVNYYVRSTKFEQDYPTNSVERVRIEERVERDYYSVLAQNCRFELQRQQWGFIRETPHCDLLEKFQSAAAAA >KJB83183 pep chromosome:Graimondii2_0_v6:13:55236178:55238387:1 gene:B456_013G233900 transcript:KJB83183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDDALKCLKIGKDALDAGDRARALKFLTKARRLDPSLPIENLLSAAEGGKSDDQPASEPVGSAKDPSGSSPSKSSDQPSIRRRNIPNGSAASASASSPASAAGGTYTEEQIVIVKQIRKKKDYYEILGLEKSCSVDDIRKAYRKLSLKVHPDKNKAPGAEEAFKAVSKAFQCLSNEESRKKYDLVGSDEPVYERRASPFRGGGNGFSGFYDTDFDADEIFRNFFFGGMPPATTQFRSFNFGPGMGARMGDQGSTGFNIRMLIQLLPVLLILLFSFLPSSEPVFSLSRSYPYEYKFTTKNGVNYYVRSTKFEQDYPTNSVERVRIEERVERDYYSVLAQNCRFELQRQQWGFIRETPHCDLLEKFQSAAAAA >KJB80732 pep chromosome:Graimondii2_0_v6:13:26830657:26834294:-1 gene:B456_013G112500 transcript:KJB80732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWRKRQIRKISDKVFERVKNQSGRATLTFEDLYIAVLLVYNDINKRLPGPHFDPPSKDQIRSMMQECDLNLDGQINHDEFVKFIQKLTTDTLVVVSQGLLVTLVVAPTVAMATKKATEGVPGVGKVVQKLPNAIYASLVTLAMVWFQTSREGIE >KJB80730 pep chromosome:Graimondii2_0_v6:13:26830852:26833243:-1 gene:B456_013G112500 transcript:KJB80730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNASLIYSFCSDINKRLPGPHFDPPSKDQIRSMMQECDLNLDGQINHDEFVKFIQKLTTDTLVVVSQGLLVTLVVAPTVAMATKKATEGVPGVGKVVQKLPNAIYASLVTLAMVWFQTSREGIE >KJB80731 pep chromosome:Graimondii2_0_v6:13:26830852:26833243:-1 gene:B456_013G112500 transcript:KJB80731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNASLIYSFCSDINKRLPGPHFDPPSKDQIRSMMQECDLNLDGQINHDEFVKFIQKLTTDTLVVVSQGLLVTLVVAPTVAMATKKATEGVPGVGKVVQKLPNAIYASLVTLAMVWFQTSREGIE >KJB80729 pep chromosome:Graimondii2_0_v6:13:26830596:26834343:-1 gene:B456_013G112500 transcript:KJB80729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVLEKFHGKEWRKRQIRKISDKVFERVKNQSGRATLTFEDLYIAVLLVYNDINKRLPGPHFDPPSKDQIRSMMQECDLNLDGQINHDEFVKFIQKLTTDTLVVVSQGLLVTLVVAPTVAMATKKATEGVPGVGKVVQKLPNAIYASLVTLAMVWFQTSREGIE >KJB83044 pep chromosome:Graimondii2_0_v6:13:54643675:54646608:-1 gene:B456_013G227200 transcript:KJB83044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVIPRVNSLKGTDSESMTNSVESDEFQRKDSRTLRDGTHDHEVVLEKTFSFKDLVIDKGKFGLNVSNSNGLLHEPIPALSLPEPTILFSPRPVSELDAAAVKLQKVYKGYRTRRNLADCAVVVEELWWKVLDLAELKLSSVSFFDVEKPESMVSRWARAKTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLNLYYYVWFSSESTQPFFYWLDIGDGKEVNLGKCPRKKLLQQCITYLGPKEREEYEVIIENGRLVFKQSGLQVDTTGGFKWIFVLSTTRALYVGRKEKGKFQHSSFLAGGATTAAGRLVARDGVLEAIWPYSGHYHPTEEHFMEFINFLGENHVNLTNVKRCATDDDYYGQAPANEPKPEELMEGAELEPPMFNPGNRLSCKWTTGVGPRISCVRDYPTELQFKALEQVNLSPRVAPGVVNHGPIPSPRPSPKIHLSPRIATMGLPSPRSISTSN >KJB83045 pep chromosome:Graimondii2_0_v6:13:54643675:54646609:-1 gene:B456_013G227200 transcript:KJB83045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSVESDEFQRKDSRTLRDGTHDHEVVLEKTFSFKDLVIDKGKFGLNVSNSNGLLHEPIPALSLPEPTILFSPRPVSELDAAAVKLQKVYKGYRTRRNLADCAVVVEELWWKVLDLAELKLSSVSFFDVEKPESMVSRWARAKTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLNLYYYVWFSSESTQPFFYWLDIGDGKEVNLGKCPRKKLLQQCITYLGPKEREEYEVIIENGRLVFKQSGLQVDTTGGFKWIFVLSTTRALYVGRKEKGKFQHSSFLAGGATTAAGRLVARDGVLEAIWPYSGHYHPTEEHFMEFINFLGENHVNLTNVKRCATDDDYYGQAPANEPKPEELMEGAELEPPMFNPGNRLSCKWTTGVGPRISCVRDYPTELQFKALEQVNLSPRVAPGVVNHGPIPSPRPSPKIHLSPRIATMGLPSPRSISTSN >KJB83043 pep chromosome:Graimondii2_0_v6:13:54643675:54646479:-1 gene:B456_013G227200 transcript:KJB83043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSVESDEFQRKDSRTLRDGTHDHEVVLEKTFSFKDLVIDKGKFGLNVSNSNGLLHEPIPALSLPEPTILFSPRPVSELDAAAVKLQKVYKGYRTRRNLADCAVVVEELWWKVLDLAELKLSSVSFFDVEKPESMVSRWARAKTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLNLYYYVWFSSESTQPFFYWLDIGDGKEVNLGKCPRKKLLQQCITYLGPKEREEYEVIIENGRLVFKQSGLQVDTTGGFKWIFVLSTTRALYVGRKEKGKFQHSSFLAGGATTAAGRLVARDGVLEAIWPYSGHYHPTEEHFMEFINFLGENHVNLTNVKRCATDDDYYGQAPANEPKPEELMEGAELEPPMFNPGNRLSCKWTTGVGPRISCVRDYPTELQFKALEQVNLSPRVAPGVVNHGPIPSPRPSPKIHLSPRIATMGLPSPRSISTSN >KJB82188 pep chromosome:Graimondii2_0_v6:13:47440844:47446505:-1 gene:B456_013G180200 transcript:KJB82188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MATTPAFPLLQLRLTKPRPKPTASCFKPYGTSKFGIVKCGSSSNGREPDSADNGVKSVERLLEEKRRAELSARIASGEFTVQKSGFPSLLKNGLSKIGVPSGVLEILFKGVDDVEDYPKIPEAKGAIKAIRSEAFFIPLYELYLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNSKAYSKGILAEILDFVMGKGLIPADGEIWRIRRRAIVPALHQKYVAAMISLFGLATDRLCQKLDDAATDGEDVEMESLFSRLTLDIIGKAVFNYDFDSLTNDTGIVEAVYTVLREAEDRSVSPIPTWEIPIWKDLSPRQRKVTEALKLINDVLDDLIATCKRLVEEEELQFSDEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAALLTWTFYLLSKEPSVVSKLQDEVDFVLGDRFPTIDDMKKLKYTTRVINESLRLYPQPPVLIRRSIENDVLGKYPIKRGEDIFISVWNLHRSPSLWQDAEKFNPERWPLDGPNPNETNQNFCYLPFGGGPRKCVGDMFASFETVVAVAMLVRRFNFQMALGAPPGLKMTVTRRMKPPIIPEINMEGLKMEGTARILEAETQLGEKGEVSQAHS >KJB82189 pep chromosome:Graimondii2_0_v6:13:47442388:47446505:-1 gene:B456_013G180200 transcript:KJB82189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MATTPAFPLLQLRLTKPRPKPTASCFKPYGTSKFGIVKCGSSSNGREPDSADNGVKSVERLLEEKRRAELSARIASGEFTVQKSGFPSLLKNGLSKIGVPSGVLEILFKGVDDVEDYPKIPEAKGAIKAIRSEAFFIPLYELYLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNSKAYSKGILAEILDFVMGKGLIPADGEIWRIRRRAIVPALHQKYVAAMISLFGLATDRLCQKLDDAATDGEDVEMESLFSRLTLDIIGKAVFNYDFDSLTNDTGIVEAVYTVLREAEDRSVSPIPTWEIPIWKDLSPRQRKVTEALKLINDVLDDLIATCKRLVEEEELQFSDEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAALLTWTFYLLSKEPSVVSKLQDEVDFVLGDRFPTIDDMKKLKYTTRVINESLRLYPQPPVLIRRSIENDVLGKYPIKRSLKSISLPSYWTISMHHLQASSCKITFYPSFFLEKIWLFVKFINVELVA >KJB82185 pep chromosome:Graimondii2_0_v6:13:47440823:47446631:-1 gene:B456_013G180200 transcript:KJB82185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MATTPAFPLLQLRLTKPRPKPTASCFKPYGTSKFGIVKCGSSSNGREPDSADNGVKSVERLLEEKRRAELSARIASGEFTVQKSGFPSLLKNGLSKIGVPSGVLEILFKGVDDVEDYPKIPEAKGAIKAIRSEAFFIPLYELYLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNSKAYSKGILAEILDFVMGKGLIPADGEIWRIRRRAIVPALHQKYVAAMISLFGLATDRLCQKLDDAATDGEDVEMESLFSRLTLDIIGKAVFNYDFDSLTNDTGIVEAVYTVLREAEDRSVSPIPTWEIPIWKDLSPRQRKVTEALKLINDVLDDLIATCKRLVEEEELQFSDEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAALLTWTFYLLSKEPSVVSKLQDEVDFVLGDRFPTIDDMKKLKYTTRVINESLRLYPQPPVLIRRSIENDVLGKYPIKRGEDIFISVWNLHRSPSLWQDAEKFNPERWPLDGPNPNETNQNFCYLPFGGGPRKCVGDMFASFETVVAVAMLVRRFNFQMALGAPPVEMTTGATIHTTQGLKMTVTRRMKPPIIPEINMEGLKMEGTARILEAETQLGEKGEVSQAHS >KJB82187 pep chromosome:Graimondii2_0_v6:13:47442195:47446505:-1 gene:B456_013G180200 transcript:KJB82187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MATTPAFPLLQLRLTKPRPKPTASCFKPYGTSKFGIVKCGSSSNGREPDSADNGVKSVERLLEEKRRAELSARIASGEFTVQKSGFPSLLKNGLSKIGVPSGVLEILFKGVDDVEDYPKIPEAKGAIKAIRSEAFFIPLYELYLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNSKAYSKGILAEILDFVMGKGLIPADGEIWRIRRRAIVPALHQKYVAAMISLFGLATDRLCQKLDDAATDGEDVEMESLFSRLTLDIIGKAVFNYDFDSLTNDTGIVEAVYTVLREAEDRSVSPIPTWEIPIWKDLSPRQRKVTEALKLINDVLDDLIATCKRLVEEEELQFSDEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAALLTWTFYLLSKEPSVVSKLQDEVDFVLGDRFPTIDDMKKLKYTTRVINESLRLYPQPPVLIRRSIENDVLGKYPIKRGEDIFISVWNLHRSPSLWQDAEKFNPERWPLDGPNPNETNQNFW >KJB82184 pep chromosome:Graimondii2_0_v6:13:47440822:47446505:-1 gene:B456_013G180200 transcript:KJB82184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MATTPAFPLLQLRLTKPRPKPTASCFKPYGTSKFGIVKCGSSSNGREPDSADNGVKSVERLLEEKRRAELSARIASGEFTVQKSGFPSLLKNGLSKIGVPSGVLEILFKGVDDVEDYPKIPEAKGAIKAIRSEAFFIPLYELYLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNSKAYSKGILAEILDFVMGKGLIPADGEIWRIRRRAIVPALHQKYVAAMISLFGLATDRLCQKLDDAATDGEDVEMESLFSRLTLDIIGKAVFNYDFDSLTNDTGIVEAVYTVLREAEDRSVSPIPTWEIPIWKDLSPRQRKVTEALKLINDVLDDLIATCKRLVEEEELQFSDEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAALLTWTFYLLSKEPSVVSKLQDEVDFVLGDRFPTIDDMKKLKYTTRVINESLRLYPQPPVLIRRSIENDVLGKYPIKRGEDIFISVWNLHRSPSLWQDAEKFNPERWPLDGPNPNETNQNFCYLPFGGGPRKCVGDMFASFETVVAVAMLVRRFNFQMALGAPPVEMTTGATIHTTQGLKMTVTRRMKPPIIPEINMEGLKMEGTARILEAETQLGEKGVFILQ >KJB82186 pep chromosome:Graimondii2_0_v6:13:47440828:47446402:-1 gene:B456_013G180200 transcript:KJB82186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MGFSGTSKFGIVKCGSSSNGREPDSADNGVKSVERLLEEKRRAELSARIASGEFTVQKSGFPSLLKNGLSKIGVPSGVLEILFKGVDDVEDYPKIPEAKGAIKAIRSEAFFIPLYELYLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNSKAYSKGILAEILDFVMGKGLIPADGEIWRIRRRAIVPALHQKYVAAMISLFGLATDRLCQKLDDAATDGEDVEMESLFSRLTLDIIGKAVFNYDFDSLTNDTGIVEAVYTVLREAEDRSVSPIPTWEIPIWKDLSPRQRKVTEALKLINDVLDDLIATCKRLVEEEELQFSDEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAALLTWTFYLLSKEPSVVSKLQDEVDFVLGDRFPTIDDMKKLKYTTRVINESLRLYPQPPVLIRRSIENDVLGKYPIKRGEDIFISVWNLHRSPSLWQDAEKFNPERWPLDGPNPNETNQNFCYLPFGGGPRKCVGDMFASFETVVAVAMLVRRFNFQMALGAPPVEMTTGATIHTTQGLKMTVTRRMKPPIIPEINMEGLKMEGTARILEAETQLGEKGEVSQAHS >KJB79760 pep chromosome:Graimondii2_0_v6:13:7393955:7400914:-1 gene:B456_013G065600 transcript:KJB79760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin-2 [Source:Projected from Arabidopsis thaliana (AT5G58140) UniProtKB/Swiss-Prot;Acc:P93025] MEKRMAMELPGSSVSGARNVGQSSEQALEGEAANSCEIVSFDDESIEKLNGATTAGKDSNGQSPTEASIVERTAEWGIAVESDVGEGSFRVIGKVKPTGEGNQSKNSLGKFSVDSTRTSGESDYGLGRGAFPRVSQELKDALETLQQTFAVSDATKPDCPIVYASSGFFTMTGYSSKETVGRNCRFLQGPETDRKEVAKIRDAVKNGRSYCGRLLNYKKDGTPFWNLLTLNPIKDDQGKTIKFIGMQVEVSKYTEGVNEKALRPNGLPQSLIRYDARQKEKALDSITEVVHTLKHPQSHIRTISNDAISKIEDQHKFNLDFLLPQSAETKNIRTPGRYTPQSDISSTTTPMHETSKKTRKSERFSLMGFKGRPFSFAAKHEKQPLVELEELMTKDIERIDSLEHGERETDIRQGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQETVSKIREAITEQREITVQLINYTRSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHIVPLRSRLSDNTEQQSAKLIKATAENVDEAVRELPDANLRPEDLWAVHSQPVFPRPHRRDTSSWLAIQKITSRGEKIGLHHFKPIKPLGRGDTGSVHLVELKGTGELFAMKAMDKSVMLNRNKVHRACVEREIISLLDHPFLPSLYSSFQIPTHVCLITDFCPGGELFNLLDKQPLKFFKEESARFYAAEVVIVLEYLHCLGIIYRDLKPENILLQKDGHIVLTDFDLSFMTSSKSQVLKYPVPKRRRSRSQPLPTFIAEPSTQSNSFVGTEEYIAPEIITGAGHSSSIDWWALGILLYEMLYGRTPFRGKNRQKTFSNILNKELTFPSSIPVSLAARQLINALLNRDPSSRLGSRAGAAEIKQHPFFHGINWSLIRCTSPPPLEVPLQLIKKDTTNTKDVKWEDDGMLVNSNDMDVF >KJB79761 pep chromosome:Graimondii2_0_v6:13:7393955:7400914:-1 gene:B456_013G065600 transcript:KJB79761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin-2 [Source:Projected from Arabidopsis thaliana (AT5G58140) UniProtKB/Swiss-Prot;Acc:P93025] MEKRMAMELPGSSVSGARNVGQSSEQALEGEAANSCEIVSFDDESIEKLNGATTAGKDSNGQSPTEASIVERTAEWGIAVESDVGEGSFRVIGKVKPTGEGNQSKNSLGKFSVDSTRTSGESDYGLGRGAFPRVSQELKDALETLQQTFAVSDATKPDCPIVYASSGFFTMTGYSSKETVGRNCRFLQGPETDRKEVAKIRDAVKNGRSYCGRLLNYKKDGTPFWNLLTLNPIKDDQGKTIKFIGMQVEVSKYTEGVNEKALRPNGLPQSLIRYDARQKEKALDSITEVVHTLKHPQSHIRTISNDAISKIEDQHKFNLDFLLPQSAETKNIRTPGRYTPQSDISSTTTPMHETSKKTRKSERFSLMGFKGRPFSFAAKHEKQPLVELEELMTKDIERIDSLEHGERETDIRQGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQETVSKIREAITEQREITVQLINYTRSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHIVPLRSRLSDNTEQQSAKLIKATAENVDEAVRELPDANLRPEDLWAVHSQPVFPRPHRRDTSSWLAIQKITSRGEKIGLHHFKPIKPLGRGDTGSVHLVELKGTGELFAMKAMDKSVMLNRNKVHRACVEREIISLLDHPFLPSLYSSFQIPTHVCLITDFCPGGELFNLLDKQPLKFFKEESARFYAAEVVIVLEYLHCLGIIYRDLKPENILLQKDGHIVLTDFDLSFMTSSKSQVLKYPVPKRRRSRSQPLPTFIAEPSTQSNSFVGTEEYIAPEIITGAGHSSSIDWWALGILLYEMLYGRTPFRGKNRQKTFSNILNKELTFPSSIPVSLAARQLINALLNRDPSSRLGSRAGAAEIKQHPFFHGINWSLIRCTSPPPLEVPLQLIKKDTTNTKDVKWEDDGMLVNSNDMDVF >KJB79759 pep chromosome:Graimondii2_0_v6:13:7393955:7400914:-1 gene:B456_013G065600 transcript:KJB79759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin-2 [Source:Projected from Arabidopsis thaliana (AT5G58140) UniProtKB/Swiss-Prot;Acc:P93025] MEKRMAMELPGSSVSGARNVGQSSEQALEGEAANSCEIVSFDDESIEKLNGATTAGKDSNGQSPTEASIVERTAEWGIAVESDVGEGSFRVIGKVKPTGEGNQSKNSLGKFSVDSTRTSGESDYGLGRGAFPRVSQELKDALETLQQTFAVSDATKPDCPIVYASSGFFTMTGYSSKETVGRNCRFLQGPETDRKEVAKIRDAVKNGRSYCGRLLNYKKDGTPFWNLLTLNPIKDDQGKTIKFIGMQVEVSKYTEGVNEKALRPNGLPQSLIRYDARQKEKALDSITEVVHTLKHPQSHIRTISNDAISKIEDQHKFNLDFLLPQSAETKNIRTPGRYTPQSDISSTTTPMHETSKKTRKSERFSLMGFKGRPFSFAAKHEKQPLVELEELMTKDIERIDSLEHGERETDIRQGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQETVSKIREAITEQREITVQLINYTRSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHIVPLRSRLSDNTEQQSAKLIKATAENVDEAVRELPDANLRPEDLWAVHSQPVFPRPHRRDTSSWLAIQKITSRGEKIGLHHFKPIKPLGRGDTGSVHLVELKGTGELFAMKAMDKSVMLNRNKVHRACVEREIISLLDHPFLPSLYSSFQIPTHVCLITDFCPGGELFNLLDKQPLKFFKEESARFYAAEVVIVLEYLHCLGIIYRDLKPENILLQKDGHIVLTDFDLSFMTSSKSQVLKYPVPKRRRSRSQPLPTFIAEPSTQSNSFVGTEEYIAPEIITGAGHSSSIDWWALGILLYEMLYGRTPFRGKNRQKTFSNILNKELTFPSSIPVSLAARQLINALLNRDPSSRLGSRAGAAEIKQHPFFHGINWSLIRCTSPPPLEVPLQLIKKDTTNTKDVKWEDDGMLVNSNDMDVF >KJB79766 pep chromosome:Graimondii2_0_v6:13:7393381:7401556:-1 gene:B456_013G065600 transcript:KJB79766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin-2 [Source:Projected from Arabidopsis thaliana (AT5G58140) UniProtKB/Swiss-Prot;Acc:P93025] MEKRMAMELPGSSVSGARNVGQSSEQALEGEAANSCEIVSFDDESIEKLNGATTAGKDSNGQSPTEASIVERTAEWGIAVESDVGEGSFRVIGKVKPTGEGNQSKNSLGKFSVDSTRTSGESDYGLGRGAFPRVSQELKDALETLQQTFAVSDATKPDCPIVYASSGFFTMTGYSSKETVGRNCRFLQGPETDRKEVAKIRDAVKNGRSYCGRLLNYKKDGTPFWNLLTLNPIKDDQGKTIKFIGMQVEVSKYTEGVNEKALRPNGLPQSLIRYDARQKEKALDSITEVVHTLKHPQSHIRTISNDAISKIEDQHKFNLDFLLPQSAETKNIRTPGRYTPQSDISSTTTPMHETSKKTRKSERFSLMGFKGRPFSFAAKHEKQPLVELEELMTKDIERIDSLEHGERETDIRQGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQETVSKIREAITEQREITVQLINYTRSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHIVPLRSRLSDNTEQQSAKLIKATAENVDEAVRELPDANLRPEDLWAVHSQPVFPRPHRRDTSSWLAIQKITSRGEKIGLHHFKPIKPLGRGDTGSVHLVELKGTGELFAMKAMDKSVMLNRNKVHRACVEREIISLLDHPFLPSLYSSFQIPTHVCLITDFCPGGELFNLLDKQPLKFFKEESARFYAAEVVIVLEYLHCLGIIYRDLKPENILLQKDGHIVLTDFDLSFMTSSKSQVLKYPVPKRRRSRSQPLPTFIAEPSTQSNSFVGTEEYIAPEIITGAGHSSSIDWWALGILLYEMLYGRTPFRGKNRQKTFSNILNKELTFPSSIPVSLAARQLINALLNRDPSSRLGSRAGAAEIKQHPFFHGINWSLIRCTSPPPLEVPLQLIKKDTTNTKDVKWEDDGMLVNSNDMDVF >KJB79762 pep chromosome:Graimondii2_0_v6:13:7393407:7401293:-1 gene:B456_013G065600 transcript:KJB79762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin-2 [Source:Projected from Arabidopsis thaliana (AT5G58140) UniProtKB/Swiss-Prot;Acc:P93025] MEKRMAMELPGSSVSGARNVGQSSEQALEGEAANSCEIVSFDDESIEKLNGATTAGKDSNGQSPTEASIVERTAEWGIAVESDVGEGSFRVIGKVKPTGEGNQSKNSLGKFSVDSTRTSGESDYGLGRGAFPRVSQELKDALETLQQTFAVSDATKPDCPIVYASSGFFTMTGYSSKETVGRNCRFLQGPETDRKEVAKIRDAVKNGRSYCGRLLNYKKDGTPFWNLLTLNPIKDDQGKTIKFIGMQVEVSKYTEGVNEKALRPNGLPQSLIRYDARQKEKALDSITEVVHTLKHPQSHIRTISNDAISKIEDQHKFNLDFLLPQSAETKNIRTPGRYTPQSDISSTTTPMHETSKKTRKSERFSLMGFKGRPFSFAAKHEKQPLVELEELMTKDIERIDSLEHGERETDIRQGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQETVSKIREAITEQREITVQLINYTRSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHIVPLRSRLSDNTEQQSAKLIKATAENVDEAVRELPDANLRPEDLWAVHSQPVFPRPHRRDTSSWLAIQKITSRGEKIGLHHFKPIKPLGRGDTGSVHLVELKGTGELFAMKAMDKSVMLNRNKVHRACVEREIISLLDHPFLPSLYSSFQIPTHVCLITDFCPGGELFNLLDKQPLKFFKEESARFYAAEVVIVLEYLHCLGIIYRDLKPENILLQKDGHIVLTDFDLSFMTSSKSQVLKYPVPKRRRSRSQPLPTFIAEPSTQSNSFVGTEEYIAPEIITGAGHSSSIDWWALGILLYEMLYGRTPFRGKNRQKTFSNILNKELTFPSSIPVSLAARQLINALLNRDPSSRLGSRAGAAEIKQHPFFHGINWSLIRCTSPPPLEVPLQLIKKDTTNTKDVKWEDDGMLVNSNDMDVF >KJB79763 pep chromosome:Graimondii2_0_v6:13:7393381:7401556:-1 gene:B456_013G065600 transcript:KJB79763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin-2 [Source:Projected from Arabidopsis thaliana (AT5G58140) UniProtKB/Swiss-Prot;Acc:P93025] MEKRMAMELPGSSVSGARNVGQSSEQALEGEAANSCEIVSFDDESIEKLNGATTAGKDSNGQSPTEASIVERTAEWGIAVESDVGEGSFRVIGKVKPTGEGNQSKNSLGKFSVDSTRTSGESDYGLGRGAFPRVSQELKDALETLQQTFAVSDATKPDCPIVYASSGFFTMTGYSSKETVGRNCRFLQGPETDRKEVAKIRDAVKNGRSYCGRLLNYKKDGTPFWNLLTLNPIKDDQGKTIKFIGMQVEVSKYTEGVNEKALRPNGLPQSLIRYDARQKEKALDSITEVVHTLKHPQSHIRTISNDAISKIEDQHKFNLDFLLPQSAETKNIRTPGRYTPQSDISSTTTPMHETSKKTRKSERFSLMGFKGRPFSFAAKHEKQPLVELEELMTKDIERIDSLEHGERETDIRQGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQETVSKIREAITEQREITVQLINYTRSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHIVPLRSRLSDNTEQQSAKLIKATAENVDEAVRELPDANLRPEDLWAVHSQPVFPRPHRRDTSSWLAIQKITSRGEKIGLHHFKPIKPLGRGDTGSVHLVELKGTGELFAMKAMDKSVMLNRNKVHRACVEREIISLLDHPFLPSLYSSFQIPTHVCLITDFCPGGELFNLLDKQPLKFFKEESARFYAAEVVIVLEYLHCLGIIYRDLKPENILLQKDGHIVLTDFDLSFMTSSKSQVLKYPVPKRRRSRSQPLPTFIAEPSTQSNSFVGTEEYIAPEIITGAGHSSSIDWWALGILLYEMLYGRTPFRGKNRQKTFSNILNKELTFPSSIPVSLAARQLINALLNRDPSSRLGSRAGAAEIKQHPFFHGINWSLIRCTSPPPLEVPLQLIKKDTTNTKDVKWEDDGMLVNSNDMDVF >KJB79765 pep chromosome:Graimondii2_0_v6:13:7393955:7400914:-1 gene:B456_013G065600 transcript:KJB79765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin-2 [Source:Projected from Arabidopsis thaliana (AT5G58140) UniProtKB/Swiss-Prot;Acc:P93025] MEKRMAMELPGSSVSGARNVGQSSEQALEGEAANSCEIVSFDDESIEKLNGATTAGKDSNGQSPTEASIVERTAEWGIAVESDVGEGSFRVIGKVKPTGEGNQSKNSLGKFSVDSTRTSGESDYGLGRGAFPRVSQELKDALETLQQTFAVSDATKPDCPIVYASSGFFTMTGYSSKETVGRNCRFLQGPETDRKEVAKIRDAVKNGRSYCGRLLNYKKDGTPFWNLLTLNPIKDDQGKTIKFIGMQVEVSKYTEGVNEKALRPNGLPQSLIRYDARQKEKALDSITEVVHTLKHPQSHIRTISNDAISKIEDQHKFNLDFLLPQSAETKNIRTPGRYTPQSDISSTTTPMHETSKKTRKSERFSLMGFKGRPFSFAAKHEKQPLVELEELMTKDIERIDSLEHGERETDIRQGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQETVSKIREAITEQREITVQLINYTRSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHIVPLRSRLSDNTEQQSAKLIKATAENVDEAVRELPDANLRPEDLWAVHSQPVFPRPHRRDTSSWLAIQKITSRGEKIGLHHFKPIKPLGRGDTGSVHLVELKGTGELFAMKAMDKSVMLNRNKVHRACVEREIISLLDHPFLPSLYSSFQIPTHVCLITDFCPGGELFNLLDKQPLKFFKEESARFYAAEVVIVLEYLHCLGIIYRDLKPENILLQKDGHIVLTDFDLSFMTSSKSQVLKYPVPKRRRSRSQPLPTFIAEPSTQSNSFVGTEEYIAPEIITGAGHSSSIDWWALGILLYEMLYGRTPFRGKNRQKTFSNILNKELTFPSSIPVSLAARQLINALLNRDPSSRLGSRAGAAEIKQHPFFHGINWSLIRCTSPPPLEVPLQLIKKDTTNTKDVKWEDDGMLVNSNDMDVF >KJB79767 pep chromosome:Graimondii2_0_v6:13:7394804:7400914:-1 gene:B456_013G065600 transcript:KJB79767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin-2 [Source:Projected from Arabidopsis thaliana (AT5G58140) UniProtKB/Swiss-Prot;Acc:P93025] MEKRMAMELPGSSVSGARNVGQSSEQALEGEAANSCEIVSFDDESIEKLNGATTAGKDSNGQSPTEASIVERTAEWGIAVESDVGEGSFRVIGKVKPTGEGNQSKNSLGKFSVDSTRTSGESDYGLGRGAFPRVSQELKDALETLQQTFAVSDATKPDCPIVYASSGFFTMTGYSSKETVGRNCRFLQGPETDRKEVAKIRDAVKNGRSYCGRLLNYKKDGTPFWNLLTLNPIKDDQGKTIKFIGMQVEVSKYTEGVNEKALRPNGLPQSLIRYDARQKEKALDSITEVVHTLKHPQSHIRTISNDAISKIEDQHKFNLDFLLPQSAETKNIRTPGRYTPQSDISSTTTPMHETSKKTRKSERFSLMGFKGRPFSFAAKHEKQPLVELEELMTKDIERIDSLEHGERETDIRQGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQETVSKIREAITEQREITVQLINYTRSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHIVPLRSRLSDNTEQQSAKLIKATAENVDEAVRELPDANLRPEDLWAVHSQPVFPRPHRRDTSSWLAIQKITSRGEKIGLHHFKPIKPLGRGDTGSVHLVELKGTGELFAMKAMDKSVMLNRNKVHRACVEREIISLLDHPFLPSLYSSFQIPTHVCLITDFCPGGELFNLLDKQPLKFFKEESARFYAAEVVIVLEYLHCLGIIYRDLKPENILLQKDGHIVLTDFDLSFMTSSKSQVLKYPVPKRRRSRSQPLPTFIAEPSTQSNSFVGTEEYIAPV >KJB79764 pep chromosome:Graimondii2_0_v6:13:7393407:7400265:-1 gene:B456_013G065600 transcript:KJB79764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin-2 [Source:Projected from Arabidopsis thaliana (AT5G58140) UniProtKB/Swiss-Prot;Acc:P93025] MSCSRFLQGPETDRKEVAKIRDAVKNGRSYCGRLLNYKKDGTPFWNLLTLNPIKDDQGKTIKFIGMQVEVSKYTEGVNEKALRPNGLPQSLIRYDARQKEKALDSITEVVHTLKHPQSHIRTISNDAISKIEDQHKFNLDFLLPQSAETKNIRTPGRYTPQSDISSTTTPMHETSKKTRKSERFSLMGFKGRPFSFAAKHEKQPLVELEELMTKDIERIDSLEHGERETDIRQGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQETVSKIREAITEQREITVQLINYTRSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHIVPLRSRLSDNTEQQSAKLIKATAENVDEAVRELPDANLRPEDLWAVHSQPVFPRPHRRDTSSWLAIQKITSRGEKIGLHHFKPIKPLGRGDTGSVHLVELKGTGELFAMKAMDKSVMLNRNKVHRACVEREIISLLDHPFLPSLYSSFQIPTHVCLITDFCPGGELFNLLDKQPLKFFKEESARFYAAEVVIVLEYLHCLGIIYRDLKPENILLQKDGHIVLTDFDLSFMTSSKSQVLKYPVPKRRRSRSQPLPTFIAEPSTQSNSFVGTEEYIAPEIITGAGHSSSIDWWALGILLYEMLYGRTPFRGKNRQKTFSNILNKELTFPSSIPVSLAARQLINALLNRDPSSRLGSRAGAAEIKQHPFFHGINWSLIRCTSPPPLEVPLQLIKKDTTNTKDVKWEDDGMLVNSNDMDVF >KJB80338 pep chromosome:Graimondii2_0_v6:13:14900620:14903789:-1 gene:B456_013G092500 transcript:KJB80338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKRPFDDEELQELPIKNPRQFGYDNKLTRFADTTPHEVEGGFHKHQWDEEFKSDALNDVAHLVDEDFETSAPLSLVTSTSSEEDISTGVTAISPVSSEYFDFDFPRRTFSPVEDDYYLLLDRSPRKQVPLGPNHQANVPSWGRHIKNIKFAQNEASKAADIDHEDIKMGTCIIPLPDSDLSANSSDKVGAGRFDCSCLDRGSLRCVQQHVTEARKLLRKSLGHEKFVKLGFYNMGEDVAYKWSEEDEEIFREVVYTNPVSLGKKFWKHLSVVFPSRSKREIVSYYFNVFILQRRAVQNRSGSLDIDSDDDELCGNQPSYKVQALEEDEDSAIESLADLEDHANHDDNNDVEDDSGDSDGDNYVGYYSSAATTEDYGINLLYKGPVTKSFDESRFDPVFQPTDKVFGTVEDFNVQEDSCMSFEFQPNMVDSHSLDDTKANLHAGGMKTEISRSLQGKLDDSSELVSNVYLLDNYDAKIWDTRYSTTSTKGIDLQPTCNIIEEIFGQDNT >KJB80335 pep chromosome:Graimondii2_0_v6:13:14900607:14903789:-1 gene:B456_013G092500 transcript:KJB80335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKRPFDDEELQELPIKNPRQFGYDNKLTRFADTTPHGNSPQKPHISEVEGGFHKHQWDEEFKSDALNDVAHLVDEDFETSAPLSLVTSTSSEEDISTGVTAISPVSSEYFDFDFPRRTFSPVEDDYYLLLDRSPRKQVPLGPNHQANVPSWGRHIKNIKFAQNEASKAADIDHEDIKMGTCIIPLPDSDLSANSSDKVGAGRFDCSCLDRGSLRCVQQHVTEARKLLRKSLGHEKFVKLGFYNMGEDVAYKWSEEDEEIFREVVYTNPVSLGKKFWKHLSVVFPSRSKREIVSYYFNVFILQRRAVQNRSGSLDIDSDDDELCGNQPSYKVQALEEDEDSAIESLADLEDHANHDDNNDVEDDSGDSDGDNYVGYYSSAATTEDYGINLLYKGPVTKSFDESRFDPVFQPTDKVFGTVEDFNVQEDSCMSFEFQPNMVDSHSLDDTKANLHAGGMKTEISRSLQGKLDDSSELVSNVYLLDNYDAKIWDTRYSTTSTKGIDLQPTCNIIEEIFGQDNT >KJB80336 pep chromosome:Graimondii2_0_v6:13:14900607:14903852:-1 gene:B456_013G092500 transcript:KJB80336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKRPFDDEELQELPIKNPRQFGYDNKLTRFADTTPHGNSPQKPHISVEVEGGFHKHQWDEEFKSDALNDVAHLVDEDFETSAPLSLVTSTSSEEDISTGVTAISPVSSEYFDFDFPRRTFSPVEDDYYLLLDRSPRKQVPLGPNHQANVPSWGRHIKNIKFAQNEASKAADIDHEDIKMGTCIIPLPDSDLSANSSDKVGAGRFDCSCLDRGSLRCVQQHVTEARKLLRKSLGHEKFVKLGFYNMGEDVAYKWSEEDEEIFREVVYTNPVSLGKKFWKHLSVVFPSRSKREIVSYYFNVFILQRRAVQNRSGSLDIDSDDDELCGNQPSYKVQALEEDEDSAIESLADLEDHANHDDNNDVEDDSGDSDGDNYVGYYSSAATTEDYGINLLYKGPVTKSFDESRFDPVFQPTDKVFGTVEDFNVQEDSCMSFEFQPNMVDSHSLDDTKANLHAGGMKTEISRSLQGKLDDSSELVSNVYLLDNYDAKIWDTRYSTTSTKGIDLQPTCNIIEEIFGQDNT >KJB80337 pep chromosome:Graimondii2_0_v6:13:14900971:14902626:-1 gene:B456_013G092500 transcript:KJB80337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKRPFDDEELQELPIKNPRQFGYDNKLTRFADTTPHVEVEGGFHKHQWDEEFKSDALNDVAHLVDEDFETSAPLSLVTSTSSEEDISTGVTAISPVSSEYFDFDFPRRTFSPVEDDYYLLLDRSPRKQVPLGPNHQANVPSWGRHIKNIKFAQNEASKAADIDHEDIKMGTCIIPLPDSDLSANSSDKVGAGRFDCSCLDRGSLRCVQQHVTEARKLLRKSLGHEKFVKLGFYNMGEDVAYKWSEEDEEIFREVVYTNPVSLGKKFWKHLSVVFPSRSKREIVSYYFNVFILQRRAVQNRSGSLDIDSDDDELCGNQPSYKVQALEEDEDSAIESLADLEDHANHDDNNDVEDDSGDSDGDNYVGYYSSAATTEDYGINLLYKGPVTKSFDESRFDPVFQPTDKVFGTVEDFNVQEDSCMSFEFQPNMVDSHSLDDTKANLHAGGMKTEISRSLQGKLDDSSELVSNVYLLDNYDAKIWDTRYSTTSTKGIDLQPTCNIIEEIFGQDNT >KJB79541 pep chromosome:Graimondii2_0_v6:13:5064385:5067458:1 gene:B456_013G053500 transcript:KJB79541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVLANRSDSNWPPQPKGSVAKFMGKVPFTATKPNPNPKFNKKRQFHHHLAPPDDVAGHVVDDSPAVTQSAASDDASSINRKLNDFSSGAYVSFRISSYSRKELIDLKNQLVAELEQIRELRNRIESNDFHVRSSSNKKSLPKKNISGSKRPLPSNFSKEFKRLNPQENGKASTAHLMKSCSQILTKLMKNKHGYIFNSPVDVVGMGLHDYYDIIKNPMDLGTVKSRMSKNFYGSPLEFAADVRLTFNNAMLYNPKGHEVYVLAEQFLAKFEELFRPLSLKLEAQDEPPERGYYEEELQASSWDHGEPDRLKKDRERDSERIIVDRDDSANFVSRSDRIDRIGGVSGFVSNPNVPPPQMHLQAPARVPSPVRPPPVKSLKQPKPKAKDPNKREMSMEEKQKLGIGLQSLPQEKMDNVVQIIRKRNGHLRQDGDEIELDIEAMDTETLWELDRFVTNYKKMVSKIKRQALMANNAVSNDSHREEATVEKIEVPMEMKKPKKGDAGEEDVDIGDEMPMSSFPPVEIEKDNDRASSSSSSSSSSSSDSSSSSDSDSGSSSGSDSDADDARS >KJB79539 pep chromosome:Graimondii2_0_v6:13:5064385:5066871:1 gene:B456_013G053500 transcript:KJB79539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVLANRSDSNWPPQPKGSVAKFMGKVPFTATKPNPNPKFNKKRQFHHHLAPPDDVAGHVVDDSPAVTQSAASDDASSINRKLNDFSSGAYVSFRISSYSRKELIDLKNQLVAELEQIRELRNRIESNDFHVRSSSNKKSLPKKNISGSKRPLPSNFSKEFKRLNPQENGKASTAHLMKSCSQILTKLMKNKHGYIFNSPVDVVGMGLHDYYDIIKNPMDLGTVKSRMSKNFYGSPLEFAADVRLTFNNAMLYNPKGHEVYVLAEQFLAKFEELFRPLSLKLEAQDEPPERGYYEEELQASSWDHGEPDRLKKDRERDSERIIVDRDDSANFVSRSDRIDRIGGVSGFVSNPNVPPPQMHLQAPARVPSPVRPPPVKSLKQPKPKAKDPNKREMSMEEKQKLGIGLQSLPQEKMDNVVQIIRKRNGHLRQDGDEIELDIEAMDTETLWELDRFVTNYKKMVSKIKRQALMANNAVSNDSHREEATVEKIEVPMEMKKPKKGDAGEEDVDIGDEMPMSSFPPVEIEKDNDRASSSSSSSSSSSSDSSSSSDSGSSSGSDSDADDARS >KJB79542 pep chromosome:Graimondii2_0_v6:13:5064385:5067458:1 gene:B456_013G053500 transcript:KJB79542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVLANRSDSNWPPQPKGSVAKFMGKVPFTATKPNPNPKFNKKRQFHHHLAPPDDVAGHVVDDSPAVTQSAASDDASSINRKLNDFSSGAYVSFRISSYSRKELIDLKNQLVAELEQIRELRNRIESNDFHVRSSSNKKSLPKKNISGSKRPLPSNFSKEFKRLNPQENGKASTAHLMKSCSQILTKLMKNKHGYIFNSPVDVVGMGLHDYYDIIKNPMDLGTVKSRMSKNFYGSPLEFAADVRLTFNNAMLYNPKGHEVYVLAEQFLAKFEELFRPLSLKLEAQDEPPERGYYEEELQASSWDHGEPDRLKKDRERDSERIIVDRDDSANFVSRSDRIDRIGGVSGFVSNPNVPPPQMHLQAPARVPSPVRPPPVKSLKQPKPKAKDPNKREMSMEEKQKLGIGLQSLPQEKMDNVVQIIRKRNGHLRQDGDEIELDIEAMDTETLWELDRFVTNYKKMVSKIKRQALMANNAVSNDSHREEATVEKIEVPMEMKKPKKGDAGEEDVDIGDEMPMSSFPPVEIEKDNDRASSSSSSSSSSSSDSSSSSDSDSGSSSGSDSDADDARS >KJB79540 pep chromosome:Graimondii2_0_v6:13:5064603:5066378:1 gene:B456_013G053500 transcript:KJB79540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVLANRSDSNWPPQPKGSVAKFMGKVPFTATKPNPNPKFNKKRQFHHHLAPPDDVAGHVVDDSPAVTQSAASDDASSINRKLNDFSSGAYVSFRISSYSRKELIDLKNQLVAELEQIRELRNRIESNDFHVRSSSNKKSLPKKNISGSKRPLPSNFSKEFKRLNPQENGKASTAHLMKSCSQILTKLMKNKHGYIFNSPVDVVGMGLHDYYDIIKNPMDLGTVKSRMSKNFYGSPLEFAADVRLTFNNAMLYNPKGHEVYVLAEQFLAKFEELFRPLSLKLEAQDEPPERGYYEEELQASSWDHGEPDRLKKDRERDSERIIVDRDDSANFVSRSDRIDRIGGVSGFVSNPNVPPPQMHLQAPARVPSPVRPPPVKSLKQPKPKAKDPNKREMSMEEKQKLGIGLQSLPQEKMDNVVQIIRKRNGHLRQDGDEIELDIEAMDTETLWELDRFVTNYKKMVSKIKRQALMANNAVSNDSHREEATVEKIEVPMEMKKPKKGDAGEEDVDIGDEMPMSSFPPVEIEKDNDRASSSSSSSSSSSSDSSSSSGISLLHSEFGI >KJB79750 pep chromosome:Graimondii2_0_v6:13:7329907:7331087:1 gene:B456_013G065000 transcript:KJB79750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCMRLCMRKLALWRTTTFKPLMTHAELEPIMVTMGFVGLPPYQETPGLGWKEYVYTAWWQPKPFSSLSSTAAEPPSPKDPRPRPKLPYPRIDGLHVDTYRAFLDAVNFYIQMWNISDIFHIRGLPLQRNYDRYRKWRCMEDESIFVYREGTLGGRNHLIAPNSVRKDSNSSCNDDSDGNDYGSVVIRDKGNNDRVNCVVPLKDIIVSIQ >KJB81384 pep chromosome:Graimondii2_0_v6:13:38845193:38846974:1 gene:B456_013G1424002 transcript:KJB81384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALRFSSRFNPMLSNIILVQTKSLLFSFSSFSSSVRKPCKTLCCSSSSPLSGLEDELVGYIFGKKKATEVAHLVWRHVLHKGDIVIDATCGNGYDTVAMLKMVADESGHGHVYGMDIQTEALENTSSLLDETVTQKEKELVKLFPICHSRMDEVLPENTAV >KJB81387 pep chromosome:Graimondii2_0_v6:13:38845693:38846974:1 gene:B456_013G1424002 transcript:KJB81387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMVADESGHGHVYGMDIQTEALENTSSLLDETVTQKEKELVKLFPICHSRMDEVLPENTAV >KJB81386 pep chromosome:Graimondii2_0_v6:13:38845288:38846974:1 gene:B456_013G1424002 transcript:KJB81386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLVIFLGRRKQQKWLICRVWRHVLHKGDIVIDATCGNGYDTVAMLKMVADESGHGHVYGMDIQTEALENTSSLLDETVTQKEKELVKLFPICHSRMDEVLPENTAV >KJB81385 pep chromosome:Graimondii2_0_v6:13:38845267:38846974:1 gene:B456_013G1424002 transcript:KJB81385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLVIFLGRRKQQKWLICRVWRHVLHKGDIVIDATCGNGYDTVAMLKMVADESGHGHVYGMDIQTEALENTSSLLDETVTQKEKELVKLFPICHSRMDEVLPENTAV >KJB82036 pep chromosome:Graimondii2_0_v6:13:46012835:46015112:1 gene:B456_013G173000 transcript:KJB82036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQPNVLLSNYHQFFVKKVFSSYSCRCSLSLQPVRALQSSMGAEEGFMFCNSCWSDDSIKSKQMQNLSEEAHVYDCYSSQEFSNDEDYKEEQRRRKIGLANKGRVPWNKGRKHSAETRLRIKQRTIEALNDPKVRKKMAEHPRTHSEESKARIGSSVKRAWGKRLKWKRLGERFFLSWMKSIAEASRKGGSDQVELEWDSYDKIKQEIVLEQLQWAAEKAKGKEIAKVRAEKARAERIARIVQKRKEQEEKEKARELKRRMKEKARQDESVADSQGMKLKQRLQMVRNLRKIARECIKLPEYVLCSHCCHSIELETISFRRACVDRTSISM >KJB82034 pep chromosome:Graimondii2_0_v6:13:46012080:46016162:1 gene:B456_013G173000 transcript:KJB82034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRKKMAEHPRTHSEESKARIGSSVKRAWGKRLKWKRLGERFFLSWMKSIAEASRKGGSDQVELEWDSYDKIKQEIVLEQLQWAAEKAKGKEIAKVRAEKARAERIARIVQKRKEQEEKEKARELKRRMKEKARQDESVADSQGMKLKQRLQMIRKKKSISSQVSIKGDTSHIPALEKLNIELIKKQKMQSEVSLAEQIKAAKSRRAEPISAKILAVSSSFVSYNARLKE >KJB82033 pep chromosome:Graimondii2_0_v6:13:46011694:46016162:1 gene:B456_013G173000 transcript:KJB82033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFHLRLSARHPHSSNVPDTLLWPISSVVDYMHRQPNVLLSNYHQFFVKKVFSSYSCRCSLSLQPVRALQSSMGAEEGFMFCNSCWSDDSIKSKQMQNLSEEAHVYDCYSSQEFSNDEDYKEEQRRRKIGLANKGRVPWNKGRKHSAETRLRIKQRTIEALNDPKVRKKMAEHPRTHSEESKARIGSSVKRAWGKRLKWKRLGERFFLSWMKSIAEASRKGGSDQVELEWDSYDKIKQEIVLEQLQWAAEKAKGKEIAKVRAEKARAERIARIVQKRKEQEEKEKARELKRRMKEKARQDESVADSQGMKLKQRLQMIRKKKSISSQVSIKGDTSHIPALEKLNIELIKKQKMQSEVSLAEQIKAAKSRRAEPISAKILAVSSSFVSYNARLKE >KJB82035 pep chromosome:Graimondii2_0_v6:13:46012080:46016162:1 gene:B456_013G173000 transcript:KJB82035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQPNVLLSNYHQFFVKKVFSSYSCRCSLSLQPVRALQSSMGAEEGFMFCNSCWSDDSIKSKQMQNLSEEAHVYDCYSSQEFSNDEDYKEEQRRRKIGLANKGRVPWNKGRKHSAETRLRIKQRTIEALNDPKVRKKMAEHPRTHSEESKARIGSSVKRAWGKRLKWKRLGERFFLSWMKSIAEASRKGGSDQVELEWDSYDKIKQEIVLEQLQWAAEKAKGKEIAKVRAEKARAERIARIVQKRKEQEEKEKARELKRRMKEKARQDESVADSQGMKLKQRLQMIRKKKSISSQVSIKGDTSHIPALEKLNIELIKKQKMQSEVSLAEQIKAAKSRRAEPISAKILAVSSSFVSYNARLKE >KJB82032 pep chromosome:Graimondii2_0_v6:13:46011694:46016162:1 gene:B456_013G173000 transcript:KJB82032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEEGFMFCNSCWSDDSIKSKQMQNLSEEAHVYDCYSSQEFSNDEDYKEEQRRRKIGLANKGRVPWNKGRKHSAETRLRIKQRTIEALNDPKVRKKMAEHPRTHSEESKARIGSSVKRAWGKRLKWKRLGERFFLSWMKSIAEASRKGGSDQVELEWDSYDKIKQEIVLEQLQWAAEKAKGKEIAKVRAEKARAERIARIVQKRKEQEEKEKARELKRRMKEKARQDESVADSQGMKLKQRLQMIRKKKSISSQVSIKGDTSHIPALEKLNIELIKKQKMQSEVSLAEQIKAAKSRRAEPISAKILAVSSSFVSYNARLKE >KJB82978 pep chromosome:Graimondii2_0_v6:13:54307176:54311447:-1 gene:B456_013G222900 transcript:KJB82978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDFAKAVEDGLKLAKRVYLGKDSRTVARRMAPPLMERSTNWYLPSAPMVYAVISDPRMVDNPDMPSYQPYVHGMYDPPALIPLQMMGVNLNVDCYVDTAIIVVAGTWRIHCVMGSRSCDCLIAVPMGNQGSILGVEVDLPTKSYVTELIGEQESKGIGKIARPEDGGFLKPHIFTLTIPQIDGGTNISIKIRWSQMLSYNAGRFSLTVPFCFPEYVTPAIKKISKKEKIELNVNSSVANGILCKATSHPLKEIRRHEGKFSFLYEAEIFKWSNTDFRFSYSVSSGNIFGGILLQSLYDYDQSDMFCIYLFPGSEQNRKVFKKEVVFVVDISESMQGRPLESTKSAISAALSKLSPEDSFNIIAFSNEAFQFSTSMELASKDAIERATAWISMKYTVGGSTNLFIPLEKAADMLSNTRGSIPMIFLVTDGSVEDERNICHWMQKRLTNQGVLCPSIHTFGIGSFCNHYFLRMLAVIGRGEYGAAFDLDSIEVQMNKLFSKGLSTVLANITIDAFDDCEEIEVYSSRVPDLSLESPLTIYGRYQGSFPDNLKVEGILGDLSSFTMDLKIRRAKDIPLDSVLARRQIDLLTAQAWFSENKRLEEKVAKLSIQSCNISEYTRMTLLEKNIMKHFGAWKKKGDPQKIVESEPPEMILLQRLSVGFGDPIATAENIRPGSQEPELPEVAKIFIKTTSNYFGGICNRCCCMGCIRCCSKMNNQCATTLTQLCTALACIGCLHCCSESCCPNQDD >KJB82977 pep chromosome:Graimondii2_0_v6:13:54307010:54311704:-1 gene:B456_013G222900 transcript:KJB82977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYNAGRFSLTVPFCFPEYVTPAIKKISKKEKIELNVNSSVANGILCKATSHPLKEIRRHEGKFSFLYEAEIFKWSNTDFRFSYSVSSGNIFGGILLQSLYDYDQSDMFCIYLFPGSEQNRKVFKKEVVFVVDISESMQGRPLESTKSAISAALSKLSPEDSFNIIAFSNEAFQFSTSMELASKDAIERATAWISMKYTVGGSTNLFIPLEKAADMLSNTRGSIPMIFLVTDGSVEDERNICHWMQKRLTNQGVLCPSIHTFGIGSFCNHYFLRMLAVIGRGEYGAAFDLDSIEVQMNKLFSKGLSTVLANITIDAFDDCEEIEVYSSRVPDLSLESPLTIYGRYQGSFPDNLKVEGILGDLSSFTMDLKIRRAKDIPLDSVLARRQIDLLTAQAWFSENKRLEEKVAKLSIQSCNISEYTRMTLLEKNIMKHFGAWKKKGDPQKIVESEPPEMILLQRLSVGFGDPIATAENIRPGSQEPELPEVAKIFIKTTSNYFGGICNRCCCMGCIRCCSKMNNQCATTLTQLCTALACIGCLHCCSESCCPNQDD >KJB82656 pep chromosome:Graimondii2_0_v6:13:51795423:51797376:1 gene:B456_013G207000 transcript:KJB82656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSVACAVKEGVKLYFYNIRSVHVEKARNLAIEKAIEDAVSQGMAAKEAAKRAQIEGKKAAKLATRQAKRIIGPIISSGWDFFEALYYGGTIAEGFLRGTGTLFGAYGGGFIGEQSLGRVGYLVGSHLGSWVGGRIGLMVYDVVNGVHYLLQFVQAEESPVYEKSEVSGDSYVYGNSDAYESPPDESSESQESENHKDSYIKENSDEYESPPEENSESPESFSFW >KJB82653 pep chromosome:Graimondii2_0_v6:13:51795336:51797532:1 gene:B456_013G207000 transcript:KJB82653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQRKRVQLLGFIVGVIVLSYTAEKCRHLVGEEASSQSGQFTLLNCFDMGSGSVACAVKEGVKLYFYNIRSVHVEKARNLAIEKAIEDAVSQGMAAKEAAKRAQIEGKKAAKLATRQAKRIIGPIISSGWDFFEALYYGGTIAEGFLRGTGTLFGAYGGGFIGEQSLGRVGYLVGSHLGSWVGGRIGLMVYDVVNGVHYLLQFVQAEESPVYEKSEVSGDSYVYGNSDAYESPPDESSESQESENHKDSYIKENSDEYESPPEENSESPESFSFW >KJB82655 pep chromosome:Graimondii2_0_v6:13:51795423:51797353:1 gene:B456_013G207000 transcript:KJB82655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQRKRVQLLGFIVGVIVLSYTAEKCRHLVGEEASSQSGQFTLLNCFDMGSGSVACAVKEGVKLYFYNIRSVHVEKARNLAIEKAIEDAVSQGMAAKEAAKRAQIEGKKAAKLATRQAKRIIGPIISSGWDFFEALYYGGTIAEGFLRGTGTLFGAYGGGFIGEQSLGRVGYLVGSHLGSWVGGRIGLMVYDVVNGVHYLLQFVQAEESPVYEKSEVSGDSYVYGNSDAYESPPDESSESQESENHKDSYIKENSDEYESPPEENSESPESFSFW >KJB82654 pep chromosome:Graimondii2_0_v6:13:51795423:51797353:1 gene:B456_013G207000 transcript:KJB82654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQRKRVQLLGFIVGVIVLSYTAEKCRHLVGEEASSQSGQFTLLNCFDMGSGSVACAVKEGVKLYFYNIRSVHVEKARNLAIEKAIEDAVSQGMAAKEAAKRAQIEGKKAAKLATRQAKRIIGPIISSGWDFFEALYYGGTIAEGFLRGTGTLFGAYGGGFIGEQSLGRVGYLVGSHLGSWVGGRIGLMVYDVVNGVHYLLQFVQAEESPVYEKSEVSGDSYVYGNSDAYESPPDESSESQESENHKDSYIKENSDEYESPPEENSESPESFSFW >KJB82652 pep chromosome:Graimondii2_0_v6:13:51795423:51797353:1 gene:B456_013G207000 transcript:KJB82652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQRKRVQLLGFIVGVIVLSYTAEKCRHLVGEEASSQSGQFTLLNCFDMGSGSVACAVKEGVKLYFYNIRSVHVEKARNLAIEKAIEDAVSQGMAAKEAAKRAQIEGKKAAKLATRQAKRIIGPIISSGWDFFEALYYGGTIAEGFLRGTGTLFGAYGGGFIGEQSLGRVGYLVGSHLGSWVGGRIGLMVYDVVNGVHYLLQFVQAEESPVYEKSEVSGDSYVYGNSDAYESPPDESSESQESENHKDSYIKENSDEYESPPEENSESPESFSFW >KJB81816 pep chromosome:Graimondii2_0_v6:13:44378004:44378678:1 gene:B456_013G163000 transcript:KJB81816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRSRSSDENECPEESSWTLYFQDFSNKNTIDDLMNQNSSSILSHISHHTPDAACSAAGPLPSLDHTRLSFKKRKIKGPSSGFIDHDLEDTATSPANSPKVYNLGNQFDKQKDDAMGISQEMKGSGSGKINDEVGFIEGENVGHKLKKKGLCLVPLSMVLHYLG >KJB82718 pep chromosome:Graimondii2_0_v6:13:52271613:52273507:-1 gene:B456_013G210800 transcript:KJB82718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDSTATCVDILLAIILPPLGVFLKFGCQVEFWICLVLTLFGYIPGIIYAIYAITK >KJB82717 pep chromosome:Graimondii2_0_v6:13:52272706:52273354:-1 gene:B456_013G210800 transcript:KJB82717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDSTATCVDILLAIILPPLGVFLKFGCQVEFWICLVLTLFGYIPGIIYAIYAITK >KJB82308 pep chromosome:Graimondii2_0_v6:13:48909902:48916423:1 gene:B456_013G188400 transcript:KJB82308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESNTSVGGQDFITLPASSNSMSGSESGELRDVDDGLHQVDSQRNNAEAKDGEVKAEILQLNEGDIRNPQNNLIVEAKVDSTLAGDSSDMEISDEITEALRVEKKLDEVSSGAHSESFKVEEKLDGSSMSTKKRCLDLENGSPIQNHTMDGISVVKRPRMTFDDRQPSIRIVYNFLTRASKQKLEELLQKWSEWQAEQGSSLQENELTESGEKTYFPALRVGADKPSAVSFWIDDQRRNLHDMEFIPLDGNIVPLYDRGFAMGLTSADASSNLEGGLEIQDEASRCFNCGSYSHSLKQCPKPRDNVAVNNARKQHQKSKRNQSAASRNATRYYQNSQGGKYDDLKPGVLGAETRQLLGLGEFDPPPWLNRMREIGYPPGYLAPDEDEPSGITIYADVETDEGQEDGEIAEMVQQPEPQMKMTVEFPGINAPIPVEADEKLWAPSPSSESFKSRSQSHHRVNHSSESGSRGLERRYFSDYEDEGPPGVDSRVSSSHPPRYGNYDSPYGFNSPRHPIPRPRSPTSGRSYYERGRRSPLVYEDFGSHGSYGSRYSPRAHGFEYETDERWDNSYLDYSSRYSSHRYHSRW >KJB82309 pep chromosome:Graimondii2_0_v6:13:48909472:48916423:1 gene:B456_013G188400 transcript:KJB82309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESNTSVGGQDFITLPASSNSMSGSESGELRDVDDGLHQVDSQRNNAEAKDGEVKAEILQLNEGDIRNPQNNLIVEAKVDSTLAGDSSDMEISDEITEALRVEKKLDEVSSGAHSESFKVEEKLDGSSMSTKKRCLDLENGSPIQNHTMDGISVVKRPRMTFDDRQPSIRIVYNFLTRASKQKLEELLQKWSEWQAEQGSSLQENELTESGEKTYFPALRVGADKPSAVSFWIDDQRRNLHDMEFIPLDGNIVPLYDRGFAMGLTSADASSNLEGGLEIQDEASRCFNCGSYSHSLKQCPKPRDNVAVNNARKQHQKSKRNQSAASRNATRYYQNSQGGKYDDLKPGVLGAETRQLLGLGEFDPPPWLNRMREIGYPPGYLAPDEDEPSGITIYADVETDEGQEDGEIAEMVQQPEPQMKMTVEFPGINAPIPVEADEKLWAPSPSSESFKSRSQSHHRVNHSSESGSRGLERRYFSDYEDEGPPGVDSRVSSSHPPRYGNYDSPYGFNSPRHPIPRPRSPTSGRSYYERGRRSPLVYEDFGSHGSYGSRYSPRAHGFEYETDERWDNSYLDYSSRYSSHRYHSRW >KJB82910 pep chromosome:Graimondii2_0_v6:13:54001732:54006357:-1 gene:B456_013G220100 transcript:KJB82910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRSLISQVSRQQSRLGQYRNSFSKAHLSGNRFLSGQNRFRSSYAVNLGRRLRDADEASEAASLREIYHRNDPEAVIRLFESQPSLHSNRSALSEYVKALVKVDRLDESELLKTLQRGISSSSVEGESKSGLSAFQNVGKSTKNGVLGTSSAPIHMVTAEGGNFKDQLWRTFRSIALGFLLISGVGALIEDRGISKGLGLHEEVQVVESNTKFNDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGNGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMRMTLNQLLVELDGFKQNEGIILIAATNFPESLDKALIRPGRFDRRIIIPYPDVEGRRQILESHMSKVLKAGDVDLMIIARGTPGFSGADLANLVNVAAVSAAMHGAKEVTMVDLEYAKDKIILGSERKSAVISEESRKLTAFHEAGHALVAIHTEGALPVHKATIVPRGMALGMVSQLPEVDQTSFSRKQMLARLDVALGGWVAEELIFGENEVTSGPRSDLENATELARSMVTKYGMSKEVGLVTHSYNDDGRSLSTETRHLIEKEVKYLLEKAYNNAKTILTTHSKEHHALANALLEHETLTGAQINALLAQVNSQQQQQEQHQEVIVAQNGPQSNPVPPSPSPAASAAAAAAAAAAAATAAAKAKGVAPVGS >KJB82072 pep chromosome:Graimondii2_0_v6:13:46328869:46331032:-1 gene:B456_013G174800 transcript:KJB82072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFKTLLLSNQAKVVVKTQNPLHKPFPHSPSFIIRRTLSSSPQEPIKKPLSLLFEEAVGLTEKAGGNESEYQEEKNGLMSELRELEREVRALKENPKPKVQKEEKEKRPKKKVTNLVELFGSEKMVEKRRRESGEATVLKDLSPFVEAFVRHLYSKGYFNKANFLVDNKLDFSYFDSKYGRDFIKSAAYKFGKDHQEIAQWLSSKNLKTVALFGCPSLDKNNVFAAKWLRKFFKIQEDTVCSQCMLKDSCRHANKDVWGIATRNLLLVHVMKVIILYNLDQVPPKLTVPDEVRDSANKLLEEVIKLSRTT >KJB82071 pep chromosome:Graimondii2_0_v6:13:46329014:46330961:-1 gene:B456_013G174800 transcript:KJB82071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFKTLLLSNQAKVVVKTQNPLHKPFPHSPSFIIRRTLSSSPQEPIKKPLSLLFEEAVGLTEKAGGNESEYQEEKNGLMSELRELEREVRALKENPKPKVQKEEKEKRPKKKVTNLVELFGSEKMVEKRRRESGEATVLKDLSPFVEAFVRHLYSKGYFNKANFLVDNKLDFSYFDSKYGRDFIKSAAYKFGKDHQEIAQWLSSKNLKTVALFGCPSLDKNNVFAAKWLRKFFKIQEDTVCSQCMLKDSCRHANKDVWGIATRNLLLVHVMKVIILYNLDQVPPKLTVPDEVRDSANKLLEEVIKLSRTT >KJB81546 pep chromosome:Graimondii2_0_v6:13:40958748:40962818:1 gene:B456_013G149500 transcript:KJB81546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITVMTADEQILSLDVDPHETVENLKALLEVETMVPLQQQQLLFNGREMNNSEKLSALGVKDDDLVMMVSGVASSGSASRNDLNLNPDGSAVNPAAFQQQIRQDSNLIGQLFQTDPELAQAVVGNDLNKLQEILRARHQRRSDLRRQEEEELALLQADPFDVEAQKKIEAAIRQKGIDENWAAALEYNPEGFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLMDQRYKGIAHGVGQSEILGRIHVAPIKIGEIFYPCSFLVLDSPNMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVSVPFLQEKDIPSRFLDEERHLKQASSSGAAVTTGTTKKANVPSGGQSSG >KJB81544 pep chromosome:Graimondii2_0_v6:13:40958735:40962818:1 gene:B456_013G149500 transcript:KJB81544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITVMTADEQILSLDVDPHETVENLKALLEVETMVPLQQQQLLFNGREMNNSEKLSALGVKDDDLVMMVSGVASSGSASRNDLNLNPDGSAVNPAAFQQQIRQDSNLIGQLFQTDPELAQAVVGNDLNKLQEILRARHQRRSDLRRQEEEELALLQADPFDVEAQKKIEAAIRQKGIDENWAAALEYNPEGFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLMDQRYKGIAHGVGQSEILGRIHVAPIKIGEIFYPCSFLVLDSPNMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVSVPFLQEKDIPSRFLDEERHLKQASSSGAAVTTGTTKKANVPSGGQSSGGARGDATHKPEFEAKVAKLIELGFAREMAIEALKLCDGNEEQAAGILFGG >KJB81543 pep chromosome:Graimondii2_0_v6:13:40958734:40962818:1 gene:B456_013G149500 transcript:KJB81543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITVMTADEQILSLDVDPHETVENLKALLEVETMVPLQQQQLLFNGREMNNSEKLSALGVKDDDLVMMVSGVASSGSASRNDLNLNPDGSAVNPAAFQQQIRQDSNLIGQLFQTDPELAQAVVGNDLNKLQEILRARHQRRSDLRRQEEEELALLQADPFDVEAQKKIEAAIRQKGIDENWAAALEYNPEGFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLMDQRYKGIAHGVGQSEILGRIHVAPIKIGEIFYPCSFLVLDSPNMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVSVPFLQEKDIPSRFLDEERHLKQASSSGAAVTTGTTKKANVPSGGQSSGGARGDATHKPEFEAKVAKLIELGFAREMAIEALKLCDGNEEQAAGILFGG >KJB81545 pep chromosome:Graimondii2_0_v6:13:40958735:40962818:1 gene:B456_013G149500 transcript:KJB81545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITVMTADEQILSLDVDPHETVENLKALLEVESLFEFYGQTMVPLQQQQLLFNGREMNNSEKLSALGVKDDDLVMMVSGVASSGSASRNDLNLNPDGSAVNPAAFQQQIRQDSNLIGQLFQTDPELAQAVVGNDLNKLQEILRARHQRRSDLRRQEEEELALLQADPFDVEAQKKIEAAIRQKGIDENWAAALEYNPEGFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLMDQRYKGIAHGVGQSEILGRIHVAPIKIGEIFYPCSFLVLDSPNMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVSVPFLQEKDIPSRFLDEERHLKQASSSGAAVTTGTTKKANVPSGGQSSGGARGDATHKPEFEAKVAKLIELGFAREMAIEALKLCDGNEEQAAGILFGG >KJB80374 pep chromosome:Graimondii2_0_v6:13:15360045:15362213:-1 gene:B456_013G094100 transcript:KJB80374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVESKGGAIVCMLLALLFLGTWPALITLLERRGRLPQHTYLDYTITNLLAAVIIALTFGQIGDSTVERPNFFTQLSQDNWSSVLFAMAGGVVLSLGNLSTQYAWAFVGLSVVEVISSSMTVVIGTTLNYFLDGKINKAEILFPGVGCFLVAVCLASAVHSSNAADYKAKLSSWPIDNETGKGTVLPSASEEAVLKDRENGGGPIRKAKAGTATFLIELEKRRSIKVFGKSMFIGLTLTFFAGVCFSLFSPAFNLATNDQWHTLKQGVPNFGSLQIVL >KJB80375 pep chromosome:Graimondii2_0_v6:13:15360045:15362219:-1 gene:B456_013G094100 transcript:KJB80375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVESKGGAIVCMLLALLFLGTWPALITLLERRGRLPQHTYLDYTITNLLAAVIIALTFGQIGDSTVERPNFFTQLSQDNWSSVLFAMAGGVVLSLGNLSTQYAWAFVGLSVVEVISSSMTVVIGTTLNYFLDGKINKAEILFPGVGCFLVAVCLASAVHSSNAADYKAKLSSWPIDNETGKGTVLPSASEEAVLKDRENGGGPIRKAKAGTATFLIELEKRRSIKVFGKSMFIGLTLTFFAGVCFSLFSPAFNLATNDQWHTLKQGVPKLVVYTAFFYFSVSCFVIALVLNISFLYHPALGVSKSSFKAYLKDWNGRGWAFLAGFLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVVLFGEYRRSSRRTYILLFSMLFMFIAAVGILMASSGHRK >KJB80373 pep chromosome:Graimondii2_0_v6:13:15360045:15362144:-1 gene:B456_013G094100 transcript:KJB80373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVESKGGAIVCMLLALLFLGTWPALITLLERRGRLPQHTYLDYTITNLLAAVIIALTFGQIGDSTVERPNFFTQLSQDNWSSVLFAMAGGVVLSLGNLSTQYAWAFVGLSVVEVISSSMTVVIGTTLNYFLDGKINKAEILFPGVGCFLVAVCLASAVHSSNAADYKAKLSSWPIDNETGKGTVLPSASEEAVLKDRENGGGPIRKAKAGTATFLIELEKRRSIKVFGKSMFIGLTLTFFAGVCFSLFSPAFNLATNDQWHTLKQGVPKLLWESPNRPLRPI >KJB83938 pep chromosome:Graimondii2_0_v6:13:44641757:44642629:1 gene:B456_013G1648003 transcript:KJB83938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WWKDLDFTRKLPFARDRVVEGYFWIMGVYFEPQYSLGRKMLTKVIAMASIVDDTYDSYATYDELIPYTNAIERWDIKCMNQLPDYMKISYKALLDVYEEMEQLLANQGRQYRVEYAKKAMIRLAQAYLLEAKWTHQNYKPTFEEFRDNALPTSGYAMLAITAFVGMGEVITPETFKWAASDPKIIKASTIIC >KJB83937 pep chromosome:Graimondii2_0_v6:13:44641757:44642629:1 gene:B456_013G1648003 transcript:KJB83937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WWKDLDFTRKLPFARDRVVEGYFWIMGVYFEPQYSLGRKMLTKVIAMASIVDDTYDSYATYDELIPYTNAIERWDIKCMNQLPDYMKISYKALLDVYEEMEQLLANQGRQYRVEYAKKAMIRLAQAYLLEAKWTHQNYKPTFEEFRDNALPTSGYAMLAITAFVGMGEVITPETFKWAASDPKIIKASTIIC >KJB83939 pep chromosome:Graimondii2_0_v6:13:44641756:44642629:1 gene:B456_013G1648003 transcript:KJB83939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WWKDLDFTRKLPFARDRVVEGYFWIMGVYFEPQYSLGRKMLTKVIAMASIVDDTYDSYATYDELIPYTNAIERWDIKCMNQLPDYMKISYKALLDVYEEMEQLLANQGRQYRVEYAKKAMIRLAQAYLLEAKWTHQNYKPTFEEFRDNALPTSGYAMLAITAFVGMGEVITPETFKWAASDPKIIKASTIIC >KJB81399 pep chromosome:Graimondii2_0_v6:13:39291768:39292667:1 gene:B456_013G143300 transcript:KJB81399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQSYLKKGTWSRDEDQKLIAYITRYGIWNWNECPDFLQGRRRNPNRLIHLQKTLGNRWSVIAARLPQRTDNDIKNYWNTRLKKRINLEDNNSASATTSATETNSGIEEKSSGADSSLLFGNIMLDSPVYATFSTLGSDLSVASFLEQARMIEGLDCEAMSQNSQLCHSHHQQYYDLLDYF >KJB80487 pep chromosome:Graimondii2_0_v6:13:18243207:18244573:-1 gene:B456_013G100100 transcript:KJB80487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYPINSSINKSISSKGTSTKTKTSNDSAEESGWTAYFEDYDQQNSHCSSSFSCSVSLVSDVATTVAGAVAGACSSTCDASLKVPMKLGFKKTRTKEICGGDSLEDTATSPVNSPKVRDVKSNNMDPKQREDQIHSSLGKDTTSENYSK >KJB82040 pep chromosome:Graimondii2_0_v6:13:46097307:46100159:-1 gene:B456_013G173200 transcript:KJB82040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKSKLSLVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYASGA >KJB82039 pep chromosome:Graimondii2_0_v6:13:46097305:46100255:-1 gene:B456_013G173200 transcript:KJB82039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYASGA >KJB82042 pep chromosome:Graimondii2_0_v6:13:46097763:46100000:-1 gene:B456_013G173200 transcript:KJB82042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETGKICDCLY >KJB82041 pep chromosome:Graimondii2_0_v6:13:46097307:46100159:-1 gene:B456_013G173200 transcript:KJB82041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYASGA >KJB83893 pep chromosome:Graimondii2_0_v6:13:58122701:58125468:1 gene:B456_013G269700 transcript:KJB83893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEPDHEHREDEDAAPAEEEDTGAQIAPIIKLEEVAVSTGEENEDPMLDLKSKLYRFDKEGNQWKERGAGTVKLLKHKETGKVRLVMRQSKTLKICANHLVLPTMTVQEHAGNDKSCLWHASDYADGELKDELFCIRFASVESILQNLHANVPRSC >KJB83892 pep chromosome:Graimondii2_0_v6:13:58122701:58125468:1 gene:B456_013G269700 transcript:KJB83892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEPDHEHREDEDAAPAEEEDTGAQIAPIIKLEEVAVSTGEENEDPMLDLKSKLYRFDKEGNQWKERGAGTVKLLKHKETGKVRLVMRQSKTLKICANHLGSERQIVPVARF >KJB83891 pep chromosome:Graimondii2_0_v6:13:58121785:58125479:1 gene:B456_013G269700 transcript:KJB83891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEPDHEHREDEDAAPAEEEDTGAQIAPIIKLEEVAVSTGEENEDPMLDLKSKLYRFDKEGNQWKERGAGTVKLLKHKETGKVRLVMRQSKTLKICANHLVLPTMTVQEHAGNDKSCLWHASDYADGELKDELFCIRFASVENCKTFMQMFQEVAESQKPKEENKDASAAAGLLEKLSVDEKKTEDKAGEEKKETEATEKADTDKKDGEAASST >KJB83890 pep chromosome:Graimondii2_0_v6:13:58122124:58125468:1 gene:B456_013G269700 transcript:KJB83890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEPDHEHREDEDAAPAEEEDTGAQIAPIIKLEEVAVSTGEENEDPMLDLSSKLYRFDKEGNQWKERGAGTVKLLKHKETGKVRLVMRQSKTLKICANHLVLPTMTVQEHAGNDKSCLWHASDYADGELKDELFCIRFASVENCKTFMQMFQEVAESQKPKEENKDASAAAGLLEKLSVDEKKTEDKAGEEKKETEATEKADTDKKDGEAASST >KJB83889 pep chromosome:Graimondii2_0_v6:13:58122124:58125468:1 gene:B456_013G269700 transcript:KJB83889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEPDHEHREDEDAAPAEEEDTGAQIAPIIKLEEVAVSTGEENEDPMLDLKSKLYRFDKEGNQWKERGAGTVKLLKHKETGKVRLVMRQSKTLKICANHLVLPTMTVQEHAGNDKSCLWHASDYADGELKDELFCIRFASVENCKTFMQMFQEVAESQKPKEENKDASAAAGLLEKLSVDEKKTEDKAGEEKKETEATEKADTDKKDGEAASST >KJB80323 pep chromosome:Graimondii2_0_v6:13:14708382:14711146:-1 gene:B456_013G091700 transcript:KJB80323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQTNLCMQSKLSKACMLLPNHHNSSFSPAYLSELYLLSNKSRPLHCPPLIHFSINKKVPSFIQRNSSETMENFMKQMRGRFSSAEHGKTEESSSIRNQWMPPQKTRSFKAERTQNWFGKPFSGKMTSWNDDSNHKPEQVLAVAAAAATYVINSIAEPSIQDQKKTSAGLGPSLTRDKSRKEDTSFSTSKPGTVSKQFSGEGSTKGSGSAESKVALPDGTDDNETKSAPSLKRPLTFADYLGNTSITKQRSSPKPDMLSSQTESAAPKPDVSTIKPASKAPKPDHPAIKPGTAAGRPEEPPTIEPRPETEQTKAEDWEKDEMAKIKERYKKLNSTILAWEEKKKKKAKNKLDRTESGLERKRARALLKFKNEMEYIKQAVDGARAQAEASQKKDELKAKEKANIIRKTGEVPRACFCC >KJB80322 pep chromosome:Graimondii2_0_v6:13:14708382:14710423:-1 gene:B456_013G091700 transcript:KJB80322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQKTRSFKAERTQNWFGKPFSGKMTSWNDDSNHKPEQVLAVAAAAATYVINSIAEPSIQDQKKTSAGLGPSLTRDKSRKEDTSFSTSKPGTVSKQFSGEGSTKGSGSAESKVALPDGTDDNETKSAPSLKRPLTFADYLGNTSITKQRSSPKPDMLSSQTESAAPKPDVSTIKPASKAPKPDHPAIKPGTAAGRPEEPPTIEPRPETEQTKAEDWEKDEMAKIKERYKKLNSTILAWEEKKKKKAKNKLDRTESGLERKRARALLKFKNEMEYIKQAVDGARAQAEASQKKDELKAKEKANIIRKTGEVPRACFCC >KJB79141 pep chromosome:Graimondii2_0_v6:13:2788163:2790663:1 gene:B456_013G035900 transcript:KJB79141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGDRAPVASPLPQWGHDTWRMYQYYLDKTTPHTTYRWMGTLVIAAIYCLRVYSVQELEISDGPLLPTKGSDEFKPFIRRLPEFKFWYSMTKAFYIAFVMTFFSLFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYIPLNIGKQKYTGKKASTSGSSLCGD >KJB79139 pep chromosome:Graimondii2_0_v6:13:2788147:2790663:1 gene:B456_013G035900 transcript:KJB79139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGDRAPVASPLPQWGHDTWRMYQYYLDKTTPHTTYRWMGTLVIAAIYCLRVYSVQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEISDGPLLPTKGSDEFKPFIRRLPEFKFWYSMTKAFYIAFVMTFFSLFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKSTLVRKLLRVAAACVGIEASYNGLWWEEKEKWTLVGRKGKTASLRIITNMS >KJB79138 pep chromosome:Graimondii2_0_v6:13:2788808:2790129:1 gene:B456_013G035900 transcript:KJB79138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGDRAPVASPLPQWGHDTWRMYQYYLDKTTPHTTYRWMGTLVIAAIYCLRVYSVQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEISDGPLLPTKGSDEFKPFIRRLPEFKFWYSMTKAFYIAFVMTFFSLFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYIPLNIGKQKYTGKKASTSGSSLCGD >KJB79143 pep chromosome:Graimondii2_0_v6:13:2788556:2790663:1 gene:B456_013G035900 transcript:KJB79143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGDRAPVASPLPQWGHDTWRMYQYYLDKTTPHTTYRWMGTLVIAAIYCLRVYSVQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEISDGPLLPTKGSDEFKPFIRRLPEFKFWYSMTKAFYIAFVMTFFSLFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYIPLNIGKQKYTGKKASTSGSSLCGD >KJB79140 pep chromosome:Graimondii2_0_v6:13:2788147:2790663:1 gene:B456_013G035900 transcript:KJB79140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGDRAPVASPLPQWGHDTWRMYQYYLDKTTPHTTYRWMGTLVIAAIYCLRVYSVQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEISDGPLLPTKGSDEFKPFIRRLPEFKFWYSMTKAFYIAFVMTFFSLFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYIPLNIGKQKYTGKKASTSGSSLCGD >KJB79142 pep chromosome:Graimondii2_0_v6:13:2788564:2790663:1 gene:B456_013G035900 transcript:KJB79142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGDRAPVASPLPQWGHDTWRMYQYYLDKTTPHTTYRWMGTLVIAAIYCLRVYSVQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEISDGPLLPTKGSDEFKPFIRRLPEFKFWYSMTKAFYIAFVMTFFSLFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYIPLNIGKQKYTGKKASTSGSSLCGD >KJB79137 pep chromosome:Graimondii2_0_v6:13:2788147:2790663:1 gene:B456_013G035900 transcript:KJB79137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGGDRAPVASPLPQWGHDTWRMYQYYLDKTTPHTTYRWMGTLVIAAIYCLRVYSVQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEISDGPLLPTKGSDEFKPFIRRLPEFKFWYSMTKAFYIAFVMTFFSLFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYIPLNIGKQYTGKKASTSGSSLCGD >KJB82395 pep chromosome:Graimondii2_0_v6:13:49518366:49524978:1 gene:B456_013G193700 transcript:KJB82395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSKIKWVALFVLTLSLASLVAHLSMTKFSSMNLVQYSAKEALSHDFPNSGSPTRRNKRLWGAVRSLESLQPHANPRSSYPVPDENTNGFIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQQSTRSKGVSYKFKSFSYLYDEEQFIMLLKNDVNIVKSLPEYLKAARRRSEFPTFKPKNSASPNFYVKEVLPNLRKAKVVGLIVTGGGCLKSILPPSMSEFQRLRCRVAFHALQFRPEIQILGLRMVERLRASGQPFLAYHPGLVRDTLAYHGCAELFQDVHTELIQYRRAQLIKQGIINDELSVDSHSRRENGSCPLMPEEVGLLLRAMGYPSKTIIYLAGSKTFGGQRLLIPLRALFGNLVDRTTLCSKTELSDLVGPEAPLPADIFRLPLPKREQQLIDQWKKAGPRPRPLPPPPDRPIYQHEKEGWYAWILEKDKEPDPSPMEHRMQAHRLIWDALDYIVSVEADALFPGFNNDGSGWPDFSGLVIGQRLYERASSRTYRPDRKTIAALFNITRDEMYHPKRDWMLSVKEHLNRSLSEDGFFRQSLLSKPNSFLSHPLPECSCRIPSVETTKQIKGKDGRIVFGGEEECPKWMELARAEGARNDDMESAEDDNDTVEQLEPDAVDATSSLTSLIDHDEEWDPND >KJB82397 pep chromosome:Graimondii2_0_v6:13:49521292:49524984:1 gene:B456_013G193700 transcript:KJB82397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFQRLRCRVAFHALQFRPEIQILGLRMVERLRASGQPFLAYHPGLVRDTLAYHGCAELFQDVHTELIQYRRAQLIKQGIINDELSVDSHSRRENGSCPLMPEEVGLLLRAMGYPSKTIIYLAGSKTFGGQRLLIPLRALFGNLVDRTTLCSKTELSDLVGPEAPLPADIFRLPLPKREQQLIDQWKKAGPRPRPLPPPPDRPIYQHEKEGWYAWILEKDKEPDPSPMEHRMQAHRLIWDALDYIVSVEADALFPGFNNDGSGWPDFSGLVIGQRLYERASSRTYRPDRKTIAALFNITRDEMYHPKRDWMLSVKEHLNRSLSEDGFFRQSLLSKPNSFLSHPLPECSCRIPSVETTKQIKGKDGRIVFGGEEECPKWMELARAEGARNDDMESAEDDNDTVEQLEPDAVDATSSLTSLIDHDEEWDPND >KJB82396 pep chromosome:Graimondii2_0_v6:13:49519921:49524978:1 gene:B456_013G193700 transcript:KJB82396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKNDVNIVKSLPEYLKAARRRSEFPTFKPKNSASPNFYVKEVLPNLRKAKVVGLIVTGGGCLKSILPPSMSEFQRLRCRVAFHALQFRPEIQILGLRMVERLRASGQPFLAYHPGLVRDTLAYHGCAELFQDVHTELIQYRRAQLIKQGIINDELSVDSHSRRENGSCPLMPEEVGLLLRAMGYPSKTIIYLAGSKTFGGQRLLIPLRALFGNLVDRTTLCSKTELSDLVGPEAPLPADIFRLPLPKREQQLIDQWKKAGPRPRPLPPPPDRPIYQHEKEGWYAWILEKDKEPDPSPMEHRMQAHRLIWDALDYIVSVEADALFPGFNNDGSGWPDFSGLVIGQRLYERASSRTYRPDRKTIAALFNITRDEMYHPKRDWMLSVKEHLNRSLSEDGFFRQSLLSKPNSFLSHPLPECSCRIPSVETTKQIKGKDGRIVFGGEEECPKWMELARAEGARNDDMESAEDDNDTVEQLEPDAVDATSSLTSLIDHDEEWDPND >KJB82394 pep chromosome:Graimondii2_0_v6:13:49518249:49524998:1 gene:B456_013G193700 transcript:KJB82394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSKIKWVALFVLTLSLASLVAHLSMTKFSSMNLVQYSAKEALSHDFPNSGSPLKTRRNKRLWGAVRSLESLQPHANPRSSYPVPDENTNGFIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQQSTRSKGVSYKFKSFSYLYDEEQFIMLLKNDVNIVKSLPEYLKAARRRSEFPTFKPKNSASPNFYVKEVLPNLRKAKVVGLIVTGGGCLKSILPPSMSEFQRLRCRVAFHALQFRPEIQILGLRMVERLRASGQPFLAYHPGLVRDTLAYHGCAELFQDVHTELIQYRRAQLIKQGIINDELSVDSHSRRENGSCPLMPEEVGLLLRAMGYPSKTIIYLAGSKTFGGQRLLIPLRALFGNLVDRTTLCSKTELSDLVGPEAPLPADIFRLPLPKREQQLIDQWKKAGPRPRPLPPPPDRPIYQHEKEGWYAWILEKDKEPDPSPMEHRMQAHRLIWDALDYIVSVEADALFPGFNNDGSGWPDFSGLVIGQRLYERASSRTYRPDRKTIAALFNITRDEMYHPKRDWMLSVKEHLNRSLSEDGFFRQSLLSKPNSFLSHPLPECSCRIPSVETTKQIKGKDGRIVFGGEEECPKWMELARAEGARNDDMESAEDDNDTVEQLEPDAVDATSSLTSLIDHDEEWDPND >KJB83842 pep chromosome:Graimondii2_0_v6:13:57959330:57962652:-1 gene:B456_013G267100 transcript:KJB83842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEKSLDPNLWHACAGSMVQIPPINSKVYYFPQGHAEHSLNSVDFPFPRQIPPLVLCRVDSVKFMADGETDEVYAKIMLTPLQNNEPDVGDDDGVSNGGCNNIEKKAASFAKTLTQSDANNGGGFSVPRYCAETIFPALDYSADPPVQTVVAVDVHGQLWKFRHIYRGTPRRHLLTTGWSAFVNRKKLVAGDSVVFLRAENGGIRVGIRRAKLGIGDGDCYGSLGFCGNSKGNGKVKPEEVLEAAKAASGGRKPFEVAYYPRTSGPEFCVKASAVNTAMKIPWCCGMRFKMAFETEDSSRISWFVGTISSVQVADPVRWPDSPWRLLEVTWDEPDLLQNVNRVNPWSVELASNLPAIHLSPFLRPMKKLRLPQHLDGLLVPSFPSKPLIPSSPLYRLSDNAPVGIQGARQVQFGLPLSDLHLKNNPHSGLCLSGLQRFDLHDRIPDTFTNSRNNLSCFLTMGNSNKSKNPKKCRFLLFGQPILTEQQLSQSSSSEAVSEVVSGNSCKTKDSSDGSGSVIGNQFSPEKSSTAQFLWDRDNRAIEPCMDTGHCKVFMESEDVGRTLDLSVLDSYEDLYRRLANMFGIDRSKMSEHVLYRDATGAIKRTGDEPFSAFTKIAKRLTIRMDSSNNTIERSRLTGTRTAENGFRGSNKTGPLSIVA >KJB79010 pep chromosome:Graimondii2_0_v6:13:4623434:4627326:1 gene:B456_013G050700 transcript:KJB79010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADNDNLSVSRRELHDLLSKSSLNGIPVLVLGNKIDKPEALSKEDLTEQMGLKSITDREVCCYMISCKNSTNIDAVIDWLVKHSKSKN >KJB79007 pep chromosome:Graimondii2_0_v6:13:4623434:4627301:1 gene:B456_013G050700 transcript:KJB79007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVRFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADNDNLSVSRRELHDLLSKSSLNGIPVLVLGNKIDKPEALSKEDLTEQMGLKSITDREVCCYMISCKNSTNIDAVIDWLVKHSKSKN >KJB79006 pep chromosome:Graimondii2_0_v6:13:4623434:4627301:1 gene:B456_013G050700 transcript:KJB79006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSIRELHDLLSKSSLNGIPVLVLGNKIDKPEALSKEDLTEQMGLKSITDREVCCYMISCKNSTNIDAVIDWLVKHSKSKN >KJB79011 pep chromosome:Graimondii2_0_v6:13:4624141:4627301:1 gene:B456_013G050700 transcript:KJB79011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADNDNLSVSRRELHDLLSKSSLNGIPVLVLGNKIDKPEALSKEDLTEQMGLKSITDREVCCYMISCKNSTNIDAVIDWLVKHSKSKN >KJB79004 pep chromosome:Graimondii2_0_v6:13:4623434:4626125:1 gene:B456_013G050700 transcript:KJB79004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVHAFKLLYVSV >KJB79009 pep chromosome:Graimondii2_0_v6:13:4623434:4627301:1 gene:B456_013G050700 transcript:KJB79009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSIELHDLLSKSSLNGIPVLVLGNKIDKPEALSKEDLTEQMGLKSITDREVCCYMISCKNSTNIDAVIDWLVKHSKSKN >KJB79012 pep chromosome:Graimondii2_0_v6:13:4624644:4627301:1 gene:B456_013G050700 transcript:KJB79012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADNDNLSVSRRELHDLLSKSSLNGIPVLVLGNKIDKPEALSKEDLTEQMGLKSITDREVCCYMISCKNSTNIDAVIDWLVKHSKSKN >KJB79008 pep chromosome:Graimondii2_0_v6:13:4623434:4627301:1 gene:B456_013G050700 transcript:KJB79008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVSSIFTICSYVVDAADNDNLSVSRRELHDLLSKSSLNGIPVLVLGNKIDKPEALSKEDLTEQMGLKSITDREVCCYMISCKNSTNIDAVIDWLVKHSKSKN >KJB79005 pep chromosome:Graimondii2_0_v6:13:4623434:4626738:1 gene:B456_013G050700 transcript:KJB79005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADNDNLSVSRRELHDLLSKSSLNGIPVLVLGNKIDKPEALSKEDLTEQM >KJB80629 pep chromosome:Graimondii2_0_v6:13:23416854:23417653:1 gene:B456_013G107900 transcript:KJB80629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLIFIGIRLEQQFGFSTLSVPFCSGSVLSSLFIQRSISVGASGALCGLLGVMLSELLTNWTIYTNKAEALITLTVSIVINLAVGIFPHVDNFAHIGGFLTGFLLGFVLLLCPQFGWVGRKHLPAGARVTSKHKAYQYLFLVITMVLLIVGFTVGLVMLFRGENGHDHCSWCHYLSCVPTSKWHCGN >KJB80633 pep chromosome:Graimondii2_0_v6:13:23415964:23417687:1 gene:B456_013G107900 transcript:KJB80633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLIIASCVILCCSFSSSFRLEKLGALKWDKVVHGNQAWRLITCIWLHAGVIHLLANMLSLIFIGIRLEQQFGFSTLSVPFCSGSVLSSLFIQRSISVGASGALCGLLGVMLSELLTNWTIYTNKAEALITLTVSIVINLAVGIFPHVDNFAHIGGFLTGFLLGFVLLLCPQFGWVGRKHLPAGARVTSKHKAYQYLFLVITMVLLIVGFTVGLVMLFRGENGHDHCSWCHYLSCVPTSKWHCGN >KJB80632 pep chromosome:Graimondii2_0_v6:13:23416425:23417868:1 gene:B456_013G107900 transcript:KJB80632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLIFIGIRLEQQFGFSTLSVPFCSGSVLSSLFIQRSISVGASGALCGLLGVMLSELLTNWTIYTNKAEALITLTVSIVINLAVGIFPHVDNFAHIGGFLTGFLLGFVLLLCPQFGWVGRKHLPAGARVTSKHKAYQYLFLVITMVLLIVGFTVGLVMLFRGENGHDHCSWCHYLSCVPTSKWHCGN >KJB80630 pep chromosome:Graimondii2_0_v6:13:23413948:23417868:1 gene:B456_013G107900 transcript:KJB80630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGSAWQSSVEAYHLHLAARCGSVLSSLFIQRSISVGASGALCGLLGVMLSELLTNWTIYTNKAEALITLTVSIVINLAVGIFPHVDNFAHIGGFLTGFLLGFVLLLCPQFGWVGRKHLPAGARVTSKHKAYQYLFLVITMVLLIVGFTVGLVMLFRGENGHDHCSWCHYLSCVPTSKWHCGN >KJB80634 pep chromosome:Graimondii2_0_v6:13:23415451:23417687:1 gene:B456_013G107900 transcript:KJB80634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLIFIGIRLEQQFGFSTLSVPFCSGSVLSSLFIQRSISVGASGALCGLLGVMLSELLTNWTIYTNKAEALITLTVSIVINLAVGIFPHVDNFAHIGGFLTGFLLGFVLLLCPQFGWVGRKHLPAGARVTSKHKAYQYLFLVITMVLLIVGFTVGLVMLFRGENGHDHCSWCHYLSCVPTSKWHCGN >KJB80631 pep chromosome:Graimondii2_0_v6:13:23415383:23417868:1 gene:B456_013G107900 transcript:KJB80631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLFFISFWLLLPLPFLLSNDGLEKLGALKWDKVVHGNQAWRLITCIWLHAGVIHLLANMLSLIFIGIRLEQQFGFSTLSVPFCSGSVLSSLFIQRSISVGASGALCGLLGVMLSELLTNWTIYTNKAEALITLTVSIVINLAVGIFPHVDNFAHIGGFLTGFLLGFVLLLCPQFGWVGRKHLPAGARVTSKHKAYQYLFLVITMVLLIVGFTVGLVMLFRGENGHDHCSWCHYLSCVPTSKWHCGN >KJB80051 pep chromosome:Graimondii2_0_v6:13:10499074:10499419:-1 gene:B456_013G078700 transcript:KJB80051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKVLPDCFRLLSKLRVFRADETPLEVPPREVIKLGAQVVVEFMADLVAKRDTKAAPPKKEKGFWFRICSISWPFRTANTDDNM >KJB80897 pep chromosome:Graimondii2_0_v6:13:30522599:30525204:-1 gene:B456_013G120600 transcript:KJB80897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGTGGSETEVTWEDQQNINKFGRLNNRFHELEDEIKVAKEAIDNLEDASNELILTDEEVVRFQIGEVFAHVPKEEVETRIEEMKELNSKNLEKLEEEKESVVAQMAELKKILYGKFKDSINLEED >KJB80898 pep chromosome:Graimondii2_0_v6:13:30522708:30525010:-1 gene:B456_013G120600 transcript:KJB80898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKGTGGSETEVTWEDQQNINKFGRLNNRFHELEDEIKVAKEAIDNLEDASNELILTDEEVVRFQIGEVFAHVPKEEVETRIEEMKELNSKNLEKLEEEKESVVAQMAELKKILYGKFKDSINLEED >KJB81072 pep chromosome:Graimondii2_0_v6:13:33353363:33357162:1 gene:B456_013G128200 transcript:KJB81072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTLFAAIDMGTNAFKLLIVQAHLPGKFIPLLTVREHVVLGRDSPSSTISTHSQNLSIKSLQNFNKLIQTHNVPLLHTRCVATSAVREAQNKAEFIHSISETTGFKVDVLSGEEEARFSYLGALQFFPVFENLVLNIDIGGGSTEFAIGLRGKVEFCLSLKLGHVTLTQQNFGDEEAERASNMREYIRNVIKESGLIDKVKNIGFEIALGSSGTIRAIEKAVFKGYALDFADTEALLSECKRDWKFSIEELKSVVERLCKGGEGQNVRRDGFFKRRSESIVAGGILLDEIFDLLGIKEMLVSGYGLREGLIADSLAKVFDDGYDLNANARFRSILRLATRFNSKRITTSAAEIASIAREIFEGLRKYKELDNDGINLTVDLDEKDLENLEAACLLHNIGLSTGKKGYHKKSYHIIMNGNHLRGYSAEEVKLIALLTRHHRKKLPKLDDASFNELEEAKQKFKVLCAIIRLSVVLHRNGYINYREMDFSHSHEGFKLVIGEERGLNHQPVVEQCVTDKFAVELTHELDYFKKVLKQELSVELKAPPFCSNESSKGSY >KJB81074 pep chromosome:Graimondii2_0_v6:13:33353457:33355919:1 gene:B456_013G128200 transcript:KJB81074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTLFAAIDMGTNAFKLLIVQAHLPGKFIPLLTVREHVVLGRDSPSSTISTHSQNLSIKSLQNFNKLIQTHNVPLLHTRCVATSAVREAQNKAEFIHSISETTGFKVDVLSGEEEARFSYLGALQFFPVFENLVLNIDIGGGSTEFAIGLRGKVEFCLSLKLGHVTLTQQNFGDEEAERASNMREYIRNVIKESGLIDKVKNIGFEIALGSSGTIRAIEKAVFKGYALDFADTEALLSECKRDWKFSIEELKSVVERLCKGGEGQNVRRDGFFKRRSESIVAGGILLDEIFDLLGIKEMLVSGYGLREGLIADSLAKVFDDGYDLNANARFRSILRLATRFNSKRITTSAAEIASIAREIFEGLRKYKELDNDGINLTVDLDEKDLENLEAACLLHNIGLSTGKKGYHKKSYHIIMNGNHLRGYSAEEVKLIALLTRHHRKKLPKLDDASFNELEEVSYSICLVIIVLLVLREFDMIFHVLFQAKQKFKVLCAIIRLSVVLHRNGYINYREMDFSHSHEGFKLVMSRNMMWKLNMFCFTLCSSCSIFLC >KJB81073 pep chromosome:Graimondii2_0_v6:13:33353457:33355683:1 gene:B456_013G128200 transcript:KJB81073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTLFAAIDMGTNAFKLLIVQAHLPGKFIPLLTVREHVVLGRDSPSSTISTHSQNLSIKSLQNFNKLIQTHNVPLLHTRCVATSAVREAQNKAEFIHSISETTGFKVDVLSGEEEARFSYLGALQFFPVFENLVLNIDIGGGSTEFAIGLRGKVEFCLSLKLGHVTLTQQNFGDEEAERASNMREYIRNVIKESGLIDKVKNIGFEIALGSSGTIRAIEKAVFKGYALDFADTEALLSECKRDWKFSIEELKSVVERLCKGGEGQNVRRDGFFKRRSESIVAGGILLDEIFDLLGIKEMLVSGYGLREGLIADSLAKVFDDGYDLNANARFRSILRLATRFNSKRITTSAAEIASIAREIFEGLRKYKELDNDGINLTVDLDEKDLENLEAACLLHNIGLSTGKKGYHKKSYHIIMNGNHLRGYSAEEVKVRYLPINLVCFLIRMSNHVLNSDHLLV >KJB79913 pep chromosome:Graimondii2_0_v6:13:8514551:8515126:1 gene:B456_013G071900 transcript:KJB79913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVAGVLVCLLIVGMDVGAGILGIQAEAAQNKVKHLRLWIFECRDPSHDAFKLALGAAALLTLSHVLGNLLGGCMCVCSQEEFQSSSTNRQLSVACLIFTWYVYAFYVFRFPSSPLTHPNLFQFSTF >KJB79912 pep chromosome:Graimondii2_0_v6:13:8514279:8516081:1 gene:B456_013G071900 transcript:KJB79912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVAGVLVCLLIVGMDVGAGILGIQAEAAQNKVKHLRLWIFECRDPSHDAFKLALGAAALLTLSHVLGNLLGGCMCVCSQEEFQSSSTNRQLSVACLIFTWIILAVGLSALVIGILSNNKSKGSCGLTHHHFLSIGGILCFVHGLFSVAYYVSATAASDEGK >KJB82476 pep chromosome:Graimondii2_0_v6:13:50363963:50371752:-1 gene:B456_013G197600 transcript:KJB82476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIQLKRGASDKSLSPVDQQAKIDDVRKLIGPVVDKLPVLCSDASILRFLRARNWNTKKASKMLKETLKWRLQYKPEAIRWEDIAQEAETGKVYRANYCDKLGRPVLVMRPGLQNTNSPVGQIKYLVYCIENAIMNLVQDQEQMVWLVDFQGWAMTSISVKVTRETARILQDHYPERLGIGILYNPPKIFESFWTIVKPFLETKTYKKMKFVYSDDPKSLKVIEEIFDLDKLDVAFGGRNPAGFNLEVYARQMKDDDSKRTNFHGSGCSSPFYQSSSLSESQQHESTDLDRDSNASDEGRL >KJB81694 pep chromosome:Graimondii2_0_v6:13:43274514:43277121:1 gene:B456_013G157200 transcript:KJB81694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTEIFDYLSLSALIENKIFSVHGGLSPAISTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHTNSIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFDAAPQESRGTPAKKPAPDYFL >KJB81693 pep chromosome:Graimondii2_0_v6:13:43273633:43277121:1 gene:B456_013G157200 transcript:KJB81693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDRQIEQLKKCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTEIFDYLSLSALIENKIFSVHGGLSPAISTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHTNSIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFDAAPQESRGTPAKKPAPDYFL >KJB78695 pep chromosome:Graimondii2_0_v6:13:882253:883722:-1 gene:B456_013G013000 transcript:KJB78695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKLSLLRNIVAYVLLFGILVTVIRFAYVVTTIGESCNLYNFCFFKNNVAPQSNPHNQLYASREWDKAIHFYSSIFQDMISKGYLSPHSKSLCVEAPNGHEVFALKKIGVEDSIGIFRKAAKPLVIRGEDDRIPFDDNTFDFIFSGGARIEMSARPFDFASEIVRALKPQGFAVFHVKANDTYSLNSFLDLFHSCKLIKVLDIDGFNPSMPYIREIVLKKANHGYRKIPGDDSKNCSFQAHKQELVQKAEPLIEEEPLKPWITLKRNIKNVKYFSSMVDISFKNRYVYIDVGARNYGSSIGSWFMKQYPKQGKSFHVYAIEADKAFHKQYASKKKAVTLLPYAAWVRNETLSFEINDGPAQEKVNKTKNKGRGMGRIQPVKSSRGEFNSEVNEIQGFDFAEWLKSTFTKRDFVVIKMDVEGTEFDLIPKLFETGAICLIDEIFLECHYNRWQRCCPGQRSTKYKKHYRHCLELFTSLRKNGVLVHQWW >KJB79854 pep chromosome:Graimondii2_0_v6:13:8090351:8092328:-1 gene:B456_013G070000 transcript:KJB79854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFHLICYECVSSSTLACRDPSEEKLVENFLEEEGIAESKKMKILSIIKGMGFKDELTGVGNQEFPPEFGVVQDADRLDAIGAIGIARCFTFGGNRNRVLHDPAIQPRLDLSKEHYMKKEEQTTVNHFHEKLLKLKDLMKTKAGLRRAEKRHKVMEEFLKQFYAEWDGKA >KJB79857 pep chromosome:Graimondii2_0_v6:13:8090351:8092955:-1 gene:B456_013G070000 transcript:KJB79857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHQSKSSFSEKSTPMAFRDTVKRAEQLVETSMKGNDASHDASHVWRVRDLALSLAREEGLSSNPDSMEIVELAALLHDVGDYKYLRDPSEEKLVENFLEEEGIAESKKMKILSIIKGMGFKDELTGVGNQEFPPEFGVVQDADRLDAIGAIEFFGLGIARCFTFGGNRNRVLHDPAIQPRLDLSKEHYMKKEEQTTVNHFHEKLLKLKDLMKTKAGLRRAEKRHKVMEEFLKQFYAEWDGKA >KJB79855 pep chromosome:Graimondii2_0_v6:13:8090351:8092920:-1 gene:B456_013G070000 transcript:KJB79855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILSIIKGMGFKDELTGVGNQEFPPEFGVVQDADRLDAIGAIGIARCFTFGGNRNRVLHDPAIQPRLDLSKEHYMKKEEQTTVNHFHEKLLKLKDLMKTKAGLRRAEKRHKVMEEFLKQFYAEWDGKA >KJB79860 pep chromosome:Graimondii2_0_v6:13:8090351:8092955:-1 gene:B456_013G070000 transcript:KJB79860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHQSKSSFSEKSTPMAFRDTVKRAEQLVETSMKGNDASHDASHVWRVRDLALSLAREEGLSSNPDSMEIVELAALLHDVGDYKYLRDPSEEKLVENFLEEEGIAESKKMKILSIIKGMGFKDELTGVGNQEFPPEFGVVQDADRLDAIGAIGIARCFTFGGNRNRVLHDPAIQPRLDLSKEHYMKKEEQTTVNHFHEKLLKLKDLMKTKAGLRRAEKRHKVMEEFLKQFYAEWDGKA >KJB79856 pep chromosome:Graimondii2_0_v6:13:8091363:8092942:-1 gene:B456_013G070000 transcript:KJB79856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHQSKSSFSEKSTPMAFRDTVKRAEQLVETSMKGNDASHDASHVWRVRDLALSLAREEGLSSNPDSMEIVELAALLHDVGDYKYLRDPSEEKLVENFLEEEGIAESKKMKILSIIKGMGFKDELTGVGNQEFPPEFGVVQDADRLDAIGAIGNLHVLHVNLFSLYVSFRRKRVIKSNSRQFHCLFLAGLSYRFRFLLPVGWFI >KJB79859 pep chromosome:Graimondii2_0_v6:13:8091001:8092942:-1 gene:B456_013G070000 transcript:KJB79859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHQSKSSFSEKSTPMAFRDTVKRAEQLVETSMKGNDASHDASHVWRVRDLALSLAREEGLSSNPDSMEIVELAALLHDVGDYKYLRDPSEEKLVENFLEEEGIAESKKMKILSIIKGMGFKDELTGVGNQEFPPEFGVVQDADRLDAIGAIGIARCFTFGGNRNRVLHDPAIQPRLDLSKEHYMKKEEQTTVNHFHEKLLKLKDLMKTKVPRYARKFPYNRCIILL >KJB79861 pep chromosome:Graimondii2_0_v6:13:8090351:8092984:-1 gene:B456_013G070000 transcript:KJB79861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHQSKSSFSEKSTPMAFRDTVKRAEQLVETSMKGNDASHDASHVWRVRDLALSLAREEGLSSNPDSMEIVELAALLHDVGDYKYLRDPSEEKLVENFLEEEGIAESKKMKILSIIKGMGFKDELTGVGNQEFPPEFGVVQDADRLDAIGAIGIARCFTFGGNRNRVLHDPAIQPRLDLSKEHYMKKEEQTTVNHFHEKLLKLKDLMKTKAGLRRAEKRHKVMEEFLKQFYAEWDGKA >KJB79858 pep chromosome:Graimondii2_0_v6:13:8090351:8092955:-1 gene:B456_013G070000 transcript:KJB79858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHQSKSSFSEKSTPMAFRDTVKRAEQLVETSMKGNDASHDASHVWRVRDLALSLAREEGLSSNPDSMEIVELAALLHDVGDYKYLRDPSEEKLVENFLEEEGIAESKKMKILSIIKGMDVVVITGFKDELTGVGNQEFPPEFGVVQDADRLDAIGAIGIARCFTFGGNRNRVLHDPAIQPRLDLSKEHYMKKEEQTTVNHFHEKLLKLKDLMKTKAGLRRAEKRHKVMEEFLKQFYAEWDGKA >KJB82508 pep chromosome:Graimondii2_0_v6:13:50978412:50980078:-1 gene:B456_013G199400 transcript:KJB82508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA4, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G17560) UniProtKB/Swiss-Prot;Acc:Q9LF68] MAKTLITTRPFFFSSIKASIFLKSFPLFSHSNNISFSSHPTLLPIKNHPDSSFFGSSNINKSTNNVEKKNRVVSRTSSGILGHRGFSIKATHVNDPGSIDSPLIQSMEKKFSVINSQATAKHISQSWMIVRVIVQSMQRHGDILGMSLLNDHY >KJB82507 pep chromosome:Graimondii2_0_v6:13:50976102:50980121:-1 gene:B456_013G199400 transcript:KJB82507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA4, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G17560) UniProtKB/Swiss-Prot;Acc:Q9LF68] MAKTLITTRPFFFSSIKASIFLKSFPLFSHSNNISFSSHPTLLPIKNHPDSSFFGSSNINKSTNNVEKKNRVVSRTSSGILGHRGFSIKATHVNDPGSIDSPLIQSMEKKIKEHLNAESVIVKDASGDGRHVCIDVIASAFDGQSVVNRQRMVYKAIWEELQNTVHAVDQMTTKTPREAAASQK >KJB79832 pep chromosome:Graimondii2_0_v6:13:7943301:7947006:1 gene:B456_013G068500 transcript:KJB79832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSTPSPRLPFLFRRLAFRGRGRERRQSNFVNPFVGILVKGIYELWGEGGSYEELEEAVKSYPDERKLPYLGSESTFKITVDSFGKVMSLLEQNERIRGLSYIPFKGRVNLKNPDHNFWLMETDDTATNNGLPPVAQRRIFFGREVGGADRKLLPTYQLKSRNYLGPTAMDAEMAFLMANQAQAAPGKLVYDPFVGTGSILVSAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPMPIALLRADNNLPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLLKGVIGPYTVPDDKRADHIPSTAPYSLAECVHDLLDLAARMLVIGGRLVFFYPVSREEDSCEDHFPEHPCFELVATSEQILSSRYSRVLLTMVKTSSYTEELALAAWMKHLEFKENHSKWLEEGNNLHSSVFSPADVHHSGDSKLSKESKPKYRGKYV >KJB79831 pep chromosome:Graimondii2_0_v6:13:7943260:7947220:1 gene:B456_013G068500 transcript:KJB79831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYLCVFYHRLLDYRKPEVESLADLFGAFEDKRRPGNRVLQWRLPQHHHPDSPFYFVDLPSEDVAENVANRSILVKGIYELWGEGGSYEELEEAVKSYPDERKLPYLGSESTFKITVDSFGKVMSLLEQNERIRGLSYIPFKGRVNLKNPDHNFWLMETDDTATNNGLPPVAQRRIFFGREVGGADRKLLPTYQLKSRNYLGPTAMDAEMAFLMANQAQAAPGKLVYDPFVGTGSILVSAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPMPIALLRADNNLPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLLKGVIGPYTVPDDKRADHIPSTAPYSLAECVHDLLDLAARMLVIGGRLVFFYPVSREEDSCEDHFPEHPCFELVATSEQILSSRYSRVLLTMVKTSSYTEELALAAWMKHLEFKENHSKWLEEGNNLHSSVFSPADVHHSGDSKLSKESKPKYRGKYV >KJB79833 pep chromosome:Graimondii2_0_v6:13:7943312:7947220:1 gene:B456_013G068500 transcript:KJB79833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYLCVFYHRLLDYRKPEVESLADLFGAFEDKRRPGNRVLQWRLPQHHHPDSPFYFVDLPSEDVAENVANRSILVKGIYELWGEGGSYEELEEAVKSYPDERKLPYLGSESTFKITVDSFGKVMSLLEQNERIRGLSYIPFKACIWRILGVCILYQGRVNLKNPDHNFWLMETDDTATNNGLPPVAQRRIFFGREVGGADRKLLPTYQLKSRNYLGPTAMDAEMAFLMANQAQAAPGKLVYDPFVGTGSILVSAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPMPIALLRADNNLPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLLKGVIGPYTVPDDKRADHIPSTAPYSLAECVHDLLDLAARMLVIGGRLVFFYPVSREEDSCEDHFPEHPCFELVATSEQILSSRYSRVLLTMVKTSSYTEELALAAWMKHLEFKENHSKWLEEGNNLHSSVFSPADVHHSGDSKLSKESKPKYRGKYV >KJB83072 pep chromosome:Graimondii2_0_v6:13:54763799:54766504:-1 gene:B456_013G228500 transcript:KJB83072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGEERGMGMFFDGIIESMPLFVKELIAGGVAGGLAKTAVAPLERVKILFQTRRAEFHSVGLFGSFKKIAKTEGVMGFYRGNGASVARIVPYAALHYMTYEQYRRWIIDSFPGIGRGPVLDLVAGSFAGGTAVLFTYPLDLVRTKLAYQVVGPPKINVKGLVNTEQVYNGILDCFSKTYRGSGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRHVPDDQKKNIMVNLVCGSVAGLLGQTFTYPLDVVRRQMQVQRLLASNSPELKGTMETLVMIAKNQGWKQLFSGLSINYLKVVPSVAIGFTVYDMMKSYLRVPSHDEAVIEVVTNKGNTQTSSLHT >KJB83073 pep chromosome:Graimondii2_0_v6:13:54763799:54766504:-1 gene:B456_013G228500 transcript:KJB83073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYRGNGASVARIVPYAALHYMTYEQYRRWIIDSFPGIGRGPVLDLVAGSFAGGTAVLFTYPLDLVRTKLAYQVVGPPKINVKGLVNTEQVYNGILDCFSKTYRGSGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRHVPDDQKKNIMVNLVCGSVAGLLGQTFTYPLDVVRRQMQVQRLLASNSPELKGTMETLVMIAKNQGWKQLFSGLSINYLKVVPSVAIGFTVYDMMKSYLRVPSHDEAVIEVVTNKGNTQTSSLHT >KJB83075 pep chromosome:Graimondii2_0_v6:13:54763753:54766235:-1 gene:B456_013G228500 transcript:KJB83075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGEERGMGMFFDGIIESMPLFVKELIAGGVAGGLAKTAVAPLERVKILFQTRRAEFHSVGLFGSFKKIAKTEGVMGFYRGNGASVARIVPYAALHYMTYEQYRRWIIDSFPGIGRGPVLDLVAGSFAGGTAVLFTYPLDLVRTKLAYQVVGPPKINVKGLVNTEQVYNGILDCFSKTYRGSGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRHVPDDQKKNIMVNLVCGSVAGLLGQTFTYPLDVVRRQMQVQRLLASNSPELKGTMETLVMIAKNQGWKQLFSGLSINYLKVVPSVAIGFTVYDMMKSYLRVPSHDEAVIEVVTNKGNTQTSSLHT >KJB83071 pep chromosome:Graimondii2_0_v6:13:54763725:54766425:-1 gene:B456_013G228500 transcript:KJB83071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGEERGMGMFFDGIIESMPLFVKELIAGGVAGGLAKTAVAPLERVKILFQTRRAEFHSVGLFGSFKKIAKTEGVMGFYRGNGASVARIVPYAALHYMTYEQYRRWIIDSFPGIGRGPVLDLVAGSFAGGTAVLFTYPLDLVRTKLAYQVVGPPKINVKGLVNTEQVYNGILDCFSKTYRGSGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRHVPDDQKKNIMVNLVCGSVAGLLGQTFTYPLDVVRRQMQVQRLLASNSPELKGTMETLVMIAKNQGWKQLFSGLSINYLKVVPSVAIGFTVYDMMKSYLRVPSHDEAVIEVVTNKGNTQTSSLHT >KJB83074 pep chromosome:Graimondii2_0_v6:13:54764578:54765988:-1 gene:B456_013G228500 transcript:KJB83074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGEERGMGMFFDGIIESMPLFVKELIAGGVAGGLAKTAVAPLERVKILFQTRRAEFHSVGLFGSFKKIAKTEGVMGFYRGNGASVARIVPYAALHYMTYEQYRRWIIDSFPGIGRGPVLDLVAGSFAGGTAVLFTYPLDLVRTKLAYQVVGPPKINVKGLVNTEQVYNGILDCFSKTYRGSGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRHVPDDQKKNIMVNLVCGSVAGLLGQTFTYPLDVVRRQMQVFQTHFNPNSWLKSDQTWNFYVIMRILVVRSKGSWHPIVLS >KJB82272 pep chromosome:Graimondii2_0_v6:13:48613230:48614968:1 gene:B456_013G186300 transcript:KJB82272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHLMQMQPMVSAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKWNECAENQARLRRNLMYLAAIADSQPQPPAVHPQFPSSDIMQPGSGHYMQHQQTEPITPQSLMASRSSMLFARQPFSALQQQQQEALHGQVGISSGGSSGLSMLQSEASTGGGSGGFPDFAHGGGRAITGGSKQDITSAGESAEGRGGSSGVQCDDGGEALYLK >KJB79288 pep chromosome:Graimondii2_0_v6:13:3585876:3587482:-1 gene:B456_013G042200 transcript:KJB79288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEVVVPVPLDFNFDSACSSPYMTAPSTPQRFGNGSSFFFSAPTSPTRASSSVAVPFEWEERPGIPKMNDFNGGIEKEDNTHGGGGEGCEDFEFDFSGQLERTSLSAEELFDGGKIRPLKPPPGFSELSSYSPKSPRSLRKKDIDPFETAIEKSRRRSAVEPKNTTQRGRETTSSSSSSYVHKKSRSLSPFRVSDHTMFEQDQTTASTTNPKSYVSSILSAISFSKGNRKWKLKDLLLFRSASEGRATSKDSFKKYAVLSKKEAEDVKSWSFRSTESVGSSSRRRGPVSAHELHYTANRAVSEEMRRKTFLPYKQGLLGCLGFNPGIHEVSRGIMSFTRG >KJB82732 pep chromosome:Graimondii2_0_v6:13:52307671:52313079:-1 gene:B456_013G211200 transcript:KJB82732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSISHKTRYELTFLCFVLLVLFTLFLFTKRTLEPYLSFYQPSFHIHSSNAAFIDGNQTLDTAHENREMCDLYTGTWVKDDDYPIYKPGSCPYVDEAFDCQSNGRPDSDYLRWRWKPDGCDLPRFNATDFLERLRGKRLMLVGDSMNRNQFESILCLLREGLTNKSKMYEVHGYKITKERGYFIFKFEGYNCTVVFVRSHFLVKEGIRINEQGNSNPTLSIDKIDKTSDRWKQADILIFNTAHWWVHGKTARGINYYKEGDYLYPKFNAVEAYRRALKTWARWIDENIKPEAKLVLYRGYSSAHFRGGDWNSGGSCTGESEPILSGAVLDTYPLKMKIVEEVIQEMKVPVILLNVTRLTNSRKDGHPGIYGKALKEGKMNSMRREDCSHWCLPGVPDAWNELIYATLVFKKQF >KJB82885 pep chromosome:Graimondii2_0_v6:13:53868977:53871328:-1 gene:B456_013G218900 transcript:KJB82885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex exonuclease RRP46 homolog [Source:Projected from Arabidopsis thaliana (AT3G46210) UniProtKB/Swiss-Prot;Acc:Q9LX74] MEVDREDGRSQNQLRPLACSRNILHRAHGSASWSQDTKVLAAVYGPKAGTKKNENPEKACIEVIWKPKTGQIGKPEKEYEMILKRTLESVCILTVNPNTTTSIIVQVVNDDGALLPSAINAACMALVDAGIPMKHLAVAVCCCVAKSGYVILDPTKLEEQKMKAFAYLVFPNSVHSVLPEGSLRVEGEPIENGIITSVTHGIMSVDDYFYCLERGRAASVKLSDFLRRNLQQQSTDSSKAG >KJB82886 pep chromosome:Graimondii2_0_v6:13:53869249:53871242:-1 gene:B456_013G218900 transcript:KJB82886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex exonuclease RRP46 homolog [Source:Projected from Arabidopsis thaliana (AT3G46210) UniProtKB/Swiss-Prot;Acc:Q9LX74] MEVDREDGRSQNQLRPLACSRNILHRAHGSASWSQGDTKVLAAVYGPKAGTKKNENPEKACIEVIWKPKTGQIGKPEKEYEMILKRTLESVCILTVNPNTTTSIIVQVVNDDGALLPSAINAACMALVDAGIPMKHLAVAVCCCVAKSGYVILDPTKLEEQQKMKAFAYLVFPNSVHSVLPEGSLRVEGEPIENGIITSVTHGIMSVDDYFYCLERGRAASVKLSDFLRRNLQQQSTDSSKAG >KJB82884 pep chromosome:Graimondii2_0_v6:13:53868970:53871404:-1 gene:B456_013G218900 transcript:KJB82884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex exonuclease RRP46 homolog [Source:Projected from Arabidopsis thaliana (AT3G46210) UniProtKB/Swiss-Prot;Acc:Q9LX74] MEVDREDGRSQNQLRPLACSRNILHRAHGSASWSQGDTKVLAAVYGPKAGTKKNENPEKACIEVIWKPKTGQIGKPEKEYEMILKRTLESVCILTVNPNTTTSIIVQVVNDDGALLPSAINAACMALVDAGIPMKHLAVAVCCCVAKSGYVILDPTKLEEQKMKAFAYLVFPNSVHSVLPEGSLRVEGEPIENGIITSVTHGIMSVDDYFYCLERGRAASVKLSDFLRRNLQQQSTDSSKAG >KJB80529 pep chromosome:Graimondii2_0_v6:13:18928664:18930749:1 gene:B456_013G102200 transcript:KJB80529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPQFGATAETLSKASTMVFRIETDAHLYDDPDDVSIAPLLDSKFDSEKCEALKRLLALIAQGFDVSNYFPQVVKNVASQSLEVKKLAYLYLLHYAEKRPNEALLSINCFQKDLGDPNPLVRAWALRTMAGIRLHVIAPLVLVAVGKCARDPSVYVRKCAASALPKVHDLRLEEHTSAIEEVHLIDLFLHGYFCFHVINHLL >KJB80528 pep chromosome:Graimondii2_0_v6:13:18928454:18930749:1 gene:B456_013G102200 transcript:KJB80528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASISTSRDHKFGATAETLSKASTMVFRIETDAHLYDDPDDVSIAPLLDSKFDSEKCEALKRLLALIAQGFDVSNYFPQVVKNVASQSLEVKKLAYLYLLHYAEKRPNEALLSINCFQKDLGDPNPLVRAWALRTMAGIRLHVIAPLVLVAVGKCARDPSVYVRKCAASALPKVHDLRLEEHTSAIEEVHLIDLFLHGYFCFHVINHLL >KJB80527 pep chromosome:Graimondii2_0_v6:13:18928454:18930749:1 gene:B456_013G102200 transcript:KJB80527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRIETDAHLYDDPDDVSIAPLLDSKFDSEKCEALKRLLALIAQGFDVSNYFPQVVKNVASQSLEVKKLAYLYLLHYAEKRPNEALLSINCFQKDLGDPNPLVRAWALRTMAGIRLHVIAPLVLVAVGKCARDPSVYVRKCAASALPKVHDLRLEEHTSAIEEVHLIDLFLHGYFCFHVINHLL >KJB81583 pep chromosome:Graimondii2_0_v6:13:41142993:41146408:1 gene:B456_013G150800 transcript:KJB81583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQEILLKSLSSFGISIPENVSSFSELTPTTLISLCCQSLNILGNNDDDDENHFSFPISVEDSVSIADKFKICSDVSLAFKNLGYLGDMNYYKFLYPSEEDLHKLVRFLVEKLSTSSEAVKFSGEKDVGLRQEFKEDNFGKVSESVTQNSDNEEVDQNLQKVEAILKDLRVDELSESSEFKAGDAAVVHDPLRVHDILQDELFSESTAEVVDSSGASGHEETAHQKDEHVSTCPKETNSKIQYEEEDLLCQEKALKEELSANTLQMQHLEEEFELWKAAADMAFDENHPMEFFPEQLNKRIDAKKHNILELELQWDAVREPIEEKKRSLEEHLYANIPEAQEKLQKLREIELETQLTSSEIRKREEEHLKLAADFKKQPEVASRRSYIERIKEITKNSGKLDSDIERILRDTRTLQLESNSIQESLHRTYAVIDEIVFREAKKDTDRGQAYRLLTSIHDSFEQISEKILTTDRIRREIADLEKKLAGVSSRSLNEDKLQADLDAIMKENEYLEQQIQYD >KJB81585 pep chromosome:Graimondii2_0_v6:13:41143023:41146203:1 gene:B456_013G150800 transcript:KJB81585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQEILLKSLSSFGISIPENVSSFSELTPTTLISLCCQSLNILGNNDDDDENHFSFPISVEDSVSIADKFKICSDVSLAFKNLGYLGDMNYYKIQYEEEDLLCQEKALKEELSANTLQMQHLEEEFELWKAAADMAFDENHPMEFFPEQLNKRIDAKKHNILELELQWDAVREPIEEKKRSLEEHLYANIPEAQEKLQKLREIELETQLTSSEIRKREEEHLKLAADFKKQPEVASRRSYIERIKEITKNSGKLDSDIERILRDTRTLQLESNSIQESLHRTYAVIDEIVFREAKKDTDRGQAYRLLTSIHDSFEQISEKILTTDRIRREIADLEKKLAGVSSRSLNEDKLQADLDAIMKENEYLEQQIQYD >KJB81584 pep chromosome:Graimondii2_0_v6:13:41143717:41146067:1 gene:B456_013G150800 transcript:KJB81584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCLRQFLYPSEEDLHKLVRFLVEKLSTSSEAVKFSGEKDVGLRQEFKEDNFGKVSESVTQNSDNEEVDQNLQKVEAILKDLRVDELSESSEFKAGDAAVVHDPLRVHDILQDELFSESTAEVVDSSGASGHEETAHQKDEHVSTCPKETNSKIQYEEEDLLCQEKALKEELSANTLQMQHLEEEFELWKAAADMAFDENHPMEFFPEQLNKRIDAKKHNILELELQWDAVREPIEEKKRSLEEHLYANIPEAQEKLQKLREIELETQLTSSEIRKREEEHLKLAADFKKQPEVASRRSYIERIKEITKNSGKLDSDIERILRDTRTLQLESNSIQESLHRTYAVIDEIVFREAKKDTDRGQAYRLLTSIHDSFEQISEKILTTDRIRREIADLEKKLAGVSSRSLNEDKLQADLDAIMKENEYLEQQIQYD >KJB78913 pep chromosome:Graimondii2_0_v6:13:2481677:2484851:1 gene:B456_013G032700 transcript:KJB78913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYKRVVQLGFNAYSSSIVNRVGHRQISQLVKSNGKRAFLVDTLALVRSLEAQGVPSKQAEAITSAITEVLNDSLENVSQSFVSKAEMQKSEMLQEANLSKFKSEVKSSQEHHFSMLQRETEKLRGDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQNAETTNLTNKLDREIHALRAQLEAAKYDVIKYCIGTLVSISAVGLAVLRILM >KJB78914 pep chromosome:Graimondii2_0_v6:13:2481815:2484798:1 gene:B456_013G032700 transcript:KJB78914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYKRVVQLGFNAYSSSIVNRVGHRQISQLVKSNGKRAFLVDTLALVRSLEAQGVPSKQAEAITSAITEVLNDSLENVSQSFVSKAEMQKEHHFSMLQRETEKLRGDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQNAETTNLTNKLDREIHALRAQLEAAKYDVIKYCIGTLVSISAVGLAVLRILM >KJB80325 pep chromosome:Graimondii2_0_v6:13:14741431:14743951:-1 gene:B456_013G092000 transcript:KJB80325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative SNAP25 homologous protein SNAP30 [Source:Projected from Arabidopsis thaliana (AT1G13890) UniProtKB/Swiss-Prot;Acc:Q9LMG8] MFGFMKPKQKGDSPAESDTMTPARRTTSEPAMLVPESIEDDNVGRKKQSSASTRASPARNNRDKYKDDFRDSGGLENQTMEDLEGYAVYKAEETTSTVNNCLKIAENIRSDATKTLDTLHAQGEQIERTHQMAVNIDKDLNKGEKLLNNLGGMFSMPWKPKKTKEITGPVITSDDNKKGKAATKEQREKLGVAPVPKSKAGSKTPTPEPTDAIQKVELEKQKQDDGLSDLSDILGDLKGMALDMGSELDRQNKALDHLGEDIDELNSRVKGANQRARHLLK >KJB83905 pep chromosome:Graimondii2_0_v6:13:58196413:58199011:1 gene:B456_013G271200 transcript:KJB83905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEATTTNFQNSDFRPVPQPPDFHPEVIVSPHDGLHFWQFMIAGSVAGCVEHMAMFPVDTVKTHMQALGSCPIKSVGVRHALRSILKSEGLPGLYRGIGAMGLGAGPAHAVYFSVYEVCKKYFSGENPNNSAAHAVSGVCATVASDAVFTPMDTVKQRLQLGNSAAYRGVFDCVKKVLKEEGFGAFYASYRTTVLMNAPYTAVHFTTYEALKRGLIEISPESVSDERVIVHATAGALAGASAAVITTPLDVVKTQLQCQGVCGCDRFKSSSIGDVVKTIMRKDGYKGLMRGWIPRTLFHAPAAAICWSTYEAAKAFFQEINASSKSGT >KJB79779 pep chromosome:Graimondii2_0_v6:13:7540312:7543156:-1 gene:B456_013G066300 transcript:KJB79779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKMAAATVLHDVAAACLRPPHSLPRTTAKEPRLRPGLAFPHLYGNKLPVLGRGYGVGNRSFITCYVDPSTESRVSVLGVSQIKEQCSKWQWKGRYSINYFVSSSSSTNPPLLLVHGFGASIPHWRRNIDTLAQDYTVYAIDLLGFGASDKPQGFSYSMEAWAELILDFLNEVVQKPTVLIGNSVGSLACVIAASESSQNLVLGIVLLNCAGGMNNKAIVDDWRIKLLLPLLWLVDFLLKQRRIATAVFERAKQRDNLRNILLSVYGNKESVDDELVEIINGPANDEGALDAFVSIITGPPGPNPVQLMPRINLPVLVLWGDQDPFTPIDGPVGKYFSSLPSKSSNVSLYMLEGVGHCPHDDKPDLVHQKLLPWLAQVTAS >KJB83969 pep chromosome:Graimondii2_0_v6:13:3174738:3176955:-1 gene:B456_013G039700 transcript:KJB83969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPTGPSLPHKKSCIFYKLMVASILHDKKLLQCSKQLKTCCVVIKLNDVSKKIPNKFVKKFGHELSSIATLNVPSGRLWLVELRKENKRVWLDCGWTVFVEYYSICSGYFLVFRYDGNSHFNVHIYNLNASEINYQSNGLNDSREPGHDKHLKDVEDGAFAQILRSQPTSSSSCFLIDDDFDECVDHDRKKRKNSTFLDQKNNVDDLRATVQSTRDKGIQFNGVELTSAADEGGLNFLNGTQKNTKEIKQEIEPDIDEYKSLGKFIVKEELPAMNSPRSDHKKRRDATAEGKQIALRAAAMFKPDNPFCRVILRPSYVYKGIFLHIPRCFALRYLNGVDGIVTLQVSEGKKWPVRCIYGQSSWKFSKGWAEFVLDNNLDEGDVCVFELISTKEIVLKVTIFRVLEDGVAVNQL >KJB83973 pep chromosome:Graimondii2_0_v6:13:3175083:3176955:-1 gene:B456_013G039700 transcript:KJB83973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPTGPSLPHKKSCIFYKLMVASILHDKKLKIPNKFVKKFGHELSSIATLNVPSGRLWLVELRKENKRVWLDCGWTVFVEYYSICSGYFLVFRYDGNSHFNVHIYNLNASEINYQSNGLNDSREPGHDKHLKDVEDGAFAQILRSQPTSSSSCFLIDDDFDECVDHDRKKRKNSTFLDQKNNVDDLRATVQSTRDKGIQFNGVELTSAADEGGLNFLNGTQKNTKEIKQEIEPDEYKSLGKFIVKEELPAMNSPRSDHKKRRDATAEGKQIALRAAAMFKPDNPFCRVILRPSYVYKGIFLVSP >KJB83967 pep chromosome:Graimondii2_0_v6:13:3174154:3177102:-1 gene:B456_013G039700 transcript:KJB83967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPTGPSLPHKKSCIFYKLMVASILHDKKLKIPNKFVKKFGHELSSIATLNVPSGRLWLVELRKENKRVWLDCGWTVFVEYYSICSGYFLVFRYDGNSHFNVHIYNLNASEINYQSNGLNDSREPGHDKHLKDVEDGAFAQILRSQPTSSSSCFLIDDDFDECVDHDRKKRKNSTFLDQKNNVDDLRATVQSTRDKGIQFNGVELTSAADEGGLNFLNGTQKNTKEIKQEIEPDEYKSLGKFIVKEELPAMNSPRSDHKKRRDATAEGKQIALRAAAMFKPDNPFCRVILRPSYVYKGIFLHIPRCFALRYLNGVDGIVTLQVSEGKKWPVRCIYGQSSWKFSKGWAEFVLDNNLDEGDVCVFELISTKEIVLKVTIFRVLEDGVAVNQL >KJB83972 pep chromosome:Graimondii2_0_v6:13:3174179:3177045:-1 gene:B456_013G039700 transcript:KJB83972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPTGPSLPHKKSCIFYKLMVASILHDKKLKIPNKFVKKFGHELSSIATLNVPSGRLWLVELRKENKRVWLDCGWTVFVEYYSICSDHDRKKRKNSTFLDQKNNVDDLRATVQSTRDKGIQFNGVELTSAADEGGLNFLNGTQKNTKEIKQEIEPDEYKSLGKFIVKEELPAMNSPRSDHKKRRDATAEGKQIALRAAAMFKPDNPFCRVILRPSYVYKGIFLHIPRCFALRYLNGVDGIVTLQVSEGKKWPVRCIYGQSSWKFSKGWAEFVLDNNLDEGDVCVFELISTKEIVLKVTIFRVLEDGVAVNQL >KJB83968 pep chromosome:Graimondii2_0_v6:13:3174738:3176955:-1 gene:B456_013G039700 transcript:KJB83968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPTGPSLPHKKSCIFYKLMVASILHDKKLKIPNKFVKKFGHELSSIATLNVPSGRLWLVELRKENKRVWLDCGWTVFVEYYSICSGYFLVFRYDGNSHFNVHIYNLNASEINYQSNGLNDSREPGHDKHLKDVEDGAFAQILRSQPTSSSSCFLIDDDFDECVDHDRKKRKNSTFLDQKNNVDDLRATVQSTRDKGIQFNGVELTSAADEGGLNFLNGTQKNTKEIKQEIEPDIDEYKSLGKFIVKEELPAMNSPRSDHKKRRDATAEGKQIALRAAAMFKPDNPFCRVILRPSYVYKGIFLHIPRCFALRYLNGVDGIVTLQVSEGKKWPVRCIYGQSSWKFSKGWAEFVLDNNLDEGDVCVFELISTKEIVLKVTIFRVLEDGVAVNQL >KJB83974 pep chromosome:Graimondii2_0_v6:13:3175083:3176955:-1 gene:B456_013G039700 transcript:KJB83974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPTGPSLPHKKSCIFYKLMVASILHDKKLKIPNKFVKKFGHELSSIATLNVPSGRLWLVELRKENKRVWLDCGWTVFVEYYSICSGYFLVFRYDGNSHFNVHIYNLNASEINYQSNGLNDSREPGHDKHLKDVEDGAFAQILRSQPTSSSSCFLIDDDFDECVDHDRKKRKNSTFLDQKNNVDDLRATVQSTRDKGIQFNGVELTSAADEGGLNFLNGTQKNTKEIKQEIEPDIDEYKSLGKFIVKEELPAMNSPRSDHKKRRDATAEGKQIALRAAAMFKPDNPFCRVILRPSYVYKGIFLVSP >KJB83971 pep chromosome:Graimondii2_0_v6:13:3174738:3176955:-1 gene:B456_013G039700 transcript:KJB83971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPTGPSLPHKKSCIFYKLMVASILHDKKLLQCSKQLKTCCVVIKLNDVSKKIPNKFVKKFGHELSSIATLNVPSGRLWLVELRKENKRVWLDCGWTVFVEYYSICSGYFLVFRYDGNSHFNVHIYNLNASEINYQSNGLNDSREPGHDKHLKDVEDGAFAQILRSQPTSSSSCFLIDDDFDECVDHDRKKRKNSTFLDQKNNVDDLRATVQSTRDKGIQFNGVELTSAADEGGLNFLNGTQKNTKEIKQEIEPDEYKSLGKFIVKEELPAMNSPRSDHKKRRDATAEGKQIALRAAAMFKPDNPFCRVILRPSYVYKGIFLHIPRCFALRYLNGVDGIVTLQVSEGKKWPVRCIYGQSSWKFSKGWAEFVLDNNLDEGDVCVFELISTKEIVLKVTIFRVLEDGVAVNQL >KJB83970 pep chromosome:Graimondii2_0_v6:13:3174164:3177102:-1 gene:B456_013G039700 transcript:KJB83970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPTGPSLPHKKSCIFYKLMVASILHDKKLIPNKFVKKFGHELSSIATLNVPSGRLWLVELRKENKRVWLDCGWTVFVEYYSICSGYFLVFRYDGNSHFNVHIYNLNASEINYQSNGLNDSREPGHDKHLKDVEDGAFAQILRSQPTSSSSCFLIDDDFDECVDHDRKKRKNSTFLDQKNNVDDLRATVQSTRDKGIQFNGVELTSAADEGGLNFLNGTQKNTKEIKQEIEPDEYKSLGKFIVKEELPAMNSPRSDHKKRRDATAEGKQIALRAAAMFKPDNPFCRVILRPSYVYKGIFLHIPRCFALRYLNGVDGIVTLQVSEGKKWPVRCIYGQSSWKFSKGWAEFVLDNNLDEGDVCVFELISTKEIVLKVTIFRVLEDGVAVNQL >KJB82364 pep chromosome:Graimondii2_0_v6:13:49379143:49383837:-1 gene:B456_013G192700 transcript:KJB82364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQLLEIEPKELDFVFILRKQCSCSVRLTNKTDQHVAFKVKTTSPKKYCVRPNVGIIMPKGRCDFTVTMQAQREAPPDLICRDKFLIQSTAVPVGTNDEDITSATFVKDSGRSIEEHKLKVAFISPPHSPVWSTINGTVNQGTDYVASIPKEPEFSRIGIHAPLQTVAKVEEPKMINLEDLKPTKDVGLKPRKDINDDLKLTKYTELKPNNNFFNSKELKPAKDVEAKPRENVLDTEEFKPVKEKKFNELKDGEVKILKSVEELKFAKDVEEMKSKLTDLESKLGEAEATISKLTEERRLSTQERKPLEEELALLRKKANIKGAKVGYPLLFVCLVALISVYIGYLLAPLTKSKASMSDLYQQMQ >KJB82362 pep chromosome:Graimondii2_0_v6:13:49380057:49383040:-1 gene:B456_013G192700 transcript:KJB82362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQLLEIEPKELDFVFILRKQCSCSVRLTNKTDQHVAFKVKTTSPKKYCVRPNVGIIMPKGRCDFTVTMQAQREAPPDLICRDKFLIQSTAVPVGTNDEDITSATFVKDSGRSIEEHKLKVAFISPPHSPVWSTINGTVNQGTDYVASIPKEPEFSRIGIHAPLQTVAKVEEPKMINLEDLKPTKDVGLKPRKDINDDLKLTKYTELKPNNNFFNSKELKPAKDVEAKPRENVLDTEEFKPVKEKKFNELKDGEVKILKSVEELKFAKDVEEMKSKLTDLESKLGEAEATISKLTEERRLSTQERKPLEEELVGFLSVRCCSNSCYIFPVTI >KJB82366 pep chromosome:Graimondii2_0_v6:13:49379604:49383837:-1 gene:B456_013G192700 transcript:KJB82366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQLLEIEPKELDFVFILRKQCSCSVRLTNKTDQHVAFKVKTTSPKKYCVRPNVGIIMPKGRCDFTVTMQAQREAPPDLICRDKFLIQSTAVPVGTNDEDITSATFVKDSGRSIEEHKLKVAFISPPHSPVWSTINGTVNQGTDYVASIPKEPEFSRIGIHAPLQTVAKVEEPKMINLEDLKPTKDVGLKPRKDINDDLKLTKYTELKPNNNFFNSKELKPAKDVEAKPRENVLDTEEFKPVKEKKFNELKDGEVKILKSVEELKFAKDVEEMKSKLTDLESKLGEAEATISKLTEERRLSTQERKPLEEELHSVRWKLRKNS >KJB82363 pep chromosome:Graimondii2_0_v6:13:49380057:49383040:-1 gene:B456_013G192700 transcript:KJB82363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQLLEIEPKELDFVFILRKQCSCSVRLTNKTDQHVAFKVKTTSPKKYCVRPNVGIIMPKGRCDFTVTMQAQREAPPDLICRDKFLIQSTAVPVGTNDEDITSATFVKDSGRSIEEHKLKVAFISPPHSPVWSTINGTVNQGTDYVASIPKEPEFSRIGIHAPLQTVAKVEEPKMINLEDLKPTKDVGLKPRKDINDDLKLTKYTELKPNNNFFNSKELKPAKDVEAKPRENVLDTEEFKPVKEKKFNELKDGEVKILKSVEELKFAKDVEEMKSKLTDLESKLGEAEATISKLTEERRLSTQERKPLEEELVGFLSVRCCSNSCYIFPVTI >KJB82365 pep chromosome:Graimondii2_0_v6:13:49379604:49383917:-1 gene:B456_013G192700 transcript:KJB82365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQLLEIEPKELDFVFILRKQCSCSVRLTNKTDQHVAFKVKTTSPKKYCVRPNVGIIMPKGRCDFTVTMQAQREAPPDLICRDKFLIQSTAVPVGTNDEDITSATFVKDSGRSIEEHKLKVAFISPPHSPVWSTINGTVNQGTDYVASIPKEPEFSRIGIHAPLQTVAKVEEPKMINLEDLKPTKDVGLKPRKDINDDLKLTKYTELKPNNNFFNSKELKPAKDVEAKPRENVLDTEEFKPVKEKKFNELKDGEVKILKSVEELKFAKDVEEMKSKLTDLESKLGEAEATISKLTEERRLSTQERKPLEEELHSVRWKLRKNS >KJB82361 pep chromosome:Graimondii2_0_v6:13:49379143:49383917:-1 gene:B456_013G192700 transcript:KJB82361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQLLEIEPKELDFVFILRKQCSCSVRLTNKTDQHVAFKVKTTSPKKYCVRPNVGIIMPKGRCDFTVTMQAQREAPPDLICRDKFLIQSTAVPVGTNDEDITSATFVKDSGRSIEEHKLKVAFISPPHSPVWSTINGTVNQGTDYVASIPKEPEFSRIGIHAPLQTVAKVEEPKMINLEDLKPTKDVGLKPRKDINDDLKLTKYTELKPNNNFFNSKELKPAKDVEAKPRENVLDTEEFKPVKEKKFNELKDGEVKILKSVEELKFAKDVEEMKSKLTDLESKLGEAEATISKLTEERRLSTQERKPLEEELALLRKKANIKGAKVGYPLLFVCLVALISVYIGYLLAPLTKSKASMSDLYQQMQ >KJB82005 pep chromosome:Graimondii2_0_v6:13:45846117:45849427:-1 gene:B456_013G172000 transcript:KJB82005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHPSSGGPAFRFTQTEMETILQEHHNQMPVREILMSLADKFSESAERKGKIVVQFKQIWNWFQNRRYAIRAKSNKVPGKLNITSMPRDDSNPMRNVPQPVAAPVPPHMTAPMPASTGAGRNLSESYMEFEAKSSRDGAWYDVATFLAHRYLDAGDPEVQVRIAGFGPEEDEWVNIRKHVRQRSLPCEASECVAVLPGDLVLCFQEGKDQALYFDAHVLDAQRRRHDIRGCRCRFLVRYDHDQSEEIVPLRKVCRRPETDYRLQQLHAASNLSNHQHKTSTDQSTALTPKIIGSSTEAMQKLQNPDQSRTATDSHFNVSVAAQTTNQESKILGIVTTDVTDPRISPVKNAMAAAATGIGPGQNVQEAKL >KJB82003 pep chromosome:Graimondii2_0_v6:13:45846663:45849016:-1 gene:B456_013G172000 transcript:KJB82003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHPSSGGPAFRFTQTEVAEMETILQEHHNQMPVREILMSLADKFSESAERKGKIVVQFKQIWNWFQNRRYAIRAKSNKVPGKLNITSMPRDDSNPMRNVPQPVAAPVPPHMTAPMPASTVPGAGRNLSESYMEFEAKSSRDGAWYDVATFLAHRYLDAGDPEVQVRIAGFGPEEDEWVNIRKHVRQRSLPCEASECVAVLPGDLVLCFQEGKDQALYFDAHVLDAQRRRHDIRGCRCRFLVRYDHDQSEEIVPLRKVCRRPETDYRLQQLHAASNLSNHQHKTSTDQSTALTPKIIGSSTEAMQKLQNPDQSRTATDSHFNVSVAAQTTNQESKILGIVTTDVTDPRISPVKNAMAAAATGIGPGQNVQEAKL >KJB82004 pep chromosome:Graimondii2_0_v6:13:45846117:45849427:-1 gene:B456_013G172000 transcript:KJB82004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHPSSGGPAFRFTQTEVAEMETILQEHHNQMPVREILMSLADKFSESAERKGKIVVQFKQIWNWFQNRRYAIRAKSNKVPGKLNITSMPRDDSNPMRNVPQPVAAPVPPHMTAPMPASTGAGRNLSESYMEFEAKSSRDGAWYDVATFLAHRYLDAGDPEVQVRIAGFGPEEDEWVNIRKHVRQRSLPCEASECVAVLPGDLVLCFQEGKDQALYFDAHVLDAQRRRHDIRGCRCRFLVRYDHDQSEEIVPLRKVCRRPETDYRLQQLHAASNLSNHQHKTSTDQSTALTPKIIGSSTEAMQKLQNPDQSRTATDSHFNVSVAAQTTNQESKILGIVTTDVTDPRISPVKNAMAAAATGIGPGQNVQEAKL >KJB82002 pep chromosome:Graimondii2_0_v6:13:45846663:45849016:-1 gene:B456_013G172000 transcript:KJB82002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHPSSGGPAFRFTQTEMETILQEHHNQMPVREILMSLADKFSESAERKGKIVVQFKQIWNWFQNRRYAIRAKSNKVPGKLNITSMPRDDSNPMRNVPQPVAAPVPPHMTAPMPASTVPGAGRNLSESYMEFEAKSSRDGAWYDVATFLAHRYLDAGDPEVQVRIAGFGPEEDEWVNIRKHVRQRSLPCEASECVAVLPGDLVLCFQEGKDQALYFDAHVLDAQRRRHDIRGCRCRFLVRYDHDQSEEIVPLRKVCRRPETDYRLQQLHAASNLSNHQHKTSTDQSTALTPKIIGSSTEAMQKLQNPDQSRTATDSHFNVSVAAQTTNQESKILGIVTTDVTDPRISPVKNAMAAAATGIGPGQNVQEAKL >KJB83999 pep chromosome:Graimondii2_0_v6:13:51314777:51324537:1 gene:B456_013G202200 transcript:KJB83999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNNSNSSRPRKEKRLTYVLNDSDDTKHSAGINCLAVLKSSVSDGCNYLFTGSRDGTLKRWALAEDAATCSATFESHVDWVNDTVIAGENTLVSCSSDTTLKIWNCLSDGTCTRTLRQHSDYVTCLAAAERNANVVASGGLGGEVFVWDIEAAVTPLSKSSDVMEDDCSNGINGSANSLPVSSLRPISSNNSITAHTTQCPGYVPIAAKGHKESVYALAMNDNGSLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNVRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFTHVYSGGRDLSLYLTDLTTRESLLLCTKEHPVLQLALHDDSIWVATTDSSVHRWPAEGRNPQKVFQRGGSFLAGNLSFSRARVSLEGSTPAAVYKEPIFSIPGTPAIVQHEILNNRRHVLTKDSAGLVKLWEITRGVVVEDYGQVSFDEKKQQLFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSVDLNITGKPEDDKVNLARETLKGLLAHWMTKRRQRLGSQASANGDVLSGKDNTARSLAHSRIEVDGNAENDSMVHPPFEFSTVSPPSIISEGSQGGPWRKKITELDGTEDEKDFPWWVLDCVLNNRLPPRENTKCSFYLHPCEGTAVQILTQGKLSAPRILRINKVVNYVVEKMVLDKPIDTGSTDGSLAPGHGGQLQHSAVADGSFKSGLKPWPKPRPSVEILCNNQVLSTDMSLATVRAYIWKKPEDLVLNYRVVQGR >KJB83998 pep chromosome:Graimondii2_0_v6:13:51315197:51323865:1 gene:B456_013G202200 transcript:KJB83998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNNSNSSRPRKEKRLTYVLNDSDDTKHSAGINCLAVLKSSVSDGCNYLFTGSRDGTLKRWALAEDAATCSATFESHVDWVNDTVIAGENTLVSCSSDTTLKIWNCLSDGTCTRTLRQHSDYVTCLAAAERNANVVASGGLGGEVFVWDIEAAVTPLSKSSDVMEDDCSNGINGSANSLPVSSLRPISSNNSITAHTTQCPGYVPIAAKGHKESVYALAMNDNGSLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNVRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFTHVYSGGRDLSLYLTDLTTRESLLLCTKEHPVLQLALHDDSIWVATTDSSVHRWPAEGRNPQKVFQRGGSFLAGNLSFSRARVSLEGSTPAAVYKEPIFSIPGTPAIVQHEILNNRRHVLTKDSAGLVKLWEITRGVVVEDYGQVSFDEKKQQLFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSVDLNITGKPEDDKVNLARETLKGLLAHWMTKRRQRLGSQASANGDVLSGKDNTARSLAHSRIEVDGNAENDSMVHPPFEFSTVSPPSIISEGSQGGPWRKKITELDGTEDEKDFPWWVLDCVLNNRLPPRENTKCSFYLHPCEGTAVQILTQGKLSAPRILRINKVVNYVVEKMVLDKPIDTGSTDGSLAPGHGGQLQHSAVADGSFKSGLKPWPKPRPSVEILCNNQVLSTDMSLATVRAYIWKKPEDLVLNYRVVQGR >KJB83249 pep chromosome:Graimondii2_0_v6:13:55528368:55536822:1 gene:B456_013G237300 transcript:KJB83249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTGDDKIPIHTETEGSETTIEIKIKTLAETYTMRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHMVVRQPVPPSDGSLNHSDDPGSGTSRNHGNHVPNFVIETSNMPEQGDGVPPEIGRIVSAVLGMFGFANMASDVWGSQRHERTSGSGIPESSLGQTEQTSMRNQSDREHTAFGLPAAVSLGPMQPPVIPDSLATLSQYLSHMKHEFDGIARRSGDRDSIPASNSGTIREGLPTPASLAEVLQSTRQMLIDQAGECLQQLARQLEDQMNVTDTSARMIAQSNAWRIGVLLHNLGSLLLELGRTTMTLRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSLGTIPMGTVQPGSGLVNGLGAALYPRRIGIQIRRGSTTATPNIIRHEQNDTTTQQSDQRNPSVGSGNENHSTQTTSRVSDAPSFAGESGVRVVPIRTMVAAVPAPLGHVPSDSSGNTMGFYYPLVGRFQHITPGHLNGERGSQPSAEHLSTGGQSEQPPVPESAAQHQSLDESTRDGSLPNANSRQQERSNTRSVDISDSSVGRTQNNQDSERQIPSSILQFLRAFFPSGEFRVEESSLQGTAAGSVPVQAGTSRSGPAAEPSITDEGLFLSNLLHQIMPYISQHAGSQQSPVPTTGGNTSSQVENSRTRPSDSEPNPLNSKRQKTE >KJB83247 pep chromosome:Graimondii2_0_v6:13:55528197:55536827:1 gene:B456_013G237300 transcript:KJB83247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTGDDKIPIHTETEGSETTIEIKIKTLAETYTMRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHMVVRQPVPPSDGSLNHSDDPGSGTSRNHGNHVPNFVIETSNMPEQGDGVPPEIGRIVSAVLGMFGFANMASDVWEQGSQRHERTSGSGIPESSLGQTEQTSMRNQSDREHTAFGLPAAVSLGPMQPPVIPDSLATLSQYLSHMKHEFDGIARRSGDRDSIPASNSGTIREGLPTPASLAEVLQSTRQMLIDQAGECLQQLARQLEDQMNVTDTSARMIAQSNAWRIGVLLHNLGSLLLELGRTTMTLRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSLGTIPMGTVQPGSGLVNGLGAALYPRRIGIQIRRGSTTATPNIIRHEQNDTTTQQSDQRNPSVGSGNENHSTQTTSRVSDAPSFAGESGVRVVPIRTMVAAVPAPLGHVPSDSSGNTMGFYYPLVGRFQHITPGHLNGERGSQPSAEHLSTGGQSEQPPVPESAAQHQSLDESTRDGSLPNANSRQQERSNTRSVDISDSSVGRTQNNQDSERQIPSSILQFLRAFFPSGEFRVEESSLQGTAAGSVPVQAGTSRSGPAAEPSITDEGLFLSNLLHQIMPYISQHAGSQQSPVPTTGGNTSSQVENSRTRPSDSEPNPLNSKRQKTE >KJB83248 pep chromosome:Graimondii2_0_v6:13:55528362:55536822:1 gene:B456_013G237300 transcript:KJB83248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTGDDKIPIHTETEGSETTIEIKIKTLAETYTMRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHMVVRQPVPPSDGSLNHSDDPGSGTSRNHGNHVPNFVIETSNMPEQGDGVPPEIGRIVSAVLGMFGFANMASDVWEQGSQRHERTSGSGIPESSLGQTEQTSMRNQSDREHTAFGLPAAVSLGPMQPPVIPDSLATLSQYLSHMKHEFDGIARRSGDRDSIPASNSGTIREGLPTPASLAEVLQSTRQMLIDQAGECLQQLARQLEDQMNVTDTSARMIAQSNAWRIGVLLHNLGSLLLELGRTTMTLRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSLGTIPMGTVQPGSGLVNGLGAALYPRRIGIQIRRATPNIIRHEQNDTTTQQSDQRNPSVGSGNENHSTQTTSRVSDAPSFAGESGVRVVPIRTMVAAVPAPLGHVPSDSSGNTMGFYYPLVGRFQHITPGHLNGERGSQPSAEHLSTGGQSEQPPVPESAAQHQSLDESTRDGSLPNANSRQQERSNTRSVDISDSSVGRTQNNQDSERQIPSSILQFLRAFFPSGEFRVEESSLQGTAAGSVPVQAGTSRSGPAAEPSITDEGLFLSNLLHQIMPYISQHAGSQQSPVPTTGGNTSSQVENSRTRPSDSEPNPLNSKRQKTE >KJB78390 pep chromosome:Graimondii2_0_v6:13:48058323:48058741:1 gene:B456_013G1825001 transcript:KJB78390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGTDAHYFHTGSRGHHSVWDSRLFNYGSWEVLRYLLSYARWWLEEYKFDGYRFDGVTSIMYKISLIK >KJB80788 pep chromosome:Graimondii2_0_v6:13:28576292:28578880:1 gene:B456_013G115300 transcript:KJB80788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETFLLDIAQRVVEKIAHLSMEELGLAFNVQSDLRKLKETMSSIKAVLLDAERQQHQNEKLRLCMWKLRDIFYDAEDVVDDFKCEALRKQVVNHPNISFKVRFLASCSLPLSFSFKMGHKIKSINQRLNELATEWNNFNLGQGTDNRHVFHRETHSCVNSSDVIGRDVDKENIIDLLMKPSEDPNIPVIPIVGIGGLGKTTLTQLVYNDDRVTRCFPLKIWICVSDEFDLSRLLKLIIHSINKGEKCDNLTVEALQICLRSLLNDKKFLLVLDDVWNENRARWIELRDILGSMDYLCGSKIIVTTRSLKVAFIMSSIHPYELKGLPFEDCLTLFMKWAFNNEDERQYPNLMRIGKEIVQKCKGVPLAVRTLGSLLFLKTDESYWISIRDSEIWMLEQSENDILPVLKLSYNHLPSHLQRCLALLSLYKKDEIYYSDQVVYLWMANGLLEHPKQKQDWEDVGDQYLNELMSRCLIQMNQDYGDVFTFKMHDLIHDLALEVSQKECKIVNCQTKTIDENVRHLSFCDDKQINVAQVFTKLKNVRTVMVQEVSKESKAIHESLVSLCVSNFKYIRALCLQDSPLTALPYSIGALKHLRELDLTNCCNIKKLPSSFYKLRRLQSLRMRGVPLMQLPVSMETLIELRYLEITIKAKKLKGSRLRSWTSLQCLALVDCDNLECLSEEMKCLTELTHLILHGCTELSNRYHINGRLDWQEWLKKKRIPFDDLLD >KJB79203 pep chromosome:Graimondii2_0_v6:13:3178629:3179392:-1 gene:B456_013G039800 transcript:KJB79203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLNLKNSANEVKLRTSGSGAMKPEPKKRGRKRKFDPNVQDSSAGREDDADMRLRCYESASARKRTVTAEERERAINAAKAFEPINPFCRVVLRPSYLYRGCIMYLPSCFAEKHLSGVSDSIKLQLPDGRQWSVRCRYKGGKAKFSRGWYEFTLENNLGEGDVCVFELLRSREIVLKVTVFRVMESGGLMHRSQ >KJB79206 pep chromosome:Graimondii2_0_v6:13:3178211:3179620:-1 gene:B456_013G039800 transcript:KJB79206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLNLKNSANEVKLRTSGSGAMKPEPKKRGRKRKFDPNVQDSSAGREDDADMRLRCYESASARKRTVTAEERERAINAAKAFEPINPFCRVVLRPSYLYRGCIMYLPSCFAEKHLSGVSDSIKLQLPDGRQWSVRCRYKGGKAKFSRGWYEFTLENNLGEGDVCVFELLRSREIVLKVTVFRVMESGGLMHRSQ >KJB79202 pep chromosome:Graimondii2_0_v6:13:3178136:3185418:-1 gene:B456_013G039800 transcript:KJB79202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIPRSVKQYPFEQLQDNECISPALQNMFGGSKLSNCINWGGDVNRQNPKAVNNQPIRGSGAMKPEPKKRGRKRKFDPNVQDSSAGREDDADMRLRCYESASARKRTVTAEERERAINAAKAFEPINPFCRVVLRPSYLYRGCIMYLPSCFAEKHLSGVSDSIKLQLPDGRQWSVRCRYKGGKAKFSRGWYEFTLENNLGEGDVCVFELLRSREIVLKVTVFRVMESGGLMHRSQ >KJB79204 pep chromosome:Graimondii2_0_v6:13:3178211:3185348:-1 gene:B456_013G039800 transcript:KJB79204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIPRSVKQYPFEQLQDNECISPALQNMFGGSKLSNCINWGGDVNRQNPKAVNNQPIRGSGAMKPEPKKRGRKRKFDPNVQDSSAGREDDADMRLRCYESASARKRTVTAEERERAINAAKAFEPINPFCRVVLRPSYLYRGCIMYLPSCFAEKHLSGVSDSIKLQLPDGRQWSVRCRYKGGKAKFSRGWYEFTLENNLGEGDVCVFELLRSREIVLKVTVFRVMESGGLMHRSQ >KJB79207 pep chromosome:Graimondii2_0_v6:13:3178211:3185154:-1 gene:B456_013G039800 transcript:KJB79207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGSKLSNCINWGGDVNRQNPKAVNNQPIRGSGAMKPEPKKRGRKRKFDPNVQDSSAGREDDADMRLRCYESASARKRTVTAEERERAINAAKAFEPINPFCRVVLRPSYLYRGCIMYLPSCFAEKHLSGVSDSIKLQLPDGRQWSVRCRYKGGKAKFSRGWYEFTLENNLGEGDVCVFELLRSREIVLKVTVFRVMESGGLMHRSQ >KJB79205 pep chromosome:Graimondii2_0_v6:13:3178211:3185348:-1 gene:B456_013G039800 transcript:KJB79205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIPRSVKQYPFEQLQDNECISPALQNMFGGSKLSNCINWGGDVNRQNPKAVNNQPIRGSGAMKPEPKKRGRKRKFDPNVQDSSAGREDDADMRLRCYESASARKRTVTAEERERAINAAKAFEPINPFCRVVLRPSYLYRGCIMYLPSCFAEKHLSGVSDSIKLQLPDGRQWSVRCRYKGGKAKFSRGWYEFTLENNLGEGDVCVFELLRSREIVLKVTVFRVMESGGLMHRSQ >KJB83994 pep chromosome:Graimondii2_0_v6:13:55580659:55586215:-1 gene:B456_013G238000 transcript:KJB83994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSMEEVEIKHSGAKELSYQQQNESCEVFEEEVRGSFSPQSGGNRVDKQESNDAEATELLDKGFMEYGCPHYRRRCRIRAPCCGEIFDCRHCHNEAKNNINVDQKLRHDLPRRQISKVICSLCGTEQEAQQVCINCGVCMGKYFCNSCKLFDDDTSKRQYHCDGCGICRIGGQENFFHCHKCGCCYSILLKNSHPCVEGAMHHDCPICFEFLFESRQNVTVLPCGHTIHTNCFKEMRDHFQYACPLCSKSVCDMSKVWEKFDEEIAATPMPEQYQNKMVSILCNDCETKSLVRFHVLAQKCPNCKSYNTRQTRG >KJB83988 pep chromosome:Graimondii2_0_v6:13:55581165:55583438:-1 gene:B456_013G238000 transcript:KJB83988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSMEEVEIKHSGAKELSYQQQNESCEVFEEEVRGSFSPQSGGNRVDKQESNDAEATELLDKGFMEYGCPHYRRRCRIRAPCCGEIFDCRHCHNEAKNNINVDQKLRHDLPRRQISKVICSLCGTEQEAQQVCINCGVCMGKYFCNSCKLFDDDTSKRQYHCDGCGICRIGGQENFFHCHKCGCCYSILLKNSHPCVEGAMHHDCPICFEFLFESRQNVTVLPCGHTIHTNCFKEMRDHFQYACPLCSKSVCDMSKVWEKFDEEIAATPMPEQYQNKMVSILCNDCETKSLVRFHVLAQKCPNCKSYNTRQTRG >KJB83990 pep chromosome:Graimondii2_0_v6:13:55580659:55586313:-1 gene:B456_013G238000 transcript:KJB83990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSMEEVEIKHSGAKELSYQQQNESCEVFEEEVRGSFSPQSGGNRVDKQESNDAEATELLDKGFMEYGCPHYRRRCRIRAPCCGEIFDCRHCHNEAKNNINVDQKLRHDLPRRQISKAQQVCINCGVCMGKYFCNSCKLFDDDTSKRQYHCDGCGICRIGGQENFFHCHKCGCCYSILLKNSHPCVEGAMHHDCPICFEFLFESRQNVTVLPCGHTIHTNCFKEMRDHFQYACPLCSKSVCDMSKVWEKFDEEIAATPMPEQYQNKMVSILCNDCETKSLVRFHVLAQKCPNCKSYNTRQTRG >KJB83989 pep chromosome:Graimondii2_0_v6:13:55580659:55586313:-1 gene:B456_013G238000 transcript:KJB83989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSMEEVEIKHSGAKELSYQQQNESCEVFEEEVRGSFSPQSGGNRVDKQESNDAEATELLDKGFMEYGCPHYRRRCRIRAPCCGEIFDCRHCHNEAKNNINVDQKLRHDLPRRQISKVICSLCGTEQEAQQVCINCGVCMGKYFCNSCKLFDDDTSKRQYHCDGCGICRIGGQENFFHCHKCGCCYSILLKNSHPCVEGAMHHDCPICFEFLFESRQNVTVLPCGHTIHTNCFKEMRDHFQYACPLCSKSVCDMSKVWEKFDEEIAATPMPEQYQNKMVSILCNDCETKSLVRFHVLAQKCPNCKSYNTRQTRG >KJB83992 pep chromosome:Graimondii2_0_v6:13:55580659:55586351:-1 gene:B456_013G238000 transcript:KJB83992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSMEEVEIKHSGAKELSYQQQNESCEVFEEEVRGSFSPQSGGNRVDKQESNDAEATELLDKGFMEYGCPHYRRRCRIRAPCCGEIFDCRHCHNEAKNNINVDQKLRHDLPRRQISKVICSLCGTEQEAQQVCINCGVCMGKYFCNSCKLFDDDTSKRQYHCDGCGICRIGGQENFFHCHKCGCCYSILLKNSHPCVEGAMHHDCPICFEFLFESRQNVTVLPCGHTIHTNCFKEMRDHFQYACPLCSKSVCDMSKVWEKFDEEIAATPMPEQYQNKMVSILCNDCETKSLVRFHVLAQKCPNCKSYNTRQTRG >KJB83991 pep chromosome:Graimondii2_0_v6:13:55580659:55586322:-1 gene:B456_013G238000 transcript:KJB83991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSMEEVEIKHSGAKELSYQQQNESCEVFEEEVRGSFSPQSGGNRVDKQESNDAEATELLDKGFMEYGCPHYRRRCRIRAPCCGEIFDCRHCHNEAKNNINVDQKLRHDLPRRQISKVICSLCGTEQEAQQVCINCGVCMGKYFCNSCKLFDDDTSKRQYHCDGCGICRIGGQENFFHCHKCGCCYSILLKNSHPCVEGAMHHDCPICFEFLFESRQNVTVLPCGHTIHTNCFKEMRDHFQYACPLCSKSVCDMSKVWEKFDEEIAATPMPEQYQNKMVSILCNDCETKSLVRFHVLAQKCPNCKSYNTRQTRG >KJB83993 pep chromosome:Graimondii2_0_v6:13:55581165:55583438:-1 gene:B456_013G238000 transcript:KJB83993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSMEEVEIKHSGAKELSYQQQNESCEVFEEEVRGSFSPQSGGNRVDKQESNDAEATELLDKGFMEYGCPHYRRRCRIRAPCCGEIFDCRHCHNEAKNNINVDQKLRHDLPRRQISKVICSLCGTEQEAQQVCINCGVCMGKYFCNSCKLFDDDTSKRQYHCDGCGICRIGGQENFFHCHKCGCCYSILLKNSHPCVEGAMHHDCPICFEFLFESRQNVTVLPCGHTIHTNCFKEMRDHFQYACPLCSKSVCDMSKVWEKFDEEIAATPMPEQYQNKMVSILCNDCETKSLVRFHVLAQKCPNCKSYNTRQTRG >KJB78692 pep chromosome:Graimondii2_0_v6:13:866399:869108:-1 gene:B456_013G012800 transcript:KJB78692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNWRRQKGEIHHHHQGMQGTRSYSRKPSLATWQPTVPSWEKKFCTLVGAVPWRKLLETKRFMYLYDNIVQWNDSAGEEAFHNAKNRFWAEINGLPCDIRLPDPDSYIDKIDWDSEIDPELLLDLEREPKVPDKKDESENVVILGNSLLLNQSFVCGGWGDAEDSVAKENNLSSNWKNKGYENSWEHNNGNTKDTGYGNCWNNSWEWNQRENNYNDWDNNESSYVDYRRTGDWGTWDAPRKKKEGADQYMSRYKTTRYHGDNCQNNRGWRNVRGRQRSNFAYERPPVDSRQLNSVNYCGWAN >KJB78693 pep chromosome:Graimondii2_0_v6:13:866746:868736:-1 gene:B456_013G012800 transcript:KJB78693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNWRRQKGEIHHHHQGMQGTRSYSRKPSLATWQPTVPSWEKKFCTLVGAVPWRKLLETKRFMYLYDNIVQWNDSAGEEAFHNAKNRFWAEINGLPCDIRLPDPDSYIDKIDWDSEIDPELLLDLEREPKVPDKKDESENVVILGNSLLLNQSFVCGGWGDAEDSVAKENNLSSNWKNKGYENSWEHNNGNTKDTGYGNCWNNSWEWNQRENNYNDWDNNESSYVDYRRTGDWGTWDAPRKKKEGADQYMSRYKTTRYHGDNCQNNRGWRNVRGRQRSNFAYERPPVDSRQLNSVNYCGWAN >KJB83009 pep chromosome:Graimondii2_0_v6:13:54454510:54455719:-1 gene:B456_013G224800 transcript:KJB83009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIRSILIAFGLFAFACSSASAYDPSPLQDFCVAIKDIKNGVFVNGKFCKDPKLAVAEDFFFSGLNRPRNTSNPVGSNVTMINVDIIPGLNTLGLTLIRVDYAPYGVNPPHTHPRGSEILLVVEGTLYVGFVTADPDNRLFTKILNPGDAFVFPFGLIHFQFNIGKTAAVAFAVLSSQNAGRITIADAVFGSNPPINPDVLAKAFQLNKNVVKYLQSRF >KJB80165 pep chromosome:Graimondii2_0_v6:13:11968560:11973382:1 gene:B456_013G083900 transcript:KJB80165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENRCCKSCLVFASSCQEERKWAYMYNGKRPREFSESDGCQETKLSKLSSDDSSSDDNNSNASSPQDSGDFLLPGSHGQSGDDSKRSDSSSDTDSSLIHHCSIGLDKPDLVNQSDSDSLSDSVEESPEEQSEDDGENDDSSFSDSPRDGHRSHAGDSSSDLDALIQPIGRDNSISCLIRCSRSDYGSIASLNRSFRSLIRSGEIYKLRRQNGVVEHWVYFSCHLLQWEAFDPIRHRWMHLPRMPPNECFIFSDKESLAVGTELLVFGKEVTSQVIYRYSILTNSWTTGTSMNAPRCLFGSASLGEIAILAGGCDSQGNILSSAEMYNSETQKWETLPSMNKPRKMCSGVFMDKKFYVIGGIGGAGKDARVLTCGEEYDLETKKWTEIPNMSPGRSGAAAEMPAAAVAPPLVAVVNNELYAADHAGMEVKKYDKEGNSWLTIGRLPERAVSMNGWGLAFRACGDRLIVIGGPRALGEGFIEINSWVPSERPPQWNLLARKQLGNFVYNCAVMGC >KJB82979 pep chromosome:Graimondii2_0_v6:13:54322942:54323363:-1 gene:B456_013G2230001 transcript:KJB82979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFNLLFTAFIFSFILFHSNALNVTHDSRSIIIDGNHRIVLSGSIHYPRSTAQMWPDLIRKAKEGGLDAVETYVFWNAHEPTRRQYDFSGNLDLIRFLKTIQDQGLYAILRIGPYT >KJB82715 pep chromosome:Graimondii2_0_v6:13:52234114:52235099:-1 gene:B456_013G210600 transcript:KJB82715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKKIKLAYITNDSARKTTYKRRTKCLVKKVRELTTLCGIEGFAVMNSPDFGSQVEVWPSLEDARRLLSDFKKLPLSKQNKKMVSQESFLEQSLAKATQQLRKLREKNRQKELKEVMFESLSGKGILQSLNAMDLDEVDLLVKQNLTDIDYRVRVLTKASRS >KJB78512 pep chromosome:Graimondii2_0_v6:13:228759:233329:-1 gene:B456_013G003200 transcript:KJB78512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMGFSLFFKFLLLLSGFGVSMALQETPFVAKNLGQISSNSVLVALLDSHYTELAELVEKALLLQSLEESVGKHNITIFAPKNEALERNLDPEFKRFLLEPGNLKSLQTLLLYHIVPTRIEPHSWPNSTSGSILHRTLSNHNVELSSEDSMGVKFIGSAKVINPNAVNRPDGVIHGIEQLLIPQSVQQDFNSRRNLRSISAVKPEGAPEVDPRTHRLKKPAPPVKPGSPPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFNGMRQVKDFIQTLIQYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHIIPEYQTEESMYNTVRRFGKVSYDTLRLPHKVSAQEADGSVKFGHADGSAYLFDPDIYTDGRISVQGIDGVLFPPEEKTKEEKKTIKVATAKPRRGKLLEVACRMLVAIGQDSHFSTCQI >KJB79434 pep chromosome:Graimondii2_0_v6:13:4462569:4464772:1 gene:B456_013G049500 transcript:KJB79434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSLRRFAAITRLSQKQPFADLADPINRIHPFLKNRTKTQAREYVRFPNVVRKVREYEISPSLFSSSFSSSSSSSTASFGKLGFVGWYLGMVKSWPVLTKSVTSSAIYVAADLSSQTISKSSSEPYDLVRTSRMAGYGLLILGPSLHLWFNLMSKLFPKRDLISTLKKMAMGQLLYGPTMTVVFFSLNARLQGESGDEIVSRLKRDLLPTMLNGIMYWPFCDFITFRFVPVHLQPLVSNSFSYLWTVYMTYMASLEKPVSITC >KJB80289 pep chromosome:Graimondii2_0_v6:13:14490456:14493575:1 gene:B456_013G090300 transcript:KJB80289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl phosphate kinase [Source:Projected from Arabidopsis thaliana (AT1G26640) UniProtKB/Swiss-Prot;Acc:Q8H1F7] MNLGSCSKQVMGMDWSKRDGVSDISSSLCDFGDQCQFNVDFSRFVVVHGAGSFGHFQASKSGVHKGGLNQPLVKAGFVATRISVTTLNLEIVRALAREGIPSIAMSPYSCGWSTSERNVASADLLGVAKAIDSGFIPVLHGDAVLDDRLGCTILSGDVIIRHLAEHLRPEYVVFLTDVSGVYDRPPTDPNAVLLREIAVDEDGKWSVVKPTPQSMNKQVEITVAAHDTTGGMVTKISEAAMIAKLGIDVYIVKAATSHSLRALRGELRHDIPDDWLGTVIRFSR >KJB80288 pep chromosome:Graimondii2_0_v6:13:14490427:14493639:1 gene:B456_013G090300 transcript:KJB80288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl phosphate kinase [Source:Projected from Arabidopsis thaliana (AT1G26640) UniProtKB/Swiss-Prot;Acc:Q8H1F7] MEETNLNQTIRCIVKLGGAAITCKNELEKINEENLDTVSSHLRQAMNLGSCSKQVMGMDWSKRDGVSDISSSLCDFGDQCQFNVDFSRFVVVHGAGSFGHFQASKSGVHKGGLNQPLVKAGFVATRISVTTLNLEIVRALAREGIPSIAMSPYSCGWSTSERNVASADLLGVAKAIDSGFIPVLHGDAVLDDRLGCTILSGDVIIRHLAEHLRPEYVVFLTDVSGVYDRPPTDPNAVLLREIAVDEDGKWSVVKPTPQSMNKQVEITVAAHDTTGGMVTKISEAAMIAKLGIDVYIVKAATSHSLRALRGELRHDIPDDWLGTVIRFSR >KJB82774 pep chromosome:Graimondii2_0_v6:13:54506412:54509000:1 gene:B456_013G225400 transcript:KJB82774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED20 MPLKRVFFWQPNAGSTVNSQILNEVSQCVESINGVKEGRWKATLTFYKPMLRDQALSAEFPREFIGISLPEQPNKYYFVLRFNKIVLESDSSIQLIMEKLQSYKSRVALNFEGFQYQLGDFQLRVGKVVPSHSENLRGIVMEIGFQAL >KJB82773 pep chromosome:Graimondii2_0_v6:13:54506412:54507543:1 gene:B456_013G225400 transcript:KJB82773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED20 MPLKRVFFWQPNAGSTVNSQILNEVSQCVESINGVKEGRWKATLTFYKPMLRDQALSAEFPREFIGISLPEQPNKYYFVLRFNKIVLESDSSIQLIMEKLQSYKSRVALNFEGFQYQLGDFQLRVGKVVPSHSENLRGIVMEVLYYYFVLYFRTSIRMLM >KJB82772 pep chromosome:Graimondii2_0_v6:13:54506412:54509037:1 gene:B456_013G225400 transcript:KJB82772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED20 MPLKRVFFWQPNAGSTVNSQILNEVSQCVESINGVKEGRWKATLTFYKPMLRDQALSAEFPREFIGISLPEQPNKYYFVLRFNKIVLESDSSIQLIMEKLQSYKSRVALNFEGFQYQLGDFQLRVGKVVPSHSENLRGIVMEVEYLPISSLEKSKQIMEEFFDIWQDAISKRSLPGRFIHIEPDFLDYGLADHYTSQHTAVQYTHVTSQLIASVQAVQTGRN >KJB82775 pep chromosome:Graimondii2_0_v6:13:54506408:54509038:1 gene:B456_013G225400 transcript:KJB82775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED20 MPLKRVFFWQPNAGSTVNSQILNEVSQCVESINGVKEGRWKATLTFYKPMLRDQALSAEFPREFIGISLPEQPNKYYFVLRFNKIVLESDSSIQLIMEKLQSYKSRVALNFEGFQYQLGDFQLRVGKVVPSHSENLRGIVMEVEYLPISSLEKSKQIMEEFFDIWQDAISKRSLPGRFIHIEPDFLDYGLADHYTSQHTAVQYTHVTSQLIASVQAVQTGRN >KJB81355 pep chromosome:Graimondii2_0_v6:13:37930024:37936779:1 gene:B456_013G140800 transcript:KJB81355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat domain-containing protein PYG7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22700) UniProtKB/Swiss-Prot;Acc:B9DHG0] MLLQTTISSRPLYQRHACFSATHQLPLYYSFLASASLNFTRKNLFFQAIVRCYREPALKNTGAGNGVNDTKFLNLQMFQKGMAAKSFTSSRFDESLKNHRAMVDDLNVKKPLSVVSAFAFGQALWLISAQLANASEITTGDAVYEVGELFELGIQLSYLLLLLVLLGVGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATELFELGAVMLRRKFYPAANKFLLQAIEKWDGDDQDLAQVYNALGVSYVRDGKLEKGINQFETAVKIQPGYVTAWNNLGDAYEKKKDYKSALKAFEEVLLFDPNNKIARPRRDALKDRVEMYKGVPIKSKDR >KJB81357 pep chromosome:Graimondii2_0_v6:13:37934304:37936345:1 gene:B456_013G140800 transcript:KJB81357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat domain-containing protein PYG7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22700) UniProtKB/Swiss-Prot;Acc:B9DHG0] MVDDLNVKKPLSVVSAFAFGQALWLISAQLANASEITTGDAVYEVGELFELGIQLSYLLLLLVLLGVGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATELFELGAVMLRRKFYPAANKFLLQAIEKWDGDDQDLAQVYNALGVSYVRDGKLEKGINQFETAVKIQPGYVTAWNNLGDAYEKKKDYKSALKAFEEVLLFDPNNKIARPRRDALKDRVEMYKGVPIKSKDR >KJB81359 pep chromosome:Graimondii2_0_v6:13:37930094:37936724:1 gene:B456_013G140800 transcript:KJB81359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat domain-containing protein PYG7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22700) UniProtKB/Swiss-Prot;Acc:B9DHG0] MVDDLNVKKPLSVVSAFAFGQALWLISAQLANASEITTGDAVYEVGELFELGIQLSYLLLLLVLLGVGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATELFELGAVMLRRKFYPAANKFLLQAIEKWDGDDQDLAQVYNALGVSYVRDGKLEKGINQFETAVKIQPGYVTAWNNLGDAYEKKKDYKSALKAFEEVLLFDPNNKIARPRRDALKDRVEMYKGVPIKSKDR >KJB81358 pep chromosome:Graimondii2_0_v6:13:37932049:37936724:1 gene:B456_013G140800 transcript:KJB81358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat domain-containing protein PYG7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22700) UniProtKB/Swiss-Prot;Acc:B9DHG0] MFQKGMAAKSFTSSRFDESLKNHRAMVDDLNVKKPLSVVSAFAFGQALWLISAQLANASEITTGDAVYEEQVRSGDASATELFELGAVMLRRKFYPAANKFLLQAIEKWDGDDQDLAQVYNALGVSYVRDGKLEKGINQFETAVKIQPGYVTAWNNLGDAYEKKKDYKSALKAFEEVLLFDPNNKIARPRRDALKDRVEMYKGVPIKSKDR >KJB81356 pep chromosome:Graimondii2_0_v6:13:37930065:37936724:1 gene:B456_013G140800 transcript:KJB81356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat domain-containing protein PYG7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22700) UniProtKB/Swiss-Prot;Acc:B9DHG0] MTLNFSTYRQMFQKGMAAKSFTSSRFDESLKNHRAMVDDLNVKKPLSVVSAFAFGQALWLISAQLANASEITTGDAVYEVGELFELGIQLSYLLLLLVLLGVGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATELFELGAVMLRRKFYPAANKFLLQAIEKWDGDDQDLAQVYNALGVSYVRDGKLEKGINQFETAVKIQPGYVTAWNNLGDAYEKKKDYKSALKAFEEVLLFDPNNKIARPRRDALKDRVEMYKGVPIKSKDR >KJB81360 pep chromosome:Graimondii2_0_v6:13:37932049:37936724:1 gene:B456_013G140800 transcript:KJB81360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat domain-containing protein PYG7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22700) UniProtKB/Swiss-Prot;Acc:B9DHG0] MFQKGMAAKSFTSSRFDESLKNHRAMVDDLNVKKPLSVVSAFAFGQALWLISAQLANASEITTGDAVYEVGELFELGIQLSYLLLLLVLLGVGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATELFELGAVMLRRKFYPAANKFLLQAIEKWDGDDQDLAQVYNALGVSYVRDGKLEKGINQFETAVKIQPGYVTAWNNLGDAYEKKKDYKSALKAFEEVLLFDPNNKIARPRRDALKDRVEMYKGVPIKSKDR >KJB82065 pep chromosome:Graimondii2_0_v6:13:46298814:46303490:1 gene:B456_013G174300 transcript:KJB82065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPAESKRRVAFVLIDGLGDVSIPKFGCKTPLQAANVPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVTSRRADRHFEEEGPILCGALDRMKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLLLEAKALDDTDEARHTAAVVNELSREISRILVSHPLNAKRLAEGKNIANIVLLRGCGIRIEVPPFQKKHDLWPCMVAPTKIIAGLGLSLDINILEAPGATGEYRTLLTSKATAIAKALSAPLQTCPNVFVPGEDEHKPGRSDGYDFGFLHIKAIDDAGHDKATVFKVKGLEAVDQAIGQLAKLMWQAESTGNFQYFICVTGDHSTPVEYGDHSFEPVPFAICRLKDFVGAIGGESVILETSLDTFPLPTVKVGEDLNEDIALGKGRNCKQVESLSGDSVFEFNEIAAARGALGRFPGGEMMGIIKRFLKLSA >KJB82066 pep chromosome:Graimondii2_0_v6:13:46299208:46303040:1 gene:B456_013G174300 transcript:KJB82066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPAESKRRVAFVLIDGLGDVSIPKFGCKTPLQAANVPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVTSRRADRHFEEEGPILCGALDRMKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLLLEAKALDDTDEARHTAAVVNELSREISRILVSHPLNAKRLAEGKNIANIVLLRGCGIRIEVPPFQKKHDLWPCMVAPTKIIAGLGLSLDINILEAPGATGEYRTLLTSKATAIAKALSAPLQTCPNVFVPGEDEHKPGRSDGYDFGFLHIKAIDDAGHDKATVFKVKGLEAVDQAIGQLAKLMWQAESTGNFQYFICVTGDHSTPVEYGDHSFEPVPFAICRLKDFVGAIGGESVILETSLDTFPLPTVKVGEDLNEDIALGKGRNCKQVESLSGDSVFEFNEIAAARGALGRFPGGEMMGIIKRFLKLSA >KJB82063 pep chromosome:Graimondii2_0_v6:13:46299710:46303040:1 gene:B456_013G174300 transcript:KJB82063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPAESKRRVAFVLIDGLGDVSIPKFGCKTPLQAANVPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVTSRRADRHFEEEGPILCGALDRMKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLLLEAKALDDTDEARHTAAVVNELSREISRILVSHPLNAKRLAEGKNIANIVLLRGCGIRIEVPPFQKKHDLWPCMVAPTKIIAGLGLSLDINILEAPGATGEYRTLLTSKATAIAKALSAPLQTCPNVFVPGEDEHKPGRSDGYDFGFLHIKAIDDAGHDKATVFKVKGLEAVDQAIGQLAKLMWQAESTGNFQYFICVTGDHSTPVEYGDHSFEPVPFAICRLKDFVGAIGGESVILETSLDTFPLPTVKVGEDLNEDIALGKGRNCKQVESLSGDSVFEFNEIAAARGALGRFPGGEMMGIIKRFLKLSA >KJB82064 pep chromosome:Graimondii2_0_v6:13:46298939:46303438:1 gene:B456_013G174300 transcript:KJB82064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPAESKRRVAFVLIDGLGDVSIPKFGCKTPLQAANVPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVTSRRADRHFEEEGPILCGALDRMKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLLLEAKALDDTDEARHTAAVVNELSREISRILVSHPLNAKRLAEGKNIANIVLLRGCGIRIEVPPFQKKHDLWPCMVAPTKIIAGLGLSLDINILEAPGATGEYRTLLTSKATAIAKALSAPLQTCPNVFVPGEDEHKPGRSDGYDFGFLHIKAIDDAGHDKATVFKVKGLEAVDQAIGQLAKLMWQAESTGNFQYFICVTGDHSTPVEYGDHSFEPVPFAICRLKDFVGAIGGESVILETSLDTFPLPTVKVGEDLNEDIALGKGRNCKQVESLSGDSVFEFNEIAAARGALGRFPGGEMMGIIKRFLKLSA >KJB83589 pep chromosome:Graimondii2_0_v6:13:57131395:57136894:1 gene:B456_013G254300 transcript:KJB83589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVVKLPSFPFLSPNISSSSSSSTFRLGFRRFSASISAAVNEGFVSLGQLTRPDFPILHQEVNGSKLVYLDNAATSQKPTAVLKSLQNYYEAYNSNVHRGIHYLSAKATDEYELARKKVAAFINASDSAEIVFTRNATEAINLVAYSWGLSNLKPRDEIILTIAEHHSAIVPWQIVAQKTGAILKFVSLDENEVPDVEKLREMISTSTKLVVVHHVSNVLGSVLPIEDIVIWAHAVGAKVLVDACQSVPHMVVDVQGLDADFLVASSHKMCGPTGIGFLFGKSNLLLTMPPFLGGGEMISDVFLDHSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSGIGMQKIHDYEMELANYLYEKLCSVPNIRIYGPKPSDDIHRAALCSFNIENIHPTDIATFLDQQHGVAIRSGHHCAQPLHRRLGVNASARASLHFYNTEEDVDNFIQSLNDTVSFFNSFK >KJB83592 pep chromosome:Graimondii2_0_v6:13:57131395:57136894:1 gene:B456_013G254300 transcript:KJB83592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVVKLPSFPFLSPNISSSSSSSTFRLGFRRFSASISAAVNEGFVSLGQLTRPDFPILHQQEVNGSKLVYLDNAATSQKPTAVLKSLQNYYEAYNSNVHRGIHYLSAKATDEYELARKKVAAFINASDSAEIVFTRNATEAINLVAYSWGLSNLKPRDEIILTIAEHHSAIVPWQIVAQKTGAILKFVSLDENEVPDVEKLREMISTSTKLVVVHHVSNVLGSVLPIEDIVIWAHAVGAKVLVDACQSVPHMVVDVQGLDADFLVASSHKMCGPTGIGFLFGKSNLLLTMPPFLGGGEMISDVFLDHSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSGIGMQKIHDYEMELANYLYEKLCSVPNIRIYGPKPSDDIHRAALCSFNIENIHPTDIATFLDQQHGVAIRSGHHCAQPLHRRLGVNASARASLHFYNTEEDVDNFIQSLNDTVSFFNSFK >KJB83590 pep chromosome:Graimondii2_0_v6:13:57131424:57136104:1 gene:B456_013G254300 transcript:KJB83590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVVKLPSFPFLSPNISSSSSSSTFRLGFRRFSASISAAVNEGFVSLGQLTRPDFPILHQEVNGSKLVYLDNAATSQKPTAVLKSLQNYYEAYNSNVHRGIHYLSAKATDEYELARKKVAAFINASDSAEIVFTRNATEAINLVAYSWGLSNLKPRDEIILTIAEHHSAIVPWQIVAQKTGAILKFVSLDENEVPDVEKLREMISTSTKLVVVHHVSNVLGSVLPIEDIVIWAHAVGAKVLVDACQSVPHMVVDVQGLDADFLVASSHKMCGPTGIGFLFGKSNLLLTMPPFLGGGEMISDVFLDHSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSGIGMQKIHDYEMELANYLYEKLCSVPNIRIYGPKPSDDIHRAALCSFNIENIHPTDIATFLDQQVA >KJB83591 pep chromosome:Graimondii2_0_v6:13:57131307:57136894:1 gene:B456_013G254300 transcript:KJB83591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVVKLPSFPFLSPNISSSSSSSTFRLGFRRFSASISAAVNEGFVSLGQLTRPDFPILHQEVNGSKLVYLDNAATSQKPTAVLKSLQNYYEAYNSNVHRGIHYLSAKATDEYELARKKVAAFINASDSAEIVFTRNATEAINLVAYSWGLSNLKPRDEIILTIAEHHSAIVPWQIVAQKTGAILKFVSLDENEVPDVEKLREMISTSTKLVVVHHVSNVLGSVLPIEDIVIWAHAVGAKVLVDACQSVPHMVVDVQGLDADFLVASSHKMCGPTGIGFLFGKSNLLLTMPPFLGGGEMISDVFLDHSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSGIGMQKIHDYEMELANYLYEKLCSVPNIRIYGPKPSDDIHRAALCSFNIENIHPTDIATFLDQQHGVAIRSGHHCAQPLHRRLGVNASARASLHFYNTEEDVDNFIQSLNDTVSFFNSFK >KJB78708 pep chromosome:Graimondii2_0_v6:13:960697:962743:1 gene:B456_013G014000 transcript:KJB78708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDEEEMRGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDRDEPAGKDYSVQKMILGTHTSENEPNYLMLAQVQLPLQDSENDARQYDHDRSDLGGFGCASGKVQIIQQINHEGEVNRARYMPQNSFIIATKTVSAEVFVFDYSKHPSKPPLDGACSPDLRLRGHSTEGYGLSWSKFKEGHLLSGSDDAQICLWDINATPKNKALDAMQIFKVHEGVVEDVAWHLRHEYLFGSVGDDQYLLIWDLRTPSVTKPIQSVVAHQSEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKISTALHTFDSHK >KJB78709 pep chromosome:Graimondii2_0_v6:13:960697:963463:1 gene:B456_013G014000 transcript:KJB78709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDEEEMRGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDRDEPAGKDYSVQKMILGTHTSENEPNYLMLAQVQLPLQDSENDARQYDHDRSDLGGFGCASGKVQIIQQINHEGEVNRARYMPQNSFIIATKTVSAEVFVFDYSKHPSKPPLDGACSPDLRLRGHSTEGYGLSWSKFKEGHLLSGSDDAQICLWDINATPKNKALDAMQIFKVHEGVVEDVAWHLRHEYLFGSVGDDQYLLIWDLRTPSVTKPIQSVVAHQSEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKISTALHTFDSHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDVPGDESAKGS >KJB81526 pep chromosome:Graimondii2_0_v6:13:40986321:40992887:-1 gene:B456_013G150100 transcript:KJB81526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSVEDMIEGLSGFHFSGFHMDGSKLNNIEKPTTTLAIENVHKQPFIIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHKLTEEELATVHEYNFDHPDSFDTEKLLDSMENLRHGQAVDIPNYDFKSYKNSVFPARRVNPADVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKGRDIGVVLDQYSKFVKSAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGEDNGQQLIYEKLPQDISERQYSCQSYFITYKKGCTGIQHHISQSHIRTSGCTCGVQKLPKSKDCDI >KJB81523 pep chromosome:Graimondii2_0_v6:13:40986286:40993018:-1 gene:B456_013G150100 transcript:KJB81523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSVEDMIEGLSGFHFSGFHMDGSKLNNIEKPTTTLAIENVHKQPFIIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHKLTEEELATVHEYNFDHPDSFDTEKLLDSMENLRHGQAVDIPNYDFKSYKNSVFPARRVNPADVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKGRDIGVVLDQYSKFVKSAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGEDNGQQLIYEKLPQDISERHVLLLDPILGTGNTAVKAISLLTRKGVPESNIIFLNLISAPQGVHVVCKSFPRVKIVTSEIDIGLNEGFRVIPGMGEFGDRYFGTDDDDLQVVPPSQ >KJB81525 pep chromosome:Graimondii2_0_v6:13:40986321:40992887:-1 gene:B456_013G150100 transcript:KJB81525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSVEDMIEGLSGFHFSGFHMDGSKLNNIEKPTTTLAIENVHKQPFIIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHKLTEEELATVHEYNFDHPDSFDTEKLLDSMENLRHGQAVDIPNYDFKSYKNSVFPARRVNPADVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKGRDIGVVLDQYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGEDNGQQLIYEKLPQDISERHVLLLDPILGTGNTAVKAISLLTRKGVPESNIIFLNLISAPQGVHVVCKSFPRVKIVTSEIDIGLNEGFRVIPGMGEFGDRYFGTDDDDLQVVPPSQ >KJB81520 pep chromosome:Graimondii2_0_v6:13:40986286:40993004:-1 gene:B456_013G150100 transcript:KJB81520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSVEDMIEGLSGFHFSGFHMDGSKLNNIEKPTTTLAIENVHKQPFIIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHKLTEEELATVHEYNFDHPDSFDTEKLLDSMENLRHGQAVDIPNYDFKSYKNSVFPARRVNPADVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKGRDIGVVLDQYSKFVKSAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQGLYILVWISVRGYAVYQLLEVVRVWRMLCEHVAKGSRLARFLFIGKVRTTVSSLFMKNYHKTSQKGMCCYWILFSARAIQLSKLFHYLQERVYRNPTSYFSISYPHLRVYMWCAKASQE >KJB81522 pep chromosome:Graimondii2_0_v6:13:40986926:40992001:-1 gene:B456_013G150100 transcript:KJB81522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSVEDMIEGLSGFHFSGFHMDGSKLNNIEKPTTTLAIENVHKQPFIIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHKLTEEELATVHEYNFDHPDSFDTEKLLDSMENLRHGQAVDIPNYDFKSYKNSVFPARRVNPADVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKGRDIGVVLDQYSKFVKSAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGEDNGQQLIYEKLPQDISERHVLLLDPILGTGNTAVKAISLLTRKGVPESNIIFLNLISAPQGVHVVCKSFPRVKIVTSEIDIGLNEGFRVIPGMGEFGDRYFGTDDDDLQVVPPSQ >KJB81527 pep chromosome:Graimondii2_0_v6:13:40987595:40992001:-1 gene:B456_013G150100 transcript:KJB81527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSVEDMIEGLSGFHFSGFHMDGSKLNNIEKPTTTLAIENVHKQPFIIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHKLTEEELATVHEYNFDHPDSFDTEKLLDSMENLRHGQAVDIPNYDFKSYKNSVFPARRVNPADVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKGRDIGVVLDQYSKFVKSAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGEDNGQQVSYL >KJB81524 pep chromosome:Graimondii2_0_v6:13:40986321:40992514:-1 gene:B456_013G150100 transcript:KJB81524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSVEDMIEGLSGFHFSGFHMDGSKLNNIEKPTTTLAIENVHKQPFIIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHKLTEEELATVHEYNFDHPDSFDTEKLLDSMENLRHGQAVDIPNYDFKSYKNSVFPARRVNPADVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKGRDIGVVLDQYSKFVKSAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGEDNGQQLIYEKLPQDISERHVLLLDPILGTGNTAVKAISLLTRKGVPESNIIFLNLISAPQGVHVVCKSFPRVKIVTSEIDIGLNEGFRVIPGMGEFGDRYFGTDDDDLQVVPPSQ >KJB81519 pep chromosome:Graimondii2_0_v6:13:40986926:40992001:-1 gene:B456_013G150100 transcript:KJB81519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSVEDMIEGLSGFHFSGFHMDGSKLNNIEKPTTTLAIENVHKQPFIIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHKLTEEELATVHEYNFDHPDSFDTEKLLDSMENLRHGQAVDIPNYDFKSYKNSVFPARRVNPADVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKGRDIGVVLDQYSKFVKSAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGEDNGQQLIYEKLPQDISERHVLLLDPILGTGNTAVKAISLLTRKGVPESNIIFLNLISAPQGVHVVCKSFPRVKIVTSEIDIGLNEGFRVIPGMGEFGDRYFGTDDDDLQVVPPSQ >KJB81521 pep chromosome:Graimondii2_0_v6:13:40986286:40993004:-1 gene:B456_013G150100 transcript:KJB81521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSVEDMIEGLSGFHFSGFHMDGSKLNNIEKPTTTLAIENVHKQPFIIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHKLTEEELATVHEYNFDHPDSFDTEKLLDSMENLRHGQAVDIPNYDFKSYKNSVFPARRVNPADVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKGRDIGVVLDQYSKFVKSAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQGLYILVWISVRGYAVYQLLEVVRVWRMLCEHVAKGSRLARFLFIGKVRTTVSSLFMKNYHKTSQKGMCCYWILFSARAIQLSKLFHYLQERVYRNPTSYFSISYPHLRVYMWCAKASQE >KJB82030 pep chromosome:Graimondii2_0_v6:13:45974384:45975613:-1 gene:B456_013G172800 transcript:KJB82030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESNHQAATSSRLGLKHSGGEIVEVQGGHIVRSIGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDELAELPPWNPQTLTTTTSTTKQNNQQNQNIITAVDNEKPRRTATLMGNQVQILQQQGTGDNPNSNSGFLPSSLVSDEIADTMKSSFPLGASSEAPSSSIQFQNYPPDFLSKTSSHSQDLRLSLQSFPEPVLLHHHHQAAAAQAHQTESVLFSAGTSPLAGFDGSSAGWENHHHHPAEVGRLQRLVAWNNGAAAADTGSGGGGGMGGFLFGNLSAPPLSPAFGQNGQFFFQRGPLQSSNTPLVRAWIDQPIPTTDEYHHHHHQIPQNIHHQPALSGIEFTTSGVFSGFRVPARFQGAQEDQDSIANKLSSASSDSHH >KJB82029 pep chromosome:Graimondii2_0_v6:13:45973805:45976133:-1 gene:B456_013G172800 transcript:KJB82029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESNHQAATSSRLGLKHSGGEIVEVQGGHIVRSIGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDELAELPPWNPQTLTTTTSTTKQNNQQNQNIITAVDNEKPRRTATLMGNQVQILQQQGTGDNPNSNSGFLPSSLVSDEIADTMKSSFPLGASSEAPSSSIQFQNYPPDFLSKTSSHSQDLRLSLQSFPEPVLLHHHHQAAAAQAHQTESVLFSAGTSPLAGFDGSSAGWENHHHHPAEVGRLQRLVAWNNGAAAADTGSGGGGGMGGFLFGNLSAPPLSPAFGQNGQFFFQRGPLQSSNTPLVRAWIDQPIPTTDEYHHHHHQIPQNIHHQPALSGIEFTTSGVFSGFRVPARFQGAQEDQDSIANKLSSASSDSHH >KJB81016 pep chromosome:Graimondii2_0_v6:13:32584965:32587839:-1 gene:B456_013G125400 transcript:KJB81016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGSQIHPMIFLTFLFISLQRSHILVAEGLLLDKAVDFRVHGLQQLFFMDETVGNNNQVENVSGQDDKFTKRRVPLTGVANCFPPSPSPNIRAEASVPAPPKVAHFHPPPPQSTTRPPPQITHKGNADKRIVGRILVPLLVSAGAAFLACVLGLCCFCAKTSKNKRKGRIRGKSKYKSAQSSSSKVMLNPGSDLFYLDTLVDLEQQSICLKQSTETEKISSNYSTLNHGFDKMEESNKEVAILKSQNASSSSTREITPIHEDGQSERYDGNCSLSDKRIPMECPSSDDESFHSFVDPHSPPPPPPPPPPPPLPPVVGVRPSNSSLSATKARHKASSSSTLLNVTPARNSDSSTGSNVNPSDIPHSPQTPSNSLPSPPGIPLGKDGSPLPKLKPLHWDKVKAAPDRSMVWDKLRSSSFELDEETIESLFGYNIQNPIKNDETKSKTPSPSKHVLEPKRLQNITILLKALNVTPEQAYNALMKGNGLVLQQLEALVKMVPTKEEEAKLYSYNGDINELGYAEKFIKALLSIPFAFLRAEAMLFRETFEDEVIHLKNSFSMLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGATVFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEGIRVSDTDTITGKMNESNKSQTAEEKEEDYKRRGLDLVSGLSTELYHVKKTATIDLDVLVTSVSNLSNGKDKLKNLVMEELSRDEKNENFIRSMNSFLDYAENNIKEVQEDEHRVLLHVREIAEYFHGDVSKLEEANPLGIFLVVRDFLGMLDHVCKELRNSKTPSSPSPLAPFL >KJB83940 pep chromosome:Graimondii2_0_v6:13:54321548:54322586:-1 gene:B456_013G2230003 transcript:KJB83940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DMVKKEKLFASQGGNIILAQVENEYGNVMEPYGDEGKSYINWCAQMADSLDIGVPWIMCQQAAPPKPMLETCNGWYCDEYKPKDPNTPKLWTENWTGWFKSWGGADPFRTAEDLAYSVARFFQKGGTLQNYYMYHGGTNFGRTSGGPYITTTYDYNAPLDEYGNLNQPKWGHLKQLHDVLHSIEYILTNGDVKNEKLSNLVM >KJB79388 pep chromosome:Graimondii2_0_v6:13:4158785:4162710:-1 gene:B456_013G047000 transcript:KJB79388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNMKIFHCFFIFLLLPLLLLPSLALNNDGFLLLSFKYSILSDPLSVLQSWNYDEETPCQWYGVTCNEIGMVTSLVLPNSQLLGSISEDIGHIQHLHHLDLSSNFFNGTLPSSIFNSTELQVLSLGGNVISGELPETIAGMASLQILNLSDNALAGKIPQNLTLLQNLTVVSLRGNYFSGDVPSGFDPVELLDLSSNLLNGTLPLDFGGGNLGLLNLSYNKISGSISPEFADKVPHNATIDLSFNNLTGAIPESLVNQKMDSFYGNVDLCGKPLKNPCSIPSTLSTPPNMSQSISPAIAVIPKPIDSTPGEPNNNVQTQARRSLNPGTIAAIAVADLAGLSIITMVILYVYQLKKRNGFHTQSTTSNLEKKPDVNPVSTLMPSSCSSCMKIKLVETSETASSDSELEEKNQDFNVSPAEAYQKGGKLVIVNEGNEQLELETLLKASAYVLGTSGSSIVYKAVLENGTAFAVRRIGDSSVARLKDFESQVRGIAKLKHPNLVKLVGFYWGNDEKLVIYDFVSNGSLGCSSYRRLPGPSSSSPCRLTLEGRLKIAKGVGRGLAYIHEKKQVHGNIKPTNILLNSNMEPLISDLGLDRLVLARSTASRDGPLDPYQAPESLNNLKPSPKWDVYSFGMILLELLSGRVLSGRELGQWAVPAGSVGEEKNRAVRLADVAIRGEVESREEAVLGCFRLGFSCASYVPHKRPSMKEAVRILDKMS >KJB79389 pep chromosome:Graimondii2_0_v6:13:4160071:4162710:-1 gene:B456_013G047000 transcript:KJB79389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNMKIFHCFFIFLLLPLLLLPSLALNNDGFLLLSFKYSILSDPLSVLQSWNYDEETPCQWYGVTCNEIGMVTSLVLPNSQLLGSISEDIGHIQHLHHLDLSSNFFNGTLPSSIFNSTELQVLSLGGNVISGELPETIAGMASLQILNLSDNALAGKIPQNLTLLQNLTVVSLRGNYFSGDVPSGFDPVELLDLSSNLLNGTLPLDFGGGNLGLLNLSYNKISGSISPEFADKVPHNATIDLSFNNLTGAIPESLVNQKMDSFYGNVDLCGKPLKNPCSIPSTLSTPPNMSQSISPAIAVIPKPIDSTPGEPNNNVQTQARRSLNPGTIAAIAVADLAGLSIITMVILYVYQLKKRNGFHTQSTTSNLEKKPDVNPVSTLMPSSCSSCMKIKLVETSETASSDSELEEKNQDFNVSPAEAYQKGGKLVIVNEGNEQLELETLLKASAYVLGTSGSSIVYKAVLENGTAFAVRRIGDSSVARLKDFESQVRGIAKLKHPNLVKLVGFYWGNDEKLVIYDFVSNGSLGCSSYRPLDNEV >KJB82164 pep chromosome:Graimondii2_0_v6:13:47266234:47270279:-1 gene:B456_013G179100 transcript:KJB82164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGVRGNHLHNNNQKVTEVGKGNSLQLNGYRRSPQDSRISSFNFRNIDQRCAILTFPTLESDGQWRIVALPLQYFDHTNRFGTGTQVNMNGLHLLSSPSINSFKLDGRDTQKGPQPDHIPYAAMPFRTRSLPGSNVQQQFRNKPLPNNTTQLSYTSSPHSSNDSSAVTSKGSNATMFIDCPKEDKSAKRNSQKKGRKKGKHKKKHLCDVDSMESEVCAEYTSGSSTSEICESSSGVVTSSQSQNICRGDIDEVETSESIAPSQAHKFSGEHHTDSSEIGSEDQQLSRCQGDIKRRHPSHHKVFSDMHDSLVLDSISVGSNSEEGISGGHIVNPFNDYNHEISQLEVSGSSTNKGSLYRENSSCSISRTCDYTEETKHGMARKSFDGRKVAPSKKDKQFKSVPGKLGSTWNLHTRTGVENGYSVWQRVQKNSVEKCNTELKKTSPVCSQFDVALKDAPLQKRNCNNASSLTTLPITNDKRKLKNKVPKKLKRKVSPSPKQESGIYSRKESLPNKVNLNAYAKTSIPKGGIFDDLSLMDDNGVIKNHSRSSSQPGYARVDSLKSESVSDFEVGLSSMEPCENVCDAASGFNIQDSIEKNSHVPSDQSTLVEAETPVYLPHLMVNGVARTEKSSVAENGKQSHNLGSVLWKWIPIGIKDCGFTSSRSANSSFEHGNGLGPDVEDRTSKNNSFEEKFASCSKNLSPSMNVGRMNSSIRNKTKEENLSAGANDLNKIAKALNDGYKAQMASEAVQMTSGGPIAEFERLLHFCSPVICHSYSSVRCQHCLLDKVPTALLCRHETPNIPLGCLWPWYEKHGSYGLEIKAEDYENPKRLGIDQVEFRAYFVPFLSAVQLFRNTKTYSTPRGSEACDTDPNDQSQVNDTKSVDMELVFEYFESEQPHQRRALYETIQQLVNDDVSPRCKMYGDPVHLNSINMLDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLSHFVRRSSKFDYASTVDACIVSPVVGLKSYNAQGECWFRPRHATTNITYENLGLSPSRILKERSRTLEETASLMARAVVIKGNRTSVNRHPDYEFFVSRQR >KJB82168 pep chromosome:Graimondii2_0_v6:13:47265957:47270930:-1 gene:B456_013G179100 transcript:KJB82168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEDHPRISSFNFRNIDQRCAILTFPTLESDGQWRIVALPLQYFDHTNRFGTGTQVNMNGLHLLSSPSINSFKLDGRDTQKGPQPDHIPYAAMPFRTRSLPGSNVQQQFRNKPLPNNTTQLSYTSSPHSSNDSSAVTSKGSNATMFIDCPKEDKSAKRNSQKKGRKKGKHKKKHLCDVDSMESEVCAEYTSGSSTSEICESSSGVVTSSQSQNICRGDIDEVETSESIAPSQAHKFSGEHHTDSSEIGSEDQQLSRCQGDIKRRHPSHHKVFSDMHDSLVLDSISVGSNSEEGISGGHIVNPFNDYNHEISQLEVSGSSTNKGSLYRENSSCSISRTCDYTEETKHGMARKSFDGRKVAPSKKDKQFKSVPGKLGSTWNLHTRTGVENGYSVWQRVQKNSVEKCNTELKKTSPVCSQFDVALKDAPLQKRNCNNASSLTTLPITNDKRKLKNKVPKKLKRKVSPSPKQESGIYSRKESLPNKVNLNAYAKTSIPKGGIFDDLSLMDDNGVIKNHSRSSSQPGYARVDSLKSESVSDFEVGLSSMEPCENVCDAASGFNIQDSIEKNSHVPSDQSTLVEAETPVYLPHLMVNGVARTEKSSVAENGKQSHNLGSVLWKWIPIGIKDCGFTSSRSANSSFEHGNGLGPDVEDRTSKNNSFEEKFASCSKNLSPSMNVGRMNSSIRNKTKEENLSAGANDLNKIAKALNDGYKAQMASEAVQMTSGGPIAEFERLLHFCSPVICHSYSSVRCQHCLLDKVPTALLCRHETPNIPLGCLWPWYEKHGSYGLEIKAEDYENPKRLGIDQVEFRAYFVPFLSAVQLFRNTKTYSTPRGSEACDTDPNDQSQVNDTKSVDMELVFEYFESEQPHQRRALYETIQQLVNDDVSPRCKMYGDPVHLNSINMLDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLSHFVRRSSKFDYASTVDACIVSPVVGLKSYNAQGECWFRPRHATTNITYENLGLSPSRILKERSRTLEETASLMARAVVIKGNRTSVNRHPDYEFFVSRQR >KJB82167 pep chromosome:Graimondii2_0_v6:13:47266234:47270279:-1 gene:B456_013G179100 transcript:KJB82167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGVRGNHLHNNNQKVTEVGKGNSLQLNGYRRSPQDSRISSFNFRNIDQRCAILTFPTLESDGQWRIVALPLQYFDHTNRFGTGTQVNMNGLHLLSSPSINSFKLDGRDTQKGPQPDHIPYAAMPFRTRSLPGSNVQQQFRNKPLPNNTTQLSYTSSPHSSNDSSAVTSKGSNATMFIDCPKEDKSAKRNSQKKGRKKGKHKKKHLCDVDSMESEVCAEYTSGSSTSEICESSSGVVTSSQSQNICRGDIDEVETSESIAPSQAHKFSGEHHTDSSEIGSEDQQLSRCQGDIKRRHPSHHKVFSDMHDSLVLDSISVGSNSEEGISGGHIVNPFNDYNHEISQLEVSGSSTNKGSLYRENSSCSISRTCDYTEETKHGMARKSFDGRKVAPSKKDKQFKSVPGKLGSTWNLHTRTGVENGYSVWQRVQKNSVEKCNTELKKTSPVCSQFDVALKDAPLQKRNCNNASSLTTLPITNDKRKLKNKVPKKLKRKVSPSPKQESGIYSRKESLPNKVNLNAYAKTSIPKGGIFDDLSLMDDNGVIKNHSRSSSQPGYARVDSLKSESVSDFEVGLSSMEPCENVCDAASGFNIQDSIEKNSHVPSDQSTLVEAETPVYLPHLMVNGVARTEKSSVAENGKQSHNLGSVLWKWIPIGIKDCGFTSSRSANSSFEHGNGLGPDVEDRTSKNNSFEEKFASCSKNLSPSMNVGRMNSSIRNKTKEENLSAGANDLNKIAKALNDGYKAQMASEAVQMTSGGPIAEFERLLHFCSPVICHSYSSVRCQHCLLDKVPTALLCRHETPNIPLGCLWPWYEKHGSYGLEIKAEDYENPKRLGIDQVEFRAYFVPFLSAVQLFRNTKTYSTPRGSEACDTDPNDQSQVNDTKSVDMELVFEYFESEQPHQRRALYETIQQLVNDDVSPRCKMYGDPVHLNSINMLDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLSHFVRRSSKFDYASTVDACIVSPVVGLKSYNAQGECWFRPRHATTNITYENLGLSPSRILKERSRTLEETASLMARAVVIKGNRTSVNRHPDYEFFVSRQR >KJB82165 pep chromosome:Graimondii2_0_v6:13:47266234:47270279:-1 gene:B456_013G179100 transcript:KJB82165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGVRGNHLHNNNQKVTEVGKGNSLQLNGYRRSPQDSRISSFNFRNIDQRCAILTFPTLESDGQWRIVALPLQYFDHTNRFGTGTQVNMNGLHLLSSPSINSFKLDGRDTQKGPQPDHIPYAAMPFRTRSLPGSNVQQQFRNKPLPNNTTQLSYTSSPHSSNDSSAVTSKGSNATMFIDCPKEDKSAKRNSQKKGRKKGKHKKKHLCDVDSMESEVCAEYTSGSSTSEICESSSGVVTSSQSQNICRGDIDEVETSESIAPSQAHKFSGEHHTDSSEIGSEDQQLSRCQGDIKRRHPSHHKVFSDMHDSLVLDSISVGSNSEEGISGGHIVNPFNDYNHEISQLEVSGSSTNKGSLYRENSSCSISRTCDYTEETKHGMARKSFDGRKVAPSKKDKQFKSVPGKLGSTWNLHTRTGVENGYSVWQRVQKNSVEKCNTELKKTSPVCSQFDVALKDAPLQKRNCNNASSLTTLPITNDKRKLKNKVPKKLKRKVSPSPKQESGIYSRKESLPNKVNLNAYAKTSIPKGGIFDDLSLMDDNGVIKNHSRSSSQPGYARVDSLKSESVSDFEVGLSSMEPCENVCDAASGFNIQDSIEKNSHVPSDQSTLVEAETPVYLPHLMVNGVARTEKSSVAENGKQSHNLGSVLWKWIPIGIKDCGFTSSRSANSSFEHGNGLGPDVEDRTSKNNSFEEKFASCSKNLSPSMNVGRMNSSIRNKTKEENLSAGANDLNKIAKALNDGYKAQMASEAVQMTSGGPIAEFERLLHFCSPVICHSYSSVRCQHCLLDKVPTALLCRHETPNIPLGCLWPWYEKHGSYGLEIKAEDYENPKRLGIDQVEFRAYFVPFLSAVQLFRNTKTYSTPRGSEACDTDPNDQSQVNDTKSVDMELVFEYFESEQPHQRRALYETIQQLVNDDVSPRCKMYGDPVHLNSINMLDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLSHFVRRSSKFDYASTVDACIVSPVVGLKSYNAQGECWFRPRHATTNITYENLGLSPSRILKERSRTLEETASLMARAVVIKGNRTSVNRHPDYEFFVSRQR >KJB82161 pep chromosome:Graimondii2_0_v6:13:47266234:47270279:-1 gene:B456_013G179100 transcript:KJB82161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGVRGNHLHNNNQKVTEVGKGNSLQLNGYRRSPQDSRISSFNFRNIDQRCAILTFPTLESDGQWRIVALPLQYFDHTNRFGTGTQVNMNGLHLLSSPSINSFKLDGRDTQKGPQPDHIPYAAMPFRTRSLPGSNVQQQFRNKPLPNNTTQLSYTSSPHSSNDSSAVTSKGSNATMFIDCPKEDKSAKRNSQKKGRKKGKHKKKHLCDVDSMESEVCAEYTSGSSTSEICESSSGVVTSSQSQNICRGDIDEVETSESIAPSQAHKFSGEHHTDSSEIGSEDQQLSRCQGDIKRRHPSHHKVFSDMHDSLVLDSISVGSNSEEGISGGHIVNPFNDYNHEISQLEVSGSSTNKGSLYRENSSCSISRTCDYTEETKHGMARKSFDGRKVAPSKKDKQFKSVPGKLGSTWNLHTRTGVENGYSVWQRVQKNSVEKCNTELKKTSPVCSQFDVALKDAPLQKRNCNNASSLTTLPITNDKRKLKNKVPKKLKRKVSPSPKQESGIYSRKESLPNKVNLNAYAKTSIPKGGIFDDLSLMDDNGVIKNHSRSSSQPGYARVDSLKSESVSDFEVGLSSMEPCENVCDAASGFNIQDSIEKNSHVPSDQSTLVEAETPVYLPHLMVNGVARTEKSSVAENGKQSHNLGSVLWKWIPIGIKDCGFTSSRSANSSFEHGNGLGPDVEDRTSKNNSFEEKFASCSKNLSPSMNVGRMNSSIRNKTKEENLSAGANDLNKIAKALNDGYKAQMASEAVQMTSGGPIAEFERLLHFCSPVICHSYSSVRCQHCLLDKVPTALLCRHETPNIPLGCLWPWYEKHGSYGLEIKAEDYENPKRLGIDQVEFRAYFVPFLSAVQLFRNTKTYSTPRGSEACDTDPNDQSQVNDTKSVDMELVFEYFESEQPHQRRALYETIQQLVNDDVSPRCKMYGDPVHLNSINMLDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLSHFVRRSSKFDYASTVDACIVSPVVGLKSYNAQGECWFRPRHATTNITYENLGLSPSRILKERSRTLEETASLMARAVVIKGNRTSVNRHPDYEFFVSRQR >KJB82162 pep chromosome:Graimondii2_0_v6:13:47265957:47270323:-1 gene:B456_013G179100 transcript:KJB82162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGVRGNHLHNNNQKVTEVGKGNSLQLNGYRRSPQDSRISSFNFRNIDQRCAILTFPTLESDGQWRIVALPLQYFDHTNRFGTGTQVNMNGLHLLSSPSINSFKLDGRDTQKGPQPDHIPYAAMPFRTRSLPGSNVQQQFRNKPLPNNTTQLSYTSSPHSSNDSSAVTSKGSNATMFIDCPKEDKSAKRNSQKKGRKKGKHKKKHLCDVDSMESEVCAEYTSGSSTSEICESSSGVVTSSQSQNICRGDIDEVETSESIAPSQAHKFSGEHHTDSSEIGSEDQQLSRCQGDIKRRHPSHHKVFSDMHDSLVLDSISVGSNSEEGISGGHIVNPFNDYNHEISQLEVSGSSTNKGSLYRENSSCSISRTCDYTEETKHGMARKSFDGRKVAPSKKDKQFKSVPGKLGSTWNLHTRTGVENGYSVWQRVQKNSVEKCNTELKKTSPVCSQFDVALKDAPLQKRNCNNASSLTTLPITNDKRKLKNKVPKKLKRKVSPSPKQESGIYSRKESLPNKVNLNAYAKTSIPKGGIFDDLSLMDDNGVIKNHSRSSSQPGYARVDSLKSESVSDFEVGLSSMEPCENVCDAASGFNIQDSIEKNSHVPSDQSTLVEAETPVYLPHLMVNGVARTEKSSVAENGKQSHNLGSVLWKWIPIGIKDCGFTSSRSANSSFEHGNGLGPDVEDRTSKNNSFEEKFASCSKNLSPSMNVGRMNSSIRNKTKEENLSAGANDLNKIAKALNDGYKAQMASEAVQMTSGGPIAEFERLLHFCSPVICHSYSSVRCQHCLLDKVPTALLCRHETPNIPLGCLWPWYEKHGSYGLEIKAEDYENPKRLGIDQVEFRAYFVPFLSAVQLFRNTKTYSTPRGSEACDTDPNDQSQVNDTKSVDMELVFEYFESEQPHQRRALYETIQQLVNDDVSPRCKMYSVAWYPIYRIPDGNFRAAFLTYHSLSHFVRRSSKFDYASTVDACIVSPVVGLKSYNAQGECWFRPRHATTNITYENLGLSPSRILKERSRTLEETASLMARAVVIKGNRTSVNRHPDYEFFVSRQR >KJB82166 pep chromosome:Graimondii2_0_v6:13:47265957:47270930:-1 gene:B456_013G179100 transcript:KJB82166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEDHPRISSFNFRNIDQRCAILTFPTLESDGQWRIVALPLQYFDHTNRFGTGTQVNMNGLHLLSSPSINSFKLDGRDTQKGPQPDHIPYAAMPFRTRSLPGSNVQQQFRNKPLPNNTTQLSYTSSPHSSNDSSAVTSKGSNATMFIDCPKEDKSAKRNSQKKGRKKGKHKKKHLCDVDSMESEVCAEYTSGSSTSEICESSSGVVTSSQSQNICRGDIDEVETSESIAPSQAHKFSGEHHTDSSEIGSEDQQLSRCQGDIKRRHPSHHKVFSDMHDSLVLDSISVGSNSEEGISGGHIVNPFNDYNHEISQLEVSGSSTNKGSLYRENSSCSISRTCDYTEETKHGMARKSFDGRKVAPSKKDKQFKSVPGKLGSTWNLHTRTGVENGYSVWQRVQKNSVEKCNTELKKTSPVCSQFDVALKDAPLQKRNCNNASSLTTLPITNDKRKLKNKVPKKLKRKVSPSPKQESGIYSRKESLPNKVNLNAYAKTSIPKGGIFDDLSLMDDNGVIKNHSRSSSQPGYARVDSLKSESVSDFEVGLSSMEPCENVCDAASGFNIQDSIEKNSHVPSDQSTLVEAETPVYLPHLMVNGVARTEKSSVAENGKQSHNLGSVLWKWIPIGIKDCGFTSSRSANSSFEHGNGLGPDVEDRTSKNNSFEEKFASCSKNLSPSMNVGRMNSSIRNKTKEENLSAGANDLNKIAKALNDGYKAQMASEAVQMTSGGPIAEFERLLHFCSPVICHSYSSVRCQHCLLDKVPTALLCRHETPNIPLGCLWPWYEKHGSYGLEIKAEDYENPKRLGIDQVEFRAYFVPFLSAVQLFRNTKTYSTPRGSEACDTDPNDQSQVNDTKSVDMELVFEYFESEQPHQRRALYETIQQLVNDDVSPRCKMYGDPVHLNSINMLDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLSHFVRRSSKFDYASTVDACIVSPVVGLKSYNAQGECWFRPRHATTNITYENLGLSPSRILKERSRTLEETASLMARAVVIKGNRTSVNRHPDYEFFVSRQR >KJB82163 pep chromosome:Graimondii2_0_v6:13:47265957:47270915:-1 gene:B456_013G179100 transcript:KJB82163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEDHPRISSFNFRNIDQRCAILTFPTLESDGQWRIVALPLQYFDHTNRFGTGTQVNMNGLHLLSSPSINSFKLDGRDTQKGPQPDHIPYAAMPFRTRSLPGSNVQQQFRNKPLPNNTTQLSYTSSPHSSNDSSAVTSKGSNATMFIDCPKEDKSAKRNSQKKGRKKGKHKKKHLCDVDSMESEVCAEYTSGSSTSEICESSSGVVTSSQSQNICRGDIDEVETSESIAPSQAHKFSGEHHTDSSEIGSEDQQLSRCQGDIKRRHPSHHKVFSDMHDSLVLDSISVGSNSEEGISGGHIVNPFNDYNHEISQLEVSGSSTNKGSLYRENSSCSISRTCDYTEETKHGMARKSFDGRKVAPSKKDKQFKSVPGKLGSTWNLHTRTGVENGYSVWQRVQKNSVEKCNTELKKTSPVCSQFDVALKDAPLQKRNCNNASSLTTLPITNDKRKLKNKVPKKLKRKVSPSPKQESGIYSRKESLPNKVNLNAYAKTSIPKGGIFDDLSLMDDNGVIKNHSRSSSQPGYARVDSLKSESVSDFEVGLSSMEPCENVCDAASGFNIQDSIEKNSHVPSDQSTLVEAETPVYLPHLMVNGVARTEKSSVAENGKQSHNLGSVLWKWIPIGIKDCGFTSSRSANSSFEHGNGLGPDVEDRTSKNNSFEEKFASCSKNLSPSMNVGRMNSSIRNKTKEENLSAGANDLNKIAKALNDGYKAQMASEAVQMTSGGPIAEFERLLHFCSPVICHSYSSVRCQHCLLDKVPTALLCRHETPNIPLGCLWPWYEKHGSYGLEIKAEDYENPKRLGIDQVEFRAYFVPFLSAVQLFRNTKTYSTPRGSEACDTDPNDQSQVNDTKSVDMELVFEYFESEQPHQRRALYETIQQLVNDDVSPRCKMYGDPVHLNSINMLDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLSHFVRRSSKFDYASTVDACIVSPVVGLKSYNAQGECWFRPRHATTNITYENLGLSPSRILKERSRTLEETASLMARAVVIKGNRTSVNRHPDYEFFVSRQR >KJB81878 pep chromosome:Graimondii2_0_v6:13:44934037:44938245:1 gene:B456_013G166200 transcript:KJB81878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) UniProtKB/TrEMBL;Acc:F4K0A8] MTLVLNHSVTSSFSNFFKISSLKNHPRTCYGKYTMTINKPTGGQDLCNDFESLKFTALVNTIAVANLVAIDSAKALSEDNILEGAASLYTLADGSSGDWFGGLLYSAGQQANEAVQGQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKGRTEVIANSMAFSLGLATTLALLGIGASFAGKAYGQIGQGLPLAASGLAVIMGLNLLEVIELQLPSFFSNFDPRTAAANLPSGVQAYLAGLTFALAASPCSTPVLATLLGYVATSKDPVIGGSLLLTYTTGYVAPLLLAASFAGALQNLLSFRKFSAWINPVSGALLLGGGVYTFLDRLFPATTMAM >KJB81881 pep chromosome:Graimondii2_0_v6:13:44934131:44938086:1 gene:B456_013G166200 transcript:KJB81881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) UniProtKB/TrEMBL;Acc:F4K0A8] MTLVLNHSVTSSFSNFFKISSLKNHPRTCYGKYTMTINKPTGGQDLCNDFESLKFTALVNTIAVANLVAIDSAKALSEDNILEGAASLYTLADGSSGDWFGGLLYSAGQQANEAVQGQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKGRTEVIANSMAFSLGLATTLALLGIGASFAGKAYGQIGQGLPLAASGLAVIMGLNLLEVIELQLPSFFSNFDPRTAAANLPSGVQAYLAGLTFALAASPCSTPVLATLLGYVATSKDPVIGGSLLLTYTTGYVAPLLLAASFAGALQEILSMDQPGEWCASTRWGCIYFLG >KJB81882 pep chromosome:Graimondii2_0_v6:13:44934131:44938737:1 gene:B456_013G166200 transcript:KJB81882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) UniProtKB/TrEMBL;Acc:F4K0A8] MTLVLNHSVTSSFSNFFKISSLKNHPRTCYGKYTMTINKPTGGQDLCNDFESLKFTALVNTIAVANLVAIDSAKALSEDNILEGAASLYTLADGSSGDWFGGLLYSAGQQANEAVQGQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKGRTEVIANSMAFSLGLATTLALLGIGASFAGKAYGQIGQGLPLAASGLAVIMGLNLLEVIELQLPSFFSNFDPRTAAANLPSGVQAYLAGLTFALAASPCSTPVLATLLGYVATSKDPVIGGSLLLTYTTGYVAPLLLAASFAGALQNLLSFRKFSAWINPVSGALLLGGGVYTFLDRLFPATTMAM >KJB81879 pep chromosome:Graimondii2_0_v6:13:44934131:44936772:1 gene:B456_013G166200 transcript:KJB81879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) UniProtKB/TrEMBL;Acc:F4K0A8] MTLVLNHSVTSSFSNFFKISSLKNHPRTCYGKYTMTINKPTGGQDLCNDFESLKFTALVNTIAVANLVAIDSAKALSEDNILEGAASLYTLADGSSGDWFGGLLYSAGQQANEAVQGQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKGRTEVIANSMAFSLGLATTLALLGIGASFAGKAYGQIGQGLPLAASGLAVIMGLNLLEVLNQTTNVVIWFMQFFPG >KJB81880 pep chromosome:Graimondii2_0_v6:13:44934131:44938086:1 gene:B456_013G166200 transcript:KJB81880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) UniProtKB/TrEMBL;Acc:F4K0A8] MTLVLNHSVTSSFSNFFKISSLKNHPRTCYGKYTMTINKPTGGQDLCNDFESLKFTALVNTIAVANLVAIDSAKALSEDNILEGAASLYTLADGSSGDWFGGLLYSAGQQANEAVQGQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKGRTEVIANSMAFSLGLATTLALLGIGASFAGKAYGQIGQGLPLAASGLAVIMGLNLLEVIELQLPSFFSNFDPRTAAANLPSGVQAYLAGLTFALAASPCSTPVLATLLGYVATSK >KJB81468 pep chromosome:Graimondii2_0_v6:13:40419881:40428781:1 gene:B456_013G147100 transcript:KJB81468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPTKIKVMNPIVEMDGDEMTRIIWKSIKEKLIFPFLELDIKYFDLGLHNRDATNDEVTIASAEATLKYNVAIKCATITPDEGRVKEFNLKKMWKSPNGTIRNILNGTVFREPIICKNVPRLVPSWTKPICIGRHAFGDQYRATDLVVQESGKLLLVFVPDTSNEKKELEVFNFKGAGGVALSMYNTDESIRAFAEASMTTAYQKKWPLYLSTKNTILKSYDGRFKDIFHEVYETQWKSKFEAEGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLMCPDGKTIEAEAAHGTVTRHYRVHKTGGETSTNSIASIFAWSRGLAHRARLDGNDRLLDFTQKLEAACVGTVESGKMTKDLALLIHGPKVSRSQYLNTEEFIEAVAKELSNRMYVKPKL >KJB81472 pep chromosome:Graimondii2_0_v6:13:40420022:40428619:1 gene:B456_013G147100 transcript:KJB81472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPTKIKVMNPIVEMDGDEMTRIIWKSIKEKLIFPFLELDIKYFDLGLHNRDATNDEVTIASAEATLKYNVAIKCATITPDEGRVKEFNLKKMWKSPNGTIRNILNGTVFREPIICKNVPRLVPSWTKPICIGRHAFGDQYRATDLVVQESGKLLLVFVPDTSNEKKELEVFNFKGAGGVALSMYNTDESIRAFAEASMTTAYQKKWPLYLSTKNTILKSYDGRFKDIFHEVYETQWKSKFEAEGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLMERLLKLKQPMAQLPATTVFIKQEVKLALTA >KJB81470 pep chromosome:Graimondii2_0_v6:13:40420022:40422585:1 gene:B456_013G147100 transcript:KJB81470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPTKIKVMNPIVEMDGDEMTRIIWKSIKEKLIFPFLELDIKYFDLGLHNRDATNDEVTIASAEATLKYNVAIKCATITPDEGRVKEFNLKKMWKSPNGTIRNILNGTVFREPIICKNVPRLVPSWTKPICIGRHAFGDQYRATDLVVQESGKLLLVFVPDTSNEKKELEVFNFKGAGGVALSMYNTDESIRAFAEASMTTAYQKKWPLYLSTKNTILKSYDGRFKDIFHEVYETQWKSKFEAEGMSTGLLMIWLLML >KJB81473 pep chromosome:Graimondii2_0_v6:13:40420022:40428619:1 gene:B456_013G147100 transcript:KJB81473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPTKIKVMNPIVEMDGDEMTRIIWKSIKEKLIFPFLELDIKYFDLGLHNRDATNDEVTIASAEATLKYNVAIKCATITPDEGRVKEFNLKKMWKSPNGTIRNILNGTVFREPIICKNVPRLVPSWTKPICIGRHAFGDQYRATDLVVQESGKLLLVFVPDTSNEKKELEVFNFKGAGGVALSMYNTDESIRAFAEASMTTAYQKKWPLYLSTKNTILKSYDGRFKDIFHEVYETQWKSKFEAEGFGSLGLMTSVLMCPDGKTIEAEAAHGTVTRHYRVHKTGGETSTNSIASIFAWSRGLAHRARLDGNDRLLDFTQKLEAACVGTVESGKMTKDLALLIHGPKVSRSQYLNTEEFIEAVAKELSNRMYVKPKL >KJB81471 pep chromosome:Graimondii2_0_v6:13:40420022:40422708:1 gene:B456_013G147100 transcript:KJB81471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPTKIKVMNPIVEMDGDEMTRIIWKSIKEKLIFPFLELDIKYFDLGLHNRDATNDEVTIASAEATLKYNVAIKCATITPDEGRVKEFNLKKMWKSPNGTIRNILNGTVFREPIICKNVPRLVPSWTKPICIGRHAFGDQYRATDLVVQESGKLLLVFVPDTSNEKKELEVFNFKGAGGVALSMYNTDESIRAFAEASMTTAYQKKWPLYLSTKNTILKSYDGRFKDIFHEVYETQWKSKFEAEGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGLS >KJB81469 pep chromosome:Graimondii2_0_v6:13:40419228:40428619:1 gene:B456_013G147100 transcript:KJB81469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPTKIKVMNPIVEMDGDEMTRIIWKSIKEKLIFPFLELDIKYFDLGLHNRDATNDEVTIASAEATLKYNVAIKCATITPDEGRVKEFNLKKMWKSPNGTIRNILNGTVFREPIICKNVPRLVPSWTKPICIGRHAFGDQYRATDLVVQESGKLLLVFVPDTSNEKKELEVFNFKGAGGVALSMYNTDESIRAFAEASMTTAYQKKWPLYLSTKNTILKSYDGRFKDIFHEVYETQWKSKFEAEGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLMCPDGKTIEAEAAHGTVTRHYRVHKTGGETSTNSIASIFAWSRGLAHRARLDGNDRLLDFTQKLEAACVGTVESGKMTKDLALLIHGPKVSRSQYLNTEEFIEAVAKELSNRMYVKPKL >KJB83574 pep chromosome:Graimondii2_0_v6:13:57084416:57090582:1 gene:B456_013G253600 transcript:KJB83574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVQIDKMSAPSSRERAQRLYEKNIELEKNRRRSAQARVPSDPNAWQQMRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHYSAAGSNTSQGVKVPPRPDRLTKIRLQFKTFLSEATGFYHDLILKIRAKYGLPFGYFSDDSESQIVMDKDGKKSTDIKKGLVSCHRCLIYLGDLARYKGLYGDGDSKSREYAAASSYYLQAASIWSSSGNPHHQLAILASYSGDELGAVYRYFRSLAVDNPFSTARDNLIVAFEKNRHNYSQLPGDVKAPLVKEPAVRLSGKDRGKAEAKLASKETNMESNAAREKVSGVQDAFKSFCIRFVRLNGILFTRTSLETFADVLSLVSHDLCELLSSGPEEELNFGTDAAENALLLVRMVSILVFTVYNLKRESDGQTYAEIVQRTALLQNAFVAVFELMRHVVERCSQLRDVSSSYTLPAILVFLEWLACCPDVAVAGSDVDEKQSMTRSLFWKHCISFLNKILSIRTMGIGDDEDETCFFNMSRYEGETENCLALSEDFELRGFLPLVPAHTILDFSRKRSFISEGDKEKKARVKRILAAGKALANVIRVDQKNVCFDSKAKKFLIGAEPSEDVTFSTSTSLATNGVGHETPSEKSVNIGNVQPIPQPRMVEEEDDDDEVIVFQPAVSEKRTEVVGPNWPPSEALKHDRSSAPGDVKFYGSTMSGPPASLHQHNTFDVSSPLPVSVGSIAPQLQQPVHVQSSGWSVEEATSLANSLKGLTMLENGHLTKLGLQDNASLSHPTARSVGFQKLPISASAGDMYYSQMKVPDTVLPSRIDAIVPSGVTADALAAKTSSALQVGMRKNPVSRPVRHLGPPPGFSHVPSKPQSESVSASHLENPLMDDYSWLDGYQLTPSLKSSGFDSSLNHASHADPNYVNNSSNGLNGMVSFPFPGKQGPTAQFQMEKQKGWQDYHTLEHLKNRHELKLQQQQLMNGNQQFTAQPEPYQGQSVWTGRYFV >KJB83575 pep chromosome:Graimondii2_0_v6:13:57084416:57090582:1 gene:B456_013G253600 transcript:KJB83575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVQIDKMSAPSSRERAQRLYEKNIELEKNRRRSAQARVPSDPNAWQQMRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHYSAAGSNTSQGVKVPPRPDRLTKIRLQFKTFLSEATGFYHDLILKIRAKYGLPFGYFSDDSESQIVMDKDGKKSTDIKKGLVSCHRCLIYLGDLARYKGLYGDGDSKSREYAAASSYYLQAASIWSSSGNPHHQLAILASYSGDELGAVYRYFRSLAVDNPFSTARDNLIVAFEKNRHNYSQLPGDVKAPLVKEPAVRLSGKDRGKAEAKLASKETNMESNAAREKVSGVQDAFKSFCIRFVRLNGILFTRTSLETFADVLSLVSHDLCELLSSGPEEELNFGTDAAENALLLVRMVSILVFTVYNLKRESDGQTYAEIVQRTALLQNAFVAVFELMRHVVERCSQLRDVSSSYTLPAILVFLEWLACCPDVAVAGSDVDEKQSMTRSLFWKHCISFLNKILSIRTMGIGDDEDETCFFNMSRYEGETENCLALSEDFELRGFLPLVPAHTILDFSRKRSFISEGDKEKKARVKRILAAGKALANVIRVDQKNVCFDSKAKKFLIGAEPSEDVTFSTSTSLATNGVGHETPSEKSVNIGNVQPIPQPRMVEEEDDDDEVIVFQPAVSEKRTEVVGPNWPPSEALKHDRSSAPGDVKFYGSTMSGPPASLHQHNTFDVSSPLPVSVGSIAPQLQQPVHVQSSGWSVEEATSLANSLKGLTMLENGHLTKLGLQDNASLSHPTARSVGFQKLPISASAGDMYYSQMKVPDTVLPSRIDAIVPSGVTADALAAKTSSALQVGMRKNPVSRPVRHLGPPPGFSHVPSKPQSESVSASHLENPLMDDYSWLDGYQLTPSLKSSGFDSSLNHASHADPNYVNNSSNGLNGMVSFPFPGKQGPTAQFQMEKQKGWQDYHTLEHLKNRHELKLQQQQLMNGNQQFTAQPEPYQGQSVWTGRYFV >KJB83570 pep chromosome:Graimondii2_0_v6:13:57084354:57090730:1 gene:B456_013G253600 transcript:KJB83570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSSRERAQRLYEKNIELEKNRRRSAQARVPSDPNAWQQMRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHYSAAGSNTSQGVKVPPRPDRLTKIRLQFKTFLSEATGFYHDLILKIRAKYGLPFGYFSDDSESQIVMDKDGKKSTDIKKGLVSCHRCLIYLGDLARYKGLYGDGDSKSREYAAASSYYLQAASIWSSSGNPHHQLAILASYSGDELGAVYRYFRSLAVDNPFSTARDNLIVAFEKNRHNYSQLPGDVKAPLVKEPAVRLSGKDRGKAEAKLASKETNMESNAAREKVSGVQDAFKSFCIRFVRLNGILFTRTSLETFADVLSLVSHDLCELLSSGPEEELNFGTDAAENALLLVRMVSILVFTVYNLKRESDGQTYAEIVQRTALLQNAFVAVFELMRHVVERCSQLRDVSSSYTLPAILVFLEWLACCPDVAVAGSDVDEKQSMTRSLFWKHCISFLNKILSIRTMGIGDDEDETCFFNMSRYEGETENCLALSEDFELRGFLPLVPAHTILDFSRKRSFISEGDKEKKARVKRILAAGKALANVIRVDQKNVCFDSKAKKFLIGAEPSEDVTFSTSTSLATNGVGHETPSEKSVNIGNVQPIPQPRMVEEEDDDDEVIVFQPAVSEKRTEVVGPNWPPSEALKHDRSSAPGDVKFYGSTMSGPPASLHQHNTFDVSSPLPVSVGSIAPQLQQPVHVQSSGWSVEEATSLANSLKGLTMLENGHLTKLGLQDNASLSHPTARSVGFQKLPISASAGDMYYSQMKVPDTVLPSRIDAIVPSGVTADALAAKTSSALQVGMRKNPVSRPVRHLGPPPGFSHVPSKPQSESVSASHLENPLMDDYSWLDGYQLTPSLKSSGFDSSLNHASHADPNYVNNSSNGLNGMVSFPFPGKQGPTAQFQMEKQKGWQDYHTLEHLKNRHELKLQQQQLMNGNQQFTAQPEPYQGQSVWTGRYFV >KJB83571 pep chromosome:Graimondii2_0_v6:13:57084416:57089798:1 gene:B456_013G253600 transcript:KJB83571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVQIDKMSAPSSRERAQRLYEKNIELEKNRRRSAQARVPSDPNAWQQMRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHYSAAGSNTSQGVKVPPRPDRLTKIRLQFKTFLSEATGFYHDLILKIRAKYGLPFGYFSDDSESQIVMDKDGKKSTDIKKGLVSCHRCLIYLGDLARYKGLYGDGDSKSREYAAASSYYLQAASIWSSSGNPHHQLAILASYSGDELGAVYRYFRSLAVDNPFSTARDNLIVAFEKNRHNYSQLPGDVKAPLVKEPAVRLSGKDRGKAEAKLASKETNMESNAAREKVSGVQDAFKSFCIRFVRLNGILFTRTSLETFADVLSLVSHDLCELLSSGPEEELNFGTDAAENALLLVRMVSILVFTVYNLKRESDGQTYAEIVQRTALLQNAFVAVFELMRHVVERCSQLRDVSSSYTLPAILVFLEWLACCPDVAVAGSDVDEKQSMTRSLFWKHCISFLNKILSIRTMGIGDDEDETCFFNMSRYEGETENCLALSEDFELRGFLPLVPAHTILDFSRKRSFISEGDKEKKARVKRILAAGKALANVIRVDQKNVCFDSKAKKFLIGAEPSEDVTFSTSTSLATNGVGHETPSEKSVNIGNVQPIPQPRMVEEEDDDDEVIVFQPAVSEKRTEVVGPNWPPSEALKHDRSSAPGDVKFYGSTMSGPPASLHQHNTFDVSSPLPVSVGSIAPQLQQPVHVQSSGWSVEEATSLANSLKGLTMLENGHLTKLGLQDNASLSHPTARSVGFQKLPISASAGDMYYSQMKVPDTVLPSRIDAIVPSGVTADALAAKTSSALQVGMRKNPVSRPVRHLGPPPGFSHVPSKPQSESVSASHLENPLMDDYSWLDGYQLTPSLKSSGFDSSLNHASHADPNYVNNSSNGLNGMVSFPFPGKQGPTAQFQMEKQKGWQDYHTLEHLKNRHELKLQQQQLMNGNQQFTAQPEPYQGQSVWTGRYFV >KJB83569 pep chromosome:Graimondii2_0_v6:13:57084203:57090603:1 gene:B456_013G253600 transcript:KJB83569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVQIDKMSAPSSRERAQRLYEKNIELEKNRRRSAQARVPSDPNAWQQMRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHYSAAGSNTSQGVKVPPRPDRLTKIRLQFKTFLSEATGFYHDLILKIRAKYGLPFGYFSDDSESQIVMDKDGKKSTDIKKGLVSCHRCLIYLGDLARYKGLYGDGDSKSREYAAASSYYLQAASIWSSSGNPHHQLAILASYSGDELGAVYRYFRSLAVDNPFSTARDNLIVAFEKNRHNYSQLPGDVKAPLVKEPAVRLSGKDRGKAEAKLASKETNMESNAAREKVSGVQDAFKSFCIRFVRLNGILFTRTSLETFADVLSLVSHDLCELLSSGPEEELNFGTDAAENALLLVRMVSILVFTVYNLKRESDGQTYAEIVQRTALLQNAFVAVFELMRHVVERCSQLRDVSSSYTLPAILVFLEWLACCPDVAVAGSDVDEKQSMTRSLFWKHCISFLNKILSIRTMGIGDDEDETCFFNMSRYEGETENCLALSEDFELRGFLPLVPAHTILDFSRKRSFISEGDKEKKARVKRILAAGKALANVIRVDQKNVCFDSKAKKFLIGAEPSEDVTFSTSTSLATNGVGHETPSEKSVNIGNVQPIPQPRMVEEEDDDDEVIVFQPAVSEKRTEVVGPNWPPSEALKHDRSSAPGDVKFYGSTMSGPPASLHQHNTFDVSSPLPVSVGSIAPQLQQPVHVQSSGWSVEEATSLANSLKGLTMLENGHLTKLGLQDNASLSHPTARSVGFQKLPISASAGDMYYSQMKVPDTVLPSRIDAIVPSGVTADALAAKTSSALQVGMRKNPVSRPVRHLGPPPGFSHVPSKPQSESVSASHLENPLMDDYSWLDGYQLTPSLKSSGFDSSLNHASHADPNYVNNSSNGLNGMVSFPFPGKQGPTAQFQMEKQKGWQDYHTLEHLKNRHELKLQQQQLMNGNQQFTAQPEPYQGQSVWTGRYFV >KJB83573 pep chromosome:Graimondii2_0_v6:13:57084416:57090582:1 gene:B456_013G253600 transcript:KJB83573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVQIDKMSAPSSRERAQRLYEKNIELEKNRRRSAQARVPSDPNAWQQMRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHYSAAGSNTSQGVKVPPRPDRLTKIRLQFKTFLSEATGFYHDLILKIRAKYGLPFGYFSDDSESQIVMDKDGKKSTDIKKGLVSCHRCLIYLGDLARYKGLYGDGDSKSREYAAASSYYLQAASIWSSSGNPHHQLAILASYSGDELGAVYRYFRSLAVDNPFSTARDNLIVAFEKNRHNYSQLPGDVKAPLVKEPAVRLSGKDRGKAEAKLASKETNMESNAAREKVSGVQDAFKSFCIRFVRLNGILFTRTSLETFADVLSLVSHDLCELLSSGPEEELNFGTDAAENALLLVRMVSILVFTVYNLKRESDGQTYAEIVQRTALLQNAFVAVFELMRHVVERCSQLRDVSSSYTLPAILVFLEWLACCPDVAVAGSDVDEKQSMTRSLFWKHCISFLNKILSIRTMGIGDDEDETCFFNMSRYEGETENCLALSEDFELRGFLPLVPAHTILDFSRKRSFISEGDKEKKARVKRILAAGKALANVIRVDQKNVCFDSKAKKFLIGAEPSEDVTFSTSTSLATNGVGHETPSEKSVNIGNVQPIPQPRMVEEEDDDDEVIVFQPAVSEKRTEVVGPNWPPSEALKHDRSSAPGDVKFYGSTMSGPPASLHQHNTFDVSSPLPVSVGSIAPQLQQPVHVQSSGWSVEEATSLANSLKGLTMLENGHLTKLGLQDNASLSHPTARSVGFQKLPISASAGDMYYSQMKVPDTVLPSRIDAIVPSGVTADALAAKTSSALQVGMRKNPVSRPVRHLGPPPGFSHVPSKPQSESVSASHLENPLMDDYSWLDGYQLTPSLKSSGFDSSLNHASHADPNYVNNSSNGLNGMVSFPFPGKQGPTAQFQMEKQKGWQDYHTLEHLKNRHELKLQQQQLMNGNQQFTAQPEPYQGQSVWTGRYFV >KJB83572 pep chromosome:Graimondii2_0_v6:13:57084416:57090582:1 gene:B456_013G253600 transcript:KJB83572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVQIDKMSAPSSRERAQRLYEKNIELEKNRRRSAQARVPSDPNAWQQMRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHYSAAGSNTSQGVKVPPRPDRLTKIRLQFKTFLSEATGFYHDLILKIRAKYGLPFGYFSDDSESQIVMDKDGKKSTDIKKGLVSCHRCLIYLGDLARYKGLYGDGDSKSREYAAASSYYLQAASIWSSSGNPHHQLAILASYSGDELGAVYRYFRSLAVDNPFSTARDNLIVAFEKNRHNYSQLPGDVKAPLVKEPAVRLSGKDRGKAEAKLASKETNMESNAAREKVSGVQDAFKSFCIRFVRLNGILFTRTSLETFADVLSLVSHDLCELLSSGPEEELNFGTDAAENALLLVRMVSILVFTVYNLKRESDGQTYAEIVQRTALLQNAFVAVFELMRHVVERCSQLRDVSSSYTLPAILVFLEWLACCPDVAVAGSDVDEKQSMTRSLFWKHCISFLNKILSIRTMGIGDDEDETCFFNMSRYEGETENCLALSEDFELRGFLPLVPAHTILDFSRKRSFISEGDKEKKARVKRILAAGKALANVIRVDQKNVCFDSKAKKFLIGAEPSEDVTFSTSTSLATNGVGHETPSEKSVNIGNVQPIPQPRMVEEEDDDDEVIVFQPAVSEKRTEVVGPNWPPSEALKHDRSSAPGDVKFYGSTMSGPPASLHQHNTFDVSSPLPVSVGSIAPQLQQPVHVQSSGWSVEEATSLANSLKGLTMLENGHLTKLGLQDNASLSHPTARSVGFQKLPISASAGDMYYSQMKVPDTVLPSRIDAIVPSGVTADALAAKTSSALQVGMRKNPVSRPVRHLGPPPGFSHVPSKPQSESVSASHLENPLMDDYSWLDGYQLTPSLKSSGFDSSLNHASHADPNYVNNSSNGLNGMVSFPFPGKQGPTAQFQMEKQKGWQDYHTLEHLKNRHELKLQQQQLMNGNQQFTAQPEPYQGQSVWTGRYFV >KJB83336 pep chromosome:Graimondii2_0_v6:13:56094305:56099709:-1 gene:B456_013G241800 transcript:KJB83336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQYVRGENPERKDRLVAVGVDKDKYSLQALHWAVDNFLTRGQTLRLVHVLQKPINPLQDESNVGGERQVDNQNLDLFLPLRILCARKQIQCETVVLEETDVAKALVGYVNQYGIETLFVGAVSKTGISRLFKGTDTPSSILKLAPDFCNVYVIAKRKVAAARLASRPAPGRAQSLNSEDDSIIEDDGLFYDDEVSALDMNSSSGAGSDGLNSSLYQNLGTSLRSPPVMDPFRRSFAVPVTSSPALDLPAFRTGQKHHSIPTTLSEYSSLLEYESPSSPESAPEDNDETRRLRIELKQTMDMYHAACKEALAAKQQVMQLKEWKRRVEEKRKKGGTLSSTRKTRITRTRVDIDDESTQELVELEVQKRVEEELQKALCEAEERRKMMVVDDLGHSHLVVKYQSLFHILVVTFLFYVYFTIYVYFTI >KJB80939 pep chromosome:Graimondii2_0_v6:13:31469213:31475007:1 gene:B456_013G122800 transcript:KJB80939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVGRQQLDIAKQHAAAVTATLNAVNAYAEWAPLSNLSKYGIIHGCGFLLSSPDFHLHACEFFKLVSPRKRPVDDSASDFDSAMSSIIQILMNVSREFLVRSSPAGGAIDESDYEFVEYVCESMVSLGSSNLQCIVGDSTTFSLYLQQMLGFFQHFKLALHYKSLQFWLVLLRDAMSKPKLSVHSSGDGLTATNVDSTLAQVDEEKRKILSFLNDDICSTILDISFQRMLKKEKLMTETALSPGILELWSDDFESKGDFGQYRSRLFELIKFIASNKPLVAGAKVSVRIIMIIKSLLNSPMPAQDLAVMESMQMALENVVISIFDGSNEFAGGSSEVHLALCRIFEGLLRELLSLNWTEPALVEVLGHYLEAMGPFLKYFPDAVASVINKLFELLNSLPFIVKDPSTSSARHARLQICTSFIRIAKAADKSILPHMKGIADMMACLQREGRLLRSEHNLLGEAFLVMASTAGIQQQQEVLAWLLEPLSQQWIQIEWQNNYLSEPLGLVGLCSERAFMWSLFHTVTFFEKALKRSGTRKGNSNLQNSSTAISTPHPLASHLPWMLPPLLKLLRGIHSLWSPSVFQMLPGEIKAAMSMSDVEQSSLLGGGNPKLSKGALTFVDGSQFDMNKEGYIKPNEADIRNWLKGIRDSGYNVLGLSTTIGDPFFKCIDIDFVALTLIENIRSMEFRHTRLLVHSILIPLIKSCPLDMWEVWLERLLHPLFVHCQQALSCSWSSLLHEGRANVPDNHGILTGSDLKVEVMEEKLLRDLTREICSLLSTIASSGLNASLPSLEHAGHVGRVDTSSLKDLDAFASSSMASFLLKHKSLAIPVLQISLEAFSWTDSEAVTKVCAFSAAVVLLAIFTNNVDLREYVSRDLFSAVIQGLALESNAVISADLVSLCRDIFIYLCNRDPGPRKILLSLPCISPNDLHAFEEALTKTASPKEQKQLMKSFLLLATGNNLKALAAQKCVNIITNVTGKHSIFH >KJB80935 pep chromosome:Graimondii2_0_v6:13:31466838:31475003:1 gene:B456_013G122800 transcript:KJB80935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGNNKSIANNVARAIVAAFDWNSTPDARKAAVSYLESIKAGDIRVLANTSFCLVKKDWSSEIRLHAFKMLQHLVRFRWEEFGPLEHRNFANATFELMSEIADNCEEWALKSQTAALVAEIVRREFLNLWQELLPSLVSLSSKGPVQAELVSMMLRWLPEDITVHNEDLEGDRRRLLLRGLTQSLPEILPLLYTLLERHFGAAMNEVGRQQLDIAKQHAAAVTATLNAVNAYAEWAPLSNLSKYGIIHGCGFLLSSPDFHLHACEFFKLVSPRKRPVDDSASDFDSAMSSIIQILMNVSREFLVRSSPAGGAIDESDYEFVEYVCESMVSLGSSNLQCIVGDSTTFSLYLQQMLGFFQHFKLALHYKSLQFWLVLLRDAMSKPKLSVHSSGDGLTATNVDSTLAQVDEEKRKILSFLNDDICSTILDISFQRMLKKEKLMTETALSPGILELWSDDFESKGDFGQYRSRLFELIKFIASNKPLVAGAKVSVRIIMIIKSLLNSPMPAQDLAVMESMQMALENVVISIFDGSNEFAGGSSEVHLALCRIFEGLLRELLSLNWTEPALVEVLGHYLEAMGPFLKYFPDAVASVINKLFELLNSLPFIVKDPSTSSARHARLQICTSFIRIAKAADKSILPHMKGIADMMACLQREGRLLRSEHNLLGEAFLVMASTAGIQQQQEVLAWLLEPLSQQWIQIEWQNNYLSEPLGLVGLCSERAFMWSLFHTVTFFEKALKRSGTRKGNSNLQNSSTAISTPHPLASHLPWMLPPLLKLLRGIHSLWSPSVFQMLPGEIKAAMSMSDVEQSSLLGGGNPKLSKGALTFVDGSQFDMNKEGYIKPNEADIRNWLKGIRDSGYNVLGLSTTIGDPFFKCIDIDFVALTLIENIRSMEFRHTRLLVHSILIPLIKSCPLDMWEVWLERLLHPLFVHCQQALSCSWSSLLHEGRANVPDNHGILTGSDLKVEVMEEKLLRDLTREICSLLSTIASSGLNASLPSLEHAGHVGRVDTSSLKDLDAFASSSMASFLLKHKSLAIPVLQISLEAFSWTDSEAVTKVCAFSAAVVLLAIFTNNVDLREYVSRDLFSAVIQGLALESNAVISADLVSLCRDIFIYLCNRDPGPRKILLSLPCISPNDLHAFEEALTKTASPKEQKQLMKSFLLLATGNNLKALAAQKCVNIITNVTARPRSSVNAPENRIDEGETVGLAAIL >KJB80936 pep chromosome:Graimondii2_0_v6:13:31466846:31474941:1 gene:B456_013G122800 transcript:KJB80936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGNNKSIANNVARAIVAAFDWNSTPDARKAAVSYLESIKAGDIRVLANTSFCLVKKDWSSEIRLHAFKMLQHLVRFRWEEFGPLEHRNFANATFELMSEIADNCEEWALKSQTAALVAEIVRREFLNLWQELLPSLVSLSSKGPVQAELVSMMLRWLPEDITVHNEDLEGDRRRLLLRGLTQSLPEILPLLYTLLERHFGAAMNEVGRQQLDIAKQHAAAVTATLNAVNAYAEWAPLSNLSKYGIIHGCGFLLSSPDFHLHACEFFKLVSPRKRPVDDSASDFDSAMSSIIQILMNVSREFLVRSSPAGGAIDESDYEFVEYVCESMVSLGSSNLQCIVGDSTTFSLYLQQMLGFFQHFKLALHYKSLQFWLVLLRDAMSKPKLSVHSSGDGLTATNVDSTLAQVDEEKRKILSFLNDDICSTILDISFQRMLKKEKLMTETALSPGILELWSDDFESKGDFGQYRSRLFELIKFIASNKPLVAGAKVSVRIIMIIKSLLNSPMPAQDLAVMESMQMALENVVISIFDGSNEFAGGSSEVHLALCRIFEGLLRELLSLNWTEPALVEVLGHYLEAMGPFLKYFPDAVASVINKLFELLNSLPFIVKDPSTSSARHARLQICTSFIRIAKAADKSILPHMKGIADMMACLQREGRLLRSEHNLLGEAFLVMASTAGIQQQQEVLAWLLEPLSQQWIQIEWQNNYLSEPLGLVGLCSERAFMWSLFHTVTFFEKALKRSGTRKGNSNLQNSSTAISTPHPLASHLPWMLPPLLKLLRGIHSLWSPSVFQMLPGEIKAAMSMSDVEQSSLLGGGNPKLSKGALTFVDGSQFDMNKEGYIKPNEADIRNWLKGIRDSGYNVLGLSTTIGDPFFKCIDIDFVALTLIENIRSMEFRHTRLLVHSILIPLIKSCPLDMWEVWLERLLHPLFVHCQQALSCSWSSLLHEGRANVPDNHGILTGSDLKVEVMEEKLLRDLTREICSLLSTIASSGLNASLPSLEHAGHVGRVDTSSLKDLDAFASSSMASFLLKHKSLAIPVLQISLEAFSWTDSEAVTKVCAFSAAVVLLAIFTNNVDLREYVSRDLFSAVIQGLALESNAVISADLVSLCRDIFIYLCNRDPGPRKILLSLPCISPNDLHAFEEALTKTASPKEQKQLMKSFLLLATGNNLKALAAQKCVNIITNVTGGTSE >KJB80938 pep chromosome:Graimondii2_0_v6:13:31468484:31475003:1 gene:B456_013G122800 transcript:KJB80938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIADNCEEWALKSQTAALVAEIVRREFLNLWQELLPSLVSLSSKGPVQAELVSMMLRWLPEDITVHNEDLEGDRRRLLLRGLTQSLPEILPLLYTLLERHFGAAMNEVGRQQLDIAKQHAAAVTATLNAVNAYAEWAPLSNLSKYGIIHGCGFLLSSPDFHLHACEFFKLVSPRKRPVDDSASDFDSAMSSIIQILMNVSREFLVRSSPAGGAIDESDYEFVEYVCESMVSLGSSNLQCIVGDSTTFSLYLQQMLGFFQHFKLALHYKSLQFWLVLLRDAMSKPKLSVHSSGDGLTATNVDSTLAQVDEEKRKILSFLNDDICSTILDISFQRMLKKEKLMTETALSPGILELWSDDFESKGDFGQYRSRLFELIKFIASNKPLVAGAKVSVRIIMIIKSLLNSPMPAQDLAVMESMQMALENVVISIFDGSNEFAGGSSEVHLALCRIFEGLLRELLSLNWTEPALVEVLGHYLEAMGPFLKYFPDAVASVINKLFELLNSLPFIVKDPSTSSARHARLQICTSFIRIAKAADKSILPHMKGIADMMACLQREGRLLRSEHNLLGEAFLVMASTAGIQQQQEVLAWLLEPLSQQWIQIEWQNNYLSEPLGLVGLCSERAFMWSLFHTVTFFEKALKRSGTRKGNSNLQNSSTAISTPHPLASHLPWMLPPLLKLLRGIHSLWSPSVFQMLPGEIKAAMSMSDVEQSSLLGGGNPKLSKGALTFVDGSQFDMNKEGYIKPNEADIRNWLKGIRDSGYNVLGLSTTIGDPFFKCIDIDFVALTLIENIRSMEFRHTRLLVHSILIPLIKSCPLDMWEVWLERLLHPLFVHCQQALSCSWSSLLHEGRANVPDNHGILTGSDLKVEVMEEKLLRDLTREICSLLSTIASSGLNASLPSLEHAGHVGRVDTSSLKDLDAFASSSMASFLLKHKSLAIPVLQISLEAFSWTDSEAVTKVCAFSAAVVLLAIFTNNVDLREYVSRDLFSAVIQGLALESNAVISADLVSLCRDIFIYLCNRDPGPRKILLSLPCISPNDLHAFEEALTKTASPKEQKQLMKSFLLLATGNNLKALAAQKCVNIITNVTARPRSSVNAPENRIDEGETVGLAAIL >KJB80937 pep chromosome:Graimondii2_0_v6:13:31466846:31474941:1 gene:B456_013G122800 transcript:KJB80937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGNNKSIANNVARAIVAAFDWNSTPDARKAAVSYLESIKAGDIRVLANTSFCLVKKDWSSEIRLHAFKMLQHLVRFRWEEFGPLEHRNFANATFELMSEIADNCEEWALKSQTAALVAEIVRREFLNLWQELLPSLVSLSSKGPVQAELVSMMLRWLPEDITVHNEDLEGDRRRLLLRGLTQSLPEILPLLYTLLERHFGAAMNEVGRQQLDIAKQHAAAVTATLNAVNAYAEWAPLSNLSKYGIIHGCGFLLSSPDFHLHACEFFKLVSPRKRPVDDSASDFDSAMSSIIQILMNVSREFLVRSSPAGGAIDESDYEFVEYVCESMVSLGSSNLQCIVGDSTTFSLYLQQMLGFFQHFKLALHYKSLQFWLVLLRDAMSKPKLSVHSSGDGLTATNVDSTLAQVDEEKRKILSFLNDDICSTILDISFQRMLKKEKLMTETALSPGILELWSDDFESKGDFGQYRSRLFELIKFIASNKPLVAGAKVSVRIIMIIKSLLNSPMPAQDLAVMESMQMALENVVISIFDGSNEFAGGSSEVHLALCRIFEGLLRELLSLNWTEPALVEVLGHYLEAMGPFLKYFPDAVASVINKLFELLNSLPFIVKDPSTSSARHARLQICTSFIRIAKAADKSILPHMKGIADMMACLQREGRLLRSEHNLLGEAFLVMASTAGIQQQQEVLAWLLEPLSQQWIQIEWQNNYLSEPLGLVGLCSERAFMWSLFHTVTFFEKALKRSGTRKGNSNLQNSSTAISTPHPLASHLPWMLPPLLKLLRGIHSLWSPSVFQMLPGEIKAAMSMSDVEQSSLLGGGNPKLSKGALTFVDGSQFDMNKEGYIKPNEADIRNWLKGIRDSGYNVLGLSTTIGDPFFKCIDIDFVALTLIENIRSMEFRHTRLLVHSILIPLIKSCPLDMWEVWLERLLHPLFVHCQQALSCSWSSLLHEGRANVPDNHGILTGSDLKVEVMEEKLLRDLTREICSLLSTIASSGLNASLPSLEHAGHVGRVDTSSLKDLDAFASSSMASFLLKHKSLAIPVLQISLEAFSWTDSEAVTKVCAFSAAVVLLAIFTNNVDLREYVSRDLFSAVIQGLALESNAVISADLVSLCRDIFIYLCNRDPGPRKILLSLPCISPNDLHAFEEALTKTASPKEQKQLMKSFLLLATGNNLKALAAQKCVNIITNVTGKHSIFH >KJB78991 pep chromosome:Graimondii2_0_v6:13:2155900:2175770:1 gene:B456_013G028700 transcript:KJB78991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFIKLEDSPMFQNQISFLENTTNELKDRCQTLYKGSKKFMTALGEAFNGDNSFADSLEAFGGGQDDPISVSIGGPIVSKFINAFRELANYKEMLLSQVEHLLADRLMHFMTVDLQDVKESRQQFNKAKYVYDQAREKFVSLKKNTRGDIVVELEEDLQNSKFSFERRRFDLATALMNIEAKKKYEFLESISAIMDAHLRYFKQGYDILSQLEPFIHQVLIYAQQSKELANVEQEKLEKRIQEFRTQAEIDTLRVSNNIEPSTNAGSIQVVGMNLDKSVEPLILSSIEGEVQTIKQGYLLKRSSSRGDWKRRFFVLDSRGSLYYYRNKGIKPMGYHHQHTGSAGDSSGVFAKFRARHSRSLSFNEGTLGSRTVDLRASTIKLDTEDTDLRLCFRILTPLKTYTLQAENGVDRMDWVNKITAVIVSLLNSHIQQQHVDIPRPPSNVTSPNSHGTSEIEQTGYRAEPISSVLRKIPGNDVCAECSAAEPDWASLNLCILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPSIVELFCTLGNAYCNSIWEGSLLKNESVDEPKATNTSVPKPCAKDVISLKEKYIHAKYVDKLLIIKDALQPGDPPNLTNIWQAVKTDNIQEVYRLLAISEANIVNTTFDDIVSIELYHHVVDAQDSSLEKEQHDPLDCQRIKDSNDPGNCLQGCSLLHLACQGGNCVMLELLLQFGADINMRDFHGRTPLHHCVALGNNSLAKHLLRRGAKSSITDEGGLSALERAMEKGAIKDEELFILLNGS >KJB81578 pep chromosome:Graimondii2_0_v6:13:41114604:41117110:1 gene:B456_013G150700 transcript:KJB81578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAARPTWQPAKGGNEQGGTRIFGPSQKYSSRDLAAHTTLKPRKDGQDTQDELQKRNLRDELEERERRHFSSRDKSYNDDRDHRKGNHLLLEGAKREAEDRIVPRSVDADDSDVEVNSDNESDDDDDDDDDEDDEEALLAELERIKKEKAEEKLRQEKLEQEEQLKAKEAELLRGNPLLNNPTSFGVKRRWDDDVVFKNQARGETKPQKRFINDTIRNDFHRKFLLKYMK >KJB81581 pep chromosome:Graimondii2_0_v6:13:41114619:41117110:1 gene:B456_013G150700 transcript:KJB81581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAARPTWQPAKGGNEQGGTRIFGPSQKYSSRDLAAHTTLKPRKDGQDTQDELQKRNLRDELEERERRHFSSRDKSYNDDRDHRKGNHLLLEGAKREAEDRIVPRSVDADDSDVEVNSDNESDDDDDDDDDEDDEEALLAELERIKKEKAEEKLRQEKLEQEEQLKAKEAELLRGNPLLNNPTSFGVKRRWDDDVVFKNQARGETKPQKRFINDTIRNDFHRKFLLKYMK >KJB81579 pep chromosome:Graimondii2_0_v6:13:41114564:41117164:1 gene:B456_013G150700 transcript:KJB81579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAARPTWQPAKGGNEQGGTRIFGPSQKYSSRDLAAHTTLKPRKDGQDTQDELQKRNLRDELEERERRHFSSRDKSYNDDRDHRKGNHLLLEGAKREAEDRIVPRSVDADDSDVEVNSDNESDDDDDDDDDEDDEEALLAELERIKKEKAEEKLRQEKLEQEEQLKAKEAELLRGNPLLNNPTSFGVKRRWDDDVVFKNQARGETKPQKRFINDTIRNDFHRKFLLKYMK >KJB81580 pep chromosome:Graimondii2_0_v6:13:41114554:41117144:1 gene:B456_013G150700 transcript:KJB81580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAARPTWQPAKGGNEQGGTRIFGPSQKYSSRDLAAHTTLKPRKDGQDTQDELQKRNLRDELEERERRHFSSRDKSYNDDRDHRKGNHLLLEGAKREAEDRIVPRSVDADDSDVEVNSDNESDDDDDDDDDEDDEEALLAELERIKKEKAEEKLRQEKLEQEEQLKAKEAELLRGNPLLNNPTSFGVKRRWDDDVVFKNQARGETKPQKRFINDTIRNDFHRKFLLKYMK >KJB83341 pep chromosome:Graimondii2_0_v6:13:56112247:56117988:-1 gene:B456_013G242000 transcript:KJB83341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSPITKTPSFIALTAKDVKDLRQNPGYSNVDIFSYQEMRLATKQFRPDYILGDGGFGVVYKGVIDENVRPAYKSTAVAVKELNPDGFQGDREWLAEVNYLGQLSHPNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFRRVGCSLTWSKRMKIALDAAKGLAFLHDAERPIIYRDFKTSNILLDEDFNAKLSDFGLAKEGPMGDKTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMLLGRRAMDKSRPSREHNLVEWARPLLIRNKKLMRILDPRMEGQYSARTAMKVANLAYQCLSPNPKGRPVMNQVVELLETFQTKARDEETMINSGGGGITLYEAPSKSSPHSRNPARSESHRETSAAQGSKLENERSKSEPPKEVDL >KJB83342 pep chromosome:Graimondii2_0_v6:13:56112247:56118122:-1 gene:B456_013G242000 transcript:KJB83342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCFSIEEEPHRSLSNPPDGGRKTSASSRKEEMANSPITKTPSFIALTAKDVKDLRQNPGYSNVDIFSYQEMRLATKQFRPDYILGDGGFGVVYKGVIDENVRPAYKSTAVAVKELNPDGFQGDREWLAEVNYLGQLSHPNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFRRVGCSLTWSKRMKIALDAAKGLAFLHDAERPIIYRDFKTSNILLDEDFNAKLSDFGLAKEGPMGDKTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMLLGRRAMDKSRPSREHNLVEWARPLLIRNKKLMRILDPRMEGQYSARTAMKVANLAYQCLSPNPKGRPVMNQVVELLETFQTKARDEETMINSGGGGITLYEAPSKSSPHSRNPARSESHRETSAAQGSKLENERSKSEPPKEVDL >KJB78594 pep chromosome:Graimondii2_0_v6:13:615453:617468:-1 gene:B456_013G009200 transcript:KJB78594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKNYGHQHPLLMLNEEQLLGNGNGVVDCSRCGEKVSAPCFSCVDCCGFYLHKTCAEAPLELNHPFHRHHPLVLLQNPPSSYTRCVCDLCDETCEKFIYHCSCGLYFHIKCALLTFNIAESNLKELEHVALEDPSFSSKNDGGNLGECFGCWEPLAMYTYFSLDCGFNLHKRCAELPLKMDLLCHRKHPLVLQFNSERLACKVCQVTQQRGFVYGCSPCELAIHIECASPLPVIEDKSHQHPFTLFWRQIPFICDACGTEGHHVAYTCGTCSIMVHKKCISLPRIIEHAFHVHRVFHTYFIRKEYFESLNCIWCHEVVDTEHGSYFCADCSVILHVDCALKEEQLYCIVSQENEADKSLDIPVNSITEHGDKCCDGCLLLISAKFYHCSRCDFFLHKSCAELPKMKLFSKHACGETEFYSGLKPLILTSACMFKCGLCMYLSNGFSYKCNECGSPICLRCFALSLQDVVKIPGHKHPLLLYYNYQGQCSACGKDVVCPFRCKDCNIHLCPWCVLRPIRVRHKCDKHLLTLTYDKINDYAKYHYCDICEKERDPKNWFYYCETCDTSAHVDCVLGEYPLIKLGSIYNEGEHPHPLTFVKKFPYYPECIECGERCEDLSLECAEPGCNYIVHWKCRKSAML >KJB83487 pep chromosome:Graimondii2_0_v6:13:56795955:56799177:1 gene:B456_013G250100 transcript:KJB83487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPPQSIDFALKETSPKIGAGAVTGDKLSSTYDLVEQMQYLYVRVVKAKDLPGKDVTGGCDPYVEVKLGNYKGVTKHFEKKNNPEWNQVFAFSKERIQASVLEVYVKDKDVVADDLIGRALFDLNEIPKRIPPDSPLAPQWYRLEDRKGDKAKGELMLAVWMGTQADEAFPEAWHSDAASVGPDAVASIRSKVYLSPKLWYVRVNVIEAQDLVPNDKSRFPEVFVKAMLGNQALRTRISQSKTINPMWNEDLMFVVAEPFEEPLVLSVEDRVGGNKDETLGKCVIPLHIVQRRLDHRPVNSRWLNLEKHVIVDGEKKEIKFASRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAHGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDNFMPRWNEQYTWEVFDTCTVITVGVFDNGHVHGGAGGARDARIGKVRIRLSTLEADRVYTHSYPLLVLHPSGVKKTGEIQLALRFTCSSLINMLHMYSHPLLPKMHYIHPLSVIQLDVLRHQAMQIVSTRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWRNPLTTILIHILFIILVLYPELILPTIFLYLFLIGIWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVTFCLIAALVLYVTPFQVVALLAGIYILRHPRFRHKLPSTPLNFFRRLPARSDSML >KJB83483 pep chromosome:Graimondii2_0_v6:13:56795863:56799211:1 gene:B456_013G250100 transcript:KJB83483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPPQSIDFALKETSPKIGAGAVTGDKLSSTYDLVEQMQYLYVRVVKAKDLPGKDVTGGCDPYVEVKLGNYKGVTKHFEKKNNPEWNQVFAFSKERIQASVLEVYVKDKDVVADDLIGRALFDLNEIPKRIPPDSPLAPQWYRLEDRKGDKAKGELMLAVWMGTQADEAFPEAWHSDAASVGPDAVASIRSKVYLSPKLWYVRVNVIEAQDLVPNDKSRFPEVFVKAMLGNQALRTRISQSKTINPMWNEDLMFVVAEPFEEPLVLSVEDRVGGNKDETLGKCVIPLHIVQRRLDHRPVNSRWLNLEKHVIVDGEKKEIKFASRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAHGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDNFMPRWNEQYTWEVFDTCTVITVGVFDNGHVHGGAGGARDARIGKVRIRLSTLEADRVYTHSYPLLVLHPSGVKKTGEIQLALRFTCSSLINMLHMYSHPLLPKMHYIHPLSVIQLDVLRHQAMQIVSTRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWRNPLTTILIHILFIILVLYPELILPTIFLYLFLIGIWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVTFCLIAALVLYVTPFQVVALLAGIYILRHPRFRHKLPSTPLNFFRRLPARSDSML >KJB83488 pep chromosome:Graimondii2_0_v6:13:56796415:56799177:1 gene:B456_013G250100 transcript:KJB83488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPPQSIDFALKETSPKIGAGAVTGDKLSSTYDLVEQMQYLYVRVVKAKDLPGKDVTGGCDPYVEVKLGNYKGVTKHFEKKNNPEWNQVFAFSKERIQASVLEVYVKDKDVVADDLIGRALFDLNEIPKRIPPDSPLAPQWYRLEDRKGDKAKGELMLAVWMGTQADEAFPEAWHSDAASVGPDAVASIRSKVYLSPKLWYVRVNVIEAQDLVPNDKSRFPEVFVKAMLGNQALRTRISQSKTINPMWNEDLMFVVAEPFEEPLVLSVEDRVGGNKDETLGKCVIPLHIVQRRLDHRPVNSRWLNLEKHVIVDGEKKEIKFASRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAHGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDNFMPRWNEQYTWEVFDTCTVITVGVFDNGHVHGGAGGARDARIGKVRIRLSTLEADRVYTHSYPLLVLHPSGVKKTGEIQLALRFTCSSLINMLHMYSHPLLPKMHYIHPLSVIQLDVLRHQAMQIVSTRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWRNPLTTILIHILFIILVLYPELILPTIFLYLFLIGIWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVTFCLIAALVLYVTPFQVVALLAGIYILRHPRFRHKLPSTPLNFFRRLPARSDSML >KJB83481 pep chromosome:Graimondii2_0_v6:13:56796367:56799221:1 gene:B456_013G250100 transcript:KJB83481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPPQSIDFALKETSPKIGAGAVTGDKLSSTYDLVEQMQYLYVRVVKAKDLPGKDVTGGCDPYVEVKLGNYKGVTKHFEKKNNPEWNQVFAFSKERIQASVLEVYVKDKDVVADDLIGRALFDLNEIPKRIPPDSPLAPQWYRLEDRKGDKAKGELMLAVWMGTQADEAFPEAWHSDAASVGPDAVASIRSKVYLSPKLWYVRVNVIEAQDLVPNDKSRFPEVFVKAMLGNQALRTRISQSKTINPMWNEDLMFVVAEPFEEPLVLSVEDRVGGNKDETLGKCVIPLHIVQRRLDHRPVNSRWLNLEKHVIVDGEKKEIKFASRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAHGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDNFMPRWNEQYTWEVFDTCTVITVGVFDNGHVHGGAGGARDARIGKVRIRLSTLEADRVYTHSYPLLVLHPSGVKKTGEIQLALRFTCSSLINMLHMYSHPLLPKMHYIHPLSVIQLDVLRHQAMQIVSTRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWRNPLTTILIHILFIILVLYPELILPTIFLYLFLIGIWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVTFCLIAALVLYVTPFQVVALLAGIYILRHPRFRHKLPSTPLNFFRRLPARSDSML >KJB83482 pep chromosome:Graimondii2_0_v6:13:56796039:56799177:1 gene:B456_013G250100 transcript:KJB83482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPPQSIDFALKETSPKIGAGAVTGDKLSSTYDLVEQMQYLYVRVVKAKDLPGKDVTGGCDPYVEVKLGNYKGVTKHFEKKNNPEWNQVFAFSKERIQASVLEVYVKDKDVVADDLIGRALFDLNEIPKRIPPDSPLAPQWYRLEDRKGDKAKGELMLAVWMGTQADEAFPEAWHSDAASVGPDAVASIRSKVYLSPKLWYVRVNVIEAQDLVPNDKSRFPEVFVKAMLGNQALRTRISQSKTINPMWNEDLMFVVAEPFEEPLVLSVEDRVGGNKDETLGKCVIPLHIVQRRLDHRPVNSRWLNLEKHVIVDGEKKEIKFASRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAHGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDNFMPRWNEQYTWEVFDTCTVITVGVFDNGHVHGGAGGARDARIGKVRIRLSTLEADRVYTHSYPLLVLHPSGVKKTGEIQLALRFTCSSLINMLHMYSHPLLPKMHYIHPLSVIQLDVLRHQAMQIVSTRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWRNPLTTILIHILFIILVLYPELILPTIFLYLFLIGIWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVTFCLIAALVLYVTPFQVVALLAGIYILRHPRFRHKLPSTPLNFFRRLPARSDSML >KJB83485 pep chromosome:Graimondii2_0_v6:13:56796039:56799177:1 gene:B456_013G250100 transcript:KJB83485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPPQSIDFALKETSPKIGAGAVTGDKLSSTYDLVEQMQYLYVRVVKAKDLPGKDVTGGCDPYVEVKLGNYKGVTKHFEKKNNPEWNQVFAFSKERIQASVLEVYVKDKDVVADDLIGRALFDLNEIPKRIPPDSPLAPQWYRLEDRKGDKAKGELMLAVWMGTQADEAFPEAWHSDAASVGPDAVASIRSKVYLSPKLWYVRVNVIEAQDLVPNDKSRFPEVFVKAMLGNQALRTRISQSKTINPMWNEDLMFVVAEPFEEPLVLSVEDRVGGNKDETLGKCVIPLHIVQRRLDHRPVNSRWLNLEKHVIVDGEKKEIKFASRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAHGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDNFMPRWNEQYTWEVFDTCTVITVGVFDNGHVHGGAGGARDARIGKVRIRLSTLEADRVYTHSYPLLVLHPSGVKKTGEIQLALRFTCSSLINMLHMYSHPLLPKMHYIHPLSVIQLDVLRHQAMQIVSTRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWRNPLTTILIRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVTFCLIAALVLYVTPFQVVALLAGIYILRHPRFRHKLPSTPLNFFRRLPARSDSML >KJB83484 pep chromosome:Graimondii2_0_v6:13:56795863:56799221:1 gene:B456_013G250100 transcript:KJB83484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPPQSIDFALKETSPKIGAGAVTGDKLSSTYDLVEQMQYLYVRVVKAKDLPGKDVTGGCDPYVEVKLGNYKGVTKHFEKKNNPEWNQVFAFSKERIQASVLEVYVKDKDVVADDLIGRALFDLNEIPKRIPPDSPLAPQWYRLEDRKGDKAKGELMLAVWMGTQADEAFPEAWHSDAASVGPDAVASIRSKVYLSPKLWYVRVNVIEAQDLVPNDKSRFPEVFVKAMLGNQALRTRISQSKTINPMWNEDLMFVVAEPFEEPLVLSVEDRVGGNKDETLGKCVIPLHIVQRRLDHRPVNSRWLNLEKHVIVDGEKKEIKFASRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAHGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDNFMPRWNEQYTWEVFDTCTVITVGVFDNGHVHGGAGGARDARIGKVRIRLSTLEADRVYTHSYPLLVLHPSGVKKTGEIQLALRFTCSSLINMLHMYSHPLLPKMHYIHPLSVIQLDVLRHQAMQIVSTRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWRNPLTTILIHILFIILVLYPELILPTIFLYLFLIGIWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVTFCLIAALVLYVTPFQVVALLAGIYILRHPRFRHKLPSTPLNFFRRLPARSDSML >KJB83486 pep chromosome:Graimondii2_0_v6:13:56796430:56799177:1 gene:B456_013G250100 transcript:KJB83486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPPQSIDFALKETSPKIGAGAVTGDKLSSTYDLVEQMQYLYVRVVKAKDLPGKDVTGGCDPYVEVKLGNYKGVTKHFEKKNNPEWNQVFAFSKERIQASVLEVYVKDKDVVADDLIGRALFDLNEIPKRIPPDSPLAPQWYRLEDRKGDKAKGELMLAVWMGTQADEAFPEAWHSDAASVGPDAVASIRSKVYLSPKLWYVRVNVIEAQDLVPNDKSRFPEVFVKAMLGNQALRTRISQSKTINPMWNEDLMFVVAEPFEEPLVLSVEDRVGGNKDETLGKCVIPLHIVQRRLDHRPVNSRWLNLEKHVIVDGEKKEIKFASRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAHGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDNFMPRWNEQYTWEVFDTCTVITVGVFDNGHVHGGAGGARDARIGKVRIRLSTLEADRVYTHSYPLLVLHPSGVKKTGEIQLALRFTCSSLINMLHMYSHPLLPKMHYIHPLSVIQLDVLRHQAMQIVSTRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWRNPLTTILIHILFIILVLYPELILPTIFLYLFLIGIWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVTFCLIAALVLYVTPFQVVALLAGIYILRHPRFRHKLPSTPLNFFRRLPARSDSML >KJB80333 pep chromosome:Graimondii2_0_v6:13:14896381:14899217:-1 gene:B456_013G092400 transcript:KJB80333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNQGKKFVCKFCNKGYPCGKSLGGHIRTHMNTENLGEAEEKAEISTNNKQLLCSRNIKRAAEAEAGGGQSATYDIRENPKKSKRFSDLGNGSVLKEMICKECGKGFQSLKALCGHIACHSDKERVFQKLEDHWGNTEKQKLVVDSQSDTEISTPSRRRRSKRIRCKTGGVYSNNSVSLANGSSSVSEIEEQEQEEVAMCLMMLSRDSGCKKGLNSVADSSDNNSVVLEAKSSSIDLRITVKNANNGGELLKMQKQGVIKLKSAESGPCSENSDSGYFRNGPKKVEPDVSSNGVTNNVECKKLKVKSRSGFEDFDATLGKNRSKFKYVKTEFPKDLVSEVGDNQDDRALTKFGLRSGKNDYSSSPKGTKLESIDDRGEDSLANGSFHAPMAATKLSNGSAEKRLGSKKNKGHVCPFCFRVFKSGQALGGHKRSHFAGGSEDTTLVIKRDSPDMPLPALIDLNLPAPLEEDAMENSGFIPW >KJB80332 pep chromosome:Graimondii2_0_v6:13:14895728:14899217:-1 gene:B456_013G092400 transcript:KJB80332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNQGKKFVCKFCNKGYPCGKSLGGHIRTHMNTENLGEAEEKAEISTNNKQLLCSRNIKRAAEAEAGGGQSATYDIRENPKKSKRFSDLGNGSVLKEMICKECGKGFQSLKALCGHIACHSDKERVFQKLEDHWGNTEKQKLVVDSQSDTEISTPSRRRRSKRIRCKTGGVYSNNSVSLANGSSSVSEIEEQEQEEVAMCLMMLSRDSGCKKGLNSVADSSDNNSVVLEAKSSSIDLRITVKNANNGGELLKMQKQGVIKLKSAESGPCSENSDSGYFRNGPKKVEPDVSSNGVTNNVECKKLKVKSRSGFEDFDATLGKNRSKFKYVKTEFPKDLVSEVGDNQDDRALTKFGLRSGKNDYSSSPKGTKLESIDDRGEDSLANGSFHAPMAATKLSNGSAEKRLGSKKNKGHVCPFCFRVFKSGQALGGHKRSHFAGGSEDTTLVIKRDSPDMPLPALIDLNLPAPLEEDAMENSGFIPW >KJB80330 pep chromosome:Graimondii2_0_v6:13:14895576:14899217:-1 gene:B456_013G092400 transcript:KJB80330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNQGKKFVCKFCNKGYPCGKSLGGHIRTHMNTENLGEAEEKAEISTNNKQLLCSRNIKRAAEAEAGGGQSATYDIRENPKKSKRFSDLGNGSVLKEMICKECGKGFQSLKALCGHIACHSDKERVFQKLEDHWGNTEKQKLVVDSQSDTEISTPSRRRRSKRIRCKTGGVYSNNSVSLANGSSSVSEIEEQEQEEVAMCLMMLSRDSGCKKGLNSVADSSDNNSVVLEAKSSSIDLRITVKNANNGGELLKMQKQGVIKLKSAESGPCSENSDSGYFRNGPKKVEPDVSSNGVTNNVECKKLKVKSRSGFEDFDATLGKNRSKFKYVKTEFPKDLVSEVGDNQDDRALTKFGLRSGKNDYSSSPKGTKLESIDDRGEDSLANGSFHAPMAATKLSNGSAEKRLGSKKNKGHVCPFCFRVFKSGQALGGHKRSHFAGGSEDTTLVIKRDSPDMPLPALIDLNLPAPLEEDAMENSGFIPW >KJB80331 pep chromosome:Graimondii2_0_v6:13:14891780:14899217:-1 gene:B456_013G092400 transcript:KJB80331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNQGKKFVCKFCNKGYPCGKSLGGHIRTHMNTENLGEAEEKAEISTNNKQLLCSRNIKRAAEAEAGGGQSATYDIRENPKKSKRFSDLGNGSVLKEMICKECGKGFQSLKALCGHIACHSDKERVFQKLEDHWGNTEKQKLVVDSQSDTEISTPSRRRRSKRIRCKTGGVYSNNSVSLANGSSSVSEIEEQEQEEVAMCLMMLSRDSGCKKGLNSVADSSDNNSVVLEAKSSSIDLRITVKNANNGGELLKMQKQGVIKLKSAESGPCSENSDSGYFRNGPKKVEPDVSSNGVTNNVECKKLKVKSRSGFEDFDATLGKNRSKFKYVKTEFPKDLVSEVGDNQDDRALTKFGLRSGKNDYSSSPKGTKLESIDDRGEDSLANGSFHAPMAATKLSNGSAEKRLGSKKNKGHVCPFCFRVFKSGQALGGHKRSHFAGGSEDTTLVIKRDSPDMPLPALIDLNLPAPLEEDAMENSGFIPW >KJB80334 pep chromosome:Graimondii2_0_v6:13:14895761:14899217:-1 gene:B456_013G092400 transcript:KJB80334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNQGKKFVCKFCNKGYPCGKSLGGHIRTHMNTENLGEAEEKAEISTNNKQLLCSRNIKRAAEAEAGGGQSATYDIRENPKKSKRFSDLGNGSVLKEMICKECGKGFQSLKALCGHIACHSDKERVFQKLEDHWGNTEKQKLVVDSQSDTEISTPSRRRRSKRIRCKTGGVYSNNSVSLANGSSSVSEIEEQEQEEVAMCLMMLSRDSGCKKGLNSVADSSDNNSVVLEAKSSSIDLRITVKNANNGGELLKMQKQGVIKLKSAESGPCSENSDSGYFRNGPKKVEPDVSSNGVTNNVECKKLKVKSRSGFEDFDATLGKNRSKFKYVKTEFPKDLVSEVGDNQDDRALTKFGLRSGKNDYSSSPKGTKLESIDDRGEDSLANGSFHAPMAATKLSNGSAEKRLGSKKNKGHVCPFCFRVFKSGQALGGHKRSHFAGGSEDTTLVIKRDSPDMPLPALIDLNLPAPLEEDAMENSGFIPW >KJB83666 pep chromosome:Graimondii2_0_v6:13:57318535:57324221:1 gene:B456_013G257300 transcript:KJB83666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVAWKAKRTRSRTSNGLLKPKKQKTSMAFSRSGDKTQRNEDFEPEPESDIKDLEEEDLSEDDLLDDYGSSCRYEGYYGFDAFGKRGYM >KJB79778 pep chromosome:Graimondii2_0_v6:13:7473486:7475537:-1 gene:B456_013G066200 transcript:KJB79778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEPQICCKSRRLDRCHSLRQKRVGYLPIRLHTRSQDQTVSISNDIPEHDCLKRFLESSIKMRYSDVFNDFQPPISGFKYGKGGHQISLIFLFVDETPIMSQLQIPLGFRFLPTKEEIFCDYLKPIINGDPIPSGVLKAHHIYGANREPWNIFDQDWPESFWVLTKLKKKSKSRIERTAGDGCWLQQFAKEVKNKDDGEVTGYDKYFTYTSKKNKKSNGQWIMHEFSLKDQEAVGLSDLVICEIKNKDAAVVSSGYEESEGEIKKNKKRKLMEVPSDSTNDFATMPVGNQTFDYMAPEVGGFSPFSACLDQQPNPWTSAGVGGNLGVPDYNSHHL >KJB81393 pep chromosome:Graimondii2_0_v6:13:38937277:38940398:-1 gene:B456_013G142700 transcript:KJB81393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSRFALVDCARYLFDQLSVRNAASWNTMMSGLVRVGLYGDVMLLFRETQNFSVRPSGFLVSSLIAACDRSGCMFGEGIQVHGFAIKVGLLYDVFVGSCLLHFYGAYKRVFDAQRIFEEMPERNVVSWTSLMFGYLDNGDFENVMYLYQEMRKEEISCNENTFATVIAACSSLEDELLGLKVLAHVVKSGFETKVSVANSLINMLGSLGSLKEAYYVFSHMDERDTISWNSIISAHVQNGLFGESMRFFHFMRHVHKKINSTTLATLLSVCSSVDNLKWGKGIHSLVIKLGLDSNVCTCNSLLGMYSEGGRLDDAEFVFKEMPERDLISWNSMMTCYVRGGRNLDVLKLLNEMLQMKKAINYVTFMSALAACSNSEFIAEGKIVHALVILSGLHENLAVGNASITMYAKSGPMVEAKKVFQMMPKRNQVTWNALIGGLAENEEPDEAVKAFQLMREEGIKADYITLSNVLGACLTPDDLLKHGLPIHAHIVLTGFESNSYVQNSLITMYAKCGDLQSSECIFDGLLNKNTISWNAIIAANAHHGLEEEVLKCIGKMKNAGIDLDQFSFSEGLAAAAKLAVLEEGQQLHCLAVKHGLDLDPFVTNAAMDMYGKCAEMDDMLRILPQPLNRSRLSWNILISAFARHGYFQKARETFNEMLEMGLKPDHVTFVSLLSACSHRGLVDEGLTYYAAMSKEFNVPPGIKHCVCIIDLLGRSGRLIEAETFINEMPVPPDALVWRTLLASCKIHGNLELGKKAAEHLFKLDPSDESAYVLYSNICASTGKWGAVEDVRRQMGSYNIKKKPACSWVKLRDQVVLFGMGDQTHPQTSEIYAKLGELRKLIKEAGYVPDTSFALQDTDEEQKEHNLWNHSERLALAFGLINTPNGSTIKVFKNLRVCGDCHSVYKFVSGIIRRKIILRDPYRFHHFSGGQCSCCDYW >KJB83707 pep chromosome:Graimondii2_0_v6:13:57505958:57509277:-1 gene:B456_013G260200 transcript:KJB83707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLAPEKRHSFLHNGEKVFEWDQTLEEVNFYINLPPNVHSKQFYCKIQSKHVEVGIKGNPPYLNHDLFSPVKTDSSFWTLEDDIMHITLQKRDKGQTWSSPILGQGQLDPYATDLEQKRLMLQRFQEENPGFDFSQAQFTGSCPDPRTFMGGISSD >KJB83708 pep chromosome:Graimondii2_0_v6:13:57506892:57509266:-1 gene:B456_013G260200 transcript:KJB83708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLAPEKRHSFLHNGEKVFEWDQTLEEVNFYINLPPNVHSKQFYCKIQSKHVEVGIKGNPPYLNHDLFSPVKTDSSFWTLEDDIMHITLQKRDKGQTWSSPILGQGQLDPYATDLEQKRLMLQRFQEEVNHVFPS >KJB80050 pep chromosome:Graimondii2_0_v6:13:10459684:10463421:-1 gene:B456_013G078600 transcript:KJB80050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGYQNTRWEGYVDWRNKPALRGKHGGMLAASFALVVEVLENLAYLANASNLVLYLSKYMHLSPSKSANNVTNFMGTAFLLALLGGFLSDAFFTTYHIYLISALIEFLGLIILTIQARTPSLKPPTCDPSTTPSMPCQEIDGGKAAMLFIGLYLVALGVGGIKGSLPTHGAEQFDENTPQGRKQRSTFFNYFVFCLSFGALIAVTFVVWVEDNKGWEWGFAISTIAILVSIPIFFFGSTFYRNKIPSGSPLTTICKVLVAAMLNGCMSRNSSNAIANLSASPSYEPETNKEPEENTKQTDEIPTQSLKFLNRAVVNKPAHPALECTVNQVEDVKIVLKILPIFACTIVLNCCLAQLSTFSVEQAASMDTKLGSLKIPPASLPVFPVVFIMILAPVYDHLIIPFARRVTNTEMGITHLQRIGIGLFLSIISMAVAALVEIKRKRVATDSGLLDSTAPLPITFFWIALQYLFLGSADLFTLAGLLEFFFTEAPSSMRSLATSLSWASLAMGYYLSSVIVSIVNNVTGNSGHSPWLSGYTINRYHLDRFYWLMCVLSTLNFLHYLFWAMRYKYRSAGAGASK >KJB78870 pep chromosome:Graimondii2_0_v6:13:1705840:1706618:-1 gene:B456_013G023300 transcript:KJB78870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFLNREENNLALANCLMLLSQGGHQYEHPEIDSNPSRVFECKTCNRQFNSFQALGGHRASHKKPRLVEVDSEMSENQASPAKPKTHECSICGLEFAIGQALGGHMRRHRGVLSENQHEEPIVKKSNSRRVLCLDLNLTPLENDLELFKLGKGAPTINFLL >KJB82801 pep chromosome:Graimondii2_0_v6:13:53493233:53496030:1 gene:B456_013G214100 transcript:KJB82801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPTGKKKMQEAALKVVEANRQNKAATAADRTSKAVDEDTAIFINMSQELKEEGNRLFQKRDHEGAMLKYEKALNLLPRNHIDVAYLRSNMAACYMQMGLGEYPRAIAECNLALEVSPRYSKALLKRARCYEALNRLDLAYRDVYNVLTVEPNNLSALELLDSVKKAMDEKGVTVNENDLGLFDNEPSGGAARLRKVVKERKKKNKGKNVEKNEKADITVAEEKKTEDKVIVEVEKVNVDKVNDKEVVKTIEEEKKPLNEEKVITKPVKLVLGEDIRWAQMPVNCNIKFLRDVAKDRFPGLKGVLVKYRDPEGDLVTITTTDELRLAESSTGVAGGSLRFYIAEINPDREPAYEGMNKEEVIKSEGKLSNGVDNNDNAGCGVGAIKGTCVEDWIVQFARLFKNYVGFDSDSYLDLHELGMKLYSEAMEETVTSEDAQELFEIAADKFQEMAALALFNWGNVHMSRARKHVYTDDGSKESKFAQIKSGYEWAQKEYGLAAKRYEEALNIKPDFHEALLALGQQQFEQAKLCWYHAAGSKLDLAAGPSQEVLQLYNKAEDSMEKGMQLWEEMKEKRLNGLSKFDKYKAHLQKMGLDGLFQDVSSQEAAEQAASMCSQIYLLWGTLLYERSVVEYKLELPTWEECLEVSIEKFELAGASPTDIAVMIKNHCSNVTASEGVGFKIDEIVQAWNEMYDVKRWQIGVPSFRLEPLFRRRAPKLQSALEQV >KJB82802 pep chromosome:Graimondii2_0_v6:13:53493233:53496357:1 gene:B456_013G214100 transcript:KJB82802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPTGKKKMQEAALKVVEANRQNKAATAADRTSKAVDEDTAIFINMSQELKEEGNRLFQKRDHEGAMLKYEKALNLLPRNHIDVAYLRSNMAACYMQMGLGEYPRAIAECNLALEVSPRYSKALLKRARCYEALNRLDLAYRDVYNVLTVEPNNLSALELLDSVKKAMDEKGVTVNENDLGLFDNEPSGGAARLRKVVKERKKKNKGKNVEKNEKADITVAEEKKTEDKVIVEVEKVNVDKVNDKEVVKTIEEEKKPLNEEKVITKPVKLVLGEDIRWAQMPVNCNIKFLRDVAKDRFPGLKGVLVKYRDPEGDLVTITTTDELRLAESSTGVAGGSLRFYIAEINPDREPAYEGMNKEEVIKSEGKLSNGVDNNDNAGCGVGAIKGTCVEDWIVQFARLFKNYVGFDSDSYLDLHELGMKLYSEAMEETVTSEDAQELFEIAADKFQEMAALALFNWGNVHMSRARKHVYTDDGSKESKFAQIKSGYEWAQKEYGLAAKRYEEALNIKPDFHEALLALGQQQFEQAKLCWYHAAGSKLDLAAGPSQEVLQLYNKAEDSMEKGMQLWEEMKEKRLNGLSKFDKYKAHLQKMGLDGLFQDVSSQEAAEQAASMCSQIYLLWGTLLYERSVVEYKLELPTWEECLEVSIEKFELAGASPTDIAVMIKNHCSNVTASEGVGFKIDEIVQAWNEMYDVKRWQIGVPSFRLEPLFRRRAPKLQSALEQV >KJB83660 pep chromosome:Graimondii2_0_v6:13:57309530:57313476:1 gene:B456_013G257100 transcript:KJB83660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSQAADQLLKQSLQQQQQQNQQSLNRQLLFSMAPGDDFHRFALAEPRSIADQEAEAMVVKSPLKRKSDVADREVESGDKFGPQTPSNLGSPGNNLTPAGPCRYDSSLGLLTKKFINLIKQAEDGILDLNKAAGTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVLRPGEVDENVATLQAEVENLHNQEHRLDTQIRNMQERLRDLSEDENNKKWLFVTEEDIKSLPCFQNEMLIAIKAPHGTTLDVPDPDEDDDSLQRRYRIVLRSSIGPIDVYLVSQIEEKFEEIQGVGLPSNLPCTSGLDENPAARMVTEESRDVETQGQYINGMCLDHHVSQDFVSGIMKIVPSDLDNDADYWLLSDPSVSITDIWRTESGIELNNVDTLQYTGMAAGSTTQPQTPPLNAAEVLPPGNSIGR >KJB83663 pep chromosome:Graimondii2_0_v6:13:57309778:57313142:1 gene:B456_013G257100 transcript:KJB83663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSQAADQLLKQSLQQQQQQNQQSLNRQLLFSMAPGDDFHRFALAEPRSIADQEAEAMVVKSPLKRKSDVADREVESGEWTLPRGYNEVSSGLPQTPVSGKGGKAQKTSRLAKSSKFGPQTPSNLGSPGNNLTPAGPCRYDSSLGLLTKKFINLIKQAEDGILDLNKAAGTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKYDMGLDVLRPGEVDENVATLQAEVENLHNQEHRLDTQIRNMQERLRDLSEDENNKKWLFVTEEDIKSLPCFQNEMLIAIKAPHGTTLDVPDPDEDDDSLQRRYRIVLRSSIGPIDVYLVSQIEEKFEEIQGVGLPSNLPCTSGLDENPAARMVTEESRDVETQGQYINGMCLDHHVSQDFVSGIMKIVPSDLDNDADYWLLSDPSVSITDIWRTESGIELNNVDTLQYTGMAAGSTTQPQTPPLNAAEVLPPGNSIGR >KJB83662 pep chromosome:Graimondii2_0_v6:13:57309530:57313476:1 gene:B456_013G257100 transcript:KJB83662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSQAADQLLKQSLQQQQQQNQQSLNRQLLFSMAPGDDFHRFALAEPRSIADQEAEAMVVKSPLKRKSDVADREVESGEWTLPRGYNEVSSGLPQTPVSGKGGKAQKTSRLAKSSKFGPQTPSNLGSPGNNLTPAGPCRYDSSLGIVFAPEYSFSGLLTKKFINLIKQAEDGILDLNKAAGTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVLRPGEVDENVATLQAEVENLHNQEHRLDTQIRNMQERLRDLSEDENNKKWLFVTEEDIKSLPCFQNEMLIAIKAPHGTTLDVPDPDEDDDSLQRRYRIVLRSSIGPIDVYLVSQIEEKFEEIQGVGLPSNLPCTSGLDENPAARMVTEESRDVETQGQYINGMCLDHHVSQDFVSGIMKIVPSDLDNDADYWLLSDPSVSITDIWRTESGIELNNVDTLQYTGMAAGSTTQPQTPPLNAAEVLPPGNSIGR >KJB83661 pep chromosome:Graimondii2_0_v6:13:57309530:57313476:1 gene:B456_013G257100 transcript:KJB83661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSQAADQLLKQSLQQQQQQNQQSLNRQLLFSMAPGDDFHRFALAEPRSIADQEAEAMVVKSPLKRKSDVADREVESGEWTLPRGYNEVSSGLPQTPVSGKGGKAQKTSRLAKSSKFGPQTPSNLGSPGNNLTPAGPCRYDSSLGLLTKKFINLIKQAEDGILDLNKAAGTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVLRPGEVDENVATLQAEVENLHNQEHRLDTQIRNMQERLRDLSEDENNKKWLFVTEEDIKSLPCFQNEMLIAIKAPHGTTLDVPDPDEDDDSLQRRYRIVLRSSIGPIDVYLVSQIEEKFEEIQGVGLPSNLPCTSGLDENPAARMVTEESRDVETQGQYINGMCLDHHVSQDFVSGIMKIVPSDLDNDADYWLLSDPSVSITDIWRTESGIELNNVDTLQYTGMAAGSTTQPQTPPLNAAEVLPPGNSIGR >KJB83664 pep chromosome:Graimondii2_0_v6:13:57309907:57313142:1 gene:B456_013G257100 transcript:KJB83664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGDDFHRFALAEPRSIADQEAEAMVVKSPLKRKSDVADREVESGEWTLPRGYNEVSSGLPQTPVSGKGGKAQKTSRLAKSSKFGPQTPSNLGSPGNNLTPAGPCRYDSSLGLLTKKFINLIKQAEDGILDLNKAAGTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVLRPGEVDENVATLQAEVENLHNQEHRLDTQIRNMQERLRDLSEDENNKKWLFVTEEDIKSLPCFQNEMLIAIKAPHGTTLDVPDPDEQDDDSLQRRYRIVLRSSIGPIDVYLVSQIEEKFEEIQGVGLPSNLPCTSGLDENPAARMVTEESRDVETQGQYINGMCLDHHVSQDFVSGIMKIVPSDLDNDADYWLLSDPSVSITDIWRTESGIELNNVDTLQYTGMAAGSTTQPQTPPLNAAEVLPPGNSIGR >KJB79548 pep chromosome:Graimondii2_0_v6:13:5143279:5151268:-1 gene:B456_013G053800 transcript:KJB79548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKKIEKSSSRQVTFSKRRNGLLKKAKELAILCDAEVGLIIFSSTSKLHHFASSSMNSVIERYNKYKEENHHQLLDPASELKFWKKEVASLRQQLNDLQECQRQLMGKELSGLSFKDLQGLENQLEMSLKRVRMRKDQILTNQIDELNRKGHRIHQENLEVHKKLDLICHENTELQQKVNGNGAEEANEGSKSLSHSYGFNSGYDYLQAPVVDLRLSQPQQLPEADTSNLKTRST >KJB80545 pep chromosome:Graimondii2_0_v6:13:19247592:19254202:-1 gene:B456_013G102800 transcript:KJB80545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDASGLEAQQKRAGLLKDQVRLVKRKDCDRHEIVPIQGPLSFEKGFFIVIRACQLLAQKNDGIILVGLAGPSGAGKTVFTEKILNFMPSIATISMDNYNDSSRIVDGNFDDPRLTDYDTLLQNLHDLKEGKEVQVPIYDFKASSRIGYRTLEVPSSRIVIIEGIYALSEKLRPMLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAQIKIINKFNPFTGFQSPTYILKSARELTVEQIKSAISDEHIETQEQTYDIYLLPPGEDPESCQSYLRMRNKDGKYSLMFEEWVTDIPFVISPRITFEVSVRLLGGLMALGYTIATILKRNSHVFSDDKVCVKIDWLEQLNRQYFQVQGRDRSVVKCVAEKLGLEGSYISRTYIEQIQLEKLVNEVMALPEDLKTKLSLDEDLVSSPKDALLRASVDRVALRNRHLKSGISHSYSTQREKNMSNFAGYNVNNRRFGERNSESALANEGVITQLSEQISSLNDRMDEFTTRIEELNSKLTIKRYTSSQQNLAFQAESCNGSAPTSHFINGLGNGSIMPNSSSSSQLAKDSPIMEEISSVARGQRQIMHQLDNLSNLLREGIGERSQAASTRKKNMMAGGEDSIKVPVILTLAIGGLGIFLYRGILTRH >KJB80543 pep chromosome:Graimondii2_0_v6:13:19247577:19254399:-1 gene:B456_013G102800 transcript:KJB80543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDASGLEAQQKRAGLLKDQVRLVKRKDCDRHEIVPIQGPLSFEKGFFIVIRACQLLAQKNDGIILVGLAGPSGAGKTVFTEKILNFMPSIATISMDNYNDSSRIVDGNFDDPRLTDYDTLLQNLHDLKEGKEVQVPIYDFKASSRIGYRTLEVPSSRIVIIEGIYALSEKLRPMLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAQIKIINKFNPFTGFQSPTYILKSARELTVEQIKSAISDEHIETQEQTYDIYLLPPGEDPESCQSYLRMRNKDGKYSLMFEEWVTDIPFVISPRITFEVSVRLLGGLMALGYTIATILKRNSHVFSDDKVCVKIDWLEQLNRQYFQVQGRDRSVVKCVAEKLGLEGSYISRTYIEQIQLEKLVNEVMALPEDLKTKLSLDEDLVSSPKDALLRASVDRVALRNRHLKSGISHSYSTQREKNMSNFAGYNVNNRRFGERNSESALANEGVITQLSEQISSLNDRMDEFTTRIEELNSKLTIKRYTSSQQNLAFQAESCNGSAPTSHFINGLGNGSIMPNSSSSSQLAKDSPIMEEISSVARGQRQIMHQLDNLSNLLREGIGERSQAASTRKKNMMAGGEDSIKVPVILTLAIGGLGIFLYRGILTRH >KJB80544 pep chromosome:Graimondii2_0_v6:13:19247535:19252410:-1 gene:B456_013G102800 transcript:KJB80544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDASGLEAQQKRAGLLKDQVRLVKRKDCDRHEIVPIQGPLSFEKGFFIVIRACQLLAQKNDGIILVGLAGPSGAGKTVFTEKILNFMPSIATISMDNYNDSSRIVDGNFDDPRLTDYDTLLQNLHDLKEGKEVQVPIYDFKASSRIGYRTLEVPSSRIVIIEGIYALSEKLRPMLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAQIKIINKFNPFTGFQSPTYILKSARELTVEQIKSAISDEHIETQEQTYDIYLLPPGEDPESCQSYLRMRNKDGKYSLMFEEWVTDIPFVISPRITFEVSVRLLGGLMALGYTIATILKRNSHVFSDDKVCVKIDWLEQLNRQYFQVQGRDRSVVKCVAEKLGLEGSYISRTYIEQIQLEKLVNEVMALPEDLKTKLSLDEDLVSSPKDALLRASVDRVALRNRHLKSGISHSYSTQREKNMSNFAGYNVNNRRFGERNSESALANEGVITQLSEQISSLNDRMDEFTTRIEELNSKLTIKRYTSSQQNLAFQAESCNGSAPTSHFINGLGNGSIMPNSSSSSQLAKDSPIMEEISSVARGQRQIMHQLDNLSNLLREGIGERSQAASTRKKNMMAGGEDSIKVPVILTLAIGGLGIFLYRGILTRH >KJB80546 pep chromosome:Graimondii2_0_v6:13:19249012:19252364:-1 gene:B456_013G102800 transcript:KJB80546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDASGLEAQQKRAGLLKDQVRLVKRKDCDRHEIVPIQGPLSFEKGFFIVIRACQLLAQKNDGIILVGLAGPSGAGKTVFTEKILNFMPSIATISMDNYNDSSRIVDGNFDDPRLTDYDTLLQNLHDLKEGKEVQVPIYDFKASSRIGYRTLEVPSSRIVIIEGIYALSEKLRPMLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAQIKIINKFNPFTGFQSPTYILKSARELTVEQIKSAISDEHIETQEQTYDIYLLPPGEDPESCQSYLRMRNKDGKYSLMFEEWVTDIPFVISPRITFEVSVRLLGGLMALGYTIATILKRNSHVFSDDKVCVKIDWLEQLNRQYFQVQGRDRSVVKCVAEKLGLEGSYISRTYIEQIQLEKLVNEVMALPEDLKTKLSLDEDLVSSPKDALLRASVDRVALRNRHLKRYKHVIHIFLLHKNAVKWPI >KJB83347 pep chromosome:Graimondii2_0_v6:13:56163613:56164988:-1 gene:B456_013G242500 transcript:KJB83347 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATL43 [Source:Projected from Arabidopsis thaliana (AT5G05810) UniProtKB/TrEMBL;Acc:A0A178ULT1] MGLSYPSLPFFLPILFFIPLLFADNYTNYYNTNNNNNNNGNTNFSTNPFPSPSQTKPNSSSPFKPSTAVVAFVAILTTLFAIIFLLLLYSKHCGGDNDISNYNTTNPFSYSSSLVTAGRNSGINRVVVESLPLFRFSSLSGHKNGLECAVCLTRFEPDELLRLLPKCKHAFHAECVDTWLDAHSTCPLCRYRVDPEDILLISDQDPTTIASASSSNRFEPTESDRTRRVSGRHSYAAGERTAAATGGSYRKDGMLLKRMEHRIIVSGTGTESGYQQRWSDVQPSDLLYLRSEMIISQSRRLRIGGALVAETGNDDNNKNGNGKSEEERCRSR >KJB82648 pep chromosome:Graimondii2_0_v6:13:51770797:51774047:-1 gene:B456_013G206800 transcript:KJB82648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTMASHKRFPNFVSLILLSLVAIASAEVFFEERFEDGWESRWVKSDWKKDENMAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPEVNNKGKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNGTNHLIKKEVPCETDQLTHVYTFILRPDATYSILIDNVEKQTGSLYTDWDILPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKVPEDWDDEEDGEWTPSTIPNPEYKGPWKPKKIKNPNYKGKWKAPIIDNPDFKDDPDLYVFPNLKYVGIELWQVKSGTMFDNILVADDVEYAKKLAEETWGKQKDAEKAAFEEAEKKREEEESKDAPVDSDAEDEDGADDTEGHESDSDTKSDDEDKEDAHDEL >KJB82645 pep chromosome:Graimondii2_0_v6:13:51770428:51773030:-1 gene:B456_013G206800 transcript:KJB82645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNGTNHLIKKEVPCETDQLTHVYTFILRPDATYSILIDNVEKQTGSLYTDWDILPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTPSTIPNPEYKGPWKPKKIKNPNYKGKWKAPIIDNPDFKDDPDLYVFPNLKYVGIELWQVKSGTMFDNILVADDVEYAKKLAEETWGKQKDAEKAAFEEAEKKREEEESKDAPVDSDAEDEDGADDTEGHESDSDTKSDDEDKEDAHDEL >KJB82647 pep chromosome:Graimondii2_0_v6:13:51770583:51774122:-1 gene:B456_013G206800 transcript:KJB82647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTMASHKRFPNFVSLILLSLVAIASAEVFFEERFEDGWESRWVKSDWKKDENMAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPEVNNKGKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNGTNHLIKKEVPCETDQLTHVYTFILRPDATYSILIDNVEKQTGSLYTDWDILPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTPSTIPNPEYKGPWKPKKIKNPNYKGKWKAPIIDNPDFKDDPDLYVFPNLKYVGIELWQVKSGTMFDNILVADDVEYAKKLAEETWGKQKDAEKAAFEEAEKKREEESKDAPVDSDAEDEDGADDTEGHESDSDTKSDDEDKEDAHDEL >KJB82646 pep chromosome:Graimondii2_0_v6:13:51770459:51774154:-1 gene:B456_013G206800 transcript:KJB82646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTMASHKRFPNFVSLILLSLVAIASAEVFFEERFEDGWESRWVKSDWKKDENMAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPEVNNKGKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNGTNHLIKKEVPCETDQLTHVYTFILRPDATYSILIDNVEKQTGSLYTDWDILPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTPSTIPNPEYKGPWKPKKIKNPNYKGKWKAPIIDNPDFKDDPDLYVFPNLKYVGIELWQVKSGTMFDNILVADDVEYAKKLAEETWGKQKDAEKAAFEEAEKKREEEESKDAPVDSDAEDEDGADDTEGHESDSDTKSDDEDKEDAHDEL >KJB81051 pep chromosome:Graimondii2_0_v6:13:33134887:33136285:1 gene:B456_013G127300 transcript:KJB81051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCEEAFESTYSEPGCAICGCGLVKAFQKTYYNDYGGGANEHQSGFNKGTGLGAEIIGTFVLVYTVFSTTDPKRNARDSHVPVLAPLPVEFAVFMVHLATIPVNGTGINPARSFGAAVIYNKVEVDQNTHHAKLVNIPGSYTKSVE >KJB78417 pep chromosome:Graimondii2_0_v6:13:394865:395631:-1 gene:B456_013G005600 transcript:KJB78417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEMLADSLMKVFMFLIVQALVYLILSKSSNVFSNDKMRSFSFKRARSMSIRRILASVSDLPQGVESPPSSSPPPLVSSRSLRSSTRKYGEEHESH >KJB82319 pep chromosome:Graimondii2_0_v6:13:49056286:49059752:-1 gene:B456_013G189200 transcript:KJB82319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWSAQLLHKYRNKSQNILCSFRTLTLANSPQGTSVSIPSVSKDDYFAAIHHISNIIRREVHPERTLNRMNLSVNSELVFRILRSCSNSPTESLRFFSWARSHYTPTSVEFEELVKILILHRKYESMWKTIQQMQKQQLSLSCDILSFIIEEYGKNGLIDQAVEVFNKSTNLGCKQTVSVYNSLLFALCEVKMFHGAYALIRRMIRKGELPDKRTYTVLVNGWCSSGKMKEAQDFLEDMSKKGFNPPVRGRDLLIEGLLNAGYLESAKKMVRRMTKEGFVPDIATFNSLAETICKTEEIDFCIDMYHSVCKLGLCPDINTYKILIPAASKVGRIDEAFRLLNNSIEQGYKPFPSLYAPIIKGLCRKGQFDDAFSFFGEMKIKGHAPNRPVYTMLITMCGRGGRFVEAANYLVEMTELGLAPISRCFDMVTDGLKNCGKHDLAKRIEQLEVSLRHV >KJB82318 pep chromosome:Graimondii2_0_v6:13:49057257:49059752:-1 gene:B456_013G189200 transcript:KJB82318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWSAQLLHKYRNKSQNILCSFRTLTLANSPQGTSVSIPSVSKDDYFAAIHHISNIIRREVHPERTLNRMNLSVNSELVFRILRSCSNSPTESLRFFSWARSHYTPTSVEFEELVKILILHRKYESMWKTIQQMQKQQLSLSCDILSFIIEEYGKNGLIDQAVEVFNKSTNLGCKQTVSVYNSLLFALCEVKMFHGAYALIRRMIRKGELPDKRTYTVLVNGWCSSGKMKEAQDFLEDMSKKGFNPPVRGRDLLIEGLLNAGYLESAKKMVRRMTKEGFVPDIATFNSLAETICKTEEIDFCIDMYHSVCKLGLCPDINTYKILIPAASKVGRIDEAFRLLNNSIEQGYKPFPSLYAPIIKGLCRKGQFDDAFSFFGEMKIKGHAPNRPVYTMLITMCGRGGRFVEAANYLVEMTELGLAPISRCFDMVTDGLKNCGKHDLAKRIEQLEVSLRHV >KJB82320 pep chromosome:Graimondii2_0_v6:13:49056743:49059752:-1 gene:B456_013G189200 transcript:KJB82320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWSAQLLHKYRNKSQNILCSFRTLTLANSPQGTSVSIPSVSKDDYFAAIHHISNIIRREVHPERTLNRMNLSVNSELVFRILRSCSNSPTESLRFFSWARSHYTPTSVEFEELVKILILHRKYESMWKTIQQMQKQQLSLSCDILSFIIEEYGKNGLIDQAVEVFNKSTNLGCKQTVSVYNSLLFALCEVKMFHGAYALIRRMIRKGELPDKRTYTVLVNGWCSSGKMKEAQDFLEDMSKKGFNPPVRGRDLLIEGLLNAGYLESAKKMVRRMTKEGFVPDIATFNSLAETICKTEEIDFCIDMYHSVCKLGLCPDINTYKILIPAASKVGRIDEAFRLLNNSIEQGYKPFPSLYAPIIKGLCRKGQFDDAFSFFGEMKIKGHAPNRPVYTMLITMCGRGGRFVEAANYLVEMTELGLAPISRCFDMVTDGLKNCGKHDLAKRIEQLEVSLRHV >KJB80924 pep chromosome:Graimondii2_0_v6:13:31029291:31031772:1 gene:B456_013G121700 transcript:KJB80924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAMNIEALRATYGDDESSDFDSDHSPTLSLPANSNPQTEDTLSSPLPPPPVSLLHPPNSLGSLDYLQTGQPSRVRSFPHVEGNYALHVYIPEMGQFLKRVSSVVPNLHVKDIDVPLNTLCKEEHKLEQVALGREFQISLGRTVPIRVHQIDSIVTMLRQKLQFQKRYWIDFNKWEVFINDDRTRTFLSLKVVTGGLPEITKQIQAVNEVYKFHNLPEFYKDPRPHISLAWALGDVSGSLKKVVEQETKSSVFRGSL >KJB80925 pep chromosome:Graimondii2_0_v6:13:31030562:31031985:1 gene:B456_013G121700 transcript:KJB80925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQFLKRVSSVVPNLHVKDIDVPLNTLCKEEHKLEQVALGREFQISLGRTVPIRVHQIDSIVTMLRQKLQFQKRYWIDFNKWEVFINDDRTRTFLSLKVVTGGLPEITKQIQAVNEVYKFHNLPEFYKDPRPHISLAWALGDVSGSLKKVVEQETKSSVFRGSL >KJB81322 pep chromosome:Graimondii2_0_v6:13:37519874:37521249:1 gene:B456_013G139200 transcript:KJB81322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLFGFFFLFSIFLTCQFGGTAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLISRGIDPQTHRPLNQTANTNTVTAPTELDFRNMPTSVSKSSSIKNPSLDFNYNEFQFKSNTDSLEEPNCTASSGMTTDEEQQEQLHKQQQYDPSNGQDLNLELSIGIVSADSSRVSSANSAESKPKVDNNNFQFLEQAMVAKAVCLCWQLGFGTSEICRNCQNSNSNGFYSYCRPLDS >KJB81321 pep chromosome:Graimondii2_0_v6:13:37519857:37521249:1 gene:B456_013G139200 transcript:KJB81321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDQRLINYIRVHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLISRGIDPQTHRPLNQTANTNTVTAPTELDFRNMPTSVSKSSSIKNPSLDFNYNEFQFKSNTDSLEEPNCTASSGMTTDEEQQEQLHKQQQYDPSNGQDLNLELSIGIVSADSSRVSSANSAESKPKVDNNNFQFLEQAMVAKAVCLCWQLGFGTSEICRNCQNSNSNGFYSYCRPLDS >KJB83977 pep chromosome:Graimondii2_0_v6:13:56429413:56434689:-1 gene:B456_013G245500 transcript:KJB83977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVYSIFILFFIDSFLPSSSSSSSSKHHFLGISPQDENYYKSSSDTISCKDGSNKFSKSQFNDDFCDCLDGTDEPGTSACPTAKFYCKNAGHIPQFLFSSRVNDGICDCCDGSDEYDGQVKCPNTCWEAGKVARDRLIKKIATYKEGAALRKLEVEKAKVAIAKEEAELTLLKNEEKVLKVHVGELKEHKELIEKEEEKVRLQKEKEEKGKREAEEDLREKGKADKEGKVEHEKVEEEASTENQLTESSHDDIIGNVEDSSSKEHALENTHESASPTTEDVSSVATEIADDAGSKISPDVDKKENEVLSDISEGISREELGRIVASRWTGESTKNQGSNKDHADDSHEETPKDTHDEQYDHHATDTVVDTGKDDNEKYDYEIDDEQDESYEEESHDDMSSYNYDDEPDLSDTTSSYNSSWLEKIQQKARNILKAFNLFQTPVNLTEAATVRKEYVDSSTKLSKIQSRISKLKEKLKHDFGPEKEFYAFYGHCFETNQTKYVYKVCPYKQASQEEGYTSTSLGNWDKFEDSYRMMVFSNGENCWNGPDRSMKVKLRCGLKNEITDVDEPSRCEYVAVLSTPAVCLEDKLKELQHKLDLMNKEQPREHDEL >KJB83978 pep chromosome:Graimondii2_0_v6:13:56429422:56433288:-1 gene:B456_013G245500 transcript:KJB83978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLLYFAEHKELIEKEEEKVRLQKEKEEKGKREAEEDLREKGKADKEGKVEHEKVEEEASTENQLTESSHDDIIGNVEDSSSKEHALENTHESASPTTEDVSSVATEIADDAGSKISPDVDKKENEVLSDISEGISREELGRIVASRWTGESTKNQGSNKDHADDSHEETPKDTHDEQYDHHATDTVVDTGKDDNEKYDYEIDDEQDESYEEESHDDMSSYNYDDEPDLSDTTSSYNSSWLEKIQQKARNILKAFNLFQTPVNLTEAATVRKEYVDSSTKLSKIQSRISKLKEKLKHDFGPEKEFYAFYGHCFETNQTKYVYKVCPYKQASQEEGYTSTSLGNWDKFEDSYRMMVFSNGENCWNGPDRSMKVKLRCGLKNEITDVDEPSRCEYVAVLSTPAVCLEDKLKELQHKLDLMNKEQPREHDEL >KJB83981 pep chromosome:Graimondii2_0_v6:13:56429422:56434567:-1 gene:B456_013G245500 transcript:KJB83981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVYSIFILFFIDSFLPSSSSSSSSKHHFLGISPQDENYYKSSSDTISCKDGSNKFSKSQFNDDFCDCLDGTDEPGTSACPTAKFYCKNAGHIPQFLFSSRVNDGICEHKELIEKEEEKVRLQKEKEEKGKREAEEDLREKGKADKEGKVEHEKVEEEASTENQLTESSHDDIIGNVEDSSSKEHALENTHESASPTTEDVSSVATEIADDAGSKISPDVDKKENEVLSDISEGISREELGRIVASRWTGESTKNQGSNKDHADDSHEETPKDTHDEQYDHHATDTVVDTGKDDNEKYDYEIDDEQDESYEEESHDDMSSYNYDDEPDLSDTTSSYNSSWLEKIQQKARNILKAFNLFQTPVNLTEAATVRKEYVDSSTKLSKIQSRISKLKEKLKHDFGPEKEFYAFYGHCFETNQTKYVYKVCPYKQASQEEGYTSTSLGNWDKFEDSYRMMVFSNGENCWNGPDRSMKVKLRCGLKNEITDVDEPSRCEYVAVLSTPAVCLEDKLKELQHKLDLMNKEQPREHDEL >KJB83979 pep chromosome:Graimondii2_0_v6:13:56429982:56434456:-1 gene:B456_013G245500 transcript:KJB83979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVYSIFILFFIDSFLPSSSSSSSSKHHFLGISPQDENYYKSSSDTISCKDGSNKFSKSQFNDDFCDCLDGTDEPGTSACPTAKFYCKNAGHIPQFLFSSRVNDGICDCCDGSDEYDGQVKCPNTCWEAGKVARDRLIKKIATYKEGAALRKLEVEKAKVAIAKEEAELTLLKNEEKVLKVHVGELKEHKELIEKEEEKVRLQKEKEEKGKREAEEDLREKGKADKEGKVEHEKVEEEASTENQLTESSHDDIIGNVEDSSSKEHALENTHESASPTTEDVSSVATEIADDAGSKISPDVDKKENEVLSDISEGISREELGRIVASRWTGESTKNQGSNKDHADDSHEETPKDTHDEQYDHHATDTVVDTGKDDNEKYDYEIDDEQDESYEEESHDDMSSYNYDDEPDLSDTTSSYNSSWLEKIQQKARNILKAFNLFQTPVNLTEAATVRKEYVDSSTKLSKIQSRISKLKEKLKHDFGPEKEFYAFYGHCFETNQTKYVYKVCPYKQASQEEGYTSTSLGNWDKFEDSYRMMVFSNGENCWNGPDRSMKVKLRCGLKNEITDVDEPSRCE >KJB83980 pep chromosome:Graimondii2_0_v6:13:56429422:56434567:-1 gene:B456_013G245500 transcript:KJB83980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITISHHPILLAAKMDPINSPNPSSMMISVIALMAPTSLVWVFLIVLMGTSACPTAKFYCKNAGHIPQFLFSSRVNDGICDCCDGSDEYDGQVKCPNTCWEAGKVARDRLIKKIATYKEGAALRKLEVEKAKVAIAKEEAELTLLKNEEKVLKVHVGELKEHKELIEKEEEKVRLQKEKEEKGKREAEEDLREKGKADKEGKVEHEKVEEEASTENQLTESSHDDIIGNVEDSSSKEHALENTHESASPTTEDVSSVATEIADDAGSKISPDVDKKENEVLSDISEGISREELGRIVASRWTGESTKNQGSNKDHADDSHEETPKDTHDEQYDHHATDTVVDTGKDDNEKYDYEIDDEQDESYEEESHDDMSSYNYDDEPDLSDTTSSYNSSWLEKIQQKARNILKAFNLFQTPVNLTEAATVRKEYVDSSTKLSKIQSRISKLKEKLKHDFGPEKEFYAFYGHCFETNQTKYVYKVCPYKQASQEEGYTSTSLGNWDKFEDSYRMMVFSNGENCWNGPDRSMKVKLRCGLKNEITDVDEPSRCEYVAVLSTPAVCLEDKLKELQHKLDLMNKEQPREHDEL >KJB79150 pep chromosome:Graimondii2_0_v6:13:2741382:2746043:1 gene:B456_013G035300 transcript:KJB79150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGVSGSDEKRERKSDFENSEDERRTKMGSLKKKALNASTRFKHSLKKKSSRRKSDGRVSSVSIEDIRDVEELQAVDQFRQALIMEELLPEKHDDYHKMLRFLKARKFDIDKAKHMWADMLQWRKEFGSDTIIEDFEFSELNEVLNYYPQGYHGVDKEGRPVYIERLGKVDPNKLMQVTTLDRYVKYHVREFEKAFSVKFPACTIAARRHIDSSTTILDVHGVGFKNFTKSARELIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVIGNKYQSKLLEIIDACELPEFLGGSCTCADQGGCLRSDKGPWRNPEILKMVVNCEARRARQVEKVWNGNGKVIAYAKPPYLMLKGSDTSTAESGSEAEEIASPKAMKNYSQLRLTPVREETKVVGKTSHAGNFSGYDEYVPMVDKAVDASWKKPTSPLMPSGSKGVITLLETPKTPEGIRARILLTIMTFFMTLYTLLRSVACHITRKLPGAVSGHCQNITELTPGATQKEEHSPSPPLSQADLLSSVLKRLVELEEKVDTLQAKPSVMPYDKEELLNAAVCRVDALEAELIAAKKVMLAPLILLLFTKPLSIFLL >KJB79151 pep chromosome:Graimondii2_0_v6:13:2741382:2746868:1 gene:B456_013G035300 transcript:KJB79151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGVSGSDEKRERKSDFENSEDERRTKMGSLKKKALNASTRFKHSLKKKSSRRKSDGRVSSVSIEDIRDVEELQAVDQFRQALIMEELLPEKHDDYHKMLRFLKARKFDIDKAKHMWADMLQWRKEFGSDTIIEDFEFSELNEVLNYYPQGYHGVDKEGRPVYIERLGKVDPNKLMQVTTLDRYVKYHVREFEKAFSVKFPACTIAARRHIDSSTTILDVHGVGFKNFTKSARELIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVIGNKYQSKLLEIIDACELPEFLGGSCTCADQGGCLRSDKGPWRNPEILKMVVNCEARRARQVEKVWNGNGKVIAYAKPPYLMQLKGSDTSTAESGSEAEEIASPKAMKNYSQLRLTPVREETKVVGKTSHAGNFSGYDEYVPMVDKAVDASWKKPTSPLMPSGSKGVITLLETPKTPEGIRARILLTIMTFFMTLYTLLRSVACHITRKLPGAVSGHCQNITELTPGATQKEEHSPSPPLSQADLLSSVLKRLVELEEKVDTLQAKPSVMPYDKEELLNAAVCRVDALEAELIAAKKALHDALMRQEELLAYLDRQEEAKLRKKKFCW >KJB79148 pep chromosome:Graimondii2_0_v6:13:2741368:2746985:1 gene:B456_013G035300 transcript:KJB79148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGVSGSDEKRERKSDFENSEDERRTKMGSLKKKALNASTRFKHSLKKKSSRRKSDGRVSSVSIEDIRDVEELQAVDQFRQALIMEELLPEKHDDYHKMLRFLKARKFDIDKAKHMWADMLQWRKEFGSDTIIEDFEFSELNEVLNYYPQGYHGVDKEGRPVYIERLGKVDPNKLMQVTTLDRYVKYHVREFEKAFSVKFPACTIAARRHIDSSTTILDVHGVGFKNFTKSARELIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVIGNKYQSKLLEIIDACELPEFLGGSCTCADQGGCLRSDKGPWRNPEILKMVVNCEARRARQVEKVWNGNGKVIAYAKPPYLMLKGSDTSTAESGSEAEEIASPKAMKNYSQLRLTPVREETKVVGKTSHAGNFSGYDEYVPMVDKAVDASWKKPTSPLMPSGSKGVITLLETPKTPEGIRARILLTIMTFFMTLYTLLRSVACHITRKLPGAVSGHCQNITELTPGATQKEEHSPSPPLSQADLLSSVLKRLVELEEKVDTLQAKPSVMPYDKEELLNAAVCRVDALEAELIAAKKALHDALMRQEELLAYLDRQEEAKLRKKKFCW >KJB79152 pep chromosome:Graimondii2_0_v6:13:2741382:2746868:1 gene:B456_013G035300 transcript:KJB79152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWADMLQWRKEFGSDTIIEDFEFSELNEVLNYYPQGYHGVDKEGRPVYIERLGKVDPNKLMQVTTLDRYVKYHVREFEKAFSVKFPACTIAARRHIDSSTTILDVHGVGFKNFTKSARELIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVIGNKYQSKLLEIIDACELPEFLGGSCTCADQGGCLRSDKGPWRNPEILKMVVNCEARRARQVEKVWNGNGKVIAYAKPPYLMLKGSDTSTAESGSEAEEIASPKAMKNYSQLRLTPVREETKVVGKTSHAGNFSGYDEYVPMVDKAVDASWKKPTSPLMPSGSKGVITLLETPKTPEGIRARILLTIMTFFMTLYTLLRSVACHITRKLPGAVSGHCQNITELTPGATQKEEHSPSPPLSQADLLSSVLKRLVELEEKVDTLQAKPSVMPYDKEELLNAAVCRVDALEAELIAAKKALHDALMRQEELLAYLDRQEEAKLRKKKFCW >KJB79153 pep chromosome:Graimondii2_0_v6:13:2741382:2746868:1 gene:B456_013G035300 transcript:KJB79153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGVSGSDEKRERKSDFENSEDERRTKMGSLKKKALNASTRFKHSLKKKSSRRKSDGRVSSVSIEDIRDVEELQAVDQFRQALIMEELLPEKHDDYHKMLRFLKARKFDIDKAKHMWADMLQWRKEFGSDTIIEDFEFSELNEVLNYYPQGYHGVDKEGRPVYIERLGKVDPNKLMQVTTLDRYVKYHVREFEKAFSVKFPACTIAARRHIDSSTTILDVHGVGFKNFTKSARELIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVIGNKYQSKLLEIIDACELPEFLGGSCTCADQGGCLRSDKGPWRNPEILKMVVNCEARRARQVEKVWNGNGKVIAYAKPPYLMLKGSDTSTAESGSEAEEIASPKAMKNYSQLRLTPVREETKVVGKTSHAGNFSGYDEYVPMVDKAVDASWKKPTSPLMPSGSKGSS >KJB79149 pep chromosome:Graimondii2_0_v6:13:2741176:2746985:1 gene:B456_013G035300 transcript:KJB79149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGVSGSDEKRERKSDFENSEDERRTKMGSLKKKALNASTRFKHSLKKKSSRRKSDGRVSSVSIEDIRDVEELQAVDQFRQALIMEELLPEKHDDYHKMLRFLKARKFDIDKAKHMWADMLQWRKEFGSDTIIEDFEFSELNEVLNYYPQGYHGVDKEGRPVYIERLGKVDPNKLMQVTTLDRYVKYHVREFEKAFSVKFPACTIAARRHIDSSTTILDVHGVGFKNFTKSARELIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVIGNKYQSKLLEIIDACELPEFLGGSCTCADQGGCLRSDKGPWRNPEILKMVVNCEARRARQVEKVWNGNGKVIAYAKPPYLMLKGSDTSTAESGSEAEEIASPKAMKNYSQLRLTPVREETKVVGKTSHAGNFSGYDEYVPMVDKAVDASWKKPTSPLMPSGSKGVITLLETPKTPEGIRARILLTIMTFFMTLYTLLRSVACHITRKLPGAVSGHCQNITELTPGATQKEEHSPSPPLSQADLLSSVLKRLVELEEKVDTLQAKPSVMPYDKEELLNAAVCRVDALEAELIAAKKALHDALMRQEELLAYLDRQEEAKLRKKKFCW >KJB82516 pep chromosome:Graimondii2_0_v6:13:51122459:51123640:1 gene:B456_013G200200 transcript:KJB82516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKYNGLEATTKVVTRSLSSPLCDSKTEQLQRMRLKPPPLMIDKKFLYKQLSMFETSRDIAWERRRRQILRQERKKEGIIVSENGLTDEDLYELKGCIELGFGFNEEAAQKLCNTLPALDLYFAVNRQLSPLPSPQSQSCSPSPPSVGYIPPCVESPRSELDWKIYISGDNPQQVKTKLRHWAQAVACSLMQSC >KJB81015 pep chromosome:Graimondii2_0_v6:13:32546414:32549140:-1 gene:B456_013G125300 transcript:KJB81015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mediator of RNA polymerase II transcription subunit 37b [Source:Projected from Arabidopsis thaliana (AT1G09080) UniProtKB/Swiss-Prot;Acc:Q8H1B3] MAAKNKAFWVLFLFISEFLLGIAVASNDSKVGAVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAALNAERTIFDVKRLIGRKFNDPEVQRDVKFLPYKVVNKDGKPYIQVKVKGETKVFSAEEISAMVLTKMKETAEAYLGKKIKDAVITVPAYFNDAQRQATKDAGTIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVYDLGGGTFDVSILTIDNGVFEVLSTSGDTHLGGEDFDHRVMDYFIKLIKKKYNKDISKDNKALGKLRRECERAKRALSSQHQVRVEVESLFDGVDFSEPLTRARFEELNMDLFKKTLGPVKKALEDANLKKFDINEIVLVGGSTRIPKVQQLLKDLFDGKEPNKGINPDEAIAYGAAVQGGILSGEGGEETKDILLLDVAPLSLGVETVGGVMTKLIPRNTVIPTKKSQVFTTYEDQQSTVSIKVYEGERSLTKDCRELGRFDLTGIPPAPRGVPQIEVTFEVDANGILHVTAEDKAAKKAQSITITNDKGRLSQEEIERMVKEAEEFAEEDKKVREKIDSRNKLETYIYNMRSSIDDKDKLADKIDSDEKEKIENTLREALEWLDDNQNGEKEDFDEKLKEVEAVCNPIIKQVYGKSGGSSANDDEPTDEL >KJB83207 pep chromosome:Graimondii2_0_v6:13:55352897:55355746:-1 gene:B456_013G235500 transcript:KJB83207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFRRSSTLVIVPTFLVLVIVLCLCSFSSMNPKNLSSTNFLSSFPTKQPSLLSATSDRDVVSHVKRVSNVEAGLAKARAAISEAIRTKSYTSDREETFVPRGSVYRNPYGFHHHIEMVKRFKIWTYKEGERPLVHNGPMKNIYAIEGQFIDEIESRKSQFKVQDPNEAHVLFLPISVGHIVKYIYMPITTYDRDRLVRIFTDYIKVVSHKYPFWNRTNGADHFMLSCHDWAPDVSMKDPQLYRNLIKVLCNANSSEGFHPNRDVTLPEIKVPPQGFSGERRFIQPPENRTILAFFAGGGHGNIRKILLHHWKDKDEEVRVHKYLPDGQDYNELMGKSKYCLCPSGFEVASPRVVESFYAGCVPVIISDSYVLPFSDVLDWREFSVKISPEKITEIKRILKGIPEKEYRKMQKRVVKLRRHFELNRPAKPFDILHMVLHSIWLRRLNTLLL >KJB83208 pep chromosome:Graimondii2_0_v6:13:55352897:55355787:-1 gene:B456_013G235500 transcript:KJB83208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFRRSSTLVIVPTFLVLVIVLCLCSFSSMNPKNLSSTNFLSSFPTKQPSLLSATSDRDVVSHVKRVSNVEAGLAKARAAISEAIRTKSYTSDREETFVPRGSVYRNPHIEMVKRFKIWTYKEGERPLVHNGPMKNIYAIEGQFIDEIESRKSQFKVQDPNEAHVLFLPISVGHIVKYIYMPITTYDRDRLVRIFTDYIKVVSHKYPFWNRTNGADHFMLSCHDWAPDVSMKDPQLYRNLIKVLCNANSSEGFHPNRDVTLPEIKVPPQGFSGERRFIQPPENRTILAFFAGGGHGNIRKILLHHWKDKDEEVRVHKYLPDGQDYNELMGKSKYCLCPSGFEVASPRVVESFYAGCVPVIISDSYVLPFSDVLDWREFSVKISPEKITEIKRILKGIPEKEYRKMQKRVVKLRRHFELNRPAKPFDILHMVLHSIWLRRLNTLLL >KJB80224 pep chromosome:Graimondii2_0_v6:13:13290081:13294809:1 gene:B456_013G087200 transcript:KJB80224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRNDYGKRSHFQPDYAGNGGGGVKRRNAGEENEQQHGIGPEDTVYRYLCHVKRIGSIIGRGGEIVKQLRLDSKSNIRICEALPGCEERVVMIYSSSEETNPFGDELVSPAQDALFRVHDRVVAEELPADEDLEEQTHVVTVRMLVASDQIGCVIGKGGQVIQSIRSETQAQIRVLSNEHLPPCALTSDELLQIIGEPSVVRKALYQVASRLHDNPSRSQHLLLSSLSNMNPSGGMYMNASLIGSYGNYSSRRDDGSAREFSLRLVCPVGNIGGVIGKGGGIIKQIRQESGASIKVDSSAAEGDDCIIFISTKEFIEDPSPTINAALRLQSRCSEKTERESGDSVITTRLLVPSSQVGCLIGKGGAIISGMRNATRASIRILSKENLPKVAYEDEEMVQITGGLDVASNAFSQVLLRLRANIFEREGAAATLLPVLPYIPMSLDISDGPKYGNKDGQPRNRGYSSYSGGYSPSDLTASDSKGNYSGSLSGGDIYGNHGGRNSSRGLSNLNQVSHRKHGY >KJB80221 pep chromosome:Graimondii2_0_v6:13:13290441:13292681:1 gene:B456_013G087200 transcript:KJB80221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRNDYGKRSHFQPDYAGNGGGGVKRRNAGEENEQQHGIGPEDTVYRYLCHVKRIGSIIGRGGEIVKQLRLDSKSNIRICEALPGCEERVVMIYSSSEETNPFGDELVSPAQDALFRVHDRVVAEELPADEDLEEQTHVVTVRMLVASDQIGCVIGKGGQVIQSIRSETQAQIRVLSNEHLPPCALTSDELLQIIGEPSVVRKALYQVASRLHDNPSRSQHLLLSSLSNMNPSGGMYMNASLIGSYGNYSSRRDDGSAREFSLRLVCPVGNIGGVIGKGGGIIKQIRQESGASIKVDSSAAEGDDCIIFISTKEFIEDPSPTINAALRLQSRCSEKTERESGDSVITTRLLVPSSQVGCLIGKGGAIISGMRNATRASIRILSKENLPKVAYEDEEMVQITGGLDVASNAFSQVLLRLRANIFEREGAAATLLPVLPYIPMSLDISDGPKYGNKDGQPRNRGYSSYSGGYSPSDLTASDSKGNYSGSLVSLSFHSFLCKDA >KJB80223 pep chromosome:Graimondii2_0_v6:13:13290081:13294809:1 gene:B456_013G087200 transcript:KJB80223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRNDYGKRSHFQPDYAGNGGGGVKRRNAGEENEQQHGIGPEDTVYRYLCHVKRIGSIIGRGGEIVKQLRLDSKSNIRICEALPGCEERVVMIYSSSEETNPFGDELVSPAQDALFRVHDRVVAEELPADEDLEEQTHVVTVRMLVASDQIGCVIGKGGQVIQSIRSETQAQIRVLSNEHLPPCALTSDELLQIIGEPSVVRKALYQVASRLHDNPSRSQHLLLSSLSNMNPSGGMYMNASLIGSYGNYSSRRDDGSAREFSLRLVCPVGNIGGVIGKGGGIIKQIRQESGASIKVDSSAAEGDDCIIFISTKEFIEDPSPTINAALRLQSRCSEKTERESGDSVITTRLLVPSSQVGCLIGKGGAIISGMRNATRASIRILSKENLPKVAYEDEEMVQITGGLDVASNAFSQVLLRLRANIFEREGAAATLLPVLPYIPMSLDISDGPKYGNKDGQPRNRGYSSYSGGYSPSDLTASDSKGNYSGSLSGGDIYGNHGGRNSSRGLSNLNQVSHRKHGY >KJB80225 pep chromosome:Graimondii2_0_v6:13:13290081:13294809:1 gene:B456_013G087200 transcript:KJB80225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRNDYGKRSHFQPDYAGNGGGGVKRRNAGEENEQQHGIGPEDTVYRYLCHVKRIGSIIGRGGEIVKQLRLDSKSNIRICEALPGCEERVVMIYSSSEETNPFGDELVSPAQDALFRVHDRVVAEELPADEDLEEQTHVVTVRMLVASDQIGCVIGKGGQVIQSIRSETQAQIRVLSNEHLPPCALTSDELLQIIGEPSVVRKALYQVASRLHDNPSRSQHLLLSSLSNMNPSGGMYMNASLIGSYGNYSSRRDDGSAREFSLRLVCPVGNIGGVIGKGGGIIKQIRQESGASIKVDSSAAEGDDCIIFISTKEFIEDPSPTINAALRLQSRCSEKTERESGDSVITTRLLVPSSQVGCLIGKGGAIISGMRNATRASIRILSKENLPKVAYEDEEMVQITGGLDVASNAFSQVLLRLRANIFEREGAAATLLPVLPYIPMSLDISDGPKYGNKDGQPRNRGYSSYSGGYSPSDLTASDSKGNYSGSLSGGDIYGNHGGRNSSRGLSNLNQVSHRKHGY >KJB80222 pep chromosome:Graimondii2_0_v6:13:13290441:13292907:1 gene:B456_013G087200 transcript:KJB80222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRNDYGKRSHFQPDYAGNGGGGVKRRNAGEENEQQHGIGPEDTVYRYLCHVKRIGSIIGRGGEIVKQLRLDSKSNIRICEALPGCEERVVMIYSSSEETNPFGDELVSPAQDALFRVHDRVVAEELPADEDLEEQTHVVTVRMLVASDQIGCVIGKGGQVIQSIRSETQAQIRVLSNEHLPPCALTSDELLQIIGEPSVVRKALYQVASRLHDNPSRSQHLLLSSLSNMNPSGGMYMNASLIGSYGNYSSRRDDGSAREFSLRLVCPVGNIGGVIGKGGGIIKQIRQESGASIKVDSSAAEGDDCIIFISTKEFIEDPSPTINAALRLQSRCSEKTERESGDSVITTRLLVPSSQVGCLIGKGGAIISGMRNATRASIRILSKENLPKVAYEDEEMVQITGGLDVASNAFSQVLLRLRANIFEREGAAATLLPVLPYIPMSLDISDGPKYGNKDGQPRNRGYSSYSGGYSPSDLTASDSKGNYSGSLSGGDIYGNHGGRNSSRG >KJB82810 pep chromosome:Graimondii2_0_v6:13:53536268:53536648:1 gene:B456_013G214900 transcript:KJB82810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTHMKAGHQTLNCQKQPMTPKPNHHVQNIEFKNVKDWATVFSGKFGHRETNTHYRDSKSSNRSLMKGNLQKKKKGEWPYSLEKGLSSGR >KJB81203 pep chromosome:Graimondii2_0_v6:13:35018741:35022573:-1 gene:B456_013G133000 transcript:KJB81203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIVNHLCFDQPNDYATSSLTTSIVSLCSTLKAPTRLILFALCIFIPLSPKSYNSDSIWGLGFVECFVFGFVRPLTRKKRNINQFPAMEGDLLDWEVLHNSDSDSNSILVNSPQSMYAKEGDASEEGSIESDNPSWIDPKPETQFRRNNSGDFWSDSGSDRSDDRKLSDFSLKKDLESAENEVCLQGISKTEARADELGTFKPDGAELTELDKIKELDFGGFGDIQDQDKDLSELWPDSGGDGLVSMKFKDVDKDAGIDFGGCMEKGAEMENSGELDNRNTSTLDLGVGHGTTAGNEGSTIDEMKLSAKSVNEGEKKKVVWWKVPFELLRYCVLRVSPVWSFSVAAAVMGFVILGRRLYKMKRKSSSLQLKVTMDDKKVSQFMTRAARLNEAFSVVRRVPIIRPSLPTAGVNTWSVMSMR >KJB81202 pep chromosome:Graimondii2_0_v6:13:35018664:35022573:-1 gene:B456_013G133000 transcript:KJB81202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIVNHLCFDQPNDYATSSLTTSIVSLCSTLKAPTRLILFALCIFIPLSPKSYNSDSIWGLGFVECFVFGFVRPLTRKKRNINQFPAMEGDLLDWEVLHNSDSDSNSILVNSPQVRNLEVIEGDTGGMIRSDYFSLDNQSMYAKEGDASEEGSIESDNPSWIDPKPETQFRRNNSGDFWSDSGSDRSDDRKLSDFSLKKDLESAENEVCLQGISKTEARADELGTFKPDGAELTELDKIKELDFGGFGDIQDQDKDLSELWPDSGGDGLVSMKFKDVDKDAGIDFGGCMEKGAEMENSGELDNRNTSTLDLGVGHGTTAGNEGSTIDEMKLSAKSVNEGEKKKVVWWKVPFELLRYCVLRVSPVWSFSVAAAVMGFVILGRRLYKMKRKSSSLQLKVTMDDKKVSQFMTRAARLNEAFSVVRRVPIIRPSLPTAGVNTWSVMSMR >KJB81346 pep chromosome:Graimondii2_0_v6:13:37736411:37736983:-1 gene:B456_013G140100 transcript:KJB81346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKQLDTKYSSSLRTPSFSSSSSSLPSSLGSSYYQDDSPLSPVTPFRYSGVPFSWERLPGIPKMLQSHKKKDSIKLLPLPPPTSKEASFNRKKSSSSTSTAVAESFRKDPFFTALVECSKDDEDDDERSSSKFRSGAKITRSISDRFGFINLYTCCKRTCAVSESIVYLPRRSSRTGTAKYGLINRRSR >KJB79297 pep chromosome:Graimondii2_0_v6:13:3679540:3692516:-1 gene:B456_013G042800 transcript:KJB79297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALVTGGASGIGKALCLALASKGIFVTIVDFSEEKGKEVASLVEKENSKFHQNLGFPSAIFVKCDVTSLRDLNLAFDKHVSTYGGLDICINNAGIANTALFWKDQTDGTKTWKHTINVNLVAVIDCTRLAIKSMQALQKPGVIINMGSSAGLYPMYIDPIYSATKGGVVMFTRSLAPYRRQGIRVNVLCPEFVQTEMGEKVGAKYITLLGGYVQMENVVKGAFDLIMDESRAGSCLWITNRRGMEYWPGPAEEVKYLVRSSSSSRRKISFRAPLGAQLPQSFEKVVVHKLTHNFRDATHIVRAPLKLPIESGHVLLKIIYAGVNASDVNFSSGRYFLGNKKDLSSLLPFDAGFEAVGIIAAVGDSVSNLEVGTPAAIMVYGGYAEFMTVSSKHILPIGRPDPEVVAMLTSGLTASIALEKVGQMESGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKARLLKDLGVDRVIDYKTEDIKTVLKNEFPKGVDIVYESVGGDMFDLCLNALAIRGRLIVIGMISQYQGEHGWKPKNYPGLVEKLLTKSQSVAGFFLPQYSYLWKEHLARQFDLYSSGKLKVVVCIDPTFEQQMAKL >KJB79294 pep chromosome:Graimondii2_0_v6:13:3679540:3690522:-1 gene:B456_013G042800 transcript:KJB79294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESRAGSCLWITNRRGMEYWPGPAEEVKYLVRSSSSSRRKISFRAPLGAQLPQSFEKVVVHKLTHNFRDATHIVRAPLKLPIESGHVLLKIIYAGVNASDVNFSSGRYFLGNKKDLSSLLPFDAGFEAVGIIAAVGDSVSNLEVGTPAAIMVYGGYAEFMTVSSKHILPIGRPDPEVVAMLTSGLTASIALEKVGQMESGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKARLLKDLGVDRVIDYKTEDIKTVLKNEFPKGVDIVYESVGGDMFDLCLNALAIRGRLIVIGMISQYQGEHGWKPKNYPGLVEKLLTKSQSVAGFFLPQYSYLWKEHLARQFDLYSSGKLKVAIDPKRFLGLHSVPDAVEHLHSGRSSGKVVVCIDPTFEQQMAKL >KJB79298 pep chromosome:Graimondii2_0_v6:13:3679540:3692516:-1 gene:B456_013G042800 transcript:KJB79298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALVTGGASGIGKALCLALASKGIFVTIVDFSEEKGKEVASLVEKENSKFHQNLGFPSAIFVKCDVTSLTLFWKDQTDGTKTWKHTINVNLVAVIDCTRLAIKSMQALQKPGVIINMGSSAGLYPMYIDPIYSATKGGVVMFTRSLAPYRRQGIRVNVLCPEFVQTEMGEKVGAKYITLLGGYVQMENVVKGAFDLIMDESRAGSCLWITNRRGMEYWPGPAEEVKYLVRSSSSSRRKISFRAPLGAQLPQSFEKVVVHKLTHNFRDATHIVRAPLKLPIESGHVLLKIIYAGVNASDVNFSSGRYFLGNKKDLSSLLPFDAGFEAVGIIAAVGDSVSNLEVGTPAAIMVYGGYAEFMTVSSKHILPIGRPDPEVVAMLTSGLTASIALEKVGQMESGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKARLLKDLGVDRVIDYKTEDIKTVLKNEFPKGVDIVYESVGGDMFDLCLNALAIRGRLIVIGMISQYQGEHGWKPKNYPGLVEKLLTKSQSVAGFFLPQYSYLWKEHLARQFDLYSSGKLKVAIDPKRFLGLHSVPDAVEHLHSGRSSGKVVVCIDPTFEQQMAKL >KJB79301 pep chromosome:Graimondii2_0_v6:13:3679540:3692592:-1 gene:B456_013G042800 transcript:KJB79301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALVTGGASGIGKALCLALASKGIFVTIVDFSEEKGKEVASLVEKENSKFHQNLGFPSAIFVKCDVTSLRDLNLAFDKHVSTYGGLDICINNAGIANTALFWKDQTDGTKTWKHTINVNLVAVIDCTRLAIKSMQALQKPGVIINMGSSAGLYPMYIDPIYSATKGGVVMFTRSLAPYRRQGIRVNVLCPEFVQTEMGEKVGAKYITLLGGYVQMENVVKGAFDLIMDESRAGSCLWITNRRGMEYWPGPAEEVKYLVRSSSSSRRKISFRAPLGAQLPQSFEKVVVHKLTHNFRDATHIVRAPLKLPIESGHVLLKIIYAGVNASDVNFSSGRYFLGNKKDLSSLLPFDAGFEAVGIIAAVGDSVSNLEVGTPAAIMVYGGYAEFMTVSSKHILPIGRPDPEVVAMLTSGLTASIALEKVGQMESGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKARLLKDLGVDRVIDYKTEDIKTVLKNEFPKGVDIVYESVGGDMFDLCLNALAIRGRLIVIGMISQYQGEHGWKPKNYPGLVEKLLTKSQSVAGFFLPQYSYLWKEHLARQFDLYSSGKLKVAIDPKRFLGLHSVPDAVEHLHSGRSSGKVVVCIDPTFEQQMAKL >KJB79299 pep chromosome:Graimondii2_0_v6:13:3679540:3691903:-1 gene:B456_013G042800 transcript:KJB79299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALQKPGVIINMGSSAGLYPMYIDPIYSATKGGVVMFTRSLAPYRRQGIRVNVLCPEFVQTEMGEKVGAKYITLLGGYVQMENVVKGAFDLIMDESRAGSCLWITNRRGMEYWPGPAEEVKYLVRSSSSSRRKISFRAPLGAQLPQSFEKVVVHKLTHNFRDATHIVRAPLKLPIESGHVLLKIIYAGVNASDVNFSSGRYFLGNKKDLSSLLPFDAGFEAVGIIAAVGDSVSNLEVGTPAAIMVYGGYAEFMTVSSKHILPIGRPDPEVVAMLTSGLTASIALEKVGQMESGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKARLLKDLGVDRVIDYKTEDIKTVLKNEFPKGVDIVYESVGGDMFDLCLNALAIRGRLIVIGMISQYQGEHGWKPKNYPGLVEKLLTKSQSVAGFFLPQYSYLWKEHLARQFDLYSSGKLKVAIDPKRFLGLHSVPDAVEHLHSGRSSGKVVVCIDPTFEQQMAKL >KJB79296 pep chromosome:Graimondii2_0_v6:13:3679896:3691307:-1 gene:B456_013G042800 transcript:KJB79296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALQKPGVIINMGSSAGLYPMYIDPIYSATKGGVVMFTRSLAPYRRQGIRVNVLCPEFVQTEMGEKVGAKYITLLGGYVQMENVVKGAFDLIMDESRAGSCLWITNRRGMEYWPGPAEEVKYLVRSSSSSRRKISFRAPLGAQLPQSFEKVVVHKLTHNFRDATHIVRAPLKLPIESGHVLLKIIYAGVNASDVNFSSGRYFLGNKKDLSSLLPFDAGFEAVGIIAAVGDSVSNLEVGTPAAIMVYGGYAEFMTVSSKHILPIGRPDPEVVAMLTSGLTASIALEKVGQMESGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKARLLKDLGVDRVIDYKTEDIKTVLKNEFPKGVDIVYESVGGDMFDLCLNALAIRGRLIVIGMISQYQGEHGWKPKNYPGLVEKLLTKSQSVAGFFLPQYSYLWKEHLARQFDLYSSGKLKVAIDPKRFLGLHSVPDAVEHLHSGRSSGKVVVCIDPTFEQQMAKL >KJB79300 pep chromosome:Graimondii2_0_v6:13:3680007:3692464:-1 gene:B456_013G042800 transcript:KJB79300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALVTGGASGIGKALCLALASKGIFVTIVDFSEEKGKEVASLVEKENSKFHQNLGFPSAIFVKCDVTSLRDLNLAFDKHVSTYGGLDICINNAGIANTALFWKDQTDGTKTWKHTINVNLVAVIDCTRLAIKSMQALQKPGVIINMGSSAGLYPMYIDPIYSATKGGVVMFTRSLAPYRRQGIRVNVLCPEFVQTEMGEKVGAKYITLLGGYVQMENVVKGAFDLIMDESRAGSCLWITNRRGMEYWPGPAEEVKYLVRSSSSSRRKISFRAPLGAQLPQSFEKVVVHKLTHNFRDATHIVRAPLKLPIESGHVLLKIIYAGVNASDVNFSSGRYFLGNKKDLSSLLPFDAGFEAVGIIAAVGDSVSNLEVGTPAAIMVYGGYAEFMTVSSKHILPIGRPDPEVVAMLTSGLTASIALEKVGQMESGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKARLLKDLGVDRVIDYKTEDIKTVLKNEFPKGVDIVYESVGGDMFDLCLNALAIRGRLIVIGMISQYQGEHGWKPKNYPGLVEKLLTKSQSVAGFFLPQYSYLWKEHLARQFDLYSSGKLKVAIDPKRFLGLHSVPDAVEHLHSGRSSGKVSTNHL >KJB79295 pep chromosome:Graimondii2_0_v6:13:3679540:3685345:-1 gene:B456_013G042800 transcript:KJB79295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESRAGSCLWITNRRGMEYWPGPAEEVKYLVRSSSSSRRKISFRAPLGAQLPQSFEKVVVHKLTHNFRDATHIVRAPLKLPIESGHVLLKIIYAGVNASDVNFSSGRYFLGNKKDLSSLLPFDAGFEAVGIIAAVGDSVSNLEVGTPAAIMVYGGYAEFMTVSSKHILPIGRPDPEVVAMLTSGLTASIALEKVGQMESGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKARLLKDLGVDRVIDYKTEDIKTVLKNEFPKGVDIVYESVGGDMFDLCLNALAIRGRLIVIGMISQYQGEHGWKPKNYPGLVEKLLTKSQSVAGFFLPQYSYLWKEHLARQFDLYSSGKLKVAIDPKRFLGLHSVPDAVEHLHSGRSSGKVVVCIDPTFEQQMAKL >KJB80404 pep chromosome:Graimondii2_0_v6:13:15739342:15746703:1 gene:B456_013G095500 transcript:KJB80404 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-arabinopyranose mutase, Pollen wall morphogenesi [Source: Projected from Oryza sativa (Os07g0604800)] MATAPSAKLTPLLKDELDIVIPTIQNLDFLEMWKPFFEQYHLIIVQDGDPSKKIRVPNGFDYQLYNKNDVNRILGPKASCISFKDSACRCFGYLVSKKNYIFTIDDDCFVAKDPSGKEINALEQHIKNLLTPSTTHFFNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVMTVPKGTLFPMCGMNLAFDRELIRPAMYFGLIGDGQPIGRYDDMWAGWCMKVKCDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEKAIPFFQSVSLPKEGSSVEKCYLALAGEVKSKLGEVDPYFIKLADAMVTWIEAWNMVNSPGEKPAMTSLPNATSKK >KJB80406 pep chromosome:Graimondii2_0_v6:13:15739444:15746703:1 gene:B456_013G095500 transcript:KJB80406 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-arabinopyranose mutase, Pollen wall morphogenesi [Source: Projected from Oryza sativa (Os07g0604800)] MATAPSAKLTPLLKDELDIVIPTIQNLDFLEMWKPFFEQYHLIIVQDGDPSKKIRVPNGFDYQLYNKNDVNRILGPKASCISFKDSACRCFGYLVSKKNYIFTIDDDCFVAKDPSGKEINALEQHIKNLLTPSTTHFFNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVMTVPKGTLFPMCGMNLAFDRELIRPAMYFGLIGDGQPIGRYDDMWAGWCMKVLSSEANLCSPSSQGVYWISLAMTNTPLITYNVNFLLYCRLNVTIWDWG >KJB80405 pep chromosome:Graimondii2_0_v6:13:15739388:15746776:1 gene:B456_013G095500 transcript:KJB80405 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-arabinopyranose mutase, Pollen wall morphogenesi [Source: Projected from Oryza sativa (Os07g0604800)] MVILPRKSGFPMALITNFITRTTLTGSWVPRLLAFPSRTLLVDALVTLFPRKITSSPLMMIALEGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVMTVPKGTLFPMCGMNLAFDRELIRPAMYFGLIGDGQPIGRYDDMWAGWCMKVKCDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEKAIPFFQSVSLPKEGSSVEKCYLALAGEVKSKLGEVDPYFIKLADAMVTWIEAWNMVNSPGEKPAMTSLPNATSKK >KJB80403 pep chromosome:Graimondii2_0_v6:13:15739644:15746558:1 gene:B456_013G095500 transcript:KJB80403 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-arabinopyranose mutase, Pollen wall morphogenesi [Source: Projected from Oryza sativa (Os07g0604800)] MVILPRKSGFPMALITNFITRTTLTGSWVPRLLAFPSRTLLVDALVTLFPRKITSSPLMMIALYVAKDPSGKEINALEQHIKNLLTPSTTHFFNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVMTVPKGTLFPMCGMNLAFDRELIRPAMYFGLIGDGQPIGRYDDMWAGWCMKVKCDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEKAIPFFQSVSLPKEGSSVEKCYLALAGEVKSKLGEVDPYFIKLADAMVTWIEAWNMVNSPGEKPAMTSLPNATSKK >KJB83709 pep chromosome:Graimondii2_0_v6:13:57509691:57514086:-1 gene:B456_013G260300 transcript:KJB83709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQVRRTAFPKVLIERDTDSEQSSSDDDEEEEEQENENEEKTEEFNDTKKKGKSPITISLKKVCKVCKKTGHEAGFKGATYIDCPMKPCFLCKMPGHTTMTCPHRVATEHGVVPAPHVNTQNPVEFVFERQLKPRITPIKPAYVIPDQVDCAVIRYHSRRVTCLEFHPTNNHILLSGDKKGQLGIWDFAKVHEKTVYGNIHSCILNNMRFSPSNDGMIYAASSDGTVSCTDLETGISSTLMNLNPDGWQGPGSWRMLYGMDINLERGVVLVADNFGFLYLVDSRSNSQTGKAIMIHKKGSKVVGLHCNPFQPDLLLSCGNDHFARLWDMRRLEAGSVLANLEHRRVVNSAYFSPFSGTKILTTSQDNRLRIWDSIFRDLDSPSREIVHSHDFNRHLTPFRAEWDPKDPSESLAVIGRYISENYNGTALHPIDFIDINTGQLVAEVMDPNITTITPVNKLHPRDDILASGSSRSLFIWRPKEKFDVAEQSDTRKIIIFGGDEKKRGKRSKDDYDDDSDDDKFNVKGKNVKVKKPVKQMTHRKAKR >KJB83710 pep chromosome:Graimondii2_0_v6:13:57509894:57514014:-1 gene:B456_013G260300 transcript:KJB83710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQVRRTAFPKVLIERDTDSEQSSSDDDEEEEEQENENEEKTEEFNDTKKKGKSPITISLKKVCKVCKKTGHEAGFKGATYIDCPMKPCFLCKMPGHTTMTCPHRVATEHGVVPAPHVNTQNPVEFVFERQLKPRITPIKPAYVIPDQVDCAVIRYHSRRVTCLEFHPTNNHILLSGDKKGQLGIWDFAKVHEKTVYGNIHSCILNNMRFSPSNDGMIYAASSDGTVSCTDLETGISSTLMNLNPDGWQGPGSWRMLYGMDINLERGVVLVADNFGFLYLVDSRSNSQTGKAIMIHKKGSKVVGLHCNPFQPDLLLSCGNDHFARLWDMRRLEAGSVLANLEHRRVVNSAYFSPFSGTKILTTSQDNRLRIWDSIFRDLDSPSREIVHSHDFNRHLTPFRAEWDPKNL >KJB81989 pep chromosome:Graimondii2_0_v6:13:45766614:45767804:-1 gene:B456_013G170900 transcript:KJB81989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCWLRRTQSFKTVEASLRVDALASARFKISRSKLVNLTSNGDVRVNWTTVTKNGTTLKTGDIVSVSGKGRLKIGEINSTKKGKFSVELIRYL >KJB81662 pep chromosome:Graimondii2_0_v6:13:42918823:42921877:-1 gene:B456_013G155600 transcript:KJB81662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSENLSATPSLSSIESAIKLIDVKKDELKRAFDDLQANSQRLSSFSISWSDLDSHFTALQNSVTQRFRILLSREAIHNPIDHVPTLPLASKQGDPSAPHPLTEQDPVDSVVNQPLTHLLPLDSDKPSSSNSLSVQSDGSVPPSGNIDSVVTRPELKEFCERMDGKGLRKYINDHVKEREAIRMELPDALQSAADPGAMVLDAMEGFYAENLHSKGEKDPELLGLRRVCVVLLEQLMETGLSFSEEVRERAKKLALEWKGKVRLRKDNSLETSAFLHLVATYSLGAMFDKEELVGYFFTIAKFRQATMLCRSIGLGEKVHDLIQKLLDSGKQLLAVRFIFEFGLAEKFSPVPLLEEYLNETKKLAQQVCENGKNTLKSQNEAASKEIGALKSVIKIIEEHKLETQYSREPLQKRIEQLEKQLANRKPPATPAAPKPQQPQASQPMAQQAKKKKKQAPGKQQQSGNKRPKTTASVVHAAPLLSAAGSTSAVAPFQPPGLLLDHSAAYLSSSPIPFGFPGPTAVNPYAGPSAAMYGLAGAPMGFPVNPNSSASHLYNYDRLPTYGAYGFPPQFHPSYHPK >KJB78416 pep chromosome:Graimondii2_0_v6:13:394022:394571:1 gene:B456_013G005500 transcript:KJB78416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKALATIALLLSINLLFLSMANAHNQPQCRNDALLLNVCSNILNVVDVGIGKPPKPCCDLINGLVGLELDACLCTVVKADVLGLVNVKPPHQFNLLLNKCGMKRRAYCCN >KJB82229 pep chromosome:Graimondii2_0_v6:13:48170310:48174332:-1 gene:B456_013G183000 transcript:KJB82229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKELMDKVGAFGERIKVEGAELGRKMSAGMSSMSFKVKELFQGPNPTDKLVEDATSEALDEPDWALNLDICDMVNHEKVNSVELIRGIKRRIMLKSPRVQYFSLVLLETFVKNCEKAFSEVAAEGVLGEMVKLIDDPQTVVNNRNKALILIEAWGESTSELRYLPVFEETYKSLKSRGIHFPGRDNESLAPIFTPARSVSAAEVDARLAQQLQRDVQLQHDIPVPSFSAEQTKEAFDVARNCIELLSTVLSSSPQQDALEDDLTTTLVQQCRQSQSTVLRIIETAGDNEALLFEALNVNDEIQKALSKYEELKKPSVVRHEPEPAMIPVAVEPDDSPRHTNEDALIRKTSGTRHGTHGGSNDDMMDDLDEMIFGKKGGGSSEGGQDSKKQQAPKDDLITF >KJB82228 pep chromosome:Graimondii2_0_v6:13:48170310:48173762:-1 gene:B456_013G183000 transcript:KJB82228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFTLIICFKLGLLKMEKELMDKVGAFGERIKVEGAELGRKMSAGMSSMSFKVKELFQGPNPTDKLVEDATSEALDEPDWALNLDICDMVNHEKVNSVELIRGIKRRIMLKSPRVQYFSLVLLETFVKNCEKAFSEVAAEGVLGEMVKLIDDPQTVVNNRNKALILIEAWGESTSELRYLPVFEETYKSLKSRGIHFPGRDNESLAPIFTPARSVSAAEVDARLAQQLQRDVQLQHDIPVPSFSAEQTKEAFDVARNCIELLSTVLSSSPQQDALEDDLTTTLVQQCRQSQSTVLRIIETAGDNEALLFEALNVNDEIQKALSKYEELKKPSVVRHEPEPAMIPVAVEPDDSPRHTNEDALIRKTSGTRHGTHGGSNDDMMDDLDEMIFGKKGGGSSEGGQDSKKQQAPKDDLITF >KJB82230 pep chromosome:Graimondii2_0_v6:13:48170310:48174283:-1 gene:B456_013G183000 transcript:KJB82230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKELMDKVGAFGERIKVEGAELGRKMSAGMSSMSFKVKELFQGPNPTDKLVEDATSEALDEPDWALNLDICDMVNHEKVNSVELIRGIKRRIMLKSPRVQYFSLVLLETFVKNCEKAFSEVAAEGVLGEMVKLIDDPQTVVNNRNKALILIEAWGESTSELRYLPVFEETYKSLKSRGIHFPGRDNESLAPIFTPARSVSAAEVDARLAQQLQRDVQLQHDIPVPSFSAEQTKEAFDVARNCIELLSTVLSSSPQQDALEDDLTTTLVQQCRQSQSTVLRIIETAGDNEALLFEALNVNDEIQKALSKYEELKKPSVVRHEPEPAMIPVAVEPDDSPRHTNEDALIRKTSGTRHGTHGGSNDDMMDDLDEMIFGKKGGGSSEGGQDSKKQQAPKDDLITF >KJB81631 pep chromosome:Graimondii2_0_v6:13:42279892:42282691:1 gene:B456_013G153900 transcript:KJB81631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGDLHKVWEIKALKRKPGEEAAKIILEKIAKQVQPIMRKHKWRVKLLSEFCPNNPALLGLNVGAGIHVKLRLRRPNRDWDFYPFDQVLDTMLHELCHNAHGPHNASFYKLWDELRKECEELMSKGITGTGEGFDLPGRRLGGFSRQPPLSSLRQTALAAAENRARLGSLLPSGPKRLGGDSTIRDALSPIQAAAMAAERRFQDDIWCGSHCSEIAGDEESSLDTLQDHLDLDQGGESSSINDVSSRHSFGGTSLKRSHGQDKPESSFVDLTTPPVSRSSINEGAKSPKRSCKSTNLIPHQSSSSATSSAPMLNDDSPENQGVAAVWECQSCTLLNPPLAPICKLCCTERPRDLGTKYKFWSCKFCTFENSVKLDKCSACDQWRYSHGAPISTPAPNVGT >KJB81632 pep chromosome:Graimondii2_0_v6:13:42279741:42282888:1 gene:B456_013G153900 transcript:KJB81632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGDLHKVWEIKALKRKPGEEAAKIILEKIAKQVQPIMRKHKWRVKLLSEFCPNNPALLGLNVGAGIHVKLRLRRPNRDWDFYPFDQVLDTMLHELCHNAHGPHNASFYKLWDELRKECEELMSKGITGTGEGFDLPGRRLGGFSRQPPLSSLRQTALAAAENRARLGSLLPSGPKRLGGDSTIRDALSPIQAAAMAAERRFQDDIWCGSHCSEIAGDEESSLDTLQDHLDLDQGGESSSINDVSSRHSFGGTSLKRSHGQDKPESSFVDLTTPPVSRSSINEGAKSPKRSCKSTNLIPHQSSSSATSSAPMLNDDSPENQGVAAVWECQSCTLLNPPLAPICKLCCTERPRDLGTKYKFWSCKFCTFENSVKLDKCSACDQWRYSHGAPISTPAPNVGT >KJB79390 pep chromosome:Graimondii2_0_v6:13:4173623:4176866:1 gene:B456_013G047100 transcript:KJB79390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLLPATRSGICAVAVGPLRPPLTLSPPNFLTSIKTRKPKPPLSPFASYDPLNVLRSTLFSPKVSSSSVSPSFTSSNDDTDKAKLAQVSKRLENTSRYFKRLGNLGFWGQLVCTLVSAVILSFSVVITGKITSPATFYATASGIVAAFISVFWSFGYIRLSEKLKRTANDPSKAPPRADVVKSLKNGIVLNLLGMGAAILGMQATVGLLVAKALTSSTNPYYQGISPGYSPVLALDVFLVQASGNTILSHFLGLVFSLELLRSVTLPQSDGIPIPKVA >KJB79393 pep chromosome:Graimondii2_0_v6:13:4173855:4176866:1 gene:B456_013G047100 transcript:KJB79393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLLPATRSGICAVAVGPLRPPLTLSPPNFLTSIKTRKPKPPLSPFASYDPLNVLRSTLFSPKVSSSSVSPSFTSSNDDTDKAKLAQVSKRLENTSRYFKRLGNLGFWGQLVCTLVSAVILSFSVVITGKITSPATFYATASGIVAAFISVFWSFGYIRLSEKLKRTANDPSKRFC >KJB79391 pep chromosome:Graimondii2_0_v6:13:4173855:4175481:1 gene:B456_013G047100 transcript:KJB79391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLLPATRSGICAVAVGPLRPPLTLSPPNFLTSIKTRKPKPPLSPFASYDPLNVLRSTLFSPKVSSSSVSPSFTSSNDDTDKAKLAQVSKRLENTSRYFKRLGNLGFWGQLVCTLVSAVILSFSVVITGKITSPATFYATASGIVAAFISVFWSFGYIRLSEKLKRTANDPSKRFC >KJB79394 pep chromosome:Graimondii2_0_v6:13:4173855:4176866:1 gene:B456_013G047100 transcript:KJB79394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLLPATRSGICAVAVGPLRPPLTLSPPNFLTSIKTRKPKPPLSPFASYDPLNVLRSTLFSPKVSSSSVSPSFTSSNDDTDKAKLAQVSKRLENTSRYFKRLGNLGFWGQLVCTLVSAVILSFSVVITGKITSPATFYATASGIVAAFISVFWSFGYIRLSEKLKRTANDPSKVGSSSS >KJB79392 pep chromosome:Graimondii2_0_v6:13:4173855:4176152:1 gene:B456_013G047100 transcript:KJB79392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLLPATRSGICAVAVGPLRPPLTLSPPNFLTSIKTRKPKPPLSPFASYDPLNVLRSTLFSPKVSSSSVSPSFTSSNDDTDKAKLAQVSKRLENTSRYFKRLGNLGFWGQLVCTLVSAVILSFSVVITGKITSPATFYATASGIVAAFISVFWSFGYIRLSEKLKRTANDPSKAPPRADVVKSLKNGIVLNLLGMGAAILGMQATVGLLVAKALTSSTNPYYQGISPGYSPVLALDVFLVQVLNLI >KJB81768 pep chromosome:Graimondii2_0_v6:13:43752029:43754647:1 gene:B456_013G160300 transcript:KJB81768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRMIFVILITVLLSLSLPVRTDYVRPQPRKTLHFPWKPKHPSLPHQVHISLAGENHMRISWITDDNSAPSIVEYGTLPGQYTLSSSGETASYNYLFYSSGKIHHTVIGPLEHDTIYFYRCGGQGPEFQLKTPPGQFPVTFAVAGDLGQTGWTKSTLDHIDQCKYDVHLLPGDLSYADCMQHLWDNFGELVQPLASARPWMVTQGNHEKEKIPFFTDAFESYNARWKMPFEESESTSNLYYSFEVAGVHVIMLGSYTDYDELSDQYSWLKADLSKVDRKKTPWLVVLFHVPWYNSNHAHQGEGDGMMAAMEPLLYAAGVDLVFAGHVHAYERSVCQYWPSFLSYSYVISWIYDNVVLYLAETC >KJB81766 pep chromosome:Graimondii2_0_v6:13:43751838:43754671:1 gene:B456_013G160300 transcript:KJB81766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRMIFVILITVLLSLSLPVRTDYVRPQPRKTLHFPWKPKHPSLPHQVHISLAGENHMRISWITDDNSAPSIVEYGTLPGQYTLSSSGETASYNYLFYSSGKIHHTVIGPLEHDTIYFYRCGGQGPEFQLKTPPGQFPVTFAVAGDLGQTGWTKSTLDHIDQCKYDVHLLPGDLSYADCMQHLWDNFGELVQPLASARPWMVTQGNHEKEKIPFFTDAFESYNARWKMPFEESESTSNLYYSFEVAGVHVIMLGSYTDYDELSDQYSWLKADLSKVDRKKTPWLVVLFHVPWYNSNHAHQGEGDGMMAAMEPLLYAAGVDLVFAGHVHAYERSKRVNKGKSDPCGTVHITIGDGGNREGLAQKYIHPTPEWSMFREASFGHGELKIVNSTHAFWSWHRNDDDEPVRSDQVWITSLISSGCLAEKAYESSKILVSP >KJB81769 pep chromosome:Graimondii2_0_v6:13:43752029:43754647:1 gene:B456_013G160300 transcript:KJB81769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRMIFVILITVLLSLSLPVRTDYVRPQPRKTLHFPWKPKHPSLPHQVHISLAGENHMRISWITDDNSAPSIVEYGTLPGQYTLSSSGETASYNYLFYSSGKIHHTVIGPLEHDTIYFYRCGGQGPEFQLKTPPGQFPVTFAVAGDLGQTGWTKSTLDHIDQCKYDVHLLPGDLSYADCMQHLWDNFGELVQPLASARPWMVTQGNHEKEKIPFFTDAFESYNARWKMPFEESESTSNLYYSFEVAGVHVIMLGSYTDYDELSDQYSWLKADLSKVDRKKTPWLVVLFHVPWYNSNHAHQGEGDGMMAAMEPLLYAAGVDLVFAGHVHAYERSKRVNKGKSDPCGTVHITIGDGGNREGLAQKYVITNKIS >KJB81767 pep chromosome:Graimondii2_0_v6:13:43752067:43753929:1 gene:B456_013G160300 transcript:KJB81767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRMIFVILITVLLSLSLPVRTDYVRPQPRKTLHFPWKPKHPSLPHQVHISLAGENHMRISWITDDNSAPSIVEYGTLPGQYTLSSSGETASYNYLFYSSGKIHHTVIGPLEHDTIYFYRCGGQGPEFQLKTPPGQFPVTFAVAGDLGQTGWTKSTLDHIDQCKYDVHLLPGDLSYADCMQHLWDNFGELVQPLASARPWMVTQGNHEKEKIPFFTDAFESYNARWKMPFEESESTSNLYYSFEVAGVHVIMLGSYTDYDELSDQYSWLKADLSKVDRKKTPWLVVLFHVPWYNSNHAHQGEGDGMMAAMEPLLYAAGVDLVFAGHVHAYERSVCQYWPSFLSYSYVISWIYDNVVLYLAETC >KJB80503 pep chromosome:Graimondii2_0_v6:13:18517220:18522091:-1 gene:B456_013G100600 transcript:KJB80503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVLLISVFMKRTLVLLSLWFFIWFPLEAFCSTGNTSSSSNPKVINIGALFTLNSVIGGATKPALLAAIDDVNSSPNILNGIELKLILRDTNCSGFIGTMEALQLMESDIVVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLAYLQFPYFLRTTHSDYFQMYAIADVLDYYGWREVIAIFVDDDYGRNGISVLGDALAKKRAKISYKASFSPEDPESKINDLLVEVNLMESRVFVVHVNPDTGLNIFSVAKRLNMMSGGYVWIATDWLPSYLDSREAVDPSTMNLLQGVVALRRYTPDTNLKKRFISRWKNLKDKGSTGPGGFNSYALYAYDSVWLAAHALEVFLSEGGNLSFSNDPKLRDTNGTTLHLSLLRVFNGGQQLLQTLLRMNFSGVSGQIQFNQQRDLIHPAFDVLNIGGTGTHRIGYWSNYSLLSIVPPESLYTKPPNISSSSQHLYGVKWPGETSKVPRGWVFPNNGQPLRIAVPNRVGYKEFVSKDNSPQGVKGYCIDVFEAAINLLPYAVPHTYMLFGDGNRNPNYDEIVSQVAQNKYDAAVGDITIVMNRTKIVDFTQPYMESGLVVVAPVKEAKSSPWAFLKPFTKEMWFVTAALFLFVGVVVWILEHRINHEFRGPPRQQLITIFWFSFSTMFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSGIQGIDSLISSSEPIGIQEGSFALNYLVDELNIARSRIVKLKDPEAYLRALNLGPKAGGVAAIVDELPYIELFLTSTNCLYRTVGQEFTKSGLGFAFQRDSPLAVDLSTAILQLSESGDLQKFHNKWLTHTECSIQINQEEENKLSLSSFWGLFLICGIACVLALTLFCYRVFTQYRRFSPEDEEAEVEEIEPSRLSRRSILSTSFNNIMEFVDRKETEIKEILKRKNINESKQTSHNSNGQASSPT >KJB80502 pep chromosome:Graimondii2_0_v6:13:18517220:18522014:-1 gene:B456_013G100600 transcript:KJB80502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVLLISVFMKRTLVLLSLWFFIWFPLEAFCSTGNTSSSSNPKVINIGALFTLNSVIGGATKPALLAAIDDVNSSPNILNGIELKLILRDTNCSGFIGTMEALQLMESDIVVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLAYLQFPYFLRTTHSDYFQMYAIADVLDYYGWREVIAIFVDDDYGRNGISVLGDALAKKRAKISYKASFSPEDPESKINDLLVEVNLMESRVFVVHVNPDTGLNIFSVAKRLNMMSGGYVWIATDWLPSYLDSREAVDPSTMNLLQGVVALRRYTPDTNLKKRFISRWKNLKDKGSTGPGGFNSYALYAYDSVWLAAHALEVFLSEGGNLSFSNDPKLRDTNGTTLHLSLLRVFNGGQQLLQTLLRMNFSGVSGQIQFNQQRDLIHPAFDVLNIGGTGTHRIGYWSNYSLLSIVPPESLYTKPPNISSSSQHLYGVKWPGETSKVPRGWVFPNNGQPLRIAVPNRVGYKEFVSKDNSPQGVKGYCIDVFEAAINLLPYAVPHTYMLFGDGNRNPNYDEIVSQVAQNKYDAAVGDITIVMNRTKIVDFTQPYMESGLVVVAPVKEAKSSPWAFLKPFTKEMWFVTAALFLFVGVVVWILEHRINHEFRGPPRQQLITIFWFSFSTMFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSGIQGIDSLISSSEPIGIQEGSFALNYLVDELNIARSRIVKLKDPEAYLRALNLGPKAGGVAAIVDELPYIELFLTSTNCLYRTVGQEFTKSGLSKGTLLLQLIFQLLSFNSRKAVISKNSIISG >KJB80500 pep chromosome:Graimondii2_0_v6:13:18518487:18521710:-1 gene:B456_013G100600 transcript:KJB80500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVLLISVFMKRTLVLLSLWFFIWFPLEAFCSTGNTSSSSNPKVINIGALFTLNSVIGGATKPALLAAIDDVNSSPNILNGIELKLILRDTNCSGFIGTMEALQLMESDIVVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLAYLQFPYFLRTTHSDYFQMYAIADVLDYYGWREVIAIFVDDDYGRNGISVLGDALAKKRAKISYKASFSPEDPESKINDLLVEVNLMESRVFVVHVNPDTGLNIFSVAKRLNMMSGGYVWIATDWLPSYLDSREAVDPSTMNLLQGVVALRRYTPDTNLKKRFISRWKNLKDKGSTGPGGFNSYALYAYDSVWLAAHALEVFLSEGGNLSFSNDPKLRDTNGTTLHLSLLRVFNGGQQLLQTLLRMNFSGVSGQIQFNQQRDLIHPAFDVLNIGGTGTHRIGYWSNYSLLSIVPPESLYTKPPNISSSSQHLYGVKWPGETSKVPRGWVFPNNGQPLRIAVPNRVGYKEFVSKDNSPQGVKGYCIDVFEAAINLLPYAVPHTYMLFGDGNRNPNYDEIVSQVAQNKYDAAVGDITIVMNRTKIVDFTQPYMESGLVVVAPVKEAKSSPWAFLKPFTKEMWFVTAALFLFVGVVVWILEHRINHEFRGPPRQQLITIFWRKHGEHFGTFGADHMAVCRSDYQFKLHG >KJB80501 pep chromosome:Graimondii2_0_v6:13:18517220:18522014:-1 gene:B456_013G100600 transcript:KJB80501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVLLISVFMKRTLVLLSLWFFIWFPLEAFCSTGNTSSSSNPKVINIGALFTLNSVIGGATKPALLAAIDDVNSSPNILNGIELKLILRDTNCSGFIGTMEALQLMESDIVVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLAYLQFPYFLRTTHSDYFQMYAIADVLDYYGWREVIAIFVDDDYGRNGISVLGDALAKKRAKISYKASFSPEDPESKINDLLVEVNLMESRVFVVHVNPDTGLNIFSVAKRLNMMSGGYVWIATDWLPSYLDSREAVDPSTMNLLQGVVALRRYTPDTNLKKRFISRWKNLKDKGSTGPGGFNSYALYAYDSVWLAAHALEVFLSEGGNLSFSNDPKLRDTNGTTLHLSLLRVFNGGQQLLQTLLRMNFSGVSGQIQFNQQRDLIHPAFDVLNIGGTGTHRIGYWSNYSLLSIVPPESLYTKPPNISSSSQHLYGVKWPGETSKVPRGWVFPNNGQPLRIAVPNRVGYKEFVSKDNSPQGVKGYCIDVFEAAINLLPYAVPHTYMLFGDGNRNPNYDEIVSQVAQNKYDAAVGDITIVMNRTKIVDFTQPYMESGLVVVAPVKEAKSSPWAFLKPFTKEMWFVTAALFLFVGVVVWILEHRINHEFRGPPRQQLITIFWRKHGEHFGTFGADHMAVCRSDYQFKLHG >KJB80504 pep chromosome:Graimondii2_0_v6:13:18517601:18521710:-1 gene:B456_013G100600 transcript:KJB80504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVLLISVFMKRTLVLLSLWFFIWFPLEAFCSTGNTSSSSNPKVINIGALFTLNSVIGGATKPALLAAIDDVNSSPNILNGIELKLILRDTNCSGFIGTMEALQLMESDIVVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLAYLQFPYFLRTTHSDYFQMYAIADVLDYYGWREVIAIFVDDDYGRNGISVLGDALAKKRAKISYKASFSPEDPESKINDLLVEVNLMESRVFVVHVNPDTGLNIFSVAKRLNMMSGGYVWIATDWLPSYLDSREAVDPSTMNLLQGVVALRRYTPDTNLKKRFISRWKNLKDKGSTGPGGFNSYALYAYDSVWLAAHALEVFLSEGGNLSFSNDPKLRDTNGTTLHLSLLRVFNGGQQLLQTLLRMNFSGVSGQIQFNQQRDLIHPAFDVLNIGGTGTHRIGYWSNYSLLSIVPPESLYTKPPNISSSSQHLYGVKWPGETSKVPRGWVFPNNGQPLRIAVPNRVGYKEFVSKDNSPQGVKGYCIDVFEAAINLLPYAVPHTYMLFGDGNRNPNYDEIVSQVAQNKYDAAVGDITIVMNRTKIVDFTQPYMESGLVVVAPVKEAKSSPWAFLKPFTKEMWFVTAALFLFVGVVVWILEHRINHEFRGPPRQQLITIFWFSFSTMFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSGIQGIDSLISSSEPIGIQEGSFALNYLVDELNIARSRIVKLKDPEAYLRALNLGPKAGGVAAIVDELPYIELFLTSTNCLYRTVGQEFTKSGLGFAFQRDSPLAVDLSTAILQLSESGDLQKFHNKWLTHTECSIQINQEEENKLSLSSFWGLFLICGIACVLALTLFCYRVFTQYRRFSPEDEEAEVEEIEPSRLSRRSILSTSFNNIMEFVDRKETEIKEILKRKNINESKQTSHNSNGQASSPT >KJB80563 pep chromosome:Graimondii2_0_v6:13:20651825:20655124:1 gene:B456_013G104200 transcript:KJB80563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHEMVIEQKQDLSLRQNQYVGMGHDANFVLGRNHDLLRSEQIPDVDLVIRPAHDHGHKLSTSQTCTNDVALLRDNLHDYEENGLDMDQINYPGAEEDRAGVEKHDDQFPAVVQDHELGFDGTELTLVKNQDISDNLNSDLQLNQEMSIEPVSYLFRQQEQMIVGSSMLQHRLLFLGENHELTVGKEFTDVHSCRRALRDAAIALRFEIQTIKSDKTRFTVKCASEGCPWRIHAAKLPGVPTFTIRTIHDQHTCGGITHLGHQQASVQWVADAVAESIKENPHYKPKEILEEIHRVHGITLSYKQAWRGKERIMASVRGSFEEDFRLLPQYCHMIRRTNPGSIARVYGYPVDNCFQRLFISYQASIYGFLNACRPLIGLDTTLLKSKYLGSMLFACGFDGYGAVFPLALAVVDEENDDNWMWFLAELHDLLEINAENMPRLTILSDRQKGVVDAVEANFPTAFHGFCMHHLVDCFQKEFNSSVLTNLFWEAAYALTRTEFEKKLIDIQAISPEATTWIQNIPPHLWATSHFEGTRMGHLAANIVECLSAWIAEAYSLPIIQMMECIRRQLMTCFNERRETSMQWTGNLVPPAERIVLEAYDRACTYQVFKANESEFEVRCPNDGSFVVDIRTRSCYCRGWELSGLPCAHAIAVLLSCRQNVHRFTESCFTVTSYRKAYSQTIHPVPDKALWKEMSEQSPNEGSKDVEVTIKPPRLLQPPARPKKRRARAENAGRAKRMVHCSRCNQTGHFRTTCTAPI >KJB80565 pep chromosome:Graimondii2_0_v6:13:20651814:20655183:1 gene:B456_013G104200 transcript:KJB80565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHEMVIEQKQDLSLRQNQYVGMGHDANFVLGRNHDLLRSEQIPDVDLVIRPAHDHGHKLSTSQTCTNDVALLRDNLHDYEENGLDMDQINYPGAEEDRAGVEKHDDQFPAVVQDHELGFDGTELTLVKNQDISDNLNSDLQLNQEMSIEPVSYLFRQQEQMIVGSSMLQHRLLFLGENHELTVGKEFTDVHSCRRALRDAAIALRFEIQTIKSDKTRFTVKCASEGCPWRIHAAKLPGVPTFTIRTIHDQHTCGGITHLGHQQASVQWVADAVAESIKENPHYKPKEILEEIHRVHGITLSYKQAWRGKERIMASVRGSFEEDFRLLPQYCHMIRRTNPGSIARVYGYPVDNCFQRLFISYQASIYGFLNACRPLIGLDTTLLKSKYLGSMLFACGFDGYGAVFPLALAVVDEENDDNWMWFLAELHDLLEINAENMPRLTILSDRQKGVVDAVEANFPTAFHGFCMHHLVDCFQKEFNSSVLTNLFWEAAYALTRTEFEKKLIDIQAISPEATTWIQNIPPHLWATSHFEGTRMGHLAANIVECLSAWIAEAYSLPIIQMMECIRRQLMTCFNERRETSMQWTGNLVPPAERIVLEAYDRACTYQVFKANESEFEVRCPNDGSFVVDIRTRSCYCRGWELSGLPCAHAIAVLLSCRQNVHRFTESCFTVTSYRKAYSQTIHPVPDKALWKEMSEQSPNEGSKDVEVTIKPPRLLQPPARPKKRRARAENAGRAKRMVHCSRCNQTGHFRTTCTAPI >KJB80564 pep chromosome:Graimondii2_0_v6:13:20651578:20655189:1 gene:B456_013G104200 transcript:KJB80564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHEMVIEQKQDLSLRQNQYVGMGHDANFVLGRNHDLLRSEQIPDVDLVIRPAHDHGHKLSTSQTCTNDVALLRDNLHDYEENGLDMDQINYPGAEEDRAGVEKHDDQFPAVVQDHELGFDGTELTLVKNQDISDNLNSDLQLNQEMSIEPVSYLFRQQEQMIVGSSMLQHRLLFLGENHELTVGKEFTDVHSCRRALRDAAIALRFEIQTIKSDKTRFTVKCASEGCPWRIHAAKLPGVPTFTIRTIHDQHTCGGITHLGHQQASVQWVADAVAESIKENPHYKPKEILEEIHRVHGITLSYKQAWRGKERIMASVRGSFEEDFRLLPQYCHMIRRTNPGSIARVYGYPVDNCFQRLFISYQASIYGFLNACRPLIGLDTTLLKSKYLGSMLFACGFDGYGAVFPLALAVVDEENDDNWMWFLAELHDLLEINAENMPRLTILSDRQKGVVDAVEANFPTAFHGFCMHHLVDCFQKEFNSSVLTNLFWEAAYALTRTEFEKKLIDIQAISPEATTWIQNIPPHLWATSHFEGTRMGHLAANIVECLSAWIAEAYSLPIIQMMECIRRQLMTCFNERRETSMQWTGNLVPPAERIVLEAYDRACTYQVFKANESEFEVRCPNDGSFVVDIRTRSCYCRGWELSGLPCAHAIAVLLSCRQNVHRFTESCFTVTSYRKAYSQTIHPVPDKALWKEMSEQSPNEGSKDVEVTIKPPRLLQPPARPKKRRARAENAGRAKRMVHCSRCNQTGHFRTTCTAPI >KJB82286 pep chromosome:Graimondii2_0_v6:13:48801767:48803555:1 gene:B456_013G187500 transcript:KJB82286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSPQSNPNNFPSPIVGSNPIANITATPASSTATPPTPSRYENQKRRDWNTFCQYLRNHRPPLSLSMCSGAHVLEFLRYLDQFGKTKVHNQTCPFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEEHGGRPEGNPFGARAIRIFLREVRDFQAKARGVSYEKKRKRPKQKMAPPPAPQQPPPPPAPPILADATAARSTI >KJB80108 pep chromosome:Graimondii2_0_v6:13:11089737:11096338:1 gene:B456_013G081000 transcript:KJB80108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSNISSSSSSSSSDSASIATVDQNVRRNGRMIDDGDTFSPKVRRWRDVFWLAIFMLHLIALGFVLVLLGLNRFKKSDRLNIDRYTNRVWEHNNGLTENYWPNYAVAGGVGAALGWIWLLLLGSHANLMMKVSVHILTTYLAVISVLCFWCKQFFWGVAFATGAALQFLYVISVVDRLPFTMLVLQKAVKMVWSLPEVMRVAYAFMAVMLLWMGIWSFGAAGVVASSRGDLGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGLVFLVLIHGGRNASPMPPNPLMKSLRYAVTTSFGSICYGSLFTAAIRTLRWKIRGFRSKIGNNECLFCCVDFLFQLVETLVRFFNKYAYVQIAVYGKGFNRAARDAWELFQSTGVEALVAYDCSGAVLLMGTVLGGLITGTCAGVWTWMTWCDRVIMVGSTAMLMGMVLVGLAMVVVESAVTSIYICYAEDPLLIQKWDPQFFNQMSEKLHQRLQHRSALAREILTQNQHDTRMQDIFHL >KJB80109 pep chromosome:Graimondii2_0_v6:13:11089826:11094021:1 gene:B456_013G081000 transcript:KJB80109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSNISSSSSSSSSDSASIATVDQNVRRNGRMIDDGDTFSPKVRRWRDVFWLAIFMLHLIALGFVLVLLGLNRFKKSDRLNIDRYTNRVWEHNNGLTENYWPNYAVAGGVGAALGWIWLLLLGSHANLMMKVSVHILTTYLAVISVLCFWCKQFFWGVAFATGAALQFLYVISVVDRLPFTMLVLQKAVKMVWSLPEVMRVAYAFMAVMLLWMGIWSFGAAGVVASSRGDLGRWWLLVVSCLFWTGAVLCNTVHVIVSGLVFLVLIHGGRNASPMPPNPLMKSLRYAVTTSFGSICYGSLFTAAIRTLRWKIRGFRSKIGNNECLFCCVDFLFQLVETLVRFFNKYAYVQIAVYGKGFNRAARDAWELFQSTGVEALVAYDCSGAVLLMGTVLGGLITGTCAGVWTWMTWCDRVIMVGSTAMLMGMVLVGLAMVVVESAVTSIYICYAEDPLLIQKWDPQFFNQMSEKLHQRLQHRSALAREILTQNQHDTRMQDIFHL >KJB80111 pep chromosome:Graimondii2_0_v6:13:11091543:11094125:1 gene:B456_013G081000 transcript:KJB80111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLQKAVKMVWSLPEVMRVAYAFMAVMLLWMGIWSFGAAGVVASSRGDLGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGLVFLVLIHGGRNASPMPPNPLMKSLRYAVTTSFGSICYGSLFTAAIRTLRWKIRGFRSKIGNNECLFCCVDFLFQLVETLVRFFNKYAYVQIAVYGKGFNRAARDAWELFQSTGVEALVAYDCSGAVLLMGTVLGGLITGTCAGVWTWMTWCDRVIMVGSTAMLMGMVLVGLAMVVVESAVTSIYICYAEDPLLIQKWDPQFFNQMSEKLHQRLQHRSALAREILTQNQHDTRMQDIFHL >KJB80110 pep chromosome:Graimondii2_0_v6:13:11090662:11094189:1 gene:B456_013G081000 transcript:KJB80110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDIYYIYFFLQNVRRNGRMIDDGDTFSPKVRRWRDVFWLAIFMLHLIALGFVLVLLGLNRFKKSDRLNIDRYTNRVWEHNNGLTENYWPNYAVAGGVGAALGWIWLLLLGSHANLMMKVSVHILTTYLAVISVLCFWCKQFFWGVAFATGAALQFLYVISVVDRLPFTMLVLQKAVKMVWSLPEVMRVAYAFMAVMLLWMGIWSFGAAGVVASSRGDLGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGLVFLVLIHGGRNASPMPPNPLMKSLRYAVTTSFGSICYGSLFTAAIRTLRWKIRGFRSKIGNNECLFCCVDFLFQLVETLVRFFNKYAYVQIAVYGKGFNRAARDAWELFQSTGVEALVAYDCSGAVLLMGTVLGGLITGTCAGVWTWMTWCDRVIMVGSTAMLMGMVLVGLAMVVVESAVTSIYICYAEDPLLIQKWDPQFFNQMSEKLHQRLQHRSALAREILTQNQHDTRMQDIFHL >KJB78568 pep chromosome:Graimondii2_0_v6:13:494583:497124:1 gene:B456_013G007200 transcript:KJB78568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTSILSRGGRGIRFLPFHRHLIPASTTCSTTTLATLSSHPNPTSFKIATKNPAPFQNIVKSSSPVPGIVGFSMMASATAGEDGHFKLSETSVLKINKGDITKWFIDGSSDAIVNPANPRMLGGGGADGAIHRAAGPELKEACYKVPEVQPGIRCPTGEARITPGFKLPASHVIHTVGPIYDSDKDPKGSLRNAYKNCLTVAKENNIKYIAFPSISCGVYGYPYEEAATVALSTIKEFANDIKEVSSLFNVF >KJB78567 pep chromosome:Graimondii2_0_v6:13:494563:497145:1 gene:B456_013G007200 transcript:KJB78567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTSILSRGGRGIRFLPFHRHLIPASTTCSTTTLATLSSHPNPTSFKIATKNPAPFQNIVKSSSPVPGIVGFSMMASATAGEDGHFKLSETSVLKINKGDITKWFIDGSSDAIVNPANPRMLGGGGADGAIHRAAGPELKEACYKVPEVQPGIRCPTGEARITPGFKLPASHVIHTVGPIYDSDKDPKGSLRNAYKNCLTVAKENNIKYIAFPSISCGVYGYPYEEAATVALSTIKEFANDIKEVHFVLFADDIYDIWTKKAKELL >KJB80986 pep chromosome:Graimondii2_0_v6:13:32095970:32100172:1 gene:B456_013G124300 transcript:KJB80986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AUXIN RESPONSE 4 [Source:Projected from Arabidopsis thaliana (AT1G54990) UniProtKB/Swiss-Prot;Acc:Q9FZ33] MAIITEEVEPESPKTRVDKKTPPKPTFNPNPVSSKSDPNSQTQNPFAFWFYFTLTISLITFLFVSFSSLSPQDPKSWFLSLPNSLRQHYSNGRIIKVQTSPNQSPIEVFVSENGQFSSSEIVLVVHGLGLSSYSYREMIRALGSKGVRVIAIDLPGNGFSEKYRLEIEEGTNGILARFKEVYSLIQDKGLFWAFDQMVETGELPYEEIKSRVLVKKSVKVIEIGSEEMGMVLGQVIGTMRLAPLHLVLHDSAFLMAANWIAENSGFIRSITLIDAGLKPALPTWVLNIPVVNEIVLRFSFVYARLINLCCSKRIDWSELEAHRSLLKGWDARKAVVGIEKKLNYSFNIEEWGGLDGIKGMPMQVLWSNDWSGEWSKEGRQIAEALPGAKFVTHSGGRWPEGSVAGEVAENVAEFVCSLPKTIRQVEEEPIPEHVQKMFDEVKDTDHHHHHHHGHAHGHDHDHAAGFMHAYGLGHTWGS >KJB80987 pep chromosome:Graimondii2_0_v6:13:32096115:32100127:1 gene:B456_013G124300 transcript:KJB80987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AUXIN RESPONSE 4 [Source:Projected from Arabidopsis thaliana (AT1G54990) UniProtKB/Swiss-Prot;Acc:Q9FZ33] MAIITEEVEPESPKTRVDKKTPPKPTFNPNPVSSKSDPNSQTQNPFAFWFYFTLTISLITFLFVSFSSLSPQDPKSWFLSLPNSLRQHYSNGRIIKVQTSPNQSPIEVFVSENGQFSSSEIVLVVHGLGLSSYSYREMIRALGSKGVRVIAIDLPGNGFSEKYRLEIEEGTNGILARFKEVYSLIQDKGLFWAFDQMVETGELPYEEIKSRVLVKKSVKVIEIGSEEMGMVLGQVIGTMRLAPLHLVLHDSAFLMAANWIAENSGFIRSITLIDAGLKPALPTWVLNIPVVNEIVLRFSFVYARLINLCCSKRIDWSELEAHRSLLKGWDARKAVVGIEKKLNYSFNIEEWGGLDGIKGMPMQVLWSNDWSGEWSKEGRQIAEALPGAKFVTHSGGRWPEVRCCW >KJB79359 pep chromosome:Graimondii2_0_v6:13:3997210:3998716:-1 gene:B456_013G045600 transcript:KJB79359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRRQRFGFVRFSRAGEARKAIQRLDGRCIRNYRIHVNMAKYRGRSAYWRKVTSNYDKYSCEIDGSLKTDNFVMVRDYGNFSSLGFESEELDDEVGVPSSEKDLLELVVSIDDREQSKGKDVANAVTCNYYNLGKGNHMQIGDCVVGGENVESQDNFSILTAFSDISMNDVDSHVLSRGSLIMNSNSKPRDQEELAIGGQAQELVIEPIQKGVGCEENIQS >KJB79451 pep chromosome:Graimondii2_0_v6:13:4589698:4593592:-1 gene:B456_013G050400 transcript:KJB79451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRRNSKGGQRSKRGILLDHDDDARGDLHNFQGPRKTLHDYFIDLSGKRASVREEALSTILKALTLNIEQNFVELNFVTLVYQCLHSIKKGSPTEMKQAAHIIGLLSMITTSVEKVHEAYEDVLTALSQGGLKPKLKTLEILGCLTVVTFFGASNSDETESVMKLLWDLINPGTDSSIERKDSPAVLTAMISAWSFLLSTIDGWRLSHKNWQGAITYFSNILDSNDEALCAAACEALALVFESNCLEKFSSATKDSNKELKDNIIKQLRSRLSETGNERISSQDPRTGFNSASAALDFLEDEKCANTHVTIGGQKLILSTWSQKVQLKFLKHFLGNDGFVKHMMENENFHSVFDFLPERRNAWGNVLYVPEREEVTISLFQPMVARHNDCSLLPLLTRDKQLAKKKTMSPNSHLSKARTQLLKKQRDLSLKEREIDCFDY >KJB79453 pep chromosome:Graimondii2_0_v6:13:4589894:4593240:-1 gene:B456_013G050400 transcript:KJB79453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRRNSKGGQRSKRGILLDHDDDARGDLHNFQGPRKTLHDYFIDLSGKRASVREEALSTILKALTLNIEQNFVELNFVTLVYQCLHSIKKGSPTEMKQAAHIIGLLSMITTSVEKVHEAYEDVLTALSQGGLKPKLKTLEILGCLTVVTFFGASNSDETESVMKLLWDLINPGTDSSIERKDSPAVLTAMISAWSFLLSTIDGWRLSHKNWQGAITYFSNILDSNDEALCAAACEALALVFESNCLEKFSSATKDSNKELKDNIIKQLRSRLSETGNERISSQDPRTGFNSASAALDFLEDEKCANTHVTIGGQKLILSTWSQKVQLKFLKHFLGNDGFVKHMMENENFHSVFDFLPERRNAWGNVLYVPEREEVTISLFQPMVARHNDCSLLPLLTRDKQLAKKKTMSPNSHLSKARTQLLKKQRDLSLKEREIDCFDY >KJB79452 pep chromosome:Graimondii2_0_v6:13:4589894:4593240:-1 gene:B456_013G050400 transcript:KJB79452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRRNSKGGQRSKRGILLDHDDDARGDLHNFQGPRKTLHDYFIDLSGKRASVREEALSTILKALTLNIEQNFVELNFVTLVYQCLHSIKKGSPTEMKQAAHIIGLLSMITTSVEKVHEAYEDVLTALSQGGLKPKLKTLEILGCLTVVTFFGASNSDETESVMKLLWDLINPGTDSSIERKDSPAVLTAMISAWSFLLSTIDGWRLSHKNWQGAITYFSNILDSNDEALCAAACEALALVFESNCLEKFSSATKDSNKELKDNIIKQLRSRLSETGNERISSQDPRTGFNSASAALDFLEDEKCANTHVTIGGQKLILSTWSQKVQLKFLKHFLGNDGFVKHMMENENFHSVFDFLPERRNAWGNVLYVPEREEVTISLFQPMVARHNDCSLLPLLTRDKQLAKKKTMSPNSHLSKARTQLLKKQRDLSLKEREIDCFDY >KJB79454 pep chromosome:Graimondii2_0_v6:13:4589698:4594550:-1 gene:B456_013G050400 transcript:KJB79454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRRKRKGGQRSKRGILLDHDDDARGDLHNFQGPRKTLHDYFIDLSGKRASVREEALSTILKALTLNIEQNFVELNFVTLVYQCLHSIKKGSPTEMKQAAHIIGLLSMITTSVEKVHEAYEDVLTALSQGGLKPKLKTLEILGCLTVVTFFGASNSDETESVMKLLWDLINPGTDSSIERKDSPAVLTAMISAWSFLLSTIDGWRLSHKNWQGAITYFSNILDSNDEALCAAACEALALVFESNCLEKFSSATKDSNKELKDNIIKQLRSRLSETGNERISSQDPRTGFNSASAALDFLEDEKCANTHVTIGGQKLILSTWSQKVQLKFLKHFLGNDGFVKHMMENENFHSVFDFLPERRNAWGNVLYVPEREEVTISLFQPMVARHNDCSLLPLLTRDKQLAKKKTMSPNSHLSKARTQLLKKQRDLSLKEREIDCFDY >KJB79437 pep chromosome:Graimondii2_0_v6:13:4478269:4483281:1 gene:B456_013G049800 transcript:KJB79437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAALSRPPTPSKPTVEEPQQETNSTHQKPPLKDNGSGVPRKPRGRQVSSRYLSPSPSSSSSTSSTSSAAKPTARFPSPLISRSTNTMSTGNKAAARFHSPLVSRSTNASTTSSSLPKRSQSVDRRRPGSQLPPGNNNANGSTELSAATKMLITSTRSLSVSFQGESYSLPISKAKAQVGSTLIRKATPERRRATPVRDHGENSKPADQQLWPARNRKGNSGPGSGSNLLSRSFDYSGERKDFGSGAVLAKSLQQSMMFDESSRRVSFDGGSRLSLDLGSSELLKEANKLNPDSNSLIETSNELTASDTDSISSGSTNSGTGRSGNLKGKNGPRNIVVSARFWQETNSRLRRLQDPGSPLSSSPGSRIGSPAKFSQPRRVSCDSAVASPIRCSIRPASPSKLWTSSASTLTPSRGLSPGRVRNAVSGKEMISNAVNTPSILSFSVDIRRGKKGEDRIVDAHTLRLFYNGYLQWRFANARADAAFIVQKLSAEKNLWNAWVTTSELRHSVTLKRIKLLLLRQKLKLTSILKRQIAHLEAWSLLERDHSSSLLGATEALKASTLRLPIVGKATADIQNLKDAISSAVEMMQAMASSICSLLSKVEEMNSLVAELASLAAKETVLLEHCKDFLSALAAIQVKDCSLRSHIIQLKCVPATNNLTTLV >KJB79439 pep chromosome:Graimondii2_0_v6:13:4478312:4481289:1 gene:B456_013G049800 transcript:KJB79439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAALSRPPTPSKPTVEEPQQETNSTHQKPPLKDNGSGVPRKPRGRQVSSRYLSPSPSSSSSTSSTSSAAKPTARFPSPLISRSTNTMSTGNKAAARFHSPLVSRSTNASTTSSSLPKRSQSVDRRRPGSQLPPGNNNANGSTELSAATKMLITSTRSLSVSFQGESYSLPISKAKAQVGSTLIRKATPERRRATPVRDHGENSKPADQQLWPARNRKGNSGPGSGSNLLSRSFDYSGERKDFGSGAVLAKSLQQSMMFDESSRRVSFDGGSRLSLDLGSSELLKEANKLNPDSNSLIETSNELTASDTDSISSGSTNSGTGRSGNLKGKNGPRNIVVSARFWQETNSRLRRLQDPGSPLSSSPGSRIGSPAKFSQPRRVSCDSAVASPIRCSIRPASPSKLWTSSASTLTPSRGLSPGRVRNAVSGKEMISNAVNTPSILSFSVDIRRGKKGEDRIVDAHTLRLFYNGYLQWRFANARADAAFIVQKLSAEKNLWNAWVTTSELRHSVTLKRIKLLLLRQKLKLTSILKRQVRFKTSSFSKC >KJB79438 pep chromosome:Graimondii2_0_v6:13:4476479:4482982:1 gene:B456_013G049800 transcript:KJB79438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAALSRPPTPSKPTVEEPQQETNSTHQKPPLKDNGSGVPRKPRGRQVSSRYLSPSPSSSSSTSSTSSAAKPTARFPSPLISRSTNTMSTGNKAAARFHSPLVSRSTNASTTSSSLPKRSQSVDRRRPGSQLPPGNNNANGSTELSAATKMLITSTRSLSVSFQGESYSLPISKAKAQVGSTLIRKATPERRRATPVRDHGENSKPADQQLWPARNRKGNSGPGSGSNLLSRSFDYSGERKDFGSGAVLAKSLQQSMMFDESSRRVSFDGGSRLSLDLGSSELLKEANKLNPDSNSLIETSNELTASDTDSISSGSTNSGTGRSGNLKGKNGPRNIVVSARFWQETNSRLRRLQDPGSPLSSSPGSRIGSPAKFSQPRRVSCDSAVASPIRCSIRPASPSKLWTSSASTLTPSRGLSPGRVRNAVSGKEMISNAVNTPSILSFSVDIRRGKKGEDRIVDAHTLRLFYNGYLQWRFANARADAAFIVQKLSAEKNLWNAWVTTSELRHSVTLKRIKLLLLRQKLKLTSILKRQIAHLEAWSLLERDHSSSLLGATEALKASTLRLPIVGKATADIQNLKDAISSAVEMMQAMASSICSLLSKVEEMNSLVAELASLAAKETVLLEHCKDFLSALAAIQVKDCSLRSHIIQLKCVPATNNLTTLV >KJB78724 pep chromosome:Graimondii2_0_v6:13:989280:990915:1 gene:B456_013G014500 transcript:KJB78724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYEATRIVFSRIQSLEPGNASRIMGLLLIQDHGDKEMIRLAFGPEALLHSVILKAKKELGLPINSSSSRLLGSNGVNLPFLSIPATNPSFTFTGMVDEFQLQDQLADACGGNTDSIRFPSYWGNTSFQRSNNTMNDILGADDPSSAFLWRPCLYFARGFCKNGNNCRFVHSGVGESAAEGDTIVGSPNKFEMMDQCHELLRSKSARQQRLAAAAAAVGAAQIMGSGSFPYSPKSINLVLQQPQNDAQRAALMMGDDMNKFNRSSPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFIYPETVKMILAKGNPHFVCDSRVLVKPYKEKGKIPDEKQPQQQIENGTLTGLDSRDPFDVQLGTRMFYNNQDILRRRKLGDQTDLQQALELQNRRLMSLQLLDVKKHSPNLYGQSLVLPQGLRLLI >KJB78725 pep chromosome:Graimondii2_0_v6:13:989280:991132:1 gene:B456_013G014500 transcript:KJB78725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYEATRIVFSRIQSLEPGNASRIMGLLLIQDHGDKEMIRLAFGPEALLHSVILKAKKELGLPINSSSSRLLGSNGVNLPFLSIPATNPSFTFTGMVDEFQLQDQLADACGGNTDSIRFPSYWGNTSFQRSNNTMNDILGADDPSSAFLWRPCLYFARGFCKNGNNCRFVHSGVGESAAEGDTIVGSPNKFEMMDQCHELLRSKSARQQRLAAAAAAVGAAQIMGSGSFPYSPKSINLVLQQPQNDAQRAALMMGDDMNKFNRSSPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFIYPETVKMILAKGNPHFVCDSRVLVKPYKEKGKIPDEKQPQQQIENGTLTGLDSRDPFDVQLGTRMFYNNQDILRRRKLGDQTDLQQALELQNRRLMSLQLLDVKKHSPNLYGQSLVLPQENSSSPVPATLVTAAEKQTSTGKETVSSEENGSEKESPHCEDGNLPER >KJB78721 pep chromosome:Graimondii2_0_v6:13:988678:992617:1 gene:B456_013G014500 transcript:KJB78721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYEATRIVFSRIQSLEPGNASRIMGLLLIQDHGDKEMIRLAFGPEALLHSVILKAKKELGLPINSSSSRLLGSNGVNLPFLSIPATNPSFTFTGMVDEFQLQDQLADACGGNTDSIRFPSYWGNTSFQRSNNTMNDILGADDPSSAFLWRPCLYFARGFCKNGNNCRFVHSGVGESAAEGDTIVGSPNKFEMMDQCHELLRSKSARQQRLAAAAAAVGAAQIMGSGSFPYSPKSINLVLQQPQNDAQRAALMMGDDMNKFNRSSPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFIYPETVKMILAKGNPHFVCDSRVLVKPYKEKGKIPDEKQPQQQIENGTLTGLDSRDPFDVQLGTRMFYNNQDILRRRKLGDQTDLQQALELQNRRLMSLQLLDVKKHSPNLYGQSLVLPQENSSSPVPATLVTAAEKQTSTGKETVSSEENGSEKESPHCEDGNLPESLEHNLPDSPFASPGKASKEYLSFNNAVMEKDGTISANNNWIPSTLLPANNALDMSSFNSFNCQIPRFSSGQGTIGMYTGTGGPTCPVGI >KJB78726 pep chromosome:Graimondii2_0_v6:13:988786:992617:1 gene:B456_013G014500 transcript:KJB78726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYEATRIVFSRIQSLEPGNASRIMGLLLIQDHGDKEMIRLAFGPEALLHSVILKAKKELGLPINSSSSRLLGSNGVNLPFLSIPATNPSFTFTGMVDEFQLQDQLADACGGNTDSIRFPSYWGNTSFQRSNNTMNDILGADDPSSAFLWRPCLYFARGFCKNGNNCRFVHSGVGESAAEGDTIVGSPNKFEMMDQCHELLRSKSARQQRLAAAAAAVGAAQIMGSGSFPYSPKSINLVLQQPQNDAQRAALMMGDDMNKFNRSSPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFIYPETVKMILAKGNPHFVCDSRVLVKPYKEKGKIPDEKQPQQQIENGTLTGLDSRDPFDVQLGTRMFYNNQDILRRRKLGDQTDLQQALELQNRRLMSLQLLDVKKHSPNLYGQSLVLPQENSSSPVPATLVTAAEKQTSTGKETVSSEENGSEKESPHCEDGNLPESLEHNLPDSPFASPGKASKEYLSFNNAVMEKDGTISANNNWIPSTLLPANNALDMSSFNSFNCQIPRFSSGQGTIGMYTGTGGPTCPVGI >KJB78723 pep chromosome:Graimondii2_0_v6:13:988809:992097:1 gene:B456_013G014500 transcript:KJB78723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYEATRIVFSRIQSLEPGNASRIMGLLLIQDHGDKEMIRLAFGPEALLHSVILKAKKELGLPINSSSSRLLGSNGVNLPFLSIPATNPSFTFTGMVDEFQLQDQLADACGGNTDSIRFPSYWGNTSFQRSNNTMNDILGADDPSSAFLWRPCLYFARGFCKNGNNCRFVHSGVGESAAEGDTIVGSPNKFEMMDQCHELLRSKSARQQRLAAAAAAVGAAQIMGSGSFPYSPKSINLVLQQPQNDAQRAALMMGDDMNKFNRSSPASRQIYLTFPADSTFREEDVSNYFRKQPQQQIENGTLTGLDSRDPFDVQLGTRMFYNNQDILRRRKLGDQTDLQQALELQNRRLMSLQLLDVKKHSPNLYGQSLVLPQENSSSPVPATLVTAAEKQTSTGKETVSSEENGSEKESPHCEDGNLPESLEHNLPDSPFASPGKASKEYLSFNNAVMEKDGTISANNNWIPSTLLPANNALDMSSFNSFNCQIPRFSSGQGTIGMYTGTGGPTCPVGI >KJB78722 pep chromosome:Graimondii2_0_v6:13:988809:992097:1 gene:B456_013G014500 transcript:KJB78722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYEATRIVFSRIQSLEPGNASRIMGLLLIQDHGDKEMIRLAFGPEALLHSVILKAKKELGLPINSSSSRLLGSNGVNLPFLSIPATNPSFTFTGMVDEFQLQDQLADACGGNTDSIRFPSYWGNTSFQRSNNTMNDILGADDPSSAFLWRPCLYFARGFCKNGNNCRFVHSGVGESAAEGDTIVGSPNKFEMMDQCHELLRSKSARQQRLAAAAAAVGAAQIMGSGSFPYSPKSINLVLQQPQNDAQRAALMMGDDMNKFNRSSPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFIYPETVKMILAKGNPHFVCDSRVLVKPYKEKGKIPDEKQPQQQIENGTLTGLDSRDPFDVQLGTRMFYNNQDILRRRKLGDQTDLQQALELQNRRLMSLQLLDVKKHSPNLYGQSLVLPQENSSSPVPATLVTAAEKQTSTGKETVSSEENGSEKESPHCEDGNLPESLEHNLPDSPFASPGKASKEYLSFNNAVMEKDGTISANNNWIPSTLLPANNALDMSSFNSFNCQIPRFSSGQGTIGMYTGTGGPTCPVGI >KJB79634 pep chromosome:Graimondii2_0_v6:13:6395648:6398089:1 gene:B456_013G060000 transcript:KJB79634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVAGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKVVSSSFFLPKMSYRYKRLDKCFMF >KJB79636 pep chromosome:Graimondii2_0_v6:13:6395648:6398666:1 gene:B456_013G060000 transcript:KJB79636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRSCNRAFFDKRISQEVAGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRGRIAEKKKRIAKAKSEAAEYQKLLATRLKEQRERRSESLAKRRSKLSSAAAKPSVVA >KJB79633 pep chromosome:Graimondii2_0_v6:13:6395635:6398680:1 gene:B456_013G060000 transcript:KJB79633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVAGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRGRIAEKKKRIAKAKSEAAEYQKLLATRLKEQRERRSESLAKRRSKLSSAAAKPSVVA >KJB79635 pep chromosome:Graimondii2_0_v6:13:6395648:6398666:1 gene:B456_013G060000 transcript:KJB79635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVAGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRAGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRGRIAEKKKRIAKAKSEAAEYQKLLATRLKEQRERRSESLAKRRSKLSSAAAKPSVVA >KJB81381 pep chromosome:Graimondii2_0_v6:13:38849035:38850403:1 gene:B456_013G1424001 transcript:KJB81381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVAFNLGYLPGGDKGIITTSKTTLLALEASKKMLILGGLISLVVYVGHPGGREELETVEAFASGLCVDGWICCKFQMLNRPLAPVLVFIFKR >KJB81383 pep chromosome:Graimondii2_0_v6:13:38849035:38850345:1 gene:B456_013G1424001 transcript:KJB81383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVAFNLGYLPGGDKGIITTSKTTLLALEASKKMLILGGLISLVVYVGHPGGREELETVEAFASGLCVDGWICCKFQMLNRPLAPVLVFIFKR >KJB81382 pep chromosome:Graimondii2_0_v6:13:38849036:38850074:1 gene:B456_013G1424001 transcript:KJB81382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVAFNLGYLPGGDKGIITTSKTTLLALEASKKMLILGGLISLVVYVGHPGGREELETVEAFASGLCVDGWICCKFQMLNRPLAPVLVFIFKR >KJB81380 pep chromosome:Graimondii2_0_v6:13:38849035:38850346:1 gene:B456_013G1424001 transcript:KJB81380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVAFNLGYLPGGDKGIITTSKTTLLALEASKKMLILGGLISLVVYVGHPGGREELETVEAFASGLCVDGWICCKFQMLNRPLAPVLVFIFKR >KJB82848 pep chromosome:Graimondii2_0_v6:13:53655146:53659433:1 gene:B456_013G216600 transcript:KJB82848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSYRRLGVKSNVVAAGSVWETRMKSDEFRGGIKVFNGEDEDEEEEIINGDGDKRLKKGQSVGGSKRKTWKNESFDGFKKNPIKVVAKGRSMEHCKDLSLAVVDNGIKKKSPVQVKKGRSDGNERNPILMRKQRSQVHKRTAKTDSNGNEDLGLDSIEENEKNPVETDKVESETEESNDEHGVCQDKTISSCADNGDTVKSSPEPLLDDDAHGDGDGDGDGDGEEQIEEENGIEVENEKKSFDIKEMNVQEDKKLPKIDIQVKKSPEEKPNKAINEMKKVHEDKGSNVVNQVKKFSQFHNKTATFSSTMNKQPPPVVKLATSIPTKSKPSSNDDYHYHKFPQTQNKLQNIVMWRDIPKSALMFGIGSFIIISSSYTQDLNISFISVISYMGLVYLAAIFLYRSILCRGVVEIDESNCVVGEEEAIWLLKLVLPYLNEFLLKLRALFSGDPSTTMKMAVLLFVLARCGSSITIWKMAKLGFFGVFIVPKLCTSYSHQLTAYGKFWIGRFRDAWESCTHKKAVAMVLFTLVWNLCSTLARIWAAFMLFVALRYYQQKMTDEWMEKEEGLCGNGSSIGNLRQRHHRLNTSKVEPLKVKRGF >KJB82846 pep chromosome:Graimondii2_0_v6:13:53655146:53659433:1 gene:B456_013G216600 transcript:KJB82846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSYRRLGVKSNVVAAGSVWETRMKSDEFRGGIKVFNGEDEDEEEEIINGDGDKRLKKGQSVGGSKRKTWKNESFDGFKKNPIKVVAKGRSMEHCKDLSLAVVDNGIKKKSPVQVKKGRSDGNERNPILMRKQRSQVHKRTAKTDSNGNEDLGLDSIEENEKNPVETDKVESETEESNDEHGVCQDKTISSCADNGDTVKSSPEPLLDDDAHGDGDGDGDGDGEEQIEEENGIEVENEKKSFDIKEMNVQEDKKLPKIDIQVKKSPEEKPNKAINEMKKVHEDKGSNVVNQVKKFSQFHNKTATFSSTMNKQPPPVVKLATSIPTKSKPFSASNDDYHYHKFPQTQNKLQNIVDLVMWRDIPKSALMFGIGSFIIISSSYTQDLNIRSILCRGVVEIDESNCVVGEEEAIWLLKLVLPYLNEFLLKLRALFSGDPSTTMKMAVLLFVLARCGSSITIWKMAKLGFFGVFIVPKLCTSYSHQLTAYGKFWIGRFRDAWESCTHKKAVAMVLFTLVWNLCSTLARIWAAFMLFVALRYYQQKMTDEWMEKEEGLCGNGSSIGNLRQRHHRLNTSKVEPLKVKRGF >KJB82847 pep chromosome:Graimondii2_0_v6:13:53655146:53659433:1 gene:B456_013G216600 transcript:KJB82847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSYRRLGVKSNVVAAGSVWETRMKSDEFRGGIKVFNGEDEDEEEEIINGDGDKRLKKGQSVGGSKRKTWKNESFDGFKKNPIKVVAKGRSMEHCKDLSLAVVDNGIKKKSPVQVKKGRSDGNERNPILMRKQRSQVHKRTAKTDSNGNEDLGLDSIEENEKNPVETDKVESETEESNDEHGVCQDKTISSCADNGDTVKSSPEPLLDDDAHGDGDGDGDGDGEEQIEEENGIEVENEKKSFDIKEMNVQEDKKLPKIDIQVKKSPEEKPNKAINEMKKVHEDKGSNVVNQVKKFSQFHNKTATFSSTMNKQPPPVVKLATSIPTKSKPSSNDDYHYHKFPQTQNKLQNIVDLVMWRDIPKSALMFGIGSFIIISSSYTQDLNISFISVISYMGLVYLAAIFLYRSILCRGVVEIDESNCVVGEEEAIWLLKLVLPYLNEFLLKLRALFSGDPSTTMKMAVLLFVLARCGSSITIWKMAKLGFFGVFIVPKLCTSYSHQLTAYGKFWIGRFRDAWESCTHKKAVAMVLFTLVWNLCSTLARIWAAFMLFVALRYYQQKMTDEWMEKEEGLCGNGSSIGNLRQRHHRLNTSKVEPLKVKRGF >KJB82845 pep chromosome:Graimondii2_0_v6:13:53655066:53659445:1 gene:B456_013G216600 transcript:KJB82845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSYRRLGVKSNVVAAGSVWETRMKSDEFRGGIKVFNGEDEDEEEEIINGDGDKRLKKGQSVGGSKRKTWKNESFDGFKKNPIKVVAKGRSMEHCKDLSLAVVDNGIKKKSPVQVKKGRSDGNERNPILMRKQRSQVHKRTAKTDSNGNEDLGLDSIEENEKNPVETDKVESETEESNDEHGVCQDKTISSCADNGDTVKSSPEPLLDDDAHGDGDGDGDGDGEEQIEEENGIEVENEKKSFDIKEMNVQEDKKLPKIDIQVKKSPEEKPNKAINEMKKVHEDKGSNVVNQVKKFSQFHNKTATFSSTMNKQPPPVVKLATSIPTKSKPFSASNDDYHYHKFPQTQNKLQNIVDLVMWRDIPKSALMFGIGSFIIISSSYTQDLNISFISVISYMGLVYLAAIFLYRSILCRGVVEIDESNCVVGEEEAIWLLKLVLPYLNEFLLKLRALFSGDPSTTMKMAVLLFVLARCGSSITIWKMAKLGFFGVFIVPKLCTSYSHQLTAYGKFWIGRFRDAWESCTHKKAVAMVLFTLVWNLCSTLARIWAAFMLFVALRYYQQKMTDEWMEKEEGLCGNGSSIGNLRQRHHRLNTSKVEPLKVKRGF >KJB83623 pep chromosome:Graimondii2_0_v6:13:57196286:57197013:-1 gene:B456_013G255500 transcript:KJB83623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMLNLNATYGLPLTLSTITQHFIFSKEMADHERRREAMKKRRSSSGVVGGGDRRFEKVLEEIMEGEVDDDRGSAFQYPSCSCLVCTTAKAA >KJB83624 pep chromosome:Graimondii2_0_v6:13:57196354:57197039:-1 gene:B456_013G255500 transcript:KJB83624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMLNLNATYGLPLTLSTITQHFIFSKEMADHERRREAMKKRRSSSGVVGGGDRRFEKVLEEIMEGEVDDDRGSAFQYPSCSCLVCTTAKAA >KJB81839 pep chromosome:Graimondii2_0_v6:13:44526441:44527728:-1 gene:B456_013G164300 transcript:KJB81839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCLKLEVQTEDQTPHKWCISLAEDEFKRLLSHGNPVVHKVFGEGSLFSPLLFGKFFDPSDAFPLWDFESDSLLSNLRNSGKSSVDWFQTDQAYVLKAELPGVGKTNNVQIHVEKGKIVEIFGQLKLLQRESQKKDWRSCNWWEYGYVRRLELPEDADWRRIEAYLSVDVVLEIRIPRNLLHSDTSASGVGKNSE >KJB82471 pep chromosome:Graimondii2_0_v6:13:50689957:50696699:-1 gene:B456_013G198300 transcript:KJB82471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKGQLGSINAPSFETKKDEASNDSEVEDLERGPDQGFIYEVPRNIRQANPKAYTPLLISIGPLHYRKTSLASMAKYKVDYQVKFLQRTSVSKKALESFWSFIERYDKNILNCYEALIDEDEFVKMIFYDALFIMELFLRNYEKEVEKNSDIKDLLLKETWSAGLRRDLILLENQIPMFVLEELYKPYENHKLASDASVPSFLKLACSYFDIPCDPQFEHIQIPHFTALQRCHMTKTQNPSSKTKIPTLKKVYGATSLHEVGVELIVEPNQTACLLDVKFDGKKLKTPKLTVHSNTEAYLRNVMAFEMCHCPDEAYVCAYVELMNYLIQNAQDVERLIEKEILSKEGQHEGRLVTIINTNIAVQRMIKKLMVGIGQPSPACYRVTANRLNQLYKEARKRKVTLFIKEIYGILKRVYFPNLWRGTGTVAAFMVLVFTFMQTVLAFVKD >KJB83254 pep chromosome:Graimondii2_0_v6:13:55554306:55555069:-1 gene:B456_013G237700 transcript:KJB83254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAAPTEMMLRCVLDGSLVMREIEVERRPYHRNCSCALHSLKGSVLCSSACSRSRNNIAFPKKKTWNVDCSLTMAATDPQSISSRSSLLGGLSVRKHGFEDINDV >KJB83255 pep chromosome:Graimondii2_0_v6:13:55554240:55555069:-1 gene:B456_013G237700 transcript:KJB83255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAAPTEMMLRCVLDGSLVMREIEVERRPYHRNCSCALHSLKGSVLCSSACSRSRNNIAFPKKKTWNVDCSLTMAATDPQSISSRSSLLGGLSVRKHGFEDINDV >KJB83587 pep chromosome:Graimondii2_0_v6:13:57118787:57124813:1 gene:B456_013G254100 transcript:KJB83587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARLAVQIGLTEKQISSWFCHRRLKDKRRDESYPNGRQDHSSGVIQDRGSGLRQDSCGSIKQGDYRNIDLREVESRRIYGQEFPAADLKYECRSHQNPYDDRMEDTSSESNLSLNDQPENGAITQINPRSTKSIGYKPSGYLKVKGEIENSAITAVKRQLGRHYKEDGPLLGIQFDSLPPGAFEFPSSSPVNEPMYVGDTRQTHSPDTSGVIKQPSNIVNVHNSKISSQDSDMEGAKFNTVQGSERRDRKSHHQLKHKSSLDIYNDSTKKSTLTDSKRIKTSSKLAVEKMGSDSFPNHPSPYGMKVSDEQEKPWLHDDDNHTYKAPKNENLSRTSNLIRGYNESSSTERGSSARMGKVEKLGGEWKTKKEYPVTVKTNLKNKLRVSKQVNAQHPQQDFAAYAPHARLPLLTNQTKGSSMDVPSSFSEDETAETDSSSD >KJB83583 pep chromosome:Graimondii2_0_v6:13:57117191:57125017:1 gene:B456_013G254100 transcript:KJB83583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGEQQTEENKGSLDVVNKKRTFKTPAQLLALEEAYKEQKFPSDEMKARLAVQIGLTEKQISSWFCHRRLKDKRRDESYPNGRQDHSSGVIQDRGSGLRQDSCGSIKQGDYRNIDLREVESRRIYGQEFPAADLKYECRSHQNPYDDRMEDTSSESNLSLNDQPENGAITQINPRSTKSIGYKPSGYLKVKGEIENSAITAVKRQLGRHYKEDGPLLGIQFDSLPPGAFEFPSSSPVNEPMYVGDTRQTHSPDTSGVIKQPSNIVNVHNSKISSQDSDMEGAKFNTVQGSERRDRKSHHQLKHKSSLDIYNDSTKKSTLTDSKRIKTSSKLAVEKMGSDSFPNHPSPYGMKVSDEQEKPWLHDDDNHTYKAPKNENLSRTSNLIRGYNESSSTERGSSARMGKVEKLGGEWKTKKEYPVTVKTNLKNKLRVSKQVNAQHPQQDFAAYAPHARLPLLTNQTKGSSMDVPSSFSEDETAETDSSSD >KJB83584 pep chromosome:Graimondii2_0_v6:13:57117228:57124960:1 gene:B456_013G254100 transcript:KJB83584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGEQQTEENKGSLDVVNKKRTFKTPAQLLALEEAYKEQKFPSDEMKARLAVQIGLTEKQISSWFCHRRLKDKRRDESYPNGRQDHSSGVIQDRGSGLRQDSCGSIKQGDYRNIDLREVESRRIYGQEFPAADLKYECRSHQNPYDDRMEDTSSESNLSLNDQPENGAITQINPRSTKSIGYKPSGYLKVKGEIENSAITAVKRQLGRHYKEDGPLLGIQFDSLPPGAFEFPSSSPVNEPMYVGDTRQTHSPDTSGVIKQPSNIVEKLGGEWKTKKEYPVTVKTNLKNKLRVSKQVNAQHPQQDFAAYAPHARLPLLTNQTKGSSMDVPSSFSEDETAETDSSSD >KJB83586 pep chromosome:Graimondii2_0_v6:13:57117386:57124813:1 gene:B456_013G254100 transcript:KJB83586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGEQQTEENKGSLDVVNKKRTFKTPAQLLALEEAYKEQKFPSDEMKARLAVQIGLTEKQISSWFCHRRLKDKRRDESYPNGRQDHSSGVIQDRGSGLRQDSCGSIKQGDYRNIDLREVESRRIYGQEFPAADLKYECRSHQNPYDDRMEDTSSESNLSLNDQPENGAITQINPRSTKSIGYKPSGYLKVKGEIENSAITAVKRQLGRHYKEDGPLLGIQFDSLPPGAFEFPSSSPVNEPMYVGDTRQTHSPDTSGVIKQPSNIVNVHNSKISSQDSDMEGAKFNTVQGSERRDRKSHHQLKHKSSLDIYNDSTKKSTLTDSKRIKTSSKLAVEKMGSDSFPNHPSPYGMKVSDEQEKPWLHDDDNHTYKAPKNENLSRTSNLIRGYNESSSTERGSSARMGKVEKLGGEWKTKKEYPVTVKTNLKNKLRVSKQVNAQHPQQDFAAYAPHARLPLLTNQTKGSSMDVPSSFSEDETAETDSSSD >KJB83585 pep chromosome:Graimondii2_0_v6:13:57117386:57124813:1 gene:B456_013G254100 transcript:KJB83585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGEQQTEENKGSLDVVNKKRTFKTPAQLLALEEAYKEQKFPSDEMKARLAVQIGLTEKQISSWFCHRRLKDKRRDESYPNGRQDHSSGVIQDRGSGLRQDSCGSIKQGDYRNIDLREVESRRIYGQEFPAADLKYECRSHQNPYDDRMEDTSSESNLSLNDQPENGAITQINPRSTKSIGYKPSGYLKVKGEIENSAITAVKRQLGRHYKEDGPLLGIQFDSLPPGAFEFPSSSPVNEPMYVGDTRQTHSPDTSGVIKQPSNIDSDMEGAKFNTVQGSERRDRKSHHQLKHKSSLDIYNDSTKKSTLTDSKRIKTSSKLAVEKMGSDSFPNHPSPYGMKVSDEQEKPWLHDDDNHTYKAPKNENLSRTSNLIRGYNESSSTERGSSARMGKVEKLGGEWKTKKEYPVTVKTNLKNKLRVSKQVNAQHPQQDFAAYAPHARLPLLTNQTKGSSMDVPSSFSEDETAETDSSSD >KJB79361 pep chromosome:Graimondii2_0_v6:13:4004388:4008153:1 gene:B456_013G045700 transcript:KJB79361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGLLGMLHSPPCATSVPLRHVRARVTCSSSSGHVSFIKDVAATQPPENLQHLLKMLQTRGETIVSPGSRHGLIPLAIPLSENMSGSMTALLRWPTAPPGMEMPVVEVRKHGVWLLANNVDQYIHRILVEEDADESHGSNGELFHASSEAGKKLYTRGDFAESKISNLDGYLLKKVGLFPDLLERKVMRHFEEGDQVSALVTGEFYTKKDLFPGFGRPFVFNAEILLKVGRASEAKDSARVALKSPWWTLGCTYQDVASIAQWEDEQIEYIKEKVSEEGRQEDLKKGKALAQVALDEAAFLLDLASIDGTWGDYLDRIAECYKEAGLGEIANFILYRD >KJB79360 pep chromosome:Graimondii2_0_v6:13:4003248:4008021:1 gene:B456_013G045700 transcript:KJB79360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPNPVIGVYTLTVHKYSSNMKAGLLGMLHSPPCATSVPLRHVRARVTCSSSSGHVSFIKDVAATQPPENLQHLLKMLQTRGETIVSPGSRHGLIPLAIPLSENMSGSMTALLRWPTAPPGMEMPVVEVRKHGVWLLANNVDQYIHRILVEEDADESHGSNGELFHASSEAGKKLYTRGDFAESKISNLDGYLLKKVGLFPDLLERKVMRHFEEGDQVSALVTGEFYTKKDLFPGFGRPFVFNAEILLKARIRGKRFCKGGFEITMVDFRLHISGCCQYCTVGG >KJB80690 pep chromosome:Graimondii2_0_v6:13:25171210:25177480:-1 gene:B456_013G110700 transcript:KJB80690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLQSFLDPKKSWLAALHMKSLSKRLRKYGLRYDDLYDPYYDLDIKEALNRLPREIVNARNQRLKRAMDLSMKHEYLPENLQQMQTPFRSYLQDMLALVKKERAEREALGALPLYQRTIP >KJB80692 pep chromosome:Graimondii2_0_v6:13:25175633:25177431:-1 gene:B456_013G110700 transcript:KJB80692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLQSFLDPKKSWLAALHMKSLSKRLRKYGLRYDDLYDPYYDLDIKEALNRLPREIVNARNQRLKRAMDLSMKHEYLPENLQQMQTPFRSYLQDMLALVYVSYMGTLCDAWNEVSKGKGRKSK >KJB80691 pep chromosome:Graimondii2_0_v6:13:25176204:25177194:-1 gene:B456_013G110700 transcript:KJB80691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLQSFLDPKKSWLAALHMKSLSKRLRKYGLRYDDLYDPYYDLDIKEALNRLPREIVNARNQRLKRAMDLSMKHEYLPENLQQMQTPFRSYLQDMLALVSS >KJB79942 pep chromosome:Graimondii2_0_v6:13:8891043:8891306:1 gene:B456_013G073500 transcript:KJB79942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRNTSTKDQAPKTESGMGCCSKPVKGISGCFDPMSTDKSGKSSNDSKLKQAEDSLRTVMYLSCWGPNS >KJB82787 pep chromosome:Graimondii2_0_v6:13:52725601:52729448:1 gene:B456_013G213000 transcript:KJB82787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atp1 MELFMEFSPRAAELTTLLESRITNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRATSESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVIPITDGQICSETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSLKLELAQYREVAALAQFGSDLDAATQALLNRGARLTEVPKQPQYSPLPIEKQILVIYAAVNGFCDRMPLEKISQYERAIPKSIKPQLLQSLLEKGANERKIEPDTFLKESALPYL >KJB81434 pep chromosome:Graimondii2_0_v6:13:39876163:39877437:-1 gene:B456_013G145500 transcript:KJB81434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDLQSPNDDIVQQRTMASMEEKLLKSRQRRSHPEPHTICPFPGSLIKVHDEIRWPQLTSFGPCRHRTHRFLPFEKRKLDLLDEFLTRTKRNWQFYYERLMNSTDQIKRCYSEEVDKPADDHELVEMMLIDGSFMVELFLQYEEGKDFTPPPPWSVQTLIADLLKLENQLPFFVLENLFELSTVGPPIRSLSYLALRFLSQAFRKSPEMVKAQVRKPKHLLDLFRRSLLPATNLDEDSQLSKHDRPMHPIQSVKHLRTAGISVRQRTAKSLLEIDFKKFQIPPLALKIPPLAIDDFTNAILVNCVAMEQCFTDESKHFTAYVCFMSCLMKQPEDVGYLRSADIINGFSRDEESFINMLNGIGTKVGSTLRECYLCKQFREIHCYYNSYWASISRSFFQYNHIMLYCSLLQIIVSIVGWRVSGN >KJB81373 pep chromosome:Graimondii2_0_v6:13:38551707:38559630:-1 gene:B456_013G141600 transcript:KJB81373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKELAKTEEVQPTQQEAALAEVKEDLTAEEAFEAKKRKLAELGMALLADPEANIKSLKDILQFAKDGDHSIVKLGLLSLLAVFKDIIPGYRIRLPTEKELEMKVSKEVKKMRFYESTLLSAYKGYLQKLLALEKQPRFHHVVVRCICTLLDAVPHFNFRESLLVAVVRNIGSSDDVVRRICCSTIKSLFTSEGKHGGEATVEAVRLIADHVKVHDCQLHPDSVEVLMSLSFDDDLGKPEVQEGNNKMKNKKNKKRKNFEESNQPQGNDRKRSKQETIAKMKEEVAADYKAVSYTPDVEERKRMQSETLSAVFETYFRILRHTMQSSVASSEANGNITSGGSGAHPLLAPCLSGLGKFSHLIDMDYIGDLMNYLKRLAARGSSSDSSAQKVQNLTVSERLRCCIVAFKVMRGNLDALNVDLQDFFVQLYNLVLEFRPGRDEGEVLAEALKIMLCDDRQHDMQKAAAFIKRLATFALCFGSAESMAALVTLKQLLQRNVKCRNLLENDAGGGSVSGSIAKYQPYGSDPSLSGALASVLWELNLLSKHYHPAISTLASGISSMNSAQNQVYISISPQQAFKNLLLEAGSFEYNQQGGTQKSNKRKGRSTALASTLASVEPPPVDENEVSKKLRRHFILLWDIKENERLRGELDRTTSALQLYEEYKKQRKPATLKNKKSKKARTTVGM >KJB81370 pep chromosome:Graimondii2_0_v6:13:38551707:38558027:-1 gene:B456_013G141600 transcript:KJB81370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGYLQKLLALEKQPRFHHVVVRCICTLLDAVPHFNFRESLLVAVVRNIGSSDDVVRRICCSTIKSLFTSEGKHGGEATVEAVRLIADHVKVHDCQLHPDSVEVLMSLSFDDDLGKPEVQEGNNKMKNKKNKKRKNFEESNQPQGNDRKRSKQETIAKMKEEVAADYKAVSYTPDVEERKRMQSETLSAVFETYFRILRHTMQSSVASSEANGNITSGGSGAHPLLAPCLSGLGKFSHLIDMDYIGDLMNYLKRLAARGSSSDSSAQKVQNLTVSERLRCCIVAFKVMRGNLDALNVDLQDFFVQLYNLVLEFRPGRDEGEVLAEALKIMLCDDRQHDMQKAAAFIKRLATFALCFGSAESMAALVTLKQLLQRNVKCRNLLENDAGGGSVSGSIAKYQPYGSDPSLSGALASVLWELNLLSKHYHPAISTLASGISSMNSAQNQVYISISPQQAFKNLLLEAGSFEYNQQGGTQKSNKRKGRSTALASTLASVEPPPVDENEVSKKLRRHFILLWDIKENERLRGELDRTTSALQLYEEYKKQRKPATLKNKKSKKARTTVGM >KJB81374 pep chromosome:Graimondii2_0_v6:13:38551707:38559722:-1 gene:B456_013G141600 transcript:KJB81374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKGKGKQRKQIILPPELPPEIADDDIEVSDEDLQFVDENKDYAGFVSRLDTHSINKQVTRVEGVNEDALEALYEKRRRKTLEQKENEKSVVQVDPVDALPVKSLDGEVYYRTFSQTAEVTENEGDVDKSVVKLTKAERRAKLKKTKKEAKKMGKELAKTEEVQPTQQEAALAEVKEDLTAEEAFEAKKRKLAELGMALLADPEANIKSLKDILQFAKDGDHSIVKLGLLSLLAVFKDIIPGYRIRLPTEKELEMKVSKEVKKMRFYESTLLSAYKGYLQKLLALEKQPRFHHVVVRCICTLLDAVPHFNFRESLLVAVVRNIGSSDDVVRRICCSTIKSLFTSEGKHGGEATVEAVRLIADHVKVHDCQLHPDSVEVLMSLSFDDDLGKPEVQEGNNKMKNKKNKKRKNFEESNQPQGNDRKRSKQETIAKMKEEVAADYKAVSYTPDVEERKRMQSETLSAVFETYFRILRHTMQSSVASSEANGNITSGGSGAHPLLAPCLSGLGKFSHLIDMDYIGDLMNYLKRLAARGSSSDSSAQKVQNLTVSERLRCCIVAFKVMRGNLDALNVDLQDFFVQLYNLVLEFRPGRDEGEVLAEALKIMLCDDRQHDMQKAAAFIKRLATFALCFGSAESMAALVTLKQLLQRNVKCRNLLENDAGGGSVSGSIAKYQPYGSDPSLSGALASVLWELNLLSKHYHPAISTLASGISSMNSAQNQVYISISPQQAFKNLLLEAGSFEYNQQGGTQKSNKRKGRSTALASTLASVEPPPVDENEVSKKLRRHFILLWDIKENERLRGELDRTTSALQLYEEYKKQRKPATLKNKKSKKARTTVGM >KJB81372 pep chromosome:Graimondii2_0_v6:13:38551707:38559630:-1 gene:B456_013G141600 transcript:KJB81372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKGKGKQRKQIILPPELPPEIADDDIEVSDEDLQFVDENKDYAGFVSRLDTHSINKQVTRVEGVNEDALEALYEKRRRKTLEQKENEKSVVQVDPVDALPVKSLDGEVYYLSQTAEVTENEGDVDKSVVKLTKAERRAKLKKTKKEAKKMGKELAKTEEVQPTQQEAALAEVKEDLTAEEAFEAKKRKLAELGMALLADPEANIKSLKDILQFAKDGDHSIVKLGLLSLLAVFKDIIPGYRIRLPTEKELEMKVSKEVKKMRFYESTLLSAYKGYLQKLLALEKQPRFHHVVVRCICTLLDAVPHFNFRESLLVAVVRNIGSSDDVVRRICCSTIKSLFTSEGKHGGEATVEAVRLIADHVKVHDCQLHPDSVEVLMSLSFDDDLGKPEVQEGNNKMKNKKNKKRKNFEESNQPQGNDRKRSKQETIAKMKEEVAADYKAVSYTPDVEERKRMQSETLSAVFETYFRILRHTMQSSVASSEANGNITSGGSGAHPLLAPCLSGLGKFSHLIDMDYIGDLMNYLKRLAARGSSSDSSAQKVQNLTVSERLRCCIVAFKVMRGNLDALNVDLQDFFVQLYNLVLEFRPGRDEGEVLAEALKIMLCDDRQHDMQKAAAFIKRLATFALCFGSAESMAALVTLKQLLQRNVKCRNLLENDAGGGSVSGSIAKYQPYGSDPSLSGALASVLWELNLLSKHYHPAISTLASGISSMNSAQNQVYISISPQQAFKNLLLEAGSFEYNQQGGTQKSNKRKGRSTALASTLASVEPPPVDENEVSKKLRRHFILLWDIKENERLRGELDRTTSALQLYEEYKKQRKPATLKNKKSKKARTTVGM >KJB81371 pep chromosome:Graimondii2_0_v6:13:38552114:38558851:-1 gene:B456_013G141600 transcript:KJB81371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWFMLLYNAVSQTAEVTENEGDVDKSVVKLTKAERRAKLKKTKKEAKKMGKELAKTEEVQPTQQEAALAEVKEDLTAEEAFEAKKRKLAELGMALLADPEANIKSLKDILQFAKDGDHSIVKLGLLSLLAVFKDIIPGYRIRLPTEKELEMKVSKEVKKMRFYESTLLSAYKGYLQKLLALEKQPRFHHVVVRCICTLLDAVPHFNFRESLLVAVVRNIGSSDDVVRRICCSTIKSLFTSEGKHGGEATVEAVRLIADHVKVHDCQLHPDSVEVLMSLSFDDDLGKPEVQEGNNKMKNKKNKKRKNFEESNQPQGNDRKRSKQETIAKMKEEVAADYKAVSYTPDVEERKRMQSETLSAVFETYFRILRHTMQSSVASSEANGNITSGGSGAHPLLAPCLSGLGKFSHLIDMDYIGDLMNYLKRLAARGSSSDSSAQKVQNLTVSERLRCCIVAFKVMRGNLDALNVDLQDFFVQLYNLVLEFRPGRDEGEVLAEALKIMLCDDRQHDMQKAAAFIKRLATFALCFGSAESMAALVTLKQLLQRNVKCRNLLENDAGGGSVSGSIAKYQPYGSDPSLSGALASVLWELNLLSKHYHPAISTLASGISSMNSAQNQVYISISPQQAFKNLLLEAGSFEYNQQGGTQKSNKRKGRSTALASTLASVEPPPVDENEVSKKLRRHFILLWDIKENERLRGELDRTTSALQLYEEYKKQRKPATLKNKKSKKARTTVGM >KJB79215 pep chromosome:Graimondii2_0_v6:13:3065490:3067033:-1 gene:B456_013G038400 transcript:KJB79215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKKIFLQSVIIFKSLSFRKLFSLGSLPIPPADILYCRSAHFQPGMLKFLQETEMICWNERLFLRLLSLPSGLVQ >KJB79214 pep chromosome:Graimondii2_0_v6:13:3066561:3067033:-1 gene:B456_013G038400 transcript:KJB79214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKKIFLQSVIIFKSLSFRKLFSLGSLPIPPADILYCRSAHFQPGMLKFLQETEMICWNERLFLRLLSLPSGLVQ >KJB79592 pep chromosome:Graimondii2_0_v6:13:5534560:5534913:1 gene:B456_013G056000 transcript:KJB79592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFNCFALGFFVALSLASIDVGVAARHLQQLPPMPTLPTTTLPPFPSIPNLPQPSIPSFPRPGALPPLPTMPALPTLPSVPRATLPPLPSMPSIPTIPTTIPSIPFFSPPPSPSSP >KJB81039 pep chromosome:Graimondii2_0_v6:13:32994833:32995549:1 gene:B456_013G126700 transcript:KJB81039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLCVKLPCSSDTCSISPPSNGETQNMMNATKLKEKASVDDVHEHPKPSGFHLPLGDESVELRLSSMISSPKPQQQSSKGRAFTCGFCSKQFSTSQALGGHQNAHKQERAIAKRRKEMDVGALAPQQYPFYSYSTLSQGSLYGSFNRSLGIKMDAMIQKPPISGYPWNSLGYRFGHGGIVMDGLQSQKSSVLPTPASTIAPKKWTSSIDFHVSASEGYHRNDAADDDDSGLDLSLKL >KJB78570 pep chromosome:Graimondii2_0_v6:13:1153770:1155621:1 gene:B456_013G016800 transcript:KJB78570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPQWLRSPSRAATTNPTKSPSHFSFSSFKDIDAILKEEEEQQVLYPQSPKRPSIFHRVKLSTTVLRAWSSSNRRPLTLPNADQRVVVYLTSLRVVRKTFEDCKTVRSILRGFNVPIDERDVSLDSSFLDELQGIIGRNKSFTLPLVFIGGTYIGGAEEVKRLHECGELKKMIGGLPSVVGSSVCNLCEGLRFILCPQCNGSHKIYSEKTGFRSCADCNTNGLVRCPSCNPSGHRRISYPFS >KJB82713 pep chromosome:Graimondii2_0_v6:13:52229517:52230008:-1 gene:B456_013G210400 transcript:KJB82713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKKMKLAYITNDSKRKTTYKKSTKGLVKKVHELTTLCGIETCAIIHSPDFDSQPEVWLSHAGARRLLSEFKKLPRFLEQSIAKATRQLRKLCKENRQTELKKVMFQSLSGKVIFQSLNAMDLNEVGLFVKQNLKDINDRVRVLTKASRF >KJB79133 pep chromosome:Graimondii2_0_v6:13:2677918:2684504:-1 gene:B456_013G034700 transcript:KJB79133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPLPFLPTNCGSSNEFNSMTCKRKKMSTDSKEYDSVSATGNPLDGTEKKKHKVSPNVIDYADPFAYTNMLESLNTGGKYGSVTKDIEALFSRNADMMSKILASQPCLSSVLPDVIKRSPRKETSNVPSRLLPHLSRNFINLEDESVGNGIKTAMLPVLVLDSDDEVNKNPRPLHLFQEIVLNKPSEKLLSKEKTEIVSKPSEELLFKEKMEIESRKPSEKLLHKEKMETVSRKKPSGKLLYKEKMETVSRKKPYEKLLPKEKMETISRKPSEKLLPKEKMVGESKSKKTDLKENVSLTSETDLKDEGVYVGVEEDVDTLTENVDDGLGDIWQEMSMALEFSKDGLEELPGENMSEDEDCDHSFVLKDDLGYVCRICGVIERGIETIIEIQYNKVKKSTRTYAVEPRNGIESSETVGFKLSEDHLTVTDIAAHPRHMKQMKPHQLEGFNFLLNNLVTDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPHAKPLVVLPKGILATWKKEFQTWQVEDIQLLDFYTVKADNRSQQLDVLKQWVERKSILFLGYKQFSTIICDSGNGKTSITCQEILLKAPSILILDEGHTPRNENTDVLQSLAKVQTTRKVVLSGTLYQNHVKEVFNILNLVRPKFLRSDTSKSIIKRVMSKVHISGVRKQFKGGAEAAFYDLVEHTLQKDENFERKVSVIHDLREMTSRVLHYYKGDFLDELPGLVDFTVVLGLSPRQMDEVQKLKRYQRKFKVSSVGSAVYLHPKLASFSEKSDTTDDKIDAKIDDLLDKLDIREGAKAKFFLNLLNLCESAGEKLLVFSQYLIPLKFLERLAVKLKGWQPGTEIFSITGESSTDHREWSMDHFNNSPDARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQKKKVYVYRLIAGDSPEEEDHSTCFKKELIAKMWFEWNKYCGNRDFDMETVNVNECNDLFLESHLLREDIRLLYRR >KJB79136 pep chromosome:Graimondii2_0_v6:13:2677682:2684504:-1 gene:B456_013G034700 transcript:KJB79136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPLPFLPTNCGSSNEFNSMTCKRKKMSTDSKEYDSVSATGNPLDGTEKKKHKVSPNVIDYADPFAYTNMLESLNTGGKYGSVTKDIEALFSRNADMMSKILASQPCLSSVLPDVIKRSPRKETSNVPSRLLPHLSRNFINLEDESVGNGIKTAMLPVLVLDSDDEVNKNPRPLHLFQEIVLNKPSEKLLSKEKTEIVSKPSEELLFKEKMEIESRKPSEKLLHKEKMETVSRKKPSGKLLYKEKMVGESKSKKTDLKENVSLTSETDLKDEGVYVGVEEDVDTLTENVDDGLGDIWQEMSMALEFSKDGLEELPGENMSEDEDCDHSFVLKDDLGYVCRICGVIERGIETIIEIQYNKVKKSTRTYAVEPRNGIESSETVGFKLSEDHLTVTDIAAHPRHMKQMKPHQLEGFNFLLNNLVTDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPHAKPLVVLPKGILATWKKEFQTWQVEDIQLLDFYTVKADNRSQQLDVLKQWVERKSILFLGYKQFSTIICDSGNGKTSITCQEILLKAPSILILDEGHTPRNENTDVLQSLAKVQTTRKVVLSGTLYQNHVKEVFNILNLVRPKFLRSDTSKSIIKRVMSKVHISGVRKQFKGGAEAAFYDLVEHTLQKDENFERKVSVIHDLREMTSRVLHYYKGDFLDELPGLVDFTVVLGLSPRQMDEVQKLKRYQRKFKVSSVGSAVYLHPKLASFSEKSDTTDDKIDAKIDDLLDKLDIREGAKAKFFLNLLNLCESAGEKLLVFSQYLIPLKFLERLAVKLKGWQPGTEIFSITGESSTDHREWSMDHFNNSPDARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQKKKVYVYRLIAGDSPEEEDHSTCFKKELIAKMWFEWNKYCGNRDFDMETVNVNECNDLFLESHLLREDIRLLYRR >KJB79134 pep chromosome:Graimondii2_0_v6:13:2677918:2684504:-1 gene:B456_013G034700 transcript:KJB79134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPLPFLPTNCGSSNEFNSMTCKRKKMSTDSKEYDSVSATGNPLDGTEKKKHKVSPNVIDYADPFAYTNMLESLNTGGKYGSVTKDIEALFSRNADMMSKILASQPCLSSVLPDVIKRSPRKETSNVPSRLLPHLSRNFINLEDESVGNGIKTAMLPVLVLDSDDEVNKNPRPLHLFQEIVLNKPSEKLLSKEKTEIVSKPSEELLFKEKMEIESRKPSEKLLHKEKMETVSRKKPSGKLLYKEKMETVSRKKPYEKLLPKEKMETISRKPSEKLLPKEKMVGESKSKKTDLKENVSLTSETDLKDEGVYVGVEEDVDTLTENVDDGLGDIWQEMSMALEFSKDGLEELPGENMSEDEDCDHSFVLKDDLGYVCRICGVIERGIETIIEIQYNKVKKSTRTYAVEPRNGIESSETVGFKLSEDHLTVTDIAAHPRHMKQMKPHQLEGFNFLLNNLVTDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPHAKPLVVLPKGILATWKKEFQTWQVEDIQLLDFYTVKADNRSQQLDVLKQWVERKSILFLGYKQFSTIICDSGNGKTSITCQEILLKAPSILILDEGHTPRNENTDVLQSLAKVQTTRKVVLSGTLYQNHVKEVFNILNLVRPKFLRSDTSKSIIKRVMSKVHISGVRKQFKGGAEAAFYDLVEHTLQKDENFERKVSVIHDLREMTSRVLHYYKGDFLDELPGLVDFTVVLGLSPRQMDEVQKLKRYQRKFKVSSVGSAVYLHPKLASFSEKSDTTDDKIDAKIDDLLDKLDIREGAKAKFFLNLLNLCESAGEKLLVFSQYLIPLKFLERLAVKLKGWQPGTEIFSITGESSTDHREWSMDHFNNSPDARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQKKKVYVYRLIAGDSPEEEDHSTCFKKELIAKMWFEWNKYCGNRDFDMETVNVNECNDLFLESHLLREDIRLLYRR >KJB79132 pep chromosome:Graimondii2_0_v6:13:2677455:2684701:-1 gene:B456_013G034700 transcript:KJB79132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPLPFLPTNCGSSNEFNSMTCKRKKMSTDSKEYDSVSATGNPLDGTEKKKHKVSPNVIDYADPFAYTNMLESLNTGGKYGSVTKDIEALFSRNADMMSKILASQPCLSSVLPDVIKRSPRKETSNVPSRLLPHLSRNFINLEDESVGNGIKTAMLPVLVLDSDDEVNKNPRPLHLFQEIVLNKPSEKLLSKEKTEIVSKPSEELLFKEKMEIESRKPSEKLLHKEKMETVSRKKPYEKLLPKEKMETISRKPSEKLLPKEKMVGESKSKKTDLKENVSLTSETDLKDEGVYVGVEEDVDTLTENVDDGLGDIWQEMSMALEFSKDGLEELPGENMSEDEDCDHSFVLKDDLGYVCRICGVIERGIETIIEIQYNKVKKSTRTYAVEPRNGIESSETVGFKLSEDHLTVTDIAAHPRHMKQMKPHQLEGFNFLLNNLVTDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPHAKPLVVLPKGILATWKKEFQTWQVEDIQLLDFYTVKADNRSQQLDVLKQWVERKSILFLGYKQFSTIICDSGNGKTSITCQEILLKAPSILILDEGHTPRNENTDVLQSLAKVQTTRKVVLSGTLYQNHVKEVFNILNLVRPKFLRSDTSKSIIKRVMSKVHISGVRKQFKGGAEAAFYDLVEHTLQKDENFERKVSVIHDLREMTSRVLHYYKGDFLDELPGLVDFTVVLGLSPRQMDEVQKLKRYQRKFKVSSVGSAVYLHPKLASFSEKSDTTDDKIDAKIDDLLDKLDIREGAKAKFFLNLLNLCESAGEKLLVFSQYLIPLKFLERLAVKLKGWQPGTEIFSITGESSTDHREWSMDHFNNSPDARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQKKKVYVYRLIAGDSPEEEDHSTCFKKELIAKMWFEWNKYCGNRDFDMETVNVNECNDLFLESHLLREDIRLLYRR >KJB79135 pep chromosome:Graimondii2_0_v6:13:2677520:2684504:-1 gene:B456_013G034700 transcript:KJB79135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPLPFLPTNCGSSNEFNSMTCKRKKMSTDSKEYDSVSATGNPLDGTEKKKHKVSPNVIDYADPFAYTNMLESLNTGGKYGSVTKDIEALFSRNADMMSKILASQPCLSSVLPDVIKRSPRKETSNVPSRLLPHLSRNFINLEDESVGNGIKTAMLPVLVLDSDDEVNKNPRPLHLFQEIVLNKPSEKLLSKEKTEIVSKPSEELLFKEKMEIESRKPSEKLLHKEKMETVSRKKPSGKLLYKEKMETVSRKKPYEKLLPKEKMVGESKSKKTDLKENVSLTSETDLKDEGVYVGVEEDVDTLTENVDDGLGDIWQEMSMALEFSKDGLEELPGENMSEDEDCDHSFVLKDDLGYVCRICGVIERGIETIIEIQYNKVKKSTRTYAVEPRNGIESSETVGFKLSEDHLTVTDIAAHPRHMKQMKPHQLEGFNFLLNNLVTDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPHAKPLVVLPKGILATWKKEFQTWQVEDIQLLDFYTVKADNRSQQLDVLKQWVERKSILFLGYKQFSTIICDSGNGKTSITCQEILLKAPSILILDEGHTPRNENTDVLQSLAKVQTTRKVVLSGTLYQNHVKEVFNILNLVRPKFLRSDTSKSIIKRVMSKVHISGVRKQFKGGAEAAFYDLVEHTLQKDENFERKVSVIHDLREMTSRVLHYYKGDFLDELPGLVDFTVVLGLSPRQMDEVQKLKRYQRKFKVSSVGSAVYLHPKLASFSEKSDTTDDKIDAKIDDLLDKLDIREGAKAKFFLNLLNLCESAGEKLLVFSQYLIPLKFLERLAVKLKGWQPGTEIFSITGESSTDHREWSMDHFNNSPDARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQKKKVYVYRLIAGDSPEEEDHSTCFKKELIAKMWFEWNKYCGNRDFDMETVNVNECNDLFLESHLLREDIRLLYRR >KJB78435 pep chromosome:Graimondii2_0_v6:13:48329212:48329590:1 gene:B456_013G1844002 transcript:KJB78435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALQLQTHPCFHGTCQLSPPPRPSVSFPSSSRSFPSSRRSLSAHVKAAASSLSTTTLQEGIAEFYDESSGIWEDIWGDHMHHGYYDPGSDVSGSDHRAAQIRMVEESLRFAGIS >KJB78434 pep chromosome:Graimondii2_0_v6:13:48328994:48329590:1 gene:B456_013G1844002 transcript:KJB78434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALQLQTHPCFHGTCQLSPPPRPSVSFPSSSRSFPSSRRSLSAHVKAAASSLSTTTLQEGIAEFYDESSGIWEDIWGDHMHHGYYDPGSDVSGSDHRAAQIRMVEESLRFAGIS >KJB83725 pep chromosome:Graimondii2_0_v6:13:57552087:57557745:-1 gene:B456_013G261200 transcript:KJB83725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic enolase 3 [Source:Projected from Arabidopsis thaliana (AT2G29560) UniProtKB/Swiss-Prot;Acc:Q9ZW34] MSVQEYLDKHMLSRKIEDAVNAAVRAKTPDPVLFISNHMKKAVSSVITKVKARQILDSRGIPTVEVELYTNKGVFRASVPCGDSTGMYEAVELRDGDKGTYLGNAVTKAVKNINEKISEALVGMDPTVQLQIDQAMIDLDKTEKKSELGANAILAVSIAACRAGAAEKEVPLYKHIADLSGKTNAILPVPAFTVISGGKHSGNSLPVQDIMILPTGAVRFEEALQMGSETYHHLKAVITEKYGANGCNVGEDGGFVPDISSVREGLDIVREAIGRTGYNDKIKIGIGVAATEFCIGTKYDLEFKSPNKSGQNFKSGEDMIQMYKDLCIDYPIASIEDPFDKEDWEHSKRFCGLGLCQVVGDDLLMSNPKRIERAIHESACNSLLLKINQIGTVTEAVDVVKMAKEAHWGVVVSQRFGETNDCFIADLAVALGAGQIKAGAPCRGERVAKYNQLLRIEQELSDQAVYAGEDWRL >KJB78601 pep chromosome:Graimondii2_0_v6:13:652027:654499:-1 gene:B456_013G009900 transcript:KJB78601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLCKRLGDPTSSFESPIELSRNHPIPELDSPTAVRIKVKATSLNYGTYLQIQGKYQEKHPLPFIPGSDYAGIVNAVGPSVSKFKVGDYVCAFSPVGSYATFIVQDQSQLFEVPKGCDLVAAAGLPIAFVTSHLTLVHRANLTSSQVLLVLGAAGGVGLAAVQIGKICGAVVIAVARGAEKVQLLKSLGVDHVVDLMNQNVTASVKEFLKSRNLKGVDVLYDPVGGNLAKETMKLLNWGAQILVIGFASGEIPIIPTNIILVKNWTVHGFYWGSYIIHQPAMLEDSVRELFSWMEKGLITVHVSHTYSLSEANLAFSAIKDRKAMGKVMIVFDDMGNASSKL >KJB81783 pep chromosome:Graimondii2_0_v6:13:43775293:43784941:-1 gene:B456_013G160900 transcript:KJB81783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGGNPRKRPPPPSSHQPSPASKHQATTPTPPPPSTEEDFVDEDVFFDETLMGEEDVESLILRDFEERQALASRLSKWARPPLSDAYISQSRSIVFQQLEIDYVIGESHKGLLPDSSGQAAIIRIFGVTGEGHSVCCLVHGFEPYFYISCPPGMGPDDISHFHQTLEGRMKEMNRNSKVPKFVRRIELVQKRSIMYYQQQKSQPFLKIVVALPTMVATCRGILDRGIQIDGLGMKSFITYESNVLFALRFMIDCNIVGGNWIEIPAGKYKKTAKNMSYCQLEFDCLYSDLISHAPEGEFSKMAPFRILSFDIECAGRKGHFPEPSHDPVIQVANLVTLQGEDQPFIRNVMTLKSCSPIVGVDVMSFDTEKEVLLAWREFIREVDPDIIIGYNICKFDLPYLIERAQTLGIAEFPILGRIRNSRVRVKDTTFSSRQYGTRESKEVTVEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMYVYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKSKQKNLVIPNVKQAGSEQGTFEGATVLEAKAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDVRKLNLPPECVNRTPSGETFVKPELQKGILPEILEELLAARKRAKADLKEAKDPLEKAVLDGRQLALKVSANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTVLGGYEHNAEVIYGDTDSVMVQFGVSDVEAAMNLGREAAEHISGTFTKPIKLEFEKVYYPYLLISKKRYAGLYWTNPQKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILIDRDIPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDPATAPNVGDRVPYVIIKAAKGAKAYERSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSISISTPSNSGIMKFAKKQLSCIGCKALICNSDRTLCSHCKGREAELYYKTVSQVSELEELFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMVEAKRQLDRWNF >KJB81785 pep chromosome:Graimondii2_0_v6:13:43776958:43785022:-1 gene:B456_013G160900 transcript:KJB81785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGGNPRKRPPPPSSHQPSPASKHQATTPTPPPPSTEEDFVDEDVFFDETLMGEEDVESLILRDFEERQALASRLSKWARPPLSDAYISQSRSIVFQQLEIDYVIGESHKGLLPDSSGQAAIIRIFGVTGEGHSVCCLVHGFEPYFYISCPPGMGPDDISHFHQTLEGRMKEMNRNSKVPKFVRRIELVQKRSIMYYQQQKSQPFLKIVVALPTMVATCRGILDRGIQIDGLGMKSFITYESNVLFALRFMIDCNIVGGNWIEIPAGKYKKTAKNMSYCQLEFDCLYSDLISHAPEGEFSKMAPFRILSFDIECAGRKGHFPEPSHDPVIQVANLVTLQGEDQPFIRNVMTLKSCSPIVGVDVMSFDTEKEVLLAWREFIREVDPDIIIGYNICKFDLPYLIERAQTLGIAEFPILGRIRNSRVRVKDTTFSSRQYGTRESKEVTVEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMYVYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKSKQKNLVIPNVKQAGSEQGTFEGATVLEAKAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDVRKLNLPPECVNRTPSGETFVKPELQKGILPEILEELLAARKRAKADLKEAKDPLEKAVLDGRQLALKVSANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTVLGGYEHNAEVIYGDTDSVMVQFGVSDVEAAMNLGREAAEHISGTFTKPIKLEFEKVYYPYLLISKKRYAGLYWTNPQKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILIDRDIPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKL >KJB81784 pep chromosome:Graimondii2_0_v6:13:43774264:43785022:-1 gene:B456_013G160900 transcript:KJB81784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGGNPRKRPPPPSSHQPSPASKHQATTPTPPPPSTEEDFVDEDVFFDETLMGEEDVESLILRDFEERQALASRLSKWARPPLSDAYISQSRSIVFQQLEIDYVIGESHKGLLPDSSGQAAIIRIFGVTGEGHSVCCLVHGFEPYFYISCPPGMGPDDISHFHQTLEGRMKEMNRNSKVPKFVRRIELVQKRSIMYYQQQKSQPFLKIVVALPTMVATCRGILDRGIQIDGLGMKSFITYESNVLFALRFMIDCNIVGGNWIEIPAGKYKKTAKNMSYCQLEFDCLYSDLISHAPEGEFSKMAPFRILSFDIECAGRKGHFPEPSHDPVIQVANLVTLQGEDQPFIRNVMTLKSCSPIVGVDVMSFDTEKEVLLAWREFIREVDPDIIIGYNICKFDLPYLIERAQTLGIAEFPILGRIRNSRVRVKDTTFSSRQYGTRESKEVTVEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMYVYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKSKQKNLVIPNVKQAGSEQGTFEGATVLEAKAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDVRKLNLPPECVNRTPSGETFVKPELQKGILPEILEELLAARKRAKADLKEAKDPLEKAVLDGRQLALKVSANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTVLGGYEHNAEVIYGDTDSVMVQFGVSDVEAAMNLGREAAEHISGTFTKPIKLEFEKVYYPYLLISKKRYAGLYWTNPQKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILIDRDIPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDPATAPNVGDRVPYVIIKAAKGAKAYERSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSISISTPSNSGIMKFAKKQLSCIGCKALICNSDRTLCSHCKGREAELYYKTVSQVSELEELFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMVEAKRQLDRWNF >KJB80572 pep chromosome:Graimondii2_0_v6:13:20678300:20683442:-1 gene:B456_013G104800 transcript:KJB80572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQVVKVKREAIAACMTCPLCNKLLRDATTISECLHTFCRKCIYDKIEEEELECCPICNIDLGCVPLEKLRPDHNLQDVRTKIFPLKRRKVKAPEVVPPVTIPTRRKERSLSSLVVNAPKVSSQTTMTGRRTKAFTRKAGALRHSSFPIEKPVKGDEDFMEDHQEIASSPETLNKFTQNKRQCTSSAEHIQNMNKEAENGGKAWDGKLDLWKPLNCLVEVANRTKSFKSNSQGSDSKLEPSCVPTIEAHTCKSKHREYKCKTKLEDERTSAGPATSETVTPKKLRRVSRKRASGFGDSGISPQAVLDAAGPKHERRIGPVWFSLVASENQEGDAPLPQISANYLRIKDGNIPVSFIQKYLKKKLNLTDEAEVEIKCMGQPVVPTLQLYNLVDLWLQTAATSQQVPATIGSSAKEFVMVLAYARKAPDE >KJB80573 pep chromosome:Graimondii2_0_v6:13:20680096:20683442:-1 gene:B456_013G104800 transcript:KJB80573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQVVKVKREAIAACMTCPLCNKLLRDATTISECLHTFCRKCIYDKIEEEELECCPICNIDLGCVPLEKLRPDHNLQDVRTKIFPLKRRKVKAPEVVPPVTIPTRRKERSLSSLVVNAPKVSSQTTMTGRRTKAFTRKAGALRHSSFPIEKPVKGDEDFMEDHQEIASSPETLNKFTQNKRQCTSSAEHIQNMNKEAENGGKAWDGKLDLWKPLNCLVEVANRTKSFKSNSQGSDSKLEPSCVPTIEAHTCKSKHREYKCKTKLEDERTSAGPATSETVTPKKLRRVSRKRASGFGDSGISPQAVLDAAGPKHERRIGPVWFSLVASENQEGDAPLPQISANYLRIKYIPSSNFFCLCLTVACYNYFSSFWTKTVNFICCLLRL >KJB80574 pep chromosome:Graimondii2_0_v6:13:20680724:20683442:-1 gene:B456_013G104800 transcript:KJB80574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQVVKVKREAIAACMTCPLCNKLLRDATTISECLHTFCRKCIYDKIEEEELECCPICNIDLGCVPLEKLRPDHNLQDVRTKIFPLKRRKVKAPEVVPPVTIPTRRKERSLSSLVVNAPKVSSQTTMTGRRTKAFTRKAGALRHSSFPIEKPVKGDEDFMEDHQEIASSPETLNKFTQNKRQCTSSAEHIQNMNKEAENGGKAWDGKLDLWKPLNCLVEVANRTKSFKSNSQGSDSKLEPSCVPTIEAHTCKSKHREYKCKTKLEDERTSAGPATSETVTPKKLRRVSRKRASGFGDSGISPQAVLDAAGPKHERRIGPVWFSLVASENQ >KJB81821 pep chromosome:Graimondii2_0_v6:13:44404053:44405159:-1 gene:B456_013G163200 transcript:KJB81821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSILISDYQRKAFNVLGLGLSFMVLMIQKGYARDFSVNWGLHNGPNAENYNQWAEKNRFQIGDSLVFTYTPNDDSVLQVNKDAYKNCSVESPLSSYTDGHTVFSLSHSGPYYFISGNKDNCEKNEKLEVVVLADRSNRSSTANETNPSSPPPSSSIDIMPSPAPSGESPPAGTVENPTPAPSDESKPPNAASAVFMSVTASMGAVFAASTLLLGF >KJB81054 pep chromosome:Graimondii2_0_v6:13:33223052:33226280:1 gene:B456_013G127600 transcript:KJB81054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREGKAGHSSNFKKRVRSKHEGSDDSDEDYVASEGNEGSDDDAEYYCSSLDECASEEGFQGYNEEEEEEVGEVVRLKRERISSVRERKIVDKKSVKQETVSVEDEDKDYEEEEEEKEEVREVVRLKRERISSERERKIADKKSVKRESLSVEEDEDKDYEEEEEEKEDDDEEFTPDLEDCLDEEFTLDEEDCLDEEFTPDEEDCLDEEEELTMTKKKNKTKVSKQVLRKKYPSKHRTKRKRSAISKKPSRKQGRKKRRLKRKRRAEEDDDNDDCGFIDNTPALRQKSRTNAGQRKKAYVVPSDSDFVSSGSSDYEYTVSEEEREQMKEANQFCGSLKTSLRSSSASKRIQELEELGQPKKPPGRKGKEKIEETKAEVIKPVCGICLSEEDKRRLRGTLNCCSHYFCFTCIMEWSKVESRCPLCKQRFETISKPARSAAGVDSREVLIQVPKRDQVYQPSEEELRSYLDPYENVICSECHQGGDDELMLLCDICDSSAHTYCVGLGREVPEDNWYCDGCRPVATGSASSQVQDSLPDHRIINNLYNRFSPMVNFGEGLDSIVGPSPLMPSIPNFVGYSSPRFPAVDIPVVSPASGAGAPTLTGRRWLHRQIQNLRSINRMNFMAGRTDGILSANMGTDFVNSHTDQSTETTVQQARTQDKGTQHQTVFEERLQDDPSSSVPSRDLSSSRLENLRRQAVQDSTTPTTNTSINLTLWPELTGISSNEQLRQCINGSNIGPDGFASPFSVRDENNFSMAKEQLQGMVRSHLKALSTNIDLDNGTFKDIATSAMHTLLAACGLEHMRSEVHVVPPPSNCTHIERVATEQASLMKVALKV >KJB81056 pep chromosome:Graimondii2_0_v6:13:33222524:33226862:1 gene:B456_013G127600 transcript:KJB81056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREGKAGHSSNFKKRVRSKHEGSDDSDEDYVASEGNEGSDDDAEYYCSSLDECASEEGFQGYNEEEEEEVGEVVRLKRERISSVRERKIVDKKSVKQETVSVEDEDKDYEEEEEEKEEVREVVRLKRERISSERERKIADKKSVKRESLSVEEDEDKDYEEEEEEKEDDDEEFTPDLEDCLDEEFTLDEEDCLDEEFTPDEEDCLDEEEELTMTKKKNKTKVSKQVLRKKYPSKHRTKRKRSAISKKPSRKQGRKKRRLKRKRRAEEDDDNDDCGFIDNTPALRQKSRTNAGQRKKAYVVPSDSDFVSSGSSDYEYTVSEEEREQMKEANQFCGSLKTSLRSSSASKRIQELEELGQPKKPPGRKGKEKIEETKAEVIKPVCGICLSEEDKRRLRGTLNCCSHYFCFTCIMEWSKVESRCPLCKQRFETISKPARSAAGVDSREVLIQVPKRDQVYQPSEEELRSYLDPYENVICSECHQGGDDELMLLCDICDSSAHTYCVGLGREVPEDNWYCDGCRPVATGSASSQVQDSLPDHRIINNLYNRFSPMVNFGEGLDSIVGPSPLMPSIPNFVGYSSPRFPAVDIPVVSPASGAGAPTLTGRRWLHRQIQNLRSINRMNFMAGRTDGILSANMGTDFVNSHTDQSTETTVQQARTQDKGTQHQTVFEERLQDDPSSSVPSRDLSSSRLENLRRQAVQDSTTPTTNTSINLTLWPELTGISSNEQLRQCINGSNIGPDGFASPFSVRDENNFSMAKEQLQGMVRSHLKALSTNIDLDNGTFKDIATSAMHTLLAACGLEHMRSEVHVVPPPSNCTHIERVATEQASLMKGCRSEPFSCLDFLLSLLESYVNPTQV >KJB81057 pep chromosome:Graimondii2_0_v6:13:33222504:33226891:1 gene:B456_013G127600 transcript:KJB81057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREGKAGHSSNFKKRVRSKHEGSDDSDEDYVASEGNEGSDDDAEYYCSSLDECASEEGFQGYNEEEEEEVGEVVRLKRERISSVRERKIVDKKSVKQETVSVEDEDKDYEEEEEEKEEVREVVRLKRERISSERERKIADKKSVKRESLSVEEDEDKDYEEEEEEKEDDDEEFTPDLEDCLDEEFTLDEEDCLDEEFTPDEEDCLDEEEELTMTKKKNKTKVSKQVLRKKYPSKHRTKRKRSAISKKPSRKQGRKKRRLKRKRRAEEDDDNDDCGFIDNTPALRQKSRTNAGQRKKAYVVPSDSDFVSSGSSDYEYTVSEEEREQMKEANQFCGSLKTSLRSSSASKRIQELEELGQPKKPPGRKGKEKIEETKAEVIKPVCGICLSEEDKRRLRGTLNCCSHYFCFTCIMEWSKVESRCPLCKQRFETISKPARSAAGVDSREVLIQVPKRDQVYQPSEEELRSYLDPYENVICSECHQGGDDELMLLCDICDSSAHTYCVGLGREVPEDNWYCDGCRPVATGSASSQVQDSLPDHRIINNLYNRFSPMVNFGEGLDSIVGPSPLMPSIPNFVGYSSPRFPAVDIPVVSPASGAGAPTLTGRRWLHRQIQNLRSINRMNFMAGRTDGILSANMGTDFVNSHTDQSTETTVQQARTQDKGTQHQTVFEERLQDDPSSSVPSRDLSSSRLENLRRQAVQDSTTPTTNTSINLTLWPELTGISSNEQLRQCINGSNIGPDGFASPFSVRDENNFSMAKEQLQGMVRSHLKALSTNIDLDNGTFKDIATSAMHTLLAACGLEHMRSEVHVVPPPSNCTHIERVATEQASLMKGQSRFLVLIFCCLCWKATLIQLRCELKSYKYVFQLSLEKHFHVFGGLVLYPCPDMP >KJB81058 pep chromosome:Graimondii2_0_v6:13:33222726:33226171:1 gene:B456_013G127600 transcript:KJB81058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREGKAGHSSNFKKRVRSKHEGSDDSDEDYVASEGNEGSDDDAEYYCSSLDECASEEGFQGYNEEEEEEVGEVVRLKRERISSVRERKIVDKKSVKQETVSVEDEDKDYEEEEEEKEEVREVVRLKRERISSERERKIADKKSVKRESLSVEEDEDKDYEEEEEEKEDDDEEFTPDLEDCLDEEFTLDEEDCLDEEFTPDEEDCLDEEEELTMTKKKNKTKVSKQVLRKKYPSKHRTKRKRSAISKKPSRKQGRKKRRLKRKRRAEEDDDNDDCGFIDNTPALRQKSRTNAGQRKKAYVVPSDSDFVSSGSSDYEYTVSEEEREQMKEANQFCGSLKTSLRSSSASKRIQELEELGQPKKPPGRKGKEKIEETKAEVIKPVCGICLSEEDKRRLRGTLNCCSHYFCFTCIMEWSKVESRCPLCKQRFETISKPARSAAGVDSREVLIQVPKRDQVYQPSEEELRSYLDPYENVICSECHQGGDDELMLLCDICDSSAHTYCVGLGREVPEDNWYCDGCRPVATGSASSQVQDSLPDHRIINNLYNRFSPMVNFGEGLDSIVGPSPLMPSIPNFVGYSSPRFPAVDIPVVSPASGAGAPTLTGRRWLHRQIQNLRSINRMNFMAGRTDGILSANMGTDFVNSHTDQSTETTVQQARTQDKGTQHQTVFEERLQDDPSSSVPSRDLSSSRLENLRRQAVQDSTTPTTNTSINLTLWPELTGISSNEQLRQCINGSNIGPDGFASPFSVRDENNFSMAKEQLQGMVRSHLKALSTNIDLDNGTFKDIATSAMHTLLAACGLEHMRSEVHVVPPPSNCTHIERVATEQASLMKGCCLTCFDSFVKDVVKRIMDTRSPQWLSLGL >KJB81055 pep chromosome:Graimondii2_0_v6:13:33222524:33226171:1 gene:B456_013G127600 transcript:KJB81055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREGKAGHSSNFKKRVRSKHEGSDDSDEDYVASEGNEGSDDDAEYYCSSLDECASEEGFQGYNEEEEEEVGEVVRLKRERISSVRERKIVDKKSVKQETVSVEDEDKDYEEEEEEKEEVREVVRLKRERISSERERKIADKKSVKRESLSVEEDEDKDYEEEEEEKEDDDEEFTPDLEDCLDEEFTLDEEDCLDEEFTPDEEDCLDEEEELTMTKKKNKTKVSKQVLRKKYPSKHRTKRKRSAISKKPSRKQGRKKRRLKRKRRAEEDDDNDDCGFIDNTPALRQKSRTNAGQRKKAYVVPSDSDFVSSGSSDYEYTVSEEEREQMKEANQFCGSLKTSLRSSSASKRIQELEELGQPKKPPGRKGKEKIEETKAEVIKPVCGICLSEEDKRRLRGTLNCCSHYFCFTCIMEWSKVESRCPLCKQRFETISKPARSAAGVDSREVLIQVPKRDQVYQPSEEELRSYLDPYENVICSECHQGGDDELMLLCDICDSSAHTYCVGLGREVPEDNWYCDGCRPVATGSASSQVQDSLPDHRIINNLYNRFSPMVNFGEGLDSIVGPSPLMPSIPNFVGYSSPRFPAVDIPVVSPASGAGAPTLTGRRWLHRQIQNLRSINRMNFMAGRTDGILSANMGTDFVNSHTDQSTETTVQQARTQDKGTQHQTVFEERLQDDPSSSVPSRDLSSSRLENLRRQAVQDSTTPTTNTSINLTLWPELTGISSNEQLRQCINGSNIGPDGFASPFSVRDENNFSMAKEQLQGMVRSHLKALSTNIDLDNGTFKDIATSAMHTLLAACGLEHMRSEVHVVPPPSNCTHIERVATEQASLMKGCCLTCFDSFVKDVVKRIMDTRSPQWLSLGL >KJB82500 pep chromosome:Graimondii2_0_v6:13:50926209:50932691:-1 gene:B456_013G199200 transcript:KJB82500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQMNNNTSSSNIPVSERYWTLVDKADKKFSKIRDLPYYERNRYDTYFYKVFKVYTQLWKFQQENRQKLVEAGLKRWEIGEIASRIGQLYYGQYMRTSEASYLSEAYIFYEAILTREYFREGSFQDFNLANKQLRFLARFLMVCLVLNRREMVHQLVNQLKMLVDECKRTFQDTDFKEWKLVVQEIVRFLKADTAFMNIRPLRYSLVLDPHPDVLPHVAAPVARKNLRLRDAVLSSYHHNEVKFSELTLDTFRMLQCLEWEPSGSFYPSAGGKSGQNGALASSPINHSQDIADPTLPPNPRKAVLYRPSLTHFIAVLATICEELPPDGVLLVYLSASGTTGHTLSLSGSGTGINTAENITRDFQSHTIHSDGTSTSSMSSPSNSPNPSASQKKGDCISYHTGCLQFGNRGSGGLNCIYPSDLIPFTRRPLFIIIESDASEVFKAISGAEKGERAALLLSPNCSFPIGSSDASRHSVGSLFTIFLTAPLQAFCLLLGISGSDIEMDTYKHAESLLSSSLNDWGLKLATSDNVDPVWAQILGDPFLRRFLLRFIFCRAVLTLFVQTYNKEEFHPECMPALPDAVSPRTSASQTAVVQLAKLFHATKRFVFTEAIPLPDHISSGTRS >KJB82503 pep chromosome:Graimondii2_0_v6:13:50926231:50932691:-1 gene:B456_013G199200 transcript:KJB82503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQMNNNTSSSNIPVSERYWTLVDKADKKFSKIRDLPYYERNRYDTYFYKVFKVYTQLWKFQQENRQKLVEAGLKRWEIGEIASRIGQLYYGQYMRTSEASYLSEAYIFYEAILTREYFREGSFQDFNLANKQLRFLARFLMVCLVLNRREMVHQLVNQLKMLVDECKRTFQVFMTPLRYSLVLDPHPDVLPHVAAPVARKNLRLRDAVLSSYHHNEVKFSELTLDTFRMLQCLEWEPSGSFYPSAGGKSGQNGALASSPINHSQDIADPTLPPNPRKAVLYRPSLTHFIAVLATICEELPPDGVLLVYLSASGTTGHTLSLSGSGTGINTAENITRDFQSHTIHSDGTSTSSMSSPSNSPNPSASQKKGDCISYHTGCLQFGNRGSGGLNCIYPSDLIPFTRRPLFIIIESDASEVFKAISGAEKGERAALLLSPNCSFPIGSSDASRHSVGSLFTIFLTAPLQAFCLLLGISGSDIEMDTYKHAESLLSSSLNDWGLKLATSDNVDPVWAQILGDPFLRRFLLRFIFCRAVLTLFVQTYNKEEFHPECMPALPDAVSPRTSASQTAVVQLAKLFHATKRFVFTEAIPLPDHISSGTRS >KJB82505 pep chromosome:Graimondii2_0_v6:13:50926496:50932382:-1 gene:B456_013G199200 transcript:KJB82505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQMNNNTSSSNIPVSERYWTLVDKADKKFSKIRDLPYYERNRYDTYFYKVFKVYTQLWKFQQENRQKLVEAGLKRWEIGEIASRIGQLYYGQYMRTSEASYLSEAYIFYEAILTREYFREGSFQDFNLANKQLRFLARFLMVCLVLNRREMVHQLVNQLKMLVDECKRTFQDTDFKEWKLVVQEIVRFLKADTAFMNIRPLRYSLVLDPHPDVLPHVAAPVARKNLRLRDAVLSSYHHNEVKFSELTLDTFRMLQCLEWEPSGSFYPSAGGKSGQNGALASSPINHSQDIADPTLPPNPRKAVLYRPSLTHFIAVLATICEELPPDGVLLVYLSASGTTGHTLSLSGSGTGINTAENITRDFQSHTIHSDGTSTSSMSSPSNSPNPSASQKKGDCISYHTGCLQFGNRGSGGLNCIYPSDLIPFTRRPLFIIIESDASEVFKAISGAEKGERAALLLSPNCSFPIGSSDASRHSVGSLFTIFLTAPLQAFCLLLGISGSDIEMDTYKHAESLLSSSLNDWGLKLATSDNVDPVWAQILGDPFLRRFLLSLYPICRFIFCRAVLTLFVQTYNKEEFHPECMPALPDAVSPRTSASQTAVVQLAKLFHATKRFVFTEAIPLPDHISSGTRS >KJB82502 pep chromosome:Graimondii2_0_v6:13:50926231:50932691:-1 gene:B456_013G199200 transcript:KJB82502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQMNNNTSSSNIPVSERYWTLVDKADKKFSKIRDLPYYERNRYDTYFYKVFKVYTQLWKFQQENRQKLVEAGLKRWEIGEIASRIGQLYYGQYMRTSEASYLSEAYIFYEAILTREYFREGSFQDFNLANKQLRFLARFLMVCLVLNRREMVHQLVNQLKMLVDECKRTFQDTDFKEWKLVVQEIVRFLKADTAFMNIRPLRYSLVLDPHPDVLPHVAAPVARKNLRLRDAVLSSYHHNEVKFSELTLDTFRMLQCLEWEPSGSFYPSAGGKSGQNGALASSPINHSQDIADPTLPPNPRKAVLYRPSLTHFIAVLATICEELPPDGVLLVYLSASGTTGHTLSLSGSGTGINTAENITRDFQSHTIHSDGTSTSSMSSPSNSPNPSASQKKGDCISYHTGCLQFGNRGSGGLNCIYPSDLIPFTRRPLFIIIESDASEVFKAISGAEKGERAALLLSPNCSFPIGSSDASRHSVGSLFTIFLTAPLQAFCLLLGISGSDIEMVRKKSTTFSFYLFGILTARKIPGHI >KJB82504 pep chromosome:Graimondii2_0_v6:13:50926231:50932691:-1 gene:B456_013G199200 transcript:KJB82504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARGLSRPLRYSLVLDPHPDVLPHVAAPVARKNLRLRDAVLSSYHHNEVKFSELTLDTFRMLQCLEWEPSGSFYPSAGGKSGQNGALASSPINHSQDIADPTLPPNPRKAVLYRPSLTHFIAVLATICEELPPDGVLLVYLSASGTTGHTLSLSGSGTGINTAENITRDFQSHTIHSDGTSTSSMSSPSNSPNPSASQKKGDCISYHTGCLQFGNRGSGGLNCIYPSDLIPFTRRPLFIIIESDASEVFKAISGAEKGERAALLLSPNCSFPIGSSDASRHSVGSLFTIFLTAPLQAFCLLLGISGSDIEMDTYKHAESLLSSSLNDWGLKLATSDNVDPVWAQILGDPFLRRFLLRFIFCRAVLTLFVQTYNKEEFHPECMPALPDAVSPRTSASQTAVVQLAKLFHATKRFVFTEAIPLPDHISSGTRS >KJB82501 pep chromosome:Graimondii2_0_v6:13:50926231:50932691:-1 gene:B456_013G199200 transcript:KJB82501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQMNNNTSSSNIPVSERYWTLVDKADKKFSKIRDLPYYERNRYDTYFYKVFKVYTQLWKFQQENRQKLVEAGLKRWEIGEIASRIGQLYYGQYMRTSEASYLSEAYIFYEAILTREYFREGSFQDFNLANKQLRFLARFLMVCLVLNRREMVHQLVNQLKMLVDECKRTFQDTDFKEWKLVVQEIVRFLKADTAFMNIRPLRYSLVLDPHPDVLPHVAAPVARKNLRLRDAVLSSYHHNEVKFSELTLDTFRMLQCLEWEPSGSFYPSAGGKSGQNGALASSPINHSQDIADPTLPPNPRKAVLYRPSLTHFIAVLATICEELPPDGVLLVYLSASGTTGHTLSLSGSGTGINTAENITRDFQSHTIHSDGTSTSSMSSPSNSPNPSASQKKGDCISYHTGCLQFGNRGSGGLNCIYPSDLIPFTRRPLFIIIESDASEVFKASY >KJB79621 pep chromosome:Graimondii2_0_v6:13:6190392:6190861:1 gene:B456_013G059300 transcript:KJB79621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLPHSTTIKTRAFFRLLNWTHISRKMASFSCFALAFVMALSFASIDVGIAARHLLQLPQLPPMPTLPTTTLPPLPSIPNLPQPSIPVLPRPGALPPLPTMPGLPTLPSVPRATLPPLPSMPAIPTAIPSIPFLSPPPSPSTP >KJB79672 pep chromosome:Graimondii2_0_v6:13:6713956:6718111:1 gene:B456_013G061600 transcript:KJB79672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLEDNVWDEFGASATDDHIVPHTVDEYGAQFKIQGDGRTKPQYEVNGVARNADNTAKYGILGEKKKGIHTLTKNKMLEKCPWSHSSDGIFPTSGDNDSLKEVTGMVSDDPMMSSQGLKTGDIDSVSSDFCAEDPVLVDNCATEDNNIYCFPLNHMSDTNDDLRFFNNNHEDKENSDLLCYAWGDIGNFEDVDQMFRSCDSTFGLGSLSNEDDLCWVSSSHATEGSQDVLKADAKLNSLPEHCATSRPDNTGPSTIDSYKKNVLLSDKISSLDTSGDNPGLADMSSLDVFNKEFEIKDDLTPTEQISPQKRQSKQLRASGEETDHLLENGGSYHHYGNIKQFADVNYPFTDSSCQLFSPLEFQQHKQNTGPDSVSYGQASVPYMHLNNSGPSNQISTFPTSAKSENNGHPSTNESSCASNQAQSIESSRGLSLEVPDIITNEKMGKPFHQQDTKAPVNRNISQARVESQIAFYDPVTVQKQVCQSEQDEGHSEVEGFGVGKQAELGFSNRQESSCVGSILGEVSLEATSFRQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCTDTKNGIRDDDDASGSLVAEETNKCTRFMGIETDTNPIDRSIAHLLFHRPLDPSLRPATDTASLKSHGMIHGSPTAQPASAEKQIDHEENGAVSDNNR >KJB79670 pep chromosome:Graimondii2_0_v6:13:6712466:6717809:1 gene:B456_013G061600 transcript:KJB79670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFLYELEDNVWDEFGASATDDHIVPHTVDEYGAQFKIQGDGRTKPQYEVNGVARNADNTAKYGILGEKKKGIHTLTKNKMLEKCPWSHSSDGIFPTSGDNDSLKEVTGMVSDDPMMSSQGLKTGDIDSVSSDFCAEDPVLVDNCATEDNNIYCFPLNHMSDTNDDLRFFNNNHEDKENSDLLCYAWGDIGNFEDVDQMFRSCDSTFGLGSLSNEDDLCWVSSSHATEGSQDVLKADAKLNSLPEHCATSRPDNTGPSTIDSYKKNVLLSDKISSLDTSGDNPGLADMSSLDVFNKEFEIKDDLTPTEQISPQKRQSKQLRASGEETDHLLENGGSYHHYGNIKQFADVNYPFTDSSCQLFSPLEFQQHKQNTGPDSVSYGQASVPYMHLNNSGPSNQISTFPTSAKSENNGHPSTNESSCASNQAQSIESSRGLSLEVPDIITNEKMGKPFHQQDTKAPVNRNISQARVESQIAFYDPVTVQKQVCQSEQDEGHSEVEGFGVGKQAELGFSNRQESSCVGSILGEVSLEATSFRQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCTDTKNGIRDDDDASGSLVAEETNKCTRFMGIETDTNPIDRSIAHLLFHRPLDPSLRPATDTASLKSHGMIHGSPTAQPASAEKQIDHEENGAVSDNNR >KJB79669 pep chromosome:Graimondii2_0_v6:13:6712478:6718111:1 gene:B456_013G061600 transcript:KJB79669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFLYELEDNVWDEFGASATDDHIVPHTVDEYGAQFKIQGDGRTKPQYEVNGVARNADNTAKYGILGEKKKGIHTLTKNKMLEKCPWSHSSDGIFPTSGDNDSLKEVTGMVSDDPMMSSQGLKTGDIDSVSSDFCAEDPVLVDNCATEDNNIYCFPLNHMSDTNDDLRFFNNNHEDKENSDLLCYAWGDIGNFEDVDQMFRSCDSTFGLGSLSNEDDLCWVSSSHATEGSQDVLKADAKLNSLPEHCATSRPDNTGPSTIDSYKKNVLLSDKISSLDTSGDNPGLADMSSLDVFNKEFEIKDDLTPTEQISPQKRQSKQLRASGEETDHLLENGGSYHHYGNIKQFADVNYPFTDSSCQLFSPLEFQQHKQNTGPDSVSYGQASVPYMHLNNSGPSNQISTFPTSAKSENNGHPSTNESSCASNQAQSIESSRGLSLEVPDIITNEKMGKPFHQQDTKAPVNRNISQARVESQIAFYDPVTVQKQVCQSEQDEGHSEVEGFGVGKQAELGFSNRQESSCVGSILGEVSLEATSFRQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCTDTKNGIRDDDDASGSLVAEETNKCTRFMGIETDTNPIDRSIAHLLFHRPLDPSLRPATDTASLKSHGMIHGSPTAQPASAEKQIDHEENGAVSDNNR >KJB79671 pep chromosome:Graimondii2_0_v6:13:6712571:6717809:1 gene:B456_013G061600 transcript:KJB79671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFLYELEDNVWDEFGASATDDHIVPHTVDEYGAQFKIQGDGRTKPQYEVNGVARNADNTAKYGILGEKKKGIHTLTKNKMLEKCPWSHSSDGIFPTSGDNDSLKEVTGMVSDDPMMSSQGLKTGDIDSVSSDFCAEDPVLVDNCATEDNNIYCFPLNHMSDTNDDLRFFNNNHEDKENSDLLCYAWGDIGNFEDVDQMFRSCDSTFGLGSLSNEDDLCWVSSSHATEGSQDVLKADAKLNSLPEHCATSRPDNTGPSTIDSYKKNVLLSDKISSLDTSGDNPGLADMSSLDVFNKEFEIKDDLTPTEQISPQKRQSKQLRASGEETDHLLENGGSYHHYGNIKQFADVNYPFTDSSCQLFSPLEFQQHKQNTGPDSVSYGQASVPYMHLNNSGPSNQISTFPTSAKSENNGHPSTNESSCASNQAQSIESSRGLSLEVPDIITNEKMGKPFHQQDTKAPVNRNISQARVESQIAFYDPVTVQKQVCQSEQDEGHSEVEGFGVGKQAELGFSNRQESSCVGSILGEVSLEATSFRQLQQVMEKV >KJB79673 pep chromosome:Graimondii2_0_v6:13:6712571:6717809:1 gene:B456_013G061600 transcript:KJB79673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLEDNVWDEFGASATDDHIVPHTVDEYGAQFKIQGDGRTKPQYEVNGVARNADNTAKYGILGEKKKGIHTLTKNKMLEKCPWSHSSDGIFPTSGDNDSLKEVTGMVSDDPMMSSQGLKTGDIDSVSSDFCAEDPVLVDNCATEDNNIYCFPLNHMSDTNDDLRFFNNNHEDKENSDLLCYAWGDIGNFEDVDQMFRSCDSTFGLGSLSNEDDLCWVSSSHATEGSQDVLKADAKLNSLPEHCATSRPDNTGPSTIDSYKKNVLLSDKISSLDTSGDNPGLADMSSLDVFNKEFEIKDDLTPTEQISPQKRQSKQLRASGEETDHLLENGGSYHHYGNIKQFADVNYPFTDSSCQLFSPLEFQQHKQNTGPDSVSYGQASVPYMHLNNSGPSNQISTFPTSAKSENNGHPSTNESSCASNQAQSIESSRGLSLEVPDIITNEKMGKPFHQQDTKAPVNRNISQARVESQIAFYDPVTVQKQVCQSEQDEGHSEVEGFGVGKQAELGFSNRQESSCVGSILGEVSLEATSFRQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCTDTKNGIRDDDDASGSLVAEETNKCTRFMGIETDTNPIDRSIAHLLFHRPLDPSLRPATDTASLKSHGMIHGSPTAQPASAEKQIDHEENGAVSDNNR >KJB82520 pep chromosome:Graimondii2_0_v6:13:51126987:51130592:1 gene:B456_013G200300 transcript:KJB82520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFNFTIPRAKYYFEMLLFLFFLFLFSNFWMFRVEIASQLDEGKGLAKTVADIKDANKRGAIHFAAREGKTEVCKYLLEELKLDVNTKDEDGDTPLLHAARQGHTLTAKYLLEHGADPAIPSNLDATALHHAAGIGDVELLKYILDKGVKVDSQSDAGTPLVWAAGHGQREAVKVLLDYHANPNAENEDNITPLLSTVAAGSLACTDLLIQAGAKVNITAGGATPLHIAADHGSPELINSLLKAGADPNATDEDGLKPVRVAAARGHRGAVEILFPLTSRIDTIPEWTVEGILKYMQFETDKQLEQMKKLTEAKSTRDTALPANDLPEVTPETKKKAAEAKSRGDEAFKRKDFHMAVDAYTQAIDLDPTDAALHSNRSLCWIRLGQAEHALADARACRALRPDWPKACYREGAALRLLQKYDEAANAFYEGVQLDPENKELVNAFREAVEAGRKFHGTDQEKSS >KJB82518 pep chromosome:Graimondii2_0_v6:13:51126418:51130934:1 gene:B456_013G200300 transcript:KJB82518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDASAALAVRQKVQTFLNAACTGNLDLLKKIASQLDEGKGLAKTVADIKDANKRGAIHFAAREGKTEVCKYLLEELKLDVNTKDEDGDTPLLHAARQGHTLTAKYLLEHGADPAIPSNLDATALHHAAGIGDVELLKYILDKGVKVDSQSDAGTPLVWAAGHGQREAVKVLLDYHANPNAENEDNITPLLSTVAAGSLACTDLLIQAGAKVNITAGGATPLHIAADHGSPELINSLLKAGADPNATDEDGLKPVRVAAARGHRGAVEILFPLTSRIDTIPEWTVEGILKYMQFETDKQLEQMKKLTEAKSTRDTALPANDLPEVTPETKKKAAEAKSRGDEAFKRKDFHMAVDAYTQAIDLDPTDAALHSNRSLCWIRLGQAEHALADARACRALRPDWPKACYREGAALRLLQKYDEAANAFYEGVQLDPENKELVNAFREAVEAGRKFHGTDQEKSS >KJB82521 pep chromosome:Graimondii2_0_v6:13:51126526:51130837:1 gene:B456_013G200300 transcript:KJB82521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDASAALAVRQKVQTFLNAACTGNLDLLKKIASQLDEGKGLAKTVADIKDANKRGAIHFAAREGKTEVCKYLLEELKLDVNTKDEDGDTPLLHAARQGHTLTAKYLLEHGADPAIPSNLDATALHHAAGIGDVELLKYILDKGVKVDSQSDAGTPLVWAAGHGQREAVKVLLDYHANPNAENEDNITPLLSTVAAGSLACTDLLIQAGAKVNITAGGATPLHIAADHGSPELINSLLKAGADPNATDEDGLKPVRVAAARGHRGAVEILFPLTSRIDTIPEWTVEGILKYMQFETDKQLEQMKKLTEAKSTRDTALPANDLPEVTPETKKKAAEAKSRGDEAFKRKDFHMAVDAYTQAIDLDPTDAALHSNRSLCWIRLGQAEHALADARACRALRPDWPKACYREGAALRLLQAQI >KJB82519 pep chromosome:Graimondii2_0_v6:13:51126526:51130837:1 gene:B456_013G200300 transcript:KJB82519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDASAALAVRQKVQTFLNAACTGNLDLLKKIASQLDEGKGLAKTVADIKDANKRGAIHFAAREGKTEVCKYLLEELKLDVNTKDEDGDTPLLHAARQGHTLTAKYLLEHGADPAIPSNLDATALHHAAGIGDVELLKYILDKGVKVDSQSDAGTPLVWAAGHGQREAVKVLLDYHANPNAENEDNITPLLSTDGLKPVRVAAARGHRGAVEILFPLTSRIDTIPEWTVEGILKYMQFETDKQLEQMKKLTEAKSTRDTALPANDLPEVTPETKKKAAEAKSRGDEAFKRKDFHMAVDAYTQAIDLDPTDAALHSNRSLCWIRLGQAEHALADARACRALRPDWPKACYREGAALRLLQKYDEAANAFYEGVQLDPENKELVNAFREAVEAGRKFHGTDQEKSS >KJB80976 pep chromosome:Graimondii2_0_v6:13:31906772:31909000:-1 gene:B456_013G123600 transcript:KJB80976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPYIFLIIALLFIFLPLTTSIHFKLPAIFNFGDSNSDTGELVAAGVYSLEPPYGRSYFTKPSGRYCDGRLIIDFLLEAMDLPFLNAYLDSIGRTSFRKGCNFAAAGSTIEPPTARAVSPFSFRVQVAQFIRFKLQVLRLIAKGKKLHKYLPDEDYFQNGLYMFDIGQNDLAGAFYSKTFDQILALIPSILTEFETGIKTLYDQGARNFWVHNTGPLGCLAQNVAKFGTDPSSLDELGCVNKHNQAAKVFNLQLHTLCKKLQGQYAGSNFTYVDIYTIKWNLIANYSKFGFEQPIMVCCGYGGPPLNYDSRIGCGKTEVLNGTTMTAKACSDSSEYVNWDGIHYSEAANQYVSSQILTGKYSDPPFSDKMPFLLGLKF >KJB80978 pep chromosome:Graimondii2_0_v6:13:31906969:31908841:-1 gene:B456_013G123600 transcript:KJB80978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPYIFLIIALLFIFLPLTTSIHFKLPAIFNFGDSNSDTGELVAAGVYSLEPPYGRSYFTKPSGRYCDGRLIIDFLLEAMDLPFLNAYLDSIGRTSFRKGCNFAAAGSTIEPPTARAVSPFSFRVQVAQFIRFKLQVLRLIAKDYYHLIAGKKLHKYLPDEDYFQNGLYMFDIGQNDLAGAFYSKTFDQILALIPSILTEFETGIKTLYDQGARNFWVHNTGPLGCLAQNVAKFGTDPSSLDELGCVNKHNQAAKVFNLQLHTLCKKLQGQYAGSNFTYVDIYTIKWNLIANYSKFGFEQPIMVCCGYGGPPLNYDSRIGCGKTEVLNGTTMTAKACSDSSEYVNWDGIHYSEAANQYVSSQILTGKYSDPPFSDKMPFLLGLKF >KJB80979 pep chromosome:Graimondii2_0_v6:13:31906969:31908841:-1 gene:B456_013G123600 transcript:KJB80979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPYIFLIIALLFIFLPLTTSIHFKLPAIFNFGDSNSDTGELVAAGVYSLEPPYGRSYFTKPSGRYCDGRLIIDFLLEAMDLPFLNAYLDSIGRTSFRKGCNFAAAGSTIEPPTARAVSPFSFRVQVAQFIRFKLQVLRLIAKGKKLHKYLPDEDYFQNGLYMFDIGQNDLAGAFYSKTFDQILALIPSILTEFETGIKTLYDQGARNFWVHNTGPLGCLAQNVAKFGTDPSSLDELGCVNKHNQAAKVFNLQLHTLCKKLQGQYAGSNFTYVDIYTIKWNLIANYSKFGMYDSVLWTLESSEFIFNVTKVDEK >KJB80977 pep chromosome:Graimondii2_0_v6:13:31906929:31908913:-1 gene:B456_013G123600 transcript:KJB80977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPFLNAYLDSIGRTSFRKGCNFAAAGSTIEPPTARAVSPFSFRVQVAQFIRFKLQVLRLIAKGKKLHKYLPDEDYFQNGLYMFDIGQNDLAGAFYSKTFDQILALIPSILTEFETGIKTLYDQGARNFWVHNTGPLGCLAQNVAKFGTDPSSLDELGCVNKHNQAAKVFNLQLHTLCKKLQGQYAGSNFTYVDIYTIKWNLIANYSKFGFEQPIMVCCGYGGPPLNYDSRIGCGKTEVLNGTTMTAKACSDSSEYVNWDGIHYSEAANQYVSSQILTGKYSDPPFSDKMPFLLGLKF >KJB78847 pep chromosome:Graimondii2_0_v6:13:1662552:1665417:1 gene:B456_013G022700 transcript:KJB78847 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR9 [Source:Projected from Arabidopsis thaliana (AT2G39570) UniProtKB/Swiss-Prot;Acc:O80644] MGIPNDDVVLIQLPKDPSEPTIVTVNCPDKPGLGCDLCRTLLEFGLSITRADFSTDGRWCYMVFWLVPNVSNSCEIDWESLKNRLVSMCPSCLVPFFSFNYQQGGDGNGSTTHPLIYLLKLCCLDRKGLLHDVTRILSELQFTIQRVKVMTTPDGRVMYLVFITDGMELLHTKERRDDTREHLISVLKNYCISCELQLAGPEYENLKALPSLPPAVAEELFTYELAGKEASSKELKSDMMTLKKATVTVDNLLSPAHTLLQIQCVDQKGLFYDILRTSKDFDFQIAYGRFSSNVKSYRSLDLFIRQANGNKIVDPKHLNALCSRLQEEMLQPFRVIVVNRGPDTELLVANPVESSGKGRPRVFYDVTLALKMLGICIFLAEIGRHSTSCGQSKKNTDGLVN >KJB78846 pep chromosome:Graimondii2_0_v6:13:1662438:1665423:1 gene:B456_013G022700 transcript:KJB78846 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR9 [Source:Projected from Arabidopsis thaliana (AT2G39570) UniProtKB/Swiss-Prot;Acc:O80644] MGIPNDDVVLIQLPKDPSEPTIVTVNCPDKPGLGCDLCRTLLEFGLSITRADFSTDGRWCYMVFWLVPNVSNSCEIDWESLKNRLVSMCPSCLVPFFSFNYQQGGDGNGSTTHPLIYLLKLCCLDRKGLLHDVTRILSELQFTIQRVKVMTTPDGRVMYLVFITDGMELLHTKERRDDTREHLISVLKNYCISCELQLAGPEYENLKALPSLPPAVAEELFTYELAGKEASSKELKSDMMTLKKATVTVDNLLSPAHTLLQIQCVDQKGLFYDILRTSKDFDFQIAYGRFSSNVKSYRSLDLFIRQANGNKIVDPKHLNALCSRLQEEMLQPFRVIVVNRGPDTELLVANPVESSGKGRPRVFYDVTLALKMLGICIFLAEIGRHSTCDRQWEVYRFLLDDSHEFPLTSCRARNQLVDKVRRTLMGW >KJB80636 pep chromosome:Graimondii2_0_v6:13:23436664:23439362:-1 gene:B456_013G108000 transcript:KJB80636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPCSLNSQKVRLALEEKGVDYTSFHVNPILGKNMDSSFFRMNTSAKLPVFKNGSHIIFDTIETILYIERIAVVSVGNDSFSNQEVIEWMQKIQQWNPKYFTLLHIPDKHRLYVSKFIRKVVIARMAESPDLASAYHSKLREAYETEEKLKNADLVKRSTESLVQLLDEVERKLNDTTYIVGDEFTMADATFVPVLARLVLLGLEDEYISCRPNIADYWGLVQQRPTYKKVIGKYFNGWRKKKTLIKTWGSLHIRNLLKRY >KJB80635 pep chromosome:Graimondii2_0_v6:13:23436665:23438585:-1 gene:B456_013G108000 transcript:KJB80635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPCSLNSQKVRLALEEKGVDYTSFHVNPILGKNMDSSFFRMNTSAKLPVFKNGSHIIFDTIETILYIERIAVVSVGNDSFSNQEVIEWMQKIQQWNPKYFTLLHIPDKHRLYVSKFIRKVVIARMAESPDLASAYHSKLREAYETEEKLKNADLVKRSTESLVQLLDEVERKLNDTTYIVGDEFTMADATFVPVLARLVLLGLEDEYISCRPNIADYWGLVQQRPTYKKVIGKYFNGWRKKKTLIKTWGSLHIRNLLKRY >KJB81362 pep chromosome:Graimondii2_0_v6:13:37938575:37942060:-1 gene:B456_013G140900 transcript:KJB81362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGNVLGYAAGSFSGLHKLLPFTQTTACDVYCANLKTCFIIDIVFLLSITITAITTVKETPITRKEAGDEEDNEGRSSGRAFFAELVMAFKTLKKPMWFLLLVTCLNWMAWFPFLLYDTDWMGAEVYGGKVKGSASQQKLYDEGVRAGALGLMINSIVLAFASLGLEPVSRLIGGVKNMWGVVNLILAACLAATVWVTKVAEAWRGEHGPQILTPPPTSIKTSALALFGLLGIPLAVTFSIPFALASIYCSEEGGGQGLSLGVLNLSIVIPQMIISVISGPIDAAFGGGNLPAFVLGSILAAISALLAIFALPNPKTQLSLNSTGMAGAH >KJB81364 pep chromosome:Graimondii2_0_v6:13:37939004:37941955:-1 gene:B456_013G140900 transcript:KJB81364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGQTVTPIPLWNIVVIAAIAAGIQFGWALQLSLLTPYVQTLGVPHVWAAFIWLCGPISGLVVQPIVGYSSDRCTSRIGRRRPFIAAGACFVAVAVFMIGFAKDIGHRSGDSLQNPTKPRAVAIFVTGFWILDVANNMLQGPCRAFLADLSSNDHKRMRIANAWFSFFMAVGNVLGYAAGSFSGLHKLLPFTQTTACDVYCANLKTCFIIDIVFLLSITITAITTVKETPITRKEAGDEEDNEGRSSGRAFFAELVMAFKTLKKPMWFLLLVTCLNWMAWFPFLLYDTDWMGAEVYGGKVKGSASQQKLYDEGVRAGALGLMINSIVLAFASLGLEPVSRLIGGVKNMWGVVNLILAACLAATVWVTKVAEAWRGEHGPQILTPPPTSIKTSALALFGLLGIPLAVTFSIPFALASIYCSEEGGGQGLSLGVLNLSIVIPQGVELGNVTDDYISD >KJB81361 pep chromosome:Graimondii2_0_v6:13:37938557:37942124:-1 gene:B456_013G140900 transcript:KJB81361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGQTVTPIPLWNIVVIAAIAAGIQFGWALQLSLLTPYVQTLGVPHVWAAFIWLCGPISGLVVQPIVGYSSDRCTSRIGRRRPFIAAGACFVAVAVFMIGFAKDIGHRSGDSLQNPTKPRAVAIFVTGFWILDVANNMLQGPCRAFLADLSSNDHKRMRIANAWFSFFMAVGNVLGYAAGSFSGLHKLLPFTQTTACDVYCANLKTCFIIDIVFLLSITITAITTVKETPITRKEAGDEEDNEGRSSGRAFFAELVMAFKTLKKPMWFLLLVTCLNWMAWFPFLLYDTDWMGAEVYGGKVKGSASQQKLYDEGVRAGALGLMINSIVLAFASLGLEPVSRLIGGVKNMWGVVNLILAACLAATVWVTKVAEAWRGEHGPQILTPPPTSIKTSALALFGLLGIPLAVTFSIPFALASIYCSEEGGGQGLSLGVLNLSIVIPQMIISVISGPIDAAFGGGNLPAFVLGSILAAISALLAIFALPNPKTQLSLNSTGMAGAH >KJB81363 pep chromosome:Graimondii2_0_v6:13:37938575:37942060:-1 gene:B456_013G140900 transcript:KJB81363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGQTVTPIPLWNIVVIAAIAAGIQFGWALQLSLLTPYVQTLGVPHVWAAFIWLCGPISGLVVQPIVGYSSDRCTSRIGRRRPFIAAGACFVAVAVFMIGFAKDIGHRSGDSLQNPTKPRAVAIFVTGFWILDVANNMLQGPCRAFLADLSSNDHKRMRIANAWFSFFMAVGNVLGYAAGSFSGLHKLLPFTQTTACDVYCANLKTCFIIDIVFLLSITITAITTVKETPITRKEAGDEEDNEGRSSGRAFFAELVMAFKTLKKPMWFLLLVTCLNWMAWFPFLLYDTDWMGAEVYGGKVKGSASQQKLYDEGVRAGALGLMINSIVLAFASLGLEPVSRLIGGVKNMWGVVNLILAACLAATVWVTKVAEAWRGEHGPQILTPPPTSIKTSALALFGLLGIPLAVTFSIPFALASIYCSEEGGGQGLSLGVLNLSIVIPQ >KJB82056 pep chromosome:Graimondii2_0_v6:13:46201040:46203974:1 gene:B456_013G173700 transcript:KJB82056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFPPNLRAHHQMGVWLPVIALRPESIPSLLRPLAVIGIPHTERRLGIPYHFLFSIPYSAFMDFCFFFHRYCSAGCRFSCSYTDAEPQQDIYAKGDEYHKFRECAKEHWDSMRSYFQKAATAYSNGVKLDVVTYTTLMKALIRVDKFHKKTCAASHAISAWECGGYFLFRNR >KJB81795 pep chromosome:Graimondii2_0_v6:13:43923843:43925843:-1 gene:B456_013G161700 transcript:KJB81795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHARDFNETAKSLRMYNLPNELICHIISYLPLRETIKTSILSKRWRHLFARTATLTIDDSIEKPLFCLLKLEFGHSFGVSVPHTVCLPNLKFLHIRHIVFSDNESVQRLFSGCHMLEELLLHHCSQKIPGKFMACSPTLKRLILTSFIDCEELMINALNLVYFEHSNFVVTHYSLLNLHSLVEARIGVGLFTVAFFYQTTTPDLLRGISNTQSLHFRPPSWLLSQRKARSMEKKEELQLVEYFLMNAQILQNLTVFVSEYNRLR >KJB81981 pep chromosome:Graimondii2_0_v6:13:45725925:45727268:1 gene:B456_013G170300 transcript:KJB81981 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g53870 [Source:Projected from Arabidopsis thaliana (AT5G53870) UniProtKB/TrEMBL;Acc:Q9FN39] SLNFQKWVSSAFFEATCVSLYIFINLPFFLNQKRNKVSIMKFHILLTLFLVLFVSFLSSSNCYTFYVDWVLHPKEKYNDWAGKMRFQVNDTIIFKYEKGSDSVLLVQKDDYDKCERKQPLMEMNNGSSEFKYPHSGPFYFISGKEGHCQKGQKMITVVMAVRHGTPSIHPPTAPSPKHHGPVTPGPAHSPYHHGPVAKPPTGSSPVPALAPGPIAKPPTGSSPVPALAPGPIAKSPTALTPGPAQSPYHHGPISNPPTASSPAPYSGPALSPTHHGPSPSPTAEGPIATPPSPQAPTTPVSSPPGEAPVSGPPAPPQGPSPSSSSSSPPPAGSQTQTSTPPGGSPPKSSATSIYSSTVVLAASLLFNMVFGSLTCGF >KJB81980 pep chromosome:Graimondii2_0_v6:13:45725925:45727265:1 gene:B456_013G170300 transcript:KJB81980 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g53870 [Source:Projected from Arabidopsis thaliana (AT5G53870) UniProtKB/TrEMBL;Acc:Q9FN39] SLNFQKWVSSAFFEATCVSLYIFINLPFFLNQKRNKVSIMKFHILLTLFLVLFVSFLSSSNCYTFYVDWVLHPKEKYNDWAGKMRFQVNDTIIFKYEKGSDSVLLVQKDDYDKCERKQPLMEMNNGSSEFKYPHSGPFYFISGKEGHCQKGQKMITVVMAVRHGTPSIHPPTAPSPKHHGPVTPGPAHSPYHHGPVAKPPTGSSPVPALAPGPIAKPPTGSSPVQHSLLITMAPYQIPPLHHHQHLIQAQRSLPPIMVLHRRQRLKALLQHLHHPKLQLLLFLPLLVRHRFQAHRHHHRVLRLLLLLLLLLQLVLRLRPALHPAVPLPSHLPLQFIQVQWFLQLASCSIWYSVV >KJB80469 pep chromosome:Graimondii2_0_v6:13:17833638:17837923:-1 gene:B456_013G099200 transcript:KJB80469 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MGSHAKAWLVEDESAREMLDRVLTERPFLLLPPLHRVPLRVGNVVELVGPSSSSKTHILIQAAITCILPKLWKGVSYGGLGHSAMFIDLDCRFDVLRFSELLNHRIMEAANGSSSKVGCHEKDSEAQFARMKPYNEELFALCMKRFLYIRCYDSSEFLATLKTLHYRLQKEREVHGVNVHLLLIDSIGAFHWVDRGSSSFPLECDNRKSWHLQNVSEAVVQEIRRLLLVHPMLVMATKAVVLGNKYSTNELTWNYRKWSAVDNPYSRNITSDQQLPYREYMPAAWQSFVTHRILVRATDDDLVNGEHQNNSIYLLEWLLPPLNSLDKFTVRDTGVFILS >KJB80473 pep chromosome:Graimondii2_0_v6:13:17833742:17837923:-1 gene:B456_013G099200 transcript:KJB80473 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MKPYNEELFALCMKRFLYIRCYDSSEFLATLKTLHYRLQKEREVHGVNVHLLLIDSIGAFHWVDRGSSSFPLECDNRKSWHLQNVSEAVVQEIRRLLLVHPMLVMATKAVVLGNKYSTNELTWNYRKWSAVDNPYSRNITSDQQLPYREYMPAAWQSFVTHRILVRATDDDLVNGEHQNNSIYLLEWLLPPLNSLDKFTVRDTGVFILS >KJB80470 pep chromosome:Graimondii2_0_v6:13:17833742:17837923:-1 gene:B456_013G099200 transcript:KJB80470 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MGSHAKAWLVEDESAREMLDRVLTERPFLLLPPLHRVPLRVGNVVELVGPSSSSKTHILIQAAITCILPKLWKGVSYGGLGHSAMFIDLDCRFDVLRFSELLNHRIMEAANGSSSKVGCHEKDSEAQFARMKPYNEELFALCMKRFLYIRCYDSSEFLATLKTLHYRLQKEREVHGVNVHLLLIDSIGAFHWVDRGSSSFPLECDNRKSWHLQNVSEAVVQEIRRLLLVHPMLVMATKAVVLGNKYSTNELTWNYRKWSAVDNPYSRNITSDQQLPYLFCDTQDSRTSYR >KJB80472 pep chromosome:Graimondii2_0_v6:13:17834993:17837747:-1 gene:B456_013G099200 transcript:KJB80472 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MGSHAKAWLVEDESAREMLDRVLTERPFLLLPPLHRVPLRVGNVVELVGPSSSSKTHILIQAAITCILPKLWKGVSYGGLGHSAMFIDLDCRFDVLRFSELLNHRIMEAANGSSSKVGCHEKDSEAQFARMKPYNEELFALCMKRFLYIRCYDSSEFLATLKTLHYRLQKEREVHGVNVHLLLIDSIGAFHWVDRGSSSFPLECDNRKSWHLQNVSEAVVQEIRRLLLVHPMLVMATKAVVLGNKYSTNELTWNYRKWSAVDNPYSRNITSDQQLPYREYMPAAWQVMPYK >KJB80474 pep chromosome:Graimondii2_0_v6:13:17833742:17837923:-1 gene:B456_013G099200 transcript:KJB80474 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MGSHAKAWLVEDESAREMLDRVLTERPFLLLPPLHRVPLRVGNVVELVGPSSSSKTHILIQAAITCILPKLWKGVSYGGLGHSAMFIDLDCRFDVLRFSELLNHRIMEAANGSSSKVGCHEKDSEAQFARMKPYNEELFALCMKRFLYIRCYDSSEFLATLKTLHYRLQKEREVHGVNVHLLLIDSIGAFHWVDRGSSSFPLECDNRKSWHLQNVSEAVVQEIRRLLLVHPMLVMATKAVVLGNKYSTNELTWNYRKWSAVDNPYSRNITSDQQLPYREYMPAAWQNMYLSCPCYVDDDLVNGEHQNNSIYLLEWLLPPLNSLDKFTVRDTGVFILS >KJB80471 pep chromosome:Graimondii2_0_v6:13:17834993:17837747:-1 gene:B456_013G099200 transcript:KJB80471 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MGSHAKAWLVEDESAREMLDRVLTERPFLLLPPLHRVPLRVGNVVELVGPSSSSKTHILIQAAITCILPKLWKGVSYGGLGHSAMFIDLDCRFDVLRFSELLNHRIMEAANGSSSKVGCHEKDSEAQFARMKPYNEELFALCMKRFLYIRCYDSSEFLATLKTLHYRLQKEREVHGVNVHLLLIDSIGAFHWVDRGSSSFPLECDNRKSWHLQNVSEAVVQEIRRLLLVHPMLVMATKAVVLGNKYSTNELTWNYRKWSAVDNPYSRNITSDQQLPYREYMPAAWQVMPYK >KJB81408 pep chromosome:Graimondii2_0_v6:13:39524729:39525798:-1 gene:B456_013G143900 transcript:KJB81408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLHNVGTFNVDTGFKAGYLNELEKMLEKALPRAMLKAKSNIESRIRCLKREWSVVYDMFNGQNNSGFGWDEHRQLVVAEDAVWESYVKSHKEASQFRHRSFPYYNQLTAIYTRDRATGKDAQTAADVLEEIHAEDERTTDMNEERNTFYDCEADVSLDDMDVSGTDPRGDRDQGGSSSSNKRKKKSDARDNVYSSFDEAATLLGEKIQAVGDQISRSIASEVVVQQPSEEHQKMEEKASNLYSALWSIEGLTDDQRYDALSKIPDHPTQMIVFFSLPSVARLEWVRRFLSHH >KJB81451 pep chromosome:Graimondii2_0_v6:13:43425055:43426257:-1 gene:B456_013G158600 transcript:KJB81451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLSIKRVPTVVSNYQKDEAEETARRSGGCGKNCLRSCCIPGAKLPLYAFKKESEKDAFESENKEPPVAFLDSLVLGEWEDRMQRGLFRYDVTACETKVIPGEYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFQFEASEDGEVQFFPNAPIDVENHPSVVAINVSPIEYGHVLLIPRILECLPQRIDHESFLLALYMAAEAGNPYFRLGYNSLGAFATINHLHFQVSNLPTWYYSCTFKTVK >KJB81452 pep chromosome:Graimondii2_0_v6:13:43424734:43426257:-1 gene:B456_013G158600 transcript:KJB81452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLSIKRVPTVVSNYQKDEAEETARRSGGCGKNCLRSCCIPGAKLPLYAFKKESEKDAFESENKEPPVAFLDSLVLGEWEDRMQRGLFRYDVTACETKVIPGEYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFQFEASEDGEVQFFPNAPIDVENHPSVVAINVSPIEYGHVLLIPRILECLPQRIDHESFLLALYMAAEAGNPYFRLGYNSLGAFATINHLHFQAYYLAVAFPIEKAPTKKKTSLNDGVIISELLKYPVRGVVFEGGNTLQDLSDAVSDACICLQDNNIAYNVLISDCGKRIFLLPQVTK >KJB81453 pep chromosome:Graimondii2_0_v6:13:43423996:43427204:-1 gene:B456_013G158600 transcript:KJB81453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLSIKRVPTVVSNYQKDEAEETARRSGGCGKNCLRSCCIPGAKLPLYAFKKESEKDAFESENKEPPVAFLDSLVLGEDRMQRGLFRYDVTACETKVIPGEYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFQFEASEDGEVQFFPNAPIDVENHPSVVAINVSPIEYGHVLLIPRILECLPQRIDHESFLLALYMAAEAGNPYFRLGYNSLGAFATINHLHFQAYYLAVAFPIEKAPTKKKTSLNDGVIISELLKYPVRGVVFEGGNTLQDLSDAVSDACICLQDNNIAYNVLISDCGKRIFLLPQCYAEKQALGEVSVELLDTQVNPAVWEISGHMVLKRRKDYDEASDENAWRLLAEVSLSDERFDEVNALIFEAIGGGKDAIENVEEPDTKAQSIEKENGITETSHHAMVAGTQQCLVLQ >KJB81450 pep chromosome:Graimondii2_0_v6:13:43423967:43427256:-1 gene:B456_013G158600 transcript:KJB81450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLSIKRVPTVVSNYQKDEAEETARRSGGCGKNCLRSCCIPGAKLPLYAFKKESEKDAFESENKEPPVAFLDSLVLGEWEDRMQRGLFRYDVTACETKVIPGEYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFQFEASEDGEVQFFPNAPIDVENHPSVVAINVSPIEYGHVLLIPRILECLPQRIDHESFLLALYMAAEAGNPYFRLGYNSLGAFATINHLHFQAYYLAVAFPIEKAPTKKKTSLNDGVIISELLKYPVRGVVFEGGNTLQDLSDAVSDACICLQDNNIAYNVLISDCGKRIFLLPQCYAEKQALGEVSVELLDTQVNPAVWEISGHMVLKRRKDYDEASDENAWRLLAEVSLSDERFDEVNALIFEAIGGGKDAIENVEEPDTKAQSIEKENGITETSHHAMVAGTQQCLVLQ >KJB81454 pep chromosome:Graimondii2_0_v6:13:43423996:43427204:-1 gene:B456_013G158600 transcript:KJB81454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLSIKRVPTVVSNYQKDEAEETARRSGGCGKNCLRSCCIPGAKLPLYAFKKESEKDAFESENKEPPVAFLDSLVLGEWEDRMQRGLFRYDVTACETKVIPGEYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFQFEASEDGEVQFFPNAPIDVENHPSVVAINVSPIEYGHVLLIPRILECLPQRIDHESFLLALYMAAEAGNPYFRLGLLLGCGLSH >KJB79772 pep chromosome:Graimondii2_0_v6:13:7404149:7407121:-1 gene:B456_013G065700 transcript:KJB79772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSKKPATADEKSALETESKSSKPETSTTEKKTSTPEPSTGGSQPAQAGAFPPNAMPGPGFVPPNPFDFSAMSGLLNDPSIKELAEQIAKDPSFNQMAEQLTKTFQGAAAEETTPQFDPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPAMSTMLDSLANPQQKDQIEERMARIKEDPSLKPILEEIESGGPAAMMRYWNDKDVLQKLGEAMGLAVTGDAATSAGNSAADEEDEAGNEDESIVHHCASVGDVEGLKTALSSGADKDEEDSEGRTALHFACGYGEVKCTQILLEAGAKVDALDKNKNTALHYAAGYGRKDCVALLLENGAAV >KJB79768 pep chromosome:Graimondii2_0_v6:13:7403560:7407455:-1 gene:B456_013G065700 transcript:KJB79768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSKKPATADEKSALETESKSSKPETSTTEKKTSTPEPSTGGSQPAQAGAFPPNAMPGPGFVPPNPFDFSAMSGLLNDPSIKELAEQIAKDPSFNQMAEQLTKTFQGAAAEETTPQFDPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPAMSTMLDSLANPQQKDQIEERMARIKEDPSLKPILEEIESGGPAAMMRYWNDKDVLQKLGEAMGLAVTGDAATSAGNSAADEEDEAGNEDESIVHHCASVGDVEGLKTALSSGADKDEEDSEGRTALHFACGYGEVKCTQILLEAGAKVDALDKNKNTALHYAAGYGRKDCVALLLENGAAVTLQNMDGKTPIEVAKLNNQHEVLKLLEKDAFL >KJB79771 pep chromosome:Graimondii2_0_v6:13:7404149:7407121:-1 gene:B456_013G065700 transcript:KJB79771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSKKPATADEKSALETESKSSKPETSTTEKKTSTPEPSTGGSQPAQAGAFPPNAMPGPGFVPPNPFDFSAMSGLLNDPSIKELAEQIAKDPSFNQMAEQLTKTFQGAAAEETTPQFDPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPAMSTMLDSLANPQQKDQIEERMARIKEDPSLKPILEEIESGGPAAMMRYWNDKDVLQKLGEAMGLAVTGDAATSAGNSAADEEDEAGNEDESIVHHCASVGDVEGLKTALSSGADKDEEDSEGRTALHFACGYGEVKCTQILLEAGAKVDALDKNKNTALHYAAGYGRKDCVALLLENGAAV >KJB79774 pep chromosome:Graimondii2_0_v6:13:7404384:7407455:-1 gene:B456_013G065700 transcript:KJB79774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSKKPATADEKSALETESKSSKPETSTTEKKTSTPEPSTGGSQPAQAGAFPPNAMPGPGFVPPNPFDFSAMSGLLNDPSIKELAEQIAKDPSFNQMAEQLTKTFQGAAAEETTPQFDPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPAMSTMLDSLANPQQKDQIEERMARIKEDPSLKPILEEIESGGPAAMMRYWNDKDVLQKLGEAMGLAVTGDAATSAGNSAADEEDEAGNEDESIVHHCASVGDVEVWQNECFVHVFFPFDR >KJB79769 pep chromosome:Graimondii2_0_v6:13:7403649:7406003:-1 gene:B456_013G065700 transcript:KJB79769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIKELAEQIAKDPSFNQMAEQLTKTFQGAAAEETTPQFDPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPAMSTMLDSLANPQQKDQIEERMARIKEDPSLKPILEEIESGGPAAMMRYWNDKDVLQKLGEAMGLAVTGDAATSAGNSAADEEDEAGNEDESIVHHCASVGDVEGLKTALSSGADKDEEDSEGRTALHFACGYGEVKCTQILLEAGAKVDALDKNKNTALHYAAGYGRKDCVALLLENGAAVTLQNMDGKTPIEVAKLNNQHEVLKLLEKDAFL >KJB79770 pep chromosome:Graimondii2_0_v6:13:7403649:7407455:-1 gene:B456_013G065700 transcript:KJB79770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQLTKTFQGAAAEETTPQFDPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPAMSTMLDSLANPQQKDQIEERMARIKEDPSLKPILEEIESGGPAAMMRYWNDKDVLQKLGEAMGLAVTGDAATSAGNSAADEEDEAGNEDESIVHHCASVGDVEGLKTALSSGADKDEEDSEGRTALHFACGYGEVKCTQILLEAGAKVDALDKNKNTALHYAAGYGRKDCVALLLENGAAVTLQNMDGKTPIEVAKLNNQHEVLKLLEKDAFL >KJB79773 pep chromosome:Graimondii2_0_v6:13:7403649:7407491:-1 gene:B456_013G065700 transcript:KJB79773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSKKPATADEKSALETESKSSKPETSTTEKKTSTPEPSTGGSQPAQAGAFPPNAMPGPGFVPPNPFDFSAMSGLLNDPSIKELAEQIAKDPSFNQMAEQLTKTFQGAAAEETTPQFDPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPAMSTMLDSLANPQQKDQIEERMARIKEDPSLKPILEEIESGGPAAMMRYWNDKDVLQKLGEAMGLAVTGDAATSAGNSAADEEDEAGNEDESIVHHCASVGDVEGLKTALSSGADKDEEDSEGRTALHFACGYGEVKCTQILLEAGAKVDALDKNKNTALHYAAGYGRKDCVALLLENGAAVTLQNMDGKTPIEVAKLNNQHEVLKLLEKDAFL >KJB80850 pep chromosome:Graimondii2_0_v6:13:29097879:29099070:-1 gene:B456_013G117900 transcript:KJB80850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVFGKGNLFTTCNYSQTKNLEGGTCLVPKKISGFSLERNCFSSLKVKSQALRSGFNGQRMVFLEKKSMNRRRFCQVPIKAQMQSGLIGRVQKWWEKGLQPNMKEVASAQDLVDSLLNAGDKLVVVDFFSPGCGGCKALHPKICQFAEMNPDVQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAQGRVCSFSCTNATVNPESFLLDANFIRYGS >KJB80847 pep chromosome:Graimondii2_0_v6:13:29097150:29099349:-1 gene:B456_013G117900 transcript:KJB80847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGLIGRVQKWWEKGLQPNMKEVASAQDLVDSLLNAGDKLVVVDFFSPGCGGCKALHPKICQFAEMNPDVQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAQGRVCSFSCTNATIKKFRDALAKHTPDRCSLSTTKGLEEKELLALSANKDLSFNYTPIPTHEEILIWKQAPSDSTRKLPLSVPTTSAKQRDSEEKTLVGVGR >KJB80851 pep chromosome:Graimondii2_0_v6:13:29098076:29099070:-1 gene:B456_013G117900 transcript:KJB80851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVFGKGNLFTTCNYSQTKNLEGGTCLVPKKISGFSLERNCFSSLKVKSQALRSGFNGQRMVFLEKKSMNRRRFCQVPIKAQMQSGLIGRVQKWWEKGLQPNMKEVASAQDLVDSLLNAGDKLVVVDFFSPGCGGCKALHPKR >KJB80849 pep chromosome:Graimondii2_0_v6:13:29097150:29099349:-1 gene:B456_013G117900 transcript:KJB80849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVFGKGNLFTTCNYSQTKNLEGGTCLVPKKISGFSLERNCFSSLKVKSQALRSGFNGQRMVFLEKKSMNRRRFCQVPIKAQMQSGLIGRFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAQGRVCSFSCTNATIKKFRDALAKHTPDRCSLSTTKGLEEKELLALSANKDLSFNYTPIPTHEEILIWKQAPSDSTRKLPLSVPTTSAKQRDSEEKTLVGVGR >KJB80848 pep chromosome:Graimondii2_0_v6:13:29097550:29098354:-1 gene:B456_013G117900 transcript:KJB80848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGLIGRVQKWWEKGLQPNMKEVASAQDLVDSLLNAGDKLVVVDFFSPGCGGCKALHPKICQFAEMNPDVQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAQGRVCSFSCTNATIKKFRDALAKHTPDRCSLSTTKGLEEKELLALSANKDLSFNYTPIPTHEEILIWKQAPSDSTRKLPLSVPTTSAKQRDSEEKTLVGVGR >KJB80852 pep chromosome:Graimondii2_0_v6:13:29097150:29099372:-1 gene:B456_013G117900 transcript:KJB80852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVFGKGNLFTTCNYSQTKNLEGGTCLVPKKISGFSLERNCFSSLKVKSQALRSGFNGQRMVFLEKKSMNRRRFCQVPIKAQMQSGLIGRVQKWWEKGLQPNMKEVASAQDLVDSLLNAGDKLVVVDFFSPGCGGCKALHPKICQFAEMNPDVQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAQGRVCSFSCTNATIKKFRDALAKHTPDRCSLSTTKGLEEKELLALSANKDLSFNYTPIPTHEEILIWKQAPSDSTRKLPLSVPTTSAKQRDSEEKTLVGVGR >KJB82869 pep chromosome:Graimondii2_0_v6:13:53834240:53836133:1 gene:B456_013G218500 transcript:KJB82869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKVIIAVVSIILVVGVIATAIATVYHKRGDNTDLHKKINIMSNFCLYTLYQESCWQTLNSVNSTDPKEFIAKAILAAEEATNKFFNYSDSLSIQVENNSLTKMALEDCKDMMNYAIDSFKASYSNVYDSELHNINVSINDLRTWLSAGISYQQSCLDGFEHNNNMKEIMQKGIIVASELTRNALTIVTNLQNILSKFDFQLNITNARKLLPIEKNNYPSWFTAKDRQLLTRIDNSNLKPNAIVAKDGNGQFNTISAALVAAPKKSNVRHVIYIKAGIYNEYITVDKQYTNILMYGDGPRKTIVTGRKGVKDGGGITTWQTATFSAIGNGFIAKSMGFQNTAGPEKHQAVALRIQSDKSAFFNCRIDAYQDTLYNQVNRQFFRNCVISGTIDFIFGDSSTVIQNSLIIVRRPMDHQFNTVTAQGKDYIDENTGIVIQNCKIVPENKLFNDRFKFATYLGRPWKKFSTTVIMESKLGDFIRPEGWISFEGPDKNNYEETLYYAEYNNRGPGSNLNERVNWKGYHKINRTTAMKFTIQSFLSSKENWLPFADIPFNAML >KJB78731 pep chromosome:Graimondii2_0_v6:13:1047961:1048662:1 gene:B456_013G015000 transcript:KJB78731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFASQTFLIIFTLFSPFFITINGEFSIQSIVTSTKRMEKMTHLHFYFHDTISGKHPTAMQIIKPHNRSAGGLFGITFMADDPLTEKPKSSSKLVGRAQGIYALASQHDVGLLMVMNFAFFEGTYNGSALSILGRNEIFHDVREMPVVGGTGIFRFARGYALAKTVWSNQKGDAIVEYNVSVVHY >KJB80790 pep chromosome:Graimondii2_0_v6:13:28591020:28591595:1 gene:B456_013G115400 transcript:KJB80790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKDNDAYEEELLDYEEEDEKVPDSASIKAADSAKKGYVGIHSSGIRDFLLKPELLRSIVDSGFEHSSEVTYNGGQYTSLVLYYFDLLFWMKHLLLHDMLCSNL >KJB80789 pep chromosome:Graimondii2_0_v6:13:28590617:28594973:1 gene:B456_013G115400 transcript:KJB80789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIKDNDAYEEELLDYEEEDEKVPDSASIKAADSAKKGYVGIHSSGIRDFLLKPELLRSIVDSGFEHSSEGNHDSGNHYNGRF >KJB80240 pep chromosome:Graimondii2_0_v6:13:13624648:13626055:1 gene:B456_013G088300 transcript:KJB80240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEQHGHGSWRALPAKAGLQRCGKSCRLRWSGIAAHLPKRTDNEIKNYWNTHLKKRLNKMGIDPVTHKPKTNALGSATGNPKDAATLSHMAQWESARLEAEARLVRESKLVRFSSSSSSSSSAPQHTSNPVMTPPATRPQCLDVLKAWQGLVTGLFTFNNTTDNLQSPTSTLNFVENTNTLANGLINDNSMELHEMGAWFRQDSSYRAVENMNMEDYSDMMVWESGDHQQCSSMAAPAENLNETSYGNSSSSSSSSSSLEETRNYWNNILNLVS >KJB80239 pep chromosome:Graimondii2_0_v6:13:13624584:13626067:1 gene:B456_013G088300 transcript:KJB80239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEQHGHGSWRALPAKAGLQRCGKSCRLRWINYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSGIAAHLPKRTDNEIKNYWNTHLKKRLNKMGIDPVTHKPKTNALGSATGNPKDAATLSHMAQWESARLEAEARLVRESKLVRFSSSSSSSSSAPQHTSNPVMTPPATRPQCLDVLKAWQGLVTGLFTFNNTTDNLQSPTSTLNFVENTNTLANGLINDNSMELHEMGAWFRQDSSYRAVENMNMEDYSDMMVWESGDHQQCSSMAAPAENLNETSYGNSSSSSSSSSSLEETRNYWNNILNLVS >KJB80241 pep chromosome:Graimondii2_0_v6:13:13624648:13626055:1 gene:B456_013G088300 transcript:KJB80241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDPVTHKPKTNALGSATGNPKDAATLSHMAQWESARLEAEARLVRESKLVRFSSSSSSSSSAPQHTSNPVMTPPATRPQCLDVLKAWQGLVTGLFTFNNTTDNLQSPTSTLNFVENTNTLANGLINDNSMELHEMGAWFRQDSSYRAVENMNMEDYSDMMVWESGDHQQCSSMAAPAENLNETSYGNSSSSSSSSSSLEETRNYWNNILNLVS >KJB79567 pep chromosome:Graimondii2_0_v6:13:5349485:5350903:-1 gene:B456_013G054700 transcript:KJB79567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRSSGRSASRPAPRPASARSPPPQPAHHAPPPAPAQSGSGGSLLGGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHETVVSEAAAAPAPATTSNSLAGTDSCSIHYKAFQDVSELHPLLLDYLMV >KJB79566 pep chromosome:Graimondii2_0_v6:13:5348235:5350958:-1 gene:B456_013G054700 transcript:KJB79566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRSSGRSASRPAPRPASARSPPPQPAHHAPPPAPAQSGSGGSLLGGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHETVVSEAAAAPAPATTSNSLAGTDSCSIHYKAFQDCLNSYGSELSKCQFYMDMLTECRKNTGSMLGA >KJB80538 pep chromosome:Graimondii2_0_v6:13:19083931:19087634:1 gene:B456_013G102600 transcript:KJB80538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKQDKFVWCWVVLFLFCSSATALLSPKGVNFEVQALMGIKELLVDPHGVLDNWDEAAVDPCSWNMVTCSIDGLVIGLGAPSQNLSGTLAPTIGNLTNLQLVLLQNNNISGYIPSELGKLPNLHTLDLSNNNFSGQIPTTLSHLEALQYLRLNNNSLSGTIPSSLANMTQLSFLDLSFNNLSGPLPGLLAKTFNIVGNPLICDAGAEQDCSRTRPMPVPFSLNNSQNSQPSGRLKGHKIALAFGSSLGCICLFVLGFGFVLWWRQRHNQQIFFDVNEQHREEICLGNLKRFHFKELQVATNNFSSKNVVGKGGFGNVYKGYLQDGTVVAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTATERLLVYPYMSNGSVANRLKAKPALDWGTRKRIALGTARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELISGLRALEFGKTANQKGAILDWVRKVHQEKKLDMLVDKDLKNNYDRIELEEMVQVALLCTQYLPSQRPKMSEVVRMLEGDGLAEKWEASQKAEATRSRANEFSSSERYSDLTDDSSLLVQAMELSGPR >KJB79975 pep chromosome:Graimondii2_0_v6:13:9328315:9333132:1 gene:B456_013G075800 transcript:KJB79975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint serine/threonine-protein kinase BUB1 [Source:Projected from Arabidopsis thaliana (AT2G20635) UniProtKB/Swiss-Prot;Acc:F4IVI0] MVMIPTDLNNSSYANDPLYPWLLSIEKELDDWYSGNRSGGDLDNLLSDCISTFKNNAQYRNDLRFLKIWFLYLEGSKDYENIFREMEENEICIGHSLLYEWYAYFLEAKGKWKEAHIIYHIGISRKAEPIEKLKWAQSLFVKRMSERLNTFSLGKVDGGEQVEVGMKLINPWSAFILEELLKKIHPRITKYDGYHLSKKAYSGKVALSSLKKSSRNKIIEIGGKKYQIKGCAGQGGFAQVFKAYVDSNPDDAVALKIQRPAFPWEFYMYRQLDERISGKQRSSFGFAHKIHIYSDCSILICDYLAHGTLQDAINSYVVTGKFMEEVLCIYYTTEMLYMLETLHSVGIIHGDFKPDNLLIRYSKDDLSEEGFKDRTDSWLDQGLYLVDWGRGIDLQLFPKNTEFTGDCRTSGFCCIEMQEKKPWTFQTDTYGLCVAVHMMLHNTYMEVERKTSDGGYIYLPKSSFKRYWNVELWKALFTKLLNVKPGNNDIELLRSLRKSFLDYMHDNPLLIKKLKGLLVKQRGTLCSA >KJB79974 pep chromosome:Graimondii2_0_v6:13:9328283:9333164:1 gene:B456_013G075800 transcript:KJB79974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint serine/threonine-protein kinase BUB1 [Source:Projected from Arabidopsis thaliana (AT2G20635) UniProtKB/Swiss-Prot;Acc:F4IVI0] MVMIPTDLNNSSYANDPLYPWLLSIEKELDDWYSGNRSGGDLDNLLSDCISTFKNNAQYRNDLRFLKIWFLYLEGSKDYENIFREMEENEICIGHSLLYEWYAYFLEAKGKWKEAHIIYHIGISRKAEPIEKLKWAQSLFVKRMSERLNTFSLGKVDGGEQVEVGMKLINPWSAFILEELLKKIHPRITKYDGYHLSKKAYSGKVALSSLKKSSRNKIIEIGGKKYQIKGCAGQGGFAQVFKAYVDSNPDDAVALKIQRPAFPWEFYMYRQLDERISGKQRSSFGFAHKIHIYSDCSILICDYLAHGTLQDAINSYVVTGKFMEEVLCIYYTTEMLYMLETLHSVGIIHGDFKPDNLLIRYSKDDLSEEGFKDRTDSWLDQGLYLVDWGRGIDLQLFPKNTEFTGDCRTSGFCCIEMQEKKPWTFQANTYGLCVAVHMMLHNTYMEVERKTSDGGYIYLPKSSFKRYWNVELWKALFTKLLNVKPGNNDIELLRSLRKSFLDYMHDNPLLIKKLKGLLVKQRGTLCSA >KJB82890 pep chromosome:Graimondii2_0_v6:13:53889027:53890282:-1 gene:B456_013G2191002 transcript:KJB82890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCVFSLITTADMFSSIEAASKRVPKSEESSSPFKELRTVACGLLAVCTVATASPVIAANQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKSNLKGKSVAAALMSDAKFDGADMSKAVMSKAYAVGASFKVLSGSTFDNAQLEDAVFEDPIIGYIDLQKLCTNTSINAEGRVELGCR >KJB81215 pep chromosome:Graimondii2_0_v6:13:35088164:35091722:1 gene:B456_013G133300 transcript:KJB81215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELFSKKGDDKTLDNFIPKFESDFLEYAELISHKLCPYEKSYHYIVLLKAVMRLSLTSLKAADVKDIASSITTILARSVKYFFFCRMGRFQLRRRRVLRKDQEN >KJB81214 pep chromosome:Graimondii2_0_v6:13:35088164:35091722:1 gene:B456_013G133300 transcript:KJB81214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELFSKKGDDKTLDNFIPKFESDFLEYAELISHKLCPYEKSYHYIVLLKAVMRLSLTSLKAADVKDIASSITTILARSVKYFFFCRMGRFQLRRRRVLRKDQEN >KJB80751 pep chromosome:Graimondii2_0_v6:13:27871343:27871842:1 gene:B456_013G113900 transcript:KJB80751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYFAKILCCFAIRNMGISCALVHHMLLHCGTAVWSKQASRPNQCLVCDANKCMGPPDNAVDCDMIDVQNQSGTFSAKFYKKFMQLLVVFLLLFSIEPL >KJB83382 pep chromosome:Graimondii2_0_v6:13:56769426:56770025:1 gene:B456_013G249700 transcript:KJB83382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGRKEDAILEKNMELGNVSEKSIEDEYGSKRTRTLFCPTNCEYFFLPENEGFEGYGGMQGGMSFEDMVVETKEKPRRVKKRKEGDQSGDAKWYTERFIGKQASNEGKSSKRGKHTADAQWEKRVLCLFLSHS >KJB83383 pep chromosome:Graimondii2_0_v6:13:56769426:56770546:1 gene:B456_013G249700 transcript:KJB83383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGRKEDAILEKNMELGNVSEKSIEDEYGSKRTRTLFCPTNCEYFFLPENEGFEGYGGMQGGMSFEDMVVETKEKPRRVKKRKEGDQSGDAKWYTERFIGKQASNEGKSSKRGKHTADAQWEKRRRSSRPRNASNLSR >KJB83381 pep chromosome:Graimondii2_0_v6:13:56769426:56770498:1 gene:B456_013G249700 transcript:KJB83381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGRKEDAILEKNMELGNVSEKSIEDEYGSKRTRTLFCPTNCEYFFLPENEGFEGYGGMQGGMSFEDMVVETKEKPRRVKKRKEGDQSGDAKWYTERFIGKQASNEGKSSKRGKHTADAQWEKRMQRRSSRPRNASNLSR >KJB83380 pep chromosome:Graimondii2_0_v6:13:56768435:56770492:1 gene:B456_013G249700 transcript:KJB83380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFRDKGNLMCWNLKLAKKNYVLVIEHISNLQILITKLVVRYGETGGEVSDKKKKQICLLETEMVRGRKEDAILEKNMELGNVSEKSIEDEYGSKRTRTLFCPTNCEYFFLPENEGFEGYGGMQGGMSFEDMVVETKEKPRRVKKRKEGDQSGDAKWYTERFIGKQASNEGKSSKRGKHTADAQWEKRMQRRSSRPRNASNLSR >KJB83379 pep chromosome:Graimondii2_0_v6:13:56767535:56770365:1 gene:B456_013G249700 transcript:KJB83379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRNSRPPFPLPSVSDPTSRFTHRLPSGNGLYFDDRFLVQETNRQIGWSFFHLKNAARQVSEECGELNKVLTMETRPINCQDKEKRKSYRMQEKSSQAMGGPHEAQANRADEGSEPYMFIKDNKDGNTSSGAVNFDIAQPFTSYELGGEVSDKKKKQICLLETEMVRGRKEDAILEKNMELGNVSEKSIEDEYGSKRTRTLFCPTNCEYFFLPENEGFEGYGGMQGGMSFEDMVVETKEKPRRVKKRKEGDQSGDAKWYTERFIGKQASNEGKSSKRGKHTADAQWEKRMQRRSSRPRNASNLSR >KJB81955 pep chromosome:Graimondii2_0_v6:13:45497789:45501340:1 gene:B456_013G168900 transcript:KJB81955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEVVGSEMAKASVDKSTEVEKSLVHDKENGKLDKDPVHSEPIKFGSNVEESNKGEEKNASDANFPSDAVVEWPAPKQIHSFYFVRYRPYDDPKIKAKIDQADKEVQKWNKARFQLTDEIKAYKSDRAELLSQVKALNIDFEQFKTMLGEKKKELEPLQQALGKLRNNNNESGRGGICSSEEELNDFIYSLQYRIQHESIPLSEEKQLLREIKQLEGTREKVIANAAMRAKIQESLGQKEAIQDQVKLMGVDLDGVRKEQNAIWSKKKQIKEKVNAIESKIESLQEELNAVIQKKNKAYETMQQLWKQRDEANAHFYQSRSLLNKAKELAAKKDIKALEELSTVEVEKFMALWSSKKAVRDDYEKRILPSLDQRQLSRDGRIRNPDEKPLVVQETPVPSETVTIPKPSVRQPKEEAKPSPEPDTKPPKKVQKDVESKAKVSKSSPENGIVEEKEISGLGMLQKDTTAVKEVDEAKLKEMKREEEIAKAKQAMERKKKKAEEKAAKAAKRAEKEAEKKLKEIISSILFFFFLISSREREKKAKKKAAAASASGANVEEPTEAVAEVPEPEKDVNAEEPVPAPVPVKNKVQKENTVRYKNRSRARESLPRPILKRKKSTNYWIWAAPAAVVVLILIALAYYYLV >KJB81956 pep chromosome:Graimondii2_0_v6:13:45497171:45501340:1 gene:B456_013G168900 transcript:KJB81956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEVVGSEMAKASVDKSTEVEKSLVHDKENGKLDKDPVHSEPIKFGSNVEESNKGEEKNASDANFPSDAVVEWPAPKQIHSFYFVRYRPYDDPKIKAKIDQADKEVQKWNKARFQLTDEIKAYKSDRAELLSQVKALNIDFEQFKTMLGEKKKELEPLQQALGKLRNNNNESGRGGICSSEEELNDFIYSLQYRIQHESIPLSEEKQLLREIKQLEGTREKVIANAAMRAKIQESLGQKEAIQDQVKLMGVDLDGVRKEQNAIWSKKKQIKEKVNAIESKIESLQEELNAVIQKKNKAYETMQQLWKQRDEANAHFYQSRSLLNKAKELAAKKDIKALEELSTVEVEKFMALWSSKKAVRDDYEKRILPSLDQRQLSRDGRIRNPDEKPLVVQETPVPSETVTIPKPSVRQPKEEAKPSPEPDTKPPKKVQKDVESKAKVSKSSPENGIVEEKEISGLGMLQKDTTAVKEVDEAKLKEMKREEEIAKAKQAMERKKKKAEEKAAKAAKRAEKEAEKKLKEIISSILFFFFLISSREREKKAKKKAAAASASGANVEEPTEAVAEVPEPEKDVNAEEPVPAPVPVKNKVQKENTVRYKNRSRARESLPRPILKRKKSTNYWIWAAPAAVVVLILIALAYYYLV >KJB83067 pep chromosome:Graimondii2_0_v6:13:54745594:54751691:1 gene:B456_013G228100 transcript:KJB83067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTLLQALILCVVIFEVHYLLIMLRFFPQDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFSFVGEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKPSEGVFVGAFLSMSSTAVVLKFLMERNSLSALHGQVTVGILILQDCAVGLLFALLPVLGGSSGILQGVLSMTKSLVTLITFLAILTILSRTCVPWFLRLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVILVIVIKTMVVAAVVKGFRYSNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFPPDSQSEMGFKGDSLRSDSGKQSNSLRSDSGKQSNSLRSDSAKRITLLVQGSHDS >KJB83066 pep chromosome:Graimondii2_0_v6:13:54744126:54751691:1 gene:B456_013G228100 transcript:KJB83066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLAALFFICDLFVLFGFAAAFDAETLSAAEFNTTAALTNVSDPRSREDSFADMIDRALEKEFNDTDQNEATDPGSFNNSVAGKQAVLETVARVKSKKNETKEEKSFQLHDVFYLDNENRADDAPTLIDQNDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFSFVGEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKPSEGVFVGAFLSMSSTAVVLKFLMERNSLSALHGQVTVGILILQDCAVGLLFALLPVLGGSSGILQGVLSMTKSLVTLITFLAILTILSRTCVPWFLRLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVILVIVIKTMVVAAVVKGFRYSNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVRQLLLPCFHICHYIIVKKNGSFYYDCEYQPCNWRVVRTHLLQYVSTVASSCFFKNNVL >KJB83065 pep chromosome:Graimondii2_0_v6:13:54744126:54751691:1 gene:B456_013G228100 transcript:KJB83065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLAALFFICDLFVLFGFAAAFDAETLSAAEFNTTAALTNVSDPRSREDSFADMIDRALEKEFNDTDQNEATDPGSFNNSVAGKQAVLETVARVKSKKNETKEEKSFQLHDVFYLDNENRADDAPTLIDQNDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFSFVGEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKPSEGVFVGAFLSMSSTAVVLKFLMERNSLSALHGQVTVGILILQDCAVGLLFALLPVLGGSSGILQGVLSMTKSLVTLITFLAILTILSRTCVPWFLRLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVILVIVIKTMVVAAVVKGFRYSNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFPPDSQSEVILQFFKLLSEFLLEHVF >KJB83063 pep chromosome:Graimondii2_0_v6:13:54744028:54751788:1 gene:B456_013G228100 transcript:KJB83063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLAALFFICDLFVLFGFAAAFDAETLSAAEFNTTAALTNVSDPRSREDSFADMIDRALEKEFNDTDQNEATDPGSFNNSVAGKQAVLETVARVKSKKNETKEEKSFQLHDVFYLDNENRADDAPTLIDQNDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFSFVGEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKPSEGVFVGAFLSMSSTAVVLKFLMERNSLSALHGQVTVGILILQDCAVGLLFALLPVLGGSSGILQGVLSMTKSLVTLITFLAILTILSRTCVPWFLRLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVILVIVIKTMVVAAVVKGFRYSNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFPPDSQSEMGFKGDSLRSDSGKQSNSLRSDSGKQSNSLRSDSAKRITLLVQGSHDS >KJB83064 pep chromosome:Graimondii2_0_v6:13:54744126:54751691:1 gene:B456_013G228100 transcript:KJB83064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLAALFFICDLFVLFGFAAAFDAETLSAAEFNTTAALTNVSDPRSREDSFADMIDRALEKEFNDTDQNEATDPGSFNNSVAGKQAVLETVARVKSKKNETKEEKSFQLHDVFYLDNENRADDAPTLIDQNDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFSFVGEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKPSEGVFVGAFLSMSSTAVVLKFLMERNSLSALHGQVTVGILILQDCAVGLLFALLPVLGGSSGILQGVLSMTKSLVTLITFLAILTILSRTCVPWFLRLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVILVIVIKTMVVAAVVKGFRYSNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVRQLLLPCFHICHYIIVKKNGSFYYDCEYQPCNWRVVRTHLLQYVSTVASSCFFKNNVL >KJB78554 pep chromosome:Graimondii2_0_v6:13:402270:405297:1 gene:B456_013G005700 transcript:KJB78554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGGICKDVIESRITFAEYDMATSSAELRGSPLRKAATSLGGNLGATSTNDVHELLECPVCLNLMYPPIYQCPNGHTVCSICKARVHNSCPTCRNELGNIRCLALEKVAESLELPCRYQILGCHDIFPYYSKLKHEKNCRYRPYHCPYAGAECSVTGDIPFLVVHLKNDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFHIGMAPVYMAFLRFMGDEDEARQFSYSLEVGCYGRKVTWQGVPRSIRDSHRKVRDSQDGLIIQRNLALFFSGGDRQELKLKVAGRIWKEQ >KJB78555 pep chromosome:Graimondii2_0_v6:13:402308:405229:1 gene:B456_013G005700 transcript:KJB78555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGGICKDVIESRITFAEYDMATSSAELRGSPLRKAATSLGGNLGATSTNDVHELLECPVCLNLMYPPIYQCPNGHTVCSICKARVHNSCPTCRNELGNIRCLALEKVAESLELPCRYQILGCHDIFPYYSKLKHEKNCRYRPYHCPYAGAECSVTGDIPFLVVHLKNDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVRSPLLRHTHICNKFVNNRPICCRFSTVSVDNFACTSRLFT >KJB82401 pep chromosome:Graimondii2_0_v6:13:49527470:49530528:-1 gene:B456_013G193900 transcript:KJB82401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAQEALLPGLPDDLALRCLARLSHGYHGVLESVSNRWKDLVRSPEYANYKANQGWCGDWLFVVTERSNNQWIAYDREADRWHPLPKIPTEHDGLQHFGFSCVCVHNRLLVIGGSHAPRDTAYPRQTPVITNAVIQFDPYKKQWTRLSSMQTPRSHFACCVMSGKVYVSGGRNLSYPRGLSLAEVYDPLGDRWEDMPEMPNPQMDCLGISYKGKFHVLSDQVGLAEQNPSEIFDPSNKTWCTVNDIWPFSRAMQFAVQVMGDDQVYTVVDWGESLVKTRDSLRGEWYNVGAVPPVHLPDHCRELEAFGYGFAALRHELYVLGGKVLKWEDSGAGRFDVVRLAGVRVCDPLRRPLNWREIRPMCSPAGGSILGCASMEEKYTP >KJB82404 pep chromosome:Graimondii2_0_v6:13:49527620:49530421:-1 gene:B456_013G193900 transcript:KJB82404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAQEALLPGLPDDLALRCLARLSHGYHGVLESVSNRWKDLVRSPEYANYKANQGWCGDWLFVVTERSNNQWIAYDREADRWHPLPKIPTEHDGLQHFGFSCVCVHNRLLVIGGSHAPRDTAYPRQTPVITNAVIQFDPYKKQWTRLSSMQTPRSHFACCVMSGKVYVSGGRNLSYPRGLSLAEVYDPLGDRCSWEDMPEMPNPQMDCLGISYKGKFHVLSDQVGLAEQNPSEIFDPSNKTWCTVNDIWPFSRAMQFAVQVMGDDQVYTVVDWGESLVKTRDSLRGEWYNVGAVPPVHLPDHCRELEAFGYGFAALRHELYVLGGKVLKWEDSGAGRFDVVRLAGVRVCDPLRRPLNWREIRPMCSPAGGSILGCASMEEKYTP >KJB82402 pep chromosome:Graimondii2_0_v6:13:49528002:49529568:-1 gene:B456_013G193900 transcript:KJB82402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCKFYGINPYQFPQKGEKTDGLHLCALESAQEALLPGLPDDLALRCLARLSHGYHGVLESVSNRWKDLVRSPEYANYKANQGWCGDWLFVVTERSNNQWIAYDREADRWHPLPKIPTEHDGLQHFGFSCVCVHNRLLVIGGSHAPRDTAYPRQTPVITNAVIQFDPYKKQWTRLSSMQTPRSHFACCVMSGKVYVSGGRNLSYPRGLSLAEVYDPLGDRWEDMPEMPNPQMDCLGISYKGKFHVLSDQVGLAEQNPSEIFDPSNKTWCTVNDIWPFSRAMQFAVQVMGDDQVYTVVDWGESLVKTRDSLRGEWYNVGAVPPVHLPDHCRELEAFGYGFAALRHELYVLGGKVLKWEDSGAGRFDVVRLAGVRVCDPLRRPLNWREIRPMCSPAGGSILGCASMEEKYTP >KJB82403 pep chromosome:Graimondii2_0_v6:13:49527499:49530528:-1 gene:B456_013G193900 transcript:KJB82403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCKFYGINPYQFPQKGEKTDGLHLCALESAQEALLPGLPDDLALRCLARLSHGYHGVLESVSNRWKDLVRSPEYANYKANQGWCGDWLFVVTERSNNQWIAYDREADRWHPLPKIPTEHDGLQHFGFSCVCVHNRLLVIGGSHAPRDTAYPRQTPVITNAVIQFDPYKKQWTRLSSMQTPRSHFACCVMSGKVYVSGGRNLSYPRGLSLAEVYDPLGDRWEDMPEMPNPQMDCLGISYKGKFHVLSDQVGLAEQNPSEIFDPSNKTWCTVNDIWPFSRAMQFAVQVMGDDQVYTVVDWGESLVKTRDSLRGEWYNVGAVPPVHLPDHCRELEAFGYGFAALRHELYVLGGKVLKWEDSGAGRFDVVRLAGVRVCDPLRRPLNWREIRPMCSPAGGSILGCASMEEKYTP >KJB81220 pep chromosome:Graimondii2_0_v6:13:35094660:35095080:1 gene:B456_013G133500 transcript:KJB81220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGDGRRRQRIENECSSDVGLAAESVACREEMRGRNQKYCLWESFLVPCRMTLGKYGLEAIKFALKSFIRSSFV >KJB80181 pep chromosome:Graimondii2_0_v6:13:12275573:12279911:-1 gene:B456_013G084900 transcript:KJB80181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKPNSTDTRTRSSIQIFIVVGLCCFFYILGAWQRSGFGKGDSIALEMTKHSADCSIVPTLNFETHHAGEVGNVDESEKPKSFEPCHPRYVDYTPCQDQSRAMTFPRDNMIYRERHCPREEEKLHCLVPAPNGYVTPFPWPKSRDYVPYANAPYKALTIEKAIQNWIQYEGNVFRFPGGGTQFPQGADKYIDQLAKVIPITNGMVRTALDTGCGVASWGAYLWSRNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGANDGMYMKEVDRVLRPGGYWVLSGPPINWRNNYRAWQRPKKELEEEQKKIEEVAKLLCWEKKHEKGEIAVWRKRVNDEACSGRQHNSQANFCKAEEANDVWYKKMDACLTPYPDVSNPEEVAGGKLKPFPKRLYAVPPRVASGSVPVVSVETYQGDNEKWKKHVGAYKKINRLIDSGRYRNILDMNAGLGGFAAALDSPKLWVMNVMPTIAEKDTLGVIFERGLIGIYHDWCEAFSTYPRTYDLIHAHGLFSLYKDKCNLEDILLEMDRILRPEGAVIFRDEVDVLIKVKKITAGMRWDTKMVDHEDGPLVPEKILVAVKRYWVVGANSTSVQ >KJB80180 pep chromosome:Graimondii2_0_v6:13:12275641:12279612:-1 gene:B456_013G084900 transcript:KJB80180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKPNSTDTRTRSSIQIFIVVGLCCFFYILGAWQRSGFGKGDSIALEMTKHSADCSIVPTLNFETHHAGEVGNVDESEKPKSFEPCHPRYVDYTPCQDQSRAMTFPRDNMIYRERHCPREEEKLHCLVPAPNGYVTPFPWPKSRDYVPYANAPYKALTIEKAIQNWIQYEGNVFRFPGGGTQFPQGADKYIDQLAKVIPITNGMVRTALDTGCGVASWGAYLWSRNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGANDGMYMKEVDRVLRPGGYWVLSGPPINWRNNYRAWQRPKKELEEEQKKIEEVAKLLCWEKKHEKGEIAVWRKRVNDEACSGRQHNSQANFCKAEEANDVWYKKMDACLTPYPDVSNPEEVAGGKLKPFPKRLYAVPPRVASGSVPVVSVETYQGDNEKWKKHVGAYKKINRLIDSGRYRNILDMNAGLGGFAAALDSPKLWVMNVMPTIAEKDTLGVIFERGLIGIYHDWCEAFSTYPRTYDLIHAHGLFSLYKDKCNLEDILLEMDRILRPEGAVIFRDEVDVLIKVKKITAGMRWDTKMVDHEDGPLVPEKILVAVKRYWVVGANSTSVQ >KJB78566 pep chromosome:Graimondii2_0_v6:13:488493:490334:1 gene:B456_013G007000 transcript:KJB78566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSPFSTTSLILFIFNFYLRTTIGDYGGGWQGGHATFYGGGDASGTMGGACGYGNLYSQGFGTNTAALSTALFNNGLSCGSCYEMRCAGDPKWCLPATIMVTATNFCPPNFALSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVSFRRVPCVKKGGVRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSKTGWQAMSRNWGQNWQSNTYLNGQTLSFQVTTSDGKTLTSYNVVPANWQFGQTYEGGQF >KJB82744 pep chromosome:Graimondii2_0_v6:13:52331767:52341754:-1 gene:B456_013G211400 transcript:KJB82744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MSRPTTRSKNKRHRQVENDDTTTEILRKIHLTGEITDDDVNQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKAGLWQKMSDIIQALGPDPCKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDVLNRHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSDSQVSKARTIVQDLFRGSVSHVTTCSRCGKDSEASSKMEDFYELELNVKGLKTLEESLTDYLSVEELHGDNQYFCESCNIRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTSKKKITSVFSFPGKLDMRGRLSKPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGQWWEFDDEHVSNLGHHPFGEGSSTSNSKSNRSDAVVHSSCTGGDGTANGNHLDPIQLQHEESSVGSHIEMFSSTDAYMLMYNLSSKKNGASTMEIEGDAVFLHDGISLPSHLCEEITNLNSSYVDACEQYKLKKKRELDHITERRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNISPPVLDNTSIQCSHGKIPLSKAGSVKRLSAGAWTKFSKYNGGSTFAKGDHCLDCLIDVAHTVVCADSYRDRRKLMKEIAEDVLLAKCEDGAYYVSKAWLQQWVKRKNLDAPCEADAGPTMSIRCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITVKPDDSSGCSTFPSDSEECPECSNTLSEVACLEDSRREMKLKQRQNHEKLATGKSIPLSSNCKYYLLPSSWLSKWRSYVTASSKHTSFMEPEILDGVINLLKCEKHSRLLERPPKVAYKRGSFFQKGSTTDRLTIITENDWKCFCEEWGGTEENGISAIIELCSSNDLAECCGGMPMCEQPLDLQNEVNNENESRQPVIRTCPEVCEECIGERESCELMQKLNYADEDIYVYLVRGKEAPKSILQASESSEPDRRTSKRSRRTNNGNLVNLRVSATTSIYQLKMMIWESLGVVKENQILHKGSRVIDQETATLADMNIFPGDRLWVKDSEIHEDRDIADELSDQKMNVENIEEGFRGTLLTANISSQVV >KJB82740 pep chromosome:Graimondii2_0_v6:13:52332708:52338758:-1 gene:B456_013G211400 transcript:KJB82740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MRGRLSKPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGQWWEFDDEHVSNLGHHPFGEGSSTSNSKSNRSDAVVHSSCTGGDGTANGNHLDPIQLQHEESSVGSHIEMFSSTDAYMLMYNLSSKKNGASTMEIEGDAVFLHDGISLPSHLCEEITNLNSSYVDACEQYKLKKKRELDHITERRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNISPPVLDNTSIQCSHGKIPLSKAGSVKRLSAGAWTKFSKYNGGSTFAKGDHCLDCLIDVAHTVVCADSYRDRRKLMKEIAEDVLLAKCEDGAYYVSKAWLQQWVKRKNLDAPCEADAGPTMSIRCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITVKPDDSSGCSTFPSDSEECPECSNTLSEVACLEDSRREMKLKQRQNHEKLATGKSIPLSSNCKYYLLPSSWLSKWRSYVTASSKHTSFMEPEILDGVINLLKCEKHSRLLERPPKVAYKRGSFFQKGSTTDRLTIITENDWKCFCEEWGGTEENGISAIIELCSSNDLAECCGGMPMCEQPLDLQNEVNNENESRQPVIRTCPEVCEECIGERESCELMQKLNYADEDIYVYLVRGKEAPKSILQASESSEPDRRTSKRSRRTNNGNLVNLRVSATTSIYQLKMMIWESLGVVKENQILHKGSRVIDQETATLADMNIFPGDRLWVKDSEIHEDRDIADELSDQKMNVENIEEGFRGTLLTANISSQVV >KJB82738 pep chromosome:Graimondii2_0_v6:13:52331778:52341583:-1 gene:B456_013G211400 transcript:KJB82738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MSRPTTRSKNKRHRQVENDDTTTEILRKIHLTGEITDDDVNQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKAGLWQKMSDIIQALGPDPCKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDVLNRHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSDSQVSKARTIVQDLFRGSVSHVTTCSRCGKDSEASSKMEDFYELELNVKGLKTLEESLTDYLSVEELHGDNQYFCESCNIRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTSKKKITSVFSFPGKLDMRGRLSKPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGQWWEFDDEHVSNLGHHPFGEGSSTSNSKSNRSDAVVHSSCTGGDGTANGNHLDPIQLQHEESSVGSHIEMFSSTDAYMLMYNLSSKKNGASTMEIEGDAVFLHDGISLPSHLCEEITNLNSSYVDACEQYKLKKKRELDHITERRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNISPPVLDNTSIQCSHGKIPLSKAGSVKRLSAGAWTKFSKYNGGSTFAKGDHCLDCLIDVAHTVVCADSYRDRRKLMKEIAEDVLLAKCEDGAYYVSKAWLQQWVKRKNLDAPCEADAGPTMSIRCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITVKPDDSSGCSTFPSDSEECPECSNTLSEVACLEDSRREMKLKQRQNHEKLATGKSIPLSSNCKYYLLPSSWLSKWRSYVTASSKHTSFMEPEILDGVINLLKCEKHSRLLERPPKVAYKRGSFFQKGSTTDRLTIITENDWKCFCEEWGGTEENGISAIIELCSSNDLAECCGGMPMCEQPLDLQNEVNNENESRQPVIRTCPEVCEECIGERESCELMQKLNYADEDIYVYLVRGKEAPKSILQASESSEPDRRTSKRSRRTNNGNLVNLRVSATTSIYQLKMMIWESLGVVKENQILHKGSRVIDQETATLADMNIFPGDRLWVKDSEIHEDRDIADELSDQKMNVENIEEGFRGTLLTANISSQVV >KJB82745 pep chromosome:Graimondii2_0_v6:13:52331778:52347207:-1 gene:B456_013G211400 transcript:KJB82745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MNSLVPLSPNCPKSAVKDPALREGILFKNPAGSVNDKMSRPTTRSKNKRHRQVENDDTTTEILRKIHLTGEITDDDVNQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKAGLWQKMSDIIQALGPDPCKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDVLNRHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSDSQVSKARTIVQDLFRGSVSHVTTCSRCGKDSEASSKMEDFYELELNVKGLKTLEESLTDYLSVEELHGDNQYFCESCNIRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTSKKKITSVFSFPGKLDMRGRLSKPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGQWWEFDDEHVSNLGHHPFGEGSSTSNSKSNRSDAVVHSSCTGGDGTANGNHLDPIQLQHEESSVGSHIEMFSSTDAYMLMYNLSSKKNGASTMEIEGDAVFLHDGISLPSHLCEEITNLNSSYVDACEQYKLKKKRELDHITERRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNISPPVLDNTSIQCSHGKIPLSKAGSVKRLSAGAWTKFSKYNGGSTFAKGDHCLDCLIDVAHTVVCADSYRDRRKLMKEIAEDVLLAKCEDGAYYVSKAWLQQWVKRKNLDAPCEADAGPTMSIRCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITVKPDDSSGCSTFPSDSEECPECSNTLSEVACLEDSRREMKLKQRQNHEKLATGKSIPLSSNCKYYLLPSSWLSKWRSYVTASSKHTSFMEPEILDGVINLLKCEKHSRLLERPPKVAYKRGSFFQKGSTTDRLTIITENDWKCFCEEWGGTEENGISAIIELCSSNDLAECCGGMPMCEQPLDLQNEVNNENESRQPVIRTCPEVCEECIGERESCELMQKLNYADEDIYVYLVRGKEAPKSILQASESSEPDRRTSKRSRRTNNGNLVNLRVSATTSIYQLKMMIWESLGVVKENQILHKGSRVIDQETATLADMNIFPGDRLWVKDSEIHEDRDIADELSDQKMNVENIEEGFRGTLLTANISSQVV >KJB82739 pep chromosome:Graimondii2_0_v6:13:52332708:52338758:-1 gene:B456_013G211400 transcript:KJB82739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MRGRLSKPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGQWWEFDDEHVSNLGHHPFGEGSSTSNSKSNRSDAVVHSSCTGGDGTANGNHLDPIQLQHEESSVGSHIEMFSSTDAYMLMYNLSSKKNGASTMEIEGDAVFLHDGISLPSHLCEEITNLNSSYVDACEQYKLKKKRELDHITERRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNISPPVLDNTSIQCSHGKIPLSKAGSVKRLSAGAWTKFSKYNGGSTFAKGDHCLDCLIDVAHTVVCADSYRDRRKLMKEIAEDVLLAKCEDGAYYVSKAWLQQWVKRKNLDAPCEADAGPTMSIRCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITVKPDDSSGCSTFPSDSEECPECSNTLSEVACLEDSRREMKLKQRQNHEKLATGKSIPLSSNCKYYLLPSSWLSKWRSYVTASSKHTSFMEPEILDGVINLLKCEKHSRLLERPPKVAYKRGSFFQKGSTTDRLTIITENDWKCFCEEWGGTEENGISAIIELCSSNDLAECCGGMPMCEQPLDLQNEVNNENESRQPVIRTCPEVCEECIGERESCELMQKLNYADEDIYVYLVRGKEAPKSILQASESSEPDRRTSKRSRRTNNGNLVNLRVSATTSIYQLKMMIWESLGVVKENQILHKGSRVIDQETATLADMNIFPGDRLWVKDSEIHEDRDIADELSDQKMNVENIEEGFRGTLLTANISSQVV >KJB82735 pep chromosome:Graimondii2_0_v6:13:52331778:52341583:-1 gene:B456_013G211400 transcript:KJB82735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MSRPTTRSKNKRHRQVENDDTTTEILRKIHLTGEITDDDVNQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKAGLWQKMSDIIQALGPDPCKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDVLNRHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSDSQVSKARTIVQDLFRGSVSHVTTCSRCGKDSEASSKMEDFYELELNVKGLKTLEESLTDYLSVEELHGDNQYFCESCNIRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTSKKKITSVFSFPGKLDMRGRLSKPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGQWWEFDDEHVSNLGHHPFGEGSSTSNSKSNRSDAVVHSSCTGGDGTANGNHLDPIQLQHEESSVGSHIEMFSSTDAYMLMYNLSSKKNGASTMEIEGDAVFLHDGISLPSHLCEEITNLNSSYVDACEQYKLKKKRELDHITERRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNISPPVLDNTSIQCSHGKIPLSKAGSVKRLSAGAWTKFSKYNGGSTFAKGDHCLDCLIDVAHTVVCADSYRDRRKLMKEIAEDVLLAKCEDGAYYVSKAWLQQWVKRKNLDAPCEADAGPTMSIRCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITVKPDDSSGCSTFPSDSEECPECSNTLSEVACLEDSRREMKLKQRQNHEKLATGKSIPLSSNCKYYLLPSSWLSKWRSYVTASSKHTSFMEPEILDGVINLLKCEKHSRLLERPPKVAYKRGSFFQKGSTTDRLTIITENDWKCFCEEWGGTEENGISAIIELCSSNDLAECCGGMPMCEQPLDLQNEVNNENESRQPVIRTCPEVCEECIGERESCELMQKLNYADEDIYVYLVRGKEAPKSILQASESSEPDRRTSKRSRRTNNGNLVNLRVSATTSIYQLKMMIWESLGVVKENQILHKGSRVIDQETATLADMNIFPGDRLWVKDSEIHEDRDIADELSDQKMNVENIEEGFRGTLLTANISSQVV >KJB82737 pep chromosome:Graimondii2_0_v6:13:52331698:52341785:-1 gene:B456_013G211400 transcript:KJB82737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MSRPTTRSKNKRHRQVENDDTTTEILRKIHLTGEITDDDVNQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKAGLWQKMSDIIQALGPDPCKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDVLNRHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSDSQVSKARTIVQDLFRGSVSHVTTCSRCGKDSEASSKMEDFYELELNVKGLKTLEESLTDYLSVEELHGDNQYFCESCNIRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTSKKKITSVFSFPGKLDMRGRLSKPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGQWWEFDDEHVSNLGHHPFGEGSSTSNSKSNRSDAVVHSSCTGGDGTANGNHLDPIQLQHEESSVGSHIEMFSSTDAYMLMYNLSSKKNGASTMEIEGDAVFLHDGISLPSHLCEEITNLNSSYVDACEQYKLKKKRELDHITERRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNISPPVLDNTSIQCSHGKIPLSKAGSVKRLSAGAWTKFSKYNGGSTFAKGDHCLDCLIDVAHTVVCADSYRDRRKLMKEIAEDVLLAKCEDGAYYVSKAWLQQWVKRKNLDAPCEADAGPTMSIRCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITVKPDDSSGCSTFPSDSEECPECSNTLSEVACLEDSRREMKLKQRQNHEKLATGKSIPLSSNCKYYLLPSSWLSKWRSYVTASSKHTSFMEPEILDGVINLLKCEKHSRLLERPPKVAYKRGSFFQKGSTTDRLTIITENDWKCFCEEWGGTEENGISAIIELCSSNDLAECCGGMPMCEQPLDLQNEVNNENESRQPVIRTCPEVCEECIGERESCELMQKLNYADEDIYVYLVRGKEAPKSILQASESSEPDRRTSKRSRRTNNGNLVNLRVSATTSIYQLKMMIWESLGVVKENQILHKGSRVIDQETATLADMNIFPGDRLWVKDSEIHEDRDIADELSDQKMNVENIEEGFRGTLLTANISSQVV >KJB82746 pep chromosome:Graimondii2_0_v6:13:52334055:52341583:-1 gene:B456_013G211400 transcript:KJB82746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MSRPTTRSKNKRHRQVENDDTTTEILRKIHLTGEITDDDVNQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKAGLWQKMSDIIQALGPDPCKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDVLNRHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSDSQVSKARTIVQDLFRGSVSHVTTCSRCGKDSEASSKMEDFYELELNVKGLKTLEESLTDYLSVEELHGDNQYFCESCNIRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTSKKKITSVFSFPGKLDMRGRLSKPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGQWWEFDDEHVSNLGHHPFGEGSSTSNSKSNRSDAVVHSSCTGGDGTANGNHLDPIQLQHEESSVGSHIEMFSSTDAYMLMYNLSSKKNGASTMEIEGDAVFLHDGISLPSHLCEEITNLNSSYVDACEQYKLKKKRELDHITERRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNISPPVLDNTSIQCSHGKIPLSKAGSVKRLSAGAWTKFSKYNGGSTFAKGDHCLDCLIDVAHTVVCADSYRDRRKLMKEIAEDVLLAKCEDGAYYVSKAWLQQWVKRKNLDAPCEADAGPTMSIRCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITVKPDDSSGCSTFPSDSEECPECSNTLSEVACLEDSRREMKLKQRQNHEKLATGKSIPLSSNCKYYLLPSSWLSKWRSYVTASSKHTSFMEPEILDGVINLLKCEKHSRLLERPPKVAYKRGSFFQKGSTTDRLTIITENDWKCFCEEWGGTEENGISAIIELCSSNDLAECCGGMPMCEQPLDLQNEVNNENESRQPVIRTCPEVCEECIGERESCELMQKLNYADEDIYVYLVRGKEAPKSILQASESSEPDRRTSKRSRRTNNGNLVNLRVSATTSIYQLKMMIWESLGVRIVEFCGI >KJB82742 pep chromosome:Graimondii2_0_v6:13:52331778:52340793:-1 gene:B456_013G211400 transcript:KJB82742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKAGLWQKMSDIIQALGPDPCKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDVLNRHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSDSQVSKARTIVQDLFRGSVSHVTTCSRCGKDSEASSKMEDFYELELNVKGLKTLEESLTDYLSVEELHGDNQYFCESCNIRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTSKKKITSVFSFPGKLDMRGRLSKPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGQWWEFDDEHVSNLGHHPFGEGSSTSNSKSNRSDAVVHSSCTGGDGTANGNHLDPIQLQHEESSVGSHIEMFSSTDAYMLMYNLSSKKNGASTMEIEGDAVFLHDGISLPSHLCEEITNLNSSYVDACEQYKLKKKRELDHITERRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNISPPVLDNTSIQCSHGKIPLSKAGSVKRLSAGAWTKFSKYNGGSTFAKGDHCLDCLIDVAHTVVCADSYRDRRKLMKEIAEDVLLAKCEDGAYYVSKAWLQQWVKRKNLDAPCEADAGPTMSIRCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITVKPDDSSGCSTFPSDSEECPECSNTLSEVACLEDSRREMKLKQRQNHEKLATGKSIPLSSNCKYYLLPSSWLSKWRSYVTASSKHTSFMEPEILDGVINLLKCEKHSRLLERPPKVAYKRGSFFQKGSTTDRLTIITENDWKCFCEEWGGTEENGISAIIELCSSNDLAECCGGMPMCEQPLDLQNEVNNENESRQPVIRTCPEVCEECIGERESCELMQKLNYADEDIYVYLVRGKEAPKSILQASESSEPDRRTSKRSRRTNNGNLVNLRVSATTSIYQLKMMIWESLGVVKENQILHKGSRVIDQETATLADMNIFPGDRLWVKDSEIHEDRDIADELSDQKMNVENIEEGFRGTLLTANISSQVV >KJB82736 pep chromosome:Graimondii2_0_v6:13:52330629:52341785:-1 gene:B456_013G211400 transcript:KJB82736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MSRPTTRSKNKRHRQVENDDTTTEILRKIHLTGEITDDDVNQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKAGLWQKMSDIIQALGPDPCKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDVLNRHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSDSQVSKARTIVQDLFRGSVSHVTTCSRCGKDSEASSKMEDFYELELNVKGLKTLEESLTDYLSVEELHGDNQYFCESCNIRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTSKKKITSVFSFPGKLDMRGRLSKPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGQWWEFDDEHVSNLGHHPFGEGSSTSNSKSNRSDAVVHSSCTGGDGTANGNHLDPIQLQHEESSVGSHIEMFSSTDAYMLMYNLSSKKNGASTMEIEGDAVFLHDGISLPSHLCEEITNLNSSYVDACEQYKLKKKRELDHITERRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNISPPVLDNTSIQCSHGKIPLSKAGSVKRLSAGAWTKFSKYNGGSTFAKGDHCLDCLIDVAHTVVCADSYRDRRKLMKEIAEDVLLAKCEDGAYYVSKAWLQQWVKRKNLDAPCEADAGPTMSIRCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITVKPDDSSGCSTFPSDSEECPECSNTLSEVACLEDSRREMKLKQRQNHEKLATGKSIPLSSNCKYYLLPSSWLSKWRSYVTASSKHTSFMEPEILDGVINLLKCEKHSRLLERPPKVAYKRGSFFQKGSTTDRLTIITENDWKCFCEEWGGTEENGISAIIELCSSNDLAECCGGMPMCEQPLDLQNEVNNENESRQPVIRTCPEVCEECIGERESCELMQKLNYADEDIYVYLVRGKEAPKSILQASESSEPDRRTSKRSRRTNNGNLVNLRVSATTSIYQLKMMIWESLGVVKENQILHKGSRVIDQETATLADMNIFPGDRLWVKDSEIHEDRDIADELSDQKMNVENIEEGFRGTLLTANISSQVV >KJB82743 pep chromosome:Graimondii2_0_v6:13:52332708:52338758:-1 gene:B456_013G211400 transcript:KJB82743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MRGRLSKPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGQWWEFDDEHVSNLGHHPFGEGSSTSNSKSNRSDAVVHSSCTGGDGTANGNHLDPIQLQHEESSVGSHIEMFSSTDAYMLMYNLSSKKNGASTMEIEGDAVFLHDGISLPSHLCEEITNLNSSYVDACEQYKLKKKRELDHITERRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNISPPVLDNTSIQCSHGKIPLSKAGSVKRLSAGAWTKFSKYNGGSTFAKGDHCLDCLIDVAHTVVCADSYRDRRKLMKEIAEDVLLAKCEDGAYYVSKAWLQQWVKRKNLDAPCEADAGPTMSIRCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITVKPDDSSGCSTFPSDSEECPECSNTLSEVACLEDSRREMKLKQRQNHEKLATGKSIPLSSNCKYYLLPSSWLSKWRSYVTASSKHTSFMEPEILDGVINLLKCEKHSRLLERPPKVAYKRGSFFQKGSTTDRLTIITENDWKCFCEEWGGTEENGISAIIELCSSNDLAECCGGMPMCEQPLDLQNEVNNENESRQPVIRTCPEVCEECIGERESCELMQKLNYADEDIYVYLVRGKEAPKSILQASESSEPDRRTSKRSRRTNNGNLVNLRVSATTSIYQLKMMIWESLGVVKENQILHKGSRVIDQETATLADMNIFPGDRLWVKDSEIHEDRDIADELSDQKMNVENIEEGFRGTLLTANISSQVV >KJB82747 pep chromosome:Graimondii2_0_v6:13:52336395:52340901:-1 gene:B456_013G211400 transcript:KJB82747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MSRPTTRSKNKRHRQVENDDTTTEILRKIHLTGEITDDDVNQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKAGLWQKMSDIIQALGPDPCKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDVLNRHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSDSQVSKARTIVQDLFRGSVSHVTTCSRCGKDSEASSKMEDFYELELNVKGLKTLEESLTDYLSVEELHGDNQYFCESCNIRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTSKKKITSVFSFPGKLDMRGRLSKPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGQWWEFDDEHVSNLGHHPFGEGSSTSNSKSNRSDAVVHSSCTGGDGTANGNHLDPIQLQHEESSVGSHIEMFSSTDAYMLMYNLSSKKNGASTMEIEGDAVFLHDGISLPSHLCEEITNLNSSYVDACEQYKLKKKRELDHITERRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNISPPVLDNTSIQCSHGKIPLSKAGSVKRLSAGAWTKFSKYNGGSTFAKGDHCLDCLIDVAHTVVCADSYRDRRKLMKEIAEDVLLAKCEDGAYYVSKAWLQQWVKRKNLDAPCEADAGPTMSIRCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITVKPDDSSGCSTFPSDSEECPECSNTLSEVACLEDSRREMKLKQRQNHEKLATGKSIPLSSNCKYYLLPSSWLSKWRSYVTASSKHTSFMEPEILDGVINLLKCEKVTCNFSNSSF >KJB82741 pep chromosome:Graimondii2_0_v6:13:52331713:52341785:-1 gene:B456_013G211400 transcript:KJB82741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MSRPTTRSKNKRHRQVENDDTTTEILRKIHLTGEITDDDVNQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKAGLWQKMSDIIQALGPDPCKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDVLNRHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSDSQVSKARTIVQDLFRGSVSHVTTCSRCGKDSEASSKMEDFYELELNVKGLKTLEESLTDYLSVEELHGDNQYFCESCNIRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTSKKKITSVFSFPGKLDMRGRLSKPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGQWWEFDDEHVSNLGHHPFGEGSSTSNSKSNRSDAVVHSSCTGGDGTANGNHLDPIQLQHEESSVGSHIEMFSSTDAYMLMYNLSSKKNGASTMEIEGDAVFLHDGISLPSHLCEEITNLNSSYVDACEQYKLKKKRELDHITERRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNISPPVLDNTSIQCSHGKIPLSKAGSVKRLSAGAWTKFSKYNGGSTFAKGDHCLDCLIDVAHTVVCADSYRDRRKLMKEIAEDVLLAKCEDGAYYVSKAWLQQWVKRKNLDAPCEADAGPTMSIRCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITVKPDDSSGCSTFPSDSEECPECSNTLSEVACLEDSRREMKLKQRQNHEKLATGKSIPLSSNCKYYLLPSSWLSKWRSYVTASSKHTSFMEPEILDGVINLLKCEKHSRLLERPPKVAYKRGSFFQKGSTTDRLTIITENDWKCFCEEWGGTEENGISAIIELCSSNDLAECCGGMPMCEQPLDLQNEVNNENESRQPVIRTCPEVCEECIGERESCELMQKLNYADEDIYVYLVRGKEAPKSILQASESSEPDRRTSKRSRRTNNGNLVNLRVSATTSIYQLKMMIWESLGVVKENQILHKGSRVIDQETATLADMNIFPGDRLWVKDSEIHEDRDIADELSDQKMNVENIEEGFRGTLLTANISSQVV >KJB79256 pep chromosome:Graimondii2_0_v6:13:3251982:3253460:1 gene:B456_013G040400 transcript:KJB79256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQSFQAFGCFHLFFWIFFRSFTPTFTAEASSIADRHLLSLPKNGKLPDGYEYTVKTTEKFENERLRRAFIALQAWKKAMYSDPKNITSNWFGPNVCDYKGVYCVRAMDDPKLKVVAGIDLNHADIAGYLPVELGLLTDVALIHLNSNRFCGIVPESLSELTLMHEFDVSNNWFVGDFPKVVLSWPSVKYIDLRFNNFEGCLPPELFEKDLDALFLNDNRFTCNIPETIGKSTVSVVTFANNKFEGCIPRSIGKMSNLDEIIFSNNNLGGCFPQEIGLLRNVTIFDASKNSFMGSLPANFSGFEKVDILDISGNKLTGSVPEDICKLPSLSSFKFSYNYFSEEHMACIKPERKNIVVDDSGNCVAGRMKQKTDKECKQVVSNPVDCSKDKCTGGSPPNQNTPTPHRVSPTVPTKPPTAPTPVPDKIELSPKFPIRPPKPPPAPVPPPPVPSLPPPVQSPPPPVPSPPPPVHSPPPPPVPSPPPPVKSPPPP >KJB79830 pep chromosome:Graimondii2_0_v6:13:7919370:7922487:-1 gene:B456_013G068400 transcript:KJB79830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQLLLTDFTLPLKSLKLSHSGQRLKGRSIGSQKASTTVAYYGLKTPPYNLDDLEPYMSKRALEVHWGVHHRDFVDNLNKQLGKSDILYGYTMDELVKATYNNGNPLPEFNNAAEVWNHDFFWESMQPGGGDMPNLGLLEQIENDFGSFTNFRETFVEAALSLFGSGWVWLVLKRQEKRLAVVKTSNSINPIVWDDIPILNLDMWEHAYYLDYKNDKARYISTFMNHLVSWNAATIRMARAEAFVNLGEPKIPIA >KJB79827 pep chromosome:Graimondii2_0_v6:13:7919160:7922556:-1 gene:B456_013G068400 transcript:KJB79827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQLLLTDFTLPLKSLKLSHSGQRLKGRSIGSQKASTTVAYYGLKTPPYNLDDLEPYMSKRALEVHWGVHHRDFVDNLNKQLGKSDILYGYTMDELVKATYNNGNPLPEFNNAAEVWNHDFFWESMQPGGGDMPNLGLLEQIENDFGSFTNFRETFVEAALSLFGSGWVWLVLKRQEKRLAVVKTSNSINPIVWDDIPILNLDMWEHAYYLDYKNDKARYISTFMNHLVSWNAATIRMARAEAFVNLGEPKIPIA >KJB79829 pep chromosome:Graimondii2_0_v6:13:7919287:7922487:-1 gene:B456_013G068400 transcript:KJB79829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQLLLTDFTLPLKSLKLSHSGQRLKGRSIGSQKASTTVAYYGLKTPPYNLDDLEPYMSKRALEVHWGVHHRDFVDNLNKQLGKSDILYGYTMDELVKATYNNGNPLPEFNNAAEVWNHDFFWESMQPGGGDMPNLGLLEQIENDFGSFTNFRETFVEAALSLFGSGWVWLVSCLLFGLQERQSEIHKHIYEPPCVLECCNDTHGTSRGICEFRRA >KJB79828 pep chromosome:Graimondii2_0_v6:13:7919077:7922556:-1 gene:B456_013G068400 transcript:KJB79828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQLLLTDFTLPLKSLKLSHSGQRLKGRSIGSQKASTTVAYYGLKTPPYNLDDLEPYMSKRALEVHWGVHHRDFVDNLNKQLGKSDILYGYTMDELVKATYNNGNPLPEFNNAAEVWNHDFFWESMQPGGGDMPNLGLLEQIENDFGSFTNFRETFVEAALSLFGSGWVWLVLKRQEKRLAVVKTSNSINPIVWDDIPILNLDMWEHAYYLDYKNDKARYISTFMNHLVSWNAATIRMARAEAFVNLGEPKIPIA >KJB82559 pep chromosome:Graimondii2_0_v6:13:51343245:51345561:1 gene:B456_013G202400 transcript:KJB82559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLAGMSFTGDPARTKKQAQKNAAMAAWSALRKLSQYGSSSSSSPSLEFKGKQEQEQVVIAHFLSSLRPSEVRPSMQNDYRYEKHRSVPVCRDLTPPNQSLYSAHGESWPYPSFPLEMTMPIYQIWQQEQLLQLQSHLFSFPVSPVPPPAPQFLPILDLDRHLQARGQEPRFMSPRIAISTTHPSLYISNHSASQPTMGKSTVTIQEIHEEIKEESPKSPPPRLVNDRLVPGQTNAETGIGESKQEDHKQKNTELESKSDTGHRKSDAGSRPVNNRLQNPHAFESSHLRSQYPPWTSYYGNSRPAPSYAAAPPTIRTVSPISSMRPNMQEPTTQVPVLPRMRIGAPPFSTRPSFERTNLGSMHHSSIAPPVRIRSVVPVCSAPPSRKTPNFNKERLLPNKEKKDTVAEDLSTAVSEFSKLSM >KJB82557 pep chromosome:Graimondii2_0_v6:13:51341409:51345639:1 gene:B456_013G202400 transcript:KJB82557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKATVNFNGETFESPMFCSTLRQAEHAAAEIALNTLANRGPSRALAARVLDETGVYKNLLQETAHRAGLNLPVYTTVRSGPGHIPSFSCMVDLAGMSFTGDPARTKKQAQKNAAMAAWSALRKLSQYGSSSSSSPSLEFKGKQEQEQVVIAHFLSSLRPSEVRPSMQNDYRYEKHRSVPVCRDLTPPNQSLYSAHGESWPYPSFPLEMTMPIYQIWQQEQLLQLQSHLFSFPVSPVPPPAPQFLPILDLDRHLQARGQEPRFMSPRIAISTTHPSLYISNHSASQPTMGKSTVTIQEIHEEIKEESPKSPPPRLVNDRLVPGQTNAETGIGESKQEDHKQKNTELESKSDTGHRKSDAGSRPVNNRLQNPHAFESSHLRSQYPPWTSYYGNSRPAPSYAAAPPTIRTVSPISSMRPNMQEPTTQVPVLPRMRIGAPPFSTRPSFERTNLGSMHHSSIAPPVRIRSVVPVCSAPPSRKTPNFNKERLLPNKEKKDTVAEDLSTAVSEFSKLSM >KJB82558 pep chromosome:Graimondii2_0_v6:13:51341536:51345561:1 gene:B456_013G202400 transcript:KJB82558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQYGSSSSSSPSLEFKGKQEQEQVVIAHFLSSLRPSEVRPSMQNDYRYEKHRSVPVCRDLTPPNQSLYSAHGESWPYPSFPLEMTMPIYQIWQQEQLLQLQSHLFSFPVSPVPPPAPQFLPILDLDRHLQARGQEPRFMSPRIAISTTHPSLYISNHSASQPTMGKSTVTIQEIHEEIKEESPKSPPPRLVNDRLVPGQTNAETGIGESKQEDHKQKNTELESKSDTGHRKSDAGSRPVNNRLQNPHAFESSHLRSQYPPWTSYYGNSRPAPSYAAAPPTIRTVSPISSMRPNMQEPTTQVPVLPRMRIGAPPFSTRPSFERTNLGSMHHSSIAPPVRIRSVVPVCSAPPSRKTPNFNKERLLPNKEKKDTVAEDLSTAVSEFSKLSM >KJB78573 pep chromosome:Graimondii2_0_v6:13:511835:512796:1 gene:B456_013G0076001 transcript:KJB78573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQENEDDKSLDIPVNSITKVLETNDAGEATVIEHCEHKHYLMLSDKISEHGDKCCDGCLLLISAKFYHCLRCDFFLHKSCAELPKKKLFPKHSCYGKPFSGPKPFILTSDCMFKCVLCRYLSNGFSYECNECGDQICLRCPDLVLQDAVKISGHKHRLLFYYGNYEGQCSSCGRDLSYGASRCKDCNFHLCHLCALRPTRVRHKCDQHILALTYDKVNDYTKYHYCDICEKERDPKHWFYYCETCDTSVHVDCVLGKYSFIKLGSTYNEGNHEHPLTFVKKIHYYPECVKCGKRYEDLSLECAELGCNYIAHWKCRYRW >KJB79443 pep chromosome:Graimondii2_0_v6:13:4497151:4502325:-1 gene:B456_013G050000 transcript:KJB79443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDTFQSDGNNARYVASELATDITVTVGDVKFYLHKFPLLSKSAYLQKLVASGNEENNDEIQISDIPGGPVAFEICAKFCYGMTVTLNAFNVVAARCAAEYLGMHETIEKGNLIYKIDVFLNSSIFHSWKDSIIVLQTTKSMLPLSEELKVVGLCIDAIATKACIDVSKVDWSYTYNRRKVPEENGNDLNCNGFRNRPVPKDWWVEDLCELEIDLYKRVIMNIKTKGILSHEVIGEALKAYSYRRLPGFSKGVIRSGDVIKYRSTVDAIVWLLPAEKGSVSCSFLLKLLKAAIIVDLGEMAQEQLVRRIGQQLEEASVNDLSIRAADGEDVVYDVDTVQKIVKEFLMQDQNAEFESEDNEVQEIRRPGILTDASKLMVAKLIDAYLAEIAKDPNLPLSKFVDLAELVSCISRPSHDGLYRAIDMYLKEHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRATASSGSSTPDLPRGLKDLNIGSHGSSRSAATHPEEDWDAVATAEELKALKGEIAALRMSNGIGGSERNGGDSRNSVDKVAISKMKGLLKSKRIFSKIWSSKGAQGENSGSDSSESLGSANPEEAKSTPSRNRRHSVS >KJB79441 pep chromosome:Graimondii2_0_v6:13:4497114:4501480:-1 gene:B456_013G050000 transcript:KJB79441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDTFQSDGNNARYVASELATDITVTVGDVKFYLHKFPLLSKSAYLQKLVASGNEENNDEIQISDIPGGPVAFEICAKFCYGMTVTLNAFNVVAARCAAEYLGMHETIEKGNLIYKIDVFLNSSIFHSWKDSIIVLQTTKSMLPLSEELKVVGLCIDAIATKACIDVSKVDWSYTYNRRKVPEENGNDLNCNGFRNRPVPKDWWVEDLCELEIDLYKRVIMNIKTKGILSHEVIGEALKAYSYRRLPGFSKGVIRSGDVIKYRSTVDAIVWLLPAEKGSVSCSFLLKLLKAAIIVDLGEMAQEQLVRRIGQQLEEASVNDLSIRAADGEDVVYDVDTVQKIVKEFLMQDQNAEFESEDNEVQEIRRPGILTDASKLMVAKLIDAYLAEIAKDPNLPLSKFVDLAELVSCISRPSHDGLYRAIDMYLKEHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRATASSGSSTPDLPRGLKDLNIGSHGSSRSAATHPEEDWDAVATAEELKALKGEIAALRMSNGIGGSERNGGDSRNSVDKVAISKMKGLLKSKRIFSKIWSSKGAQGENSGSDSSESLGSANPEEAKSTPSRNRRHSVS >KJB79442 pep chromosome:Graimondii2_0_v6:13:4497197:4502111:-1 gene:B456_013G050000 transcript:KJB79442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDTFQSDGNNARYVASELATDITVTVGDVKFYLHKFPLLSKSAYLQKLVASGNEENNDEIQISDIPGGPVAFEICAKFCYGMTVTLNAFNVVAARCAAEYLGMHETIEKGNLIYKIDVFLNSSIFHSWKDSIIVLQTTKSMLPLSEELKVVGLCIDAIATKACIDVSKVDWSYTYNRRKVPEENGNDLNCNGFRNRPVPKDWWVEDLCELEIDLYKRVIMNIKTKGILSHEVIGEALKAYSYRRLPGFSKGVIRSGDVIKYRSTVDAIVWLLPAEKGSVSCSFLLKLLKAAIIVDLGEMAQEQLVRRIGQQLEEASVNDLSIRAADGEDVVYDVDTVQKIVKEFLMQDQNAEFESEDNEVQEIRRPGILTDASKLMVAKLIDAYLAEIAKDPNLPLSKFVDLAELVSCISRPSHDGLYRAIDMYLKEHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRATASSGSSTPDLPRGLKDLNIGSHGSSRSAATHPEEDWDAVATAEELKALKGEIAALRMSNGIGGSERNGGDSRNSVDKVAISKMKGLLKSKRIFSKIWSSKGAQGENSGSDSSESLGSANPEEAKSTPSRNRRHSVS >KJB79932 pep chromosome:Graimondii2_0_v6:13:8820604:8821527:-1 gene:B456_013G073100 transcript:KJB79932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCATKLMEFNLENPLTNFNTIPSLFLLESDHMPTLKATDIDVCFRQEAICLISQFSCKFHPFISYLAVNYLDRFLSSQPIPQNKTWVLRLIAISCVSLAAKMNRTDFSLAEFQGDGGFMFDARTIERMEYLILGALKWRMRSITPFSFISFFIPFLKLKDPALRQALKARAVEIIFKAQTVTKLLEFKPSIIAASALLFASHELFPLQFPCYHKAISSCLYVHKVLENIAVE >KJB79930 pep chromosome:Graimondii2_0_v6:13:8819902:8821733:-1 gene:B456_013G073100 transcript:KJB79930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCATKLMEFNLENPLTNFNTIPSLFLLESDHMPTLKATDIDVCFRQEAICLISQFSCKFHPFISYLAVNYLDRFLSSQPIPQNKTWVLRLIAISCVSLAAKMNRTDFSLAEFQGDGGFMFDARTIERMEYLILGALKWRMRSITPFSFISFFIPFLKLKDPALRQALKARAVEIIFKAQTVTKLLEFKPSIIAASALLFASHELFPLQFPCYHKAISSCLYVHKENLLKCYKWMQKIGKEREEGSMMDMASSWNTAVNVLDQQFSCSESGNGSAITTAALSVRREREMKRRKMNGYPNNETAHLSQVQHC >KJB79931 pep chromosome:Graimondii2_0_v6:13:8820098:8821625:-1 gene:B456_013G073100 transcript:KJB79931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCATKLMEFNLENPLTNFNTIPSLFLLESDHMPTLKATDIDVCFRQEAICLISQFSCKFHPFISYLAVNYLDRFLSSQPIPQNKTWVLRLIAISCVSLAAKMNRTDFSLAEFQGDGGFMFDARTIERMEYLILGALKWRMRSITPFSFISFFIPFLKLKDPALRQALKARAVEIIFKAQTVTKLLEFKPSIIAASALLFASHELFPLQFPCYHKAISSCLYVHKENLLKCYKWMQKIGKEREEGSMMDMASSWNTAVNVLDQQFSCSESGNGSAITTAALSVRREREMKRRKMNGYPNNETAHLSQVQHC >KJB79655 pep chromosome:Graimondii2_0_v6:13:6625467:6631099:-1 gene:B456_013G061100 transcript:KJB79655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLSSCYVYSDQTLLVTFLQGSRTIGGIRENSDLSNSQTSSLLTSSNSVTHTKEPSAHANSACSITSLTSLYEDADSEDSCQASSRVRTSPQIGNATVMDKLDPGFLNHYSPHPIQGQSSIPGVTEVSHLHGDRTGDTNYGSCISEAQRTLGLTSWEQGLEPYVPVYADAFSNASLTSTQPDTISISLQQETMMKGKLLAVESAGGEFGNPLPTQPHWQTPLADNALELPKWSMDPSSNFDLPFDSKLFEQNAHEFQNTLEEFSGHGVFNDQPLHKNLQMQIMNADSHSVMRTYPDNDMHLDGNVNYALSLKKSLLDGEESLKKVDSFSRWVTKELGEVDNLQMQSSSGIAWSTVECGNVSDDASLSPSLSHDQLFSIVDFSPKWAYIDLETEVLIIGTYLRSQEQVAKYNWSCMFGEVEVSAEVIADGILSCYAPPHSVGQVPFYVTCSNRLACSEVREFDYRAGFTKDINILDIYDIASREMLMRFERLLSLKSSNYPNHHSEGVREKRDLITKIISMREEEECHRIVDPSSDKDVSQHKEKDCLLQKLMKEKLYSWLLHKIMEDGKGPNVLDEKGQGVLHLAAALGYDWAIKPTVTAGVSINFRDANGWTALHWAAFCGREQTVAILVSLGAAAGAVTDPTPEFPLGRPPADLASGNGHKGISGFLAESSLTSFLSNLTMNDQKEAVQTVSDRIATPVFDSDDILSLKDSLTAVCNATQAADRIHQMFRMQSFQRKQLSESGDGVSDEHAISLLTAKARRPLHIDGVAHAAATQIQKKYRGWKKRKEFLLIRQRIVKIQAHVRGHQVRKQYRTIVWSVGILEKVILRWRRKGSGLRGFRRDAITKEPDPQCTPSKEDDYDFLKEGRKQTEERLQKALTRVKSMAQNPEGRGQYRRLLTLVQGIRENKACDMVLSSTEEAGEGEGDGDEDFIDIETLLDDENFMSIAFE >KJB79658 pep chromosome:Graimondii2_0_v6:13:6626371:6634241:-1 gene:B456_013G061100 transcript:KJB79658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRASYSLAPRLDIEQILLEAQHRWLRPAEICEILCNYQKFHITSEPPTRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDKLHCYYAHGEENENFQRRSYWMLEPDLMHIVFVHYLEVKGSRTIGGIRENSDLSNSQTSSLLTSSNSVTHTKEPSAHANSACSITSLTSLYEDADSEDSCQASSRVRTSPQIGNATVMDKLDPGFLNHYSPHPIQGQSSIPGVTEVSHLHGDRTGDTNYGSCISEAQRTLGLTSWEQGLEPYVPVYADAFSNASLTSTQPDTISISLQQETMMKGKLLAVESAGGEFGNPLPTQPHWQTPLADNALELPKWSMDPSSNFDLPFDSKLFEQNAHEFQNTLEEFSGHGVFNDQPLHKNLQMQIMNADSHSVMRTYPDNDMHLDGNVNYALSLKKSLLDGEESLKKVDSFSRWVTKELGEVDNLQMQSSSGIAWSTVECGNVSDDASLSPSLSHDQLFSIVDFSPKWAYIDLETEVLIIGTYLRSQEQVAKYNWSCMFGEVEVSAEVIADGILSCYAPPHSVGQVPFYVTCSNRLACSEVREFDYRAGFTKDINILDIYDIASREMLMRFERLLSLKSSNYPNHHSEGVREKRDLITKIISMREEEECHRIVDPSSDKDVSQHKEKDCLLQKLMKEKLYSWLLHKIMEDGKGPNVLDEKGQGVLHLAAALGYDWAIKPTVTAGVSINFRDANGWTALHWAAFCGREQTVAILVSLGAAAGAVTDPTPEFPLGRPPADLASGNGHKGISGFLAESSLTSFLSNLTMNDQKEAVQTVSDRIATPVFDSDDILSLKDSLTAVCNATQAADRIHQMFRMQSFQRKQLSESGDGVSDEHAISLLTAKARRPLHIDGVAHAAATQIQKKYRGWKKRKEFLLIRQRIVKIQAHVRGHQVRKQYRTIVWSVGILEKVILRWRRKGSGLRGFRRDAITKEPDPQCTPSKEDDYDFLKEGRKQTEERLQKALTRVKSMAQNPEGRGQYRRLLTLVQGIRENKVYLVFLLYKFLLLLKFITLGQSLQVDLSILI >KJB79654 pep chromosome:Graimondii2_0_v6:13:6625467:6634241:-1 gene:B456_013G061100 transcript:KJB79654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSNFDLPFDSKLFEQNAHEFQNTLEEFSGHGVFNDQPLHKNLQMQIMNADSHSVMRTYPDNDMHLDGNVNYALSLKKSLLDGEESLKKVDSFSRWVTKELGEVDNLQMQSSSGIAWSTVECGNVSDDASLSPSLSHDQLFSIVDFSPKWAYIDLETEVLIIGTYLRSQEQVAKYNWSCMFGEVEVSAEVIADGILSCYAPPHSVGQVPFYVTCSNRLACSEVREFDYRAGFTKDINILDIYDIASREMLMRFERLLSLKSSNYPNHHSEGVREKRDLITKIISMREEEECHRIVDPSSDKDVSQHKEKDCLLQKLMKEKLYSWLLHKIMEDGKGPNVLDEKGQGVLHLAAALGYDWAIKPTVTAGVSINFRDANGWTALHWAAFCGREQTVAILVSLGAAAGAVTDPTPEFPLGRPPADLASGNGHKGISGFLAESSLTSFLSNLTMNDQKEAVQTVSDRIATPVFDSDDILSLKDSLTAVCNATQAADRIHQMFRMQSFQRKQLSESGDGVSDEHAISLLTAKARRPLHIDGVAHAAATQIQKKYRGWKKRKEFLLIRQRIVKIQAHVRGHQVRKQYRTIVWSVGILEKVILRWRRKGSGLRGFRRDAITKEPDPQCTPSKEDDYDFLKEGRKQTEERLQKALTRVKSMAQNPEGRGQYRRLLTLVQGIRENKACDMVLSSTEEAGEGEGDGDEDFIDIETLLDDENFMSIAFE >KJB79656 pep chromosome:Graimondii2_0_v6:13:6627931:6634102:-1 gene:B456_013G061100 transcript:KJB79656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRASYSLAPRLDIEQILLEAQHRWLRPAEICEILCNYQKFHITSEPPTRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDKLHCYYAHGEENENFQRRSYWMLEPDLMHIVFVHYLEVKGSRTIGGIRENSDLSNSQTSSLLTSSNSVTHTKEPSAHANSACSITSLTSLYEDADSEDSCQASSRVRTSPQIGNATVMDKLDPGFLNHYSPHPIQGQSSIPGVTEVSHLHGDRTGDTNYGSCISEAQRTLGLTSWEQGLEPYVPVYADAFSNASLTSTQPDTISISLQQETMMKGKLLAVESAGGEFGNPLPTQPHWQTPLADNALELPKWSMDPSSNFDLPFDSKLFEQNAHEFQNTLEEFSGHGVFNDQPLHKNLQMQIMNADSHSVMRTYPDNDMHLDGNVNYALSLKKSLLDGEESLKKVDSFSRWVTKELGEVDNLQMQSSSGIAWSTVECGNVSDDASLSPSLSHDQLFSIVDFSPKWAYIDLETEVLIIGTYLRSQEQVAKYNWSCMFGEVEVSAEVIADGILSCYAPPHSVGQVPFYVTCSNRLACSEVREFDYRAGFTKDINILDIYDIASREMLMRFERLLSLKSSNYPNHHSEGVREKRDLITKIISMREEEECHRIVDPSSDKDVSQHKEKDCLLQKLMKEKLYSWLLHKIMEDGKGPNVLDEKGQGVLHLAAALGYDWAIKPTVTAGVSINFRDANGWTALHWAAFCGRQGPLNLFLLYAPRVFNL >KJB79657 pep chromosome:Graimondii2_0_v6:13:6625467:6634301:-1 gene:B456_013G061100 transcript:KJB79657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRASYSLAPRLDIEQILLEAQHRWLRPAEICEILCNYQKFHITSEPPTRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDKLHCYYAHGEENENFQRRSYWMLEPDLMHIVFVHYLEVKGSRTIGGIRENSDLSNSQTSSLLTSSNSVTHTKEPSAHANSACSITSLTSLYEDADSEDSCQASSRVRTSPQIGNATVMDKLDPGFLNHYSPHPIQGQSSIPGVTEVSHLHGDRTGDTNYGSCISEAQRTLGLTSWEQGLEPYVPVYADAFSNASLTSTQPDTISISLQQETMMKGKLLAVESAGGEFGNPLPTQPHWQTPLADNALELPKWSMDPSSNFDLPFDSKLFEQNAHEFQNTLEEFSGHGVFNDQPLHKNLQMQIMNADSHSVMRTYPDNDMHLDGNVNYALSLKKSLLDGEESLKKVDSFSRWVTKELGEVDNLQMQSSSGIAWSTVECGNVSDDASLSPSLSHDQLFSIVDFSPKWAYIDLETEVLIIGTYLRSQEQVAKYNWSCMFGEVEVSAEVIADGILSCYAPPHSVGQVPFYVTCSNRLACSEVREFDYRAGFTKDINILDIYDIASREMLMRFERLLSLKSSNYPNHHSEGVREKRDLITKIISMREEEECHRIVDPSSDKDVSQHKEKDCLLQKLMKEKLYSWLLHKIMEDGKGPNVLDEKGQGVLHLAAALGYDWAIKPTVTAGVSINFRDANGWTALHWAAFCGREQTVAILVSLGAAAGAVTDPTPEFPLGRPPADLASGNGHKGISGFLAESSLTSFLSNLTMNDQKEAVQTVSDRIATPVFDSDDILSLKDSLTAVCNATQAADRIHQMFRMQSFQRKQLSESGDGVSDEHAISLLTAKARRPLHIDGVAHAAATQIQKKYRGWKKRKEFLLIRQRIVKIQAHVRGHQVRKQYRTIVWSVGILEKVILRWRRKGSGLRGFRRDAITKEPDPQCTPSKEDDYDFLKEGRKQTEERLQKALTRVKSMAQNPEGRGQYRRLLTLVQGIRENKACDMVLSSTEEAGEGEGDGDEDFIDIETLLDDENFMSIAFE >KJB78969 pep chromosome:Graimondii2_0_v6:13:2036417:2039965:-1 gene:B456_013G027400 transcript:KJB78969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSRPAVHPVEAPPLTEAAVHGPRVRMKDVQGMPGTKGGLFLRLSQFVFAIISVSVMATTSDFRSATAFSYLVLAVGLQSLWSLSLAFVDIYALLVKRSLRNYKAIRLFTIGDGITSTLTFAAACASAGITVLIGNDLDKCALNHCTGFETATAMAFISWFAISPSFLMNFWSLASH >KJB78970 pep chromosome:Graimondii2_0_v6:13:2036465:2039665:-1 gene:B456_013G027400 transcript:KJB78970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSRPAVHPVEAPPLTEAAVHGPRVRMKDVQGMPGTKGGLFLRLSQFVFAIISVSVMATTSDFRSATAFSLWSLSLAFVDIYALLVKRSLRNYKAIRLFTIGDGITSTLTFAAACASAGITVLIGNDLDKCALNHCTGFETATAMAFISWFAISPSFLMNFWSLASH >KJB78675 pep chromosome:Graimondii2_0_v6:13:799311:803476:1 gene:B456_013G011700 transcript:KJB78675 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR12 [Source:Projected from Arabidopsis thaliana (AT5G04740) UniProtKB/TrEMBL;Acc:A0A178UNY1] MALSNSLFFPSSYAVDLRRSTVPDSGIAPFFTSFDSSRFRCNFSQCGIRPNFSARKRIVSAANNGFNTVNSTSLGTGQDKDDYIPMPIVLIDQDSDSEATVVQLSFGDRLGALIDTMRALKDLGLDVAKGTVATEGPVKQTKFFITRLDNGRKVEDPDLLERIRLTIINNLLKYHPESSERLAMGEAFGVKAPEKKLDVDIATRIRVKEDGPKRSLLSIETADRPGLLVEIIKIIADINIDVESAEIDTEGLVAKDKFHVSYRGAALNSSLSQVLVNCLRYYLRRPETDIDSY >KJB78677 pep chromosome:Graimondii2_0_v6:13:799311:804199:1 gene:B456_013G011700 transcript:KJB78677 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR12 [Source:Projected from Arabidopsis thaliana (AT5G04740) UniProtKB/TrEMBL;Acc:A0A178UNY1] MALSNSLFFPSSYAVDLRRSTVPDSGIAPFFTSFDSSRFRCNFSQCGIRPNFSARKRIVSAANNGFNTVNSTSLGTGQDKDDYIPMPIVLIDQDSDSEATVVQLSFGDRLGALIDTMRALKDLGLDVAKGTVATEGPVKQTKFFITRLDNGRKVEDPDLLERIRLTIINNLLKYHPESSERLAMGEAFGVKAPEKKLDVDIATRIRVKEDGPKRSLLSIETADRPGLLVEIIKIIADINIDVESAEIDTEGLVAKDKFHVSYRGAALNSSLSQVLVNCLRYYLRRPETDIDSY >KJB78676 pep chromosome:Graimondii2_0_v6:13:799274:804219:1 gene:B456_013G011700 transcript:KJB78676 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR12 [Source:Projected from Arabidopsis thaliana (AT5G04740) UniProtKB/TrEMBL;Acc:A0A178UNY1] MALSNSLFFPSSYAVDLRRSTVPDSGIAPFFTSFDSSRFRCNFSQCGIRPNFSARKRIVSAANNGFNTVNSTSLGTGQDKDDYIPMPIVLIDQDSDSEATVVQLSFGDRLGALIDTMRALKDLGLDVAKGTVATEGPVKQTKFFITRLDNGRKVEDPDLLERIRLTIINNLLKYHPESSERLAMGEAFGVKAPEKKLDVDIATRIRVKEDGPKRSLLSIETADRPGLLVEIIKIIADINIDVESAEIDTEGLVAKDKFHVSYRGAALNSSLSQVLVNCLRYYLRRPETDIDSY >KJB81679 pep chromosome:Graimondii2_0_v6:13:43170100:43172301:1 gene:B456_013G156600 transcript:KJB81679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGIDIGRKKKSAAAKKEGEEGETATEEVKKSNHILRKLEKRQQNRALDPHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGAA >KJB81681 pep chromosome:Graimondii2_0_v6:13:43170106:43172301:1 gene:B456_013G156600 transcript:KJB81681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGIDIVVVCWLVSLHGLVNVAVQMVTSWRAKSWSST >KJB81682 pep chromosome:Graimondii2_0_v6:13:43170398:43172008:1 gene:B456_013G156600 transcript:KJB81682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGIDIGRKKKSAAAKKEGEEGETATEEVKKSNHILRKLEKRQQNRALDPHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGAA >KJB81683 pep chromosome:Graimondii2_0_v6:13:43170106:43172301:1 gene:B456_013G156600 transcript:KJB81683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGIDIGRKKKSAAAKKEGEEGETATEEVKKSNHILRKLEKRQQNRALDPHIEEQFGSGRLLACISSRPGQCGRADGYLHLGGQRAGVLHEEDPEKEGEGSCLKNYCMSFQHSYQNAALRFCLSHFQNI >KJB81680 pep chromosome:Graimondii2_0_v6:13:43170106:43171167:1 gene:B456_013G156600 transcript:KJB81680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGIDIGRKKKSAAAKKEGEVWDYLFVRFTSIITSYADV >KJB80739 pep chromosome:Graimondii2_0_v6:13:27421531:27423394:-1 gene:B456_013G113300 transcript:KJB80739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMENRGSNVRFMVLLGLCCLLISCAAVPAISEGNLKSNKELLPSSARNLLTQDVKKSSEAEEMFGEYLGHEFNEERMLMETTDYPPPGPDPKHDPHAPPPPQP >KJB82255 pep chromosome:Graimondii2_0_v6:13:48625539:48629207:1 gene:B456_013G186600 transcript:KJB82255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNETHHHQHYFTTTTTTTTVTTTPSTTNGLLLHNESGGSHNMLYPHSVPSAVTSPLEPTRRKRGRPRKYGTPEQAMAAKKTASLSSKERREQQQLQQLALGGTSGSLSGSSKKSQFVLGNAGQSFTPHVINVVAGEDVGQKVMMFMQQNKHEICILSASGTISNASLRQPATSGGNIAYEGRFEIISLSGSYVRTEIGGRTGGLSACLLSADGQIIGGGVGGPLKAAGPVQVIVGTFMIDNKKDVSAGAKGDPVGGASVSNVGFRSAFETSGRNPIGGNDDLHHQSFGGSHFMMQPQGMHMTSRPTDWSTGLGDRTGFELTGKTGLGIHQSPQNGEYGHMAD >KJB82256 pep chromosome:Graimondii2_0_v6:13:48625716:48629173:1 gene:B456_013G186600 transcript:KJB82256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNETHHHQHYFTTTTTTTTVTTTPSTTNGLLLHNESGGSHNMLYPHSVPSAVTSPLEPTRRKRGRPRKYGTPEQAMAAKKTASLSSKERREQQQLQQLALGGTSGSLSGSSKKSQFVLGNAGQSFTPHVINVVAGEDVGQKVMMFMQQNKHEICILSASGTISNASLRQPATSGGNIAYEGRFEIISLSGSYVRTEIGGRTGGLSACLLSADGQIIGGGVGGPLKAAGPVQVIVGTFMIDNKKDVSAGAKGDPVGGASVSNVGFRSAFETSGRNPIGGNDDLHHQSFGGSHFMMQPQGMHMTSRPTDWSTGLGDRTGFELTG >KJB82257 pep chromosome:Graimondii2_0_v6:13:48625716:48629173:1 gene:B456_013G186600 transcript:KJB82257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNETHHHQHYFTTTTTTTTVTTTPSTTNGLLLHNESGGSHNMLYPHSVPSAVTSPLEPTRRKRGRPRKYGTPEQAMAAKKTASLSSKERREQQQLQQLALGGTSGSLSGSSKKSQFVLGNAGQSFTPHVINVVAGEDVGQKVMMFMQQNKHEICILSASGTISNASLRQPATSGGNIAYEGRFEIISLSGSYVRTEIGGRTGGLSACLLSADGQIIGGGVGGPLKAAGPVQVIVGTFMIDNKKDVSAGAKGDPVGGASVSNVGFRSAFETSGRNPIGGNDDLHHQSFGGSHFMMQPQGMHMTSRPTDWSTGLGDRTGFELTG >KJB79160 pep chromosome:Graimondii2_0_v6:13:2771241:2773153:1 gene:B456_013G035700 transcript:KJB79160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKDKAPPPSSKPAKSGGGKQKKKWSKGKQKEKVNNMVLFDQATYDKLLSEAPKYKLITPSILSDRMRINGSLARKAIRELMARGLIRLVSAHSSQQIYTRATNT >KJB79159 pep chromosome:Graimondii2_0_v6:13:2771182:2773153:1 gene:B456_013G035700 transcript:KJB79159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEAPKYKLITPSILSDRMRINGSLARKAIRELMARGLIRLVSAHSSQQIYTRATNT >KJB83626 pep chromosome:Graimondii2_0_v6:13:57199742:57209784:-1 gene:B456_013G255600 transcript:KJB83626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDEQVTKMWAKRTPVGKEDVAKLVDDIYRKMQVSGATELASKGQGVSDSSNVKIKGEVEDTFQPDVKVRCPCGSSLESDNIIRCEDPTCQVWQHIGCVIIPEKPTEGNPALPDFFCCELCRLKRADPFWLTIANPLYPVKLAIANIPTDGTNPMQSVEKTFQLTRADKELLAKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGTNGRDDGPIITPCTKDGINKITLTGCDARFFCFGVRIVKRRTVQQILNMIPKENDGECFEDALSRVRRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLEHVIIDPYFNRITSKMRNCGEDITEIEVKPDGSWRAKAKSENERRELGDLALWHTPDSTLCESGSVEDKPTAEISKQIKLEGPSEGHTGLKLGIKKNQNGYWHFSKPEDMNTSSASRLQDRVRHEQKRIPMSSSATGSGKDGEDPSVNQDAGGTYDFTSNGIELDSVPLNVDAAYEFTDPNQSAPAGNAEVIVLSDSDEENEILIFPPTVYKDNHNQNDGGGLGFPVAPPGISHPYSEEPPLGPAANLGLFTTNDEFDIPLWSLPTGTQDGSGFQLFNTDPDVADTLVDLQRSSLNCPSTMNGYPLAPETTIGSTNLVPTSSIGQVDTNLNDSLVDNTFFGGEDPSLQIFLPTHPSGASAESDLRDQADVPNGDDWISLRLGGGATGGHGDSTIVNGLNLRQQVPSKERAMDAASLLLGMNDSRNGKSSRQRTESPFSFPRQKRSVRPRLYLSIDSDTE >KJB83625 pep chromosome:Graimondii2_0_v6:13:57199742:57209803:-1 gene:B456_013G255600 transcript:KJB83625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDEQVTKMWAKRTPVGKEDVAKLVDDIYRKMQVSGATELASKGQGVSDSSNVKIKGEVEDTFQPDVKVRCPCGSSLESDNIIRCEDPTCQVWQHIGCVIIPEKPTEGNPALPDFFCCELCRLKRADPFWLTIANPLYPVKLAIANIPTDGTNPMQSVEKTFQLTRADKELLAKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGTNGRDDGPIITPCTKDGINKITLTGCDARFFCFGVRIVKRRTVQQILNMIPKENDGECFEDALSRVRRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLEHVIIDPYFNRITSKMRNCGEDITEIEVKPDGSWRAKAKSENERRELGDLALWHTPDSTLCESGSVEDKPTAEISKQIKLEGPSEGHTGLKLGIKKNQNGYWHFSKPEDMNTSSASRLQDRVRHEQKRIPMSSSATGSGKDGEDPSVNQDAGGTYDFTSNGIELDSVPLNVDAAYEFTDPNQSAPAGNAEVIVLSDSDEENEILIFPPTVYKDNHNQNDGGGLGFPVAPPGISHPYSEEPPLGPAANLGLFTTNDEFDIPLWSLPTGTQDGSGFQLFNTDPDVADTLVDLQRSSLNCPSTMNGYPLAPETTIGSTNLVPTSSIGQVDTNLNDSLVDNTFFGGEDPSLQIFLPTHPSGASAESDLRDQADVPNGDDWISLRLGGGATGGHGDSTIVNGLNLRQQVPSKERAMDAASLLLGMNDSRNGKSSRQRTESPFSFPRQKRSVRPRLYLSIDSDTE >KJB80271 pep chromosome:Graimondii2_0_v6:13:14071065:14074943:1 gene:B456_013G089400 transcript:KJB80271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESEEHHGSKECSAKTRAFKGINNSPHKHHYYHHHHPWLQYSGHYGFFNQNQFQSYSYYPSLLPLPPPIPLQLALPPPLSQNHTFQPKTHLQQLSISNTKFPVSSMTPAQEGLQWRKSLPSKASDGIKMRNATKEALVVSRRPDSGGVEGPVISLLANHFLVKFDPSLKVYHYNVEISPNPSKEVARMIKRKLVESNSGLLCGAHPAYDGRKNFYSPVEFQNGKLEFFVSLPIPTANTGSPFGEWNGFQQKQNQLKVFRINIRAVSKFDGKDLNSYLSNESDDWIPLPQDYLHALDVVLRESPMEKCICVGRSFYSSSTGGTKEIGGGAIGWRGFFQSLRPTQQGLALNVDSSITAFHESIGVIPYLQKRIDFLRDLTQRKTRSLSDDERKEVEKALRNIRVFVCHRETVQRYRVYGLTEEATENLYFADRDGKNLKLIDYFKDHYNYNIQFRNLPCLQISRSKPCYLPMELCMICEGQKFLGKLSDDQTARILKMGCQRPKERKAMIDGIVRGPAGPTSGNQAEEFKLHVSKEMSRLNGRILQPPKLKLGDGGHVRDITPSRCDRQWNLLNSHVFQGTRIERWALISFGGTQEQKSNLPKFINQLSRRCEQLGIFLSKSTVVSPQFEPTQVLNNVTLLESKLKKIHRAASNNLQLLICIMEKKHKGYADLKRIAETSVGFVSQCCLYPNLGKLSSQFLANLAIKINAKVGGSTVALYNSLPSQIPRLFRLDEPVIFIGADVTHPHPLDDSSPSVAAVVGSMNWPAANKYVSRMRSQTHRQEIIQDLAAMVEELLDDFYQEVNKLPKRIIFFRDGVSETQFYKVLKEELQAVKEACARFPGYKPPITFSVVQKRHHTRLFPFEINPSSNQNQHFDENIPPGTVVDTVITHPREFDFYLCSHWGVKGTSRPTHYHVLWDENRFTSDELQKLVYNLCYTFARCTKPVSLVPPAYYAHLAAYRGRLYLERSESVAFTRSSNIISRVTPPKTTPLPKPSENVKKLMFYC >KJB78696 pep chromosome:Graimondii2_0_v6:13:885517:888039:1 gene:B456_013G013100 transcript:KJB78696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGNNTSGRGIGANNTSSSNSEKPEWLQQYNLLGKIGEGTYGLVFLARIKSATNRGKCIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINHADMSLYLAFDYAEYDLYEIIKHHRDKVNHTMNQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGDGDEHGVVKIADFGLARIYQAPLKPLFENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEAKSTPNPFQLDQLDKIFKILGHPTLEKWPTLASLPHWQSDVQHIQTHKYENAGLHSVVHLSPKSPAFDLLSKMLEYDPRKRITAAQALEHEYFKMEPLPGRNALVPSQPGEKIINYPTRPVDQNTDFEGTASIQQPPQSVSSGNVAGGMGAHLGRNGSVNRPMPPPMQRMPQGIMAYNFPSQAGVSGGINPGGMPMQRNLATQAHQQQQLRRKDPGMGMTGYPPQQKSRRM >KJB81813 pep chromosome:Graimondii2_0_v6:13:44241349:44243217:-1 gene:B456_013G162700 transcript:KJB81813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQQPETVGVLDRINNLPDSILSHILSFLPNKEAVRTSILSTRWKYLFASSISILDFEHCLKNLYEEDVNNFMNFVDTLLFNPRDRVHLESFRVNDYFVDDEDDYLPLYGWISTALSRGLKNIGINFINEKVPVLPTLLFTSHSLVTLTLFLHIKDDMKIPTNACLPNLKNLCLTNLAFEDGYSILKLISSCHVLEDLTIYDCCFHSISELNIHNLLLRRLILCFEHVCVRDYDYVMAIDAPSLVYFEYTGIVGKGYTLSNMKSLVKPEISISHFHEVHSERSATQLLQGTCNVQSLHLAIEAFNETFFRTHLDPKLVFHNLVELEFHSYYCYRKGVRLVEFLHNVPNLKTLILDLADGEEGLRSLPIPSCLLFHVKEIKISGVDGSKYAFEMISYFLNHAMVLEKLILKMINLLGKDELCILKKLLRLPRNSKKCEVAVH >KJB81814 pep chromosome:Graimondii2_0_v6:13:44241862:44243217:-1 gene:B456_013G162700 transcript:KJB81814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQQPETVGVLDRINNLPDSILSHILSFLPNKEAVRTSILSTRWKYLFASSISILDFEHCLKNLYEEDVNNFMNFVDTLLFNPRDRVHLESFRVNDYFVDDEDDYLPLYGWISTALSRGLKNIGINFINEKVPVLPTLLFTSHSLVTLTLFLHIKDDMKIPTNACLPNLKNLCLTNLAFEDGYSILKLISSCHVLEDLTIYDCCFHSISELNIHNLLLRRLILCFEHVCVRDYDYVMAIDAPSLVYFEYTGIVGKGYTLSNMKSLVKPEISISHFHEVHSERSATQLLQGTCNVQSLHLAIEAFNETFFRTHLDPKLVFHNLVELEFHSYYCYRKGVRLVEFLHNVPNLKTLILDLV >KJB79666 pep chromosome:Graimondii2_0_v6:13:6701909:6706750:1 gene:B456_013G061500 transcript:KJB79666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQLFKQRENPKIKFIFHITETAIRVIHIVLHSKKTNPNFFFFFRNLSKTRTIRSAIAEILVKKKKKKDRGNMKDDEEFEMLLDEIPHATSHHHHHHHLQKKHDDNNIHHHVNGSFHGMYGLMYDGTSSHYHKHTCVSPVSGFSLHSDGSSSSLFSNDGQQSLSENGSPTPPALEDLKPHLPNALLMDSAVRNKGGSEGGLIGELGLCRNRSKMYISNDQEKVDPSFRDFVGIQPTVPMSVPLSFNGGFNMAFSNDALGSHQGMSCLNGAMRSPWQKGDSVLNLSTSLSKLSVNDALVHGSPRLIQATPRVSVENLLHHGLPSPSNGMVRAHSNARIPHEGLEAFATEDSFIIQGKGLNYMINKGLDCCSREQNKGSLQEVDANKRQERRSLSSQLDGWSHIAAVCGNVTNAKLYSHFSLPPKCSSLAEARGYVYLIAKDQHGCRFLQRVFDEGSQLDVQIIFKEIIDHVVELMMNPFGNYLMQKLLEVCNEEQRMQILLMVTEEPGQLVRISLNTHGTRVVQKLIETLKTRQQISLVISALEPGFLSLIKDLNGNHVVQRCLQCLSSEDNKFIFVAAAKYCVDIATHQHGCCVLQRCISHSTGEYRDKLVEEISTNGLLLAQDAYGNYVVQFILELKIPSATSTLVSQFQGNYVHLSSQKFSSHVVEKCLVVLNDESRSRIIRELLSATHFERLLQDPHANYVVQTALRVSEGPLHNSLIEAIESRKAISRNSPYSKRIFSQKLLKK >KJB79668 pep chromosome:Graimondii2_0_v6:13:6701933:6706750:1 gene:B456_013G061500 transcript:KJB79668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDEEFEMLLDEIPHATSHHHHHHHLQKKHDDNNIHHHVNGSFHGMYGLMYDGTSSHYHKHTCVSPVSGFSLHSDGSSSSLFSNDGQQSLSENGSPTPPALEDLKPHLPNALLMDSAVRNKGGSEGGLIGELGLCRNRSKMYISNDQEKVDPSFRDFVGIQPTVPMSVPLSFNGGFNMAFSNDALGSHQGMSCLNGAMRSPWQKGDSVLNLSTSLSKLSVNDALVHGSPRLIQATPRVSVENLLHHGLPSPSNGMVRAHSNARIPHEGLEAFATEDSFIIQGKGLNYMINKGLDCCSREQNKGSLQEVDANKRQERRSLSSQLDGWSHIAAVCGNVTNAKLYSHFSLPPKCSSLAEARGYVYLIAKDQHGCRFLQRVFDEGSQLDVQIIFKEIIDHVVELMMNPFGNYLMQKLLEVCNEEQRMQILLMVTEEPGQLVRISLNTHGTRVVQKLIETLKTRQQISLVISALEPGFLSLIKDLNGNHVVQRCLQCLSSEDNKFIFVAAAKYCVDIATHQHGCCVLQRCISHSTGEYRDKLVEEISTNGLLLAQDAYGNYVVQFILELKIPSATSTLVSQFQGNYVHLSSQKFSSHVVEKCLVVLNDESRSRIIRELLSATHFERLLQDPHANYVVQTALRVSEGPLHNSLIEAIESRKAISRNSPYSKRIFSQKLLKK >KJB79667 pep chromosome:Graimondii2_0_v6:13:6702342:6705977:1 gene:B456_013G061500 transcript:KJB79667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDEEFEMLLDEIPHATSHHHHHHHLQKKHDDNNIHHHVNGSFHGMYGLMYDGTSSHYHKHTCVSPVSGFSLHSDGSSSSLFSNDGQQSLSENGSPTPPALEDLKPHLPNALLMDSAVRNKGGSEGGLIGELGLCRNRSKMYISNDQEKVDPSFRDFVGIQPTVPMSVPLSFNGGFNMAFSNDALGSHQGMSCLNGAMRSPWQKGDSVLNLSTSLSKLSVNDALVHGSPRLIQATPRVSVENLLHHGLPSPSNGMVRAHSNARIPHEGLEAFATEDSFIIQGKGLNYMINKGLDCCSREQNKGSLQEVDANKRQERRSLSSQLDGWSHIAAVCGNVTNAKLYSHFSLPPKCSSLAEARGYVYLIAKDQHGCRFLQRVFDEGSQLDVQIIFKEIIDHVVELMMNPFGNYLMQKLLEVCNEEQRMQILLMVTEEPGQLVRISLNTHGTRVVQKLIETLKTRQQISLVISALEPGFLSLIKDLNGNHVVQRCLQCLSSEDNKFIFVAAAKYCVDIATHQHGCCVLQRCISHSTGEYRDKLVEEISTNGLLLAQDAYGNYVVQFILELKIPSATSTLVSQFQGNYVHLSSQKFSSHVVEKCLVVLNDESRSRIIRELLSATHFERLLQDPHANYVVQTALRVSEVLKSITFLLSTKPPIP >KJB81824 pep chromosome:Graimondii2_0_v6:13:44436329:44438904:-1 gene:B456_013G163500 transcript:KJB81824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKENPLCTWSFASAVAFKCGAEHLSSYC >KJB81823 pep chromosome:Graimondii2_0_v6:13:44436329:44438745:-1 gene:B456_013G163500 transcript:KJB81823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB81825 pep chromosome:Graimondii2_0_v6:13:44436715:44438088:-1 gene:B456_013G163500 transcript:KJB81825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB81827 pep chromosome:Graimondii2_0_v6:13:44436715:44438088:-1 gene:B456_013G163500 transcript:KJB81827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB81826 pep chromosome:Graimondii2_0_v6:13:44436329:44438745:-1 gene:B456_013G163500 transcript:KJB81826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >KJB78448 pep chromosome:Graimondii2_0_v6:13:32131:33785:1 gene:B456_013G000600 transcript:KJB78448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALLSFNPLHCLLSTPVSSCTTSLPIAVLPMSRLRLKPINHPQSKCSIGRQIVPNKKRLAMKVQASSASTDSEAQLPEETKKEALPVEQLPLESKMQQMTEQKMKMKLAKKIRLRRKRLVRKRKMRKKGRWPPSKMKKLKNV >KJB78447 pep chromosome:Graimondii2_0_v6:13:32122:34575:1 gene:B456_013G000600 transcript:KJB78447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALLSFNPLHCLLSTPVSSCTTSLPIAVLPMSRLRLKPINHPQSKCSIGRQIVPNKKRLAMKVQASSASTDSEAQLPEETKKEALPVEQLPLESKMQQMTEQKMKMKLAKKIRLRRKRLVRKRKMRKKGRWPPSKMKKLKNV >KJB81913 pep chromosome:Graimondii2_0_v6:13:45177705:45180679:1 gene:B456_013G167400 transcript:KJB81913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNDIIHVSLMFFVVYLLVSSTSNAEGFEIESQDVRRFEFPDNFLFGTAVSSYQVEGGYLEDGKGLSNWDVFTHIPGNIKNNENGDDADDDYHLFLEDAEIAQSLGVNAYRFSISWARILPRGRFGEVNPGGIKFYNKIIDNLLLRGIEPFLTIHHFDLPQELEDRYGAWLSPLMQDDFLLLAETCFKSFGDRVKYWTTINEPNVFAEMSYVRGLYPPAHCSPPFGNCSVGNADIEPLIVVHNMLLAHGKAVKLYRERFQSKQGGSIGLVVQSHMYEPLRDVESDRQAVNRALAFTGGWVLDPLVFGDYPPEMRQYHRSELPRFSSEETEYMKGSIDFIGLNHYSTLYAKDCIHSPCVLGGDHFIRGFTFTTGERDGTLIGEPVIPFLALACLLSYIALGSPCTIYNLVI >KJB81911 pep chromosome:Graimondii2_0_v6:13:45177601:45184139:1 gene:B456_013G167400 transcript:KJB81911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNDIIHVSLMFFVVYLLVSSTSNAEGFEIESQDVRRFEFPDNFLFGTAVSSYQVEGGYLEDGKGLSNWDVFTHIPGNIKNNENGDDADDDYHLFLEDAEIAQSLGVNAYRFSISWARILPRGRFGEVNPGGIKFYNKIIDNLLLRGIEPFLTIHHFDLPQELEDRYGAWLSPLMQDDFLLLAETCFKSFGDRVKYWTTINEPNVFAEMSYVRGLYPPAHCSPPFGNCSVGNADIEPLIVVHNMLLAHGKAVKLYRERFQSKQGGSIGLVVQSHMYEPLRDVESDRQAVNRALAFTGGWVLDPLVFGDYPPEMRQYHRSELPRFSSEETEYMKGSIDFIGLNHYSTLYAKDCIHSPCVLGGDHFIRGFTFTTGERDGTLIGEPTGVERFYVVPRGMEKIVDHVSKRYNNMPIYVTENGYCPPLTEAAPNLLHDVNRVHFYNGYLAALARAIRKGADVRGYFAWSLMDNFEWAGGYSSTFGLYYVDRLTMNRTPKLSAKWFQHFLANRSSARFNRQRFVNPTPKSRRVRKSKYIVIATTNANATDV >KJB81915 pep chromosome:Graimondii2_0_v6:13:45177705:45184096:1 gene:B456_013G167400 transcript:KJB81915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNDIIHVSLMFFVVYLLVSSTSNAEGFEIESQDVRRFEFPDNFLFGTAVSSYQVEGGYLEDGKGLSNWDVFTHIPGNIKNNENGDDADDDYHLFLEDAEIAQSLGVNAYRFSISWARILPRGRFGEVNPGGIKFYNKIIDNLLLREMSYVRGLYPPAHCSPPFGNCSVGNADIEPLIVVHNMLLAHGKAVKLYRERFQSKQGGSIGLVVQSHMYEPLRDVESDRQAVNRALAFTGGWVLDPLVFGDYPPEMRQYHRSELPRFSSEETEYMKGSIDFIGLNHYSTLYAKDCIHSPCVLGGDHFIRGFTFTTGERDGTLIGEPTGVERFYVVPRGMEKIVDHVSKRYNNMPIYVTENGYCPPLTEAAPNLLHDVNRVHFYNGYLAALARAIRKGADVRGYFAWSLMDNFEWAGGYSSTFGLYYVDRLTMNRTPKLSAKWFQHFLANRSSARFNRQRFVNPTPKSRRVRKSKYIVIATTNANATDV >KJB81914 pep chromosome:Graimondii2_0_v6:13:45177705:45181181:1 gene:B456_013G167400 transcript:KJB81914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNDIIHVSLMFFVVYLLVSSTSNAEGFEIESQDVRRFEFPDNFLFGTAVSSYQVEGGYLEDGKGLSNWDVFTHIPGNIKNNENGDDADDDYHLFLEDAEIAQSLGVNAYRFSISWARILPRGRFGEVNPGGIKFYNKIIDNLLLRGIEPFLTIHHFDLPQELEDRYGAWLSPLMQDDFLLLAETCFKSFGDRVKYWTTINEPNVFAEMSYVRGLYPPAHCSPPFGNCSVGNADIEPLIVVHNMLLAHGKAVKLYRERFQSKQGGSIGLVVQSHMYEPLRDVESDRQAVNRALAFTGGWVLDPLVFGDYPPEMRQYHRSELPRFSSEETEYMKGSIDFIGLNHYSTLYAKDCIHSPCVLGGDHFIRGFTFTTGERDGTLIGEPTGVERFYVVPRGMEKIVDHVSKRYNNMPIYVTENGKLQSYILSMAVPLNLEITVLNLG >KJB81912 pep chromosome:Graimondii2_0_v6:13:45177705:45179357:1 gene:B456_013G167400 transcript:KJB81912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNDIIHVSLMFFVVYLLVSSTSNAEGFEIESQDVRRFEFPDNFLFGTAVSSYQVEGGYLEDGKGLSNWDVFTHIPGNIKNNENGDDADDDYHLFLEDAEIAQSLGVNAYRFSISWARILPRGRFGEVNPGGIKFYNKIIDNLLLRGIEPFLTIHHFDLPQELEDRYGAWLSPLMQDDFLLLAETCFKSFGDRVKYWTTINEPNVFAEMSYVRGLYPPAHCSPPFGNCSVGNADIEPLIVVHNMLLAHGKAVKLYRERFQSKQGGSIGLVVQSHMYEPLRDVESDRQAVNRALAFTGGW >KJB81916 pep chromosome:Graimondii2_0_v6:13:45177705:45184096:1 gene:B456_013G167400 transcript:KJB81916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVLRLKAKMLEDLNSQITFCLVEGGYLEDGKGLSNWDVFTHIPGNIKNNENGDDADDDYHLFLEDAEIAQSLGVNAYRFSISWARILPRGRFGEVNPGGIKFYNKIIDNLLLRGIEPFLTIHHFDLPQELEDRYGAWLSPLMQDDFLLLAETCFKSFGDRVKYWTTINEPNVFAEMSYVRGLYPPAHCSPPFGNCSVGNADIEPLIVVHNMLLAHGKAVKLYRERFQSKQGGSIGLVVQSHMYEPLRDVESDRQAVNRALAFTGGWVLDPLVFGDYPPEMRQYHRSELPRFSSEETEYMKGSIDFIGLNHYSTLYAKDCIHSPCVLGGDHFIRGFTFTTGERDGTLIGEPTGVERFYVVPRGMEKIVDHVSKRYNNMPIYVTENGYCPPLTEAAPNLLHDVNRVHFYNGYLAALARAIRKGADVRGYFAWSLMDNFEWAGGYSSTFGLYYVDRLTMNRTPKLSAKWFQHFLANRSSARFNRQRFVNPTPKSRRVRKSKYIVIATTNANATDV >KJB82777 pep chromosome:Graimondii2_0_v6:13:52622095:52625196:1 gene:B456_013G212400 transcript:KJB82777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPIEPSTSTSDPNSNSTPTPTILLHPRREPFEHGLLPIQKLIFTDPVQALTQLKEKLSSSFALRVGSAALADALQISSDHARLILDTLSSVLHSESDPLVTARPEDVDSIGADLRDLVLFLYIQSYKRLLPRSHKDSAAVADVWPSTSAFDGYLSALSPLQLVRSNTRRFMPSQADEEAHQLSYLQKHLANILSLLSEHVEGEGKESMVLTIEGFEHLGFLIHFGDKGSEGVPLSQAAPFFANSDPDMPAVPVLASQVHDWLLEIIAASLEHVSEKNSAKESGSPSSSDQDIAMSDASPSSAKASQSARSSFFIEGVSKSSYVKQASDLKNSSVKVSLYLHWDLVRPGNIRVIAV >KJB82778 pep chromosome:Graimondii2_0_v6:13:52622095:52627459:1 gene:B456_013G212400 transcript:KJB82778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPIEPSTSTSDPNSNSTPTPTILLHPRREPFEHGLLPIQKLIFTDPVQALTQLKEKLSSSFALRVGSAALADALQISSDHARLILDTLSSVLHSESDPLVTARPEDVDSIGADLRDLVLFLYIQSYKRLLPRSHKDSAAVADVWPSTSAFDGYLSALSPLQLVRSNTRRFMPSQADEEAHQLSYLQKHLANILSLLSEHVEGEGKESMVLTIEGFEHLGFLIHFGDKGSEGVPLSQAAPFFANSDPDMPAVPVLASQVHDWLLEIIAASLEHVSEKNSAKESGSPSSSDQDIAMSDASPSSAKASQSARSSFFIEGVSKSSYVKQASDLKNSSVKVINCHDSVIYVLAPLRYATIYGCSDATIVLGAVGKAVRVEHCERVHVILASKRVCIVNCRECIFFLGVNQRPLIVGDNHKLQVSDPQASSFVNATRI >KJB82781 pep chromosome:Graimondii2_0_v6:13:52623097:52631706:1 gene:B456_013G212400 transcript:KJB82781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQADEEAHQLSYLQKHLANILSLLSEHVEGEGKESMVLTIEGFEHLGFLIHFGDKGSEGVPLSQAAPFFANSDPDMPAVPVLASQVHDWLLEIIAASLEHVSEKNSAKESGSPSSSDQDIAMSDASPSSAKASQSARSSFFIEGVSKSSYVKQASDLKNSSVKVINCHDSVIYVLAPLRYATIYGCSDATIVLGAVGKAVRVEHCERVHVILASKRVCIVNCRECIFFLGVNQRPLIVGDNHKLQVAPYNTFYSQLEEHMTEVGIDATINRWHEPLALGVIDPHDSLSHPAGVADAQTESAACLDPDQFTNFLIPNWFEGEPTKSTRNNPFPLPDPYFTSQQRNQKNLGEIQQILREAPLEENRKRELSCALHVYFKDWLYASGNIRQLYCLLGD >KJB82780 pep chromosome:Graimondii2_0_v6:13:52622095:52631706:1 gene:B456_013G212400 transcript:KJB82780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPIEPSTSTSDPNSNSTPTPTILLHPRREPFEHGLLPIQKLIFTDPVQALTQLKEKLSSSFALRVGSAALADALQISSDHARLILDTLSSVLHSESDPLVTARPEDVDSIGADLRDLVLFLYIQSYKRLLPRSHKDSAAVADVWPSTSAFDGYLSALSPLQLVRSNTRRFMPSQADEEAHQLSYLQKHLANILSLLSEHVEGEGKESMVLTIEGFEHLGFLIHFGDKGSEGVPLSQAAPFFANSDPDMPAVPVLASQVHDWLLEIIAASLEHVSEKNSAKESGSPSSSDQDIAMSDASPSSAKASQSARSSFFIEGVSKSSYVKQASDLKNSSVKAVRVEHCERVHVILASKRVCIVNCRECIFFLGVNQRPLIVGDNHKLQVAPYNTFYSQLEEHMTEVGIDATINRWHEPLALGVIDPHDSLSHPAGVADAQTESAACLDPDQFTNFLIPNWFEGEPTKSTRNNPFPLPDPYFTSQQRNQKNLGEIQQILREAPLEENRKRELSCALHVYFKDWLYASGNIRQLYCLLGD >KJB82776 pep chromosome:Graimondii2_0_v6:13:52621999:52631856:1 gene:B456_013G212400 transcript:KJB82776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPIEPSTSTSDPNSNSTPTPTILLHPRREPFEHGLLPIQKLIFTDPVQALTQLKEKLSSSFALRVGSAALADALQISSDHARLILDTLSSVLHSESDPLVTARPEDVDSIGADLRDLVLFLYIQSYKRLLPRSHKDSAAVADVWPSTSAFDGYLSALSPLQLVRSNTRRFMPSQADEEAHQLSYLQKHLANILSLLSEHVEGEGKESMVLTIEGFEHLGFLIHFGDKGSEGVPLSQAAPFFANSDPDMPAVPVLASQVHDWLLEIIAASLEHVSEKNSAKESGSPSSSDQDIAMSDASPSSAKASQSARSSFFIEGVSKSSYVKQASDLKNSSVKVINCHDSVIYVLAPLRYATIYGCSDATIVLGAVGKAVRVEHCERVHVILASKRVCIVNCRECIFFLGVNQRPLIVGDNHKLQVAPYNTFYSQLEEHMTEVGIDATINRWHEPLALGVIDPHDSLSHPAGVADAQTESAACLDPDQFTNFLIPNWFEGEPTKSTRNNPFPLPDPYFTSQQRNQKNLGEIQQILREAPLEENRKRELSCALHVYFKDWLYASGNIRQLYCLLGD >KJB82779 pep chromosome:Graimondii2_0_v6:13:52622095:52631706:1 gene:B456_013G212400 transcript:KJB82779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPIEPSTSTSDPNSNSTPTPTILLHPRREPFEHGLLPIQKLIFTDPVQALTQLKEKLSSSFALRVGSAALADALQISSDHARLILDTLSSVLHSESDPLVTARPEDVDSIGADLRDLVLFLYIQSYKRLLPRSHKDSAAVADVWPSTSAFDGYLSALSPLQLVRSNTRRFMPSQADEEAHQLSYLQKHLANILSLLSEHVEGEGKESMVLTIEGFEHLGFLIHFGDKGSEGVPLSQAAPFFANSDPDMPAVPVLASQVHDWLLEIIAASLEHVSEKNSAKESGSPSSSDQDIAMSDASPSSAKASQSARSSFFIEGVSKSSYVKQASDLKNSSVKVINCHDSVIYVLAPLRYATIYGCSDATIVLGAVGKAVRVEHCERVHVILASKRVCIVNCRECIFFLGVNQRPLIVGDNHKLQVAPYNTFYSQLEEHMTEVGIDATINRWHEPLALGVIDPHDSLSHPAGVADAQTESAACLDPDQFTNFLIPNWFEGEPTKSTRNNPFPLPDPYFTSQQRNQKNLGEIQQILREAPLEENRKRELSCALHVYFKDWLYASGNIRQLYCLLGD >KJB78909 pep chromosome:Graimondii2_0_v6:13:2141205:2142758:1 gene:B456_013G028600 transcript:KJB78909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSDSDPPLLNHYGTLLCHSTQWDEPQTRVTMEECQLPLIDLCDLGSDDEMVRRACAAAICKASSEWGFFQVVNHGISSQLVDKMRCEQVKLFRAPFETKATSGLLNNSYRWGSPRATCPNQFSWSEAFHVPLTKVSDEACYGDFKSLREVMTCFAAAMSELSRLLAGILTENLGNWKQASISNICDETTCFLRLNHYPACPISPEIFGLVPHTDSDFLTILCQDQVGGLQLMKDSKWVTVKPNKDALIVNIGDLFQAWSNDVYKSVEHKGIQRTSSRRCQKNRVQGWPSQVST >KJB78910 pep chromosome:Graimondii2_0_v6:13:2141205:2142758:1 gene:B456_013G028600 transcript:KJB78910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSDSDPPLLNHYGTLLCHSTQWDEPQTRVTMEECQLPLIDLCDLGSDDEMVRRACAAAICKASSEWGFFQVVNHGISSQLVDKMRCEQVKLFRAPFETKATSGLLNNSYRWGSPRATCPNQFSWSEAFHVPLTKVSDEACYGDFKSLREVMTCFAAAMSELSRLLAGILTENLGNWKQASISNICDETTCFLRLNHYPACPISPEIFGLVPHTDSDFLTILCQDQVGGLQLMKDSKWVTVKPNKDALIVNIGDLFQAWSNDVYKSVEHKVVSNATTDRYSIAYFLCPSYDSLIESFVKPSIYRRFTFREYREQVQEDVRKTGYKVGLPRFLLKGSEDLTTTTTESNTRLYIPSITAKS >KJB78908 pep chromosome:Graimondii2_0_v6:13:2141205:2142204:1 gene:B456_013G028600 transcript:KJB78908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSDSDPPLLNHYGTLLCHSTQWDEPQTRVTMEECQLPLIDLCDLGSDDEMVRRACAAAICKASSEWGFFQVVNHGISSQLVDKMRCEQVKLFRAPFETKATSGLLNNSYRWGSPRATCPNQFSWSEAFHVPLTKVSDEACYGDFKSLREVMTCFAAAMSELSRLLAGILTENLGNWKQASISNICDETTCFLRLNHYPACPISPEIFGLVPHTDSDFLTILCQDQVGGLQLMKDSKWVTVKPNKDALIVNIGDLFQVQTNPNFFSFTVNILLI >KJB79949 pep chromosome:Graimondii2_0_v6:13:8924263:8926551:1 gene:B456_013G074000 transcript:KJB79949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLAATGRRATTLSRSPALSQAASLIPRRGLAGAADHHGPPKVNCWQDPMNPSKWKEEHFVIVSLSGWGLLFFSGYKFFTKGKGKKEENMVETTH >KJB79948 pep chromosome:Graimondii2_0_v6:13:8924219:8926583:1 gene:B456_013G074000 transcript:KJB79948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLAATGRRATTLSRSPALSQAASLIPRRGLAGAADHHGPPKVNCWQDPMNPSKWKEEHFVIVSLSGWGLLFFSGYKFFTKGKGKKEENMVETTH >KJB79950 pep chromosome:Graimondii2_0_v6:13:8924263:8926723:1 gene:B456_013G074000 transcript:KJB79950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLAATGRRATTLSRSPALSQAASLIPRRGLAGAADHHGPPKVNCWQDPMNPSKWKEEHFVIVSLSGWGLLFFSGYKFFTKGKGKKEEVLLY >KJB78655 pep chromosome:Graimondii2_0_v6:13:705417:708507:1 gene:B456_013G010600 transcript:KJB78655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKSQAEKQATMASCRKKKNEEATFLEDLKDHIDEFVNASMDEHKTCFKKTIQKMFGMSKIVAERNAAESKETTVSK >KJB78659 pep chromosome:Graimondii2_0_v6:13:705417:708507:1 gene:B456_013G010600 transcript:KJB78659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKSQAEKQATMASCRKKKNEEATFLEDLKDHIDEFVNASMDEHKTCFKKTIQKMFGMSKIVAERNAAESKETTVSK >KJB78660 pep chromosome:Graimondii2_0_v6:13:705417:708503:1 gene:B456_013G010600 transcript:KJB78660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKSQAEKQATMASCRKKKNEEATFLEDLKDHIDEFVNASMDEHKTCFKKTIQKMFGMSKIVAERNAAESKETTVSK >KJB78656 pep chromosome:Graimondii2_0_v6:13:705417:708503:1 gene:B456_013G010600 transcript:KJB78656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKSQAEKQATMASCRKKKNEEATFLEDLKDHIDEFVNASMDEHKTCFKKTIQKMFGMSKIVAERNAAESKETTVSK >KJB78658 pep chromosome:Graimondii2_0_v6:13:705447:708435:1 gene:B456_013G010600 transcript:KJB78658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKSQAEKQATMASCRKKKNEEATFLEDLKDHIDEFVNASMDEHKTCFKKTIQKMFGMSKIVAERNAAESKETTVSK >KJB78657 pep chromosome:Graimondii2_0_v6:13:705677:708435:1 gene:B456_013G010600 transcript:KJB78657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKSQAEKQATMASCRKKKNEEATFLEDLKDHIDEFVNASMDEHKTCFKKTIQKMFGMSKIVAERNAAESKETTVSK >KJB78661 pep chromosome:Graimondii2_0_v6:13:707372:708435:1 gene:B456_013G010600 transcript:KJB78661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIYCYYVSYRGLTIKRERMETQKSQAEKQATMASCRKKKNEEATFLEDLKDHIDEFVNASMDEHKTCFKKTIQKMFGMSKIVAERNAAESKETTVSK >KJB82457 pep chromosome:Graimondii2_0_v6:13:50105704:50107519:1 gene:B456_013G196600 transcript:KJB82457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVKALLDTITFLVFLFFDFVDVVLCVAYELLDELFEAKPFPCYCGKKLETKELSETLFWRKNVFREMGFLSFGRKASEAVKKRDDGTRGEVVNRWSDCGCDSCVSWMKKSNQKLHVVVKEFPQVNSEDGPREEATTENVIFLHGFLSSSSYWTRSVFKYLSEPLNHQIYRYFAVDLLGFGKSPKPNDCLYTLNDHVEMIHRSVISAYELSSFHLVAHSMGCNIALALAAKYPKFVKSVTLVAPPYFSDSNDETSSSMALNTVARKTLWPPLAFGKSVMTWYEHLGRFFCFLICKNHTTWERIFKLFTQTRELNFMVVDLTRHTPSIQHGIACTM >KJB83700 pep chromosome:Graimondii2_0_v6:13:57450040:57457458:1 gene:B456_013G259300 transcript:KJB83700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPNPPSMRVLTRPPPSPVPTSSPSSSSSNPPPPTPSFPRSLDGVVVVGFVSRRPDDSSQLINRVVDSNVFGSGQMDRVLSIDKDELKDWFKYRRISYFHEEDKGILFLQFSSNGCPVFDGSLSSGSDFDGVLEEREFGDLQGLLFMFSVCHIIIYIQEGSCFDTQNLKKFRVLQAAKHALIPYVKSQTTPPLPSRPHSSSSSRPLTTATAANTSPGRSGGMLGRNASAISVMLGLGSHTSLFPGQCTPVMLFVFVDDFSDVPNSSANSSEESVKAPSLNHASSSSSLAKPALPMKGSASVVMLARPASKSEGGFRKKLQSSLEAQIRFLIKKCRTLSGSEGSHGGSRSGSVSNSAPLFSLDASRAVVLLDKSTCKRRESLEFAIGLVEDVLNGKATSDSFLLETHSQSSNKEDLSSLKEFIYRQSDILRGRGGLVANTNSGPAAGVGMVAVAAAAAAASTASAASAKTLTTPELPTLDIWLSSSQLILHGLLSAKRRCIDETEIGKRKPRRGTIAGQSEGLASRSSESLDIAVSWLESGKGLNTKFSSLWCERALPAAKDIYLKDLPACYPTSQHEAHLQKALHAFHSMVRGPAVELFAKKLEEECTSMWESGRQLCDAVSLTGKPCLHQRHDLQTDELPSGTLTKRHSSGYVFLHACACGRTRRLRSDPFDFESANITSNCFPDCDKLLLALQLPEVSSKGPIQSYSWSLIRIGSSRYYEPSKGLLQSGFSATEKFLLKWKILLEKQKTPNGLSTRTMQLGSVGRSSSDSKPEFNADAQLKKASSTEFCSGEIETAVENPRKPLEISKFNGNKISFGRGLPNFTMKKPFSEVVAGSAATDSGFPPLQQRKQPLSGSEKGIKKNKASALSLEGAHATVAQGSQKPVKMSVMQNMNQVSSDGSTAADSDPFLRIGSNFVPVNVSNDEKAKPNSDTKYVMAYVGFEHECPHGHRFLLNPEHLNQLGPSYSLFEESHTACSVEASDHTLADSSKLRKNGGQGKVNLNTNGVIAAATPVNKVKNKDEAKKVVANSNVFKDGLTQLSMPEDHNKTPVNAAGVPVAGKDLEKGFHAVSLDDSGSAFSMLNRDLPIYLKCPHCRSSRNKKEPPKVKFAGTISQLQRIFVVTPPFPVVLATCPVIQFKASCLPATVPDREQKLQFSLGCKVLLPPESFLILRLPFMYGVELDDKSVHSLNPFEDKPEVTGWVVMGTTLQLMSKGSGLNEGFYK >KJB83699 pep chromosome:Graimondii2_0_v6:13:57450040:57457458:1 gene:B456_013G259300 transcript:KJB83699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPNPPSMRVLTRPPPSPVPTSSPSSSSSNPPPPTPSFPRSLDGVVVVGFVSRRPDDSSQLINRVVDSNVFGSGQMDRVLSIDKDELKDWFKYRRISYFHEEDKGILFLQFSSNGCPVFDGSLSSGSDFDGVLEEREFGDLQGLLFMFSVCHIIIYIQEGSCFDTQNLKKFRVLQAAKHALIPYVKSQTTPPLPSRPHSSSSSRPLTTATAANTSPGRSGGMLGRNASAISVMLGLGSHTSLFPGQCTPVMLFVFVDDFSDVPNSSANSSEESVKAPSLNHASSSSSLAKPALPMKGSASVVMLARPASKSEGGFRKKLQSSLEAQIRFLIKKCRTLSGSEGSHGGSRSGSVSNSAPLFSLDASRAVVLLDKSTCKRRESLEFAIGLVEDVLNGKATSDSFLLETHSQSSNKEDLSSLKEFIYRQSDILRGRGGLVANTNSGPAAGVGMVAVAAAAAAASTASAASAKTLTTPELPTLDIWLSSSQLILHGLLSAKRRCIDETEIGKRKPRRGTIAGQSEGLASRSSESLDIAVSWLESGKGLNTKFSSLWCERALPAAKDIYLKDLPACYPTSQHEAHLQKALHAFHSMVRGPAVELFAKKLEEECTSMWESGRQLCDAVSLTGKPCLHQRHDLQTDELPSGTLTKRHSSGYVFLHACACGRTRRLRSDPFDFESANITSNCFPDCDKLLLALQLPEVSSKGPIQSYSWSLIRIGSSRYYEPSKGLLQSGFSATEKFLLKWKILLEKQKTPNGLSTRTMQLGSVGRSSSDSKPEFNADAQLKKASSTEFCSGEIETAVENPRKPLEISKFNGNKISFGRGLPNFTMKKPFSEVVAGSAATDSGFPPLQQRKQPLSGSEKGIKKNKASALSLEGAHATVAQGSQKPVKMSVMQNMNQVSSDGSTAADSDPFLRIGSNFVPVNVSNDEKAKPNSDTKYVMAYVGFEHECPHGHRFLLNPEHLNQLGPSYSLFEESHTACSVEASDHTLADSSKLRKNGGQGKVNLNTNGVIAAATPVNKVKNKDEAKKVVANSNVFKDGLTQLSMPEDHNKTPVNAAGVPVAGKDLEKGFHAVSLDDSGSAFSMLNRDLPIYLKCPHCRSSRNKKEPPKVKFAGTISQLQRIFVVTPPFPVVLATCPVIQFKASCLPATVPDREQKLQFSLGCKVLLPPESFLILRLPFMYGVELDDKSVHSLNPFEDKPEVTGWVVMGTTLQLMSKGSGLNEGFYK >KJB83077 pep chromosome:Graimondii2_0_v6:13:55153735:55156728:1 gene:B456_013G233000 transcript:KJB83077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGPSVGPGGRTARRALEFGRTYVVRPKGRHQATIVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPISIFGGFPSTAWFNVGELSEDAPDDIEGLDAAAAHVANLLAAEPADIKLGVGGFSMGAATSLYSATCFTHGKYGNGNTYPANLSAVVGLSGWLPCSKTLKRKIEGNNEAAGRAESLPILLCHGKGI >KJB83076 pep chromosome:Graimondii2_0_v6:13:55153770:55158067:1 gene:B456_013G233000 transcript:KJB83076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGPSVGPGGRTARRALEFGRTYVVRPKGRHQATIVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPISIFGGFPSTAWFNVGELSEDAPDDIEGLDAAAAHVANLLAAEPADIKLGVGGFSMGAATSLYSATCFTHGKYGNGNTYPANLSAVVGLSGWLPCSKTLKRKIEGNNEAAGRAESLPILLCHGKGDDVVPYKFGEKSSRALTSNGFKDMTFKSYNGLGHYTIPEEMEEVCAWLTSKLGLDGRST >KJB83078 pep chromosome:Graimondii2_0_v6:13:55153925:55158082:1 gene:B456_013G233000 transcript:KJB83078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGPSVGPGGRTARRALEFGRTYVVRPKGRHQATIVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPISIFGGFPSTAWFNVGELSEDAPDDIEGLDAAAAHVANLLAAEPADIKLGVGGFSMGAATSLYSATCFTHGKYGNGNTYPANLSAVVGLSGWLPCSKTLKRKIEGNNEAAGRAESLPILLCHGKGDDVVPYKFGEKSSRALTSNGFKDMTFKSYNGLGHYTIPEEMEEVCAWLTSKLGLDGRST >KJB83079 pep chromosome:Graimondii2_0_v6:13:55153733:55158082:1 gene:B456_013G233000 transcript:KJB83079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGPSVGPGGRTARRALEFGRTYVVRPKGRHQATIVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPISIFGGFPSTAWFNVGELSEDAPDDIEGLDAAAAHVANLLAAEPADIKLGVGGFSMGAATSLYSATCFTHGKYGNGNTYPANLSAVVGLSGWLPCSKTLKRKIEGNNEAAGRAESLPILLCHGKGDDVVPYKFGEKSSRALTSNGFKDMTFKSYNGLGHYTIPEEMEEVCAWLTSKLGLDGRST >KJB80219 pep chromosome:Graimondii2_0_v6:13:13221757:13228959:-1 gene:B456_013G087000 transcript:KJB80219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLVRLRYSPSFQLQPLASLKTNQKSRSAAAIPSKQLSLAPSFCSCCGRRHFIEAATAAFLPSSPSKSNASTSDSDHYLDLLNRIHPPRPDWYEEFYASVMDTSMKPYEAKIAGYKSQLFEKLKGKAKRVLEIGIGTGPNLEYYAGDNEVQVFGLDPNKKMEKYARAAATAVGLPSKNFHFIEAVAEAIPIDDSSVDAVVGTLVLCSVQDVNMALTEVKRVLKPGGLFLFIEHVAAEDGTSLRLWQTLVDPLQQAVADGCHLTRETGKYISSSGFSSLELSMASLYNAPFSLITPHVYGVACLQKIAKTGSLCCVAARPHGSNAASRDWSMGPHEPYWQTNTSFSPPPSRWDFHFQPDGPSYSSHDGSQLYESSTSPNSKESRGWMRRNFLYNHQYSTSDGAAPFLSSPSDLSQGPQWTPPVIQEITLDDYETAMARDHVGGQAPFASLVEGTSTNADSGVSTSSYSDSSESEPMVKQCLSSHRNISSRYCFMSKAIHPLSFPMETPTTGVLDSAVAGLSDDTATPQRDGHRWSSASSSNDVADISEPFESVIFGRSCVPSNGFKCGLCERFLSQRSPWSARRIVRSNDMPVAGVLSCGHTFHAECLEQTTQKARISDPPCPVCTKLEEQNSPENRVFSRLRNSISRLRSFSEDGPSRTWSCAQVGDCVQGALHPPQRSTMLLLNQSRMKKNLFVKVNSSKEFPGKLRKSSSPSLQLFSGKSIDQGAVGCSKTIAGPSGKR >KJB79907 pep chromosome:Graimondii2_0_v6:13:8363972:8366242:1 gene:B456_013G071400 transcript:KJB79907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLLILFTVSLCLCLFVALLYVLYKYWWVPHRVQFIMNSQGIRGPPYEFIHGNNKEALQMQKEASSKPMALTHDIFPRVLPHDYSCIKKYGKNYLSWKGVRAELVITDPELVKEVLKNSEKAFSKPKTSYFFKKLLGDSIPTIKSEKWARHRKLANHAFHGESLKNMIPAMIASVGTILEKWKDKEGKEMEVFQEFRFLTSEVISRTAFGSSYLEGEKIFDMLTKFTLIIGRNFYKAKFPIIGKFWKSADEIESDKLEKMIHDSVMKIVKQREEKVMTGEVDSFGHDFLGLLVNAYHDPDEKNMFLIQDIIDECKTFYFAGQETTNSLLAWTTLLLAIYTDWQDKTRAEVIEVFGNQNPNSEGITKLKTMTMIINETLRLYPPLNGVVRKAVRDIQLGQLVLPNYLDLNIRFIALHHDPDLWGDDVHLFKPERFTEGIAKATNNNAAAFMPFGLGPRTCVGMNFAITETKIALSMILQRYTFTLSPTYVHAPLPNLSLKPQHGLHVLFHSLH >KJB81602 pep chromosome:Graimondii2_0_v6:13:41354513:41359224:1 gene:B456_013G151500 transcript:KJB81602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTHQEAIKQFLSLMGNVDEKLNDTFQNMHHGYPTETLARFLKARDWDVQKAYKMLIDCLQWRIQNEIDNILSKPIIPADLYRAVRDSQLVGLSGYSKEGLPVIAIGVGLSTYDKASVNYYVQSHIQMNEYRDRVVLPAATQKYGKHISTCLKVLDMTGLKLSALNQIKLLTTISTIDDLNYPEKTETYYIVNAPYIFSACWKAVKPLLQERTKRKIQVLQGCGRDELLKMHFPFLFLVQNLSPGIGKGAAKCWDLNL >KJB81603 pep chromosome:Graimondii2_0_v6:13:41354513:41359224:1 gene:B456_013G151500 transcript:KJB81603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHGYPTETLARFLKARDWDVQKAYKMLIDCLQWRIQNEIDNILSKPIIPADLYRAVRDSQLVGLSGYSKEGLPVIAIGVGLSTYDKASVNYYVQSHIQMNEYRDRVVLPAATQKYGKHISTCLKVLDMTGLKLSALNQIKLLTTISTIDDLNYPEKTETYYIVNAPYIFSACWKAVKPLLQERTKRKIQVLQGCGRDELLKVMDYSSLPHFCRKEGSGSSRNRSNGTIDNCFSLDHSYHQQLYNYIRHQANLIESGRPIKQGSVHVEFPEPDPEDTKIAKTIESEFQRLADQNGICNSLNGLKVNGD >KJB81601 pep chromosome:Graimondii2_0_v6:13:41354788:41357943:1 gene:B456_013G151500 transcript:KJB81601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTHQEAIKQFLSLMGNVDEKLNDTFQNMHHGYPTETLARFLKARDWDVQKAYKMLIDCLQWRIQNEIDNILSKPIIPADLYRAVRDSQLVGLSGYSKEGLPVIAIGVGLSTYDKASVNYYVQSHIQMNEYRDRVVLPAATQKYGKHISTCLKVLDMTGLKLSALNQIKLLTTISTIDDLNYPEKTETYYIVNAPYIFSACWKAVKPLLQERTKRKIQVLQGCGRDELLKVRKTHPCMFVRLF >KJB81600 pep chromosome:Graimondii2_0_v6:13:41354471:41359281:1 gene:B456_013G151500 transcript:KJB81600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTHQEAIKQFLSLMGNVDEKLNDTFQNMHHGYPTETLARFLKARDWDVQKAYKMLIDCLQWRIQNEIDNILSKPIIPADLYRAVRDSQLVGLSGYSKEGLPVIAIGVGLSTYDKASVNYYVQSHIQMNEYRDRVVLPAATQKYGKHISTCLKVLDMTGLKLSALNQIKLLTTISTIDDLNYPEKTETYYIVNAPYIFSACWKAVKPLLQERTKRKIQVLQGCGRDELLKVMDYSSLPHFCRKEGSGSSRNRSNGTIDNCFSLDHSYHQQLYNYIRHQANLIESGRPIKQGSVHVEFPEPDPEDTKIAKTIESEFQRLADQNGICNSLNGLKVNGD >KJB81604 pep chromosome:Graimondii2_0_v6:13:41354513:41359224:1 gene:B456_013G151500 transcript:KJB81604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHGYPTETLARFLKARDWDVQKAYKMLIDCLQWRIQNEIDNILSKPIIPADLYRAVRDSQLVGLSGYSKEGLPVIAIGVGLSTYDKASVNYYVQSHIQMNEYRDRVVLPAATQKYGKHISTCLKVLDMTGLKLSALNQIKLLTTISTIDDLNYPEKTETYYIVNAPYIFSACWKAVKPLLQERTKRKIQVLQGCGRDELLKVMDYSSLPHFCRKEGSGSSRNRSNGTIDNCFSLDHSYHQQLYNYIRHQANLIESGRPIKQGSVHVEFPEPDPEDTKIAKTIESEFQRLADQNGICNSLNGLKVNGD >KJB83477 pep chromosome:Graimondii2_0_v6:13:56772744:56782156:1 gene:B456_013G249800 transcript:KJB83477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 4 [Source:Projected from Arabidopsis thaliana (AT1G18450) UniProtKB/Swiss-Prot;Acc:Q84M92] MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGCIDQMDADDDKENNDSETNNNNVDSNKPKGKRRLYVGSQALGFRRDHMEVVSPLKDGVVVDWDIVDSIWDHALKDCLLVDPKEHPMLLAEPSSNTQQQRERTAELMFEKYKVPALFLAKNAVLTSFASGRATSVVVDSGGGSTTVAPVHDGYVLQKAVSSSPIGGEFLTDCLMKSLESKGIVIKPRYSFKRKEIQPGVFQTVDVDFPHTTESYRLYSQRVIASDIKECVCRAPDTPYDESAYSNIPMTPYELPDGQTIEIGADRFKIPDILFNPPLAQTIPGMDNFAEISPSVRGLPQMVIESINRCDVDIRKELFGSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYIQRKCP >KJB83475 pep chromosome:Graimondii2_0_v6:13:56772824:56779743:1 gene:B456_013G249800 transcript:KJB83475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 4 [Source:Projected from Arabidopsis thaliana (AT1G18450) UniProtKB/Swiss-Prot;Acc:Q84M92] MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGCIDQMDADDDKENNDSETNNNNVDSNKPKGKRRLYVGSQALGFRRDHMEVVSPLKDGVVVDWDIVDSIWDHALKDCLLVDPKEHPMLLAEPSSNTQQQRERTAELMFEKYKVPALFLAKNAVLTSFASGRATSVVVDSGGGSTTVAPVHDGYVLQKAVSSSPIGGEFLTDCLMKSLESKGIVIKPRYSFKRKEIQPGVFQTVDVDFPHTTESYRLYSQRVIASDIKECVCRAPDTPYDESAYSNIPMTPYELPDGQTIEIGADRFKIPDILFNPPLAQTIPGMDNFAEISPSVRGLPQMVIESINRCDVDIRKELFGSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFRFAVV >KJB83476 pep chromosome:Graimondii2_0_v6:13:56772824:56781986:1 gene:B456_013G249800 transcript:KJB83476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 4 [Source:Projected from Arabidopsis thaliana (AT1G18450) UniProtKB/Swiss-Prot;Acc:Q84M92] MDADDDKENNDSETNNNNVDSNKPKGKRRLYVGSQALGFRRDHMEVVSPLKDGVVVDWDIVDSIWDHALKDCLLVDPKEHPMLLAEPSSNTQQQRERTAELMFEKYKVPALFLAKNAVLTSFASGRATSVVVDSGGGSTTVAPVHDGYVLQKAVSSSPIGGEFLTDCLMKSLESKGIVIKPRYSFKRKEIQPGVFQTVDVDFPHTTESYRLYSQRVIASDIKECVCRAPDTPYDESAYSNIPMTPYELPDGQTIEIGADRFKIPDILFNPPLAQTIPGMDNFAEISPSVRGLPQMVIESINRCDVDIRKELFGSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYIQRKCP >KJB83474 pep chromosome:Graimondii2_0_v6:13:56772824:56781986:1 gene:B456_013G249800 transcript:KJB83474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 4 [Source:Projected from Arabidopsis thaliana (AT1G18450) UniProtKB/Swiss-Prot;Acc:Q84M92] MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGCIDQMDADDDKENNDSETNNNNVDSNKPKGKRRLYVGSQALGFRRDHMEVVSPLKDGVVVDWDIVDSIWDHALKDCLLVDPKEHPMLLAEPSSNTQQQRERTAELMFEKYKVPALFLAKNAVLTSFASGRATSVVVDSGGGSTTVAPVHDGYVLQKAVSSSPIGGEFLTDCLMKSLESKGIVIKPRYSFKRKEIQPGVFQTVDVDFPHTTESYRLYSQRVIASDIKECVCRAPDTPYDESAYSNIPMTPYELPDGQTIEIGADRFKIPDILFNPPLAQTIPGMDNFAEISPSVRGLPQMVIESINRCDVDIRKELFGSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYIQRKCP >KJB83478 pep chromosome:Graimondii2_0_v6:13:56772824:56781986:1 gene:B456_013G249800 transcript:KJB83478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 4 [Source:Projected from Arabidopsis thaliana (AT1G18450) UniProtKB/Swiss-Prot;Acc:Q84M92] MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGCIDQMDADDDKENNDSETNNNNVDSNKPKGKRRLYVGSQALGFRRDHMEVVSPLKDGVVVDWDIVDSIWDHALKDCLLVDPKEHPMLLAEPSSNTQQQRERTAELMFEKYKVPALFLAKNAVLTSFASGRATSVVVDSGGGSTTVAPVHDGYVLQKAVSSSPIGGEFLTDCLMKSLESKGIVIKPRYSFKRKEIQPGVFQTVDVDFPHTTESYRLYSQRVIASDIKECVCRAPDTPYDESAYSNIPMTPYELPDGQTIEIGADRFKIPDILFNPPLAQTIPGMDNFAEISPSVRGLPQMVIESINRCDVDIRKELFGSILLAGGTASMQQLKERLEKDLLEVESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYIQRKCP >KJB80432 pep chromosome:Graimondii2_0_v6:13:16963656:16964908:-1 gene:B456_013G097100 transcript:KJB80432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLSKYLEEEGQNKNAESQLLKSSIPAENDCHTQAEDPVSDVPKYLLELGLTPECKQLISSLPAEKGWVANLLHQYQSFWHTTRQLQAVLTCQNHFQAQETDILLVTTAKSGTTWLKAIVFALMNRVKNPKDTFVSLWHFTNKLRTKDMGRNSLEETFDKFTRGVSLYGPFWDHVLGYWKESLENPERVLFLKYEEMKEQPKLQLMKLAQFLGCPFSNEEETRGAVDGIQKLCSFENLSNMEYKAFFRRGEVGDAKNHLTPQMIEKLDQITEQKLHGYGLKF >KJB80577 pep chromosome:Graimondii2_0_v6:13:20685209:20690208:-1 gene:B456_013G104900 transcript:KJB80577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQVYFVPAAETQVASVKKKTAVTTSWVSVDAKGQGTVLDVDKYAIMRRVQIHARDLRVLDPMLSYPSTVLGREKVIVLNLEHIKAIITAEEVLLRDPFDDNVIPIVAELKRRLPQEKNLTCQGLGEEEEEHIGLRNDVNTGEENEFPFEFRALEVALEAICSFLDARTRELETDTYPALDELTSKISSRNLDHVRKLKSAMTRLTNRVQKVRDELEQLLDDDDDMADLYLSRKLAGACSPVSVSGAPNWYPSSPTIGSKISRTSRASALTAEEDNDVEELEMLLEAYFMQIDNTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSIYSLVAAIFGMNIPYTWKEGHGYMFKWVVILTGLLCTSTFTSIISYARHKGLIGS >KJB80578 pep chromosome:Graimondii2_0_v6:13:20686400:20690195:-1 gene:B456_013G104900 transcript:KJB80578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQVYFVPAAETQVASVKKKTAVTTSWVSVDAKGQGTVLDVDKYAIMRRVQIHARDLRVLDPMLSYPSTVLGREKVIVLNLEHIKAIITAEEVLLRDPFDDNVIPIVAELKRRLPQEKNLTCQGLGEEEEEHIGLRNDVNTGEENEFPFEFRALEVALEAICSFLDARTRELETDTYPALDELTSKISSRNLDHVRKLKSAMTRLTNRVQKVRDELEQLLDDDDDMADLYLSRKLAGACSPVSVSGAPNWYPSSPTIGSKISRTSRASALTAEEDNDVEELEMLLEAYFMQIDNTLNKLTTVGSLVLTIILL >KJB80575 pep chromosome:Graimondii2_0_v6:13:20685544:20689624:-1 gene:B456_013G104900 transcript:KJB80575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEKKTVILTFGLEIIFSSCFSFYDIVISFHVEFPFEFRALEVALEAICSFLDARTRELETDTYPALDELTSKISSRNLDHVRKLKSAMTRLTNRVQKVRDELEQLLDDDDDMADLYLSRKLAGACSPVSVSGAPNWYPSSPTIGSKISRTSRASALTAEEDNDVEELEMLLEAYFMQIDNTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSIYSLVAAIFGMNIPYTWKEGHGYMFKWVVILTGLLCTSTFTSIISYARHKGLIGS >KJB80576 pep chromosome:Graimondii2_0_v6:13:20685209:20690208:-1 gene:B456_013G104900 transcript:KJB80576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQVYFVPAAETQVASVKKKTAVTTSWVSVDAKGQGTVLDVDKYAIMRRVQIHARDLRVLDPMLSYPSTVLGREKVIVLNLEHIKAIITAEEVLLRDPFDDNVIPIVAELKRRLPQEKNLTCQGLGEEEEEHIGLRNDVNTGEENEFPFEFRALEVALEAICSFLDARTRELETDTYPALDELTSKISSRNLDHVRKLKSAMTRLTNRVQKVRDELEQLLDDDDDMADLYLSRKLAGACSPVSVSGAPNWYPSSPTIGSKISRTSRASALTAEEDNDVEELEMLLEAYFMQIDNTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQSSS >KJB79849 pep chromosome:Graimondii2_0_v6:13:8034352:8034768:1 gene:B456_013G069400 transcript:KJB79849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHNTTSELWLSGNNLTGPFISNFQNITSKHTLLDISDNFLHGTLPKDMNLNFPKLRHLNLSNNSFNGNLPVFFSDQFQIFGLIRQSIPRKEHINEFPDCR >KJB78782 pep chromosome:Graimondii2_0_v6:13:1289603:1291016:1 gene:B456_013G018600 transcript:KJB78782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAPLHGSVNDFVAQMLVEQHNDKRRRVLGMLWDIWFSRNMMVWKQNFMSADRLVHYVDERMVHTSQSIRHPVIVKRDACWCKPTSEMVKYNVGGAIFVDSGYMGWVVVVRNDVGGCVKSISSFMISNPFITEVFVVHEAFT >KJB82201 pep chromosome:Graimondii2_0_v6:13:47592088:47598354:-1 gene:B456_013G181000 transcript:KJB82201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSPSNKGTCPRRISAKGSWSSMQGNDSVHEVEAPMHDEDITSIKDLLEAVVPFDILLDRRKRVNFLNNLGKSSEMEPKPKVVQDAGIALASLVVCEEALERELFISISYVPGMEVTLSSRLKSLYSIYSKMKRKDVGINKIYDARALRVVVGDKNGTLHGPAVQCCYSLLNIVHRLWTPIDGEFDDYIVNPKPSGYQSLHTAVQGPDASPLEVQIRTQRMHEYAEHGLAAHWLYKETGNDLPSISVLDESEIEESSYLPEDLDDQNSMDYESFQRYSSLKVGHPVLRVEGSNLLAAVIIKVDKEARELLVAVSFGLAASEAVADRRSSFQIKRWEAYARLYKKVSDEWWCEPGHGDWCTCLEKYTLCRDGIYHKQDQFERLLPTFIQVIDLTDQEESEYWTVMSAVFEGKPVESIESRPNLDYVASNSIEASINRKVRLLRTMLQWEEKLRSESSFGRQDGGAKSRNNADSVVLGEVVIICWPHGDIMRLRTGSTAADAARRAGLEGKLVLVNGLLVLPSTELKDGDVVEVRS >KJB82202 pep chromosome:Graimondii2_0_v6:13:47591921:47608197:-1 gene:B456_013G181000 transcript:KJB82202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPSTGLRAVDTVVAGILHDVVDDTCERLFSIEAEFGDDVARLVAGVSRLSYINQLLRRHRRINVNQSTLSHEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLAKAQAVAQETLLVWCSLASRLGLWALKAELEDLCFAVLQPQIFRKLRADLASMWSPSNKGTCPRRISAKGSWSSMQGNDSVHEVEAPMHDEDITSIKDLLEAVVPFDILLDRRKRVNFLNNLGKSSEMEPKPKVVQDAGIALASLVVCEEALERELFISISYVPGMEVTLSSRLKSLYSIYSKMKRKDVGINKIYDARALRVVVGDKNGTLHGPAVQCCYSLLNIVHRLWTPIDGEFDDYIVNPKPSGYQSLHTAVQGPDASPLEVQIRTQRMHEYAEHGLAAHWLYKETGNDLPSISVLDESEIEESSYLPEDLDDQNSMDYESFQRYSSLKVGHPVLRVEGSNLLAAVIIKVDKEARELLVAVSFGLAASEAVADRRSSFQIKRWEAYARLYKKVSDEWWCEPGHGDWCTCLEKYTLCRDGIYHKQDQFERLLPTFIQVIDLTDQEESEYWTVMSAVFEGKPVESIESRPNLDYVASNSIEASINRKVRLLRTMLQWEEKLRSESSFGRQDGGAKSRNNADSVVLGEVVIICWPHGDIMRLRTGSTAADAARRAGLEGKLVLVNGLLVLPSTELKDGDVVEVRS >KJB82203 pep chromosome:Graimondii2_0_v6:13:47591803:47608237:-1 gene:B456_013G181000 transcript:KJB82203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPSTGLRAVDTVVAGILHDVVDDTCERLFSIEAEFGDDVARLVAGVSRLSYINQLLRRHRRINVNQSTLSHEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLAKAQAVAQETLLVWCSLASRLGLWALKAELEDLCFAVLQPQIFRKLRADLASMWSPSNKGTCPRRISAKGSWSSMQGNDSVHEVEAPMHDEDITSIKDLLEAVVPFDILLDRRKRVNFLNNLGKSSEMEPKPKVVQDAGIALASLVVCEEALERELFISISYVPGMEVTLSSRLKSLYSIYSKMKRKDVGINKIYDARALRVVVGDKNGTLHGPAVQCCYSLLNIVHRLWTPIDGEFDDYIVNPKPSGYQSLHTAVQGPDASPLEVQIRTQRMHEYAEHGLAAHWLYKETGNDLPSISVLDESEIEESSYLPEDLDDQNSMDYESFQRYSSLKVGHPVLRVEGSNLLAAVIIKVDKEARELLVAVSFGLAASEAVADRRSSFQIKRWEAYARLYKKVSDEWWCEPGHGDWCTCLEKYTLCRDGIYHKQDQFERLLPTFIQVIDLTDQEESEYWTVMSAVFEGKPVESIESRPNLDYVASNSIEASINRKVRLLRTMLQWEEKLRSESSFGRQDGGAKSRNNADSVVLGEVVIICWPHGDIMRLRTGSTAADAARRAGLEGKLVLVNGLLVLPSTELKDGDVVEVRS >KJB82200 pep chromosome:Graimondii2_0_v6:13:47591704:47608345:-1 gene:B456_013G181000 transcript:KJB82200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVTSSSSSSCQSQIHSKTMFLVHKTSPLFLQKFYPQTASKFRCIPKKFTVSASLNTIAAAAASGSGATIHGAVSSAITQVAVTAFAIASGACLSTKVDFLWPKVEEQQGSFTVEGIDVTGYPIFSEAKVQKAIAFAKRAHNGQFRKTGDPYLSHCIHTGRILAMLVPSTGLRAVDTVVAGILHDVVDDTCERLFSIEAEFGDDVARLVAGVSRLSYINQLLRRHRRINVNQSTLSHEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLAKAQAVAQETLLVWCSLASRLGLWALKAELEDLCFAVLQPQIFRKLRADLASMWSPSNKGTCPRRISAKGSWSSMQGNDSVHEVEAPMHDEDITSIKDLLEAVVPFDILLDRRKRVNFLNNLGKSSEMEPKPKVVQDAGIALASLVVCEEALERELFISISYVPGMEVTLSSRLKSLYSIYSKMKRKDVGINKIYDARALRVVVGDKNGTLHGPAVQCCYSLLNIVHRLWTPIDGEFDDYIVNPKPSGYQSLHTAVQGPDASPLEVQIRTQRMHEYAEHGLAAHWLYKETGNDLPSISVLDESEIEESSYLPEDLDDQNSMDYESFQRYSSLKVGHPVLRVEGSNLLAAVIIKVDKEARELLVAVSFGLAASEAVADRRSSFQIKRWEAYARLYKKVSDEWWCEPGHGDWCTCLEKYTLCRDGIYHKQDQFERLLPTFIQVIDLTDQEESEYWTVMSAVFEGKPVESIESRPNLDYVASNSIEASINRKVRLLRTMLQWEEKLRSESSFGRQDGGAKSRNNADSVVLGEVVIICWPHGDIMRLRTGSTAADAARRAGLEGKLVLVNGLLVLPSTELKDGDVVEVRS >KJB83899 pep chromosome:Graimondii2_0_v6:13:58136343:58136934:-1 gene:B456_013G270000 transcript:KJB83899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPNEDNNTQLSSHIFGDLLDSIIVDVASECHRIAKLGLDRNLEEEEEEMRLSAQARARVADPSNSSETNTKYVVDIFGQTHPSVATEIFECMNCGRSIAAGRFAPHLEKCMGKVIPISSYHISICLYLSIMIDSYYDREFCAIP >KJB83900 pep chromosome:Graimondii2_0_v6:13:58135735:58137173:-1 gene:B456_013G270000 transcript:KJB83900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPNEDNNTQLSSHIFGDLLDSIIVDVASECHRIAKLGLDRNLEEEEEEMRLSAQARARVADPSNSSETNTKYVVDIFGQTHPSVATEIFECMNCGRSIAAGRFAPHLEKCMGKGRKARLKVTRSSTAAQNRYSRGSPVSTYSPYSNSTSTNRLSNGTPSVAGEEYSNGTYEEPWNKT >KJB83898 pep chromosome:Graimondii2_0_v6:13:58135735:58136883:-1 gene:B456_013G270000 transcript:KJB83898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSAQARARVADPSNSSETNTKYVVDIFGQTHPSVATEIFECMNCGRSIAAGRFAPHLEKCMGKGRKARLKVTRSSTAAQNRYSRGSPVSTYSPYSNSTSTNRLSNGTPSVAGEEYSNGTYEEPWNKT >KJB83665 pep chromosome:Graimondii2_0_v6:13:57314742:57315140:1 gene:B456_013G257200 transcript:KJB83665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNKKKLLKSLLTANARCGCKKLYDVHEPKLKASPPPLPSSPSPSSNFNMDTISSSSSSSSNVMVNPKIMDSIAVVKDSHDPYLDFRHSMLQMIEEKHIYSANELQELLQCFLELNSPCHHRVIVEAFMGI >KJB82026 pep chromosome:Graimondii2_0_v6:13:45927515:45930461:1 gene:B456_013G172500 transcript:KJB82026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPPKIPSISQNWPSFPHDKMPSMANFFPSTITNHPSWVDEFLDFSSARRGAHRRSMSDSIAFLEQPLVEEECRDSNAMPMMTTTTVSNLNMFDRLDDDQLMSLFSDDVAVTMAAPAPTVSSSNPSTPTSSNNEEKTAPSTDLQQPKNEPGEVESTRKLSASEAPPSSNGDSIIDPKRVKRILANRQSAQRSRVRKLQYISQLERSVTTLQTEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKIFKDAHQEALKKEIERLRQVYQQQQNLKNMNTTTTNRHALPSQQQQPPPSSPPPPQNEEQLDQRKRES >KJB82025 pep chromosome:Graimondii2_0_v6:13:45927658:45928417:1 gene:B456_013G172500 transcript:KJB82025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPPKIPSISQNWPSFPHDKMPSMANFFPSTITNHPSWVDEFLDFSSARRGAHRRSMSDSIAFLEQPLVEEECRDSNAMPMMTTTTVSNLNMFDRLDDDQLMSLFSDDVAVTMAAPAPTVSSSNPSTPTSSNNEEKTAPSTDLQQPKNEPGEVESTRKLSASEAPPSSNGDSIIDPKRVKRILANRQSAQRSRVRKLQYISQLERSVTTLQVHIHTCIYL >KJB82024 pep chromosome:Graimondii2_0_v6:13:45927515:45928724:1 gene:B456_013G172500 transcript:KJB82024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPPKIPSISQNWPSFPHDKMPSMANFFPSTITNHPSWVDEFLDFSSARRGAHRRSMSDSIAFLEQPLVEEECRDSNAMPMMTTTTVSNLNMFDRLDDDQLMSLFSDDVAVTMAAPAPTVSSSNPSTPTSSNNEEKTAPSTDLQQPKNEPGEVESTRKLSASEAPPSSNGDSIIDPKRVKRILANRQSAQRSRVRKLQYISQLERSVTTLQTEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKIFKDGTTC >KJB83028 pep chromosome:Graimondii2_0_v6:13:54539383:54545697:-1 gene:B456_013G226100 transcript:KJB83028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIARSKQPGDDSPSQLEETDSSATSSAKQNQAIKSLTSQLKDMALKASGVYRHCNPCTAQSRLRNSGESDAESDRSKWSYRRTGSWSSTTPRAWGKEMEARLKGISISSSSEEATPKSASGRRIQSVVFVEESEPKEWEAQVEPGVLITFVSLPHGGNDLKRIRFSREMFNKWQAQRWWAENYDRVMELYNVQRLHRQAFPLPTPPRSEDESSKMESAEESPVTPPLTKERLPRNLYRPAGMGMGYSSSDSLDQHPMQARHYCDPGLTSTPKVSSISGAKTEISSMDASMRSSSSREADQSGELSISNASDLETEWVEQDEPGVYITIRALPGGKRELRRVRFSREIFGEMHARLWWEENRTRIHEQYL >KJB83026 pep chromosome:Graimondii2_0_v6:13:54539383:54545713:-1 gene:B456_013G226100 transcript:KJB83026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIARSKQPGDDSPSQLEETDSSATSSAKQNQAIKSLTSQLKDMALKASGVYRHCNPCTAQSRLRNSGESDAESDRSKWSYRRTGSWSSTTPRAWGKEMEARLKGISISSSSEEATPKSASGRRIQSVVFVEESEPKEWEAQVEPGVLITFVSLPHGGNDLKRIRFSREMFNKWQAQRWWAENYDRVMELYNVQRLHRQAFPLPTPPRSEDESSKMESAEESPVTPPLTKERLPRNLYRPAGMGMGYSSSDSLDQHPMQARHYCDPGLTSTPKVSSISGAKTEISSMDASMRSSSSREADQSGELSISNASDLETEWVEQDEPGVYITIRALPGGKRELRRVRFSREIFGEMHARLWWEENRTRIHEQYL >KJB83027 pep chromosome:Graimondii2_0_v6:13:54539383:54545697:-1 gene:B456_013G226100 transcript:KJB83027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIARSKQPGDDSPSQLEETDSSATSSAKQNQAIKSLTSQLKDMALKASGVYRHCNPCTAQSRLRNSGESDAESDRSKWSYRRTGSWSSTTPRAWGKEMEARLKGISISSSSEEATPKSASGRRIQSVVFVEESEPKEWEAQVEPGVLITFVSLPHGGNDLKRIRFSREMFNKWQAQRWWAENYDRVMELYNVQRLHRQAFPLPTPPRSEDESSKMESAEESPVTPPLTKERLPRNLYRPAGMGMGYSSSDSLDQHPMQARHYCDPGLTSTPKVSSISGAKTEISSMDASMRSSSSREADQSGELSISNASDLETEWVEQDEPGVYITIRALPGGKRELRRVRFSREIFGEMHARLWWEENRTRIHEQYL >KJB83595 pep chromosome:Graimondii2_0_v6:13:57143661:57146639:1 gene:B456_013G254500 transcript:KJB83595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMEGIQHKTVSVNGINMHIAEKGEGPLILFIHGFPELWYTWRHQINGLSALGYRALAPDLRGYGGTDAPDCVADYTCFHVVGDLIALLDTEAPPDKEDKVFVVGHDWGAIIAWFLCLFRPDRVKALFNLSAPFIPCDPRFKPVETLEVLLGKDYYIVRFQEPGEIEAEFAEISLRRAVLELLSYRIPDPLYLPKGNLFGHPLDCPVNLPPWLSDQDADYYANQFQETGITGALNYYRNIDTDWELLAPWWKSQIQVPVKFAMGDHDLVYTMPGVKDYIHNGGFKRNVPFLEEALVINGVSHWINEEIPDQINQLLFDFFSKFN >KJB79503 pep chromosome:Graimondii2_0_v6:13:4936540:4938878:-1 gene:B456_013G052800 transcript:KJB79503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPKNGSHPIMPLRSRNPAANFCMFPKVKSAGCSPCEAPVYLNVYDLTNANGYVYWAGLGIFHSGVEVYGVEYAFGAHDYPTSGVFEVEPRRCPGFKFRKSIFMGITCLDPAQLREFIERQSGSYNGDTYHLIFKNCNHFCEDICYKLTGNRMPKWVNRLARIGSLCNCILPEALKATAVCHDPNFQADNEKKRLRSAFSCLSSISIPQREVSMSSLFLHSHYKGCLPPWELKRPKSCTLKQL >KJB79502 pep chromosome:Graimondii2_0_v6:13:4936540:4938878:-1 gene:B456_013G052800 transcript:KJB79502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPKNGSHPIMPLRSRNPAANFCMFPKVKSAGCSPCEAPVYLNVYDLTNANGYVYWAGLGIFHSGVEVYGVEYAFGAHDYPTSGVFEVEPRRCPGFKFRKSIFMGITCLDPAQLREFIERQSGSYNGDTYHLIFKNCNHFCEDICYKLTGNRMPKWVNRLARIGSLCNCILPEALKATAVCHDPNFQADNEKKRLRSAFSCLSSISIPQREVSMSSLFLHSHYKGCLPPWELKRPKSCTLKQL >KJB79500 pep chromosome:Graimondii2_0_v6:13:4937041:4937880:-1 gene:B456_013G052800 transcript:KJB79500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPKNGSHPIMPLRSRNPAANFCMFPKVKSAGCSPCEAPVYLNVYDLTNANGYVYWAGLGIFHSGVEVYGVEYAFGAHDYPTSGVFEVEPRRCPGFKFRKSIFMGITCLDPAQLREFIERQSGSYNGDTYHLIFKNCNHFCEDICYKLTGNRMPKWVNRLARIGIISHMCFIELKVLLGLAFLGFVHYVTAYFRRHSKLLRSAMTPTSKLIMKRRG >KJB79501 pep chromosome:Graimondii2_0_v6:13:4937041:4937880:-1 gene:B456_013G052800 transcript:KJB79501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPKNGSHPIMPLRSRNPAANFCMFPKVKSAGCSPCEAPVYLNVYDLTNANGYVYWAGLGIFHSGVEVYGVEYAFGAHDYPTSGVFEVEPRRCPGFKFRKSIFMGITCLDPAQLREFIERQSGSYNGDTYHLIFKNCNHFCEDICYKLTGNRMPKWVNRLARIGIISHMCFIELKVLLGLAFLGFVHYVTAYFRRHSKLLRSAMTPTSKLIMKRRG >KJB79569 pep chromosome:Graimondii2_0_v6:13:5381323:5388952:1 gene:B456_013G054900 transcript:KJB79569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAAAVAASAQPPPQSSVAPLGSSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLESLVGRDFLPRGNDICTRRPLVLQLLQTKRKLDGSEEEYGEFLHLPGKRFYDFSEIRREIQAETDREAGGNKGVSDRQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKQPSCLILAVTPANSDLANSDALQLAGNADPDGTRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYIGVVNRSQEDILLNRSIKDALVAEEKFFRSRPVYNGLADRCGVPQLAKKLNQILVQHIKAILPGLKSRISSALVSLAKEHASYGEITESRAGQGALLLNILSKYCEAFSSIVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCMVNELQRFPVLRKHMDQVIGNFLREGLEPSETMIGHIIEMEMDYINTSHPNFIGGSKAVELANQQFRNSRVALPISRSKDGLETDKAPGSERSVKSRAIIARQVNGIVADQGARPVADVEKAPSSGTSGSTWVSSIFGGSDNRSSAKESSTNKPYTEPVHNMEQAFSMIHLREPPSVLRPSEDRSETEAIEIAVTKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRENLFEEMLQEPDEIASKRKRTRETLRVLQQAFRTLDELPLEAETVERGYSLGSDPTGLPKIHGLPTSSIYSSGGSDDAYTASPKNPKSRKSSHSGELSTHSYANNTDSNGSGRSYMPGLYPTVDY >KJB80554 pep chromosome:Graimondii2_0_v6:13:19849143:19851279:1 gene:B456_013G103400 transcript:KJB80554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWFIIFITITVSLLLRALFNLLSFTSKTPSQILPPGPANFPIITNILWLRRTFSELEPILRNLHKKLGPMVTLNLLSRPTIFVSDRSLTYQALIQKGALFADRPDAPTIGKIISCNQHNINSASYGPTWRLLRRNLTAEILHPSRIKSYSHARKWVLQILLDSLMSSSKTGEPVRVLDNFQYAMFCLLVLMCFGDKLNQEEIKEIETVQRRLLLDLGGRFNILNLWPKVTRVLLQKRWKEFYKALEKQERVLVRLIRARKKGKDERSLNKNGLDDGHILAYVDTLLDLQLPEEKRKLTEKEIVSLSSEFLNGGTDTTSTALQWIMANMVKYPHVQEKLFMEIKGVVGKGEREVKEDDLQKMPYLKAVILEGLRRHPPGHFLLPHTVREDTVLGGYLVPKNATINFLVAEMGWDTKVWEDPMAFKPERFLSNNNSGEVVFDITGSREIKMMPFGVGRRICPGLALAILHLEYFVANLVFNFQWKAMDGDDVSLEEKQEFTVVMKTPLRAHIYPRKT >KJB81157 pep chromosome:Graimondii2_0_v6:13:34426327:34430346:1 gene:B456_013G131700 transcript:KJB81157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGLTKLLADNAPNAMKEQKLESYIGRKIAIDASMSIYQFLIVVGRRGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGMEPIYVFDGHPPDLKKKVLVKRYSKRANATEYLQQAMETGNKDDIEKFSKRTVKVTKQHNEDCKRLLRLMGVPVIEAPSEAEAQCAALCKSGKVYAVASEDMDSLTFGAPRFLRHLMGPGSRKVRVLEFEVGKVLEELNLTMDQFIDLCILSGCDYCESIRGIGGQTALKLIRQQGSIEHILQNINKERYPIPDDWPYEEARYLFKEPLVCTNIEQFEMKRSAPDDEGLITFLVTENGFNSDRVTKAIEKIKAAKNKSSQGRLESFSKSVANTSIRIKTKATKSMLQFPKSMFNSKIFSPQDSICSRPKGFSTMAPLCLHFKHSMVFSRGVCYGI >KJB81158 pep chromosome:Graimondii2_0_v6:13:34426352:34429321:1 gene:B456_013G131700 transcript:KJB81158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGLTKLLADNAPNAMKEQKLESYIGRKIAIDASMSIYQFLIVVGRRGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGMEPIYVFDGHPPDLKKKVLVKRYSKRANATEYLQQAMETGNKDDIEKFSKRTVKVTKQHNEDCKRLLRLMGVPVIEAPSEAEAQCAALCKSGKVYAVASEDMDSLTFGAPRFLRHLMGPGSRKVRVLEFEVGKVLEELNLTMDQFIDLCILSGCDYCESIRGIGGQTALKLIRQQGSIEHILQNINKERYPIPDDWPYEEARYLFKEPLVCTNIEQFEMKRSAPDDEGLITFLVTENGFNSDRVTKAIEKIKAAKNKSSQGRLESFSKSVANTSIRIKTKVQRH >KJB81159 pep chromosome:Graimondii2_0_v6:13:34426352:34430346:1 gene:B456_013G131700 transcript:KJB81159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGLTKLLADNAPNAMKEQKLESYIGRKIAIDASMSIYQFLIVVGRRGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGMEPIYVFDGHPPDLKKKVLVKRYSKRANATEYLQQAMETGNKDDIEKFSKRTVKVTKQHNEDCKRLLRLMGVPVIEAPSEAEAQCAALCKSGKVYAVASEDMDSLTFGAPRFLRHLMGPGSRKVRVLEFEVGKVLEELNLTMDQFIDLCILSGCDYCESIRGIGGQTALKLIRQQGSIEHILQNINKERYPIPDDWPYEEARYLFKEPLVCTNIEQFEMKRSAPDDEGLITFLVTENGFNSDRVTKATKSMLQFPKSMFNSKIFSPQDSICSRPKGFSTMAPLCLHFKHSMVFSRGVCYGI >KJB79302 pep chromosome:Graimondii2_0_v6:13:3792002:3797881:-1 gene:B456_013G043800 transcript:KJB79302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSIWSRNAKSDVCHFLVAVHFALGFVVARFFLDKFIFRRLAIWLSSNGYAPLKMNEATQAKIAKCSESMWKLAYYATVETFILKITYHEPWFTDTKQYFRGWPDQELKLSLSLFYMCQCGFYIYSIAALLTWETRRKDFAVMMSHHVITVILIGYSYITSFFRIGSIILALHDASDVFLEAAKVFKYSERELGASVCFGLFAISWLLLRLIFFPFWVIKTSSYDVREFLNLSESYPMSLYYVFNTMLLMLLVFHVYWWFLICSMIMRQLKNRGKVGEDIRSDSEDDD >KJB79307 pep chromosome:Graimondii2_0_v6:13:3792284:3797881:-1 gene:B456_013G043800 transcript:KJB79307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEATQAKIAKCSESMWKLAYYATVETFILKITYHEPWFTDTKQYFRGWPDQELKLSLSLFYMCQCGFYIYSIAALLTWETRRKDFAVMMSHHVITVILIGYSYITSFFRIGSIILALHDASDVFLEAAKVFKYSERELGASVCFGLFAISWLLLRLIFFPFWVIKTSSYDVREFLNLSESYPMSLYYVFNTMLLMLLVFHVYWWFLICSMIMRQLKNRGKVGEDIRSDSEDDD >KJB79304 pep chromosome:Graimondii2_0_v6:13:3792284:3795559:-1 gene:B456_013G043800 transcript:KJB79304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQCGFYIYSIAALLTWETRRKDFAVMMSHHVITVILIGYSYITSFFRIGSIILALHDASDVFLEAAKVFKYSERELGASVCFGLFAISWLLLRLIFFPFWVIKTSSYDVREFLNLSESYPMSLYYVFNTMLLMLLVFHVYWWFLICSMIMRQLKNRGKVGEDIRSDSEDDD >KJB79303 pep chromosome:Graimondii2_0_v6:13:3791476:3797881:-1 gene:B456_013G043800 transcript:KJB79303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSIWSRNAKSDVCHFLVAVHFALGFVVARFFLDKFIFRRLAIWLSSNGYAPLKMNEATQAKIAKCSESMWKLAYYATVETFILKITYHEPWFTDTKQYFRGWPDQELKLSLSLFYMCQCGFYIYSIAALLTWETRRKDFAVMMSHHVITVILIGYSYITSFFRIGSIILALHDASDVFLEAAKVFKYSERELGASVCFGLFAISWLLLRLIFFPFWVIKTSSYDVREFLNLSESYPMSLYYVFNTMLLMLLVFHVYWWFLICSMIMRQLKNRGKVGEDIRSDSEDDD >KJB79305 pep chromosome:Graimondii2_0_v6:13:3794106:3797556:-1 gene:B456_013G043800 transcript:KJB79305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSIWSRNAKSDVCHFLVAVHFALGFVVARFFLDKFIFRRLAIWLSSNGYAPLKMNEATQAKIAKCSESMWKLAYYATVETFILKITYHEPWFTDTKQYFRGWPDQELKLSLSLFYMCQCGFYIYSIAALLTWETRRKDFAVMMSHHVITVILIGYSYITSFFRIGSIILALHDASDVFLEAAKVFKYSERELGASVCFGLFAISWLLLRLIFFPFWVIKTSRFAFMVYDLVLVHYCILADHASTVIVSLSQL >KJB79306 pep chromosome:Graimondii2_0_v6:13:3792284:3797881:-1 gene:B456_013G043800 transcript:KJB79306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSIWSRNAKSDVCHFLVAVHFALGFVVARFFLDKFIFRRLAIWLSSNGYAPLKMNEATQAKIAKCSESMWKLAYYATVETFILKITYHEPWFTDTKQYFRGWPDQELKLSLSLFYMCQCGFYIYSIAALLTWETRRKDFAVMMSHHVITVILIGYSYITSFFRIGSIILALHDASDVFLEAAKVFKYSERELGASVCFGLFAISWLLLRLIFFPFWVIKTSSYDVREFLNLSESYPMSLYYVFNTMLLMLLVFHVYWWFLICSMIMRQLKNRGKVGEDIRSDSEDDD >KJB80072 pep chromosome:Graimondii2_0_v6:13:10886969:10888996:1 gene:B456_013G080200 transcript:KJB80072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFILYILLKKNITTNSLDLASLILFGSLYALWSETNPFPSHIFISSTVSENPRCGRNKITFLSKENFLAMIDMDYAQKMRKCHEYVEALEEERRKIQVFQRELPLCFELVTQAIESCKKEMYMQGQSECSEQTSSDSPVLEEFIPIKRSCNCSEEDDDDDDENVAAADKKKSDWLRSVQLWNPPSKEDVGKTGSGVQMKGNGGAFQPFHKEKGNVEKKSVEAVGKGNASATATTTTTSASTTESGSRGIAADDGNTDKKVEKGQQQQQPPQRKQRRCWSSELHKRFLHALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPCPSINSNGNNPQTPQFVVVGGIWVPPQEYASVATTAAVSGETSVTPSPNSGVYAPVAVPLPTLAKPSVQRPQRSQSEDRGSHSEGRVHSNSPSTSSSTHTTTDRFPAF >KJB80823 pep chromosome:Graimondii2_0_v6:13:28907607:28908498:-1 gene:B456_013G116800 transcript:KJB80823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLSSSEGVSGTVFFTQEGDGPTTVTGNISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDVNRHAGDLGNVTVGADGSASFSIVDKQIPLSGPHSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KJB80822 pep chromosome:Graimondii2_0_v6:13:28906979:28910012:-1 gene:B456_013G116800 transcript:KJB80822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLSSSEGVSGTVFFTQEGDGPTTVTGNISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDVNRHAGDLGNVTVGADGSASFSIVDKQIPLSGPHSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KJB80827 pep chromosome:Graimondii2_0_v6:13:28906979:28909959:-1 gene:B456_013G116800 transcript:KJB80827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLSSSEGVSGTVFFTQEGDGPTTVTGNISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDVNRHAGDLGNVTVGADGSASFSIVDKQIPLSGPHSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KJB80826 pep chromosome:Graimondii2_0_v6:13:28906979:28909853:-1 gene:B456_013G116800 transcript:KJB80826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLSSSEGVSGTVFFTQEGDGPTTVTGNISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDVNRHAGDLGNVTVGADGSASFSIVDKQIPLSGPHSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KJB80824 pep chromosome:Graimondii2_0_v6:13:28906941:28910154:-1 gene:B456_013G116800 transcript:KJB80824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLSSSEGVSGTVFFTQEGDGPTTVTGNISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDVNRHAGDLGNVTVGADGSASFSIVDKQIPLSGPHSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KJB80825 pep chromosome:Graimondii2_0_v6:13:28906979:28910008:-1 gene:B456_013G116800 transcript:KJB80825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLSSSEGVSGTVFFTQEGDGPTTVTGNISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDVNRHAGDLGNVTVGADGSASFSIVDKQIPLSGPHSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KJB80062 pep chromosome:Graimondii2_0_v6:13:10639512:10642081:-1 gene:B456_013G079600 transcript:KJB80062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH30 [Source:Projected from Arabidopsis thaliana (AT1G68810) UniProtKB/Swiss-Prot;Acc:Q9S7Y1] MCAKKEEYQGDYPRPIHNLQASQQHHQLHNDELFGATTGGLVFPQLPWSFPPVHALSPIQYFTGNPVQDHVHDSFLVPPPSSSYGGLFTPTAPPAAALQFAYDGAPSVNRLRILSDSFGAVGSAPLGLQAELGKMTAQEIMDAKAMAASKSHSEAERRRRERINNHLAKLRSLLPSTTKVRLAVVPRRRESKQRKGLSIDMIKRNQGAVPWKFLAGSSTKLTRKPKTDKASLLAEVIQHVKELKRQTCLIAETSPVPTETDELTVDTSDQDGKFVIKASLCCEDRSDLLPDLIKALKDLRLKTLKAEITTLGGRVKNVLFITGEEQESSCSSDGGDVIGSIQEALKAVMEKSTCGDESNSSGNVKRQRTNISTVLQRRSL >KJB80061 pep chromosome:Graimondii2_0_v6:13:10639483:10642351:-1 gene:B456_013G079600 transcript:KJB80061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH30 [Source:Projected from Arabidopsis thaliana (AT1G68810) UniProtKB/Swiss-Prot;Acc:Q9S7Y1] MCAKKEEYQGDYPRPIHNLQASQQHHQLHNDELFGATTGGLVFPQLPWSFPPVHALSPIQYFTGNPVQDHVHDSFLVPPPSSSYGGLFTPTAPPAAALQFAYDGAPSVNRLRILSDSFGAVGSAPLGLQAELGKMTAQEIMDAKAMAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTCLIAETSPVPTETDELTVDTSDQDGKFVIKASLCCEDRSDLLPDLIKALKDLRLKTLKAEITTLGGRVKNVLFITGEEQESSCSSDGGDVIGSIQEALKAVMEKSTCGDESNSSGNVKRQRTNISTVLQRRSL >KJB81076 pep chromosome:Graimondii2_0_v6:13:33360498:33364598:-1 gene:B456_013G128400 transcript:KJB81076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEDVNRCQIQEWYPRFKLVSTRTFIHELPESFVQYLLDDSGPFLLPVSISNEDAFPNRIHNPEEEEDYQVSEGSGDEAEPLSPPSFPELELKIKESIETLGGAIFPKLNWSAPKDSAWISTSGTLRCTTFSEIALLLRSSDSLIHDLCHAYDSCSDKTMSMPPNFFLALRKWYPSFQPEMEFRCFVRGQKLVGISQREDERVKVLDFNPWGAFTLPLLFTWEELEQKPREGDDLDFRIVESRCAVQPGLKTAVPYDYLDVSAGSGWDQFLRNAGEELQRQTKSPEAGA >KJB81082 pep chromosome:Graimondii2_0_v6:13:33361908:33362912:-1 gene:B456_013G128400 transcript:KJB81082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEDVNRCQIQEWYPRFKLVSTRTFIHELPESFVQYLLDDSGPFLLPVSISNEDAFPNRIHNPEEEEDYQVSEGSGDEAEPLSPPSFPELELKIKESIETLGGAIFPKLNWSAPKDSAWISTSGTLRCTTFSEIALLLRSSDSLIHDLCHAYDSCSDKTMSMPPNFFLALRKWYPSFQPEMEFRCFVRGQKLVGISQREVTTFYPVLCEKKNDLEVLIEEFFNGIVRLKFESNDYTFDVYVTQDERVKVLDFNPWGAFTLPLLFTWEELEQKPREGDDLDFRIVESRCAVQPGLKTAVPYDYLDVSAGSGWDQFLRNAGEELQRQTKSPEAGA >KJB81083 pep chromosome:Graimondii2_0_v6:13:33361908:33362912:-1 gene:B456_013G128400 transcript:KJB81083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEDVNRCQIQEWYPRFKLVSTRTFIHELPESFVQYLLDDSGPFLLPVSISNEDAFPNRIHNPEEEEDYQVSEGSGDEAEPLSPPSFPELELKIKESIETLGGAIFPKLNWSAPKDSAWISTSGTLRCTTFSEIALLLRSSDSLIHDLCHAYDSCSDKTMSMPPNFFLALRKWYPSFQPEMEFRCFVRGQKLVGISQREVTTFYPVLCEKKNDLEVLIEEFFNGIVRLKFESNDYTFDVYVTQDERVKVLDFNPWGAFTLPLLFTWEELEQKPREGDDLDFRIVESRCAVQPGLKTAVPYDYLDVSAGSGWDQFLRNAGEELQRQTKSPEAGA >KJB81079 pep chromosome:Graimondii2_0_v6:13:33361908:33362912:-1 gene:B456_013G128400 transcript:KJB81079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEDVNRCQIQEWYPRFKLVSTRTFIHELPESFVQYLLDDSGPFLLPVSISNEDAFPNRIHNPEEEEDYQVSEGSGDEAEPLSPPSFPELELKIKESIETLGGAIFPKLNWSAPKDSAWISTSGTLRCTTFSEIALLLRSSDSLIHDLCHAYDSCSDKTMSMPPNFFLALRKWYPSFQPEMEFRCFVRGQKLVGISQREDERVKVLDFNPWGAFTLPLLFTWEELEQKPREGDDLDFRIVESRCAVQPGLKTAVPYDYLDVSAGSGWDQFLRNAGEELQRQTKSPEAGA >KJB81078 pep chromosome:Graimondii2_0_v6:13:33360498:33364598:-1 gene:B456_013G128400 transcript:KJB81078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEDVNRCQIQEWYPRFKLVSTRTFIHELPESFVQYLLDDSGPFLLPVSISNEDAFPNRIHNPEEEEDYQVSEGSGDEAEPLSPPSFPELELKIKESIETLGGAIFPKLNWSAPKDSAWISTSGTLRCTTFSEIALLLRSSDSLIHDLCHAYDSCSDKTMSMPPNFFLALRKWYPSFQPEMEFRCFVRGQKLVGISQREDERVKVLDFNPWGAFTLPLLFTWEELEQKPREGDDLDFRIVESRCAVQPGLKTAVPYDYLDVSAGSGWDQFLRNAGEELQRQTKSPEAGA >KJB81077 pep chromosome:Graimondii2_0_v6:13:33361908:33362912:-1 gene:B456_013G128400 transcript:KJB81077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEDVNRCQIQEWYPRFKLVSTRTFIHELPESFVQYLLDDSGPFLLPVSISNEDAFPNRIHNPEEEEDYQVSEGSGDEAEPLSPPSFPELELKIKESIETLGGAIFPKLNWSAPKDSAWISTSGTLRCTTFSEIALLLRSSDSLIHDLCHAYDSCSDKTMSMPPNFFLALRKWYPSFQPEMEFRCFVRGQKLVGISQREDERVKVLDFNPWGAFTLPLLFTWEELEQKPREGDDLDFRIVESRCAVQPGLKTAVPYDYLDVSAGSGWDQFLRNAGEELQRQTKSPEAGA >KJB81081 pep chromosome:Graimondii2_0_v6:13:33360541:33364509:-1 gene:B456_013G128400 transcript:KJB81081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEDVNRCQIQEWYPRFKLVSTRTFIHELPESFVQYLLDDSGPFLLPVSISNEDAFPNRIHNPEEEEDYQVSEGSGDEAEPLSPPSFPELELKIKESIETLGGAIFPKLNWSAPKDSAWISTSGTLRCTTFSEIALLLRSSDSLIHDLCHAYDSCSDKTMSMPPNFFLALRKWYPSFQPEMEFRCFVRGQKLVGISQREVTTFYPVLCEKKNDLEVLIEEFFNGIVRLKFESNDYTFDVYVTQDERVKVLDFNPWGAFTLPLLFTWEELEQKPREGDDLDFRIVESRCAVQPGLKTAVPYDYLDVSAGSGWDQFLRNAGEELQRQTKSPEAGA >KJB81080 pep chromosome:Graimondii2_0_v6:13:33361354:33363213:-1 gene:B456_013G128400 transcript:KJB81080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEDVNRCQIQEWYPRFKLVSTRTFIHELPESFVQYLLDDSGPFLLPVSISNEDAFPNRIHNPEEEEDYQVSEGSGDEAEPLSPPSFPELELKIKESIETLGGAIFPKLNWSAPKDSAWISTSGTLRCTTFSEIALLLRSSDSLIHDLCHAYDSCSDKTMSMPPNFFLALRKWYPSFQPEMEFRCFVRGQKLVGISQREVTTFYPVLCEKKNDLEVLIEEFFNGIVRLKFESNDYTFDVYVTQDERVKVLDFNPWGAFTLPLLFTWEELEQKPREGDDLDFRIVESRCAVQPGLKTAVPYDYLDVSAGSGWDQFLRNAGEELQRQTKSPEAGA >KJB81411 pep chromosome:Graimondii2_0_v6:13:39598062:39599080:-1 gene:B456_013G144200 transcript:KJB81411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKETWKPKTKSFKARVHRIKLDMGKIKEDQRCIRDEQRDIRERFEDVNRQCDALRLETEAIVKQSALNRIRLVIMLNILEARQVGDFDKVALLTRFLNSVSNRRNK >KJB80959 pep chromosome:Graimondii2_0_v6:13:31606717:31615623:1 gene:B456_013G123000 transcript:KJB80959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKALVDARKQIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTRPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFDDVDELYSSLPLDKVESLEDLVAIGPLSKGGPILKTSLAASSQLPQGGSSQEHVEDTASQDGNSDIAKTPPPKSSTINSSAAATPAGSHVTPAPLNLPPHSLSGASSPSVLPDSNSAQGLLESTGTTNPPSPVNLSNATKEEDITNFPGRRPSPSLADAGVRGIGRGLSGQPSSTIPLVSGSVASGNGALGAVPPASDMAKRNILGVDERLGNSSMGQSLTSPISNRMILPQAAKANDGSAPVDSSNPNESAGLPGRAFSPSMVSGMQWRPGSSFQNQNELVQFRGRTEIAPDIREKFLQRFQQVQQQGHSNLLSSMSPLAGGNHNQFSAQQQSPLMQQFNPQSSCFSIPPGLGHGGQAPGLNSVTSASLQQQPNSIHQQSSQQALATSVPKDADVGVAKVEEQLQSLPDGSSSEAIPTSGLAKNLMNEDDLKAPYAIDSPAAVSGSLTEPARNIRDIDLSPGQPLQYNQSSSGLGVIGRRSVSDLGAIGDSLSGSTNSGGMHDQLYNMQMLEAAYYKIPQPKDSERARSYTPKHPAATPASYPQVQAPIVNNPAFWERISMDGYGTGTDTLFVAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKIATDEFEQGTYVYFDFHVANDDHQHGWCQRIKTEFIFEYNYLEDELIV >KJB80950 pep chromosome:Graimondii2_0_v6:13:31606690:31615630:1 gene:B456_013G123000 transcript:KJB80950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKISASYEQALVDARKQIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTRPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFDDVDELYSSLPLDKVESLEDLVAIGPLSKGGPILKTSLAASSQLPQGGSSQEHVEDTASQDGNSDIAKTPPPKSSTINSSAAATPAGSHVTPAPLNLPPHSLSGASSPSVLPDSNSAQGLLESTGTTNPPSPVNLSNATKEEDITNFPGRRPSPSLADAGVRGIGRGLSGQPSSTIPLVSGSVASGNGALGAVPPASDMAKRNILGVDERLGNSSMGQSLTSPISNRMILPQAAKANDGSAPVDSSNPNESAGLPGRAFSPSMVSGMQWRPGSSFQNQNELVQFRGRTEIAPDIREKFLQRFQQVQQQGHSNLLSSMSPLAGGNHNQFSAQQQSPLMQQFNPQSSCFSIPPGLGHGGQAPGLNSVTSASLQQQPNSIHQQSSQQALATSVPKDADVGVAKVEEQLQSLPDGSSSEAIPTSGLAKNLMNEDDLKAPYAIDSPAAVSGSLTEPARNIRDIDLSPGQPLQYNQSSSGLGVIGRRSVSDLGAIGDSLSGSTNSGGMHDQLYNMQMLEAAYYKIPQPKDSERARSYTPKHPAATPASYPQVQAPIVNNPAFWERISMDGYGTGTDTLFVAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKIATDEFEQGTYVYFDFHVANDDHQHGWCQRIKTEFIFEYNYLEDELIV >KJB80951 pep chromosome:Graimondii2_0_v6:13:31607815:31615411:1 gene:B456_013G123000 transcript:KJB80951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTRPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFDDVDELYSSLPLDKVESLEDLVAIGPLSKGGPILKTSLAASSQLPQGGSSQEHVEDTASQDGNSDIAKTPPPKSSTINSSAAATPAGSHVTPAPLNLPPHSLSGASSPSVLPDSNSAQGLLESTGTTNPPSPVNLSNATKEEDITNFPGRRPSPSLADAGVRGIGRGLSGQPSSTIPLVSGSVASGNGALGAVPPASDMAKRNILGVDERLGNSSMGQSLTSPISNRMILPQAAKANDGSAPVDSSNPNESAGLPGRAFSPSMVSGMQWRPGSSFQNQNELVQFRGRTEIAPDIREKFLQRFQQVQQQGHSNLLSSMSPLAGGNHNQFSAQQQSPLMQQFNPQSSCFSIPPGLGHGGQAPGLNSVTSASLQQQPNSIHQQSSQQALATSVPKDADVGVAKVEEQLQSLPDGSSSEAIPTSGLAKNLMNEDDLKAPYAIDSPAAVSGSLTEPARNIRDIDLSPGQPLQYNQSSSGLGVIGRRSVSDLGAIGDSLSGSTNSGGMHDQLYNMQMLEAAYYKIPQPKDSERARSYTPKHPAATPASYPQVQAPIVNNPAFWERISMDGYGTGTDTLFVAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKIATDEFEQGTYVYFDFHVANDDHQHGWCQRIKTEFIFEYNYLEDELIV >KJB80955 pep chromosome:Graimondii2_0_v6:13:31606717:31615623:1 gene:B456_013G123000 transcript:KJB80955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTRPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFDDVDELYSSLPLDKVESLEDLVAIGPLSKGGPILKTSLAASSQLPQGGSSQEHVEDTASQDGNSDIAKTPPPKSSTINSSAAATPAGSHVTPAPLNLPPHSLSGASSPSVLPDSNSAQGLLESTGTTNPPSPVNLSNATKEEDITNFPGRRPSPSLADAGVRGIGRGLSGQPSSTIPLVSGSVASGNGALGAVPPASDMAKRNILGVDERLGNSSMGQSLTSPISNRMILPQAAKANDGSAPVDSSNPNESAGLPGRAFSPSMVSGMQWRPGSSFQNQNELVQFRGRTEIAPDIREKFLQRFQQVQQQGHSNLLSSMSPLAGGNHNQFSAQQQSPLMQQFNPQSSCFSIPPGLGHGGQAPGLNSVTSASLQQQPNSIHQQSSQQALATSVPKDADVGVAKVEEQLQSLPDGSSSEAIPTSGLAKNLMNEDDLKAPYAIDSPAAVSGSLTEPARNIRDIDLSPGQPLQYNQSSSGLGVIGRRSVSDLGAIGDSLSGSTNSGGMHDQLYNMQMLEAAYYKIPQPKDSERARSYTPKHPAATPASYPQVQAPIVNNPAFWERISMDGYGTGTDTLFVAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKIATDEFEQGTYVYFDFHVANDDHQHGWCQRIKTEFIFEYNYLEDELIV >KJB80956 pep chromosome:Graimondii2_0_v6:13:31606717:31615623:1 gene:B456_013G123000 transcript:KJB80956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKISASYEQALVDARKQIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTRPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFDDVDELYSSLPLDKVESLEDLVAIGPLSKGGPILKTSLAASSQLPQGGSSQEHVEDTASQDGNSDIAKTPPPKSSTINSSAAATPAGSHVTPAPLNLPPHSLSGASSPSVLPDSNSAQGLLESTGTTNPPSPVNLSNATKEEDITNFPGRRPSPSLADAGVRGIGRGLSGQPSSTIPLVSGSVASGNGALGAVPPASDMAKRNILGVDERLGNSSMGQSLTSPISNRMILPQAAKANDGSAPVDSSNPNESAGLPGRAFSPSMVSGMQWRPGSSFQNQNELFRGRTEIAPDIREKFLQRFQQVQQQGHSNLLSSMSPLAGGNHNQFSAQQQSPLMQQFNPQSSCFSIPPGLGHGGQAPGLNSVTSASLQQQPNSIHQQSSQQALATSVPKDADVGVAKVEEQLQSLPDGSSSEAIPTSGLAKNLMNEDDLKAPYAIDSPAAVSGSLTEPARNIRDIDLSPGQPLQYNQSSSGLGVIGRRSVSDLGAIGDSLSGSTNSGGMHDQLYNMQMLEAAYYKIPQPKDSERARSYTPKHPAATPASYPQVQAPIVNNPAFWERISMDGYGTGTDTLFVAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKIATDEFEQGTYVYFDFHVANDDHQHGWCQRIKTEFIFEYNYLEDELIV >KJB80954 pep chromosome:Graimondii2_0_v6:13:31606717:31614579:1 gene:B456_013G123000 transcript:KJB80954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKISASYEQALVDARKQIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTRPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFDDVDELYSSLPLDKVESLEDLVAIGPLSKGGPILKTSLAASSQLPQGGSSQEHVEDTASQDGNSDIAKTPPPKSSTINSSAAATPAGSHVTPAPLNLPPHSLSGASSPSVLPDSNSAQGLLESTGTTNPPSPVNLSNATKEEDITNFPGRRPSPSLADAGVRGIGRGLSGQPSSTIPLVSGSVASGNGALGAVPPASDMAKRNILGVDERLGNSSMGQSLTSPISNRMILPQAAKANDGSAPVDSSNPNESAGLPGRAFSPSMVSGMQWRPGSSFQNQNELVQFRGRTEIAPDIREKFLQRFQQVQQQGHSNLLSSMSPLAGGNHNQFSAQQQSPLMQQFNPQSSCFSIPPGLGHGGQAPGLNSVTSASLQQQPNSIHQQSSQQALATSVPKDADVGVAKVEEQLQSLPDGSSSEAIPTSGLAKNLMNEDDLKAPYAIDSPAAVSGSLTEPARNIRDIDLSPGQPLQYNQSSSGLGVIGRRSVSDLGAIGDSLSGSTNSGGMHDQLYNMQMLEAAYYKIPQPKDSERARSYTPVWHLH >KJB80952 pep chromosome:Graimondii2_0_v6:13:31606717:31612845:1 gene:B456_013G123000 transcript:KJB80952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKISASYEQALVDARKQIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTRPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFDDVDELYSSLPLDKVESLEDLVAIGPLSKGGPILKTSLAASSQLPQGGSSQEHVEDTASQDGNSDIAKTPPPKSSTINSSAAATPAGSHVTPAPLNLPPHSLSGASSPSVLPDSNSAQGLLESTGTTNPPSPVNLSNATKEEDITNFPGRRPSPSLADAGVRGIGRGLSGQPSSTIPLVSGSVASGNGALGAVPPASDMAKRNILGVDERLGNSSMGQSLTSPISNRMILPQAAKANDGSAPVDSSNPNESAGLPGRAFSPSMVSGMQWRPGSSFQNQNELVC >KJB80953 pep chromosome:Graimondii2_0_v6:13:31606717:31613360:1 gene:B456_013G123000 transcript:KJB80953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKISASYEQALVDARKQIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTRPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFDDVDELYSSLPLDKVESLEDLVAIGPLSKGGPILKTSLAASSQLPQGGSSQEHVEDTASQDGNSDIAKTPPPKSSTINSSAAATPAGSHVTPAPLNLPPHSLSGASSPSVLPDSNSAQGLLESTGTTNPPSPVNLSNATKEEDITNFPGRRPSPSLADAGVRGIGRGLSGQPSSTIPLVSGSVASGNGALGAVPPASDMAKRNILGVDERLGNSSMGQSLTSPISNRMILPQAAKANDGSAPVDSSNPNESAGLPGRAFSPSMVSGMQWRPGSSFQNQNELVQFRGRTEIAPDIREKFLQRFQQVQQQGHSNLLSSMSPLAGGNHNQFSAQQQSPLMQQFNPQSSCFSIPPGLGHGGQAPGLNSVTSASLQQQPNSIHQQSSQQALATSVPKDAGIIQFA >KJB80957 pep chromosome:Graimondii2_0_v6:13:31606698:31615807:1 gene:B456_013G123000 transcript:KJB80957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKISASYEQALVDARKQIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTRPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFDDVDELYSSLPLDKVESLEDLVAIGPLSKGGPILKTSLAASSQLPGGSSQEHVEDTASQDGNSDIAKTPPPKSSTINSSAAATPAGSHVTPAPLNLPPHSLSGASSPSVLPDSNSAQGLLESTGTTNPPSPVNLSNATKEEDITNFPGRRPSPSLADAGVRGIGRGLSGQPSSTIPLVSGSVASGNGALGAVPPASDMAKRNILGVDERLGNSSMGQSLTSPISNRMILPQAAKANDGSAPVDSSNPNESAGLPGRAFSPSMVSGMQWRPGSSFQNQNELVQFRGRTEIAPDIREKFLQRFQQVQQQGHSNLLSSMSPLAGGNHNQFSAQQQSPLMQQFNPQSSCFSIPPGLGHGGQAPGLNSVTSASLQQQPNSIHQQSSQQALATSVPKDADVGVAKVEEQLQSLPDGSSSEAIPTSGLAKNLMNEDDLKAPYAIDSPAAVSGSLTEPARNIRDIDLSPGQPLQYNQSSSGLGVIGRRSVSDLGAIGDSLSGSTNSGGMHDQLYNMQMLEAAYYKIPQPKDSERARSYTPKHPAATPASYPQVQAPIVNNPAFWERISMDGYGTGTDTLFVAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKIATDEFEQGTYVYFDFHVANDDHQHGWCQRIKTEFIFEYNYLEDELIV >KJB80958 pep chromosome:Graimondii2_0_v6:13:31606717:31615623:1 gene:B456_013G123000 transcript:KJB80958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKISASYEQALVDARKQIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTRPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFDDVDELYSSLPLDKVESLEDLVAIGPLSKGGPILKTSLAASSQLPQGGSSQEHVEDTASQDGNSDIAKTPPPKSSTINSSAAATPAGSHVTPAPLNLPPHSLSGASSPSVLPDSNSAQGLLESTGTTNPPSPVNLSNATKEEDITNFPGRRPSPSLADAGVRGIGRGLSGQPSSTIPLVSGSVASGNGALGAVPPASDMAKRNILGVDERLGNSSMGQSLTSPISNRMILPQAAKANDGSAPVDSSNPNESAGLPGRAFSPSMVSGMQWRPGSSFQNQNELVQFRGRTEIAPDIREKFLQRFQQVQQQGHSNLLSSMSPLAGGNHNQFSAQQQSPLMQQFNPQSSCFSIPPGLGHGGQAPGLNSVTSASLQQQPNSIHQQSSQQALATSVPKDADVGVAKVEEQLQSLPDGSSSEAIPTSGLAKNLMNEDDLKAPYAIDSPAAVSGSLTEPARNIRDIDLSPGQPLQYNQSSSGLGVIGRRSVSDLGAIGDSLSGSTNSGGMHDQLYNMQMLEAAYYKIPQPKDSERKHPAATPASYPQVQAPIVNNPAFWERISMDGYGTGTDTLFVAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKIATDEFEQGTYVYFDFHVANDDHQHGWCQRIKTEFIFEYNYLEDELIV >KJB81874 pep chromosome:Graimondii2_0_v6:13:44866697:44879643:-1 gene:B456_013G166000 transcript:KJB81874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPTTLARSSLEEMLESLRLRDNSDRPKDLPPALPSRPPSRARIRSGRWSAQPNFNTDSNSESGGEGQRKENELRVKRNTFWNKKRRKDVNVDSPYNVVAVEGIEGEERVEETGVLEGSDNIGYFIEKKLRVWCRQSNGVWVSGTIHSTSGEESFVSLANGNSKAGPILIAVNPFKDVQINGKDIVTAYKQKATDKPHVFAIAENAYNEMMNDGVNQSLMISGESGAGKTETAKFAVQYLAALGGGNVGIECQILQTSCLLEAFGNSKTSMNDNSSRFGKLIEIHFTAAGKICGSKIRTFLLEKSRVVQLANGERSYHIFYQLCAGAPQALRERLNLKMANEYNYLAQSDCLVINGVDDAQRFLKFMETLDIFQISKGEQDQAFAMLAAVLWLGNISFHAIDYENHVEASNDEALINAARLIGCEPHELKEALSARRIQTEEGNIVEKFTMQQAIDARDALAKFIYASLFDWLVEQINNLLEVGKQHTGWSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHIFKLEQEEYELDGIDGVKVDFADNQECLDLFEKKPIGLLSLLDEDLHSPDANDATLANKLKQNLNGMACFKGDKGRVFGVRHFAGEVLYDTNDFLKKNQDSLNPELIELLSSCNGQLPQLFAIKMLNQTLEPATSLDSPNQSVSAKFKGKLFKLMQRLEKTKPHFICCIKPNRKQLPGMYEEDLVSQQLRCSGVLEAVRMSRSGYPTRMTHQEFADRYGFLLLETNESQDPLSISVAVLKQFNMLPGMYQIGYTKLYLRIGLIGVLEDRRKQVLQTGKYTDEANKGSTLLTAIIGLQSVIRGCLVRKHFSNSHKLIPSRSKQKLVRENSEANDIPNEQSSAMAELQRRVVDAEASLGQKQQENATLQEQLQQYEAKMKKMDEQLQQSEAKMKKTEEQLQQSEAKMKKTEEMLRKQTAPLQASLAAAKKSEGSAMEEVGGRENGVSSLVKEFEQQKQSFEDDINKTTQPASNMNSYEELRKLKRRFRAWKKDYKVRLKETKAIVRKHRHQDSDKTRKKWWPNRGKVLQNCGKSPS >KJB81876 pep chromosome:Graimondii2_0_v6:13:44866697:44879643:-1 gene:B456_013G166000 transcript:KJB81876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPTTLARSSLEEMLESLRLRDNSDRPKDLPPALPSRPPSRARIRSGRWSAQPNFNTDSNSESGGEGQRKENELRVKRNTFWNKKRRKDVNVDSPYNVVAVEGIEGEERVEETGVLEGSDNIGYFIEKKLRVWCRQSNGVWVSGTIHSTSGEESFVSLANGNSKAGPILIAVNPFKDVQINGKDIVTAYKQKATDKPHVFAIAENAYNEMMNDGVNQSLMISGESGAGKTETAKFAVQYLAALGGGNVGIECQILQTSCLLEAFGNSKTSMNDNSSRFGKLIEIHFTAAGKICGSKIRTFLLEKSRVVQLANGERSYHIFYQLCAGAPQALRERLNLKMANEYNYLAQSDCLVINGVDDAQRFLKFMETLDIFQISKGEQDQAFAMLAAVLWLGNISFHAIDYENHVEASNDEALINAARLIGCEPHELKEALSARRIQTEEGNIVEKFTMQQAIDARDALAKFIYASLFDWLVEQINNLLEVGKQHTGWSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHIFKLEQEEYELDGIDGVKVDFADNQECLDLFEKKPIGLLSLLDEDLHSPDANDATLANKLKQNLNGMACFKGDKGRVFGVRHFAGEVLYDTNDFLKKNQDSLNPELIELLSSCNGQLPQLFAIKMLNQTLEPATSLDSPNQSVSAKFKGKLFKLMQRLEKTKPHFICCIKPNRKQLPGMYEEDLVSQQLRCSGVLEAVRMSRSGYPTRMTHQEFADRYGFLLLETNESQDPLSISVAVLKQFNMLPGMYQIGYTKLYLRIGLIGVLEDRRKQVLQTGKYTDEANKGSTLLTAIIGLQSVIRGCLVRKHFSNSHKLIPSRSKQKLDIPNEQSSAMAELQRRVVDAEASLGQKQQENATLQEQLQQYEAKMKKMDEQLQQSEAKMKKTEEQLQQSEAKMKKTEEMLRKQTAPLQASLAAAKKSEGSAMEEVGGRENGVSSLVKEFEQQKQSFEDDINKTTQPASNMNSYEELRKLKRRFRAWKKDYKVRLKETKAIVRKHRHQDSDKTRKKWWPNRGKVLQNCGKSPS >KJB81875 pep chromosome:Graimondii2_0_v6:13:44866364:44879951:-1 gene:B456_013G166000 transcript:KJB81875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDGVNQSLMISGESGAGKTETAKFAVQYLAALGGGNVGIECQILQTSCLLEAFGNSKTSMNDNSSRFGKLIEIHFTAAGKICGSKIRTFLLEKSRVVQLANGERSYHIFYQLCAGAPQALRERLNLKMANEYNYLAQSDCLVINGVDDAQRFLKFMETLDIFQISKGEQDQAFAMLAAVLWLGNISFHAIDYENHVEASNDEALINAARLIGCEPHELKEALSARRIQTEEGNIVEKFTMQQAIDARDALAKFIYASLFDWLVEQINNLLEVGKQHTGWSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHIFKLEQEEYELDGIDGVKVDFADNQECLDLFEKKPIGLLSLLDEDLHSPDANDATLANKLKQNLNGMACFKGDKGRVFGVRHFAGEVLYDTNDFLKKNQDSLNPELIELLSSCNGQLPQLFAIKMLNQTLEPATSLDSPNQSVSAKFKGKLFKLMQRLEKTKPHFICCIKPNRKQLPGMYEEDLVSQQLRCSGVLEAVRMSRSGYPTRMTHQEFADRYGFLLLETNESQDPLSISVAVLKQFNMLPGMYQIGYTKLYLRIGLIGVLEDRRKQVLQTGKYTDEANKGSTLLTAIIGLQSVIRGCLVRKHFSNSHKLIPSRSKQKLDIPNEQSSAMAELQRRVVDAEASLGQKQQENATLQEQLQQYEAKMKKMDEQLQQSEAKMKKTEEQLQQSEAKMKKTEEMLRKQTAPLQASLAAAKKSEGSAMEEVGGRENGVSSLVKEFEQQKQSFEDDINKTTQPASNMNSYEELRKLKRRFRAWKKDYKVRLKETKAIVRKHRHQDSDKTRKKWWPNRGKVLQNCGKSPS >KJB81873 pep chromosome:Graimondii2_0_v6:13:44866350:44879951:-1 gene:B456_013G166000 transcript:KJB81873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNDGVNQSLMISGESGAGKTETAKFAVQYLAALGGGNVGIECQILQTSCLLEAFGNSKTSMNDNSSRFGKLIEIHFTAAGKICGSKIRTFLLEKSRVVQLANGERSYHIFYQLCAGAPQALRERLNLKMANEYNYLAQSDCLVINGVDDAQRFLKFMETLDIFQISKGEQDQAFAMLAAVLWLGNISFHAIDYENHVEASNDEALINAARLIGCEPHELKEALSARRIQTEEGNIVEKFTMQQAIDARDALAKFIYASLFDWLVEQINNLLEVGKQHTGWSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHIFKLEQEEYELDGIDGVKVDFADNQECLDLFEKKPIGLLSLLDEDLHSPDANDATLANKLKQNLNGMACFKGDKGRVFGVRHFAGEVLYDTNDFLKKNQDSLNPELIELLSSCNGQLPQLFAIKMLNQTLEPATSLDSPNQSVSAKFKGKLFKLMQRLEKTKPHFICCIKPNRKQLPGMYEEDLVSQQLRCSGVLEAVRMSRSGYPTRMTHQEFADRYGFLLLETNESQDPLSISVAVLKQFNMLPGMYQIGYTKLYLRIGLIGVLEDRRKQVLQTGKYTDEANKGSTLLTAIIGLQSVIRGCLVRKHFSNSHKLIPSRSKQKLVRENSEANDIPNEQSSAMAELQRRVVDAEASLGQKQQENATLQEQLQQYEAKMKKMDEQLQQSEAKMKKTEEQLQQSEAKMKKTEEMLRKQTAPLQASLAAAKKSEGSAMEEVGGRENGVSSLVKEFEQQKQSFEDDINKTTQPASNMNSYEELRKLKRRFRAWKKDYKVRLKETKAIVRKHRHQDSDKTRKKWWPNRGKVLQNCGKSPS >KJB83322 pep chromosome:Graimondii2_0_v6:13:56125946:56133469:1 gene:B456_013G242200 transcript:KJB83322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPLSAWPWEHLGIFKYILYGPLAAKAWYSWMYEDNILKDLWCIHILLICTLRGLIHQLWSSYNNMFFLTRNRWIKQQGVDFKQIDDEWDWDNFIILQAMLASMASLIFPSLNTLPLWNLKGFIASLLLHVTISEPLYYWAHRFFHKPYLFNHYHSLHHSSPVPHPFTAGHATPLEHLVLCTVIGIPITGSILMGYGSTAMIYGHVLVFDFFRCLGHSNAEVVPHEVFNKLPLLRYFIYTPTYHSLHHTEMETNFCLFMPLFDALGSTLNTKSLELHKKITSNSGKNGRVPDFVFLAHVVDIMSAMHTPFALRSFASTPFCMRMFLLPFWPLTFIIMLVMWGWSKTFLFSFYNLRGRLHQTWVVPRFGFQYFLPFATKGINKHIEEAILRADRLGVKVISLAALNKNEALNGGGTLFVNKHPELKVRVVHGNTLTAAVILNEFSKDVKEVFLTGATSKLGRATALYLCRKRVRVLMLTSSTERFQKILKETPVDCQNYLVQVTKYQAAQNCKYTMERGVVHACHAGGVVHQLEGWSHHEVGAIDVDRIDLVWEAALKHGLKPVSSVNN >KJB83325 pep chromosome:Graimondii2_0_v6:13:56126961:56133469:1 gene:B456_013G242200 transcript:KJB83325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASMASLIFPSLNTLPLWNLKGFIASLLLHVTISEPLYYWAHRFFHKPYLFNHYHSLHHSSPVPHPFTAGHATPLEHLVLCTVIGIPITGSILMGYGSTAMIYGHVLVFDFFRCLGHSNAEVVPHEVFNKLPLLRYFIYTPTYHSLHHTEMETNFCLFMPLFDALGSTLNTKSLELHKKITSNSGKNGRVPDFVFLAHVVDIMSAMHTPFALRSFASTPFCMRMFLLPFWPLTFIIMLVMWGWSKTFLFSFYNLRGRLHQTWVVPRFGFQYFLPFATKGINKHIEEAILRADRLGVKVISLAALNKNEALNGGGTLFVNKHPELKVRVVHGNTLTAAVILNEFSKDVKEVFLTGATSKLGRATALYLCRKRVRVLMLTSSTERFQKILKETPVDCQNYLVQVTKYQAAQNCKTWIVGKWITPWEQSWAPSGTHFHQFVVPPILPIRRDCTYGDLAAMRLPPDVEGLGSCEYTMERGVVHACHAGGVVHQLEGWSHHEVGAIDVDRIDLVWEAALKHGLKPVSSVNN >KJB83321 pep chromosome:Graimondii2_0_v6:13:56125946:56132151:1 gene:B456_013G242200 transcript:KJB83321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPLSAWPWEHLGIFKYILYGPLAAKAWYSWMYEDNILKDLWCIHILLICTLRGLIHQLWSSYNNMFFLTRNRWIKQQGVDFKQIDDEWDWDNFIILQAMLASMASLIFPSLNTLPLWNLKGFIASLLLHVTISEPLYYWAHRFFHKPYLFNHYHSLHHSSPVPHPFTAGHATPLEHLVLCTVIGIPITGSILMGYGSTAMIYGHVLVFDFFRCLGHSNAEVVPHEVFNKLPLLRYFIYTPTYHSLHHTEMETNFCLFMPLFDALGSTLNTKSLELHKKITSNSGKNGRVPDFVFLAHVVDIMSAMHTPFALRSFASTPFCMRMFLLPFWPLTFIIMLVMWGWSKTFLFSFYNLRGRLHQTWVVPRFGFQYFLPFATKGINKHIEEAILRADRLGVKVISLAALNKNEALNGGGTLFVNKHPELKVRVVHGNTLTAAVILNEFSKDVKEVFLTGATSKLGRATALYLCRKRVRVLMLTSSTERFQKILKETPVDCQNYLVQVTKYQAAQNCKV >KJB83318 pep chromosome:Graimondii2_0_v6:13:56125944:56133469:1 gene:B456_013G242200 transcript:KJB83318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPLSAWPWEHLGIFKYILYGPLAAKAWYSWMYEDNILKDLWCIHILLICTLRGLIHQLWSSYNNMFFLTRNRWIKQQGVDFKQIDDEWDWDNFIILQAMLASMASLIFPSLNTLPLWNLKGFIASLLLHVTISEPLYYWAHRFFHKPYLFNHYHSLHHSSPVPHPFTAGHATPLEHLVLCTVIGIPITGSILMGYGSTAMIYGHVLVFDFFRCLGHSNAEVVPHEVFNKLPLLRYFIYTPTYHSLHHTEMETNFCLFMPLFDALGSTLNTKSLELHKKITSNSGKNGRVPDFVFLAHVVDIMSAMHTPFALRSFASTPFCMRMFLLPFWPLTFIIMLVMWGWSKTFLFSFYNLRGRLHQTWVVPRFGFQYFLPFATKGINKHIEEAILRADRLGVKVISLAALNKNEALNGGGTLFVNKHPELKVRVVHGNTLTAAVILNEFSKDVKEVFLTGATSKLGRATALYLCRKRVRVLMLTSSTERFQKILKETPVDCQNYLVQVTKYQAAQNCKTWIVGKWITPWEQSWAPSGTHFHQFVVPPILPIRRDCTYGDLAAMRLPPDVEGLGSCEYTMERGVVHACHAGGVVHQLEGWSHHEVGAIDVDRIDLVWEAALKHGLKPVSSVNN >KJB83324 pep chromosome:Graimondii2_0_v6:13:56126355:56133469:1 gene:B456_013G242200 transcript:KJB83324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEDNILKDLWCIHILLICTLRGLIHQLWSSYNNMFFLTRNRWIKQQGVDFKQIDDEWDWDNFIILQAMLASMASLIFPSLNTLPLWNLKGFIASLLLHVTISEPLYYWAHRFFHKPYLFNHYHSLHHSSPVPHPFTAGHATPLEHLVLCTVIGIPITGSILMGYGSTAMIYGHVLVFDFFRCLGHSNAEVVPHEVFNKLPLLRYFIYTPTYHSLHHTEMETNFCLFMPLFDALGSTLNTKSLELHKKITSNSGKNGRVPDFVFLAHVVDIMSAMHTPFALRSFASTPFCMRMFLLPFWPLTFIIMLVMWGWSKTFLFSFYNLRGRLHQTWVVPRFGFQYFLPFATKGINKHIEEAILRADRLGVKVISLAALNKNEALNGGGTLFVNKHPELKVRVVHGNTLTAAVILNEFSKDVKEVFLTGATSKLGRATALYLCRKRVRVLMLTSSTERFQKILKETPVDCQNYLVQVTKYQAAQNCKTWIVGKWITPWEQSWAPSGTHFHQFVVPPILPIRRDCTYGDLAAMRLPPDVEGLGSCEYTMERGVVHACHAGGVVHQLEGWSHHEVGAIDVDRIDLVWEAALKHGLKPVSSVNN >KJB83319 pep chromosome:Graimondii2_0_v6:13:56125946:56130973:1 gene:B456_013G242200 transcript:KJB83319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPLSAWPWEHLGIFKYILYGPLAAKAWYSWMYEDNILKDLWCIHILLICTLRGLIHQLWSSYNNMFFLTRNRWIKQQGVDFKQIDDEWDWDNFIILQAMLASMASLIFPSLNTLPLWNLKGFIASLLLHVTISEPLYYWAHRFFHKPYLFNHYHSLHHSSPVPHPFTAGHATPLEHLVLCTVIGIPITGSILMGYGSTAMIYGHVLVFDFFRCLGHSNAEVVPHEVFNKLPLLRYFIYTPTYHSLHHTEMETNFCLFMPLFDALGSTLNTKSLELHKKITSNSGKNGRVPDFVFLAHVVDIMSAMHTPFALRSFASTPFCMRMFLLPFWPLTFIIMLVMWGWSKTFLFSFYNLRGRLHQTWVVPRFGFQYFLPFATKGINKHIEEAILRADRLGVKVISLAALNKQCNDYI >KJB83323 pep chromosome:Graimondii2_0_v6:13:56125946:56133469:1 gene:B456_013G242200 transcript:KJB83323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPLSAWPWEHLGIFKYILYGPLAAKAWYSWMYEDNILKDLWCIHILLICTLRGLIHQLWSSYNNMFFLTRNRWIKQQGVDFKQIDDEWDWDNFIILQAMLASMASLIFPSLNTLPLWNLKGFIASLLLHVTISEPLYYWAHRFFHKPYLFNHYHSLHHSSPVPHPFTAGHATPLEHLVLCTVIGIPITGSILMGYGSTAMIYGHVLVFDFFRCLGHSNAEVVPHEVFNKLPLLRYFIYTPTLHHTEMETNFCLFMPLFDALGSTLNTKSLELHKKITSNSGKNGRVPDFVFLAHVVDIMSAMHTPFALRSFASTPFCMRMFLLPFWPLTFIIMLVMWGWSKTFLFSFYNLRGRLHQTWVVPRFGFQYFLPFATKGINKHIEEAILRADRLGVKVISLAALNKNEALNGGGTLFVNKHPELKVRVVHGNTLTAAVILNEFSKDVKEVFLTGATSKLGRATALYLCRKRVRVLMLTSSTERFQKILKETPVDCQNYLVQVTKYQAAQNCKTWIVGKWITPWEQSWAPSGTHFHQFVVPPILPIRRDCTYGDLAAMRLPPDVEGLGSCEYTMERGVVHACHAGGVVHQLEGWSHHEVGAIDVDRIDLVWEAALKHGLKPVSSVNN >KJB83320 pep chromosome:Graimondii2_0_v6:13:56125946:56131493:1 gene:B456_013G242200 transcript:KJB83320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPLSAWPWEHLGIFKYILYGPLAAKAWYSWMYEDNILKDLWCIHILLICTLRGLIHQLWSSYNNMFFLTRNRWIKQQGVDFKQIDDEWDWDNFIILQAMLASMASLIFPSLNTLPLWNLKGFIASLLLHVTISEPLYYWAHRFFHKPYLFNHYHSLHHSSPVPHPFTAGHATPLEHLVLCTVIGIPITGSILMGYGSTAMIYGHVLVFDFFRCLGHSNAEVVPHEVFNKLPLLRYFIYTPTYHSLHHTEMETNFCLFMPLFDALGSTLNTKSLELHKKITSNSGKNGRVPDFVFLAHVVDIMSAMHTPFALRSFASTPFCMRMFLLPFWPLTFIIMLVMWGWSKTFLFSFYNLRGRLHQTWVVPRFGFQYFLPFATKGINKHIEEAILRADRLGVKVISLAALNKNEALNGGGTLFVNKHPELKVRVVHGNTLTAAVILNEFSKDVKEVFLTGATSKLGRATALYLCRKRVRVLVSLLTRNFALYRIV >KJB78488 pep chromosome:Graimondii2_0_v6:13:132829:141250:1 gene:B456_013G001800 transcript:KJB78488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MGREKEKEKLSEKALNLLRSRLSDPNFIFRPLSDSPDSNYSKLKFIISTSVTEACNNSILLLGPRGSGKVAVLELVLSDLLQQYPEAISVIRLNGLLHSDDNCALKEIARQLCMEHQLLFSKVASFDDNSQFMIAMLRECGLAHKTIIFVLDEFDFFAQGKQRLLYSLLDAMQSVNSQAVVIGVSCRLDVDQLLEKRVRSRFSHRKLLFLSPSKEDTERFIEHILSLPMDSSLPHNYAAEFNGRLKKLLSDERFKELIDTYLSFNFTIGHLVRFLFQAVSYMDLSAGFLSLGNFKTALSSNQRQPKLECIRDCSVLELYMMVCMKRLEVKEQASYNFYSVMTEYKSIHDSFQTSDYYAANVCLRAFEHLLQCQLISFIDNKGHNQSVEFRPVKLLISSAELHQGLKSYQQCPAILLKLMDR >KJB78489 pep chromosome:Graimondii2_0_v6:13:132824:141260:1 gene:B456_013G001800 transcript:KJB78489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MGREKEKEKLSEKALNLLRSRLSDPNFIFRPLSDSPDSNYSKLKFIISTSVTEACNNSILLLGPRGSGKVAVLELVLSDLLQQYPEAISVIRLNGLLHSDDNCALKEIARQLCMEHQLLFSKVASFDDNSQFMIAMLRECGLAHKTIIFVLDEFDFFAQGKQRLLYSLLDAMQSVNSQAVVIGVSCRLDVDQLLEKRVRSRFSHRKLLFLSPSKEDTERFIEHILSLPMDSSLPHNYAAEFNGRLKKLLSDERFKELIDTYLSFNFTIGHLVRFLFQAVSYMDLSAGFLSLGNFKTALSSNQRQPKLECIRDCSVLELYMMVCMKRLEVKEQASYNFYSVMTEYKSIHDSFQTSDYYAANVCLRAFEHLLQCQLISFIDNKGHNQSVEFRPVKLLISSAELHQGLKSYQQCPAILLKLMDR >KJB83651 pep chromosome:Graimondii2_0_v6:13:57281865:57285049:-1 gene:B456_013G256800 transcript:KJB83651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGSKRRVVVVGGGIAGSILARSIQFNADLTLIDPKEYLEIKWAQLRSKVEPSFAERAVVNYRDFFSNGRIITSTAINITENEVLTADGQHIGYDYLVIATGHSDNVPVSKTERLHYYDAENQKIQSARSILIVGGGPSGVELAGEISTDFPDKKVTLVHKGPRLLEYIGPKASDKGLSLLRSKKVDVKLQQSVDLKSASDGSQLYRTSTGESIKADCHFLCTRVPLSTAWLNETILKTNLDKDGRLKVDEYLRVKGRSNIFAIGDITDVPELKLGHAAIHHAYLVAKNLKLLMGGGKESKMSVYKPGPEVAMVSLGRKHAVAQFPFATLGGRIPGLIKSGDMFVGRTRKHLGLEP >KJB83650 pep chromosome:Graimondii2_0_v6:13:57282162:57283461:-1 gene:B456_013G256800 transcript:KJB83650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLYCLFFGDYVLLNLLLLSYMLTENQKIQSARSILIVGGGPSGVELAGEISTDFPDKKVTLVHKGPRLLEYIGPKASDKGLSLLRSKKVDVKLQQSVDLKSASDGSQLYRTSTGESIKADCHFLCTRVPLSTAWLNETILKTNLDKDGRLKVDEYLRVKGRSNIFAIGDITDVPELKLGHAAIHHAYLVAKNLKLLMGGGKESKMSVYKPGPEVAMVSLGRKHAVAQFPFATLGGRIPGLIKSGDMFVGRTRKHLGLEP >KJB81739 pep chromosome:Graimondii2_0_v6:13:43653800:43656783:-1 gene:B456_013G159700 transcript:KJB81739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIEENMKSTHIRQILSQAISLGMVISSALIIWKGLICITGSESPVVVVLSGSMKPGFKRVHEQRDSKEADILTKGDANLYDDRMLYTSSNRWLQQKYIMGRAVGKDPITFTYAYLRACRSQICGSNSKPCSKVFL >KJB82449 pep chromosome:Graimondii2_0_v6:13:50405559:50423635:1 gene:B456_013G197700 transcript:KJB82449 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROOT GROWTH DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G54280) UniProtKB/TrEMBL;Acc:F4JCU6] MAQQQSSRLNRLLTLLDTGSTQATRFTAARQIGDIAKSHPQDLSSLLKKVSSYLHSKNWETRVAAAHAIGAIAQNVKHTSLADLFSSVGAKMTGAGISANVKDVVVSPELHSKIVSGVSFRSFDINKVLEFGALLASGGQEYDIANDNLKNPKERLARQKQNLKRRLGLDMCEQFMDVGDMIRDEDLVVHKYHQGNGLDNRFYTPPSVNNIQQFVSRMVPNVISKRRPSARELNMLKRKAKINSKDQAKGWSDDGDTDLSPAHNVSTPRGACPDPLGSSKFDAVTDEDSSDHDGDGRWPFRSFVEQLILDMFDPVWEIRHGSVMALREILTHHGGSAGVYLPDLNSDDALFLEVKDIEYPIKIKREREIDLNMQVSPDELEPNLKKPKIEDEPFLVLDKVSSAGQHGGFDVAIKIEDSGWTFPSGQFNGQHDISSMKMESEFYDNDVMYQSKEAVVVEEPKSYYEDKGAFANSDVLKDLPENCELINFVKLARHSWLKNCEFLQDCAVRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGATFKYMHPSLVHETLNVLLQMQRRPEWEIRHGSLLGIKYLVAVRQEMLQDLLGYVLPACKAGLEDPDDDVRAVAADALIPAADAIVALKGQSLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEDMMPKMFGTPTAKEKQNFDLNEVVDVEEVGEAKDLQENPYMLSMLAPRLWPFMRHSITSVRHSAIRTLERLLQAGYKRSISEPSGSSFWPSFILGDTLRIVFQNLLLESNEEILQCSERVWRLLVQCPVGDLEVAAASFMSSWIELATTSYGSTLDATKMFWPVALPRKSHHKAAAKMKAVKLENESYGTTGLDSVRGAVSQENNGDTSSNLVKIIVGADAEMSVTNTRVITASALGIFASKLQANSLQCVVDPLWNALTSLSGVQRQVASVVLISWFKEIKSRDSSGNQEIIHSFPDHLRKWLLDLLACSDPAFPTKDSVLPYAELSRTFAKMRNEASQLLHAVESSGMFVDILSTMKVNVESVTVDEAISFASKLLLLSNDNAENESMKRNIDDIESAKQRLIATSGYLKCVQSNLHVTVTSLVAAAVVWMSELPARLNPIILPLMASIKREQEEILQQKAAEALAELIYHCIARKPSPNDKLIKNICSLACSDPSETPQAAVINSMEIIDDQDFLSFGTSTGKPKSKVHMLAGAEDRSKVEGFISRRGSELALRHLCEKFGPTLFEKLPKVWDCITEVLLPSSPSEDHQIVQAVESVKDPQILINNIQVVRSIAPVLDESLKPKLLMLLPCIFKCVSHSHVAVRLAASRCIMTMAKSMTVNVMRAVIENAIPMLGDVTSVHARQGAGMLITLLVQGLSVELVPYAPLLVVPLLRCMSDCDHSVRQSVTRSFAALVPLLPLARGLPPPVGLSEGLSRNAEDAKFLEQLLDNSHIDDYKLFTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASEIAEYRASNKDVDPPPSLIVCPSTLVGHWAFEIEKYIDASLISTLQYVGSVQDRVALREQFDKHNVVITSYDVVRKDAEYLAQFPWNYCILDEGHIIKSAKSKITLAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTERQFQATYGKPLLAARDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPAQLLLYEQFSGSHVKQEISSMVKTDESGVAGGAKQTSPKASTHVFQALQYLLKLCSHPLLVVGDKVPESLTSQLSELFPANSDVISELRKLHHSPKLVALQEILEECGIGVDTSASDGAVTVGQHRVLIFAQHKALLDIIEKDLFHTHMKNVTYLRLDGSVEPEKRFDIVKAFNSDPTIDALLLTTHGKTFLLAEGSLL >KJB82448 pep chromosome:Graimondii2_0_v6:13:50405230:50425237:1 gene:B456_013G197700 transcript:KJB82448 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROOT GROWTH DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G54280) UniProtKB/TrEMBL;Acc:F4JCU6] MAQQQSSRLNRLLTLLDTGSTQATRFTAARQIGDIAKSHPQDLSSLLKKVSSYLHSKNWETRVAAAHAIGAIAQNVKHTSLADLFSSVGAKMTGAGISANVKDVVVSPELHSKIVSGVSFRSFDINKVLEFGALLASGGQEYDIANDNLKNPKERLARQKQNLKRRLGLDMCEQFMDVGDMIRDEDLVVHKYHQGNGLDNRFYTPPSVNNIQQFVSRMVPNVISKRRPSARELNMLKRKAKINSKDQAKGWSDDGDTDLSPAHNVSTPRGACPDPLGSSKFDAVTDEDSSDHDGDGRWPFRSFVEQLILDMFDPVWEIRHGSVMALREILTHHGGSAGVYLPDLNSDDALFLEVKDIEYPIKIKREREIDLNMQVSPDELEPNLKKPKIEDEPFLVLDKVSSAGQHGGFDVAIKIEDSGWTFPSGQFNGQHDISSMKMESEFYDNDVMYQSKEAVVVEEPKSYYEDKGAFANSDVLKDLPENCELINFVKLARHSWLKNCEFLQDCAVRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGATFKYMHPSLVHETLNVLLQMQRRPEWEIRHGSLLGIKYLVAVRQEMLQDLLGYVLPACKAGLEDPDDDVRAVAADALIPAADAIVALKGQSLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEDMMPKMFGTPTAKEKQNFDLNEVVDVEEVGEAKDLQENPYMLSMLAPRLWPFMRHSITSVRHSAIRTLERLLQAGYKRSISEPSGSSFWPSFILGDTLRIVFQNLLLESNEEILQCSERVWRLLVQCPVGDLEVAAASFMSSWIELATTSYGSTLDATKMFWPVALPRKSHHKAAAKMKAVKLENESYGTTGLDSVRGAVSQENNGDTSSNLVKIIVGADAEMSVTNTRVITASALGIFASKLQANSLQCVVDPLWNALTSLSGVQRQVASVVLISWFKEIKSRDSSGNQEIIHSFPDHLRKWLLDLLACSDPAFPTKDSVLPYAELSRTFAKMRNEASQLLHAVESSGMFVDILSTMKVNVESVTVDEAISFASKLLLLSNDNAENESMKRNIDDIESAKQRLIATSGYLKCVQSNLHVTVTSLVAAAVVWMSELPARLNPIILPLMASIKREQEEILQQKAAEALAELIYHCIARKPSPNDKLIKNICSLACSDPSETPQAAVINSMEIIDDQDFLSFGTSTGKPKSKVHMLAGAEDRSKVEGFISRRGSELALRHLCEKFGPTLFEKLPKVWDCITEVLLPSSPSEDHQIVQAVESVKDPQILINNIQVVRSIAPVLDESLKPKLLMLLPCIFKCVSHSHVAVRLAASRCIMTMAKSMTVNVMRAVIENAIPMLGDVTSVHARQGAGMLITLLVQGLSVELVPYAPLLVVPLLRCMSDCDHSVRQSVTRSFAALVPLLPLARGLPPPVGLSEGLSRNAEDAKFLEQLLDNSHIDDYKLFTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASEIAEYRASNKDVDPPPSLIVCPSTLVGHWAFEIEKYIDASLISTLQYVGSVQDRVALREQFDKHNVVITSYDVVRKDAEYLAQFPWNYCILDEGHIIKSAKSKITLAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTERQFQATYGKPLLAARDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPAQLLLYEQFSGSHVKQEISSMVKTDESGVAGGAKQTSPKASTHVFQALQYLLKLCSHPLLVVGDKVPESLTSQLSELFPANSDVISELRKLHHSPKLVALQEILEECGIGVDTSASDGAVTVGQHRVLIFAQHKALLDIIEKDLFHTHMKNVTYLRLDGSVEPEKRFDIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLIFMEHDWNPMRDHQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKLSVANAVINAENASLKTMNTDQLLDLFASAETSKKGATASKRSDSGIDGDPKLMGTGKGLKAILGGLEELWDQSQYTEEYNLSQFLAKLNG >KJB82451 pep chromosome:Graimondii2_0_v6:13:50412300:50424746:1 gene:B456_013G197700 transcript:KJB82451 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROOT GROWTH DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G54280) UniProtKB/TrEMBL;Acc:F4JCU6] MLQDLLGYVLPACKAGLEDPDDDVRAVAADALIPAADAIVALKGQSLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEDMMPKMFGTPTAKEKQNFDLNEVVDVEEVGEAKDLQENPYMLSMLAPRLWPFMRHSITSVRHSAIRTLERLLQAGYKRSISEPSGSSFWPSFILGDTLRIVFQNLLLESNEEILQCSERVWRLLVQCPVGDLEVAAASFMSSWIELATTSYGSTLDATKMFWPVALPRKSHHKAAAKMKAVKLENESYGTTGLDSVRGAVSQENNGDTSSNLVKIIVGADAEMSVTNTRVITASALGIFASKLQANSLQCVVDPLWNALTSLSGVQRQVASVVLISWFKEIKSRDSSGNQEIIHSFPDHLRKWLLDLLACSDPAFPTKDSVLPYAELSRTFAKMRNEASQLLHAVESSGMFVDILSTMKVNVESVTVDEAISFASKLLLLSNDNAENESMKRNIDDIESAKQRLIATSGYLKCVQSNLHVTVTSLVAAAVVWMSELPARLNPIILPLMASIKREQEEILQQKAAEALAELIYHCIARKPSPNDKLIKNICSLACSDPSETPQAAVINSMEIIDDQDFLSFGTSTGKPKSKVHMLAGAEDRSKVEGFISRRGSELALRHLCEKFGPTLFEKLPKVWDCITEVLLPSSPSEDHQIVQAVESVKDPQILINNIQVVRSIAPVLDESLKPKLLMLLPCIFKCVSHSHVAVRLAASRCIMTMAKSMTVNVMRAVIENAIPMLGDVTSVHARQGAGMLITLLVQGLSVELVPYAPLLVVPLLRCMSDCDHSVRQSVTRSFAALVPLLPLARGLPPPVGLSEGLSRNAEDAKFLEQLLDNSHIDDYKLFTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASEIAEYRASNKDVDPPPSLIVCPSTLVGHWAFEIEKYIDASLISTLQYVGSVQDRVALREQFDKHNVVITSYDVVRKDAEYLAQFPWNYCILDEGHIIKSAKSKITLAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTERQFQATYGKPLLAARDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPAQLLLYEQFSGSHVKQEISSMVKTDESGVAGGAKQTSPKASTHVFQALQYLLKLCSHPLLVVGDKVPESLTSQLSELFPANSDVISELRKLHHSPKLVALQEILEECGIGVDTSASDGAVTVGQHRVLIFAQHKALLDIIEKDLFHTHMKNVTYLRLDGSVEPEKRFDIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLIFMEHDWNPMRDHQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKLSVANAVINAENASLKTMNTDQLLDLFASAETSKKGATASKRSDSGIDGDPKLMGTGKGLKAILGGLEELWDQSQYTEEYNLSQFLAKLNG >KJB82450 pep chromosome:Graimondii2_0_v6:13:50411473:50424746:1 gene:B456_013G197700 transcript:KJB82450 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROOT GROWTH DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G54280) UniProtKB/TrEMBL;Acc:F4JCU6] MSCCKCRPEWEIRHGSLLGIKYLVAVRQEMLQDLLGYVLPACKAGLEDPDDDVRAVAADALIPAADAIVALKGQSLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEDMMPKMFGTPTAKEKQNFDLNEVVDVEEVGEAKDLQENPYMLSMLAPRLWPFMRHSITSVRHSAIRTLERLLQAGYKRSISEPSGSSFWPSFILGDTLRIVFQNLLLESNEEILQCSERVWRLLVQCPVGDLEVAAASFMSSWIELATTSYGSTLDATKMFWPVALPRKSHHKAAAKMKAVKLENESYGTTGLDSVRGAVSQENNGDTSSNLVKIIVGADAEMSVTNTRVITASALGIFASKLQANSLQCVVDPLWNALTSLSGVQRQVASVVLISWFKEIKSRDSSGNQEIIHSFPDHLRKWLLDLLACSDPAFPTKDSVLPYAELSRTFAKMRNEASQLLHAVESSGMFVDILSTMKVNVESVTVDEAISFASKLLLLSNDNAENESMKRNIDDIESAKQRLIATSGYLKCVQSNLHVTVTSLVAAAVVWMSELPARLNPIILPLMASIKREQEEILQQKAAEALAELIYHCIARKPSPNDKLIKNICSLACSDPSETPQAAVINSMEIIDDQDFLSFGTSTGKPKSKVHMLAGAEDRSKVEGFISRRGSELALRHLCEKFGPTLFEKLPKVWDCITEVLLPSSPSEDHQIVQAVESVKDPQILINNIQVVRSIAPVLDESLKPKLLMLLPCIFKCVSHSHVAVRLAASRCIMTMAKSMTVNVMRAVIENAIPMLGDVTSVHARQGAGMLITLLVQGLSVELVPYAPLLVVPLLRCMSDCDHSVRQSVTRSFAALVPLLPLARGLPPPVGLSEGLSRNAEDAKFLEQLLDNSHIDDYKLFTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASEIAEYRASNKDVDPPPSLIVCPSTLVGHWAFEIEKYIDASLISTLQYVGSVQDRVALREQFDKHNVVITSYDVVRKDAEYLAQFPWNYCILDEGHIIKSAKSKITLAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTERQFQATYGKPLLAARDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPAQLLLYEQFSGSHVKQEISSMVKTDESGVAGGAKQTSPKASTHVFQALQYLLKLCSHPLLVVGDKVPESLTSQLSELFPANSDVISELRKLHHSPKLVALQEILEECGIGVDTSASDGAVTVGQHRVLIFAQHKALLDIIEKDLFHTHMKNVTYLRLDGSVEPEKRFDIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLIFMEHDWNPMRDHQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKLSVANAVINAENASLKTMNTDQLLDLFASAETSKKGATASKRSDSGIDGDPKLMGTGKGLKAILGGLEELWDQSQYTEEYNLSQFLAKLNG >KJB80412 pep chromosome:Graimondii2_0_v6:13:15977550:15977916:-1 gene:B456_013G095900 transcript:KJB80412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAYDRNVCAKTDYCKYDNQLLTLFKSSLYFAGLVSTFGASYVTRNKGRRANILVGAVSFFLGGASNAGIQHLLMHLEIWMILLQWCTCLQC >KJB83630 pep chromosome:Graimondii2_0_v6:13:57213597:57224468:1 gene:B456_013G255700 transcript:KJB83630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDEQVTKMWAKRTPVGKEDVAKLVDDIYRKMKVSGATELASKGQGVSDSNNVKIKGEVDDPFQPDVKVRCPCGNSLETDYIIMCEDPRCQVWQHFGCVIIPEKPMEGNPAVPDFFYCELCRLKRADPFCLTIANPLYPVKLAIANIPTDGTNPMQSVEKTFQITRADKELLSKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPIISPFIKDGINKITLTGCDARVFCFGVRIVKRRTVQQILNTIPKENEGERLEDALCRVRRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLEHIIIDPYFNRITSKMSNCGEDITEIEVKPDGSWRAKAKSENERRELGDLALWHSPDSTLCESGSVEDKPTAEISKQIKLEGTSEGQTGLKLGIKKNQNGYWQFSKPEDLNNSSASRLQDRLRHEQKFLPMSSSATGSGKDGEDASVNQDAGGTYDFTSNGIELDSVPLNVDSAYEFTDQNQSATAGNAEVIVLSDSDEENEILISPPTVYKDNHNQNDGGGLGFPVAPPGISHPYSEEPPLGPAANLGLFTPNDEFDMPLWSLPSGSQDGSGFQLFNTDPDVADTLVDLQRSSLNCPSTINGYPLAPETTMGSTTLVPTCSIGQVDTNLNDSLVDTTLFGGEDPSLQIFLPTHPSGASAESGFRDEADVSNGIRTDDWISLSLGGGASVGHGDSTTVNGLNSRQPIPSKERAMDTASLLLGMNESRNGKSSRQRTESPFSFPRQKR >KJB83632 pep chromosome:Graimondii2_0_v6:13:57213612:57223286:1 gene:B456_013G255700 transcript:KJB83632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDEQVTKMWAKRTPVGKEDVAKLVDDIYRKMKVSGATELASKGQGVSDSNNVKIKGEVDDPFQPDVKVRCPCGNSLETDYIIMCEDPRCQVWQHFGCVIIPEKPMEGNPAVPDFFYCELCRLKRADPFCLTIANPLYPVKLAIANIPTDGTNPMQSVEKTFQITRADKELLSKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPIISPFIKDGINKITLTGCDARVFCFGVRIVKRRTVQQILNTIPKENEGERLEDALCRVRRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLEHIIIDPYFNRITSKMSNCGEDITEIEVKPDGSWRAKAKSENERRELGDLALWHSPDSTLCESGSVEDKPTAEISKQIKLEGTSEGQTGLKLGIKKNQNGYWQFSKPEDLNNSSASRLQDRLRHEQKFLPMSSSATGSGKDGEDASVNQDAGGTYDFTSNGIELDSVPLNVDSAYEFTDQNQSATAGNAEVIVLSDSDEENEILISPPTVYKDNHNQNDGGGLGFPVAPPGISHPYSEEPPLGPAANLGLFTPNDEFDMPLWSLPSGSQDGSGFQLFNTDPDVADTLVDLQRSSLNCPSTINGYPLAPETTMGSTTLVPTCSIGQVDTNLNDSLVDTTLFGGEDPSLQIFLPTHPSGASAESGFRDEADVSNGIRTDDWISLSLGGGASVGHGDSTTVNGLNSRQPIPSKERAMDTASLLLGMNESRNGKSSRQRTESPFSFPRQKRSVRPRLYLSIDSDTE >KJB83631 pep chromosome:Graimondii2_0_v6:13:57213597:57224468:1 gene:B456_013G255700 transcript:KJB83631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDEQVTKMWAKRTPVGKEDVAKLVDDIYRKMKVSGATELASKGQGVSDSNNVKIKGEVDDPFQPDVKVRCPCGNSLETDYIIMCEDPRCQVWQHFGCVIIPEKPMEGNPAVPDFFYCELCRLKRADPFCLTIANPLYPVKLAIANIPTDGTNPMQSVEKTFQITRADKELLSKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPIISPFIKDGINKITLTGCDARVFCFGVRIVKRRTVQQILNTIPKENEGERLEDALCRVRRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLEHIIIDPYFNRITSKMSNCGEDITEIEVKPDGSWRAKAKSENERRELGDLALWHSPDSTLCESGSVEDKPTAEISKQIKLEGTSEGQTGLKLGIKKNQNGYWQFSKPEDLNNSSASRLQDRLRHEQKFLPMSSSATGSGKDGEDASVNQDAGGTYDFTSNGIELDSVPLNVDSAYEFTDQNQSATAGNAEVIVLSDSDEENEILISPPTVYKDNHNQNDGGGLGFPVAPPGISHPYSEEPPLGPAANLGLFTPNDEFDMPLWSLPSGSQDGSGFQLFNTDPDVADTLVDLQRSSLNCPSTINGYPLAPETTMGSTTLVPTCSIGQVDTNLNDSLVDTTLFGGEDPSLQIFLPTHPSGASAESGFRDEADVSNGIRTDDWISLSLGGGASVGHGDSTTVNGLNSRQPIPSKERAMDTASLLLGMNESRNGKSSRQRTESPFSFPRQKR >KJB83634 pep chromosome:Graimondii2_0_v6:13:57218241:57223286:1 gene:B456_013G255700 transcript:KJB83634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPIISPFIKDGINKITLTGCDARVFCFGVRIVKRRTVQQILNTIPKENEGERLEDALCRVRRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLEHIIIDPYFNRITSKMSNCGEDITEIEVKPDGSWRAKAKSENERRELGDLALWHSPDSTLCESGSVEDKPTAEISKQIKLEGTSEGQTGLKLGIKKNQNGYWQFSKPEDLNNSSASRLQDRLRHEQKFLPMSSSATGSGKDGEDASVNQDAGGTYDFTSNGIELDSVPLNVDSAYEFTDQNQSATAGNAEVIVLSDSDEENEILISPPTVYKDNHNQNDGGGLGFPVAPPGISHPYSEEPPLGPAANLGLFTPNDEFDMPLWSLPSGSQDGSGFQLFNTDPDVADTLVDLQRSSLNCPSTINGYPLAPETTMGSTTLVPTCSIGQVDTNLNDSLVDTTLFGGEDPSLQIFLPTHPSGASAESGFRDEADVSNGIRTDDWISLSLGGGASVGHGDSTTVNGLNSRQPIPSKERAMDTASLLLGMNESRNGKSSRQRTESPFSFPRQKRSVRPRLYLSIDSDTE >KJB83633 pep chromosome:Graimondii2_0_v6:13:57214496:57223286:1 gene:B456_013G255700 transcript:KJB83633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAKRTPVGKEDVAKLVDDIYRKMKVSGATELASKGQGVSDSNNVKIKGEVDDPFQPDVKVRCPCGNSLETDYIIMCEDPRCQVWQHFGCVIIPEKPMEGNPAVPDFFYCELCRLKRADPFCLTIANPLYPVKLAIANIPTDGTNPMQSVEKTFQITRADKELLSKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPIISPFIKDGINKITLTGCDARVFCFGVRIVKRRTVQQILNTIPKENEGERLEDALCRVRRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLEHIIIDPYFNRITSKMSNCGEDITEIEVKPDGSWRAKAKSENERRELGDLALWHSPDSTLCESGSVEDKPTAEISKQIKLEGTSEGQTGLKLGIKKNQNGYWQFSKPEDLNNSSASRLQDRLRHEQKFLPMSSSATGSGKDGEDASVNQDAGGTYDFTSNGIELDSVPLNVDSAYEFTDQNQSATAGNAEVIVLSDSDEENEILISPPTVYKDNHNQNDGGGLGFPVAPPGISHPYSEEPPLGPAANLGLFTPNDEFDMPLWSLPSGSQDGSGFQLFNTDPDVADTLVDLQRSSLNCPSTINGYPLAPETTMGSTTLVPTCSIGQVDTNLNDSLVDTTLFGGEDPSLQIFLPTHPSGASAESGFRDEADVSNGIRTDDWISLSLGGGASVGHGDSTTVNGLNSRQPIPSKERAMDTASLLLGMNESRNGKSSRQRTESPFSFPRQKRSVRPRLYLSIDSDTE >KJB83628 pep chromosome:Graimondii2_0_v6:13:57213597:57223286:1 gene:B456_013G255700 transcript:KJB83628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDEQVTKMWAKRTPVGKEDVAKLVDDIYRKMKVSGATELASKGQGVSDSNNVKIKGEVDDPFQPDVKVRCPCGNSLETDYIIMCEDPRCQVWQHFGCVIIPEKPMEGNPAVPDFFYCELCRLKRADPFCLTIANPLYPVKLAIANIPTDGTNPMQSVEKTFQITRADKELLSKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPIISPFIKDGINKITLTGCDARVFCFGVRIVKRRTVQQILNTIPKENEGERLEDALCRVRRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLEHIIIDPYFNRITSKMSNCGEDITEIEVKPDGSWRAKAKSENERRELGDLALWHSPDSTLCESGSVEDKPTAEISKQIKLEGTSEGQTGLKLGIKKNQNGYWQFSKPEDLNNSSASRLQDRLRHEQKFLPMSSSATGSGKDGEDASVNQDAGGTYDFTSNGIELDSVPLNVDSAYEFTDQNQSATAGNAEVIVLSDSDEENEILISPPTVYKDNHNQNDGGGLGFPVAPPGISHPYSEEPPLGPAANLGLFTPNDEFDMPLWSLPSGSQDGSGFQLFNTDPDVADTLVDLQRSSLNCPSTINGYPLAPETTMGSTTLVPTCSIGQVDTNLNDSLVDTTLFGGEDPSLQIFLPTHPSGASAESGFRDEADVSNGIRTDDWISLSLGGGASVGHGDSTTVNGLNSRQPIPSKERAMDTASLLLGMNESRNGKSSRQRTESPFSFPRQKRSVRPRLYLSIDSDTE >KJB83629 pep chromosome:Graimondii2_0_v6:13:57213490:57224468:1 gene:B456_013G255700 transcript:KJB83629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDEQVTKMWAKRTPVGKEDVAKLVDDIYRKMKVSGATELASKGQGVSDSNNVKIKGEVDDPFQPDVKVRCPCGNSLETDYIIMCEDPRCQVWQHFGCVIIPEKPMEGNPAVPDFFYCELCRLKRADPFCLTIANPLYPVKLAIANIPTDGTNPMQSVEKTFQITRADKELLSKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPIISPFIKDGINKITLTGCDARVFCFGVRIVKRRTVQQILNTIPKENEGERLEDALCRVRRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLEHIIIDPYFNRITSKMSNCGEDITEIEVKPDGSWRAKAKSENERRELGDLALWHSPDSTLCESGSVEDKPTAEISKQIKLEGTSEGQTGLKLGIKKNQNGYWQFSKPEDLNNSSASRLQDRLRHEQKFLPMSSSATGSGKDGEDASVNQDAGGTYDFTSNGIELDSVPLNVDSAYEFTDQNQSATAGNAEVIVLSDSDEENEILISPPTVYKDNHNQNDGGGLGFPVAPPGISHPYSEEPPLGPAANLGLFTPNDEFDMPLWSLPSGSQDGSGFQLFNTDPDVADTLVDLQRSSLNCPSTINGYPLAPETTMGSTTLVPTCSIGQVDTNLNDSLVDTTLFGGEDPSLQIFLPTHPSGASAESGFRDEADVSNGIRTDDWISLSLGGGASVGHGDSTTVNGLNSRQPIPSKERAMDTASLLLGMNESRNGKSSRQRTESPFSFPRQKRSVRPRLYLSIDSDTE >KJB81119 pep chromosome:Graimondii2_0_v6:13:34171725:34178347:1 gene:B456_013G130400 transcript:KJB81119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MMNLLNPKLVRERCYLNSELHWKQSIAVNPAEEANRKGTMKILSRKLLHQLSFKQAIRRCTYSTGKVSDFGQPTSASHPQLMKEGEITPGIATKEYISRRKRLLELLPENSLAIIAAAPVKMMTDVVPYTFRQDADYLYLTGCQQPGGVAVFGHECGLCMFMPEPKPHDVVWQGLIAGVDAALEMFKAEEAYPVSKLDKILPNMIKRSSKLFHNKLTATPTYTDLETFQNAAHVGKVSDLSIFTHELRWVKSPSELKLMKESAAIACQALLQTMLHSKTYPHEGMLSAKVEYECRMRGAQRMAFNPVVGGGPNASVIHYSRNDQKIKDGDLVLMDVGCELHGYCSDLTRTWSPCGSFSSIQGELYDLILQTNKEFIRRVWRPQQYKSCNQLMRP >KJB81116 pep chromosome:Graimondii2_0_v6:13:34172889:34177540:1 gene:B456_013G130400 transcript:KJB81116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MFTSQLMKEGEITPGIATKEYISRRKRLLELLPENSLAIIAAAPVKMMTDVVPYTFRQDADYLYLTGCQQPGGVAVFGHECGLCMFMPEPKPHDVVWQGLIAGVDAALEMFKAEEAYPVSKLDKILPNMIKRSSKLFHNKLTATPTYTDLETFQNAAHVGKVSDLSIFTHELRWVKSPSELKLMKESAAIACQALLQTMLHSKTYPHEGMLSAKVEYECRMRGAQRMAFNPVVGGGPNASVIHYSRNDQKIKDGDLVLMDVGCELHGYCSDLTRTWSPCGSFSSIQGELYDLILQTNKECIRLCRPGASIRQIHNYSVELLCKGLKEIGILKRDAFRLYHQLNPTSIGHYLGMDVHDCSMISYDRPLKPGVVITIEPGIYVPSSFDGPERYQGTGIRIEDEVLITETGCEVLTGSMPKEIKHIESLLNNYSYTKGMEAATV >KJB81118 pep chromosome:Graimondii2_0_v6:13:34172889:34177540:1 gene:B456_013G130400 transcript:KJB81118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MFTSQLMKEGEITPGIATKEYISRRKRLLELLPENSLAIIAAAPVKMMTDVVPYTFRQDADYLYLTGCQQPGGVAVFGHECGLCMFMPEPKPHDVVWQGLIAGVDAALEMFKAEEAYPVSKLDKILPNMIKRSSKLFHNKLTATPTYTDLETFQNAAHVGKVSDLSIFTHELRWVKSPSELKLMKESAAIACQALLQTMLHSKTYPHEGMLSAKVEYECRMRGAQRMAFNPVVGGGPNASVIHYSRNDQKIKDGDLVLMDVGCELHGYCSDLTRTWSPCGSFSSIQGELYDLILQTNKECIRLCRPGASIRQIHNYSVELLCKGLKEIGILKRDAFRLYHQLNPTSIGHYLGMDVHDCSMISYDRPLKPGVVITIEPGIYVPSSFDGPERYQGTGIRIEDEVLITETGCEVLTGSMPKEIKHIESLLNNYSYTKGMEAATV >KJB81117 pep chromosome:Graimondii2_0_v6:13:34171725:34178347:1 gene:B456_013G130400 transcript:KJB81117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MKILSRKLLHQLSFKQAIRRCTYSTGKVSDFGQPTSASHPQLMKEGEITPGIATKEYISRRKRLLELLPENSLAIIAAAPVKMMTDVVPYTFRQDADYLYLTGCQQPGGVAVFGHECGLCMFMPEPKPHDVVWQGLIAGVDAALEMFKAEEAYPVSKLDKILPNMIKRSSKLFHNKLTATPTYTDLETFQNAAHVGKVSDLSIFTHELRWVKSPSELKLMKESAAIACQALLQTMLHSKTYPHEGMLSAKVEYECRMRGAQRMAFNPVVGGGPNASVIHYSRNDQKIKDGDLVLMDVGCELHGYCSDLTRTWSPCGSFSSIQGELYDLILQTNKECIRLCRPGASIRQIHNYSVELLCKGLKEIGILKRDAFRLYHQLNPTSIGHYLGMDVHDCSMISYDRPLKPGVVITIEPGIYVPSSFDGPERYQGTGIRIEDEVLITETGCEVLTGSMPKEIKHIESLLNNYSYTKGMEAATV >KJB82795 pep chromosome:Graimondii2_0_v6:13:52830755:52831589:-1 gene:B456_013G213400 transcript:KJB82795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRRMGWHELLWVGRLLVLMQLLHGVFGWGKDGHFAVCKIADDVRWHCHWSSPLHYVDALDFKCNYKYCSDCHDTAGHKDSCVTGALI >KJB78487 pep chromosome:Graimondii2_0_v6:13:119369:127596:-1 gene:B456_013G001700 transcript:KJB78487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASPRTDTSTDDTDEKNQRFGRGQMNAIVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRKINELRTAVNSHAGDAELRIIVDSVTTHFDDIFRLKGTAAKADVFHILSGMWKTPAERCFLWIGGFRSSELLKLLANQLEPLTEQQFMGIQKLQQSSQQAEDSLSQGMEALQQSLAETLANGSPSAPSGSSGNVANYMGQMAMAMGKLGTLEGFLLQSQQGGRPCTSGPSPDPAYGRSVVFWADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >KJB78486 pep chromosome:Graimondii2_0_v6:13:119369:125850:-1 gene:B456_013G001700 transcript:KJB78486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASPRTDTSTDDTDEKNQRFGRGQMNAIVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRKINELRTAVNSHAGDAELRIIVDSVTTHFDDIFRLKGTAAKADVFHILSGMWKTPAERCFLWIGGFRSSELLKLLANQLEPLTEQQFMGIQKLQQSSQQAEDSLSQGMEALQQSLAETLANGSPSAPSGSSGNVANYMGQMAMAMGKLGTLEGFLLQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >KJB78494 pep chromosome:Graimondii2_0_v6:13:187612:188954:-1 gene:B456_013G002300 transcript:KJB78494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAVPWDFIEEKRDAFKSALYEVQNQGPLQGRFQEMFEPRLVALGPLHHGKPEFMCSEQAKLKFAARFAHVNGYTDDQELFYRVRAEIGDLRKCYNPEDIAAYDDERLAWMLFVDGCAVLCAVRYVVEGKFDELNTKADLLVFAQVDLFLLENQLPYKLLKILIGSAKDPKIWEQSITEFIAQNLMTNIEQESKHVDDNKDYAHLLERFRDQILTGDEMKRDSSVMGRKLLSWGGSGKHRRTFRGLKELKESGIHVKPSTTNNLKNISFYCNYLGKLMIPRLLVDDSMASKFLNLIALEMCPDFKNKFEVTSYLCFMDSLIGSADDVKELRVSGVQHNYLGSDEEVAELFNKMSRDLVPDQKRYFKVTEDIHKYRTNPWTAALAKAYYTHFSRPWTFLAFMGAIMGLLFSAIQAYFSLPQNKSSP >KJB79886 pep chromosome:Graimondii2_0_v6:13:8122470:8123958:1 gene:B456_013G070500 transcript:KJB79886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQLSFINWPLEQCFETRKIINKRKGKHTGKTNLEKKANGLNLNKNEEKLGLESHSFLITLSKLYLCISHSRDGSPMNNQILTFQTPVSVTLRELIGPTRRYKVWTQQYQLSSVIVATPIAVHQSFHRTRYLFHPVSRTFISSPFQRQPMCRFIGSPCNSLQTCLRLGHSQI >KJB79885 pep chromosome:Graimondii2_0_v6:13:8122470:8123038:1 gene:B456_013G070500 transcript:KJB79885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQLSFINWPLEQCFETRKIINKRKGKHTGKTNLEKKANGLNLNKNEEKLGLESHSFLITLSKLYLCISHSRDGSPMNNQILTFQTPVSVTLRELIGPTRRYKVWTQQYQLSSVIVATPIAVHQSFHRTRYLFHPVSRTFISSPFQRQPMCRFIGSP >KJB79887 pep chromosome:Graimondii2_0_v6:13:8122470:8123038:1 gene:B456_013G070500 transcript:KJB79887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQLSFINWPLEQCFETRKIINKRKGKHTGKTNLEKKANGLNLNKNEEKLGLESHSFLITLSKLYLCISHSRDGSPMNNQILTFQTPVSVTLRELIGPTRRYKVWTQQYQLSSVIVATPIAVHQSFHRTRYLFHPVSRTFISSPFQRQPMCRFIGSP >KJB79888 pep chromosome:Graimondii2_0_v6:13:8122470:8123843:1 gene:B456_013G070500 transcript:KJB79888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQLSFINWPLEQCFETRKIINKRKGKHTGKTNLEKKANGLNLNKNEEKLGLESHSFLITLSKLYLCISHSRDGSPMNNQILTFQTPVSVTLRELIGPTRRYKVWTQQYQLSSVIVATPIAVHQSFHRTRYLFHPVSRTFISSPFQRQPMCRFIGSP >KJB79889 pep chromosome:Graimondii2_0_v6:13:8122470:8123958:1 gene:B456_013G070500 transcript:KJB79889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQLSFINWPLEQCFETRKIINKRKGKHTGKTNLEKKANGLNLNKNEEKLGLESHSFLITLSKLYLCISHSRDGSPMNNQILTFQTPVSVTLRELIGPTRRYKVWTQQYQLSSVIVATPIAVHQSFHRTRYLFHPVSRTFISSPFQRQPMCRFIGSPCNSLQTCLRLGHSQI >KJB80960 pep chromosome:Graimondii2_0_v6:13:31639575:31643401:-1 gene:B456_013G123100 transcript:KJB80960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFTPQGRLRLLFQGDGVEAKDPFHYKLISNVFGASGKLKSLRVHKSTTGRLYFSSSSMKKGSKFYAKASLNVNDEGICDEEDYDSEFETDDLASFRGLVLDISYRPVNVVCWRRAICLEFMEKADVLEYYDQTVNSPSGSFYIPAVLRVPHLLQVVKRRRIRSNLSRKNVLFRDNFTCQYCSARDNLTIDHVLPVARGGEWKWENLVTACAKCNSKKGQKTLEEANMKLIKVPKAPKDYDILAIPLTSSAMRMLRKRNGTPEEWRQYLSPTTDP >KJB81421 pep chromosome:Graimondii2_0_v6:13:39732432:39733840:-1 gene:B456_013G1450002 transcript:KJB81421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGLLIFRGNMTVNAFK >KJB79372 pep chromosome:Graimondii2_0_v6:13:4281061:4282433:1 gene:B456_013G048200 transcript:KJB79372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFHLFFFFTFSPICYCSWYSSPTHFFLPSHPLPSTFFFFSLFVFFFLCSLLPATAPISSTTQHFAANGKNKMRKRNKTPPSSPFFFFFFFFFFLILSSHDLVR >KJB83855 pep chromosome:Graimondii2_0_v6:13:58016697:58017332:1 gene:B456_013G268000 transcript:KJB83855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTPVSWKRCWWWPKDWSPKRWRSRCGLFPRGQHWRNGGAPVPIANLCAGIRIIFTSTSKTDKLTRAVIRVLAWFIAVGDSI >KJB79834 pep chromosome:Graimondii2_0_v6:13:7948087:7951341:-1 gene:B456_013G068600 transcript:KJB79834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSEAAAKNGATMDTQRQQAPGNGALAVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVSVRGGANSTSLPSTTSSSLDHKPLLGSTPFLLGKRVRPDDDNAGKDDSGGATVGPGVGSIVGPAGTHTGAFWAVPARPDFGQIWSFAPPPPPEMVVQTAAQQPAAAAFFVQQQQAMGEASAARVGNYLPGHLNLLASLSGAPGGSGQRDDDPR >KJB82047 pep chromosome:Graimondii2_0_v6:13:46257806:46261887:-1 gene:B456_013G174200 transcript:KJB82047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVERSFEAWEEVQRHGQDLADRLAQGFTGLIQSHMIPPSFPWPNPPKSKPFDLEFPSQSFANKDFGLPIDNSAIFDIGDIGNRIGQVGADFGAGLNGLVQQFFRRLPIPFRAEESAVVSVRGDMSVKGQKAEVGVNDMEGLVGFSDSKDFGFMGNEGHSEGVGDEEIPGFNLKSAGLLGRPQGVINITSTFESRTRDLESSLVARGDLWRVEASNANSTSRNDNSLFLIQLGPVLFVRDTTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMLCLKPLACSFVDLQFPNGQLTYVSGEGLTTSAFLPLCGGLLQAQGQYPGEMRYSFSCKNKWGTRITPMVLWPDKSFALGLSQALAWKRSGLMMKPSIQFSLCPTFGGSNPGLRAEVIHTVKDNLNLICGCSLVAHPSAFASISLLEFLSFDPFLDFSSR >KJB82049 pep chromosome:Graimondii2_0_v6:13:46257402:46262170:-1 gene:B456_013G174200 transcript:KJB82049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVERSFEAWEEVQRHGQDLADRLAQGFTGLIQSHMIPPSFPWPNPPKSKPFDLEFPSQSFANKDFGLPIDNSAIFDIGDIGNRIGQVGADFGAGLNGLVQQFFRRLPIPFRAEESAVVSVRGDMSVKGQKAEVGVNDMEGLVGFSDSKDFGFMGNEGHSEGVGDEEIPGFNLKSAGLLGRPQGVINITSTFESRTRDLESSLVARGDLWRVEASNANSTSRNDNSLFLIQLGPVLFVRDTTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMLCLKPLACSFVDLQFPNGQLTYVSGEGLTTSAFLPLCGGLLQAQGQYPGEMRYSFSCKVSLELLLPANMTGIRSCSYLVFLKQLWRKDSLFYFGVPSVRVLKLFLRIFHQNKWGTRITPMVLWPDKSFALGLSQALAWKRSGLMMKPSIQFSLCPTFGGSNPGLRAEVIHTVKDNLNLICGCSLVAHPSAFASISLLEFLSFDPFLDFSSR >KJB82048 pep chromosome:Graimondii2_0_v6:13:46257196:46262593:-1 gene:B456_013G174200 transcript:KJB82048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVERSFEAWEEVQRHGQDLADRLAQGFTGLIQSHMIPPSFPWPNPPKSKPFDLEFPSQSFANKDFGLPIDNSAIFDIGDIGNRIGQVGADFGAGLNGLVQQFFRRLPIPFRAEESAVVSVRGDMSVKGQKAEVGVNDMEGLVGFSDSKDFGFMGNEGHSEGVGDEEIPGFNLKSAGLLGRPQGVINITSTFESRTRDLESSLVARGDLWRVEASNANSTSRNDNSLFLIQLGPVLFVRDTTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMLCLKPLACSFVDLQFPNGQLTYVSGEGLTTSAFLPLCGGLLQAQGQYPGEMRYSFSCKNKWGTRITPMVLWPDKSFALGLSQALAWKRSGLMMKPSIQFSLCPTFGGSNPGLRAEVIHTVKDNLNLICGCSLVAHPSAFASISLGRSKWNGNVGNSGIVVRVDTPLSSVGRPSFSVQINNVIEF >KJB82050 pep chromosome:Graimondii2_0_v6:13:46259079:46262170:-1 gene:B456_013G174200 transcript:KJB82050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVERSFEAWEEVQRHGQDLADRLAQGFTGLIQSHMIPPSFPWPNPPKSKPFDLEFPSQSFANKDFGLPIDNSAIFDIGDIGNRIGQVGADFGAGLNGLVQQFFRRLPIPFRAEESAVVSVRGDMSVKGQKAEVGVNDMEGLVGFSDSKDFGFMGNEGHSEGVGDEEIPGFNLKSAGLLGRPQGVINITSTFESRTRDLESSLVARGDLWRVEASNANSTSRNDNSLFLIQLGPVLFVRDTTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMLCLKPLACVSWQHLHHFLSVYASVSTD >KJB81810 pep chromosome:Graimondii2_0_v6:13:44149791:44152057:1 gene:B456_013G162400 transcript:KJB81810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQAKNEGVLDRISTLPDHMLCHMLSFLPIKDAVRTSVLSPRWRYLFTFMSTLDLYDYRQFRGFTRRDFNDFKNFVDRLLLFPKQQVRLECFRVSENASDGDCPRLYGWICAVLSRGIKELVVSYGKNLRLPTLLFTCQSLVTLELDIPGDMKIPPDVSLPNLKSLNLSNFLFSDGLIFKLVSSCHVLEELYMEFVKLGRNITEVNIHSLSLKRMTLEFVLVNRDKDYKMVINAPNLEYFKFYDMLADGYRVSSMNSLEHANIRVHQCPEYAIYDVNRERAATNLLQAICNVKCLYLLITHAETLIPMGPEPVFAFHKLVQLKFVNETEAWVGTWILEFLHCVPNLEILHLALDAASEGIQPLAENVPSCVSFHLTEIRVSRFVGDEPMFQMISFFLKHATVLETLIIAMKYLTEKEELSITKRLLELPRNSRSCQVITE >KJB82937 pep chromosome:Graimondii2_0_v6:13:54130297:54132553:1 gene:B456_013G221300 transcript:KJB82937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKKGIQISDTKLNTPSKVTTLNPNAAEFVPFSLRSPSSSGSTIAADATRFAISRTLGKAVLDRSESSISNNSDDEAHQFWRCQLPDDITPDFKVINEDDSQGIGPGSLSLAGLSLHGDSEASRFPASAGGGYIFDNQQQLLHHNGNGSNIAEKLRHPASSYGKNPTAASFLPLQAKPWDKQLVNSDQLLSNRREGQPYSGNSRHRFVNDLLGEQTIMDGPEMNPVEFLASQFPGLAAESLAEVYFANGCDLNLTIEMLTQHELQVDGGFNQNPNSKTLLAPNLSTLGFPVTVSDDQSGATKYAGDDLQLNVDPYLSSDKDNILIFKSNSSLPSRGAIDFVPGIRKMVSQDSGVWKYDRNGSANSTVGSSRSSHGLANTFSLAPGRGVYANRLQTRVHSDPAWLETGDAVGNILRY >KJB82939 pep chromosome:Graimondii2_0_v6:13:54130297:54134320:1 gene:B456_013G221300 transcript:KJB82939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKKGIQISDTKLNTPSKVTTLNPNAAEFVPFSLRSPSSSGSTIAADATRFAISRTLGKAVLDRSESSISNNSDDEAHQFWRCQLPDDITPDFKVINEDDSQGIGPGSLSLAGLSLHGDSEASRFPASAGGGYIFDNQQQLLHHNGNGSNIAEKLRHPASSYGKNPTAASFLPLQAKPWDKQLVNSDQLLSNRREGQPYSGNSRHRFVNDLLGEQTIMDGPEMNPVEFLASQFPGLAAESLAEVYFANGCDLNLTIEMLTQHELQVDGGFNQNPNSKTLLAPNLSTLGFPVTVSDDQSGATKYAGDDLQLNVDPYLSSDKDNILIFKSNSSLPSRGAIDFVPGIRKMVSQDSGVWKYDRNGSANSTVGSSRSSHGLANTFSLAPGRGVYANRLQTRVHSDPAWLETGDAVANLYPELREDAQDHARSRNAYFDQARQGFLTGNKALTKELSVKGQLQNMHMKATHGNTQESLFRQRFVISFLSHLVPRDGG >KJB82938 pep chromosome:Graimondii2_0_v6:13:54130297:54134326:1 gene:B456_013G221300 transcript:KJB82938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKKGIQISDTKLNTPSKVTTLNPNAAEFVPFSLRSPSSSGSTIAADATRFAISRTLGKAVLDRSESSISNNSDDEAHQFWRCQLPDDITPDFKVINEDDSQGIGPGSLSLAGLSLHGDSEASRFPASAGGGYIFDNQQQLLHHNGNGSNIAEKLRHPASSYGKNPTAASFLPLQAKPWDKQLVNSDQLLSNRREGQPYSGNSRHRFVNDLLGEQTIMDGPEMNPVEFLASQFPGLAAESLAEVYFANGCDLNLTIEMLTQHELQVDGGFNQNPNSKTLLAPNLSTLGFPVTVSDDQSGATKYAGDDLQLNVDPYLSSDKDNILIFKSNSSLPSRGAIDFVPGIRKMVSQDSGVWKYDRNGSANSTVGSSRSSHGLANTFSLAPGRGVYANRLQTRVHSDPAWLETGDAVANLYPELREDAQDHARSRNAYFDQARQGFLTGNKALTKELSVKGQLQNMHMKATHGNTQESLFRQRNQVPPEIVGGQERIIDLHGLPVSEAIHMLNYELSVLRRTARAADQRLQVYISVGTGNHARGSRIPARLPVAIQHYLLEEECLDFTEPQPGLLRVVIY >KJB82936 pep chromosome:Graimondii2_0_v6:13:54129311:54134388:1 gene:B456_013G221300 transcript:KJB82936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKKGIQISDTKLNTPSKVTTLNPNAAEFVPFSLRSPSSSGSTIAADATRFAISRTLGKAVLDRSESSISNNSDDEAHQFWRCQLPDDITPDFKVINEDDSQGIGPGSLSLAGLSLHGDSEASRFPASAGGGYIFDNQQQLLHHNGNGSNIAEKLRHPASSYGKNPTAASFLPLQAKPWDKQLVNSDQLLSNRREGQPYSGNSRHRFVNDLLGEQTIMDGPEMNPVEFLASQFPGLAAESLAEVYFANGCDLNLTIEMLTQHELQVDGGFNQNPNSKTLLAPNLSTLGFPVTVSDDQSGATKYAGDDLQLNVDPYLSSDKDNILIFKSNSSLPSRGAIDFVPGIRKMVSQDSGVWKYDRNGSANSTVGSSRSSHGLANTFSLAPGRGVYANRLQTRVHSDPAWLETGDAVANLYPELREDAQDHARSRNAYFDQARQGFLTGNKALTKELSVKGQLQNMHMKATHGNTQESLFRQRNQVPPEIVGGQERIIDLHGLPVSEAIHMLNYELSVLRRTARAADQRLQVYISVGTGNHARGSRIPARLPVAIQHYLLEEECLDFTEPQPGLLRVVIY >KJB82940 pep chromosome:Graimondii2_0_v6:13:54130466:54132340:1 gene:B456_013G221300 transcript:KJB82940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKKGIQISDTKLNTPSKVTTLNPNAAEFVPFSLRSPSSSGSTIAADATRFAISRTLGKAVLDRSESSISNNSDDEAHQFWRCQLPDDITPDFKVINEDDSQGIGPGSLSLAGLSLHGDSEASRFPASAGGGYIFDNQQQLLHHNGNGSNIAEKLRHPASSYGKNPTAASFLPLQAKPWDKQLVNSDQLLSNRREGQPYSGNSRHRFVNDLLGEQTIMDGPEMNPVEFLASQFPGLAAESLAEVYFANGCDLNLTIEMLTQHELQVDGGFNQNPNSKTLLAPNLSTLGFPVTVSDDQSGATKYAGDDLQLNVDPYLSSDKDNILIFKSNSSLPSRGAIDFVPGIRKMVSQDSGVWKYDRNGSANSTVGSSRSSHGLANTFSLAPGRGVYANRLQTRVHSDPAWLETGDAVGNILRY >KJB81998 pep chromosome:Graimondii2_0_v6:13:45798690:45799332:-1 gene:B456_013G171400 transcript:KJB81998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELVASKDVCQAGRLSLKEGGLGLSRKRMQSKSLAEIEGQTESKNMGETVTKAFEESRMIGYGYFSDGLSR >KJB83497 pep chromosome:Graimondii2_0_v6:13:56800082:56808612:-1 gene:B456_013G250200 transcript:KJB83497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTGNLPQSLSMNSPFGGPSASNPTAAGAPANKDRKMATAEHLVLDLSNPDLRENALLELSKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDQTTRRWLQQLLHNVGVNRVPTLQAGAGFDHMLVN >KJB83495 pep chromosome:Graimondii2_0_v6:13:56800057:56808723:-1 gene:B456_013G250200 transcript:KJB83495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTGNLPQSLSMNSPFGGPSASNPTAAGAPANKDRKMATAEHLVLDLSNPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDQTTRRWLQQLLHNVGVNRVPTLQAGAGFDHMLVN >KJB83498 pep chromosome:Graimondii2_0_v6:13:56800409:56806694:-1 gene:B456_013G250200 transcript:KJB83498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKLVFVFIFRRENYFKIWLHCCGILLVLLLHFYRYAIYSWYLVVQIYSLLFSLSHFLSFHFCNFLIHCQMPGGLVENLEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDQTTRRWLQQLLHNVGVNRVPTLQAGAGFDHMLVN >KJB83494 pep chromosome:Graimondii2_0_v6:13:56800057:56808612:-1 gene:B456_013G250200 transcript:KJB83494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTGNLPQSLSMNSPFGGPSASNPTAAGAPANKDRKMATAEHLVLDLSNPDLRENALLELSKNKELFQDLAPFVWNSFGTIAALIQEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDQTTRRWLQQLLHNVGVNRVPTLQAGAGFDHMLVN >KJB83496 pep chromosome:Graimondii2_0_v6:13:56800082:56808612:-1 gene:B456_013G250200 transcript:KJB83496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTGNLPQSLSMNSPFGGPSASNPTAAGAPANKDRKMATAEHLVLDLSNPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDQTTRRWLQQLLHNVGVNRVPTLQAGAGFDHMLVN >KJB83783 pep chromosome:Graimondii2_0_v6:13:57747900:57752027:-1 gene:B456_013G264100 transcript:KJB83783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFEQELETAKTSSSIEKSYELPDGQVITIGSERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KJB83781 pep chromosome:Graimondii2_0_v6:13:57748361:57752027:-1 gene:B456_013G264100 transcript:KJB83781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFEQELETAKTSSSIEKSYELPDGQVITIGSERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KJB83784 pep chromosome:Graimondii2_0_v6:13:57747900:57752052:-1 gene:B456_013G264100 transcript:KJB83784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFEQELETAKTSSSIEKSYELPDGQVITIGSERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KJB83782 pep chromosome:Graimondii2_0_v6:13:57747902:57752052:-1 gene:B456_013G264100 transcript:KJB83782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFEQELETAKTSSSIEKSYELPDGQVITIGSERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KJB79273 pep chromosome:Graimondii2_0_v6:13:3355157:3357828:-1 gene:B456_013G041100 transcript:KJB79273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEVKERNVEESRENKRFTETPPDDDCCPICFGSFTVPCRSNCGHWYCGSCILQFWNYSSASIPCKCPMCACKIVNLMPEASLQQQQDQEVTQVLKSVQRYNLLFVGGALGYIQKLRQLPFFMKKIFQGLMDPDANDTYIAEMRLFAMVLSIIYRATPFDFLPTGYDVCRGRWNRKSV >KJB79274 pep chromosome:Graimondii2_0_v6:13:3355157:3357871:-1 gene:B456_013G041100 transcript:KJB79274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEVKERNVEESRENKRFTETPPDDDCCPICFGSFTVPCRSNCGHWYCGSCILQFWNYSSASIPCKCPMCACKIVNLMPEASLQQQQDQEVTQVLKSVQRYNLLFVGGALGYIQKLRQLPFFMKKIFQGLMDPDANDTYIAEMRLFAMVLSIIYRATPFDFLPTGGVGIGRVFDFSAITLVLILRLVGIYRRRRLMRRVRQVATVELLQEGTWFL >KJB79275 pep chromosome:Graimondii2_0_v6:13:3355681:3357708:-1 gene:B456_013G041100 transcript:KJB79275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEVKERNVEESRENKRFTETPPDDDCCPICFGSFTVPCRSNCGHWYCGSCILQFWNYSSASIPCKCPMCACKIVNLMPEASLQQQQDQEVTQVLKSVQRYNLLFVGGALGYIQKLRQLPFFMKKIFQGLMDPDANDTYIAEMRLFAMVLSIIYRATPFDFLPTGILF >KJB83558 pep chromosome:Graimondii2_0_v6:13:57025376:57028764:1 gene:B456_013G252800 transcript:KJB83558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:Projected from Arabidopsis thaliana (AT5G12390) UniProtKB/TrEMBL;Acc:A0A178U9A0] MEAKVGKFFDSVTNFFSGSDQLPWCDADIIAGCEKEAAEANGSDESKNECLTRFSWALVHSRRTEDVERGIAMLEASIASTTDPLKMREKLYLLAVGHFRRGDYSRSRELVEQCLMVEPEWRQAQSLKKAIEDRITKDGVIGIGIAVSAAGLIAGGLAAALSRKK >KJB83561 pep chromosome:Graimondii2_0_v6:13:57025393:57028764:1 gene:B456_013G252800 transcript:KJB83561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:Projected from Arabidopsis thaliana (AT5G12390) UniProtKB/TrEMBL;Acc:A0A178U9A0] MEAKVGKFFDSVTNFFSGSDQLPWCDADIIAGCEKEAAEANGSDESKNECLTRFSWALVHSRRTEDVERASIASTTDPLKMREKLYLLAVGHFRRGDYSRSRELVEQCLMVEPEWRQAQSLKKAIEDRITKDGVIGIGIAVSAAGLIAGGLAAALSRKK >KJB83562 pep chromosome:Graimondii2_0_v6:13:57025393:57028764:1 gene:B456_013G252800 transcript:KJB83562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:Projected from Arabidopsis thaliana (AT5G12390) UniProtKB/TrEMBL;Acc:A0A178U9A0] MEAKVGKFFDSVTNFFSGSDQLPWCDADIIAGCEKEAAEANGSDESKNECLTRFSWALVHSRRTEDVERGIAMLEDLLLAASIASTTDPLKMREKLYLLAVGHFRRGDYSRSRELVEQCLMVEPEWRQAQSLKKAIEDRITKDGVIGIGIAVSAAGLIAGGLAAALSRKK >KJB83559 pep chromosome:Graimondii2_0_v6:13:57025393:57027937:1 gene:B456_013G252800 transcript:KJB83559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:Projected from Arabidopsis thaliana (AT5G12390) UniProtKB/TrEMBL;Acc:A0A178U9A0] MEAKVGKFFDSVTNFFSGSDQLPWCDADIIAGCEKEAAEANGSDESKNECLTRFSWALVHSRRTEDVERGIAMLEASIASTTDPLKMREKLYLLAVGHFRRGDYSRSRELVEQCLMVEPEWRQAQSLKKAIEDRITKGNSAFMFGV >KJB83560 pep chromosome:Graimondii2_0_v6:13:57025393:57028752:1 gene:B456_013G252800 transcript:KJB83560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:Projected from Arabidopsis thaliana (AT5G12390) UniProtKB/TrEMBL;Acc:A0A178U9A0] MEAKVGKFFDSVTNFFSGSDQLPWCDADIIAGCEKEAAEANGSDESKNECLTRFSWALVHSRRTEDVERGIAMLEASIASTTDPLKMREKLYLLAVGHFRRGDYSRSRELVEQCLMFVYIFGRSFQIWNAACQVKCRT >KJB78442 pep chromosome:Graimondii2_0_v6:13:54517298:54519646:1 gene:B456_013G225600 transcript:KJB78442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDSDTYSGLGNGEIDSKVVQTFQKSFVQVQNILDQNRLLINEINQNHESKIPHNLTRNVGLIRELNNNIRRVVDLYGDLSSSFTKSVDASSEGDSSSGAAMKSDGKTGHKRNRPA >KJB78443 pep chromosome:Graimondii2_0_v6:13:54517298:54519634:1 gene:B456_013G225600 transcript:KJB78443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDSDTYSGLGNGEIDSKVVQTFQKSFVQVQNILDQNRLLINEINQNHESKIPHNLTRNVGLIRELNNNIRRVVDLYGDLSSSFTKSVDASSEGDSSSGAAMKSDGKTGHKRNRPA >KJB79061 pep chromosome:Graimondii2_0_v6:13:2432978:2435820:1 gene:B456_013G032000 transcript:KJB79061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKLPYLGSCLFLVFFSLVSIVASGSGKGLPILSFDEGYNQLFGDDNLVIHRDGKAVHLSLNERTGSGFVSQDLYLHGYFSASIKLPADYTAGVVVAFYMSNGDMFEKNHDEIDFEFLGNIRGKNWRIQTNIYGNGSTSAGREERYNLWFDPADDFHHYTILWTASHIIFYVDNIPIREFKRTAEMGGDFPSKPMSLYATIWDGSDWATNGGKYRVNYKYAPYVAEFSDLVLHGCAVDPIEFSSKRCDGTQSWEQLTTSITPSQRSKMDSFRRKHMTYSYCYDQTRYKIALPECVINPREAERLKKFDPVTFGGGRRHHGKRHHHMRASRSEADSI >KJB81864 pep chromosome:Graimondii2_0_v6:13:44708209:44712470:1 gene:B456_013G165200 transcript:KJB81864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGYPGSPSSSFGPPQSRSKHNPEGDSQFLEDESTKIFARKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYARRGDAVLDLACGKGGDLIKWDKAKVGYYVGIDIADGSIEDCRTRYNGDADHHQRRKKFTFPARLICGDCFEVRLDRVLADDAPFDICSCQFAMHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIVKKLREAEGLAFGNSVYWIRFDEEFTDKKFKSSSPFGIKYKFHLEDAVDCPEWIVPFHVFKSLAEENLFSSKTLMNLFTST >KJB81863 pep chromosome:Graimondii2_0_v6:13:44708097:44712624:1 gene:B456_013G165200 transcript:KJB81863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGYPGSPSSSFGPPQSRSKHNPEGDSQFLEDESTKIFARKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYARRGDAVLDLACGKGGDLIKWDKAKVGYYVGIDIADGSIEDCRTRYNGDADHHQRRKKFTFPARLICGDCFEVRLDRVLADDAPFDICSCQFAMHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIVKKLREAEGLAFGNSVYWIRFDEEFTDKKFKSSSPFGIKYKFHLEDAVDCPEWIVPFHVFKSLAEEYDLELVFVKNSHEFVHEYMKKPEYIELMRRLGALGDGNQDQSTLSPDEWEAAYLYLAFVLKKRGQPDRTQANSRKDKGQMQIAKEDILYINSDD >KJB79570 pep chromosome:Graimondii2_0_v6:13:5395067:5397259:-1 gene:B456_013G055000 transcript:KJB79570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNHHSTSLYGSGAMNMQRNPEDEEEDVPGGGGGGGEESVDNPQIGYQESGGGVVTVMNNGMEEASHANLYGQGSDLTAVQGNSSADQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGYEIPSGIPALAATPIAQRGMGDFPGRSIQPHRAASLNRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSAKAISDEVASASSGWSGTPGSGQDESMQETLCSHCGISSKKTPMMRRGPAGPRTLCNACGLKWANKVC >KJB79572 pep chromosome:Graimondii2_0_v6:13:5393873:5397619:-1 gene:B456_013G055000 transcript:KJB79572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNHHSTSLYGSGAMNMQRNPEDEEEDVPGGGGGGGEESVDNPQIGYQESGGGVVTVMNNGMEEASHANLYGQGSDLTAVQGNSSADQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGYEIPSGIPALAATPIAQRGMGDFPGRSIQPHRAASLNRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSAKAISDEVASASSGWSGTPGSGQDESMQETLCSHCGISSKKTPMMRRGPAGPRTLCNACGLKWANKGVLRDLSKVSMVAIQDPTVKTTEQSDAEANESEAVTGTAVVVFSSNRDNSGVTSER >KJB79571 pep chromosome:Graimondii2_0_v6:13:5394212:5397259:-1 gene:B456_013G055000 transcript:KJB79571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNHHSTSLYGSGAMNMQRNPEDEEEDVPGGGGGGGEESVDNPQIGYQESGGGVVTVMNNGMEEASHANLYGQGSDLTAVQGNSSADQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGYEIPSGIPALAATPIAQRGMGDFPGRSIQPHRAASLNRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSAKAISDEVASASSGWSGTPGSGQDESMQETLCSHCGISSKKTPMMRRGPAGPRTLCNACGLKWANKGVLRDLSKVSMVAIQDPTVKTTEQSDAEANESEAVTGTAVVVFSSNRDNSGVTSER >KJB79933 pep chromosome:Graimondii2_0_v6:13:8858636:8862654:1 gene:B456_013G073200 transcript:KJB79933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPDEASKRLEGKYIAMVVCWFLGNGCLFSWNSMLTIEDYYVYLFPNYHPSRVLTLVYQPFALGTLAILAYHEAKINTRRRNLFGYTLFFLSSLAILVMDLATSGKGGLGTFIGICIISGVFGVADANVQGGMVGDLSYMRPEFIQSYVAGLAASGVITSALRLITKAAFENSQGGLRKGAILFFAISSFFEFLCVLLYAYVFPKLPVVKYYRSKAASEGSKTVASDLAAGGIQALPETDDVESKNQERLSNKELLLQNIDYAIDMFLIYALTLSIFPGFLSEDTGKHSLGSWYALVLISMYNVWDLIGRYIPLIKPLKLESRKALTTVIISRVLLVPAFYFTSKYGDQGWMIMLTSILGLSNGYLTVCVLTSAPKGYKGPEQNALGNLLVLFLLGGIFAGVTLDWLWLIGKGW >KJB79934 pep chromosome:Graimondii2_0_v6:13:8858652:8862654:1 gene:B456_013G073200 transcript:KJB79934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPDEASKRLEGKYIAMVVCWFLGNGCLFSWNSMLTIEDYYVYLFPNYHPSRVLTLVYQPFALGTLAILAYHEAKINTRRRNLFGYTLFFLSSLAILMDLATSGKGGLGTFIGICIISGVFGVADANVQGGMVGDLSYMRPEFIQSYVAGLAASGVITSALRLITKAAFENSQGGLRKGAILFFAISSFFEFLCVLLYAYVFPKLPVVKYYRSKAASEGSKTVASDLAAGGIQALPETDDVESKNQERLSNKELLLQNIDYAIDMFLIYALTLSIFPGFLSEDTGKHSLGSWYALVLISMYNVWDLIGRYIPLIKPLKLESRKALTTVIISRVLLVPAFYFTSKYGDQGWMIMLTSILGLSNGYLTVCVLTSAPKGYKGPEQNALGNLLVLFLLGGIFAGVTLDWLWLIGKGW >KJB82460 pep chromosome:Graimondii2_0_v6:13:50236545:50237144:1 gene:B456_013G196900 transcript:KJB82460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FDAVPLKHNGRVRVPFFDDLNSAPSIDVLQSCYRLFLCKSEVGNLKNVISRYFICNPSTKKFKEISSPENPFKDYIKFYVNMAFDLLKSPYYKVIFVREVPDQPSNYKPDVYSSETDSWTFSRISFCVKNNIQFLDAVFRNGKIHWNCYWEDSFYFDAENEPLTMPIPTTEAPQMCSYMGECRGVLYVSVTYFVLMLRI >KJB83869 pep chromosome:Graimondii2_0_v6:13:58068679:58073263:1 gene:B456_013G268700 transcript:KJB83869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASPLTAMNGERAVVFFFVFRVLSSLPLSLLPHALSLSLLSVFSLFVEIRADGSLSLFKTRPGASSGIMLGAVTLPTVMLSKLIQLSRAFSLQQIEIGELEHMTMQFWAASACCCGVLIFLSILMWCAAYNKNPHFSCSVWDAKFSLSCVILFSVVCCISLATISHTGFNTALKLLWLLCHGFAAVKLIQHLLNTFPCCASIGEALLLTSGLVLYFGDMLACTISKVCRLLVSPELVSIRYGIKRSEIGIIIQGVLLGLLIFSAVFKFVIHLWEYFSRADNSESRKNKEIRRSLIFFASLGFTMIVVSPSWMMIVLDFDVHPILWIFQFVLSEPLKRLSLCIYWLGLIYASVLRFYNISKNSKIERILLRKYYHLLAVSMFLPALIYQVWRIWPLGQLVHQFMSAFTDHRDSDILIVSHFSLLLGCALPIWMSSGFNDRPLIPFAGILSLGIGDTMASMVGHKYGVLRWSKTGKKTIEGTAAGIVSVLAACSILLPLLASTRYIATQHWFPLLIAVTTSGLLEAYTAQLDNAFIPLVFYSLLCL >KJB83868 pep chromosome:Graimondii2_0_v6:13:58068661:58073504:1 gene:B456_013G268700 transcript:KJB83868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASPLTAMNGERAVVFFFVFRVLSSLPLSLLPHALSLSLLSVFSLFVEIRADGSLSLFKTRPGASSGIMLGAVTLPTVMLSKLIQLSRAFSLQQIEIGELEHMTMQFWAASACCCGVLIFLSILMWCAAYNKNPHFSCSVWDAKFSLSCVILFSVVCCISLATISHTGFNTALKLLWLLCHGFAAVKLIQHLLNTFPCCASIGEALLLTSGLVLYFGDMLACTISKVCRLLVSPELVSIRYGIKRSEIGIIIQGVLLGLLIFSAVFKFVIHLWEYFSRADNSESRKNKEIRRSLIFFASLGFTMIVVSPSWMMIVLDFDVHPILWIFQFVLSEPLKRLSLCIYWLGLIYASVLRFYNISKNSKIERILLRKYYHLLAVSMFLPALIYQPKFLDLAFGAALAIFLVLEIIRVWRIWPLGQLVHQFMSAFTDHRDSDILIVSHFSLLLGCALPIWMSSGFNDRPLIPFAGILSLGIGDTMASMVGHKYGVLRWSKTGKKTIEGTAAGIVSVLAACSILLPLLASTRYIATQHWFPLLIAVTTSGLLEAYTAQLDNAFIPLVFYSLLCL >KJB81291 pep chromosome:Graimondii2_0_v6:13:36739993:36740382:-1 gene:B456_013G137400 transcript:KJB81291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQERGMEFPKVYSNSRAVESSEKGEAFGVILSRSRSVSNASTVALRTEKQSSALETAVRRAFSMRRSRSTSSSSCVSKGRRGYYKIFHYFDDPHPFSLTEFHNVAIQPRKSKKSSSRIFEACKRLIGF >KJB81743 pep chromosome:Graimondii2_0_v6:13:43718209:43722222:1 gene:B456_013G160100 transcript:KJB81743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEERNSNKICRKGDLFAANTMMNQDNEQDNNPDALDDFLLKRKRGRPRKHPKHDLNQGENAQMPWNQNLNHAENAHVPPGFGAVNGNRPHQVNPDANDVMVGQAVSGVIEAAFDAGYLLTVRVGNSDTTLRGVVFKPGHYVPVSAENDVAPNLQMIRRNKIPFPRERNGTAHPLNGPALANQVPRARASNLGAIKNKNVQPAATQFNSLLSRGTVVPVVLQPASLSNGKVSSQQLSQPPHLVSKGKQLSGAANATNEEKPVNQIPTVGNPIFPTQPQPRSSNHTVPKGIQSETVSYSQLPADGLQDKDAKSMTGMPFEKLLSEVMKRVQVPLQPPEVQGGNLSVKELGNKLEDDQALSIEPLQAVQSTHSSSMLKPLENFRTGKMTELLQAVQENMRENQASRIEQPAISSEGTMLRDEATHDSNKQS >KJB81744 pep chromosome:Graimondii2_0_v6:13:43718209:43722222:1 gene:B456_013G160100 transcript:KJB81744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIRTCSDVEKERNSNKICRKGDLFAANTMMNQDNEQDNNPDALDDFLLKRKRGRPRKHPKHDLNQGENAQMPWNQNLNHAENAHVPPGFGAVNGNRPHQVNPDANDVMVGQAVSGVIEAAFDAGYLLTVRVGNSDTTLRGVVFKPGHYVPVSAENDVAPNLQMIRRNKIPFPRERNGTAHPLNGPALANQVPRARASNLGAIKNKNVQPAATQFNSLLSRGTVVPVVLQPASLSNGKVSSQQLSQPPHLVSKGKQLSGAANATNEEKPVNQIPTVGNPIFPTQPQPRSSNHTVPKGIQSETVSYSQLPADGLQDKDAKSMTGMPFEKLLSEVMKRVQVPLQPPEVQGGNLSVKELGNKLEDDQALSIEPLQAVQSTHSSSMLKPLENFRTGKMTELLQAVQENMRENQASRIEQPAISSEGTMLRDEATHDSNKQS >KJB81746 pep chromosome:Graimondii2_0_v6:13:43718329:43721852:1 gene:B456_013G160100 transcript:KJB81746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQDNEQDNNPDALDDFLLKRKRGRPRKHPKHDLNQGENAQMPWNQNLNHAENAHVPPGFGAVNGNRPHQVNPDANDVMVGQAVSGVIEAAFDAGYLLTVRVGNSDTTLRGVVFKPGHYVPVSAENDVAPNLQMIRRNKIPFPRERNGTAHPLNGPALANQVPRARASNLGAIKNKNVQPAATQFNSLLSRGTVVPVVLQPASLSNGKVSSQQLSQPPHLVSKGKQLSGAANATNEEKPVNQIPTVGNPIFPTQPQPRSSNHTVPKGIQSETVSYSQLPADGLQDKDAKSMTGMPFEKLLSEVMKRVQVPLQPPEVQGGNLSVKELGNKLEDDQALSIEPLQAVQSTHSSSMLKPLENFRTGKMTELLQAVQENMRENQASRIEQPAISSEGTMLRDEATHDSNKQS >KJB81747 pep chromosome:Graimondii2_0_v6:13:43718329:43721852:1 gene:B456_013G160100 transcript:KJB81747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIRTCSDVEKERNSNKICRKGDLFAANTMMNQDNEQDNNPDALDDFLLKRKRGRPRKHPKHDLNQGENAQMPWNQNLNHAENAHVPPGFGAVNGNRPHQVNPDANDVMVGQAVSGVIEAAFDAGYLLTVRVGNSDTTLRGVVFKPGHYVPVSAENDVAPNLQMIRRNKIPFPRERNGTAHPLNGPALANQVPRARASNLGAIKNKNVQPAATQFNSLLSRGTVVPVVLQPASLSNGKVSSQQLSQPPHLVSKGKQLSGAANATNEEKPVNQIPTVGNPIFPTQPQPRSSNHTVPKGIQSETVSYSQLPADGLQDKDAKSMTGMPFEKLLSEVMKRVQVPLQPPEVQGGNLSVKELGNKLEDDQALSIEPLQAVQSTHSSSMLKPLENFRTGKMTELLQAVQENMRENQASRIEQPAISSEGTMLRDEATHDSNKQS >KJB81750 pep chromosome:Graimondii2_0_v6:13:43718209:43722222:1 gene:B456_013G160100 transcript:KJB81750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEERNSNKICRKGDLFAANTMMNQDNEQDNNPDALDDFLLKRKRGRPRKHPKHDLNQGENAQMPWNQNLNHAENAHVPPGFGAVNGNRPHQVNPDANDVMVGQAVSGVIEAAFDAGYLLTVRVGNSDTTLRGVVFKPGHYVPVSAENDVAPNLQMIRRNKIPFPRERNGTAHPLNGPALANQVPRARASNLGAIKNKNVQPAATQFNSLLSRGTVVPVVLQPASLSNGKVSSQQLSQPPHLVSKGKQLSGAANATNEEKPVNQIPTVGNPIFPTQPQPRSSNHTVPKGIQSETVSYSQLPADGLQDKDAKSMTGMPFEKLLSEVMKRVQVPLQPPEVQGGNLSVKELGNKLEDDQALSIEPLQAVQSTHSSSMLKPLENFRTGKMTELLQAVQENMRENQASRIEQPAISSEGTMLRDEATHDSNKQS >KJB81753 pep chromosome:Graimondii2_0_v6:13:43718722:43722222:1 gene:B456_013G160100 transcript:KJB81753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIRTCSDVEKERNSNKICRKGDLFAANTMMNQDNEQDNNPDALDDFLLKRKRGRPRKHPKHDLNQGENAQMPWNQNLNHAENAHVPPGFGAVNGNRPHQVNPDANDVMVGQAVSGVIEAAFDAGYLLTVRVGNSDTTLRGVVFKPGHYVPVSAENDVAPNLQMIRRNKIPFPRERNGTAHPLNGPALANQVPRARASNLGAIKNKNVQPAATQFNSLLSRGTVVPVVLQPASLSNGKVSSQQLSQPPHLVSKGKQLSGAANATNEEKPVNQIPTVGNPIFPTQPQPRSSNHTVPKGIQSETVSYSQLPADGLQDKDAKSMTGMPFEKLLSEVMKRVQVPLQPPEVQGGNLSVKELGNKLEDDQALSIEPLQAVQSTHSSSMLKPLENFRTGKMTELLQAVQENMRENQASRIEQPAISSEGTMLRDEATHDSNKQS >KJB81749 pep chromosome:Graimondii2_0_v6:13:43718329:43721852:1 gene:B456_013G160100 transcript:KJB81749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRQKNSNKICRKGDLFAANTMMNQDNEQDNNPDALDDFLLKRKRGRPRKHPKHDLNQGENAQMPWNQNLNHAENAHVPPGFGAVNGNRPHQVNPDANDVMVGQAVSGVIEAAFDAGYLLTVRVGNSDTTLRGVVFKPGHYVPVSAENDVAPNLQMIRRNKIPFPRERNGTAHPLNGPALANQVPRARASNLGAIKNKNVQPAATQFNSLLSRGTVVPVVLQPASLSNGKVSSQQLSQPPHLVSKGKQLSGAANATNEEKPVNQIPTVGNPIFPTQPQPRSSNHTVPKGIQSETVSYSQLPADGLQDKDAKSMTGMPFEKLLSEVMKRVQVPLQPPEVQGGNLSVKELGNKLEDDQALSIEPLQAVQSTHSSSMLKPLENFRTGKMTELLQAVQENMRENQASRIEQPAISSEGTMLRDEATHDSNKQS >KJB81751 pep chromosome:Graimondii2_0_v6:13:43718323:43721852:1 gene:B456_013G160100 transcript:KJB81751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQDNEQDNNPDALDDFLLKRKRGRPRKHPKHDLNQGENAQMPWNQNLNHAENAHVPPGFGAVNGNRPHQVNPDANDVMVGQAVSGVIEAAFDAGYLLTVRVGNSDTTLRGVVFKPGHYVPVSAENDVAPNLQMIRRNKIPFPRERNGTAHPLNGPALANQVPRARASNLGAIKNKNVQPAATQFNSLLSRGTVVPVVLQPASLSNGKVSSQQLSQPPHLVSKGKQLSGAANATNEEKPVNQIPTVGNPIFPTQPQPRSSNHTVPKGIQSETVSYSQLPADGLQDKDAKSMTGMPFEKLLSEVMKRVQVPLQPPEVQGGNLSVKELGNKLEDDQALSIEPLQAVQSTHSSSMLKPLENFRTGKMTELLQAVQENMRENQASRIEQPAISSEGTMLRDEATHDSNKQS >KJB81748 pep chromosome:Graimondii2_0_v6:13:43718209:43722222:1 gene:B456_013G160100 transcript:KJB81748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQDNEQDNNPDALDDFLLKRKRGRPRKHPKHDLNQGENAQMPWNQNLNHAENAHVPPGFGAVNGNRPHQVNPDANDVMVGQAVSGVIEAAFDAGYLLTVRVGNSDTTLRGVVFKPGHYVPVSAENDVAPNLQMIRRNKIPFPRERNGTAHPLNGPALANQVPRARASNLGAIKNKNVQPAATQFNSLLSRGTVVPVVLQPASLSNGKVSSQQLSQPPHLVSKGKQLSGAANATNEEKPVNQIPTVGNPIFPTQPQPRSSNHTVPKGIQSETVSYSQLPADGLQDKDAKSMTGMPFEKLLSEVMKRVQVPLQPPEVQGGNLSVKELGNKLEDDQALSIEPLQAVQSTHSSSMLKPLENFRTGKMTELLQAVQENMRENQASRIEQPAISSEGTMLRDEATHDSNKQS >KJB81745 pep chromosome:Graimondii2_0_v6:13:43718209:43722222:1 gene:B456_013G160100 transcript:KJB81745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQDNEQDNNPDALDDFLLKRKRGRPRKHPKHDLNQGENAQMPWNQNLNHAENAHVPPGFGAVNGNRPHQVNPDANDVMVGQAVSGVIEAAFDAGYLLTVRVGNSDTTLRGVVFKPGHYVPVSAENDVAPNLQMIRRNKIPFPRERNGTAHPLNGPALANQVPRARASNLGAIKNKNVQPAATQFNSLLSRGTVVPVVLQPASLSNGKVSSQQLSQPPHLVSKGKQLSGAANATNEEKPVNQIPTVGNPIFPTQPQPRSSNHTVPKGIQSETVSYSQLPADGLQDKDAKSMTGMPFEKLLSEVMKRVQVPLQPPEVQGGNLSVKELGNKLEDDQALSIEPLQAVQSTHSSSMLKPLENFRTGKMTELLQAVQENMRENQASRIEQPAISSEGTMLRDEATHDSNKQS >KJB81752 pep chromosome:Graimondii2_0_v6:13:43718329:43721852:1 gene:B456_013G160100 transcript:KJB81752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQAVSGVIEAAFDAGYLLTVRVGNSDTTLRGVVFKPGHYVPVSAENDVAPNLQMIRRNKIPFPRERNGTAHPLNGPALANQVPRARASNLGAIKNKNVQPAATQFNSLLSRGTVVPVVLQPASLSNGKVSSQQLSQPPHLVSKGKQLSGAANATNEEKPVNQIPTVGNPIFPTQPQPRSSNHTVPKGIQSETVSYSQLPADGLQDKDAKSMTGMPFEKLLSEVMKRVQVPLQPPEVQGGNLSVKELGNKLEDDQALSIEPLQAVQSTHSSSMLKPLENFRTGKMTELLQAVQENMRENQASRIEQPAISSEGTMLRDEATHDSNKQS >KJB80317 pep chromosome:Graimondii2_0_v6:13:14694511:14696200:-1 gene:B456_013G091400 transcript:KJB80317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVISSLPPMLLDSNSSFTKNFSDDSGSLKHFNGVLINSFEELEKEPLEMLASGNLTKGLPLVFPLGPFLPLELERISLLAPLKWLENQEESSVVYVSFGSRTSMSKEQIRELGYGLVLSRCKFLWVVKSKVVDKEEEEGLDEILGHQLMKNIENNGLVVKEWVDQWQILSQKAVGGFISHCGWNSVVEAAWHGIPVLGWPQQGDQMINAEVIEAGG >KJB80319 pep chromosome:Graimondii2_0_v6:13:14693991:14696230:-1 gene:B456_013G091400 transcript:KJB80319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVISVTAKLCLPNYILFTSSARMLSLFSCFPSIPSSIPKESLPPMLLDSNSSFTKNFSDDSGSLKHFNGVLINSFEELEKEPLEMLASGNLTKGLPLVFPLGPFLPLELERISLLAPLKWLENQEESSVVYVSFGSRTSMSKEQIRELGYGLVLSRCKFLWVVKSKVVDKEEEEGLDEILGHQLMKNIENNGLVVKEWVDQWQILSQKAVGGFISHWMGIEPFGERCGDWGKIKELVGNQRMKMECARISEVAKQRSKAGEGCENMLKTLFQSLKKS >KJB80318 pep chromosome:Graimondii2_0_v6:13:14694511:14698097:-1 gene:B456_013G091400 transcript:KJB80318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHISSPIFWICNGSTCFCFNVTAKLCLPNYILFTSSARMLSLFSCFPSIPSSIPKESLPPMLLDSNSSFTKNFSDDSGSLKHFNGVLINSFEELEKEPLEMLASGNLTKGLPLVFPLGPFLPLELERISLLAPLKWLENQEESSVVYVSFGSRTSMSKEQIRELGYGLVLSRCKFLWVVKSKVVDKEEEEGLDEILGHQLMKNIENNGLVVKEWVDQWQILSQKAVGGFISHCGWNSVVEAAWHGIPVLGWPQQGDQMINAEVIEAGG >KJB80316 pep chromosome:Graimondii2_0_v6:13:14694980:14695744:-1 gene:B456_013G091400 transcript:KJB80316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVISVTAKLCLPNYILFTSSARMLSLFSCFPSIPSSIPKESLPPMLLDSNSSFTKNFSDDSGSLKHFNGVLINSFEELEKEPLEMLASGNLTKGLPLVFPLGPFLPLELERISLLAPLKWLENQEESSVVYVSFGSRTSMSKEQIRELGYGLVLSRCKFLWVVKSKVVDKEEEEGLDEILGHQLMKNIENNGLVVKEWVDQWQILSQKAVGGFISHCGWNSVVEAAWHGIPVLGWPQQGDQMINAEVIEAGG >KJB81418 pep chromosome:Graimondii2_0_v6:13:39713522:39731132:1 gene:B456_013G144900 transcript:KJB81418 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G79560 protein [Source:Projected from Arabidopsis thaliana (AT1G79560) UniProtKB/TrEMBL;Acc:B9DHQ6] MEVSIPCAQTPLLFSSSKTPQTLTFYQLPTRRRLNIRASSSSANPSGSGSNAFSWLRLGSQKFWFKFGESVKKETGFDLDEANVRVGELVGRVNQGLRKGEGEFNRLRTELLPEFVSWNRWDRWKDLKNWELKRIAALILYIFVAIISCQKLYAVVRAPQQDQERKQLTEAYMEALIPEPSPNNIRKFKKGLWRKTTPKGLKLKKFIEGPNGMLIHDSFYVGENAWDDDPESSKENVKQIIDNDARLNAEEKEELRKELGISGEVPDSMGTWRDRLQAWKEILRKEKLSEQLDSINAKYVVEFDMKEVENSLRKDVVEKVTETQGTRALWISKRWWLYRPKLPYTYFLQKLESSEVAAVVFTEDLKRLYVTMKEGFPLEYIVDIPLDPHLFEIISSSGVEVDLLQKRQIHYFMKVVIALVPGLLILWLIRESAMLLHITSKRFLYKKYNQLFDMAYAENFILPVGDVGETKSMYKEVVLGGDVWDLLDELMIYMGNPMQYYEKGVQFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGAARINEMFSIARRNAPAFVFVDEIDAIAGRHARKDPRRRATFEALIAQLDGEKEKTGVDRFSLRQAVIFICATNRPDELDLEFVRPGRIDRRLYIGLPDAKQRVQIFGVHSAGKLLAEDVNFEQLVFRTVGFSGADIRNLVNEAAIMSVRKGHSKISQQDIIDVLDKQLLEGMGVLLTEEEQQKCEASVSFEKKRLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAERVVFGDDITDGGRDDLEKITKIAREMVISPQNARLGLTQLTKRVGLLDRPDSPDGELIKYRWDDPHVIPANMTLEVSELFSRELTRYIEETEELAINALKDNRHILDMIAKELLEKSRITGLEVEEKIKGLYPVMFEDFVKPFQINLDEEGPLPHNDRLRYQPLDIYPAPLHRC >KJB81419 pep chromosome:Graimondii2_0_v6:13:39713562:39731132:1 gene:B456_013G144900 transcript:KJB81419 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G79560 protein [Source:Projected from Arabidopsis thaliana (AT1G79560) UniProtKB/TrEMBL;Acc:B9DHQ6] MEVSIPCAQTPLLFSSSKTPQTLTFYQLPTRRRLNIRASSSSANPSGSGSNAFSWLRLGSQKFWFKFGESVKKETGFDLDEANVRVGELVGRVNQGLRKGEGEFNRLRTELLPEFVSWNRWDRWKDLKNWELKRIAALILYIFVAIISCQKLYAVVRAPQQDQERKQLTEAYMEALIPEPSPNNIRKFKKGLWRKTTPKGLKLKKFIEGPNGMLIHDSFYVGENAWDDDPESSKENVKQIIDNDARLNAEEKEELRKELGISGEVPDSMGTWRDRLQAWKEILRKEKLSEQLDSINAKYVVEFDMKEVENSLRKDVVEKVTETQGTRALWISKRWWLYRPKLPYTYFLQKLESSEVAAVVFTEDLKRLYVTMKEGFPLEYIVDIPLDPHLFEIISSSGVEVDLLQKRQIHYFMKVVIALVPGLLILWLIRESAMLLHITSKRFLYKKYNQLFDMAYAENFILPVGDVGETKSMYKEVVLGGDVWDLLDELMIYMGNPMQYYEKGVQFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGAARINEMFSIARRNAPAFVFVDEIDAIAGRHARKDPRRRATFEALIAQLDGEKEKTGVDRFSLRQAVIFICATNRPDELDLEFVRPGRIDRRLYIGLPDAKQRVQIFGVHSAGKLLAEDVNFEQLVFRTVGFSGADIRNLVNEAAIMSVRKGHSKISQQDIIDVLDKQLLEGMGVLLTEEEQQKCEASVSFEKKRLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAERVVFGDDITDGGRDDLEKITKYIEETEELAINALKDNRHILDMIAKELLEKSRITGLEVEEKIKGLYPVMFEDFVKPFQINLDEEGPLPHNDRLRYQPLDIYPAPLHRC >KJB81280 pep chromosome:Graimondii2_0_v6:13:36448134:36448346:-1 gene:B456_013G136500 transcript:KJB81280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKGGSGGGSNGGGGGSSGGSGNVGRGSTSKVGGGASGMMAAPGSGGAAIISRGAFESNPQGYFAGLQ >KJB78403 pep chromosome:Graimondii2_0_v6:13:37101317:37104270:-1 gene:B456_013G138300 transcript:KJB78403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTPGGSSSSSGGGAEGSRAGLARFRSAPATWLEALLEEEEEDPLKPSQCLTQLLTGNSTSTPTIRNSLLFPNSAYPSGLFEPSGFQRQNSSPADFPGNNPAASSDAYFSNFGVVANYDYLSPTMDVSPSSKRASELDTQFPPTKFHSQLKGEPSDQISGGISNLIDVDMEKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQIKRYP >KJB78404 pep chromosome:Graimondii2_0_v6:13:37100268:37104759:-1 gene:B456_013G138300 transcript:KJB78404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTPGGSSSSSGGGAEGSRAGLARFRSAPATWLEALLEEEEEDPLKPSQCLTQLLTGNSTSTPTIRNSLLFPNSAYPSGLFEPSGFQRQNSSPADFPGNNPAASSDAYFSNFGVVANYDYLSPTMDVSPSSKRASELDTQFPPTKFHSQLKGEPSDQISGGISNLIDVDMEKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKIKRYP >KJB78402 pep chromosome:Graimondii2_0_v6:13:37100048:37104825:-1 gene:B456_013G138300 transcript:KJB78402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTPGGSSSSSGGGAEGSRAGLARFRSAPATWLEALLEEEEEDPLKPSQCLTQLLTGNSTSTPTIRNSLLFPNSAYPSGLFEPSGFQRQNSSPADFPGNNPAASSDAYFSNFGVVANYDYLSPTMDVSPSSKRASELDTQFPPTKFHSQLKGEPSDQISGGISNLIDVDMEKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLEEAVEYVKYLQRQIQELTEHQKRCKCKAKD >KJB82454 pep chromosome:Graimondii2_0_v6:13:49991653:50001112:1 gene:B456_013G196300 transcript:KJB82454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCNNCSRNVSGERLDEGLLSCSFCGKVLEEYNFATEPQFVKDSAGQSKLSGNFVRSVQAISDSRRRTLDYASEQMSGMKNALQIDDYSDDVVHTARRFYEIGLERNFTRGRRSELVQAACLYLACRQKRKPFLLIDFAHYLKINVYELGSVYLQLCYVLYLADGKDLQKLIDPSIFIHKFTNALIPEGNDEVVKTARNILASMKRDWMQTGRKPSGLCGAALYISALSHGLKFPKSEIIKHVYICEATLSKRLIEFENTNAGALTMEEFTEKERELRTSSLTRKQPNTGSKETSLDEVLCRHKERKPFAYGLCNECYEEFMKVSGGLDGGSDPPAFQRAEKERLAKLSIEENAKSKSSCTSASGSEKPENCGALEDATNKEAIDEGDNDKLPGVDGSDDESDNFSDIDDFEVDGYLHNEEEKRFKKIIWEEINREYLEEQAAKEAAAAAAKEACMANYDKCPEDLQAAQELAAAAAEVVAKSKKERLQKRAAEAKNAGPAKTAAEATRQMLVKKRLSSKINYDALEKLFDEPVATEKCKKQRIESNFDSDEKEERASKIGKDEGDYDEGDEEDGGIYDDNPDFDKQVYDYDDNEYDYGYDYDGY >KJB80236 pep chromosome:Graimondii2_0_v6:13:13413007:13414867:1 gene:B456_013G088000 transcript:KJB80236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANVHGHKETRTRTGIKILLTSLSAMVAETSTFPIDLTKTRLQLHGESQPLSSSTRRPTNSFRVAAGIVRDQGVSGLYKGLSPAISRHLFYTPIRIVGYENLRNLVSADGSLSLSSKALVGGISGAIAQFVASPADLVKVRMQADGRLINKGLQPRYKGLFDAFNKIVAMEGLGGLWKGVLPNVQRAFLVNMGELACYDHAKRFVINNQISDDNIYAHTLASIMSGLSATMLSCPADVVKTRMMNQAASKEGNVMYKSSYDCLVKTVKIEGLTALWKGFFPTWARLGPWQFFFWVSYEKFRQISGLSSF >KJB81830 pep chromosome:Graimondii2_0_v6:13:44488785:44493251:-1 gene:B456_013G163700 transcript:KJB81830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKDGMFRYADNVDKLLMFFGTLGSIGDGLQYPLTMLVLSKVINEYGDPNAKLSNDTVDKYALRLLYVAIVVGLSAFVEGVCWTRTAERQTSRIRTEYLKSVLRQEVGFFDTQETGTSMTFQVVSTISADANAIQVAICDKIPNCLAFLSTFFFCLIVSFILSWRITLAAIPLTLFFIVPGLVFGKLMMDVIMKMIESYGVAGGIAEQAISSIRTVYSYVAENQTIEKFSHALERTLELGVKQGFAKGLLMGSMGSIYVGWAFQAWVGTYLVTEKGEKGGSVFAAGINVVMGGLSVLGALPNLTGITEATVAATRIFEMVNRVPSIDADDRKGKALSYVRGEIEFKDIYFSYPSRPDSSVLQGLNIRIPAGKSVGLVGGSGSGKSTTIALLQRFYDPTDGEVLLDGYKIRRLQLKWLRSQMGLVSQEPVLFATSIKENILFGKEGASMEDVISAAKAANAHDFIVKLPEGYETHVGQFGFQMSGGQKQRIAIARALIRDPRILLLDEATSALDAQAERIVQEAIDKASIGRTSIIIAHRLMTIRNANLIVVLQDGRVIESGSHNELMQMNDGEGGEYYRMVELQKMALQNEVSDDISYKTEGRYRHRMNVAQSPISYRSSAASTPSLSPFSPALSVGTPYSYTIQYDPDDDSIDENFKRVSHPTPSQWRLLKMNAPEWGRALVGSVAAIGSGAVQPINAYCVGLLISIYFRGNKSEIKSKSKTLSFVFLGIAALNFTSSLLQHYNFAVMGEKLTKRVREKFLEKLMTFEVGFFDEEENTSAAICTRLATEANMVRSLVGDRMSLLVQAIFGSIFAYIVALKLSWRLSLVMIAVQPLVVGSFYARSMLMKSMSGKAQKAQKEGSQLASEAIVNHRTITAFSSQKRILGLFKDTLKSPKEESVRHSWLSGLGLFSSQFFNTASTALAYWYGGRLLTKGLITSEHLFQAFLVLLFTAYVIAEAGSMTNDLSKGNSAIRSVFTILDRKTEIDPNHSWALEVKKTIKGRIELKNVFFAYPTRPDQLIFKGLNLRIEAGRTVALVGQSGSGKSTIIGLIERFYDPTKGSVFIDAQDIKNYNLRMLRTHIALVSQEPTLFAGTIRENIAYGKKNAKESEIRKAAKLANAHEFISGMKDGYDTNCGERGVQLSGGQKQRIALARAILKNPSILLLDEATSALDSVSEKLVQEALEKMMVSRTCVVVAHRLSTIQKANTIAIIKNGKVAEHGSHNELLSLGRGGAYYSLIKLQGGSSPYR >KJB81975 pep chromosome:Graimondii2_0_v6:13:45708690:45709462:-1 gene:B456_013G170100 transcript:KJB81975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYRMYGCFARKFKIIEAAPPPDVIVAFEKYAEGGPQMTTEQLHRFLVDAQGQGGVKVSDAEEILLQGLQKRHHMAKFRKHALDLDDFHHYLFSANLNLPIDNKASLAFTSLVIAVIRTLFVSVLTSYL >KJB79320 pep chromosome:Graimondii2_0_v6:13:3902681:3905804:1 gene:B456_013G044500 transcript:KJB79320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNLNFKRFGEAPSMEGNGSKAVGNFPLARQSSIYSLTFDELQNTFGGLGKDFGSMNMDELLRNISTAEETQSLMTASVPGGEGGVSGGNLQRQGSLTLPRTLSQKTVEEVWKDLFKENDGAKNVSNGGGGGGANLPQRQQTLGEMTLEEFLGRAGIVREDMQSIGVPNNNGFFDNNSGLALPFQQTNGNNGFLSNNNSVLNQPPILPLDVSGAKSSHSQQQQQPLFPKQQTVAFAPSMHLINTTHFPSPGAQGSVVETSDLSMNTNLVQSSGLQSGGMGIVGLPSPASHMSPDVISKNSVDTTSFSPVPYVLGRGRKRSAALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVSKLKEMNEELLRKQEEVMEMQKNQMLETLNPAWGGKRQCLRRTLTGPW >KJB79321 pep chromosome:Graimondii2_0_v6:13:3902614:3905871:1 gene:B456_013G044500 transcript:KJB79321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNLNFKRFGEAPSMEGNGSKAVGNFPLARQSSIYSLTFDELQNTFGGLGKDFGSMNMDELLRNISTAEETQSLMTASVPGGEGGVSGGNLQRQGSLTLPRTLSQKTVEEVWKDLFKENDGAKNVSNGGGGGGANLPQRQQTLGEMTLEEFLGRAGIVREDMQSIGVPNNNGFFDNNSGLALPFQQTNGNNGFLSNNNSVLNQPPILPLDVSGAKSSHSQQQQQPLFPKQQTVAFAPSMHLINTTHFPSPGAQGSVVETSDLSMNTNLVQSSGLQSGGMGIVGLPSPASHMSPDVISKNSVDTTSFSPVPYVLGRGRKRSAALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVSKLKEMNEELLRKQEEVMEMQKNQMLETLNPAWGGKRQCLRRTLTGPW >KJB79318 pep chromosome:Graimondii2_0_v6:13:3902680:3905828:1 gene:B456_013G044500 transcript:KJB79318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNLNFKRFGEAPSMEGNGSKAVGNFPLARQSSIYSLTFDELQNTFGGLGKDFGSMNMDELLRNISTAEETQSLMTASVPGGEGGVSGGNLQRQGSLTLPRTLSQKTVEEVWKDLFKENDGAKNVSNGGGGGGANLPQRQQTLGEMTLEEFLGRAGIVREDMQSIGVPNNNGFFDNNSGLALPFQQTNGNNGFLSNNNSVLNQPPILPLDVSGAKSSHSQQQQQPLFPKQQTVAFAPSMHLINTTHFPSPGAQGSVVETSDLSMNTNLVQSSGLQSGGMGIVGLPSPASHMSPDVISKNSVDTTSFSPVPYVLGRGRKRSAALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVSKLKEMNEELLRKQEEVMEMQKNQMLETLNPAWGGKRQCLRRTLTGPW >KJB79319 pep chromosome:Graimondii2_0_v6:13:3903628:3905096:1 gene:B456_013G044500 transcript:KJB79319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNLNFKRFGEAPSMEGNGSKAVGNFPLARQSSIYSLTFDELQNTFGGLGKDFGSMNMDELLRNISTAEETQSLMTASVPGGEGGVSGGNLQRQGSLTLPRTLSQKTVEEVWKDLFKENDGAKNVSNGGGGGGANLPQRQQTLGEMTLEEFLGRAGIVREDMQSIGVPNNNGFFDNNSGLALPFQQTNGNNGFLSNNNSVLNQPPILPLDVSGAKSSHSQQQQQPLFPKQQTVAFAPSMHLINTTHFPSPGAQGSVVETSDLSMNTNLVQSSGLQSGGMGIVGLPSPASHMSPDVISKNSVDTTSFSPVPYVLGRGRKRSAALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVSKLKEMNEELLRKQVSTYHLDFDSSFSIETLVDGPFS >KJB79322 pep chromosome:Graimondii2_0_v6:13:3903522:3905804:1 gene:B456_013G044500 transcript:KJB79322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNLNFKRFGEAPSMEGNGSKAVGNFPLARQSSIYSLTFDELQNTFGGLGKDFGSMNMDELLRNISTAEETQSLMTASVPGGEGGVSGGNLQRQGSLTLPRTLSQKTVEEVWKDLFKENDGAKNVSNGGGGGGANLPQRQQTLGEMTLEEFLGRAGIVREDMQSIGVPNNNGFFDNNSGLALPFQQTNGNNGFLSNNNSVLNQPPILPLDVSGAKSSHSQQQQQPLFPKQQTVAFAPSMHLINTTHFPSPGAQGSVVETSDLSMNTNLVQSSGLQSGGMGIVGLPSPASHMSPDVISKNSVDTTSFSPVPYVLGRGRKRSAALEKVVERRQRRMIKNRESAARSRARKQVS >KJB79323 pep chromosome:Graimondii2_0_v6:13:3902614:3905871:1 gene:B456_013G044500 transcript:KJB79323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNLNFKRFGEAPSMEGNGSKAVGNFPLARQSSIYSLTFDELQNTFGGLGKDFGSMNMDELLRNISTAEETQSLMTASVPGGEGGVSGGNLQRQGSLTLPRTLSQKTVEEVWKDLFKENDGAKNVSNGGGGGGANLPQRQQTLGEMTLEEFLGRAGIVREDMQSIGVPNNNGFFDNNSGLALPFQQTNGNNGFLSNNNSVLNQPPILPLDVSGAKSSHSQQQQQPLFPKQQTVAFAPSMHLINTTHFPSPGAQGSVVETSDLSMNTNLVQSSGLQSGGMGIVGLPSPASHMSPDVISKNSVDTTSFSPVPYVLGRGRKRSAALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVSKLKEMNEELLRKQEEVMEMQKNQMLETLNPAWGGKRQCLRRTLTGPW >KJB78968 pep chromosome:Graimondii2_0_v6:13:2035303:2036267:-1 gene:B456_013G027300 transcript:KJB78968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKEWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMKPRSMAKDLRGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGEIDVPLE >KJB82906 pep chromosome:Graimondii2_0_v6:13:56894803:56899851:1 gene:B456_013G251200 transcript:KJB82906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNSEEGSQLEPDVHGVHPTAVYSEPKWCNTGYGAISSGARGVNSLNSSSMECTNASESNDGQSLSNGALNEEDDVNKETQTTASSRAVGTGGQEHHNLQHVGSSMPAVRDEGLTQPPLLELVGHSIACASNPYIDPLYGGMMAAYGHQPMGYPPFVGMPHARMTLPIEMAQEPVYVNAKQYQGILRRRQARAKAELEKKVVKSRKPYLHESRHQHALRRARGSGGRFAKKTDTNPGEEKGSGSGPTLSSQSPSSSGSEPLQTDSNETWTSSLTQHAIHHVNGGSHYQKLGGNISNQAAFYPVNGGQSFQKLKG >KJB82908 pep chromosome:Graimondii2_0_v6:13:56894423:56899953:1 gene:B456_013G251200 transcript:KJB82908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNSEEGSQLEPDVHGVHPTAVYSEPKWCNTGYGAISSGARGVNSLNSSSMECTNASESNDGQSLSNGALNEEDDVNKETQTTASSRAVGTGGQEHHNLQHVGSSMPAVRDEGLTQPPLLELVGHSIACASNPYIDPLYGGMMAAYGHQPMGYPPFVGMPHARMTLPIEMAQEPVYVNAKQYQGILRRRQARAKAELEKKVVKSRKPYLHESRHQHALRRARGSGGRFAKKTDTNPGEEKGSGSGPTLSSQSPSSSGSEPLQTDSNETWTSSLTQHAIHHVNGGSHYQKLGGNISNQAAFYPVNGGQSFQKLKG >KJB82907 pep chromosome:Graimondii2_0_v6:13:56894442:56899851:1 gene:B456_013G251200 transcript:KJB82907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECTNASESNDGQSLSNGALNEEDDVNKETQTTASSRAVGTGGQEHHNLQHVGSSMPAVRDEGLTQPPLLELVGHSIACASNPYIDPLYGGMMAAYGHQPMGYPPFVGMPHARMTLPIEMAQEPVYVNAKQYQGILRRRQARAKAELEKKVVKSRKPYLHESRHQHALRRARGSGGRFAKKTDTNPGEEKGSGSGPTLSSQSPSSSGSEPLQTDSNETWTSSLTQHAIHHVNGGSHYQKLGGNISNQAAFYPVNGGQSFQKLKG >KJB82909 pep chromosome:Graimondii2_0_v6:13:56896483:56899851:1 gene:B456_013G251200 transcript:KJB82909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAYGHQPMGYPPFVGMPHARMTLPIEMAQEPVYVNAKQYQGILRRRQARAKAELEKKVVKSRKPYLHESRHQHALRRARGSGGRFAKKTDTNPGEEKGSGSGPTLSSQSPSSSGSEPLQTDSNETWTSSLTQHAIHHVNGGSHYQKLGGNISNQAAFYPVNGGQSFQKLKG >KJB80582 pep chromosome:Graimondii2_0_v6:13:23170536:23174318:-1 gene:B456_013G107300 transcript:KJB80582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGEEKVRSEDLNLCFEKLMMVAAGNSGEGVKRKGAVITEWKDIPMELLLRIVSLVDDRTAIVASGVCSGWRDAICLGLTHLCLSWCCKNMNNLVLSLAPKFTKLLTLVLRQENPQLEDTAVETIAKFCHDLQDLDLSKSFKLGDRSLYALAHGCPNLTKLNISGCTSFSDEGLEYLTKFCRKLKILNLCGCVKAATDCALQAIGQNCNMLHSLNLGWCDNVGDLGVTSLAYGCPDLRCLDLCGCVRITDDSVIALANGCLHLRSLGLYYCRNITDTAMYSLAHSRVKNKASIWQSMKGRYDEEGLRSLNISQCTALTPSAVQALCDTFPALHTCSGRHSLVMSGCLNLTSVHCACAVQSHRTLNSILHTAH >KJB80583 pep chromosome:Graimondii2_0_v6:13:23170562:23172351:-1 gene:B456_013G107300 transcript:KJB80583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLVLSLAPKFTKLLTLVLRQENPQLEDTAVETIAKFCHDLQDLDLSKSFKLGDRSLYALAHGCPNLTKLNISGCTSFSDEGLEYLTKFCRKLKILNLCGCVKAATDCALQAIGQNCNMLHSLNLGWCDNVGDLGVTSLAYGCPDLRCLDLCGCVRITDDSVIALANGCLHLRSLGLYYCRNITDTAMYSLAHSRVKNKASIWQSMKGRYDEEGLRSLNISQCTALTPSAVQALCDTFPALHTCSGRHSLVMSGCLNLTSVHCACAVQSHRTLNSILHTAH >KJB79236 pep chromosome:Graimondii2_0_v6:13:3093295:3096912:-1 gene:B456_013G038800 transcript:KJB79236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYELVKDIGSGNFGVARLMRNKETKELVAMKYIDRGHKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHSMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTISRIMSVQYKIPDYVHISQDCRHLLSRIFVASPSRRISIKDIKSHPWFLKNLPRELTEAAQAAYYRKENPTFSLQSVDEIMKIVEEAKVAPPVSRSIGGFGWGGEEDGDAREEDTEQEEEEEEDEYEKTVKEVHASGEVHVS >KJB79237 pep chromosome:Graimondii2_0_v6:13:3093301:3096808:-1 gene:B456_013G038800 transcript:KJB79237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYELVKDIGSGNFGVARLMRNKETKELVAMKYIDRGHKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEQLISGVSYCHSMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTISRIMSVQYKIPDYVHISQDCRHLLSRIFVASPSRRISIKDIKSHPWFLKNLPRELTEAAQAAYYRKENPTFSLQSVDEIMKIVEEAKVAPPVSRSIGGFGWGGEEDGDAREEDTEQEEEEEEDEYEKTVKEVHASGEVHVS >KJB82227 pep chromosome:Graimondii2_0_v6:13:48152774:48156340:1 gene:B456_013G182900 transcript:KJB82227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSPEMTPWHSIIEIEHGHSSSQEMTSSPPLHCLSPDIEEGDVKHGISPSQEESFTIFKVPHRLREVNEKAYEPNVISVGPYHYRKPHLARMEGFKKRQFEKIAKKTNLGLNQFREAMKHLEGKTRKCYEQPLHPDLEVEENFLDMMVYDGCFVVQLIWIGHLYDFRELGQHVSYDMRYDLLLLENQLPFFVILELYRMIIPNPGPRGHLTQLAAFALTFFGRHPIYLPENTSIRHLLQLVHDPSQEIRVAGTKEVEYYFPKRKIQSSRSLIPSATELEDAGIHFFGVSIQNMQNQEEGKMNMFDITFDNGTKELKIPTLKVDHSTERTFRNYMAYEQLWGVRKYYVDYVLFMDKLINTGKDVELLRKSGIIDNWLGDDEAVTKIFNKLGYFVYYDTEAFYYEDIADRVNKHCKKDWNIWKAKLKKDYFNTPWSPISFLAALVLLLITILQTIFSLLSYYQQRQENYRSQSV >KJB82226 pep chromosome:Graimondii2_0_v6:13:48152774:48156340:1 gene:B456_013G182900 transcript:KJB82226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSPPLHCLSPDIEEGDVKHGISPSQEESFTIFKVPHRLREVNEKAYEPNVISVGPYHYRKPHLARMEGFKKRQFEKIAKKTNLGLNQFREAMKHLEGKTRKCYEQPLHPDLEVEENFLDMMVYDGCFVVQLIWIGHLYDFRELGQHVSYDMRYDLLLLENQLPFFVILELYRMIIPNPGPRGHLTQLAAFALTFFGRHPIYLPENTSIRHLLQLVHDPSQEIRVAGTKEVEYYFPKRKIQSSRSLIPSATELEDAGIHFFGVSIQNMQNQEEGKMNMFDITFDNGTKELKIPTLKVDHSTERTFRNYMAYEQLWGVRKYYVDYVLFMDKLINTGKDVELLRKSGIIDNWLGDDEAVTKIFNKLGYFVYYDTEAFYYEDIADRVNKHCKKDWNIWKAKLKKDYFNTPWSPISFLAALVLLLITILQTIFSLLSYYQQRQENYRSQSV >KJB80887 pep chromosome:Graimondii2_0_v6:13:29926134:29926725:1 gene:B456_013G120000 transcript:KJB80887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYNTRIFSLVDWLRCGFTKVDNEYAGSGKRVYVGRVLALANMGFWCFNLFGFLLPVYLPKAFKMYYSETKVKVVE >KJB79676 pep chromosome:Graimondii2_0_v6:13:9128080:9129097:1 gene:B456_013G075400 transcript:KJB79676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQMKVHSKLAFSSVNCAFNEALSLLVIDILELLATLFLLKSTIKPNNRLLDIFKVNPVERNWLLASALGFGVLILLVFLTSIVVDGLYGIKDVNNLILKEMLVRSDISKGACITVYCIITPILEEMVYRGFMLASLASTMNWKQAVVISAAIFSAAHLSGENFLQLFVIGCILGCSYCGTGNLSSSILIHSLYNAFTLIITFLS >KJB79674 pep chromosome:Graimondii2_0_v6:13:9127252:9129305:1 gene:B456_013G075400 transcript:KJB79674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILASPILNPKPKFGGHSKKSLAISTNPFLRCSFFTTKFSSTLLKCHCGTHNKDTDKSTQGFSVLTSDIPWKTESLWSTMALYMFNLHIPLGFGGLSIVSYLLHQPVLDPQTEALSLLVIDILELLATLFLLKSTIKPNNRLLDIFKVNPVERNWLLASALGFGVLILLVFLTSIVVDGLYGIKDVNNLILKEMLVRSDISKGACITVYCIITPILEEMVYRGFMLASLASTMNWKQAVVISAAIFSAAHLSGENFLQLFVIGCILGCSYCGTGNLSSSILIHSLYNAFTLIITFLS >KJB79677 pep chromosome:Graimondii2_0_v6:13:9127360:9129117:1 gene:B456_013G075400 transcript:KJB79677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRVLHYGDEQGFSVLTSDIPWKTESLWSTMALYMFNLHIPLGFGGLSIVSYLLHQPVLDPQTEALSLLVIDILELLATLFLLKSTIKPNNRLLDIFKVNPVERNWLLASALGFGVLILLVFLTSIVVDGLYGIKDVNNLILKEMLVRSDISKGACITVYCIITPILEEMVYRGFMLASLASTMNWKQAVVISAAIFSAAHLSGENFLQLFVIGCILGCSYCGTGNLSSSILIHSLYNAFTLIITFLS >KJB79675 pep chromosome:Graimondii2_0_v6:13:9127306:9129281:1 gene:B456_013G075400 transcript:KJB79675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAPASRDEALSLLVIDILELLATLFLLKSTIKPNNRLLDIFKVNPVERNWLLASALGFGVLILLVFLTSIVVDGLYGIKDVNNLILKEMLVRSDISKGACITVYCIITPILEEMVYRGFMLASLASTMNWKQAVVISAAIFSAAHLSGENFLQLFVIGCILGCSYCGTGNLSSSILIHSLYNAFTLIITFLS >KJB79679 pep chromosome:Graimondii2_0_v6:13:9127306:9129281:1 gene:B456_013G075400 transcript:KJB79679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYMFNLHIPLGFGGLSIVSYLLHQPVLDPQTEALSLLVIDILELLATLFLLKSTIKPNNRLLDIFKVNPVERNWLLASALGFGVLILLVFLTSIVVDGLYGIKDVNNLILKEMLVRSDISKGACITVYCIITPILEEMVYRGFMLASLASTMNWKQAVVISAAIFSAAHLSGENFLQLFVIGCILGCSYCGTGNLSSSILIHSLYNAFTLIITFLS >KJB79678 pep chromosome:Graimondii2_0_v6:13:9127392:9129165:1 gene:B456_013G075400 transcript:KJB79678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQMKVHSKLAFSSVNCAFNEALSLLVIDILELLATLFLLKSTIKPNNRLLDIFKVNPVERNWLLASALGFGVLILLVFLTSIVVDGLYGIKDVNNLILKEMLVRSDISKGACITVYCIITPILEEMVYRGFMLASLASTMNWKQAVVISAAIFSAAHLSGENFLQLFVIGCILGCSYCGTGNLSSSILIHSLYNAFTLIITFLS >KJB81661 pep chromosome:Graimondii2_0_v6:13:44640857:44641443:1 gene:B456_013G1648002 transcript:KJB81661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEPVDDSNQKLPFIDAVQRLGVSYHFEKEIEDELENIYRDTNNNDADTDLYTTALRFRLLREHGFGISCDAFNKFKDEAGNFKPSLTSDVQGLLELYEASYMRVHGEDILDEAISFTTAQLTLALPTLNHPLSEQVGHALK >KJB81660 pep chromosome:Graimondii2_0_v6:13:44640479:44641443:1 gene:B456_013G1648002 transcript:KJB81660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQASQVLASPHPAISSENRPKADFHPGIWGDMFIICPDTDIDAATELQYEELKAQVRKMIMEPVDDSNQKLPFIDAVQRLGVSYHFEKEIEDELENIYRDTNNNDADTDLYTTALRFRLLREHGFGISCDAFNKFKDEAGNFKPSLTSDVQGLLELYEASYMRVHGEDILDEAISFTTAQLTLALPTLNHPLSEQVGHALK >KJB81659 pep chromosome:Graimondii2_0_v6:13:44640430:44641443:1 gene:B456_013G1648002 transcript:KJB81659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQASQVLASPHPAISSENRPKADFHPGIWGDMFIICPDTDIDAATELQYEELKAQVRKMIMEPVDDSNQKLPFIDAVQRLGVSYHFEKEIEDELENIYRDTNNNDADTDLYTTALRFRLLREHGFGISCDAFNKFKDEAGNFKPSLTSDVQGLLELYEASYMRVHGEDILDEAISFTTAQLTLALPTLNHPLSEQVGHALK >KJB80996 pep chromosome:Graimondii2_0_v6:13:32268987:32277356:1 gene:B456_013G124600 transcript:KJB80996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRKYKSVNKQYSSKCEVSPDKDAGNSRKSNPKKKLFDKLGPPWSKAEIERFYKAYREYGKDWKKVAAAVRNRSTEMVEAFYIMNRAYLSLPDGVASVIGLIAMMTDHYSVLGGSDAEIESNEPSKIPRKTQKRKRVKAHFGSSKEDVFLPQSIASTQGCLSLLKRIGFNGINPHAVGRRTPRVPVSYSYRRDDMENYNPPKKRVKKSEANDNDDEHVAAVTLTGALQRGSCPQFSQRPYKKAECRRSAPVQCYDRMLLQSETTKAKLPSSSSKHRIESRHGGKEPVIGTYIKDTGPTEDMEGAGTVEVREKGKKVYRKKVKAGEFINNLSDDGGEACSGIEEGIIDRAVKGKVEMEISRGKSKLSPWSQRKKSKKIVSGDESASLDALLALANLSTSISPASIIESEVSAKFKEDRIARETDEKPSASEAASTSHRRDETKHIGPKEKVLNLITEAEDGTSRKSKVGRYSAKDDNVVSEPKQQAESTNNSKKRKSKSFSTSQLQISNPEARMDSPFIQSFDNEDMAEEMNKSLTKGSSAQSSVQSQQRKSFRVPEDSLTKNDPKFAETDSLVSTFQVPAESKLVSLPNKHQSRRKMNLKRALLSTDINSLNYTLANQPNKDSLSQDGLKERLSFCLSSNLARRWCSFEWFYSAIDYAWFVKKEFVEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSERFLHEEREKLKQYRESVRQHYAQLRIGTTEGLPTDLPQPLSIGQRVIAIHPETREVNDGKVLDLEHDSFKVQFDRPKLGVELVTDIDCMPLNPLENLPETLGSQNLAFDKFPATPNVSQVNGHSDFRGSGVYAPSGHLENATSSVNMLANPIKVDASRNVLNAKTSVPSVVAAHQTANGLPLTMAHIQGREADIQVMSELNRALDKKEAILMELRNTNDDILDNKSGGNCLKGSEPFKKHIATVLVQLKEANGQVSSALHNLRQHNAYPANPVSPQQKPPTKSNFLGSLTSSIDSSLVSLESDSVAGEIVEGSRLKAGSMVDAAIKAMSSTKEDEDPFMRIVEVLDSIDKLQFTPDIRMPVIKSAEPENGSISYQKHLVSRLPQNNEQVPSELITSCVATLLMIRTCTERQFPPADVAQIMDLAARSLHPWCSENLWIYREIQRCMGKIKTQILALIPT >KJB80993 pep chromosome:Graimondii2_0_v6:13:32268987:32277356:1 gene:B456_013G124600 transcript:KJB80993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRKYKSVNKQYSSKCEVSPDKDAGNSRKSNPKKLFDKLGPPWSKAEIERFYKAYREYGKDWKKVAAAVRNRSTEMVEAFYIMNRAYLSLPDGVASVIGLIAMMTDHYSVLGGSDAEIESNEPSKIPRKTQKRKRVKAHFGSSKEDVFLPQSIASTQGCLSLLKRIGFNGINPHAVGRRTPRVPVSYSYRRDDMENYNPPKKRVKKSEANDNDDEHVAAVTLTGALQRGSCPQFSQRPYKKAECRRSAPVQCYDRMLLQSETTKAKLPSSSSKHRIESRHGGKEPVIGTYIKDTGPTEDMEGAGTVEVREKGKKVYRKKVKAGEFINNLSDDGGEACSGIEEGIIDRAVKGKVEMEISRGKSKLSPWSQRKKSKKIVSGDESASLDALLALANLSTSISPASIIESEVSAKFKEDRIARETDEKPSASEAASTSHRRDETKHIGPKEKVLNLITEAEDGTSRKSKVGRYSAKDDNVVSEPKQQAESTNNSKKRKSKSFSTSQLQISNPEARMDSPFIQSFDNEDMAEEMNKSLTKGSSAQSSVQSQQRKSFRVPEDSLTKNDPKFAETDSLVSTFQVPAESKLVSLPNKHQSRRKMNLKRALLSTDINSLNYTLANQPNKDSLSQDGLKERLSFCLSSNLARRWCSFEWFYSAIDYAWFVKKEFVEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSERFLHEEREKLKQYRESVRQHYAQLRIGTTEGLPTDLPQPLSIGQRVIAIHPETREVNDGKVLDLEHDSFKVQFDRPKLGVELVTDIDCMPLNPLENLPETLGSQNLAFDKFPATPNVSQVNGHSDFRGSGVYAPSGHLENATSSVNMLANPIKVDASRNVLNAKTSVPSVVAAHQTANGLPLTMAHIQGREADIQVMSELNRALDKKEAILMELRNTNDDILDNKSGGNCLKGSEPFKKHIATVSSALHNLRQHNAYPANPVSPQQKPPTKSNFLGSLTSSIDSSLVSLESDSVAGEIVEGSRLKAGSMVDAAIKAMSSTKEDEDPFMRIVEVLDSIDKLQFTPDIRMPVIKSAEPENGSISYQKHLVSRLPQNNEQVPSELITSCVATLLMIRTCTERQFPPADVAQIMDLAARSLHPWCSENLWIYREIQRCMGKIKTQILALIPT >KJB80992 pep chromosome:Graimondii2_0_v6:13:32268710:32277418:1 gene:B456_013G124600 transcript:KJB80992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRKYKSVNKQYSSKCEVSPDKDAGNSRKSNPKKKLFDKLGPPWSKAEIERFYKAYREYGKDWKKVAAAVRNRSTEMVEAFYIMNRAYLSLPDGVASVIGLIAMMTDHYSVLGGSDAEIESNEPSKIPRKTQKRKRVKAHFGSSKEDVFLPQSIASTQGCLSLLKRIGFNGINPHAVGRRTPRVPVSYSYRRDDMENYNPPKKRVKKSEANDNDDEHVAAVTLTGALQRGSCPQFSQRPYKKAECRRSAPVQCYDRMLLQSETTKAKLPSSSSKHRIESRHGGKEPVIGTYIKDTGPTEDMEGAGTVEVREKGKKVYRKKVKAGEFINNLSDDGGEACSGIEEGIIDRAVKGKVEMEISRGKSKLSPWSQRKKSKKIVSGDESASLDALLALANLSTSISPASIIESEVSAKFKEDRIARETDEKPSASEAASTSHRRDETKHIGPKEKVLNLITEAEDGTSRKSKVGRYSAKDDNVVSEPKQQAESTNNSKKRKSKSFSTSQLQISNPEARMDSPFIQSFDNEDMAEEMNKSLTKGSSAQSSVQSQQRKSFRVPEDSLTKNDPKFAETDSLVSTFQVPAESKLVSLPNKHQSRRKMNLKRALLSTDINSLNYTLANQPNKDSLSQDGLKERLSFCLSSNLARRWCSFEWFYSAIDYAWFVKKEFVEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSERFLHEEREKLKQYRESVRQHYAQLRIGTTEGLPTDLPQPLSIGQRVIAIHPETREVNDGKVLDLEHDSFKVQFDRPKLGVELVTDIDCMPLNPLENLPETLGSQNLAFDKFPATPNVSQVNGHSDFRGSGVYAPSGHLENATSSVNMLANPIKVDASRNVLNAKTSVPSVVAAHQTANGLPLTMAHIQGREADIQVMSELNRALDKKEAILMELRNTNDDILDNKSGGNCLKGSEPFKKHIATVSSALHNLRQHNAYPANPVSPQQKPPTKSNFLGSLTSSIDSSLVSLESDSVAGEIVEGSRLKAGSMVDAAIKAMSSTKEDEDPFMRIVEVLDSIDKLQFTPDIRMPVIKSAEPENGSISYQKHLVSRLPQNNEQVPSELITSCVATLLMIRTCTERQFPPADVAQIMDLAARSLHPWCSENLWIYREIQRCMGKIKTQILALIPT >KJB80997 pep chromosome:Graimondii2_0_v6:13:32269285:32276372:1 gene:B456_013G124600 transcript:KJB80997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRKYKSVNKQYSSKCEVSPDKDAGNSRKSNPKKKLFDKLGPPWSKAEIERFYKAYREYGKDWKKVAAAVRNRSTEMVEAFYIMNRAYLSLPDGVASVIGLIAMMTDHYSVLGGSDAEIESNEPSKIPRKTQKRKRVKAHFGSSKEDVFLPQSIASTQGCLSLLKRIGFNGINPHAVGRRTPRVPVSYSYRRDDMENYNPPKKRVKKSEANDNDDEHVAAVTLTGALQRGSCPQFSQRPYKKAECRRSAPVQCYDRMLLQSETTKAKLPSSSSKHRIESRHGGKEPVIGTYIKDTGPTEDMEGAGTVEVREKGKKVYRKKVKAGEFINNLSDDGGEACSGIEEGIIDRAVKGKVEMEISRGKSKLSPWSQRKKSKKIVSGDESASLDALLALANLSTSISPASIIESEVSAKFKEDRIARETDEKPSASEAASTSHRRDETKHIGPKEKVLNLITEAEDGTSRKSKVGRYSAKDDNVVSEPKQQAESTNNSKKRKSKSFSTSQLQISNPEARMDSPFIQSFDNEDMAEEMNKSLTKGSSAQSSVQSQQRKSFRVPEDSLTKNDPKFAETDSLVSTFQVPAESKLVSLPNKHQSRRKMNLKRALLSTDINSLNYTLANQPNKDSLSQDGLKERLSFCLSSNLARRWCSFEWFYSAIDYAWFVKKEFVEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSERFLHEEREKLKQYRESVRQHYAQLRIGTTEGLPTDLPQPLSIGQRVIAIHPETREVNDGKVLDLEHDSFKVQFDRPKLGVELVTDIDCMPLNPLENLPETLGSQNLAFDKFPATPNVSQVNGHSDFRGSGVYAPSGHLENATSSVNMLANPIKVDASRNVLNAKTSVPSVVAAHQTANGLPLTMAHIQGREADIQVMSELNRALDKKEAILMELRNTNDDILDNKSGGNCLKGSEPFKKHIATVLVQLKEANGQACGFYELM >KJB80995 pep chromosome:Graimondii2_0_v6:13:32269285:32276372:1 gene:B456_013G124600 transcript:KJB80995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRKYKSVNKQYSSKCEVSPDKDAGNSRKSNPKKKLFDKLGPPWSKAEIERFYKAYREYGKDWKKVAAAVRNRSTEMVEAFYIMNRAYLSLPDGVASVIGLIAMMTDHYSVLGGSDAEIESNEPSKIPRKTQKRKRVKAHFGSSKEDVFLPQSIASTQGCLSLLKRIGFNGINPHAVGRRTPRVPVSYSYRRDDMENYNPPKKRVKKSEANDNDDEHVAAVTLTGALQRGSCPQFSQRPYKKAECRRSAPVQCYDRMLLQSETTKAKLPSSSSKHRIESRHGGKEPVIGTYIKDTGPTEDMEGAGTVEVREKGKKVYRKKVKAGEFINNLSDDGGEACSGIEEGIIDRAVKGKVEMEISRGKSKLSPWSQRKKSKKIVSGDESASLDALLALANLSTSISPASIIESEVSAKFKEDRIARETDEKPSASEAASTSHRRDETKHIGPKEKVLNLITEAEDGTSRKSKVGRYSAKDDNVVSEPKQQAESTNNSKKRKSKSFSTSQLQISNPEARMDSPFIQSFDNEDMAEEMNKSLTKGSSAQSSVQSQQRKSFRVPEDSLTKNDPKFAETDSLVSTFQVPAESKLVSLPNKHQSRRKMNLKRALLSTDINSLNYTLANQPNKDSLSQDGLKERLSFCLSSNLARRWCSFEWFYSAIDYAWFVKKEFVEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSERFLHEEREKLKQYRESVRQHYAQLRIGTTEGLPTDLPQPLSIGQRVIAIHPETREVNDGKVLDLEHDSFKVQFDRPKLGVELVTDIDCMPLNPLENLPETLGSQNLAFDKFPATPNVSQVNGHSDFRGSGVYAPSGHLENATSSVNMLANPIKVDASRNVLNAKTSVPSVVAAHQTANGLPLTMAHIQGREADIQVMSELNRALDKKEAILMELRNTNDDILDNKSGGNCLKGSEPFKKHIATVLVQLKEANGQACGFYELM >KJB80994 pep chromosome:Graimondii2_0_v6:13:32268987:32277356:1 gene:B456_013G124600 transcript:KJB80994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRKYKSVNKQYSSKCEVSPDKDAGNSRKSNPKKKLFDKLGPPWSKAEIERFYKAYREYGKDWKKVAAAVRNRSTEMVEAFYIMNRAYLSLPDGVASVIGLIAMMTDHYSVLGGSDAEIESNEPSKIPRKTQKRKRVKAHFGSSKEDVFLPQSIASTQGCLSLLKRIGFNGINPHAVGRRTPRVPVSYSYRRDDMENYNPPKKRVKKSEANDNDDEHVAAVTLTGALQRGSCPQFSQRPYKKAECRRSAPVQCYDRMLLQSETTKAKLPSSSSKHRIESRHGGKEPVIGTYIKDTGPTEDMEGAGTVEVREKGKKVYRKKVKAGEFINNLSDDGGEACSGIEEGIIDRAVKGKVEMEISRGKSKLSPWSQRKKSKKIVSGDESASLDALLALANLSTSISPASIIESEVSAKFKEDRIARETDEKPSASEAASTSHRRDETKHIGPKEKVLNLITEAEDGTSRKSKVGRYSAKDDNVVSEPKQQAESTNNSKKRKSKSFSTSQLQISNPEARMDSPFIQSFDNEDMAEEMNKSLTKGSSAQSSVQSQQRKSFRVPEDSLTKNDPKFAETDSLVSTFQVPAESKLVSLPNKHQSRRKMNLKRALLSTDINSLNYTLANQPNKDSLSQDGLKERLSFCLSSNLARRWCSFEWFYSAIDYAWFVKKEFVEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSERFLHEEREKLKQYRESVRQHYAQLRIGTTEGLPTDLPQPLSIGQRVIAIHPETREVNDGKVLDLEHDSFKVQFDRPKLGVELVTDIDCMPLNPLENLPETLGSQNLAFDKFPATPNVSQVNGHSDFRGSGVYAPSGHLENATSSVNMLANPIKVDASRNVLNAKTSVPSVVAAHQTANGLPLTMAHIQGREADIQVMSELNRALDKKEAILMELRNTNDDILDNKSGGNCLKGSEPFKKHIATVLVQLKEANGQVSSALHNLRQHNAYPANPVSPQQKPPTKSNFLGSLTSSIDSSLVSLESDSVAGEIVEGSRLKAGSMVDAAIKAMSSTKEDEDPFMRIVEVLDSIDKLQFTPDIRMPVIKSAEPENGSISYQKHLVSRLPQNNEQVPSELITSCVATLLMIRRQFPPADVAQIMDLAARSLHPWCSENLWIYREIQRCMGKIKTQILALIPT >KJB81765 pep chromosome:Graimondii2_0_v6:13:43724649:43728098:-1 gene:B456_013G160200 transcript:KJB81765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQPPPGGVATPPSMAADQSQPQHYQQQQQSQTWMMMSQQQQQVGQPVPPPSGWNTQPIPPPSQMQQYPAGSAAAGSGEIRSLWIGDLQPWMDENYLMNIFAQTGEVVSAKVIRNKQTALPEGYGFIEFVSQAAAERVLQSYNGVPMPNSEQNFRLNWAALGYGDKRQEEGTDYTIFVGDLAGDVSDYLLQETFKAVYPSVKGAKVVTDRTTGRSKGYGFVRFGNETEQIRAMTEMNGIYCSTRAMRIGPAANKKPFTGQQYQKGHQEALILGGLELISAEMIPL >KJB81764 pep chromosome:Graimondii2_0_v6:13:43722634:43728098:-1 gene:B456_013G160200 transcript:KJB81764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQPPPGGVATPPSMAADQSQPQHYQQQQQSQTWMMMSQQQQQVGQPVPPPSGWNTQPIPPPSQMQQYPAGSAAAGSGEIRSLWIGDLQPWMDENYLMNIFAQTGEVVSAKVIRNKQTALPEGYGFIEFVSQAAAERVLQSYNGVPMPNSEQNFRLNWAALGYGDKRQEEGTDYTIFVGDLAGDVSDYLLQETFKAVYPSVKGAKVVTDRTTGRSKGYGFVRFGNETEQIRAMTEMNGIYCSTRAMRIGPAANKKPFTGQQYQKDTSQNIQGNPGENDPNNTTIFVGGLDPSVSEDQLKQIFSQLGEVVHVKIPANKNCGFVQYANRTSAEQALSVLNGTILGGRNVRLSWGRSPSSKQAQPDQAQWNGGYYGYAQGYEAYGYAPPPQDPSMYYGGYPGYGNYQQPGAYQQPQQVNVTL >KJB81761 pep chromosome:Graimondii2_0_v6:13:43722185:43728098:-1 gene:B456_013G160200 transcript:KJB81761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQPPPGGVATPPSMAADQSQPQHYQQQQQSQTWMMMSQQQQQVGQPVPPPSGWNTQPIPPPSQMQQYPAGSAAAGSGEIRSLWIGDLQPWMDENYLMNIFAQTGEVVSAKVIRNKQTALPEGYGFIEFVSQAAAERVLQSYNGVPMPNSEQNFRLNWAALGYGDKRQEEGTDYTIFVGDLAGDVSDYLLQETFKAVYPSVKGAKVVTDRTTGRSKGYGFVRFGNETEQIRAMTEMNGIYCSTRAMRIGPAANKKPFTGQQYQKDTSQNIQGNPGENDPNNTTIFVGGLDPSVSEDQLKQIFSQLGEVVHVKIPANKNCGFVQYANRTSAEQALSVLNGTILGGRNVRLSWGRSPSSKQAQPDQAQWNGGYYGYAQGYEAYGYAPPPQDPSMYYGGYPGYGNYQQPGAYQQPQQ >KJB81762 pep chromosome:Graimondii2_0_v6:13:43723431:43726633:-1 gene:B456_013G160200 transcript:KJB81762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQPPPGGVATPPSMAADQSQPQHYQQQQQSQTWMMMSQQQQQVGQPVPPPSGWNTQPIPPPSQMQQYPAGSAAAGSGEIRSLWIGDLQPWMDENYLMNIFAQTGEVVSAKVIRNKQTALPEGYGFIEFVSQAAAERVLQSYNGVPMPNSEQNFRLNWAALGYGDKRQEEGTDYTIFVGDLAGDVSDYLLQETFKAVYPSVKGAKVVTDRTTGRSKGYGFVRFGNETEQIRAMTEMNGIYCSTRAMRIGPAANKKPFTGQQYQKDTSQNIQGNPGENDPNNTTIFVGGLDPSVSEDQLKQIFSQLGEVVHVKIPANKNCGFVQYANR >KJB81763 pep chromosome:Graimondii2_0_v6:13:43722185:43726757:-1 gene:B456_013G160200 transcript:KJB81763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQPPPGGVATPPSMAADQSQPQHYQQQQQSQTWMMMSQQQQQVGQPVPPPSGWNTQPIPPPSQMQQYPAGSAAAGSGEIRSLWIGDLQPWMDENYLMNIFAQTGEVVSAKVIRNKQTALPEGYGFIEFVSQAAAERVLQSYNGVPMPNSEQNFRLNWAALGYGDKRQEEGTDYTIFVGDLAGDVSDYLLQETFKAVYPSVKGAKVVTDRTTGRSKGYGFVRFGNETEQIRAMTEMNGIYCSTRAMRIGPAANKKPFTGQQYQKDTSQNIQGNPGENDPNNTTIFVGGLDPSVSEDQLKQIFSQLGEVVHVKIPANKNCGFVQYANRTSAEQALSVLNGTILGGRNVRLSWGRSPSSKQAQPDQAQWNGGYYGYAQGYEAYGYAPPPQDPSMYYGGYPGYGNYQQPGAYQQPQQ >KJB80174 pep chromosome:Graimondii2_0_v6:13:12095208:12096008:1 gene:B456_013G0844002 transcript:KJB80174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AFTVSGKLKPEDAVQATLFSLPDEVVDTVGVTALPSEDSISERRRKLEYLEMQEELIKEEEEKEEKELARMKESKARKEDVALKEMTIPTVREAQEQAIARALEKRDQLYEISCALAVLASASSVSREREEFLGLVNKE >KJB79891 pep chromosome:Graimondii2_0_v6:13:8183640:8188157:1 gene:B456_013G070600 transcript:KJB79891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLINNFIKFDLNETTRKSIAEYLWIGGSGKDLRSKARTLPEPVTDPAKLPLWNFDGSSTDQAPGDDSEVILRPQAVFRDPFRGGSNILVMCDTYTPAGYPIPTNKRFNADKIFNHPDVAAEEPWFGIEQEYTLLQKDTRWPLGWPVGGFPGPQGPYYCGVGADKSFGRDIVDAHYKACLYAGINISGINGEVMPAQWEFQVGPATGISAGDQLWVARYILERITEIAGVVLSFDPKPIPGDWNGAGAHTNYSTKSMRNDGGINVIRKAIEKLGLRHKQHIAAYGEGNDRRLTGRHETADINSFSWGVANRGASIRVGRDTEKAGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >KJB79890 pep chromosome:Graimondii2_0_v6:13:8183640:8188157:1 gene:B456_013G070600 transcript:KJB79890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLINNFIKFDLNETTRKSIAEYLWIGGSGKDLRSKARTLPEPVTDPAKLPLWNFDGSSTDQAPGDDSEVILRDPFRGGSNILVMCDTYTPAGYPIPTNKRFNADKIFNHPDVAAEEPWFGIEQEYTLLQKDTRWPLGWPVGGFPGPQGPYYCGVGADKSFGRDIVDAHYKACLYAGINISGINGEVMPAQWEFQVGPATGISAGDQLWVARYILERITEIAGVVLSFDPKPIPGDWNGAGAHTNYSTKSMRNDGGINVIRKAIEKLGLRHKQHIAAYGEGNDRRLTGRHETADINSFSWGVANRGASIRVGRDTEKAGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >KJB83106 pep chromosome:Graimondii2_0_v6:13:54850414:54853445:-1 gene:B456_013G229800 transcript:KJB83106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPDVSLFKKLLVFDVSFNEITSLHGMSKVSSTLKELYVSKNEVTKMEEIDHLHELQILELGSNRLRVMENLQNFTKLEELWLGRNRIKAINLCGLKYIKKISLQSNRLTSMIGLEECVALEELYLSHNGISKMEGLSTLVNLRVLDVSSNKLTSVNDVQNLTRLEDLWLNDNQIESLESVAEAVSGSREKLTTVYLENNPCAKSPNYQATLRQIFPNIEQIDSSIFA >KJB83107 pep chromosome:Graimondii2_0_v6:13:54850414:54853445:-1 gene:B456_013G229800 transcript:KJB83107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQTEPPPETNSDKDDQAIEFDLSSTTALDLTSFQLHDLDSVELPSSLTELDLTANRLSKLDPRIANLINLRKLSFQQNLIDDAAIESISSWDSLSGLEELVLRDNKLMKVPDVSLFKKLLVFDVSFNEITSLHGMSKVSSTLKELYVSKNEVTKMEEIDHLHELQILELGSNRLRECVALEELYLSHNGISKMEGLSTLVNLRVLDVSSNKLTSVNDVQNLTRLEDLWLNDNQIESLESVAEAVSGSREKLTTVYLENNPCAKSPNYQATLRQIFPNIEQIDSSIFA >KJB83105 pep chromosome:Graimondii2_0_v6:13:54850334:54853468:-1 gene:B456_013G229800 transcript:KJB83105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQTEPPPETNSDKDDQAIEFDLSSTTALDLTSFQLHDLDSVELPSSLTELDLTANRLSKLDPRIANLINLRKLSFQQNLIDDAAIESISSWDSLSGLEELVLRDNKLMKVPDVSLFKKLLVFDVSFNEITSLHGMSKVSSTLKELYVSKNEVTKMEEIDHLHELQILELGSNRLRVMENLQNFTKLEELWLGRNRIKAINLCGLKYIKKISLQSNRLTSMIGLEECVALEELYLSHNGISKMEGLSTLVNLRVLDVSSNKLTSVNDVQNLTRLEDLWLNDNQIESLESVAEAVSGSREKLTTVYLENNPCAKSPNYQATLRQIFPNIEQIDSSIFA >KJB83108 pep chromosome:Graimondii2_0_v6:13:54850414:54853445:-1 gene:B456_013G229800 transcript:KJB83108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQTEPPPETNSDKDDQAIEFDLSSTTALDLTSFQLHDLDSVELPSSLTELDLTANRLSKLDPRIANLINLRKLSFQQNLIDDAAIESISSWDSLSGLELVLRDNKLMKVPDVSLFKKLLVFDVSFNEITSLHGMSKVSSTLKELYVSKNEVTKMEEIDHLHELQILELGSNRLRVMENLQNFTKLEELWLGRNRIKAINLCGLKYIKKISLQSNRLTSMIGLEECVALEELYLSHNGISKMEGLSTLVNLRVLDVSSNKLTSVNDVQNLTRLEDLWLNDNQIESLESVAEAVSGSREKLTTVYLENNPCAKSPNYQATLRQIFPNIEQIDSSIFA >KJB83109 pep chromosome:Graimondii2_0_v6:13:54851032:54853445:-1 gene:B456_013G229800 transcript:KJB83109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQTEPPPETNSDKDDQAIEFDLSSTTALDLTSFQLHDLDSVELPSSLTELDLTANRLSKLDPRIANLINLRKLSFQQNLIDDAAIESISSWDSLSGLEELVLRDNKLMKVPDVSLFKKLLVFDVSFNEITSLHGMSKVSSTLKELYVSKNEVTKMEEIDHLHELQILELGSNRLRVMENLQNFTKLEELWLGRNRIKAINLCGLKYIKKISLQSNRLTSMIGLEECVALEELYLSHNGISKMEGLSTLVNLRVLDVSSNKLTSVNDVQNLTRLEDLWLNDNQIESLESVAEAVSGSREKLTTVYLENNPCVCLQLEDFKSLELLFFNFFLFIFVIPNSLLWLMSKASLFLYLVLCHTWTIILF >KJB82914 pep chromosome:Graimondii2_0_v6:13:54010378:54021561:1 gene:B456_013G220200 transcript:KJB82914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISLFLFVGFSCFLLINGNKVEDCDYLTDKTSLQSFHVLLQAEQPYRTAYHFQSPQNWLNGPMYYKGVYHLFYQYNPNSAWFGDGMVWAHSASYDLINWFGLDHALVPSEPFDAISCWSGSATILPGDKPVILYTGIDANYNQVQNLANPKNESDPLLVEWVKYSRNPLMTPPDGVKGDNFRDPTTAWQGPDGTWKVVIGSYSNSQGMAILYQSQDFVHWTMHRDPLYSSSKTEMWECPDFFPVSINSTNGVDTSVENPSVRHVMKASFNSHDYYIVGTYVTEQERFLPDADFTGTSSDLRFDYGKFYASKSFFDGKKNRRILCAWVNESDSMEDDLKKGWSGLQSIPRQIWLDLNGKQLVQWPVEELNSLRDNEVYVYDKQLESGSVFEVSGITASQADIEIMFELPKLEEAEFIDTSLVDPQLICDKQDASVTGKFGPFGLLALATKDLTEQTAIFFRVFRDHKDYKVLMCSDQKRSSLRNELDKTTYGAFVDIDPQREMVSLRTLIDHSIIESFGGKGRSVITTRVYPELAINDEAHLFAFNNGSLSVRVSRLNAWSMNKAQIIAGGKLKLYPRISRGRSKEYDP >KJB82912 pep chromosome:Graimondii2_0_v6:13:54010261:54021664:1 gene:B456_013G220200 transcript:KJB82912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISLFLFVGFSCFLLINGNKVEDCDYLTDKTSLQSFHVLLQAEQPYRTAYHFQSPQNWLNDPNGPMYYKGVYHLFYQYNPNSAWFGDGMVWAHSASYDLINWFGLDHALVPSEPFDAISCWSGSATILPGDKPVILYTGIDANYNQVQNLANPKNESDPLLVEWVKYSRNPLMTPPDGVKGDNFRDPTTAWQGPDGTWKVVIGSYSNSQGMAILYQSQDFVHWTMHRDPLYSSSKTEMWECPDFFPVSINSTNGVDTSVENPSVRHVMKASFNSHDYYIVGTYVTEQERFLPDADFTGTSSDLRFDYGKFYASKSFFDGKKNRRILCAWVNESDSMEDDLKKGWSGLQSIPRQIWLDLNGKQLVQWPVEELNSLRDNEVYVYDKQLESGSVFEVSGITASQADIEIMFELPKLEEAEFIDTSLVDPQLICDKQDASVTGKFGPFGLLALATKDLTEQTAIFFRVFRDHKDYKVLMCSDQKRSSLRNELDKTTYGAFVDIDPQREMVSLRTLIDHSIIESFGGKGRSVITTRVYPELAINDEAHLFAFNNGSLSVRVSRLNAWSMNKAQIIAGGKLKLYPRISRGRSKEYDP >KJB82911 pep chromosome:Graimondii2_0_v6:13:54010261:54013247:1 gene:B456_013G220200 transcript:KJB82911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISLFLFVGFSCFLLINGNKVEDCDYLTDKTSLQSFHVLLQAEQPYRTAYHFQSPQNWLNDPNGPMYYKGVYHLFYQYNPNSAWFGDGMVWAHSASYDLINWFGLDHALVPSEPFDAISCWSGSATILPGDKPVILYTGIDANYNQVQNLANPKNESDPLLVEWVKYSRNPLMTPPDGVKGDNFRDPTTAWQGPDGTWKVVIGSYSNSQGMAILYQSQDFVHWTMHRDPLYSSSKTEMWECPDFFPVSINSTNGVDTSVENPSVRHVMKASFNSHDYYIVGTYVTEQERFLPDADFTGTSSDLRFDYGKFYASKSFFDGKKNRRILCAWVNESDSMEDDLKKGWSGLQSIPRQIWLDLNGKQLVQWPVEELNSLRDNEVYVYDKQLESGSVFEVSGITASQADIEIMFELPKLEEAEFIDTSLVDPQLICDKQDASVTGKFGPFGLLALATKDLTEQTAIFFRVFRDHKDYKVLMCSDQKRSSLRNELDKTTYGAFVDIDPQREMVSLRTLIDHSIIESFGGKGRSVITTRVYPKLAINDEAHLFAFNNGSLSVRVSRLNAWSMNKAQITAGGEPGTISEDFSRKK >KJB82913 pep chromosome:Graimondii2_0_v6:13:54010378:54013222:1 gene:B456_013G220200 transcript:KJB82913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISLFLFVGFSCFLLINGNKVEDCDYLTDKTSLQSFHVLLQAEQPYRTAYHFQSPQNWLNGPMYYKGVYHLFYQYNPNSAWFGDGMVWAHSASYDLINWFGLDHALVPSEPFDAISCWSGSATILPGDKPVILYTGIDANYNQVQNLANPKNESDPLLVEWVKYSRNPLMTPPDGVKGDNFRDPTTAWQGPDGTWKVVIGSYSNSQGMAILYQSQDFVHWTMHRDPLYSSSKTEMWECPDFFPVSINSTNGVDTSVENPSVRHVMKASFNSHDYYIVGTYVTEQERFLPDADFTGTSSDLRFDYGKFYASKSFFDGKKNRRILCAWVNESDSMEDDLKKGWSGLQSIPRQIWLDLNGKQLVQWPVEELNSLRDNEVYVYDKQLESGSVFEVSGITASQADIEIMFELPKLEEAEFIDTSLVDPQLICDKQDASVTGKFGPFGLLALATKDLTEQTAIFFRVFRDHKDYKVLMCSDQKRSSLRNELDKTTYGAFVDIDPQREMVSLRTLIDHSIIESFGGKGRSVITTRVYPKLAINDEAHLFAFNNGSLSVRVSRLNAWSMNKAQITAGGEPGTISEDFSRKK >KJB83239 pep chromosome:Graimondii2_0_v6:13:55508806:55513051:-1 gene:B456_013G237000 transcript:KJB83239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYWKLVWVFVLASLFGGYQSEGCWENEKAALFQLKPFFAHINDVDTSWVEGNCCRWNRVECSTSAGRVTPLVLDNPVGSSYDQRYKDWITVREITELNSRVITSKQNANSLTSLREFRLSNNNIEIPRSSALLFNLSKLQIIYADNNTIHNAETEMHSLDTSTFQLRSISLSSCGDVDLSNINFKGDQFPNWLLENNKKLEKLNLVNSSLSGHFLLPSTSHRGLSRLDVSSNTLDGNIPNEIGAKLPSLELLNMSNNFFTGVIPISIGDMISLQLMDLSNNKLSGGIPRHLPMGLFTFNVSNNQLFGDIPSSMENMSLLSILDISSNTLSGGIPRWMGKMSNLEALVMANNHFEGLIPMEFCKLNYSLKFLDLSANNISGSLFIKKQAKRADHHNRLVTLDLSNNHLTGNMPNWIGSLSVLVYLLLKNNHFEGGILVQLCKLNRLRLIDVSNNNLSGTIPPCLMNTISSDSSHAYYSGSYSADVPIEFTVKSISYFYKGRVLTYLSGIDPSCNKLTGEVPLQLQNFQYIIVLNFSHNSLIGPIPPTFSDLSQIESLDLSQNHLSGNIPSQLLGLNFLSAFSVAYNNLSGTTPQTTGQFATFKESSYVGNPFLCGEPLPKNCSTDGSSSLVLKNATDEGFIDMKVFYASFVGSYIVMPLCIAIVLYINPYWRQAWFYHVEASTMSCYYFVLDNVLPKRFR >KJB79120 pep chromosome:Graimondii2_0_v6:13:2622466:2628877:-1 gene:B456_013G034200 transcript:KJB79120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNKRSDKRPESDHSPATVFVTNLAYSFTNSQLEETFSDVGPIRRCFMVTKKGSTEHRGIAFVQFAVAEDANRAIELKNGSSVGGRKIGVKHAMHRASLEQRRSKATQDDATKTKNDKDGLLTSAVEAHGSDLPKLEKPVQPKKAATLCSDLADKENCSEKQRVARTVVFGGLRNAEMAEDVHRLAKEIGTVCAVTYPLPKEELEEHGLAQDGCKMDASAVLFTSVKSAHTAVAMLHQKEIHGSIVWARQLGGEGSKTQKWKLIIRNLSFKAKLSEIKDMFSAAGFVWDVFIPHNSETGLSKGFAFVKFTSKQDAENAIQKFNGKTLCKRPIAVDWAVPKKLYSAGGNTAVTSDDGQLNNKEEESDGSSIDMEDEGGDSDNDSDDGIASNDSNMSEMERTSTAVDFDKEVDIARKVLNNLVTSSSKDSLSLQDHGVLPKSEDNTNVDETINVQNKLPIESAIGSDVSKPEKSGTNKQIDSEEELQRTVFISNLPFDIDNKEVKERFSGFGEVQSFIPVLHPVTKRPRGTGFLKFKTIDAATAAVSAANASSGLGIFLKGRQIKVLKALDRKSAHDKELEKAKAEEHDLRNLYLAKEGLIVEGTPAAKDVSASDMEKRQMLHEKKMTKLQSPNFHVSKTRLVIYNLPKSMTENELKQLCIDAVTSRATKQKPVIRQIKFLKTVKKGKIVVKNQSRGVAFVEFTEHQHALVALRVLNNNPETFGSEHRPIVEFAVDNVQTLKLRKAKLQAQQQDASDDLNDAQQNAKAYPFDDHTNKSRKRKSRDDQRATKHSELKKAEMENVVATEEGQASKKPKHKPTGEKRKPSSKENLEGYNQKLKGSRHKPKDRKGVAKPAVGSSDKVETNVNETSKLKLKEVKAISHPKERTRQEKAKPEEGETNLKRKRPKRNKDPSGRDVVDKLDMLIEQYRSKFSQPKSGTPDAEKQGSKKLRRWFQA >KJB79122 pep chromosome:Graimondii2_0_v6:13:2622476:2628774:-1 gene:B456_013G034200 transcript:KJB79122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNKRSDKRPESDHSPATVFVTNLAYSFTNSQLEETFSDVGPIRRCFMVTKKGSTEHRGIAFVQFAVAEDANRAIELKNGSSVGGRKIGVKHAMHRASLEQRRSKATQDDATKTKNDKDGLLTSAVEAHGSDLPKLEKPVQPKKAATLCSDLADKENCSEKQRVARTVVFGGLRNAEMAEDVHRLAKEIGTVCAVTYPLPKEELEEHDGCKMDASAVLFTSVKSAHTAVAMLHQKEIHGSIVWARQLGGEGSKTQKWKLIIRNLSFKAKLSEIKDMFSAAGFVWDVFIPHNSETGLSKGFAFVKFTSKQDAENAIQKFNGKTLCKRPIAVDWAVPKKLYSAGGNTAVTSDDGQLNNKEEESDGSSIDMEDEGGDSDNDSDDGIASNDSNMSEMERTSTAVDFDKEVDIARKVLNNLVTSSSKDSLSLQDHGVLPKSEDNTNVDETINVQNKLPIESAIGSDVSKPEKSGTNKQIDSEEELQRTVFISNLPFDIDNKEVKERFSGFGEVQSFIPVLHPVTKRPRGTGFLKFKTIDAATAAVSAANASSGLGIFLKGRQIKVLKALDRKSAHDKELEKAKAEEHDLRNLYLAKEGLIVEGTPAAKDVSASDMEKRQMLHEKKMTKLQSPNFHVSKTRLVIYNLPKSMTENELKQLCIDAVTSRATKQKPVIRQIKFLKTVKKGKIVVKNQSRGVAFVEFTEHQHALVALRVLNNNPETFGSEHRPIVEFAVDNVQTLKLRKAKLQAQQQDASDDLNDAQQNAKAYPFDDHTNKSRKRKSRDDQRATKHSELKKAEMENVVATEEGQASKKPKHKPTGEKRKPSSKENLEGYNQKLKGSRHKPKDRKGVAKPAVGSSDKVETNVNETSKLKLKEVKAISHPKERTRQEKAKPEEGETNLKRKRPKRNKDPSGRDVVDKLDMLIEQYRSKFSQPKSGTPDAEKQGSKKLRRWFQA >KJB79121 pep chromosome:Graimondii2_0_v6:13:2622476:2628774:-1 gene:B456_013G034200 transcript:KJB79121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNKRSDKRPESDHSPATVFVTNLAYSFTNSQLEETFSDVGPIRRCFMVTKKGSTEHRGIAFVQFAVAEDANRAIELKNGSSVGGRKIGVKHAMHRASLEQRRSKATQDDATKTKNDKDGLLTSAVEAHGSDLPKLEKPVQPKKAATLCSDLADKENCSEKQRVARTVVFGGLRNAEMAEDVHRLAKEIGTVCAVTYPLPKEELEEHGLAQDGCKMDASAVLFTSVKSAHTAVAMLHQKEIHGSIVWARQLGGEGSKTQKWKLIIRNLSFKAKLSEIKDMFSAAGFVWDVFIPHNSETGLSKGFAFVKFTSKQDAENAIQKFNGKTLCKRPIAVDWAVPKKLYSAGGQLNNKEEESDGSSIDMEDEGGDSDNDSDDGIASNDSNMSEMERTSTAVDFDKEVDIARKVLNNLVTSSSKDSLSLQDHGVLPKSEDNTNVDETINVQNKLPIESAIGSDVSKPEKSGTNKQIDSEEELQRTVFISNLPFDIDNKEVKERFSGFGEVQSFIPVLHPVTKRPRGTGFLKFKTIDAATAAVSAANASSGLGIFLKGRQIKVLKALDRKSAHDKELEKAKAEEHDLRNLYLAKEGLIVEGTPAAKDVSASDMEKRQMLHEKKMTKLQSPNFHVSKTRLVIYNLPKSMTENELKQLCIDAVTSRATKQKPVIRQIKFLKTVKKGKIVVKNQSRGVAFVEFTEHQHALVALRVLNNNPETFGSEHRPIVEFAVDNVQTLKLRKAKLQAQQQDASDDLNDAQQNAKAYPFDDHTNKSRKRKSRDDQRATKHSELKKAEMENVVATEEGQASKKPKHKPTGEKRKPSSKENLEGYNQKLKGSRHKPKDRKGVAKPAVGSSDKVETNVNETSKLKLKEVKAISHPKERTRQEKAKPEEGETNLKRKRPKRNKDPSGRDVVDKLDMLIEQYRSKFSQPKSGTPDAEKQGSKKLRRWFQA >KJB78811 pep chromosome:Graimondii2_0_v6:13:1397593:1399229:-1 gene:B456_013G020300 transcript:KJB78811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPISSNSYIPSFNLQIFIFLFLTAKPISSFAHSALDNNPSFDPHISLFGDARVEDGSSDVQLTRPHAPSSGLLLLHKPLKLTMENNVGQPVSFSTEFSFSIAPGNCDGLAFVLIPNGFHTRFQGQGSFGLSGENIFLGIEFDTKKDDDKAGNFNANHVSIDIHGVESVKLSNLSSLNLVLNSGNVLKSWVDYDSSSKLLQVRLSKLDENRPLNPILAYHIDLVEMWGNHDVFVGIISTTSDESSQISSNVNVHSWRFRVNVVPSWMHSLPADPRSYMDKKDSEETREEKGKFCALPILARLIFATGCGALFAFMMLFMWAIFVSRHTVFPVKYQPSHEDFRYEKVNVVVEKEDQCNKV >KJB80781 pep chromosome:Graimondii2_0_v6:13:28433119:28436604:1 gene:B456_013G114800 transcript:KJB80781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BG MARTRITIFFMGLVLWCCLTKAEYMKYKDPKQAVHVRTRDLLDRMTLEEKIGQMVQIERSVASADVMNKYFIGSVLSGGGSAPSPQASPEAWINMINDFQKGSLATRMQIPMIYGIDAVHGNNNVYKATIFPHNIGLGATRDPKLVKKIGAATALESRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPHLVDAMTEIVPGLQGDMPAKSSKGVPFVAGNKNVAACAKHYVGDGGTTKGINENNTVINWHDLLSIHMPGYYTSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKNKLRFRGFVISDWEGIDRITYPPHANYTYSIQAAIGSGIDMVMVPYDYSSFIDGLTFLVKNNFIPMSRIDDAVKRILRVKFAVGLFENPLADNSLVDQLGRQEHRELAREAVRRSLVLLKNGHYADQPLLPLPKKTSKILVAGSHADNLGYQCGGWTIEWQGFSGNDLTNGTTVLTAIKNTVDSSTNVVYEENPDPKFVKSNNFSCAIVVVGEHPYVETKGDSMNLTIPEPGPTTIRNVCGALKCVVILMSGRPVVIEPDIDSMDALVAAWLPGSEGQGVADVLFGDYGFSGKLPRTWFKTVDQLPMNVGDPHYDPLFPFGFGLTTEPTKAY >KJB80779 pep chromosome:Graimondii2_0_v6:13:28433119:28436604:1 gene:B456_013G114800 transcript:KJB80779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BG MINDFQKGSLATRMQIPMIYGIDAVHGNNNVYKATIFPHNIGLGATRDPKLVKKIGAATALESRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPHLVDAMTEIVPGLQGDMPAKSSKGVPFVAGNKNVAACAKHYVGDGGTTKGINENNTVINWHDLLSIHMPGYYTSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKNKLRFRGFVISDWEGIDRITYPPHANYTYSIQAAIGSGIDMVMVPYDYSSFIDGLTFLVKNNFIPMSRIDDAVKRILRVKFAVGLFENPLADNSLVDQLGRQEHRELAREAVRRSLVLLKNGHYADQPLLPLPKKTSKILVAGSHADNLGYQCGGWTIEWQGFSGNDLTNGTTVLTAIKNTVDSSTNVVYEENPDPKFVKSNNFSCAIVVVGEHPYVETKGDSMNLTIPEPGPTTIRNVCGALKCVVILMSGRPVVIEPDIDSMDALVAAWLPGSEGQGVADVLFGDYGFSGKLPRTWFKTVDQLPMNVGDPHYDPLFPFGFGLTTEPTKAY >KJB80776 pep chromosome:Graimondii2_0_v6:13:28433119:28436604:1 gene:B456_013G114800 transcript:KJB80776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BG MTEIVPGLQGDMPAKSSKGVPFVAGNKNVAACAKHYVGDGGTTKGINENNTVINWHDLLSIHMPGYYTSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKNKLRFRGFVISDWEGIDRITYPPHANYTYSIQAAIGSGIDMVMVPYDYSSFIDGLTFLVKNNFIPMSRIDDAVKRILRVKFAVGLFENPLADNSLVDQLGRQEHRELAREAVRRSLVLLKNGHYADQPLLPLPKKTSKILVAGSHADNLGYQCGGWTIEWQGFSGNDLTNGTTVLTAIKNTVDSSTNVVYEENPDPKFVKSNNFSCAIVVVGEHPYVETKGDSMNLTIPEPGPTTIRNVCGALKCVVILMSGRPVVIEPDIDSMDALVAAWLPGSEGQGVADVLFGDYGFSGKLPRTWFKTVDQLPMNVGDPHYDPLFPFGFGLTTEPTKAY >KJB80773 pep chromosome:Graimondii2_0_v6:13:28433778:28435522:1 gene:B456_013G114800 transcript:KJB80773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BG MARTRITIFFMGLVLWCCLTKAEYMKYKDPKQAVHVRTRDLLDRMTLEEKIGQMVQIERSVASADVMNKYFIGSVLSGGGSAPSPQASPEAWINMINDFQKGSLATRMQIPMIYGIDAVHGNNNVYKATIFPHNIGLGATRDPKLVKKIGAATALESRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPHLVDAMTEIVPGLQGDMPAKSSKGVPFVAGNKNVAACAKHYVGDGGTTKGINENNTVINWHDLLSIHMPGYYTSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKNKLRFRGFVISDWEGIDRITYPPHANYTYSIQAAIGSGIDMVMVPYDYSSFIDGLTFLVKNNFIPMSRIDDAVKRILRVKFAVGLFENPLADNSLVDQLGRQVSLSIA >KJB80778 pep chromosome:Graimondii2_0_v6:13:28433119:28436604:1 gene:B456_013G114800 transcript:KJB80778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BG MARTRITIFFMGLVLWCCLTKAEYMKYKDPKQAVHVRTRDLLDRMTLEEKIGQMVQIERSVASADVMNKYFIGSVLSGGGSAPSPQASPEAWINMINDFQKGSLATRMQIPMIYGIDAVHGNNNVYKATIFPHNIGLGATRDPKLVKKIGAATALESRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPHLVDAMTEIVPGLQGDMPAKSSKGVPFVAGNKNVAACAKHYVGDGGTTKGINENNTVINWHDLLSIHMPGYYTSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKNKLRFRGFVISDWEGIDRITYPPHANYTYSIQAAIGSGIDMVMVPYDYSSFIDGLTFLVKNNFIPMSRIDDAVKRILRVKFAVGLFENPLADNSLVDQLGRQEHRELAREAVRRSLVLLKNGHYADQPLLPLPKKTSKILVAGSHADNLGYQCGGWTIEWQGFSGNDLTNGKTNNLLPCFSVFNYVVPTLVCISHFPICKQPSRLSL >KJB80783 pep chromosome:Graimondii2_0_v6:13:28434350:28436604:1 gene:B456_013G114800 transcript:KJB80783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BG MSSKNVAACAKHYVGDGGTTKGINENNTVINWHDLLSIHMPGYYTSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKNKLRFRGFVISDWEGIDRITYPPHANYTYSIQAAIGSGIDMVMVPYDYSSFIDGLTFLVKNNFIPMSRIDDAVKRILRVKFAVGLFENPLADNSLVDQLGRQEHRELAREAVRRSLVLLKNGHYADQPLLPLPKKTSKILVAGSHADNLGYQCGGWTIEWQGFSGNDLTNGTTVLTAIKNTVDSSTNVVYEENPDPKFVKSNNFSCAIVVVGEHPYVETKGDSMNLTIPEPGPTTIRNVCGALKCVVILMSGRPVVIEPDIDSMDALVAAWLPGSEGQGVADVLFGDYGFSGKLPRTWFKTVDQLPMNVGDPHYDPLFPFGFGLTTEPTKAY >KJB80774 pep chromosome:Graimondii2_0_v6:13:28434821:28436424:1 gene:B456_013G114800 transcript:KJB80774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BG MSSKNVAACAKHYVGDGGTTKGINENNTVINWHDLLSIHMPGYYTSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKNKLRFRGFVISDWEGIDRITYPPHANYTYSIQAAIGSGIDMVMVPYDYSSFIDGLTFLVKNNFIPMSRIDDAVKRILRVKFAVGLFENPLADNSLVDQLGRQEHRELAREAVRRSLVLLKNGHYADQPLLPLPKKTSKILVAGSHADNLGYQCGGWTIEWQGFSGNDLTNGTTVLTAIKNTVDSSTNVVYEENPDPKFVKSNNFSCAIVVVGEHPYVETKGDSMNLTIPEPGPTTIRNVCGALKCVVILMSGRPVVIEPDIDSMDALVAAWLPGSEGQGVADVLFGDYGFSGKLPRTWFKTVDQLPMNVGDPHYDPLFPFGFGLTTEPTKAY >KJB80780 pep chromosome:Graimondii2_0_v6:13:28433069:28436604:1 gene:B456_013G114800 transcript:KJB80780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BG MARTRITIFFMGLVLWCCLTKAEYMKYKDPKQAVHVRTRDLLDRMTLEEKIGQMVQIERSVASADVMNKYFIGSVLSGGGSAPSPQASPEAWINMINDFQKGSLATRMQIPMIYGIDAVHGNNNVYKATIFPHNIGLGATRDPKLVKKIGAATALESRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPHLVDAMTEIVPGLQGDMPAKSSKGVPFVAGNKNVAACAKHYVGDGGTTKGINENNTVINWHDLLSIHMPGYYTSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKNKLRFRGFVISDWEGIDRITYPPHANYTYSIQAAIGSGIDMVMVPYDYSSFIDGLTFLVKNNFIPMSRIDDAVKRILRVKFAVGLFENPLADNSLVDQLGRQEHRELAREAVRRSLVLLKNGHYADQPLLPLPKKTSKILVAGSHADNLGYQCGGWTIEWQGFSGNDLTNGTTVLTAIKNTVDSSTNVVYEENPDPKFVKSNNFSCAIVVVGEHPYVETKGDSMNLTIPEPGPTTIRNVCGALKCVVILMSGRPVVIEPDIDSMDALVAAWLPGSEGQGVADVLFGDYGFSGKLPRTWFKTVDQLPMNVGDPHYDPLFPFGFGLTTEPTKAY >KJB80777 pep chromosome:Graimondii2_0_v6:13:28434296:28436424:1 gene:B456_013G114800 transcript:KJB80777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BG MDPKLVKKIGAATALESRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPHLVDAMTEIVPGLQGDMPAKSSKGVPFVAGNKNVAACAKHYVGDGGTTKGINENNTVINWHDLLSIHMPGYYTSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKNKLRFRGFVISDWEGIDRITYPPHANYTYSIQAAIGSGIDMVMVPYDYSSFIDGLTFLVKNNFIPMSRIDDAVKRILRVKFAVGLFENPLADNSLVDQLGRQEHRELAREAVRRSLVLLKNGHYADQPLLPLPKKTSKILVAGSHADNLGYQCGGWTIEWQGFSGNDLTNGTTVLTAIKNTVDSSTNVVYEENPDPKFVKSNNFSCAIVVVGEHPYVETKGDSMNLTIPEPGPTTIRNVCGALKCVVILMSGRPVVIEPDIDSMDALVAAWLPGSEGQGVADVLFGDYGFSGKLPRTWFKTVDQLPMNVGDPHYDPLFPFGFGLTTEPTKAY >KJB80772 pep chromosome:Graimondii2_0_v6:13:28433119:28436604:1 gene:B456_013G114800 transcript:KJB80772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BG MARTRITIFFMGLVLWCCLTKAEYMKYKDPKQAVHVRTRDLLDRMTLEEKIGQMVQIERSVASADVMNKYFIGSVLSGGGSAPSPQASPEAWINMINDFQKGSLATRMQIPMIYGIDAVHGNNNVYKATIFPHNIGLGATRDPKLVKKIGAATALESRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPHLVDAMTEIVPGLQGDMPAKSSKGVPFVAGNKNVAACAKHYVGDGGTTKGINENNTVINWHDLLSIHMPGYYTSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKNKLRFRGFVISDWEGIDRITYPPHANYTYSIQAAIGSGIDMVMVPYDYSSFIDGLTFLVKNNFIPMSRIDDAVKRILRVKFAVGLFENPLADNSLVDQLGRQEHRELAREAVRRSLVLLKNGHYADQPLLPLPKKTSKILVAGSHADNLGYQCGGWTIEWQGFSGNDLTNGTTVLTAIKNTVDSSTNVVYEENPDPKFVKSNNFSCAIVVVGEHPYVETKGDSMNLTIPEPGPTTIRNVCGALKCVVILMSGRPVVIEPDIDSMDALVAAWLPGSEGQGVADVLFGDYGFSGKLPRTWFKTVDQLPMNVGDPHYDPLFPFGFGLTTEPTKAY >KJB80782 pep chromosome:Graimondii2_0_v6:13:28433119:28436604:1 gene:B456_013G114800 transcript:KJB80782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BG MARTRITIFFMGLVLWCCLTKAEYMKYKDPKQAVHVRTRDLLDRMTLEEKIGQMVQIERSVASADVMNKYFIGSVLSGGGSAPSPQASPEAWINMINDFQKGSLATRMQIPMIYGIDAVHGNNNVYKATIFPHNIGLGATRDPKLVKKIGAATALESRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPHLVDAMTEIVPGLQGDMPAKSSKGVPFVAGNKNVAACAKHYVGDGGTTKGINENNTVINWHDLLSIHMPGYYTSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKNKLRFRGFVISDWEGIDRITYPPHANYTYSIQAAIGSGIDMVMVPYDYSSFIDGLTFLVKNNFIPMSRIDDAVKRILRVKFAVGLFENPLADNSLVDQLGRQVSLSIA >KJB80775 pep chromosome:Graimondii2_0_v6:13:28433119:28436604:1 gene:B456_013G114800 transcript:KJB80775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BG MTEIVPGLQGDMPAKSSKGVPFVAGNKNVAACAKHYVGDGGTTKGINENNTVINWHDLLSIHMPGYYTSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKNKLRFRGFVISDWEGIDRITYPPHANYTYSIQAAIGSGIDMVMVPYDYSSFIDGLTFLVKNNFIPMSRIDDAVKRILRVKFAVGLFENPLADNSLVDQLGRQEHRELAREAVRRSLVLLKNGHYADQPLLPLPKKTSKILVAGSHADNLGYQCGGWTIEWQGFSGNDLTNGTTVLTAIKNTVDSSTNVVYEENPDPKFVKSNNFSCAIVVVGEHPYVETKGDSMNLTIPEPGPTTIRNVCGALKCVVILMSGRPVVIEPDIDSMDALVAAWLPGSEGQGVADVLFGDYGFSGKLPRTWFKTVDQLPMNVGDPHYDPLFPFGFGLTTEPTKAY >KJB80053 pep chromosome:Graimondii2_0_v6:13:10552004:10555177:1 gene:B456_013G078900 transcript:KJB80053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSASPHCAPPLHRFGLRRFPPPQPPLAFMRCPFASSSSRPVYFSPAPTLRIIVSANSKKPYSGRSADSTSASAIDFLTLCHSLKTTKRKGWINHGIKGPESIADHMYRMALMALIAGDLPGVNRERCIKIAIVHDIAEAIVGDITPSCGVPKEEKSRREQAALTEMCKILGGGMRAAAEEIQELWLEYENNASLEANLVKDFDKVELILQALEYELEHGKVLNEFFLSTEGKFQTEIGKSWAREINSRRNSILAEKRKGPK >KJB80052 pep chromosome:Graimondii2_0_v6:13:10551826:10555206:1 gene:B456_013G078900 transcript:KJB80052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSASPHCAPPLHRFGLRRFPPPQPPLAFMRCPFASSSSRPVYFSPAPTLRIIVSANSKKPYSGRSADSTSASAIDFLTLCHSLKTTKRKGWINHGIKGPESIADHMYRMALMALIAGDLPGVNRERCIKIAIVHDIAEAIVGDITPSCGVPKEEKSRREQAALTEMCKILGGGMRAEEIQELWLEYENNASLEANLVKDFDKVELILQALEYELEHGKVLNEFFLSTEGKFQTEIGKSWAREINSRRNSILAEKRKGPK >KJB82147 pep chromosome:Graimondii2_0_v6:13:47212259:47213720:-1 gene:B456_013G178700 transcript:KJB82147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKYETLKIQQESPNSGVFNLIINRPSVSNALGLNFFSEFPKVLNALDQNPIVAVIILSGSGNHFCGGIDLKSLAAIADDNSGDQGRTRERLRRKIKLMQDAITAIERCRKPVIAAIHGACLGLGINIVTACDIRYCTKDAFFSVKEVDLAITADLGTLQRLPGIVGFGKAMELSLTGRRFSGDEAKELGLVSRVFGSREELKEGVRTIAEGIGGKPPLAVVGTKAVLIRSRDVNVEQGLDYVATWNSSMLLSEDLTEAISAQKQKRKPTFAKL >KJB82145 pep chromosome:Graimondii2_0_v6:13:47211967:47213676:-1 gene:B456_013G178700 transcript:KJB82145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKYETLKIQQESPNSGVFNLIINRPSVSNALGLNFFSEFPKVLNALDQNPIVAVIILSGSGNHFCGGIDLKSLAAIADDNSGDQGRTRERLRRKIKLMQDAITAIERCRKPVIAAIHGACLGLGINIVTACDIRYCTKDAFFSVKEVDLAITADLGTLQRLPGIVGFGKAMELSLTGRRFSGDEAKELGLVSRVFGSREELKEGVRTIAEGIGGKPPLAVVGTKAVLIRSRDVNVEQGLDYVATWNSSMLLSEDLTEAISAQKQKRKPTFAKL >KJB82146 pep chromosome:Graimondii2_0_v6:13:47212255:47213719:-1 gene:B456_013G178700 transcript:KJB82146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKYETLKIQQESPNSGVFNLIINRPSVSNALGLNFFSEFPKVLNALDQNPIVAVIILSGSGNHFCGGIDLKSLAAIADDNSGDQGRTRERLRRKIKLMQDAITAIERCRKPVIAAIHGACLGLGINIVTACDIRYCTKDAFFSVKEVDLAITADLGTLQRLPGIVGFGKAMELSLTGRRFSGDEAKELGLVSRVFGSREELKEGVRTIAEGIGGKPPLAVVGTKAVLIRSRDVNVEQGLDYVATWNSSMLLSEDLTEAISAQKQKRKPTFAKL >KJB81097 pep chromosome:Graimondii2_0_v6:13:33506063:33507085:1 gene:B456_013G128900 transcript:KJB81097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQTLNNLETELHEPPQVLFEDYDSTCSTPYVSAPSSPGREPGPGWIHGGLFYSAPASPIHFTMTSLASKVSSTRPSSPDNSPLPLGSEFEFSARFGYTGSDQTRSMTSADELFLNGKIRPLKLSTHLERPQVLAPLLDVEHEDDDGSEDVRGRDVKVRVDQRRARSMSPLRNATFGLKIHDQNMCLDKDLGHKTDSNDNETISDPMSASSSSSSDGRRSKRWVFLKGFIRSKSEGRSNNIKLWSTISFSPLKEKKAGNKSNAVVQEPNHRPVNGIGKRRVPPSPHELHYTANRAQAEEMRKKTFLPYRQGLLGCLGFSSKGYGAMNGLATALNPVSSR >KJB83262 pep chromosome:Graimondii2_0_v6:13:55610286:55613734:1 gene:B456_013G238300 transcript:KJB83262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSSRRQEGSLVINNTNVFAALETLRRKKKSDKDRGSSKKSSSKSQQQPEQEAEPQVFWAPAPLTGKSWADVDDDDDYYATTAPPEPDWGSSEPSHSLEDNNSHVEESESEEDILEGGDDDIEEDHDHEPESQVHPEPVLEKAPEVPPPPKEPERQLSKKERKKKELAELEALLADFGVTQKESNGQDESHDAVQEKKDREGEKKENPVGESKSAKKKKKKDKTKEGKESQDQPTCADATTNGPDEVAGTEQTEEDASAVDVKERLKKVASMKKKKSSKEMDAAAKAAAQEAAARSARLAAAKKKEKNHYNQQPVR >KJB78445 pep chromosome:Graimondii2_0_v6:13:5763203:5763556:-1 gene:B456_013G057500 transcript:KJB78445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNCFALAFVMALSFASTDVGLAARHLLQLPQLPPMPTLPTTTLPPLPSIPNLPQPSIPALPRPGALPPLPTMPGLPTLPSVPRATLPPLPSMPSIPTAIPSIPFLSPPPSPSTP >KJB80590 pep chromosome:Graimondii2_0_v6:13:21452061:21454549:1 gene:B456_013G105600 transcript:KJB80590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVITEGVNSLSIADSSPSNKKKNRIQVSNTKKPLFFYVNLAKRYMQQYNEVELSALGMAIATVVTIAEILKNNGLAVEKKIMTSTVDMREESGGRPVQKAKIEILLGKSEKFGELMAAAAAKDVLDNEEQS >KJB78547 pep chromosome:Graimondii2_0_v6:13:373544:374974:-1 gene:B456_013G005100 transcript:KJB78547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNTEVNCWFTFFMQCLNGHTLCSGCKPKVDNRCPTCRHELGNIRCLALEKLAASLELPCKYQSFGCNGIYPYYSKPKHEYQCSYRPYGCPYAGSECTVIGDIPHLVAHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFHIGLAPVYIAFLRFMGDNNEAKNYSYSLEVGGNGRKLIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KJB78546 pep chromosome:Graimondii2_0_v6:13:373544:375835:-1 gene:B456_013G005100 transcript:KJB78546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSMASGNPFFDDLQNKGEDIDHPQNEDIMDCESLNIPSQAAVKPNGTVSSSVRELLECPVCCNSMYPPILQCLNGHTLCSGCKPKVDNRCPTCRHELGNIRCLALEKLAASLELPCKYQSFGCNGIYPYYSKPKHEYQCSYRPYGCPYAGSECTVIGDIPHLVAHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFHIGLAPVYIAFLRFMGDNNEAKNYSYSLEVGGNGRKLIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KJB78549 pep chromosome:Graimondii2_0_v6:13:373544:375774:-1 gene:B456_013G005100 transcript:KJB78549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSMASGNPFFDDLQNKGEDIDHPQNEDIMDCESLNIPSQAAVKPNGTVSSSVRELLECPVCCNSMYPPILQCLNGHTLCSGCKPKVDNRCPTCRHELGNIRCLALEKLAASLELPCKYQSFGCNGIYPYYSKPKHEYQCSYRPYGCPYAGSECTVIGDIPHLVAHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFHIGLAPVYIAFLRFMGDNNEAKNYSYSLEVGGNGRKLIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KJB78544 pep chromosome:Graimondii2_0_v6:13:373519:375792:-1 gene:B456_013G005100 transcript:KJB78544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSMASGNPFFDDLQNKGEDIDHPQNEDIMDCESLNIPSQAAVKPNGTVSSSVRELLECPVCCNSMYPPILQCLNGHTLCSGCKPKVDNRCPTCRHELGNIRCLALEKLAASLELPCKYQSFGCNGIYPYYSKPKHEYQCSYRPYGCPYAGSECTVIGDIPHLVAHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFHIGLAPVYIAFLRFMGDNNEAKNYSYSLEVGGNGRKLIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KJB78551 pep chromosome:Graimondii2_0_v6:13:373519:376614:-1 gene:B456_013G005100 transcript:KJB78551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSMASGNPFFDDLQNKGEDIDHPQNEDIMDCESLNIPSQAAVKPNGTVSSSVRELLECPVCCNSMYPPILQCLNGHTLCSGCKPKVDNRCPTCRHELGNIRCLALEKLAASLELPCKYQSFGCNGIYPYYSKPKHEYQCSYRPYGCPYAGSECTVIGDIPHLVAHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFHIGLAPVYIAFLRFMGDNNEAKNYSYSLEVGGNGRKLIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KJB78550 pep chromosome:Graimondii2_0_v6:13:373519:376649:-1 gene:B456_013G005100 transcript:KJB78550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSMASGNPFFDDLQNKGEDIDHPQNEDIMDCESLNIPSQAAVKPNGTVSSSVRELLECPVCCNSMYPPILQCLNGHTLCSGCKPKVDNRCPTCRHELGNIRCLALEKLAASLELPCKYQSFGCNGIYPYYSKPKHEYQCSYRPYGCPYAGSECTVIGDIPHLVAHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFHIGLAPVYIAFLRFMGDNNEAKNYSYSLEVGGNGRKLIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KJB78545 pep chromosome:Graimondii2_0_v6:13:373544:376274:-1 gene:B456_013G005100 transcript:KJB78545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSMASGNPFFDDLQNKGEDIDHPQNEDIMDCESLNIPSQAAVKPNGTVSSSVRELLECPVCCNSMYPPILQCLNGHTLCSGCKPKVDNRCPTCRHELGNIRCLALEKLAASLELPCKYQSFGCNGIYPYYSKPKHEYQCSYRPYGCPYAGSECTVIGDIPHLVAHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFHIGLAPVYIAFLRFMGDNNEAKNYSYSLEVGGNGRKLIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KJB78548 pep chromosome:Graimondii2_0_v6:13:373544:374986:-1 gene:B456_013G005100 transcript:KJB78548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLHQNPLYYLCLNGHTLCSGCKPKVDNRCPTCRHELGNIRCLALEKLAASLELPCKYQSFGCNGIYPYYSKPKHEYQCSYRPYGCPYAGSECTVIGDIPHLVAHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFHIGLAPVYIAFLRFMGDNNEAKNYSYSLEVGGNGRKLIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KJB78552 pep chromosome:Graimondii2_0_v6:13:373544:375770:-1 gene:B456_013G005100 transcript:KJB78552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSMASGNPFFDDLQNKGEDIDHPQNEDIMDCESLNIPSQAAVKPNGTVSSSVRELLECPVCCNSMYPPILQCLNGHTLCSGCKPKVDNRCPTCRHELGNIRCLALEKLAASLELPCKYQSFGCNGIYPYYSKPKHEYQCSYRPYGCPYAGSECTVIGDIPHLVAHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFHIGLAPVYIAFLRFMGDNNEAKNYSYSLEVGGNGRKLIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KJB81410 pep chromosome:Graimondii2_0_v6:13:39546610:39547011:-1 gene:B456_013G144100 transcript:KJB81410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SILIENLSVTKKEEVSKRKTKSLNAKFHGLKQNMGQIKDDQRCIRDEQRKIRGKLEDVRRQCDEVRLESEAIVKQSAFNRIRQNRIRLVVMFQIVKAREDGDFDKAALLCRFLNSMSDRTNHLFGSGKKKKVS >KJB82591 pep chromosome:Graimondii2_0_v6:13:51431082:51434805:-1 gene:B456_013G203400 transcript:KJB82591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHWALICHGLITLTIVVSFLCGQWPIFQGTPISSIHRFLTFGAYQYFLRFIGAVFGDRGTNLILSVEYYCCDRPNPILQLIYLAIIGTTYYIIVKTSFSYIPGYYLSEVHRYASFLAVAVGILLFLVTSFSDPGTVKADNVSRYLSAYPYDNIIYTEKECPTCKIPKPARSKHCSLCNRCVARFDHHCGWMNNCIGERNTRYFLAFLLWHFLLCIYGTIAIGLVLAGRLKELQIVHVLTVYYRVDNSLRSLAPYVVQWLLDAHNTQILLMVFMGVVSLLLAGFFAYHANLCLTNTTTNEVRSV >KJB82590 pep chromosome:Graimondii2_0_v6:13:51431051:51434853:-1 gene:B456_013G203400 transcript:KJB82590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHWALICHGLITLTIVVSFLCGQWPIFQGTPISSIHRFLTFGAYQYFLRFIGAVFGDRGTNLILSVEYYCCDRPNPILQLIYLAIIGTTYYIIVKTSFSYIPGYYLSEVHRYASFLAVAVGILLFLVTSFSDPGTVKADNVSRYLSAYPYDNIIYTEKECPTCKIPKPARSKHCSLCNRCVARFDHHCGWMNNCIGERNTRYFLAFLLWHFLLCIYGTIAIGLVLAGRLKELQIVHVLTVYYRVDNSLRSLAPYVVQWLLDAHNTQILLMVFMGVVSLLLAGFFAYHANLCLTNTTTNETFKWQDYISWQKKLIEARASTAALKANIAGMTTEGKPRESKCKSFFRQSLLQDTEAIVKKNVYDKGFFHNLYEVVFPVSTRASFLHTKSKSG >KJB80948 pep chromosome:Graimondii2_0_v6:13:47334362:47337081:-1 gene:B456_013G179700 transcript:KJB80948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIIEEDDGDDVVMEPPPNFSMVEEGIYRSSCPRPCNFSFLETLNLRSIIYLCPEPYPEENLEYIRSHNIRLFQFGIEGKTEPSLATLKDAIRGALKILIDVRNHPVLIHCKRGKHRTGSLVGCLRKLQNWCLSSVFQEYQHFAGIKSRDADMKFIETFDVVYLRQCLHSIIYQYQGYGSKKRRLLYREDNVQKPRITSI >KJB83518 pep chromosome:Graimondii2_0_v6:13:56912395:56914026:-1 gene:B456_013G251500 transcript:KJB83518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGFPASKIFNQGYSLTYDDVIFLPHYIDFPTDAVSLSSCLSRNVSLSIPCVASPMDTVSEAHMAASMAALGGIAVVHCNCTSSQQASIIRSAKSLRVPVTESGADGEWMVGAAIGTRESDRERLEHLVKAGANVVVLDSSQGNSMQQPFTRCHGVPVIADGGISNSGHIVKALVLGATTVMMGSFLANGQRVKKYLGMGSLEAMTKGSNQRYLGDTAKLKIAQGVVGAVVDKGSVLKFIPYTMQAVKQGFQDLGASSLPSAHELLRSGTLRLEVRTSAAQADGGVHGLVSYEKKSF >KJB79697 pep chromosome:Graimondii2_0_v6:13:6861989:6864539:1 gene:B456_013G062500 transcript:KJB79697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVSKFSLTLILSVLSIYAVGGAHIHVDTAAPSPSFSSTGDCTFLFINMADCLSFVSSGSQVAKPEGNCCSGLKTVLDTNGECLCEAFKTSASLGVPLNLTKAFTLPALCKISASSVPKCALSLTPAGAPGVQPSTFAGAPKTVSGGGRAVLPPPASSGSGWPLLSVSMGSLVIGFIVMLFTGY >KJB80505 pep chromosome:Graimondii2_0_v6:13:18789812:18793977:1 gene:B456_013G101400 transcript:KJB80505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKSKTEQSSRSFVSKKWTFLLCLSCFCAGILFTNRMVAVPESKAIMRTTAVEAEKLKLISEGCNLKAKGEKHVSKDIIGEVFKTHHAIQTLDKTISNLEMELAAARAAQESLHGGSPLSEDVSRVGSSRKRKYLMVIGINTAFSSRKRRDSVRATWMPQGEKRKKLEDEKGIIVRFVIGHSATSGGILDRAIEAEDKKHGDFLRLDHVEGYLELSAKTKIYFATSVALWDADFYVKVDDDVHVNIATLGETLVRHRKNPRVYIGCMKSGPVLSQKGVRYHEPEHWKFGETGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGSWFIGLDVEHVDDRRLCCGTPPDCEWKAQAGNICVASFDWTCSGICRSADRIKEVHRRCGEGENALWSATF >KJB80508 pep chromosome:Graimondii2_0_v6:13:18789960:18793870:1 gene:B456_013G101400 transcript:KJB80508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKSKTEQSSRSFVSKKWTFLLCLSCFCAGILFTNRMVAVPESKAIMRTTAVEAEKLKLISEGCNLKAKGEKHVSKDIIGEVFKTHHAIQTLDKTISNLEMELAAARAAQESLHGGSPLSEDVSRVGSSRKRKYLMVIGINTAFSSRKRRDSVRATWMPQGEKRKKLEDEKGIIVRFVIGHSATSGGILDRAIEAEDKKHGDFLRLDHVEGYLELSAKTKIYFATSVALWDADFYVKVDDDVHVNIATLGETLVRHRKNPRVYIGCMKSGPVLSQKGVRYHEPEHWKFGETGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGSWFIGLDVEHVDDRRLCCGTPPDCEWKAQAGNICVASFDWTCSGICRSADRIKEVHRRCGEERAH >KJB80506 pep chromosome:Graimondii2_0_v6:13:18789960:18792926:1 gene:B456_013G101400 transcript:KJB80506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKSKTEQSSRSFVSKKWTFLLCLSCFCAGILFTNRMVAVPESKAIMRTTAVEAEKLKLISEGCNLKAKGEKHVSKDIIGEVFKTHHAIQTLDKTISNLEMELAAARAAQESLHGGSPLSEDVSRVGSSRKRKYLMVIGINTAFSSRKRRDSVRATWMPQGEKRKKLEDEKGIIVRFVIGHSATSGGILDRAIEAEDKKHGDFLRLDHVEGYLELSAKTKIYFATSVALWDADFYVKVDDDVHVNIATLGETLVRHRKNPRVYIGCMKSGPVLSQKGVRYHEPEHWKFGETGNKYFRHATGQLYAISKDLASYISINQ >KJB80507 pep chromosome:Graimondii2_0_v6:13:18789960:18793870:1 gene:B456_013G101400 transcript:KJB80507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKSKTEQSSRSFVSKKWTFLLCLSCFCAGILFTNRMVAVPESKAIMRTTAVEAEKLKLISEGCNLKAKGEKHVSKDIIGEVFKTHHAIQTLDKTISNLEMELAAARAAQESLHGGSPLSEDVSRVGSSRKRKYLMVIGINTAFSSRKRRDSVRATWMPQGEKRKKLEDEKGIIVRFVIGHSATSGGILDRAIEAEDKKHGDFLRLQDHVEGYLELSAKTKIYFATSVALWDADFYVKVDDDVHVNIATLGETLVRHRKNPRVYIGCMKSGPVLSQKGVRYHEPEHWKFGETGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGSWFIGLDVEHVDDRRLCCGTPPDCEWKAQAGNICVASFDWTCSGICRSADRIKEVHRRCGEGENALWSATF >KJB81439 pep chromosome:Graimondii2_0_v6:13:39997556:39997933:1 gene:B456_013G146000 transcript:KJB81439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDNLLLRQIFLVRLVCGSGFLRCLLSTIVVIFITNRAKHWGGYPWSGLLHNVGSKSKIAECDFFLNKCKYPTHYHYWNC >KJB82703 pep chromosome:Graimondii2_0_v6:13:53531373:53534665:1 gene:B456_013G214800 transcript:KJB82703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDTVSSAGGLEVAHQNGVYPSGDAYDSGVTDNANGTVAESFETCLYNEMDDNGATEQAREGPNECVESSGLIDSKEGGVKDNPKQSEPDKVQGKTKNEKPSVPKKVSPALVKKRKDGKIAKATVPAPNGGSVATNPRMKQPLKSRSLNERQANVSKHSEKPDAGNAEKPKLKPLNKVEGDTESSPTAADAKVHKIGTLPNYGFSFKCDERAEKRKEFYNKLEEKIHAREVEKSNLQAKSKETQEAEIKMFRKTLKFKATPMPSFYQEPTPPKVELKKIPPTRAKSPKLGRRKSSTPSDIDGNSNNNHQPGRLSLDEKASQSISAKVISPVHAKKSQRKSLPKLPSQKTSLSGAKNEEQKSKESDQETTTKPKATSKVTREESTASSYLKNEESSPIQQLEAFSGANSGGSQPDLDLGPVIGDLEQEPIAVEH >KJB82702 pep chromosome:Graimondii2_0_v6:13:53531373:53534688:1 gene:B456_013G214800 transcript:KJB82702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDTVSSAGGLEVAHQNGVYPSGDAYDSGVTDNANGTVAESFETCLYNEMDDNGATEQAREGPNECVESSGLIDSKEGGVKDNPKQSEPDKVQGKTKNEKPSVPKKVSPALVKKRKDGKIAKATVPAPNGGSVATNPRMKQPLKSRSLNERQANVSKHSEKPDAGNAEKPKLKPLNKVEGDTESSPTAADAKVHKIGTLPNYGFSFKCDERAEKRKEFYNKLEEKIHAREVEKSNLQAKSKETQEAEIKMFRKTLKFKATPMPSFYQEPTPPKVELKKIPPTRAKSPKLGRRKSSTPSDIDGNSNNNHQPGRLSLDEKASQSISAKVISPVHAKKSQRKSLPKLPSQKTSLSGAKNEEQKSKESDQETTTKPKATSKVTREESTASSYLKNEESSPIQQLEAFSGANSGGSQPDLDLGPVIGDLEQEPIAVEH >KJB82701 pep chromosome:Graimondii2_0_v6:13:53531373:53534665:1 gene:B456_013G214800 transcript:KJB82701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPLKSRSLNERQANVSKHSEKPDAGNAEKPKLKPLNKVEGDTESSPTAADAKVHKIGTLPNYGFSFKCDERAEKRKEFYNKLEEKIHAREVEKSNLQAKSKETQEAEIKMFRKTLKFKATPMPSFYQEPTPPKVELKKIPPTRAKSPKLGRRKSSTPSDIDGNSNNNHQPGRLSLDEKASQSISAKVISPVHAKKSQRKSLPKLPSQKTSLSGAKNEEQKSKESDQETTTKPKATSKVTREESTASSYLKNEESSPIQQLEAFSGANSGGSQPDLDLGPVIGDLEQEPIAVEH >KJB82704 pep chromosome:Graimondii2_0_v6:13:53531400:53534665:1 gene:B456_013G214800 transcript:KJB82704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDTVSSAGGLEVAHQNGVYPSGDAYDSGVTDNANGTVAESFETCLYNEMDDNGATEQAREGPNECVESSGLIDSKEGGVKDNPKQSEPDKVQGKTKNEKPSVPKKVSPALVKKRKDGKIAKATVPAPNGGSVATNPRMKQPLKSRSLNERQANVSKHSEKPDAGNAEKPKLKPLNKVEGDTESSPTAADAKVHKIGTLPNYGFSFKCDERAEKRKEFYNKLEEKIHAREVEKSNLQAKSKETQEAEIKMFRKTLKFKATPMPSFYQEPTPPKVELKKIPPTRAKSPKLGRRKSSTPSDIDGNSNNNHQPGRLSLDEKASQSISAKVISPVHAKKSQRKSLPKLPSQKTSLSGAKNEEQKSKESDQETTTKPKATSKVTREESTASSYLKNEESSPIQQLEAFSGANSGGSQPDLDLGPVIGDLEQEPIAVEH >KJB82700 pep chromosome:Graimondii2_0_v6:13:53531780:53534665:1 gene:B456_013G214800 transcript:KJB82700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPLKSRSLNERQANVSKHSEKPDAGNAEKPKLKPLNKVEGDTESSPTAADAKVHKIGTLPNYGFSFKCDERAEKRKEFYNKLEEKIHAREVEKSNLQAKSKETQEAEIKMFRKTLKFKATPMPSFYQEPTPPKVELKKIPPTRAKSPKLGRRKSSTPSDIDGNSNNNHQPGRLSLDEKASQSISAKVISPVHAKKSQRKSLPKLPSQKTSLSGAKNEEQKSKESDQETTTKPKATSKVTREESTASSYLKNEESSPIQQLEAFSGANSGGSQPDLDLGPVIGDLEQEPIAVEH >KJB83957 pep chromosome:Graimondii2_0_v6:13:39791892:39794548:1 gene:B456_013G145100 transcript:KJB83957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAVRAFSVHGNTLKNAILHRIRVVNPVMRPVMFSRSLTSASMEEHGFESTTISDVLKAKGKGADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGEQKSIAGIITERDYLRKIIVHGRSSKSTKVGDIMTEENKLITVTPETKVLQAMQLMTENRIRHIPVINEKEMVGMVSIGDVVRAVVSEHRAELDRLNAYIQGGY >KJB83955 pep chromosome:Graimondii2_0_v6:13:39791773:39794548:1 gene:B456_013G145100 transcript:KJB83955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAVRAFSVHGNTLKNAILHRIRVVNPVMRPVMFSRSLTSASMEEHGFESTTISDVLKAKGKGADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGEQKSIAGIITERDYLRKIIVHGRSSKSTKVGDIMTEENKLITVTPETKVLQAMQLMTENRIRHIPVINEKEMVGMVSIGDVVRAVVSEHRAELDRLNAYIQGGY >KJB83959 pep chromosome:Graimondii2_0_v6:13:39791892:39794548:1 gene:B456_013G145100 transcript:KJB83959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAVRAFSVHGNTLKNAILHRIRVVNPVMRPVMFSRSLTSASMEEHGFESTTISDVLKAKGKGADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGEQKSIAGIITERDYLRKIIVHGRSSKSTKVGDIMTEENKLITVTPETKVLQAMQLMTGNMIYCHLRHPFLVFTGLLIVKLVVTYVIFTENRIRHIPVINEKEMVGMVSIGDVVRAVVSEHRAELDRLNAYIQGGY >KJB83956 pep chromosome:Graimondii2_0_v6:13:39791672:39794548:1 gene:B456_013G145100 transcript:KJB83956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAVRAFSVHGNTLKNAILHRIRVVNPVMRPVMFSRSLTSASMEEHGFESTTISDVLKAKGKGADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGEQKSIAGIITERDYLRKIIVHGRSSKSTKVGDIMTEENKLITVTPETKVLQAMQLMTENRIRHIPVINEKEMVGMVSIGDVVRAVVSEHRAELDRLNAYIQGGY >KJB83960 pep chromosome:Graimondii2_0_v6:13:39792104:39794548:1 gene:B456_013G145100 transcript:KJB83960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAVRAFSVHGNTLKNAILHRIRVVNPVMRPVMFSRSLTSASMEEHGFESTTISDVLKAKGKGADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGEQKSIAGIITERDYLRKIIVHGRSSKSTKVGDIMTEENKLITVTPETKVLQAMQLMTENRIRHIPVINEKEMVGMVSIGDVVRAVVSEHRAELDRLNAYIQGGY >KJB83958 pep chromosome:Graimondii2_0_v6:13:39791892:39794548:1 gene:B456_013G145100 transcript:KJB83958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAVRAFSVHGNTLKNAILHRIRVVNPVMRPVMFSRSLTSASMEEHGFESTTISDVLKAKGKGADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGEQKSIAGIITERGNYLRKIIVHGRSSKSTKVGDIMTEENKLITVTPETKVLQAMQLMTENRIRHIPVINEKEMVGMVSIGDVVRAVVSEHRAELDRLNAYIQGGY >KJB81983 pep chromosome:Graimondii2_0_v6:13:45746946:45748234:-1 gene:B456_013G1705001 transcript:KJB81983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVLFRGISLTTRNFLHSYTKTNQNPVHSFNPLSASTRSRLRFYSSESDSPVEKKPDPVIESASVAEAHVKDVALPVEDVSNKELKTRIKKYFEGDEEALPSVLEAILRRKLAGKHEETDDELMDELEVQPRDNVDDEEFESDFDNLYSTDEEIENLYSARDIVVKRMVKDEYFNMDDQKWDEMIKEAVQHGYLKDTKECEEILEDMLSWDKLLP >KJB81872 pep chromosome:Graimondii2_0_v6:13:54338903:54340375:1 gene:B456_013G223500 transcript:KJB81872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSCLYPTYITRAVGFADSTSKRLPDLRSDRHISFCNKFRATKQSTPSLPWGESLVEASNHRELVLLHGFRGFKGIVSFSNSRVVSQLFLAELFFSSLKGKKRKTREICINVLFIDRV >KJB79406 pep chromosome:Graimondii2_0_v6:13:4238255:4248871:-1 gene:B456_013G047900 transcript:KJB79406 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MSADSSNSKRSFNSHSSKNQSNSSKKRTSNQKTLGMAWGSNSLSSSRSSFRSSPFSDFGSYMVVKNRKLQNQFDAEASNSTRSDSSAKPIFHGVSIFVDGFTVPSSQELRQYMLNHGGRFENYFSRHRVTHIICSNLPDSKIKNLRSFSSGLPVVKPMWILDSVAANKLLSWVPYQLDQLANNQPTLSAFFTTKCNPADEGAFTNAICEVKHENEVLCLKDASKDASFSEAGNSFERRKQATEENDELKYENTDKTVIDGPSNSYGEEPEEVKVVEQSNLQEEDESMANDRLQASPEQSSSSVSSRCFDNHGIRGSPTSTVIGPSKHRHSTLGDPNFVENYFKNSRLHFIGTWRNRYRNRFPSLSNGFTKSHSNVSAGTQKTPIIHIDMDCFFVSVVIRSHPELNDKPVAVCHSDNPKGTAEISSANYPARDYGIKAGMFVRDAKSLCPQLVILPYNFESYEEVADQFYNILHKHCNRVQAVSCDEAFLDVTDLEGKDPQLLASAVRKEISEATGCTASAGIAENMLMARLATRTAKPNGQCYIHPERVDEYLDQLPIKVLPGIGHVLAEKLKNKNVRTCGELRLISKDSLQKYFGIKTGEMLWNYSRGMDNRLVGMIQESKSVGAEVNWGVRFRDLQHAQHFLLDLCKEVSLRLQGCGVQGRTFTLKIKKRRKDAGEPAKFMGCGDCENLSHSTTVPLATDDIEVLQRISKQLFGSFHIDVKDIRGVGLQVSRLESADTSRQAPERNSLKSWLMSASASSKQRFDINRIAKECVDSEGKSVGGNSGVLRIASVENSVHETNNAPNGEGGSNQSSSVPPLCHLDMGVVENLPSELLSELNEIYDGKLVELITKRKVQGDKSTGSACFFPPEPAQVEEAERSRNSASVSLRRTALEMKDTQHILEELRMVPDSEARPDSVAISTAGLENNDLVPSSLSQVDTSVLQQLPEELRADIFEALPAHRMPEGTALGPRTDNMHPPLGIKTATDNRPGSTDSGLSNNLWIGDPPLWVDKFKVSKLSTLNFFVDIYYKANSAQSLSSILQCIIAESLHPLNARCDAWNEAIHSFSELLMNYIKLKIVVDIEEIYVCFRLLRRLSTKSQFFLEVYNLVFPHLQASVDENYGGTLHIPPIK >KJB79404 pep chromosome:Graimondii2_0_v6:13:4238255:4246716:-1 gene:B456_013G047900 transcript:KJB79404 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MDLQSLIRMSLLVLKRLPLSILTWSHPELNDKPVAVCHSDNPKGTAEISSANYPARDYGIKAGMFVRDAKSLCPQLVILPYNFESYEEVADQFYNILHKHCNRVQAVSCDEAFLDVTDLEGKDPQLLASAVRKEISEATGCTASAGIAENMLMARLATRTAKPNGQCYIHPERVDEYLDQLPIKVLPGIGHVLAEKLKNKNVRTCGELRLISKDSLQKYFGIKTGEMLWNYSRGMDNRLVGMIQESKSVGAEVNWGVRFRDLQHAQHFLLDLCKEVSLRLQGCGVQGRTFTLKIKKRRKDAGEPAKFMGCGDCENLSHSTTVPLATDDIEVLQRISKQLFGSFHIDVKDIRGVGLQVSRLESADTSRQAPERNSLKSWLMSASASSKQRFDINRIAKECVDSEGKSVGGNSGVLRIASVENSVHETNNAPNGEGGSNQSSSVPPLCHLDMGVVENLPSELLSELNEIYDGKLVELITKRKVQGDKSTGSACFFPPEPAQVEEAERSRNSASVSLRRTALEMKDTQHILEELRMVPDSEARPDSVAISTAGLENNDLVPSSLSQVDTSVLQQLPEELRADIFEALPAHRMPEGTALGPRTDNMHPPLGIKTATDNRPGSTDSGLSNNLWIGDPPLWVDKFKVSKLSTLNFFVDIYYKANSAQSLSSILQCIIAESLHPLNARCDAWNEAIHSFSELLMNYIKLKIVVDIEEIYVCFRLLRRLSTKSQFFLEVYNLVFPHLQASVDENYGGTLHIPPIK >KJB79407 pep chromosome:Graimondii2_0_v6:13:4238314:4247895:-1 gene:B456_013G047900 transcript:KJB79407 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MANDRLQASPEQSSSSVSSRCFDNHGIRGSPTSTVIGPSKHRHSTLGDPNFVENYFKNSRLHFIGTWRNRYRNRFPSLSNGFTKSHSNVSAGTQKTPIIHIDMDCFFVSVVIRSHPELNDKPVAVCHSDNPKGTAEISSANYPARDYGIKAGMFVRDAKSLCPQLVILPYNFESYEEVADQFYNILHKHCNRVQAVSCDEAFLDVTDLEGKDPQLLASAVRKEISEATGCTASAGIAENMLMARLATRTAKPNGQCYIHPERVDEYLDQLPIKVLPGIGHVLAEKLKNKNVRTCGELRLISKDSLQKYFGIKTGEMLWNYSRGMDNRLVGMIQESKSVGAEVNWGVRFRDLQHAQHFLLDLCKEVSLRLQGCGVQGRTFTLKIKKRRKDAGEPAKFMGCGDCENLSHSTTVPLATDDIEVLQRISKQLFGSFHIDVKDIRGVGLQVSRLESADTSRQAPERNSLKSWLMSASASSKQRFDINRIAKECVDSEGKSVGGNSGVLRIASVENSVHETNNAPNGEGGSNQSSSVPPLCHLDMGVVENLPSELLSELNEIYDGKLVELITKRKVQGDKSTGSACFFPPEPAQVAVEEAERSRNSASVSLRRTALEMKDTQHILEELRMVPDSEARPDSVAISTAGLENNDLVPSSLSQVDTSVLQQLPEELRADIFEALPAHRMPEGTALGPRTDNMHPPLGIKTATDNRPGSTDSGLSNNLWIGDPPLWVDKFKVSKLSTLNFFVDIYYKANSAQSLSSILQCIIAESLHPLNARCDAWNEAIHSFSELLMNYIKLKIVVDIEEIYVCFRLLRRLSTKSQFFLEVYNLVFPHLQASVDENYGGTLHIPPIK >KJB79405 pep chromosome:Graimondii2_0_v6:13:4238255:4247895:-1 gene:B456_013G047900 transcript:KJB79405 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MANDRLQASPEQSSSSVSSRCFDNHGIRGSPTSTVIGPSKHRHSTLGDPNFVENYFKNSRLHFIGTWRNRYRNRFPSLSNGFTKSHSNVSAGTQKTPIIHIDMDCFFVSVVIRSHPELNDKPVAVCHSDNPKGTAEISSANYPARDYGIKAGMFVRDAKSLCPQLVILPYNFESYEEVADQFYNILHKHCNRVQAVSCDEAFLDVTDLEGKDPQLLASAVRKEISEATGCTASAGIAENMLMARLATRTAKPNGQCYIHPERVDEYLDQLPIKVLPGIGHVLAEKLKNKNVRTCGELRLISKDSLQKYFGIKTGEMLWNYSRGMDNRLVGMIQESKSVGAEVNWGVRFRDLQHAQHFLLDLCKEVSLRLQGCGVQGRTFTLKIKKRRKDAGEPAKFMGCGDCENLSHSTTVPLATDDIEVLQRISKQLFGSFHIDVKDIRGVGLQVSRLESADTSRQAPERNSLKSWLMSASASSKQRFDINRIAKECVDSEGKSVGGNSGVLRIASVENSVHETNNAPNGEGGSNQSSSVPPLCHLDMGVVENLPSELLSELNEIYDGKLVELITKRKVQGDKSTGSACFFPPEPAQVEEAERSRNSASVSLRRTALEMKDTQHILEELRMVPDSEARPDSVAISTAGLENNDLVPSSLSQVDTSVLQQLPEELRADIFEALPAHRMPEGTALGPRTDNMHPPLGIKTATDNRPGSTDSGLSNNLWIGDPPLWVDKFKVSKLSTLNFFVDIYYKANSAQSLSSILQCIIAESLHPLNARCDAWNEAIHSFSELLMNYIKLKIVVDIEEIYVCFRLLRRLSTKSQFFLEVYNLVFPHLQASVDENYGGTLHIPPIK >KJB79408 pep chromosome:Graimondii2_0_v6:13:4238314:4248871:-1 gene:B456_013G047900 transcript:KJB79408 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MSADSSNSKRSFNSHSSKNQSNSSKKRTSNQKTLGMAWGSNSLSSSRSSFRSSPFSDFGSYMVVKNRKLQNQFDAEASNSTRSDSSAKPIFHGVSIFVDGFTVPSSQELRQYMLNHGGRFENYFSRHRVTHIICSNLPDSKIKNLRSFSSGLPVVKPMWILDSVAANKLLSWVPYQLDQLANNQPTLSAFFTTKCNPADEGAFTNAICEVKHENEVLCLKDASKDASFSEAGNSFERRKQATEENDELKYENTDKTVIDGPSNSYGEEPEEVKVVEQSNLQEEDESMANDRLQASPEQSSSSVSSRCFDNHGIRGSPTSTVIGPSKHRHSTLGDPNFVENYFKNSRLHFIGTWRNRYRNRFPSLSNGFTKSHSNVSAGTQKTPIIHIDMDCFFVSVVIRSHPELNDKPVAVCHSDNPKGTAEISSANYPARDYGIKAGMFVRDAKSLCPQLVILPYNFESYEEVADQFYNILHKHCNRVQAVSCDEAFLDVTDLEGKDPQLLASAVRKEISEATGCTASAGIAENMLMARLATRTAKPNGQCYIHPERVDEYLDQLPIKVLPGIGHVLAEKLKNKNVRTCGELRLISKDSLQKYFGIKTGEMLWNYSRGMDNRLVGMIQESKSVGAEVNWGVRFRDLQHAQHFLLDLCKEVSLRLQGCGVQGRTFTLKIKKRRKDAGEPAKFMGCGDCENLSHSTTVPLATDDIEVLQRISKQLFGSFHIDVKDIRGVGLQVSRLESADTSRQAPERNSLKSWLMSASASSKQRFDINRIAKECVDSEGKSVGGNSGVLRIASVENSVHETNNAPNGEGGSNQSSSVPPLCHLDMGVVENLPSELLSELNEIYDGKLVELITKRKVQGDKSTGSACFFPPEPAQVAVEEAERSRNSASVSLRRTALEMKDTQHILEELRMVPDSEARPDSVAISTAGLENNDLVPSSLSQVDTSVLQQLPEELRADIFEALPAHRMPEGTALGPRTDNMHPPLGIKTATDNRPGSTDSGLSNNLWIGDPPLWVDKFKVSKLSTLNFFVDIYYKANSAQSLSSILQCIIAESLHPLNARCDAWNEAIHSFSELLMNYIKLKIVVDIEEIYVCFRLLRRLSTKSQFFLEVYNLVFPHLQASVDENYGGTLHIPPIK >KJB80199 pep chromosome:Graimondii2_0_v6:13:12818820:12821605:-1 gene:B456_013G085900 transcript:KJB80199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKQRQYCRDVSQQKQIVVSECRKERISEEMSLKFEGKLTEGSNNQLLILANFAELISESEYILPTAQENPQNDEDSTMEAPHLQENADDVMAKNSDKNWGEADEMPPISHDRNERDGPHGEGTVPRLSHIRRQARLKIQSFMKQSIQEDSYRTLQGSKMRLTQIRRQARSGNHVPQLNISAVCSHGKLLGGITRLSQIRRQARSKNNCSRLEGTGEPTHVQLDCQFHEVDADNVDKMMLEEGQLRLSQLRRKVRSGNVDLVAPTDVGNTV >KJB80197 pep chromosome:Graimondii2_0_v6:13:12818084:12821745:-1 gene:B456_013G085900 transcript:KJB80197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKQRQYCRDVSQQKQIVVSECRKERISEEMSLKFEGKLTEGSNNQLLILANFAELISESEYILPTAQENPQNDEDSTMEAPHLQENADDVMAKNSDKNWGEADEMPPISHDRNERDGPHGEGTVPRLSHIRRQARLKIQSFMKQSIQEDSYRTLQGSKMRLTQIRRQARSGNHVPQLNISAVCSHGKLLGGITRLSQIRRQARSKNNCSRLEGTGEPTHVQLDCQFHEDADNVDKMMLEEGQLRLSQLRRKVRSGNVDLVAPTDVGNTN >KJB80195 pep chromosome:Graimondii2_0_v6:13:12819465:12820915:-1 gene:B456_013G085900 transcript:KJB80195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKQRQYCRDVSQQKQIVVSECRKERISEEMSLKFEGKLTEGSNNQLLILANFAELISESEYILPTAQENPQNDEDSTMEAPHLQENADDVMAKNSDKNWGEADEMPPISHDRNERDGPHGEGTVPRLSHIRRQARLKIQSFMKQSIQEDSYRTLQGSKMRLTQIRRQARSGNHVPQLNISAVCSHGKLLGGITRLSQIRRQARSKNNCSRLEGTGEPTHVQLDCQFHEVDADNVDKMMLEEGQLRLSQLRRKVRSGNVDLVAPTDVGNTV >KJB80198 pep chromosome:Graimondii2_0_v6:13:12818084:12821605:-1 gene:B456_013G085900 transcript:KJB80198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKQRQYCRDVSQQKQIVVSECRKERISEEMSLKFEGKLTEGSNNQLLILANFAELISESEYILPTAQENPQNDEDSTMEAPHLQENADDVMAKNSDKNWGEADEMPPISHDRNERDGPHGEGTVPRLSHIRRQARLKIQSFMKQSIQEDSYRTLQGSKMRLTQIRRQARSGNHVPQLNISAVCSHGKLLGGITRLSQIRRQARSKNNCSRLEGTGEPTHVQLDCQFHEVDADNVDKMMLEEGQLRLSQLRRKVRSGNVDLVAPTDVGNTN >KJB80194 pep chromosome:Graimondii2_0_v6:13:12818084:12821745:-1 gene:B456_013G085900 transcript:KJB80194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKQRQYCRDVSQQKQIVVSECRKERISEEMSLKFEGKLTEGSNNQLLILANFAELISESEYILPTAQENPQNDEDSTMEAPHLQENADDVMAKNSDKNWGEADEMPPISHDRNERDGPHGEGTVPRLSHIRRQARLKIQSFMKQSIQEDSYRTLQGSKMRLTQIRRQARSGNHVPQLNISAVCSHGKLLGGITRLSQIRRQARSKNNCSRLEGTGEPTHVQLDCQFHEDADNVDKMMLEEGQLRLSQLRRKVRSGNVDLVAPTDVGNTV >KJB80196 pep chromosome:Graimondii2_0_v6:13:12818084:12821459:-1 gene:B456_013G085900 transcript:KJB80196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKQRQYCRDVSQQKQIVVSECRKERISEEMSLKFEGKLTEGSNNQLLILANFAELISESEYILPTAQENPQNDEDSTMEAPHLQENADDVMAKNSDKNWGEADEMPPISHDRNERDGPHGEGTVPRLSHIRRQARLKIQSFMKQSIQEDSYRTLQGSKMRLTQIRRQARSGNHVPQLNISAVCSHGKLLGGITRLSQIRRQARSKNNCSRLEGTGEPTHVQLDCQFHEDADNVDKMMLEEGQLRLSQLRRKVRSGNVDLVAPTDVGNTV >KJB80200 pep chromosome:Graimondii2_0_v6:13:12819774:12821459:-1 gene:B456_013G085900 transcript:KJB80200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKQRQYCRDVSQQKQIVVSECRKERISEEMSLKFEGKLTEGSNNQLLILANFAELISESEYILPTAQENPQNDEDSTMEAPHLQENADDVMAKNSDKNWGEADEMPPISHDRNERDGPHGEGTVPRLSHIRRQARLKIQSFMKQSIQEDSYRTLQGSKMRLTQIRRQARSGNHVPQLNISAVCSHGKLLGGITRLSQIRRQARSKNNCSRLEGTGEPTHVQLDCQFHEGNILG >KJB83688 pep chromosome:Graimondii2_0_v6:13:57397754:57406240:-1 gene:B456_013G258600 transcript:KJB83688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNKVLEDLISTVRLIVGSDYSEMDIIRALHLAKHDATAAINIIFDSPRSFKPREKQIEPESEPVVRISSSDTSTVSVKPKKTGKENKDCSFSSNGNVACGGSVLEDEGNVRLENDWWFVGSSEVPGLSTSKGRKIKVGEEVSFTFPLKGTGSSPAGSMGKGFGKGRAAAACSEIVRFSTKNFGEIGRIPNEWARCLLPLVRDKKIRVEGRCKSAPDVLGVMDTVLLSLSVYINSSTFHKYQQTSLKAASNCNDESIVHPLPSLFRLLGLTPFKKAELAPGDLYTKKRPLETKDGSGIHTPLLTANKFKNPSQNGNEVENDESISDADLENIVGVGDNSELEEMDPPSTLQCELRPYQKQALQWMFQVEKGNCMDEAATTLHPCWEAYRLADKRDPVIYLNAFTGDATIEFPSTHQMARGGILADAMGLGKTIMTISLLATHSERGGLSDSQSSDQPSDQGGEAIDVFGQSPNSVKTATKFPSFDKLSKQRNKLANGGNLIICPMTLLGQWKAEIETHVQPGSLSLYVHYGQSRPKDAKLLAQNDVVITTYGVLASEFSAENSEDNGGLYSVRWFRIVLDEAHTIKSSKSQISMAAAALVADRRWCLTGTPIQNKLEDLYSLLRFLKVEPWGNWPWWNKLIQKPFEEGDQRGLKLVQSILKPIMLRRTKCSTDRYGKPILVLPPADVQVIYCELSEAEKDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYTDLNKLAKRFLRGGQSTLDGEAKDLPSRAYVQEVVEELRKGEQGECPICLEAFEDAVLTPCAHRLCRECLLASWRNPNSGLCPVCRKTVTKQELITAPTESRFQVDVEKNWVESTKVVVLLQELENLRSSGSKSILFSQWTAFLDLLQIPLSRNNIPFLRLDGTLNQQQREKVIKQFSEDSKIMVLLMSLKAGGVGINLTAASNAFVLDPWWNPAVEEQAVMRIHRIGQTKRVAIKRFIVKGTVEERMEAVQARKQKMISGALTDEEVRTARLEELKMLFT >KJB81892 pep chromosome:Graimondii2_0_v6:13:44938297:44943023:-1 gene:B456_013G166300 transcript:KJB81892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKAHVSKELNEKHRKILEGLLKIPENKECADCKSKGPRWASVNLGVFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPHYDRVGIENFIRAKYEEKRWIPRNRRSKSPPRGLDERATSHWQRPTEKSGHGHVSNSDNSFEERRTIQASSRKENLPATRISLPVPPKGPNQVTPVREPETVVAAPVEATTPPPVTPPKFEYATDLFNMMSMDDASSENASGSGAASTDDIDWAGFQSAGDTSATGQNDPPKAGEKNTQSATGIENLFNDSPPITTNQVTEKPQKDIKDDIMSLFEKSNTPSPFAMHQQQLAALAQQQSFLMAAAAKSAPGNAQQSPSNGTMIPTQQLAMLAQQQSLLMAAAAKAAPGNSQQPPSTTTSLPTQQQLALLAHQQSLLMAAAGNMQQPPSTSTSVPTQAWPNIGYQIPGMMMPVGAQADLQKLMQTMQIGQTQQAGNNVASSSFYALGQATPASSVATNGASKPQSASPVSSTNSSQAGKDYDFSSLTQGMFTKR >KJB81893 pep chromosome:Graimondii2_0_v6:13:44938812:44942980:-1 gene:B456_013G166300 transcript:KJB81893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKAHVSKELNEKHRKILEGLLKIPENKECADCKSKGPRWASVNLGVFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPHYDRVGIENFIRAKYEEKRWIPRNRRSKSPPRGLDERATSHWQRPTEKSGHGHVSNSDNSFEERRTIQASSRKENLPATRISLPVPPKGPNQVTPVREPETVVAAPVEATTPPPVTPPKFEYATDLFNMMSMDDASSENASGSGAASTDDIDWAGFQSAGDTSATGQNDPPKAGEKNTQSATGIENLFNDSPPITTNQVTEKPQKDIKDDIMSLFEKSNTPSPFAMHQQQLAALAQQQSFLMAAAAKSAPGNAQQSPSNGTMIPTQQLAMLAQQQSLLMAAAAKAAPGNSQQPPSTTTSLPTQQQLALLAHQQSLLMAAAGNMQQPPSTSTSVPTQAWPNIGYQIPGMMMPVGAQADLQKLMQVDFLL >KJB81894 pep chromosome:Graimondii2_0_v6:13:44939709:44942980:-1 gene:B456_013G166300 transcript:KJB81894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKAHVSKELNEKHRKILEGLLKIPENKECADCKSKGPRWASVNLGVFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPHYDRVGIENFIRAKYEEKRWIPRNRRSKSPPRGLDERATSHWQRPTEKSGHGHVSNSDNSFEERRTIQASSRKENLPATRISLPVPPKGPNQVTPVREPETVVAAPVEATTPPPVTPPKFEYATDLFNMMSMDDASSENASGSGAASTDDIDWAGFQSAGDTSATGQNDPPKAGEKNTQSATGIENLFNDSPPITTNQVTEKPQKDIKDDIMSLFEKVCFQHCFLAS >KJB78523 pep chromosome:Graimondii2_0_v6:13:257775:266459:-1 gene:B456_013G003500 transcript:KJB78523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSKNNSKSKFKQKQNKVELLLLILYVIGFYIFCIHRSLQLSRDHFSKLRGLHIGWLFSPPRLNDASDDQWRNFRTNLPILSLVFGIFTLLANIFRKLFHLRARGMSFFWLFLSLIYLSYLHGACILFIFLIASSNFLLVKIFARAKYFTFLLWIFNLGFLFCNRIYQGYSFSIFGEYWEYLDNFRGTFRWHICFNLVVLRMISFGYDYHWAHQESRFDQEKHIQRCHVCKSGKNCYQILQERNAHINDYSFTTYLSYLVFAPLYIAGPIISFNSFASQLDVPQNHYSIKEVIWYGLRWVFGLCLMELMTHLFYFNAFATSASWKMLSPMDIFIIGYGVINFMWLKFFLIWRFFRFCSLIAGIEAPENMPKCVNNCHDLESFWKSWHASFNKWIVRYMYIPLGGSQRKLLNIWVIFTFVAVWHDLEWKLLSWAWLTCLFFVPELLVKSATNAFQAKGALDGFIFRELRAAGGTITITCLMPKAISSYSLPNTM >KJB78516 pep chromosome:Graimondii2_0_v6:13:256131:266439:-1 gene:B456_013G003500 transcript:KJB78516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSKNNSKSKFKQKQNKVELLLLILYVIGFYIFCIHRSLQLSRDHFSKLRGLHIGWLFSPPRLNDASDDQWRNFRTNLPILSLVFGIFTLLANIFRKLFHLRARGMSFFWLFLSLIYLSYLHGACILFIFLIASSNFLLVKIFARAKYFTFLLWIFNLGFLFCNRIYQGYSFSIFGREYWEYLDNFRGTFRWHICFNLVVLRMISFGYDYHWAHQESRFDQEKHIQRCHVCKSGKNCYQILQERNAHINDYSFTTYLSYLVFAPLYIAGPIISFNSFASQLDVPQNHYSIKEVIWYGLRWVFGLCLMELMTHLFYFNAFATSASWKMLSPMDIFIIGYGVINFMWLKFFLIWRFFRFCSLIAGIEAPENMPKCVNNCHDLESFWKSWHASFNKWIVRYMYIPLGGSQRKLLNIWVIFTFVAVWHDLEWKLLSWAWLTCLFFVPELLVKSATNAFQAKGALDGFIFRELRAAGGTITITCLMVANLVGYVIGPSGFSWLISQFLSKEGLNVFGFMLLTFYVGTKLMFHISDAKQSMQ >KJB78517 pep chromosome:Graimondii2_0_v6:13:256102:266459:-1 gene:B456_013G003500 transcript:KJB78517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSKNNSKSKFKQKQNKVELLLLILYVIGFYIFCIHRSLQLSRDHFSKLRGLHIGWLFSPPRLNDASDDQWRNFRTNLPILSLVFGIFTLLANIFRKLFHLRARGMSFFWLFLSLIYLSYLHGACILFIFLIASSNFLLVKIFARAKYFTFLLWIFNLGFLFCNRIYQGYSFSIFGEYWEYLDNFRGTFRWHICFNLVVLRMISFGYDYHWAHQESRFDQEKHIQRCHVCKSGKNCYQILQERNAHINDYSFTTYLSYLVFAPLYIAGPIISFNSFASQLDVPQNHYSIKEVIWYGLRWVFGLCLMELMTHLFYFNAFATSASWKMLSPMDIFIIGYGVINFMWLKFFLIWRFFRFCSLIAGIEAPENMPKCVNNCHDLESFWKSWHASFNKWIVRYMYIPLGGSQRKLLNIWVIFTFVAVWHDLEWKLLSWAWLTCLFFVPELLVKSATNAFQAKGALDGFIFRELRAAGGTITITCLMVANLVGYVIGPSGFSWLISQFLSKEGLNVFGFMLLTFYVGTKLMFHISDAKQSMQ >KJB78520 pep chromosome:Graimondii2_0_v6:13:256894:264364:-1 gene:B456_013G003500 transcript:KJB78520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSKNNSKSKFKQKQNKVELLLLILYVIGFYIFCIHRSLQLSRDHFSKLRGLHIGWLFSPPRLNDASDDQWRNFRTNLPILSLVFGIFTLLANIFRKLFHLRARGMSFFWLFLSLIYLSYLHGACILFIFLIASSNFLLVKIFARAKYFTFLLWIFNLGFLFCNRIYQGYSFSIFGEYWEYLDNFRGTFRWHICFNLVVLRMISFGYDYHWAHQESRFDQEKHIQRCHVCKSGKNCYQILQERNAHINDYSFTTYLSYLVFAPLYIAGPIISFNSFASQLDVPQNHYSIKEVIWYGLRWVFGLCLMELMTHLFYFNAFATSASWKMLSPMDIFIIGYGVINFMWLKFFLIWRFFRFCSLIAGIEAPENMPKCVNNCHDLESFWKSWHASFNKWIVRYMYIPLGGSQRKLLNIWVIFTFVAVWHDLEWKLLSWAWLTCLFFVPELLVKSATNAFQAKGALDGFIFRELRAAGGTITITCLMVANLVGYVIGPSGFSWLISQFLSKEGLNVFGFMLLTFYVGTKLMFHISDAKQSMQ >KJB78519 pep chromosome:Graimondii2_0_v6:13:256102:266459:-1 gene:B456_013G003500 transcript:KJB78519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSKNNSKSKFKQKQNKVELLLLILYVIGFYIFCIHRSLQLSRDHFSKLRGLHIGWLFSPPRLNDASDDQWRNFRTNLPILSLVFGIFTLLANIFRKLFHLRARGMSFFWLFLSLIYLSYLHGACILFIFLIASSNFLLVKIFARAKYFTFLLWIFNLGFLFCNRIYQGYSFSIFGREYWEYLDNFRGTFRWHICFNLVVLRMISFGYDYHWAHQESRFDQEKHIQRCHVCKSGKNCYQILQERNAHINDYSFTTYLSYLVFAPLYIAGPIISFNSFASQLDVPQNHYSIKEVIWYGLRWVFGLCLMELMTHLFYFNAFATSASWKMLSPMDIFIIGYGVINFMWLKFFLIWRFFRFCSLIAGIEAPENMPKCVNNCHDLESFWKSWHASFNKWIVRYMYIPLGGSQRKLLNIWVIFTFVAVWHDLEWKLLSWAWLTCLFFVPELLVKSATNAFQAKGALDGFIFRELRAAGGTITITCLMVANLVGYVIGPSGFSWLISQFLSKEGLNVFGFMLLTFYVGTKLMFHISDAKQSMQ >KJB78518 pep chromosome:Graimondii2_0_v6:13:256137:264698:-1 gene:B456_013G003500 transcript:KJB78518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSKNNSKSKFKQKQNKVELLLLILYVIGFYIFCIHRSLQLSRDHFSKLRGLHIGWLFSPPRLNDASDDQWRNFRTNLPILSLVFGIFTLLANIFRKLFHLRARGMSFFWLFLSLIYLSYLHGACILFIFLIASSNFLLVKIFARAKYFTFLLWIFNLGFLFCNRIYQGYSFSIFGEYWEYLDNFRGTFRWHICFNLVVLRMISFGYDYHWAHQESRFDQEKHIQRCHVCKSGKNCYQILQERNAHINDYSFTTYLSYLVFAPLYIAGPIISFNSFASQLDVPQNHYSIKEVIWYGLRWVFGLCLMELMTHLFYFNAFATSASWKMLSPMDIFIIGYGVINFMWLKFFLIWRFFRFCSLIAGIEAPENMPKCVNNCHDLESFWKSWHASFNKWIVRYMYIPLGGSQRKLLNIWVIFTFVAVWHDLEWKLLSWAWLTCLFFVPELLVKSATNAFQAKGALDGFIFRELRAAGGTITITCLMVANLVGYVIGPSGFSWLISQFLSKEGLNVFGFMLLTFYVGTKLMFHISDAKQSMQ >KJB78521 pep chromosome:Graimondii2_0_v6:13:256137:265354:-1 gene:B456_013G003500 transcript:KJB78521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSKNNSKSKFKQKQNKVELLLLILYVIGFYIFCIHRSLQLSRDHFSKLRGLHIGWLFSPPRLNDASDDQWRNFRTNLPILSLVFGIFTLLANIFRKLFHLRARGMSFFWLFLSLIYLSYLHGACILFIFLIASSNFLLVKIFARAKYFTFLLWIFNLGFLFCNRIYQGYSFSIFGEYWEYLDNFRGTFRWHICFNLVVLRMISFGYDYHWAHQESRFDQEKHIQRCHVCKSGKNCYQILQERNAHINDYSFTTYLSYLVFAPLYIAGPIISFNSFASQLDVPQNHYSIKEVIWYGLRWVFGLCLMELMTHLFYFNAFATSASWKMLSPMDIFIIGYGVINFMWLKFFLIWRFFRFCSLIAGIEAPENMPKCVNNCHDLESFWKSWHASFNKWIVRYMYIPLGGSQRKLLNIWVIFTFVAVWHDLEWKLLSWAWLTCLFFVPELLVKSATNAFQAKGALDGFIFRELRAAGGTITITCLMVANLVGYVIGPSGFSWLISQFLSKEGLNVFGFMLLTFYVGTKLMFHISDAKQSMQ >KJB78522 pep chromosome:Graimondii2_0_v6:13:256137:266439:-1 gene:B456_013G003500 transcript:KJB78522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSKNNSKSKFKQKQNKVELLLLILYVIGFYIFCIHRSLQLSRDHFSKLRGLHIGWLFSPPRLNDASDDQWRNFRTNLPILSLVFGIFTLLANIFRKLFHLRARGMSFFWLFLSLIYLSYLHGACILFIFLIASSNFLLVKIFARAKYFTFLLWIFNLGFLFCNRIYQGYSFSIFGEYWEYLDNFRGTFRWHICFNLVVLRMISFGYDYHWAHQESRFDQEERNAHINDYSFTTYLSYLVFAPLYIAGPIISFNSFASQLDVPQNHYSIKEVIWYGLRWVFGLCLMELMTHLFYFNAFATSASWKMLSPMDIFIIGYGVINFMWLKFFLIWRFFRFCSLIAGIEAPENMPKCVNNCHDLESFWKSWHASFNKWIVRYMYIPLGGSQRKLLNIWVIFTFVAVWHDLEWKLLSWAWLTCLFFVPELLVKSATNAFQAKGALDGFIFRELRAAGGTITITCLMVANLVGYVIGPSGFSWLISQFLSKEGLNVFGFMLLTFYVGTKLMFHISDAKQSMQ >KJB78541 pep chromosome:Graimondii2_0_v6:13:343140:343385:1 gene:B456_013G004500 transcript:KJB78541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCFRPFGFGGLLLLAALTASMLVLPLVLPPLPPPPLMLLFFPVGLMAALVFLAFSPAETVGNVVVHTL >KJB80226 pep chromosome:Graimondii2_0_v6:13:34500434:34502785:-1 gene:B456_013G132000 transcript:KJB80226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLQSSVYPPSTLRSSPSLSCTAAHPIASSHRVPSTSNSFVALESSSLKTVNSISSAKNNRRKGVVGKVRSSLETAGATVGQVTEVTKDTFWPIVNAAGDKTVVLDMYTQWCGPCKVIAPKFQELSEKYLDVVFLKLDCNQENKPLAKELGIRVVPTFKILKHNKIVKEVTGAKYDDLVAAIDTVRAT >KJB80560 pep chromosome:Graimondii2_0_v6:13:20582712:20583679:-1 gene:B456_013G104000 transcript:KJB80560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVVQADKDEKTCTECVDLKCNSPKDSGCFEASTMTFSNEDQVLLVVKETPVVNAGICVASPGSVTKDANSGSTIIQASTNTPNQFLFHPMSLTLYN >KJB80561 pep chromosome:Graimondii2_0_v6:13:20582719:20583638:-1 gene:B456_013G104000 transcript:KJB80561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEVVQADKDEKTCTECVDLKCNSPKDSGCFEASTMTFSNEDQVLLVVKETPVVNAGICVASPGSVTKDANSGSTIIQASTNTPNQFLFHPMSLTLYN >KJB81556 pep chromosome:Graimondii2_0_v6:13:40994536:40997404:-1 gene:B456_013G150200 transcript:KJB81556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGNSLRRTTTLGSEKERERVYDTIFRLPWRCEVLIDVGFFVCFDAFLSLLTIMPTRILIKLWRFLTARQFKRLSAAEMCDFGCFLVLACGVILLGQTDISLIYHMIRGQGTIKLYMIYNVLECFLLQLISSGQAEKSTSFSSSMMRIFQRGLLRQRDKEAPRLTESDFQFLLMDTNAQLWYIIREYISNSEVYLMR >KJB81560 pep chromosome:Graimondii2_0_v6:13:40995502:40996790:-1 gene:B456_013G150200 transcript:KJB81560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGNSLRRTTTLGSEKERERVYDTIFRLPWRCEVLIDVGFFVCFDAFLSLLTIMPTRILIKLWRFLTARQFKRLSAAEMCDFGCFLVLACGVILLGQTDISLIYHMIRGQGTIKLYMIYNVLEVRMNS >KJB81557 pep chromosome:Graimondii2_0_v6:13:40994536:40997385:-1 gene:B456_013G150200 transcript:KJB81557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGNSLRRTTTLGSEKERERVYDTIFRLPWRCEVLIDVGFFVCFDAFLSLLTIMPTRILIKLWRFLTARQFKRLSAAEMCDFGCFLVLACGVILLGQTDISLIYHMIRGQGTIKLYMIYNVLECFLLQLISSGQAEKSTSFSSSMMRIFQRGLLRQRDKEAPRLTESDFQFLLMDTNAQLWYIIREYISNSEVYLMR >KJB81561 pep chromosome:Graimondii2_0_v6:13:40995704:40997329:-1 gene:B456_013G150200 transcript:KJB81561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGNSLRRTTTLGSEKERERVYDTIFRLPWRCEVLIDVGFFVCFDAFLSLLTIMPTRILIKLWRFLTARQFKRLSAAEMCDFGCFLVLACGVILLGQTGMAGHITACML >KJB81559 pep chromosome:Graimondii2_0_v6:13:40995312:40996790:-1 gene:B456_013G150200 transcript:KJB81559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGNSLRRTTTLGSEKERERVYDTIFRLPWRCEVLIDVGFFVCFDAFLSLLTIMPTRILIKLWRFLTARQFKRLSAAEMCDFGCFLVLACGVILLGQTDISLIYHMIRGQGTIKLYMIYNVLELC >KJB81554 pep chromosome:Graimondii2_0_v6:13:40994536:40997421:-1 gene:B456_013G150200 transcript:KJB81554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGNSLRRTTTLGSEKERERVYDTIFRLPWRCEVLIDVGFFVCFDAFLSLLTIMPTRILIKLWRFLTARQFKRLSAAEMCDFGCFLVLACGVILLGQTDISLIYHMIRGQGTIKLYMIYNVLECFLLQLISSGQAEKSTSFSSSMMRIFQRGLLRQRDKEAPRLTESDFQFLLMDTNAQLWYIIREYISNSEVYLMR >KJB81555 pep chromosome:Graimondii2_0_v6:13:40994541:40997329:-1 gene:B456_013G150200 transcript:KJB81555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGNSLRRTTTLGSEKERERVYDTIFRLPWRCEVLIDVGFFVCFDAFLSLLTIMPTRILIKLWRFLTARQFKRLSAAEMCDFGCFLVLACGVILLGQTDISLIYHMIRGQGTIKLYMIYNVLECFLLQLISSGQAEKSTSFSSSMMRIFQRGLLRQRDKEAPRLTESDFQFLLMDTNAQLWYIIREYISNSEVYLMR >KJB81558 pep chromosome:Graimondii2_0_v6:13:40994890:40996790:-1 gene:B456_013G150200 transcript:KJB81558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGNSLRRTTTLGSEKERERVYDTIFRLPWRCEVLIDVGFFVCFDAFLSLLTIMPTRILIKLWRFLTARQFKRLSAAEMCDFGCFLVLACGVILLGQTDISLIYHMIRGQGTIKLYMIYNVLECFLLQLISSGQAEKSTSFSSSMMRIFQRGLLRQRDKEAPRLTESDFQFLLMDTNAQLWYIIREYISNSEVYLMR >KJB83848 pep chromosome:Graimondii2_0_v6:13:57985460:57988631:1 gene:B456_013G267500 transcript:KJB83848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKMRFLVLVLAISMMAARSCSAANKLKLEDAAIVNGRRLLDDSSEKHGYPPSSVNNYHVMPRKDFGKYENGGDGRGLILKFILSTIGYRMGGKMRFLVLVLAISMMAARSCSAANKLKLEDAAIVNGRRLLDDSSENYDSPPSSVNNHHYIPRKEFGKYENGGDGRG >KJB83966 pep chromosome:Graimondii2_0_v6:13:36210660:36211050:-1 gene:B456_013G135400 transcript:KJB83966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKGGSGGGSKGGCGGSGGGSGNVGGGSTSKGGGGASGMMVAPGSGGAAIISRGAFESNPQGYFAGLHSSEKGNK >KJB83069 pep chromosome:Graimondii2_0_v6:13:54758825:54759541:-1 gene:B456_013G228300 transcript:KJB83069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRNEETPEMKNLATFLKWLYPLEYWVDMLMFEFYKNTRVQWIVIIFYKPQYFMQNGSATQLASLPSSWIHNTYFEEVYENPFDLKKIQKYLCQINKIIPSKIWPSGEAPWDVQRNPPTSYQNQLKEALNEYWSNIPDPKEWSQDYPMHCSQIIQDTHVWKDIHEEGPSVSKDPLPRSNNDDVIPPDDLERRIEKLELRCYRAREKKRQKVEELNITSDIDTDYDTNTDASIIDRLM >KJB82569 pep chromosome:Graimondii2_0_v6:13:51569288:51570546:-1 gene:B456_013G204700 transcript:KJB82569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVMLVANDYFAIEADTFSKPAGGSQPGSGEPQGSGWFRTFTIAAYKPYFDVDTSDIIDRLKESLFPFRGTFTEKTATNPDLYGPFWICTTLIFVAASIGTFVTYIAHKLKKKEWDYDINLVTWSAGVFYGYVTVVPLILYVILKYFSAPSGLVQLFCLYGYSLFVFIPALCLSVVPLEIFRWVIAGVAGFMSATFVALNLKAHINSAGERWFLIVTGIFLLQLALAVVLKLYLFTVTV >KJB82567 pep chromosome:Graimondii2_0_v6:13:51569070:51571606:-1 gene:B456_013G204700 transcript:KJB82567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGGYTSIDNQKVSGSVPAVSDPSHVAVKFTDSNLQTFPPSGAQGKISGGTQPPHDADDTFSKPAGGSQPGSGEPQGSGWFRTFTIAAYKPYFDVDTSDIIDRLKESLFPFRGTFTEKTATNPDLYGPFWICTTLIFVAASIGTFVTYIAHKLKKKEWDYDINLVTWSAGVFYGYVTVVPLILYVILKYFSAPSGLVQLFCLYGYSLFVFIPALCLSVVPLEIFRWVIAGVAGFMSATFVALNLKAHINSAGERWFLIVTGIFLLQLALAVVLKLYLFTVTV >KJB82571 pep chromosome:Graimondii2_0_v6:13:51569623:51571569:-1 gene:B456_013G204700 transcript:KJB82571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGGYTSIDNQKVSGSVPAVSDPSHVAVKFTDSNLQTFPPSGAQGKISGGTQPPHDADDTFSKPAGGSQPGSGEPQGSGWFRTFTIAAYKPYFDVDTSDIIDRLKESLFPFRGTFTEKTATNPDLYGPFWICTTLIFVAASIGTFVTYIAHKLKKKEWDYDINLVTWSAGVFYGYVTVVPLILYVILKYFSAPSGLVQLFCLYGYSLFVFIPALVSSLVFFFSSFSPLNAKIVHLALYHFIFWISINFIGSLFLKVPS >KJB82570 pep chromosome:Graimondii2_0_v6:13:51569078:51571569:-1 gene:B456_013G204700 transcript:KJB82570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLNLQIRTFKRFLHRVHKGRSLVELNLLMMLMADTFSKPAGGSQPGSGEPQGSGWFRTFTIAAYKPYFDVDTSDIIDRLKESLFPFRGTFTEKTATNPDLYGPFWICTTLIFVAASIGTFVTYIAHKLKKKEWDYDINLVTWSAGVFYGYVTVVPLILYVILKYFSAPSGLVQLFCLYGYSLFVFIPALCLSVVPLEIFRWVIAGVAGFMSATFVALNLKAHINSAGERWFLIVTGIFLLQLALAVVLKLYLFTVTV >KJB82568 pep chromosome:Graimondii2_0_v6:13:51569078:51571011:-1 gene:B456_013G204700 transcript:KJB82568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVMLVANDYFAIEADTFSKPAGGSQPGSGEPQGSGWFRTFTIAAYKPYFDVDTSDIIDRLKESLFPFRGTFTEKTATNPDLYGPFWICTTLIFVAASIGTFVTYIAHKLKKKEWDYDINLVTWSAGVFYGYVTVVPLILYVILKYFSAPSGLVQLFCLYGYSLFVFIPALCLSVVPLEIFRWVIAGVAGFMSATFVALNLKAHINSAGERWFLIVTGIFLLQLALAVVLKLYLFTVTV >KJB79613 pep chromosome:Graimondii2_0_v6:13:6101787:6102331:1 gene:B456_013G058600 transcript:KJB79613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNCFALAFLMALSFASIDVGVAARHLLQQPQTPSLLKITFPPLPSFPKPSFPRPSIPSFPSIPSFPKPSIPSFPRPGVLPPLPSRLPSRPKATLPPLPSIPSVPQIPTAIPSIPFFSPPLSHSTP >KJB80932 pep chromosome:Graimondii2_0_v6:13:31410231:31419427:1 gene:B456_013G122600 transcript:KJB80932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNNANSNRPRKEKRLRYVLSDTDDTKHCAGINCLAVLKSSFSDGCNYLFTGSRDGTLKRWALDDDAATCSATFESHVDWVNDTVIAGDNTLVSCSSDTTLKTWNCLSDGTCTSTLRQHSDYVTCLAAAEKNTNVVASGGLGGEVFVWDIEAAVTPLSKSSDVLEDNCSNGINGSGNSLSISSLRTISSSNSIAAQTAQCHGYVPISAKGHKESVYALAINDSGSLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNIRALLMDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLTTRESLLLCTKEHPILQLALHDDSIWVATTDSSVHRWPAEGKNPQKVFQRGGSFLAGNLSFSRARVSLEGSTPAPVYKEPIFTIPGTPAIVQHEILNNRRHVLTKDTAGSVKLWEITKGVVIEDYGQVSFDEKKEQLFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNITGKPEDDKVNLARETLKGLLAYWMTKRRQRLGSQASVNGDVLSGKDITTKSLTHSKIEVDVNAENDSMVYPSFEFSTVSPPSIITEGSQGGPWRKKITELDGTEDEKDFPGWVLDCVLSNKLPPRENTKCSFYLHPCEGSAVQILTQGKLSAPRILRIHKVANYVVEKLDKPSDNANTDGTFSPGLGGRLQHLAVGDGSFRSGLKPLQKPRPSVEILCNNQVLSPDMSLATVRAYVWKKPEDLVLNYRVIQGR >KJB80933 pep chromosome:Graimondii2_0_v6:13:31410922:31419068:1 gene:B456_013G122600 transcript:KJB80933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNNANSNRPRKEKRLRYVLSDTDDTKHCAGINCLAVLKSSFSDGCNYLFTGSRDGTLKRWALDDDAATCSATFESHVDWVNDTVIAGDNTLVSCSSDTTLKTWNCLSDGTCTSTLRQHSDYVTCLAAAEKNTNVVASGGLGGEVFVWDIEAAVTPLSKSSDVLEDNCSNGINGSGNSLSISSLRTISSSNSIAAQTAQCHGYVPISAKGHKESVYALAINDSGSLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNIRALLMDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLTTRESLLLCTKEHPILQLALHDDSIWVATTDSSVHRWPAEGKNPQKVFQRGGSFLAGNLSFSRARVSLEGSTPAPVYKEPIFTIPGTPAIVQHEILNNRRHVLTKDTAGSVKLWEITKGVVIEDYGQVSFDEKKEQLFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNITGKPEDDKVNLARETLKGLLAYWMTKRRQRLGSQASVNGDVLSGKDITTKSLTHSKIEVDVNAENDSMVYPSFEFSTVSPPSIITEGSQGGPWRKKITELDGTEDEKDFPGWVLDCVLSNKLPPRENTKCSFYLHPCEGSAVQILTQGKLSAPRILRIHKVANYVVEKLDKPSDNANTDGTFSPGLGGRLQHLAVGDGSFRSGLKPLQKPRPSVEILCNNQVLSPDMSLATVRAYVWKKPEDLVLNYRVIQGR >KJB80160 pep chromosome:Graimondii2_0_v6:13:11733678:11738299:-1 gene:B456_013G083700 transcript:KJB80160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSDSVSVKVPYRNLRKDSEVEMIDDPCHSRIDLNSSPGSPSTSASPPSASFPNGNSNLSSPIHVRSKDCSLITLALSCTIAAGVQFGWALQLSLLTPYIQTLGIEHAFSSFIWLCGPITGLVVQPCVGIWSDKCTSKYGRRRPFILAGSLMISLSVIIIGFSADIGYILGDTKEHCSTFKGTRTRAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSSNAIFCLWMAVGNILGFSAGASGSWHRWFPFLESRACCEACANLKAAFLVAVVFLFFCTAVTILFAKEVPLPPPANESTHLSDSAPLLDDSTQNGFQHSNSKSDVSAVANANRSTAENGYERVSKLRHSNSKDTNVQNEVFSDGPGAVLVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVFHGDPKGNAAEIKLYDQGVREGAFGLLLNSVVLGVSSFFIRPMCQRMGSRLVWATSNYTVFACMAITAIISLVSVKEYTQGIEHVIGGSAAIRIAALVVFTLLGFPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVIPQMIVSLGAGPWDALFGGGNIPAFILASFCALAAGVIATLRLPDLSSSFNSSGFHFG >KJB80163 pep chromosome:Graimondii2_0_v6:13:11733186:11738389:-1 gene:B456_013G083700 transcript:KJB80163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFKIWKETAFYPCWITHDLSFYIGYILGDTKEHCSTFKGTRTRAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSSNAIFCLWMAVGNILGFSAGASGSWHRWFPFLESRACCEACANLKAAFLVAVVFLFFCTAVTILFAKEVPLPPPANESTHLSDSAPLLDDSTQNGFQHSNSKSDVSAVANANRSTAENGYERVSKLRHSNSKDTNVQNEVFSDGPGAVLVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVFHGDPKGNAAEIKLYDQGVREGAFGLLLNSVVLGVSSFFIRPMCQRMGSRLVWATSNYTVFACMAITAIISLVSVKEYTQGIEHVIGGSAAIRIAALVVFTLLGFPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVIPQMIVSLGAGPWDALFGGGNIPAFILASFCALAAGVIATLRLPDLSSSFNSSGFHFG >KJB80159 pep chromosome:Graimondii2_0_v6:13:11732584:11738576:-1 gene:B456_013G083700 transcript:KJB80159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFKIWKETAFYPCWITHDLSFYIGYILGDTKEHCSTFKGTRTRAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSSNAIFCLWMAVGNILGFSAGASGSWHRWFPFLESRACCEACANLKAAFLVAVVFLFFCTAVTILFAKEVPLPPPANESTHLSDSAPLLDDSTQNGFQHSNSKSDVSAVANANRSTAENGYERVSKLRHSNSKDTNVQNEVFSDGPGAVLVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVFHGDPKGNAAEIKLYDQGVREGAFGLLLNSVVLGVSSFFIRPMCQRMGSRLVWATSNYTVFACMAITAIISLVSVKEYTQGIEHVIGGSAAIRIAALVVFTLLGFPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVIPQMIVSLGAGPWDALFGGGNIPAFILASFCALAAGVIATLRLPDLSSSFNSSGFHFG >KJB80161 pep chromosome:Graimondii2_0_v6:13:11732800:11738415:-1 gene:B456_013G083700 transcript:KJB80161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSDSVSVKVPYRNLRKDSEVEMIDDPCHSRIDLNSSPGSPSTSASPPSASFPNGNSNLSSPIHVRSKDCSLITLALSCTIAAGVQFGWALQLSLLTPYIQTLGIEHAFSSFIWLCGPITGLVVQPCVGIWSDKCTSKYGRRRPFILAGSLMISLSVIIIGFSADIGYILGDTKEHCSTFKGTRTRAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSSNAIFCLWMAVGNILGFSAGASGSWHRWFPFLESRACCEACANLKAAFLVAVVFLFFCTAVTILFAKEVPLPPPANESTHLSDSAPLLDDSTQNGFQHSNSKSDVSAVANANRSTAENGYERVSKLRHSNSKDTNVQNEVFSDGPGAVLVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVFHGDPKGNAAEIKLYDQGVREGAFGLLLNSVVLGVSSFFIRPMCQRMGSRLVWATSNYTVFACMAITAIISLVSVKEYTQGIEHVIGGSAAIRIAALVVFTLLGFPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVIPQMIVSLGAGPWDALFGGGNIPAFILASFCALAAGVIATLRLPDLSSSFNSSGFHFG >KJB80162 pep chromosome:Graimondii2_0_v6:13:11732694:11738546:-1 gene:B456_013G083700 transcript:KJB80162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSDSVSVKVPYRNLRKDSEVEMIDDPCHSRIDLNSSPGSPSTSASPPSASFPNGNSNLSSPIHVRSKDCSLITLALSCTIAAGVQFGWALQLSLLTPYIQTLGIEHAFSSFIWLCGPITGLVVQPCVGIWSDKCTSKYGRRRPFILAGSLMISLSVIIIGFSADIGYILGDTKEHCSTFKGTRTRAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSSNAIFCLWMAVGNILGFSAGASGSWHRWFPFLESRACCEACANLKAAFLVAVVFLFFCTAVTILFAKEVPLPPPANESTHLSDSAPLLDDSTQNGFQHSNSKSDVSAVANANRSTAENGYERVSKLRHSNSKDTNVQNEVFSDGPGAVLVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVFHGDPKGNAAEIKLYDQGVREGAFGLLLNSVVLGVSSFFIRPMCQRMGSRLVWATSNYTVFACMAITAIISLVSVKEYTQGIEHVIGGSAAIRIAALVVFTLLGFPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVIPQMIVSLGAGPWDALFGGGNIPAFILASFCALAAGVIATLRLPDLSSSFNSSGFHFG >KJB80409 pep chromosome:Graimondii2_0_v6:13:15907768:15912340:-1 gene:B456_013G095700 transcript:KJB80409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSYGSEGKGLLFTDEMDVDAFSRSRKAMLSWDLKPSTDLEGVESMEFMDFGIAADMNKKPFYDFGEEESGSKHCSSLMDSNSQESSLIDLKLGRLTDYKDAHHGKFLKETSVVSPVRPAIVPKKARTTSSHSQIPCCQVYGCNKDLSSSKDYHKRHKVCEAHSKTAKVIVNGIEQRFCQQCSRFHLLAEFDEGKRSCRKRLAGHNERRRKLHFNTFSGKSHKLPHSYQGTKFLGTSIPKQMPFVIPNIFQVDFLHPERHAYSNQYQQVKSEEKPMYSSQSAMPITNGQSSFFHMHDSGKQHLSGTLSSATEVFGAPSAAPTVKELSGVSRSDCALSLLSAQSLDLSSHVTGIQMTRPLINQAGLAHRSTEKSAVMSYSEKGSSFYSCGMNPTGVSQEGSVVVPDAGHTANFEGKVQDLDLLSARYCLSPETGTTVDLLQLSTHLQRVEQQRNSMQVKQENEDLFYFLST >KJB80408 pep chromosome:Graimondii2_0_v6:13:15908455:15911141:-1 gene:B456_013G095700 transcript:KJB80408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSYGSEGKGLLFTDEMDVDAFSRSRKAMLSWDLKPSTDLEGVESMEFMDFGIAADMNKKPFYGNTSMGIFGAEFGNDSTKSLVSPTCMISSTSDFGEEESGSKHCSSLMDSNSQESSLIDLKLGRLTDYKDAHHGKFLKETSVVSPVRPAIVPKKARTTSSHSQIPCCQVYGCNKDLSSSKDYHKRHKVCEAHSKTAKVIVNGIEQRFCQQCSRFHLLAEFDEGKRSCRKRLAGHNERRRKLHFNTFSGKSHKLPHSYQGTKFLGTSIPKQMPFVIPNIFQVDFLHPERHAYSNQYQQVKSEEKPMYSSQSAMPITNGQSSFFHMHDSGKQHLSGTLSSATEVFGAPSAAPTVKELSGVSRSDCALSLLSAQSLDLSSHVTGIQMTRPLINQAGLAHRSTEKSAVMSYSEKGSSFYSCGMNPTGVSQEGSVVVPDAGHTANFEGKVQDLDLLSARYCLSPETGTTVDLLQLSTHLQRVEQQRNSMQVKQENEDLFYFLST >KJB80410 pep chromosome:Graimondii2_0_v6:13:15907840:15912221:-1 gene:B456_013G095700 transcript:KJB80410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSYGSEGKGLLFTDEMDVDAFSRSRKAMLSWDLKPSTDLEGVESMEFMDFGIAADMNKKPFYGNTSMGIFGAEFGNDSTKSLVSPTCMISSTSDFGEEESGSKHCSSLMDSNSQESSLIDLKLGRLTDYKDAHHGKFLKETSVVSPVRPAIVPKKARTTSSHSQIPCCQVYGCNKDLSSSKDYHKRHKVCEAHSKTAKVIVNGIEQRFCQQCSRFHLLAEFDEGKRSCRKRLAGHNERRRKLHFNTFSGKSHKLPHSYQGTKFLGTSIPKQMPFVIPNIFQVDFLHPERHAYSNQYQQVKSEEKPMYSSQSAMPITNGQSSFFHMHDSGKQHLSGTLSSATEVFGAPSAAPTVKELSGVSRSDCALSLLSAQSLDLSSHVTGIQMTRPLINQAGLAHRSTEKSAVMSYSEKGSSFYSCGMNPTGVSQEGSVVVPDAGHTANFEGKVQDLDLLSARYCLSPETGTTVDLLQLSTHLQRVEQQRNSMQVKQENEDLFYFLST >KJB79037 pep chromosome:Graimondii2_0_v6:13:2340082:2340533:-1 gene:B456_013G030700 transcript:KJB79037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVIGSARSMTEKYAKAGAGESSCSYQCTETKVDSTMSLLRDRFLHERPVEEERSPSMAVSELNQLSHYSEVKYPLACYFIRMCYISSTMSNLSL >KJB79038 pep chromosome:Graimondii2_0_v6:13:2339919:2341328:-1 gene:B456_013G030700 transcript:KJB79038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVIGSARSMTEKYAKAGAGESSCSYQCTETKVDSTMSLLRDRFLHERPVEEERSPSMAVSELNQLSHYSEVKYPLACYFIRMCYISSTMSNLSL >KJB80703 pep chromosome:Graimondii2_0_v6:13:25448186:25451302:-1 gene:B456_013G111000 transcript:KJB80703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARLSASLGLPSSDLNHPPVNTDFGSLYSHFITLTSSPKNPQKPLKPLLHHLSFASTSISGDPQPAKPTKFRIPLPFSNLTESQQPKSPKFPKWIEPRSRNSSKAQILMKNLSVLERALIGAGGGGIAGAFTYVCLLPLDTIKTKMQTKGASEIYANTFDAVVKTFQTNGILGFYRGVSAVIIGSTASSAVYFGTCEFGKSFLSKLEYPALLIPPTAGAMGNIVSSAIMVPKELITQRMQAGAKGRSWEVLLRILEKDGILGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVLRKTKQTNLEPIQSVCCGALAGAISASLTTPLDVVKTRLMTQVHGNKVAAAMYGGVNATVKQILKEEGWIGLTSGLGPRVVHSACFSALGYFAFETARVAILHQYLKHKEKELSKISVAPA >KJB80702 pep chromosome:Graimondii2_0_v6:13:25449961:25451205:-1 gene:B456_013G111000 transcript:KJB80702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARLSASLGLPSSDLNHPPVNTDFGSLYSHFITLTSSPKNPQKPLKPLLHHLSFASTSISGDPQPAKPTKFRIPLPFSNLTESQQPKSPKFPKWIEPRSRNSSKAQILMKNLSVLERALIGAGGGGIAGAFTYVCLLPLDTIKTKMQTKGASEIYANTFDAVVKTFQTNGILGFYRGVSAVIIGSTASSAVYFGTCEFGKSFLSKLEYPALLIPPTAGAMGNIVSSAIMVPKELITQRMQAGAKGRSWEVLLRILEKDGILGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVLRKTKQTNLEPIQSVCCGALAGAISASLTTPLDVVKTRLMTQVHGNKVAAAMYGGVNATVKQILKEEGWIGLTSGLGPRVVHSACFSALGYFAFETARVAILHQYLKHKEKELSKISVAPA >KJB83758 pep chromosome:Graimondii2_0_v6:13:57921105:57922525:-1 gene:B456_013G266700 transcript:KJB83758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPTQVIDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKEWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMRPRSMAKDLKGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGDVDVPLD >KJB81235 pep chromosome:Graimondii2_0_v6:13:35430645:35436330:1 gene:B456_013G134300 transcript:KJB81235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHEKLTLLLCLIWTATILYGEMFSFYMPFLFSCSWPHLSSSSSSNPTMNGKGYAADYVKVAVIADPQIMDKTSLRLPSKSLALEFVQFYTDLFMRRAFFSSILPFKPEVILFLGDYFDGGPYLSDDEWQESLSRLKHMFGLNTEEIHSTVKVYHLPGNHDIGYATLQSHKPEVVRRYEKEFGSRNYRFMVGKVEFVAVDAQTVDGKQEANQEGSVASATWDFVSNVSSDRQLHPRVLLSHIPLYRRDWTDCGPHRGSPIINQRIRHNIYDKEVSYQNYITEESSNQLLNLIRPVIVLSGHDHDQCTVAHESKGGPVTEHTLGTISWQQGNLYPSFMLLSARNSPLSDTSLPEEAVLTRLCFLPMQTHIYIWYIVLFVLTILSLLLWPTSGLSFCYHRFDDMVECVRKLINMYRDETKEKNEDENCEYEMIWGADGSMHLVKKVLTRPVTRTNDIGAVERGNAVMRAPAKKSVNQLTEVCLSMETNADSEVDPKKLPPRASKSKAKVVIKRFVRTFRMLILIAAANVPLYMMLLFKDWIDK >KJB81234 pep chromosome:Graimondii2_0_v6:13:35430562:35436357:1 gene:B456_013G134300 transcript:KJB81234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHEKLTLLLCLIWTATILYGEMFSFYMPFLFSCSWPHLSSSSSSNPTMNGKGYAADYVKVAVIADPQIMDKTSLRLPSKSLALEFVQFYTDLFMRRAFFSSILPFKPEVILFLGDYFDGGPYLSDDEWQESLSRLKHMFGLNTEEIHSTVKVYHLPGNHDIGYATLQSHKPEVVRRYEKEFGSRNYRFMVGKVEFVAVDAQTVDANQEGSVASATWDFVSNVSSDRQLHPRVLLSHIPLYRRDWTDCGPHRGSPIINQRIRHNIYDKEVSYQNYITEESSNQLLNLIRPVIVLSGHDHDQCTVAHESKGGPVTEHTLGTISWQQGNLYPSFMLLSARNSPLSDTSLPEEAVLTRLCFLPMQTHIYIWYIVLFVLTILSLLLWPTSGLSFCYHRFDDMVECVRKLINMYRDETKEKNEDENCEYEMIWGADGSMHLVKKVLTRPVTRTNDIGAVERGNAVMRAPAKKSVNQLTEVCLSMETNADSEVDPKKLPPRASKSKAKVVIKRFVRTFRMLILIAAANVPLYMMLLFKDWIDK >KJB79591 pep chromosome:Graimondii2_0_v6:13:5529749:5532534:1 gene:B456_013G055900 transcript:KJB79591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAPTGSPPSQPSLTHPPPPRKLTTVKALSESPGLSSIPSIYTFPKQPYHEPVSDTKEPIPTIDFSLLASSHPDERSETIRELGKACRDWGFFMVTNHGVPERMMKAIIEACREFFELPEEEKRGISGKHVLDPIRFGTSFNESVDEILCWRDYVKIFQHPEFHSPNKPPSFTKRVRLVAREIIRGISESLGLEKDYIDETLNLENGLQLIAANLYPPCPRPELAMGLPPHSDHGLLTLLIQNQIGGLQVQHKGKWVNIDPIPNSFLANIGDHIEILSNGKYKSVLHRAVVNNRDVRISIAVPHGPALDAIVSPASKLVENLGNPPAYGAMKYKEYLELQQGTMLNGKSCLERIRNGD >KJB79590 pep chromosome:Graimondii2_0_v6:13:5530067:5532365:1 gene:B456_013G055900 transcript:KJB79590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLKPSENSEKPAGTGASSWYIYVSSSIDVSFIDHFFFGFLIWGYSQVTNHGVPERMMKAIIEACREFFELPEEEKRGISGKHVLDPIRFGTSFNESVDEILCWRDYVKIFQHPEFHSPNKPPSFTEIALEYSKRVRLVAREIIRGISESLGLEKDYIDETLNLENGLQLIAANLYPPCPRPELAMGLPPHSDHGLLTLLIQNQIGGLQVQHKGKWVNIDPIPNSFLANIGDHIEILSNGKYKSVLHRAVVNNRDVRISIAVPHGPALDAIVSPASKLVENLGNPPAYGAMKYKEYLELQQGTMLNGKSCLERIRNGD >KJB79589 pep chromosome:Graimondii2_0_v6:13:5529683:5532716:1 gene:B456_013G055900 transcript:KJB79589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAPTGSPPSQPSLTHPPPPRKLTTVKALSESPGLSSIPSIYTFPKQPYHEPVSDTKEPIPTIDFSLLASSHPDERSETIRELGKACRDWGFFMVTNHGVPERMMKAIIEACREFFELPEEEKRGISGKHVLDPIRFGTSFNESVDEILCWRDYVKIFQHPEFHSPNKPPSFTEIALEYSKRVRLVAREIIRGISESLGLEKDYIDETLNLENGLQLIAANLYPPCPRPELAMGLPPHSDHGLLTLLIQNQIGGLQVQHKGKWVNIDPIPNSFLANIGDHIEILSNGKYKSVLHRAVVNNRDVRISIAVPHGPALDAIVSPASKLVENLGNPPAYGAMKYKEYLELQQGTMLNGKSCLERIRNGD >KJB80530 pep chromosome:Graimondii2_0_v6:13:18941763:18947074:1 gene:B456_013G102300 transcript:KJB80530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGIAALHRYKYSGVDHSYLAKYVLQPFWNRFVNFFPLWMPPNMITLTGFMFLVLSALLGYVYSPRLDSPPPRWVHLAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFETMAFGSTAMCGGDSFWFWVISSIPFYGATWEHYFTNALILPVVNGPTEGLALIYGLHFMTAIVGAQWWAQPFQQSIPFLSWIPYVNELPTYKAAVYLLTPIAILPTVACNISNVHKVVKARKGSMLLALAMLYPFVVLMGGVLIWDHLSPSDVMGNYPHLVILGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPLAIANALTARLNDGVPLVDEFLVLLGYCVFTGSLYCHFSTSVIHEITTALGIYCFRITRKEA >KJB80532 pep chromosome:Graimondii2_0_v6:13:18941763:18947074:1 gene:B456_013G102300 transcript:KJB80532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGIAALHRYKYSGVDHSYLAKYVLQPFWNRFVNFFPLWMPPNMITLTGFMFLVLSALLGYVYSPRLDSPPPRWVHLAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFETMAFGSTAMCGGDSFWFWVISSIPFYGATWEHYFTNALILPVVNGPTEGLALIYGLHFMTAIVGAQWWAQPFQQSIPFLSWIPYVNELPTYKAAVYLLTPIAILPTVACNISNVHKVVKARKGSMLLALAMLYPFVVLMGGVLIWDHLSPSDVMGNYPHLVILGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPLAIANALTARLNDGVPLVDEFLVLLGYCVFTGSLYCHFSTSVIHEITTALGIYCFRITRKEA >KJB80531 pep chromosome:Graimondii2_0_v6:13:18941503:18947074:1 gene:B456_013G102300 transcript:KJB80531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGIAALHRYKYSGVDHSYLAKYVLQPFWNRFVNFFPLWMPPNMITLTGFMFLVLSALLGYVYSPRLDSPPPRWVHLAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFETMAFGSTAMCGGDSFWFWVISSIPFYGATWEHYFTNALILPVVNGPTEGLALIYGLHFMTAIVGAQWWAQPFQQSIPFLSWIPYVNELPTYKAAVYLLTPIAILPTVACNISNVHKVVKARKGSMLLALAMLYPFVVLMGGVLIWDHLSPSDVMGNYPHLVILGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPLAIANALTARLNDGVPLVDEFLVLLGYCVFTGSLYCHFSTSVIHEITTALGIYCFRITRKEA >KJB80533 pep chromosome:Graimondii2_0_v6:13:18941510:18947074:1 gene:B456_013G102300 transcript:KJB80533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGIAALHRYKYSGVDHSYLAKYVLQPFWNRFVNFFPLWMPPNMITLTGFMFLVLSALLGYVYSPRLDSPPPRWVHLAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFETMAFGSTAMCGGDSFWFWVISSIPFYGATWEHYFTNALILPVVNGPTEGLALIYGLHFMTAIVGAQWWAQPFQQSIPFLSWIPYVNELPTYKAAVYLLTPIAILPTVACNISNVHKVVKARKGSMLLALAMLYPFVVLMGGVLIWDHLSPSDVMGNYPHLVILGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPLAIANALTARLNDGVPLVDEFLVLLGYCVFTGSLYCHFSTSVIHEITTALGIYCFRITRKEA >KJB81615 pep chromosome:Graimondii2_0_v6:13:41671711:41673714:-1 gene:B456_013G152300 transcript:KJB81615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRNLLVFLLFLFPLLFTFDSPFIILAESTSFNFPFFTVRNLTLLGDSHLKNGVIGLTRETAVPSSSSGTLIYNHPIHFLDQDSNITASFSTTFSFTIDSVDPTSFGDGLTFFLSPDNQTLGSSGGYLGLANSSDLAKNKFLAIEFDTKLDTRFNDSNDNHVGLDVNSLNSIKATDALLQDIDLKSGNLITAWIVYKNDLRVLNVFLSYSTVKPPTPLLSVDIDLSGYLKEYVFVGFSASTEGSTGIHSIENWSFKTFGFLPVRPRSHPHNVSGSSVTVPSAVPASNSTNKHHKRLGLGLGIAGPAFFFVVLAVFGYVSVKKWKDMRIEKNLKAEILAGPREFSYKELYAATRGFHSSRIIGRGAFGNVYKAIFASSGCVSAVKRSKHSREGKTEFLAELSIIAGLRHKNLVQLQGWCAEKGELLLVYEFMPNGSLDTLLHQHPENGLLLTWSHRQNIAVRLASVLAYLHQECEQQVIHRDIKTSNIMLDGNFNPRLGDFGLARLMDHDKSPVSTLTAGTMGYLAPEYLQYGKATEKTDVFSYGVVILEVACGRRPIEREVNSQKMVNLIDWVWGLLGEGRIIEAADKRLKGDFNEEEMRKLLLVGLSCAHPDSAERPSIRRILQILNNEADPIAVPKMKPSLTFSCSLTVEDIVSDDEESKTTQS >KJB82625 pep chromosome:Graimondii2_0_v6:13:51721992:51723018:-1 gene:B456_013G206400 transcript:KJB82625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLNEVSEKKKVKWHLSSSSSSSSSSTVTTSSASIATTNDESVDDTKPSGRFSGLGEAVKIRLLALSSEVLLQRSSSLTWVVKKQRCQRRRKVGEDEELAAFSLMAMSYGLVFA >KJB82626 pep chromosome:Graimondii2_0_v6:13:51722101:51722907:-1 gene:B456_013G206400 transcript:KJB82626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMDLREQESLNEDTMSETMKKFCTDCKTTKTPLWRGGPSGPKSLCNACGIKYRKRRRAMLGLNEVSEKKKVKWHLSSSSSSSSSSTVTTSSASIATTNDESVDDTKPSGRFSGLGEAVKIRLLALSSEVLLQRSSSLTWVVKKQRCQRRRKVGEDEELAAFSLMAMSYGLVFA >KJB83455 pep chromosome:Graimondii2_0_v6:13:56687305:56688432:-1 gene:B456_013G248500 transcript:KJB83455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSANVHCTNATDKQISYANNSSLQKKVLLKTRPFLEDTIKDMLSKMVPVPCIKVADLGCASGPNTFFPACGIVDIVTRICQEAHCESPELQVFLNDLPKNDFNSVQISGVAGSFYQRLFPTNSFHFVHSSYWLHWLSKVPGGVENKRNVYIAKSSPPNVSKAYFEQFRDDFSRVLRFRSEEMITGGRILLLTSLGLVRESDVDSFNVPYYHPVKKK >KJB83459 pep chromosome:Graimondii2_0_v6:13:56711726:56712877:-1 gene:B456_013G248800 transcript:KJB83459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMPIDGIKEENRGSVNRVPIEKPPFTLGQIKQAIPPHCFRRSLLRSFSYVVHDLCLASLFYYIAASYFHFLPQPFSYIAWPVYWVLQGCILTGVWVIAHECGHHAFSDYQWVDDTVGLILHSALLVPYFSWKISHRRHHSNTGSMERDEVFVPKPKSKLSCFAKYFNNPPGRVLSLVVTLTLGWPMYLAFNVSGRYYDRLASHYNPYGPIYSERERLQVYISDAGIVAVIYVLYKIAATKGLAWLLCTYGVPLLIVNAFLVLITYLQHTHSALPHYDSSEWDWFRGALSTIDRDYGVLNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGKYYPFDGTPIYKAMWREAKECLYVEADVGGGGSKGVFWYRNKF >KJB83458 pep chromosome:Graimondii2_0_v6:13:56711443:56714319:-1 gene:B456_013G248800 transcript:KJB83458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMPIDGIKEENRGSVNRVPIEKPPFTLGQIKQAIPPHCFRRSLLRSFSYVVHDLCLASLFYYIAASYFHFLPQPFSYIAWPVYWVLQGCILTGVWVIAHECGHHAFSDYQWVDDTVGLILHSALLVPYFSWKISHRRHHSNTGSMERDEVFVPKPKSKLSCFAKYFNNPPGRVLSLVVTLTLGWPMYLAFNVSGRYYDRLASHYNPYGPIYSERERLQVYISDAGIVAVIYVLYKIAATKGLAWLLCTYGVPLLIVNAFLVLITYLQHTHSALPHYDSSEWDWFRGALSTIDRDYGVLNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGKYYPFDGTPIYKAMWREAKECLYVEADVGGGGSKGVFWYRNKF >KJB79190 pep chromosome:Graimondii2_0_v6:13:2942341:2946387:1 gene:B456_013G037200 transcript:KJB79190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVYESSNGNGPTSNDHMVMRHSPKSPYQSFSKRSLRWLDLRVFYVRVSKCETDESTPMHLTLNHVPLNPDTLLEVNGVRTGIYSDGPSTLLRRDRLDKKSEEATFVSTDSIRLTGSMKFEVFNKDTLLLYGVLELCDRNGCTKESKGSGPMWSMNCESVITTGTGFLKTKQFHSPSSTSPTVEVYVAGSFLGNPIILTRTLQPSLRKKQMKGILDSIPEHDATEDQKEATPFQMLDYLNHKSESEEHRYLYSGVDYFEGEDGELSWFNAGVRVGVGIGLSICVGIGLGVGLLVRTYQGTTHNFRRRLL >KJB79188 pep chromosome:Graimondii2_0_v6:13:2942241:2946414:1 gene:B456_013G037200 transcript:KJB79188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVYESSNGNGPTSNDHMVMRHSPKSPYQSFSKRSLRWLDLRVFYVRVSKCETDESTPMHLTLNHVPLNPDTLLEVNGVRTGIYSDGPSTLLRRDRLDKKSEEATFVSTDSIRLTGSMKFEVFNKDTLLLYGVLELCDRNGCTKESKGSGPMWSMNCESVITTGTGFLKTKQFHSPSSTSPTVEVYVAGSFLGNPIILTRTLQPSLRKKQMKGILDSIPEHDATEDQKEATPFQMLDYLNHKSESEEHRYLYSGVDYFEGEDGELSWFNAGVRVGVGIGLSICVGIGLGVGLLVRTYQGTTHNFRRRLL >KJB79189 pep chromosome:Graimondii2_0_v6:13:2943031:2946414:1 gene:B456_013G037200 transcript:KJB79189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVYESSNGNGPTSNDHMVMRHSPKSPYQSFSKRSLRWLDLRVFYVRVSKCETDESTPMHLTLNHVPLNPDTLLEVNGVRTGIYSDGPSTLLRRDRLDKKSEEATFVSTDSIRLTGSMKFEVFNKDTLLLYGVLELCDRNGCTKESKGSGPMWSMNCESVITTGTGFLKTKQFHSPSSTSPTVEVYVAGSFLGNPIILTRTLQPSLRKKQMKGILDSIPEHDATEDQKEATPFQMLDYLNHKSESEEHRYLYSGVDYFEGEDGELSWFNAGVRVGVGIGLSICVGIGLGVGLLVRTYQGTTHNFRRRLL >KJB79187 pep chromosome:Graimondii2_0_v6:13:2943857:2946414:1 gene:B456_013G037200 transcript:KJB79187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVYESSNGNGPTSNDHMVMRHSPKSPYQSFSKRSLRWLDLRVFYVRVSKCETDESTPMHLTLNHVPLNPDTLLEVNGVRTGIYSDGPSTLLRRDRLDKKSEEATFVSTDSIRLTGSMKFEVFNKDTLLLYGVLELCDRNGCTKESKGSGPMWSMNCESVITTGTGFLKTKQFHSPSSTSPTVEVYVAGSFLGNPIILTRTLQPSLRKKQMKGILDSIPEHDATEDQKEATPFQMLDYLNHKSESEEHRYLYSGVDYFEGEDGELSWFNAGVRVGVGIGLSICVGIGLGVGLLVRTYQGTTHNFRRRLL >KJB79191 pep chromosome:Graimondii2_0_v6:13:2943857:2946412:1 gene:B456_013G037200 transcript:KJB79191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVYESSNGNGPTSNDHMVMRHSPKSPYQSFSKRSLRWLDLRVFYVRVSKCETDESTPMHLTLNHVPLNPDTLLEVNGVRTGIYSDGPSTLLRRDRLDKKSEEATFVSTDSIRLTGSMKFEVFNKDTLLLYGVLELCDRNGCTKESKGSGPMWSMNCESVITTGTGFLKTKQFHSPSSTSPTVEVYVAGSFLGNPIILTRTLQPSLRKKQMKGILDSIPEHDATEDQKEATPFQMLDYLNHKSESEEHRYLYSGVDYFEGEDGELSWFNAGVRVGVGIGLSICVGIGLGVGLLVRTYQGTTHNFRRRLL >KJB83337 pep chromosome:Graimondii2_0_v6:13:56101484:56111280:-1 gene:B456_013G241900 transcript:KJB83337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKVSQGDDEHGLKSFNHHIGRQYWEFDPNLGTPQDRARVELARNHFTSNRFRAKQSSDLLMRFQFARENSSIGYETKVPLAKVNNSSGTEETVKMALRRALGFYSTVQSHDGFWPADYGGPLFLLPGLVIGLFVTGALDIILPSQHRQEIRRYLYNHQNEDGGWGIHIEGDSTMFGTALSYVTLRLLGETKDGGNGAIENARNWILNHGGLTFIPSWGKMWLSVLGIYEWSGNNPFLPELWLLPYRLNPIHPGRMWCHSRMVYLPMSYLYATKFVGPITSLILSLRRELYPLPYHLVDWDCARYLCAKEDLYYPHPIIQDVLWECLEKVVEPALKKWPLSKLRKKALHTVMQHIHYEDESTNYICIGPVSKMLNMVCCWVEDPKSEAFKCHLSRIKDYLWVAEDGMKMQGYNGSQLWDVAFSVQAIMATNLVDEYGSMLKKAHSFIKNTQVKENSGNGLNLWYRHISKGGWPFSTPDNGWIVSDCTAEGLKAALLLSTMPSYIVGEEVITPDRLYEAVNVILSLQNNSGGFASYELTRSYAWLEILNPAELFGDIIIDYQYVECTSAAIQGLKLFQKLHPNYKNKEIQACISKAINFIESIQLPDGSWYGSWGVCFVYGTWFGIKGLVAGGRNYHNCDGIRRACDFLLSKQLPSGGWGESYLSSQNKVYTNLEGNKWHLVTTAWAMLALIESGQADRDPTPLHRAAKVLLNSQLDNGDFPQQEIIGVFNKNCMISYSSYRNIFPIWAMGEYLNCVLLAKSK >KJB83338 pep chromosome:Graimondii2_0_v6:13:56103943:56111280:-1 gene:B456_013G241900 transcript:KJB83338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKVSQGDDEHGLKSFNHHIGRQYWEFDPNLGTPQDRARVELARNHFTSNRFRAKQSSDLLMRFQFARENSSIGYETKVPLAKVNNSSGTEETVKMALRRALGFYSTVQSHDGFWPADYGGPLFLLPGLVIGLFVTGALDIILPSQHRQEIRRYLYNHQNEDGGWGIHIEGDSTMFGTALSYVTLRLLGETKDGGNGAIENARNWILNHGGLTFIPSWGKMWLSVLGIYEWSGNNPFLPELWLLPYRLNPIHPGRMWCHSRMVYLPMSYLYATKFVGPITSLILSLRRELYPLPYHLVDWDCARYLCAKEDLYYPHPIIQDVLWECLEKVVEPALKKWPLSKLRKKALHTVMQHIHYEDESTNYICIGPVSKMLNMVCCWVEDPKSEAFKCHLSRIKDYLWVAEDGMKMQGYNGSQLWDVAFSVQAIMATNLVDEYGSMLKKAHSFIKNTQVKENSGNGLNLWYRHISKGGWPFSTPDNGWIVSDCTAEGLKAALLLSTMPSYIVGEEVITPDRLYEAVNVILSLQNNSGGFASYELTRSYAWLEILNPAELFGDIIIDYQYDPKICLLFPSFHLAYNIYRCGSKYSKLI >KJB83874 pep chromosome:Graimondii2_0_v6:13:58085834:58088873:-1 gene:B456_013G269100 transcript:KJB83874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPPPPPPTYFVLAFTSLTLPTFRFQPSQRDHHQKQKALMEKSGYGRDGIFRSLRPPLLLPKEPNLSMVSFLFRNIGSYPEKPALIDSDSDETLTFSQSKSTVIKLSHGFLKLGINKNDRVLIFAPNSIQFPLCFFAVTSIGAIATTANPIYTINELSKQVKDSNPKLVVTVPELFDKVKDFNLPVILLGPKRNRQPFDVKNVPKILSFHDLLDLAGDVKEFPHVSVKQTDTAALLYSSGTTGISKGVVLTHGNFIAAALMVTMDQELAGDMHRVFLCVLPMFHVFGLAVIVYSQLQKGNALVSMSKFDFGLLLKNVEKYKATHLWVVPPIVLAMAKQSIVKKFDLSSVREIGSGAAPLGKELMEECARNFPQAVVMQGFGMTETCGVVSMENTSVGVRNTGSTGMLVSNVEAQIVCTESLKPLPPKKFGEIWVRGPNMMQGYFNNPQATKLTIDKKGWMHTGDLGYFDEDGNLYVVDRIKELIKYKGFQIAPAELEGLLVSHPEISDAVVIPYPDAEAGEVPIAYVVRSPNSSLTEEDVQKFIAEQVAPFKRLRRVTFINSVPKSASGKILRRQLIEKVRAKI >KJB83876 pep chromosome:Graimondii2_0_v6:13:58086686:58088873:-1 gene:B456_013G269100 transcript:KJB83876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPPPPPPTYFVLAFTSLTLPTFRFQPSQRDHHQKQKALMEKSGYGRDGIFRSLRPPLLLPKEPNLSMVSFLFRNIGSYPEKPALIDSDSDETLTFSQSKSTVIKLSHGFLKLGINKNDRVLIFAPNSIQFPLCFFAVTSIGAIATTANPIYTINELSKQVKDSNPKLVVTVPELFDKVKDFNLPVILLGPKRNRQPFDVKNVPKILSFHDLLDLAGDVKEFPHVSVKQTDTAALLYSSGTTGISKGVVLTHGNFIAAALMVTMDQELAGDMHRVFLCVLPMFHVFGLAVIVYSQLQKGNALVSMSKFDFGLLLKNVEKYKATHLWVVPPIVLAMAKQSIVKKFDLSSVREIGSGAAPLGKELMEECARNFPQAVVMQGFGMTETCGVVSMENTSVGVRNTGSTGMLVSNVEAQIVCTESLKPLPPKKFGEIWVRGPNMMQGYFNNPQATKLTIDKKGWMHTGDLGYFDEDGNLYVVDRIKELIKYKGFQVTYRQVPRSQITVMLTHICIYICWQNFLECS >KJB83875 pep chromosome:Graimondii2_0_v6:13:58087175:58088873:-1 gene:B456_013G269100 transcript:KJB83875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPPPPPPTYFVLAFTSLTLPTFRFQPSQRDHHQKQKALMEKSGYGRDGIFRSLRPPLLLPKEPNLSMVSFLFRNIGSYPEKPALIDSDSDETLTFSQSKSTVIKLSHGFLKLGINKNDRVLIFAPNSIQFPLCFFAVTSIGAIATTANPIYTINELSKQVKDSNPKLVVTVPELFDKVKDFNLPVILLGPKRNRQPFDVKNVPKILSFHDLLDLAGDVKEFPHVSVKQTDTAALLYSSGTTGISKGVVLTHGNFIAAALMVTMDQELAGDMHRVFLCVLPMFHVFGLAVIVYSQLQKGNALVSMSKFDFGLLLKNVEKYKATHLWVVPPIVLAMAKQSIVKKFDLSSVREIGSGAAPLGKELMEECARNFPQAVVMQGFGMTETCGVVSMENTSVGVRNTGSTGMLVSNVEAQIVCTESLKPLPPKKFGEIWVRGPNMMQGNIIYACFIMRK >KJB82431 pep chromosome:Graimondii2_0_v6:13:49779477:49781195:1 gene:B456_013G195200 transcript:KJB82431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDEIRRAYINFGPYQPIISEYPTSNSKNHPHTQVVVLDQLHLLIVALEIGKKVNNGCNCAFLKHMGKDLNSLHNNAQRAYVDLMNQAQHIEQIAANHLRLKTSIGVVRWLTFQGCAFRASYDEKVEDVFNNAPQIASYTSSTIQKEILKIYASRVRNEIHEEIGYDGASNMRGEFNGLQALILNDYQYAYYVHYFSHRIQLALVTEAREVVEVLQFFKDLSNIVSIAYVSSNIILENLKNITPNYSQRGDAHNAYNRLRSFKFIFILHMMKEILRVIDNLFQALQCCSEDILNAMILVLTTEYLIQNHNKKEDVTVERHYQVDIYFCYNRYSVVRIEENI >KJB78563 pep chromosome:Graimondii2_0_v6:13:466082:467617:-1 gene:B456_013G006700 transcript:KJB78563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLPGITRVPVHGQPDNIYEQIRDIIMQYITPKESIILNVLSATVDFSTCESIRMSQQVDKNGERTLAVVTKVDRAPEGLVDKVTADDVNIGLGYVCVRNRIGDESYEEARKEEARLFETNAHLSCIDKSIVGVHVLAQKLVRIQANAIAKCLPEIVKNISAKLDANVSELEKMPKALTSIADATQAMMRIIQAAKESLKKLLWRGEFDEYPEDNTKHGTARFVEMLNRLSDELHNCEESNLSKDFLTEEIKGLEDAKGIELPNFLPFEAFLRILRRKVERISYLPIKFTEKYWDYIDDVVMSVLTSHWEMYYHLKVFTKGAAHNLVQKLREQSINRVKEIVEMEKLTGYTCNPDYLMEWNKLMKEQDHFINQISGTNMRPLPCSVDLQGFGKIQVEHLRQHSNVSTLQQAFALKMRMVAYWKIFKVRLVDSMALHLQYHVHNLVHNDIDEIMKELMGPDGHGIKMMLVESPAIVAKREKLKNSIKVLKESKDSVAKVMDRIVVYDAYLV >KJB80363 pep chromosome:Graimondii2_0_v6:13:15274199:15275697:1 gene:B456_013G093800 transcript:KJB80363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVESKGGAIACMLLALFFLGTWPAIMTLLERRGRLPQHTYLDYTMTNLLAAVIIALTFGEIGSSTPEKPNFIAQLSQDNWPSILFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDKINRAQILFPGVGCFLIAVCLGSAVHSSNAADNKAKLKGAGYSPTSNGAITNEELRNLEKGSGIIEEAKAGTAEFLLELEKRRAIKVFGKSTIIGLSITFFAGVCFSLFSPAFNLATNDQWHTLKEGVPHLVVYTAFFYFSVSCFVIALILNITFLYLPVLDLPRSSFKAYINDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQVLLVHLQYHI >KJB80362 pep chromosome:Graimondii2_0_v6:13:15273219:15276261:1 gene:B456_013G093800 transcript:KJB80362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVESKGGAIACMLLALFFLGTWPAIMTLLERRGRLPQHTYLDYTMTNLLAAVIIALTFGEIGSSTPEKPNFIAQLSQDNWPSILFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDKINRAQILFPGVGCFLIAVCLGSAVHSSNAADNKAKLKGAGYSPTSNGAITNEELRNLEKGSGIIEEAKAGTAEFLLELEKRRAIKVFGKSTIIGLSITFFAGVCFSLFSPAFNLATNDQWHTLKEGVPHLVVYTAFFYFSVSCFVIALILNITFLYLPVLDLPRSSFKAYINDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYQKSSRRTYVLLFGMLFMFIVAVGVLMASSGHRK >KJB80365 pep chromosome:Graimondii2_0_v6:13:15273634:15276261:1 gene:B456_013G093800 transcript:KJB80365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAGTASLIYLPIERIICSGLKMYVVESKGGAIACMLLALFFLGTWPAIMTLLERRGRLPQHTYLDYTMTNLLAAVIIALTFGEIGSSTPEKPNFIAQLSQDNWPSILFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDKINRAQILFPGVGCFLIAVCLGSAVHSSNAADNKAKLKGAGYSPTSNGAITNEELRNLEKGSGIIEEAKAGTAEFLLELEKRRAIKVFGKSTIIGLSITFFAGVCFSLFSPAFNLATNDQWHTLKEGVPHLVVYTAFFYFSVSCFVIALILNITFLYLPVLDLPRSSFKAYINDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYQKSSRRTYVLLFGMLFMFIVAVGVLMASSGHRK >KJB80364 pep chromosome:Graimondii2_0_v6:13:15273270:15276221:1 gene:B456_013G093800 transcript:KJB80364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVESKGGAIACMLLALFFLGTWPAIMTLLERRGRLPQHTYLDYTMTNLLAAVIIALTFGEIGSSTPEKPNFIAQLSQDNWPSILFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDKINRAQILFPGVGCFLIAVCLGSAVHSSNAADNKAKLKGAGYSPTSNGAITNEELRNLEKGSGIIEEAKAGTAEFLLELEKRRAIKVFGKSTIIGLSITFFAGVCFSLFSPAFNLATNDQWHTLKEGVPHLTYRDHRSRLTSMTGMAEAGHF >KJB80366 pep chromosome:Graimondii2_0_v6:13:15273270:15276221:1 gene:B456_013G093800 transcript:KJB80366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVESKGGAIACMLLALFFLGTWPAIMTLLERRGRLPQHTYLDYTMTNLLAAVIIALTFGEIGSSTPEKPNFIAQLSQDNWPSILFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDKINRAQILFPGVGCFLIAVCLGSAVHSSNAADNKAKLKGAGYSPTSNGAITNEELRNLEKGSGIIEEAKAGTAEFLLELEKRRAIKVFGKSTIIGLSITFFAGVCFSLFSPAFNLATNDQWHTLKEGVPHLVVYTAFFYFSVSCFVIALILNITFLYLPVLDLPRSSFKAYINDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYQKSSRRTYVLLFGMLFMFIVAVGVLMASSGHRK >KJB81269 pep chromosome:Graimondii2_0_v6:13:36045343:36055064:1 gene:B456_013G1352001 transcript:KJB81269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DAKKEIEMLKQEIEILQKGPRYMFGGGCEYMSLNELLVLEKHLEIWINHIRSTKIDIMFQEIQMLRNKEGIMTAANKCLHETIEENISYTDFTTMTTNMMHPLTIANNIF >KJB82933 pep chromosome:Graimondii2_0_v6:13:54122908:54127360:-1 gene:B456_013G221200 transcript:KJB82933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKIMEPFKNKNNQIEVEFAECDCCGLTEECTPAYIARVREKFEGRWLCGLCSEAVKDERIRSSSPEDIITINEALVRHMKFCEQFKSSSPPVNPTEDLIAAMRHLILRSLDSPRKNNNGSSSFVRSKTCFSRFPDGES >KJB82931 pep chromosome:Graimondii2_0_v6:13:54126581:54127003:-1 gene:B456_013G221200 transcript:KJB82931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKIMEPFKNKNNQIEVEFAECDCCGLTEECTPAYIARVREKFEGRWLCGLCSEAVKDERIRSSSPEDIITINEALVRHMKFCEQFKSSSPPVNPTEDLIAAMRHLILRSLDSPRKNNNGSSSFVRSKTCFSRFPDGES >KJB82932 pep chromosome:Graimondii2_0_v6:13:54126447:54127386:-1 gene:B456_013G221200 transcript:KJB82932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKIMEPFKNKNNQIEVEFAECDCCGLTEECTPAYIARVREKFEGRWLCGLCSEAVKDERIRSSSPEDIITINEALVRHMKFCEQFKSSSPPVNPTEDLIAAMRHLILRSLDSPRKNNNGSSSFVRSKTCFSRFPDGES >KJB79403 pep chromosome:Graimondii2_0_v6:13:4231058:4231994:-1 gene:B456_013G047800 transcript:KJB79403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLRVSETIMADTLLFTCLLLFLAAMQGAHAVDYAINDQTGNSGGGVRFRTEIGAQSSLQTMSSATDFIWDIFQQTNPSDRKNVPKVTLFIENGDGVAFSINNEIHVNANYLGNYTGDLRKEFNGVLYHEMTHIWQWNGNGQTPGGLIEGIADFVRLKANYIPSHWVKPGQGDRWDQGYDVTARFLEYCDGLRNGFVAELNKKMRSGYNAGFFVELLGKTVDQLWSDYKAKYGN >KJB80126 pep chromosome:Graimondii2_0_v6:13:11151269:11156853:-1 gene:B456_013G081700 transcript:KJB80126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDTTDSHRRKHHRSPSDEEETDKSSKRHKHRHHKHRHHRHRSKKHEDEARDGGEDPLPPPSIPRPDDDVEEGEILDEEPTAEIKADQSRDGRDVSDPGAGENSNSVDEQVRGIGDKSEDRKHNHSRLSGNLSVESQGELVPRVVSDDHINRSPSRSGKKKNYHEDVKEVDDKKLSDTRNSLSSESSGEKYKTSASSPLDSRCYDYSRSRSESLYIVRERSRSQSIVDEEALLKRSRRHERDPSQDGQHGSRNLVRNDERERSASYGRYIGEERHRNMETRGRERSREREMDWELRREKERDRSREREMGVEWSRDREIYGERRRERERARSRDRDMSGERRREKERERSREREMERERRREKERGKSRDRDLDGERRREKVHDRIWDTEADRDRKREKERDWSRDHSSAYDRDRDRRREKTEEWNQERERERRRDRSRDRDRNIEIENDGYGDRDRYKKHSHSKRDEAEIYRDGTRKNETVKVHGSNIDSLERHGDKLKRDEEEQDDFEERITLKLAGEEEEEAELNRIKEESRKRRQAILEKYKSQPLQQQTQSLAADVNKDNRPVENNGQIIAATRAGPDVVGGHEDIYFADPLLSVQKSPSENGHAAAERTSGAAGLGEGTPKSERSDDIFCDDIFGETPTGVRKLGKGDGLPVIRSGLHDNWDDAEGYYSYRFGEILDGRYEVTAAHGKGVFSTVVRAKDLKAGATVPEEVAIKIIRNNETMHKAGQLEVQILKKLAGADPDDKRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLPYDHPMDIWSVGCCLYELYTGKVLFPGPTNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFHATEEDPVTKKSIKRMILNIKPKDISSIIVGSPGEDPKMVANFKDLLEKIFVLDPEKRMTVTQALAHPFITGK >KJB80127 pep chromosome:Graimondii2_0_v6:13:11150882:11156853:-1 gene:B456_013G081700 transcript:KJB80127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDTTDSHRRKHHRSPSDEEETDKSSKRHKHRHHKHRHHRHRSKKHEDEARDGGEDPLPPPSIPRPDDDVEEGEILDEEPTAEIKADQSRDGRDVSDPGAGENSNSVDEQVRGIGDKSEDRKHNHSRLSGNLSVESQGELVPRVVSDDHINRSPSRSGKKKNYHEDVKEVDDKKLSDTRNSLSSESSGEKYKTSASSPLDSRCYDYSRSRSESLYIVRERSRSQSIVDEEALLKRSRRHERDPSQDGQHGSRNLVRNDERERSASYGRYIGEERHRNMETRGRERSREREMDWELRREKERDRSREREMGVEWSRDREIYGERRRERERARSRDRDMSGERRREKERERSREREMERERRREKERGKSRDRDLDGERRREKVHDRIWDTEADRDRKREKERDWSRDHSSAYDRDRDRRREKTEEWNQERERERRRDRSRDRDRNIEIENDGYGDRDRYKKHSHSKRDEAEIYRDGTRKNETVKVHGSNIDSLERHGDKLKRDEEEQDDFEERITLKLAGEEEEEAELNRIKEESRKRRQAILEKYKSQPLQQQTQSLAADVNKDNRPVENNGQIIAATRAGPDVVGGHEDIYFADPLLSVQKSPSENGHAAAERTSGAAGLGEGTPKSERSDDIFCDDIFGETPTGVRKLGKGDGLPVIRSGLHDNWDDAEGYYSYRFGEILDGRYEVTAAHGKGVFSTVVRAKDLKAGATVPEEVAIKIIRNNETMHKAGQLEVQILKKLAGADPDDKRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLPYDHPMDIWSVGCCLYELYTGKVLFPGPTNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFHATEEDPVTKKSIKRMILNIKPKDISSIIVGSPGEDPKMVANFKDLLEKIFVLDPEKRMTVTQALAHPFITGK >KJB80125 pep chromosome:Graimondii2_0_v6:13:11149392:11156872:-1 gene:B456_013G081700 transcript:KJB80125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDTTDSHRRKHHRSPSDEEETDKSSKRHKHRHHKHRHHRHRSKKHEDEARDGGEDPLPPPSIPRPDDDVEEGEILDEEPTAEIKADQSRDGRDVSDPGAGENSNSVDEQVRGIGDKSEDRKHNHSRLSGNLSVESQGELVPRVVSDDHINRSPSRSGKKKNYHEDVKEVDDKKLSDTRNSLSSESSGEKYKTSASSPLDSRCYDYSRSRSESLYIVRERSRSQSIVDEEALLKRSRRHERDPSQDGQHGSRNLVRNDERERSASYGRYIGEERHRNMETRGRERSREREMDWELRREKERDRSREREMGVEWSRDREIYGERRRERERARSRDRDMSGERRREKERERSREREMERERRREKERGKSRDRDLDGERRREKVHDRIWDTEADRDRKREKERDWSRDHSSAYDRDRDRRREKTEEWNQERERERRRDRSRDRDRNIEIENDGYGDRDRYKKHSHSKRDEAEIYRDGTRKNETVKVHGSNIDSLERHGDKLKRDEEEQDDFEERITLKLAGEEEEEAELNRIKEESRKRRQAILEKYKSQPLQQQTQSLAADVNKDNRPVENNGQIIAATRAGPDVVGGHEDIYFADPLLSVQKSPSENGHAAAERTSGAAGLGEGTPKSERSDDIFCDDIFGETPTGVRKLGKGDGLPVIRSGLHDNWDDAEGYYSYRFGEILDGRYEVTAAHGKGVFSTVVRAKDLKAGATVPEEVAIKIIRNNETMHKAGQLEVQILKKLAGADPDDKRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLPYDHPMDIWSVGCCLYELYTGKVLFPGPTNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFHATEEDPVTKKSIKRMILNIKPKDISSIIVGSPGEDPKMVANFKDLLEKIFVLDPEKRMTVTQALAHPFITGK >KJB78758 pep chromosome:Graimondii2_0_v6:13:1168932:1169427:-1 gene:B456_013G017000 transcript:KJB78758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHNTHSSVGGGREDCWSEGATATLIKAWGDCFSRLDRGKLRQNDLQEVADPVNSRQNGVKPKKTDSMESHRQLEDVGLSNEASFSELARAVLKFREIYERIKSWKQHQMMELEKQRIEFTEDVE >KJB80081 pep chromosome:Graimondii2_0_v6:13:10938959:10941014:1 gene:B456_013G080400 transcript:KJB80081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLLTFRRYLNLPIQYFLQKMVSTSPPKEVQSIGKWAEGDPTRRAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGTMVLVLSWCMTLNTMWQMIQLHECVPGTRFDRYIDLGRYAFGPKLGGWVVLPQQLIVQVGCDIVYMVTGGKCLKKFMEIACTSCTQLRQSYWILIFGGTHFFLSQLPNFNSVAAVSLAAAVMSLSYSTIAWAGSLSHGQINGVSYEYKSTSPTDFMFRVFNALGQISFAFAGHAVALEIQATIPSTPERPSKIPMWKGALGAYFINAICYFPVAMIGYWAFGQDVDDNVLMALKKPAWLIASANLMVVVHVIGSYQVYAMPVFDLLENMMIKRLNFPPGIALRLISRSTYVAFTLFIGVTFPFFGDLLGFFGGFGFAPTSYFHNVVGDQETKKVQPQLVHQLGVHICRSVHYDGINNWRFKEYHSRCFNIQFLYMNE >KJB80078 pep chromosome:Graimondii2_0_v6:13:10938842:10941105:1 gene:B456_013G080400 transcript:KJB80078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMTQLLTFRRYLNLPIQYFLQKMVSTSPPKEVQSIGKWAEGDPTRRAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGTMVLVLSWCMTLNTMWQMIQLHECVPGTRFDRYIDLGRYAFGPKLGGWVVLPQQLIVQVGCDIVYMVTGGKCLKKFMEIACTSCTQLRQSYWILIFGGTHFFLSQLPNFNSVAAVSLAAAVMSLSYSTIAWAGSLSHGQINGVSYEYKSTSPTDFMFRVFNALGQISFAFAGHAVALEIQATIPSTPERPSKIPMWKGALGAYFINAICYFPVAMIGYWAFGQDVDDNVLMALKKPAWLIASANLMVVVHVIGSYQVYAMPVFDLLENMMIKRLNFPPGIALRLISRSTYVAFTLFIGVTFPFFGDLLGFFGGFGFAPTSYFLPSIMWLVIKKPKRFSLNWFINWGCIFVGVFIMMASTIGGLRNIIADASTYSFYT >KJB80079 pep chromosome:Graimondii2_0_v6:13:10938959:10941014:1 gene:B456_013G080400 transcript:KJB80079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLLTFRRYLNLPIQYFLQKMVSTSPPKEVQSIGKWAEGDPTRRAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGTMVLVLSWCMTLNTMWQMIQLHECVPGTRFDRYIDLGRYAFGPKLGGWVVLPQQLIVQVGCDIVYMVTGGKCLKKFMEIACTSCTQLRQSYWILIFGGSLSHGQINGVSYEYKSTSPTDFMFRVFNALGQISFAFAGHAVALEIQATIPSTPERPSKIPMWKGALGAYFINAICYFPVAMIGYWAFGQDVDDNVLMALKKPAWLIASANLMVVVHVIGSYQVYAMPVFDLLENMMIKRLNFPPGIALRLISRSTYVAFTLFIGVTFPFFGDLLGFFGGFGFAPTSYFHNVVGDQETKKVQPQLVHQLGVHICRSVHYDGINNWRFKEYHSRCFNIQFLYMNE >KJB80080 pep chromosome:Graimondii2_0_v6:13:10938959:10941014:1 gene:B456_013G080400 transcript:KJB80080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLLTFRRYLNLPIQYFLQKMVSTSPPKEVQSIGKWAEGDPTRRAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGTMVLVLSWCMTLNTMWQMIQLHECVPGTRFDRYIDLGRYAFGPKLGGWVVLPQQLIVQVGCDIVYMVTGGKCLKKFMEIACTSCTQLRQSYWILIFGAWAGSLSHGQINGVSYEYKSTSPTDFMFRVFNALGQISFAFAGHAVALEIQATIPSTPERPSKIPMWKGALGAYFINAICYFPVAMIGYWAFGQDVDDNVLMALKKPAWLIASANLMVVVHVIGSYQVYAMPVFDLLENMMIKRLNFPPGIALRLISRSTYVAFTLFIGVTFPFFGDLLGFFGGFGFAPTSYFHNVVGDQETKKVQPQLVHQLGVHICRSVHYDGINNWRFKEYHSRCFNIQFLYMNE >KJB82317 pep chromosome:Graimondii2_0_v6:13:49036975:49044749:1 gene:B456_013G189100 transcript:KJB82317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGTVSRYHSSIQISTCYLQARAQMMAYSCYLEALRIQPTFAIAWSNLAGLFMDSGDLNRALQYYKEAVKLKPTFPDAYLNLGNIYKALGMPQEAIVCYQRAVQTRPNNAIALGNLASTYYERGQLDLAILHYKQAIACDQRFLEAYNNLGNALKDVGRVDEAIQCYNQCLTLQPNHPQALTNLGNIYMEWNMVAAAASYYKATLSVTTGLSAPFNNLAVIYKQQGNYVEAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVTEAIQDYIRAINIRPNMAEAHANLASAYKDSGHVEAAIKSYKQALHLRPDFPEATCNLLHTLQCVCSWEDRDQMFTEVEGIIRRQINMSVLPSVQPFHAIAYPIDPMLALDISRKYAAHCSMVASRFALPPFNHPAPNRIKGNGGNERLKVGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSQNDGTEWRQRIQSEAEHFIDVSAMSSDVIAKMINEDGIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGADYIDYLVTDEFVSPLCYSHIYSEKLVHLPHCYFVNDYKQKNRDVLDPTCQHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLKFPAAGEMRLRAYAAAQGVQPEQIIFTDVAMKHEHIRRSALADLCLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGLGEDMIVNSMKEYEEQAVTLALNRPKLQALTKKLKEARLTCPLFDTARWVRNLERAYLKMWNLYCSGQQPQHFKVTENDFDFPYDR >KJB82315 pep chromosome:Graimondii2_0_v6:13:49034857:49044648:1 gene:B456_013G189100 transcript:KJB82315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQNGVGISRAAPYGVGVFDRADETSDAEAVAAGKSAVYALKQEPASSFSIVPHNGHDSHEVDEDMHLALAHQMYKSGNYKQALDHSSAVYNQNPLRTDNLLLLGAIYYQLHNYDMCIAKNEEALRIEPRFAECYGNMANAWKEKGDIDVAIRYYMIAIELRPNFADAWSNLASAYMRKGRFNEAAQCCRQALQLNPLLVDAHSNLGNLMKAQGLVQEAYSCYLEALRIQPTFAIAWSNLAGLFMDSGDLNRALQYYKEAVKLKPTFPDAYLNLGNIYKALGMPQEAIVCYQRAVQTRPNNAIALGNLASTYYERGQLDLAILHYKQAIACDQRFLEAYNNLGNALKDVGRVDEAIQCYNQCLTLQPNHPQALTNLGNIYMEWNMVAAAASYYKATLSVTTGLSAPFNNLAVIYKQQGNYVEAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVTEAIQDYIRAINIRPNMAEAHANLASAYKDSGHVEAAIKSYKQALHLRPDFPEATCNLLHTLQCVCSWEDRDQMFTEVEGIIRRQINMSVLPSVQPFHAIAYPIDPMLALDISRKYAAHCSMVASRFALPPFNHPAPNRIKGNGGNERLKVGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSQNDGTEWRQRIQSEAEHFIDVSAMSSDVIAKMINEDGIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGADYIDYLVTDEFVSPLCYSHIYSEKLVHLPHCYFVNDYKQKNRDVLDPTCQHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLKFPAAGEMRLRAYAAAQGVQPEQIIFTDVAMKHEHIRRSALADLCLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGLGEDMIVNSMKEYEEQAVTLALNRPKLQALTKKLKEARLTCPLFDTARWVRNLERAYLKMWNLYCSGQQPQHFKVTENDFDFPYDR >KJB82316 pep chromosome:Graimondii2_0_v6:13:49034871:49044648:1 gene:B456_013G189100 transcript:KJB82316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQNGVGISRAAPYGVGVFDRADETSDAEAVAAGKSAVYALKQEPASSFSIVPHNGHDSHEVDEDMHLALAHQMYKSGNYKQALDHSSAVYNQNPLRTDNLLLLGAIYYQLHNYDMCIAKNEEALRIEPRFAECYGNMANAWKEKGDIDVAIRYYMIAIELRPNFADAWSNLASAYMRKGRFNEAAQCCRQALQLNPLLVDAHSNLGNLMKAQGLVQEAYSCYLEALRIQPTFAIAWSNLAGLFMDSGDLNRALQYYKEAVKLKPTFPDAYLNLGNIYKALGMPQEAIVCYQRAVQTRPNNAIALGNLASTYYERGQLDLAILHYKQAIACDQRFLEAYNNLGNALKDVGRVDEAIQCYNQCLTLQPNHPQALTNLGNIYMEWNMVAAAASYYKATLSVTTGLSAPFNNLAVIYKQQGNYVEAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVTEAIQDYIRAINIRPNMAEAHANLASAYKDSGHVEAAIKSYKQALHLRPDFPEATCNLLHTLQCVCSWEDRDQMFTEVEGIIRRQINMSVLPSVQPFHAIAYPIDPMLALDISRKYAAHCSMVASRFALPPFNHPAPNRIKGNGGNERLKVGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSQNDGTEWRQRIQSEAEHFIDVSAMSSDVIAKMINEDGIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGADYIDYLVTDEFVSPLCYSHIYSEKLVHLPHCYFVNDYKQKNRDVLDPTCQHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLKFPAAGEMRLRAYAAAQGVQPEQIIFTDVAMKHEHIRRSALADLCLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGLGEDMIVNSMKEYEEQAVTLALNRPKLQALTKKLKEARLTCPLFDTARWVNI >KJB80024 pep chromosome:Graimondii2_0_v6:13:9856831:9861296:-1 gene:B456_013G077400 transcript:KJB80024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLQLLSSPPLTPQTLNLNSISNPKLFPSFSSLNSSQTRSFKFNPLSKPSKFSLKCRQSEYFDQKQRFNDSSSSTSPSSLAGLPARFYVGHSIYKGKAALTVEPRAPEFVPLDSGAFKISREGFVLLQFAPAAGVRQYDWSRKQVFSLSVTEIGTLISLGMRESCEFFHDPFKGKRCSKQTSKRGRKCLYPHYTGRVHCPQICIQLHFAISFRLARLCQFNQTGRYKSCE >KJB80016 pep chromosome:Graimondii2_0_v6:13:9856536:9861478:-1 gene:B456_013G077400 transcript:KJB80016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLQLLSSPPLTPQTLNLNSISNPKLFPSFSSLNSSQTRSFKFNPLSKPSKFSLKCRQSEYFDQKQRFNDSSSSTSPSSLGLPARFYVGHSIYKGKAALTVEPRAPEFVPLDSGAFKISREGFVLLQFAPAAGVRQYDWSRKQVFSLSVTEIGTLISLGMRESCEFFHDPFKGKSDEGKVRKVLKVEPLPDGSGHFFNLGVQNKLLNVDENVYIPITRGEFTVLKSAFSFILPYLLGWHAFANSTKPDDTSRANNANPRYGGDYEWSR >KJB80026 pep chromosome:Graimondii2_0_v6:13:9860339:9861333:-1 gene:B456_013G077400 transcript:KJB80026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLQLLSSPPLTPQTLNLNSISNPKLFPSFSSLNSSQTRSFKFNPLSKPSKFSLKCRQSEYFDQKQRFNDSSSSTSPSSLGLPARFYVGHSIYKGKAALTVEPRAPEFVPLDSGAFKISREGFVLLQFAPAAGVRQYDWSRKQIKCKIFVVKDEYVLET >KJB80019 pep chromosome:Graimondii2_0_v6:13:9857479:9861252:-1 gene:B456_013G077400 transcript:KJB80019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLQLLSSPPLTPQTLNLNSISNPKLFPSFSSLNSSQTRSFKFNPLSKPSKFSLKCRQSEYFDQKQRFNDSSSSTSPSSLAGLPARFYVGHSIYKGKAALTVEPRAPEFVPLDSGAFKISREGFVLLQFAPAAGVRQYDWSRKQVFSLSVTEIGTLISLGMRESCEFFHDPFKGKSDEGKVRKVLKVEPLPDGSGHFFNLGVQNKLLNVDENVYIPITRGEFTVLKSAFSIVQQILLAQQHT >KJB80021 pep chromosome:Graimondii2_0_v6:13:9858228:9861252:-1 gene:B456_013G077400 transcript:KJB80021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLQLLSSPPLTPQTLNLNSISNPKLFPSFSSLNSSQTRSFKFNPLSKPSKFSLKCRQSEYFDQKQRFNDSSSSTSPSSLAGLPARFYVGHSIYKGKAALTVEPRAPEFVPLDSGAFKISREGFVLLQFAPAAGVRQYDWSRKQVFSLSVTEIGTLISLGMRESCEFFHDPFKGKSDEGKVRKVLKVEPLPDGSGHFFNLGNAQFC >KJB80020 pep chromosome:Graimondii2_0_v6:13:9857479:9861252:-1 gene:B456_013G077400 transcript:KJB80020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLQLLSSPPLTPQTLNLNSISNPKLFPSFSSLNSSQTRSFKFNPLSKPSKFSLKCRQSEYFDQKQRFNDSSSSTSPSSLAGLPARFYVGHSIYKGKAALTVEPRAPEFVPLDSGAFKISREGFVLLQFAPAAGVRQYDWSRKQVFSLSVTEIGTLISLGMRESCEFFHDPFKGKSDEGKVRKVLKVEPLPDGSGHFFNLGVQNKLLNVDENVYIPITRGEFTVLKSAFSIVQQILLAQQHT >KJB80018 pep chromosome:Graimondii2_0_v6:13:9857479:9861252:-1 gene:B456_013G077400 transcript:KJB80018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLQLLSSPPLTPQTLNLNSISNPKLFPSFSSLNSSQTRSFKFNPLSKPSKFSLKCRQSEYFDQKQRFNDSSSSTSPSSLGLPARFYVGHSIYKGKAALTVEPRAPEFVPLDSGAFKISREGFVLLQFAPAAGVRQYDWSRKQVFSLSVTEIGTLISLGMRESCEFFHDPFKGKSDEGKVRKVLKVEPLPDGSGHFFNLGVQNKLLNVDENVYIPITRGEFTVLKSAFSIVQQILLAQQHT >KJB80022 pep chromosome:Graimondii2_0_v6:13:9856698:9861296:-1 gene:B456_013G077400 transcript:KJB80022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLQLLSSPPLTPQTLNLNSISNPKLFPSFSSLNSSQTRSFKFNPLSKPSKFSLKCRQSEYFDQKQRFNDSSSSTSPSSLAGLPARFYVGHSIYKGKAALTVEPRAPEFVPLDSGAFKISREGFVLLQFAPAAGVRQYDWSRKQVFSLSVTEIGTLISLGMRESCEFFHDPFKGKSDEGKVRKVLKVEPLPDGSGHFFNLGVQNKLLNVDENVYIPITRGEFTVLKSAFSFILPYLLGWHAFANSTKPDDTSRANNANPRYGGDYEWTM >KJB80025 pep chromosome:Graimondii2_0_v6:13:9856574:9861478:-1 gene:B456_013G077400 transcript:KJB80025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLQLLSSPPLTPQTLNLNSISNPKLFPSFSSLNSSQTRSFKFNPLSKPSKFSLKCRQSEYFDQKQRFNDSSSSTSPSSLGLPARFYVGHSIYKGKAALTVEPRAPEFVPLDSGAFKISREGFVLLQFAPAAGVRQYDWSRKQVFSLSVTEIGTLISLGMRESCEFFHDPFKGKSDEGKVRKVLKVEPLPDGSGHFFNLVHFAISFRLARLCQFNQTGRYKSCE >KJB80023 pep chromosome:Graimondii2_0_v6:13:9857669:9861252:-1 gene:B456_013G077400 transcript:KJB80023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLQLLSSPPLTPQTLNLNSISNPKLFPSFSSLNSSQTRSFKFNPLSKPSKFSLKCRQSEYFDQKQRFNDSSSSTSPSSLAGLPARFYVGHSIYKGKAALTVEPRAPEFVPLDSGAFKISREGFVLLQFAPAAGVRQYDWSRKQVFSLSVTEIGTLISLGMRESCEFFHDPFKGKSDEGKVRKVLKVEPLPDGSGHFFNLGVQNKLLNVDENVYIPITRGEFTVLKSAFSV >KJB80017 pep chromosome:Graimondii2_0_v6:13:9857232:9861252:-1 gene:B456_013G077400 transcript:KJB80017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLQLLSSPPLTPQTLNLNSISNPKLFPSFSSLNSSQTRSFKFNPLSKPSKFSLKCRQSEYFDQKQRFNDSSSSTSPSSLAGLPARFYVGHSIYKGKAALTVEPRAPEFVPLDSGAFKISREGFVLLQFAPAAGVRQYDWSRKQVFSLSVTEIGTLISLGMRESCEFFHDPFKGKSDEGKVRKVLKVEPLPDGSGHFFNLGVQNKLLNVDENVYIPITRGEFTVLKSAFSFILPYLLGWHAFANSTKPDDTSRANNANPRYGGDYEWSR >KJB80027 pep chromosome:Graimondii2_0_v6:13:9860352:9861252:-1 gene:B456_013G077400 transcript:KJB80027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLQLLSSPPLTPQTLNLNSISNPKLFPSFSSLNSSQTRSFKFNPLSKPSKFSLKCRQSEYFDQKQRFNDSSSSTSPSSLAGLPARFYVGHSIYKGKAALTVEPRAPEFVPLDSGAFKISREGFVLLQFAPAAGVRQYDWSRKQIKCKIFVVKDEYVLET >KJB80139 pep chromosome:Graimondii2_0_v6:13:11356246:11358518:-1 gene:B456_013G082600 transcript:KJB80139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFVSWIQIFTLPKETLLYPAHDYKGFSVTTVGEEMLYNPRLTKDKVFFLEPSIFPFLWKMAWYF >KJB80137 pep chromosome:Graimondii2_0_v6:13:11355427:11358563:-1 gene:B456_013G082600 transcript:KJB80137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVTYVTGDGPDQPQPRMAFIGDALLIRGCGRTDFQIFTLPKETLLYPAHDYKGFSVTTVGEEMLYNPRLTKDKETFKNIMENLNLA >KJB80138 pep chromosome:Graimondii2_0_v6:13:11355380:11358563:-1 gene:B456_013G082600 transcript:KJB80138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVTYVTGDGPDQPQPRMAFIGDALLIRGCGRTDFQIFTLPKETLLYPAHDYKGFSVTTVGEEMLYNPRLTKDKETFKNIMENLNLA >KJB78418 pep chromosome:Graimondii2_0_v6:13:4275769:4276484:-1 gene:B456_013G048100 transcript:KJB78418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALRVYAEMLRLVRRLPKDSRPYYAKYARENFVNYRDFDASDSKALDELFHRAYNHSLWVLNKVTR >KJB78419 pep chromosome:Graimondii2_0_v6:13:4275769:4276602:-1 gene:B456_013G048100 transcript:KJB78419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALRVYAEMLRLVRRLPKDSRPYYAKYARENFVNYRDFDASDSKALDELFHRAYNHSLWVLNKYSVNESAAQKLKEICLMDENV >KJB82871 pep chromosome:Graimondii2_0_v6:13:53855358:53862211:-1 gene:B456_013G218700 transcript:KJB82871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGSFLILFILFFFSSLNPILSLYEDQVGLVDWHQQYLGKVKQAVFHTHKTGRKRVVVSTEENVVALLDLRQGEIFWRHVLGSNDVIDGIDIALGKYVITLSSGGSILRAWNLPDGQMVWESSLRGPKHSKSLLLVPTNLKIEKDNIVIIFSNGRLNAVSCIDGEVLWEKDFEGESLEVQQVIQPPGSNLIYVVGFASSSLFEMYQINALNGELLKHESAAFSGGFLGEVSPVFSETVVALDSTGLILLTVSFQNGMISFQQTPISNLVKDSFGPAKIIPSSVTGIFAIKMNAVTIVIRVTDENKLEVVEKTNHETSISDALPISEGQQAFALVQRAGPEIHLRVKLAHNWDDNLLKESVKMDQHRGLVHKIYINNYIRADKSYGFRALIVMEDHSLLLLQQGEIVWSREDGLASIIDVTTSELPVERDGVSVAKVEHNLFEWLKGHMLKLKGTLMLASPQDIAAIQSMRLKNSEKSKMTRDHNGFRKLLIVLTRAGKLFALHTGDGHIVWSYLLQSLQKSKVCQHPIGLNLYKWQVPHHHAMDENPSVLVIGKCGPSSDAPGALSFVDTYTGKELSSLSLVHSVALVIPLPYTDSTEQRLHLLIDADKHAHLYPKTPEAISIFEREFSNIYWYSVEDDNGIIKGHALRGKCTGEVADEVCFDTRELWSIVFPSESEKIIATVTRKLNEVVHTQAKVIADQEVMYKYISRNLLFVATVALKGSGEIGSVTPEESWLVAYLIDTVTGRILHRVTHHGSQGPVHAVFSENWVVYHYFNLKAHRYEMSVIEIYDQSRADNKDVWKLVLGKHNLTSPISLYSRPDVITKSQSYFFTHSVKTIAVTSTTKGITSKQLLIGTIGDQVLALEKRFLDPRRTVNPTQAEKEEGIIPLTDSLPIIPQSYVTHALRVEGLRGIITVPAKLESTTLVFAHGVDLFFTQLAPSRTYDSLTDDFNYALLLITIVALMAAIFLTWTLSERKELQENWR >KJB82873 pep chromosome:Graimondii2_0_v6:13:53854542:53862138:-1 gene:B456_013G218700 transcript:KJB82873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGSFLILFILFFFSSLNPILSLYEDQVGLVDWHQQYLGKVKQAVFHTHKTGRKRVVVSTEENVVALLDLRQGEIFWRHVLGSNDVIDGIDIALGKYVITLSSGGSILRAWNLPDGQMVWESSLRGPKHSKSLLLVPTNLKIEKDNIVIIFSNGRLNAVSCIDGEVLWEKDFEGESLEVQQVIQPPGSNLIYVVGFASSSLFEMYQINALNGELLKHESAAFSGGFLGEVSPVFSETVVALDSTGLILLTVSFQNGMISFQQTPISNLVKDSFGPAKIIPSSVTGIFAIKMNAVTIVIRVTDENKLEVVEKTNHETSISDALPISEGQQAFALVQRAGPEIHLRVKLAHNWDDNLLKESVKMDQHRGLVHKIYINNYIRADKSYGFRALIVMEDHSLLLLQQGEIVWSREDGLASIIDVTTSELPVERDGVSVAKVEHNLFEWLKGHMLKLKGTLMLASPQDIAAIQSMRLKNSEKSKMTRDHNGFRKLLIVLTRAGKLFALHTGDGHIVWSYLLQSLQKSKVCQHPIGLNLYKWQVPHHHAMDENPSVLVIGKCGPSSDAPGALSFVDTYTGKELSSLSLVHSVALVIPLPYTDSTEQRLHLLIDADKHAHLYPKTPEAISIFEREFSNIYWYSVEDDNGIIKGHALRGKCTGEVADEVCFDTRELWSIVFPSESEKIIATVTRKLNEVVHTQAKVIADQEVMYKYISRNLLFVATVALKGSGEIGSVTPEESWLVAYLIDTVTGRILHRVTHHGSQGPVHAVFSENWVVYHYFNLKAHRYEMSVIEIYDQSRADNKDVWKLVLGKHNLTSPISLYSRPDVITKSQSYFFTHSVKTIAVTSTTKGITSKQLLIGTIGDQVLALEKRFLDPRRTVNPTQAEKEEGIIPLTDSLPIIPQSYVTHALRVEGLRGIITVPAKLESTTLVFAHGVDLFFTQLAPSRTYDSLTDDFNYALLLITIVALMAAIFLTWTLSERKELQENWR >KJB82872 pep chromosome:Graimondii2_0_v6:13:53854540:53862138:-1 gene:B456_013G218700 transcript:KJB82872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGSFLILFILFFFSSLNPILSLYEDQVGLVDWHQQYLGKVKQAVFHTHKTGRKRVVVSTEENVVALLDLRQGEIFWRHVLGSNDVIDGIDIALGKYVITLSSGGSILRAWNLPDGQMVWESSLRGPKHSKSLLLVPTNLKIEKDNIVIIFSNGRLNAVSCIDGEVLWEKDFEGESLEVQQVIQPPGSNLIYVVGFASSSLFEMYQINALNGELLKHESAAFSGGFLGEVSPVFSETVVALDSTGLILLTVSFQNGMISFQQTPISNLVKDSFGPAKIIPSSVTGIFAIKMNAVTIVIRVTDENKLEVVEKTNHETSISDALPISEGQQAFALVQRAGPEIHLRVKLAHNWDDNLLKESVKMDQHRGLVHKIYINNYIRADKSYGFRALIVMEDHSLLLLQQGEIVWSREDGLASIIDVTTSELPVERDGVSVAKVEHNLFEWLKGHMLKLKGTLMLASPQDIAAIQSMRLKNSEKSKMTRDHNGFRKLLIVLTRAGKLFALHTGDGHIVWSYLLQSLQKSKVCQHPIGLNLYKWQVPHHHAMDENPSVLVIGKCGPSSDAPGALSFVDTYTGKELSSLSLVHSVALVIPLPYTDSTEQRLHLLIDADKHAHLYPKTPEAISIFEREFSNIYWYSVEDDNGIIKGHALRGKCTGEVADEVCFDTRELWSIVFPSESEKIIATVTRKLNEVVHTQAKVIADQEVMYKYISRNLLFVATVALKGSGEIGSVTPEESWLVAYLIDTVTGRILHRVTHHGSQGPVHAVFSENWVVYHYFNLKAHRYEMSVIEIYDQSRADNKDVWKLVLGKHNLTSPISLYSRPDVITKSQSYFFTHSVKTIAVTSTTKGITSKQLLIGTIGDQVLALEKRFLDPRRTVNPTQAEKEEGIIPLTDSLPIIPQSYVTHALRVEGLRGIITVPAKLESTTLVFAHGVDLFFTQLAPSRTYDSLTDDFNYALLLITIVALMAAIFLTWTLSERKELQENWR >KJB82870 pep chromosome:Graimondii2_0_v6:13:53854548:53862211:-1 gene:B456_013G218700 transcript:KJB82870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGSFLILFILFFFSSLNPILSLYEDQVGLVDWHQQYLGKVKQAVFHTHKTGRKRVVVSTEENVVALLDLRQGEIFWRHVLGSNDVIDGIDIALGKYVITLSSGGSILRAWNLPDGQMVWESSLRGPKHSKSLLLVPTNLKIEKDNIVIIFSNGRLNAVSCIDGEVLWEKDFEGESLEVQQVIQPPGSNLIYVVGFASSSLFEMYQINALNGELLKHESAAFSGGFLGEVSPVFSETVVALDSTGLILLTVSFQNGMISFQQTPISNLVKDSFGPAKIIPSSVTGIFAIKMNAVTIVIRVTDENKLEVVEKTNHETSISDALPISEGQQAFALVQRAGPEIHLRVKLAHNWDDNLLKESVKMDQHRGLVHKIYINNYIRADKSYGFRALIVMEDHSLLLLQQGEIVWSREDGLASIIDVTTSELPVERDGVSVAKVEHNLFEWLKGHMLKLKGTLMLASPQDIAAIQSMRLKNSEKSKMTRDHNGFRKLLIVLTRAGKLFALHTGDGHIVWSYLLQSLQKSKVCQHPIGLNLYKWQVPHHHAMDENPSVLVIGKCGPSSDAPGALSFVDTYTGKELSSLSLVHSVALVIPLPYTDSTEQRLHLLIDADKHAHLYPKTPEAISIFEREFSNIYWYSVEDDNGIIKGHALRGKCTGEVADEVCFDTRELWSIVFPSESEKIIATVTRKLNEVVHTQAKVIADQEVMYKYISRNLLFVATVALKGSGEIGSVTPEESWLVAYLIDTVTGRILHRVTHHGSQGPVHAVFSENWVVYHYFNLKAHRYEMSVIEIYDQSRADNKDVWKLVLGKHNLTSPISLYSRPDVITKSQSYFFTHSVKTIAVTSTTKGITSKQLLIGTIGDQVLALEKRFLDPRRTVNPTQAEKEEGIIPLTDSLPIIPQSYVTHALRVEGLRGIITVPAKLESTTLVFAHGVDLFFTQLAPSRTYDSLTDDFNYALLLITIVALMAAIFLTWTLSERKELQENWR >KJB81996 pep chromosome:Graimondii2_0_v6:13:45794344:45796945:-1 gene:B456_013G171300 transcript:KJB81996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYHHFDNGETHLPPGFRFHPTDEELITYYLVKKVLDRSFTGRAIAEVDLNKCEPWELPDRAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCALVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHYLSRSSKDEWVISRVFQKSSGGAKKAPMSAASMVLYQEPSSPSSVSLPPLLDTTNATGSGTATGASLTDRDSCSYDSHNQSEHVSCFSTIAATSSATLPGYHSGFDLALPTPPQMNNSFDSIARYTRNVGVPVFPSLRSLEENLQLPFYFSEPTLAGAAPPLDGGSSANWGAVSEEGNSGSVADGKMSNIGPTELDCMWTY >KJB81997 pep chromosome:Graimondii2_0_v6:13:45794496:45796573:-1 gene:B456_013G171300 transcript:KJB81997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYHHFDNGETHLPPGFRFHPTDEELITYYLVKKVLDRSFTGRAIAEVDLNKCEPWELPDRAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCALVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHYLSRSSKLFQDEWVISRVFQKSSGGAKKAPMSAASMVLYQEPSSPSSVSLPPLLDTTNATGSGTATGASLTDRDSCSYDSHNQSEHVSCFSTIAATSSATLPGYHSGFDLALPTPPQMNNSFDSIARYTRNVGVPVFPSLRSLEENLQLPFYFSEPTLAGAAPPLDGGSSANWGAVSEEGNSGSVADGKMSNIGPTELDCMWTY >KJB81994 pep chromosome:Graimondii2_0_v6:13:45794344:45797063:-1 gene:B456_013G171300 transcript:KJB81994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYHHFDNGETHLPPGFRFHPTDEELITYYLVKKVLDRSFTGRAIAEVDLNKCEPWELPDRAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCALVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHYLSRSSKDEWVISRVFQKSSGGAKKAPMSAASMVLYQEPSSPSSVSLPPLLDTTNATGSGTATGASLTDRDSCSYDSHNQSEHVSCFSTIAATSSATLPGYHSGFDLALPTPPQMNNSFDSIARYTRNVGVPVFPSLRSLEENLQLPFYFSEPTLAGAAPPLDGGSSANWGAVSEEGNSGSVADGKMSNIGPTELDCMWTY >KJB81995 pep chromosome:Graimondii2_0_v6:13:45794496:45796573:-1 gene:B456_013G171300 transcript:KJB81995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYHHFDNGETHLPPGFRFHPTDEELITYYLVKKVLDRSFTGRAIAEVDLNKCEPWELPDRAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCALVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHYLSRSSKLFQDEWVISRVFQKSSGGAKKAPMSAASMVLYQEPSSPSSVSLPPLLDTTNATGSGTATGASLTDRDSCSYDSHNQSEHVSCFSTIAATSSATLPGYHSGFDLALPTPPQMNNSFDSIARYTRNVGVPVFPSLRSLEENLQLPFYFSEPTLAGAAPPLDGGSSANWGAVSEEGNSGSVADGKMSNIGPTELDCMWTY >KJB82356 pep chromosome:Graimondii2_0_v6:13:49287073:49289821:1 gene:B456_013G192000 transcript:KJB82356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILGKANLFAACDYSQKKSQKGGGAFYSKRISGFCFKKSRFTSLKMKSMALRCDFKGQRVVYFEKKTVNKRRFYQVPIKAQNQMLSGLIGRTQNWWEKELPPNMKEVASAQDLVDSLLNAGDKLVIVDFFSPNCGGCRALFPKICQLAKMNPDLQFLQVNYEEHKSMCYTLNVHVLPFFRFYRGAQGRLCQFSCTNATIHKFKDALARQTADRCSLGPTKGLDEKELLALAANKELSFNYKPKPVRLDPNPVPAVEDILVKKTSVNRKDSEEKTLVGARGRC >KJB79608 pep chromosome:Graimondii2_0_v6:13:5913187:5913627:-1 gene:B456_013G058100 transcript:KJB79608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNCLALAFLIALSFASIDVGVAARHLLQQPQPQSLPSFPNLPTPSRPSFSWPGPLPPLPTTLPTGLPPLPSIPSVPTIPTAVPPIPFSFPPLPSFPNLPNPGALPPLPATLPRGLPPLPNIPSIPTAVPSIAFFSPPPSRSTP >KJB79575 pep chromosome:Graimondii2_0_v6:13:5402157:5408936:-1 gene:B456_013G055200 transcript:KJB79575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTFFSIPIKRTMPDPTHSANGPSKRSKPPSTTLYIPPGQVAFRLLCHVSRVGGIIGKSGSVIKQLQQATGTKIRIEDAPAECPDRVITIIGPNAVNTKVVLDLGGDGEGGSRVEEIEVSKAQEALVKVFERILEVAAESNGATLGMVSCRLLAEVKQAGGVIGKGGKVVEKIREETGTKIRVLTDNLPACASLKEEIVEIEGAVLAVKKALVAVSHRLQDCPSVSKTRAIENRSIEPILTETLHRPIDLLPRESLRRAIEVYPQETSHKHIEVVPQDPFRRPIDVIPQESFHRHIEAGSHEALPDLHVDHLSQRSSMVPSIPSDSTSYATKIHPLSLKPEKAPPLDTRTFQQEVVFKILCFSDRVGCVIGKGGATIKALQSDTGATVTIGAAITDCDERLVTVTASENPESQYSPAQKAVVLVFVKVFEASIEKGLDSGAGKGSNVTARLVVPSTQVGCLLGKGGAVISEMRKATSTSIRILGKDQVPKCVSENDQVVQISGGYSNVKDAIYHVTGTLRDNHFSTSMRTVGAKSSSSVLTETSPYERFLDNSPLELQASSGVSHSLGWHTSLAMSNTDSFGLSYSLDRPRSPGLWTSEMATGLNPRSIIDSGRGLTSFRGGLELGSGNKSAVVTNTTVEIRVPENVIDSVYGENGRNLARLREISGAKVIVHEPQIGTSDRIVVISGTPDQTQAAQSLLQAFILTGPTR >KJB79576 pep chromosome:Graimondii2_0_v6:13:5403597:5408936:-1 gene:B456_013G055200 transcript:KJB79576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTFFSIPIKRTMPDPTHSANGPSKRSKPPSTTLYIPPGQVAFRLLCHVSRVGGIIGKSGSVIKQLQQATGTKIRIEDAPAECPDRVITIIGPNAVNTKVVLDLGGDGEGGSRVEEIEVSKAQEALVKVFERILEVAAESNGATLGMVSCRLLAEVKQAGGVIGKGGKVVEKIREETGTKIRVLTDNLPACASLKEEIVEIEGAVLAVKKALVAVSHRLQDCPSVSKTRAIENRSIEPILTETLHRPIDLLPRESLRRAIEVYPQETSHKHIEVVPQDPFRRPIDVIPQESFHRHIEAGSHEALPDLHVDHLSQRSSMVPSIPSDSTSYATKIHPLSLKPEKAPPLDTRTFQQEVVFKILCFSDRVGCVIGKGGATIKALQSDTGATVTIGAAITDCDERLVTVTASENPESQYSPAQKAVVLVFVKVFEASIEKGLDSGAGKGSNVTARLVVPSTQVGCLLGKGGAVISEMRKATSTSIRILGKDQVPKCVSENDQVVQISGGYSNVKDAIYHVTGTLRDNHFSTSMRTVGAKSSSSVLTETSPYERFLDNSPLELQASSGVSHSLGWHTSLAMSNTDSFGLSYSLDRPRSPGLWTSEMATGLNPRSIIDSGRGLTSFRGGLELGSGNKSAVVTNTTVEIRVPENVIDSVYGENGRNLARLREVTVFS >KJB79574 pep chromosome:Graimondii2_0_v6:13:5404127:5408785:-1 gene:B456_013G055200 transcript:KJB79574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTFFSIPIKRTMPDPTHSANGPSKRSKPPSTTLYIPPGQVAFRLLCHVSRVGGIIGKSGSVIKQLQQATGTKIRIEDAPAECPDRVITIIGPNAVNTKVVLDLGGDGEGGSRVEEIEVSKAQEALVKVFERILEVAAESNGATLGMVSCRLLAEVKQAGGVIGKGGKVVEKIREETGTKIRVLTDNLPACASLKEEIVEIEGAVLAVKKALVAVSHRLQDCPSVSKTRAIENRSIEPILTETLHRPIDLLPRESLRRAIEVYPQETSHKHIEVVPQDPFRRPIDVIPQESFHRHIEAGSHEALPDLHVDHLSQRSSMVPSIPSDSTSYATKIHPLSLKPEKAPPLDTRTFQQEVVFKILCFSDRVGCVIGKGGATIKALQSDTGATVTIGAAITDCDERLVTVTASENPESQYSPAQKAVVLVFVKVFEASIEKGLDSGAGKGSNVTARLVVPSTQVGCLLGKGGAVISEMRKATSTSIRILGKDQVPKCVSENDQVVQISGGYSNVKDAIYHVTGTLRDNHFSTSMRTVGAKSSSSVLTETSPYERFLDNSPLELQASSGVSHSLGWHTSLAMSNTDSFGLSYSLDRPRSPGLWTSELDFNCRWLLD >KJB80114 pep chromosome:Graimondii2_0_v6:13:11123809:11130078:-1 gene:B456_013G081300 transcript:KJB80114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVEAPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRSELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHAHVLLTPLETLCTVEETCVRDKAVESLCKIGSQMRENDLIEWFTPLVKRLAAGEWFTARVSACGLFHIAYPSAPETLKTELRSIYGQLCQDDMPMVRRSAASNLWKFAGTVESAHLKADIMQMFEDLTQDDQDTVRLLAVEGCAALGKLLEPQDCAARILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPIRTDLLPAYVRLLRDNEAEVRIAAAGKVTKFCQILSPELAIQHILPCVKELSLDSSQHVRAALASVVMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLANQLGVGFFDDKLGGLCMQWLQDKVYSIRDAAANNLKRLAEEFGPDWAMQHIIPQVLEIANDSHYLHRMTLLRAISLLGPVLGSEITCSKLLPVVVNASKDRVPNIKFNVAKVLQSLISVVDQSVVEKTIRPCLVELSEDPDVDVRYFAVQGLQSIDQVTMSS >KJB80116 pep chromosome:Graimondii2_0_v6:13:11123804:11130155:-1 gene:B456_013G081300 transcript:KJB80116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVEAPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRSELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHAHVLLTPLETLCTVEETCVRDKAVESLCKIGSQMRENDLIEWFTPLVKRLAAGEWFTARVSACGLFHIAYPSAPETLKTELRSIYGQLCQDDMPMVRRSAASNLWKFAGTVESAHLKADIMQMFEDLTQDDQDTVRLLAVEGCAALGKLLEPQDCAARILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPIRTDLLPAYVRLLRDNEAEVRIAAAGKVTKFCQILSPELAIQHILPCVKELSLDSSQHVRAALASVVMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLANQLGVGFFDDKLGGLCMQWLQDKVYSIRDAAANNLKRLAEEFGPDWAMQHIIPQVLEIANDSHYLHRMTLLRAISLLGPVLGSEITCSKLLPVVVNASKDRVPNIKFNVAKVLQSLISVVDQSVVEKTIRPCLVELSEDPDVDVRYFAVQGLQSIDQVTMSS >KJB80118 pep chromosome:Graimondii2_0_v6:13:11123809:11130357:-1 gene:B456_013G081300 transcript:KJB80118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVEAPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRSELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHAHVLLTPLETLCTVEETCVRDKAVESLCKIGSQMRENDLIEWFTPLVKRLAAGEWFTARVSACGLFHIAYPSAPETLKTELRSIYGQLCQDDMPMVRRSAASNLWKFAGTVESAHLKADIMQMFEDLTQDDQDTVRLLAVEGCAALGKLLEPQDCAARILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPIRTDLLPAYVRLLRDNEAEVRIAAAGKVTKFCQILSPELAIQHILPCVKVHTVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLANQLGVGFFDDKLGGLCMQWLQDKVYSIRDAAANNLKRLAEEFGPDWAMQHIIPQVLEIANDSHYLHRMTLLRAISLLGPVLGSEITCSKLLPVVVNASKDRVPNIKFNVAKVLQSLISVVDQSVVEKTIRPCLVELSEDPDVDVRYFAVQGLQSIDQVTMSS >KJB80117 pep chromosome:Graimondii2_0_v6:13:11123809:11130357:-1 gene:B456_013G081300 transcript:KJB80117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVEAPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRSELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHAHVLLTPLETLCTVEETCVRDKAVESLCKIGSQMRENDLIEWFTPLVKRLAAGEWFTARVSACGLFHIAYPSAPETLKTELRSIYGQLCQDDMPMVRRSAASNLWKFAGTVESAHLKADIMQMFEDLTQDDQDTVRLLAVEGCAALGKLLEPQDCAARILPVIVNFSQVYDKSWRVRYMVANQLYELCEAVGPEPIRTDLLPAYVRLLRDNEAEVRIAAAGKVTKFCQILSPELAIQHILPCVKELSLDSSQHVRAALASVVMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLANQLGVGFFDDKLGGLCMQWLQDKVYSIRDAAANNLKRLAEEFGPDWAMQHIIPQVLEIANDSHYLHRMTLLRAISLLGPVLGSEITCSKLLPVVVNASKDRVPNIKFNVAKVLQSLISVVDQSVVEKTIRPCLVELSEDPDVDVRYFAVQGLQSIDQVTMSS >KJB80115 pep chromosome:Graimondii2_0_v6:13:11123804:11130415:-1 gene:B456_013G081300 transcript:KJB80115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVEAPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRSELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHAHVLLTPLETLCTVEETCVRDKAVESLCKIGSQMRENDLIEWFTPLVKRLAAGEWFTARVSACGLFHIAYPSAPETLKTELRSIYGQLCQDDMPMVRRSAASNLWKFAGTVESAHLKADIMQMFEDLTQDDQDTVRLLAVEGCAALGKLLEPQDCAARILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPIRTDLLPAYVRLLRDNEAEVRIAAAGKVTKFCQILSPELAIQHILPCVKELSLDSSQHVRAALASVVMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLANQLGVGFFDDKLGGLCMQWLQDKVYSIRDAAANNLKRLAEEFGPDWAMQHIIPQVLEIANDSHYLHRMTLLRAISLLGPVLGSEITCSKLLPVVVNASKDRVPNIKFNVAKVLQSLISVVDQSVVEKTIRPCLVELSEDPDVDVRYFAVQGLQSIDQVTMSS >KJB83742 pep chromosome:Graimondii2_0_v6:13:57601316:57605566:1 gene:B456_013G261900 transcript:KJB83742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLCIYPCLSISLCFSLSHKDLNFLLKTHFGFSVFCLSLPSITLMASIIESGWLYLITHFSDFQLASMGSFLLHESVFFLSGLPFIYLERAGLLSKYKIQTKNNTPTAQEKCITRLLLYHFSVNLPLMIVSYPVFRCMGMRSSLPLPSWKVVLTQIIFYFILEDFVFYWGHRVLHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRKLKALKHNGVGVEDDSKQT >KJB83738 pep chromosome:Graimondii2_0_v6:13:57601316:57605530:1 gene:B456_013G261900 transcript:KJB83738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLCIYPCLSISLCFSLSHKDLNFLLKTHFGFSVFCLSLPSITLMASIIESGWLYLITHFSDFQLASMGSFLLHESVFFLSGLPFIYLERAGLLSKYKIQTKNNTPTAQEKCITRLLLYHFSVNLPLMIVSYPVFRCMGMRSSLPLPSWKVVLTQIIFYFILEDFVFYWGHRVLHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWLL >KJB83736 pep chromosome:Graimondii2_0_v6:13:57601316:57605530:1 gene:B456_013G261900 transcript:KJB83736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLLHESVFFLSGLPFIYLERAGLLSKYKIQTKNNTPTAQEKCITRLLLYHFSVNLPLMIVSYPVFRCMGMRSSLPLPSWKVVLTQIIFYFILEDFVFYWGHRVLHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRKLKALKHNGVGVEDDSKQT >KJB83740 pep chromosome:Graimondii2_0_v6:13:57601316:57605530:1 gene:B456_013G261900 transcript:KJB83740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLCIYPCLSISLCFSLSHKDLNFLLKTHFGFSVFCLSLPSITLMASIIESGWLYLITHFSDFQLASMGSFLLHESVFFLSGLPFIYLERAGLLSKYKIQTKNNTPTAQEKCITRLLLYHFSVNLPLMIVSYPVFRCMGMRSSLPLPSWYFQDFVFYWGHRVLHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRKLKALKHNGVGVEDDSKQT >KJB83741 pep chromosome:Graimondii2_0_v6:13:57601316:57605530:1 gene:B456_013G261900 transcript:KJB83741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLCIYPCLSISLCFSLSHKDLNFLLKTHFGFSVFCLSLPSITLMASIIESGWLYLITHFSDFQLASMGSFLLHESVFFLSGLPFIYLERAGLLSKYKIQTKNNTPTAQEKCITRLLLYHFSVNLPLMIVSYPVFRCMGMRSSLPLPSWKVVLTQIIFYFILEDFVFYWGHRVLHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDCTLVLHLCLMHVHEALDHYSL >KJB83739 pep chromosome:Graimondii2_0_v6:13:57601316:57605530:1 gene:B456_013G261900 transcript:KJB83739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLCIYPCLSISLCFSLSHKDLNFLLKTHFGFSVFCLSLPSITLMASIIESGWLYLITHFSDFQLASMGSFLLHESVFFLSGLPFIYLERAGLLSKYKIQTKNNTPTAQEKCITRLLLYHFSVNLPLMIVSYPVFRCMGMRSSLPLPSWKVVLTQIIFYFILEDFVFYWGHRVLHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWLL >KJB83735 pep chromosome:Graimondii2_0_v6:13:57601316:57605530:1 gene:B456_013G261900 transcript:KJB83735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKNNTPTAQEKCITRLLLYHFSVNLPLMIVSYPVFRCMGMRSSLPLPSWKVVLTQIIFYFILEDFVFYWGHRVLHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRKLKALKHNGVGVEDDSKQT >KJB83737 pep chromosome:Graimondii2_0_v6:13:57601340:57604315:1 gene:B456_013G261900 transcript:KJB83737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLCIYPCLSISLCFSLSHKDLNFLLKTHFGFSVFCLSLPSITLMASIIESGWLYLITHFSDFQLASMGSFLLHESVFFLSGLPFIYLERAGLLSKYKIQTKNNTPTAQEKCITRLLLYHFSVNLPLMIVSYPVFRCMGMRSSLPLPSWKVVLTQIIFYFILEDFVFYWGHRVLHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDW >KJB83948 pep chromosome:Graimondii2_0_v6:13:48345568:48349180:1 gene:B456_013G184500 transcript:KJB83948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRNSRVSRGQKSKNFQGEGPNWILIAGGALLSTLSVRLGYKLKQALDIKQQDNATASLKEFITGNGTSDRRRSSGCRLHSNMYAFTEEDDGCLNCMSGAENIEKHPPNGQILPESEVALPLVTVPTSDFSKDNGVMWASSPDRLELPPRPFHHSNCSDSPCVSESGSDIFSKREVIQKLRQHLKRRDDMILEMQDQIMELQNSLNAQVTHSTHLQSQLDAANRDLFDSQREIQRLRKAIADHCVGHGGTNKMTSIDTAWPSDKRNGHANGYLDGERNSDSPEKGRGDGERIEMLKREVGELKEVIEGKEYLLQSYKEQKLELSMKIKELHQRLDSQLPNIL >KJB83950 pep chromosome:Graimondii2_0_v6:13:48347200:48348756:1 gene:B456_013G184500 transcript:KJB83950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRNSRVSRGQKSKNFQGEGPNWILIAGGALLSTLSVRLGYKLKQALDIKQQDNATASLKGNGTSDRRRSSGCRLHSNMYAFTEEDDGCLNCMSGAENIEKHPPNGQILPESEVALPLVTVPTSDFSKDNGVMWASSPDRLELPPRPFHHSNCSDSPCVSESGSDIFSKREVIQKLRQHLKRRDDMILEMQDQIMELQNSLNAQVTHSTHLQSQLDAANRDLFDSQREIQRLRKAIADHCVGHGGTNKMTSIDTAWPSDKRNGHANGYLDGERNSDSPEKGRGDGERIEMLKREVGELKEVIEGKEYLLQSYKEQKLELSMKIKELHQRLDSQLPNIL >KJB83947 pep chromosome:Graimondii2_0_v6:13:48345661:48349180:1 gene:B456_013G184500 transcript:KJB83947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRNSRVSRGQKSKNFQGEGPNWILIAGGALLSTLSVRLGYKLKQALDIKQQDNATASLKGNGTSDRRRSSGCRLHSNMYAFTEEDDGCLNCMSGAENIEKHPPNGQILPESEVALPLVTVPTSDFSKDNGVMWASSPDRLELPPRPFHHSNCSDSPCVSESGSDIFSKREVIQKLRQHLKRRDDMILEMQDQIMELQNSLNAQVTHSTHLQSQLDAANRDLFDSQREIQRLRKAIADHCVGHGGTNKMTSIDTAWPSDKRNGHANGYLDGERNSDSPEKGRGDGERIEMLKREVGELKEVIEGKEYLLQSYKEQKLELSMKIKELHQRLDSQLPNIL >KJB83949 pep chromosome:Graimondii2_0_v6:13:48345499:48349254:1 gene:B456_013G184500 transcript:KJB83949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVSRGQKSKNFQGEGPNWILIAGGALLSTLSVRLGYKLKQALDIKQQDNATASLKGNGTSDRRRSSGCRLHSNMYAFTEEDDGCLNCMSGAENIEKHPPNGQILPESEVALPLVTVPTSDFSKDNGVMWASSPDRLELPPRPFHHSNCSDSPCVSESGSDIFSKREVIQKLRQHLKRRDDMILEMQDQIMELQNSLNAQVTHSTHLQSQLDAANRDLFDSQREIQRLRKAIADHCVGHGGTNKMTSIDTAWPSDKRNGHANGYLDGERNSDSPEKGRGDGERIEMLKREVGELKEVIEGKEYLLQSYKEQKLELSMKIKELHQRLDSQLPNIL >KJB80757 pep chromosome:Graimondii2_0_v6:13:28056269:28061963:-1 gene:B456_013G114300 transcript:KJB80757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQRLEFGVRVRKLMVISVKICYRSVWNHPFFFGLVCFLMLLYTSFPLLFSALVTASPVLVCTAVLLGTLLSFGSPYIPEINEKEEEEKASHDVPELKTRATEDNTVVERNVGGDDFVEERHVGERWGIVKNAEEKVNLVYNEVGEVEEDDGSVRYKLLVDDDLDSRDIHCENGVIDEVEGSLNDSLVEKKREIQEEILGSEGLLSMVKALDDHHVLTDTVGDRNLEVEDCKLREDLSDEQRDDEFDSLVFSWKHAVDNEDDGDDESLDFGSDGADSSSPDASMADMIPMLDELDPLLGSGAPQPAQLSDNGSDAISERSHDSNNDESVESDELENQREEDDDDDDEKDAGDGAKEDKEDESKSAIKWTEDDQKNLMDLGTSELERNQRLDNLIARRRARKTMRLMIEKNLIDVNSANIPLNITPISTTRHNPFEFPYDSYDDLGLPPIPGSAPTILQPRRNPFDLPYDSSEEKPDLKGDSFQEEFAGVNQRETVPQREAFLRRHESFNVGPSSLGFPQQELKWKPYFVPEHLVTEGSSSSSFHRQSSEVSESKLSSVPDTESVSSVVDEEDKSYEQDISQETELVTNEDHVSFHDEQESISSGDVELADVDQVENRGVHLAVVGITFRDGESQLETESDFPEAGATAYVQFNTTETESNLTEAGATTLLELNPSDIYPRTGPVDEDFSSRSSLSSLSEIDEKISDFTGVEAAGFEPRGHEIKESSISIQPSFEESEFHFTTRVVDDNQHSEPVYDSSPSVEKILSFSSASPEAQAETSEMGAPSMFVESIDKEPEGIGETREQGTSSFQEMHAASLDLFENEPRVRDLPEISEHDGTEAGSSGVSSTFSDHNVSPVPESVVEYVSIDAGSSSSDRGLEDSFSKKEDSFIHQEDLLSLGAEMNLAAEQGMGKILDCLPEEQQHLMNPVEFSEAAPGDWHAVVKEDTLLERDEIHSSSSSEHDLVAGGVWPKEEIIQTECCQMHLSNLDASLDVEAHHDKGEELSSTTLTLQAMPYNDESSSTEDEYYHITVAQVNPSKANLREVLKTDSEMGQVQPIYSDSKIDCDVDHDMNLEGIPFNSSYQALPSRENPPALEKQLMLSNSMDEPSIDEHDKLEEPSIIAMESTLELDFGNNDVDLLKIPGSEDKLSANYTCMTSESTFPAESPEHSLPTDRDLKERILNEMESEGSPQDLSEHFNHAAEIYEEDKEIKEINEKILSELDAIGDFNLRKTDLPEGSHTAYTESPVLPEDTKTKANVDQPVLEARSVEDIDLAFKQLYEGIDVEEVIHPPSMIENPQDQADTNPKLPVGETFEMDHLKYSRMMWFPLKRFKLVM >KJB80755 pep chromosome:Graimondii2_0_v6:13:28056879:28061582:-1 gene:B456_013G114300 transcript:KJB80755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQRLEFGVRVRKLMVISVKICYRSVWNHPFFFGLVCFLMLLYTSFPLLFSALVTASPVLVCTAVLLGTLLSFGSPYIPEINEKEEEEKASHDVPELKTRATEDNTVVERNVGGDDFVEERHVGERWGIVKNAEEKVNLVYNEVGEVEEDDGSVRYKLLVDDDLDSRDIHCENGVIDEVEGSLNDSLVEKKREIQEEILGSEGLLSMVKALDDHHVLTDTVGDRNLEVEDCKLREDLSDEQRDDEFDSLVFSWKHAVDNEDDGDDESLDFGSDGADSSSPDASMADMIPMLDELDPLLGSGAPQPAQLSDNGSDAISERSHDSNNDESVESDELENQREEDDDDDDEKDAGDGAKEDKEDESKSAIKWTEDDQKNLMDLGTSELERNQRLDNLIARRRARKTMRLMIEKNLIDVNSANIPLNITPISTTRHNPFEFPYDSYDDLGLPPIPGSAPTILQPRRNPFDLPYDSSEEKPDLKGDSFQEEFAGVNQRETVPQREAFLRRHESFNVGPSSLGFPQQELKWKPYFVPEHLVTEGSSSSSFHRQSSEVSESKLSSVPDTESVSSVVDEEDKSYEQDISQETELVTNEDHVSFHDEQESISSGDVELADVDQVENRGVHLAVVGITFRDGESQLETESDFPEAGATAYVQFNTTETESNLTEAGATTLLELNPSDIYPRTGPVDEDFSSRSSLSSLSEIDEKISDFTGVEAAGFEPRGHEIKESSISIQPSFEESEFHFTTRVVDDNQHSEPVYDSSPSVEKILSFSSASPEAQAETSEMGAPSMFVESIDKEPEGIGETREQGTSSFQEMHAASLDLFENEPRVRDLPEISEHDGTEAGSSGVSSTFSDHNVSPVPESVVEYVSIDAGSSSSDRGLEDSFSKKEDSFIHQEDLLSLGAEMNLAAEQGMGKILDCLPEEQQHLMNPVEFSEAAPGDWHAVVKEDTLLERDEIHSSSSSEHDLVAGGVWPKEEIIQTECCQMHLSNLDASLDVEAHHDKGEELSSTTLTLQAMPYNDESSSTEDEYYHITVAQVNPSKANLREVLKTDSEMGQVQPIYSDSKIDCDVDHDMNLEGIPFNSSYQALPSRENPPALEKQLMLSNSMDEPSIDEHDKLEEPSIIAMESTLELDFGNNDVDLLKIPGSEDKLSANYTCMTSESTFPAESPEHSLPTDRDLKERILNEMESEGSPQDLSEHFNHAAEIYEEDKEIKEINEKILSELDAIGDFNLRKTDLPEGSHTAYTESPVLPEDTKTKANVDQPVLEARSVEDIDLAFKQLYEGIDVEEVIHPPSMIENPQDQADTNPKLPVGEVRSLEDICKAFQQSPESNSAELPYSSDI >KJB80756 pep chromosome:Graimondii2_0_v6:13:28056676:28061595:-1 gene:B456_013G114300 transcript:KJB80756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQRLEFGVRVRKLMVISVKICYRSVWNHPFFFGLVCFLMLLYTSFPLLFSALVTASPVLVCTAVLLGTLLSFGSPYIPEINEKEEEEKASHDVPELKTRATEDNTVVERNVGGDDFVEERHVGERWGIVKNAEEKVNLVYNEVGEVEEDDGSVRYKLLVDDDLDSRDIHCENGVIDEVEGSLNDSLVEKKREIQEEILGSEGLLSMVKALDDHHVLTDTVGDRNLEVEDCKLREDLSDEQRDDEFDSLVFSWKHAVDNEDDGDDESLDFGSDGADSSSPDASMADMIPMLDELDPLLGSGAPQPAQLSDNGSDAISERSHDSNNDESVESDELENQREEDDDDDDEKDAGDGAKEDKEDESKSAIKWTEDDQKNLMDLGTSELERNQRLDNLIARRRARKTMRLMIEKNLIDVNSANIPLNITPISTTRHNPFEFPYDSYDDLGLPPIPGSAPTILQPRRNPFDLPYDSSEEKPDLKGDSFQEEFAGVNQRETVPQREAFLRRHESFNVGPSSLGFPQQELKWKPYFVPEHLVTEGSSSSSFHRQSSEVSESKLSSVPDTESVSSVVDEEDKSYEQDISQETELVTNEDHVSFHDEQESISSGDVELADVDQVENRGVHLAVVGITFRDGESQLETESDFPEAGATAYVQFNTTETESNLTEAGATTLLELNPSDIYPRTGPVDEDFSSRSSLSSLSEIDEKISDFTGVEAAGFEPRGHEIKESSISIQPSFEESEFHFTTRVVDDNQHSEPVYDSSPSVEKILSFSSASPEAQAETSEMGAPSMFVESIDKEPEGIGETREQGTSSFQEMHAASLDLFENEPRVRDLPEISEHDGTEAGSSGVSSTFSDHNVSPVPESVVEYVSIDAGSSSSDRGLEDSFSKKEDSFIHQEDLLSLGAEMNLAAEQGMGKILDCLPEEQQHLMNPVEFSEAAPGDWHAVVKEDTLLERDEIHSSSSSEHDLVAGGVWPKEEIIQTECCQMHLSNLDASLDVEAHHDKGEELSSTTLTLQAMPYNDESSSTEDEYYHITVAQVNPSKANLREVLKTDSEMGQVQPIYSDSKIDCDVDHDMNLEGIPFNSSYQALPSRENPPALEKQLMLSNSMDEPSIDEHDKLEEPSIIAMESTLELDFGNNDVDLLKIPGSEDKLSANYTCMTSESTFPAESPEHSLPTDRDLKERILNEMESEGSPQDLSEHFNHAAEIYEEDKEIKEINEKILSELDAIGDFNLRKTDLPEGSHTAYTESPVLPEDTKTKANVDQPVLEARSVEDIDLAFKQLYEGIDVEEVIHPPSMIENPQDQADTNPKLPVGEVRSLEDICKAFQQSPESNSAELPYSSDI >KJB83271 pep chromosome:Graimondii2_0_v6:13:55631124:55634382:-1 gene:B456_013G238700 transcript:KJB83271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTATIPVIEFSGEDIKNGRSSSSPDQRWKALCSKVREACESHGCFLLMRYDKIPTSLCEDMLVGIKSLFDLPEETKTKYQNPKPYRSYQGKCPVVPLHESFGIDDATRLEAARDFTHLMWPQGNPAFCEILNMMSSKMLELSFMILEMIFESFDIEEKKYEALVRDSVSFLRVMKYKVPTSEDQNLGLVAHTDKNAITILCQNEVQGLEIVTKEGHWEQVVVPKDALVVIVGDALKAWSNGRLVAVKHRVVMKGEKERYSFGLFTVPKEGAMIEAARELVDNEHPLLYRPFKFADYFSYFVSNLSDDALEIYAGV >KJB83272 pep chromosome:Graimondii2_0_v6:13:55632610:55634382:-1 gene:B456_013G238700 transcript:KJB83272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTATIPVIEFSGEDIKNGRSSSSPDQRWKALCSKVREACESHGCFLLMRYDKIPTSLCEDMLVGIKSLFDLPEETKTKYQNPKPYRSYQGKCPVVPLHESFGIDDATRLEAARDFTHLMWPQGNPAFCEILNMMSSKMLELSFMILEMIFESFDIEEKKYEALVRDSVSFLRVMKYKVPTSEDQNLGLVAHTDKNAITILCQNEVQGLEIVTKEGHWEQVVVPKDALVVIVGDALKVSIY >KJB79282 pep chromosome:Graimondii2_0_v6:13:3550077:3550812:1 gene:B456_013G042000 transcript:KJB79282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAFAYRLILVVAVASVLGISLANKDWGSPNSNYTGWGWGWGWGSSKSNHTGQGFNNHPVNETQGPKKIIVGGSENWHFGFNYSDWAFNNAPFYFNDTLVFKYDPPSNTTFPHSVYLFPDRWSYLNCDLKRAKMVANATQGGGDGFEFVLKRWTPYYFACGERNGFHCKVGSMRFMVMPLFRWHY >KJB82489 pep chromosome:Graimondii2_0_v6:13:52061439:52064084:1 gene:B456_013G209000 transcript:KJB82489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPAAVTIHVTGFKKFHGVSENPTETIVSNLKEYTEKKGLPKGVILGSCSVLETAGQGALPQLYQALQSALTGKDSESSCSGRIIWLHFGVNSGASRFAVERQAANEATFRCPDEMGWKPQKVPIIPADGGISRVRETSLPVEEITKALAKKGFEVMTSDDAGRFVCNYVYYHSLRFAEQNGIKSLFVHVPLFLTIDEETQMKFAASLLEVLASLCQ >KJB82490 pep chromosome:Graimondii2_0_v6:13:52061597:52064084:1 gene:B456_013G209000 transcript:KJB82490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPAAVTIHVTGFKKFHGVSENPTETIVSNLKEYTEKKGLPKGVILGSCSVLETAGQGALPQLYQALQSALTGKDSESSCSGRIIWLHFGVNSGASRFAVERQAANEATFRCPDEMGWKPQKVPIIPADGGISRVRETSLPVEEITKALAKKGFEVMTSDDAGRFVCNYVYYHSLRFAEQNGIKSLFVHVPLFLTIDEETQMKFAASLLEVLASLCQ >KJB82486 pep chromosome:Graimondii2_0_v6:13:52061439:52064084:1 gene:B456_013G209000 transcript:KJB82486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPAAVTIHVTGFKKFHGVSENPTETIVSNLKEYTEKKGLPKGVILGSCSVLETAGQGALPQLYQALQSALTGKDSESSCSGRIIWLHFGVNSGASRFAVERQAANEATFRCPDEMGWKPQKVPIIPADGGISRTSLPVEEITKALAKKGFEVMTSDDAGRFVCNYVYYHSLRFAEQNGIKSLFVHVPLFLTIDEETQMKFAASLLEVLASLCQ >KJB82488 pep chromosome:Graimondii2_0_v6:13:52061835:52064084:1 gene:B456_013G209000 transcript:KJB82488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPAAVTIHVTGFKKFHGVSENPTETIVSNLKEYTEKKGLPKGVILGSCSVLETAGQGALPQLYQALQSALTGKDSESSCSGRIIWLHFGVNSGASRFAVERQAANEATFRCPDEMGWKPQKVPIIPADGGISRVRETSLPVEEITKALAKKGFEVMTSDDAGRFVCNYVYYHSLRFAEQNGIKSLFVHVPLFLTIDEETQMKFAASLLEVLASLCQ >KJB82484 pep chromosome:Graimondii2_0_v6:13:52061334:52064134:1 gene:B456_013G209000 transcript:KJB82484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPAAVTIHVTGFKKFHGVSENPTETIVSNLKEYTEKKGLPKGVILGSCSVLETAGQGALPQLYQALQSALTGKDSESSCSGRIIWLHFGVNSGASRFAVERQAANEATFRCPDEMGWKPQKVPIIPADGGISRVRETSLPVEEITKALAKKGFEVMTSDDAGRFVCNYVYYHSLRFAEQNGIKSLFVHVPLFLTIDEETQMKFAASLLEVLASLCQ >KJB82487 pep chromosome:Graimondii2_0_v6:13:52061439:52064084:1 gene:B456_013G209000 transcript:KJB82487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPAAVTIHVTGFKKFHGVSENPTETIVSNLKEYTEKKGLPKGVILGSCSVLETAGQGALPQLYQALQSALTGKDSESSCSGRIIWLHFGVNSGASRFAVERQAANEATFRCPDEMGWKPQMVEFHV >KJB82485 pep chromosome:Graimondii2_0_v6:13:52062352:52063542:1 gene:B456_013G209000 transcript:KJB82485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPAAVTIHVTGFKKFHGVSENPTETIVSNLKEYTEKKGLPKGVILGSCSVLETAGQGALPQLYQALQSALTGKDSESSCSGRIIWLHFGVNSGASRFAVERQAANEATFRCPDEMGWKPQKVPIIPADGGISRVREVVLFSLRYLLLLSTVKITPIIYSFISYLHC >KJB82011 pep chromosome:Graimondii2_0_v6:13:45873343:45877116:1 gene:B456_013G172200 transcript:KJB82011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKGKPTGHRHFSTPEELRAGTSARPRTFRKEEAEVEEEEEREESEEEIEEEPEKRKGTQGLIEIENPNLAKQKNVKAKDVDMGKTTELSRREREEIEKQKAHERYMKLQEQGKTEQSRKDLERLAMIRQQRAEAAKKREEEKAAKEQKKVEARK >KJB79378 pep chromosome:Graimondii2_0_v6:13:4111615:4113861:-1 gene:B456_013G046500 transcript:KJB79378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHNKLLLLFCILSGSAVVYSDGNARIFDITHKITSQLPTFDSQKGLGHFIWLVSSIKNGSMANISEFKLGTHTGTHVDAPSHFFQKYYEEGFDVSTLSLQTLTGKLSVLFFCPLAWCFHCWKFGPVLVVDVPRNKNITAEVMKSLNIPRGVHRVLFKTLNTDRRLMHTREFASDFTGFKKDGAQWLVDNTDIKLVGIDYLSISAYVDAAPTHHILLKSREIVIVEGLNLDGIKPGKYTVHCLPLRMVGADGCPTRCILTA >KJB79379 pep chromosome:Graimondii2_0_v6:13:4111615:4113934:-1 gene:B456_013G046500 transcript:KJB79379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHNKLLLLFCILSGSAVVYSDGNARIFDITHKITSQLPTFDSQKGLGHFIWLVSSIKNGSMANISEFKLGTHTGTHVDAPSHFFQKYYEEGFDVSTLSLQTLTGPVLVVDVPRNKNITAEVMKSLNIPRGVHRVLFKTLNTDRRLMHTREFASDFTGFKKDGAQWLVDNTDIKLVGIDYLSISAYVDAAPTHHILLKSREIVIVEGLNLDGIKPGKYTVHCLPLRMVGADGCPTRCILTA >KJB83339 pep chromosome:Graimondii2_0_v6:13:56323016:56324371:1 gene:B456_013G244000 transcript:KJB83339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDTYVKTLASVVGSEEEARKRIYSVCTTRYTGFRALFSKDLVKKLGELPRVRWVLSDDFIYGQDSYYGGDLFVDGNVIHRPPQHGVGESADYDLWVIKFESPRNINFYVKFLSCLVGSEEEAKRRIYADGGRLTRYTGFCAVMSKEMAYELEGFPLVKRVDRVSEAENDAYLETKELLSWEFLDAGPTFKEFLYVGPTFEKLLAREERRLLRLLDLVMHQILNDIYTRKMDETETEG >KJB83340 pep chromosome:Graimondii2_0_v6:13:56322552:56324582:1 gene:B456_013G244000 transcript:KJB83340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QREPALPEHGLPQCPTIRSLSTLLSRALTSSSSSSVPSRSRLTVALLNKTPIFIPDATRILTRTKTYGSGYLPFRDPSRLPAVVLYGRGDEHWLIILEFPERPKPLEEEMIDTYVKTLASVVGSEEEARKRIYSVCTTRYTGFRALFSKDLVKKLGELPRVRWVLSDDFIYGQDSYYGGDLFVDGNVIHRPPQHGVGESADYDLWVIKFESPRNINFYVKFLSCLVGSEEEAKRRIYADGGRLTRYTGFCAVMSKEMAYELEEAENDAYLETKELLSWEFLDAGPTFKEFLYVGPTFEKLLAREERRLLRLLDLVMHQILNDIYTRKMDETETEG >KJB83240 pep chromosome:Graimondii2_0_v6:13:56296428:56298574:-1 gene:B456_013G2438002 transcript:KJB83240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CVPLHNFDYIYNYLMHANMSFVDCFLDPGPHGNGRYSEHMLPEVEKKDFRKGAQWFSMRRQHALIVMADSLYYSRFRDYCKPGFDGKNCIADEHYLPTFFNMIDPGGIANWSVTHVDWSERKWHPKSYKAQDVTEDLLNNITSIDLSIHVTSEAKVYISSTFSYFNNTVKL >KJB83243 pep chromosome:Graimondii2_0_v6:13:56296536:56298575:-1 gene:B456_013G2438002 transcript:KJB83243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CVPLHNFDYIYNYLMHANMSFVDCFLDPGPHGNGRYSEHMLPEVEKKDFRKGAQWFSMRRQHALIVMADSLYYSRFRDYCKPGFDGKNCIADEHYLPTFFNMIDPGGIANWSVTHVDWSERKWHPKSYKAQDVTEDLLNNITVTRLLLYF >KJB83241 pep chromosome:Graimondii2_0_v6:13:56296263:56298574:-1 gene:B456_013G2438002 transcript:KJB83241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CVPLHNFDYIYNYLMHANMSFVDCFLDPGPHGNGRYSEHMLPEVEKKDFRKGAQWFSMRRQHALIVMADSLYYSRFRDYCKPGFDGKNCIADEHYLPTFFNMIDPGGIANWSVTHVDWSERKWHPKSYKAQDVTEDLLNNITSIDLSIHVTSEAKSERLVQPCLWNGIKRPCYLFARKFYPETVDKLMTLLNF >KJB83242 pep chromosome:Graimondii2_0_v6:13:56295851:56298575:-1 gene:B456_013G2438002 transcript:KJB83242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CVPLHNFDYIYNYLMHANMSFVDCFLDPGPHGNGRYSEHMLPEVEKKDFRKGAQWFSMRRQHALIVMADSLYYSRFRDYCKPGFDGKNCIADEHYLPTFFNMIDPGGIANWSVTHVDWSERKWHPKSYKAQDVTEDLLNNITSIDLSIHVTSEAKSERLVQPCLWNGIKRPCYLFARKFYPETVDKLMTLLNF >KJB81734 pep chromosome:Graimondii2_0_v6:13:43630948:43632708:1 gene:B456_013G159600 transcript:KJB81734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDWFPGLAFRCGEALAFWVFILMRDLRIGFLIKLFKRSLFAAFICIFALGGAVVGTVVGAMKGQTTETGFFRGAGIGAVAGAITAVQLLESLADGESLSKVALLVSLVNGKVFIEWVSPAVLKAYQWQMNSLDSTYREISDIYDVNGGKGLSRSCIQKLPMQEFHSIERIKSREESYCSICIQGLKDGEMARNLPRCGHIFHLKCIDEWLSRQGTCPMCREHVLDGDGEEV >KJB81735 pep chromosome:Graimondii2_0_v6:13:43631380:43632617:1 gene:B456_013G159600 transcript:KJB81735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQTTETGFFRGAGIGAVAGAITAVQLLESLADGESLSKVALLVSLVNGKVFIEWVSPAVLKAYQWQMNSLDSTYREISDIYDVNGGKGLSRSCIQKLPMQEFHSIERIKSREESYCSICIQGLKDGEMARNLPRCGHIFHLKCIDEWLSRQGTCPMCREHVLDGDGEEV >KJB81737 pep chromosome:Graimondii2_0_v6:13:43630987:43632617:1 gene:B456_013G159600 transcript:KJB81737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDWFPGLAFRCGEALAFWVFILMRDLRIGFLIKLFKRSLFAAFICIFALGGAVVGTVVGAMKGQTTETGFFRGAGIGAVAGAITAVQLLESLADGESLSKVALLVSLVNGKVFIEWMNSLDSTYREISDIYDVNGGKGLSRSCIQKLPMQEFHSIERIKSREESYCSICIQGLKDGEMARNLPRCGHIFHLKCIDEWLSRQGTCPMCREHVLDGDGEEV >KJB81738 pep chromosome:Graimondii2_0_v6:13:43631602:43632444:1 gene:B456_013G159600 transcript:KJB81738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQTTETGFFRGAGIGAVAGAITAVQLLESLADGESLSKVALLVSLVNGKVFIEWVSPAVLKAYQWQMNSLDSTYREISDIYDVNGGKGLSRSCIQKLPMQEFHSIERIKSREESYCSICIQGLKDGEMARNLPRCGHIFHLKCIDEWLSRQGTCPMCREHVLDGDGEEV >KJB81736 pep chromosome:Graimondii2_0_v6:13:43630987:43632617:1 gene:B456_013G159600 transcript:KJB81736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDWFPGLAFRCGEALAFWVFILMRDLRIGFLIKLFKRSLFAAFICIFALGGAVVGTVVGAMKGQTTETGFFRGAGIGAVAGAITAVQLLESLADGESLSKVALLVSLVNGKVFIEWVSPAVLKAYQWQMNSLDSTYREISDIYDVNGGKGLSRSCIQKLPMQEFHSIERIKSREESYCSICIQVNQISISAILFLFISICVAVK >KJB79346 pep chromosome:Graimondii2_0_v6:13:3923872:3925223:1 gene:B456_013G044900 transcript:KJB79346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYSILQNGVRGLMLDVYDFLNDVWLCHSFGGQCFNYTAFQPAINVLKEVRVFLEANPLEIVTIIIEDYVTSPRGLSKVFDAAGLRKFWFPVSRMPKNGENWPTVDDMVQKNQRLVVFTSKSAKEASEGIAYQWRYMVENQYGDGGMVAGSCPNRAESPVMNTKSRSLVLVNYFPDRPDITQACKHNSAPLISMAKTCYTGAGNRWPNFIAVDFYKRSDSGGAPEAVDVVNGNLVCGCGNIAKCKANMTFGVCDIPEAGVVPEQGSVAHKSSFAYLDSRPISSWLLFGIILATILLQM >KJB79345 pep chromosome:Graimondii2_0_v6:13:3921969:3925384:1 gene:B456_013G044900 transcript:KJB79345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSAILTIASLCFGFCSSLREGQTCIADRYCDSGLHCETCIANGNVRPRCTRIQPLNPISKVKGLPFNRYSWLTTHNSFARLGERSATGSLILAPTNQQDSITSQLNNGVRGLMLDVYDFLNDVWLCHSFGGQCFNYTAFQPAINVLKEVRVFLEANPLEIVTIIIEDYVTSPRGLSKVFDAAGLRKFWFPVSRMPKNGENWPTVDDMVQKNQRLVVFTSKSAKEASEGIAYQWRYMVENQYGDGGMVAGSCPNRAESPVMNTKSRSLVLVNYFPDRPDITQACKHNSAPLISMAKTCYTGAGNRWPNFIAVDFYKRSDSGGAPEAVDVVNGNLVCGCGNIAKCKANMTFGVCDIPEAGVVPEQGSVAHKSSFAYLDSRPISSWLLFGIILATILLQM >KJB79604 pep chromosome:Graimondii2_0_v6:13:5678920:5683592:1 gene:B456_013G057100 transcript:KJB79604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLQISEWMVSHEEYKLSDTDYAIRIDLMTKVFGIDAAERYFEDLPLTAKTSETYTALLHSYAAAKLTEKAEELYERIKGSKLSFSALLYNEIMTLYMSVGQVEKVSSVVEELKRQKVEPDIFTYNLWISSCAAALNIDQVQRILNEMRCDSGCNDDWQRYINLVNIYVTASRLTSADSISPIEIEKGITQREWITYDFLVMLYAGLGDKDKIDQIWKSLRMTKQKMTSRNYICILSSYLILGHMKEVGEVFNQWKQSTTTEFDISACNSVLSAFEDAGLMEKANDLHMLLISGNCSPTNK >KJB79603 pep chromosome:Graimondii2_0_v6:13:5678917:5683592:1 gene:B456_013G057100 transcript:KJB79603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSLFLSLRRRSSQRCMSRLLSSGSVSTEYTDDYINNSETDDLRSRIFRLRLPKRSATSVIEKWVGEGNRISISDLRQISKDLRKSQRFKHALEISEWMVSHEEYKLSDTDYAIRIDLMTKVFGIDAAERYFEDLPLTAKTSETYTALLHSYAAAKLTEKAEELYERIKGSKLSFSALLYNEIMTLYMSVGQVEKVSSVVEELKRQKVEPDIFTYNLWISSCAAALNIDQVQRILNEMRCDSGCNDDWQRYINLVNIYVTASRLTSADSISPIEIEKGITQREWITYDFLVMLYAGLGDKDKIDQIWKSLRMTKQKMTSRNYICILSSYLILGHMKEVGEVFNQWKQSTTTEFDISACNSVLSAFEDAGLMEKANDLHMLLISGNCSPTNK >KJB79962 pep chromosome:Graimondii2_0_v6:13:9010723:9012562:1 gene:B456_013G074900 transcript:KJB79962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNSIACRKRIVKSQSFPDFSPTTRLSHKTSSNLLKLNPKNRRNWVIQSVTEDKELAPKKNSHSHEPKKLLFPNGSKTLEASSSEVGGGHGDGGDGHDDVEKLSSRAINASIVLGFGTLAVSKLLTIDHDYWHGWTLYEVLRYVPQHNWIAYEQALKANPVIAKMAISGIVYSIGDWIAQCYEGKPLFDFDRTRMFRSGLVGFTLHGSLSHYYYQFCEALFPSDDWWVVPAKVIFDQTVWAAIWNSIYYVVLGFLRFESSANIYKELKATFWPMLTVRSFSSVSFDAYFGCQWLWYALQTM >KJB79964 pep chromosome:Graimondii2_0_v6:13:9010723:9013302:1 gene:B456_013G074900 transcript:KJB79964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNSIACRKRIVKSQSFPDFSPTTRLSHKTSSNLLKLNPKNRRNWVIQSVTEDKELAPKKNSHSHEPKKLLFPNGSKTLEASSSEVGGGHGDGGDGHDDVEKLSSRAINASIVLGFGTLAVSKLLTIDHDYWHGWTLYEVLRYVPQHNWIAYEQALKANPVIAKMAISGIVYSIGDWIAQCYEGKPLFDFDRTRMFRSGLVGFTLHGSLSHYYYQFCEALFPSDDWWVVPAKVIFDQTVWAAIWNSIYYVVLGFLRFESSANIYKELKATFWPMLTAGWKLWPFAHVITYGVIPIEQRLLWVDCVELIWVTILSTYVSLPSCLICEDICHCSCSTFFVYHLVATTI >KJB79961 pep chromosome:Graimondii2_0_v6:13:9010678:9014258:1 gene:B456_013G074900 transcript:KJB79961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNSIACRKRIVKSQSFPDFSPTTRLSHKTSSNLLKLNPKNRRNWVIQSVTEDKELAPKKNSHSHEPKKLLFPNGSKTLEASSSEVGGGHGDGGDGHDDVEKLSSRAINASIVLGFGTLAVSKLLTIDHDYWHGWTLYEVLRYVPQHNWIAYEQALKANPVIAKMAISGIVYSIGDWIAQCYEGKPLFDFDRTRMFRSGLVGFTLHGSLSHYYYQFCEALFPSDDWWVVPAKVIFDQTVWAAIWNSIYYVVLGFLRFESSANIYKELKATFWPMLTAGWKLWPFAHVITYGVIPIEQRLLWVDCVELIWVTILSTYSNEKSEARITEPTMDADSNSSNNPPEE >KJB79965 pep chromosome:Graimondii2_0_v6:13:9010723:9013302:1 gene:B456_013G074900 transcript:KJB79965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNSIACRKRIVKSQSFPDFSPTTRLSHKTSSNLLKLNPKNRRNWVIQSVTEDKELAPKKNSHSHEPKKLLFPNGSKTLEASSSEVGGGHGDGGDGHDDVEKLSSRAINASIVLGFGTLAVSKLLTIDHDYWHGWTLYEVLRYVPQHNWIAYEQALKANPVIAKMAISGIVYSIGDWIAQCYEGKPLFDFDRTRMFRSGLVGFTLHGSLSHYYYQFCEALFPSDDWWVVPAKVIFDQTVWAAIWNSIYYVVLGFLRFESSANIYKELKATFWPMLTVGSFGHLLM >KJB79963 pep chromosome:Graimondii2_0_v6:13:9010723:9014091:1 gene:B456_013G074900 transcript:KJB79963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNSIACRKRIVKSQSFPDFSPTTRLSHKTSSNLLKLNPKNRRNWVIQSVTEDKELAPKKNSHSHEPKKLLFPNGSKTLEASSSEVGGGHGDGGDGHDDVEKLSSRAINASIVLGFGTLAVSKLLTIDHDYWHGWTLYEVLRYVPQHNWIAYEQALKANPVIAKMAISGIVYSIGDWIAQCYEGKPLFDFDRTRMFRSGLVGFTLHGSLSHYYYQFCEALFPSDDWWVVPAKVIFDQTVWAAIWNSIYYVVLGFLRFESSANIYKELKATFWPMLTVGSFGHLLM >KJB81413 pep chromosome:Graimondii2_0_v6:13:39611629:39611937:-1 gene:B456_013G144400 transcript:KJB81413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRGMGDERLNQKIQCLKRNMAKISMDQLRIREEQISVRQKFAIIKQQCQQLRKEINLISKQASMTQIRLAFMFQIIRARKDGNFSQAAKLTHSLRFIV >KJB79715 pep chromosome:Graimondii2_0_v6:13:7098252:7102442:-1 gene:B456_013G063700 transcript:KJB79715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKYQIAKRLEEDSKHMGNCLTERNWQRPLLLYFSMTMRSDASGTIRTSRVKMKDLSASWVLENPISGDPGKQKGSQVFKLKDSYQASRRSEENVEHFIDDHHWQEDEIQNRRKASWSPVKFKRQMLRQKRWDLRTVDLIRQNKEADKQMEATSAIEDLKNMDPVVNGKYSIWRRDFENPNSDSTLKLMRDQIIMAKAYANIAKSRNQIDLYSSLLRHVGDSLRVIGDANSDSELHPSSLDQAKAMGHALSFAKDRLYDCHIVARKLRAMLQSAEDNVDSLKKRSAFLIQLAAKTVPKPLHCLPLQLAADYYFHGYQSKKDLNIEKLEDPSLYHYAIFSDNVLATSVVVNSTVLHANEPEKHVFHIVTDKLNFAAMRMWFILNAPEKATVQVENIDDFKWLNSSYCSVLRQLESARVKEYYFKNHPSSLFVGSDNLKYRNPKYLSMLNHLRFYLPEVYPKLHKILFLDDDIVVQKDLTPLWDVDLNGMVNGAVETCKGSFHRFDKYLNFSNPKISENFDSNACGWAFGMNIFDLKEWRNRNITQIYHYWQDRNEDRTLWKLGTLPPGLITFYNLTYPLDRKWHVLGLGYDPALNQTEIVNAAVVHYNGNYKPWLDLAVAKYKSYWSKYVRFDNYYLKLCNLSE >KJB79716 pep chromosome:Graimondii2_0_v6:13:7098436:7102211:-1 gene:B456_013G063700 transcript:KJB79716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASRNLLSFISMLPTCFILVIFSFTIVDAEISNSKTIGRGLKAYGQLPDRKELATPSSALFFDDNEKDIDIIATYSDASGTIRTSRVKMKDLSASWVLENPISGDPGKQKGSQVFKLKDSYQASRRSEENVEHFIDDHHWQEDEIQNRRKASWSPVKFKRQMLRQKRWDLRTVDLIRQNKEADKQMEATSAIEDLKNMDPVVNGKYSIWRRDFENPNSDSTLKLMRDQIIMAKAYANIAKSRNQIDLYSSLLRHVGDSLRVIGDANSDSELHPSSLDQAKAMGHALSFAKDRLYDCHIVARKLRAMLQSAEDNVDSLKKRSAFLIQLAAKTVPKPLHCLPLQLAADYYFHGYQSKKDLNIEKLEDPSLYHYAIFSDNVLATSVVVNSTVLHANEPEKHVFHIVTDKLNFAAMRMWFILNAPEKATVQVENIDDFKWLNSSYCSVLRQLESARVKEYYFKNHPSSLFVGSDNLKYRNPKYLSMLNHLRFYLPEVYPKLHKILFLDDDIVVQKDLTPLWDVDLNGMVNGAVETCKGSFHRFDKYLNFSNPKISENFDSNACGWAFGMNIFDLKEWRNRNITQIYHYWQDRNEDRTLWKLGTLPPGLITFYNLTYPLDRKWHVLGLGYDPALNQTEIVNAAVVHYNGNYKPWLDLAVAKYKSYWSKYVRFDNYYLKLCNLSE >KJB79717 pep chromosome:Graimondii2_0_v6:13:7098309:7100449:-1 gene:B456_013G063700 transcript:KJB79717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQKRWDLRTVDLIRQNKEADKQMEATSAIEDLKNMDPVVNGKYSIWRRDFENPNSDSTLKLMRDQIIMAKAYANIAKSRNQIDLYSSLLRHVGDSLRVIGDANSDSELHPSSLDQAKAMGHALSFAKDRLYDCHIVARKLRAMLQSAEDNVDSLKKRSAFLIQLAAKTVPKPLHCLPLQLAADYYFHGYQSKKDLNIEKLEDPSLYHYAIFSDNVLATSVVVNSTVLHANEPEKHVFHIVTDKLNFAAMRMWFILNAPEKATVQVENIDDFKWLNSSYCSVLRQLESARVKEYYFKNHPSSLFVGSDNLKYRNPKYLSMLNHLRFYLPEVYPKLHKILFLDDDIVVQKDLTPLWDVDLNGMVNGAVETCKGSFHRFDKYLNFSNPKISENFDSNACGWAFGMNIFDLKEWRNRNITQIYHYWQDRNEDRTLWKLGTLPPGLITFYNLTYPLDRKWHVLGLGYDPALNQTEIVNAAVVHYNGNYKPWLDLAVAKYKSYWSKYVRFDNYYLKLCNLSE >KJB78961 pep chromosome:Graimondii2_0_v6:13:2008458:2009547:1 gene:B456_013G026800 transcript:KJB78961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMMEAVADAGKASIQEKVKKSREPTEKEMGRERKEETLNQKATAWQAGFAAGGLDGGDVNREKTGDSDGRVLGSCLMKEYSHTKCFSCESHGCGLGFSICGGLEIFSDRTRRGFKNCHDKALLQITRGNCI >KJB82837 pep chromosome:Graimondii2_0_v6:13:53610858:53613766:-1 gene:B456_013G216200 transcript:KJB82837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMGFGFFDCLLILSLLFKHVTCQLPNTDYFYVSDFLKKMGSNSYMSHNNNSISVFTWKGVQCDAKGENVIGLKASGLGLTGLIPDSTIGKLTKLRFLDLSNNKITALPSDLWSLGSLKRLNLSCNKITGSLSNNIGNFGRLQVVDLSDNDFSGEIPETISSLVSLQTLKLAGNGFEGSIPTGILRCWSLVSLDLSSNRLNGTLPDGFTAAFPKLKYLNLARNEIYGNLFQGDIHQVNFNWSHLVCLDLSENRLSGEIVINLSQALNLRHLNLAYNRFARQKFPRIGILSSLEYLNLSKTSLVGHIPSEISELSYLHTLDVSSNNLSGEIPVPVLQKLPLMKRFNFSYNNLTLCASGFSLDTFETAFYGSLNSCPIAANPVLFKRRAHIHKVFTLNLALALTLAMVCLLAGLLFLAFNCRKKSRTWLVKQPSYKEEQNMSGPFSFQTDSTTWVADVKHATSVPVMMFEKPLLNITFADLLSATSNFSISTLVSEGKFGPVYRGFLPGGIYVAVKILVHGSTLTDHEVARELEYLGRIKHPNLVPLIGYCLAGDQRIAVYDHMENGNLQDLLHDTWEEDSEGLSTLTTWRFRHKIALGIARALAFLHHGCSPPLVHKDVKASSVYLDLNLEPRLSDFGLAKLFGTSLEDDEISGRSPAYVSPEFSQLESDDAPTPKSDVYCFGVVLFELITGKKPIGDDYPEEQEANLVSWVRGLVRKNQGSKAIDPKIRDTGPVYQMEEALKIAYLCTAELPTKRPSMQQIVGLLKDIEPTASQ >KJB82836 pep chromosome:Graimondii2_0_v6:13:53610855:53613766:-1 gene:B456_013G216200 transcript:KJB82836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSYMSHNNNSISVFTWKGVQCDAKGENVIGLKASGLGLTGLIPDSTIGKLTKLRFLDLSNNKITALPSDLWSLGSLKRLNLSCNKITGSLSNNIGNFGRLQVVDLSDNDFSGEIPETISSLVSLQTLKLAGNGFEGSIPTGILRCWSLVSLDLSSNRLNGTLPDGFTAAFPKLKYLNLARNEIYGNLFQGDIHQVNFNWSHLVCLDLSENRLSGEIVINLSQALNLRHLNLAYNRFARQKFPRIGILSSLEYLNLSKTSLVGHIPSEISELSYLHTLDVSSNNLSGEIPVPVLQKLPLMKRFNFSYNNLTLCASGFSLDTFETAFYGSLNSCPIAANPVLFKRRAHIHKVFTLNLALALTLAMVCLLAGLLFLAFNCRKKSRTWLVKQPSYKEEQNMSGPFSFQTDSTTWVADVKHATSVPVMMFEKPLLNITFADLLSATSNFSISTLVSEGKFGPVYRGFLPGGIYVAVKILVHGSTLTDHEVARELEYLGRIKHPNLVPLIGYCLAGDQRIAVYDHMENGNLQDLLHDTWEEDSEGLSTLTTWRFRHKIALGIARALAFLHHGCSPPLVHKDVKASSVYLDLNLEPRLSDFGLAKLFGTSLEDDEISGRSPAYVSPEFSQLESDDAPTPKSDVYCFGVVLFELITGKKPIGDDYPEEQEANLVSWVRGLVRKNQGSKAIDPKIRDTGPVYQMEEALKIAYLCTAELPTKRPSMQQIVGLLKDIEPTASQ >KJB83147 pep chromosome:Graimondii2_0_v6:13:54984163:54987624:-1 gene:B456_013G231400 transcript:KJB83147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSRFVVTDTNLHAYEAVIRRRPWSLIPGRRVLSAQLDVRAGISLYGNGWSMQSKPNSPLAALLAPSSIILDSRNSKVKCKAAANVSGDSPTPKGMNQYERIIETLTTLFPVWVTLGAIVGIYKPAAVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGFAIATTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVKAVVCLFLSDNCLFIENSRIHVACSVEVKVVKYLFELF >KJB83145 pep chromosome:Graimondii2_0_v6:13:54982549:54987624:-1 gene:B456_013G231400 transcript:KJB83145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSRFVVTDTNLHAYEAVIRRRPWSLIPGRRVLSAQLDVRAGISLYGNGWSMQSKPNSPLAALLAPSSIILDSRNSKVKCKAAANVSGDSPTPKGMNQYERIIETLTTLFPVWVTLGAIVGIYKPAAVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGFAIATTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVKAVVCLFLSDNCLFIENSRIHVACSVEVKVDLALSTFQVVLVPTVIGVLANEYFPKFTSKIISVTPLIGVVLTTLLCASPIGLVSEVLKTQGAQLIFPVALLHAAAFAIGYWVSKISFGESTSRTISIECGMQSSALGFLLAKKHFTNPLVMVPSAVSVVFMALGGSGLAVFWRNRPIPLDDKDDFKE >KJB83143 pep chromosome:Graimondii2_0_v6:13:54982547:54987769:-1 gene:B456_013G231400 transcript:KJB83143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSRFVVTDTNLHAYEAVIRRRPWSLIPGRRVLSAQLDVRAGISLYGNGWSMQSKPNSPLAALLAPSSIILDSRNSKVKCKAAANVSGDSPTPKGMNQYERIIETLTTLFPVWVTLGAIVGIYKPAAVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGFAIATTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVKAVDLALSTFQVVLVPTVIGVLANEYFPKFTSKIISVTPLIGVVLTTLLCASPIGLVSEVLKTQGAQLIFPVALLHAAAFAIGYWVSKISFGESTSRTISIECGMQSSALGFLLAKKHFTNPLVMVPSAVSVVFMALGGSGLAVFWRNRPIPLDDKDDFKE >KJB83144 pep chromosome:Graimondii2_0_v6:13:54982549:54987624:-1 gene:B456_013G231400 transcript:KJB83144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSRFVVTDTNLHAYEAVIRRRPWSLIPGRRVLSAQLDVRAGISLYGNGWSMQSKPNSPLAALLAPSSIILDSRNSKVKCKAAANVSGDSPTPKGMNQYERIIETLTTLFPVWVTLGAIVGIYKPAAVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGFAIATTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVKAVDLALSTFQVVLVPTVIGVLANEYFPKFTSKIISVTPLIGVVLTTLLCASPIGLVSEVLKTQGAQLIFPVALLHAAAFAIGYWVSKISFGESTSRTISIECGMQSSALGFLLAKKHFTNPLVMVPSAVSVVFMAVSTRPFFFSYNNPSIAPFSCISIEVVLI >KJB83146 pep chromosome:Graimondii2_0_v6:13:54983262:54987624:-1 gene:B456_013G231400 transcript:KJB83146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSRFVVTDTNLHAYEAVIRRRPWSLIPGRRVLSAQLDVRAGISLYGNGWSMQSKPNSPLAALLAPSSIILDSRNSKVKCKAAANVSGDSPTPKGMNQYERIIETLTTLFPVWVTLGAIVGIYKPAAVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGFAIATTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVKAVDLALSTFQVVLVPTVIGVLANEYFPKFTSKIISVTPLIGVVLTTLLCASPIGLVSEVLKTQGAQLIFPVALLHAAAFAIGYWVSKISFGESTSRTISIECGMQVTRFLHYKYQDII >KJB80369 pep chromosome:Graimondii2_0_v6:13:15547980:15551379:-1 gene:B456_013G094700 transcript:KJB80369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQSDPSASDPQGIGNAFVKQYYTVLHNDPSHAYKFYLDLSVLSRPGTDGAMKSVTTLKEINELILSLDYQSYKAEISFADAQFSLANGVIVLVTGSLIGKHDVRRKFTQSFFLAPQEGGYYVLNDVFRYVDDKEPVDVAYNDIDESNQADLSQDTEVTPVPKNAVANHTTVPSDNDDNNVKEVSPPLDNGKHTVPENGVVSEQLPPPTKKSQKDSHPVSQTSAPVIQDDAPKKSYLSVVHALTKNSAPFIVRAPAPKPKPVQQSRKAAIPEESAPKSYNTSEKSNESSGKNTSIFVANLPMSATEEMLKEVFQKFGPIKPNGIQVRSFKDNKNCFGFVEFESATSVQSAVMASPITIGNRQANIEEKRGPSSGGKPGYGGYRDENGYRNDNFRGRGNFNGSRNFRRNERNEFSGQARGNAGQNGEANRKVYQNGGQRVAAARYDEGES >KJB80370 pep chromosome:Graimondii2_0_v6:13:15548234:15551278:-1 gene:B456_013G094700 transcript:KJB80370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQSDPSASDPQGIGNAFVKQYYTVLHNDPSHAYKFYLDLSVLSRPGTDGAMKSVTTLKEINELILSLDYQSYKAEISFADAQFSLANGVIVLVTGSLIGKHDVRRKFTQSFFLAPQEGGYYVLNDVFRYVDDKEPVDVAYNDIDESNQADLSQDTEVTPVPKNAVANHTTVPSDNDDNNVKEVSPPLDNGKHTVPENGVVSEQLPPPTKKSQKDSHPVSQTSAPVIQDDAPKKSYLSVVHALTKNSAPFIVRAPAPKPKPVQQSRKAAIPEESAPKSYNTSEKSNESSGKNTSIFVANLPMSATEEMLKEVFQKFGPIKPNGIQVRSFKDNKNCFGFVEFESATSVQSAVMASPITIGNRQANIEEKRGTLTYLLQLMHFYVDVLFMA >KJB80368 pep chromosome:Graimondii2_0_v6:13:15547135:15551278:-1 gene:B456_013G094700 transcript:KJB80368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQSDPSASDPQGIGNAFVKQYYTVLHNDPSHAYKFYLDLSVLSRPGTDGAMKSVTTLKEINELILSLDYQSYKAEISFADAQFSLANGVIVLVTGSLIGKHDVRRKFTQSFFLAPQEGGYYVLNDVFRYVDDKEPVDVAYNDIDESNQADLSQDTEVTPVPKNAVANHTTVPSDNDDNNVKEVSPPLDNGKHTVPENGVVSEQLPPPTKKSQKDSHPVSQTSAPVIQDDAPKKSYLSVVHALTKNSAPFIVRAPAPKPKPVQQSRKAAIPEESAPKSYNTSEKSNESSGKNTSIFVANLPMSATEEMLKEVFQKFGPIKPNGIQVRSFKDNKNCFGFVEFESATSVQSAVMASPITIGNRQANIEEKRGPSSGGKPGYGGYRDENGYRNDNFRGRGNFNGSRNFRRNERNEFSGQARGNAGQNGEANRKVYQNGGQRVAAARYDEAAHLQTISNQAFSAWAISISATI >KJB81114 pep chromosome:Graimondii2_0_v6:13:33927928:33928671:-1 gene:B456_013G1298002 transcript:KJB81114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KIPFPLTQSFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFNLILGEENANDVDGLDIVQHEWALPKFEHQAEAVLKNLVS >KJB81791 pep chromosome:Graimondii2_0_v6:13:43882685:43885286:1 gene:B456_013G161400 transcript:KJB81791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATFRLGNPLSSSSSSSVYSSNTLPVNFRKFALQTSPVKSFSVSCTLTRKPVPAAPVSMDSDPNGWRRPDSFGRFGKFGGKYVPETLMSALSELDAAFHSLSKDEKFQEELAGILKDYVGRESPLYFAERLSEHYKRPNGEGPDIYLKREDLNHTGSHKINNAVAQALLAKRLGKKRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQPLNVFRIRLLGAEVRAVHSGTATLKDATSEAIRDLVTNLETTHYILGSVAGPHPYPMMVRDFHAVIGKETRKQALEKWGGKPDVLVACVGGGSNAMGLFHEFVNDKDVRLIGVEAAGFGLDSGKHAATLTKGDVGVLHGAMSYLLQDEDGQIIEPHSISAG >KJB81790 pep chromosome:Graimondii2_0_v6:13:43882602:43886657:1 gene:B456_013G161400 transcript:KJB81790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATFRLGNPLSSSSSSSVYSSNTLPVNFRKFALQTSPVKSFSVSCTLTRKPVPAAPVSMDSDPNGWRRPDSFGRFGKFGGKYVPETLMSALSELDAAFHSLSKDEKFQEELAGILKDYVGRESPLYFAERLSEHYKRPNGEGPDIYLKREDLNHTGSHKINNAVAQALLAKRLGKKRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQPLNVFRIRLLGAEVRAVHSGTATLKDATSEAIRDLVTNLETTHYILGSVAGPHPYPMMVRDFHAVIGKETRKQALEKWGGKPDVLVACVGGGSNAMGLFHEFVNDKDVRLIGVEAAGFGLDSGKHAATLTKGDVGVLHGAMSYLLQDEDGQIIEPHSISAGLDYPGVGPEHSFLKDVGRAEYHSVTDEEALEAFKKLSRLEGIFPALETSHALAYLEKLCPTLPNGTKVVVNCSGRGDKDVQTVIKHLRV >KJB83508 pep chromosome:Graimondii2_0_v6:13:56855844:56856989:-1 gene:B456_013G250900 transcript:KJB83508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEDEPAYDAPPPYYYISPQPSPYMYEPPLLPPYKYESSPPPPYTYKSLPPLSYKHESPPPPPYMYKSPPPPPYKYKSPPPPPYMYNSPPPPPYKYESPPPPPYVYKSPPPPPYKYESPPPPPYVYKSPPPPPYKYESPPPPPYKYKSPPPPPYKYESPPPPPYVYKSPPPPSYKYESPPPPPYVYKSPPPPPYKYESPPPPPYKYESPSPPPYKYKSPPPPPYVYKSPPPPPYKYESPPPPPYVYKSPPPPPYKYESPPPPPYVYKSPPPPPYKYESPPPPPYKYESPPPPPYEYKSPPPPPYVYKSPPPPPYVYKSPPPPPYKYESPPPYMYKSPPPPSYYKSILPLSLLFSPHYINKSPGLPLPSITS >KJB83932 pep chromosome:Graimondii2_0_v6:13:58276950:58279915:-1 gene:B456_013G272300 transcript:KJB83932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSFRIANKSFDFLIFVILSTLLTTIHASIHIYQNQLFNEVGNAYLLPGGSEGLYASLSSDDSATDGRSFIRFENITFWRTQDAADEQSEMEHSTGLIQAVIFEAADRNNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRIPSATEINWPMVLSIQFNGNDLSTQMNNAEVPIKKTGMHNLFFIACDPKLKGTVMSGKTVWKNPDGYLPGRMAPLMTFYVYMAIAYLLLSAIWFSQYMRFWEDILQLQHCIAAVIGLGLFEMILWYLDYSNFNKTGMRPVVTTAWVVTVGAIKKTLSRLLILSVSMGYGVVRPTLGGLTSKVLLIGATYFVASELLDITEHVGTINDVSGRARLFLGLPDAFLDAFLILWIFTSLSKTLEQLQAKRISVKLDIYRKFSNALAVAVIASVAWITYEIYFKATDPFNERWQSAWIITAFWDILSFALLCVICYLWAPSQSSQRCVLFL >KJB83933 pep chromosome:Graimondii2_0_v6:13:58276950:58279995:-1 gene:B456_013G272300 transcript:KJB83933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSFRIANKSFDFLIFVILSTLLTTIHASIHIYQNQLFNEVGNAYLLPGGSEGLYASLSSDDSATDGRSFIRFENITFWRTQDAADEQSEMEHSTGLIQAVIFEAADRNNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRIPSATEINWPMVLSIQFNGNDLSTQMNNAEVPIKKTGMHNLFFIACDPKLKGTVMSGKTVWKNPDGYLPGRMAPLMTFYVYMAIAYLLLSAIWFSQYMRFWEDILQLQHCIAAVIGLGLFEMILWYLDYSNFNKTGMRPVVTTAWVVTVGAIKKTLSRLLILSVSMGYGVVRPTLGGLTSKVLLIGATYFVASELLDITEHVGTINDVSGRARLFLGLPDAFLDAFLILWIFTSLSKTLEQLQAKRISVKLDIYRKFSNALAVAVIASVAWITYEIYFKATDPFNERWQSAWIITAFWDILSFALLCVICYLWAPSQSSQRYAYSEDVGEEFDDEEAQSLTRGQSNGDVSLVKQEQKNGNAGISDEEDESEEDKRE >KJB80973 pep chromosome:Graimondii2_0_v6:13:31903516:31906909:1 gene:B456_013G123500 transcript:KJB80973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVEAKQKEKIQKLKMLPKHIILVRHGESEGNKDTSAYSTIPDHKISLTEHGRAQARLAGSRLRDLISSHGSSQDWRVYFYVSPYERTRSTLREIGKSFSKKRVIGVREECRIREQDFGNFQVEERMKATKETREKFGRFFYRFPEGESAADVFDRVSSFLESLWRDIDLNRLNNDPSQDLNLIIISHGLASRVFLMKWFKWTVEQFERLYNPGNCEIRVMELGRGGEYSLAIHHTDEELQEWGLSPEMIEDQKWRINANKTDLNDHCKWYLNSFFDNELDSDEDVKEEDIDSDDDSKDIFLDTDS >KJB80975 pep chromosome:Graimondii2_0_v6:13:31903516:31906909:1 gene:B456_013G123500 transcript:KJB80975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVEAKQKEKIQKLKMLPKHIILVRHGESEGNKDTSAYSTIPDHKISLTEHGRAQARLAGSRLRDLISSHGSSQDWRVYFYVSPYERTRSTLREIGKSFSKKRVIGVREECRIREQDFGNFQVEERMKATKETREKFGRFFYRFPEGESAADVFDRVSSFLESLWRDIDLNRLNNDPSQDLNLIIISHGLASRVFLMKWFKWTVEQFERLYNPGNCEIRVMELGRGGEYSLAIHHTDEELQEWGLSPEMIEDQKWRINANKTDLNDHCKWYLNSFFDNELDSDEDVKEEDIDSDDDSKDIFLDTDS >KJB80974 pep chromosome:Graimondii2_0_v6:13:31903516:31906909:1 gene:B456_013G123500 transcript:KJB80974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVEAKQKEKIQKLKMLPKHIILVRHGESEGNKDTSAYSTIPDHKISLTEHGRAQARLAGSRLRDLISSHGSSQDWRVYFYVSPYERTRSTLREIGKSFSKKRVIGVREECRIREQDFGNFQVEERMKATKETREKFGRFFYRFPEGESAADVFDRVSSFLESLWRDIDLNRLNNDPSQDLNLIIISHGLASRVFLMKWFKWTVEQFERLYNPGNCEIRVMELGRGGEYSLAIHHTDEELQEWGLSPEMIEDQKWRINANKTDLNDHCKWYLNSFFDNELDSDEDVKEEDIDSDDDSKDIFLDTDS >KJB81131 pep chromosome:Graimondii2_0_v6:13:34195327:34202020:1 gene:B456_013G130700 transcript:KJB81131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGDFEGSQEVHICRKARFIYKHSSVEVKRRFRTKLRRPLDLIRALLPFPGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB81130 pep chromosome:Graimondii2_0_v6:13:34195327:34201670:1 gene:B456_013G130700 transcript:KJB81130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGDFEGSQEVHICRKARFIYKHSSVEVKRRFRTKLRRPLDLIRALLPFPGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKVGSD >KJB82635 pep chromosome:Graimondii2_0_v6:13:51691797:51695445:1 gene:B456_013G206200 transcript:KJB82635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGGKVGQRSNFKRRVRSKDEGSDDSDEDYVVSLEGNDESEDDVEDYCSSLDECASEEGFGSFIDDEDDEEEDKEVRKVVRSKAKPMSSARTRKIVDRKSQKRKVVSDEEDDDEDYEIEEEEEQEEEDDDDLEFTLDEEDCLDEEEELTMTKKKKNNKKVSKQGLRKRVPSNHGKKRRKSAVSKKPLRKGGNKKCRLKRKRRIEEEEEEEDDDCGFIDKAPTVRKKSRKNGGLRKKAYVICSDSDFVSSGSSDYEYTISEEEREQVKEASQLCGSIKTSLRSSSSSKRIQEVEELGQCKKPPGKKGKEKVEETKAEVIKSVCGICLSEEDKRRLRGKLNCCSHYFCFTCIMEWSKVESRCPLCKQRFETISKPARSTAGVDLRGVVIQVPKRDQVYQPSEEELRSYLDPYENVICSECNQGGDDELMLLCDLCDSSAHTYCVGLGREVPEGNWYCDGCRPVALGSSSSQVQDPLPDQRTINNLYNRFPPIVNVGESLDSIVVPSPRTPLPPGFGGLSSPRFPTADFPSVSPVSGVAAQTLTGRRWLHRQIQNLRSMNRMSLMVGRTDGISTANMGIDFVNSHVDQSRQTTIQQARTQQMGTQHQTVITEGLHNDPSSSLQSRDFFSPRLGHLRRQAVQDSTTTTLNTSVNLMLWPELAGINSDAQLYQCRNGPNIGPDVFGSAFSVRNEDNSSMAKEQLQVMVRSQLKALSRDSDLDNGTFMDIATSSMHTLLAACGLEHRRSEVHMVPPPSNCTHIERVAAGQASLMKGCCLTCFDSFVKDVVKRIMDTRSRQWLSLGF >KJB82637 pep chromosome:Graimondii2_0_v6:13:51691953:51695357:1 gene:B456_013G206200 transcript:KJB82637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGGKVGQRSNFKRRVRSKDEGSDDSDEDYVVSLEGNDESEDDVEDYCSSLDECASEEGFGSFIDDEDDEEEDKEVRKVVRSKAKPMSSARTRKIVDRKSQKRKVVSDEEDDDEDYEIEEEEEQEEEDDDDLEFTLDEEDCLDEEEELTMTKKKKNNKKVSKQGLRKRVPSNHGKKRRKSAVSKKPLRKGGNKKCRLKRKRRIEEEEEEEDDDCGFIDKAPTVRKKSRKNGGLRKKAYVICSDSDFVSSGSSDYEYTISEEEREQVKEASQLCGSIKTSLRSSSSSKRIQEVEELGQCKKPPGKKGKEKVEETKAEVIKSVCGICLSEEDKRRLRGKLNCCSHYFCFTCIMEWSKVESRCPLCKQRFETISKPARSTAGVDLRGVVIQVPKRDQVYQPSEEELRSYLDPYENVICSECNQGGDDELMLLCDLCDSSAHTYCVGLGREVPEGNWYCDGCRPVALGSSSSQVQDPLPDQRTINNLYNRFPPIVNVGESLDSIVVPSPRTPLPPGFGGLSSPRFPTADFPSVSPVSGVAAQTLTGRRWLHRQIQNLRSMNRMSLMVGRTDGISTANMGIDFVNSHVDQSRQTTIQQARTQQMGTQHQTVITEGLHNDPSSSLQSRDFFSPRLGHLRRQAVQDSTTTTLNTSVNLMLWPELAGINSDAQLYQCRNGPNIGPDVFGSAFSVRNEDNSSMAKEQLQVMVRSQLKALSRDSDLDNGTFMDIATSSMHTLLAACGLEHRRSEVHMVPPPSNCTHIERVAAGQASLMKGCCLTCFDSFVKDVVKRIMDTRSRQWLSLGF >KJB82636 pep chromosome:Graimondii2_0_v6:13:51691955:51695357:1 gene:B456_013G206200 transcript:KJB82636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGGKVGQRSNFKRRVRSKDEGSDDSDEDYVVSLEGNDESEDDVEDYCSSLDECASEEGFGSFIDDEDDEEEDKEVRKVVRSKAKPMSSARTRKIVDRKSQKRKVVSDEEDDDEDYEIEEEEEQEEEDDDDLEFTLDEEDCLDEEEELTMTKKKKNNKKVSKQGLRKRVPSNHGKKRRKSAVSKKPLRKGGNKKCRLKRKRRIEEEEEEEDDDCGFIDKAPTVRKKSRKNGGLRKKAYVICSDSDFVSSGSSDYEYTISEEEREQVKEASQLCGSIKTSLRSSSSSKRIQEVEELGQCKKPPGKKGKEKVEETKAEVIKSVCGICLSEEDKRRLRGKLNCCSHYFCFTCIMEWSKVESRCPLCKQRFETISKPARSTAGVDLRGVVIQVPKRDQVYQPSEEELRSYLDPYENVICSECNQGGDDELMLLCDLCDSSAHTYCVGLGREVPEGNWYCDGCRPVALGSSSSQVQDPLPDQRTINNLYNRFPPIVNVGESLDSIVVPSPRTPLPPGFGGLSSPRFPTADFPSVSPVSGVAAQTLTGRRWLHRQIQNLRSMNRMSLMVGRTDGISTANMGIDFVNSHVDQSRQTTIQQARTQQMGTQHQTVITEGLHNDPSSSLQSRDFFSPRLGHLRRQAVQDSTTTTLNTSVNLMLWPELAGINSDAQLYQCRNGPNIGPDVFGSAFSVRNEDNSSMAKEQLQVMVRSQLKALSRDSDLDNGTFMDIATSSMHTLLAACGLEHRRSEVHMVPPPSNCTHIERVAAGQASLMKGCCLTCFDSFVKDVVKRIMDTRSRQWLSLGF >KJB83447 pep chromosome:Graimondii2_0_v6:13:56649563:56651373:1 gene:B456_013G247900 transcript:KJB83447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRGKAVEVYNGSSSSSDFVCKKHPQLSSNGICAYCLKDRLVKLVCSDCGEQRLSSCSCSEISSYPRISCTGEVGSVGRVSFLIENESKDQLLKGKINGGGEDKGEDGNFILKRSNSSCVEIKRKNGLWRLGRLFRKKREKYNGGNVKSVDYNNGVSRSRSLCSFRGGNGGGFFGSDDGSMNFSAARSSSISAARSSSVNGGLLGMDPDRKSGFSEPEPRKSGFDSDKRDSAFVESDVATDIKALRKAGGVFLDHETGFSTANRRVFSLKETYFTGGDDSAFIDLKFEFPSYDTPVEPGGSKSVQNGFVSDGGSMFGGGGSCRVSVDERGIKRSRKSFKGWKWIFKNNNPDWSSNRKKGGGDLMVNH >KJB83448 pep chromosome:Graimondii2_0_v6:13:56649563:56651373:1 gene:B456_013G247900 transcript:KJB83448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRGKAVEVYNGSSSSSDFVCKKHPQLSSNGICAYCLKDRLVKLVCSDCGEQRLSSCSCSEISSYPRISCTGEVGSVGRVSFLIENESKDQLLKGKINGGGEDKGEDGNFILKRSNSSCVEIKRKNGLWRLGRLFRKKREKYNGGNVKSVDYNNGVSRSRSLCSFRGGNGGGFFGSDDGSMNFSAARSSSISAARSSSVNGGLLGMDPDRKSGFSEPEPRKSGFDSDKRDSAFVESDVATDIKALRKAGGVFLDHETGFSTANRRVFSLKETYFTGGDDSAFIDLKFEFPSYDTPVEPGGSKSVQNGFVSDGGSMFGGGGSCRVSVDERGIKRSRKSFKGWKWIFKNNNPDWSSNRKKGGGDLMVNH >KJB83446 pep chromosome:Graimondii2_0_v6:13:56649394:56651373:1 gene:B456_013G247900 transcript:KJB83446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRGKAVEVYNGSSSSSDFVCKKHPQLSSNGICAYCLKDRLVKLVCSDCGEQRLSSCSCSEISSYPRISCTGEVGSVGRVSFLIENESKDQLLKGKINGGGEDKGEDGNFILKRSNSSCVEIKRKNGLWRLGRLFRKKREKYNGGNVKSVDYNNGVSRSRSLCSFRGGNGGGFFGSDDGSMNFSAARSSSISAARSSSVNGGLLGMDPDRKSGFSEPEPRKSGFDSDKRDSAFVESDVATDIKALRKAGGVFLDHETGFSTANRRVFSLKETYFTGGDDSAFIDLKFEFPSYDTPVEPGGSKSVQNGFVSDGGSMFGGGGSCRVSVDERGIKRSRKSFKGWKWIFKNNNPDWSSNRKKGGGDLMVNH >KJB80733 pep chromosome:Graimondii2_0_v6:13:26832065:26833055:1 gene:B456_013G112600 transcript:KJB80733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCTCSLATMASSRSCNDLFLFLQYKALPLCKPTRLSVELRDKIRFKENTKLVEGPALRLFSITKY >KJB82954 pep chromosome:Graimondii2_0_v6:13:54185691:54187556:-1 gene:B456_013G222000 transcript:KJB82954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFEGRVSYSSLSEVEKENNNNNAYQFDDDYIDSDWTVPEDKSIVSLGSKSIKKGNPYEFPADSDEFIDGGYDSSEDVRVSMPNGLEPEVNLKNVLSGLIAIVTGTNKGSPSVSVNQQCQSSNVSFLGSGNNGDTCLQSSVYIPSAPPLMEPRGINYNAYKEVLEAEPPEWLPDSSTTVCMQCSSLFTAITRGRHHCRFCGGIFCRACSKGRCLLPVKFRDRNPQRVCDSCYDRLDPLQGVLINSISNAAQVAKHDVMDWTCTRGWLNLPVGLSMEHEIYKASTTLRSYCQVARLSPEKSIPLAVLKGAKGLAILTVVKAGVLLAYKLGTGLVLARRSDGTWSAPSAIFSVGLGWGAQIGGELMDFIIVLHDLQAVKTFCSRMHFSLGAGCSAAAGPVGRVLEADLRAGDRGSGMCYTYSCSKGAFVGVSLEGNIIATRMDTNLRFYGDPYLTTTDILLGTVSRPKAAEPLYVALDDLYSSLNC >KJB82955 pep chromosome:Graimondii2_0_v6:13:54185338:54188110:-1 gene:B456_013G222000 transcript:KJB82955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFEGRVSYSSLSEVEKENNNNNAYQFDDDYIDSDWTVPEDKSIVSLGSKSIKKGNPYEFPADSDEFIDGGYDSSEDVRVSMPNGLEPEVNLKNVLSGLIAIVTGTNKGSPSVSVNQQCQSSNVSFLGSGNNGDTCLQSSVYIPSAPPLMEPRGINYNAYKEVLEAEPPEWLPDSSTTVCMQCSSLFTAITRGRHHCRFCGGIFCRACSKGRCLLPVKFRDRNPQRVCDSCYDRLDPLQGVLINSISNAAQVAKHDVMDWTCTRGWLNLPVGLSMEHEIYKASTTLRSYCQVARLSPEKSIPLAVLKGAKGLAILTVVKAGVLLAYKLGTGLVLARRSDGTWSAPSAIFSVGLGWGAQIGGELMDFIIVLHDLQAVKTFCSRMHFSLGAGCSAAAGPVGRVLEADLRAGDRGSGMCYTYSCSKGAFVGVSLEGNIIATRMDTNLRFYGDPYLTTTDILLGTVSRPKAAEPLYVALDDLYSSLNC >KJB80214 pep chromosome:Graimondii2_0_v6:13:13002513:13006946:1 gene:B456_013G086600 transcript:KJB80214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKKLENTNGRQATYAKRKHGIMKKANELSILCDVEIILLMFSPTNKPSVCIGKRSSIEEIIEKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIHEFLGSSTQTIEDLTNQARLLQARLSEIHRRLSCWTDVDKINNVEHLGQMEDSLKDYLNQIRAHKENLGKQQLLPIECTSQFQNEMHVPFRMGIEQQLQSLAWMPNNDSRHMALPEDPNLIPPRDVECSASSSFGSYSGYFGTPKSSELSSSGQENGILNDLQLGGQCPFFSYDLSILNDRKFPPVAEMNFPETPVDYHVNGVLAGPRAGYDPNQGSWASTSGPCAVTMFDEPLYTGQLN >KJB80215 pep chromosome:Graimondii2_0_v6:13:13002513:13006946:1 gene:B456_013G086600 transcript:KJB80215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKKLENTNGRQATYAKRKHGIMKKANELSILCDVEIILLMFSPTNKPSVCIGKRSSIEEIIEKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIHEFLGSSTQTIEDLTNQARLLQARLSEIHRRLSCWTDVDKINNVEHLGQMEDSLKDYLNQIRAHKENLGKQQLLPIECTSQFQNEMHVPFRMGIEQQLQSLAWMPNNDSRHMALPEDPNLIPPRDVECSASSSFGSYSGYFGTPKSSELSSSGQENGILNDLQLGGQCPFFSYDLSILNDRKFPPVAEMNFPETPVDYHVNGVLAGPRAGYDPNQGSWASTSGPCAVTMFDEPLYTGQLN >KJB82888 pep chromosome:Graimondii2_0_v6:13:53881643:53885334:1 gene:B456_013G219000 transcript:KJB82888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHELKSLSQLDTRKLIWLIGITFAVIVTFQYIELPYGNVLWSLFPSGKVSVDEKSSFLASGPSSAESGFYFNGTNAGNETVHDDEIFEGKDIDTDVITEADVGLNKSSTFGEGTKAWKESSTAEPVELNKNSTVDNAGNETAHDDEIFEGRDIDTDIITEADVGLNKSSTFNEGTKAPKESSTAELVLELNKNSTVNNAESSKSEEFEKDSNITSLNNLNQTGAVNETAKADDFTPEVGLNRSSILDKELDERSNITMLNIAESSNNKSVAENVGTSEESFASKNDTVDINTSNNNAPDTGLGTTNSTSEKGVKTNISAPVVSFNSSISSVEQHVTPSFDKNEKPKPKPIQNDFTKPSDNSSPRKAPKLKKKPEMLPPAVTTIADMNNLLYQSRVSYESPTPKWSSRADKVLLEARLQIENAPIIKNDPQLYAPLFRNLSMFKRSYELMENTLKVYVYKEGKRPIVHTPVLRGIYASEGWFMKQLESNKKFVTKNPRDAHLFYLPFSSRMLEETLYVPDSHSHKNLIEYLKNYVDTIAAKYPFWNRTEGADHFLVACHDWAPSETRNHMANCIRALCNSDVREGYVFGKDVSLPETYVRNPQKPLRDLGGNPPSKRPILAFFAGSMHGYLRPILLEQWGNKDPDMKIFGKMPNVKGKMNYIRHMKSSKYCLCPRGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWESFSVFILEKDIPNLKKILLSIPIKRYRQMQLRVKKIQQHFLWHPKPEKYDIFHMILHSVWYNRVFQMKPR >KJB82887 pep chromosome:Graimondii2_0_v6:13:53881361:53885372:1 gene:B456_013G219000 transcript:KJB82887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHELKSLSQLDTRKLIWLIGITFAVIVTFQYIELPYGNVLWSLFPSGKVSVDEKSSFLASGPSSAESGFYFNGTNAGNETVHDDEIFEGKDIDTDVITEADVGLNKSSTFGEGTKAWKESSTAEPVELNKNSTADKAGNETAHDDEIFEGRDLDADVITEADVGLNKSSTFDEGTKAWKESSTAEPVELNKNSTVDNAGNETAHDDEIFEGRDIDTDIITEADVGLNKSSTFNEGTKAPKESSTAELVLELNKNSTVNNAESSKSEEFEKDSNITSLNNLNQTGAVNETAKADDFTPEVGLNRSSILDKELDERSNITMLNIAESSNNKSVAENVGTSEESFASKNDTVDINTSNNNAPDTGLGTTNSTSEKGVKTNISAPVVSFNSSISSVEQHVTPSFDKNEKPKPKPIQNDFTKPSDNSSPRKAPKLKKKPEMLPPAVTTIADMNNLLYQSRVSYESPTPKWSSRADKVLLEARLQIENAPIIKNDPQLYAPLFRNLSMFKRSYELMENTLKVYVYKEGKRPIVHTPVLRGIYASEGWFMKQLESNKKFVTKNPRDAHLFYLPFSSRMLEETLYVPDSHSHKNLIEYLKNYVDTIAAKYPFWNRTEGADHFLVACHDWAPSETRNHMANCIRALCNSDVREGYVFGKDVSLPETYVRNPQKPLRDLGGNPPSKRPILAFFAGSMHGYLRPILLEQWGNKDPDMKIFGKMPNVKGKMNYIRHMKSSKYCLCPRGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWESFSVFILEKDIPNLKKILLSIPIKRYRQMQLRVKKIQQHFLWHPKPEKYDIFHMILHSVWYNRVFQMKPR >KJB81204 pep chromosome:Graimondii2_0_v6:13:36607678:36610936:1 gene:B456_013G136900 transcript:KJB81204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLTHKKHISPSKSLLSNLTKTTFPPHTLRLKPWAAAEIQHFQGLVKKWRLQNKTKDYSCAHVVKEGETLSSISKMYGVSVHSIAAANKNIVDINLVFRGQLLNIPSSSLLDTQLDRAKKSRLWQSIRALKAPSGQKFFTMITAHCLSNAKSTGYFLVLVPLIAFCIGCIIVTLHTRVSRSIKHQAADESQAHHPGAKGRRWKSALSDSVEGDVFDSELGLDSNSTSEDEANIQNEEASKDYGRLEHDYQKFLSECGISKWGYWRGGSPGA >KJB81208 pep chromosome:Graimondii2_0_v6:13:36607681:36610843:1 gene:B456_013G136900 transcript:KJB81208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLTHKKHISPSKSLLSNLTKTTFPPHTLRLKPWAAAEIQHFQGLVKKWRLQNKTKDYSCAHVVKEGETLSSISKMYGVSVHSIAAANKNIVDINLVFRGQLLNIPSSSLLDTQLDRAKKSRLWQSIRALKAPSGQKFFTMITAHCLSNQAKSTGYFLVLVPLIAFCIGCIIVTLHTRVSRSIKHQAADESQAHHPGAKGRRWKSALSDSVEGDVFDSELGLDSNSTSEDEANIQNEEASKDYGRLEHDYQKFLSECGISKWGYWRGGSPGA >KJB81206 pep chromosome:Graimondii2_0_v6:13:36607681:36609164:1 gene:B456_013G136900 transcript:KJB81206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLTHKKHISPSKSLLSNLTKTTFPPHTLRLKPWAAAEIQHFQGLVKKWRLQNKTKDYSCAHVVKEGETLSSISKMYGVSVHSIAAANKNIVDINLVFRGQLLNIPSSSLLDTQLDRAKKSRLWQSIRALKAPSGQKFFTMITAHCLSNQAKSTGYFLVLVPLIAFCIGCIIVTLHTRVSRSIKHQAADESQAHHPGAKGRRWKSALSDSVEGDVFDSELGLDSNVSTRFNM >KJB81205 pep chromosome:Graimondii2_0_v6:13:36607681:36609164:1 gene:B456_013G136900 transcript:KJB81205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLTHKKHISPSKSLLSNLTKTTFPPHTLRLKPWAAAEIQHFQGLVKKWRLQNKTKDYSCAHVVKEGETLSSISKMYGVSVHSIAAANKNIVDINLVFRGQLLNIPSSSLLDTQLDRAKKSRLWQSIRALKAPSGQKFFTMITAHCLSNAKSTGYFLVLVPLIAFCIGCIIVTLHTRVSRSIKHQAADESQAHHPGAKGRRWKSALSDSVEGDVFDSELGLDSNVSTRFNM >KJB81207 pep chromosome:Graimondii2_0_v6:13:36607681:36609164:1 gene:B456_013G136900 transcript:KJB81207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLTHKKHISPSKSLLSNLTKTTFPPHTLRLKPWAAAEIQHFQGLVKKWRLQNKTKDYSCAHVVKDFHCRGETLSSISKMYGVSVHSIAAANKNIVDINLVFRGQLLNIPSSSLLDTQLDRAKKSRLWQSIRALKAPSGQKFFTMITAHCLSNAKSTGYFLVLVPLIAFCIGCIIVTLHTRVSRSIKHQAADESQAHHPGAKGRRWKSALSDSVEGDVFDSELGLDSNVSTRFNM >KJB83867 pep chromosome:Graimondii2_0_v6:13:58064920:58067757:1 gene:B456_013G268600 transcript:KJB83867 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit beta-3 [Source:Projected from Arabidopsis thaliana (AT2G28060) UniProtKB/Swiss-Prot;Acc:Q9ZUU8] MNNQYGEDGDEATVMGFEVPRSPDSSYNNVYPGYEDDARDPPVVPPHLHRTMLSCPASINASGSLPLPGNVILNHLYIENREAPRSVVALGFTQRFRSKYVTVILYKPVPRRGSTGT >KJB83865 pep chromosome:Graimondii2_0_v6:13:58064920:58067757:1 gene:B456_013G268600 transcript:KJB83865 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit beta-3 [Source:Projected from Arabidopsis thaliana (AT2G28060) UniProtKB/Swiss-Prot;Acc:Q9ZUU8] MGFEVPRSPDSSYNNVYPGYEDDARDPPVVPPHLHRTMLSCPASINASGSLPLPGNVILNHLYIENREAPRSVVALGFTQRFRSKYVTVILYKPVPRRGSTGT >KJB83864 pep chromosome:Graimondii2_0_v6:13:58064920:58067757:1 gene:B456_013G268600 transcript:KJB83864 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit beta-3 [Source:Projected from Arabidopsis thaliana (AT2G28060) UniProtKB/Swiss-Prot;Acc:Q9ZUU8] MNNQYGEDGDEATVMGFEVPRSPDSSYNNVYPGYEDDARDPPVVPPHLHRTMLSCPASINASGSLPLPGNVILNHLYIENREAPRSVVALGFTQRFRSKYVTVILYKPVPRRGSTGT >KJB83866 pep chromosome:Graimondii2_0_v6:13:58064703:58067757:1 gene:B456_013G268600 transcript:KJB83866 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit beta-3 [Source:Projected from Arabidopsis thaliana (AT2G28060) UniProtKB/Swiss-Prot;Acc:Q9ZUU8] MNNQYGEDGDEATVMGFEVPRSPDSSYNNVYPGYEDDARDPPVVPPHLHRTMLSCPASINASGSLPLPGNVILNHLYIENREAPRSVVALGFTQRFRSKYVTVILYKPVPRRGSTGT >KJB78479 pep chromosome:Graimondii2_0_v6:13:77454:78587:1 gene:B456_013G001300 transcript:KJB78479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLRTRLACLSLLLLLLLLLQFETPCYAGGVHRFKAGGSNGEQKSPKFIKSQGKNGDDIFAAQQRKVYTGPNPLHNKRYSRFQTEKKDMVI >KJB82258 pep chromosome:Graimondii2_0_v6:13:48406903:48419157:-1 gene:B456_013G185200 transcript:KJB82258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVGPSNQGEPPRTSESGSDEGSAMRWRPRQLVFWPYSTRNEADRKLRVVVRRPLVARLTKDIIETYQICNSQFKYSEELNPKRFLTSPSVGVLNDGYDNVNSDLILTVNFVLISLETQRRYIVKDVLGHGTFGQVAKCWVPETSSFVAVKIIKNQPAYYQQALVEVSILTTINKKYDPEDKHHIVRIYDYFVYQRHLCICFELLDTNLYELIKINHFRGLSLSIVQLFSKQILRGLALLKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDRSVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIRILGSQPPDYVLKEAKNASKFFKCIGSTHDIENGEVPIGSRSAYQALTEEEYEARELKKPLMGKEYFSHKNLEAIVTNYPYRKNLPEEDIIKEGQIRLALIDFLRGLVEFDPAKRWSPFQASKHPFVTGEPFTCPYRPPPETPRLPVAQNIKVDHHPGGGHWFAAGLSPNIPNRNMVAFHNSPHFPMVPYVLANSYGSIGSHGSYNDNTGLGSSYGSYGESSNMFAYYSPVGPSAMNMHPQSGASMLGSSPDARWRFMHYSQGQGLGVSPSAGNFAPLPLGTSPSQFTPPSSYSGGSPGHYGPTSPARNSCQGSPLSKMAAAGQFNRRKSWGYSGSSQSQESSSSPNWQGQVTDGAISSQAEGNSQADGGLPSHLLSNCNAANWNQQLGVGSNVQPQHSPRATQDKSETSMPLLDPGDWDPNYSDELLLQEDGSEEGCLSADFNRGMHIGSADSSVGVGRFNRPSTTSSNLSIQRQNGPVGFSHVEVGSPPSANDMHAGYPRFLSKHSHFMPHTTQNYPSRLGQQTAQRFNPGRSTGARGGEWNHMKVQLPPSFNSGGPRSPGNSTFSNGMPWGMS >KJB82259 pep chromosome:Graimondii2_0_v6:13:48406903:48419794:-1 gene:B456_013G185200 transcript:KJB82259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVGPSNQGEPPRTSESGSDEGSAMRWRPRQLVFWPYSTRNEADRKLRVVVRRPLVARLTKDIIETYQICNSQFKYSEELNPKRFLTSPSVGVLNDGYDNVNSDLILTVNFVLISLETQRRYIVKDVLGHGTFGQVAKCWVPETSSFVAVKIIKNQPAYYQQALVEVSILTTINKKYDPEDKHHIVRIYDYFVYQRHLCICFELLDTNLYELIKINHFRGLSLSIVQLFSKQILRGLALLKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDRSVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIRILGSQPPDYVLKEAKNASKFFKCIGSTHDIENGEVPIGSRSAYQALTEEEYEARELKKPLMGKEYFSHKNLEAIVTNYPYRKNLPEEDIIKEGQIRLALIDFLRGLVEFDPAKRWSPFQASKHPFVTGEPFTCPYRPPPETPRLPVAQNIKVDHHPGGGHWFAAGLSPNIPNRNMVAFHNSPHFPMVPYVLANSYGSIGSHGSYNDNTGLGSSYGSYGESSNMFAYYSPVGPSAMNMHPQSGASMLGSSPDARWRFMHYSQGQGLGVSPSAGNFAPLPLGTSPSQFTPPSSYSGGSPGHYGPTSPARNSCQGSPLSKMAAAGQFNRRKSWGYSGSSQSQESSSSPNWQGQVTDGAISSQAEGNSQADGGLPSHLLSNCNAANWNQQLGVGSNVQPQHSPRATQDKSETSMPLLDPGDWDPNYSDELLLQEDGSEEGCLSADFNRGMHIGSADSSVGVGRFNRPSTTSSNLSIQRQNGPVGFSHVEVGSPPSANDMHAGYPRFLSKHSHFMPHTTQNYPSRLGQQTAQRFNPGRSTGARGGEWNHMKVQLPPSFNSGGPRSPGNSTFSNGMPWGRRANYPVSNIASGSRGRKDYGRIA >KJB83232 pep chromosome:Graimondii2_0_v6:13:55447972:55452403:1 gene:B456_013G236500 transcript:KJB83232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIKVVEKVQPLKQLGGVVTNGNGNGQGYNGVVGDYAYYASLRNDVYCAAAYGDLGRLRMLVEHLGFSLKERDALGYYALQWAALNNRTDITQYIIEHGGDVNAKDQSGQTALHWSAVRGLIQVAEVLLQGGAWVDAADVNGYRITHVATQYGQTAFLYYVVSKWNADPDVPDNEGRSPLHWAAYKGFMDCIRLLLYLDANRGCQDREGCTPLHWAAIKGNLEACTLLLQGSQEGLAVTDNSGLTPAQLASEKNHRKIAFLLGNTRRLHEYRSNRNSCLGKLPKLGLAPVLCCVIVVHLTTYIHSVIMASNLPKLTAGFGILAWLGVFLSSAGLIWFYRCSSKDPGYIKMNVSDHQNLKEDEPLLKIEANHPALLAGNWSQLCTTCKIIKPLRAKHCSTCDRCVEQFDHHCPWVSNCVGKKNKWDFFLFIVLEVMAMVITGVVAMTRMSFNQIPSSNYFPMLA >KJB83231 pep chromosome:Graimondii2_0_v6:13:55447745:55452462:1 gene:B456_013G236500 transcript:KJB83231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIKVVEKVQPLKQLGGVVTNGNGNGQGYNGVVGDYAYYASLRNDVYCAAAYGDLGRLRMLVEHLGFSLKERDALGYYALQWAALNNRTDITQYIIEHGGDVNAKDQSGQTALHWSAVRGLIQVAEVLLQGGAWVDAADVNGYRITHVATQYGQTAFLYYVVSKWNADPDVPDNEGRSPLHWAAYKGFMDCIRLLLYLDANRGCQDREGCTPLHWAAIKGNLEACTLLLQGSQEGLAVTDNSGLTPAQLASEKNHRKIAFLLGNTRRLHEYRSNRNSCLGKLPKLGLAPVLCCVIVVHLTTYIHSVIMASNLPKLTAGFGILAWLGVFLSSAGLIWFYRCSSKDPGYIKMNVSDHQNLKEDEPLLKIEANHPALLAGNWSQLCTTCKIIKPLRAKHCSTCDRCVEQFDHHCPWVSNCVGKKNKWDFFLFIVLEVMAMVITGVVAMTRILTDPMAPAGFSPMFSHASTHHIGALTFLIMDIFYFFGASAFVVSQATQISRNITTNEMANIMRYSYLRGADGQLRNPYDHGCWKNCSDFVINGYNEDVPIAEDSANSEETGMIQIPRDSNLQNSNSHAPTDRNGHIAVHVNSSNINAHHGPVHSLVQPR >KJB83451 pep chromosome:Graimondii2_0_v6:13:56651578:56654631:-1 gene:B456_013G248000 transcript:KJB83451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLVQSSFLSPSTPLCKFHSNLNPLKPSFLLPKSLSHKKSLCLSPKYATAIRASMIEAPVLWAGRLCIYYALLKSGLAGSQANPLVSDKEAADKRKLVSKWHPTTKGTLKRNYRVPSKSEGRRLLKALASLLSDDDHFTDATSHKGCQIRRESAHGESVCCNNVRALFDELPTPHLTVEITPFPAGPLTEIDYIKAEKLERVLRSGPSV >KJB83449 pep chromosome:Graimondii2_0_v6:13:56651572:56654713:-1 gene:B456_013G248000 transcript:KJB83449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGSVVGCRLFCNISTALKAKGHSKPNALPFATLTHNIIPISLWVCFPFQNPIIFFLLIHSRSRAATKFHQKNHLFQTSPKIPNREMLLSLVQSSFLSPSTPLCKFHSNLNPLKPSFLLPKSLSHKKSLCLSPKYATAIRASMIEAPVLWAGRLCIYYALLKSGLAGSQANPLVSGLEENGDSVGESGDLGFSKWLDNIRGKPDKEAADKRKLVSKWHPTTKGTLKRNYRVPSKSEGRRLLKALASLLSDDDHFTDATSHKGCQIRRESAHGESVCCNNVRALFDELPTPHLTVEITPFPAGPLTEIDYIKAEKLERVLRSGPSV >KJB83450 pep chromosome:Graimondii2_0_v6:13:56652090:56654436:-1 gene:B456_013G248000 transcript:KJB83450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLVQSSFLSPSTPLCKFHSNLNPLKPSFLLPKSLSHKKSLCLSPKYATAIRASMIEAPVLWAGRLCIYYALLKSGLAGSQANPLVSGLEENGDSVGESGDLGFSKWLDNIRGKPDKEAADKRKLVSKWHPTTKGTLKRNYRVPSKSEGRRLLKALASLLSDDDHFTDATSHKVI >KJB82110 pep chromosome:Graimondii2_0_v6:13:46762147:46764058:1 gene:B456_013G176900 transcript:KJB82110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILSKPAIEQFGCIRNKQAATLFPPLIPLVDLSKPDSRQQIIKACEEFGFFKVINHGVPMDFISRLESEATKFFSLPLSEKEKTGQPKPYGYGNKRIGPNGDVGWVEYLLLTTNQDPNLLGTENPESFRIALDNYMAAVKKMACEILEMIADGLKIQPRNVLSKLMMDEQSDSVFRLNHYPPCPEVVQSLNGTSSNVIGFGEHTDPQIISVLRSNNTSGLQISLRDGTWISVPPDQYSFFINVGDSLQVMTNGRFKSVKHRVLANSVKSRLSMIYFCGPPLSEKIAPLPSLMRGDQQSLYKEFTWFEYKKSAYSSRLADNRLMHFEKIVAS >KJB82111 pep chromosome:Graimondii2_0_v6:13:46762200:46764058:1 gene:B456_013G176900 transcript:KJB82111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILSKPAIEQFGCIRNKQAATLFPPLIPLVDLSKPDSRQQIIKACEEFGFFKVINHGVPMDFISRLESEATKFFSLPLSEKEKTGQPKPYGYGNKRIGPNGDVGWVEYLLLTTNQDPNLLGTENPESFRIALDNYMAAVKKMACEILEMIADGLKIQPRNVLSKLMMDEQSDSVFRLNHYPPCPEVVQSLNGTSSNVIGFGEHTDPQIISVLRSNNTSGLQISLRDGTWISVPPDQYSFFINVGDSLQVNPEAYIHIPTR >KJB79509 pep chromosome:Graimondii2_0_v6:13:4961031:4964836:1 gene:B456_013G052900 transcript:KJB79509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGILKKSKDFILEKFDGATLFQENDVLLDQPLMTLEFASFSDGKEAVAKAANVLFMKYLKSGSTSSYQGEQIFTESQMGEALKTVGGNGPEPDLLLVYGPARCHLGFPAWRIRYTEIQHMGPLKSMKYGSLIKAIYKFTMVQQNYGK >KJB79508 pep chromosome:Graimondii2_0_v6:13:4961295:4964836:1 gene:B456_013G052900 transcript:KJB79508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGILKKSKDFILEKFDGATLFQENDVLLDQPLMTLEFASFSDGKEAVAKAANVLFMKYLKSGSTSSYQGEQIFTESQMGEALKTVGGNGPEPDLLLVYGPARCHLGFPAWRIRYTEIQHMGPLKSMKYGSLIKAIYKFTMVQQNYGK >KJB79505 pep chromosome:Graimondii2_0_v6:13:4961031:4963570:1 gene:B456_013G052900 transcript:KJB79505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAKLKRRLYYWIHQIVNILLRLTWHLLHVTIHLCYFAINIANALESHLISKGLLRRYKSLHISKLQYLAIVIESEDCRTSNVIELLQWLADLGVKHVCLYDMEGILKKSKDFILEKFDGATLFQENDVLLDQPLMTLEFASFSDGKEAVAKAANVLFMKYLKSGSTSSYQGEQIFTESQMGEALKTVGLCYLSDLLGIHYHQSCLLC >KJB79507 pep chromosome:Graimondii2_0_v6:13:4961336:4963215:1 gene:B456_013G052900 transcript:KJB79507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAKLKRRLYYWIHQIVNILLRLTWHLLHVTIHLCYFAINIANALESHLISKGLLRRYKSLHISKLQYLAIVIESEDCRTSNVIELLQWLADLGVKHVCLYDMEGILKKSKDFILEKFDGATLFQENDVLLDQPLMTLEFASFSDGKEAVAKAANVLFMKYLKSGSTSSYQGEQIFTESQMGEALKTVGLCYLSDLLGIHYHQSCLLC >KJB79510 pep chromosome:Graimondii2_0_v6:13:4961914:4964836:1 gene:B456_013G052900 transcript:KJB79510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEFASFSDGKEAVAKAANVLFMKYLKSGSTSSYQGEQIFTESQMGEALKTVGGNGPEPDLLLVYGPARCHLGFPAWRIRYTEIQHMGPLKSMKYGSLIKAIYKFTMVQQNYGK >KJB79504 pep chromosome:Graimondii2_0_v6:13:4960987:4964860:1 gene:B456_013G052900 transcript:KJB79504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAKLKRRLYYWIHQIVNILLRLTWHLLHVTIHLCYFAINIANALESHLISKGLLRRYKSLHISKLQYLAIVIESEDCRTSNVIELLQWLADLGVKHVCLYDMEGILKKSKDFILEKFDGATLFQENDVLLDQPLMTLEFASFSDGKEAVAKAANVLFMKYLKSGSTSSYQGEQIFTESQMGEALKTVGGNGPEPDLLLVYGPARCHLGFPAWRIRYTEIQHMGPLKSMKYGSLIKAIYKFTMVQQNYGK >KJB79506 pep chromosome:Graimondii2_0_v6:13:4961031:4964836:1 gene:B456_013G052900 transcript:KJB79506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAKLKRRLYYWIHQIVNILLRLTWHLLHVTIHLCYFAINIANALESHLISKGLLRRYKSLHISKLQYLAIVIESEDCRTSNVIELLQWLADLGVKHVCLYDMEGILKKSKDFILEKFDGATLFQENDVLLDQPLMTLEFASFSDGKEAVAKAANVLFMKYLKSGSTSSYQGEQIFTESQMGEALKTVVGGNGPEPDLLLVYGPARCHLGFPAWRIRYTEIQHMGPLKSMKYGSLIKAIYKFTMVQQNYGK >KJB81910 pep chromosome:Graimondii2_0_v6:13:45173625:45174745:1 gene:B456_013G1673002 transcript:KJB81910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLLFLVICNFGNLERSEDGRELLFDHGAPYFTVTNPDVLSVVTEWESRGLVAEWKSNFRSFDCFTNKIVNIEHQ >KJB81909 pep chromosome:Graimondii2_0_v6:13:45173625:45174745:1 gene:B456_013G1673002 transcript:KJB81909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLLFLVICNFGNLERSEDGRELLFDHGAPYFTVTNPDVLSVVTEWESRGLVAEWKSNFRSFDCFTNKIVNIEHQ >KJB83261 pep chromosome:Graimondii2_0_v6:13:55602603:55607989:1 gene:B456_013G238200 transcript:KJB83261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKAVQSSFRDRTQEFLSVSERLRKSITSGPSQNGPSSSSSRAEDKRSVVAHQSEFNRRASKIGLAIHQTSQKLSKLAKLAKRTSVFDDPTMEIQELTAVIKQDITALNSAVVDLQLFCNSKNEGGVSSDTSSHSTTVVDDLKNRLMSATKEFKDVLTMRTENLKVHENRRQLFSSTASKDSPNPFIRQRPLAAKSAAGASNNLPQWANGSASSSQLFPRKQVDAESQPLLQQQQQHQQQMVPLQDSYMQSRAEALQNVESTIHELGTIFNQLATLVSQQGEIAIRIDENMDDTLSNVEGAQGALLKYLNSISSNRWLMMKIFFVLIFFLMVFLFFVA >KJB83260 pep chromosome:Graimondii2_0_v6:13:55603012:55607849:1 gene:B456_013G238200 transcript:KJB83260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKAVQSSFRDRTQEFLSVSERLRKSITSGPSQNGPSSSSSRAEDKRSVVAHQSEFNRRASKIGLAIHQTSQKLSKLAKLAKRTSVFDDPTMEIQELTAVIKQDITALNSAVVDLQLFCNSKNEGGVSSDTSSHSTTVVDDLKNRLMSATKEFKDVLTMRTENLKVHENRRQLFSSTASKDSPNPFIRQRPLAAKSAAGASNNLPQWANGSASSSQLFPRKQVDAESQPLLQQQQQHQQQMVPLQDSYMQSRAEALQNVESTIHELGTIFNQLATLVSQQGEIAIRIDENMDDTLSNVEGAQGALLKYLNSISSNRWLMMKIFFVLIFFLMVFLFFVA >KJB78918 pep chromosome:Graimondii2_0_v6:13:1864494:1873648:-1 gene:B456_013G025200 transcript:KJB78918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPESSSTAPDTSAKKSSGTSKTDEEAKLNHGIQIRTARRSGFTWLTLFAVIVYSSWAVYQYQYENLPVPLTAEQAGKRGFSEVAAMKHVKALTELGPHTIGSDAIELALQYVLAELENIKKTAHWEVDVEVDLFHVNVGATRLDSGMFVDRTIIYSDLDHIVLRMLPKYAPEARENAILVSSHIDTVFSTEGAGDCSSCVSVMLELARGISQWAHGFKNAVIFLFNTGEEEGLSGAHSFITQHPWSTTIRMAIDLEAMGIGGKSAIFQAGPHPLAVETFASVAKYPSGNIIFQDIFSSGVINSATDFQVYREVAGLSGLDFVYADHGAVYHTKNDKLKLLKSGSLQHLGENMLAFLLQIATSSHLSKANATVDDIQSSHDTAVYYDILGQYMVIYRQRFANMLHSSVILQSLLIWTTSLLMGGSTAAVSLAFSCLSNILMWIFSISFSVLAAFILPLISSSPLPYLSIPWLVLGLFAAPAFLGALTGQHLGYLVLKRYLSNVYAKRKQLSPAIQADLIKLETERWLFKAGSLQWLVLLVVGTYYKIGSSYLALVWLVPPAFAYGLLEATLTPGRLPKPLKLATLWMGLAIPILASAGQFIKLTTVIIGLSVRFIRHPGGTPEWLPSVGLSLFIAAVICLTLVYLLSYIHLSGICV >KJB78916 pep chromosome:Graimondii2_0_v6:13:1860264:1873249:-1 gene:B456_013G025200 transcript:KJB78916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPESSSTAPDTSAKKSSGTSKTDEEAKLNHGIQIRTARRSGFTWLTLFAVIVYSSWAVYQYQYENLPVPLTAEQAGKRGFSEVAAMKHVKALTELGPHTIGSDAIELALQYVLAELENIKKTAHWEVDVEVDLFHVNVGATRLDSGMFVDRTIIYSDLDHIVLRMLPKYAPEARENAILVSSHIDTVFSTEGAGDCSSCVSVMLELARGISQWAHGFKNAVIFLFNTGEEEGLSGAHSFITQHPWSTTIRMAIDLEAMGIGGKSAIFQAGPHPLAVETFASVAKYPSGNIIFQDIFSSGVINSATDFQVYREVAGLSGLDFVYADHGAVYHTKNDKLKLLKSGSLQHLGENMLAFLLQIATSSHLSKANATVDDIQSSHDTAVYYDILGQYMVIYRQRFANMLHSSVILQSLLIWTTSLLMGGSTAAVSLAFSCLSNILMWIFSISFSVLAAFILPLISSSPLPYLSIPWLVLGLFAAPAFLGALTGQHLGYLVLKRYLSNVYAKRKQLSPAIQADLIKLETERWLFKAGSLQWLVLLVVGTYYKIGSSYLALVWLVPPAFAYGLLEATLTPGRLPKPLKLATLWMGLAIPILASAGQFIKLTTVIIGLSVRFIRHPGGTPEWLPSVGLSLFIAAVICLTLVYLLSYIHLSGAKTSIVLSTCILFALSLAVVFSGIIPPFTEETARAVNVVHVVDTTGGFGEKPNSYISLFSFTPGKFTKEVEEIKEGFVCGRHKAIDYVTFSVKYGCLTYDGTEEGWDESNIPTLDVVKDINGDKRITQVKIDTKRSIRWFLAINTEEIEDFTFNVGLEELVAADGKRSIDGWHTIQASGGKNAPTKFELTLFWLQNSTRRSDKMVQSEQRPLLRIRTDLPGVVTPKVETVLEKLPPWYSLFGKSTSPHTLSFLSSLPIDF >KJB78917 pep chromosome:Graimondii2_0_v6:13:1860264:1873694:-1 gene:B456_013G025200 transcript:KJB78917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPESSSTAPDTSAKKSSGTSKTDEEAKLNHGIQIRTARRSGFTWLTLFAVIVYSSWAVYQYQYENLPVPLTAEQAGKRGFSEVAAMKHVKALTELGPHTIGSDAIELALQYVLAELENIKKTAHWEVDVEVDLFHVNVGATRLDSGMFVDRTIIYSDLDHIVLRMLPKYAPEARENAILVSSHIDTVFSTEGAGDCSSCVSVMLELARGISQWAHGFKNAVIFLFNTGEEEGLSGAHSFITQHPWSTTIRMAIDLEAMGIGGKSAIFQAGPHPLAVETFASVAKYPSGNIIFQDIFSSGVINSATDFQVYREVAGLSGLDFVYADHGAVYHTKNDKLKLLKSGSLQHLGENMLAFLLQIATSSHLSKANATVDDIQSSHDTAVYYDILGQYMVIYRQRFANMLHSSVILQSLLIWTTSLLMGGSTAAVSLAFSCLSNILMWIFSISFSVLAAFILPLISSSPLPYLSIPWLVLGLFAAPAFLGALTGQHLGYLVLKRYLSNVYAKRKQLSPAIQADLIKLETERWLFKAGSLQWLVLLVVGTYYKIGSSYLALVWLVPPAFAYGLLEATLTPGRLPKPLKLATLWMGLAIPILASAGQFIKLTTVIIGLSVRFIRHPGGTPEWLPSVGLSLFIAAVICLTLVYLLSYIHLSGAKTSIVLSTCILFALSLAVVFSGIIPPFTEETARAVNVVHVVDTTGGFGEKPNSYISLFSFTPGKFTKEVEEIKEGFVCGRHKAIDYVTFSVKYGCLTYDGTEEGWDESNIPTLDVVKDINGDKRITQVKIDTKRSIRWFLAINTEEIEDFTFNVGLEELVAADGKRSIDGWHTIQASGGKNAPTKFELTLFWLQNSTRRSDKMVQSEQRPLLRIRTDLPGVVTPKVETVLEKLPPWYSLFGKSTSPHTLSFLSSLPIDF >KJB78590 pep chromosome:Graimondii2_0_v6:13:592887:594306:-1 gene:B456_013G008900 transcript:KJB78590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQCSFGLLLKHDPCMATLHGHLGCCMGVDFNNPPYTPYTWCVCDFCNEACEKFIYHCSCGLDFHIKCALFTFNIAERNLKELEHVALEDPSFSSKNDGGNLGKCFVCWEPLAMYTYFFLDCGFKLHKRCAELPLKMDHSCHRKHPLVLQFNSERRACKICQVTQGRGYLYGCSPCELAIHIDCVSPLPVIESLLAVQETNLQGQINQLKTELNEKDCVTATVNNLVAEVRSRDLQIRQMEDHLQQLSKEHMQLTKNLEDELKLKIKDLEKEVDKQRNMILDVSEEKREVIRQLTFSLDHYRSGYKELQTFLKHKRQAFIAL >KJB80073 pep chromosome:Graimondii2_0_v6:13:10924194:10932227:1 gene:B456_013G080300 transcript:KJB80073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 25 [Source:Projected from Arabidopsis thaliana (AT1G25540) UniProtKB/Swiss-Prot;Acc:Q7XYY2] MAEKQLIVAVEGTAAMGPYWHIVVSDYLDKIIRCFCSSELTGQKNSTSNVEFSLVTFNTHGSYCACLVQRSGWTKDVDIFLQWLSAIPFSGGGFNDAAIAEGLSEALMMFPIASNGNQTPQNVDGQRHCILVAASNPYPLPTPVYRPQIQNLEQVENSEAQTESCLSDAETVARSFAQCSVSLSVICPKQLAKLKAIYSAGKRNPRAADPPVDNVKNSQFLVLISENFMEGRAALSRSGVPSLATNQSPVKMDMASVTSVMGPSPSSVNGSMVGKLPVSVGNVATATVKVEPTTITSMATGPAFSHVPSVPRVPSQAIPTLQTSSPLTNTQEGMTSGDNMQELKPSVSGMTQPSRPVPPAAANVNILNNLSQARVMSSAALTGGTSIGLQSMGQTPVAMHMSNMISSGMASSVPPAHTVLSSGQPTMTSLTGSGALTGTAQVPPNSGLSTFSSASSNVAGNSNIGISQPTGNVQGAVNIGQSVPGMSQGNHSGTQMMQSGVGMSQNMSALGQSTVSSGNGTMIPTPGMSQQVQSGMQTLGVSNSSAASMPLSQQTSSALQSAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETLAAHWPQTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPQQTLLLSVSDKACRLIGMLFPGDMVVFKPQMSSQQQLQLQQQQQQQQMQPQLQQQQQQQNPQLQQQQLPHLQQQQQLPQLQQQQQQLPQLQQQQLSQLQQQQQQQQQQQQQLQQQSQHPQMQQQQMVGSGMGPAYVQGPGRSQLVSQGQVPSQAPPNMPGGGFMS >KJB80077 pep chromosome:Graimondii2_0_v6:13:10924217:10932110:1 gene:B456_013G080300 transcript:KJB80077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 25 [Source:Projected from Arabidopsis thaliana (AT1G25540) UniProtKB/Swiss-Prot;Acc:Q7XYY2] MAEKQLIVAVEGTAAMGPYWHIVVSDYLDKIIRCFCSSELTGQKNSTSNVEFSLVTFNTHGSYCACLVQRSGWTKDVDIFLQWLSAIPFSGGGFNDAAIAEGLSEALMMFPIASNGNQTPQNVDGQRHCILVAASNPYPLPTPVYRPQIQNLEQVENSEAQTESCLSDAETVARSFAQCSVSLSVICPKQLAKLKAIYSAGKRNPRAADPPVDNVKNSQFLVLISENFMEGRAALSRSGVPSLATNQSPVKMDMASVTSVMGPSPSSVNGSMVGKLPVSVGNVATATVKVEPTTITSMATGPAFSHVPSVPRVPSQAIPTLQTSSPLTNTQEGMTSGDNMQELKPSVSGMTQPSRPVPPAAANVNILNNLSQARVMSSAALTGGTSIGLQSMGQTPVAMHMSNMISSGMASSVPPAHTVLSSGQPTMTSLTGSGALTGTAQVPPNSGLSTFSSASSNVAGNSNIGISQPTGNVQGAVNIGQSVPGMSQGNHSGTQMMQSGVGMSQNMSALGQSTVSSGNGTMIPTPGMSQQVQSGMQTLGVSNSSAASMPLSQQTSSALQSAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETLAAHWPQTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPQQTLLLSVSDKACRLIGMLFPGLHSSNTPPGLHPSLDKPKKLNEMDMVVFKPQMSSQQQLQLQQQQQQQQMQPQLQQQQQHYHSCNSSSFPSCSSNNNSSSSSSSNCSNSRNTLRCSNNKWLVQEWVLLTFKVQDDHN >KJB80074 pep chromosome:Graimondii2_0_v6:13:10924194:10932227:1 gene:B456_013G080300 transcript:KJB80074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 25 [Source:Projected from Arabidopsis thaliana (AT1G25540) UniProtKB/Swiss-Prot;Acc:Q7XYY2] MAEKQLIVAVEGTAAMGPYWHIVVSDYLDKIIRCFCSSELTGQKNSTSNVEFSLVTFNTHGSYCACLVQRSGWTKDVDIFLQWLSAIPFSGGGFNDAAIAEGLSEALMMFPIASNGNQTPQNVDGQRHCILVAASNPYPLPTPVYRPQIQNLEQVENSEAQTESCLSDAETVARSFAQCSVSLSVICPKQLAKLKAIYSAGKRNPRAADPPVDNVKNSQFLVLISENFMEGRAALSRSGVPSLATNQSPVKMDMASVTSVMGPSPSSVNGSMVGKLPVSVGNVATATVKVEPTTITSMATGPAFSHVPSVPRVPSQAIPTLQTSSPLTNTQEGMTSGDNMQELKPSVSGMTQPSRPVPPAAANVNILNNLSQARVMSSAALTGGTSIGLQSMGQTPVAMHMSNMISSGMASSVPPAHTVLSSGQPTMTSLTGSGALTGTAQVPPNSGLSTFSSASSNVAGNSNIGISQPTGNVQGAVNIGQSVPGMSQGNHSGTQMMQSGVGMSQNMSALGQSTVSSGNGTMIPTPGMSQQVQSGMQTLGVSNSSAASMPLSQQTSSALQSAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETLAAHWPQTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPQQTLLLSVSDKACRLIGMLFPGLHSSNTPPGLHPSLDKPKKLNEMDMVVFKPQMSSQQQLQLQQQQQQQQMQPQLQQQQQQQNPQLQQQQLPHLQQQQQLPQLQQQQQQLPQLQQQQLSQLQQQQQQQQQQQQQLQQQSQHPQMQQQQMVGSGMGPAYVQGPGRSQLVSQGQVPSQAPPNMPGGGFMS >KJB80076 pep chromosome:Graimondii2_0_v6:13:10924217:10932110:1 gene:B456_013G080300 transcript:KJB80076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 25 [Source:Projected from Arabidopsis thaliana (AT1G25540) UniProtKB/Swiss-Prot;Acc:Q7XYY2] MAEKQLIVAVEGTAAMGPYWHIVVSDYLDKIIRCFCSSELTGQKNSTSNVEFSLVTFNTHGSYCACLVQRSGWTKDVDIFLQWLSAIPFSGGGFNDAAIAEGLSEALMMFPIASNGNQTPQNVDGQRHCILVAASNPYPLPTPVYRPQIQNLEQVENSEAQTESCLSDAETVARSFAQCSVSLSVICPKQLAKLKAIYSAGKRNPRAADPPVDNVKNSQFLVLISENFMEGRAALSRSGVPSLATNQSPVKMDMASVTSVMGPSPSSVNGSMVGKLPVSVGNVATATVKVEPTTITSMATGPAFSHVPSVPRVPSQAIPTLQTSSPLTNTQEGMTSGDNMQELKPSVSGMTQPSRPVPPAAANVNILNNLSQARVMSSAALTGGTSIGLQSMGQTPVAMHMSNMISSGMASSVPPAHTVLSSGQPTMTSLTGSGALTGTAQVPPNSGLSTFSSASSNVAGNSNIGISQPTGNVQGAVNIGQSVPGMSQGNHSGTQMMQSGVGMSQNMSALGQSTVSSGNGTMIPTPGMSQQVQSGMQTLGVSNSSAASMPLSQQTSSALQSAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETLAAHWPQTMQIVRLISQDHMNNKAMNQHGFLGQLQEKKLCAVIQLPQQTLLLSVSDKACRLIGMLFPGDMVVFKPQMSSQQQLQLQQQQQQQQMQPQLQQQQQQQNPQLQQQQLPHLQQQQQLPQLQQQQQQLPQLQQQQLSQLQQQQQQQQQQQQQLQQQSQHPQMQQQQMVGSGMGPAYVQGPGRSQLVSQGQVPSQAPPNMPGGGFMS >KJB80075 pep chromosome:Graimondii2_0_v6:13:10924217:10931270:1 gene:B456_013G080300 transcript:KJB80075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 25 [Source:Projected from Arabidopsis thaliana (AT1G25540) UniProtKB/Swiss-Prot;Acc:Q7XYY2] MAEKQLIVAVEGTAAMGPYWHIVVSDYLDKIIRCFCSSELTGQKNSTSNVEFSLVTFNTHGSYCACLVQRSGWTKDVDIFLQWLSAIPFSGGGFNDAAIAEGLSEALMMFPIASNGNQTPQNVDGQRHCILVAASNPYPLPTPVYRPQIQNLEQVENSEAQTESCLSDAETVARSFAQCSVSLSVICPKQLAKLKAIYSAGKRNPRAADPPVDNVKNSQFLVLISENFMEGRAALSRSGVPSLATNQSPVKMDMASVTSVMGPSPSSVNGSMVGKLPVSVGNVATATVKVEPTTITSMATGPAFSHVPSVPRVPSQAIPTLQTSSPLTNTQEGMTSGDNMQELKPSVSGMTQPSRPVPPAAANVNILNNLSQARVMSSAALTGGTSIGLQSMGQTPVAMHMSNMISSGMASSVPPAHTVLSSGQPTMTSLTGSGALTGTAQVPPNSGLSTFSSASSNVAGNSNIGISQPTGNVQGAVNIGQSVPGMSQGNHSGTQMMQSGVGMSQNMSALGQSTVSSGNGTMIPTPGMSQQVQSGMQTLGVSNSSAASMPLSQQTSSALQSAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETLAAHWPQTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPQQTLLLSVSDKACRLIGMLFPGVRMF >KJB79035 pep chromosome:Graimondii2_0_v6:13:2318383:2320818:1 gene:B456_013G030500 transcript:KJB79035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKKPPFPLILCFYLLASFFFFPYGTVSRKLQEEEGGNGPSKAGVKRSKSKSASSWGGQDSHPPPSSQVPEQERNCESTTNDNVVTTSCSSSAVYSSQVSSSNSDQVQNCESTTNDNVFTQSCSSSSSQSFTYYSKP >KJB82293 pep chromosome:Graimondii2_0_v6:13:48844829:48851547:1 gene:B456_013G187900 transcript:KJB82293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVVGDIASLDAELLHLQEMSPLALKSYPEFTQKLFEQWLSLPEANKLVTSLVNDAKAGNPLNVPANTSGGGSPSSNSLPSMFPAGSAPPLSPRSTSGSPRITKQRAGLSNLGSPLKVVSEPVKEFIPQFYFKNGHPPPNDLKEQYMSRISQFFAGHPEGLQLQEFKLVTKEVCKLPSFFSPSLFKKIDVNSTGLVTRDAFVDYWVNGNLLTKDVATQIYTVLKQPYLKYLVQDDFKPLLQELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRSGTGHLTLRELKRGNLIDAMLHCDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGVLTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIKPQDESYIMLRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEDDVEDASNGSAEVWDESLEAPF >KJB82291 pep chromosome:Graimondii2_0_v6:13:48845270:48850445:1 gene:B456_013G187900 transcript:KJB82291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVVGDIASLDAELLHLQEMSPLALKSYPEFTQKLFEQWLSLPEANKLVTSLVNDAKAGNPLNVPANTSGGGSPSSNSLPSMFPAGSAPPLSPRSTSGSPRITKQRAGLSNLGSPLKVVSEPVKEFIPQFYFKNGHPPPNDLKEQYMSRISQFFAGHPEGLQLQEFKLVTKEVCKLPSFFSPSLFKKIDVNSTGLVTRDAFVDYWVNGNLLTKDVATQIYTVLKQPYLKYLVQDDFKPLLQELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRSGTGHLTLRELKRGNLIDAMLHCDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGVLTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIKPQDESYIMLRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQVTVSDTSIIYLL >KJB82292 pep chromosome:Graimondii2_0_v6:13:48844829:48851603:1 gene:B456_013G187900 transcript:KJB82292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVVGDIASLDAELLHLQEMSPLALKSYPEFTQKLFEQWLSLPEANKLVTSLVNDAKAGNPLNVPANTSGGGSPSSNSLPSMFPAGSAPPLSPRSTSGSPRITKQRAGLSNLGSPLKVVSEPVKEFIPQFYFKNGHPPPNDLKEQYMSRISQFFAGHPEGLQLQEFKLVTKEVCKLPSFFSPSLFKKIDVNSTGLVTRDAFVDYWVNGNLLTKDVATQIYTVLKQPYLKYLVQDDFKPLLQELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRSGTGHLTLRELKRGNLIDAMLHCDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGVLTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIKPQDESYIMLRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEDDVEDASNGSAEVWDESLEAPF >KJB79686 pep chromosome:Graimondii2_0_v6:13:6806979:6811320:-1 gene:B456_013G062000 transcript:KJB79686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLGDNNNPSCQNNISSKAKDKMKNKKKKRGGSKRKMTAEQTSAFKSVTEWVYLDRHHHPNSSSTAGLSSWVVDDFGVQKSLGRGTEKMVFELHSHSKHSDGFLSPSKLVERAHGNGVKVLALTDHDTMSGITEAVEAARRFGIKIIPGVEISTIFSPRNPEMEEPVHILAYYSSCGPTRYEELDKLLANIREGRYVRAKDMVLKLNKLKLPLKWEHVTKIAGKGVAPGRLHVARAMVEAGYVENLKQAFARYLYDGGPAYSTGSEPLAEEAVQLICETGGLAVLAHPWALKNPIPIIRRLKDAGLHGMEVYRSDGRLAAYNDLADTYNLLKLGGSDYHGRGGHGESELGSVNLPVVVLHDFLKVARPIWCSAIKDILEGYAKEPSDTNLAKIARFTRMGSFKGSTPLSCGKDLIDRCLASWLTAEEQQNDEFEAIRLKLSHATINLSGVQVPIETK >KJB79685 pep chromosome:Graimondii2_0_v6:13:6806979:6808963:-1 gene:B456_013G062000 transcript:KJB79685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGITEAVEAARRFGIKIIPGVEISTIFSPRNPEMEEPVHILAYYSSCGPTRYEELDKLLANIREGRYVRAKDMVLKLNKLKLPLKWEHVTKIAGKGVAPGRLHVARAMVEAGYVENLKQAFARYLYDGGPAYSTGSEPLAEEAVQLICETGGLAVLAHPWALKNPIPIIRRLKDAGLHGMEVYRSDGRLAAYNDLADTYNLLKLGGSDYHGRGGHGESELGSVNLPVVVLHDFLKVARPIWCSAIKDILEGYAKEPSDTNLAKIARFTRMGSFKGSTPLSCGKDLIDRCLASWLTAEEQQNDEFEAIRLKLSHATINLSGVQVPIETK >KJB79687 pep chromosome:Graimondii2_0_v6:13:6807215:6808682:-1 gene:B456_013G062000 transcript:KJB79687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGITEAVEAARRFGIKIIPGVEISTIFSPRNPEMEEPVHILAYYSSCGPTRYEELDKLLANIREGRYVRAKDMVLKLNKLKLPLKWEHVTKIAGKGVAPGRLHVARAMVEAGYVENLKQAFARYLYDGGPAYSTGSEPLAEEAVQLICETGGLAVLAHPWALKNPIPIIRRLKDAGLHGMEVYRSDGRLAAYNDLADTYNLLKLGGSDYHGRGGHGESELGSVNLPVVVLHDFLKVARPIWCSAIKDILEGYAKEPSDTNLAKIARFTRMGSFKGSTPLSCGKDLIDRCLASWLTAEEQQNDEFEAIRLKLSHATINLSGVQVPIETK >KJB79688 pep chromosome:Graimondii2_0_v6:13:6806979:6811226:-1 gene:B456_013G062000 transcript:KJB79688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLGDNNNPSCQNNISSKAKDKMKNKKKKRGGSKRKMTAEQTSAFKSVTEWVYLDRHHHPNSSSTAGLSSWVVDDFGVQKSLGRGTEKMVFELHSHSKHSDGFLSPSKLVERAHGNGVKVLALTDHDTMSGITEAVEAARRFGIKIIPGVEISTIFSPRNPEMEEPVHILAYYSSCGPTRYEELDKLLANIREGRYVRAKDMVLKLNKLKLPLKWEHVTKIAGKGVAPGRLHVARAMVEAGYVENLKQAFARYLYDGGPAYSTGSEPLAEEAVQLICETGGLAVLAHPWALKNPIPIIRRLKDAGLHGMEVYRSDGRLAAYNDLADTYNLLKLGGSDYHGRGGHGESELGSVNLPVVVLHDFLKVARPIWCSAIKDILEGYAKEPSDTNLAKIARFTRMGSFKGSTPLSCGKDLIDRCLASWLTAEEQQNDEFEAIRLKLSHATINLSGVQVPIETK >KJB79710 pep chromosome:Graimondii2_0_v6:13:7052695:7056907:1 gene:B456_013G063300 transcript:KJB79710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNTNVRFSHVSELSKNENFGDTTLRLNFLGHGGSNKAGFGSTQSDLHVDLSSAPDDGCRLVLGLGPTPSVYCNDYHNVGLNKNKSTAALFTPGLSPEDNSILKLGLSGGTKGSMNLLERSLSTETDVSVHFSNQFSAEGSQLSIPFVDEGSTSAKKSGGYMPSLLLAPRMDSGKASVQTHELFQFGAKSHSHQFHLSCEHSTQTDFSVDTISEQTTTITSSDYRTSNSKKCKFAGCFKGARGATGLCIGHGGGQRCQKAGCNKGAESRTVFCKAHGGGRRCQHLGCTKSAEGKTDFCIAHGGGRRCGFSGGCTKAARGKSGLCIRHGGGKRCKVEGCTRSAEGQAGLCISHGGGRRCQFPACTKGAQGSTMFCKAHGGGKRCIFAGCTKGAEGSTPLCKGHGGGKRCLYNGGGICPKSVHGGTNFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCKFENCGKSAQGSTDLCKAHGGGKRCSWGEGKCEKFARGRSGLCAAHSSMLQERQASKGGLIAPGVFHGLVSATSTTGSSSNNYHSSSGNSVISDCIDSPDKPVERQQLIPPQVLVPLSMKSSASYSSFLSSEQQDEGINRHGNHIAGGVGNTSFDFLIPEGRVHGGGLMSLLGGNLKNPIDRI >KJB79709 pep chromosome:Graimondii2_0_v6:13:7052706:7056917:1 gene:B456_013G063300 transcript:KJB79709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNTNVRFSHVSELSKNENFGDTTLRLNFLGHGGSNKAGFGSTQSDLHVDLSSAPDDGCRLVLGLGPTPSVYCNDYHNVGLNKNKSTAALFTPGLSPEDNSILKLGLSGGTKGSMNLLERSLSTETDVSVHFSNQFSAEGSQLSIPFVDEGSTSAKKSGGYMPSLLLAPRMDSGKASVQTHELFQFGAKSHSHQFHLSCEHSTQTDFSVDTISEQTTTITSSDYRTSNSKKCKFAGCFKGARGATGLCIGHGGGQRCQKAGCNKGAESRTVFCKAHGGGRRCQHLGCTKSAEGKTDFCIAHGGGRRCGFSGGCTKAARGKSGLCIRHGGGKRCKVEGCTRSAEGQAGLCISHGGGRRCQFPACTKGAQGSTMFCKAHGGGKRCIFAGCTKGAEGSTPLCKGHGGGKRCLYNGGGICPKSVHGGTNFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCKFENCGKSAQGSTDLCKAHGGGKRCSWGEGKCEKFARGRSGLCAAHSSMLQERQASKGGLIAPGVFHGLVSATSTTGSSSNNYHSSSGNSVISDCIDSPDKPVERQQLIPPQVLVPLSMKSSASYSSFLSSEQQDEGINRHGNHIAGGVGNTSFDFLIPEGRVHGGGLMSLLGGNLKNPIDRI >KJB82400 pep chromosome:Graimondii2_0_v6:13:49525624:49526983:1 gene:B456_013G193800 transcript:KJB82400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSGENEEKAKSVETEEKKVEEKEEEKEKEKEKEKDTEVKEKEEKEKEKDKDKEVKGDEEKEKEKEKKKKKKKDKDGKEKEKKKKKKKDKELEEGKSEEKKKKRRRKKKKDKGSSSSSSSSSSSSSSSSSSSSSSSSDSDSDKKKKRKEKKKKKQEKEDDKEPKEDEHKEKKDDETKEKKKKKKKDKDHEEGEGKGKVKDLSKLNRMLERTNKRLEILIARKADIEMRIKEAEEKKCSEAAAAAAAAAAAAAKAAIEEQITEATL >KJB83117 pep chromosome:Graimondii2_0_v6:13:54890509:54895313:-1 gene:B456_013G230400 transcript:KJB83117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVAVGAAAVCAAAVCAAAALVVHHRMKSSGKWAQAQSILKDFEEKCGTPISKLKQVADAMTVEMHAGLASEGGSKLKMIISYVDNLPTGDEKGLFYALDLGGTNFRVLRVHLGGKEHRVVKQEFEEVSIPPHLMTGSSDALFDYIAEALAKFVATESEGLHFSPDRQRELGFTFSFPVRQTSISSGNLIKWTKGFSIEEAVGQDVVGELTKAMERIGLDMRVTALVNDTIGTLAGGRYNNPDVVAAVILGTGTNAAYVERAHAIPKWHGLLPKSGDMVINMEWGNFRSSHLPISEYDQALDTESLNPGEQIFEKLISGMYLGEVVRRVLYKMADEAAFFGDTIPEKLKIPFILRTPHMSAMHQDTSPDLKIVATKLKDILEISNTSLKMRKVIVELCDIVATRGARLSAAGIAGILKKIGRDTLKDGEKQKSVVSLDGGLYEHYTKFRTCMENTLTELLGEEVSENIIIEHSNDGSGIGAALLAASHSQYIGIDES >KJB83118 pep chromosome:Graimondii2_0_v6:13:54891922:54895283:-1 gene:B456_013G230400 transcript:KJB83118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVAVGAAAVCAAAVCAAAALVVHHRMKSSGKWAQAQSILKDFEEKCGTPISKLKQVADAMTVEMHAGLASEGGSKLKMIISYVDNLPTGDEKGLFYALDLGGTNFRVLRVHLGGKEHRVVKQEFEEVSIPPHLMTGSSDALFDYIAEALAKFVATESEGLHFSPDRQRELGFTFSFPVRQTSISSGNLIKWTKGFSIEEAVGQDVVGELTKAMERIGLDMRVTALVNDTIGTLAGGRYNNPDVVAAVILGTGTNAAYVERAHAIPKWHGLLPKSGDMVINMEWGNFRSSHLPISEYDQALDTESLNPGEQIFEKLISGMYLGEVVRRVLYKMADEAAFFGDTIPEKLKIPFILRYVFNMLPS >KJB80006 pep chromosome:Graimondii2_0_v6:13:9786611:9789886:1 gene:B456_013G077000 transcript:KJB80006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVEEDDPKQNNVKTRPKGGLVTMPFIFSNEICEKLAVVGFNTNMISYLTTQLHMPLTKAANTLTNFGGTASLTPLLGAFISDSYAGRFWTITVASIIYQIGMTCLTLSAILPQLRPPPCKGEQVCQQATAGQLAILYGSLLLGALGSGGIRPCVVAFGADQFDESNPKEATKTWKYFNWYYFVMGVSILVAVTVLVYIQDNIGWGWGLGVPTIAMFLSIITFIIGYPLYRNMDPAGSPFTRLLQVSVSAFKKRKLAMVSDPNLLYRNDELDASISVGGKLVHTPDMTFLDKAAIVTEEDIVKPGQAPNLWRLNTIHRVEELKAVIRMGPIWAAGILLITAYAQQNTFSLQQAKTMNRHLTKSFEIPAGSMTVFTMVSMLSTIALYDRVLVRIARRYTGLDRGISFLHRMGIGFMISVLATLVAGFTEVKRKEAALAHGLQDKAHAIIPISVFWLVPQYSLHGIAEAFMSIGHLEFFYDQAPESMRSTATALFWTAISVGNYMSTLLVSLVHKFSARADGSNWLPDNNLNEGKLEYFYWLITALQVVNLIYYICCAKLYTYKPIQIHGKDSESEGDAGVELASKV >KJB79376 pep chromosome:Graimondii2_0_v6:13:4080391:4082121:1 gene:B456_013G046300 transcript:KJB79376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISGIQGHLLQVTVVGCTNLKNTEWISRQDPYVCLEYGSTQHRTSTCTDGGKMPIFQEKFTFSLIEGLREINVVVWNSNTVTYDDFIGIGKVQLQRVLSYGYDDNPWPLQTKTGRYAGEARLIMRYEKAQNPVIPFAPSAPPYATSPYQFPPYSTLPQSYPTPSPYPAYPSYPSSMYPPLSTYPPPSAYPPPPLPSAYPPASPYYPPGPGFYPPPPY >KJB82307 pep chromosome:Graimondii2_0_v6:13:48887627:48891114:1 gene:B456_013G188300 transcript:KJB82307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPKLSILFLLLLIIIQTKAALGVKAQSSSKEAYVTLLYGDEFLLGVRVLGKSIRDTGSTRDMVVLVSDGVSDYAKKLLKADGWMVEMISLLANPNQVRPKRFWGVYTKLKIFNMTNYKKVVYLDADTIVVKSIEDLFKCQKFCANLKHSERLNSGVMVVEPSEAVFNDMMSKVNTLPSYTGGDQGFLNSYYSDFPNAHVFDPNIPEEVLKSRPAPEMERLSTLYNADVGLYMLANKWMVDESELRVIHYTLGPLKPWDWWTSWLLKPVDVWQNVREQLKESLPGTGGGKNPNDELLVKFLFLLPFCALLFCFYRSFIQTGGSVCRTSLCNQVRHFYHIIRSSGTVAYTSVSSSSTINANSQCTEQGACILGCNFSFRMLYGCCGVPWNFYFNCASTIDAMDWFALDV >KJB82306 pep chromosome:Graimondii2_0_v6:13:48887611:48891197:1 gene:B456_013G188300 transcript:KJB82306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPKLSILFLLLLIIIQTKAALGVKAQSSSKEAYVTLLYGDEFLLGVRVLGKSIRDTGSTRDMVVLVSDGVSDYAKKLLKADGWMVEMISLLANPNQVRPKRFWGVYTKLKIFNMTNYKKVVYLDADTIVVKSIEDLFKCQKFCANLKHSERLNSGVMVVEPSEAVFNDMMSKVNTLPSYTGGDQGFLNSYYSDFPNAHVFDPNIPEEVLKSRPAPEMERLSTLYNADVGLYMLANKWMVDESELRVIHYTLGPLKPWDWWTSWLLKPVDVWQNVREQLKESLPGTGGGKNPNDELLVKFLFLLPFCALLFCFYRSFIQTGGSVCRTSLCNQVRHFYHIIRSSGTVAYTSVSSSSTINANSQFPNSAQNKVPAYLGAISVFVCFMAAVVSLGISISIVPRQLMPWTGLLLMYEWTFSIFFLSFGAFLHLIYLWGKREPGPVSSRMDPIDSDSRKGYRQASSCDIVTWYYGLGMAFLAIAAPSLPCIFGITALFMRLGLMVVGGLILAAFMTYASEHLAIRSFLKGLEDRNTTRSRSACFLC >KJB78713 pep chromosome:Graimondii2_0_v6:13:974684:977763:-1 gene:B456_013G014300 transcript:KJB78713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVNVANKDINVSLAEKKPRVVFVLGGPGSGKGTQCANIVEHFHYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLEKAMLESGNDKFLIDGFPRNEENRAAFEAVTKIEPEFVLFFNCPEEEMEKRLLSRNQGREDDNIETIRKRFKVFLDSSLPVIEYYKAKGKVREIEAAKPIGEVFEAVKVVFTPKAEKVVA >KJB78716 pep chromosome:Graimondii2_0_v6:13:974684:978052:-1 gene:B456_013G014300 transcript:KJB78716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVNVANKDINVSLAEKKPRVVFVLGGPGSGKGTQCANIVEHFHYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLEKAMLESGNDKFLIDGFPRNEENRAAFEAVTKIEPEFVLFFNCPEEEMEKRLLSRNQGREDDNIETIRKRFKVFLDSSLPVIEYYKAKGKVREIEAAKPIGEVFEAVKVVFTPKAEKVKKSIGLSLFTLETNRASSTHGRYALHIQVVA >KJB78718 pep chromosome:Graimondii2_0_v6:13:974684:977997:-1 gene:B456_013G014300 transcript:KJB78718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVNVANKDINVSLAEKKPRVVFVLGGPGSGKGTQCANIVEHFHYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLEKAMLESGNDKFLIDGFPRNEENRAAFEAVTKIEPEFVLFFNCPEEEMEKRLLSRNQGREDDNIETIRKRFKVFLDSSLPVIEYYKAKGKVREIEAAKPIGEVFEAVKVVFTPKAEKVVA >KJB78717 pep chromosome:Graimondii2_0_v6:13:974679:978496:-1 gene:B456_013G014300 transcript:KJB78717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVNVANKDINVSLAEKKPRVVFVLGGPGSGKGTQCANIVEHFHYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLEKAMLESGNDKFLIDGFPRNEENRAAFEAVTKIEPEFVLFFNCPEEEMEKRLLSRNQGREDDNIETIRKRFKVFLDSSLPVIEYYKAKGKVREIEAAKPIGEVFEAVKVVFTPKAEKVVA >KJB78715 pep chromosome:Graimondii2_0_v6:13:974684:978311:-1 gene:B456_013G014300 transcript:KJB78715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVNVANKDINVSLAEKKPRVVFVLGGPGSGKGTQCANIVEHFHYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLEKAMLESGNDKFLIDGFPRNEENRAAFEAVTKIEPEFVLFFNCPEEEMEKRLLSRNQGREDDNIETIRKRFKVFLDSSLPVIEYYKAKGKVREIEAAKPIGEVFEAVKVVFTPKAEKVVA >KJB78714 pep chromosome:Graimondii2_0_v6:13:974684:978069:-1 gene:B456_013G014300 transcript:KJB78714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVNVANKDINVSLAEKKPRVVFVLGGPGSGKGTQCANIVEHFHYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLEKAMLESGNDKFLIDGFPRNEENRAAFEAVTKIEPEFVLFFNCPEEEMEKRLLSRNQGREDDNIETIRKRFKVFLDSSLPVIEYYKAKGKVREIEAAKPIGEVFEAVKVVFTPKAEKVVA >KJB80249 pep chromosome:Graimondii2_0_v6:13:13950811:13953218:1 gene:B456_013G089000 transcript:KJB80249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSVLVLVLLVGVLFRASQSLRFDLQSGQTKCIAEDIKSNSMTVGKYHVVKPNEDHPLPDSHKLTVRVTSSYGNNFHSAEKVENGQFAFTATEQGDYMACFWAPEHSPPITVTVDFDWRTGVHTKDWTNVAKKGQVDAMELELKNLHDTIQSIHEEMFYLRERRRNAGTEQSYNLQNVLVVVPLTVSLPISSGITILAFENFLREEEAYLSS >KJB80251 pep chromosome:Graimondii2_0_v6:13:13950845:13953211:1 gene:B456_013G089000 transcript:KJB80251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSVLVLVLLVGVLFRASQSLRFDLQSGQTKCIAEDIKSNSMTVGKYHVVKPNEDHPLPDSHKLTVRVTSSYGNNFHSAEKVENGQFAFTATEQGDYMACFWAPEHSPPITVTVDFDWRTGVHTKDWTNVAKKGQVDAMELELKNLHDTIQSIHEEMFYLREREEEMQELNKATTSKMFWLSFLSLFLCLSVAGLQFWHLKTFFEKKKLI >KJB80250 pep chromosome:Graimondii2_0_v6:13:13951056:13952607:1 gene:B456_013G089000 transcript:KJB80250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSVLVLVLLVGVLFRASQSLRFDLQSGQTKCIAEDIKSNSMTVGKYHVVKPNEDHPLPDSHKLTVRVTSSYGNNFHSAEKVENGQFAFTATEQGDYMACFWAPEHSPPITVTVDFDWRTGVHTKDWTNVAKKGQVDAMELELKNLHDTIQSIHEEMFYLRER >KJB80248 pep chromosome:Graimondii2_0_v6:13:13950811:13951796:1 gene:B456_013G089000 transcript:KJB80248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSVLVLVLLVGVLFRASQSLRFDLQSGQTKCIAEDIKSNSMTVGKYHVVKPNEDHPLPDSHKLTVRVTSSYGNNFHSAEKVENGQFAFTATEQGDYMACFWAPEHSPPITVTVDFDWRTGVHTKDWTNVAKKGQVDVSIIIPSHEYVALGGGLAGEGTIIVASTIYIVELFFVTMVQFRVLVVLSVESYVDLL >KJB80252 pep chromosome:Graimondii2_0_v6:13:13950811:13953211:1 gene:B456_013G089000 transcript:KJB80252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSVLVLVLLVGVLFRASQSLRFDLQSGQTKCIAEDIKSNSMTVGKYHVVKPNEDHPLPDSHKLTVRVTSSYGNNFHSAEKVENGQFAFTATEQGDYMACFWAPEHSPPITVTVDFDWRTGVHTKDWTNVAKKGQVDAMELELKNLHDTIQSIHEEMFYLREREEEMQELNKATTSKMFWLSFLSLFLCLSVAGLQFWHLKTFFEKKKLI >KJB81149 pep chromosome:Graimondii2_0_v6:13:34283765:34287288:-1 gene:B456_013G131200 transcript:KJB81149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAETKARHMEEEIFKLQTRLEERNGQLQASASAAEKYLRELDDLRSQLSVTQATADASAASAQSAQLQCVTLLKELDEKNSSLKEHEDRVTRLGEQLDNLQKDLQARECSQKLLKDEVLRIEHDIMQAVAKAGANKDCELRKLLDEVSPKNFEKINKLLTVKDEEITKLKDEIRIMSAHWKLKTKELESQLEKQRRADQELKKRVLKLEFCLQEARSQTRKLQRVILV >KJB81144 pep chromosome:Graimondii2_0_v6:13:34283194:34287107:-1 gene:B456_013G131200 transcript:KJB81144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSNQSSLSSSSSSMDFDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFAQETLTRQASETKARHMEEEIFKLQTRLEERNGQLQASASAAEKYLRELDDLRSQLSVTQATADASAASAQSAQLQCVTLLKELDEKNSSLKEHEDRVTRLGEQLDNLQKDLQARECSQKLLKDEVLRIEHDIMQAVAKAGANKDCELRKLLDEVSPKNFEKINKLLTVKDEEITKLKDEIRIMSAHWKLKTKELESQLEKQRRADQELKKRVLKLEFCLQEARSQTRKLQRMGERRDKALKELRDQLAAKQQSGGVGAEKQNFWETSGFKIVVSMSMLILVVFSKR >KJB81146 pep chromosome:Graimondii2_0_v6:13:34283194:34287107:-1 gene:B456_013G131200 transcript:KJB81146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSNQSSLSSSSSSMDFDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFAQETLTRQEAETKARHMEEEIFKLQTRLEERNGQLQASASAAEKYLRELDDLRSQLSVTQATADASAASAQSAQLQCVTLLKELDEKNSSLKEHEDRVTRLGEQLDNLQKDLQARECSQKLLKDEVLRIEHDIMQAVAKAGANKDCELRKLLDEVSPKNFEKINKLLTVKDEEITKLKDEIRIMSAHWKLKTKELESQRNSGELIKN >KJB81145 pep chromosome:Graimondii2_0_v6:13:34283194:34287288:-1 gene:B456_013G131200 transcript:KJB81145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSNQSSLSSSSSSMDFDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFAQETLTRQASETKARHMEEEIFKLQTRLEERNGQLQASASAAEKYLRELDDLRSQLSVTQATADASAASAQSAQLQCVTLLKELDEKNSSLKEHEDRVTRLGEQLDNLQKDLQARECSQKLLKDEVLRIEHDIMQAVAKAGANKDCELRKLLDEVSPKNFEKINKLLTVKDEEITKLKDEIRIMSAHWKLKTKELESQLEKQRRADQELKKRVLKLEFCLQEARSQTRKLQRMGERRDKALKELRDQLAAKQQSGGVGAEKQNFWETSGFKIVVSMSMLILVVFSKR >KJB81141 pep chromosome:Graimondii2_0_v6:13:34283150:34287288:-1 gene:B456_013G131200 transcript:KJB81141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSNQSSLSSSSSSMDFDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFAQETLTRQEAETKARHMEEEIFKLQTRLEERNGQLQASASAAEKYLRELDDLRSQLSVTQATADASAASAQSAQLQCVTLLKELDEKNSSLKEHEDRVTRLGEQLDNLQKDLQARECSQKLLKDEVLRIEHDIMQAVAKAGANKDCELRKLLDEVSPKNFEKINKLLTVKDEEITKLKDEIRIMSAHWKLKTKELESQLEKQRRADQELKKRVLKLEFCLQEARSQTRKLQRMGERRDKALKELRDQLAAKQQSGGVGAEKQNFWETSGFKIVVSMSMLILVVFSKR >KJB81140 pep chromosome:Graimondii2_0_v6:13:34283190:34286743:-1 gene:B456_013G131200 transcript:KJB81140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSNQSSLSSSSSSMDFDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFAQETLTRQEAETKARHMEEEIFKLQTRLEERNGQLQASASAAEKYLRELDDLRSQLSVTQATADASAASAQSAQLQCVTLLKELDEKNSSLKEHEDRVTRLGEQLDNLQKDLQARECSQKLLKDEVLRIEHDIMQAVAKAGANKDCELRKLLDEVSPKNFEKINKLLTVKDEEITKLKDEIRIMSAHWKLKTKELESQLEKQRRADQELKKRVLKLEFCLQEARSQTRKLQRMGERRDKALKELRDQLAAKQQSGGVGAEKQNFWETSGFKIVVSMSMLILVVFSKR >KJB81147 pep chromosome:Graimondii2_0_v6:13:34283575:34286003:-1 gene:B456_013G131200 transcript:KJB81147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAETKARHMEEEIFKLQTRLEERNGQLQASASAAEKYLRELDDLRSQLSVTQATADASAASAQSAQLQCVTLLKELDEKNSSLKEHEDRVTRLGEQLDNLQKDLQARECSQKLLKDEVLRIEHDIMQAVAKAGANKDCELRKLLDEVSPKNFEKINKLLTVKDEEITKLKDEIRIMSAHWKLKTKELESQLEKQRRADQELKKRVLKLEFCLQEARSQTRKLQRMGERRDKALKELRDQLAAKQQSGGVGAEKQNFWETSGFKIVVSMSMLILVVFSKR >KJB81148 pep chromosome:Graimondii2_0_v6:13:34283575:34286003:-1 gene:B456_013G131200 transcript:KJB81148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAETKARHMEEEIFKLQTRLEERNGQLQASASAAEKYLRELDDLRSQLSVTQATADASAASAQSAQLQCVTLLKELDEKNSSLKEHEDRVTRLGEQLDNLQKDLQARECSQKLLKDEVLRIEHDIMQAVAKAGANKDCELRKLLDEVSPKNFEKINKLLTVKDEEITKLKDEIRIMSAHWKLKTKELESQLEKQRRADQELKKRVLKLEFCLQEARSQTRKLQRMGERRDKALKELRDQLAAKQQSGGVGAEKQNFWETSGFKIVVSMSMLILVVFSKR >KJB81142 pep chromosome:Graimondii2_0_v6:13:34283575:34286212:-1 gene:B456_013G131200 transcript:KJB81142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSNQSSLSSSSSSMDFDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFAQETLTRQEAETKARHMEEEIFKLQTRLEERNGQLQASASAAEKYLRELDDLRSQLSVTQATADASAASAQSAQLQCVTLLKELDEKNSSLKEHEDRVTRLGEQLDNLQKDLQARECSQKLLKDEVLRIEHDIMQAVAKAGANKDCELRKLLDEVSPKNFEKINKLLTVKDEEITKLKDEIRIMSAHWKLKTKELESQLEKQRRADQELKKRVLKLEFCLQEARSQTRKLQRMGERRDKALKELRDQLAAKQQSGGVGAEKQNFWETSGFKIVVSMSMLILVVFSKR >KJB81143 pep chromosome:Graimondii2_0_v6:13:34283194:34285638:-1 gene:B456_013G131200 transcript:KJB81143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLFYLDLLKFLMMIHASFIKPFWLFLFSYVFGEPIKGKYLRELDDLRSQLSVTQATADASAASAQSAQLQCVTLLKELDEKNSSLKEHEDRVTRLGEQLDNLQKDLQARECSQKLLKDEVLRIEHDIMQAVAKAGANKDCELRKLLDEVSPKNFEKINKLLTVKDEEITKLKDEIRIMSAHWKLKTKELESQLEKQRRADQELKKRVLKLEFCLQEARSQTRKLQRMGERRDKALKELRDQLAAKQQSGGVGAEKQNFWETSGFKIVVSMSMLILVVFSKR >KJB83057 pep chromosome:Graimondii2_0_v6:13:54712646:54713587:-1 gene:B456_013G227500 transcript:KJB83057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSGMSDLTATWLSPASLFIFINITIAIIFLISRLCPHKTPYVADDYNSSSSLPPSLHRPPSFLSRIKSFNFSTYRFPLSNPDIDDDSAAYRLERAPSVLERVKSFNFYRYSYSPQSPETACIEPVQQSLLSRAPSLLERVKSFYKPDSVKPKESELTGTDSNCSETGLGPVHGEVKGVQSEPTVTQRELSEKMKKSRRKVEKEEEEVEKTTPFQDEDHSVDAKADDFINKFKQQLKLQRLDSILRHRKCSNGK >KJB83210 pep chromosome:Graimondii2_0_v6:13:55364489:55366141:-1 gene:B456_013G235700 transcript:KJB83210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIEVPQVLDLIAFITRSFPVSGNINVSQDLVLGTASNLIDLKPITASESDITLTETPVTRWPFYVLLAGSMFCLLSSSICHLFSCHSHRLNIMLMRMDYTGIAIMIIATFFPPVYYSFPCDPQWQFIYLGGITVLGLFTIVTLLSPAMSTNKFRPFRALLFASMGLFGIVPGTHAAIANWSNPRRNIALAYEFATSIFYITGVMFYVSRVPERFKPGWFDLAGHSHQIFHVMVVMGALAHYGASLVFLDWRNHQSC >KJB83211 pep chromosome:Graimondii2_0_v6:13:55364327:55366923:-1 gene:B456_013G235700 transcript:KJB83211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTLDSKLVAKPVEAGKLKKRVRSPSLLLPSSSYGLVSYKELPEYMKDNEFIVNYYRANWPLREALFSIFRWHNETLNVWTHLFGFVLFLGLTMASLIEVPQVLDLIAFITRSFPVSGNINVSQDLVLGTASNLIDLKPITASESDITLTETPVTRWPFYVLLAGSMFCLLSSSICHLFSCHSHRLNIMLMRMDYTGIAIMIIATFFPPVYYSFPCDPQWQFIYLGGITVLGLFTIVTLLSPAMSTNKFRPFRALLFASMGLFGIVPGTHAAIANWSNPRRNIALAYEFATSIFYITGVMFYVSRVPERFKPGWFDLAGHSHQIFHVMVVMGALAHYGASLVFLDWRNHQSC >KJB83289 pep chromosome:Graimondii2_0_v6:13:55817801:55818829:-1 gene:B456_013G239800 transcript:KJB83289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAEIELPTIEFRSSDLKRGTEGWNRLCKRVREACETFGCFDVVYKKISTKIREDAFELLKELVEVPVERKQKNTSPLPYHGWVGPCEQVSVLYEGFGVGDASNYDSVKSFAQLMWPNGHPRFTETIHTLTTQIEELNKLIWLMLTDSYGLREDSLKMNYTTLVRMMKYLAPPPGEYERGLFAHTDKPVSTLICEDKISGLEIEVSDGQWIKLTNLSPSSFVFMVGDPLKVLFLYLINIRLLIYLKLNINDLCEQKKQYKSQNQVRFYLFF >KJB83287 pep chromosome:Graimondii2_0_v6:13:55817032:55818872:-1 gene:B456_013G239800 transcript:KJB83287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAEIELPTIEFRSSDLKRGTEGWNRLCKRVREACETFGCFDVVYKKISTKIREDAFELLKELVEVPVERKQKNTSPLPYHGWVGPCEQVSVLYEGFGVGDASNYDSVKSFAQLMWPNGHPRFTETIHTLTTQIEELNKLIWLMLTDSYGLREDSLKMNYTTLVRMMKYLAPPPGEYERGLFAHTDKPVSTLICEDKISGLEIEVSDGQWIKLTNLSPSSFVFMVGDPLKAWSNGRLKSVNHRVMMSGDKDRYSIAAFVIPNEGTIIKAPKELIDDQHPQLFKEFDFMDFFLYAFSDPAKHIDNGQLLYSYASLSPPVSH >KJB83288 pep chromosome:Graimondii2_0_v6:13:55817041:55818829:-1 gene:B456_013G239800 transcript:KJB83288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAEIELPTIEFRSSDLKRGTEGWNRLCKRVREACETFGCFDVVYKKISTKIREDAFELLKELVEVPVERKQKNTSPLPYHGWVGPCEQVSVLYEGFGVGDASNYDSVKSFAQLMWPNGHPRFTETIHTLTTQIEELNKLIWLMLTDSYGLREDSLKMNYTTLVRMMKYLAPPPGEYERGLFAHTDKPVSTLICEDKISGLEIEAWSNGRLKSVNHRVMMSGDKDRYSIAAFVIPNEGTIIKAPKELIDDQHPQLFKEFDFMDFFLYAFSDPAKHIDNGQLLYSYASLSPPVSH >KJB83836 pep chromosome:Graimondii2_0_v6:13:57939311:57948159:1 gene:B456_013G266900 transcript:KJB83836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMTHHHNRESSVDKHLDTGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGYMRQQLHTVNASATDASCDSAVTTPQHSLRNANNPAGLLSIAEETLAEFLSKATGTAVNWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRKLEVFTMFPAGNGGTIELVYTQMFAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGSGAGPSVASAAQFVRAEVLPSGYLIRPCEGGGSIIHIVDQLNLEAWSVPEVLRPLYESSKVIAQKMTIPALRYVRQISQETSGEVVYSLGRQPAVLRTFSQRLSRGFNEAINGFNEDGWSIMNCDGTEDVIIAINSSKSLSNSSNLSTGLSFLGGVLCAKASMLLQDVPPAVLVRFLREHRLEWADFNVDAYSAASLKAGTYAYPGMRPTSFTGSQIIMPLGQTVEHEELLEVIRLEGQSLTQEDAFLSRDIHLLQICSGIDDNAVGACSELVFAPIDEMFPDDAALLPSGFRIILLESKPDSSATNRTLDLTSSLEVGPATSQAAGDSPCQNARSVLTIAFQFPFDTNLQDNVLTMARQYVRSVISSVQRIAMAISPCGSSPTIGPKPSPGSPEALTLAHWICQSYSFHLGEELLKSESLGGDSVLKNLWQHQDAILCCSLKSVPVFIFANQAGLDMLETTLVALQDITLDKIFDESGRKALCSDFTKLMQQGFTHLLAGVCMSTMGRHVSYEQAVAWKVLAADANTVHCLAFSFINWSFV >KJB83837 pep chromosome:Graimondii2_0_v6:13:57939600:57948028:1 gene:B456_013G266900 transcript:KJB83837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMTHHHNRESSVDKHLDTGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGYMRQQLHTVNASATDASCDSAVTTPQHSLRNANNPAGLLSIAEETLAEFLSKATGTAVNWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRKLEVFTMFPAGNGGTIELVYTQMFAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGSGAGPSVASAAQFVRAEVLPSGYLIRPCEGGGSIIHIVDQLNLEAWSVPEVLRPLYESSKVIAQKMTIPALRYVRQISQETSGEVVYSLGRQPAVLRTFSQRLSRGFNEAINGFNEDGWSIMNCDGTEDVIIAINSSKSLSNSSNLSTGLSFLGGVLCAKASMLLQDVPPAVLVRFLREHRLEWADFNVDAYSAASLKAGTYAYPGMRPTSFTGSQIIMPLGQTVEHEELLEVIRLEGQSLTQEDAFLSRDIHLLQICSGIDDNAVGACSELVFAPIDEMFPDDAALLPSGFRIILLESKPDSSATNRTLDLTSSLEVGPATSQAAGDSPCQNARSVLTIAFQFPFDTNLQDNVLTMARQYVRSVISSVQRIAMAISPCGSSPTIGPKPSPGSPEALTLAHWICQSYSFHLGEELLKSESLGGDSVLKNLWQHQDAILCCSLKSVPVFIFANQAGLDMLETTLVALQDITLDKIFDESGRKALCSDFTKLMQQVSINRTILVSTFNPTLNCSADEYGKFIS >KJB81550 pep chromosome:Graimondii2_0_v6:13:40971370:40972785:-1 gene:B456_013G149900 transcript:KJB81550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIISFIQKRLVGLVFYPFKRFWSRQSVERSEDLEDPNEQCTLPISIPELSDDPSCDSESDTDTIFRLHQNVGTTCTDIVGDNSRQDSGVCVVNVENLGGRQLVGAGEIRWIRHYCSSQRMLLVGEGDFSFSASLARAFGSATNMIATSLDSRGFLLRNYKKAIVNIHELRVRGCIVLHGIDATEIANHSYLGAFKFDRIIYNFPHAGFCSDEPGESQKRRHQLLISLFLKNAKEMIEERGEIHVTHKSNGFFRDWNLQGLAAAVGLRLIQEVPFNFTDYPGYRTKYGFGGDKNFNCNPSRTYKFGLYPIMSP >KJB81552 pep chromosome:Graimondii2_0_v6:13:40971370:40973323:-1 gene:B456_013G149900 transcript:KJB81552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIISFIQKRLVGLVFYPFKRFWSRQSVERSEDLEDPNEQCTLPISIPELSDDPSCDSESDTDTIFRLHQNVGTTCTDIVGDNSRQDSGVCVVNVENLGGRQLVGAGEIRWIRHYCSSQRMLLVGEGDFSFSASLARAFGSATNMIATSLDSRDATEIANHSYLGAFKFDRIIYNFPHAGFCSDEPGESQKRRHQLLISLFLKNAKEMIEERGEIHVTHKSNGFFRDWNLQGLAAAVGLRLIQEVPFNFTDYPGYRTKYGFGGDKNFNCNPSRTYKFGLYPIMSP >KJB81551 pep chromosome:Graimondii2_0_v6:13:40971116:40973381:-1 gene:B456_013G149900 transcript:KJB81551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIISFIQKRLVGLVFYPFKRFWSRQSVERSEDLEDPNEQCTLPISIPELSDDPSCDSESDTDTIFRLHQNVGTTCTDIVGDNSRQDSGVCVVNVENLGGRQLVGAGEIRWIRHYCSSQRMLLVGEGDFSFSASLARAFGSATNMIATSLDSRGFLLRNYKKAIVNIHELRVRGCIVLHGIDATEIANHSYLGAFKFDRIIYNFPHAGFCSDEPGESQKRRHQLLISLFLKNAKEMIEERGEIHVTHKSNGFFRDWNLQGLAAAVGLRLIQEVPFNFTDYPGYRTKYGFGGDKNFNCNPSRTYKFGLYPIMSP >KJB78526 pep chromosome:Graimondii2_0_v6:13:266710:269474:-1 gene:B456_013G003600 transcript:KJB78526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVAGNSVPPNSFDKSKVLDVKPLRSLLPVFPEAPNGPPFVCAPPNGPFPTGFSPFFPFSGPQGSPLTPGLNQNLFNSTAMPIRSFRAEPPPASNGENVQSSNKRKSVGPSSVKKKVKRSNDSELALAALTNFKPGISAAEKDDGNRELVENVLMRFEALRRKLSQMEDAKESHSDIFKRANLKAGNIMFTKGVRTNGKKRIGVVPGVEIGDIFFFRMELILIGLHSQSMAGIDFMPMKADIEGERVAISIVSSGGYEDNAEDPDVLVYTGQGGNASADKEASDQKLVRGNLALERSLHRANEVRVIRGFKDATHQTSKVYVYDGLYKVQESWMEKGKTGCNMFKYKLVRLPGQTGAFSTWKSIRKWKEDPSSRDGLILPDLTSGAESIPVSLVNEVDDEKGPAYFTYVSTVKYPKSFKLVQPSYGCNCRDACQAGNSNCSCIQKNGGDFPYITTGILACRMPMIFECGSSCPCFRNCKNRVLQTGFKVHFEVFKTRDKGWGLRSWDPIRAGTFICEYAGEVIEKIKEKADGDDGENNDYVFNTNRVYESFKWNHETESAEERSDTSEKFDIPSPLIISSKNSGNIARFMNHSCSPNVFWQPIMYENNNEAFLHIAFFAKKHIPPMTELTYDYGIPRSDETESNNMEHGKKKCLCGSPKCRGYFY >KJB78528 pep chromosome:Graimondii2_0_v6:13:266739:269474:-1 gene:B456_013G003600 transcript:KJB78528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFEALRRKLSQMEDAKESHSDIFKRANLKAGNIMFTKGVRTNGKKRIGVVPGVEIGDIFFFRMELILIGLHSQSMAGIDFMPMKADIEGERVAISIVSSGGYEDNAEDPDVLVYTGQGGNASADKEASDQKLVRGNLALERSLHRANEVRVIRGFKDATHQTSKVYVYDGLYKVQESWMEKGKTGCNMFKYKLVRLPGQTGAFSTWKSIRKWKEDPSSRDGLILPDLTSGAESIPVSLVNEVDDEKGPAYFTYVSTVKYPKSFKLVQPSYGCNCRDACQAGNSNCSCIQKNGGDFPYITTGILACRMPMIFECGSSCPCFRNCKNRVLQTGFKVHFEVFKTRDKGWGLRSWDPIRAGTFICEYAGEVIEKIKEKADGDDGENNDYVFNTNRVYESFKWNHETESAEERSDTSEKFDIPSPLIISSKNSGNIARFMNHSCSPNVFWQPIMYENNNEAFLHIAFFAKKHIPPMTELTYDYGIPRSDETESNNMEHGKKKCLCGSPKCRGYFY >KJB78527 pep chromosome:Graimondii2_0_v6:13:266710:269484:-1 gene:B456_013G003600 transcript:KJB78527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVAGNSVPPNSFDKSKVLDVKPLRSLLPVFPEAPNGPPFVCAPPNGPFPTGFSPFFPFSGPQGSPLTPGLNQNLFNSTAMPIRSFRAEPPPASNGENVQSSNKRKSVGPSSVKKKVKRSNDSELALAALTNFKPGISAAEKDDGNRELVENVLMRFEALRRKLSQMEDAKESHSDIFKRANLKAGNIMFTKGVRTNGKKRIGVVPGVEIGDIFFFRMELILIGLHSQSMAGIDFMPMKADIEGERVAISIVSSGGYEDNAEDPDVLVYTGQGGNASADKEASDQKLVRGNLALERSLHRANEVRVIRGFKDATHQTSKVYVYDGLYKVQESWMEKGKTGCNMFKYKLVRLPGQTGAFSTWKSIRKWKEDPSSRDGLILPDLTSGAESIPVSLVNEVDDEKGPAYFTYVSTVKYPKSFKLVQPSYGCNCRDACQAGNSNCSCIQKNGGDFPYITTGILACRMPMIFECGSSCPCFRNCKNRVLQTGFKVHFEVFKTRDKGWGLRSWDPIRAGTFICEYAGEVIEKIKEKADGDDGENNDYVFNTNRVYESFKWNHETESAEERSDTSEKFDIPSPLIISSKNSGNIARFMNHSCSPNVFWQPIMYENNNEAFLHIAFFAKKHIPPMTELTYDYGIPRSDETESNNMEHGKKKCLCGSPKCRGYFY >KJB78633 pep chromosome:Graimondii2_0_v6:13:846887:847389:1 gene:B456_013G012500 transcript:KJB78633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPSEVYMDLGSPTLITDFWDVVLQWFISWDLTGLEPKTTISQSQDFTISIKTSFVLYIFFSPTSWRFHNLVCIMFYFLLH >KJB81096 pep chromosome:Graimondii2_0_v6:13:33448951:33453875:1 gene:B456_013G128800 transcript:KJB81096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGNKEGASKQFRWTKPMEHVFLEILAEEAQKGNKPSNTFRAVSINRVAEAISERFQVQCDAKHVENHLRTIKNQWQIICKIRGESGFGWDDNMKMITCDRATYDAAVMAYKKYEPFLNKSFDHYDEMALVVGKDMATGSFVRTFADIDLDDGNQDSVLVDYDNEEAEEVRTNVSSSGTSKRKRKNVQESVVDEQIKFVGEQLGKIANALEQFTTDKTPQLYEQVMSMEEEGFDDDFLCYVFDF >KJB80281 pep chromosome:Graimondii2_0_v6:13:14378658:14384031:-1 gene:B456_013G090000 transcript:KJB80281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGKKMFQYIVMGIYTPLITCCFGLYIWCAAADPADPGVFKSKKYLKIPENGKHSGPKDSKLGGDSTTSLHDDNATSVGGKFLEKDAAATHETLKNMSLRTDRNNASSKQSSCFLWIFFPCAFICKCCSSNEESSEQQMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGQRNYRQFFALMVSALLLALCTILAMVATLPIAQLLFFHILLMKKGISTYDYIIALREQEQEQQGIGGHQSPQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFLEDQFDVVPPDTGSVSSYGKKMVGEEPIKKKNPGAVKISPWTLARLNAEDVSKAAAEARKKSKILQPVVRRETSSGLEAESSFGSSGRQVFSRADSRRRASKRVRLPADLPMDPLMTISAKDTSSSLAPLQLEARSAFQTSRAMSSYAGIAASSPESSLDSPDIHPFRVSSSGAEESRRLTGLSSVNMAVPKGFPLSRSTSDGYEASGGEDSDRVPSRIIETSTNWSNVLFDSEQDDSIVKLKAPSSSSQANIRML >KJB80279 pep chromosome:Graimondii2_0_v6:13:14378658:14380994:-1 gene:B456_013G090000 transcript:KJB80279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATLPIAQLLFFHILLMKKGISTYDYIIALREQEQEQQGIGGHQSPQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFLEDQFDVVPPDTGSVSSYGKKMVGEEPIKKKNPGAVKISPWTLARLNAEDVSKAAAEARKKSKILQPVVRRETSSGLEAESSFGSSGRQVFSRADSRRRASKRVRLPADLPMDPLMTISAKDTSSSLAPLQLEARSAFQTSRAMSSYAGIAASSPESSLDSPDIHPFRVSSSGAEESRRLTGLSSVNMAVPKGFPLSRSTSDGYEASGGEDSDRVPSRIIETSTNWSNVLFDSEQDDSIVKLKAPSSSSQANIRML >KJB80282 pep chromosome:Graimondii2_0_v6:13:14378957:14381547:-1 gene:B456_013G090000 transcript:KJB80282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSIAVCVKLRFSNTASTAESATNVLTVSIITAGGSTIASAKGTTDNFLLSWFRLFYWSIHDLSTLDLRLQLILQWSTGILVLICCFIDRKQFSTDIATKLGSSFSLVPFVIVVALCTILAMVATLPIAQLLFFHILLMKKGISTYDYIIALREQEQEQQGIGGHQSPQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFLEDQFDVVPPDTGSVSSYGKKMVGEEPIKKKNPGAVKISPWTLARLNAEDVSKAAAEARKKSKILQPVVRRETSSGLEAESSFGSSGRQVFSRADSRRRASKRVRLPADLPMDPLMTISAKDTSSSLAPLQLEARSAFQTSRAMSSYAGIAASSPESSLDSPDIHPFRVSSSGAEESRRLTGLSSVNMAVPKGFPLSRSTSDGYEASGGEDSDRVPSRIIETSTNWSNVLFDSEQDDSIVKLKAPSSSSQANIRML >KJB80280 pep chromosome:Graimondii2_0_v6:13:14378658:14384031:-1 gene:B456_013G090000 transcript:KJB80280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGKKMFQYIVMGIYTPLITCCFGLYIWCAAADPADPGVFKSKKYLKIPENGKHSGPKDSKLGGDSTTSLHDDNATSVGGKFLEKDAAATHETLKNMSLRTDRNNASSKQSSCFLWIFFPCAFICKCCSSNEESSEQQMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGQRNYRQFFALMVSALLLLILQWSTGILVLICCFIDRKQFSTDIATKLGSSFSLVPFVIVVGISTYDYIIALREQEQEQQGIGGHQSPQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFLEDQFDVVPPDTGSVSSYGKKMVGEEPIKKKNPGAVKISPWTLARLNAEDVSKAAAEARKKSKILQPVVRRETSSGLEAESSFGSSGRQVFSRADSRRRASKRVRLPADLPMDPLMTISAKDTSSSLAPLQLEARSAFQTSRAMSSYAGIAASSPESSLDSPDIHPFRVSSSGAEESRRLTGLSSVNMAVPKGFPLSRSTSDGYEASGGEDSDRVPSRIIETSTNWSNVLFDSEQDDSIVKLKAPSSSSQANIRML >KJB80283 pep chromosome:Graimondii2_0_v6:13:14378658:14384056:-1 gene:B456_013G090000 transcript:KJB80283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGKKMFQYIVMGIYTPLITCCFGLYIWCAAADPADPGVFKSKKYLKIPENGKHSGPKDSKLGGDSTTSLHDDNATSVGGKFLEKDAAATHETLKNMSLRTDRNNASSKQSSCFLWIFFPCAFICKCCSSNEESSEQQMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGQRNYRQFFALMVSALLLLILQWSTGILVLICCFIDRKQFSTDIATKLGSSFSLVPFVIVVALCTILAMVATLPIAQLLFFHILLMKKGISTYDYIIALREQEQEQQGIGGHQSPQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFLEDQFDVVPPDTGSVSSYGKKMVGEEPIKKKNPGAVKISPWTLARLNAEDVSKAAAEARKKSKILQPVVRRETSSGLEAESSFGSSGRQVFSRADSRRRASKRVRLPADLPMDPLMTISAKDTSSSLAPLQLEARSAFQTSRAMSSYAGIAASSPESSLDSPDIHPFRVSSSGAEESRRLTGLSSVNMAVPKGFPLSRSTSDGYEASGGEDSDRVPSRIIETSTNWSNVLFDSEQDDSIVKLKAPSSSSQANIRML >KJB80467 pep chromosome:Graimondii2_0_v6:13:17805591:17806163:1 gene:B456_013G099000 transcript:KJB80467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNNPPFSILSIIKHSFHDVGIMLSQFRHSFEANNPLTLPISRSTPQATANSITRIRCCSAAGSLGASPICNYINCFEIEMQSHHQQPRPNSLSLGKTIMSLEFQAVFALALSSSTEQADHHHLLLPWIASIIGNTASVIAALGFFIMSSIFLPGNFTWVT >KJB78710 pep chromosome:Graimondii2_0_v6:13:963997:967353:-1 gene:B456_013G014100 transcript:KJB78710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSLFITSVMPVIKVLLVLAIGLFLATESVDLLGPNARHHLNNLVFYVFLPALIGCSIAKTSTVKTLETLWFMPVNIFISCIIGSVLGCILVKITKTPPCLKGLVIACSSAANLGNMLNIILPALCEETNSPFGDSSTCSTYGESYALLSLAMQAIYVWSILYSIMRTSANSIVKENAEILSETLAEPLLVSHGISEDNIYHVDLPDEGNQRMSTFGKMKQCFMTTIRSKSLKMIFAPSTIAAIVGVIVGIVSPFRKALVVDSAPFHVIYSSIEFIGEAGIPSMTLIVGANLLKGLKGSGVKTSLIVGILIIRNILLPASGIVVVKAAMHLGLVGSYSLYQFTLLLQYAIPPAMNIGTILQMLGTGESEFSVLMLWSYVVAAFSLTLWTAFYIWLVT >KJB78711 pep chromosome:Graimondii2_0_v6:13:963801:967731:-1 gene:B456_013G014100 transcript:KJB78711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISLQLTYFLRKFVVLPTMMQRFNHNIPLLFEVMPVIKVLLVLAIGLFLATESVDLLGPNARHHLNNLVFYVFLPALIGCSIAKTSTVKTLETLWFMPVNIFISCIIGSVLGCILVKITKTPPCLKGLVIACSSAANLGNMLNIILPALCEETNSPFGDSSTCSTYGESYALLSLAMQAIYVWSILYSIMRTSANSIVKENAEILSETLAEPLLVSHGISEDNIYHVDLPDEGNQRMSTFGKMKQCFMTTIRSKSLKMIFAPSTIAAIVGVIVGIVSPFRKALVVDSAPFHVIYSSIEFIGEAGIPSMTLIVGANLLKGLKGSGVKTSLIVGILIIRNILLPASGIVVVKAAMHLGLVGSYSLYQFTLLLQYAIPPAMNIGTILQMLGTGESEFSVLMLWSYVVAAFSLTLWTAFYIWLVT >KJB80119 pep chromosome:Graimondii2_0_v6:13:11140822:11142457:-1 gene:B456_013G081400 transcript:KJB80119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVLATQALLCQTHCRALPRPTPFSSNPPPQPSRYPCKHHGETWPTTSFSILHSTPIVCRASRRKSTALSSSSEESDQDGPLRRVLHLSLWAAEAVYILWLFLLPYAPGDPVWAISSNTINELIGLSLNFFFILPLTNAVGIRLIDAPVLHPMSEGLFNFVIGWTLMFAPLLFTDRKRDRYKSSLDVLWGLQMFLTNTFLIPYMAIRLNEADADSRPTKLSPLGSVMTNGAAVVGLTGGAVCVFSAIWALYGRMDGEFGNITDRWQFLVSYLGSERLAYAFIWDICLYTIFQPWLIGENLQNVEKSKVGVVSYLRFIPVVGLVAYLLFLNLEEDQ >KJB82961 pep chromosome:Graimondii2_0_v6:13:54210632:54216891:-1 gene:B456_013G222300 transcript:KJB82961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTPATPLCKVQRTPAMTPGGGPKVREEKILVTVRMRPLNQREQAMYDLIAWDCVDDHTIVFKNPNHERPANQYSFDRVFDPSSSTRKVYEDGAKDVALSALTGINATIFAYGQTSSGKTFTMRGITENAVKDIYEHIKNTQEREFVLKLSAMEIYNETVVDLLNRDSGCLRLLDDPEKGTIVEKLVEEVVKDSQHLKHLIGICEAQRQVGETALNDKSSRSHQIIRLTIESSLRENAECVKSFLASLNLVDLAGSERVSQTNADGVRLKEGSHINRSLLTLTTVIRKLSGGKKSGHIPYRDSKLTRILQNSLGGNARTAIICTISPALSHVEQTRNTLTFATSAKEVINNAHVNMIISDKRLVKHLQKEVARLEAKLRTPEPTSASCLQSLLMEKELKIQEMEREMEELKRQRDIAHSQLEQERKARKVQKGLNQRGPSSKGVRCLSFDSEPVPGSPDARPRKTVGRYSTLRQSTTSTDPSTLVHEIRKLEQRQRQLGEEANRALEVLHKEVSSHRLGNKETAEAIAKMLFEIKDMQAISSIPEDVMIGNGANLKEEITRLNSQGCTIESLEKKLENVQKSIDILVSSISNGEETPEFKTQLKKKKILPFTLKNSTNMQNIIRAPCSPLSSSHKIMEQDIENKAPEDNENVSSRSHKSPGSFKTPPSKADARQVSSREGTPSTKQTNSVDVKKMQRMFKNAAEENIRSIRAYVTELKERVAKLQYQKQLLVCQVLELEEAKESGTDDAESDLQSPMPWHMVFEDQRKQIVMLWHLCHVSIIHRTQFYLLFRGDPADQIYMEVELRRLTWLEQQFAELGNASPALLGDEPAGLVASSIKALKQEREYLAKRVSSKLTVEERELLYLKWDVPAVGKQRRLQLVNKLWTDPLNMQHVQESAELVAKLVGFCESGEHLSKEMFELNFVNPSDKKSWMGWNLISNLLHFY >KJB82960 pep chromosome:Graimondii2_0_v6:13:54210895:54216432:-1 gene:B456_013G222300 transcript:KJB82960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTPATPLCKVQRTPAMTPGGGPKVREEKILVTVRMRPLNQREQAMYDLIAWDCVDDHTIVFKNPNHERPANQYSFDRVFDPSSSTRKVYEDGAKDVALSALTGINATIFAYGQTSSGKTFTMRGITENAVKDIYEHIKNTQEREFVLKLSAMEIYNETVVDLLNRDSGCLRLLDDPEKGTIVEKLVEEVVKDSQHLKHLIGICEAQRQVGETALNDKSSRSHQIIRLTIESSLRENAECVKSFLASLNLVDLAGSERVSQTNADGVRLKEGSHINRSLLTLTTVIRKLSGGKKSGHIPYRDSKLTRILQNSLGGNARTAIICTISPALSHVEQTRNTLTFATSAKEVINNAHVNMIISDKRLVKHLQKEVARLEAKLRTPEPTSASCLQSLLMEKELKIQEMEREMEELKRQRDIAHSQLEQERKARKVQKGLNQRGPSSKGVRCLSFDSEPVPGSPDARPRKTVGRYSTLRQSTTSTDPSTLVHEIRKLEQRQRQLGEEANRALEVLHKEVSSHRLGNKETAEAIAKMLFEIKDMQAISSIPEDVMIGNGANLKEEITRLNSQGCTIESLEKKLENVQKSIDILVSSISNGEETPEFKTQLKKKKILPFTLKNSTNMQNIIRAPCSPLSSSHKIMEQDIENKAPEDNENVSSRSHKSPGSFKTPPSKADARQVSSREGTPSTKQTNSVDVKKMQRMFKNAAEENIRSIRAYVTELKERVAKLQYQKQLLVCQVLELEEAKESGTDDAESDLQSPMPWHMVFEDQRKQIVMLWHLCHVSIIHRTQFYLLFRGDPADQIYMEVELRRLTWLEQQFAELGNASPALLGDEPAGLVASSIKALKQEREYLAKRVSSKLTVEERELLYLKWDVPAVGKQRRLQLVNKLWTDPLNMQHVQESAELVAKLVGFCESGEHLSKEMFELNFVNPSDKKSWMGWNLISNLLHL >KJB82959 pep chromosome:Graimondii2_0_v6:13:54210895:54216432:-1 gene:B456_013G222300 transcript:KJB82959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTPATPLCKVQRTPAMTPGGGPKVREEKILVTVRMRPLNQREQAMYDLIAWDCVDDHTIVFKNPNHERPANQYSFDRVFDPSSSTRKVYEDGAKDVALSALTGINATIFAYGQTSSGKTFTMRGITENAVKDIYEHIKNTQEREFVLKLSAMEIYNETVVDLLNRDSGCLRLLDDPEKGTIVEKLVEEVVKDSQHLKHLIGICEAQRQVGETALNDKSSRSHQIIRLTIESSLRENAECVKSFLASLNLVDLAGSERVSQTNADGVRLKEGSHINRSLLTLTTVIRKLSSGGKKSGHIPYRDSKLTRILQNSLGGNARTAIICTISPALSHVEQTRNTLTFATSAKEVINNAHVNMIISDKRLVKHLQKEVARLEAKLRTPEPTSASCLQSLLMEKELKIQEMEREMEELKRQRDIAHSQLEQERKARKVQKGLNQRGPSSKGVRCLSFDSEPVPGSPDARPRKTVGRYSTLRQSTTSTDPSTLVHEIRKLEQRQRQLGEEANRALEVLHKEVSSHRLGNKETAEAIAKMLFEIKDMQAISSIPEDVMIGNGANLKEEITRLNSQGCTIESLEKKLENVQKSIDILVSSISNGEETPEFKTQLKKKKILPFTLKNSTNMQNIIRAPCSPLSSSHKIMEQDIENKAPEDNENVSSRSHKSPGSFKTPPSKADARQVSSREGTPSTKQTNSVDVKKMQRMFKNAAEENIRSIRAYVTELKERVAKLQYQKQLLVCQVLELEEAKESGTDDAESDLQSPMPWHMVFEDQRKQIVMLWHLCHVSIIHRTQFYLLFRGDPADQIYMEVELRRLTWLEQQFAELGNASPALLGDEPAGLVASSIKALKQEREYLAKRVSSKLTVEERELLYLKWDVPAVGKQRRLQLVNKLWTDPLNMQHVQESAELVAKLVGFCESGEHLSKEMFELNFVNPSDKKSWMGWNLISNLLHL >KJB83952 pep chromosome:Graimondii2_0_v6:13:46409690:46412349:1 gene:B456_013G175200 transcript:KJB83952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIILFHHLFTCWFCALSAAAREMLLLQMAAICLQAGGFSIRLTLFTKPQLAPSFRKSSAAKRMAARICFTLNTDGNLLVAHSLVPSAQFNISRVGDVTTFEFLDYEVKVMVDRSVYLVDVVMEKIGRVLKLDSIQGGKLWKGVDMLIFNTWHWWNRRGPTQPWDYIEVGGVIKKDMDRMQAFEIALNTWAGWVDANIDPSKSLLFFQGISPSHYNGTLWGEPKAKNCVGQKQPLLGTTYPGGLPPAVDVVKKVLSKMKKPVKLLDITLLSLLRKDGHPSMYGLGGSTGMDCSHWCLAGVPDTWNELLYNLIL >KJB83951 pep chromosome:Graimondii2_0_v6:13:46409580:46412413:1 gene:B456_013G175200 transcript:KJB83951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVWVDANYLVSSSFYLLVLCLICSRKGNATAANGCDLFTGRWVFDPSYPLYKASACPFIQKEFSCQKNGRQDLLYTQYRWQPLGCTLTRFNGLKLLEKFRGKSIIFVGDSLSLNQWQSLTCMLHYAVPSAQFNISRVGDVTTFEFLDYEVKVMVDRSVYLVDVVMEKIGRVLKLDSIQGGKLWKGVDMLIFNTWHWWNRRGPTQPWDYIEVGGVIKKDMDRMQAFEIALNTWAGWVDANIDPSKSLLFFQGISPSHYNGTLWGEPKAKNCVGQKQPLLGTTYPGGLPPAVDVVKKVLSKMKKPVKLLDITLLSLLRKDGHPSMYGLGGSTGMDCSHWCLAGVPDTWNELLYNLIL >KJB78807 pep chromosome:Graimondii2_0_v6:13:1384316:1385807:-1 gene:B456_013G020000 transcript:KJB78807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMVHRVRVFMYPSNI >KJB78806 pep chromosome:Graimondii2_0_v6:13:1384238:1385873:-1 gene:B456_013G020000 transcript:KJB78806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMGDRVRVFMYPSNI >KJB78808 pep chromosome:Graimondii2_0_v6:13:1384743:1385725:-1 gene:B456_013G020000 transcript:KJB78808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMVRR >KJB81982 pep chromosome:Graimondii2_0_v6:13:45729764:45730128:-1 gene:B456_013G170400 transcript:KJB81982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSKRLFLESKRSFRRRLPPIQSGDRIDYRNMSLISRFISEQGKILSIRGKRFQLEVQNGIHRIEEPGTFTQK >KJB79869 pep chromosome:Graimondii2_0_v6:13:8103064:8106400:-1 gene:B456_013G070300 transcript:KJB79869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMERERRNGINTIEQYSYDTNGHYSHFCNDYSLLEKDKIQNLPSSTIPSAGFIEHPVSRFDTLAGVAIKYGVEVADIKRMNGLVTDLQMFALKTLQIPLPGRHPPSPCLSNDSTTPGQSSANESPAKNLSVDWLDSFQSLRLKSPRRVSPAMSSLQGYYGLKPTEKKTMPEGFEMALYRKGEADYLEDGLFLKPSSSNPLSMLKRKSRSVANGFYDENGEILVDIMSAGEGKEGEPDNKSNEKLIRRRQKSEADFTARLLKEDNTGNVGFPAITAKQLALRSKAGSRMNSAAVDGFNVATMILGEECTVNGYGEVRKSSSTSCLHDHQENSSNIGSSSLSSLWPAASKWSLKPDLQALSTAGIKRPILDGFTKPMPARKNKAALD >KJB79872 pep chromosome:Graimondii2_0_v6:13:8103076:8106055:-1 gene:B456_013G070300 transcript:KJB79872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMERERRNGINTIEQYSYDTNGHYSHFCNDYSLLEKDKIQNLPSSTIPSAGFIEHPVSRFDTLAGVAIKYGVEVADIKRMNGLVTDLQMFALKTLQIPLPGRHPPSPCLSNDSTTPGQSSANESPAKNLSVDWLDSFQSLRLKSPRRVSPAMSSLQGYYGLKPTEKKTMPEGFEMALYRKGEADYLEDDIMSAGEGKEGEPDNKSNEKLIRRRQKSEADFTARLLKEDNTGNVGFPAITAKQLALRSKAGSRMNSAAVDGFNVATMILGEECTVNGYGEVRKSSSTSCLHDHQENSSNIGSSSLSSLWPAASKWSLKPDLQALSTAGIKRPILDGFTKPMPARKNKAALD >KJB79870 pep chromosome:Graimondii2_0_v6:13:8103277:8105891:-1 gene:B456_013G070300 transcript:KJB79870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMERERRNGINTIEQYSYDTNGHYSHFCNDYSLLEKDKIQNLPSSTIPSAGFIEHPVSRFDTLAGVAIKYGVEVADIKRMNGLVTDLQMFALKTLQIPLPGRHPPSPCLSNDSTTPGRQSSANESPAKNLSVDWLDSFQSLRLKSPRRVSPAMSSLQGYYGLKPTEKKTMPEGFEMALYRKGEADYLEDGLFLKPSSSNPLSMLKRKSRSVANGFYDENGEILVDIMSAGEGKEGEPDNKSNEKLIRRRQKSEADFTARLLKEDNTGNVGFPAITAKQLALRSKAGSRMNSAAVDGFNVATMILGEECTVNGYGEVRKSSSTSCLHDHQENSSNIGSSSLSSLWPAASKWSLKPDLQALSTAGIKRPILDGFTKPMPARKNKAALD >KJB79873 pep chromosome:Graimondii2_0_v6:13:8103076:8106217:-1 gene:B456_013G070300 transcript:KJB79873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMERERRNGINTIEQYSYDTNGHYSHFCNDYSLLEKDKIQNLPSSTIPSAGFIEHPVSRFDTLAGVAIKYGVEVADIKRMNGLVTDLQMFALKTLQIPLPGRHPPSPCLSNDSTTPGRQSSANESPAKNLSVDWLDSFQSLRLKSPRRVSPAMSSLQGYYGLKPTEKKTMPEGFEMALYRKGEADYLEDDIMSAGEGKEGEPDNKSNEKLIRRRQKSEADFTARLLKEDNTGNVGFPAITAKQLALRSKAGSRMNSAAVDGFNVATMILGEECTVNGYGEVRKSSSTSCLHDHQENSSNIGSSSLSSLWPAASKWSLKPDLQALSTAGIKRPILDGFTKPMPARKNKAALD >KJB79871 pep chromosome:Graimondii2_0_v6:13:8103277:8105493:-1 gene:B456_013G070300 transcript:KJB79871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWLQIFKCLLLRHSRSLYQGGIHHHLACQMIPPHQVVSVELMIGLTLSRQSSANESPAKNLSVDWLDSFQSLRLKSPRRVSPAMSSLQGYYGLKPTEKKTMPEGFEMALYRKGEADYLEDGLFLKPSSSNPLSMLKRKSRSVANGFYDENGEILVDIMSAGEGKEGEPDNKSNEKLIRRRQKSEADFTARLLKEDNTGNVGFPAITAKQLALRSKAGSRMNSAAVDGFNVATMILGEECTVNGYGEVRKSSSTSCLHDHQENSSNIGSSSLSSLWPAASKWSLKPDLQALSTAGIKRPILDGFTKPMPARKNKAALD >KJB80278 pep chromosome:Graimondii2_0_v6:13:14377399:14378543:1 gene:B456_013G089900 transcript:KJB80278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPPSPPSKIDLWTILSESRRILKAHSGHFQALTVLFLLPSSCFISIYPFIYQHFSPTIESHLSFLEQNPPIFPIKLPIFNLLYTLILSIFSFFAIGSITYSVFHGFYGRPLNLLSSFKAASTSFFPLLLTSLVTQFIVYGISLVIVLVFFALIKATQFYSSPTFILLILVYVIIFTSTITYLQLNWIFAQAIVVVESSWGLEPLKRSRNLVKGMKGVAFKMMLFFGFFIACNTWLSIQRLRDPAGDKWKSWTFVMNIVSTSCIYMLLMLHGLAANTVFYIYAKALHGELDEEFATQYVSLPVDDGKVNVPYVVSIV >KJB81161 pep chromosome:Graimondii2_0_v6:13:34482596:34483543:-1 gene:B456_013G131900 transcript:KJB81161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSGDNDHVISSVSGISYGYMEHKNMMVMMEKRQLFLRSYQFCRKRTLTERIKRSLVGVKRVMWLRLRSACKLKRLVLSRLRFAFLCRGRKRRYIRLKNNHFRHTHNSCLW >KJB83029 pep chromosome:Graimondii2_0_v6:13:54552975:54556187:1 gene:B456_013G226200 transcript:KJB83029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRVQNFPKKTFDESSVRSLSHLSVSCTPWWSLNEQQIEEPLPHNVSLKVETPSQLYHQTKHLDLQLPDQELTTVQAIGRTHCEVGAIGATSSQCDPSESGPDESCEKDTEGQVKPVFLVNNPNTLFSPSHENYNHSMAWTQYPYANAYFNGMFTPYGPQAIIQAQLGGNAPTRIPLPLDLAEDEPIYVNPKQYHGILRRRQYRAKLEAQNKLVKSRKPYLHESRHRHALNRVRGSGGRFLSKKTLQQPNDNCTNRTNSRSELESHCSRMAGYGGPNTSCMNISSVSDNDGNLQWLEHGFADISRAGSTGDGIRHGASVIP >KJB80797 pep chromosome:Graimondii2_0_v6:13:28702526:28704947:1 gene:B456_013G115700 transcript:KJB80797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEERSQRTCKKIDSELFLQWGNRKRLRCVRVKDSQNTSHKSNATTRRRFNSSTFSHSNYRLTRNSEVSILQSTMTEHQKTSSLEKEDRYYTTRGSAVSLIDENGKVVVDSSSNGDDKKRVVWPKLYITLSSKEKEEDFMAMKGCKPPQRPRKRAKIIQRSLLVSNIDEFMPNFQ >KJB80794 pep chromosome:Graimondii2_0_v6:13:28702427:28705021:1 gene:B456_013G115700 transcript:KJB80794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEERSQRTCKKIDSELFLQWGNRKRLRCVRVKDSQNTSHKSNATTRRRFNSSTFSHSNYRLTRNSEVSILQSTMTEHQKTSSLEKEDRYYTTRGSAVSLIDENGKVVVDSSSNGDDKKRVVWPKLYITLSSKEKEEDFMAMKGCKPPQRPRKRAKIIQRSLLLVSPGAWLTDMCQERYEVREKKSSKKRPRGLKAMGSVESDSD >KJB80795 pep chromosome:Graimondii2_0_v6:13:28702427:28705021:1 gene:B456_013G115700 transcript:KJB80795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEERSQRTCKKIDSELFLQWGNRKRLRCVRVKDSQNTSHKSNATTRRRFNSSTFSHSNYRLTRNSEVSILQSTMTEHQKTSSLEKEDRYYTTRGSAVSLIDENGKVVVDSSSNGDDKKRVVWPKLYITLSSKEKEEDFMAMKGCKPPQRPRKRAKIIQRSLLVRGYDPPRILQIHGKTLKILNTRSLSNIE >KJB80798 pep chromosome:Graimondii2_0_v6:13:28703194:28704189:1 gene:B456_013G115700 transcript:KJB80798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEERSQRTCKKIDSELFLQWGNRKRLRCVRVKDSQNTSHKSNATTRRRFNSSTFSHSNYRLTRNSEVSILQSTMTEHQKTSSLEKEDRYYTTRGSAVSLIDENGKVVVDSSSNGDDKKRVVWPKLYITLSSKEKEEDFMAMKGCKPPQRPRKRAKIIQRSLLVSNIDEFMPNFQ >KJB80796 pep chromosome:Graimondii2_0_v6:13:28702427:28705021:1 gene:B456_013G115700 transcript:KJB80796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEERSQRTCKKIDSELFLQWGNRKRLRCVRVKDSQNTSHKSNATTRRRFNSSTFSHSNYRLTRNSEVSILQSTMTEHQKTSSLEKEDRYYTTRGSAVSLIDENGKVVVDSSSNGDDKKRVVWPKLYITLSSKEKEEDFMAMKGCKPPQRPRKRAKIIQRSLLLVSPGAWLTDMCQERYEVREKKSSKKRPRGLKAMGSVESDSD >KJB80799 pep chromosome:Graimondii2_0_v6:13:28702526:28704947:1 gene:B456_013G115700 transcript:KJB80799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEERSQRTCKKIDSELFLQWGNRKRLRCVRVKDSQNTSHKSNATTRRRFNSSTFSHSNYRLTRNSEVSILQSTMTEHQKTSSLEKEDRYYTTRGSAVSLIDENGKVVVDSSSNGDDKKRVVWPKLYITLSSKEKEEDFMAMKGCKPPQRPRKRAKIIQRSLLVSNIDEFMPNFQ >KJB80793 pep chromosome:Graimondii2_0_v6:13:28702526:28704947:1 gene:B456_013G115700 transcript:KJB80793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEERSQRTCKKIDSELFLQWGNRKRLRCVRVKDSQNTSHKSNATTRRRFNSSTFSHSNYRLTRNSEVSILQSTMTEHQKTSSLEKEDRYYTTRGSAVSLIDENGKVVVDSSSNGDDKKRVVWPKLYITLSSKEKEEDFMAMKGCKPPQRPRKRAKIIQRSLLVRGYDPPRILQIHGKTLKILNTRSLSNIE >KJB80911 pep chromosome:Graimondii2_0_v6:13:30761107:30767022:-1 gene:B456_013G121300 transcript:KJB80911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMNSSIIHENTDWQDWRTTNSGTTSFISNILQFPLDKANNSENAESGDPSGSCKQSKSGEPVMDQMHGILSTCLPDELVVNDSGLKKDDKEGNCNQSNHQFSIRRRCLVFEKSPGFGLHLNSLPNIPKGQSPLSKSTLSSMNRGEVPDDNKGVVTENSYEMPATFGGNEADHNSPEKKRQKFELVEESVACKRCNCKRSKCLKLYCDCFAAGLYCIEPCSCQDCFNKPIHENVVLETRRQIESRNPLAFAPKVIRTTNGVSDSMGEINKTPASARHKRGCNCKRSSCLKKYCECFQAGVGCSLSCRCEGCKNSFGRKDGGCESESDGDNLEACEKNASEKSSHDTVISKGQEHPNLSVPSPDISRLPFAYTGKLAAFFPHSIKSSPQLCSTQEQGSSDTSSCKPKLESNLDGIPENGTPEIPKHKCFTLVSNPTSPNCKRVFSTPNHDSTSSSTKWRSRKLILRSVPSFPSFSPP >KJB80912 pep chromosome:Graimondii2_0_v6:13:30761107:30767022:-1 gene:B456_013G121300 transcript:KJB80912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMNSSIIHENTDWQDWRTTNSGTTSFISNILQFPLDKANNSENAESGDPSGSCKQSKSGEPVMDQMHGILSTCLPDELVVNDSGLKKDDKEGNCNQSNHQQFSIRRRCLVFEKSPGFGLHLNSLPNIPKGQSPLSKSTLSSMNRGEVPDDNKGVVTENSYEMPATFGGNEADHNSPEKKRQKFELVEESVACKRCNCKRSKCLKLYCDCFAAGLYCIEPCSCQDCFNKPIHENVVLETRRQIESRNPLAFAPKVIRTTNGVSDSMGEINKTPASARHKRGCNCKRSSCLKKYCECFQAGVGCSLSCRCEGCKNSFGRKDGCESESDGDNLEACEKNASEKSSHDTVISKGQEHPNLSVPSPDISRLPFAYTGKLAAFFPHSIKSSPQLCSTQEQGSSDTSSCKPKLESNLDGIPENGTPEIPKHKCFTLVSNPTSPNCKRVFSTPNHDSTSSSTKWRSRKLILRSVPSFPSFSPP >KJB80913 pep chromosome:Graimondii2_0_v6:13:30761451:30766472:-1 gene:B456_013G121300 transcript:KJB80913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMNSSIIHENTDWQDWRTTNSGTTSFISNILQFPLDKANNSENAESGDPSGSCKQSKSGEPVMDQMHGILSTCLPDELVVNDSGLKKDDKEGNCNQSNHQQFSIRRRCLVFEKSPGFGLHLNSLPNIPKGQSPLSKSTLSSMNRGEVPDDNKGVVTENSYEMPATFGGNEADHNSPEKKRQKFELVEESVACKRCNCKRSKCLKLYCDCFAAGLYCIEPCSCQDCFNKPIHENVVLETRRQIESRNPLAFAPKVIRTTNGVSDSMGEINKTPASARHKRGCNCKRSSCLKKYCECFQAGVGCSLSCRCEGCKNSFGRKDGGCESESDGDNLEACEKNASEKSSHDTVISKGQEHPNLSVPSPDISRLPFAYTGKLAAFFPHSIKSSPQLCSTQEQGSSDTSSCKPKLESNLDGIPENGTPEIPKHKCFTLVSNPTSPNCKRVFSTPNHDSTSSSTKWRSRKLILRSVPSFPSFSPP >KJB80915 pep chromosome:Graimondii2_0_v6:13:30761107:30768797:-1 gene:B456_013G121300 transcript:KJB80915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPDKTQITPTSNLCKFEDSPVFKYIDSLSPIELAKSRQTDNGFSSLAFLSPSSLFPSPQITCHRESRFSVKRHHFLEPLNSRVARSGHESNTSEGASKVDEHLGCLNNDSSYKETSSDQVDEQPNLATDLPRTLKYDCRSPDGDFEPCDEILKKTNVEVAGQERSPFQCNRDKWEERQQSFQNERNLRKICGIKRSEESAGSDWDWRTTNSGTTSFISNILQFPLDKANNSENAESGDPSGSCKQSKSGEPVMDQMHGILSTCLPDELVVNDSGLKKDDKEGNCNQSNHQFSIRRRCLVFEKSPGFGLHLNSLPNIPKGQSPLSKSTLSSMNRGEVPDDNKGVVTENSYEMPATFGGNEADHNSPEKKRQKFELVEESVACKRCNCKRSKCLKLYCDCFAAGLYCIEPCSCQDCFNKPIHENVVLETRRQIESRNPLAFAPKVIRTTNGVSDSMVQGEINKTPASARHKRGCNCKRSSCLKKYCECFQAGVGCSLSCRCEGCKNSFGRKDGGCESESDGDNLEACEKNASEKSSHDTVISKGQEHPNLSVPSPDISRLPFAYTGKLAAFFPHSIKSSPQLCSTQEQGSSDTSSCKPKLESNLDGIPENGTPEIPKHKCFTLVSNPTSPNCKRVFSTPNHDSTSSSTKWRSRKLILRSVPSFPSFSPP >KJB80914 pep chromosome:Graimondii2_0_v6:13:30761451:30768647:-1 gene:B456_013G121300 transcript:KJB80914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPDKTQITPTSNLCKFEDSPVFKYIDSLSPIELAKSRQTDNGFSSLAFLSPSSLFPSPQITCHRESRFSVKRHHFLEPLNSRVARSGHESNTSEGASKVDEHLGCLNNDSSYKETSSDQVDEQPNLATDLPRTLKYDCRSPDGDFEPCDEILKKTNVEVAGQERSPFQCNRDKWEERQQSFQNERNLRKICGIKRSEESAGSDWDWRTTNSGTTSFISNILQFPLDKANNSENAESGDPSGSCKQSKSGEPVMDQMHGILSTCLPDELVVNDSGLKKDDKEGNCNQSNHQQFSIRRRCLVFEKSPGFGLHLNSLPNIPKGQSPLSKSTLSSMNRGEVPDDNKGVVTENSYEMPATFGGNEADHNSPEKKRQKFELVEESVACKRCNCKRSKCLKLYCDCFAAGLYCIEPCSCQDCFNKPIHENVVLETRRQIESRNPLAFAPKVIRTTNGVSDSMVQGEINKTPASARHKRGCNCKRSSCLKKYCECFQAGVGCSLSCRCEGCKNSFGRKDGGCESESDGDNLEACEKNASEKSSHDTVISKGQEHPNLSVPSPDISRLPFAYTGKLAAFFPHSIKSSPQLCSTQEQGSSDTSSCKPKLESNLDGIPENGTPEIPKHKCFTLVSNPTSPNCKRVFSTPNHDSTSSSTKWRSRKLILRSVPSFPSFSPP >KJB79231 pep chromosome:Graimondii2_0_v6:13:3643843:3645424:1 gene:B456_013G042600 transcript:KJB79231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCMRNCKRSAEAAEMEASSTSFSLSKRRKTVGSRELQELELTSPDIELGTTRILSNSLNKPIYLATSSGSCGVLAGDMCSGLFSGDSSASRCSSNESCDILKDSLRFVDLEAKSFETEISTCTNVNKFRLIFTNSFINYYYRFYSLKIKRDKNELFPFNSPFFFIISPSHFLFFSRETPPLSEHCGDSDEMQSPEKKPPPSTTKPPKIPSQAEIDEFFSVAEKYEQKRFAEKYNYDIVKDVPLDGRYQWLRLKP >KJB79233 pep chromosome:Graimondii2_0_v6:13:3643841:3645424:1 gene:B456_013G042600 transcript:KJB79233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCMRNCKRSAEAAEMEASSTSFSLSKRRKTVGSRELQELELTSPDIELGTTRILSNSLNKPIYLATSSGSCGVLAGDMCSGLFSGDSSASRCSSNESCDILKDSLRFVDLEFMNVPLQAKSFETEISTCTNVNKFSRETPPLSEHCGDSDEMQSPEKKPPPSTTKPPKIPSQAEIDEFFSVAEKYEQKRFAEKYNYDIVKDVPLDGRYQWLRLKP >KJB79227 pep chromosome:Graimondii2_0_v6:13:3643773:3645746:1 gene:B456_013G042600 transcript:KJB79227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCMRNCKRSAEAAEMEASSTSFSLSKRRKTVGSRELQELELTSPDIELGTTRILSNSLNKPIYLATSSGSCGVLAGDMCSGLFSGDSSASRCSSNESCDILKDSLRFVDLEAKSFETEISTCTNVNKFSRETPPLSEHCGDSDEMQSPEKKPPPSTTKPPKIPSQAEIDEFFSVAEKYEQKRFAEKYNYDIVKDVPLDGRYQWLRLKP >KJB79230 pep chromosome:Graimondii2_0_v6:13:3643843:3644806:1 gene:B456_013G042600 transcript:KJB79230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCMRNCKRSAEAAEMEASSTSFSLSKRRKTVGSRELQELELTSPDIELGTTRILSNSLNKPIYLATSSGSCGVLAGDMCSGLFSGDSSASRCSSNESCDILKDSLRFVDLEAKSFETEISTCTNVNKFRLIFTNSFINYYYRFYSLKIKRDKNELFPFNSPFFFIISPSHFLFFSRETPPLSEHCGDSDEMQSPEKKPPPSTTKPPKIPSQAEIDEFFSVAEKYEQKRFAEK >KJB79228 pep chromosome:Graimondii2_0_v6:13:3643841:3644873:1 gene:B456_013G042600 transcript:KJB79228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCMRNCKRSAEAAEMEASSTSFSLSKRRKTVGSRELQELELTSPDIELGTTRILSNSLNKPIYLATSSGSCGVLAGDMCSGLFSGDSSASRCSSNESCDILKDSLRFVDLEAKSFETEISTCTNVNKFSRETPPLSEHCGDSDEMQSPEKKPPPSTTKPPKIPSQAEIDEFFSVAEKYEQKRFAEK >KJB79232 pep chromosome:Graimondii2_0_v6:13:3643843:3645424:1 gene:B456_013G042600 transcript:KJB79232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCMRNCKRSAEAAEMEASSTSFSLSKRRKTVGSRELQELELTSPDIELGTTRILSNSLNKPIYLATSSGSCGVLAGDMCSGLFSGDSSASRCSSNESCDILKDSLRFVDLEAKSFETEISTCTNVNKFRETPPLSEHCGDSDEMQSPEKKPPPSTTKPPKIPSQAEIDEFFSVAEKYEQKRFAEKYNYDIVKDVPLDGRYQWLRLKP >KJB79229 pep chromosome:Graimondii2_0_v6:13:3643892:3644323:1 gene:B456_013G042600 transcript:KJB79229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCMRNCKRSAEAAEMEASSTSFSLSKRRKTVGSRELQELELTSPDIELGTTRILSNSLNKPIYLATSSGSCGVLAGDMCSGLFSGDSSASRCSSNESCDILKDSLRFVDLEVNFELNISFFLFFFCFRVWLHRKENDCLFQ >KJB79459 pep chromosome:Graimondii2_0_v6:13:4704747:4706840:1 gene:B456_013G050900 transcript:KJB79459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSHSHPTPLNNSPALSGSSTTTATTTSSLSSGESAAVELVTREPGTSSHNYPPSDVVTISTLIDMESHHMPVTDYLHRCQQRSIDVIARQDSINWILKVHAYYNFSPVTAFLSVNYLDRFLSSYSLPLPNGWPFQLLSVACLSLAAKMEEAEVPLLLDLQLFEPRFVFEPETVQRMELRVMAALNWRLRSVTPFDYLHLFLCKLPSLPDSFASLLSASSDLILSTTRVIDFLQFAPSAMAAAAVFCAVGEGFQFPAHDAFFPESVNKEMVRSCHQLMEKYLIDTCPSARLKEPSVQPSLAPPSPVGVLDAAACGSCDTRSSGSQLEPPAKRLRSSAPDVQQQ >KJB79458 pep chromosome:Graimondii2_0_v6:13:4704747:4706837:1 gene:B456_013G050900 transcript:KJB79458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAEVPLLLDLQLFEPRFVFEPETVQRMELRVMAALNWRLRSVTPFDYLHLFLCKLPSLPDSFASLLSASSDLILSTTRVIDFLQFAPSAMAAAAVFCAVGEGFQFPAHDAFFPESVNKEMVRSCHQLMEKYLIDTCPSARLKEPSVQPSLAPPSPVGVLDAAACGSCDTRSSGSQLEPPAKRLRSSAPDVQQQ >KJB79457 pep chromosome:Graimondii2_0_v6:13:4704747:4706837:1 gene:B456_013G050900 transcript:KJB79457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVHAYYNFSPVTAFLSVNYLDRFLSSYSLPLPNGWPFQLLSVACLSLAAKMEEAEVPLLLDLQLFEPRFVFEPETVQRMELRVMAALNWRLRSVTPFDYLHLFLCKLPSLPDSFASLLSASSDLILSTTRVIDFLQFAPSAMAAAAVFCAVGEGFQFPAHDAFFPESVNKEMVRSCHQLMEKYLIDTCPSARLKEPSVQPSLAPPSPVGVLDAAACGSCDTRSSGSQLEPPAKRLRSSAPDVQQQ >KJB81042 pep chromosome:Graimondii2_0_v6:13:33070229:33071727:-1 gene:B456_013G126900 transcript:KJB81042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDLLPDDCFAHVLSLTSPIDACRISLVSSSIRISANSDNVWEKFLPPDYQQILTRLRHPLIFSSKKHLFFRLCNPHLIDGGLKTFSLEKTTGKKQYMLSARELNIAWADNPLFWSWKPFPTSRFVEAVELRTIWWLEIQGKINSGMLSPKTTYECYVIVKFVDRAYGLDFLPSKVTVEIGNLKSEGNVYLRQYESKKQCLETLWYSNRMELVLRSMAFRGIMEERVACKREDGWIEIELGSFYNNGGDNLEVKMSLKEVTGTHLKGGLVVEGIELRPKQQTTANHM >KJB81041 pep chromosome:Graimondii2_0_v6:13:33070027:33071893:-1 gene:B456_013G126900 transcript:KJB81041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDLLPDDCFAHVLSLTSPIDACRISLVSSSIRISANSDNVWEKFLPPDYQQILTRLRHPLIFSSKKHLFFRLCNPHLIDGGLKTTGKKQYMLSARELNIAWADNPLFWSWKPFPTSRFVEAVELRTIWWLEIQGKINSGMLSPKTTYECYVIVKFVDRAYGLDFLPSKVTVEIGNLKSEGNVYLRQYESKKQCLETLWYSNRMELVLRSMAFRGIMEERVACKREDGWIEIELGSFYNNGGDNLEVKMSLKEVTGTHLKGGLVVEGIELRPKQQTTANHM >KJB83222 pep chromosome:Graimondii2_0_v6:13:55411328:55415902:-1 gene:B456_013G236100 transcript:KJB83222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKISGRVSPQPCPHLLDFCYRNGSNPFRALQDCIRVKPPGGRAAIRREPFEVPRCGTCDESSRPRLYACVACAAVFCHAPPLHSHASAHALSVPGHEIAVDVDRAELFCCACRDQVYDRDFDAAVVAQTITSTTSTSGSTVTQCKATGSQPDNLRKRRRVDYSLWAPNSRENAIIENHSIPLHDATNGSLISSTELPWGLRGLNNLGNTCFMNSVLQALLHTPPLRNYFLSDRHNRYYCQQKNGSTLNGSKNSRFCLSCDMDAMFSAVFSGDRTPYSPAKFLHSWWQHAANLASYEQQDAHEFFISMLDGIHEKVAKEKRKTHSPGTGDCCIAHRVFSGILRSDVMCMACGFTSTTYDPCLDISLDLEPNQGVSGKSSLAKSNNSCNVEADCMGSSQNCGISTLKGCLERFTRAEKLGSDQKFFCQKCQVRQESLKQMSIRKLPLVSCFHIKRFEHSSIRRMSRKVDRYLQFPFSLDMAPYLSSSILRSRFGNRIFPFGGDEQDACNEVSSEFELFAVVTHTGKLDAGHYVTYLRLSNHWYKCDDAWITRVNESIVLAAQGYMMFYVQKMLYYKASEKQAASWCDVRK >KJB81164 pep chromosome:Graimondii2_0_v6:13:34621508:34622548:-1 gene:B456_013G132200 transcript:KJB81164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPFLSLFPALFLTFFLTAHSPPSSIYDHLKHNGLPIGLLPKGISDFSIDPNTNRFHVNLTHPCNSEFEIQLHYDFNISGVLSFGKIANLSGVSQQELFLWFPVINIRVDDPTSGLINFDVGVVDKQFSLSLFEIPRDCTAFDPKGSLSISQSS >KJB81166 pep chromosome:Graimondii2_0_v6:13:34619888:34622717:-1 gene:B456_013G132200 transcript:KJB81166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPFLSLFPALFLTFFLTAHSPPSSIYDHLKHNGLPIGLLPKGISDFSIDPNTNRFHVNLTHPCNSEFEIQLHYDFNISGVLSFGKIANLSGVSQQELFLWFPVINIRVDDPTSGLINFDVGVVDKQFSLSLFEIPRDCTAFDPKGSLSISQKPSELLGTEIVEEHMLRAIS >KJB81165 pep chromosome:Graimondii2_0_v6:13:34619864:34622717:-1 gene:B456_013G132200 transcript:KJB81165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPFLSLFPALFLTFFLTAHSPPSSIYDHLKHNGLPIGLLPKGISDFSIDPNTNRFHVNLTHPCNSEFEIQLHYDFNISGVLSFGKIANLSGVSQQELFLWFPVINIRVDDPTSGLINFDVGVVDKQFSLSLFEIPRDCTAFDPKGSLSISQKPSELLGTEIVEEHMLRAIS >KJB81163 pep chromosome:Graimondii2_0_v6:13:34619867:34622717:-1 gene:B456_013G132200 transcript:KJB81163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPFLSLFPALFLTFFLTAHSPPSSIYDHLKHNGLPIGLLPKGISDFSIDPNTNRFHVNLTHPCNSEFEIQLHYDFNISGVLSFGKIANLSGVSQQELFLWFPVINIRVDDPTSGLINFDVGVVDKQFSLSLFEIPRDCTAFDPKGSLSISQKPSELLGTEIVEEHMLRAIS >KJB81514 pep chromosome:Graimondii2_0_v6:13:40758023:40762579:-1 gene:B456_013G148400 transcript:KJB81514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVEETGANDESRDRRSDFDNSEDERRRSKIGNLKKKAINASNKFTHSLKKRGKKKIDYRVPSVSIEDVRDAKEEGAVHELRQKLLHKDLLPPRHDDYHTLLRFLKAREFNIEKTIQMWEEMLKWRKEFGTDTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMKITTIDRYLKYHVQEFERALSEKFPACSIAAKRQICSTTTVLDVQGLGMKNFSRTAANLLAAMTKIDNSYYPETLHRMYIVNAGPGFKKMLWPAAQKFLDAKTLGKINVLEPKSLGRLLESIDSSQLPDFLGGSCTCSTDGGCLRSNKGPWNDPEIMKLVHNAERTFVRQITRVSNDQHNYESYVQIRPLKGMTSDTSAAESEIDDQCSPTERRSSAFPCLAPVCEDVRVSDPNAYYSCNDGFPMIEKTIKSDQGLLYSPDQLPSCSNADSQSHQRASFYSQGTPITNWIDNVKEKFGRRNIQSFAKLLIALLVRLAAFIRTVRLESWRRPNNIHPSNVIGSSTNSHSNVTVPEAVNEEDHVRPCIERLQKLEKAFEELCNKPAGIPLEKEKMLMESLDRIKCVEYDLDKTKRVLHGTVMRQIEISEFLDNIRASEYHRRRLFC >KJB81516 pep chromosome:Graimondii2_0_v6:13:40758092:40763431:-1 gene:B456_013G148400 transcript:KJB81516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVEETGANDESRDRRSDFDNSEDERRRSKIGNLKKKAINASNKFTHSLKKRGKKKIDYRVPSVSIEDVRDAKEEGAVHELRQKLLHKDLLPPRHDDYHTLLRFLKAREFNIEKTIQMWEEMLKWRKEFGTDTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMKITTIDRYLKYHVQEFERALSEKFPACSIAAKRQICSTTTVLDVQGLGMKNFSRTAANLLAAMTKIDNSYYPETLHRMYIVNAGPGFKKMLWPAAQKFLDAKTLGKINVLEPKSLGRLLESIDSSQLPDFLGGSCTCSTDGGCLRSNKGPWNDPEIMKLVHNAERTFVRQITRVSNDQHNYESYVQIRPLKGMTSDTSAAESEIDDQCSPTERRSSAFPCLAPVCEDVRVSDPNAYYSCNDGFPMIEKTIKSDQGLLYSPDQLPSCSNADSQSHQRASFYSQGTPITNWIDNVKEKFGRRNIQSFAKLLIALLVRLAAFIRTVRLESWRRPNNIHPSNVIGSSTNSHSNVTVPEAVNEEDHVRPCIERLQKLEKAFEELCNKPAGIPLEKEKMLMESLDRIKCVEYDLDKTKRVLHGTVMRQIEISEFLDNIRASEYHVSSVLHKA >KJB81518 pep chromosome:Graimondii2_0_v6:13:40758092:40763431:-1 gene:B456_013G148400 transcript:KJB81518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVEETGANDESRDRRSDFDNSEDERRRSKIGNLKKKAINASNKFTHSLKKRGKKKIDYRVPSVSIEDVRDAKEEGAVHELRQKLLHKDLLPPRHDDYHTLLRFLKAREFNIEKTIQMWEEMLKWRKEFGTDTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMKITTIDRYLKYHVQEFERALSEKFPACSIAAKRQICSTTTVLDVQGLGMKNFSRTAANLLAAMTKIDNSYYPETLHRMYIVNAGPGFKKMLWPAAQKFLDAKTLGKINVLEPKSLGRLLESIDSSQLPDFLGGSCTCSTDGGCLRSNKGPWNDPEIMKLVHNAERTFVRQITRVSNDQHNYESYVQIRPLKLCQGMTSDTSAAESEIDDQCSPTERRSSAFPCLAPVCEDVRVSDPNAYYSCNDGFPMIEKTIKSDQGLLYSPDQLPSCSNADSQSHQRASFYSQGTPITNWIDNVKEKFGRRNIQSFAKLLIALLVRLAAFIRTVRLESWRRPNNIHPSNVIGSSTNSHSNVTVPEAVNEEDHVRPCIERLQKLEKAFEELCNKPAGIPLEKEKMLMESLDRIKCVEYDLDKTKRVLHGTVMRQIEISEFLDNIRASEYHRRRLFC >KJB81517 pep chromosome:Graimondii2_0_v6:13:40758092:40763431:-1 gene:B456_013G148400 transcript:KJB81517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVEETGANDESRDRRSDFDNSEDERRRSKIGNLKKKAINASNKFTHSLKKRGKKKIDYRVPSVSIEDVRDAKEEGAVHELRQKLLHKDLLPPRHDDYHTLLRFLKAREFNIEKTIQMWEEMLKWRKEFGTDTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMKITTIDRYLKYHVQEFERALSEKFPACSIAAKRQICSTTTVLDVQGLGMKNFSRTAANLLAAMTKIDNSYYPETLHRMYIVNAGPGFKKMLWPAAQKFLDAKTLGKINVLEPKSLGRLLESIDSSQLPDFLGGSCTCSTDGGCLRSNKGPWNDPEIMKLVHNAERTFVRQITRVSNDQHNYESYVQIRPLKGMTSDTSAAESEIDDQCSPTERRSSAFPCLAPVCEDVRVSDPNAYYSCNDGFPMIEKTIKSDQGLLYSPDQLPSCSNADSQSHQRASFYSQGTPITNWIDNVKEKFGRRNIQSFAKLLIALLVRLAAFIRTVRLESWRRPNNIHPSNVIGSSTNSHSNVTVPEAVNEEDHVRPCIERLQKLEKAFEELCNKPAGIPLEKEKMLMESLDRIKCVEYDLDKTKRVWLNLVHLEKSGFTWYSNAAN >KJB81515 pep chromosome:Graimondii2_0_v6:13:40758023:40763496:-1 gene:B456_013G148400 transcript:KJB81515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVEETGANDESRDRRSDFDNSEDERRRSKIGNLKKKAINASNKFTHSLKKRGKKKIDYRVPSVSIEDVRDAKEEGAVHELRQKLLHKDLLPPRHDDYHTLLRFLKAREFNIEKTIQMWEEMLKWRKEFGTDTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMKITTIDRYLKYHVQEFERALSEKFPACSIAAKRQICSTTTVLDVQGLGMKNFSRTAANLLAAMTKIDNSYYPETLHRMYIVNAGPGFKKMLWPAAQKFLDAKTLGKINVLEPKSLGRLLESIDSSQLPDFLGGSCTCSTDGGCLRSNKGPWNDPEIMKLVHNAERTFVRQITRVSNDQHNYESYVQIRPLKGMTSDTSAAESEIDDQCSPTERRSSAFPCLAPVCEDVRVSDPNAYYSCNDGFPMIEKTIKSDQGLLYSPDQLPSCSNADSQSHQRASFYSQGTPITNWIDNVKEKFGRRNIQSFAKLLIALLVRLAAFIRTVRLESWRRPNNIHPSNVIGSSTNSHSNVTVPEAVNEEDHVRPCIERLQKLEKAFEELCNKPAGIPLEKEKMLMESLDRIKCVEYDLDKTKRVLHGTVMRQIEISEFLDNIRASEYHRRRLFC >KJB82946 pep chromosome:Graimondii2_0_v6:13:54165849:54167048:-1 gene:B456_013G221600 transcript:KJB82946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGNGCISKKRKLVEEGSLDELNEDLLERVLSWLPTSTLFRLGSVCKRWKSMADSQSFKLACSRIPSRDPWFYMVDADLNRSIVFDSAKSSWKRLNHPSLLLRDHNRDSIPVAASGGLVCFRNMSGGYIVCNPVTGSCRELPPVDPGQSLLAIAMNAYSNYHGSYKLVLVSGDLPKLSFKVYNSITDCWEEEIMLRRNADDCTKSNDNDEDAVYFLSTAGNVVVTNMQRSPSKQYSSVFTLKDGEEIVYFLTSSGRVIACNLTRKCFSEYPRLLPVFSEYSIDVVECKGEMLVVVLSEFFESASLRVWRYDPKTKTWNQIAAMPPAMSHEFYDKKLDINCVGAGDQVFICLSSAELCSYVLYDFVTNRWVELPECSVNGESLEFMSALSFEPRIEALV >KJB82948 pep chromosome:Graimondii2_0_v6:13:54165592:54167956:-1 gene:B456_013G221600 transcript:KJB82948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGNGCISKKRKLVEEGSLDELNEDLLERVLSWLPTSTLFRLGSVCKRWKSMADSQSFKLACSRIPSRDPWFYMVDADLNRSIVFDSAKSSWKRLNHPSLLLRDHNRDSIPVAASGGLVCFRNMSGGYIVCNPVTGSCRELPPVDPGQSLLAIAMNAYSNYHGSYKLVLVSGDLPKLSFKVYNSITDCWEEEIMLRRNADDCTKSNDNDEDAVYFLSTAGNVVVTNMQRSPSKQYSSVFTLKDGEEIVYFLTSSGRVIACNLTRKCFSEYPRLLPVFSEYSIDVVECKGEMLVVVLSEFFESASLRVWRYDPKTKTWNQIAAMPPAMSHEFYDKKLDINCVGAGDQVFICLSSAELCSYVLYDFVTNRWVELPECSVNGESLEFMSALSFEPRIEALV >KJB82947 pep chromosome:Graimondii2_0_v6:13:54165643:54167316:-1 gene:B456_013G221600 transcript:KJB82947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGNGCISKKRKLVEEGSLDELNEDLLERVLSWLPTSTLFRLGSVCKRWKSMADSQSFKLACSRIPSRDPWFYMVDADLNRSIVFDSAKSSWKRLNHPSLLLRDHNRDSIPVAASGGLVCFRNMSGGYIVCNPVTGSCRELPPVDPGQSLLAIAMNAYSNYHGSYKLVLVSGDLPKLSFKVYNSITDCWEEEIMLRRNADDCTKSNDNDEDAVYFLSTAGNVVVTNMQRSPSKQYSSVFTLKDGEEIVYFLTSSGRVIACNLTRKCFSEYPRLLPVFSEYSIDVVECKGEMLVVVLSEFFESASLRVWRYDPKTKTWNQIAAMPPAMSHEFYDKKLDINCVGAGDQVFICLSSAELCSYVLYDFVTNRWVELPECSVNGESLEFMSALSFEPRIEALV >KJB80742 pep chromosome:Graimondii2_0_v6:13:27604537:27609050:1 gene:B456_013G113500 transcript:KJB80742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVLWAQRSDKVYLTISLPDAKDISVKCDPQGLFSFSAMGVQGESFDFSLELFGKIVPEGCKTNVGLRNIICSIMKEEKGWWKRLLKSEEKPAPYIKVDWNKWCDEDDEDPNSDLASDDDDATYVGQEEESSDDEGLLYLPDLEKARGN >KJB80744 pep chromosome:Graimondii2_0_v6:13:27604590:27608951:1 gene:B456_013G113500 transcript:KJB80744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQGESFDFSLELFGKIVPEGCKTNVGLRNIICSIMKEEKGWWKRLLKSEEKPAPYIKVDWNKWCDEDDEDPNSDLASDDDDATYVGQEEESSDDEGLLYLPDLEKARGN >KJB80743 pep chromosome:Graimondii2_0_v6:13:27604577:27608305:1 gene:B456_013G113500 transcript:KJB80743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVLWAQRSDKVYLTISLPDAKDISVKCDPQGLFSFSAMGVQGESFDFSLELFGKIVPEGCKTNVGLRNIICSIMKEEKGWWKRLLKSEEKPAPYIKVDWNKWCDEDDEDPNSDLASDDDDATYVGQEEESSDDEGLLCELNNLIAS >KJB80745 pep chromosome:Graimondii2_0_v6:13:27604873:27608951:1 gene:B456_013G113500 transcript:KJB80745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQGESFDFSLELFGKIVPEGCKTNVGLRNIICSIMKEEKGWWKRLLKSEEKPAPYIKVDWNKWCDEDDEDPNSDLASDDDDATYVGQEEESSDDEGLLYLPDLEKARGN >KJB80623 pep chromosome:Graimondii2_0_v6:13:23364382:23371387:-1 gene:B456_013G107700 transcript:KJB80623 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTTTKAKAFMGSNVFMSRNLVPPEVFDKLHGVLKDNGAQVFLCCDPSRNGPDDFHVISSFDHEKFEDLRAKGCNLIGPQCVISCANENRALPKQGFSCCLAMDGLKVLASGFDMDEKVKIEKLVIAMGGVLHTKASLDVSFVIVKNVLAAKYKWALNVLKKPIVTIQWLYQCWSEHRVVPQESYRVLPFTGLTISVTGIRADERKEIEKLIIQNGGKYSAELTKKFPEGDKYKVARRWGHVHIIVRKWFDQCIARRACLNEESYPVQGGSASSKKSVSGSLSAQHSQDKFRASSVSATSLVVPEFNMSTVPSTGLGDPDLEAAHSQNTPSVLSDAQAIFEEDGGEAPNLQPSTETKLDGCVANDSQSEDNDLYLSDCKISLVGFEASEMRKLVTMVRRGGASRYMSCNEKLTHIVVGAPSELEKKEVRSIAASGVIHVVKTSWLEDCDRQKKEIPVHQRHVAYGLLLPKDSAHSVRGAVTGIINSNQSKSSVPTNSGTGMPSSFGKSLDDKPKINMNGGSCLKATVGSSKQGLLPTINSTSNFWQKQQYDSVVQNPKNGISSAVFKGKTFCFSVSFPEDRRAEIVEWVDQGGGQVVEDQVKKNVNFIIECHGVIPSCITDSQITYVSTHWVRSCLEDGCLLDVGRHILYSPLPCQIPFPGFKNFRFCVSQYEEKDRLLLRNLCFILGAKFVEKLTKKVTHLLCKFTSGPKYEAACKWGIQSVTSEWIYECVRQNKVVSLDPFCPKEVTAQDQEAGLCTVSQFPTQAAKMIAVDVPSQFTSQPQGLRTQDLGVKMGSITGDRNDGSRDEAEQSNDHFKRARHLEDDDQNDLLVSRVHLCEPFLNENSTGNNKLKNAGEAAQVLPDVDTVIDLLEQTSKIHDQKSPERNGCDRSIFLSDCTGLRQDHTDPHSVIGLSRHWLNRNVRKDEISSHSRDVNAGSLYGGFSETQTESQVVGYEEDLSGRQMLIDRVRTRSSMT >KJB80624 pep chromosome:Graimondii2_0_v6:13:23365349:23371387:-1 gene:B456_013G107700 transcript:KJB80624 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTTTKAKAFMGSNVFMSRNLVPPEVFDKLHGVLKDNGAQVFLCCDPSRNGPDDFHVISSFDHEKFEDLRAKGCNLIGPQCVISCANENRALPKQGFSCCLAMDGLKVLASGFDMDEKVKIEKLVIAMGGVLHTKASLDVSFVIVKNVLAAKYKWALNVLKKPIVTIQWLYQCWSEHRVVPQESYRVLPFTGLTISVTGIRADERKEIEKLIIQNGGKYSAELTKKFPEGDKYKVARRWGHVHIIVRKWFDQCIARRACLNEESYPVQGGSASSKKSVSGSLSAQHSQDKFRASSVSATSLVVPEFNMSTVPSTGLGDPDLEAAHSQNTPSVLSDAQAIFEEDGGEAPNLQPSTETKLDGCVANDSQSEDNDLYLSDCKISLVGFEASEMRKLVTMVRRGGASRYMSCNEKLTHIVVGAPSELEKKEVRSIAASGVIHVVKTSWLEDCDRQKKEIPVHQRHVAYGLLLPKDSAHSVRGAVTGIINSNQSKSSVPTNSGTGMPSSFGKSLDDKPKINMNGGSCLKATVGSSKQGLLPTINSTSNFWQKQQYDSVVQNPKNGISSAVFKGKTFCFSVSFPEDRRAEIVEWVDQGGGQVVEDQVKKNVNFIIECHGVIPSCITDSQITYVSTHWVRSCLEDGCLLDVGRHILYSPLPCQIPFPGFKNFRFCVSQYEEKDRLLLRNLCFILGAKFVEKLTKKVTHLLCKFTSGPKYEAACKWGIQSVTSEWIYECVRQNKVVSLDPFCPKEVTAQDQEAGLCTVSQFPTQAAKMIAVDVPSQFTSQPQGLRTQDLGVKMGSITGDRNDGSRDEAEQSNDHFKRARHLEDDDQNDLLVSRVHLCEPFLNENSTGNNKLKNAGEAAQVLPDVDTVIDLLEQTSKIHDQKSPERNGCDRSIFLSDCTGLRQDHTDPHSVIGLSRHWLNRYLVIRLPNNCSPF >KJB80625 pep chromosome:Graimondii2_0_v6:13:23365349:23371387:-1 gene:B456_013G107700 transcript:KJB80625 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTTTKAKAFMGSNVFMSRNLVPPEVFDKLHGVLKDNGAQVFLCCDPSRNGPDDFHVISSFDHEKFEDLRAKGCNLIGPQCVISCANENRALPKQGFSCCLAMDGLKVLASGFDMDEKVKIEKLVIAMGGVLHTKASLDVSFVIVKNVLAAKYKWALNVLKKPIVTIQWLYQCWSEHRVVPQESYRVLPFTGLTISVTGIRADERKEIEKLIIQNGGKYSAELTKKFPEGDKYKVARRWGHVHIIVRKWFDQCIARRACLNEESYPVQGGSASSKKSVSGSLSAQHSQDKFRASSVSATSLVVPEFNMSTVPSTGLGDPDLEAAHSQNTPSVLSDAQAIFEEDGGEAPNLQPSTETKLDGCVANDSQSEDNDLYLSDCKISLVGFEASEMRKLVTMVRRGGASRYMSCNEKLTHIVVGAPSELEKKEVRSIAASGVIHVVKTSWLEDCDRQKKEIPVHQRHVAYGLLLPKDSAHSVRGAVTGIINSNQSKSSVPTNSGTGMPSSFGKSLDDKPKINMNGGSCLKATVGSSKQGLLPTINSTSNFWQKQQYDSVVQNPKNGISSAVFKGKTFCFSVSFPEDRRAEIVEWVDQGGGQVVEDQVKKNVNFIIECHGVIPSCITDSQITYVSTHWVRSCLEDGCLLDVGRHILYSPLPCQIPFPGFKNFRFCVSQYEEKDRLLLRNLCFILGAKFVEKLTKKVTHLLCKFTSGPKYEAACKWGIQSVTSEWIYECVRQNKVVSLDPFCPKEVTAQDQEAGLCTVSQFPTQAAKMIAVDVPSQFTSQPQGLRTQDLGVKMGSITGDRNDGSRDEAEQSNDHFKRARHLEDDDQNDLLVSRVHLCEPFLNENSTGNNKLKNAGEAAQVLPDVDTVIDLLEQTSKIHDQKSPERNGCDRSIFLSDCTGLRQDHTDPHSVIGLSRHWLNRYLVIRLPNNCSPF >KJB83847 pep chromosome:Graimondii2_0_v6:13:57977286:57979352:-1 gene:B456_013G267400 transcript:KJB83847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLQMKPLFCILFIVIILQHSRPTKSQEVEDESEFSYSENNERGPSRWGEIHEEWGACSNGTMQSPIDMFNQRVQIVSHLGKLKRSYKPANATLRNRGHDMMLRFDGEAGAIEINGTEYALQQCHWHSPSEHTINGRRFDLEAHLVHEGADGKIAVIGIMYKIGRPDPFLLSLEEDLTAITDTTEAERAVGVMNPRGIKFGSRKYYRYIGSLTAPPCTENVVWSFVQKVRTVTREQVRLLRVAVHDDSDTNARPLQPINERWIQLFKPDDKEN >KJB78472 pep chromosome:Graimondii2_0_v6:13:518:4773:-1 gene:B456_013G000100 transcript:KJB78472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSPASWESHFSFFITDPEKNANSVFGIFGIPCFIRDFVFRDSNVSKLRYYYPKFSSVVHYILCSVEYCWALCYWAVVLVFNLLLWFLVNGILDLK >KJB82252 pep chromosome:Graimondii2_0_v6:13:48399149:48404185:-1 gene:B456_013G185100 transcript:KJB82252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKSQPSSWFRLLNQTFQNPPRTPPSFSRKISSPNTHYSSAPCLRNRIPKTLLKPHSPFCRKISTFSYFPNHRTPKSPLSFTRCHFSTSFTSDEAIDDLLEELVAEVELEKQKEREDRKRKGLDDEEDNEDYMGVTPLIAKLEKKELTEQDIDLMLEVPTDSDTEEDSEDENDRDDNKWELQFEKKKKMHEQLLKNFCEAGTLDDAFKWMEKVNKFEEKHFKLRLEYRVIGDLMNLLKVAEGKEKFILQQKLNRAMRLLQWKEAYDPNNPANYGVIQQQQVDPSGDHEEGAELENENEKKIVGNDDEEDEEEFDDMKERDNILLEKLNAIDKKLEEKLAELDYTFGRKGKLLEEEIRDLAEERNALTEKKRTPLYRKGFDVRLIDVNRTCKVTKGGQVVKYTALMACGNFHGVVGYAKAKAPAVPIALQKAHEKCFENLHYIERHEEHTIAHAIQTTYKKTKVYLWPAKTTTGMKAGRTVENILELAGFKNVKSKVIGSRNPHNTVKAVFKALNAVETPKDIQEKFGRTVVEKHLLQ >KJB82251 pep chromosome:Graimondii2_0_v6:13:48399149:48404185:-1 gene:B456_013G185100 transcript:KJB82251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKSQPSSWFRLLNQTFQNPPRTPPSFSRKISSPNTHYSSAPCLRNRIPKTLLKPHSPFCRKISTFSYFPNHRTPKSPLSFTRCHFSTSFTSDEAIDDLLEELVAEVELEKQKEREDRKRKGLDDEEDNEDYMGVTPLIAKLEKKELTEQDIDLMLEVPTDSDTEEDSEDENDRDDNKWELQFEKKKKMHEQLLKNFCEAGTLDDAFKWMEKVNKFEEKHFKLRLEYRVIGDLMNLLKVAEGKEKFILQQKLNRAMRLLQWKEAYDPNNPANYGVIQQQQVDPSGDHEEGAELENENEKKIVGNDDEEDEEEFDDMKERDNILLEKLNAIDKKLEEKLAELDYTFGRKGKLLEEEIRDLAEERNALTEKKRTPLYRKGFDVRLIDVNRTCKVTKGGQVVKYTALMACGNFHGVVGYAKAKAPAVPIALQKAHEKCFENLHYIERHEEHTIAHAIQTTYKKTKVYLWPAKTTTGMKAGRTVENILELAGFKNVKSKVIGSRNPHNTVKAVFKALNAVETPKDIQEKFGRTVVEKHLLQ >KJB82253 pep chromosome:Graimondii2_0_v6:13:48399496:48404185:-1 gene:B456_013G185100 transcript:KJB82253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISVHRSLAAKEASHHHCVPASLPFNHRPNQAPPSLTPRFVLCFSFVNLILKKMSTKSQPSSWFRLLNQTFQNPPRTPPSFSRKISSPNTHYSSAPCLRNRIPKTLLKPHSPFCRKISTFSYFPNHRTPKSPLSFTRCHFSTSFTSDEAIDDLLEELVAEVELEKQKEREDRKRKGLDDEEDNEDYMGVTPLIAKLEKKELTEQDIDLMLEVPTDSDTEEDSEDENDRDDNKWELQFEKKKKMHEQLLKNFCEAGTLDDAFKWMEKVNKFEEKHFKLRLEYRVIGDLMNLLKVAEGKEKFILQQKLNRAMRLLQWKEAYDPNNPANYGVIQQQQVDPSGDHEEGAELENENEKKIVGNDDEEDEEEFDDMKERDNILLEKLNAIDKKLEEKLAELDYTFGRKGKLLEEEIRDLAEERNALTEKKRTPLYRKGFDVRLIDVNRTCKVTKGGQVVKYTALMACGNFHGVVGYAKAKAPAVPIALQKAHEKCFENLHYIERHEEHTIAHAIQTTYKKTKVYLWPAKTTTGMKAGRTVENILELAGFKNVKSKVIGSRNPHNTVKAVFKALNAVS >KJB82250 pep chromosome:Graimondii2_0_v6:13:48399107:48404226:-1 gene:B456_013G185100 transcript:KJB82250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKSQPSSWFRLLNQTFQNPPRTPPSFSRKISSPNTHYSSAPCLRNRIPKTLLKPHSPFCRKISTFSYFPNHRTPKSPLSFTRCHFSTSFTSDEAIDDLLEELVAEVELEKQKEREDRKRKGLDDEEDNEDYMGVTPLIAKLEKKELTEQDIDLMLEVPTDSDTEEDSEDENDRDDNKWELQFEKKKKMHEQLLKNFCEAGTLDDAFKWMEKVNKFEEKHFKLRLEYRVIGDLMNLLKVAEGKEKFILQQKLNRAMRLLQWKEAYDPNNPANYGVIQQQQVDPSGDHEEGAELENENEKKIVGNDDEEDEEEFDDMKERDNILLEKLNAIDKKLEEKLAELDYTFGRKGKLLEEEIRDLAEERNALTEKKRTPLYRKGFDVRLIDVNRTCKVTKGGQVVKYTALMACGNFHGVVGYAKAKAPAVPIALQKAHEKCFENLHYIERHEEHTIAHAIQTTYKKTKVYLWPAKTTTGMKAGRTVENILELAGFKNVKSKVIGSRNPHNTVKAVFKALNAVETPKDIQEKFGRTVVEKHLLQ >KJB82254 pep chromosome:Graimondii2_0_v6:13:48400073:48404185:-1 gene:B456_013G185100 transcript:KJB82254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISVHRSLAAKEASHHHCVPASLPFNHRPNQAPPSLTPRFVLCFSFVNLILKKMSTKSQPSSWFRLLNQTFQNPPRTPPSFSRKISSPNTHYSSAPCLRNRIPKTLLKPHSPFCRKISTFSYFPNHRTPKSPLSFTRCHFSTSFTSDEAIDDLLEELVAEVELEKQKEREDRKRKGLDDEEDNEDYMGVTPLIAKLEKKELTEQDIDLMLEVPTDSDTEEDSEDENDRDDNKWELQFEKKKKMHEQLLKNFCEAGTLDDAFKWMEKVNKFEEKHFKLRLEYRVIGDLMNLLKVAEGKEKFILQQKLNRAMRLLQWKEAYDPNNPANYGVIQQQQVDPSGDHEEGAELENENEKKIVGNDDEEDEEEFDDMKERDNILLEKLNAIDKKLEEKLAELDYTFGRKGKLLEEEIRDLAEERNALTEKKRTPLYRKGFDVRLIDVNRTCKVTKGGQVVKYTALMACGNFHGVVGYAKAKAPAVPIALQKAHEKCFENLHYIERHEEHTIAHAIQTTYKKTKVSISGLQKLQRV >KJB83597 pep chromosome:Graimondii2_0_v6:13:57159373:57160799:1 gene:B456_013G254700 transcript:KJB83597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIEHRMVKVNGINIHIAEKGEGPVILFLHGFPELWYSWRHQILALSSMGYRAVAPDLRGYGDSDAPDSVESYTCFHVIGDLVELINVVDPDEGKVFVVGHDWGAILGWYLCMFRPDKVKALVNLSVPFLRFHPEIKPVGFWKSYYGSDHYISRFQEYGEIEGEFAEIGVERVLKGYLSDFPVLLPKGKLFKRPLDEENTLPCWLSEEEANYYVTKFQKTGFTGPLNFYRNLNRNWELLKPWVGSKIKTPAKFIMGDKDLVYGVPGMKDYIHNGGFQEDVPSLQQVVVMEGVGHFINMEKPDEINQYIYDFFTQFH >KJB79440 pep chromosome:Graimondii2_0_v6:13:4489493:4495453:1 gene:B456_013G049900 transcript:KJB79440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVAALSRPPTPSRPTVEPQKETNSTHQKPPLKGNGSTVTRKSRGRQVSSRYLSPSPSSSSSTSSTSSAAKPTARFPSPLISRSTNTMSTGNKAAARFHSPLVSRSTNASTTSSSLPKRSQSVDRRRPGSQLPPGNNNANGSTELSAATKMLITSTRSLSVSFQGESYSLPISKAKAQVGSTLIRKATPERRRATPVRDHGENSKPADQQLWPARNRKGNSGPGSGSNLLSRSFDCSGERKDFGSGAVLAKSLQQSMMLDESSKRVSFDGSRLSLDLGSSELLKEANKLNPDSNSLIEASNELNASDTDSISSGSTNSGTGRSGNLKGKNGPRNIVVSARFWQETNSRLRRLQDPGSPLSSSPGSRIGSPAKFSQPKRVSCDGAVASPIRGCIRPASPSKLWTSSASSPTPSRGLSPGRVRNAVGGKEMIGNAVNTPSILSFSVDIRRGKKGEDLIFDAHALRLFYNGYLQWRFANARADATFIMQKLSAEKNLWNAWVTTSELRHSVTLKRVKLLLLRQKLKFTSILKRQIAHLEAWALLERDHSSSLLGATEALKASTLRLPIVGKATADIQNLKDAISSAVEMMQAMASSICSLSSKVEEMNSLVAELASLAAKETVLLEHCKDFLSALAAIQVKDCSLRSHIIQLNRVPTTNNLTTRV >KJB79101 pep chromosome:Graimondii2_0_v6:13:2540338:2542214:-1 gene:B456_013G033400 transcript:KJB79101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIWCDVCDKEEATVFCSADEAALCQGCDVGVHHANKLATKHSRFSLLHPSINEFPLCDICQERRAFLFCQEDRAMFCRECDLSIHRANENTQKHNRFLLTGVKLSPSLSLNPASSSSETKSSSSHSSKRSRFTLPNNQNFTSPPPMDKPLASTSTTYRLQDNASISTSNNISEYLMEKLPGWNVDDFPDPSSGGDANGFCKTFKQGNGDYLGNNMAPFSSSEEIWAFEVFVCGYFFPSLFRLISLNLPNLLFRF >KJB79102 pep chromosome:Graimondii2_0_v6:13:2540671:2542064:-1 gene:B456_013G033400 transcript:KJB79102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIWCDVCDKEEATVFCSADEAALCQGCDVGVHHANKLATKHSRFSLLHPSINEFPLCDICQERRAFLFCQEDRAMFCRECDLSIHRANENTQKHNRFLLTGVKLSPSLSLNPASSSSETKSSSSHSSKRSRFTLPNNQNFTSPPPMDKPLASTSTTYRLQDNASISTSNNISEYLMEKLPGWNVDDFPDPSSGGDANGFCKV >KJB79100 pep chromosome:Graimondii2_0_v6:13:2540252:2542321:-1 gene:B456_013G033400 transcript:KJB79100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIWCDVCDKEEATVFCSADEAALCQGCDVGVHHANKLATKHSRFSLLHPSINEFPLCDICQERRAFLFCQEDRAMFCRECDLSIHRANENTQKHNRFLLTGVKLSPSLSLNPASSSSETKSSSSHSSKRSRFTLPNNQNFTSPPPMDKPLASTSTTYRLQDNASISTSNNISEYLMEKLPGWNVDDFPDPSSGGDANGFYF >KJB79740 pep chromosome:Graimondii2_0_v6:13:7258039:7260892:1 gene:B456_013G064700 transcript:KJB79740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSEIQWAAFILLCAGCTTAQLNSRSDHVLQTPLQGWIMAIVMALLSGFAGVYTEAIIKKRTSRNINVQNFWLYSFGMAFNAVVILIQDFDAAMNKGFFHGYSIITTLMILNHALSGIAVPMVMKYADKFVKVYSTPVAMLLTAIVSEFLFGFKSYPCFLPCVVSLSVYVHSAGKLQR >KJB79739 pep chromosome:Graimondii2_0_v6:13:7258234:7260801:1 gene:B456_013G064700 transcript:KJB79739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSIASCSDHVLQTPLQGWIMAIVMALLSGFAGVYTEAIIKKRTSRNINVQNFWLYSFGMAFNAVVILIQDFDAAMNKGFFHGYSIITTLMILNHALSGIAVPMVMKYADKFVKVYSTPVAMLLTAIVSEFLFGFKSYPCFLPWCHCCVTVGIRALCREVAKIVISCAAFLRIVNSCLPRFLLSFPYVFSLSSVTLQSYIFQKLKIVLDKVC >KJB79244 pep chromosome:Graimondii2_0_v6:13:3130667:3135910:1 gene:B456_013G039300 transcript:KJB79244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSTNIRNLMFSGKHALLPPKSPFPTVASTYNDSAPNNVIGSKAIQKPREGSTYHQRTSSESLLIEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYIDVSNGPSLDYTAQDKYGYKKMISGLSWASQDFDHHNCKDGRLNSFHADVNLVKQKHRAWDSSLNVVTHPSGLPSLRENTFVQSLGSCAPQELEQAQSTANGKQDSTKSAPIDAKASSEKKDNSHAKSSASDSDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILSMENKALTQRLESLAQEQAIKYLEQEVLEREIGRLRVLYQQQQNQQQPQQKQQSSSHRRSSSRDLDSQFANLSVKEKDTSSSHDTVTGPLRI >KJB79245 pep chromosome:Graimondii2_0_v6:13:3130750:3135651:1 gene:B456_013G039300 transcript:KJB79245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSTNIRNLMFSGKHALLPPKSPFPTVASTYNDSAPNNVIGSKAIQKPREGSTYHQRTSSESLLIEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYIDDFDHHNCKDGRLNSFHADVNLVKQKHRAWDSSLNVVTHPSGLPSLRENTFVQSLGSCAPQELEQAQSTANGKQDSTKSAPIDAKASSEKKDNSHAKSSASDSDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILSMENKALTQRLESLAQEQAIKYLEQEVLEREIGRLRVLYQQQQNQQQPQQKQQSSSHRRSSSRDLDSQFANLSVKEKDTSSSHDTVTGPLRI >KJB79371 pep chromosome:Graimondii2_0_v6:13:4027195:4032497:1 gene:B456_013G045900 transcript:KJB79371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIYELVCKHAFSFSPVYAENAPARLPFQEFVVGMVMKACHILQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTIILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDADREDEADRNGARAARRPAGQANRNFAGDANGEDAGGAQGVGGAGQMIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILHYVSWLFSSASGPVLSAVMPMTDTTLSLANITLKNALTAVTNLTSEGQDNSMLGQVAEILKANSSAVGEVSSNKSAPFSADLLKGATIGASRLSDVTTLAIGYTFIFSLVFFYLGIVTLIRYTRGEPLTMGRFYGIASIVETIPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDICTIRMFGKSMSQRVQFFSVSPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVNKHARRVLLSVAVYGSLIVMLVFLPVKFAMKMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTEFLLPRPDENGGQENANVEPGQLDRPQIVQLGGQEQAMVAFAADDDPNRGLLASGNSNVLEEFDGDERADSEYGFVLRIVLLLVVAWMTLLIFNSALIIVPISLGRALFNAIPLLPITHGIKCNDLYAFVIGSYVIWTAIAGARYSIEHIKTKRAAVLFGQISKWSAIVVKSSMLLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRVKFERVREDGFSRLQGLWVLREIVFPIIMKLLTALCVPYVLARGVFPVLGYPLVVNSAVYRFAWLGCLCFSCLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGENSEEKQNEAGSYSETQISDLRDTGIIQHDREVDVGLRLRRAAN >KJB79368 pep chromosome:Graimondii2_0_v6:13:4025767:4032497:1 gene:B456_013G045900 transcript:KJB79368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAPAVPPSHPLDGENPVSSSDDVLADSGKPSPSTSTSSSSSEKDEKATSSTASTVSLGAVASRYDPDMEEEEEDVCRICRNPGDADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFVVGMVMKACHILQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTIILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDADREDEADRNGARAARRPAGQANRNFAGDANGEDAGGAQGVGGAGQMIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILHYVSWLFSSASGPVLSAVMPMTDTTLSLANITLKNALTAVTNLTSEGQDNSMLGQVAEILKANSSAVGEVSSNKSAPFSADLLKGATIGASRLSDVTTLAIGYTFIFSLVFFYLGIVTLIRYTRGEPLTMGRFYGIASIVETIPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDICTIRMFGKSMSQRVQFFSVSPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVNKHARRVLLSVAVYGSLIVMLVFLPVKFAMKMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTEFLLPRPDENGGQENANVEPGQLDRPQIVQLGGQEQAMVAFAADDDPNRGLLASGNSNVLEEFDGDERADSEYGFVLRIVLLLVVAWMTLLIFNSALIIVPISLGRALFNAIPLLPITHGIKCNAIAGARYSIEHIKTKRAAVLFGQISKWSAIVVKSSMLLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRVKFERVREDGFSRLQGLWVLREIVFPIIMKLLTALCVPYVLARGVFPVLGYPLVVNSAVYRFAWLGCLCFSCLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGENSEEKQNEAGSYSETQISDLRDTGIIQHDREVDVGLRLRRAAN >KJB79370 pep chromosome:Graimondii2_0_v6:13:4025767:4032509:1 gene:B456_013G045900 transcript:KJB79370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAPAVPPSHPLDGENPVSSSDDVLADSGKPSPSTSTSSSSSEKDEKATSSTASTVSLGAVASRYDPDMEEEEEDVCRICRNPGDADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFVVGMVMKACHILQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTIILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDADREDEADRNGARAARRPAGQANRNFAGDANGEDAGGAQGVGGAGQMIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILHYVSWLFSSASGPVLSAVMPMTDTTLSLANITLKNALTAVTNLTSEGQDNSMLGQVAEILKANSSAVGEVSSNKSAPFSADLLKGATIGASRLSDVTTLAIGYTFIFSLVFFYLGIVTLIRYTRGEPLTMGRFYGIASIVETIPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDICTIRMFGKSMSQRVQFFSVSPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVNKHARRVLLSVAVYGSLIVMLVFLPVKFAMKMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTEFLLPRPDENGGQENANVEPGQLDRPQIVQLGGQEQAMVAFAADDDPNRGLLASGNSNVLEEFDGDERADSEYGFVLRIVLLLVVAWMTLLIFNSALIIVPISLGRALFNAIPLLPITHGIKCNDLYAFVIGSYVIWTAIAGARYSIEHIKTKRAAVLFGQISKWSAIVVKSSMLLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRVKFERVREDGFSRLQGLWVLREIVFPIIMKLLTALCVPYVLARGVFPVLGYPLVVNSAVYRFAWLGCLCFSCLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGENSEEKQNEAGSYSETQISDLRDTGIIQHDREVDVGLRLRRAAN >KJB79369 pep chromosome:Graimondii2_0_v6:13:4025767:4032497:1 gene:B456_013G045900 transcript:KJB79369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAPAVPPSHPLDGENPVSSSDDVLADSGKPSPSTSTSSSSSEKDEKATSSTASTVSLGAVASRYDPDMEEEEEDVCRICRNPGDADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFVVGMVMKACHILQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTIILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDADREDEADRNGARAARRPAGQANRNFAGDANGEDAGGAQGVGGAGQMIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILHYVSWLFSSASGPVLSAVMPMTDTTLSLANITLKNALTAVTNLTSEGQDNSMLGQVAEILKANSSAVGEVSSNKSAPFSADLLKGATIGASRLSDVTTLAIGYTFIFSLVFFYLGIVTLIRYTRGEPLTMGRFYGIASIVETIPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDICTIRMFGKSMSQRVQFFSVSPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVNKHARRVLLSVAVYGSLIVMLVFLPVKFAMKMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTEFLLPRPDENGGQENANVEPGQLDRPQIVQLGGQEQAMVAFAADDDPNRGLLASGNSNVLEEFDGDERADSDRYGFVLRIVLLLVVAWMTLLIFNSALIIVPISLGRALFNAIPLLPITHGIKCNDLYAFVIGSYVIWTAIAGARYSIEHIKTKRAAVLFGQISKWSAIVVKSSMLLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRVKFERVREDGFSRLQGLWVLREIVFPIIMKLLTALCVPYVLARGVFPVLGYPLVVNSAVYRFAWLGCLCFSCLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGENSEEKQNEAGSYSETQISDLRDTGIIQHDREVDVGLRLRRAAN >KJB83050 pep chromosome:Graimondii2_0_v6:13:54634039:54639952:-1 gene:B456_013G227100 transcript:KJB83050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVMVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDIKPDNFIMGLGRRANQVYMIDFGLAKKYRDSSHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTTPPARALGPGAGTSAAMPPAFANADRQAAGDNLRAAGLSSMNSSRRKTSGPLNSGSHAKQKGPVANDPAITKDTMYMGQSGGSSSRHIAGSREAFAGSEADPQRSRTTDASHGGLHRNSSRQSPVESSEPKRASSMRNTSHAKNYEAALKGMEGLQFESDERIHY >KJB83052 pep chromosome:Graimondii2_0_v6:13:54635482:54639444:-1 gene:B456_013G227100 transcript:KJB83052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVMVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDIKPDNFIMGLGRRANQVYMIDFGLAKKYRDSSHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTTPPARALVST >KJB83053 pep chromosome:Graimondii2_0_v6:13:54634039:54640067:-1 gene:B456_013G227100 transcript:KJB83053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVMVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDIKPDNFIMGLGRRANQVYMIDFGLAKKYRDSSHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTTPPARALGPGAGTSAAMPPAFANADRQAGPLNSGSHAKQKGPVANDPAITKDTMYMGQSGGSSSRHIAGSREAFAGSEADPQRSRTTDASHGGLHRNSSRQSPVESSEPKRASSMRNTSHAKNYEAALKGMEGLQFESDERIHY >KJB83051 pep chromosome:Graimondii2_0_v6:13:54634033:54640067:-1 gene:B456_013G227100 transcript:KJB83051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVMVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDIKPDNFIMGLGRRANQVYMIDFGLAKKYRDSSHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTTPPARALGPGAGTSAAILKATRESIIKLFLNPETE >KJB81837 pep chromosome:Graimondii2_0_v6:13:44525179:44526440:1 gene:B456_013G164200 transcript:KJB81837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01440) UniProtKB/Swiss-Prot;Acc:Q9SGH4] MKMAHLASLNGITETLPAIPKLPTIRRTRKTSKIIGFLGKNTSNFHGQPPLQTTRRMALAFASIALIGTSTNGISLAEDNGYWITDLLPVPSVKNKITNADTGTRSFVKKGLYVANLNTKNRMYRLKKYAFDLLAMADLIGPDTLNYVKKYLRLKSTVMYYDFDKIISAAATDDKQPLITLANRLFDSFEKLEDAAKMRNLPQTESCYQDTKVILQEVMDRMA >KJB81838 pep chromosome:Graimondii2_0_v6:13:44525179:44526440:1 gene:B456_013G164200 transcript:KJB81838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01440) UniProtKB/Swiss-Prot;Acc:Q9SGH4] MKMAHLASLNGITETLPAIPKLPTIRRTRKTSKIIGFLGKNTSNFHGQPPLQTTRRMALAFASIALIGTSTNGISLAEDNGYWITDLLPVPSVKNTEITNADTGTRSFVKKGLYVANLNTKNRMYRLKKYAFDLLAMADLIGPDTLNYVKKYLRLKSTVMYYDFDKIISAAATDDKQPLITLANRLFDSFEKLEDAAKMRNLPQTESCYQDTKVILQEVMDRMA >KJB81877 pep chromosome:Graimondii2_0_v6:13:44905279:44908390:-1 gene:B456_013G166100 transcript:KJB81877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIKFAATLPDKTVRDVALRCWWMQVLFFMYHYFAASEIYNAIRIFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVFFCCVVLNNSYMLYYICPMHTLFTLMVYGALGILTYLNILAFTDKFLFLVFTSVYICLRNVTQSFRSYSLTLFAWLGKITLETYISQIHIWLRSGVPDGQPKLLLSLIPDYPMLNFMLTTSIYLAISYRLFDSTNILKSAFVPTKDNKRLVHNFKWSVI >KJB79331 pep chromosome:Graimondii2_0_v6:13:3872266:3876711:-1 gene:B456_013G044300 transcript:KJB79331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSNLKGSRTQEGLPVSDGLPPSSTSVSHKKKCSNLLPLVVALVVIAEISFLGRLDMAKNAAFFDSWPDMFYKSHFSDGVAVAGVDNEIEALGGDQNGVAQNCEQWLEREDAVVYSRDFSKDPIWVSGTEQEWKTCAVGCKFGFDPRKKTDAAFGLSQEPGVATVLRSMESALYYSDNNIAQARRRGYDIVMTTSLSSDVPVGYFSWAEYDIMAPVMPKTEQALAAAFISNCGARNFRLEALVGLEKANIKIDSYGGCHRNRNGKVDKVEALKRYKFSLAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIEDFAPYPGSVLHIKELAEVQSVANRMKYLAENPDAYNQSLRWKYEGPSDSFKALLDMSAVHSSCRLCIHLATIIQEKEEKSSDFRKRPCKCTKGSETIYHLYVRERGRFEMDSIFLRSSNLTLDALKSSVLTKFRYQKHVPVWKQERPETIRGGDELKVYRIYPVGLTQRQALYNFKFERDADLKNHIQSNPCAKFEVILV >KJB79332 pep chromosome:Graimondii2_0_v6:13:3872280:3876627:-1 gene:B456_013G044300 transcript:KJB79332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSNLKGSRTQEGLPVSDGLPPSSTSVSHKKKCSNLLPLVVALVVIAEISFLGRLDMAKNAAFFDSWPDMFYKSHFSDGVAVAGVDNEIEALGGDQNGVAQNCEQWLEREDAVVYSRDFSKDPIWVSGTEQEWKTCAVGCKFGFDPRKKTDAAFGLSQEPGVATVLRSMESALYYSDNNIAQARRRGYDIVMTTSLSSDVPVGYFSWAEYDIMAPVMPKTEQALAAAFISNCGARNFRLEALVGLEKANIKIDSYGGCHRNRNGKVDKVEALKRYKFSLAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIEDFAPYPGSVLHIKELAEVQSVANRMKYLAENPDAYNQSLRCSVVHSP >KJB79096 pep chromosome:Graimondii2_0_v6:13:2525341:2535781:1 gene:B456_013G033200 transcript:KJB79096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRARSSSPFSHRKTPSPFSSTSSTSSFMSNKLMPRTCSSSASSFFNSGGGYGTRSMTPSRSRYDSTYQGSRGYNAHSPVAYAPEEIVGEPMEASRSGDSISVTIRFRPLNEREFQRGDEIAWYADGDKIVRNEYNPATAYAFDRVFGPHATSQEVYEIAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDHNAPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVVFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKHVEIYASRNKIIDEKSLIKKYQKEISVLKQELDQLRQGMVVGVNHEELMILRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNTIPGCLSDLPTQRSLSVCEDDKLDVQDDGTILIDSENKKGSPSSLEALASDPSYEFKHRRSSSRRNNELSPTSSSITEATQSGDLISGTKLLAGGMTSDQMDLLVEQVKMLAGEIAFSTSTLKRLVDQSVNDPDSSKTQIQNLEREIQEKRRQMRVLEQRIIESGEASIANASFVDMQQTVMRLMTQCNEKSFELEIKSADNRILQEQLQNKCSENEELQNKVNLLEQRLASLSGDKLSLSSEQGISEEYADELRKKVQYQGTENEKLKLEQVQLSEENSGLRVQNQKLAEEASYAKELASAAAVELKNLASEVTKLSVQNAKLEKELLAARESANTRASSNQAVNGFNRKYSDSGRPGRKGRLSGRPHDLSGAAGDDFEFWNLDLDDLKMELQARKQREEALEAALAEREFIEDEYRKKIEEAKKKEESLENDLANMWVLVAKLKKEVSATLESNTDKQNSHGMDNVEDPKANNTESNNVLKERQVSEVSSKPANEIPKEEPLVVRLKVCSHFSCTTLAHFLHSASHL >KJB79098 pep chromosome:Graimondii2_0_v6:13:2528537:2535781:1 gene:B456_013G033200 transcript:KJB79098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAINVISFVLLLMLSLFFQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVVFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKHVEIYASRNKIIDEKSLIKKYQKEISVLKQELDQLRQGMVVGVNHEELMILRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNTIPGCLSDLPTQRSLSVCEDDKLDVQDDGTILIDSENKKGSPSSLEALASDPSYEFKHRRSSSRRNNELSPTSSSITEATQSGDLISGTKLLAGGMTSDQMDLLVEQVKMLAGEIAFSTSTLKRLVDQSVNDPDSSKTQIQNLEREIQEKRRQMRVLEQRIIESGEASIANASFVDMQQTVMRLMTQCNEKSFELEIKSADNRILQEQLQNKCSENEELQNKVNLLEQRLASLSGDKLSLSSEQGISEEYADELRKKVQYQGTENEKLKLEQVQLSEENSGLRVQNQKLAEEASYAKELASAAAVELKNLASEVTKLSVQNAKLEKELLAARESANTRASSNQAVNGFNRKYSDSGRPGRKGRLSGRPHDLSGAAGDDFEFWNLDLDDLKMELQARKQREEALEAALAEREFIEDEYRKKIEEAKKKEESLENDLANMWVLVAKLKKEVSATLESNTDKQNSHGMDNVEDPKANNTESNNVLKERQVSEVSSKPANEIPKEEPLVVRLKARMQEMKEKELKSLGNGDANSHMCKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTKISDRLFAFPS >KJB79095 pep chromosome:Graimondii2_0_v6:13:2525251:2535781:1 gene:B456_013G033200 transcript:KJB79095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRARSSSPFSHRKTPSPFSSTSSTSSFMSNKLMPRTCSSSASSFFNSGGGYGTRSMTPSRSRYDSTYQGSRGYNAHSPVAYAPEEIVGEPMEASRSGDSISVTIRFRPLNEREFQRGDEIAWYADGDKIVRNEYNPATAYAFDRVFGPHATSQEVYEIAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDHNAPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVVFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKHVEIYASRNKIIDEKSLIKKYQKEISVLKQELDQLRQGMVVGVNHEELMILRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNTIPGCLSDLPTQRSLSVCEDDKLDVQDDGTILIDSENKKGSPSSLEALASDPSYEFKHRRSSSRRNNELSPTSSSITEATQSGDLISGTKLLAGGMTSDQMDLLVEQVKMLAGEIAFSTSTLKRLVDQSVNDPDSSKTQIQNLEREIQEKRRQMRVLEQRIIESGEASIANASFVDMQQTVMRLMTQCNEKSFELEIKSADNRILQEQLQNKCSENEELQNKVNLLEQRLASLSGDKLSLSSEQGISEEYADELRKKVQYQGTENEKLKLEQVQLSEENSGLRVQNQKLAEEASYAKELASAAAVELKNLASEVTKLSVQNAKLEKELLAARESANTRASSNQAVNGFNRKYSDSGRPGRKGRLSGRPHDLSGAAGDDFEFWNLDLDDLKMELQARKQREEALEAALAEREFIEDEYRKKIEEAKKKEESLENDLANMWVLVAKLKKEVSATLESNTDKQNSHGMDNVEDPKANNTESNNVLKERQVSEVSSKPANEIPKEEPLVVRLKARMQEMKEKELKSLGNGDANSHMCKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTKISDRLFAFPS >KJB79097 pep chromosome:Graimondii2_0_v6:13:2525341:2535781:1 gene:B456_013G033200 transcript:KJB79097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRARSSSPFSHRKTPSPFSSTSSTSSFMSNKLMPRTCSSSASSFFNSGGGYGTRSMTPSRSRYDSTYQGSRGYNAHSPVAYAPEEIVGEPMEASRSGDSISVTIRFRPLNEREFQRGDEIAWYADGDKIVRNEYNPATAYAFDRVFGPHATSQEVYEIAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDHNAPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVVFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKHVEIYASRNKIIDEKSLIKKYQKEISVLKQELDQLRQGMVVGVNHEELMILRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNTIPGCLSDLPTQRSLSVCEDDKLDVQDDGTILIDSENKKGSPSSLEALASDPSYEFKHRRSSSRRNNELSPTSSSITEATQSGDLISGTKLLAGGMTSDQMDLLVEQVKMLAGEIAFSTSTLKRLVDQSVNDPDSSKTQIQNLEREIQEKRRQMRVLEQRIIESGEASIANASFVDMQQVTVMRLMTQCNEKSFELEIKSADNRILQEQLQNKCSENEELQNKVNLLEQRLASLSGDKLSLSSEQGISEEYADELRKKVQYQGTENEKLKLEQVQLSEENSGLRVQNQKLAEEASYAKELASAAAVELKNLASEVTKLSVQNAKLEKELLAARESANTRASSNQAVNGFNRKYSDSGRPGRKGRLSGRPHDLSGAAGDDFEFWNLDLDDLKMELQARKQREEALEAALAEREFIEDEYRKKIEEAKKKEESLENDLANMWVLVAKLKKEVSATLESNTDKQNSHGMDNVEDPKANNTESNNVLKERQVSEVSSKPANEIPKEEPLVVRLKARMQEMKEKELKSLGNGDANSHMCKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTKISDRLFAFPS >KJB80428 pep chromosome:Graimondii2_0_v6:13:16541852:16542708:-1 gene:B456_013G096700 transcript:KJB80428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VISCIFYLTSSFNTIRRKIVNWFWIGQCGDTTEKKSKIMMIMTWKENIKTKMSWQRSGKDHSVLLVLPSGIYHYKFIVDGEWRYTHDLPFIADEMGRICNLLDVLVCIF >KJB80747 pep chromosome:Graimondii2_0_v6:13:27786564:27788719:-1 gene:B456_013G113700 transcript:KJB80747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLNLIPSTPITFSKSTHQYFPIKRIQTPKNLAEAPVFLTKSTPLNFNKIHACPFGKIQALSTKTTHLGKILENPSRKAGSHVPKAAASSESNPEGEAESVEAAAAAKSKAKTLQLALVFGFWYFQNIVFNIYNKKALNVFPFPWLLASFQLFAGSIWMLVLWSLKLQPCPKITKPFIIALLGPALFHTIGHISACVSFSKVAVSFTHVIKSSEPVFSVVFSSFLGDTYPLKVWLSILPIVLGCSLAAITEVSFNFQGLWGALISNVGFVLRNIYSKRSLQNFKEVNGLNLYGWISIISLFYLFPVAVLVEGSQWVQGYQQAIQTVGKASTFYIWVLLSGIFYHLYNQSSYQALDEISPLTFSVGNTMKRVVVIVSTVLVFRNPVRPLNALGSGIAILGTFLYSQATAKKVSAPEKKS >KJB82355 pep chromosome:Graimondii2_0_v6:13:49284045:49285936:1 gene:B456_013G191900 transcript:KJB82355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSTNSPFPSKPKFSVWFFRSLTSFLSPKQNEAHLSLSHQHKQNYKKYFLFSFLNENPTNQRVSKQVHSHLITTASFSHSVRLFNAVLRCYAVSENPKDAIFLYQQIQSFYLYFKFDSFSYAFLIKACASLKDLALGKQFHGVEIKMGFESHLYVQTVLVNMYVESGGLVECKKVFDEMPEKNSVTWNVMITGLAKQGYVEFARFLFEKMPDRNIVSWSGMIDGYTRMNQHREALGLFRRMVADDGVDPSEITFLAILPAVWNIGDVKICRLIHGYGEKRGFLVSDIRVMNSLIDTYAKCGCMGSALRFFDEISTDTKNLVSWTSLISGFAMHGMGKEAVESFERMEQEGWKPNRVTFVSVLNACSHGFLVEEGLKFFKKMVNEYQILPDIKHYGCLVDMLGRTGRLEEAEKIALEIPSEISNEVVWRILLGACSFYGDVEMGERVTEKIMEMERGYGGDYVLMSNILVGAGRFADAQRLRRLMDERNAIKVAGSSLT >KJB82461 pep chromosome:Graimondii2_0_v6:13:50239274:50241296:1 gene:B456_013G197000 transcript:KJB82461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKLMISSAEKIGNNQDLLAQILLRLPAKSLIKFKCVSKQWLSLISNPHFCLSHTRHQGHEGFLHPTALLLRVQCVLSPEFDVVPLKHFSQVPFFHYISTPYLNILQSCNGLFVCETLDDDNNVSEYFICNPTTKQFKKLSFLQNPIKGGEFYVSLAFDPLQSPHYKIIVVREVLENSYIFQLDIYASESESWKVATTTFETKEGDCIAFEDSVFCNGKLHWNSYGNQSLYLDVEKECLQMMPMPTPLRGNRLEIYRYFDDSGGRLYLAATYFAYIDLDLYVHQMASDYSHWFLKHRLNMGDAMEVFPELNLGCHPFSSGFSGVSFVGSERKEEVKVVIWADGKIICYDFNDGAWKMIHDPGPGLKIGTPIEHFNHLHEQRFIPYKYFESVYVFEDM >KJB82133 pep chromosome:Graimondii2_0_v6:13:47172789:47180239:1 gene:B456_013G178200 transcript:KJB82133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASEDLKQFGDTDKGGAVFDASQYAFFGNDVLEEVELGGIDDEDEDLPAAGLDEEEFLFDQEEGGVLRALSDIDDLESTFSKLNTAVSRPRGSGIIGDRGSRESSSVAEWAQGEEFPYWLDQQALETEGIPERWSSQPSTNLDPKHLLRTSSYPEPHQQQPQQRHHLHFSSEPILVPKSSYTSYPPPCGRSPQASPNQHPGHLNIPYMVGGSQMTSSPDLSSFSNSQPQMPGLHPGSHYGGNMNHLVPGLSVNSRASDQWGSQPKLYGGDGSSVLNNMLQQQLSHQNGLIPPQLMPQLQVHQQRLQHPVQPSVNRLPGIQSQLFNPHLSLSSPPMNQFEAVLGIGDLRDHRPKSAHKGRQNPRLSQQGFDIGWPQFRSKYMSPDEIEGILRMQLAATHSNDPYVDDYYHQACLARKSAGAKLRHHFCPTHLRDLPPRARANAEPHAFLQVDALGRVPFSSIRRPRPLLEVDPPNSSAANNNDQKASDMPLEQEPMLAARVTIEDGLCLLLDVDDIDRFLQFNQLQDSGAQLRQRRQVLLEGLAASLQLVDPLGKNGHTDELAQKDDLVFLRIVSLPKGRKLLARYLQLLFPDGELMRIVCMAIFRHLRFLFGGLPSDPGAAETTSNLARVVSSCVHHMDLRVLSFCLAAVVCSSEQPPLRPLGSPTGDGASFILKCVLDRATKLVTDFKAGGDYNISNQSLWKASFDEFFNLLTKYCVNKYDTVMQSLRMQDKPNMVIDEADATKAIKREMPVDLLHACLPHINEQQKKLIWDLSQRSMLVGQS >KJB82134 pep chromosome:Graimondii2_0_v6:13:47177891:47180239:1 gene:B456_013G178200 transcript:KJB82134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGSQMTSSPDLSSFSNSQPQMPGLHPGSHYGGNMNHLVPGLSVNSRASDQWGSQPKLYGGDGSSVLNNMLQQQLSHQNGLIPPQLMPQLQVHQQRLQHPVQPSVNRLPGIQSQLFNPHLSLSSPPMNQFEAVLGIGDLRDHRPKSAHKGRQNPRLSQQGFDIGWPQFRSKYMSPDEIEGILRMQLAATHSNDPYVDDYYHQACLARKSAGAKLRHHFCPTHLRDLPPRARANAEPHAFLQVDALGRVPFSSIRRPRPLLEVDPPNSSAANNNDQKASDMPLEQEPMLAARVTIEDGLCLLLDVDDIDRFLQFNQLQDSGAQLRQRRQVLLEGLAASLQLVDPLGKNGHTDELAQKDDLVFLRIVSLPKGRKLLARYLQLLFPDGELMRIVCMAIFRHLRFLFGGLPSDPGAAETTSNLARVVSSCVHHMDLRVLSFCLAAVVCSSEQPPLRPLGSPTGDGASFILKCVLDRATKLVTDFKAGGDYNISNQSLWKASFDEFFNLLTKYCVNKYDTVMQSLRMQDKPNMVIDEADATKAIKREMPVDLLHASPKMGSFQVKL >KJB82733 pep chromosome:Graimondii2_0_v6:13:52317728:52328526:1 gene:B456_013G211300 transcript:KJB82733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEPAAPGKRKSPEKSDVTETPKQESAPKRRTLARTCVHEVAVPSGYVSTKDESIHGTLSNPAYNGEMAKTYQFELDPFQQVSVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELHQEFNDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICHLHKQPCHVVYTDFRPTPLQHYVFPMGGSGLYLVVDENEQFREDNFMKLQDSFVKQRPGDWSKNKEGKSGGRATKGGGGSGGSDIYKIVKMIMERKFHPVIVFSFSRRECEYHAMSMSKLDFNTKEEKDDVEQVFRNAVLCLNEEDRCLPAIELMLPLLMRGIAVHHSGLLPVIKELVELLFQEGLIKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMVDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQMTAEHVIRNSFHQFQYEKALPDIGKKVSKLEQEADLLDASGEAEVAEYHKLKLELAQLEKKLMSEITRPERVLYYLDPGRLIKVREGSTDWGWGVVVNVVKRTPAGLGALPARGGGYIVDTLLHCSPGSSENGVRPKPCPPCLGEKGEMHVVPVQLPLISALSKIKVSIPADLRPPEARQSLLLAVQELGTRFPQGLPKLNPVTDMKIEDQEIVELVKQIEEHEKKLFAHPLHKSQDEHQIRSFQRKAEVNHEIQQLKSKMRDSQLQKFRDELKNRSRVLKKLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFSDLDHHQVAALASCFIPVDKSSEQIQLRTEIAKPLQQLQESARKIAEIQHECKLDVNIDEYVESTVRPFLMDVIYCWSKGATFAEVIQMTDIFEGSIIRSARRLDEFLNQLCAAAQAVGEVNLESKFAAASESLRRGIMFANSLYL >KJB82734 pep chromosome:Graimondii2_0_v6:13:52317896:52323864:1 gene:B456_013G211300 transcript:KJB82734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEPAAPGKRKSPEKSDVTETPKQESAPKRRTLARTCVHEVAVPSGYVSTKDESIHGTLSNPAYNGEMAKTYQFELDPFQQVSVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELHQEFNDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICHLHKQPCHVVYTDFRPTPLQHYVFPMGGSGLYLVVDENEQFREDNFMKLQDSFVKQRPGDWSKNKEGKSGGRATKGGGGSGGSDIYKIVKMIMERKFHPVIVFSFSRRECEYHAMSMSKLDFNTKEEKDDVEQVFRNAVLCLNEEDRCLPAIELMLPLLMRGIAVHHSGLLPVIKELVELLFQEGLIKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMVDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQMTAEHVIRNSFHQFQYEKALPDIGKKVSKLEQEADLLDASGEAEVAEYHKLKLELAQLEKKLMSEITRPERVLYYLDPGRLIKVREGSTDWGWGVVVNVVKRTPAGLGALPARGGGYIVDTLLHCSPGSSENGVRPKPCPPCLGEKGEMHVVPVQLPLISALSKIKVSIPADLRPPEARQSLLLAVQELGTRFPQGLPKLNPVTVTIHNIQI >KJB83001 pep chromosome:Graimondii2_0_v6:13:54406675:54411276:1 gene:B456_013G224300 transcript:KJB83001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNERHASSNPTSPQENDAMFLDILHEAPLFGHRKPRSIVGGVFYCFILAGYAILAAAAPWIFQPLGHLVLPLLCSCDVVLLIVTGIFQQYHVYQVQKIRLQGYYSFSQKLKHIVRLPFAITAYGTAGMILVMVWEPYIRILSTSTILRIIMLVEAVCSGFFMSVYIGYVYQYNSLDSQPDVLKSLYSPLQPSSPLEDLRYHDGGRLSDQQMALLQYQRENLHFLSEEILRLQECLSKYEGSNDGSTPQVDLAHLLAARDQELRTVSAEMNQLQSELRLARSLIADTEAEVQCVRTTNNQYVEENERLRAILGEWSTRAAKLERALEVERMSNLELQKKISTFRNQTCTSSTESSEQRGA >KJB83003 pep chromosome:Graimondii2_0_v6:13:54406749:54411152:1 gene:B456_013G224300 transcript:KJB83003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNERHASSNPTSPQENDAMFLDILHEAPLFGHRKPRSIVGGVFYCFILAGYAILAAAAPWIFQPLGHLVLPLLCSCDVVLLIVTGIFQQYHVYQVQKIRLQGYYSFSQKLKHIVRLPFAITAYGTAGMILVMVWEPYIRILSTSTILRIIMLVEAVCSGFFMSVYIGYVYQYNSLDSQPDVLKSLYSPLQPSSPLEDLRYHDGGRLSDQQMALLQYQRENLHFLSEEILRLQECLSKYEGSNDGSTPQVVDLAHLLAARDQELRTVSAEMNQLQSELRLARSLIADTEAEVQCVRTTNNQYVEENERLRAILGEWSTRAAKLERALEVERMSNLELQKKISTFRNQTCTSSTESSEQRGA >KJB83002 pep chromosome:Graimondii2_0_v6:13:54406749:54411152:1 gene:B456_013G224300 transcript:KJB83002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNERHASSNPTSPQENDAMFLDILHEAPLFGHRKPRSIVGGVFYCFILAGYAILAAAAPWIFQPLGHLVLPLLCSCDVVLLIVTGIFQQYHVYQVQKIRLQGYYSFSQKLKHIVRLPFAITAYGTAGMILVMVWEPYIRILSTSTILRIIMLVEAVCSGFFMSVYIGYVYQYNSLDSQPDVLKSLYSPLQPSSPLEDLRYHDGGRLSDQQMALLQYQRENLHFLSEEILRLQECLSKYEGSNDGSTPQVDLAHLLAARDQELRTVSAELQSELRLARSLIADTEAEVQCVRTTNNQYVEENERLRAILGEWSTRAAKLERALEVERMSNLELQKKISTFRNQTCTSSTESSEQRGA >KJB83441 pep chromosome:Graimondii2_0_v6:13:56673726:56676901:-1 gene:B456_013G248400 transcript:KJB83441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDSNSGVKTALLTSGENGKRVRFVRRNSVNSLRNDFMLRLPDKVRTGLDAESPFDIDVSRTNGLTQGEKEYYKTQFETLKSFEEVDAIGHSETSDDEYEDEERIQHERAMKISNYANIVLLAFKLYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKNTNIYKYPIGKLRIQPVGIVIFAAVMATLGFQVLIQAVEQLIANEPTEKMSADKLVWLYTIMLSATFVKLALWIYCKSSTNKIVRAYAKDHYFDVVTNVVGLLAAVLGDKFYWWLDPAGAIALAIYTITNWSGTVIENAVSLVGQSAPPEFLQKLTYLVIRHPRVKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHTIGETLQIKIEKLPEVERAFVHLDFECEHKPEHSVLSRLPNSKD >KJB83440 pep chromosome:Graimondii2_0_v6:13:56673549:56677159:-1 gene:B456_013G248400 transcript:KJB83440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDSNSGVKTALLTSGENGKRVRFVRRNSVNSLRNDFMLRLPDKVRTGLDAESPFDIDVSRTNGLTQGEKEYYKTQFETLKSFEEVDAIGHSETSDDEYEDEERIQHERAMKISNYANIVLLAFKLYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKNTNIYKYPIGKLRIQPVGIVIFAAVMATLGFQVLIQAVEQLIANEPTEKMSADKLVWLYTIMLSATFVKLALWIYCKSSTNKIVRAYAKDHYFDVVTNVVGLLAAVLGDKFYWWLDPAGAIALAIYTITNWSGTVIENAVSLVGQSAPPEFLQKLTYLVIRHPRVKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHTIGETLQIKIEKLPEVERAFVHLDFECEHKPEHSVLSRLPNSKD >KJB83439 pep chromosome:Graimondii2_0_v6:13:56673549:56677235:-1 gene:B456_013G248400 transcript:KJB83439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDSNSGVKTALLTSGENGKRVRFVRRNSVNSLRNDFMLRLPDKVRTGLDAESPFDIDVSRTNGLTQGEKEYYKTQFETLKSFEEVDAIGHSETSDDEYEDEERIQHERAMKISNYANIVLLAFKLYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKNTNIYKYPIGKLRIQPVGIVIFAAVMATLGFQVLIQAVEQLIANEPTEKMSADKLVWLYTIMLSATFVKLALWIYCKSSTNKIVRAYAKDHYFDVVTNVVGLLAAVLGDKFYWWLDPAGAIALAIYTITNWSGTVIENAVSLVGQSAPPEFLQKLTYLVIRHPRVKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHTIGETLQIKIEKLPEVERAFVHLDFECEHKPEHSVLSRLPNSKD >KJB78441 pep chromosome:Graimondii2_0_v6:13:47324150:47325485:1 gene:B456_013G179600 transcript:KJB78441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGLADRSGVEKGGHAKFECPHCKTTAPDLKTMQIHHDAKHPKLPFEESKLVNLHSTHGADSSKPRPGVRGSLKK >KJB79989 pep chromosome:Graimondii2_0_v6:13:9368752:9369780:-1 gene:B456_013G076100 transcript:KJB79989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPRKEASNKNKLYKKSKKTQPILSEEDTQMTRKVRVIFHDPYATDSSSSEDESERTITRKAPRGKLVVREVGVPILGLVSHSKPLEFEASSQDSNCKTPTRRKRVLDTTLDDNALVVEAKIPVGVRRRKWGKWAAEIRHPLEKTRIWLGTYDTLEDAARAYDAKKLEFEALAVAAAAAATASSDKTYDISCSASTSHTSNSVASVSEESVSPSSHTTPSSVLELDTSASVSFFNSNHDSGNANKEGFDANFANLVIPDLCFLDDPLLSGSVGQELNLDEEPSDYLFVNDSGVILEDYCSIEDLSICGIEGNEPSELPDCDFSTDDFDFCKKVAPLNILCP >KJB82171 pep chromosome:Graimondii2_0_v6:13:47275362:47277951:-1 gene:B456_013G179300 transcript:KJB82171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEVVLLDFWPSSFAMRVKIALGEKGIKYKCKQEDLFNKSPLLLEMNPIHKKIPVLIHNGKPISESLIILQYIDQVWNHKSPLLPSDPYQRSQALFWADYIDKKIYGIGRRVWMGKEDQEEAKEELMQCLKTLERELGDKLYFGGQNIGVVDVALVPFTTWFYSYETCGNFSIEAGCPKLVAWAKRCKENYRSVSEALPHPLQIYEYVMELKKRLGLV >KJB82580 pep chromosome:Graimondii2_0_v6:13:51409994:51413924:1 gene:B456_013G203000 transcript:KJB82580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGARRSKHLDDLQPPPQPVEQAENWVLPAQNRTRRRAGGRGRGNAAGVAKGPSAAVPTRPTAAGRGRGIRLIDLDPEPRQVLPQAEPLAAAGPAFNRVEVVADKDIAMEGRSADKIVGVEEEASTTPVPETVQVGNSPVYRLERKLGKGGFGQVYVGQRTSGGSDRIGPDAIEVALKLEHRNSKGCNYGPPYEWQVYNSLSGCYGIPSVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPNMAACIAVEAISILEKLHLKGFVHGDVKPENFLLGLPGSADEKKLYLIDLGLASRWKDAQSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVTNMKFDEEPNYAKLISFFESLIEPCTPLRPIRIDGALKVGQKRGRLVINLEEDEQPKKKIRLGSPATQWISVYNARCPMKQRYHYNVADSRLRQHVEKGNEDGLFISCVASAANLWALIMDAGTGFTSQVYELSTVFLHKVGKLLKSTISCYFCARIAYILFTCSFNTYWISSGLDYGAMGKELLY >KJB82581 pep chromosome:Graimondii2_0_v6:13:51409994:51415090:1 gene:B456_013G203000 transcript:KJB82581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGARRSKHLDDLQPPPQPVEQAENWVLPAQNRTRRRAGGRGRGNAAGVAKGPSAAVPTRPTAAGRGRGIRLIDLDPEPRQVLPQAEPLAAAGPAFNRVEVVADKDIAMEGRSADKIVGVEEEASTTPVPETVQVGNSPVYRLERKLGKGGFGQVYVGQRTSGGSDRIGPDAIEVALKLEHRNSKGCNYGPPYEWQVYNSLSGCYGIPSVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPNMAACIAVEAISILEKLHLKGFVHGDVKPENFLLGLPGSADEKKLYLIDLGLASRWKDAQSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVTNMKFDEEPNYAKLISFFESLIEPCTPLRPIRIDGALKVGQKRGRLVINLEEDEQPKKKIRLGSPATQWISVYNARCPMKQRYHYNVADSRLRQHVEKGNEDGLFISCVASAANLWALIMDAGTGFTSQVYELSTVFLHKDWIMEQWEKNYYISSIAGANNGSSLVVMSKGTPYTQQSYKLSESFPFKWINKKWKEGFHVTSMTTAGSCWGVVMSRNSGFSDQVFVPFHLYVCLRFSATLDFSKAAAYTLYYI >KJB82582 pep chromosome:Graimondii2_0_v6:13:51409994:51415090:1 gene:B456_013G203000 transcript:KJB82582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGARRSKHLDDLQPPPQPVEQAENWVLPAQNRTRRRAGGRGRGNAAGVAKGPSAAVPTRPTAAGRGRGIRLIDLDPEPRQVLPQAEPLAAAGPAFNRVEVVADKDIAMEGRSADKIVGVEEEASTTPVPETVQVGNSPVYRLERKLGKGGFGQVYVGQRTSGGSDRIGPDAIEVALKLEHRNSKGCNYGPPYEWQVYNSLSGCYGIPSVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPNMAACIAVEAISILEKLHLKGFVHGDVKPENFLLGLPGSADEKKLYLIDLGLASRWKDAQSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVTNMKFDEEPNYAKLISFFESLIEPCTPLRPIRIDGALKVGQKRGRLVINLEEDEQPKKKIRLGSPATQWISVYNARCPMKQRYHYNVADSRLRQHVEKGNEDGLFISCVASAANLWALIMDAGTGFTSQVYELSTVFLHKDWIMEQWEKNYYISSIAGANNGSSLVVMSKGTPYTQQSYKLSESFPFKWINKKWKEGFHVTSMTTAGSCWGVVMSRNSGFSDQVVELDFLYPSEGIHRRWESGYRITSMAATADQAAFILSIPKRKMMDETQETLRTSAFPSTHVKVTNGQKICT >KJB82579 pep chromosome:Graimondii2_0_v6:13:51409856:51415263:1 gene:B456_013G203000 transcript:KJB82579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGARRSKHLDDLQPPPQPVEQAENWVLPAQNRTRRRAGGRGRGNAAGVAKGPSAAVPTRPTAAGRGRGIRLIDLDPEPRQVLPQAEPLAAAGPAFNRVEVVADKDIAMEGRSADKIVGVEEEASTTPVPETVQVGNSPVYRLERKLGKGGFGQVYVGQRTSGGSDRIGPDAIEVALKLEHRNSKGCNYGPPYEWQVYNSLSGCYGIPSVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPNMAACIAVEAISILEKLHLKGFVHGDVKPENFLLGLPGSADEKKLYLIDLGLASRWKDAQSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVTNMKFDEEPNYAKLISFFESLIEPCTPLRPIRIDGALKVGQKRGRLVINLEEDEQPKKKIRLGSPATQWISVYNARCPMKQRYHYNVADSRLRQHVEKGNEDGLFISCVASAANLWALIMDAGTGFTSQVYELSTVFLHKDWIMEQWEKNYYISSIAGANNGSSLVVMSKGTPYTQQSYKLSESFPFKWINKKWKEGFHVTSMTTAGSCWGVVMSRNSGFSDQVVELDFLYPSEGIHRRWESGYRITSMAATADQAAFILSIPKRKMMDETQETLRTSAFPSTHVKDKWAKNLYLASICYGRTVC >KJB82583 pep chromosome:Graimondii2_0_v6:13:51409994:51415090:1 gene:B456_013G203000 transcript:KJB82583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGARRSKHLDDLQPPPQPVEQAENWVLPAQNRTRRRAGGRGRGNAAGVAKGPSAAVPTRPTAAGRGRGIRLIDLDPEPRQVLPQAEPLAAAGPAFNRVEVVADKDIAMEGRSADKIVGVEEEASTTPVPETVQVGNSPVYRLERKLGKGGFGQVYVGQRTSGGSDRIGPDAIEVALKLEHRNSKGCNYGPPYEWQVYNSLSGCYGIPSVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPNMAACIAVEAISILEKLHLKGFVHGDVKPENFLLGLPGSADEKKLYLIDLGLASRWKDAQSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVTNMKFDEEPNYAKLISFFESLIEPCTPLRPIRIDGALKVVGQKRGRLVINLEEDEQPKKKIRLGSPATQWISVYNARCPMKQRYHYNVADSRLRQHVEKGNEDGLFISCVASAANLWALIMDAGTGFTSQVYELSTVFLHKDWIMEQWEKNYYISSIAGANNGSSLVVMSKGTPYTQQSYKLSESFPFKWINKKWKEGFHVTSMTTAGSCWGVVMSRNSGFSDQVVELDFLYPSEGIHRRWESGYRITSMAATADQAAFILSIPKRKMMDETQETLRTSAFPSTHVKDKWAKNLYLASICYGRTVC >KJB80695 pep chromosome:Graimondii2_0_v6:13:25440357:25445002:-1 gene:B456_013G110900 transcript:KJB80695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPKLSKSPIPSASASASASISSSSSPVQESPFSNYISSLSPIKHDKALHVAQGFVGLSSPPLVFTSPRINTLGRPQSSSVKISQKGVGDKKIINESCILERSVTESQEGLVTDIKNEDIKDDVAVQLGSSSECVDEYLADPVETDCAKSAYSDKLNLKQSNNVLQSSVNGLLDLKNMKFGRKNNVGREVDAAQFLSGRSEESIERKLTSDEKLLKIEDEQGSAQGISDGFQKFDSDRFDLSSKEKECKNFGPQKDGHGDGCSNFLQQLPGSLPGVQSYEGFAKNIGGDADAPVHSMTHEASELQRSMSRRCLQFGEAQPEATATCSISTNRANNIISSTSLATNSETESLSSSHLDLSAKSRTRQLVNLSQLAMNMIPQCYGKSSLSVLKPSGIGLHLNSIVNAISMGQGGTASMKVAQAIKSTSTTSCQSTENIDNCSDAFEKVSTPQGALEQKVCTIAGSASESLFAEESVEFHMTPNIKRKFSSEDGDRNDMFDQQRPIKKRKKLSNNTDGDCCKRCNCKKTKCLKLYCDCFAAGIYCAEPCSCQGCFNRPEYEETVLETRKQIESRNPLAFAPKIVQPVTEFPLSNREDGNRKTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSIGCRCEGCKNVYGKKEDYCVTKEMVNRSGEISESRVAAKPKKEIFHSELCDPYHLTPLTPLVQCSDHGKNASISRLFSRRCLPSPESDLTVLSYAKSPRSPRTSDSNDILLETSKGNLDIDSFCEGISYNNAVALADEFHCTPLPNHPSVIIGSPSSKARELTSLSRVQLDPRRRSLTPGGSLHWHSSPIMPMSPLNDNKKLQGLDSADGGLYDILEDDMPEILKDTSMPIKPVKAGSPNGKRVSPPHNLHQLGSSSSGPLRSGRKFILKAVPSFPPLTPCIDAKGISNQSRNNFQENRSND >KJB80699 pep chromosome:Graimondii2_0_v6:13:25439478:25445170:-1 gene:B456_013G110900 transcript:KJB80699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPKLSKSPIPSASASASASISSSSSPVQESPFSNYISSLSPIKHDKALHVAQGFVGLSSPPLVFTSPRINTLGRPQSSSVKISQKGVGDKKIINESCILERSVTESQEGLVTDIKNEDIKDDVAVQLGSSSECVDEYLADPVETDCAKSAYSDKLNLKQSNNVLQSSVNGLLDLKNMKFGRKNNVGREVDAAQFLSGRSEESIERKLTSDEKLLKIEDEQGSAQGISDGFQKFDSDRFDLSSKEKECKNFGPQKDGHGDGCSNFLQQLPGSLPGVQSYEGFAKNIGGDADAPVHSMTHEASELQRSMSRRCLQFGEAQPEATATCSISTNRANNIISSTSLATNSETESLSSSHLDLSAKSRTRQLVNLSQLAMNMIPQCYGKSSLSVLKPSGIGLHLNSIVNAISMGQGGTASMKVAQAIKSTSTTSCQSTENIDNCSDAFEKVSTPQGALEQKVCTIAGSASESLFAEESVEFHMTPNIKRKFSSEDGDRNDMFDQQRPIKKRQKLSNNTDGDCCKRCNCKKTKCLKLYCDCFAAGIYCAEPCSCQGCFNRPEYEETVLETRKQIESRNPLAFAPKIVQPVTEFPLSNREDGNRKTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSIGCRCEGCKNVYGKKEDYCVTKEMVNRSGEISESRVAAKPKKEIFHSELCDPYHLTPLTPLVQCSDHGKNASISRLFSRRCLPSPESDLTVLSYAKSPRSPRTSDSNDILLETSKGNLDIDSFCEGISYNNAVALADEFHCTPLPNHPSVIIGSPSSKARELTSLSRVQLDPRRRSLTPGGSLHWHSSPIMPMSPLNDNKKLQGLDSADGGLYDILEDDMPEILKDTSMPIKPVKAGSPNGKRVSPPHNLHQLGSSSSGPLRSGRKFILKAVPSFPPLTPCIDAKGISNQSRNNFQENRSND >KJB80700 pep chromosome:Graimondii2_0_v6:13:25441164:25445002:-1 gene:B456_013G110900 transcript:KJB80700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPKLSKSPIPSASASASASISSSSSPVQESPFSNYISSLSPIKHDKALHVAQGFVGLSSPPLVFTSPRINTLGRPQSSSVKISQKGVGDKKIINESCILERSVTESQEGLVTDIKNEDIKDDVAVQLGSSSECVDEYLADPVETDCAKSAYSDKLNLKQSNNVLQSSVNGLLDLKNMKFGRKNNVGREVDAAQFLSGRSEESIERKLTSDEKLLKIEDEQGSAQGISDGFQKFDSDRFDLSSKEKECKNFGPQKDGHGDGCSNFLQQLPGSLPGVQSYEGFAKNIGGDADAPVHSMTHEASELQRSMSRRCLQFGEAQPEATATCSISTNRANNIISSTSLATNSETESLSSSHLDLSAKSRTRQLVNLSQLAMNMIPQCYGKSSLSVLKPSGIGLHLNSIVNAISMGQGGTASMKVAQAIKSTSTTSCQSTENIDNCSDAFEKVSTPQGALEQKVCTIAGSASESLFAEESVEFHMTPNIKRKFSSEDGDRNDMFDQQRPIKKRQKLSNNTDGDCCKRCNCKKTKCLKLYCDCFAAGIYCAEPCSCQGCFNRPEYEETVLETRKQIESRNPLAFAPKIVQPVTEFPLSNREDGNRKTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSIGCRCEGCKNVYGKKEDYCVTKEMVNRSGEISESRVAAKPKKEIFHSELCDPYHLTPLTPLVQCSE >KJB80696 pep chromosome:Graimondii2_0_v6:13:25439683:25445002:-1 gene:B456_013G110900 transcript:KJB80696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPKLSKSPIPSASASASASISSSSSPVQESPFSNYISSLSPIKHDKALHVAQGFVGLSSPPLVFTSPRINTLGRPQSSSVKISQKGVGDKKIINESCILERSVTESQEGLVTDIKNEDIKDDVAVQLGSSSECVDEYLADPVETDCAKSAYSDKLNLKQSNNVLQSSVNGLLDLKNMKFGRKNNVGREVDAAQFLSGRSEESIERKLTSDEKLLKIEDEQGSAQGISDGFQKFDSDRFDLSSKEKECKNFGPQKDGHGDGCSNFLQQLPGSLPGVQSYEGFAKNIGGDADAPVHSMTHEASELQRSMSRRCLQFGEAQPEATATCSISTNRANNIISSTSLATNSETESLSSSHLDLSAKSRTRQLVNLSQLAMNMIPQCYGKSSLSVLKPSGIGLHLNSIVNAISMGQGGTASMKVAQAIKSTSTTSCQSTENIDNCSDAFEKVSTPQGALEQKVCTIAGSASESLFAEESVEFHMTPNIKRKFSSEDGDRNDMFDQQRPIKKRQKLSNNTDGDCCKRCNCKKTKCLKLYCDCFAAGIYCAEPCSCQGCFNRPEYEETVLETRKQIESRNPLAFAPKIVQPVTEFPLSNREDGNRKTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSIGCRCEGCKNVYGKKEDYCVTKEMVNRSGEISESRVAAKPKKEIFHSELCDPYHLTPLTPLVQCSDHGKNASISRLFSRRCLPSPESDLTVLSYAKSPRSPRTSDSNDILLETSKGNLDIDSFCEGISYNNAVALADEFHCTPLPNHPSVIIGSPSSKARELTSLSRVQLDPRRRSLTPGGSLHWHSSPIMPMSPLNDNKKLQGLDSADGGLYDILEDDMPEILKDTSMPIKPVKAGSPNGKRVSPPHNLHQLGSSSSGPLRSGRKFILKAVPSFPPLTPCIDAKGISNQSRNNFQENRSND >KJB80698 pep chromosome:Graimondii2_0_v6:13:25439683:25445002:-1 gene:B456_013G110900 transcript:KJB80698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPKLSKSPIPSASASASASISSSSSPVQESPFSNYISSLSPIKHDKALHVAQGFVGLSSPPLVFTSPRINTLGRPQSSSVKISQKGVGDKKIINESCILERSVTESQEGLVTDIKNEDIKDDVAVQLGSSSECVDEYLADPVETDCAKSAYSDKLNLKQSNNVLQSSVNGLLDLKNMKFGRKNNVGREVDAAQFLSGRSEESIERKLTSDEKLLKIEDEQGSAQGISDGFQKFDSDRFDLSSKEKECKNFGPQKDGHGDGCSNFLQQLPGSLPGVQSYEGFAKNIGGDADAPVHSMTHEASELQRSMSRRCLQFGEAQPEATATCSISTNRANNIISSTSLATNSETESLSSSHLDLSAKSRTRQLVNLSQLAMNMIPQCYGKSSLSVLKPSGIGLHLNSIVNAISMGQGGTASMKVAQAIKSTSTTSCQSTENIDNCSDAFEKVSTPQGALEQKVCTIAGSASESLFAEESVEFHMTPNIKRKFSSEDGDRNDMFDQQRPIKKRQKLSNNTDGDCCKRCNCKKTKCLKLYCDCFAAGIYCAEPCSCQGCFNRPEYEETVLETRKQIESRNPLAFAPKIVQPVTEFPLSNREDGNRKTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSIGCRCEGCKNVYGKKEDYCVTKEMVNRSGEISESRVAAKPKKEIFHSELCDPYHLTPLTPLVQCSDHGKNASISRLFSRRCLPSPESDLTVLSYAKSPRSPRTSDSNDILLETSKGNLDIDSFCEGISYNNAVALADEFHCTPLPNHPSVIIGSPSSKARELTSLSRVQLDPRRRSLTPGGSLHWHSSPIMPMSPLNDNKKLQGLDSADGGLYDILEDDMPEILKDTSMPIKPVKAGSPNGKRVSPPHNLHQLGSSSSGPLRSGRKFILKAVPSFPPLTPCIDAKGISNQSRNNFQENRSND >KJB80697 pep chromosome:Graimondii2_0_v6:13:25439478:25445170:-1 gene:B456_013G110900 transcript:KJB80697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPKLSKSPIPSASASASASISSSSSPVQESPFSNYISSLSPIKHDKALHVAQGFVGLSSPPLVFTSPRINTLGRPQSSSVKISQKGVGDKKIINESCILERSVTESQEGLVTDIKNEDIKDDVAVQLGSSSECVDEYLADPVETDCAKSAYSDKLNLKQSNNVLQSSVNGLLDLKNMKFGRKNNVGREVDAAQFLSGRSEESIERKLTSDEKLLKIEDEQGSAQGISDGFQKFDSDRFDLSSKEKECKNFGPQKDGHGDGCSNFLQQLPGSLPGVQSYEGFAKNIGGDADAPVHSMTHEASELQRSMSRRCLQFGEAQPEATATCSISTNRANNIISSTSLATNSETESLSSSHLDLSAKSRTRQLVNLSQLAMNMIPQCYGKSSLSVLKPSGIGLHLNSIVNAISMGQGGTASMKVAQAIKSTSTTSCQSTENIDNCSDAFEKVSTPQGALEQKVCTIAGSASESLFAEESVEFHMTPNIKRKFSSEDGDRNDMFDQQRPIKKRKKLSNNTDGDCCKRCNCKKTKCLKLYCDCFAAGIYCAEPCSCQGCFNRPEYEETVLETRKQIESRNPLAFAPKIVQPVTEFPLSNREDGNRKTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSIGCRCEGCKNVYGKKEDYCVTKEMVNRSGEISESRVAAKPKKEIFHSELCDPYHLTPLTPLVQCSDHGKNASISRLFSRRCLPSPESDLTVLSYAKSPRSPRTSDSNDILLETSKGNLDIDSFCEGISYNNAVALADEFHCTPLPNHPSVIIGSPSSKARELTSLSRVQLDPRRRSLTPGGSLHWHSSPIMPMSPLNDNKKLQGLDSADGGLYDILEDDMPEILKDTSMPIKPVKAGSPNGKRVSPPHNLHQLGSSSSGPLRSGRKFILKAVPSFPPLTPCIDAKGISNQSRNNFQENRSND >KJB81136 pep chromosome:Graimondii2_0_v6:13:34238370:34240668:-1 gene:B456_013G131000 transcript:KJB81136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYIICYHLYVKLVLFPFFFFHFINRANKLGWTLFVCVFFLSQNKRMGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB81135 pep chromosome:Graimondii2_0_v6:13:34238311:34240730:-1 gene:B456_013G131000 transcript:KJB81135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB81134 pep chromosome:Graimondii2_0_v6:13:34238291:34240846:-1 gene:B456_013G131000 transcript:KJB81134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KJB83335 pep chromosome:Graimondii2_0_v6:13:56089269:56094197:1 gene:B456_013G241700 transcript:KJB83335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTIGRTFSNPTNHYLTSLTKRTSFLFQSSSPFSASSSSGDGRGRGRGRGGASPSGTFGGFTPALGKPDSEDTKRDSAESQAVGLGHGRGRGIPFSSEPIIPSFSSFVSQNGSGRGRVTNESVRQTPPPPPPPREAKQPIFVMKQDEIETDSSAKLPAESVQSSERTFSPSTPSVASLSGAGRGKPVKQPEPVLQTKEENRHIRLKQKQQQQQQQQQQPPSPRLSKEEAVKKAMCILSRKSGSDEREDMGRSGGRGRGRGRGRGAQMGRGRGRREGEDTREEEEAVKELRDELYLGNNADGERLAETIGADSMNKLVEGFEEMSSRVLPSPMDDAYLEALHTNFMIEFEPEYLMEEFGTNPDIDEKPPMSLRDALEKVKPFLMSYEGIENQEEWEEAIKETMDKVPLLQEIIDYYSGPDRVTAKKQQEELERVAKTIPKSAPASVKQFANRAVLTLQSNPGWGFDKKCQFMDKLVWEVSQQYK >KJB79352 pep chromosome:Graimondii2_0_v6:13:3968617:3970201:1 gene:B456_013G045300 transcript:KJB79352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNKTEEQQQQSQAGRHQEVGHKSLLQSDALYQYILETSVYPREPEPMKELREITAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKIFAMDINRENYELGLPVIQKAGVAHKIDFKEGPAMPVLDELVQDEKNHGSFDFIFVDADKDNYLNYHKRLIELVKVGGLIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAVDPRIEICMLPVGDGITLCRRLK >KJB79353 pep chromosome:Graimondii2_0_v6:13:3968762:3970087:1 gene:B456_013G045300 transcript:KJB79353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNKTEEQQQQSQAGRHQEVGHKSLLQSDALYQYILETSVYPREPEPMKELREITAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKIFAMDINRENYELGLPVIQKAGVAHKIDFKEGPAMPVLDELVQDNHGSFDFIFVDADKDNYLNYHKRLIELVKVGGLIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAVDPRIEICMLPVGDGITLCRRLK >KJB80320 pep chromosome:Graimondii2_0_v6:13:14699938:14701177:1 gene:B456_013G091500 transcript:KJB80320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGLEKDKIDGALGLADPNANASFKKDIISSKVVAMPSIGLRIQGLSGPFKMSNRGLSLSNSCISPTVYRFEAPNQQAKGTSVTPLEKLDVTILNPEFHSAIKNH >KJB81928 pep chromosome:Graimondii2_0_v6:13:45245321:45252955:-1 gene:B456_013G167800 transcript:KJB81928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLKAARASGSLNLSNRSLRDVPEEIYRSLDAVGEGEKWWEAVELQKLILAHNNIESLKEEVRNLPLLTVLNVSHNKLTDLPAAIGQLSMLKLLDVSFNSIVTIPEEIGSATSLVKFDCSSNRIKELPSSLGKCSDLSDLKVSNNLITSLPEDLTNCSKLTKLDVEGNKLTALSENLFASCTMLTELNASKNVLSGIPENIGCLMRLIRLDLHQNRISSIPPSISGCSSLAEFYMGNNALSILTEELGALSRLGTLDLHSNQLKEYPVGACKLSLSVLDLSNNSLTGLPAELGKMTTLRKLLLSGNPLRTLRSSLVSGPTPALLRYLRSRLSEAEDSGAKTPAKEEVVTMAARLSLTSKELSLEGMGLSVVPSEAWESGEIIKLNLSKNSIQELPVELSSCSSLQTLVLSRNNIKDWPVAILKSLPNLSCLKLDGNPLRQIPSDGFQAISMIQILDISGNATSLPENPAFSNLPHLKELYLRRMQLREVPSEIMSLWQLQILGLSQNSLQSIPDGFKNLTSLTELDLSDNNISTLPPELGLLEPSLQVLRLDGNPLRSIRRPILDRGTKAVLKYLKDKLPEQ >KJB81925 pep chromosome:Graimondii2_0_v6:13:45245321:45252800:-1 gene:B456_013G167800 transcript:KJB81925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLKAARASGSLNLSNRSLRDVPEEIYRSLDAVGEGEKWWEEEVRNLPLLTVLNVSHNKLTDLPAAIGQLSMLKLLDVSFNSIVTIPEEIGSATSLVKFDCSSNRIKELPSSLGKCSDLSDLKVSNNLITSLPEDLTNCSKLTKLDVEGNKLTALSENLFASCTMLTELNASKNVLSGIPENIGCLMRLIRLDLHQNRISSIPPSISGCSSLAEFYMGNNALSILTEELGALSRLGTLDLHSNQLKEYPVGACKLSLSVLDLSNNSLTGLPAELGKMTTLRKLLLSGNPLRTLRSSLVSGPTPALLRYLRSRLSEAEDSGAKTPAKEEVVTMAARLSLTSKELSLEGMGLSVVPSEAWESGEIIKLNLSKNSIQELPVELSSCSSLQTLVLSRNNIKDWPVAILKSLPNLSCLKLDGNPLRQIPSDGFQAISMIQILDISGNATSLPENPAFSNLPHLKELYLRRMQLREVPSEIMSLWQLQILGLSQNSLQSIPDGFKNLTSLTELDLSDNNISTLPPELGLLEPSLQVLRLDGNPLRSIRRPILDRGTKAVLKYLKDKLPEQ >KJB81927 pep chromosome:Graimondii2_0_v6:13:45245321:45252905:-1 gene:B456_013G167800 transcript:KJB81927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLKAARASGSLNLSNRSLRDVPEEIYRSLDAVGEGEKWWEAVELQKLILAHNNIESLKEEVRNLPLLTVLNVSHNKLTDLPAAIGQLSMLKLLDVSFNSIVTIPEEIGSATSLVKFDCSSNRIKELPSSLGKCSDLSDLKVSNNLITSLPEDLTNCSKLTKLDVEGNKLTALSENLFASCTMLTELNASKNVLSGIPENIGCLMRLIRLDLHQNRISSIPPSISGCSSLAEFYMGNNALSILTEELGALSRLGTLDLHSNQLKEYPVGACKLSLSVLDLSNNSLTGLPAELGKMTTLRKLLLSGNPLRTLRSSLVSGPTPALLRYLRSRLSEAEDSGAKTPAKEEVVTMAARLSLTSKELSLEGMGLSVVPSEAWESGEIIKLNLSKNSIQELPVELSSCSSLQTLVLSRNNIKDWPVAILKSLPNLSCLKLDGNPLRQIPSDGFQAISMIQILDISGNATSLPENPAFSNLPHLKELYLRRMQLREVPSEIMSLWQLQILGLSQNSLQSIPDGFKNLTSLTELDLSDNNISTLPPELGLLEPSLQVLRLDGNPLRSIRRPILDRGTKAVLKYLKDKLPEQ >KJB81929 pep chromosome:Graimondii2_0_v6:13:45247642:45252905:-1 gene:B456_013G167800 transcript:KJB81929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLKAARASGSLNLSNRSLRDVPEEIYRSLDAVGEGEKWWEAVELQKLILAHNNIESLKEEVRNLPLLTVLNVSHNKLTDLPAAIGQLSMLKLLDVSFNSIVTIPEEIGSATSLVKFDCSSNRIKELPSSLGKCSDLSDLKVSNNLITSLPEDLTNCSKLTKLDVEGNKLTALSENLFASCTMLTELNASKNVLSGIPENIGCLMRLIRLDLHQNRISSIPPSISGCSSLAEFYMGNNALSILTEELGALSRLGTLDLHSNQLKEYPVGACKLSLSVLDLSNNSLTGLPAELGKMTTLRKLLLSGNPLRTLRSSLVSGPTPALLRYLRSRLSEAEDSGAKTPAKEEVVTMAARLSLTSKELSLEGMGLSVVPSEAWESGEIIKLNLSKNSIQELPVELSSCSSLQTLVLSRNNIKDWPVAILKSLPNLSCLKLDGNPLRQVTRIYFFPPSFLFLTVERAMHACTYTE >KJB81926 pep chromosome:Graimondii2_0_v6:13:45245321:45252905:-1 gene:B456_013G167800 transcript:KJB81926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLKAARASGSLNLSNRSLRDVPEEIYRSLDAVGEGEKWWEAVELQKLILAHNNIESLKEEVRNLPLLTVLNVSHNKLTDLPAAIGQLSMLKLLDVSFNSIVTIPEEIGSATSLVKFDCSSNRIKELPSSLGKCSDLSDLKVSNNLITSLPEDLTNCSKLTKLDVEGNKLTALSENLFASCTMLTELNASKNVLSGIPENIGCLMRLIRLDLHQNRISSIPPSISGCSSLAEFYMGNNALSILTEELGALSRLGTLDLHSNQLKEYPVGACKLSLSVLDLSNNSLTGLPAELGKMTTLRKLLLSGNPLRTLRSSLVSGPTPALLRYLRSRLSEAEGAKTPAKEEVVTMAARLSLTSKELSLEGMGLSVVPSEAWESGEIIKLNLSKNSIQELPVELSSCSSLQTLVLSRNNIKDWPVAILKSLPNLSCLKLDGNPLRQIPSDGFQAISMIQILDISGNATSLPENPAFSNLPHLKELYLRRMQLREVPSEIMSLWQLQILGLSQNSLQSIPDGFKNLTSLTELDLSDNNISTLPPELGLLEPSLQVLRLDGNPLRSIRRPILDRGTKAVLKYLKDKLPEQ >KJB79476 pep chromosome:Graimondii2_0_v6:13:5842733:5843086:-1 gene:B456_013G057800 transcript:KJB79476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQTQSLPSFLNLPTPSRPSFLWPGAFPPLPTTLRTRLPPLPSIPSVHTIPITIPPIPFSFPPFLYFHNFPNPGAFPPLPTTLPRGLPPLQSIPSVPTIPTAFPSIPFFFHHLLVLLL >KJB82681 pep chromosome:Graimondii2_0_v6:13:53716738:53720774:-1 gene:B456_013G217200 transcript:KJB82681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSLSAFAFLFSELVQYNQTQVDNISELETRLEDAGYAVGARVLELLCQRDKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGTFHCGAFVAGIVKGVLENAGFPAVVTAHFVPVQGQQRPRTTILIKFAEEVLRREARLG >KJB82679 pep chromosome:Graimondii2_0_v6:13:53716723:53720862:-1 gene:B456_013G217200 transcript:KJB82679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTGKIKQYANVLDKPLGKGKQEVSLSAFAFLFSELVQYNQTQVDNISELETRLEDAGYAVGARVLELLCQRDKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGTFHCGAFVAGIVKGVLENAGFPAVVTAHFVPVQGQQRPRTTILIKFAEEVLRREARLG >KJB82682 pep chromosome:Graimondii2_0_v6:13:53718115:53720774:-1 gene:B456_013G217200 transcript:KJB82682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTGKIKQYANVLDKPLGKGKQEVSLSAFAFLFSELVQYNQTQVDNISELETRLEDAGYAVGARVLELLCQRDKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGTFHCGAFVAGIVKVSSALIFFCIK >KJB82680 pep chromosome:Graimondii2_0_v6:13:53716727:53720862:-1 gene:B456_013G217200 transcript:KJB82680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTGKIKQYANVLDKPLGKGKQEVSLSAFAFLFSELVQYNQTQVDNISELETRLEDAGYAVGARVLELLCQRDKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGTFHCGAFVAGIVKGQQRPRTTILIKFAEEVLRREARLG >KJB81198 pep chromosome:Graimondii2_0_v6:13:34922422:34926344:-1 gene:B456_013G132900 transcript:KJB81198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITFNQQTKLEYRNIQFFGLDSQQKVTSSYVVVIGLGGVGSHAASMLLRSGVGKLLLVGFDQVRHRLRKDHGIEGGIPVVFSLEKPKAQLLPFRGPSGEEDNPLDYQVRMLASYFCSFKVLCLIT >KJB81199 pep chromosome:Graimondii2_0_v6:13:34922704:34924982:-1 gene:B456_013G132900 transcript:KJB81199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITFNQQTKLEYRNIQFFGLDSQQKVTSSYVVVIGLGGVGSHAASMLLRSGVGKLLLVGFDQCQVDAEVLLYDVSSEEEILSGNPDFVLDCIDNIDIKVRHRLRKDHGIEGGIPVVFSLEKPKAQLLPFRGPSGEEDNPLDYQVRMLASYFCSFKVLCLIT >KJB81196 pep chromosome:Graimondii2_0_v6:13:34922704:34924982:-1 gene:B456_013G132900 transcript:KJB81196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITFNQQTKLEYRNIQFFGLDSQQKVTSSYVVVIGLGGVGSHAASMLLRSGVGKLLLVGFDQVRHRLRKDHGIEGGIPVVFSLEKPKAQLLPFRGPSGEEDNPLDYQVRMLASYFCSFKVLCLIT >KJB81201 pep chromosome:Graimondii2_0_v6:13:34924250:34926344:-1 gene:B456_013G132900 transcript:KJB81201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITFNQQTKLEYRNIQFFGLDSQQKVTSSYVVVIGLGGVGSHAASMLLRSGVGKLLLVGFDQVSYIFHQ >KJB81197 pep chromosome:Graimondii2_0_v6:13:34922300:34926480:-1 gene:B456_013G132900 transcript:KJB81197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITFNQQTKLEYRNIQFFGLDSQQKVTSSYVVVIGLGGVGSHAASMLLRSGVGKLLLVGFDQVRHRLRKDHGIEGGIPVVFSLEKPKAQLLPFRGPSGEEDNPLDYQCRNSSNILKLNLK >KJB81200 pep chromosome:Graimondii2_0_v6:13:34923999:34926480:-1 gene:B456_013G132900 transcript:KJB81200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITFNQQTKLEYRNIQFFGLDSQQKVTSSYVVVIGLGGVGSHAASMLLRSGVGKLLLVGFDQMLASPKLSASRSISLQISPSAK >KJB82858 pep chromosome:Graimondii2_0_v6:13:53745680:53754190:1 gene:B456_013G217400 transcript:KJB82858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKKAEGGSTPTLPNENTIKTLSLTLSFCFSFFFCVKGIPMEDDDEFGDLYTDVLRPFSSTSTTKSLSSLAPQPYQPSPTPTQLLRPIDLNLQSQHDGNTLFGAPAAQTLAPFKPPPSTPAVPAAAAAPDSIPSSLGSAPEPIVLDSRHESADGKELEFDIEEGGGNGIEDIGSNDPFIPGLTEAVRQEDNNIGIRGSQAEADGERDDWDSDSDDDLQIVLNDNHGPVAMETGGMIGEDDDDDEDGDPLVILADGDGNQGTAEQEWGEERVQAADGEKKEGGEAGKVTSGGVLAPKIGYSSHLYHPFHSQFKYVRPAATPMPGATAGGSGGAPGQVSPIMGAMAGRGRGDWRPSGMKAGPPMQKGFHPSFGAPGWGNNTAGRGFGGGLEFTLPSHKTIFDVDIDSFEEKPWKYPGVDLSDFFNFGLNEESWKDYCKQLEQHRLETTMQSKIRVYESGRTEQGYDPDLPPELAAATAQVAPAAANLIKSDGGQNDMTKGAGRVRPPLPTGRPIQVEGGSGERLPSIDTRPPRVRDSDAVIEIVCQDTLDDDSSTGNGVDGRAENDLPMEDLRGDLASEAAIAHEDTEYFDNSPDACKSRKKELVGRTMNFVHDNVREDNGVLPFPVEASRPYGAGPRVQSPMGPSENSGSPPDGRHRQGRVRKKSPCITPIHGERDNFNDTDREESVERMDGKSPRVVRDPRESSVEHKDHVDDELEPADGSPATEKDELINDTHKDQNSLNPMRNEKTSSQAEQWKLRERDDDEDSRAARSSENSKARSGSSRDYQKSRDGAEEEVVQGERSSRFGIVKKHLDENDQNFRRKGRDGRLEIERNRMVGKPGEDSYPLRDVDVSLSHNLPVKKGGFGRRRESDNPDGTWQWREDDPYGRKERAEDLRKRDHDNEMGSRNRAKVRESERSDKDDYPSRKQLDNGNYKDVSARHRERDDNLKSRYEAADDYPSKRRKDEEYLRRDHTNKEEILQGRRESSGSRRKRERDEILDPRKRDEQRRTRENFDEHHSARHKDEVWLNREKVERPRERDEWLRLKQSHDGSLSKREREEGRGTVRSGRGLEDKAWGGHTRGKDEYKVSEKEYQLKETVRHSEQAKRRDRNDDESSSRHRGHEDLYVRGHQFSNDERKSRPERSSTRSDHGFDASDSQKGHEKKHKENTRKNRESEGGNQTTLGSAKKSQEDLSGHNNETGLKSDEKSAIHYNSSRKHKEDASSEDELRESKRGRSKLERWTSHTERDYSINSKSSASLKFKETEKINNVVSSESNKFPDGPGKSVEPVEHFPPLSDNKGVGEPEIKEADTRPSEDQHLDTVEKLKKRTERFKLPISREKDTLATKTENEALPSTENETPVDSEIKHERPARKRRWICN >KJB82859 pep chromosome:Graimondii2_0_v6:13:53745723:53753925:1 gene:B456_013G217400 transcript:KJB82859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKKAEGGSTPTLPNENTIKTLSLTLSFCFSFFFCVKGIPMEDDDEFGDLYTDVLRPFSSTSTTKSLSSLAPQPYQPSPTPTQLLRPIDLNLQSQHDGNTLFGAPAAQTLAPFKPPPSTPAVPAAAAAPDSIPSSLGSAPEPIVLDSRHESADGKELEFDIEEGGGNGIEDIGSNDPFIPGLTEAVRQEDNNIGIRGSQAEADGERDDWDSDSDDDLQIVLNDNHGPVAMETGGMIGEDDDDDEDGDPLVILADGDGNQGTAEQEWGEERVQAADGEKKEGGEAGKVTSGGVLAPKIGYSSHLYHPFHSQFKYVRPAATPMPGATAGGSGGAPGQVSPIMGAMAGRGRGDWRPSGMKAGPPMQKGFHPSFGAPGWGNNTAGRGFGGGLEFTLPSHKTIFDVDIDSFEEKPWKYPGVDLSDFFNFGLNEESWKDYCKQLEQHRLETTMQSKIRVYESGRTEQGYDPDLPPELAAATAQVAPAAANLIKSDGGQNDMTKGAGRVRPPLPTGRPIQVEGGSGERLPSIDTRPPRVRDSDAVIEIVCQDTLDDDSSTGNGVDGRAENDLPMEDLRGDLASEAAIAHEDTEYFDNSPDACKSRKKELVGRTMNFVHDNVREDNGVLPFPVEASRPYGAGPRVQSPMGPSENSGSPPDGRHRQGRVRKKSPCITPIHGERDNFNDTDREESVERMDGKSPRVVRDPRESSVEHKDHVDDELEPADGSPATEKDELINDTHKDQNSLNPMRNEKTSSQAEQWKLRERDDDEDSRAARSSENSKARSGSSRDYQKSRDGAEEEVVQGERSSRFGIVKKHLDENDQNFRRKGRDGRLEIERNRMVGKPGEDSYPLRDVDVSLSHNLPVKKGGFGRRRESDNPDGTWQWREDDPYGRKERAEDLRKRDHDNEMGSRNRAKVRESERSDKDDYPSRKQLDNGNYKDVSARHRERDDNLKSRYEAADDYPSKRRKDEEYLRRDHTNKEEILQGRRESSGSRRKRERDEILDPRKRDEQRRTRENFDEHHSARHKDEVWLNREKVERPRERDEWLRLKQSHDGSLSKREREEGRGTVRSGRGLEDKAWGGHTRGKDEYKVSEKEYQLKETVRHSEQAKRRDRNDDESSSRHRGHEDLYVRGHQFSNDERKSRPERSSTRSDHGFDASDSQKGHEKKHKENTRKNRESEGGNQTTLGSAKKSQEDLSGHNNET >KJB82860 pep chromosome:Graimondii2_0_v6:13:53745723:53753925:1 gene:B456_013G217400 transcript:KJB82860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKKAEGGSTPTLPNENTIKTLSLTLSFCFSFFFCVKGIPMEDDDEFGDLYTDVLRPFSSTSTTKSLSSLAPQPYQPSPTPTQLLRPIDLNLQSQHDGNTLFGAPAAQTLAPFKPPPSTPAVPAAAAAPDSIPSSLGSAPEPIVLDSRHESADGKELEFDIEEGGGNGIEDIGSNDPFIPGLTEAVRQEDNNIGIRGSQAEADGERDDWDSDSDDDLQIVLNDNHGPVAMETGGMIGEDDDDDEDGDPLVILADGDGNQGTAEQEWGEERVQAADGEKKEGGEAGKVTSGGVLAPKIGYSSHLYHPFHSQFKYVRPAATPMPGATAGGSGGAPGQVSPIMGAMAGRGRGDWRPSGMKAGPPMQKGFHPSFGAPGWGNNTAGRGFGGGLEFTLPSHKTIFDVDIDSFEEKPWKYPGVDLSDFFNFGLNEESWKDYCKQLEQHRLETTMQSKIRVYESGRTEQGYDPDLPPELAAATAQVAPAAANLIKSDGGQNDMTKGAGRVRPPLPTGRPIQVEGGSGERLPSIDTRPPRVRDSDAVIEIVCQDTLDDDSSTGNGVDGRAENDLPMEDLRGDLASEAAIAHEDTEYFDNSPDACKSRKKELVGRTMNFVHDNVREDNGVLPFPVEASRPYGAGPRVQSPMGPSENSGSPPDGRHRQGRVRKKSPCITPIHGERDNFNDTDREESVERMDGKSPRVVRDPRESSVEHKDHVDDELEPADGSPATEKDELINDTHKDQNSLNPMRNEKTSSQAEQWKLRERDDDEDSRAARSSENSKARSGSSRDYQKSRDGAEEEVVQGERSSRFGIVKKHLDENDQNFRRKGRDGRLEIERNRMVGKPGEDSYPLRDVDVSLSHNLPVKKGGFGRRRESDNPDGTWQWREDDPYGRKERAEDLRKRDHDNEMGSRNRAKVRESERSDKDDYPSRKQLDNGNYKDVSARHRERDDNLKSRYEAADDYPSKRRKDEEYLRRDHTNKEEILQGRRESSGSRRKRERDEILDPRKRDEQRRTRENFDEHHSARHKDEVWLNREKVERPRERDEWLRLKQSHDGSLSKREREEGRGTVRSGRGLEDKAWGGHTRGKDEYKVSEKEYQLKETVRHSEQAKRRDRNDDESSSRHRGHEDLYVRGHQFSNDERKSRPERSSTRSDHGFDASDSQKGHEKKHKENTRKNRESEGGNQTTLGSAKKSQEDLSGHNNETGLKSDEKSAIHYNSSRKHKEDASSEDELRESKRGRSKLERWTSHTERDYSINSKSSASLKFKETEKINNVVSSESNKFPDGPGNQRSRKLIQDHLRISTLTLSRS >KJB83142 pep chromosome:Graimondii2_0_v6:13:55158211:55160222:-1 gene:B456_013G233100 transcript:KJB83142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKVSNSSHNESNDNETKLVLNIYDLTPMNNYSYWIGFGIFHSGIEVHGKEYGFGAHDFSISGVFEVEPKCCPGFSYRCSISLGRINMSSSDFRAFIENLASDYHGNTYHLISKNCNHFSDDIVYKLTGKHIPRWVNRLARLGSLFSCLLPESLQATRVKKVPEYHQIEGNETLSTTTPSEIDETEPENPLLSPKDGSVDINFVKAAPSDCKAEMP >KJB83799 pep chromosome:Graimondii2_0_v6:13:57817016:57818611:1 gene:B456_013G265300 transcript:KJB83799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQEEKLVLELHAKWGNRWSRIARRLPGRTDNEIKNFWRTHMRKMALEKKRSTSPSSSSSSTVTRVDSLPSSGTGKVSFYDTGGPKMAVFDDIKGYSMDEIWKDIDMSEGNTTKLPLSENYSEQGCCNHFSCPSMASSPPWDFSWDYSLWKMDDDDDDDDEEERKVFIATTNNQLFNLFVHKP >KJB83800 pep chromosome:Graimondii2_0_v6:13:57816908:57818823:1 gene:B456_013G265300 transcript:KJB83800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVKDESCRKGPWTEKEDMVLVNFVHLFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPQEEKLVLELHAKWGNRWSRIARRLPGRTDNEIKNFWRTHMRKMALEKKRSTSPSSSSSSTVTRVDSLPSSGTGKVSFYDTGGPKMAVFDDIKGYSMDEIWKDIDMSEGNTTKLPLSENYSEQGCCNHFSCPSMASSPPWDFSWDYSLWKMDDDDDDDDEEERKVFIATTNNQLFNLFVHKP >KJB79468 pep chromosome:Graimondii2_0_v6:13:4855572:4856242:-1 gene:B456_013G051600 transcript:KJB79468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRPNLVISGGEPYAEDGWRNLRIGNTYFSVTCVEEKAVLSQEAYILLYAKQGIPCFSIVIEVQKPCADPGNSDSSPKS >KJB79469 pep chromosome:Graimondii2_0_v6:13:4855572:4856777:-1 gene:B456_013G051600 transcript:KJB79469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRFRPNLVISGGEPYAEDGWRNLRIGNTYFSVTCVEEKAVLSQEAYILLYAKQGIPCFSIVIEVQKPCADPGNSDSSPKS >KJB80836 pep chromosome:Graimondii2_0_v6:13:28975934:28985577:1 gene:B456_013G117100 transcript:KJB80836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQKKGATARVSEDPEELARHPLQAILLADSFTTKFRPITLERPKVLLPLVNIPMINYTLAWLESAGVEEVFVFCCAHSKQVIDYLESSEWSSQPNFSVTTIESHNSISAGDALRLIYERNVIHGDFVLIRGDTVSNMSLTQALQEHKDRRKKDANAIMTMVVKQSKPSPITQQSRLGTDELFMAINPVTKQLLYYEDKAEYSKGFISLDKTLIADNPSVILHNDKQDCYIDICSQEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIFTHEIHSSYAARIDNFRSYDTISKDIIQRWTYPFVPDVLCGSSAIKVERQGTYRASDVTLSRSAQLGSFIFIGQGTKIGNDTKISHSVIGERCTIGSNVTIEGSYIWNNVTIEDGCQLRNAIVCDGVILKSGAVLQPGVILSFKVVVGQQFVVPAYSKVSLLQQPTQQDSDEELEYADSTSGTGELRSDKLNGDIAPDLSETASELGTGGVGYIWKVFEGAYDEEWRHSVAPIPTDKLVKLMLDRDEDEELLTQDGNVISASGELKTDSDGNGSEDDDNEDSRDDYVSFEKEVEATFLRAVHENVKVDLAVLEVNALRLSYNMASVDCGGAIFYSMMKLAVESPHNSASELHRNAADIVTTWQKLLKSFLHDIDEEIEVILKFEEMCLESAKDFAALFPQVFLMICLDLEDALMGSR >KJB80835 pep chromosome:Graimondii2_0_v6:13:28975767:28985577:1 gene:B456_013G117100 transcript:KJB80835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQKKGATARVSEDPEELARHPLQAILLADSFTTKFRPITLERPKVLLPLVNIPMINYTLAWLESAGVEEVFVFCCAHSKQVIDYLESSEWSSQPNFSVTTIESHNSISAGDALRLIYERNVIHGDFVLIRGDTVSNMSLTQALQEHKDRRKKDANAIMTMVVKQSKPSPITQQSRLGTDELFMAINPVTKQLLYYEDKAEYSKGFISLDKTLIADNPSVILHNDKQDCYIDICSQEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIFTHEIHSSYAARIDNFRSYDTISKDIIQRWTYPFVPDVLCGSSAIKVERQGTYRASDVTLSRSAQLGSFIFIGQGTKIGNDTKISHSVIGERCTIGSNVTIEGSYIWNNVTIEDGCQLRNAIVCDGVILKSGAVLQPGVILSFKVVVGQQFVVPAYSKVSLLQQPTQQDSDEELEYADSTSGTGELRSDKLNGDIAPDLSETASELGTGGVGYIWKVFEGAYDEEWRHSVAPIPTDKLVKLMLDRDEDEELLTQDGNVISASGELKTDSDGNGSEDDDNEDSRDDYVSFEKEVEATFLRAVHENVKVDLAVLEVNALRLSYNMASVDCGGAIFYSMMKLAVESPHNSASELHRNAADIVTTWQKLLKSFLHDIDEEIEVILKFEEMCLESAKDFAALFPQILHLLYDKEILQEDAILRWADEKEGADESDKVFLKRSEKFIQWLREAEEEEEEDE >KJB80834 pep chromosome:Graimondii2_0_v6:13:28975808:28985580:1 gene:B456_013G117100 transcript:KJB80834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQKKGATARVSEDPEELARHPLQAILLADSFTTKFRPITLERPKVLLPLVNIPMINYTLAWLESAGVEEVFVFCCAHSKQVIDYLESSEWSSQPNFSVTTIESHNSISAGDALRLIYERNVIHGDFVLIRGDTVSNMSLTQALQEHKDRRKKDANAIMTMVVKQSKPSPITQQSRLGTDELFMAINPVTKQLLYYEDKAEYSKGFISLDKTLIADNPSVILHNDKQDCYIDICSQEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIFTHEIHSSYAARIDNFRSYDTISKDIIQRWTYPFVPDVLCGSSAIKVERQGTYRASDVTLSRSAQLGSFIFIGQGTKIGNDTKISHSVIGERCTIGSNVTIEGSYIWNNVTIEDGCQLRNAIVCDGVILKSGAVLQPGVILSFKVVVGQQFVVPAYSKVSLLQQPTQQDSDEELEYADSTSGTGELRSDKLNGDIAPDLSETASELGTGGVGYIWKVFEGAYDEEWRHSVAPIPTDKLVKLMLDRDEDEELLTQDGNVISASGELKTDSDGNGSEDDDNEDSRDDYVSFEKEVEATFLRAVHENVKVDLAVLEVNALRLSYNMASVDCGGAIFYSMMKLAVESPHNSASELHRNAADIVTTWQKLLKSFLHDIDEEIEVILKFEEMCLESAKDFAALFPQILHLLYDKEILQEDAILRWADEKEGADESDKVFLKRSEKFIQWLREAEEEEEEDE >KJB80837 pep chromosome:Graimondii2_0_v6:13:28977160:28985577:1 gene:B456_013G117100 transcript:KJB80837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGIYLRPSWFGACFTQYALFFSLSFQIHGDFVLIRGDTVSNMSLTQALQEHKDRRKKDANAIMTMVVKQSKPSPITQQSRLGTDELFMAINPVTKQLLYYEDKAEYSKGFISLDKTLIADNPSVILHNDKQDCYIDICSQEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIFTHEIHSSYAARIDNFRSYDTISKDIIQRWTYPFVPDVLCGSSAIKVERQGTYRASDVTLSRSAQLGSFIFIGQGTKIGNDTKISHSVIGERCTIGSNVTIEGSYIWNNVTIEDGCQLRNAIVCDGVILKSGAVLQPGVILSFKVVVGQQFVVPAYSKVSLLQQPTQQDSDEELEYADSTSGTGELRSDKLNGDIAPDLSETASELGTGGVGYIWKVFEGAYDEEWRHSVAPIPTDKLVKLMLDRDEDEELLTQDGNVISASGELKTDSDGNGSEDDDNEDSRDDYVSFEKEVEATFLRAVHENVKVDLAVLEVNALRLSYNMASVDCGGAIFYSMMKLAVESPHNSASELHRNAADIVTTWQKLLKSFLHDIDEEIEVILKFEEMCLESAKDFAALFPQILHLLYDKEILQEDAILRWADEKEGADESDKVFLKRSEKFIQWLREAEEEEEEDE >KJB83437 pep chromosome:Graimondii2_0_v6:13:56620576:56625972:1 gene:B456_013G247600 transcript:KJB83437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRTSWADMAANSAAENVGSGSSSASNSKPVYVPPHLRNRPPSADPPAPTSTGPASSNDRPGQGGSRWAAPRNDYGNRSGYGGGGRLGGWGGRGGWDRGRDREVNPFGNDDADTEQAFSEQENTAINFDAYEDIPVETSGDNVPPPVNTFAEIDLGDALNLNIRRCKYVKPTPVQRHAIPIALAGRDLMACAQTGSGKTAAFCFPIISGIMRGQVPQKPLRGARTVFPLALILSPTRELSMQIHEEARKFSYQTGVKVVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGVRQTMLFSATFPREIQRVEFVHESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADSLEHWLCMNGFPATTIHGDRSQQEREQALRSFKTGATPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNENNTNMAKALADLMQEANQEVPAWLTRYAARSFGGRNRRPGGGGGRFGGRDFRRDSSYNRGGSDYYSGGNNNSGGYGGGYGANVASAWD >KJB83436 pep chromosome:Graimondii2_0_v6:13:56621117:56625362:1 gene:B456_013G247600 transcript:KJB83436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRTSWADMAANSAAENVGSGSSSASNSKPVYVPPHLRNRPPSADPPAPTSTGPASSNDRPGQGGSRWAAPRNDYGNRSGYGGGGRLGGWGGRGGWDRGRDREVNPFGNDDADTEQAFSEQENTAINFDAYEDIPVETSGDNVPPPVNTFAEIDLGDALNLNIRRCKYVKPTPVQRHAIPIALAGRDLMACAQTGSGKTAAFCFPIISGIMRGQVPQKPLRGARTVFPLALILSPTRELSMQIHEEARKFSYQTGVKVVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGVRQTMLFSATFPREIQRLASDFLANYIFLAVGRVGSSTDLIVQRVEFVHESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADSLEHWLCMNGFPATTIHGDRSQQEREQALRSFKTGATPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNENNTNMAKALADLMQEANQEVPAWLTRYAARSFGGRNRRPGGGGGRFGGRDFRRDSSYNRGGSDYYSGGNNNSGGYGGGYGANVASAWD >KJB83438 pep chromosome:Graimondii2_0_v6:13:56620326:56626063:1 gene:B456_013G247600 transcript:KJB83438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRTSWADMAANSAAENVGSGSSSASNSKPVYVPPHLRNRPPSADPPAPTSTGPASSNDRPGQGGSRWAAPRNDYGNRSGYGGGGRLGGWGGRGGWDRGRDREVNPFGNDDADTEQAFSEQENTAINFDAYEDIPVETSGDNVPPPVNTFAEIDLGDALNLNIRRCKYVKPTPVQRHAIPIALAGRDLMACAQTGSGKTAAFCFPIISGIMRGQVPQKPLRGARTVFPLALILSPTRELSMQIHEEARKFSYQTGVKVVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGVRQTMLFSATFPREIQRLASDFLANYIFLAVGRVGSSTDLIVQRVEFVHESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADSLEHWLCMNGFPATTIHGDRSQQEREQALRSFKTGATPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNENNTNMAKALADLMQEANQEVPAWLTRYAARSFGGRNRRPGGGGGRFGGRDFRRDSSYNRGGSDYYSGGNNNSGGYGGGYGANVAIVCIVLVGIGEEILTKK >KJB81513 pep chromosome:Graimondii2_0_v6:13:40737392:40738304:-1 gene:B456_013G148300 transcript:KJB81513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLRQGRDRKTGRCQIASPIKQEAQNETEKRVLVCHSKDLFKRWKTKAPNNSNFSTSPSHLSLPSLIENNSNRVSI >KJB83170 pep chromosome:Graimondii2_0_v6:13:55117196:55122571:-1 gene:B456_013G232800 transcript:KJB83170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRVSVCGQEDIEQISRESSHYSLSTGILPSLGARSNRRVKLKRFIVSPYDRRYRVWETFLVILVIYTAWVSPLEFGFLKKPESPLSITDNVVNGFFAMDIILTFFVAYLDKTTYLLIDDHKKIAWKYSSSWLAFDIISTIPSELAQKISPKPLRSYGLFNMLRLWRLRRVSALFSRMEKDKNYNYFWVRCAKLICVTLFAVHCAGCFYYYIAARYHDPGRTWIALSLGDNFLEQSLWIRYVTSMYWSITTLTTVGYGDLHPVNTREMVFTIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDTIQAASSFALRNQLPHRLQDQMLAHLCLKFRTDSEGLQQQEIIDSLPKAIRSSISHYLFYSLMDKVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLLVLKNGAEQVVGEAKAGDLCGEIGVLCYRPQLFTVRTKRLCQLLRLNRTAFLNIIQANVGDGTIIMNNLLQHLKDMNDPIMEGVLIETENMLARGRMDLPLNLCFAALRGDDLLLNQLLKRGLDPNESDNNGRTALHIAASKGSENCILLLLDYGADPNVKDSEGNVPLWEAMLAGHDQVAKLLKENGASINVGDVGHYACTAAEQNNLNLLKEIIRYGGDVTRPRHNGTTALHVAVCEGNVEIVKYLVDQGADIDKPDVHGWTPRELAEQQGHEEIKTMFESRREMKTQSIMSIPEKAETRYLGRFTSEPVIRPVAPDGTDGSWSQSRQRRRTSNFHNSLFGIMSAANNMEKDLLLSVHKPKGVKDCVVNSARVVISCPEKGQTIGKLVSLPGNFEELLEMGAKKFGIIGGKVVSKEGAEIDGIEVIRDGDHLVFVSDGQMVHQQHSQVPHCIG >KJB79549 pep chromosome:Graimondii2_0_v6:13:5168635:5175032:-1 gene:B456_013G053900 transcript:KJB79549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWIGGKCRPISLRTPYPWRPRTFRSNAALEAIATAAEEKVRTVALYNYPSFSGAISALFAHLYHSRLNLPCLILPSSSVEPLRVEDFCVEGEGLDTCYLLDFIGPKGFASKLSQQAMCEVIAFDHRKPALTQIDCCGDPRVTFNVNLAKSSSAAVYEYFSNKLVSMMFPDVGAANLLNSEDQVRLEKVLKYIEDADLRRWSLPEIKAFTIGLSEWRSKLNCITNPYMYEQLLGISSSDLIAKGNLDISSRQIAANKFLDKTFKVRLGRGLYGECLGVRADGNSDLSDEIGKQLSLKSAKAGLRPIGAVICYNFRRKNLKMCLRSTDTATDTSEVAKAYGGGGCPSSSSFIIRMDEYNQWRS >KJB79550 pep chromosome:Graimondii2_0_v6:13:5171234:5174945:-1 gene:B456_013G053900 transcript:KJB79550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWIGGKCRPISLRTPYPWRPRTFRSNAALEAIATAAEEKVRTVALYNYPSFSGAISALFAHLYHSRLNLPCLILPSSSVEPLRVEDFCVEGEGLDTCYLLDFIGPKGFASKLSQQAMCEVIAFDHRKPALTQIDCCGDPRVTFNVNLAKSSSAAVYEYFSNKLVSMMFPDVGAANLLNSEDQVRLEKVLKYIEDADLRRWSLPEIKAFTIGLSEWRSKLNCITNPYMYEQLLGISSSDLIAKGNLDISSRQIAANKFLDKTFKVRLGRGLYGECLGVRADGNSDLSDEIGKQLSLKSAKAGLRPIGAVICYNFRRKNLKMCLRSTDTATDTSEVAKAYGGGGCPSSSSFIIRMDEYNQWRS >KJB81716 pep chromosome:Graimondii2_0_v6:13:43376926:43377965:-1 gene:B456_013G158000 transcript:KJB81716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDFAVNDCAWYGNFQVSCWYTVLHCFLLFIHTYFHSSCYFYLCCIYRIFILFSVSVEVLISIPGNNHISENSSWLCSLTSCKSNET >KJB81426 pep chromosome:Graimondii2_0_v6:13:39863376:39869603:-1 gene:B456_013G145300 transcript:KJB81426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G63890) UniProtKB/TrEMBL;Acc:A0A178UP37] MDSQLLRLNPPNNLSIKPLHSPHFPSFAAPSFIRLSSLSFPSATVTGSVCKRIRCAMKSYKLSELSHVEVESLKARPRIDFSSIFSVIQPIVDDVRSRGDAAVRVYTEKFDKVKLEKIVENASELPYPELDPTIKEAFDVAYDNIYAFHLAQKSVEKSVENMKGVRCKRVARSIGSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPGQDGNICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADKLASPVHIAADLLSQAEHGPDSQVVLVIAGEGVDLKAIEEEISKQCNSLPRGDFASKALSHSFTVFARDMVEAISFSNLYAPEHLIINVKDAEKWEGFVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLRNLGPYVATMAEVEGLDAHKRAVTLRLQDIEAKHSSSAV >KJB81427 pep chromosome:Graimondii2_0_v6:13:39864064:39869333:-1 gene:B456_013G145300 transcript:KJB81427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G63890) UniProtKB/TrEMBL;Acc:A0A178UP37] MDSQLLRLNPPNNLSIKPLHSPHFPSFAAPSFIRLSSLSFPSATVTGSVCKRIRCAMKSYKLSELSHVEVESLKARPRIDFSSIFSVIQPIVDDVRSRGDAAVRVYTEKFDKVKLEKIVENASELPYPELDPTIKEAFDVAYDNIYAFHLAQKSVEKSVENMKGVRCKRVARSIGSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPGQDGNICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADKLASPVHIAADLLSQAEHGPDSQVVLVIAGEGVDLKAIEEEISKQCNSLPRGDFASKALSHSFTVFARDMVEAISFSNLYAPEHLIINVKDAEKWEGFVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLRNLGPYVATMAEVEGLDAHKRAVTLRLQDIEAKHSSSVR >KJB81425 pep chromosome:Graimondii2_0_v6:13:39863511:39869759:-1 gene:B456_013G145300 transcript:KJB81425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G63890) UniProtKB/TrEMBL;Acc:A0A178UP37] MDSQLLRLNPPNNLSIKPLHSPHFPSFAAPSFIRLSSLSFPSATVTGSVCKRIRCAMKSYKLSELSHVEVESLKARPRIDFSSIFSVIQPIVDDVRSRGDAAVRVYTEKFDKVKLEKIVENASELPYPELDPTIKEAFDVAYDNIYAFHLAQKSVEKSVENMKGVRCKRVARSIGSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPGQDGNICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADKLASPVHIAADLLSQAEHGPDSQVVLVIAGEGVDLKAIEEEISKQCNSLPRGDFASKALSHSFTVFARDMVEAISFSNLYAPEHLIINVKDAEKWEGFVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLRNLGPYVATMAEVEGLDAHKRAVTLRLQDIEAKHSSSVR >KJB81428 pep chromosome:Graimondii2_0_v6:13:39864064:39869333:-1 gene:B456_013G145300 transcript:KJB81428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G63890) UniProtKB/TrEMBL;Acc:A0A178UP37] MDSQLLRLNPPNNLSIKPLHSPHFPSFAAPSFIRLSSLSFPSATVTGSVCKRIRCAMKSYKLSELSHVEVESLKARPRIDFSSIFSVIQPIVDDVRSRGDAAVRVYTEKFDKVKLEKIVENASELPYPELDPTIKEAFDVAYDNIYAFHLAQKSVEKSVENMKGVRCKRVARSIGSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPGQDGNICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADKLASPVHIAADLLSQAEHGPDSQVVLVIAGEGVDLKAIEEEISKQCNSLPRGDFASKALSHSFTVFARDMVEAISFSNLYAPEHLIINVKDAEKWEGFVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLRNLGPYVATMAEVEGLDAHKRAVTLRLQDIEAKHSSSVR >KJB81431 pep chromosome:Graimondii2_0_v6:13:39863734:39869589:-1 gene:B456_013G145300 transcript:KJB81431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G63890) UniProtKB/TrEMBL;Acc:A0A178UP37] MKSYKLSELSHVEVESLKARPRIDFSSIFSVIQPIVDDVRSRGDAAVRVYTEKFDKVKLEKIVENASELPYPELDPTIKEAFDVAYDNIYAFHLAQKSVEKSVENMKGVRCKRVARSIGSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPGQDGNICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADKLASPVHIAADLLSQAEHGPDSQVVLVIAGEGVDLKAIEEEISKQCNSLPRGDFASKALSHSFTVFARDMVEAISFSNLYAPEHLIINVKDAEKWEGFVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLRNLGPYVATMAEVEGLDAHKRAVTLRLQDIEAKHSSSVR >KJB81432 pep chromosome:Graimondii2_0_v6:13:39863734:39869573:-1 gene:B456_013G145300 transcript:KJB81432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G63890) UniProtKB/TrEMBL;Acc:A0A178UP37] MKSYKLSELSHVEVESLKARPRIDFSSIFSVIQPIVDDVRSRGDAAVRVYTEKFDKVKLEKIVENASELPYPELDPTIKEAFDVAYDNIYAFHLAQKSVEKSVENMKGVRCKRVARSIGSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPGQDGNICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADKLASPVHIAADLLSQAEHGPDSQVVLVIAGEGVDLKAIEEEISKQCNSLPRGDFASKALSHSFTVFARDMVEAISFSNLYAPEHLIINVKDAEKWEGFVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLRNLGPYVATMAEVEGLDAHKRAVTLRLQDIEAKHSSSVR >KJB81429 pep chromosome:Graimondii2_0_v6:13:39865026:39869333:-1 gene:B456_013G145300 transcript:KJB81429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G63890) UniProtKB/TrEMBL;Acc:A0A178UP37] MDSQLLRLNPPNNLSIKPLHSPHFPSFAAPSFIRLSSLSFPSATVTGSVCKRIRCAMKSYKLSELSHVEVESLKARPRIDFSSIFSVIQPIVDDVRSRGDAAVRVYTEKFDKVKLEKIVENASELPYPELDPTIKEAFDVAYDNIYAFHLAQKSVEKSVENMKGVRCKRVARSIGSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPGQDGNICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADKLASPVHIAADLLSQAEHGPDSQVVLVIAGEGVDLKAIEEEISKQCNSLPRGDFASKALSHSFTVFARDMVEVGFHSPAVHLF >KJB81430 pep chromosome:Graimondii2_0_v6:13:39863415:39869759:-1 gene:B456_013G145300 transcript:KJB81430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G63890) UniProtKB/TrEMBL;Acc:A0A178UP37] MDSQLLRLNPPNNLSIKPLHSPHFPSFAAPSFIRLSSLSFPSATVTGSVCKRIRCAMKSYKLSELSHVEVESLKARPRIDFSSIFSVIQPIVDDVRSRGDAAVRVYTEKFDKVKLEKIVENASELPYPELDPTIKEAFDVAYDNIYAFHLAQKSVEKSVENMKGVRCKRVARSIGSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPGQDGNICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADKLASPVHIAADLLSQAEHGPDSQVVLVIAGEGVDLKAIEEEISKQCNSLPRGDFASKALSHSFTVFARDMVEAISFSNLYAPEHLIINVKDAEKWEGFVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLRNLGPYVATMAEVEGLDAHKRAVTLRLQDIEAKHSSSAV >KJB83175 pep chromosome:Graimondii2_0_v6:13:55216735:55218377:-1 gene:B456_013G233500 transcript:KJB83175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWADAIGAAKKKFEEDETPKSFKSVGLVIGVTGMVGNSLAEILPLSDTPGGPWKVYGVAQYIQCDVSNPNDTKSKLSQLTDVTHIFYVSWTMRTSEAENCETNGSMLRNVLRSVIPNAPNLRHICLQTGSKHYLGSFELFGKIQLHDPPFTEDLPRLNALNFYYHQEDILFEEIEKKEGLTWSVHRPAIIFGLSPYSLMNLVGSLCVYAAICKHEGKPLHFPGSKAAWNCYYEASGADLIAEQHIWAAVDPYAKNEPFNVTNGDVFKWKQLWTVLAEQFGIEEYGFVEGENRGLEEMMKGKEGVWEEIVKEKQLQKRSLEEVGNWWFVDLAFSAEVPLLNTNKSKEHGFLGFRNSQKSFVTWIDKMKAYKIVP >KJB83174 pep chromosome:Graimondii2_0_v6:13:55216996:55218251:-1 gene:B456_013G233500 transcript:KJB83174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSEAENCETNGSMLRNVLRSVIPNAPNLRHICLQTGSKHYLGSFELFGKIQLHDPPFTEDLPRLNALNFYYHQEDILFEEIEKKEGLTWSVHRPAIIFGLSPYSLMNLVGSLCVYAAICKHEGKPLHFPGSKAAWNCYYEASGADLIAEQHIWAAVDPYAKNEPFNVTNGDVFKWKQLWTVLAEQFGIEEYGFVEGENRGLEEMMKGKEGVWEEIVKEKQLQKRSLEEVGNWWFVDLAFSAEVPLLNTNKSKEHGFLGFRNSQKSFVTWIDKMKAYKIVP >KJB83515 pep chromosome:Graimondii2_0_v6:13:56903556:56908817:1 gene:B456_013G251300 transcript:KJB83515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSFGTTTGTLTPTDPKIRFQSFTGLKSSNSIALTRNLRVFPLPFCTPTLIRAVATPLKPETSTAEPKRSKVEIFKEQSNFIRYPLNEEIYTDTPNINEASTQLIKFHGSYQQYNRDERGTRSYSFMLRTKNPGGKVPNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKDLKTVMSTIIRNMGSTLGACGDLNRNVLAPAAPFMTKEYKFAQETAENIAALLTPQSGFYYDVWVDGEKFMTSEPPEVVKARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVFDEDGEPQGFNIYVGGGMGRTHRQETTFPRLGEPLGYVPKGDILYAIKAIVATQRDHGRRDDRKYSRMKYLISSWGIEKFRNVVEQYYGKKFEPFHELPEWEFKSYLGWHEQGDGALFCGLHVDNGRIGGKMKKTLREVIEKYNLNVRITPNQNLILCDIRSAWRRPITTVLAQAGLLHPRYVDPLNLTAMACPAFPLCPLAITEAERGIPDILKRVRAVFEKVGLKYSESIVIRVTGCPNGCARPYMAELGLVGDGPNSYQIWLGGTPNQTQLARTFMNKVKVQDLEKVFEPLFYYWKRKRQPKESFGDFTTRMGFEKLHELVDKWEGPVQSPVRYNLKPFADKETYEAMEELAKLQNKSPHQLAMEVIRNFVAAQQNESNMEMVHASRHN >KJB83514 pep chromosome:Graimondii2_0_v6:13:56903698:56907382:1 gene:B456_013G251300 transcript:KJB83514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSFGTTTGTLTPTDPKIRFQSFTGLKSSNSIALTRNLRVFPLPFCTPTLIRAVATPLKPETSTAEPKRSKVEIFKEQSNFIRYPLNEEIYTDTPNINEASTQLIKFHGSYQQYNRDERGTRSYSFMLRTKNPGGKVPNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKDLKTVMSTIIRNMGSTLGACGDLNRNVLAPAAPFMTKEYKFAQETAENIAALLTPQSGFYYDVWVDGEKFMTSEPPEVVKARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVFDEDGEPQGFNIYVGGGMGRTHRQETTFPRLGEPLGYVPKGDILYAIKAIVATQRDHGRRDDRKYSRMKYLISSWGIEKFRNVVEQYYGKKFEPFHELPEWEFKSYLGWHEQGDGALFCGLHVDNGRIGGKMKKTLREVIEKYNLNVRITPNQNLILCDIRSAWRRPITTVLAQAGLLHPRYVDPLNLTAMACPAFPLCPLAITEAERGIPDILKRVRAVFEKVGLKYSESIVIRVTGCPNGCARPYMAELGLVGDGPNSYQIWLGGTPNQTQLARTFMNKVKVQDLEKVFEPLFYYWKRKRQPKESFGDFTTRMVSIFLSSILPR >KJB83512 pep chromosome:Graimondii2_0_v6:13:56903556:56908042:1 gene:B456_013G251300 transcript:KJB83512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSFGTTTGTLTPTDPKIRFQSFTGLKSSNSIALTRNLRVFPLPFCTPTLIRAVATPLKPETSTAEPKRSKVEIFKEQSNFIRYPLNEEIYTDTPNINEASTQLIKFHGSYQQYNRDERGTRSYSFMLRTKNPGGKVPNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKDLKTVMSTIIRNMGSTLGACGDLNRNVLAPAAPFMTKEYKFAQETAENIAALLTPQSGFYYDVWVDGEKFMTSEPPEVVKARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVFDEDGEPQGFNIYVGGGMGRTHRQETTFPRLGEPLGYVPKGDILYAIKAIVATQRDHGRRDDRKYSRMKYLISSWGIEKFRNVVEQYYGKKFEPFHELPEWEFKSYLGWHEQGDGALFCGLHVDNGRIGGKMKKTLREVIEKYNLNVRITPNQNLILCDIRSAWRRPITTVLAQAGLLHPRYVDPLNLTAMACPAFPLCPLAITEAERGIPDILKRVRAVFEKVGLKYSESIVIRVTGCPNGCARPYMAELGLVGDGPNSYQIWLGGTPNQTQLARTFMNKVKVQDLEKVFEPLFYYWKRKRQPKESFGDFTTRMVRIRKTSRAGRQVGRSGSITGKV >KJB83513 pep chromosome:Graimondii2_0_v6:13:56903556:56908042:1 gene:B456_013G251300 transcript:KJB83513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSFGTTTGTLTPTDPKIRFQSFTGLKSSNSIALTRNLRVFPLPFCTPTLIRAVATPLKPETSTAEPKRSKVEIFKEQSNFIRYPLNEEIYTDTPNINEASTQLIKFHGSYQQYNRDERGTRSYSFMLRTKNPGGKVPNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKDLKTVMSTIIRNMGSTLGACGDLNRNVLAPAAPFMTKEYKFAQETAENIAALLTPQSGFYYDVWVDGEKFMTSEPPEVVKARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVFDEDGEPQGFNIYVGGGMGRTHRQETTFPRLGEPLGYVPKGDILYAIKAIVATQRDHGRRDDRKYSRMKYLISSWGIEKFRNVVEQYYGKKFEPFHELPEWEFKSYLGWHEQGDGALFCGLHVDNGRIGGKMKKTLREVIEKYNLNVRITPNQNLILCDIRSAWRRPITTVLAQAGLLHPRYVDPLNLTAMACPAFPLCPLAITEAERGIPDILKRVRAVFEKVGLKYSESIVIRVTGCPNGCARPYMAELGLVGDGPNSYQIWLGGTPNQTQLARTFMNKVKVQDLEKVFEPLFYYWKRKRQPKESFGDFTTRMGFEKLHELVDKWEGPVQSPVRYNLKPFADKETYEAMEELAKLQNKSPHQLAMEVIRNFVAAQQNGKSE >KJB78952 pep chromosome:Graimondii2_0_v6:13:1984563:1993257:1 gene:B456_013G026300 transcript:KJB78952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEPLPWDRKDIYKDRKHERAELQPPPLLAARWREASSMSSYQHGSFREFARWGSADFHRPPGHGKQGNWHLFPEDIGGHGYVPWRSSDKILDGETYRQSVSRGDGKYGRSYSRDNNRGSYNQRDWRGHSLETSNGSPNTSVRPHDVNNEQRSVDDMFTYPSRTHSDFVNTWNQLQKDHHDNRTCGVNGLGTGQRCERENSLGSVDWKPLKWSRSGSLSSWGSGFSHSSSSKSLGGVDSGEAKLELHQKNLAPVQSPSGDAAACVTSAPPSDETTSRKKPRLGWGEGLAKFEKKKDGGPDTSINSGGAAISLCNTEPNTSLNSNLVDKSPRVLGFSDCSSPATPSSVACSSSPGVEEKSFGKAANIDNDVNNLCGSPSFGSQNQLEGSSFSLEKLDINSIINMGSSLIDLLQSDEPSTMDSSFVQSTAINKLLLWKGDILKALEMTESEIESLETELKSSKDDPGRRCQCPATSSSLPVRENGKSCEEQEAASSMIPQPAPLKIDPSNDVLEVLQEANADIKDGVIDSPGTATSDFMLSSSLEKAESLCDVVKAQDCSGNSSSAQLKTMEEVILATDSCNEEAAAVISGEGSVLVKIDNEAHVPESSNSDAGGENMTCDVILTTNKELANRSSLVFKKLFPKDQYSIEISEISNAVRGQISSLIREKIAMRKRHLRFKERVLTLKFKAFQYAWKEDMLSPAMRKYWAKSQKKYELSLRSTYGGYQKHRSSSRSRVASSAGNLVLEPTAEMINFTSKLLLDSHVKLYRNALKMPALILDEQEQLSRFISSNGLVEDPCAIEKERALINPWTSEEKEIFMDKLAAFGKDFRKIATFLDHKTTADCVEFYYKNHKSECFKKTKKKLDLTKQGKSSANTYLLTSGKKWSKEFNAASIDVLGSASVIATHAESGMQKHQTSSSRIFFGGRYSKISRADDRIADRLSSFDIIGNDRETAAADVLAGICGSLSSEAMSSCITSSLDPGESFHRDWKCHKVDSLLKRRSTSNVAQNVDDGTCSDESCGEMDPADWTDEEKSVFIQAVSSYGKDFAMISRCVRTRSRDQCKVFFSKARKCLGLDLIDPRTRNLGTPMSDDANGGGSDAEDACVLERLVVSSDKLGSKPEDLPSNIVCTNMDERNPTSKPILPTDLNVPDENNRKLVDHRDSEAVQTVDSDAGLAELISECSVDMNIDSKAGSLQVQKSFVALGNLNAGRDVTEQGVSVAVSASLGAAAHPCTPSLDSVAVSKPATSLYENDTKCSAETSSQSICRIDSNKASDGSVGKNSCSGFSLSAKGLHQIPPDLDSAKKPSVSNNSSANGSALHDSDGLRCEKICNLGRLSSTLDYKENEAKQAQKSVREDESGRLSGKTSVNVTEPHRILRGYPLQVSTLKEMNGDVKCLATSKRGSAGPCLAQECYLQKCNSSKSAAELPLLVENLEQAKDRPKSHCRISDTENPGRNGNVKLFGQILNSSSRDDKVSHFSKQNTEPSNSKPIGNNVDGNSKFDANNHVVENVPKRSYGFSDGKRIQTGLSSLPDSSILMAKYPSAFANYPPTSSSQMEQQALQTVVHGTDRTLNGVSFPLKGNKQQQR >KJB78954 pep chromosome:Graimondii2_0_v6:13:1984609:1993245:1 gene:B456_013G026300 transcript:KJB78954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTYPSRTHSDFVNTWNQLQKDHHDNRTCGVNGLGTGQRCERENSLGSVDWKPLKWSRSGSLSSWGSGFSHSSSSKSLGGVDSGEAKLELHQKNLAPVQSPSGDAAACVTSAPPSDETTSRKKPRLGWGEGLAKFEKKKDGGPDTSINSGGAAISLCNTEPNTSLNSNLVDKSPRVLGFSDCSSPATPSSVACSSSPGVEEKSFGKAANIDNDVNNLCGSPSFGSQNQLEGSSFSLEKLDINSIINMGSSLIDLLQSDEPSTMDSSFVQSTAINKLLLWKGDILKALEMTESEIESLETELKSSKDDPGRRCQCPATSSSLPVRENGKSCEEQEAASSMIPQPAPLKIDPSNDVLEVLQEANADIKDGVIDSPGTATSDFMLSSSLEKAESLCDVVKAQDCSGNSSSAQLKTMEEVILATDSCNEEAAAVISGEGSVLVKIDNEAHVPESSNSDAGGENMTCDVILTTNKELANRSSLVFKKLFPKDQYSIEISEISNAVRGQISSLIREKIAMRKRHLRFKERVLTLKFKAFQYAWKEDMLSPAMRKYWAKSQKKYELSLRSTYGGYQKHRSSSRSRVASSAGNLVLEPTAEMINFTSKLLLDSHVKLYRNALKMPALILDEQEQLSRFISSNGLVEDPCAIEKERALINPWTSEEKEIFMDKLAAFGKDFRKIATFLDHKTTADCVEFYYKNHKSECFKKTKKKLDLTKQGKSSANTYLLTSGKKWSKEFNAASIDVLGSASVIATHAESGMQKHQTSSSRIFFGGRYSKISRADDRIADRLSSFDIIGNDRETAAADVLAGICGSLSSEAMSSCITSSLDPGESFHRDWKCHKVDSLLKRRSTSNVAQNVDDGTCSDESCGEMDPADWTDEEKSVFIQAVSSYGKDFAMISRCVRTRSRDQCKVFFSKARKCLGLDLIDPRTRNLGTPMSDDANGGGSDAEDACVLERLVVSSDKLGSKPEDLPSNIVCTNMDERNPTSKPILPTDLNVPDENNRKLVDHRDSEAVQTVDSDAGLAELISECSVDMNIDSKAGSLQVQKSFVALGNLNAGRDVTEQGVSVAVSASLGAAAHPCTPSLDSVAVSKPATSLYENDTKCSAETSSQSICRIDSNKASDGSVGKNSCSGFSLSAKGLHQIPPDLDSAKKPSVSNNSSANGSALHDSDGLRCEKICNLGRLSSTLDYKENEAKQAQKSVREDESGRLSGKTSVNVTEPHRILRGYPLQVSTLKEMNGDVKCLATSKRGSAGPCLAQECYLQKCNSSKSAAELPLLVENLEQAKDRPKSHCRISDTENPGRNGNVKLFGQILNSSSRDDKVSHFSKQNTEPSNSKPIGNNVDGNSKFDANNHVVENVPKRSYGFSDGKRIQTGLSSLPDSSILMAKYPSAFANYPPTSSSQMEQQALQTVVHGTDRTLNGVSFPLKGNKQQQR >KJB78953 pep chromosome:Graimondii2_0_v6:13:1984609:1993245:1 gene:B456_013G026300 transcript:KJB78953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTYPSRTHSDFVNTWNQLQKDHHDNRTCGVNGLGTGQRCERENSLGSVDWKPLKWSRSGSLSSWGSGFSHSSSSKSLGGVDSGEAKLELHQKNLAPVQSPSGDAAACVTSAPPSDETTSRKKPRLGWGEGLAKFEKKKDGGPDTSINSGGAAISLCNTEPNTSLNSNLVDKSPRVLGFSDCSSPATPSSVACSSSPGVEEKSFGKAANIDNDVNNLCGSPSFGSQNQLEGSSFSLEKLDINSIINMGSSLIDLLQSDEPSTMDSSFVQSTAINKLLLWKGDILKALEMTESEIESLETELKSSKDDPGRRCQCPATSSSLPVRENGKSCEEQEAASSMIPQPAPLKIDPSNDVLEVLQEANADIKDGVIDSPGTATSDFMLSSSLEKAESLCDVVKAQDCSGNSSSAQLKTMEEVILATDSCNEEAAAVISGEGSVLVKIDNEAHVPESSNSDAGGENMTCDVILTTNKELANRSSLVFKKLFPKDQYSIEISEISNAVRGQISSLIREKIAMRKRHLRFKERVLTLKFKAFQYAWKEDMLSPAMRKYWAKSQKKYELSLRSTYGGYQKHRSSSRSRVASSAGNLVLEPTAEMINFTSKLLLDSHVKLYRNALKMPALILDEQEQLSRFISSNGLVEDPCAIEKERALINPWTSEEKEIFMDKLAAFGKDFRKIATFLDHKTTADCVEFYYKNHKSECFKKTKKKLDLTKQGKSSANTYLLTSGKKWSKEFNAASIDVLGSASVIATHAESGMQKHQTSSSRIFFGGRYSKISRADDRIADRLSSFDIIGNDRETAAADVLAGICGSLSSEAMSSCITSSLDPGESFHRDWKCHKVDSLLKRRSTSNVAQNVDDGTCSDESCGEMDPADWTDEEKSVFIQAVSSYGKDFAMISRCVRTRSRDQCKVFFSKARKCLGLDLIDPRTRNLGTPMSDDANGGGSDAEDACVLERLVVSSDKLGSKPEDLPSNIVCTNMDERNPTSKPILPTDLNVPDENNRKLVDHRDSEAVQTVDSDAGLAELISECSVDMNIDSKAGSLQVQKSFVALGNLNAGRDVTEQGVSVAVSASLGAAAHPCTPSLDSVAVSKPATSLYENDTKCSAETSSQSICRIDSNKASDGSVGKNSCSGFSLSAKGLHQIPPDLDSAKKPSVSNNSSANGSALHDSDGLRCEKICNLGRLSSTLDYKENEAKQAQKSVREDESGRLSGKTSVNVTEPHRILRGYPLQVSTLKEMNGDVKCLATSKRGSAGPCLAQECYLQKCNSSKSAAELPLLVENLEQAKDRPKSHCRISDTENPGRNGNVKLFGQILNSSSRDDKVSHFSKQNTEPSNSKPIGNNVDGNSKFDANNHVVENVPKRSYGFSDGKRIQTGLSSLPDSSILMAKYPSAFANYPPTSSSQMEQQALQTVVHGTDRTLNGVSFPLKGNKQQQR >KJB78951 pep chromosome:Graimondii2_0_v6:13:1984563:1993257:1 gene:B456_013G026300 transcript:KJB78951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTYPSRTHSDFVNTWNQLQKDHHDNRTCGVNGLGTGQRCERENSLGSVDWKPLKWSRSGSLSSWGSGFSHSSSSKSLGGVDSGEAKLELHQKNLAPVQSPSGDAAACVTSAPPSDETTSRKKPRLGWDKSPRVLGFSDCSSPATPSSVACSSSPGVEEKSFGKAANIDNDVNNLCGSPSFGSQNQLEGSSFSLEKLDINSIINMGSSLIDLLQSDEPSTMDSSFVQSTAINKLLLWKGDILKALEMTESEIESLETELKSSKDDPGRRCQCPATSSSLPVRENGKSCEEQEAASSMIPQPAPLKIDPSNDVLEVLQEANADIKDGVIDSPGTATSDFMLSSSLEKAESLCDVVKAQDCSGNSSSAQLKTMEEVILATDSCNEEAAAVISGEGSVLVKIDNEAHVPESSNSDAGGENMTCDVILTTNKELANRSSLVFKKLFPKDQYSIEISEISNAVRGQISSLIREKIAMRKRHLRFKERVLTLKFKAFQYAWKEDMLSPAMRKYWAKSQKKYELSLRSTYGGYQKHRSSSRSRVASSAGNLVLEPTAEMINFTSKLLLDSHVKLYRNALKMPALILDEQEQLSRFISSNGLVEDPCAIEKERALINPWTSEEKEIFMDKLAAFGKDFRKIATFLDHKTTADCVEFYYKNHKSECFKKTKKKLDLTKQGKSSANTYLLTSGKKWSKEFNAASIDVLGSASVIATHAESGMQKHQTSSSRIFFGGRYSKISRADDRIADRLSSFDIIGNDRETAAADVLAGICGSLSSEAMSSCITSSLDPGESFHRDWKCHKVDSLLKRRSTSNVAQNVDDGTCSDESCGEMDPADWTDEEKSVFIQAVSSYGKDFAMISRCVRTRSRDQCKVFFSKARKCLGLDLIDPRTRNLGTPMSDDANGGGSDAEDACVLERLVVSSDKLGSKPEDLPSNIVCTNMDERNPTSKPILPTDLNVPDENNRKLVDHRDSEAVQTVDSDAGLAELISECSVDMNIDSKAGSLQVQKSFVALGNLNAGRDVTEQGVSVAVSASLGAAAHPCTPSLDSVAVSKPATSLYENDTKCSAETSSQSICRIDSNKASDGSVGKNSCSGFSLSAKGLHQIPPDLDSAKKPSVSNNSSANGSALHDSDGLRCEKICNLGRLSSTLDYKENEAKQAQKSVREDESGRLSGKTSVNVTEPHRILRGYPLQVSTLKEMNGDVKCLATSKRGSAGPCLAQECYLQKCNSSKSAAELPLLVENLEQAKDRPKSHCRISDTENPGRNGNVKLFGQILNSSSRDDKVSHFSKQNTEPSNSKPIGNNVDGNSKFDANNHVVENVPKRSYGFSDGKRIQTGLSSLPDSSILMAKYPSAFANYPPTSSSQMEQQALQTVVHGTDRTLNGVSFPLKGNKQQQR >KJB78955 pep chromosome:Graimondii2_0_v6:13:1984609:1993245:1 gene:B456_013G026300 transcript:KJB78955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEPLPWDRKDIYKDRKHERAELQPPPLLAARWREASSMSSYQHGSFREFARWGSADFHRPPGHGKQGNWHLFPEDIGGHGYVPWRSSDKILDGETYRQSVSRGDGKYGRSYSRDNNRGSYNQRDWRGHSLETSNGSPNTSVRPHDVNNEQRSVDDMFTYPSRTHSDFVNTWNQLQKDHHDNRTCGVNGLGTGQRCERENSLGSVDWKPLKWSRSGSLSSWGSGFSHSSSSKSLGGVDSGEAKLELHQKNLAPVQSPSGDAAACVTSAPPSDETTSRKKPRLGWDKSPRVLGFSDCSSPATPSSVACSSSPGVEEKSFGKAANIDNDVNNLCGSPSFGSQNQLEGSSFSLEKLDINSIINMGSSLIDLLQSDEPSTMDSSFVQSTAINKLLLWKGDILKALEMTESEIESLETELKSSKDDPGRRCQCPATSSSLPVRENGKSCEEQEAASSMIPQPAPLKIDPSNDVLEVLQEANADIKDGVIDSPGTATSDFMLSSSLEKAESLCDVVKAQDCSGNSSSAQLKTMEEVILATDSCNEEAAAVISGEGSVLVKIDNEAHVPESSNSDAGGENMTCDVILTTNKELANRSSLVFKKLFPKDQYSIEISEISNAVRGQISSLIREKIAMRKRHLRFKERVLTLKFKAFQYAWKEDMLSPAMRKYWAKSQKKYELSLRSTYGGYQKHRSSSRSRVASSAGNLVLEPTAEMINFTSKLLLDSHVKLYRNALKMPALILDEQEQLSRFISSNGLVEDPCAIEKERALINPWTSEEKEIFMDKLAAFGKDFRKIATFLDHKTTADCVEFYYKNHKSECFKKTKKKLDLTKQGKSSANTYLLTSGKKWSKEFNAASIDVLGSASVIATHAESGMQKHQTSSSRIFFGGRYSKISRADDRIADRLSSFDIIGNDRETAAADVLAGICGSLSSEAMSSCITSSLDPGESFHRDWKCHKVDSLLKRRSTSNVAQNVDDGTCSDESCGEMDPADWTDEEKSVFIQAVSSYGKDFAMISRCVRTRSRDQCKVFFSKARKCLGLDLIDPRTRNLGTPMSDDANGGGSDAEDACVLERLVVSSDKLGSKPEDLPSNIVCTNMDERNPTSKPILPTDLNVPDENNRKLVDHRDSEAVQTVDSDAGLAELISECSVDMNIDSKAGSLQVQKSFVALGNLNAGRDVTEQGVSVAVSASLGAAAHPCTPSLDSVAVSKPATSLYENDTKCSAETSSQSICRIDSNKASDGSVGKNSCSGFSLSAKGLHQIPPDLDSAKKPSVSNNSSANGSALHDSDGLRCEKICNLGRLSSTLDYKENEAKQAQKSVREDESGRLSGKTSVNVTEPHRILRGYPLQVSTLKEMNGDVKCLATSKRGSAGPCLAQECYLQKCNSSKSAAELPLLVENLEQAKDRPKSHCRISDTENPGRNGNVKLFGQILNSSSRDDKVSHFSKQNTEPSNSKPIGNNVDGNSKFDANNHVVENVPKRSYGFSDGKRIQTGLSSLPDSSILMAKYPSAFANYPPTSSSQMEQQALQTVVHGTDRTLNGVSFPLKGNKQQQR >KJB83113 pep chromosome:Graimondii2_0_v6:13:54862257:54865194:-1 gene:B456_013G230000 transcript:KJB83113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQCQNGTETLLKVSTLNCIDLSNPDIHQSVSLLKQACLDCGFFYLINHGISQEFMDEVFGQSKSFFELPLNEKMKVLRNEKHRGYTPVLDELLDPDNQVHVGDYKEGYYIGVEVPEDDPEAEKPFYGPNVWPEDGLLPGWRQTMEKFHHQALEVAKAVARIIALALGLEVDFFDKPEMLGKPIATLRLLHYGGQVSDPSKGLYGAGAHSDYGLITLLATDDVMGLQICKDKDAKPQIWEYVAPVKGAFIVNLGDMLERWSNCIFKSTLHRVLGNGQERYSIAYFVEPSHDCLVECLPTCKSENNPPKFPPIYCATYLSQRYKDTHAELSVYEKHQT >KJB83111 pep chromosome:Graimondii2_0_v6:13:54862072:54865402:-1 gene:B456_013G230000 transcript:KJB83111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQCQNGTETLLKVSTLNCIDLSNPDIHQSVSLLKQACLDCGFFYLINHGISQEFMDEVFGQSKSFFELPLNEKMKVLRNEKHRGYTPVLDELLDPDNQVHGDYKEGYYIGVEVPEDDPEAEKPFYGPNVWPEDGLLPGWRQTMEKFHHQALEVAKAVARIIALALGLEVDFFDKPEMLGKPIATLRLLHYGGQVSDPSKGLYGAGAHSDYGLITLLATDDVMGLQICKDKDAKPQIWEYVAPVKGAFIVNLGDMLERWSNCIFKSTLHRVLGNGQERYSIAYFVEPSHDCLVECLPTCKSENNPPK >KJB83112 pep chromosome:Graimondii2_0_v6:13:54862072:54865543:-1 gene:B456_013G230000 transcript:KJB83112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQCQNGTETLLKVSTLNCIDLSNPDIHQSVSLLKQACLDCGFFYLINHGISQEFMDEVFGQSKSFFELPLNEKMKVLRNEKHRGYTPVLDELLDPDNQVHGDYKEGYYIGVEVPEDDPEAEKPFYGPNVWPEDGLLPGWRQTMEKFHHQALEVAKAVARIIALALGLEVDFFDKPEMLGKPIATLRLLHYGGQVSDPSKGLYGAGAHSDYGLITLLATDDVMGLQICKDKDAKPQIWEYVAPVKGAFIVNLGDMLERWSNCIFKSTLHRVLGNGQERYSIAYFVEPSHDCLVECLPTCKSENNPPKFPPIYCATYLSQRYKDTHAELSVYEKHQT >KJB82452 pep chromosome:Graimondii2_0_v6:13:49986297:49989263:-1 gene:B456_013G196200 transcript:KJB82452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENCTRKLIVEICNANNLMPKDGQGTASAYAIVDFAGQRRRTKTKFRDLNPVWDEKLEFLVHDIGSMASEILEINLYNDKKIGKRSNFLGKIKLAGTVFVSAGAESLVYYSLEKRSVFSQVKGEIGVKIFYVDEEAAPTPPEQKEETAEEKPPEEEKPAEENKEEAPKEEEKPNPPPPESSNPQDAVAASTSETNPAPEEENNQPLANKEEPPTETAKYKAETGKSTELIINNLELRSLSGDRNRIGYDLVDPMPFLYVRVVKAKVANKEPACPLHAKIVIGTHSIKTKTQIDRDWDQVFAFDKERLNSSSLEVSVWTEEGKNEEAPSSLAESCLGTVPFDLQEVPKRVPPDSPLAPQWYSLESESETGNDVMVAVWVGTQADEAFQEAWQSDSGGLIPETRAKVYLSPKLWYLRLTVIQTQDLQLDLVSEAKVRSPEIFVKALLGAQLFKTSRTQVGSAWNEDLVFVAAEPFEPFLVVKVEDASNGQSVGEVKIHVSSIDRRTDDKTEVKSRWFNLIGGENKPYAGRIHIRVCLEGGYHVLDEAAHVTSDVRATAKQLTKPPIGLLDVGIRGANNLLPVKTKDGPCGTTDAYVVAKYGPKWIRTRTILDRFNPHWNEQYTWDVYDPCTVLTIGVFDNGRYKGDKDVSIGKVRLRLSTLDTNKVYRNSYTLTVLSPDGAKKMGEIEIAIRFCCSSWLSLIQAYGSPMLPRMHHVSPLGPTQQDILRYTAMRIVTARLARSEPALGQEVVQFMLDSDTHVWSMRRSKANWFRVVGCLSRVASLVRWLDEIRTWVHPPTTVLVHGLLVAAVVCSHLVLPTIFMYAFLILTLRLRYCRRIPYNVESRLSYVDVVSPNELDEEFDEFPTNKSSDTIRIRYDRLRALASRVQTLLGDVAVQGERLEALFSWKDPRATGILLVFCLFVSLLFYLVPLKVLVLGAGFYYIRHPRFRDDMPSIPINFFRRLPSLSDQIM >KJB81740 pep chromosome:Graimondii2_0_v6:13:43692100:43694896:1 gene:B456_013G159900 transcript:KJB81740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLSLTSKPPSITRLRHQRPPLTTVRVSAATVTTTTGMPDHHHPSLEITGGGADRFLPAFNTIHLPYKPFPIIGWNRHLETIFAAFFRTVPEVKYRRECLRTQDNGTIALDWVYGDHRSLPSDSPILILLPGLTGGSQDSYVKHMLVKAKSKGWRVVVFNSRGCANSPVTTPQLQTASFTADTCHVVDHVSSRYPKASIYAVGWSLGGNILVNYLGREHHRCSLSGAVSLCNPFNLVIADENLRKGFNNIYDRALRGGLSRTFDKHASLFEEMHDEYNVQAGLNPRTVREYDEAITRVSLGYKSVDEYYSNSCSCHVVQHVRIPLLCIQAANDPIAPIEATPYSDIKDNPNCMLIVTPQGGHLGWVAGDDAPFGAPWTDNVVIEFLEHLQKNASKPESS >KJB81741 pep chromosome:Graimondii2_0_v6:13:43692166:43694745:1 gene:B456_013G159900 transcript:KJB81741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLSLTSKPPSITRLRHQRPPLTTVRVSAATVTTTTGMPDHHHPSLEITGGGADRFLPAFNTIHLPYKPFPIIGWNRHLETIFAAFFRTVPEVKYRRECLRTQDNGTIALDWVYGDHRSLPSDSPILILLPGLTGGSQDSYVKHMLVKAKSKGWRVVVFNSRGCANSPVTTPQLQTASFTADTCHVVDHVSSRYPKASIYAVGWSLGGNILVNYLGREHHRCSLSGAVSLCNPFNLVIADENLRKGFNNIYDRALRGEMHDEYNVQAGLNPRTVREYDEAITRVSLGYKSVDEYYSNSCSCHVVQHVRIPLLCIQAANDPIAPIEATPYSDIKDNPNCMLIVTPQGGHLGWVAGDDAPFGAPWTDNVVIEFLEHLQKNASKPESS >KJB79065 pep chromosome:Graimondii2_0_v6:13:2455304:2456584:-1 gene:B456_013G032400 transcript:KJB79065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASATLLKSSPIIDKSEWIKGQNLRHPSVCFVRSHPTSVAFTVRASSYADELVKTAKTVASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVSAPGLGDYISGAILFEETLYQSTIDGKKMVDVLVEQKIVPGIKVDKGLVPLPGSNNESWCQGLDGLSSRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDSGLVPIVEPEILLDGDHGIDRTFEVAQKVWAEVFFYLAENNVMFEGILLKPSMVTPGAECKDKATPQQVADYTLKLLHRRIPPAVPGIMVHFLY >KJB79066 pep chromosome:Graimondii2_0_v6:13:2454681:2456872:-1 gene:B456_013G032400 transcript:KJB79066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASATLLKSSPIIDKSEWIKGQNLRHPSVCFVRSHPTSVAFTVRASSYADELVKTAKTVASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVSAPGLGDYISGAILFEETLYQSTIDGKKMVDVLVEQKIVPGIKVDKGLVPLPGSNNESWCQGLDGLSSRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDSGLVPIVEPEILLDGDHGIDRTFEVAQKVWAEVFFYLAENNVMFEGILLKPSMVTPGAECKDKATPQQVADYTLKLLHRRIPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLVRAKANSLAQLGKYTGEGESEEAKKGMFVKGYVY >KJB80142 pep chromosome:Graimondii2_0_v6:13:11395446:11400893:1 gene:B456_013G082700 transcript:KJB80142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQAAFRGYLARRAFRTLKGIIRLQAVIRGHLVRRQAVATLCCTWGIVKLQALARGQKVRCSDIAMDIQEKHLRLLQGSKSSDSIGVSTSSKVKNLSNNVFVQKLLASSPSVLPLQLQYVPEEPNSSWQWLQRWTMSHFWLSPLKPVRSGKTKRSIQKMSNAKVVNGSSHSTLEHEKNKRGLRRVSGNSAADSVRKHPQNELERVKRSLRKLSDSSKEVSDKSEVFNEKTKKTLKKTSNSNNPDFSEQESSEKIRDVAATLSELSILEADMKMSSEDPSLGEPNVCPAVDLPSTENNGKLEDMPLTEELSSKDEQVGDESSNTNQRRASFPAKIDNQANGLNHMPKVPSYMAPTASAKARLRGQGSPMVTPEAVEKNGLNRRYSLPSSTNSNTSSQSPHGQRRVRVAGKGANISDKSQSSSKDANDKVVRAEWRR >KJB80143 pep chromosome:Graimondii2_0_v6:13:11395446:11400893:1 gene:B456_013G082700 transcript:KJB80143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQAAFRGYLARRAFRTLKGIIRLQAVIRGHLVRRQAVATLCCTWGIVKLQALARGQKVRCSDIAMDIQEKHLRLLQGSKSSDSIGVSTSSKVKNLSNNVFVQKLLASSPSVLPLQLQYVPEEPNSSWQWLQRWTMSHFWLSPLKPVRSGKTKRSIQKMSNAKVVNGSSHSTLEHEKNKRGLRRVSGNSAADSVRKHPQNELERVKRSLRKLSDSSKEVSDKSEVFNEKTKKTLKKTSNSNNPDFSEQESSEKIRDVAATLSELSILEADMKMSSEDPSLEELSSKDEQVGDESSNTNQRRASFPAKIDNQANGLNHMPKVPSYMAPTASAKARLRGQGSPMVTPEAVEKNGLNRRYSLPSSTNSNTSSQSPHGQRRVRVAGKGANISDKSQSSSKDANDKVVRAEWRR >KJB80140 pep chromosome:Graimondii2_0_v6:13:11395232:11401166:1 gene:B456_013G082700 transcript:KJB80140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPAKWIKTLLLGKKSSKSSFSKEKEKLKSANKGEVLVSSKVTVSDLSVDPPSISAPVLVNSARNVVDSEKGIPAQLPNDGANIPSPKVDGNDAKTGNFGNPENPDRIRLDPAAVMVQAAFRGYLARRAFRTLKGIIRLQAVIRGHLVRRQAVATLCCTWGIVKLQALARGQKVRCSDIAMDIQEKHLRLLQGSKSSDSIGVSTSSKVKNLSNNVFVQKLLASSPSVLPLQLQYVPEEPNSSWQWLQRWTMSHFWLSPLKPVRSGKTKRSIQKMSNAKVVNGSSHSTLEHEKNKRGLRRVSGNSAADSVRKHPQNELERVKRSLRKLSDSSKEVSDKSEVFNEKTKKTLKKTSNSNNPDFSEQESSEKIRDVAATLSELSILEADMKMSSEDPSLGEPNVCPAVDLPSTENNGKLEDMPLTEELSSKDEQVGDESSNTNQRRASFPAKIDNQANGLNHMPKVPSYMAPTASAKARLRGQGSPMVTPEAVEKNGLNRRYSLPSSTNSNTSSQSPHGQRRVRVAGKGANISDKSQSSSKDANDKVVRAEWRR >KJB80141 pep chromosome:Graimondii2_0_v6:13:11395265:11400968:1 gene:B456_013G082700 transcript:KJB80141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPAKWIKTLLLGKKSSKSSFSKEKEKLKSANKGEVLVSSKVTVSDLSVDPPSISAPVLVNSARNVVDSEKGIPAQLPNDGANIPSPKVDGNDAKTGNFGNPENPDRIRLDPAAVMVQAAFRGYLARRAFRTLKGIIRLQAVIRGHLVRRQAVATLCCTWGIVKLQALARGQKVRCSDIAMDIQEKHLRLLQGSKSSDSIGVSTSSKVKNLSNNVFVQKLLASSPSVLPLQLQYVPEEPNSSWQWLQRWTMSHFWLSPLKPVRSGKTKRSIQKMSNAKVVNGSSHSTLEHEKNKRGLRRVSGNSAADSVRKHPQNELERVKRSLRKLSDSSKEVSDKSEVFNEKTKKTLKKTSNSNNPDFSEQESSEKIRDVAATLSELSILEADMKMSSEDPSLGEPNVCPAVDLPSTENNGKLEDMPLTEELSSKDEQVGDESSNTNQRRASFPAKIDNQANGLNHMPKVPSYMAPTASAKARLRGQGSPMVTPEAVEKNGLNRRYSLPSSTNSNTSSQSPHGQRRVRVAGKGANISDKSQSSSKDANDKVVRAEWRR >KJB82441 pep chromosome:Graimondii2_0_v6:13:49921452:49926218:1 gene:B456_013G195700 transcript:KJB82441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIYATLLFLKYPFLKSERKEAEDGFTTTPSMAISSSSTSILFFLLSLFSFSHASHVITDFKGIDFASPTIDVTPTPLSGYSSVRGSKYVLLCDRVHVSGHSRLKLGSYANSFRVTLAPSVLIPERLHSKIQVCFHWNASLGLCKCGDGDWKPLQKGIWHTAMSPYDDRYIDVKFIGDMSGSVTVALEEDFQLWRLIFLALGFVLLLLAPFVSKWVPFYYSSSMALGVLLVVIILLYQGMKLLPTGRKSTFYFTMYGSMLLGAGSFLLNQFSVLVNSILVNFGLSEEMHNPVAIFAFVGIVLSGAGLGYWTARKFVILKDGSVDVGVAQFVKSAMRIISILFIFQSTVDTRLAIVALASCSAICSLITSKIRKGYMQPPYSGDQSPWLHQSRQRTPMLGRAEFLSRSPRVDSKQKMWSSPKTAPAWTNSLVKGYYAKPGEGAIDHQDYYSTFHKTNHQKKFTEQEWEDFSQESTRKAMAELAASPEFTDWMIEHADRIKLLPRDDSSDESVGSKSSSDDEDEESHSWFRLF >KJB82440 pep chromosome:Graimondii2_0_v6:13:49921452:49926190:1 gene:B456_013G195700 transcript:KJB82440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIYATLLFLKYPFLKSERKEAEDGFTTTPSMAISSSSTSILFFLLSLFSFSHASHVITDFKGIDFASPTIDVTPTPLSGYSSVRGSKYVLLCDRVHVSGHSRLKLGSYANSFRVTLAPSVLIPERLHSKIQVCFHWNASLGLCKCGDGDWKPLQKGIWHTAMSPYDDRYIDVKFIGDMSGSVTVALEEDFQLWRLIFLALGFVLLLLAPFVSKWVPFYYSSSMALGVLLVVIILLYQGMKLLPTGRKSTFYFTMYGSMLLGAGSFLLNQFSVLVNSILVNFGLSEEMHNPVAIFAFVGIVLSGAGLGYWTARKFVILKDGSVDVGVAQFVKSAMRIISILFIFQVHFYYRWQSYWFICNLGYKFSLLYAKLP >KJB79072 pep chromosome:Graimondii2_0_v6:13:2505102:2508603:-1 gene:B456_013G033000 transcript:KJB79072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSKTAMISIEHSKESAKESILYSYKHGFSGFAAVLSQSQAKLIADVPGVVHVVPNRILNLHTTRSWDFLQVKPQIVDGILSEGHSGLGTIIGVMDTGIWPESESFRDDGMGKFPSRWKGICQEGNRFNRSHCNRKIIGARWYIKGYEAEFGKLSPSDGVEFLSPRDAVGHGTHTSSTASGAEVKNANFRGLAQGIARGGAPSSWLAIYKVCWATGGCSSADLLAAIDDAIFDGVDIISASLGSPPPLSTYVDDALAIGSFHAVARGISVVCSAGNTGPYPQTVINTAPWVMTVAASTIDRDFPAVITLGNNQTVVGQSFYTGRGLNKFHPIVYGADIAATNIDGTSAGSCDLETLNATLARGKVILCFQSRWQRSAAIASKSVLELKGAGVIFAQFPTKDVSCPWSFPCVQVDFEAGTSLLTYMAASRKPVVKFSFSKTVIGQQLAPEIAYFSSRGPSSLSPSVLKPDIAAPGVDILASWSPAASSKLLNSPQTKASPFNFKLDSGTSMACPHISGIVALLKGIHPKWSPAAIKSALVTTASMKDEYGQNTVAEGAPHKQADPFDYGGGNVDPNKALDPGLIYDIKPSDYVCFLYAMGYNSTAIRLMTRVHTPCHKSVKFLRNLNLPSITLPELKQRMTVSRTVTNVGPVNSIYVARIQAPAGIDVSVEPWILTFNSTTKKLKFKVTFCSQLKVQGRYSFGNLYWEDGIHVVRIPVVVRIVINNHLYSET >KJB79075 pep chromosome:Graimondii2_0_v6:13:2505102:2509044:-1 gene:B456_013G033000 transcript:KJB79075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERHTDEPNLLEDSHHQILSDILGSKESAKESILYSYKHGFSGFAAVLSQSQAKLIADVPGVVHVVPNRILNLHTTRSWDFLQVKPQIVDGILSEGHSGLGTIIGVMDTGIWPESESFRDDGMGKFPSRWKGICQEGNRFNRSHCNRKIIGARWYIKGYEAEFGKLSPSDGVEFLSPRDAVGHGTHTSSTASGAEVKNANFRGLAQGIARGGAPSSWLAIYKVCWATGGCSSADLLAAIDDAIFDGVDIISASLGSPPPLSTYVDDALAIGSFHAVARGISVVCSAGNTGPYPQTVINTAPWVMTVAASTIDRDFPAVITLGNNQTVVGQSFYTGRGLNKFHPIVYGADIAATNIDGTSAGSCDLETLNATLARGKVILCFQSRWQRSAAIASKSVLELKGAGVIFAQFPTKDVSCPWSFPCVQVDFEAGTSLLTYMAASRKPVVKFSFSKTVIGQQLAPEIAYFSSRGPSSLSPSVLKPDIAAPGVDILASWSPAASSKLLNSPQTKASPFNFKLDSGTSMACPHISGIVALLKGIHPKWSPAAIKSALVTTASMKDEYGQNTVAEGAPHKQADPFDYGGGNVDPNKALDPGLIYDIKPSDYVCFLYAMGYNSTAIRLMTRVHTPCHKSVKFLRNLNLPSITLPELKQRMTVSRTVTNVGPVNSIYVARIQAPAGIDVSVEPWILTFNSTTKKLKFKVTFCSQLKVQGRYSFGNLYWEDGIHVVRIPVVVRIVINNHLYSET >KJB79076 pep chromosome:Graimondii2_0_v6:13:2504551:2509315:-1 gene:B456_013G033000 transcript:KJB79076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPSSWPCVVLIALLCSLFLLGLASSNVYIVYMGERHTDEPNLLEDSHHQILSDILGSKESAKESILYSYKHGFSGFAAVLSQSQAKLIADVPGVVHVVPNRILNLHTTRSWDFLQVKPQIVDGILSEGHSGLGTIIGVMDTGIWPESESFRDDGMGKFPSRWKGICQEGNRFNRSHCNRKIIGARWYIKGYEAEFGKLSPSDGVEFLSPRDAVGHGTHTSSTASGAEVKNANFRGLAQGIARGGAPSSWLAIYKVCWATGGCSSADLLAAIDDAIFDGVDIISASLGSPPPLSTYVDDALAIGSFHAVARGISVVCSAGNTGPYPQTVINTAPWVMTVAASTIDRDFPAVITLGNNQTVVGQSFYTGRGLNKFHPIVYGADIAATNIDGTSAGSCDLETLNATLARGKVILCFQSRWQRSAAIASKSVLELKGAGVIFAQFPTKDVSCPWSFPCVQVDFEAGTSLLTYMAASRKPVVKFSFSKTVIGQQLAPEIAYFSSRGPSSLSPSVLKPDIAAPGVDILASWSPAASSKLLNSPQTKASPFNFKLDSGTSMACPHISGIVALLKGIHPKWSPAAIKSALVTTASMKDEYGQNTVAEGAPHKQADPFDYGGGNVDPNKALDPGLIYDIKPSDYVCFLYAMGYNSTAIRLMTRVHTPCHKSVKFLRNLNLPSITLPELKQRMTVSRTVTNVGPVNSIYVARIQAPAGIDVSVEPWILTFNSTTKKLKFKGSIVSLWMRVIEPRELMYMCSMTNGRDFSFWI >KJB79079 pep chromosome:Graimondii2_0_v6:13:2504551:2509315:-1 gene:B456_013G033000 transcript:KJB79079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPSSWPCVVLIALLCSLFLLGLASSNVYIVYMGERHTDEPNLLEDSHHQILSDILGSKESAKESILYSYKHGFSGFAAVLSQSQAKLIADVPGVVHVVPNRILNLHTTRSWDFLQVKPQIVDGILSEGHSGLGTIIGVMDTGIWPESESFRDDGMGKFPSRWKGICQEGNRFNRSHCNRKIIGARWYIKGYEAEFGKLSPSDGVEFLSPRDAVGHGTHTSSTASGAEVKNANFRGLAQGIARGGAPSSWLAIYKVCWATGGCSSADLLAAIDDAIFDGVDIISASLGSPPPLSTYVDDALAIGSFHAVARGISVVCSAGNTGPYPQTVINTAPWVMTVAASTIDRDFPAVITLGNNQTVVGQSFYTGRGLNKFHPIVYGADIAATNIDGTSAGSCDLETLNATLARGKVILCFQSRWQRSAAIASKSVLELKGAGVIFAQFPTKDVSCPWSFPCVQVDFEAGTSLLTYMAASRKPVVKFSFSKTVIGQQLAPEIAYFSSRGPSSLSPSVLKTLLLQELIYWPPGHLLLHPSYSILLKLKHLHLTLNLIRELPWLVPIYPALLHFSKESIPSGALQQLSLH >KJB79077 pep chromosome:Graimondii2_0_v6:13:2505102:2509240:-1 gene:B456_013G033000 transcript:KJB79077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPSSWPCVVLIALLCSLFLLGLASSNVYIVYMGERHTDEPNLLEDSHHQILSDILGSKESAKESILYSYKHGFSGFAAVLSQSQAKLIADGFFVAYADVPGVVHVVPNRILNLHTTRSWDFLQVKPQIVDGILSEGHSGLGTIIGVMDTGIWPESESFRDDGMGKFPSRWKGICQEGNRFNRSHCNRKIIGARWYIKGYEAEFGKLSPSDGVEFLSPRDAVGHGTHTSSTASGAEVKNANFRGLAQGIARGGAPSSWLAIYKVCWATGGCSSADLLAAIDDAIFDGVDIISASLGSPPPLSTYVDDALAIGSFHAVARGISVVCSAGNTGPYPQTVINTAPWVMTVAASTIDRDFPAVITLGNNQTVVGQSFYTGRGLNKFHPIVYGADIAATNIDGTSAGSCDLETLNATLARGKVILCFQSRWQRSAAIASKSVLELKGAGVIFAQFPTKDVSCPWSFPCVQVDFEAGTSLLTYMAASRKPVVKFSFSKTVIGQQLAPEIAYFSSRGPSSLSPSVLKPDIAAPGVDILASWSPAASSKLLNSPQTKASPFNFKLDSGTSMACPHISGIVALLKGIHPKWSPAAIKSALVTTASMKDEYGQNTVAEGAPHKQADPFDYGGGNVDPNKALDPGLIYDIKPSDYVCFLYAMGYNSTAIRLMTRVHTPCHKSVKFLRNLNLPSITLPELKQRMTVSRTVTNVGPVNSIYVARIQAPAGIDVSVEPWILTFNSTTKKLKFKVTFCSQLKVQGRYSFGNLYWEDGIHVVRIPVVVRIVINNHLYSET >KJB79074 pep chromosome:Graimondii2_0_v6:13:2504504:2509483:-1 gene:B456_013G033000 transcript:KJB79074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIYWKILIIRFFQTFLEGFAAVLSQSQAKLIADVPGVVHVVPNRILNLHTTRSWDFLQVKPQIVDGILSEGHSGLGTIIGVMDTGIWPESESFRDDGMGKFPSRWKGICQEGNRFNRSHCNRKIIGARWYIKGYEAEFGKLSPSDGVEFLSPRDAVGHGTHTSSTASGAEVKNANFRGLAQGIARGGAPSSWLAIYKVCWATGGCSSADLLAAIDDAIFDGVDIISASLGSPPPLSTYVDDALAIGSFHAVARGISVVCSAGNTGPYPQTVINTAPWVMTVAASTIDRDFPAVITLGNNQTVVGQSFYTGRGLNKFHPIVYGADIAATNIDGTSAGSCDLETLNATLARGKVILCFQSRWQRSAAIASKSVLELKGAGVIFAQFPTKDVSCPWSFPCVQVDFEAGTSLLTYMAASRKPVVKFSFSKTVIGQQLAPEIAYFSSRGPSSLSPSVLKPDIAAPGVDILASWSPAASSKLLNSPQTKASPFNFKLDSGTSMACPHISGIVALLKGIHPKWSPAAIKSALVTTASMKDEYGQNTVAEGAPHKQADPFDYGGGNVDPNKALDPGLIYDIKPSDYVCFLYAMGYNSTAIRLMTRVHTPCHKSVKFLRNLNLPSITLPELKQRMTVSRTVTNVGPVNSIYVARIQAPAGIDVSVEPWILTFNSTTKKLKFKVTFCSQLKVQGRYSFGNLYWEDGIHVVRIPVVVRIVINNHLYSET >KJB79073 pep chromosome:Graimondii2_0_v6:13:2504504:2509483:-1 gene:B456_013G033000 transcript:KJB79073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILYIWGRDTLMSQIYWKILIIRFFQTFLEGFAAVLSQSQAKLIADVPGVVHVVPNRILNLHTTRSWDFLQVKPQIVDGILSEGHSGLGTIIGVMDTGIWPESESFRDDGMGKFPSRWKGICQEGNRFNRSHCNRKIIGARWYIKGYEAEFGKLSPSDGVEFLSPRDAVGHGTHTSSTASGAEVKNANFRGLAQGIARGGAPSSWLAIYKVCWATGGCSSADLLAAIDDAIFDGVDIISASLGSPPPLSTYVDDALAIGSFHAVARGISVVCSAGNTGPYPQTVINTAPWVMTVAASTIDRDFPAVITLGNNQTVVGQSFYTGRGLNKFHPIVYGADIAATNIDGTSAGSCDLETLNATLARGKVILCFQSRWQRSAAIASKSVLELKGAGVIFAQFPTKDVSCPWSFPCVQVDFEAGTSLLTYMAASRKPVVKFSFSKTVIGQQLAPEIAYFSSRGPSSLSPSVLKPDIAAPGVDILASWSPAASSKLLNSPQTKASPFNFKLDSGTSMACPHISGIVALLKGIHPKWSPAAIKSALVTTASMKDEYGQNTVAEGAPHKQADPFDYGGGNVDPNKALDPGLIYDIKPSDYVCFLYAMGYNSTAIRLMTRVHTPCHKSVKFLRNLNLPSITLPELKQRMTVSRTVTNVGPVNSIYVARIQAPAGIDVSVEPWILTFNSTTKKLKFKVTFCSQLKVQGRYSFGNLYWEDGIHVVRIPVVVRIVINNHLYSET >KJB79078 pep chromosome:Graimondii2_0_v6:13:2504551:2509315:-1 gene:B456_013G033000 transcript:KJB79078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPSSWPCVVLIALLCSLFLLGLASSNVYIVYMGERHTDEPNLLEDSHHQILSDILGSKESAKESILYSYKHGFSGFAAVLSQSQAKLIADVPGVVHVVPNRILNLHTTRSWDFLQVKPQIVDGILSEGHSGLGTIIGVMDTGIWPESESFRDDGMGKFPSRWKGICQEGNRFNRSHCNRKIIGARWYIKGYEAEFGKLSPSDGVEFLSPRDAVGHGTHTSSTASGAEVKNANFRGLAQGIARGGAPSSWLAIYKVCWATGGCSSADLLAAIDDAIFDGVDIISASLGSPPPLSTYVDDALAIGSFHAVARGISVVCSAGNTGPYPQTVINTAPWVMTVAASTIDRDFPAVITLGNNQTVVGQSFYTGRGLNKFHPIVYGADIAATNIDGTSAGSCDLETLNATLARGKVILCFQSRWQRSAAIASKSVLELKGAGVIFAQFPTKDVSCPWSFPCVQVDFEAGTSLLTYMAASRKPVVKFSFSKTVIGQQLAPEIAYFSSRGPSSLSPSVLKPDIAAPGVDILASWSPAASSKLLNSPQTKASPFNFKLDSGTSMACPHISGIVALLKGIHPKWSPAAIKSALVTTASMKDEYGQNTVAEGAPHKQADPFDYGGGNVDPNKALDPG >KJB79071 pep chromosome:Graimondii2_0_v6:13:2505102:2509240:-1 gene:B456_013G033000 transcript:KJB79071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPSSWPCVVLIALLCSLFLLGLASSNVYIVYMGERHTDEPNLLEDSHHQILSDILGSKESAKESILYSYKHGFSGFAAVLSQSQAKLIADVPGVVHVVPNRILNLHTTRSWDFLQVKPQIVDGILSEGHSGLGTIIGVMDTGIWPESESFRDDGMGKFPSRWKGICQEGNRFNRSHCNRKIIGARWYIKGYEAEFGKLSPSDGVEFLSPRDAVGHGTHTSSTASGAEVKNANFRGLAQGIARGGAPSSWLAIYKVCWATGGCSSADLLAAIDDAIFDGVDIISASLGSPPPLSTYVDDALAIGSFHAVARGISVVCSAGNTGPYPQTVINTAPWVMTVAASTIDRDFPAVITLGNNQTVVGQSFYTGRGLNKFHPIVYGADIAATNIDGTSAGSCDLETLNATLARGKVILCFQSRWQRSAAIASKSVLELKGAGVIFAQFPTKDVSCPWSFPCVQVDFEAGTSLLTYMAASRKPVVKFSFSKTVIGQQLAPEIAYFSSRGPSSLSPSVLKPDIAAPGVDILASWSPAASSKLLNSPQTKASPFNFKLDSGTSMACPHISGIVALLKGIHPKWSPAAIKSALVTTASMKDEYGQNTVAEGAPHKQADPFDYGGGNVDPNKALDPGLIYDIKPSDYVCFLYAMGYNSTAIRLMTRVHTPCHKSVKFLRNLNLPSITLPELKQRMTVSRTVTNVGPVNSIYVARIQAPAGIDVSVEPWILTFNSTTKKLKFKVTFCSQLKVQGRYSFGNLYWEDGIHVVRIPVVVRIVINNHLYSET >KJB79663 pep chromosome:Graimondii2_0_v6:13:6672472:6677354:1 gene:B456_013G061400 transcript:KJB79663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENPQQRSHLKRQFFEDQHSNKPPAQKRVRFPKGKKVKPGEEAPNRVDIEDGSGDLKDPCLAAKERAKRRSQITTELFAEDGRGMLNDVSAAEISYEDNENFVDDGVQIEPFNLSKEREEGYFDADGNFVEYVADKEIKDAWLDSVEVDIKYTGKTSVTTKGEDNEVAAQDLSTQDVGIIKRRIANVLEPGETVLQALRRLKGASNKRKEKMSAETQLVFDQLTEDAIKLMENGDYNVYHEKQEVFQREAEGYEKLALARDKSLSANAGLDNSYPILGNDVLTDANNHGATSSVLTDLAVGTSNSDLTSAEASNNAAESYDIFADDEDDEKPSSEPNSTAAVQSSSEAVNTSSESICPK >KJB79662 pep chromosome:Graimondii2_0_v6:13:6672549:6676294:1 gene:B456_013G061400 transcript:KJB79662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENPQQRSHLKRQFFEDQHSNKPPAQKRVRFPKGKKVKPGEEAPNRVDIEDGSGDLKDPCLAAKERAKRRSQITTELFAEDGRGMLNDVSAAEISYEDNENFVDDGVQIEPFNLSKEREEGYFDADGNFVEYVADKEIKDAWLDSVEVDIKYTGKTSVTTKGEDNEVAAQDLSTQDVGIIKRRIANVLEPGETVLQALRRLKGASNKRKEKMSAETQLVFDQLTEDAIKLMENGDYNVYHEKQEVFQREAEGYEKLALARDKSLSANAGLDNSYPILGNDVLTDANNHGATSSVLTDLAVGTSNSDLTSAEASNNAAESYDIFADDEDDEKPSSEPNSTAAVQSSSEAVNTSSESIWRCPK >KJB79665 pep chromosome:Graimondii2_0_v6:13:6672448:6677451:1 gene:B456_013G061400 transcript:KJB79665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENPQQRSHLKRQFFEDQHSNKPPAQKRVRFPKGKKVKPGEEAPNRVDIEDGSGDLKDPCLAAKERAKRRSQITTELFAEDGRGMLNDVSAAEISYEDNENFVDDGVQIEPFNLSKEREEGYFDADGNFVEYVADKEIKDAWLDSVEVDIKYTGKTSVTTKGEDNEVAAQDLSTQDVGIIKRRIANVLEPGETVLQALRRLKGASNKRKEKMSAETQLVFDQLTEDAIKLMENGDYNVYHEKQEVFQREAEGYEKLALARDKSLSANAGLDNSYPILGNDVLTDANNHGATSSVLTDLAVGTSNSDLTSAEASNNAAESYDIFADDEDDEKPSSEPNSTAAVQSSSEAVNTSSETGDVQNDYVYDESSGYYYSSTLGYYYDPSTGLFCSAATGQWYSFNETTGTYDEVKEVAS >KJB79661 pep chromosome:Graimondii2_0_v6:13:6672472:6677354:1 gene:B456_013G061400 transcript:KJB79661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENPQQRSHLKRQFFEDQHSNKPPAQKRVRFPKGKKVKPGEEAPNRVDIEDGSGDLKDPCLAAKERAKRRSQITTELFAEDGRGMLNDVSAAEISYEDNENFVDDGVQIEPFNLSKEREEGYFDADGNFVEYVADKEIKDAWLDSVEVDIKYTGKTSVTTKGEDNEVAAQDLSTQDVGIIKRRIANVLEPGETVLQALRRLKGASNKRKEKMSAETQLVFDQLTEDAIKLMENGDYNVYHEKQEVFQREAEGYEKLALARDKSLSANAGLDNSYPILGNDVLTDANNHGATSSVLTDLAVGTSNSDLTSAEASNNAAESYDIFADDEDDEKPSSEPNSTAAVQSSSEAVNTSSETGDVQNDYVYDESSGYYYSSTLGYYYDPSTGLFCSAATGQWYSFNETTGTYDEVKEVAS >KJB79664 pep chromosome:Graimondii2_0_v6:13:6672472:6677354:1 gene:B456_013G061400 transcript:KJB79664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENPQQRSHLKRQFFEDQHSNKPPAQKRVRFPKGKKVKPGEEAPNRVDIEDGSGDLKDPCLAAKERAKRRSQITTELFAEDGRGMLNDVSAAEISYEDNENFVDDGVQIEPFNLSKEREEGYFDADGNFVEYVADKEIKDAWLDSVEVDIKYTGKTSVTTKGEDNEVAAQDLSTQDVGIIKRRIANVLEPGETVLQALRRLKGASNKRKEKMSAETQLVFDQLTEDAIKLMENGDYNVYHEKQEVFQREAEGYEKLALARDKSLSANAGLDNSYPILGNDVLTDANNHGATSSVLTDLAVGTSNSDLTSAEASNNAAESYDIFADDEDDEKPSSEPNSTAAVQSSSEAVNTSSETGDVQNDYVYDESSGYYYSSTLGYYYDPSTGLFCSAATGQWYSFNETTGTYDEVKEVAS >KJB81817 pep chromosome:Graimondii2_0_v6:13:44396341:44403194:1 gene:B456_013G163100 transcript:KJB81817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSKIKSVDFYRKIPRDLTEASLSGAGLSIIAALAIVFLFGMELNDYLTVSTTTSIVVDNSSDGDFLRIDFNISFPALSCEFASVDVSDVLGTNRLNVTKTIRKFSIDPHLRPTGAEFHSGPLPHYIKHGDEVDEETNEGSVALNSASFDKLSHQYQILVVNFYAPWCYWSTRLKASWEKAAKIIKQRYDPEVDGRILLAKVDCTEEVDLCRRNHIQGYPSIRIFRKGSDVRETHGHHEHESYYGDRDTDTLVKTMEDLVDSIPQEDKKIALEDKSNVTKRPAPLSGGCRIEGYVRVKKVPGNLIISARSGSHSFDASKMNMSHVISHLSFGKTVSPQVLNDVKRLIPYLGRSHDKLNGRSFINHRDLDANVTIEHYLQVVKTEVVTTKSSHDHRIIEEYEYTAHSSLAQSVYIPVAKFHFELSPMQVLVTEDSKSFSHFLTNVCAIIGGVFTVAGILDSIFHNTIRLMKKVEIGKNY >KJB81820 pep chromosome:Graimondii2_0_v6:13:44396417:44403104:1 gene:B456_013G163100 transcript:KJB81820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSKIKSVDFYRKIPRDLTEASLSGAGLSIIAALAIVFLFGMELNDYLTVSTTTSIVVDNSSDGDFLRIDFNISFPALSCEFASVDVSDVLGTNRLNVTKTIRKFSIDPHLRPTGAEFHSGPLPHYIKHGDEVDEETNEGSVALNSASFDKLSHQYQILVVNFYAPWCYWSTRLKASWEKAAKIIKQRYDPEVDGRILLAKVDCTEEVDLCRRNHIQGYPSIRIFRKGSDVRETHGHHEHESYYGDRDTDTLVKTMEDLVDSIPQEDKKIALEDKSNVTKRPAPLSGGCRIEGYVRVKKVPGNLIISARSGSHSFDASKMNMSHVISHLSFGKTVSPQVLNDVKRLIPYLGRSHDKLNGRSFINHRDLDANVTIEHYLQVVKTEVVTTKSSHDHRIIEEYEYTAHSSLAQSVYIPVAKFHFELSPMQVLVTEDSKSFSHFLTNVCAIIGGVFTVSILLISPLEHHFLFSFRNLPWISKIFSINCRLLGYWIQFFIIQLG >KJB81818 pep chromosome:Graimondii2_0_v6:13:44396484:44402828:1 gene:B456_013G163100 transcript:KJB81818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSKIKSVDFYRKIPRDLTEASLSGAGLSIIAALAIVFLFGMELNDYLTVSTTTSIVVDNSSDGDFLRIDFNISFPALSCEFASVDVSDVLGTNRLNVTKTIRKFSIDPHLRPTGAEFHSGPLPHYIKHGDEVDEETNEGSVALNSASFDKLSHQYQILVVNFYAPWCYWSTRLKASWEKAAKIIKQRYDPEVDGRILLAKVDCTEEVDLCRRNHIQGYPSIRIFRKGSDVRETHGHHEHESYYGDRDTDTLVKTMEDLVDSIPQEDKKIALEDKSNVTKRPAPLSGGCRIEGYVRVKKVPGNLIISARSGSHSFDASKMNMSHVISHLSFGKTVSPQVLNDVKRLIPYLGRSHDKLNGRSFINHRDLDANVTIEHYLQVVKTEVVTTKSSHDHRIIEEYEYTAHSSLAQSVYIPVAKFHFELSPMQVLVTEDSKSFSHFLTNVCAIIGGVFT >KJB81819 pep chromosome:Graimondii2_0_v6:13:44396417:44403104:1 gene:B456_013G163100 transcript:KJB81819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSKIKSVDFYRKIPRDLTEASLSGAGLSIIAALAIVFLFGMELNDYLTVSTTTSIVVDNSSDGDFLRIDFNISFPALSCEFASVDVSDVLGTNRLNVTKTIRKFSIDPHLRPTGAEFHSGPLPHYIKHGDEVDEETNEGSVALNSASFDKLSHQYQILVVNFYAPWCYWSTRLKASWEKAAKIIKQRYDPEVDGRILLAKVDCTEEVDLCRRNHIQGYPSIRIFRKGSDVRETHGHHEHESYYGDRDTDTLVKTMEDLVDSIPQEDKKIALEDKSNVTKRPAPLSGGCRIEGYVRVPGNLIISARSGSHSFDASKMNMSHVISHLSFGKTVSPQVLNDVKRLIPYLGRSHDKLNGRSFINHRDLDANVTIEHYLQVVKTEVVTTKSSHDHRIIEEYEYTAHSSLAQSVYIPVAKFHFELSPMQVLVTEDSKSFSHFLTNVCAIIGGVFTVAGILDSIFHNTIRLMKKVEIGKNY >KJB82300 pep chromosome:Graimondii2_0_v6:13:48834650:48838005:1 gene:B456_013G187700 transcript:KJB82300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFRTFLKSLLVTPRKIQKLPPLKKKGKIFSSFSPNFASPRTLNPSLSTMSILPSSAIIPSSSSQFTYQTSANSSYFPLPFHLQQQAQPYAPSPQPVPVAPAPVYPAPVATVTGVYSLPQYHQAQQLFQRDAQIVTPEALESVKAALASCETEHKAETKKKAIPRKAAGQSWEDPTLAEWPENDFRLFCGDLGNEVNDDVLSKAFARFPSLTWPEFLYEAHRAVVCLCLRLLETNEQAKQRAMGLLALLTLLTSQLHLRR >KJB82301 pep chromosome:Graimondii2_0_v6:13:48834650:48838084:1 gene:B456_013G187700 transcript:KJB82301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFRTFLKSLLVTPRKIQKLPPLKKKGKIFSSFSPNFASPRTLNPSLSTMSILPSSAIIPSSSSQFTYQTSANSSYFPLPFHLQQQAQPYAPSPQPVPVAPAPVYPAPVATVTGVYSLPQYHQAQQLFQRDAQIVTPEALESVKAALASCETEHKAETKKKAIPRKAAGQSWEDPTLAEWPENDFRLFCGDLGNEVNDDVLSKAFARFPSLTWPELLETNEQAKQRAMGLLALLTLLTSQLHLRR >KJB82299 pep chromosome:Graimondii2_0_v6:13:48834650:48836593:1 gene:B456_013G187700 transcript:KJB82299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFRTFLKSLLVTPRKIQKLPPLKKKGKIFSSFSPNFASPRTLNPSLSTMSILPSSAIIPSSSSQFTYQTSANSSYFPLPFHLQQQAQPYAPSPQPVPVAPAPVYPAPVATVTGVYSLPQYHQAQQLFQRDAQIVTPEALESVKAALASCETEHKAETKKKAIPRKAAGQSWEDPTLAEWPENDFRLFCGDLGNEVNDDVLSKAFARFPSLTWPE >KJB83997 pep chromosome:Graimondii2_0_v6:13:51900322:51901583:1 gene:B456_013G207700 transcript:KJB83997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWEKDPLVQKVCELYDQISSLESLKPSKDVNMLFTQLVLTCMPPSPIDVTKLCKRVQEIRFKLIRLCGEAEGLLESHFSTILGSYENPLHHLNIFPYYSNYLKLSLLEFDILKKHCSDYVPIKVAFVGSGPLPLTSIVLASFHLTTTSFHNYDIDPSANSKALQLVSSDPDLSERMFFHTTDIMDVTYALKDYDVVFLAALVGMDKDAKVRVIDHLAKYMAPGAVLMLRSAHGARAFLYPVVDPCDLTGFEVLSVFHPTDEVINSVVIARKVPTTKHTVENPKLPNKCSDIDMFSPLLNHVNLIEELDIEDQLS >KJB83611 pep chromosome:Graimondii2_0_v6:13:57169978:57175521:-1 gene:B456_013G254900 transcript:KJB83611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRISRTFGDTVQKEAVPAVSADVIFASTSSRFPSYRIGSNNQIIDAKENPKVLSMKEVVARETALLLEQQQRLSVRDLASKFEKGLAAAAKLSEEAKLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVVEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASQDAKKLVDEERAFARAEIENARAAVQRVEEALQEHEKMSRATGKQDLEELMKEVQEARRIIMLHQPSKVMDMEHELCALRIQLAEKSKRSLLLQKELARSKGVKDNLSNLYELDGAETLGSYLRIKPCSDIAPELSKCSIQWYRVSSEGGKKELISGACKSVYAPEPFDVGRSLQAEIIYDGQLIKLTTTGAIDPAAGLGNYVEALVRKHDVEFNVIVTQMNGVDQPSESIHVLHVGKMRMKLRKGKTAIAKEYYSSAMQVPSLVNSH >KJB83602 pep chromosome:Graimondii2_0_v6:13:57168972:57175627:-1 gene:B456_013G254900 transcript:KJB83602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRISRTFGDTVQKEAVPAVSADVIFASTSSRFPSYRIGSNNQIIDAKENPKVLSMKEVVARETALLLEQQQRLSVRDLASKFEKGLAAAAKLSEEAKLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVVEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASQDAKKLVDEERAFARAEIENARAAVQRVEEALQEHEKMSRATGKQDLEELMKEVQEARRIIMLHQPSKVMDMEHELCALRIQLAEKSKRSLLLQKELARSKGVKDNLSNLYELDGAETLGSYLRIKPCSDIAPELSKCSIQWYRVSSEGGKKELISGACKSVYAPEPFDVGRSLQAEIIYDGQLIKLTTTGAIDPAAGLGNYVEALVRKHDVEFNVIVTQMNGVDQPSESIHVLHVGKMRMKLRKGKTAIAKEYYSSAMQLCGVRGGGNAATQALFWLAKKGFSVVLAFESERDRNAAIMLARRFAFDCNIMLAGPDDRTSLET >KJB83609 pep chromosome:Graimondii2_0_v6:13:57168972:57176158:-1 gene:B456_013G254900 transcript:KJB83609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRISRTFGDTVQKEAVPAVSADVIFASTSSRFPSYRIGSNNQIIDAKENPKVLSMKEVVARETALLLEQQQRLSVRDLASKFEKGLAAAAKLSEEAKLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVVEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASQDAKKLVDEERAFARAEIENARAAVQRVEEALQEHEKMSRATGKQDLEELMKEVQEARRIIMLHQPSKVMDMEHELCALRIQLAEKSKRSLLLQKELARSKGVKDNLSNLYELDGAETLGSYLRIKPCSDIAPELSKCSIQWYRVSSEGGKKELISGACKSVYAPEPFDVGRSLQAEIIYDGQLIKLTTTGAIDPAAGLGNYVEALVRKHDVEFNVIVTQMNGVDQPSESIHVLHVGKMRMKLRKGKTAIAKEYYSSAMQLCGVRGGGNAATQALFWLAKKGFSVVLAFESERDRNAAIMLARRFAFDCNIMLAGPDDRTSLET >KJB83607 pep chromosome:Graimondii2_0_v6:13:57168803:57176226:-1 gene:B456_013G254900 transcript:KJB83607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRISRTFGDTVQKEAVPAVSADVIFASTSSRFPSYRIGSNNQIIDAKENPKVLSMKEVVARETALLLEQQQRLSVRDLASKFEKGLAAAAKLSEEAKLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVVEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASQDAKKLVDEERAFARAEIENARAAVQRVEEALQEHEKMSRATGKQDLEELMKEVQEARRIIMLHQPSKVMDMEHELCALRIQLAEKSKRSLLLQKELARSKGVKDNLSNLYELDGAETLGSYLRIKPCSDIAPELSKCSIQWYRVSSEGGKKELISGACKSVYAPEPFDVGRSLQAEIIYDGQLIKLTTTGAIDPAAGLGNYVEALVRKHDVEFNVIVTQMNGVDQPSESIHVLHVGKMRMKLRKGKTAIAKEYYSSAMQLCGVRGGGNAATQALFWLAKKGFSVVLAFESERDRNAAIMLARRFAFDCNIMLAGPDDRTSLET >KJB83606 pep chromosome:Graimondii2_0_v6:13:57168856:57176226:-1 gene:B456_013G254900 transcript:KJB83606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRISRTFGDTVQKEAVPAVSADVIFASTSSRFPSYRIGSNNQIIDAKENPKVLSMKEVVARETALLLEQQQRLSVRDLASKFEKGLAAAAKLSEEAKLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVVEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASQDAKKLVDEERAFARAEIENARAAVQRVEEALQEHEKMSRATGKQDLEELMKEVQEARRIIMLHQPSKVMDMEHELCALRIQLAEKSKRSLLLQKELARSKGVKDNLSNLYELDGAETLGSYLRIKPCSDIAPELSKCSIQWYRVSSEGGKKELISGACKSVYAPEPFDVGRSLQAEIIYDGQLIKLTTTGAIDPAAGLGNYVEALVRKHDVEFNVIVTQMNGVDQPSESIHVLHVGKMRMKLRKGKTAIAKEYYSSAMQLCGVRGGGNAATQALFWLAKKGFSVVLAFESERDRNAAIMLARRFAFDCNIMLAGPDDRTSLET >KJB83603 pep chromosome:Graimondii2_0_v6:13:57169383:57175521:-1 gene:B456_013G254900 transcript:KJB83603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRISRTFGDTVQKEAVPAVSADVIFASTSSRFPSYRIGSNNQIIDAKENPKVLSMKEVVARETALLLEQQQRLSVRDLASKFEKGLAAAAKLSEEAKLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVVEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASQDAKKLVDEERAFARAEIENARAAVQRVEEALQEHEKMSRATGKQDLEELMKEVQEARRIIMLHQPSKVMDMEHELCALRIQLAEKSKRSLLLQKELARSKGVKDNLSNLYELDGAETLGSYLRIKPCSDIAPELSKCSIQWYRVSSEGGKKELISGACKSVYAPEPFDVGRSLQAEIIYDGQLIKLTTTGAIDPAAGLGNYVEALVRKHDVEFNVIVTQMNGVDQPSESIHVLHVGKMRMKLRKGKTAIAKEYYSSAMQLCGVRGGGNAATQALFWLAKKGFSVVLAFESERDRNAAIMLARRFAFDCNIMLAGPDDRTSLET >KJB83610 pep chromosome:Graimondii2_0_v6:13:57168856:57175983:-1 gene:B456_013G254900 transcript:KJB83610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRISRTFGDTVQKEAVPAVSADVIFASTSSRFPSYRIGSNNQIIDAKENPKVLSMKEVVARETALLLEQQQRLSVRDLASKFEKGLAAAAKLSEEAKLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVVEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASQDAKKLVDEERAFARAEIENARAAVQRVEEALQEHEKMSRATGKQDLEELMKEVQEARRIIMLHQPSKVMDMEHELCALRIQLAEKSKRSLLLQKELARSKGVKDNLSNLYELDGAETLGSYLRIKPCSDIAPELSKCSIQWYRVSSEGGKKELISGACKSVYAPEPFDVGRSLQAEIIYDGQLIKLTTTGAIDPAAGLGNYVEALVRKHDVEFNVIVTQMNGVDQPSESIHVLHVGKMRMKLRKGKTAIAKEYYSSAMQLCGVRGGGNAATQALFWLAKKGFSVVLAFESERDRNAAIMLARRFAFDCNIMLAGPDDRTSLET >KJB83605 pep chromosome:Graimondii2_0_v6:13:57168803:57175604:-1 gene:B456_013G254900 transcript:KJB83605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRISRTFGDTVQKEAVPAVSADVIFASTSSRFPSYRIGSNNQIIDAKENPKVLSMKEVVARETALLLEQQQRLSVRDLASKFEKGLAAAAKLSEEAKLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVVEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASQDAKKLVDEERAFARAEIENARAAVQRVEEALQEHEKMSRATGKQDLEELMKEVQEARRIIMLHQPSKVMDMEHELCALRIQLAEKSKRSLLLQKELARSKGVKDNLSNLYELDGAETLGSYLRIKPCSDIAPELSKCSIQWYRVSSEGGKKELISGACKSVYAPEPFDVGRSLQAEIIYDGQLIKLTTTGAIDPAAGLGNYVEALVRKHDVEFNVIVTQMNGVDQPSESIHVLHVGKMRMKLRKGKTAIAKEYYSSAMQLCGVRGGGNAATQALFWLAKKGFSVVLAFESERDRNAAIMLARRFAFDCNIMLAGPDDRTSLET >KJB83600 pep chromosome:Graimondii2_0_v6:13:57169383:57175521:-1 gene:B456_013G254900 transcript:KJB83600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRISRTFGDTVQKEAVPAVSADVIFASTSSRFPSYRIGSNNQIIDAKENPKVLSMKEVVARETALLLEQQQRLSVRDLASKFEKGLAAAAKLSEEAKLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVVEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASQDAKKLVDEERAFARAEIENARAAVQRVEEALQEHEKMSRATGKQDLEELMKEVQEARRIIMLHQPSKVMDMEHELCALRIQLAEKSKRSLLLQKELARSKGVKDNLSNLYELDGAETLGSYLRIKPCSDIAPELSKCSIQWYRVSSEGGKKELISGACKSVYAPEPFDVGRSLQAEIIYDGQLIKLTTTGAIDPAAGLGNYVEALVRKHDVEFNVIVTQMNGVDQPSESIHVLHVGKMRMKLRKGKTAIAKEYYSSAMQLCGVRGGGNAATQALFWLAKKGFSVVLAFESERDRNAAIMLARRFAFDCNIMLAGPDDRTSLET >KJB83612 pep chromosome:Graimondii2_0_v6:13:57170316:57176226:-1 gene:B456_013G254900 transcript:KJB83612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRISRTFGDTVQKEAVPAVSADVIFASTSSRFPSYRIGSNNQIIDAKENPKVLSMKEVVARETALLLEQQQRLSVRDLASKFEKGLAAAAKLSEEAKLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVVEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASQDAKKLVDEERAFARAEIENARAAVQRVEEALQEHEKMSRATGKQDLEELMKEVQEARRIIMLHQPSKVMDMEHELCALRIQLAEKSKRSLLLQKELARSKGVKDNLSNLYELDGAETLGSYLRIKPCSDIAPELSKCSIQWYRVSSEGGKKELISGACKSVYAPEPFDVGRSLQAEIIYDGQLIKLTTTGAIDPAAGLGNYVEALVRKHDVEFNV >KJB83601 pep chromosome:Graimondii2_0_v6:13:57169383:57175521:-1 gene:B456_013G254900 transcript:KJB83601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRISRTFGDTVQKEAVPAVSADVIFASTSSRFPSYRIGSNNQIIDAKENPKVLSMKEVVARETALLLEQQQRLSVRDLASKFEKGLAAAAKLSEEAKLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVVEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASQDAKKLVDEERAFARAEIENARAAVQRVEEALQEHEKMSRATGKQDLEELMKEVQEARRIIMLHQPSKVMDMEHELCALRIQLAEKSKRSLLLQKELARSKGVKDNLSNLYELDGAETLGSYLRIKPCSDIAPELSKCSIQWYRVSSEGGKKELISGACKSVYAPEPFDVGRSLQAEIIYDGQLIKLTTTGAIDPAAGLGNYVEALVRKHDVEFNVIVTQMNGVDQPSESIHVLHVGKMRMKLRKGKTAIAKEYYSSAMQLCGVRGGGNAATQALFWLAKKGFSVVLAFESERDRNAAIMLARRFAFDCNIMLAGPDDRTSLET >KJB83608 pep chromosome:Graimondii2_0_v6:13:57168972:57176226:-1 gene:B456_013G254900 transcript:KJB83608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRISRTFGDTVQKEAVPAVSADVIFASTSSRFPSYRIGSNNQIIDAKENPKVLSMKEVVARETALLLEQQQRLSVRDLASKFEKGLAAAAKLSEEAKLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVVEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASQDAKKLVDEERAFARAEIENARAAVQRVEEALQEHEKMSRATGKQDLEELMKEVQEARRIIMLHQPSKVMDMEHELCALRIQLAEKSKRSLLLQKELARSKGVKDNLSNLYELDGAETLGSYLRIKPCSDIAPELSKCSIQWYRVSSEGGKKELISGACKSVYAPEPFDVGRSLQAEIIYDGQLIKLTTTGAIDPAAGLGNYVEALVRKHDVEFNVIVTQMNGVDQPSESIHVLHVGKMRMKLRKGKTAIAKEYYSSAMQLCGVRGGGNAATQALFWLAKKGFSVVLAFESERDRNAAIMLARRFAFDCNIMLAGPDDRTSLET >KJB83604 pep chromosome:Graimondii2_0_v6:13:57168719:57176226:-1 gene:B456_013G254900 transcript:KJB83604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRISRTFGDTVQKEAVPAVSADVIFASTSSRFPSYRIGSNNQIIDAKENPKVLSMKEVVARETALLLEQQQRLSVRDLASKFEKGLAAAAKLSEEAKLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVVEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASQDAKKLVDEERAFARAEIENARAAVQRVEEALQEHEKMSRATGKQDLEELMKEVQEARRIIMLHQPSKVMDMEHELCALRIQLAEKSKRSLLLQKELARSKGVKDNLSNLYELDGAETLGSYLRIKPCSDIAPELSKCSIQWYRVSSEGGKKELISGACKSVYAPEPFDVGRSLQAEIIYDGQLIKLTTTGAIDPAAGLGNYVEALVRKHDVEFNVIVTQMNGVDQPSESIHVLHVGKMRMKLRKGKTAIAKEYYSSAMQLCGVRGGGNAATQALFWLAKKGFSVVLAFESERDRNAAIMLARRFAFDCNIMLAGPDDRTSLET >KJB83639 pep chromosome:Graimondii2_0_v6:13:57246418:57247501:-1 gene:B456_013G256200 transcript:KJB83639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNPGSPSATATSSSSASSFSSKAHSCIQALSSILSTVPSSVSSSSSLLHDPTVSSQISTLLRDPDSGSGDNNLCRWLYDTFHSPDPELKLVVLRFVPIVAGIYLSRIALRKSLAGFEAILLALYAHETTARDGQPISVNVPDLTQPSIYHEAKSSVKTQATELNLAVISPTLEPHGTMRSSRRARIVGVALELYYSKISQMPVSSKLEFCEFCDIWATGIQNKPSSSSSSKQKTILLPWELLQPSLRILGHCLLGAHKSKKLYEAASMATKSLYERSLHDIDAKAILATSSLLRLEKMALDPKLNVDHTEIDMSLAL >KJB79605 pep chromosome:Graimondii2_0_v6:13:5686983:5689239:1 gene:B456_013G057200 transcript:KJB79605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNYSPAFHRHKSLKQEKNIMLPFSCYSPKLSLYILATCVILLMLLQIRSLHTVLPTSSSPLPSLSPSASWSLFQQWQNLVLNRTLAAASPVNCTQNPDSMNQKLRDSITFLPLKDLRFSNQPLDGHTWFMSSMYDTREEGEVQYQQFPSEPSKGRLLCLKGRDTHDGSWNYYALAWPEALPTNATLMKGLTFVSYNHYNYDNIWHGLSAVMPFVAWHRKNSCEKPTRWVLYHWGELRFKMGTWLNLLMEATFGQAPYIEEFNDVDDDQPVCFEKAVVMRHNEGGMSRESRMEVYDLMRCKARVYCNASLDRRDSDERPDIGMTLLMRSGARSFRNETAVIGVFEKECKKVKGCRLMVAYSNNLTFCQQVKQMSLTDILISPHGAQLTNMFLMDRNSSVMEFYPKGWLKLAGVGQYVYHWIASWSGMRHRGEWRDPNGESCPYSDEDHRCMSFYKNGLIGYNETHFAEWARNVLNDVQTKRFEEEGTKNGTASMKTCDCN >KJB79919 pep chromosome:Graimondii2_0_v6:13:8929847:8930805:1 gene:B456_013G074300 transcript:KJB79919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLICACASASASAAALTQGKQVHCQYVRRIGWRNVVVESALVDLHARSEAIDVAHRIFMWMSDRNLITRSSMIYGFAQNGRGGEALTTFNEMMEGMEPDCISFTGVLYTWSHTGLVDEDDILT >KJB79943 pep chromosome:Graimondii2_0_v6:13:8892791:8893441:1 gene:B456_013G073600 transcript:KJB79943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKSKAWAVAATTVTAAEVSKEKKLCLWSSAKHHHQAAINNLVGSSQRERPSSSAASTCSRRRDREEMRHQSEESLRTIMYLSCWGPN >KJB79944 pep chromosome:Graimondii2_0_v6:13:8892468:8893441:1 gene:B456_013G073600 transcript:KJB79944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKSKAWAVAATTVTAAEVSKEKKLCLWSSAKHHHQAAINNLVGSSQRERPSSSAASTCSRRRDREEMRHQSEESLRTIMYLSCWGPN >KJB81676 pep chromosome:Graimondii2_0_v6:13:43251814:43254871:-1 gene:B456_013G156900 transcript:KJB81676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQVTSPKELISITASSSLFSSRLRSSSKISLKKSFLRTRHRFGGIACSFAPMETAKIKVVGVGGGGNNAVNRMIGSGLQGVDFYAINTDSQALLQSAAENPLQIGELLTRGLGTGGNPLLGEQAAEESRDAIANALKGSDLVFITAGMGGGTGSGAAPVVAQIAKEAGYLTVGVVTYPFSFEGRKRTVQALEAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDDRYNGEIHVTIIATGFSQSFQKTLLTDPKAAKQNDKVAGGHERKGIPLPLKPSSPSTVPSGSSQRRLFF >KJB81675 pep chromosome:Graimondii2_0_v6:13:43251814:43254310:-1 gene:B456_013G156900 transcript:KJB81675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCWGFPHFWTQKLEMVLLGVDFYAINTDSQALLQSAAENPLQIGELLTRGLGTGGNPLLGEQAAEESRDAIANALKGSDLVFITAGMGGGTGSGAAPVVAQIAKEAGYLTVGVVTYPFSFEGRKRTVQALEAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDDRYNGEIHVTIIATGFSQSFQKTLLTDPKAAKQNDKVAGGHERKGIPLPLKPSSPSTVPSGSSQRRLFF >KJB83201 pep chromosome:Graimondii2_0_v6:13:55323868:55325393:1 gene:B456_013G235100 transcript:KJB83201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGSFTCKADCSCNLGSSFWSTAVEATDYAPGATVPGETTSTSLTWGGGNLVAVGGKVALLPIPLGTVDFLVHHIYAFTIHVTVLILLKGVLFARSSRFIPDKVNLDFCFPCDRTERGGTCQVSAWDHVFLGLFWMYNSISVVIFHFNWKMQSDSSITINWWLRDFLWAQASQVIQSYGSSLSAYDLLFLGAHFVWAFNLMFLFSGRGYWP >KJB80816 pep chromosome:Graimondii2_0_v6:13:28872930:28877647:-1 gene:B456_013G116600 transcript:KJB80816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKTELKLGELKRENLRKSLEQANGLASSVLLFTLQWKELESHFDSIQQKIEERVAVFELREKELETTMRVSRERQEEIGLKEIELSLLSKKVDECNVELTFKEEEIDRKRKLLEECSSEFKSKNQELDLVRKWVEDCSKELSLKNKQLCSVQKLISECCEKLEGKEKQLILVDEQIRKSSNGTDVLKEELECLQNSIKECSNQLDMKRTELVQSQEMVEDQCKQLNENEKKLDSIKSLIQDYEEELEAKREKYEALDKSICFHAAKLDYKEKKLGSINEKIRHRLQELHSRDDELGSLQTLILRREKQLESTKEELKSVEARVKQCSKDIELKNQEFNAIQMSTEELSQELHLKEKKLSLVQISIEGCSKQLEAKEEELTSIKNSILECTKEFESKQLQLEAIQKSQEELSGTLESKEKQLDLVEKACGERLQEANMKEKHLDLLKRSLEEGLEKLETEKRQFEGRVKEFELRENRFGSVQKAFEQRSKELELKEKKLSNGLHSQVSSKNPSSFFSQAVGIANTESVNSIIPNQIKMENPENFIICRASEALSADLVVGATMDGMDLQGILNKHLDEPDLRKNEVLSALQMSPDPAKFVLDLMLWISSQHKKKGGTGFEESALKISLLMLEQLLQVSPHVQPKVKADALKLASEWKARMKLNADNYIEILGFLQFIAAFGLVSSFNRDEIFKLLGTTAQHQQARNVCRVLGLTDMIPGFIQSLIERKQYIEAVRFVCAFDCKDKCQPKQLLTLFLQDVNKVACQRCKIGKNSPEVRQKATDEQIAALKSVIECIKDCKLESCMPVEVIENYIAELEMQKMNMTFSAPAPAPAVEPIVIPSGPWNQPSPVLAAQRRFQGGIHAFTPGTQPLGQFHGGIYASTLGTQPLGQFRGRIYASTPGAQPQGQFHGGIYATTPGAQPQGLSNKRARTDGPVINSYRPQVATVNPYIRPASPYGLGIPRNQDMAHFGRQPN >KJB80817 pep chromosome:Graimondii2_0_v6:13:28873066:28877603:-1 gene:B456_013G116600 transcript:KJB80817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKTELKLGELKRENLRKSLEQANGLASSVLLFTLQWKELESHFDSIQQKIEERVAVFELREKELETTMRVSRERQEEIGLKEIELSLLSKKVDECNVELTFKEEEIDRKRKLLEECSSEFKSKNQELDLVRKWVEDCSKELSLKNKQLCSVQKLISECCEKLEGKEKQLILVDEQIRKSSNGTDVLKEELECLQNSIKECSNQLDMKRTELVQSQEMVEDQCKQLNENEKKLDSIKSLIQDYEEELEAKREKYEALDKSICFHAAKLDYKEKKLGSINEKIRHRLQELHSRDDELGSLQTLILRREKQLESTKEELKSVEARVKQCSKDIELKNQEFNAIQMSTEELSQELHLKEKKLSLVQISIEGCSKQLEAKEEELTSIKNSILECTKEFESKQLQLEAIQKSQEELSGTLESKEKQLDLVEKACGERLQEANMKEKHLDLLKRSLEEGLEKLETEKRQFEGRVKEFELRENRFGSVQKAFEQRSKELELKEKKLSNGLHSQVSSKNPSSFFSQAVGIANTESVNSIIPNQIKMENPENFIICRASEALSADLVVGATMDGMDLQGILNKHLDEPDLRKNEVLSALQMSPDPAKFVLDLMLWISSQHKKKGGTGFEESALKISLLMLEQLLQVSPHVQPKVKADALKLASEWKARMKLNADNYIEILGFLQFIAAFGLVSSFNRDEIFKLLGTTAQHQQARNVCRVLGLTDMIPGFIQSLIERKQYIEAVRFVCAFDCKDKCQPKQLLTLFLQDVNKVACQRCKIGKNSPEVRQKATDEQIAALKSVIECIKDCKLESCMPVEVIENYIAELEMQKMNMTFSAPAPAPAVEPIVIPSGPWNQPSPVLAAQRRFQGGIHAFTPGTQPLGQFHGGIYASTLGTQPLGQFRGRIYASTPGAQPQGQFHGGIYATTPGAQPQGLSNKRARTDGPVINSYRPQVATVNPYIRPASPYGLGIPRNQDMAHFGRQPN >KJB81182 pep chromosome:Graimondii2_0_v6:13:34733377:34745814:1 gene:B456_013G132500 transcript:KJB81182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MSRFHVGGKVVDKVDLLRKKHAAWRLDVWPFAMLYLLWLTMVVPSIDFVDAAIVLGGLAVTHILVLLFTTWSVDFKCFVQYSKVNNIRLADVCKVTPAKFCGSKEVVPLHIRKQIASSSSAKDVEEIYFDFRKQCFIYSEEEDTFCKLPYPTKETFGYYLKCSGHGSDAKVLAATEKWGRNVFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDSQTLMVHRCGKWVKLSGTDLLPGDVVSIGRSSGQNEEDKSVPADMLILAGSAIVNEAILTGESTPQWKVSIAGRGIEEKLSAKRDKNHMLFGGTKILQHTADKSFPLRTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFAIIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVVGLNDSSELESDMTKVPSRTVEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAIPKKGSGNPVQIVQRHHFASHLKRMAVVVRVQEDFFAFVKGAPETIQDRLIDLPPTYVETYKKYTRQGSRVLALAYKSLPDMTVSEARSMERDTVECGLTFAGFAVFNCPIRADSSTVLSELKNSSHDLVMITGDQALTACHVAGQVNIVSKPALILVAVKNSKGYEWVSPDETERIPYSENEVEALSETHDLCIGGDCFEMLQQTSAVLRVIPFVFARVAPEQKELIMTTFKTVGRLTLMCGDGTNDVGALKQAHVGVALLNAVPPTKSESSSGTSKDENTKALKSKKSKPTVEATGNSEASSKGKVVPRSESSNNATSNRHLNAAEKHRQKLKKMMDELNEEGDGRSAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPTLSAARPHPNIFCSYVFLSLMGQFAMHLLFLISSVKEAEKHMPEECIEPESEFHPNLVNTVSYMVSMMLQVATFAVNYMGHPFNQSIPENKPFLYALGAAAGFFVVITSDLFRDLNDWLSLVPLPVGLRDKLLLWALLMFLCCYAWERLLRWAFPGKIPAWRKRQRVAAASSEKKLV >KJB81176 pep chromosome:Graimondii2_0_v6:13:34733355:34745925:1 gene:B456_013G132500 transcript:KJB81176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MSRFHVGGKVVDKVDLLRKKHAAWRLDVWPFAMLYLLWLTMVVPSIDFVDAAIVLGGLAVTHILVLLFTTWSVDFKCFVQYSKVNNIRLADVCKVTPAKFCGSKEVVPLHIRKQIASSSSAKDVEEIYFDFRKQCFIYSEEEDTFCKLPYPTKETFGYYLKCSGHGSDAKVLAATEKWGRNVFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDSQTLMVHRCGKWVKLSGTDLLPGDVVSIGRSSGQNEEDKSVPADMLILAGSAIVNEAILTGESTPQWKVSIAGRGIEEKLSAKRDKNHMLFGGTKILQHTADKSFPLRTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFAIIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVVGLNDSSELESDMTKVPSRTVEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAIPKKGSGNPVQIVQRHHFASHLKRMAVVVRVQEDFFAFVKGAPETIQDRLIDLPPTYVETYKKYTRQGSRVLALAYKSLPDMTVSEARSMERDTVECGLTFAGFAVFNCPIRADSSTVLSELKNSSHDLVMITGDQALTACHVAGQVNIVSKPALILVAVKNSKGYEWVSPDETERIPYSENEVEALSETHDLCIGGDCFEMLQQTSAVLRVIPFVKVFARVAPEQKELIMTTFKTVGRLTLMCGDGTNDVGALKQAHVGVALLNAVPPTKSESSSGTSKDENTKALKSKKSKPTVEATGNSEASSKGKVVPRSESSNNATSNRHLNAAEKHRQKLKKMMDELNEEGDGRSAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPTLSAARPHPNIFCSYVFLSLMGQFAMHLLFLISSVKEAEKHMPEECIEPESEFHPNLVNTVSYMVSMMLQVATFAVNYMGHPFNQSIPENKPFLYALGAAAGFFVVITSDLFRDLNDWLSLVPLPVGLRDKLLLWALLMFLCCYAWERLLRWAFPGKIPAWRKRQRVAAASSEKKLV >KJB81180 pep chromosome:Graimondii2_0_v6:13:34733377:34745814:1 gene:B456_013G132500 transcript:KJB81180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MSRFHVGGKVVDKVDLLRKKHAAWRLDVWPFAMLYLLWLTMVVPSIDFVDAAIVLGGLAVTHILVLLFTTWSVDFKCFVQYSKVNNIRLADVCKVTPAKFCGSKEVVPLHIRKQIASSSSAKDVEEIYFDFRKQCFIYSEEEDTFCKLPYPTKETFGYYLKCSGHGSDAKVLAATEKWGRNVFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDSQTLMVHRCGKWVKLSGTDLLPGDVVSIGRSSGQNEEDKSVPADMLILAGSAIVNEAILTGESTPQWKVSIAGRGIEEKLSAKRDKNHMLFGGTKILQHTADKSFPLRTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFAIIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVVGLNDSSELESDMTKVPSRTVEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAIPKKGSGNPVQIVQRHHFASHLKRMAVVVRVQEDFFAFVKGAPETIQDRLIDLPPTYVETYKKYTRQGSRVLALAYKSLPDMTVSEARSMERDTVECGLTFAGFAVFNCPIRADSSTVLSELKNSSHDLVMITGDQALTACHVAGQVNIVSKPALILVAVKNSKGYEWVSPDETERIPYSENEVEALSETHDLCIGGDCFEMLQQTSAVLRVIPFVKVFARVAPEQKELIMTTFKTVGRLTLMCGDGTNDVGALKQAHVGVALLNAVPPTKSESSSGTSKDENTKALKSKKSKPTVEATGNSEASSKGKRSIDRS >KJB81179 pep chromosome:Graimondii2_0_v6:13:34733377:34743581:1 gene:B456_013G132500 transcript:KJB81179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MSRFHVGGKVVDKVDLLRKKHAAWRLDVWPFAMLYLLWLTMVVPSIDFVDAAIVLGGLAVTHILVLLFTTWSVDFKCFVQYSKVNNIRLADVCKVTPAKFCGSKEVVPLHIRKQIASSSSAKDVEEIYFDFRKQCFIYSEEEDTFCKLPYPTKETFGYYLKCSGHGSDAKVLAATEKWGRNVFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDSQTLMVHRCGKWVKLSGTDLLPGDVVSIGRSSGQNEEDKSVPADMLILAGSAIVNEAILTGESTPQWKVSIAGRGIEEKLSAKRDKNHMLFGGTKILQHTADKSFPLRTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFAIIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVVGLNDSSELESDMTKVPSRTVEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAIPKKGSGNPVQIVQRHHFASHLKRMAVVVRVQEDFFAFVKGAPETIQDRLIDLPPTYVETYKKYTRQGSRVLALAYKSLPDMTVSEARSMERDTVECGLTFAGFAVFNCPIRADSSTVLSELKNSSHDLVMITGDQALTACHVAGQVNIVSKPALILVAVKNSKGYEWVSPDETERIPYSENEVEALSETHDLCIGGDCFEMLQQTSAVLRVIPFVKVFARVAPEQKELIMTTFKTVGRLTLMCGDGTNDVGALKQVIFYSRSFNWYLILPIYVGYII >KJB81181 pep chromosome:Graimondii2_0_v6:13:34733377:34745814:1 gene:B456_013G132500 transcript:KJB81181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MSRFHVGGKVVDKVDLLRKKHAAWRLDVWPFAMLYLLWLTMVVPSIDFVDAAIVLGGLAVTHILVLLFTTWSVDFKCFVQYSKVNNIRLADVCKVTPAKFCGSKEVVPLHIRKQIASSSSAKDVEEIYFDFRKQCFIYSEEEDTFCKLPYPTKETFGYYLKCSGHGSDAKVLAATEKWGRNVFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDSQTLMVHRCGKWVKLSGTDLLPGDVVSIGRSSGQNEEDKSVPADMLILAGSAIVNEAILTGESTPQWKVSIAGRGIEEKLSAKRDKNHMLFGGTKILQHTADKSFPLRTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFAIIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVVGLNDSSELESDMTKVPSRTVEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAIPKKGSGNPVQIVQRHHFASHLKRMAVVVRVQEDFFAFVKGAPETIQDRLIDLPPTYVETYKKYTRQGSRVLALAYKSLPDMTVSEARSMERDTVECGLTFAGFAVFNCPIRADSSTVLSELKNSSHDLVMITGDQALTACHVAGQVNIVSKPALILVAVKNSKGYEWVSPDETERIPYSENEVEALSETHDLCIGGDCFEMLQQTSAVLRVIPFVKVFARVAPEQKELIMTTFKTVGRLTLMCGDGTNDVGALKQAHVGVALLNAVPPTKSESSSGTSKDENTKALKSKKSKPTVEATGNSEASSKGKVVPRSESSNNATSNRHLNAAEKHRQKLKKMMDELNEEGDGRSAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPTLSAARPHPNIFCSYVFLSLMGQFAMHLLFLISSVKEAEKHMPEECIEPESEFHPNLVNTVSYMVSMMLQVATFAVNYMGHPFNQSIPENKPFLYALGAAAGFFVVITSDLFRDLNDWLSLVPLPVGLRDKLLLWALLMFLCCYAWERLLRWAFPGKIPAWRKRQRVAAASSEKKLV >KJB81178 pep chromosome:Graimondii2_0_v6:13:34733377:34742327:1 gene:B456_013G132500 transcript:KJB81178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MSRFHVGGKVVDKVDLLRKKHAAWRLDVWPFAMLYLLWLTMVVPSIDFVDAAIVLGGLAVTHILVLLFTTWSVDFKCFVQYSKVNNIRLADVCKVTPAKFCGSKEVVPLHIRKQIASSSSAKDVEEIYFDFRKQCFIYSEEEDTFCKLPYPTKETFGYYLKCSGHGSDAKVLAATEKWGRNVFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDSQTLMVHRCGKWVKLSGTDLLPGDVVSIGRSSGQNEEDKSVPADMLILAGSAIVNEAILTGESTPQWKVSIAGRGIEEKLSAKRDKNHMLFGGTKILQHTADKSFPLRTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFAIIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVVGLNDSSELESDMTKVPSRTVEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAIPKKGSGNPVQIVQRHHFASHLKRMAVVVRVQEDFFAFVKGAPETIQDRLIDLPPTYVETYKKYTRQGSRVLALAYKSLPDMTVSEARSMERDTVECGLTFAGFAVFNCPIRADSSTVLSELKNSSHDLVSSIIFRTYLPKCCGVLPLLKMW >KJB81177 pep chromosome:Graimondii2_0_v6:13:34733377:34739865:1 gene:B456_013G132500 transcript:KJB81177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MSRFHVGGKVVDKVDLLRKKHAAWRLDVWPFAMLYLLWLTMVVPSIDFVDAAIVLGGLAVTHILVLLFTTWSVDFKCFVQYSKVNNIRLADVCKVTPAKFCGSKEVVPLHIRKQIASSSSAKDVEEIYFDFRKQCFIYSEEEDTFCKLPYPTKETFGYYLKCSGHGSDAKVLAATEKWGRNVFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDSQTLMVHRCGKWVKLSGTDLLPGDVVSIGRSSGQNEEDKSVPADMLILAGSAIVNEAILTGESTPQWKVSIAGRGIEEKLSAKRDKNHMLFGGTKILQHTADKSFPLRTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFAIIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVVGLNDSSELESDMTKVPSRTVEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAIPKKGSGNPVQIVQRHHFASHLKRMAVVVRVQEDFFAFVKVC >KJB79692 pep chromosome:Graimondii2_0_v6:13:6846206:6849225:1 gene:B456_013G062200 transcript:KJB79692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIRISSLSAIGWGLQGATSGMEGAKEQIVQESKNSTLPSQDEEAAIKKKYGGIIPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSPCAPADGAVGGSAQPEGGTADE >KJB79691 pep chromosome:Graimondii2_0_v6:13:6845944:6849225:1 gene:B456_013G062200 transcript:KJB79691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAKEQIVQESKNSTLPSQDEEAAIKKKYGGIIPKKPPLISKDHERAYFDSADWALGKQQGVEKPKGPLEALRPKLQPTQQQTRYRKSPCAPADGAVGGSAQPEGGTADE >KJB79690 pep chromosome:Graimondii2_0_v6:13:6845775:6849225:1 gene:B456_013G062200 transcript:KJB79690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAKEQIVQESKNSTLPSQDEEAAIKKKYGGIIPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSPCAPADGAVGGSAQPEGGTADE >KJB80689 pep chromosome:Graimondii2_0_v6:13:25077923:25078914:1 gene:B456_013G110600 transcript:KJB80689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGVLAPYRGLGIGTRLLNHVLDLCLKQNIQEIYLHVQTNNDDAINFYKKLGFEITETIKNYYTNIDPPDCFVLTKLITTHLKRTNNS >KJB80734 pep chromosome:Graimondii2_0_v6:13:26930123:26930852:1 gene:B456_013G112700 transcript:KJB80734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKEVKLLKTWSSLFGLRPVWVLKLKCIEYESIDEDLSSKSALLLQLNPIYKKIPVLVHNGKPISESVVILEYINQTWTQNPIMPQHSLEKARQQFWAKFNDEKLLPSIWSVFTKEGKEREEAMEELVENLKLAEEELQGKRFFRGDKIGVADLAFGWLANLMSVFEQVIGFKVIDGRFLLLSSWIQHFLEIPTIKDNWPSHHKLILKYQALYENFHPLK >KJB83153 pep chromosome:Graimondii2_0_v6:13:55042397:55043921:1 gene:B456_013G2320001 transcript:KJB83153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEQHEHDILPVLKLSYNHLPSHLQRCLAFLSLYKKDEIYYSDRVICLWMANGLLERPKQNQEWEDVGKRYLNELLSRCLIQMEQDFWLYFTFKMHDLVHDLALDVSQKECKTVNSETETVDENVRHLLFCDEKLVGVPRVLEEMKNVRTVIIQDASKESKTTHESLINLCLSNFKYLRALELRKSPLMALPNSIGTLKHLRDLDLVGCRSLRELPRSFDKLRSLQSLNLGDTGLKQLPDSVQRLIELRHLEITIKATHLKEIRAGCWTSLQYLELRWCMELECLPEGMQYLKSLRTLVLKHCDSLVSLPRSLKFLTKLEHLYIKFCSSMNLKMEPEEEEDKDLQLSLKTLTLFGSHALRDLPRLLLQGSSSTLQQLRIAFCPDLSALPAWLLNLTSLQKLEIKGCFNLWDLPEGIDRFTNLRELTIDGCPELCKRYRENGGEDWHEIAHIQKVDIYE >KJB80923 pep chromosome:Graimondii2_0_v6:13:30915777:30918482:-1 gene:B456_013G121600 transcript:KJB80923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPSKSLVFTVRRQEAELVVPCEPTPHECKPLSDVDDQEGHRFHVRGFHFHQSNLSMKGQDPAKVIKEALAKALVFYYPFAGRLREGPNRKLIVDCTGEGVLFIEADADVSLVDFGDELYPPFPCAEELLYDVPGSNGLLNSPLLLIQVTRLKCGGFIFAHRFNHTMADGTGLSQFMTAVGEIARGALVPSTPPVWERHLLSARDSPLITYQHEEYSHNTSGPENGTIIPTDNLVCCSFLFRQSHISSLRRFVPHNLRCSTFDILSACLWRCRTKALELDPNEDVRLICIVNARFKFNPPLPLGYYGNALGFPMASSSVGKLTQNPLEYALKLVKQAKTKVTEEYMKSTMDLLVTRGRPNVKLVGSYIVSDLTRAKFKEVDFGWGEAVFMGPETCWELISFYIPSKNKKGEDEIIVPVCLPASTMKSFVKELEDMMKDEPTVHAGI >KJB81438 pep chromosome:Graimondii2_0_v6:13:39961546:39964545:-1 gene:B456_013G145900 transcript:KJB81438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEPMEGNWMSTPAADENEGTKFNGEGGSRKVHQFYFVKFWPYKDPEKDSKLEWSRQQCEQLDQEKKLVVDDKMKEIMSRGENIYSELERKRYRSAVLGAGVEWKTMVVGFLQAAMDKLIITAPSSSKQPAIHSPRTLVTHGSNNLAKEEQLSRKTPSAHQPQFLMSSLSNEIGRAYFWYSQSKNRKKFEQILKEIEEIEDRHDKAKADAAAKGNIWNPLSSKKAIEDQIRLLNKIPDELKPERCEVMEEIKCLENELKALKKEENVVSEKFQRLINRKSDLCDFVLAIRKEEDEANANYNEYVSLIRNVNELARNKDVKALEQLSSKQVDDFMRQWKDSQSLRERYEKTVLWSLHYREMSRDGRIRNDHEQPILENGEIHQLFLLLDVRNKMLH >KJB81437 pep chromosome:Graimondii2_0_v6:13:39961546:39964453:-1 gene:B456_013G145900 transcript:KJB81437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGFLQAAMDKLIITAPSSSKQPAIHSPRTLVTHGSNNLAKEEQLSRKTPSAHQPQFLMSSLSNEIGRAYFWYSQSKNRKKFEQILKEIEEIEDRHDKAKADAAAKGNIWNPLSSKKAIEDQIRLLNKIPDELKPERCEVMEEIKCLENELKALKKEENVVSEKFQRLINRKSDLCDFVLAIRKEEDEANANYNEYVSLIRNVNELARNKDVKALEQLSSKQVDDFMRQWKDSQSLRERYEKTVLWSLHYREMSRDGRIRNDHEQPILENGEIHQLFLLLDVRNKMLH >KJB83824 pep chromosome:Graimondii2_0_v6:13:57912983:57915043:1 gene:B456_013G266400 transcript:KJB83824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQSNGQQSLLQPSPLVRQNSWYSLTLNEVENQLGNLGKPLGSMNLDELLDNVWSTELNPPLGMNNTESISSSSSSLQRQASLTLARALSGKTVDQVWSEIQQGQKRRYGEGIKDQEREATLGETTLEDFLVQAGLFVAETSLDPTMEFDNTRQQSLPHQFGLSPTPSIGTLSDTPMTRQKRDVFQKSIESSLPQQFGLSPTPSIGTLSDTPMTGRKRDAQDAFEKSIERRLRRKIKNRESAARSRARKQAYHNELVNKVSRLEEDNLKLKREKEFDTKSQCETSETRIPAHAKGPDAAHVDNYMREKTS >KJB83819 pep chromosome:Graimondii2_0_v6:13:57912375:57914567:1 gene:B456_013G266400 transcript:KJB83819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQSNGQQSLLQPSPLVRQNSWYSLTLNEVENQLGNLGKPLGSMNLDELLDNVWSTELNPPLGMNNTESISSSSSSLQRQASLTLARALSGKTVDQVWSEIQQGQKRRYGEGIKDQEREATLGETTLEDFLVQAGLFVAETSLDPTMEFDNTRQQSLPHQFGLSPTPSIGTLSDTPMTRQKRDVFQKSIESSLPQQFGLSPTPSIGTLSDTPMTGRKRDAQDAFEKSIERRLRRKIKNRESAARSRARKQAYHNELVNKVSRLEEDNLKLKREKEFDTKSQCETSETRYQLRRTSSASF >KJB83820 pep chromosome:Graimondii2_0_v6:13:57913082:57913861:1 gene:B456_013G266400 transcript:KJB83820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQSNGQQSLLQPSPLVRQNSWYSLTLNEVENQLGNLGKPLGSMNLDELLDNVWSTELNPPLGMNNTESISSSSSSLQRQASLTLARALSGKTVDQVWSEIQQGQKRRYGEGIKDQEREATLGETTLEDFLVQAGLFVAETSLDPTMEFDNTRQQSLPHQFGLSPTPSIGTLSDTPMTRQKRDVFQKSIESSLPQQFGLSPTPSIGTLSDTPMTGRKRDAQDAFEKSIERRLRRKIKNRESAARSRARKQARV >KJB83821 pep chromosome:Graimondii2_0_v6:13:57912375:57914567:1 gene:B456_013G266400 transcript:KJB83821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQSNGQQSLLQPSPLVRQNSWYSLTLNEVENQLGNLGKPLGSMNLDELLDNVWSTELNPPLGMNNTESISSSSSSLQRQASLTLARALSGKTVDQVWSEIQQGQKRRYGEGIKDQEREATLGETTLEDFLVQAGLFVAETSLDPTMEFDNTRQQSLPHQFGLSPTPSIGTLSDTPMTRQKRDVFQKSIESSLPQQFGLSPTPSIGTLSDTPMTGRKRDAQDAFEKSIERRLRRKIKNRESAARSRARKQAWSFGFCIGLPQ >KJB83823 pep chromosome:Graimondii2_0_v6:13:57912363:57914724:1 gene:B456_013G266400 transcript:KJB83823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQSNGQQSLLQPSPLVRQNSWYSLTLNEVENQLGNLGKPLGSMNLDELLDNVWSTELNPPLGMNNTESISSSSSSLQRQASLTLARALSGKTVDQVWSEIQQGQKRRYGEGIKDQEREATLGETTLEDFLVQAGLFVAETSLDPTMEFDNTRQQSLPHQFGLSPTPSIGTLSDTPMTRQKRDVFQKSIESSLPQQFGLSPTPSIGTLSDTPMTGRKRDAQDAFEKSIERRLRRKIKNRESAARSRARKQAYHNELVNKVSRLEEDNLKLKREKEFDTKSQCETSETRYQLRRTSSASF >KJB83822 pep chromosome:Graimondii2_0_v6:13:57912375:57914724:1 gene:B456_013G266400 transcript:KJB83822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQSNGQQSLLQPSPLVRQNSWYSLTLNEVENQLGNLGKPLGSMNLDELLDNVWSTELNPPLGMNNTESISSSSSSLQRQASLTLARALSGKTVDQVWSEIQQGQKRRYGEGIKDQEREATLGETTLEDFLVQAGLFVAETSLDPTMEFDNTRQQSLPHQFGLSPTPSIGTLSDTPMTRQKRDVFQKSIESSLPQQFGLSPTPSIGTLSDTPMTGRKRDAQDAFEKSIERRLRRKIKNRESAARSRARKQAYHNELVNKVSRLEEDNLKLKREKEFDTKSQCETSETRYQLRRTSSASF >KJB79973 pep chromosome:Graimondii2_0_v6:13:9265631:9269082:-1 gene:B456_013G075700 transcript:KJB79973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNGVLYPILGFATCVAFIYMSFGDLKLSSLPKGLSLSFVERNGSHFILDGKPLYVNGWNSYWLMAHSMDENSRGRVSVMLQAGAKMGLTVCRTWAFNDGGYEALQISPGQFDERVFQALDYVIAEASRHGIRLLLSLVNNLQAYGGKTQYVKWAWQEGIGLSSSNDSFFFDPSIRKYFKNYVLTVLTRKNTITGIEYRNDPTIFAWELINEPRCMSDPSGDTLQEWIEEMTGFIKSIDKNHLLTIGLEGFYGPKSPKSAVNPGEWASTLGSDFVRNSNITNVDFTSVHIYPDHWFHDLGFEEKQRYVSKWVRSHIEDCDKELKKPVMFTEYGLSNQNKDFQASQREQFYRTILDIIYKSAKKKGSGVGALVWQFLVEGIEEYNDDFGLVPWETPSIHKLTIQQSCRLAIIQGLNQQTGNLKQLCS >KJB82061 pep chromosome:Graimondii2_0_v6:13:46611298:46614386:-1 gene:B456_013G176500 transcript:KJB82061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDEKNPAVVVDHQHHQYGTFQGVSNYPPPPPPQHHGPAIGFPQPVPPPGLHEPSAPPPQYYPQGYQTVPGYAVAEGRPVRERRLPCCGIGFGWFLFIIGFFLGAIPWYIGLFVLLCARIDYREKPGYIACTIAAVLATIAIILGVTKGIDD >KJB82062 pep chromosome:Graimondii2_0_v6:13:46612082:46614342:-1 gene:B456_013G176500 transcript:KJB82062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDEKNPAVVVDHQHHQYGTFQGVSNYPPPPPPQHHGPAIGFPQPVPPPGLHEPSAPPPQYYPQGYQTVPGYAVAEGRPVRERRLPCCGIGFGWFLFIIGFFLGAIPWYIGLFVLLCARIDYREKPGYIACTIAVSIFSVSLSQFCEGHTALT >KJB82757 pep chromosome:Graimondii2_0_v6:13:52375750:52378020:1 gene:B456_013G211600 transcript:KJB82757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNDIMNGCKSDFDHGDDTDYFNEPLLLRPSYARSTSLLCDELRSFRISLRWCALDHSSSTGKFVSYLTFILLAVFVPIISSLPVWFQDLSIAFPTETTAFNLLVQFPESALAFLGFFTLSCFFRRYGLRQLLFLDALQQDTTFVRRGYTRELDKAFRYLACILLPSFFVEVVHKIIFFSTVKISLPYVSSGFPLNSIAFVLVLGSWVYRTGVFLLVCVLFRLTCELQILRFQGLHKMFEGCGSDGGDIFQEHVRIRKQLAITSHRYRFFIIASLIVITASQFAALLMVLASKSDKNFFNSGDLLVCSTVQLSGFFLCLLGAARITHRAQGMAAVASRWHMSMTCAMAGVEQGKQEDDGFLPVSTCNETDSDDSSDMFISFSAQHPSNFQTRQALVSYLQHNNKGITLFGYALDRGLLHTLFAFEFSLVMWILSKVVVLND >KJB78685 pep chromosome:Graimondii2_0_v6:13:1222048:1223399:-1 gene:B456_013G0177001 transcript:KJB78685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPRTVEEIFKDYSARRSGLVRALTYDVDDFYSQCDPDKENLCLYGHPNEAWEVALPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDCWLLSVSFYFGARLNRNE >KJB78684 pep chromosome:Graimondii2_0_v6:13:1222048:1222990:-1 gene:B456_013G0177001 transcript:KJB78684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFGFDSDVDDFYSQCDPDKENLCLYGHPNEAWEVALPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDCWLLSVSFYFGARLNRNE >KJB82028 pep chromosome:Graimondii2_0_v6:13:45942298:45944549:1 gene:B456_013G172700 transcript:KJB82028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLKSSSIFSSFRLLKPNSFIVIQYFSYSSVTAAPNPPLFNYLVKDLNFDEIQAFSISNRFRKVKSLEKTQSAVNFLQSLGFSNAQIASSARLAPQILFADVENVLRPKIKFFQDLGLMEPHIPKFFSMNSTLLTCSLDKKLIPSVQLVKKVLGNNNEDLFKVFSRCNGFIARDSILKLSRNIEYLESCGIVGSQLSMLLRRQPRIFRLRESTLRNLVSRALDRGFSTDSRMLVHAIHTMNCLSEKTFKKKWELLKSCGFSENDCATMFRKAPGLFRVSEEKLKLGIEFFINAAKFNKDVVASHPFLLMYSLEDRVIPRYRVMQIIKSKRLLKKDRSFLYILDQTEIEFLEFISRFTDDVEELLIAYKAHLFPTSFSSSEEAT >KJB79915 pep chromosome:Graimondii2_0_v6:13:8542660:8544782:1 gene:B456_013G072100 transcript:KJB79915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEVRGNGGSVSLQNPSLFNTPLDPITGALKGCLGSLDGACIEKLLLHCASALENNDVTLAQQVMWVLNNVASTVGDTNQRLTAWFLRALISRASRVCPTSMNFNGGSMFQRRLMTVTELVGYVDLIPWHRFGFCASNGAIFKAVEGYGKVHILDFSITHCMQWPTLIDALAKRPEGPPSLRITVPSCRPQVPPLLNVSKEEVGHRLANFAKFRDVPFEFHVKDDDPCLSNETFATQFESLLTQLTRSQLDLRDDEALVINCQNWLRYLSDETKGNTTTVRDAFLDVIKGLNPRIIVVVDEDCDLSASSLSSRITTCFNYLWIPFDALETFLPKDSRQRLEYESDIGHKIENIVGFEGFRRTERLESGVKLSQRINDAGFVSLPFCEDTINEVKSLLDEHASGWGMKREEDMLVLTWKGHNSVFATAWTELED >KJB83295 pep chromosome:Graimondii2_0_v6:13:55910013:55912393:-1 gene:B456_013G240200 transcript:KJB83295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDAKIEFPVIEFRSSDLERGTNGWYRLCKKVREACEIFGCFEVVYDTISTKVREEMFRLMKELVEVPVERKQKNTSPLPYHGWVGPCTQVSLLYEGFGLGDVSNYDSVKNFAQLMWPEGHPRFCDTIHTMGTQLEVLNKLILLMIIDSYGLSEDSLKINYTTSMRMMKYMTPPPGEYETGLFAHTDKPVSTIICEDQIPGLEIEVNDGQWIKLTNLSPSSFVFMVGDPLKAWSNGRLKSTKHRVMMSGDKDRFSIAAFIMPNEGTIIKTPKELIDEEHPQLFKDFDFMKFFFFAFSNPARHIDSGQLLYDFVALSPPVSNGHMDK >KJB80458 pep chromosome:Graimondii2_0_v6:13:17696349:17697296:-1 gene:B456_013G098700 transcript:KJB80458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLFILFLLPFRPTLLFSLSLSFFIFTFLHCAVQTRVYFHHSTELNVRINSDNWSCSHFRFVSKQCKLTCRFESKKFVDMALFWLNLS >KJB81244 pep chromosome:Graimondii2_0_v6:13:35572176:35584281:1 gene:B456_013G134500 transcript:KJB81244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYYKLVEILIRHVSAGWAELSRLLFSWSFRHSCSTMSFLSNFTASFPGERPPFIPPYSQFNLHSLPPISSRPTIRISPPFQDTFRVSHVGRSSRYSRKRSVCMTLINERLGRDRDIADPSSILAYELVQGKLVKWSSMVVLNRSIPEPPTAVLLHGILGSRKNWGSFVRRLAQEFPTWQFVLVDLRCHGDSASIKTRGPHTVASTALDVLKLIAQLRITPRVLIGHSFGGKVVLSMVEQAAKPLPRPVRVWVLDATPGKVRAGQDGEDHPGKLISFLRKLPKEVSSKRDIVNALIQEGFSKDVAQWVVTNLRPTGAASSSSSSFSWVFDLEGISEMYESYEETNLWKVVENLPRGVHVNFLKAERSLHRWALEDLQRIHAAEESAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSFSFKGVKA >KJB81243 pep chromosome:Graimondii2_0_v6:13:35572176:35584281:1 gene:B456_013G134500 transcript:KJB81243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYYKLVEILIRHVSAGWAELSRLLFSWSFRHSCSTMSFLSNFTASFPGERPPFIPPYSQFNLHSLPPISSRPTIRISPPFQDTFRVSHVGRSSRYSRKRSVCMTLINERLGRDRDIADPSSILAYELVQGKLVKWSSMVVLNRSIPEPPTAVLLHGILGSRKNWGSFVRRLAQEFPTWQFVLVDLRCHGDSASIKTRGPHTVASTALDVLKLIAQLRITPRVLIGHSFGGKVVLSMVEQAAKPLPRPVRVWVLDATPGKVRAGQDGEDHPGKLISFLRKLPKEVSSKRDIVNALIQEGFSKDVAQWVVTNLRPTGAASSSSSSFSWVFDLEGISEMKVVENLPRGVHVNFLKAERSLHRWALEDLQRIHAAEESAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSFSFKGVKA >KJB81245 pep chromosome:Graimondii2_0_v6:13:35572176:35584281:1 gene:B456_013G134500 transcript:KJB81245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGTVKWSSMVVLNRSIPEPPTAVLLHGILGSRKNWGSFVRRLAQEFPTWQFVLVDLRCHGDSASIKTRGPHTVASTALDVLKLIAQLRITPRVLIGHSFGGKVVLSMVEQAAKPLPRPVRVWVLDATPGKVRAGQDGEDHPGKLISFLRKLPKEVSSKRDIVNALIQEGFSKDVAQWVVTNLRPTGAASSSSSSFSWVFDLEGISEMYESYEETNLWKVVENLPRGVHVNFLKAERSLHRWALEDLQRIHAAEESAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSFSFKGVKA >KJB81242 pep chromosome:Graimondii2_0_v6:13:35572176:35584271:1 gene:B456_013G134500 transcript:KJB81242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYYKLVEILIRHVSAGWAELSRLLFSWSFRHSCSTMSFLSNFTASFPGERPPFIPPYSQFNLHSLPPISSRPTIRISPPFQDTFRVSHVGRSSRYSRKRSVCMTLINERLGRDRDIADPSSILAYELVQGKLVKWSSMVVLNRSIPEPPTAVLLHGILGSRKNWGSFVRRLAQEFPTWQFVLVDLRCHGDSASIKTRGPHTVASTALDVLKLIAQLRITPRVLIGHSFGGKVVLSMVEQAAKPLPRPVRVSSKRDIVNALIQEGFSKDVAQWVVTNLRPTGAASSSSSSFSWVFDLEGISEMYESYEETNLWKVVENLPRGVHVNFLKAERSLHRWALEDLQRIHAAEESAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSFSFKGVKA >KJB79182 pep chromosome:Graimondii2_0_v6:13:2931978:2935662:1 gene:B456_013G037000 transcript:KJB79182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MLTYTPPSLHLHLHLHRYPHSTTISSSLHHHPNHPTTTAPDPVLRIHNSKTTSFLHHRQLATTTTNETKNPPQEYENDHHHHRDSEDKPKTPPHILPPQEKQNILEMSLVTKRGPQFPGSIYANSLPSLQSVIQTQNEDDEDDEEVMIRRALDIRRKVTAEVFKAAMKKGKFGITYSTNLVNRLPDFIDHVMIEAAALKRSPEFKDSTFNLRAKLVIDHSNVVPLIRWLKHNNLSYPKIAKLICMSKGNLDSIRRLVEWLKTVYVKGEFLGATLLKSGDDILHRSLEELDEIVDYLESNGVRRDWIGFVISRCPRLLSYSMEEVKTRVDFYLNMGMNENDFGTMVFDYPGVLGYFTLEEMNQKVNYLKEFGLSTEDVGKLLAFRPQLMGCSIEERWKPLVKYLYYLGISRDGMRRMLTIKPMIFCFNFEATIAPKVQFFRDIGVREDAIGNMLVKFPPLLTYSLHKKIRPVVIYLMTKAGVTEKDIGKVIALGPELLGCNIAKTLEVNVKYFLSLGIRVRQLGEMIGDFPKLLRYKVDLLYPKYQYLRRTMVRPLQDVIEFPRFFSYSLEERIIPRHKIMVENRVNFKLRYMLACTDEEFNQRVADKVERRRRFESGRMDDAVSDSQMAEGTLGKTASSSLSS >KJB79183 pep chromosome:Graimondii2_0_v6:13:2932001:2935662:1 gene:B456_013G037000 transcript:KJB79183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MLTYTPPSLHLHLHLHRYPHSTTISSSLHHHPNHPTTTAPDPVLRIHNSKTTSFLHHRQLATTTTNETKNPPQEYENDHHHHRDSEDKPKTPPHILPPQEKQNILEMSLVTKRGPQFPGSIYANSLPSLQSVIQTQNEDDEDDEEVMIRRALDIRRKVTAEVFKAAMKKGKFGITYSTNLVNRLPDFIDHVMIEAAALKRSPEFKDSTFNLRAKLVIDHSNVVPLIRWLKHNNLSYPKIAKLICMSKGNLDSIRRLVEWLKTVYVKGEFLGATLLKSGDDILHRSLEELDEIVDYLESNGVRRDWIGFVISRCPRLLSYSMEEVKTRVDFYLNMGMNENDFGTMVFDYPGVLGYFTLEEMNQKVNYLKEFGLSTEDVGKLLAFRPQLMGCSIEERWKPLVKYLYYLGISRDGMRRMLTIKPMIFCFNFEATIAPKVQFFRDIGVREDAIGNMLVKFPPLLTYSLHKKIRPVVIYLMTKAGVTEKDIGKVIALGPELLGCNIAKTLEVNVKYFLSLGIRVRQLGEMIGDFPKLLRYKVDLLYPKYQYLRRTMVRPLQDVIEFPR >KJB81678 pep chromosome:Graimondii2_0_v6:13:43165980:43167615:1 gene:B456_013G156500 transcript:KJB81678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLGSGLIKKKGFKGSFVEAGSYAKTFAVLSGVHSLVVCFLKRLRGKDDVINAGVAGCCTGLALSFPGAPQALLQSCLTFGAFSFIIEGLNKQQPALAHSFSARNKSAHHKGPRPLALPLSIPIPDELKGAFSSFCKSLVKPNKGRFPTGN >KJB81677 pep chromosome:Graimondii2_0_v6:13:43165603:43167615:1 gene:B456_013G156500 transcript:KJB81677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCKEKIDRHVEKGGKGTHSSFWTKMATSDSPNTSAGSDIETNPNPNSSKAIILTPSNSNSPAVCLFQFAGDSAAGAFMGSIFGYGSGLIKKKGFKGSFVEAGSYAKTFAVLSGVHSLVVCFLKRLRGKDDVINAGVAGCCTGLALSFPGAPQALLQSCLTFGAFSFIIEGLNKQQPALAHSFSARNKSAHHKGPRPLALPLSIPIPDELKGAFSSFCKSLVKPNKGRFPTGN >KJB82213 pep chromosome:Graimondii2_0_v6:13:47831380:47840966:1 gene:B456_013G182000 transcript:KJB82213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQVIKESFFWKIAAENNLNIVELKMTMRSLEPRIRKCYEETPIHLNSNELPEMMLFDGCFIVQLIQGIYPVEDMFQVGRIQTDIRHDLLLLENQLPLKKHSCQHPSPLGIKKHEEFKAKEAAAAVQASTAVVVAAAKPNSQRSWKFIRSATELKDNLINTGKDVQLLCESGVIDNWLGDDKAVTVMFNKLRDSIYMMSEDFYYADIFGRVNEHCKRKWYKWKAALKKNYFNTPRSLISFLAALVLLLLTLLQTIFSVLSYVKQ >KJB81833 pep chromosome:Graimondii2_0_v6:13:44518597:44521054:1 gene:B456_013G164000 transcript:KJB81833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHVSPSLRHVTVFPGKGVREFIKVRIGSRWVSYRMLFYSLLFFTFLLRFIFVFSTVDTIDGDLSKCSTIGCLGKRIGPRILGRMESNVPEVIYQILDEAISEEEVEPKSDIPQTLHDFMADIKQTTPDAKAFALKLRQMVLLLEQKTRKAKIQEYLYRHVASSSIPKHLHCLALKLANEHSNNAAARLQLPSTDLVPTLVDNSYFHFVLASDNVLAASVVAKSLIKNALRPRKFVLHIITDRKTYAPMQAWFSLHPLAPAVIEVKALHQFDWLSKGKVPVLEAMEKDQRVRSKFRGGSSAIVAKNNTEKPQVIAAKLQALSPKYNSLMNHIRIHLPELFPSLNKVVFLDDDIVIQTDLSALWDIDLNGKVNGAVETCRGEDSFVMSKRFKSYLNFSHPLIANFDPNECAWAYGMNIFDLEAWRKTNITLTYYHWLEQVSFIKYL >KJB81832 pep chromosome:Graimondii2_0_v6:13:44518254:44522187:1 gene:B456_013G164000 transcript:KJB81832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHVSPSLRHVTVFPGKGVREFIKVRIGSRWVSYRMLFYSLLFFTFLLRFIFVFSTVDTIDGDLSKCSTIGCLGKRIGPRILGRMESNVPEVIYQILDEAISEEEVEPKSDIPQTLHDFMADIKQTTPDAKAFALKLRQMVLLLEQKTRKAKIQEYLYRHVASSSIPKHLHCLALKLANEHSNNAAARLQLPSTDLVPTLVDNSYFHFVLASDNVLAASVVAKSLIKNALRPRKFVLHIITDRKTYAPMQAWFSLHPLAPAVIEVKALHQFDWLSKGKVPVLEAMEKDQRVRSKFRGGSSAIVAKNNTEKPQVIAAKLQALSPKYNSLMNHIRIHLPELFPSLNKVVFLDDDIVIQTDLSALWDIDLNGKVNGAVETCRGEDSFVMSKRFKSYLNFSHPLIANFDPNECAWAYGMNIFDLEAWRKTNITLTYYHWLEQNLKSDLSLWQLGTLPPGLIAFHGQVHVIDPFWHMLGLGYQDNTTLSDAETAGVIHFNGRAKPWLDIAFPQLRPSWAKYIDFSDKFIKGCHIRVS >KJB82788 pep chromosome:Graimondii2_0_v6:13:52743191:52745131:1 gene:B456_013G213100 transcript:KJB82788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGSALVEVGSGTEWTVYPPLSGITSHSGGAVDSAISSLHLSGVSSILGSINFITTISNMRGPGMTMHRSPLFVWSVLVTAFPLLLSLPVLAGAITMLLTDRNFNTTFSDPAGGGDPILYQHLFRFFGHPEVYIPILSGSGIISHIVLTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTHAYFTAATMIIVVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVPANSGLDIALQDTYYVVTHFHYVLSMGAVFALFAGFHYWMGKIFGQTYPKTLGQIHFWITFFGVNLTFFPMHFLGLPGMPHRIPDYPDAYTGWNALSSFDSYISVVGICRFFVVVTITSSSGNNKRCAPSPWAVEQNSTTPEWMVQTPPAFHTFGELPAIKETKTFENKQN >KJB79701 pep chromosome:Graimondii2_0_v6:13:6916971:6919987:1 gene:B456_013G062800 transcript:KJB79701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALLSLNSSRTGMSEPFDSRDETCKRQKLSSCLCEENPRLIPSLPDEISYQILARIPRINYLNVRLVSRAWKAAIMSSELFSIRKEIGTTEEWLYLLTKIEGDKLLWYGLDPLSRRWQRLPPMPNVAFEDESKKGLASLRMWNVVGSSIKIADVIRGWLGRKDGLDRMRFCGCSIGAVDGCLYVLGGFSKASALRCVWQYNPVLNSWSEVSPMLTGRAYCKTGILNNKLYVVGGVTSRGGLTPLQSAEVFDPHTSIWSQIPSMPFSKAQFLPTAFLADLLKPIATGMTSYKGRLFVPQSLYCWPFFVDVGGEVYDPELNSWAEMPVGMGNGWPAKQAGTKFSVIVGGELYALDPSSSLQNATIKVYDYQDDAWKVVVGEVPIPNFTDLETPYLLAGLLGKLHVITKDSNNNISVLQTDVQNHLTSLPSASSSSPVNSSSLQAEPVESAAAFQINPWRVIAARTAGSSELVSCQALNI >KJB83521 pep chromosome:Graimondii2_0_v6:13:56933960:56941456:1 gene:B456_013G251800 transcript:KJB83521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPALIDPGGASYSTPNGQVSSGPFTLNSFGSFSVSQSKFSQEKMNPSSSFGFGSDFNSGFSDSNPNNPDFSFNTPSTQRPSAGLARPRLVKIRKQLNSHNLKSSGDLGIRLGPGFNPFRPVSGVPGPGGNLEGGVVEEMRNLRIGKGCGLGDQSLVFKLPDELRKLNIEDGSKGNWSNVNDSNVGGYLGEGVETGKLPDELQSKLNIKGGEDFVDGSKKVFVFTGGKGSDSLVGSSTDAVRDRIKNLNIKGSDDSKTNEKTGEKSGHLGGEREKVLSTEMERKLNIKSVSGDSTAQTDKGFSSSQIFGNDVRTEKLDDKKLEEFSNSLHKESVFQAATSGLYPSDIRPGEAAASSTLFSSTTMHFQPGANSFGSTSSKPEKKDGFGFTAKQDTIDTPFVEFKTPHSQANMFFGLNKKLEFSAKKETSKTTKVKKRKGKLKQSTPVQLRHGPDFVSSKTGAWDNADASEYSPMDVSPYQETLADTRCSRESSVVSDESQPVVPNDAIDEDLVTATQHMDIKGSEKDEIKMEGYGDVFNKAVATKAPQEDSVSGAETESFISAVEEIDDNSDIALSSAEKEVTSRLNIERQDSDTQMYFSSTSNSEHISGCDFTFAASSSAQSQLSSPTRYHRKKNSAKISFDTPYSGSNVRIPCASSSAQFSPYPGASVHLSPLQGQKTDLSTLQSNVGGNSMLNKGPEIKHDSNLTGASTAAQESCEKWRLRGNQAYANGDSSKAEEYYTQGISCIPESETSKSCLRALMLCYSNRAATRMSLGRMKDALGDCMMAMAIDPNFSRVQLRAANCYLALGEVENSMRYFRKCLQSGTDVYVDRKIALEASDGLQKTQKVSECMHQSTELLQRRTSDGGESAVELIAEALKISMYSEKLLEMKAEALFILRKYEEVIQLCEKTFDSAEKNSLSFDSNGQIANLDSSSFLKDLTFRVWRCRMIFKSYFHLGKLEEAIAFLEKQEELQSSMYRDGSNSLESSIPLAATVRELLSHKAAGNKSFQSGRHLEAVEHYTAALSGNMESRPFSAICFCNRAAAYKALGQITDAIADCSLAIALNGNYLKAISRRATLYEMVRDYDQAASDIERFISLLMKQMEAKTNQIGTTDRPMNFANDLRQARLWLSEIEEEDKKEVPLDFYLILGVEPSVSAAEIKKAYRKSALRHHPDKAVQSLVRHENGDDRLWKEIREEAYKDADKLFKIIGEAYAILSDPIKRSRYDLEEESRNVQKKRTGATSRAATTDAQSYSVDRSRQHWREVRRSYGFSTSKASETTQSSRFY >KJB80893 pep chromosome:Graimondii2_0_v6:13:30038198:30040067:-1 gene:B456_013G120200 transcript:KJB80893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFEWCVNWVSKMSNPKVFFDILIGKAKAGRVVMELFADVVPKTAENFRALCTGEKGVGQCGKPLHYKGSAFHRIIPSFMCQGGDFTRGNGTGGESIYGMKFADENFKLKHTGPGCLSMANAGPNTNGSQFFICTEKTPWLDGKHVVFGKVVDGYSVVKEMEKVGSESGRTLQPVVVEDCGQVEEN >KJB80891 pep chromosome:Graimondii2_0_v6:13:30038198:30039973:-1 gene:B456_013G120200 transcript:KJB80891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRCVNWVSKMSNPKVFFDILIGKAKAGRVVMELFADVVPKTAENFRALCTGEKGVGQCGKPLHYKGSAFHRIIPSFMCQGGDFTRGNGTGGESIYGMKFADENFKLKHTGPGCLSMANAGPNTNGSQFFICTEKTPWLDGKHVVFGKVVDGYSVVKEMEKVGSESGRTLQPVVVEDCGQVEEN >KJB80890 pep chromosome:Graimondii2_0_v6:13:30038198:30039905:-1 gene:B456_013G120200 transcript:KJB80890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCVNWVSKMSNPKVFFDILIGKAKAGRVVMELFADVVPKTAENFRALCTGEKGVGQCGKPLHYKGSAFHRIIPSFMCQGGDFTRGNGTGGESIYGMKFADENFKLKHTGPGCLSMANAGPNTNGSQFFICTEKTPWLDGKHVVFGKVVDGYSVVKEMEKVGSESGRTLQPVVVEDCGQVEEN >KJB80889 pep chromosome:Graimondii2_0_v6:13:30038198:30040067:-1 gene:B456_013G120200 transcript:KJB80889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPKVFFDILIGKAKAGRVVMELFADVVPKTAENFRALCTGEKGVGQCGKPLHYKGSAFHRIIPSFMCQGGDFTRGNGTGGESIYGMKFADENFKLKHTGPGCLSMANAGPNTNGSQFFICTEKTPWLDGKHVVFGKVVDGYSVVKEMEKVGSESGRTLQPVVVEDCGQVEEN >KJB80892 pep chromosome:Graimondii2_0_v6:13:30038182:30040223:-1 gene:B456_013G120200 transcript:KJB80892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPKVFFDILIGKAKAGRVVMELFADVVPKTAENFRALCTGEKGVGQCGKPLHYKGSAFHRIIPSFMCQGGDFTRGNGTGGESIYGMKFADENFKLKHTGPGCLSMANAGPNTNGSQFFICTEKTPWLDGKHVVFGKVVDGYSVVKEMEKVGSESGRTLQPVVVEDCGQVEEN >KJB81089 pep chromosome:Graimondii2_0_v6:13:33436165:33440864:1 gene:B456_013G128700 transcript:KJB81089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERKHSKGGFFHLFDWNGKSQKKLFPNSAEISEESKRVKPVENILKSPPYMMEGDEYNAASSYRRSADFSSASSVASDEGYGSRAPGVVARLMGLDSLPAPNVLEPSSTTYSGSCSLRVSHYERSSPNLWNESQPMDYTDFSNKLDSLSSNPIEPRFHKVQNRPIERFQTEILPLKSAKPIPITQHKLLSPIKSPGFIPTKNAAYIMEAASKIIEVSPQKTSKHKVPSFGLSSVPLQIWDLKDRIEAAHKVSGHQRPDEPKVSGQQRPDEPIRSTEMSLKGQHKSKSHNKSDYAPTFSISRDSEKGSSNNSRNKGKSVSLAEQARVNNVQRKEGSFSSGNGSSANLKEGNDAKRKQFCRSQADMRKSMENGTSANRTNKVLRRNNQKQNCISNRDYSIPKTSTLDQQGRKTRSINGTVGLNRTINKVIVNSESQSRKTSSSATDPSKEVSMSRRKNLPRKKQPVNEDVLSGETISENTSIKSTQRSIKCNVTTEEHSNQSAEKMKTSMDVVSFTFTSPIARSVPDVPSTSQVVETSCSFDNDPCGNNDLLFSKSSGFSSLGLNIIGGDDLSVLLGKKLQELAYRVESSNCNIIMEETSSRPTSSWQNSVLPSGTLIPTSMRHHKGLQLDLDKDISYSTADFNCSSINHLELDWRRKWQFSEEIEDRNASKSSSKTGTELDHQQSSPLSTLELAVTSECFADDRDGMKQELLDRNQELLGSQPGSESETEFDVALSDFASPKTVGEMDKKLPTRTPNSRDLKESTNWELDYVKMVLKDSELKLMEYALGQTENILTLNGFDQLEHRNITQTQGEEYKKLEQKLVVDCVSEYLEFVVGSCKGWGKLMQNKGRLAEEVYKEIMSLKQMGDIMVDEVVDKDMSRKHGRWVEFETEAFEEGLEIEKTISTCLVDELVFDLLL >KJB81090 pep chromosome:Graimondii2_0_v6:13:33436094:33440864:1 gene:B456_013G128700 transcript:KJB81090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERKHSKGGFFHLFDWNGKSQKKLFPNSAEISEESKRVKPVENILKSPPYMMEGDEYNAASSYRRSADFSSASSVASDEGYGSRAPGVVARLMGLDSLPAPNVLEPSSTTYSGSCSLRVSHYERSSPNLWNESQPMDYTDFSNKLDSLSSNPIEPRFHKVQNRPIERFQTEILPLKSAKPIPITQHKLLSPIKSPGFIPTKNAAYIMEAASKIIEVSPQKTSKHKVPSFGLSSVPLQIWDLKDRIEAAHKVSGHQRPDEPKVSGQQRPDEPIRSTEMSLKGQHKSKSHNKSDYAPTFSISRDSEKGSSNNSRNKGKSVSLAEQARVNNVQRKEGSFSSGNGSSANLKEGNDAKRKQFCRSQADMRKSMENGTSANRTNKVLRRNNQKQNCISNRDYSIPKTSTLDQQGRKTRSINGTVGLNRTINKVIVNSESQSRKTSSSATDPSKEVSMSRRKNLPRKKQPVNEDVLSGETISENTSIKSTQRSIKCNVTTEEHSNQSAEKMKTSMDVVSFTFTSPIARSVPDVPSTSQVVETSCSFDNDPCGNNDLLFSKSSGFSSLGLNIIGGDDLSVLLGKKLQELAYRVESSNCNIIMEETSSRPTSSWQNSVLPSGTLIPTSMRHHKGLQLDLDKDISYSTADFNCSSINHLELDWRRKWQFSEEIEDRNASKSSSKTGTELDHQQSSPLSTLELAVTSECFADDRDGMKQELLDRNQELLGSQPGSESETEFDVALSDFASPKTVGEMDKKLPTRTPNSRDLKESTNWELDYVKMVLKDSELKLMEYALGQTENILTLNGFDQLEHRNITQTQGEEYKKLEQKLVVDCVSEYLEFVVGSCKGWGKLMQNKGRLAEEVYKEIMSLKQMGDIMVDEVVDKDMSRKHGRWVEFETEAFEEGLEIEKTISTCLVDELVFDLLL >KJB81088 pep chromosome:Graimondii2_0_v6:13:33435717:33440864:1 gene:B456_013G128700 transcript:KJB81088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERKHSKGGFFHLFDWNGKSQKKLFPNSAEISEESKRVKPVENILKSPPYMMEGDEYNAASSYRRSADFSSASSVASDEGYGSRAPGVVARLMGLDSLPAPNVLEPSSTTYSGSCSLRVSHYERSSPNLWNESQPMDYTDFSNKLDSLSSNPIEPRFHKVQNRPIERFQTEILPLKSAKPIPITQHKLLSPIKSPGFIPTKNAAYIMEAASKIIEVSPQKTSKHKVPSFGLSSVPLQIWDLKDRIEAAHKVSGHQRPDEPKVSGQQRPDEPIRSTEMSLKGQHKSKSHNKSDYAPTFSISRDSEKGSSNNSRNKGKSVSLAEQARVNNVQRKEGSFSSGNGSSANLKEGNDAKRKQFCRSQADMRKSMENGTSANRTNKVLRRNNQKQNCISNRDYSIPKTSTLDQQGRKTRSINGTVGLNRTINKVIVNSESQSRKTSSSATDPSKEVSMSRRKNLPRKKQPVNEDVLSGETISENTSIKSTQRSIKCNVTTEEHSNQSAEKMKTSMDVVSFTFTSPIARSVPDVPSTSQVVETSCSFDNDPCGNNDLLFSKSSGFSSLGLNIIGGDDLSVLLGKKLQELAYRVESSNCNIIMEETSSRPTSSWQNSVLPSGTLIPTSMRHHKGLQLDLDKDISYSTADFNCSSINHLELDWRRKWQFSEEIEDRNASKSSSKTGTELDHQQSSPLSTLELAVTSECFADDRDGMKQELLDRNQELLGSQPGSESETEFDVALSDFASPKTVGEMDKKLPTRTPNSRDLKESTNWELDYVKMVLKDSELKLMEYALGQTENILTLNGFDQLEHRNITQTQGEEYKKLEQKLVVDCVSEYLEFVVGSCKGWGKLMQNKGRLAEEVYKEIMSLKQMGDIMVDEVVDKDMSRKHGRWVEFETEAFEEGLEIEKTISTCLVDELVFDLLL >KJB81091 pep chromosome:Graimondii2_0_v6:13:33436830:33439911:1 gene:B456_013G128700 transcript:KJB81091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERKHSKGGFFHLFDWNGKSQKKLFPNSAEISEESKRVKPVENILKSPPYMMEGDEYNAASSYRRSADFSSASSVASDEGYGSRAPGVVARLMGLDSLPAPNVLEPSSTTYSGSCSLRVSHYERSSPNLWNESQPMDYTDFSNKLDSLSSNPIEPRFHKVQNRPIERFQTEILPLKSAKPIPITQHKLLSPIKSPGFIPTKNAAYIMEAASKIIEVSPQKTSKHKVPSFGLSSVPLQIWDLKDRIEAAHKVSGHQRPDEPKVSGQQRPDEPIRSTEMSLKGQHKSKSHNKSDYAPTFSISRDSEKGSSNNSRNKGKSVSLAEQARVNNVQRKEGSFSSGNGSSANLKEGNDAKRKQFCRSQADMRKSMENGTSANRTNKVLRRNNQKQNCISNRDYSIPKTSTLDQQGRKTRSINGTVGLNRTINKVIVNSESQSRKTSSSATDPSKEVSMSRRKNLPRKKQPVNEDVLSGETISENTSIKSTQRSIKCNVTTEEHSNQSAEKMKTSMDVVSFTFTSPIARSVPDVPSTSQVVETSCSFDNDPCGNNDLLFSKSSGFSSLGLNIIGGDDLSVLLGKKLQELAYRVESSNCNIIMEETSSRPTSSWQNSVLPSGTLIPTSMRHHKGLQLDLDKDISYSTADFNCSSINHLELDWRRKWQFSEEIEDRNASKSSSKTGTELDHQQSSPLSTLELAVTSECFADDRDGQCLENKYLLCEWQRTSYLRKTE >KJB81093 pep chromosome:Graimondii2_0_v6:13:33435717:33440864:1 gene:B456_013G128700 transcript:KJB81093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERKHSKGGFFHLFDWNGKSQKKLFPNSAEISEESKRVKPVENILKSPPYMMEGDEYNAASSYRRSADFSSASSVASDEGYGSRAPGVVARLMGLDSLPAPNVLEPSSTTYSGSCSLRVSHYERSSPNLWNESQPMDYTDFSNKLDSLSSNPIEPRFHKVQNRPIERFQTEILPLKSAKPIPITQHKLLSPIKSPGFIPTKNAAYIMEAASKIIEVSPQKTSKHKVPSFGLSSVPLQIWDLKDRIEAAHKVSGHQRPDEPKVSGQQRPDEPIRSTEMSLKGQHKSKSHNKSDYAPTFSISRDSEKGSSNNSRNKGKSVSLAEQARVNNVQRKEGSFSSGNGSSANLKEGNDAKRKQFCRSQADMRKSMENGTSANRTNKVLRRNNQKQNCISNRDYSIPKTSTLDQQGRKTRSINGTVGLNRTINKVIVNSESQSRKTSSSATDPSKEVSMSRRKNLPRKKQPVNEDVLSGETISENTSIKSTQRSIKCNVTTEEHSNQSAEKMKTSMDVVSFTFTSPIARSVPDVPSTSQVVETSCSFDNDPCGNNDLLFSKSSGFSSLGLNIIGGDDLSVLLGKKLQELAYRVESSNCNIIMEETSSRPTSSWQNSVLPSGTLIPTSMRHHKGLQLDLDKDISYSTADFNCSSINHLELDWRRKWQFSEEIEDRNASKSSSKTGTELDHQQSSPLSTLELAVTSECFADDRDGMKQELLDRNQELLGSQPGSESETEFDVALSDFASPKTVGEMDKKLPTRTPNSRDLKESTNWELDYVKMVLKDSELKLMEYALGQTENILTLNGFDQLEHRNITQTQGEEYKKLEQKLVVDCVSEYLEFVVGSCKGWGKLMQNKGRLAEEVYKEIMSLKQMGDIMVDEVVDKDMSRKHGRWVEFETEAFEEGLEIEKTISTCLVDELVFDLLL >KJB81092 pep chromosome:Graimondii2_0_v6:13:33436165:33440864:1 gene:B456_013G128700 transcript:KJB81092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERKHSKGGFFHLFDWNGKSQKKLFPNSAEISEESKRVKPVENILKSPPYMMEGDEYNAASSYRRSADFSSASSVASDEGYGSRAPGVVARLMGLDSLPAPNVLEPSSTTYSGSCSLRVSHYERSSPNLWNESQPMDYTDFSNKLDSLSSNPIEPRFHKVQNRPIERFQTEILPLKSAKPIPITQHKLLSPIKSPGFIPTKNAAYIMEAASKIIEVSPQKTSKHKVPSFGLSSVPLQIWDLKDRIEAAHKVSGHQRPDEPKVSGQQRPDEPIRSTEMSLKGQHKSKSHNKSDYAPTFSISRDSEKGSSNNSRNKGKSVSLAEQARVNNVQRKEGSFSSGNGSSANLKEGNDAKRKQFCRSQADMRKSMENGTSANRTNKVLRRNNQKQNCISNRDYSIPKTSTLDQQGRKTRSINGTVGLNRTINKVIVNSESQSRKTSSSATDPSKEVSMSRRKNLPRKKQPVNEDVLSGETISENTSIKSTQRSIKCNVTTEEHSNQSAEKMKTSMDVVSFTFTSPIARSVPDVPSTSQVVETSCSFDNDPCGNNDLLFSKSSGFSSLGLNIIGGDDLSVLLGKKLQELAYRVESSNCNIIMEETSSRPTSSWQNSVLPSGTLIPTSMRHHKGLQLDLDKDISYSTADFNCSSINHLELDWRRKWQFSEEIEDRNASKSSSKTGTELDHQQSSPLSTLELAVTSECFADDRDGMKQELLDRNQELLGSQPGSESETEFDVALSDFASPKTVGEMDKKLPTRTPNSRDLKESTNWELDYVKMVLKDSELKLMEYALGQTENILTLNGFDQLEHRNITQTQGEEYKKLEQKLVVDCVSEYLEFVVGSCKGWGKLMQNKGRLAEEVYKEIMSLKQMGDIMVDEVVDKDMSRKHGRWVEFETEAFEEGLEIEKTISTCLVDELVFDLLL >KJB81789 pep chromosome:Graimondii2_0_v6:13:43867053:43869617:1 gene:B456_013G161300 transcript:KJB81789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNMAATATSTATFRLGNPLSSSSSSSSSVYSSKKLPVNFRKFALQTSPVKSFSVSCTLTRKPVPAAPVSMDSDPNEWQRPDSFGRFGKFGGKYVPETLMSALSELDAAFHSLSKDEKFQEELAGILKDYVGRESPLYFAERLSEHYKRPNGEGPDIYLKREDLNHTGAHKINNAVAQALLAKRLGKKRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHAVIGKETRKQALEKWGGKPDVLVACVGGGSNAMGLFHEFVNDKDVRLIGVEAAGFGVDSGKHAATLTKGDVGVLHGAMSYLLQDEDGQIIEPHSISAGLDYPGVGPEHSFLKDVGRAEYHSVTDEEALEGMKLPSSCF >KJB81788 pep chromosome:Graimondii2_0_v6:13:43867012:43870711:1 gene:B456_013G161300 transcript:KJB81788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNMAATATSTATFRLGNPLSSSSSSSSSVYSSKKLPVNFRKFALQTSPVKSFSVSCTLTRKPVPAAPVSMDSDPNEWQRPDSFGRFGKFGGKYVPETLMSALSELDAAFHSLSKDEKFQEELAGILKDYVGRESPLYFAERLSEHYKRPNGEGPDIYLKREDLNHTGAHKINNAVAQALLAKRLGKKRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHAVIGKETRKQALEKWGGKPDVLVACVGGGSNAMGLFHEFVNDKDVRLIGVEAAGFGVDSGKHAATLTKGDVGVLHGAMSYLLQDEDGQIIEPHSISAGLDYPGVGPEHSFLKDVGRAEYHSVTDEEALEAFKKLSRLEGIIPALETSHALAYLEKLCPTLPNGTKVVVNCSGRGDKDVQTVIKHLRV >KJB79960 pep chromosome:Graimondii2_0_v6:13:9004191:9006736:-1 gene:B456_013G074800 transcript:KJB79960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAQVVNSNGNADGDYQYEIISWFDSVSKNAAMVQTETLGQILHLNYGVEYLKKWFGDMKIQDMDACALESLYASLVPLASHADFEPFIQRIADGDTAPILTQQTITTLSLSSGTTEGRQKLLPFTRHSSQTTLQIYRLAAAYRSRVYPIREGGRILEFIYSSKRLKTKGGLTAGTATTHYYASEEFNIKQEKTKSFTCSPQEVIFGGDYKQSTYCHLLLGLLFWDEVELIASTFAYSIVQAFASFEEQWEEICSDIKDGTLSSRITLPRMRKAVLDIISPNPCLASQIEAICRDSKASNWYGIVPRLWPNAKYVYSIMTGSMQPYLKKLRHYAVSLPLVSADYGSTEGWIGVNLDPCLPPEDATFAVIPTFSYFEFIPLYKKKQDLTSSTVGYIEDEPVPLSQVKLGQEYELVLTTFTGLYRYRLGDVVEVAGFHNGTPKLNFIFRRELILTVNIDKNTENDLQLAVERGSEVLSKYGGELVDFTSHAEVMHQPGHYMIYWEIKGEVEERVLGECCGVMDASFVDHGYVVSRRTNSIGPLELCIVETGTFNKVLDYFIGNGAALGQFKTPRCTNNHILLRILNLCTIKRFHSTAYN >KJB80750 pep chromosome:Graimondii2_0_v6:13:27839874:27842409:-1 gene:B456_013G113800 transcript:KJB80750 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MSWKNELPDELWRKILEIGIKASNFTFKDLCCVSICSRRLHRLSNEDLLWSHLISVDFPNQTSSSSSAKSLYKIRFEREKERKLWAHKRAVLRKESQVSEHLRKLREIEVRLREERNKLNSSLLELSNLHKVSQASVALNVWQPEVVRGRQKQMVEQCVVPVESRVHALDMEVKLCNQQLQVFDKAYRDEKRRLDTAKEELKSMKYHPLRDYTLSSTENQENRKKRKKLKNMHQLFQKPENFLAF >KJB80748 pep chromosome:Graimondii2_0_v6:13:27838715:27842473:-1 gene:B456_013G113800 transcript:KJB80748 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MSWKNELPDELWRKILEIGIKASNFTFKDLCCVSICSRRLHRLSNEDLLWSHLISVDFPNQTSSSSSAKSLYKIRFEREKERKLWAHKRAVLRKESQVSEHLRKLREIEVRLREERNKLNSSLLELSNLHKVSQASVALNVWQPEVVRGRQKQMVEQCVVPVESRVHALDMEVKLCNQQLQVFDKAYRDEKRRLDTAKEELKSMKYHPLRDYTLSSTENQENRKKRKKLKNMHQLS >KJB80749 pep chromosome:Graimondii2_0_v6:13:27839353:27842235:-1 gene:B456_013G113800 transcript:KJB80749 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MSWKNELPDELWRKILEIGIKASNFTFKDLCCVSICSRRLHRLSNEDLLWSHLISVDFPNQTSSSSSAKSLYKIRFEREKERKLWAHKRAVLRKESQVSEHLRKLREIEVRLREERNKLNSSLLELSNLHKVSQASVALNVWQPEVVRGRQKQMVEQCVVPVESRVHALDMEVKLCNQQLQVFDKAYRDEKRRLDTAKEELKSMKYHPLRDYTLSSTENQENRKKRKKLKNMHQLS >KJB82963 pep chromosome:Graimondii2_0_v6:13:54330331:54333308:-1 gene:B456_013G223300 transcript:KJB82963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFKKCLVFLLVLLTAFALQIIFFSPISPDILELPLTSPSASVPPSNNQLQKVIKLGEGLLEGPEDVAVDEDGALYTATRGGWIRRLHRNGSWEDWKKFESNTLLGIATPKRDGLIVCDADKGLLKFTDDGVTVLASHVGGSEIRFADDAIEASDGSIYFSVASTKYGLHDWTLDLLEAKPHGQILKYDPSTQHTSILLDGLYFANGVALSKDEDFLLLCETFRFRCLKYWLKGESKGKTEVFVENLPSGPDNINLAPDGSFWIALIQFLKAWSLCIPPRH >KJB82962 pep chromosome:Graimondii2_0_v6:13:54330311:54333315:-1 gene:B456_013G223300 transcript:KJB82962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFKKCLVFLLVLLTAFALQIIFFSPISPDILELPLTSPSASVPPSNNQLQKVIKLGEGLLEGPEDVAVDEDGALYTATRGGWIRRLHRNGSWEDWKKFESNTLLGIATPKRDGLIVCDADKGLLKFTDDGVTVLASHVGGSEIRFADDAIEASDGSIYFSVASTKYGLHDWTLDLLEAKPHGQILKYDPSTQHTSILLDGLYFANGVALSKDEDFLLLCETFRFRCLKYWLKGESKGKTEVFVENLPSGPDNINLAPDGSFWIALIQIVPQGMEFVHTSKALKLIISNFPKLVELVQSGVKKKATVINVAANGNIIKRFDDPDGTVVSFVTSALVFEDHLYLGSLKNDFVAKFPLK >KJB82141 pep chromosome:Graimondii2_0_v6:13:47201941:47203035:-1 gene:B456_013G178500 transcript:KJB82141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACVNNMGVSPESFQPYGWLSRRMSLSREKELPKSVLADPVEPEIQETPAAGDFEFRLEDPVTNMLPADELFSNGKLLPLHFSSLKQQHHPSSGIKSPETAKPCRGMDMDPYLFSPRAPRCSSRWRELLGLKKLSQNTNQPHKPEPQCTKSLKHFLHRSSKSSSSLNLPLLKDSDSESVSISSSRLSLSSSTSSHEHEHEHEDLPRLSLDSDKPSPNPFAPSRPRMRMVKPRGCETTGGDRTGRSRSRRDASTSRGVSVDSPRMNSSGKIVFQSLERSSSSPSSFNGGPRYKQRGMERSYSANVRITPVLNVPVCSLRGSSKSGYVFGFGNLFSSSPQKTANGANNNSKARQCNNSGIRNKT >KJB78615 pep chromosome:Graimondii2_0_v6:13:670721:675104:1 gene:B456_013G010200 transcript:KJB78615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLEEAKKFHLSLYAQGHQDHNRSSENDFRNSIDMVPTSKFEVQNSSSETSKNELLRAMDSRLASLRSKLVAAFNQAVGETCSNEEVTHLAKFSETFGSNDVKNFLCMILELRDKCEIANPLNDVKSSCTHASVNGSINKTDGNNKISKPASSETPVKYGVSPAKVAQIERQSSTESEESSDSSDENQVSAERSRALLRSASPRRSASPMRRVQIGRTGPRRAPALTVKSLGCFPAREKISYQRDVASDDSEEEGSGHIKKPENNVQRMSVQDAINLFESKQRDQITDAPKRNSLANISLGPTKSALRRWSASMGESAVQCQSQNGSEDPVPEPSDNIIDNDIMERSTEVNLESDTRTRVENINETIDVRFEGMEESSCSPIDIQEVTDIIHEVEANEMSKTAVEWSQQKEEELNQMHEKMMGNQLVSCRKPQSKVRQNLPPEQRGGFYHHYKEKRDQKLRGENAGKHAEKEATLRAMLKNLDDRKARMASKNVNNIGKNDPSTKTQISVKNSQKLLKNPSQPANTRKETSKLSIAKKVSTRASPLPAVRKSWPSTPSPRTAGASPAKTSSATSSAGTTSTRRKPQSAQPAAQLGPKVESSLPQRRNAKATQTDKRGLVGVNEKQQQKLIKSSKPTKTKIAAAPGDSSSMLPAKPSLYNKVTKKSSVVPLESKPFLRKGSGFTSTGHVNKTKNPSQLEDSLKNTENSIDPQESDVIANASVLVSEHQDASSPDHCDDAIQSETQPDGPPKCDLVESVKELAPDFDVGLKIVAGPSQCEEESTISPTAWVEVEEHRDLPNLSDVKTAEITSSADIAPIVSASPRVRHSLSQMLQEESSEGYITDWGNAESLPAMVYQKDAPKGLKKLLKFARKSKGDANITGWSSPSVFSEGEDDAEESKVNNKRNSDNLLRKAALHTKNHGQEKTLLSDGFDRNLNSHELPSAQSGSRTFNTHKLHNGSVSAAASTTKGQFPSLL >KJB78613 pep chromosome:Graimondii2_0_v6:13:669968:675857:1 gene:B456_013G010200 transcript:KJB78613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDAILDYATIQILPSQNRYEAYACRGNNVEKLGVGVLQKILPHLPELNNLYTHGSNSNSNAANYKLQPPNNLKSPTWFTLSTFSRFLYIVGSTDCLDTAKVIEAEMSRLEEAKKFHLSLYAQGHQDHNRSSENDFRNSIDMVPTSKFEVQNSSSETSKNELLRAMDSRLASLRSKLVAAFNQAVGETCSNEEVTHLAKFSETFGSNDVKNFLCMILELRDKCEIANPLNDVKSSCTHASVNGSINKTDGNNKISKPASSETPVKYGVSPAKVAQIERQSSTESEESSDSSDENQVSAERSRALLRSASPRRSASPMRRVQIGRTGPRRAPALTVKSLGCFPAREKISYQRDVASDDSEEEGSGHIKKPENNVQRMSVQDAINLFESKQRDQITDAPKRNSLANISLGPTKSALRRWSASMGESAVQCQSQNGSEDPVPEPSDNIIDNDIMERSTEVNLESDTRTRVENINETIDVRFEGMEESSCSPIDIQEVTDIIHEVEANEMSKTAVEWSQQKEEELNQMHEKMMGNQLVSCRKPQSKVRQNLPPEQRGGFYHHYKEKRDQKLRGENAGKHAEKEATLRAMLKNLDDRKARMASKNVNNIGKNDPSTKTQISVKNSQKLLKNPSQPANTRKETSKLSIAKKVSTRASPLPAVRKSWPSTPSPRTAGASPAKTSSATSSAGTTSTRRKPQSAQPAAQLGPKVESSLPQRRNAKATQTDKRGLVGVNEKQQQKLIKSSKPTKTKIAAAPGDSSSMLPAKPSLYNKVTKKSSVVPLESKPFLRKGSGFTSTGHVNKTKNPSQLEDSLKNTENSIDPQESDVIANASVLVSEHQDASSPDHCDDAIQSETQPDGPPKCDLVESVKELAPDFDVGLKIVAGPSQCEEESTISPTAWVEVEEHRDLPNLSDVKTAEITSSADIAPIVSASPRVRHSLSQMLQEESSEGYITDWGNAESLPAMVYQKDAPKGLKKLLKFARKSKGDANITGWSSPSVFSEGEDDAEESKVNNKRNSDNLLRKAALHTKNHGQEKTLLSDGFDRNLNSHELPSAQSGSRTFNTHKLHNGSVSAAASTTKGTRSFFSLSAFRGSKPS >KJB78614 pep chromosome:Graimondii2_0_v6:13:669968:675887:1 gene:B456_013G010200 transcript:KJB78614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDAILDYATIQILPSQNRYEAYACRGNNVEKLGVGVLQKILPHLPELNNLYTHGSNSNSNAANYKLQPPNNLKSPTWFTLSTFSRFLYIVGSTDCLDTAKVIEAEMSRLEEAKKFHLSLYAQGHQDHNRSSENDFRNSIDMVPTSKFEVQNSSSETSKNELLRAMDSRLASLRSKLVAAFNQAVGETCSNEEVTHLAKFSETFGSNDVKNFLCMILELRDKCEIANPLNDVKSSCTHASVNGSINKTDGNNKISKPASSETPVKYGVSPAKVAQIERQSSTESEESSDSSDENQVSAERSRALLRSASPRRSASPMRRVQIGRTGPRRAPALTVKSLGCFPAREKISYQRDVASDDSEEEGSGHIKKPENNVQRMSVQDAINLFESKQRDQITDAPKRNSLANISLGPTKSALRRWSASMGESAVQCQSQNGSEDPVPEPSDNIIDNDIMERSTEVNLESDTRTRVENINETIDVRFEGMEESSCSPIDIQEVTDIIHEVEANEMSKTAVEWSQQKEEELNQMHEKMMGNQLVSCRKPQSKVRQNLPPEQRGGFYHHYKEKRDQKLRGENAGKHAEKEATLRAMLKNLDDRKARMASKNVNNIGKNDPSTKTQISVKNSQKLLKNPSQPANTRKETSKLSIAKKVSTRASPLPAVRKSWPSTPSPRTAGASPAKTSSATSSAGTTSTRRKPQSAQPAAQLGPKVESSLPQRRNAKATQTDKRGLVGVNEKQQQKLIKSSKPTKTKIAAAPGDSSSMLPAKPSLYNKVTKKSSVVPLESKPFLRKGSGFTSTGHVNKTKNPSQLEDSLKNTENSIDPQESDVIANASVLVSEHQDASSPDHCDDAIQSETQPDGPPKCDLVESVKELAPDFDVGLKIVAGPSQCEEESTISPTAWVEVEEHRDLPNLSDVKTAEITSSADIAPIVSASPRVRHSLSQMLQEESSEGYITDWGNAESLPAMVYQKDAPKGLKKLLKFARKSKGDANITGWSSPSVFSEGEDDAEESKVNNKRNSDNLLRKAALHTKNHGQEKTLLSDGFDRNLNSHELPSAQSGSRTFNTHKLHNGSVSAAASTTKGTRSFFSLSAFRGSKPS >KJB82809 pep chromosome:Graimondii2_0_v6:13:57050166:57051086:1 gene:B456_013G253200 transcript:KJB82809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISLRKANTRLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRIGTSKETRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDQKKKEEEIAKMQEKYGVSTKDK >KJB78557 pep chromosome:Graimondii2_0_v6:13:415179:416674:-1 gene:B456_013G005900 transcript:KJB78557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRERERFYEIGKKIKREADVVSFGGHHHQMGRRHMMGCHGTLNTITPCAACKLLRRRCAEECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVPENQRADAASSLVYEANVRLRDPVYGCMGAISALQQQVQSLQAEINAVRAEIMKHKCREANLIPSSSHLALLSSGAVSIATPPPMPLPQPTTAADYNTISDENVTYTLDNKYYLDN >KJB83297 pep chromosome:Graimondii2_0_v6:13:55938827:55939207:-1 gene:B456_013G240400 transcript:KJB83297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDAKIEFPVIEFRSSDLERGTNGWYRLCKKVREACEIFCCFEVVYGTISTKVREEMFRLMKELVEVPVEMKQKNTSPLPYHGWVGPCAQVSLLYEGFGLGDVSNYDSVKNFAQLMWPEGHPRFW >KJB78572 pep chromosome:Graimondii2_0_v6:13:509666:509984:1 gene:B456_013G0075002 transcript:KJB78572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMDLQAYSPLGSQEGGRDLIHDETVDRIAKKLNKTPGQVLVKWAIQRGTSVIPKSNNPDRIKENIKVFGWELPQEDFQALCNIPDQ >KJB80593 pep chromosome:Graimondii2_0_v6:13:21656411:21660357:1 gene:B456_013G105800 transcript:KJB80593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGGVQMLDDKKEGFFSVCNLGSQWSLEQNNVYPGGLFASVGQMGIGFGVSPDSPNPRDNGGIKAPFSDFFLKYLPSQEEIRVVGVAEGEAASKTKKKVGLKLKIKVSNPSLRRLISGAIAGAVSRTCVAPLETIRTHLMVGSSGSSTLEVFNDIMQTDGWKGLFRGNFVNVIRVAPSKAIELFAFDTVNKQLSPTPGEEPKIPIPSSLVAGACAGVSSTLLTYPLELIKTRLTIEKNMYDGILDAFLKILQKEGPGELYRGLAPSLIGVIPYAATNYFAYDTLRKVYRKVLKDEKIGNIETLLIGSLAGAISSSATFPLEVARKQMQVGALNGRQVYKNVFHALSSILKQEGFHGLYKGLGPSCMKLVPAAGISFMCYEACKRILVDKDEEM >KJB83133 pep chromosome:Graimondii2_0_v6:13:54944430:54950489:1 gene:B456_013G231000 transcript:KJB83133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAEDFVKGNVYPNGVAIITLDRPKALNAMNLDMDVKYKQILEEWESDPKVKCVLVEGSSSRAFCAGMDIKGVVAEIQKDRNTPLVPKVFTAEYSLICKISEYKKPYISFMDGITMGFGIGLSGHGRYRVITERTVLAMPENGIGLFPDVGFSYIAAQTPGGGSVGAYLGMTGKRISTPSDSLFIGLGTHYVPSGNLGSVKEALLASTFSEDPHKDVTSLLAKYSSDPESEAQLKLLLPQITSCFNANKSVKEIIEELKKHQQSTEASVVEWANEALQGLGKGAPFSLFLTHNYFSRVASGYGKQNNEFTMLKGVMKTEYRVALRSSLRNDFAEGVRAVLIDKDQNPKWNPATVDEVDQKEVEAVFEPLGPGIEELKV >KJB83132 pep chromosome:Graimondii2_0_v6:13:54944430:54948407:1 gene:B456_013G231000 transcript:KJB83132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAEDFVKGNVYPNGVAIITLDRPKALNAMNLDMDVKYKQILEEWESDPKVKCVLVEGSSSRAFCAGMDIKGVVAEIQKDRNTPLVPKVFTAEYSLICKISEYKKPYISFMDGITMGFGIGLSGHGRYRVITERTVLAMPENGIGLFPDVGFSYIAAQTPGGGSVGAYLGMTGKRISTPSDSLFIGLGTHYVPSGNLGSVKEALLASTFSEDPHKDVTSLLAKYSSDPESEAQLKLLLPQITSCFNANKSVKEIIEELKKHQQSTEASGKL >KJB79290 pep chromosome:Graimondii2_0_v6:13:3594411:3597082:-1 gene:B456_013G042300 transcript:KJB79290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTNGTDLSSKQEQKQQPPPQQPPQWVANQWMGAMQYPTTAAMVMMQQQQMMMYPHHYMAYNNHFQYQQYQQQQGKQQYQQQQQSYSTKQQQHGSNSDEVKTIWVGDLVHWMDESYLHSCFSHTGEVSSVKIIRNKQTGQSEGYGFVEFYSREAAEKVLQSYNGSLMPNTEQPFRLNWASFSVNERRPDSGSDLSIFVGDLAADVTDTMLHETFSSRFQSVKGAKVVIDSNTGRSKGYGFVRFGDENERSRAMTEMNGVYCSSRPMRIGVATPKKASGYQQQYSSQGTLIFYP >KJB79289 pep chromosome:Graimondii2_0_v6:13:3592515:3597333:-1 gene:B456_013G042300 transcript:KJB79289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTNGTDLSSKQEQKQQPPPQQPPQWVANQWMGAMQYPTTAAMVMMQQQQMMMYPHHYMAYNNHFQYQQYQQQQGKQQYQQQQQSYSTKQQQHGSNSDEVKTIWVGDLVHWMDESYLHSCFSHTGEVSSVKIIRNKQTGQSEGYGFVEFYSREAAEKVLQSYNGSLMPNTEQPFRLNWASFSVNERRPDSGSDLSIFVGDLAADVTDTMLHETFSSRFQSVKGAKVVIDSNTGRSKGYGFVRFGDENERSRAMTEMNGVYCSSRPMRIGVATPKKASGYQQQYSSQAVVLAGGNASNGAVAQGSQYNNDSNNATIFVGGLDSDVSDDDLRQPFSQFGEIISVKIPPGKGCGFVQFVNRKNAEEAIQSLNGTTIGKQTVRLSWGRNVNKQWRADSGNQWNGGYYQGQVYGGYGYGYGYAMPSNQDPSMYAAAAIPGAS >KJB79291 pep chromosome:Graimondii2_0_v6:13:3593277:3597230:-1 gene:B456_013G042300 transcript:KJB79291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTNGTDLSSKQEQKQQPPPQQPPQWVANQWMGAMQYPTTAAMVMMQQQQMMMYPHHYMAYNNHFQYQQYQQQQGKQQYQQQQQSYSTKQQQHGSNSDEVKTIWVGDLVHWMDESYLHSCFSHTGEVSSVKIIRNKQTGQSEGYGFVEFYSREAAEKVLQSYNGSLMPNTEQPFRLNWASFSVNERRPDSGSDLSIFVGDLAADVTDTMLHETFSSRFQSVKGAKVVIDSNTGRSKGYGFVRFGDENERSRAMTEMNGVYCSSRPMRIGVATPKKASGYQQQYSSQAVVLAGGNASNGAVAQGSQYNNDSNNATIFVGGLDSDVSDDDLRQPFSQFGEIISVKIPPGKGCGFVQFVNRKNAEEAIQSLNGTTIGKQTVRLSWGRNVNKQVNLIYAKLDVLTRGNCLMAHCCLAH >KJB79582 pep chromosome:Graimondii2_0_v6:13:5462317:5464237:1 gene:B456_013G055400 transcript:KJB79582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGDPQGDGGEPVLPRASSSTRLRSRPDPFLVVCRCFSVITSLTAILCIAVNVLSAVRSFKNGADVFDGIFRCYAVVIAFFVVLAETEWGFIIKFWKVLEYWAGRGMLQIFVAVMTRAFPDYTERQKDLVLLQNIASYMLLACGVVYVFSGILCIGFLKRSRQQKEITREQAVQDLEVSYESCSKLAFWSTSCLDDFLSMYSFV >KJB79584 pep chromosome:Graimondii2_0_v6:13:5462317:5465121:1 gene:B456_013G055400 transcript:KJB79584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGDPQGDGGEPVLPRASSSTRLRSRPDPFLVVCRCFSVITSLTAILCIAVNVLSAVRSFKNGADVFDGIFRCYAVVIAFFVVLAETEWGFIIKFWKVLEYWAGRGMLQILYVLYCIMTRAFPDYTERQKDLVLLQNIASYMLLACGVVYVFSGILCIGFLKRSRQQKEITREQAVQDLEELERRREELEQLLLAERV >KJB79585 pep chromosome:Graimondii2_0_v6:13:5462317:5465121:1 gene:B456_013G055400 transcript:KJB79585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGDPQGDGGEPVLPRASSSTRLRSRPDPFLVVCRCFSVITSLTAILCIAVNVLSAVRSFKNGADVFDGIFRCYAVVIAFFVVLAETEWGFIIKFWKVLEYWAGRGMLQIFVAVMTRAFPDYTERQKDLVLLQNIASYMLLACGVVYVFSGILCIGFLKRSRQQKEITREQAVQDLERRREELEQLLLAERV >KJB79581 pep chromosome:Graimondii2_0_v6:13:5462278:5465122:1 gene:B456_013G055400 transcript:KJB79581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGDPQGDGGEPVLPRASSSTRLRSRPDPFLVVCRCFSVITSLTAILCIAVNVLSAVRSFKNGADVFDGIFRCYAVVIAFFVVLAETEWGFIIKFWKVLEYWAGRGMLQIFVAVMTRAFPDYTERQKDLVLLQNIASYMLLACGVVYVFSGILCIGFLKRSRQQKEITREQAVQDLEELERRREELEQLLLAERV >KJB79583 pep chromosome:Graimondii2_0_v6:13:5462317:5465121:1 gene:B456_013G055400 transcript:KJB79583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGDPQGDGGEPVLPRASSSTRLRSRPDPFLVVCRCFSVITSLTAILCIAVNVLSAVRSFKNGADVFDGIFRCYAVVIAFFVVLAETEWGFIIKFWKVLEYWAGRGMLQILYVLYCKRQKDLVLLQNIASYMLLACGVVYVFSGILCIGFLKRSRQQKEITREQAVQDLEELERRREELEQLLLAERV >KJB79753 pep chromosome:Graimondii2_0_v6:13:7338305:7346970:1 gene:B456_013G065100 transcript:KJB79753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASQTLGDPSRCGRVLGPSLDKIIKNAAWRKHSHIVSLCKSTLEKLDTLSDTGLSDPKSTLLGISLSDADFVLNPILLALDSNYAKVAEPALECTFKLFSLGIVRGEIDSNKSNPILYKIVESVCKVGGIGEEPVELAVLRVLLSAVQCPCVLIRGDCLLLVVKTCYNVYLGSLSGTIQACDKSVLAQIMLIVFTRAEEDSMDVSIKAVSVNELLEFTDKNLNEGSSLYNCQNFVSEVMNASEGVPDLKLSQPITLSKGEQNEEVEEEEMKEGAESGSAVVSSKIREDGFHVFKNLGKLSMKFSSQENPDDQILLKGKTLSLELLKVIMDKGGSIWGTNERQVLFLNVLKQYLCLSLLKNSALSVMSVFQLQCSIFMSLLTKFRSGLKAEIGILFPMFILRVLENIGGDSQIIIYIFENYDCDVDSPNIFERYSFFLIIVNTTSLSAVQDIFLRHESVQCLVSIIKSMGAWMDQQLKIGDSDLPRSFESDTSSERPSISIVEDGVVPDCELHPEMNFELGLPKYIMIICPITIDFSSVMYFYKGVSLFNRKPSKGIEFLINTKKVGNSPEEVAAFLKNNTAGLSETVIGDYLGEREEFALRVMHAYVDSFNFKSMDFGEAIRFFLCGFRLPGEAQKIDCIMEKFAERYCKCNPDSFTSADTAYVLAYSVIMLNTDAHNNMVKEKMTKSDFIQNNRGIDDGKDLPEEYLGALYDQIVKNEIKMNADSSLPQNKQANSLNKLLGMDGLLNLVNWKQTEEKPLGANGLLIGHIQEQFKAKTGKSESAYHHISDVAILRFMVEVCWGPMLATFSVTLDQSDDRLATTQCLQGFRYAVHVTAVMGMQTQRDAFVTSAAKFTFLHCAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPVDAGVLSVSNSETDEKTSKPVGLQSLKKKGAIQNPAVMAVVRGGSYDSTTVRINSSGLVPPEQINQFIANLNLLDQIENAELSHVFAHSQRLNSEAIVAFVKALCKVSMSELQSPTDPRVFSLTKLIEIAHYNMNRIRLVWSRMWHVLSDFFVSVGLSKNLSVASFVMDSLWHLAMKFLEREELANYNFQNEFLRPFVIIMQKSDSTEIRELIVRCISHMVLSHASNVKSGWRSVFMVFTAAADYEQKNKATTFMDCIKCLIKFTNSRINSDVSLNAIAFLQFCALKLAEGGLGCTGKSWDDGSSVSIIYKDDSDVRNIDDHGSCWVPLLTGLSKLTTDSRPVIRKSSLKVLFNILKDHGHLFSRTFWIGVFSSIVLPIFNDACKRTDMAVKDEQVSPTSKSPHPDGSTWDTEILEVTARCLVDLFICYY >KJB79751 pep chromosome:Graimondii2_0_v6:13:7338305:7346970:1 gene:B456_013G065100 transcript:KJB79751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASQTLGDPSRCGRVLGPSLDKIIKNAAWRKHSHIVSLCKSTLEKLDTLSDTGLSDPKSTLLGISLSDADFVLNPILLALDSNYAKVAEPALECTFKLFSLGIVRGEIDSNKSNPILYKIVESVCKVGGIGEEPVELAVLRVLLSAVQCPCVLIRGDCLLLVVKTCYNVYLGSLSGTIQACDKSVLAQIMLIVFTRAEEDSMDVSIKAVSVNELLEFTDKNLNEGSSLYNCQNFVSEVMNASEGVPDLKLSQPITLSKGEQNEEVEEEEMKEGAESGSAVVSSKIREDGFHVFKNLGKLSMKFSSQENPDDQILLKGKTLSLELLKVIMDKGGSIWGTNERQVLFLNVLKQYLCLSLLKNSALSVMSVFQLQCSIFMSLLTKFRSGLKAEIGILFPMFILRVLENIGGDSQIIIYIFENYDCDVDSPNIFERYSFFLIIVNTTSLSAVQDIFLRHESVQCLVSIIKSMGAWMDQQLKIGDSDLPRSFESDTSSERPSISIVEDGVVPDCELHPEMNFELGLPKYIMIICPITIDFSSVMYFYKGVSLFNRKPSKGIEFLINTKKVGNSPEEVAAFLKNNTAGLSETVIGDYLGEREEFALRVMHAYVDSFNFKSMDFGEAIRFFLCGFRLPGEAQKIDCIMEKFAERYCKCNPDSFTSADTAYVLAYSVIMLNTDAHNNMVKEKMTKSDFIQNNRGIDDGKDLPEEYLGALYDQIVKNEIKMNADSSLPQNKQANSLNKLLGMDGLLNLVNWKQTEEKPLGANGLLIGHIQEQFKAKTGKSESAYHHISDVAILRFMVEVCWGPMLATFSVTLDQSDDRLATTQCLQGFRYAVHVTAVMGMQTQRDAFVTSAAKFTFLHCAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPVDAGVLSVSNSETDEKTSKPVGLQSLKKKGAIQNPAVMAVVRGGSYDSTTVRINSSGLVPPEQINQFIANLNLLDQIENAELSHVFAHSQRLNSEAIVAFVKALCKVSMSELQSPTDPRVFSLTKLIEIAHYNMNRIRLVWSRMWHVLSDFFVSVGLSKNLSVASFVMDSLWHLAMKFLEREELANYNFQNEFLRPFVIIMQKSDSTEIRELIVRCISHMVLSHASNVKSGWRSVFMVFTAAADYEQKNKATTFMDCIKCLIKFTNSRINSDVSLNAIAFLQFCALKLAEGGLGCTGKSWDDGSSVSIIYKDDSDVRNIDDHGSCWVPLLTGLSKLTTDSRPVIRKSSLKVLFNILKDHGHLFSRTFWIGVFSSIVLPIFNDACKRTDMAVKDEQVSPTSKSPHPDGSTWDTEILEVTARCLISNVVSILIGHLKSPTKGSASTAFAAIFRLTAELGSRFSEDEWRKFFLALKEAATSTLPELPDNAQYHDNIETSSDDGTKNDDLEDDNLQTVAHVVSRVKSHIAVQLLISQVIKDTNKKNLQFLSASNISIIVEIFSSIASHTQQLNTDITLQKKIRIACSIMELTDPPMVHFENEAYQNYLDFLQDLVQNNPSVSAEMNLESLLVAVCENILQLYLNRTDHHYEQQKSGPVTRWVLPLPLAKKEELAARRPLLVLALKALGDLGKDSLRKYIANLFLLLVGLVRIENNLGSGEAERVLTNIFQSGIGPIIMQ >KJB79752 pep chromosome:Graimondii2_0_v6:13:7338305:7345514:1 gene:B456_013G065100 transcript:KJB79752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASQTLGDPSRCGRVLGPSLDKIIKNAAWRKHSHIVSLCKSTLEKLDTLSDTGLSDPKSTLLGISLSDADFVLNPILLALDSNYAKVAEPALECTFKLFSLGIVRGEIDSNKSNPILYKIVESVCKVGGIGEEPVELAVLRVLLSAVQCPCVLIRGDCLLLVVKTCYNVYLGSLSGTIQACDKSVLAQIMLIVFTRAEEDSMDVSIKAVSVNELLEFTDKNLNEGSSLYNCQNFVSEVMNASEGVPDLKLSQPITLSKGEQNEEVEEEEMKEGAESGSAVVSSKIREDGFHVFKNLGKLSMKFSSQENPDDQILLKGKTLSLELLKVIMDKGGSIWGTNERQVLFLNVLKQYLCLSLLKNSALSVMSVFQLQCSIFMSLLTKFRSGLKAEIGILFPMFILRVLENIGGDSQIIIYIFENYDCDVDSPNIFERYSFFLIIVNTTSLSAVQDIFLRHESVQCLVSIIKSMGAWMDQQLKIGDSDLPRSFESDTSSERPSISIVEDGVVPDCELHPEMNFELGLPKYIMIICPITIDFSSVMYFYKGVSLFNRKPSKGIEFLINTKKVGNSPEEVAAFLKNNTAGLSETVIGDYLGEREEFALRVMHAYVDSFNFKSMDFGEAIRFFLCGFRLPGEAQKIDCIMEKFAERYCKCNPDSFTSADTAYVLAYSVIMLNTDAHNNMVKEKMTKSDFIQNNRGIDDGKDLPEEYLGALYDQIVKNEIKMNADSSLPQNKQANSLNKLLGMDGLLNLVNWKQTEEKPLGANGLLIGHIQEQFKAKTGKSESAYHHISDVAILRFMVEVCWGPMLATFSVTLDQSDDRLATTQCLQGFRYAVHVTAVMGMQTQRDAFVTSAAKFTFLHCAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPVDAGVLSVSNSETDEKTSKPVGLQSLKKKGAIQNPAVMAVVRGGSYDSTTVRINSSGLVPPEQINQFIANLNLLDQIENAELSHVFAHSQRLNSEAIVAFVKALCKVSMSELQSPTDPRVFSLTKLIEIAHYNMNRIRLVWSRMWHVLSDFFVSVGLSKNLSVASFVMDSLWHLAMKFLEREELANYNFQNEFLRPFVIIMQKSDSTEIRELIVRCISHMVLSHASNVKSGWRSVFMVFTAAADYEQKNKATTFMDCIKCLIKFTNSRINSDVSLNAIAFLQFCALKLAEGGLGCTGKSWDDGSSVSIIYKDDSDVRNIDDHGSCWVPLLTGYFCTEVLYATPPGWIIFIISSNYTQSRFVKTNNRFKTGYPKEFIESSFQHPEGSWSSFLTNILDWCF >KJB82806 pep chromosome:Graimondii2_0_v6:13:53514638:53515135:1 gene:B456_013G214500 transcript:KJB82806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKHKHRKHTEGNGRRSHDVHTTMATTLWNIWVHRNKVAFEGESSNPSAIISLATKATHDTFRAFLSRNPQRRRRGEQQELDQLQVTTFSSDGVEGTLW >KJB80420 pep chromosome:Graimondii2_0_v6:13:17973250:17977187:1 gene:B456_013G099700 transcript:KJB80420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLLTDSFVDDAQGHGDIEMGRQVPGSTSDMGMEAFNKQMQEVEKQVEKLSGLLRKLKDANEESKSVTKASAMKAMKKRMEKDIDEVGKIARNVKARLEAINKENLTNRQKPGCEKGTSIDRSRMNVTNSVAIRFKDLMMEFQTLRQKIQDEYREVIERRVITVTGTRPDEQILNTVEEIQERHDAVVEIEKKLLDLQQVSTAVSNVQSGTVALQNAKKRQKSTRKWTCIAIIILLIIVAVIVVGVLKPWKSS >KJB80419 pep chromosome:Graimondii2_0_v6:13:17973207:17977230:1 gene:B456_013G099700 transcript:KJB80419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLLTDSFVDDAQGHGDIEMGRQVPGSTSDMGMEAFNKQMQEVEKQVEKLSGLLRKLKDANEESKSVTKASAMKAMKKRMEKDIDEVGKIARNVKARLEAINKENLTNRQKPGCEKGTSIDRSRMNVTNSVAIRFKDLMMEFQTLRQKIQDEYREVIERRVITVTGTRPDEQTIDRLIETGKSEQIFQKAIQEQGRGQILNTVEEIQERHDAVVEIEKKLLDLQQIYLDIAVLVESQGEILDNIESQVSTAVSNVQSGTVALQNAKKRQKSTRKWTCIAIIILLIIVAVIVVGVLKPWKSS >KJB80424 pep chromosome:Graimondii2_0_v6:13:17973332:17976517:1 gene:B456_013G099700 transcript:KJB80424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLLTDSFVDDAQGHGDIEMGRQVPGSTSDMGMEAFNKQMQEVEKQVEKLSGLLRKLKDANEESKSVTKASAMKAMKKRMEKDIDEVGKIARNVKARLEAINKENLTNRQKPGCEKGTSIDRSRMNVTNSVAIRFKDLMMEFQTLRQKIQDEYREVIERRVITVTGTRPDEQTIDRLIETGKSEQIFQKAIQEQGRGQILNTVEEIQERHDAVVEIEKKLLDLQQVNLH >KJB80421 pep chromosome:Graimondii2_0_v6:13:17973332:17976131:1 gene:B456_013G099700 transcript:KJB80421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLLTDSFVDDAQGHGDIEMGRQVPGSTSDMGMEAFNKQMQEVEKQVEKLSGLLRKLKDANEESKSVTKASAMKAMKKRMEKDIDEVGKIARNVKARLEAINKENLTNRQKPGCEKGTSIDRSRMNVTNSVAIRFKDLMMEFQTLRQKIQDEYREVIERRVITVTGTRPDEQVS >KJB80422 pep chromosome:Graimondii2_0_v6:13:17973256:17977090:1 gene:B456_013G099700 transcript:KJB80422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLLTDSFVDDAQGHGDIEMGRQVPGSTSDMGMEAFNKQMQEVEKQVEKLSGLLRKLKDANEESKSVTKASAMKAMKKRMEKDIDEVGKIARNVKARLEAINKENLTNRQKPGCEKGTSIDRSRMNVTNSVAIRFKDLMMEFQTLRQKIQDEYREVIERRVITVTGTRPDEQTIDRLIETGKSEQIFQKAIQEQGRGQILNTVEEIQERHDAVVEIEKKLLDLQQYLLNPKEKF >KJB80423 pep chromosome:Graimondii2_0_v6:13:17973256:17977090:1 gene:B456_013G099700 transcript:KJB80423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLLTDSFVDDAQGHGDIEMGRQVPGSTSDMGMEAFNKQMQEVEKQVEKLSGLLRKLKDANEESKSVTKASAMKAMKKRMEKDIDEVGKIARNVKARLEAINKENLTNRQKPGCEKGTSIDRSRMNVTNSVAIRFKDLMMEFQTLRQKIQDEYREVIERRVITVTGTRPDEQTIDRLIETGKSEQIFQKAIQEQGRGQILNTVEEIQERHDAVVEIEKKLLDLQQVSTAVSNVQSGTVALQNAKKRQKSTRKWTCIAIIILLIIVAVIVVGVLKPWKSS >KJB78736 pep chromosome:Graimondii2_0_v6:13:1067807:1068460:1 gene:B456_013G015300 transcript:KJB78736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFIPSKLHCFTSMEKPFSPLIIFFIIFISDFPHLYSPYRLHPSITASTLVHRTHTAVSDFHVINRRTLFQCPDPNPFLQINVSKSSTLLSNEEFVAVIVSGVLVPMATDWIAMISPSHSNIGSCLGSKSFYVQTGDLSDLPLLCHYPVKVRKLWYYWPILVNFFHGIKLPTIYRYW >KJB78735 pep chromosome:Graimondii2_0_v6:13:1067807:1068172:1 gene:B456_013G015300 transcript:KJB78735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFIPSKLHCFTSMEKPFSPLIIFFIIFISDFPHLYSPYRLHPSITASTLVHRTHTAVSDFHVINRRTLFQCPDPNPFLQINVSKSSTLLSNEEFVAVIVSGVLVPMATDWIAMISPSHSK >KJB83692 pep chromosome:Graimondii2_0_v6:13:57483598:57484466:1 gene:B456_013G259700 transcript:KJB83692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKHDGKCVVCDSHVHPCTLVRVCDECSYGSFQSKCVICGGVGISDAYYCKECTQLEKDRDGCPKIVNLGSAKTDVYYERKKYDFKKR >KJB78450 pep chromosome:Graimondii2_0_v6:13:2909216:2910436:-1 gene:B456_013G036800 transcript:KJB78450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADVEFRCFVGGLAWATDDRALEEAFSAFGEIVESKIINDRETGRSRGFGFVTFRDEKAMRDAIEGMNGQNLDGRNITVNEAQSRRSGGGGGGFGGGNGGYSRGGGGGGGYGGRQGGYGGGRREGGYGNGGGYGGGGYGGGRREGGYGDGGSRYSRGGGASEGNWRS >KJB78452 pep chromosome:Graimondii2_0_v6:13:2909503:2910286:-1 gene:B456_013G036800 transcript:KJB78452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADVEFRCFVGGLAWATDDRALEEAFSAFGEIVESKIINDRETGRSRGFGFVTFRDEKAMRDAIEGMNGQNLDGRNITVNEAQSRRSGGGGGGFGGGNGGYSRGGGGGGGYGGRQGGYGGGRREGGYGGGRREGGYGDGGSRYSRGGGASEGNWRS >KJB78453 pep chromosome:Graimondii2_0_v6:13:2909283:2910369:-1 gene:B456_013G036800 transcript:KJB78453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADVEFRCFVGGLAWATDDRALEEAFSAFGEIVESKIINDRETGRSRGFGFVTFRDEKAMRDAIEGMNGQNLDGRNITVNEAQSRRSGRREGGYGDGGSRYSRGGGASEGNWRS >KJB78451 pep chromosome:Graimondii2_0_v6:13:2909503:2910286:-1 gene:B456_013G036800 transcript:KJB78451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADVEFRCFVGGLAWATDDRALEEAFSAFGEIVESKIINDRETGRSRGFGFVTFRDEKAMRDAIEGMNGQNLDGRNITVNEAQSRRSGGGGGGFGGGNGGYSRGGRREGGYGDGGSRYSRGGGASEGNWRS >KJB79032 pep chromosome:Graimondii2_0_v6:13:3013061:3015938:-1 gene:B456_013G038100 transcript:KJB79032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLHLENLFSIPEATDEYLWPLTQDNKDDGKNFSASIQTDGHQCSSSSSQAVMDNVNGNKLDPVLALDQGIPALLSDYTIEDNARTGDQLGTTSKFILESVDHNIVGESMESILDYPIDVSLSAPLEDLDDIDLVPHESSSRQLPSTYQETGDAHINAKRISSKTRGRNHRFKQGLNMEDNGEGTTMKKQEHNAKERIRRMKLHAAYLALGALLPSDSTGSKKRKSTALIIDRAVEYIPELEKEIEKLTLRKNDMLSTIKNKKSCAVLNHFQLRQPSVSVHEIKQEEEEGICIISASTHQVSDHGHCYHLHIQMHERLDGENHIASLREKVISWLC >KJB79029 pep chromosome:Graimondii2_0_v6:13:3012263:3017098:-1 gene:B456_013G038100 transcript:KJB79029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLHLENLFSIPEATDEYLWPLTQDNKDDGKNFSASIQTDGHQCSSSSSQAVMDNVNGNKLDPVLALDQGIPALLSDYTIEDNARTGDQLGTTSKFILESVDHNIVGESMESILDYPIDVSLSAPLEDLDDIDLVPHESSSRQLPSTYQETGDAHINAKRISSKTRGRNHRFKQGLNMEDNGEGTTMKKQEHNAKERIRRMKLHAAYLALGALLPSDSTGSKKRKSTALIIDRAVEYIPELEKEIEKLTLRKNDMLSTIKNKKSCAVLNHFQLRQPSVSVHEIKQGEFIVQICTQEYPDCSFLNLLHNVEEEEGICIISASTHQVSDHGHCYHLHIQMHERLDGENHIASLREKLMYSQG >KJB79031 pep chromosome:Graimondii2_0_v6:13:3014880:3015679:-1 gene:B456_013G038100 transcript:KJB79031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLHLENLFSIPEATDEYLWPLTQDNKDDGKNFSASIQTDGHQCSSSSSQAVMDNVNGNKLDPVLALDQGIPALLSDYTIEDNARTGDQLGTTSKFILESVDHNIVGESMESILDYPIDVSLSAPLEDLDDIDLVPHESSSRQLPSTYQETGDAHINAKRISSKTRGRNHRFKQGLNMEDNGEGTTMKKQEHNAKERIRRMKLHAAYLALGALLPSDSTGSKVCCQLIEEKEYGAYN >KJB79030 pep chromosome:Graimondii2_0_v6:13:3012310:3017098:-1 gene:B456_013G038100 transcript:KJB79030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLHLENLFSIPEATDEYLWPLTQDNKDDGKNFSASIQTDGHQCSSSSSQAVMDNVNGNKLDPVLALDQGIPALLSDYTIEDNARTGDQLGTTSKFILESVDHNIVGESMESILDYPIDVSLSAPLEDLDDIDLVPHESSSRQLPSTYQETGDAHINAKRISSKTRGRNHRFKQGLNMEDNGEGTTMKKQEHNAKERIRRMKLHAAYLALGALLPSDSTGSKVCCQLIEEKEYGAYN >KJB79028 pep chromosome:Graimondii2_0_v6:13:3012258:3016227:-1 gene:B456_013G038100 transcript:KJB79028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLHLENLFSIPEATDEYLWPLTQDNKDDDYTIEDNARTGDQLGTTSKFILESVDHNIVGESMESILDYPIDVSLSAPLEDLDDIDLVPHESSSRQLPSTYQETGDAHINAKRISSKTRGRNHRFKQGLNMEDNGEGTTMKKQEHNAKERIRRMKLHAAYLALGALLPSDSTGSKKRKSTALIIDRAVEYIPELEKEIEKLTLRKNDMLSTIKNKKSCAVLNHFQLRQPSVSVHEIKQGEFIVQICTQEYPDCSFLNLLHNVEEEEGICIISASTHQVSDHGHCYHLHIQMHERLDGENHIASLREKLMYSQG >KJB82639 pep chromosome:Graimondii2_0_v6:13:51717841:51720938:-1 gene:B456_013G206300 transcript:KJB82639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADSWSARLSSASKRYQSALQLRSDMLMGFEEIDGEDEIREEFRCPFCSEYFDIVGLCCHIDDEHPVEAKNGVCPVCAMRVGVDMVAHITLQHGNIFKMQRKRKSRKGGSHSTLSLLRKELREGNLQSFFGGSSCTVSSNSAPDPLLSSFILPMVDDFVSVQPNFSRETRTTKKSSDVNKSERNVKSSPLSVKDQEEKAKRCEFVQGLLLSTMPDEIL >KJB82638 pep chromosome:Graimondii2_0_v6:13:51714418:51720998:-1 gene:B456_013G206300 transcript:KJB82638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADSWSARLSSASKRYQSALQLRSDMLMGFEEIDGEDEIREEFRCPFCSEYFDIVGLCCHIDDEHPVEAKNGVCPVCAMRVGVDMVAHITLQHGNIFKMQRKRKSRKAGSHSTLSLLRKELREGNLQSFFGGSSCTVSSNSAPDPLLSSFILPMVDDFVSVQPNFSRETRTTKKSTDVNKSERNVKPSPLSVKDQEEKAKRCEFVQGLLLSTIRLMKFYKTAFSGSNRLLQCNREPESHEEIGLTMKSPRQANLQIYGSLLCL >KJB82640 pep chromosome:Graimondii2_0_v6:13:51717657:51721012:-1 gene:B456_013G206300 transcript:KJB82640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADSWSARLSSASKRYQSALQLRSDMLMGFEEIDGEDEIREEFRCPFCSEYFDIVGLCCHIDDEHPVEAKNGVCPVCAMRVGVDMVAHITLQHGNIFKMQRKRKSRKGGSHSTLSLLRKELREGNLQSFFGGSSCTVSSNSAPDPLLSSFILPMVDDFVSVQPNFSRETRTTKKSSDVNKSERNVKSSPLSVKDQEEKAKRCEFVQGLLLSTMPDEIL >KJB82412 pep chromosome:Graimondii2_0_v6:13:49645892:49651253:1 gene:B456_013G194700 transcript:KJB82412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLVEQTHHINTFDQNSEKHMRYTYTRIDEQERRISIKAIPMSLVLKDSNGKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAAEGVMVSISFYAYYSSLYINAPFILAFWLYLCLRAVNTERAIRHAIQDRIPIVVVINKLPPKDAYHKLRHTLEVINNHISAASTTAGNVPVIDPAAGNVCFASASAGWSFTLQSFAKLYVKLHGIPFDAEKFASCLWGDIYYHPDTRAFKRKPPAGGGERSFVEFVLEPLYKIYSQVIGEHRKSVESTLAELGVTLSNAAYKLNVRPLLRMACSTVFGSASGFTDLLVQHIPSPKDAAAKKVDHTYTGPKHSMIYKAMVECDPSGPLMVNVTKLYPKSDCSVFDAFGRVYSGRIQTGQSLRVLGEGYSPDDEEDMTLKEVTKLWIYQARYRIPISSAPPGSWVLIEGVDASIMKTPTLCNMDLDEDVYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKLEESGEHTILGTGELYLDSIMKDLRELSSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENGVVSVDWSRKQLGDFFKTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKGLLGSVRDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIASEPLHRGSGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCVSAIYTVLSCRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFNHWAIVPGDPLDKSIILRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMVVELALQAADLHQQMI >KJB82998 pep chromosome:Graimondii2_0_v6:13:54404634:54406208:-1 gene:B456_013G224200 transcript:KJB82998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFSLTNRVSRVLSASKVFIPHRRIQFHGGSHPQGNKEPKAIQKQESWFVKVVCTLFVYSQPLDDACLSYLNNNLTPLIEFEVVKWLKNPTFGLKFLELSRLNLNINHSFWTYNLLIRSFCCMGLYGSARLVFDYMKIDGHLPDSTLLGFMISSFGRAGEFGMARKLLAEVQSDDVMVTIFAVNNLLNMMVKQNNLEEAVSLYKENLGLNFNPDTWTFNILIRGLCRVGKVDQAFEFFNDMRSFGCFPDIVTYNTIINGLCREYEVDRGHSLLNEIRLRDDCAPNVVTYTSVISGYCKLGKMEKASALFDEMMSSGTLPSVVTFNVLIDGFGKVGDMLSAKSLYEKMASFGCIPDVVTFTSLINGYCQIGDVNRSFQLWDAMKVKNVSPNVYTFAITINALCKENRLCEACRFLRELQCRNIVPKPFIFNPVIDGFCKAGNLDEANRIVAEMEKKKCDPDKVTFTILIIGHCMKGRMLKAISIFDKMLSVGCPPDYVTVRSLISCLLKAGMPKEAYRISTITS >KJB82999 pep chromosome:Graimondii2_0_v6:13:54404226:54406235:-1 gene:B456_013G224200 transcript:KJB82999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFSLTNRVSRVLSASKVFIPHRRIQFHGGSHPQGNKEPKAIQKQESWFVKVVCTLFVYSQPLDDACLSYLNNNLTPLIEFEVVKWLKNPTFGLKFLELSRLNLNINHSFWTYNLLIRSFCCMGLYGSARLVFDYMKIDGHLPDSTLLGFMISSFGRAGEFGMARKLLAEVQSDDVMVTIFAVNNLLNMMVKQNNLEEAVSLYKENLGLNFNPDTWTFNILIRGLCRVGKVDQAFEFFNDMRSFGCFPDIVTYNTIINGLCREYEVDRGHSLLNEIRLRDDCAPNVVTYTSVISGYCKLGKMEKASALFDEMMSSGTLPSVVTFNVLIDGFGKVGDMLSAKSLYEKMASFGCIPDVVTFTSLINGYCQIGDVNRSFQLWDAMKVKNVSPNVYTFAITINALCKENRLCEACRFLRELQCRNIVPKPFIFNPVIDGFCKAGNLDEANRIVAEMEKKKCDPDKVTFTILIIGHCMKGRMLKAISIFDKMLSVGCPPDYVTVRSLISCLLKAGMPKEAYRISTITS >KJB83000 pep chromosome:Graimondii2_0_v6:13:54403671:54406559:-1 gene:B456_013G224200 transcript:KJB83000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCRGVTILLMTLFSLTNRVSRVLSASKVFIPHRRIQFHGGSHPQGNKEPKAIQKQESWFVKVVCTLFVYSQPLDDACLSYLNNNLTPLIEFEVVKWLKNPTFGLKFLELSRLNLNINHSFWTYNLLIRSFCCMGLYGSARLVFDYMKIDGHLPDSTLLGFMISSFGRAGEFGMARKLLAEVQSDDVMVTIFAVNNLLNMMVKQNNLEEAVSLYKENLGLNFNPDTWTFNILIRGLCRVGKVDQAFEFFNDMRSFGCFPDIVTYNTIINGLCREYEVDRGHSLLNEIRLRDDCAPNVVTYTSVISGYCKLGKMEKASALFDEMMSSGTLPSVVTFNVLIDGFGKVGDMLSAKSLYEKMASFGCIPDVVTFTSLINGYCQIGDVNRSFQLWDAMKVKNVSPNVYTFAITINALCKENRLCEACRFLRELQCRNIVPKPFIFNPVIDGFCKAGNLDEANRIVAEMEKKKCDPDKVTFTILIIGHCMKGRMLKAISIFDKMLSVGCPPDYVTVRSLISCLLKAGMPKEAYRISTITS >KJB81066 pep chromosome:Graimondii2_0_v6:13:33249660:33252876:1 gene:B456_013G127800 transcript:KJB81066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNRSLLFRKYRDALKSVRLPASSSASSAATKSSGGGPVIEMVSTSLLHPNRSYTPLSTEDPGNSSNGAVTVGLPPAWVDVSEEIAANVQRARTKMAELAKAHAKALMPSFGDGKEDQRIIESLTHEITYLLRKSEKRLQKLSASGPSEDSNVRKNVQRQLATDLQNLSMELRKKQSTYLKRLRQQTEGQDGVDLEMNINGNRSYAENDDLDDMIFSEHQMAKLKQNEALSVEREREIQQASCGVCK >KJB81067 pep chromosome:Graimondii2_0_v6:13:33249660:33252876:1 gene:B456_013G127800 transcript:KJB81067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNRSLLFRKYRDALKSVRLPASSSASSAATKSSGGGPVIEMVSTSLLHPNRSYTPLSTEDPGNSSNGAVTVGLPPAWVDVSEEIAANVQRARTKMAELAKAHAKALMPSFGDGKEDQRIIESLTHEITYLLRKSEKRLQKLSASGPSEDSNVRKNVQRQLATDLQNLSMELRKKQSTYLKRLRQQTEGQDGVDLEMNINGNRSYAENDDLDDMIFSEHQMAKLKQNEALSVEREREIQQASSLLLIFFSSDAAFIAIRNELFMLVLKLFV >KJB81064 pep chromosome:Graimondii2_0_v6:13:33249598:33253064:1 gene:B456_013G127800 transcript:KJB81064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNRSLLFRKYRDALKSVRLPASSSASSAATKSSGGGPVIEMVSTSLLHPNRSYTPLSTEDPGNSSNGAVTVGLPPAWVDVSEEIAANVQRARTKMAELAKAHAKALMPSFGDGKEDQRIIESLTHEITYLLRKSEKRLQKLSASGPSEDSNVRKNVQRQLATDLQNLSMELRKKQSTYLKRLRQQTEGQDGVDLEMNINGNRSYAENDDLDDMIFSEHQMAKLKQNEALSVEREREIQQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVATTVEEGLKQLQKAERTQKQGGMVMCATVLVIMCFVMLVLLILKEIIF >KJB81065 pep chromosome:Graimondii2_0_v6:13:33249660:33252876:1 gene:B456_013G127800 transcript:KJB81065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAKAHAKALMPSFGDGKEDQRIIESLTHEITYLLRKSEKRLQKLSASGPSEDSNVRKNVQRQLATDLQNLSMELRKKQSTYLKRLRQQTEGQDGVDLEMNINGNRSYAENDDLDDMIFSEHQMAKLKQNEALSVEREREIQQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVATTVEEGLKQLQKAERTQKQGGMVMCATVLVIMCFVMLVLLILKEIIF >KJB78822 pep chromosome:Graimondii2_0_v6:13:1446255:1447927:-1 gene:B456_013G021000 transcript:KJB78822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTEKLHIAMFPWLAYGHTMPFLEVSKFLAQKGHRISYISTPKNISRLPKLPPHLSSNLSFVEFSLPHVHGLPPGVEATSEVPIDKVPYLKRAYDKLRDPLTDFLKNSNVNWIIHDFAPYWLPGIAAPLGVNLVFFSIFNASTFAFLGPPSALLGDRRKRPEDFTVIPEWIDYPCNNIAFKLHEMVNHQQCMDDDVSDFQRIGRLIQGCQFVTMRTCFEFERDAIKLLIKLYQKPVVPVGLLPPLLSLPSNEDNKWEAIKSWLDSEGEKSVLYIALGSEVNLSEESMHQLAFGIEKSNLPFIWVVRNRPVGEGQMNDIIPPGFEKRVSNRGLVLRDWAPQLQILAHSSVGGFLTHCGWSSIIEALKYGRALILFSGASSDQGLNARLLHGKKVGLEIEKNEVDGSFTSDLVAATIRRVMVEPEGEVFRANAWAMREIFGNEDLSNNYLNEFTRFIEEFSTSACHY >KJB81966 pep chromosome:Graimondii2_0_v6:13:45548153:45548911:-1 gene:B456_013G1693001 transcript:KJB81966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVLFRGISLTTRNFLHSYTKTNQNPVPSFTPLAASTRSRLRFFSSESDSSVEKKPDPVLESASVAEAHVKDVALPVEDVSNKELKTRIKKYFEGDEEALPSVLEAILRRKLVGKHEETDDELMDELE >KJB81965 pep chromosome:Graimondii2_0_v6:13:45548153:45549133:-1 gene:B456_013G1693001 transcript:KJB81965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVLFRGISLTTRNFLHSYTKTNQNPVPSFTPLAASTRSRLRFFSSESDSSVEKKPDPVLESASVAEAHVKDVALPVEDVSNKELKTRIKKYFEGDEEALPSVLEAILRRKLVGKHEETDDELMDELE >KJB80352 pep chromosome:Graimondii2_0_v6:13:15034508:15037762:1 gene:B456_013G093300 transcript:KJB80352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPLLNKLSVIFGPRPPVSWLLLCFVSVLALIAVFGSSSSNSFDSVTSTPVPEIFTNYRRLKEQAAVDYFELRTILSGSSRQRELGLCGKERENYVPCYNVTANLISGFKDGEEFDRRCEVPRHGSWCLVRPPKDYKIPLRWPAGRDVIWSGNIKITKDQFLSSGGVTKRIMLLEENQIAFHPEDGLIFDGVKDYSRQIAEMLGLGSDSELFQAGVRTVLDIGCGFGSFGAHLVSLKLMTLCIAAYEATGSQVQLALERGLPAMIGNFISRQLPYPSLSFDMVHCAQCGIVWDKKEGIFLIEIDRLLKPGGYFVLTSPTSKPQGSSTSMKKRNMLTPLEQYTEKICWSLIAQQDDTFIWQKTADAHCYSSNKKNDVPLCKEGYDAPYYQALVPCITGTSSKRWIPIQNRSSSSDLSSAELEVHGKYCSCSFFLPAHAF >KJB80353 pep chromosome:Graimondii2_0_v6:13:15035351:15039246:1 gene:B456_013G093300 transcript:KJB80353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPLLNKLSVIFGPRPPVSWLLLCFVSVLALIAVFGSSSSNSFDSVTSTPVPEIFTNYRRLKEQAAVDYFELRTILSGSSRQRELGLCGKERENYVPCYNVTANLISGFKDGEEFDRRCEVPRHGSWCLVRPPKDYKIPLRWPAGRDVIWSGNIKITKDQFLSSGGVTKRIMLLEENQIAFHPEDGLIFDGVKDYSRQIAEMLGLGSDSELFQAGVRTVLDIGCGFGSFGAHLVSLKLMTLCIAAYEATGSQVQLALERGLPAMIGNFISRQLPYPSLSFDMVHCAQCGIVWDKKEGIFLIEIDRLLKPGGYFVLTSPTSKPQGSSTSMKKRNMLTPLEQYTEKICWSLIAQQDDTFIWQKTADAHCYSSNKKNDVPLCKEGYDAPYYQALVPCITGTSSKRWIPIQNRSSSSDLSSAELEVHGNPEDFFEDLQVWKLALKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMVRNVMDMNAHYGGLNAAFLEERKSVWVMNVVPVRAHNTLPLILDRGFLGALHDWCEPFPTYPRTYDLLHANGLLSHLTSERCGLMELFIEMDRILRPEGWVVLSDKLGVIELARAHATQIRWDARVIDLQNGSDERLLVCQKPFLKK >KJB79144 pep chromosome:Graimondii2_0_v6:13:2695755:2698310:-1 gene:B456_013G034800 transcript:KJB79144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEKNASLLSSSSPSSSMAILVVTMLLWSLISCPSSVYGIATGPFTPTDNILIDCGANAASKVPDGRTYKTDQEASQLLDTKDDTQVSVSNVDLPTPLFLNAKVFPQEATYTFALKRPGFHWLRLYFYAIKDNKYDLQQSTFSVSANQYAILHNFKVANATIPVLKEYLINMNDPNFLLKFSPMKNSFAFVNAIEVVSVPDTLIVDTGSSLTPVNSISGLTQHGYQVVYRLNMGGPLITPVNDTLGRTWIPDTHYLKDKNFAKQASTLPSAVSYTDQMTPWIAPPTVYSSLIEMAVADDKTVNPNFNVTWQLEVDEAFDYLIRLHFCDIVSKTLNDLFFNVYICGKMAISQLDLSSLTGQLAVPYYKDIVVNASTLSNGLSVQIGPLSQGAGIKNAILNGLEVMKMSNAADSLDGEFSVDGSSSSSNKGAVAVVGFAMMFGAFVGLGAMVIRWKRRPQDWQRRNSFSSWLLPLHAGDSSSLSKSGGSQKHSSTLGLGRYFSLAELQEATKNFDSNAIIGVGGFGNVYLGTIDDGTQVAVKRGNPQSEQGITEFHTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMSNGPFKDHLYGKELPSLSWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDAFVAKVADFGLSKDTPMGQNHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLESLCARPAINPRLPREQVSLAEWAMQWKRKGLLEKIIDPQLVGAIDAESMNKFAEAAEKCLAEYGVDRPSMGDVLWNLEHALQLQESSSVGKSEEAAAPVQPSPPAPTVTPPSDTNPPAPHPETNTGQTIEHSGTAIFAQFQGMNGR >KJB80526 pep chromosome:Graimondii2_0_v6:13:18906850:18909308:-1 gene:B456_013G102100 transcript:KJB80526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTPKKIPKSKHNSYSKNSSLNSILEPPQSLFPSKGEFLRLITVLAIASAVALSCNYFLTFFTSTSKPFCDSNLDPIDSFSVPLLGLWYFIMTEPSSIDVILDFLTRNNFTRAEAALRSELNNHPDLNGFLQKLTLEEKGSGKVLEEETGKKIVIGSSGSGSQNSGEVSKELFSLDCLWIVINGNLECIYGYRRHGKLCIEDRDIDETAKKLSESVEAGLCEAYAQVLCYGKLCVLETVRENDIWNDLDRHNLMQNVGSDHTTYVYMKRRAMETIAKLLETRTNLHGLQEFKCPDALAEHYKPLTCRFRELVSKHSLIIMPIYAGVVPSKSYSLNALVIVSKF >KJB80525 pep chromosome:Graimondii2_0_v6:13:18906694:18909308:-1 gene:B456_013G102100 transcript:KJB80525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSSIDVILDFLTRNNFTRAEAALRSELNNHPDLNGFLQKLTLEEKGSGKVLEEETGKKIVIGSSGSGSQNSGEVSKELFSLDCLWIVINGNLECIYGYRRHGKLCIEDRDIDETAKKLSESVEAGLCEAYAQVLCYGKLCVLETVRENDIWNDLDRHNLMQNVGSDHTTYVYMKRRAMETIAKLLETRTNLHGLQEFKCPDALAEHYKPLTCRFRELVSKHSLIIMPIYAGLIGCAVLFLKVHQRMYISARPEELYNQVHKFFDVQL >KJB81415 pep chromosome:Graimondii2_0_v6:13:39681912:39682330:-1 gene:B456_013G144600 transcript:KJB81415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRYLYLQENRFTGRVPAKLGTLQNLRHLDVGNNHLVGTIRELIRIKGGFLVLRNLYLNNNYLTGGIPAQLAYLKNLEIL >KJB80981 pep chromosome:Graimondii2_0_v6:13:31958867:31960150:1 gene:B456_013G123800 transcript:KJB80981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLRQPSLTTFVFVLAIFSLSYRVYSDDEEDHLLQGLNSYRTSANLPAFAKNKNAECVAKRIADDVSDDDNNQHCTNPSNSPGNNATGLSAYPKAISKCDIDANTTSDVMVLPVCVPNLVPTLVLTNFTRTHYSKYINDSTFTGIGLSSEDDWMVVVLSSNKPTGSLANGAYSLLNRKLGFSYYMVLLLLGFLLFSF >KJB83048 pep chromosome:Graimondii2_0_v6:13:54620807:54621610:1 gene:B456_013G226900 transcript:KJB83048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVGFMGHKSKERKDDRKDKLSEVFKSYAANGLLDKERLKEAFLHLGSAMSYHQAEEALRVVGKTYNINVKDETELNALINYAYNKGYGQLI >KJB80644 pep chromosome:Graimondii2_0_v6:13:27386460:27394529:-1 gene:B456_013G113100 transcript:KJB80644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-sulfocysteine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03630) UniProtKB/Swiss-Prot;Acc:O22682] MSLSSSLLHLPPPKPFLCIPKQYSFPPFNASLNFSFYDKPMLIVKSASFAATRRASSLIVEEEEEEEEEEDEEEQEQEQVEGFEAVNIAEDVTQLIGRTPMIYLNKVTDGCVANIAAKLESMEPCRSVKDRIGLSMVCEAEDSGAISPRKTILVEPTSGNTGLGIAFVAATKGYKLIVTMPASINLERRILLRAFGAEIVLTDPEKGLKGAVDKAEEIVLNTPNAFMLQQFDNMANTKIHFETTGPEIWEDTLGNVDIFVAGIGTGGTVTGTGQYLKMKNKEIKVVGVEPAERSIVSGENPGYVPSILDVKLLDEVVKVSNDEAVDMARKLALEEGLLVGISSGAAAAAAISLAKRPENAGKLIVVIFPSFGERYIPTVLFRSIHEEVQQMEAR >KJB80648 pep chromosome:Graimondii2_0_v6:13:27391833:27394440:-1 gene:B456_013G113100 transcript:KJB80648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-sulfocysteine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03630) UniProtKB/Swiss-Prot;Acc:O22682] MSLSSSLLHLPPPKPFLCIPKQYSFPPFNASLNFSFYDKPMLIVKSASFAATRRASSLIVEEEEEEEEEEDEEEQEQEQVEGFEAVNIAEDVTQLIGRTPMIYLNKVTDGCVANIAAKLESMEPCRSVKDRIGLSMVCEAEDSGAISPRKTILVEPTSGNTGLGIAFVAATKGYKLIVTMPASINLERRILLRAFGAEIVLTDPEKGLKGAVDKAEEIVLNTPNAFMLQQFDNMANTKIHFETTGPEIWEDTLGNVDIFVAGIGTGGTVTGTGQYLKMKNKEIKVSLLFKPVMKFVSV >KJB80646 pep chromosome:Graimondii2_0_v6:13:27388146:27394499:-1 gene:B456_013G113100 transcript:KJB80646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-sulfocysteine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03630) UniProtKB/Swiss-Prot;Acc:O22682] MSLSSSLLHLPPPKPFLCIPKQYSFPPFNASLNFSFYDKPMLIVKSASFAATRRASSLIVEEEEEEEEEEDEEEQEQEQVEGFEAVNIAEDVTQLIGRTPMIYLNKVTDGCVANIAAKLESMEPCRSVKDRIGLSMVCEAEDSGAISPRKTILVEPTSGNTGLGIAFVAATKGYKLIVTMPASINLERRILLRAFGAEIVLTDPEKGLKGAVDKAEEIVLNTPNAFMLQQFDNMANTKIHFETTGPEIWEDTLGNVDIFVAGIGTGGTVTGTGQYLKMKNKEIKVVGVEPAERSIVSGENPGYVPSILDVKLLDEVVKVSNDEAVDMARKLALEEGLLVGISSGAAAAAAISLAKRPENAGKLIVVIFPSFGERYIPTVLFRSIHEEVQQMEAR >KJB80645 pep chromosome:Graimondii2_0_v6:13:27389066:27393105:-1 gene:B456_013G113100 transcript:KJB80645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-sulfocysteine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03630) UniProtKB/Swiss-Prot;Acc:O22682] MIYLNKVTDGCVANIAAKLESMEPCRSVKDRIGLSMVCEAEDSGAISPRKTILVEPTSGNTGLGIAFVAATKGYKLIVTMPASINLERRILLRAFGAEIVLTDPEKGLKGAVDKAEEIVLNTPNAFMLQQFDNMANTKIHFETTGPEIWEDTLGNVDIFVAGIGTGGTVTGTGQYLKMKNKEIKVVGVEPAERSIVSGENPGYVPSILDVKLLDEVVKVSNDEAVDMARKLALEEGLLVGISSGAAAAAAISLAKRPENAGKLIVVIFPSFGERYIPTVLFRSIHEEVQQMEAR >KJB80642 pep chromosome:Graimondii2_0_v6:13:27388056:27394529:-1 gene:B456_013G113100 transcript:KJB80642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-sulfocysteine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03630) UniProtKB/Swiss-Prot;Acc:O22682] MSLSSSLLHLPPPKPFLCIPKQYSFPPFNASLNFSFYDKPMLIVKSASFAATRRASSLIVEEEEEEEEEEDEEEQEQEQVEGFEAVNIAEDVTQLIGRTPMIYLNKVTDGCVANIAAKLESMEPCRSVKDRIGLSMVCEAEDSGAISPRKTILVEPTSGNTGLGIAFVAATKGYKLIVTMPASINLERRILLRAFGAEIVLTDPEKGLKGAVDKAEEIVLNTPNAFMLQQFDNMANTKIHFETTGPEIWEDTLGNVDIFVAGIGTGGTVTGTGQYLKMKNKEIKVVGVEPAERSIVSGENPGYVPSILDVKLLDEVVKVSNDEAVDMARKLALEEGLLVGISSGAAAAAAISLAKRPENAGKLIVVIFPSFGERYIPTVLFRSIHEEVQQMEAR >KJB80647 pep chromosome:Graimondii2_0_v6:13:27389066:27393105:-1 gene:B456_013G113100 transcript:KJB80647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-sulfocysteine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03630) UniProtKB/Swiss-Prot;Acc:O22682] MIYLNKVTDGCVANIAAKLESMEPCRSVKDRIGLSMVCEAEDSGAISPRKTILVEPTSGNTGLGIAFVAATKGYKLIVTMPASINLERRILLRAFGAEIVLTDPEKGLKGAVDKAEEIVLNTPNAFMLQQFDNMANTKIHFETTGPEIWEDTLGNVDIFVAGIGTGGTVTGTGQYLKMKNKEIKVVGVEPAERSIVSGENPGYVPSILDVKLLDEVVKVSNDEAVDMARKLALEEGLLVGISSGAAAAAAISLAKRPENAGKLIVVIFPSFGERYIPTVLFRSIHEEVQQMEAR >KJB80643 pep chromosome:Graimondii2_0_v6:13:27389066:27393105:-1 gene:B456_013G113100 transcript:KJB80643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-sulfocysteine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03630) UniProtKB/Swiss-Prot;Acc:O22682] MIYLNKVTDGCVANIAAKLESMEPCRSVKDRIGLSMVCEAEDSGAISPRKTILVEPTSGNTGLGIAFVAATKGYKLIVTMPASINLERRILLRAFGAEIVLTDPEKGLKGAVDKAEEIVLNTPNAFMLQQFDNMANTKIHFETTGPEIWEDTLGNVDIFVAGIGTGGTVTGTGQYLKMKNKEIKVVGVEPAERSIVSGENPGYVPSILDVKLLDEVVKVSNDEAVDMARKLALEEGLLVGISSGAAAAAAISLAKRPENAGKLIVVIFPSFGERYIPTVLFRSIHEEVQQMEAR >KJB83911 pep chromosome:Graimondii2_0_v6:13:58163354:58169922:-1 gene:B456_013G270600 transcript:KJB83911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAEGKAIGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRRFSDPAVQADMKHWPFRVVAGPGDKPMIVVNYKGEEKQFAPEEISSMVLVKMKEVAEAYMGQTVKNAVITVPAYFNDSQRQATKDAGSISGLNILRIINEPTAAAIAYGLDKKASRSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISTNARALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDSKIDKSQVDEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELTGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEEIERMVQEAERYKAEDEEVKKKVEAKNGLENYAYNMRNTVKDDKFAGKLDPAEKQKIEKAIDDTIEWLDGNQLAEVDEFEDKLKELEGICNPIIAKMYQGGAGGDVPMGGGAETPNGGGSGGSGAGPKIEEVD >KJB79467 pep chromosome:Graimondii2_0_v6:13:4836498:4836956:-1 gene:B456_013G051500 transcript:KJB79467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGKQYWLLKTEPGEWSWEDQAANGGVTKWDGVKNKQAQKHLKSMKVGDLCFFYHSGATARRVVGVVSVVREWYAAEGGDKNSEVVVDVKAVGEMRRQVDLKDMKQDGELKGFVMFRQPRLSVLPVPEKVWERICELGNGFEGDGIDIGDD >KJB79246 pep chromosome:Graimondii2_0_v6:13:3136119:3140136:-1 gene:B456_013G039400 transcript:KJB79246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMKINPFYFVVQRSFDVISSFIIFFLLSSVSPSYGYDPLDPHGNITIKWDLLQSNPGSNDVKVSILNFQLYRHIEQPGWKMGWDWTGDEVIWAMQGAEATEQGNCSRFKGGQLPHCCEKSPFIVDLLPGAPYNMQTTNCCKGGILSSMIQDPSKFGAVFQMSTSAATDKSGFHMPENFTIGVPGYTCAKPVQVAPSKYSSDGGRRWTQALGTWNVTCMYSQFLASTSPKCCVSLSAFYNSTIVPCPKCSCSCQGLPGAKCVKFGETPSLLQQIKDSNRESPSLVRCSQHMCPIRVHWHVKQSYTHYWRVKITVNNLNILKNYSQWNLVALHPNLKSLIQVFSFNYEPLNQYGQINDTGIFWGIEYYNDMLLQEGESGNVQTEMLLLKDPDMFTFREGWGFPRRILFNGDECVMPPPDQYPRLPNTAQLGAQLSFSTILFSLWLLILCAEQ >KJB78525 pep chromosome:Graimondii2_0_v6:13:2250254:2252190:-1 gene:B456_013G029900 transcript:KJB78525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCELYLKDKTDWLIPLLQTEFFGPCADHQDLRKNEKNVFCIDCNLEFCRHCKVHSQHLSLQICKYVYQDVVRLQDMQKHIDCSRIQTYKINGEKAVHLNPRPQAKDAKPSTKSKTGAACEACGRYLQDPPNRFCSIACKVCKFNPIFSPLEFPHFLLPES >KJB78524 pep chromosome:Graimondii2_0_v6:13:2250138:2252351:-1 gene:B456_013G029900 transcript:KJB78524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCELYLKDKTDWLIPLLQTEFFGPCADHQDLRKNEKNVFCIDCNLEFCRHCKVHSQHLSLQICKYVYQDVVRLQDMQKHIDCSRIQTYKINGEKAVHLNPRPQAKDAKPSTKSKTGAACEACGRYLQDPPNRFCSIACKVSAMQPGNRTDKIELPIREFPHVSWKYNKNSPEISTEEKQSSLSSTDVSEETKTWVTKSLLKPRKRVKKRKGIPHRAPVS >KJB81221 pep chromosome:Graimondii2_0_v6:13:35190029:35196211:1 gene:B456_013G133600 transcript:KJB81221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEAVAPAPSPVPSDLKRKLEDVEPHDPHADNMPRDSALDPDAQDNDAAPSDLSEAKRPRLDDDKTDGLASENGFEAEKSHEPDKEEEEGLQQNELNKQAEDGDSPAKEAEKTVKPEQYEGTAEETDGQSADNPETADAELGKVESFEADNGQEPAKEDNKQPSDDLPQQEVDDGTTITRKMEVPNAKVGVLIGKAGDTIRYLQYNSGAKIQIMRDADADRDAPTRPVEIIGTLSSIIKAEKLINAVIAEADAGGSPSLVARGLATTQAAGAADQIEIQVPNEKVGLIIGRGGETIKGLQTRSGARIQLIPQHLPEGDGSKERTVRVTGDKKQIEIAREMIKDVMNQTVRPSPLSGGFNQQGYRPRGNSGPPQWGPRGHPPQTSYDYQQRGPYPSHNSHYQPPYGGYPSHQMAPRSNFGSSWEQRPHSMQGPPQSGGYDYYSRQGGVSNQHLTSIPGHGPGPSPAPAMGPPPSQSSYNYGQPRGPADYAHPPPYSQAAPQHGYGHGYEEKYENHTPVQHPYGGHGSAQPGYAQPGPQPGYAPQQQYGKPPSYGMQSQGPQTYGPPANQPGEVPYQGPTAQSYGSNVPPQQQYAYASSGPTQQSYPPYGSAPPSDGYSQPPAVGGQAYPQQGSQPVPGYSQPTAYAQASSAAGYGQYPPSQQGYSEQTQAPNNAGYGYQGAQDSGYGGAPAATYGAPPASGQATYAQTTAAQPTYDQSVPQSGSYAAAPGSAPAGYGKTVSPQPGYAQYDSTQMYAAPR >KJB79486 pep chromosome:Graimondii2_0_v6:13:4911618:4913236:-1 gene:B456_013G052500 transcript:KJB79486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHGSKKTSEPSSSSLSSNLQYTTELSSYEAACKLDKELQTFDTNVQARTNRVINTLAMGVEVRALSFDSLKEVTGCLLEMNQEVVKVILDCKKDIWKSQELFELVEEYFENSLQTLDFCSALEKCLKRARDSQLLILMALQQFEDESEVNGSKYVKTLEELRNFKAAGNPFTDEFFQIFQSVYRQQIAMLEKLHQRKNKLDKKLKCIHTWRKVSSMIFVATFATVLICSVVAAAMAAPPVAAALAAATSIPLGSMGKWIDSLWRNYENVLKGQKEVITSMQAGTYVAIKDLDNIRVLVERLEIEIEALLQNAGFAIEQEEAVRIGIDEIKKKLGVFMKNVEDLGQQADNCSRDIRRARTVVLQRIIKHPNN >KJB79485 pep chromosome:Graimondii2_0_v6:13:4911612:4913476:-1 gene:B456_013G052500 transcript:KJB79485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHGSKKTSEPSSSSSSSSSSSSSSASTSSISLSSNLQYTTELSSYEAACKLDKELQTFDTNVQARTNRVINTLAMGVEVRALSFDSLKEVTGCLLEMNQEVVKVILDCKKDIWKSQELFELVEEYFENSLQTLDFCSALEKCLKRARDSQLLILMALQQFEDESEVNGSKYVKTLEELRNFKAAGNPFTDEFFQIFQSVYRQQIAMLEKLHQRKNKLDKKLKCIHTWRKVSSMIFVATFATVLICSVVAAAMAAPPVAAALAAATSIPLGSMGKWIDSLWRNYENVLKGQKEVITSMQAGTYVAIKDLDNIRVLVERLEIEIEALLQNAGFAIEQEEAVRIGIDEIKKKLGVFMKNVEDLGQQADNCSRDIRRARTVVLQRIIKHPNN >KJB83546 pep chromosome:Graimondii2_0_v6:13:57052812:57058920:-1 gene:B456_013G253300 transcript:KJB83546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYYRRLTVGPTVLNIPVQPPTKSKLPVFPPRRPFNNIIQSSFGITLRSRKCWRVEATVRSAGRSEGGDGAKKVGPGPGQGPGPCSYSVEDRTVEVGSKRDRTVEVAVAVAVTVVLGVGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFSVMYLRYHAGIVTHEMLSMPKAPYLVIGLLEALAAATGMAAGAILSGASIPILSQTFLVWQILLSTIFLGRRYRVNQLLGCFLVTVGVVITVASGSSSGHSLKEAGIFWSLMMIVSFLLQAADTVLKEVIFLDAEKRLKGGSVDLFVVNSYGSAFQALFICLLLPFLSKLWGIPFSQLPNYLKDGAACFLNMGGTLSSGKPQIFHYLISYLDSSMSIRLDTNMFNYF >KJB83544 pep chromosome:Graimondii2_0_v6:13:57051741:57059104:-1 gene:B456_013G253300 transcript:KJB83544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYYRRLTVGPTVLNIPVQPPTKSKLPVFPPRRPFNNIIQSSFGITLRSRKCWRVEATVRSAGRSEGGDGAKKVGPGPGQGPGPCSYSVEDRTVEVGSKRDRTVEVAVAVAVTVVLGVGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFSVMYLRYHAGIVTHEMLSMPKAPYLVIGLLEALAAATGMAAGAILSGASIPILSQTFLVWQILLSTIFLGRRYRVNQLLGCFLVTVGVVITVASGSSSGHSLKEAGIFWSLMMIVSFLLQAADTVLKEVIFLDAEKRLKGGSVDLFVVNSYGSAFQALFICLLLPFLSKLWGIPFSQLPNYLKDGAACFLNMGGTLSSGCDGAPLLPLLFIIVNMGFNIALLHLLKISSAVVSSLASTFSVPISVYIFTLPLPYLGVASSLPAGFVAGAIILVMGLLIYAWSPSDPSSAIPSPSS >KJB83545 pep chromosome:Graimondii2_0_v6:13:57052053:57059020:-1 gene:B456_013G253300 transcript:KJB83545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYYRRLTVGPTVLNIPVQPPTKSKLPVFPPRRPFNNIIQSSFGITLRSRKCWRVEATVRSAGRSEGGDGAKKVGPGPGQGPGPCSYSVEDRTVEVGSKRDRTVEVAVAVAVTVVLGVGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFSVMYLRYHAGIVTHEMLSMPKAPYLVIGLLEALAAATGMAAGAILSGASIPILSQTFLVWQILLSTIFLGRRYRVNQLLGCFLVTVGVVITVASGSSSGHSLKEAGIFWSLMMIVSFLLQAADTVLKEVIFLDAEKRLKGGSVDLFVVNSYGSAFQLPNYLKDGAACFLNMGGTLSSGCDGAPLLPLLFIIVNMGFNIALLHLLKISSAVVSSLASTFSVPISVYIFTLPLPYLGVASSLPAGFVAGAIILVMGLLIYAWSPSDPSSAIPSPSS >KJB82238 pep chromosome:Graimondii2_0_v6:13:48236293:48237953:1 gene:B456_013G183700 transcript:KJB82238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSASVFTHPLAISTLTRRPLGVSLRYHRLHHHRSPTFATLSSSSQPPSSTAVTPPPTSASENTLLSQTHQHPNPGSFNYALANPKGDSLLGIARSTESNIEKVIFDFRFLALFAVGGSLAGSLLCFLNGCVYIFEAYKVYWTGCVKGIHTGNMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNLNPELPADVDRALKGSSLFGMFAMKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVAINTGMDLLSYAICIFLSSASLYILHHLHKPE >KJB82237 pep chromosome:Graimondii2_0_v6:13:48236293:48237953:1 gene:B456_013G183700 transcript:KJB82237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSASVFTHPLAISTLTRRPLGVSLRYHRLHHHRSPTFATLSSSSQPPSSTAVTPPPTSASENTLLSQTHQHPNPGSFNYALANPKGDSLLGIARSTESNIEKGCVYIFEAYKVYWTGCVKGIHTGNMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNLNPELPADVDRALKGSSLFGMFAMKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVAINTGMDLLSYAICIFLSSASLYILHHLHKPE >KJB82762 pep chromosome:Graimondii2_0_v6:13:52467311:52473086:1 gene:B456_013G212200 transcript:KJB82762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNKGISLDEIKNESVDLERIPIEEVFEQLKCTREGLSTQEGNNRLQVFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGRPPDWQDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWSEQEAAILVPGDIITIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPSDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQQVLTAIGNFCICSIAVGIVIELIVMYPIQRRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRNLIEVFAKGVEKEQVLLYAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGKWHRASKGAPEQIITLCNCKADVRNKVHAVIDKFAERGLRSLAVARQEVPEKTKESPGAPWQLVGLLPLFDPPRHDSAETITRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQDKDSSVSALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFLFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLKEIFSTGIVLGGYLALMTVLFFWAMKDTDFFSVSTAFAMSPFMCYFL >KJB82763 pep chromosome:Graimondii2_0_v6:13:52467311:52474785:1 gene:B456_013G212200 transcript:KJB82763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNKGISLDEIKNESVDLERIPIEEVFEQLKCTREGLSTQEGNNRLQVFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGRPPDWQDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWSEQEAAILVPGDIITIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPSDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQQVLTAIGNFCICSIAVGIVIELIVMYPIQRRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRNLIEVFAKGVEKEQVLLYAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGKWHRASKGAPEQIITLCNCKADVRNKVHAVIDKFAERGLRSLAVARQEVPEKTKESPGAPWQLVGLLPLFDPPRHDSAETITRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQDKDSSVSALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFLFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLKEIFSTGIVLGGYLALMTVLFFWAMKDTDFFSDKFHVRSLRDRPEQMMAALYLQVSIVSQALIFVTRSRSWSFVERPGLLLVTAFIAAQLVATLIAVYANWRFAKIQGMGWGWAAVIWLYSLVTYIPLDLIKFAIRYVLSGKAWDNLLENKTAFTTKKDYGKEEREAQWAAAQRTLHGLQPPETSNVFNERSSYRELSEIAEQAKRRAEVARLRELNTLKGHVESVVKLKGLDIDTIQQHYTV >KJB81188 pep chromosome:Graimondii2_0_v6:13:35967833:35973004:1 gene:B456_013G135100 transcript:KJB81188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGSAIDDDWEFTSPSNGIKTVVLVGRTGNGKSATGNSILGKKSFKSRASSSGVTSTCELQKTVLKDGQILNVIDTPGLFDFSAGSEFIGKEIVKCIDLAKDGIHAVLVVFSVRTRFSQEEEAALRSLQTLFGSKIVNYMIVVFTGGDELEENEETLEDYLGRECPQPLKDILGLCGNRLVLFDNKTKDETKRVKQVQDLLTLVNMVIEQNGGQPYTDELFAELKFKEQIERSYEEQLMRITEMVESKLKETTVRLEQQLAEEQAARLKAEELAQLAQMKSNDEIRKLRENLERAQKETEELRKQAARCAIL >KJB81190 pep chromosome:Graimondii2_0_v6:13:35967949:35973007:1 gene:B456_013G135100 transcript:KJB81190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGSAIDDDWEFTSPSNGIKTVVLVGRTGNGKSATGNSILGKKSFKSRASSSGVTSTCELQKTVLKDGQILNVIDTPGLFDFSAGSEFIGKEIVKCIDLAKDGIHAVLVVFSVRTRFSQEEEAALRSLQTLFGSKIVNYMIVVFTGGDELEENEETLEDYLGRECPQPLKDILGLCGNRLVLFDNKTKDETKRVKQVQDLLTLVNMVIEQNGGQPYTDELFAELKKGATKLRDQQEVVAALKGYSKREISEFKEQIERSYEEQLMRITEMVESKLKETTVRLEQQLAEEQAARLKAEELAQLAQMKSNDEIRKLRENLERAQKETEELRKQAARCAIL >KJB81186 pep chromosome:Graimondii2_0_v6:13:35969480:35971409:1 gene:B456_013G135100 transcript:KJB81186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGSAIDDDWEFTSPSNGIKTVVLVGRTGNGKSATGNSILGKKSFKSRASSSGVTSTCELQKTVLKDGQILNVIDTPGLFDFSAGSEFIGKEIVKCIDLAKDGIHAVLVVFSVRTRFSQEEEAALRSLQTLFGSKIVNYMIVVFTGGDELEENEETLEDYLGRECPQPLKDILGLCGNRLVLFDNKTKDETKRVKQVQDLLTLVNMVIEQNGGQPYTDELFAELKV >KJB81189 pep chromosome:Graimondii2_0_v6:13:35967788:35973007:1 gene:B456_013G135100 transcript:KJB81189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGSAIDDDWEFTSPSNGIKTVVLVGRTGNGKSATGNSILGKKSFKSRASSSGVTSTCELQKTVLKDGQILNVIDTPGLFDFSAGSEFIGKEIVKCIDLAKDGIHAVLVVFSVRTRFSQEEEAALRSLQTLFGSKIVNYMIVVFTGGDELEENEETLEDYLGRECPQPLKDILGLCGNRLVLFDNKTKDETKRVKQVQDLLTLVNMVIEQNGGQPYTDELFAELKKGATKLRDQQEVVAALKGYSKREISEFKEQIERSYEEQLMRITEMVESKLKETTVRLEQQLAEEQAARLKAEELAQLAQMKSNDEIRKLRENLERAQKETEELRKQAARCAIL >KJB81187 pep chromosome:Graimondii2_0_v6:13:35967833:35971445:1 gene:B456_013G135100 transcript:KJB81187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGSAIDDDWEFTSPSNGIKTVVLVGRTGNGKSATGNSILGKKSFKSRASSSGVTSTCELQKTVLKDGQILNVIDTPGLFDFSAGSEFIGKEIVKCIDLAKDGIHAVLVVFSVRTRFSQEEEAALRSLQTLFGSKIVNYMIVVFTGGDELEENEETLEDYLGRECPQPLKDILGLCGNRLVLFDNKTKDETKRVKQVQDLLTLVNMVIEQNGGQPYTDELFAELKV >KJB81185 pep chromosome:Graimondii2_0_v6:13:35967956:35973004:1 gene:B456_013G135100 transcript:KJB81185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGSAIDDDWEFTSPSNGIKTVVLVGRTGNGKSATGNSILGKKSFKSRASSSGVTSTCELQKTVLKDGQILNVIDTPGLFDFSAGSEFIGKEIVKCIDLAKDGIHAVLVVFSVRTRFSQEEEAALRSLQTLFGSKIVNYMIVVFTGGDELEENEETLEDYLGRECPQPLKDILGLCGNRLVLFDNKTKDETKRVKQVQDLLTLVNMVIEQNGGQPYTDELFAELKKGATKLRDQQEVVAALKGYSKREISEFKEQIERSYEEQLMRITEMVESKLKETTVRLEQQLAEEQAARLKAEELAQLAQMKSNDEIRKLRENLERAQKETEELRKQAARCAIL >KJB80208 pep chromosome:Graimondii2_0_v6:13:12839697:12840706:1 gene:B456_013G086200 transcript:KJB80208 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase MPSR1 [Source:Projected from Arabidopsis thaliana (AT1G26800) UniProtKB/Swiss-Prot;Acc:Q9LQX2] MASETEAPEISSLFERLLRHRDLYFFLPFILGVSNNSVSRENSENPDQETSQETTSQRERIILVNPFTQGMVVVEGDSNLEALLRGWVNKDGQPPASKASIEAMPSVKIGEIEDGECVVCLEEWKSGEVVKEMPCKHKFHDECIQKWLVIHGSCPVCRYKMPVDEEDMGKKRDEERSRREIWVSLSFDSTRRTGDSNQVPSTDNEMEG >KJB83687 pep chromosome:Graimondii2_0_v6:13:57393606:57395841:-1 gene:B456_013G258500 transcript:KJB83687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSTDPTQQLNDYIRTMHDQGILDDNFDQLLALRREQNPRFITELISMFTNDAEAYIAEITNALSLTEVDFSTIISKLHQLKGSTSGIGGHRMYQACCELRVAADDGDKDRCDELFLRVKEEFNTLKQCFDSISEMRRNIISNGTRRRRRRPL >KJB82181 pep chromosome:Graimondii2_0_v6:13:47381564:47383894:-1 gene:B456_013G180000 transcript:KJB82181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQGVRRLLVPKSKVWKGMSKRFSILYNGKWLKNIDNGSSNNLIANANRPSSSSAAAYMRDKFCCLSREDMIRFLIGCLGALAPLPLSSISSLGVVNLNYSSIEASLPAVEATQKHPGDPTAVAVVEVTQDGRHKILGEISASKLWKCDYLAAAWALANLSAGQFVMGAEDNVSSRLLPDISVNSTVEDNKVANGGGSARARKFSSRSIGFNPVSPSFGMGRTMYRGRSAPLTCKTSSSLAAVMAQMLSHRANHVWVTEDDNDDVLVGMVSYADILVAVTKQPAAFIPSTRSITELASEIQS >KJB82180 pep chromosome:Graimondii2_0_v6:13:47381556:47385173:-1 gene:B456_013G180000 transcript:KJB82180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLLYHVVGDLTVGKPELVEFCDVETVESAIRAIGGSTECGIPVWKRSSHVGMIENNEMRQQRFVGILTSLDIISFLAKTQCLEDQDKAMKTQVSDVVVPNNTLLKIVDPGTRLIDALEMMKQGVRRLLVPKSKVWKGMSKRFSILYNGKWLKNIDNGSSNNLIANANRPSSSSAAAYMRDKFCCLSREDMIRFLIGCLGALAPLPLSSISSLGVVNLNYSSIEASLPAVEATQKHPGDPTAVAVVEVTQDGRHKILGEISASKLWKCDYLAAAWALANLSAGQFVMGAEDNVSSRLLPDISVNSTVEDNKVANGGGSARARKFSSRSIGFNPVSPSFGMGRTMYRGRSAPLTCKTSSSLAAVMAQMLSHRANHVWVTEDDNDDVLVGMVSYADILVAVTKQPAAFIPSTRSITELASEIQS >KJB83943 pep chromosome:Graimondii2_0_v6:13:53839220:53854330:1 gene:B456_013G218600 transcript:KJB83943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase and polymerase-containing protein TEBICHI [Source:Projected from Arabidopsis thaliana (AT4G32700) UniProtKB/Swiss-Prot;Acc:Q588V7] MMASGSPRARIDKFFASKKRKTQSPGLKTGRLEKNEKTTVECSPSAKGTLNSYIRTSQDNEIVHPSCTTRGKDPIKMNLASEIDKSFKHENEHSLLLAETKSQAFEETHKGISMGLSEAGNAAFGDHAEGAQIGENPELKKFATDFLSLYCSEVPVNVDSPSETKVNNLKRHGGPSMLSEEDKRFKKRHLIAQQIQTVDIAVCSTNTNLEYETEEFLCNPSQDVNTSSNPFELQAGLRKCNTATKSVLHTMECHTPGSSVIKGCSHRTPQSMRGSSMFSPGEAFWNEAIEIADGLFSQSDILSARVAEGINNPESQYEVKNTGNLGNTNVGYKSKEISDECESRVKLQGISASLESAVKQKKEIDKEVSLLPVKHLDFSFEDKNLDGGICHVLEKDSQEAEGSIINHILPPTVNKLIDHAELQKTEEGGKLEQASIHVVPKVEVNLSSQDNDSITSMSPANAAKKSIGTDEGNESSTPLSSVALKDKLSISSWLPLEICKIYKKKGIEQLYPWQVDCLQVDGVLQRRNLVYCASTSAGKSFVAEILMLRRLILTRKAALLVLPYVSICVEKAEHLEVLLEPLGKQVRSYYGNQGGGTLPKDTSVAVCTIEKANSLVNRLLEEGRLSEIGIIVIDELHMVGDQSRGYLLELLLTKLRYAAGEGTPESSSGESSGSSSGKADPAHGLQIVGMSATMPNVEAVADWLQAALYQTNFRPVPLEEFIKVGNTIYDKNLDLVRTIPKAVDLGGKDPDHVVELCNEVVQEGQSVLIFCSTRKGCESTAKHVAKFLKKFSVTAHGDNSEFIDITSAIDALRRCPAGLDPVLEETLPSGVAYHHAGLTVEEREVIETCYRRGFVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMAGRAGRTGIDTKGESVLICKTEEIKRIKGLLNESCPPLQSCLSEDKNGMTHAILEVVAGGMVQTANDINRYVRCTLLNSTKPFQEVVKSAQESLRWLCHRKFLEWNDETKLYGTTPLGRAAFGSSLCPEESLIVLDDLSRAREGFVLASDLHLVYLVTPINVEVEPDWELYYERFMELSALEQSVGYRVGVTEPFLMRMAHGVPISKSNGLRDSLKRLPAQFGNQPGINNSTMLSDEQTLRVCKRFYVALILSRLVQEAPVGEVCEAFRVAKGMVQALQENAGRFASMVSVFCERLGWHDLEDLVAKFQNRVSFGVRAEIVELTTIPYVKGSRARALYKAGLRTPLAIAEASIPEIVKALFESSSWVAQESLAQRRMQFGVAKKIKNGARKIVLDKAEEARAAAFSAFKSLGYSVPQFSRPLILSGSPGGEEAASTGAGDGSPCNVIGVEQIHTSAMPLMETGKNLEKVSSPNEGIMLTKASADNLVASAEVNIDTTLQSNLGLENPAAVTGDKVNAVVEQGRSIKMATVSEYLDQGMQDRLNEDLSVGNADSACGKGPLNAVNAPGGFDSFLELWETAPEFCFDVHFNRRSEANSVAPFEIHGIAICWENSPVYYVKLPKDLLWLDNRKNNFLSTSASSGKCNSLPPEHMLEMAKLRWKRIGDIMGKNGVHKLTWNLKVQIQVLKSSAISIQRFSGMHLGGKDMGLEIIDNSCLLLPPVLINDGFDMCIAAWILWPDEERSSRPNLENEVKKRLSSEAAAAANQSGRWKNQMRRASHNGCCHRVAQTRALYSAFWKLLISEKLIDVFSYIETPLVRVLAEMELWGIGINMEGCLWARNLLGEKLRYLEKEAYKLAGMKFSLSTAADIANVLYGHLKLPVPEGRNKGKQHPSTDKHCLDLLRDEHPIVPVIKEHRTLAKLLNCTLGSICSLARLSRSTNKYTLHGRWLQTSTATGRLSMEEPNLQCVEHMVEFSLSKDKNGSDANTDHYKINVRDFFIPTQDNWLLLTADYSQIELRLMAHFSNDSALIKLLSKPQGDVFTMMSALWTGRAEDSVSSNERDQTKRLIYGILYGMGADTLAEQLNCTPDEAKEKIKSFKSSFPDVASWLREAVASCRQKGYIETLKGRKRFLSKIKIGNSEEKSKAQRQAVNSICQGSAADIIKIAMIKLHSVIVEGVDSLESGSSILTKFHMLKGRCRILLQVHDELVLEVDPSVIDEAALLLRMSMENAVSLLVPLRVKLNVGKTWGSLKPFQADQQMEEAISNV >KJB83942 pep chromosome:Graimondii2_0_v6:13:53839220:53854355:1 gene:B456_013G218600 transcript:KJB83942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase and polymerase-containing protein TEBICHI [Source:Projected from Arabidopsis thaliana (AT4G32700) UniProtKB/Swiss-Prot;Acc:Q588V7] MMASGSPRARIDKFFASKKRKTQSPGLKTGRLEKNEKTTVECSPSAKGTLNSYIRTSQDNEIVHPSCTTRGKDPIKMNLASEIDKSFKHENEHSLLLAETKSQAFEETHKGISMGLSEAGNAAFGDHAEGAQIGENPELKKFATDFLSLYCSEVPVNVDSPSETKVNNLKRHGGPSMLSEEDKRFKKRHLIAQQIQTVDIAVCSTNTNLEYETEEFLCNPSQDVNTSSNPFELQAGLRKCNTATKSVLHTMECHTPGSSVIKGCSHRTPQSMRGSSMFSPGEAFWNEAIEIADGLFSQSDILSARVAEGINNPESQYEVKNTGNLGNTNVGYKSKEISDECESRVKLQGISASLESAVKQKKEIDKEVSLLPVKHLDFSFEDKNLDGGICHVLEKDSQEAEGSIINHILPPTVNKLIDHAELQKTEEGGKLEQASIHVVPKVEVNLSSQDNDSITSMSPANAAKKSIGTDEGNESSTPLSSVALKDKLSISSWLPLEICKIYKKKGIEQLYPWQVDCLQVDGVLQRRNLVYCASTSAGKSFVAEILMLRRLILTRKAALLVLPYVSICVEKAEHLEVLLEPLGKQVRSYYGNQGGGTLPKDTSVAVCTIEKANSLVNRLLEEGRLSEIGIIVIDELHMVGDQSRGYLLELLLTKLRYAAGEGTPESSSGESSGSSSGKADPAHGLQIVGMSATMPNVEAVADWLQAALYQTNFRPVPLEEFIKVGNTIYDKNLDLVRTIPKAVDLGGKDPDHVVELCNEVVQEGQSVLIFCSTRKGCESTAKHVAKFLKKFSVTAHGDNSEFIDITSAIDALRRCPAGLDPVLEETLPSGVAYHHAGLTVEEREVIETCYRRGFVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMAGRAGRTGIDTKGESVLICKTEEIKRIKGLLNESCPPLQSCLSEDKNGMTHAILEVVAGGMVQTANDINRYVRCTLLNSTKPFQEVVKSAQESLRWLCHRKFLEWNDETKLYGTTPLGRAAFGSSLCPEESLIVLDDLSRAREGFVLASDLHLVYLVTPINVEVEPDWELYYERFMELSALEQSVGYRVGVTEPFLMRMAHGVPISKSNGLRDSLKRLPAQFGNQPGINNSTMLSDEQTLRVCKRFYVALILSRLVQEAPVGEVCEAFRVAKGMVQALQENAGRFASMVSVFCERLGWHDLEDLVAKFQNRVSFGVRAEIVELTTIPYVKGSRARALYKAGLRTPLAIAEASIPEIVKALFESSSWVAQESLAQRRMQFGVAKKIKNGARKIVLDKAEEARAAAFSAFKSLGYSVPQFSRPLILSGSPGGEEAASTGAGDGSPCNVIGVEQIHTSAMPLMETGKNLEKVSSPNEGIMLTKASADNLVASAEVNIDTTLQSNLGLENPAAVTGDKVNAVVEQGRSIKMATVSEYLDQGMQDRLNEDLSVGNADSACGKGPLNAVNAPGGFDSFLELWETAPEFCFDVHFNRRSEANSVAPFEIHGIAICWENSPVYYVKLPKDLLWLDNRKNNFLSTSASSGKCNSLPPEHMLEMAKLRWKRIGDIMGKNGVHKLTWNLKVQIQVLKSSAISIQRFSGMHLGGKDMGLEIIDNSCLLLPPVLINDGFDMCIAAWILWPDEERSSRPNLENEVKKRLSSEAAAAANQSGRWKNQMRRASHNGCCHRVAQTRALYSAFWKLLISEKLIDVFSYIETPLVRVLAEMELWGIGINMEGCLWARNLLGEKLRYLEKEAYKLAGMKFSLSTAADIANVLYGHLKLPVPEGRNKGKQHPSTDKHCLDLLRDEHPIVPVIKEHRTLAKLLNCTLGSICSLARLSRSTNKYTLHGRWLQTSTATGRLSMEEPNLQCVEHMVEFSLSKDKNGSDANTDHYKINVRDFFIPTQDNWLLLTADYSQIELRLMAHFSNDSALIKLLSKPQGDVFTMMSALWTGRAEDSVSSNERDQTKRLIYGILYGMGADTLAEQLNCTPDEAKEKIKSFKSSFPDVASWLREAVASCRQKGYIETLKGRKRFLSKIKIGNSEEKSKAQRQAVNSICQGSAADIIKIAMIKLHSVIVEGVDSLESGSSILTKFHMLKGRCRILLQVHDELVLEVDPSVIDEAALLLRMSMENAVSLLVPLRVKLNVGKTWGSLKPFQADQQMEEAISNV >KJB79611 pep chromosome:Graimondii2_0_v6:13:6008992:6009381:-1 gene:B456_013G058400 transcript:KJB79611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLPTTTLPPLPSIPNLPQPTMPRPGALPPLPTMPALPSLPSVPRATLPPLPSIPSIPTAIPSIPFLSPPPSPPATP >KJB81111 pep chromosome:Graimondii2_0_v6:13:33876023:33881308:-1 gene:B456_013G129700 transcript:KJB81111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFAVTNSQLSFNLFNFSVSRREAFISRQAASGIRHRFSSSRWLSLNSPPTNTHSRSFDSFKLRCSITNTDVHFNQVATEDEDLSATDSTTPILQLKSDVLETESLNILTGDTYVDNLLTTLPVLSEEEQEALAATPAHPEGLYAFYASCLAGNLVEQLWNFAWPSAIALLHPSLLPVAVMGFFTKLVIIIGGPLVGKLMDHSPRVPSYIFLNAVQASAQLLSVAMIIHAHSVSSASASSSLLHPWFAVLVIAGAIEKLSGVALGVAMERDWVVLLAGTNRPTALAQANAVLNRIDLLCEIAGTSLFGVLLSRYDPVTCLKFAAGLMMSSLPVMIGLTWLTNKLSAGVLDRAKCSQSCCRTSAEGPLPDADNLVDTGLKAIKLGWREYIQQPVLPASLAYVLLYFNVVLTPGSLLTAFLTQRGLNPSIIGGFSGLCAFMGVAATFISATLVRRFGILKAGAVGLIFQASLLTIAVAIYQSGPLAQRSPLLFFLCLIVLSRLGHMSYDIVGAQILQTGIPSSKANLIGTTEISVASLAESLMLGIAIIANDVSHFGFLALLSLLSVVGASWIFCRWLLNPTEEQRSLFSFDPQS >KJB81112 pep chromosome:Graimondii2_0_v6:13:33874944:33881329:-1 gene:B456_013G129700 transcript:KJB81112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFAVTNSQLSFNLFNFSVSRREAFISRQAASGIRHRFSSSRWLSLNSPPTNTHSRSFDSFKLRCSITNTDVHFNQVATEDEDLSATDSTTPILQLKSDVLETESLNILTGDTYVDNLLTTLPVLSEEEQEALAATPAHPEGLYAFYASCLAGNLVEQLWNFAWPSAIALLHPSLLPVAVMGFFTKLVIIIGGPLVGKLMDHSPRVPSYIFLNAVQASAQLLSVAMIIHAHSVSSASASSSLLHPWFAVLVIAGAIEKLSGVALGVAMERDWVVLLAGTNRPTALAQANAVLNRIDLLCEIAGTSLFGVLLSRYDPVTCLKFAAGLMMSSLPVMIGLTWLTNKLSAGVLDRAKCSQSCCRTSAEGPLPDADNLVDTGLKAIKLGWREYIQQPVLPASLAYVLLYFNVVLTPGSLLTAFLTQRGLNPSIIGGFSGLCAFMGVAATFISATLVRRFGILKAGAVGLIFQASLLTIAVAIYQSGPLAQRSPLLFFLCLIVLSRLGHMSYDIVGAQILQTGIPSSKANLIGTTEISVASLAESLMLGIAIIANDVSHFGFLALLSLLSVVGASWIFCRWLLNPTEEQRSLFSFDPQS >KJB82009 pep chromosome:Graimondii2_0_v6:13:45850619:45853444:-1 gene:B456_013G172100 transcript:KJB82009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERKKNPKHFQLNPNWAQLQQKLKSFAKPSRHSKNPQSETPNSILGKRKERPNSEPDDAKPNPLMPTNDDSSVTDVLAMDCEMVGVGQGNKSALGRVTVVNKWRNVIYDEFVRPVEHVVDFRTRISGIRPRDLRKAKDFRVVQKKVAELIKGRILVGHALHNDLKALLLMHPKKDLRDTSEYQPFLKEGRRKALRHLAAEVLGVEIQNGEHCPVSKMLIIYLFSKQLAKHEIITLKLHIKTWLIDLLCSKLVY >KJB82007 pep chromosome:Graimondii2_0_v6:13:45849698:45853569:-1 gene:B456_013G172100 transcript:KJB82007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERKKNPKHFQLNPNWAQLQQKLKSFAKPSRHSKNPQSETPNSILGKRKERPNSEPDDAKPNPLMPTNDDSSVTDVLAMDCEMVGVGQGNKSALGRVTVVNKWRNVIYDEFVRPVEHVVDFRTRISGIRPRDLRKAKDFRVVQKKVAELIKGRILVGHALHNDLKALLLMHPKKDLRDTSEYQPFLKEGRRKALRHLAAEVLGVEIQNGEHCPTMLVLQCFFTQKTGRNGKEALRIN >KJB82010 pep chromosome:Graimondii2_0_v6:13:45849698:45853641:-1 gene:B456_013G172100 transcript:KJB82010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERKKNPKHFQLNPNWAQLQQKLKSFAKPSRHSKNPQSETPNSILGKRKERPNSEPDDAKPNPLMPTNDDSSVTDVLAMDCEMVGVGQGNKSALGRVTVVNKWRNVIYDEFVRPVEHVVDFRTRISGIRPRDLRKAKDFRVVQKKVAELIKGRILVGHALHNDLKALLLMHPKKDLRDTSEYQPFLKEGRRKALRHLAAEVLGVEIQNGEHCPVDDARAAMLLYSKNRKEWERSVKDQLRLKEKQKKRKQRKKPKADINHSLIAS >KJB82008 pep chromosome:Graimondii2_0_v6:13:45850913:45853444:-1 gene:B456_013G172100 transcript:KJB82008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERKKNPKHFQLNPNWAQLQQKLKSFAKPSRHSKNPQSETPNSILGKRKERPNSEPDDAKPNPLMPTNDDSSVTDVLAMDCEMVGVGQGNKSALGRVTVVNKWRNVIYDEFVRPVEHVVDFRTRISGIRPRDLRKAKDFRVVQKKVAELIKGRILVGHALHNDLKALLLMHPKKDLRDTSEYQPFLKY >KJB82006 pep chromosome:Graimondii2_0_v6:13:45849698:45853562:-1 gene:B456_013G172100 transcript:KJB82006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERKKNPKHFQLNPNWAQLQQVLFFPKILFFLSDFLLIVSLIHLQKLKSFAKPSRHSKNPQSETPNSILGKRKERPNSEPDDAKPNPLMPTNDDSSVTDVLAMDCEMVGVGQGNKSALGRVTVVNKWRNVIYDEFVRPVEHVVDFRTRISGIRPRDLRKAKDFRVVQKKVAELIKGRILVGHALHNDLKALLLMHPKKDLRDTSEYQPFLKEGRRKALRHLAAEVLGVEIQNGEHCPVDDARAAMLLYSKNRKEWERSVKDQLRLKEKQKKRKQRKKPKADINHSLIAS >KJB78697 pep chromosome:Graimondii2_0_v6:13:893419:897037:1 gene:B456_013G013300 transcript:KJB78697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKARKASKKAIKKASSQFPNSDSKTASADFLPLEGGPREIPETKPLQNSATVLYVGRIPHGFYEKEMEAYFQQFGAIKRLRIARNKKTGKSKHFGFIEFENPQVAEVVADCMHNYLLFEHLLQVHLIPPEHVHPKLWRGFNYKFKPVDYVQIERKRHNKVRTLEEHKKLVEKIIKRDTKRRKRIEAAGIDYECPEIVGSGQSAPKKIKFDED >KJB78698 pep chromosome:Graimondii2_0_v6:13:893384:897127:1 gene:B456_013G013300 transcript:KJB78698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKARKASKKAIKKASSQFPNSDSKTASADFLPLEGGPREIPETKPLQNSATVLYVGRIPHGFYEKEMEAYFQQFGAIKRLRIARNKKTGKSKHFGFIEFENPQVAEVVADCMHNYLLFEHLLQVHLIPPEHVHPKLWRGFNYKFKPVDYVQIERKRHNKVRTLEEHKKLVEKIIKRDTKRRKRIEAAGIDYECPEIVGSGQSAPKKIKFDED >KJB78699 pep chromosome:Graimondii2_0_v6:13:893478:895595:1 gene:B456_013G013300 transcript:KJB78699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKARKASKKAIKKASSQFPNSDSKTASADFLPLEGGPREIPETKPLQNSATVLYVGRIPHGFYEKEMEAYFQQFGAIKRLRIARNKKTGKSKHFGFIEFENPQVAEVVADCMHNYLLFEHLLQVHLIPPEHVHPKLWRGFNYKFKPVDYVQIERKRHNKVLNRHREVICHI >KJB81479 pep chromosome:Graimondii2_0_v6:13:40441036:40444734:-1 gene:B456_013G147400 transcript:KJB81479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSGLTFKLHPLVIVNISDHYTRVKSQLNPPPLTSTSATVNGVENQQQAPRVYGCVIGVQRGRTVEIFNSFELLYDPSTHSLDRPFLKKKQELYKKVFPHFYILGWYSTGSDAQESDMHIHRALMDINESPLYVLLNPAINPTQKDLPVTIYESELHVIDGIPQLIFVRSSYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVLHHYLVGMQKGDIPCENSLLRQVSSLLRRLPAIESEKFQDDFLMEYNDTLLITYLAMFTNCSSTMNELVDKFNTAYDRHSRRGGRTAFI >KJB79199 pep chromosome:Graimondii2_0_v6:13:2984687:2990667:-1 gene:B456_013G037600 transcript:KJB79199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLVKKDDDRDDEADYSPFLGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDIGLRRMVYVMIKELSPSADEVIIVTSSLMKDMTSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVNKLVSSLTKGSVRSPLAQCLLIRYTSQVIRESANNNQAGDRPFYDFLEGCLRHKAEMVIFEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKHRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPEAKESGLLHLCEFIEDCEFTYLSAQILHFLGIEGPKTSDPSKYIRYIYNRVHLENATVRAGAVSTLAKFGAMVDTLKPRVFVLLRRCLFDNDDEVRDRATLYLNTLGGDGAVVETGEDVKEFLFGSLDIPLVNLENSLKNYEPSEEAFDINSVPKEVKTQPLAEKKAPGKKPTGLGAPPAGPPSTVDAYEKLLSSIPEFANFGKLFKSSAPVELTEAETEYAVNVVKHIFDGHVVFQYNCTNTIPEQLLENVTVIVDASEAEEFAEVASKPLRSLPYDSPGQTFVAFEKPEGVPAIGKFSNMLRFIVKEVDPSTGEAEDDGVEDEYQLEELEVVAADYMLKVGVSNFRNAWETMDADCERVDEYGLGPRESLAEAVNAVINLLGMQPCEGTEVVPNNSRSHTCLLSGVYIGNVKVLVRLQFGLDGPKDVAMKLAVRSEDEAVSDAIHEIVASG >KJB80041 pep chromosome:Graimondii2_0_v6:13:10167687:10169329:1 gene:B456_013G078000 transcript:KJB80041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAGYSYSWFLLSFFALIPTLRAHIAEYDEYWKARELEAIENLNKAYHPNPEEVVRHYNDHFVNTMLEYNNTRRVLKGKKNGPCEITNPVDSCWRCDPNWVKNRKRLADCAPGFARGTTGGKDGKFYVVTDPNDDIANPKPGTLRHAVTQLRPLWITFKKSMIIKLKQELIVTSDKTIDARGANVHICYGAGITVQFSRNVIIHGLHIHHIKPATGGIIRDAENHIGLRTASDGDAISLFGATNIWLDHLSLYDSSDGLIDVIQGSTAITISNCHFTDHNEVLLFGASDNYVADEKMQITVALNRFGKGLVDRMPRCRLGFFHVVNNDYTHWFMYAIGGSSHPTIISQGNRYIASSIYVTKQVTSRGYLSPEQWNKWNWVSQGDHFINGAYFITSGDPNASKLFGAEKMMPFQPGRLVPKLTRYAGTLHCRIGRPC >KJB83727 pep chromosome:Graimondii2_0_v6:13:57569318:57573209:1 gene:B456_013G261500 transcript:KJB83727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFNLLFTAFIFSFILFHSNALNVTHDSRSIIIDGNHRIILSGSIHYPRSTAQMWPDLIRKAKEGGLDAVETYVFWNAHEPARRQYDFSGNLDLIRFLKTIQDQGLYAILRIGPYTCAEWNYGGFPVWLHNMPGVSLRTKNDVFMNEMKNFTTLIVDMVENEYGNVMEPYGDEGKSYINWCAQMADSLDIGVPWIMCQQAAPPKPMLETCNGWYCDEYKPKDPNTPKLWTENWTGWFKSWGGADPFRTAEDLAYSVARFFQKGGTLQNYYMYHGGTNFGRTSGGPYITTTYDYNAPLDEYGNLNQPKWGHLKQLHDVLHSIEYILTNGEVKNEKLSNLVMATIYQTKEKSSCFLSNTNTKIDANVNFGGINYFVPAWSISILPDCREEAYNTAKVSSQTSLMVKKLNKAEDEPNSLKWMWRPELIESTSVQGRGDVSVNKIVDQKDMANDASDYLWYMTSIDVAKDDPMLNGTVTLRVNDTGHVLHAFFNGEYIGSQWSKYGNNNVTYVFERNIEPSPGKNLISLLSVTVGLKNYGPMFDLVGAGITSPIELVLNKNDVKDLSSNKWIYKVGLNGISNKFFDTDCASKSSSKWVSDPISIDRNFTWYKTTFKAPLGNKPVVVDLLGLGKGMAWVNGHSLGRYWPSYIADKQLCKTETCDYRGRYSDSKCVSKCGEPTQRWYHVPRSFLKDGENTLVLFEEFGGNPSGIQFQTVEIGSVCINTHEGKEVELSCQDRPISKIKFASFGSPQGVCGSFDKSESDSEVDALSILEKECLGKESCSFKITEDKFGRPSCEVKKLAVEAVCEDFTF >KJB79045 pep chromosome:Graimondii2_0_v6:13:2364404:2364954:-1 gene:B456_013G031300 transcript:KJB79045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATKFMAIRLAFLLLLFTSLPAFHECSRSSSIHGNELDPGSKITLGPYHRPCPTSGPASGDGIFRSCWCCISRKSECYTVRADCEKNCPLGPPPDLPFP >KJB82552 pep chromosome:Graimondii2_0_v6:13:51313143:51314272:1 gene:B456_013G2021001 transcript:KJB82552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHLIQGIGAGIIPDVLDVGLLDEVVQISSEEAIETAKLLALKEGLLVGISSGAAAAAAIKLAKRPENSGKLIVVIFPGAGERYLSSALFESLRHEAENMAID >KJB80536 pep chromosome:Graimondii2_0_v6:13:19025498:19028262:1 gene:B456_013G102500 transcript:KJB80536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSAIGFEGYEKRLEISFFEPGALVDPEGKGLRSLSRAQLDEILGPAECTIVSSLSNYHLDSYVLSESSLFVYPYKIIIKTCGTTKLLLSIPPILKLAGSLSLTVKSVRYTRGSFIFPAAQPYPHRYFTEEVVILDSHFGKLGAGSKAYEMGGLDKQKWHVYSASAESVNTKAPTYTLEMCMTGLDTEKATVFYKDESTSAASMTMKSGIRNILPNSEICDFEFEPCGYSMNSIETDAISTIHVTPEDGFSYASFEAVGYDLKELNLEQLVQRVLVCFKPSNFSVAVHVDVDCHSFEQTCLLDVKGYRCRESSIEELGSGGSIIYQSFNGIGGCGSPRSTLSCLWEEEEEYYYN >KJB80683 pep chromosome:Graimondii2_0_v6:13:24950229:24951452:1 gene:B456_013G110100 transcript:KJB80683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNCSCYQNLSYDISCYYSRTRSRSVSIKSSIKVYFDEHPRWRYPFHDLTTSDQIAHRAQPNNLRWNRELSLKTQVSH >KJB83502 pep chromosome:Graimondii2_0_v6:13:56817215:56819541:1 gene:B456_013G250400 transcript:KJB83502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFVIGDSKIDMEEETQAYEIDGNKLENVLSLLQSRVDGSLESNLNAMDLDLHEDFVVKVVETPYILGENLIRFLKWVMEKPGFNVTATVVDSLVKVICSDARMKNAYDLWDLVKKIGEKRDGVLNVGVLNELIALFSKLGKGKAAMEVFDKFADFGCVPNEDSFYFTIEALCRRSFYDWGWSVCEKMLGEESLPDGERVGKIISWFCKGGKAKEAHTVYLSAKGKNKVLPRSSVNFLIGSLCKKDETVKLALEMLDGFSGDERKYAIKPFSAVVRGLCRTKNVDEAKNVILRMIEEGPPPGNAVFNSVVNGYSKAGDMEKAKEVMELMETRGLKPDVYTYTVVMSGYANGGQMDQACEVLSEAKKKHTKLSPATYHTLIRGYCKIKEFDKALNLLAEMKDFGVQPNVDEYNKLIQSLCLKVLDWQTAEKLFDEMKENGLYLNGITRSLIMAVKELEAEGTDIMESTTKA >KJB83501 pep chromosome:Graimondii2_0_v6:13:56817161:56819543:1 gene:B456_013G250400 transcript:KJB83501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSMAARSRLLMVAREFGATQMNQVLCRKPFHSFPKASLSPILHRFFSHSSANPSDDSSPGFTENGDPQLDFIAGIETSDTQVPSFEGLAVDETGMDNFVIGDSKIDMEEETQAYEIDGNKLENVLSLLQSRVDGSLESNLNAMDLDLHEDFVVKVVETPYILGENLIRFLKWVMEKPGFNVTATVVDSLVKVICSDARMKNAYDLWDLVKKIGEKRDGVLNVGVLNELIALFSKLGKGKAAMEVFDKFADFGCVPNEDSFYFTIEALCRRSFYDWGWSVCEKMLGEESLPDGERVGKIISWFCKGGKAKEAHTVYLSAKGKNKVLPRSSVNFLIGSLCKKDETVKLALEMLDGFSGDERKYAIKPFSAVVRGLCRTKNVDEAKNVILRMIEEGPPPGNAVFNSVVNGYSKAGDMEKAKEVMELMETRGLKPDVYTYTVVMSGYANGGQMDQACEVLSEAKKKHTKLSPATYHTLIRGYCKIKEFDKALNLLAEMKDFGVQPNVDEYNKLIQSLCLKVLDWQTAEKLFDEMKENGLYLNGITRSLIMAVKELEAEGTDIMESTTKA >KJB83649 pep chromosome:Graimondii2_0_v6:13:57277435:57281425:1 gene:B456_013G256700 transcript:KJB83649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIEADKNGGKKEICCGTFLNPGSFNAALLAAGTTLSAMKYILDGYGELVYALVRPPGHHAQPTQADGYCFFNNAGLAVQLALDSGCKKVLVLDIDVHYGNGTAEGFYRSDKVLTVSLHMNHGSWGPSHPQNGTVDELGEGEGFGYNLNVPLPNGSGDKGYGYAMREVVIPAVEKFEPDMMVLVIGQDSSAFDPNGRQCLTMDGYREIGRMVRRLADKHCGSRLLIVQEGGYHVTYSAYCVHATLEGVLDLPHPLLSDPIAYYPEDETFAVKAIEAIKQFQMKNVPMLKDV >KJB83647 pep chromosome:Graimondii2_0_v6:13:57277545:57280444:1 gene:B456_013G256700 transcript:KJB83647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSTSCRIDVFWHDGMLNHDTGKGVFDTGMNPGFLEVLEKHPENSDRIRNIVSILSKGPISSYISWHSGSPATIHQLLSFHSQEYVDMLIEADKNGGKKEICCGTFLNPGSFNAALLAAGTTLSAMKYILDGYGELVYALVRPPGHHAQPTQADGYCFFNNAGLAVQLALDSGCKKVLVLDIDVHYGNGTAEGFYRSDKVLTVSLHMNHGSWGPSHPQNGTVDELGEGEGFGYNLNVPLPNGSGDKGYGYAMREVVIPAVEKFEPDMMVLVIGQDSSAFLKLNVVYPEVACYPPANRNCLKRVNI >KJB83646 pep chromosome:Graimondii2_0_v6:13:57277435:57281594:1 gene:B456_013G256700 transcript:KJB83646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSTSCRIDVFWHDGMLNHDTGKGVFDTGMNPGFLEVLEKHPENSDRIRNIVSILSKGPISSYISWHSGSPATIHQLLSFHSQEYVDMLIEADKNGGKKEICCGTFLNPGSFNAALLAAGTTLSAMKYILDGYGELVYALVRPPGHHAQPTQADGYCFFNNAGLAVQLALDSGCKKVLVLDIDVHYGNGTAEGFYRSDKVLTVSLHMNHGSWGPSHPQNGTVDELGEGEGFGYNLNVPLPNGSGDKGYGYAMREVVIPAVEKFEPDMMVLVIGQDSSAFDPNGRQCLTMDGYREIGRMVRRLADKHCGSRLLIVQEGGYHVTYSAYCVHATLEGVLDLPHPLLSDPIAYYPEDETFAVKAIEAIKQFQMKNVPMLKDV >KJB83648 pep chromosome:Graimondii2_0_v6:13:57277523:57278542:1 gene:B456_013G256700 transcript:KJB83648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSTSCRIDVFWHDGMLNHDTGKGVFDTGMNPGFLEVLEKHPENSDRIRNIVSILSKGPISSYISWHSGSPATIHQLLSFHSQEYVDMLIEADKNGGKKEICCGTFLNPGSFNAALLAAGTTLSAMKYILDGYGELVYALVRPPGHHAQPTQADGYCFFNNAGLAVQLALDSGCKKVLVLDIDVHYGNGTAEGFYRSDKVLTVSLHMNHGSWGPSHPQNGTVDELGEGEGFGYNLNVPLPNGSGDKGYGYAMREVVIPAVEKFEPDMMVLVIGQDSSAVS >KJB79659 pep chromosome:Graimondii2_0_v6:13:6642627:6644264:-1 gene:B456_013G061200 transcript:KJB79659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQKSQPDEQKSQPDKQSSSAAAPLVSTSCRKKKNEEATFLEDLKDHIDEFINASMEEHKTCFKKTIQKMFGMSKVVAERSAAESKEAETVSK >KJB81095 pep chromosome:Graimondii2_0_v6:13:33748739:33753345:-1 gene:B456_013G129400 transcript:KJB81095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLYRLDDPIISCSNGIARVNNFAAPNFTAADFLSPVDHLLQFDDQEVDTDATGSHMSGLIKSQISNHPRYPNLVSAYIECQKVGAPPKLASLLEEIGRENRSVNVCSEIGADPELDEFMESYCEVLHRYKEELSKPFNEATTFLSNIKSQLSDLCKGALTKNLDYPFDEGGGSSVEELSGGEVEGFENQDECGRQDIKGMLMRKYGGYLSSLRKEFLKKRKKGKLPKEARVTLLDWWNNHYRWPYPTEEDKLKLSEITGLDQKQINNWFINQRKRHWKPSEDMKFALMEGFAGDPMHFGHGVGPGSDNIC >KJB81094 pep chromosome:Graimondii2_0_v6:13:33749403:33752998:-1 gene:B456_013G129400 transcript:KJB81094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLYRLDDPIISCSNGIARVNNFAAPNFTAADFLSPVDHLLQFDDQEVDTDATGSHMSGLIKSQISNHPRYPNLVSAYIECQKVGAPPKLASLLEEIGRENRSVNVCSEIGADPELDEFMESYCEVLHRYKEELSKPFNEATTFLSNIKSQLSDLCKGALTKNLDYPFDEGGGSSVEELSGGEVEGFENQDECGRQDIKGMLMRKYGGYLSSLRKEFLKKRKKGKLPKEARVTLLDWWNNHYRWPYPTGLMVRKGLW >KJB79169 pep chromosome:Graimondii2_0_v6:13:2797505:2801982:1 gene:B456_013G036100 transcript:KJB79169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFSSSNFEAVFSFERSQFLSRPPSSLSLRFFSVSIAADNRNRNHRLRHSSSSSKLYNPTFACRASCDSHETDSSHRKDDDSSVHGLSKKIVGVLGGGQLGRMLCQAASKMAIKVMVLDPSENCPASALAYDHMVGSFDDSATVEEFAKRCGVLTVETEHVDVATLEKLEQQGIDCEPKASTIRIIQDKYLQKVHFSRYGIPLPEFMEINNLEETKRAGELYGYPLMIKSKRLAYDGRGNAVAKSEGELSSAIDVLGGFGHGLYVEKWAPFIKELAVIVARGRDNSILCYPVVETIHKENICHMVKAPVDVPWRIRKLANDVAYKAISSLEGAGVFAVELFLTRDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNLLGEDEGEPGFKVAHQLIARALEIPGATAHWYDKTEMRRQRKMGHVTLVGPSVGVLEARLKSMLREEGYENLNEAAPRVGIVMGSDSDLPVMKDAARILNMFGVSTEVRIVSAHRTPELMYSYASSARERGIQVIIAGAGGAAHLPG >KJB79167 pep chromosome:Graimondii2_0_v6:13:2796792:2801982:1 gene:B456_013G036100 transcript:KJB79167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFSSSNFEAVFSFERSQFLSRPPSSLSLRFFSVSIAADNRNRNHRLRHSSSSSKLYNPTFACRASCDSHETDSSHRKDDDSSVHGLSKKIVGVLGGGQLGRMLCQAASKMAIKVMVLDPSENCPASALAYDHMVGSFDDSATVEEFAKRCGVLTVETEHVDVATLEKLEQQGIDCEPKASTIRIIQDKYLQKVHFSRYGIPLPEFMEINNLEETKRAGELYGYPLMIKSKRLAYDGRGNAVAKSEGELSSAIDVLGGFGHGLYVEKWAPFIKELAVIVARGRDNSILCYPVVETIHKENICHMVKAPVDVPWRIRKLANDVAYKAISSLEGAGVFAVELFLTRDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNLLGEDEGEPGFKVAHQLIARALEIPGATAHWYDKTEMRRQRKMGHVTLVGPSVGVLEARLKSMLREEGYENLNEAAPRVGIVMGSDSDLPVMKDAARILNMFGVSTEVW >KJB79166 pep chromosome:Graimondii2_0_v6:13:2796775:2802010:1 gene:B456_013G036100 transcript:KJB79166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFSSSNFEAVFSFERSQFLSRPPSSLSLRFFSVSIAADNRNRNHRLRHSSSSSKLYNPTFACRASCDSHETDSSHRKDDDSSVHGLSKKIVGVLGGGQLGRMLCQAASKMAIKVMVLDPSENCPASALAYDHMVGSFDDSATVEEFAKRCGVLTVETEHVDVATLEKLEQQGIDCEPKASTIRIIQDKYLQKVHFSRYGIPLPEFMEINNLEETKRAGELYGYPLMIKSKRLAYDGRGNAVAKSEGELSSAIDVLGGFGHGLYVEKWAPFIKELAVIVARGRDNSILCYPVVETIHKENICHMVKAPVDVPWRIRKLANDVAYKAISSLEGAGVFAVELFLTRDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNLLGEDEGEPGFKVAHQLIARALEIPGATAHWYDKTEMRRQRKMGHVTLVGPSVGVLEARLKSMLREEGYENLNEAAPRVGIVMGSDSDLPVMKDAARILNMFGVSTEVRIVSAHRTPELMYSYASSARERGIQVIIAGAGGAAHLPGMVASLTPLPVIGVPVRASTLDGIDSLLSIVQMPRGVPVATVAVNNATNAGLLAVRMLGVGDADLLARMNQYQEDTRDYVLTKAEKLRKDGWEAYLNQ >KJB79168 pep chromosome:Graimondii2_0_v6:13:2797535:2800991:1 gene:B456_013G036100 transcript:KJB79168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFSSSNFEAVFSFERSQFLSRPPSSLSLRFFSVSIAADNRNRNHRLRHSSSSSKLYNPTFACRASCDSHETDSSHRKDDDSSVHGLSKKIVGVLGGGQLGRMLCQAASKMAIKVMVLDPSENCPASALAYDHMVGSFDDSATVEEFAKRCGVLTVETEHVDVATLEKLEQQGIDCEPKASTIRIIQDKYLQKVHFSRYGIPLPEFMEINNLEETKRAGELYGYPLMIKSKRLAYDGRGNAVAKSEGELSSAIDVLGGFGHGLYVEKWAPFIKELAVIVARGRDNSILCYPVVETIHKENICHMVKAPVDVPWRIRKLANDVAYKAISSLEGAGVFAVELFLTRDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNLLGEDEGEPGFKVAHQLIARALEIPGATAHWYDKTEMRRQRKMGHVTLVGPSVGVLEARLKSMLREEGYENLNEAAPRVGIVMGSDSDLPVMKDAARILNMFGVSTEVRIVSAHRTPELMYSYASSARERGIQVIIAGAGGAAHLPG >KJB83279 pep chromosome:Graimondii2_0_v6:13:55733797:55735323:-1 gene:B456_013G239400 transcript:KJB83279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQAEIEFPVIEFRSADLERGTDGWHRLCKRVREACETFGCFEVAYEKISTEVREETFGLMKELIEVPVERKQKNASPMPYHGWVGPCNQVSLLYEGFGLGDASNYDSVKSFAQLMWPDGHPRFCNTIHTMATQIEELNKLIWLMLIDSYGLGEKWESVMINYKTLVRFMKYMAPPPGEYERGLFAHTDKPVSTIICDDHVSGLEIEVNDGQWIKLSLSPSSFCFVVGDPLKAWSNGRLKAVNHRVMMSGDKDRLSLAAFAIPVEGTIIKAPRELIDEQHPQLYKDFNFMDFFLFAFSDPAKHIDSGEQLQAFASLSPPISN >KJB79696 pep chromosome:Graimondii2_0_v6:13:6856850:6859281:-1 gene:B456_013G062400 transcript:KJB79696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPLLPKYLLIVILFSHYSTTIAQYEQERDTLYALKHTFNDPFLNDNWNGLHCYENNSFWYGIQCINGRITSILLENRGLSGNPSAVSLVVLSELVTLSFKNNLVYGNIMDFCYNHKLKDIDLSENMLEGPIPPCSTNLELLRSLQLQQNHLSGMIPEFNQAGLTVFNVSYNNISGPIPGTTTLRSFTADSYANNGPNMCDPLCHFSEINTPTPTESKKESIAIAFMVLDIIGLVTVILLLVLYCKRSSKFKNLIKRRHFEETSDGDGMKLVFMADDGGFELNELMGAAAEGLGKGVCGDSYKATLNDGVAVVVKQLRGLKPLMSKEEFMKMVRMIADQKHPNLLPLMAYWCSEDVKLLVYRYAKNGNLFNRLHGGRGSRDRISFKWSSRLTVARSVARALEHLHLNSNPSQCTVPHGNLKSTNILLDDDGETVLVSDYGLASSMALPVAAQHMVSYKSPEYQTWKRVSRKSDVWSYGCLLLELLTRRVSVHSAPPGINGVDLCKWVKRAFREEWIAEVFDKEISMEKNGTKGMEKLLEIALRCCDESPEKRPEMAEIAAEIDAIEAVEEDHGADLSAVQSLTEEGFSMHASSAIVDSSDGIS >KJB79800 pep chromosome:Graimondii2_0_v6:13:7754768:7755379:1 gene:B456_013G067500 transcript:KJB79800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISLHFQIKQPRYPRFQQLEQGSYRGASKFRCILPTTHLDLGFVLEDHGIVVGVAMTFLLLLDGGDDPP >KJB80551 pep chromosome:Graimondii2_0_v6:13:19454295:19455377:-1 gene:B456_013G103200 transcript:KJB80551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTAMSSVGSFVAPNGLVMDKKLSSSSNRLSSLASISSSSFLSRRNVVLRRSRLPKISAAKELHFNKDGLV >KJB80552 pep chromosome:Graimondii2_0_v6:13:19454377:19455189:-1 gene:B456_013G103200 transcript:KJB80552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFTAMSSVGSFVAPNGLVMDKKLSSSSNRLSSLASISSSSFLSRRNVVLRRSRLPKISAAKELHFNKDGSAIKKLQLITLGPKGRNVVLESKYYC >KJB79928 pep chromosome:Graimondii2_0_v6:13:8716277:8717034:-1 gene:B456_013G0729002 transcript:KJB79928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKRCGKSCRLRWMNYLRPELKRGNFTEEEDALIIKLHDEMGNRWSTIAKSFPGRTDNEIKNQWHAHLKKRTKRDEKEKSDCWQSEATRYENICEGEGEGEDESNSILVDTPDNMILESSPLSPATSTRTEQSSFSSGSGSMFSFNVVGGLEDNCLPCSGTYKAESSGDFWSQPFVADNTSSLEKGGFEMLLEYEDMYHDDSAYLLYELTQGWI >KJB81614 pep chromosome:Graimondii2_0_v6:13:41669963:41670413:-1 gene:B456_013G152200 transcript:KJB81614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEHSLAIYGLLFLMHIQTENDVMIRDKVNVNSKLVVKLIYIYILNFERHFKINQRFISCKKASQKALFHSPIFLDLKLFSFFCYYAFCHVFSYVCMYVQIRNNIKEKGEEEITACDLDVKLRDDIAGNMIEYLEKW >KJB81906 pep chromosome:Graimondii2_0_v6:13:45067214:45069374:-1 gene:B456_013G167100 transcript:KJB81906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNDSSTVSRQPNLPPGFRFHPTDEELVVHYLKKKATSAPLPVAIIAEVDLYKFDPWELPAKATFGEREWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLNSKGTQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLPDNKNNNKPPGCDLGYKKNSLRLDDWVLCRIYKKNNTNRPLGYEKDEAMDDMGGPVPTSMSIGSLQFQTKGTSCGTFLENHEHNLFDGMLGSDGVNNNGSMSHLGCCSSSKPDLSMVNPLKRSTVPSLYWTDEDTASPSTSKTFHGDHSNDGSLEKPDTNGSISIATLLSQLPQTPHLQQQTMVGSMEDGIFRPSYQLPGLNWYTLN >KJB80045 pep chromosome:Graimondii2_0_v6:13:10232790:10233395:-1 gene:B456_013G078200 transcript:KJB80045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKLGNNFVNSETINDDETIFFKISFAIKIEDFRPSHSSSTKLVGQKVDSGGHYRLLQCASKGDKAGIIQELDKGVEPNGVDYDRRTALHLAACEGWIEVVDLLLEKGADVNSLDHWGQTVSFKFHVILSSMNIFWGL >KJB80046 pep chromosome:Graimondii2_0_v6:13:10232790:10233494:-1 gene:B456_013G078200 transcript:KJB80046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKLVFKISFAIKIEDFRPSHSSSTKLVGQKVDSGGHYRLLQCASKGDKAGIIQELDKGVEPNGVDYDRRTALHLAACEGWIEVVDLLLEKGADVNSLDHWGQTVSFKFHVILSSMNIFWGL >KJB80044 pep chromosome:Graimondii2_0_v6:13:10232790:10233599:-1 gene:B456_013G078200 transcript:KJB80044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKLVFKISFAIKIEDFRPSHSSSTKLVGQKVDSGGHYRLLQCASKGDKAGIIQELDKGVEPNGVDYDRRTALHLAACEGWIEVVDLLLEKGADVNSLDHWGQTVSFKFHVILSSMNIFWGL >KJB80055 pep chromosome:Graimondii2_0_v6:13:10561752:10567191:1 gene:B456_013G079100 transcript:KJB80055 gene_biotype:protein_coding transcript_biotype:protein_coding description:ICME-LIKE1 [Source:Projected from Arabidopsis thaliana (AT1G26120) UniProtKB/TrEMBL;Acc:A0A178WLU7] MPSPILPISSHPSSSASAATTVVKAKIDADPSATILISSKFDPEKAIPITPVLPRASSYNSTSNVNNNIVSFANNHQQRFLRTSSDSSLALLADETPRQSISREVGHVAAETFYLSGLCLKLLSYLGVGYRWITRFLALGCYAMLLMPGFIQVAFYYFFSRQVRRSIIYGEKPRNRLDLYLPKTSQGTKPVVAFITGGAWIIGYKAWGSLLGQQLSERDIIVACIDYRNFPQGTISDMVEDASQGISFICNNISEYGGDPNRIYLMGQSAGAHIAACTLVNQAIKETGEGDSVSWSVSQIKAYLGLSGGYNLFNLIEHFHTRGLYRSIFLSQNFADTLRRVGGKAESILYYGKTHTDLFLQDPMRGGRDEMFEDVVAIIHGEDEAALAKDAVAPPRRRLVPEFMLKLAHDVSPF >KJB80056 pep chromosome:Graimondii2_0_v6:13:10561752:10567191:1 gene:B456_013G079100 transcript:KJB80056 gene_biotype:protein_coding transcript_biotype:protein_coding description:ICME-LIKE1 [Source:Projected from Arabidopsis thaliana (AT1G26120) UniProtKB/TrEMBL;Acc:A0A178WLU7] MPSPILPISSHPSSSASAATTVVKAKIDADPSATILISSKFDPEKAIPITPVLPRASSYNSTSNVNNNIVSFANNHQQRFLRTSSDSSLALLADETPRQSISREVGHVAAETFYLSGLCLKLLSYLGVGYRWITRFLALGCYAMLLMPGFIQVAFYYFFSRQVRRSIIYGEKPRNRLDLYLPKTSQGTKPVVAFITGGAWIIGYKAWGSLLGQQLSERDIIVACIDYRNFPQGTISDMVEDASQGISFICNNISEYGGDPNRIYLMGQSAGAHIAACTLVNQAIKETGEGDSVSWSVSQIKAYLGLSGGYNLFNLIEHFHTRGLYRSIFLSIMEGEDSLHQFSPEVLVQDSDVKPAVSLLPPVILFHGTADYSIPADSSQNFADTLRRVGGKAESILYYGKTHTDLFLQDPMRGGRDEMFEDVVAIIHGEDEAALAKDAVAPPRRRLVPEFMLKLAHDVSPF >KJB79220 pep chromosome:Graimondii2_0_v6:13:3068835:3074463:1 gene:B456_013G038500 transcript:KJB79220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQFYESLSSKARSKPRSPSRSSNEEDLGQRLEAKLQAAEQKRLSILAKAQMRLAKLDELRQAAKTGVEMRFEKEREKLGTKVQSRVQQAEANRMLIFEAYSQRRATLRERSSQSLLRRMARENKYKELVRAAIHQKRAAAEKKRLGLLEAEKKKACARISQVKRVAMSISHQREIERRTMKDQLEDRLQRAKRQRAEYLRQRGRSHKSVQENYTRMYKQAELLSRKLARCWRWFIRQRKTTLNLAKAFDSLKINENSVKRMPFEQLALLIESVTTLQTVKALLDRIESRIKAARAVGATDHLSSLDNIDHLLKRVATPKRRTTPRTSMRSRETKRVVSGKEAAKSLTTVSRYPVRVFLCAYMILGHPEAVLSGQGEREIALAKSAEAFVREFELLVKIILEGPIQSPDEESDSTLSKPLTFRSQLAAFDKAWCSYLSSFMVWKVKDARSLEEDLVRAACQLELSMIQKCKLTPEGDKTALTHDMKAIQRQVMEDQKLLREKVQHLCGDAGIERMECALSETRTKFFQSEEGGSQTGSPITPSLSSSTDGSPSSLTARTDNGTDLAQMPNRVVRSLFKDDEDSTSSSKNSVSSVTSSSHLNTQLASSIEKQPVSENELIVNEFLHEKRGFVDSISGIEEDQNGIKAKIRETMEKAFWDGIMESMSQDKPNYDRVLELVKEVRDEICEMAPKSWREEIIDAIDLEILSQVLKSGNLDIDYLGRIMGFALTTLQKLSSPANDDEMKAANQRLLKELTEICEAKEKPDHSPALAMIKGLRFVLEQIQDLKQEISKARIRMMEPLLKGPAGLDYLRKAFQNRYGSPSNAGSSLPLTMRWLSSAWNCKDQEWGEHQNSVSTLKALDSSSRDVHTPITLKTGGSYNSANASQMKFVNPSKVTDHEQPECKGDQVDMVVRLGLLKLVSGVSGLTSGSLPETFTLNLARLRGVQAEIQKIIVISTSILICRQIFSSEQVVASPTDMESIILICTERLLELLDRVEDVGIEGIVEVISGFSRVTDEDKVQMWKVMMGRMLAKSLQAGDVVFEKVWRAVYLAFRGVVFGGSGVYGRKLAEIALRQVGAGTGSGLLTERVVKAAEVLVVAATVSVNVHGPWYITLIGDM >KJB79217 pep chromosome:Graimondii2_0_v6:13:3068135:3073717:1 gene:B456_013G038500 transcript:KJB79217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMETPESGRAVALEFPASETPSSSRVPRRIRKRLLAECKSPSTVEEIEAKLRHADLRRQQFYESLSSKARSKPRSPSRSSNEEDLGQRLEAKLQAAEQKRLSILAKAQMRLAKLDELRQAAKTGVEMRFEKEREKLGTKVQSRVQQAEANRMLIFEAYSQRRATLRERSSQSLLRRMARENKYKELVRAAIHQKRAAAEKKRLGLLEAEKKKACARISQVKRVAMSISHQREIERRTMKDQLEDRLQRAKRQRAEYLRQRGRSHKSVQENYTRMYKQAELLSRKLARCWRWFIRQRKTTLNLAKAFDSLKINENSVKRMPFEQLALLIESVTTLQTVKALLDRIESRIKAARAVGATDHLSSLDNIDHLLKRVATPKRRTTPRTSMRSRETKRVVSGKEAAKSLTTVSRYPVRVFLCAYMILGHPEAVLSGQGEREIALAKSAEAFVREFELLVKIILEGPIQSPDEESDSTLSKPLTFRSQLAAFDKAWCSYLSSFMVWKVKDARSLEEDLVRAACQLELSMIQKCKLTPEGDKTALTHDMKAIQRQVMEDQKLLREKVQHLCGDAGIERMECALSETRTKFFQSEEGGSQTGSPITPSLSSSTDGSPSSLTARTDNGTDLAQMPNRVVRSLFKDDEDSTSSSKNSVSSVTSSSHLNTQLASSIEKQPVSENELIVNEFLHEKRGFVDSISGIEEDQNGIKAKIRETMEKAFWDGIMESMSQDKPNYDRVLELVKEVRDEICEMAPKSWREEIIDAIDLEILSQVLKSGNLDIDYLGRIMGFALTTLQKLSSPANDDEMKAANQRLLKELTEICEAKEKPDHSPALAMIKGLRFVLEQIQDLKQEISKARIRMMEPLLKGPAGLDYLRKAFQNRYGSPSNAGSSLPLTMRWLSSAWNCKDQEWGEHQNSVSTLKALDSSSRDVHTPITLKTGGSYNSANASQMKFVNPSKVTDHEQPECKGDQVDMVVRLGLLKLVSGVSGLTSGSLPETFTLNLARLRGVQAEIQKIIVISTRYSDD >KJB79219 pep chromosome:Graimondii2_0_v6:13:3068135:3074419:1 gene:B456_013G038500 transcript:KJB79219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMETPESGRAVALEFPASETPSSSRVPRRIRKRLLAECKSPSTVEEIEAKLRHADLRRQQFYESLSSKARSKPRSPSRSSNEEDLGQRLEAKLQAAEQKRLSILAKAQMRLAKLDELRQAAKTGVEMRFEKEREKLGTKVQSRVQQAEANRMLIFEAYSQRRATLRERSSQSLLRRMARENKYKELVRAAIHQKRAAAEKKRLGLLEAEKKKACARISQVKRVAMSISHQREIERRTMKDQLEDRLQRAKRQRAEYLRQRGRSHKSVQENYTRMYKQAELLSRKLARCWRWFIRQRKTTLNLAKAFDSLKINENSVKRMPFEQLALLIESVTTLQTVKALLDRIESRIKAARAVGATDHLSSLDNIDHLLKRVATPKRRTTPRTSMRSRETKRVVSGKEAAKSLTTVSRYPVRVFLCAYMILGHPEAVLSGQGEREIALAKSAEAFVREFELLVKIILEGPIQSPDEESDSTLSKPLTFRSQLAAFDKAWCSYLSSFMVWKVKDARSLEEDLVRAACQLELSMIQKCKLTPEGDKTALTHDMKAIQRQVMEDQKLLREKVQHLCGDAGIERMECALSETRTKFFQSEEGGSQTGSPITPSLSSSTDGSPSSLTARTDNGTDLAQMPNRVVRSLFKDDEDSTSSSKNSVSSVTSSSHLNTQLASSIEKQPVSENELIVNEFLHEKRGFVDSISGIEEDQNGIKAKIRETMEKAFWDGIMESMSQDKPNYDRVLELVKEVRDEICEMAPKSWREEIIDAIDLEILSQVLKSGNLDIDYLGRIMGFALTTLQKLSSPANDDEMKAANQRLLKELTEICEAKEKPDHSPALAMIKGLRFVLEQIQDLKQEISKARIRMMEPLLKGPAGLDYLRKAFQNRYGSPSNAGSSLPLTMRWLSSAWNCKDQEWGEHQNSVSTLKALDSSSRDVHTPITLKTGGSYNSANASQMKFVNPSKVTECKGDQVDMVVRLGLLKLVSGVSGLTSGSLPETFTLNLARLRGVQAEIQKIIVISTSILICRQIFSSEQVVASPTDMESIILICTERLLELLDRVEDVGIEGIVEVISGFSRVTDEDKVQMWKVMMGRMLAKSLQAGDVVFEKVWRAVYLAFRGVVFGGSGVYGRKLAEIALRQVGAGTGSGLLTERVVKAAEVLVVAATVSVNVHGPWYITLIGDM >KJB79216 pep chromosome:Graimondii2_0_v6:13:3068003:3074463:1 gene:B456_013G038500 transcript:KJB79216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMETPESGRAVALEFPASETPSSSRVPRRIRKRLLAECKSPSTVEEIEAKLRHADLRRQQFYESLSSKARSKPRSPSRSSNEEDLGQRLEAKLQAAEQKRLSILAKAQMRLAKLDELRQAAKTGVEMRFEKEREKLGTKVQSRVQQAEANRMLIFEAYSQRRATLRERSSQSLLRRMARENKYKELVRAAIHQKRAAAEKKRLGLLEAEKKKACARISQVKRVAMSISHQREIERRTMKDQLEDRLQRAKRQRAEYLRQRGRSHKSVQENYTRMYKQAELLSRKLARCWRWFIRQRKTTLNLAKAFDSLKINENSVKRMPFEQLALLIESVTTLQTVKALLDRIESRIKAARAVGATDHLSSLDNIDHLLKRVATPKRRTTPRTSMRSRETKRVVSGKEAAKSLTTVSRYPVRVFLCAYMILGHPEAVLSGQGEREIALAKSAEAFVREFELLVKIILEGPIQSPDEESDSTLSKPLTFRSQLAAFDKAWCSYLSSFMVWKVKDARSLEEDLVRAACQLELSMIQKCKLTPEGDKTALTHDMKAIQRQVMEDQKLLREKVQHLCGDAGIERMECALSETRTKFFQSEEGGSQTGSPITPSLSSSTDGSPSSLTARTDNGTDLAQMPNRVVRSLFKDDEDSTSSSKNSVSSVTSSSHLNTQLASSIEKQPVSENELIVNEFLHEKRGFVDSISGIEEDQNGIKAKIRETMEKAFWDGIMESMSQDKPNYDRVLELVKEVRDEICEMAPKSWREEIIDAIDLEILSQVLKSGNLDIDYLGRIMGFALTTLQKLSSPANDDEMKAANQRLLKELTEICEAKEKPDHSPALAMIKGLRFVLEQIQDLKQEISKARIRMMEPLLKGPAGLDYLRKAFQNRYGSPSNAGSSLPLTMRWLSSAWNCKDQEWGEHQNSVSTLKALDSSSRDVHTPITLKTGGSYNSANASQMKFVNPSKVTDHEQPECKGDQVDMVVRLGLLKLVSGVSGLTSGSLPETFTLNLARLRGVQAEIQKIIVISTSILICRQIFSSEQVVASPTDMESIILICTERLLELLDRVEDVGIEGIVEVISGFSRVTDEDKVQMWKVMMGRMLAKSLQAGDVVFEKVWRAVYLAFRGVVFGGSGVYGRKLAEIALRQVGAGTGSGLLTERVVKAAEVLVVAATVSVNVHGPWYITLIGDM >KJB79218 pep chromosome:Graimondii2_0_v6:13:3069830:3074275:1 gene:B456_013G038500 transcript:KJB79218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAKLDELRQAAKTGVEMRFEKEREKLGTKVQSRVQQAEANRMLIFEAYSQRRATLRERSSQSLLRRMARENKYKELVRAAIHQKRAAAEKKRLGLLEAEKKKACARISQVKRVAMSISHQREIERRTMKDQLEDRLQRAKRQRAEYLRQRGRSHKSVQENYTRMYKQAELLSRKLARCWRWFIRQRKTTLNLAKAFDSLKINENSVKRMPFEQLALLIESVTTLQTVKALLDRIESRIKAARAVGATDHLSSLDNIDHLLKRVATPKRRTTPRTSMRSRETKRVVSGKEAAKSLTTVSRYPVRVFLCAYMILGHPEAVLSGQGEREIALAKSAEAFVREFELLVKIILEGPIQSPDEESDSTLSKPLTFRSQLAAFDKAWCSYLSSFMVWKVKDARSLEEDLVRAACQLELSMIQKCKLTPEGDKTALTHDMKAIQRQVMEDQKLLREKVQHLCGDAGIERMECALSETRTKFFQSEEGGSQTGSPITPSLSSSTDGSPSSLTARTDNGTDLAQMPNRVVRSLFKDDEDSTSSSKNSVSSVTSSSHLNTQLASSIEKQPVSENELIVNEFLHEKRGFVDSISGIEEDQNGIKAKIRETMEKAFWDGIMESMSQDKPNYDRVLELVKEVRDEICEMAPKSWREEIIDAIDLEILSQVLKSGNLDIDYLGRIMGFALTTLQKLSSPANDDEMKAANQRLLKELTEICEAKEKPDHSPALAMIKGLRFVLEQIQDLKQEISKARIRMMEPLLKGPAGLDYLRKAFQNRYGSPSNAGSSLPLTMRWLSSAWNCKDQEWGEHQNSVSTLKALDSSSRDVHTPITLKTGGSYNSANASQMKFVNPSKVTDHEQPECKGDQVDMVVRLGLLKLVSGVSGLTSGSLPETFTLNLARLRGVQAEIQKIIVISTSILICRQIFSSEQVVASPTDMESIILICTERLLELLDRVEDVGIEGIVEVISGFSRVTDEDKVQMWKVMMGRMLAKSLQAGDVVFEKVWRAVYLAFRGVVFGGSGVYGRKLAEIALRQVGAGTGSGLLTERVVKAAEVLVVAATVSVNVHGPWYITLIGDM >KJB78824 pep chromosome:Graimondii2_0_v6:13:1470452:1472199:-1 gene:B456_013G021100 transcript:KJB78824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTEKLHIAMFPWLAYGHTMPFLEVSKFLAQKGHRISYISTPKNISRLPKLPPHLSSNLSFVEFSLPHVHGLPPGVESTSEVPIDKVPYLKRAYDKLRDPLTDFLKNSNVNWIIHDFAPYWLPGIAAPLGVNLVFFSIFNASTFAFLGPPSALLGDRRKRPEDFTVVPEWIDYPCNNIAFKLHEMVNHQQCMDDDVSDFQRMGRLIQGCQFVTMRTCFEFARDEIKLLIKLYQKPVVPVGLLPPLLSLPSNEDNKWEAIKSWLDSEGEKSVLYIALGSEVNLSEESMHQLAFGIEKSNLPFIWVVRNRPVGEGQMNDIIPPGFEKRVSSRGLVLRDWAPQLRILAHSSVGGFLTHCGWSSIIEALKYGRALILFSGASSDLGLNARLLHGKKVGLEIERNEVDSSFTSDLVAATIRRVMVEPEGEVFRANAWAMREIFGNEDLSNNYLNEFTRFIEEFSTSACHY >KJB78823 pep chromosome:Graimondii2_0_v6:13:1469996:1472199:-1 gene:B456_013G021100 transcript:KJB78823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTEKLHIAMFPWLAYGHTMPFLEVSKFLAQKGHRISYISTPKNISRLPKLPPHLSSNLSFVEFSLPHVHGLPPGVESTSEVPIDKVPYLKRAYDKLRDPLTDFLKNSNVNWIIHDFAPYWLPGIAAPLGVNLVFFSIFNASTFAFLGPPSALLGDRRKRPEDFTVVPEWIDYPCNNIAFKLHEMVNHQQCMDDDVSDFQRMGRLIQGCQFVTMRTCFEFARDEIKLLIKLYQKPVVPVGLLPPLLSLPSNEDNKWEAIKSWLDSEGEKSVLYIALGSEVNLSEESMHQLAFGIEKSNLPFIWVVRNRPVGEGQMNDIIPPGFEKRVSSRGLVLRDWAPQLRILAHSSVGGFLTHCGWSSIIEALKYGRALILFSGASSDLGLNARLLHGKKVGLEIERNEVDSSFTSDLVAATIRRVMVEPEGEVFRANAWAMREIFGNEDLSNNYLNEFTRFIEEFSTSACHY >KJB78454 pep chromosome:Graimondii2_0_v6:13:5812509:5813771:-1 gene:B456_013G057700 transcript:KJB78454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNCFALVFVMALSFASTDVGVAARHLLQLPQLPPMPTLPTTTLPPLPSIPNLPQPSIPALPRPGALPPLPIMPGLPTLPSVPRATLPPLPSMPSIPTAIPSIPFLSPPPSPSTP >KJB80927 pep chromosome:Graimondii2_0_v6:13:31194471:31205748:-1 gene:B456_013G121900 transcript:KJB80927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLADRYPQSIVDVIEEEPREDGNGNQIPVDVSKANPNRLEFDNLYLDMNGIIHPCFHPDGKPAPATYDDVFKSIFDYIDHLYSLVRPRKLLYMAIDGVAPRAKMNQQRTRRFRAAKDAAEAAAEEERLREEFEAEGKALSHIGKPETCDSNVITPGTPFMGVLSVALQYYIQSRLNHNPGWKTTKVILSDSNVPGEGEHKIMSYIRLQRNLPGFNPNTRHCLYGLDADLIMLSLATHEVHFSILREVITLPGQQEKCFLCGRVGHLAAECDGKPDNGTGELNAVDDTPIHKKKYQFLNIWVLREYLQYDLEIPNPPFEINFERIVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMQAYRRDFASMGGYLTDAGEVLLDRVECFIQSVSVHEDQIFQKRTRIQQAYENNERMKLKARGEDSEEARPPLVDKIKLGEPGYKERYYAEKFSVSNPGEIEEVRRDVVLKYVEGLCWVCQYYYQGVCSWQWFYPYHYAPFASDLKDLTDLEITFFMGKPFKPFDQLMGTLPAASSKALPEEYGKLMTEPSSPIYSFYPSDFEIDMHGKRFAWQGVVKLPFIDEWKLLSATRKLEATLTAEEQFRNSVMLDLLYVHPLHPLASQVLSYYYQFSPHKKNLWPIDTNASGGMNGFLWLCERNGWQVVVPSPVKELPHIESNQILNVTYMNPSYHKHIPELPQDVVTPKKILTPIDIKPFPVLWHEDNGGRRQQGRDRSRLPGAIVGPQLGEAAHRLVKNTLNIIPNGSSSGLFEQPPSFNVSSNYTIIKQRPARPSGFERGFFDDPSLSYSSRPRAAGLSGGRGYSDGPNYYGQYNHLNGVMANPRHPSSNGMQVNRNNFLAQDRLHHQERYHDLRTGMSALSFEGSGRGAIHVEMSSRMPNPGYLRNLDHRSEQNTISPPIPTKWINMPENINAGTYN >KJB80928 pep chromosome:Graimondii2_0_v6:13:31194175:31205885:-1 gene:B456_013G121900 transcript:KJB80928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLADRYPQSIVDVIEEEPREDGNGNQIPVDVSKANPNRLEFDNLYLDMNGIIHPCFHPDGKPAPATYDDVFKSIFDYIDHLYSLVRPRKLLYMAIDGVAPRAKMNQQRTRRFRAAKDAAEAAAEEERLREEFEAEGKALSHIGKPETCDSNVITPGTPFMGVLSVALQYYIQSRLNHNPGWKTTKVILSDSNVPGEGEHKIMSYIRLQRNLPGFNPNTRHCLYGLDADLIMLSLATHEVHFSILREVITLPGQQEKCFLCGRVGHLAAECDGKPDNGTGELNAVDDTPIHKKKYQFLNIWVLREYLQYDLEIPNPPFEINFERIVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMQAYRRDFASMGGYLTDAGEVLLDRVECFIQSVSVHEDQIFQKRTRIQQAYENNERMKLKARGEDSEEARPPLVDKIKLGEPGYKERYYAEKFSVSNPGEIEEVRRDVVLKYVEGLCWVCQYYYQGVCSWQWFYPYHYAPFASDLKDLTDLEITFFMGKPFKPFDQLMGTLPAASSKALPEEYGKLMTEPSSPIYSFYPSDFEIDMHGKRFAWQGVVKLPFIDEWKLLSATRKLEATLTAEEQFRNSVMLDLLYVHPLHPLASQVLSYYYQFSPHKKNLWPIDTNASGGMNGFLWLCERNGWQVVVPSPVKELPHIESNQILNVTYMNPSYHKHIPELPQDVVTPKKILTPIDIKPFPVLWHEDNGGRRQQGRDRSRLPGAIVGPQLGEAAHRLVKNTLNIIPNGSSSGLFEQPPSFNVSSNYTIIKQRPARPSGFERGFFDDPSLSYSSRPRAAGLSGGRGYSDGPNYYGQYNHLNGVMANPRHPSSNGMQVNRNNFLAQDRLHHQERYHDLRTGMSALSFEGSGRGAIHVEMSSRMPNPGYLRNLDHRSEQNTISPPIPTKWINMPENINAGTYN >KJB82623 pep chromosome:Graimondii2_0_v6:13:51622902:51624286:-1 gene:B456_013G205400 transcript:KJB82623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLDELGRYTGTFSTFALCGFVRAQALAEEES >KJB82621 pep chromosome:Graimondii2_0_v6:13:51622873:51624286:-1 gene:B456_013G205400 transcript:KJB82621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLDELGRYTGTFSTFALCGFVRAQGDADSALDRLWQKKKAEVRQQ >KJB82622 pep chromosome:Graimondii2_0_v6:13:51622902:51624271:-1 gene:B456_013G205400 transcript:KJB82622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLDELGRYTGTFSTFALCGFVRAQGDADSALDRLWQKKKAEVRQQ >KJB83614 pep chromosome:Graimondii2_0_v6:13:57178044:57180298:-1 gene:B456_013G255000 transcript:KJB83614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKALVPESLLKKNKRNEEWELAKKQELEAAKKKKVENRKLIFNRAKQYAKEYEAQEKELIQLKREAKLKGGFYVDPEAKLLFIVRIRGINAMHPRTRKILQLLRLRQIFNGVFLKVNKATMNMLHLVEPYVTYGYPNLKSVRELIYKRGFGKLNKQRVALTDNAIVEQALGKFGIICVEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >KJB79820 pep chromosome:Graimondii2_0_v6:13:7896498:7913896:-1 gene:B456_013G068300 transcript:KJB79820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKTEVLPSHRFTKSWPVDFRFMGSPTCDPIGYADGNLGNNGVTNLSSPARNGDSGGKVVERVENGVADTEQANEDSPYSGNVLLVENRPSVVDEDLDSAAAPLPSVSKSNIGHRWSDITSYGTKKKVQCWFQHPNGNWELGRVISTSGTESVISLPDGKVLKVNSDSLIPANPDILDGVDDLMQLSYLNEPSVLFNLQYRYKQDMIYTKAGPVLVAINPFKKVPLYGNDYIEAYKNKSIESPHVYAIADTAIREMTRDEVNQSIIISGESGAGKTETAKIAMQYLAVLGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCAEGERSYHIFYQLCAGAPRALREKLNLKDVDEYKYLKQSNCYSITGVNDAEHFHIVKEALDIVHVSKEDQESVFAMLAAVLWLGNVSFTIIDNENHVEAVQDESLINVAKLIGCDAADLNLALSTRKMRVGSDNIVQKLTLSQAVETRDALAKSIYAGLFEWLVEQINKSLAVGKRLTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFDDNQDCLHLFEKKPLGLLSLLNEESTFPNGTDYTFANKLKQHLNSNPCFKGEREKAFTVSHFAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPKAFASNMLNQSEKPVVGPLHKAGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNFQSPGSYEQGLVLQQLRCCGVLEVVRISRSGYPTRMPHQKFAKRYGFLLLENAASQDSLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGILEDTRNRTLHGILRVQSCFRGHQACRHFKELQRGIATIQSFVRGDKTRKEYAVLLQRHRAAVIIQKQIKGMNAKKTFKNIRDASIVIQSAIRGWSVRRCSGDIGFKSGVPKADEPDEVLVKSSFLAELQRRVLKAEAALREKEEENDILHQRLLQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDESERSSDASINTSDDREYCWDTGNNLKVPESNGLRPMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVDASLNPDRELRRLKQMFETWKKDYATRLRETKVVLNKLGNEDGALDKVKKKFWGRRNSTRFGF >KJB79818 pep chromosome:Graimondii2_0_v6:13:7896498:7913896:-1 gene:B456_013G068300 transcript:KJB79818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKTEVLPSHRFTKSWPVDFRFMGSPTCDPIGYADGNLGNNGVTNLSSPARNGDSGGKVVERVENGVADTEQANEDSPYSGNVLLVENRPSVVDEDLDSAAAPLPSVSKSNIGHRWSDITSYGTKKKVQCWFQHPNGNWELGRVISTSGTESVISLPDGKVLKVNSDSLIPANPDILDGVDDLMQLSYLNEPSVLFNLQYRYKQDMIYTKAGPVLVAINPFKKVPLYGNDYIEAYKNKSIESPHVYAIADTAIREMTRDEVNQSIIISGESGAGKTETAKIAMQYLAVLGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCAEGERSYHIFYQLCAGAPRALREKLNLKDVDEYKYLKQSNCYSITGVNDAEHFHIVKEALDIVHVSKEDQESVFAMLAAVLWLGNVSFTIIDNENHVEAVQDESLINVAKLIGCDAADLNLALSTRKMRVGSDNIVQKLTLSQAVETRDALAKSIYAGLFEWLVEQINKSLAVGKRLTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFDDNQDCLHLFEKKPLGLLSLLNEESTFPNGTDYTFANKLKQHLNSNPCFKGEREKAFTVSHFAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPKAFASNMLNQSEKPVVGPLHKAGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNFQSPGSYEQGLVLQQLRCCGVLEVVRISRSGYPTRMPHQKFAKRYGFLLLENAASQDSLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGILEDTRNRTLHGILRVQSCFRGHQACRHFKELQRGIATIQSFVRGDKTRKEYAVLLQRHRAAVIIQKQIKGMNAKKTFKNIRDASIVIQSAIRGWSVRRCSGDIGFKSGVPKADEPDEVLVKSSFLAELQRRVLKAEAALREKEEENDILHQRLLQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDESERSSDASINTSDDREYCWDTGNNLKVPESNGLRPMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVDASLNPDRELRRLKQMFETWKKDYATRLRETKVVLNKLGNEDGALDKVKKKFWGRRNSTRFGF >KJB79822 pep chromosome:Graimondii2_0_v6:13:7895525:7913943:-1 gene:B456_013G068300 transcript:KJB79822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKTEVLPSHRFTKSWPVDFRFMGSPTCDPIGYADGNLGNNGVTNLSSPARNGDSGGKVVERVENGVADTEQANEDSPYSGNVLLVENRPSVVDEDLDSAAAPLPSVSKSNIGHRWSDITSYGTKKVQCWFQHPNGNWELGRVISTSGTESVISLPDGKVLKVNSDSLIPANPDILDGVDDLMQLSYLNEPSVLFNLQYRYKQDMIYTKAGPVLVAINPFKKVPLYGNDYIEAYKNKSIESPHVYAIADTAIREMTRDEVNQSIIISGESGAGKTETAKIAMQYLAVLGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCAEGERSYHIFYQLCAGAPRALREKLNLKDVDEYKYLKQSNCYSITGVNDAEHFHIVKEALDIVHVSKEDQESVFAMLAAVLWLGNVSFTIIDNENHVEAVQDESLINVAKLIGCDAADLNLALSTRKMRVGSDNIVQKLTLSQAVETRDALAKSIYAGLFEWLVEQINKSLAVGKRLTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFDDNQDCLHLFEKKPLGLLSLLNEESTFPNGTDYTFANKLKQHLNSNPCFKGEREKAFTVSHFAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPKAFASNMLNQSEKPVVGPLHKAGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNFQSPGSYEQGLVLQQLRCCGVLEVVRISRSGYPTRMPHQKFAKRYGFLLLENAASQDSLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGILEDTRNRTLHGILRVQSCFRGHQACRHFKELQRGIATIQSFVRGDKTRKEYAVLLQRHRAAVIIQKQIKGMNAKKTFKNIRDASIVIQSAIRGWSVRRCSGDIGFKSGVPKADEPDEVLVKSSFLAELQRRVLKAEAALREKEEENDILHQRLLQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDESERSSDASINTSDDREYCWDTGNNLKVPESNGLRPMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVDASLNPDRELRRLKQMFETWKKDYATRLRETKVVLNKLGNEDGALDKVKKKFWGRRNSTRTSFKDKIAQGWSLCCNTGSSMSKQRD >KJB79823 pep chromosome:Graimondii2_0_v6:13:7898133:7914948:-1 gene:B456_013G068300 transcript:KJB79823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPTCDPIGYADGNLGNNGVTNLSSPARNGDSGGKVVERVENGVADTEQANEDSPYSGNVLLVENRPSVVDEDLDSAAAPLPSVSKSNIGHRWSDITSYGTKKVQCWFQHPNGNWELGRVISTSGTESVISLPDGKVLKVNSDSLIPANPDILDGVDDLMQLSYLNEPSVLFNLQYRYKQDMIYTKAGPVLVAINPFKKVPLYGNDYIEAYKNKSIESPHVYAIADTAIREMTRDEVNQSIIISGESGAGKTETAKIAMQYLAVLGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCAEGERSYHIFYQLCAGAPRALREKLNLKDVDEYKYLKQSNCYSITGVNDAEHFHIVKEALDIVHVSKEDQESVFAMLAAVLWLGNVSFTIIDNENHVEAVQDESLINVAKLIGCDAADLNLALSTRKMRVGSDNIVQKLTLSQAVETRDALAKSIYAGLFEWLVEQINKSLAVGKRLTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFDDNQDCLHLFEKKPLGLLSLLNEESTFPNGTDYTFANKLKQHLNSNPCFKGEREKAFTVSHFAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPKAFASNMLNQSEKPVVGPLHKAGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNFQSPGSYEQGLVLQQLRCCGVLEVVRISRSGYPTRMPHQKFAKRYGFLLLENAASQDSLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGILEDTRNRTLHGILRVQSCFRGHQACRHFKELQRGIATIQSFVRGDKTRKEYAVLLQRHRAAVIIQKQIKGMNAKKTFKNIRDASIVIQSAIRGWSVRRCSGDIGFKSGVPKADEPDEVLVKSSFLAELQRRVLKAEAALREKEEENDILHQRLLQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDESERSSDASINTSDDREYCWDTGNNLKVPESNGLRPMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVDASLNPDRELRRLKQMFETWKKDYATRLRETKVVLNKLGNEDGALDKVKKKFWGRRNSTRYN >KJB79817 pep chromosome:Graimondii2_0_v6:13:7895522:7912750:-1 gene:B456_013G068300 transcript:KJB79817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCFLADEVNQSIIISGESGAGKTETAKIAMQYLAVLGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCAEGERSYHIFYQLCAGAPRALREKLNLKDVDEYKYLKQSNCYSITGVNDAEHFHIVKEALDIVHVSKEDQESVFAMLAAVLWLGNVSFTIIDNENHVEAVQDESLINVAKLIGCDAADLNLALSTRKMRVGSDNIVQKLTLSQAVETRDALAKSIYAGLFEWLVEQINKSLAVGKRLTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFDDNQDCLHLFEKKPLGLLSLLNEESTFPNGTDYTFANKLKQHLNSNPCFKGEREKAFTVSHFAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPKAFASNMLNQSEKPVVGPLHKAGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNFQSPGSYEQGLVLQQLRCCGVLEVVRISRSGYPTRMPHQKFAKRYGFLLLENAASQDSLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGILEDTRNRTLHGILRVQSCFRGHQACRHFKELQRGIATIQSFVRGDKTRKEYAVLLQRHRAAVIIQKQIKGMNAKKTFKNIRDASIVIQSAIRGWSVRRCSGDIGFKSGVPKADEPDEVLVKSSFLAELQRRVLKAEAALREKEEENDILHQRLLQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDESERSSDASINTSDDREYCWDTGNNLKVPESNGLRPMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVDASLNPDRELRRLKQMFETWKKDYATRLRETKVVLNKLGNEDGALDKVKKKFWGRRNSTRFGF >KJB79824 pep chromosome:Graimondii2_0_v6:13:7898283:7912034:-1 gene:B456_013G068300 transcript:KJB79824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLAVLGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCAEGERSYHIFYQLCAGAPRALREKLNLKDVDEYKYLKQSNCYSITGVNDAEHFHIVKEALDIVHVSKEDQESVFAMLAAVLWLGNVSFTIIDNENHVEAVQDESLINVAKLIGCDAADLNLALSTRKMRVGSDNIVQKLTLSQAVETRDALAKSIYAGLFEWLVEQINKSLAVGKRLTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFDDNQDCLHLFEKKPLGLLSLLNEESTFPNGTDYTFANKLKQHLNSNPCFKGEREKAFTVSHFAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPKAFASNMLNQSEKPVVGPLHKAGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNFQSPGSYEQGLVLQQLRCCGVLEVVRISRSGYPTRMPHQKFAKRYGFLLLENAASQDSLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGILEDTRNRTLHGILRVQSCFRGHQACRHFKELQRGIATIQSFVRGDKTRKEYAVLLQRHRAAVIIQKQIKGMNAKKTFKNIRDASIVIQSAIRGWSVRRCSGDIGFKSGVPKADEPDEVLVKSSFLAELQRRVLKAEAALREKEEENDILHQRLLQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDESERSSDASINTSDDREYCWDTGNNLKVPESNGLRPMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVDASLNPDRELRRLKQMFETWKKDYATRLRETKVVLNKLGNEDGALDKVKKKFWGRRNSTRYN >KJB79825 pep chromosome:Graimondii2_0_v6:13:7903888:7913943:-1 gene:B456_013G068300 transcript:KJB79825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKTEVLPSHRFTKSWPVDFRFMGSPTCDPIGYADGNLGNNGVTNLSSPARNGDSGGKVVERVENGVADTEQANEDSPYSGNVLLVENRPSVVDEDLDSAAAPLPSVSKSNIGHRWSDITSYGTKKKVQCWFQHPNGNWELGRVISTSGTESVISLPDGKVLKVNSDSLIPANPDILDGVDDLMQLSYLNEPSVLFNLQYRYKQDMIYTKAGPVLVAINPFKKVPLYGNDYIEAYKNKSIESPHVYAIADTAIREMTRDEVNQSIIISGESGAGKTETAKIAMQYLAVLGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCAEGERSYHIFYQLCAGAPRALREKLNLKDVDEYKYLKQSNCYSITGVNDAEHFHIVKEALDIVHVSKEDQESVFAMLAAVLWLGNVSFTIIDNENHVEAVQDESLINVAKLIGCDAADLNLALSTRKMRVGSDNIVQKLTLSQAVETRDALAKSIYAGLFEWLVEQINKSLAVGKRLTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFDDNQDCLHLFEKKPLGLLSLLNEESTFPNGTDYTFANKLKQHLNSNPCFKGEREKAFTVSHFAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPKAFASNMLNQSEKPVVGPLHKAGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNFQSPGSYEQGLVLQQLRCCGVLEVVRISRSGYPTRMPHQKFAKRYGFLLLENAASQDSLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGILEDTRNRTLHGILRVQSCFRGHQACRHFKELQRGIATIQSFVRGDKTRKEYAVLLQRHRAAVIIQKQIKGMNAKKTFKNIRDASIVIQSGMHLGCILHLSLSIKSKAIYCFFEFSSNWSSEDPEMAQAS >KJB79821 pep chromosome:Graimondii2_0_v6:13:7895522:7913943:-1 gene:B456_013G068300 transcript:KJB79821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKTEVLPSHRFTKSWPVDFRFMGSPTCDPIGYADGNLGNNGVTNLSSPARNGDSGGKVVERVENGVADTEQANEDSPYSGNVLLVENRPSVVDEDLDSAAAPLPSVSKSNIGHRWSDITSYGTKKKVQCWFQHPNGNWELGRVISTSGTESVISLPDGKVLKVNSDSLIPANPDILDGVDDLMQLSYLNEPSVLFNLQYRYKQDMIYTKAGPVLVAINPFKKVPLYGNDYIEAYKNKSIESPHVYAIADTAIREMTRDEVNQSIIISGESGAGKTETAKIAMQYLAVLGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCAEGERSYHIFYQLCAGAPRALREKLNLKDVDEYKYLKQSNCYSITGVNDAEHFHIVKEALDIVHVSKEDQESVFAMLAAVLWLGNVSFTIIDNENHVEAVQDESLINVAKLIGCDAADLNLALSTRKMRVGSDNIVQKLTLSQAVETRDALAKSIYAGLFEWLVEQINKSLAVGKRLTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFDDNQDCLHLFEKKPLGLLSLLNEESTFPNGTDYTFANKLKQHLNSNPCFKGEREKAFTVSHFAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPKAFASNMLNQSEKPVVGPLHKAGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNFQSPGSYEQGLVLQQLRCCGVLEVVRISRSGYPTRMPHQKFAKRYGFLLLENAASQDSLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGILEDTRNRTLHGILRVQSCFRGHQACRHFKELQRGIATIQSFVRGDKTRKEYAVLLQRHRAAVIIQKQIKGMNAKKTFKNIRDASIVIQSAIRGWSVRRCSGDIGFKSGVPKADEPDEVLVKSSFLAELQRRVLKAEAALREKEEENDILHQRLLQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDESERSSDASINTSDDREYCWDTGNNLKVPESNGLRPMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVDASLNPDRELRRLKQMFETWKKDYATRLRETKVVLNKLGNEDGALDKVKKKFWGRRNSTRTSFKDKIAQGWSLCCNTGSSMSKQRD >KJB79819 pep chromosome:Graimondii2_0_v6:13:7896498:7913896:-1 gene:B456_013G068300 transcript:KJB79819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKTEVLPSHRFTKSWPVDFRFMGSPTCDPIGYADGNLGNNGVTNLSSPARNGDSGGKVVERVENGVADTEQANEDSPYSGNVLLVENRPSVVDEDLDSAAAPLPSVSKSNIGHRWSDITSYGTKKVQCWFQHPNGNWELGRVISTSGTESVISLPDGKVLKVNSDSLIPANPDILDGVDDLMQLSYLNEPSVLFNLQYRYKQDMIYTKAGPVLVAINPFKKVPLYGNDYIEAYKNKSIESPHVYAIADTAIREMTRDEVNQSIIISGESGAGKTETAKIAMQYLAVLGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCAEGERSYHIFYQLCAGAPRALREKLNLKDVDEYKYLKQSNCYSITGVNDAEHFHIVKEALDIVHVSKEDQESVFAMLAAVLWLGNVSFTIIDNENHVEAVQDESLINVAKLIGCDAADLNLALSTRKMRVGSDNIVQKLTLSQAVETRDALAKSIYAGLFEWLVEQINKSLAVGKRLTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFDDNQDCLHLFEKKPLGLLSLLNEESTFPNGTDYTFANKLKQHLNSNPCFKGEREKAFTVSHFAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPKAFASNMLNQSEKPVVGPLHKAGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNFQSPGSYEQGLVLQQLRCCGVLEVVRISRSGYPTRMPHQKFAKRYGFLLLENAASQDSLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGILEDTRNRTLHGILRVQSCFRGHQACRHFKELQRGIATIQSFVRGDKTRKEYAVLLQRHRAAVIIQKQIKGMNAKKTFKNIRDASIVIQSAIRGWSVRRCSGDIGFKSGVPKADEPDEVLVKSSFLAELQRRVLKAEAALREKEEENDILHQRLLQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDESERSSDASINTSDDREYCWDTGNNLKVPESNGLRPMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVDASLNPDRELRRLKQMFETWKKDYATRLRETKVVLNKLGNEDGALDKVKKKFWGRRNSTRFGF >KJB83084 pep chromosome:Graimondii2_0_v6:13:54775680:54779052:1 gene:B456_013G228900 transcript:KJB83084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKKEKVLAKKAAAEVEKAKELAKARNKRAAVQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPARPVPQKHTAEEDELAALQAEMAL >KJB83086 pep chromosome:Graimondii2_0_v6:13:54775576:54779098:1 gene:B456_013G228900 transcript:KJB83086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKKEKVLAKKAAAEVEKAKELAKARNKRAAVQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPARPVPQKHTAEEDELAALQAEMAL >KJB83083 pep chromosome:Graimondii2_0_v6:13:54775576:54779098:1 gene:B456_013G228900 transcript:KJB83083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRLFRKPKQETNALTTLDKLNETLEMLEKKEKVLAKKAAAEVEKAKELAKARNKRAAVQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPARPVPQKHTAEEDELAALQAEMAL >KJB83087 pep chromosome:Graimondii2_0_v6:13:54775669:54779052:1 gene:B456_013G228900 transcript:KJB83087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKKEKVLAKKAAAEVEKAKELAKARNKRAAVQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPARPVPQKHTAEEDELAALQAEMAL >KJB83085 pep chromosome:Graimondii2_0_v6:13:54775669:54779052:1 gene:B456_013G228900 transcript:KJB83085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKKEKVLAKKAAAEVEKAKELAKARNKRAAVQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPARPVPQKHTAEEDELAALQAEMAL >KJB80639 pep chromosome:Graimondii2_0_v6:13:23632967:23637738:1 gene:B456_013G108200 transcript:KJB80639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVQNGFVGDKETVASAAGDGGGGGTVVVGVKLDSPSRELLTWALVKVAQPGDCVIALHVLGNNEIVDRDGKSSLLSLVKAFDSVLAVYEGFCNLKQVDLKLKICRGSSIRKILVREAKSYSATKLIVGTAAKLHKIRSSTSVAKYCAKKLSNNCSVLAVNNGKVVFHREGSPGTTFGAKGKDFVLVGHPVLGCHVRFSCILRNFFFVPPGNEDHKRNSLLNALHRTITLNKNSKVLSEGIANAEANLVSYETKDKRFEQALIKAGSGNFESDGKENCSVCGSGNKLLLHDSCHQSANCGDDDNDREKSLAIVPVKRTEAASGSISMLIKQLPEIRPGWPLLRRAVLSDRRHQVPDRSSSRQISVVQWVMRLPSRRTLLLTNSDKKQDCDQTEFKASNFDGESGAIVPVSNENAIAPLSPDDNLINLPKELEGLHEKYSATCRLFKYQELVSATSNFLAENLIGKGGSSQVYKGCLRDGKELAVKILKPSEEVLKEFVMEIEIITTLHHKNIISLLGFCYEDNNLLLVYDFLSRGSLEENLHGNKKDRGAFGWSERYKVAIGVAEALDYLHTNSDHPVIHRDVKSSNILLSDDFEPQLSDFGLAKWASTSSSHITCTDVAGTFGYLAPEYFMYGKVNDKIDVYAFGVVLLELLSGRKPISNDCPKGQESLVMWAKPILSGGKVSQLLDPSLADGYDCDQMERMVLAATLCLRRAPRARPQMGVVVKLLQGDADVTKWARLQVNASEGSDTLDDEACPGRSNLQSHLSLALLDVEEDSVSMSSIEQPVSLEDYLKGRWSRSSSFD >KJB80638 pep chromosome:Graimondii2_0_v6:13:23632784:23637753:1 gene:B456_013G108200 transcript:KJB80638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVQNGFVGDKETVASAAGDGGGGGTVVVGVKLDSPSRELLTWALVKVAQPGDCVIALHVLGNNEIVDRDGKSSLLSLVKAFDSVLAVYEGFCNLKQVDLKLKICRGSSIRKILVREAKSYSATKLIVGTAAKLHKIRSSTSVAKYCAKKLSNNCSVLAVNNGKVVFHREGSPGTTFGAKGNEDHKRNSLLNALHRTITLNKNSKVLSEGIANAEANLVSYETKDKRFEQALIKAGSGNFESDGKENCSVCGSGNKLLLHDSCHQSANCGDDDNDREKSLAIVPVKRTEAASGSISMLIKQLPEIRPGWPLLRRAVLSDRRHQVPDRSSSRQISVVQWVMRLPSRRTLLLTNSDKKQDCDQTEFKASNFDGESGAIVPVSNENAIAPLSPDDNLINLPKELEGLHEKYSATCRLFKYQELVSATSNFLAENLIGKGGSSQVYKGCLRDGKELAVKILKPSEEVLKEFVMEIEIITTLHHKNIISLLGFCYEDNNLLLVYDFLSRGSLEENLHGNKKDRGAFGWSERYKVAIGVAEALDYLHTNSDHPVIHRDVKSSNILLSDDFEPQLSDFGLAKWASTSSSHITCTDVAGTFGYLAPEYFMYGKVNDKIDVYAFGVVLLELLSGRKPISNDCPKGQESLVMWAKPILSGGKVSQLLDPSLADGYDCDQMERMVLAATLCLRRAPRARPQMGVVVKLLQGDADVTKWARLQVNASEGSDTLDDEACPGRSNLQSHLSLALLDVEEDSVSMSSIEQPVSLEDYLKGRWSRSSSFD >KJB83139 pep chromosome:Graimondii2_0_v6:13:54979270:54982492:1 gene:B456_013G231300 transcript:KJB83139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILRKLPRKSQKSDSLDSAGNDSDNHASNSDDGVQCTNFGNKISSRLRVVKRVSSAVFPASIMAGVEAVEPNLSFNNVSNPQKPNLFISKLNLCCEACNSSDPDKGTAEQDLKRRTLIELVDFVSSGSAKFNEAAIAAMCKMCAINLFRVFPPKYRSNTASGEADDEEPMFDPAWSSLQLVYDLFLQFISYSSLDAKVAKKYIDQPFILRLLDLFESEDPRERDCLKTILHRIYGKFTVHRPFVRKAVSNIIYTFVFETERHNGIAELLEIFGSIISGFAVPLKEEHKMFLCRVLIPLHKPKSVGVYHQQLTYCVVQFIDKDPKLANSVITGLLKYWPVTNSQKELMFISESEEILEMISMTEFQKIMVPLFRRIACCLNSFHYQVAERAHMLWNNEHVLNLIKHNRQVIFPLIFPALERNSRNHWHQTVLNLTKNTRKMLSEIDDELTLACERKLEEENSQSNEASEKRKLTWERLELAAGFEPAAANLVPPLKPATCSVAC >KJB83140 pep chromosome:Graimondii2_0_v6:13:54978855:54982492:1 gene:B456_013G231300 transcript:KJB83140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILRKLPRKSQKSDSLDSAGNDSDNHASNSDDGVQCTNFGNKISSRLRVVKRVSSAVFPASIMAGVEAVEPNLSFNNVSNPQKPNLFISKLNLCCEACNSSDPDKGTAEQDLKRRTLIELVDFVSSGSAKFNEAAIAAMCKMCAINLFRVFPPKYRSNTASGEADDEEPMFDPAWSSLQLVYDLFLQFISYSSLDAKVAKKYIDQPFILRLLDLFESEDPRERDCLKTILHRIYGKFTVHRPFVRKAVSNIIYTFVFETERHNGIAELLEIFGSIISGFAVPLKEEHKMFLCRVLIPLHKPKSVGVYHQQLTYCVVQFIDKDPKLANSVITGLLKYWPVTNSQKELMFISESEEILEMISMTEFQKIMVPLFRRIACCLNSFHYQVAERAHMLWNNEHVLNLIKHNRQVIFPLIFPALERNSRNHWHQTVLNLTKNTRKMLSEIDDELTLACERKLEEENSQSNEASEKRKLTWERLELAAGFEPAAANLVPPLKPATCSVAC >KJB83138 pep chromosome:Graimondii2_0_v6:13:54978804:54982513:1 gene:B456_013G231300 transcript:KJB83138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILRKLPRKSQKSDSLDSAGNDSDNHASNSDDGVQCTNFGNKISSRLRVVKRVSSAVFPASIMAGVEAVEPNLSFNNVSNPQKPNLFISKLNLCCEACNSSDPDKGTAEQDLKRRTLIELVDFVSSGSAKFNEAAIAAMCKMCAINLFRVFPPKYRSNTASGEADDEEPMFDPAWSSLQLVYDLFLQFISYSSLDAKVAKKYIDQPFILRLLDLFESEDPRERDCLKTILHRIYGKFTVHRPFVRKAVSNIIYTFVFETERHNGIAELLEIFGSIISGFAVPLKEEHKMFLCRVLIPLHKPKSVGVYHQQLTYCVVQFIDKDPKLANSVITGLLKYWPVTNSQKELMFISESEEILEMISMTEFQKIMVPLFRRIACCLNSFHYQVAERAHMLWNNEHVLNLIKHNRQVIFPLIFPALERNSRNHWHQTVLNLTKNTRKMLSEIDDELTLACERKLEEENSQSNEASEKRKLTWERLELAAGFEPAAANLVPPLKPATCSVAC >KJB83141 pep chromosome:Graimondii2_0_v6:13:54979041:54982492:1 gene:B456_013G231300 transcript:KJB83141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILRKLPRKSQKSDSLDSAGNDSDNHASNSDDGVQCTNFGNKISSRLRVVKRVSSAVFPASIMAGVEAVEPNLSFNNVSNPQKPNLFISKLNLCCEACNSSDPDKGTAEQDLKRRTLIELVDFVSSGSAKFNEAAIAAMCKMCAINLFRVFPPKYRSNTASGEADDEEPMFDPAWSSLQLVYDLFLQFISYSSLDAKVAKKYIDQPFILRLLDLFESEDPRERDCLKTILHRIYGKFTVHRPFVRKAVSNIIYTFVFETERHNGIAELLEIFGSIISGFAVPLKEEHKMFLCRVLIPLHKPKSVGVYHQQLTYCVVQFIDKDPKLANSVITGLLKYWPVTNSQKELMFISESEEILEMISMTEFQKIMVPLFRRIACCLNSFHYQVAERAHMLWNNEHVLNLIKHNRQVIFPLIFPALERNSRNHWHQTVLNLTKNTRKMLSEIDDELTLACERKLEEENSQSNEASEKRKLTWERLELAAGFEPAAANLVPPLKPATCSVAC >KJB82951 pep chromosome:Graimondii2_0_v6:13:54176900:54185034:-1 gene:B456_013G221900 transcript:KJB82951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNPARAPSKLPKSGAISKGYNFASTWEQNAPLTEQQQRAIQMLSHAVAERPFPANLAQERTSGQDKGLSVSTKDDNFRDSEAIDEILVNSNQFYKWFTDLESALRSESEEKYQHYVDTLVDRIQTCDDILRQVDETLDLFNELQLQHQAVETKTKTLHDACNRLVMEKQRLIEFAEALRSKLEYFDELENITSNFYSPNMNVGNANFLPLLKRLDECILYVENNPQYAESSVYLLKFRQLQSRALGMIRSHVVSVLKSASSQVQAAIWSSGGNKESLSEGVEASIIYVRFKAAASELKPILEEIESKASRKEYVHLLAACHKLYCEQRLSLIKGTVHQRISEFAKKEGLPSLTRSGCAYLMQVCQLEHQLFHHFFPSSSEDVSSLAPLIDPLSTYLYDTLRPKLIHEANVDFLCEMVDILKVEVLGEQLSRKSESLAGLRPSLERILADIHERLTFRARTYIRDEIANYIPINEDLNYPAKLEHSADVVSETATNANPDVFKTWYPPLEKTISFLSKLYRSLEPAVFTGLAQEAVEVCSVSIQKASKLIAKRSTPMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLENLRRILRGQTSLFDWSGSTSLARTLSPRVLESQVDAKKELEKSLKATCEEFIMAVTKQVVDPMLSFVTKVTAVKVALSSGTQNQKRDSVMAKPLKEQAFATPEAVAELVQKPFSENFRL >KJB82953 pep chromosome:Graimondii2_0_v6:13:54178100:54185034:-1 gene:B456_013G221900 transcript:KJB82953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNPARAPSKLPKSGAISKGYNFASTWEQNAPLTEQQQRAIQMLSHAVAERPFPANLAQERTSGQDKGLSVSTKDDNFRDSEAIDEILVNSNQFYKWFTDLESALRSESEEKYQHYVDTLVDRIQTCDDILRQVDETLDLFNELQLQHQAVETKTKTLHDACNRLVMEKQRLIEFAEALRSKLEYFDELENITSNFYSPNMNVGNANFLPLLKRLDECILYVENNPQYAESSVYLLKFRQLQSRALGMIRSHVVSVLKSASSQVQAAIWSSGGNKESLSEGVEASIIYVRFKAAASELKPILEEIESKASRKEYVHLLAACHKLYCEQRLSLIKGTVHQRISEFAKKEGLPSLTRSGCAYLMQVCQLEHQLFHHFFPSSSEDVSSLAPLIDPLSTYLYDTLRPKLIHEANVDFLCEMVDILKVEVLGEQLSRKSESLAGLRPSLERILADIHERLTFRARTYIRDEIANYIPINEDLNYPAKLEHSADVVSETATNANPDVFKTWYPPLEKTISFLSKLYRSLEPAVFTGLAQEAVEVCSVSIQKASKLIAKRSTPMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLENLRRILRGQTSLFDWSGSTSLARTLSPRVLESQVDAKKELEKSLKATCEEFIMAVTKQVVDPMLSFVTKVTAVKVALSSGTQNQKRDSVMAKPLKEQAFATPEAVAELVQKVSHF >KJB82952 pep chromosome:Graimondii2_0_v6:13:54177140:54184911:-1 gene:B456_013G221900 transcript:KJB82952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNPARAPSKLPKSGAISKGYNFASTWEQNAPLTEQQQRAIQMLSHAVAERPFPANLAQERTSGQDKGLSVSTKDDNFRDSEAIDEILVNSNQFYKWFTDLESALRSESEEKYQHYVDTLVDRIQTCDDILRQVDETLDLFNELQLQHQAVETKTKTLHDACNRLVMEKQRLIEFAEALRSKLEYFDELENITSNFYSPNMNVGNANFLPLLKRLDECILYVENNPQYAESSVYLLKFRQLQSRALGMIRSHVVSVLKSASSQVQAAIWSSGGNKESLSEGVEASIIYVRFKAAASELKPILEEIESKASRKEYVHLLAACHKLYCEQRLSLIKGTVHQRISEFAKKEGLPSLTRSGCAYLMQVCQLEHQLFHHFFPSSSEDVSSLAPLIDPLSTYLYDTLRPKLIHEANVDFLCEMVDILKVEVLGEQLSRKSESLAGLRPSLERILADIHERLTFRARTYIRDEIANYIPINEDLNYPAKLEHSADVVSETATNANPDVFKTWYPPLEKTISFLSKLYRSLEPAVFTGLAQEAVEVCSVSIQKASKLIAKRSTPMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLENLRRILRGQTSLFDWSGSTSLARTLSPRVLESQVDAKKELEKSLKATCEEFIMAVTKQVVDPMLSFVTKVTAVKVALSSGTQNQKRDSVMAKPLKEQAFATPEAVAELVQKVHLAIQRELPVVIAKMKLYLQNSSTRTILFKPIKTNIVEAHIQIQSLLKAEYSPEENRTINMVPIEELESQLDNLL >KJB81575 pep chromosome:Graimondii2_0_v6:13:41086812:41088192:-1 gene:B456_013G150600 transcript:KJB81575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRYSFQPDSLCTSSTAVPFSSNPHGVEERIVVGMRNRPPRAPPSSILIRTAMRISRARWFNFLRRVFHYQNGSRSDLGSNPFNSSTWMMLEFLALVIQISIIAFTLAISKEERPIWPMRIWIVGYDIGCVLSLLLLYGNYHLHITQGDRFGLSDIEQQRTNEESRMFRSSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFRSFHRAPNLHVLCISLLAWNALSYSFPFLLFLLLCCCVPLTSSLLGYNMNMGSIDRGASDDQISRLPSWRYKEINTNLDLDHDLNCGTSQANEDPECCICLANYKDKEEVRQLPCSHIFHLKCVDQWLRIISCCPLCKQALDR >KJB81576 pep chromosome:Graimondii2_0_v6:13:41086812:41088192:-1 gene:B456_013G150600 transcript:KJB81576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRYSFQPDSLCTSSTAVPFSSNPHGVEERIVVGMRNRPPRAPPSSILIRTAMRISRARWFNFLRRVFHYQNGSRSDLGSNPFNSSTWMMLEFLALVIQISIIAFTLAISKEERPIWPMRIWIVGYDIGCVLSLLLLYGNYHLHITQGDRFGLSDIEQQRTNEESRSSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFRSFHRAPNLHVLCISLLAWNALSYSFPFLLFLLLCCCVPLTSSLLGYNMNMGSIDRGASDDQISRLPSWRYKEINTNLDLDHDLNCGTSQANEDPECCICLANYKDKEEVRQLPCSHIFHLKCVDQWLRIISCCPLCKQALDR >KJB81577 pep chromosome:Graimondii2_0_v6:13:41086308:41088812:-1 gene:B456_013G150600 transcript:KJB81577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERRRFAVEGGVLCFAYPRHKNLREVRPKVSFPPDSLCTSSTAVPFSSNPHGVEERIVVGMRNRPPRAPPSSILIRTAMRISRARWFNFLRRVFHYQNGSRSDLGSNPFNSSTWMMLEFLALVIQISIIAFTLAISKEERPIWPMRIWIVGYDIGCVLSLLLLYGNYHLHITQGDRFGLSDIEQQRTNEESRSSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFRSFHRAPNLHVLCISLLAWNALSYSFPFLLFLLLCCCVPLTSSLLGYNMNMGSIDRGASDDQISRLPSWRYKEINTNLDLDHDLNCGTSQANEDPECCICLANYKDKEEVRQLPCSHIFHLKCVDQWLRIISCCPLCKQALDR >KJB83453 pep chromosome:Graimondii2_0_v6:13:56662940:56663326:-1 gene:B456_013G248200 transcript:KJB83453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEAGCGEVLRDEKGVTRSLFSGLIKAMGSKMAELMAIKTALEMYIGMEWHVKVPLTVEISSCVTMEWLLERNYRLWTLWNLFINIDRCINQLVRVHFAFDHRQCNDMTDALVKAGVRRLLLFKTKW >KJB82282 pep chromosome:Graimondii2_0_v6:13:48772896:48778130:1 gene:B456_013G187200 transcript:KJB82282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACARDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFYDVADEDRPVEQPKFPTTIEELEGKRRVDIEAQLRKQDVAKNKISQRQDTPSAILQANKLNDPETVRKRSKLMLPSPQISDHELEEIAKMGYASDLLAENEELAGGSGATRALLANYSQTPRPGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKTQIQTPNPMLTPAATPGTAGLTPRTGMTPSRDGYSFALTPKGTPIRDGLHINEEMDLHDSAKLEQRRQADLRRNLRSGLGTLPQPKNEYQIVIQPPPEDNEEPEEKIEEDMSDRIARERAEEEARQQALLKKRSKVLQRELPRPPSASLELIRDSLMRTDGDKSSFVPPTSIEQADEMIRKELLSLLEHDNAKYPLDEKANKAKKKGAKRPANGSIPSIEDFEEEEMKEADSLIKEEAEFLRVAMGHENESLDDFVEAHNTCLNDLMYFPTRNAYGLSSVAGNMEKLAALQTEFENVKKKMDNDKSKAESMEKKYNVLTQGYERRAATLWRHIESTVKQIDTAGTELECFQALQKQEHLAASHRINGLWEEVQKQKELEQTLQRRYGNLMSELERMQRLMNVYRAQAEKQEEAGEKNHALELSEAAASQVAVPSAGHSEPAPSLEHLDSSLDGLPSAEVDVNADSGKEHATMDIGTDGNMHINEALVVEDKGDDITQTINKMSGDAATSSEVATESINPDSVSTKQESIQETVEATEVDNSCVLGGDTAENQTGMEE >KJB82798 pep chromosome:Graimondii2_0_v6:13:53127090:53128725:1 gene:B456_013G2137002 transcript:KJB82798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLNIVSALKHEFFSKLPDKVRSRLDPETPFHINLSKTTCLIEGEKEYYEKQFAMLRSFEEVDSLKSPNAIDEAQDCEEQAQHERAMNISNWANAFLLVFKIYATIKSRSLAVAASTLDSLLDLLAGGILWFTNLSMKTINIY >KJB82367 pep chromosome:Graimondii2_0_v6:13:49391044:49393191:1 gene:B456_013G192800 transcript:KJB82367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAASSVSMQPRHSLATTRASGLKLASFVNQERNNLSFRLRPVPARLRISCAAKPETVDKVCEIARKQLALSSDEPVTGASKFSDLGADSLDTVEIVLGIEEEFGVTIKEDNAQDITTVQDAADLIEKLCRAKGA >KJB83364 pep chromosome:Graimondii2_0_v6:13:56278611:56283114:1 gene:B456_013G243400 transcript:KJB83364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPVMYPAFVPGLTSLQNQEQMNRGAGIYAVPVLPFMGHVSGIPSNNLIPLTYNIPTRSVTEAGAAAEDQGQGAQQPQHQQQQVGPQRQVVVRRFQIAFQLDLLLILKLAAVIFLFNQDGSRQRLAVLVFFASLVYLYQTGALTPLIRWLSQGMQRAAAPPHPPRPAARAENVPAAGRQGNENVAVAGAENENRPADDGNQAVENENEPEPGLGNAGNQWWGIVKEIQMIVFGFITSLLPGFHNIE >KJB83361 pep chromosome:Graimondii2_0_v6:13:56278275:56283159:1 gene:B456_013G243400 transcript:KJB83361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESGTASSSSSSQPRSSPNTSKISQVPPGYSGLPTFQNGDFQMFPVMYPAFVPGLTSLQNQEQMNRGAGIYAVPVLPFMGHVSGIPSNNLIPLTYNIPTRSVTEAGAAAEDQGQGAQQPQHQQQQVGPQRQVVVRRFQIAFQLDLLLILKLAAVIFLFNQDGSRQRLAVLVFFASLVYLYQTGALTPLIRWLSQGMQRAAAPPHPPRPAARAENVPAAGRQGNENVAVAGAENENRPADDGNQAVENENEPEPGLGNAGNQWWGIVKEIQMIVFGFITSLLPGFHNIE >KJB83363 pep chromosome:Graimondii2_0_v6:13:56278406:56283114:1 gene:B456_013G243400 transcript:KJB83363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESGTASSSSSSQPRSSPNTSKISQVPPGYSGLPTFQNGDFQMFPVMYPAFVPGLTSLQNQEQMNRGAGIYAVPVLPFMGHVSGIPSNNLIPLTYNIPTRSVTEAGAAAEDQGQGAQQPQHQQQQVGPQRQVVVRRFQIAFQLDLLLILKLAAVIFLFNQDGSRQRLAVLVFFASLVYLYQTGALTPLIRWLSQGMQRAAAPPHPPRPAARAENVPAAGRQGNENVAVAAENENRPADDGNQAVENENEPEPGLGNAGNQWWGIVKEIQMIVFGFITSLLPGFHNIE >KJB83362 pep chromosome:Graimondii2_0_v6:13:56278406:56282423:1 gene:B456_013G243400 transcript:KJB83362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESGTASSSSSSQPRSSPNTSKISQVPPGYSGLPTFQNGDFQMFPVMYPAFVPGLTSLQNQEQMNRGAGIYAVPVLPFMGHVSGIPSNNLIPLTYNIPTRSVTEAGAAAEDQGQGAQQPQHQQQQVGPQRQVVVRRFQIAFQLDLLLILKLAAVIFLFNQDGSRQRLAVLVFFASLVYLYQTGALTPLIRWLSQGMQRAAAPPHPPRPAARAENVPAAGRQGNENVAVAGNFF >KJB80660 pep chromosome:Graimondii2_0_v6:13:24350899:24354181:-1 gene:B456_013G109300 transcript:KJB80660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVKVYTSREMLGWITLVRRYCSSEPTRDVSVTSKKVCKIMMSSSPVVLDTALDQSGLRVSPEVAEDVLKRFENAGMLAYRFFEWTEKQRNYMHTVRAYHTMIGSLAKIRQYQIMWNLVNAMKNKSMLNVETFCIIMRKYARVQKVDEAVYAFNVMEKYGVPPNLAAFNALLSTLCKTKNVRKAQEIFDKMKDHFVPDSKTYSILIEGWGRAPNLPKAREIYREMVDMGCDPDIVTYGIMVDVLCKAGRVDEAIGIVREMDSNGCRPTSFIYSILVHTYGIENRIEDAVDAFLEMERNGIKADVVVYNALISAFCKVNKLKNVYRVLNEMDSKGVAPNARTCNIILNSLIGRGETDEAFKVFRRMIKECEPDADTYTIMIKMFCERDELDMAFKVWKYMKLKQFIPSMHTFSVLINGLCEKGNAAKACILLEEMLEKGIQPSGPTFGRLKQLLIKEGREDVLKFLQEKMNLLIKEPLYD >KJB80658 pep chromosome:Graimondii2_0_v6:13:24352159:24353601:-1 gene:B456_013G109300 transcript:KJB80658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVKVYTSREMLGWITLVRRYCSSEPTRDVSVTSKKVCKIMMSSSPVVLDTALDQSGLRVSPEVAEDVLKRFENAGMLAYRFFEWTEKQRNYMHTVRAYHTMIGSLAKIRQYQIMWNLVNAMKNKSMLNVETFCIIMRKYARVQKVDEAVYAFNVMEKYGVPPNLAAFNALLSTLCKTKNVRKAQEIFDKMKDHFVPDSKTYSILIEGWGRAPNLPKAREIYREMVDMGCDPDIVTYGIMVDVLCKAGRVDEAIGIVREMDSNGCRPTSFIYSILVHTYGIENRIEDAVDAFLEMERNGIKADVVVYNALISAFCKVNKLKNVYRVLNEMDSKGVAPNARTCNIILNSLIGRGETDEAFKVFRRMIKECEPDADTYTIMIKMFCERDELDMAFKVWKYMKLKQFIPSMHTFSVLINGLCEKGNAAKACILLEEMLEKGIQPSGPTFGRLKQLLIKEGREDVLKFLQEKMNLLIKEPLYD >KJB80659 pep chromosome:Graimondii2_0_v6:13:24352159:24353601:-1 gene:B456_013G109300 transcript:KJB80659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVKVYTSREMLGWITLVRRYCSSEPTRDVSVTSKKVCKIMMSSSPVVLDTALDQSGLRVSPEVAEDVLKRFENAGMLAYRFFEWTEKQRNYMHTVRAYHTMIGSLAKIRQYQIMWNLVNAMKNKSMLNVETFCIIMRKYARVQKVDEAVYAFNVMEKYGVPPNLAAFNALLSTLCKTKNVRKAQEIFDKMKDHFVPDSKTYSILIEGWGRAPNLPKAREIYREMVDMGCDPDIVTYGIMVDVLCKAGRVDEAIGIVREMDSNGCRPTSFIYSILVHTYGIENRIEDAVDAFLEMERNGIKADVVVYNALISAFCKVNKLKNVYRVLNEMDSKGVAPNARTCNIILNSLIGRGETDEAFKVFRRMIKECEPDADTYTIMIKMFCERDELDMAFKVWKYMKLKQFIPSMHTFSVLINGLCEKGNAAKACILLEEMLEKGIQPSGPTFGRLKQLLIKEGREDVLKFLQEKMNLLIKEPLYD >KJB80662 pep chromosome:Graimondii2_0_v6:13:24350890:24354305:-1 gene:B456_013G109300 transcript:KJB80662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVKVYTSREMLGWITLVRRYCSSEPTRDVSVTSKKVCKIMMSSSPVVLDTALDQSGLRVSPEVAEDVLKRFENAGMLAYRFFEWTEKQRNYMHTVRAYHTMIGSLAKIRQYQIMWNLVNAMKNKSMLNVETFCIIMRKYARVQKVDEAVYAFNVMEKYGVPPNLAAFNALLSTLCKTKNVRKAQEIFDKMKDHFVPDSKTYSILIEGWGRAPNLPKAREIYREMVDMGCDPDIVTYGIMVDVLCKAGRVDEAIGIVREMDSNGCRPTSFIYSILVHTYGIENRIEDAVDAFLEMERNGIKADVVVYNALISAFCKVNKLKNVYRVLNEMDSKGVAPNARTCNIILNSLIGRGETDEAFKVFRRMIKECEPDADTYTIMIKMFCERDELDMAFKVWKYMKLKQFIPSMHTFSVLINGLCEKGNAAKACILLEEMLEKGIQPSGPTFGRLKQLLIKEGREDVLKFLQEKMNLLIKEPLYD >KJB80661 pep chromosome:Graimondii2_0_v6:13:24350890:24354305:-1 gene:B456_013G109300 transcript:KJB80661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVKVYTSREMLGWITLVRRYCSSEPTRDVSVTSKKVCKIMMSSSPVVLDTALDQSGLRVSPEVAEDVLKRFENAGMLAYRFFEWTEKQRNYMHTVRAYHTMIGSLAKIRQYQIMWNLVNAMKNKSMLNVETFCIIMRKYARVQKVDEAVYAFNVMEKYGVPPNLAAFNALLSTLCKTKNVRKAQEIFDKMKDHFVPDSKTYSILIEGWGRAPNLPKAREIYREMVDMGCDPDIVTYGIMVDVLCKAGRVDEAIGIVREMDSNGCRPTSFIYSILVHTYGIENRIEDAVDAFLEMERNGIKADVVVYNALISAFCKVNKLKNVYRVLNEMDSKGVAPNARTCNIILNSLIGRGETDEAFKVFRRMIKECEPDADTYTIMIKMFCERDELDMAFKVWKYMKLKQFIPSMHTFSVLINGLCEKGNAAKACILLEEMLEKGIQPSGPTFGRLKQLLIKEGREDVLKFLQEKMNLLIKEPLYD >KJB82797 pep chromosome:Graimondii2_0_v6:13:53130050:53131150:1 gene:B456_013G2137001 transcript:KJB82797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSNYITGFQVLIEAVEQLIQDKPSEKMTSQQLVWLYTIMLTASAVKIVLWFYCRSSGSKIVRAYAKDHYFDVVTNLVGLIAAVLVSLVGQSAPPEVLQKLAYLVLRHHPQIKRVDTVRAYTFGILFFVEVDIELPEDLPLKETHAIGESLQIKIEQLQEVERAFVHLDFECRHKPEHSVLIRLPTTQP >KJB83681 pep chromosome:Graimondii2_0_v6:13:57380433:57383000:-1 gene:B456_013G258200 transcript:KJB83681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDALREAISTIVANSKEKSRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVAMEEKQIFQNVQMSVNFLVSLLKKNWQNVSAFT >KJB83682 pep chromosome:Graimondii2_0_v6:13:57380433:57383000:-1 gene:B456_013G258200 transcript:KJB83682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDALREAISTIVANSKEKSRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPSNRIF >KJB78972 pep chromosome:Graimondii2_0_v6:13:2042971:2045602:1 gene:B456_013G027500 transcript:KJB78972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEYGKGNLISQTESFLNEVLGNWADSIRALEACEEVMAHAEDLHIVSRCIDSLATKACADPSLFGWPLAGRKSNQSPETTSLWNGISTMTKTKPTSDNWWYEDVTFLSLPLYRRLILAIESRGMRPESIAASVVYYARRYLPLMNRQSSFDDANPGPNILNPSESGQRALLEEIVGLIPNKKGVTSTKFLIRLLRTAMVLHASPSCREILEKRVGSQLDQASLVDLLIPNMGHSETLYDIDCVQRILDHFFLEEQAAAVATPDNIVEEGQLTNESNSMAPMTTVARLIDGFLAEVAPDVNFKLPKFEALASSIPDYARPLDDGLYHAIDMYLKAHPWITDPEREQLCRLMNCQKLSLDASTHAAQNERLPLRVIVQVLFFEQLRLRKSISGWFFVSDNLENSANPSGNVGLKKDGSHHATDGEDDVTHRVSELEKDCSGMKEELRKLVKYKRSWKNFTRRLGFSKSQSCCSKRSKPSNLRAIPPSTVNR >KJB78973 pep chromosome:Graimondii2_0_v6:13:2042971:2045602:1 gene:B456_013G027500 transcript:KJB78973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEYGKGNLISQTESFLNEVLGNWADSIRALEACEEVMAHAEDLHIVSRCIDSLATKACADPSLFGWPLAGRKSNQSPETTSLWNGISTMTKTKPTSDNWWYEDVTFLSLPLYRRLILAIESRGMRPESIAASVVYYARRYLPLMNRQSSFDDANPGPNILNPSESGQRALLEEIVGLIPNKKGVTSTKFLIRLLRTAMVLHASPSCREILEKRVGSQLDQASLVDLLIPNMGHSETLYDIDCVQRILDHFFLEEQAAAVATPDNIVEEGQLTNESNSMAPMTTVARLIDGFLAEVAPDVNFKLPKFEALASSIPDYARPLDDGLYHAIDMYLKAHPWITDPEREQLCRLMNCQKLSLDASTHAAQNERLPLRVIVQVLFFEQLRLRKSISGWFFVSDNLENSANPSGNVGLKKDGSHHATDGEDDVTHRVSELEKDCSGMKEELRKLVKYKRSWKNFTRRLGFSKSQSCCSKRSKPSNLRAIPPSTVNR >KJB78971 pep chromosome:Graimondii2_0_v6:13:2042971:2045602:1 gene:B456_013G027500 transcript:KJB78971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILRLGSKSEAFHREGNSWICTSGLPSDVNINIGEMSFQLHKFPLLSRSRLLRKLIEECSNGDGASFSLRLDDLPGGAIAFELISKFCYGVKIELNAQNVVSVRCAAEYLHMTDEYGKGNLISQTESFLNEVLGNWADSIRALEACEEVMAHAEDLHIVSRCIDSLATKACADPSLFGWPLAGRKSNQSPETTSLWNGISTMTKTKPTSDNWWYEDVTFLSLPLYRRLILAIESRGMRPESIAASVVYYARRYLPLMNRQSSFDDANPGPNILNPSESGQRALLEEIVGLIPNKKGVTSTKFLIRLLRTAMVLHASPSCREILEKRVGSQLDQASLVDLLIPNMGHSETLYDIDCVQRILDHFFLEEQAAAVATPDNIVEEGQLTNESNSMAPMTTVARLIDGFLAEVAPDVNFKLPKFEALASSIPDYARPLDDGLYHAIDMYLKAHPWITDPEREQLCRLMNCQKLSLDASTHAAQNERLPLRVIVQVLFFEQLRLRKSISGWFFVSDNLENSANPSGNVGLKKDGSHHATDGEDDVTHRVSELEKDCSGMKEELRKLVKYKRSWKNFTRRLGFSKSQSCCSKRSKPSNLRAIPPSTVNR >KJB79625 pep chromosome:Graimondii2_0_v6:13:6343756:6348595:1 gene:B456_013G059600 transcript:KJB79625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLALHCSFAAAAATTTTPFSLSPGISLHFRSTPIPKSPPPSIFPPSSSFPALRSPLNSLLFSPLPKRRNPLLFLTRATSQNGKDPPPQTTPPPPPQGAKLLPFLISISIGLIVRFLIPIPVEVTLQAWQLFAIFLSTITGLVLSPLPVGAWAFLGLTTSIVTGTLPFTTAFGAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGVFVPIIKSLSLSAGSRPGDPSSRKLGSYLVQSQFQASTNSSALFLTASAQNLLCLKLAEELGIIILNPWVSWFKAASLPALVSLLLTPLILYKFYPPEIKDTPDAPAMAAKKLEQMGPVTKNEWIMVGTMLLAVTLWVCGSA >KJB79626 pep chromosome:Graimondii2_0_v6:13:6343756:6349716:1 gene:B456_013G059600 transcript:KJB79626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLALHCSFAAAAATTTTPFSLSPGISLHFRSTPIPKSPPPSIFPPSSSFPALRSPLNSLLFSPLPKRRNPLLFLTRATSQNGKDPPPQTTPPPPPQGAKLLPFLISISIGLIVRFLIPIPVEVTLQAWQLFAIFLSTITGLVLSPLPVGAWAFLGLTTSIVTGTLPFTTAFGAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGVFVPIIKSLSLSAGSRPGDPSSRKLGSYLVQSQFQASTNSSALFLTASAQNLLCLKLAEELGIIILNPWVSWFKAASLPALVSLLLTPLILYKFYPPEIKDTPDAPAMAAKKLEQMGPVTKNEWIMVGTMLLAVTLWVCGDTLGIPSVVAAMIGLSMLLLLGVLDWDDCLSEKSAWDTLAWFAVLVGMAGQLTNLGIVSWMSGCVAKSLQSLSLSWPVAFVVLQASYFLIHYLFASQTGHVGALYSAFLAMHLAAGVPGVLAAMALAYNTNLFGSITHYSSGQAAVYYGVFLRFCWFSILTLDSNGG >KJB79624 pep chromosome:Graimondii2_0_v6:13:6343527:6348685:1 gene:B456_013G059600 transcript:KJB79624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLALHCSFAAAAATTTTPFSLSPGISLHFRSTPIPKSPPPSIFPPSSSFPALRSPLNSLLFSPLPKRRNPLLFLTRATSQNGKDPPPQTTPPPPPQGAKLLPFLISISIGLIVRFLIPIPVEVTLQAWQLFAIFLSTITGLVLSPLPVGAWAFLGLTTSIVTGTLPFTTAFGAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGVFVPIIKSLSLSAGSRPGDPSSRKLGSYLVQSQFQASTNSSALFLTASAQNLLCLKLAEELGIIILNPWVSWFKAASLPALVSLLLTPLILYKFYPPEIKDTPDAPAMAAKKLEQMGPVTKNEWIMVGTMLLAVTLWVCGDTLGIPSVVAAMIGLSMLLLLGVLDWDDCLSEKSAWDTLAWFAVLVGMAGQLTNLGIVSWMSGCVAKSLQSLSLSWPVAFVVLQASYFLIHYLFASQTGHVGALYSAFLAMHLAAGVPGVLAAMALAYNTNLFGSITHYSSGQAAVYYGAGYIELPDVFKMGFVMAIVNAIVWGGVGTLWWKFLGIY >KJB82132 pep chromosome:Graimondii2_0_v6:13:47143355:47147081:1 gene:B456_013G178000 transcript:KJB82132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRAIMDRKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMSDDSLKSYKGERQGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEAKMMERLWGENFFDPATKKWTTKNTGSGTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSDEKDLMGKSLMKRVMQTWLPASSALLEMMIFHLPSPSKAQKYRVENLYEGPLDDIYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIVKSDPVVSFRETVLERSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDEGRIGPRDDPKVRSKILAEEYGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGAMAEENMRGICFEVCDVVLHTDAIHRGGGQIIPTARRVFYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGQAFPQCVFDHWDMMSSDPLEPGSQAATHVAEIRKRKGLKEQMTPLSDYEDKL >KJB82131 pep chromosome:Graimondii2_0_v6:13:47143311:47147103:1 gene:B456_013G178000 transcript:KJB82131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRAIMDRKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMSDDSLKSYKGERQGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEAKMMERLWGENFFDPATKKWTTKNTGSGTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSDEKDLMGKSLMKRVMQTWLPASSALLEMMIFHLPSPSKAQKYRVENLYEGPLDDIYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIVKSDPVVSFRETVLERSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDEGRIGPRDDPKVRSKILAEEYGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGAMAEENMRGICFEVCDVVLHTDAIHRGGGQIIPTARRVFYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEPGSQAATHVAEIRKRKGLKEQMTPLSDYEDKL >KJB81950 pep chromosome:Graimondii2_0_v6:13:45397340:45398225:1 gene:B456_013G168600 transcript:KJB81950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEAWMMPFAFCTREKRWCEFAEPVNGESTQFLQEFALKYNMVIISSILERDINHGETLWNTVVIIGNHGNIIASLEYCYFLFKLGTSMEPVAFVDCNC >KJB78787 pep chromosome:Graimondii2_0_v6:13:1314199:1316644:1 gene:B456_013G019000 transcript:KJB78787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPSKADKKIAYDAKLCQLLDEYTQILIAAADNVGSNQLQNIRKGLRGDSVVLMGKNTMMKRSVRMHAEKTGNQAFLNLIPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGEKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFSPEVLDLTEEDLIEKFATGVSMVTALSLAISYPTLAAAPHMFINAYKNVLSVAVATEYSFPQADKVKEYLADPSKFAVAVAAVATDAGAAPAAAAAAAEEKKPEPEEESDDDMGFSLFD >KJB83642 pep chromosome:Graimondii2_0_v6:13:57259752:57268832:1 gene:B456_013G256500 transcript:KJB83642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDMLLHFSSNSSNQSDNSLPTKMAKLEARLVGKVSSATGSQQPPQTQQLQQQQQQQPVWSSLSSASKFVSAEDLSEASSSSDSDDENGGEFLIQANTLKRQKIQGDGNLPVFEHVEAATEGTQKIVEPMESKASSEGNRRKQGRGRGHSVSSRGRGSRANDQTKQTSSSTVTPSNGQLENSFHKDSRPKEQFWTDQRTSLEEEVTSLRAKVAALEEDLRKCRQEVSDYQNLCRQLEKELKDIKDYDQQMKPKRTKMISDLLIAVSKAERQEARMKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAHLRQLLEAKEAVERQRKQLKKRQSDKGDASDAESSAQEEDVLIQDEIYKSRLASIKREEESLLRERDRCELDKGRLIREMKRIRDEDGSRFNNFQILNNRYALLNLLGKGGFSEVYKGYDLVEHRYVACKLHGLNAQWSEDKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEKEARIIIVQIFQGLVYLNKRAQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSRTPLISSKVDVWSAGVLLYQMLFGKRPFGHDQTQERILREDTIIKARKVEFPSRPTVSNEAKDLIRRCLTYNQAERPDVLTIAQDPYLTYSKK >KJB83643 pep chromosome:Graimondii2_0_v6:13:57259838:57268832:1 gene:B456_013G256500 transcript:KJB83643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDMLLHFSSNSSNQSDNSLPTKMAKLEARLVGKVSSATGSQQPPQTQQLQQQQQQQPVWSSLSSASKFVSAEDLSEASSSSDSDDENGGEFLIQANTLKRQKIQGDGNLPVFEHVEAATEGTQKIVEPMESKASSEGNRRKQGRGRGHSVSSRGRGSRANDQTKQTSSSTVTPSNGQLENSFHKVRPKEQFWTDQRTSLEEEVTSLRAKVAALEEDLRKCRQEVSDYQNLCRQLEKELKDIKDYDQQMKPKRTKMISDLLIAVSKAERQEARMKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAHLRQLLEAKEAVERQRKQLKKRQSDKGDASDAESSAQEEDVLIQDEIYKSRLASIKREEESLLRERDRCELDKGRLIREMKRIRDEDGSRFNNFQILNNRYALLNLLGKGGFSEVYKGYDLVEHRYVACKLHGLNAQWSEDKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEKEARIIIVQIFQGLVYLNKRAQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSRTPLISSKVDVWSAGVLLYQMLFGKRPFGHDQTQERILREDTIIKARKVEFPSRPTVSNEAKDLIRRCLTYNQAERPDVLTIAQDPYLTYSKK >KJB83645 pep chromosome:Graimondii2_0_v6:13:57259979:57268832:1 gene:B456_013G256500 transcript:KJB83645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLRTLSTRPKEQFWTDQRTSLEEEVTSLRAKVAALEEDLRKCRQEVSDYQNLCRQLEKELKDIKDYDQQMKPKRTKMISDLLIAVSKAERQEARMKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAHLRQLLEAKEAVERQRKQLKKRQSDKGDASDAESSAQEEDVLIQDEIYKSRLASIKREEESLLRERDRCELDKGRLIREMKRIRDEDGSRFNNFQILNNRYALLNLLGKGGFSEVYKGYDLVEHRYVACKLHGLNAQWSEDKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEKEARIIIVQIFQGLVYLNKRAQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSRTPLISSKVDVWSAGVLLYQMLFGKRPFGHDQTQERILREDTIIKARKVEFPSRPTVSNEAKDLIRRCLTYNQAERPDVLTIAQDPYLTYSKK >KJB83644 pep chromosome:Graimondii2_0_v6:13:57259979:57267027:1 gene:B456_013G256500 transcript:KJB83644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDMLLHFSSNSSNQSDNSLPTKMAKLEARLVGKVSSATGSQQPPQTQQLQQQQQQQPVWSSLSSASKFVSAEDLSEASSSSDSDDENGGEFLIQANTLKRQKIQGDGNLPVFEHVEAATEGTQKIVEPMESKASSEGNRRKQGRGRGHSVSSRGRGSRANDQTKQTSSSTVTPSNGQLENSFHKDSRPKEQFWTDQRTSLEEEVTSLRAKVAALEEDLRKCRQEVSDYQNLCRQLEKELKDIKDYDQQMKPKRTKMISDLLIAVSKAERQEARMKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAHLRQLLEAKEAVERQRKQLKKRQSDKGDASDAESSAQEEDVLIQDEIYKSRLASIKREEESLLRERDRCELDKGRLIREMKRIRDEDGSRFNNFQILNNRYALLNLLGKGGFSEVYKGYDLVEHRYVACKLHGLNAQWSEDKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEKEARIIIVQIFQGLVYLNKRAQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYW >KJB80440 pep chromosome:Graimondii2_0_v6:13:17389826:17392439:1 gene:B456_013G097800 transcript:KJB80440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWKPGFEEEKALVEWSSIGDTRAENKAIKMDKIKRLVVSKSPGCDRFRLWMVGITTTMLLRWVLSSQSGDDIGPITAKVEAPPYFPPPRDYKNQGYLMVSCNGGFLNLTLVICDMVAIARFLNLTLVVPVSDIWDVDYFIKSLSSEVRIIKHLPPRLRKKVETDGLYSMFPASLLLQHGELSHTAVIIPEKVFPRFQKYEVVRFAKTDSRLGNNLAVEVQKMRCRETEIDPKSQREAGLCPLTAFTLRAFNIDPSIQIYRAAGNIYGGNRRLASLKAFYPNLKETLLSASDLKPLMNHSNQMATLTYAGNMEKVVRYLGYKMTISLDRALVKAVHANRMGSPSQRLQFPGTPTHKNVYHSCF >KJB81040 pep chromosome:Graimondii2_0_v6:13:33042002:33044118:-1 gene:B456_013G126800 transcript:KJB81040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pectinesterase/pectinesterase inhibitor 22 [Source:Projected from Arabidopsis thaliana (AT3G05620) UniProtKB/Swiss-Prot;Acc:Q9M9W7] MAFPNFFLIILLLLPSSQVLSDVQPNLNTQPLLMQACEGIDDPDLCLSNIQTHLQNLEPHAPSSVLHAALRTTLNEARQAIETMTRFTGLSVSYREQIAIEDCKELLDFSVSELAWSLTEMRKIHDGDTRAEYEGNLKAWLSAALSNQDTCLEGFEGTDRHLESFIRGSLKQVTQLIGNVLAMYTQLHTLPFRPPRNSTSTYTSSNFPEWITDGDQELLRNHSSRLHADAVVSLDGSGRYQTITDAINEAPSYSNRRYVIYVKKGVYKENIDMKKKKTNIMLVGDGIGQTVVTADRNFMQGWTTFRTATVAVSGKGFIARDITFRNTAGPENHQAVALRVDSDQSAFFRCSIEGYQDTLYVHSLRQFYRECNIYGTIDFIFGNGAAVLQNCKIFTRVPLPLQKVTVTAQGRKSPNQNTGFSIQNSYILATQPTYLGRPWKPYSRTVFMNTYMGGMVQPRGWLEWYGDFALNTLWYGEYRNYGPGSSLSGRVKWPGYHIIRDAATANSFTVGRFLNGRSWLPATGVKFTEGLTN >KJB80916 pep chromosome:Graimondii2_0_v6:13:31293334:31294882:-1 gene:B456_013G122200 transcript:KJB80916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQLRKPEEEEAIKYGDVFDVQGKLAEKPVAPKDAAMMQMAENALLGETKKGCAAAAMVSAAMKNEKAGFVGHEDVNVDSAVTVEETHLAGKRIITESIDNEVIGQYSQAAPLTSGPYSSCEQSEEEGGGGPITIGEALEATALTAGNKPVEWSDAAAIQAAEVRATGRTSIMPGGVAAAAQSAATLNARASREEEKTKLCDVLSNATERLGSDKAATRRDAEGVAVAELRNDPTLTTHPAGVSASVTAAARLNDQISK >KJB78565 pep chromosome:Graimondii2_0_v6:13:477677:478944:-1 gene:B456_013G006900 transcript:KJB78565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLSLFQIQWKLGKANVLIYLRIYQSHIIRPILNTLFLLFHFALRKSITSEPERATMAVVCSVGCGCGSVSFQRCESLMLSNPTSSKPRTSKIFATTRPPSSKPAGGGSLQSLQPERSSSKNKRKGIPYEKLDGWMRDSVAEIIKKLPESPLLIHVYSEDATMETTAVEENWVSMKQKWKKGERAMPDGVIFVDQIQGVEEGTWGIVVQSKSEEEDGGCGSGPGSEPVPPACYLLKTTSEVGSGLGLRCTHFCLVKVSSFRESAFSQLKNCWLLQGN >KJB80708 pep chromosome:Graimondii2_0_v6:13:26069061:26069366:-1 gene:B456_013G111500 transcript:KJB80708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRQVILTIFVLFMLLLFLLFPLSNGALYRIHDLSFRNRQKDNNRMNRSEVMNFNKTSFSLLTKGVPIPPSGPSRRHNDYTDADGSGGSASASAKDGLRI >KJB82409 pep chromosome:Graimondii2_0_v6:13:49599939:49601716:1 gene:B456_013G194400 transcript:KJB82409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITYEEFEPFCKWKREPNSDTLEIHLPEFKRQQLRVQLSSSGNLVISGERESDSDGKKGNRFRREFKVSNEIEANQIRAQFCSGILYVIMPKRSTAAAAGVDVKASTMNWNKRLAMEIIVAVSSAVAVGVYVTKYCQCSHLGS >KJB81032 pep chromosome:Graimondii2_0_v6:13:32735159:32739648:-1 gene:B456_013G126300 transcript:KJB81032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSRTLYVGNLPGDTRMREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDPRDAEDAIRGRDGYNFDGNRLRVELAHGGRRPSSVDRYSSYSGSSSRGPSRRSDYRVLVTGLPSSASWQDLKDHMRKAGDVCFSQVFRDRGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVKEYDSRYDSRRSYSRSPSRSPYSRSPSRSYSYRSRSRSRSKSPRAKYLRRSLSVSRSVSPRSRSVSPARSYSRMVLDGCSLIRFCGAAFKII >KJB81851 pep chromosome:Graimondii2_0_v6:13:44553576:44557975:-1 gene:B456_013G164600 transcript:KJB81851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGESSGLIIGISIGVVIGVLLAISALFCIRYHRRRSQIGNSSSRRASTIPIRANGADSCTILSNSTIGPDSPVKSGRNGMSVWIEGFRRSNVVSASGILEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYTSRLCAGENHEPLSWNLRVYIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVELAAMSTEEKDGWEEIADSRLDGKFDVQELNEVAALAYKCVNRVPKKRPSMRDIVQVLTRILKTRHIKKHQMSLSVATAGEVPVDLEQGETKIPITVHQRDESMDSATDTFEM >KJB81847 pep chromosome:Graimondii2_0_v6:13:44553572:44558054:-1 gene:B456_013G164600 transcript:KJB81847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGESSGLIIGISIGVVIGVLLAISALFCIRYHRRRSQIGNSSSRRASTIPIRANGADSCTILSNSTIGPDSPVKSGRNGMSVWIEGFRRSNVVSASGILEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENHEPLSWNLRVYIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVELAAMSTEEKDGWEEIADSRLDGKFDVQELNEVAALAYKCVNRVPKKRPSMRDIVQVLTRILKTRHIKKHQMSLSVATAGEVPVDLEQGETKIPITVHQRDESMDSATDTFEM >KJB81850 pep chromosome:Graimondii2_0_v6:13:44553576:44557975:-1 gene:B456_013G164600 transcript:KJB81850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGESSGLIIGISIGVVIGVLLAISALFCIRYHRRRSQIGNSSSRRASTIPIRANGADSCTILSNSTIGPDSPVKSGRNGMSVWIEGFRRSNVVSASGILEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENHEPLSWNLRVYIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARQVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVELAAMSTEEKDGWEEIADSRLDGKFDVQELNEVAALAYKCVNRVPKKRPSMRDIVQVLTRILKTRHIKKHQMSLSVATAGEVPVDLEQGETKIPITVHQRDESMDSATDTFEM >KJB81846 pep chromosome:Graimondii2_0_v6:13:44553572:44558098:-1 gene:B456_013G164600 transcript:KJB81846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGESSGLIIGISIGVVIGVLLAISALFCIRYHRRRSQIGNSSSRRASTIPIRANGADSCTILSNSTIGPDSPVKSGRNGMSVWIEGFRRSNVVSASGILEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENHEPLSWNLRVYIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVELAAMSTEEKDGWEEIADSRLDGKFDVQELNEVAALAYKCVNRVPKKRPSMRDIVQVLTRILKTRHIKKHQMSLSVATAGEVPVDLEQGETKIPITVHQRDESMDSATDTFEM >KJB81849 pep chromosome:Graimondii2_0_v6:13:44553576:44557975:-1 gene:B456_013G164600 transcript:KJB81849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGESSGLIIGISIGVVIGVLLAISALFCIRYHRRRSQIGNSSSRRASTIPIRANGADSCTILSNSTIGPDSPVKSGRNGMSVWIEGFRRSNVVSASGILEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENHEPLSWNLRVYIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVELL >KJB81852 pep chromosome:Graimondii2_0_v6:13:44554140:44557079:-1 gene:B456_013G164600 transcript:KJB81852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGESSGLIIGISIGVVIGVLLAISALFCIRYHRRRSQIGNSSSRRASTIPIRANGADSCTILSNSTIGPDSPVKSGRNGMSVWIEGFRRSNVVSASGILEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENHEPLSWNLRVYIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVELVRSLFHFLSYNLILLCSEYNNDTTSRQL >KJB81848 pep chromosome:Graimondii2_0_v6:13:44553576:44557182:-1 gene:B456_013G164600 transcript:KJB81848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGESSGLIIGISIGVVIGVLLAISALFCIRYHRRRSQIGNSSSRRASTIPIRANGADSCTILSNSTIGPDSPVKSGRNGMSVWIEGFRRSNVVSASGILEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENHEPLSWNLRVYIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVELAAMSTEEKDGWEEIADSRLDGKFDVQELNEVAALAYKCVNRVPKKRPSMRDIVQVLTRILKTRHIKKHQMSLSVATAGEVPVDLEQGETKIPITVHQRDESMDSATDTFEM >KJB78534 pep chromosome:Graimondii2_0_v6:13:289180:290709:1 gene:B456_013G004100 transcript:KJB78534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLSRPSHSILLILFFMLAINSTSALTKYNVLNFGAKPNGKTDSTKAFLMAWKAACASADSTIIYVPKGRYLLGSMAFQGGCKSPQIIFRIDGTLVAPQDYRVLGKSTDWLSFEGVNGVSILGGALDAKGPSLWACKASHSNCPSGATTLSFTNSKNIRIRSLLSLNSQMFHIVINGCENVNVQGVRIIAAGNSPNTDGIHVQLSKNVNIIKCSIKTGDDCISIGPGTKNLWVEQVTCGPGHGISIGSLAKDLKEEGVQNITMKKTIFLGTQNGLRIKSWARPSTGFVQGVRFMDSLMVNVQNPIVIDQNYCPHNLNCPNQVSGIKIKDIIYEGIRGTSSTQVAIKFDCSSKNPCTGIRLQNVNLSYLNKPAQSSCSNVRGKALNLVRPESCL >KJB83659 pep chromosome:Graimondii2_0_v6:13:57304415:57308262:1 gene:B456_013G257000 transcript:KJB83659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFLQDADLIMLALATHEVHFSILREDVLFQEQQPAFRSFSTTSNREAEPYSSESLKHAPVAKRPYEFLHVWILREYIELDMKIADVPQNITFDLERIIDDFIFMCFFVGNDFLPRMPSLDIHEGAMDLLLTVYKQNFKNIGGYLVDMQRVNDKKGGYIKLKRVEKFSLLVGSFEEKIFKKRLELHERCLRRLCQNSDRQADEMEIFNLDPPMDVTKNSLADINDILRNTKELKEKLKENLRNKSDFLKNGTIRDQVRLGVAGWKKRYYKLKFSAETDRDIEITRKEIVQKYTEGLLWVLLYYFSDVPSWAWYYPYYYAPFPSDMKGLSQVSVKFQKGQPFKPFDQLMSVLPPRSAHALPKLYAKLITDADSQIIDFYPTDFEIDMDGKRHAWQGICKLPFIDEERLLSETLRLEKELMPEETERNAEKIDKLFVPRHLGSKILTLLPDNQKLHTEAELISGAVRGHVSSSPIMLLSFKIPIGKPHIPRPLEGVEYPEKAITEADIQKTQLWHEYLGTRPLYNRFELIQKTRNDLHPSNQTSDTRLPWNPRFDTCKVGGAGSSSNTAKAIADMKISEPSHNSMFGYGGGQTWRRSSQQSSSFRSSTSNTNNPWRRGPCKSNNNDSGSGLK >KJB83657 pep chromosome:Graimondii2_0_v6:13:57302774:57308262:1 gene:B456_013G257000 transcript:KJB83657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQRSRRFCTAKNDEIAEAEEDRLRREFELEGKLVLTKKDCEVCDPNVITPGTEFMYRLSKKLEGYIESRMNGDSIWKGIQVIVSDANVPGEGEHKIMSFIRYQRCLPGYDCNTRHCLYGLDADLIMLALATHEVHFSILREDVLFQEQQPAFRSFSTTSNREAEPYSSESLKHAPVAKRPYEFLHVWILREYIELDMKIADVPQNITFDLERIIDDFIFMCFFVGNDFLPRMPSLDIHEGAMDLLLTVYKQNFKNIGGYLVDMQRVNDKKGGYIKLKRVEKFSLLVGSFEEKIFKKRLELHERCLRRLCQNSDRQADEMEIFNLDPPMDVTKNSLADINDILRNTKELKEKLKENLRNKSDFLKNGTIRDQVRLGVAGWKKRYYKLKFSAETDRDIEITRKEIVQKYTEGLLWVLLYYFSDVPSWAWYYPYYYAPFPSDMKGLSQVSVKFQKGQPFKPFDQLMSVLPPRSAHALPKLYAKLITDADSQIIDFYPTDFEIDMDGKRHAWQGICKLPFIDEERLLSETLRLEKELMPEETERNAEKIDKLFVPRHLGSKILTLLPDNQKLHTEAELISGAVRGHVSSSPIMLLSFKIPIGKPHIPRPLEGVEYPEKAITEADIQKTQLWHEYLGTRPLYNRFELIQKTRNDLHPSNQTSDTRLPWNPRFDTCKVGGAGSSSNTAKAIADMKISEPSHNSMFGYGGGQTWRRSSQQSSSFRSSTSNTNNPWRRGPCKSNNNDSGSGLK >KJB83658 pep chromosome:Graimondii2_0_v6:13:57302774:57308262:1 gene:B456_013G257000 transcript:KJB83658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSFYRWLVNKYPNIRVKAIEEHIHGHCVDTSSLNPNSIEFDNLYLDMNGIIHPCFHPEDQSVIPPSTYEDVFTSIFEYIDTLFNIVRPRKLLYMAVDGVAPRAKMNQQRSRRFCTAKNDEIAEAEEDRLRREFELEGKLVLTKKDCEVCDPNVITPGTEFMYRLSKKLEGYIESRMNGDSIWKGIQVIVSDANVPGEGEHKIMSFIRYQRCLPGYDCNTRHCLYGLDADLIMLALATHEVHFSILREDVLFQEQQPAFRSFSTTSNREAEPYSSESLKHAPVAKRPYEFLHVWILREYIELDMKIADVPQNITFDLERIIDDFIFMCFFVGNDFLPRMPSLDIHEGAMDLLLTVYKQNFKNIGGYLVDMQRVNDKKGGYIKLKRVEKFSLLVGSFEEKIFKKRLELHERCLRRLCQNSDRQADEMEIFNLDPPMDVTKNSLADINDILRNTKELKEKLKENLRNKSDFLKNGTIRDQVRLGVAGWKKRYYKLKFSAETDRDIEITRKEIVQKYTEGLLWVLLYYFSDVPSWAWYYPYYYAPFPSDMKGLSQVSVKFQKGQPFKPFDQLMSVLPPRSAHALPKLYAKLITDADSQIIDFYPTDFEIDMDGKRHAWQGICKLPFIDEERLLSETLRLEKELMPEETERNAEKIDKLFVPRHLGSKILTLLPDNQKLHTEAELISGAVRGHVSSSPIMLLSFKIPIGKPHIPRPLEGVEYPEKAITEADIQKTQLWHEYLGTRPLYNRFELIQKTRNDLHPSNQTSDTRLPWNPRFDTCKVGGAGSSSNTAKAIADMKISEPSHNSMFGYGGGQTWRRSSQQSSSFRSSTSNTNNPWRRGPCKSNNNDSGSGLK >KJB79498 pep chromosome:Graimondii2_0_v6:13:5497551:5501855:-1 gene:B456_013G055700 transcript:KJB79498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQKIQIKKIDNTAARQVTFSKRRRGLFKKAYELSTLCDAEIALLVFSNTGKLFEYSSTSTRQVIERRNLQSERIDLLDPISTLELQLQSSTCAKLGTEIAEKTKELRQLRGEELQGLDLEELKHLEKLLEGGLNRVTQTKDELFFKEISILKRKLTLSDRSL >KJB79496 pep chromosome:Graimondii2_0_v6:13:5496411:5501855:-1 gene:B456_013G055700 transcript:KJB79496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQKIQIKKIDNTAARQVTFSKRRRGLFKKAYELSTLCDAEIALLVFSNTGKLFEYSSTSTRQVIERRNLQSERIDLLDPISTLELQLQSSTCAKLGTEIAEKTKELRQLRGEELQGLDLEELKHLEKLLEGGLNRVTQTKDELFFKEISILKRKEVELMEENQQLKEKMGNSPHVVQPTVAQQGLGQPSDCNGHAWRSYSSDISLRLGLPYPN >KJB79499 pep chromosome:Graimondii2_0_v6:13:5497381:5502712:-1 gene:B456_013G055700 transcript:KJB79499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDWVSINSNPGSEMTRQKIQIKKIDNTAARQVTFSKRRRGLFKKAYELSTLCDAEIALLVFSNTGKLFEYSSTSTRQVIERRNLQSERIDLLDPISTLELQLQSSTCAKLGTEIAEKTKELRQLRGEELQGLDLEELKHLEKLLEGGLNRVTQTKDELFFKEISILKRKLTLSDRSL >KJB79497 pep chromosome:Graimondii2_0_v6:13:5496064:5502712:-1 gene:B456_013G055700 transcript:KJB79497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDWVSINSNPGSEMTRQKIQIKKIDNTAARQVTFSKRRRGLFKKAYELSTLCDAEIALLVFSNTGKLFEYSSTSTRQVIERRNLQSERIDLLDPISTLELQLQSSTCAKLGTEIAEKTKELRQLRGEELQGLDLEELKHLEKLLEGGLNRVTQTKDELFFKEISILKRKEVELMEENQQLKEKMGNSPHVVQPTVAQQGLGQPSDCNGHAWRSYSSDISLRLGLPYPN >KJB81283 pep chromosome:Graimondii2_0_v6:13:36508843:36511855:-1 gene:B456_013G136600 transcript:KJB81283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLCVPLVSAENLVATKQGKKKDKLSKKIRKSKEKINASVGIVDKPVDGNEVHLQEKFRKDRKKKSKGQLLETSKGANQDEVYEIPSGDDDCSKGMKKWLTDYHRSRPGLKVLQQTIDEFIIEHEAKLDQERKEKEARLTEGGWILVEHHKGRKKTTDTESGTTVGSVSQAAVEEKLAKKKSKEVFDFYRFQKREAQRSELMILQSKFEQDKKRIQQLRAARKFRPY >KJB81281 pep chromosome:Graimondii2_0_v6:13:36508589:36512398:-1 gene:B456_013G136600 transcript:KJB81281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTSKDKANAVCVAEAAQMTENLVATKQGKKKDKLSKKIRKSKEKINASVGIVDKPVDGNEVHLQEKFRKDRKKKSKGQLLETSKGANQDEVYEIPSGDDDCSKGMKKWLTDYHRSRPGLKVLQQTIDEFIIEHEAKLDQERKEKEARLTEGGWILVEHHKGRKKTTDTESGTTVGSVSQAAVEEKLAKKKSKEVFDFYRFQKREAQRSELMILQSKFEQDKKRIQQLRAARKFRPY >KJB81282 pep chromosome:Graimondii2_0_v6:13:36508634:36512126:-1 gene:B456_013G136600 transcript:KJB81282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTSKDKANAVCVAEAAQMTENLVATKQGKKKDKLSKKIRKSKEKINASVGIVDKPVDGNEVHLQEKFRKDRKKKSKGQLLETSKGANQDEVYEIPSGDDDCSKGMKNYHRSRPGLKVLQQTIDEFIIEHEAKLDQERKEKEARLTEGGWILVEHHKGRKKTTDTESGTTVGSVSQAAVEEKLAKKKSKEVFDFYRFQKREAQRSELMILQSKFEQDKKRIQQLRAARKFRPY >KJB80361 pep chromosome:Graimondii2_0_v6:13:15229382:15232753:1 gene:B456_013G093700 transcript:KJB80361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSQDSAAPPSPPAQSGGSFGIPAMLVFASIVIIASLAVVPSCWYTRSSLSILHQVPEGHVGVYWKGGALLKTITEPGFHLKMPLVTQYEPVLVTLQTDQVRDIPCGTKGGVMINFEKIEVVNKLKKEYVYETLLNYGVNYDNTWIYDKIHHEINQFCSSHSLQQVYIDVFDQIDEKMKDALQCDCTKYAPGIEILSVRVTKPIIPERIRRNYEQMEEERTKVLISIERQKVVEKESETQKKMAIHEAEKTATVSNILREQKLKEKENAMELQEIENQMFIAHQKCLADADFYSAMKEAEANKMKLTPEFLELKFIEAIANNTKIFFGHKVPDMVLDQRLLVGNFLENVSQQVHGERQMKSDDNA >KJB80870 pep chromosome:Graimondii2_0_v6:13:43260735:43261552:1 gene:B456_013G157100 transcript:KJB80870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLDPHSFTDSHHPLTTNISLFLFLDFPSATIYGVAFFILKSPHVGQLSFDTRSLSIHQVFSSITLSPLHFSLSSSPDPIKGTQLSISLPDDDVLNSFFIVFSTSPSSSSALQWLSPPQTFNKKTPFCLYPMPVYPRAFHFPMSRHSRGENRLLCFAQCPSKVIRRYVRPPCR >KJB83179 pep chromosome:Graimondii2_0_v6:13:55226142:55230023:1 gene:B456_013G233700 transcript:KJB83179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQLLKRNTDCVYFLASPFTCKKGLDCEYRHSEVARLNPRDCWYWLAGNCINPTCGFRHPPLDVHSQVPSESAALPYQCSTAANKTNLPCYFYFNGFCNKGDRCSFLHGPHESTITVNSLKTGALPLDHKTFVENDVGAVQTETHPNPSKTAPNSIKDTGVQLKTDLEEPVPKTMIKRSVSPKTSVFELEQAGFVSSQSLLLKEGITQTGSPICTDESLEEQLDDPFEPEEPEERWESSEGFDVLVDNKSEDLDYGNDSEYQKDPEEEQTDYFFSYDYEDSVQHETRYPDLEFSYDRDVYDAYEGSDNEYIFNNPRNPFAHPGDKRRLDSMFSQKRRRLLPIKRSIDVDLRDYLSKRRVVKGNPLKCLSRSEYSHLISRSLERPRRRSMGRKLSGRLASKVGKHYIESTGGQGGFGNGTNRRGWLKHLEPNRSIRRPYREKRLPKRKSVSSEVSRNLISRERKYEDASTAFTGPKTLAQIREEKRKTEENGGKMRHSIVTASADFQGPKPLSEILKDKERLAKKGTNFLS >KJB83244 pep chromosome:Graimondii2_0_v6:13:55514034:55520062:-1 gene:B456_013G237100 transcript:KJB83244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYWKLVWVFVLAFLFGGYQSEGCWEIEKAALLQLKPFFPHINDEGISWGKGNCCRWNGVECSTSTGRVTRLFLEYRSVEVYNNISQGWYLNTSLFLPFHELKSLDLGNSYIAGFIDNQGSFMNKLEILDLSNNTLLGNNILPYLAEFTSLKTLLLDNCGLQGSIDISELNSLKNLKELHLRDNQIESLGSLFQRKRQLRLAKLEVLDLSFNHLHNSIFSSLAALPHLKSLNLESNLLIQSTYIQGKHALRYLSHNKFSGDISVFKNLTSLKYLDLFSNNFFGNIYVFKNLRSLTSLLELRLWNNSIEIPSSLAPLFNLSKLQNIYADNNMIYNAETEMHSLDTPTFQLRSISLSCCGDGGSFPQSLNHQHDLEHVDLSHINFKGDQFPNWLLENNKKLERLNLVNSSLSGHFLLPSTSRRGLSRLDVSSNTLDGNIPNEIGAKLPSLELLNMSNNFFTGGIPISIGDMISLQQLDLSNNKLSGGIPRHLPMGLFTFDVSNNQLFGDIPSSMENLSLMLTLDLSNNTLFGGIPRWIGKMSNLQELVMANNHFEGPIPMELCNLNSSLKFLDLSANNISGSLPSCFIFSSLTHVYLSRNKLKGPITSFLNSNYLVTLDLSNNHLTGNIPNWIGTLSALVYLLLDNNHFEGGIPVQLCKLNRLRLIDVSNNNLSGTIPPCLMNTISNDSSHAYYSDDDYSGYIGSFSADVPIEFTMKSISYFYKGRVLTYLSGIDLSCNKLTGEIPLQFQNFRYIIVLNFSHNSLIGPIPPAISDLSRIESLDLSHNHLSGNIPSQLLGLHFLSVFSVAYNNLSGTTPQRTGQFATFEESSYVGNPFLCGEPLPKNCSTDGSSLSMPRNATDEGFIDMKVFYASFVGSYIVMPLCIAIVLYINPYWRQAWFYHVEAATMSCYYFVLDHILPKRFR >KJB79033 pep chromosome:Graimondii2_0_v6:13:2313003:2314114:1 gene:B456_013G0304001 transcript:KJB79033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNGRSKAAVKRSRSKRAPSWAGYKVPPPSTGSVTRGTLNRNTPAVQCGRETKYNLTSSIRACGVCFAFIELFARMTSNQRRPKTNEECRDDATTLKRRSRDNVVARRFSMLRRGNVFTQISGFFS >KJB81940 pep chromosome:Graimondii2_0_v6:13:45282196:45283867:-1 gene:B456_013G168000 transcript:KJB81940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTVPIGVAVSAPSSFLSDRRTSVRFSEFRGLKIKPRLASLAQSTRSAIQDRRRVGRVVCEAQNTAVDVPAIKDETWQSLVLDCELPVLVEFWAPWCGPCRMIHPIIDELSKQYAGKLKCYKVNTDESPTIATRYGIRSIPTVMIFESGEKKDAVIGAVPKSTLTTCIERFL >KJB81941 pep chromosome:Graimondii2_0_v6:13:45282052:45283867:-1 gene:B456_013G168000 transcript:KJB81941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTVPIGVAVSAPSSFLSDRRTSVRFSEFRGLKIKPRLASLAQSTRSAIQDRRRVGRVVCEAQNTAVDVPAIKDETWQSLVLDCELPVLVEFWAPWCGPCRMIHPIIDELSKQYAGKLKCYKVNTDESPTIATRYGIRSIPTVMIFESGEKKDAVIGAVPKSTLTTCIERFL >KJB78777 pep chromosome:Graimondii2_0_v6:13:1286704:1287446:-1 gene:B456_013G018500 transcript:KJB78777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATRGREDATMKDKKEAKKPAENKVVGKRKAASRADKSDQKRPKNIASSTNKDPNKPKRPATAFFVFMEEFRTSYKQEHPKVKAISAVGKAGGEKWKSMSNAVMHKTSNAASPDLAMYRSNVHRLGKLLWHG >KJB78775 pep chromosome:Graimondii2_0_v6:13:1286297:1287446:-1 gene:B456_013G018500 transcript:KJB78775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATRGREDATMKDKKEAKKPAENKVVGKRKAASRADKSDQKRPKNIASSTNKDPNKPKRPATAFFVFMEEFRTSYKQEHPKVKAISAVGKAGGEKWKSMSNAEKAPYKVKATERKSEYEKLMAAYNKKQESSDDEEEAESESSKDDEESEDDD >KJB78778 pep chromosome:Graimondii2_0_v6:13:1286873:1287446:-1 gene:B456_013G018500 transcript:KJB78778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATRGREDATMKDKKEAKKPAENKVVGKRKAASRADKSDQKRPKNIASSTNKDPNKPKRPATAFFVFMEEFRTSYKQEHPKVKAISAVGKAGGEKWKSMSNAVSYSIIA >KJB78781 pep chromosome:Graimondii2_0_v6:13:1285045:1288019:-1 gene:B456_013G018500 transcript:KJB78781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATRGREDATMKDKKEAKKPAENKVVGKRKAASRADKSDQKRPKNIASSTNKDPNKPKRPATAFFVFMEEFRTSYKQEHPKVKAISAVGKAGGEKWKSMSNAEKAPYKVKATERKSEYEKLMAAYNKKQFR >KJB78776 pep chromosome:Graimondii2_0_v6:13:1284631:1288069:-1 gene:B456_013G018500 transcript:KJB78776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATRGREDATMKDKKEAKKPAENKVVGKRKAASRADKSDQKRPKNIASSTNKDPNKPKRPATAFFVFMEEFRTSYKQEHPKVKAISAVGKAGGEKWKSMSNAEKAPYKVKATERKSEYEKLMAAYNKKQESSDDEEEAESESSKDDEESEDDD >KJB78780 pep chromosome:Graimondii2_0_v6:13:1285045:1288019:-1 gene:B456_013G018500 transcript:KJB78780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATRGREDATMKDKKEAKKPAENKVVGKRKAASRADKSDQKRPKNIASSTNKDPNKPKRPATAFFVFMEEFRTSYKQEHPKVKAISAVGKAGGEKWKSMSNAEKAPYKVKATERKSEYEKLMAAYNKKQESSDDEEEAESESSKDDEESEDDD >KJB78779 pep chromosome:Graimondii2_0_v6:13:1286873:1287446:-1 gene:B456_013G018500 transcript:KJB78779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATRGREDATMKDKKEAKKPAENKVVGKRKAASRADKSDQKRPKNIASSTNKDPNKPKRPATAFFVFMEEFRTSYKQEHPKVKAISAVGKAGGEKWKSMSNAVSYSIIA >KJB82127 pep chromosome:Graimondii2_0_v6:13:47094524:47102523:1 gene:B456_013G177900 transcript:KJB82127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIDRYSIALFLVFSYLVGLLDASAGDADPRYRGCVAECEKTGCAGGTCFPHCKFPSDGVANDGPWYMQEPLYLKWKQWDCQSDCRYNCMIDREKEREAVGHGPVKYHGKWPFTRVFGIQEPASVAFSALNLAMHFHGWLSFFILLYYNLPLRQDKKAYYEYASLWHIYGLLAMNSWLWSAVFHSRDVDLTEKLDYSSAVALLGYSLIVSILRSFNVRVEAARVMVAAPFLAFVTTHILYLNFYTFDYGWNMKVCVAIGVAQLLIWAIWVGITCHPSRWKLWVVVVGGGLAMLLEIYDFPPYQGFFDAHAIWHATTIPLTCLWWSFIRDDAKFQTSILLKKAK >KJB82126 pep chromosome:Graimondii2_0_v6:13:47094518:47102393:1 gene:B456_013G177900 transcript:KJB82126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIDRYSIALFLVFSYLVGLLDASAGDADPRYRGCVAECEKTGCAGGTCFPHCKFPSDGVANDGPWYMQEPLYLKWKQWDCQSDCRYNCMIDREKEREAVGHGPVKYHGKWPFTRVFGIQEPASVAFSALNLAMHFHGWLSFFILLYYNLPLRQDKKAYYEYASLWHIYGLLAMNSWLWSAVFHSRDVDLTEKLDYSSAVALLGYSLIVSILRSFNVRVEAARVMVAAPFLAFVTTHILYLNFYTFDYGWNMKVCVAIGVAQLLIWAIWVGITCHPSRWKLWVVVVGGGLAMLLEIYDFPPYQGFFDAHAIWHATTIPLTCLWWSFIRDDAKFQTSILLKKAK >KJB82130 pep chromosome:Graimondii2_0_v6:13:47094465:47102397:1 gene:B456_013G177900 transcript:KJB82130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIDRYSIALFLVFSYLVGLLDASAGDADPRYRGCVAECEKTGCAGGTCFPHCKFPSDGVANDGPWYMQEPLYLKWKQWDCQSDCRYNCMIDREKEREAVGHGPVKYHGKWPFTRVFGIQEPASVAFSALNLAMHFHGWLSFFILLYYNLPLRQDKKAYYEYASLWHIYGLLAMNSWLWSAVFHSRDVDLTEKLDYSSAVALLGYSLIVSILRSFNVRVEAARVMVAAPFLAFVTTHILYLNFYTFDYGWNMKVCVAIGVAQLLIWAIWVGITCHPSRWKLWVVVVGGGLAMLLEIYDFPPYQGFFDAHAIWHATTIPLTCLWWSFIRDDAKFQTSILLKKAK >KJB82129 pep chromosome:Graimondii2_0_v6:13:47094518:47102393:1 gene:B456_013G177900 transcript:KJB82129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIDRYSIALFLVFSYLVGLLDASAGDADPRYRGCVAECEKTGCAGGTCFPHCKFPSDGVANDGPWYMQEPLYLKWKQWDCQSDCRYNCMIDREKEREAVGHGPVKYHGKWPFTRVFGIQEPASVAFSALNLAMHFHGWLSFFILLYYNLPLRQDKKAYYEYASLWHIYGLLAMNSWLWSAVFHSRDVDLTEKLDYSSAVALLGYSLIVSILRSFNVRVEAARVMVAAPFLAFVTTHILYLNFYTFDYGWNMKVCVAIGVAQLLIWAIWVGITCHPSRWKLWVVVVGGGLAMLLEIYDFPPYQGFFDAHAIWHATTIPLTCLWWSFIRDDAKFQTSILLKKAK >KJB82128 pep chromosome:Graimondii2_0_v6:13:47094518:47102397:1 gene:B456_013G177900 transcript:KJB82128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIDRYSIALFLVFSYLVGLLDASAGDADPRYRGCVAECEKTGCAGGTCFPHCKFPSDGVANDGPWYMQEPLYLKWKQWDCQSDCRYNCMIDREKEREAVGHGPVKYHGKWPFTRVFGIQEPASVAFSALNLAMHFHGWLSFFILLYYNLPLRQDKKAYYEYASLWHIYGLLAMNSWLWSAVFHSRDVDLTEKLDYSSAVALLGYSLIVSILRSFNVRVEAARVMVAAPFLAFVTTHILYLNFYTFDYGWNMKVCVAIGVAQLLIWAIWVGITCHPSRWKLWVVVVGGGLAMLLEIYDFPPYQGFFDAHAIWHATTIPLTCLWWSFIRDDAKFQTSILLKKAK >KJB79343 pep chromosome:Graimondii2_0_v6:13:3914158:3917300:-1 gene:B456_013G044800 transcript:KJB79343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYAKAMLGFLAFIGLQLPLILADCPLDLSGSNFTLVASLCSNKNERGKCCRYMNAFVAFSVARHANVTGNLGVTSNLSDVCIHSILQTMELYGVPRNATNFCGFGTKIPVSYYCRDRTTVSQMLESPKFMDVTKNCKLPLSQENECRKCLNAGINYVHRLVGSQDNITLSTCRDATFAALASQVDNISAVEIASCFFQVGGFNIPPVSGSSPSPPAHKASPSPLVAATPNQLVLGAPSNQKHHEHHLMSILGIGIAVTVAAIMMLIVLVVLICKKSRELEDSESVNKNSSKHFPICRPMHKFQEGTSSMFRKYSYKETKRGTYDGTVIGRGGFGTVYKAQYTDGSMVAVKRMNRVSEQGEDEFCREIELLARLHHRHLVSLRGFCIKKRERFLMYEYMSNGSLKDHLHCPRKTPLSWETRIQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDENFVAKVADFGLAHASKGGSICLEPANTDIRGTPDDFQVIWILNMWSLKSSLIKAMCTAMVCYFWRC >KJB79342 pep chromosome:Graimondii2_0_v6:13:3913435:3916644:-1 gene:B456_013G044800 transcript:KJB79342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFVAFSVARHANVTGNLGVTSNLSDVCIHSILQTMELYGVPRNATNFCGFGTKIPVSYYCRDRTTVSQMLESPKFMDVTKNCKLPLSQENECRKCLNAGINYVHRLVGSQDNITLSTCRDATFAALASQVDNISAVEIASCFFQVGGFNIPPVSGSSPSPPAHKASPSPLVAATPNQLVLGAPSNQKHHEHHLMSILGIGIAVTVAAIMMLIVLVVLICKKSRELEDSESVNKNSSKHFPICRPMHKFQEGTSSMFRKYSYKETKRGTYDGTVIGRGGFGTVYKAQYTDGSMVAVKRMNRVSEQGEDEFCREIELLARLHHRHLVSLRGFCIKKRERFLMYEYMSNGSLKDHLHCPRKTPLSWETRIQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDENFVAKVADFGLAHASKGGSICLEPANTDIRGTPGYMDPEYVVTQELTDKSDVYSYGVLLLEMLTARRVVQDGVSLVESTQILLASESRLTELLDPHIKDSFDLDQLQTVVSIVRWCTQREGRARPSMKQVLQLLYESSDLMQSGFIQAVEDEDYEGGSDGRGRLSRVKIPRSGPLFHSGDGRYLASSSSTSRSYCSRSFLLETGSPRSPQNILSL >KJB79344 pep chromosome:Graimondii2_0_v6:13:3913435:3917778:-1 gene:B456_013G044800 transcript:KJB79344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYAKAMLGFLAFIGLQLPLILADCPLDLSGSNFTLVASLCSNKNERGKCCRYMNAFVAFSVARHANVTGNLGVTSNLSDVCIHSILQTMELYGVPRNATNFCGFGTKIPVSYYCRDRTTVSQMLESPKFMDVTKNCKLPLSQENECRKCLNAGINYVHRLVGSQDNITLSTCRDATFAALASQVDNISAVEIASCFFQVGGFNIPPVSGSSPSPPAHKASPSPLVAATPNQLVLGAPSNQKHHEHHLMSILGIGIAVTVAAIMMLIVLVVLICKKSRELEDSESVNKNSSKHFPICRPMHKFQEGTSSMFRKYSYKETKRGTYDGTVIGRGGFGTVYKAQYTDGSMVAVKRMNRVSEQGEDEFCREIELLARLHHRHLVSLRGFCIKKRERFLMYEYMSNGSLKDHLHCPRKTPLSWETRIQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDENFVAKVADFGLAHASKGGSICLEPANTDIRGTPGYMDPEYVVTQELTDKSDVYSYGVLLLEMLTARRVVQDGVSLVESTQILLASESRLTELLDPHIKDSFDLDQLQTVVSIVRWCTQREGRARPSMKQVLQLLYESSDLMQSGFIQAVEDEDYEGGSDGRGRLSRVKIPRSGPLFHSGDGRYLASSSSTSRSYCSRSFLLETGSPRSPQNILSL >KJB79711 pep chromosome:Graimondii2_0_v6:13:7058162:7062566:1 gene:B456_013G063400 transcript:KJB79711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFYKESNKLNVLNSNIPKLMLPARSRTNYYSSPENLIKYLRSNSLSSSGNNSSSGKSSFRSSVSPQSEKTPVKVVEEDVLVMDGVLVASDTNIAGSGSSSSPGSVGFYKSEICRTWEEFGHCRYGSKCQFAHGKEEVRPSCFSFRSKPEAQMYKSYASTYGSISRPLNPIRETAATIAQKESSTRPDYTNQRSSSTMKPGNTPLTTNIAMKPKTEKASASTIGPYTSATNGSYWSPQDDGINVTLPSSSPGKTLSRADIDAYIDSVLYGPTTRRRLPVFSAFSPQ >KJB78749 pep chromosome:Graimondii2_0_v6:13:1096182:1097008:1 gene:B456_013G015700 transcript:KJB78749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILPQRSSLSSTLNPNAPLFIPLAYRMVKDFSDQWWALVQSSPCFRDYWLQERFHDPENDDVSDDDDDPLFPDDLDDIFEQYDDVLLDPRPEEKEKKLVPFGTSKWRKDRVVTESPRFIEKAPKIVNVKVSPRTIHQPR >KJB78979 pep chromosome:Graimondii2_0_v6:13:2060083:2064156:-1 gene:B456_013G027900 transcript:KJB78979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLQAPLRPKRKKGLVDFLVQFRWIFVIFFVLPFSTLYYFLIYLGDVRSEMKSYKQRQKEHDENVLKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHYEVDLSAFQLDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIVLADGRVVRATKDNEYSDLFYAIPWSQGTLGFLVAAEIKLIPVKEYMRLTYTPVVGNLQDLAQGYMDSFAPRDGDQDNPEKVPDFVEGMVYSPTEGVFMTGRYASKEEAKKKGNKINNVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQWWFRFLLGWLMPPKVSLLKATQGESIRNYYHEMHVIQDMLVPLYKVGDALEWVHHEMEIYPIWLCPHRLFKLPVKTMVYPEPGFEQHRRQGDTPYAQMFTDVGVYYAPGPVLRGEVFDGAEAVRNLEQWLIKNHSFQPQYAVSELNEKDFWRMFDADLYEHVRRKYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLETAYAEAD >KJB78978 pep chromosome:Graimondii2_0_v6:13:2060083:2064106:-1 gene:B456_013G027900 transcript:KJB78978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLQAPLRPKRKKGLVDFLVQFRWIFVIFFVLPFSTLYYFLIYLGDVRSEMKSYKQRQKEHDENVLKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHYEVDLSAFRNILEIDKQRMIARVEPLVNMGQITRVTVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIVLADGRVVRATKDNEYSDLFYAIPWSQGTLGFLVAAEIKLIPVKEYMRLTYTPVVGNLQDLAQGYMDSFAPRDGDQDNPEKVPDFVEGMVYSPTEGVFMTGRYASKEEAKKKGNKINNVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQWWFRFLLGWLMPPKVSLLKATQGESIRNYYHEMHVIQDMLVPLYKVGDALEWVHHEMEIYPIWLCPHRLFKLPVKTMVYPEPGFEQHRRQGDTPYAQMFTDVGVYYAPGPVLRGEVFDGAEAVRNLEQWLIKNHSFQPQYAVSELNEKDFWRMFDADLYEHVRRKYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLETAYAEAD >KJB78977 pep chromosome:Graimondii2_0_v6:13:2060023:2064217:-1 gene:B456_013G027900 transcript:KJB78977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLQAPLRPKRKKGLVDFLVQFRWIFVIFFVLPFSTLYYFLIYLGDVRSEMKSYKQRQKEHDENVLKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHYEVDLSAFRNILEIDKQRMIARVEPLVNMGQITRVTVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIVLADGRVVRATKDNEYSDLFYAIPWSQGTLGFLVAAEIKLIPVKEYMRLTYTPVVGNLQDLAQGYMDSFAPRDGDQDNPEKVPDFVEGMVYSPTEGVFMTGRYASKEEAKKKGNKINNVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQWWFRFLLGWLMPPKVSLLKATQGESIRNYYHEMHVIQDMLVPLYKVGDALEWVHHEMEIYPIWLCPHRLFKLPVKTMVYPEPGFEQHRRQGDTPYAQMFTDVGVYYAPGPVLRGEVFDGAEAVRNLEQWLIKNHSFQPQYAVSELNEKDFWRMFDADLYEHVRRKYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLETAYAEAD >KJB83551 pep chromosome:Graimondii2_0_v6:13:56999393:57005225:1 gene:B456_013G252400 transcript:KJB83551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochromobilin:ferredoxin oxidoreductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G09150) UniProtKB/Swiss-Prot;Acc:Q9SR43] MDCCSFSSSLSLSLSLMLKPRPPLMTINYNGNSQWSKRKKKRHCLLQEKYGSMIAMDEQTRLEMLSFETPKIRLLRSMSIEGEAMQVLDFAAFPKPEFDLPIFCGNFFTTANMNIVVLDLNPLHDVTSRRDYKEKYYDCLLPLGLKYTELLPWGGKLTSESIKFFSPIVIWTKFSSSNSKHEVLYSAFMEYYKAWLELMEQAVEDTDPSQITCNLEAQHRYLTWRAEKDPGHGVLKRLIGEKLAKDLLRNFLFSGIDELGSKTFLDYFPEYGIEDGTINEKRSIIGKGFENRPWDKNGEFIGNDLRN >KJB83550 pep chromosome:Graimondii2_0_v6:13:56998782:57005246:1 gene:B456_013G252400 transcript:KJB83550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochromobilin:ferredoxin oxidoreductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G09150) UniProtKB/Swiss-Prot;Acc:Q9SR43] MDCCSFSSSLSLSLSLMLKPRPPLMTINYNGNSQWSKRKKKRHCLLQVSAISYQNFIHFALDETKRHTLLLPSPLQEKYGSMIAMDEQTRLEMLSFETPKIRLLRSMSIEGEAMQVLDFAAFPKPEFDLPIFCGNFFTTANMNIVVLDLNPLHDVTSRRDYKEKYYDCLLPLGLKYTELLPWGGKLTSESIKFFSPIVIWTKFSSSNSKHEVLYSAFMEYYKAWLELMEQAVEDTDPSQITCNLEAQHRYLTWRAEKDPGHGVLKRLIGEKLAKDLLRNFLFSGIDELGSKTFLDYFPEYGIEDGTINEKRSIIGKGFENRPWDKNGEFIGNDLRN >KJB83918 pep chromosome:Graimondii2_0_v6:13:58203573:58207018:-1 gene:B456_013G271400 transcript:KJB83918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRQQQQQQGILTSSSSSSADVDADDLVDVEVDAAKAIRASSAHKDSSFSSAYGYGPLHSSSASPLSAPKDSGSHQYESMKSLNESKQGFWGSLARKAKSFLDDDNNNSNSNNNNSVQYQFESDGPPKSKLPQSQRPTPEMYHNTYQSDDRHHKTSNPTLQKGIGAITSSLNYIGNAVEEGLTIVENRTAGIIQETRKHIKKKSSGSVAQNEHAKQPQMQQQVRTPVQADQEIQLKASRDVAMAMAAKAKLLLRELKTVRADLAFAKERCAQLEEENRVLRENRDRGDNPENDELIRLQLETLLAEKARLAHENSIYARENRFLREVVEYHQLTMQDVVYLDDRTEEVTEVYPIKVPSLPNINSMPATSGALQSEAFLDTSLKITPNITVCPVPPPELAEGYRSSS >KJB82525 pep chromosome:Graimondii2_0_v6:13:51171400:51173488:-1 gene:B456_013G200700 transcript:KJB82525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIMGTEDDKYSTINFEETELRLGLPGANGNDGETTKNNGKRGFSETVNLKLNLSSKETVAEDSEKMKEKSSTDPAKPPAKAQVVGWPPVRSFRKNIMAVQKASSEEEGGSKKAGNSAAATTTTTAAAFVKVSMDGAPYLRKVDLKLYKSYQQLSDALSKMFSSFTIGNCGSHGMKDFMNESKLIDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAVEKCKNRS >KJB82526 pep chromosome:Graimondii2_0_v6:13:51171827:51173437:-1 gene:B456_013G200700 transcript:KJB82526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIMGTEDDKYSTINFEETELRLGLPGANGNDGETTKNNGKRGFSETVNLKLNLSSKETVAEDSEKMKEKSSTDPAKPPAKAQVVGWPPVRSFRKNIMAVQKASSEEEGGSKKAGNSAAATTTTTAAAFVKVSMDGAPYLRKVDLKLYKSYQQLSDALSKMFSSFTIGNLTSTPKFNIGLGKLFKKMFLFGIK >KJB81843 pep chromosome:Graimondii2_0_v6:13:44536625:44537982:-1 gene:B456_013G164500 transcript:KJB81843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKTLGSGASKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGGSSKVSGGDDD >KJB81845 pep chromosome:Graimondii2_0_v6:13:44536563:44538054:-1 gene:B456_013G164500 transcript:KJB81845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKTLGSGASKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGGSSKVSGGDDD >KJB81844 pep chromosome:Graimondii2_0_v6:13:44536597:44537982:-1 gene:B456_013G164500 transcript:KJB81844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKTLGSGASKKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGGSSKVSGGDDD >KJB82510 pep chromosome:Graimondii2_0_v6:13:51003696:51007455:-1 gene:B456_013G199600 transcript:KJB82510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAISIKLLYISLAVLCIVSSETKIRSMAMKLFDAHCHLQDQRVVDKAPLLIHIANAAGVVYIAVDGITEKDWHLVKEMSDEFDSVIPNFGLHPWYVEGKSRSWFNTLKELLEANPSAAIGLDKSPLAKGVDFGEQVEVFKKQLQLAKDLKRPASVHCLDAFPELLQIMKEIGPFPAGVILHSFQGSPEVVPQLTKLGSYFSFSGHLMPLKEDKGRKIVKAVPLDRILLETDAPDALPINPIFFVPGNATLNQPANVHSVLTYVASLLELSKEELADISYKNALGVFSFQGSKIPLK >KJB83761 pep chromosome:Graimondii2_0_v6:13:57701607:57706424:-1 gene:B456_013G263400 transcript:KJB83761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEKSFELDHYGKKDYYSSRRKKDKLYAWVAREDDYYSGGLIGEYLRRNGDLKTVSSKEAEDRRKTSKLLTTLNNTLETKNQRLQEMQNKFNEVSSSMSTLMWQKDDMIRAYNEECKKMQENAHNHFKQISLEHERNAKCILDQKRELEQREKELLQREAQNENETKKLQHEKMINERAALEQKKADETMFKLAEEHKRDKEKLHREIIKLEKQLDTRQGLELEIQRLRGALQVMEHMNGDGDADTKKRMEVIQDELKEKEEELEDLEDLNQALIIKERKSNDELQDARKELITAFKDVSTRAHIGVKKMGEVDIKPFLVAAKRKYSAKEADVKSAELCTLWQDYLRDPSWHPFKILKDKEGNCKEILDEEDEKLVELKTELGDEAYNAVTMALKQMNEYNPSGRYVVPELWNFNEGRKATLTDGVQHLLNKWKLHKRRRY >KJB83760 pep chromosome:Graimondii2_0_v6:13:57701607:57706274:-1 gene:B456_013G263400 transcript:KJB83760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYMCLLCLALTKVKENVNMFVHPWKGIIANIPTTLQDGKHVGESGRKLREDLAKKGFNPLKVQPLWNRHGHSGYAIVEFNKEWDGFNNAIMFEKSFELDHYGKKDYYSSRRKKDKLYAWVAREDDYYSGGLIGEYLRRNGDLKTVSSKEAEDRRKTSKLLTTLNNTLETKNQRLQEMQNKFNEVSSSMSTLMWQKDDMIRAYNEECKKMQENAHNHFKQISLEHERNAKCILDQKRELEQREKELLQREAQNENETKKLQHEKMINERAALEQKKADETMFKLAEEHKRDKEKLHREIIKLEKQLDTRQGLELEIQRLRGALQVMEHMNGDGDADTKKRMEVIQDELKEKEEELEDLEDLNQALIIKERKSNDELQDARKELITAFKDVSTRAHIGVKKMGEVDIKPFLVAAKRKYSAKEADVKSAELCTLWQDYLRDPSWHPFKILKDKEGNCKEILDEEDEKLVELKTELGDEAYNAVTMALKQMNEYNPSGRYVVPELWNFNEGRKATLTDGVQHLLNKWKLHKRRRY >KJB83759 pep chromosome:Graimondii2_0_v6:13:57701606:57706775:-1 gene:B456_013G263400 transcript:KJB83759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVHPWKGIIANIPTTLQDGKHVGESGRKLREDLAKKGFNPLKVQPLWNRHGHSGYAIVEFNKEWDGFNNAIMFEKSFELDHYGKKDYYSSRRKKDKLYAWVAREDDYYSGGLIGEYLRRNGDLKTVSSKEAEDRRKTSKLLTTLNNTLETKNQRLQEMQNKFNEVSSSMSTLMWQKDDMIRAYNEECKKMQENAHNHFKQISLEHERNAKCILDQKRELEQREKELLQREAQNENETKKLQHEKMINERAALEQKKADETMFKLAEEHKRDKEKLHREIIKLEKQLDTRQGLELEIQRLRGALQVMEHMNGDGDADTKKRMEVIQDELKEKEEELEDLEDLNQALIIKERKSNDELQDARKELITAFKDVSTRAHIGVKKMGEVDIKPFLVAAKRKYSAKEADVKSAELCTLWQDYLRDPSWHPFKILKDKEGNCKEILDEEDEKLVELKTELGDEAYNAVTMALKQMNEYNPSGRYVVPELWNFNEGRKATLTDGVQHLLNKWKLHKRRRY >KJB83762 pep chromosome:Graimondii2_0_v6:13:57701607:57706523:-1 gene:B456_013G263400 transcript:KJB83762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVHPWKGIIANIPTTLQDGKHVGESGRKLREDLAKKGFNPLKVQPLWNRHGHSGYAIVEFNKEWDGFNNAIMFEKSFELDHYGKKDYYSSRRKKDKLYAWVAREDDYYSGGLIGEYLRRNGDLKTVSSKEAEDRRKTSKLLTTLNNTLETKNQRLQEMQNKFNEVSSSMSTLMWQKDDMIRAYNEECKKMQENAHNHFKQISLEHERNAKCILDQKRELEQREKELLQREAQNENETKKLQHEKMINERAALEQKKADETMFKLAEEHKRDKEKLHREIIKLEKQLDTRQGLELEIQRLRGALQVMEHMNGDGDADTKKRMEVIQDELKEKEEELEDLEDLNQALIIKERKSNDELQDARKELITAFKDVSTRAHIGVKKMGEVDIKPFLVAAKRKYSAKEADVKSAELCTLWQDYLRDPSWHPFKILKDKEGNCKEILDEEDEKLVELKTELGDEAYNAVTMALKQMNEYNPSGRYVVPELWNFNEGRKATLTDGVQHLLNKWKLHKRRRY >KJB83763 pep chromosome:Graimondii2_0_v6:13:57701607:57706606:-1 gene:B456_013G263400 transcript:KJB83763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVHPWKGIIANIPTTLQDGKHVGESGRKLREDLAKKGFNPLKVQPLWNRHGHSGYAIVEFNKEWDGFNNAIMFEKSFELDHYGKKDYYSSRRKKDKLYAWVAREDDYYSGGLIGEYLRRNGDLKTVSSKEAEDRRKTSKLLTTLNNTLETKNQRLQEMQNKFNEVSSSMSTLMWQKDDMIRAYNEECKKMQENAHNHFKQISLEHERNAKCILDQKRELEQREKELLQREAQNENETKKLQHEKMINERAALEQKKADETMFKLAEEHKRDKEKLHREIIKLEKQLDTRQGLELEIQRLRGALQVMEHMNGDGDADTKKRMEVIQDELKEKEEELEDLEDLNQALIIKERKSNDELQDARKELITAFKDVSTRAHIGVKKMGEVDIKPFLVAAKRKYSAKEADVKSAELCTLWQDYLRDPSWHPFKILKDKEGNCKEILDEEDEKLVELKTELGDEAYNAVTMALKQMNEYNPSGRYVVPELWNFNEGRKATLTDGVQHLLNKWKLHKRRRY >KJB80376 pep chromosome:Graimondii2_0_v6:13:15426439:15430567:1 gene:B456_013G094200 transcript:KJB80376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELGLILLSVLLIFATLEVVASHGDQPLSTITVQRATFALHYQAYIKVSPTLLGLKGQNTDWVTVEFSSPNPSVEDWIGVFSPSNFSASSCPAPADNPWVEPPLLCSAPIKYQYANYSTPKYKETGKGSLKLQLINQRSDFSFALFSGGLSNPKAVAVSNKVAFSNPKAPVYPRLAQGKVWNEMTVTWTSGYGIGEAVPFVEWGPKGGQPIRSPAGTLTFDRNSMCGEPARTVGWRDPGFIHTSFLKELWPNTLYTYKLGHILSNGTYVWSQEYKFRASPYPGQNSLQRVVIFGDMGKAEADGSNEYNDFQHGSLNTTKQLIRDLNNIDIAFLIGDICYANGYLSQWDQFTAQVEPIASTVPFMIASGNHERDWPGSGSFYGNKDSGGECGVLAETMFYVPTENRAKFWYSTDYGMFRFCVADTEHDWREGTEQYQFIEHCLASVDRQKQPWLIFLAHRVLGYSSATFYADTGSFGEPMGRESLQKLWQKYKVDIAIYGHAHHYERTCPIYQNICTNKEKQHYKGAMNGTIHVVAGGGGAGLAEFTTLNTKWSFFKDYDYGFVKLTVFDHSSLLFEYKKSSDGKVYDSFTISRNYRDILACTVDSCPSTTLAS >KJB80377 pep chromosome:Graimondii2_0_v6:13:15426022:15430567:1 gene:B456_013G094200 transcript:KJB80377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELGLILLSVLLIFATLEVVASHGDQPLSTITVQRATFALHYQAYIKVSPTLLGLKGQNTDWVTVEFSSPNPSVEDWIGVFSPSNFSASSCPAPADNPWVEPPLLCSAPIKYQYANYSTPKYKETGKGSLKLQLINQRSDFSFALFSGGLSNPKAVAVSNKVAFSNPKAPVYPRLAQGKVWNEMTVTWTSGYGIGEAVPFVEWGPKGGQPIRSPAGTLTFDRNSMCGEPARTVGWRDPGFIHTSFLKELWPNTLYTYKLGHILSNGTYVWSQEYKFRASPYPGQNSLQRVVIFGDMGKAEADGSNEYNDFQHGSLNTTKQLIRDLNNIDIAFLIGDICYANGYLSQWDQFTAQVEPIASTVPFMIASGNHERDWPGSGSFYGNKDSGGECGVLAETMFYVPTENRAKFWYSTDYGMFRFCVADTEHDWREGTEQYQFIEHCLASVDRQKQPWLIFLAHRVLGYSSATFYADTGSFGEPMGRESLQKLWQKYKVDIAIYGHAHHYERTCPIYQNICTNKEKQHYKGAMNGTIHVVAGGGGAGLAEFTTLNTKWSFFKDYDYGFVKLTVFDHSSLLFEYKKSSDGKVYDSFTISRNYRDILACTVDSCPSTTLAS >KJB80378 pep chromosome:Graimondii2_0_v6:13:15426734:15430567:1 gene:B456_013G094200 transcript:KJB80378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTWTSGYGIGEAVPFVEWGPKGGQPIRSPAGTLTFDRNSMCGEPARTVGWRDPGFIHTSFLKELWPNTLYTYKLGHILSNGTYVWSQEYKFRASPYPGQNSLQRVVIFGDMGKAEADGSNEYNDFQHGSLNTTKQLIRDLNNIDIAFLIGDICYANGYLSQWDQFTAQVEPIASTVPFMIASGNHERDWPGSGSFYGNKDSGGECGVLAETMFYVPTENRAKFWYSTDYGMFRFCVADTEHDWREGTEQYQFIEHCLASVDRQKQPWLIFLAHRVLGYSSATFYADTGSFGEPMGRESLQKLWQKYKVDIAIYGHAHHYERTCPIYQNICTNKEKQHYKGAMNGTIHVVAGGGGAGLAEFTTLNTKWSFFKDYDYGFVKLTVFDHSSLLFEYKKSSDGKVYDSFTISRNYRDILACTVDSCPSTTLAS >KJB78980 pep chromosome:Graimondii2_0_v6:13:2077494:2082315:-1 gene:B456_013G028000 transcript:KJB78980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILQSSTSSFSYPLLPPLCCCKTNISFPPRNRKARLITLFIPRRKLWLLACAIPTPDSNKLNVKVAKNLVAKGFSKDFVDGESQESSIPMGSNFTNFQQDPIVDKLRTQLGVIHPIPSPPINRNIVGLFVFFFFVGVAFDKIWTSRKKRSKSGSLDGEAVRVGSGVWPQVPTSFSSFLEKDLLRKESVEWVNMVLGKLWKVYRGAIENWIIGLLQPVIDNLKKPDYVQRVEIKQFSLGDEPLSVRNVERRTSRRANDLQYQIGLRYTGGARMLLMLSLKFGIIPIVVPVGVRDFDIDGELWVKLRLIPTEPFVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTVDLPRLFVRPKKIVLDFQKGKAVGPVPNDSKREEIQEEKNKDFVGELSVTLVDARKLSYVFYGKTDPYVVLSLGDQVIRSKKNSQTTVIGPPGEPIWNQDFYLLVANPGKEKLRIQVKDSLGFTDFTIGTGEVDLGSLQDTVPTDKIVVLRGGWGVFRKRSRGEILLRLTYKAYVEDEEDDTTATESVSADFSDDELSDIDESNGTYEQGIKLNTDETNKESFMDVLAALIVSEEFQGIVSSEPLSKNLDDISRTGPSKTRLKGVNTEAAPSDSDKGSEPPGGSTLLWFAVITNTLVLIALNMGASSFFNP >KJB78982 pep chromosome:Graimondii2_0_v6:13:2076878:2082618:-1 gene:B456_013G028000 transcript:KJB78982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILQSSTSSFSYPLLPPLCCCKTNISFPPRNRKARLITLFIPRRKLWLLACAIPTPDSNKLNVKVAKNLVAKGFSKDFVDGESQESSIPMGSNFTNFQQDPIVDKLRTQLGVIHPIPSPPINRNIVGLFVFFFFVGVAFDKIWTSRKKRSKSGSLDGEAVRVGSGVWPQVPTSFSSFLEKDLLRKESVEWVNMVLGKLWKVYRGAIENWIIGLLQPVIDNLKKPDYVQRVEIKQFSLGDEPLSVRNVERRTSRRANDLQYQIGLRYTGGARMLLMLSLKFGIIPIVVPVGVRDFDIDGELWVKLRLIPTEPFVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTVDLPRLFVRPKKIVLDFQKGKAVGPVPNDSKREEIQEEKNKDFVGELSVTLVDARKLSYVFYGKTDPYVVLSLGDQVIRSKKNSQTTVIGPPGEPIWNQDFYLLVANPGKEKLRIQVKDSLGFTDFTIGTGEVDLGSLQDTVPTDKIVVLRGGWGVFRKRSRGEILLRLTYKAYVEDEEDDTTATESVSADFSDDELSDIDESNGTYEQGIKLNTDETNKESFMDVLAALIVSEEFQGIVSSEPLSKNLDDISRTGPSKTRLKGVNTEAAPSDSDKGSEPPGGSTLLWFAVITNTLVLIALNMGASSFFNP >KJB78981 pep chromosome:Graimondii2_0_v6:13:2076877:2082640:-1 gene:B456_013G028000 transcript:KJB78981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILQSSTSSFSYPLLPPLCCCKTNISFPPRNRKARLITLFIPRRKLWLLACAIPTPDSNKLNVKVAKNLVAKGFSKDFVDGESQESSIPMGSNFTNFQQDPIVDKLRTQLGVIHPIPSPPINRNIVGLFVFFFFVGVAFDKIWTSRKKRSKSGSLDGEAVRVGSGVWPQVPTSFSSFLEKDLLRKESVEWVNMVLGKLWKVYRGAIENWIIGLLQPVIDNLKKPDYVQRVEIKQFSLGDEPLSVRNVERRTSRRANDLQYQIGLRYTGGARMLLMLSLKFGIIPIVVPVGVRDFDIDGELWVKLRLIPTEPFVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTVDLPRLFVRPKKIVLDFQKGKAVGPVPNDSKREEIQEEKNKDFVGELSVTLVDARKLSYVFYGKTDPYVVLSLGDQVIRSKKNSQTTVIGPPGEPIWNQDFYLLVANPGKEKLRIQVKDSLGFTDFTIGTGEVDLGSLQDTVPTDKIVVLRGGWGVFRKRSRGEILLRLTYKAYVEDEEDDTTATESVSADFSDDELSDIDESNGTYEQGIKLNTDETNKESFMDVLAALIVSEEFQGIVSSEPLSKNLDDISRTGPSKTRLKGVNTEAAPSDSDKGSEPPGGSTLLWFAVITNTLVLIALNMGASSFFNP >KJB80614 pep chromosome:Graimondii2_0_v6:13:23229629:23244138:1 gene:B456_013G107400 transcript:KJB80614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:Projected from Arabidopsis thaliana (AT1G21650) UniProtKB/TrEMBL;Acc:F4HY36] MVTALSLLNAPFLTPKPLTQLKTLPSAKPNSPFFFPSSFPSFPNLQQHRFNGQPPIAASLKEKVGCFKKTLSDFTSLNYWVVRDYYRLVDSVNALEPEIQRLSDEQLAAKTSEFKKRLTQGEAVSDIQAEAFAVVREAAKRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGDGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLVQKGMTAEERRINYQCDITYTNNSELGFDYLRDNLAGNNDQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASKDDARYPVAAKVAELLMRGLHYNIELKDNSVELTEEGIALAELALETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQMPVIEVPTNLPNIRKDLPIQAFATARGKWEYVSQEVEYMFRQGRPVLVGTTSVENSEYLSDLLQERNIPHSVLNARPKYAAREAEIIAQAGRKYAITISTNMAGRGTDIILGGNPKMLAREIIEDSLLSFLTREAPSIEVSDMAISRKVFSKVKVGPSSMALLAKAALMAKFVGKSEGKSWTHEEAKSIILESVEMSQLKPLKELQKLIDEQSEMYPLGPSIAITYLSVLKDCEVHCTKEGSEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISKITNDEDIPIEGDAIVKQLLALQINAEKYFFNIRKSLVEFDEVLEVQRKHVYDLRQLILTGDDESCSQHIFQYMQAVVDEIVFGNADPLKHPRYWSLSKLLKEFINIAGKLLDDSFAMISEEDLFQSLKQLHESNSVDVDNFHLPNLPKPPDGFRGIRRKNSSLKRWLAICSDDSTKNGRYRSTTNLLRKYLGDILIASYLNIVQESGYDDAYIKEIERAVLVKTLDCFWRDHLVNMNRLSSAVFNLKMLLSSTVKYRCILMPVFDR >KJB80615 pep chromosome:Graimondii2_0_v6:13:23229629:23244138:1 gene:B456_013G107400 transcript:KJB80615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:Projected from Arabidopsis thaliana (AT1G21650) UniProtKB/TrEMBL;Acc:F4HY36] MVTALSLLNAPFLTPKPLTQLKTLPSAKPNSPFFFPSSFPSFPNLQQHRFNGQPPIAASLKEKVGCFKKTLSDFTSLNYWVVRDYYRLVDSVNALEPEIQRLSDEQLAAKTSEFKKRLTQGEAVSDIQAEAFAVVREAAKRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGDGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLVQKGMTAEERRINYQCDITYTNNSELGFDYLRDNLAGNNDQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASKDDARYPVAAKVAELLMRGLHYNIELKDNSVELTEEGIALAELALETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQMPVIEVPTNLPNIRKDLPIQAFATARGKWEYVSQEVEYMFRQGRPVLVGTTSVENSEYLSDLLQERNIPHSVLNARPKYAAREAEIIAQAGRKYAITISTNMAGRGTDIILGGNPKMLAREIIEDSLLSFLTREAPSIEVSDMAISRKVFSKVKVGPSSMALLAKAALMAKFVGKSEGKSWTHEEAKSIILESVEMSQLKPLKELQKLIDEQSEMYPLGPSIAITYLSVLKDCEVHCTKEGSEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISKITNDEDIPIEGDAIVKQLLALQINAEKYFFNIRKSLVEFDEVLEVQRKHVYDLRQLILTGDDESCSQHIFQYMQAVVDEIVFGNADPLKHPRYWSLSKLLKEFINIAGKLLDDSFAMISEEDLFQSLKQLHESNSVDVDNFHLPNLPKPPDGFRGIRRKNSSLKRWLAICSDDSTKNGRYRSTTNLLRKYLGDILIASYLNIVQESGYDDAYIKEIETILLLPHTCHPRSCLFPPLFAANFLLIRIQRAVLVKTLDCFWRDHLVNMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPLESQELFFS >KJB80612 pep chromosome:Graimondii2_0_v6:13:23229578:23245033:1 gene:B456_013G107400 transcript:KJB80612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:Projected from Arabidopsis thaliana (AT1G21650) UniProtKB/TrEMBL;Acc:F4HY36] MVTALSLLNAPFLTPKPLTQLKTLPSAKPNSPFFFPSSFPSFPNLQQHRFNGQPPIAASLKEKVGCFKKTLSDFTSLNYWVVRDYYRLVDSVNALEPEIQRLSDEQLAAKTSEFKKRLTQGEAVSDIQAEAFAVVREAAKRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGDGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLVQKGMTAEERRINYQCDITYTNNSELGFDYLRDNLAGNNDQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASKDDARYPVAAKVAELLMRGLHYNIELKDNSVELTEEGIALAELALETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQMPVIEVPTNLPNIRKDLPIQAFATARGKWEYVSQEVEYMFRQGRPVLVGTTSVENSEYLSDLLQERNIPHSVLNARPKYAAREAEIIAQAGRKYAITISTNMAGRGTDIILGGNPKMLAREIIEDSLLSFLTREAPSIEVSDMAISRKVFSKVKVGPSSMALLAKAALMAKFVGKSEGKSWTHEEAKSIILESVEMSQLKPLKELQKLIDEQSEMYPLGPSIAITYLSVLKDCEVHCTKEGSEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISKITNDEDIPIEGDAIVKQLLALQINAEKYFFNIRKSLVEFDEVLEVQRKHVYDLRQLILTGDDESCSQHIFQYMQAVVDEIVFGNADPLKHPRYWSLSKLLKEFINIAGKLLDDSFAMISEEDLFQSLKQLHESNSVDVDNFHLPNLPKPPDGFRGIRRKNSSLKRWLAICSDDSTKNGRYRSTTNLLRKYLGDILIASYLNIVQESGYDDAYIKEIERAVLVKTLDCFWRDHLVNMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPLESQELFFS >KJB80613 pep chromosome:Graimondii2_0_v6:13:23229578:23245033:1 gene:B456_013G107400 transcript:KJB80613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:Projected from Arabidopsis thaliana (AT1G21650) UniProtKB/TrEMBL;Acc:F4HY36] MVTALSLLNAPFLTPKPLTQLKTLPSAKPNSPFFFPSSFPSFPNLQQHRFNGQPPIAASLKEKVGCFKKTLSDFTSLNYWVVRDYYRLVDSVNALEPEIQRLSDEQLAAKTSEFKKRLTQGEAVSDIQAEAFAVVREAAKRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGDGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLVQKGMTAEERRINYQCDITYTNNSELGFDYLRDNLAGNNDQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASKDDARYPVAAKVAELLMRGLHYNIELKDNSVELTEEGIALAELALETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQMPVIEVPTNLPNIRKDLPIQAFATARGKWEYVSQEVEYMFRQGRPVLVGTTSVENSEYLSDLLQERNIPHSVLNARPKYAAREAEIIAQAGRKYAITISTNMAGRGTDIILGGNPKMLAREIIEDSLLSFLTREAPSIEVSDMAISRKVFSKVKVGPSSMALLAKAALMAKFVGKSEGKSWTHEEAKSIILESVEMSQLKPLKELQKLIDEQSEMYPLGPSIAITYLSVLKDCEVHCTKEGSEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISKITNDEDIPIEGDAIVKQLLALQINAEKYFFNIRKSLVEFDEVLEVQRKHVYDLRQLILTGDDESCSQHIFQYMQAVVDEIVFGNADPLKHPRYWSLSKLLKEFINIAGKLLDDSFAMISEEDLFQSLKQLHESNSVDVDNFHLPNLPKPPDGFRGIRRKNSSLKRWLAICSDDSTKNGRYRSTTNLLRKYLGDILIASYLNIVQESGYDDAYIKEIERAVLVKTLDCFWRDHLVNMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPLESQELFFS >KJB80616 pep chromosome:Graimondii2_0_v6:13:23229629:23244576:1 gene:B456_013G107400 transcript:KJB80616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:Projected from Arabidopsis thaliana (AT1G21650) UniProtKB/TrEMBL;Acc:F4HY36] MVTALSLLNAPFLTPKPLTQLKTLPSAKPNSPFFFPSSFPSFPNLQQHRFNGQPPIAASLKEKVGCFKKTLSDFTSLNYWVVRDYYRLVDSVNALEPEIQRLSDEQLAAKTSEFKKRLTQGEAVSDIQAEAFAVVREAAKRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGDGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLVQKGMTAEERRINYQCDITYTNNSELGFDYLRDNLAGNNDQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASKDDARYPVAAKVAELLMRGLHYNIELKDNSVELTEEGIALAELALETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQMPVIEVPTNLPNIRKDLPIQAFATARGKWEYVSQEVEYMFRQGRPVLVGTTSVENSEYLSDLLQERNIPHSVLNARPKYAAREAEIIAQAGRKYAITISTNMAGRGTDIILGGNPKMLAREIIEDSLLSFLTREAPSIEVSDMAISRKVFSKVKVGPSSMALLAKAALMAKFVGKSEGKSWTHEEAKSIILESVEMSQLKPLKELQKLIDEQSEMYPLGPSIAITYLSVLKDCEVHCTKEGSEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISKITNDEDIPIEGDAIVKQLLALQINAEKYFFNIRKSLVEFDEVLEVQRKHVYDLRQLILTGDDESCSQHIFQYMQAVVDEIVFGNADPLKHPRYWSLSKLLKEFINIAGKLLDDSFAMISEEDLFQSLKQLHESNSVDVDNFHLPNLPKPPDGFRGIRRKNSSLKRWLAICSDDSTKNGRYRSTTNLLRKYLGDILIASYLNIVQESGYDDAYIKEIERAVLVKTLDCFWRDHLVNMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPLESQELFFS >KJB79176 pep chromosome:Graimondii2_0_v6:13:2826583:2827718:-1 gene:B456_013G036400 transcript:KJB79176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTALPEMLQCSDLTVLERQRDRLKWQEEQFQQQQQQSYFSELSCGAFSSQTNHVQGFQGGFMGGASGDSVLSDMVMARQVKPDPGLESPWPELGKVDMAAMGFGPCGYGNGPSFDMNHAISRTSSCPPAVAATKAVEVKVNSEKMASAVDKESFKKRKAEKLQNTKVVAENESKRIKSCEDEGEESKITGPNTNKSSNNKKEASGDASKENSKVSEVQKPDFIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEVKK >KJB79173 pep chromosome:Graimondii2_0_v6:13:2825261:2827992:-1 gene:B456_013G036400 transcript:KJB79173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTALPEMLQCSDLTVLERQRDRLKWQEEQFQQQQQQSYFSELSCGAFSSQTNHVQGFQGGFMGGASGDSVLSDMVMARQVKPDPGLESPWPELGKVDMAAMGFGPCGYGNGPSFDMNHAISRTSSCPPAVAATKAVEVKVNSEKMASAVDKESFKKRKAEKLQNTKVVAENESKRIKSCEDEGEESKITGPNTNKSSNNKKEASGDASKENSKVSEVQKPDFIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFNPIQQVVPCCGVEMGINSPDMTLRRTISAPVSIPDASFLDSSCLTMHQCSAMQQIQPTATWDVELQNLYNVAFDQGRSTPPFPSQPFAGSIEASDLKEI >KJB79172 pep chromosome:Graimondii2_0_v6:13:2824392:2827992:-1 gene:B456_013G036400 transcript:KJB79172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTALPEMLQCSDLTVLERQRDRLKWQEEQFQQQQQQSYFSELSCGAFSSQTNHVQGFQGGFMGGASGDSVLSDMVMARQVKPDPGLESPWPELGKVDMAAMGFGPCGYGNGPSFDMNHAISRTSSCPPAVAATKAVEVKVNSEKMASAVDKESFKKRKAEKLQNTKVVAENESKRIKSCEDEGEESKITGPNTNKSSNNKKEASGDASKENSKVSEVQKPDFIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIDNLYAKEVFSSCTPNFPTLGMAPEMANPPYLQFNPIQQVVPCCGVEMGINSPDMTLRRTISAPVSIPDASFLDSSCLTMHQCSAMQQIQPTATWDVELQNLYNVAFDQGRSTPPFPSQPFAGSIEASDLKEI >KJB79175 pep chromosome:Graimondii2_0_v6:13:2826763:2827718:-1 gene:B456_013G036400 transcript:KJB79175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTALPEMLQCSDLTVLERQRDRLKWQEEQFQQQQQQSYFSELSCGAFSSQTNHVQGFQGGFMGGASGDSVLSDMVMARQVKPDPGLESPWPELGKVDMAAMGFGPCGYGNGPSFDMNHAISRTSSCPPAVAATKAVEVKVNSEKMASAVDKESFKKRKAEKLQNTKVVAENESKRIKSCEDEGEESKITGPNTNKSSNNKKEASGDASKENSKVSEVQKPDFIHVRARRGQATDSHSLAERVSMNLHVFFLLLACYSVIWMVLLPICFLILSGFFH >KJB79174 pep chromosome:Graimondii2_0_v6:13:2825261:2827992:-1 gene:B456_013G036400 transcript:KJB79174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTALPEMLQCSDLTVLERQRDRLKWQEEQFQQQQQQSYFSELSCGAFSSQTNHVQGFQGGFMGGASGDSVLSDMVMARQVKPDPGLESPWPELGKVDMAAMGFGPCGYGNGPSFDMNHAISRTSSCPPAVAATKAVEVKVNSEKMASAVDKESFKKRKAEKLQNTKVVAENESKRIKSCEDEGEESKITGPNTNKSSNNKKEASGDASKENSKFLSMKLATVNPRLDFNIDNLYAKEVFSSCTPNFPTLGMAPEMANPPYLQFNPIQQVVPCCGVEMGINSPDMTLRRTISAPVSIPDASFLDSSCLTMHQCSAMQQIQPTATWDVELQNLYNVAFDQGRSTPPFPSQPFAGSIEASDLKEI >KJB79177 pep chromosome:Graimondii2_0_v6:13:2825754:2827992:-1 gene:B456_013G036400 transcript:KJB79177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTALPEMLQCSDLTVLERQRDRLKWQEEQFQQQQQQSYFSELSCGAFSSQTNHVQGFQGGFMGGASGDSVLSDMVMARQVKPDPGLESPWPELGKVDMAAMGFGPCGYGNGPSFDMNHAISRTSSCPPAVAATKAVEVKVNSEKMASAVDKESFKKRKAEKLQNTKVVAENESKRIKSCEDEGEESKITGPNTNKSSNNKKEASGDASKENSKVSEVQKPDFIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIDNLYAKEVFSSCTPNFPTLGMAPEMANPPYLQFNPIQQVVPCCGVEMGINSPDMTLRRTISAPVSIPDASFLDSSCLTMHQCSAMQQIQPTATWDVELQNLYNVAFDQGRSTPPFPSQPFAGA >KJB81890 pep chromosome:Graimondii2_0_v6:13:45754012:45756998:1 gene:B456_013G170700 transcript:KJB81890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLSGDWSIISSPSPKPYPHKPPKCSNCAPLFMKITHMEMIKGIQGHGYYDELVIPIIENTAYENDLTDSLAKAIEVYPKTTAVLVRHHGIYVWGDSWISAKTQAECYHYLFDAAAIKHHHLGLDWSTPDHGPIQNVKGFSSINSVIKRVATKAGTVDSNFKLQPYPRCVVLDIEGTTTPISFVTDVLFPYARDNVGRHLSATYDSAETQDDIKLLRSQVEDDLKQGVLGAVPIPSEDAGKEKVIASLVANVEAMIKADRKITALKQLQVSEHELKDNTFLELTFEKGLI >KJB81887 pep chromosome:Graimondii2_0_v6:13:45753707:45758265:1 gene:B456_013G170700 transcript:KJB81887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLSGDWSIISSPSPKPYPHKPPKCSNCAPLFMKTTAVLVRHHGIYVWGDSWISAKTQAECYHYLFDAAAIKHHHLGLDWSTPDHGPIQNVKGFSSINSVIKRVATKAGTVDSNFKLQPYPRCVVLDIEGTTTPISFVTDVLFPYARDNVGRHLSATYDSAETQDDIKLLRSQVEDDLKQGVLGAVPIPSEDAGKEKVIASLVANVEAMIKADRKITALKQLQGHIWRTGFENNELEGVVFDDVPEALEKWHALGIKVYIYSSGSRLAQRLIFGNTNYGDLRKYLSGFFDTAVGYKRETRSYVEITESLGVDKPSDILFVTDVYQEATAAKAAGLEVVISIRSGNGPLPENHGFKTINSFLEI >KJB81888 pep chromosome:Graimondii2_0_v6:13:45753796:45757280:1 gene:B456_013G170700 transcript:KJB81888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLSGDWSIISSPSPKPYPHKPPKCSNCAPLFMKITHMEMIKGIQGHGYYDELVIPIIENTAYENDLTDSLAKAIEVYPKTTAVLVRHHGIYVWGDSWISAKTQAECYHYLFDAAAIKHHHLGLDWSTPDHGPIQNVKGFSSINSVIKRVATKAGTVDSNFKLQPYPRCVVLDIEGTTTPISFVTDVLFPYARDNVGRHLSATYDSAETQDDIKLLRSQVEDDLKQGVLGAVPIPSEDAGKEKVIASLVANVEAMIKADRKITALKQLQGHIWRTGFENNELEGVVFDDVPEALEKWHALGIKVSTRT >KJB81891 pep chromosome:Graimondii2_0_v6:13:45753796:45758288:1 gene:B456_013G170700 transcript:KJB81891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMIKGIQGHGYYDELVIPIIENTAYENDLTDSLAKAIEVYPKTTAVLVRHHGIYVWGDSWISAKTQAECYHYLFDAAAIKHHHLGLDWSTPDHGPIQNVKGFSSINSVIKRVATKAGTVDSNFKLQPYPRCVVLDIEGTTTPISFVTDVLFPYARDNVGRHLSATYDSAETQDDIKLLRSQVEDDLKQGVLGAVPIPSEDAGKEKVIASLVANVEAMIKADRKITALKQLQGHIWRTGFENNELEGVVFDDVPEALEKWHALGIKVYIYSSGSRLAQRLIFGNTNYGDLRKYLSGFFDTAVGYKRETRSYVEITESLGVDKPSDILFVTDVYQEATAAKAAGLEVVISIRSGNGPLPENHGFKTINSFLEI >KJB81889 pep chromosome:Graimondii2_0_v6:13:45753796:45758263:1 gene:B456_013G170700 transcript:KJB81889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLSGDWSIISSPSPKPYPHKPPKCSNCAPLFMKITHMEMIKGIQGHGYYDELVIPIIENTAYENDLTDSLAKAIEVYPKTTAVLVRHHGIYVWGDSWISAKTQAECYHYLFDAAAIKHHHLGLDWSTPDHGPIQNVKGFSSINSVIKRVATKAGTVDSNFKLQPYPRCVVLDIEGTTTPISFVTDVLFPYARDNVGRHLSATYDSAETQDDIKLLRSQVEDDLKQGVLGAVPIPSEDAGKEKVIASLVANVEAMIKADRKITALKQLQGHIWRTGFENNELEGVVFDDVPEALEKWHALGIKVYIYSSGSRLAQRLIFGNTNYGDLRKYLSGFFDTAVGYKRETRSYVEITESLGVDKPSDILFVTDVYQEATAAKAAGSVLIFFSLPVSVF >KJB81886 pep chromosome:Graimondii2_0_v6:13:45753601:45758345:1 gene:B456_013G170700 transcript:KJB81886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLSGDWSIISSPSPKPYPHKPPKCSNCAPLFMKITHMEMIKGIQGHGYYDELVIPIIENTAYENDLTDSLAKAIEVYPKTTAVLVRHHGIYVWGDSWISAKTQAECYHYLFDAAAIKHHHLGLDWSTPDHGPIQNVKGFSSINSVIKRVATKAGTVDSNFKLQPYPRCVVLDIEGTTTPISFVTDVLFPYARDNVGRHLSATYDSAETQDDIKLLRSQVEDDLKQGVLGAVPIPSEDAGKEKVIASLVANVEAMIKADRKITALKQLQGHIWRTGFENNELEGVVFDDVPEALEKWHALGIKVYIYSSGSRLAQRLIFGNTNYGDLRKYLSGFFDTAVGYKRETRSYVEITESLGVDKPSDILFVTDVYQEATAAKAAGLEVVISIRSGNGPLPENHGFKTINSFLEI >KJB79594 pep chromosome:Graimondii2_0_v6:13:5561395:5562093:1 gene:B456_013G056200 transcript:KJB79594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQINKRVFQGVEGEGGGERKGIEGIAVGIVGMLGRGGSVALGTLGNVGNPGMVGSGGSAPGLGNTGMDGCGRLG >KJB80740 pep chromosome:Graimondii2_0_v6:13:27575767:27582219:-1 gene:B456_013G113400 transcript:KJB80740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNINNYPIAMESLSEPSKDAQSLQTLASGTFSSSLSSLSSSSRTLPSNQDFHFFKNFDGFKLPIDQIAKISDSLLESIGSSAKTWCANKAINFPDRIGSIADDEAYDWLVDINDEILERFDVSVDEFQNIRKKEEETGRFIGSDPEINGFQLVYGKKKKKGDGGLMGDSVAESVSGKEGASPSYSGVKVKKWALAAGTSGKAKVPFHIPTIRKPQEEYNILVNNSNKPFEHVWLQRSEDGQRFVHPLEKLSVMDFVDKDIADIEPVKPPSIESTPFKLVEEVKDLKELAAKLRSVNEFAVDLEHNQYRSFQGLTCLIQISTRNENFVVDTLKLRIHIGPYLRELFKDPTKKKVMHGADRDIVWLQRDFGIYICNLFDTGQASRVLKMERNSLEHLLQHFCGVTANKEYQNADWRLRPLPAEMVRYAREDTHFLLFIYDLMRIKLLSMPMEPEHFDAPLVEVYKRSSDVCMQLYEKELLTENSYLHIYGLQAAGLNAEQLSIVSGLYEWRDHIARSEDESTGYVLPNKILIEIAKQMPVTAGKLRRLLKSRLPYVERNLGAVVSIIKRSMQNAVSFEAAAQQLKMGRVLHASEECVAVNDGAVLVPPETCPDLKIGNDRTEIIDGGMRGLDGKTAKLASPPCKELVKTGSSIPGPDTDKKQKRCHMEPVVNSISMSTREGFTDSGLSPPSTKAGGATVQILKRPNCGFGAFLGNSATKKKSDIGKKKEENKLEQIRSSVNLPFHSFLGIEEQSKLVTEEATKVSQISQPEEPPPVVATELTSEDIIMLEENSSKDESNDGGGGPKPSDASVGDSPVCYTSETNEGDNEETMSLTDLSTSFQQSTQSFKVKESKEQSGSLQIKPFDYEAARKQVKFGVDTEEKPGSQVNSARKKRSSAVGLLQIDDGTKLFPQARRRQAFPASGNRSATFR >KJB80741 pep chromosome:Graimondii2_0_v6:13:27575861:27582113:-1 gene:B456_013G113400 transcript:KJB80741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNINNYPIAMESLSEPSKDAQSLQTLASGTFSSSLSSLSSSSRTLPSNQDFHFFKNFDGFKLPIDQIAKISDSLLESIGSSAKTWCANKAINFPDRIGSIADDEAYDWLVDINDEILERFDVSVDEFQNIRKKEEETGRFIGSDPEINGFQLVYGKKKKKGDGGLMGDSVAESVSGKEGASPSYSGVKVKKWALAAGTSGKAKVPFHIPTIRKPQEEYNILVNNSNKPFEHVWLQRSEDGQRFVHPLEKLSVMDFVDKDIADIEPVKPPSIESTPFKLVEEVKDLKELAAKLRSVNEFAVDLEHNQYRSFQGLTCLIQISTRNENFVVDTLKLRIHIGPYLRELFKDPTKKKVMHGADRDIVWLQRDFGIYICNLFDTGQASRVLKMERNSLEHLLQHFCGVTANKEYQNADWRLRPLPAEMVRYAREDTHFLLFIYDLMRIKLLSMPMEPEHFDAPLVEVYKRSSDVCMQLYEKELLTENSYLHIYGLQAAGLNAEQLSIVSGLYEWRDHIARSEDESTGYVLPNKILIEIAKQMPVTAGKLRRLLKSRLPYVERNLGAVVSIIKRSMQNAVSFEAAAQQLKMGRVLHASEECVAVNDGAVLVPPETCPDLKIGNDRTEIIDGGMRGLDGKTAKLASPPCKELVKTGSSIPGPDTDKKQKRCHMEPVVNSISMSTREGFTDSGLSPPSTKAGGATVQILKRPNCGFGAFLGNSATKKKSDIGKKEENKLEQIRSSVNLPFHSFLGIEEQSKLVTEEATKVSQISQPEEPPPVVATELTSEDIIMLEENSSKDESNDGGGGPKPSDASVGDSPVCYTSETNEGDNEETMSLTDLSTSFQQSTQSFKVKESKEQSGSLQIKPFDYEAARKQVKFGVDTEEKPGSQVNSARKKRSSAVGLLQIDDGTKLFPQARRRQAFPASGNRSATFR >KJB82917 pep chromosome:Graimondii2_0_v6:13:54046577:54048644:1 gene:B456_013G220400 transcript:KJB82917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVVKEEETQMTFATAVGTTAGLSSSSSSSNVTPQPKEGLNEVGPPPFLTKTFDMVEDPSTDSVVSWSEARNSFIVWDSHKFSTTLLPRYFKHNNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQKQLLKTIKRRRNIVQSSQHQAGGGGACVELGQFGLDEELERLKRDRSVLLAEIVRLRQQQQHSRDQIVAMEDRLQSTEKKQQQIMSFLAKALRNPTFIQQLAQNRQAQGVEIGRKRRLTASPSVENLQQEAVPVVVDNDQVEEQEGLATIETEIETFFNSAMDDESSTEIKDHIPSPMPLSSVTNNLGVNETLWEELVHEDLIAGEPKEEIVVGDQAEVDVEVEDLAANPIDWGDDLQELVDQMGYLRPNP >KJB82919 pep chromosome:Graimondii2_0_v6:13:54047000:54048644:1 gene:B456_013G220400 transcript:KJB82919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVVKEEETQMTFATAVGTTAGLSSSSSSSNVTPQPKEGLNEVGPPPFLTKTFDMVEDPSTDSVVSWSEARNSFIVWDSHKFSTTLLPRYFKHNNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQKQLLKTIKRRRNIVQSSQHQAGGGGACVELGQFGLDEELERLKRDRSVLLAEIVRLRQQQQHSRDQIVAMEDRLQSTEKKQQQIMSFLAKALRNPTFIQQLAQNRQAQGVEIGRKRRLTASPSVENLQQEAVPVVVDNDQVEEQEGLATIETEIETFFNSAMDDESSTEIKDHIPSPMPLSSVTNNLGVNETLWEELVHEDLIAGEPKEEIVVGDQAEVDVEVEDLAANPIDWGDDLQELVDQMGYLRPNP >KJB82920 pep chromosome:Graimondii2_0_v6:13:54046577:54048425:1 gene:B456_013G220400 transcript:KJB82920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVVKEEETQMTFATAVGTTAGLSSSSSSSNVTPQPKEGLNEVGPPPFLTKTFDMVEDPSTDSVVSWSEARNSFIVWDSHKFSTTLLPRYFKHNNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQKQLLKTIKRRRNIVQSSQHQAGGGGACVELGQFGLDEELERLKRDRSVLLAEIVRLRQQQQHSRDQIVAMEDRLQSTEKKQQQIMSFLAKALRNPTFIQQLAQNRQAQGVEIGRKRRLTASPSVENLQQEAVPVVVDNDQVEEQEGLATIETEIETFFNSAMDDESSTEIKDHIPSPMPLSSVTNNLGVNETLWEELVHEDLIAGEPKEEIVVGDQAEVDVEVEDLAANPIDWGDDLQELVDQMGYLRPNP >KJB82918 pep chromosome:Graimondii2_0_v6:13:54047500:54048324:1 gene:B456_013G220400 transcript:KJB82918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFRKVDPDRWEFANEGFLGGQKQLLKTIKRRRNIVQSSQHQAGGGGACVELGQFGLDEELERLKRDRSVLLAEIVRLRQQQQHSRDQIVAMEDRLQSTEKKQQQIMSFLAKALRNPTFIQQLAQNRQAQGVEIGRKRRLTASPSVENLQQEAVPVVVDNDQVEEQEGLATIETEIETFFNSAMDDESSTEIKDHIPSPMPLSSVTNNLGVNETLWEELVHEDLIAGEPKEEIVVGDQAEVDVEVEDLAANPIDWGDDLQELVDQMGYLRPNP >KJB82916 pep chromosome:Graimondii2_0_v6:13:54047000:54048644:1 gene:B456_013G220400 transcript:KJB82916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVVKEEETQMTFATAVGTTAGLSSSSSSSNVTPQPKEGLNEVGPPPFLTKTFDMVEDPSTDSVVSWSEARNSFIVWDSHKFSTTLLPRYFKHNNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQKQLLKTIKRRRNIVQSSQHQAGGGGACVELGQFGLDEELERLKRDRSVLLAEIVRLRQQQQHSRDQIVAMEDRLQSTEKKQQQIMSFLAKALRNPTFIQQLAQNRQAQGVEIGRKRRLTASPSVENLQQEAVPVVVDNDQVEEQEGLATIETEIETFFNSAMDDESSTEIKDHIPSPMPLSSVTNNLGVNETLWEELVHEDLIAGEPKEEIVVGDQAEVDVEVEDLAANPIDWGDDLQELVDQMGYLRPNP >KJB82921 pep chromosome:Graimondii2_0_v6:13:54047000:54048747:1 gene:B456_013G220400 transcript:KJB82921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVVKEEETQMTFATAVGTTAGLSSSSSSSNVTPQPKEGLNEVGPPPFLTKTFDMVEDPSTDSVVSWSEARNSFIVWDSHKFSTTLLPRYFKHNNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQKQLLKTIKRRRNIVQSSQHQAGGGGACVELGQFGLDEELERLKRDRSVLLAEIVRLRQQQQHSRDQIVAMEDRLQSTEKKQQQIMSFLAKALRNPTFIQQLAQNRQAQGVEIGRKRRLTASPSVENLQQEAVPVVVDNDQVEEQEGLATIETEIETFFNSAMDDESSTEIKDHIPSPMPLSSVTNNLGVNETLWEELVHEDLIAGEPKEEIVVGDQAEVDVEVEDLAANPIDWGDDLQELVDQMGYLRRSEQRFMKEPFEAMHLPQASNSTFLVFLL >KJB79483 pep chromosome:Graimondii2_0_v6:13:4907488:4910584:1 gene:B456_013G052400 transcript:KJB79483 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPAT5 [Source:Projected from Arabidopsis thaliana (AT3G18850) UniProtKB/TrEMBL;Acc:A0A178VAY6] MEVPSAKHETMHRRLTPLRVLRGLICLLVLLLTAFMMIVYCGFFSTVVLRLFSVHYSRKVTAFFFSSWLALWPFLFEKINKTKVIFSGDDVPARERVLLICNHRTEVDWMYLWDLALRKDSLGYIKYILKSSLMKLPVFGWSFQILEFIPVERKWEVDEAKMRQMLSKFKDPRDPLWLVLFPEGTDFTEQKCLRSQKYAAENGLPILKNLLLPKSKGFNACLEDLRSTLDAVYDVTIGYKHRCPSFLDNVFGVDPSEVHIHIRRVTLDDIPLSESEVTAWLMDTFQRKDQLLSNFNSEGYFPLHGAELNLSTVKCLINVMLVLVLTGAFLFLTFFSSIWFKIFVSLSCSYMVSATYLNIRPEPIFNFACMCYFQISTLL >KJB79484 pep chromosome:Graimondii2_0_v6:13:4907488:4910584:1 gene:B456_013G052400 transcript:KJB79484 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPAT5 [Source:Projected from Arabidopsis thaliana (AT3G18850) UniProtKB/TrEMBL;Acc:A0A178VAY6] MEVPSAKHETMHRRLTPLRVLRGLICLLVLLLTAFMMIVYCGFFSTVVLRLFSVHYSRKVTAFFFSSWLALWPFLFEKINKTKVIFSGDDVPARERVLLICNHRTEVDWMYLWDLALRKDSLGYIKYILKSSLMKLPVFGWSFQILEFIPVERKWEVDEAKMRQMLSKFKDPRDPLWLVLFPEGTDFTEQKCLRSQKYAAENGLPILKNLLLPKSKGFNACLEDLRSTLDAVYDVTIGYKHRCPSFLDNVFGVDPSEVHIHIRRVTLDDIPLSESEVTAWLMDTFQRKDQLLSNFNSEGYFPLHGAELNLSTVKCLINVMLVLVLTGAFLFLTFFSSIWFKIFVSLSCSYMVSATYLNIRPEPIFNFACMCYFQISTLL >KJB83442 pep chromosome:Graimondii2_0_v6:13:56631246:56634972:1 gene:B456_013G247700 transcript:KJB83442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSVSHQSSNALRGFRVQAPLVDSVSCYCKVDSGLKTVVEARKFVPGSKLCIQPDINPNAHKSKSSRKERSRVQPPLLPGLPDDLAIACLIRVPHAEHRKLRLVCKKWYHLLAGNFFFSLRKSLGMAEEWVYVLKRDRDGKISWNAFDPIHQLWQPLPPVPREYSEALGFGCAVLSGFHLYLFGGKDPLRGSMRRVVFYSARTNKWHRAPGMLRKRHFFGSCVINNRLYVAGGECDGIHRTLRSAEVYDPNKNRWSFVQDMSTAMVPFIGVVYDGKWFVKGLGSHREVMSEAYDPESNSWSAVSNGMVSGWRNPSISLNGKLYALDCRDGCKLRVYDGATDSWNKFIDSKLHFGSSRALEAAGLVPLNGKLCIIRNNMSVSLVDVSSPDKQVISGLSLQIYGRV >KJB83443 pep chromosome:Graimondii2_0_v6:13:56631246:56634972:1 gene:B456_013G247700 transcript:KJB83443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSVSHQSSNALRGFRVQAPLVDSVSCYCKVDSGLKTVVEARKFVPGSKLCIQPDINPNAHKSKSSRKERSRVQPPLLPGLPDDLAIACLIRVPHAEHRKLRLVCKKWYHLLAGNFFFSLRKSLGMAEEWVYVLKRDRDGKISWNAFDPIHQLWQPLPPVPREYSEALGFGCAVLSGFHLYLFGGKDPLRGSMRRVVFYSARTNKWHRAPGMLRKRHFFGSCVINNRLYVAGGECDGIHRTLRSAEVYDPNKNRWSFVQDMSTAMVPFIGVVYDGKWFVKGLGSHREVMSEAYDPESNSWSAVSNGMVSGWRNPSISLNGKLYALDCRDGCKLRVYDGATDSWNKFIDSKLHFGSSRALEAAGLVPLNGKLCIIRNNMSVSLVDVSSPDKQVESNPQLWENIASRGHFRTLFTNIWSSIAGRSSLRSHIVHCQVLQA >KJB80514 pep chromosome:Graimondii2_0_v6:13:18588870:18589497:-1 gene:B456_013G100900 transcript:KJB80514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSGEKVKAMWDKRLTEIFCDIYIKEILKGNRPGTHFTKDGWLKIMTNFEKETGKAYSQRQFKNRWDALKKERKAWEKLKGGDTALGWNPIKRTIDAPDDW >KJB81422 pep chromosome:Graimondii2_0_v6:13:42246809:42248508:1 gene:B456_013G153700 transcript:KJB81422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSSFLFFLFLYLSLFLSDTSHGGSDDDDDTASNKRHNLRSKSLVLVKIWCLILVFVGTFISGVSPYFLKWNQGFLVLGTQFAGGVFLGTAIMHFLSDANETFEDLTTKQYPFAFMLTCVGYLVTMVADSVVSYVYGKGKGSSCNTYDLELHGTGTGTDAACERSSSLSSVSSFGDSVLLIVALCFHSVFEGIAIGVAETEADAWKTLWIISLHKIFAAIAMGIALLRMIPDRPFLSCIAYAFAFAISSPAGVAIGIILDATTEGVMADWIFAISMGLACGVFIYVSINHLLSKGYTPHKTVSVDKPHYKFLAVLLGVGVIAVVMIWDN >KJB78467 pep chromosome:Graimondii2_0_v6:13:80839:93170:-1 gene:B456_013G001400 transcript:KJB78467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGPSGFNNAPVTKTFVIASALFTVFFGIQGRSFKLGLSYQDIFTKLSIWKLITSVFAFSSTPELMFGLYLLYYFRVFERQIGSNKYSVFILFSVMASFLFEVMAVAILKDPTSNLLTSGPYGLIFASFVPFFFDIPVSTWFRIFGVRFSNKSFIYLAGLQLLLSSWKRSLLPGICGILAGSLYRLNVFRIRKAKFPEFITSFFSRLSWPSIGNPPTTPARNLAGNVPSYTTRQVEAKPIHPQLHLQP >KJB78469 pep chromosome:Graimondii2_0_v6:13:82607:93170:-1 gene:B456_013G001400 transcript:KJB78469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGPSGFNNAPVTKTFVIASALFTVFFGIQGRSFKLGLSYQDIFTKLSIWKLITSVFAFSSTPELMFGLYLLYYFRVFERQIGSNKYSVFILFSVMASFLFEVMAVAILKDPTSNLLTSGPYGLIFASFVPFFFDIPVSTWFRIFGVRFSNKSFIYLAGLQLLLSSWKRSLLPGICGILAGSLYRLNVFRIRKAKFPEFITSFFSRLSWPSIGNPPTTPARNLAGNVPSYTTRQVEASIL >KJB78468 pep chromosome:Graimondii2_0_v6:13:80839:93170:-1 gene:B456_013G001400 transcript:KJB78468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGPSGFNNAPVTKTFVIASALFTVFFGIQGRSFKLGLSYQDIFTKLSIWKLITSVFAFSSTPELMFGLYLLYYFRVFERQIGSNKYSVFILFSVMASFLFEVMAVAILKDPTSNLLTSGPYGLIFASFVPFFFDIPVSTWFRIFGVRFSNKSFIYLAGLQLLLSSWKRSLLPGICGILAGSLYRLNVFRIRKAKVESCRKCTFLHNSPSGGKTYPSAVASSAIEPSEDAVATLVSMGFDQNSARQALVHARNDINAATNILLEAQSH >KJB78466 pep chromosome:Graimondii2_0_v6:13:80796:93305:-1 gene:B456_013G001400 transcript:KJB78466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGPSGFNNAPVTKTFVIASALFTVFFGIQGRSFKLGLSYQDIFTKLSIWKLITSVFAFSSTPELMFGLYLLYYFRVFERQIGSNKYSVFILFSVMASFLFEVMAVAILKDPTSNLLTSGPYGLIFASFVPFFFDIPVSTWFRIFGVRFSNKSFIYLAGLQLLLSSWKRSLLPGICGILAGSLYRLNVFRIRKAKFPEFITSFFSRLSWPSIGNPPTTPARNLAGNVPSYTTRQVERTYPSAVASSAIEPSEDAVATLVSMGFDQNSARQALVHARNDINAATNILLEAQSH >KJB82905 pep chromosome:Graimondii2_0_v6:13:54567028:54569202:1 gene:B456_013G226400 transcript:KJB82905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWDFVRPTLCFSEKENLCLYGLPTEQWEVTLPAEEVPPELPEPALGINFARDGMQEKDWLALVAVHSDAWLLAVAHYFGARFGFDKADRKRLFTMINDLPTIFEIVSGSAKKQTKEKSSVSNHSSNKSKSSGKARGSESAKYSKSVQLKDEEEGLDEEEEEEHGDTLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >KJB82903 pep chromosome:Graimondii2_0_v6:13:54566401:54569502:1 gene:B456_013G226400 transcript:KJB82903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGTLHSLRTVEEVFKDFKGRRAGIIKALTADVGEFFEQCDPEKENLCLYGLPTEQWEVTLPAEEVPPELPEPALGINFARDGMQEKDWLALVAVHSDAWLLAVAHYFGARFGFDKADRKRLFTMINDLPTIFEIVSGSAKKQTKEKSSVSNHSSNKSKSSGKARGSESAKYSKSVQLKDEEEGLDEEEEEEHGDTLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >KJB82904 pep chromosome:Graimondii2_0_v6:13:54566831:54569202:1 gene:B456_013G226400 transcript:KJB82904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKDWLALVAVHSDAWLLAVAHYFGARFGFDKADRKRLFTMINDLPTIFEIVSGSAKKQTKEKSSVSNHSSNKSKSSGKARGSESAKYSKSVQLKDEEEGLDEEEEEEHGDTLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >KJB83471 pep chromosome:Graimondii2_0_v6:13:56754299:56759354:-1 gene:B456_013G249400 transcript:KJB83471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYELSDSSGTDDDLPSSHQNRFQRGGRTAAGNGRSTVVGSMGNGRSAVAPLPRIHGDMETQIHLIEQEAYSSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRAAGGIQPGMLSTSQPIHDPVPSPSVSGSRKKQKTSQSVASLSMVAPSPALHPSMQPSSSALRRGPPSGAKSKKSKSYTSTGLPGRPQASNRMSSGAFATNESAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNRLEGRHALVYDINTADETWEWVNLKEISPEDIRWEGDDPAISRRGGRPGPGPGIKKSMAYGGGVVGAGRGRGNLKGQGKKDFPLTQNGVGKKVLGDIEILHTDTLIKEVEKVFGANHPDPVEIEKAKKVLNEHEQALVDAIARLEDASDGESGNH >KJB83468 pep chromosome:Graimondii2_0_v6:13:56754292:56759461:-1 gene:B456_013G249400 transcript:KJB83468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYELSDSSGTDDDLPSSHQNRFQRGGRTAAGNGRSTVVGSMGNGRSAVAPLPRIHGDMETQIHLIEQEAYSSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRAAGGIQPGMLSTSQPIHDPVPSPSVSGSRKKQKTSQSVASLSMVAPSPALHPSMQPSSSALRRGPPSGAKSKKSKSSTQYTSTGLPGRPQASNRMSSGAFATNESAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNRLEGRHALVYDINTADETWEWVNLKEISPEDIRWEGDDPAISRRGGRPGPGPGIKKSMAYGGGVVGAGRGRGNLKGQGKKDFPLTQNGVGKKVLGDIEILHTDTLIKEVEKVFGANHPDPVEIEKAKKVLNEHEQALVDAIARLEDASDGESDGEHPYLSRTINGSTKGMEKTAV >KJB83470 pep chromosome:Graimondii2_0_v6:13:56755082:56758050:-1 gene:B456_013G249400 transcript:KJB83470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYELSDSSGTDDDLPSSHQNRFQRGGRTAAGNGRSTVVGSMGNGRSAVAPLPRIHGDMETQIHLIEQEAYSSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRAAGGIQPGMLSTSQPIHDPVPSPSVSGSRKKQKTSQSVASLSMVAPSPALHPSMQPSSSALRRGPPSGAKSKKSKSSTQYTSTGLPGRPQASNRMSSGAFATNESAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNRLEGRHALVYDINTADETWEWVNLKEISPEDIRWEGDDPAISRRGGRPGPGPGIKKSMAYGGGVVGAGRGRGNLKGQGKKDFPLTQNGVGKKVLGDIEILHTDTLIKEVEKVFGANHPDPVEIEKAKKVLNEHEQALVDAIARLEDASDGESGNH >KJB83469 pep chromosome:Graimondii2_0_v6:13:56754224:56759461:-1 gene:B456_013G249400 transcript:KJB83469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYELSDSSGTDDDLPSSHQNRFQRGGRTAAGNGRSTVVGSMGNGRSAVAPLPRIHGDMETQIHLIEQEAYSSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRAAGGIQPGMLSTSQPIHDPVPSPSVSGSRKKQKTSQSVASLSMVAPSPALHPSMQPSSSALRRGPPSGAKSKKSKSSTQYTSTGLPGRPQASNRMSSGAFATNESAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNRLEGRHALVYDINTADETWEWVNLKEISPEDIRWEGDDPAISRRGGRPGPGPGIKKSMAYGGGVVGAGRGRGNLKGQGKKDFPLTQNGVGKKVLGDIEILHTDTLIKEVEKVFGANHPDPVEIEKAKKVLNEHEQALVDAIARLEDASDGESDGEHPYLSRTINGSTKGMEKTAV >KJB78900 pep chromosome:Graimondii2_0_v6:13:1823187:1829854:-1 gene:B456_013G025000 transcript:KJB78900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSISARYVSAERYSEALDLLESGACLQLKHGQVTCGAELAFLFVDTLVKGKIPYNEDILDRVRKIYEVFPKVPLPSNMSDDEDVREFTEALGAAKTRLEGCSSFIRAAIKWSAEFGASRNGDPQLHAMLAEYIYSESTELNMAKVSYHFVRGNNPKKFASTLVNFMSKCYPDEDDIAIARAVLMYLSMGNLRDANCLMNELKRQVESQELDFPESDLVQFITFLLLTLERDALPLFNMLRVNYKSSIDREPAFNELLDEIAEKFYGVQRRNPLQGMFGDLFKMM >KJB78897 pep chromosome:Graimondii2_0_v6:13:1823118:1829858:-1 gene:B456_013G025000 transcript:KJB78897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREKLRRAALPPVQENIDKLEKAINEGNFYGAQQMYKSISARYVSAERYSEALDLLESGACLQLKHGQVTCGAELAFLFVDTLVKGKIPYNEDILDRVRKIYEVFPKVPLPSNMSDDEDVREFTEALGAAKTRLEGCSSFIRAAIKWSAEFGASRNGDPQLHAMLAEYIYSESTELNMAKVSYHFVRGNNPKKFASTLVNFMSKCYPDEDDIAIARAVLMYLSMGNLRDANCLMNELKRQVESQELDFPESDLVQFITFLLLTLERDALPLFNMLRVNYKSSIDREPAFNELLDEIAEKFYGVQRRNPLQGMFGDLFKMM >KJB78899 pep chromosome:Graimondii2_0_v6:13:1823187:1829854:-1 gene:B456_013G025000 transcript:KJB78899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREKLRRAALPPVQENIDKLEKAINEGNFYGAQQMYKSISARYVSAERYSEALDLLESGACLQLKHGQVTCGAELAFLFVDTLVKGKIPYNEDILDRVRKIYEVFPKVPLPSNMSDDEDVREFTEALGAAKTRLEGCSSFIRAAIKWSAEFGASRNGDPQLHAMLAEYIYSESTELNMAKVSYHFVRGNNPKKFASTLVNFMSKCYPDEDDIAIARAVLMYLSMGNLRDANCLMNELKRQVESQELDFPESDLVQFITFLLLTLERDALPLFNMLRVNYKSSIDREPAFNEKSFMVYSVEIRFKGCLATYSR >KJB78901 pep chromosome:Graimondii2_0_v6:13:1823444:1829854:-1 gene:B456_013G025000 transcript:KJB78901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREKLRRAALPPVQENIDKLEKAINEGNFYGAQQMYKSISARYVSAERYSEALDLLESGACLQLKHGQVTCGAELAFLFVDTLVKGKIPYNEDILDRVRKIYEVFPKVPLPSNMSDDEDVREFTEALGAAKTRLEGCSSFIRAAIKWSAEFGASRNGDPQLHAMLAEYIYSESTELNMAKVSYHFVRGNNPKKFASTLVNFMSKCYPDEDDIAIARAVLMYLSMGNLRDANCLMNELKRQVESQELDFPESDLVQFITFLLLTLERDALPLFNMLRVNYKSSIDREPAFNELLDEIAEKFYGVQRRNPLQGMFGDLFKVIIDISVHLLFSIIRNSWHKKTIIYRIISENQKHSLGHLVQCNLFAMM >KJB78898 pep chromosome:Graimondii2_0_v6:13:1823546:1829704:-1 gene:B456_013G025000 transcript:KJB78898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREKLRRAALPPVQENIDKLEKAINEGNFYGAQQMYKSISARYVSAERYSEALDLLESGACLQLKHGQVTCGAELAFLFVDTLVKGKIPYNEDILDRVRKIYEVFPKVPLPSNMSDDEDVREFTEALGAAKTRLEGCSSFIRAAIKWSAEFGASRNGDPQLHAMLAEYIYSESTELVGFENMAKVSYHFVRGNNPKKFASTLVNFMSKCYPDEDDIAIARAVLMYLSMGNLRDANCLMNELKRQVESQELDFPESDLVQFITFLLLTLERDALPLFNMLRVNYKSSIDREPAFNELLDEIAEKFYGVQRRNPLQGMFGDLFKMM >KJB82610 pep chromosome:Graimondii2_0_v6:13:51553043:51554589:1 gene:B456_013G204400 transcript:KJB82610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTAHHGVISFHFFVLLLLISPPPSTAQVQPTSPNDEQYSYARFSPSLAIIVVVLIAALFFLGIFSIYIRNCSESNANGSSVNPVNGEAGRSRRGTRGLEASVIETFPTMIYSEVKVHKIGKGALECAVCLNEFEDDETLRLIPKCDHVFHPECIDAWLASHTTCPVCRANLASQPGDSGVSQPTELNEIATEIDLEAPNDSSDSEMEGEERRINNNNVSSDVEGGVALEVEVVDLNKTLNRNRTRGSISSRTRKFFFPRSHSTGHSLVQPGENTDRFTLRLPADVRNQLINRKLNRATSLVLPKEKGSRPGEDGGSSRGKSSIRLNKMDRGVKSDRWLFSMSRSFFSRASSVRSPKVTNDCEGTSSLPAGPTAGSSRPQV >KJB83246 pep chromosome:Graimondii2_0_v6:13:55522153:55524383:-1 gene:B456_013G237200 transcript:KJB83246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKNQVDDSIIPIDIAPSDPDYNRYPVSKHRSCWIHVVGYLRASRKKITKQEAQRLRSLKLTAPCFLDNIPCRARSKRRVTRKKSTPKLKNKLDSGSFECYLETLWSRLPADKRDLFTRLDCQWFAWYRKASYREKVLSWVKRKEIFSTKYVLVPIVCWGHWSLLIFCHFGESLQSKTRTPCMLLLDSLQMSDALRLEADIRRFMFDIYKAEGRPENKQMIYQVPLLVPKVPQQRNGKECGNFVLYFINLFVKSAPENFNIDNYPYFMKNDWFNAEAVERFCERLHALACNFH >KJB83245 pep chromosome:Graimondii2_0_v6:13:55521921:55524539:-1 gene:B456_013G237200 transcript:KJB83245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKNQVDDSIIPIDIAPSDPGYLRASRKKITKQEAQRLRSLKLTAPCFLDNIPCRARSKRRVTRKKSTPKLKNKLDSGSFECYLETLWSRLPADKRDLFTRLDCQWFAWYRKASYREKVLSWVKRKEIFSTKYVLVPIVCWGHWSLLIFCHFGESLQSKTRTPCMLLLDSLQMSDALRLEADIRRFMFDIYKAEGRPENKQMIYQVPLLVPKVPQQRNGKECGNFVLYFINLFVKSAPENFNIDNYPYFMKNDWFNAEAVERFCERLHALACNFH >KJB79226 pep chromosome:Graimondii2_0_v6:13:3090401:3092283:1 gene:B456_013G038700 transcript:KJB79226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVVDPVMVSTSGDVLAGPSILSTFLEELLPMADIITPNLKEASALLNGMQLETVDDMRSAARLLHNMGPKNVLVKGGDLPDSSDAVDILYNGHNFYELRSPRIRTRNTHGTGCSLASSIAAEVAKGYPMLSAVKVAKRFVETALDYSKEIDIGNGPQGPFDHLLRLKSHSQACHRQQPFDPSDLFLYAVTDSRMNKRWGRSIIDAVKAAIDGGATIIQLREKDAETKDFMESAKACIRICRLHGVPLLINDRVDVAIACDADGVHVGQSDMPARVARTLLGPEKIIGVSCKTPEQAEQAWVDGADYIGCGGVYPTNTKENNITVGLNGLKTVCMASKLPVVAIGGIGVSNARAVMEIRTSNLKGVAVVSALFDRECVQAETRKLHEMLLGSNIGST >KJB79224 pep chromosome:Graimondii2_0_v6:13:3088721:3092420:1 gene:B456_013G038700 transcript:KJB79224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKGGSTLSDDSRTKTPHVLTVAGSDSGAGAGIQADLKACAARRVYCSTVITALTAQNTVGVQGVSNVPEDFVAQQLKSVLSDMQVDVKTGMLPSVGIVKTLCKTLREFPVHALVVDPVMVSTSGDVLAGPSILSTFLEELLPMADIITPNLKEASALLNGMQLETVDDMRSAARLLHNMGPKNVLVKGGDLPDSSDAVDILYNGHNFYELRSPRIRTRNTHGTGCSLASSIAAEVAKGYPMLSAVKVAKRFVETALDYSKEIDIGNGPQGPFDHLLRLKSHSQACHRQQPFDPSDLFLYAVTDSRMNKRWGRSIIDAVKAAIDGGATIIQLREKDAETKDFMESAKACIRICRLHGVPLLINDRVDVAIACDADGVHVGQSDMPARVARTLLGPEKIIGVSCKTPEQAEQAWVDGADYIGCGGVYPTNTKENNITVGLNGLKTVCMASKLPVVAIGGIGVSNARAVMEIRTSNLKGVAVVSALFDRECVQAETRKLHEMLLGSNIGST >KJB79225 pep chromosome:Graimondii2_0_v6:13:3088721:3092420:1 gene:B456_013G038700 transcript:KJB79225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKGGSTLSDDSRTKTPHVLTVAGSDSGAGAGIQADLKACAARRVYCSTVITALTAQNTVGVQGVSNVPEDFVAQQLKSVLSDMQVDVVKTGMLPSVGIVKTLCKTLREFPVHALVVDPVMVSTSGDVLAGPSILSTFLEELLPMADIITPNLKEASALLNGMQLETVDDMRSAARLLHNMGPKNVLVKGGDLPDSSDAVDILYNGHNFYELRSPRIRTRNTHGTGCSLASSIAAEVAKGYPMLSAVKVAKRFVETALDYSKEIDIGNGPQGPFDHLLRLKSHSQACHRQQPFDPSDLFLYAVTDSRMNKRWGRSIIDAVKAAIDGGATIIQLREKDAETKDFMESAKACIRICRLHGVPLLINDRVDVAIACDADGVHVGQSDMPARVARTLLGPEKIIGVSCKTPEQAEQAWVDGADYIGCGGVYPTNTKENNITVGLNGLKTVCMASKLPVVAIGGIGVSNARAVMEIRTSNLKGVAVVSALFDRECVQAETRKLHEMLLGSNIGST >KJB79222 pep chromosome:Graimondii2_0_v6:13:3088592:3092657:1 gene:B456_013G038700 transcript:KJB79222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATFLSTLSLANKNYLLLTPTHAYAKGYTNNFRVSNLPSIVVRKEGSVRSQGFVAMQEKGGSTLSDDSRTKTPHVLTVAGSDSGAGAGIQADLKACAARRVYCSTVITALTAQNTVGVQGVSNVPEDFVAQQLKSVLSDMQVDVVKTGMLPSVGIVKTLCKTLREFPVHALVVDPVMVSTSGDVLAGPSILSTFLEELLPMADIITPNLKEASALLNGMQLETVDDMRSAARLLHNMGPKNVLVKGGDLPDSSDAVDILYNGHNFYELRSPRIRTRNTHGTGCSLASSIAAEVAKGYPMLSAVKVAKRFVETALDYSKEIDIGNGPQGPFDHLLRLKSHSQACHRQQPFDPSDLFLYAVTDSRMNKRWGRSIIDAVKAAIDGGATIIQLREKDAETKDFMESAKACIRICRLHGVPLLINDRVDVAIACDADGVHVGQSDMPARVARTLLGPEKIIGVSCKTPEQAEQAWVDGADYIGCGGVYPTNTKENNITVGLNGLKTVCMASKLPVVAIGGIGVSNARAVMEIRTSNLKGVAVVSALFDRECVQAETRKLHEMLLGSNIGST >KJB79223 pep chromosome:Graimondii2_0_v6:13:3088721:3092420:1 gene:B456_013G038700 transcript:KJB79223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKGGSTLSDDSRTKTPHVLTVAGSDSGAGAGIQADLKACAARRVYCSTVITALTAQNTVGVQGVSNVPEDFVAQQLKSVLSDMQVDVVKTGMLPSVGIVKTLCKTLREFPVHALVVDPVMVSTSGDVLAGPSILSTFLEELLPMADIITPNLKEASALLNGMQLETVDDMRSAARLLHNMGPKNVLVKGGDLPDSSDAVDILYNGHNFYELRSPRIRTRNTHGTGCSLASSIAAEVAKGYPMLSAVKVAKRFVETALDYSKEIDIGNGPQGPFDHLLRLKSHSQACHRQQPFDPSDLFLYAVTDSRMNKRWGRSIIDAVKAAIDGGATIIQEKDAETKDFMESAKACIRICRLHGVPLLINDRVDVAIACDADGVHVGQSDMPARVARTLLGPEKIIGVSCKTPEQAEQAWVDGADYIGCGGVYPTNTKENNITVGLNGLKTVCMASKLPVVAIGGIGVSNARAVMEIRTSNLKGVAVVSALFDRECVQAETRKLHEMLLGSNIGST >KJB79292 pep chromosome:Graimondii2_0_v6:13:3613339:3614310:1 gene:B456_013G042500 transcript:KJB79292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTVLEEIAFDGRPPVLRIYKDGRLERLVGTQIDVPPGIDSKTNVEYKDAVYSQETTQYLRLYVPKNATSSSAQKLPLLVYFHDGAFFIGTASSPMYHTYLNSLVAEANVIAVSYALKWLASHYGGNGHEKWLNRHVDFNKVYLSGDSAGANIAHHMAIRIGKEKLDGINLAGIMLIHPYFGGKEPVGDETKDPDKRAKIERFWRLVSPTTSGTDDPWINPFKDQSLASLVCSRVLVCVAEKDVLRHRGWSYCERLKKTGWKGEVEMMESKGEDHVFHLFEPTCDSAMAKLKKVAAFMNQGPW >KJB78957 pep chromosome:Graimondii2_0_v6:13:2245166:2247274:-1 gene:B456_013G029800 transcript:KJB78957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMEEDTTKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFIMFMYSTREMLFKPPTALHLICSKYCIYE >KJB78956 pep chromosome:Graimondii2_0_v6:13:2245432:2246152:-1 gene:B456_013G029800 transcript:KJB78956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMEEDTTKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >KJB81028 pep chromosome:Graimondii2_0_v6:13:32669290:32672538:1 gene:B456_013G125900 transcript:KJB81028 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit 6A homolog A [Source:Projected from Arabidopsis thaliana (AT3G05530) UniProtKB/Swiss-Prot;Acc:Q9SEI2] MATAMVEDSSFEEDQLASMTTEDIVRASRLLDNEIRILKEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >KJB81027 pep chromosome:Graimondii2_0_v6:13:32669153:32672651:1 gene:B456_013G125900 transcript:KJB81027 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit 6A homolog A [Source:Projected from Arabidopsis thaliana (AT3G05530) UniProtKB/Swiss-Prot;Acc:Q9SEI2] MKEQADVKEISPLQIHRLKSNFFKLSFSSTTRELQFGSIKIFICSSFFSILSACILFLHFPTYLSLEIIVVFFLKPSPMATAMVEDSSFEEDQLASMTTEDIVRASRLLDNEIRILKEEMQRTNLELDSYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >KJB83465 pep chromosome:Graimondii2_0_v6:13:56747595:56750477:1 gene:B456_013G249200 transcript:KJB83465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVASSLQIMAARPCLSFSPGVVKAGAAILCSNPKTVLWPKLTNSCNISSLNPFRHGFRSSTVKFIKVVTKAMSESNENRPVSGLPIDLKGKRAFIAGVADDNGYGWAIAKSLAASGAEILVGTWVPALNIFETSLRRGKFNESRLLPDGSLMEITKVYPLDAVFDNLDDVPEDIKTNKRYAGSSKWTVQEVAESVKQDFGSIDILVHSLANGPEVSKPLLETSRKGYLAALSASSYSYVSLLKHFLPLMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKIRVNAISAGPLRSRAAKAIGFIDMMIEYSKANAPLQKELSADEVGNTAAFLASPLASAITGAVIYVDNGLNAMGIGVDSCIFKDLNIPSDKH >KJB83464 pep chromosome:Graimondii2_0_v6:13:56747014:56750477:1 gene:B456_013G249200 transcript:KJB83464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVASSLQIMAARPCLSFSPGVVKAGAAILCSNPKTVLWPKLTNSCNISSLNPFRHGFRSSTVKFIKVVTKAMSESNENRPVSGLPIDLKGKRAFIAGVADDNGYGWAIAKSLAASGAEILVGTWVPALNIFETSLRRGKFNESRLLPDGSLMEITKVYPLDAVFDNLDDVPEDIKTNKRYAGSSKWTVQEVAESVKQDFGSIDILVHSLANGPEVSKPLLETSRKGYLAALSASSYSYVSLLKHFLPLMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKIRVNAISAGPLRSRAAKAIGFIDMMIEYSKANAPLQKELSADEVGNTAAFLASPLASAITGALHI >KJB83466 pep chromosome:Graimondii2_0_v6:13:56747014:56750477:1 gene:B456_013G249200 transcript:KJB83466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVASSLQIMAARPCLSFSPGVVKAGAAILCSNPKTVLWPKLTNSCNISSLNPFRHGFRSSTVKFIKVVTKAMSESNENRPVSGLPIDLKGKRAFIAGVADDNGYGWAIAKSLAASGAEILVGTWVPALNIFETSLRRGKFNESRLLPDGSLMEITKVYPLDAVFDNLDDVPEDIKTNKRYAGSSKWTVQEVAESVKQDFGSIDILVHSLANGPEVSKPLLETSRKGYLAALSASSYSYVSLLKHFLPLMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKIRVNAISAGPLRSRAAKAIGFIDMMIEYSKANAPLQKELSADEVGNTAAFLASPLASAITGAVIYVDNGLNAMGIGVDSCIFKDLNIPSDKH >KJB82879 pep chromosome:Graimondii2_0_v6:13:53993174:53998135:-1 gene:B456_013G220000 transcript:KJB82879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MTIPLLTLSHQQPFWKFRTHRVIANTTESTRNLCKSTLYLFLALATPFIAYRCFNSFTMFWSSSSSSSQPATKIDAESLRRQRINSSKLYQPDASSKVPVIYSSYYDISFLGIEKLHPFDSSKWGRICRFLMSEGYLEKKSIVDPLEASRDDLLVVHTEAYLNSLNNSVNVARITEVPPVAMLPNWLVQMKVLYPFRRQVGGTVLAAKLAMDRGWAINVGGGFHHCSGGRGGGFCAYADISLCIHFAFVRLNISRVMIIDLDAHQGNGHEMDFGNDERVYILDMYNPGIYPFDMTARNYINQKVEVVTGTATVDYLDQLDKALAVAGSNFAPELIVYNAGTDILDGDPLGGLKNCPFNDGPGEWRAA >KJB82875 pep chromosome:Graimondii2_0_v6:13:53995177:53997943:-1 gene:B456_013G220000 transcript:KJB82875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MTIPLLTLSHQQPFWKFRTHRVIANTTESTRNLCKSTLYLFLALATPFIAYRCFNSFTMFWSSSSSSSQPATKIDAESLRRQRINSSKLYQPDASSKVPVIYSSYYDISFLGIEKLHPFDSSKWGRICRFLMSEGYLEKKSIVDPLEASRDDLLVVHTEAYLNSLNNSVNVARITEVPPVAMLPNWLVQMKVLYPFRRQVGGTVLAAKLAMDRGWAINVGGGFHHCSGGRGGGFCAYADISLCIHFAFVRLNISRVMIIDLDAHQGNGHEMDFGNDERVYILDMYNPGIYPFDMTARNYINQKVEVVTGTATVDYLDQLDKALALLVTNAR >KJB82878 pep chromosome:Graimondii2_0_v6:13:53993174:53998112:-1 gene:B456_013G220000 transcript:KJB82878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MTIPLLTLSHQQPFWKFRTHRVIANTTESTRNLCKSTLYLFLALATPFIAYRCFNSFTMFWSSSSSSSQPATKIDAESLRRQRINSSKLYQPDASSKVPVIYSSYYDISFLGIEKLHPFDSSKWGRICRFLMSEGYLEKKSIVDPLEASRDDLLVVHTEAYLNSLNNSVNVARITEVPPVAMLPNWLVQMKVLYPFRRQVGGTVLAAKLAMDRGWAINVGGGFHHCSGGRGGGFCAYADISLCIHFAFVRLNISRVMIIDLDAHQGNGHEMDFGNDERVYILDMYNPGIYPFDMTARNYINQKVEVVTGTATVDYLDQLDKALALLVTNAR >KJB82880 pep chromosome:Graimondii2_0_v6:13:53994391:53998026:-1 gene:B456_013G220000 transcript:KJB82880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MTIPLLTLSHQQPFWKFRTHRVIANTTESTRNLCKSTLYLFLALATPFIAYRCFNSFTMFWSSSSSSSQPATKIDAESLRRQRINSSKLYQPDASSKVPVIYSSYYDISFLGIEKLHPFDSSKWGRICRFLMSEGYLEKKSIVDPLEASRDDLLVVHTEAYLNSLNNSVNVARITEVPPVAMLPNWLVQMKVLYPFRRQVGGTVLAAKLAMDRGWAINVGGGFHHCSGGRGGGFCAYADISLCIHFAFVRLNISRVMIIDLDAHQGNGHEMDFGNDERVYILDMYNPGIYPFDMTARNYINQKVEVVTGTATVDYLDQLDKALAVAGSNFAPELIVYNAGTDILDGDPLGGLKVNSIIGSICLIVCFLFFFSQYFSSSMRSKADLH >KJB82874 pep chromosome:Graimondii2_0_v6:13:53993141:53998026:-1 gene:B456_013G220000 transcript:KJB82874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MTIPLLTLSHQQPFWKFRTHRVIANTTESTRNLCKSTLYLFLALATPFIAYRCFNSFTMFWSSSSSSSQPATKIDAESLRRQRINSSKLYQPDASSKVPVIYSSYYDISFLGIEKLHPFDSSKWGRICRFLMSEGYLEKKSIVDPLEASRDDLLVVHTEAYLNSLNNSVNVARITEVPPVAMLPNWLVQMKVLYPFRRQVGGTVLAAKLAMDRGWAINVGGGFHHCSGGRGGGFCAYADISLCIHFAFVRLNISRVMIIDLDAHQGNGHEMDFERVYILDMYNPGIYPFDMTARNYINQKVEVVTGTATVDYLDQLDKALAVAGSNFAPELIVYNAGTDILDGDPLGGLKVSPEGVIKRDEKVFRFARDRKIPIVMVTSGGYMKTSARVIADSIINLSNEGLIETNVHSGRKIMI >KJB82877 pep chromosome:Graimondii2_0_v6:13:53993141:53998135:-1 gene:B456_013G220000 transcript:KJB82877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MTIPLLTLSHQQPFWKFRTHRVIANTTESTRNLCKSTLYLFLALATPFIAYRCFNSFTMFWSSSSSSSQPATKIDAESLRRQRINSSKLYQPDASSKVPVIYSSYYDISFLGIEKLHPFDSSKWGRICRFLMSEGYLEKKSIVDPLEASRDDLLVVHTEAYLNSLNNSVNVARITEVPPVAMLPNWLVQMKVLYPFRRQVGGTVLAAKLAMDRGWAINVGGGFHHCSGGRGGGFCAYADISLCIHFAFVRLNISRVMIIDLDAHQGNGHEMDFGNDERVYILDMYNPGIYPFDMTARNYINQKVEVVTGTATVDYLDQLDKALAVAGSNFAPELIVYNAGTDILDGDPLGGLKVSPEGVIKRDEKVFRFARDRKIPIVMVTSGGYMKTSARVIADSIINLSNEGLIETNVHSGRKIMI >KJB82876 pep chromosome:Graimondii2_0_v6:13:53993141:53998026:-1 gene:B456_013G220000 transcript:KJB82876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MTIPLLTLSHQQPFWKFRTHRVIANTTESTRNLCKSTLYLFLALATPFIAYRCFNSFTMFWSSSSSSSQPATKIDAESLRRQRINSSKLYQPDASSKVPVIYSSYYDISFLGIEKLHPFDSSKWGRICRFLMSEGYLEKKSIVDPLEASRDDLLVVPPVAMLPNWLVQMKVLYPFRRQVGGTVLAAKLAMDRGWAINVGGGFHHCSGGRGGGFCAYADISLCIHFAFVRLNISRVMIIDLDAHQGNGHEMDFGNDERVYILDMYNPGIYPFDMTARNYINQKVEVVTGTATVDYLDQLDKALAVAGSNFAPELIVYNAGTDILDGDPLGGLKVSPEGVIKRDEKVFRFARDRKIPIVMVTSGGYMKTSARVIADSIINLSNEGLIETNVHSGRKIMI >KJB83564 pep chromosome:Graimondii2_0_v6:13:57037270:57040742:-1 gene:B456_013G253000 transcript:KJB83564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGADVEAVDFEPEDDDLMDEDAAAGDASPQASMPKLKSAITGGASVSLSGPKKTKGRGFRQDDADRHSHLASRDFDSIGSDGGPGPQRSIEGWIILVTGVHEEAQEDDLHNTFGEFGEIKNLHLNLDRRTGFVKGYALIEYEKFEEAKNAISAMDGAELLTQTMNVDWAFSNGPSVGASKRKNMSWTDTSLAKS >KJB83563 pep chromosome:Graimondii2_0_v6:13:57037264:57040810:-1 gene:B456_013G253000 transcript:KJB83563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGADVEAVDFEPEDDDLMDEDAAAGDASPQASMPKLKSAITGGASVSLSGPKKTKGRGFRQDDADRHSHLASRDFDSIGSDGGPGPQRSIEGWIILVTGVHEEAQEDDLHNTFGEFGEIKNLHLNLDRRTGFVKGYALIEYEKFEEAKNAISAMDGAELLTQTMNVDWAFSNGPSVGASKRKNMRAGRTHRSRSPRRRY >KJB78841 pep chromosome:Graimondii2_0_v6:13:1601601:1602968:-1 gene:B456_013G022400 transcript:KJB78841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRIDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFEQELETSKTNSSVEKTYELPDGQIITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMTKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KJB78842 pep chromosome:Graimondii2_0_v6:13:1601466:1603126:-1 gene:B456_013G022400 transcript:KJB78842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRIDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFEQELETSKTNSSVEKTYELPDGQIITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMTKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KJB78843 pep chromosome:Graimondii2_0_v6:13:1601299:1603168:-1 gene:B456_013G022400 transcript:KJB78843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRIDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFEQELETSKTNSSVEKTYELPDGQIITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMTKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KJB83180 pep chromosome:Graimondii2_0_v6:13:55231328:55233248:1 gene:B456_013G233800 transcript:KJB83180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEITQGVNNINLASDSHKKNRIQVSNTKKPLFFYVNLAKRYMQQYNEVELSALGMAIATVVTIAEILKNNGLAVEKKITTTTVDMKEDSRGRPVQKAKIEILLGKTENFDELMAAAAEERDGVVVEEEQQT >KJB81663 pep chromosome:Graimondii2_0_v6:13:42990745:42991924:1 gene:B456_013G155700 transcript:KJB81663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLRRLPKARDPVIERSSSHPKSDDLLPTTLSFQDSTYVGEGLTTIPQLESLTTPLIIYTSILLNLTFSVVRSSFEDTPPSSSTIVVVIQYVFLIEINLSRN >KJB83511 pep chromosome:Graimondii2_0_v6:13:56881058:56884423:1 gene:B456_013G251100 transcript:KJB83511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKPNNGSTITTTNNKLRKGLWSPEEDDKLINYMLTNGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAISPEEEELIVQLHSILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNSSPNTIGSSTSNFNKDSNPVGFITMEQQGVLLPTYIDLSSTSSNSSLQSTVTNPGTAFGATVGYFATNVNCMYGENEMLCGEELYMPPLETVRENLKIENTFESDITTTTTTNNNNNVDCSMKSENVMTGAAVGNFWLGEEIKVGDWDLEDLMKDVSSFPFLDFQS >KJB79266 pep chromosome:Graimondii2_0_v6:13:3290681:3293453:1 gene:B456_013G040600 transcript:KJB79266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVPPELSLDFRPNFVPKTITNFLREVSLIGNVSDKVSKLDTFVKGLEEEMKKIDAFKRELPLCMLLLNDAILVLKEESTQCMARNVEPVLEEFIPLKNKEEDNHSEEDGSLITTKKEEDCNNDCNNNMDKKNWMSSVQLWNIDDDYCSSDHKLDTKRNDEDPCKSRGGGGRGFMPFKANLGFAVRKEEKQEIPVHGLTLSTPGINNLKEESSSTGSRSSCSRAVPFSATNARSSFQSAPPVGPSNHLQQHQQQQTGRKQRRCWSPELHRRFVNALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRLPATTTTTTPANQSGLVLGGGHAWMCQDQFGESSKGSSSQSGSPQGPLQLATNTGGTSTTGCESMEDDEDAKSECYSWKNHVQKPRKNNV >KJB79263 pep chromosome:Graimondii2_0_v6:13:3290681:3293380:1 gene:B456_013G040600 transcript:KJB79263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVPPELSLDFRPNFVPKTITNFLREVSLIGNVSDKVSKLDTFVKGLEEEMKKIDAFKRELPLCMLLLNDAILVLKEESTQCMARNVEPVLEEFIPLKNKEEDNHSEEDGSLITTKKEEDCNNDCNNNMDKKNWMSSVQLWNIDDDYCSSDHKLDTKRNDEDPCKSRGGGGRGFMPFKANLGFAVRKEEKQEIPVHGLTLSTPGINNLKEESSSTGSRSSCSRAVPFSATNARSSFQSAPPVGPSNHLQQHQQQQTGRKQRRCWSPELHRRFVNALQQLGGSQGEEQMAT >KJB79265 pep chromosome:Graimondii2_0_v6:13:3290681:3293380:1 gene:B456_013G040600 transcript:KJB79265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNVEPVLEEFIPLKNKEEDNHSEEDGSLITTKKEEDCNNDCNNNMDKKNWMSSVQLWNIDDDYCSSDHKLDTKRNDEDPCKSRGGGGRGFMPFKANLGFAVRKEEKQEIPVHGLTLSTPGINNLKEESSSTGSRSSCSRAVPFSATNARSSFQSAPPVGPSNHLQQHQQQQTGRKQRRCWSPELHRRFVNALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRLPATTTTTTPANQSGLVLGGGHAWMCQDQFGESSKGSSSQSGSPQGPLQLATNTGGTSTTGCESMEDDEDAKSECYSWKNHVQKPRKNNV >KJB79264 pep chromosome:Graimondii2_0_v6:13:3290681:3293380:1 gene:B456_013G040600 transcript:KJB79264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVPPELSLDFRPNFVPKTITNFLREVSLIGNVSDKVSKLDTFVKGLEEEMKKIDAFKRELPLCMLLLNDAILVLKEESTQCMARNVEPVLEEFIPLKNKEEDNHSEEDGSLITTKKEEDCNNDCNNNMDKKNWMSSVQLWNIDDDYCSSDHKLDTKRNDEDPCKSRGGGGRGFMPFKANLGFAVRKEEKQEIPVHGLTLSTPGINNLKEESSSTGSRSSCSRAVPFSATNARSSFQSAPPVGPSNHLQQHQQQQTGRKQRRCWSPELHRRFVNALQQLGGSQGEEQMAT >KJB79399 pep chromosome:Graimondii2_0_v6:13:4188175:4188630:1 gene:B456_013G047400 transcript:KJB79399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIYKEWRLKRIEQTWDLFHQKLNKDESGKAYLPAIYNLIQEEYMKELFHDTLGFGIAKMIRRIGGVDHVEDFESIREGSIRADSEAKALELANSHLKEKQQFLAIGEVISPIMQVQS >KJB81644 pep chromosome:Graimondii2_0_v6:13:42593552:42596713:1 gene:B456_013G154500 transcript:KJB81644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSAPAERIKNLRRPRRRFRKHRRKVSRSITDGTKKRNGDARVTDIAVSEYLHMENGATTTRRRSEVSSSTFNFTQFQWHLSQIDTNVCTDKGKI >KJB81645 pep chromosome:Graimondii2_0_v6:13:42593552:42596713:1 gene:B456_013G154500 transcript:KJB81645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSAPAERIKNLRRPRRRFRKHRRKVSRSITDGTKKRNGDARVTDIAVSEYLHMENGATTTRRRSEVSSSTFNFTQFQWHLSQIDTNACQEDLWFDSVSILESDSDDDFLSIHGVCTDKGKI >KJB81330 pep chromosome:Graimondii2_0_v6:13:37776777:37782183:-1 gene:B456_013G140400 transcript:KJB81330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKTRKKHVDDTPTSSSSPSTSDDSKSSLERRHRHRKVRRRKDESSRREKERKREKRKRKEKERERKRRKSRREDRREKRRDYGSDSKSGSDSSSGSDSEGDKRRVEPEVVVQQILKEFPNVGNDLKQLLQMIDDGQAVDIKGISERSLNKHLKKLFLSLKLKENGDRVFLLSSKSRPTLGVVGHLIQAHTEPKEQQLTNSGSVKDAHSIPEHAESSQVMDENNSDRDDSATPKRRVIGPAMPSAELLAAAAKLTEAQAELREAEIEEDDELFIGPPPPALVAEAESANEAERFEEVTRIMGVGSDCPYDVIGANRNTTADNIKKKYWKLSLMVHPDKCPHPQAHQAFIILNKAFKELQDPDKRKALDEKIKLKEEQEEFKAELRAMREAAQWRRLQGISMEGDDELLAEVEVKAPPKRDEWMTTLPPERKVRHFGLQCSIFA >KJB81332 pep chromosome:Graimondii2_0_v6:13:37776432:37782183:-1 gene:B456_013G140400 transcript:KJB81332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKTRKKHVDDTPTSSSSPSTSDDSKSSLERRHRHRKVRRRKDESSRREKERKREKRKRKEKERERKRRKSRREDRREKRRDYGSDSKSGSDSSSGSDSEGDKRRVEPEVVVQQILKEFPNVGNDLKQLLQMIDDGQAVDIKGISERSLNKHLKKLFLSLKLKENGDRVFLLSSKSRPTLGVVGHLIQAHTEPKEQQLTNSGSVKDAHSIPEHAESSQVMDENNSDRDDSATPKRRVIGPAMPSAELLAAAAKLTEAQAELREAEIEEDDELFIGPPPPALVAEAESANEAERFEEVTRIMGVGSDCPYDVIGANRNTTADNIKKKYWKLSLMVHPDKCPHPQAHQAFIILNKAFKELQDPDKRKALDEKIKLKEEQEEFKAELRAMREAAQWRRLQGISMEGDDELLAEVEVKAPPKRDEWMTTLPPERKPGVTMQSTKFSKSTKEGRGDTSVWTDTPLERAQKAKMQ >KJB81328 pep chromosome:Graimondii2_0_v6:13:37775701:37782294:-1 gene:B456_013G140400 transcript:KJB81328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKTRKKHVDDTPTSSSSPSTSDDSKSSLERRHRHRKVRRRKDESSRREKERKREKRKRKEKERERKRRKSRREDRREKRRDYGSDSKSGSDSSSGSDSEGDKRRVEPEVVVQQILKEFPNVGNDLKQLLQMIDDGQAVDIKGISERSLNKHLKKLFLSLKLKENGDRVFLLSSKSRPTLGVVGHLIQAHTEPKEQQLTNSGSVKDAHSIPEHAESSQVMDENNSDRDDSATPKRRVIGPAMPSAELLAAAAKLTEAQAELREAEIEEDDELFIGPPPPALVAEAESANEAERFEEVTRIMGVGSDCPYDVIGANRNTTADNIKKKYWKLSLMVHPDKCPHPQAHQAFIILNKAFKELQDPDKRKALDEKIKLKEEQEEFKAELRAMREAAQWRRLQGISMEGDDELLAEVEVKAPPKRDEWMTTLPPERKPGVTMQSTKFSKSTKEGRGDTSVWTDTPLERAQKAKMHYLEAYNEAAALASNEEEKTKRSFLDADLVDKYNKEKRSKSLVQKHQEEASKRPKKKSKQQSEKEKDEWEGKHPWKPWDREKDLTAGRQSVNLDTENMVKGLTSRFSTGSFQRSFL >KJB81331 pep chromosome:Graimondii2_0_v6:13:37775772:37782252:-1 gene:B456_013G140400 transcript:KJB81331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLQMIDDGQAVDIKGISERSLNKHLKKLFLSLKLKENGDRVFLLSSKSRPTLGVVGHLIQAHTEPKEQQLTNSGSVKDAHSIPEHAESSQVMDENNSDRDDSATPKRRVIGPAMPSAELLAAAAKLTEAQAELREAEIEEDDELFIGPPPPALVAEAESANEAERFEEVTRIMGVGSDCPYDVIGANRNTTADNIKKKYWKLSLMVHPDKCPHPQAHQAFIILNKAFKELQDPDKRKALDEKIKLKEEQEEFKAELRAMREAAQWRRLQGISMEGDDELLAEVEVKAPPKRDEWMTTLPPERKPGVTMQSTKFSKSTKEGRGDTSVWTDTPLERAQKAKMHYLEAYNEAAALASNEEEKTKRSFLDADLVDKYNKEKRSKSLVQKHQEEASKRPKKKSKQQSEKEKDEWEGKHPWKPWDREKDLTAGRQSVNLDTENMVKGLTSRFSTGSFQRSFL >KJB81329 pep chromosome:Graimondii2_0_v6:13:37775772:37780734:-1 gene:B456_013G140400 transcript:KJB81329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAELLAAAAKLTEAQAELREAEIEEDDELFIGPPPPALVAEAESANEAERFEEVTRIMGVGSDCPYDVIGANRNTTADNIKKKYWKLSLMVHPDKCPHPQAHQAFIILNKAFKELQDPDKRKALDEKIKLKEEQEEFKAELRAMREAAQWRRLQGISMEGDDELLAEVEVKAPPKRDEWMTTLPPERKPGVTMQSTKFSKSTKEGRGDTSVWTDTPLERAQKAKMHYLEAYNEAAALASNEEEKTKRSFLDADLVDKYNKEKRSKSLVQKHQEEASKRPKKKSKQQSEKEKDEWEGKHPWKPWDREKDLTAGRQSVNLDTENMVKGLTSRFSTGSFQRSFL >KJB82992 pep chromosome:Graimondii2_0_v6:13:54379735:54381711:-1 gene:B456_013G224000 transcript:KJB82992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKFTWRSIIPRCSKGIEEPEPEAEAEAETKKQDSKQGSFSRLAMIDLSYPSSMFTEDLSTSLAGSNLYVFTLEELKVITQCFSSANFLGEGGFGPVHKGFIDDNLRPGLEAQPVAVKLLDLEGLQGHREWLTEVVFLAQLSHPHLVKLIGYCCEDENRLLVYEYMPRGSLENQLFAKYSVPLPWSTRMKIALGAAKGLAYLHEAEKPVIYRDFKASNILLDSDYSAKLSDFGLAKDGPEGDKTHVSTRVMGTRGYAAPEYIMTGRRSLDKSRSPREQNLAEWARPMLNESRRLARIMDPKLEGQYSETGARKAAALAYQCLSHRAKQRPKMSDVVNILEPLLDYGETSVASFVYTVPTHQKGGSPPKDDTDTKECEAKTELKKENGHHRNRHHHRRSHKSRDGHGHQNKSSSQSSVHSENDTSKQNLENGSNEECNID >KJB82993 pep chromosome:Graimondii2_0_v6:13:54379735:54381715:-1 gene:B456_013G224000 transcript:KJB82993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKFTWRSIIPRCSKGIEEPEPEAEAEAETKKQDSKQGEGGFGPVHKGFIDDNLRPGLEAQPVAVKLLDLEGLQGHREWLTEVVFLAQLSHPHLVKLIGYCCEDENRLLVYEYMPRGSLENQLFAKYSVPLPWSTRMKIALGAAKGLAYLHEAEKPVIYRDFKASNILLDSDYSAKLSDFGLAKDGPEGDKTHVSTRVMGTRGYAAPEYIMTGHLTAMSDVYSFGVVLLELLTGRRSLDKSRSPREQNLAEWARPMLNESRRLARIMDPKLEGQYSETGARKAAALAYQCLSHRAKQRPKMSDVVNILEPLLDYGETSVASFVYTVPTHQKGGSPPKDDTDTKECEAKTELKKENGHHRNRHHHRRSHKSRDGHGHQNKSSSQSSVHSENDTSKQNLENGSNEECNID >KJB82991 pep chromosome:Graimondii2_0_v6:13:54379681:54381829:-1 gene:B456_013G224000 transcript:KJB82991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKFTWRSIIPRCSKGIEEPEPEAEAEAETKKQDSKQGSFSRLAMIDLSYPSSMFTEDLSTSLAGSNLYVFTLEELKVITQCFSSANFLGEGGFGPVHKGFIDDNLRPGLEAQPVAVKLLDLEGLQGHREWLTEVVFLAQLSHPHLVKLIGYCCEDENRLLVYEYMPRGSLENQLFAKYSVPLPWSTRMKIALGAAKGLAYLHEAEKPVIYRDFKASNILLDSDYSAKLSDFGLAKDGPEGDKTHVSTRVMGTRGYAAPEYIMTGHLTAMSDVYSFGVVLLELLTGRRSLDKSRSPREQNLAEWARPMLNESRRLARIMDPKLEGQYSETGARKAAALAYQCLSHRAKQRPKMSDVVNILEPLLDYGETSVASFVYTVPTHQKGGSPPKDDTDTKECEAKTELKKENGHHRNRHHHRRSHKSRDGHGHQNKSSSQSSVHSENDTSKQNLENGSNEECNID >KJB82994 pep chromosome:Graimondii2_0_v6:13:54379888:54380937:-1 gene:B456_013G224000 transcript:KJB82994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPLISEYSVPLPWSTRMKIALGAAKGLAYLHEAEKPVIYRDFKASNILLDSDYSAKLSDFGLAKDGPEGDKTHVSTRVMGTRGYAAPEYIMTGHLTAMSDVYSFGVVLLELLTGRRSLDKSRSPREQNLAEWARPMLNESRRLARIMDPKLEGQYSETGARKAAALAYQCLSHRAKQRPKMSDVVNILEPLLDYGETSVASFVYTVPTHQKGGSPPKDDTDTKECEAKTELKKENGHHRNRHHHRRSHKSRDGHGHQNKSSSQSSVHSENDTSKQNLENGSNEECNID >KJB79905 pep chromosome:Graimondii2_0_v6:13:8325222:8330097:1 gene:B456_013G071300 transcript:KJB79905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVCDFCRGERAVVYCKSDSARLCLSCDGCVHSANLLSCRHVRSLLCEKCNSQSAVVRCLDEKLSLCQDCDGNGNGCSSLGHWREALNSYTDCPSLAEFRRIWSSVLGASSPAVFDVDWPVGASTANDNCFTNCLNQIDLGGSFELAGTKLNELDSCPKLKPCMVSSSLISPNANYIPYSKDGEPVFSEEPNMPKGFSDLRDFKVPDGDDLCEGLNINDVQFSFKTANEIFGGSQGQTRYQFEKVGTDGLIMDKTLPALPSGQKECLSFPSSQVGCSASIMATMIGTSNCMLMNPSCNQNINLGYPVGQVPSNLAPSPSNITVKNSPADFQDCGLPPAFLTGDSHFQNLEASCPQARDKAKIRYNEKKKTRIFGKQIRYASRKARADTRKRVKGRFVKAGEEYDYDPLVARNF >KJB79903 pep chromosome:Graimondii2_0_v6:13:8325222:8330097:1 gene:B456_013G071300 transcript:KJB79903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVCDFCRGERAVVYCKSDSARLCLSCDGCVHSANLLSCRHVRSLLCEKCNSQSAVVRCLDEKLSLCQDCDGNGNGCSSLGHWREALNSYTDCPSLAEFRRIWSSVLGASSPAVFDVDWPVGASTANDNCFTNCLNQIDLGGSFELAGTKLNELDSCPKLKPCMVSSSLISPNANYIPYSKDGEPVFSEEPNMPKGFSDLRDFKVPDGDDLCEGLNINDVQFSFKTANEIFGGSQGQTRYQFEKVGTDGLIMDKTLPALPSGQKECLSFPSSQVGCSASIMATMIGTSNCMLMNPSCNQNINLGYPVGQVPSNLAPSPSNITVKNSPADFQDCGLPPAFLTGDSHFQNLEASCPQARDKAKIRYNEKKKTRIFGKQIRYASRKARADTRKRVKGRFVKAGEEYDYDPLVARNF >KJB79906 pep chromosome:Graimondii2_0_v6:13:8325283:8330097:1 gene:B456_013G071300 transcript:KJB79906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVCDFCRGERAVVYCKSDSARLCLSCDGCVHSANLLSCRHVRSLLCEKCNSQSAVVRCLDEKLSLCQDCDGNGNGCSSLGHWREALNSYTDCPSLAEFRRIWSSVLGASSPAVFDVDWPVGASTANDNCFTNCLNQIDLGGSFELAGTKLNELDSCPKLKPCMVSSSLISPNANYIPYSKDGEPVFSEEPNMPKGFSDLRDFKVPDGDDLCEGLNINDVQFSFKTANEIFGGSQGQTRYQFEKVGTDGLIMDKTLPALPSGQKECLSFPSSQVGCSASIMATMIGTSNCMLMNPSCNQNINLGYPVGQVPSNLAPSPSNITVKNSPADFQDCGLPPAFLTGDSHFQNLEASCPQARDKAKIRYNEKKKTRIFGKQIRYASRKARADTRKRVKGRFVKAGEEYDYDPLVARNF >KJB79902 pep chromosome:Graimondii2_0_v6:13:8325222:8330097:1 gene:B456_013G071300 transcript:KJB79902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVCDFCRGERAVVYCKSDSARLCLSCDGCVHSANLLSCRHVRSLLCEKCNSQSAVVRCLDEKLSLCQDCDGNGNGCSSLGHWREALNSYTDCPSLAEFRRIWSSVLGASSPAVFDVDWPVGASTANDNCFTNCLNQIDLGGSFELAGTKLNELDSCPKLKPCMVSSSLISPNANYIPYSKDGEPVFSEEPNMPKGFSDLRDFKVPDGDDLCEGLNINDVQFSFKTANEIFGGSQGQTRYQFEKVGTDGLIMDKTLPALPSGQKECLSFPSSQVGCSASIMATMIGTSNCMLMNPSCNQNINLGYPVGQVPSNLAPSPSNITVKNSPADFQDCGLPPAFLTGDSHFQNLEASCPQARDKAKIRYNEKKKTRIFGKQIRYASRKARADTRKRVKGRFVKAGEEYDYDPLVARNF >KJB79904 pep chromosome:Graimondii2_0_v6:13:8325222:8330097:1 gene:B456_013G071300 transcript:KJB79904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVCDFCRGERAVVYCKSDSARLCLSCDGCVHSANLLSCRHVRSLLCEKCNSQSAVVRCLDEKLSLCQDCDGNGNGCSSLGHWREALNSYTDCPSLAEFRRIWSSVLGASSPAVFDVDWPVGASTANDNCFTNCLNQIDLGGSFELAGTKLNELDSCPKLKPCMVSSSLISPNANYIPYSKDGEPVFSEEPNMPKGFSDLRDFKVPDGDDLCEGLNINDVQFSFKTANEIFGGSQGQTRYQFEKVGTDGLIMDKTLPALPSGQKECLSFPSSQVGCSASIMATMIGTSNCMLMNPSCNQNINLGYPVGQVPSNLAPSPSNITVKNSPADFQDCGLPPAFLTGDSHFQNLEASCPQARDKAKIRYNEKKKTRIFGKQIRYASRKARADTRKRVKGRFVKAGEEYDYDPLVARNF >KJB81596 pep chromosome:Graimondii2_0_v6:13:41265894:41271906:1 gene:B456_013G151300 transcript:KJB81596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKSKGAKSKNQPPKKKQRNDLFIDKKRPAKKDEVLDDVSDGHSEEEEEAGDLLDGDEQMSDFDDDDVSEISDEDDAPWADDFLQGSDDNEDTHFDSGSSSTSGSGSDSHSDETDIEEKSRAIDEQRAREEEDAQAEMELNIKEESDEFRLPTKEELEAEQQRPPDLANLQMRMKEIVRVLSNFKHMRQEGTTRKDYIDQLKIDLGSYYGYNEFLIRVLVDMFPVVELMELIEAFEKPRPTCIRTNTLRTRRRDLADVLSKRGVELDQLSKWSKVGLVVYNSHVPIGATPEYLAGFYYIQGASSFLPVMALAPQEKERVVDMAAAPGGKTTYVAALMKNSGIIYANEIREARLKSLTANLHRMGVTNTIVCNYDGRELPKVLGQNSVDRVLLDAPCSGTGVITKDPSVKTSKSLDDIQKCAHLQKQLILAAIDMVDANSKSGGYIVYSTCSIMVPENEAVSDYALKKRDVKVVPCGLDFGSPGYIRFREHRFHTSLENTRRFYPHVHNMDGFFVAKLKKMSNAKKNPVNSEDLETVVQTQRPENTPIDKDNTEELKQNLKKQGNKGKM >KJB78798 pep chromosome:Graimondii2_0_v6:13:1367243:1369752:1 gene:B456_013G019600 transcript:KJB78798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVKAEIATKNSKKANLLDHNSLKHILDESVSEIVTSRGYAEDVRMSNVRLFIGTIIIVIALFAQFYKKKFPGNRDFLIGCIYIVFNVILQLFSYRKEKNAIMFTYPPPGSVTSTGLVVSSKLPRFSDLYTLSIVSADPKSISAGRPVEFTKSVTQWFTKDGVLVEGLFWKDVGALIDDYAAVPKKKK >KJB78477 pep chromosome:Graimondii2_0_v6:13:66619:69718:1 gene:B456_013G001100 transcript:KJB78477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEVEVKDEESITKLSTKSRFRYNSPLVQVSLIGLVCFCCPGMFNALSGMGGGGQVNRTATNNANTALYTTFAVFGILGGGIYNILGPHLTLAAGCSTYVLYAGSLLYYNHQPHQGFVIIAGALLGIGAGLLWACEGAIMTSYPPPNRKGTYISLFWSIFNMGGVIGGLVPFILNYHRNEAASVNDATYIGFMCFMSAGTLLSFAILPPNRVVRDDGTRCTDVKYSSVSKEATEVLQLFTNWKMLLIVPAAWASNFFYTYQFNNVNAVLFNLRTRGLNNVFYWGAQMLGSIGIGYILDFSFQSRRMRGFVGISVVALLGTAIWGGGLANQLPYSHDRPQSEKLDFKDSSFAGPFVLYFSYGLLDAMFQSMVYWVIGALADDSQTLSRYSGFYKGVQSAGAAVAWQVDTHKVPFLSQLIVNWSLTTISYPLLVLLVMLAVKDDNKTEDEIVNEAPVPSSNKDINSTAIST >KJB79384 pep chromosome:Graimondii2_0_v6:13:4131663:4133460:1 gene:B456_013G046700 transcript:KJB79384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKNYTSSEKQSPVAMFNTLCYLLLLLLLHFSLSQGLTFPQDISALKAFKASIKPTSIPSWSCLASWDFAAADPCALPRRTHFTCGIICSIDSTRVTQITLDPAGYSGQLTPLLSQLTQLTILDLSDNSFFGSIPSSISSLRSLQTLILKSNSFSGSIPDSVTTLKSLESLDISHNSLSGPLPKTLNLLSGLRRLDLSYNQLTGFLPKLPYNLLELALKGNSLSGYISNSSFDGLTQLEVVELSENSFTGTLGVWFFLLPALQQADLANNSLTRVEISKPSGGNSDLVAIDLGFNHIEGNAPTDFANYPQLSSLTLRYNRLRGAIPLEYSKKKSLRRLFLDGNFLIGRPPSDFFGGDTWVSGSLGNNCLVGCPGSSQLCSPSQKPYEVCKQAYGRKPMA >KJB79512 pep chromosome:Graimondii2_0_v6:13:4975466:4976764:-1 gene:B456_013G053000 transcript:KJB79512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRYTYPVLLCILILMGRWGYAVKDEIFRENETKISHHIKSAEVGLFTMDELLNFHVGQKLPIFFPIRNLSLYPPFFLPPKYQNIIPFIKPAAMFNLFKVPPSSPKGKAFLGTLYSCDSINGETTKICATSLDSMLGFVKKAFGPDVDFKFITTTHPTLTTPVFQNYTVLEPPVEIVSVKKVPCHPFPYFYAIYFCHTDLNYETRAFKLRLVGDGSGDEVEAVIVCHLDSKNLSSDHLVFRMLGVEKGSSYCHVFRQGDILWIQQQTSGGGVAAV >KJB79511 pep chromosome:Graimondii2_0_v6:13:4975454:4976764:-1 gene:B456_013G053000 transcript:KJB79511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRYTYPVLLCILILMQGRWGYAVKDEIFRENETKISHHIKSAEVGLFTMDELLNFHVGQKLPIFFPIRNLSLYPPFFLPPKYQNIIPFIKPAAMFNLFKVPPSSPKGKAFLGTLYSCDSINGETTKICATSLDSMLGFVKKAFGPDVDFKFITTTHPTLTTPVFQNYTVLEPPVEIVSVKKVPCHPFPYFYAIYFCHTDLNYETRAFKLRLVGDGSGDEVEAVIVCHLDSKNLSSDHLVFRMLGVEKGSSYCHVFRQGDILWIQQQTSGGGVAAV >KJB79579 pep chromosome:Graimondii2_0_v6:13:5420234:5425468:-1 gene:B456_013G055300 transcript:KJB79579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPTPSPGNLTFPSHQFPSFKRSFYYPPARFPDSTLCRCSDNSSDNNSVSWRWDSAVVDVIKSAVKRFDSYLNPFRKHPAETGGVSENRRRRRDEEGDWDWDQWKKHFEQVDEQERLLSLLKAQLYEAVCKEDYEDAARLKVAIAAASTNDTVGRTMSHLNKAVVEERFEDAAFLRDTAGTGLVGWWAGLSDDTKDPHGLIIRITAEHGRYVARSFSPRQLTTASAGMPLFEIFLTVNKKGEYKQQAVYLKKRRVFPDPSKVSSKTSGATSRRVPSVTSEDKSDLFVVSTEDDEDGDYEDDDDDDDVAEDGSDVGEGLTSFQNLLQDMIPGVKVKVLKVSAPGKVDQDFISKVIEQIIDEDDEDKDAEVESIELDDELKSENDHERDQFEMDVDSGFIDSDKRGEIAVKVVVGGLVEKLSGSVPALDSVRVPAKLVKKGQLTFSFSIEEDVNQQVSSLKTKGSTDRKPKAQSQRSVDNIMFDLAKFIGSGRDKIPLKVLKDVGELINLTLNQAQNHQPLSGSTTFHRIETSASPDPLNGLYVGAHGLYTSEVIHLRRKFGQWQGDSGTKEPSDLEFYEYVEAEKLTGDPYVPAGQVAFRARVGKRYQLPHKGIIPEEFGVVARYKGQGRLAEPGFRNPRWVDGELVILDGKYIKGGPVVGFVYWAPEYHFLAFFNRLRLQP >KJB79578 pep chromosome:Graimondii2_0_v6:13:5420234:5425468:-1 gene:B456_013G055300 transcript:KJB79578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPTPSPGNLTFPSHQFPSFKRSFYYPPARFPDSTLCRCSDNSSDNNSVSWRWDSAVVDVIKSAVKRFDSYLNPFRKHPAETGGVSENRRRRRDEEGDWDWDQWKKHFEQVDEQERLLSLLKAQLYEAVCKEDYEDAARLKVAIAAASTNDTVGRTMSHLNKAVVEERFEDAAFLRDTAGTGLVGWWAGLSDDTKDPHGLIIRITAEHGRYVARSFSPRQLTTASAGMPLFEIFLTVNKKGEYKQQAVYLKKRRVFPDPSKVSSKTSGATSRRVPSVTSEDKSDLFVVSTEDDEDGDYEDDDDDDDVAEDGSDVGEGLTSFQNLLQDMIPGVKVKVLKVSAPGKVDQDFISKVIEQIIDEDDEDKDAEVESIELDDELKSENDHERDQFEMDVDSGFIDSDKRGEIAVKVVVGGLVEKLSGSVPALDSVRVPAKLVKKGQLTFSFSIEEDVNQQVSSLKTKGSTDRKPKAQSQRSVDNIMFDLAKFIGSGRDKIPLKVLKDVGELINLTLNQAQNHQPLSGSTTFHRIETSASPDPLNGLYVGAHGLYTSEVIHLRRKFGQWQGDSGTKEPSDLEFYEYVEAEKLTGDPYVPAGQVAFRARVGKRYQLPHKGIIPEEFGVVARYKGQGRLAEPGFRNPRWVDGELVILDGKVYLFCLIMFLVQCL >KJB79580 pep chromosome:Graimondii2_0_v6:13:5421899:5425468:-1 gene:B456_013G055300 transcript:KJB79580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPTPSPGNLTFPSHQFPSFKRSFYYPPARFPDSTLCRCSDNSSDNNSVSWRWDSAVVDVIKSAVKRFDSYLNPFRKHPAETGGVSENRRRRRDEEGDWDWDQWKKHFEQVDEQERLLSLLKAQLYEAVCKEDYEDAARLKVAIAAASTNDTVGRTMSHLNKAVVEERFEDAAFLRDTAGTGLVGWWAGLSDDTKDPHGLIIRITAEHGRYVARSFSPRQLTTASAGMPLFEIFLTVNKKGEYKQQAVYLKKRRVFPDPSKVSSKTSGATSRRVPSVTSEDKSDLFVVSTEDDEDGDYEDDDDDDDVAEDGSDVGEGLTSFQNLLQDMIPGVKVKVLKVSAPGKVDQDFISKVIEQIIDEDDEDKDAEVESIELDDELKSENDHERDQFEMDVDSGFIDSDKRGEIAVKVVVGGLVEKLSGSVPALDSVRVPAKLVKKGQLTFSFSIEEDVNQQVSSLKTKGSTDRKPKAQSQRSVDNIMFDLAKFIGSGRDKIPLKVLKDVGELINLTLNQAQNHQPLSGSTTFHRIETSASPDPLNGLYVGAHGLYTSEVIHLRRKFGQWQGDSGTKEPSDLEFYEYVEAEKLTGDPYVPAGQVAFRARVGKRYQLPHKGIIPEEFGVVHFSLHS >KJB79577 pep chromosome:Graimondii2_0_v6:13:5420076:5425862:-1 gene:B456_013G055300 transcript:KJB79577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPTPSPGNLTFPSHQFPSFKRSFYYPPARFPDSTLCRCSDNSSDNNSVSWRWDSAVVDVIKSAVKRFDSYLNPFRKHPAETGGVSENRRRRRDEEGDWDWDQWKKHFEQVDEQERLLSLLKAQLYEAVCKEDYEDAARLKVAIAAASTNDTVGRTMSHLNKAVVEERFEDAAFLRDTAGTGLVGWWAGLSDDTKDPHGLIIRITAEHGRYVARSFSPRQLTTASAGMPLFEIFLTVNKKGEYKQQAVYLKKRRVFPDPSKVSSKTSGATSRRVPSVTSEDKSDLFVVSTEDDEDGDYEDDDDDDDVAEDGSDVGEGLTSFQNLLQDMIPGVKVKVLKVSAPGKVDQDFISKVIEQIIDEDDEDKDAEVESIELDDELKSENDHERDQFEMDVDSGFIDSDKRGEIAVKVVVGGLVEKLSGSVPALDSVRVPAKLVKKGQLTFSFSIEEDVNQQVSSLKTKGSTDRKPKAQSQRSVDNIMFDLAKFIGSGRDKIPLKVLKDVGELINLTLNQAQNHQPLSGSTTFHRIETSASPDPLNGLYVGAHGLYTSEVIHLRRKFGQWQGDSGTKEPSDLEFYEYVEAEKLTGDPYVPAGQVAFRARVGKRYQLPHKGIIPEEFGVVARYKGQGRLAEPGFRNPRWVDGELVILDGKYIKGGPVVGFVYWAPEYHFLAFFNRLRLQP >KJB80237 pep chromosome:Graimondii2_0_v6:13:13415585:13415993:1 gene:B456_013G088100 transcript:KJB80237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKFFPHSPDLHFSPQSLFLLVLLVGDPTVAFIHGLPKHKGVNAIVKQVLNFTNFAPLTLRFQRLG >KJB78639 pep chromosome:Graimondii2_0_v6:13:685461:694546:-1 gene:B456_013G010400 transcript:KJB78639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFMELEAQDGVRMTWNVVPGTKEEAGNCVVPVSAIYTPIKPFPNMLVLPYAPLRCRNCSSVLNPFCVMDFAAKIWICPFCFHRSHFPAHYASVSEENLPAELFPQYTTIEYKSPREAACLQSVFMFVIDTSIIEEEMAFLKSALSQVIGLFPDNSLVGLITFGTLVHLHEIGFSTAPKTYVFKGSKDVSKGQLLEQMGFFLNKPKPLTGVRDGLSSESIARFLLPASECEFAINSVLEELQKDPWPIPADQRASRSTGTALSVAASLLGACFPGSGARIMAFLGGPSTEGPGAIVSKNLSEPIRSHKDLDKDSAPHYHKAIKFYETLAKQLVHQGHVLDLFACAVDQVGVAELKVVVERTGGFVVLAESFGHSVFKDTLRRVFQSGDKGLGLSSNGIFEVNCSKDLKVQGVLGPCASLEKRSPLCSDTIIGQGNTSAWKMCGLDQATSLCLVFDIVRKDIPDATVQSSSSQFYFQFLTFYQHNSGEMRLRVTTLSRRWVAGPGSIQDLISGFDQDAAAAAMARLVSFKMEIEAEFDPIRWLDKALIHICSRFGDYQKDTPSSFSLSPRFSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLVSYSFQSVPEPALLDVTAIAADRILLLDSYFTVVIFHGSTIAQWRKAGYHDQPEHQAFAQLLQAPRDDADAIINERFPVPRLVICDQHGSQVSSCS >KJB78637 pep chromosome:Graimondii2_0_v6:13:684904:693908:-1 gene:B456_013G010400 transcript:KJB78637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFMELEAQDGVRMTWNVVPGTKEEAGNCVVPVSAIYTPIKPFPNMLVLPYAPLRCRNCSSVLNPFCVMDFAAKIWICPFCFHRSHFPAHYASVSEENLPAELFPQYTTIEYKSPREAACLQSVFMFVIDTSIIEEEMAFLKSALSQVIGLFPDNSLVGLITFGTLVHLHEIGFSTAPKTYVFKGSKDVSKGQLLEQMGFFLNKPKPLTGVRDGLSSESIARFLLPASECEFAINSVLEELQKDPWPIPADQRASRSTGTALSVAASLLGACFPGSGARIMAFLGGPSTEGPGAIVSKNLSEPIRSHKDLDKDSAPHYHKAIKFYETLAKQLVHQGHVLDLFACAVDQVGVAELKVVVERTGGFVVLAESFGHSVFKDTLRRVFQSGDKGLGLSSNGIFEVNCSKDLKVQGVLGPCASLEKRSPLCSDTIIGQGNTSAWKMCGLDQATSLCLVFDIVRKDIPDATVQSSSSQFYFQFLTFYQHNSGEMRLRVTTLSRRWVAGPGSIQDLISGFDQDAAAAAMARLVSFKMEIEAEFDPIRWLDKALIHICSRFGDYQKDTPSSFSLSPRFSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLVSYSFQSVPEPALLDVTAIAADRILLLDSYFTVVIFHGSTIAQWRKAGYHDQPEHQAFAQLLQAPRDDADAIINERFPVPRLVICDQHGSQARFLLAKLNPSASCNSDGHGPGGDIIFTDDVSFEVFLDHLQRLAVQ >KJB78640 pep chromosome:Graimondii2_0_v6:13:687091:694546:-1 gene:B456_013G010400 transcript:KJB78640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFMELEAQDGVRMTWNVVPGTKEEAGNCVVPVSAIYTPIKPFPNMLVLPYAPLRCRNCSSVLNPFCVMDFAAKIWICPFCFHRSHFPAHYASVSEENLPAELFPQYTTIEYKSPREAACLQSVFMFVIDTSIIEEEMAFLKSALSQVIGLFPDNSLVGLITFGTLVHLHEIGFSTAPKTYVFKGSKDVSKGQLLEQMGFFLNKPKPLTGVRDGLSSESIARFLLPASECEFAINSVLEELQKDPWPIPADQRASRSTGTALSVAASLLGACFPGSGARIMAFLGGPSTEGPGAIVSKNLSEPIRSHKDLDKDSAPHYHKAIKFYETLAKQLVHQGHVLDLFACAVDQVGVAELKVVVERTGGFVVLAESFGHSVFKDTLRRVFQSGDKGLGLSSNGIFEVNCSKDLKVQGVLGPCASLEKRSPLCSDTIIGQGNTSAWKMCGLDQATSLCLVFDIVRKDIPDATVQSSSSQFYFQFLTFYQHNSGEMRLRVTTLSRRWVAGPGSIQDLISGFDQDAAAAAMARLVSFKMEIEAEFDPIRWLDKALIHICSRFGDYQKDTPSSFSLSPRFSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLVSYSFQSVPEPALLDVTAIAADRILLLDSYFTVVIFHGSTIAQWRKAGYHDQPEHQVTFFLNLEFTG >KJB78638 pep chromosome:Graimondii2_0_v6:13:684726:694546:-1 gene:B456_013G010400 transcript:KJB78638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFMELEAQDGVRMTWNVVPGTKEEAGNCVVPVSAIYTPIKPFPNMLVLPYAPLRCRNCSSVLNPFCVMDFAAKIWICPFCFHRSHFPAHYASVSEENLPAELFPQYTTIEYKSPREAACLQSVFMFVIDTSIIEEEMAFLKSALSQVIGLFPDNSLVGLITFGTLVHLHEIGFSTAPKTYVFKGSKDVSKGQLLEQMGFFLNKPKPLTGVRDGLSSESIARFLLPASECEFAINSVLEELQKDPWPIPADQRASRSTGTALSVAASLLGACFPGSGARIMAFLGGPSTEGPGAIVSKNLSEPIRSHKDLDKDSAPHYHKAIKFYETLAKQLVHQGHVLDLFACAVDQVGVAELKVVVERTGGFVVLAESFGHSVFKDTLRRVFQSGDKGLGLSSNGIFEVNCSKDLKVQGVLGPCASLEKGNTSAWKMCGLDQATSLCLVFDIVRKDIPDATVQSSSSQFYFQFLTFYQHNSGEMRLRVTTLSRRWVAGPGSIQDLISGFDQDAAAAAMARLVSFKMEIEAEFDPIRWLDKALIHICSRFGDYQKDTPSSFSLSPRFSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLVSYSFQSVPEPALLDVTAIAADRILLLDSYFTVVIFHGSTIAQWRKAGYHDQPEHQAFAQLLQAPRDDADAIINERFPVPRLVICDQHGSQARFLLAKLNPSASCNSDGHGPGGDIIFTDDVSFEVFLDHLQRLAVQ >KJB78641 pep chromosome:Graimondii2_0_v6:13:690938:693908:-1 gene:B456_013G010400 transcript:KJB78641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFMELEAQDGVRMTWNVVPGTKEEAGNCVVPVSAIYTPIKPFPNMLVLPYAPLRCRNCSSVLNPFCVMDFAAKIWICPFCFHRSHFPAHYASVSEENLPAELFPQYTTIEYKSPREAACLQSVFMFVIDTSIIEEEMAFLKSALSQVIGLFPDNSLVGLITFGTLVHLHEIGFSTAPKTYVFKGSKDVSKGQLLEQMGFFLNKPKPLTGVRDGLSSESIARFLLPASECEFAINSVLEELQKDPWPIPADQRASRSTGTALSVAASLLGACFPGSGARIMAFLGGPSTEGPGAIVSKNLSEPIRSHKDLDKDSAPHYHKAIKFYETLAKQLVHQGHVLDLFACAVDQVGVAELKVVVERTGGFVVLAESFGHSVFKDTLRRVFQSGDKGLGLSSNGIFEVNCSKDLKVQGVLGPCASLEKRSPLCSDTIIGQGNTSAWKMCGLDQATSLCLVFDIVRKDIPDATVQSSSSQFYFQFLTFYQHNSGEMRLRVTTLSRRWVAGPGSIQVG >KJB78634 pep chromosome:Graimondii2_0_v6:13:684690:694705:-1 gene:B456_013G010400 transcript:KJB78634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFMELEAQDGVRMTWNVVPGTKEEAGNCVVPVSAIYTPIKPFPNMLVLPYAPLRCRNCSSVLNPFCVMDFAAKIWICPFCFHRSHFPAHYASVSEENLPAELFPQYTTIEYKSPREAACLQSVFMFVIDTSIIEEEMAFLKSALSQVIGLFPDNSLVGLITFGTLVHLHEIGFSTAPKTYVFKGSKDVSKGQLLEQMGFFLNKPKPLTGVRDGLSSESIARFLLPASECEFAINSVLEELQKDPWPIPADQRASRSTGTALSVAASLLGACFPGSGARIMAFLGGPSTEGPGAIVSKNLSEPIRSHKDLDKDSAPHYHKAIKFYETLAKQLVHQGHVLDLFACAVDQVGVAELKVVVERTGGFVVLAESFGHSVFKDTLRRVFQSGDKGLGLSSNGIFEVNCSKDLKVQGVLGPCASLEKRSPLCSDTIIGQGNTSAWKMCGLDQATSLCLVFDIVRKDIPDATVQSSSSQFYFQFLTFYQHNSGEMRLRVTTLSRRWVAGPGSIQDLISGFDQDAAAAAMARLVSFKMEIEAEFDPIRWLDKALIHICSRFGDYQKDTPSSFSLSPRFSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLVSYSFQSVPEPALLDVTAIAADRILLLDSYFTVVIFHGSTIAQWRKAGYHDQPEHQAFAQLLQAPRDDADAIINERFPVPRLVICDQHGSQARFLLAKLNPSASCNSDGHGPGGDIIFTDDVSFEVFLDHLQRLAVQ >KJB78635 pep chromosome:Graimondii2_0_v6:13:684904:693908:-1 gene:B456_013G010400 transcript:KJB78635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFMELEAQDGVRMTWNVVPGTKEEAGNCVVPVSAIYTPIKPFPNMLVLPYAPLRCRNCSSVLNPFCVMDFAAKIWICPFCFHRSHFPAHYASVSEENLPAELFPQYTTIEYKSPREAACLQSVFMFVIDTSIIEEEMAFLKSALSQVIGLFPDNSLVGLITFGTLVHLHEIGFSTAPKTYVFKGSKDVSKGQLLEQMGFFLNKPKPLTGVRDGLSSESIARFLLPASECEFAINSVLEELQKDPWPIPADQRASRSTGTALSVAASLLGACFPGSGARIMAFLGGPSTEGPGAIVSKNLSEPIRSHKDLDKDSAPHYHKAIKFYETLAKQLVHQGHVLDLFACAVDQVGVAELKVVVERTGGFVVLAESFGHSVFKDTLRRVFQSGDKGLGLSSNGIFEVNCSKDLKVQGVLGPCASLEKRSPLCSDTIIGQGNTSAWKMCGLDQATSLCLVFDIVRKDIPDATVQSSSSQFYFQFLTFYQHNSGEMRLRVTTLSRRWVAGPGSIQDLISGFDQDAAAAAMARLVSFKMEIEAEFDPIRWLDKALIHICSRFGDYQKDTPSSFSLSPRFSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLVSYSFQSVPEPALLDVTAIAADRILLLDSYFTVVIFHGSTIAQWRKAGYHDQPEHQAFAQLLQAPRDDADAIINERFPVPRLVICDQHGSQARFLLAKLNPSASCNSDGHGPGGDIIFTDDVSFEVFLDHLQRLAVQ >KJB78636 pep chromosome:Graimondii2_0_v6:13:685001:693908:-1 gene:B456_013G010400 transcript:KJB78636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFMELEAQDGVRMTWNVVPGTKEEAGNCVVPVSAIYTPIKPFPNMLVLPYAPLRCRNCSSVLNPFCVMDFAAKIWICPFCFHRSHFPAHYASVSEENLPAELFPQYTTIEYKSPREAACLQSVFMFVIDTSIIEEEMAFLKSALSQVIGLFPDNSLVGLITFGTLVHLHEIGFSTAPKTYVFKGSKDVSKGQLLEQMGFFLNKPKPLTGVRDGLSSESIARFLLPASECEFAINSVLEELQKDPWPIPADQRASRSTGTALSVAASLLGACFPGSGARIMAFLGGPSTEGPGAIVSKNLSEPIRSHKDLDKDSAPHYHKAIKFYETLAKQLVHQGHVLDLFACAVDQVGVAELKVVVERTGGFVVLAESFGHSVFKDTLRRVFQSGDKGLGLSSNGIFEVNCSKDLKVQGVLGPCASLEKRSPLCSDTIIGQGNTSAWKMCGLDQATSLCLVFDIVRKDIPDATVQSSSSQFYFQFLTFYQHNSGEMRLRVTTLSRRWVAGPGSIQDLISGFDQDAAAAAMARLVSFKMEIEAEFDPIRWLDKALIHICSRFGDYQKDTPSSFSLSPRFSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLVSYSFQSVPEPALLDVTAIAADRILLLDSYFTVVIFHGSTIAQWRKAGYHDQPEHQAFAQLLQAPRDDADAIINERFPVPRLVICDQHGSQVSPFSSCEVESFCFM >KJB78642 pep chromosome:Graimondii2_0_v6:13:690600:694705:-1 gene:B456_013G010400 transcript:KJB78642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFMELEAQDGVRMTWNVVPGTKEEAGNCVVPVSAIYTPIKPFPNMLVLPYAPLRCRNCSSVLNPFCVMDFAAKIWICPFCFHRSHFPAHYASVSEENLPAELFPQYTTIEYKSPREAACLQSVFMFVIDTSIIEEEMAFLKSALSQVIGLFPDNSLVGLITFGTLVHLHEIGFSTAPKTYVFKGSKDVSKGQLLEQMGFFLNKPKPLTGVRDGLSSESIARFLLPASECEFAINSVLEELQKDPWPIPADQRASRSTGTALSVAASLLGACFPGSGARIMAFLGGPSTEGPGAIVSKNLSEPIRSHKDLDKDSAPHYHKAIKFYETLAKQLVHQGHVLDLFACAVDQVGVAELKVVVERTGGFVVLAESFGHSVFKDTLRRVFQSGDKGLGLSSNGIFEVNCSKDLKVQGVLGPCASLEKRSPLCSDTIIGQGNTSAWKMCGLDQATSLCLVFDIVRKDIPDATVQSSSSQFYFQFLTFYQHNSGEMRLRVTTLSRRWVAGPGSIQVG >KJB80184 pep chromosome:Graimondii2_0_v6:13:12559071:12559731:1 gene:B456_013G085200 transcript:KJB80184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPCKNSIVNQRVKLILSVVVIYIRHDCQADTYSTTNSLHLRETFVFNLNIQQNESQVNDIIGPTFTRLRINTDSIDYRRISVWVHRSGTNCDVLPLRLKIQASVAEHYHDDEILMRTALAESASEFESRNYGMTKIKKVCIACLEQLKVGAEAYRMPCSHIFHGDCIEKWLKQNHYCPICRFEMPTN >KJB83690 pep chromosome:Graimondii2_0_v6:13:57417656:57420468:-1 gene:B456_013G258800 transcript:KJB83690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARMDLDGRLIKPMTICMIGAGGFIGSHLCEKLMAETPHKVLALDVYNDKIKHLLEPDSLPWAGRIEFHRINIKHDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDSPLRKDPAYYVLKEDTSPCIFGSIEKQRWSYACAKQLIERLIYAEGVENGLDFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVLLMIENPDRANGHIFNVGNPNNEVTVRQLAEMMTQVYTKVSGEPMLQSPSIDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAIKKSMAKPTAF >KJB78915 pep chromosome:Graimondii2_0_v6:13:1837078:1837931:-1 gene:B456_013G025100 transcript:KJB78915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSENQNPNVGIPLFLDSSRKRKRRNGLSVADTLKQWSENSDTKKALKPPAKGSKKGCMKGKGGPQNQNCIYRGVRQRTWGKWVAEIRVPNKGKRLWLGTFPTAFQAALAYDEAAKTMYGEKAILNMPHGSDTTTTTSASSESTTATASDVKAEVREEAKVLAEEDESMKEMDYSWLNGLEFKDDIALNCGANNNISVWDGGWLFNEDDCFSIDELLG >KJB78967 pep chromosome:Graimondii2_0_v6:13:2034625:2034765:-1 gene:B456_013G027200 transcript:KJB78967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKESRQRSSWMEVAPAPIIYPEKPSSSPGLETIFEDVAEEYDDLA >KJB81113 pep chromosome:Graimondii2_0_v6:13:33929034:33930506:-1 gene:B456_013G1298001 transcript:KJB81113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDLVLDTAIRDWVLILLSVVMVLIGVLLRLQALALLSIIVRSRNLRGAANFIPPKSFRSRRVYFSNEENGLLLVPKGQAQNAQAQMFSDPNMATDMMKKNLSMIIPQTLTFAWVNFFFSGFVA >KJB78579 pep chromosome:Graimondii2_0_v6:13:543236:548096:1 gene:B456_013G008100 transcript:KJB78579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPPYDCLANPLGAVRLAFEKAIGSELETPSTHPSAFNGKDWGALELFRHFLFQESGLSQVPILNPKTLRWVQPNSLVRYRGMIQDMLGNEFYAGAYKDGNLWRTNKFMDVSQYPMGSSPDMCIWERRLLYCVPVPGQNSWTEPSSEMEPNWSSQTREKRRRMDDEDNDPMDLVPDDEIKSSPITKKMREDGLPSPSQSRDTKTTSSSSITSTFQSVDKDNLPCLVKIYDSPESELKLNDVFEFIGVLTFDSELAVEKDDNDELSNSFYDDALVHLPPNKVPRLHCLIHRKLAVQDFLPGSPIIQPKPHLVKETREALFRHLTAVLGNDEVAAHFVLLHLLSKVHARVDDVAVGKLSLNLTGLNKESVSVFGTRLSDTFKNLLPFTNCMPLTLEYLNIASLAPKKDYQANRLVPGVLQLPEGSHLMVDETRLESGSLNSTGIENTKLLKNLIEFQKVEYDFQYYKVEMATDVQLLIFSEGKSNIVPADVIVPFQPSCLESTEMPVAEALEGWRWYLATVRSLPHSIGSEIQKVVEDDLVAARQMDRSLGSRDFSRWLTMARLISSSFGETSLSKEHWEMAKEMERLRRERLK >KJB78580 pep chromosome:Graimondii2_0_v6:13:543274:548060:1 gene:B456_013G008100 transcript:KJB78580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPPYDCLANPLGAVRLAFEKAIGSELETPSTHPSAFNGKDWGALELFRHFLFQESGLSQVPILNPKTLRWVQPNSLVRYRGMIQDMLGNEFYAGAYKDGNLWRTNKFMDVSQYPMGSSPDMCIWERRLLYCVPVPGQNSWTEPSSEMEPNWSSQTREKRRRMDDEDNDPMDLVPDDEIKSSPITKKMREDGLPSPSQSRDTKTTSSSSITSTFQSVDKDNLPCLVKIYDSPESELKLNDVFEFIGVLTFDSELAVEKDDNDELSNSFYDDALVHLPPNKVPRLHCLIHRKLAVQDFLPGSPIIQPKPHLVKETREALFRHLTAVLGNDEVHARVDDVAVGKLSLNLTGLNKESVSVFGTRLSDTFKNLLPFTNCMPLTLEYLNIASLAPKKDYQANRLVPGVLQLPEGSHLMVDETRLESGSLNSTGIENTKLLKNLIEFQKVEYDFQYYKVEMATDVQLLIFSEGKSNIVPADVIVPFQPSCLESTEMPVAEALEGWRWYLATVRSLPHSIGSEIQKVVEDDLVAARQMDRSLGSRDFSRWLTMARLISSSFGETSLSKEHWEMAKEMERLRRERLK >KJB78827 pep chromosome:Graimondii2_0_v6:13:1481831:1485404:1 gene:B456_013G021300 transcript:KJB78827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKKRLDYGFNAFSVSNVPRAPRSIRKRDRTKRVVEDSRICAFELLASLAGKLLEEGESSASSNASAGHDHVSIGKDVKLETRYDDKPLKTECVGHVSCDASVVTSDWTAENSDNSKEPKLSENNAILEPTLTKAPPACSVQINDDLKYTIQKCNVGYGSFPGNLDGCSPNFGELCDGISENGVKREVETNNYPTMDPLEVSMTFPAPINSDRDVKLPSRSDSVLNASFSRHRNDIKLGSRDDDEKFSRFNKLSNRFKVSRPATCIEDRRIRKLLTSKYWKAAPKLKDFEDSRADGGIKALQRKRKTYYDKCQYDTLYKRRKFFDRSSIVTSDGRIGSENVSNSPEKVTNENENKCRLVAMSHGACEIASLLTGHQASHKSDDSHVKLSIKSFRIPELYIEVPETATVGSLKRTVMEAVTALLGGRIRVGVLLQGKKVRDDSRTLLQTGISSEDNLGALGFTLEPGPVIAPPPICSEEPLLQLPCGSAPQNLTRSLVVTPALDTGIPDATPDPPLLTNSAKSVDSNYEHVSSQTDMLTDQNLSESRALVPVPAMNVEALAVVPVNQKIRKSELAQRRTRRPFSVSEVEALVQAVEELGTGRWRDVKLRAFENADHRTYVDLKDKWKTLVHTAKISPQQRRGEPVPQELLDRVMAAHAYWSQHQTKQQGKHHPGTLRITDSQTDRIGVAVGIPTITM >KJB78826 pep chromosome:Graimondii2_0_v6:13:1481919:1485404:1 gene:B456_013G021300 transcript:KJB78826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKKRLDYGFNAFSVSNVPRAPRSIRKRDRTKRVVEDSRICAFELLASLAGKLLEEGESSASSNASAGHDHVSIGKDVKLETRYDDKPLKTECVGHVSCDASVVTSDWTAENSDNSKEPKLSENNAILEPTLTKAPPACSVQINDDLKYTIQKCNVGYGSFPGNLDGCSPNFGELCDGISENGVKREVETNNYPTMDPLEVSMTFPAPINSDRDVKLPSRSDSVLNASFSRHRNDIKLGSRDDDEKFSRFNKLSNRFKVSRPATCIEDRRIRKLLTSKYWKAAPKLKDFEDSRADGGIKALQRKRKTYYDKCQYDTLYKRRKFFDRSSIVTSDGRIGSENVSNSPEKVTNENENKCRLVAMSHGACEIASLLTGHQASHKSDDSHVKLSIKSFRIPELYIEVPETATVGSLKRTVMEAVTALLGGRIRVGVLLQGKKVRDDSRTLLQTGISSEDNLGALGFTLEPGPVIAPPPICSEEPLLQLPCGSAPQNLTRSLVVTPALDTGIPDATPDPPLLTNSAKSVDSNYEHVSSQTDMLTDQNLSESRALVPVPAMNVEALAVVPVNQKIRKSELAQRRTRRPFSVSEVEALVQAVEELGTGRWRDVKLRAFENADHRTYVDLKDKWKTLVHTAKISPQQRRGEPVPQELLDRVMAAHAYWSQHQTKQQGKHHPGTLRITDSQTDRIGVAVGIPTITM >KJB78828 pep chromosome:Graimondii2_0_v6:13:1481092:1485404:1 gene:B456_013G021300 transcript:KJB78828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKKRLDYGFNAFSVSNVPRAPRSIRKRDRTKRVVEDSRICAFELLASLAGKLLEEGESSASSNASAGHDHVSIGKDVKLETRYDDKPLKTECVGHVSCDASVVTSDWTAENSDNSKEPKLSENNAILEPTLTKAPPACSVQINDDLKYTIQKCNVGYGSFPGNLDGCSPNFGELCDGISENGVKREVETNNYPTMDPLEVSMTFPAPINSDRDVKLPSRSDSVLNASFSRHRNDIKLGSRDDDEKFSRFNKLSNRFKVSRPATCIEDRRIRKLLTSKYWKAAPKLKDFEDSRADGGIKALQRKRKTYYDKCQYDTLYKRRKFFDRSSIVTSDGRIGSENVSNSPEKVTNENENKCRLVAMSHGACEIASLLTGHQASHKSDDSHVKLSIKSFRIPELYIEVPETATVGSLKRTVMEAVTALLGGRIRVGVLLQGKKVRDDSRTLLQTGISSEDNLGALGFTLEPGPVIAPPPICSEEPLLQLPCGSAPQNLTRSLVVTPALDTGIPDATPDPPLLTNSAKSVDSNYEHVSSQTDMLTDQNLSESRALVPVPAMNVEALAVVPVNQKIRKSELAQRRTRRPFSVSEVEALVQAVEELGTGRWRDVKLRAFENADHRTYVDLKDKWKTLVHTAKISPQQRRGEPVPQELLDRVMAAHAYWSQHQTKQQGKHHPGTLRITDSQTDRIGVAVGIPTITM >KJB78829 pep chromosome:Graimondii2_0_v6:13:1482004:1484696:1 gene:B456_013G021300 transcript:KJB78829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKKRLDYGFNAFSVSNVPRAPRSIRKRDRTKRVVEDSRICAFELLASLAGKLLEEGESSASSNASAGHDHVSIGKDVKLETRYDDKPLKTECVGHVSCDASVVTSDWTAENSDNSKEPKLSENNAILEPTLTKAPPACSVQINDDLKYTIQKCNVGYGSFPGNLDGCSPNFGELCDGISENGVKREVETNNYPTMDPLEVSMTFPAPINSDRDVKLPSRSDSVLNASFSRHRNDIKLGSRDDDEKFSRFNKLSNRFKVSRPATCIEDRRIRKLLTSKYWKAAPKLKDFEDSRADGGIKALQRKRKTYYDKCQYDTLYKRRKFFDRSSIVTSDGRIGSENVSNSPEKVTNENENKCRLVAMSHGACEIASLLTGHQASHKSDDSHVKLSIKSFRIPELYIEVPETATVGSLKRTVMEAVTALLGGRIRVGVLLQGKKVRDDSRTLLQTGISSEDNLGALGFTLEPGPVIAPPPICSEEPLLQLPCGSAPQNLTRSLVVTPALDTGIPDATPDPPLLTNSAKSVDSNYEHVSSQTDMLTDQNLSESRALVPVPAMNVEALAVVPVNQKIRKSELAQRRTRRPFSVSEVEALVQAVEELGTGRYKTNCFFCFDWLIEEDAA >KJB80735 pep chromosome:Graimondii2_0_v6:13:27307023:27308321:-1 gene:B456_013G112800 transcript:KJB80735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVSLFAFVFAIVGLSNLSLIQAQKGGFSVELIHRDSPKSPLYNHLDTTYNRVTNALRRSFNRVHRFKPTSVSTMEAEADVIADSGEYLMNISIGTPAFDIVAIADTGSDLIWTQCKPCSQCFPQNAPLFDPTASSTYKTFSCRTSQCGDVEGTSCSSNGSCQYSVSYGDGSYSNGEVAADTLTLDSTTGSPVVIPNVIMGCGHDNDGSFDENTSGIIGLGGGDSSLISQLGSTIDGKFSYCLLPFSEAGNSSKMNFGSDAIVSGNGVVSTPLTKQSPQTFYFLTLEAISVGTNRINFTDKPFGTDQGNIIIDSGTTLTLLPDDFYSELESAVSSMINATKVNGPEGLNLCYDATIEFAVPDITVHFSGADVKLQPLNTFVLISETVACFTFSPLPNFAIYGNLAQMNFLVGYDTIKQTVSFKSTDCSNN >KJB83327 pep chromosome:Graimondii2_0_v6:13:56054561:56055067:-1 gene:B456_013G241000 transcript:KJB83327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQQGLSYFLVDASEFYSNGGQFLVIASLSKNLLEQSVHLCTFGVQDKAQRWRVPEALLNQMFGIDLDAYEEVSTSSSSSESW >KJB82575 pep chromosome:Graimondii2_0_v6:13:51382896:51385512:1 gene:B456_013G202800 transcript:KJB82575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSGFLATLLLPTFLCVHLFGGFVDGLGVNWGTMATHKLPPETVVQMLKDNGIKKVKLFDADSKTMDALSGSDLEVMVAIPNDQLLAMNSYDRAKQWVKKNITIYNFKGGVNIKYVAVGNEPFLKSYNGSFINTTVPALQNIQNALNDAGVGDTIKATVPLNADVYNSPESNPVPSAGRFRTDISGPMTQMVDFLAKNGAPFTVNIYPFLSLYGNDDFPFNYAFFDGGNPITDNGIKYTNVFDANFDTLVSALKAVGHGDMPIIVGEVGWPTDGDKNGNMANAQRFYNGLLPRLAANTGTPLRPGYIEVYLFGLIDEDAKSVAPGNFERHWGIFRYDGQPKFPLDLSGQNQNQFLIGAKDVKYLQQQWCMFNPNAKDIGKLTDNIKYACTFSDCTALGYGSSCNNLDANGNASYAFNMYYQVQNQKDMACNFQGLAIITTQNISQGACNFIVQIASSSSSFTSVSPLMVGLAIFIVLTSYLLI >KJB83411 pep chromosome:Graimondii2_0_v6:13:56519142:56527254:1 gene:B456_013G246400 transcript:KJB83411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQTRYMTRTNSMVRGKRSLEGDEDQQPEPKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLAPPRLNGRSSPKCLEGPGGGSLQLRFRSRLSLPLYTGGKVEGEQGAAIHIVLVDSNTAHVVTTGPEASMKLDVVVLEGDFSNEDDEDWTQEEFDSHLVKERPGKRPLLTGDLQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGLKVASGYCEGIRVREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLSAEGIFTVEDFLRLVVRDQQKLRNILGSGMSNKMWEALLDHAKTCVLSGKLYVYYTDDSRNSGVVFNNIYELNGLISGEQYFPADSLSDSQKVYVDSLVKKAYDNWNQVIEYDGKSLLNFKQNRWSSARNELQIDELDYTSSVDNQMQLPRVPVPIPTEQVHSGLQVGGYNDNQSAGYSGQSQIMNPNSHNQFGNTQFVPQDQLIDNSQQPQSSKNDTNVVGLALGPPQSSIIGFQNIGSSMQPSNLNPFNDWTNNHDKGVEDFLSEEEIRVRSNEMLENEEMQHLLRLFNMGGNASINMTEDSGYGFPNYIPSPMPNFVDEDRSRSGKAVVGWLKIKAAMRWGFFIRKKAAERRAQIVELEEEEEE >KJB83413 pep chromosome:Graimondii2_0_v6:13:56519054:56526499:1 gene:B456_013G246400 transcript:KJB83413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQTRYMTRTNSMVRGKRSLEGDEDQQPEPKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLAPPRLNGRSSPKCLEGPGGGSLQLRFRSRLSLPLYTGGKVEGEQGAAIHIVLVDSNTAHVVTTGPEASMKLDVVVLEGDFSNEDDEDWTQEEFDSHLVKERPGKRPLLTGDLQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGLKVASGYCEGIRVREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLSAEGIFTVEDFLRLVVRDQQKLRNILGSGMSNKMWEALLDHAKTCVLSGKLYVYYTDDSRNSGVVFNNIYELNGLISGEQYFPADSLSDSQKVYVDSLVKKAYDNWNQVIEYDGKSLLNFKQNRWSSARNELQIDELDYTSSVDNQMQLPRVPVPIPTEQVHSGLQVGGYNDNQSAGYSGQSQIMNPNSHNQFGNTQFVPQDQLIDNSQQPQSSKNDTNVVGLALGPPQSSIIGFQNIGSSMQPSNLNPFNDWTNNHDKGVEDFLSEEEIRVRSNEMLENEEMQHLLRLFNMGGNASINMTEDSGYGFPNYIPSPMPNFVDEDRSRSGKAVVGWLKIKAAMRWGFFIRKKAAERRAQIVELEEEEEE >KJB83412 pep chromosome:Graimondii2_0_v6:13:56519130:56527254:1 gene:B456_013G246400 transcript:KJB83412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQTRYMTRTNSMVRGKRSLEGDEDQQPEPKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLAPPRLNGRSSPKCLEGPGGGSLQLRFRSRLSLPLYTGGKVEGEQGAAIHIVLVDSNTAHVVTTGPEASMKLDVVVLEGDFSNEDDEDWTQEEFDSHLVKERPGKRPLLTGDLQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGLKVASGYCEGIRVREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLSAEGIFTVEDFLRLVVRDQQKLRNILGSGMSNKMWEALLDHAKTCVLSGKLYVYYTDDSRNSGVVFNNIYELNGLISGEQYFPADSLSDSQKVYVDSLVKKAYDNWNQVIEYDGKSLLNFKQNRWSSARNELQIDELDYTSSVDNQMQLPRVPVPIPTEQVHSGLQVGGYNDNQSAGYSGQSQIMNPNSHNQFGNTQFVPQDQLIDNSQQPQSSKNDTNVVGLALGPPQSSIIGFQNIGSSMQPSNLNPFNDWTNNHDKGVEDFLSEEEIRVRSNEMLENEEMQHLLRLFNMGGNASINMTEDSGYGFPNYIPSPMPNFVDEDRSRSGKAVVGWLKIKAAMRWGFFIRKKAAERRAQIVELEEEEEE >KJB81160 pep chromosome:Graimondii2_0_v6:13:34464385:34465382:1 gene:B456_013G131800 transcript:KJB81160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFPALFLASLALVLGASRADPDLLQDVCVADLSSGIKLNGFPCKHPSTIGANDFFFAGLANPNFPNNTLGSLVTAANVEKIPGLNTLGVSMSRIDYAVGGVNPPHTHPRASEIAFVLEGELEVGFFTTSNVLISKRIKKGEIFVFPKGLLHFQKNIGKTRASAITAFDSQFSGTQSIATTLFAASPAIPNDVLSKAFQIHPREVERIKVKLTPKK >KJB81300 pep chromosome:Graimondii2_0_v6:13:36793643:36796600:-1 gene:B456_013G137900 transcript:KJB81300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENKELTPSKYDMNAKWDACIDLTLRRFVYSSLAGAFGGLLFFMTRWASVAFGAGIGIGSAYTDCSSLFGGYSPKLAPPKLDDAPAPKEQVE >KJB81303 pep chromosome:Graimondii2_0_v6:13:36793643:36796624:-1 gene:B456_013G137900 transcript:KJB81303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENKELTPSKYDMNAKWDACIDLTLRRFVYSSLAGAFGGLLFFRSPVTRWASVAFGAGIGIGSAYTDCSSLFGGYSPKLAPPKLDDAPAPKEQVE >KJB81302 pep chromosome:Graimondii2_0_v6:13:36793643:36796600:-1 gene:B456_013G137900 transcript:KJB81302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENKELTPSKYDMNAKWDACIDLTLRRFVYSSLAGAFVVSMLFCTRQRWLLQLYMRLLYIHSCRC >KJB81301 pep chromosome:Graimondii2_0_v6:13:36793643:36796600:-1 gene:B456_013G137900 transcript:KJB81301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENKELTPSKYDMNAKWDACIDLTLRRFVYSSLAGAFGGLLFFRSPVTRWASVAFGAGIGIGSAYTDCSSLFGGYSPKLAPPKLDDAPAPKEQVE >KJB83093 pep chromosome:Graimondii2_0_v6:13:54799697:54802134:-1 gene:B456_013G229100 transcript:KJB83093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFILMVNKQGQTRLAQYYEWLTLEERRALEGEIVRKCLARNEQQCSFVEHRNYKIVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGSIVETSKANILSPIQLMDKAS >KJB83091 pep chromosome:Graimondii2_0_v6:13:54801407:54802006:-1 gene:B456_013G229100 transcript:KJB83091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFILMVNKQGQTRLAQYYEWLTLEERRALEGEIVRKCLARNEQQCSFVEHRNYKIVYRRYASLFFLVGVDNDEVDALMVEILWRRERVRGHRRLVSGSRSKA >KJB83094 pep chromosome:Graimondii2_0_v6:13:54800711:54802006:-1 gene:B456_013G229100 transcript:KJB83094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFILMVNKQGQTRLAQYYEWLTLEERRALEGEIVRKCLARNEQQCSFVEHRNYKIVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVVM >KJB83090 pep chromosome:Graimondii2_0_v6:13:54798678:54802174:-1 gene:B456_013G229100 transcript:KJB83090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFILMVNKQGQTRLAQYYEWLTLEERRALEGEIVRKCLARNEQQCSFVEHRNYKIVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGSIVETSKANILSPIQLMDKAS >KJB83089 pep chromosome:Graimondii2_0_v6:13:54795918:54802182:-1 gene:B456_013G229100 transcript:KJB83089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFILMVNKQGQTRLAQYYEWLTLEERRALEGEIVRKCLARNEQQCSFVEHRNYKIVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGSIVETSKANILSPIQLMDKAS >KJB83092 pep chromosome:Graimondii2_0_v6:13:54799697:54802134:-1 gene:B456_013G229100 transcript:KJB83092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFILMVNKQGQTRLAQYYEWLTLEERRALEGEIVRKCLARNEQQCSFVEHRNYKIVYRRYASLFFLVGVDNDEVDALMVEILWRRERVRGHRRMSLQYWNLYISWLKPWTAILAMCVS >KJB80064 pep chromosome:Graimondii2_0_v6:13:10672072:10674872:1 gene:B456_013G079800 transcript:KJB80064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTAHIPTSNSALIAMIADEDTVVGFLLAGVGNVDLRRKTNYLIVDSKTTDKQIEDAFKEFTTRTDIAIVLISQYVANMIRFLVDSYNKPIPAILEIPSKNHPYDPAHDSILSRVKHLFNAESVASTRH >KJB79811 pep chromosome:Graimondii2_0_v6:13:7769371:7771457:1 gene:B456_013G067700 transcript:KJB79811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQILEQVIGVPMKSTLYRVERTPRRYLPDSAGQYRIPSSVEGSNTFREGKRNFVLKRINNIGKKADTFAHGVREHVRLGPKISETVKGKLSLGARILQVGGVEKIFKQLFSVREGEKLLKACQCHLSTTAGPIAGLLFISSQKVAFCSDRSIKVPSPNGEYLRVHYKVLIPLEKIKGVNESENMKKPSQKYMEIVTVDDFEFWFMGFFNYQKAFKYLQQAISQRLLHDVLQVTF >KJB78460 pep chromosome:Graimondii2_0_v6:13:216914:219521:1 gene:B456_013G002900 transcript:KJB78460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSEPIPTPTVSSSPSLSPNSSISSNSPPSPPLSPPLVVISPCAACKILRRRCADKCVLAPYFPPTEPAKFAIAHRVFGASNIIKFLQELPECQRSDAVSSMVYEAGARIRDPVYGCAGAVFQLQKQVNELQAQLAKAQAQVVNMQLQQANVLALLCMDMDKAPSSPPPNSPQSAVGDTFITNSSYLSFMEDINNDANSGSLWEPLWT >KJB79704 pep chromosome:Graimondii2_0_v6:13:6951373:6962021:-1 gene:B456_013G063000 transcript:KJB79704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLISVCLWLILALHLVLRVTGNAEGDALHALKNNLADPNNVLRSWDPTLDNPCRWFNVTCNNESSVTRVEVGNANLSGKLVPDLGLLTNLQYLALVGNNISGVIPEELGNLTNLVCLNLSINALTGHIPTTLGKLTKLRFLRLNNNSLTGQIPMALTTIDTLQELFNNNLLNCPPPTPLLPVSPTAPTSSGVSGGAVAVIVGVVAIAVFSVSVPVIIYVLWRNRKRQHLSFNRPAKRPEFHLGQLKWFSLRELQEATEFFSEKNVVGSGGSGRVYKGRLDDGSVVAIKRLKQRRTQGGLQQFQTEVEMVSMAVHRNLLRLRGFCLTPTERLLVYPFMLNGSVRSCLRERPESQAPLDWGVRKRIALGAARGLEYLHDHCNPKIIHRDLKAADILLDENFDAVVGGFGLAKLMDYKDTHVITAVRGTIGHIAPEYLSSGRASEKIDVFGYGIMLLELITGQKAVDIARLANDDDVMLLDWVEGLLKERKLETLVDSDLQGNYIEEEVEQLMQVALLSTQSTPMGRPKMGEVVRMVEGDGLAERWEDWQKRKMFHQEFNNTHHPNVKWFIPDSTSRILPDELSGPR >KJB79705 pep chromosome:Graimondii2_0_v6:13:6951190:6962040:-1 gene:B456_013G063000 transcript:KJB79705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLISVCLWLILALHLVLRVTGNAEGDALHALKNNLADPNNVLRSWDPTLDNPCRWFNVTCNNESSVTRVEVGNANLSGKLVPDLGLLTNLQYLALVGNNISGVIPEELGNLTNLVCLNLSINALTGHIPTTLGKLTKLRFLRLNNNSLTGQIPMALTTIDTLQELFNNNLLNCPPPTPLLPVSPTAPTSSGVSGGAVAVIVGVVAIAVFSVSVPVIIYVLWRNRKRQHLSFNRPAKRPEFHLGQLKWFSLRELQEATEFFSEKNVVGSGGSGRVYKGRLDDGSVVAIKRLKQRRTQGGLQQFQTEVEMVSMAVHRNLLRLRGFCLTPTERLLVYPFMLNGSVRSCLRERPESQAPLDWGVRKRIALGAARGLEYLHDHCNPKIIHRDLKAADILLDENFDAVVGGFGLAKLMDYKDTHVITAVRGTIGHIAPEYLSSGRASEKIDVFGYGIMLLELITGQKAVDIARLANDDDVMLLDWKGY >KJB82865 pep chromosome:Graimondii2_0_v6:13:53804725:53809646:-1 gene:B456_013G218100 transcript:KJB82865 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM5 [Source:Projected from Arabidopsis thaliana (AT2G07690) UniProtKB/Swiss-Prot;Acc:O80786] MSGWDEGAVYYSNQAQFTEASSEAEAAAASTTASRHSILLKFKEFIRNFEKEKNVFPYRESLVNNPKFLTVHLEDLLSFDSDLPSLLRSSPSDYLPLFETAAAEVLAGLKMKVAGDSGEMVEPQTGEVQILLTSKEDPVSMRSLGAQYISKLVKISGITIAASRIKAKATYVHLICKNCKSARAVPCRPGLGGAIVPRSCDHVPQPGEEPCPIDPWLIVPDKSKYVDQQTLKLQENPEDVPTGELPRNMLLSVDRHMVQTIVPGSRLTIMGIYSIFQASNSSTNHKGAVAVRQPYIRIVGMEETNEASSRGPATFTQEEVEEFKKFASNQDTYEAICSKVAPSIFGHEDVKKAVACLLFGGARKNLPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDSSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRMYDQDKTIASHIIKVHASAQTVSNDSRTSKEENWLKRYIQYCRSECHPRLSEAACAKLQSDYVDIRRGMRQQANETGESAAIPITVRQLEAIIRLSEALAKMKLSYVATEGDVAEALRLFKVSTMDAARSGINQHINITPDMANEIKQAENQIKRRLGIGNRISERRLIDDLTRMGMNESIVRRAILIMHQRGEVEYQRERHIIVRKV >KJB80415 pep chromosome:Graimondii2_0_v6:13:16192754:16197210:1 gene:B456_013G096100 transcript:KJB80415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLLLLLLHQLLRPRPSLAFENSFIQQLSKAKKSMEKILERYERYSYAERQLVATEPESQGNWSMDYNRLKAKVELLQRNHRHYMGEELESLSLKELQNLEQQLDTALKLIRSKKNQLMYESISELQRKEKAIQEQNTMLAKQIKEREKTVAQQQQQQPQWGQQDHGLNTSSFLLPQPPPCLNIGGTYQEEATEMRRNELDLTLEPIYSCHLGCFAA >KJB80414 pep chromosome:Graimondii2_0_v6:13:16191777:16197210:1 gene:B456_013G096100 transcript:KJB80414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLKRIENKINRQVTFSKRRAGLLKKAHEISILCDAEVALIVFSHKGKLFEYSTDSCMEKILERYERYSYAERQLVATEPESQGNWSMDYNRLKAKVELLQRNHRHYMGEELESLSLKELQNLEQQLDTALKLIRSKKNQLMYESISELQRKEKAIQEQNTMLAKQIKEREKTVAQQQQQQPQWGQQDHGLNTSSFLLPQPPPCLNIGGTYQEEATEMRRNELDLTLEPIYSCHLGCFAA >KJB82053 pep chromosome:Graimondii2_0_v6:13:46148442:46154585:-1 gene:B456_013G173500 transcript:KJB82053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNNNNNGKFEKLASIDAQLRQLVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKNNPKKLEELGNVLTSLDPGDSIVVAKAFSHMLNLANLAEEVQIAHRRRIKLKKGDFVDENNATTESDLEETLKRLVVDLKKSPQEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLAQLYAKDITPDDKQELDEALGREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETVWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSDELRVRADELHRSLRRDAKHYIEFWKQIPPSEPYRVILGDVRDKLYQTRERSRQLLSHGMSEIPEEATFTNIEQFLEPLELCYRSLCSCGDQPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKHLEIGSYREWSEEQKQEWLLSELSGKRPLFGSDLPKTEEIADVLDTFNVLAELPADNFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALARLFSVDWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAIVATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIEKDIKNLQMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDRLLVSPELWSFGERLRTNYEETKCLLLQIAAHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVKFRPHICKPADELVKLNPTSDYAPGLEDTLILTMKGIAAGMQNTG >KJB82054 pep chromosome:Graimondii2_0_v6:13:46148684:46154024:-1 gene:B456_013G173500 transcript:KJB82054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNNNNNGKFEKLASIDAQLRQLVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKNNPKKLEELGNVLTSLDPGDSIVVAKAFSHMLNLANLAEEVQIAHRRRIKLKKGDFVDENNATTESDLEETLKRLVVDLKKSPQEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLAQLYAKDITPDDKQELDEALGREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETVWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSDELRVRADELHRSLRRDAKHYIEFWKQIPPSEPYRVILGDVRDKLYQTRERSRQLLSHGMSEIPEEATFTNIEQFLEPLELCYRSLCSCGDQPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKHLEIGSYREWSEEQKQEWLLSELSGKRPLFGSDLPKTEEIADVLDTFNVLAELPADNFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALARLFSVDWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAIVATEEYRSIVFKEPRFVEYFRLVSDLAL >KJB83278 pep chromosome:Graimondii2_0_v6:13:55703558:55706340:-1 gene:B456_013G239300 transcript:KJB83278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNAKIEVPVIEFCSSDLERGTDGWRHLCKRVREACETYGCFEVVYQRVSKKVREEMFGLVKELVQVPLERKQKNASPLPYHGWVGPCSQVSLLYEGFGLGDASNYDSVKRFAQLMWPDGHPRFCDTVHTLATQMEELNKLIWLMIFESYGLGEKLESLMINYKTLVRMMKYMAPPPGEYESGLFAHTEKPVSTVICEDQVSGLEIEVKDGQWIKLSNLSPSSFVFVVGDPLKAWSNGRLKAVNHRVMMSGDKDRYSIAAFAIPGEGTIIKAPKELIDEQHPQLYKDFNFMDFFRFAFSDRAKNIESGQQLHAFASLSPPISD >KJB78501 pep chromosome:Graimondii2_0_v6:13:203379:204660:-1 gene:B456_013G002600 transcript:KJB78501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQSPNLKLNRAHTDQEIPQNPNSIVAISTFLARKRKSVRKKTKPSSETKEPDQDFMVKPQTKLYNPNDDTPKVCSMPADGDSMVASIAASADPLALFNDSTPKEWLRNVVLPDGWDSMLEKKIVVMEMERKKSDEACGDEKNEVEVQVKKKKKKKKKKKNKEDGDVEPEVKTEKEKEDEVEAGSIDTERKKKKSKESDEAVAAAVDGANDIGADEIETKKNKKKKKSNENVDAIEAIAVAVVDSAIDVGSNEIEKKKKKKEEEQ >KJB78499 pep chromosome:Graimondii2_0_v6:13:203271:204678:-1 gene:B456_013G002600 transcript:KJB78499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQSPNLKLNRAHTDQEIPQNPNSIVAISTFLARKRKSVRKKTKPSSETKEPDQDFMVKPQTKLYNPNDDTPKVCSMPADGDSMVASIAASADPLALFNDSTPKEWLRNVVLPDGWDSMLEKKIVVMEMERKKSDEACGDEKNEVEVQVKKKKKKKKKKKNKEDGDVEPEVKTEKEKEDEVEAGSIDTERKKKKSKESDEAVAAAVDGANDIGADEIETKKNKKKKKSNENVDAIEAIAVAVVDSAIDVGK >KJB78500 pep chromosome:Graimondii2_0_v6:13:203539:204660:-1 gene:B456_013G002600 transcript:KJB78500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQSPNLKLNRAHTDQEIPQNPNSIVAISTFLARKRKSVRKKTKPSSETKEPDQDFMVKPQTKLYNPNDDTPKVCSMPADGDSMVASIAASADPLALFNDSTPKEWLRNVVLPDGWDSMLEKKIVVMEMERKKSDEACGDEKNEVEVQVKKKKKKKKKKKNKEDGDVEPEVKTEKEKEDEVEAGSIDTERKKKKSKESDEAVAAAVDGANDIGADEIETKKNKKKKKSNENVDAIEAIAVAVVDSAIDVGSNEIEKKKKKSNENEDAIEAIAVVDGAIDVGSDEIEKKKKKSNENEDAIEAIAVVDGAIDVESDEIEKKTKKKKKKKKKKNKENEEAIEAIAVVDGAIDAGAEDETEKKKKKKRKRKDAAEE >KJB79243 pep chromosome:Graimondii2_0_v6:13:3119464:3122886:1 gene:B456_013G039200 transcript:KJB79243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-I [Source:Projected from Arabidopsis thaliana (AT1G61010) UniProtKB/Swiss-Prot;Acc:Q9C952] MASTGQPPSLKRRDAPLTKEGDQLTITPLGAGNEVGRSCVYMTYKSKTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFRGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEQDIGRSMDKIEVIDFHQTVEVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAELPQFSPDICVIESTYGVQLHQPRHIREKRFTDVIHSTVSQGGRVLIPAFALGRAQELLLILDEYWSSHPELHNVPIYYASPLAKKCMAVYQTYILSMNERIRTQFANSNPFKFKHISPLNSIEEFSDVGPSVVMASPGSLQSGLSRQLFDKWCSEKKNSCVIPGYVVEGTPAKTIINEPKEVTLMNGLMAPLNMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLITEFTDGNTKIITPKNCQSVEMYFSSEKMAKTIGRLAEKTPDVGETVSGVLVKKGFTYQIMAPDDLHIFSQLSTANITQRITIPFTGAFGVIKHRLEQIYESVESSTDEESGVPTLRVHDSVTVKQDSDRHISLHWTSDPISDMVSDSIVALVLNISREIPKVVVESEAIKTEEENGKKAEKVIHALLVSLFGDVKLGENGKLMVSVDGNVAHLDKQSGDVESENEGLKERVKTAFRRIQSAVKPIPLSSS >KJB81657 pep chromosome:Graimondii2_0_v6:13:42822712:42823564:-1 gene:B456_013G155300 transcript:KJB81657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KATYKKRIKGLKNKMRELSTLCGIDTCAIMYNLYKSQPEVWPSPVVVQQILSKLKTIPEMEKNKNMMNQKTFLSQKITKVMVNNICSKEVIHGLNFGAFSDINILLDKKMSDIDKRIEALSRAPLNPQGLSSLSSSSMVALPSMTMVTPEAMPRTGTENIVQPDVKNMDPMQRQQWIMDLMSNNNNNPQTHVGGDEIMFQFGDNINPNNGLCSNVSFPLN >KJB83385 pep chromosome:Graimondii2_0_v6:13:56381693:56382874:1 gene:B456_013G2447002 transcript:KJB83385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTLISMLVLLAGSMLMVQGGDPTLFFEWNVTYGTIAPLGVPVKGILINGQFPGPNLNSTTNNNIVVNVFNNLDEPFLVTWDGVQHRKNSWQDGVLGTNCPIPPGKNYTYKFQVKDQIGSYMYYPVTAMHKAVGGFGGLRVNSRLLIPVPYADPADDYTLLVGDFFNKGHTGLKKILDSGRNLGRCDGVHLNGKVAKGDGKDEPLFTMEAGKTYKYRICNTGIKTSLNVRFQGHTMKLVEMEGSHTMQNDYDSLDVHVGQCFSVLVTANQEPRDYHVVASTRFTRREVTATGIIRYKNGKGAASSELPPPPVGWAWSLNQFRTFRWNLTSNAARPNPQGSYKYGSINITRTIKLANTAQR >KJB81485 pep chromosome:Graimondii2_0_v6:13:40490214:40493845:-1 gene:B456_013G147500 transcript:KJB81485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGEYSDQRHLLRSNSHKDEQHDKEENPGDLESQVTYHNSNNAGFNDLVKYLGRGFSARRLSFKRLDRDGDRGRERSSPSSLDHHHHPHQHHHQQQHQGHYHSYVGDAADPLGDSAPPEWALLLIGCLLGVASGLFVAAFNGGVHVIHEWAWAGTPNEGAAWLRMQSLADTWHRILLVPVTGGVIVGMMHGLLEILNQIRQSSSSQQQGFSLVAGVFPTVKAIQAAITLGTGCSLGPEGPSVDIGKSCANGFSLMMENNRERKIALVAAGAASGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNAVLGTESAFTVPSYDLKSAAELPLYLILGMLCGVVSVVFARLVSWFTAAFEYIKEKLGLPTVICPALGGLGAGIIALRYPGILYWGFTNVNEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNAAVAQPQAYALVKF >KJB81482 pep chromosome:Graimondii2_0_v6:13:40488012:40493845:-1 gene:B456_013G147500 transcript:KJB81482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGEYSDQRHLLRSNSHKDEQHDKEENPGDLESQVTYHNSNNAGFNDLVKYLGRGFSARRLSFKRLDRDGDRGRERSSPSSLDHHHHPHQHHHQQQHQGHYHSYVGDAADPLGDSAPPEWALLLIGCLLGVASGLFVAAFNGGVHVIHEWAWAGTPNEGAAWLRMQSLADTWHRILLVPVTGGVIVGMMHGLLEILNQIRQSSSSQQQGFSLVAGVFPTVKAIQAAITLGTGCSLGPEGPSVDIGKSCANGFSLMMENNRERKIALVAAGAASGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNAVLGTESAFTVPSYDLKSAAELPLYLILGMLCGVVSVVFARLVSWFTAAFEYIKEKLGLPTVICPALGGLGAGIIALRYPGILYWGFTNVNEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMVSKAMSKKYLKVSMAATVREAMKCMHDNHQKFALVVDEDEFLEGIITLGDIRRCLSKKQPSDISMGDSTADVNPCLVSSVCTKGISFRGQERGLLTCFADTDLAIARELMEASGVKQLPVVNRGGEPHKGRKRRVIAVLHYESIWNCLREEINHRKSVYQHSNRKDNNEEEIINSNGY >KJB81484 pep chromosome:Graimondii2_0_v6:13:40488499:40493584:-1 gene:B456_013G147500 transcript:KJB81484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGEYSDQRHLLRSNSHKDEQHDKEENPGDLESQVTYHNSNNAGFNDLVKYLGRGFSARRLSFKRLDRDGDRGRERSSPSSLDHHHHPHQHHHQQQHQGHYHSYVGDAADPLGDSAPPEWALLLIGCLLGVASGLFVAAFNGGVHVIHEWAWAGTPNEGAAWLRMQSLADTWHRILLVPVTGGVIVGMMHGLLEILNQIRQSSSSQQQGFSLVAGVFPTVKAIQAAITLGTGCSLGPEGPSVDIGKSCANGFSLMMENNRERKIALVAAGAASGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNAVLGTESAFTVPSYDLKSAAELPLYLILGMLCGVVSVVFARLVSWFTAAFEYIKEKLGLPTVICPALGGLGAGIIALRYPGILYWGFTNVNEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVANQNKETDSEMRTSARGYSSVSAAEELSVIEKAADQEALDDDMLLEDLRVSKAMSKKYLKVSMAATVREAMKCMHDNHQKFALVVDEDEFLEGIITLGDIRRCLSKKQPSDISMGDSTADVNPCLVSSVCTKGISFRGQERGLLTCFADTDLAIARELMEASGVKQLPVVNRGGEPHKGRKRRVIAVLHYESIWNCLRFLSIFQSVI >KJB81481 pep chromosome:Graimondii2_0_v6:13:40488671:40493584:-1 gene:B456_013G147500 transcript:KJB81481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGEYSDQRHLLRSNSHKDEQHDKEENPGDLESQVTYHNSNNAGFNDLVKYLGRGFSARRLSFKRLDRDGDRGRERSSPSSLDHHHHPHQHHHQQQHQGHYHSYVGDAADPLGDSAPPEWALLLIGCLLGVASGLFVAAFNGGVHVIHEWAWAGTPNEGAAWLRMQSLADTWHRILLVPVTGGVIVGMMHGLLEILNQIRQSSSSQQQGFSLVAGVFPTVKAIQAAITLGTGCSLGPEGPSVDIGKSCANGFSLMMENNRERKIALVAAGAASGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNAVLGTESAFTVPSYDLKSAAELPLYLILGMLCGVVSVVFARLVSWFTAAFEYIKEKLGLPTVICPALGGLGAGIIALRYPGILYWGFTNVNEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVANQNKETDSEMRTSARGYSSVSAAEELSVIEKAADQEALDDDMLLEDLRVSKAMSKKYLKVSMAATVREAMKCMHDNHQKFALVVDEDEFLEGIITLGDIRRCLSKKQPSDISMGDSTADVCESMSCFVCLHERNKLPWAGARTSNLLCRY >KJB81480 pep chromosome:Graimondii2_0_v6:13:40487969:40493907:-1 gene:B456_013G147500 transcript:KJB81480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGEYSDQRHLLRSNSHKDEQHDKEENPGDLESQVTYHNSNNAGFNDLVKYLGRGFSARRLSFKRLDRDGDRGRERSSPSSLDHHHHPHQHHHQQQHQGHYHSYVGDAADPLGDSAPPEWALLLIGCLLGVASGLFVAAFNGGVHVIHEWAWAGTPNEGAAWLRMQSLADTWHRILLVPVTGGVIVGMMHGLLEILNQIRQSSSSQQQGFSLVAGVFPTVKAIQAAITLGTGCSLGPEGPSVDIGKSCANGFSLMMENNRERKIALVAAGAASGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNAVLGTESAFTVPSYDLKSAAELPLYLILGMLCGVVSVVFARLVSWFTAAFEYIKEKLGLPTVICPALGGLGAGIIALRYPGILYWGFTNVNEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVANQNKETDSEMRTSARGYSSVSAAEELSVIEKAADQEALDDDMLLEDLRVSKAMSKKYLKVSMAATVREAMKCMHDNHQKFALVVDEDEFLEGIITLGDIRRCLSKKQPSDISMGDSTADVNPCLVSSVCTKGISFRGQERGLLTCFADTDLAIARELMEASGVKQLPVVNRGGEPHKGRKRRVIAVLHYESIWNCLREEINHRKSVYQHSNRKDNNEEEIINSNGY >KJB81483 pep chromosome:Graimondii2_0_v6:13:40488012:40493845:-1 gene:B456_013G147500 transcript:KJB81483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGEYSDQRHLLRSNSHKDEQHDKEENPGDLESQVTYHNSNNAGFNDLVKYLGRGFSARRLSFKRLDRDGDRGRERSSPSSLDHHHHPHQHHHQQQHQGHYHSYVGDAADPLGDSAPPEWALLLIGCLLGVASGLFVAAFNGGVHVIHEWAWAGTPNEGAAWLRMQSLADTWHRILLVPVTGGVIVGMMHGLLEILNQIRQSSSSQQQGFSLVAGVFPTVKAIQAAITLGTGCSLGPEGPSVDIGKSCANGFSLMMENNRERKIALVAAGAASGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNAVLGTESAFTVPSYDLKSAAELPLYLILGMLCGVVSVVFARLVSWFTAAFEYIKEKLGLPTVICPALGGLGAGIIALRYPGILYWGFTNVNEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVANQNKETDSEMRTSARGYSSVSAAEELSVIEKAADQEALDDDMLLEDLRVSKAMSKKYLKVSMAATVREAMKCMHDNHQKFALVVDEDEFLEGIITLVISPWVIQQLT >KJB80273 pep chromosome:Graimondii2_0_v6:13:14206545:14212001:1 gene:B456_013G089600 transcript:KJB80273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPPPLLKIDLWAIRSGYSRIIKAHSRHFLALSLLFLLPFSFFLSIYPFIAIESYLSFLQQDPSIFPTKIFILNLLYTIPISIFSLLATGSITYSIFHGFYGRPIKLLSAIKAAFTSFFPLFSTCLVTQLIVSGISLILGLAFSAVVILGFQVHYPSPYFIFLCLVYVIILLFIVVHLQVNWIFAYVVVVVESSWGFEPLKRSRNLVKGMKGVALKIILLFGFVISINTWRSVMTYRDSAAHKWTIFYIYATAVHGELAEDEEFAVKNSSLAVEDAKVPRVVSMV >KJB80274 pep chromosome:Graimondii2_0_v6:13:14206668:14211923:1 gene:B456_013G089600 transcript:KJB80274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPPPLLKIDLWAIRSGYSRIIKAHSRHFLALSLLFLLPFSFFLSIYPFIAIESYLSFLQQDPSIFPTKIFILNLLYTIPISIFSLLATGSITYSIFHGFYGRPIKLLSAIKAAFTSFFPLFSTCLVTQLIVSGISLILGLAFSAVVILGFQVHYPSPYFIFLCLVYVIILLFIVVHLQVNWIFAYVVVVVESSWGFEPLKRSRNLVKGMKGVALKIILLFGFVISINTWRSVMTYRDSAAHKWTSWVFVLNMDVTSTFSMMLMFCNLAANTVFYIYATAVHGELAEDEEFAVKNSSLAVEDAKVPRVVSMV >KJB80675 pep chromosome:Graimondii2_0_v6:13:24902531:24902880:-1 gene:B456_013G109900 transcript:KJB80675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFSLGLVLVYISLFYILSNSHFIATAQLLIYVGAINVLILFAMMFMNGSEYYKDFNLWTIGNGLTSLVCTSILVSLITTILDTSCYGIIWTRRSNQIIE >KJB78459 pep chromosome:Graimondii2_0_v6:13:491994:493647:-1 gene:B456_013G007100 transcript:KJB78459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTTLLSPCNSVLVRGFPIRENESSSRPLFLSVRDRFLNGNKRLSRGGLLVQLNATEGSADSSKSEESIPSWAKPDSDEPPPWAQGEGKSSTAQQSFEVPFFVYLLASAVTAIAAVGSIFEYINQRPVFGVLNSDSIFYAPLLGFFAFTGIPTSAFLWFKSVQAANKEAEEQDRRDGFL >KJB78455 pep chromosome:Graimondii2_0_v6:13:491848:493868:-1 gene:B456_013G007100 transcript:KJB78455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTTLLSPCNSVLVRGFPIRENESSSRPLFLSVRDRFLNGNKRLSRGGLLVQLNATEGSADSSKSEESIPSWAKPDSDEPPPWAQGEGKSSTAQQSFEVPFFVYLLASAVTAIAAVGSIFEYINQRPVFGVLNSDSIFYAPLLGFFAFTGIPTSAFLWFKSVQAANKEAEEQDRRDGFL >KJB78456 pep chromosome:Graimondii2_0_v6:13:491994:493647:-1 gene:B456_013G007100 transcript:KJB78456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTTLLSPCNSVLVRGFPIRENESSSRPLFLSVRDRFLNGNKRLSRGGLLVQLNATEGSADSSKSEESIPSWAKPDSDEPPPWAQGEGKSSTAQQSFEVPFFVYLLASAVTAIAAVGSIFEYINQRPVFGVLNSDSIFYAPLLGFFAFTGIPTSAFLWFKSVQAANKEAEEQDRRDGFL >KJB78458 pep chromosome:Graimondii2_0_v6:13:490811:493921:-1 gene:B456_013G007100 transcript:KJB78458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTTLLSPCNSVLVRGFPIRENESSSRPLFLSVRDRFLNGNKRLSRGGLLVQLNATEGSADSSKSEESIPSWAKPDSDEPPPWAQGEGKSSTAQQSFEVPFFVYLLASAVTAIAAVGSIFEYINQRPVFGVLNSDSIFYAPLLGFFAFTGIPTSAFLWFKSVQAANKEAEEQDRRDGFL >KJB78457 pep chromosome:Graimondii2_0_v6:13:491848:493776:-1 gene:B456_013G007100 transcript:KJB78457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTTLLSPCNSVLVRGFPIRENESSSRPLFLSVRDRFLNGNKRLSRGGLLVQLNATEGSADSSKSEESIPSWAKPDSDEPPPWAQGEGKSSTAQQSFEVPFFVYLLASAVTAIAAVGSIFEYINQRPVFGVLNSDSIFYAPLLGFFAFTGIPTSAFLWFKSVQAANKEAEEQDRRDGFL >KJB80724 pep chromosome:Graimondii2_0_v6:13:26713441:26715758:1 gene:B456_013G112100 transcript:KJB80724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTNLCYLLLLLILLPSSYFLTSVLAKSRNPITDSEIKKKKSECYADIDSGLWGGHCKSSSIAKENCALKCLSPACYELIYESDPLEEGEKDYIRSQEFKYCMYKLSTQANHH >KJB80723 pep chromosome:Graimondii2_0_v6:13:26713298:26717539:1 gene:B456_013G112100 transcript:KJB80723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTNLCYLLLLLILLPSSYFLTSVLAKSRNPITDSEIKKKKSECYADIDSGLWGGHCKSSSIAKENCALKCLSPACYELIYESDPLEEGEKDYIRSQEFKYCMYKLSLGESLEGVRGSFDH >KJB80725 pep chromosome:Graimondii2_0_v6:13:26713308:26717297:1 gene:B456_013G112100 transcript:KJB80725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTNLCYLLLLLILLPSSYFLTSVLAKSRNPITDSEIKKKKSECYADIDSGLWGGHCKSSSIAKENCALKCLSPACYELIYESDPLEEGEKDYIRSQEFKYCMYKMIRPFRRSILS >KJB78373 pep chromosome:Graimondii2_0_v6:13:2100010:2100716:-1 gene:B456_013G0282001 transcript:KJB78373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIISLTSISALLISAIKYQIEQAILSLVRIYKLSNFDHTRRFRIQGLRAAR >KJB78374 pep chromosome:Graimondii2_0_v6:13:2099922:2100781:-1 gene:B456_013G0282001 transcript:KJB78374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIISLTSISALLISAIKYQIEQAILSLVRIYKLSNFDHTRRFRIQGLRAAR >KJB80185 pep chromosome:Graimondii2_0_v6:13:12635618:12636715:1 gene:B456_013G085300 transcript:KJB80185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQLSIDFVLVSVHHDCLANIYTTTDDPLSLRQTFCFKLNIVENEYQLHQILFPMFTKLRINTASVGYHSFIHEILQRGRRMSHWVLSRGINCKALPLRSVIQASIMDHYNDGILMGRAIAKSESEFENVKNGFHADHSKNINDGMVRAKEYLVKEMLKTVRIEVGDEEDCMICLEELEVGFDAFRMPCSHNFHGDCIEKWLRHGHFCPICRFKIPAN >KJB81030 pep chromosome:Graimondii2_0_v6:13:32702854:32705823:1 gene:B456_013G126100 transcript:KJB81030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MRVNRARRHGAARLPLRASPPLIHRVTATVNAISCWYSDLKIYALNEPLFRFGRKHNMILKLWFSIGTGFGLTALIGVSWILIWQLFLSSDTRLSNLFSSLLFGFSPSLRISMSDAGYLFISTLVSVSVHEFGHAIALASEGIQLEYIAVFIAVLFPGALVAFDYDLLQALPRLTSLRVYCAGIWHNAVFCALCGLLLFLQPVILFPFYIHAESPLVLEVASPLSEFLSPGDAIASLDGAAIHSVQNWMEMTALLDKKILQNSSDSRYFEGFGAVDSRKGYCVPNALLEDGKNVQLAKNRSVCPEDFTAFVKIYCFDPSKSVDMDDEDVDLGRKENALCLNTKDIVNLEKCDGRGKVKKNGSSCMCSEDESCLSPVQFPDLMWIEITYSRLYLRECLQLRSSLLDSNTSDAVEQTCGGTFIFVGDMISMAHSVQLTEYQPRWGVFLSKYLPNKLEKSLICTFHISLALALLNSLPVYFLDGESILEVALSHFTSLSSRKTRKIHQEVRS >KJB81029 pep chromosome:Graimondii2_0_v6:13:32702812:32706079:1 gene:B456_013G126100 transcript:KJB81029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MRVNRARRHGAARLPLRASPPLIHRVTATVNAISCWYSDLKIYALNEPLFRFGRKHNMILKLWFSIGTGFGLTALIGVSWILIWQLFLSSDTRLSNLFSSLLFGFSPSLRISMSDAGYLFISTLVSVSVHEFGHAIALASEGIQLEYIAVFIAVLFPGALVAFDYDLLQALPRLTSLRVYCAGIWHNAVFCALCGLLLFLQPVILFPFYIHAESPLVLEVASPLSEFLSPGDAIASLDGAAIHSVQNWMEMTALLDKKILQNSSDSRYFEGFGAVDSRKGYCVPNALLEDGKNVQLAKNRSVCPEDFTAFVKIYCFDPSKSVDMDDEDVDLGRKENALCLNTKDIVNLEKCDGRGKVKKNGSSCMCSEDESCLSPVQFPDLMWIEITYSRLYLRECLQLRSSLLDSNTSDAVEQTCGGTFIFVGDMISMAHSVQLTEYQPRWGVFLSKYLPNKLEKSLICTFHISLALALLNSLPVYFLDGESILEVALSHFTSLSSRKTRKIHQVCVVGGSLVSVLAFLRMTFINFF >KJB78937 pep chromosome:Graimondii2_0_v6:13:1954433:1957770:1 gene:B456_013G026100 transcript:KJB78937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPFGWNLITAGYIEQSIQGLVVSTLGLLFMGKLLEPIWGSKEFLKFIFVINFLTSVCVFITAMALYGVTRQENYLYMPLSGFHGVLAGFLVGIKQMVPDQELPRLKIKAKWLPSLMLLFSIAISFFTPESATYLSTLIFGTYMGWIYLRYLQRKPESKHRGDPNEDFAFSTFFPEFLRPMIDLIASKFHRNLCGKSEAFIDTLAYSLGSAPLPGSDPIEASRRREKGARALEERLAAESLIAAGKKSEELQINGTNNV >KJB78936 pep chromosome:Graimondii2_0_v6:13:1954095:1957960:1 gene:B456_013G026100 transcript:KJB78936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPGSEIFNEFTKLCKGLVLILLGIHIVVQLIPSSLTYFALIPARTIPFGWNLITAGYIEQSIQGLVVSTLGLLFMGKLLEPIWGSKEFLKFIFVINFLTSVCVFITAMALYGVTRQENYLYMPLSGFHGVLAGFLVGIKQMVPDQELPRLKIKAKWLPSLMLLFSIAISFFTPESATYLSTLIFGTYMGWIYLRYLQRKPESKHRGDPNEDFAFSTFFPEFLRPMIDLIASKFHRNLCGKSEAFIDTLAYSLGSAPLPGSDPIEASRRREKGARALEERLAAESLIAAGKKSEELQINGTNNV >KJB78463 pep chromosome:Graimondii2_0_v6:13:56072:64409:1 gene:B456_013G001000 transcript:KJB78463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMMQLDRDFSACLADFGLAEYKKDLRRVSTVNWRSSGKPTGGFHKKNMVGTLIYMAPEILTKEIHTEKSDVYSFGVSINELLTGVIPYTDIRAEAQAHTVLEMNYTEQQLTSAVSSGGLRPVLAGIESGVPASMLSLIQKCWDANPQNRPSFNDIVLELDDMLQQRKKMEKEDLSLYQPPISHVHQVMDDVNNLHTYQEKINWSTQGECVSKGASFGVHSGFRMWLDGFDDPLAYHLVLSWGSFATCGRRESMEDMHFLMPFLCNEKDVHAFGIFDGHRGAAAAEFSVQALPEFLKALGSMCSPADALVEAFVRTDVAFRDELDSHRKSRRVIQKDWHPGCTAAVALIVKNKLFVANAGDCRTILCRRGCPVALSRDHVASCPEERNRVVSAGGEVKWQVDTWRVGRAALQVTRSIGDDDLKPAVTAEPEITETVLSVEDEFLVMASDGLWDVVSDMEVTNIIRDTVKEPAMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERVY >KJB78465 pep chromosome:Graimondii2_0_v6:13:57052:64413:1 gene:B456_013G001000 transcript:KJB78465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYTEQQLTSAVSSGGLRPVLAGIESGVPASMLSLIQKCWDANPQNRPSFNDIVLELDDMLQQRKKMEKEDLSLYQPPISHVHQVMDDVNNLHTYQEKINWSTQGECVSKGASFGVHSGFRMWLDGFDDPLAYHLVLSWGSFATCGRRESMEDMHFLMPFLCNEKDVHAFGIFDGHRGAAAAEFSVQALPEFLKALGSMCSPADALVEAFVRTDVAFRDELDSHRKSRRVIQKDWHPGCTAAVALIVKNKLFVANAGDCRTILCRRGCPVALSRDHVASCPEERNRVVSAGGEVKWQVDTWRVGRAALQVTRSIGDDDLKPAVTAEPEITETVLSVEDEFLVMASDGLWDVVSDMEVTNIIRDTVKEPAMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERVY >KJB78462 pep chromosome:Graimondii2_0_v6:13:54615:64409:1 gene:B456_013G001000 transcript:KJB78462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTLIYMAPEILTKEIHTEKSDVYSFGVSINELLTGVIPYTDIRAEAQAHTVLEMNYTEQQLTSAVSSGGLRPVLAGIESGVPASMLSLIQKCWDANPQNRPSFNDIVLELDDMLQQRKKMEKEDLSLYQPPISHVHQVMDDVNNLHTYQEKINWSTQGECVSKGASFGVHSGFRMWLDGFDDPLAYHLVLSWGSFATCGRRESMEDMHFLMPFLCNEKDVHAFGIFDGHRGAAAAEFSVQALPEFLKALGSMCSPADALVEAFVRTDVAFRDELDSHRKSRRVIQKDWHPGCTAAVALIVKNKLFVANAGDCRTILCRRGCPVALSRDHVASCPEERNRVVSAGGEVKWQVDTWRVGRAALQVTRSIGDDDLKPAVTAEPEITETVLSVEDEFLVMASDGLWDVVSDMEVTNIIRDTVKEPAMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERVY >KJB78464 pep chromosome:Graimondii2_0_v6:13:59500:64409:1 gene:B456_013G001000 transcript:KJB78464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYTEQQLTSAVSSGGLRPVLAGIESGVPASMLSLIQKCWDANPQNRPSFNDIVLELDDMLQQRKKMEKEDLSLYQPPISHVHQVMDDVNNLHTYQEKINWSTQGECVSKGASFGVHSGFRMWLDGFDDPLAYHLVLSWGSFATCGRRESMEDMHFLMPFLCNEKDVHAFGIFDGHRGAAAAEFSVQALPEFLKALGSMCSPADALVEAFVRTDVAFRDELDSHRKSRRVIQKDWHPGCTAAVALIVKNKLFVANAGDCRTILCRRGCPVALSRDHVASCPEERNRVVSAGGEVKWQVDTWRVGRAALQVTRSIGDDDLKPAVTAEPEITETVLSVEDEFLVMASDGLWDVVSDMEVTNIIRDTVKEPAMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERVY >KJB78461 pep chromosome:Graimondii2_0_v6:13:54513:64416:1 gene:B456_013G001000 transcript:KJB78461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALPVDYHTKHQANTKIWEISKEAAVAGKFPISKSHYSLIFIADAHWKIGQEKMGLDIVEPNTCVRGCCSSNSIPLHLPPSSFTLLSAIAKGAESVVYEAILDGKKVAAKKPILSTSEELDKFHKELQLLCQLHHPGIATLLAAHAKPPNYLFFFEFYEKTNLAHQLHVEEWIPDLDQALSIMLQLAKALQYLHNKGIIHRDVKPANVLLDRDFSACLADFGLAEYKKDLRRVSTVNWRSSGKPTGGFHKKNMVGTLIYMAPEILTKEIHTEKSDVYSFGVSINELLTGVIPYTDIRAEAQAHTVLEMNYTEQQLTSAVSSGGLRPVLAGIESGVPASMLSLIQKCWDANPQNRPSFNDIVLELDDMLQQRKKMEKEDLSLYQPPISHVHQVMDDVNNLHTYQEKINWSTQGECVSKGASFGVHSGFRMWLDGFDDPLAYHLVLSWGSFATCGRRESMEDMHFLMPFLCNEKDVHAFGIFDGHRGAAAAEFSVQALPEFLKALGSMCSPADALVEAFVRTDVAFRDELDSHRKSRRVIQKDWHPGCTAAVALIVKNKLFVANAGDCRTILCRRGCPVALSRDHVASCPEERNRVVSAGGEVKWQVDTWRVGRAALQVTRSIGDDDLKPAVTAEPEITETVLSVEDEFLVMASDGLWDVVSDMEVTNIIRDTVKEPAMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERVY >KJB81719 pep chromosome:Graimondii2_0_v6:13:43382336:43384374:-1 gene:B456_013G158300 transcript:KJB81719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLVDDGTKKFTRSISHAKDELQSFRTYLKWMCVDQSNIWTTCLSWFLFIFLGLVVPSVSHFWLACSTCDAKHARPYDSVVQLSLSSVSALSFVCLTRFVKTYGLKRFLFFDKLYVESEAVRKGYTGQLNRSLKIVAVFVLPCFIAETAYKVWWYASGASQIPFLGIVWLSDTVACITELCSWLYRTTVFFLVCVLFHLICNLQVLRLRDFAQVFQIDSDVGSVLSEHLRIRRHLRIISHRYRAFIICCLILITGSQFTSLLITTKATSALNFYKAGELALCSITLLTGLCILLRSATKITHKAQAITCLAAKWHACATLDSLNANEDDMPRSPSVIQSHQPFPHVGTDGESDDGDDVGDEDDIDNNKMIPSYAYSTLSFQKRQALVTYFENNRAGITIYGFVLDRSTLHTIFGLELSLVLWLLGKTIVSL >KJB83884 pep chromosome:Graimondii2_0_v6:13:58103192:58105615:-1 gene:B456_013G269400 transcript:KJB83884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLHKGRQSEKSLFFKYYKWVLWFSFTLYFLTSFFITNKPLPLSKTRVSGSKSSLASRVLFESVNKTRHKSKPKNPAAFKDLKIFIYELPSEYNEDWLSNKRCSNHLFASEVAIHRALMNTYDLRTFDPYEADFFFVPVYVSCNFSTVNGFPAIGHARSLISSAIQLISSNYPFWNRTQGSDHIFVASHDYGACFHAMEDRAIDDGIPDFMKNSIILQTFGVNFKHPCQDVEHVVIPPYIPPESVRNTLEKSPLTGNRDIMVFFRGKMEVHPKNVSGKFYGKKVRTEIWRRYNGDQRFYLQRQRFAGYQSEIVRSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIQLPFPSAVKWSEISLTVAERDVGKLGRILEHVAKTNLSTIQKNLWDPAVSKALLFNDHMQEGDATWQVLESLYKKLDRSYKRSRVSSQ >KJB83885 pep chromosome:Graimondii2_0_v6:13:58103266:58105615:-1 gene:B456_013G269400 transcript:KJB83885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLHKGRQSEKSLFFKYYKWVLWFSFTLYFLTSFFITNKPLPLSKTRVSGSKSSLASRVLFESVNKTRHKSKPKNPDLKIFIYELPSEYNEDWLSNKRCSNHLFASEVAIHRALMNTYDLRTFDPYEADFFFVPVYVSCNFSTVNGFPAIGHARSLISSAIQLISSNYPFWNRTQGSDHIFVASHDYGACFHAMEDRAIDDGIPDFMKNSIILQTFGVNFKHPCQDVEHVVIPPYIPPESVRNTLEKSPLTGNRDIMVFFRGKMEVHPKNVSGKFYGKKVRTEIWRRYNGDQRFYLQRQRFAGYQSEIVRSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIQLPFPSAVKWSEISLTVAERDVGKLGRILEHVAKTNLSTIQKNLWDPAVSKALLFNDHMQEGDATWQVLESLYKKLDRSYKRSRVSSQ >KJB82617 pep chromosome:Graimondii2_0_v6:13:51607891:51608301:-1 gene:B456_013G205200 transcript:KJB82617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEQERLLPIANVGRIMKQILPPNAKVSKEAKQTLQECATEFISFVTGEASDKCRKENRKTVNGDDICWALGALGFDNYADAIVRYLHKYREIERDKATQNKATCISSQDKDEESSEDRSNQPPHQQAEAPSTRV >KJB79397 pep chromosome:Graimondii2_0_v6:13:4176381:4180173:-1 gene:B456_013G047200 transcript:KJB79397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEGVGEQEEKIKSPFWFQSLSKSNCGRDENSHRPMAMGDSVPTPRISVHQAFGGGLVADVLLWRKWCGGVVMLASATTFWCLFELAGYSILSFVANVLLLLVVILFFWAKSASLLNRPLPPLPNLEISERTAGKIADELQVWVNIALSIAHDITLGRNLKLLLKVGVALWFVSFIGSFFSFLTVIYIGVILSLSVPVLYDKYQHHIDEKLSVTNKIIQTQYRKIDETVLRKLPLPSKKEKKMQ >KJB79395 pep chromosome:Graimondii2_0_v6:13:4176855:4180173:-1 gene:B456_013G047200 transcript:KJB79395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGDSVPTPRISVHQAFGGGLVADVLLWRKWCGGVVMLASATTFWCLFELAGYSILSFVANVLLLLVVILFFWAKSASLLNRPLPPLPNLEISERTAGKIADELQVWVNIALSIAHDITLGRNLKLLLKVGVALWFVSFIGSFFSFLTVIYIGVILSLSVPVLYDKYQHHIDEKLSVTNKIIQTQYRKIDETVLRKLPLPSKKEKKMQ >KJB79396 pep chromosome:Graimondii2_0_v6:13:4178313:4180118:-1 gene:B456_013G047200 transcript:KJB79396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGDSVPTPRISVHQAFGGGLVADVLLWRKWCGGVVMLASATTFWCLFELAGYSILSFVANVLLLLVVILFFWAKSASLLNRPLPPLPNLEISERTAGKIADELQVWVNIALSIAHDITLGRNLKLLLKVGVALWFVSFIGSFFSFLTVIYIGVILSLSVPVLYDKYQHHIDEKLSVTNKIIQTQYRKIDETVLRKLPLPSKKEKKMQ >KJB78533 pep chromosome:Graimondii2_0_v6:13:281923:283448:1 gene:B456_013G004000 transcript:KJB78533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLTRPSHSILLILFFMLAINSTSALTKYNVLNFGAKPNGKTDSTKAFLMAWKAACASADSTIIYVPKGRYLLGSMAFQGGCKSPQIIFRIDGTLVAPQDYRVLGKSADWLSFEGVNGVSILGGALDAKGPSLWACKASHSNCPSGATTLSFTNSKNIRIRSLLSLNSQMFHIVINGCENVNVQGVRIIAAGNSPNTDGIHVQSSKNVNIIKCSIKTGDDCISIGPGTKNLWIEQITCGPGHGISIGSLAKDLKEEGVQNVTVSGIKIKDIIYEGIRGTSSTEVAIKFDCSPKNPCTGIRLQNVNLSYLNKPAQSSCSNVRGKALNLVQPENCL >KJB83920 pep chromosome:Graimondii2_0_v6:13:58208955:58210260:-1 gene:B456_013G271500 transcript:KJB83920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKYAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWYAFYLKNF >KJB83921 pep chromosome:Graimondii2_0_v6:13:58208043:58210510:-1 gene:B456_013G271500 transcript:KJB83921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKYAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRTDYLKYKSENRIVPDGVNAKLLGCHGPLANREPGRAFLHAAV >KJB83919 pep chromosome:Graimondii2_0_v6:13:58208043:58210291:-1 gene:B456_013G271500 transcript:KJB83919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKYAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRTDYLKYKSENRIVPDGVNAKLLGCHGPLANREPGRAFLHAAV >KJB82770 pep chromosome:Graimondii2_0_v6:13:52475129:52476897:-1 gene:B456_013G212300 transcript:KJB82770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYGGKRGVGGLGKGSGLVLNDHVNNRERNARFCNRIGCGARLNSMNDTPNGCSRNGKGIIGSSSRVNPTVSNNRKSSINPQKKLSSQLETSSVRDELQVSELVPQSESGNVDSWEVRSSGVASSTRSRRNMIQRSPSASVGLATRVNASRYGLRNLRCRSISDVVPSGCSSSDSSIRSREDTVKTRNSDGEGSSSGSSRGKKLSGSSLEGQNNVPSHGVFISADSRQARNWRDSGVAASVRTRRSNSSYGRGRFSNQANGNRLSSNEYHVIMPQVPRSDIPINLNDPVSTEIASTRASSYTQPDNISESLLDTMPSSPSEVGVNRDSFWHYNIDGIGEVLLALERIEQDEDLTHEQLLALETTLFLDGLNFYDQHSDMRLDIDNMSYEVCFCFITKMYSSLSLLLKIKQWRLALLDIMTGITRSRRKDG >KJB82765 pep chromosome:Graimondii2_0_v6:13:52474913:52476815:-1 gene:B456_013G212300 transcript:KJB82765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYGGKRGVGGLGKGSGLVLNDHVNNRERNARFCNRIGCGARLNSMNDTPNGCSRNGKGIIGSSSRVNPTVSNNRKSSINPQKKLSSQLETSSVRDELQVSELVPQSESGNVDSWEVRSSGVASSTRSRRNMIQRSPSASVGLATRVNASRYGLRNLRCRSISDVVPSGCSSSDSSIRSREDTVKTRNSDGEGSSSGSSRGKKLSGSSLEGQNNVPSHGVFISADSRQARNWRDSGVAASVRTRRSNSSYGRGRFSNQANGNRLSSNEYHVIMPQVPRSDIPINLNDPVSTEIASTRASSYTQPDNISESLLDTMPSSPSEVGVNRDSFWHYNIDGIGEVLLALERIEQDEDLTHEQLLALETTLFLDGLNFYDQHSDMRLDIDNMSYEELLDLEERMGNVSTVLSEEALSKCLMKSIYEGASVDCDGEKDDTKCSICQEEYVNGDEVGMLQCEHRYHVTCIQNWLQVKNWCPICKFSPHN >KJB82769 pep chromosome:Graimondii2_0_v6:13:52473816:52476963:-1 gene:B456_013G212300 transcript:KJB82769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYGGKRGVGGLGKGSGLVLNDHVNNRERNARFCNRIGCGARLNSMNDTPNGCSRNGKGIIGSSSRVNPTVSNNRKSSINPQKKLSSQLETSSVRDELQVSELVPQSESGNVDSWEVRSSGVASSTRSRRNMIQRSPSASVGLATRVNASRYGLRNLRCRSISDVVPSGCSSSDSSIRSREDTVKTRNSDGEGSSSGSSRGKKLSGSSLEGQNNVPSHGVFISADSRQARNWRDSGVAASVRTRRSNSSYGRGRFSNQANGNRLSSNEYHVIMPQVPRSDIPINLNDPVSTEIASTRASSYTQPDNISESLLDTMPSSPSEVGVNRDSFWHYNIDGIGEVLLALERIEQDEDLTHEQLLALETTLFLDGLNFYDQHSDMRLDIDNMSYEELLDLEERMGNVSTVLSEEALSKCLMKSIYEGASVDCDGEKDDTKCSICQEEYVNGDEVGMLQCEHRYHVTCIQNWLQVKNWCPICKFSPHN >KJB82764 pep chromosome:Graimondii2_0_v6:13:52473815:52477443:-1 gene:B456_013G212300 transcript:KJB82764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYGGKRGVGGLGKGSGLVLNDHVNNRERNARFCNRIGCGARLNSMNDTPNGCSRNGKGIIGSSSRVNPTVSNNRKSSINPQKKLSSQLETSSVRDELQVSELVPQSESGNVDSWEVRSSGVASSTRSRRNMIQRSPSASVGLATRVNASRYGLRNLRCRSISDVVPSGCSSSDSSIRSREDTVKTRNSDGEGSSSGSSRGKKLSGSSLEGQNNVPSHGVFISADSRQARNWRDSGVAASVRTRRSNSSYGRGRFSNQANGNRLSSNEYHVIMPQVPRSDIPINLNDPVSTEIASTRASSYTQPDNISESLLDTMPSSPSEVGVNRDSFWHYNIDGIGEVLLALERIEQDEDLTHEQLLALETTLFLDGLNFYDQHSDMRLDIDNMSYEELLDLEERMGNVSTVLSEEALSKCLMKSIYEGASVDCDGEKDDTKCSICQEEYVNGDEVGMLQCEHRYHVTCIQNWLQVKNWCPICKFSPHN >KJB82768 pep chromosome:Graimondii2_0_v6:13:52474362:52476897:-1 gene:B456_013G212300 transcript:KJB82768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYGGKRGVGGLGKGSGLVLNDHVNNRERNARFCNRIGCGARLNSMNDTPNGCSRNGKGIIGSSSRVNPTVSNNRKSSINPQKKLSSQLETSSVRDELQVSELVPQSESGNVDSWEVRSSGVASSTRSRRNMIQRSPSASVGLATRVNASRYGLRNLRCRSISDVVPSGCSSSDSSIRSREDTVKTRNSDGEGSSSGSSRGKKLSGSSLEGQNNVPSHGVFISADSRQARNWRDSGVAASVRTRRSNSSYGRGRFSNQANGNRLSSNEYHVIMPQVPRSDIPINLNDPVSTEIASTRASSYTQPDNISESLLDTMPSSPSEVGVNRDSFWHYNIDGIGEVLLALERIEQDEDLTHEQLLALETTLFLDGLNFYDQHSDMRLDIDNMSYEELLDLEERMGNVSTVLSEEALSKCLMKSIYEGASVDCDGEKDDTKCSICQEEYVNGDEVGMLQCEHRYHVTCIQNWLQVKNWCPICKFSPHN >KJB82766 pep chromosome:Graimondii2_0_v6:13:52474362:52477758:-1 gene:B456_013G212300 transcript:KJB82766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYGGKRGVGGLGKGSGLVLNDHVNNRERNARFCNRIGCGARLNSMNDTPNGCSRNGKGIIGSSSRVNPTVSNNRKSSINPQKKLSSQLETSSVRDELQVSELVPQSESGNVDSWEVRSSGVASSTRSRRNMIQRSPSASVGLATRVNASRYGLRNLRCRSISDVVPSGCSSSDSSIRSREDTVKTRNSDGEGSSSGSSRGKKLSGSSLEGQNNVPSHGVFISADSRQARNWRDSGVAASVRTRRSNSSYGRGRFSNQANGNRLSSNEYHVIMPQVPRSDIPINLNDPVSTEIASTRASSYTQPDNISESLLDTMPSSPSEVGVNRDSFWHYNIDGIGEVLLALERIEQDEDLTHEQLLALETTLFLDGLNFYDQHSDMRLDIDNMSYEELLDLEERMGNVSTVLSEEALSKCLMKSIYEGASVDCDGEKDDTKCSICQEEYVNGDEVGMLQCEHRYHVTCIQNWLQVKNWCPICKFSPHN >KJB82767 pep chromosome:Graimondii2_0_v6:13:52474362:52476897:-1 gene:B456_013G212300 transcript:KJB82767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYGGKRGVGGLGKGSGLVLNDHVNNRERNARFCNRIGCGARLNSMNDTPNGCSRNGKGIIGSSSRVNPTVSNNRKSSINPQKKLSSQLETSSVRDELQVSELVPQSESGNVDSWEVRSSGVASSTRSRRNMIQRSPSASVGLATRVNASRYGLRNLRCRSISDVVPSGCSSSDSSIRSREDTVKTRNSDGEGSSSGSSRGKKLSGSSLEGQNNVPSHGVFISADSRQARNWRDSGVAASVRTRRSNSSYGRGRFSNQANGNRLSSNEYHVIMPQVPRSDIPINLNDPVSTEIASTRASVDCDGEKDDTKCSICQEEYVNGDEVGMLQCEHRYHVTCIQNWLQVKNWCPICKFSPHN >KJB82956 pep chromosome:Graimondii2_0_v6:13:54202074:54208755:1 gene:B456_013G222100 transcript:KJB82956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIAAENNSDNINNKESSFQFQTSTYMQKFRLYETLSNFYMIGRNKSRTYWRVLKIDRLDPSELNIREDSTIYTESECSELLRRVHEGNISTGGLKFVTTCYGIVGFIKFLGPYYLLLITKRRRIGVICGHNVYAVLKSEMIPLPNSTVNSSINDKNEIRYKKLLCSVDLTKDFFFSYSYHVMRSLQKNLCNNDPDQILYETMFVWNEFLTRGIRKHLKNTLWTVALVYGFFKQTTLSVSGRDLKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVSDGLPTQITSIVQNRGSIPLFWSQETSRLNIKPDIILSKKDQNYEATRLHFENLVERYGNPIIILNLIKTQEKKPRESILRQEFAHVIDFINKDMSEETCLRFLHWDLHKHFRSQATNVLLLLGKVAAYALTLTGSFYCRVTSTMRPDECTAWPSSKNIDDGDMSPPKYCDNVNEGAYRLEGNHSGDNNTANGNHSVKPPTFQRGVLRTNCIDCLDRTNVAQYAYGLAALGYQLNTLGVKDTPKIDLDDPLADELMVFYERMGDTLAHQYSGSAAHNKVFSERRGQWRAATQSQEFFRTLQRYYSNAYMDAQKQDAINLFLGNFQPQPGKPALWELDSNQHYGSFFPRSLSDGSILRQSESPMSARNDKQEKLTNSTLPNRSQGASNGHSESSPEISTCGRDISYSRYTPSMPQRQLFGQVQIDRSLGSDNICSEHGDGFSFSNFVDIDWLSSAGNSCDGEPFERYFFIFYNPCMF >KJB82957 pep chromosome:Graimondii2_0_v6:13:54202495:54209306:1 gene:B456_013G222100 transcript:KJB82957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIAAENNSDNINNKESSFQFQTSTYMQKFRLYETLSNFYMIGRNKSRTYWRVLKIDRLDPSELNIREDSTIYTESECSELLRRVHEGNISTGGLKFVTTCYGIVGFIKFLGPYYLLLITKRRRIGVICGHNVYAVLKSEMIPLPNSTVNSSINDKNEIRYKKLLCSVDLTKDFFFSYSYHVMRSLQKNLCNNDPDQILYETMFVWNEFLTRGIRKHLKNTLWTVALVYGFFKQTTLSVSGRDLKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVSDGLPTQITSIVQNRGSIPLFWSQETSRLNIKPDIILSKKDQNYEATRLHFENLVERYGNPIIILNLIKTQEKKPRESILRQEFAHVIDFINKDMSEETCLRFLHWDLHKHFRSQATNVLLLLGKVAAYALTLTGSFYCRVTSTMRPDECTAWPSSKNIDDGDMSPPKYCDNVNEGAYRLEGNHSGDNNTANGNHSVKPPTFQRGVLRTNCIDCLDRTNVAQYAYGLAALGYQLNTLGVKDTPKIDLDDPLADELMVFYERMGDTLAHQYSGSAAHNKVFSERRGQWRAATQSQEFFRTLQRYYSNAYMDAQKQDAINLFLGNFQPQPGKPALWELDSNQHYGSFFPRSLSDGSILRQSESPMSARNDKQEKLTNSTLPNRSQGASNGHSESSPEISTCGRDISYSRYTPSMPQRQLFGQVQIDRSLGSDNICSEHGDGFSFSNFVDIDWLSSAGNSCDGEPFERSLVLTSSLVDGRSSENVVNGILGETTPSTCENGSSMKETQQKGTKLSFADMPNSNVPEQLSDSFVQWVNDGQMLCH >KJB83928 pep chromosome:Graimondii2_0_v6:13:58254629:58257215:1 gene:B456_013G2721001 transcript:KJB83928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK4 [Source:Projected from Arabidopsis thaliana (AT5G58350) UniProtKB/Swiss-Prot;Acc:Q9LVL5] FEEMLGKGAMKTVYKAIDEVLGMEVAWNQVKLNEVLRSPEDLQRLYSEVHLLSTLNHDSIIRFHSSWIDVHRKTFNFITEMFTSGSLREYRKKYKRVDIRAIKNWARQILQGLVYLHAHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSKSAHSVIGTPEFMAPELYEEDYNQLVDVYSFGMCVLEMLTSEYPYSECANPAQIYKKVTSGKLPGAFYQIQDLDAQRFIGKCLVNASNRLSAEQLLLDPFLAKDEATRLGSPKLFLNQSEIEKLHLNDNPPRTDMTITGKLNPEDDTIFLKVQIADTEGSTRNIYFPFDIVEDTPLDVATEMVKELEITDWDPLEIAEMIDGEISALVPQWKKSDLPRHQAHNTYNYQEDDDDGCHHPFYSFSSCSSSQMSVLMSHGCDWLRGKILVYILFVNPYMFVALMFRFSFLD >KJB83929 pep chromosome:Graimondii2_0_v6:13:58254629:58257230:1 gene:B456_013G2721001 transcript:KJB83929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK4 [Source:Projected from Arabidopsis thaliana (AT5G58350) UniProtKB/Swiss-Prot;Acc:Q9LVL5] FEEMLGKGAMKTVYKAIDEVLGMEVAWNQVKLNEVLRSPEDLQRLYSEVHLLSTLNHDSIIRFHSSWIDVHRKTFNFITEMFTSGSLREYRKKYKRVDIRAIKNWARQILQGLVYLHAHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSKSAHSVIGTPEFMAPELYEEDYNQLVDVYSFGMCVLEMLTSEYPYSECANPAQIYKKVTSGKLPGAFYQIQDLDAQRFIGKCLVNASNRLSAEQLLLDPFLAKDEATRLGSPKLFLNQSEIEKLHLNDNPPRTDMTITGKLNPEDDTIFLKVQIADTEGSTRNIYFPFDIVEDTPLDVATEMVKELEITDWDPLEIAEMIDGEISALVPQWKKSDLPRHQAHNTYNYQEDDDDGCHHPFYSFSSCSSSQMSVLMSHGCDWLRDDDTSSQSSSHSGIYSNFSYLSGDDHNPDMSPTTTARKHVSSRIHNSTRFWHGESSRSGLSIGSSKGLESHAACSSKHTRLLMGNRKLTRNRSLVDIRSQLLHRSLVEEVSKRRLFKTVGAVEDIGFQSPCEVPTKRTQKTSGKNRIKS >KJB82576 pep chromosome:Graimondii2_0_v6:13:51387117:51394604:-1 gene:B456_013G202900 transcript:KJB82576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYSILTEPTMVGSYTCPLPLK >KJB82578 pep chromosome:Graimondii2_0_v6:13:51390648:51394604:-1 gene:B456_013G202900 transcript:KJB82578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKNQQ >KJB82577 pep chromosome:Graimondii2_0_v6:13:51390648:51394457:-1 gene:B456_013G202900 transcript:KJB82577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKR >KJB82195 pep chromosome:Graimondii2_0_v6:13:47484516:47487219:1 gene:B456_013G180500 transcript:KJB82195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSIKDDEIDIVIGALRPDLTSFMNEWRTIFSRFHLIIVKDPDLKEELKIPEGFNLDVYKKPDIDRVVGTSTSTIFSGYSCRYFGYLVSRKKYIISVDDDCYPAKDNKGILVDAVAQHITNLTTPATPFFFNTLYDPFTEGADFVRGYPFSLRSGVQCALSCGLWLNLADYDAPTQALKPEERNSRYVDAVLTVPARTLMPISGINIAFNREVIGPALLPSLRLGGEGKFRWETMEDIWSGMCVKVVCDHLGLGVKTGMPYVWRNDRGDAIASLRKEWEGVKLMEEVVPFFQSVRLSREATTTEDCVAEVANVVKEQLGSKDPMFARAAKAMVDWVKLWKSVGSSTSSPGA >KJB82193 pep chromosome:Graimondii2_0_v6:13:47484330:47487251:1 gene:B456_013G180500 transcript:KJB82193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSIKDDEIDIVIGALRPDLTSFMNEWRTIFSRFHLIIVKDPDLKEELKIPEGFNLDVYKKPDIDRVVGTSTSTIFSGYSCRYFGYLVSRKKYIISVDDDCYPAKDNKGILVDAVAQHITNLTTPATPFFFNTLYDPFTEGADFVRGYPFSLRSGVQCALSCGLWLNLADYDAPTQALKPEERNSRYVDAVLTVPARTLMPISGINIAFNREVIGPALLPSLRLGGEGKFRWETMEDIWSGMCVKVVCDHLGLGVKTGMPYVWRNDRGDAIASLRKEWEGVKLMEEVVPFFQSVRLSREATTTEDCVAEVANVVKEQLGSKDPMFARAAKAMVDWVKLWKSVGSSTSSPGA >KJB82194 pep chromosome:Graimondii2_0_v6:13:47484516:47487219:1 gene:B456_013G180500 transcript:KJB82194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSIKDDEIDIVIGALRPDLTSFMNEWRTIFSRFHLIIVKDPDLKEELKIPEGFNLDVYKKPDIDRVVGTSTSTIFSGYSCRYFGYLVSRKKYIISVDDDCYPAKDNKGILVDAVAQHITNLTTPATPFFFNTLYDPFTEGADFVRGYPFSLRSGVQCALSCGLWLNLADYDAPTQALKPEERNSRYVDAVLTVPARTLMPISGINIAFNREVIGPALLPSLRLGGEGKFRWETMEDIWSGMCVKVVCDHLGLGVKTGMPYVWRNDRGDAIASLRKEWEGVKLMEEVVPFFQSVRLSREATTTEDCVAEVANVVKEQLGSKDPMFARAAKAMVDWVKLWKSVGSSTSSPGA >KJB80985 pep chromosome:Graimondii2_0_v6:13:32012885:32015088:-1 gene:B456_013G124200 transcript:KJB80985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIGQKTLLDSGWLAARSTDVQLTGTQLTTTYPPTSPTSPWMEAVVPGTVLATLVENKVVGDPFYGLENETILDIADSGREYYTFWFFTKFQCKLSGAQHLDLNFRAINYSAEVYLNGHKRVLPKGMFRRHSLEVTDILNPDGSNLLAVLVHPPDHPGSIPPAGGQGGDHEIGKDVATQYVEGWDWIAPVR >KJB82662 pep chromosome:Graimondii2_0_v6:13:51904184:51905558:-1 gene:B456_013G207800 transcript:KJB82662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFNFFLNSSVLVLVLLMSTKTDGQVRQTIIVDQSGHGSFTTIQNAIDSVPSNNEVWTLIHVKAGIYNEKVTIPRDKPRILLQGESDSSTIVQFGDGGDSIRSSTLSLYADDFVAMDITFKNTYNLEPGKPITWAPAALINADMAAFYRCGFVSVQDTLTDSEGRHYFENCYIEGAVDFIWGNGRSIYQGCNLNVTASAQRSGMAAYITAQARDSIVDHSGFVFKEGWIFGTGPAYLGRAYKPYARVLFYRTRMSGIIVPQGWAAWNYVGKENSIVYAEVDCTGAGANKSKRVAWEKKLSSKDLSYLLNINTFINNGGWIQRQPKGGS >KJB79419 pep chromosome:Graimondii2_0_v6:13:4339229:4343688:1 gene:B456_013G048700 transcript:KJB79419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLSSHFRPLCFSSQSCSIPKPNLFPSSLSFPPLRSLSPSNAKKFSKSPLLAFSSSNFDASHFNDGFGPNPTPSKEIEPLDVSLIQKDVPPTTVDAMKRTISGMLGLLPSDRFQVFIEALWEPLSKLLVSSMMTGYTLRNAEYRLCLERNLGCEGDLKNQTSEKSNFDLQEMLLDSTKINEFSEKNDLSSESEKTIEDQFEDIDFQGLGEMPLETRKYILHLKARLTSVKKELHEVKRKNAALQMQQFVGEEKNDLLDYLRSLQPEKVAELSEPTSPELKETIHSVVHGLLATLSPRMHSKVPPLSENTTTGTINIGSEDCAELVENTSLQFQPFISLTRDYLARLLFWCMLLGHYLRGLEYRLELMELLSLTSSPGNNSCGDEQVV >KJB79418 pep chromosome:Graimondii2_0_v6:13:4339138:4343719:1 gene:B456_013G048700 transcript:KJB79418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLSSHFRPLCFSSQSCSIPKPNLFPSSLSFPPLRSLSPSNAKKFSKSPLLAFSSSNFDASHFNDGFGPNPTPSKKSVLTNLIQEIEPLDVSLIQKDVPPTTVDAMKRTISGMLGLLPSDRFQVFIEALWEPLSKLLVSSMMTGYTLRNAEYRLCLERNLGCEGDLKNQTSEKSNFDLQEMLLDSTKINEFSEKNDLSSESEKTIEDQFEDIDFQGLGEMPLETRKYILHLKARLTSVKKELHEVKRKNAALQMQQFVGEEKNDLLDYLRSLQPEKVAELSEPTSPELKETIHSVVHGLLATLSPRMHSKVPPLSENTTTGTINIGSEDCAELVENTSLQFQPFISLTRDYLARLLFWCMLLGHYLRGLEYRLELMELLSLTSSPGNNSCGDEQVV >KJB79420 pep chromosome:Graimondii2_0_v6:13:4373578:4376279:1 gene:B456_013G048800 transcript:KJB79420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQGFFSALKEEVIRGLSPSRSRTNSPGRARSPIAILLRRKKSGHNNYGGAYLAQPEPLTARYGVGEALAPLMEGPDPDGGETGDSKRLGSGLGQWVMGQLSRTPSMASLSCKRSDLRLLLGVMGAPLAPVQVCSTDPLPHLSIKDTPIETSTAQYILQQYTAASGGLKLQNSVRNAYAMGKLKMVACEYETAAKTVKNRYGSRGAESGGFVLWQMHPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGARTAKGPVRPLRRALQGLDPRTTASMFSDAKCIGEKTINGEDCFILKLCTDPQTLKARSEGPAEIIRHVLFGYFSQKTGLLVHMEDSHLTRIQSNGSGKLQ >KJB79421 pep chromosome:Graimondii2_0_v6:13:4373643:4376279:1 gene:B456_013G048800 transcript:KJB79421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQGFFSALKEEVIRGLSPSRSRTNSPGRARSPIAILLRRKKSGHNNYGGAYLAQPEPLTARYGVGEALAPLMEGPDPDGGETGDSKRLGSGLGQWVMGQLSRTPSMASLSCKRSDLRLLLGVMGAPLAPVQVCSTDPLPHLSIKDTPIETSTAQYILQQYTAASGGLKLQNSVRNAYAMGKLKMVACEYETAAKTVKNRYGSRGAESGGFVLWQMHPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGARTAKGPVRPLRRALQGLDPRTTASMFSDAKCIGEKTINGEDCFILKLCTDPQTLKARSEGPAEIIRHVLFGYFSQKTGLLVHMEDSHLTRIQSNGGDTVYWETTINSYLHDYRPVEGIMIAHSGRSVITLFRFGEAAMSHTKTRMEEAWTIEEVAFNIPGLSVDCFIPPGDLKSGSISETYELPQDETGKSGIALSAYHAKVAALEKAQDNWLTV >KJB83908 pep chromosome:Graimondii2_0_v6:13:58150988:58155521:-1 gene:B456_013G270400 transcript:KJB83908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLRYMLPRKRAGEGAVVGESENNNIKDVCVTSEIKKHRICASASTCLTVNNNTVTVGNNSSSHSSSSVVEPSIMTLGYAKHNDIDEDLYSRQLAVCGRETMRRLFASNILISGMQGLGAEIAKNLILAGVKSVTLHDEGIVELWDLSSNFAFSENDVGKNRAVASVQKLQELNNAVPISILTTKLTKEHLSDFQAVVFTDIRLEKALEFNDYCHNQKPPISFVKTEVRGHFGSIFCDFGPELTVVDVDGEVPHTGIIASISNDNPALVSCIDDERLEFQDGDFVVFSEVQGMTKLNDRKSQKIRSAKPYSFTLEEDTTNFGTYVKGGIVSQVKQPKGKVQDINPKLLRCFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQWKFNLHCVFTSSSILTRWSHFLRYDAQISVFGSKLQKKLEDAKVFIVGSGALGWSQGKLTITDDDVIEKSNLSRQFLFHDWNIGQAKSTIAASAAASINSQLKIEALQNCVGPEIESVFNDAFWENLTVAINALDNVDARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPYLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNACLSNPVEYATSMRNAADAQAKDNLERILKCLDRGKCETFQDCVTWARLRFEDYFVNRIKQLKFTFPEDAATSTGAPFWSAPKRFPHPLQFSAVDPSHLQFIMAASILRAATFDIPVPDLVKNPKMLAEAVEKVIVPDFQPKEDVKIATDEKATRSAGSVDNVAVINQLLLKLELCRNNLSSEFRMKPIQFEKEIFCSYYDNWWKNFGLRLDDDTNFHVDLIAGLANIRARNYSIPEVDKLKAKLIAGRIIPAIATATAMATGPVCLELYKVLDGAHKVEDYRNTFTNLALPLFSMAEPVPPKVLKHRDMKWTVWDRWVLRDNPTLKQVIKWLKGNGLNAYSISYGNCLLFNSLFPRHRE >KJB79429 pep chromosome:Graimondii2_0_v6:13:4424586:4428522:-1 gene:B456_013G049100 transcript:KJB79429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASELFYTRRSRVGRPDPDLGIGSSIERNYHRRNHLSHHNQRHDLDGGDSLRRSPHVRHFSSRASSLSERSSVRFDEGTSELVSSNGLNAVGVSNARRQSLRSSERLAREGFDEGTGELSSSYGLNADGVSSSSRQSLRANERLPGAVLLARARLVERLRGVSVSANRRSNRAPPNAYHREHVTGDDFRIISELSTGLAARGSPLTSEAERFELLRETNKKPPGLTQEALDRLPLEIFGSQDVNVERKTSRESRDCSICLESFGEGDVLTQLPCGHRFHFACLDPWLRTCGDCPYCRSIL >KJB82192 pep chromosome:Graimondii2_0_v6:13:47469945:47471200:1 gene:B456_013G180400 transcript:KJB82192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLNPVKKLINVGKFGGRVASAVRRRYIQASSKQEAQACERAKETVQQGVNEAKEVNKKANSKAQSAWDSAKGTAQKAKDNMFGKGDESKEVIKQNAEKIKQSMNKKD >KJB82683 pep chromosome:Graimondii2_0_v6:13:52064928:52066886:-1 gene:B456_013G209100 transcript:KJB82683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFHQVLLLLFFIFSCLFFVTIQSRHHYGHSEQEQFHIVSHVSHPPSLAPEPAVAGPSYSVFNVLDFGAVGDGVTDDTQAFKLAWDMACQIKSAVLLVPDGYSFMLQSTIFSGPCKTVGLVFRIDGTIMPPDGPDSWPRNISKRQWLVFYRINGMSMQGAGLVDGKGENWWNLPCKPHKGVNGTTMPGPCDSPVAIRFFMSSKLTIKGLKIKNSPQFHFRFDACHGVRVESLTIKAPAQSPNTDGIHIENTNNVQIYNSIISNGDDCISIGAGCYDVDINNITCGPSHGISIGSLGINKSRACVSNISVSDSVIQHSNNGIRIKTWQGGSGCVSKVEFSNIHMEAVRNPIIIDQYYCLTKNCSNQTSAVVINDISYSNIKGSFDVRSPPMSFACSDSIPCTNLKLTQVELLPAAKGRTVANPFCWNAYGTVLKQTIPPVYCLMEETNATIDNTLLCG >KJB83250 pep chromosome:Graimondii2_0_v6:13:55540089:55541881:-1 gene:B456_013G237400 transcript:KJB83250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLSSKKSSKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFAGTVSIRGRILAGTCHSAKMIRTIIVRRNYLHYIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTGM >KJB80667 pep chromosome:Graimondii2_0_v6:13:24442945:24448598:1 gene:B456_013G109400 transcript:KJB80667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFGVLTERYGLKPQGKSVPMSQGKRSTATAAATATTDWGFGFDSGSNRNPMSFSSKSSRNSGPNSGSLLDDHDFFPKQNSRNFFGLGDDFGGFQNATTKQSNTSNNNSSSNGSSFDLASMLLNSGSISSYANSYVVDDLFGGTTVSQNANNDDDFGSFVSSTKQKGSAGDLLGDFSGVAAKLKSSSRNGSWDSANNEAGVDDLIPGFGASSPSVNRTNVKTTKSTRSSEEPFVVLDSDFGTEYNFSETPTDPLGEFSVLNRSGGTKLRGSSNASQSFRSPPKPAQVSKEEKAKSSGASLIDELEDFAMGRAHNKSSRPKEAEDATKKTQSNGEDDLESFFGVSSRSNSAPKTRATTLDPIFDKNMHNRQQKTSAGAPSTAKKASPVTMMNGMDDLSFIFGAASMSGEFEEVAGESEERRRARLGRHQRTQDRVARAVADMNQRDRQSQNEQEERYRIAEAMDFEIKRWAAGKEGNMRALLSSMEQVYFGLNVVGSQFH >KJB80666 pep chromosome:Graimondii2_0_v6:13:24442945:24448366:1 gene:B456_013G109400 transcript:KJB80666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFGVLTERYGLKPQGKSVPMSQGKRSTATAAATATTDWGFGFDSGSNRNPMSFSSKSSRNSGPNSGSLLDDHDFFPKQNSRNFFGLGDDFGGFQNATTKQSNTSNNNSSSNGSSFDLASMLLNSGSISSYANSYVVDDLFGGTTVSQNANNDDDFGSFVSSTKQKGSAGDLLGDFSGVAAKLKSSSRNGSWDSANNEAGVDDLIPGFGASSPSVNRTNVKTTKSTRSSEEPFVVLDSDFGTEYNFSETPTDPLGEFSVLNRSGGTKLRGSSNASQSFRSPPKPAQVSKEEKAKSSGASLIDELEDFAMGRAHNKSSRPKEAEDATKKTQSNGEDDLESFFGVSSRSNSAPKTRATTLDPIFDKNMHNRQQKTSAGAPSTAKKASPVTMMNGMDDLSFIFGAASMSGEFEEVAGESEERRRARLGRHQRTQDRVARAVADMNQRDRQSQNEQEERYRIAEAMDFEIKRWAAGKEGNMRALLSSMEQVLWPECGWEPVSLTDLITSGSVKKVYRKATLCVHPDKVQQKGATLEQKYIAEKVFDILKVLYEFHCHLQGIIFNISCILNKHIASFTKNEVQNSDFWCGGSSQSTK >KJB80664 pep chromosome:Graimondii2_0_v6:13:24442945:24446173:1 gene:B456_013G109400 transcript:KJB80664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFGVLTERYGLKPQGKSVPMSQGKRSTATAAATATTDWGFGFDSGSNRNPMSFSSKSSRNSGPNSGSLLDDHDFFPKQNSRNFFGLGDDFGGFQNATTKQSNTSNNNSSSNGSSFDLASMLLNSGSISSYANSYVVDDLFGGTTVSQNANNDDDFGSFVSSTKQKGSAGDLLGDFSGVAAKLKSSSRNGSWDSANNEAGVDDLIPGFGASSPSVNRTNVKTTKSTRSSEEPFVVLDSDFGTEYNFSETPTDPLGEFSVLNRSGGTKLRGSSNASQSFRSPPKPAQVSKEEKAKSSGASLIDELEDFAMGRAHNKSSRPKEAEDATKKTQSNGEDDLESFFGVSSRSNSAPKTRATTLDPIFDKNMHNRQQKTSAGAPSTAKKASPVTMMNGMDDLSFIFGAASMSGEFEEVAGESEERRRARLGRHQRTQDRVVRILSP >KJB80665 pep chromosome:Graimondii2_0_v6:13:24443137:24447714:1 gene:B456_013G109400 transcript:KJB80665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFGVLTERYGLKPQGKSVPMSQGKRSTATAAATATTDWGFGFDSGSNRNPMSFSSKSSRNSGPNSGSLLDDHDFFPKQNSRNFFGLGDDFGGFQNATTKQSNTSNNNSSSNGSSFDLASMLLNSGSISSYANSYVVDDLFGGTTVSQNANNDDDFGSFVSSTKQKGSAGDLLGDFSGVAAKLKSSSRNGSWDSANNEAGVDDLIPGFGASSPSVNRTNVKTTKSTRSSEEPFVVLDSDFGTEYNFSETPTDPLGEFSVLNRSGGTKLRGSSNASQSFRSPPKPAQVSKEEKAKSSGASLIDELEDFAMGRAHNKSSRPKEAEDATKKTQSNGEDDLESFFGVSSRSNSAPKTRATTLDPIFDKNMHNRQQKTSAGAPSTAKKASPVTMMNGMDDLSFIFGAASMSGEFEEVAGESEERRRARLGRHQRTQDRVARAVADMNQRDRQSQNEQEERYRIAEAMDFEIKRWAAGKEGNMRALLSSMEQVCYSSFSYSLLFSSNKKLSV >KJB80663 pep chromosome:Graimondii2_0_v6:13:24442713:24448952:1 gene:B456_013G109400 transcript:KJB80663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFGVLTERYGLKPQGKSVPMSQGKRSTATAAATATTDWGFGFDSGSNRNPMSFSSKSSRNSGPNSGSLLDDHDFFPKQNSRNFFGLGDDFGGFQNATTKQSNTSNNNSSSNGSSFDLASMLLNSGSISSYANSYVVDDLFGGTTVSQNANNDDDFGSFVSSTKQKGSAGDLLGDFSGVAAKLKSSSRNGSWDSANNEAGVDDLIPGFGASSPSVNRTNVKTTKSTRSSEEPFVVLDSDFGTEYNFSETPTDPLGEFSVLNRSGGTKLRGSSNASQSFRSPPKPAQVSKEEKAKSSGASLIDELEDFAMGRAHNKSSRPKEAEDATKKTQSNGEDDLESFFGVSSRSNSAPKTRATTLDPIFDKNMHNRQQKTSAGAPSTAKKASPVTMMNGMDDLSFIFGAASMSGEFEEVAGESEERRRARLGRHQRTQDRVARAVADMNQRDRQSQNEQEERYRIAEAMDFEIKRWAAGKEGNMRALLSSMEQVLWPECGWEPVSLTDLITSGSVKKVYRKATLCVHPDKVQQKGATLEQKYIAEKVFDILKEAWNKFNKEELY >KJB82813 pep chromosome:Graimondii2_0_v6:13:53543377:53545915:-1 gene:B456_013G215100 transcript:KJB82813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSGYLPRWISALFASMGGCLGCFRPSKGLTTQHWKLKRSSFSEDFWSSSACEIENSPLKPQRSISSISILSHSLDLSGSTSRPSEFVNHGLLLWNQTRQQWVGNKKSEKRTQPRESMLSYSCNWNAVDDSLHGSTKPFPKPLPLSELVDFLDDVWEQEGLYD >KJB82812 pep chromosome:Graimondii2_0_v6:13:53543686:53545500:-1 gene:B456_013G215100 transcript:KJB82812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSGYLPRWISALFASMGGCLGCFRPSKGLTTQHWKLKRSSFSEDFWSSSACEIENSPLKPQRSISSISILSHSLDLSGSTSRPSEFVNHGLLLWNQTRQQWVGNKKSEKRTQPRESMLSYSCNWNAVDDSLHGSTKPFPKPLPLSELVDFLDDVWEQEGLYD >KJB80833 pep chromosome:Graimondii2_0_v6:13:28954478:28960786:-1 gene:B456_013G117000 transcript:KJB80833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASQLAAVLSKLQTLYSDHASVVSMNLFVALLCASIVIGHLLEENRWMNESITALAIGLCTGVVILLTSGGKSSRLLVFSEDLFFIYLLPPIIFNAGFSVKKKQFFRNFITIMLFGAVGTLISWSIISFGVIKFFKEMDIGSLEIGDFLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLTNTNHRIALEFIGSFLYLFLASTLLGVAVGLVSAYIIKTLYFGRHSTDREFALMMLMAYLSYIMAELFYLSGILTVFFCGIVMSHYTWHNVTESSRITSKPGTSIIVSSVLLALVMAGRAAFVFPLSFLSNLAHKSANEKISFRQQIIIWWAGLMRGAVSMALAYNQFTRAGHTQLRWNAIMITSTITVVLFSSVVFGLMTKPLIRFLLPHSKVTSAPSGSSSPKSITVPFLGSTQDSFEDSPKGVQQPSSIRALLTTPTHTVHYYWRKFDNAFMRPVFGGRGFVPFVPGSPAERSEHKLPQLQ >KJB80832 pep chromosome:Graimondii2_0_v6:13:28954478:28959733:-1 gene:B456_013G117000 transcript:KJB80832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRQLFYGNFFFLGCESQIWFSVKKKQFFRNFITIMLFGAVGTLISWSIISFGVIKFFKEMDIGSLEIGDFLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLTNTNHRIALEFIGSFLYLFLASTLLGVAVGLVSAYIIKTLYFGRHSTDREFALMMLMAYLSYIMAELFYLSGILTVFFCGIVMSHYTWHNVTESSRITSKHAFATLSFVCETFIFLHVGMDALDIETWKFVNDRPGTSIIVSSVLLALVMAGRAAFVFPLSFLSNLAHKSANEKISFRQQIIIWWAGLMRGAVSMALAYNQFTRAGHTQLRWNAIMITSTITVVLFSSVVFGLMTKPLIRFLLPHSKVTSAPSGSSSPKSITVPFLGSTQDSFEDSPKGVQQPSSIRALLTTPTHTVHYYWRKFDNAFMRPVFGGRGFVPFVPGSPAERSEHKLPQLQ >KJB82509 pep chromosome:Graimondii2_0_v6:13:50988702:50992703:-1 gene:B456_013G199500 transcript:KJB82509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMSCGLVVGTHNSNELLVIRRHGDDSSPKTGEHLIGQTCNICGDEVGLTTEGELFVACNECAFPICRVCYDYERKEGNQTCPRCKTRFKRLKGCPRVEGDEEEDEIDDLENEFSFEGSNNEQHHFVVHREPQLSLLSNGHKDLTAYGYGTVAWKETWKQETGKLQLKNPYNGCKDVFPDDLDLPLRDEARQPLSRKLGIRSSQINPYRMIIIIRLVVVGVFLHYRVKHPVEDAYALWLVSVICETCFALQWILEQFPKWHPINRETYLDRLSLRYEEEGQPSQLAHIDIFVTTVDPLKESPLVTANTVLSILAVDYPVDKLSCYVSDDGASLLTFEALFETSEFARKWVPFCNKFNVEPRAPEWYFSRKVDYFKDKILPSFVMERRAMKREYEEFKIRINTLVAKAQKAPKDGWIMQDGTPWPGNNTHHHPGMIQVFLGQGGRHDANGNELPRLVYVSREKGPSFNHHKKAEALNALVRVSAVLTNAPYLLNLDYNHYINNSKALKEAMCFMMDPLSGKRVCFVQFSQRFDDIDSHDQYANRNPILFYVNMKGLDGIQGPIYTGTGCVFRRVALYGYDAPKPKKPPTRTCNCWHKWCCGCLCLRRKKKQQLYKLPKTVIQTRHTKQGDEEALPLMSATMEAVEEGALEKMFGQSPVFIASTLVENSETFNGASLASRLREAIHVIGCGYEEKTEWGKEVATMFLSKHHALRIVQLMQSFLVIQVGWMYGSVTENILTGFKMHSHGWRSVHCVPARLAFKGFGSVDLEDHLQEVLGWALGSIEIFLSRHCPIWYGYGGGLKLLERIAYINVVVYPWTSIPLLAYYTLPAVCLFTGKFIIPELSSAGSLWFFSLFICIFATNILEMKWNGISINEWWRNEQLWVIGAVSAQLFAVSQGLLKIMTGINTNSSVTSKVEGDSGDFSQLWDLKWTTLLIPPTTLLIINIVGVIAGIAKAINNGYDSWGPFFGKFFSAFWVIAHLYPLLKGLSGRQSRAPTIVVVWSVLLASIFVLVWVRLDPFLPESDGPSLVECGLDCK >KJB80815 pep chromosome:Graimondii2_0_v6:13:28867703:28871411:1 gene:B456_013G116500 transcript:KJB80815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQIEGDASVLLRVTHSNLKSFAADIRFSLQMSVEAVKDKLWKKCGTSVNSMRLELYDDCKNKLCDLSDDSRPLGFYSPLDGFRIHIIDLDPSSVTSGGWLEDTSLVEKYSISEDEYNKRSGTFRKFKEQMASQNPSEFRNKMADDYMEDLCSNIKVGDRCEVDPGEKRGVVKYVGRAEALGPGFWIGVQYDEPLGKHDGIVKGTRYFQCPPLCGAMVRPDKVKVGDYPERDPFEEDEI >KJB80812 pep chromosome:Graimondii2_0_v6:13:28867539:28871318:1 gene:B456_013G116500 transcript:KJB80812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQIEGDASVLLRVTHSNLKSFAADIRFSLQMSVEAVKDKLWKKCGTSVNSMRLELYDDCKNKLCDLSDDSRPLGFYSPLDGFRIHIIDLDPSSVTSGGWLEDTSLVEKYSISEDEYNKRSGTFRKFKEQMASQNPSEFRNKMADDYMEDLCSNIKVGDRCEVDPGEKRGVVKYVGRAEALGPGFWIGVQYDEPLGKHDGIVKGTRYFQCPPLCGAMVRPDKVKVGDYPERDPFEEDEI >KJB80814 pep chromosome:Graimondii2_0_v6:13:28867703:28871318:1 gene:B456_013G116500 transcript:KJB80814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLELYDDCKNKLCDLSDDSRPLGFYSPLDGFRIHIIDLDPSSVTSGGWLEDTSLVEKYSISEDEYNKRSGTFRKFKEQMASQNPSEFRNKMADDYMEDLCSNIKVGDRCEVDPGEKRGVVKYVGRAEALGPGFWIGVQYDEPLGKHDGIVKGTRYFQCPPLCGAMVRPDKVKVGDYPERDPFEEDEI >KJB80813 pep chromosome:Graimondii2_0_v6:13:28867382:28871357:1 gene:B456_013G116500 transcript:KJB80813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQIEGDASVLLRVTHSNLKSFAADIRFSLQMSVEAVKDKLWKKCGTSVNSMRLELYDDCKNKLCDLSDDSRPLGFYSPLDGFRIHIIDLDPSSVTSGGWLEDTSLVEKYSISEDEYNKRSGTFRKFKEQMASQNPSEFRNKMADDYMEDLCSNIKVGDRCEVDPGEKRGVVKYVGRAEALGPGFWIGVQYDEPLGKHDGIVKGTRYFQCPPLCGAMVRPDKVKVGDYPERDPFEEDEI >KJB81964 pep chromosome:Graimondii2_0_v6:13:45539921:45541964:1 gene:B456_013G169200 transcript:KJB81964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELSVPKPPFCIFFNISCKANCTLSFHLSTMRLITQSQILKCLCQEAVIHRKFPFDFPKSHFLSTSNPAAPQYHPSNHIFHFLGASKNPIFLHSLANSDESTGFHQKSYEAYSLKLXXXXXVLELVRRNRFDWKLAYVFFQWVSKTSGKSLGFDVCNEILDILGRMHRFEELTKVFDKMSERGLIDERTFRILVNRYAAAHMVDDAIGVFHRRKEFQFKDDLVAFQVLLMCLCRYKHVETAETLYQLKRREFGYDLKTMNIVLNGWCVLGNVHEAKRFWKDIIESKYKPDLFTYGTFINALTKKGKLGTAMKLFRGMWEKGCNPDVVICNCVIDALCFKKRIPEALEVLREMKERGCAPNVATYNSLIKHLGKIGRMEKVYEILDEMEEKEGCSPNNVTFNYLLKFSEKPEEVPGVLERMERNGCNMCCDTYNLILRLYMKWDHEEKVRQMWDEIGKSGLGPDRRSYTIMIHWLYDKGRVEDALSYFNEMTSKGIVPEPRTEILVNSMRNKLKGQEGEKQKKDLGINGKSPRLRSKRFKKTKAR >KJB83199 pep chromosome:Graimondii2_0_v6:13:55300653:55303589:1 gene:B456_013G234800 transcript:KJB83199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVSGKRSFFEELSSTPPASKRIRCSSRFTSSFSPSSSPPSPPSFLIDQLISIFPHMDKQVLERALEECGDDLDSAIRSLNELCLGSADRNAAAADKTGVELEGNVQIQTQANGDVPTKEQTSPEAFSMDGSDWVELFVREMLNASNIDDARARASRALEVFDKSIHARAGAEVAQNYHQENMMLKEQLETLIQENTILKRAVAVQHERQKEYENQSQELQHLKQVISQYQEQLRTLEINNYALTMHLKQAQQSSNIPGRFNPDVF >KJB83198 pep chromosome:Graimondii2_0_v6:13:55300986:55302567:1 gene:B456_013G234800 transcript:KJB83198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVSGKRSFFEELSSTPPASKRIRCSSRFTSSFSPSSSPPSPPSFLIDQLISIFPHMDKQVLERALEECGDDLDSAIRSLNELCLGSADRNAAAADKTGVELEGNVQIQTQGITANGDVPTKEQTSPEAFSMDGSDWVELFVREMLNASNIDDARARASRALEVFDKSIHARAGAEVAQNYHQVGAYYGCYSCYWLCNIGIKLHKLLLLLFVGKYDAERTTGNTNSGKYYSQASCCCST >KJB83196 pep chromosome:Graimondii2_0_v6:13:55300653:55302754:1 gene:B456_013G234800 transcript:KJB83196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVSGKRSFFEELSSTPPASKRIRCSSRFTSSFSPSSSPPSPPSFLIDQLISIFPHMDKQVLERALEECGDDLDSAIRSLNELCLGSADRNAAAADKTGVELEGNVQIQTQGITANGDVPTKEQTSPEAFSMDGSDWVELFVREMLNASNIDDARARASRALEVFDKSIHARAGAEVAQNYHQVGAYYGCYSCYWLCNIGIKLHKLLLLLFVGKYDAERTTGNTNSGKYYSQASCCCST >KJB83200 pep chromosome:Graimondii2_0_v6:13:55300653:55303589:1 gene:B456_013G234800 transcript:KJB83200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVSGKRSFFEELSSTPPASKRIRCSSRFTSSFSPSSSPPSPPSFLIDQLISIFPHMDKQVLERALEECGDDLDSAIRSLNELCLGSADRNAAAADKTGVELEGNVQIQTQGITANGDVPTKEQTSPEAFSMDGSDWVELFVREMLNASNIDDARARASRALEVFDKSIHARAGAEVAQNYHQENMMLKEQLETLIQENTILKRAVAVQHERQKEYENQSQELQHLKQVISQYQEQLRTLEINNYALTMHLKQAQQSSNIPGRFNPDVF >KJB83197 pep chromosome:Graimondii2_0_v6:13:55300986:55302567:1 gene:B456_013G234800 transcript:KJB83197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVSGKRSFFEELSSTPPASKRIRCSSRFTSSFSPSSSPPSPPSFLIDQLISIFPHMDKQVLERALEECGDDLDSAIRSLNELCLGSADRNAAAADKTGVELEGNVQIQTQGITANGDVPTKEQTSPEAFSMDGSDWVELFVREMLNASNIDDARARASRALEVFDKSIHARAGAEVAQNYHQVGAYYGCYSCYWLCNIGIKLHKLLLLLFVGKYDAERTTGNTNSGKYYSQASCCCST >KJB83195 pep chromosome:Graimondii2_0_v6:13:55300552:55303969:1 gene:B456_013G234800 transcript:KJB83195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVSGKRSFFEELSSTPPASKRIRCSSRFTSSFSPSSSPPSPPSFLIDQLISIFPHMDKQVLERALEECGDDLDSAIRSLNELCLGSADRNAAAADKTGVELEGNVQIQTQGITANGDVPTKEQTSPEAFSMDGSDWVELFVREMLNASNIDDARARASRALEVFDKSIHARAGAEVAQNYHQENMMLKEQLETLIQENTILKRAVAVQHERQKEYENQSQELQHLKQVISQYQEQLRTLEINNYALTMHLKQAQQSSNIPGRFNPDVF >KJB82271 pep chromosome:Graimondii2_0_v6:13:48606786:48607136:1 gene:B456_013G186200 transcript:KJB82271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFKVTNFTVTFISAIVLLPTFQHVLATRPLDEVPSFNHNLILQSLPRGPVPPSAGNPCTNIPGRSRGRCMLTEMDAVGRRGGGGGVPHLAPPAFPEFVVKFGAASAGSNETGQK >KJB78386 pep chromosome:Graimondii2_0_v6:13:46378721:46380088:1 gene:B456_013G1751001 transcript:KJB78386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKPSAVTPSKSKWIRSLSKVLHLHTATAGIVPDDGVQKVKSKDTEEWNDSKTAKRLSKKFDSLHDEELERKVALEALIAKIFATVSAIKAGYAQLQHAQSPYDAEGIQAADQLIVTDLKKLSELKQCFLKKQYDPSPEHTMILADIQEQKSLSKTFEIMGKKLESRLKLKESEIIFLREKLDESNKHNRLLEKRLNQSGQLFVLDNLQLSSLTPSNFSTVLGQTVKSIRSFVRLMLDEMKSADWDINAAASSIEQGVVYWKADDKCFAFESFICREMFKAFHHPYFSLYGNSVSEGKRRPQVFFDRFMEMKSVKVREYLAVKPKSTFAKFCRTKYLQVVHPRMESSFFGNLSNRDMVNSYQFPDTMFFALFTEMAKRVWLLHCLAFAFEPEASIFQISKGCRFSEVYMESVAEEAFLSSESEPRVAFMVVPGFRIHKTVIQAQVYLSQLKTR >KJB78385 pep chromosome:Graimondii2_0_v6:13:46378721:46380088:1 gene:B456_013G1751001 transcript:KJB78385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKPSAVTPSKSKWIRSLSKVLHLHTATAGIVPDDGVQKVKSKDTEEWNDSKTAKRLSKKFDSLHDEELERKVALEALIAKIFATVSAIKAGYAQLQHAQSPYDAEGIQAADQLIVTDLKKLSELKQCFLKKQYDPSPEHTMILADIQEQKSLSKTFEIMGKKLESRLKLKESEIIFLREKLDESNKHNRLLEKRLNQSGQLFVLDNLQLSSLTPSNFSTVLGQTVKSIRSFVRLMLDEMKSADWDINAAASSIEQGVVYWKADDKCFAFESFICREMFKAFHHPYFSLYGNSVSEGKRRPQVFFDRFMEMKSVKVREYLAVKPKSTFAKFCRTKYLQVVHPRMESSFFGNLSNRDMVNSYQFPDTMFFALFTEMAKRVWLLHCLAFAFEPEASIFQISKGCRFSEVYMESVAEEAFLSSESEPRVAFMVVPGFRIHKTVIQAQVYLSQLKTR >KJB78387 pep chromosome:Graimondii2_0_v6:13:46378721:46380088:1 gene:B456_013G1751001 transcript:KJB78387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKPSAVTPSKSKWIRSLSKVLHLHTATAGIVPDDGVQKVKSKDTEEWNDSKTAKRLSKKFDSLHDEELERKVALEALIAKIFATVSAIKAGYAQLQHAQSPYDAEGIQAADQLIVTDLKKLSELKQCFLKKQYDPSPEHTMILADIQEQKSLSKTFEIMGKKLESRLKLKESEIIFLREKLDESNKHNRLLEKRLNQSGQLFVLDNLQLSSLTPSNFSTVLGQTVKSIRSFVRLMLDEMKSADWDINAAASSIEQGVVYWKADDKCFAFESFICREMFKAFHHPYFSLYGNSVSEGKRRPQVFFDRFMEMKSVKVREYLAVKPKSTFAKFCRTKYLQVVHPRMESSFFGNLSNRDMVNSYQFPDTMFFALFTEMAKRVWLLHCLAFAFEPEASIFQISKGCRFSEVYMESVAEEAFLSSESEPRVAFMVVPGFRIHKTVIQAQVYLSQLKTR >KJB78384 pep chromosome:Graimondii2_0_v6:13:46378721:46380526:1 gene:B456_013G1751001 transcript:KJB78384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKPSAVTPSKSKWIRSLSKVLHLHTATAGIVPDDGVQKVKSKDTEEWNDSKTAKRLSKKFDSLHDEELERKVALEALIAKIFATVSAIKAGYAQLQHAQSPYDAEGIQAADQLIVTDLKKLSELKQCFLKKQYDPSPEHTMILADIQEQKSLSKTFEIMGKKLESRLKLKESEIIFLREKLDESNKHNRLLEKRLNQSGQLFVLDNLQLSSLTPSNFSTVLGQTVKSIRSFVRLMLDEMKSADWDINAAASSIEQGVVYWKADDKCFAFESFICREMFKAFHHPYFSLYGNSVSEGKRRPQVFFDRFMEMKSVKVREYLAVKPKSTFAKFCRTKYLQVVHPRMESSFFGNLSNRDMVNSYQFPDTMFFALFTEMAKRVWLLHCLAFAFEPEASIFQISKGCRFSEVYMESVAEEAFLSSESEPRVAFMVVPGFRIHKTVIQAQVYLSQLKTR >KJB81365 pep chromosome:Graimondii2_0_v6:13:38289480:38291245:-1 gene:B456_013G141200 transcript:KJB81365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTHTLAPGATAPGAIASTSFTWGGGDLVAVGGKVALLPISLGTVDFFVHHIHAFTIHVTVLIHLKGVLFARSSHLIPDKANLDFHFPCDRLRRGGTCQVSTWDHVFLGLFWMCSFFVWDFSLMFLFSGRGYWQELIESIVWDHNKLKVALVSQPRALSIDLKGIIALIFPRFSQGLAQEPTTRRIWFGIAIAHDFESHDDTSGNLFHVAWQGNFEAWVQDPLHVRLIAHVIWDPHFGRPMVEAFTRGGAPGLVNIAYSGVYQWWYTIGLRTNEDLYTGAIFLLFLFDLSLIAAWLHLQTKWKPNVSWFKNVESRLNHHLSGLFGVISLAWTRHLVHVAIPGSRWEYWNLYAQNPGSSSHLFGTSQGSGTTILTLLGGFHPQKQSLWLTDIAHHHLAIVILFLIAGHMYRTNFGIGHSIKDLFEAHIPLGG >KJB80620 pep chromosome:Graimondii2_0_v6:13:23358431:23363109:-1 gene:B456_013G107600 transcript:KJB80620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVECLSACHVAIVGMSRLSPVAGYFSPFKIDGKSNKALGFGLKRKVVVRKRVKLVVKAELSKSFSLNLGLDSQKIAQSYDVSQLRWIGPVPGDIAEVEAYCRIFRAAERLHAALMDTLCNPLTGECSVSYDFTPEEKPLVEDKIVSVLGCLLSLLNKGREDVLSGRASIMNTFRAADASVMEEDKLPPLALFRSEMKRCCESLHVALENYLTPDDSRSLHVWRRLQRLKNACYDLGFPRKDDHPCQTLFANWLSVCLSTSKEEIESKDCQIAFWMGGQVTEEGLTWLVDRGFKTIVDLRAETIKDNFYQAAMNDAISSGKVEFIRFPVEVGTAPSMEQVEKFASLVSDCNKRPIYLHSKEGVWRTSAMVSRWRQYMTRFASQLASDRLSQDANGSGDHQASSSTEEKLKLQETNELLQETSNVIHSSNGAHQKEASSDYKEDHKICGTDIDLVSSQVMTPGEAVDAEGAVINIYETADPLNAQIPPCNVFSRKEMSWFLRCKKISPASYLNHQLKRLEPVPRETSITETWGNEVVRANTESSLGGTRNSDGIFSNKSPSKEHKITAAGSGKYMNGSSYASSSPNMNGSLQGHSMTETKVATLDGNFSKRTSTLSSKSENSNGKASSYSSDDELGSMEGNMCASATGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMQEAKEVSSFLHYQENMNVLVEPDVHDIFARIPGFGFVQTFYSQDTSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFEDYREDLKQVIHGNNTADGVYITLRMRLRCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKVECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVGCPYEFSEIHMRFILYRPF >KJB80618 pep chromosome:Graimondii2_0_v6:13:23357148:23363172:-1 gene:B456_013G107600 transcript:KJB80618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVECLSACHVAIVGMSRLSPVAGYFSPFKIDGKSNKALGFGLKRKVVVRKRVKLVVKAELSKSFSLNLGLDSQIAQSYDVSQLRWIGPVPGDIAEVEAYCRIFRAAERLHAALMDTLCNPLTGECSVSYDFTPEEKPLVEDKIVSVLGCLLSLLNKGREDVLSGRASIMNTFRAADASVMEEDKLPPLALFRSEMKRCCESLHVALENYLTPDDSRSLHVWRRLQRLKNACYDLGFPRKDDHPCQTLFANWLSVCLSTSKEEIESKDCQIAFWMGGQVTEEGLTWLVDRGFKTIVDLRAETIKDNFYQAAMNDAISSGKVEFIRFPVEVGTAPSMEQVEKFASLVSDCNKRPIYLHSKEGVWRTSAMVSRWRQYMTRFASQLASDRLSQDANGSGDHQASSSTEEKLKLQETNELLQETSNVIHSSNGAHQKEASSDYKEDHKICGTDIDLVSSQVMTPGEAVDAEGAVINIYETADPLNAQIPPCNVFSRKEMSWFLRCKKISPASYLNHQLKRLEPVPRETSITETWGNEVVRANTESSLGGTRNSDGIFSNKSPSKEHKITAAGSGKYMNGSSYASSSPNMNGSLQGHSMTETKVATLDGNFSKRTSTLSSKSENSNGKASSYSSDDELGSMEGNMCASATGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMQEAKEVSSFLHYQENMNVLVEPDVHDIFARIPGFGFVQTFYSQDTSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFEDYREDLKQVIHGNNTADGVYITLRMRLRCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKVECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAKLELKIPDDARSNAWVSFDGKRRQQLSRGHSVRISMSQHPLPTVNKSDQTGDWFHSLIRCLNWNERLDQKAL >KJB80619 pep chromosome:Graimondii2_0_v6:13:23357148:23363109:-1 gene:B456_013G107600 transcript:KJB80619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVECLSACHVAIVGMSRLSPVAGYFSPFKIDGKSNKALGFGLKRKVVVRKRVKLVVKAELSKSFSLNLGLDSQKIAQSYDVSQLRWIGPVPGDIAEVEAYCRIFRAAERLHAALMDTLCNPLTGECSVSYDFTPEEKPLVEDKIVSVLGCLLSLLNKGREDVLSGRASIMNTFRAADASVMEEDKLPPLALFRSEMKRCCESLHVALENYLTPDDSRSLHVWRRLQRLKNACYDLGFPRKDDHPCQTLFANWLSVCLSTSKEEIESKDCQIAFWMGGQVTEEGLTWLVDRGFKTIVDLRAETIKDNFYQAAMNDAISSGKVEFIRFPVEVGTAPSMEQVEKFASLVSDCNKRPIYLHSKEGVWRTSAMVSRWRQYMTRFASQLASDRLSQDANGSGDHQASSSTEEKLKLQETNELLQETSNVIHSSNGAHQKEASSDYKEDHKICGTDIDLVSSQVMTPGEAVDAEGAVINIYETADPLNAQIPPCNVFSRKEMSWFLRCKKISPASYLNHQLKRLEPVPRETSITETWGNEVVRANTESSLGGTRNSDGIFSNKSPSKEHKITAAGSGKYMNGSSYASSSPNMNGSLQGHSMTETKVATLDGNFSKRTSTLSSKSENSNGKASSYSSDDELGSMEGNMCASATGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMQEAKEVSSFLHYQENMNVLVEPDVHDIFARIPGFGFVQTFYSQDTSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFEDYREDLKQVIHGNNTADGVYITLRMRLRCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKVECYEHDRLITKVSAR >KJB80622 pep chromosome:Graimondii2_0_v6:13:23357148:23363109:-1 gene:B456_013G107600 transcript:KJB80622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVECLSACHVAIVGMSRLSPVAGYFSPFKIDGKSNKALGFGLKRKVVVRKRVKLVVKAELSKSFSLNLGLDSQKIAQSYDVSQLRWIGPVPGDIAEVEAYCRIFRAAERLHAALMDTLCNPLTGECSVSYDFTPEEKPLVEDKIVSVLGCLLSLLNKGREDVLSGRASIMNTFRAADASVMEEDKLPPLALFRSEMKRCCESLHVALENYLTPDDSRSLHVWRRLQRLKNACYDLGFPRKDDHPCQTLFANWLSVCLSTSKEEIESKDCQIAFWMGGQVTEEGLTWLVDRGFKTIVDLRAETIKDNFYQAAMNDAISSGKVEFIRFPVEVGTAPSMEQVEKFASLVSDCNKRPIYLHSKEGVWRTSAMVSRWRQYMTRFASQLASDRLSQDANGSGDHQASSSTEEKLKLQETNELLQETSNVIHSSNGAHQKEASSDYKEDHKICGTDIDLVSSQVMTPGEAVDAEGAVINIYETADPLNAQIPPCNVFSRKEMSWFLRCKKISPASYLNHQLKRLEPVPRETSITETWGNEVVRANTESSLGGTRNSDGIFSNKSPSKEHKITAAGSGKYMNGSSYASSSPNMNGSLQGHSMTETKVATLDGNFSKRTSTLSSKSENSNGKASSYSSDDELGSMEGNMCASATGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMQEAKEVSSFLHYQENMNVLVEPDVHDIFARIPGFGFVQTFYSQDTSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFEDYREDLKQVIHGNNTADGVYITLRMRLRCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKVECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAKLELKIPDDARSNAWVSFDGKRRQQLSRGHSVRISMSQHPLPTVNKSDQTGDWFHSLIRCLNWNERLDQKAL >KJB80621 pep chromosome:Graimondii2_0_v6:13:23355818:23363172:-1 gene:B456_013G107600 transcript:KJB80621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVECLSACHVAIVGMSRLSPVAGYFSPFKIDGKSNKALGFGLKRKVVVRKRVKLVVKAELSKSFSLNLGLDSQIAQSYDVSQLRWIGPVPGDIAEVEAYCRIFRAAERLHAALMDTLCNPLTGECSVSYDFTPEEKPLVEDKIVSVLGCLLSLLNKGREDVLSGRASIMNTFRAADASVMEEDKLPPLALFRSEMKRCCESLHVALENYLTPDDSRSLHVWRRLQRLKNACYDLGFPRKDDHPCQTLFANWLSVCLSTSKEEIESKDCQIAFWMGGQVTEEGLTWLVDRGFKTIVDLRAETIKDNFYQAAMNDAISSGKVEFIRFPVEVGTAPSMEQVEKFASLVSDCNKRPIYLHSKEGVWRTSAMVSRWRQYMTRFASQLASDRLSQDANGSGDHQASSSTEEKLKLQETNELLQETSNVIHSSNGAHQKEASSDYKEDHKICGTDIDLVSSQVMTPGEAVDAEGAVINIYETADPLNAQIPPCNVFSRKEMSWFLRCKKISPASYLNHQLKRLEPVPRETSITETWGNEVVRANTESSLGGTRNSDGIFSNKSPSKEHKITAAGSGKYMNGSSYASSSPNMNGSLQGHSMTETKVATLDGNFSKRTSTLSSKSENSNGKASSYSSDDELGSMEGNMCASATGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMQEAKEVSSFLHYQENMNVLVEPDVHDIFARIPGFGFVQTFYSQDTSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFEDYREDLKQVIHGNNTADGVYITLRMRLRCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKVECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAKLELKIPDDARSNAWVSFDGKRRQQLSRGHSVRISMSQHPLPTVNKSDQTGDWFHSLIRCLNWNERLDQKAL >KJB81907 pep chromosome:Graimondii2_0_v6:13:45175352:45175929:1 gene:B456_013G1673001 transcript:KJB81907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKISCFRSGTTCYSWCYYGEGLKVLPEAPPFLKTDPVRPEFYRATIRWEANDGSGSAGFVAESTGHQMSSRLLSMKSANALKLCLVIIRKETFLLNEEKV >KJB81908 pep chromosome:Graimondii2_0_v6:13:45175352:45176388:1 gene:B456_013G1673001 transcript:KJB81908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKISCFRSGTTCYSWCYYGEGLKVLPEAPPFLKTDPVRPEFYRATIRWEANDGSGSAGFVAESTGHQMSSRLLSMKSANALKLCLVIIRKETFLLNEEKV >KJB82547 pep chromosome:Graimondii2_0_v6:13:51247533:51249561:1 gene:B456_013G201800 transcript:KJB82547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVAKAELKEKLSRMYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVESAKKTDSIRRWRNQGSK >KJB82546 pep chromosome:Graimondii2_0_v6:13:51247461:51249561:1 gene:B456_013G201800 transcript:KJB82546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVAKAELKEKLSRMYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVESAKKYEPKYRLIRNGLDKKVEKSRKQMKERKNRAKKIRGVKKTKASESAKKK >KJB82380 pep chromosome:Graimondii2_0_v6:13:49420059:49420643:1 gene:B456_013G193100 transcript:KJB82380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRIRLVSEMVIVLTAVSVVQNATAGGVPLPITKSPRAAPPSNASTAEAPSPTSDATAFMPSVFASFIAFAFMVHPSY >KJB78930 pep chromosome:Graimondii2_0_v6:13:1921615:1924258:-1 gene:B456_013G025700 transcript:KJB78930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANRGQNGIQQLLAAEQDAQHIVNAARNAKMARLKQAKEEAEKEIAEYRAQVEYEFKKKVAESSGYSGANVKRLETETDAKINHLQNEAARISHDVVQMLLKNVTTVRN >KJB78928 pep chromosome:Graimondii2_0_v6:13:1921620:1923679:-1 gene:B456_013G025700 transcript:KJB78928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANRGQNGIQQLLAAEQDAQHIVNAARNAKMARLKQAKEEAEKEIAEYRAQVEYEFKKKVAESSGYSGANVKRLETETDAKINHLQNEAARISHDVVQMLLKNVTTVRN >KJB78931 pep chromosome:Graimondii2_0_v6:13:1922113:1923128:-1 gene:B456_013G025700 transcript:KJB78931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANRGQNGIQQLLAAEQDAQHIVNAARNAKMARLKQAKEEAEKEIAEYRAQVEYEFKKKVAESSGYSGANVKRLETETDAKINHLQNEAARISHDVVQMLLKNVTTVRN >KJB78929 pep chromosome:Graimondii2_0_v6:13:1921620:1924112:-1 gene:B456_013G025700 transcript:KJB78929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANRGQNGIQQLLAAEQDAQHIVNAARNAKMARLKQAKEEAEKEIAEYRAQVEYEFKKKVAESSGYSGANVKRLETETDAKINHLQNEAARISHDVVQMLLKNVTTVRN >KJB78927 pep chromosome:Graimondii2_0_v6:13:1921615:1924049:-1 gene:B456_013G025700 transcript:KJB78927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANRGQNGIQQLLAAEQDAQHIVNAARNAKMARLKQAKEEAEKEIAEYRAQVEYEFKKKVAESSGYSGANVKRLETETDAKINHLQNEAARISHDVVQMLLKNVTTVRN >KJB80444 pep chromosome:Graimondii2_0_v6:13:17404784:17408201:-1 gene:B456_013G098000 transcript:KJB80444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGKGSQEEEEYEEEEFGSKKGGFSSNQTMSFNPNNNSTTNKADGKNNDKANAIRSKHSVTEQRRRSKINERFQRLRDLIPNTDQKRDTASFLLEVIEYVQYLQEKVQKYEDSYQGWSSEPAKLMPWRNSHWHVQSLVGHPQAIKNGSGPGATFARKFDENNTNINPTVITSGPNAVETDPIRYVTSKTMDCQTELANNGTHLPIQGENVLVHPLQRPVSETQSTECLVGNDIPINQQEDLTIEGGTISISSVYSQGLLSALAQALQGSGLDLSQANMSVQIDLGKHANRGLSAKEPHNCPHQAMTHLRDVSSGEDSDNAQKRLKK >KJB80442 pep chromosome:Graimondii2_0_v6:13:17404519:17408207:-1 gene:B456_013G098000 transcript:KJB80442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGKGSQEEEEYEEEEFGSKKGGFSSNQTMSFNPNNNSTTNKDGKNNDKANAIRSKHSVTEQRRRSKINERFQRLRDLIPNTDQKRDTASFLLEEKVQKYEDSYQGWSSEPAKLMPWRNSHWHVQSLVGHPQAIKNGSGPGATFARKFDENNTNINPTVITSGPNAVETDPIRYVTSKTMDCQTELANNGTHLPIQGENVLVHPLQRPVSETQSTECLVGNDIPINQQEDLTIEGGTISISSVYSQGLLSALAQALQGSGLDLSQANMSVQIDLGKHANRGLSAKEPHNCPHQAMTHLRDVSSGEDSDNAQKRLKK >KJB80445 pep chromosome:Graimondii2_0_v6:13:17404784:17408207:-1 gene:B456_013G098000 transcript:KJB80445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGKGSQEEEEYEEEEFGSKKGGFSSNQTMSFNPNNNSTTNKDGKNNDKANAIRSKHSVTEQRRRSKINERFQRLRDLIPNTDQKRDTASFLLEVIEYVQYLQEKVQKYEDSYQGWSSEPAKLMPWSLVGHPQAIKNGSGPGATFARKFDENNTNINPTVITSGPNAVETDPIRYVTSKTMDCQTELANNGTHLPIQGENVLVHPLQRPVSETQSTECLVGNDIPINQQEDLTIEGGTISISSVYSQGLLSALAQALQGSGLDLSQANMSVQIDLGKHANRGLSAKEPHNCPHQAMTHLRDVSSGEDSDNAQKRLKK >KJB80443 pep chromosome:Graimondii2_0_v6:13:17404519:17408212:-1 gene:B456_013G098000 transcript:KJB80443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGKGSQEEEEYEEEEFGSKKGGFSSNQTMSFNPNNNSTTNKDGKNNDKANAIRSKHSVTEQRRRSKINERFQRLRDLIPNTDQKRDTASFLLEVIEYVQYLQEKVQKYEDSYQGWSSEPAKLMPWRNSHWHVQSLVGHPQAIKNGSGPGATFARKFDENNTNINPTVITSGPNAVETDPIRYVTSKTMDCQTELANNGTHLPIQGENVLVHPLQRPVSETQSTECLVGNDIPINQQEDLTIEGGTISISSVYSQGLLSALAQALQGSGLDLSQANMSVQIDLGKHANRGLSAKEPHNCPHQAMTHLRDVSSGEDSDNAQKRLKK >KJB81449 pep chromosome:Graimondii2_0_v6:13:40173719:40176185:-1 gene:B456_013G146400 transcript:KJB81449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFLSKRKLKQMQIFEYLKKRTSMAAAPLQISTRGGESTAPINEPSGPQRKQSTKHKYGFIPDHFSTFDQVTQALREAGLESSNLILGIDFTKSNEWTGKVSFNNRSLHAIGDTPNPYEKAISIIGKTLAPFDEDNLIPCFGFGDATTHDTEVFSFHNDHSSCHGFEEVLACYRKIVPNLRLAGPTSYAPVIDVAVDIVENSGGQFHVLVIIADGQVTRSVNTSDRELSPQEESTINSIVNASLYPLSIVLVGVGDGPWDDMKKFDDKIPAREFDNFQVSIMLEEKK >KJB81444 pep chromosome:Graimondii2_0_v6:13:40172581:40176511:-1 gene:B456_013G146400 transcript:KJB81444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSMAAAPLQISTRGGESTAPINEPSGPQRKQSTKHKYGFIPDHFSTFDQVTQALREAGLESSNLILGIDFTKSNEWTGKVSFNNRSLHAIGDTPNPYEKAISIIGKTLAPFDEDNLIPCFGFGDATTHDTEVFSFHNDHSSCHGFEEVLACYRKIVPNLRLAGPTSYAPVIDVAVDIVENSGGQFHVLVIIADGQVTRSVNTSDRELSPQEESTINSIVNASLYPLSIVLVGVGDGPWDDMKKFDDKIPAREFDNFQFVNFTGIMSKNISLKEKETAFALAALMEIPLQYKAVIELGILGQRTGKAKKVVARPPPVSYRRPTPAPPERMPSNVSSSSPADDQTQATCPICLTNTKDLAFNCGHTTCRECGSKVSNCPICRQRITNRLRLFT >KJB81448 pep chromosome:Graimondii2_0_v6:13:40172601:40176263:-1 gene:B456_013G146400 transcript:KJB81448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFLSKRKLKQMQIFEYLKKRTSMAAAPLQISTRGGESTAPINEPSGPQRKQSTKHKYGFIPDHFSTFDQVTQALREAGLESSNLILGIDFTKSNEWTGKVSFNNRSLHAIGDTPNPYEKAISIIGKTLAPFDEDNLIPCFGFGDATTHDTEVFSFHNDHSSCHGFEEVLACYRKIVPNLRLAGPTSYAPVIDVAVDIVENSGGQFHVLVIIADGQVTRSVNTSDRELSPQEESTINSIVNASLYPLSIVLVGVGDGPWDDMKKFDDKIPAREFDNFQFVNFTGIMSKNISLKEKETAFALAALMEIPLQYKAVIELGILGQRTGKAKKVVARPPPVSYRRPTPAPPERMPSNVSSSSPADDQTQATCPICLTNTKDLAFNCGHTGMWVKSVELSNMPPKDY >KJB81446 pep chromosome:Graimondii2_0_v6:13:40172790:40176135:-1 gene:B456_013G146400 transcript:KJB81446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFEYLKKRTSMAAAPLQISTRGGESTAPINEPSGPQRKQSTKHKYGFIPDHFSTFDQVTQALREAGLESSNLILGIDFTKSNEWTGKVSFNNRSLHAIGDTPNPYEKAISIIGKTLAPFDEDNLIPCFGFGDATTHDTEVFSFHNDHSSCHGFEEVLACYRKIVPNLRLAGPTSYAPVIDVAVDIVENSGGQFHVLVIIADGQVTRSVNTSDRELSPQEESTINSIVNASLYPLSIVLVGVGDGPWDDMKKFDDKIPAREFDNFQFVNFTGIMSKNISLKEKETAFALAALMEIPLQYKAVIELGILGQRTGKAKKVVARPPPVSYRRPTPAPPERMPSNVSSSSPADDQTQATCPICLTNTKDLAFNCGHTTCRECGSKVSNCPICRQRITNRLRLFT >KJB81445 pep chromosome:Graimondii2_0_v6:13:40172590:40176433:-1 gene:B456_013G146400 transcript:KJB81445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFEYLKKRTSMAAAPLQISTRGGESTAPINEPSGPQRKQSTKHKYGFIPDHFSTFDQVTQALREAGLESSNLILGIDFTKSNEWTGKVSFNNRSLHAIGDTPNPYEKAISIIGKTLAPFDEDNLIPCFGFGDATTHDTEVFSFHNDHSSCHGFEEVLACYRKIVPNLRLAGPTSYAPVIDVAVDIVENSGGQFHVLVIIADGQVTRSVNTSDRELSPQEESTINSIVNASLYPLSIVLVGVGDGPWDDMKKFDDKIPAREFDNFQFVNFTGIMSKNISLKEKETAFALAALMEIPLQYKAVIELGILGQRTGKAKKVVARPPPVSYRRPTPAPPERMPSNVSSSSPADDQTQATCPICLTNTKDLAFNCGHTTCRECGSKVSNCPICRQRITNRLRLFT >KJB81447 pep chromosome:Graimondii2_0_v6:13:40172790:40176171:-1 gene:B456_013G146400 transcript:KJB81447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFLSKRKLKQMQIFEYLKKRTSMAAAPLQISTRGGESTAPINEPSGPQRKQSTKHKYGFIPDHFSTFDQVTQALREAGLESSNLILGIDFTKSNEWTGKVSFNNRSLHAIGDTPNPYEKAISIIGKTLAPFDEDNLIPCFGFGDATTHDTEVFSFHNDHSSCHGFEEVLACYRKIVPNLRLAGPTSYAPVIDVAVDIVENSGGQFHVLVIIADGQVTRSVNTSDRELSPQEESTINSIVNASLYPLSIVLVGVGDGPWDDMKKFDDKIPAREFDNFQFVNFTGIMSKNISLKEKETAFALAALMEIPLQYKAVIELGILGQRTGKAKKVVARPPPVSYRRPTPAPPERMPSNVSSSSPADDQTQATCPICLTNTKDLAFNCGHTTCRECGSKVSNCPICRQRITNRLRLFT >KJB82891 pep chromosome:Graimondii2_0_v6:13:53891680:53897577:1 gene:B456_013G219200 transcript:KJB82891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLFRDLSLGHSKRESTPRPSLLQTKPQPMPSKLTSADLQSPFGQLASQLNDSDLRFIAYDVFLARSLTSAAASKMKKALGLKSPGSSPGSKKSPGSGPGSGQGKSKRPVTAGELMRIQMRVPEAVDARVRRSLLRIGGGLVGRRIESVVLPFELLQQLKQSDFTEQQEYDEWQKRNLKFLEAGLLLHPRVPLDKSNNASQRLQQIIHAALDRPIDTGKNNESTQVLRTAVMSLASRSDGSLSDSCHRADGIPLNLRLYEILLETCFDINDETSIIEEVEELMEHIKKTWVILGINQMLHNLCFTWVLQLADVAKDVKTTKDPDNSKILSSTLSLILGWAEKRLLAYHDTFDSGNIYTMQGIVSLGVSAAKILVEDVSTEYRRKRKGEVDVARNRIDTYIRSSLHTAFAQRMEKADSSRRASKNQLNPLPILAILAKDVSELAVHEKHVFSPILKGWHPLAAGVAVATLHALLRAADKLEKDLVQIAVEDEVDSDDGGKVIIREMPPYEAEAAIGNLVKGWIKTRVDRLKECVDRALLQEVWSQQASQEGHAPSAVKILRIIDETLDAFFQLPIPTHPTLLPDLIVGLDKCLQYYVIKTKPGCSRNTYIPTMPALTRCEIGSKFQGVWKKKEKSQNPQNRNSQLCVHINTLHCIQSEMDVLEKRIITHLRNCESAHEEEFSNGLSKKFKLTPAACVEGVQQLSEAVAYKIVFHDLSHVLWDGLYVWEPSSSRIDSLLRELEQNLLIISETVHERVRTRIITDIMNASCDGFLLVLLAGGPSRAFSRQDSQIIEDDFKALKDLFWANGDGLPADLIDKFSATVRGVLPLFRTDTESLIEQFRHVTLETYGCPLDPGSHYLQHQGSGILQSQTHSCACYVIVMTRQLQSSLKRHTIYLKSFNDPGFCI >KJB83798 pep chromosome:Graimondii2_0_v6:13:57804350:57805166:-1 gene:B456_013G265200 transcript:KJB83798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDREDREIEAMAMANCLMLLSKVGQIDPPKHNSIHQRGVFACKTCDKRFSSFQALGGHRASHKKPRFTDADLPASPKKPKIHECSICGLEFPIGQALGGHMRKHRVVSNEGMVVTRPVTEKLNGDDADNDGGLCLDLKLAPCGIDLDLKLEKAAAPPRMPPVVHLFI >KJB82069 pep chromosome:Graimondii2_0_v6:13:46321932:46323098:-1 gene:B456_013G174600 transcript:KJB82069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVQRIYSANRFDYVLLDEIGNGGSATVYRAFYLPLNAVVAVKCLDLDRCNNGSLEKIRLETQTMSCVDHPNLVRAYSSFVVDRNLWVVMPFMSVGSCLHAMKKAHPNGLEEIEICFILKETLKALDYLHRQGHIHRDVKAGNILVDSNGAVKLSDFGVSACMFDSGDRQRCRNTFTGTPCWMAPEVMQAETGYDSKADIWSFGITALELAHGHPPLSEYPPLKVLLMTVQNAPPRLGDKKFSKSFKDMVAMCLVKDPKKRPNAGKLLKHSFFKHAKPPEVSLKKLLAYFRPPLNPVKVLQIQNSESAQQLPSKNMASAAEYEARSMREYQKGISAWSFDIQELKAQASTLLLDDDNDETLESSLVDNYRDGLTVMGQCNQLFPLKC >KJB78375 pep chromosome:Graimondii2_0_v6:13:3162668:3164672:-1 gene:B456_013G0396002 transcript:KJB78375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKDDDYGEEEDSDYVLLDLEAVRGQIDIPPNAPYTLSGLDTMNPILIIDKKVKLPEYEETIGTCFVFSEDEASPVVHEETGPSEANLFSGKYMLDPNQAPRKQVKPVARLQKILKFRLLLDEDVQVETNSQNNSIL >KJB80218 pep chromosome:Graimondii2_0_v6:13:13151178:13152604:-1 gene:B456_013G086900 transcript:KJB80218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGFFLLGLLSMVSAVHGYGGGWTNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEIKCVNDGKWCLPGSIVVTATNFCPPNNALPNNAGGWCNPPLQHFDLSQPVFQHIAQYKAGIVPVSYRRVPCRRKGGIRFTINGHSYFNLVLISNVGGAGDVHAVAIKGSRTGWQPMSRNWGQNWQSNNYLNGQSLSFKVTTSDGRTVVSNNVAPSGWSFGQTFTGGQFR >KJB79195 pep chromosome:Graimondii2_0_v6:13:2981054:2984683:1 gene:B456_013G037500 transcript:KJB79195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAELKERHAAAIEAVNDLRERLKQRRQQLLDADVAGYARSLGRSQVTFGPTDLVCCRTLQGHTGKVYSLDWTPERDRIVSASQDGRLIVWNAQTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDGMCSIFNLNSATDRDGNLPVSVTLNGHKGYVSCCQYVPDEDIHIITSSGDQTCVLWDITTGLRTTVFGGEFQSGHTADVLSVSINGTNSRVFVSGSCDGTARLWDTRVASRAMRTFQGHEGDVNTLKFFPDGNRFGTGSDDGTCRLFDIRNGHQLQVYYQQHGDKEVPLVTSIAFSISGRLLFAGYSNGDCYVWDTLLARVVLNLGSVKNSHENRISCLGLSADGSSLCTGSWDTNLKIWAFGGHRKVI >KJB79196 pep chromosome:Graimondii2_0_v6:13:2981195:2984546:1 gene:B456_013G037500 transcript:KJB79196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCAFSPTGQSVACGGLDGMCSIFNLNSATDRDGNLPVSVTLNGHKGYVSCCQYVPDEDIHIITSSGDQTCVLWDITTGLRTTVFGGEFQSGHTADVLSVSINGTNSRVFVSGSCDGTARLWDTRVASRAMRTFQGHEGDVNTLKFFPDGNRFGTGSDDGTCRLFDIRNGHQLQVYYQQHGDKEVPLVTSIAFSISGRLLFAGYSNGDCYVWDTLLARVVLNLGSVKNSHENRISCLGLSADGSSLCTGSWDTNLKIWAFGGHRKVI >KJB79197 pep chromosome:Graimondii2_0_v6:13:2981195:2984546:1 gene:B456_013G037500 transcript:KJB79197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAELKERHAAAIEAVNDLRERLKQRRQQLLDADVAGYARSLGRSQVTFGPTDLVCCRTLQGHTGKVYSLDWTPERDRIVSASQDGRLIVWNAQTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDGMCSIFNLNSATDRDGNLPVSVTLNGHKGYVSCCQYVPDEDIHIITSSGDQTCVLWDITTGLRTTVFGGEFQSGHTADVLRYFSYGNRFGTGSDDGTCRLFDIRNGHQLQVYYQQHGDKEVPLVTSIAFSISGRLLFAGYSNGDCYVWDTLLARVVLNLGSVKNSHENRISCLGLSADGSSLCTGSWDTNLKIWAFGGHRKVI >KJB79198 pep chromosome:Graimondii2_0_v6:13:2981195:2984546:1 gene:B456_013G037500 transcript:KJB79198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCAFSPTGQSVACGGLDGMCSIFNLNSATDRDGNLPVSVTLNGHKGYVSCCQYVPDEDIHIITSSGDQTCVLWDITTGLRTTVFGGEFQSGHTADVLSVSINGTNSRVFVSGSCDGTARLWDTRVASRAMRTFQGHEGDVNTLKFFPDGNRFGTGSDDGTCRLFDIRNGHQLQVYYQQHGDKEVPLVTSIAFSISGRLLFAGYSNGDCYVWDTLLARVVLNLGSVKNSHENRISCLGLSADGSSLCTGSWDTNLKIWAFGGHRKVI >KJB79194 pep chromosome:Graimondii2_0_v6:13:2981036:2984651:1 gene:B456_013G037500 transcript:KJB79194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVPDEDIHIITSSGDQTCVLWDITTGLRTTVFGGEFQSGHTADVLSVSINGTNSRVFVSGSCDGTARLWDTRVASRAMRTFQGHEGDVNTLKFFPDGNRFGTGSDDGTCRLFDIRNGHQLQVYYQQHGDKEVPLVTSIAFSISGRLLFAGYSNGDCYVWDTLLARVVLNLGSVKNSHENRISCLGLSADGSSLCTGSWDTNLKIWAFGGHRKVI >KJB81304 pep chromosome:Graimondii2_0_v6:13:36812169:36814051:1 gene:B456_013G138000 transcript:KJB81304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDKELTPSKYDMNAKWDACIDLTLRRFVYSSLAGAFGGLLFFIVSMLFCTRQRWLFPLYMRLLYIHSCRCQCKYDVYTCPKYVGI >KJB79736 pep chromosome:Graimondii2_0_v6:13:7231436:7235084:1 gene:B456_013G064500 transcript:KJB79736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCLGSFKGNNHFQGSNTTTGANLAPSISPTANTMRRAFDHQAHFVLGRKTPNIHEIYTFGRKLGQGQFGITYLCTEISTGIEYACKSISKRKLIRKEDVEDARREIQIMHHLAGHKNIVSIKGAYEDTLYVYIVMEFCSGGELFDRIIQRGHYSERKAAELTKIIVGVIEVCHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSAFFKPGEIFSDVVGSPYYVAPEVLLKHYGPEADIWSAGVILYILLCGLPPFWAETIQEIFAAVLKGHIDFSSYPWPLISDSAKDLIRKMLCIHPSERLTAHEVLCHPWICKNGVAPDKALDPAILSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREIFTAMDTGKSGAITFDELKAGLQRYGATLKDTEIQDLMNAADVSNSGTIDYGEFIAATIHLNKLEREEHLVAAFQYFDKDKSGYITIGELKQVCAELNVTNVLLEDIIQEVDQDNDGRIDYAEFVAMMQKGNAGVGRRPIRNSLNMSIRDVPGSQ >KJB79737 pep chromosome:Graimondii2_0_v6:13:7231674:7235023:1 gene:B456_013G064500 transcript:KJB79737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCLGSFKGNNHFQGSNTTTGANLAPSISPTANTMRRAFDHQAHFVLGRKTPNIHEIYTFGRKLGQGQFGITYLCTEISTGIEYACKSISKRKLIRKEDVEDARREIQIMHHLAGHKNIVSIKGAYEDTLYVYIVMEFCSGGELFDRIIQRGHYSERKAAELTKIIVGVIEVCHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSAFFKPGEIFSDVVGSPYYVAPEVLLKHYGPEADIWSAGVILYILLCGLPPFWAETIQEIFAAVLKGHIDFSSYPWPLISDSAKDLIRKMLCIHPSERLTAHEVLCHPWICKNGVAPDKALDPAILSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREIFTAMDTGKSGAITFDELKAGLQRYGATLKDTEIQDLMNAADVSNSGTIDYGEFIAATIHLNKLEREEHLVAAFQYFDKDKSGYITIGELKQVCAELNVTNVLLEDIIQEVDQDNDGRIDYAEFVAMMQKGNAGVGRRPIRNSLNMSIRDVPGSQ >KJB78515 pep chromosome:Graimondii2_0_v6:13:243024:246381:-1 gene:B456_013G003400 transcript:KJB78515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSSTCSSSSNSSIASPSSPSSPSSPSLSSSSSPSSSSSESPQNVVSVISEKPKGKRVRKNQNQKCISSNANTSRRSSIYRGVTRHRWTGRFEAHLWDKTSWNSIQNKKGRQVYLGAYDSEEDAARTYDLAALKYWGPETTLNFPVERYGKEIEEMNKVSKEEYLASLRRRSSGFSRGISKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYNTEEEAAAAYDMAALEYRGVNAVTNFDISHYVERLMEKGILYLDPTPEQSPSSVEAGPIEVEQQPQQGYEAADEHQHFQNMQMQLPLCNDNATTMVGTETTDGNELAWSFCMDSGLTSFFSPEFPTVFDDMGFEDNVDSLFDLGNNKNAVGRKCLSDEASCVEVGDSSTTSVSCDGFSFWG >KJB81643 pep chromosome:Graimondii2_0_v6:13:42527339:42528304:1 gene:B456_013G154400 transcript:KJB81643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWALIFEFWFRKVDSRQNSIIDCISGVRPFGVSLLVAGYDDNGPQLYQDVKAIGLTQGDATMESSPVSQTTDLRPTFSDNVPNLGNGGNVSAMNVNNKLPTL >KJB81642 pep chromosome:Graimondii2_0_v6:13:42526557:42528449:1 gene:B456_013G154400 transcript:KJB81642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFRFRKYSVWHQILELFTVVWALIFEFWFRKVDSRQNSIIDCISGVRPFGVSLLVAGYDDNGPQLYQDVKAIGLTQGDATMESSPVSQTTDLRPTFSDNVPNLGNGGNVSAMNVNNKLPTL >KJB81641 pep chromosome:Graimondii2_0_v6:13:42526084:42528485:1 gene:B456_013G154400 transcript:KJB81641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQFRKYSVWHQILELFTVVWALIFEFWFRKVDSRQNSIIDCISGVRPFGVSLLVAGYDDNGPQLYQDVKAIGLTQGDATMESSPVSQTTDLRPTFSDNVPNLGNGGNVSAMNVNNKLPTL >KJB81639 pep chromosome:Graimondii2_0_v6:13:42525598:42528447:1 gene:B456_013G154400 transcript:KJB81639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHGVRPFGVSLLVAGYDDNGPQLYQDVKAIGLTQGDATMESSPVSQTTDLRPTFSDNVPNLGNGGNVSAMNVNNKLPTL >KJB81640 pep chromosome:Graimondii2_0_v6:13:42525598:42528447:1 gene:B456_013G154400 transcript:KJB81640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQFRKYSVWHQILELFTVVWALIFEFWFRKVDSRQNSIIDCISGVRPFGVSLLVAGYDDNGPQLYQDVKAIGLTQGDATMESSPVSQTTDLRPTFSDNVPNLGNGGNVSAMNVNNKLPTL >KJB80721 pep chromosome:Graimondii2_0_v6:13:26557568:26563105:-1 gene:B456_013G112000 transcript:KJB80721 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPC3 [Source:Projected from Arabidopsis thaliana (AT3G03520) UniProtKB/TrEMBL;Acc:A0A178VBD6] MAVETSSATPSPIKTVVVLVQENRSFDHMLGWFKTINPEIGGVTGSESNPISTSDPNSTQITFKDTAGYVDPDPDHSFQAIYEQVSGKTWDTNNPDPNPEIKMNGFVQNAERTTPGLSETVMNGFKPEAVPVFKQLVTEFAVCDRWFASLPASTQPNRLYVHSATSHGAMSNNTQQLIEGFPQKTIFESLEENGYSFGIYYQSFPSTLFYRKLRHLKYVDNFHQYDLSFKRHCKDGKLPNYVVIEPRYFDILTAAANDDHPSHDVSEGQKLVKEIYEALRSSPQWNEILFLVIYDEHGGFYDHVPTPTGVPSPDDIVGPEPYNFKFDRLGCRVPAIMVSPWIEPETVLHRPSGPDPTSEFEHSSIAATLKKIFNLKEFLTKRDAWAGSFDIVVNRSTPRTDCPGKFNYLNTLQLFFFRSKVIESYNNNYCIKM >KJB80719 pep chromosome:Graimondii2_0_v6:13:26556969:26563278:-1 gene:B456_013G112000 transcript:KJB80719 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPC3 [Source:Projected from Arabidopsis thaliana (AT3G03520) UniProtKB/TrEMBL;Acc:A0A178VBD6] MAVETSSATPSPIKTVVVLVQENRSFDHMLGWFKTINPEIGGVTGSESNPISTSDPNSTQITFKDTAGYVDPDPDHSFQAIYEQVSGKTWDTNNPDPNPEIKMNGFVQNAERTTPGLSETVMNGFKPEAVPVFKQLVTEFAVCDRWFASLPASTQPNRLYVHSATSHGAMSNNTQQLIEGFPQKTIFESLEENGYSFGIYYQSFPSTLFYRKLRHLKYVDNFHQYDLSFKRHCKDGKLPNYVVIEPRYFDILTAAANDDHPSHDVSEGQKLVKEIYEALRSSPQWNEILFLVIYDEHGGFYDHVPTPTGVPSPDDIVGPEPYNFKFDRLGCRVPAIMVSPWIEPETVLHRPSGPDPTSEFEHSSIAATLKKIFNLKEFLTKRDAWAGSFDIVVNRSTPRTDCPEKLAEPVKMRDTDAKETAKLSDFQEELVQAAAALKGDPFNLVENMTVSSGLKYVEDAFKKFYDDGQKAKEINEVEDTVSADASTRRTTASKTFMQKVFSCLVCDR >KJB80722 pep chromosome:Graimondii2_0_v6:13:26556976:26563278:-1 gene:B456_013G112000 transcript:KJB80722 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPC3 [Source:Projected from Arabidopsis thaliana (AT3G03520) UniProtKB/TrEMBL;Acc:A0A178VBD6] MAVETSSATPSPIKTVVVLVQENRSFDHMLGWFKTINPEIGGVTGSESNPISTSDPNSTQITFKDTAGYVDPDPDHSFQAIYEQVSGKTWDTNNPDPNPEIKMNGFVQNAERTTPGLSETVMNGFKPEAVPVFKQLVTEFAVCDRWFASLPASTQPNRLYVHSATSHGAMSNNTQQLIEGFPQKTIFESLEENGYSFGIYYQSFPSTLFYRHLKYVDNFHQYDLSFKRHCKDGKLPNYVVIEPRYFDILTAAANDDHPSHDVSEGQKLVKEIYEALRSSPQWNEILFLVIYDEHGGFYDHVPTPTGVPSPDDIVGPEPYNFKFDRLGCRVPAIMVSPWIEPETVLHRPSGPDPTSEFEHSSIAATLKKIFNLKEFLTKRDAWAGSFDIVVNRSTPRTDCPEKLAEPVKMRDTDAKETAKLSDFQEELVQAAAALKGDPFNLVENMTVSSGLKYVEDAFKKFYDDGQKAKEINEVEDTVSADASTRRTTASKTFMQKVFSCLVCDR >KJB80720 pep chromosome:Graimondii2_0_v6:13:26556976:26563278:-1 gene:B456_013G112000 transcript:KJB80720 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPC3 [Source:Projected from Arabidopsis thaliana (AT3G03520) UniProtKB/TrEMBL;Acc:A0A178VBD6] MAVETSSATPSPIKTVVVLVQENRSFDHMLGWFKTINPEIGGVTGSESNPISTSDPNSTQITFKDTAGYVDPDPDHSFQAIYEQVSGKTWDTNNPDPNPEIKMNGFVQNAERTTPGLSETVMNGFKPEAVPVFKQLVTEFAVCDRWFASLPASTQPNRLYVHSATSHGAMSNNTQQLIEGFPQKTIFESLEENGYSFGIYYQSFPSTLFYRKLRHLKYVDNFHQYDLSFKRHCKDGKLPNYVVIEPRYFDILTAAANDDHPSHDVSEGQKLVKEIYEALRSSPQWNEILFLVIYDEHGGFYDHVPTPTGVPSPDDIVGPEPYNFKFDRLGCRVPAIMVSPWIEPETGKSGCKIMICCL >KJB81035 pep chromosome:Graimondii2_0_v6:13:32817580:32818774:-1 gene:B456_013G126400 transcript:KJB81035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSFLVGVVGNIISVLLFLSPLGTFCRIVRHRSTEEFESFPYICTLLNSALWTYYGVTKPGSLLVATVNGFGIVVELIYVALFLIFAPPRIRAKTGILFGVFDVGFVAATVLGTQLILDGEMRIDVIGFLCVGLNILMYGSPLAAMRTVVTSKSVEFMPFLLSFFVFLNGAIWTLYAVLVKDYFLGVPNGIGFVLGIAQLLLYAIYSREHNNKSSKTSSDELENGWQIREPFVSSSTSTNMDEKNHV >KJB81033 pep chromosome:Graimondii2_0_v6:13:32817426:32818566:-1 gene:B456_013G126400 transcript:KJB81033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCISCDWNCRGTFCRIVRHRSTEEFESFPYICTLLNSALWTYYGVTKPGSLLVATVNGFGIVVELIYVALFLIFAPPRIRAKTGILFGVFDVGFVAATVLGTQLILDGEMRIDVIGFLCVGLNILMYGSPLAAMRTVVTSKSVEFMPFLLSFFVFLNGAIWTLYAVLVKDYFLGVPNGIGFVLGIAQLLLYAIYSREHNNKSSKTSSDELENGWQIREPFVSSSTSTNMDEKNHV >KJB81034 pep chromosome:Graimondii2_0_v6:13:32817426:32818879:-1 gene:B456_013G126400 transcript:KJB81034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSFLVGVVGNIISVLLFLSPLIVRHRSTEEFESFPYICTLLNSALWTYYGVTKPGSLLVATVNGFGIVVELIYVALFLIFAPPRIRAKTGILFGVFDVGFVAATVLGTQLILDGEMRIDVIGFLCVGLNILMYGSPLAAMRTVVTSKSVEFMPFLLSFFVFLNGAIWTLYAVLVKDYFLGVPNGIGFVLGIAQLLLYAIYSREHNNKSSKTSSDELENGWQIREPFVSSSTSTNMDEKNHV >KJB83964 pep chromosome:Graimondii2_0_v6:13:38793074:38795167:1 gene:B456_013G142300 transcript:KJB83964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNPLFLVLTVIFSSLVVQPVMGAKGFSVELIHRDSPISPFYNASLTSSQILRKNALHSLDRLRHFQSMIDQSAIQSAVTPNGGIYLMKLSFGTPPVDYMAVADTGSDLIWIQCVPCLQPQCYPQGSPPFDPQASSTYKKIPCDSDSCQALPRYQCSNDVNDCQYSYSYGDKSFTKGILSTDTLTFDDSNGQKTAFPTSIFGCGHNNQGKFRSPSSGLVGLGGGPLSLVSQISTQIDNRFSYCLVPRSASSSSKLLFGQEAIISRPGAVSTPLVTKTPQTFYYLSLEGVSIGDKTAQAGSSQGNIIIDSGTTLTYLESSFYSSLETIVKDAIGVDPVKDPSGTFSLCYGAKTNINVPEMVFHFSGADLRLQPENTFRNNDGLLCMLIVPSDSLSIFGNYAQMNFQVEYDLRKRTVSFAPTVCTKQ >KJB79251 pep chromosome:Graimondii2_0_v6:13:3236575:3242917:-1 gene:B456_013G040200 transcript:KJB79251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLSSEERDERLKHHLMVSEELHPALISPESEYKVIQRLIGGVLAVVLRPREAQCPLVRTIAREIVTCLVVQPLLNLANPGCINEVIEYVLLAINDGMIKVVEGFDQSSVEVRGDVSSSCKIASFNSQGTDLTLARLDDQKETYSDSNRCEEESVQPRPADWARKLEAATQRRTEVLAPENLENMWTKGRNYKKKEKKYVNTRFQEAIPRGSVTKSAVLTGYPGSEISTNNVGTSTGSEEKTVMQTMPGLNLDAQLFDGNTAGTQLAPEFNNLSSFGGDHHVNNFNDASEQSADGNKSRLKRSSSSSDLNVEPDTKKVFTGDIREPIISEFYSPDFGRHTEEYRGKITSNTVLRNEEPHVPKLRCRVIGAYVEKLGSKSFAVYSIAVTDAENRTWFVKRRYRNFERLHRHLKEIPNYTLHLPPKRIFSSSTEDTFVHQRCIQLDKYLEDLLSIANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAADDIVRQFRGVSDGVMCKAVDSSSPPSEASSSVTGRTLSWSADEIAKDISRQSNLETVHSASDNEEGDKDSSHGHEDDRSGSQGHGWHPDFESNTSLPPRVIEHGGESGNLVSEKHNLGVKTELLGQQGSPAIKFPTTSSHMEDPVGMPPEWAPPNMSVPLLNLVDNVFQLKRRGWLRRQVFWISKQILQLVMEDAIDDWLLRQIYWLRREDTIALGIRWIQDILWPGGTFFTKAGNIQGKFENTQPNQTPSQNFSQFGGGNVNKPGSFEEQLEAARRASSIKKMLFDGAPTALVSLIGHKQYRRCARDIYFFTQSTICVKQLAYAILELVIISVFPELRDLVVDLHGKKHIKVA >KJB79253 pep chromosome:Graimondii2_0_v6:13:3236575:3241081:-1 gene:B456_013G040200 transcript:KJB79253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVVEGFDQSSVEVRGDVSSSCKIASFNSQGTDLTLARLDDQKETYSDSNRCEEESVQPRPADWARKLEAATQRRTEVLAPENLENMWTKGRNYKKKEKKYVNTRFQEAIPRGSVTKSAVLTGYPGSEISTNNVGTSTGSEEKTVMQTMPGLNLDAQLFDGNTAGTQLAPEFNNLSSFGGDHHVNNFNDASEQSADGNKSRLKRSSSSSDLNVEPDTKKVFTGDIREPIISEFYSPDFGRHTEEYRGKITSNTVLRNEEPHVPKLRCRVIGAYVEKLGSKSFAVYSIAVTDAENRTWFVKRRYRNFERLHRHLKEIPNYTLHLPPKRIFSSSTEDTFVHQRCIQLDKYLEDLLSIANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAADDIVRQFRGVSDGVMCKAVDSSSPPSEASSSVTGRTLSWSADEIAKDISRQSNLETVHSASDNEEGDKDSSHGHEDDRSGSQGHGWHPDFESNTSLPPRVIEHGGESGNLVSEKHNLGVKTELLGQQGSPAIKFPTTSSHMEDPVGMPPEWAPPNMSVPLLNLVDNVFQLKRRGWLRRQVFWISKQILQLVMEDAIDDWLLRQIYWLRREDTIALGIRWIQDILWPGGTFFTKAGNIQGKFENTQPNQTPSQNFSQFGGGNVNKPGSFEEQLEAARRASSIKKMLFDGAPTALVSLIGHKQYRRCARDIYFFTQSTICVKQLAYAILELVIISVFPELRDLVVDLHGKKHIKVA >KJB79252 pep chromosome:Graimondii2_0_v6:13:3236032:3243184:-1 gene:B456_013G040200 transcript:KJB79252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMETIQDLIEDAKVRTVWWALATFVVTYFLTHTSTSMWMNLPIAILIVAGLRILSNEVEFKWKVKSVRVLSYLSHLEKKQLSVNDSRVSSSSPPLKWKRKIDSPMVEAALNELIDKILRDFVVDLWYSEITPDREAPELMRDVILDAIGEISGRAKEINLVDLLTRDIVDLIGDHLDLFRRNQAAIGVDVMVTLSSEERDERLKHHLMVSEELHPALISPESEYKVIQRLIGGVLAVVLRPREAQCPLVRTIAREIVTCLVVQPLLNLANPGCINEVIEYVLLAINDGMIKVVEGFDQSSVEVRGDVSSSCKIASFNSQGTDLTLARLDDQKETYSDSNRCEEESVQPRPADWARKLEAATQRRTEVLAPENLENMWTKGRNYKKKEKKYVNTRFQEAIPRGSVTKSAVLTGYPGSEISTNNVGTSTGSEEKTVMQTMPGLNLDAQLFDGNTAGTQLAPEFNNLSSFGGDHHVNNFNDASEQSADGNKSRLKRSSSSSDLNVEPDTKKVFTGDIREPIISEFYSPDFGRHTEEYRGKITSNTVLRNEEPHVPKLRCRVIGAYVEKLGSKSFAVYSIAVTDAENRTWFVKRRYRNFERLHRHLKEIPNYTLHLPPKRIFSSSTEDTFVHQRCIQLDKYLEDLLSIANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAADDIVRQFRGVSDGVMCKAVDSSSPPSEASSSVTGRTLSWSADEIAKDISRQSNLETVHSASDNEEGDKDSSHGHEDDRSGSQGHGWHPDFESNTSLPPRVIEHGGESGNLVSEKHNLGVKTELLGQQGSPAIKFPTTSSHMEDPVGMPPEWAPPNMSVPLLNLVDNVFQLKRRGWLRRQVFWISKQILQLVMEDAIDDWLLRQIYWLRREDTIALGIRWIQDILWPGGTFFTKAGNIQGKFENTQPNQTPSQNFSQFGGGNVNKPGSFEEQLEAARRASSIKKMLFDGAPTALVSLIGHKQYRRCARDIYFFTQSTICVKQLAYAILELVIISVFPELRDLVVDLHGKKHIKVA >KJB79250 pep chromosome:Graimondii2_0_v6:13:3236032:3241988:-1 gene:B456_013G040200 transcript:KJB79250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVVEGFDQSSVEVRGDVSSSCKIASFNSQGTDLTLARLDDQKETYSDSNRCEEESVQPRPADWARKLEAATQRRTEVLAPENLENMWTKGRNYKKKEKKYVNTRFQEAIPRGSVTKSAVLTGYPGSEISTNNVGTSTGSEEKTVMQTMPGLNLDAQLFDGNTAGTQLAPEFNNLSSFGGDHHVNNFNDASEQSADGNKSRLKRSSSSSDLNVEPDTKKVFTGDIREPIISEFYSPDFGRHTEEYRGKITSNTVLRNEEPHVPKLRCRVIGAYVEKLGSKSFAVYSIAVTDAENRTWFVKRRYRNFERLHRHLKEIPNYTLHLPPKRIFSSSTEDTFVHQRCIQLDKYLEDLLSIANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAADDIVRQFRGVSDGVMCKAVDSSSPPSEASSSVTGRTLSWSADEIAKDISRQSNLETVHSASDNEEGDKDSSHGHEDDRSGSQGHGWHPDFESNTSLPPRVIEHGGESGNLVSEKHNLGVKTELLGQQGSPAIKFPTTSSHMEDPVGMPPEWAPPNMSVPLLNLVDNVFQLKRRGWLRRQVFWISKQILQLVMEDAIDDWLLRQIYWLRREDTIALGIRWIQDILWPGGTFFTKAGNIQGKFENTQPNQTPSQNFSQFGGGNVNKPGSFEEQLEAARRASSIKKMLFDGAPTALVSLIGHKQYRRCARDIYFFTQSTICVKQLAYAILELVIISVFPELRDLVVDLHGKKHIKVA >KJB79254 pep chromosome:Graimondii2_0_v6:13:3236575:3242917:-1 gene:B456_013G040200 transcript:KJB79254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLSSEERDERLKHHLMVSEELHPALISPESEYKVIQRLIGGVLAVVLRPREAQCPLVRTIAREIVTCLVVQPLLNLANPGCINEVIEYVLLAINDGMIKVVEGFDQSSVEVRGDVSSSCKIASFNSQGTDLTLARLDDQKETYSDSNRCEEESVQPRPADWARKLEAATQRRTEVLAPENLENMWTKGRNYKKKEKKYVNTRFQEAIPRGSVTKSAVLTGYPGSEISTNNVGTSTGSEEKTVMQTMPGLNLDAQLFDGNTAGTQLAPEFNNLSSFGGDHHVNNFNDASEQSADGNKSRLKRSSSSSDLNVEPDTKKVFTGDIREPIISEFYSPDFGRHTEEYRGKITSNTVLRNEEPHVPKLRCRVIGAYVEKLGSKSFAVYSIAVTDAENRTWFVKRRYRNFERLHRHLKEIPNYTLHLPPKRIFSSSTEDTFVHQRCIQLDKYLEDLLSIANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAADDIVRQFRGVSDGVMCKAVDSSSPPSEASSSVTGRTLSWSADEIAKDISRQSNLETVHSASDNEEGDKDSSHGHEDDRSGSQGHGWHPDFESNTSLPPRVIEHGGESGNLVSEKHNLGVKTELLGQQGSPAIKFPTTSSHMEDPVGMPPEWAPPNMSVPLLNLVDNVFQLKRRGWLRRQVFWISKQILQLVMEDAIDDWLLRQIYWLRREDTIALGIRWIQDILWPGGTFFTKAGNIQGKFENTQPNQTPSQNFSQFGGGNVNKPGSFEEQLEAARRASSIKKMLFDGAPTALVSLIGHKQYRRCARDIYFFTQSTICVKQLAYAILELVIISVFPELRDLVVDLHGKKHIKVA >KJB79826 pep chromosome:Graimondii2_0_v6:13:8307708:8310413:-1 gene:B456_013G071200 transcript:KJB79826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLDFQHTLLTFSSVINQFCIEGNISQAVRLAGEMIETRKVPNINVYTTLIKGKHHYATVVYLCGKMEPRRLERDDVTFNIWIDAYYKLRRLDYGLSVWGKMMKLGFQPTLLTFSTMINGFCIEGKFSDAFRLVGEMIETGKVPNINIYTTLIKGFHIIGDIDRVVKLLKMLEERGNNPNVITYNIDIDSFYKKGLLTQGLTLLSQS >KJB80568 pep chromosome:Graimondii2_0_v6:13:20662111:20665102:-1 gene:B456_013G104500 transcript:KJB80568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEEANKAAVESCHRVLSLLSQPQDQVHYRNLMAETGEAVFKFKRVVSLLNSSLGHARVRKLRKLQTPFPQSIFLDYQRHNPTDYPSKDLQLGYHQELSSHAKSSLYLGTPCLELSSNGKNPLPLAQQPPPPAHYHFLHQRGLQLQQHQAEMLSRKSNCGINFNFDSSSCTPTMSSTRSFISSLSIDGSVANMDSGNAFHLIGAPRSSDQGSQHKKKCSGKGEDGSVKCGSSGKCHCSKKRKQRVKRSIKVPAISTKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEEPSMLIVTYEGEHNHPKLPSQATT >KJB80569 pep chromosome:Graimondii2_0_v6:13:20662131:20664444:-1 gene:B456_013G104500 transcript:KJB80569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEEANKAAVESCHRVLSLLSQPQDQVHYRNLMAETGEAVFKFKRVVSLLNSSLGHARVRKLRKLQTPFPQSIFLDYQRHNPTDYPSKDLQLGYHQELSSHAKSSLYLGTPCLELSSNGKNPLPLAQQPPPPAHYHFLHQRGLQLQQHQAEMLSRKSNCGINFNFDSSSCTPTMSSTRSFISSLSIDGSVANMDSGNAFHLIGAPRSSDQGSQHKKKCSGKGEDGSVKCGSSGKCHCSKKRKQRVKRSIKVPAISTKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEEPSMLIVTYEGEHNHPKLPSQATT >KJB82861 pep chromosome:Graimondii2_0_v6:13:53780885:53784297:1 gene:B456_013G217600 transcript:KJB82861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKPIFFSFFFLLLFLSLNLQSSVSQTWIKAGYWDSSASLPVSDINSALFTHLFCAFAFVNSTSYQLFVNSSNEQHFSNFTRTVKLKNPSVTTILSIWVRRTESTTFSLMVNETSRRKSFIESSIKTARLYGFHGLDLFGVEPRNGINMTTFGTFLAEWRAEVASESRNSGKTQLLLTMSANRLPIVNSVSYPIESTKLNLDWVNIKAYDYYVPTLDRFTGFHAALYDPLGRANTDDGIKEWLKRGFPADKLVLGLPYHGYGWMLVNSGDSGIGSAASGPAHTIDGSIGYKSIKSFILDYGYGVEAVYNSTYVVNICKIGSIWINFDDVEAIKAKVSYAKVKGLLGYNAFQLSNDDNWVLSQAACGIGTSQPKKHRLLVIVSVTVAAMVFLMIAIICYLQKKIFKSQGLWCALKMLVSWIRTKISAEKRHENDDPNLQVFSFSSIKAATNNFSNDNKLGEGGYGPVYKGKLPDGQEIAVKRLSKSSNQGFEEFKNEVTLTARLQHVNLVRXXXHLLDWRRRVSIIEGITQGLLYLQEYSNYTIIHRDIKASNILLDYEMNPKISDFGMAKFFKKDELEANTGRIVGTYGYVPPEYVRKGIYSTKYDVYSFGVLLLQIISGKRNSSLYGCHENLNLLEYAYELWKQGREAEFFDASLDDSSSSCKLIRCMQLALLCVQENPADRPSMVKVFTILKNENSVAISVPKQPAYSITRYERKESIDVGRTKVFSVNDASITQVEPR >KJB79147 pep chromosome:Graimondii2_0_v6:13:2725917:2726432:-1 gene:B456_013G035200 transcript:KJB79147 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 11 [Source:Projected from Arabidopsis thaliana (AT4G34590) UniProtKB/Swiss-Prot;Acc:O65683] MSQILSETFLSGFMILVQSLSVVFLYCGTSSGASTVTQVSEELQALLMEERKRKRKASNRESARRSRMRKKKHLDDLTAELTQLREDNHQIILSLNITSQSYLHVEAENSVLRAQTNELSCRLQSLEDIITFLQWKQW >KJB83963 pep chromosome:Graimondii2_0_v6:13:38863887:38872034:-1 gene:B456_013G142500 transcript:KJB83963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLSRTLLGHRLIRFSSSLPHNPLIFSNLFNREFCVQAESYHTTYKASGQPSRLMASAAIEIRSNFSTQSLSTNDPVVSVDWLHANLREPDLKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGISDRTTKLPHMLPSEEGFAAAVSALGIHNKDGLVVYDGKGIFSAARVWWMFRIFGHDRVWVLDGGLPRWRASGYDVESSASSDAILKASAASEAIEKVYQGQTAGPLTFQTKFQPHLVWTLDQVRRNIEEKTHQHIDARSKPRFDGAAPEPRKGIRSGHVPGSKCIPFPQMLDASQTLLPADELKKRFNEEGISLERPVLTSCGTGVTACILALGLHRLGKTDVPVYDGSWTEWGAESDTPVDTSS >KJB83962 pep chromosome:Graimondii2_0_v6:13:38863887:38872017:-1 gene:B456_013G142500 transcript:KJB83962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLSRTLLGHRLIRFSSSLPHNPLIFSNLFNKREFCVQAESYHTTYKASGQPSRLMASAAIEIRSNFSTQSLSTNDPVVSVDWLHANLREPDLKVLDASWYMPDEQRNPIQEYQQVAHIPGALFFDVDGISDRTTKLPHMLPSEEGFAAAVSALGIHNKDGLVVYDGKGIFSAARVWWMFRIFGHDRVWVLDGGLPRWRASGYDVESSASSDAILKASAASEAIEKVYQGQTAGPLTFQTKFQPHLVWTLDQVRRNIEEKTHQHIDARSKPRFDGAAPEPRKGIRSGHVPGSKCIPFPQMLDASQTLLPADELKKRFNEEGISLERPVLTSCGTGVTACILALGLHRLGKTDVPVYDGSWTEWGAESDTPVDTSS >KJB83961 pep chromosome:Graimondii2_0_v6:13:38863858:38872291:-1 gene:B456_013G142500 transcript:KJB83961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLSRTLLGHRLIRFSSSLPHNPLIFSNLFNKREFCVQAESYHTTYKASGQPSRLMASAAIEIRSNFSTQSLSTNDPVVSVDWLHANLREPDLKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGISDRTTKLPHMLPSEEGFAAAVSALGIHNKDGLVVYDGKGIFSAARVWWMFRIFGHDRVWVLDGGLPRWRASGYDVESSASSDAILKASAASEAIEKVYQGQTAGPLTFQTKFQPHLVWTLDQVRRNIEEKTHQHIDARSKPRFDGAAPEPRKGIRSGHVPGSKCIPFPQMLDASQTLLPADELKKRFNEEGISLERPVLTSCGTGVTACILALGLHRLGKTDVPVYDGSWTEWGAESDTPVDTSS >KJB83135 pep chromosome:Graimondii2_0_v6:13:54969708:54973770:1 gene:B456_013G231200 transcript:KJB83135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAATSSFFPVTPSPDSSDSKKKRLGNGSINLGGVKSKTSSGNLQVKANAQAPPKINGTKVVTPPAEVFKNEDGVSFHPPRTFINQLPDWSMLLAAITTVFLAAEKQWMMLDWKPKRPDMLIDPFGIGKIVQDGLVFRQNFSIRSYEIGADQTASIETVMNHLQETALNHVGSAGLLVDGFGSTPEMCKKNLIWVVTRMQVVVDRYPTWGNVVQVDTWVSASGKNCMRRDWLVRDSKTGEVLTRASSIWVMMNKVTRRLSKMPEEVRGEIEPYFMNSEPVVAEDGRKLVKLDDSSAEFVQKGLTPRWSDLDVNQHVNNVKYIGWILESAPLPILETHELSSMTLEYRKECGRDSVLQSLTAVSDNGTDEIECQHLLRLEGGSEVMRGRTQWRPKNAKISGNVGQIPADTA >KJB83136 pep chromosome:Graimondii2_0_v6:13:54969954:54973035:1 gene:B456_013G231200 transcript:KJB83136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAATSSFFPVTPSPDSSDSKKKRLGNGSINLGGVKSKTSSGNLQVKANAQAPPKINGTKVVTPPAEVFKNEDGVSFHPPRTFINQLPDWSMLLAAITTVFLAAEKQWMMLDWKPKRPDMLIDPFGIGKIVQDGLVFRQNFSIRSYEIGADQTASIETVMNHLQETALNHVGSAGLLVDGFGSTPEMCKKNLIWVVTRMQVVVDRYPTWGNVVQVDTWVSASGKNCMRRDWLVRDSKTGEVLTRASSIWVMMNKVTRRLSKMPEEVRGEIEPYFMNSEPVVAEDGRKLVKLDDSSAEFVQKGLTVSPAALITLLQLGFGCHHL >KJB83137 pep chromosome:Graimondii2_0_v6:13:54969954:54973770:1 gene:B456_013G231200 transcript:KJB83137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAATSSFFPVTPSPDSSDSKKKRLGNGSINLGGVKSKTSSGNLQVKANAQAPPKINGTKVVTPPAEVFKNEDGVSFHPPRTFINQLPDWSMLLAAITTVFLAAEKQWMMLDWKPKRPDMLIDPFGIGKIVQDGLVFRQNFSIRSYEIGADQTASIETVMNHLQETALNHVGSAGLLVDGFGSTPEMCKKNLIWVVTRMQVVVDRYPTWGNVVQVDTWVSASGKNCMRRDWLVRDSKTGEVLTRASSIWVMMNKVTRRLSKMPEEVRGEIEPYFMNSEPVVAEDGRKLVKLDDSSAEFVQKGLTVNGVIWMSTSMSIM >KJB82100 pep chromosome:Graimondii2_0_v6:13:46545918:46547609:1 gene:B456_013G176100 transcript:KJB82100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHTASLIHDDVLDESDLRRGRETVHQLYGTRVAILAGDFMFAQSSWYLANLENLEVIKLISQVIKDFASGEIKQASSLFDCDVKLEDYLLKSYYKTASLIAASTKGAAIFSGADRSVTEQMYEYGKNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALEKEPKLREIIESEFCETGSLDEAIELVKQCGGIERAQELAKEKADIAIKSLQCLPQSDFRLGLEDMVMFNLERID >KJB82097 pep chromosome:Graimondii2_0_v6:13:46545623:46547908:1 gene:B456_013G176100 transcript:KJB82097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEQIFGAGGKRMRPALVFLVSRATLELVGLKDLTTKHRRLAEIIEMIHTASLIHDDVLDESDLRRGRETVHQLYGTRVAILAGDFMFAQSSWYLANLENLEVIKLISQVIKDFASGEIKQASSLFDCDVKLEDYLLKSYYKTASLIAASTKGAAIFSGADRSVTEQMYEYGKNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALEKEPKLREIIESEFCETGSLDEAIELVKQCGGIERAQELAKEKADIAIKSLQCLPQSDFRLGLEDMVMFNLERID >KJB82098 pep chromosome:Graimondii2_0_v6:13:46544206:46547908:1 gene:B456_013G176100 transcript:KJB82098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMTCHNLDFGTRVLDLVAVGSSSNSSIERYAVKNHGKTFATKVYGARRMVCCRQNISRTRVFSTNTSEALLNGVGQRTAPVLDLKKEAKSPISLANLFEVVADDLQTLNQNLQSIVGAENPVLMSAAEQIFGAGGKRMRPALVFLVSRATLELVGLKDLTTKHRRLAEIIEMIHTASLIHDDVLDESDLRRGRETVHQLYGTRVAILAGDFMFAQSSWYLANLENLEVIKLISQVIKDFASGEIKQASSLFDCDVKLEDYLLKSYYKTASLIAASTKGAAIFSGADRSVTEQMYEYGKNLGLSFQVVDDILDFTQSAD >KJB82096 pep chromosome:Graimondii2_0_v6:13:46544093:46547908:1 gene:B456_013G176100 transcript:KJB82096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMTCHNLDFGTRVLDLVAVGSSSNSSIERYAVKNHGKTFATKVYGARRMVCCRQNISRTRVFSTNTSEALLNGVGQRTAPVLDLKKEAKSPISLANLFEVVADDLQTLNQNLQSIVGAENPVLMSAAEQIFGAGGKRMRPALVFLVSRATLELVGLKDLTTKHRRLAEIIEMIHTASLIHDDVLDESDLRRGRETVHQLYGTRVAILAGDFMFAQSSWYLANLENLEVIKLISQVIKDFASGEIKQASSLFDCDVKLEDYLLKSYYKTASLIAASTKGAAIFSGADRSVTEQMYEYGKNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALEKEPKLREIIESEFCETGSLDEAIELVKQCGGIERAQELAKEKADIAIKSLQCLPQSDFRLGLEDMVMFNLERID >KJB82099 pep chromosome:Graimondii2_0_v6:13:46544206:46547944:1 gene:B456_013G176100 transcript:KJB82099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMTCHNLDFGTRVLDLVAVGSSSNSSIERYAVKNHGKTFATKVYGARRMVCCRQNISRTRVFSTNTSEALLNGVGQRTAPVLDLKKEAKSPISLANLFEVVADDLQTLNQNLQSIVGAENPVLMSAAEQIFGAGGKRMRPALVFLVSRATLELVGLKDLTTKHRRLAEIIEMIHTASLIHDDVLDESDLRRGRETVHQLYGTRVAILAGDFMFAQSSWYLANLENLEVIKLISQVIKDFASASTKGAAIFSGADRSVTEQMYEYGKNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALEKEPKLREIIESEFCETGSLDEAIELVKQCGGIERAQELAKEKADIAIKSLQCLPQSDFRLGLEDMVMFNLERID >KJB83771 pep chromosome:Graimondii2_0_v6:13:57680221:57684079:1 gene:B456_013G263100 transcript:KJB83771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGSRGDIESGFSGFIHERPAVRIHASRPVNSNSLAFFVTVLLLFMILNSHQMSPNFLLWLVVGIFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYDTLRVLDDNTSTSHSMSEEDINALPVHKYKVHGPESAGSSLQQASSSSVTIEQKQDSRKGDGNMKASDDELTCSICLDQVNEGELVRSLPCLHQFHTTCIDPWLRQQGTCPVCKFRMGSGWQENRESESDDSDMV >KJB83772 pep chromosome:Graimondii2_0_v6:13:57680207:57684079:1 gene:B456_013G263100 transcript:KJB83772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGSRGDIESGFSGFIHERPAVRIHASRPVNSNSLAFFVTVLLLFMILNSHQMSPNFLLWLVVGIFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYDTLRVLDDNTSTSHSMSEEDINALPVHKYKVHGPESAGSSLQQASSSSVTIEQKQDSRKGDGNMKASDDELTCSICLDQVNEGELVRSLPCLHQFHTTCIDPWLRQQGTCPVCKFRMGSGWQENRESESDDSDMV >KJB79732 pep chromosome:Graimondii2_0_v6:13:7213182:7216725:1 gene:B456_013G064400 transcript:KJB79732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAIDHQAQYVLGHKTPNILELYTLGRKLGQGQFGVTYLCTEISTGIEYACKSISKRKLICKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLFVHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGEVFIDVVGSPYYVAPEVLLKCYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYFDFDSDPWPLISDSAKDLIQKMLCSQPSERLTAHEVLCHPWICENGVAPDKALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTAMDTDNSGAITFDELKAGLRSYGSTLKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDNSGYITVDELQQACTEHNMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNAGVGRRTMRNSLNMSMRDVPAAL >KJB79735 pep chromosome:Graimondii2_0_v6:13:7213182:7217485:1 gene:B456_013G064400 transcript:KJB79735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCSGSFKGNQFEGYKKTCSNSDYTNLAASISPTKTANTMRRAIDHQAQYVLGHKTPNILELYTLGRKLGQGQFGVTYLCTEISTGIEYACKSISKRKLICKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLFVHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGEVFIDVVGSPYYVAPEVLLKCYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYFDFDSDPWPLISDSAKDLIQKMLCSQPSERLTAHEVLCHPWICENGVAPDKALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTAMDTDNSGAITFDELKAGLRSYGSTLKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDNSGYITVDELQQACTEHNMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNAGVGRRTMRNSLNMSMRDVPAAL >KJB79731 pep chromosome:Graimondii2_0_v6:13:7213133:7217520:1 gene:B456_013G064400 transcript:KJB79731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAIDHQAQYVLGHKTPNILELYTLGRKLGQGQFGVTYLCTEISTGIEYACKSISKRKLICKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLFVHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGEVFIDVVGSPYYVAPEVLLKCYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYFDFDSDPWPLISDSAKDLIQKMLCSQPSERLTAHEVLCHPWICENGVAPDKALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTAMDTDNSGAITFDELKAGLRSYGSTLKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDNSGYITVDELQQACTEHNMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNAGVGRRTMRNSLNMSMRDVPAAL >KJB79733 pep chromosome:Graimondii2_0_v6:13:7213611:7217438:1 gene:B456_013G064400 transcript:KJB79733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCSGSFKGNQFEGYKKTCSNSDYTNLAASISPTKTANTMRRAIDHQAQYVLGHKTPNILELYTLGRKLGQGQFGVTYLCTEISTGIEYACKSISKRKLICKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLFVHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGEVFIDVVGSPYYVAPEVLLKCYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYFDFDSDPWPLISDSAKDLIQKMLCSQPSERLTAHEVLCHPWICENGVAPDKALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTAMDTDNSGAITFDELKAGLRSYGSTLKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDNSGYITVDELQQACTEHNMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNAGVGRRTMRNSLNMSMRDVPAAL >KJB79734 pep chromosome:Graimondii2_0_v6:13:7213182:7217485:1 gene:B456_013G064400 transcript:KJB79734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCSGSFKGNQFEGYKKTCSNSDYTNLAASISPTKTANTMRRAIDHQAQYVLGHKTPNILELYTLGRKLGQGQFGVTYLCTEISTGIEYACKSISKRKLICKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLFVHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGEVFIDVVGSPYYVAPEVLLKCYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYFDFDSDPWPLISDSAKDLIQKMLCSQPSERLTAHEVLCHPWICENGVAPDKALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTAMDTDNSGAITFDELKAGLRSYGSTLKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDNSGYITVDELQQACTEHNMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNAGVGRRTMRNSLNMSMRDVPAAL >KJB81497 pep chromosome:Graimondii2_0_v6:13:40555222:40560145:1 gene:B456_013G147600 transcript:KJB81497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRTAGNDYRSNSMAMGVSASRISPETSGRGHGFYNSEYRNFKRGFGRGQSHPKSFQPHPPPAREGTDIFMEAGRLAAEYLVSQGLLPPTVLPAKWQNGRLKKHMGDYQDYRSQEGDNLHVPYDGRTSALARLRNANSDLGPGRRKYLDDNNSTWSKNLSKGRRKEGSYRGREYGGSGSWSDRIRGSPDLEIDDDNVSGLQEEPQAGKDIGNGLQKSVSNEFAPKGEELDNFDVKYNLEDELSLKASSSGAEKDLKSETDGELQKRSDESMGFRAEAGELKDGGNGNVETEEEGILKDSSFKHSSTADDVSGKTGADLLALCKFAKVPTKTRSSLTLKNLKPDSVSTIEQEGVSDFETPNVPQALLEDNSLGGSSGDLLLNKTQDSKPDSELSKDMSVHSFGLDHMYGVEQGKCTRSQSFPDRVLLHDTEEELAQEMPGLQRSCSMVVIEERGEKRGLEQSDLREGAKKPREWLQPVTTKAEFLPFSDFDDKKVGSVEGTASPSEKVGVIVERGIQDSLVTTSSFPDGDGESSVSYVQEKQLFPNAFKICDLNLMEASDINESHHNDPIIMYSSTSETKSEAATIDIDLSMSNSNSGGGNRHTSNCKEIEVIDLENDCTQEDKAVDTLERKSEPMFVSMNGDMTDVPDQYDGLMITEFLQNFSNCPPDDINAHPLQNESINSLQTEDINPLQNEMGLHNGEGTLADDDSIYMSLGEIPLSAKMCGGESYLP >KJB81493 pep chromosome:Graimondii2_0_v6:13:40555199:40560200:1 gene:B456_013G147600 transcript:KJB81493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRTAGNDYRSNSMAMGVSASRISPETSGRGHGFYNSEYRNFKRGFGRGQSHPKSFQPHPPPAREGTDIFMEAGRLAAEYLVSQGLLPPTVLPAKWQNGRLKKHMGDYQDYRSQEGDNLHVPYDGRTSALARLRNANSDLGPGRRKYLDDNNSTWSKNLSKGRRKEGSYRGREYGGSGSWSDRIRGSPDLEIDDDNVSGLQEEPQAGKDIGNGLQKSVSNEFAPKGEELDNFDVKYNLEDELSLKASSSGAEKDLKSETDGELQKRSDESMGFRAEAGELKDGGNGNVETEEEGILKDSSFKHSSTADDVSGKTGADLLALCKFAKVPTKTRSSLTLKNLKPDSVSTIEQEGVSDFETPNVPQALLEDNSLGGSSGDLLLNKTQDSKPDSELSKDMSVHSFGLDHMYGVEQGKCTRSQSFPDRVLLHDTEEELAQEMPGLQRSCSMVVIEERGEKRGLEQSDLREGAKKPREWLQPVTTKAEFLPFSDFDDKKVGSVEGTASPSEKVGVIVERGIQDSLVTTSSFPDGDGESSVSYVQEKQLFPNAFKICDLNLMEASDINESHHNDPIIMYSSTSETKSEAATIDIDLSMSNSNSGGGNRHTSNCKEIEVIDLENDCTQEDKAVDTLERKSEPMFVSMNGDMTDVPDQYDGLMITEFLQNFSNCPPDDINAHPLQNESINSLQTEDINPLQNEMGLHNGEGTLADDDSIYMSLGEIPLSFLPAWEQPPSQGYEKPF >KJB81494 pep chromosome:Graimondii2_0_v6:13:40555552:40559115:1 gene:B456_013G147600 transcript:KJB81494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRTAGNDYRSNSMAMGVSASRISPETSGRGHGFYNSEYRNFKRGFGRGQSHPKSFQPHPPPAREGTDIFMEAGRLAAEYLVSQGLLPPTVLPAKWQNGRLKKHMGDYQDYRSQEGDNLHVPYDGRTSALARLRNANSDLGPGRRKYLDDNNSTWSKNLSKGRRKEGSYRGREYGGSGSWSDRIRGSPDLEIDDDNVSGLQEEPQAGKDIGNGLQKSVSNEFAPKGEELDNFDVKYNLEDELSLKASSSGAEKDLKSETDGELQKRSDESMGFRAEAGELKDGGNGNVETEEEGILKDSSFKHSSTADDVSGKTGADLLALCKFAKVPTKTRSSLTLKNLKPDSVSTIEQEGVSDFETPNVPQALLEDNSLGGSSGDLLLNKTQDSKPDSELSKDMSVHSFGLDHMYGVEQGKCTRSQSFPDRVLLHDTEEELAQEMPGLQRSCSMVVIEERGEKRGLEQSDLREGAKKPREWLQPVTTKAEFLPFSDFDDKKVGSVEGTASPSEKVGVIVERGIQDSLVTTSSFPDGDGESSVSYVQEKQLFPNAFKICDLNLMEASDINESHHNDPIIMYSSTSETKSEAATIDIDLSMSNSNSGGGNRHTSNCKEIEVIDLENDCTQEDKAVDTLERKSEPMFVSMNGDMTDVPDQYDGLMITEFLQNFSNCPPDDINAHPLQNESINSLQTEDINPLQNEMGLHNGEGTLADDDSIYMSLGEIPLSAKMCGGESYLP >KJB81492 pep chromosome:Graimondii2_0_v6:13:40555137:40560200:1 gene:B456_013G147600 transcript:KJB81492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRTAGNDYRSNSMAMGVSASRISPETSGRGHGFYNSEYRNFKRGFGRGQSHPKSFQPHPPPAREGTDIFMEAGRLAAEYLVSQGLLPPTVLPAKWQNGRLKKHMGDYQDYRSQEGDNLHVPYDGRTSALARLRNANSDLGPGRRKYLDDNNSTWSKNLSKGRRKEGSYRGREYGGSGSWSDRIRGSPDLEIDDDNVSGLQEEPQAGKDIGNGLQKSVSNEFAPKGEELDNFDVKYNLEDELSLKASSSGAEKDLKSETDGELQKRSDESMGFRAEAGELKDGGNGNVETEEEGILKDSSFKHSSTADDVSGKTGADLLALCKFAKVPTKTRSSLTLKNLKPDSVSTIEQEGVSDFETPNVPQALLEDNSLGGSSGDLLLNKTQDSKPDSELSKDMSVHSFGLDHMYGVEQGKCTRSQSFPDRVLLHDTEEELAQEMPGLQRSCSMVVIEERGEKRGLEQSDLREGAKKPREWLQPVTTKAEFLPFSDFDDKKVGSVEGTASPSEKVGVIVERGIQDSLVTTSSFPDGDGESSVSYVQEKQLFPNAFKICDLNLMEASDINESHHNDPIIMYSSTSETKSEAATIDIDLSMSNSNSGGGNRHTSNCKEIEVIDLENDCTQEDKAVDTLERKSEPMFVSMNGDMTDVPDQYDGLMITEFLQNFSNCPPDDINAHPLQNESINSLQTEDINPLQNEMGLHNGEGTLADDDSIYMSLGEIPLSFLPAWEQPPSQGYEKPF >KJB81491 pep chromosome:Graimondii2_0_v6:13:40555199:40560200:1 gene:B456_013G147600 transcript:KJB81491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRTAGNDYRSNSMAMGVSASRISPETSGRGHGFYNSEYRNFKRGFGRGQSHPKSFQPHPPPAREGTDIFMEAGRLAAEYLVSQGLLPPTVLPAKWQNGRLKKHMGDYQDYRSQEGDNLHVPYDGRTSALARLRNANSDLGPGRRKYLDDNNSTWSKNLSKGRRKEGSYRGREYGGSGSWSDRIRGSPDLEIDDDNVSGLQEEPQAGKDIGNGLQKSVSNEFAPKGEELDNFDVKYNLEDELSLKASSSGAEKDLKSETDGELQKRSDESMGFRAEAGELKDGGNGNVETEEEGILKDSSFKHSSTADDVSGKTGADLLALCKFAKVPTKTRSSLTLKNLKPDSVSTIEQEGVSDFETPNVPQALLEDNSLGGSSGDLLLNKTQDSKPDSELSKDMSVHSFGLDHMYGVEQGKCTRSQSFPDRVLLHDTEEELAQEMPGLQRSCSMVVIEERGEKRGLEQSDLREGAKKPREWLQPVTTKAEFLPFSDFDDKKVGSVEGTASPSEKVGVIVERGIQDSLVTTSSFPDGDGESSVSYVQEKQLFPNAFKICDLNLMEASDINESHHNDPIIMYSSTSETKSEAATIDIDLSMSNSNSGGGNRHTSNCKEIEVIDLENDCTQEDKAVDTLERKSEPMFVSMNGDMTDVPDQYDGLMITEFLQNFSNCPPDDINAHPLQNESINSLQTEDINPLQNEMGLHNGEGTLADDDSIYMSLGEIPLSAKMCGGESYLP >KJB81495 pep chromosome:Graimondii2_0_v6:13:40555552:40559115:1 gene:B456_013G147600 transcript:KJB81495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRTAGNDYRSNSMAMGVSASRISPETSGRGHGFYNSEYRNFKRGFGRGQSHPKSFQPHPPPAREGTDIFMEAGRLAAEYLVSQGLLPPTVLPAKWQNGRLKKHMGDYQDYRSQEGDNLHVPYDGRTSALARLRNANSDLGPGRRKYLDDNNSTWSKNLSKGRRKEGSYRGREYGGSGSWSDRIRGSPDLEIDDDNVSGLQEEPQAGKDIGNGLQKSVSNEFAPKGEELDNFDVKYNLEDELSLKASSSGAEKDLKSETDGELQKRSDESMGFRAEAGELKDGGNGNVETEEEGILKDSSFKHSSTADDVSGKTGADLLALCKFAKVPTKTRSSLTLKNLKPDSVSTIEQEGVSDFETPNVPQALLEDNSLGGSSGDLLLNKTQDSKPDSELSKDMSVHSFGLDHMYGVEQGKCTRSQSFPDRVLLHDTEEELAQEMPGLQRSCSMVVIEERGEKRGLEQSDLREGAKKPREWLQPVTTKAEFLPFSDFDDKKVGSVEGTASPSEKVGVIVERGIQDSLVTTSSFPDGDGESSVSYVQEKQLFPNAFKICDLNLMEASDINESHHNDPIIMYSSTSETKSEAATIDIDLSMSNSNSGGGNRHTSNCKEIEVIDLENDCTQEDKAVDTLERKSEPMFVSMNGDMTDVPDQYDGLMITEFLQNFSNCPPDDINAHPLQNESINSLQTEDINPLQNEMGLHNGEGTLADDDSIYMSLGEIPLSAKMCGGESYLP >KJB81490 pep chromosome:Graimondii2_0_v6:13:40555199:40560200:1 gene:B456_013G147600 transcript:KJB81490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRTAGNDYRSNSMAMGVSASRISPETSGRGHGFYNSEYRNFKRGFGRGQSHPKSFQPHPPPAREGTDIFMEAGRLAAEYLVSQGLLPPTVLPAKWQNGRLKKHMGDYQDYRSQEGDNLHVPYDGRTSALARLRNANSDLGPGRRKYLDDNNSTWSKNLSKGRRKEGSYRGREYGGSGSWSDRIRGSPDLEIDDDNVSGLQEEPQAGKDIGNGLQKSVSNEFAPKGEELDNFDVKYNLEDELSLKASSSGAEKDLKSETDGELQKRSDESMGFRAEAGELKDGGNGNVETEEEGILKDSSFKHSSTADDVSGKTGADLLALCKFAKVPTKTRSSLTLKNLKPDSVSTIEQEGVSDFETPNVPQALLEDNSLGGSSGDLLLNKTQDSKPDSELSKDMSVHSFGLDHMYGVEQGKCTRSQSFPDRVLLHDTEEELAQEMPGLQRSCSMVVIEERGEKRGLEQSDLREGAKKPREWLQPVTTKAEFLPFSDFDDKKVGSVEGTASPSEKVGVIVERGIQDSLVTTSSFPDGDGESSVSYVQEKQLFPNAFKICDLNLMEASDINESHHNDPIIMYSSTSETKSEAATIDIDLSMSNSNSGGGNRHTSNCKEIEVIDLENDCTQEDKAVDTLERKSEPMFVSMNGDMTDVPDQYDGLMITEFLQNFSNCPPDDINAHPLQNESINSLQTEDINPLQNEMGLHNGEGTLADDDSIYMSLGEIPLSFLPAWEQPPSQGYEKPF >KJB81496 pep chromosome:Graimondii2_0_v6:13:40555137:40560200:1 gene:B456_013G147600 transcript:KJB81496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRTAGNDYRSNSMAMGVSASRISPETSGRGHGFYNSEYRNFKRGFGRGQSHPKSFQPHPPPAREGTDIFMEAGRLAAEYLVSQGLLPPTVLPAKWQNGRLKKHMGDYQDYRSQEGDNLHVPYDGRTSALARLRNANSDLGPGRRKYLDDNNSTWSKNLSKGRRKEGSYRGREYGGSGSWSDRIRGSPDLEIDDDNVSGLQEEPQAGKDIGNGLQKSVSNEFAPKGEELDNFDVKYNLEDELSLKASSSGAEKDLKSETDGELQKRSDESMGFRAEAGELKDGGNGNVETEEEGILKDSSFKHSSTADDVSGKTGADLLALCKFAKVPTKTRSSLTLKNLKPDSVSTIEQEGVSDFETPNVPQALLEDNSLGGSSGDLLLNKTQDSKPDSELSKDMSVHSFGLDHMYGVEQGKCTRSQSFPDRVLLHDTEEELAQEMPGLQRSCSMVVIEERGEKRGLEQSDLREGAKKPREWLQPVTTKAEFLPFSDFDDKKVGSVEGTASPSEKVGVIVERGIQDSLVTTSSFPDGDGESSVSYVQEKQLFPNAFKICDLNLMEASDINESHHNDPIIMYSSTSETKSEAATIDIDLSMSNSNSGGGNRHTSNCKEIEVIDLENDCTQEDKAVDTLERKSEPMFVSMNGDMTDVPDQYDGLMITEFLQNFSNCPPDDINAHPLQNESINSLQTEDINPLQNEMGLHNGEGTLADDDSIYMSLGEIPLSFLPAWEQPPSQGYEKPF >KJB82723 pep chromosome:Graimondii2_0_v6:13:53941202:53944210:-1 gene:B456_013G219600 transcript:KJB82723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLFMESRELLQSKEFFQKLARNFSSSSGRAGKPIVKWNEVQNWFTTRQQESKVKVPSVTNTYKDESGLPQTCLLNDGDQSCQILKGLVSKVGEKVSDLSKLEFEAKSSTDGAWYDVDMFLSHRVTSSGETEVRVRFVGFGAEEDEWVNIKKAVRGRSIPFEHSECCKVMVGGLVLCLQERRDQSIYYDAHVLEIERKTHDIRGCRCLFFIRYDHDNSEETVRLRRLCRILG >KJB82727 pep chromosome:Graimondii2_0_v6:13:53941799:53944582:-1 gene:B456_013G219600 transcript:KJB82727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRPRQRPVFSGFTKAEIEKMEKLFMESRELLQSKEFFQKLARNFSSSSGRAGKPIVKWNEFRNSPIIPSPTFVSQVQNWFTTRQQESKVKVPSVTNTYKDESGLPQTCLLNDGDQSCQILKGLVSKVGEKVSDLSKLEFEAKSSTDGAWYDVDMFLSHRVTSSGETEVRVRFVGFGAEEDEWVNIKKAVRGRSIPFEHSECCKVMVGGLVLCLQERRDQSIYYDAHVLEIERKTHDIRGCRCLFFIRYDHDNSEETVRLRRLCRILG >KJB82726 pep chromosome:Graimondii2_0_v6:13:53941202:53944851:-1 gene:B456_013G219600 transcript:KJB82726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKLRNQRLKKWRNCSWNQESCCRVKSFFKNLQEILGRAGKPIVKWNEVQNWFTTRQQESKVKVPSVTNTYKDESGLPQTCLLNDGDQSCQILKGLVSKVGEKVSDLSKLEFEAKSSTDGAWYDVDMFLSHRVTSSGETEVRVRFVGFGAEEDEWVNIKKAVRGRSIPFEHSECCKVMVGGLVLCLQERRDQSIYYDAHVLEIERKTHDIRGCRCLFFIRYDHDNSEETVRLRRLCRILG >KJB82729 pep chromosome:Graimondii2_0_v6:13:53941202:53944851:-1 gene:B456_013G219600 transcript:KJB82729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLFMESRELLQSKEFFQKLARNFSSSSGRAGKPIVKWNEVQNWFTTRQQESKVKVPSVTNTYKDESGLPQTCLLNDGDQSCQILKGLVSKVGEKVSDLSKLEFEAKSSTDGAWYDVDMFLSHRVTSSGETFVLDLSDLELRRMNGLT >KJB82724 pep chromosome:Graimondii2_0_v6:13:53941799:53944582:-1 gene:B456_013G219600 transcript:KJB82724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRPRQRPVFSGFTKAEIEKMEKLFMESRELLQSKEFFQKLARNFSSSSGRAGKPIVKWNEVQNWFTTRQQESKVKVPSVTNTYKDESGLPQTCLLNDGDQSCQILKGLVSKVGEKVSDLSKLEFEAKSSTDGAWYDVDMFLSHRVTSSGETEVRVRFVGFGAEEDEWVNIKKAVRGRSIPFEHSECCKVMVGGLVLCLQERRDQSIYYDAHVLEIERKTHDIRGCRCLFFIRYDHDNSEETVRLRRLCRILG >KJB82731 pep chromosome:Graimondii2_0_v6:13:53941825:53944582:-1 gene:B456_013G219600 transcript:KJB82731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRPRQRPVFSGFTKAEIEKMEKLFMESRELLQSKEFFQKLARNFSSSSGRAGKPIVKWNEVQNWFTTRQQESKVKVPSVTNTYKDESGLPQTCLLNDGDQSCQILKGLVSKVGEKVSDLSKLEFEAKSSTDGAWYDVDMFLSHRVTSSGETEVRVRFVGFGAEEDEWVNIKKAVRGRSIPFEHSECCKVMVGGLVLCLQERRDQSIYYDAHVLEIERKTHDIRGCRCLFFIRYDHDNSEFA >KJB82730 pep chromosome:Graimondii2_0_v6:13:53941202:53945143:-1 gene:B456_013G219600 transcript:KJB82730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRPRQRPVFSGFTKAEIEKMEKLFMESRELLQSKEFFQKLARNFSSSSGRAGKPIVKWNEVQNWFTTRQQESKVKVPSVTNTYKDESGLPQTCLLNDGDQSCQILKGLVSKVGEKVSDLSKLEFEAKSSTDGAWYDVDMFLSHRVTSSGETFVLDLSDLELRRMNGLT >KJB82728 pep chromosome:Graimondii2_0_v6:13:53941825:53943736:-1 gene:B456_013G219600 transcript:KJB82728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLFMESRELLQSKEFFQKLARNFSSSSGRAGKPIVKWNEVQNWFTTRQQESKVKVPSVTNTYKDESGLPQTCLLNDGDQSCQILKGLVSKVGEKVSDLSKLEFEAKSSTDGAWYDVDMFLSHRVTSSGETEVRVRFVGFGAEEDEWVNIKKAVRGRSIPFEHSECCKVMVGGLVLCLQERRDQSIYYDAHVLEIERKTHDIRGCRCLFFIRYDHDNSEFA >KJB82725 pep chromosome:Graimondii2_0_v6:13:53941202:53945107:-1 gene:B456_013G219600 transcript:KJB82725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRPRQRPVFSGFTKAEIEKMEKLFMESRELLQSKEFFQKLARNFSSSSGRAGKPIVKWNEVQNWFTTRQQESKVKVPSVTNTYKDESGLPQTCLLNDGDQSCQILKGLVSKVGEKVSDLSKLEFEAKSSTDGAWYDVDMFLSHRVTSSGETFVLDLSDLELRRMNGLT >KJB82057 pep chromosome:Graimondii2_0_v6:13:46209134:46214447:-1 gene:B456_013G173800 transcript:KJB82057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLHKSKNMILRSNHRSHLINTIPFNENSSTVYFEVAKPIKLPNTKPCSYHVLHHDFGFTYGRPPVVVNYTPPACYSQKFTKIVLEWKATCKGTQFDRIFGVWLSGVELLRGCTAEPTPKGIIWSVEKDITRYNSLLLKNQTQTLAVYLGNIVDQTYTGVYHVNLTFHFYPVECNVMINNDENKTSLNNLASNYYSKADLILPISQDRPLNDGLWFEVRNSNETKSKQFQIPENVYRAVLEVYISFHENDEFWYGNFQNDYIAANNLSDTPGNGPFREVVIYLDGEVVGAVWPFTVIYTGGINPSFWTPITGISSFNLPSYDTEMTPFLGNMLDGKPHTLGFSVTNALNVWFIDANLHLWLDTRKAKTEGGLVKFSNKAANVYEESDFKGLNGTFLTNSKRLISSTGWIKSSYGNITTHSIQEFRYNNSLQVAKDGDFQVVDQMIHFNDRVYTKMPFHHVHVEESFRTFHLNFYADFTLQGEGSFIFVSNVTLGFNENKYKHGGLDFFISFLRNTQKAQSVIEVKNYSTTKRLRGTKQVYEYHGSDMCYSRNISSSNNLIEYDEVGKLCDDFEVKPQFLIVSSSDHPSNTSSLPWSLEAIEMATSQTISCISKHSGWNQQVTKKAEEALELSSKTKLSMASFFLNPLLYLLPLLFLDPLFSQANLHHSKTFKSSLFFQSSANETISPTLYFEVTKPINLPATRPCSLTVLQHDFGFTYGKPPVLADYNFPSDCPFQEFSKIVLEWNATCKGRQFDRIFGVWLSGVELLRSCTAEPRPNGIFWSVKKDITRYSSLLLSNDTQTFAVYLGNIVDKTYTGVYHVNVTLYFYPAVEKPVLSKEKWGDFGSEVDSKADLIIPFSRDMPLNDGLWYEIENATDVKVKEFVIPQNVYRAVLEVYVSFHENDEFWYGNLPNEYIAANNLTDVAGNGPFREVVVSLDGEVVGAVWPFTVVYTGGINPLLWRPVSAIGSFDLPTYDIEITPFLGNLLNGKPHKLSFSVTNALNVWYIDANLHLWIDSKSAKTEGKLLQYDIVPLSVTSVVDFKGLNGTFVTNTTRFISSTGWVKSSYGIVTTKSIQDLSYSNSMVINGNLQIINQTIHFNDSVYADIPAPNAVSKKSLKRFLFYLYSDDIDQGNRTTFSVSNVTLEFDEKKFNDADAGSPSSSLRNLQKGKGVMVVKDNLVVSGVASTQQSYNYEDGNFCYSRNISSSNYTILYDEVRNTCDERAKSLFRYSLSRWWPFPARRAFLTSHVTDSNGSL >KJB82895 pep chromosome:Graimondii2_0_v6:13:53949005:53950614:1 gene:B456_013G219800 transcript:KJB82895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDLGGAFGMGAVGGAAFHFLKATNNSPSGSRLIGGTQAVRMNAPRVGGSFAVWGGLFSTFDCTMVYVRQKEDPWNSIIAGAATGGFLSMRQGLGAASRSALFGGVLLALIEGAGIMLNKFLSQPQMPIMIEEAAPNVAGMPGYPMGQLPNQAPALVDSSTQGSPESSSSWFGGVFGGGKKQEPAVGNGNKTEVLESFDAPAVPSFEYK >KJB81288 pep chromosome:Graimondii2_0_v6:13:36697805:36700390:1 gene:B456_013G137100 transcript:KJB81288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIAEGIVIDRLISFLGAEVKLFGQLKKEVEDIRIELDHIACFLRQTDPMVDKEDSNGGFKLWVQHVREVAFQIEDVMDEYKLYHVPVAQHQQQQGLMASLSRIAHMVQTVKRHLQAASKIRDIKTSVNEIKERSERYRFNTLQHVPGENCNEPVDPGMGLHFVNSEALVGIDSSRQELARRLADAELKRTIISVVGMGGIGKTTLVKKAFDDEIMARHFDCHAWITVSHSSRVEVLLRTIMKQGRRNGWCRIDKHHSMTLEEVAMTYLSELINRSLVQVSVIDSIGDVRNCQLHYLMREVVVSKSKELSFIQTCPENLSNHDHVARHLSICNKSNNFPMVVGNYQTHSAIFFDIEELPKSFCFLQFTKSKLLKELDFEGAPLTYIPEELGNLFNLKYLSIRDTKVKKLPRSLGKLHKLQTLDLKHSFVSELPVEINMLFNLQYLVAYYKDKQSIYSINSRRGRKLYSNFGSLESLEKLYEVDLEAYSGGNFFHELARLKQLRKLCITKLKSESGNALCDAIQQMIHLRSLRISSIKEEELLHLHTISSPPVFLCCLRLRGRLMKLPGWIYELRNLTKLTLEWSRISDDSLQILGVLPNLLFFWIFEGYSGAQLHFHKNHFKKLKDLRLCWLNDLKRLIIDEGSLTLVEHLELGPSPQFEELPATISHLKCLKTI >KJB78471 pep chromosome:Graimondii2_0_v6:13:23452:24039:1 gene:B456_013G000400 transcript:KJB78471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKVVCRKLYDYVQYDLKEIAFPSLLPDPPHIKKRRKLTWHERFLVLLFSNFSSAVRVLFAYLFLGFNDECC >KJB81018 pep chromosome:Graimondii2_0_v6:13:32599845:32601549:-1 gene:B456_013G125500 transcript:KJB81018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLDELGRYTGTFSTFALCGFVRAQGDADSALDRLWQKKKAEVRQ >KJB81019 pep chromosome:Graimondii2_0_v6:13:32599992:32601540:-1 gene:B456_013G125500 transcript:KJB81019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSHNFCLYCNAHLYFCCCSSATNRLITSKDHASVQINVGHLDELGRYTGTFSTFALCGFVRAQGDADSALDRLWQKKKAEVRQ >KJB81017 pep chromosome:Graimondii2_0_v6:13:32599795:32601351:-1 gene:B456_013G125500 transcript:KJB81017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLDELGRYTGTFSTFALCGFVRAQGDADSALDRLWQKKKAEVRQ >KJB78394 pep chromosome:Graimondii2_0_v6:13:41188835:41190946:-1 gene:B456_013G151000 transcript:KJB78394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIAILLDLYRKNPSFCSQQSFHSSGFFSASAAATSVAATVFAGTPFASRFLFGNPKVSHCDAAAAFPKDYISNVQRLSEDIVKNVKNLKNDALKYAVKEYKVELKPLYSAFELRPFAMTTLRSFLMFYLPLLEPATNVEEDDEDFLQDTPKEQHVDLVVPFKKSVKQIIHETTVVTTRRVLERLAVIYVSQRMAWKLLKGTKCKNSSSCLDCIWLS >KJB78583 pep chromosome:Graimondii2_0_v6:13:562819:565156:1 gene:B456_013G008400 transcript:KJB78583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEGSMFKFLKPRLRPQPIDIQAAAAWGVAATTTALWLIQPFDWLKKTFLEKPEPEER >KJB79619 pep chromosome:Graimondii2_0_v6:13:6181667:6181987:-1 gene:B456_013G059100 transcript:KJB79619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HSSLRSCIERAFGILKAWWKILAKMPIYSSQDQNRIICAPFALHHYIRLSKILDPTFRVINAYPNFILLETFSDAECISIQEVERMSANKVTKVCNDITTSLMAAR >KJB82060 pep chromosome:Graimondii2_0_v6:13:46254121:46256105:1 gene:B456_013G174100 transcript:KJB82060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTNAEDMKIPKPISPFRLSSLLRTQKDPTLAFNLFKNPIPDLRPTAKPFRYSLLSYDLIITKLGRVKMFQEMEQVLHQLKSDTRIVPEEIIFCNVIKFYGRAKLHDRALQVFDEMPQYRCPRTVKAVNSLLHALLTSEKFDDMKQVFLGMEKYARPDACTYNILIRACCLNGCLDDAWNLFDEMQRKGVKPDQVTFGTLINALCVELKIKEAFKLKNDMVKIHKVSPNTRLYEGMITGLCSIGELTWAFRLKDEMITNYMKPNSGIYNTLISGLFNVGRQDEACRVFEEMELNGIKPDTTTYNVMINEFCKVKDFGSAYRVLKEMPDKGCKPNIISYNMLIGVLCKDGKWSEANDLFEDIPGQGCKPDVVSYRMLFDGLCGGSQLKKAAFILDEMVFKGYVPHSASIHKFVSGLCQVENMKLLFRVLESLAKRNAVDEGTWLMVVSRVFQEDDHKLCIASQILDDLLL >KJB80032 pep chromosome:Graimondii2_0_v6:13:9966611:9969602:1 gene:B456_013G077700 transcript:KJB80032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSAVFSSSCYSSAIAKNALQPPSLITLPASAFLPPLSRKLSCRHGVSYNRLSFRGNSSFSSTAISSSKSDSQSQGSESFFRDTLRSMETVYLNRNPTAKSILELVSSVDDEQICYDHLAFRTFGVNGYGINSLASLFLDYGYTQKEELRFPAKKLKALWFSPPNSSSQDGGSGVNGPLPRVFISELLVDQLSPRAQEIIRKYTKKSGSGNNYAALASALGSLTWEKPLYSEFQQLAGESEYAAWTLVNGYALNHVTISTHRLKSNLRNIKSLNEFIKKNGFKLNSDGGVLKGLLLLQTCITVLLETAPMLALWRYKMLKLFESEILRMMVSITRHVDPSN >KJB80031 pep chromosome:Graimondii2_0_v6:13:9966570:9971111:1 gene:B456_013G077700 transcript:KJB80031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSAVFSSSCYSSAIAKNALQPPSLITLPASAFLPPLSRKLSCRHGVSYNRLSFRGNSSFSSTAISSSKSDSQSQGSESFFRDTLRSMETVYLNRNPTAKSILELVSSVDDEQICYDHLAFRTFGVNGYGINSLASLFLDYGYTQKEELRFPAKKLKALWFSPPNSSSQDGGSGVNGPLPRVFISELLVDQLSPRAQEIIRKYTKKSGSGNNYAALASALGSLTWEKPLYSEFQQLAGESEYAAWTLVNGYALNHVTISTHRLKSNLRNIKSLNEFIKKNGFKLNSDGGVLKVSPDGLLLQSSTVADSMPFSFSDCVVESVPCSYIEFAERLVLPQYKNLSESEIKESHRRDGFEVGNADKIFESTSKEQLTRRA >KJB80034 pep chromosome:Graimondii2_0_v6:13:9966611:9972333:1 gene:B456_013G077700 transcript:KJB80034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSAVFSSSCYSSAIAKNALQPPSLITLPASAFLPPLSRKLSCRHGVSYNRLSFRGNSSFSSTAISSSKSDSQSQGSESFFRDTLRSMETVYLNRNPTAKSILELVSSVDDEQICYDHLAFRTFGVNGYGINSLASLFLDYGYTQKEELRFPAKKLKALWFSPPNSSSQDGGSGVNGPLPRVFISELLVDQLSPRAQEIIRKYTKKSGSGNNYAALASALGSLTWEKPLYSEFQQLAGESEYAAWTLVNGYALNHVTISTHRLKSNLRNIKSLNEFIKKNGFKLNSDGGVLKVSPDGLLLQSSTVADSMPFSFSDCVVESVPCSYIEFAERLVLPQYKNLSESEIKESHRRDGFEVGNADKIFESTSKEQLTRRA >KJB80033 pep chromosome:Graimondii2_0_v6:13:9966611:9971060:1 gene:B456_013G077700 transcript:KJB80033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSAVFSSSCYSSAIAKNALQPPSLITLPASAFLPPLSRKLSCRHGVSYNRLSFRGNSSFSSTAISSSKSDSQSQGSESFFRDTLRSMETVYLNRNPTAKSILELVSSVDDEQICYDHLAFRTFGVNGYGINSLASLFLDYGYTQKEELRFPAKKLKALWFSPPNSSSQDGGSGVNGPLPRVFISELLVDQLSPRAQEIIRKYTKKSGSGNNYAALASALGSLTWEKPLYSEFQQLAGESEYAAWTLVNGYALNHVTISTHRLKSNLRNIKSLNEFIKKNGFKLNSDGGVLKVSPDGLLLQSSTVADSMPFSFSDCVVESVPCSYIEFAERLVLPQYKNLSESEVFTMLSGVC >KJB83531 pep chromosome:Graimondii2_0_v6:13:56967642:56968619:1 gene:B456_013G252100 transcript:KJB83531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAILDTQVFVFPLYFFNTFYPNFLDISWLLSVFIPCCLYVFLQCLQLINITKRNHFSLNSTIKIFKNFI >KJB83407 pep chromosome:Graimondii2_0_v6:13:56489344:56492648:1 gene:B456_013G246100 transcript:KJB83407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLEEQRDCLESPLIPQSQQGFAKDEILGEVKKQLLLAGPLVSVNFLVFALQLISVMFVGHLGNLPLSGASMATSFASVTGFSLLKGMASALDTFCGQSFGAKQYHMLGIHLQGSLLVLLTASIPLAFIWANAASILLFLGQDPEIAAEAGKYARFMLPSIFGFAIQECHIRFLQAQNNVVPMMIISGFTTLLHILVCWILVFKSGLGNKGAALANAFSYWTNALLLVLYVRTSPSCKKTWTGYSMEAFYGIRKFLKLSIPSAIMLSLEIWSFEMMVLLSGLLPNPKLETPVFAISLNTSSTIFMIPLGLSAAVSTRASNELGAGRPRAARLAVCVTLLMVVTEGTVIGTAMISGRKYWGYLYSKDEQVVKYVGQMLLLIAASHLIDGIQSVLTGVARGCGWQKIGAWINLGAYHLIGTPCSVLLAFVYHLGGKGLWTGLIVALFVQAICLAIVTLNIKWEEEVIKALFCYIYIYIYIYIYTVGK >KJB83408 pep chromosome:Graimondii2_0_v6:13:56489404:56492648:1 gene:B456_013G246100 transcript:KJB83408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLEEQRDCLESPLIPQSQQGFAKDEILGEVKKQLLLAGPLVSVNFLVFALQLISVMFVGHLGNLPLSGASMATSFASVTGFSLLKGMASALDTFCGQSFGAKQYHMLGIHLQGSLLVLLTASIPLAFIWANAASILLFLGQDPEIAAEAGKYARFMLPSIFGFAIQECHIRFLQAQNNVVPMMIISGFTTLLHILVCWILVFKSGLGNKGAALANAFSYWTNALLLVLYVRTSPSCKKTWTGYSMEAFYGIRKFLKLSIPSAIMLSLNTSSTIFMIPLGLSAAVSTRASNELGAGRPRAARLAVCVTLLMVVTEGTVIGTAMISGRKYWGYLYSKDEQVVKYVGQMLLLIAASHLIDGIQSVLTGVARGCGWQKIGAWINLGAYHLIGTPCSVLLAFVYHLGGKGLWTGLIVALFVQAICLAIVTLNIKWEEEVIKALFCYIYIYIYIYIYTVGK >KJB83186 pep chromosome:Graimondii2_0_v6:13:55240440:55243148:1 gene:B456_013G234000 transcript:KJB83186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSVCFTSVCSFSTPNKPGIIINDSIPRKVIGVNEVFKSSKGARFQSLEAKAVDDNQRAKPKSIVCADCDGNGTGVNSVDHYNGRFKAGGLCWLCRGKREILCGDCNGAGFIGGFMSTFDD >KJB83187 pep chromosome:Graimondii2_0_v6:13:55240440:55243148:1 gene:B456_013G234000 transcript:KJB83187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSVCFTSVCSFSTPNKPGIIINDSIPRKVIGVNEVFKSSKGARFQSLEAKAVDDNQRAKPKSIVCADCDGNGAKQCSQCKGTGVNSVDHYNGRFKAGGLCWLCRWGKGRFYVETAMELVSLVDL >KJB83185 pep chromosome:Graimondii2_0_v6:13:55240440:55242119:1 gene:B456_013G234000 transcript:KJB83185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSVCFTSVCSFSTPNKPGIIINDSIPRKVIGVNEVFKSSKGARFQSLEAKAVDDNQRAKPKSIVCADCDGNGAKQCSQCKGTGVNSVDHYNGRFKAGGLCWLCRCDIFFSSFSFPFYPSPFH >KJB83184 pep chromosome:Graimondii2_0_v6:13:55240379:55243148:1 gene:B456_013G234000 transcript:KJB83184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSVCFTSVCSFSTPNKPGIIINDSIPRKVIGVNEVFKSSKGARFQSLEAKAVDDNQRAKPKSIVCADCDGNGAKQCSQCKGTGVNSVDHYNGRFKAGGLCWLCRGKREILCGDCNGAGFIGGFMSTFDD >KJB78747 pep chromosome:Graimondii2_0_v6:13:1085636:1088062:-1 gene:B456_013G015600 transcript:KJB78747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSNNSIDSSLSSQRFDRLVGKVALVTGGATGIGESIVRLFHKHGAKVCIVDVQDNLGLQVCQSLGNGPNVCFFHCDVTIEEQVRAAVDYAVEKFGTLDIMVNNAGLSGPPYNDIRNYDLSDFEKVMNVNVKGVFLGMKHAARIMIPHEKGSIISICSVSGVIGGLGPHAYTGSKHAVLGLTRNVASELGKYGIRVNCVSPYAVATELAFAHLHEDERTSDVRTGFRAFIGKNANLNGVDLTVEHVANAVLFLASDDAGYISGDNLMVDGGFTSSNHSLRVFR >KJB78746 pep chromosome:Graimondii2_0_v6:13:1085636:1088258:-1 gene:B456_013G015600 transcript:KJB78746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSNNSIDSSLSSQRLVGKVALVTGGATGIGESIVRLFHKHGAKVCIVDVQDNLGLQVCQSLGNGPNVCFFHCDVTIEEQVRAAVDYAVEKFGTLDIMVNNAGLSGPPYNDIRNYDLSDFEKVMNVNVKGVFLGMKHAARIMIPHEKGSIISICSVSGVIGGLGPHAYTGSKHAVLGLTRNVASELGKYGIRVNCVSPYAVATELAFAHLHEDERTSDVRTGFRAFIGKNANLNGVDLTVEHVANAVLFLASDDAGYISGDNLMVDGGFTSSNHSLRVFR >KJB78748 pep chromosome:Graimondii2_0_v6:13:1085573:1088262:-1 gene:B456_013G015600 transcript:KJB78748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSNNSIDSSLSSQRLVGKVALVTGGATGIGESIVRLFHKHGAKVCIVDVQDNLGLQVCQSLGNGPNVCFFHCDVTIEEQVRAAVDYAVEKFGTLDIMVNNAGLSGPPYNDIRNYDLSDFEKVMNVNVKGVFLGMKHAARIMIPHEKGSIISICSVSGVIGGLGPHAYTGSKHAVLGLTRNVASELGKYGIRVNCVSPYAVATELAFAHLHEDERTSDVRTGFRAFIGKNANLNGVDLTVEHVANAVLFLASDDAGYISGDNLMVDGGFTSSNHSLRVFR >KJB80247 pep chromosome:Graimondii2_0_v6:13:13880521:13881058:1 gene:B456_013G088900 transcript:KJB80247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLGFLVCLERVPPADPFIRINFDTTFDQKEFRSSSGVIVKNERGEVLLTKSTLHGEVASSFVAETLACSQAVEVGQSLGVDMVKVKRDSLVVIRKRNSKENDRSKIRNIIKDIHQNK >KJB80112 pep chromosome:Graimondii2_0_v6:13:11119387:11122756:1 gene:B456_013G081100 transcript:KJB80112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFKAAAPHTTPDMFCHSSFFLRGDDDFNPANAFHHDDSVDLSSSSIFSLKSSNVDVVGNSLHYDAFSTGIRAAEIVSSGTGCLDTGQFMYQKGTTLGNGHIENWGDSGLADNSQQTDTSTDVDTDDKNQLQHGAIITVDQSKLKTGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSQLRLTQLEQELQKARQQGFFIASGLSGGHGLSVSGNAALAFDMDYAHWLNEHQRLINDLRSGLNSHLGDSELRILVESVMAHYDEVFKLKSIGVKADAFHMLSGMWKTPAERCFMWLGGFRSSELLKILGNHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQALVDTLPSACLGPTACGNVADYMSQMAIAMSKLATLENFLHQADLLRHQTLQQMHRILTTRQAARALLVFSDYNSRLRALSSLWLARPRN >KJB81528 pep chromosome:Graimondii2_0_v6:13:40762557:40763431:1 gene:B456_013G148500 transcript:KJB81528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVKEKPLRNESKSEVKAIKRRRRPQRIQKLSQIQCLIIYYINCTDLHNPPFPPLRSKRKKKKTHPQIKDSRERRWESINQTTTAPNLSRIGERTQENKHTP >KJB78986 pep chromosome:Graimondii2_0_v6:13:2109728:2114432:-1 gene:B456_013G028500 transcript:KJB78986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPAVSFQSTTTTAISSSSEMIPMGGYFAPPPMFLPGNSSIITSSPALLQPGNSSGSSFLVDSVAGLNHDTGLAVEWSVDEQYILEDGLEKYKEEPNILKYIKIAASLPEKTVRDVALRCRWMQIKVLFGNWQRKRRKPEEHNAGKKVNNRKDKLVESSSKMTMPSPLPPTMAAYPLMMRHLDQNERTPSEGICGTTMHLLKQNAQTFSQITSNLSQYKLQDNIDLFCHARNNITAMLNDMREMPGLMSHMPPLPVSVNEDLANGLLPGATNVLPQTMIFGSPSGIHLKQEPRC >KJB78990 pep chromosome:Graimondii2_0_v6:13:2111630:2115152:-1 gene:B456_013G028500 transcript:KJB78990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPAVSFQSTTTTAISSSSEMIPMGGYFAPPPMFLPGNSSIITSSPALLQPGNSSGSSFLVDSVAGLNHDTGLAVEWSVDEQYILEDGLEKYKEEPNILKYIKIAASLPEKTVRDVALRCRWMQRKRRKPEEHNAGKKVNNRKDKLVESSSKMTMPSPLPPTMAAYPLMMRHLDQNERTPSEGLYFMPTPRSINIFLLVVAAFN >KJB78987 pep chromosome:Graimondii2_0_v6:13:2109196:2115171:-1 gene:B456_013G028500 transcript:KJB78987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPAVSFQSTTTTAISSSSEMIPMGGYFAPPPMFLPGNSSIITSSPALLQPGNSSGSSFLVDSVAGLNHDTGLAVEWSVDEQYILEDGLEKYKEEPNILKYIKIAASLPEKTVRDVALRCRWMQRKRRKPEEHNAGKKVNNRKDKLVESSSKMTMPSPLPPTMAAYPLMMRHLDQNERTPSEGICGTTMHLLKQNAQTFSQITSNLSQYKLQDNIDLFCHARNNITAMLNDMREMPGLMSHMPPLPVSVNEDLANGLLPGATNVLPQTMIFGSPSGIHLKQEPRC >KJB78989 pep chromosome:Graimondii2_0_v6:13:2110216:2115152:-1 gene:B456_013G028500 transcript:KJB78989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPAVSFQSTTTTAISSSSEMIPMGGYFAPPPMFLPGNSSIITSSPALLQPGNSSGSSFLVDSVAGLNHDTGLAVEWSVDEQYILEDGLEKYKEEPNILKYIKIAASLPEKTVRDVALRCRWMQRKRRKPEEHNAGKKVNNRKDKLVESSSKMTMPSPLPPTMAAYPLMMRHLDQNERTPSEGICGTTMHLLKQNAQTFSQITSNLSQYKLQDNIDLFCHARNNITAMLNDMREMPGLMSHMPPLPVSVNEDLANGLLPGATNVLPQVGCHLN >KJB78988 pep chromosome:Graimondii2_0_v6:13:2109208:2115152:-1 gene:B456_013G028500 transcript:KJB78988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPAVSFQSTTTTAISSSSEMIPMGGYFAPPPMFLPGNSSIITSSPALLQPGNSSGSSFLVDSVAGLNHDTGLAVEWSVDEQYILEDGLEKYKEEPNILKYIKIAASLPEKTVRDVALRCRWMQRLPPTEMVAKSYRSLLLPPILKRLLAPFCLITVLSGLRKRRKPEEHNAGKKVNNRKDKLVESSSKMTMPSPLPPTMAAYPLMMRHLDQNERTPSEGICGTTMHLLKQNAQTFSQITSNLSQYKLQDNIDLFCHARNNITAMLNDMREMPGLMSHMPPLPVSVNEDLANGLLPGATNVLPQTMIFGSPSGIHLKQEPRC >KJB78985 pep chromosome:Graimondii2_0_v6:13:2109208:2115152:-1 gene:B456_013G028500 transcript:KJB78985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPAVSFQSTTTTAISSSSEMIPMGGYFAPPPMFLPGNSSIITSSPALLQPGNSSGSSFLVDSVAGLNHDTGLAVEWSVDEQYILEDGLEKYKEEPNILKYIKIAASLPEKTVRDVALRCRWMQRKRRKPEEHNAGKKVNNRKDKLVESSSKMTMPSPLPPTMAAYPLMMRHLDQNERTPSEGICGTTMHLLKQNAQTFSQITSNLSQYKLQDNIDLFCHARNNITAMLNDMREMPGLMSHMPPLPVSVNEDLANGLLPGATNVLPQTMIFGSPSGIHLKQEPRC >KJB80287 pep chromosome:Graimondii2_0_v6:13:14470859:14473687:-1 gene:B456_013G090200 transcript:KJB80287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESQEQKPSSQPSKTVAVSRPTITPPPRPFTDALFNGGLGMMGFSPGPMTLVSNLFPDSDELKTFSQLLAGAMASPAAGKIPNFFPLTTTEGQGGVSGSGGGGDDTGSRFRHNKPAGLVIAQPPPMFTLPTGLSPASLLESPGFSVFSPIAQGPFGMTHQQALAQVTAQAAQAQCSSAAAASLAPVSAFTANMTTNQQMPISLHSSTVTVNEPSDACQSDHRSQPASLIVDKPADDGYNWRKYGQKQVKGSEFPRSYYKCTSPGCPVKKKVERSIDGQVTEIIYKGQHNHQPPPPSKHAKDTGSLNGNPGKQGNSESASQLQCGSSNILMSKKDQESSQATAEHISGTSDSEEAGENEVGVDEKDEDEPDPKRRSTEIRVSEPASSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTLGCNVRKHVERAATNPKAVITTYEGKHNHNVPAAKRSSHNTSTVKHHKQECRMQ >KJB80286 pep chromosome:Graimondii2_0_v6:13:14470859:14473486:-1 gene:B456_013G090200 transcript:KJB80286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESQEQKPSSQPSKTVAVSRPTITPPPRPFTDALFNGGLGMMGFSPGPMTLVSNLFPDSDELKTFSQLLAGAMASPAAGKIPNFFPLTTTEGQGGVSGSGGGGDDTGSRFRHNKPAGLVIAQPPPMFTLPTGLSPASLLESPGFSVFSPIAQGPFGMTHQQALAQVTAQAAQAQCSSAAAASLAPVSAFTANMTTNQQMPISLHSSTVTVNEPSDACQSDHRSQPASLIVDKPADDGYNWRKYGQKQVKGSEFPRSYYKCTSPGCPVKKKVERSIDGQVTEIIYKGQHNHQPPPPSKHAKDTGSLNGNPGKQGNSESASQLQCGSSNILMSKKDQESSQATAEHISGTSDSEEAGENEVGVDEKDEDEPDPKRRSTEIRVSEPASSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPR >KJB80285 pep chromosome:Graimondii2_0_v6:13:14470859:14473486:-1 gene:B456_013G090200 transcript:KJB80285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESQEQKPSSQPSKTVAVSRPTITPPPRPFTDALFNGGLGMMGFSPGPMTLVSNLFPDSDELKTFSQLLAGAMASPAAGKIPNFFPLTTTEGQGGVSGSGGGGDDTGSRFRHNKPAGLVIAQPPPMFTLPTGLSPASLLESPGFSVFSPIAQGPFGMTHQQALAQVTAQAAQAQCSSAAAASLAPVSAFTANMTTNQQMPISLHSSTVTVNEPSDACQSDHRSQPASLIVDKPADDGYNWRKYGQKQVKGSEFPRSYYKCTSPGCPVKKKVERSIDGQVTEIIYKGQHNHQPPPPSKHAKDTGSLNGNPGKQGNSESASQLQCGSSNILMSKKDQESSQATAEHISGTSDSEEAGENEVGVDEKDEDEPDPKRRCVKSYIFVLCALLN >KJB81228 pep chromosome:Graimondii2_0_v6:13:35276576:35289339:1 gene:B456_013G133800 transcript:KJB81228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGVKETLENDFTSCSASLEVDPAKPASLTWLRQLNSIGKPPAGFGLSFKEIMDLAPIGFRLWRYTREEEATGRIPIFDFFRKHLVTCDHGIPLGGIGAGSIGRGYRGEFQRFKLFGTVCEEGPILANQFSAFVSRPNGQKYSTVLCARSPEVTKGSTGSGIESWDWNLKGEKCTYHGLFPRSWTIYEGEPDPELRISCRQISPFIPHNYKESSLPVSVFTFTLSNTGRTSADVTLLFTWANSVGGISGFSGDHFNSKMKMENGVRGVLLHHKTAKGQPPLTFAISAKETNEVHVSECPCFLISGNSRGISAKDMWHEIKKNGSFENLGYEECSPSEPGSSIGAAVAASVTVPPGSDRRVTFSLAWDCPEVRFGDKTYYKRYSKYYGTVGDAAGNIASDAIFDHSTWESQIESWQRPILEDKRLPEWYPVTLFNELYYLNAGGTIWTDGSAPMQSLASLGERKFSLDKSRSHLDNAINTANHKNIAIEILERMTSTLEKAHIPLTSNSALGSQLLQDGEENIGQFLYLEGSEYLMFNTYDVHFYSSFALLMLFPKLELSFQRDFAAAVMMHDPSKMEIMYDGKWVPRKILGAVPHDIGLNDPWFEVNAYNLFNTDNWKDLNPKFVLQIHRDIVATGDKSFAQAVWPSVYTAMAFMDQFDKDRDGMIENEGFPDQTYDAWPVDGVSAYSGGLWVAALQATASIAHQVGDDEAAAYFHTKYQKAKSVYATLWNGSYFNYDNTANSSSRCILADQLAGQWYTGASGLMPIADNDKVRTALQKIYDFNVLKVKGGMCGAVNGMLPDGRVDMTGMQSREIWAGVTYALAATMIQEGMVETAFKTAAGAHEAAWSQQGLGYSFQTPEAWNTDEEYRSLCYMRPLAIWAMQWALTNPKLSTEETKHPYGEIDECLYQKQHLAFSKVAHLLKLPNKEEASKTFLQSLVQFICRRLPI >KJB81229 pep chromosome:Graimondii2_0_v6:13:35276291:35289393:1 gene:B456_013G133800 transcript:KJB81229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGVKETLENDFTSCSASLEVDPAKPASLTWLRQLNSIGKPPAGFGLSFKEIMDLAPIGFRLWRYTREEEATGRIPIFDFFRKHLVTCDHGIPLGGIGAGSIGRGYRGEFQRFKLFGTVCEEGPILANQFSAFVSRPNGQKYSTVLCARSPEVTKGSTGSGIESWDWNLKGEKCTYHGLFPRSWTIYEGEPDPELRISCRQISPFIPHNYKESSLPVSVFTFTLSNTGRTSADVTLLFTWANSVGGISGFSGDHFNSKMKMENGVRGVLLHHKTAKGQPPLTFAISAKETNEVHVSECPCFLISGNSRGISAKDMWHEIKKNGSFENLGYEECSPSEPGSSIGAAVAASVTVPPGSDRRVTFSLAWDCPEVRFGDKTYYKRYSKYYGTVGDAAGNIASDAIFDHSTWESQIESWQRPILEDKRLPEWYPVTLFNELYYLNAGGTIWTDGSAPMQSLASLGERKFSLDKSRSHLDNAINTANHKNIAIEILERMTSTLEKAHIPLTSNSALGSQLLQDGEENIGQFLYLEGSEYLMFNTYDVHFYSSFALLMLFPKLELSFQRDFAAAVMMHDPSKMEIMYDGKWVPRKILGAVPHDIGLNDPWFEVNAYNLFNTDNWKDLNPKFVLQIHRDIVATGDKSFAQAVWPSVYTAMAFMDQFDKDRDGMIENEGFPDQTYDAWPVDGVSAYSGGLWVAALQATASIAHQVGDDEAAAYFHTKYQKAKSVYATLWNGSYFNYDNTANSSSRCILADQLAGQWYTGASGLMPIADNDKVRTALQKIYDFNVLKVKGGMCGAVNGMLPDGRVDMTGMQSREIWAGVTYALAATMIQEGMVETAFKTAAGAHEAAWSQQGLGYSFQTPEAWNTDEEYRSLCYMRPLAIWAMQWALTNPKLSTEETKHPYGEIDECLYQKQHLAFSKVAHLLKLPNKEEASKTFLQSLVQFICRRLPI >KJB83520 pep chromosome:Graimondii2_0_v6:13:56924253:56927808:1 gene:B456_013G251700 transcript:KJB83520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRIVFSRIQNLDPENASKIIGYLLLQDHGDKEMIRLAFGPEALIHSLIFKAKTHLGLPISNPPSPSPPPQFSYAGVVNGCAKSLHGAAADDNGGFVDDFQFQEHVSDYLTGGSGGYADSTLHRRSFSVPGLCLTGEDLKPCLYFARGFCKNGSKCKFIHGDHCAENELEHCQELLRSKSFQQAAATVTASQFMAGGASLPYRSPLMITDELHKYGRCRSEAAVGANSSSRQIYLTFPADSTFKEEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILSKGNPHFVCDSRVLVKPYKEKGKVHEKKQQQLERGEYSATPVDTREPFDLQLGTRMFYNAQEMMLRRKLEEQADLQQAIELQGRRLMNLQLLDLKNQHHSSFHHSLSTCSPIPSPTVSRIPTNQARIFPVEATLEDNGGLKSPAANKLLEEVKAVCNHKSDNGITLTKEDKANTEQNDFPESLEHILPDNLFASPKKSTGECFTVFTDEKNPSLANTSGLNVASLKSCFLQLPRFSSGQGA >KJB78817 pep chromosome:Graimondii2_0_v6:13:1427518:1431207:-1 gene:B456_013G020700 transcript:KJB78817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVENRQVVLERYIEGVPKVTDMAMKIGKIELKAPKGSGAFLVKNLYLSCDPYMRGRMRDFHGSYIPPFVPSQPIEGFGVGKVLDSDNPDFKPGDFISGITGWEEYSLIHNTSQLRKIQPDDAIPLSYHLGLLGMPGFTAYVGFYEICSPKKGEYVFVSAASGAVGQLVGQLAKLHGCYVVGSAGSRQKVDLLKNKLGFDEAFNYKEEADLDAALKRYFPEGIDIYFDNVGGEILDAALLNMRIHGRIAVCGMVSLHSISDPKGIHNLYCVVPKRIKMQGFLQSDYLDKFPEFLEHVTKNFKEGKIVYIEDMNNGLESGPAAFVGLFSGQNIGKQVICVARD >KJB78818 pep chromosome:Graimondii2_0_v6:13:1427539:1430906:-1 gene:B456_013G020700 transcript:KJB78818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVENRQVVLERYIEGVPKVTDMAMKIGKIELKAPKGSGAFLVKNLYLSCDPYMRGRMRDFHGSYIPPFVPSQPIEGFGVGKVLDSDNPDFKPGDFISGITGWEEYSLIHNTSQLRKIQPDDAIPLSYHLGLLGFTAYVGFYEICSPKKGEYVFVSAASGAVGQLVGQLAKLHGCYVVGSAGSRQKVDLLKNKLGFDEAFNYKEEADLDAALKRYFPEGIDIYFDNVGGEILDAALLNMRIHGRIAVCGMVSLHSISDPKGIHNLYCVVPKRIKMQGFLQSDYLDKFPEFLEHVTKNFKEGKIVYIEDMNNGLESGPAAFVGLFSGQNIGKQVICVARD >KJB81947 pep chromosome:Graimondii2_0_v6:13:45349904:45354804:-1 gene:B456_013G168400 transcript:KJB81947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGLRAGSYGSLLNIKNVIAGGGRLLHSKSFGSVRKNPIIMLSGSREKERSLPSIWYRYLGRRKFSMLLLVAFAVLVFVLGSFVVNKESNSPNVDQRIGTMSMAHYINATRNEASRILGRRNRQKGGDGNRFQASVSKGANHPCANFTFPPPPPPHLRRIGPRPCPVCYLPVDQAIASMPSSPSESPVLHNLTYVHDENPIKTEPHGGSDFGGYPSLKQRNDSFDIKESMVVHCGFVKGSKPGHQTGFDFDESDLAGLQQFHEIIVASAIFGNYDLIQQPRNISEEAKRNVPFYMFIDEETEAYMKNRSMLDSSKRVGLWRIIVIHNVPYSDARRNGKVPKLLLHRIFPNIRYSIWIDGKLQLVVDPYQILERFLWRQNANFAISRHYRRFDVFVEAEANKAAGKYDNSSIDAQVDFYRTEGLTPYSEAKLPIISDVPEGCVLIKEHIPITNLFTCLWFNEVDRFTSRDQLSFGMVRDKIMAKVDWHINMFLDCERRNFVIQAYHRDLLEHMPPPVAVIRHPPALPNIITRERNQGKKIPRHGKDRRSGSRHLHKASAGNRESNFF >KJB81946 pep chromosome:Graimondii2_0_v6:13:45349904:45354393:-1 gene:B456_013G168400 transcript:KJB81946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAHYINATRNEASRILGRRNRQKGGDGNRFQASVSKGANHPCANFTFPPPPPPHLRRIGPRPCPVCYLPVDQAIASMPSSPSESPVLHNLTYVHDENPIKTEPHGGSDFGGYPSLKQRNDSFDIKESMVVHCGFVKGSKPGHQTGFDFDESDLAGLQQFHEIIVASAIFGNYDLIQQPRNISEEAKRNVPFYMFIDEETEAYMKNRSMLDSSKRVGLWRIIVIHNVPYSDARRNGKVPKLLLHRIFPNIRYSIWIDGKLQLVVDPYQILERFLWRQNANFAISRHYRRFDVFVEAEANKAAGKYDNSSIDAQVDFYRTEGLTPYSEAKLPIISDVPEGCVLIKEHIPITNLFTCLWFNEVDRFTSRDQLSFGMVRDKIMAKVDWHINMFLDCERRNFVIQAYHRDLLEHMPPPVAVIRHPPALPNIITRERNQGKKIPRHGKDRRSGSRHLHKASAGNRESNFF >KJB81945 pep chromosome:Graimondii2_0_v6:13:45349904:45353658:-1 gene:B456_013G168400 transcript:KJB81945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLLRLRYLVLLGIHASPRCMLDPHHCFIYYSPGNYDLIQQPRNISEEAKRNVPFYMFIDEETEAYMKNRSMLDSSKRVGLWRIIVIHNVPYSDARRNGKVPKLLLHRIFPNIRYSIWIDGKLQLVVDPYQILERFLWRQNANFAISRHYRRFDVFVEAEANKAAGKYDNSSIDAQVDFYRTEGLTPYSEAKLPIISDVPEGCVLIKEHIPITNLFTCLWFNEVDRFTSRDQLSFGMVRDKIMAKVDWHINMFLDCERRNFVIQAYHRDLLEHMPPPVAVIRHPPALPNIITRERNQGKKIPRHGKDRRSGSRHLHKASAGNRESNFF >KJB81948 pep chromosome:Graimondii2_0_v6:13:45350482:45354393:-1 gene:B456_013G168400 transcript:KJB81948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGLRAGSYGSLLNIKNVIAGGGRLLHSKSFGSVRKNPIIMLSGSREKERSLPSIWYRYLGRRKFSMLLLVAFAVLVFVLGSFVVNKESNSPNVDQRIGTMSMAHYINATRNEASRILGRRNRQKGGDGNRFQASVSKGANHPCANFTFPPPPPPHLRRIGPRPCPVCYLPVDQAIASMPSSPSESPVLHNLTYVHDENPIKTEPHGGSDFGGYPSLKQRNDSFDIKESMVVHCGFVKGSKPGHQTGFDFDESDLAGLQQFHEIIVASAIFGNYDLIQQPRNISEEAKRNVPFYMFIDEETEAYMKNRSMLDSSKRVGLWRIIVIHNVPYSDARRNGKVPKLLLHRIFPNIRYSIWIDGKLQLVVDPYQILERFLWRQNANFAISRHYRRFDVFVEAEANKAAGKYDNSSIDAQVDFYRTEGLTPYSEAKLPIISDVPEGCVLIKEHIPITNLFTCLWFNEVDRFTSRDQLSFGMVRDKIMAKVDWHINMFLDCERRNFVIQVHIPYWRINFKGLKIQSLKYIIF >KJB79464 pep chromosome:Graimondii2_0_v6:13:4802715:4803853:1 gene:B456_013G051300 transcript:KJB79464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSLIQKKATDVSADLRGTSIFLVGMNNSVKSSLGMLLADLFRYYYFDSDSLVSEAAGGESVAISLKESDKKGFRDSETEVLKQLSSMGRLVVCAGDGAVQNSTNLALLRHGISIWIDVPLDMVAKGIIGNKSLLLSSEIAISGSYSEVLSQLMALYEDMRRGYATADATISLQSTST >KJB79465 pep chromosome:Graimondii2_0_v6:13:4802715:4804374:1 gene:B456_013G051300 transcript:KJB79465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSLIQKKATDVSADLRGTSIFLVGMNNSVKSSLGMLLADLFRYYYFDSDSLVSEAAGGESVAISLKESDKKGFRDSETEVLKQLSSMGRLVVCAGDGAVQNSTNLALLRHGISIWIDVPLDMVAKGIIGNKSLLLSSEIAISGSYSEVLSQLMALYEDMRRGYATADATISLQTKIAYQLGYEDMDAVTTEDITMEVLKEIERLTRVKKMMEAAARPF >KJB80171 pep chromosome:Graimondii2_0_v6:13:12090679:12092007:-1 gene:B456_013G084300 transcript:KJB80171 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein c [Source:Projected from Arabidopsis thaliana (AT1G69700) UniProtKB/Swiss-Prot;Acc:Q9S784] MGSQNFLQVVAKNFDVLALPLVTLVYPLYASIKAIETRSNNDDQQWLTYWVLYSLITLFELTFAKVLEWFPIWPYAKLIFTCWLVLPQFNGAKYVYRHFIRPFYMNPQRATTIWYVPRKKSIFSQQDDILTAAEKYIEEHGTHEFERLITKAEKEERIRRSNNYMIFDDDYIY >KJB80172 pep chromosome:Graimondii2_0_v6:13:12090540:12094274:-1 gene:B456_013G084300 transcript:KJB80172 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein c [Source:Projected from Arabidopsis thaliana (AT1G69700) UniProtKB/Swiss-Prot;Acc:Q9S784] MGSQNFLQVVAKNFDVLALPLVTLVYPLYASIKAIETRSNNDDQQWLTYWVLYSLITLFELTFAKVLEWFPIWPYAKLIFTCWLVLPQFNGAKYVYRHFIRPFYMNPQRATTIWYVPRKKSIFSQQDDILTAAEKYIEEHGTHEFERLITKAEKEERIRRSNNYMIFDDDYIY >KJB80170 pep chromosome:Graimondii2_0_v6:13:12090679:12092007:-1 gene:B456_013G084300 transcript:KJB80170 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein c [Source:Projected from Arabidopsis thaliana (AT1G69700) UniProtKB/Swiss-Prot;Acc:Q9S784] MGSQNFLQVVAKNFDVLALPLVTLVYPLYASIKAIETRSNNDDQQWLTYWVLYSLITLFELTFAKVLEWFPIWPYAKLIFTCWLVLPQFNGAKYVYRHFIRPFYMNPQRATTIWYVPRKKSIFSQQDDILTAAEKYIEEHGTHEFERLITKAEKEERIRRSNNYMIFDDDYIY >KJB78809 pep chromosome:Graimondii2_0_v6:13:1387944:1390835:1 gene:B456_013G020100 transcript:KJB78809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRVIESVDGNVLPMRNAVLFRRKGRFWPDIFRPGRYMCILMFWGLMTLLFMMLLAKFALLNTLHDLKLDQSEFITARSVEISIRTNKLITEGDNIIISEFKKIPLPPKLTLPDIWTKPNADLYYKCVQRSSKDIISGDPTNGYILVHSNGGLNQMKTGISDMVAIAKVMNATLVLPSLDHNSFWTDPSDFKDIFDWKHFVEYLEEDISVVDHLPPAYASIKPHQRAPVSYSKASYYSNQMSSLLKKHKVINFTHTDSRLANNGLPNPIQRLRCRAMYEALKFTDEILELADKLITRLRDDDKPFIALHLRYEKDMLAFTGCSHNLSKAEDEELRQLRRSVRHWKEKHINGEERRRQGVCPMTPREVAVFLEAIGFPSDTKIYIVAGNIYGQDGVKALQDKYPNIYTHSNLATEDELSPFNNRQNKLAAVDYTVALESDVFVFTYDGNMAKAVQGHRKYEGFRKTIIPDKKNFVKLIDRMDKGLISWEEFSMKVKILHANRTGGAVLRKPGKVVKSEESFYANPYPGCICEK >KJB81406 pep chromosome:Graimondii2_0_v6:13:39418668:39422127:-1 gene:B456_013G143600 transcript:KJB81406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKPARHHRLLPVQPLTFSPFARSVARVATAYLVKHHMHDAMQQSAGDEFKEKLKNVDRQSVSSEDEEFDGVVQADFAFFDPKPDDFHGVKTLLQSYLNNKQWDLSGFVDLILGQTTVGTVVKLEDDEDNGVFSIITALNLGRYKDHKCISELKEFLLNICHEKDKIGNLRSLLGDEAQKVGLLVSQCVVNLPPELLPPLYDALFDEISWATEDEPTEELRNSFRFKFYVVVSKFYKHKNANQKRSSSTDKDEAFVYIKPEDEILHKLSMWSFLFPLQTQQVATHELKNYQLTGIVMAVKAEDISSFRQQLHALINES >KJB81403 pep chromosome:Graimondii2_0_v6:13:39418478:39423106:-1 gene:B456_013G143600 transcript:KJB81403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKPARHHRLLPVQPLTFSPFARSVARVATAYLVKHHMHDAMQQSAGDEFKEKLKNVDRQSVSSEDEEFDGVVQADFAFFDPKPDDFHGVKTLLQSYLNNKQWDLSGFVDLILGQTTVGTVVKLEDDEDNGVFSIITALNLGRYKDHKCISELKEFLLNICHEKDKIGNLRSLLGDEAQKVGLLVSQCVVNLPPELLPPLYDALFDEISWATEDEPTEELRNSFRFKFYVVVSKFYKHKNANQKRSSSTDKDEAFVYIKPEDEILHKLSMWSFLFPLQTQQVATHELKNYQLTGIVMAVKAEDISSFRQQLHALINES >KJB81404 pep chromosome:Graimondii2_0_v6:13:39418668:39422011:-1 gene:B456_013G143600 transcript:KJB81404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKPARHHRLLPVQPLTFSPFARSVARVATAYLVKHHMHDAMQQSAGDEFKEKLKNVDRQSVSSEDEEFDGVVQADFAFFDPKPDDFHGVKTLLQSYLNNKQWDLSGFVDLILGQTTVGTVVKLEDDEDNGVFSIITALNLGRYKDHKCISELKEFLLNICHEKDKIGNLRSLLGDEAQKVGLLVSQCVVNLPPELLPPLYDALFDEISWATEDEPTEELRNSFRFKFYVVVSKFYKHKNANQKRSSSTDKDEAFVYIKPEDEILHKLSMWSFLFPLQTQQVATHELKNYQLTGIVMAVKAEDISSFRQQLHALINES >KJB81405 pep chromosome:Graimondii2_0_v6:13:39419131:39421262:-1 gene:B456_013G143600 transcript:KJB81405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKPARHHRLLPVQPLTFSPFARSVARVATAYLVKHHMHDAMQQSAGDEFKEKLKNVDRQSVSSEDEEFDGVVQADFAFFDPKPDDFHGVKTLLQSYLNNKQWDLSGFVDLILGQTTVGTVVKLEDDEDNGVFSIITALNLGRYKDHKCISELKEFLLNICHEKDKIGNLRSLLGDEAQKVGLLVSQCVVNLPPELLPPLYDALFDEISWATEDEPTEELRNSFRFKFYVVVSKFYKHKNANQKRSSSTDKDEAFVYIKPEDEILHKLSMWSFLFPLQTQQVATHEVSRTQHQIYHHRSILVHL >KJB80450 pep chromosome:Graimondii2_0_v6:13:17503091:17505688:-1 gene:B456_013G098500 transcript:KJB80450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSNCYCSLLKICTETRNRSQAKKIHCHILRTIKDPETFLLNNLVNAYGKLGDLTYARNLFDKIPQPNLFSWNTILFTYSKSGNLSDMNDIFNRMPKRDGVSWNSLISGYASRALVTDAVKGYNSMLGDGAANLNRITFSTMLILSSSQGCIDLGRQIHGQIVKFGFGSYVFVSCPLMDMYSKAGFVYDAKQVFDETPERNVVMYNTMITGFLRCGMVEDSWSLFHSMREKDAISWTTMITGLTQNGLYKEAIDLFREMRTEGLVMDQFTFGSMLTACGGLMALKEGKQAHAFVIRTNHMDNVFVGSALVDMYCKCKRIAFAEAVFKRMTHKNVVSWTALLVGYGQNGYSEEAIRVFCDMQRNGINPDYYTLGSVISSCANLASLEEGSQFHGQAIVSGLFSFTTVSNALVTLYSKCGSIEDANRLFNEMNFRDEVSWTALVSGYAQFGKADETIDLFQKMLAHGLKPDEVTFVGVLSACSRAGLVEKGYQYFESMVKEHGIMPVVDHYTCMIDLLSRAGRLEEARCFINKMPMPPDAIGWSTLLSSCRLHGNLEVGKWAAASLQELEPNNPAGYILLSSIYAAKGKWDYVSELRRGMRDKGVRKEPGCSWIKYKGKVHIFSADDQTSPFSDQIYAELDKLNHKMIEEGYVPDLSTVLHDVEESEKKKMLNYHSERLAIAFGLIFIPPRLPIRIVKNLRVCGDCHNATKYISKITHREILVRDAVRFHLFKDGTCSCGDFW >KJB82849 pep chromosome:Graimondii2_0_v6:13:53673117:53674629:1 gene:B456_013G216700 transcript:KJB82849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAWLTTPELCGHGKRSIGGRNCHRKHLELMKKMAEKGKTDHPALLHGKYELGRMLGHGTFAKVYHARHVTTGKSVAMKVVGKEKVIRVGMMEQIQREISVMNMVKHPNIVELHEVMASKSKIYFAMELVRGGELFSKVVQGRLKEDLARVYFQQLVSAVDFCHSRGVYHRDLKPENLLLDEDGNLKVTDFGLSAFSEHLKQDGLLYTTSDIWSCGVILYVLLAGFLPFQDDNLVAMYRKIYRGDYKCPPWFSSEARRLITKLLDPNPNSRITISKIRDSSWFKKSIPKTVRTKEDLEFEAFNGDNKSSKPETLNAFHIISLSQGFDLSPLFEEKKREEEELRFATTRPASSVISRLEEVAKSVKFNVKKSESKVRLQGQECGRKGKLAIAADIFAVTPSFLVVEVKKDNGDTLEYNQFCSKQLWPALQDIVWTSPAEKPTVA >KJB81900 pep chromosome:Graimondii2_0_v6:13:44990620:44991339:1 gene:B456_013G166700 transcript:KJB81900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEYDQYDAKDIPTVPTPPNPNCVAPQMAYQPPNPMRKTESKGNGFWSGFCSGLCCYCCLDMCF >KJB83157 pep chromosome:Graimondii2_0_v6:13:56324964:56327024:-1 gene:B456_013G244100 transcript:KJB83157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TWNWKGSSTRYQCSGSSGPALVLVHGFGPNSNHWRKNIPVLAKSHRVYAIDLIGYGYSDKPNPREVGDSFYIFETWGSQLNDFCSSVVKDKAFFICNSIGGLVGLQAAVAKPEICRGLFLLNISLRMLHVKKQPWFGRPFIASFQSLLGRQLFFGSVATPESVRSILCQCYHDTSQVTEELVQIILHPGLEPGAVDVFLEFICYTGGPLPEELLP >KJB83159 pep chromosome:Graimondii2_0_v6:13:56324964:56327024:-1 gene:B456_013G244100 transcript:KJB83159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TWNWKGSSTRYQCSGSSGPALVLVHGFGPNSNHWRKNIPVLAKSHRVYAIDLIGYGYSDKPNPREVGDSFYIFETWGSQLNDFCSSVVKDKAFFICNSIGGLVGLQAAVAKPEICRGLFLLNISLRMLHVKKQPWFGRPFIASFQSLLGVTMTPLK >KJB83158 pep chromosome:Graimondii2_0_v6:13:56325984:56327024:-1 gene:B456_013G244100 transcript:KJB83158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TWNWKGSSTRYQCSGSSGPALVLVHGFGPNSNHWRKNIPVLAKSHRVYAIDLIGYGYSDKPNPREVGDSFYIFETWGSQLNDFCSSVVKDKAFFICNSIGGLVGLQAAVAKPEICRGLFLLNISLRMLHVKKQPWFGRPFIASFQSLLGNTAVGNFSSDPLPHRSLLEVFFVSVTMTPLK >KJB83156 pep chromosome:Graimondii2_0_v6:13:56325868:56327024:-1 gene:B456_013G244100 transcript:KJB83156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TWNWKGSSTRYQCSGSSGPALVLVHGFGPNSNHWRKNIPVLAKSHRVYAIDLIGYGYSDKPNPREVGDSFYIFETWGSQLNDFCSSVVKDKAFFICNSIGGLVGLQAAVAKPEICRGLFLLNISLRMLHVKKQPWFGRPFIASFQSLLGRQLFFGSVATPESVRSILCQCYHDTSQVTEELVQIILHPGLEPGAVDVFLEFICYTGGPLPEELLP >KJB83160 pep chromosome:Graimondii2_0_v6:13:56325984:56327024:-1 gene:B456_013G244100 transcript:KJB83160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TWNWKGSSTRYQCSGSSGPALVLVHGFGPNSNHWRKNIPVLAKSHRVYAIDLIGYGYSDKPNPREVGDSFYIFETWGSQLNDFCSSVVKDKAFFICNSIGGLVGLQAAVAKPEICRGLFLLNISLRMLHVKKQPWFGRPFIASFQSLLGNTAVGNFSSDPLPHRSLLEVFFVSVTMTPLK >KJB78830 pep chromosome:Graimondii2_0_v6:13:1507768:1510335:-1 gene:B456_013G021400 transcript:KJB78830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSLLSSPAFLVFFSFFTFCLLVDPVLGITRHYKFDVKLHNVTRLCHTRSIVSVNGQFPGPRIVAREGDQLLIKVVNHVPNNVSIHWHGIRQLRSGWADGPAYVTQCPIQTGQSYVYNFTIVGQRGTLFWHAHISWLRATLYGPIVILPKRGVPYPFAKPYKEVPIVFGEWFNADPEAVISQALQTGGGPNVSDAYTINGLPGPLYNCSAKDTFKLKVKPGKTYLLRLINAALNDELFFSIANHTLTVVDVDAIYVKPFETETLLITPGQTTNVILKTKPSYPNATFFMTARPYVIGQGTFDNSTVAGILEYESPPKSLHLSKMFPLFKPILPALNDTSFATNFASKLRSLASAQYPANVPQKVDKHFFFTVGLGTSPCQHNQTCQGPNGTKFAASVNNVSFTMPTTALLQAHFFGQSNGVYTPDFPSSPTIPFNYTGTPPNNTMVINGTKVVVLPFNTSVELVMQDTNILGAESHPLHLHGFNFFVVGQGFGNFDPNKDPAKFNLVDPIERNTVGVPSGGWAAIRFLADNPGVWFMHCHLEVHTSWGLKMAWIVLNGELPTQKLLPPPADLPKC >KJB80481 pep chromosome:Graimondii2_0_v6:13:27367333:27368566:1 gene:B456_013G113000 transcript:KJB80481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQMAQACLNKTLATGSSSTIATYASSTENISRLLQGWMRASPNICSNQSAIILQTQSKDESGGRDLMSNEHFESILRFENMNNVAWEKSACGSTTSKGGFQDSGNDDQFSVEITQEMKQKTGNNSKFYPSFSSLEKWLLDDSWAGKVEEMNQWMELSPIF >KJB80483 pep chromosome:Graimondii2_0_v6:13:27367333:27368577:1 gene:B456_013G113000 transcript:KJB80483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKVGIKKGPWTPEEDILLVSYVQEHGPGNWRLVPTNTGLQRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDVKNYWNTHLKKKLKKFQPAMELPQMAQACLNKTLATGSSSTIATYASSTENISRLLQGWMRASPNICSNQSAIILQTQSKDESGGRDLMSNEHFESILRFENMNNVAWEKSACGSTTSKGGFQDSGNDDQFSVEITQEMKQKTGNNSKFYPSFSSLEKWLLDDSWAGKVEEMNQWMELSPIF >KJB80482 pep chromosome:Graimondii2_0_v6:13:27367333:27368566:1 gene:B456_013G113000 transcript:KJB80482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIHLQALLGNKWAAIASYLPQRTDNDVKNYWNTHLKKKLKKFQPAMELPQMAQACLNKTLATGSSSTIATYASSTENISRLLQGWMRASPNICSNQSAIILQTQSKDESGGRDLMSNEHFESILRFENMNNVAWEKSACGSTTSKGGFQDSGNDDQFSVEITQEMKQKTGNNSKFYPSFSSLEKWLLDDSWAGKVEEMNQWMELSPIF >KJB81711 pep chromosome:Graimondii2_0_v6:13:43295951:43297409:-1 gene:B456_013G157600 transcript:KJB81711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVCRCGILLMRQEFLLKTSRLECPKFDGNDFREWWTKLEQYFEVEGIPEVNKQGSIFCLKSNNVGVVANTLHYGALNMSIGATAIVSSGTGCLDTGQFMYQKGVTFGAPLGNGQMENWPDSDNSQQTDTSTDVDTDYKKIASWSSTWSCNAARKSRLRKKAYVQQLESSRLRFTELEQELQRARQQGIFIASGLSGDHGHTVAGNVALAFDMEYGRWLDEHQRLINNLRSAVFRLKNTGAKADVFHMLSGMWKTPIDMCFMWLGGFCSKAYVFHMLYGMWKTPAERCFLWLGGFHSSELMKIVKNHLEPLADQQQGFGRIGYLVVRVALQSNDIELIAVNDPFITTDYMTYMFKYDSVHGQ >KJB81397 pep chromosome:Graimondii2_0_v6:13:39247984:39248979:1 gene:B456_013G143100 transcript:KJB81397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQPYLKKGSWSRDEDQKLIAYITRYGIWNWNEMPRFAGLQRSGKSCRLRWVNYLRPNIRRGNFSREEEETIIHLQKTLGNRWSAIAARLPQRTDNDIKNYWNSRLKKRVIVENNNSASSPTETKSKTKSSVEENSSDADSSMMLGIFLDSDTRGMHDFAEIAADTTFFPSGSDPSVAVDDHYSMAMDNYLVSYWEILSFLEQPQMIEGLDCEAISQNSQQWHSHHQQYYDPLHDFWVNPLI >KJB79976 pep chromosome:Graimondii2_0_v6:13:9338368:9340090:1 gene:B456_013G075900 transcript:KJB79976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSKQWRFGNKRLNSASAISVRGVLDLVIGNLSTDDPRPTIPLGNGDPSQFACFRTSTTAEEAIVDAVHSAKYNGYAPTVGVLSARRAIANYLNRDLPYKLSADDIYVTSGCLQAIEVALAAINRPGANILLPRPGFTFYESRAAYEHLQVRHFDLLPDRGWEVDVDAIEDLADDNTVAIVIINPGNPCGSVYSYDHLKMVAETARKLGILVIADEVYGHLTFGSTPFVPMGIFGSIVPVLSLGSISKRWVVPGWRLGWLVTSDPNGILQKSGIVESITGFLNISTDPATFIQGAIPQILENTKDDFFSKVIVTLKEAADKCFDGIREVPCLTCPKKPEGSMFVMVRP >KJB79977 pep chromosome:Graimondii2_0_v6:13:9337997:9340829:1 gene:B456_013G075900 transcript:KJB79977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSKQWRFGNKRLNSASAISVRGVLDLVIGNLSTDDPRPTIPLGNGDPSQFACFRTSTTAEEAIVDAVHSAKYNGYAPTVGVLSARRAIANYLNRDLPYKLSADDIYVTSGCLQAIEVALAAINRPGANILLPRPGFTFYESRAAYEHLQVRHFDLLPDRGWEVDVDAIEDLADDNTVAIVIINPGNPCGSVYSYDHLKMVAETARKLGILVIADEVYGHLTFGSTPFVPMGIFGSIVPVLSLGSISKRWVVPGWRLGWLVTSDPNGILQKSGIVESITGFLNISTDPATFIQGAIPQILENTKDDFFSKVIVTLKEAADKCFDGIREVPCLTCPKKPEGSMFVMVKLNVSLLEDISDDLDFCMKLAKEESVIIVPAGMAFGMKNWLRITFACEISALEDGLRRIKAFHQRHVRKQ >KJB79978 pep chromosome:Graimondii2_0_v6:13:9337997:9340850:1 gene:B456_013G075900 transcript:KJB79978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSKQWRFGNKRLNSASAISVRGVLDLVIGNLSTDDPRPTIPLGNGDPSQFACFRTSTTAEEAIVDAVHSAKYNGYAPTVGVLSARRAIANYLNRDLPYKLSADDIYVTSGCLQAIEVALAAINRPGANILLPRPGFTFYESRAAYEHLQVRHFDLLPDRGWEVDVDAIEDLADDNTVAIVIINPGNPCGSVYSYDHLKMVAETARKLGILVIADEVYGHLTFGSTPFVPMGIFGSIVPVLSLGSISKRWVVPGWRLGWLVTSDPNGILQKSGIVESITGFLNISTDPATFIQGAIPQILENTKDDFFSKVIVTLKEAADKCFDGIREVPCLTCPKKPEGSMFVMVKLNVSLLEDISDDLDFCMKLAKEESVIIVPAGMAFGMKNWLRITFACEISALEDGLRRIKAFHQRHVRKQ >KJB81871 pep chromosome:Graimondii2_0_v6:13:44829277:44830513:-1 gene:B456_013G165800 transcript:KJB81871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRLFGCFGKGSSSSSSGNEVDGNGKTNDTVVEEAAPEGPIMVELFSSQGCATSSAAELLLSRLGRGDFQLDAPVIVLAYHVDYWDYMGWKDPYGSSQWTVRQKAYVETLNLDTMFTPQVVVQGRAQCVPNDEDVLLSTIATAPRFPAPSFQADIQRPTSETLQVTITGALRFKVEDNGVRVMVALYENGLVNDCSAGENKGKVLSNDFVVRKFEKLCNVEDTSAKKTISGTVTFSLWDNFNHNKCAIAVFAENSSHQIFGSQKFQLPDDI >KJB80917 pep chromosome:Graimondii2_0_v6:13:30817174:30819164:1 gene:B456_013G121400 transcript:KJB80917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRPNVSKAELKEKLSRMYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKASEAAKKK >KJB80920 pep chromosome:Graimondii2_0_v6:13:30817227:30819088:1 gene:B456_013G121400 transcript:KJB80920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKASEAAKKK >KJB80918 pep chromosome:Graimondii2_0_v6:13:30817313:30818025:1 gene:B456_013G121400 transcript:KJB80918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRPNVSKAELKEKLSRMYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRVIVDGSILYFLWIC >KJB80919 pep chromosome:Graimondii2_0_v6:13:30817227:30819088:1 gene:B456_013G121400 transcript:KJB80919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKAVTIRTRKFMTNRLLSRKQFAELKEKLSRMYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKASEAAKKK >KJB79640 pep chromosome:Graimondii2_0_v6:13:6401884:6406805:1 gene:B456_013G060100 transcript:KJB79640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDVDMAAAESTEADLDDMKKRLKEMEEEAAALREMQAKVEKEMGSVQDPAAAAATSQANREEVDSRSVFVGNVDYSCTPEEVQQHFQTCGTVNRVTIRTDKYGQPKGYAYVEFVEAEAVQEALLLNESELHGRQLKVTAKRTNIPGMKQYRPRRSNPFMRPRGPFMAPYFFAPYGYGKVPRLRMATRYSPYY >KJB79638 pep chromosome:Graimondii2_0_v6:13:6401884:6406739:1 gene:B456_013G060100 transcript:KJB79638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDVDMAAAESTEDLDDMKKRLKEMEEEAAALREMQAKVEKEMGSVQDPAAAAATSQANREEVDSRSVFVGNVDYSCTPEEVQQHFQTCGTVNRVTIRTDKYGQPKGYAYVEFVEAEAVQEALLLNESELHGRQLKVTAKRTNIPGMKQYRPRRSNPFMRPRGPFMAPYFFAPYGYGKVPRLRMATRYSPYY >KJB79641 pep chromosome:Graimondii2_0_v6:13:6404219:6406739:1 gene:B456_013G060100 transcript:KJB79641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEEFLVVLLLMVDYSCTPEEVQQHFQTCGTVNRVTIRTDKYGQPKGYAYVEFVEAEAVQEALLLNESELHGRQLKVTAKRTNIPGMKQYRPRRSNPFMRPRGPFMAPYFFAPYGYGKVPRLRMATRYSPYY >KJB79639 pep chromosome:Graimondii2_0_v6:13:6401884:6406739:1 gene:B456_013G060100 transcript:KJB79639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDVDMAAAESTEADLDDMKKRLKEMEEEAAALREMQAKVEKEMGSVQDPAAAAATSQANREEVDSRSVFVGNVDYSCTPEEVQQHFQTCGTVNRVTIRTDKYGQPKGYAYVEFVEAEAVQEALLLNESELHGRQLKVTAKRTNIPGMKQYRPRRSNPFMRPRGPFMAPYFFAPYGYGFHG >KJB79637 pep chromosome:Graimondii2_0_v6:13:6401884:6406739:1 gene:B456_013G060100 transcript:KJB79637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDVDMAAAESTEADLDDMKKRLKEMEEEAAALREMQAKVEKEMGSVQDPAAAAATSQANREEVDSRSVFVGNVDYSCTPEEVQQHFQTCGTVNRVTIRTDKYGQPKGYAYVEFVEAEAVQEALLLNESELHGRQLKVTAKRTNIPGMKQYRPRRSNPFMRPRGPFMAPYFFAPYGYGYVPRLRMATRYSPYY >KJB83716 pep chromosome:Graimondii2_0_v6:13:57529088:57533470:1 gene:B456_013G260700 transcript:KJB83716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCTYKNPNAPIEDRVKDLLSRMTLQEKIGQMTQIERSVATPADLKSFSIGSILSAGGSVPFQKALPADWADMVDKFQQAALESRLGIPLIYGIDAVHGNNSVYGATIFPHNVGLGATRDADLAQRIGAATALEVRATGIHYDFAPCVAVCRDPRWGRCFECYSEDTNIVRKMTSIITGLQGKPPADYPKGYPFVAGRNNVIACAKHFVGDGGTEKGINEGNTILSYDDLESIHMAPYLDCISQGVSTIMASYSSWNGRQLHADHFLLTEILKDKLGFKGFVISDWEALDRLTEPRGSNYCYCISTAVNAGVDMVMVPLRYKQFMDDLTFLVESGEVLMSRIDDAVERILRVKFVAGLFEYPFSDRSLLDIVGCKLHRELAREAVRKSLVLLKNGKNPENPFLPLDRTAKRILVAGSHADNLGYQCGGWTSTWFGGSGRITIGTTILDAIRESAGDETEVIYDEYPSTNTLAGQYSFAIVVVGEPPYAETLGDNKELVIPFNGSDIISSVADKIPTLAILISGRPLVLEPQVLEKVDAFIAAWLPGTEGRGVTDVVFGDFEFEGRLPMTWFRSNDQLPMNPGQNSYDPLFPLGFGLTYNKEKKPQE >KJB83715 pep chromosome:Graimondii2_0_v6:13:57529088:57533470:1 gene:B456_013G260700 transcript:KJB83715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCTYKNPNAPIEDRVKDLLSRMTLQEKIGQMTQIERSVATPADLKSFSIGSILSAGGSVPFQKALPADWADMVDKFQQAALESRLGIPLIYGIDAVHGNNSVYGATIFPHNVGLGATRDADLAQRIGAATALEVRATGIHYDFAPCVAVCRDPRWGRCFECYSEDTNIVRKMTSIITGLQGKPPADYPKGYPFVAGRNNVIACAKHFVGDGGTEKGINEGNTILSYDDLESIHMAPYLDCISQGVSTIMASYSSWNGRQLHADHFLLTEILKDKLGFKGFVISDWEALDRLTEPRGSNYCYCISTAVNAGVDMVMVPLRYKQFMDDLTFLVESGEVLMSRIDDAVERILRVKFVAGLFEYPFSDRSLLDIVGCKFNSKQPSFRDLVCLLVRRNMFATFYQLHRELAREAVRKSLVLLKNGKNPENPFLPLDRTAKRILVAGSHADNLGYQCGGWTSTWFGGSGRITIGTTILDAIRESAGDETEVIYDEYPSTNTLAGQYSFAIVVVGEPPYAETLGDNKELVIPFNGSDIISSVADKIPTLAILISGRPLVLEPQVLEKVDAFIAAWLPGTEGRGVTDVVFGDFEFEGRLPMTWFRSNDQLPMNPGQNSYDPLFPLGFGLTYNKEKKPQE >KJB83718 pep chromosome:Graimondii2_0_v6:13:57530489:57533470:1 gene:B456_013G260700 transcript:KJB83718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIITGLQGKPPADYPKGYPFVAGRNNVIACAKHFVGDGGTEKGINEGNTILSYDDLESIHMAPYLDCISQGVSTIMASYSSWNGRQLHADHFLLTEILKDKLGFKGFVISDWEALDRLTEPRGSNYCYCISTAVNAGVDMVMVPLRYKQFMDDLTFLVESGEVLMSRIDDAVERILRVKFVAGLFEYPFSDRSLLDIVGCKLHRELAREAVRKSLVLLKNGKNPENPFLPLDRTAKRILVAGSHADNLGYQCGGWTSTWFGGSGRITIGTTILDAIRESAGDETEVIYDEYPSTNTLAGQYSFAIVVVGEPPYAETLGDNKELVIPFNGSDIISSVADKIPTLAILISGRPLVLEPQVLEKVDAFIAAWLPGTEGRGVTDVVFGDFEFEGRLPMTWFRSNDQLPMNPGQNSYDPLFPLGFGLTYNKEKKPQE >KJB83717 pep chromosome:Graimondii2_0_v6:13:57529088:57533470:1 gene:B456_013G260700 transcript:KJB83717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKFQQAALESRLGIPLIYGIDAVHGNNSVYGATIFPHNVGLGATRDADLAQRIGAATALEVRATGIHYDFAPCVAVCRDPRWGRCFECYSEDTNIVRKMTSIITGLQGKPPADYPKGYPFVAGRNNVIACAKHFVGDGGTEKGINEGNTILSYDDLESIHMAPYLDCISQGVSTIMASYSSWNGRQLHADHFLLTEILKDKLGFKGFVISDWEALDRLTEPRGSNYCYCISTAVNAGVDMVMVPLRYKQFMDDLTFLVESGEVLMSRIDDAVERILRVKFVAGLFEYPFSDRSLLDIVGCKLHRELAREAVRKSLVLLKNGKNPENPFLPLDRTAKRILVAGSHADNLGYQCGGWTSTWFGGSGRITIGTTILDAIRESAGDETEVIYDEYPSTNTLAGQYSFAIVVVGEPPYAETLGDNKELVIPFNGSDIISSVADKIPTLAILISGRPLVLEPQVLEKVDAFIAAWLPGTEGRGVTDVVFGDFEFEGRLPMTWFRSNDQLPMNPGQNSYDPLFPLGFGLTYNKEKKPQE >KJB80947 pep chromosome:Graimondii2_0_v6:13:32303075:32306580:-1 gene:B456_013G124800 transcript:KJB80947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKYIPLDEGMMIMDEAIVKAKKIIEGYPETKFSGEEYQRFYECVYFMCTYHSSNEKTMQLYEKFRNSLEESIFSTVLPTLVNKQGANLLREFVVIWSNYKLMARWLCRFFEYLDRFFIPQHIELESLNGISFSCFRDLVFKKLYCRLIEAALTLINQEREGQQIDCVLLKNGLDIFVEISDYKGVNYYEDFERIMLTEISGYYSRLASEWLLHDSSAEYVQKVFWCLNREKQRARQYLHPDTEVKIVQVVRYHLLDQIANKLMEKRQAENSGMVTDYQVPINLQISNFIIVA >KJB80945 pep chromosome:Graimondii2_0_v6:13:32304364:32305696:-1 gene:B456_013G124800 transcript:KJB80945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKYIPLDEGMMIMDEAIVKAKKIIEGYPETKFSGEEYQRFYECVYFMCTYHSSNEKTMQLYEKFRNSLEESIFSTVLPTLVNKQGANLLREFVVIWSNYKLMARWLCRFFEYLDRFFIPQHIELESLNGISFSCFRDLVFKKLYCRLIEAALTLINQEREGQQIDCVLLKNGLDIFVEISDYKGVNYYEDFERIMLTEISGYYSRLASEWLLHDSSAEYVQKVFSGA >KJB80946 pep chromosome:Graimondii2_0_v6:13:32304296:32305696:-1 gene:B456_013G124800 transcript:KJB80946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKYIPLDEGMMIMDEAIVKAKKIIEGYPETKFSGEEYQRFYECVYFMCTYHSSNEKTMQLYEKFRNSLEESIFSTVLPTLVNKQGANLLREFVVIWSNYKLMARWLCRFFEYLDRFFIPQHIELESLNGISFSCFRDLVFKKLYCRLIEAALTLINQEREGQQIDCVLLKNGLDIFVEISDYKGVNYYEDFERIMLTEISGYYSRLASEWLLHDSSAEYVQKVFWCLNREKQRARQYLHPDTEVKIVQV >KJB80940 pep chromosome:Graimondii2_0_v6:13:32302575:32306762:-1 gene:B456_013G124800 transcript:KJB80940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKYIPLDEGMMIMDEAIVKAKKIIEGYPETKFSGEEYQRFYECVYFMCTYHSSNEKTMQLYEKFRNSLEESIFSTVLPTLVNKQGANLLREFVVIWSNYKLMARWLCRFFEYLDRFFIPQHIELESLNGISFSCFRDLVFKKLYCRLIEAALTLINQEREGQQIDCVLLKNGLDIFVEISDYKGVNYYEDFERIMLTEISGYYSRLASEWLLHDSSAEYVQKVFWCLNREKQRARQYLHPDTEVKIVQVVRYHLLDQIANKLMEKRQAENSGMVTDYQEILSKYAGMSLQEGSSSTSPEEWLSTLMANSAHIC >KJB80942 pep chromosome:Graimondii2_0_v6:13:32302605:32306580:-1 gene:B456_013G124800 transcript:KJB80942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKYIPLDEGMMIMDEAIVKAKKIIEGYPETKFSGEEYQRFYECVYFMCTYHSSNEKTMQLYEKFRNSLEESIFSTVLPTLVNKQGANLLREFVVIWSNYKLMARWLCRFFEYLDRFFIPQHIELESLNGISFSCFRDLVFKKLYCRLIEAALTLINQEREGQQIDCVLLKNGLDIFVEISDYKGVNYYEDFERIMLTEISGYYSRLASEWLLHDSSAEYVQKVFWCLNREKQRARQYLHPDTEVKIVQVVRYHLLDQIANKLMEKRQAENSGMVTDYQEILSKYAGMSLQEGSSSTSPEEWLSTLMANSAHIC >KJB80943 pep chromosome:Graimondii2_0_v6:13:32303696:32305696:-1 gene:B456_013G124800 transcript:KJB80943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKYIPLDEGMMIMDEAIVKAKKIIEGYPETKFSGEEYQRFYECVYFMCTYHSSNEKTMQLYEKFRNSLEESIFSTVLPTLVNKQGANLLREFVVIWSNYKLMARWLCRFFEYLDRFFIPQHIELESLNGISFSCFRDLVFKKLYCRLIEAALTLINQEREGQQIDCVLLKNGLDIFVEISDYKGVNYYEDFERIMLTEISGYYSRLASEWLLHDSSAEYVQKVFWCLNREKQRARQYLHPDTEVKIVQVVRYHLLDQIANKLMEKRQAENSGMVTDYQVPINLQISNFIIVA >KJB80944 pep chromosome:Graimondii2_0_v6:13:32302605:32306580:-1 gene:B456_013G124800 transcript:KJB80944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTYHSSNEKTMQLYEKFRNSLEESIFSTVLPTLVNKQGANLLREFVVIWSNYKLMARWLCRFFEYLDRFFIPQHIELESLNGISFSCFRDLVFKKLYCRLIEAALTLINQEREGQQIDCVLLKNGLDIFVEISDYKGVNYYEDFERIMLTEISGYYSRLASEWLLHDSSAEYVQKVFWCLNREKQRARQYLHPDTEVKIVQVVRYHLLDQIANKLMEKRQAENSGMVTDYQEILSKYAGMSLQEGSSSTSPEEWLSTLMANSAHIC >KJB80941 pep chromosome:Graimondii2_0_v6:13:32302605:32306580:-1 gene:B456_013G124800 transcript:KJB80941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKYIPLDEGMMIMDEAIVKAKKIIEGYPETKFSGEEYQRFYECVYFMCTYHSSNEKTMQLYEKFRNSLEESIFSTVLPTLVNKQGANLLREFVVIWSNYKLMARWLCRFFEYLDRFFIPQHIELESLNGISFSCFRDLVFKKLYCRLIEAALTLINQEREGQQIDCVLLKNGLDIFVEISDYKGVNYYEDFERIMLTEISGYYSRLASEWLLHDSSAEYVQKVFWCLNREKQRARQYLHPDTEVKIVQVVRYHLLDQIANKLMEKRQAENSGMVTDYQEILSKYAGMSLQEGSSSTSPEEWLSTLMANSAHIC >KJB80270 pep chromosome:Graimondii2_0_v6:13:14533612:14537019:-1 gene:B456_013G090700 transcript:KJB80270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69370) UniProtKB/Swiss-Prot;Acc:Q9C544] MDGFHGSLSLVEFMVRETERLHAQVGRYKSPDEHPFFPSYLPEPMLPPLQYPKFNVSSPNASISCLTKSLTDIITLMKLLPRLVEAGDDGNCGSTAVCDTMCLQALSKRIHYGKFVAEAKFRESPKTYEDAIRAKDRSRLMELLTYETVETAVKKRVDMKTKTYGQELNFQLNGVAAGPDPVYKIEPSLVADLYGDWIMPLTKEVQVEYLLRRLD >KJB80269 pep chromosome:Graimondii2_0_v6:13:14533612:14536467:-1 gene:B456_013G090700 transcript:KJB80269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69370) UniProtKB/Swiss-Prot;Acc:Q9C544] MHMLAFFSYRFSKKPRVDESENLTLNSIRQSLIRQEDSIIFSLLERAQYCYNPDTYKQDSFSMDGFHGSLSLVEFMVRETERLHAQVGRYKSPDEHPFFPSYLPEPMLPPLQYPKVLHHCAASININNKVWNMYFAELLPRLVEAGDDGNCGSTAVCDTMCLQALSKRIHYGKFVAEAKFRESPKTYEDAIRAKDRSRLMELLTYETVETAVKKRVDMKTKTYGQELNFQLNGVAAGPDPVYKIEPSLVADLYGDWIMPLTKEVQVEYLLRRLD >KJB80268 pep chromosome:Graimondii2_0_v6:13:14533560:14537019:-1 gene:B456_013G090700 transcript:KJB80268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69370) UniProtKB/Swiss-Prot;Acc:Q9C544] MDGFHGSLSLVEFMVRETERLHAQVGRYKSPDEHPFFPSYLPEPMLPPLQYPKVLHHCAASININNKVWNMYFAELLPRLVEAGDDGNCGSTAVCDTMCLQALSKRIHYGKFVAEAKFRESPKTYEDAIRAKDRSRLMELLTYETVETAVKKRVDMKTKTYGQELNFQLNGVAAGPDPVYKIEPSLVADLYGDWIMPLTKEVQVEYLLRRLD >KJB80407 pep chromosome:Graimondii2_0_v6:13:15744787:15745814:1 gene:B456_013G095600 transcript:KJB80407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATQAVTHSNIANPFTAKAYAGLQAIKLGIRLGVNKIEVMGDSKTFIKKCQSTNIDKSVIRAIIRDIQIHRNSFQEIEFIFIPKAKNIYAHTIAKEALRRRECFYLEKGAPEMVQRAVGNLWPKPPD >KJB83359 pep chromosome:Graimondii2_0_v6:13:56271110:56276542:1 gene:B456_013G243300 transcript:KJB83359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGMPLVLEVTTLIGKSDEIRKDHPTETDMVKRTKVLIKQKKIPNSIENVPNAAAPNPKQRKEKGMARMLPKTLLLRHSIFHHHSSFLRRFSTKAELYEIDLDSASSSASAVNKMEEIIHSIIVQKSTPDWLPFLPGSSFWVPLPRQGSKRVSDFMDQLTNQLTPDEYLSLTTGRGWPCVNFFVSDGHYTDVTSMDVELKFPEQEEGEVKVEILIGSGDKTS >KJB83360 pep chromosome:Graimondii2_0_v6:13:56271110:56274753:1 gene:B456_013G243300 transcript:KJB83360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGMPLVLEVTTLIGKSDEIRKDHPTETDMVKRTKVLIKQKKIPNSIENVPNAAAPNPKQRKEKGMARMLPKTLLLRHSIFHHHSSFLRRFSTKAELYEIDLDSASSSASAVNKMEEIIHSIIVQKSTPDWLPFLPGSSFWVPLPRQGSKRVSDFMDQLTNQLTPDEYLSLTTGRGWPCVNFFVSDGHYTDVTSMDVELKFPEQEEGEVKVEILIGSGDKTS >KJB80641 pep chromosome:Graimondii2_0_v6:13:23669266:23670652:1 gene:B456_013G108300 transcript:KJB80641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSYMACTKFSMLGWSGGKRDLRMKRVLSVSAQQQAEVGETQEAKVQEEQEKVKQQQPTQPRPVEKQLNVKSKNMGKEYGGQWLSSVTRHVRIYAAYIDPETSEFDQTQMDKLTLILDPTDEFVWTPETCNKVYSYFQELVDHYEGAPLTEYTLRLIGSDIEHYIRKLLYDGEIKYNMDAKVLNFSMGKPRILFNNSNDGQFQDG >KJB80640 pep chromosome:Graimondii2_0_v6:13:23669266:23670653:1 gene:B456_013G108300 transcript:KJB80640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSYMACTKFSMLGWSGGKRDLRMKRVLSVSAQQQAEVGETQEAKVQEEQEKVKQQQPTQPRPVEKQLNVKSKNMGKEYGGQWLSSVTRHVRIYAAYIDPETSEFDQTQMDKLTLILDPTDEFVWTPETCNKVYSYFQELVDHYEGAPLTEYTLRLIGSDIEHYIRKLLYDGEIKYNMDAKVLNFSMGKPRILFNNSNDGQFQDG >KJB80653 pep chromosome:Graimondii2_0_v6:13:23808438:23809502:-1 gene:B456_013G108800 transcript:KJB80653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKYFKQNGGDLLQQLSKSRGCSENDKIFSAEELEKATNNYHESRILGKGAQGTVYKGTLPENRMVAIKKSKIGDHSQVEPFINEIMFLSQINHKNVVKLLGCCLETPVPLLVYEYVTHGSLFDHIHNIAGSSFLPWETRLRIATETAEALCYLHSAASVPIIHRDIKLANILLDENYTAKVSDFGASRLVPSDQAQITTIVQGTFGYLDPECMHTGQLTEKSDVYSFRVVLIELLTGRKAICLERSEEQKILVMYFVSLMKKDQLHDIVDPRLLNDTNFEQLKEVATLARQCVRVKGEERPTMKDVAHELAGMQAMEMYSWGKRNLQVEESEHLLAEELKNIYWDNATNHGV >KJB81344 pep chromosome:Graimondii2_0_v6:13:37674740:37680518:1 gene:B456_013G139900 transcript:KJB81344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKPLNTFTITLMLFFTFLILFFSGFLEFPSVSSSIQQPFTPPSLTLSSNPNPFTDLLSAFKTWDSQMGCSQFKLKHHDLIRLLSNSSGSLQEPVSHSSCNLLKMEHVSVLVKGWTWIPDNLDNLYSCQCGMSCLWTKSPVLADKPDALLFETATPPLQRHSGDPLRVYMDLEAGRRRSGREDLFISYHAKDDVQSTYAGALFHNGRNYHISSYKNNETLVYWSSSRCLSQRNQLAKSFLRLLPHHSFGKCLNNVGGLDMALSFYPDCTNDANTPKWWDHLHCAMSHYKFVLAIENTVTESYVTEKLFYALDSGAVPIYFGAPNVLDFVPPHSIIDGTKFRSMEELASYVKALANDPVAYAEYHAWRRCGVLRNYAKARATSLDTLPCRLCEAVSKKGGRNARTE >KJB81342 pep chromosome:Graimondii2_0_v6:13:37674772:37680509:1 gene:B456_013G139900 transcript:KJB81342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKPLNTFTITLMLFFTFLILFFSGFLEFPSVSSSIQQPFTPPSLTLSSNPNPFTDLLSAFKTWDSQMGCSQFKLKHHDLIRLLSNSSGSLQEPVSHSSCNLLKMEHVSVLVKGWTWIPDNLDNLYSCQCGMSCLWTKSPVLADKPDALLFETATPPLQRHSGDPLRVYMDLEAGRRRSGREDLFISYHAKDDVQSTYAGALFHNGRNYHISSYKNNETLVYWSSSRCLSQRNQLAKSFLRLLPHHSFGKCLNNVGGLDMALSFYPDCTNDANTPKWWDHLHCAMSHYKFVLAIENTVTESYVTEKLFYALDSGAVPIYFGAPNVLDFVPPHSIIDGTKFRSMEELASYVKALANDPVAYAEYHAWRRCGVLRNYAKARATSLDTLPCRLCEAVSKKGGRNARTE >KJB81343 pep chromosome:Graimondii2_0_v6:13:37674776:37680518:1 gene:B456_013G139900 transcript:KJB81343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKPLNTFTITLMLFFTFLILFFSGFLEFPSVSSSIQQPFTPPSLTLSSNPNPFTDLLSAFKTWDSQMGCSQFKLKHHDLIRLLSNSSGSLQEPVSHSSCNLLKMEHVSVLVKGWTWIPDNLDNLYSCQCGMSCLWTKSPVLADKPDALLFETATPPLQRHSGDPLRVYMDLEAGRRRSGREDLFISYHAKDDVQSTYAGALFHNGRNYHISSYKNNETLVYWSSSRCLSQRNQLAKSFLRLLPHHSFGKCLNNVGGLDMALSFYPDCTNDANTPKWWDHLHCAMSHYKFVLAIENTVTESYVTEKLFYALDSGAVPIYFGAPNVLDFVPPHSIIDGTKFRSMEELASYVKALANDPVAYAEYHAWRRCGVLRNYAKARATSLDTLPCRLCEAVSKKGGRNARTE >KJB82597 pep chromosome:Graimondii2_0_v6:13:51563071:51565381:-1 gene:B456_013G204600 transcript:KJB82597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSITSATATPPAMSTPKNHTHHHFHSMPQHNPNLSFNSFVIIIASIISILVVFAIFLLIVMFRRLKSVRKHDDSCNGSGSINNSTSSRFIAHTTVSLNCSPDVKARCLYGGSIRTPPSRYGGVQVFTYKELELATDKFSEANVISNGGLGVMYKGVLADGTMAAIKRLHRDGKQGERGFRMEVDLLSRLSCPYLLKLLGYCADQQHRILIYEFMPNGNLQQHLHHPSNQHRPLDWGNRLIIALDCARGLEFLHEHASPTVIHRDFKCSNILLDENLRAKVSNFGLAKMGSDKINGQILTRVLGTTGYLAPEYASKGKLTTKSDVYSYGVVLLQLLTGRVPVDIKRPPGEHVLVSWALPRLTNREKIDEMVDPAIQGQYSKKDLIQVAAIAAMCVQPEADYRPLMVEVVQSLIPLAKNFNSSASTRFH >KJB80610 pep chromosome:Graimondii2_0_v6:13:23139748:23140977:-1 gene:B456_013G107000 transcript:KJB80610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPASPIFTIHALFSKKKKKKKSQKIDSLEGGLLVCFVMEQDLVETEKRRKREINIDPSLQWSQWQLLDSILPTGGFAHSFGLEAAIQARLVSGPDDLQTFVIHLLENTGSLLLPFVYSATMNPTLDNWQKLDRILDATLSNEVGRKASVSQGSSLMRVAASVFTELPSLKSMRDVCIGSGVVSFHHAPVFGMICGLLGMDSGTSQRAYIFMTMRDVISAATRLNLVGPLGAAVLQHRVAYVAESMVKKWMDRSVEDACQTAPLLDTIQGCHTYLFSRLFCS >KJB81298 pep chromosome:Graimondii2_0_v6:13:36790988:36792993:1 gene:B456_013G137800 transcript:KJB81298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLASATTISSSSSSSLLSASLCPARRGFLPPCHHHFFFLSTFSKCNLNWKRSSGKKRGERVRCSAVGVGVGVEDEACELVNGVELSIGEGDDNIQAYLFKAVKNNNGAGVLLLSDIFGFQDSATRDFAYQVACHGYNVLVPDLFRGDPWEKGRPKPMFEQWLASQDPQRVANDIATSTKWMVDEFRAAGISKKLGIIGFCFGGGRVIDVLAADQGACFSTAVSFYGTRMDLAAASKIKVPVLFISGDDDLLCPIGVLSKFEKIIGNGSRVVIFEGRGHAFAHRPGSTEEDADAEQAFTLMRNWLHDGLLVNS >KJB81299 pep chromosome:Graimondii2_0_v6:13:36791092:36792993:1 gene:B456_013G137800 transcript:KJB81299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCQKRLSSALPSSLLLPLNFFYVQSKCNLNWKRSSGKKRGERVRCSAVGVGVGVEDEACELVNGVELSIGEGDDNIQAYLFKAVKNNNGAGVLLLSDIFGFQDSATRDFAYQVACHGYNVLVPDLFRGDPWEKGRPKPMFEQWLASQDPQRVANDIATSTKWMVDEFRAAGISKKLGIIGFCFGGGRVIDVLAADQGACFSTAVSFYGTRMDLAAASKIKVPVLFISGDDDLLCPIGVLSKFEKIIGNGSRVVIFEGRGHAFAHRPGSTEEDADAEQAFTLMRNWLHDGLLVNS >KJB83367 pep chromosome:Graimondii2_0_v6:13:56360318:56361705:-1 gene:B456_013G244300 transcript:KJB83367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMAAATFNSLTLPFSKPEFPFSSIARTHIPTATLNLSRFRPTGFLLCSLSSDHPTTAAGGQLHHKTNTNSTDPQPQIHTCTWNWKGYSIRYQCSGSSGPALVLVHGFGANSNHWRKNIPVLAKSHRVYAIDLIGYGYSDKPNPREVGDSFYTFETWGSQLNDFCSSVVKDKAFFICNSIGGLVGLQAAVAKPEICRGLFLLNISLRMLHVKKQPWFGRPFIASFQSLLRNTAVGKLFFGSVATPESVRSILCQVVSYDDFCKK >KJB83368 pep chromosome:Graimondii2_0_v6:13:56359525:56361751:-1 gene:B456_013G244300 transcript:KJB83368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMAAATFNSLTLPFSKPEFPFSSIARTHIPTATLNLSRFRPTGFLLCSLSSDHPTTAAGGQLHHKTNTNSTDPQPQIHTCTWNWKGYSIRYQCSGSSGPALVLVHGFGANSNHWRKNIPVLAKSHRVYAIDLIGYGYSDKPNPREVGDSFYTFETWGSQLNDFCSSVVKDKAFFICNSIGGLVGLQAAVAKPEICRGLFLLNISLRMLHVKKQPWFGRPFIASFQSLLRNTAVGKLFFGSVATPESVRSILCQCYHDTSQVTEELVQIILHPGLEPGAVDVFLEFICYSGGPLPEELLPQVECPVLIAWGDKDPWESIELGRAYGDFDTVEDFVVLPNVGHCPQDEAPHLVNPLVESFVSRHSKSPANASTTI >KJB83427 pep chromosome:Graimondii2_0_v6:13:56600663:56605838:1 gene:B456_013G247300 transcript:KJB83427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTATAKTINEVGIDDLYRAGGGILTIDEAKHIHQIIKQAISKAKRSRLSAAEVWKEVVGKKVLKPNHPHSLHQRVYYSVYADWDTSINGPPLYWFPSLQDSKLTNLGKIMEIHGPKLLGTSYKDPIASFNLFREYTVRHPEVYWSIILKELSVTFHEPPKCILDTTDKSRPGGTWLPGSVLNIAECCLLPLIHPRKEDDSCAIVWRDEGDDDDSDVNRITLKQLREQVILVANALDRTFTKGDAIAIDMPMTVNAVIIYLAIILAGCVVVSIADSFAAKEIATRLRVSKAKAIFTQDFIVRGGRKFPLYSRVVEASPHKVIVLPVSGRNVGVQLREQDISWKDFLAYDNQHQRPNHFTPAYLPVDSMINILFSSGTTGEPKAIPWTQLSPIRSTAEGWAHINVGVGDVYCWPTNLGWVMGPILLFSCFLTGATLALYHGSPLGRGFGKFVQDAGVTVLGTVPSLVKTWKNTDCIKGLDWTKIKSFASTGETSNVDDDLWLSSKSYYKPIIECCGGTELASSYIQASPFQPQAFGAFSTASMTTGLVILDEHGVPYPDDKACFGEVGLFPLYLGATDRLLNADHNDVYFKGMPTFKGMRLRRHGDILKRTVGGYIVVQGRADDTMNLGGIKTSSVEIERVCDRADESILETAAVSVAPPDGGPELLVIFVVLKKGFNQQPEKLKTIFSKAIQTNLNPLFKVRSRVLLTSIHMSRLGNVVSAPKHHHITQYGCLVSLTQRKTGGKSVMQTFILGVGLHFASFIQKNEQINSCILEQRTSWTSLLEISSISTIKNWHS >KJB83431 pep chromosome:Graimondii2_0_v6:13:56601507:56606484:1 gene:B456_013G247300 transcript:KJB83431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYLQDSKLTNLGKIMEIHGPKLLGTSYKDPIASFNLFREYTVRHPEVYWSIILKELSVTFHEPPKCILDTTDKSRPGGTWLPGSVLNIAECCLLPLIHPRKEDDSCAIVWRDEGDDDDSDVNRITLKQLREQVILVANALDRTFTKGDAIAIDMPMTVNAVIIYLAIILAGCVVVSIADSFAAKEIATRLRVSKAKAIFTQDFIVRGGRKFPLYSRVVEASPHKVIVLPVSGRNVGVQLREQDISWKDFLAYDNQHQRPNHFTPAYLPVDSMINILFSSGTTGEPKAIPWTQLSPIRSTAEGWAHINVGVGDVYCWPTNLGWVMGPILLFSCFLTGATLALYHGSPLGRGFGKFVQDAGVTVLGTVPSLVKTWKNTDCIKGLDWTKIKSFASTGETSNVDDDLWLSSKSYYKPIIECCGGTELASSYIQASPFQPQAFGAFSTASMTTGLVILDEHGVPYPDDKACFGEVGLFPLYLGATDRLLNADHNDVYFKGMPTFKGMRLRRHGDILKRTVGGYIVVQGRADDTMNLGGIKTSSVEIERVCDRADESILETAAVSVAPPDGGPELLVIFVVLKKGFNQQPEKLKTIFSKAIQTNLNPLFKVSNVKIIPEFPRTASNKLLRRVLRDQIQHELSVRSRM >KJB83428 pep chromosome:Graimondii2_0_v6:13:56600735:56604033:1 gene:B456_013G247300 transcript:KJB83428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTATAKTINEVGIDDLYRAGGGILTIDEAKHIHQIIKQAISKAKRSRLSAAEVWKEVVGKKVLKPNHPHSLHQRVYYSVYADWDTSINGPPLYWFPSLQDSKLTNLGKIMEIHGPKLLGTSYKDPIASFNLFREYTVRHPEVYWSIILKELSVTFHEPPKCILDTTDKSRPGGTWLPGSVLNIAECCLLPLIHPRKEDDSCAIVWRDEGDDDDSDVNRITLKQLREQVILVANALDRTFTKGDAIAIDMPMTVNAVIIYLAIILAGCVVVSIADSFAAKEIATRLRVSKAKAIFTQDFIVRGGRKFPLYSRVVEASPHKVIVLPVSGRNVGVQLREQDISWKDFLAYDNQHQRPNHFTPAYLPVDSMINILFSSGTTGEPKAIPWTQLSPIRSTAEGWAHINVGVGDVYCWPTNLGWVMGPILLFSCFLTGATLALYHGSPLGRGFGKFVQDAGVTVLGTVPSLVKTWKNTDCIKGLDWTKIKSFASTGETSNVDDDLWLSSKSYYKPIIECCGGTELASSYIQASPFQPQAFGAFSTASMTTGLVILDEHGVPYPDDKACFGEVGLFPLYLGATDRLLNADHNDVYFKGMPTFKGMVRSNL >KJB83432 pep chromosome:Graimondii2_0_v6:13:56600663:56606484:1 gene:B456_013G247300 transcript:KJB83432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHGPKLLGTSYKDPIASFNLFREYTVRHPEVYWSIILKELSVTFHEPPKCILDTTDKSRPGGTWLPGSVLNIAECCLLPLIHPRKEDDSCAIVWRDEGDDDDSDVNRITLKQLREQVILVANALDRTFTKGDAIAIDMPMTVNAVIIYLAIILAGCVVVSIADSFAAKEIATRLRVSKAKAIFTQDFIVRGGRKFPLYSRVVEASPHKVIVLPVSGRNVGVQLREQDISWKDFLAYDNQHQRPNHFTPAYLPVDSMINILFSSGTTGEPKAIPWTQLSPIRSTAEGWAHINVGVGDVYCWPTNLGWVMGPILLFSCFLTGATLALYHGSPLGRGFGKFVQDAGVTVLGTVPSLVKTWKNTDCIKGLDWTKIKSFASTGETSNVDDDLWLSSKSYYKPIIECCGGTELASSYIQASPFQPQAFGAFSTASMTTGLVILDEHGVPYPDDKACFGEVGLFPLYLGATDRLLNADHNDVYFKGMPTFKGMRLRRHGDILKRTVGGYIVVQGRADDTMNLGGIKTSSVEIERVCDRADESILETAAVSVAPPDGGPELLVIFVVLKKGFNQQPEKLKTIFSKAIQTNLNPLFKVSNVKIIPEFPRTASNKLLRRVLRDQIQHELSVRSRM >KJB83425 pep chromosome:Graimondii2_0_v6:13:56600629:56606521:1 gene:B456_013G247300 transcript:KJB83425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTATAKTINEVGIDDLYRAGGGILTIDEAKHIHQIIKQAISKAKRSRLSAAEVWKEVVGKKVLKPNHPHSLHQRVYYSVYADWDTSINGPPLYWFPSLQDSKLTNLGKIMEIHGPKLLGTSYKDPIASFNLFREYTVRHPEVYWSIILKELSVTFHEPPKCILDTTDKSRPGGTWLPGSVLNIAECCLLPLIHPRKEDDSCAIVWRDEGDDDDSDVNRITLKQLREQVILVANALDRTFTKGDAIAIDMPMTVNAVIIYLAIILAGCVVVSIADSFAAKEIATRLRVSKAKAIFTQDFIVRGGRKFPLYSRVVEASPHKVIVLPVSGRNVGVQLREQDISWKDFLAYDNQHQRPNHFTPAYLPVDSMINILFSSGTTGEPKAIPWTQLSPIRSTAEGWAHINVGVGDVYCWPTNLGWVMGPILLFSCFLTGATLALYHGSPLGRGFGKFVQDAGVTVLGTVPSLVKTWKNTDCIKGLDWTKIKSFASTGETSNVDDDLWLSSKSYYKPIIECCGGTELASSYIQASPFQPQAFGAFSTASMTTGLVILDEHGVPYPDDKACFGEVGLFPLYLGATDRLLNADHNDVYFKGMPTFKGMRLRRHGDILKRTVGGYIVVQGRADDTMNLGGIKTSSVEIERVCDRADESILETAAVSVAPPDGGPELLVIFVVLKKGFNQQPEKLKTIFSKAIQTNLNPLFKVSNVKIIPEFPRTASNKLLRRVLRDQIQHELSVRSRM >KJB83426 pep chromosome:Graimondii2_0_v6:13:56600663:56606484:1 gene:B456_013G247300 transcript:KJB83426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTATAKTINEVGIDDLYRAGGGILTIDEAKHIHQIIKQAISKAKRSRLSAAEVWKEVVGKKVLKPNHPHSLHQRVYYSVYADWDTSINGPPLYWFPSLQDSKLTNLGKIMEIHGPKLLGTSYKDPIASFNLFREYTVRHPEVYWSIILKELSVTFHEPPKCILDTTDKSRPGGTWLPGSVLNIAECCLLPLIHPRKEDDSCAIVWRDEGDDDDSDVNRITLKQLREQVILVANALDRTFTKGDAIAIDMPMTVNAVIIYLAIILAGCVVVSIADSFAAKEIATRLRVSKAKAIFTQDFIVRGGRKFPLYSRVVEASPHKVIVLPVSGRNVGVQLREQDISWKDFLAYDNQHQRPNHFTPAYLPVDSMINILFSSGTTGEPKAIPWTQLSPIRSTAEGWAHINVGVGDVYCWPTNLGWVMGPILLFSCFLTGATLALYHGSPLGRGFGKFVQDAGVTVLGTVPSLVKTWKNTDCIKGLDWTKIKSFASTGETSNVDDDLWLSSKSYYKPIIECCGGTELASSYIQASPFQPQAFGAFSTASMTTGLVILDEHGVPYPDDKACFGEVGLFPLYLGATDRLLNADHNDVYFKGMPTFKGMVRSNL >KJB83429 pep chromosome:Graimondii2_0_v6:13:56602305:56606188:1 gene:B456_013G247300 transcript:KJB83429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLFYSLVANALDRTFTKGDAIAIDMPMTVNAVIIYLAIILAGCVVVSIADSFAAKEIATRLRVSKAKAIFTQDFIVRGGRKFPLYSRVVEASPHKVIVLPVSGRNVGVQLREQDISWKDFLAYDNQHQRPNHFTPAYLPVDSMINILFSSGTTGEPKAIPWTQLSPIRSTAEGWAHINVGVGDVYCWPTNLGWVMGPILLFSCFLTGATLALYHGSPLGRGFGKFVQDAGVTVLGTVPSLVKTWKNTDCIKGLDWTKIKSFASTGETSNVDDDLWLSSKSYYKPIIECCGGTELASSYIQASPFQPQAFGAFSTASMTTGLVILDEHGVPYPDDKACFGEVGLFPLYLGATDRLLNADHNDVYFKGMPTFKGMRLRRHGDILKRTVGGYIVVQGRADDTMNLGGIKTSSVEIERVCDRADESILETAAVSVAPPDGGPELLVIFVVLKKGFNQQPEKLKTIFSKAIQTNLNPLFKVSNVKIIPEFPRTASNKLLRRVLRDQIQHELSVRSRM >KJB83430 pep chromosome:Graimondii2_0_v6:13:56601269:56606521:1 gene:B456_013G247300 transcript:KJB83430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNVQDSKLTNLGKIMEIHGPKLLGTSYKDPIASFNLFREYTVRHPEVYWSIILKELSVTFHEPPKCILDTTDKSRPGGTWLPGSVLNIAECCLLPLIHPRKEDDSCAIVWRDEGDDDDSDVNRITLKQLREQVILVANALDRTFTKGDAIAIDMPMTVNAVIIYLAIILAGCVVVSIADSFAAKEIATRLRVSKAKAIFTQDFIVRGGRKFPLYSRVVEASPHKVIVLPVSGRNVGVQLREQDISWKDFLAYDNQHQRPNHFTPAYLPVDSMINILFSSGTTGEPKAIPWTQLSPIRSTAEGWAHINVGVGDVYCWPTNLGWVMGPILLFSCFLTGATLALYHGSPLGRGFGKFVQDAGVTVLGTVPSLVKTWKNTDCIKGLDWTKIKSFASTGETSNVDDDLWLSSKSYYKPIIECCGGTELASSYIQASPFQPQAFGAFSTASMTTGLVILDEHGVPYPDDKACFGEVGLFPLYLGATDRLLNADHNDVYFKGMPTFKGMRLRRHGDILKRTVGGYIVVQGRADDTMNLGGIKTSSVEIERVCDRADESILETAAVSVAPPDGGPELLVIFVVLKKGFNQQPEKLKTIFSKAIQTNLNPLFKVSNVKIIPEFPRTASNKLLRRVLRDQIQHELSVRSRM >KJB80791 pep chromosome:Graimondii2_0_v6:13:28601022:28601542:-1 gene:B456_013G115500 transcript:KJB80791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIIFFKILFYFLLMTTVQCNHIFVIVVVSICNCCDLFPSDLINYLFSFYIQVLHENICCIYYLIFICHIFKSKFIKLLVT >KJB82406 pep chromosome:Graimondii2_0_v6:13:49548916:49551623:1 gene:B456_013G194100 transcript:KJB82406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVENMKGGLRSRSYNLGIKRIKVREENGEEEEPLSPMTRMFHQPESNIYIVIIVGYKRLIDPDAYKTNLTETFLKHPRFSCLQVADEKSGGEVKWVKTNVDINQHVKVPMVDPYMASPDKFVEDYVANLSKTRMSMSLPMWDFHILNLKTSDAESTVVVRVHHSLADGTSLMALLLSCSDALPSFPAMKKKPISRGAGWFPIWFWKSWSVLLLMWNTLVDIWMCVATTYFLKDTQTPLKAPSSDVAFTPRRIVRRTFSLDDVKLVKTATHTTVNDVVLAMTQAGLSRYLNRKYGQAKSDGEARESCENNLPNNIRLTATLFINLRTSPGIYALEEMVKKNSKEEWGNKIGYVLYPFKIELKDNPLDYIRDAKAKIDRKKATLEAKFRLFLAKVFVRFYPTKLAKFPSTTMWFSNVAGPQEPISIFGNQVAFIAPSIYGQPVALTIHVVSYVNKMSMVLSVDEDIIADPYQLCDDLEEALKLIKNCVIGQGVIDN >KJB83397 pep chromosome:Graimondii2_0_v6:13:56421543:56423221:1 gene:B456_013G245300 transcript:KJB83397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAMAENYWCYQCSQVVTPIMGIEIKCPFCQGGFIEQMSSGTRGSEDMDSDMGSDRALSLWAPILLGRHEGNTDLDQELESIIRRRRRSSATILQLLQGIRDGMASEVENAENDRDRGMGTSRDRDRERERVILINPFNQTIIVQGPYDSSQSGLSQNSNHTRSLGDYFMSPGLDLLLQHLAENDSNRYGTPPTQKEAIEALPTVKIEEMLQCSVCLDDLKTGNEAKEMPCKHKFHGECILPWLELHSSCPVCRYQMPTDESKLDSERPRANTNRRESGNNVRGSSEEGERDGRNGSGRRFSIPWPFNGLFSSGSQSSGANSSDTSSSSQSTTTSQRDENN >KJB78694 pep chromosome:Graimondii2_0_v6:13:870480:871449:-1 gene:B456_013G012900 transcript:KJB78694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKGKATDAPATGDPMDLQPGSKVEVNHEDDVCPRAWYTAIIMERATSTNDKRYVVQFTDLYQDKNSGTKLSKEYNGVDILPLPPPQPPRKFKVGDIVEAYFDDGWYEGKIDQVLDDDKYIFRMSSMFLLFGVKQLRLRRTWLWVPPLDESELAVEEEDSTETDNAEESKAGTGNILEESDQKTKEKEEEEEFSEGARVEAWFAATIVKPVGNNRYLIRFETLRTEEGTRFSEKEMDSLHIRPPPPHIPVPDQFKMFDHVEALYKGGGWKGVIAEVIPDDPKYLVFLANHERLECKHSDLRPRQGRIDGK >KJB79105 pep chromosome:Graimondii2_0_v6:13:2569901:2575004:1 gene:B456_013G033600 transcript:KJB79105 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM4 [Source:Projected from Arabidopsis thaliana (AT2G16440) UniProtKB/Swiss-Prot;Acc:Q0WVF5] MVSLKGMVIRCSSIIPEIREAVFRCIVCGYHSEPVVVDRGRISEPTSCLKQECLAKNSMALVHNRCRFADKQIVRLQETPDEIPEGGTPHTVSLLMHDKLVDAGKPGDRVEVTGIYRAMSVRVGPTQRTVKSLFKTYIDCLHIKKTDKSRMTAEDPMEVDKGSQRVDDDVQFDEDKVEELKELSKQPDIYERLTRSLAPNIWELDDVKKGLLCQLFGGNALKLLSGASFRGDINILLVGDPGTSKSQLLQYIHKLAPRGIYTSGRGSSAVGLTAYVAKDPETGETVLESGALVLSDRGICCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFEDTEIAEHDVIDLATLTAYVSYARKNIHPKLSDEAAEELTRGYVEMRKRGNFPGSSKKVITATPRQIESLIRLSEALARIRFSETVEMRDVTEAFRLLEVAMQQSATDHSTGTIDMDLITTGVSASERMRRESVLSATRNVIMDKLQLGGPSMRLLELLDEMKKQNSGSEIHLHDLRTAVATLASEGFVVLHGDSVKRM >KJB79104 pep chromosome:Graimondii2_0_v6:13:2569821:2575281:1 gene:B456_013G033600 transcript:KJB79104 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM4 [Source:Projected from Arabidopsis thaliana (AT2G16440) UniProtKB/Swiss-Prot;Acc:Q0WVF5] MASGSSPSNFNNGPSSPDDSFSSPIANTSSPAHRRRRGRRQASTPSSAAGTPPPNPSRFANSASTPTPARSTRRGRRPGPAKSTSPAVAATPSSTDDFSMPSSEGGEDMEEATPTFVWGTNISVQDVKNAIQMFIKHFRDPQELSNDIYGEGKYTRLIHRVLEVEGEWIDVDAHDVFNYDSDLYNKMMRYPLEVLAIFDIVLMDIVSVINPLFDKHVQVRIHNLKSSTSMRNLNPSDIEKMVSLKGMVIRCSSIIPEIREAVFRCIVCGYHSEPVVVDRGRISEPTSCLKQECLAKNSMALVHNRCRFADKQIVRLQETPDEIPEGGTPHTVSLLMHDKLVDAGKPGDRVEVTGIYRAMSVRVGPTQRTVKSLFKTYIDCLHIKKTDKSRMTAEDPMEVDKGSQRVDDDVQFDEDKVEELKELSKQPDIYERLTRSLAPNIWELDDVKKGLLCQLFGGNALKLLSGASFRGDINILLVGDPGTSKSQLLQYIHKLAPRGIYTSGRGSSAVGLTAYVAKDPETGETVLESGALVLSDRGICCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFEDTEIAEHDVIDLATLTAYVSYARKNIHPKLSDEAAEELTRGYVEMRKRGNFPGSSKKVITATPRQIESLIRLSEALARIRFSETVEMRDVTEAFRLLEVAMQQSATDHSTGTIDMDLITTGVSASERMRRESVLSATRNVIMDKLQLGGPSMRLLELLDEMKKQNSGSEIHLHDLRTAVATLASEGFVVLHGDSVKRM >KJB83675 pep chromosome:Graimondii2_0_v6:13:57469104:57478610:1 gene:B456_013G259500 transcript:KJB83675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDSQAKRVRVLELSRRLKHRGPDWSGLYQHGDCYLAHQRLAIIDPASGDQPLFNEDKSVAVTVNGEIYNHEELRKKLVNHKFRTGSDCDVIAHLYEEYGEDFVDMLDGIFSFVLLDTRDNSYIVARDAIGVTSLYIGWGLDGSVWIASELKGLNDDCEHFESFPPGHLYSSKSGGFRRWYNPPWFSEVTPSVPYDPLVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKAAKQWGSQLHSFCVGLEGSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVMSGEGSDEIFGGYLYFHKAPNKDEFHQETCRKIKALHLYDCLRANKATSAWGLEARVPFLDKEFINVAMAIDPESKMINKDEGRIEKWVLRRAFDDENHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAAAHVADKMMLNASNIFPHNTPTTKEAYYYRMIFERFFPQNSARLTVPGGASVACSTAKAVEWDATWKNNLDPSGRAALGVHLSAYDAETPFDNKPSKIVESIPLMMEIPGVAIHG >KJB83674 pep chromosome:Graimondii2_0_v6:13:57468989:57478616:1 gene:B456_013G259500 transcript:KJB83674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDSQAKRVRVLELSRRLKHRGPDWSGLYQHGDCYLAHQRLAIIDPASGDQPLFNEDKSVAVTVNGEIYNHEELRKKLVNHKFRTGSDCDVIAHLYEEYGEDFVDMLDGIFSFVLLDTRDNSYIVARDAIGVTSLYIGWGLDGSVWIASELKGLNDDCEHFESFPPGHLYSSKSGGFRRWYNPPWFSEVTPSVPYDPLVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKAAKQWGSQLHSFCVGLEGSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVMSGEGSDEIFGGYLYFHKAPNKDEFHQETCRKIKALHLYDCLRANKATSAWGLEARVPFLDKEFINVAMAIDPESKMINKDEGRIEKWVLRRAFDDENHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAAAHVADKMMLNASNIFPHNTPTTKEAYYYRMIFERFFPQNSARLTVPGGASVACSTAKAVEWDATWKNNLDPSGRAALGVHLSAYDAETPFDNKPSKIVESIPLMMEIPGVAIHG >KJB83060 pep chromosome:Graimondii2_0_v6:13:54723585:54724212:1 gene:B456_013G227800 transcript:KJB83060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLSIVRVKSQINSGFDQLSLSCFKFRSFQIQVIPSSGMGWTGLGLLLWSNWVEFWLIGLDFWNRGKIDMYLVFLVWFQCFQVLEI >KJB79568 pep chromosome:Graimondii2_0_v6:13:5353416:5355068:-1 gene:B456_013G054800 transcript:KJB79568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDFYFPPFPPKPNNKLRLIMFVCLVMSTHFPLPPFPPKPKFIQAKPKPSFKLCSSLSTVSVPPTKTSHKPFPAEVFRTIMELSSIWTVSTLAQDGWPLGVGVRFAVDAEGTPILCLPQPSPDAMWVKDSSVYNTGQSYQTS >KJB83115 pep chromosome:Graimondii2_0_v6:13:54874759:54875267:-1 gene:B456_013G230200 transcript:KJB83115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGSAKYNVVVSGNNDIAVPFDHQFNLDDAMTMPENLLSRHQAVVRLVSDMPAVNHATGSCSICMESLSESEGGGSRQVSCGHVYHHDCITDWLLNGNSCPLCRHEISG >KJB80303 pep chromosome:Graimondii2_0_v6:13:14630083:14630559:1 gene:B456_013G091100 transcript:KJB80303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRYCIPPVLNLVLFLFLSPSNSEGGSSIFFQNFSIMELPFLLLALFFLLVFLFTSADVPFNVNLIHFRPRDFSIDVTASLLVSLFYPPSLFWSFHFFLLVSYPCHGLFFDLFKQLLCWFYGVCQSLPTYVIGIVPSNEENPSMSDDIEVGPTIVNS >KJB80005 pep chromosome:Graimondii2_0_v6:13:9745466:9750430:1 gene:B456_013G076900 transcript:KJB80005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFVKTLKGTHFNIEVKPEDAVADVKKNIETVQGADVYPAAQQMLIYKGKVLKDDTTLAENSVTENSFIVIMLTKNKGASGEGSTASTAPTKKAPEASSLPTAPAPASTASVSMSAMAAPATESAPVASSTPLSDSDVYGQAASNLVAGSNLEGTIQQILDMGGGTWDRDTVVRALRAAYNNPERAVEYLYSVSTFLQAEAPPVARAPVVGQATNPAAQPQQPAQTAAIPTSGPNANPLDLFPQGLPNMGASGAGAGTLDFLRNSPQFQALQAMVQANPQILQPMLQELGKQNPNLMRLIQEHQGDFLRLINEPAEGGEGNILGQLAEAMPQAVQVTPEEREAIERLEAMGFDRATVLQVFFACNKNEELAANYLLDHMHDFQD >KJB80004 pep chromosome:Graimondii2_0_v6:13:9745427:9750436:1 gene:B456_013G076900 transcript:KJB80004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFVKTLKGTHFNIEVKPEDAVADVKKNIETVQGADVYPAAQQMLIYKGKVLKDDTTLAENSVTENSFIVIMLTKNKGASGEGSTASTAPTKKAPEASSLPTAPAPASTASVSMSAMAAPATESAPVASSTPLSDSDVYGQAASNLVAGSNLEGTIQQILDMGGGTWDRDTVVRALRAAYNNPERAVEYLYSGIPEQAEAPPVARAPVVGQATNPAAQPQQPAQTAAIPTSGPNANPLDLFPQGLPNMGASGAGAGTLDFLRNSPQFQALQAMVQANPQILQPMLQELGKQNPNLMRLIQEHQGDFLRLINEPAEGGEGNILGQLAEAMPQAVQVTPEEREAIERLEAMGFDRATVLQVFFACNKNEELAANYLLDHMHDFQD >KJB80676 pep chromosome:Graimondii2_0_v6:13:26201186:26214323:1 gene:B456_013G111600 transcript:KJB80676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDDFDMHDANESGEDDFYSGRDDDAGAIPTYDDSDADDADYEFIDNDSDDSVDYVSHSHQQNYTILSEVDIRQRQEDDITRISTVLSISKVEAGILLRYYSWNVSKVHDEWFADEEKVRRSVGLLEKPVVPFPDGEMICGICFETYPYDLLHAAACGHPFCNSCWSGYISTAISDGPGCLMLRCPDPSCVAAVGQDMINALASDEDREKYSRYFIRSYVEDNRKTKWCPAPGCDYAVDFIFGSGNYDVTCRCSYSFCWNCTEEAHRPVDCDTVARWILKNSAESENMNWILANSKPCPKCKRPIEKNHGCMHMTCTPPCRFEFCWLCLGAWSEHGEVTGGYYACNRYETAKKEGTFDEEEKRREMAKLSVEKYTHYYERWATNQSSRQKALADLQQMQSVHLEKLSETQCQPESQLKFITEAWLQIIECRRVLKWTYAYGYYLPENEHAKRHFFEYLQGEAESGLERLHQCAEKELQVYLNAEEQLKDFNEFRSKLAGLTSVTRNFFENLVRALENGLSDVNSRGACSRMGSSKSLGGGSSRGRSGKGKGSTPRSSGSNRNIDDSGH >KJB80679 pep chromosome:Graimondii2_0_v6:13:26201620:26214151:1 gene:B456_013G111600 transcript:KJB80679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDDFDMHDANESGEDDFYSGRDDDAGAIPTYDDSDADDADYEFIDNDSDDSVDYVSHSHQQNYTILSEVDIRQRQEDDITRISTVLSISKVEAGILLRYYSWNVSKVHDEWFADEEKVRRSVGLLEKPVVPFPDGEMICGICFETYPYDLLHAAACGHPFCNSCWSGYISTAISDGPGCLMLRCPDPSCVAAVGQDMINALASDEDREKYSRYFIRSYVEDNRKTKWCPAPGCDYAVDFIFGSGNYDVTCRCSYSFCWNCTEEAHRPVDCDTVARWILKNSAESENMNWILANSKPCPKCKRPIEKNHGCMHMTCTPPCRFEFCWLCLGAWSEHGEVTGGYYACNRYETAKKEGTFDEEEKRREMAKLSVEKYTHYYERWATNQSSRQKALADLQQMQSVHLEKLSETQCQPESQLKFITEAWLQVRLSLG >KJB80680 pep chromosome:Graimondii2_0_v6:13:26207644:26214230:1 gene:B456_013G111600 transcript:KJB80680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCPDPSCVAAVGQDMINALASDEDREKYSRYFIRSYVEDNRKTKWCPAPGCDYAVDFIFGSGNYDVTCRCSYSFCWNCTEEAHRPVDCDTVARWILKNSAESENMNWILANSKPCPKCKRPIEKNHGCMHMTCTPPCRFEFCWLCLGAWSEHGEVTGGYYACNRYETAKKEGTFDEEEKRREMAKLSVEKYTHYYERWATNQSSRQKALADLQQMQSVHLEKLSETQCQPESQLKFITEAWLQIIECRRVLKWTYAYGYYLPENEHAKRHFFEYLQGEAESGLERLHQCAEKELQVYLNAEEQLKDFNEFRSKLAGLTSVTRNFFENLVRALENGLSDVNSRGACSRMGSSKSLGGGSSRGRSGKGKGSTPRSSGSNRNIDDSGH >KJB80677 pep chromosome:Graimondii2_0_v6:13:26201620:26211701:1 gene:B456_013G111600 transcript:KJB80677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDDFDMHDANESGEDDFYSGRDDDAGAIPTYDDSDADDADYEFIDNDSDDSVDYVSHSHQQNYTILSEVDIRQRQEDDITRISTVLSISKVEAGILLRYYSWNVSKVHDEWFADEEKVRRSVGLLEKPVVPFPDGEMICGICFETYPYDLLHAAACGHPFCNSCWSGYISTAISDGPGCLMLRCPDPSCVAAVGQDMINALASDEDREKYSRYFIRSYVEDNRKTKWCPAPGCDYAVDFIFGSGNYDVTCRCSYSFCWNCTEEAHRPVDCDTVARWILKNSAESENMNWILANSKPCPKCKRPIEKNHGCMHMTCTPPCRFEFCW >KJB80681 pep chromosome:Graimondii2_0_v6:13:26209339:26214323:1 gene:B456_013G111600 transcript:KJB80681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWILANSKPCPKCKRPIEKNHGCMHMTCTPPCRFEFCWLCLGAWSEHGEVTGGYYACNRYETAKKEGTFDEEEKRREMAKLSVEKYTHYYERWATNQSSRQKALADLQQMQSVHLEKLSETQCQPESQLKFITEAWLQIIECRRVLKWTYAYGYYLPENEHAKRHFFEYLQGEAESGLERLHQCAEKELQVYLNAEEQLKDFNEFRSKLAGLTSVTRNFFENLVRALENGLSDVNSRGACSRMGSSKSLGGGSSRGRSGKGKGSTPRSSGSNRNIDDSGH >KJB80678 pep chromosome:Graimondii2_0_v6:13:26201620:26214151:1 gene:B456_013G111600 transcript:KJB80678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDDFDMHDANESGEDDFYSGRDDDAGAIPTYDDSDADDADYEFIDNDSDDSVDYVSHSHQQNYTILSEVDIRQRQEDDITRISTVLSISKVEAGILLRYYSWNVSKVHDEWFADEEKVRRSVGLLEKPVVPFPDGEMICGICFETYPYDLLHAAACGHPFCNSCWSGYISTAISDGPGCLMLRCPDPSCVAAVGQDMINALASDEDREKYSRYFIRSYVEDNRKTKWCPAPGCDYAVDFIFGSGNYDVTCRCSYSFCWNCTEEAHRPVDCDTVARILANSKPCPKCKRPIEKNHGCMHMTCTPPCRFEFCWLCLGAWSEHGEVTGGYYACNRYETAKKEGTFDEEEKRREMAKLSVEKYTHYYERWATNQSSRQKALADLQQMQSVHLEKLSETQCQPESQLKFITEAWLQIIECRRVLKWTYAYGYYLPENEHAKRHFFEYLQGEAESGLERLHQCAEKELQVYLNAEEQLKDFNEFRSKLAGLTSVTRNFFENLVRALENGLSDVNSRGACSRMGSSKSLGGGSSRGRSGKGKGSTPRSSGSNRNIDDSGH >KJB81918 pep chromosome:Graimondii2_0_v6:13:45236062:45241302:1 gene:B456_013G167600 transcript:KJB81918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNPIRSNSSKLNDHDWTQVVEVNQEAEEEYFYDSLDRIASSNSCSCSNSASPSSDSDSDPITPSNNAHHPFPVPKFPKVVSQFDIWISEPSSVSERRTRLHREMGLSRHLGLCRIRPGSETEVGDGREMGSGGGGGGELGRRSVLSNRLVKEELEDQDRGGEKESSSSGIVRSKSDGDCSAAAVPSSPLSLRSNSSSSILSVGLCTVNNNNISECDQSNAAAANLRRCGSNGSKPPKGRISKCLNGELSFKSFGDGEAVVVDDEMDCSEQVCTIKNLDNGEEFVVNEIREDGMWNKLKEVGTGRQLTMEEFEVCVGHSPIVQELMRRQNVEEGNKDNADLIVSGGGVGVSKSKKKGSWFKSIKSVANSVKGNKERRSSDERDTSSEKGGRRSSSATDDSQDVSFHGPERVRVKQYGKSCKELTALYKSQEIQAHTGSIWSIKFSLDGKYLASAGEDCVIHIWKVVGSDRKGELLMEKPEDGNFNLLLGANGSPEPILSSPSTDYPPDKRRRGRSSISRKSLSLDNIMVPETVFALSDKPVCSFQGHLDDVLDLSWSKSQQLLSSSMDKTVRLWDLTSKTCLSIFSHSDYVTCIQFNPVDDKYFISGSLDAKVRIWSIPDRKVVDWNDLHEMVTAACYTPDGQRALVGSYKGSCHLYNTSENKLQPKSQINLQNKRKKSHQKKITGFQFAPGSSSEVLVTSADSRIRVVDSSDLIHKFKGFRNTNSQISASVTANGKYVVCASEDSYVYVWKHEAGSRPSRNKGVTVTQSYEHFHCKDVSVAIPWPGTWGLRDIQLDDNIDEVSTANHPPTPVEEYSGNEGSLSASGYTNSPLHGTISSATNSYFFDRISATWPEEELVQVTRTWSSPKTSVDLSGVKKDAACGMVIVTAGLRGEIRTFQNFGASSNIIG >KJB81919 pep chromosome:Graimondii2_0_v6:13:45236273:45241290:1 gene:B456_013G167600 transcript:KJB81919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNPIRSNSSKLNDHDWTQVVEVNQEAEEEYFYDSLDRIASSNSCSCSNSASPSSDSDSDPITPSNNAHHPFPVPKFPKVVSQFDIWISEPSSVSERRTRLHREMGLSRHLGLCRIRPGSETEVGDGREMGSGGGGGGELGRRSVLSNRLVKEELEDQDRGGEKESSSSGIVRSKSDGDCSAAAVPSSPLSLRSNSSSSILSVGLCTVNNNNISECDQSNAAAANLRRCGSNGSKPPKGRISKCLNGELSFKSFGDGEAVVVDDEMDCSEQVCTIKNLDNGEEFVVNEIREDGMWNKLKEVGTGRQLTMEEFEVCVGHSPIVQELMRRQNVEEGNKDNADLIVSGGGVGVSKSKKKGSWFKSIKSVANSVKGNKERRSSDERDTSSEKGGRRSSSATDDSQDVSFHGPERVRVKQYGKSCKELTALYKSQEIQAHTGSIWSIKFSLDGKYLASAGEDCVIHIWKVVGSDRKGELLMEKPEDGNFNLLLGANGSPEPILSSPSTDYPPDKRRRGRSSISRKSLSLDNIMVPETVFALSDKPVCSFQGHLDDVLDLSWSKSQQLLSSSMDKTVRLWDLTSKTCLSIFSHSDYVTCIQFNPVDDKYFISGSLDAKVRIWSIPDRKVVDWNDLHEMVTAACYTPDGQRALVGSYKGSCHLYNTSE >KJB81920 pep chromosome:Graimondii2_0_v6:13:45236273:45241290:1 gene:B456_013G167600 transcript:KJB81920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNPIRSNSSKLNDHDWTQVVEVNQEAEEEYFYDSLDRIASSNSCSCSNSASPSSDSDSDPITPSNNAHHPFPVPKFPKVVSQFDIWISEPSSVSERRTRLHREMGLSRHLGLCRIRPGSETEVGDGREMGSGGGGGGELGRRSVLSNRLVKEELEDQDRGGEKESSSSGIVRSKSDGDCSAAAVPSSPLSLRSNSSSSILSVGLCTVNNNNISECDQSNAAAANLRRCGSNGSKPPKGRISKCLNGELSFKSFGDGEAVVVDDEMDCSEQVCTIKNLDNGEEFVVNEIREDGMWNKLKEVGTGRQLTMEEFEVCVGHSPIVQELMRRQNVEEGNKDNADLIVSGGGVGVSKSKKKGSWFKSIKSVANSVKGNKERRSSDERDTSSEKGGRRSSSATDDSQDVSFHGPERVRVKQYGKSCKELTALYKSQEIQAHTGSIWSIKFSLDGKYLASAGEDCVIHIWKVVGSDRKGELLMEKPEDGNFNLLLGANGSPEPILSSPSTDYPPDKRRRGRSSISRKSLSLDNIMVPETVFALSDKPVCSFQGHLDDVLDLSWSKSQQLLSSSMDKTVRLWDLTSKTCLSIFSHSDYVTCIQFNPVDDKYFISGSLDAKVRIWSIPDRKVVDWNDLHEMVTAACYTPDGQLFNVLKRALVGSYKGSCHLYNTSENKLQPKSQINLQNKRKKSHQKKITGFQFAPGSSSEVLVTSADSRIRVVDSSDLIHKFKGFRNTNSQISASVTANGKYVVCASEDSYVYVWKHEAGSRPSRNKGVTVTQSYEHFHCKDVSVAIPWPGTWGLRDIQLDDNIDEVSTANHPPTPVEEYSGNEGSLSASGYTNSPLHGTISSATNSYFFDRISATWPEEELVQVTRTWSSPKTSVDLSGVKKDAACGMVIVTAGLRGEIRTFQNFGASSNIIG >KJB78786 pep chromosome:Graimondii2_0_v6:13:1300842:1303156:-1 gene:B456_013G018900 transcript:KJB78786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKQVEEAIVGSFNEPTDQHEEKDGSRSVFSVKNLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIILQIFYGVLGSWTAYLVSVLYIEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGTVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIASLIHGQVEGVTHQGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTIPSATVVYWAFGDQLLDHSNAFSLLPRSMWRDAAVVLMLIHQFITFGFACTPLYFVWEKVVGIHDTKSICLRAACRLPVVIPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMLTYKSASARQVNS >KJB78785 pep chromosome:Graimondii2_0_v6:13:1300558:1303465:-1 gene:B456_013G018900 transcript:KJB78785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKQVEEAIVGSFNEPTDQHEEKDGSRSVFSVKNLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIILQIFYGVLGSWTAYLVSVLYIEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGTVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIASLIHGQVEGVTHQGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTIPSATVVYWAFGDQLLDHSNAFSLLPRSMWRDAAVVLMLIHQFITFGFACTPLYFVWEKVVGIHDTKSICLRAACRLPVVIPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMLTYKSASARQNAAEKPPFFLPSWTAVYAVNAFIVIWVFIVGFGLGGWASMTNFIKQVDTFGLFAKCYQCPPTPSSKHH >KJB78902 pep chromosome:Graimondii2_0_v6:13:1795903:1799419:1 gene:B456_013G024500 transcript:KJB78902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPLDPHSFTDSHHPLTTDISLSLYLDFPSATIHGVAFFILKSPHVGQLSFDTRSLSIHQVLSPITLSPLPFSLSSSPDPIKGTQLSISLPGGDVLSSFFIVFSTSPSSSALQWLSPPQTFNKKHPFVYTQCQCIHARSIFPCQDTPAARIRYSALLNVPRELSAVMSARHVDRRSPVSGEGHSHLLPGGFNSLWCSETRVVEEFQMNQPIPPYLFAFAVGELGFREVGPRTRVYSEAVNSVLDAAAKEFAGTEEMIRQGEKLFGDYDWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDASGAQVVAHELAHSWTGNLITNMNNEHFWLNEGFTTYAERRIVEAVQGEDRAILNTGIGWKGLNEEMERFKDNLEFTKLKNNQEGVDPDAVYSEVPYEKGFQFLWRIERQIGRPAFDEFIKKYIATFKFKSIDTHTFLKFLKANVPGIEKEIDLVLWTEGTGIPPDAYEPVSNLYTKIVSLANEFKLGRMPREDEVADWRGQEWELYLENLPKVIEASQVLALDERYRLSESKDYEVKVAFLQLAIMSQCRNFYGEVEKTLKEVGRMKYLRPLYRALVQGKGKEEDKILAKRVFSEARECYHPIARGVVESIFAKHL >KJB83173 pep chromosome:Graimondii2_0_v6:13:55203483:55204306:1 gene:B456_013G233400 transcript:KJB83173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLNVVQVYINPLFLSLVLLFSLLIWLKPAKKKNLNLPPSPPKLPIIGNIHQLGMLPHRSLRDLSRNYGSLLLLQLGYNQTVLISSPELVKEIVKNHEIIFSNRPRTTAVDMLFYNCGDMAFAPYGEFWRQVKKISVLELFSHRRVNSFQSVREEEVELLISKVRGACLKGESINLSEMLISVSSNIASRCILSHKSEEEDGCSKFGQLGKKLLVLFTGFCIGDMFP >KJB83566 pep chromosome:Graimondii2_0_v6:13:57066232:57079609:1 gene:B456_013G253500 transcript:KJB83566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLAAFERPRGGASNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVMGHNGIFRNDTADAIEGSFHQWRDKRTAKSLVVGRPILLALEDIDGSPSFLEKALQFLEKFGTKVEGILRQSADVEEVDRRVQEYDQGKTEFDSDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARINAMRSAILETFPEPNRRLLQRILKMMHTISSHSHVNRMTPSAVAACMAPLLLRPLLAGECELEDDFDVNGDSSAQLLAAANAANNAQAIITTLLEEYENIFDDESLQRCSISADSQIENSASEDSTDEENPDMKDNGYHDAENEADPDTDDDPERVLSGKLSESSGYAGSDLYDYKAFEDDGSDVESPRDNHARAENSSLVVDPPRMRDPDAQLEEQAKQNKGNENQINETDVSSVLPTGESYRSMGEILSSMDPGHPISSPGLESSTEKPVGKAKGSSLNAKRSTFWGRSNARKTPSMESVDSSGEEELAIQRLEITKNDLQHRITKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSSHGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDHYQHVQNHNSQQRFLQQDFDTTLAYCNHERKQRTEESLLGPDWRNVRGQGLAIGNSARQPTRKQFVDSPNLSDSKIIEASPNMSINELCAVGSASIPSTSRAAEVIDYTRHSSAASSALVELTTHLDFFKERRSQLMEQLHNLDLNYSSTSSQDFVYKPPSSPPWN >KJB83567 pep chromosome:Graimondii2_0_v6:13:57066232:57079596:1 gene:B456_013G253500 transcript:KJB83567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLAAFERPRGGASNTVFKSGPLFISSKASAGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVMGHNGIFRNDTADAIEGSFHQWRDKRTAKSLVVGRPILLALEDIDGSPSFLEKALQFLEKFGTKVEGILRQSADVEEVDRRVQEYDQGKTEFDSDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARINAMRSAILETFPEPNRRLLQRILKMMHTISSHSHVNRMTPSAVAACMAPLLLRPLLAGECELEDDFDVNGDSSAQLLAAANAANNAQAIITTLLEEYENIFDDESLQRCSISADSQIENSASEDSTDEENPDMKDNGYHDAENEADPDTDDDPERVLSGKLSESSGYAGSDLYDYKAFEDDGSDVESPRDNHARAENSSLVVDPPRMRDPDAQLEEQAKQNKGNENQINETDVSSVLPTGESYRSMGEILSSMDPGHPISSPGLESSTEKPVGKAKGSSLNAKRSTFWGRSNARKTPSMESVDSSGEEELAIQRLEITKNDLQHRITKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSSHGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDHYQHVQNHNSQQRFLQQDFDTTLAYCNHERKQRTEESLLGPDWRNVRGQGLAIGNSARQPTRKQFVDSPNLSDSKIIEASPNMSINELCAVGSASIPSTSRAAEVIDYTRHSSAASSALVELTTHLDFFKERRSQLMEQLHNLDLNYSSTSSQDFVYKPPSSPPWN >KJB83568 pep chromosome:Graimondii2_0_v6:13:57066163:57079656:1 gene:B456_013G253500 transcript:KJB83568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLAAFERPRGGASNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVMGHNGIFRNDTADAIEGSFHQWRDKRTAKSLVVGRPILLALEDIDGSPSFLEKALQFLEKFGTKVEGILRQSADVEEVDRRVQEYDQGKTEFDSDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARINAMRSAILETFPEPNRRLLQRILKMMHTISSHSHVNRMTPSAVAACMAPLLLRPLLAGECELEDDFDVNGDSSAQLLAAANAANNAQAIITTLLEEYENIFDDESLQRCSISADSQIENSASEDSTDEENPDMKDNGYHDAENEADPDTDDDPERVLSGKLSESSGYAGSDLYDYKAFEDDGSDVESPRDNHARAENSSLVVDPPRMRDPDAQLEEQAKQNKGNENQINETDVSSVLPTGESYRSMGEILSSMDPGHPISSPGLESSTEKPVGKAKGSSLNAKRSTFWGRSNARKTPSMESVDSSGEEELAIQRLEITKNDLQHRITKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSSHGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDHYQHVQNHNSQQRFLQQDFDTTLAYCNHERKQRTEESLLGPDWRNVRGQGLAIGNSARQPTRKQFVDSPNLSDSKIIEASPNMSINELCAVGSASIPSTSRAAEVIDYTRHSSAASSALVELTTHLDFFKERRSQLMEQLHNLDLNYSSTSSQDFVYKPPSSPPWN >KJB81549 pep chromosome:Graimondii2_0_v6:13:40968406:40969238:-1 gene:B456_013G149800 transcript:KJB81549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEKRIKHYSSSHKILLVGEGDFSFAASLATSLGSGVNMVTTSLDSKVMLNRKYNEAMANVSRLEDIGCTVIHEVDCCTMSQHPKLMSNLFDRIVFNFPHAGFFFTSESTPYVINLHKNVVEGFLRNAVEMLTENGEVHITHKTTHPFNMWEIEKLANEVGLGMLDEVPFFYRDYPGYKNKRGEGQRCDQSFPIGKSSTYKFKIMN >KJB82107 pep chromosome:Graimondii2_0_v6:13:46569273:46571949:-1 gene:B456_013G176400 transcript:KJB82107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLANEVIDDPGPDLISKLPDSLLREIISHLPVDDVVRTSVLSRRWSGLWRYVPRLDFDPKRMMKPSKQLLYQQQLISQRLGIDTSDADLKKEIFHAVLMIDKVLSSHKSYLVGCKIVHFPDSCRYGQLQRWIEHLISQKKVQELSFTCEDSLDPHQNLVGRSNDLKLMSLPSGIFSCASLHALELCHYKLETDVPFHHCHNLKTVKLKWLYLSTQTLDGIVSSCVFLEHFSLRFSGGFDRVRLVSDKVKAVELQSLRVKEIHLSTKSLEVLVLETIKCRPKNLLINASKLRVFRAYRNPILENPDKLLRYKDDMALKVAEILEHCSGLLRPENDEGDDHAMDNSSLFNQYLWKLLLDLDLNSIREVLILSFILRVCTNLKHLEINIQDTTLDQSLCKFSGILHSKSEENATRTCSLPYPESMLWDKRELCDCITRTITEVTVKGFKGKEREMEFVRHLITKATVMERINIWCSDRCSRQGAEAAYGLLSLPRSSIHVSIVLKPGPEFQLAVGNASFQTWLLTLN >KJB82855 pep chromosome:Graimondii2_0_v6:13:53706713:53707923:-1 gene:B456_013G216900 transcript:KJB82855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEVAVAPTEVAAAIGEPMDINAALPLVIRKSKAHGGLARGLHEAAKAIEKHNAHLCVLADDCDQPDYVKLVKALCADHNVKVLRAPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKVWEHIHGNTFYFT >KJB82853 pep chromosome:Graimondii2_0_v6:13:53706211:53708191:-1 gene:B456_013G216900 transcript:KJB82853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEVAVAPTEVAAAIGEPMDINAALPLVIRKSKAHGGLARGLHEAAKAIEKHNAHLCVLADDCDQPDYVKLVKALCADHNVKVLRAPSAKTLGEWAVV >KJB82852 pep chromosome:Graimondii2_0_v6:13:53705992:53708300:-1 gene:B456_013G216900 transcript:KJB82852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEVAVAPTEVAAAIGEPMDINAALPLVIRKSKAHGGLARGLHEAAKAIEKHNAHLCVLADDCDQPDYVKLVKALCADHNVKVLRAPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEQHEAVEVVQQHKD >KJB82854 pep chromosome:Graimondii2_0_v6:13:53706964:53707923:-1 gene:B456_013G216900 transcript:KJB82854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEVAVAPTEVAAAIGEPMDINAALPLVIRKSKAHGGLARGLHEAAKAIEKHNAHLCVLADDCDQPDYVKLVKALCADHNVKVLRAPSAKTLGEWAGVSEISFLIH >KJB82851 pep chromosome:Graimondii2_0_v6:13:53706211:53708191:-1 gene:B456_013G216900 transcript:KJB82851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEVAVAPTEVAAAIGEPMDINAALPLVIRKSKAHGGLARGLHEAAKAIEKHNAHLCVLADDCDQPDYVKLVKALCADHNVKVLRAPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEQHEAVEVVQQHKD >KJB81412 pep chromosome:Graimondii2_0_v6:13:39603479:39603787:-1 gene:B456_013G144300 transcript:KJB81412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNRRGMGDEQLKQKILCLKRNMAKISMDQQRIREEQTSVRLRFPIIKQQCEELREEMNLISKQATMTQFRIALMFRIIRERKEGNFSQAAKLTHFLLFIV >KJB81797 pep chromosome:Graimondii2_0_v6:13:43963272:43965335:-1 gene:B456_013G161900 transcript:KJB81797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYQRKRRFANQTRDKDLDRLSGLPDKVLLQIISLLPLKEIVRTSILAIRWKDLFSLVSDINIDDNNVIRKSLGNGYMNIVERFLFSRKRDVGIDKFRLKCGKIVDPFRINGWIQYALGYHVKELDLTIGIKGFNELNFGVFTCKTLVILRLSMDKGSILSVPTYFRLPNLKVLHLGLMKCSDDGSVDRLFSGCISLEELHLRNCELSNMNKLRVCNATLKKLFLSGFMGTDHEIEIIAPNLVHFSYYYTGTKGFSLINLNSLSEARIYIGPYLFSTIDFASTATALMNGISQARSLYLTLYSSKPILLTPGLVPQFPNLTYLNLEMGGCLPEWKILLANSPCLDTLVLDFEFSGSGLESHNNGRDETEKVPGCLMYKVKTIKVLSFQGKPFESQVVEYLLKNAKVLERFTVRLSGKKRLRTKISKEVRALSRVSKKCQVTII >KJB80567 pep chromosome:Graimondii2_0_v6:13:20659314:20661168:1 gene:B456_013G104400 transcript:KJB80567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVDADVAAPGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMISHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KJB80617 pep chromosome:Graimondii2_0_v6:13:23354821:23356274:1 gene:B456_013G107500 transcript:KJB80617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVVDFSKLNGEDRAKTMAQIANACQEWGFFQLVNHGIPVELLERVKKVSSEFYKGEREENFNKSKEVDLLDESSEKLENVDWEDVITLLDDNEWPSKTPGFQETMKEYRFELKKLAEKVMQVMDENLGLPKGYIRKALNDGDGDNAFFGTKVSHYPPCPHPERVKGLRAHTDAGGVILLFQDDKVGGLQVLKDGEWIDVQPLPNTIVINTGDQIEVLSNGRYKSAWHRVLSPVHGIRRSIASFYNPSLKTTIAPAPQLVEKTNQQVEQTYPKFVFGDYMSVYVQQKFLPKEPRFQAVRAV >KJB82540 pep chromosome:Graimondii2_0_v6:13:51234150:51235701:1 gene:B456_013G201400 transcript:KJB82540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASKWLVNFLLGRKDDKGKRKNLSISFDEENLVSSPSATPSSASSFKRRWSFGKLSSKDRAHKSSQSLDSMTATPLLKQAIFNMGIRHDNTRVFTMAMMNSSRRKTKARYAAVENAAATQIQAAFRSYLARKALHALRGLVKLQAFVRGYLVRKQTTATLRSMHALMSIQVRARFQRIQMADQSQLDVKSQSLRYGRFLQEMEFKRSQREGINEKHGLVKSQNGLMNHSQMERIEQGIIKYYSGEIPILKRQQKHEESSFTTHNSPRHSPPMSKVTPARSSFSSHDYPYMPSYMANTQSSRAKLRSQSEPRQRPALNFKAKGKRITSAEEMDDDILPRYSFSQSKGIADEHQDPWIVKLYQSTITPKMDTSSYK >KJB80145 pep chromosome:Graimondii2_0_v6:13:11515562:11520335:1 gene:B456_013G082900 transcript:KJB80145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPAKWIKTLLLGKKSSKSSFSKGKDKLNSANKGEVLVSSKVTVSDLSADSPSISAPILVSRARNVVDSEKGIPAQLPIDGENIPSLKMDGNNATTGNFGNPENPDRIRLDPAAVTVQAAFRGYLARREFRILKGIIRLQAVIRGHLVRRQAVATLCCTWGIVKLQALARGQKVRCSDIAMEIQEKHLRLLQSLKSSNSIGASISSTVKNLSSNVFVQKLLASSPSVLPLQLQYVPEEPNSSWQWLQRWTRSQFWEYPSKPIRSGKTKLSVQKLSFAKAVNGSSHSTLEYEKNKRGLRRISVNSAADSVREHPQNELERVKRNLRKLSNSSKEVTDKSEFVNEKTKKTLKKYSSSNGPDVLEQESAEKIRDVTATLSELSILEADLKFSSEHASLGEPIVCPAVDFPPAKNNGKIEHMPLTEELNSKDEQVGDESSNTNQRRASFPAKIDNQANGLNHMPKVPSYMAPTESAKARLRGPGSPRFIPEAVEKNGLNRPYSLPTSTTSNTGSQSPHTQRQVRVAGKGAIISDKSQSSSKDANDKVVRAEWRR >KJB80146 pep chromosome:Graimondii2_0_v6:13:11515562:11520335:1 gene:B456_013G082900 transcript:KJB80146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPAKWIKTLLLGKKSSKSSFSKGKDKLNSANKGEVLVSSKVTVSDLSADSPSISAPILVSRARNVVDSEKGIPAQLPIDGENIPSLKMDGNNATTGNFGNPENPDRIRLDPAAVTVQAAFRGYLARREFRILKGIIRLQAVIRGHLVRRQAVATLCCTWGIVKLQALARGQKVRCSDIAMEIQEKHLRLLQLLASSPSVLPLQLQYVPEEPNSSWQWLQRWTRSQFWEYPSKPIRSGKTKLSVQKLSFAKAVNGSSHSTLEYEKNKRGLRRISVNSAADSVREHPQNELERVKRNLRKLSNSSKEVTDKSEFVNEKTKKTLKKYSSSNGPDVLEQESAEKIRDVTATLSELSILEADLKFSSEHASLGEPIVCPAVDFPPAKNNGKIEHMPLTEELNSKDEQVGDESSNTNQRRASFPAKIDNQANGLNHMPKVPSYMAPTESAKARLRGPGSPRFIPEAVEKNGLNRPYSLPTSTTSNTGSQSPHTQRQVRVAGKGAIISDKSQSSSKDANDKVVRAEWRR >KJB80147 pep chromosome:Graimondii2_0_v6:13:11515018:11520335:1 gene:B456_013G082900 transcript:KJB80147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPAKWIKTLLLGKKSSKSSFSKGKDKLNSANKGEVLVSSKVTVSDLSADSPSISAPILVSRARNVVDSEKGIPAQLPIDGENIPSLKMDGNNATTGNFGNPENPDRIRLDPAAVTVQAAFRGYLARREFRILKGIIRLQAVIRGHLVRRQAVATLCCTWGIVKLQALARGQKVRCSDIAMEIQEKHLRLLQSLKSSNSIGASISSTVKNLSSNVFVQKLLASSPSVLPLQLQYVPEEPNSSWQWLQRWTRSQFWEYPSKPIRSGKTKLSVQKLSFAKAVNGSSHSTLEYEKNKRGLRRISVNSAADSVREHPQNELERVKRNLRKLSNSSKEVTDKSEFVNEKTKKTLKKYSSSNGPDVLEQESAEKIRDVTATLSELSILEADLKFSSEHASLGEPIVCPAVDFPPAKNNGKIEHMPLTEELNSKDEQVGDESSNTNQRRASFPAKIDNQANGLNHMPKVPSYMAPTESAKARLRGPGSPRFIPEAVEKNGLNRPYSLPTSTTSNTGSQSPHTQRQVRVAGKGAIISDKSQSSSKDANDKVVRAEWRR >KJB80216 pep chromosome:Graimondii2_0_v6:13:13091758:13093084:1 gene:B456_013G086700 transcript:KJB80216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLVQSGNQVGPLCNHMSVNTTATLRMKVVEMLVLHPKLLTNHERVRRNLVVTDGCLMCGGGQETILHVLHDCSFATNIWRTGFKWSSPSHGWVKINTNGSKSMTNNWSIFGGVIQDSLGNWKEGFRKQLGRCSIFNAKLWVILFIVESDCMEAMECLTDRSGDCHSMTLIRKITTVKKCFQMVKFQFIRREGNMVANSIAKTCSKGDSELAIIDFPTFHGRKLLLADNLGDFFCKVFLS >KJB80856 pep chromosome:Graimondii2_0_v6:13:29481449:29482966:1 gene:B456_013G118200 transcript:KJB80856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFMNYVDLKKEAMKDLEAGPDYDLEMSSTTNTMDQNLGLFLEEAEKVKQGMAVIRELLGKLQEANEESKSLHKPESLKALRNKINNDVVTVQKKARTIKAQLEEMDRANAANKRLSGYKEGTLNYRTRIAVTNGLRNKLKELMMDFQGLRQKMMAEYKETVGRRYFTVTGENPDEETIEKIISDGSGGGGFLTRAVQEHGRGKVLETVVEIQDRHDAAKEIEKSLLELHQVFLDMAAMVEAQGEQMDDIEHHVMNASHYVKDGSKELNNAKRYQRSSRKWMCIGIILLLLIVLVIIIPIATSFSSS >KJB80855 pep chromosome:Graimondii2_0_v6:13:29481437:29483114:1 gene:B456_013G118200 transcript:KJB80855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFMNYVDLKKEAMKDLEAGPDYDLEMSSTTNTMDQNLGLFLEEAEKVKQGMAVIRELLGKLQEANEESKSLHKPESLKALRNKINNDVVTVQKKARTIKAQLEEMDRANAANKRLSGYKEGTLNYRTRIAVTNGLRNKLKELMMDFQGLRQKMMAEYKETVGRRYFTVTGENPDEETIEKIISDGSGGGGFLTRAVQEHGRGKVLETVVEIQDRHDAAKEIEKSLLELHQVFLDMAAMVEAQGEQMDDIEHHVMNASHYVKDGSKELNNAKRRK >KJB79380 pep chromosome:Graimondii2_0_v6:13:4123303:4125617:-1 gene:B456_013G046600 transcript:KJB79380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLHFFLLLLLSSAALISAAAATTAYPSIPGTDSTTDCGLSGGDENPVPIRREVYGNGKIFDISHRYTVDMPSWESKDGVGQFLWLPKSMKNGSLANNSEMKLPTHTGTHLDAPGHVIDRYFDAGFDVDTLDLEVLNGPALLIDVPRDKNITAEVMESLKIPKGVRRVLFRTLNTDRRLMFKKEFDTSYVGFMKDGAEWLVKHTDIKFIGIDYLSVAAFDDLIPSHIVFLEGRDIILVEGLKLDDVQPGIYSVHCLPLRLLGAEGSPTRCILIK >KJB79381 pep chromosome:Graimondii2_0_v6:13:4123232:4125628:-1 gene:B456_013G046600 transcript:KJB79381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLHFFLLLLLSSAALISAAAATTAYPSIPGTDSTTDCGLSGGDENPVPIRREVYGNGKIFDISHRYTVDMPSWESKDGVGQFLWLPKSMKNGSLANNSEMKLPTHTGTHLDAPGHVIDRYFDAGFDVDTLDLEVLNGPALLIDVPRDKNITAEVMESLKIPKGVRRVLFRTLNTDRRLMFKKEFDTSYVGFMKDGAEWLVKHTDIKFIGIDYLSVAAFDDLIPSHIVFLEGRDIILVEGLKLDDVQPGIYSVHCLPLRLLGAEGSPTRCILIK >KJB79383 pep chromosome:Graimondii2_0_v6:13:4123339:4125599:-1 gene:B456_013G046600 transcript:KJB79383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLHFFLLLLLSSAALISAAAATTAYPSIPGTDSTTDCGLSGGDENPVPIRREVYGNGKIFDISHRYTVDMPSWESKDGVGQFLWLPKSMKNGSLANNSEMKLPTHTGTHLDAPGHVIDRYFDAGFDVDTLDLEVLNGPALLIDVPRDKNITAEVMESLKIPKGVRRVLFRTLNTDRRLMFKKEFDTSYVGFMKDGAEWLVKHTDIKFIGIDYLSVAAFDDLIPSHIVFLEGRQASAHF >KJB79382 pep chromosome:Graimondii2_0_v6:13:4123339:4125599:-1 gene:B456_013G046600 transcript:KJB79382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLHFFLLLLLSSAALISAAAATTAYPSIPGTDSTTDCGLSGGDENPVPIRREVYGNGKIFDISHRYTVDMPSWESKDGVGQFLWLPKSMKNGSLANNSEMKLPTHTGTHLDAPGHVIDRYFDAGFDVDTLDLEVLNGPALLIDVPRDKNITAEVMESLKIPKGVRRVLFRTLNTDRRLMFKKEFDTSYVGFMKDGAEWLVKHTDIKFIGIDYLSVAAFDDLIPSHIVFLEGRDIILVEGLKLDDVQPGIYSVHCLPLRLLGAEGSPTRCILIK >KJB80821 pep chromosome:Graimondii2_0_v6:13:28895910:28898756:-1 gene:B456_013G116700 transcript:KJB80821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATPCLGFHNYRYTMERPRFSDHLEAIKFICKDFWFELFKKQIDNLKTNHRGTFVLQDNRFCWLTRMSIDQSPENGTSEELSIMADNKAMQSMHLYFPCGIIRGALSNLGIPCAVSADISNLPAWLYKEIWMITPITLDHENLTFSSFDGSLVLNISFDVFFALVCTVIIMITCFWKKFKHNEQI >KJB80820 pep chromosome:Graimondii2_0_v6:13:28895865:28898854:-1 gene:B456_013G116700 transcript:KJB80820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREVSESCIDSLLTEVVSTYCNRFYANKPELAARRIEAIGYQVGHQLSERYTMERPRFSDHLEAIKFICKDFWFELFKKQIDNLKTNHRGTFVLQDNRFCWLTRMSIDQSPENGTSEELSIMADNKAMQSMHLYFPCGIIRGALSNLGIPCAVSADISNLPACSFVIRIKA >KJB78734 pep chromosome:Graimondii2_0_v6:13:1057595:1065249:1 gene:B456_013G015200 transcript:KJB78734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHATTHHVNKLSFILLILSLAFPSSSFELRPSVADSTFLHRNDTAISDFRVLNRRALFQCPDLNPYLQINVTTQGDLSDEQFITVNVSGVLVPSDGDWVAMVSPSFSNVTTCLGSEAYYLQTGDTSILPLLCHYPVKAKYVTSDPSYLSCENQKCQKYGNNGDCEVRTCAGSLSFHVVNIRTNIDFVFFAGGFSTPCILTKSDVPLKFSNPNSPLHGHLSSIDSTATSMRLTWVSGDKEPQQVQYGDGKSQTSEVATFSAADMCSSVVVPSPAKDFGWHDPGYIHTAVMSGLQPSSTFNFKYGSDAVGWSAEIQFRTPPAGGSDELKFLVFGDMGKAPLDSSAEHYIQPGSISVIKGMTEEVENGNVDSIFHIGDISYATGFLVEWDYFLNLITPLASKVSYMTAIGNHERDYSDSGSWYTGPDSGGECGVPYETYFPMPTPAKDKPWYSIEQGSVHFTVISTEHDWTEKSEQYEWMKTDMASVDRSKTPWLVFTGHRPMYSSLGADDQFLETVEPLLLNNKVDLVLFGHVHNYERTCSIYKSECLAMPEKDKNGIDTYDNTNYTAPVQAIVGMAGFSLDKFPDDAPSWSLVRISEFGYVRAHATKDELKLEFVNSDTKNVDDSFIITKK >KJB78733 pep chromosome:Graimondii2_0_v6:13:1057595:1065249:1 gene:B456_013G015200 transcript:KJB78733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHATTHHVNKLSFILLILSLAFPSSSFELRPSVADSTFLHRNDTAISDFRVLNRRALFQCPDLNPYLQINVTTQGDLSDEQFITVNVSGVLVPSDGDWVAMVSPSFSNVTTCLGSEAYYLQTGDTSILPLLCHYPVKAKYVTSDPSYLSCENQKCQKYGNNGDCEVRTCAGSLSFHVVNIRTNIDFVFFAGGFSTPCILTKSDVPLKFSNPNSPLHGHLSSIDSTATSMRLTWVSGDKEPQQVQYGDGKSQTSEVATFSAADMCSEFRLGSVVVPSPAKDFGWHDPGYIHTAVMSGLQPSSTFNFKYGSDAVGWSAEIQFRTPPAGGSDELKFLVFGDMGKAPLDSSAEHYIQPGSISVIKGMTEEVENGNVDSIFHIGDISYATGFLVEWDYFLNLITPLASKVSYMTAIGNHERDYSDSGSWYTGPDSGGECGVPYETYFPMPTPAKDKPWYSIEQGSVHFTVISTEHDWTEKSEQYEWMKTDMASVDRSKTPWLVFTGHRPMYSSLGADDQFLETVEPLLLNNKVDLVLFGHVHNYERTCSIYKSECLAMPEKDKNGIDTYDNTNYTAPVQAIVGMAGFSLDKFPDDAPSWSLVRISEFGYVRAHATKDELKLEFVNSDTKNVDDSFIITKK >KJB79234 pep chromosome:Graimondii2_0_v6:13:3074495:3083582:-1 gene:B456_013G038600 transcript:KJB79234 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 4A [Source:Projected from Arabidopsis thaliana (AT1G10930) UniProtKB/Swiss-Prot;Acc:Q8L840] MPDQSNSVESQKCYDKLPNVNWLQHFDAHDKFACQKKFLCTNFLFSLEGQKPQGTMFARLTSRQIQNSQIFQHTQVEKAWQILSSLPASCRTYLKPGTSAPVKTSTDEISHNRRGRSTLVEPSGMKRSEHMLVHPNSSETDGKVNGFGRCMTSSFPSNNANTMESGNNLRGNSGITTSMFSHSNSKVSGGSLKNQTFHGVQQEQSAEVLANEIDDDDLLKDIDVDQIVSEHYQSTCTPQPSVSKFPPITPSVDKNAFAGQETCLPPELCSNCSHGCKLGHCPEAASHVQEMKDMLIAVSNELLDNATNLSPEQIEKLRQDRLLLNKQIQLLEKYISDVERQKSNFSASTATLSFQYGTPQTTSLRPNLIQFDTQVHSRNEPNGYDNWNSPTVPFSSVNSFGVPSGPIEREPYIPQIIDVNYIEGSNDQKWSSRDFPWTRKLEANNKKVFGNHSFRPNQREVINATMSGYDVFVLMPTGGGKSLTYQLPALICPGITLVISPLVSLIQDQIMHLLQANIPAAYLSANMDWSEQQEILRELTSDYCKYKLLYVTPEKVARSDVLLRHLNILNSRDLLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPKTPVLALTATATASVKEDVVQALGLINCIIFRQSFNRPNLWYSVIPKTKKCVEDIDKFIKENHFDECGIIYCLSRMDCEKVAEKLQEYGHKAAFYHGNMDPAQRAFIQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCLLYYSYSDYIRVKHMISQGAAEQSPLITGHSRFNNSGRILETNTENLLRMVSYCENDVDCRRLLQLLHFGEKFDSTHCQKTCDNCCKTSCSVDKDVTNIAKQLVELVKLTGQQFSSSHILEVYRGSLSQFVKKHRHETLSLHGVGKHLAKGEASRIIHHLVVEEYLLEDVKKSDIYGSVSSVLKVNESKVKNLFSGRQAIIIRFPSTVKVGKLSKPEVTPAKGSLTTSGKLSPPRVDTPAQFQSIVDLNLSAKLYSALRMLRTILVKEAGEGVMAYHIFGNATLQHISKRVPRTKEELLEINGIGKAKILKYGDRLLETIEATIKEHYKTDKINSGSSNDSNDSAKRRRNTNANIDNDDDFSRSTGRSKRRTVERQDKDGNGDNNHQYPADENDLDFDDLDYVYDVESKENRPQVEVNINGRMLPSWPRT >KJB79235 pep chromosome:Graimondii2_0_v6:13:3074495:3083714:-1 gene:B456_013G038600 transcript:KJB79235 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 4A [Source:Projected from Arabidopsis thaliana (AT1G10930) UniProtKB/Swiss-Prot;Acc:Q8L840] MPDQSNSVESQKCYDKLPNVNWLQHFDAHDKFACQKKFLCTNFLFSLEGQKPQGTMFARLTSRQIQNSQIFQHTQVEKAWQILSSLPASCRTYLKPGTSAPVKTSTDEISHNRRGRSTLVEPSGMKRSEHMLVHPNSSETDGKVNGFGRCMTSSFPSNNANTMESGNNLRGNSGITTSMFSHSNSKVSGGSLKNQTFHGVQQEQSAEVLANEIDDDDLLKDIDVDQIVSEHYQSTCTPQPSVSKFPPITPSVDKNAFAGQETCLPPELCSNCSHGCKLGHCPEAASHVQEMKDMLIAVSNELLDNATNLSPEQIEKLRQDRLLLNKQIQLLEKYISDVERQKSNFSASTATLSFQYGTPQTTSLRPNLIQFDTQVHSRNEPNGYDNWNSPTVPFSSVNSFGVPSGPIEREPYIPQIIDVNYIEGSNDQKWSSRDFPWTRKLEANNKKVFGNHSFRPNQREVINATMSGYDVFVLMPTGGGKSLTYQLPALICPGITLVISPLVSLIQDQIMHLLQANIPAAYLSANMDWSEQQEILRELTSDYCKYKLLYVTPEKVARSDVLLRHLNILNSRDLLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPKTPVLALTATATASVKEDVVQALGLINCIIFRQSFNRPNLWYSVIPKTKKCVEDIDKFIKENHFDECGIIYCLSRMDCEKVAEKLQEYGHKAAFYHGNMDPAQRAFIQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCLLYYSYSDYIRVKHMISQGAAEQSPLITGHSRFNNSGRILETNTENLLRMVSYCENDVDCRRLLQLLHFGEKFDSTHCQKTCDNCCKTSCSVDKDVTNIAKQLVELVKLTGQQFSSSHILEVYRGSLSQFVKKHRHETLSLHGVGKHLAKGEASRIIHHLVVEEYLLEDVKKSDIYGSVSSVLKVNESKVKNLFSGRQAIIIRFPSTVKVGKLSKPEVTPAKGSLTTSGKLSPPRVDTPAQFQSIVDLNLSAKLYSALRMLRTILVKEAGEGVMAYHIFGNATLQHISKRVPRTKEELLEINGIGKAKILKYGDRLLETIEATIKEHYKTDKINSGSSNDSNDSAKRRRNTNANIDNDDDFSRSTGRSKRRTVERQDKDGNGDNNHQYPADENDLDFDDLDYVYDVESKENRPQVEVNINGRMLPSWPRT >KJB80584 pep chromosome:Graimondii2_0_v6:13:21037791:21042027:1 gene:B456_013G105100 transcript:KJB80584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSSISPKEPRHRVSTFFEDTSRRGFQRNKVFKDVEKDLNVPIRYRNWNCKISTLKAVLVIILLGTLFTLLCSPAVYVSDRPSNSASRSGFVDRWISDSAAYDPRYISLMDVNWDQISTIIEKLTDRDEYQGIGLLNFNNSETDQWKQLLPDAEHVVLQLDHAAENITWESLYPEWIDEEEEFEVPNCPSLPSLQVPGKPRIDLIAVKLPCNKQGKWSRDVARLHFQLAAARLAASSKGIRPVHVLFMTDCFPIPNLFTCKDLVARQGNAWLYTPNLHRLREKIQLPVGSCELSAPLQAKEYFHSERAGREAYATILHSAHVYVCGAITAAQSIRMSGSTRDLVILVDDSIGDYHRGGLEAAGWKIYTIQRIRNPKAEPEAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFEMPEISAIGNNATLFNSGVMVIEPSNCTFQILMDHINEIKSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEKKQMKTQLFGADPPILYVIHYLGNKPWLCFRDYDCNWNVDILQEFASDVAHKTWWKVHDAMPGNLQKYCLLRSKQKAQLEWDRRQAEKGNYTDGHWKIKIKDKRLKKCFEEFCFWESMLWHWGEKNWTDNATSTLSLPATYKASLSLL >KJB80585 pep chromosome:Graimondii2_0_v6:13:21039322:21042027:1 gene:B456_013G105100 transcript:KJB80585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNWDQISTIIEKLTDRDEYQGIGLLNFNNSETDQWKQLLPDAEHVVLQLDHAAENITWESLYPEWIDEEEEFEVPNCPSLPSLQVPGKPRIDLIAVKLPCNKQGKWSRDVARLHFQLAAARLAASSKGIRPVHVLFMTDCFPIPNLFTCKDLVARQGNAWLYTPNLHRLREKIQLPVGSCELSAPLQAKEYFHSERAGREAYATILHSAHVYVCGAITAAQSIRMSGSTRDLVILVDDSIGDYHRGGLEAAGWKIYTIQRIRNPKAEPEAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFEMPEISAIGNNATLFNSGVMVIEPSNCTFQILMDHINEIKSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEKKQMKTQLFGADPPILYVIHYLGNKPWLCFRDYDCNWNVDILQEFASDVAHKTWWKVHDAMPGNLQKYCLLRSKQKAQLEWDRRQAEKGNYTDGHWKIKIKDKRLKKCFEEFCFWESMLWHWGEKNWTDNATSTLSLPATYKASLSLL >KJB83685 pep chromosome:Graimondii2_0_v6:13:57383564:57385202:-1 gene:B456_013G258300 transcript:KJB83685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSESESVAYGGIKKTGSPTQAPESPHEESTPDRSNSSPNKQNSIFSLTLDEIQLKSGKTFGSMNMDEFLANLWNVEENQQVISQPEPIDGDRGIGCQPSLARQGSFSVPTPLCKKTVDEVWFEIQKELPQPREANDVADHEPPQRQQTLGEITLEDFLIKAGVVQEPSGSSQQNKASPLRINGASLDANYIMDAPIRNNNASMDANFGMGHMIGLGFPRHQIVSNGYAAGYSIFAQTVMGESSNGTENGNRTNSLLQPAVAPQNKKRIVDGPPEVVVERRQRRMIKNRESAARSRARRQAYTVELELELNQLKRENAKLKQLQEENDKRRKEEVLKRKKELTCAKKKVDKMMTLRRTVSLGW >KJB83683 pep chromosome:Graimondii2_0_v6:13:57383381:57385739:-1 gene:B456_013G258300 transcript:KJB83683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSESESVAYGGIKKTGSPTQAPESPHEESTPDRSNSSPNKQNSIFSLTLDEIQLKSGKTFGSMNMDEFLANLWNVEENQQVISQPEPIDGDRGIGCQPSLARQGSFSVPTPLCKKTVDEVWFEIQKELPQPREANDVADHEPPQRQQTLGEITLEDFLIKAGVVQEPSGSSQQNKASPLRINGASLDANYIMDAPIRNNNASMDANFGMGHMIGLGFPRHQIVSNGYAAGYSIFAQTVMGESSNGTENGNRTNSLLQPAVAPQNKKRIVDGPPEVVVERRQRRMIKNRESAARSRARRQAYTVELELELNQLKRENAKLKQLVEENDKRRKEEVLKRKKELTCAKKKVDKMMTLRRTVSLGW >KJB83684 pep chromosome:Graimondii2_0_v6:13:57383381:57385739:-1 gene:B456_013G258300 transcript:KJB83684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSESESVAYGGIKKTGSPTQAPESPHEESTPDRSNSSPNKQNSIFSLTLDEIQLKSGKTFGSMNMDEFLANLWNVEENQQVISQPEPIDGDRGIGCQPSLARQGSFSVPTPLCKKTVDEVWFEIQKELPQPREANDVADHEPPQRQQTLGEITLEDFLIKAGVVQEPSGSSQQNKASPLRINGASLDANYIMDAPIRNNNASMDANFGMGHMIGLGFPRHQIVSNGYAAGYSIFAQTVMGESSNGTENGNRTNSLLQPAVAPQNKKRIVDGPPEVVVERRQRRMIKNRESAARSRARRQAYTVELELELNQLKRENAKLKQLEENDKRRKEEVLKRKKELTCAKKKVDKMMTLRRTVSLGW >KJB81274 pep chromosome:Graimondii2_0_v6:13:36331203:36331406:-1 gene:B456_013G135900 transcript:KJB81274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSGGGSKGGCGGSGGGSGNVGGGSTSKGGGGASGMMVAPGSGGAATISRGAFESNPKGYVKVESNSP >KJB83620 pep chromosome:Graimondii2_0_v6:13:57191767:57194070:1 gene:B456_013G255300 transcript:KJB83620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRNQLSRNLKELRILFCQTSPASAPARLFVEKNYKDLKTLNPKLPILIRECRGIEPQMWARYDMGVEKGIRLEGLSEPQILKALEDLAKAGASTKA >KJB83621 pep chromosome:Graimondii2_0_v6:13:57191790:57194061:1 gene:B456_013G255300 transcript:KJB83621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRNQLSRNLKELRILFCQTSPASAPARLFVEKNYKDLKTLNPKLPILIRECRGIEPQMWARYDMGVEKGIRLEGLSEPQILKALEDLAKAGASTKA >KJB82359 pep chromosome:Graimondii2_0_v6:13:49368349:49370301:-1 gene:B456_013G192500 transcript:KJB82359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVEAALKWNRREKRQMRKLQRAVREKDRERASLKRKKEDMVAKEAAKQKVVDEFMPFFVAIANNDMETAQNFDETAMMNTIRTTLNDGEGHAGHNAD >KJB82358 pep chromosome:Graimondii2_0_v6:13:49368349:49370011:-1 gene:B456_013G192500 transcript:KJB82358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQDVIMAMAVEAALKWNRREKRQMRKLQRAVREKDRERASLKRKKEDMVAKEAAKQKVVDEFMPFFVAIANNDMETAQNFDETAMMNTIRTTLNDGEGHAGHNAD >KJB82091 pep chromosome:Graimondii2_0_v6:13:46521255:46521666:-1 gene:B456_013G175800 transcript:KJB82091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQCCLYCSWGFIKKAGGNQSLEIITVCVYDMVLHGMNCCSVNFSGLVLWNQQNKKTNIAYLLFLTNFTFNWMIYRMTEFLFTKILLPPYIFINLIIALITN >KJB79937 pep chromosome:Graimondii2_0_v6:13:8880865:8889104:1 gene:B456_013G073400 transcript:KJB79937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKSDSDFLKEFYIPAYIFNDETKFSDLRDVPEFPVLVFINSKSGGQLGGDLLNTYRSVLNEHQIFDVGEEAPDKVLRRVYTRLEKLKQEKDEFATKIHERLRIIVAGGDGTAGWLLGVVCDLKLPHPPPIATVPLGTGNNLPFSFGWGKKNPGTDRNSVLSFLEQVMKAKEMKIDNWHILMRMRAPKEGPCDPIPPLELPHSLHAFGRVSSTDELNMEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKLGCTQGWFAASVFHPSSKNVAQLAKVKIMKKHGQWQDLHIPQSIRSIICLNLPSFSGGLNPWGTPSGRKKNERDLTAPYVDDGLLEVVGFRDAWHGLVLLAPKGHGTRLAQAHRIRFEFHKGAADHTYMRIDGEPWKQPLPKDDDAVVVEISHLGQVNMLATQNCRSKSVNDVSSSTSHHNGEEDDDFSDEDDSRAEPEFRKFGAADTFRIPDEIDISQLS >KJB79939 pep chromosome:Graimondii2_0_v6:13:8881817:8887586:1 gene:B456_013G073400 transcript:KJB79939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKSDSDFLKEFYIPAYIFNDETKFSDLRDVPEFPVLVFINSKSGGQLGGDLLNTYRSVLNEHQIFDVGEEAPDKVLRRVYTRLEKLKQEKDEFATKIHERLRIIVAGGDGTAGWLLGVVCDLKLPHPPPIATVPLGTGNNLPFSFGWGKKNPGTDRNSVLSFLEQVMKAKEMKIDNWHILMRMRAPKEGPCDPIPPLELPHSLHAFGRVSSTDELNMEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKLGCTQGWFAASVFHPSSKNVAQLAKVKIMKKHGQWQDLHIPQSIRSIICLNLPSFSGGLNPWGTPSGRKKNEVKRLDCTVCR >KJB79941 pep chromosome:Graimondii2_0_v6:13:8880800:8889215:1 gene:B456_013G073400 transcript:KJB79941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKSDSDFLKEFYIPAYIFNDETKFSDLRDVPEFPVLVFINSKSGGQLGGDLLNTYRSVLNEHQIFDVGEEAPDKVLRRVYTRLEKLKQEKDEFATKIHERLRIIVAGGDGTAGWLLGVVCDLKLPHPPPIATVPLGTGNNLPFSFGWGKKNPGTDRNSVLSFLEQVMKAKEMKIDNWHILMRMRAPKEGPCDPIPPLELPHSLHAFGRVSSTDELNMEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKLGCTQGWFAASVFHPSSKNVAQLAKVKIMKKHGQWQDLHIPQSIRSIICLNLPSFSGGLNPWGTPSGRKKNERDLTAPYVDDGLLEVVGFRDAWHGLVLLAPKGHGTRLAQAHRIRFEFHKGAADHTYMRIDGEPWKQPLPKDDDAVVVEISHLGQVNMLATQNCRSKSVNDVSSSTSHHNGEEDDDFSDEDDSRAEPEFRKFGAADTFRIPDEIDISQLS >KJB79936 pep chromosome:Graimondii2_0_v6:13:8878416:8889104:1 gene:B456_013G073400 transcript:KJB79936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSPLSRRNHQNENSSGGHGRCRRKSRFKPNQLATMAESKSDSDFLKEFYIPAYIFNDETKFSDLRDVPEFPVLVFINSKSGGQLGGDLLNTYRSVLNEHQIFDVGEEAPDKVLRRVYTRLEKLKQEKDEFATKIHERLRIIVAGGDGTAGWLLGVVCDLKLPHPPPIATVPLGTGNNLPFSFGWGKKNPGTDRNSVLSFLEQVMKAKEMKIDNWHILMRMRAPKEGPCDPIPPLELPHSLHAFGRVSSTDELNMEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKLGCTQGWFAASVFHPSSKNVAQLAKVKIMKKHGQWQDLHIPQSIRSIICLNLPSFSGGLNPWGTPSGRKKNERDLTAPYVDDGLLEVVGFRDAWHGLVLLAPKGHGTRLAQAHRIRFEFHKGAADHTYMRIDGEPWKQPLPKDDDAVVVEISHLGQVNMLATQNCRSKSVNDVSSSTSHHNGEEDDDFSDEDDSRAEPEFRKFGAADTFRIPDEIDISQLS >KJB79940 pep chromosome:Graimondii2_0_v6:13:8880800:8889181:1 gene:B456_013G073400 transcript:KJB79940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKSDSDFLKEFYIPAYIFNDETKFSDLRDVPEFPVLVFINSKSGGQLGGDLLNTYRSVLNEHQIFDVGEEAPDKVLRRVYTRLEKLKQEKDEFATKIHERLRIIVAGGDGTAGWLLGVVCDLKLPHPPPIATVPLGTGNNLPFSFGWGKKNPGTDRNSVLSFLEQVMKAKEMKIDNWHILMRMRAPKEGPCDPIPPLELPHSLHAFGRVSSTDELNMEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKLGCTQGWFAASVFHPSSKNVAQLAKVKIMKKHGQWQDLHIPQSIRSIICLNLPSFSGGLNPWGTPSGRKKNERDLTAPYVDDGLLEVVGFRDAWHGLVLLAPKGHGTRLAQAHRIRFEFHKGAADHTYMRIDGEPWKQPLPKDDDAVVVEISHLGQVNMLATQNCRSKSVNDVSSSTSHHNGEEDDDFSDEDDSRAEPEFRKFGAADTFRIPDEIDISQLS >KJB79938 pep chromosome:Graimondii2_0_v6:13:8880929:8889104:1 gene:B456_013G073400 transcript:KJB79938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKSDSDFLKEFYIPAYIFNDETKFSDLRDVPEFPVLVFINSKSGGQLGGDLLNTYRSVLNEHQIFDVGEEAPDKVLRRVYTRLEKLKQEKDEFATKIHERLRIIVAGGDGTAGWLLGVVCDLKLPHPPPIATVPLGTGNNLPFSFGWGKKNPGTDRNSVLSFLEQVMKAKEMKIDNWHILMRMRAPKEGPCDPIPPLELPHSLHAFGRVSSTDELNMEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKLGCTQGWFAASVFHPSSKNVAQLAKVKIMKKHGQWQDLHIPQSIRSIICLNLPSFSGGLNPWGTPSGRKKNERDLTAPYVDDGLLEVVGFRDAWHGLVLLAPKGHGTRLAQAHRIRFEFHKGAADHTYMRIDGEPWKQPLPKDDDAVVVEISHLGQVNMLATQNCRSKSVNDVSSSTSHHNGEEDDDFSDEDDSRAEPEFRKFGAADTFRIPDEIDISQLS >KJB80759 pep chromosome:Graimondii2_0_v6:13:28197936:28202718:1 gene:B456_013G114400 transcript:KJB80759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLKKVAADLRSSIWKQMSGAGIKYIPSNTFSYYDQVLDTTSMLGAVPPRYNWTGGEIGYDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVDEFKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKTFSLLSLLPKIIPIYKEVISELKAAGASWIQFDEPTLVLDLDSQQLQAFTAAYADLESTLSGLNVLIETYFADLTPEAYKTLIGLKGVTAYGLDLVRGTQTTDLVKKDFPKGKYLFAGVVDGRNIWANDLASSLSTMQALEAVVGKDKLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSSNAAAQASRKSSPRVTNAAVQKAADALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREFKANKISEDDYVKAIKEEIKKVVNLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSTAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYLKWAVHSFRITNCGVKDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYEEVKPALNNMVAAAKQLRTKLASAK >KJB80761 pep chromosome:Graimondii2_0_v6:13:28197569:28202745:1 gene:B456_013G114400 transcript:KJB80761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLKKVAADLRSSIWKQMSGAGIKYIPSNTFSYYDQVLDTTSMLGAVPPRYNWTGGEIGYDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVDEFKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKTFSLLSLLPKIIPIYKEVISELKAAGASWIQFDEPTLVLDLDSQQLQAFTAAYADLESTLSGLNVLIETYFADLTPEAYKTLIGLKGVTAYGLDLVRGTQTTDLVKKDFPKGKYLFAGVVDGRNIWANDLASSLSTMQALEAVVGKDKLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSSNAAAQASRKSSPRVTNAAVQKAADALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREFKANKISEDDYVKAIKEEIKKVVNLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSTAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYLKWAVHSFRITNCGVKDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYEEVKPALNNMVAAAKQLRTKLASAK >KJB80760 pep chromosome:Graimondii2_0_v6:13:28197670:28202694:1 gene:B456_013G114400 transcript:KJB80760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLKKVAADLRSSIWKQMSGAGIKYIPSNTFSYYDQVLDTTSMLGAVPPRYNWTGGEIGYDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVDEFKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKTFSLLSLLPKIIPIYKEVISELKAAGASWIQFDEPTLVLDLDSQQLQAFTAAYADLESTLSGLNVLIETYFADLTPEAYKTLIGLKGVTAYGLDLVRGTQTTDLVKKDFPKGKYLFAGVVDGRNIWANDLASSLSTMQALEAVVGKDKLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSSNAAAQASRKSSPRVTNAAVQKAADALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREFKANKISEDDYVKAIKEEIKKVVNLQEELDIDVLVHGEPEAKTIWSSTLVSSCLVLLSLLMGGCNLMVLAVSSHRSSMVMLAAPRQ >KJB80758 pep chromosome:Graimondii2_0_v6:13:28197610:28202718:1 gene:B456_013G114400 transcript:KJB80758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLKKVAADLRSSIWKQMSGAGIKYIPSNTFSYYDQVLDTTSMLGAVPPRYNWTGGEIGYDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVDEFKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKTFSLLSLLPKIIPIYKEVISELKAAGASWIQFDEPTLVLDLDSQQLQAFTAAYADLESTLSGLNVLIETYFADLTPEAYKTLIGLKGVTAYGLDLVRGTQTTDLVKKDFPKGKYLFAGVVDGRNIWANDLASSLSTMQALEAVVGKDKLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSSNAAAQASRKSSPRVTNAAVQKAADALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREFKANKISEDDYVKAIKEEIKKVVNLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSTAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYLKWAVHSFRITNCGVKDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYEEVKPALNNMVAAAKQLRTKLASAK >KJB81255 pep chromosome:Graimondii2_0_v6:13:35725747:35729851:-1 gene:B456_013G134800 transcript:KJB81255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGIATKSGFFLFLLLLLQNLLVMASKFLPSRLPLPLNSPRFHRLPSTNKTMIPIASFSSSSPSASSVDNSVRKQEEEEEELQEKVAQALTYHHQTKHGFANYARGPRGLDWANQPNPFRRYISAPLLPLLHFPTDRPIVAVTDDAPLYSSLFHSLPPPKPISHSTISQLFFDSLALSAWKTTGFSTWSLRVNPSSGNLHPTEAYLIAPPIQSISDSPFVAHYAPKEHSLELRATMPSGFFPNFFPDNSFLIGISSIFWREAWKYGERAFRYCNHDVGHAIGAIAMAAATLGWDVKLLDGFGYKDLEKLMGLQFFPDFKIPSRPIKGKFPEIEFEHPDCLILVFPNGSNPFDVNYKELSSVVNEFTNLEWKGKSNSLSKEHICWDIIYRTAEAVKKPLTIQKGQFLVDQFKSSGICSENSYKGFTIREVVRKRRSAVDMDGVTVMDRQTFYQILLHCLPSGKGEKHRRQSTLPFRALSWDAEVHAAIFVHRVIGLPKGLYFLVRNEDHLEELKMATRSEFKWEKPEGCPDDLPLYELASSDCRELAKRLSCHQDIASDGCFSLGMVAHFEPTLSEKGAWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCFFDDPVHELLGFRGSKFQSLYHFTVGGPVLDKRIMSLPAYPGPSIDA >KJB81254 pep chromosome:Graimondii2_0_v6:13:35725431:35729842:-1 gene:B456_013G134800 transcript:KJB81254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFLPSRLPLPLNSPRFHRLPSTNKTMIPIASFSSSSPSASSVDNSVRKQEEEEEELQEKVAQALTYHHQTKHGFANYARGPRGLDWANQPNPFRRYISAPLLPLLHFPTDRPIVAVTDDAPLYSSLFHSLPPPKPISHSTISQLFFDSLALSAWKTTGFSTWSLRVNPSSGNLHPTEAYLIAPPIQSISDSPFVAHYAPKEHSLELRATMPSGFFPNFFPDNSFLIGISSIFWREAWKYGERAFRYCNHDVGHAIGAIAMAAATLGWDVKLLDGFGYKDLEKLMGLQFFPDFKIPSRPIKGKFPEIEFEHPDCLILVFPNGSNPFDVNYKELSSVVNEFTNLEWKGKSNSLSKEHICWDIIYRTAEAVKKPLTIQKGQFLVDQFKSSGICSENSYKGFTIREVVRKRRSAVDMDGVTVMDRQTFYQILLHCLPSGKGEKHRRQSTLPFRALSWDAEVHAAIFVHRVIGLPKGLYFLVRNEDHLEELKMATRSEFKWEKPEGCPDDLPLYELASSDCRELAKRLSCHQDIASDGCFSLGMVAHFEPTLSEKGAWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCFFDDPVHELLGFRGSKFQSLYHFTVGGPVLDKRIMSLPAYPGPSIDA >KJB81256 pep chromosome:Graimondii2_0_v6:13:35725431:35729851:-1 gene:B456_013G134800 transcript:KJB81256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGIATKSGFFLFLLLLLQNLLVMASKFLPSRLPLPLNSPRFHRLPSTNKTMIPIASFSSSSPSASSVDNSVRKQEEEEEELQEKVAQALTYHHQTKHGFANYARGPRGLDWANQPNPFRRYISAPLLPLLHFPTDRPIVAVTDDAPLYSSLFHSLPPPKPISHSTISQLFFDSLALSAWKTTGFSTWSLRVNPSSGNLHPTEAYLIAPPIQSISDSPFVAHYAPKEHSLELRATMPSGFFPNFFPDNSFLIGISSIFWREAWKYGERAFRYCNHDVGHAIGAIAMAAATLGWDVKLLDGFGYKDLEKLMGLQFFPDFKIPSRPIKGKFPEIEFEHPDCLILVFPNGSNPFDVNYKELSSVVNEFTNLEWKGKSNSLSKEHICWDIIYRTAEAVKKPLTIQKGQFLVDQFKSSGICSENSYKGFTIREVVRKRRSAVDMDGVTVMDRQTFYQILLHCLPSGKGEKHRRQSTLPFRALSWDAEVHAAIFVHRVIGLPKGLYFLVRNEDHLEELKMATRSEFKWEKPEGCPDDLPLYELASSDCRELAKRLSCHQDIASDGCFSLGMVAHFEPTLSEKGAWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCFFDDPVHELLGFRGSKFQSLYHFTVGGPVLDKRIMSLPAYPGPSIDA >KJB83388 pep chromosome:Graimondii2_0_v6:13:56396859:56399036:1 gene:B456_013G245000 transcript:KJB83388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLMLISMLFLLAGSMLMVQGGDPTLFFEWNVTYGTIAPLGVPVKGILINGQFPGPNLNSTTNNNIVVNVFNNLDEPFLVTWDGVQHRKNSWQDGVLGTNCPIPPGKNYTYKFQVKDQVGSYMYYPVTAMHKAVGGFGGLRVNSRLLIPVPYADPADDYTLLVGDFFNKGHTSLKKILDSGRNLGRCDGVHLNGKVAKGDGKDEPLFTMEAGKTYKYRICNTGIKTSLNVRFQGHTMKLVEMEGSHTMQNDYDSLDVHVGQCFSVLVTANQEPRDYYVVASTRFTRREVTATGIIRYKNGKGAASSELPPPPVGWAWSLNQFRTFRWNLTSNAARPNPQGSYKYGSINITRTIKLANTAQRVDGKLRYALNGVSYVEPTTPLKLAEYYGVADKVFKYDTIPDEPPSDNTRVTLAPIVLNMTHRNFVEIIFENHETAIQSYHLSGYSFFAVGMDIGKWSPEKRMNYNLLDAVSRHTIQVFPNSWSAILLTFDNCGMWNLRSEIWDRHYLGQQLYASVISPNRSLKDEYNLPEGVLTCGIVQGMPRPPPFSS >KJB83389 pep chromosome:Graimondii2_0_v6:13:56396852:56398947:1 gene:B456_013G245000 transcript:KJB83389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLMLISMLFLLAGSMLMVQGGDPTLFFEWNVTYGTIAPLGVPVKGILINGQFPGPNLNSTTNNNIVVNVFNNLDEPFLVTWDGVQHRKNSWQDGVLGTNCPIPPGKNYTYKFQVKDQVGSYMYYPVTAMHKAVGGFGGLRVNSRLLIPVPYADPADDYTLLVGDFFNKGHTSLKKILDSGRNLGRCDGVHLNGKVAKGDGKDEPLFTMEAGKTYKYRICNTGIKTSLNVRFQGHTMKLVEMEGSHTMQNDYDSLDVHVGQCFSVLVTANQEPRDYYVVASTRFTRREVTATGIIRYKNGKGAASSELPPPPVGWAWSLNQFRTFRWNLTSNAARPNPQGSYKYGSINITRTIKLANTAQRVDGKLRYALNGVSYVEPTTPLKLAEYYGVADKVFKYDTIPDEPPSDNTRVTLAPIVLNMTHRNFVEIIFENHETAIQSYHLSGYSFFAVGMDIGKWSPEKRMNYNLLDAVSRHTIQVFPNSWSAILLTFDNCGMWNLRSEIWDRHYLGQQLYASVISPNRSLKDEYNLPEGVLTCGIVQGMPRPPPFSS >KJB78588 pep chromosome:Graimondii2_0_v6:13:580094:582112:-1 gene:B456_013G008600 transcript:KJB78588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKNYGHQHPLLMLNEEQLLGNGNGVVDCSRCGEKVSAPCFSCVECCGFYLHRTCAEAPLELNHPFHRHHPLLLLQNPPYTSDTRCVCDFCNEACEKFIYHCSCGLDFHIKCALFTFNIAERNLKELEHVALEDPSFSSKNDGGNLGKCFACWEPLAIDTYFSLDCGFNLHKKCAELPPKMGHVCHRKHPLLLQFNSERLSCKICQVTQQRGFLYGCSTCNLAIHIDCLSPLPVIEDKSHQHPFTLFWRQIPFICDACGTEGHHVAYECCTCSIMVHKKCISLPRIIRHVYHVHRVFHTYFIHKEYSESLNCIWCHEVVDTEYGSYFCADCNVIFHVNCALKEKEWYCIVSQENEDDKSLDIPVNSITKVLETNDAGEATLIEHCKHRHYLMLSDKISEHGDKCCDGCLLLISAKFYHCMRCDFFLHKSCAELPKMDLILDHRCAGKPFSGSKSFILTSDCMFECDICGYLSNGFSYKCNECGIHRCVQCATLQNDVKIPGHKHPLLFYYNYEEQCSGCGTDISCAFRCKDCNFHLCNLCVLRPTRVRHKCDQHILALTYDKVNDYLEYHYCDICEENKDSKNWFYHCETCDTSAHVDCVLGKYPLIKLGSTYNEGDHPHPLTFVKKFPYYPECVECGKSCEDLSLEYAEPGCKYIAHWECRKSAIRGRC >KJB78574 pep chromosome:Graimondii2_0_v6:13:510951:511611:1 gene:B456_013G0076002 transcript:KJB78574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKNYGHQHPLLMLNEEQLLGNGNGVVDCSRCGEKVSAPCFSCVECCGFYLHKTCAQAPLELNHPFHRHHPLVLLQNPPSSYTRCVCAFCDETCEKFIYHCSCGLDFHIKCALFTFNIAERNLKELEHVALEDPSFSPKNDGGNLGKCFACWEPLARYTYFSLDCGFNLHKKCAELPLKLNHLCHRKHPLVLQFNSERLSCKTCLVTQERGFVYGCSP >KJB80049 pep chromosome:Graimondii2_0_v6:13:10408114:10409875:1 gene:B456_013G078500 transcript:KJB80049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAGYSYSWFLLSFFALIPTLRAHIAEYDKYWKARELEAIENLNKAYHPNPEEVVRHYNDHFVNTMLEYNNTRRVLKGKKNGPCEITNPVDSCWRCDPNWVKNRKRLADCAPGFARGTTGGKDGKFYVVTDPNDDIANPKPGTLRHAVTQLRPLWITFKKSMIIKLKQELIVTSDKTIDARGANVHICYGAGITVQFSRNVIIHGLHIHHIKPATGGIIRDAENHIGLRTASDGDAISLFGATNIWLDHLSLYDSSDGLIDVIQGSTAITISNCHFTDHNEVLLFGASDNYVADEKMQITVALNRFGKGLVDRMPRCRLGFFHVVNNDYTHWFMYAIGGSSHPTIISQGNRYIASSIYVTKQVTSRGYLSPEQWNKWNWVSQGDHFINGAYFITSGDPNASKLFGAEKMMPFQPGRLVPKLTRYAGTLHCRIGRPC >KJB83838 pep chromosome:Graimondii2_0_v6:13:57949650:57956610:1 gene:B456_013G267000 transcript:KJB83838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRLTSMSASSPVESILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLRYIVEEPSEDDDSKRTFKFPFIACEIFTCEIDVILKTLIEDEEFMNLLFSFLEPNRSHSALLAGYFSKVVICLMLRKTIPLMHYVQTHQEVLRQLVDLIGITSIMEVLVRLVGADDHVYPNFLDVMQWLADSNLLEMIVDKLNPSCPPEVHGNAAETLCAITRNAPSALATKLSSPSFVARIFGHALEDSHSKYGLVHSLSVCISLLDPKRSAIASPLMHYFRNQHMYEPPIPVNPETINAMLPKLGDLLMLLNVSSDEKILPTTYGELRPPLGKHRLKIVEFIAVLLRTGNEAAEKELVGSGTIQRVLDLFFEYPYNNALHHHVESIILSCLESKNDAILGHLLHKCDLIGRFLQTEKQPILSGDNNLPTLPVAGKHAPRAGNIGHITRISNKLVQLGSSNSCIQAYLQENSEWNEWQANVLQERNAVENVYRWACGRPTSLQDRTRDSDEDDIHDRDYDVAALANNLSQAFRYKIYGNDDNEEDRGALDRDDEDVNFDDESAEVVISSLRLGDDQGSSLFTNSNWFAFQDEGTSSAPMAISPTEVMHEINLNGTTNSNNSSSDDEVVVGEDDEMNENSTSTSNPMNGFNNSVSSGDLNLQENENLFGGRPSPSGLQVVGSSKNPFLDDDNPDVNPPSPIDTVMTDGESLLNGESILPNGSLDSMDSSEGSMSSDTSQKSPPLVPSLFEEDVEFVGVELEGTEKPMEQALKEGIVGEARPLKRNGSGIPTLEKEKSEESTGIKEFNDSNYWRVDHEVTVLE >KJB83839 pep chromosome:Graimondii2_0_v6:13:57949661:57956677:1 gene:B456_013G267000 transcript:KJB83839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRLTSMSASSPVESILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLRYIVEEPSEDDDSKRTFKFPFIACEIFTCEIDVILKTLIEDEEFMNLLFSFLEPNRSHSALLAGYFSKVVICLMLRKTIPLMHYVQTHQEVLRQLVDLIGITSIMEVLVRLVGADDHVYPNFLDVMQWLADSNLLEMIVDKLNPSCPPEVHGNAAETLCAITRNAPSALATKLSSPSFVARIFGHALEDSHSKYGLVHSLSVCISLLDPKRSAIASPLMHYFRNQHMYEPPIPVNPETINAMLPKLGDLLMLLNVSSDEKILPTTYGELRPPLGKHRLKIVEFIAVLLRTGNEAAEKELVGSGTIQRVLDLFFEYPYNNALHHHVESIILSCLESKNDAILGHLLHKCDLIGRFLQTEKQPILSGDNNLPTLPVAGKHAPRAGNIGHITRISNKLVQLGSSNSCIQAYLQENSEWNEWQANVLQERNAVENVYRWACGRPTSLQDRTRDSDEDDIHDRDYDVAALANNLSQAFRYKIYGNDDNEEDRGALDRDDEDVNFDDESAEVVISSLRLGDDQGSLFTNSNWFAFQDEGTSSAPMAISPTEVMHEINLNGTTNSNNSSSDDEVVVGEDDEMNENSTSTSNPMNGFNNSVSSGDLNLQENENLFGGRPSPSGLQVVGSSKNPFLDDDNPDVNPPSPIDTVMTDGESLLNGESILPNGSLDSMDSSEGSMSSDTSQKSPPLVPSLFEEDVEFVGVELEGTEKPMEQALKEGIVGEARPLKRNGSGIPTLEKEKSEESTGIKEFNDSNYWRVDHEVTVLE >KJB79436 pep chromosome:Graimondii2_0_v6:13:4470675:4471952:1 gene:B456_013G049700 transcript:KJB79436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKTYGPGSRACRVCGNPHAIIRKYGLMCCRQCFRSNAKEIGFIKYR >KJB81542 pep chromosome:Graimondii2_0_v6:13:40957611:40958353:1 gene:B456_013G149400 transcript:KJB81542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFGRICMCCTCLVIIVIVIGTLFGFGVFKKGIEKIKNSTHDEIDFYPCDPLFSPCGRPVLGYGAPLQF >KJB79129 pep chromosome:Graimondii2_0_v6:13:2700037:2702303:-1 gene:B456_013G034900 transcript:KJB79129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACCVAARDKTIVNGSGSEVLHRNIRYSPTWSFRWDNRGRVAGEDTSISWFSDAVSRNDGSEIKYESACASEDGNSSESFQSRTWQKSPTSEGTAGHARTPASDQSISRNISVDVNLEQVKESVESPVASYPSPSKLSHSLPSASSLATSPLSSQSHVHPTGSTITRWPLRSPGHHLLRQVSDNRILGLKSPNGYSVGEERLVMPSWSNESTGGSRGGSSDGWSMQALSEFMPPSRRERWSFDNDTWGFQREKISKPSGRISAPPSVDLQTCGVCSKLLSEKSLWSTQKIIISNDLSVVAVLTCGHVYHAECLENMTPEIDKYDPACPICTLGEKKTHKLSEKAFKAEMDFKAKINKKSRSRVVDSDMDVDPIVFNRLKSSGHEGKASSSSMKSSLGKPFLKKHFSFGSKGSRSPSENHSNWKKGFFWAKSSRI >KJB79127 pep chromosome:Graimondii2_0_v6:13:2699295:2702678:-1 gene:B456_013G034900 transcript:KJB79127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACCVAARDKTIVNGSGSEVLHRNIRYSPTWSFRWDNRGRVAGEDTSISWFSDAVSRNDGSEIKYESACASEDGNSSESFQSRTWQKSPTSEGTAGHARTPASDQSISRNISVDVNLEQVKESVESPVASYPSPSKLSHSLPSASSLATSPLSSQSHVHPTGSTITRWPLRSPGHHLLRQVSDNRILGLKSPNGYSVGEERLVMPSWSNESTGGSRGGSSDGWSMQALSEFMPPSRRERWSFDNDTWGFQREKISKPSGRISAPPSVDLQTCGVCSKLLSEKSLWSTQKIIISNDLSVVAVLTCGHVYHAECLENMTPEIDKYDPACPICTLGEKKTHKLSEKAFKAEMDFKAKINKKSRSRVVDSDMDVDPIVFNRLKSSGHEGKASSSSMKSSLGKPFLKKHFSFGSKGSRSPSENHSNWKKGFFWAKSSRI >KJB79128 pep chromosome:Graimondii2_0_v6:13:2699247:2703326:-1 gene:B456_013G034900 transcript:KJB79128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACCVAARDKTIVNGSGSEVLHRNIRYSPTWSFRWDNRGRVAGEDTSISWFSDAVSRNDGSEIKYESACASEDGNSSESFQSRTWQKSPTSEGTAGHARTPASDQSISRNISVDVNLEQVKESVESPVASYPSPSKLSHSLPSASSLATSPLSSQSHVHPTGSTITRWPLRSPGHHLLRQVSDNRILGLKSPNGYSVGEERLVMPSWSNESTGGSRGGSSDGWSMQALSEFMPPSRRERWSFDNDTWGFQREKISKPSGRISAPPSVDLQTCGVCSKLLSEKSLWSTQKIIISNDLSVVAVLTCGHVYHAECLENMTPEIDKYDPACPICTLGEKKTHKLSEKAFKAEMDFKAKINKKSRSRVVDSDMDVDPIVFNRLKSSGHEGKASSSSMKSSLGKPFLKKHFSFGSKGSRSPSENHSNWKKGFFWAKSSRI >KJB79126 pep chromosome:Graimondii2_0_v6:13:2699295:2703255:-1 gene:B456_013G034900 transcript:KJB79126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAACCVAARDKTIVNGSGSEVLHRNIRYSPTWSFRWDNRGRVAGEDTSISWFSDAVSRNDGSEIKYESACASEDGNSSESFQSRTWQKSPTSEGTAGHARTPASDQSISRNISVDVNLEQVKESVESPVASYPSPSKLSHSLPSASSLATSPLSSQSHVHPTGSTITRWPLRSPGHHLLRQVSDNRILGLKSPNGYSVGEERLVMPSWSNESTGGSRGGSSDGWSMQALSEFMPPSRRERWSFDNDTWGFQREKISKPSGRISAPPSVDLQTCGVCSKLLSEKSLWSTQKIIISNDLSVVAVLTCGHVYHAECLENMTPEIDKYDPACPICTLGEKKTHKLSEKAFKAEMDFKAKINKKSRSRVVDSDMDVDPIVFNRLKSSGHEGKASSSSMKSSLGKPFLKKHFSFGSKGSRSPSENHSNWKKGFFWAKSSRI >KJB80853 pep chromosome:Graimondii2_0_v6:13:29196845:29198955:1 gene:B456_013G118000 transcript:KJB80853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAIVVNQRHQELMELPPGFRFHPTDEEIITHYLLEKVMNSNFSAAAIGEADLNKSEPWDLPDKAKMGEKEWYFFCQRDRKYPTGMRTNRATQAGYWKATGKDKEIFKGKGCLVGMKKTLVFYKGRAPRGEKTNWVMHEYRLEGKFSYYNLPKAAKDEWVVCRVFHKNTAGINKSPIAMASLGDEFMDYASLPPLMDPTFDCESEFKAINKTPVSSSIFNPQIPTQDPVFFHPETSDSGTGSLRTEDEASIRALAGNYSGDHIGQRQCNKVEQFSSNQSMVSPSQDTGHSTEINNNEISSVISKSFGLGSIDPYDDIEDLACLWNY >KJB80854 pep chromosome:Graimondii2_0_v6:13:29197168:29198859:1 gene:B456_013G118000 transcript:KJB80854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEWYFFCQRDRKYPTGMRTNRATQAGYWKATGKDKEIFKGKGCLVGMKKTLVFYKGRAPRGEKTNWVMHEYRLEGKFSYYNLPKAAKDEWVVCRVFHKNTAGINKSPIAMASLGDEFMDYASLPPLMDPTFDCESEFKAINKTPVSSSIFNPQIPTQDPVFFHPETSDSGTGSLRTEDEASIRALAGNYSGDHIGQRQCNKVEQFSSNQSMVSPSQDTGHSTEINNNEISSVISKSFGLGSIDPYDDIEDLACLWNY >KJB82923 pep chromosome:Graimondii2_0_v6:13:54050979:54054957:-1 gene:B456_013G220600 transcript:KJB82923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESICLTLVATAGNNIGKVLQKKGTVILPPLSFKLKVIRTYAVNKFWVIGFLMDISGALLMLRALSLAPVSVIQPVSGCGLAILSIFSHFYLQEVMNIVDWMGITLAGIGTIGVGAGGEEQESSAVSILQLPWLAFVVVIVFVLLNGWRRIYKRQRREQELIEYEVVEEIIYGLESGILFGMASVISKMGFVFVEQGFSKMLIPLCISISICCSGTGFYYQTHGLKHGRAIVISTCAAVASIVTGVLAGMLALGEQLPSAPVARLSLLLGWLFIMMGVILLVSSTRLMRHIPWPLRNLIPSGVDRNFNLRRSGSLRVKDPSPSAVIPAATLHHLISTPAKEKA >KJB82924 pep chromosome:Graimondii2_0_v6:13:54050982:54054951:-1 gene:B456_013G220600 transcript:KJB82924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESICLTLVATAGNNIGKVLQKKGTVILPPLSFKLKVSVIQPVSGCGLAILSIFSHFYLQEVMNIVDWMGITLAGIGTIGVGAGGEEQESSAVSILQLPWLAFVVVIVFVLLNGWRRIYKRQRREQELIEYEVVEEIIYGLESGILFGMASVISKMGFVFVEQGFSKMLIPLCISISICCSGTGFYYQTHGLKHGRAIVISTCAAVASIVTGVLAGMLALGEQLPSAPVARLSLLLGWLFIMMGVILLVSSTRLMRHIPWPLRNLIPSGVDRNFNLRRSGSLRVKDPSPSAVIPAATLHHLISTPAKEKA >KJB83697 pep chromosome:Graimondii2_0_v6:13:57434534:57438794:1 gene:B456_013G259100 transcript:KJB83697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTPPPSPNLLQVSVKSSSSPCLSPSVHYLLFGSPCSNASPFLLQKSGLPLLPVAVKPSSLERKIKCFITRSYTKEDRLNEPETFSAEDVAGGDDEDGGSEDLDLQQNRGSAVASPRIASSSSDSLSLGIREPVYEVLEVKSNGVVSTSRINRRQLLKSSGLRPRDIRSVDPSLFLTNSAPSILVREHAILLNLGSLRAIAMRDCVLIFEYNRKGGQAFMDTLLPRLNNMNGGPCMPFELEVVEAALLSRTQRLEQRLMDLEPRVQALLEELPKKLTVDILEQLRISKQTLVELCSRAGALRQMLLDLLEDPHEIRRICIMGRNCTLKRGTDDVECSLPLEKLIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSISVNLRSE >KJB83696 pep chromosome:Graimondii2_0_v6:13:57434424:57439933:1 gene:B456_013G259100 transcript:KJB83696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTPPPSPNLLQVSVKSSSSPCLSPSVHYLLFGSPCSNASPFLLQKSGLPLLPVAVKPSSLERKIKCFITRSYTKEDRLNEPETFSAEDVAGGDDEDGGSEDLDLQQNRGSAVASPRIASSSSDSLSLGIREPVYEVLEVKSNGVVSTSRINRRQLLKSSGLRPRDIRSVDPSLFLTNSAPSILVREHAILLNLGSLRAIAMRDCVLIFEYNRKGGQAFMDTLLPRLNNMNGGPCMPFELEVVEAALLSRTQRLEQRLMDLEPRVQALLEELPKKLTVDILEQLRISKQTLVELCSRAGALRQMLLDLLEDPHEIRRICIMGRNCTLKRGTDDVECSLPLEKLIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSISVNLSSRRLEVSKVELLLQVGTFCVAVGALVAGIFGMNLKSYLEYHMFAFWVTTAGIIVGGVVAFFLIYSYLRGRRIL >KJB80201 pep chromosome:Graimondii2_0_v6:13:12822441:12823685:1 gene:B456_013G086000 transcript:KJB80201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g02980, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02980) UniProtKB/Swiss-Prot;Acc:Q8LK93] MLDPCVISYNAIITGYAKCSRPNEALSLFRELQVKSLKPTDVTMLSVLSCCALLGALDLGKWMHEYVKKNGLDKYIKVSTALIDMYAKCGSLKDAICVFENMSIRDTPAWSAMIVAYATHGKGYKAIETFEEMRKAGVQPDEITFLGLLYACSHNGLVDEGWRFFSSMSDKYSIIPGIKHYGCMVDLLGRTGNLDEAYKFIDELPIKPTPILWRTLLAACSSHGDVELGKRVIERIFELDESHGGDYVILSNLCARAGRWEDVDFLRKLMNDRGVVKVPGCSSIEVDNVVHEFFSGDGVNTVSTSLHKALDELMKELKMVGYVPDTSLVFHAEMGEAEKEISLRYHSEKLAIAYGLLNTPPGSTIRVVKNLRVCRDCHSAAKHISQIFYRQIILRDVQRFHHFRNGKCSCGDFW >KJB80202 pep chromosome:Graimondii2_0_v6:13:12821736:12824304:1 gene:B456_013G086000 transcript:KJB80202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g02980, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02980) UniProtKB/Swiss-Prot;Acc:Q8LK93] MHLFVAMATVPSPVTSISPPKSKFNEKISRFETLNPPQNPLSLLPKCTSLKELKQIQAFTIKTHLQNDLNFLTKFINFCTKNPTFTSMEYAHKLFDNISQPDVVLFNTMARGYSRSNTPIQAIPLFSQLLSFGFLPDDYTFPSVLKACSSAKALEEGALDLGKWMHEYVKKNGLDKYIKVSTALIDMYAKCGSLKDAICVFENMSIRDTPAWSAMIVAYATHGKGYKAIETFEEMRKAGVQPDEITFLGLLYACSHNGLVDEGWRFFSSMSDKYSIIPGIKHYGCMVDLLGRTGNLDEAYKFIDELPIKPTPILWRTLLAACSSHGDVELGKRVIERIFELDESHGGDYVILSNLCARAGRWEDVDFLRKLMNDRGVVKVPGCSSIEVDNVVHEFFSGDGVNTVSTSLHKALDELMKELKMVGYVPDTSLVFHAEMGEAEKEISLRYHSEKLAIAYGLLNTPPGSTIRVVKNLRVCRDCHSAAKHISQIFYRQIILRDVQRFHHFRNGKCSCGDFW >KJB83806 pep chromosome:Graimondii2_0_v6:13:57850915:57856636:-1 gene:B456_013G265700 transcript:KJB83806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSVNGSASNIPDSSGRSFATSFSGQSGAASPGFHHTGTIQGLHGIHGSFNVPNMPGTLTSRNSTLSNVPTGGVQQPTGNLSGGRFASNNLPVALSQLSHGSSHGHSGVANRGGISVVGNPGFSSNTNGVGGSIPGILPTSAAIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSVPGLASRLNLSANSGSGSLSMQGQNRLMSGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQAVNNLSSMGILNDVNSTDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGPSPIVQQNQEFSIQNEDFPALPGFKGGNADYGMDLHQKDQLHDNTMLMMQSQHFSMGRPAGFNLGGSYSSHRPQQQQQHAPSASTTGVSFSPVNNQDLLHLHGSDIFTSSHSSYHSQTSGPPGIGLRPLNSSNSVSGMGYDQLIQQYQQHQNQSQLRLQQMSAVNQSFREPGMKPMQVAQSNHDPFGLLGLQSVLRMNDLDLTSLALGIDLTTLGLNLNSSENLYKTFGSPWSDEPAKGDPEFTVPQCYYAKQPPPLHVIFRSSPWTHCSIYFTACQKMKLNYTLQMNFTIEAGFTTRSTGVGS >KJB83808 pep chromosome:Graimondii2_0_v6:13:57851463:57855531:-1 gene:B456_013G265700 transcript:KJB83808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSVNGSASNIPDSSGRSFATSFSGQSGAASPGFHHTGTIQGLHGIHGSFNVPNMPGTLTSRNSTLSNVPTGGVQQPTGNLSGGRFASNNLPVALSQLSHGSSHGHSGVANRGGISVVGNPGFSSNTNGVGGSIPGILPTSAAIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSVPGLASRLNLSANSGSGSLSMQGQNRLMSGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQAVNNLSSMGILNDVNSTDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGPSPIVQQNQEFSIQNEDFPALPGFKGGNADYGMDLHQKDQLHDNTMLMMQSQHFSMGRPAGFNLGGSYSSHRPQQQQQHAPSASTTGVSFSPVNNQDLLHLHGSDIFTSSHSSYHSQTSGPPGIGLRPLNSSNSVSGMGYDQLIQQYQQHQNQSQLRLQQMSAVNQSFREPGMKPMQVAQSNHDPFGLLGLQSVLRMNDLDLTSLALGIDLTTLGLNLNSSENLYKTFGSPWSDEPAKGDPEFTVPQCYYAKQPPPLHQGYFSKFTVDTLFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRCWFIRVPNVEPLVKTNTYERGSYHCFDPISFETVRKDNFVVHYEMLEKRPALPQH >KJB83807 pep chromosome:Graimondii2_0_v6:13:57850915:57856636:-1 gene:B456_013G265700 transcript:KJB83807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSVNGSASNIPDSSGRSFATSFSGQSGAASPGFHHTGTIQGLHGIHGSFNVPNMPGTLTSRNSTLSNVPTGGVQQPTGNLSGGRFASNNLPVALSQLSHGSSHGHSGVANRGGISVVGNPGFSSNTNGVGGSIPGILPTSAAIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSVPGLASRLNLSANSGSGSLSMQGQNRLMSGVLPQGSPQVISMLGNSYPSAGGPLSQSHVQAVNNLSSMGILNDVNSTDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGPSPIVQQNQEFSIQNEDFPALPGFKGGNADYGMDLHQKDQLHDNTMLMMQSQHFSMGRPAGFNLGGSYSSHRPQQQQQHAPSASTTGVSFSPTSGPPGIGLRPLNSSNSVSGMGYDQLIQQYQQHQNQSQLRLQQMSAVNQSFREPGMKPMQVAQSNHDPFGLLGLQSVLRMNDLDLTSLALGIDLTTLGLNLNSSENLYKTFGSPWSDEPAKGDPEFTVPQCYYAKQPPPLHQGYFSKFTVDTLFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRCWFIRVPNVEPLVKTNTYERGSYHCFDPISFETVRKDNFVVHYEMLEKRPALPQH >KJB83927 pep chromosome:Graimondii2_0_v6:13:58243158:58244775:1 gene:B456_013G272000 transcript:KJB83927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSAMLLIILCFSLNLHGFAGAYGSWQNAHATFYGGDDASGTMGGACGYRNLYSQGYGTKTAALSTALFNNGLSCGACYEMRCDDDPKWCLSGTITVTATNFCPPNFDLSNDNGGWCNPPLKHFDLAEPAFLQIAQYRAGIVPVVFRRVACVKKGGIRFTINGHSYFNLVLITNVGGAGDVNAVSIKGSKMRWQSMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTVTSYNVVPANWQFGQTFEGGQF >KJB81309 pep chromosome:Graimondii2_0_v6:13:37057923:37060470:1 gene:B456_013G138200 transcript:KJB81309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPAAAETPLLDDSVKGCLDFKGRPVHRSSSGGWTSASFIIAVEVAERFAYYGISSNLITYLTGPLGLSTAAAAAQVNAWSGVATLLPLLGAFLADSFLGRYRTIILASLVYILGLGLLTLSATLPSVTTSGAPNADNVTLNTSSMLQYQVILFFFSLYLVAFGQGGHKPCVQAFGADQFDAEHPVECKAKSSFFNWWYFSIAAGILLTLLILNYIQENLSWVLGFAIPAIVMAVGLLVFVLGTMTYRFSVKGDEESPFVRIGRVFILAIRNRKIASSAIATEESLKQFKFLDKALLAPDSTMEQGKVCSMREVEEAKAVLRLAPIWATCLIYAVVFAQTSTLFTKQGATMDRSITRGIEIPAATLQCFISFSILLFIPIYDRIVVPLARAFTGKPGGITTLQRIGTGIAVSAIAMVIAALVEMKRLQTAREHGLVDKPNVTVPMRVWWLVPQYSLCGLSDVLAIVGLQEFYYDQMPNELRSIGIALYLSILGVGSFLSSFLISVIETVTGKDGRDSWFADNLNRAHLDYFYWLLAALSALGLALYVYSARSYIYARRSSS >KJB81895 pep chromosome:Graimondii2_0_v6:13:44944424:44946699:1 gene:B456_013G166400 transcript:KJB81895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFEQVKGNSGVIDQTIEDAGATNPRVQWKQMGYEEKTVAVHEEMKRMNRLPVTSSYVTHRMRVLNKILQLLSIQRTASQEEELELLFAGLSL >KJB81609 pep chromosome:Graimondii2_0_v6:13:41396364:41399823:1 gene:B456_013G151800 transcript:KJB81609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISLSHAMQDTLPVLPKNNPFPPLLSPHHHDFPLLSRPKNLHFPTFSSRTITPTSLPPKPNPKFPQIPSTSPPPPMPPPNSHSDFQEKMLYLESIGLDFFSLIQHHPPIIFASLHDLKSTVDFLASLSFTTLELRRILSMCPHILTVKPTSLLPIFTFLLREAHVNGSDLKKVINRRPRLLACNVETQLRPTLYFLQSIGISEVKKHTSLLTCSVENKLIPRIDYFQKIGFSHRQTISMFCRFPPLFNYSIKENYELKLNYFMVEMGRDLREIREFPQYFSFSLESRIKPRHQICVEKGVCFPLPALLKTSEVEFRSRLEVCCNSTLPLKGSPLWCTKVCDI >KJB81607 pep chromosome:Graimondii2_0_v6:13:41396364:41399823:1 gene:B456_013G151800 transcript:KJB81607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISLSHAMQDTLPVLPKNNPFPPLLSPHHHDFPLLSRPKNLHFPTFSSRTITPTSLPPKPNPKFPQIPSTSPPPPMPPPNSHSDFQEKMLYLESIGLDFFSLIQHHPPIIFASLHDLKSTVDFLASLSFTTLELRRILSMCPHILTVKPTSLLPIFTFLLREAHVNGSDLKKVINRRPRLLACNVETQLRPTLYFLQSIGISEVKKHTSLLTCSVENKLIPRIDYFQKIGFSHRQTISMFCRFPPLFNYSIKENYELKLNYFMVEMGRDLREIREFPQYFSFSLESRIKPRHQICVEKGVCFPLPALLKTSEVEFRSRLEVCCNSTLPLKGSPLWCTKVCDI >KJB81608 pep chromosome:Graimondii2_0_v6:13:41396636:41399823:1 gene:B456_013G151800 transcript:KJB81608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISLSHAMQDTLPVLPKNNPFPPLLSPHHHDFPLLSRPKNLHFPTFSSRTITPTSLPPKPNPKFPQIPSTSPPPPMPPPNSHSDFQEKMLYLESIGLDFFSLIQHHPPIIFASLHDLKSTVDFLASLSFTTLELRRILSMCPHILTVKPTSLLPIFTFLLREAHVNGSDLKKVINRRPRLLACNVETQLRPTLYFLQSIGISEVKKHTSLLTCSVENKLIPRIDYFQKIGFSHRQTISMFCRFPPLFNYSIKENYELKLNYFMVEMGRDLREIREFPQYFSFSLESRIKPRHQICVEKGVCFPLPALLKTSEVEFRSRLEVCCNSTLPLKGSPLWCTKVCDI >KJB80179 pep chromosome:Graimondii2_0_v6:13:12249872:12251459:-1 gene:B456_013G084800 transcript:KJB80179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVTFTSNHNTNLSQTLPFDKTNGYPVKKKEEDGEIGVFGAEKYFNGAIDAAAGGGPRPTKLIPKNLESCTKQEVITNPEPMKPLFHAPSIRSESSWNSQNPLLHTVIQNPPQQKPSKSITAKSFLSCLPACKCYCFDRSSIDIEVGEISFKRPNGEVILQSKQKKTAAGIKEDLFTFPTMNPAVGIRPVSVPLQGDVDEFGRKSLEVFGSPVLGIGRRNKSLNLERRLKMLSWDHHAIPKVEETENSKANCNDTESDASSDLFEIESLTGKPNYAPSEASIEWSVVTASAADFSAMSDYEEGRPSLTLPSPIKTFYINNTTKINKERPRSGGLLGCNSSKTVKIARDAHKTDQKAGLDSRMRRVSDSYMPVTRFRAETKLEAGAFEPTRTPQILPTRSLPVPHSHSPQQASHLLYIQ >KJB83714 pep chromosome:Graimondii2_0_v6:13:57526078:57527050:-1 gene:B456_013G260600 transcript:KJB83714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLWRAATGQDPNPDDYKGVEFWTNPERAGWLTKQGDYIKTWRRRWFILKQGKLLWFKDPTSVSRRSSPRGVVSVGSCLTVKGAEDIVNKAFAFELSTRDSTMYFIADTEKEKEDWINSIGRSIVQHSRSVTDSEIVDYDSKSR >KJB81586 pep chromosome:Graimondii2_0_v6:13:41147660:41153024:-1 gene:B456_013G150900 transcript:KJB81586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKCGGGGGGGGAEGSRVSIPDNAKKTILSIREITGKQHSDEEIYAILKECSMDPNETAQKLLYMDTFHDVKRKRDKKKETAGTQRRGGCGSRGNYYTLSGKDAGGGKNAFARRENGVNRTADRGSMPSPASQKVKNNAALQMTKTSTAISNGSTILPNGISSHGRGPQSSINGIISETKDSLPVNKPKTISAQTAVREPPAPIPAQSFGSLIKGQEKSASNLSTSSTSATSPIVSRDSVAVDTIQSEEQQVRWDMDISKTEKMASEVSISMHGEEEPSKSEVAEQVKQSMPVEQVVTSEVAAVTDKANPQLLADSNVHNGQHVIFPTHFQVSEALKNGLTFGSFDASFGQVSKHNNLTDLEINTARPVETSQGVDETVGEPSSRLILLSLYDFCRSQGILPAGEGGNADQQQAPRELEKVTESDGNVSSDTNLKVDQSNHEMHLHPDSNQSTIPNVPCYGLGFLPASTTNVPQFDGPEARPHDVSRLTNFISGNPPAPSGSSTPPIQSSVAAAPQAVHLFRQPFPPNYFPYPHYLSPFYMHPMHQFLNPTGLPQQPSTGNVYMPPGVTPPGVKFPLPQFKPGTNAGNPAHLAIPSGYGPLTSPPIGFNLPLPSVTSGSSSSKEDIAALQLKENQIYTTGPLNESSALWMPAPGQDLSNLQVNSLYNLSLHGPQLPFSPAQAGHGAFAGLYQSPPQTMAAPSNVNTLLQQSQSTAAAVGSVGPPSGTYQQPQLAQVNWKTNY >KJB78504 pep chromosome:Graimondii2_0_v6:13:207195:209372:-1 gene:B456_013G002700 transcript:KJB78504 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSR1 [Source:Projected from Arabidopsis thaliana (AT2G41430) UniProtKB/TrEMBL;Acc:A0A178VWR6] MPLCLFRLFIAKWRISHPNGGNWLRQQLDPFDFVSDEDLQFEEFIQSNEMETIAPPLPSNGGFEKGVEALMKNLSLVPSSPRFSAEPAKYVEKPAKNVNAKSGRRCIHQPR >KJB78503 pep chromosome:Graimondii2_0_v6:13:206151:209445:-1 gene:B456_013G002700 transcript:KJB78503 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSR1 [Source:Projected from Arabidopsis thaliana (AT2G41430) UniProtKB/TrEMBL;Acc:A0A178VWR6] MALVAGGSSTLNPNAPLFVPAVYRQVEDFSPEWWKLVTTTTWYRDYWISQNQDEDGFYDNTEDDVFDVNDIVDLLADPFDFVSDEDLQFEEFIQSNEMETIAPPLPSNGGFEKGVEALMKNLSLVPSSPRFSAEPAKYVEKPAKNVNAKSGRRCIHQPR >KJB78505 pep chromosome:Graimondii2_0_v6:13:207777:208118:-1 gene:B456_013G002700 transcript:KJB78505 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSR1 [Source:Projected from Arabidopsis thaliana (AT2G41430) UniProtKB/TrEMBL;Acc:A0A178VWR6] MALVAGGSSTLNPNAPLFVPAVYRQVEDFSPEWWKLVTTTTWYRDYWISQNQDEDGFYDNTEDDVFDVNDIVDLLADPFDFVSDEDLQFEEFIQSNEMETIAPPLPSNGGTYE >KJB78502 pep chromosome:Graimondii2_0_v6:13:207195:209374:-1 gene:B456_013G002700 transcript:KJB78502 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSR1 [Source:Projected from Arabidopsis thaliana (AT2G41430) UniProtKB/TrEMBL;Acc:A0A178VWR6] MALVAGGSSTLNPNAPLFVPAVYRQVEDFSPEWWKLVTTTTWYRDYWISQNQDEDGFYDNTEDDVFDVNDIVDLLADPFDFVSDEDLQFEEFIQSNEMETIAPPLPSNGGFEKGVEALMKNLSLVPSSPRFSAEPAKYVEKPAKNVNAKSGRRCIHQPR >KJB78506 pep chromosome:Graimondii2_0_v6:13:207777:208118:-1 gene:B456_013G002700 transcript:KJB78506 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSR1 [Source:Projected from Arabidopsis thaliana (AT2G41430) UniProtKB/TrEMBL;Acc:A0A178VWR6] MALVAGGSSTLNPNAPLFVPAVYRQVEDFSPEWWKLVTTTTWYRDYWISQNQDEDGFYDNTEDDVFDVNDIVDLLADPFDFVSDEDLQFEEFIQSNEMETIAPPLPSNGGTYE >KJB79929 pep chromosome:Graimondii2_0_v6:13:8794152:8795019:1 gene:B456_013G073000 transcript:KJB79929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGEWSAEEDDKLRTYVQKYGHWNWHQLPKFAGLKRCGKSCRWRWMNYLLPGLKRGNFTEEEDALIIKLHEQFGNRWSTIAKSLRGRTDSEIKNHWHSQLKKSTKGDEEEKCSSWQSEATQNENICEGEAESNSIDNMTLGSSPPSSPSPSSSSGSMSSLNAVGRLEDTRLPYLEIYETESSGDFWSQPFVTDNTSSLEKGGFELPLPYDD >KJB80963 pep chromosome:Graimondii2_0_v6:13:31795040:31801318:1 gene:B456_013G123300 transcript:KJB80963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGARKSKRLGDLQPPLQPVDQAENWVLPAQNRTRRQVGGRGRGKATAVAKGPSPEVPNRPKAAGRGRGIRLIDLDPEPCQVLPGAPPLADAQPAFNQVEVVANKDIAMDGGSADKIIGVEEEASTTVIPEKVQVGNSPVYKIERKLGKGGFGQVYVGRRESGGSGRIGPDAIEVALKFEHRNSKSCNYGPPYEWQVYNSLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNTLGQSMSPNMAACVAVEAISILEKLHLKGFVHGDVKPENFLLGQRGSADEKKLYLIDLGLASRWKDAHSGQHVDYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFMVCKKKMATSPELMCCFCPAPFKQFLEVVTNMKFDEEPNYAKLISIFESLIEPCTALRPIRIDGALKVGQKRGRLVINLEDDDQPKKKVRLGSPATQWISAYNARRPMKQRYHYNVADSRLRQHVDKGNEDGLFISCVASAANLWALIMDAGTSFSSQVYELSTVFLHKDWIMEQWEKNYYISSIAGANNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTSGSRWGVVMSRNSGFSDQVVELDFLYPSEGIHRRWENGYRITSMAATGDQAAFILSIPKRKTMDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVC >KJB80966 pep chromosome:Graimondii2_0_v6:13:31795069:31801170:1 gene:B456_013G123300 transcript:KJB80966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGARKSKRLGDLQPPLQPVDQAENWVLPAQNRTRRQVGGRGRGKATAVAKGPSPEVPNRPKAAGRGRGIRLIDLDPEPCQVLPGAPPLADAQPAFNQVEVVANKDIAMDGGSADKIIGVEEEASTTVIPEKVQVGNSPVYKIERKLGKGGFGQVYVGRRESGGSGRIGPDAIEVALKFEHRNSKSCNYGPPYEWQVYNSLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNTLGQSMSPNMAACVAVEAISILEKLHLKGFVHGDVKPENFLLGQRGSADEKKLYLIDLGLASRWKDAHSGQHVDYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFMVCKKKMATSPELMCCFCPAPFKQFLEVVTNMKFDEEPNYAKLISIFESLIEPCTALRPIRIDGALKVGQKRGRLVINLEDDDQPKKKVRLGSPATQWISAYNARRPMKQRYHYNVADSRLRQHVDKGNEDGLFISCVASAANLWALIMDAGTSFSSQVYELSTVFLHKDWIMEQWEKNYYISSIAGANNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTSGSRWGVVMSRNSGFSDQVVELDFLYPSEGIHRRWENGYRITSMAATGDQAAFILSIPKRKTMDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVC >KJB80964 pep chromosome:Graimondii2_0_v6:13:31795069:31801170:1 gene:B456_013G123300 transcript:KJB80964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGARKSKRLGDLQPPLQPVDQAENWVLPAQNRTRRQVGGRGRGKATAVAKGPSPEVPNRPKAAGRGRGIRLIDLDPEPCQVLPGAPPLADAQPAFNQVEVVANKDIAMDGGSADKIIGVEEEASTTVIPEKVQVGNSPVYKIERKLGKGGFGQVYVGRRESGGSGRIGPDAIEVALKFEHRNSKSCNYGPPYEWQVYNSLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNTLGQSMSPNMAACVAVEAISILEKLHLKGFVHGDVKPENFLLGQRGSADEKKLYLIDLGLASRWKDAHSGQHVDYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFMVCKKKMATSPELMCCFCPAPFKQFLEVVTNMKFDEEPNYAKLISIFESLIEPCTALRPIRIDGALKVGQKRGRLVINLEDDDQPKKKVRLGSPATQWISAYNARRPMKQRYHYNVADSRLRQHVDKGNEDGLFISCVASAANLWALIMDAGTSFSSQVYELSTVFLHKDWIMEQWEKNYYISSIAGANNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTSGSRWGVVMSRNSGFSDQVVELDFLYPSEGIHRRWENGYRITSMAATGDQAAFILSIPKRKTMDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVC >KJB80965 pep chromosome:Graimondii2_0_v6:13:31795069:31801170:1 gene:B456_013G123300 transcript:KJB80965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGARKSKRLGDLQPPLQPVDQAENWVLPAQNRTRRQVGGRGRGKATAVAKGPSPEVPNRPKAAGRGRGIRLIDLDPEPCQVLPGAPPLADAQPAFNQVEVVANKDIAMDGGSADKIIGVEEEASTTVIPEKVQVGNSPVYKIERKLGKGGFGQVYVGRRESGGSGRIGPDAIEVALKFEHRNSKSCNYGPPYEWQVYNSLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNTLGQSRMSPNMAACVAVEAISILEKLHLKGFVHGDVKPENFLLGQRGSADEKKLYLIDLGLASRWKDAHSGQHVDYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFMVCKKKMATSPELMCCFCPAPFKQFLEVVTNMKFDEEPNYAKLISIFESLIEPCTALRPIRIDGALKVGQKRGRLVINLEDDDQPKKKVRLGSPATQWISAYNARRPMKQRYHYNVADSRLRQHVDKGNEDGLFISCVASAANLWALIMDAGTSFSSQVYELSTVFLHKDWIMEQWEKNYYISSIAGANNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTSGSRWGVVMSRNSGFSDQVVELDFLYPSEGIHRRWENGYRITSMAATGDQAAFILSIPKRKTMDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVC >KJB79157 pep chromosome:Graimondii2_0_v6:13:2751909:2756497:1 gene:B456_013G035500 transcript:KJB79157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTHHHLSHASSLPKTLNHPLKQNPFSQLPVLSLRASKNLFRRQSLSLRAVLSQNPAKTLNATFQHCFTKSPDGFLYCEDTKVHPYVATGNKNSKFGIRNEKLQWFLDAVKAHPNELKLVGAHCHLGSTITKVDIFRDAAVLMVNYIDEIRAQGFEVDYLNIGGGLGIDYYHSGAVLPTPRDLIDTVRELVLSRNLNLVIEPGRSLIANTCCLVNRVTGVKTNGTKNFIVIDGSMAELIRPSLYDAYQHIELVSPTPPDAEVSTFDVVGPVCESADFLGKERELPTPAKGTGLVVHDAGAYCMSMASTYNLKMRPPEYWVEEDGSVTRIRHGETFEDHIRFFEGL >KJB79156 pep chromosome:Graimondii2_0_v6:13:2751909:2756497:1 gene:B456_013G035500 transcript:KJB79156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTHHHLSHASSLPKTLNHPLKQNPFSQLPVLSLRASKNLFRRQSLSLRAVLSQNPAKTLNATFQHCFTKSPDGFLYCEDTKVQDIMEKVEKRPFYLYSKPQITRNVEAYKEALEGLKNSIIGYAIKANNNLKILQHLRKLGCGAVLVSGNELKLALHAGFDPTKCIFNGNGKILEDLILAAQEGVFVNVDSEFDLENIVTAAKVAGRKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKAHPNELKLVGAHCHLGSTITKVDIFRDAAVLMVNYIDEIRAQGFEVRELVLSRNLNLVIEPGRSLIANTCCLVNRVTGVKTNGTKNFIVIDGSMAELIRPSLYDAYQHIELVSPTPPDAEVSTFDVVGPVCESADFLGKERELPTPAKGTGLVVHDAGAYCMSMASTYNLKMRPPEYWVEEDGSVTRIRHGETFEDHIRFFEGL >KJB79155 pep chromosome:Graimondii2_0_v6:13:2751879:2756540:1 gene:B456_013G035500 transcript:KJB79155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTHHHLSHASSLPKTLNHPLKQNPFSQLPVLSLRASKNLFRRQSLSLRAVLSQNPAKTLNATFQHCFTKSPDGFLYCEDTKVQDIMEKVEKRPFYLYSKPQITRNVEAYKEALEGLKNSIIGYAIKANNNLKILQHLRKLGCGAVLVSGNELKLALHAGFDPTKCIFNGNGKILEDLILAAQEGVFVNVDSEFDLENIVTAAKVAGRKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKAHPNELKLVGAHCHLGSTITKVDIFRDAAVLMVNYIDEIRAQGFEVDYLNIGGGLGIDYYHSGAVLPTPRDLIDTVRELVLSRNLNLVIEPGRSLIANTCCLVNRVTGVKTNGTKNFIVIDGSMAELIRPSLYDAYQHIELVSPTPPDAEVSTFDVVGPVCESADFLGKERELPTPAKGTGLVVHDAGAYCMSMASTYNLKMRPPEYWVEEDGSVTRIRHGETFEDHIRFFEGL >KJB83490 pep chromosome:Graimondii2_0_v6:13:57240840:57245948:1 gene:B456_013G256100 transcript:KJB83490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKQTTILVAAILVTAFYGGAATSAQAPAADPAMAMSPSAMAPTPDCMTNLLNLSDCLTYVEAGSNLTKPDKPCCPELAGLVESSPQCLCYLMDKNATANYGINIDMERALKLPNVCHVKTPPVSLCSAIIGAPIGVPTQSTEGLVSPASEPTGSVAYGPTPSAMAPTPDCMTNLFNLSDCLGYVTARSNLTKPDKPCCPELAGLVESSPQCLCLLLDKNATSSYGVDIDIDRALKLPNVCHVKTPPVSLCSTINGGAPIGVPTSGTEVSLSPAPGPSKGSNSGASNMEIFGLASFIALPIAFLPMLFGI >KJB83493 pep chromosome:Graimondii2_0_v6:13:57240871:57245948:1 gene:B456_013G256100 transcript:KJB83493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKQTTILVAAILVTAFYGGAATSAQAPAADPAMAMSPSAMAPTPDCMTNLLNLSDCLTYVEAGSNLTKPDKPCCPELAGLVESSPQCLCYLMDKNATANYGINIDMERALKLPNVCHVKTPPVSLCSAIIGAPIGVPTQSTEGLVSPASEPTGSVAYGPTPSAMAPTPDCMTNLFNLSDCLGYVTARSNLTKPDKPCCPELAGLVESSPQCLCLLLDKNATSSYGVDIDIDRALKLPNVCHVKTPPLSMVALQLGFQLQALKYLYHQD >KJB83491 pep chromosome:Graimondii2_0_v6:13:57240871:57243649:1 gene:B456_013G256100 transcript:KJB83491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKQTTILVAAILVTAFYGGAATSAQAPAADPAMAMSPSAMAPTPDCMTNLLNLSDCLTYVEAGSNLTKPDKPCCPELAGLVESSPQCLCYLMDKNATANYGINIDMERALKLPNVCHVKTPPVSLCSAIIGAPIGVPTQSTEGLVSPASEPTGSVAYGPTPSAMAPTPDCMTNLFNLSDCLGYVTARSNLTKPDKPCCPELAGLVESSPQCLCLLLDKNATSSYGVDIDIDRALKLPNVCHVKTPPVSLCSSKYINFF >KJB83489 pep chromosome:Graimondii2_0_v6:13:57240793:57245979:1 gene:B456_013G256100 transcript:KJB83489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKQTTILVAAILVTAFYGGAATSAQAPAADPAMAMSPSAMAPTPDCMTNLLNLSDCLTYVEAGSNLTKPDKPCCPELAGLVESSPQCLCYLMDKNATANYGINIDMERALKLPNVCHVKTPPVSLCSAIIGAPIGVPTQSTEGLVSPASEPTGSVAYGPTPSAMAPTPDCMTNLFNLSDCLGYVTARSNLTKPDKPCCPELAGLVESSPQCLCLLLDKNATSSYGVDIDIDRALKLPNVCHVKTPPVSLCSTINGGAPIGVPTSGTEVSLSPGLAPGPSKGSNSGASNMEIFGLASFIALPIAFLPMLFGI >KJB83492 pep chromosome:Graimondii2_0_v6:13:57240871:57243885:1 gene:B456_013G256100 transcript:KJB83492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKQTTILVAAILVTAFYGGAATSAQAPAADPAMAMSPSAMAPTPDCMTNLLNLSDCLTYVEAGSNLTKPDKPCCPELAGLVESSPQCLCYLMDKNATANYGINIDMERALKLPNVCHVKTPPVSLCSAIIGAPIGVPTQSTEGLVSPASEPTGSVAYGPTPSAMAPTPDCMTNLFNLSDCLGYVTARSNLTKPDKPCCPELAGLVESSPQCLCLLLDKNATSSYGVDIDIDRALKLPNVCHVKTPPVSLCSTINGGAPIGVPTSGTEVSLSPGTSFSFF >KJB79748 pep chromosome:Graimondii2_0_v6:13:7298495:7303131:-1 gene:B456_013G064900 transcript:KJB79748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLCNFNHEFIIVHLLLFASLLSFTNPTPSPTQDEQSQIISRFQEYLRINTSQPSPDYKKSTQFILTLAESLSLETQVIEFVQGKPLVILKWPGSDLSLPSILLNSHTDVVPSESSKWDYHPFGAHIDENGNIFARGSQDMKCVGMQYMEAVRRLKASGFQPKRSLYLSFVPDEEIGGHDGLEKLAQSDVFKNMNVDIILDEGLASPNENYRLFYGERTPWWLVIKATGAPGHGAKLYDNSAMENLFKSIESIRRFRASQFDLVKAGLKGEGEVISVNMAFLKAGTPSPTFKQKGSTQDFWGRPLITATDRSNPWWALLVEAVKKANGKIGKPEIFPAATDSRYFRQQGLRAIGFSPMANTPVLLHDHNEFLNQAEYLKGIDVYESIIKAYTSYIPPGRDSLSRDEL >KJB79747 pep chromosome:Graimondii2_0_v6:13:7300371:7303075:-1 gene:B456_013G064900 transcript:KJB79747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLCNFNHEFIIVHLLLFASLLSFTNPTPSPTQDEQSQIISRFQEYLRINTSQPSPDYKKSTQFILTLAESLSLETQVIEFVQGKPLVILKWPGSDLSLPSILLNSHTDVVPSESSKWDYHPFGAHIDENGNIFARGSQDMKCVGMQYMEAVRRLKASGFQPKRSLYLSFVPDEEIGGHDGLEKLAQSDVFKNMNVDIILDEGLASPNENYRLFYGERTPWWLVIKATGAPGHGAKLYDNSAMENLFKSIESIRRFRASQFDLVKAGLKGEGEVISVNMAFLKAGTPSPTLMQNPWRNG >KJB79749 pep chromosome:Graimondii2_0_v6:13:7299943:7303131:-1 gene:B456_013G064900 transcript:KJB79749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLCNFNHEFIIVHLLLFASLLSFTNPTPSPTQDEQSQIISRFQEYLRINTSQPSPDYKKSTQFILTLAESLSLETQVIEFVQGKPLVILKWPGSDLSLPSILLNSHTDVVPSESSKWDYHPFGAHIDENGNIFARGSQDMKCVGMQYMEAVRRLKASGFQPKRSLYLSFVPDEEIGGHDGLEKLAQSDVFKNMNVDIILDEGLASPNENYRLFYGERTPWWLVIKATGAPGHGAKLYDNSAMENLFKSIESIRRFRASQFDLVKAGLKGEGEVISVNMAFLKAGTPSPTGFVMNLQPSEAEAGFDIRIPPTVNAESLEKRIAEEWAPASRNMSFEVEQVKQFSLRKKWHSVRIKGWCTMFSGSVSTKFCGILQYISWNQYDYVLWFVQYFVLLIPSVI >KJB79746 pep chromosome:Graimondii2_0_v6:13:7298487:7303192:-1 gene:B456_013G064900 transcript:KJB79746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLCNFNHEFIIVHLLLFASLLSFTNPTPSPTQDEQSQIISRFQEYLRINTSQPSPDYKKSTQFILTLAESLSLETQVIEFVQGKPLVILKWPGSDLSLPSILLNSHTDVVPSESSKWDYHPFGAHIDENGNIFARGSQDMKCVGMQYMEAVRRLKASGFQPKRSLYLSFVPDEEIGGHDGLEKLAQSDVFKNMNVDIILDEGLASPNENYRLFYGERTPWWLVIKATGAPGHGAKLYDNSAMENLFKSIESIRRFRASQFDLVKAGLKGEGEVISVNMAFLKAGTPSPTGFVMNLQPSEAEAGFDIRIPPTVNAESLEKRIAEEWAPASRNMSFEFKQKGSTQDFWGRPLITATDRSNPWWALLVEAVKKANGKIGKPEIFPAATDSRYFRQQGLRAIGFSPMANTPVLLHDHNEFLNQAEYLKGIDVYESIIKAYTSYIPPGRDSLSRDEL >KJB83641 pep chromosome:Graimondii2_0_v6:13:57253722:57256035:-1 gene:B456_013G256400 transcript:KJB83641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAK >KJB83346 pep chromosome:Graimondii2_0_v6:13:56142073:56149250:1 gene:B456_013G242400 transcript:KJB83346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEYESNNWIWEWMYNTPHLFGGLMLTAALLGFSTSYFGGIGNFPVSYAWSNLGFLLKKKREKRRVRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIIANKGPPILSMEERLALVSGLKWVDQVIANAPYAITEEFMNSLFNEHKIDYVIHGDDPCLLPDGSDAYALAKIAGRYKQIKRTEGVSSTDIVGRILSSVNDNKGVGDNNSTLSKVDSGKRRQLQRGQLSQFLPTSRRIVQFSNGKGPGPNARIVYIDGAFDLFHAGHVEILKKARQLGDFLLVGIYPDYIVSEQRGMPYPVMHLLERTLSVLACRYVDEVIIGAPWEVTKDMITTFNISLVVHGTVAESNSSLPGETDPYAIPKSMGIFRLLESPKSITTSSVAQRIVTNHEVYMKRNAKKLQSEKKYYEEKAYVAGD >KJB83345 pep chromosome:Graimondii2_0_v6:13:56142040:56149185:1 gene:B456_013G242400 transcript:KJB83345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEYESNNWIWEWMYNTPHLFGGLMLTAALLGFSTSYFGGIGNFPVSYAWSNLGFLLKKKREKRRVRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIIANKGPPILSMEERLALVSGLKWVDQVIANAPYAITEEFMNSLFNEHKIDYVIHGDDPCLLPDGSDAYALAKIAGRYKQIKRTEGVSSTDIVGRILSSVNDNKGVGDNNSTLSKVDSGKRRQLQRGQLSQFLPTSRRIVQFSNGKGPGPNARIVYIDGAFDLFHAGHVEILKKARQLGDFLLVGIYPDYIVSEQRGMPYPVMHLLERTLSVLACRYVDEVIIGAPWEVTKDMITTFNISLVVHGTVAESNSSLPGETDPYAIPKSMGIFRLLESPKSITTSSVAQRIVTNHEVYMKRNAKKLQSEKKYYEEKAYVAGD >KJB80371 pep chromosome:Graimondii2_0_v6:13:15335888:15337885:-1 gene:B456_013G094000 transcript:KJB80371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLQIYGEMWRKAVCSIPPYRPFSSSAATDIPTLYSFLQPSIFALKPNKQSKQPQDSTNSQTKTLTEEQKTTLQTTLEKSLLTHNTDEAWKSFKSLTICCTFPNKSLTNSLITHLSSLQDTQNLKRAFASVIFVIEKDPKLLSFETVTTLLSSMNFANTAAPAFALIKCMFKNRYFVPFGLWGNMLVDVSRKSGSLVAFLRVFEECCRIAIDEKLNYMKPDLAACNAALECCCCELESVSDAEKVVATMSVLGVRPDESSFGFLSYLFALKGLEKKIDELEKLMVEFGFSNKRVFFNSLIGGYVKSGKIDSVSTTVLRSLREGNGKEWIFSDETHCEVVKGYLQNGAMKSLASLIIEAQKLESPMLEVDKSFGYGIISACINLGLADKAHSILDEMNAQSGSVGLGVYVPILKAYSKEHRTAEATQLVVDIGNSGLQLDAGMYDMLIEASMTSQDFQSAFTLFRDMRDARILDLKGSYLTIMTGLMENQRPELMAAFLDEVVEDPRIEVKTHDWNSIIHAFCKAGRLEDARRTFRRMTFLQFEPNDQTYLSLINGYVTAEKYFSVLMLWNEIKRKISGGKEKGINFDHNLVDAFLYALVKGGFFDAVMQVVEKSQEMKIFVDKWRYKQAFMEKHKKLKVSKLRRRSFRKMEALIAFKNWAGLNA >KJB80372 pep chromosome:Graimondii2_0_v6:13:15333248:15337960:-1 gene:B456_013G094000 transcript:KJB80372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRKAVCSIPPYRPFSSSAATDIPTLYSFLQPSIFALKPNKQSKQPQDSTNSQTKTLTEEQKTTLQTTLEKSLLTHNTDEAWKSFKSLTICCTFPNKSLTNSLITHLSSLQDTQNLKRAFASVIFVIEKDPKLLSFETVTTLLSSMNFANTAAPAFALIKCMFKNRYFVPFGLWGNMLVDVSRKSGSLVAFLRVFEECCRIAIDEKLNYMKPDLAACNAALECCCCELESVSDAEKVVATMSVLGVRPDESSFGFLSYLFALKGLEKKIDELEKLMVEFGFSNKRVFFNSLIGGYVKSGKIDSVSTTVLRSLREGNGKEWIFSDETHCEVVKGYLQNGAMKSLASLIIEAQKLESPMLEVDKSFGYGIISACINLGLADKAHSILDEMNAQSGSVGLGVYVPILKAYSKEHRTAEATQLVVDIGNSGLQLDAGMYDMLIEASMTSQDFQSAFTLFRDMRDARILDLKGSYLTIMTGLMENQRPELMAAFLDEVVEDPRIEVKTHDWNSIIHAFCKAGRLEDARRTFRRMTFLQFEPNDQTYLSLINGYVTAEKYFSVLMLWNEIKRKISGGKEKGINFDHNLVDAFLYALVKGGFFDAVMQVVEKSQEMKIFVDKWSCTCNLFFIQNPLSMSPLPAAIFISILFLLPQLHKVCAASPAAGRTIPTRGTCNSTCGAIPVMFPFGTGFGCGHPYFARYVKCNAGTLQFSTGTGIYPVSSIDYPTSTIVVADPFMSTCSSMQNSGSFSLDRTSPFTLTGSNIFVLLGCSTTSPVFDPSEDLCDTGSGSRVCSGLYSCKGVTGIGLPQNAPTSTCCVYDSLMGIGSGYSLDLPKLQCSSYTSIYEFGDEGDPMKWKFGISLQYNDSYYTPACKDCETSGGLCGFSGLDESFSCICRDGVNTTTNCFGHGYTWSGAWEPKIQTKTSIGVFLLWWIYLLV >KJB83695 pep chromosome:Graimondii2_0_v6:13:57431130:57432299:1 gene:B456_013G259000 transcript:KJB83695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSLAALKLLCGQLKDARGTPSQSALTLGGILFQRVWLQGVLVSNDDEDCLLLDDSTGIVELNLSGDFRQRQWKTGMYVMVVGGYFVRTGDIPVIKVHKIVDLSPFPDREAMWYLEVLEAYKLFYQPLIEEFI >KJB83694 pep chromosome:Graimondii2_0_v6:13:57430884:57432633:1 gene:B456_013G259000 transcript:KJB83694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSLAALKLLCGQLKDARGTPSQSALTLGGILFQRVWLQGVLVSNDDEDCLLLDDSTGIVELNLSGDFRQRQWKTGMYVMVVGGYFVRTGDIPVIKRCGISKFWKLTNFSTSPSLKNSYELMNHSFLCLLESPKS >KJB78475 pep chromosome:Graimondii2_0_v6:13:48309:52500:1 gene:B456_013G000900 transcript:KJB78475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLILTVSIIAFFLTYKLYQWLRFKLPPGPRPWPVVGNLYDIKPIRFRCFAEWAQVYGPIISVWFGSTLNVIVSNSELAREVLKEHDQQLADRHRSRSAAKFSRDGQDLIWADYGPHYVKVRKVCTLELFSPKRLEALRPIREDEVSAMVESIFLDCTNPESLGKTLQVRIYLGAVAFNNITRLAFGKRFVNSDGIMDEQGHEFKAIVSNGLKLGASLAMAEHIPWLRWMFPLEEEAFAKHGARRDRLTRAIMEEHTLARQKSGGAKQHFVDALLTLQDKYDLSEDTIIGLLWDMITAGMDTTAISVEWAMAELIRNPRVQKKAQEELDRVIGFERVMSETDFSNLPYLQSVAKEALRLHPPTPLMLPHRANANVKIGGYDIPKGSNVHVNVWAVARDPAVWKDPEEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLGINLVTSMLGHLLHHFCWTTAEGVKAEEIDLLENPGLVAYMRTPLQAIATPRLPSHLYKRVAADM >KJB78476 pep chromosome:Graimondii2_0_v6:13:48326:52484:1 gene:B456_013G000900 transcript:KJB78476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLILTVSIIAFFLTYKLYQWLRFKLPPGPRPWPVVGNLYDIKPIRFRCFAEWAQVYGPIISVWFGSTLNVIVSNSELAREVLKEHDQQLADRHRSRSAAKFSRDGQDLIWADYGPHYVKVRKVCTLELFSPKRLEALRPIREDEVSAMVESIFLDCTNPAESLGKTLQVRIYLGAVAFNNITRLAFGKRFVNSDGIMDEQGHEFKAIVSNGLKLGASLAMAEHIPWLRWMFPLEEEAFAKHGARRDRLTRAIMEEHTLARQKSGGAKQHFVDALLTLQDKYDLSEDTIIGLLWDMITAGMDTTAISVEWAMAELIRNPRVQKKAQEELDRVIGFERVMSETDFSNLPYLQSVAKEALRLHPPTPLMLPHRANANVKIGGYDIPKGSNVHVNVWAVARDPAVWKDPEEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLGINLVTSMLGHLLHHFCWTTAEGVKAEEIDLLENPGLVAYMRTPLQAIATPRLPSHLYKRVAADM >KJB79991 pep chromosome:Graimondii2_0_v6:13:9391810:9396268:-1 gene:B456_013G076300 transcript:KJB79991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTISLLSLTLSSFRLLLVLISCFSGGYSSSSSSAAPTRIGKGYRLISIEDTSDGGFLGHLQVNQKNNFYGPDIPLLQLYVKHESENRLRVHITDAEKQRWEVPYNLLPRDQPPKPKQAIGRSRKKPISVLEIAGSELIFLHTVDPFSFAVTRRSNGQTLFNSSSGGGDSFGEMVFKDQYLEISTQLPEDASLYGLGENTQPHGIKLYPNDPYTLYTTDVSAINLNTDLYGSHPVYMELRNVGDKPYAHAVLLLNSNGMDVFYRGNSLTYKLIGGVFDFYFFVGPTPLDVVDQYTSFIGRPAPMPYWSLGFHQCRWGYHNLSVVEDVVENYKKAKIPLDVIWNDDDHMDGHKDFTLNPINYPRPKLLAFLEKIHSIGMKYIVLIDPGIGVNSSYGVYQRAIANDVFIKYEGEPYLAQVWPGAVNFPDFLNPKTVAWWGDEIQRFHELVPVDGLWIDMNEASNFCSGKCTIPEGKQCPSGTGPGWECCLDCKNITKTRWDDPPYNINASGLQVPIGFKTIATSAVHYNGVLEYDAHSLYGFSQAIATHKALQGLEGKRPFILSRSTYVGSGKYAAHWTGDNKGTWEDLKYSISTVLNFGIFGVPMVGADICGFYPAPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWESVTKSAQNALGMRYKLLPYLYTLTYFAHISGAPIARPLFFSFPAFKECHGLSTQFLLGSSLMVSPVLEQGETKVKALFPPGSWYNVFDMTQTVVSKGQYFTLDAPLHVVNVHLYQNTILPMQQGGMISKEPLSRQ >KJB79992 pep chromosome:Graimondii2_0_v6:13:9391810:9396409:-1 gene:B456_013G076300 transcript:KJB79992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTISLLSLTLSSFRLLLVLISCFSGGYSSSSSSAAPTRIGKGYRLISIEDTSDGGFLGHLQVNQKNNFYGPDIPLLQLYVKHESENRLRVHITDAEKQRWEVPYNLLPRDQPPKPKQAIGRSRKKPISVLEIAGSELIFLHTVDPFSFAVTRRSNGQTLFNSSSGGGDSFGEMVFKDQYLEISTQLPEDASLYGLGENTQPHGIKLYPNDPYTLYTTDVSAINLNTDLYGSHPVYMELRNVGDKPYAHAVLLLNSNGMDVFYRGNSLTYKLIGGVFDFYFFVGPTPLDVVDQYTSFIGRPAPMPYWSLGFHQCRWGYHNLSVVEDVVENYKKAKIPLDVIWNDDDHMDGHKDFTLNPINYPRPKLLAFLEKIHSIGMKYIVLIDPGIGVNSSYGVYQRAIANDVFIKYEGEPYLAQVWPGAVNFPDFLNPKTVAWWGDEIQRFHELVPVDGLWIDMNEASNFCSGKCTIPEGKQCPSGTGPGWECCLDCKNITKTRWDDPPYNINASGLQVPIGFKTIATSAVHYNGVLEYDAHSLYGFSQAIATHKALQGLEGKRPFILSRSTYVGSGKYAAHWTGDNKGTWEDLKYSISTVLNFGIFGVPMVGADICGFYPAPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWESVTKSAQNALGMRYKLLPYLYTLTYFAHISGAPIARPLFFSFPAFKECHGLSTQFLLGSSLMVSPVLEQGETKVKALFPPGSWYNVFDMTQTVVSKGQYFTLDAPLHVVNVHLYQNTILPMQQGGMISKEARMTPFTLIVSFPAGASKGEAKGSLYLDNDELPEMKLGNGYSTYVELYATLDQGIVKVWSEVEEGKFALDKGWIIEKVTVLGVGGRETISALEINGSSVAPDACNVELSSMKQKHLRDLEDGQENSKSLMVNITGLKLPVGENFSMSWKMGIQG >KJB83016 pep chromosome:Graimondii2_0_v6:13:54500658:54501961:1 gene:B456_013G225300 transcript:KJB83016 gene_biotype:protein_coding transcript_biotype:protein_coding description:growth-regulating factor 6 [Source:Projected from Arabidopsis thaliana (AT2G06200) TAIR;Acc:AT2G06200] MMMMSGRNSSRFPFTATQWEELQNQVLIFKYMVLGIPIPSYLLFTIKTSFLEPHQHDKIGWNCGGEMRMVRKVDPEPGRCRRTDGKKWRCSKLAYTDSKYCERHMHRGKNRSRKHVEEEEEASTGITMVNPSSTATQSLSLSFSSFETHASTEKPTLCLLGSSSYRFGHKQPWTQFKLMSF >KJB83017 pep chromosome:Graimondii2_0_v6:13:54500658:54501912:1 gene:B456_013G225300 transcript:KJB83017 gene_biotype:protein_coding transcript_biotype:protein_coding description:growth-regulating factor 6 [Source:Projected from Arabidopsis thaliana (AT2G06200) TAIR;Acc:AT2G06200] MMMMSGRNSSRFPFTATQWEELQNQVLIFKYMVLGIPIPSYLLFTIKTSFLEPHQHDKIGWNCGGEMRMVRKVDPEPGRCRRTDGKKWRCSKLAYTDSKYCERHMHRGKNRSRKHVEEEEEASTGITMVNPSSTATQSLSLSFSSFETHASTEKPTLCLLGSSSYRFGHKQPWTQFKLMSF >KJB83331 pep chromosome:Graimondii2_0_v6:13:56071929:56076759:1 gene:B456_013G241400 transcript:KJB83331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPOLAR SPINDLE 1 [Source:Projected from Arabidopsis thaliana (AT5G57880) UniProtKB/Swiss-Prot;Acc:F4KDF5] MSSSEHEAPSAATNTLKEEPLKLAVAISLLRSKFLQRHPPPPSLSDDALKWKRKAKERKQEILKLKDDLKEAEEASQCDIFPQTASCKCYFFDNLGKLSPNRLGDGSDRRFHDVLRRRFLRQVRLRERRRTCRSSQKRRAFGFSDEDQAEQLRASVDFLVELCSSSSPVQESKFKNWSHQAVDFILAALKNLLSMGKNLESVEGVVSRLIMCLVRQMSSPSRRDEARKSDTNSQLHIQHLLRKLGCQSYIGQRIILSISQRISVLAESLLFSDPFDETFPAMHECMFVMIQLIEFLVSDYLLTWSTNEDFENSVFEEWVTSLLQARKSVEVLESRNGLYVQYMDRVVGELSKLVGQSPALLKLNSGIFDALLS >KJB83332 pep chromosome:Graimondii2_0_v6:13:56071941:56076212:1 gene:B456_013G241400 transcript:KJB83332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPOLAR SPINDLE 1 [Source:Projected from Arabidopsis thaliana (AT5G57880) UniProtKB/Swiss-Prot;Acc:F4KDF5] MSSSEHEAPSAATNTLKEEPLKLAVAISLLRSKFLQRHPPPPSLSDDALKWKRKAKERKQEILKLKDDLKEAEEASQCDIFPQTASCKCYFFDNLGKLSPNRLGDGSDRRFHDVLRRRFLRQVRLRERRRTCRSSQKRRAFGFSDEDQAEQLRASVDFLVELCSSSSPVQESKFKNWSHQAVDFILAALKNLLSMGKNLESVEGVVSRLIMCLVRQMSSPSRRDEARKSDTNSQLHIQHLLRKLGCQSYIGQRIILSISQRISVLAESLLFSDPFDETFPAMHECMFVMIQLIEFLVSDYLLTWSTNEDFENILCKYQTCNGSTISTKNVLDPCILHICSLHMVQFAPRR >KJB82807 pep chromosome:Graimondii2_0_v6:13:53516625:53517894:1 gene:B456_013G214600 transcript:KJB82807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKTCAVLTVFSLFLFNLSFTNACHSCKPPKPIPPPAACPPPPAKPASCPKDTLKLGVCADLLGLVNIVVGTPPSSKCCALLQGLADLEAALCLCTAIKANVLGANLNIPITLSLLLSACQKEIPPGFKC >KJB81277 pep chromosome:Graimondii2_0_v6:13:36383317:36383550:-1 gene:B456_013G136200 transcript:KJB81277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKGGSGGGSKGGCGGSGGGSGNVGGGSTSKGGGGASGMMVAPGSVGAAIISRGAFESNPQGYFAGLHSSEKGNK >KJB83374 pep chromosome:Graimondii2_0_v6:13:56374517:56375290:1 gene:B456_013G244500 transcript:KJB83374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor RAP2-11 [Source:Projected from Arabidopsis thaliana (AT5G19790) UniProtKB/Swiss-Prot;Acc:Q6J9S1] MEHQVQTQQKGKFKERRNKFVGVRQRPSGKWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFATHVPTDSHLSLKIRNLLNHKKSLRQGKNNNSNSTTNSNKITIKASTIVGSNDSINSSISNAGTDNFTCNSLVFDGAYRPELSGFVGELGLDPSQLGQSWMIPTGFDQIPLSQGLELPQEVGVLPQGIDQELMEFERLKVERQVSATLYAMNGVNEYLQSAAFDPNDAIWDLTTLCHLFCQS >KJB83399 pep chromosome:Graimondii2_0_v6:13:56440677:56443164:-1 gene:B456_013G245600 transcript:KJB83399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIPRKFVRKYGNQLSSPVKLELPSGAIWQVELAKTDERVRLQNGWREFAEHYSLELGSFVVFRYEGNDHFHVLIFDKSALEIEYPHTSTEGNDVSRKSKEKSHLPCPLPRKKMRIDSSNQHDQNSKLEVLSSGTSPDAQRNVKTKAFSCVQRLAANEKAHAVQIASAFESTENPVFMTVMQPSYVRGTCRMFIPSDFARKFLTVHKSNLTLCNSTGKTWHAKLYYLANKKPNAHLYGGWREFVEDNHLNVGDICVFELIKYPEILMKAQIYPGVKNASKARWQQSLGSIVSQVKNRILVSDAEPNCQQSPSSSRESKDLTDSHIKTLDDSPLDQKTKKKLTRLSVQPCKMTRTSLSGSIQAKGIKHEKGKSLNFQYSTQELGGDLFWKEVRCSEMSEPDPVYQKQRACTGGGAFRTSNPSFSVVIHPSHVRSCSTVHIPEEFGKRYLKKSGEMMLRVADGRSWNVEYERRGRSTSRKAVFEGKSWGQFAMDNEFEVGDVCVFELMNENGNLLEVVIHRKLLLIEIN >KJB80439 pep chromosome:Graimondii2_0_v6:13:17364662:17367472:1 gene:B456_013G097700 transcript:KJB80439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSEARFEETEGGEMKEKPYSNKMSLKYISMAKAEKLKSLILARIQVARLNLWVTRALTMLMLLGITMQLKSLGETVSSSRTPTSTFSYPLPPERVYENNGYLMVSSNGGLNQMRSGICDMVTVARFLNVTLIVPELDNTSFWNDYSQFADIFDVEYFIASLRDEVRILKELPPQQKEKVAESLFSMPPISWSNMTYYYNVILPRIQTNEVVHFQKTDARLANNGLPVEVQKLRCRVNYEALRFAPPIQALAEKIVRILRDKGPFLVLHLRYEMDMIAFSGCNEGCNEQEIDELTKMRYAYPWWKEKVIDSVQKRLAGLCPLTPEETALTLRALGIDHNIQVYIAAGDIYGGERRLATLRAAYPNLVKKETLLPSSELDPFRNHSNQMAALDYYVAVQSETFVPTNGGNMAKVVEGHRRYLGFKKTILLDRKAVVDLVDLYKAGSISWDKFSSEMKEAHADRMGNPAERLVIPGKPKEEDYFYTNPEECLASFDEPQLSNDDHQQDADANAEAEP >KJB79816 pep chromosome:Graimondii2_0_v6:13:7869221:7870621:1 gene:B456_013G068200 transcript:KJB79816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHILEQVIGVPMKSTTYQVERTPKRYLPNSAGQHQVPSSVEGSNIFRKGKGNVVLKRRTSSGRKLIPSHMDSENMGVNESENMKKTSQKYMEIVTVDDFQFWFMGFLNYHKAFKYLQQAIISQRLLHDVLQVTFKVAHYVSL >KJB79908 pep chromosome:Graimondii2_0_v6:13:8397276:8398145:-1 gene:B456_013G071500 transcript:KJB79908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPQHHPQSKPDLPVPPLTSLWIQPTSPRVPLTVTPTAGAQRRITIIVDLSDESSYASQQKLENESDLFTMTKANTLTQPLVDAKILFKIDIVKDHDLKERLCLEVERLGLSAVIMESRGFGATRRTSKGRLGSVNDYCIHHCICPVVVIFGEDAELQPVPKEELEDYDAEEEYRGLS >KJB80413 pep chromosome:Graimondii2_0_v6:13:16026265:16030353:1 gene:B456_013G096000 transcript:KJB80413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSILCDAEVALIIFSNRGKLYEFCSTSSMAKTLEKYNSYTYGALEPGQTEIDAQSNYQEYLKLKSKVEVLQQSQRHFLGEEIADLGTKELEQLEHQLDFSLKKIRSTKMQLMIDQLSELQTKEEVLLETNRNLRMKLDGSGPSMRSSWETGEHSIPYNHPPPPPQSEGFFEPLHCNNSLQIGYNPISVTVEDTATASALAPSGFIPGWML >KJB83703 pep chromosome:Graimondii2_0_v6:13:57489930:57490575:1 gene:B456_013G259800 transcript:KJB83703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKAKFCFFLLFTLTIVSSARKAISISSGDEMLIATEERSLMANIEDYSEPTANRGHDPASRARGGGGNGRGRGRSRGRKG >KJB80770 pep chromosome:Graimondii2_0_v6:13:28332892:28333541:1 gene:B456_013G114700 transcript:KJB80770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYYLEPYCQWKREKGYDAIEIELHGFNIDDVKVVLKQIEEGKSMISIMAENPRCLRKRFEIPNDIYNLEQIRALFWNGKLNIEIPKKVESNKLEISMPKFEFKRILKEDMKNKFISAVECLYANGKIPAFGFMLIASGLFAYKYYIQSYGI >KJB78473 pep chromosome:Graimondii2_0_v6:13:35839:37825:-1 gene:B456_013G000700 transcript:KJB78473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFTMAVAVDQHHGFKPFNRSQRCRLQSFTQLEYNILEVGHKDLAHSLKQVFEVANFHRSFSTPCLSFATNVEQEYATHPRIQIIGGPRLPRINALVIEVAMAIASGVVPVPVSNGLGGTYFLRNRRGETIAVTKPIDEEPLALNNPKGCGGSMLGQPGINRSIRVGETGIRELAAYLLDHGGFAGVPATALVKISHVAFHVNEATAISASPYKIASLQNFISHDFDAGNLGSSCFSVASVHKIGIFDLRILNLDRHAGNILVKKRGQHENFAVEAAELVPIDHGLCLPECLDDPYFEWLHWPQALVPFSESETEYILNLDPNKDAEILRTELPLLRESSIRVLILCTIFLKQAVSAGLCLADIGAMMTRELRGDEEKMSMLETLCVKAKESISEVDDGMDRYEVDDTGIFLVDNDIEGTSNEIAADIPKLFQNPLNLAKPPRPSKLSSVRLMPCASLPPLYKDTEVHIENGAKSNGKAAVGTVQGMSFSVQNGSGGGGVNLGDMRESKWEMFLESFVKLLPQAFDGSSKLKLRTSCNF >KJB82555 pep chromosome:Graimondii2_0_v6:13:51326821:51328100:1 gene:B456_013G202300 transcript:KJB82555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYGYAYRGGYTTYNGGVAPRGGTEGWNKTSYSSDHTCQPVFIDAEGRRKPITSYTGPQGSTEYYVTKTEIVELPYMAERKQRAPVRVEVVRDYGEGKLMTRPLSPGEWRESSSPVRYHTEEKWNKPSRPVQYDVEEKWNKPSSPVRYRVEEKWNKPSSPVRYRVEEKWNKPSSPVRYHVEEKWTNRPSSPVKERPQQVPDFITKVQTQASRPNKFGPLSATYWRQTPATTTTTVGGPWNNQSHGGGWSKGHEANLSQPTSNINTAVEYLKEAVKPPAPRYDGYPNTIDSREAERKYGGLAVGTPPIGSYGRTIDSREAARKYRGTAV >KJB82554 pep chromosome:Graimondii2_0_v6:13:51326821:51328100:1 gene:B456_013G202300 transcript:KJB82554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYGYAYRGGYTTYNGGVAPRGGTEGWNKTSYSSDHTCQPVFIDAEGRRKPITSYTGPQGSTEYYVTKTEIVELPYMAERKQRAPVRVEVVRDYGEGKLMTRPLSPGEWRESSSPVRYHTEEKWNKPSRPVQYDVEEKWNKPSSPVRYRVEEKWNKPSILLVMVSKRNGVGLRVLFGTMSKRNGRTGLQVRLRNVHNKFRISLPKFKLKLADQTSSVLSAQHIGARHQPRPPQPLVVRGTTRVMVGDGPKDTRLTLANQPATSTLPWNT >KJB82556 pep chromosome:Graimondii2_0_v6:13:51326821:51328105:1 gene:B456_013G202300 transcript:KJB82556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYGYAYRGGYTTYNGGVAPRGGTEGWNKTSYSSDHTCQPVFIDAEGRRKPITSYTGPQGSTEYYVTKTEIVELPYMAERKQRAPVRVEVVRDYGEGKLMTRPLSPGEWRESSSPVRYHTEEKWNKPSRPVQYDVEEKWNKPSSPVRYRVEEKWNKPSILFGTMSKRNGRTGLQVRLRNVHNKFRISLPKFKLKLADQTSSVLSAQHIGARHQPRPPQPLVVRGTTRVMVGDGPKDTRLTLANQPATSTLPWNT >KJB78850 pep chromosome:Graimondii2_0_v6:13:1670314:1671235:-1 gene:B456_013G022900 transcript:KJB78850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAEVVHGSQANAASGMAVHDDCKLKFLELKAKRTYRFIVFKIEEKQKQVVVEKVGEPTDSYEAFTASLPADECRYAVYDFDFVTDENCQKSRIFFIAWSPDTSKVRSKMIYASSKDRFKRELDGIQVELQATDPSEMDLDVIKSRAN >KJB78849 pep chromosome:Graimondii2_0_v6:13:1670303:1672382:-1 gene:B456_013G022900 transcript:KJB78849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVHDDCKLKFLELKAKRTYRFIVFKIEEKQKQVVVEKVGEPTDSYEAFTASLPADECRYAVYDFDFVTDENCQKSRIFFIAWSPDTSKVRSKMIYASSKDRFKRELDGIQVELQATDPSEMDLDVIKSRAN >KJB80478 pep chromosome:Graimondii2_0_v6:13:17916600:17917578:-1 gene:B456_013G0995001 transcript:KJB80478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSSARIVLVVVFGVLALIGSAQAQLQMNFYASSCPKAEQIVLDYVKQHIPNAPSLAASFLRMHFHDCIVRGCDASVLLNSTSGQAEKNAVPNLTLRGFDFIERVKSLLEAECPGVVSCADILTLVARDSVVTTGGPFWNVPTGRRDGVISNITEANTNIPSPFHNFTTLLTLFSNQGLNLTDLVLLSGGHTIGISHCPA >KJB80871 pep chromosome:Graimondii2_0_v6:13:29674238:29690110:-1 gene:B456_013G119000 transcript:KJB80871 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MAAVPVEEAIAALSTFSLEDEQPEVQGPAVLVSTERGSTISPIEYSDVSAYRLSLTEDTKALNQLNTLIQDGKEMASVLYTYRSCVKALPQLPESMKHSQADLYLETYQVLDLEMSRLREIQRWQASAATKLAADMQRFSRPERRINGPTITHLWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSIQWPDTDSMREELDDLQIFLSTRWAILLNLHVEMFRVNNVEDILQVLIVFAVESLELDFALLFPERHMLLRVLPVLVVMATSSEKDSESLYKRVKINRLINIFKNDPVIPAFPDLHLSPTAILKELSNYFQKFSSQTRLLTLPSPHELPPREAQDYQRHYLIVNHIGAIRAEHDDFAIRFASAMNQLLLLKSTDGADVEWCKEVKGNMYDMVVEGFQLLSRWTARVWEQCAWKFSRPCKDVGPSDSQELSSSYSDYEKVVRYNYSAEERKALVELVSYIKSVGSTMQRSDTLIADALWETIHAEVQDFVQNTLATMLRTTFKKKKDLSRILSDMRTLSADWMANTNKPDSEFQSLQHGGDESRGNFFYPRPVAPTAAQVHCLQFLIYEVVSGGNLRKPGGLFGNSGSEIPVNDLKQLETFFYKLSFFLHILDYSATIATLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVLESQSAGLLESVLMPFDIYNDSAQHALVVLKQRFLYDEIEAEVDHCFDIFVTKLCEAIFTYYKSWSASELLDPSFLFALDNGEKYSIQPMRFTSLLKMTRVKLLGRTIDLRSLIAERMNKVFRENLEFLFDRFESQDLCAIVELEKLIDILKHSHELLSKDLSIDPFSLMLNEMQENISLVSFSSRLASQIWSEMQSDFLPNFILCNTTQRFIRSSKVPLVPVQKPSVPHAKPNFYCGTQDLNSAHQSFARLHSGFFGIPHMISLVKLLGSRSLPWLIRALLDHISNKIATLEPMITGLQETLPKSIGLLPFDGGVTGCMRLVKEQLSWGTKSELKAEVLRGIKEIGSVLYWMGLLDIVLRELDTTHFMQTAPWLGLLPGADGQMLSQNAGESPVVNLFKSATAAIVSNPRCPNPTSFYTMSKQAEAADLLYKANLNTGSVLEYALAFTSAALDKYCSKWSAAPKTGFIDITTSKDFYRIYSGLQIGYLEQSVQLQPNNHDMLGDSVAWGGCTIIYLLGQQLHFELFDFSYQILNVAEVEAASIMQTHKSPHSGQGWDSMLEAMKKARRLNNHVFSMLKARCPLEDKMACAIKQSGAPLPRIKFENTVSAFETLPQKGT >KJB80872 pep chromosome:Graimondii2_0_v6:13:29674295:29690070:-1 gene:B456_013G119000 transcript:KJB80872 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MAAVPVEEAIAALSTFSLEDEQPEVQGPAVLVSTERGSTISPIEYSDVSAYRLSLTEDTKALNQLDGKEMASVLYTYRSCVKALPQLPESMKHSQADLYLETYQVLDLEMSRLREIQRWQASAATKLAADMQRFSRPERRINGPTITHLWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSIQWPDTDSMREELDDLQIFLSTRWAILLNLHVEMFRVNNVEDILQVLIVFAVESLELDFALLFPERHMLLRVLPVLVVMATSSEKDSESLYKRVKINRLINIFKNDPVIPAFPDLHLSPTAILKELSNYFQKFSSQTRLLTLPSPHELPPREAQDYQRHYLIVNHIGAIRAEHDDFAIRFASAMNQLLLLKSTDGADVEWCKEVKGNMYDMVVEGFQLLSRWTARVWEQCAWKFSRPCKDVGPSDSQELSSSYSDYEKVVRYNYSAEERKALVELVSYIKSVGSTMQRSDTLIADALWETIHAEVQDFVQNTLATMLRTTFKKKKDLSRILSDMRTLSADWMANTNKPDSEFQSLQHGGDESRGNFFYPRPVAPTAAQVHCLQFLIYEVVSGGNLRKPGGLFGNSGSEIPVNDLKQLETFFYKLSFFLHILDYSATIATLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVLESQSAGLLESVLMPFDIYNDSAQHALVVLKQRFLYDEIEAEVDHCFDIFVTKLCEAIFTYYKSWSASELLDPSFLFALDNGEKYSIQPMRFTSLLKMTRVKLLGRTIDLRSLIAERMNKVFRENLEFLFDRFESQDLCAIVELEKLIDILKHSHELLSKDLSIDPFSLMLNEMQENISLVSFSSRLASQIWSEMQSDFLPNFILCNTTQRFIRSSKVPLVPVQKPSVPHAKPNFYCGTQDLNSAHQSFARLHSGFFGIPHMISLVKLLGSRSLPWLIRALLDHISNKIATLEPMITGLQETLPKSIGLLPFDGGVTGCMRLVKEQLSWGTKSELKAEVLRGIKEIGSVLYWMGLLDIVLRELDTTHFMQTAPWLGLLPGADGQMLSQNAGESPVVNLFKSATAAIVSNPRCPNPTSFYTMSKQAEAADLLYKANLNTGSVLEYALAFTSAALDKYCSKWSAAPKTGFIDITTSKDFYRIYSGLQIGYLEQSVQLQPNNHDMLGDSVAWGGCTIIYLLGQQLHFELFDFSYQILNVAEVEAASIMQTHKSPHSGQGWDSMLEAMKKARRLNNHVFSMLKARCPLEDKMACAIKQSGAPLPRIKFENTVSAFETLPQKGT >KJB80882 pep chromosome:Graimondii2_0_v6:13:29854235:29855913:1 gene:B456_013G119700 transcript:KJB80882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMEIGNDEQIMLPKKEHEDYDREKEVKAFDDTKAGVQGLVDVGVVKLPPIFHMPREYIHDHPTLNGHNLHGFQVPIIDLKHVSDPCLRKEIVDKIRLASEKWGFFQVINHGIPQDVMREVLEGVRRFHEQPREVKMNYYSRETGIVRYYTNYDLHQSKGASWRDTLICVMAPNPPPPKMYPSVCREILVEYSKHVQSIGDVIFELLSEALGLDPDHLKDIGCLEGHTFGCQYYPPCPEPERTLGHVRHRDPDFLTILLQDQIGGLQVLHQDHWIDVPPLEGALIINIGDLLQLISNDKFRSVEHRVLAKSVGPRISLACLFSTHSEPSNRVYGPLKQLLSPQNPPLYEDTTILNYLNSYKSVGGLENALSFHKL >KJB81289 pep chromosome:Graimondii2_0_v6:13:36701322:36702677:-1 gene:B456_013G137200 transcript:KJB81289 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAGK [Source:Projected from Arabidopsis thaliana (AT3G57560) UniProtKB/TrEMBL;Acc:A0A178V7F4] MGATATGKSLHFCSSQDPLSFLSLTKTKSKAETLNLNFRFSKPPLTPNSRRLRLSIRASSPSSTALNDPPLPSLTNSDLQLRVRILSESLPYIQKFRGKTIVVKYGGAAMKSAELKASVVRDLVLLSCVGLRPVLVHGGGPEINVLLNQLNIPAQFRDGLRVTDARTMEVVSMVLVGKVNKDLVSRINYAGATAVGLSGMDGRLLVARPSPNAAQLGFVGEVARVDPTVLQTIVDNGSIPVIASVAADEYGQPYNINADTVAGEVAAALGAEKLILLTDVAGILRNREDPGSLVKEIDIKGVKKMMEEGKVGGGMIPKVNCCIRSLAQGVTTASIIDGRVEHSLLHEIMTDEGAGTMITG >KJB81258 pep chromosome:Graimondii2_0_v6:13:35748189:35755855:-1 gene:B456_013G134900 transcript:KJB81258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLFSQTSCSLLRRQRRPKDIPHRWFLSSASFSTAEYDDGDAFQSSPSKVKIFDRHLKRTQRDRAAWLLRPNDSFVHAVAENLVDRLEDCKKTFPTALCLGGSLQAVRQMLRGRGAIQKFIMMDTSYDMLKLCKSSQLDSGNENIETSYVVGDEEFLPIKESSVDLVISCLGLHWTNDLPGAMIQCKLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRVSPLAQVRDAGNLLTRAGFALPGVDVDEYVVRYKSALDLIEHLRAMGETNALLQRTNILKRETALATAAIYDSMFAAKDGTIPATFQVIYMTGWREHPSQQKSKRRGSATISFKDIQKQFGNGS >KJB81262 pep chromosome:Graimondii2_0_v6:13:35748223:35755565:-1 gene:B456_013G134900 transcript:KJB81262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLFSQTSCSLLRRQRRPKDIPHRWFLSSASFSTAEYDDGDAFQSSPSKVKIFDRHLKRTQRDRAAWLLRPNDSFVHAVAENLVDRLEDCKKTFPTALCLGGSLQAVRQMLRGRGAIQKFIMMDTSYDMLKLCKSSQLDSGNENIETSYVVGDEEFLPIKESSVDLVISCLGLHWTNDLPGAMIQCKLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRVSPLAQVRDAGNLLTRAGFALPGVDVDEYVVRYKSALDLIEHLRAMGETNALLQRTNILKRETALATAAIYDSMFAAKDGTIPATFQVIYMTGWREHPSQQKSKRRGSATISFKDIQKQFGNGS >KJB81259 pep chromosome:Graimondii2_0_v6:13:35748527:35755447:-1 gene:B456_013G134900 transcript:KJB81259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLFSQTSCSLLRRQRRPKDIPHRWFLSSASFSTAEYDDGDAFQSSPSKVKIFDRHLKRTQRDRAAWLLRPNDSFVHAVAENLVDRLEDCKKTFPTALCLGGSLQAVRQMLRGRGAIQKFIMMDTSYDMLKLCKSSQLDSGNENIETSYVVGDEEFLPIKESSVDLVISCLGLHWTNDLPGAMIQCKLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRVSPLAQVRDAGNLLTRAGFALPGVDVDEYVVRYKSALDLIEHLRAMGETNALLQRTNILKRETALATAAIYDSMFAAKDGTIPATFQVIYMTGWREHPSQQKSKRRGSATISFKDIQKQFGNGS >KJB81260 pep chromosome:Graimondii2_0_v6:13:35748527:35754435:-1 gene:B456_013G134900 transcript:KJB81260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGRGAIQKFIMMDTSYDMLKLCKSSQLDSGNENIETSYVVGDEEFLPIKESSVDLVISCLGLHWTNDLPGAMIQCKLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRVSPLAQVRDAGNLLTRAGFALPGVDVDEYVVRYKSALDLIEHLRAMGETNALLQRTNILKRETALATAAIYDSMFAAKDGTIPATFQVIYMTGWREHPSQQKSKRRGSATISFKDIQKQFGNGS >KJB81263 pep chromosome:Graimondii2_0_v6:13:35748240:35755774:-1 gene:B456_013G134900 transcript:KJB81263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLFSQTSCSLLRRQRRPKDIPHRWFLSSASFSTAEYDDGDAFQSSPSKVKIFDRHLKRTQRDRAAWLLRPNDSFVHAVAENLVDRLEDCKKTFPTALCLGGSLQAVRQMLRGRGAIQKFIMMDTSYDMLKLCKSSQLDSGNENIETSYVVGDEEFLPIKESSVDLVISCLGLHWTNDLPGAMIQCKLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRVSPLAQVRDAGNLLTRAGFALPGVDVDEYVVRYKSALDLIEHLRAMGETNALLQRTNILKRETALATAAIYDSMFAAKDGTIPATFQSTLKEGEIFCALFWHFPHHLISFFKSKVIYMTGWREHPSQQKSKRRGSATISFKDIQKQFGNGS >KJB81264 pep chromosome:Graimondii2_0_v6:13:35749587:35755774:-1 gene:B456_013G134900 transcript:KJB81264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLFSQTSCSLLRRQRRPKDIPHRWFLSSASFSTAEYDDGDAFQSSPSKVKIFDRHLKRTQRDRAAWLLRPNDSFVHAVAENLVDRLEDCKKTFPTALCLGGSLQAVRQMLRGRGAIQKFIMMDTSYDMLKLCKSSQLDSGNENIETSYVVGDEEFLPIKESSVDLVISCLGLHWTNDLPGAMIQCKLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRVSPLAQVRDAGNLLTRAGFALPGVDVDEYVVRYKSALDLIEHLRAMGETNALLQRTNILKRETALATAAIYDSMFAAKDGTIPATFQVSALISIPRAVIWKKRICIPSIFCSLI >KJB81261 pep chromosome:Graimondii2_0_v6:13:35748223:35755774:-1 gene:B456_013G134900 transcript:KJB81261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLFSQTSCSLLRRQRRPKDIPHRWFLSSASFSTAEYDDGDAFQSSPSKVKIFDRHLKRTQRDRAAWLLRPNDSFVHAVAENLVDRLEDCKKTFPTALCLGGSLQAVRQMLRGRVDLVISCLGLHWTNDLPGAMIQCKLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRVSPLAQVRDAGNLLTRAGFALPGVDVDEYVVRYKSALDLIEHLRAMGETNALLQRTNILKRETALATAAIYDSMFAAKDGTIPATFQVIYMTGWREHPSQQKSKRRGSATISFKDIQKQFGNGS >KJB81257 pep chromosome:Graimondii2_0_v6:13:35748190:35755812:-1 gene:B456_013G134900 transcript:KJB81257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLFSQTSCSLLRRQRRPKDIPHRWFLSSASFSTAEYDDGDAFQSSPSKVKIFDRHLKRTQRDRAAWLLRPNDSFVHAVAENLVDRLEDCKKTFPTALCLGGSLQAVRQMLRGRGAIQKFIMMDTSYDMLKLCKSSQLDSGNENIETSYVVGDEEFLPIKESSVDLVISCLGLHWTNDLPGAMIQCKLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRVSPLAQVRDAGNLLTRAGFALPGVDVDEYVVRYKSALDLIEHLRAMGETNALLQRTNILKRETALATAAIYDSMFAAKDGTIPATFQVIYMTGWREHPSQQKSKRRGSATISFKDIQKQFGNGS >KJB82928 pep chromosome:Graimondii2_0_v6:13:54104659:54107903:1 gene:B456_013G221000 transcript:KJB82928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACLGRIVQDTDADSIPDDDVSTLSESLDLQGTIESGGITGVAGKDFGGLYSVKPLALIKPSGTEDIARVVNAASRTSHLTVAARGNGHSINGQAMADGGFVIDMRSTEENHFKPLTIDGSHYIDVSGGALWEDVLKRCVSMFRLAPRSWTDYLSLTVGGTLSNAGVSGQAFRFGPQTSNVTELEVVTGKGEITVCSETQNSELFFGALGGLGQLGIITRARVKLQRAPDMVRWIRVVYTEFEEFARDAEFLVTQEESESFDYVEGFVFSNSDDPINGWPSVPLDPDHEFNPAYIPQTAGSVLYCLEVALHYRNSDRPSTVDTAVSRLLERLGSIQRLKFQLNVSYVEFLLRVKQVEEHAKANGNWDSPHPWLNIFISKSSIVDFDRTVFRKMLKDGVGGPMLIYPLLRSKWDSRTSVVLPEGEIFYIVALLRFVPKGPTVEKLVAQNHEIIKWCNKEGLDFKLYLPHYQSKEDWKRHFGNQWTRFVERKTNFDPMAILAPGQKIFKRTHIIKP >KJB80099 pep chromosome:Graimondii2_0_v6:13:11072803:11076164:1 gene:B456_013G080800 transcript:KJB80099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLLGCLAALIVMTILSAVVGWAAPNLISRKWTHHITTVLFFGFGLWSLWDGFMEDGEAEELAEVEAKLDADWKANPGTVKGGNKADDDSKKERQPFLTQFFSPIFLKAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQALCTTAAVLGGKSLACQISEKIVIQIRTFPFYPYATVMVRI >KJB80100 pep chromosome:Graimondii2_0_v6:13:11072507:11076777:1 gene:B456_013G080800 transcript:KJB80100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLLGCLAALIVMTILSAVVGWAAPNLISRKWTHHITTVLFFGFGLWSLWDGFMEDGEAEELAEVEAKLDADWKANPGTVKGGNKADDDSKKERQPFLTQFFSPIFLKAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQALCTTAAVLGGKSLACQISEKIVALSGGVLFIVFGFQSLLSTVES >KJB83734 pep chromosome:Graimondii2_0_v6:13:57585562:57586975:1 gene:B456_013G261800 transcript:KJB83734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKATKQTKFRWADIEDNDAEDLTYLLPPKEVIGPDKNGIKKVIEYKFNEEGKQVRVTTTIRVRKIAVDSPRKERILERRSWAKFGSAMGDEDDDSRLTMISTEEISLERRGSKVEEPKVAAGHSLAQQAKNGAVLMLCRTCGKKGDHWTARCPYKDQAPPPANGTVDKPPASETGSGKTAYVPPSKRGVGAKRNETDMKHRDEENTIRFTNLSEDAMESDLRELVAPFGPVSRVHVGINRKTGLCRGFGFVNFVKKEDAERAVLKLNGYGYDSLILKVEWAGPSTN >KJB83524 pep chromosome:Graimondii2_0_v6:13:56952835:56957056:1 gene:B456_013G251900 transcript:KJB83524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVVLGVAVGVAVAACTVAALVVGRRVRSRRKWKRVVGVLKEMEESCETTVGRLKQVVDAMAVEMHAGLASEGGSKLKMLLTFVDNLPSGSEKGIFYALDLGGTNFRVLRVELGGQRSDLDPDVEQQPIPEQLMTGRSEDLFDFIASSLYQFVEKNDSVQSPITKLLGFTFSFPVKQTSVSSGVLIKWTKGFAIRDMVEKEVAGALQQALTRKGLNMRVSVLQVNDTVGTLALGHYHDADTVAAVIIGTGTNACYWERTDAIIKCQGLLTTSGGMVVNMEWGNFWSSHLPRTSYDIELDAESPNPNDQGFEKMISGMYLGDIVRRVILRMSEESDVFGPVSSRLYLPFTLRTPLMAAMHEDDSPELTQVARILKDVLDIPDIPLKARKLVVKICDVVTRRAARLAAAGIVGILKKIGRDGSGGITGGRSRSDIKMRRTAVAVEGSLYTQYTMFREYLHEALNEILGEDIARHVVVIVTEDGSGTGAALLAASHSSENVNNIQLI >KJB83526 pep chromosome:Graimondii2_0_v6:13:56953107:56956278:1 gene:B456_013G251900 transcript:KJB83526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVVLGVAVGVAVAACTVAALVVGRRVRSRRKWKRVVGVLKEMEESCETTVGRLKQVVDAMAVEMHAGLASEGGSKLKMLLTFVDNLPSGSEKGIFYALDLGGTNFRVLRVELGGQRSDLDPDVEQQPIPEQLMTGRSEDLFDFIASSLYQFVEKNDSVQSPITKLLGFTFSFPVKQTSVSSGVLIKWTKGFAIRDMVEKEVAGALQQALTRKGLNMRVSVLVNDTVGTLALGHYHDADTVAAVIIGTGTNACYWERTDAIIKCQGLLTTSGGMVVNMEWGNFWSSHLPRTSYDIELDAESPNPNDQGFEKMISGMYLGDIVRRVILRMSEESDVFGPVSSRLYLPFTLRYASV >KJB83523 pep chromosome:Graimondii2_0_v6:13:56952682:56957056:1 gene:B456_013G251900 transcript:KJB83523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVVLGVAVGVAVAACTVAALVVGRRVRSRRKWKRVVGVLKEMEESCETTVGRLKQVVDAMAVEMHAGLASEGGSKLKMLLTFVDNLPSGSEKGIFYALDLGGTNFRVLRVELGGQRSDLDPDVEQQPIPEQLMTGRSEDLFDFIASSLYQFVEKNDSVQSPITKLLGFTFSFPVKQTSVSSGVLIKWTKGFAIRDMVEKEVAGALQQALTRKGLNMRVSVLVNDTVGTLALGHYHDADTVAAVIIGTGTNACYWERTDAIIKCQGLLTTSGGMVVNMEWGNFWSSHLPRTSYDIELDAESPNPNDQGFEKMISGMYLGDIVRRVILRMSEESDVFGPVSSRLYLPFTLRTPLMAAMHEDDSPELTQVARILKDVLDIPDIPLKARKLVVKICDVVTRRAARLAAAGIVGILKKIGRDGSGGITGGRSRSDIKMRRTAVAVEGSLYTQYTMFREYLHEALNEILGEDIARHVVVIVTEDGSGTGAALLAASHSSENVNNIQLI >KJB83529 pep chromosome:Graimondii2_0_v6:13:56955239:56957056:1 gene:B456_013G251900 transcript:KJB83529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSVLVNDTVGTLALGHYHDADTVAAVIIGTGTNACYWERTDAIIKCQGLLTTSGGMVVNMEWGNFWSSHLPRTSYDIELDAESPNPNDQGFEKMISGMYLGDIVRRVILRMSEESDVFGPVSSRLYLPFTLRTPLMAAMHEDDSPELTQVARILKDVLDIPDIPLKARKLVVKICDVVTRRAARLAAAGIVGILKKIGRDGSGGITGGRSRSDIKMRRTAVAVEGSLYTQYTMFREYLHEALNEILGEDIARHVVVIVTEDGSGTGAALLAASHSSENVNNIQLI >KJB83527 pep chromosome:Graimondii2_0_v6:13:56952835:56957056:1 gene:B456_013G251900 transcript:KJB83527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVVLGVAVGVAVAACTVAALVVGRRVRSRRKWKRVVGVLKEMEESCETTVGRLKQVVDAMAVEMHAGLASEGGSKLKMLLTFVDNLPSGSEKGIFYALDLGGTNFRVLRVELGGQRSDLDPDVEQQPIPEQLMTGRSEDLFDFIASSLYQFVEKNDSVQSPITKLLGFTFSFPVKQTSVSSGVLIKWTKGFAIRDMVEKEVAGALQQALTRKGLNMRVSVLVNDTVGTLALGHYHDADTVAAVIIGTGTNACYWERTDAIIKCQGLLTTSGGMVVNMEWGNFWSSHLPRTSYDIELDAESPNPNDQESDFKDVRRVGCFWTGFFQVIFAFHLKDTINGCNARRRLPRINTSCKNLKRRS >KJB83528 pep chromosome:Graimondii2_0_v6:13:56954823:56957056:1 gene:B456_013G251900 transcript:KJB83528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTFVQDLFDFIASSLYQFVEKNDSVQSPITKLLGFTFSFPVKQTSVSSGVLIKWTKGFAIRDMVEKEVAGALQQALTRKGLNMRVSVLVNDTVGTLALGHYHDADTVAAVIIGTGTNACYWERTDAIIKCQGLLTTSGGMVVNMEWGNFWSSHLPRTSYDIELDAESPNPNDQGFEKMISGMYLGDIVRRVILRMSEESDVFGPVSSRLYLPFTLRTPLMAAMHEDDSPELTQVARILKDVLDIPDIPLKARKLVVKICDVVTRRAARLAAAGIVGILKKIGRDGSGGITGGRSRSDIKMRRTAVAVEGSLYTQYTMFREYLHEALNEILGEDIARHVVVIVTEDGSGTGAALLAASHSSENVNNIQLI >KJB83525 pep chromosome:Graimondii2_0_v6:13:56952835:56957056:1 gene:B456_013G251900 transcript:KJB83525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVVLGVAVGVAVAACTVAALVVGRRVRSRRKWKRVVGVLKEMEESCETTVGRLKQVVDAMAVEMHAGLASEGGSKLKMLLTFVDNLPSGSEKGIFYALDLGGTNFRVLRVELGGQRSDLDPDVEQQPIPEQLMTGRSEDLFDFIASSLYQFVEKNDSVQSPITKLLGFTFSFPVKQTSVSSGVLIKWTKGFAIRDMVEKEVAGALQQALTRKGLNMRVSVLVNDTVGTLALGHYHDADTVAAVIIGTGTNACYWERTDAIIKCQGLLTTSGGMVVNMEWGNFWSSHLPRTSYDIELDAESPNPNDQGFEKMISGMYLGDIVRRVILRMSEESDVFGPVSSRLYLPFTLRYASV >KJB78791 pep chromosome:Graimondii2_0_v6:13:1335026:1336978:1 gene:B456_013G019200 transcript:KJB78791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELDWKAKMVSSDIPKKSPKLSNKLQVSIPTPFRFSNMSSPLSTSPSASKAYDYYLRLPELRKLWETEEFPAWKNEVVLKPALHALEITFRFISIVLSDPRPYLNRREWTRRLQSLTTSQIELIAMLCEDENDAPILDLTSSNGVLTRESSSAEVWKIHGETTVVNRTSEASLLPRLVTWQKSEDVAQKILYSIECEMRRCPYTLGLGEPNLSGKPNLDYDAVCKPNELHALKSSPYDHIENHENSTLYTTNQILESWIQTAKQLLKRIASGIDAGSFEAAAGDCYILEKIWKLLEEIEDLHLLMDPNDFLHLKSQLQIKSVNETEAFCFRSKGLVEITKLSKELKHKVPFILGVEVDPNGGPRIQEAAMRLYSEQKEGNKVSLVQALQAIEAALKRFFFGYKQVLMIVMGSLEGKGNRVVACSDSGDSLSQIFLEPTYFPSLDAAKTFLGEFWSREQGESRFKK >KJB80290 pep chromosome:Graimondii2_0_v6:13:14493713:14494298:-1 gene:B456_013G090400 transcript:KJB80290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRYCIPPVLTLILSLFLSPSNSDGDSLIFVRKYSIIELPFLFVALFFVLPFLFISADLPLNLNLIWFRTGDFSIAVTASLLASLFFPPSLFWPFHFFILLSYPCHGLLFDLFKHLFRWFHAFLHSLPTWSFSFTRNEESSSSPSPLHVGDMEENPTYVIRIVASSVVSRDFIV >KJB82794 pep chromosome:Graimondii2_0_v6:13:52761498:52769667:-1 gene:B456_013G213300 transcript:KJB82794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLAQRNEQYSSASFGFWSKHRDDVSYNQLQKFWSELPLQARQELLRIDKQTLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSLQQEGVAGSLHYNKPGLSKSPSDGGLSMPDGSQDEIQNPSVHPWGGLTTSRDGSLTLIDCYLCSKSLKGLQNVFDSACARERERELLYPDACGGGGRGWISQGIPSYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFLYEVSDDSVQADWRQTFADTVGTYHHFEWAVGTGEGKSDIMEFENVGMNGTVQVNGLDLGGLSICYITLRACKLDGRCSEISVKAHALKGQQCVHCRLVVGDGYVTITRGESIRIFFEHAEEAEEEEDDDSMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVSLAVKLLEERVYVACKEIITLEKQMKLLEEEEKEKREEEERKERKRTKEREKKLRRKERLKGKEREKEKNVLKEESSLTHEVEENIVINCRDSVSDTGDIIVSRPGSPDVQDEQFLDGHSTSSLQNHSSDSPDAEGTKVKDGNGSFIMEQSKFSRRRLKFRKDGQFDPSMKWCDRRRFAVVSESTPVNRSEPRHQSENFEAPSRNINGSNRQLRITNVKSNGRNCGVKYTEKYQCSNGRSDRYDICCCGEHNEYRTNIEPHVSATRVGREPKSVSKAESKLAMPKQLYCGNKYNQQVYMREDHGKLKLKIIAGNNPSGRDSLYSKKVWEPTEVHKKYPRSNSDTDIALRSSTYIEGAGPDDNFVKSSSEMRSSEASVNLGEIDHEHSNVNRSRNSNLATDKDCHAETQDQCSSLNAAYEEVRICPNRNLTLNGIPHSTMSSTSNSDNCSSCLSEGDSNTSASNHGNLESSSTSDSEDACQQSDRRDASICIENGFSECQVKGMDKKQDADGGVALERQALFGHQPDGTGNKAPGNLPTKTAENSDNGKPTAFMGSQHQGMFTSVHNQHIQFPVYPTPSTMGYYHQSPVSWPATPANGLVPFPPNPYLYTGPLGYGLNGNSHLCMPYGALQHLAAPPFNPDPVPVYQPVSEANGLYAEERTLIPKPGRTSEAFTEFSAERVVPGRLHATEKTAIGEVWQNDVSVKSNADDSSFSLFHFGGPVALSTGCKTSPVPLKDEIVEELSSQFSADHVENGHGCNKKESTIEQYNLFAASNGLRFSFF >KJB78586 pep chromosome:Graimondii2_0_v6:13:1000181:1002602:-1 gene:B456_013G014700 transcript:KJB78586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRKCCVLGNLVTAPVVEKFWQRECEMSLVDYASSSDDDVSDSEHEPPQQRHEPPPAPPPRRPPSPPKTLESGSSAVQKPETLEKLPDASMLLNSPTVPLTSGNDHASVVAAAMADNLSRKRDSKGMMGSGSSNSTPPLRRAKLPRATLPHSKSVPDTGGVMWSLKISVSYSSTDMSPHSPKDLKISNSLFPPRGIAFYSS >KJB78584 pep chromosome:Graimondii2_0_v6:13:1000512:1002563:-1 gene:B456_013G014700 transcript:KJB78584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRKCCVLGNLVTAPVVEKFWQRECEMSLVDYASSSDDDVSDSEHEPPQQRHEPPPAPPPRRPPSPPKTLESGSSAVQKPETLEKLPDASMLLNSPTVPLTSGNDHASVVAAAMADNLSRKRDSKGMMGSGSSNSTPPLRRAKLPRATLPHSKSVPDTGGGSLVPPQLRGRSNVVTEDISKLFINRHVATQPKGPEN >KJB78585 pep chromosome:Graimondii2_0_v6:13:1000218:1002563:-1 gene:B456_013G014700 transcript:KJB78585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRKCCVLGNLVTAPVVEKFWQRECEMSLVDYASSSDDDVSDSEHEPPQQRHEPPPAPPPRRPPSPPKTLESGSSAVQKPETLEKLPDASMLLNSPTVPLTSGNDHASVVAAAMADNLSRKRDSKGMMGSGSSNSTPPLRRAKLPRATLPHSKSVPDTGGGSLVPPQLRGSNVVTEDISKLFINRHVATQPKGPEN >KJB80709 pep chromosome:Graimondii2_0_v6:13:26338229:26338654:-1 gene:B456_013G111700 transcript:KJB80709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEGAVRARSKKALLVKSEDDDVKAVRYNPQVSRIQTEERTKESAEIYSICFASLFILFRMLFVFCFLITTLLLCNRRKCDSNSESYEDNVNLIKFGGFSKEVKMSKVFKYNEVNSKNEGIRRTRTRKIINFFPFSNTVH >KJB80188 pep chromosome:Graimondii2_0_v6:13:12744780:12749095:-1 gene:B456_013G085700 transcript:KJB80188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSHARNRVESKSKSTTNPQKMDPIKLQIRSNSRSTALFSRNSRQTKPIPSFIAVSIAISLALFAFIYIVFFSSKGSVKYGIIIDGGSTGTRIHVIRYRVDGGKNPVFDFKEGLDSLRVNPGLSAYAEDLAGPGYSLKELLEFARRKVPKKQWGETEIRLMATAGMRLLDDEVQERILEQCRKVLRVSGFKFRDDWASVITGSDEGVYAWVVANYVLGTLGGNPLHTTGIIELGGASAQVTFFSNEPMPSEFSRSIKFGNITYSLYSHSFLHFGQNVAHESLRASLVKGDFSPAADSLHKEMYIDPCTPKGYFPESSNLSLGSVAEKSKYISEFKARGNFSECRSAALTLLQKGKERCSYDHCYLGSVFMPKLRGKFLATENFFYTSKFFRLRQRAFLSNLIMAGKHFCEEDWSNLKKKHQSLNEEDLLRYCFSSAYIVALLHDSLEIALDDERISFANQVNDIPLDWALGAFILQSTSISDVQQTDWITIIMSSDSSTLISITAISAILMFAAWSISKWRKPQLKTVYDLEKGRYIVTRIGRS >KJB80191 pep chromosome:Graimondii2_0_v6:13:12746241:12749068:-1 gene:B456_013G085700 transcript:KJB80191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSHARNRVESKSKSTTNPQKMDPIKLQIRSNSRSTALFSRNSRQTKPIPSFIAVSIAISLALFAFIYIVFFSSKGSVKYGIIIDGGSTGTRIHVIRYRVDGGKNPVFDFKEGLDSLRVNPGLSAYAEDLAGPGYSLKELLEFARRKVPKKQWGETEIRLMATAGMRLLDDEVQERILEQCRKVLRVSGFKFRDDWASVITGSDEGVYAWVVANYVLGTLGGNPLHTTGIIELGGASAQVTFFSNEPMPSEFSRSIKFGNITYSLYSHSFLHFGQNVAHESLRASLVKGDFSPGMFQCISFLPKFAGSKVLEEV >KJB80189 pep chromosome:Graimondii2_0_v6:13:12744878:12749068:-1 gene:B456_013G085700 transcript:KJB80189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSHARNRVESKSKSTTNPQKMDPIKLQIRSNSRSTALFSRNSRQTKPIPSFIAVSIAISLALFAFIYIVFFSSKGSVKYGIIIDGGSTGTRIHVIRYRVDGGKNPVFDFKEGLDSLRVNPGLSAYAEDLAGPGYSLKELLEFARRKVPKKQWGETEIRLMATAGMRLLDDEVQERILEQCRKVLRVSGFKFRDDWASVITGSDEGVYAWVVANYVLGTLGGNPLHTTGIIELGGASAQVTFFSNEPMPSEFSRSIKFGNITYSLYSHSFLHFGQNVAHESLRASLVKGDFSPAADSLHKEMYIDPCTPKGYFPESSNLSLGSVAEKSKYISEFKARGNFSECRSAALTLLQKGKERCSYDHCYLGSVFMPKLRGKFLATENFFYTSKV >KJB80190 pep chromosome:Graimondii2_0_v6:13:12747198:12748805:-1 gene:B456_013G085700 transcript:KJB80190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSHARNRVESKSKSTTNPQKMDPIKLQIRSNSRSTALFSRNSRQTKPIPSFIAVSIAISLALFAFIYIVFFSSKGSVKYGIIIDGGSTGTRIHVIRYRVDGGKNPVFDFKEGLDSLRVNPGLSAYAEDLAGPGYSLKELLEFARRKVPKKQWGETEIRLMATAGMRLLDDEVQERILEQCRKVLRVSGFKFRDDWASVITGSDEGVYAWVVANYVLGTLGGNPLHTTGIIELGGASAQVTFFSNEPMPSEFSRSIKFGNITYSLYSHSFLHFGQVTLACISFFYLFISCFYGCYASIF >KJB81613 pep chromosome:Graimondii2_0_v6:13:41647584:41648381:-1 gene:B456_013G152100 transcript:KJB81613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSLQNLFKFALFSVVFISMATLAIASFQFRVGGEGGWTKPTGNEPETYNEWASRNRFHVGDSLYFRYSNDSVLVVNHTSYTNCSVSNPILKFKDGDTVFEFDRYGFFYFISGERGHCKAGQKLIIRVMVHPAMSSPQPAQSPMEDGGSNDDGDGWDSIWGPPPRNSTIKQAVASYFMTALGGILVIMYLLM >KJB83225 pep chromosome:Graimondii2_0_v6:13:55428297:55430011:-1 gene:B456_013G236300 transcript:KJB83225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSPGTALLSKEHSSNLDAGIDVGNGSMKLLNSNSSVAHHHYNLGRSLFLKRSRHYYGHHYSRRNSGSLSNPTTSRGLISPLHDERLSFKFAQYKPESGRDGGEKAFGRPERIRSTSLVMDAVLPDQVNAVCGLCQKHLRRKPYILGNTLASGEFSVVAVLVCGHVYHVDCLEKRTSFEERRDPRCPLCSSSPSQI >KJB83227 pep chromosome:Graimondii2_0_v6:13:55428305:55431271:-1 gene:B456_013G236300 transcript:KJB83227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKSSQLHRNLDLPSPSENMPCSPGTALLSKEHSSNLDAGIDVGNGSMKLLNSNSSVAHHHYNLGRSLFLKRSRHYYGHHYSRRNSGSLSNPTTSRGLISPLHDERLSFKFAQYKPESGRDGGEKAFGRPERIRSTSLVMDAVLPDQVNAVCGLCQKHLRRKPYILGNTLASGEFSVVAVLVCGHVYHVDCLEKRTSFEERRDPRCPLCSSSPSQI >KJB83224 pep chromosome:Graimondii2_0_v6:13:55428309:55430148:-1 gene:B456_013G236300 transcript:KJB83224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSPGTALLSKEHSSNLDAGIDVGNGSMKLLNSNSSVAHHHYNLGRSLFLKRSRHYYGHHYSRRNSGSLSNPTTSRGLISPLHDERLSFKFAQYKPESGRGADGGEKAFGRPERIRSTSLVMDAVLPDQVNAVCGLCQKHLRRKPYILGNTLASGEFSVVAVLVCGHVYHVDCLEKRTSFEERRDPRCPLCSSSPSQI >KJB83228 pep chromosome:Graimondii2_0_v6:13:55428573:55429414:-1 gene:B456_013G236300 transcript:KJB83228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSPGTALLSKEHSSNLDAGIDVGNGSMKLLNSNSSVAHHHYNLGRSLFLKRSRHYYGHHYSRRNSGSLSNPTTSRGLISPLHDERLSFKFAQYKPESGRGADGGEKAFGRPERIRSTSLVMDAVLPDQVNAVCGLCQKHLRRKPYILGNTLASGEFSVVAVLVCGHVYHVDCLEKRTSFEERRDPRCPLCSSSPSQI >KJB83226 pep chromosome:Graimondii2_0_v6:13:55428297:55431271:-1 gene:B456_013G236300 transcript:KJB83226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKSSQLHRNLDLPSPSENMPCSPGTALLSKEHSSNLDAGIDVGNGSMKLLNSNSSVAHHHYNLGRSLFLKRSRHYYGHHYSRRNSGSLSNPTTSRGLISPLHDERLSFKFAQYKPESGRGADGGEKAFGRPERIRSTSLVMDAVLPDQVNAVCGLCQKHLRRKPYILGNTLASGEFSVVAVLVCGHVYHVDCLEKRTSFEERRDPRCPLCSSSPSQI >KJB81547 pep chromosome:Graimondii2_0_v6:13:40962874:40964553:-1 gene:B456_013G149600 transcript:KJB81547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEAPTSMETETVASETKLESNTLPPKPKFEPLKAHEMSDGRIQFRKVSVPPHRYSPLKKYWMDIYTPIYEQMKIDIRMNLKARKVELKARSDTPDVSNLQKCADFVQAFMLGFDVPDSIALLRLDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENATKTRIVIADTKIHILGSFANIKIARDSLCSLILGSPAGKVYSKLRQVTARLAERF >KJB83021 pep chromosome:Graimondii2_0_v6:13:54526447:54529898:1 gene:B456_013G225700 transcript:KJB83021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa, Meiotic and somatic DNA repai [Source: Projected from Oryza sativa (Os02g0776800)] MPVNLTRNAIAMMNSGDVNSKPLVQVVDIKLIGNSQERYRFLLSDSESTQHAMLATQLNEQVRTGRVKKGSIIQLIDYICSTVQNRRIIVVLNMETIIPEYEIIGNPKLPTGSEPEANKSMPNNNLLEPSTRSTNNRYSAPAPANKAQSFQPTIQPAYQPPPNYKIQGPIMKNEAPARIIPIAALNPYQGRWAIKARVTAKGDLRRYNNARGDGKVFSFDLLDSDGGEIRVTCFNAVVDRFYNVIEVGKVYLISKGSLKPAQKNFNHLKNEWEIFLESNSTVELCPDEDGSIPRQQFSFRPITEIESAENNSLLDVIGIVISVNPSVPILRKNGMETQRRILNLKDASGKSVELTLWGDFCNKEGQKLQEMVDSGLFPVLAVKAGKVNDFNGKSVGTISSTQLFIDPDCPEAQGLRAWFESGGRNTASISISKELMPGGSKNEIRKTLTQIKDEGLGRSDKPDWVTAKATVVFIKTDNFCYTACPLMVGDRQCNKKVTQSGNKRWLCDRCNQEFEECDYRYLLQVQIEDHTGLTWVTAFQEAGEEILGCSAKEMYLLKYELQDDTRFGEIIRSRLFHQYLFRLKIKEELYGDEQRVKITVVKVDKVNYSAESRYLLDMVTKNFPNRKQF >KJB83022 pep chromosome:Graimondii2_0_v6:13:54526767:54529898:1 gene:B456_013G225700 transcript:KJB83022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa, Meiotic and somatic DNA repai [Source: Projected from Oryza sativa (Os02g0776800)] METIIPEYEIIGNPKLPTGSEPEANKSMPNNNLLEPSTRSTNNRYSAPAPANKAQSFQPTIQPAYQPPPNYKIQGPIMKNEAPARIIPIAALNPYQGRWAIKARVTAKGDLRRYNNARGDGKVFSFDLLDSDGGEIRVTCFNAVVDRFYNVIEVGKVYLISKGSLKPAQKNFNHLKNEWEIFLESNSTVELCPDEDGSIPRQQFSFRPITEIESAENNSLLDVIGIVISVNPSVPILRKNGMETQRRILNLKDASGKSVELTLWGDFCNKEGQKLQEMVDSGLFPVLAVKAGKVNDFNGKSVGTISSTQLFIDPDCPEAQGLRAWFESGGRNTASISISKELMPGGSKNEIRKTLTQIKDEGLGRSDKPDWVTAKATVVFIKTDNFCYTACPLMVGDRQCNKKVTQSGNKRWLCDRCNQEFEECDYRYLLQVQIEDHTGLTWVTAFQEAGEEILGCSAKEMYLLKYELQDDTRFGEIIRSRLFHQYLFRLKIKEELYGDEQRVKITVVKVDKVNYSAESRYLLDMVTKNFPNRKQF >KJB80193 pep chromosome:Graimondii2_0_v6:13:12816958:12818876:1 gene:B456_013G085800 transcript:KJB80193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVYECHKMDFTKAEKLESQNEVQQPQQPTKGTSFLRTCFNGLNALSGVGILSIPYALAQGGWLSLFLLFLVAVLCWYTGLLLRRCMDTHPLIKTYPDIVEFLILEGDNLDKLFPNTAFKVAGMKIRGKQAFVMLTSLIVLPTTWLKSLALLAYVSAGGVLASFILVICIFWVGAVDKVGFHENYLDLNWRGMPIAISMFAFCYCGHAVFPTLCSSMKDRSKFSKVLLVCFITSTINYGSMAVMGYLMFGEHLKSQVTLNLPIKKMTTKIAIYTTLINPLTKYAIITAPITTAIEETSLFRNSRSLSILIRTALVISTVIVALTIPFFGYVMAFIGSFLSVTASMLLPCLCYLKLNKAAQKMGLELIIIVAILVAGLFIGVVGTFTSIKQIVKHL >KJB80192 pep chromosome:Graimondii2_0_v6:13:12816889:12818876:1 gene:B456_013G085800 transcript:KJB80192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVYECHKMDFTKAEKLESQNEVQQPQQPTKGTSFLRTCFNGLNALSGVGILSIPYALAQGGWLSLFLLFLVAVLCWYTGLLLRRCMDTHPLIKTYPDIGERAFGYKGRAVVSVFMYVELYLVAVEFLILEGDNLDKLFPNTAFKVAGMKIRGKQAFVMLTSLIVLPTTWLKSLALLAYVSAGGVLASFILVICIFWVGAVDKVGFHENYLDLNWRGMPIAISMFAFCYCGHAVFPTLCSSMKDRSKFSKVLLVCFITSTINYGSMAVMGYLMFGEHLKSQVTLNLPIKKMTTKIAIYTTLINPLTKYAIITAPITTAIEETSLFRNSRSLSILIRTALVISTVIVALTIPFFGYVMAFIGSFLSVTASMLLPCLCYLKLNKAAQKMGLELIIIVAILVAGLFIGVVGTFTSIKQIVKHL >KJB81407 pep chromosome:Graimondii2_0_v6:13:39457157:39457508:1 gene:B456_013G143700 transcript:KJB81407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLRVKMEEINEEQKNIRELQGELREKIEAIDLECEQLREETTMVRQQSVNTQIRLALMFQILKARQNHDFAQASHLTSTLRGNISKLRV >KJB80961 pep chromosome:Graimondii2_0_v6:13:31722245:31726420:-1 gene:B456_013G123200 transcript:KJB80961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSDQLADSLGKLSLDSQAVTAKVPDTVKKTYGYMPYGAYSMPSSPLPAMGHDGQLHALQEYHYPSPYYQPLPQTSQANVSQVEVSTSGVGDQASLSIETNKGNTSTITNGGGLSGNSGSGSLRSTFKSSSLNPNASYKRGGLATGNLSLGFSYDGIQSTIPWLDMSMSSNGQSKHAAHGGFSSYMNNASSGRNQNLHHFPHVMNLHNARPSSGVAQAYGYMNHMYPSNMTYDHYGNAIRGGSGFGSYGYDAWKKGLGWYPVGNNIKSKGRGYGKESMNGLNELNKGPRVKGYRSQDGVEAATLAVKEPNLPLTESDKENNVSLVPDIEQYNKEDFPESYSDAKFFIIKSYSEDDVHKSIKYNVWASTSTGNKKLDAAFHETKGNPDICPVFLLFSVNTSGQFVGLAEMVGPVDFNKTVEYWQQDKWTGCFPVKWHIIKDVPNTSLRHITLENNENKPVTNSRDTQEVFSVYICVHMIYHITRFRYECRVWVYTQNGSIF >KJB80962 pep chromosome:Graimondii2_0_v6:13:31722245:31726598:-1 gene:B456_013G123200 transcript:KJB80962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSDQLADSLGKLSLDSQAVTAKVPDTVKKDLYGDNGSYVYQQTYGYMPYGAYSMPSSPLPAMGHDGQLHALQEYHYPSPYYQPLPQTSQANVSQVEVSTSGVGDQASLSIETNKGNTSTITNGGGLSGNSGSGSLRSTFKSSSLNPNASYKRGGLATGNLSLGFSYDGIQSTIPWLDMSMSSNGQSKHAAHGGFSSYMNNASSGRNQNLHHFPHVMNLHNARPSSGVAQAYGYMNHMYPSNMTYDHYGNAIRGGSGFGSYGYDAWKKGLGWYPVGNNIKSKGRGYGKESMNGLNELNKGPRVKGYRSQDGVEAATLAVKEPNLPLTESDKENNVSLVPDIEQYNKEDFPESYSDAKFFIIKSYSEDDVHKSIKYNVWASTSTGNKKLDAAFHETKGNPDICPVFLLFSVNTSGQFVGLAEMVGPVDFNKTVEYWQQDKWTGCFPVKWHIIKDVPNTSLRHITLENNENKPVTNSRDTQEVNFEQGVQILKIFKDHSSKTCILDDFEFYEARQKIIQEKKTKHQLSQKQIQVSSGEPKDVVTDNKKSAAIANETLEKSVEAALIEEPTVASKGENGNMKPVEANGSVAATEDDNPTKAVSVGNAC >KJB79546 pep chromosome:Graimondii2_0_v6:13:7446843:7447582:-1 gene:B456_013G066100 transcript:KJB79546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSIAIPILCTILLLALLSPSKGDPKFCPTTMQISGSCGPNGAFECFEAINAKYGASAMAQRCSCKDLSANEHLCQCYIVCQ >KJB80558 pep chromosome:Graimondii2_0_v6:13:20549992:20551603:-1 gene:B456_013G103800 transcript:KJB80558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTQLFTSLLLFALPFLILTIIRRTSKSQKSASSPSNIPKSYPIIGSYFAIKSNQENRVQWTTEILQSSLSATFTLHNLFGSRQIFTANPANVQHMLKTHFFNYYKGPVSRGVLFDFLGHGIFNVDGESWKYQRQVSSHEFNTKSLRKFVQTVVDTELHDRLIPMLSDAVVDKSVLDLQEILQRFAFDNVCKIAFGFDPACLLPSLPSAQFAEAFEDATDISSKRFRAFLSIAWKIKRFFNVGSEKRLHTAVTQVRDFARKIVREKKQELADKSSLESVDLLSRFLTSGHTDENFATDIVISFILAGRDTTSAALTWFFWLLHKNPEVEKEILKEIKGKSGMPVFEEVKDMVYTHAALCECMRLYPPVPLDSKTAVDDDILPDGTVVKKGTVVTYHPYAMGRMEKIWGSDWAEFKPERWLQRDEAGKWIFAGRDPYTYPVFQAGPRLCLGKEMAFLQMKRVVSGVLRQFKVVPAVEDGFQPSFVVYLTSKMKGGFPVRMEERENVD >KJB80434 pep chromosome:Graimondii2_0_v6:13:17236774:17237227:-1 gene:B456_013G097300 transcript:KJB80434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGLTPTVNHGRKDSLKKNCHFDILSSISLVPRALCSRSDHIDIPSTQHRSSKGSRRLTKSRKPRSFQKMDSNSKNA >KJB79063 pep chromosome:Graimondii2_0_v6:13:2444059:2445890:1 gene:B456_013G032200 transcript:KJB79063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGKYYCDYCDKQFQDTPAARKRHLQGLQHLRAKAQWFHSQNAQDLYQTSVPPFSKGVCNRFLNTGFCQYGDNCKYFHPNNDSRTPNPPLSTAPPGGVEGNGNGMTISWGNLPPSLKPPPEAGYPPLPFVDWG >KJB79064 pep chromosome:Graimondii2_0_v6:13:2444368:2445791:1 gene:B456_013G032200 transcript:KJB79064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGKYYCDYCDKQFQDTPAARKRHLQGLQHLRAKAQWFHSQNAQDLYQTSVPPFSKGVCNRFLNTGFCQYGDNCKYFHPNNDSRTPNPPLSTAPPGGGI >KJB81345 pep chromosome:Graimondii2_0_v6:13:37680701:37681159:-1 gene:B456_013G140000 transcript:KJB81345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKPNAVSLQDKLQMVENEEQVVLKHIHDLNKWTDAIDGMNEEQLKEYLENRPQELKSVKINNSNNKPKQKQKSKLQKSKPSTCSGIMAAVWKFHKEDNDEDDVNKGIQHTLPSSQVK >KJB83059 pep chromosome:Graimondii2_0_v6:13:54722407:54725109:1 gene:B456_013G227700 transcript:KJB83059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAKPITSPVSDAWYPTLALLMLSIGLVVTASFFIYEATSLKRNRCLAKELITGGVASVFLGFGSLFLLLSAGVYV >KJB79837 pep chromosome:Graimondii2_0_v6:13:7971504:7972033:-1 gene:B456_013G068900 transcript:KJB79837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIFLKLKRTKTIKNYLFCILFPVPLLPRALRNRSDHIGIPSTQHRSSKGSRRLTKARKPGSFRKWIPIRRVHNRMNKLTLTRQFGIQFRIFLGGISKELECNNIDSYRRKRFSIGSNVVPMG >KJB81275 pep chromosome:Graimondii2_0_v6:13:36352046:36352279:-1 gene:B456_013G136000 transcript:KJB81275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKGGSGGGGKGGCGGSGGGSGNVRGGSTSKGGGGASGMIVAPGSGGAAIISRGAFESNPQGYFAGLHSSEKGNK >KJB80395 pep chromosome:Graimondii2_0_v6:13:15664635:15666956:1 gene:B456_013G095100 transcript:KJB80395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSRIIFRSVLRSSRRPSLAFAASSLSSARFSPLPLPTALNPPFELKSISSFTSSFTRRLSHNTASVHNKNENHQQEEEELHFFGTAEDDGEFTDEWEEEDETVEPKVGDGGDGGGVVLQGVPWGESALSIAHEALKLFSDDIKLYAFKTTPRGYIYVRLDKLSDEKLPEVLCSHLVMDNLSMCVYMVVPAWRNCRVIVKNIRKD >KJB80393 pep chromosome:Graimondii2_0_v6:13:15664593:15667090:1 gene:B456_013G095100 transcript:KJB80393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSRIIFRSVLRSSRRPSLAFAASSLSSARFSPLPLPTALNPPFELKSISSFTSSFTRRLSHNTASVHNKNENHQQEEEELHFFGTAEDDGEFTDEWEEEDETVEPKVGDGGDGGGVVLQGVPWGESALSIAHEALKLFSDDIKLYAFKTTPRGYIYVRLDKLSDEYGCPSMEELQSYSQEYKKRLDEAGQRREIPDDLALQVSSPGAERILKIWLRKRTVYGSWQR >KJB80398 pep chromosome:Graimondii2_0_v6:13:15664663:15666956:1 gene:B456_013G095100 transcript:KJB80398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSRIIFRSVLRSSRRPSLAFAASSLSSARFSPLPLPTALNPPFELKSISSFTSSFTRRLSHNTASVHNKNENHQQEEEELHFSVGTAEDDGEFTDEWEEEDETVEPKVGDGGDGGGVVLQGVPWGESALSIAHEALKLFSDDIKLYAFKTTPRGYIYVRLDKLSDEYGCPSMEELQSYSQEYKKRLDEAGQRREIPDDLALQVSSPGAERILKVPDDLDRFKDMAMRVCYIEDAGSNYTEKSGVFLLDLVEEENCVWKLAEVKENRDPNSKGRPFSRKQKDWRLKLPFDKHKMIMLYLEY >KJB80394 pep chromosome:Graimondii2_0_v6:13:15664635:15666956:1 gene:B456_013G095100 transcript:KJB80394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSRIIFRSVLRSSRRPSLAFAASSLSSARFSPLPLPTALNPPFELKSISSFTSSFTRRLSHNTASVHNKNENHQQEEEELHFFGTAEDDGEFTDEWEEEDETVEPKVGDGGDGGGVVLQGVPWGESALSIAHEALKLFSDDIKLYAFKTTPRGYIYVRLDKLSDDRKLPEVLCSHLVMDNLSMCVYMVVPAWRNCRVIVKNIRKD >KJB80396 pep chromosome:Graimondii2_0_v6:13:15664678:15665357:1 gene:B456_013G095100 transcript:KJB80396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSRIIFRSVLRSSRRPSLAFAASSLSSARFSPLPLPTALNPPFELKSISSFTSSFTRRLSHNTASVHNKNENHQQEEEELHFFGTAEDDGEFTDEWEEEDETVEPKVGDGGDGGGVVLQGVPWGESALSIAHEALKLFSDDIKLYAFKTTPRGYIYVRLDKLSDE >KJB80397 pep chromosome:Graimondii2_0_v6:13:15664678:15665357:1 gene:B456_013G095100 transcript:KJB80397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSRIIFRSVLRSSRRPSLAFAASSLSSARFSPLPLPTALNPPFELKSISSFTSSFTRRLSHNTASVHNKNENHQQEEEELHFFGTAEDDGEFTDEWEEEDETVEPKVGDGGDGGGVVLQGVPWGESALSIAHEALKLFSDDIKLYAFKTTPRGYIYVRLDKLSDE >KJB80392 pep chromosome:Graimondii2_0_v6:13:15664585:15666956:1 gene:B456_013G095100 transcript:KJB80392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSRIIFRSVLRSSRRPSLAFAASSLSSARFSPLPLPTALNPPFELKSISSFTSSFTRRLSHNTASVHNKNENHQQEEEELHFFGTAEDDGEFTDEWEEEDETVEPKVGDGGDGGGVVLQGVPWGESALSIAHEALKLFSDDIKLYAFKTTPRGYIYVRLDKLSDEYGCPSMEELQSYSQEYKKRLDEAGQRREIPDDLALQVSSPGAERILKVPDDLDRFKDMAMRVCYIEDAGSNYTEKSGVFLLDLVEEENCVWKLAEVKENRDPNSKGRPFSRKQKDWRLKLPFDKHKMIMLYLEY >KJB78674 pep chromosome:Graimondii2_0_v6:13:787361:792747:-1 gene:B456_013G011600 transcript:KJB78674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALLSAPEITSQSLSTSPGSSSSSSSLTSNDDSEFVTSSVSERTLEDNGNGKTNDSNENGEGKFETVPERPFMADPDEVIPTARDVSDAPFVGSDGSNVILNEDSFGGGDNGLEEFRGEGSMGKVDVDSVGNGEKDDKVEMGSIGEVDQSVLSMESGEEGRIGIFENDLVLDGGAKGVNPMIVETVDHQVVEVDGSKFSGEEELAVYAKPLTGAALGIETSVMKETEVVPVDDNASMDNGLNHADGSKFSGGEELAVDAENKADIASGVMLGKDNSGTELNDLKDEEVIDILEQAFSEKVDGGGGDGIQAKDSSAQPTEIMAAHETENLDSDSQSKRSVTLPGEESHLPESVEQTTVAGKVSFEGEMEEKQHQNEGSETDGEAESMFFKNAEAAKQFLEELKRGSAIGSHSGADTSHNHIQTNAVDSDEEGDTDEEGEGKELFDSAALAALLKAATDAGSDGGNITITSQDGSRLFTVERPAGLGSSLQNATSAPRSNRPNIFSPAVTSRGDSDNNLTEEDKIKLEKLQLIRVKFLRILQRLGLSTEDSLAAQVLYRLAHVARRQTSELFSVDSAKRKALELETEGKDDLSFSINMLVLGKIGVGKSATINSIFGESKTSIHAFEPATTVVKEITGMVDGVKLRIIDTPGLKSSAMEQGANHKVLASIKQYIKKCPPDVVVYVDRLDSQTRDLNDLPLLRSITNSLGSSIWKNAIVALTHAASAPPDGPSGSPLSYEVFVAQRSHVAQQSIGQAVGDLRVMDPSLRNPVCLVENHPSCRKNRDGQKVLPNGQTWRPQLLLLCYSIKVLSEASSLSKPQDPFDHRKLFGFRVRSPPLPYLLSWLLQSRSHPKLSSDQGGENGDSDIDVDDLSDSEQEEDEDEYDKLPPFKALRKAQLAKLDKEQRKAYFEEYDYRVKLLQKKQWREELRRMRELKKRKPAVDEYGNTGEDVDQETGGPAAVPVPLPDMVLPPSFDADNPAYRYRFLEPTSQFLARPVLDTHGWDHDCGYDGVNVEHSLAIANQFPATVSVQLTKDKKEFNIHLDSSVSTKHGENGSTMAGFDIQNVGKQLAYIFRGETKFKNLKKNKTAAGFSVTFLGENVATGLKLEDHIVVGKRLVLVGSTGTVRSKGDSAYGANLEMRLRGADFPIDQDQSTLGLSLVKWRGDLALGANFQTQLSVGRSSKVAVRAGLNNKMSGQITVRTSSSDQLQIALTGLLPVVMAIYKSIKPRMMVAT >KJB83384 pep chromosome:Graimondii2_0_v6:13:56383070:56383629:1 gene:B456_013G2447001 transcript:KJB83384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFKYDTIPDEPPSDNTKVTLAPIVLNMTHRNFVEIIFENHETAIQSYHLSGYSFFAVGMDIGKWSPEKRMNYNLLDAVSRHTIQVFPNSWSAILLTFDNCGMWNLRSEIWDRHYLGQQLYASVISPNRSLKDEYNLPEGVLTCGIVQGMPRPPPFSS >KJB79602 pep chromosome:Graimondii2_0_v6:13:5675338:5676023:-1 gene:B456_013G057000 transcript:KJB79602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWKKEKSNPQNQQTRTTKNILNQIHYAADKKQRGIQGVEGEGEGGGEWKGIEGIAVGIEGMLGRGGSVALGTLGNEGKAGMVGSGGSARGLGIVGMVGCGVLRINGNGGSVAVGKVGIGGSC >KJB81504 pep chromosome:Graimondii2_0_v6:13:40838440:40841659:-1 gene:B456_013G149100 transcript:KJB81504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX15 [Source:Projected from Arabidopsis thaliana (AT5G56090) UniProtKB/Swiss-Prot;Acc:Q9FKT8] MFQSRVVASILKRNKAIINSLTSNLKGTCSSRCFTPSSANAVARTSFYGFRSLPKGHHVPSFRNMSTVASVGTEKQGLKMLVTAGPRAQKMVGIWLFGSAAWVFSMVVLGGVTRLTRSGLSMTDWKFAGNLPPLSDEEWQQEFEKYKQSPEYKRVNKGMSIEEFKFIYWMEYAHRMWGRALGVMFALPFSYFLRKGYITMRLGLRLSALFALGAGQGLIGWWMVKSGLEEPASEYVEPRVSPYRLAAHLTSAFVIYSGLFWTALSVVMPEPPAESLAWVQGAAKLKRLAIPVSLIVGITAVSGAFVAGNDAGRAYNTFPKMGDVWVPDNIFELKPTIRNFFENTSMVQLDHRILATTTLISICGLWWSTKKLDIHPAIRTLVGATFGMAALQVTLGVSTLLSYVPVSVGTAHQAGALTLLTLMLLLNHTVRRPSMSLLKTLPEVTKTAW >KJB83329 pep chromosome:Graimondii2_0_v6:13:56059653:56062804:1 gene:B456_013G241200 transcript:KJB83329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGFPAPKTFNQGYSLTYDDVILLPHYIDFPTDAVSVSTRLSRNIPLSIPCVASPMDTVSEAHMAASMAALGGIAIVHYNCTSSQQASIIRSAKSLRVPITPSVSFASPVDGLPAYHGRYVFITESGGLPSKLLGYLSSSDCENLKDKPVKIFDYKRDCKSVEYVNWDCDLGKIETLLDEKDSDFLVLERDGEAVNVVVKEDVERLKGYPKLGAGTVGADGEWMVGAAIGTRESDKERLEHLVKAGANVVVLDSSQGNSIYQIEMIKFIKKTYPQLDVIGGNVVTMAQAQNLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSIAALHGVPVIADGGISNSGHIVKALVLGASTVMMGSFLAGSTEAPGAYEYKNGQRVKKYRGMGSLEAMTKGSDQRYLGDTAKLKIAQGVVGAVADKGSVLKFIPYTMQAVKQGFQDLGASSLPSAHELLRSGTLRFEVRTGAAQAEGGVHGLVSYEKKSF >KJB79959 pep chromosome:Graimondii2_0_v6:13:8998598:8999735:-1 gene:B456_013G074700 transcript:KJB79959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDIYRKFTNALAVVVIVSVGWICYEIYFKANDVYNEQWQNAWIIPAFWQILSFSLLCVICVLWAPSQNSTRYAYSGEANEDFDKDDTNLTLIKPSPTPSKDFRTAPETRTVQGSNGASSNGDLEEDKTE >KJB81503 pep chromosome:Graimondii2_0_v6:13:40687360:40690418:1 gene:B456_013G147800 transcript:KJB81503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGSECSALELVEDNEATQKTIPHVGDDKMKNNHGDDCESKNNGSCAIDNLTQRSAIDQTGDSDALTAGNHVKGTAEIVLPMHSPPLVAGKSPGGSSPPTTKGYGLKKWRRIKRDFVKDSTTSMDSSKILKRGLTGSANPDPSKPQQRASPEIKQNRASPIGPVNMLNTSVAPGSMFAVGAAFASATDSENSEDRSSKSSTAASMPKVRYDLPAVLGYMHDKNQMKNLGGNIVGNSSHRVHQGKGRAESSKKARGVRVKIEKENSHSSIESDSRSSNFIFTQHPISVTSNGKQSGNPMNYDGENSDEAHEDDHQISEEVQTVYRKENSGDIEELSPEDLAAELSWEDKEEKSGNHRPSPDQDPLVQSILALQSVQEALENEVQKLVEIGKEPVRDGSVNINNVLVDSTFADEEIHETSSSDQLGSEKITKSASGSLETQVFTLTHKVKYLESKLEEARSVLQVKESKISELKTSVKSSGSWKEESRSIAESQQNEYREMELDLEGLFQKKMEAEIEFLVLTWEIEKLKVSVGNQITVVEEQTSLVVEQEQMLNKLGEVESKAVMLKKQAEELEKYHGDILDVEEVLQMRGRVCKVMSCFFTQLVLLVLVFLFLILQLSIHSGVVVPT >KJB81502 pep chromosome:Graimondii2_0_v6:13:40686806:40690418:1 gene:B456_013G147800 transcript:KJB81502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGSECSALELVEDNEATQKTIPHVGDDKMKNNHGDDCESKNNGSCAIDNLTQRSAIDQTGDSDALTAGNHVKGTAEIVLPMHSPPLVAGKSPGGSSPPTTKGYGLKKWRRIKRDFVKDSTTSMDSSKILKRGLTGSANPDPSKPQQRASPEIKQNRASPIGPVNMLNTSVAPGSMFAVGAAFASATDSENSEDRSSKSSTAASMPKVRYDLPAVLGYMHDKNQMKNLGGNIVGNSSHRVHQGKGRAESSKKARGVRVKIEKENSHSSIESDSRSSNFIFTQHPISVTSNGKQSGNPMNYDGENSDEAHEDDHQISEEVQTVYRKENSGDIEELSPEDLAAELSWEDKEEKSGNHRPSPDQDPLVQSILALQSVQEALENEVQKLVEIGKEPVRDGSVNINNVLVDSTFADEEIHETSSSDQLGSEKITKSASGSLETQVFTLTHKVKYLESKLEEARSVLQVKESKISELKTSVKSSGSWKEESRSIAESQQNEYREMELDLEGLFQKKMEAEIEFLVLTWEIEKLKVSVGNQITVVEEQTSLVVEQEQMLNKLGEVESKAVMLKKQAEELEKYHGDILDVEEVLQMRGRVCKVMSCFFTQLVLLVLVFLFLILQLSIHSGVVVPT >KJB82336 pep chromosome:Graimondii2_0_v6:13:49136424:49137316:-1 gene:B456_013G190300 transcript:KJB82336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQIIVAIFILALASSLTFASDPSPLQDFCVAINDPKGSVFVNGKFCKDAKLAKADDFYFSGLHIRKNTSNIFGSTVTPINVAQMPGLHTLGISMVRIDYAPNGGLNPPHTHPRASEILVVLEGTLHVGFVTSNPDNRLISKVLYPGDVFVFPVGLIHFQYNIGNTYAVAFAGLSSENPGVITIANALFGSNPSVNADILAKAFNLDTKMVRNLQSEF >KJB83165 pep chromosome:Graimondii2_0_v6:13:55096276:55097786:-1 gene:B456_013G232500 transcript:KJB83165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGAKGLIMGKNSASNKDKDKKKPISRSSRAGLQFPVGRIHRLLKLRTTAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTSKE >KJB82156 pep chromosome:Graimondii2_0_v6:13:55317878:55319616:1 gene:B456_013G235000 transcript:KJB82156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQFKKAVEEYEESHVFCSFFSFSSYRYQPFSAKEEKKGKTLKLHRQPWLQNPKGQKERERNGKKGEFSFHRVV >KJB83704 pep chromosome:Graimondii2_0_v6:13:57533471:57534738:-1 gene:B456_013G260800 transcript:KJB83704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRYQEAKTGFQLLKSIDADKYLKKIGLGKEDHYFWKQVGKALLCTYTLFGVAWLYNETSPLGWWTLKPRPKEERELAHLYERREFPYPGDAEAMEEFVAKGGMIGTTIGPKGIIETDKDSVNYQKEMQNKKFEQEAMKLWVRMRNEVISELQEKGYDVE >KJB79178 pep chromosome:Graimondii2_0_v6:13:2855266:2855740:-1 gene:B456_013G036500 transcript:KJB79178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKTSRNRCPVQTRCCLLVLKAVVRRKMQQHGPTTSTCPEFSSVSAAAACNPIPYLQPQFLGHRHTVVGKENVW >KJB79163 pep chromosome:Graimondii2_0_v6:13:2777910:2782262:1 gene:B456_013G035800 transcript:KJB79163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCCVALKVNSHLVNVRNSGFGNGDNAFLGERIRGSLNNNSVWVNRVANSLSTYNKKAMKIKPGAFAVITSNNPREAKALPSRRFNRQRVDPKNVASIILGGGAGTHLFPLTRRAATPAVPIGGCYKLIDIPMSNCINSGINKIFVLTQFNSASLNRHVARTYYGNGINFGDGFVEILAATQTSGEAGKKWFQGTADAVRQFIWVFEDAKNRNIENVVILCGDHLYRMDYMEFVQSHVDGNADITISCVTMDNSRASDYGLVKIDGRGRIVQFSEKPKGADLKAMQTDTTLLGLSPQEAASCPYIASMGVYVFKTDVLLKLLRWRFPTSNDFGSEIIPAAVKEHDVQAYIFKDYWEDIGTIKSFYEANLALTEEFPKFEFYDPKTPFYTSPRYLPPTKIDKCRIKDAIISHGCFLRECSVQHSVIGERSRLDSGVELQDTVMMGADYYQTELEIISLLAEGKVPIGIGHNTKISNCIIDKNAKIGRDVIIANKDGVQEADRSDEGFYIRSGITIILDKATIKDGTII >KJB79162 pep chromosome:Graimondii2_0_v6:13:2777689:2782296:1 gene:B456_013G035800 transcript:KJB79162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCCVALKVNSHLVNVRNSGFGNGDNAFLGERIRGSLNNNSVWVNRVANSLSTYNKKAMKIKPGAFAVITSNNPREAKALPSRRFNRQRVDPKNVASIILGGGAGTHLFPLTRRAATPAVPIGGCYKLIDIPMSNCINSGINKIFVLTQFNSASLNRHVARTYYGNGINFGDGFVEILAATQTSGEAGKKWFQGTADAVRQFIWVFEDAKNRNIENVVILCGDHLYRMDYMEFVQSHVDGNADITISCVTMDNSRASDYGLVKIDGRGRIVQFSEKPKGADLKAMQTDTTLLGLSPQEAASCPYIASMGVYVFKTDVLLKLLRWRFPTSNDFGSEIIPAAVKEHDVQAYIFKDYWEDIGTIKSFYEANLALTEEFPKFEFYDPKTPFYTSPRYLPPTKIDKCRIKDAIISHGCFLRECSVQHSVIGERSRLDSGVELQDTVMMGADYYQTELEIISLLAEGKVPIGIGHNTKISNCIIDKNAKIGRDVIIANKDGVQEADRSDEGFYIRSGITIILDKATIKDGTII >KJB79161 pep chromosome:Graimondii2_0_v6:13:2777587:2782286:1 gene:B456_013G035800 transcript:KJB79161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCCVALKVNSHLVNVRNSGFGNGDNAFLGERIRGSLNNNSVWVNRVANSLSTYNKKAMKIKPGAFAVITSNNPREAKALPSRRFNRQRVDPKNVASIILGGGAGTHLFPLTRRAATPAVPIGGCYKLIDIPMSNCINSGINKIFVLTQFNSASLNRHVARTYYGNGINFGDGFVEILAATQTSGEAGKKWFQGTADAVRQFIWVFEDAKNRNIENVVILCGDHLYRMDYMEFVQSHVDGNADITISCVTMDNSRASDYGLVKIDGRGRIVQFSEKPKGADLKAMQTDTTLLGLSPQEAASCPYIASMGVYVFKTDVLLKLLRWRFPTSNDFGSEIIPAAVKEHDVQAYIFKDYWEDIGTIKSFYEANLALTEEFPKFEFYDPKTPFYTSPRYLPPTKIDKCRIKDAIISHGCFLRECSVQHSVIGERSRLDSGVELQDTVMMGADYYQTELEIISLLAEGKVPIGIGHNTKISNCIIDKNAKIGRDVIIANKDGVQEADRSDEGFYIRSGITIILDKATIKDGTII >KJB79868 pep chromosome:Graimondii2_0_v6:13:8099183:8101202:1 gene:B456_013G070200 transcript:KJB79868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALISSTKRLISSAIMASLSPSVHRKYSLIPMVIEHSSRGERAYDIFSRLLKERIVCINGSINDDTAHVVVAQLLFLESENPSKPIHMYLNSPGGQVTAAAGAKGERRSLPNATIMIHQPSGGYSGQAKDLTIHTKQIVRVWDALNALYSKHTGQSVDVIQKNMDRDYFMTPEEAKEFGLIDEVIDRRPMALVTDAVANETKDNQDDKDSKDKGSN >KJB79865 pep chromosome:Graimondii2_0_v6:13:8099158:8101337:1 gene:B456_013G070200 transcript:KJB79865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALISSTKRLISSAIMASLSPSVHRKYSLIPMVIEHSSRGERAYDIFSRLLKERIVCINGSINDDTAHVVVAQLLFLESENPSKPIHMYLNSPGGQVTAGLAIYDTMQYIKSPINTICMGQAASMASLLLAAGAKGERRSLPNATIMIHQPSGGYSGQAKDLTIHTKQIVRVWDALNALYSKHTGQSVDVIQKNMDRDYFMTPEEAKEFGLIDEVIDRRPMALVTDAVANETKDNQDDKDSKDKGSN >KJB79867 pep chromosome:Graimondii2_0_v6:13:8099183:8101202:1 gene:B456_013G070200 transcript:KJB79867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALISSTKRLISSAIMASLSPSVHRKYSLIPMVIEHSSRGERAYDIFSRLLKERIVCINGSINDDTAHVVVAQLLFLESENPSKPIHMYLNSPGGQVTAGLAIYDTMQYIKSPINTICMGQAASMASLLLAAGAKGERRSLPNATIMIHQPSGGYSGQAKDLTIHTKQIVRVWDALNALYSKHTGQSVDVIQKNMDRDYFMTPEEAKEFGLIDEVIDRRPMALVTDAVANETKDNQDDKDSKDKGSN >KJB79866 pep chromosome:Graimondii2_0_v6:13:8099183:8101202:1 gene:B456_013G070200 transcript:KJB79866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALISSTKRLISSAIMASLSPSVHRKYSLIPMVIEHSSRGERAYDIFSRLLKERIVCINGSINDDTAHVVVAQLLFLESENPSKPIHMYLNSPGGQVTAGLAIYDTMQYIKSPINTICMGQAASMASLLLAAGAKGERRSLPNATIMIHQPSGGYSGQAKDLTIHTKQIVRVWDALNALYSKHTGQSVDVIQKNMDRDYFMTPEEAKEFGLIDEVIDRRPMALVTDAVANETKDNQDDKDSKDKGSN >KJB83031 pep chromosome:Graimondii2_0_v6:13:54560293:54564821:1 gene:B456_013G226300 transcript:KJB83031 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MVSYVSVLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPITPARLRLVYEDVWLRSSDGIRLHSWFIKLFPECRGPTILFFQENAGNIAHRLEMVRIMLQRLQCNVFMLSYRGYGASDGYPSQHGIINDAQAALDHLSQRTDIDTDRIVVFGRSLGGAVGSVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWVIGGPGTKGPKILNFLVRSPWSTIDIIGQVKQPILFLSGLQDEMVPPSHMQMLYAKAAARNRQCIFVEFPTGMHMDTWLSGGDHYWRTIQQFFEKHVPKTGYDIAGRSTL >KJB83034 pep chromosome:Graimondii2_0_v6:13:54560290:54564837:1 gene:B456_013G226300 transcript:KJB83034 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MVSYVSVLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPITPARLRLVYEDVWLRSSDGIRLHSWFIKLFPECRGPTILFFQENAGNIAHRLEMVRIMLQRLQCNVFMLSYRGYGASDGYPSQHGIINDAQAALDHLSQRTDIDTDRIVVFGRSLGGAVGSVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWVIGGPGTKGPKILNFLVRSPWSTIDIIGQVKQPILFLSGLQDEMVPPSHMQMLYAKAAARNRQCIFVEFPTGMHMDTWLSGGDHYWRTIQQFFEKHVPKTGYDIDSQRRAAAGRSTL >KJB83036 pep chromosome:Graimondii2_0_v6:13:54560293:54564821:1 gene:B456_013G226300 transcript:KJB83036 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MVSYVSVLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPITPARLRLVYEDVWLRSSDGIRLHSWFIKLFPECRGPTILFFQENAGNIAHRLEMVRIMLQRLQCNVFMLSYRGYGASDGYPSQHGIINDAQAALDHLSQRTDIDTDRIVVFGRSLGGAVGSVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWVIGGPGTKGPKILNFLVRSPWSTIDIIGQVKQPILFLSGLQDEMVPPSHMQMLYAKAAARNRQCIFVEFPTGMHMDTWLSGGDHYWRTIQQFFEKHVPKTGYDIDSQRRAGRSTL >KJB83030 pep chromosome:Graimondii2_0_v6:13:54560528:54564294:1 gene:B456_013G226300 transcript:KJB83030 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MVSYVSVLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPITPARLRLVYEDVWLRSSDGIRLHSWFIKLFPECRGPTILFFQENAGNIAHRLEMVRIMLQRLQCNVFMLSYRGYGASDGYPSQHGIINDAQAALDHLSQRTDIDTDRIVVFGRSLGGAVGSVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWVIGGPGTKGPKILNFLVRSPWSTIDIIGQVKQPILFLSGLQDEMVPPSHMQMLYAKAAARNRQCIFVEFPTGMHMDTWLSGGDHYWRTIQQFFEKHVPKTGYDIDSQRRGD >KJB83033 pep chromosome:Graimondii2_0_v6:13:54560293:54564821:1 gene:B456_013G226300 transcript:KJB83033 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MVSYVSVLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPITPARLRLVYEDVWLRSSDGIRLHSWFIKLFPECRGPTILFFQENAGNIAHRLEMVRIMLQRLQCNVFMLSYRGYGASDGYPSQHGIINDAQAALDHLSQRTDIDTDRIVVFGRSLGGAVGSVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWVIGGPGTKGPKILNFLVRSPWSTIDIIGQVKQPILFLSGLQDEMVPPSHMQMLYAKAAARNRQCIFVEFPTGMHMDTWLSGGDHYWRTIQQFFEKHVPKTGYDIEER >KJB83035 pep chromosome:Graimondii2_0_v6:13:54560293:54564821:1 gene:B456_013G226300 transcript:KJB83035 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MVSYVSVLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPITPARLRLVYEDVWLRSSDGIRLHSWFIKLFPECRGPTILFFQENAGNIAHRLEMVRIMLQRLQCNVFMLSYRGYGASDGYPSQHGIINDAQAALDHLSQRTDIDTDRIVVFGRSLGGAVGSVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWVIGGPGTKGPKILNFLVRSPWSTIDIIGQVKQPILFLSGLQDEMVPPSHMQMLYAKAAARNRQCIFVEFPTGMHMDTWLSGGDHYWRTIQQFFEKHVPKTGYDIAAAGRSTL >KJB83032 pep chromosome:Graimondii2_0_v6:13:54560528:54563721:1 gene:B456_013G226300 transcript:KJB83032 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MVSYVSVLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPITPARLRLVYEDVWLRSSDGIRLHSWFIKLFPECRGPTILFFQENAGNIAHRLEMVRIMLQRLQCNVFMLSYRGYGASDGYPSQHGIINDAQAALDHLSQRTDIDTDRIVVFGRSLGGAVGSVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWVIGGPGTKGPKILNFLVRSPWSTIDIIGQVKQPILFLSGLQDEMVPPSHMQMLYAKAAARNRQCIFVEFPTGMHMDTWLSGGDHYWRTIQQFFEKHVPKTGYDIGN >KJB80340 pep chromosome:Graimondii2_0_v6:13:14940636:14942916:-1 gene:B456_013G092600 transcript:KJB80340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPNIQVAVVDISTARINAWNSDTLPIYEPGLDEVVKKCRGKNLSFSTDVEKHVAESDIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVAKSNKIVVEKSTVPVKTAEAIEKILTHNSKGINFSILSNPEFLAEGTAISDLLNPDRVLIGGRETPEGKKAIEALRDVYAHWVPVDRILCTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVAHAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVATYWKQVIKVNDYQKTRFVNRIVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLIGDKAKLSIYDPQVNQDQIQRDLSMKKFDWDHPVHLQPMSPTSVKDVSVVWDAYTATKDAHGICILTEWDEFKNLDYQKIFNDMQKPAFVFDGRNVVDAEKLRKIGFIVYSIGKPLDDWLKDMPAVA >KJB80341 pep chromosome:Graimondii2_0_v6:13:14940631:14942916:-1 gene:B456_013G092600 transcript:KJB80341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNHLNDGFVFRYKLVGSVEYIKSGKDQLFLSKMVKICCIGAGYVGGPTMAVIALKCPNIQVAVVDISTARINAWNSDTLPIYEPGLDEVVKKCRGKNLSFSTDVEKHVAESDIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVAKSNKIVVEKSTVPVKTAEAIEKILTHNSKGINFSILSNPEFLAEGTAISDLLNPDRVLIGGRETPEGKKAIEALRDVYAHWVPVDRILCTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVAHAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVATYWKQVIKVNDYQKTRFVNRIVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLIGDKAKLSIYDPQVNQDQIQRDLSMKKFDWDHPVHLQPMSPTSVKDVSVVWDAYTATKDAHGICILTEWDEFKNLDYQKIFNDMQKPAFVFDGRNVVDAEKLRKIGFIVYSIGKPLDDWLKDMPAVA >KJB80542 pep chromosome:Graimondii2_0_v6:13:19087830:19089894:-1 gene:B456_013G102700 transcript:KJB80542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVMSRDLISDLPQSIIESILTRLPIRDAVRTSILSSKWRYKWATLTQLVFDEKCVILSNDRCKVEDGLVNFITRTLFLHQGPIHKFQLTTTHLQCCPDIDQWLLFLSRNDIKELVLELGEGEWFRVPSCLFNCKKLTRLDLFRCEFDPPPTFKGFLCLKSLNLHQVLVSPEAIESLISGCPLLESLALSYFDSLALTIRAPNLKFLCLEGEFKDICLENTPLLVAMSVAMYMTDDIAEHFEQSSTCNFNRFLGGVPRLERLIGHIYFTKVKLCNISILGD >KJB80539 pep chromosome:Graimondii2_0_v6:13:19087797:19089949:-1 gene:B456_013G102700 transcript:KJB80539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVMSRDLISDLPQSIIESILTRLPIRDAVRTSILSSKWRYKWATLTQLVFDEKCVILSNDRCKVEDGLVNFITRTLFLHQGPIHKFQLTTTHLQCCPDIDQWLLFLSRNDIKELVLELGEGEWFRVPSCLFNCKKLTRLDLFRCEFDPPPTFKGFLCLKSLNLHQVLVSPEAIESLISGCPLLESLALSYFDSLALTIRAPNLKFLCLEGEFKDICLENTPLLVAMSVAMYMTDDIAEHFEQSSTCNFNRFLGGVPRLERLIGHIYFTKVMTLEDFLLHTPI >KJB80540 pep chromosome:Graimondii2_0_v6:13:19087797:19090060:-1 gene:B456_013G102700 transcript:KJB80540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVMSRDLISDLPQSIIESILTRLPIRDAVRTSILSSKWRYKWATLTQLVFDEKCVILSNDRCKVEDGLVNFITRTLFLHQGPIHKFQLTTTHLQCCPDIDQWLLFLSRNDIKELVLELGEGEWFRVPSCLFNCKKLTRLDLFRCEFDPPPTFKGFLCLKSLNLHQVLVSPEAIESLISGCPLLESLALSYFDSLALTIRAPNLKFLCLEGEFKDICLENTPLLVAMSVAMYMTDDIAEHFEQSSTCNFNRFLGGVPRLERLIGHIYFTKFLSIGDDLGRLPITYAHLKVVELNQVSFEDMKEILVVLRLITNSPNLRELQISGSSNTLAAIDAPDLDFWEDECPSNCTFKQLRVVRMTDMSGVPHEMEFIKFLLANSPALETMSISPCVYVMDGRLNMLIELLRFRRASAQAEILFIQD >KJB80541 pep chromosome:Graimondii2_0_v6:13:19087830:19089894:-1 gene:B456_013G102700 transcript:KJB80541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVMSRDLISDLPQSIIESILTRLPIRDAVRTSILSSKWRYKWATLTQLVFDEKCVILSNDRCKVEDGLVNFITRTLFLHQGPIHKFQLTTTHLQCCPDIDQWLLFLSRNDIKELVLELGEGEWFRVPSCLFNCKKLTRLDLFRCEFDPPPTFKGFLCLKSLNLHQVLVSPEAIESLISGCPLLESLALSYFDSLALTIRAPNLKFLCLEGEFKDICLENTPLLVAMSVAMYMTDDIAEHFEQSSTCNFNRFLGGVPRLERLIGHIYFTKFLSIGDDLGRLPITYAHLKVVELNQVSFEDMKEILVVLRLITNSPNLRELQISVSVIVFYFRWRLTLLYVFIRCTVLRGELFNI >KJB83294 pep chromosome:Graimondii2_0_v6:13:55903923:55905006:1 gene:B456_013G240100 transcript:KJB83294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFFFHFNDSNISVIYDRLPTKEFLIRIGVQICQLERGCSWCKREPENVEHLFFQCKFIEVFWRKFFEWWDVELNPVDGFLDFYSLCNNVKFTGVCKSLWLISVTATCWPVWLARNEMVFERKWSTTNNLLFHSKMRALMWVRSVQEELRVQERSWWICPYRSWCYLKKSGMGGMFWCPPRYGWVKFNVSGVANEDEVECGGVLRDLDGVARALFSGPVGAKDSITAEVGAIIIALDVYLAMGWKGKGSLIIEIGSNEDIENRMIRVGNVSFLKVEKHGNEMAYALALAGIKRSGMFKAGW >KJB83925 pep chromosome:Graimondii2_0_v6:13:58238886:58239329:-1 gene:B456_013G271800 transcript:KJB83925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTASPPSEGSVVDLVCPSVAALLCALVCALGLNSAIRYVVRCGYSFGLWTSEQIPALDELPSTSTGLTKSALSQIPATAYESGLNLTVTDCSICLGQFAEGEKVRLVPKCSHVFHAMCIDTWLLSNSSCPLCRQALIYLVQDAGIS >KJB80571 pep chromosome:Graimondii2_0_v6:13:20677033:20678933:1 gene:B456_013G104700 transcript:KJB80571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSPPTTAFFTRATLSTFTRRPWRVFLSLSSFARPISFGDASARMKRNLNYFRVNYAMIILVILFLSLLWHPISMIVFLVVFVAWFFLYFFRDDPLVILNRSIDDRIVLAILAVITIVALVLTDVWLNVLVSVLIGAFIVGVHAAFRGTEDLYSDGLDGSDGGLFSVVGSPTRGQHHDEFLGG >KJB83409 pep chromosome:Graimondii2_0_v6:13:56496323:56498095:-1 gene:B456_013G246200 transcript:KJB83409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDAKLKAYMEKHGTRGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICNLYLSIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGRHKQSDINTNLSDHSNNNNNQFPQGLTNSAMERLQLHLQLQALQNPIFSFYNNPALWPNILPLQQKVTIQGASNGSTPNIVMEPAAAATTPLTGDTLVDSKPVAMGPLVSSFRQGEVVDEFVGVQEGGQMAEVDGFDGLKDSMVWWSNGFDAKVGSSNCWGSASSVVQFNEMFKDYELGFNM >KJB78480 pep chromosome:Graimondii2_0_v6:13:105672:110070:1 gene:B456_013G001500 transcript:KJB78480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLKITKKHHRHLNNPFPSTPTSLPFIQGNLFINSQTLPPNQNSPLGKDFQLLWSTQSGGYLSISHLSHPSKSLWSTIPGQAFISAAMAETEVEESRGSFAIKDRDVHLVCDHQSIENIILINQFDDFQLDYLDLDHLKTNSKFADSPVLIITGHIFSKRTKKWLQSSSIYRSASARYWVLFDQKENNQIGFKVKIGQPKFQLHHHPKASPSTSLGRYRRLRRKLRRQRKWKLGWCWFLTRTKGLSINSSSEEELGESNVVETALEFNRVCLTYASERNERFFGFGEQFSHMDFKGKRVPIFVQEQGIGRGDQPITFAANLVSYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYNYSVFDLTQHDRVQLQIHGNAMQGRILHGNSPLEIIEHFTEAIGRPPELPEWVISGAVVGMQGGTETVRRVWDKLRTFKVPISAFWLQDWVGQRETFIGSQLWWNWEVDVTRYPGWQQLVKDLSKHYIKVMTYCNPCLALMDEKPNKRRNLFEEAKELDILVKDDRGEPYMVPNTAFDVGMLDLTHPLTANWFKQILQEMVDDGVRGWMADFGEGLPVDAVLYSGEDPIAAHNRYPELWAQINREFVEEWKSHCTGKEREDPQEDLVFFMRAGFRDSPKWGMLFWEGDQMVSWQANDGIKSSVVALLSSGLSGYAFNHSDIGGYCTMNLPFIRYCRTEELLLRWMELNAFTIVFRTHEGNKPWCNSQFYSNDKTLSHFARFAKVYKAWKFYRVELVKEAARKGSPVCRHLFLHYPNDERVQSLSYEQFLVGSEILVVPVLHKGKKNVEVHFPVGETCSWQHIWTGKSYQKQGCQAWVEAPLGYPAVFVKVGSIVGETFLRNLKNFDIL >KJB78482 pep chromosome:Graimondii2_0_v6:13:105718:110070:1 gene:B456_013G001500 transcript:KJB78482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLKITKKHHRHLNNPFPSTPTSLPFIQGNLFINSQTLPPNQNSPLGKDFQLLWSTQSGGYLSISHLSHPSKSLWSTIPGQAFISAAMAETEVEESRGSFAIKDRDVHLVCDHQSIENIILINQFDDFQLDYLDLDHLKTNSKFADSPVLIITGHIFSKRTKKWLQSSSIYRSASARYWVLFDQKENNQIGFKVKIGQPKFQLHHHPKASPSTSLGRYRRLRRKLRRQRKWKLGWCWFLTRTKGLSINSSSEEELGESNVVETALEFNRVCLTYASERNERFFGFGEQFSHMDFKGKRVPIFVQEQGIGRGDQPITFAANLVSYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYNYSVFDLTQHDRVQLQIHGNAMQGRILHGNSPLEIIEHFTEAIGRPPELPEWVISGAVVGMQGGTETVRRVWDKLRTFKVPISAFWLQDWVGQRETFIGSQLWWNWEVDVTRYPGWQQLVKDLSKHYIKVMTYCNPCLALMDEKPNKRRNLFEEAKELDILVKDDRGEPYMVPNTAFDVGMLDLTHPLTANWFKQILQEMVDDGVRGWMADFGEGLPVDAVLYSGEDPIAAHNRYPELWAQINREFVEEWKSHCTGKEREDPQEDLVFFMRAGFRDSPKWGMLFWEGDQMVSWQANDGIKSSVVALLSSGLSGYAFNHSDIGGYCTMNLPFIRYCRTEELLLRWMELNAFTIVFRTHEVSQSPS >KJB78481 pep chromosome:Graimondii2_0_v6:13:105718:110070:1 gene:B456_013G001500 transcript:KJB78481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLKITKKHHRHLNNPFPSTPTSLPFIQGNLFINSQTLPPNQNSPLGKDFQLLWSTQSGGYLSISHLSHPSKSLWSTIPGQAFISAAMAETEVEESRGSFAIKDRDVHLVCDHQSIENIILINQFDDFQLDYLDLDHLKTNSKFADSPVLIITGHIFSKRTKKWLQSSSIYRSASARYWVLFDQKENNQIGFKVKIGQPKFQLHHHPKASPSTSLGRYRRLRRKLRRQRKWKLGWCWFLTRTKGLSINSSSEEELGESNVVETALEFNRVCLTYASERNERFFGFGEQFSHMDFKGKRVPIFVQEQGIGRGDQPITFAANLVSYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYNYSVFDLTQHDRVQLQIHGNAMQGRILHGNSPLEIIEHFTEAIGRPPELPEWVISGAVVGMQGGTETVRRVWDKLRTFKVPISAFWLQDWVGQRETFIGSQLWWNWEVDVTRYPGWQQLVKDLSKHYIKVMTYCNPCLALMDEKPNKRRNLFEEAKELDILVKDDRGEPYMVPNTAFDVGMLDLTHPLTANWFKQILQEMVDDGVRGWMADFGEGLPVDAVLYSGEDPIAAHNRYPELWAQINREFVEEWKSHCTGKEREDPQEDLVFFMRAGFRDSPKWGMLFWEGDQMVLSN >KJB79788 pep chromosome:Graimondii2_0_v6:13:7593003:7595188:-1 gene:B456_013G066800 transcript:KJB79788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFKMDGDNERVVDCTTPNFNGMISVMDPARSWAARWLRVGRFVPGCYTLAVSEALPEDLQTLCEEVHVQYVPPKRV >KJB79789 pep chromosome:Graimondii2_0_v6:13:7593278:7595118:-1 gene:B456_013G066800 transcript:KJB79789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFKMDGDNERVVDCTTPNFNGLSFHFIFLETKTFALNVTFLSLLALFEIFSVDWFLSMISVMDPARSWAARWLRVGRFVPGCYTLAVSEALPEDLQTLCEEVHVQYVPPKRV >KJB80518 pep chromosome:Graimondii2_0_v6:13:18705712:18707020:1 gene:B456_013G101300 transcript:KJB80518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINAAKGTRTYQVWPGNNVTLSSYPRGLFLTSVSTLISTWIFTIYIANDLQNTNPTLVITICSILTIVVIVNLILVTAIDPGIIPTSTQQSSVEDTDSSNGSRRKKVTINGVQLKLKYCRICRIFRPPRSCHCAICDNCVEKFDHHCPWIGQCIALRNYRFYLSFLITALVFFIYIFAFSCWRIHQRMLESGTGLFGMLRNCPETLALTLFSFAAIWFLGGLAIFHSSLTATNQTAYENFRNRYEGSPNPYDKGIISNITEVLFSPLPPSRVDFRAEAMPRWNVEDEKPPLG >KJB81905 pep chromosome:Graimondii2_0_v6:13:45060599:45063538:1 gene:B456_013G167000 transcript:KJB81905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVESLILQLHEISAVKFGNFKLKSGISSPIYIDLRLIVSYPSLLRQISQSLLSSLPPQTPFNLIRGVPYTALPIATSISLDTSISMLMRRKEVKDYGTAKSIEGVYEKGQVCLIVEDLVTSGASVLETAAPLRALGIKVTDAVVVIDREQGGRQTLEENGIKLHALFTLTQMVKVLRAKGKLKAEMETLVMKFLEENKEVAVPKVEKARVKSLGFEERAKLAKNPTGKKLFEVMVKKHSNLCLAADVGTAAELLDIAEKVGPEICLLKTHVDIFPDFTPDFGSKLRAIAERHNFMIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLAKGDYTAAAVKIVEEHSDFVIGFISVNPASWSGAPVNPAFIQATPGVQMVKGGDDLGQQYNTPYSVSHL >KJB81904 pep chromosome:Graimondii2_0_v6:13:45060440:45063538:1 gene:B456_013G167000 transcript:KJB81904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVESLILQLHEISAVKFGNFKLKSGISSPIYIDLRLIVSYPSLLRQISQSLLSSLPPQTPFNLIRGVPYTALPIATSISLDTSISMLMRRKEVKDYGTAKSIEGVYEKGQVCLIVEDLVTSGASVLETAAPLRALGIKVTDAVVVIDREQGGRQTLEENGIKLHALFTLTQMVKVLRAKGKLKAEMETLVMKFLEENKEVAVPKVEKARVKSLGFEERAKLAKNPTGKKLFEVMVKKHSNLCLAADVGTAAELLDIAEKVGPEICLLKTHVDIFPDFTPDFGSKLRAIAERHNFMIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLAKGDYTAAAVKIVEEHSDFVIGFISVNPASWSGAPVNPAFIQATPGVQMVKGGDDLGQQYNTPYSVIFDRGSDIIIVGRGIIKAANPAEAAREYRLQGWEAYKAKCS >KJB78577 pep chromosome:Graimondii2_0_v6:13:523720:524565:-1 gene:B456_013G007900 transcript:KJB78577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFYKSQHLAAVLLLFFIFTTFTSPSSARLLSDSPPSTTETTLDLALADLVEVEDHKTDVVLDVSKKHPETQPQPCDHMVPMKKPHVGILKSPTQRLAGKYGPTIFSMLPKGAPIPPSSPSHGINDINN >KJB83355 pep chromosome:Graimondii2_0_v6:13:56252514:56263550:-1 gene:B456_013G243100 transcript:KJB83355 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] YKTKPEAPFSLFHLTPKKRNSNNKEKILNKMTEEIKLSVVVSLFTWIQRSRPSAKRSKFRKFLDTFCTPSDYFSAMRLILPSLDRERGTYGLKESVLAICLIDALGMSRDSADALRLINWRKGGANTGANAGNFALVAAEVLQRRQGTVSGGLTIKELNELLNRLASAESRAEKTAILATLINKTNAQEMKWVIMIILKDLKLGISEKSIFQEFHPDAEDLFNVTCDLKLVCEKLRDRTQRHKRQDIEVGKAVRPQLALRVRDPAAAWKKLHGKEVVVECKFDGDRIQIHKNGTDIHYYSRNFLDHSEYQHGMSNIITQNILVDRCILDGEMLVWDSTLNQFAEFGSNQEIAKAAKDGLDSDRQLCYVAFDILYVGDTSVIHQSLKERHELLQKVVKPLKGRLEILVPNGGLNAHRPPGEPCWSCIAHSVNDVERFFKETIENRDEGIVIKDLNSKWEPGDRNGKWLKLKPDYIRAGSDLDVLIIGGYYGSGRRGGEVAQFLVGLADRPDPNAYPRRFISFCRVGTGLTDDDLETVAKKLKPYFRKYEYPKKMQPSFYQVTNHSKERPDVWIESPEKSIILSITSDIRTIRSEVFAAPYSLRFPRIDRVRYDKPWHECLGVQSFVELVHSSNGTTQKGTEQENQPDSKTKHKAHARKADKKNVSIVPSHFIRTDTSSVKGETLIFSNLMFYFVNVPPTYSLDSFHKMVVEHGGRFSMNLNNSVTHCVAAESKGIKYQAAKLHGDIIHYSWALDCCSQKKLIPLQPKYFLFLSESSKMKLQQEVDQYFDPYYWDLDLVDVKRLLNNIQRSENSKTIDYYRAKYCPNDKWSLFHGCSVYFYSSAESLKADWQVLLNLALRRLKHEILMGGGKISENLSNATHLVVLSVPGLDVDFDSFIKSCSFEEKNLVWKKGLHVVRSQWLENCLEQGQKLREDQYSLKPNDFEETNFVESKLDQNLEKSKPDFNGVQNKGTCTSPESKTKQRGGKDHPEKSISSVTPSHGNRKRRPASKNTKKGKTVVTRAQRVPRRRGKMSVKINEDGSEESGSDDKTNEEIKKGEGYNTECYRMAGRENFEFHQNQAAEENASINWPKKAHDTVMCETNNDQPGNKAEKFDHMELDEGNYGHEISNSEKLEVMVDPVRAMLLDMIPSLGIKHVKTTNSVVQNEKPHMDNDADIRVVEDEKLDADFIPQPQKKKKVSYKDVAGELLKD >KJB83357 pep chromosome:Graimondii2_0_v6:13:56252534:56263550:-1 gene:B456_013G243100 transcript:KJB83357 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] YKTKPEAPFSLFHLTPKKRNSNNKEKILNKMTEEIKLSVVVSLFTWIQRSRPSAKRSKFRKFLDTFCTPSDYFSAMRLILPSLDRERGTYGLKESVLAICLIDALGMSRDSADALRLINWRKGGANTGANAGNFALVAAEVLQRRQGTVSGGLTIKELNELLNRLASAESRAEKTAILATLINKTNAQEMKWVIMIILKDLKLGISEKSIFQEFHPDAEDLFNVTCDLKLVCEKLRDRTQRHKRQDIEVGKAVRPQLALRVRDPAAAWKKLHGKEVVVECKFDGDRIQIHKNGTDIHYYSRNFLDHSEYQHGMSNIITQNILVDRCILDGEMLVWDSTLNQFAEFGSNQEIAKAAKDGLDSDRQVLCYVAFDILYVGDTSVIHQSLKERHELLQKVVKPLKGRLEILVPNGGLNAHRPPGEPCWSCIAHSVNDVERFFKETIENRDEGIVIKDLNSKWEPGDRNGKWLKLKPDYIRAGSDLDVLIIGGYYGSGRRGGEVAQFLVGLADRPDPNAYPRRFISFCRVGTGLTDDDLETVAKKLKPYFRKYEYPKKMQPSFYQVTNHSKERPDVWIESPEKSIILSITSDIRTIRSEVFAAPYSLRFPRIDRVRYDKPWHECLGVQSFVELVHSSNGTTQKGTEQENQPDSKTKHKAHARKADKKNVSIVPSHFIRTDTSSVKGETLIFSNLMFYFVNVPPTYSLDSFHKMVVEHGGRFSMNLNNSVTHCVAAESKGIKYQAAKLHGDIIHYSWALDCCSQKKLIPLQPKYFLFLSESSKMKLQQEVDQYFDPYYWDLDLVDVKRLLNNIQRSENSKTIDYYRAKYCPNDKWSLFHGCSVYFYSSAESLKADWQVLLNLALRRLKHEILMGGGKISENLSNATHLVVLSVPGLDVDFDSFIKSCSFEEKNLVWKKGLHVVRSQWLENCLEQGQKLREDQYSLKPNDFEETNFVESKLDQNLEKSKPDFNGVQNKGTCTSPESKTKQRGGKDHPEKSISSVTPSHGNRKRRPASKNTKKGKTVVTRAQRVPRRRGKMSVKINEDGSEESGSDDKTNEEIKKGEGYNTECYRMAGRENFEFHQNQAAEENASINWPKKAHDTVMCETNNDQPGNKAEKFDHMELDEGNYGHEISNSEKLEVMVDPVRAMLLDMIPSLGIKHVKTTNSVVQNEKPHMDNDADIRVVEDEKLDADFIPQPQKKKKVSYKDVAGELLKD >KJB83356 pep chromosome:Graimondii2_0_v6:13:56252534:56263550:-1 gene:B456_013G243100 transcript:KJB83356 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] YKTKPEAPFSLFHLTPKKRNSNNKEKILNKMTEEIKLSVVVSLFTWIQRSRPSAKRSKFRKFLDTFCTPSDYFSAMRLILPSLDRERGTYGLKESVLAICLIDALGMSRDSADALRLINWRKGGANTGANAGNFALVAAEVLQRRQGTVSGGLTIKELNELLNRLASAESRAEKTAILATLINKTNAQEMKWVIMIILKDLKLGISEKSIFQEFHPDAEDLFNVTCDLKLVCEKLRDRTQRHKRQDIEVGKAVRPQLALRVRDPAAAWKKLHGKEVVVECKFDGDRIQIHKNGTDIHYYSRNFLDHSEYQHGMSNIITQNILVDRCILDGEMLVWDSTLNQFAEFGSNQEIAKAAKDGLDSDRQLCYVAFDILYVGDTSVIHQSLKERHELLQKVVKPLKGRLEILVPNGGLNAHRPPGEPCWSCIAHSVNDVERFFKETIENRDEGIVIKDLNSKWEPGDRNGKWLKLKPDYIRAGSDLDVLIIGGYYGSGRRGGEVAQFLVGLADRPDPNAYPRRFISFCRVGTGLTDDDLETVAKKLKPYFRKYEYPKKMQPSFYQVTNHSKERPDVWIESPEKSIILSITSDIRTIRSEVFAAPYSLRFPRIDRVRYDKPWHECLGVQSFVELVHSSNGTTQKGTEQENQPDSKTKHKAHARKADKKNVSIVPSHFIRTDTSSVKGETLIFSNLMFYFVNVPPTYSLDSFHKMVVEHGGRFSMNLNNSVTHCVAAESKGIKYQAAKLHGDIIHYSWALDCCSQKKLIPLQPKYFLFLSESSKMKLQQEVDQYFDPYYWDLDLVDVKRLLNNIQRSENSKTIDYYRAKYCPNDKWSLFHGCSVYFYSSAESL >KJB82709 pep chromosome:Graimondii2_0_v6:13:52172530:52176432:-1 gene:B456_013G210000 transcript:KJB82709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKGKGLGKDEQGIIEPIRSGIRDPKLGIGKQEEDDFFTAEENIQRRKLDIEVEETEEHAKKREVLAEREQKIQTEVKEIRKVFYCELCNKQYKLAMEFEVHLSSYDHNHRKRFKEMREMHGSSSRDDRQKREQQRQEREMAKFAQMAGARKQQQQESREESGPATAPASSPAPAPAPAPAIATALADQEQRKTLKFGFSSKSSSSKNASGSAVKKPKVAVASVFGNDSDDE >KJB82706 pep chromosome:Graimondii2_0_v6:13:52172530:52176432:-1 gene:B456_013G210000 transcript:KJB82706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRRYNSSQGANLGTKQQISEEQAYQDSLVEDLAEDFRLPINHKPTENVDLDNVQQATLDTKLNSSNVGFRLLQKMGWKGKGLGKDEQGIIEPIRSGIRDPKLGIGKQEEDDFFTAEENIQRRKLDIEVEETEEHAKKREVLAEREQKIQTEVKEIRKVFYCELCNKQYKLAMEFEVHLSSYDHNHRKRFKEMREMHGSSSRDDRQKREQQRQEREMAKFAQMAGARKQQQQESREESGPATAPASSPAPAPAPAPAIATALADQEQRKTLKFGFSSKSSSSKNASGSAVKKPKVAVASVFGNDSDDE >KJB82705 pep chromosome:Graimondii2_0_v6:13:52172451:52176483:-1 gene:B456_013G210000 transcript:KJB82705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRRYNSSQGANLGTKQQISEEQAYQDSLVEDLAEDFRLPINHKPTENVDLDNVQQATLDTKLNSSNVGFRLLQKMGWKGKGLGKDEQGIIEPIRSGIRDPKLGIGKQEEDDFFTAEENIQRRKLDIEVEETEEHAKKREVLAEREQKIQTEVKEIRKVFYCELCNKQYKLAMEFEVHLSSYDHNHRKRFKEMREMHGSSSRDDRQKREQQRQEREMAKFAQMAGARKQQQQESREESGPATAPASSPAPAPAPAPAIATALADQEQRKTLKFGFSSKSSSSKNASGSAVKKPKVAVASVFGNDSDDE >KJB82707 pep chromosome:Graimondii2_0_v6:13:52173778:52175486:-1 gene:B456_013G210000 transcript:KJB82707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRRYNSSQGANLGTKQQISEEQAYQDSLVEDLAEDFRLPINHKPTENVDLDNVQQATLDTKLNSSNVGFRLLQKMGWKGKGLGKDEQGIIEPIRSGIRDPKLGIGKQEEDDFFTAEENIQRRKLDIEVEETEEHAKKREVLAEREQKIQTEVKEIRKVFYCELCNKQYKLAMEFEVHLSSYDHNHRKRFKEMREMHGSSSRDDRQKREQQRQEREMAKFAQMYLIN >KJB82708 pep chromosome:Graimondii2_0_v6:13:52174151:52175486:-1 gene:B456_013G210000 transcript:KJB82708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRRYNSSQGANLGTKQQISEEQAYQDSLVEDLAEDFRLPINHKPTENVDLDNVQQATLDTKLNSSNVGFRLLQKMGWKGKGLGKDEQGIIEPIRSGIRDPKLGIGKQEEDDFFTAEENIQRRKLDIEVEETEEHAKKREKAAYSIYVCLLIGMVYHRGTSTSEALVNFMQFLLPGGFYCLLRAHYENPGVSRT >KJB81540 pep chromosome:Graimondii2_0_v6:13:40871805:40876120:-1 gene:B456_013G149200 transcript:KJB81540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G55740) UniProtKB/Swiss-Prot;Acc:Q84VX0] MTVGAGICVSDDGKLMVLGNCVLHDVPDNIVVLPASGDALANGAFIGVVSDKTGCRRVFPVGKLQGLRFMCVFRFKMWWMTQRMGACGQDIPFETQFLIVEARDGSGNGDDSAVYVVFLPILEGDFRAVLQGNERNELEICLESGDPAVDEFEGNHLVFVAAGSDPFDVITNAVKSVEKHLQTFSHRERKKMPDMLNWFGWCTWDAFYTNVTSESLKEGLESLEKGGIHPKFLIIDDGWQSVAMDSHGTEFRADNAANFANRLTNIKENHKFQKDGKEGHRVEDPALGLCHIVTEIKQKHALKYAYVWHAITGYWGGVRPDVTEMEHYESKVVYPISSPGVESNEPDQALDSITKNGLRLVNPEKVFNFYDELHAYLATAGIDGVKVDVQNILETLGAGNGGRVKLARKYHQALEASIARNFANNDIISCMSHNTDGLYSAKRTAVIRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGQHDFDLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSLLKIWNLNEFTGVMGVFNCQGAGWCRVGKTNVIHDKQPDTITGYVRARDVDYLPKVAGDEWNGDSIIYSHLGGELTYLPNDATMPITLKARQYEVFTVVPVKILSNGCKFAPIGLIKMFNSGGAIKDFRYHHANIDMKIRGCGVFGAYSSTRPKRITVETEEVSFEYEDASGLVTLSLRVPEEELYVWSIAIEV >KJB83679 pep chromosome:Graimondii2_0_v6:13:57351904:57361942:-1 gene:B456_013G258000 transcript:KJB83679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRTATDFKLQKWVLQKRENDRSPFNLFECWVFQFNENESPLLLHGEVSAEVIAKYIYIAIGLLKPWTANFVLLILLMQVSTLKEFGLFYLH >KJB78492 pep chromosome:Graimondii2_0_v6:13:166052:167066:-1 gene:B456_013G002100 transcript:KJB78492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGYDNDGVSCVTAGFAAASAVASASAIDASFRTGSDHDSSPLLGLISITSPYCHHHCSMKSPDLYVFDCKEYEYLMKPVVCSLLNLHLKSSVSSFLDCLWLFKLYEEFGLI >KJB81962 pep chromosome:Graimondii2_0_v6:13:45531837:45534837:-1 gene:B456_013G169100 transcript:KJB81962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNHSLCLTLSNDNVVFTILKFTLLIFRLKCILRQLLCLFAIMAYTYGVTHGSVLKLSGDILFQAECYHYLFDAAIKLHHLGLDWSTPDHGPIQNVKGFSSINSMIKRVATKAGTVDSNFKLQPYPRCVVLDIEGTTTPISFLTDVHFPYARDNVGRHLSATYNSAETQDDIKLLRSQVEDDLKQGVLGAVPIPSEDAGKEEVIASLVANVEAMIKADRKITALKQLQGHIWRTGFENNELEGVVFDDVPEALEKWHALGIKVYIYSSGSRLAQRLIFGNTNYGDLRKYLSGFFDTAVGYKRETRSYVEITESLGVDKPSDILFVTDVYQEATAAKAAGLEVVISIRSGNGPLPENHGFKTINSFLEI >KJB81960 pep chromosome:Graimondii2_0_v6:13:45531837:45536359:-1 gene:B456_013G169100 transcript:KJB81960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHGSCIPKPQQLIPMSPFGVQKERMQPDDIYVLSGDGSIISSPKPKPYPHKPPKCSGCAPLFMKIEVYPKTTAVLVRHHGIYVWGDSWISAKTQAECYHYLFDAAIKLHHLGLDWSTPDHGPIQNVKGFSSINSMIKRVATKAGTVDSNFKLQPYPRCVVLDIEGTTTPISFLTDVHFPYARDNVGRHLSATYNSAETQDDIKLLRSQVEDDLKQGVLGAVPIPSEDAGKEEVIASLVANVEAMIKADRKITALKQLQGHIWRTGFENNELEGVVFDDVPEALEKWHALGIKVYIYSSGSRLAQRLIFGNTNYGDLRKYLSGFFDTAVGYKRETRSYVEITESLGVDKPSDILFVTDVYQEATAAKAAGLEVVISIRSGNGPLPENHGFKTINSFLEI >KJB81963 pep chromosome:Graimondii2_0_v6:13:45531674:45535216:-1 gene:B456_013G169100 transcript:KJB81963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRVATKAGTVDSNFKLQPYPRCVVLDIEGTTTPISFLTDVHFPYARDNVGRHLSATYNSAETQDDIKLLRSQVEDDLKQGVLGAVPIPSEDAGKEEVIASLVANVEAMIKADRKITALKQLQGHIWRTGFENNELEGVVFDDVPEALEKWHALGIKVYIYSSGSRLAQRLIFGNTNYGDLRKYLSGFFDTAVGYKRETRSYVEITESLGVDKPSDILFVTDVYQEATAAKAAGLEVVISIRSGNGPLPENHGFKTINSFLEI >KJB81961 pep chromosome:Graimondii2_0_v6:13:45531595:45536703:-1 gene:B456_013G169100 transcript:KJB81961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHGSCIPKPQQLIPMSPFGVQKERMQPDDIYVLSGDGSIISSPKPKPYPHKPPKCSGCAPLFMKTTAVLVRHHGIYVWGDSWISAKTQAECYHYLFDAAIKLHHLGLDWSTPDHGPIQNVKGFSSINSMIKRVATKAGTVDSNFKLQPYPRCVVLDIEGTTTPISFLTDVHFPYARDNVGRHLSATYNSAETQDDIKLLRSQVEDDLKQGVLGAVPIPSEDAGKEEVIASLVANVEAMIKADRKITALKQLQGHIWRTGFENNELEGVVFDDVPEALEKWHALGIKVYIYSSGSRLAQRLIFGNTNYGDLRKYLSGFFDTAVGYKRETRSYVEITESLGVDKPSDILFVTDVYQEATAAKAAGLEVVISIRSGNGPLPENHGFKTINSFLEI >KJB81959 pep chromosome:Graimondii2_0_v6:13:45531837:45534711:-1 gene:B456_013G169100 transcript:KJB81959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTYGVTHGSVLKLSGDILFQAECYHYLFDAAIKLHHLGLDWSTPDHGPIQNVKGFSSINSMIKRVATKAGTVDSNFKLQPYPRCVVLDIEGTTTPISFLTDVHFPYARDNVGRHLSATYNSAETQDDIKLLRSQVEDDLKQGVLGAVPIPSEDAGKEEVIASLVANVEAMIKADRKITALKQLQGHIWRTGFENNELEGVVFDDVPEALEKWHALGIKVYIYSSGSRLAQRLIFGNTNYGDLRKYLSGFFDTAVGYKRETRSYVEITESLGVDKPSDILFVTDVYQEATAAKAAGLEVVISIRSGNGPLPENHGFKTINSFLEI >KJB83100 pep chromosome:Graimondii2_0_v6:13:54819661:54821793:-1 gene:B456_013G229400 transcript:KJB83100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCFWFFLVFILWQMMNVPTQAAITVGGGGGINIGNGGGGDGGGVWFGGGININNNPTPSGPSVSKLNNAYTALQAWKSAITDDPLGVLKTWNGSDVCSYKGVFCSVDSSNEPFVVAIDLNHGNLQGTLVKELSFLTDITIFHLNSNRFSGTVPDTFNDLSSLQELDLSGNYFSGSFPMVTLYIPNLVYLDLRFNNFSGTIPEDLFYKRLDAIFLNNNQFEGELPSNIGNSPASVINLANNKFIGNIPASFGFMRSKLKEVLFLNNQLTGCIPQSVGLFSEMQVFDVSHNSLMGHLPDTMSCMSDIEVLNLAHNELSGVLPDIVCSLRSLMNLTVAYNFFSGFGQECSKSFYRDVGFDFSLNCLPGRDMQRPQPQCNVIPGSGLSCLRIPSPQPLVCGALFENLNPNVTPSSSSSPSP >KJB81287 pep chromosome:Graimondii2_0_v6:13:36620069:36620959:-1 gene:B456_013G137000 transcript:KJB81287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNSKPHSPFNTKLILICASVVLFVFLLITTTSSFSKPPISQSHLSNSTHPVDDSEPTPLDCPSLPLTPTCTKTPPSLANALIHYATTNITPQQTLKEISVSARVLEKKAPCNFLVFGLGHDSLMWAGLNHGGRTVFLEEDKAWIEQIKQKLPSLESYHVEYVTKVHQADDLLETGMKEECKVVGDPRFSKCDLALKGFPNEIYDIEWDLIMVDAPTGFHDEAPGRMNAIYTAGLMARNREEGETDVFVHDVNRVVEDKFSMAFLCEGYLREQQGLLRHFTIPSHRSRSGRPFCP >KJB79387 pep chromosome:Graimondii2_0_v6:13:4144243:4146858:-1 gene:B456_013G046900 transcript:KJB79387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKTPTLKTPSFALSSKPRLPSAFSAAFPNTARFSRSYPQRRRFLIVTASSSSFSNKPTVLVAEKLGEAGLTLLQEFANVDCSYNLSPEELCTKISLCDALIVRSGTKVNREVFESSGGRLKVVGRAGVGIDNVDLSAATEHGCLVVNAPTANTVAAAEHGIALLAAMARNVAQADASVKAGKWQRNKYVGVSLVGKTLAVLGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVELVSFDEAIATADFISLHMPLTPATNKMLNDETFAKMKKGVRIVNVARGGVIDEEALVRALDAGIVAQAALDVFSEEPPKQDSKLVQHERVTVTPHLGASTMEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVLTELKPYVELAEKLGRLAVQLVAGGSGVKTVKVSYASSRAPDDLDTRLLRAMITKGIIEPISSVFVNLVNADYTAKQRGLRITEERILLDGSPESPLESIQVQIANVESKFASAMSESGEIKVEGRVKDGIPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGTVGSILGEENVNVSFMSVGRVAPRKHAVMAIGVDDQPSKETLKRIGEVPAIEEFVFLKL >KJB79386 pep chromosome:Graimondii2_0_v6:13:4144191:4146938:-1 gene:B456_013G046900 transcript:KJB79386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKTPTLKTPSFALSSKPRLPSAFSAAFPNTARFSRSYPQRRRFLIVTASSSSFSNKPTVLVAEKLGEAGLTLLQEFANVDCSYNLSPEELCTKISLCDALIVRSGTKVNREVFESSGGRLKVVGRAGVGIDNVDLSAATEHGCLVVNAPTANTVAAAEHGIALLAAMARNVAQADASVKAGKWQRNKYVGVSLVGKTLAVLGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVELVSFDEAIATADFISLHMPLTPATNKMLNDETFAKMKKGVRIVNVARGGVIDEEALVRALDAGIVAQAALDVFSEEPPKQDSKLVQHERVTVTPHLGASTMEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVLTELKPYVELAEKLGRLAVQLVAGGSGVKTVKVSYASSRAPDDLDTRLLRAMITKGIIEPISSVFVNLVNADYTAKQRGLRITEERILLDGSPESPLESIQVQIANVESKFASAMSESGEIKVEGRVKDGIPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGTVGSILGEENVNVSFMSVGRVAPRKHAVMAIGVDDQPSKETLKRIGEVPAIEEFVFLKL >KJB82527 pep chromosome:Graimondii2_0_v6:13:51190736:51192134:1 gene:B456_013G200800 transcript:KJB82527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAKDLNLDATELRLGLPGTSDESAKNQTPATLRSNNKRALPEESMSSNATASKNCNQETAPLPKAQVIGWPPIRSYRKNNLQPKKSEGEISGIYVKVSMDGAPYLRKIDLKVFKGYPELLKALEDMFKFKAGEYSEREGYNGSEFVPTYEDKDGDWMLVGDVPWEMFTNSCKKLRIMKGSEARGLGCVV >KJB82528 pep chromosome:Graimondii2_0_v6:13:51190794:51192092:1 gene:B456_013G200800 transcript:KJB82528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAKDLNLDATELRLGLPGTSDESAKNQTPATLRSNNKRALPEESMSSNATASKNCNQETAPLPKAQVIGWPPIRSYRKNNLQPKKSEGEISGIYVKVSMDGAPYLRKIDLKVFKGYPELLKALEDMFKFKAGEYSEREGYNGSEFVPTYEDKDGDWMLVGDVPWE >KJB83223 pep chromosome:Graimondii2_0_v6:13:55418124:55421006:-1 gene:B456_013G236200 transcript:KJB83223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDRVRVYNMSGAANREPLFGAANREPLFGAANRVPFFGAANSVPLTQGTVKSVQIIVKDVWKEYTGWPLNDMERSYKFMVKHVQLWKVAFHSTSPRWIHSCYLAAIAAYYAKEVEAGLMEYKPDIIISVHPLMQHIPLWVLKWQGLQKKVVFVTVITDLNSCHPKWFHPGVNRCYCPSNEVAKRALYDGLEESQVRVFGLPIWPSFAGAVLSKDDLRKELEMDTDLPAVLLMGGGEGVGPVKETAKALGESLYDKDQEKPIGQLIVICGRNKGLASTLESKEWKIPVKVRGFETQMEKWMGACDCIITKAGPGTIAEALITGLPIVLNDYIPGQEKGNVPYVVDNGAGVFTRSSSETARIVAEWFSTKTDELKRMSENALKLAQPEAVFDIVKDIHELALQRGPLANIPYVLTSSFTSLI >KJB79340 pep chromosome:Graimondii2_0_v6:13:3906092:3909653:-1 gene:B456_013G044600 transcript:KJB79340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSCLVLKPSPPTRLFFPPRIPQMALPGSTFVFPFSMDYQLPITTAKLALKPIRASTSAVPTTPSTTSTVTSSPTAASTKKVLVPIGFGTEEMEAVILVDVLRRAGAEVTVASVEPQLEVQASGGTKLVADTRISTCSDRVFDLVALPGGMPGSAQLRDCEILKKITSKQAEEKRLYGGISTAPAVTLLPWGLLKRKRTTCHPAFFDRLPTFWAVKSNIQVSGELTTSQGPGTSFLFALALVEQLFGESVAREIGELLFMHSADDKPRKEEFNKVDWAVSHTPRVLVPVANGSEEIEIVTIVDILRRAKVDVVVASVEKSVKILASQGVKIIADKLIGDAAGSIYDLIILPGGVAGTERLQKSRILKKLLKEQDAAGRILGAVCSSPTVLHKHGLLKENKVTAHPSAITELSNPVDGPKVVIDGKLITSMGLATVSDFALAIVSKFFGHARARSVAEGLVFEYPRS >KJB79337 pep chromosome:Graimondii2_0_v6:13:3906921:3909511:-1 gene:B456_013G044600 transcript:KJB79337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSCLVLKPSPPTRLFFPPRIPQMALPGSTFVFPFSMDYQLPITTAKLALKPIRASTSAVPTTPSTTSTVTSSPTAASTKKVLVPIGFGTEEMEAVILVDVLRRAGAEVTVASVEPQLEVQASGGTKLVADTRISTCSDRVFDLVALPGGMPGSAQLRDCEILKKITSKQAEEKRLYGGISTAPAVTLLPWGLLKRKRTTCHPAFFDRLPTFWAVKSNIQVSGELTTSQGPGTSFLFALALVEQLFGESVAREIGELLFMHSADDKPRKEEFNKVDWAVSHTPRVLVPVANGSEEIEIVTIVDILRRAKVDVVVASVEKSVKILASQGVKIIADKLIGDAAGSIYDLIILPQGGVAGTERLQKSRILKKLLKEQDAAGRILGAVCSSPTVLHKHGLLKVKSQDSR >KJB79339 pep chromosome:Graimondii2_0_v6:13:3906638:3909511:-1 gene:B456_013G044600 transcript:KJB79339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSCLVLKPSPPTRLFFPPRIPQMALPGSTFVFPFSMDYQLPITTAKLALKPIRASTSAVPTTPSTTSTVTSSPTAASTKKVLVPIGFGTEEMEAVILVDVLRRAGAEVTVASVEPQLEVQASGGTKLVADTRISTCSDRVFDLVALPGGMPGSAQLRDCEILKKITSKQAEEKRLYGGISTAPAVTLLPWGLLKRKRTTCHPAFFDRLPTFWAVKSNIQVSGELTTSQGPGTSFLFALALVEQLFGESVAREIGELLFMHSADDKPRKEEFNKVDWAVSHTPRVLVPVANGSEEIEIVTIVDILRRAKVDVVVASVEKSVKILASQGVKIIADKLIGDAAGSIYDLIILPQGGVAGTERLQKSRILKKLLKEQDAAGRILGAVCSSPTVLHKHGLLKENKVTAHPSAITELSNPVDGPKVVIDGKLITSMGLATVSDFALAIVSKFFGHARARSVAEGLVFEYPRS >KJB79338 pep chromosome:Graimondii2_0_v6:13:3906092:3909559:-1 gene:B456_013G044600 transcript:KJB79338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSCLVLKPSPPTRLFFPPRIPQMALPGSTFVFPFSMDYQLPITTAKLALKPIRASTSAVPTTPSTTSTVTSSPTAASTKKVLVPIGFGTEEMEAVILVDVLRRAGAEVTVASVEPQLEVQASGGTKLVADTRISTCSDRVFDLVALPGGMPGSAQLRDCEILKKITSKQAEEKRLYGGISTAPAVTLLPWGLLKRKRTTCHPAFFDRLPTFWAVKSNIQVSGELTTSQGPGTSFLFALALVEQLFGESVAREIGELLFMHSADDKPRKEEFNKVDWAVSHTPRVLVPVANGSEEIEIVTIVDILRRAKGQYMI >KJB81324 pep chromosome:Graimondii2_0_v6:13:37542435:37555050:-1 gene:B456_013G139300 transcript:KJB81324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIPLHIIDDDGDGDGQDEFDWEAAVREIDKACETRNSSSSSSHFAAHPPFPHISKKNSSRQSTLDRFIGKLGPRPPDNHRTVDVEAEAEAEEVSFVQIDAEAAKTWIYPVNVPLRDYQFAITKTALFSNTLVALPTGLGKTLIAAVIIYNYFRWFPEGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQICPSKRANFWKTKRVFFVTPQVLEKDIQSGTCLSKYLVCLVIDEAHRAMGNYSYCVAVRELRILALTATPGSKQPAIQQIIDNLYISTLEYRNEHDHDVSPYIHNRKIELIEVPLGQDASDVNNKLLEIIRPYVAKLHANGLIQNRDYQTLSPVDLLSSRDKFRQAPPLDLPHVKHGEVEAYFAVLITLYHIRKLLSSHGIRPAYEMLEEKLRQGPFSRLMSKNEDLMNAKLLMQRSLSHGAPSPKLSKLLEVLINHFQTRDPQNSRVIIFSNFRGSVRDIMNALESIRDLVKATEFIGQSSGKALKGQSQKVQQAVLEKFRAGGYNVIVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHDGRVVVLACQGSELKGYMRKQASSRSINKHMRNGGMNSFSFHSSPRMIPHIFKPEVQFVELSIEQFVPRGKKLKDDHVMETPPFREKLTLAETDLIAKYFHPTSESTWRPSLIAFPSVQAFPSKVCKVMHSCRTEMLIDSMQHLHGLTFPGGNGNFFVEDEVSSADHSVAGIVEQHDSPTKDFPALDNSQAANSQLGLTNSAESPLRTSRMKEKHGRDSGCGSPQAHSFVFDSDFVSVDACGKVLILSVPSLYWEDVMHSKHASKITNELLNSLKQEARSVKTLDEIMQTEAVQNITVCQKKSIELDTLSTPRFCETDFEKERMLNEVEKIPETPDGTCEMADEANIDLRDTELSPRLTNLIKSGVVPESPIADSGLLKQERRNESFIPDQASSPKLCTELILRSSSPVESDRGNLENSSHGRKVSIMKDEMIPKMNPVSSTRCSPISPLVVEVKSPLANLTNSCGSKSWHLSSGEKAETVEPVQVFKRLRKVGNCGKDRSSKIMKKSSLVSLANRAKSLSGANPIQTKSGRGKKKPGNGVRTFIDEEAEVSTEAEVSTEETDDESDSYDDSFIDDRINPTAGSTQIESGRVDMMAVYRRSLLSQSPMVEQMTCSAFSPDCVASTSKDPGSGCSSDKTLYSLQVPQPESINQTAANNMEERISSVSMPRRSYDSEVENQTLQSRKRKLSFFQLESIPAINLEQEFQSEVGGKELSNASQLPQVNKVTGNDNDFDDYDDDDQFYASLDLDAVEAQATMLLKNKSEPSMEKQEINAQPNVQNGGHQGSPSFDLGIW >KJB81327 pep chromosome:Graimondii2_0_v6:13:37543429:37551911:-1 gene:B456_013G139300 transcript:KJB81327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPVQLRILALTATPGCKLQLSSHCVLKLSCNLNAYIGCHYSAKQPAIQQIIDNLYISTLEYRNEHDHDVSPYIHNRKIELIEVPLGQDASDVNNKLLEIIRPYVAKLHANGLIQNRDYQTLSPVDLLSSRDKFRQAPPLDLPHVKHGEVEAYFAVLITLYHIRKLLSSHGIRPAYEMLEEKLRQGPFSRLMSKNEDLMNAKLLMQRSLSHGAPSPKLSKLLEVLINHFQTRDPQNSRVIIFSNFRGSVRDIMNALESIRDLVKATEFIGQSSGKALKGQSQKVQQAVLEKFRAGGYNVIVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHDGRSASSVMLFFLPNLSEFDDPWGGFPHVGPSATREGKFLACQGSELKGYMRKQASSRSINKHMRNGGMNSFSFHSSPRMIPHIFKPEVQFVELSIEQFVPRGKKLKDDHVMETPPFREKLTLAETDLIAKYFHPTSESTWRPSLIAFPSVQAFPSKVCKVMHSCRTEMLIDSMQHLHGLTFPGGNGNFFVEDEVSSADHSVAGIVEQHDSPTKDFPALDNSQAANSQLGLTNSAESPLRTSRMKEKHGRDSGCGSPQAHSFVFDSDFVSVDACGKVLILSVPSLYWEDVMHSKHASKITNELLNSLKQEARSVKTLDEIMQTEAVQNITVCQKKSIELDTLSTPRFCETDFEKERMLNEVEKIPETPDGTCEMADEANIDLRDTELSPRLTNLIKSGVVPESPIADSGLLKQERRNESFIPDQASSPKLCTELILRSSSPVESDRGNLENSSHGRKVSIMKDEMIPKMNPVSSTRCSPISPLVVEVKSPLANLTNSCGSKSWHLSSGEKAETVEPVQVFKRLRKVGNCGKDRSSKIMKKSSLVSLANRAKSLSGANPIQTKSGRGKKKPGNGVRTFIDEEAEVSTEAEVSTEETDDESDSYDDSFIDDRINPTAGSTQIESGRVDMMAVYRLLTFSF >KJB81325 pep chromosome:Graimondii2_0_v6:13:37542435:37555050:-1 gene:B456_013G139300 transcript:KJB81325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIPLHIIDDDGDGDGQDEFDWEAAVREIDKACETRNSSSSSSHFAAHPPFPHISKKNSSRQSTLDRFIGKLGPRPPDNHRTVDVEAEAEAEEVSFVQIDAEAAKTWIYPVNVPLRDYQFAITKTALFSNTLVALPTGLGKTLIAAVIIYNYFRWFPEGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQICPSKRANFWKTKRVFFVTPQVLEKDIQSGTCLSKYLVCLVIDEAHRAMGNYSYCVAVRELMSMPVQLRILALTATPGSKQPAIQQIIDNLYISTLEYRNEHDHDVSPYIHNRKIELIEVPLGQDASDVNNKLLEIIRPYVAKLHANGLIQNRDYQTLSPVDLLSSRDKFRQAPPLDLPHVKHGEVEAYFAVLITLYHIRKLLSSHGIRPAYEMLEEKLRQGPFSRLMSKNEDLMNAKLLMQRSLSHGAPSPKLSKLLEVLINHFQTRDPQNSRVIIFSNFRGSVRDIMNALESIRDLVKATEFIGQSSGKALKGQSQKVQQAVLEKFRAGGYNVIVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHDGRVVVLACQGSELKGYMRKQASSRSINKHMRNGGMNSFSFHSSPRMIPHIFKPEVQFVELSIEQFVPRGKKLKDDHVMETPPFREKLTLAETDLIAKYFHPTSESTWRPSLIAFPSVQAFPSKVCKVMHSCRTEMLIDSMQHLHGLTFPGGNGNFFVEDEVSSADHSVAGIVEQHDSPTKDFPALDNSQAANSQLGLTNSAESPLRTSRMKEKHGRDSGCGSPQAHSFVFDSDFVSVDACGKVLILSVPSLYWEDVMHSKHASKITNELLNSLKQEARSVKTLDEIMQTEAVQNITVCQKKSIELDTLSTPRFCETDFEKERMLNEVEKIPETPDGTCEMADEANIDLRDTELSPRLTNLIKSGVVPESPIADSGLLKQERRNESFIPDQASSPKLCTELILRSSSPVESDRGNLENSSHGRKVSIMKDEMIPKMNPVSSTRCSPISPLVVEVKSPLANLTNSCGSKSWHLSSGEKAETVEPVQVFKRLRKVGNCGKDRSSKIMKKSSLVSLANRAKSLSGANPIQTKSGRGKKKPGNGVRTFIDEEAEVSTEAEVSTEETDDESDSYDDSFIDDRINPTAGSTQIESGRVDMMAVYRRSLLSQSPMVEQMTCSAFSPDCVASTSKDPGSGCSSDKTLYSLQVPQPESINQTAANNMEERISSVSMPRRSYDSEVENQTLQSRKRKLSFFQLESIPAINLEQEFQSEVGGKELSNASQLPQVNKVTGNDNDFDDYDDDDQFYASLDLDAVEAQATMLLKNKSEPSMEKQEINAQPNVQNGGHQGSPSFDLGIW >KJB81323 pep chromosome:Graimondii2_0_v6:13:37542094:37555083:-1 gene:B456_013G139300 transcript:KJB81323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIPLHIIDDDGDGDGQDEFDWEAAVREIDKACETRNSSSSSSHFAAHPPFPHISKKNSSRQSTLDRFIGKLGPRPPDNHRTVDVEAEAEAEEVSFVQIDAEAAKTWIYPGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQICPSKRANFWKTKRVFFVTPQVLEKDIQSGTCLSKYLVCLVIDEAHRAMGNYSYCVAVRELRILALTATPGSKQPAIQQIIDNLYISTLEYRNEHDHDVSPYIHNRKIELIEVPLGQDASDVNNKLLEIIRPYVAKLHANGLIQNRDYQTLSPVDLLSSRDKFRQAPPLDLPHVKHGEVEAYFAVLITLYHIRKLLSSHGIRPAYEMLEEKLRQGPFSRLMSKNEDLMNAKLLMQRSLSHGAPSPKLSKLLEVLINHFQTRDPQNSRVIIFSNFRGSVRDIMNALESIRDLVKATEFIGQSSGKALKGQSQKVQQAVLEKFRAGGYNVIVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHDGRVVVLACQGSELKGYMRKQASSRSINKHMRNGGMNSFSFHSSPRMIPHIFKPEVQFVELSIEQFVPRGKKLKDDHVMETPPFREKLTLAETDLIAKYFHPTSESTWRPSLIAFPSVQAFPSKVCKVMHSCRTEMLIDSMQHLHGLTFPGGNGNFFVEDEVSSADHSVAGIVEQHDSPTKDFPALDNSQAANSQLGLTNSAESPLRTSRMKEKHGRDSGCGSPQAHSFVFDSDFVSVDACGKVLILSVPSLYWEDVMHSKHASKITNELLNSLKQEARSVKTLDEIMQTEAVQNITVCQKKSIELDTLSTPRFCETDFEKERMLNEVEKIPETPDGTCEMADEANIDLRDTELSPRLTNLIKSGVVPESPIADSGLLKQERRNESFIPDQASSPKLCTELILRSSSPVESDRGNLENSSHGRKVSIMKDEMIPKMNPVSSTRCSPISPLVVEVKSPLANLTNSCGSKSWHLSSGEKAETVEPVQVFKRLRKVGNCGKDRSSKIMKKSSLVSLANRAKSLSGANPIQTKSGRGKKKPGNGVRTFIDEEAEVSTEAEVSTEETDDESDSYDDSFIDDRINPTAGSTQIESGRVDMMAVYRRSLLSQSPMVEQMTCSAFSPDCVASTSKDPGSGCSSDKTLYSLQVPQPESINQTAANNMEERISSVSMPRRSYDSEVENQTLQSRKRKLSFFQLESIPAINLEQEFQSEVGGKELSNASQLPQVNKVTGNDNDFDDYDDDDQFYASLDLDAVEAQATMLLKNKSEPSMEKQEINAQPNVQNGGHQGSPSFDLGIW >KJB81326 pep chromosome:Graimondii2_0_v6:13:37542435:37555050:-1 gene:B456_013G139300 transcript:KJB81326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIPLHIIDDDGDGDGQDEFDWEAAVREIDKACETRNSSSSSSHFAAHPPFPHISKKNSSRQSTLDRFIGKLGPRPPDNHRTVDVEAEAEAEEVSFVQIDAEAAKTWIYPVNVPLRDYQFAITKTALFSNTLVALPTGLGKTLIAAVIIYNYFRWFPEGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQICPSKRANFWKTKRVFFVTPQVLEKDIQSGTCLSKYLVCLVIDEAHRAMGNYSYCVAVRELMSMPVQLRILALTATPGSKQPAIQQIIDNLYISTLEYRNEHDHDVSPYIHNRKIELIEVPLGQDASDVNNKLLEIIRPYVAKLHANGLIQNRDYQTLSPVDLLSSRDKFRQAPPLDLPHVKHGEVEAYFAVLITLYHIRKLLSSHGIRPAYEMLEEKLRQGPFSRLMSKNEDLMNAKLLMQRSLSHGAPSPKLSKLLEVLINHFQTRDPQNSRVIIFSNFRGSVRDIMNALESIRDLVKATEFIGQSSGKALKGQSQKVQQAVLEKFRAGGYNVIVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHDGRVVVLACQGSELKGYMRKQASSRSINKHMRNGGMNSFSFHSSPRMIPHIFKPEVQFVELSIEQFVPRGKKLKDDHVMETPPFREKLTLAETDLIAKYFHPTSESTWRPSLIAFPSVQAFPSKVCKVMHSCRTEMLIDSMQHLHGLTFPGGNGNFFVEDEVSSADHSVAGIVEQHDSPTKDFPALDNSQAANSQLGLTNSAESPLRTSRMKEKHGRDSGCGSPQAHSFVFDSDFVSVDACGKVLILSVPSLYWEDVMHSKHASKITNELLNSLKQEARSVKTLDEIMQTEAVQNITVCQKKSIELDTLSTPRFCETDFEKERMLNEVEKIPETPDGTCEMADEANIDLRDTELSPRLTNLIKSGVVPESPIADSDFAGLLKQERRNESFIPDQASSPKLCTELILRSSSPVESDRGNLENSSHGRKVSIMKDEMIPKMNPVSSTRCSPISPLVVEVKSPLANLTNSCGSKSWHLSSGEKAETVEPVQVFKRLRKVGNCGKDRSSKIMKKSSLVSLANRAKSLSGANPIQTKSGRGKKKPGNGVRTFIDEEAEVSTEAEVSTEETDDESDSYDDSFIDDRINPTAGSTQIESGRVDMMAVYRRSLLSQSPMVEQMTCSAFSPDCVASTSKDPGSGCSSDKTLYSLQVPQPESINQTAANNMEERISSVSMPRRSYDSEVENQTLQSRKRKLSFFQLESIPAINLEQEFQSEVGGKELSNASQLPQVNKVTGNDNDFDDYDDDDQFYASLDLDAVEAQATMLLKNKSEPSMEKQEINAQPNVQNGGHQGSPSFDLGIW >KJB78426 pep chromosome:Graimondii2_0_v6:13:368703:371298:1 gene:B456_013G005000 transcript:KJB78426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDSCLARVTAGVSVGGAVGGAVGAVYGTYEAIRYKVPGLLKIRYIGQTTLGSAAIFGLFLGAGSLIHCGKSH >KJB78425 pep chromosome:Graimondii2_0_v6:13:368586:371298:1 gene:B456_013G005000 transcript:KJB78425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDSCLARVTAGVSVGGAVGGAVGAVYGTYEAIRYKVPGLLKIRYIGQTTLGSAAIFGLFLGAGSLIHCGKSH >KJB83802 pep chromosome:Graimondii2_0_v6:13:57822093:57823942:-1 gene:B456_013G265400 transcript:KJB83802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISRIAVGSPAEAGQADALKAALAEFISVLIFVFAGEGSGMAFNKLTDDGSSTPAGLVAAALAHALALFVAVSVGANISGGHVNPAVTFGAFVGGHITLVRSILYWIAQLLGSVVACLLLKFSTGGMTTSAFSLSSGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGNIGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWDNHWVYWLGPFIGSAIAAIVYEVFFIAPSTYEELPSADF >KJB83801 pep chromosome:Graimondii2_0_v6:13:57822093:57823930:-1 gene:B456_013G265400 transcript:KJB83801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFIDKLTDDGSSTPAGLVAAALAHALALFVAVSVGANISGGHVNPAVTFGAFVGGHITLVRSILYWIAQLLGSVVACLLLKFSTGGMTTSAFSLSSGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGNIGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWDNHWVYWLGPFIGSAIAAIVYEVFFIAPSTYEELPSADF >KJB80801 pep chromosome:Graimondii2_0_v6:13:28705281:28712112:-1 gene:B456_013G115800 transcript:KJB80801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSGDLKGGKQAIGGVHGRPTMNNDAGYNEAIDHFFRVRDQSPLFTPIELSLSASNLRNLDILSKSDPMAVLYVKKMDGTLEELGRTEVILNCLNPTWIEKINVSYQFEIVQNLVFHVYDVDTKYYNMSVKALKLNEQDFLGEATCVLSEIVTKRNRSLTLNLHGKNGLGGSKNFGTLTVHAEESCLSRMAVEMKLRCSQLGNKDMFSKSDPFLRISRLTESGKYVPICKTEVINNNLNPVWRPLYLSMQQFGSKDNPLLIECFNFNSNGNHVLIGQLHKSVSELEKLHKERSGANLVFPTHRGQEKVLKGQLFVDQFIGKEQFSFLDYISSGFELNFMVAVDFTVSNGTPRNQDSLHYIDPSGRLNSYQKAIIEAGEVIQFYDSDRRFPAWGFGGRYGGTVSHCFNLNGTNAYEVEGVQGIMASYANALHTVTLAGPTLFGPVINTAAQLASQATSNDITKYFVLLIITDGVLTDIEETMDALVRASDLPLSILIVGVGNADFKQMEVLDADDGCRLESSTGRVATRDIVQFVPMREVHSGQISAVQALLEELPDQFLTYNRSRNIKPLHS >KJB80802 pep chromosome:Graimondii2_0_v6:13:28705425:28711785:-1 gene:B456_013G115800 transcript:KJB80802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSGDLKGGKQAIGGVHGRPTMNNDAGYNEAIDHFFRVRDQSPLFTPIELSLSASNLRNLDILSKSDPMAVLYVKKMDGTLEELGRTEVILNCLNPTWIEKINVSYQFEIVQNLVFHVYDVDTKYYNMSVKALKLNEQDFLGEATCVLSEIVTKRNRSLTLNLHGKNGLGGSKNFGTLTVHAEESCLSRMAVEMKLRCSQLGNKDMFSKSDPFLRISRLTESGKYVPICKTEVINNNLNPVWRPLYLSMQQFGSKDNPLLIECFNFNSNGNHVLIGQLHKSVSELEKLHKERSGANLVFPTHRGQEKVLKGQLFVDQFIGKEQFSFLDYISSGFELNFMVAVDFTVSNGTPRNQDSLHYIDPSGRLNSYQKAIIEAGEVIQFYDSDRRFPAWGFGGRYGGTVSHCFNLNGTNAYEVEGVQGIMASYANALHTVTLAGPTLFGPVINTAAQLASQATSNDITKYFVLLIITVKMNNYVYVAG >KJB80800 pep chromosome:Graimondii2_0_v6:13:28705281:28710517:-1 gene:B456_013G115800 transcript:KJB80800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKCALQLSLSASNLRNLDILSKSDPMAVLYVKKMDGTLEELGRTEVILNCLNPTWIEKINVSYQFEIVQNLVFHVYDVDTKYYNMSVKALKLNEQDFLGEATCVLSEIVTKRNRSLTLNLHGKNGLGGSKNFGTLTVHAEESCLSRMAVEMKLRCSQLGNKDMFSKSDPFLRISRLTESGKYVPICKTEVINNNLNPVWRPLYLSMQQFGSKDNPLLIECFNFNSNGNHVLIGQLHKSVSELEKLHKERSGANLVFPTHRGQEKVLKGQLFVDQFIGKEQFSFLDYISSGFELNFMVAVDFTVSNGTPRNQDSLHYIDPSGRLNSYQKAIIEAGEVIQFYDSDRRFPAWGFGGRYGGTVSHCFNLNGTNAYEVEGVQGIMASYANALHTVTLAGPTLFGPVINTAAQLASQATSNDITKYFVLLIITDGVLTDIEETMDALVRASDLPLSILIVGVGNADFKQMEVLDADDGCRLESSTGRVATRDIVQFVPMREVHSGQISAVQALLEELPDQFLTYNRSRNIKPLHS >KJB83416 pep chromosome:Graimondii2_0_v6:13:56537057:56543693:1 gene:B456_013G246600 transcript:KJB83416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate phytyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G18950) UniProtKB/Swiss-Prot;Acc:Q8VWJ1] MDALILGSSTGGLSLFISGRSRWRSKDLKAVHFPNSSIRVQVPTYKSYNLIGNFYTKRIQHRARGILETSTVFQKSNTKFLVNATSGHPLESEPKAYPNTPWNSAKNALDAFYRFSRPHTVIGTALSIISVSLLAVEKLSDISPLFFIGVLEAVVAALFMNIYIVGLNQLSDVEIDKVNKPYLPLASGEYSTLTGIMIVTSFSIMSFWLGWVVGSWPLFWALFVSFVLGTAYSINLPLLRWKRFALVAAMCILAVRAVIVQLAFYLHIQTHVFSRPAVISKPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIKSYTVRLGQEKVFWTCISLLEMAYGVSILVGATSPYAWSKVITVLGHSVLASILWIRAKSIDLKSKAAITSCYMLIWKLFYAEYFLIPLIR >KJB83415 pep chromosome:Graimondii2_0_v6:13:56537057:56543693:1 gene:B456_013G246600 transcript:KJB83415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate phytyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G18950) UniProtKB/Swiss-Prot;Acc:Q8VWJ1] MDALILGSSTGGLSLFISGRSRWRSKDLKAVHFPNSSIRVQVPTYKSYNLIGNFYTKRIQHRARGILETSTVFQKSNTKFLVNATSGHPLESEPKAYPNTPWNSAKNALDAFYRFSRPHTVIGTALSIISVSLLAVEKLSDISPLFFIGVLEAVVAALFMNIYIVGLNQLSDVEIDKVNKPYLPLASGEYSTLTGIMIVTSFSIMSFWLGWVVGSWPLFWALFVSFVLGTAYSINLPLLRWKRFALVAAMCILAVRAVIVQLAFYLHIQTHVFSRPAVISKPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIKSYTVFWTCISLLEMAYGVSILVGATSPYAWSKVITVLGHSVLASILWIRAKSIDLKSKAAITSCYMLIWKLFYAEYFLIPLIR >KJB83417 pep chromosome:Graimondii2_0_v6:13:56537533:56543693:1 gene:B456_013G246600 transcript:KJB83417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate phytyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G18950) UniProtKB/Swiss-Prot;Acc:Q8VWJ1] MNFAGSTHIHLSAVLELRDSSIRVQVPTYKSYNLIGNFYTKRIQHRARGILETSTVFQKSNTKFLVNATSGHPLESEPKAYPNTPWNSAKNALDAFYRFSRPHTVIGTALSIISVSLLAVEKLSDISPLFFIGVLEAVVAALFMNIYIVGLNQLSDVEIDKVNKPYLPLASGEYSTLTGIMIVTSFSIMSFWLGWVVGSWPLFWALFVSFVLGTAYSINLPLLRWKRFALVAAMCILAVRAVIVQLAFYLHIQTHVFSRPAVISKPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIKSYTVRLGQEKVFWTCISLLEMAYGVSILVGATSPYAWSKVITVLGHSVLASILWIRAKSIDLKSKAAITSCYMLIWKLFYAEYFLIPLIR >KJB79398 pep chromosome:Graimondii2_0_v6:13:4186957:4188107:1 gene:B456_013G047300 transcript:KJB79398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFITDDQTVKQIGPISTKSLIEYIEKTPSLLSEIGNRHDDVTIKEAGDGNLNFVYIVVATCASFIIQQALTYERCIGESLSMIIEGEYFEAVTSKEHGGLCREYVPQLDHLDRTIGMQCSEPPHIILRKGLITAIEYRFLSEHMFEYMVDSLLYRPTTEDKCAVAECCGNVELCRLSEEIVFSDPYLYLHRHMNLPCI >KJB81574 pep chromosome:Graimondii2_0_v6:13:41076878:41077213:-1 gene:B456_013G150500 transcript:KJB81574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENTAEDVTKSRKTKQVYKGRLSYKLTMGEVNSVAMDRHPTTTLYGTPGEAAAKSSGSNSNCLCSPTTHAGSFRCRYHRAGFPRAASVGSKLSMLGDTKSHHISDSLQAQ >KJB81573 pep chromosome:Graimondii2_0_v6:13:41076671:41077649:-1 gene:B456_013G150500 transcript:KJB81573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENTAEDVTKSRKTKQVYKGRLSYKLTMGEVNSVAMDRHPTTTLYGTPGEAAAKSSGSNSNCLCSPTTHAGSFRCRYHRAGFPRAASVGSKLSMLGDTKSHHISDSLQAQ >KJB83020 pep chromosome:Graimondii2_0_v6:13:54511216:54513203:1 gene:B456_013G225500 transcript:KJB83020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFAPKAPPRKAPKLEVKTEVVEDIDAVQARDLLQRLNQTSARTKPKVEKKVSSSQVAFGFVGGGASIKTFGTSRGANHRSGETFGGGVRGPGLRVEKEYKEPWDYYSYYPLTLPMRRPYSGNPEFLDEEEFAAQNVAYDENSIEPAVGLGLMEENLEPMMLFLQLPPTLPIIKAGHEGASSTGSSRTVRSAKKTCGLTELPAGLMGKMLVYKSGAVKLKLGDTIYDVNPGLSCVFAQDVVAVDTAKKQCCVVGEVNKHVIVTPDMDSVLNSLSEL >KJB83019 pep chromosome:Graimondii2_0_v6:13:54510941:54513203:1 gene:B456_013G225500 transcript:KJB83019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKKEQTNAPRKMRFAPKAPPRKAPKLEVKTEVVEDIDAVQARDLLQRLNQTSARTKPKVEKKVSSSQVAFGFVGGGASIKTFGTSRGANHRSGETFGGGVRGPGLRVEKEYKEPWDYYSYYPLTLPMRRPYSGNPEFLDEEEFAAQNVAYDENSIEPAVGLGLMEENLEPMMLFLQLPPTLPIIKAGHEGASSTGSSRTVRSAKKTCGLTELPAGLMGKMLVYKSGAVKLKLGDTIYDVSDLRLHRFIRICHMFSIATRRSRAGYWSEQLLRIGAGKSGFELCVCTGCCSC >KJB83018 pep chromosome:Graimondii2_0_v6:13:54510934:54513203:1 gene:B456_013G225500 transcript:KJB83018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKKEQTNAPRKMRFAPKAPPRKAPKLEVKTEVVEDIDAVQARDLLQRLNQTSARTKPKVEKKVSSSQVAFGFVGGGASIKTFGTSRGANHRSGETFGGGVRGPGLRVEKEYKEPWDYYSYYPLTLPMRRPYSGNPEFLDEEEFAAQNVAYDENSIEPAVGLGLMEENLEPMMLFLQLPPTLPIIKAGHEGASSTGSSRTVRSAKKTCGLTELPAGLMGKMLVYKSGAVKLKLGDTIYDVNPGLSCVFAQDVVAVDTAKKQCCVVGEVNKHVIVTPDMDSVLNSLSEL >KJB78926 pep chromosome:Graimondii2_0_v6:13:3224967:3227617:1 gene:B456_013G040100 transcript:KJB78926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMMLMMMMMMMIGSMADDTNDVYSPCDDAKVQKLDGFTFGVAFSKKEFFSFENVQLSPCDSRLGLATKSAQLAVFRPKLDEISLLTINGSDLLKAGGYMVAFAGRKYAARSLPIMVADDKNTITSFTLVLEFKQGTLQNLFWKSSGCDSCSGESATCLNNQDCARPTSKCGQNGCNLGIQLAFSGTDKNLEALNS >KJB78925 pep chromosome:Graimondii2_0_v6:13:3224967:3227069:1 gene:B456_013G040100 transcript:KJB78925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMMLMMMMMMMIGSMADDTNDVYSPCDDAKVQKLDGFTFGVAFSKKEFFSFENVQLSPCDSRLGLATKSAQLAVFRPKLDEISLLTINGSDLLKAGGYMVAFAGRKYAARSLPIMVADDKNTITSFTLVLEFKQGTLQNLFWKSSGCDSCSGESATCLNNQDCARPTSKCGQNGCNLGIQLAFSGTDKNLEALNSWYEVSKFRQNSLYGLYADLRDKLF >KJB80166 pep chromosome:Graimondii2_0_v6:13:12033422:12034939:1 gene:B456_013G084000 transcript:KJB80166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPTPKRQREETQSSNEEHVEETKRHKSYNHILSLLEAEEDEPSQDLSPLITTLQQQLSSDSVLDAPFPLCQPATPSPSKPDKENATAALSLEDCTSATCSLKEDDDDKEQVIRHLLEASDDELGIPNREDGDGDGSGGGGDVGFEVFELEQGFNNGGNGFALCDGLWELEDEAANYYTLLQSELFM >KJB80009 pep chromosome:Graimondii2_0_v6:13:9817880:9820427:-1 gene:B456_013G077200 transcript:KJB80009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEPTSIEGSVTPPTLIDSENSGVGASSQTKGTTGKRKATQRSEVWSHFTKIINSEGASKAKCNYCQKEFCCDMKKNGTRSLKYHIGSCKKNPSNVVDNSQGQLVLPRKRFEGGEGNLSTWRFDQEACRKGLAQMIVIDELPFKFVESEGFKKFMFVASPRFHIPSRTTMTRDVYQLYLDERIKIKQLLRSSCSRVCLTTHTWTSLQRVNYLCITAHFIDNDWKFNKKILNFFPISSHKGESIGMVIEKCLLNWGIGKLFTVTVDNASSNDVAIGYLRKKFNPRGGLVQNGKYLHMRCMAHIVNFIVVEGLKEMNKSVEPRIQKFKECVVVEKIEWNSTYLMLDTAQNIERAFERFEEQDTNFRAELERGEGWPSVDDWDNVRNLRDFLEHFYEVILRISGTSYLKSNVDFSVMAIKMKEKYDKYWGDIDKMNLLMFVACILDPRQKLKYLEFALSEMSSSEKACEMMQKLKESLYELFDEYKPPLHTLYKKRELEICGEDKTSELDKYLAEANEEFVEDFEILLWWKVNSPRFPTLSKMARDVLAISVSTVASESAFSNGRRVLDQYRSSLTPKIVQALVRTQDWIRKSSSQEDIKKIE >KJB80234 pep chromosome:Graimondii2_0_v6:13:13405971:13412267:-1 gene:B456_013G087900 transcript:KJB80234 gene_biotype:protein_coding transcript_biotype:protein_coding description:APC2 [Source:Projected from Arabidopsis thaliana (AT2G04660) UniProtKB/TrEMBL;Acc:A0A178VYA9] MDGELNEENEHRDRDEMDLDEKNKYRTGEMDIDECYSQDKFSENSKLVRNIGKVVRDLRNLGFTSMTEDAYASAIFMLLKAKVHNLAGDDYRSSVLASIKGWIQVVPLQFLNALLAYLGDSISFDQHSSGIKSPLASQPSSCYSGTNTPSEGLIRWKLRLEYFAYETLQDLRIAKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVESFISALRFRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSSGNPNGSGNSGDSLLEELNRDEENQENVAIDDDFNTDDKQAWIDAQRWEPDPVEADPLKGSRNRRKVDILGMIVGIIGSKDQLVNEYRVMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNTNIKATISKTSQIDPVAAETGISLDNLDATIISSNFWPPIQDEALVIPESVDQLLSDYARRFHQIKTPRKLIWKKNLGTVKLELQFEDKAMQFTVAPVHAAIIMQFQDQTSWTSKNLAAATGIPVDVLNRRISFWISKGVLTESLGTDPSDHVFTLVDGMIEAGKNSGNTGNCEELLAGDEEAERSVASVEDQLRKEMTVYEKFILGMLTNFGSMALDRIHNTLKMFCVADPPYDKSLQQLQSFLSGLVSEEKLELRDGMYFLKK >KJB80235 pep chromosome:Graimondii2_0_v6:13:13406671:13412155:-1 gene:B456_013G087900 transcript:KJB80235 gene_biotype:protein_coding transcript_biotype:protein_coding description:APC2 [Source:Projected from Arabidopsis thaliana (AT2G04660) UniProtKB/TrEMBL;Acc:A0A178VYA9] MESLTSSPVCNLGILTSLDNDSVQEILQSYDGFCTAANSLLKSSGDLSLEHDFVSHVHTLCKHGLHILSRDYFLRLLEEAFEKNGASRFWRYFEDYSKIEEDLEKIDEDEIQRVLCNALEEICLEKENQEKCLLMLVHALQSYMDNLTDGKPDFDVGKVYLFSKYQLIVSSILMANLPRHFPEVLHFYFKGRLEELSTIMDGELNEENEHRDRDEMDLDEKNKYRTGEMDIDECYSQDKFSENSKLVRNIGKVVRDLRNLGFTSMTEDAYASAIFMLLKAKVHNLAGDDYRSSVLASIKGWIQVVPLQFLNALLAYLGDSISFDQHSSGIKSPLASQPSSCYSGTNTPSEGLIRWKLRLEYFAYETLQDLRIAKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVESFISALRFRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSSGNPNGSGNSGDSLLEELNRDEENQENVAIDDDFNTDDKQAWIDAQRWEPDPVEADPLKGSRNRRKVDILGMIVGIIGSKDQLVNEYRVMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNTNIKATISKTSQIDPVAAETGISLDNLDATIISSNFWPPIQDEALVIPESVDQLLSDYARRFHQIKTPRKLIWKKNLGTVKLELQFEDKAMQFTVAPVHAAIIMQFQDQTSWTSKNLAAATGIPVDVLNRRISFWISKGVLTESLGTDPSDHVFTLVDGMIEAGKNSGNTGNCEELLAGDEEAERSVASVEDQLRKEMTVYEKFILGMLTNFGSMALDRIHNTLKMFCVADPPYDKSLQQLQSFLSGLVSEEKLELRDGMYFLKK >KJB80435 pep chromosome:Graimondii2_0_v6:13:17288739:17293335:1 gene:B456_013G097400 transcript:KJB80435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDTEQVICHSTIGYKNDSKPYSFLADIKPFENKEKSSDATELSMDDTVKENQNGVVHDIKSDELDSDFSIYSENTRDEWTASELDCSNSVHDFSNGNEKEVRDFVTFNSHSSKNMDSFQDSVFYLDKSVMDCELPELVVCYKESTYHVVKDICIDEGVPTQDMFLFESSVDEKSECNFSYPKKDQDNELMKEMSETDMPMQDISFSPEENQSGKDIDNECGSNKKLDADTYMQDIALSLEENKSNKGIPNEWDPRDLLVTRDMKDDAMEMMSNDGSKELFTLGDILSLPELATLKSEAMSPDCKSDRIEQQSFENSSKKEVIVASAVEESNNLILSAPALVSTAEGSDIGKGEATPISPAPASASLEATSSGLVNETGSITFDSRSSAPTSGKGSNKPLEAGRTSKLEETADQPFSSNLQSGNGESSFSAAGPLTGLISYSGPIAYSGNLSLRSDSSTTSTRSFAFPILQSEWNSSPVRMAKADRRQYRRHRGWRQGFLCCRF >KJB80436 pep chromosome:Graimondii2_0_v6:13:17288738:17293175:1 gene:B456_013G097400 transcript:KJB80436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDTEQVICHSTIGYKNDSKPYSFLADIKPFENKEKSSDATELSMDDTVKENQNGVVHDIKSDELDSDFSIYSENTRDEWTASELDCSNSVHDFSNGNEKEVRDFVTFNSHSSKNMDSFQDSVFYLDKSVMDCELPELVVCYKESTYHVVKDICIDEGVPTQDMFLFESSVDEKSECNFSYPKKDQDNELMKEMSETDMPMQDISFSPEENQSGKDIDNECGSNKKLDADTYMQDIALSLEENKSNKGIPNEWDPRDLLVTRDMKDDAMEMMSNDGSKELFTLGDILSLPELATLKSEAMSPDCKSDRIEQQSFENSSKKEVIVASAVEESNNLILSAPALVSTAEGSDIGKGEATPISPAPASASLEATSSGLVNETGSITFDSRSSAPTSGKGSNKPLEAGRTSKLEETADQPFSSNLQSGNGESSFSAAGPLTGLISYSGPIAYSGNLSLRSDSSTTSTRSFAFPILQSEWNSSPVRMAKADRRQYRRHRGWRQGFLCCRF >KJB82393 pep chromosome:Graimondii2_0_v6:13:50298122:50302050:-1 gene:B456_013G197400 transcript:KJB82393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGTGIGTVRRRLHHSDVGGIRNENYETSGLDGLDEPLLGKRDYDDKHSEGKVMEEIWDDERKKEQLHWTLLFSNLIAQWAQWIANLVFGSGSFIVRHLPLLSNTKNRSNPKFIVPTLSPLQEERLRNLQQRLGVPFDGSRVDHQDALKLLWRLAYPNRELPPLKSELWKDMGWQGPDPSTDFRGGGFISLENLIFFAKKYPESFQRLLHKQDGNRADWEYPFAVAGINISFMLTQMLDLQSGKPSSLAGIRFLKLLGEDEMAFDDLYCVAFQMMDAQWLVKRASYMEFNVNYSNN >KJB82391 pep chromosome:Graimondii2_0_v6:13:50297564:50302136:-1 gene:B456_013G197400 transcript:KJB82391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGTGIGTVRRRLHHSDVGGIRNENYETSGLDGLDEPLLGKRDYDDKHSEGKVMEEIWDDERKKEQLHWTLLFSNLIAQWAQWIANLVFGSGSFIVRHLPLLSNTKNRSNPKFIVPTLSPLQEERLRNLQQRLGVPFDGSRVDHQDALKLLWRLAYPNRELPPLKSELWKDMGWQGPDPSTDFRGGGFISLENLIFFAKKYPESFQRLLHKQDGNRADWEYPFAVAGINISFMLTQMLDLQSGKPSSLAGIRFLKLLGEDEMAFDDLYCVAFQMMDAQWLVKRASYMEFNDVLKSTRTQLERELSLEDVSSVKDLPAYNLLRR >KJB82392 pep chromosome:Graimondii2_0_v6:13:50297614:50301708:-1 gene:B456_013G197400 transcript:KJB82392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGTGIGTVRRRLHHSDVGGIRNENYETSGLDGLDEPLLGKRDYDDKHSEGKVMEEIWDDERKKEQLHWTLLFSNLIAQWAQWIANLVFGSGSFIVRHLPLLSNTKNRSNPKFIVPTLSPLQEERLRNLQQRLGVPFDGSRVDHQDALKLLWRLAYPNRELPPLKSELWKDMGWQGPDPSTDFRGGGFISLENLIFFAKKYPESFQRLLHKQDGNRADWEYPFAVAGINISFMLTQMLDLQSGKPSSLAGIRFLKLLGEDEMAFDDLYCVAFQMMDAQWLVKRASYMEFNDVLKSTRTQLERELSLEDVSSVKDLPAYNLLRR >KJB83215 pep chromosome:Graimondii2_0_v6:13:56299196:56301792:-1 gene:B456_013G2438001 transcript:KJB83215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAQAWRLGSMGDMQILPGSRHRPPLKRPIWIISLVSLVCLFLVCAYIYPPQGSAACYVFSSRGCKVLTNWLPPSPTRELSDEEIASQVVIRDILSNPPVQSKNPKIAFMFLTPSSLPFEKLWDMFFRGHEGKFSVYVHASKGKPVHVSRYFLNRDIRSDEFGKISWNAYSILDTASFYTQLLDTILVQLAVRYVH >KJB83213 pep chromosome:Graimondii2_0_v6:13:56299196:56301386:-1 gene:B456_013G2438001 transcript:KJB83213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAQAWRLGSMGDMQILPGSRHRPPLKRPIWIISLVSLVCLFLVCAYIYPPQGSAACYVFSSRGCKVLTNWLPPSPTRELSDEEIASQVVIRDILSNPPVQSKNPKIAFMFLTPSSLPFEKLWDMFFRGHEGKFSVYVHASKGKPVHVSRYFLNRDIRSDEFGKISWNAYSILDTASFYTQLLDTILVQLAVRYVH >KJB83214 pep chromosome:Graimondii2_0_v6:13:56299196:56301466:-1 gene:B456_013G2438001 transcript:KJB83214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAQAWRLGSMGDMQILPGSRHRPPLKRPIWIISLVSLVCLFLVCAYIYPPQGSAACYVFSSRGCKVLTNWLPPSPTRELSDEEIASQVVIRDILSNPPVQSKNPKIAFMFLTPSSLPFEKLWDMFFRGHEGKFSVYVHASKGKPVHVSRYFLNRDIRSDEFGKISWNAYSILDTASFYTQLLDTILVQLAVRYVH >KJB83212 pep chromosome:Graimondii2_0_v6:13:56299196:56301956:-1 gene:B456_013G2438001 transcript:KJB83212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAQAWRLGSMGDMQILPGSRHRPPLKRPIWIISLVSLVCLFLVCAYIYPPQGSAACYVFSSRGCKVLTNWLPPSPTRELSDEEIASQVVIRDILSNPPVQSKNPKIAFMFLTPSSLPFEKLWDMFFRGHEGKFSVYVHASKGKPVHVSRYFLNRDIRSDEFGKISWNAYSILDTASFYTQLLDTILVQLAVRYVH >KJB79165 pep chromosome:Graimondii2_0_v6:13:2791045:2791716:-1 gene:B456_013G0360002 transcript:KJB79165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVYTADFPDRPPQPFNYTGAPLTANLATTSGTRLSKIAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVRTGIGNFDPAKDPAKFNLVDPPERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTAFVVENGKGPDQSILPPPKDLPPC >KJB80594 pep chromosome:Graimondii2_0_v6:13:22028868:22033403:1 gene:B456_013G105900 transcript:KJB80594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g21470 [Source:Projected from Arabidopsis thaliana (AT3G21470) UniProtKB/Swiss-Prot;Acc:Q9LVF9] MKTTKLPQNPKQAIRIVENIAKNNSNPNQPSWSYIIRNHLSEGTTSQVLSLYTQIRQTGLYIFGLVPLIFKACASASTQTYGKSLHAESIKFGVAIDLHIASSLLSMYSRCGNLIDSRKVFDEMPERNVVTWNAMIGGYFKNGDRESALDVFEKMPITRNSVTWVEMIDGFAKCGDTFKARQFFEKVPLELRTVVTWTVMVDGYNANGELEAAREIFDMMPERNYFVWSSMISGYCKRGNVKEARNFFDRIPVRNLVNWNSLISGYAQNGFCEEALRMYKKMQNEGFEPDEVTITSVLSACAQLGELDIGKEIHYLIKKKRMKANQFVLNALLDMYAKCGDLAQARLIFEGMSHRTSACWNSMILGLAIHGKNKEALEFFKRMEESNEMPDDITFLSLLSACAHGGCVDEGLDVFSKMETYDLVASIKHYGCLVDLLGRAGRLKEAFDLIKRMPIKPNDVVWGALLGACRIHLDTNMVEQVMQEVGKVDDDMDSGDNSHLVLLSNIYAASDRWEKAEKMRTAMVNKGFQKNPGLSSIIPNRMELPFSSHQL >KJB78839 pep chromosome:Graimondii2_0_v6:13:1588988:1590431:1 gene:B456_013G022200 transcript:KJB78839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWVDTCLVSLLFIIVTVEARIPGVYSDGAWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGFSCGACFEIKCVNQPQWCHSGSPSIFITATNFCPPNYALPNDNGGWCNPPRSHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKRGGIRFTINGFRYFNLVLITNVAGSGDIVKASVKGSKTGWMSLSRNWGQNWQSNAVLVGQSLSFRVTGSDRRTSTSWNIAPSNWQFGQTFTGKNFRV >KJB83615 pep chromosome:Graimondii2_0_v6:13:57181481:57186504:1 gene:B456_013G255100 transcript:KJB83615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTLPRYFLRFHLSFTSRTASTRAKNNHGYLFLAPKANTTKFTTASIPGDKPQKLSAEELLVVVGGGAAGVYGAIRAKTVASNLNVLVIEKGKLLSKVKISGGGRCNVTNGHCADNLVLADHYPRGNKELRGSFFNVHGPTDTMSWFVDKGVDLKTEDDGRVFPVSNNSSSVIDCLLSEAKCRGVSLQTGKLVTSASASASGKFLLKIEKRTLKSVELVEADYLLIASGSSQQGHNLAVQLGHSIVDPVPSLFTFKIEDAQLVELSGVTFSKVIVKLKLENVQRNIPQLTQVGPMLVTHWGLSGPAILRLSAWGARYLYSSGYKGKLIVDFVPDLHVEDLKSMLSQQKNRFLKQKVLNSCPMELQLVKRFWKYILDREGLVGDTLWASVSNSSIVSIANLLKHCTFEVKGKGQFKDEFVTAGGVPLSEIHLNTMESRIQPNLYFAGEVLNVDGVTGGFNFQNAWSGGYIAGSTIGRLAAGNANLVGAL >KJB80400 pep chromosome:Graimondii2_0_v6:13:15709376:15712691:1 gene:B456_013G095200 transcript:KJB80400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGVKNDRGELRILENLDELRTDLADYIAELSEAAVKERGVFAIALSGGSLIGLMGKLCEAPYNKTVDWAKWYIFWADERVVAKNHSDSNYKLAKDGILSKVPIVPSHVHSINDSVSAEEAADEYMFVIRQLVKTRMVSVSDISDCPKFDLILLGMGPDGHIASLFPNHAVLEETDEWVTFITDSPKPPPERITFTLPVINSASNVAIVVTGESKAEAVHLAIDNVEPNCPSLPARLVQPTKGKLVWFLDKPAASKLDDLQFSE >KJB80399 pep chromosome:Graimondii2_0_v6:13:15709924:15712598:1 gene:B456_013G095200 transcript:KJB80399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGVKNDRGELRILENLDELRTDLADYIAELSEAAVKERGVFAIALSGGSLIGLMGKLCEAPYNKTVDWAKWYIFWADERVVAKNHSDSNYKLAKDGILSKVPIVPSHVHSINDSVSAEEAADEYMFVIRQLVKTRMVSVSDISDCPKFDLILLGMGPDGHIASLFPNHAVLEETDEWVTFITDSPKPPPERITFTLPVINSASNVAIVVTGESKAEAVHLAIDNVEPNCPSLPARLVQPTKGKLVWFLDKPAASKLDDLQFSE >KJB80401 pep chromosome:Graimondii2_0_v6:13:15708876:15712697:1 gene:B456_013G095200 transcript:KJB80401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGVKNDRGELRILENLDELRTDLADYIAELSEAAVKERGVFAIALSGGSLIGLMGKLCEAPYNKTVDWAKWYIFWADERVVAKNHSDSNYKLAKDGILSKVPIVPSHVHSINDSVSAEEAADEYMFVIRQLVKTRMVSVSDISDCPKFDLILLGMGPDGHIASLFPNHAVLEETDEWVTFITDSPKPPPERITFTLPVINSASNVAIVVTGESKAEAVHLAIDNVEPNCPSLPARLVQPTKGKLVWFLDKPAASKLDDLQFSE >KJB79154 pep chromosome:Graimondii2_0_v6:13:2747891:2750972:-1 gene:B456_013G035400 transcript:KJB79154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTLALPVEPQVKMGLERSDTDSYEDERKKKLGSFKKMEVSASSKIRNSLKKKSRRDSRVISAASIDDNFNPEELQAVNEFRQALILDELLPAKHDDHHMMLRFLRARKFELDKAKQMWADMLQWRKDFGADTIMEDFDFKEYNEVIKYYPQGYHGVDKDGRPVYIERLGQVDANKLTQVTTMDRYLKYHVKEFEKTFAVKFPAASLAARRQINQTTTILDVEGVGLKSFNKAARELLQRLQKIDSDNYPETLNRMFIINAGSGFRFLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDANELPEFLGGSCDCTDKGGCMVSDKGPWNDPAILKRVQNDEFKYTRNTSGIKNIACRDMKMDCSTETATGTAEWHEEKTPLSPLFESPIKKKCLDSFAYDKCIPIVDKGNDSSWPKSVEDEKHAISKDCSQVKDDGKGMSTSFFRGIMTFITAIVAMIHLSRNIPSKLSEAAMYCVRCYYSKPKITGHAADKLPPPPLPITSDDYLAMKKRMSRLEEMVSFLMQKHVAMPPEKEEMLNAALSRVSILEEELWAAKKALREALDKQAELQTYIDKKKKRKKFNPFRWC >KJB79846 pep chromosome:Graimondii2_0_v6:13:8020202:8024233:-1 gene:B456_013G069200 transcript:KJB79846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQASRLLRSLSSQFSHHKSLLSPQHSRSFSAAPAPQPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAPAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNQQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCVTKFLADPQTEGIILIGEIGGTAEEDAAALIKESGTEKPIVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVTVVESPAKIGVAMHDVFKQRGLLN >KJB79847 pep chromosome:Graimondii2_0_v6:13:8020223:8024159:-1 gene:B456_013G069200 transcript:KJB79847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQASRLLRSLSSQFSHHKSLLSPQHSRSFSAAPAPQPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAPAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNQQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCVTKFLADPQTEGIILIGEIGGTAEEDAAALIKVMLIFY >KJB78514 pep chromosome:Graimondii2_0_v6:13:236733:241255:-1 gene:B456_013G003300 transcript:KJB78514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RBL [Source:Projected from Arabidopsis thaliana (AT3G21060) UniProtKB/Swiss-Prot;Acc:Q5E915] MNAPIIDPLQGDFPEVIEEYLEHGLMKCIAFNRRGTLLAAGCSDGSCVIWDFETRGIAKELKDRDCSAAITSVCWSKYGHRILVSAADKSLTLWDVVSGQKVTRIVLQQTPLQARLHPGSSMPSVCLACPLSSAPVIVDFSTGDTTALPVTIPDMGNGVAPPSRNKFPDGAPYTLTAACFNKNGDLVYVGNSKGEILIIDHKNVKVLAMVPISSGAVVKNIVFSRNGQHLLTNSSDRIIRIYENILPLKDGLGALHDLNKTIKEEDTLENVKAVGSKCLVLFREFQDSITKTHWKAPCFSGDGEWVISASASKGEHKIYIWDRAGHLVKILEGPKEQLIDLAWHPVHPIIVSVSLTGLVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLVPETEKVKESDINEDDEVDIVTVEKDPFSDSDMSQDELCFLPATPCPDDPQQQDKFVGSSSKLIDSNHSGSPLSEENGQNGQNGQAAQNASSPLEEDTAGGNQLKRKRKLSEKGLELQAEKVRKPMKPLKSTGRLSKTKNKSVVDQDSGNGLYADDGSDDY >KJB81535 pep chromosome:Graimondii2_0_v6:13:40829803:40831278:-1 gene:B456_013G148800 transcript:KJB81535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLCLFCAWLTYRAIQKSNCYHREGHNAPCSYEDTSSMLLFGAVQVVMSQIPDFHNMKWLSMLAAIMSFAYSFIGFGLGLAQVIKNGTIKGSINGVPAANIADKLWLVFQALGDIAFAYPYSGIVLEIQDTLKSPPPENKTMKKASIIAVSLTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLVDLANACIILHLVGGYQIFGQPVFAFAERWFINRFPNSWFVNNYYTNKHRWFPSFQTNPLKICFRTAYVASTTAIAMMFPYFNQVLGVLGALNFWPLAIYFPVEMRIVQKKIQSWTRKWVALKSFSFVCLLVTIVAFIGSIQGLINAKFE >KJB81536 pep chromosome:Graimondii2_0_v6:13:40829803:40832630:-1 gene:B456_013G148800 transcript:KJB81536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEEDNQLSPLLPSSSTSSVKRTGTVWTAVAHIITGVIGAGVLSLAWSTAQLGWIAGPISVLVFAAITLVSTYILCDCYMYPHPHYGPNRLRSYMDAVLVYLGEKNHKVCGVILMETLYGSTLAYVITSASSIKAIQKSNCYHREGHNAPCSYEDTSSMLLFGAVQVVMSQIPDFHNMKWLSMLAAIMSFAYSFIGFGLGLAQVIKNGTIKGSINGVPAANIADKLWLVFQALGDIAFAYPYSGIVLEIQDTLKSPPPENKTMKKASIIAVSLTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLVDLANACIILHLVGGYQIFGQPVFAFAERWFINRFPNSWFVNNYYTNKHRWFPSFQTNPLKICFRTAYVASTTAIAMMFPYFNQVLGVLGALNFWPLAIYFPVEMRIVQKKIQSWTRKWVALKSFSFVCLLVTIVAFIGSIQGLINAKFE >KJB80327 pep chromosome:Graimondii2_0_v6:13:14806935:14813606:1 gene:B456_013G092200 transcript:KJB80327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPFSATSFHSTFICFNYKARNPNLFFLKKGSSFTFSRRKFIIKSVASDQRQDLKEEGQITEEASLDTFVPDSASVASSIKYHSEFTPSFAPDHFELPKAFKATAESVRDSLIINWNATYAYYEKINVKQAYYLSMEYLQGRALLNAIGNLELTGAYAEALKKLGHNLEDVAREEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQYITKDGQEEVAENWLEMGNPWEIVRNDVSYPVKFYGEVISGPEGIKEWVGGEDITAVAYDVPIPGYKTKTTINLRLWSTKVAPEKFDLSAFNAGDHAKAYSAMNNAEKICYILYPGDESLEGKTLRLKQQYTLCSASLQDIIARYERRSGEFLNWEIFPEKVAVQMNDTHPTLCIPELIRILIDVKGLSWEQAWNITQRTVAYTNHTVLPEALEKWSLELMEKLLPRHVEIIKMIDEELVQTIIDEYGTEDLDLLQEKLKQMRILDNIELPESVVEMIAKPEKSLVEAIESTEEDDVSDEETEPTAEEDELEEEEIEEENEVPPIIEPDPKLPKLVRMANLCVAGGYAVNGVAEIHSEIVKNEVFNDFYEMWPEKFQNKTNGVTPRRWIRFCNPDLSKIITKWTGSEDWVVNTEKLLTLRKFSDNEDLQSEWREAKRRNKVKVASFLREKTGYIVNPDAMFDVQVKRIHEYKRQLLNIMGIVYRYKKMKGMSHEERKASFAPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIRQEVGEDNFFLFGAEAHEIAGLRKERAEGKFVPDPRFEEVKSYVRSGVFGPYNYEELMGSLEGNEGYGRADYFLVGKDFPSYIECQDKVDEAYRDQKRWTKMSILNTAGSYKFSSDRTIHEYARDIWRIDPVVLP >KJB80328 pep chromosome:Graimondii2_0_v6:13:14807197:14813532:1 gene:B456_013G092200 transcript:KJB80328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPFSATSFHSTFICFNYKARNPNLFFLKKGSSFTFSRRKFIIKSVASDQRQDLKEEGQITEEASLDTFVPDSASVASSIKYHSEFTPSFAPDHFELPKAFKATAESVRDSLIINWNATYAYYEKINVKQAYYLSMEYLQGRALLNAIGNLELTGAYAEALKKLGHNLEDVAREEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQYITKDGQEEVAENWLEMGNPWEIVRNDVSYPVKFYGEVISGPEGIKEWVGGEDITAVAYDVPIPGYKTKTTINLRLWSTKVAPEKFDLSAFNAGDHAKAYSAMNNAEKICYILYPGDESLEGKTLRLKQQYTLCSASLQDIIARYERRSGEFLNWEIFPEKVAVQMNDTHPTLCIPELIRILIDVKGLSWEQAWNITQRTVAYTNHTVLPEALEKWSLELMEKLLPRHVEIIKMIDEELVQTIIDEYGTEDLDLLQEKLKQMRILDNIELPESVVEMIAKPEKSLVEAIESTEEDDVSDEETEPTAEEDELEEEEIEEENEVPPIIEPDPKLPKLVRMANLCVAGGYAVNGVAEIHSEIVKNEVFNDFYEMWPEKFQNKTNGVTPRRWIRFCNPDLSKIITKWTGSEDWVVNTEKLLTLRKFSDNEDLQSEWREAKRRNKVKVASFLREKTGYIVNPDAMFDVQVKRIHEYKRQLLNIMGIVYRYKKMKGMSHEERKASFAPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPEIGDLLKYGRNGG >KJB82572 pep chromosome:Graimondii2_0_v6:13:51351668:51356372:1 gene:B456_013G202600 transcript:KJB82572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLGREVSSGIVSESRESKNASFESVKKVENVTVPKVDASVVEVENEGTQKEEKANGERKQRGERRRAKPNPRSSNLLKHSLGEQVAAGWPAWLSDACGEALNGWIPRRADTFEKIDKIGSGTYSNVYKAKDMVTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFQYMEHDLAGLAASPIVKFTEPQVKCYMHQLLSGLEHCQNRGVLHRDIKGSNLLIDDGGVLKIADFGLATFFDPNRKHPMTSRVVTLWYRAPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRRIKETFKDFPPSSLPLIDTLLAIDPAERLTATAALRSEFFMTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLKAASKAPGDGARKTRTRDRARAVPAPEANAELQSNLDRRRLITHANAKSKSEKFPPPHQDGALGFPLGASQHIDPSFVPPDVPFSTTLTYSKEPIQTWSGPLVESASNGALRRKKHVAVDSHEPSKPPKGSQK >KJB82573 pep chromosome:Graimondii2_0_v6:13:51351983:51356325:1 gene:B456_013G202600 transcript:KJB82573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLGREVSSGIVSESRESKNASFESVKKVENVTVPKVDASVVEVENEGTQKEEKANGERKQRGERRRAKPNPRSSNLLKHSLGEQVAAGWPAWLSDACGEALNGWIPRRADTFEKIDKIGSGTYSNVYKAKDMVTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFQYMEHDLAGLAASPIVKFTEPQVKCYMHQLLSGLEHCQNRGVLHRDIKGSNLLIDDGGVLKIADFGLATFFDPNRKHPMTSRVVTLWYRAPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRRIKETFKDFPPSSLPLIDTLLAIDPAERLTATAALRSEFFMTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLKAASKAPGDGARKTRTRDRARAVPAPEANAELQSNLDRRRLITHANAKSKSEKFPPPHQDGALGFPLGASQHIDPSFVPPDVPFSTTLTYSKEPIQTWSGPLVESASNGALRRKKHVAVDSHEPSKPPKGSQK >KJB82198 pep chromosome:Graimondii2_0_v6:13:47527869:47529489:-1 gene:B456_013G180800 transcript:KJB82198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPFPSLLVVLSSFLLLSVVVKIVKKMKTIDSTKKLPPGPWKLPFIGNLHQLVGSLPHRILRDLANQHGPLMHLQLGEISTIVVSSAEIAKEVMITHGIIFADRPYVAALDILTYGSRDIAMAHYGNYWRQIRKICTVELLTAKRVQSFESFRQEEVSALVKSISSNQGSPINLSKKIFSLTYRITSRAAFGNVCNDHELYSSVVDEIVKLGSGFRVADMYPSFRVLERISGLRQKAEALLQKSDKILQDIINEHRAGLESEIIGEEAKEDLVTVLLKIQQLGGLEFPLTDKEIKAIIWDIFGGGGETSSTSVDWAMSEMMRNPRVLKKAQNEVRQVCHGKGDVDEASIKELKYLALVIKETLRLHPPFPLLLPRESRENCEINGYQIPSKTKVIINAWAIGRDPKHWSEAETFYPERFLNSSSDFKGTDLEYIPFGAGRRICPGILFALSNIELPLAKLLYHFDWELPNGTRHEDLDMTEDFGVTMSRKDDLILIPTNRINESTE >KJB78377 pep chromosome:Graimondii2_0_v6:13:37482593:37485905:-1 gene:B456_013G1390001 transcript:KJB78377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSEQEQDIVIVGGGICGLATALALRRKGIKSMVLERSETLRAAGVGIIMQPNGWRALDQLGVAAKLRQTCTEIPSGVFISVAEGKYRESELPLGKGELRCLKRMELMKALTEELPVESVYLGCRAVSIVLDPLTSNPLLQLHDGTLIKAKIVIGCDGVNSIISKFVGVNSPKLFSRCATRGFTYYEGAHSFGDKFRFYSSNDVTLGQLPVTDKLVYWFLTRVLTSQDLSDAKKDPTYITKASLEAIKGFPEEIVELVKNTEPKALYLTELRYRAPWDLVHAKFRKGTVVVAGDAMHAMCPFISQGGGASLEDAVVLARCLSEKLKQAKEGGGNRLVEEALDEYVRERRMRVFWLSLQTYFMGLAQDNTSKVKKALGIAGLILVFGDQRSHTDYDCGRL >KJB78378 pep chromosome:Graimondii2_0_v6:13:37482607:37485852:-1 gene:B456_013G1390001 transcript:KJB78378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSEQEQDIVIVGGGICGLATALALRRKGIKSMVLERSETLRAAGVGIIMQPNGWRALDQLGVAAKLRQTCTEIPSGVFISVAEGKYRESELPLGKGELRCLKRMELMKALTEELPVESVYLGCRAVSIVLDPLTSNPLLQLHDGTLIKAKIVIGCDGVNSIISKFVGVNSPKLFSRCATRGFTYYEGAHSFGDKFRFYSSNDVTLGQLPVTDKLVYWFLTRVLTSQDLSDAKKDPTYITKASLEAIKGFPEEIVELVKNTEPKALYLTELRYRAPWDLVHAKFRKGTVVVAGDAMHAMCPFISQGGGASLEDAVVLARCLSEKLKQAKEGGGNRLVEEALDEYVRERRMRVFWLSLQTYFMGLAQDNTSKVKKALGIAGLILVFGDQRSHTDYDCGRL >KJB78379 pep chromosome:Graimondii2_0_v6:13:37482594:37485921:-1 gene:B456_013G1390001 transcript:KJB78379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSEQEQDIVIVGGGICGLATALALRRKGIKSMVLERSETLRAAGVGIIMQPNGWRALDQLGVAAKLRQTCTEIPSGVFISVAEGKYRESELPLGKGELRCLKRMELMKALTEELPVESVYLGCRAVSIVLDPLTSNPLLQLHDGTLIKAKIVIGCDGVNSIISKFVGVNSPKLFSRCATRGFTYYEDLSDAKKDPTYITKASLEAIKGFPEEIVELVKNTEPKALYLTELRYRAPWDLVHAKFRKGTVVVAGDAMHAMCPFISQGGGASLEDAVVLARCLSEKLKQAKEGGGNRLVEEALDEYVRERRMRVFWLSLQTYFMGLAQDNTSKVKKALGIAGLILVFGDQRSHTDYDCGRL >KJB78376 pep chromosome:Graimondii2_0_v6:13:37481803:37485941:-1 gene:B456_013G1390001 transcript:KJB78376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSEQEQDIVIVGGGICGLATALALRRKGIKSMVLERSETLRAAGVGIIMQPNGWRALDQLGVAAKLRQTCTEIPSGVFISVAEGKYRESELPLGKGELRCLKRMELMKALTEELPVESVYLGCRAVSIVLDPLTSNPLLQLHDGTLIKAKIVIGCDGVNSIISKFVGVNSPKLFSRCATRGFTYYEGAHSFGDKFRFYSSNDVTLGQLPVTDKLVYWFLTRVLTSQDLSDAKKDPTYITKASLEAIKGFPEEIVELVKNTEPKALYLTELRYRAPWDLVHAKFRKGTVVVAGDAMHAMCPFISQGGGASLEDAVVLARCLSEKLKQAKEGGGNRLVEEALDEYVRERRMRVFWLSLQTYFMGLAQDNTSKIWEQNSRNLPSFLLVSPLFTGEVYIWTVG >KJB82245 pep chromosome:Graimondii2_0_v6:13:48359631:48360785:-1 gene:B456_013G184700 transcript:KJB82245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSQVSALLFLLVLLFSTGKEVVATENGRSCSEGIKMAGPCKNPECSNLCKERHGDEAIGVCLGGCFCRYPCLSS >KJB81779 pep chromosome:Graimondii2_0_v6:13:43762136:43764628:-1 gene:B456_013G160500 transcript:KJB81779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLCISFVTGLLLAQLNVSHKDKTHPMESKNNNLVLGFVVLAVFLISGVKSWTGEIHGRVVCDVCADSSIGPEDHVLEGAEVAVLCITKSGEVVNYQAFTNSKGIYTVAETMPESERWDACLARPISSFHDHCNHLGDGSTGIKFTYNHPSGHFHVIRPFVYRPSTAPTYCI >KJB82109 pep chromosome:Graimondii2_0_v6:13:46658322:46662169:1 gene:B456_013G176800 transcript:KJB82109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 8, vacuolar [Source:Projected from Arabidopsis thaliana (AT1G17120) UniProtKB/Swiss-Prot;Acc:Q9SHH0] MAEEKPISSTTKRSYWRWSKTDFFPETSFQTLSSYKTALSQTCPRLSDRLLARSSSTDELVTLQKVSENPMQKCLTWWDLIWLSFGSVVGSGIFVITGQEAHNNAGPAIVLSYAISGLSALLSVFCYTEFAAEIPVAGGSFSYLRVELGDFVAFIAAGNILLEALVGAAGLGRSWSSYFASMIKNDSDFLRIKVDSLPVGFNLLDPLAVVVLLVANGIAMSGTKRTSWLNWIASLVSGAVIVFVIVFGFIHAKTSNLEPFFPYGVEGVFRAAAVVYWSYTGFDMVANMAEETKKPSKDIPIGLVGSMSGITVVYCLMALALTMMVKYTEIDVNAAYSVVFEQIGMNWAKYLVSICALKGMTTSLLVGSLGQARYTTQIARAHMIPPFFALIHPKTGTPVYATLLVTLISAIVALFSSLDVLSSVLSFSTLFIFMLIAVALLVRRYYVKDVTLKNDLVKFLMCLFITIGSSIGVSALWNSNERGWLGYTAAGLLWFFGTLGMAFLSKQRVPKVWGVPLVPWLPSLSIVMNLFLIGSLGLTAILRFIICSAVMIVYYLLVGLHATYDVAHQNELSA >KJB82108 pep chromosome:Graimondii2_0_v6:13:46658305:46662431:1 gene:B456_013G176800 transcript:KJB82108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 8, vacuolar [Source:Projected from Arabidopsis thaliana (AT1G17120) UniProtKB/Swiss-Prot;Acc:Q9SHH0] MAEEKPISSTTKRSYWRWSKTDFFPETSFQTLSSYKTALSQTCPRLSDRLLARSSSTDELVTLQKVSENPMQKCLTWWDLIWLSFGSVVGSGIFVITGQEAHNNAGPAIVLSYAISGLSALLSVFCYTEFAAEIPVAGGSFSYLRVELGDFVAFIAAGNILLEALVGAAGLGRSWSSYFASMIKNDSDFLRIKVDSLPVGFNLLDPLAVVVLLVANGIAMSGTKRTSWLNWIASLVSGAVIVFVIVFGFIHAKTSNLEPFFPYGVEGVFRAAAVVYWSYTGFDMVANMAEETKKPSKDIPIGLVGSMSGITVVYCLMALALTMMVKYTEIDVNAAYSVVFEQIGMNWAKYLVSICALKGMTTSLLVGSLGQARYTTQIARAHMIPPFFALIHPKTGTPVYATLLVTLISAIVALFSSLDVLSSVLSFSTLFIFMLIAVALLVRRYYVKDVTLKNDLVKFLMCLFITIGSSIGVSALWNSNERGWLGYTAAGLLWFFGTLGMAFLSKQRVPKVWGVPLVPWLPSLSIVMNLFLIGSLGLTAILRFIICSAVMIVYYLLVGLHATYDVAHQNELSA >KJB78421 pep chromosome:Graimondii2_0_v6:13:1218945:1219902:-1 gene:B456_013G0177002 transcript:KJB78421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRLFSMINDLPTVFEVVTGRKPIKDKPTVESGSKSRNSTKRSIDGQPRSNPKLVDENYEEDEEEQGDTFCGICGGGYNSDEFWIGCDNCERWYHGKCVKITPAKAELIKFYKCPLCTKKARQ >KJB78422 pep chromosome:Graimondii2_0_v6:13:1219008:1219902:-1 gene:B456_013G0177002 transcript:KJB78422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRLFSMINDLPTVFEVVTGRKPIKDKPTVESGSKSRNSTKRSIDGQPRSNPKLVDENYEEDEEEQGDTFCGICGGGYNSDEFWIGCDNCERWYHGKCVKITPAKAELIKFYKCPLCTKKARQ >KJB79987 pep chromosome:Graimondii2_0_v6:13:45823816:45824403:1 gene:B456_013G171800 transcript:KJB79987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FERWRPPEEPYIKINVDTAFYVHISKSCSGIIVRYGRSRTIAEKVTVNESILSAFTVEAIACLQALRLGVDLNFKRVVVEGDYLSVINKANLSCVDRLAIEAYIQDIRTEQRRLRECEFVYVSQEANKSTYLLVQEGWSRGDGLNLEHSYLEEMVALNCRGVSEDDEASVAMASKGILVKGDFSEGEKDDWIFLE >KJB81210 pep chromosome:Graimondii2_0_v6:13:35035921:35039609:-1 gene:B456_013G133100 transcript:KJB81210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLLQPHNPISFSTSKMLNPSLPVASRTRSRMEAYWSEVCPPSKRRNTRKEVVVQNPSPIPTKKHSGETQFLYEAPNIDIHRCKQDSRHPANISNLDYNDDEEEEYDDDDDDDEDEDNDDSMEGSHEALPSPSEEDNDESEDLDYCGEEEEKDVFYINDSTSSGTESKPKSKGKKVGAASASSRKLHLLTVEADAILENVDSDSNKVLEVESENNSLPIKFGSWVENTVTPNNADIIDDEMESLWTEMQFCLASDGIASKPSLVEIEDAANVSEVKQDRAALCRQGDHYLVLDEEIGIKCKFCSFLQLEIKYVASPFMKHPYGKFRSQYSGIVDSSMFDGLQDVDPNIDMPGCDSSANVEGTVWEIIPNIKEKLYPHQREGFEFIWNNIAGGIYRDKSKNSSSEGGGCIISHAPGTGKSLLTIVFLQTYLKENPSCRPVIVAPCSMLLTWEAELSKWKVDIPFHNMNSPDFCGMGKANGVALYEKLKVGVPDADRLVRPLVKLLSWKYDGGILVISYNLFTQLAGKETKRKQKCKNLHKQVSKILLDLPGLLILDEGHIPRNAATLLWKALSGIKTNRRIILSGTPFQNNFDELFNTLCLVRPTFAEGIRYSNRYKHNSRRGCKGNEAKRNFTSWIGSIRNEIGGLREVRAVIKPFVHVYKGTILQTALPGLRHTLVVLRPTELQKKILERVEEILKHVQEILERVKEAKNLFKRKREKEKKNALDFDRYVSMISIHPSLLKQLLKQLPDQKDINEVVSSIVSNEEIERIRLKPEEGVKTRFLMNLLKLSEALEERVIVFSQYLGPLRLIMEQLEYHFKWKEGEEILFMHGKCDIKQRQCSINVFNDPESKARVLLASIKACSEGINLIGGSRVVLLDMTWNPSVERQAICRAYRLGQKKVVYVYRLISSVIEGHKFNRQAGKDRVSELLFSSDEHQEQVCDIVEDKVLEEMLQHGTIKSMFEKIINEPKDSEFIEAFEDQEKVSVPS >KJB81209 pep chromosome:Graimondii2_0_v6:13:35035921:35039609:-1 gene:B456_013G133100 transcript:KJB81209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLLQPHNPISFSTSKMLNPSLPVASRTRSRMEAYWSEVCPPSKRRNTRKEVVVQNPSPIPTKKHSGETQFLYEAPNIDIHRCKQDSRHPANISNLDYNDDEEEEYDDDDDDDEDEDNDDSMEGSHEALPSPSEEDNDESEDLDYCGEEEEKDVFYINDSTSSGTESKPKSKGKKVGAASASSRKLHLLTVEADAILENVDSDSNKVLEVESENNSLPIKFGSWVENTVTPNNADIIDDEMESLWTEMQFCLASDGIASKPSLDRAALCRQGDHYLVLDEEIGIKCKFCSFLQLEIKYVASPFMKHPYGKFRSQYSGIVDSSMFDGLQDVDPNIDMPGCDSSANVEGTVWEIIPNIKEKLYPHQREGFEFIWNNIAGGIYRDKSKNSSSEGGGCIISHAPGTGKSLLTIVFLQTYLKENPSCRPVIVAPCSMLLTWEAELSKWKVDIPFHNMNSPDFCGMGKANGVALYEKLKVGVPDADRLVRPLVKLLSWKYDGGILVISYNLFTQLAGKETKRKQKCKNLHKQVSKILLDLPGLLILDEGHIPRNAATLLWKALSGIKTNRRIILSGTPFQNNFDELFNTLCLVRPTFAEGIRYSNRYKHNSRRGCKGNEAKRNFTSWIGSIRNEIGGLREVRAVIKPFVHVYKGTILQTALPGLRHTLVVLRPTELQKKILERVEEILKHVQEILERVKEAKNLFKRKREKEKKNALDFDRYVSMISIHPSLLKQLLKQLPDQKDINEVVSSIVSNEEIERIRLKPEEGVKTRFLMNLLKLSEALEERVIVFSQYLGPLRLIMEQLEYHFKWKEGEEILFMHGKCDIKQRQCSINVFNDPESKARVLLASIKACSEGINLIGGSRVVLLDMTWNPSVERQAICRAYRLGQKKVVYVYRLISSVIEGHKFNRQAGKDRVSELLFSSDEHQEQVCDIVEDKVLEEMLQHGTIKSMFEKIINEPKDSEFIEAFEDQEKVSVPS >KJB83467 pep chromosome:Graimondii2_0_v6:13:56751401:56754276:1 gene:B456_013G249300 transcript:KJB83467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNYTLESSTVETLDGVNLMTRIFKPKQVKDNMVIVLVHQYSIMGGCQALMKGMASGLAEKGYAAVTFDMRGVGQSTGKPSLTGFAEVADVVAVCNWVSQNLSPNKILLVGSSAGAPIAGSAVDQIEQIIGYVSLGYPFGMMASILFGRHHKAILQSPKPKLFVMGTEDGFTSVKQLDNKLKSAAGHNETRLIEGAGHFEMEGPAFDSEMVKCILEFIASL >KJB80843 pep chromosome:Graimondii2_0_v6:13:29046313:29048304:1 gene:B456_013G117600 transcript:KJB80843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVLTGGKGIVRPKTKIVCTLGPASRSVEMIERLLKAGMNVARFNFSHGSHAYHQQTLDNLRTAMLNTGILCAVMLDTKGPEIRTGFLKHGKPIQLIQGKEITISTDYSIKGDENTICMSYKKLAQDLKPGSVILCSDGTITFTVLDCDKELGLVRCRCDNSAALGERKNVNLPGVVVDLPTLTDKDKEDILQWGVPNKVDMIALSFVRKGSDLVEVRKLLGKHGKNTLLMSKVENQEGVTNFDDILANSDAFMVARGDLGMEIPIEKIFLAQKLMILKANIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPDLAVKTMARICTEAENFINYGDLFKRIMESAPMPMSPLESLASSVVRTAESIKASLILVLTRGGTTAKLVAKYRPSVPILSMIIPEITTDSLEWSCNDEAPSRHCLIFRGLIPVLSSGSAKASYAESTEETTKFALQYGKEKGLIKPGDSVVSLYPSVIKILTVS >KJB82197 pep chromosome:Graimondii2_0_v6:13:47520244:47521332:1 gene:B456_013G180700 transcript:KJB82197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMFRLHTLAGSFSCSLLVQHILFLQKNINKDASMELLDFSQGFSGTHFKGKSSSFQKKLGFLKSGKEGSKNLQNDKKQKGETKEKKRFLEKIKEKIPGYHSKTEDEKEKETTAPH >KJB82196 pep chromosome:Graimondii2_0_v6:13:47520227:47522208:1 gene:B456_013G180700 transcript:KJB82196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMFRLHTLAGSFSCSLLVQHILFLQKNINKDASMELLDFSQGFSGTHFKGKSSSFQKKLGFLKSGKEGSKNLQELQQYIF >KJB79021 pep chromosome:Graimondii2_0_v6:13:2278855:2282354:-1 gene:B456_013G030200 transcript:KJB79021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTLPFGGGCFLFGFLSVLCFFALHVSSQSGPDSSVMGKLKTSLKLPSSLDWSDPDPCQWTNVRCENQRITRIQIPSKNVGGTLPPDLKDLSQLKVFEVMNNQISGPIPSLAGLSLLEEANFHDNNFSSFPSDFFTGLTSLTAIYLDNNPFEPWQVPESIKEATSLKAFSANKANLNGRFPGLFDLATFPGLTDLHVAMNNLEGELPASLAGSMIQSFWANGQRLNGTIEVIQNMSSLREVWLNMNQFTGPLPDFSMLTQLSNLSLRDNQLTGVVPSSLINLKSLYIVNLTNNKLQGPTPKFADGVILDMRAGSNRFCLDDPGVACDERVTILLSIMEAFGYPENFADNWKGNDPCNDWLGISCVQGNIVSILFAKKGLTGTISSNFAKLDSLTTLDLSGNNLTGTIPTELTTLPKLVRLDVSNNRLHGKVPPFRQNVAVITAGNPDIGKEMASPPAGKSPGGSPGGGGGSSSGNGEKKLNTGTVVGSAIGAVGGLSLLVLGICLYARKGKRTSKVRSPATVVIHPHHSGDQDGVKITVAGSSVTGGSETFSHSSSGPTDVHLVEAGNMVISIQVLRDVTNNFSEENVLGRGGFGTVYKGELHDGTKIAVKRMESSVVSEKGLAEFKSEIAVLTKVRHRHLVALLGYCLDGNERLLVYEYMPQGTLSRHLFNWKHEGLKPLEWTRRLTIALDVARGVEYLHGLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPVDGKQSIETRLAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELISGRRALDETQPEESMHLVSWFRRMHMNKDTFRKAIDETIRLDEETLASVSTVTELAGHCCAREPYQRPDMSHAVNVLSSLAELWKPAEPDSDDIYGIDLELTLPQALKKWQAFEGNSSVDDSSSFLGSTDTTQTSIPCRPPGFADSFASADAR >KJB79364 pep chromosome:Graimondii2_0_v6:13:4033729:4037152:-1 gene:B456_013G046000 transcript:KJB79364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQGQNGSVNNGAGGGNNQFVPTSLYVGDLDSSVTEAQLYDYFAHVGPVLTVRVCKDLSTRRSLGYGYVNYGNPQDAARALDLLNFTLLNGKPIRIMYSNRDPSLRKSGAGNIFIKNLDKGIDHKALHDTFSAFGNILSCKVATDSSGQSKGYGFVQFDNEESAQKAIEQLNGMLMNDKQVYVGPFVRKQERDSAISNVKFNNVYVKNLSESTSDDDLKTIFGEFGLITSAVVMREPDGKSKGFGFVNFENTDDAARAVESLNGKKFDDKEWYVGKAQKKSERELDLKLQFEQSMKEAADKFQGANLYVKNLDDSISDEKLKELFSQYGTITSYKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVAPAQRKEDRRARLQVCSIFSNATSYNVTFSRSSYANVPTWWSRSWAANILWSSTSGNVSAAWIWVSTAARPWYEAWWSSHAKFLCTNGSTRPTGSASRWQKGWGWSAKSATSSINAAADATARAGLSLSTRAWST >KJB79365 pep chromosome:Graimondii2_0_v6:13:4032500:4037742:-1 gene:B456_013G046000 transcript:KJB79365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQGQNGSVNNGAGGGNNQFVPTSLYVGDLDSSVTEAQLYDYFAHVGPVLTVRVCKDLSTRRSLGYGYVNYGNPQDAARALDLLNFTLLNGKPIRIMYSNRDPSLRKSGAGNIFIKNLDKGIDHKALHDTFSAFGNILSCKVATDSSGQSKGYGFVQFDNEESAQKAIEQLNGMLMNDKQVYVGPFVRKQERDSAISNVKFNNVYVKNLSESTSDDDLKTIFGEFGLITSAVVMREPDGKSKGFGFVNFENTDDAARAVESLNGKKFDDKEWYVGKAQKKSERELDLKLQFEQSMKEAADKFQGANLYVKNLDDSISDEKLKELFSQYGTITSYKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVAPAQRKEDRRARLQAQFSQMRPVTMSPSVAPRMPMYPPGGPGLGQQIFYGQAPPAMFPQPGFGYQQQLVPGMRPGGAPMPNFFVPMVQQGQQGQRPGGRRAGAGQQSQQPVPLMQQQLKLQGCFWRWIRPRFCICSSHQKL >KJB79366 pep chromosome:Graimondii2_0_v6:13:4032965:4037152:-1 gene:B456_013G046000 transcript:KJB79366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQGQNGSVNNGAGGGNNQFVPTSLYVGDLDSSVTEAQLYDYFAHVGPVLTVRVCKDLSTRRSLGYGYVNYGNPQDAARALDLLNFTLLNGKPIRIMYSNRDPSLRKSGAGNIFIKNLDKGIDHKALHDTFSAFGNILSCKVATDSSGQSKGYGFVQFDNEESAQKAIEQLNGMLMNDKQVYVGPFVRKQERDSAISNVKFNNVYVKNLSESTSDDDLKTIFGEFGLITSAVVMREPDGKSKGFGFVNFENTDDAARAVESLNGKKFDDKEWYVGKAQKKSERELDLKLQFEQSMKEAADKFQGANLYVKNLDDSISDEKLKELFSQYGTITSYKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVAPAQRKEDRRARLQAQFSQMRPVTMSPSVAPRMPMYPPGGPGLGQQIFYGQAPPAMFPQPGFGYQQQLVPGMRPGGAPMPNFFVPMVQQGQQGQRPGGRRAGAGQQSQQPVPLMQQQVMLPRGRVYRYPPGRGLPDVSMPNIAGGMLSVPYDMGGMPMRDASISQPIPIGALASALANATPDQQRTMLGENLYPLVEQLEPDAAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRTVAQQQQTGGAAADQLASLSLSDNLVS >KJB79362 pep chromosome:Graimondii2_0_v6:13:4032500:4037742:-1 gene:B456_013G046000 transcript:KJB79362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQGQNGSVNNGAGGGNNQFVPTSLYVGDLDSSVTEAQLYDYFAHVGPVLTVRVCKDLSTRRSLGYGYVNYGNPQDAARALDLLNFTLLNGKPIRIMYSNRDPSLRKSGAGNIFIKNLDKGIDHKALHDTFSAFGNILSCKVATDSSGQSKGYGFVQFDNEESAQKAIEQLNGMLMNDKQVYVGPFVRKQERDSAISNVKFNNVYVKNLSESTSDDDLKTIFGEFGLITSAVVMREPDGKSKGFGFVNFENTDDAARAVESLNGKKFDDKEWYVGKAQKKSERELDLKLQFEQSMKEAADKFQGANLYVKNLDDSISDEKLKELFSQYGTITSYKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVAPAQRKEDRRARLQAQFSQMRPVTMSPSVAPRIQFR >KJB79363 pep chromosome:Graimondii2_0_v6:13:4032965:4037152:-1 gene:B456_013G046000 transcript:KJB79363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQGQNGSVNNGAGGGNNQFVPTSLYVGDLDSSVTEAQLYDYFAHVGPVLTVRVCKDLSTRRSLGYGYVNYGNPQDAARALDLLNFTLLNGKPIRIMYSNRDPSLRKSGAGNIFIKNLDKGIDHKALHDTFSAFGNILSCKVATDSSGQSKGYGFVQFDNEESAQKAIEQLNGMLMNDKQVYVGPFVRKQERDSAISNVKFNNVYVKNLSESTSDDDLKTIFGEFGLITSAVVMREPDGKSKGFGFVNFENTDDAARAVESLNGKKFDDKEWYVGKAQKKSERELDLKLQFEQSMKEAADKFQGANLYVKNLDDSISDEKLKELFSQYGTITSYKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVAPAQRKEDRRARLQAQFSQMRPVTMSPSVAPRMPMYPPGGPGLGQQIFYGQAPPAMFPQPGFGYQQQLVPGMRPGGAPMPNFFVPMVQQGQQGQRPGGRRAGAGQQSQQPVPLMQQQMLPRGRVYRYPPGRGLPDVSMPNIAGGMLSVPYDMGGMPMRDASISQPIPIGALASALANATPDQQRTMLGENLYPLVEQLEPDAAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRTVAQQQQTGGAAADQLASLSLSDNLVS >KJB82850 pep chromosome:Graimondii2_0_v6:13:53678575:53679432:1 gene:B456_013G216800 transcript:KJB82850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYPCRVYFYLACFSVVMLQHANSGINFAFLQVEDGQLRCFHESFELLKFISEISFSFHDSKEHNIMITSFKTAMQKGKVLIPKSKQKQTYITRILVTLRNKTD >KJB78812 pep chromosome:Graimondii2_0_v6:13:1405657:1408670:-1 gene:B456_013G020400 transcript:KJB78812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTSSKSNNMTSTQNYETNEVRLFRKGRFHYHPYLCMVRNLKNLMEQTLSGGNCEVCLAFSDTSRPLS >KJB80705 pep chromosome:Graimondii2_0_v6:13:25489864:25490601:-1 gene:B456_013G111200 transcript:KJB80705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYDIEPVPGEEDQYICYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRVPTAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMIKRAMCARELGVPIVMHDYLTGGFK >KJB82514 pep chromosome:Graimondii2_0_v6:13:51079152:51082625:-1 gene:B456_013G200000 transcript:KJB82514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSSIPLKFTVRRCEPELVTPAKPTPYEQKLLSDIDDQASLRFQIRVINFYQYEPSMEGKDPVEVIREALAQTLVCYYPFAGRLREGANGKLIVDCTGEGVMFIKADADVTLEQFGEPLRPPFPCSDELLYIVPGSEGMLNCPLLLIQVTRLKCGGFIFALRLNHVMSDAIGLAQFLFALGEMARGVATHSISPVWERHLLDAQHPAGITFTHREYDEVDAPVTTPITILPFDDPVQRSFSFGFAEVSILRSLVPPHLRRCTTFEFITACLWRCRTLAINLDPDEEVRMICAVNARSKFNPSFPSGYYGNVFVIPAAITTVKSLREKPLGYAVELIKQTKASVTEEYVKSVAALMVAQGKRIHFPNVIGTYIISDLTKVGIEDTDFGWGKAVFGGPMIAVGVISFLMPTKNKKGEVGSVASICLPAPAMERFAKELENMLKQQPSEGK >KJB82105 pep chromosome:Graimondii2_0_v6:13:46548298:46551516:1 gene:B456_013G176200 transcript:KJB82105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLGPSMLPTLNITGDVVLVEHLSHRIGKLGSGDLVLVRSPLDPNRTLTKRIVAMEGDRVTLSLDPTRSFSSRSLVVPKGHVWIQGDNLYVSRDSRHFGPLPYGLIEGKVFMRVWPPNSFGLLG >KJB82102 pep chromosome:Graimondii2_0_v6:13:46548087:46550604:1 gene:B456_013G176200 transcript:KJB82102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQWRSIAKEAIDRASIIVKFLCLLHVTDAYVVSSNHVLGPSMLPTLNITGDVVLVEHLSHRIGKLGSGDLVLVRSPLDPNRTLTKRIVAMEGDRVTLSLDPTRSFSSRSLVVPKGHVWIQGDNLYVSRDSRHFGPLPYGLIEGKVFMRVWPPNSFGLLG >KJB82104 pep chromosome:Graimondii2_0_v6:13:46548087:46550517:1 gene:B456_013G176200 transcript:KJB82104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQWRSIAKEAIDRASIIVKFLCLLHVTDAYVVSSNHVLGPSMLPTLNITGDVVLVEHLSHRIGKLGSGDLVLVRSPLDPNRTLTKRIVAMEGDRVTLSLDPTRSFSSRSLVVPKGHVWIQGDNLYVSRDSRHFGPLPYGLIEGKVFMRVWPPNSFGLLG >KJB82101 pep chromosome:Graimondii2_0_v6:13:46548087:46551516:1 gene:B456_013G176200 transcript:KJB82101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQWRSIAKEAIDRASIIVKFLCLLHVTDAYVVSSNHVLGPSMLPTLNITGDVVLVEHLSHRIGKLGSGDLVLVRSPLDPNRTLTKRIVAMEGDRVTLSLDPTRSFSSRSLVVPKGHVWIQGDNLYVSRDSRHFGPLPYGLIEGKVFMRVWPPNSFGLLG >KJB82103 pep chromosome:Graimondii2_0_v6:13:46548086:46551528:1 gene:B456_013G176200 transcript:KJB82103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQWRSIAKEAIDRASIIVKFLCLLHVTDAYVVSSNHVLGPSMLPTLNITGDVVLVEHLSHRIGKLGSGDLVLVRSPLDPNRTLTKRIVAMEGDRVTLSLDPTRSFSSRSLVVPKGHVWIQGDNLYVSRDSRHFGPLPYGLIEGKVFMRVWPPNSFGLLG >KJB83121 pep chromosome:Graimondii2_0_v6:13:54902111:54906482:-1 gene:B456_013G230500 transcript:KJB83121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MTPVIPCSTSNTTLILGAACSVRKNTSLTRCSSSRRHTRYALPSHRFILPLSTRVTSFPQYRTGYALNGKPGTCISATGTDVAVEQSDSPVDAVETSEKSDSNDAPTQSKRTRSVRKSEMPPVKDEELIPGAMFTGKVRSIQPFGAFVDFGAFTDGLVHVSRLSDSFVKDVASVVSVGQEVKVRLVEVNTESGRISLSMRENDDASKRQPQKDSPSGTDRARPARKNASRPGQKKEPMKSSKFVKGQDLDGTVKNLTRSGAFISLPEGEEGFLPTSEESDDGLMSMMGGPSLQVGQEVKVRVLRITRGQVTLTMKKEEDNDNLDSQLSQGVVYTATNPFMLAFHNNKEIAAFLDQREKPEKIEVQTVSDATAAGELVEKETDTVANIANKEETTDKETEESFEVSSPESSAEVPLVDVVESDETLGSSGEIVDQVTTSESSVVGEDSDAKDEVKVETPMTEDKIQSATSVQDDEVGAIPKENGSVGSTYVQPDVPDLKDVEDTAEDNVSSDPSQELADDQIKSSVSEAIEEAENKVENTEDEVPMESPETLSALEVEEVEPPPQKNDEVTNSNGSTPKENVITATVSPALVKHLREETGAGMMDCKKALLETGGDIVKAQEFLRKKGLAGADKKASRVTAEGRIGSYIHDSRIGVLVEVNCETDFVSRGDIFKELVDDLAMQVAASSQVQYLVPEDVPEEIVNKEREIEMQKEDLLSKPEQIRSKIVKGRIRKRLEELALLEQPYIKNDKLVVKDWVKQTIATIGENIKVKRFVRFNLGEGLEKKSQDFAAEVAAQTAAKPVSTVRKEQPASLEAKETDQKPAVAVSAALVKQLREETGAGMMDCKKALSETRGDLEKAQEYLRMKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQFVSIEDIPVSTVSKEKELEMQREDLASKPENIREKIVEGRVSKRLGELALLEQPYIKDDSVLIKDLVKQTVAAIGENIKVRRFVRFTLGETVEDTKTGTEA >KJB83119 pep chromosome:Graimondii2_0_v6:13:54901933:54906610:-1 gene:B456_013G230500 transcript:KJB83119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MTPVIPCSTSNTTLILGAACSVRKNTSLTRCSSSRRHTRYALPSHRFILPLSTRVTSFPQYRTGYALNGKPGTCISATGTDVAVEQSDSPVDAVETSEKSDSNDAPTQSKRTRSVRKSEMPPVKDEELIPGAMFTGKVRSIQPFGAFVDFGAFTDGLVHVSRLSDSFVKDVASVVSVGQEVKVRLVEVNTESGRISLSMRENDDASKRQPQKDSPSGTDRARPARKNASRPGQKKEPMKSSKFVKGQDLDGTVKNLTRSGAFISLPEGEEGFLPTSEESDDGLMSMMGGPSLQVGQEVKVRVLRITRGQVTLTMKKEEDNDNLDSQLSQGVVYTATNPFMLAFHNNKEIAAFLDQREKPEKIEVQTVSDATAAGELVEKETDTVANIANKEETTDKETEESFEVSSPESSAEVPLVDVVESDETLGSSGEIVDQVTTSESSVVGEDSDAKDEVKVETPMTEDKIQSATSVQDDEVGAIPKENGSVGSTYVQPDVPDLKDVEDTAEDNVSSDPSQELADDQIKSSVSEAIEEAENKVENTEDEVPMESPETLSALEVEEVEPPPQKNDEVTNSNGSTPKENVITATVSPALVKHLREETGAGMMDCKKALLETGGDIVKAQEFLRKKGLAGADKKASRVTAEGRIGSYIHDSRIGVLVEVNCETDFVSRGDIFKELVDDLAMQVAASSQVQYLVPEDVPEEIVNKEREIEMQKEDLLSKPEQIRSKIVKGRIRKRLEELALLEQPYIKNDKLVVKDWVKQTIATIGENIKVKRFVRFNLGEGLEKKSQDFAAEVAAQTAAKPVSTVRKEQPASLEAKETDQKPAVAVSAALVKQLREETGAGMMDCKKALSETRGDLEKAQEYLRMKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQFVSIEDIPVSTVSKEKELEMQREDLASKPENIREKIVEGRVSKRLGELALLEQPYIKDDSVLIKDLVKQTVAAIGENIKVRRFVRFTLGETVEDTKTGTEA >KJB83120 pep chromosome:Graimondii2_0_v6:13:54901933:54907494:-1 gene:B456_013G230500 transcript:KJB83120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MTPVIPCSTSNTTLILGAACSVRKNTSLTRCSSSRRHTRYALPSHRFILPLSTRVTSFPQYRTGYALNGKPGTCISATGTDVAVEQSDSPVDAVETSEKSDSNDAPTQSKRTRSVRKSEMPPVKDEELIPGAMFTGKVRSIQPFGAFVDFGAFTDGLVHVSRLSDSFVKDVASVVSVGQEVKVRLVEVNTESGRISLSMRENDDASKRQPQKDSPSGTDRARPARKNASRPGQKKEPMKSSKFVKGQDLDGTVKNLTRSGAFISLPEGEEGFLPTSEESDDGLMSMMGGPSLQVGQEVKVRVLRITRGQVTLTMKKEEDNDNLDSQLSQGVVYTATNPFMLAFHNNKEIAAFLDQREKPEKIEVQTVSDATAAGELVEKETDTVANIANKEETTDKETEESFEVSSPESSAEVPLVDVVESDETLGSSGEIVDQVTTSESSVVGEDSDAKDEVKVETPMTEDKIQSATSVQDDEVGAIPKENGSVGSTYVQPDVPDLKDVEDTAEDNVSSDPSQELADDQIKSSVSEAIEEAENKVENTEDEVPMESPETLSALEVEEVEPPPQKNDEVTNSNGSTPKENVITATVSPALVKHLREETGAGMMDCKKALLETGGDIVKAQEFLRKKGLAGADKKASRVTAEGRIGSYIHDSRIGVLVEVNCETDFVSRGDIFKELVDDLAMQVAASSQVQYLVPEDVPEEIVNKEREIEMQKEDLLSKPEQIRSKIVKGRIRKRLEELALLEQPYIKNDKLVVKDWVKQTIATIGENIKVKRFVRFNLGEGLEKKSQDFAAEVAAQTAAKPVSTVRKEQPASLEAKETDQKPAVAVSAALVKQLREETGAGMMDCKKALSETRGDLEKAQEYLRMKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQFVSIEDIPVSTVSKEKELEMQREDLASKPENIREKIVEGRVSKRLGELALLEQPYIKDDSVLIKDLVKQTVAAIGENIKVRRFVRFTLGETVEDTKTGTEA >KJB83640 pep chromosome:Graimondii2_0_v6:13:57248206:57251858:-1 gene:B456_013G256300 transcript:KJB83640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGATSPVTAATLKVEHSPLLSPTSSQSVTETLNGSHRFVIQGYSLAKGMGIGKHIVSDNFTVGGYRWAIYFYPDGKNPEDSSTYVSVFIALASEGTDVRALFELTLMDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRALLETSDYLKDDCLIINCTVGVVVSVIDCSRLHSIQVPESDIGAHFGMLLENMEGSDITFDVAGEKFHSHKLVLAARSPVFRSEIFDGVDEQKKEMVITDLEPRVFKALLHFIYRDTLSEDVESVESSSASLSSVSETLIAKLLAAADRYCLERLKLMCESRLCKNISVNSVAKILALADEYHATELKAVCLRFAAENLPAVMRSDGFEYLKDNCPSLQSELLKTIAGCEEDYGSGGKSRSVWAQLSDGTDTNGRRVRQRT >KJB82122 pep chromosome:Graimondii2_0_v6:13:47034252:47035626:1 gene:B456_013G177500 transcript:KJB82122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCTKQVLYVDIISAIEFNRNGDHLATGDRGGRVVLFERTDTQDHVGHRRDLEKMDYPINRHPEFCYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSSNGALFLLSTNDRTIKLWKVQEKKVKKVCNMNVDSTKAMGNGPIVGSSISTSSKQYIANGGCTSNDFSFPTGGFPSLHLPVVVV >KJB80168 pep chromosome:Graimondii2_0_v6:13:12066973:12069931:1 gene:B456_013G084100 transcript:KJB80168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKPSNFGPNPSTIVSILKSCKQITEISQIHGCIIKTGLDNDPFIISKLLLSSSLQDLKYAASVFKQIDNPNLFMYNCILRGFSISNNPKQAFSVFNNLRAKDGIFLDQFSFITTLKACGRELAIFNGQMIHGLALRSGHLFFINVKNTLLHVYSVCGRMFDAHNLFDESPERNDVVSWNTLMGGYLDVSKPDTVIGLFRQMRWNCLTMSVTTFLTVLLTTGEVRDSLGGESIHGHCLKLGFCFDSNLVSALIDMYAKTGNVYLGRRAFDDVVAKDVVLWNCMISKYAENGLLEESLALLRLMKVMQVKPNSTTLVSLLSACAASGAINIGLCIGNYVEEEGMPLDAVIGTALIDMYAKCGVLNKAVDVFHRMESKDVKSWTAMISGYGVHGFAQDAIRLFYQMEEEGFRPNEVTFLTVLSACSHGGLITEGTSCFEKMIQYGILPKVEHYGCIIDLFGRAGLLEEAHDLIKSLPIKGDATAWRALLSACRVYGNVELGECVKGVLVGSYNQHPTDSILLSSTYAIAGRLQDQTRMQAMEKEMLKRAGIRSVGKEDKMLKEAGYSIIEMDNEGFDLNS >KJB80167 pep chromosome:Graimondii2_0_v6:13:12066947:12068966:1 gene:B456_013G084100 transcript:KJB80167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKPSNFGPNPSTIVSILKSCKQITEISQIHGCIIKTGLDNDPFIISKLLLSSSLQDLKYAASVFKQIDNPNLFMYNCILRGFSISNNPKQAFSVFNNLRAKDGIFLDQFSFITTLKACGRELAIFNGQMIHGLALRSGHLFFINVKNTLLHVYSVCGRMFDAHNLFDESPERNDVVSWNTLMACAASGAINIGLCIGNYVEEEGMPLDAVIGTALIDMYAKCGVLNKAVDVFHRMESKDVKSWTAMISGYGVHGFAQDAIRLFYQMEEEGFRPNEVTFLTVLSACSHGGLITEGTSCFEKMIQYGILPKVEHYGCIIDLFGRAGLLEEAHDLIKSLPIKGDATAWRALLSACRVYGNVELGECVKGVLVGSYNQHPTDSILLSSTYAIAGRLQDQTRMQAMEKEMLKRAGIRSVGKEDKMLKEAGYSIIEMDNEGFDLNS >KJB81334 pep chromosome:Graimondii2_0_v6:13:37575952:37576812:-1 gene:B456_013G139500 transcript:KJB81334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSKVLTLVYRLYFKAMYSVVNTKTLLQSPKGETLLIEIDTLKSHTTIPRTIQWHEINLPNKWKFEGAAEPVTSTPIRNTSLSEISQYQDGTVELIFNRPQRMPPRHSFEIGSTSTTFRRLNLEKELNPETQTTYFRTARASVSSIPTTSRTNLQGIDNSSNIAQPIYARQEESSQNSPNMSPTYSSMTNSARQGENLEIFVLEKSFEINKEWCRKHFYSNKNKQKREDFFKIYNNKKESILQEYYEFMKTHKIHIKFFEWFEEYYSESINTIKHNTRWQINKG >KJB81729 pep chromosome:Graimondii2_0_v6:13:43589438:43592420:1 gene:B456_013G159300 transcript:KJB81729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCLTKNKDPMPQHNGYRSGATTTAAVHQQRYQDPVRPAPIQPQVYHIPQKPGTQTPWKPAVPAPSPKPTPNIDTILGKPFEDIRIHYTIGKELGKGQFGVTYHCTENSTGKQYACKTISKRKLVRKNDKEDMKREIQIMQHLSGQPNIVEFKGAYEDKQSVHVVMELCAGGELFDRIIAKGHYSERAAASICRAIVNVVHACHFMGVMHRDLKPENFLLSSKGENALLKATDFGLSVFIEEGKVYQDIVGSAYYVAPEVLLRKYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILEGEIDFESQPWPSLSDSAKDLVCRMLTQDPKKRITSTQVLEHPWIREGGNASDKPLDSAVLSRMKQFRRMNKLKQLALKVIAENLSREEIQGLKQMFANIDTDNSGTITYDELKTGLARLGSKLTETEVQQLMEAVRYHLNL >KJB81727 pep chromosome:Graimondii2_0_v6:13:43588966:43593714:1 gene:B456_013G159300 transcript:KJB81727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCLTKNKDPMPQHNGYRSGATTTAAVHQQRYQDPVRPAPIQPQVYHIPQKPGTQTPWKPAVPAPSPKPTPNIDTILGKPFEDIRIHYTIGKELGKGQFGVTYHCTENSTGKQYACKTISKRKLVRKNDKEDMKREIQIMQHLSGQPNIVEFKGAYEDKQSVHVVMELCAGGELFDRIIAKGHYSERAAASICRAIVNVVHACHFMGVMHRDLKPENFLLSSKGENALLKATDFGLSVFIEEGKVYQDIVGSAYYVAPEVLLRKYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILEGEIDFESQPWPSLSDSAKDLVCRMLTQDPKKRITSTQVLEHPWIREGGNASDKPLDSAVLSRMKQFRRMNKLKQLALKVIAENLSREEIQGLKQMFANIDTDNSGTITYDELKTGLARLGSKLTETEVQQLMEAADVDGNGTIDYIEFITATMHRHRLERDEDLYKAFQHFDKDNSGHITRDELEAAMKEYGMGDDDTIKEIISEVDTDNDGKINYEEFRDMMRSGTQHGQLF >KJB81728 pep chromosome:Graimondii2_0_v6:13:43589294:43593381:1 gene:B456_013G159300 transcript:KJB81728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCLTKNKDPMPQHNGYRSGATTTAAVHQQRYQDPVRPAPIQPQVYHIPQKPGTQTPWKPAVPAPSPKPTPNIDTILGKPFEDIRIHYTIGKELGKGQFGVTYHCTENSTGKQYACKTISKRKLVRKNDKEDMKREIQIMQHLSGQPNIVEFKGAYEDKQSVHVVMELCAGGELFDRIIAKGHYSERAAASICRAIVNVVHACHFMGVMHRDLKPENFLLSSKGENALLKATDFGLSVFIEEGKVYQDIVGSAYYVAPEVLLRKYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILEGEIDFESQPWPSLSDSAKDLVCRMLTQDPKKRITSTQVLEHPWIREGGNASDKPLDSAVLSRMKQFRRMNKLKQLALKVIAENLSREEIQGLKQMFANIDTDNSGTITYDELKTGLARLGSKLTETEVQQLMEAADVDGNGTIDYIEFITATMHRHRLERDEDLYKAFQHFDKDNSG >KJB79412 pep chromosome:Graimondii2_0_v6:13:4253249:4254084:-1 gene:B456_013G048000 transcript:KJB79412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAETEADHGFEGDQETATTTTTSSSFSQLLFGGGHDDDGDTLGPAQSFNYTCSSFAVKSTPKMLCFGGCHPNDADIVLGKPAINAAPKAGLTCSDSSSTSSGNNTKSMQCRGAIVEAQPTRRRTNKRSKVENPTTGGHAKVRKEKIGDRINALQQLVSPFGKTDTASVLHEAMGYIRFLHDQVQVLCSPYLQHPHVSNQTNINNNPVFSFCLF >KJB79413 pep chromosome:Graimondii2_0_v6:13:4253457:4254084:-1 gene:B456_013G048000 transcript:KJB79413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAETEADHGFEGDQETATTTTTSSSFSQLLFGGGHDDDGDTLGPAQSFNYTCSSFAVKSTPKMLCFGGCHPNDADIVLGKPAINAAPKAGLTCSDSSSTSSGNNTKSMQCRGAIVEAQPTRRRTNKRSKVENPTTGGHAKVRKEKIGDRINALQQLVSPFGKVFFFNSFLIINFGLNDFD >KJB79410 pep chromosome:Graimondii2_0_v6:13:4252782:4254084:-1 gene:B456_013G048000 transcript:KJB79410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAETEADHGFEGDQETATTTTTSSSFSQLLFGGGHDDDGDTLGPAQSFNYTCSSFAVKSTPKMLCFGGCHPNDADIVLGKPAINAAPKAGLTCSDSSSTSSGNNTKSMQCRGAIVEAQPTRRRTNKRSKVENPTTGGHAKVVLLVHIISFFDLFGSVLKSKESIVQTGEEREDRR >KJB79409 pep chromosome:Graimondii2_0_v6:13:4252722:4254183:-1 gene:B456_013G048000 transcript:KJB79409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAETEADHGFEGDQETATTTTTSSSFSQLLFGGGHDDDGDTLGPAQSFNYTCSSFAVKSTPKMLCFGGCHPNDADIVLGKPAINAAPKAGLTCSDSSSTSSGNNTKSMQCRGAIVEAQPTRRRTNKRSKVENPTTGGHAKVRKEKIGDRINALQQLVSPFGKTDTASVLHEAMGYIRFLHDQVQVLCSPYLQHPHDGENNGGEESRKELKSRGLCLVPVACTAHVENSNGADFWSPATMGNNVIIRQ >KJB79414 pep chromosome:Graimondii2_0_v6:13:4253554:4254084:-1 gene:B456_013G048000 transcript:KJB79414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAETEADHGFEGDQETATTTTTSSSFSQLLFGGGHDDDGDTLGPAQSFNYTCSSFAVKSTPKMLCFGGCHPNDADIVLGKPAINAAPKAGLTCSDSSSTSSGNNTKSMQCRGAIVEAQPTRRRTNKRSKVENPTTGGHAKVVLLVHIISFFDLFGSVLKSKESIVQTGEEREDRR >KJB79411 pep chromosome:Graimondii2_0_v6:13:4252782:4254084:-1 gene:B456_013G048000 transcript:KJB79411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAETEADHGFEGDQETATTTTTSSSFSQLLFGGGHDDDGDTLGPAQSFNYTCSSFAVKSTPKMLCFGGCHPNDADIVLGKPAINAAPKAGLTCSDSSSTSSGNNTKSMQCRGAIVEAQPTRRRTNKRSKVENPTTGGHAKVRKEKIGDRINALQQLVSPFGKIRHQYSMKQWVISGSCMTRFKSFALLTCNTRMMVKTTEVKNQERN >KJB80982 pep chromosome:Graimondii2_0_v6:13:32001703:32006055:1 gene:B456_013G123900 transcript:KJB80982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPFHCNQISFTLSITLLSLSLIIFLVFSRSNYNIIDAFKHNPPPSNQRFRNLDLLQEEINGKKEIKMGLVNINSNEEIQYQLPGSVVSTVHVRFDRVSKKRKWEDFFPFRIDENQQNCPEIPMPALEKYQDLDVVVAKLPCKGWSGKSGMRDVFLLQVNLVVANILVESGWVTPEVKRAVYAVFVGSCGPMQEIFRCEDLLRKVEDHRVYKPELRRLKQTMLMPPGSCQLAQPYGETGKEAWRYHSADHERLKMLKYSAFQQREAYATVLHTSEDYVCGAVALAQSIIRTNSTRDLILLHDENITPESLVGLKAAGWDTRLINGIRSPFADKDSFNEWNFSPLRIWMLTWYDKVVFIDADVLVFNNIDWLFVFPQLSAAPNDKTLFNSGVMVIEPSLCVFEDLMVKVLEMDSYNGSYQGWLNEVFTWWHRLPSQVNFLKDFEGEDGRRQEKILDEISVLHFLGLKPWMCYRDYDCNWDKEGMLRFASDKAHEKWWQVYDEMPETLQPYCGLTEHMNWRLKKWRWIARSLKQPDEHWKIGVTDPRQYNLGA >KJB81174 pep chromosome:Graimondii2_0_v6:13:34667871:34669448:1 gene:B456_013G132400 transcript:KJB81174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import receptor subunit TOM7-1 [Source:Projected from Arabidopsis thaliana (AT5G41685) UniProtKB/Swiss-Prot;Acc:Q9ASY8] MASRVSLKTKGKGGKGSKGSDEKSTTQCLKEWSTWAMKKAKVVTHYGFIPLVIIIGMNSEPKPQLYQLLSPV >KJB81175 pep chromosome:Graimondii2_0_v6:13:34667807:34669448:1 gene:B456_013G132400 transcript:KJB81175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import receptor subunit TOM7-1 [Source:Projected from Arabidopsis thaliana (AT5G41685) UniProtKB/Swiss-Prot;Acc:Q9ASY8] MASRVSLKTKGKGGKGSKGSDEKSTTQCLKEWSTWAMKKAKVVTHYGFIPLVIIIGMNSEPKPQLYQLLSPV >KJB81003 pep chromosome:Graimondii2_0_v6:13:32435441:32440707:1 gene:B456_013G124900 transcript:KJB81003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVKLDPSCNLPEETSPKLLLPPDSPDVIDIFGDPQLSPRVGNKYQVEIPPMITGTEHLWLLMDPVDSEGIPYLAHSFLLGLPLPVMWTHEDIGFEDEDKGGLSKPYRGTKVDEPVKSRKCREGQNSKRKENSEPSAEESSARLDNEKEPNSDNLECGMTSKINKLRLLEGKSSCLVPGAAGDSWSNADMDGFLLGLYIFGKDFAQIKRFIENKGMGDILSFYYGAFYRSDGYRRWSDGQKRSRRKNVYGRKIFTGWRQQELLSRLLTHVPDESQSNLLEVSKSFLEGKTSLENYICHLKTSVGISTLVEAVGIGKGKTDLTGLAMEPPRTTQVSAEIPSGKACSSLTSGDIIRYLTGGFRLSKARCNDIFWEAVWPRLLARGWHSEQPKNQCSVGSKHYLVFLMPGVKKFSRRKLVKGNHYFDSVSDVLSKVASEPTLIELDSEGNCMSRCNEENVCIPGELSDQDDPAYHKPHYLKPRVSIYSSNHMKFTVVDSSLVHGGKASKMRELRYSPIDLMFTSKPIHKDTRDSRQVNANHMLSKGDKCGTNAHHCEGIITSSTAHHIKFTIVDTSLLHGGKSSGVRELRYLPVQFEISSKINNFSGGNEEKSSDGSSDEGERKNADRLSNHGPIAADKKPSSKPIAAANVMKGEGKYGNDISNQNLKNSCHADQSLLIHQDKKTDTSEDARSKRIIKHHFSRRAKSVPSISLVPSEKRRKLTSCTNKEASCLSENLAAISINLASPMKRQRLAACVKMEGTDLAENICSNPINLVSPIKRQRLNACTKTEERNPTEQFSAGISEQTGVCRALDSQDEGSNNVLHVSHFQEKVPFLSSSAKGNPEFTATSQGSNEKLPSLSSIGSNQPPFSPDARNGEPGTLEADGGQIIIVNNYADLLKTTTNVCAEEQQAVVNPRRQSKRSRPLTTRVLESLESGFFNVKKMPKVRDVQAQAIQFSSPSRKARSRVKPTSKHVNLTVKTADAKEGKDQDGAFICSKDVMTQPPQVAR >KJB81004 pep chromosome:Graimondii2_0_v6:13:32435510:32440700:1 gene:B456_013G124900 transcript:KJB81004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVKLDPSCNLPEETSPKLLLPPDSPDVIDIFGDPQLSPRVGNKYQVEIPPMITGTEHLWLLMDPVDSEGIPYLAHSFLLGLPLPVMWTHEDIGFEDEDKGGLSKPYRGTKVDEPVKSRKCREGQNSKRKENSEPSAEESSARLDNEKEPNSDNLECGMTSKINKLRLLEGKSSCLVPGAAGDSWSNADMDGFLLGLYIFGKDFAQIKRFIENKGMGDILSFYYGAFYRSDGYRRWSDGQKRSRRKNVYGRKIFTGWRQQELLSRLLTHVPDESQSNLLEVSKSFLEGKTSLENYICHLKTSVGISTLVEAVGIGKGKTDLTGLAMEPPRTTQVSAEIPSGKACSSLTSGDIIRYLTGGFRLSKARCNDIFWEAVWPRLLARGWHSEQPKNQCSVGSKHYLVFLMPGVKKFSRRKLVKGNHYFDSVSDVLSKVASEPTLIELDSEGNCMSRCNEENVCIPGELSDQDDPAYHKPHYLKPRVSIYSSNHMKFTVVDSSLVHGGKASKMRELRYSPIDLMFTSKPIHKDTRDSRQVNANHMLSKGDKCGTNAHHCEGIITSSTAHHIKFTIVDTSLLHGGKSSGVRELRYLPVQFEISSKINNFSGGNEEKSSDGSSDEGERKNADRLSNHGPIAADKKPSSKPIAAANVMKGEGKYGNDISNQNLKNSCHADQSLLIHQDKKTDTSEDARSKRIIKHHFSRRAKSVPSISLVPSEKRRKLTSCTNKEASCLSENLAAISINLASPMKRQRLAACVKMEGTDLAENICSNPINLVSPIKRQRLNACTKTEERNPTEQFSAGISEQTGVCRALDSQDEGSNNVLHVSHFQEKVPFLSSSAKGNPEFTATSQGSNEKLPSLSSIGSNQPPFSPDARNEEQQAVVNPRRQSKRSRPLTTRVLESLESGFFNVKKMPKVRDVQAQAIQFSSPSRKARSRVKPTSKHVNLTVKTADAKEGKDQDGAFICSKDVMTQPPQVAR >KJB81005 pep chromosome:Graimondii2_0_v6:13:32436506:32440707:1 gene:B456_013G124900 transcript:KJB81005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVKLDPSCNLPEETSPKLLLPPDSPDVIDIFGDPQLSPRVGNKYQVEIPPMITGTEHLWLLMDPVDSEGIPYLAHSFLLGLPLPVMWTHEDIGFEDEDKGGLSKPYRGTKVDEPVKSRKCREGQNSKRKENSEPSAEESSARLDNEKEPNSDNLECGMTSKINKLRLLEGKSSCLVPGAAGDSWSNADMDGFLLGLYIFGKDFAQIKRFIENKGMGDILSFYYGAFYRSDGYRRWSDGQKRSRRKNVYGRKIFTGWRQQELLSRLLTHVPDESQSNLLEVSKSFLEGKTSLENYICHLKTSVGISTLVEAVGIGKGKTDLTGLAMEPPRTTQVSAEIPSGKACSSLTSGDIIRYLTGGFRLSKARCNDIFWEAVWPRLLARGWHSEQPKNQCSVGSKHYLVFLMPGVKKFSRRKLVKGNHYFDSVSDVLSKVASEPTLIELDSEGNCMSRCNEENVCIPGELSDQDDPAYHKPHYLKPRVSIYSSNHMKFTVVDSSLVHGGKASKMRELRYSPIDLMFTSKPIHKDTRDSRQVNANHMLSKGDKCGTNAHHCEGIITSSTAHHIKFTIVDTSLLHGGKSSGVRELRYLPVQFEISSKINNFSGGNEEKSSDGSSDEGERKNADRLSNHGPIAADKKPSSKPIAAANVMKGEGKYGNDISNQNLKNSCHADQSLLIHQDKKTDTSEDARSKRIIKHHFSRRAKSVPSISLVPSEKRRKLTSCTNKEASCLSENLAAISINLASPMKRQRLAACVKMEGTDLAENICSNPINLVSPIKRQRLNACTKTEERNPTEQFSAGISEQTGVCRALDSQDEGSNNVLHVSHFQEKVPFLSSSAKGNPEFTATSQGSNEKLPSLSSIGSNQPPFSPDARNGEPGTLEADGGQIIIVNNYADLLKTTTNVCAEEQQAVVNPRRQSKRSRPLTTRVLESLESGFFNVKKMPKVRDVQAQAIQFSSPSRKARSRVKPTSKHVNLTVKTADAKEGKDQDGAFICSKDVMTQPPQVAR >KJB83004 pep chromosome:Graimondii2_0_v6:13:54413650:54415007:1 gene:B456_013G224400 transcript:KJB83004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRGTYFVPDQQFNMFHNIDRELYAVLVMNLCRDPVESLHCIALWLWLERVGFKKVVTKLLPLPRVLVNELADEALACLGVIHSEKVSPLSTRRNDTPLMQRLIDSELALPFFAKHRLIAVRGLAKLVNEVCMRALKDIMQQAVERKAHQSLADLSLYHQQQQRQHPRQVQVQPPLAARVPTPAPPRVQFGSLPAAAQTNEVHPDDRTIFVTFSKGYLVHEWEVREFFTRLYGNCIESLHMQDVMPNEQPLFARIVCHSPAAIEYILNGNVKAKFTINGKHVWARKFVPKRPKPPAPPPPPFNLPVSLGI >KJB79472 pep chromosome:Graimondii2_0_v6:13:4869854:4871424:1 gene:B456_013G051900 transcript:KJB79472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSQNLQTPLMASSPVTSKQLKEHLKDKQEPFTLSIYLSERGYLVKCSSSNGRNGCCSSQMNLFKNLWNKKMVLVPTRVVKSKLHKLVSGNNGSLELSCCNNGNEDGFQSTDSSSRTCNVEPEKRERKCIEDKQLDLMSMLNKLSSDEVHHIITRQERPTCKNSIDPTENVKANNFVLANFPWKLLGRSLMERYTLIGFKEAKGSMITGPCTLQWRSNQQFGHQREPLMNLSAIKSMKNNDGTNVRTKHSYVHWFIGLNNLGNLMHACRKSIGFFDTFEKWDYCKLQRKIGFDIGDTIMDEIIEEAIDLLLQ >KJB83773 pep chromosome:Graimondii2_0_v6:13:57687612:57692205:1 gene:B456_013G263200 transcript:KJB83773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFPGFLSSAVTVILFVGCFMAVPEVVTAKHGGGVTRHYKFSIRMKNITRLCHSKSIVTVNGKFPGPRVVAREGDRLVVKVVNHVPNNISIHWHGIKQLRSGWADGPAYITQCPIQTGQSYVYNFTITGQRGTLFWHAHFSWMRATVYGPLIILPRRNESYPFIKPYKEVPILFGEWFNADTEAVINQSLQTGAGPNVSDAYTLNGLPGPLYNCSGKDTYKLKVKPGKTYLLRLINAALNDELFFSIANHSVTVVEADATYVKPFVTDTLVIAPGQTTNVLLKTKSPAPDAAFYMLARPYFTGMGTIDNTTVAGILKYENPKNLENAPLFKPSLPAINATNVVANFSNMFKSLATNKFPINVPQKVDKRFFFTVGLGTNPCPKNQTCQGPNGTKFAASMNNISFALPTTALLQSYFFSKNGVFTADFPDSPLHPFNYTGTPPNNTHVINGTTKVEVLPFNASVEVIMQDTSILGAESHPLHLHGYNFYVVGQGFGNFDPKNDPSKFNLVDPVERNTIGVPSGGWVAIRFQADNPGVWLMHCHFDVHLSWGLRMAWIVLDGKLPNQKLPPPPSDLPKC >KJB79330 pep chromosome:Graimondii2_0_v6:13:3860850:3863436:-1 gene:B456_013G044200 transcript:KJB79330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--nitrite reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15620) UniProtKB/Swiss-Prot;Acc:Q39161] MSSFSVRFFAPQQPLLPSTASSFKPKTWVMAAPTTAPATSVDVDGGRLEPRVEEREGYFVLKEKFRDGINPQEKIKIEKDPLKLFMEAGIDELAKMSFEDLDKAKATKDDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSAQTRYLASVIRKYGKEGCADVTTRQNWQIRGVVLPDVPEILKGLDEVGLTSLQSGMDNVRNPVGNPLAGIDPEEIVDTRPYTNLLSQFITANSRGNPAFANLPRKWNVCVVGSHDLYEHPHINDLAYMPATKNGRFGFNLLVGGFFSAKRCDEAIPLDAWVSADDVIPLCKAVLEAYRDLGYRGNRQKTRMMWLIDELGIEVFRSEVAKRMPQKELERASDEDLVQKQWERRDYLGVHPQKQEGFSYIGIHIPVGRVQADDMDELARLADTYGSGEFRLTVEQNIIIPNVENSKLEALLNEPLLKDRFSPQPSILMKGLVACTGNQFCGQAIIETKARALKVTEEVERLVSVSRPVRMHWTGCPNTCGQVQVADIGFMGCMARDENGKPCEGADIFLGGRIGSDSHLGELYKKGVPCKNLVPVVADILVEHFGAVPRQREEGED >KJB79401 pep chromosome:Graimondii2_0_v6:13:4199392:4200069:-1 gene:B456_013G047600 transcript:KJB79401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTLLFTCLLLFLAAMQGAHAVDYAINDKTGNSRGGVRFRTTIGAQSSLQTMSSATGFIWDIFQQTNPSDRKNVPKVTLFIENGDGVAFAINNEIHVNANYLGNYTGDLRKEFNGVLYHEMTHIWQWNGNGIADFVRLKANYIPSHWVKPGQGDRWDQGYDVTARFLEYCDGLRNGFVAQLNKKMRSRYNAGFFVELLGKTVDQLWSDYKAKYGN >KJB80315 pep chromosome:Graimondii2_0_v6:13:14671875:14677490:-1 gene:B456_013G091300 transcript:KJB80315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRPVATAAATGGVPTDNGDYVVTLDQVPRWNDAEIRSSLEYENEDPSFSKSFLSDPLTSPGEESGSNGLASRFPVDHEINSKIYLWRGQPWNLEVDAVVNSTNESMDEVHSSQGLHAAAGPGLAEECTTLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLRSIAMGCIYTEAKNYPREPAAHVAIRTVRRLLEKQKDKITAVVFCTSTSSDTEIYKRLLPLYFPRDKHEEAMAISKLPADVGDENGETIIHERKIRIKPLPKKVIPKPSQPPAEVPASDVGLVQRNSSYLDTYLDPAFMSLMKDPDQRRQEQWEKTAQARGGWNCAKMLGFGDIGGPPLSAAEEYSLHSRCLAKANSLNLSEIAEMKILYRGGVDSEGRPVMVVVGAHFLLRCLELERFILYVVKEFEPLIQKPYTIVYFHSAASLQVQPDLGWMRRLQQILGRKHQRNLHAIYVLHPTFHLKTAIFALQMFVDKVVCLNS >KJB80312 pep chromosome:Graimondii2_0_v6:13:14671436:14677490:-1 gene:B456_013G091300 transcript:KJB80312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRPVATAAATGGVPTDNGDYVVTLDQVPRWNDAEIRSSLEYENEDPSFSKSFLSDPLTSPGEESGSNGLASRFPVDHEINSKIYLWRGQPWNLEVDAVVNSTNESMDEVHSSQGLHAAAGPGLAEECTTLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLRSIAMGCIYTEAKNYPREPAAHVAIRTVRRLLEKQKDKITAVVFCTSTSSDTEIYKRLLPLYFPRDKHEEAMAISKLPADVGDENGETIIHERKIRIKPLPKKVIPKPSQPPAEVPASDVGLVQRNSSYLDTYLDPAFMSLMKDPDQRRQEQWEKTAQARGGWNCAKMLGFGDIGGPPLSAAEEYSLHSRCLAKANSLNLSEIAEMKILYRGGVDSEGRPVMVVVGAHFLLRCLELERFILYVVKEFEPLIQKPYTIVYFHSAASLQVQPDLGWMRRLQQILGRKHQRNLHAIYVLHPTFHLKTAIFALQMFVDKVVWKKVVYVDRLLQLFKYVPREQLTIPDFAFQHDIEVNGGKGLIVDPRTKYVYHRP >KJB80311 pep chromosome:Graimondii2_0_v6:13:14671033:14677551:-1 gene:B456_013G091300 transcript:KJB80311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRPVATAAATGGVPTDNGDYVVTLDQVPRWNDAEIRSSLEYENEDPSFSKSFLSDPLTSPGEESGSNGLASRFPVDHEINSKIYLWRGQPWNLEVDAVVNSTNESMDEVHSSQGLHAAAGPGLAEECTTLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLRSIAMGCIYTEAKNYPREPAAHVAIRTVRRLLEKQKDKITAVVFCTSTSSDTEIYKRLLPLYFPRDKHEEAMAISKLPADVGDENGETIIHERKIRIKPLPKKVIPKPSQPPAEVPASDVGLVQRNSSYLDTYLDPAFMSLMKDPDQRRQEQWEKTAQARGGWNCAKMLGFGDIGGPPLSAAEEYSLHSRCLAKANSLNLSEIAEMKILYRGGVDSEGRPVMVVVGAHFLLRCLELERFILYVVKEFEPLIQKPYTIVYFHSAASLQVQPDLGWMRRLQQILGRKHQRNLHAIYVLHPTFHLKTAIFALQMFVDKVWKKVVYVDRLLQLFKYVPREQLTIPDFAFQHDIEVNGGKGLIVDPRTKYVYHRP >KJB80314 pep chromosome:Graimondii2_0_v6:13:14671037:14678001:-1 gene:B456_013G091300 transcript:KJB80314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRPVATAAATGGVPTDNGDYVVTLDQVPRWNDAEIRSSLEYENEDPSFSKSFLSDPLTSPGEESGSNGLASRFPVDHEINSKIYLWRGQPWNLEVDAVVNSTNESMDEVHSSQGLHAAAGPGLAEECTTLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLRSIAMGCIYTEAKNYPREPAAHVAIRTVRRLLEKQKDKITAVVFCTSTSSDTEIYKRLLPLYFPRDKHEEAMAISKLPADVGDENGETIIHERKIRIKPLPKKVIPKPSQPPAEVPASDVGLVQRNSSYLDTYLDPAFMSLMKDPDQRRQEQWEKTAQARGGWNCAKMLGFGDIGGPPLSAAEEYSLHSRCLAKANSLNLSEIAEMKILYRGGVDSEGRPVMVVVGAHFLLRCLELERFILYVVKEFEPLIQKPYTIVYFHSAASLQVQPDLGWMRRLQQILGRKHQRNLHAIYVLHPTFHLKTAIFALQMFVDKVVWKKVVYVDRLLQLFKYVPREQLTIPDFAFQHDIEVNGGKGLIVDPRTKYVYHRP >KJB80313 pep chromosome:Graimondii2_0_v6:13:14671033:14678220:-1 gene:B456_013G091300 transcript:KJB80313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRPVATAAATGGVPTDNGDYVVTLDQVPRWNDAEIRSSLEYENEDPSFSKSFLSDPLTSPGEESGSNGLASRFPVDHEINSKIYLWRGQPWNLEVDAVVNSTNESMDEVHSSQGLHAAAGPGLAEECTTLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLRSIAMGCIYTEAKNYPREPAAHVAIRTVRRLLEKQKDKITAVVFCTSTSSDTEIYKRLLPLYFPRDKHEEAMAISKLPADVGDENGETIIHERKIRIKPLPKKVIPKPSQPPAEVPASDVGLVQRNSSYLDTYLDPAFMSLMKDPDQRRQEQWEKTAQARGGWNCAKMLGFGDIGGPPLSAAEEYSLHSRCLAKANSLNLSEIAEMKILYRGGVDSEGRPVMVVVGAHFLLRCLELERFILYVVKEFEPLIQKPYTIVYFHSAASLQVQPDLGWMRRLQQILGRKHQRNLHAIYVLHPTFHLKTAIFALQMFVDKVWKKVVYVDRLLQLFKYVPREQLTIPDFAFQHDIEVNGGKGLIVDPRTKYVYHRP >KJB82261 pep chromosome:Graimondii2_0_v6:13:48443492:48445773:-1 gene:B456_013G185400 transcript:KJB82261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVRVCLEEKNDDISSVPPGFEPRALFTLKSEAQDTKRHERDNLICCSASTRAILVEKGTGLANDESSKITRSMSMRRRPWINYGQYDNSSEDEPDHGKLNQVSFCLFTLPAQLPGTTQDHLQIFNIEMKAKMKSHQMPEHVVFWKWISPKMLGLVTQTSMYHRSIEDHSSLM >KJB79588 pep chromosome:Graimondii2_0_v6:13:5519859:5522096:1 gene:B456_013G055800 transcript:KJB79588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNTTEAERWLTIAEKLLAARDLHGTRTFAIRARESAPILADQILAVTDTLITAQSNPQDWYGILQLVPLTQSMEVVASQYRKLALLLNPGRNRLSFADQAFRFVSEAWNVLSNPSKKAVYDNELRLLQFGQVSQLGQQQQQQPQMQQQQLQPQTQPLFMQPQPPPPPKETQTLFMQSPPQKETQAQVTQPLFMRRSPRNTDKDGNAALEGEEQLGLKNKSPEQNRPAEPTWRKQMSQIGLAGSSQVNRTGSVGSSQISQASEVNRPEPIRMSQINQTGTDSSSQFNRDEPTRTGQINQTTTPPHRGNSQTEPTSVTRPSEATESEELTFWTACPYCYILYEYPKLYEDCTLRCQAKNCRRAFHAVVIPSPPVNGKDSSFSCWGFFPLGFSRGDQFPSWSPISTMYACPNNKNTGKNSTAKKPAPRVYYDDDDAYVDISDSIGTSEDDDDDDDDWQNEKRKKAKNVKGKGSVGKTAKKPQSERVKKAGNEAANVDGSGNLSGASTVPEGVVTAESSRRGVVNSGRKQMARGTKNLGKLDLNVEFSNEVEEPAPRRNEGNHPGYGEEDNIEGNGFFEGLDEFLSSLPILSAVGDDKVKAT >KJB81628 pep chromosome:Graimondii2_0_v6:13:42034128:42036063:1 gene:B456_013G153200 transcript:KJB81628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLISAFFCFRSSSFLFLKALLFFVLMEFGIPLFHIFISFLLVLLMAIRSVRKSKARSLTQRLIPGPRKLPLIGNLHQLAGPTLPHRTLRDLATKHGTVMHLQLGQVSTVVISSAEMAEEIMKTHDIVFASRPSLVAAKIITYECTDIVFSPYGKYWRNLRKICTSELLSASRVASFRSIREEEVLNLVKTIKSNDGLAVNLSQKVFSMTYGITARAAFGKKCKYQDSFISVVAEQTKLVSGFFVSEFFPSLQFLDVVSGIKYRVEKTRAEADGILESIVNDHKESRARGRSKDDREDLVDLLLRLQEDDEFPLTDNNVKAIILDIFTAGSETSASTVEWALSEMIKNPRVMAKAQAEVRQVFQGKGNVDETGIHQLQYLKCVIKETLRLHPILPLLLPRECSKNCEVNGFEIPSKTRVIINAWAIGRDPNHWAQPENFEPERFINSSVDFLGTNFEFIPFGAGRRICPGILFAVPNLELPLAQLLFHFDWKLPKQEDIDMTEEFGLSVRRKNDLVLVPTPYHASIIVS >KJB81443 pep chromosome:Graimondii2_0_v6:13:40154153:40156544:-1 gene:B456_013G146300 transcript:KJB81443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMTLPPGFRFHPTDEELVAYYLDRKISGRTIELEIIPEVDLYKCEPWDLPDKSFLPSKDMEWYFYSPRDKKYPNGSRTNRATRGGYWKATGKDRAVQTSKAVVGMKKTLVYYRGRAPHGIRTNWVMHEYRLLHSASPTAPSSLKDSYSLCRIFKKNIQIPKTKEAPVDNNQSFGDEISRGREAEVEDENFNTSSSDVTQGTPNETGVADEYQPPFTSDEANSSANLSSLGPDFSSHPFQIPSYTNLHYQAPYPPLELEDFPQINIISETKASKAEIIDEYMMYDKCKDYMNGSLEEIFSLCASQDNSMPPLSMHD >KJB81442 pep chromosome:Graimondii2_0_v6:13:40153893:40156662:-1 gene:B456_013G146300 transcript:KJB81442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMTLPPGFRFHPTDEELVAYYLDRKISGRTIELEIIPEVDLYKCEPWDLPDKSFLPSKDMEWYFYSPRDKKYPNGSRTNRATRGGYWKATGKDRAVQTSKAVVGMKKTLVYYRGRAPHGIRTNWVMHEYRLLHSASPTAPSSLKKNIQIPKTKEAPVDNNQSFGDEISRGREAEVEDENFNTSSSDVTQGTPNETGVADEYQPPFTSDEANSSANLSSLGPDFSSHPFQIPSYTNLHYQAPYPPLELEDFPQINIISETKASKAEIIDEYMMYDKCKDYMNGSLEEIFSLCASQDNSMPPLSMHD >KJB79725 pep chromosome:Graimondii2_0_v6:13:7155346:7160458:1 gene:B456_013G064100 transcript:KJB79725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLMTMINLVLLLSIFILFVQVFPEEAALRPNVVNVGAIFSFDTINGKVAKVAMKAAEDDINSDPSILGGRKLFVALHDSNYSSFLSFIGALQFMETDTVAIIGPQSSVMAHVLSHLANELHVPLLSFTALDPGLSPLQYPFFVQTAPNDEFQMIAIAEMVSYFGWAEVIAVFSDDNQNRNGIIMLDDQLAERRCRISYKATLPPDPMAKRSDVLRELAKIQMMESRVIVLNTFSKTGLLVFEVAKSLGMMEKGYVWIASTWLSTVLDSTSPLKPETANSIRGALTLRPHTPDSKRKRDFISRWNQLSNGSIGLNPYGLFAYDTVWMIARAVKLLFDQGGNISFSNDERLISLTGMDLNLSALSIFDGGKQLLKNVIETKMTGLTGPVRFNQDRFLINPSYDIINTVETGYRQVGYWSNHSGLSIVPPETLYGKKPNRSSSNQHLDSVVWPGGETIKPRGWVFPNNGRELRIVVPNRVSYRDFVSVVNGTNKVQGYCIDVFLAAIKLMPYAVPYRFIPFGNGHENPSYYELVSKIAAGVFDGAVGDIAIVTDRTRIVDFTQPYIESGLVVVAPVKKISSNPWSFSRPFTPSMWAVTAAFFLIVGSVVWVLEHRINDEFRGSPRQQIVTILTFSFSTMFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIDTLISSSERIGFQIGSFAERYLTEELGIPKSRLVQLGSPEDYARALEKRQVAAVVDERPYVDLFLSDRCEFSIRGQEFSKRAWGFAFPRDSPLAIDMSTAILALSENGELQKIHDKWLSRSACSSEKSEDEAEQLDLQSFWGLFLICGIACVLALFVYSLLMFRQYSRHCPEELDSTSRNNSFSAHLRTCLSFVDGKVEKSKSSSKRKRDSMHSDV >KJB79723 pep chromosome:Graimondii2_0_v6:13:7154998:7160117:1 gene:B456_013G064100 transcript:KJB79723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFDTEEAALRPNVVNVGAIFSFDTINGKVAKVAMKAAEDDINSDPSILGGRKLFVALHDSNYSSFLSFIGALQFMETDTVAIIGPQSSVMAHVLSHLANELHVPLLSFTALDPGLSPLQYPFFVQTAPNDEFQMIAIAEMVSYFGWAEVIAVFSDDNQNRNGIIMLDDQLAERRCRISYKATLPPDPMAKRSDVLRELAKIQMMESRVIVLNTFSKTGLLVFEVAKSLGMMEKGYVWIASTWLSTVLDSTSPLKPETANSIRGALTLRPHTPDSKRKRDFISRWNQLSNGSIGLNPYGLFAYDTVWMIARAVKLLFDQGGNISFSNDERLISLTGMDLNLSALSIFDGGKQLLKNVIETKMTGLTGPVRFNQDRFLINPSYDIINTVETGYRQVGYWSNHSGLSIVPPETLYGKKPNRSSSNQHLDSVVWPGGETIKPRGWVFPNNGRELRIVVPNRVSYRDFVSVVNGTNKVQGYCIDVFLAAIKLMPYAVPYRFIPFGNGHENPSYYELVSKIAAGVFDGAVGDIAIVTDRTRIVDFTQPYIESGLVVVAPVKKISSNPWSFSRPFTPSMWAVTAAFFLIVGSVVWVLEHRINDEFRGSPRQQIVTILTFSFSTMFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIDTLISSSERIGFQIGSFAERYLTEELGIPKSRLVQLGSPEDYARALEKRQVAAVVDERPYVDLFLSDRCEFSIRGQEFSKRAWGFAFPRDSPLAIDMSTAILALSENGELQKIHDKWLSRSACSSEKSEDEAEQLDLQSFWGLFLICGIACVLALFVYSLLMFRQYSRHCPEELDSTSRNNSFSAHLRTCLSFVDGKVEKSKSSSKRKRDSMHSDV >KJB79726 pep chromosome:Graimondii2_0_v6:13:7155495:7159119:1 gene:B456_013G064100 transcript:KJB79726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLMTMINLVLLLSIFILFVQVFPEEAALRPNVVNVGAIFSFDTINGKVAKVAMKAAEDDINSDPSILGGRKLFVALHDSNYSSFLSFIGALQFMETDTVAIIGPQSSVMAHVLSHLANELHVPLLSFTALDPGLSPLQYPFFVQTAPNDEFQMIAIAEMVSYFGWAEVIAVFSDDNQNRNGIIMLDDQLAERRCRISYKATLPPDPMAKRSDVLRELAKIQMMESRVIVLNTFSKTGLLVFEVAKSLGMMEKGYVWIASTWLSTVLDSTSPLKPETANSIRGALTLRPHTPDSKRKRDFISRWNQLSNGSIGLNPYGLFAYDTVWMIARAVKLLFDQGGNISFSNDERLISLTGMDLNLSALSIFDGGKQLLKNVIETKMTGLTGPVRFNQDRFLINPSYDIINTVETGYRQVGYWSNHSGLSIVPPETLYGKKPNRSSSNQHLDSVVWPGGETIKPRGWVFPNNGRELRIVVPNRVSYRDFVSVVNGTNKVQGYCIDVFLAAIKLMPYAVPYRFIPFGNGHENPSYYELVSKIAAGVSIQHIESATGFLNNKNDK >KJB79724 pep chromosome:Graimondii2_0_v6:13:7155297:7159240:1 gene:B456_013G064100 transcript:KJB79724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFDTEEAALRPNVVNVGAIFSFDTINGKVAKVAMKAAEDDINSDPSILGGRKLFVALHDSNYSSFLSFIGALQFMETDTVAIIGPQSSVMAHVLSHLANELHVPLLSFTALDPGLSPLQYPFFVQTAPNDEFQMIAIAEMVSYFGWAEVIAVFSDDNQNRNGIIMLDDQLAERRCRISYKATLPPDPMAKRSDVLRELAKIQMMESRVIVLNTFSKTGLLVFEVAKSLGMMEKGYVWIASTWLSTVLDSTSPLKPETANSIRGALTLRPHTPDSKRKRDFISRWNQLSNGSIGLNPYGLFAYDTVWMIARAVKLLFDQGGNISFSNDERLISLTGMDLNLSALSIFDGGKQLLKNVIETKMTGLTGPVRFNQDRFLINPSYDIINTVETGYRQVGYWSNHSGLSIVPPETLYGKKPNRSSSNQHLDSVVWPGGETIKPRGWVFPNNGRELRIVVPNRVSYRDFVSVVNGTNKVQGYCIDVFLAAIKLMPYAVPYRFIPFGNGHENPSYYELVSKIAAGVFDGAVGDIAIVTDRTRIVDFTQPYIESGLVVVAPVKKISSNPWSFSRPFTPSMWAVTAAFFLIVGSVVWVLEHRINDEFRGSPRQQIVTILTFSFSTMFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIDTLISSSERIGFQIGSFAERYLTEELGIPKSRLVQLGSPEDYARALEKRQVAAVVDERPYVDLFLSDRCEFSIRGQEFSKRAWGFLESSLSYKNMQNSTGYSVLICNAISPFYYKRKNDLQQMVFLRHFLETLR >KJB80840 pep chromosome:Graimondii2_0_v6:13:29010450:29010659:-1 gene:B456_013G117300 transcript:KJB80840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYCDEPPPQKINLISCGPMAHVSDIKLIRTDTTLDLSQKAEKGMLCIVQAGFFYSCCSPRRFVSSGCGI >KJB79777 pep chromosome:Graimondii2_0_v6:13:7433689:7434455:-1 gene:B456_013G065900 transcript:KJB79777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSIAIPILCTLLLVALLSPSKGDLKFCPTTMQISGSCGPNGAFECFEAINAKYGASAMAQRCSCKDLSASEHLCQCYIVCQ >KJB83787 pep chromosome:Graimondii2_0_v6:13:57772133:57777230:1 gene:B456_013G264500 transcript:KJB83787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPTDDAVVIQKGKKNGDPHVITVNCPDKHGLGCDICRIILDFGLYISKGDVSTDGIWCYMVFWVVPHLSSPFVRWPSLKNRLQSLCPSCSVTFHFEGQASNSAAAAASPVYLLKFFCLDRKGLLHDVTQVLSELELTIQKVKVTTTPDGRVLDLFFITDNMELLHTKERQDDTYKQLNAVLGESCISCELKLVGPEYERHHGISSLSPAIAEELFRFELSDKETRSQALSPDMAKLKKASVVIDNSLSPVHTLLQIVCVDHKGLFYDVLRTLKDCNIKIAYGRFSLNSKGYRDLDLFIQQNDGKKIVDPDKQDGLCSRLRMEMLHPLRVIISNRGPDTELLVANPVELSGKGRPRVFYDVTQALKSLGICIFSAEIGRYSSSEREWEVYRFLLDENCKFKFSSIGARNQIVDRVRRLLMGW >KJB83788 pep chromosome:Graimondii2_0_v6:13:57772217:57775035:1 gene:B456_013G264500 transcript:KJB83788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPTDDAVVIQKGKKNGDPHVITVNCPDKHGLGCDICRIILDFGLYISKGDVSTDGIWCYMVFWVVPHLSSPFVRWPSLKNRLQSLCPSCSVTFHFEGQASNSAAAAASPVYLLKFFCLDRKGLLHDVTQVLSELELTIQKVKVTTTPDGRVLDLFFITDNMELLHTKERQDDTYKQLNAVLGESCISCELKLVGPEYERHHGISSLSPAIAEELFRFELSDKETRSQALSPDMAKLKKASVVIDNSLSPVHTLLQIVCVDHKGLFYDVLRTLKDCNIKVFC >KJB83790 pep chromosome:Graimondii2_0_v6:13:57772217:57777157:1 gene:B456_013G264500 transcript:KJB83790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGIFALDVTQVLSELELTIQKVKVTTTPDGRVLDLFFITDNMELLHTKERQDDTYKQLNAVLGESCISCELKLVGPEYERHHGISSLSPAIAEELFRFELSDKETRSQALSPDMAKLKKASVVIDNSLSPVHTLLQIVCVDHKGLFYDVLRTLKDCNIKIAYGRFSLNSKGYRDLDLFIQQNDGKKIVDPDKQDGLCSRLRMEMLHPLRVIISNRGPDTELLVANPVELSGKGRPRVFYDVTQALKSLGICIFSAEIGRYSSSEREWEVYRFLLDENCKFKFSSIGARNQIVDRVRRLLMGW >KJB83789 pep chromosome:Graimondii2_0_v6:13:57772217:57776469:1 gene:B456_013G264500 transcript:KJB83789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPTDDAVVIQKGKKNGDPHVITVNCPDKHGLGCDICRIILDFGLYISKGDVSTDGIWCYMVFWVVPHLSSPFVRWPSLKNRLQSLCPSCSVTFHFEGQASNSAAAAASPVYLLKFFCLDRKGLLHDVTQVLSELELTIQKVKVTTTPDGRVLDLFFITDNMELLHTKERQDDTYKQLNAVLGESCISCELKLVGPEYERHHGISSLSPAIAEELFRFELSDKETRSQALSPDMAKLKKASVVIDNSLSPVHTLLQIVCVDHKGLFYDVLRTLKDCNIKIAYGRFSLNSKGYRDLDLFIQQNDGKKIVDPDKQDGLCSRLRMEMLHPLRVIISNRGPDTELLVANPVELSGKGRPRVFYDVTQALKSLGICIFSVSYNFQRELF >KJB82684 pep chromosome:Graimondii2_0_v6:13:52068653:52073173:1 gene:B456_013G209200 transcript:KJB82684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNRNRNVKPANGKPAAAANPYAINLDNFSKRLKMLYSHWNKHNTDLWGSSSALAIATPPVSEDLRYLKSSALNIWLVGYEFPETIMVFLKKQIHFLCSQKKASLLDVVKKSAREAIDVEVVIHVKAKGDDGTGLMDTIFRAIHSQASSGDHNVPIVGHIAREAPEGKFLETWDEKLKSAKFELSDVTTGFSELFAVKDETELTNVKKAAFLTSSVMRQFVVPKLEKAIDEERKVSHSTLMDDTEKTILEPGRIKVKLKAENIDICYPPIFQSGGEFDLKPSASSNDENLYYDSTSVIICALGSRYNSYCSNIARTFLIDANSKQSKAYEVLLKAHEAAIGALKSGNKVNSVYQAAVSVVEKEAPELAANLTKTAGTGIGLEFRETGLSLNAKNDRILKPGMVFNVSLGFQNLQTETNNPKTRKYSVLLADTVIVGEKVPDVLTSKSSKAVKDVAYSFNEDDEEEEKMKVKAEDNGNETLFSKTTLRSDNHEMSKEELRRQHQAELARQKNEETARRLAGGGANAADNRGAVKTVGDLIAYKNVNDLPPPRDLMIQVDQKNEAILLPIYGSMVPFHVATVKSVSSQQDSNRTSYIRIIFNVPGTSFTPHDANSLKFQGSIYLKEVSFRSKDSRHIIEVVQQIKTLRRQVNSRESERAERATLVTQERLQLASAKFKPIKLHDLWIRPPFGGRGRKLTGSLEAHTNGFRYSTSRPDERVDVMFGNIKHAFFQPAEREMITLVHFHLHNHIMVGNKKTKDVQFYIEVMDIVQTLGGGKRSAYDPDEIEEEQRERDRKNKINTDFQNFVNRVNDLWGQPQFKAFDLEFDQPMRELGFHGVPHKASAFIVPTSNCLVELIETPFVVITLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLNTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNMEVSDSESENSEESDQGYVPSDVQSESGSEDEDDDSESLVESEDDDEEDSDEDSEEDEGKTWEELEREASYADREKGDDSDSEEERKRRKMKAFGKGRVPDKRPSGNLPKRSKLR >KJB83209 pep chromosome:Graimondii2_0_v6:13:55359418:55362142:1 gene:B456_013G235600 transcript:KJB83209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPSKTAFSVQNLLFHSFLRLFFFVQYLVVPVTADFNVTRYNPIENIAIDCGSSVGGKSWDDRPWVGDGNGKFSLIEQQNNNNKPSVVKAASHDSLPSSVDPFPYYTARLSYSQFTYSIPLTDGQKFIRLHFCPTKYPDFGDPSKKAFFSVKAGNFILLSNFSASLHAHREVTFFKEFCVNLDEGQRLNLTFTPIVSMPTNLYYTPASDEGVPRFVGQAQGKTYRLENNTALENMYRINVGSGREISPKDDIGMFRRWLNDDQEYLTKANPSAIPFNTTTDLNFSSTIKSYAAPKEAYATARTMGTNKTKNENYQLTWEFPVDSAFSYFVRLHFCEFQTEITKPGDRVFEIILANASAETQADVVNWSGGNGIPVYRDYVVAIGKIGKEKQQNLSIAMHPTPEWRTRYSDAILNGLEIFKLSNGVNLFGPNPDPDTINQPGYSPPTSNKPSNNKVVFGIVGGVISGFVLLSLLCFFVYRRKRRVKDTASSKGVPVMELTKCGSSSLPSELCRYFSLAEIKQATNNLDNVFIIGVGGFGNVYKGFIDGGATQVAIKRLNPESQQGAHEFRTEIEMLSQLRHLHLVSLIGYCNDGGEMILIYDYMANGTLRDHLYNSKNPPLPWKQRIEICLGAAQGLQYLHSGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSRIGPTNMSQTHVSTVVKGSFGYLDPEYSRRGQLTEKSDVYSFGVVLCEILCARPAIHRSAEKALVNLAAQAQECHRNGTLYNMIDPFLNGKIAVECLKKFTEVVMSCLHDNGMERPSMDAVVWGLQFALQLQETAEEEGLKPNAIAGIEKDIDEESPIIAYAMEDESGEVFSSIGDHVMNSRSTTSFSLTSDEQSFIRKGSDKHLSKAVFSEIRDPQGR >KJB80475 pep chromosome:Graimondii2_0_v6:13:17866003:17867865:1 gene:B456_013G099300 transcript:KJB80475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISRAQLLHHPSAYFSRLPHSHSSFSINFRRRKFSVTPPLLCSSSSSPSASTNPSIVGDLLNYLNESWTQFHATAEAKRQLIAAGFHLLNENDEWDLRPGGRYFFTRNMSCLVAFAVGEKYIVGNGFHVIAAHTDSPCLKLMEVVYGTLGSTET >KJB80476 pep chromosome:Graimondii2_0_v6:13:17866199:17867779:1 gene:B456_013G099300 transcript:KJB80476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISRAQLLHHPSAYFSRLPHSHSSFSINFRRRKFSVTPPLLCSSSSSPSASTNPSIVGDLLNYLNESWTQFHATGTLLVMAFMLLLHIQTVLVSNLWRWFMAHLVRQRPKCCWKSHC >KJB78599 pep chromosome:Graimondii2_0_v6:13:647366:649798:1 gene:B456_013G009700 transcript:KJB78599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKTNVSSYFQMITLLILLLIPSSYLVDAEIIDYPSFVNGPNSWRNTPSSDFSFWESAGVRPILVNGKFVFGFQCSFEGDNCLIVVSIFKTSSDGNSRFSPQVVWAANRNNPVEIQALLELTSQGQLILKDANDTLVWSPSTASKSISRLTLGSEGNLKLLDKTNLTVWQSFDHPTDVLVLGQKLASGQKLRSSVSPFDSSEGLFAFGIIDGVFTAYIDSDPSQMYYRSFVSEDQSYAEFQTGGFGSLIMAASANFIQLGSDGHLKAYEFKEQGLKWVGTDMLDIDRCSYPLACGRYGVCSGTGCSCPDDASDNETAYFKPNNFTADDGCHAISPISCELSLYHSFLELRRIKIRYLDYILSSKTLQLEDCKEACLQNCSCKAILYNGRNKCLLLSQVFSIEKKEGFDSATTFIKVQSSPIFSQSPSRNPSSPNFPQRKRQNTTVIVGSLLGTIFGVFLIFSFLFLRFKNGFQEYEEDYLDNMLGMPTRFSYEELKNVTNNFSNKLGEGGFGSVFRGILPSGSEVAVKHLVGFGPVNKSFTAEVQTIGSIHHFNLVTLVGFCAEKSNRLLVYEYMANGSLDQWIFNKNQEPALGWQIRKKIILDIAKGLAYLHEECNQKILHLDIKSQNILLDENFNAKVSDFGLSKLIGRDQSRVVTTMRGTPGYMAPEWLSSVITEKVDVYSFGIVVLEILCGRQNFDSSQQEEDRHLVGLFRRKQEMGQLMDLVDKCSDDMLSNAAEVVEMMKVAAWCLQTEYVRRPSMSIVVKLFEGSVDVVGNMNEEDFLNGLTHEAMETFASTVLPSMLSGPR >KJB83350 pep chromosome:Graimondii2_0_v6:13:56171009:56180038:1 gene:B456_013G242600 transcript:KJB83350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MLAKVDEIEDNLYYFSDVISAGIPVVGSLITDNILQLLILPLLFPALQIDADSNLKIGAVTSLYLLCCILRIVKIKDLANTIAAALLYPLETFAANSEVKINGYVSGNNCANESEEPLVDSVTLVNAGQLCVDIPNKATSSQVHPGGIIAERDFSCSHLSLRETLLSYVTDGDDARALGSLSVLATLLQTKDGLGILPQRKQHKKLLLQALVGEGSGEEHLFSFESGSARDSISSELDGYLKKLKEQYGVSIGGAAASPRIRRNQVLDALVKLLCRSDISTEILWDGGWLLRQLLPYSEAEFKSHHLKLLKDSYRNCRSALVQETKGIWVDLLITVLCDQWKKCKRAIEASSPRKEPKCILLPFQKLNCEDIPAESSFTAGERMSELVKVFVLLHQLQIFSLGRTLPEQPPIQPQIDIPEISRARAAGLDVSGPKPGTEVKFVHALPCRIAFERGKERHFHFLAVSVGTLGWVLLAEELPLKQNYGIVRVAAPLAGTNPRIDDKHARWLHLRIRPSTLPFLDAPKSGTPGETRIKSLVDGRWTLAFRDDESCKTALSMILEEINLQNMEVERRLKPVLDLEL >KJB83348 pep chromosome:Graimondii2_0_v6:13:56168550:56180144:1 gene:B456_013G242600 transcript:KJB83348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MWFSFWRSRDRFSLDELRYLTNQLQKVQIVNDVNKDFVIEALRSIAELLTYGDQHDSSFFEFFMEKQVMGEFIRILKISKTVTVSLQLLQTISILIQNLRREHAIYYMFSNEHVNYLITYSFDFRNEELLSYYISFLRAISGKLNKNTISLLLKTQGEEVVSFPLYVEAIRFAFHEESMVRTAVRAITLNVYHVGDEFVNKFIASAPHSDYFSNLVSFFRDQCINLSKLFSERPKNPCSESVSAMLAKVDEIEDNLYYFSDVISAGIPVVGSLITDNILQLLILPLLFPALQIDADSNLKIGAVTSLYLLCCILRIVKIKDLANTIAAALLYPLETFAANSEVKINGYVSGNNCANESEEPLVDSVTLVNAGQLCVDIPNKATSSQVHPGGIIAERDFSCSHLSLRETLLSYVTDGDDARALGSLSVLATLLQTKELDESMLDGLGILPQRKQHKKLLLQALVGEGSGEEHLFSFESGSARDSISSELDGYLKKLKEQYGVSIGGAAASPRIRRNQVLDALVKLLCRSDISTEILWDGGWLLRQLLPYSEAEFKSHHLKLLKDSYRNCRSALVQETKGIWVDLLITVLCDQWKKCKRAIEASSPRKEPKCILLPFQKLNCEDIPAESSFTAGERMSELVKVFVLLHQLQIFSLGRTLPEQPPIQPQIDIPEISRARAAGLDVSGPKPGTEVKFVHALPCRIAFERGKERHFHFLAVSVGTLGWVLLAEELPLKQNYGIVRVAAPLAGTNPRIDDKHARWLHLRIRPSTLPFLDAPKSGTPGETRIKSLVDGRWTLAFRDDESCKTALSMILEEINLQNMEVERRLKPVLDLEL >KJB83349 pep chromosome:Graimondii2_0_v6:13:56171009:56180038:1 gene:B456_013G242600 transcript:KJB83349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MLAKVDEIEDNLYYFSDVISAGIPVVGSLITDNILQLLILPLLFPALQIDADSNLKIGAVTSLYLLCCILRIVKIKDLANTIAAALLYPLETFAANSEVKINGYVSGNNCANESEEPLVDSVTLVNAGQLCVDIPNKATSSQVHPGGIIAERDFSCSHLSLRETLLSYVTDGDDARALGSLSVLATLLQTKELDESMLDGLGILPQRKQHKKLLLQALVGEGSGEEHLFSFESGSARDSISSELDGYLKKLKEQYGVSIGGAAASPRIRRNQVLDALVKLLCRSDISTEILWDGGWLLRQLLPYSEAEFKSHHLKLLKDSYRNCRSALVQETKGIWVDLLITVLCDQWKKCKRAIEASSPRKEPKCILLPFQKLNCEDIPAESSFTAGERMSELVKVFVLLHQLQIFSLGRTLPEQPPIQPQIDIPEISRARAAGLDVSGPKPGTEVKFVHALPCRIAFERGKERHFHFLAVSVGTLGWVLLAEELPLKQNYGIVRVAAPLAGTNPRIDDKHARWLHLRIRPSTLPFLDAPKSGTPGETRIKSLVDGRWTLAFRDDESCKTALSMILEEINLQNMEVERRLKPVLDLEL >KJB80534 pep chromosome:Graimondii2_0_v6:13:18990776:18991569:-1 gene:B456_013G102400 transcript:KJB80534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIVLLWGEKARLANNETLSVPIFSYKDMVKLRRENRATLIDSLDAKKGYRYELIGSDDIATIVYMSGTTGNPKGVMLSHTNLLHQIENLGILGPAKAGDRFLSMLPTWHTYKRDCEYFPDRKFGGSGTMFTGTMLNC >KJB80535 pep chromosome:Graimondii2_0_v6:13:18990596:18992387:-1 gene:B456_013G102400 transcript:KJB80535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIVLLWGEKARLANNETLSVPIFSYKDMVKLRRENRATLIDSLDAKKGYRYELIGSDDIATIVYMSGTTGNPKGVMLSHTNLLHQIENLGILGPAKAGDRFLSMLPTWHTYKRDCEYFPDRKFGGSGTMFTGTMLNC >KJB83096 pep chromosome:Graimondii2_0_v6:13:54814938:54819495:1 gene:B456_013G229300 transcript:KJB83096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAAASRLRALKGLTCHRVPARFSTSVAAATTSSSSGGLLGWFTGGQSNSIPSLDFPLPGVALPSSLPDYVEPGKTKITTLPNGLKVASETSANPAASIGLYVDCGSIYESPASFGVSHLLERMAFKSTTNRSHLRIVREVEAIGGNVQAAVSREQIGYTFDALKTYVPEMVELLIDCVRNPAFLDWEVNEQLQKMKEEIAEAAKNPQGLLLEAIHSAGYSGALANSLLAPESAVNTLNGTVLEDFILENFTASRMVLAASGVENEELLSVAEPLLSDLSNVPRPQEPKSVYTGGDYRCQADLGDQTHFALAFELPGGWHKEKEAIILTVLQILMGGGGSFSAGGPGKGMYSRLSFNSIYNHTGIFGIQATTGSDFAPTAIDVAVKELIAVATPGQVDQIQLDRAKQSTKSAILMNLESRMVASEDIGKQVLTYGERKPVEYFLKVVDEITLKDISSIAQKLLSSPLTMASYGNVINVPSYDSVSRKFK >KJB83098 pep chromosome:Graimondii2_0_v6:13:54814999:54818689:1 gene:B456_013G229300 transcript:KJB83098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAAASRLRALKGLTCHRVPARFSTSVAAATTSSSSGGLLGWFTGGQSNSIPSLDFPLPGVALPSSLPDYVEPGKTKITTLPNGLKVASETSANPAASIGLYVDCGSIYESPASFGVSHLLERMAFKSTTNRSHLRIVREVEAIGGNVQAAVSREQIGYTFDALKTYVPEMVELLIDCVRNPAFLDWEVNEQLQKMKEEIAEAAKNPQGLLLEAIHSAGYSGALANSLLAPESAVNTLNGTVLEDFILENFTASRMVLAASGVENEELLSVAEPLLSDLSNVPRPQEPKSVYTGGDYRCQADLGDQTHFALAFELPGGWHKEKEAIILTVLQILMGGGGSFSAGGPGKGMYSRLYVRVLNEYPQVYSFSAFNSIYNHTGIFGIQATTGSDFAPTAIDVAVKELIAVATPGQVDQIQLDRAKQSTKSAILMNLESRMVASEDIGKQVLTYGERYDFSNFFAVVLCSDGPMLLQLLFRYLCLIPC >KJB83097 pep chromosome:Graimondii2_0_v6:13:54814938:54819495:1 gene:B456_013G229300 transcript:KJB83097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAAASRLRALKGLTCHRVPARFSTSVAAATTSSSSGGLLGWFTGGQSNSIPSLDFPLPGVALPSSLPDYVEPGKTKITTLPNGLKVASETSANPAASIGLYVDCGSIYESPASFGVSHLLERMAFKSTTNRSHLRIVREVEAIGGNVQAAVSREQIGYTFDALKTYVPEMVELLIDCVRNPAFLDWEVNEQLQKMKEEIAEAAKNPQGLLLEAIHSAGYSGALANSLLAPESAVNTLNGTVLEDFILENFTASRMVLAASGVENEELLSVAEPLLSDLSNVPRPQEPKSVYTGGDYRCQADLGDQTHFALAFELPGGWHKEKEAIILTVLQILMGGGGSFSAGGPGKGMYSRLYVRVLNEYPQVYSFSAFNSIYNHTGIFGIQATTGSDFAPTAIDVAVKELIAVATPGQVDQIQLDRAKQSTKSAILMNLESRMVASEDIGKQVLTYGERKPVEYFLKVVDEITLKDISSIAQKLLSSPLTMASYGNVINVPSYDSVSRKFK >KJB83099 pep chromosome:Graimondii2_0_v6:13:54814999:54819469:1 gene:B456_013G229300 transcript:KJB83099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAAASRLRALKGLTCHRVPARFSTSVAAATTSSSSGGLLGWFTGGQSNSIPSLDFPLPGVALPSSLPDYVEPGKTKITTLPNGLKVASETSANPAASIGLYVDCGSIYESPASFGVSHLLERMAFKSTTNRSHLRIVREVEAIGGNVQAAVSREQIGYTFDALKTYVPEMVELLIDCVRNPAFLDWEVNEQLQKMKEEIAEAAKNPQGLLLEAIHSAGYSGALANSLLAPESAVNTLNGTVLEDFILENFTASRMVLAASGVENEELLSVAEPLLSDLSNVPRPQEPKSVYTGGDYRCQADLGDQTHFALAFELPGGWHKEKEAIILTVLQILMGGGGSFSAGGPGKGMYSRLYVRVLNEYPQS >KJB82236 pep chromosome:Graimondii2_0_v6:13:48216066:48224685:-1 gene:B456_013G183600 transcript:KJB82236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G16750) UniProtKB/TrEMBL;Acc:Q9LFE2] MASATLKKNYRCSQSLQQFYSGGPFVVSSDGSFIACACSESIKIVDSSNASTKFTIEAESGTITALALSPNDKLLFSAGHSRQIKVWDLDAFKCLRSWKGHDGPVMGMACHGSGGLLATSGADRKVLVWDVDGGFCTHYFKGHKGVVTSIMFHPDVNKSLLFSGSDDTTVRVWDLLAKKCVAVLEKHFSAVSSMAVSEDGWTLLSAGRDKVVNLWDLHDYRCKSTIPTYEVLEAVCIVYSGSQFASSLGAFLQQSGKKKSRSTEIYFITAGERGIVRIWTSEGAVCLYEQKSSDVTVSSAEDEDSTRGFTSAVTLPLDQGLLCVTADQQFLFYSPAEHLEEKWSLKLTKRLVGFNDEIVDMKFLDEEEQYLAVATNLEQVRVYDLSSMSCSYVLAGHTDTILCLDTSISSNGRRLILTGSKDNSVRLWESERRCCIGLGIGHMGAVGAVAFSKKCRDFFVSGSSDRTIKVWNFDGLPDDIEEPISLKAKAVVAAHDKDINSLAVAPNDSLVCSGSQDRTACVWRLPELVLVVKFTGHKRGIWCVEFSPVDQCVITASGDKTVKIWAIADGSCLKTFEGHTSSVLRASFLTRGTQFVSCGADGLVKLWTIKTNECISTYDQHEDKVWALAVGKKTEMLATGGSDAVINLWHDSTAAEKEEAFRKEEEGVLRGQELENALLDAEYTKAIQIALELRRPRKLFELFSELCRLREAGDHIDKALHALGKEEFLLLFEYIREWNTKPKLCHVAQSVLFRAFNILPPTEIIEIKGISELLEGLIPYSQRHFSRIDRLIRSTFLLDYTLLRMSVIEPTTQDDLKTKSLLHSEKNMEDNAEEDQKQVSEEQKPKASGKKRKSHKSGGHSRSHKKVKDSSTHANTAAVPLQA >KJB82235 pep chromosome:Graimondii2_0_v6:13:48216025:48224724:-1 gene:B456_013G183600 transcript:KJB82235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G16750) UniProtKB/TrEMBL;Acc:Q9LFE2] MASATLKKNYRCSQSLQQFYSGGPFVVSSDGSFIACACSESIKIVDSSNASTKFTIEAESGTITALALSPNDKLLFSAGHSRQIKVWDLDAFKCLRSWKGHDGPVMGMACHGSGGLLATSGADRKVLVWDVDGGFCTHYFKGHKGVVTSIMFHPDVNKSLLFSGSDDTTVRVWDLLAKKCVAVLEKHFSAVSSMAVSEDGWTLLSAGRDKVVNLWDLHDYRCKSTIPTYEVLEAVCIVYSGSQFASSLGAFLQQSGKKKSRSTEIYFITAGERGIVRIWTSEGAVCLYEQKSSDVTVSSAEDEDSTRGFTSAVTLPLDQGLLCVTADQQFLFYSPAEHLEEKWSLKLTKRLVGFNDEIVDMKFLDEEEQYLAVATNLEQVRVYDLSSMSCSYVLAGHTDTILCLDTSISSNGRRLILTGSKDNSVRLWESERRCCIGLGIGHMGAVGAVAFSKKCRDFFVSGSSDRTIKVWNFDGLPDDIEEPISLKAKAVVAAHDKDINSLAVAPNDSLVCSGSQDRTACVWRLPELVLVVKFTGHKRGIWCVEFSPVDQCVITASGDKTVKIWAIADGSCLKTFEGHTSSVLRASFLTRGTQFVSCGADGLVKLWTIKTNECISTYDQHEDKVWALAVGKKTEMLATGGSDAVINLWHDSTAAEKEEAFRKEEEGVLRGQELENALLDAEYTKAIQIALELRRPRKLFELFSELCRKREAGDHIDKALHALGKEEFLLLFEYIREWNTKPKLCHVAQSVLFRAFNILPPTEIIEIKGISELLEGLIPYSQRHFSRIDRLIRSTFLLDYTLLRMSVIEPTTQDDLKTKSLLHSEKNMEDNAEEDQKQVSEEQKPKASGKKRKSHKSGGHSRSHKKVKDSSTHANTAAVPLQA >KJB83273 pep chromosome:Graimondii2_0_v6:13:55645132:55648693:-1 gene:B456_013G238800 transcript:KJB83273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDAELAIPVIEFRTGSRDLERGTEGWHHLCKRVREACETYGCFEVVYEKISREVREETFELLNELVEVPLERKQLNVNPKPYHSYFGPCSQASLYEGFGIEDASNYDSVNNFAQLMWPDGHPRFSQTINTMVKQMEELNNIIWLMIIESYGLGEKWESLMMRYNMLLRMMKYMAPSLGEYKRGLLAHTDKPASTIICDDQISGLEIEVKDDEWIKLSTSPNSFVFLVGDPLMAWSNGRMKAAKHRVMMSGVKDRYSIAAFTIPVEGTIIRAPKELIDDQHPQLFKDFDFMDFLFFSFSEQVKLIDSPDQIYAFASLSLPISDQKHGS >KJB80183 pep chromosome:Graimondii2_0_v6:13:12427074:12427787:1 gene:B456_013G085100 transcript:KJB80183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPNEYGIFHHCTFQNKIIPQTCDIAPPSINLQLTIDFVFVSVHHDCLADIYTTTDRLSLRQTFPFNLHILENYFIHEILQRGRRMPHWVLSRGINCKVLPLRSVIQACIVEHYNDGILMGRALAEPASEFENSNYGMVPAKESLVKEMLERVRVEARDEEDCMICLEVLEVEFDASRMPCSHTFHGDCIEKWLKQSHYCPICRFEMPAN >KJB81627 pep chromosome:Graimondii2_0_v6:13:41833310:41834735:-1 gene:B456_013G153100 transcript:KJB81627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSDTESGGGPNNASNADLSSPKEQDRFLPIANVSRIMKKALPANAKISKEAKETVQECVSEFISFITGEASDKCQKEKRKTINGDDLLWAMTTLGFEDYVEPLKVYLQRFREMEGEKTAVARDKDAPLAGGVGAAAAAGSSGMYGMMVHQQHQGHVYGSGGFHQMGRGPR >KJB82325 pep chromosome:Graimondii2_0_v6:13:49106078:49106328:1 gene:B456_013G189700 transcript:KJB82325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAPSWADQWGFEGIDGSNKSQGQCGFPRAKTTAFMGAKKLKLGASKAIKWVKNKSQKKKTPNEYTNR >KJB80426 pep chromosome:Graimondii2_0_v6:13:16368680:16371267:1 gene:B456_013G096500 transcript:KJB80426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETSALLLHVTWLSIPVIQKRRANRSQENQDKKLDFTDNIGLTTLLAFAAILTTSIDQVILDCGFVGNSSFNGKTWVGDATFFPAYAFHARTTIGRVVSNETGEVPVNPYSTARFFPSSFTYSFPMSPGLKFIRLHFYPSSYSGIRKISEAEFSVTAGDYTLLNSFKPSVMATTLKSPFVTKDYFFNLKKPIVNITFAPSLEDSDSFAFVNGIEIYSMPLKLSDYGVNGTSSNATEILYRINVGVNSQNEPFWNGLDDQYLQAGSINGTLIEAYDDHIDYNKSPWTLSEYGAPWDLYSKARTIGGSDEAANVGYNLTWTFSIDSGFSYIVRLHFCEIQLFVTEVNQRVFKVYINNKTVESSLDIVAMAGGPLVAMHKDYTITVPESKEGGTELWLALQPNVDSNPKLADVILNGIEIMKLSDTRNNLAAHVLQVENEDRTGSIPTSNIFLGMVIGLVVGISAVSLIVYMLSHRFLLRYNVNVRKASSLISKSSEYCRQFPLGEIKAATNNFSEARLLGYGGFGKVYEGLLDDGITKVAVKRKNPESNQGLQEFKTEVELLSTFRHMNIVSLLGYCEEESELILVYDYMANGTLRDHLYQTQNPPLSWTRRLKICIGAARGLHYLHTGTEHSIIHRDIKSTNILLDQEWVAKVSDFGLSKVGKISGSNRSQISPGPKGTFGYLDPEYGRNRTLTRKSDIYSFGVVLFEVLCARPAVNPEPNEDDQTKVSLARWALHCYGSEKIDVLIEPYLRGKIKSECLVTFVEIAVRCLASRQMDRPSISDVLGKLEQALVLQENAVDDLNVQIKDA >KJB81053 pep chromosome:Graimondii2_0_v6:13:33158086:33163537:-1 gene:B456_013G127500 transcript:KJB81053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETNLQVSVKSIFEDVLQQQGARSCNIYLDSRKSDESSLRRYEAAGWLRRTVGVVGGKDLPAEPSEEEFMHGLRSGIILCNVLNKVQPGSVPKVVEGACDSVILSDGAAFSAYQYFENVRNFRVAIQEMGLPTFETSDLEQGGKSSRVVNCVLALKSYSEWKQSGGIGTWKYSANSKPAGFGIAKSFSRKRSESMNSSFSEKSLDSFCSDQSDSIEAGSVSSFGILVRAALSDKKQEEIPMIVESMINKLSEELESRLATHKELIKITAKAMEESATGHSLSQTASCDDKAEGVEVKASSAEEMVGDESSSIESERKELYDYKSDANEEPMQHVLKLHALVERKRRDIQELKHCLCSTKTCMQVLQMTYQEDVFNLGKRLCSLANAASGYQRVLEENRKLYNQVQDLKGNIRVYCRVRPFKPGQSNTLSSVDHMDERTITILTHSKNGKEARKAFTFNKVFGPSVTQAEVFSDMQPLVRSVLDGYNVCIFAYGQTGSGKTHTMTGPKELTEEGLGVNYRALSDLFHISNQRKETISYDISVQMLEIYNEQVRDLLSADGVNKRLEIRNSSHNGINVPDANLVPVSLTSDVINLMNIGHKNRSVCSTALNDRSSRSHSCLTVHVQGRDLTSGNILRGSMHLVDLAGSERVDKSEVVGNRLKEAQHINKSLSALGDVISSLASRSSHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEYEALGETISTLKFAERVATVELGAAKVNKDSGEVKELKEQIASLKAALARKEGDPENLPGSPERNRSKLGSSPSLLKWKSLSDLSCSTVNTEYESSSTSRRDSLESRETLVNPSHWQPLGSPLSSSFTNEDDRDSEASGDWVDKVMVNKHDNMSTRPGKEVSNGQKLSDKFYQSYIPDPTKIYPEHSLNKMTTNKKGNQDFDDDSDRESVTSDCSESDSVSSSIPKITSSPNMFASKQKKPQPKTTAKSTEIRSSIPSLIPSPSSMRKSPSGVNLNLQKGKRKTGLSK >KJB83691 pep chromosome:Graimondii2_0_v6:13:57480822:57481626:1 gene:B456_013G259600 transcript:KJB83691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKHDGKCVVCDSLVHPCTLVGVCDECNYGSFQSKCVICGGVGISDAYYCKECTQLEKDRDGCPKIVNLESAKTDLYYERKKYGFKKR >KJB82456 pep chromosome:Graimondii2_0_v6:13:50052381:50054179:-1 gene:B456_013G196500 transcript:KJB82456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSNKCLSFEPLENRETMRTSGCDGKNGVWGVEEKGLTLNLDGEEDESNKNSSAVSGCKSGNTKLCSRGHWIPAEDAKLMELVTYYGPQNWNLIAEHLEGRSGKSCRLRWFNQLDPRINKRNFTEEEEEMLLVAHRLHGNKWARIARLFDGRTDNALKNHWHVITARKHREKSGVYKRKKPSSYASRALPKGLGLTIVNSACSASDQSTISSNIDQSAKVSSPVHQMDVSRRCSLFENVATRGIGYVVYQQGLMEAVMSVNRSATSLDSNSEVSAAESVGTNWTSHFISGESCGNGNEKIPFIDFLGVGRAS >KJB83419 pep chromosome:Graimondii2_0_v6:13:56552670:56558821:-1 gene:B456_013G246800 transcript:KJB83419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTLEGQKHYIESFIMSLAVSMRNLDQAFQGAGQKAYPFTSETTFHGPICVVVCNITICLAQTFASKSGVLRHDIHYWLGKDTSQDEAGAAAVKTIELDAALGGRAVQYREVQEQETNKFLSLFKPCIMPREGGVATGFKQVDEDEYKTRLLVCKGKQYVQVPFARSTLNHENIFILDTQSKIFQFNGSNTSIQERAKALEVVQYIRDTYHDGKCDIATIEDGKLMADADSGEFWALFGGFAPLPRKTGARGEDTTTDSHPTKKLLRVEKGKADPIEVDSLTRELLDTDKCYILDCGLEVYVWMGRNTSLDKRKSASSVAEELLRDSDRPKSHLIRVIEGFETVTFRSKFESWPQTTNATVTEEGRGKVAALLQRQGLNVKGLLKAAPEKEEPQAHIDCTGNLQVWRVNGQNKVLLSAADQSKFYSGDCYIFQYSYTEEDKEEHLIGTWFGKQSVEEERASAVSLASKMVESMKFMVAQTCIHEGSEPVLFFLIFQSFILFKGGLSDGYRKYIAEKEIPDETYTEDGVALFRVQGSGPENMQAIQVEAVAPSLNSSYCYILHSGSTVFTWAGGLTSPDDQDLVERQLDLIKPDLQTKPQKEGSESDEFWELLGGKTEYPSQKISSVPEGDPHLFSCTYSKGTLKVMEIYNFTQDDLMTEDIFILDCHSDIFVWVGQLVDTKSKLQALTIGEKFLKQDFLFENLSCETPIYTVMEGSEPPFFTRFFTWDSAKSNMHGNSFQRKLTIMKHGGTPITDKPKRRGTASIGGRSSGAPEKPQRSRSVSFSPDRPRVRGRSPAFNALASRFENPSSRNLSTPPPMVRKVYPKSGTPDSGTKAAAIAALTESFDRPSARETLMPRIVKKAASPAASKATSPEPKTKENSMSSRLESLTIQEDVKEGETEDEEGLPIHPYERLKTSSTDPVTDIDVTKRETYLSATEFKEKFGMKRVEFYKLPKWKQNKLKMGLQLF >KJB81103 pep chromosome:Graimondii2_0_v6:13:33698357:33703072:-1 gene:B456_013G129300 transcript:KJB81103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGYTGIAYNRTIRGVMFDRDRCSIPLLGLSSLLNVAPFLSSSVNFHRDLLGVPRSFPFRQYMRLTFCIDTASQSQGLNSGNPILKTYSIVAVRPLNQNAFDNACEKAELPFRLKLPMRGIYFEITYYDLIVDVHQRRQIISNAKLLLDWTRGKNVILSSAAPSVCEVRGPNDVANLASLLGLSMERAKAAISKNCSLLTNALRRKHFFKEVIRVEVVSSSINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMVAYSSSKGSIRLIDLRQSALCDSHAKLQVFYLF >KJB78950 pep chromosome:Graimondii2_0_v6:13:1993291:1995630:-1 gene:B456_013G026400 transcript:KJB78950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNFRSLNEDSATSQMEILRCPFLRNINEPTNFSFSSALPFPLPVRGAKGPIFEDGPNFDVAFRLFHGQDGVVPLSERSPLHVKKAEPETSPPEFNPLAAKAATISLSSFGLGGPFSFNAFSNKWKNQNGKSKPSKKESSSKGGNSNHEASGNEWLQNGMKFNCPPAVIAARAALAKTAFAKSLRPQSLPTKVLVIGMLGMAANVPLGIWREHTKKFSPSWFVAVHAAVPFIAMLRKSVLMPKTAMAFTIAASILGQVIGSRAERYRLKAVAAKQLHIRESSVSVGAANQLDVVSFKDGYCRKDEWNPVSLQVATPSSSTDMFC >KJB78948 pep chromosome:Graimondii2_0_v6:13:1993497:1994531:-1 gene:B456_013G026400 transcript:KJB78948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVRGAKGPIFEDGPNFDVAFRLFHGQDGVVPLSERSPLHVKKAEPETSPPEFNPLAAKAATISLSSFGLGGPFSFNAFSNKWKNQNGKSKPSKKESSSKGGNSNHEASGNEWLQNGNCPIAKSYRAVTGVLPLVAKVFQPPPGMKFNCPPAVIAARAALAKTAFAKSLRPQSLPTKVLVIGMLGMAANVPLGIWREHTKKFSPSWFVAVHAAVPFIAMLRKSVLMPKTAMAFTIAASILGQVIGSRAERYRLKAVAAKQLHIRESSVSVGAANQLDVVSFKDGYCRKDEWNPVSLQVATPSSSTDMFC >KJB78947 pep chromosome:Graimondii2_0_v6:13:1993291:1995523:-1 gene:B456_013G026400 transcript:KJB78947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNFRSLNEDSATSQMEILRCPFLRNINEPTNFSFSSALPFPLPVRGAKGPIFEDGPNFDVAFRLFHGQDGVVPLSERSPLHVKKAEPETSPPEFNPLAAKAATISLSSFGLGGPFSFNAFSNKWKNQNGKSKPSKKESSSKGGNSNHEASGNEWLQNGNCPIAKSYRAVTGVLPLVAKVFQPPPGMKFNCPPAVIAARAALAKTAFAKSLRPQSLPTKVLVIGMLGMAANVPLGIWREHTKKFSPSWFVAVHAAVPFIAMLRKSVLMPKTAMAFTIAASILGQVIGSRAERYRLKAVAAKQLHIRESSVSVGAANQLDVVSFKDGYCRKDEWNPVSLQVATPSSSTDMFC >KJB78946 pep chromosome:Graimondii2_0_v6:13:1993289:1995716:-1 gene:B456_013G026400 transcript:KJB78946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNFRSLNEDSATSQMEILRCPFLRNINEPTNFSFSSALPFPLPVRGAKGPIFEDGPNFDVAFRLFHGQDGVVPLSERSPLHVKKAEPETSPPEFNPLAAKAATISLSSFGLGGPFSFNAFSNKWKNQNGKSKPSKKESSSKGGNSNHEASGNEWLQNGNCPIAKSYRAVTGVLPLVAKVFQPPPGMKFNCPPAVIAARAALAKTAFAKSLRPQSLPTKVLVIGMLGMAANVPLGIWREHTKKFSPSWFVAVHAAVPFIAMLRKSVLMPKTAMAFTIAASILGQVIGSRAERYRLKAVAAKQLHIRESSVSVGAANQLDVVSFKDGYCRKDEWNPVSLQVATPSSSTDMFC >KJB78949 pep chromosome:Graimondii2_0_v6:13:1993291:1995523:-1 gene:B456_013G026400 transcript:KJB78949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNFRSLNEDSATSQMEILRCPFLRNINEPTNFSFSSALPFPLPVRGAKGPIFEDGPNFDVAFRLFHGQDGVVPLSERSPLHVKKAEPETSPPEFNPLAAKAATISLSSFGLGGPFSFNAFSNKWKNQNGKSKPSKKESSSKVIELRFAECTHFFADSSLELRPETNHHQKYFMFQGGNSNHEASGNEWLQNGNCPIAKSYRAVTGVLPLVAKVFQPPPGMKFNCPPAVIAARAALAKTAFAKSLRPQSLPTKVLVIGMLGMAANVPLGIWREHTKKFSPSWFVAVHAAVPFIAMLRKSVLMPKTAMAFTIAASILGQVIGSRAERYRLKAVAAKQLHIRESSVSVGAANQLDVVSFKDGYCRKDEWNPVSLQVATPSSSTDMFC >KJB80511 pep chromosome:Graimondii2_0_v6:13:18558609:18563238:-1 gene:B456_013G100700 transcript:KJB80511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLGFSWFFCLMIWVTLNCLVGCQKPGAVHVGAVFTFDSVIGRVAKAAMKAAISDINATPTILNGTRLNLIEADANCNAFLGSIEAYQVIEKEVVAFIGPQSSSIAHMISEIANGLQVPLVSYAATDPSLSAKQFPFFVRTVQSDSYQMNAMASLVDFYGWKEVIAIYVDNDYGRNGISALNDELNRRMANAVYKLPLPVRFTQHDIVAVLNQSRLLGPRVYIVHVDPDPGLRIFATAEKLQMMSSNYVWFATDWLSATIDSFASMNRTALSVLQGVVGLRQHIPESNQMKDFISRWRKMQQQGLVKSELNTYGLCAYDTVWTVAHSIDKFINDGNNFTFSLSVKLNDSKTTQMHLEKLKVFDGGAILLDNILNTSFSGLTGPVRFNSDRNIITSGYDVINIDKMAVHIVGFWSNTFGFSVSPPETLQGTKNRHSEIEQKLGKVAWPGGKTKAPRGWVIADDEHPLRIGVPYRASFVDFVTKLNGSHKIAGYCIDVFTEALKFVPYNVPYKFELFGDGQSNPNYGQLVQRVADDVFDAAVGDIAIVKNRTKVVDFSQPYITTGGFFIIAFVIWILEHRVNDAFRGPPRRQLVTMFMFSFSTLFKTNQEVTVSTLGRLVMVVWLFLLMVITSSYTANLTSILTVQQLSSPITGVESLIGNSWPIGYQVGSFAHGYLSDNLNIQRSRLVKLHSPEEYETALRLGPDNGGVAAIVDELSYVELFLSKRTDFGIIGQPFTKSGWGFAFQRDSPLAVDMSTAILKLSETGKLQEIHAKWFCKMGCPGERRGKSEPNQLHLVSFWGLYLLCGLITLVALLIFILRMVRQYARYRRRQMKLCRPSSSVQTTTRCSQVLFNFFDFIDEKEEAIKKMFMQCEVNPVPETPTSTTSTAT >KJB80512 pep chromosome:Graimondii2_0_v6:13:18559959:18562935:-1 gene:B456_013G100700 transcript:KJB80512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLGFSWFFCLMIWVTLNCLVGCQKPGAVHVGAVFTFDSVIGRVAKAAMKAAISDINATPTILNGTRLNLIEADANCNAFLGSIEAYQVIEKEVVAFIGPQSSSIAHMISEIANGLQVPLVSYAATDPSLSAKQFPFFVRTVQSDSYQMNAMASLVDFYGWKEVIAIYVDNDYGRNGISALNDELNRRMANAVYKLPLPVRFTQHDIVAVLNQSRLLGPRVYIVHVDPDPGLRIFATAEKLQMMSSNYVWFATDWLSATIDSFASMNRTALSVLQGVVGLRQHIPESNQMKDFISRWRKMQQQGLVKSELNTYGLCAYDTVWTVAHSIDKFINDGNNFTFSLSVKLNDSKTTQMHLEKLKVFDGGAILLDNILNTSFSGLTGPVRFNSDRNIITSGYDVINIDKMAVHIVGFWSNTFGFSVSPPETLQGTKNRHSEIEQKLGKVAWPGGKTKAPRGWVIADDEHPLRIGVPYRASFVDFVTKLNGSHKIAGYCIDVFTEALKFVPYNVPYKFELFGDGQSNPNYGQLVQRVADDVFDAAVGDIAIVKNRTKVVDFSQPYITTGLVIVAPIHNTKSSAWVFLKPFTADMWCMTAGGFFIIAFVIWILEHRVNDAFRGPPRRQLVTMFMFSFSTLFKTNRKETLAH >KJB80509 pep chromosome:Graimondii2_0_v6:13:18558563:18563528:-1 gene:B456_013G100700 transcript:KJB80509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLGFSWFFCLMIWVTLNCLVGCQKPGAVHVGAVFTFDSVIGRVAKAAMKAAISDINATPTILNGTRLNLIEADANCNAFLGSIEAYQVIEKEVVAFIGPQSSSIAHMISEIANGLQVPLVSYAATDPSLSAKQFPFFVRTVQSDSYQMNAMASLVDFYGWKEVIAIYVDNDYGRNGISALNDELNRRMANAVYKLPLPVRFTQHDIVAVLNQSRLLGPRVYIVHVDPDPGLRIFATAEKLQMMSSNYVWFATDWLSATIDSFASMNRTALSVLQGVVGLRQHIPESNQMKDFISRWRKMQQQGLVKSELNTYGLCAYDTVWTVAHSIDKFINDGNNFTFSLSVKLNDSKTTQMHLEKLKVFDGGAILLDNILNTSFSGLTGPVRFNSDRNIITSGYDVINIDKMAVHIVGFWSNTFGFSVSPPETLQGTKNRHSEIEQKLGKVAWPGGKTKAPRGWVIADDEHPLRIGVPYRASFVDFVTKLNGSHKIAGYCIDVFTEALKFVPYNVPYKFELFGDGQSNPNYGQLVQRVADDVFDAAVGDIAIVKNRTKVVDFSQPYITTGLVIVAPIHNTKSSAWVFLKPFTADMWCMTAGGFFIIAFVIWILEHRVNDAFRGPPRRQLVTMFMFSFSTLFKTNQEVTVSTLGRLVMVVWLFLLMVITSSYTANLTSILTVQQLSSPITGVESLIGNSWPIGYQVGSFAHGYLSDNLNIQRSRLVKLHSPEEYETALRLGPDNGGVAAIVDELSYVELFLSKRTDFGIIGQPFTKSGWGFAFQRDSPLAVDMSTAILKLSETGKLQEIHAKWFCKMGCPGERRGKSEPNQLHLVSFWGLYLLCGLITLVALLIFILRMVRQYARYRRRQMKLCRPSSSVQTTTRCSQVLFNFFDFIDEKEEAIKKMFMQCEVNPVPETPTSTTSTAT >KJB80510 pep chromosome:Graimondii2_0_v6:13:18558571:18563528:-1 gene:B456_013G100700 transcript:KJB80510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIAMPSWDLLKSDSYQMNAMASLVDFYGWKEVIAIYVDNDYGRNGISALNDELNRRMANAVYKLPLPVRFTQHDIVAVLNQSRLLGPRVYIVHVDPDPGLRIFATAEKLQMMSSNYVWFATDWLSATIDSFASMNRTALSVLQGVVGLRQHIPESNQMKDFISRWRKMQQQGLVKSELNTYGLCAYDTVWTVAHSIDKFINDGNNFTFSLSVKLNDSKTTQMHLEKLKVFDGGAILLDNILNTSFSGLTGPVRFNSDRNIITSGYDVINIDKMAVHIVGFWSNTFGFSVSPPETLQGTKNRHSEIEQKLGKVAWPGGKTKAPRGWVIADDEHPLRIGVPYRASFVDFVTKLNGSHKIAGYCIDVFTEALKFVPYNVPYKFELFGDGQSNPNYGQLVQRVADDVFDAAVGDIAIVKNRTKVVDFSQPYITTGLVIVAPIHNTKSSAWVFLKPFTADMWCMTAGGFFIIAFVIWILEHRVNDAFRGPPRRQLVTMFMFSFSTLFKTNQEVTVSTLGRLVMVVWLFLLMVITSSYTANLTSILTVQQLSSPITGVESLIGNSWPIGYQVGSFAHGYLSDNLNIQRSRLVKLHSPEEYETALRLGPDNGGVAAIVDELSYVELFLSKRTDFGIIGQPFTKSGWGFAFQRDSPLAVDMSTAILKLSETGKLQEIHAKWFCKMGCPGERRGKSEPNQLHLVSFWGLYLLCGLITLVALLIFILRMVRQYARYRRRQMKLCRPSSSVQTTTRCSQVLFNFFDFIDEKEEAIKKMFMQCEVNPVPETPTSTTSTAT >KJB82120 pep chromosome:Graimondii2_0_v6:13:47002219:47003549:1 gene:B456_013G177300 transcript:KJB82120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVVLLDFWPSPFGMRSRIALAEKGIKYEYKEEDLRNKSALLLQMNPVHKKIPVLIHNGKPVCESLIQVQYIDEVWHDKAPLLPSDPYQKATGRFWADYVDKKIYDLGSRVWKTKGEEQATAKKEFIETLKLLEKELGDKPYFGGESLGYVDVAFIPFYSWFYAYEKCGNFSIEAECPKLIAWAKRCTQKESVAKSLPDQQKVYDFILEMKKRFGIE >KJB83049 pep chromosome:Graimondii2_0_v6:13:54630662:54632696:-1 gene:B456_013G227000 transcript:KJB83049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSNPPPGSPKENPDPNPEANPFSNDDSSLESIVRRFQDSMSSVKRHKFWETQPVGQFKDVGDTSLPDGSIELPTPLSEVKQEPYNLPSPYEWTTCDMDSEETCTEVYILLKNNYVEDDENLFRFNYSKEFLRWALRPPGYYKSWHIGVRAKASKKLVAFITGVPARIRVRNEIVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIEVGFSRLGARMTMSRTIKLYKLPDSPVTPGFRKMELRDVSAVTRLLRNYLSQFVVSPDFDENDVQHWLLPTEDVVDSYLVESPETHDITDFCSFYTLPSSILGNQNYSILKAAYSYYNVSTKTPLLQLMNDALIVAKRKDFDVFNALDVMHNESFLKELKFGPGDGQLHYYLYNYRIRNALRPSELGLVLL >KJB81722 pep chromosome:Graimondii2_0_v6:13:43444123:43446050:-1 gene:B456_013G158800 transcript:KJB81722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMDSRRVLVGRNIMFFFLICVFGWSLKLSEAQMVPALFVFGDSQVDVGNNNHLFFSIAKANFPHNGVDFPTKKATGRYSNGKNAADFLAEKLGLSSSPAYLSLSSNTHASYMNGVSFASGGSGILNTSSQVLGQSIPLSDQVNNFLSVHKVMLQKMGTDGLEKHFSKSLFAIVIGSNDILNYFESEDEKDTPEHLVNLMVINLKAEIKKLYGFGVRKILVSGVGAIGCVPAQRAKHSTHECNEEVNMWVVKYNEGLKEVLKGLKSELPGLNYAFLDLYGIMQKVIRDPSAHGFKEVRAACCGLGELRAKIPCLPFSSYCPNRADHVFWDLYHPTEAMSRIVADTVFDGPSEYCVPMNVRQLIAS >KJB83124 pep chromosome:Graimondii2_0_v6:13:54917788:54923577:-1 gene:B456_013G230700 transcript:KJB83124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVETTNPSMWLSFPHVVVGTLSSFLFGYHLGVVNEPLESISLDLGFTGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCALPMIIGASTSATTKTLAGMLIGRFLVGTGMGIGPSAVALYVTEVSPASVRGTYGSFIQIATCLGLMVALFIGIPVKEIAGWWRICFWVSTIPAGFLVVAMMFCAESPHWLYKQGRIAEAEAEFERLLGGSHVKYAMVELAKLDRGDDADTVKLSELFYGRHFRVVYIGSTLFALQQLSGINAVFYFSSSVFKSAGVPSDVANAFIGVANLSGSIIAMLLMDKLGRKMLLLWSFFGMAISMLVQVAAANTFVSGSASLYLSVGGMLMFVLTFALGAGPVPSLLLPEIFPSRIRAKAMAICMSVHWVINFFLGLLFLRLLEQLGPQLLYSMFASVCMMAVVFVKKNVIETKGKSLQEIEVALLPQE >KJB83123 pep chromosome:Graimondii2_0_v6:13:54918989:54922468:-1 gene:B456_013G230700 transcript:KJB83123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEREGRAFSMYKRMPSRDRSDVMDVEENSAFSQDSMDVETTNPSMWLSFPHVVVGTLSSFLFGYHLGVVNEPLESISLDLGFTGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCALPMIIGASTSATTKTLAGMLIGRFLVGTGMGIGPSAVALYVTEVSPASVRGTYGSFIQIATCLGLMVALFIGIPVKEIAGWWRICFWVSTIPAGFLVVAMMFCAESPHWLYKQGRIAEAEAEFERLLGGSHVKYAMVELAKLDRGDDADTVKLSELFYGRHFRVVYIGSTLFALQQLSGINAVFYFSSSVFKSAGVPSDVANAFIGVANLSGSIIAMLLMDKLGRKMLLLWSFFGMAISMLVQVAAANTFVSGSASLYLSVGGMLM >KJB83125 pep chromosome:Graimondii2_0_v6:13:54917788:54923645:-1 gene:B456_013G230700 transcript:KJB83125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEREGRAFSMYKRMPSRDRSDVMDVEENSAFSQDSMDVETTNPSMWLSFPHVVVGTLSSFLFGYHLGVVNEPLESISLDLGFTGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCALPMIIGASTSATTKTLAGMLIGRFLVGTGMGIGPSAVALYVTEVSPASVRGTYGSFIQIATCLGLMVALFIGIPVKEIAGWWRICFWVSTIPAGFLVVAMMFCAESPHWLYKQGRIAEAEAEFERLLGGSHVKYAMVELAKLDRGDDADTVKLSELFYGRHFRVVYIGSTLFALQQLSGINAVFYFSSSVFKSAGVPSDVANAFIGVANLSGSIIAMLLMDKLGRKMLLLWSFFGMAISMLVQVAAANTFVSGSASLYLSVGGMLMFVLTFALGAGPVPSLLLPEIFPSRIRAKAMAICMSVHWVINFFLGLLFLRLLEQLGPQLLYSMFASVCMMAVVFVKKNVIETKGKSLQEIEVALLPQE >KJB81378 pep chromosome:Graimondii2_0_v6:13:38723965:38727863:1 gene:B456_013G142000 transcript:KJB81378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFKKSSTRIGGDEQHLDVKISACRNIENGPNRTGK >KJB82661 pep chromosome:Graimondii2_0_v6:13:51853712:51856330:1 gene:B456_013G207600 transcript:KJB82661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGFESKAKEMVMLADKMRQKLLSGTSSQTSGTNDEDMGSKEEMQDWLLSVGIISPVTKESAGALYHQQLSPQLADFVRIPLERAGGMINLMDAYCLFNRARGTELAKV >KJB80718 pep chromosome:Graimondii2_0_v6:13:39906636:39908467:1 gene:B456_013G145600 transcript:KJB80718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARTVKDVSPHEFVKAYAAHLKRSGKIELPPWTDIVKTGKLKELPPYDPDWYYVRAASMARKIYLRGGLGVGAFRRIYGGSSGSVARHILQQLQNVNIIDIDPKGGRRITSNGQRDLDQVAGRIAVAI >KJB80717 pep chromosome:Graimondii2_0_v6:13:39906703:39907263:1 gene:B456_013G145600 transcript:KJB80717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARTVKDVSPHEFVKAYAAHLKRSGKIELPPWTDIVKTGKLKELPPYDPDWYYVRAASMARKIYLRGGLGVGAFRRIYGGAKRNGSRPRHFCKSSGSVARHILQQLQNVNIIDIDPKG >KJB80716 pep chromosome:Graimondii2_0_v6:13:39906636:39907369:1 gene:B456_013G145600 transcript:KJB80716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARTVKDVSPHEFVKAYAAHLKRSGKIELPPWTDIVKTGKLKELPPYDPDWYYVRAASMARKIYLRGGLGVGAFRRIYGGAKRNGSRPRHFCKSSGSVARHILQQLQNVNIIDIDPKG >KJB80715 pep chromosome:Graimondii2_0_v6:13:39906557:39908467:1 gene:B456_013G145600 transcript:KJB80715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARTVKDVSPHEFVKAYAAHLKRSGKIELPPWTDIVKTGKLKELPPYDPDWYYVRAASMARKIYLRGGLGVGAFRRIYGGAKRNGSRPRHFCKSSGSVARHILQQLQNVNIIDIDPKGGRRITSNGQRDLDQVAGRIAVAI >KJB82856 pep chromosome:Graimondii2_0_v6:13:53709599:53711255:-1 gene:B456_013G217000 transcript:KJB82856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQFFLRSPSHQTLTVTLESTQSLTLRQLKSSLLPNPQSLSSFYFTLNGKPLSDSTLLPNPQISHLSTLFLLPRVFGGGGDGGATGAESRDCYLKMYAVKKPDKVDPNERRLGKWLNCALSNEPLREPCVIDKLGNIFNKEALVEALLGKKLPKEFRHVKGLKDMINVKLSMIPGKQSDAADGATFQCPITGLEFNGKYKFFALRNCGHVVSAKALKEVKSSACLVCHKEFVESDKMVINGSEEEMAALRERMEEEKSKIVKEKKKRGIDVVDGKKDCGKLEGNEKLENGKKLNNGGVKKFRATDVAPANATKEVYASLFTSSKKSDFKETFTCRSLPLGRN >KJB82930 pep chromosome:Graimondii2_0_v6:13:54114966:54116582:-1 gene:B456_013G221100 transcript:KJB82930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLLFTSWRTDSWPSYALTLLACVLASAFYQYLEYVRYRVRRGRKPTEGTAEEPFLLRSKGAGMVGKIAGGVLFGLSSALGYLLMLAVMSFNGGVFLAVILGLTVGYLVFSGEDDDQTAGLNSTCACA >KJB82929 pep chromosome:Graimondii2_0_v6:13:54114966:54115991:-1 gene:B456_013G221100 transcript:KJB82929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHMTFYWSRQVTLLFTSWRTDSWPSYALTLLACVLASAFYQYLEYVRYRVRRGRKPTEGTAEEPFLLRSKGAGMVGKIAGGVLFGLSSALGYLLMLAVMSFNGGVFLAVILGLTVGYLVFSGEDDDQTAGLNSTCACA >KJB79117 pep chromosome:Graimondii2_0_v6:13:2610636:2613226:1 gene:B456_013G034000 transcript:KJB79117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLPSLVLAFLVIVLQVLDSAVICNGGKTSTYVRKVEKTVDMPLDSDVFRVPPGYNAPQQVHLTQGDHVGKAVIVSWVTEDEPGSSTVVYWSENSKEKKKAKGKFNTYRFFNYTSGFIHHCTIRNLEYNTKYYYVVGVDHTMRKFWFTTPPEVGPNVPYTFGLIGDLGQSFDSNRTLTHYEQNPVKGEAVLFVGDLSYADNYPNHDNVRWDTWGRFTERVVAYQPWIWTAGNHEIDFAPEIGETEPFKPYMHRYHVPYQASNSTAPFWYSIKRASAYIIVLSSYSAYGKYTPQYKWLEEELPKVNRSETPWLIVLMHSPWYNSYNYHYMEGETMRVMYEPWFVQYKVDVVFAGHVHAYERTERISNIAYNIVNGLCTPVKDQSAPVYITIGDGGNIEGLANNMTEPQPAYSAYREASFGHAVFDIKNKTHAYYSWHRNHDGYAVEADSMWFFNRYWHPVDESSASQ >KJB78619 pep chromosome:Graimondii2_0_v6:13:862835:865982:1 gene:B456_013G012700 transcript:KJB78619 gene_biotype:protein_coding transcript_biotype:protein_coding description:PrfB3 [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/TrEMBL;Acc:A0A178VAE2] TYESAVLGFSNHTQCLSYFSLISASVFSHQKWRQKLCFEEALLLQLHGNLLLQHIKGLKLITFYTSLFELLLIPLMIRTKLTSNLKIEDVILRAEMLAPTALELEEARRIKQEEMIRDYDLWNDPVKSSEILVKLADSVKVVDALKDLKYKAEEAKLIAQLAETDAVNYSLFEQAYDASLAISDLLDKYEVSRLLRGAYEMEGACVIIKANSEGNKSEVWAEQLLSMYMKWAKKQGYRGRVVEKDLSTNGGIKSATIEFEFEYAYGFLSGETGVHRMIRSQNDSVHNEVSSVGINVVPLFLGTTPDLQISDDDLILSSVLSLGGKQSRTGYTVCVQHIPTSLTFQSSGERSYFANQIKALNRLKAKLLVVANEQGVSDVSSINTAAMVDMWQKETRRYMFHPSKLVRDVKTGLELPDLNSVLDGNIEALIVAHINTRQSNFTT >KJB78617 pep chromosome:Graimondii2_0_v6:13:862927:865891:1 gene:B456_013G012700 transcript:KJB78617 gene_biotype:protein_coding transcript_biotype:protein_coding description:PrfB3 [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/TrEMBL;Acc:A0A178VAE2] MAAEAVFRRSPSASTPWKSPSSTYKRSKTNHLLHFTIRASSHSIDDKNKAYKQLGLFSLKKKIEDVILRAEMLAPTALELEEARRIKQEEMIRDYDLWNDPVKSSEILVKLADSVKVVDALKDLKYKAEEAKLIAQLAETDAVNYSLFEQAYDASLAISDLLDKYEVSRLLRGAYEMEGACVIIKANSEGNKSEVWAEQLLSMYMKWAKKQGYRGRVVEKDLSTNGGIKSATIEFEFEYAYGFLSGETGVHRMIRSQNDSVHNEVSSVGINVVPLFLGTTPDLQISDDDLILSSVLSLGGKQSRTGYTVCVQHIPTSLTFQSSGERSYFANQIKALNRLKAKLLVVANEQGVSDVSSINTAAMVDMWQKETRRYMFHPSKLVRDVKTGLELPDLNSVLDGNIEALIVAHINTRQSNFTT >KJB78618 pep chromosome:Graimondii2_0_v6:13:862833:866044:1 gene:B456_013G012700 transcript:KJB78618 gene_biotype:protein_coding transcript_biotype:protein_coding description:PrfB3 [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/TrEMBL;Acc:A0A178VAE2] TYESAVLGFSNHTQCLSYFSLISASVFSHQKWRQKLCFEEALLLQLHGNLLLQHIKGLKLITFYTSLFELLLIPLMIRTKLTSNLKKIEDVILRAEMLAPTALELEEARRIKQEEMIRDYDLWNDPVKSSEILVKLADSVKVVDALKDLKYKAEEAKLIAQLAETDAVNYSLFEQAYDASLAISDLLDKYEVSRLLRGAYEMEGACVIIKANSEGNKSEVWAEQLLSMYMKWAKKQGYRGRVVEKDLSTNGGIKSATIEFEFEYAYGFLSGETGVHRMIRSQNDSVHNEVSSVGINVVPLFLGTTPDLQISDDDLILSSVLSLGGKQSRTGYTVCVQHIPTSLTFQSSGERSYFANQIKALNRLKAKLLVVANEQGVSDVSSINTAAMVDMWQKETRRYMFHPSKLVRDVKTGLELPDLNSVLDGNIEALIVAHINTRQSNFTT >KJB78616 pep chromosome:Graimondii2_0_v6:13:862833:865982:1 gene:B456_013G012700 transcript:KJB78616 gene_biotype:protein_coding transcript_biotype:protein_coding description:PrfB3 [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/TrEMBL;Acc:A0A178VAE2] MLAPTALELEEARRIKQEEMIRDYDLWNDPVKSSEILVKLADSVKVVDALKDLKYKAEEAKLIAQLAETDAVNYSLFEQAYDASLAISDLLDKYEVSRLLRGAYEMEGACVIIKANSEGNKSEVWAEQLLSMYMKWAKKQGYRGRVVEKDLSTNGGIKSATIEFEFEYAYGFLSGETGVHRMIRSQNDSVHNEVSSVGINVVPLFLGTTPDLQISDDDLILSSVLSLGGKQSRTGYTVCVQHIPTSLTFQSSGERSYFANQIKALNRLKAKLLVVANEQGVSDVSSINTAAMVDMWQKETRRYMFHPSKLVRDVKTGLELPDLNSVLDGNIEALIVAHINTRQSNFTT >KJB80345 pep chromosome:Graimondii2_0_v6:13:14967419:14968326:1 gene:B456_013G092900 transcript:KJB80345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANRTWGFFFMVKFLSLCLIFRLTGSQSPPAYWNAAHATFYGDMNGTETMMGACGYGDLIKQGYGLETTALSTALFNNGLTCGACFEIRCYDSVQWCLNDTIIVTATNFCPPNYSKPEGNWCNPPLQHFDLSQPMFRKIAVYRAGIVPVLYRRVPCVKSGAGDVVDVKIKGSSTSWIQMSRNWGQNWQTSTNLIGQSLSFQVTTSDGKMVQSDDVAPADWKFGGVYEGKQF >KJB80344 pep chromosome:Graimondii2_0_v6:13:14967345:14968326:1 gene:B456_013G092900 transcript:KJB80344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANRTWGFFFMVKFLSLCLIFRLTGSQSPPAYWNAAHATFYGDMNGTETMMGACGYGDLIKQGYGLETTALSTALFNNGLTCGACFEIRCYDSVQWCLNDTIIVTATNFCPPNYSKPEGNWCNPPLQHFDLSQPMFRKIAVYRAGIVPVLYRRVPCVKSGGVKFKINGSQYWILILVYNVAGAGDVVDVKIKGSSTSWIQMSRNWGQNWQTSTNLIGQSLSFQVTTSDGKMVQSDDVAPADWKFGGVYEGKQF >KJB80416 pep chromosome:Graimondii2_0_v6:13:16197587:16198580:-1 gene:B456_013G096200 transcript:KJB80416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACCCCLGIRDPEQHVSPFISLNSVIHALRSKFTGVRSNEQSDSPASNSQVSAPLDSNAAFNNIITHTARVLHSEADTTPSYEYDRIEGREDYRLNHTEHASIGNKSAGVQCESQLKFSHDKPETEVGCGYGSLEDENVCPTCLEDYIPENPRIVLQCSHTYHLGCIYEWMERSENCPICGKVICFYKVLQLLHFLF >KJB78576 pep chromosome:Graimondii2_0_v6:13:518827:520918:1 gene:B456_013G007800 transcript:KJB78576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKNYGHQHPLLMLNQEQLLGNGNGVVDCSRCGEKVSAPCFSCVECCGFYLHKTCAQAPLELNHPFHRHHPLVLLQNPPSSYTRCKFIYHCSCGLDFHIKCALFTFNIAERNLKELEHVALEDPSFSPKNDGGNLGKCFACWEPLARYTYFSLDCGFNLHKKCAELPLKLNHLCHRKHPLVLQFNSERLSCKTCLVTQERGFVYGCSPCELAIHIDCASPLPVIEDHQHSFTLFWRQNPFICDACGTEGHHVAFTCGTCSIMVHKKCISLPRIIQQACHVHRVFHTFFFHKEYFESLNCILCYEVVDTEHGSYFCADCNVIFHVNCALEEKACYCIVSQENEDDKSLDIPVNSITKVLETNDAGEATVIEHCEHKHYLMLSDKISEHGDKCCDGCLLLISAKFYHCLRCDFFLHKSCAELPKKKLFLKHGCDEEQFSGPKPCILTSDCMFNCGLCRYLSNGFSYKCNECGSHVCLRCLDLLLQDAVKIPGHKHPLLLYFDYEGQCGACGRDFFFAFRCKDCNFQLCKLCVIQPTRVRHKCDEHILALTYDKVNDYAKYHYCDVCEKERDPRHWFYHCETCDTSVHVDCVLGKYSFIKFGGTYSVTIHEHPLTFVKKIHYYPECVTCGKPCEDLSLECAEPGCNYIVHYDC >KJB78820 pep chromosome:Graimondii2_0_v6:13:1434607:1438235:1 gene:B456_013G020800 transcript:KJB78820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSTKAINLASFSSVFPSSLDKLNKPSKGVQNSFWVPRIPLVKAVQSPAESDTGRWAKQRRPQNADGDFFVDHTCIDCDTCRWMAPQVFTRAGGMSAVYKQPTCKQDRLKALQALLSCPTNSIRTEVPPPDILEAQKTFPIPIDEKKLPGVYHCGYHSSKSYGASSYLIIHPDGNILIDSPKFTERLARKIEELGGVCYMFLTHKDDVADHGKWSKRFSCNRILHSGDVKACTADVETKLDGNGPWRLGQDIMLIHTPGHTEGSVCLFYMPLKILFTGDHLLMRESGLDIVAMYNRYSVGTQLDSVKRLLDLDFNWIIPGHGRRIEFKDVGEKNAVLEAFVEEKYAHYSSVKNKW >KJB78819 pep chromosome:Graimondii2_0_v6:13:1434512:1438364:1 gene:B456_013G020800 transcript:KJB78819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSTKAINLASFSSVFPSSLDKLNKPSKGVQNSFWVPRIPLVKAVQSPAESDTGRWAKQRRPQNADGDFFVDHTCIDCDTCRWMAPQVFTRAGGMSAVYKQPTCKQDRLKALQALLSCPTNSIRTEVPPPDILEAQKTFPIPIDEKKLPGVYHCGYHSSKSYGASSYLIIHPDGNILIDSPKFTERLARKIEELGGVCYMFLTHKDDVADHGKWSKRFSCNRILHSGDVEACTADVETKLDGNGPWRLGQDIMLIHTPGHTEGSVCLFYMPLKILFTGDHLLMRESGLDIVAMYNRYSVGTQLDSVKRLLDLDFNWIIPGHGRRIEFKDVGEKNAVLEAFVEEKYAHYSSVKNKW >KJB78672 pep chromosome:Graimondii2_0_v6:13:854148:861591:1 gene:B456_013G012600 transcript:KJB78672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISRAQLLHHPSAYFSRLPHSHSSFSINFRRRKFSVTPPLLCSSSSSSSSSSASTNPSIVGDLLNYLNESWTQFHATAEAKRQLIAAGFHLLNENDEWDLRPGGRYFFTRNMSCLVAFAVGEKYISGNGFHVIAAHTDSPCLKLKPKSASSKSNYLMLNVQTYGGGLWHTWFDRDLSVAGRVIVRASDGSFLHKLVKVKRPLLRVPTLAIHLNRTVNTDGFKPNLETQLVPLLATKPEEAFPESKEKSSSSPKAAHHPLLMQILSDELGCDVDDIVNIELNICDTQPSCLGGANNEFIFSGRLDNLASSYCALRALVDSCGSPGDLSSEHAIRMIALFDNEEVGSDSYQGAGAPTMFQAMRRIAGSLANSYAGESAFDRAIRQSFLVSADMAHGVHPNFMDKHEEHHRPEMHKGLVIKHNANQRYATSGVTAFLFKEVAKMHNLPTQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSVREVCGKEDIDIAYKHFKAFYQTFSSIDRKLIVD >KJB78673 pep chromosome:Graimondii2_0_v6:13:853944:861569:1 gene:B456_013G012600 transcript:KJB78673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISRAQLLHHPSAYFSRLPHSHSSFSINFRRRKFSVTPPLLCSSSSSSSSSSASTNPSIVGDLLNYLNESWTQFHATAEAKRQLIAAGFHLLNENDEWDLRPGGRYFFTRNMSCLVAFAVGEKYISGNGFHVIAAHTDSPCLKLKPKSASSKSNYLMLNVQTYGGGLWHTWFDRDLSVAGRVIVRASDGSFLHKLVKVKRPLLRVPTLAIHLNRTVNTDGFKPNLETQLVPLLATKPEEAFPESKEKSSSSPKAAHHPLLMQILSDELGCDVDDIVNIELNICDTQPSCLGGANNEFIFSGRLDNLASSYCALRALVDSCGSPGDLSSEHAIRMIALFDNEEVGSDSYQGAGAPTMFQAMRRIAGSLANSYAGESAFDRAIRQSFLVSADMAHGVHPNFMDKHEEHHRPEMHKGLVIKHNANQRYATSGVTAFLFKEVAKMHNLPTQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSVREVCGKEDIDIAYKHFKAFYQTFSSIDRKLIVD >KJB83579 pep chromosome:Graimondii2_0_v6:13:57108133:57114806:1 gene:B456_013G254000 transcript:KJB83579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCERLANLALAGLTLAPLVVKVDPNLNVILTACLAVYVGCYRSVKPTPPLETMSKEHAMRFPFIGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGTVALSATILPAMKRFLPKHWNEDLIIWRFPYFRSLEIEFTRSQIIAAIPGSFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLTGLFVYDILWVFFTPVMVSVAKSFDAPIKLLFPTADSARPFSMLGLGDIVIPGIFVALALRFDASRGKESQYFKSAFSGYTVGLVLTIIVMNWFQAAQPALLYIVPAVMGFLALHCIWNREVKPLLEFDESNTEIKSQEGSTDEYSKKVE >KJB83581 pep chromosome:Graimondii2_0_v6:13:57108133:57115468:1 gene:B456_013G254000 transcript:KJB83581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCERLANLALAGLTLAPLVVKVDPNLNVILTACLAVYVGCYRSVKPTPPLETMSKEHAMRFPFIGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGTVALSATILPAMKRFLPKHWNEDLIIWRFPYFRSLEIEFTRSQIIAAIPGSFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLTGLFVYDILWVFFTPVMVSVAKSFDAPIKLLFPTADSARPFSMLGLGDIVIPGIFVALALRFDASRGKESQYFKSAFSGYTVGLVLTIIVMNWFQAAQPALLYIVPAVMGFLALHCIWNREVKPLLEFDESNTEIKSQEGSTDEYSKKVE >KJB83580 pep chromosome:Graimondii2_0_v6:13:57108075:57114806:1 gene:B456_013G254000 transcript:KJB83580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCERLANLALAGLTLAPLVVKVDPNLNVILTACLAVYVGCYRSVKPTPPLETMSKEHAMRFPFIGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGTVALSATILPAMKRFLPKHWNEDLIIWRFPYFRSLEIEFTRSQIIAAIPGSFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLTGLFVYDILWVFFTPVMVSVAKSFDAPIKLLFPTADSARPFSMLGLGDIVIPGIFVALALRFDASRGKESQYFKSAFSGYTVGLVLTIIVMNWFQAAQLLWDSWLFTVYGTEKSNRYWNLMNQILKLNLKKAALTNIARRLNEVIERQAKPL >KJB83582 pep chromosome:Graimondii2_0_v6:13:57108402:57114437:1 gene:B456_013G254000 transcript:KJB83582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCERLANLALAGLTLAPLVVKVDPNLNVILTACLAVYVGCYRSVKPTPPLETMSKEHAMRFPFIGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGTVALSATILPAMKRFLPKHWNEDLIIWRFPYFRSLEIEFTRSQIIAAIPGSFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLTGLFVYDILWVFFTPVMVSVAKSFDAPIKLLFPTADSARPFSMLGLGDIVIPGIFVALALRFDASRGKESQYFKSAFSGYTVGLVLTIIVMNWFQAAQPALLYIVPAVMGFLALHCIWNREVKPVFIGI >KJB80862 pep chromosome:Graimondii2_0_v6:13:29567924:29568157:1 gene:B456_013G118600 transcript:KJB80862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLFILLLINADLPLPPVMVARTDDTEDSSMFMNIGFSISFLINSIRKMHISFCCVNAHVSFLFMAENIQGIFLSI >KJB78423 pep chromosome:Graimondii2_0_v6:13:3811037:3813803:-1 gene:B456_013G043900 transcript:KJB78423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKENKKIRKEEVIAKLKDDGDFDKLRLKIILKLKDNVQLHNNIISAVKQSAALNRLGSENMKVRQLSNAIHDEVWNKMIGQISDSLWEIIRSEGSTRTEITEIVVSHRNNNKTFENSQGRDVKIETHQKYPIPNK >KJB79718 pep chromosome:Graimondii2_0_v6:13:7105256:7107555:-1 gene:B456_013G063800 transcript:KJB79718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGEGGFGQVFKGWIDEKALGKSGSATLVAVKKLNSESLQGFEEWQSEVNFLGRLSHPHLVRLLGYCWEDKELLLVYEFMQKGSLENHLFGRGSSVQSLEWNIRIKIAIGAAKGLSFLHSSDKKVIYRDFKASNILLDGSYTAKLSDFGLAKLGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDPNRPSGQHNLSEWIKPYLSDRRKLKSIMDNRLEGKYPSKAAVRIAQLALKCLEPEPKYRPSMKEVVETLEQIESINDNPKEPRNRTARQTTRRHRQQPLHHRSPLAPKNETGRAN >KJB79719 pep chromosome:Graimondii2_0_v6:13:7105256:7108955:-1 gene:B456_013G063800 transcript:KJB79719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICWGSSADNPTTPSTTGHLSSVISQTASNTASYSTSRGSNISRDSGFSASSGDEAFPNGQILSAPNLRIFSFAELKTATKNFRPDMVLGEGGFGQVFKGWIDEKALGKSGSATLVAVKKLNSESLQGFEEWQSEVNFLGRLSHPHLVRLLGYCWEDKELLLVYEFMQKGSLENHLFGRGSSVQSLEWNIRIKIAIGAAKGLSFLHSSDKKVIYRDFKASNILLDGSYTAKLSDFGLAKLGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDPNRPSGQHNLSEWIKPYLSDRRKLKSIMDNRLEGKYPSKAAVRIAQLALKCLEPEPKYRPSMKEVVETLEQIESINDNPKEPRNRTARQTTRRHRQQPLHHRSPLAPKNETGRAN >KJB78907 pep chromosome:Graimondii2_0_v6:13:1814970:1816022:-1 gene:B456_013G024800 transcript:KJB78907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSMNHTISLEELKLFHTIDRAIFSRLVWNLQRQPFESMHVMALFLWLENYSCTPGLHLVYNIHPWSDPLINALADEAVQCLICLNTDEFPFSHFHDDKCTIPLIRKLTGNEISLRFFHENRVEIVRRITQLVRDICLRAFDDIMKLIHDPLIMPVYSEKKMGNQQNLKPNLGFLIGAQRNNEMEDLWKGIHNINIKSLEENNGKKKENKQVEADDRTVFLTFSKGYSVSEAEVRDFFTRKFGKIFEGIEMQEVVEGEQPLYAKLVVKYGSDIEMILNGKQKAKFSINGKHVWARKYVRKNHKSSPSKVESHHLQ >KJB80437 pep chromosome:Graimondii2_0_v6:13:17313631:17314704:1 gene:B456_013G097500 transcript:KJB80437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIVSITVLCFTFLAPQTPCTSLQIKQSSNEQAKGVHLSQYPTLPRKLRFTEEVVAFKGNEAHHSITNTKQKEHASGKAYQKEKGTVHASRGTRQEWMESGTDISQYFTMDYSHVRRRRPIHNKSLPVVP >KJB82631 pep chromosome:Graimondii2_0_v6:13:51663566:51664982:-1 gene:B456_013G206000 transcript:KJB82631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVYYGVVKPNSILVATVNGFGAVLELVYVIIFLIFAPPRARAITAVLFGVLDVVLPIAAVLITQLSCNREMQITIAGFLSLLFSVLTYGSPLSIMKTVVRTKSVDYMPFLLSFILFINGLTWTVYAVLTRDWFIGIPNGSGFVLGTAQLVLYAMYWKPEKSKRTSSDDGCQTENLIAHSAPLLDKNESRAEA >KJB82630 pep chromosome:Graimondii2_0_v6:13:51663470:51665134:-1 gene:B456_013G206000 transcript:KJB82630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLVTIFGLLGNITTGLVYLAPVKTFWHIVQRRSTEEFESLPYVVKLLNGYMWVYYGVVKPNSILVATVNGFGAVLELVYVIIFLIFAPPRARAITAVLFGVLDVVLPIAAVLITQLSCNREMQITIAGFLSLLFSVLTYGSPLSIMKTVVRTKSVDYMPFLLSFILFINGLTWTVYAVLTRDWFIGIPNGSGFVLGTAQLVLYAMYWKPEKSKRTSSDDGCQTENLIAHSAPLLDKNESRAEA >KJB80210 pep chromosome:Graimondii2_0_v6:13:12858742:12860963:-1 gene:B456_013G086300 transcript:KJB80210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTKKGSKTTYEIQFPACLSYINLVLQNTQNQRMNLVLSTDAKPRLKWTPELHQRFVDAVNQLGGPDKATPKSVMRVMGIPGLTLYHLKSHLQKYRLGKSLGDNTDYKEMQSSNGNFIRDTSDGIHGHMNESLQIPQALQMQMEVQQKLNEQIEVQRHLQLRIEAQGKYLQTVLKKAQETLAGYSSSSAGAELARAELSQLVSMVNTGCTSSSFSELTEVGGSSIIERKPMRGTICSMGSSLTSSESSGRNDKAPLENGNICTQNVEFSLMDIHPEKKPLISCASNQANGKKRSVSKVSDGVCVEQPLAKRLELPEEETGGCLRKSGFLELFDLNSQCHNDIESGPKAIDLNCRE >KJB80211 pep chromosome:Graimondii2_0_v6:13:12858939:12860892:-1 gene:B456_013G086300 transcript:KJB80211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVMGIPGLTLYHLKSHLQKYRLGKSLGDNTDYKEMQSSNGNFIRDTSDGIHGHMNESLQIPQALQMQMEVQQKLNEQIEVQRHLQLRIEAQGKYLQTVLKKAQETLAGYSSSSAGAELARAELSQLVSMVNTGCTSSSFSELTEVGGSSIIERKPMRGTICSMGSSLTSSESSGRNDKAPLENGNICTQNVEFSLMDIHPEKKPLISCASNQANGKKRSVSKVSDGVCVEQPLAKRLELPEEETGGCLRKSGFLELFDLNSQCHNDIESGPKAIDLNCRE >KJB80209 pep chromosome:Graimondii2_0_v6:13:12858256:12860963:-1 gene:B456_013G086300 transcript:KJB80209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTKKGSKTTYEIQFPACLSYINLVLQNTQNQRMNLVLSTDAKPRLKWTPELHQRFVDAVNQLGGPDKATPKSVMRVMGIPGLTLYHLKSHLQKYRLGKSLGDNTDYKEMQSSNGNFIRDTSDGIHGHMNESLQIPQALQMQMEVQQKLNEQIEVQRHLQLRIEAQGKYLQTVLKKAQETLAGYSSSSAGAELARAELSQLVSMVNTGCTSSSFSELTEVGGSSIIERKPMRGTICSMGSSLTSSESSGRNDKAPLENGNICTQNVEFSLMDIHPEKKPLISCASNQANGKKRSVSKVSDGVCVEQPLAKRLELPEEETGGCLRKSGFLELFDLNSQCHNDIESGPKAIDLNCRE >KJB80844 pep chromosome:Graimondii2_0_v6:13:29048251:29050436:-1 gene:B456_013G117700 transcript:KJB80844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRQAGRYWCYMCSRVVNPTTEPEIQCPLCDSGFLEEVGSIRSHSNINHAAIDTESPNSLSLWAPIVLGLMGSSSQLRIASRDQVTQDDELGREVESLIRRRTSSSALPLRMLQGMRTVAAASESENGGNGSGRMILFDPINDEALVVQGSFDVTNRVQTHNPSHRSAGSFGDYIMGPGWDLLLQYLSENDPNRHGTLPAWKEAVEAMPTVTVNGNVQCAICLEDIEIGNEAKEMPCEHKFHDGCIIPWLELHNSCPVCRFQLPLDDSKTEPNGNRNSGRIGTGRRYWIPIPWPYDGLVTLPGSQSESTSPPSLEAIPGSENAAQGDDN >KJB80845 pep chromosome:Graimondii2_0_v6:13:29048337:29050078:-1 gene:B456_013G117700 transcript:KJB80845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRQAGRYWCYMCSRVVNPTTEPEIQCPLCDSGFLEEVGSIRSHSNINHAAIDTESPNSLSLWAPIVLGLMGSSSQLRIASRDQVTQDDELGREVESLIRRRTSSSALPLRMLQGMRTVAAASESENGGNGSGRMILFDPINDEALVVQGSFDVTNRVQTHNPSHRSAGSFGDYIMGPGWDLLLQYLSENDPNRHGTLPAWKEAVEAMPTVTVNGNVQCAICLEDIEIGNEAKEMPCEHKFHDGCIIPWLELHNSCPVCRFQLPLDDSKTEPNGNRNSGRIGTGRRYWIPIPWPYDGLVTLPGSQSESTSPPSLEAIPGSENAAQGDDN >KJB82027 pep chromosome:Graimondii2_0_v6:13:45936307:45937464:1 gene:B456_013G172600 transcript:KJB82027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTASDFSISSLCYFLRVHRTDPSLPSPDSSISVIFHHCSGLPVLSPCVVPLPSNMVVHHTSVLPTPIYVPLYWLLLRLVS >KJB83358 pep chromosome:Graimondii2_0_v6:13:56265217:56267900:-1 gene:B456_013G243200 transcript:KJB83358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRKVYSEIKGMKLKEVPGYFKPMLSMGYLKKSVQRGLDNYHAKYIETDSIEPLYHVCFGGMIFSYLVALPNERRHLEHKQHAEQHGHH >KJB78650 pep chromosome:Graimondii2_0_v6:13:759971:760906:-1 gene:B456_013G011100 transcript:KJB78650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEISGITKISEIMEESSIDDVRSSNSSLISSANDTMKNCSNINQSANHNEEYRQGTYEKRSLTETGEEENGRNIDLNLELTLIDSPPEDCLSTNQCLDTSSTSPMATSRMLPNQQDSSGEEGEFSNTTSEEPSLVVMGCSRCFMYVMACEINPKCAKCRTSDYLVDVIHDNPPKKPRNV >KJB79909 pep chromosome:Graimondii2_0_v6:13:8427885:8429164:-1 gene:B456_013G071600 transcript:KJB79909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPFYDKNGMKKGEWSAEEDHKLRSYIQRYGHWNWRELPKYAGLKRCGKSCRLRWMNYLRPELKRGNFTEEEDALIIKLHDEMGNRWSTIAKSFPGRTDNEIKNQWHAHLKKRTKRDEKEKSDCWQSEATRNENICEGEGEGEDESNSILVDTLDNMILESSPLSPATCTRIEQSSFSSGRGPMFSFNVVGGLEDNCLPCLGTYKNEGSGDFWSEPFVADNTSSLEKGGFEMLLEYEDMYHDDSAYLRYEFTQGWI >KJB80213 pep chromosome:Graimondii2_0_v6:13:12972108:12978336:1 gene:B456_013G086500 transcript:KJB80213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERLHTWMVPLKTIEFNAGNGRLVNFIMDNRISRLGSFHRYLRQSTVVLMEAKVANVTDPKVVGIEMY >KJB82494 pep chromosome:Graimondii2_0_v6:13:50775093:50777255:-1 gene:B456_013G198600 transcript:KJB82494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNSTAKTLVKTFLRTPHSLKTKFQAKQLLAQFLKTQPYSPSSTSILISVYSNFNLLHPSLFLFNSLNSPPLLAWKSIIKCYANSGHFLNSLTCFVQMRSFGIYPDPNMFPFVLKACVFLKNLRLGESIHGCIIRLGLDFDLFTGNALLNMYAKFQSLQPNGGHKVFAFNVFDGMPKSDELCGTSAQEKGASIIQLDRMTKVLEMMPKRDVVSWNTVIAGNAQNGMYEEALRMVREMGNANMKPDSFTLSSVLPIFAEYVDVIKGKEIHGYAIRHWFDSNCYIASSLIDMYANCARIEDSCGVFNLLSKRDDISWNSIIAACVQNGVFDEGLKLFRQMLTAEVKPRDVTFSSIMPACTYLTTLHLGKQLHGYIIRGGFNDNMFIASSLVDMYAKCGNIKAARWIFNQMEHHDMVSWTAIIMGYALHGHAHDALLLFKQMEMDGVKPNYVSYIAVFTACSHAGLPEEAWRYFNSMSRNHGIKPGLEHYAAMADLLGRAGKLEEAYEFIGSMHIAPTGSIWSTLLSACRVHKNLELAEKVANEIFKVDPENVGAYVLMSNMYAAARRWKDAAKMRIFMKKKGIRKEPACSWIEVKNRVHTFISGDKSHPLYDRIFEALKDLLEQIEREGYIPDTNEDFHDVDEEQKKYMIFSHSERLALAFGIISTPAGTTIRITKNIRVCVDCHTAIKLISKVVQREIIVRDNSRFHHFKDGKCSCRDYW >KJB81979 pep chromosome:Graimondii2_0_v6:13:45722722:45725054:1 gene:B456_013G170200 transcript:KJB81979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIYLQASCIISFLFSIIISILWFFTEPILIFFHQQPDIAKKADAYLKYQIPAVFAFGLIQNVLRFLQTQSIVMPLVLFSGLPLVLHFGIAYTLVYWSSLGLEGASMAVSISFWISFLLLITYVAFSNKFEHTWEGFSFESFGYIIPDLKLALPSAAMVCLEYWAFEILVLLAGLMPNSEITTSLVAMCVNTEAIAFNFTYGLSAAASTRVSNELGAEKPEKAKKAMAVTLQLVVLLALIVVLALVFGHDTWAGFFSDSPSIIEDFATMTPFLAISIAVDSFQSVLSGVARGLGWQHMVVVVNLASYYVVGMPIAAIAGFKFKLYAKGLWIGLICGLLSQFAILLVITFHRRWSKIDISAERDEENSVD >KJB81976 pep chromosome:Graimondii2_0_v6:13:45720801:45725054:1 gene:B456_013G170200 transcript:KJB81976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSNSSLDTTALLSEASQGQDQSTETRCLSLRNVLDVEEAKTQILFSLPMILTNVFYYAIALVSVMFAGHLGELDLAGATLANSWANVTGYAFMLGLSGALETLCGQGFGAKTYNMLGIYLQASCIISFLFSIIISILWFFTEPILIFFHQQPDIAKKADAYLKYQIPAVFAFGLIQNVLRFLQTQSIVMPLVLFSGLPLVLHFGIAYTLVYWSSLGLEGASMAVSISFWISFLLLITYVAFSNKFEHTWEGFSFESFGYIIPDLKLALPSAAMVCLEYWAFEILVLLAGLMPNSEITTSLVAMCVNTEAIAFNFTYGLSAAASTRVSNELGAEKPEKAKKAMAVTLQLVVLLALIVVLALVFGHDTWAGFFSDSPSIIEDFATMTPFLAISIAVDSFQSVLSGVARGLGWQHMVVVVNLASYYVVGMPIAAIAGFKFKLYAKGLWIGLICGLLSQFAILLVITFHRRWSKIDISAERDEENSVD >KJB81978 pep chromosome:Graimondii2_0_v6:13:45721650:45725054:1 gene:B456_013G170200 transcript:KJB81978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIYLQASCIISFLFSIIISILWFFTEPILIFFHQQPDIAKKADAYLKYQIPAVFAFGLIQNVLRFLQTQSIVMPLVLFSGLPLVLHFGIAYTLVYWSSLGLEGASMAVSISFWISFLLLITYVAFSNKFEHTWEGFSFESFGYIIPDLKLALPSAAMVCLEYWAFEILVLLAGLMPNSEITTSLVAMCVNTEAIAFNFTYGLSAAASTRVSNELGAEKPEKAKKAMAVTLQLVVLLALIVVLALVFGHDTWAGFFSDSPSIIEDFATMTPFLAISIAVDSFQSVLSGVARGLGWQHMVVVVNLASYYVVGMPIAAIAGFKFKLYAKGLWIGLICGLLSQFAILLVITFHRRWSKIDISAERDEENSVD >KJB81977 pep chromosome:Graimondii2_0_v6:13:45721048:45724940:1 gene:B456_013G170200 transcript:KJB81977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSNSSLDTTALLSEASQGQDQSTETRCLSLRNVLDVEEAKTQILFSLPMILTNVFYYAIALVSVMFAGHLGELDLAGATLANSWANVTGYAFMLGLSGALETLCGQGFGAKTYNMLGIYLQASCIISFLFSIIISILWFFTEPILIFFHQQPDIAKKADAYLKYQIPAVFAFGLIQNVLRFLQTQSIVMPLVLFSGLPLFEHTWEGFSFESFGYIIPDLKLALPSAAMVCLEYWAFEILVLLAGLMPNSEITTSLVAMCVNTEAIAFNFTYGLSAAASTRVSNELGAEKPEKAKKAMAVTLQLVVLLALIVVLALVFGHDTWAGFFSDSPSIIEDFATMTPFLAISIAVDSFQSVLSGVARGLGWQHMVVVVNLASYYVVGMPIAAIAGFKFKLYAKGLWIGLICGLLSQFAILLVITFHRRWSKIDISAERDEENSVD >KJB81993 pep chromosome:Graimondii2_0_v6:13:45789362:45790811:1 gene:B456_013G171200 transcript:KJB81993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSGEKVKAMWDKRLTEIFCDICIKEILKGNRPGTHFIKDGWLKIMTNFEKETGKGFSQRQLKNRWDALKKESKAWKKLKGEDTGLGWNPIKRTVDASDDWWESRLQVVPKAQKFRTSGIDPEFEGKLDQMFMEIVATGDKAWAPSSGTLRSDFFEDVTNEIPKENEEENMRNDVHILNDVYISNDVHMSNDVQIDGNGQKRKNPEISSSHFKTGRKKSSKQIGGAAKLSSQIEKLCNATDNMSQATSSLTPGMDPYDIPQAVKVLDSMSEEVPKASPLYFFALKLLLNKDKQIMFLSINPKIRALWFNSEMEDS >KJB81992 pep chromosome:Graimondii2_0_v6:13:45789230:45790811:1 gene:B456_013G171200 transcript:KJB81992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSGEKVKAMWDKRLTEIFCDICIKEILKGNRPGTHFIKDGWLKIMTNFEKETGKGFSQRQLKNRWDALKKESKAWKKLKGEDTGLGWNPIKRTVDASDDWWESRLQVVPKAQKFRTSGIDPEFEGKLDQMFMEIVATGDKAWAPSSGTLRSDFFEDVTNEIPKENEEENMRNDVHILNDVYISNDVHMSNDVQIDGNGQKRKNPEISSSHFKTGRKKSSKQIGGAAKLSSQIEKLCNATDNMSQATSSLTPGMDPYDIPQAVKVLDSMSEEVPKASPLYFFALKLLLNKDKQIMFLSINPKIRALWFNSEMEDS >KJB78682 pep chromosome:Graimondii2_0_v6:13:833042:836432:-1 gene:B456_013G012200 transcript:KJB78682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQHFIHEHPLSFIKEGNRMKRCKVCWTYLSGPTYGCEPCKYFLHKSCLDEFKEEVQSSFHLCPLRIAHYFKYSFHARSGDCFLCYETITSNFSYICKLSCTFQTHVECAVKPIVEYSDEECTIQHFTHHHPLKLVDSNQKDEVICSFCEELCSSSSSSTYGCMRCEFFLHKSCMKSIPRQLINHRIHPCALIFISSDRYSDTKCDCCGEHIVPGMQFSCGACALNLHIKCAFFPIIDSEDAKEIQHFSHPHTLALVQNDEEYGSEARCVACAQICLAPPAPTFRCSRSCSHFFLHKSCYVKLPYKSYKIKHPSHPDHPLTIKSLPYNDHIRTCDACCRGIDSTLLAYSCREYECKFNLHLDCYKVLASIKFSGHKHLLTLSEKTADVTCHLCGGNCCNFVLRCVPCHINIHLQCFPSAPKTIKHKCHLHPLILTKSHFEYELNSDEEENEFYCDVCEQKRNRKELVYYCVECKFIAEVKCVVDEVLPLIYEDNSFEKEILVGDMSQNVEFYPEIQKWHVENLLLRCIKLELKMKQLQGEMDGVRNEIKKTTGILGNLVGGSSGLLCIESVVN >KJB81270 pep chromosome:Graimondii2_0_v6:13:36042024:36042709:1 gene:B456_013G1352002 transcript:KJB81270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQMKRIENPVHRQVTFCKRRAGLLKKAKELSVLCDAEIGVVIFSAHGKLYELATKGTMQELIERYGKYTGGPPADEPMVEPM >KJB84005 pep chromosome:Graimondii2_0_v6:13:42719456:42723165:-1 gene:B456_013G155100 transcript:KJB84005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MAALSLHNFNHLVSIFSSPSSSSFWNLSFSFKNEVRFKKGKFLVFLSTHSNPKILKSNKKSRFGQRITSYDTDEEEEEDEDEEFDDNDDGMAGDDWLMNDDFAQPHEYVVNGKKIKSRKGSGKEGNRRLQEQRKGSKALKSRQGLIISEDQMDVRHGNDGLKRKSVGNSYHTSTKTKEAGSFDVDGGRMLVSKTSRENRYQRLSDEIDLDEKWFPLLDYLSTFGLKDTHFIQMYERHMPSLQINVCSAQERLDYLLSVGVKQRDVRRILLRQPQILEYTVENNLKSHVAFLMSLGIPSSRIGQIIACAPSLFSYSVENSLKPTVRYLIEEVGINEHDLGKVVQLSPQILVQRIDISWNTRYMFLSKELGAPRDSIVKMVKKHPQLLHYSIDDGLLPRINFLRSIGMRNSDILKVLTSLTQVLSLSLEDNLKPKYLYLINELNNEVHSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLGSLVPSDECFCQQWAGTSLDKYLAFRQRLLLKEFAKKYEK >KJB83351 pep chromosome:Graimondii2_0_v6:13:56184994:56188589:-1 gene:B456_013G242700 transcript:KJB83351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGACTVQQTLTAEAASILKHSLSLARRRGHAQVTPLHVAATLLSTRASLLRRACLKSQQPQLSSSHPLQCRALELCFNVALNRLPTAPGPILHVQPSLSNALVAALKRAQAHQRRGCIEQQQSQQPILTIKVELEQLIISILDDPSVSRVMREAGFSSTVVKANIEDSSSSPSSVFQCYNTTSGSGGIFSSPCSPSQTHFLSYEQNPFFFSPSKKLLTNYIPDSASLKQDIKLVFEVLLRKNKRRNSVIVGDCVSTTESLVSELTSRVEKGDVPDEMKHVRFLKFYFSPMSLKFMKREDVEKHVCDLKRRVDSIVAEGGAIIYTGDLKWTVVEEGEINGYNAADHLVTELGRLISDYYMSNKVWLVATASYQTYLRCQMRQPPLEVQWALQAVSVPTGGLSLSLHASSCHDTKMVFSEMEKKQFEHERLTCCAECSSNYEKDVQSFKSSGGLPPWMHVHGSNNSDSQKDEMVELRRKWNTLCHSLHRGRLNQSQLRSTMYYNNQGYPYGSSFPWWPSQSSGLTDSASISFIDSKPNSVPRFRRQNSCTIELNFGNVSPNLDSFKNTEDKEVKVTLALGSDSPRTSTGLCELLQGNLPWQSEIISSIAEALMGSKKETWLLIEGDDMIGKRRLARVVAEYVLGSPDLLLYMDMKHNNDTTRCNERLKRAFSRDEKVVVMVENVDLADTQCLKLLADRFEGGDGGGSSRSIIILTKSISSSFEEHYAKKDNIVIEMKLNINGSDTNKRKHEWGGSNKTKTPRTEPSSHILDLNMKAADDDEEEEANESGEVSDLTADLHVGFLELIQKRYILNQTESQHKEMKEAFMAKFKRVVEEIFGGENKVSFSIEERVLEGVLVGSSYFVNSLFEKWLKDIFQTGLQNVKKNGGGGGIDEIRLSYGGILEKAMENGYMGSCLPKNIQV >KJB81988 pep chromosome:Graimondii2_0_v6:13:45763302:45764501:-1 gene:B456_013G1708002 transcript:KJB81988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VANVSVSCTQIPLLALEYEPPRTQSFKTVEASLRVDALASAGFKISRSKLVNLISNGDVRVNWTTVTKNGTTLKTGDIVSVSGKGRLKIGEINSTKKGKFSVELIRYL >KJB80294 pep chromosome:Graimondii2_0_v6:13:14526670:14530386:1 gene:B456_013G090500 transcript:KJB80294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWRAAASLLFTHLTKTSFPSLPPKPLFLSLSSSPAFCFLLARPFSALPSQLPIYPSDSENGSPYFSQQNHGFVSQEEEEEIGKIPIKAYFLCTSIDLKSMQAENLSNIVPPSSRSSNYIALRYCDFPPGVTALGMNDKVSSCRYMVIFQYGSAVLFNIEDREVESYLEIVQRHASGLLPEMRRDDYCVKEQPLLAKDMQGGPDYIVVKTLDTDSIRIIGSVLGQSIALDYFVSQVDGMVEEFANINRAMEKTGTFTMDRTKLIKLVGKANSNLADVILKVGLFERSEIAWREAKYAQIYEYLREEYEVTQRFGNLDFKLKFVEHNIHFLQEVIQNRRSDLLEWCIIFLLAIENIIAIYEIVRESTGVSL >KJB80291 pep chromosome:Graimondii2_0_v6:13:14526651:14532103:1 gene:B456_013G090500 transcript:KJB80291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWRAAASLLFTHLTKTSFPSLPPKPLFLSLSSSPAFCFLLARPFSALPSQLPIYPSDSENGSPYFSQQNHGFVSQEEEEEIGKIPIKAYFLCTSIDLKSMQAENLSNIVPPSSRSSNYIALRYCDFPPGVTALGMNDKVSSCRYMVIFQYGSAVLFNIEDREVESYLEIVQRHASGLLPEMRRDDYCVKEQPLLAKDMQGGPDYIVVKTLDTDSIRIIGSVLGQSIALDYFVSQVDGMVEEFANINRAMEKTGTFTMDRTKLIKLVGKANSNLADVILKVGLFERSEIAWREAKYAQIYEYLREEYEVTQRFGNLDFKLKFVEHNIHFLQEVIQNRRSDLLEWCIIFLLAIENIIAIYEIVRESTGVSL >KJB80293 pep chromosome:Graimondii2_0_v6:13:14526670:14530335:1 gene:B456_013G090500 transcript:KJB80293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWRAAASLLFTHLTKTSFPSLPPKPLFLSLSSSPAFCFLLARPFSALPSQLPIYPSDSENGSPYFSQQNHGFVSQEEEEEIGKIPIKAYFLCTSIDLKSMQAENLSNIVPPSSRSSNYIALRYCDFPPGVTALGMNDKVSSCRYMVIFQYGSAVLFNIEDREVESYLEIVQRHASGLLPEMRRDDYCVKEQPLLAKDMQGGPDYIVVKTLDTDSIRIIGSVLGQSIALDYFVSQALKAVIVQVIFYGDLNIVSLHVPQVDGMVEEFANINRAMEKTGTFTMDRTKLIKLVGKANSNLADVILKVGLFERSEIAWREAKYAQIYEYLREEYEVTQRFGNLDFKLKFVEHNIHFLQEVIQNRRSDLLEWCIIFLLAIENIIAIYEIVRESTGVSL >KJB80292 pep chromosome:Graimondii2_0_v6:13:14526670:14530335:1 gene:B456_013G090500 transcript:KJB80292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWRAAASLLFTHLTKTSFPSLPPKPLFLSLSSSPAFCFLLARPFSALPSQLPIYPSDSENGSPYFSQQNHGFVSQEEEEEIGKIPIKAYFLCTSIDLKSMQAENLSNIVPPSSRSSNYIALRYCDFPPGVTALGMNDKVSSCRYMVIFQYGSAVLFNIEDREVESYLEIVQRHASGLLPEMRRDDYCVKEQPLLAKDMQGGPDYIVVKTLDTDSIRIIGSVLGQSIALDYFVSQVDGMVEEFANINRAMEKTGTFTMDRTKLIKLVGKANSNLADVILKVGLFERSEIAWREAKYAQIYEYLREEYEHNIHFLQEVIQNRRSDLLEWCIIFLLAIENIIAIYEIVRESTGVSL >KJB81829 pep chromosome:Graimondii2_0_v6:13:44461760:44465509:1 gene:B456_013G163600 transcript:KJB81829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRNQGGCGCCGGIFSLGMSALFMWLWIHTSNPKCSIQTLSLPSLSSASNLTADPTLSLTLRLVNPNQLKGIKYDPLNVTVYDFPNKSNVIGNILMPGFYQGYRKKATKPGQGTANTTVALRAVSENGTGVFRVDMATAVKFKTLYGYSKRHQIRIGADVVVNASGVKVDRKGIRLRSMAPKMGNSCVVLLGALFNFLLFALLDL >KJB81828 pep chromosome:Graimondii2_0_v6:13:44461760:44465509:1 gene:B456_013G163600 transcript:KJB81828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRNQGGCGCCGGIFSLGMSALFMWLWIHTSNPKCSIQTLSLPSLSSASNLTADPTLSLTLRLVNPNQLKGIKYDPLNVTVYDFPNKSNVIGNILMPGFYQGYRKKATKPGQGTANTTVALRAVSENGTGVFRVDMATAVKFKTLYGYSKRHQIRIGADVVVNASGVKVDRKGIRLRSMAPKMGNSCVVLLGALFNFLLFALLDLCKSYAIKGRMTFCAIDG >KJB83298 pep chromosome:Graimondii2_0_v6:13:55992278:55995803:-1 gene:B456_013G240500 transcript:KJB83298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDAKIEFPVIEFRSLDLERGTDGWHRLCNKVREACETFGCFEVVYDTISTEVREEMFRLMKELVEVPVERKQKNVLPPPNYGWVGPGSHVSSLYEGFALEDVSNYDSVKNFAQLMWPEGHPRFCDIAHTMGTQLEVLNKLIWLMLIDSYGLGDDSLKLNYITIMRMMKYMPPPPGENETGFFPHTDKPVSTLICENQIPGLEIEANNGQWIKLTNLSPSSFVFVVGDPLMAWSNGRLKSAKHRVMIREDKDRFSIAAFVFPNKGTIIKTPKELIDEQHPRVFKDFDFMEFFSFVFSDPARTRDSGQLLYEFAALTPPVSN >KJB82678 pep chromosome:Graimondii2_0_v6:13:52037425:52038893:-1 gene:B456_013G208900 transcript:KJB82678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGRKKRKMGNEEEKDDEDDDEEEKVEKFFALIRSTREMRDRLRSAAVPNGPKEEEYQKKKQEDKAVVGGGGGVGQWNPTFQAEDFMEGSISRSGNNSLGLNVAGPSSSNVQREPEKRTEDDGGEGGGGLDLKLSLSL >KJB82677 pep chromosome:Graimondii2_0_v6:13:52037453:52038669:-1 gene:B456_013G208900 transcript:KJB82677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGRKKRKMGNEEEKDDEDDDEEEKVEKFFALIRSTREMRDRLRSAAVPNGPKEEEYQKKKQEDKAVVGGGGGVGQWNPTFQAEDFMEGSISRSGNNSLGLNVAGPSSSNVQREPEKRTEDDGGEGGGGLDLKLSLSL >KJB81400 pep chromosome:Graimondii2_0_v6:13:39362090:39362838:-1 gene:B456_013G143400 transcript:KJB81400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSSTNPDNKKKLGQGGKRKGKKRVSMRELKAEMAMICEEQNRLREGQKEVHQKFLKIQSKFSLMREQGNSALFFQ >KJB81649 pep chromosome:Graimondii2_0_v6:13:42911328:42913065:-1 gene:B456_013G155500 transcript:KJB81649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSNELSLSVPFHTATSVASAPVEVDVDELNQSGNSNSGMQSGQTDHRVSGSSTISDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYSSGNTMSVQEERFDKVPPLTGRDDKSSNMYGQMAHSIEPNNTADSSPVTANDDNVDDVDDDDPFSKRRKMDGGIDIIPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTSAGCPVRKHIERASHDPKAVITTYEGKHNHDVPTAKTSSHDIAGPVTVNALSRIRSEENDAISLDLGVGISPAPENSSNEHQQLHSELLQSHHQTGGSSFKLHQVTPMTAYYGVPNGGMNQYGSREAPSEGRTVDITPLNHSYAYSQTMGRILTGP >KJB81651 pep chromosome:Graimondii2_0_v6:13:42910998:42915200:-1 gene:B456_013G155500 transcript:KJB81651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQILASHSAAASATQHDLSASAASSGARYKLVAPAKLPISRSACITIPPGLSPSSFLESPVLLSDVKAEPSPTTGSLIKPQAVHGSVNSSTYSVTAACSNAFDERNPSCFEFRPHPRSNLIQGQYQTISYNSSALVKSEMAGSSNELSLSVPFHTATSVASAPVEVDVDELNQSGNSNSGMQSGQTDHRVSGSSTISDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYSSGNTMSVQEERFDKVPPLTGRDDKSSNMYGQMAHSIEPNNTADSSPVTANDDNVDDVDDDDPFSKRRKMDGGIDIIPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTSAGCPVRKHIERASHDPKAVITTYEGKHNHDVPTAKTSSHDIAGPVTVNALSRIRSEENDAISLDLGVGISPAPENSSNEHQQLHSELLQSHHQTGGSSFKLHQVTPMTAYYGVPNGGMNQYGSREAPSEGRTVDITPLNHSYAYSQTMGRILTGP >KJB81648 pep chromosome:Graimondii2_0_v6:13:42910955:42915250:-1 gene:B456_013G155500 transcript:KJB81648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQILASHSAAASATQHDLSASAASSGARYKLVAPAKLPISRSACITIPPGLSPSSFLESPVLLSDVKAEPSPTTGSLIKPQAVHGSVNSSTYSVTAACSNAFDERNPSCFEFRPHPRSNLAPADLNHHRSEQSLQIQGQYQTISYNSSALVKSEMAGSSNELSLSVPFHTATSVASAPVEVDVDELNQSGNSNSGMQSGQTDHRVSGSSTISDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYSSGNTMSVQEERFDKVPPLTGRDDKSSNMYGQMAHSIEPNNTADSSPVTANDDNVDDVDDDDPFSKRRKMDGGIDIIPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTSAGCPVRKHIERASHDPKAVITTYEGKHNHDVPTAKTSSHDIAGPVTVNALSRIRSEENDAISLDLGVGISPAPENSSNEHQQLHSELLQSHHQTGGSSFKLHQVTPMTAYYGVPNGGMNQYGSREAPSEGRTVDITPLNHSYAYSQTMGRILTGP >KJB81650 pep chromosome:Graimondii2_0_v6:13:42910998:42915200:-1 gene:B456_013G155500 transcript:KJB81650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQILASHSAAASATQHDLSASAASSGARYKLVAPAKLPISRSACITIPPGLSPSSFLESPVLLSDVKAEPSPTTGSLIKPQAVHGSVNSSTYSVTAACSNAFDERNPSCFEFRPHPRSNLAPADLNHHRSEQSLQIQGQYQTISYNSSALVKSEMAGSSNELSLSVPFHTATSVASAPVEVDVDELNQSGNSNSGMQSGQTDHRVSGSSTISDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYSSGNTMSVQEERFDKVPPLTGRDDSSPVTANDDNVDDVDDDDPFSKRRKMDGGIDIIPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTSAGCPVRKHIERASHDPKAVITTYEGKHNHDVPTAKTSSHDIAGPVTVNALSRIRSEENDAISLDLGVGISPAPENSSNEHQQLHSELLQSHHQTGGSSFKLHQVTPMTAYYGVPNGGMNQYGSREAPSEGRTVDITPLNHSYAYSQTMGRILTGP >KJB81647 pep chromosome:Graimondii2_0_v6:13:42910955:42914600:-1 gene:B456_013G155500 transcript:KJB81647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSNELSLSVPFHTATSVASAPVEVDVDELNQSGNSNSGMQSGQTDHRVSGSSTISDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYSSGNTMSVQEERFDKVPPLTGRDDKSSNMYGQMAHSIEPNNTADSSPVTANDDNVDDVDDDDPFSKRRKMDGGIDIIPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTSAGCPVRKHIERASHDPKAVITTYEGKHNHDVPTAKTSSHDIAGPVTVNALSRIRSEENDAISLDLGVGISPAPENSSNEHQQLHSELLQSHHQTGGSSFKLHQVTPMTAYYGVPNGGMNQYGSREAPSEGRTVDITPLNHSYAYSQTMGRILTGP >KJB81670 pep chromosome:Graimondii2_0_v6:13:43154572:43156996:1 gene:B456_013G156300 transcript:KJB81670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMLPRMMRKSPKKQIFRHILCPACSLRKLSCVVHDVQLQENRTREHVEKSYLPLFVITFHGCLCKLIHYCSIIQIFIHC >KJB78612 pep chromosome:Graimondii2_0_v6:13:663354:666855:1 gene:B456_013G010100 transcript:KJB78612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRRLAVSPRPCSGRRIVASKKRGRADGFVNSVKKLQRREICSKRHRAFSITDAQERFRNIRLQEEYDTHDPKGHCSMVLPFLRKRSKIIEIVAARDIVFALAQSGVCAAFSRETNQRICFLNVTADEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDRNVQEIKISPGIMLLIFTKVGGHVPLKILSIEDGTVLKSFSHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNAELTEVSKNEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNGSINISNILTGKCLAKIRASNSFPVEEQCSCSDKCGCSSKTQSNASRIRSRVAEALEDITALFYDEERNEIYTGNRYGLVHVWSN >KJB82495 pep chromosome:Graimondii2_0_v6:13:50778823:50779814:-1 gene:B456_013G198700 transcript:KJB82495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGRVDAKGIIYLSNIWMVFVATHPVGNFFDFDMPLLYVHDEKFNQPIFHCNNISGHVESHRALCSTHSSKILFKEGGCRAFVPLFLNLISSQPQMDPLQAAQTPVDEMMRHAYVDPNDPIRIFLQQPVHSRR >KJB82666 pep chromosome:Graimondii2_0_v6:13:51958735:51964072:-1 gene:B456_013G208100 transcript:KJB82666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRKPTTAPATNDPPHLQPGSQVEISSNDPGFRGSWYTGVIIKRPSSKKPNKFLVQYTHLFEDEAGTTPLKETIDAVDIRPLAPRESTRKFKFSEEVDAYYNDGWWEGVITKELENGNFHVYFKRSKEQLEFGEEQLRLHREWINGSWTPPLAGDEQVKEVKFFWSDRAVTEAKMKFNKVVTQEKLKRDNAASKKKSKSVLAGSGEKLGSGKITMDKIFCKGAHVEVTSDEDGFEGAWFAGTIVKVVGKGRYLVQYESLRTDDDTDFLKEEFDDLHIRPCPPEIVMADRFQKLDEVDAFYNDGWWVGVISKVLSDSKYEVYFKATKEEMKFEHSELRLHQDWINGKWVAAFQALKS >KJB82665 pep chromosome:Graimondii2_0_v6:13:51958693:51964072:-1 gene:B456_013G208100 transcript:KJB82665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRKPTTAPATNDPPHLQPGSQVEISSNDPGFRGSWYTGVIIKRPSSKKPNKFLVQYTHLFEDEAGTTPLKETIDAVDIRPLAPRESTRKFKFSEEVDAYYNDGWWEGVITKELENGNFHVYFKRSKEQLEFGEEQLRLHREWINGSWTPPLAGDEQVKESDRAVTEAKMKFNKVVTQEKLKRDNAASKKKSKSVLAGSGEKLGSGKITMDKIFCKGAHVEVTSDEDGFEGAWFAGTIVKVVGKGRYLVQYESLRTDDDTDFLKEEFDDLHIRPCPPEIVMADRFQKLDEVDAFYNDGWWVGVISKVLSDSKYEVYFKATKEEMKFEHSELRLHQDWINGKWVAAFQALKS >KJB82667 pep chromosome:Graimondii2_0_v6:13:51961506:51964072:-1 gene:B456_013G208100 transcript:KJB82667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRKPTTAPATNDPPHLQPGSQVEISSNDPGFRGSWYTGVIIKRPSSKKPNKFLVQYTHLFEDEAGTTPLKETIDAVDIRPLAPRESTRKFKFSEEVDAYYNDGWWEGVITKELENGNFHVYFKRSKEQLEFGEEQLRLHREWINGSWTPPLAGDEQVKESDRAVTEAKMKFNKVVTQEKLKRDNAASKKKSKSVLAGSGEKLGSGKITMDKIFCKGAHVEVTSDEDGFEGAWFAGTIVKVVGKGRYLVQYESLRTDDDTDFLKEEFDDLHIRPCPPEIVMADRFQKLDEVDAFYNDGWWVGVISKVLSDSKYEVYFKATKEEMKFEHSELRLHQDWINGKWVAAFQV >KJB81314 pep chromosome:Graimondii2_0_v6:13:37112436:37113724:1 gene:B456_013G1384001 transcript:KJB81314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVQDYLKTLCPDLQITRGEYDEDTRYPDTKTLTIG >KJB81310 pep chromosome:Graimondii2_0_v6:13:37112436:37112543:1 gene:B456_013G1384001 transcript:KJB81310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVQDYLKTLCPDLQITRGEYDEDTRYPDTKTLTIG >KJB81312 pep chromosome:Graimondii2_0_v6:13:37112436:37113724:1 gene:B456_013G1384001 transcript:KJB81312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVQDYLKTLCPDLQITRGEYDEDTRYPDTKTLTIG >KJB81313 pep chromosome:Graimondii2_0_v6:13:37112436:37112886:1 gene:B456_013G1384001 transcript:KJB81313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVQDYLKTLCPDLQITRGEYDEDTRYPDTKTLTIG >KJB81315 pep chromosome:Graimondii2_0_v6:13:37112436:37113724:1 gene:B456_013G1384001 transcript:KJB81315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVQDYLKTLCPDLQITRGEYDEDTRYPDTKTLTIG >KJB81311 pep chromosome:Graimondii2_0_v6:13:37112436:37112543:1 gene:B456_013G1384001 transcript:KJB81311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVQDYLKTLCPDLQITRGEYDEDTRYPDTKTLTIG >KJB80058 pep chromosome:Graimondii2_0_v6:13:10570139:10571313:1 gene:B456_013G079300 transcript:KJB80058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETQPVPRVGVVLFVLKGKSVLLGRRRASIGESTFALPGGHLEFGESFEECGARELMEETGLEMGKADFLTVTNKVFLDKSKRCHYVIVFLRAVLADPNQVPQNPEPDKCDGWDWYDWDNLPQPLFSTLDEMVRSGFNPFPST >KJB79728 pep chromosome:Graimondii2_0_v6:13:7158567:7164460:-1 gene:B456_013G064200 transcript:KJB79728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAVLVALAAAIGNMLQGWDNATIAGAVLYIKKEFKLESAPTIEGLIVAMSLIGATCITTCSGGISDWLGRRPMLIISSVLYFLSGLVMVWSPNVYILLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSIGMFLSYCMVFGMSLSELPSWRLMLGVLSIPSLAYFGLTVFYLPESPRWLVSKGRMNEAKAVLQRLRGREDVAGEMALLVEGLGVGGETSIEEYIIGPANDDIEDEDISADKDRIKLYGPEAGQSWVARPVTGHGSSIGLASRHGSVVSQSAQRLVDPLVTLFGSIHEKVPEQGSTLFPHFGSMFSMGGNQARHEEWDEEGGATMEGEDYAADGPGNESDDNLHSPLISRQATSMDKDIVPNAHGSLSSLRHGSLMQSTTGEAVGSMGIGGGWQLAWKWTEKETSDGKKEGEFKRIYLHQETVPESRRGSVVSLPGGDVPADTEYVQAAALVSQSALYSSELVKQHPVGPAMVHPAETVKGPSWTDIFEPGVKHALFVGIGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNLGLSSSSASLLISGITTLLMLPSIAVAMRLMDIAGRRSLLLNTLPVLIISLVVLVVASVVKMGSVVHASISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGICIAICALTFWICDIIVTYSLPLLLKSVGLAGVFGMYAVVCVISWVFVFLKVPETKGMPLEVITEFFAVGAKQIAATKDN >KJB79729 pep chromosome:Graimondii2_0_v6:13:7159973:7162269:-1 gene:B456_013G064200 transcript:KJB79729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEMALLVEGLGVGGETSIEEYIIGPANDDIEDEDISADKDRIKLYGPEAGQSWVARPVTGHGSSIGLASRHGSVVSQSAQRLVDPLVTLFGSIHEKVPEQGSTLFPHFGSMFSMGGNQARHEEWDEEGGATMEGEDYAADGPGNESDDNLHSPLISRQATSMDKDIVPNAHGSLSSLRHGSLMQSTTGEAVGSMGIGGGWQLAWKWTEKETSDGKKEGEFKRIYLHQETVPESRRGSVVSLPGGDVPADTEYVQAAALVSQSALYSSELVKQHPVGPAMVHPAETVKGPSWTDIFEPGVKHALFVGIGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNLGLSSSSASLLISGITTLLMLPSIAVAMRLMDIAGRRSLLLNTLPVLIISLVVLVVASVVKMGSVVHASISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGICIAICALTFWICDIIVTYSLPLLLKSVGLAGVFGMYAVVCVISWVFVFLKVPETKGMPLEVITEFFAVGAKQIAATKDN >KJB79727 pep chromosome:Graimondii2_0_v6:13:7158567:7164460:-1 gene:B456_013G064200 transcript:KJB79727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAVLVALAAAIGNMLQGWDNATIAGAVLYIKKEFKLESAPTIEGLIVAMSLIGATCITTCSGGISDWLGRRPMLIISSVLYFLSGLVMVWSPNVYILLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSIGMFLSYCMVFGMSLSELPSWRLMLGVLSIPSLAYFGLTVFYLPESPRWLVSKGRMNEAKAVLQRLRGREDVAGEMALLVEGLGVGGETSIEEYIIGPANDDIEDEDISADKDRIKLYGPEAGQSWVARPVTGHGSSIGLASRHGSVVSQSAQRLVDPLVTLFGSIHEKVPEQGSTLFPHFGSMFSMGGNQARHEEWDEEGGATMEGEDYAADGPGNESDDNLHSPLISRQATSMDKDIVPNAHGSLSSLRHGSLMQSTTGEAVGSMGIGGGWQLAWKWTEKETSDGKKEGEFKRIYLHQETVPESRRGSVVSLPGGDVPADTEYVQAAALVSQSALYSSELVKQHPVGPAMVHPAETVKGPSWTDIFEPGVKHALFVGIGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNLGLSSSSASLLISGITTLLMLPSIAVAMRLMDIAGRR >KJB80348 pep chromosome:Graimondii2_0_v6:13:14999193:15004521:-1 gene:B456_013G093100 transcript:KJB80348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRKGVALAITIVVVGIQAGLSEINRASFPKGFVFGTASAAFQYEGAVKEDGRGPTIWDTFSGIIIDGSNADVAVDQYHRYVEDIQLMKDMGMDAYRFSIAWSRIFPYGTGEINQAGVDHYNNLINALLAKGIEPYVTLYHWDLPQALEDKYIGWLDRRIIKDFALYAETCFEKFGDRVKNWIIFNEPHTFTVQGYYSGYEAPGRCSSLLCKVGNSTTEPYIVAHNVLLSYATVADSYRRNYKEKQNGSIGISLDVKWYEPVTNATENIEATQRAQDFQLGWFLDPLIFGDYPSSMRSRVGNRLPTFTESESALLKGSLDFVGINHYTTYYASENATNSIFDLLNDCVSDANAFAIPFQDGKPIGDKANSIWLYIVPYGMRSVMKYIKEKYGNPLVIITENGMDDPNNLLTPIKDALKDEKRIKYHTDYLTNLLAAIEEDGCNVKGYFVWSLLDNWEWVAGFTSRFGLYFVDYNDNLKRYPKNSVKWFKNFLSSARS >KJB80349 pep chromosome:Graimondii2_0_v6:13:15001431:15004368:-1 gene:B456_013G093100 transcript:KJB80349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRKGVALAITIVVVGIQAGLSEINRASFPKGFVFGTASAAFQYEGAVKEDGRGPTIWDTFSGIIIDGSNADVAVDQYHRYVEDIQLMKDMGMDAYRFSIAWSRIFPYGTGEINQAGVDHYNNLINALLAKGIEPYVTLYHWDLPQALEDKYIGWLDRRIIKDFALYAETCFEKFGDRVKNWIIFNEPHTFTVQGYYSGYEAPGRCSSLLCKVGNSTTEPYIVAHNVLLSYATVADSYRRNYKEKQNGSIGISLDVKWYEPVTNATENIEATQRAQDFQLGWFLDPLIFGDYPSSMRSRVGNRLPTFTESESALLKGSLDFVGINHYTTYYASENATNSIFDLLNDCVSDANAFAIPFQDGKPIGDKKIRYRFRIAGKFYMVIHCTLWHEKCNEIHQGEVWKPFSHYHRKWNG >KJB78382 pep chromosome:Graimondii2_0_v6:13:45545759:45547940:-1 gene:B456_013G1693002 transcript:KJB78382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDEYFNMDDQKWDEMIKEAVQHGYLKDTKECEEILEDMLSWDKLLPDEMKKKVEERFNELGDMCERGELEVEEAYEQFKEFEDQMVMEYGKLMEAEGPPKFDETAVPDLKKNLDDPPGEGPILRWQTRVVFAPGGDAWHPKNRKVKMSVTVKELGLSKHQFRRLRELVGKRYHPGKDELTITSERFEHREENRKDCLRTLFSLIEEAGKANKMVEEARTKYVKNRLRANPAFMERLRAKTMKLQESRTSHA >KJB78381 pep chromosome:Graimondii2_0_v6:13:45545608:45548039:-1 gene:B456_013G1693002 transcript:KJB78381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FESDFDNLYSTDEEIENLYSARDIVVKRMVKDEYFNMDDQKWDEMIKEAVQHGYLKDTKECEEILEDMLSWDKLLPDEMKKKVEERFNELGDMCERGELEVEEAYEQFKEFEDQMVMEYGKLMEAEGPPKFDETAVPDLKKNLDDPPGEGPILRWQTRVVFAPGGDAWHPKNRKVKMSVTVKELGLSKHQFRRLRELVGKRYHPGKDELTITSERFEHREENRKDCLRTLFSLIEEAGKANKMVEEARTKYVKNRLRANPAFMERLRAKTMKLQESRTSHA >KJB78383 pep chromosome:Graimondii2_0_v6:13:45545608:45548024:-1 gene:B456_013G1693002 transcript:KJB78383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FESDFDNLYSTDEEIENLYSARDIVVKRMVKDEYFNMDDQKWDEMIKEAVQHGYLKDTKECEEILEDMLSWDKLLPDEMKKKVEERFNELGDMCERGELEVEEAYEQFKEFEDQMVMEYGKLMEAEGPPKFDETAVPDLKKNLDDPPGEGPILRWQTRLGLSKHQFRRLRELVGKRYHPGKDELTITSERFEHREENRKDCLRTLFSLIEEAGKANKMVEEARTKYVKNRLRANPAFMERLRAKTMKLQESRTSHA >KJB82821 pep chromosome:Graimondii2_0_v6:13:53579735:53585314:1 gene:B456_013G215800 transcript:KJB82821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDCGHKAQYMSGQREKFVRLDDLDSRSSSPSAAGLKNCGFNIEGLGRSGHANNTTSRSFKRGIRKGSEGLKSIGRSLGFGVSRVVFPEDLKVSEKKIFDPQDKFLLLCNKLFFISCILAVSVDPLFFFLPVINDPEKCLTIDKSLAVTATTLRTIIDAFYLIRMALQFRTAYIAPSSRVFGRGELVIDPARIAKRYMLQYFFLDFLAVLPLPQIVVWRFLHGSNGSDVLATKQALFFIVLFQYIPRFLRVIPLTSEMKRTTGVFAETAWAGAAYYLLLYMLCSHIVGAFWYWVAVERNDTCWQKACKDIGRDKCNTNFLYCGNQHMEGYGVWNNTKDTVLKEKCPADDNIDNPPFDFGIFTHALSSGIVSSTKFFSKYCYCLWWGLQNLSTLGQGLETSTYPGEVIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRIKRRDSEQWMHHRMLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLALVRRVPLFESMDERLLDAICERLKPCLFTESTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKTGASLPTSTRTVKALTEVEAFALIAEELKFVAGQFRRLHSRQVQHTFRFHSQQWRTWAACFIQAAWRRYSKRKIMEELRRKEEEEEAAAAGSDGTRNNSGGGSYSIGATFLASKFAANALRGIHRNRNAKSAKELVKLQKPPEPDFTAEDGD >KJB82822 pep chromosome:Graimondii2_0_v6:13:53581706:53585254:1 gene:B456_013G215800 transcript:KJB82822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIVVWRFLHGSNGSDVLATKQALFFIVLFQYIPRFLRVIPLTSEMKRTTGVFAETAWAGAAYYLLLYMLCSHIVGAFWYWVAVERNDTCWQKACKDIGRDKCNTNFLYCGNQHMEGYGVWNNTKDTVLKEKCPADDNIDNPPFDFGIFTHALSSGIVSSTKFFSKYCYCLWWGLQNLSTLGQGLETSTYPGEVIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRIKRRDSEQWMHHRMLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLALVRRVPLFESMDERLLDAICERLKPCLFTESTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKTGASLPTSTRTVKALTEVEAFALIAEELKFVAGQFRRLHSRQVQHTFRFHSQQWRTWAACFIQAAWRRYSKRKIMEELRRKEEEEEAAAAGSDGTRNNSGGGSYSIGATFLASKFAANALRGIHRNRNAKSAKELVKLQKPPEPDFTAEDGD >KJB82820 pep chromosome:Graimondii2_0_v6:13:53579111:53585314:1 gene:B456_013G215800 transcript:KJB82820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDCGHKAQYMSGQREKFVRLDDLDSRSSSPSAAGLKNCGFNIEGLGRSGHANNTTSRSFKRGIRKGSEGLKSIGRSLGFGVSRVVFPEDLKVSEKKIFDPQDKFLLLCNKLFFISCILAVSVDPLFFFLPVINDPEKCLTIDKSLAVTATTLRTIIDAFYLIRMALQFRTAYIAPSSRVFGRGELVIDPARIAKRYMLQYFFLDFLAVLPLPQIVVWRFLHGSNGSDVLATKQALFFIVLFQYIPRFLRVIPLTSEMKRTTGVFAETAWAGAAYYLLLYMLCSHIVGAFWYWVAVERNDTCWQKACKDIGRDKCNTNFLYCGNQHMEGYGVWNNTKDTVLKEKCPADDNIDNPPFDFGIFTHALSSGIVSSTKFFSKYCYCLWWGLQNLSTLGQGLETSTYPGEVIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRIKRRDSEQWMHHRMLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLALVRRVPLFESMDERLLDAICERLKPCLFTESTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKTGASLPTSTRTVKALTEVEAFALIAEELKFVAGQFRRLHSRQVQHTFRFHSQQWRTWAACFIQAAWRRYSKRKIMEELRRKEEEEEAAAAGSDGTRNNSGGGSYSIGATFLASKFAANALRGIHRNRNAKSAKELVKLQKPPEPDFTAEDGD >KJB80550 pep chromosome:Graimondii2_0_v6:13:19418570:19419643:-1 gene:B456_013G103100 transcript:KJB80550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVEQNNYTNINLHTIGKQLDYIESLVESQLIRKELVREITEKSSKEPIFTPYEIPKPFQKSQNDFLTEIQNRLHALESYKSELISLETPIQGQHSVNTLHQSSQSDSDQSDEQQINKMTWKEPKRLYYSKTTAPDLNIEEKHVFQNKYIVNTIYEWNIDGMSEYNILSLLQQMTMISNVYKTQNQNRLTSDHAIANLLVVGFTSQLKGWWDYALTKTRQEELLKAIKKDDQGRIILDEQGRKIQDAVATLIFSISKHFVGDPSHLKDRNSELLSNLKCKKLTDFKWYKDVFITRVMQRSDNQQPFWKEKFLVGLPTLLGEKVKNQIRENYRSIIPYEKLTYGELISFTQKEGFKFK >KJB83444 pep chromosome:Graimondii2_0_v6:13:56636812:56640203:1 gene:B456_013G247800 transcript:KJB83444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSISQQSSNALRGFRVQAPLVDSVSCYCKVDSGLKTVAEARKFVPGSKLCIQPDINPNAHKSKNSRRERTRVQPPLLPGLPDDLAIACLIRVPRAEHRKLRVVCKRWYRLLAGNFFYSLRKSLGMAEEWVYVFKRDRDGKISWNAFDPMHQLWQPLPPVPREYSEAVGFGCAVLSGCHLYLFGGKDRQRGSMRRVIFYSARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIHRTLRSAEVYDPNKNRWSFVQDMSTAMVPFIGVVYDGKWFVKGLGSHREVMSEAYDPESNSWSPVSGGMISGWRNPSISLNGQLYALDCRDGCKLRVYDGATDSWNKFIDSKLHLGNSRVLEAAGLVPLNGKLCIIRNNMSISLVDVSSPDKQVESNPQLWENIGGRGHFRTLFTNIWSSIAGRSGLRSHIVHCQVLQA >KJB83445 pep chromosome:Graimondii2_0_v6:13:56637915:56640223:1 gene:B456_013G247800 transcript:KJB83445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEWVYVFKRDRDGKISWNAFDPMHQLWQPLPPVPREYSEAVGFGCAVLSGCHLYLFGGKDRQRGSMRRVIFYSARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIHRTLRSAEVYDPNKNRWSFVQDMSTAMVPFIGVVYDGKWFVKGLGSHREVMSEAYDPESNSWSPVSGGMISGWRNPSISLNGQLYALDCRDGCKLRVYDGATDSWNKFIDSKLHLGNSRVLEAAGLVPLNGKLCIIRNNMSISLVDVSSPDKQVESNPQLWENIGGRGHFRTLFTNIWSSIAGRSGLRSHIVHCQVLQA >KJB83532 pep chromosome:Graimondii2_0_v6:13:56972092:56976883:-1 gene:B456_013G252200 transcript:KJB83532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAIPAMTKTLLEDVKKIFKTTTGTPFMIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLKFNVDVVESEWGQGANLDVLAEKLAADRTHTIKAICIVHNETATGVTNNLATVRKLLDHYGHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGIGIVCASPKALEATKTAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRAALDLIFEEGLDNIIERHSRLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVLVPPYIDSSEIVKRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAASAYLQNNIPMIPSRI >KJB83533 pep chromosome:Graimondii2_0_v6:13:56972316:56976883:-1 gene:B456_013G252200 transcript:KJB83533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLLFCILGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLKFNVDVVESEWGQGANLDVLAEKLAADRTHTIKAICIVHNETATGVTNNLATVRKLLDHYGHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGIGIVCASPKALEATKTAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRAALDLIFEEGLDNIIERHSRLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVLVPPYIDSSEIVKRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAASAYLQNNIPMIPSRI >KJB79257 pep chromosome:Graimondii2_0_v6:13:3598734:3602380:-1 gene:B456_013G042400 transcript:KJB79257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDLSFFSKDSFILKLPKKSPLVLRMVVLLFVMVCGVYIFSICLKQISTSSTSEFLNIGVIQKPCPEPNIEPWEIPYVHYPNPKTFSRAECACNPVRYFAIMSMQRSGSGWFETLLNNHTNISSNGEVFSVKVRRTNVSTIVETLDKVYNLDWFTSASKNECTAAVGLKWMLNQGLMQYHKEIVEYFNARGVSAIFLFRRNLLRRMISILANSYDQNAKLLNGTHKSHVHSPLEADILASYKPVINATLLVPNIREVEETTKKALEYFKSTRHIILYYEDVVKNRTKLAEVQEFLKVPKRELSSRQVKIHKGSLSKHIQNWNDVEKALNGTQYESYLYGDYRR >KJB79258 pep chromosome:Graimondii2_0_v6:13:3598893:3602204:-1 gene:B456_013G042400 transcript:KJB79258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMQRSGSGWFETLLNNHTNISSNGEVFSVKVRRTNVSTIVETLDKVYNLDWFTSASKNECTAAVGLKWMLNQGLMQYHKEIVEYFNARGVSAIFLFRRNLLRRMISILANSYDQNAKLLNGTHKSHVHSPLEADILASYKPVINATLLVPNIREVEETTKKALEYFKSTRHIILYYEDVVKNRTKLAEVQEFLKVPKRELSSRQVKIHKGSLSKHIQNWNDVEKALNGTQYESYLYGDYRR >KJB79130 pep chromosome:Graimondii2_0_v6:13:2671238:2675115:1 gene:B456_013G034600 transcript:KJB79130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYYTGSDNQRDTAPMIYLRESMPGSFLEGPVLPGNTMLYMSSGSYSDAFAGNSQQQNNCVEIPTVEAATSQQQQILSNMGGLREQDFSGWRDGRNEMLAMHLMGRTSAISHDGQNLQGQGLSLSLGTQIPYRNPGSGFSSVNQESNKGELSAFGMSSMVRTIPNSKYLKAAQQLLDEVVNVQKALKQPDGGKNRNSLENRVKSSKEDDGGSKNVPANQQESSINSPKELSHAERQELQSKLTKLLSMLDEVDRRYKQYYHQMQIVVSSFDAIAGCGASKPYTALALQTISRHFRCLRDAINGQVQATRTSLGELDASENSKELRITRLRYVDQQLRQQRALQQFGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEEFADAEMDSNSSSDNAVKAATKGDTMTSEDRGEDLQQSGSSSVTERCSNGQLMDSKSENVHNADISGSTIGAGFLNASCGEAETEYMLQTLREEQRLTMDDSNIFPDTSVHLDGGSHRFMAASGYNVSELGRFGSGVSLTLGLQHYEDGSISMSGGSHHQNFVAMRGDDMYNHIPTETGDFECMNPSNRQHRLSSSQLLHDFVA >KJB79131 pep chromosome:Graimondii2_0_v6:13:2672204:2675115:1 gene:B456_013G034600 transcript:KJB79131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYYTGSDNQRDTAPMIYLRESMPGSFLEGPVLPGNTMLYMSSGSYSDAFAGNSQQQNNCVEIPTVEAATSQQQQILSNMGGLREQDFSGWRDGRNEMLAMHLMGRTSAISHDGQNLQGQGLSLSLGTQIPYRNPGSGFSSVNQESNKGELSAFGMSSMVRTIPNSKYLKAAQQLLDEVVNVQKALKQPDGGKNRNSLENRVKSSKEDDGGSKNVPANQQESSINSPKELSHAERQELQSKLTKLLSMLDEVDRRYKQYYHQMQIVVSSFDAIAGCGASKPYTALALQTISRHFRCLRDAINGQVQATRTSLGELDASENSKELRITRLRYVDQQLRQQRALQQFGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEEFADAEMDSNSSSDNAVKAATKGDTMTSEDRGEDLQQSGSSSVTERCSNGQLMDSKSENVHNADISGSTIGAGFLNASCGEAETEYMLQTLREEQRLTMDDSNIFPDTSVHLDGGSHRFMAASGYNVSELGRFGSGVSLTLGLQHYEDGSISMSGGSHHQNFVAMRGDDMYNHIPTETGDFECMNPSNRQHRLSSSQLLHDFVA >KJB80710 pep chromosome:Graimondii2_0_v6:13:26400316:26414521:-1 gene:B456_013G111800 transcript:KJB80710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIECSVCHSKIASPTSKTISRAYDRHRSHLSSKTRFLNVLLVGGDCVLVGFQICVCVCVLLQPILVYMSKVDGGFKFSPISVNFLTEVAKVIFAITMLLFQARHKKVGEKSLLSISSLVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAILLKMIMKRRFSIIQWEALALLLIGISINQLRSLPEGTTSFGLPVATGAYLYTLIFVTVPSLASVFNEYALKSQFETSIYHQNLFLYGYGAIFNFLAILGTAIFKGPNSLDILQGHSKATVLLIYNNAAQGILSSFFFKYADTILKKYSSTIATIFTGIASAVLFGHTLTINFVLGISVVIISMHQFFSALSEVKDEKQSGSLEMMDSQDSKRSKDTSILNMTTGANEDASHRVHEDEKRLLPV >KJB82722 pep chromosome:Graimondii2_0_v6:13:52301191:52305454:-1 gene:B456_013G211100 transcript:KJB82722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCEEQQQQQQQVMMRMVELDNNNKKGDERSSGRRFIEAEERLNIVDLSGMSLDSLPKPSLNLTTICKLNLSNNNLQSIPESLTARLLNVVALDVHSNQLKFLPNSIGCLSKLKTLNVSGNLLQSLPKTIENCRSLEELNANFNKLTKLPETIGFELINLKKLAVNSNKLIFLPRSITHLTSLRVLDARLNCLRALPEDLENLINLQILNVSQNFQYLQNLPYSIGLLISLLELDVSYNKITTLPDSMGCMMKLQKLSVEGNPMVSPPAEVFEQGLHAVKGYLSEKMNGGHKSPPKKKSWVGKLVKYGTFSGYTLRGTSNGEREGFFISEYRSIDGLASPRHIGMFSPRRLFSPGRYFTR >KJB82721 pep chromosome:Graimondii2_0_v6:13:52301103:52305332:-1 gene:B456_013G211100 transcript:KJB82721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCEEQQQQQQQVMMRMVELDNNNKKGDERSSGRRFIEAEERLNIVDLSGMSLDSLPKPSLNLTTICKLNLSNNNLQSIPESLTARLLNVVALDVHSNQLKFLPNSIGCLSKLKTLNVSGNLLQSLPKTIENCRSLEELNANFNKLTKLPETIGFELINLKKLAVNSNKLIFLPRSITHLTSLRVLDARLNCLRALPEDLENLINLQILNVSQNFQYLQNLPYSIGLLISLLELDVSYNKITTLPDSMGCMMKLQKLSVEGNPMVSPPAEVFEQGLHAVKGYLSEKMNGGHKSPPKKKSWVGKLVKYGTFSGYTLRGTSNGEREGFFISEYRSIDGLASPRHIGMFSPRRLFSPGRYFTR >KJB81335 pep chromosome:Graimondii2_0_v6:13:37583357:37588335:-1 gene:B456_013G139600 transcript:KJB81335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAKETGLRNVSSTCSISESDDYDLSRLLDKPRLNIERQRSFDERSLGELSIGLTRGAHDNYETTHSPGWRSGFNTPASSARNSFEPHPMVADAWEALRRSLVYFKGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLVKTLHLQGWEKRIDRFKLGEGAMPASFKVLHDPVRKSDTIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGCPIEIQALFFMALRCALSMLKHDAEGKECIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSMAIMDLIEARWDELVGEMPLKIAYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAETRLLKDGWPEYYDGKLGRYVGKQARKYQTWSIAGYLVAKMMMEDPSHLGMISLEEDKQMKPLIKRSSSWTC >KJB81336 pep chromosome:Graimondii2_0_v6:13:37583357:37588123:-1 gene:B456_013G139600 transcript:KJB81336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAKETGLRNVSSTCSISESDDYDLSRLLDKPRLNIERQRSFDERSLGELSIGLTRGAHDNYETTHSPGWRSGFNTPASSARNSFEPHPMVADAWEALRRSLVYFKGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLVKTLHLQGWEKRIDRFKLGEGAMPASFKVLHDPVRKSDTIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGCPIEIQALFFMALRCALSMLKHDAEGKECIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSMAIMDLIEARWDELVGEMPLKIAYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAETRLLKDGWPEYYDGKLGRYVGKQARKYQTWSIAGYLVAKMMMEDPSHLGMISLEEDKQMKPLIKRSSSWTC >KJB83461 pep chromosome:Graimondii2_0_v6:13:56723546:56727158:1 gene:B456_013G248900 transcript:KJB83461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSKDPFEAALEEQEESPPDSPVGEDELNSQTPNEPQNQTDGGHNLLVDDDDYDDMGPNIKNPSHPSSSSTPKLHSTSVNANVATRATTKNKEYDDDEEEENVEVELSKFPSSADPAKMAKMQAILSQFTENQMSRYESFRRSALQRSNMRRLLVSITGSQKISLPMTIVVCGIAKMFVGELVEKARIVMTERTESGPIRPCHIREAYRRLKLEGKVPKRSVQRLFR >KJB79681 pep chromosome:Graimondii2_0_v6:13:6739039:6739314:-1 gene:B456_013G061800 transcript:KJB79681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTATKRPISTEEKTTPKKAPIQATKSNLSIFHIRVITLMSTKLITAYIMMEASITFGVYLNNGVMVNSNVSSTIDDITILDTTVFYPAM >KJB81085 pep chromosome:Graimondii2_0_v6:13:33409839:33414553:1 gene:B456_013G128600 transcript:KJB81085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLFEMGFEEKAIKLQVKEEKACETKKICLHAFTDLTYVAPIVFLYLLKECYVHGNLKATKKFRALQQEVHQVLCNSPQPGPATFVAYCLYILPIFGSYCEGFSHLIVSAFHRFLKTAATTGDSLEAKIIAVQLFLDIVEGSIDHDERIAVKILEVFDIKLTDIEKVASQSKAKNDRWFHNVKAFLEQYIFGFIESESYMTAVNLLEHFSIRQSGESFLVKMIEKKQFRAAEKWATFMGKPMLFMLVQEYVDRNKLKNAYLIVKKNNLQQEFPDVHHKYKESALKKLAEKACWDVAEAKANGDRQLVDYLVYLAMEAGYLEKVDELCNRYSLEGFPKAQEHEAIFLQHCFLNLNELGVEDIIWVDELNGLGKATCHIEGSKVVGLDCEWKPNYVKGSKPNKNMFEDPRGGLSGLAEKILGAGLNKTRRNSNWEQRPLSQNQLEYAALDAAVLIQIFYRVCDHSHTADALDGHNKIEWKSYIVSHMDNPRKSRKESRLRKEPEPEVKEDEA >KJB81086 pep chromosome:Graimondii2_0_v6:13:33409839:33414553:1 gene:B456_013G128600 transcript:KJB81086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLFEMGFEEKAIKLQVKEEKACETKKICLHAFTDLTYVAPIVFLYLLKECYVHGNLKATKKFRALQQEVHQVLCNSPQPGPATFVAYCLYILPIFGSYCEGFSHLIVSAFHRFLKTAATTGDSLEAKIIAVQLFLDIVEGSIDHDERIAVKILEVFDIKLTDIEKVASQSKAKNDRWFHNVKAFLEQYIFGFIESESYMTAVNLLEHFSIRQSGESFLVKMIEKKQFRAAEKWATFMGKPMLFMLVQEYVDRNKLKNAYLIVKKNNLQQEFPDVHHKYKESALKKLAEKACWDVAEAKANGDRQLVDYLVYLAMEAGYLEKVDELCNRYSLEGFPKAQEHEAIFLQHCFLNLNELGVEDIIWVDELNGLGKATCHIEGSKVVGLDCEWKPNYVKGSKPNKVSIMQIASNKKVFILDLIKLYNDVPDVLDNCLTHILRSPRILKLGYNFHCDVKQLAQSYGDLECFKCYNMLLDIQNMFEDPRGGLSGLAEKILGAGLNKTRRNSNWEQRPLSQNQLEYAALDAAVLIQIFYRVCDHSHTADALDGHNKIEWKSYIVSHMDNPRKSRKESRLRKEPEPEVKEDEA >KJB81087 pep chromosome:Graimondii2_0_v6:13:33409881:33412798:1 gene:B456_013G128600 transcript:KJB81087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLFEMGFEEKAIKLQVKEEKACETKKICLHAFTDLTYVAPIVFLYLLKECYVHGNLKATKKFRALQQEVHQVLCNSPQPGPATFVAYCLYILPIFGSYCEGFSHLIVSAFHRFLKTAATTGDSLEAKIIAVQLFLDIVEGSIDHDERIAVKILEVFDIKLTDIEKVASQSKAKNDRWFHNVKAFLEQYIFGFIESESYMTAVNLLEHFSIRQSGESFLVKMIEKKQFRAAEKWATFMGKPMLFMLVQEYVDRNKLKNAYLIVKKNNLQQEFPDVHHKYKESALKKLAEKACWDVAEAKANGDRQLVDYLVYLAMEAGYLEKVDELCNRYSLEGFPKAQEHEAIFLQHCFLNLNELGVEDIIWVDELNGLGKATCHIEGSKVVGLDCEWKPNYVKGSKPNKVSMVSPFSISFSLRSLLIDID >KJB79013 pep chromosome:Graimondii2_0_v6:13:2186726:2192917:1 gene:B456_013G029000 transcript:KJB79013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTIQVYGFLSNVSAGEVKTFLEGYTGRETVYALKIRQHKKGGRAYAIVQFTRSSAAELIIRLANQRLYYGRSYLKAREMETDIVPKPRAFLHTMERVTVNFGCQVSVEKFYVLWKAENVTLNFGTGMRKLEFLVSYCRSKYKLELFYENIWQIELRCPDSQTSKHLLIQLFGAPRIYEKEVRASELVFDDPLLNYFKDVPDDQWVRTTDFTRSNCIGQSSVLCLELPHNLRLPNFRENFAYYKENESRLVLESGSSYSCNLSLVPIVCPLRVIDLPFEILFKVNLLVQNGCIPGPALDDTFYRLVDPCRMDKVYIDHALEKLYYLRECCYEPSRWLFEEYKNFSRSRKYQGSPAISLDEGLVYVRRVQITPSRVYFCGPEINVSNRVLRQFHNDIDNFLRISFVDEELEKIHSINVQARGRRTSIYERILSTLRNGVLIGDKRFEFLAFSSSQLRENSAWMFASRKGLTAADIRSWMGNFSKIRNVAKYAARLGQSFSSSTETLSVSKDEINLIPDIEIMKDGIKYVFSDGIGKISAKFAKKVAAKCRLKGCTPSAFQIRIGGFKGVVAIDPTSSWKLSLRKSMEKYESENTKLDVLAWSKYQPCFLNRQLITLLSTLGVPDRAFEKKQREVIEQLNALLTDPLEAQEALELMSPGENTNILKEMLLCGYKPDAEPFLSMMLEAFRASKLLELRTKARIFVQKGRSMMGCLDETRTLNYGQVFVQFSGSRSEQRCIVQGKVIVAKNPCLHPGDVRVLRAVNVPDLHHMVDCVVFPQKGTRPHPNECSGSDLDGDIYFVCWDPELIPYKQMDPMDYSPAPTTILDHEVTIEEIEEYFTNYIVNDSLGIISNAHTAFADREPGKAMCHPCLELAKLFSIAVDFPKTGVPAEIPQELRVKEYPDFMEKPDKPSYQSHNVIGKLFREVKNLAPNECSIKFLTREKMQRFYDPDMEVEGFEDYIDDAFFHKSKYDYKLGNLMDYYGVKTEAEILSGGILKMSRSFTKKRDAEAISMAVRSLRKEARSWFNEERSELDEEIDDAYAKASAWYYVTYHPSYWGQYNEGMNRDHFLSFPWCVYDKLIQIKKEKAAVREALDLSSLEHRFQRRLHLH >KJB79952 pep chromosome:Graimondii2_0_v6:13:8929476:8929846:1 gene:B456_013G074200 transcript:KJB79952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIMKRGFDSDNVIMTALIDFYGRNGQLNEVCQMFDELPEPDAICLDSVISAYTCDNFDSLWEFRVVEVGEASACAGHYL >KJB82642 pep chromosome:Graimondii2_0_v6:13:51724061:51728106:-1 gene:B456_013G206500 transcript:KJB82642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNSRTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGLQAQPMPASLHSSAVEKKLENLPAMVAGVWADDSNMQLEATTQFRKLLSIERSPPIDQVIQAGVVPRFIEFLARDDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPRCRDLVLSHGALLPLLAQLNEHVKLSMLRNATWTLSNFCRGKPQPPFDLVKPALPALTHLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCGRLVELLMHPSPSVLIPALRTVGNIVTGDDGQTQCIINHQVLPCLLNLLTNNFKKSIKKEACWTISNITAGNKEQIQAVIEANIISPLVHLLQNAEFDIKKEAAWAISNATSGGTHDQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEADKNLGTTGGVNLYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKVLETYWLEEEDETMPPGDASQTGFHFGGGELPVPSGGFNFS >KJB82641 pep chromosome:Graimondii2_0_v6:13:51724027:51728127:-1 gene:B456_013G206500 transcript:KJB82641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNSRTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGLQAQPMPASLHSSAVEKKLENLPAMVAGVWADDSNMQLEATTQFRKLLSIERSPPIDQVIQAGVVPRFIEFLARDDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPRCRDLVLSHGALLPLLAQLNEHVKLSMLRNATWTLSNFCRGKPQPPFDLVKPALPALTHLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCGRLVELLMHPSPSVLIPALRTVGNIVTGDDGQTQCIINHQVLPCLLNLLTNNFKKSIKKEACWTISNITAGNKEQIQAVIEANIISPLVHLLQNAEFDIKKEAAWAISNATSGGTHDQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEADKNLGTTGGVNLYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKVLETYWLEEEDETMPPGDASQTGFHFGGGELPVPSGGFNFS >KJB81333 pep chromosome:Graimondii2_0_v6:13:37574699:37575301:-1 gene:B456_013G139400 transcript:KJB81333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNVYKIQNQNGLISDHVIVNLLVAGFTGREIQDAIAILIFSISKHFIGDPLHIKVRNLELLSNLKCKKLTDFKWYKDVFMTKVMQRSDNQQPFWKEKFLAGLPTLLGEKVRNQIRENYGGIIPYKKLKYGELISFTQKEGLKICQDLKLQKQLKKERYVERN >KJB82018 pep chromosome:Graimondii2_0_v6:13:48919550:48922076:-1 gene:B456_013G188600 transcript:KJB82018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEADEMVTPGEVLGRATDLKAGKGAYAASHNNTIYASLTGFRRIQAPPPASHDKRPTVEVTGHKAHGPVPEPGSVVIARVTKVMARTASADIMCVGPKSVREKFTGIIRQQDVRATEIDKVDMHLSFRPGDIVRAVVLSLGDARAYYLSTAKNELGIVSAESSAGATMVPISWTEMQCPLTGQIELRKVAKVVN >KJB82019 pep chromosome:Graimondii2_0_v6:13:48919585:48922045:-1 gene:B456_013G188600 transcript:KJB82019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEADEMVTPGEVLGRATDLKAGKGAYAASHNNTIYASLTGFRRIQAPPPASHDKRPTVEVTGHKAHGPVPEPGSVVIARVTKVMARTASADIMCVGPKSVREKFTGIIRYWFQSQLLRNRQQDVRATEIDKVDMHLSFRPGDIVRAVVLSLGDARAYYLSTAKNELGIVSAESSAVLCSTTTQLLFPLYTIGATMVPISWTEMQCPLTGQIELRKVAKVVN >KJB80881 pep chromosome:Graimondii2_0_v6:13:29788265:29789978:1 gene:B456_013G119600 transcript:KJB80881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLITMLLCLFDLKIIKYSKLYSPIFLEGIKCMSPNNGQKTNKPLKKPIFNKQNQEKFKEKKPTNTQQENTAVSLQPPFSTLRSVAFSDSRWPL >KJB80880 pep chromosome:Graimondii2_0_v6:13:29788265:29789942:1 gene:B456_013G119600 transcript:KJB80880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLITMLLCLFDLKIIKYSKLYSPIFLEGIKCMSPNNGQKTNKPLKKPIFNKQNQEKFKEKKPTNTQQENTAVSLQPPFSTLRSVAFSDSRWPL >KJB80687 pep chromosome:Graimondii2_0_v6:13:25054061:25055041:-1 gene:B456_013G110400 transcript:KJB80687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKISQSLRLFISKNRGKKEKGLCYWCGLKFSYGHKCMKPQLIQLLAESMDEKNNLDALAVENEQPILFLQAMMGSHDFQTMRGNGKTGEHAISILVDSGSIH >KJB83705 pep chromosome:Graimondii2_0_v6:13:57499240:57502850:-1 gene:B456_013G260100 transcript:KJB83705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable GTP-binding protein OBGM, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G07615) UniProtKB/Swiss-Prot;Acc:F4HSD4] MWIARAKPLLQLQTIRRRCSKSPCYVFSFLTYSDVPHKKSPLQETRMRDRFNLYAKGGDGGNGSTSFRRSRHDRRGKPDGGNGGRGGDVILECSTAVWDFSGLQNHVNAGRGGHGTSKNKIGTRGEDKILQVPIGTVIHLKKGEIPSMVEHCSSADLDPWELPGSLSTDQSEVDQLPSSKNTSMAEKVKSTHVAGHLSSCTEITVDQSIGMNQATRPQSEPTEEIRYNVAELTEEGQRMIVAYGGEGGLGNVCYPNVSMKPKMTKSEVPRVNSIEDEASNDDRSSLRTGLLGSEAVLVLELKSIADVGLVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNLGNLNFDDLSITVADIPGLIKGAHQNRGLGHAFLRHIERTKVLAYVVDLAAALDGRKGIPPWEQLKDLVLELEHHQEGLSNRPSLVVANKIDETGAEDVFQELEKRVEGIPIYRVCAVLEEGISEVKAGLKMLVNGDENSSSLNIENIRC >KJB83706 pep chromosome:Graimondii2_0_v6:13:57499267:57502758:-1 gene:B456_013G260100 transcript:KJB83706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable GTP-binding protein OBGM, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G07615) UniProtKB/Swiss-Prot;Acc:F4HSD4] MWIARAKPLLQLQTIRRRCSKSPCYVFSFLTYSDVPHKKSPLQETRMRDRFNLYAKGGDGGNGSTSFRRSRHDRRGKPDGGNGGRGGDVILECSTAVWDFSGLQNHVNAGRGGHGTSKNKIGTRGEDKILQVPIGTVIHLKKGEIPSMVEHCSSADLDPWELPGSLSTDQSEVDQLPSSKNTSMAEKVKSTHVAGHLSSCTEITVDQSIGMNQATRPQSEPTEEIRYNVAELTEEGQRMIVAYGGEGGLGNVCYPNVSMKPKMTKSEVPRVNSIEDEASNDDRSSLRTGLLGSEAVLVLELKSIADVGLVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNLGNLNFDDLSITVADIPGLIKGAHQNRGLGHAFLRHIERTKVLAYVVDLAAALDGRKGIPPWEQLKDLVLELEHHQEGLSNRPSLVVANKIDETGAEDVFQELEKRVEGIPIYRVCAVLEEGISEVKAGLKMLVNGDENSSSLNIENIRC >KJB79632 pep chromosome:Graimondii2_0_v6:13:6366012:6368503:-1 gene:B456_013G059900 transcript:KJB79632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQPAFLLYLGSVIVLVVLLILYFAPRYGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKDQLVYLETWFFMFIVATSVIIQINYLNKALDTFNTAVVSPIYYVMFTSLTIIASVIMFKVLHHQLLITSC >KJB78529 pep chromosome:Graimondii2_0_v6:13:363130:364672:-1 gene:B456_013G004900 transcript:KJB78529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLTRPFHGIILILFVMLAINSTSATTKYNVLSFGAKPNGKTDSTKAFLMAWEAACSSADSTMIYVPKGRYFLGSMAFKGGCKSPQITIRIDGTLVAPQDYRVLGKSTDWLSFEGVNGVSILGGALDAKGPSLWACKASHSNCPSGATTLSFSNSKNIRIRRLLSLNSQMFHIVINGCENVHVQGVRIIAAGDSPNTDGIHVQLSKNVNIIKCAIKTGDDCISIGPGTKNLWVEQVTCGPGHGISIGSLAKDLKEEGVQNVTIRKTTFMGTQNGLRIKSWARPSTGFVQGVRFLDSLMRNVQNPIVIDQNYCPHNLNCPNQVSGIKIKDIIYEGIRGTSSTEVAIKFDCSPKNPCTGIRLQNVNLSYLNKPAQSSCSNVRGKALNLVQPENCL >KJB82055 pep chromosome:Graimondii2_0_v6:13:46187177:46188743:-1 gene:B456_013G173600 transcript:KJB82055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHYKPLQVIASTQISKQLKAFQFSFHFAFTTMRLIYLFLFTVFFVAKATVPPSETFQYVNEGELGPYIIEYDGNYRALPPFSAPFQLCFYNTTPNAYTLALRMGLTRSESLFRWVWEANRGNPVRENATLTFGSDGNLVLADVDGRIAWQTNTANKGVTGFKVLPNGNMVLHDSKGNFIWQSFDYPTDTLLAGQSLRLGSATKLVSRASAMNNSDGPYSLVMESKALVLYYKASNTPRPILYFNHEFWVNVRKGPLEYVKFNSTSEDDEGTAYGLNLEYQVANSSTGGTLRIGRPNYNSTLSFLRLGMDGNLKVYTYYDPVFDSAWEVTFTLFSRDSFWGSECQLPERCGKFGLCEDSQCVACPSPNGLLGWSKDCDVKKLSSCNEKDFNYYKLDGVDHFMTTYTRGNAIKQDECGNKCTRNCKCMGYFYNQETSRCWMAYDLKTLTRVANSTHVAYIKAPK >KJB80037 pep chromosome:Graimondii2_0_v6:13:10499480:10502223:-1 gene:B456_013G078800 transcript:KJB80037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPLCNGPTTKRLFGSLYSDSPRIGYWPITLIARNRHSLPQRRLAIPPPFHLLFSIFYPHHKVSIFCPILPRFLAHVISFPFHSLCHRFLFIVPFIFFILSRTKNRFVEEFSLFPKIDFPFLSKCILAARTLLRFPHYRNAWQKLGNMSPEAAMEQYVALVSDKVPGWTKDTSDGERKLESADQGVAGSVAPDIYSFPDKHAIFIHERNADSNTAPAGGDITESVSLEKQVHCEVRGSLEP >KJB78932 pep chromosome:Graimondii2_0_v6:13:1925481:1928486:-1 gene:B456_013G025800 transcript:KJB78932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLWPSVRLRDSFKIAYLRKLEWNLHRMKSEKQSSSSSSPRIPSNQQNLLNDDQKAAHSKSAPAKCCASFIVICREIFMVLSCCYCCFCCGACVDEEDY >KJB78707 pep chromosome:Graimondii2_0_v6:13:954008:957354:1 gene:B456_013G013900 transcript:KJB78707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLNYICKEVSDEKKMTKEEEDYTLDGTVDRHGQPAIRSKTGTWFSGILLLVNQGLATLAFFGVGVNLVLFLTRVLGQDNAEAANSVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQAIFVVGLVLLSISSSAFLLYPKGCGDEETPCGTHSTFHIVFFYLAIYMVALGNGGYQPTIATFGADQFDEEDPKEGHSKIAFFSFFYLALNLGSLFSNTILGYFEDQGMWTLGFWASAGSAFVALVLFLIGTPRYRHFKPQGNPLSRFCQVLVAAIRKWKVGIMPGDDHLFETDKNESAIKGDRRILHTEGFRFLDRAAIMTPNDYATDEEKNTRGRNPWRLCTITQVEEVKCILRLLPIWLCTILYSVVFTQMASLFVEQGDAMKTTVSNFDIPAASMSSFDILSVATFIFIYRRILDPIIARIKTNPKGLTELQRMGIGLVIAILAMVAAGVVEVFRLKYANKDCPNCNNASSLSIFWQIPQYMLIGASEVFMYVGQLEFFNGQAPDGLKSFGSALCMTSISLGNYVSSLLVTMVMKISTRDNMPGWIPGNLNKGRLDLFYFLLAALTTADLMIYIICAKWYKCIKFDGRTNGDDDDNKKSRPVTVAAQAEFRV >KJB79107 pep chromosome:Graimondii2_0_v6:13:2576917:2585570:1 gene:B456_013G033700 transcript:KJB79107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRGGGCCPPMDLFRSEAMQLVQLIIPMESAHLTVSYLGDLGLIQFKDLNSDKSPFQRTYAAQIKRCGEMARKLRFFKEQMLKAGFSPSAKSLGETNNGFDDLEVKLGELEAELVEMNANGDKLQRGYTELLEYKLVLQKAGEFFTSAQRSATAQQREMESQQMGDETLETPLLREQETATDLSKQVKLGFITGLVPREKSMAFERILFRATRGNVFLKQVPAEEPITDPVSGEKMEKNVFVVFYSGERAKNKILKICEAFGANRYPFAEDLGKQALMITEVSGRISELKTTIDAGLLQRDNLLRNIGDQFEQWNLKVKKEKSIYHTLNMLSLDVTKKCLVAEGWSPVFATKQIQEALQRAAFDSNSQVGAIFQVLHTRESPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLATLYFIVREKKLSSQKLGDITEMTFGGRYVIMMMSLFSIYTGLVYNEFFSVPFELFGRSAYACRDLSCRDATTVGLIKVRDTYPFGVDPAWHGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAIFFRNSLNVWFQFIPQMIFLNSLFGYLSFLIIVKWSTGSQADLYHILIYMFLSPTDELGENQLFPGQKITQQVLLLLALVSVPWMLLPKPFLLKRQHENVFMLNFLDFLLNIF >KJB79108 pep chromosome:Graimondii2_0_v6:13:2578158:2585177:1 gene:B456_013G033700 transcript:KJB79108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKLRFFKEQMLKAGFSPSAKSLGETNNGFDDLEVKLGELEAELVEMNANGDKLQRGYTELLEYKLVLQKAGEFFTSAQRSATAQQREMESQQMGDETLETPLLREQETATDLSKQVKLGFITGLVPREKSMAFERILFRATRGNVFLKQVPAEEPITDPVSGEKMEKNVFVVFYSGERAKNKILKICEAFGANRYPFAEDLGKQALMITEVSGRISELKTTIDAGLLQRDNLLRNIGDQFEQWNLKVKKEKSIYHTLNMLSLDVTKKCLVAEGWSPVFATKQIQEALQRAAFDSNSQVGAIFQVLHTRESPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLATLYFIVREKKLSSQKLGDITEMTFGGRYVIMMMSLFSIYTGLVYNEFFSVPFELFGRSAYACRDLSCRDATTVGLIKVRDTYPFGVDPAWHGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAIFFRNSLNVWFQFIPQMIFLNSLFGYLSFLIIVKWSTGSQADLYHILIYMFLSPTDELGENQLFPGQKITQQVLLLLALVSVPWMLLPKPFLLKRQHENRHQGQSYAPLESTDETLLSVANHDSHGHDHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSVVFYEKVLLLAWGYNNIIILIVGIIVFIFATVGVLLIMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFALLDDEDD >KJB79106 pep chromosome:Graimondii2_0_v6:13:2576917:2585570:1 gene:B456_013G033700 transcript:KJB79106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRGGGCCPPMDLFRSEAMQLVQLIIPMESAHLTVSYLGDLGLIQFKDLNSDKSPFQRTYAAQIKRCGEMARKLRFFKEQMLKAGFSPSAKSLGETNNGFDDLEVKLGELEAELVEMNANGDKLQRGYTELLEYKLVLQKAGEFFTSAQRSATAQQREMESQQMGDETLETPLLREQETATDLSKQVKLGFITGLVPREKSMAFERILFRATRGNVFLKQVPAEEPITDPVSGEKMEKNVFVVFYSGERAKNKILKICEAFGANRYPFAEDLGKQALMITEVSGRISELKTTIDAGLLQRDNLLRNIGDQFEQWNLKVKKEKSIYHTLNMLSLDVTKKCLVAEGWSPVFATKQIQEALQRAAFDSNSQVGAIFQVLHTRESPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLATLYFIVREKKLSSQKLGDITEMTFGGRYVIMMMSLFSIYTGLVYNEFFSVPFELFGRSAYACRDLSCRDATTVGLIKVRDTYPFGVDPAWHGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAIFFRNSLNVWFQFIPQMIFLNSLFGYLSFLIIVKWSTGSQADLYHILIYMFLSPTDELGENQLFPGQKITQQVLLLLALVSVPWMLLPKPFLLKRQHENAPRPILRTT >KJB79109 pep chromosome:Graimondii2_0_v6:13:2576917:2585615:1 gene:B456_013G033700 transcript:KJB79109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRGGGCCPPMDLFRSEAMQLVQLIIPMESAHLTVSYLGDLGLIQFKDLNSDKSPFQRTYAAQIKRCGEMARKLRFFKEQMLKAGFSPSAKSLGETNNGFDDLEVKLGELEAELVEMNANGDKLQRGYTELLEYKLVLQKAGEFFTSAQRSATAQQREMESQQMGDETLETPLLREQETATDLSKQVKLGFITGLVPREKSMAFERILFRATRGNVFLKQVPAEEPITDPVSGEKMEKNVFVVFYSGERAKNKILKICEAFGANRYPFAEDLGKQALMITEVSGRISELKTTIDAGLLQRDNLLRNIGDQFEQWNLKVKKEKSIYHTLNMLSLDVTKKCLVAEGWSPVFATKQIQEALQRAAFDSNSQVGAIFQVLHTRESPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLATLYFIVREKKLSSQKLGDITEMTFGGRYVIMMMSLFSIYTGLVYNEFFSVPFELFGRSAYACRDLSCRDATTVGLIKVRDTYPFGVDPAWHGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAIFFRNSLNVWFQFIPQMIFLNSLFGYLSFLIIVKWSTGSQADLYHILIYMFLSPTDELGENQLFPGQKITQQVLLLLALVSVPWMLLPKPFLLKRQHENRHQGQSYAPLESTDETLLSVANHDSHGHDHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSVVFYEKVLLLAWGYNNIIILIVGIIVFIFATVGVLLIMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFALLDDEDD >KJB84003 pep chromosome:Graimondii2_0_v6:13:49862120:49868758:1 gene:B456_013G195500 transcript:KJB84003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDNDPIAMEAQSEPSKNAQSLQTLASGPLSSSLSSLASSSRTLPSNQDFHFFNNFDDFRLPIDRIAKTSDSLLQFIGSSSKTWDPNNAIHFPNNIDSITDDEAYRWLVDMNDDILEQFDVSVDEFQEKEEKTGRLFGSDPDNNGFKLVQGKKKKKGDSGLMSDSVCESLSTKEGGSSNSYSGAKVKKGALTMVTTGKAKVPFHVPTIRKPQEEYNILVNNSNQPFEHVWLQRSEDGLRFVHPLEKLSVMDFVDKDIANLEPIRPPSIESTPFKLVEEVKDLKELAAKLRNVDEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIHIGPYLREVFKDPMKKKVMHGSDKDILWLQRDFGIYLCNLFDTGQASRVLKLERNSLEHLLQHYCGVTANKEYQNADWRVRPLPDEMLRYAREDTHYLLYIYDLMRIKLLSMPKEPIHFDAPLVEVYKRSSDVCMQLYEKELLMENSYLHIYGLQGAGFNAEQLAIVAALCEWRDIIARAEDESTGYVLPNKVLLEIAKQMPVTASKLRRLLKSKHPYVERDIGTVVSIIRQSMQNSVVFEAAAQQLRMGRLLNASGEHVAVNEGAEALPPETPTDSKIAIDTTEIIDGGMWGPDKRTAHPASTQHRAELLKIGSSISGLDMVKNQTRLSFEPDIMLMSARERESITISGLSGEANAWPVISPSAKIVSY >KJB84002 pep chromosome:Graimondii2_0_v6:13:49861983:49869853:1 gene:B456_013G195500 transcript:KJB84002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDNDPIAMEAQSEPSKNAQSLQTLASGPLSSSLSSLASSSRTLPSNQDFHFFNNFDDFRLPIDRIAKTSDSLLQFIGSSSKTWDPNNAIHFPNNIDSITDDEAYRWLVDMNDDILEQFDVSVDEFQEKEEKTGRLFGSDPDNNGFKLVQGKKKKKGDSGLMSDSVCESLSTKEGGSSNSYSGAKVKKGALTMVTTGKAKVPFHVPTIRKPQEEYNILVNNSNQPFEHVWLQRSEDGLRFVHPLEKLSVMDFVDKDIANLEPIRPPSIESTPFKLVEEVKDLKELAAKLRNVDEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIHIGPYLREVFKDPMKKKVMHGSDKDILWLQRDFGIYLCNLFDTGQASRVLKLERNSLEHLLQHYCGVTANKEYQNADWRVRPLPDEMLRYAREDTHYLLYIYDLMRIKLLSMPKEPIHFDAPLVEVYKRSSDVCMQLYEKELLMENSYLHIYGLQGAGFNAEQLAIVAALCEWRDIIARAEDESTGYVLPNKVLLEIAKQMPVTASKLRRLLKSKHPYVERDIGTVVSIIRQSMQNSVVFEAAAQQLRMGRLLNASGEHVAVNEGAEALPPETPTDSKIAIDTTEIIDGGMWGPDKRTAHPASTQHRAELLKIGSSISGLDMVKNQTRLSFEPDIMLMSARERESITISGLSGEANAWPVISPSAKIATGATVPVLKKPSHGFGSLLGNAASKKKSDVDKMEKGKLEQIRSSVDLSFHSYSGTKEQPEPATKDTTRSLEVSRPEEPPTMEATESTSENIILFEDKLNKKETNNGNSSPEDETMSLSELSTSFQQCLQSINDQNRNMVKVEKPKEAIDVPQMKPFDYEAAMKEVKFGEEIAWEESGNQSNSAGKKKSSAIDRLQIDDGTKQFPQARRRPAFPASGNRSATFR >KJB84000 pep chromosome:Graimondii2_0_v6:13:49861962:49869912:1 gene:B456_013G195500 transcript:KJB84000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDNDPIAMEAQSEPSKNAQSLQTLASGPLSSSLSSLASSSRTLPSNQDFHFFNNFDDFRLPIDRIAKTSDSLLQFIGSSSKTWDPNNAIHFPNNIDSITDDEAYRWLVDMNDDILEQFDVSVDEFQEKEEKTGRLFGSDPDNNGFKLVQGKKKKKGDSGLMSDSVCESLSTKEGGSSNSYSGAKVKKGALTMVTTGKAKVPFHVPTIRKPQEEYNILVNNSNQPFEHVWLQRSEDGLRFVHPLEKLSVMDFVDKDIANLEPIRPPSIESTPFKLVEEVKDLKELAAKLRNVDEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIHIGPYLREVFKDPMKKKVMHGSDKDILWLQRDFGIYLCNLFDTGQASRVLKLERNSLEHLLQHYCGVTANKEYQNADWRVRPLPDEMLRYAREDTHYLLYIYDLMRIKLLSMPKEPIHFDAPLVEVYKRSSDVCMQLYEKELLMENSYLHIYGLQGAGFNAEQLAIVAALCEWRDIIARAEDESTGYVLPNKVLLEIAKQMPVTASKLRRLLKSKHPYVERDIGTVVSIIRQSMQNSVVFEAAAQQLRMGRLLNASGEHVAVNEGAEALPPETPTDSKIAIDTTEIIDGGMWGPDKRTAHPASTQHRAELLKIGSSISGLDMVKNQTRLSFEPDIMLMSARERESITISGLSGEANAWPVISPSAKIATGATVPVLKKPSHGFGSLLGNAASKKKSDVDKMKEKGKLEQIRSSVDLSFHSYSGTKEQPEPATKDTTRSLEVSRPEEPPTMEATESTSENIILFEDKLNKKETNNGNSSPEDETMSLSELSTSFQQCLQSINDQNRNMVKVEKPKEAIDVPQMKPFDYEAAMKEVKFGEEIAWEESGNQSNSAGKKKSSAIDRLQIDDGTKQFPQARRRPAFPASGNRSATFR >KJB84001 pep chromosome:Graimondii2_0_v6:13:49861983:49869853:1 gene:B456_013G195500 transcript:KJB84001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDNDPIAMEAQSEPSKNAQSLQTLASGPLSSSLSSLASSSRTLPSNQDFHFFNNFDDFRLPIDRIAKTSDSLLQFIGSSSKTWDPNNAIHFPNNIDSITDDEAYRWLVDMNDDILEQFDVSVDEFQEKEEKTGRLFGSDPDNNGFKLVQGKKKKKGDSGLMSDSVCESLSTKEGGSSNSYSGAKVKKGALTMVTTGKAKVPFHVPTIRKPQEEYNILVNNSNQPFEHVWLQRSEDGLRFVHPLEKLSVMDFVDKDIANLEPIRPPSIESTPFKLVEEVKDLKELAAKLRNVDEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIHIGPYLREVFKDPMKKKVMHGSDKDILWLQRDFGIYLCNLFDTGQASRVLKLERNSLEHLLQHYCGVTANKEYQNADWRVRPLPDEMLRYAREDTHYLLYIYDLMRIKLLSMPKEPIHFDAPLVEVYKRSSDVCMQLYEKELLMENSYLHIYGLQGAGFNAEQLAIVAALCEWRDIIARAEDESTGYVLPNKVLLEIGIWRTCSS >KJB81192 pep chromosome:Graimondii2_0_v6:13:34870449:34881668:1 gene:B456_013G132700 transcript:KJB81192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPDVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNEVFHGNYVDHHISSREQITLQDSMDGMVYSTSQFGLDERFGDGDTSQIGLLDEQELVLDRVSVPGDDGTSVYDHRGSDVPETEDPSNLEAVPIDCIGDQVEGLAVNSEIGEYDQDPGAPGLVEVHNLSGVHDPLAGGDHVEVEPEHHNHIELDNSEYVEHASNKSMLPHADDIPVDQSLQTNINCDAIVSIPQGNSLHGAASEEYKSADMTIREPDGLDRVEDAHYSVMHSRDRTDGECAESPSCSNVTFDLEDPARRTCSSSTCVPTSGGYLENYQSSYKSEFGNDAETTNNLEESYSPSKATASNPSCPFESPSRPTVIDGEVQACQEPNNFENLKRPVIHEDVSSMQVLVSENLVAAEQNLVDLSRREEEFDPSGACIEVQGEAFQIQMSEPAAYDDQLESSNNCAMSDLPAPEKLLSVPEGPIDKPSDLLGECTLDKEVPEGNHEVYAGNKFISGKKRSITESTITVESMNSVESFRRPQFRTAESIPDDDDLLSSILVGRTSVFKMKATPALEVASLKRARSAPRRSATKRKVLMDDTMVLHGDTIRQQLVNTEDIRRIRKKAPCTRPEISLIQRQFLEDEIFSEPIFTGVSGDLACLHSGPYDLSGIRISEGDEIHASSEVAKDSGCSERPNIVEGCDIEGSSMPVNNGNDTQAQSAGTPIQADIQQGQYSDLNDQQDRNAVDDVPELLRHEPLDGIMGMDIERDNVAVANAATCSVLNESEISSPSNLVTGDTGKMTAVETTNSIDGFILNNATCIPPDQKMNTQPGEDASELDLRNENRTNLTEVLEHNVQSINAAEIESKLLEESKTGVEVNLANSCVPIENRTDSFSTIQTGEFANGAQNGCETGYDKVGVVDEAQVEGALSDHDDKDPICKGSEECKMDSTYSEKVVLVLENASLNDGETPAFQEVNAVSEERTSLVDNQAEYEDVAVANDTEFLNVDDDELCEDDDDGMPCDESRLLENSGWSSRTRAVAKYLQNLLEDEAVHGRKVLSMDSLLARKTRKEASRMFFETLVLKTRDYIHVEQVKPFDNICIKAGAKLMKSDF >KJB81193 pep chromosome:Graimondii2_0_v6:13:34872136:34881593:1 gene:B456_013G132700 transcript:KJB81193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMVYSTSQFGLDERFGDGDTSQIGLLDEELVLDRVSVPGDDGTSVYDHRGSDVPETEDPSNLEAVPIDCIGDQVEGLAVNSEIGEYDQDPGAPGLVEVHNLSGVHDPLAGGDHVEVEPEHHNHIELDNSEYVEHASNKSMLPHADDIPVDQSLQTNINCDAIVSIPQGNSLHGAASEEYKSADMTIREPDGLDRVEDAHYSVMHSRDRTDGECAESPSCSNVTFDLEDPARRTCSSSTCVPTSGGYLENYQSSYKSEFGNDAETTNNLEESYSPSKATASNPSCPFESPSRPTVIDGEVQACQEPNNFENLKRPVIHEDVSSMQVLVSENLVAAEQNLVDLSRREEEFDPSGACIEVQGEAFQIQMSEPAAYDDQLESSNNCAMSDLPAPEKLLSVPEGPIDKPSDLLGECTLDKEVPEGNHEVYAGNKFISGKKRSITESTITVESMNSVESFRRPQFRTAESIPDDDDLLSSILVGRTSVFKMKATPALEVASLKRARSAPRRSATKRKVLMDDTMVLHGDTIRQQLVNTEDIRRIRKKAPCTRPEISLIQRQFLEDEIFSEPIFTGVSGDLACLHSGPYDLSGIRISEGDEIHASSEVAKDSGCSERPNIVEGCDIEGSSMPVNNGNDTQAQSAGTPIQADIQQGQYSDLNDQQDRNAVDDVPELLRHEPLDGIMGMDIERDNVAVANAATCSVLNESEISSPSNLVTGDTGKMTAVETTNSIDGFILNNATCIPPDQKMNTQPGEDASELDLRNENRTNLTEVLEHNVQSINAAEIESKLLEESKTGVEVNLANSCVPIENRTDSFSTIQTGEFANGAQNGCETGYDKVGVVDEAQVEGALSDHDDKDPICKGSEECKMDSTYSEKVVLVLENASLNDGETPAFQEVNAVSEERTSLVDNQAEYEDVAVANDTEFLNVDDDELCEDDDDGMPCDESRLLENSGWSSRTRAVAKYLQNLLEDEAVHGRKVLSMDSLLARKTRKEASRMFFETLVLKTRDYIHVEQVKPFDNICIKAGAKLMKSDF >KJB81191 pep chromosome:Graimondii2_0_v6:13:34870449:34881668:1 gene:B456_013G132700 transcript:KJB81191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPDVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNEVFHGNYVDHHISSREQITLQDSMDGMVYSTSQFGLDERFGDGDTSQIGLLDEELVLDRVSVPGDDGTSVYDHRGSDVPETEDPSNLEAVPIDCIGDQVEGLAVNSEIGEYDQDPGAPGLVEVHNLSGVHDPLAGGDHVEVEPEHHNHIELDNSEYVEHASNKSMLPHADDIPVDQSLQTNINCDAIVSIPQGNSLHGAASEEYKSADMTIREPDGLDRVEDAHYSVMHSRDRTDGECAESPSCSNVTFDLEDPARRTCSSSTCVPTSGGYLENYQSSYKSEFGNDAETTNNLEESYSPSKATASNPSCPFESPSRPTVIDGEVQACQEPNNFENLKRPVIHEDVSSMQVLVSENLVAAEQNLVDLSRREEEFDPSGACIEVQGEAFQIQMSEPAAYDDQLESSNNCAMSDLPAPEKLLSVPEGPIDKPSDLLGECTLDKEVPEGNHEVYAGNKFISGKKRSITESTITVESMNSVESFRRPQFRTAESIPDDDDLLSSILVGRTSVFKMKATPALEVASLKRARSAPRRSATKRKVLMDDTMVLHGDTIRQQLVNTEDIRRIRKKAPCTRPEISLIQRQFLEDEIFSEPIFTGVSGDLACLHSGPYDLSGIRISEGDEIHASSEVAKDSGCSERPNIVEGCDIEGSSMPVNNGNDTQAQSAGTPIQADIQQGQYSDLNDQQDRNAVDDVPELLRHEPLDGIMGMDIERDNVAVANAATCSVLNESEISSPSNLVTGDTGKMTAVETTNSIDGFILNNATCIPPDQKMNTQPGEDASELDLRNENRTNLTEVLEHNVQSINAAEIESKLLEESKTGVEVNLANSCVPIENRTDSFSTIQTGEFANGAQNGCETGYDKVGVVDEAQVEGALSDHDDKDPICKGSEECKMDSTYSEKVVLVLENASLNDGETPAFQEVNAVSEERTSLVDNQAEYEDVAVANDTEFLNVDDDELCEDDDDGMPCDESRLLENSGWSSRTRAVAKYLQNLLEDEAVHGRKVLSMDSLLARKTRKEASRMFFETLVLKTRDYIHVEQVKPFDNICIKAGAKLMKSDF >KJB82758 pep chromosome:Graimondii2_0_v6:13:52380926:52384115:1 gene:B456_013G211700 transcript:KJB82758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:Projected from Arabidopsis thaliana (AT4G31300) UniProtKB/TrEMBL;Acc:F4JRY2] MDLDMNVNAPHSMGTTIIGVTYNGGVVLGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADSQIVSDYVRYFLHQHTIQLGQPATVKVAANLIRLLSYNNKNMLQTGLIVGGWDKYEGGKIYGIPLGGTLIEQPFAIGGSGSSYLYGFFDQAWKEGMTKEEAEQLVVKAVSLAIARDGASGGVVRTVVINSDGVTRNFYPGDKLQLWHDELEPQHSLLDILNAPSPEPMNI >KJB78769 pep chromosome:Graimondii2_0_v6:13:1235452:1238576:1 gene:B456_013G018000 transcript:KJB78769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELTQAEVYSPRSLQVWRALLNWLAFFYQIFAQIIRAVGQYPLLSSSSSSSITSTSTHRFKPLPVADSTRTESRATVEIAAVLDSPDEDRIEKLTVVLDLDETLVCAYETSSLPPSLRNQAMDAGLKWFELECVSSDKECEGKPKINYVTVFERPGLQDFLNQLSEFAELVLFTAGLEGYARPLVDRIDAENRFSLRLYRPSTVSTEYREHVKDLTCISKDLCRTVIVDNNPFSFLLQPVNGIPCIPFSAGQPHDTQLLGVLLPLLKHLSQEKDVRPVLYDRFRMPEWFQKQGIPSSSWSL >KJB78874 pep chromosome:Graimondii2_0_v6:13:1731593:1731893:1 gene:B456_013G0237001 transcript:KJB78874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFCSSPTPHARPILNGISELLPPLWSRSEVEKCWSSLSNVNGCITEIFKSLSGGTMPSPTCCNAIIKLNDDCWPKLFPFNPLFPPLLKNYCGGTAATPK >KJB80101 pep chromosome:Graimondii2_0_v6:13:11077249:11079656:-1 gene:B456_013G080900 transcript:KJB80101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAIRGRLSLSPNTVFNPKPGEKRSLCRGPCTNRGVLMAISTTGPSKGGGILEKPVIERTTPGRESEFDLRKSRKIAPPYRVMLHNDNYNKREYVVQVLMKVIPGMTLDNAVNIMQEAHCNGLAVVIICAQVDAEEHCMQLRGNGLLSSIEPASGAC >KJB78589 pep chromosome:Graimondii2_0_v6:13:589539:591539:-1 gene:B456_013G008800 transcript:KJB78589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKNYGHQHPLLMLNEEQLLGNGNGVVDCSRCGEKVSAPCFSCVECSGFYLHKTCAQAPLELNHPFHRHHPLLLLQNPPSSYTRCVCDFCDETCEKFIYHCSCGLNFHIKCALFTFNIAERNLKELEHVALEDPSFSSKNDGGNLGKCFACWEPLAIYTYFSLDCGFNLHKKCAELPPKMGHVCHRKHPLLLQFNSERLSCKICQVTQQRGFLYGCSTCNLAIHIDCLSPLPVIEDKSHQHPFTLFWRQIPFICDACGTEGHHVAYACCTCSIMVHKKCISLPRILQHSFHVHRVFHTYFIHKEYFESLNCIMCHEVVDTEHGSYFCADCNVIFHVDCALKEKKLYCIVSQENEADKSLDIPVNSITKVLETNDAGEATLIEHCKHRHYLMLSDKISEHGDKCCDGCLLLISAKFYHCMRCDFFLHKSCAELPKMDLILDHRCAGKPFSGSKSFILTSDCMFECDICGYLSNGFSYKCNECGIHRCVQCATLQNDVKIPGHKHPLLLYYDYQEQCSGCGGDISCAFRCKDCNFNLCNLCVIRPTRVRHKCDEHILALTYDKVNDYAKYHYCDICEKERDPKYWFYQCETCDTSVHVNCVLGEYSFIKLGSTYNEGNHEHPLAFVKKIHYYPKCVKCDKPCEDLSLECAEPRCNYIAHWKCRYQW >KJB81986 pep chromosome:Graimondii2_0_v6:13:55146171:55146849:1 gene:B456_013G232900 transcript:KJB81986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNLLTFRPAGIYASAIPGHTKQDPNRRKSNPSPSSTNWWGPLFGMSSEPDYFDSDNKTDFKEKREVEPGTDTAQKSIRSKFSPGSFTEEKARQLRMMTTNTSSFHDAMYHSAIASRLASDFKDCSDL >KJB79119 pep chromosome:Graimondii2_0_v6:13:2613723:2617090:-1 gene:B456_013G034100 transcript:KJB79119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAKAPRRPLESCTIKHINKTINAGDCVLMRPADQSNPQYVARIERIDADARGGNVKVLARWYYRPEESIGGRRQFHGSKELFLSDHYDVQSADTIEGKCTVHSFKSYTKLDSVGNDDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCEDCSDWFHPACIEMTAEEAKILDHFFCETCSSSGQKKLQNSHAASRPSDTKVDAKRRRR >KJB79118 pep chromosome:Graimondii2_0_v6:13:2613723:2617095:-1 gene:B456_013G034100 transcript:KJB79118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAKAPRRPLESCTIKHINKTINAGDCVLMRPADQSNPQYVARIERIDADARGGNVKVLARWYYRPEESIGGRRQFHGSKELFLSDHYDVQSADTIEGKCTVHSFKSYTKLDSVGNDDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCEDCSDWFHPACIEMTAEEAKILDHFFCETCSSSGQKKLQNSHAASRPSDTKVDAKRRRR >KJB82789 pep chromosome:Graimondii2_0_v6:13:52746187:52747167:1 gene:B456_013G213200 transcript:KJB82789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHSYHLVDPSPWPISGSLRALATTVGGVMYMHSFQWGARLLNLGLIFILYTMFVWWHDVLRESTLEGHHTKVVQLGLRYGFILFIVSEVMFFFTFFWASSHSSLAPTVEIGAAVTWAHHAILAGKEKRAVYALVAIIFLALVFTGFQGMEYYQAAFTISDSIYGSTFFLATGFHGLHVIIGTLFLIICGIRQYLGHLTKEHHIGFEAAAWYWHFVDVVRLFPFVSIYWWGGI >KJB82169 pep chromosome:Graimondii2_0_v6:13:47318903:47321626:1 gene:B456_013G179500 transcript:KJB82169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINQLVCCTRIMIQVQCRGMFSTHYHRLAVDYRNNSKVSLCHMACQVGNGVEGAEEVTFLYRLTPGACPKSYGVNVARITRLPDSVLRTAASKSREFEAVYGKHRSKGSEDKLPMQSSLDEMVVFIRELISLTRLKTCEEGTCIRSLTQLQQRARMLLHQH >KJB78582 pep chromosome:Graimondii2_0_v6:13:556171:558759:-1 gene:B456_013G008300 transcript:KJB78582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSAWSENLSTKKKKKVIKQLVEGQDYANQLQILLHNNNYNPSQQQKTEHHLSSTKDQLVDKILSSFNQTLTELTSVNVSSSHNQTASNDDQLVKSEDCSESRRPRPKGKRGCYKRKRAEQARTVVSDTTQDGHGWRKYGQKDILNSKHPRSYFRCTHKYDQGCRAIKQVQRLEEDGSQMYRTTYIGAHTCKNHSFKAPWIMSDSEFRGTCMAPIERHDDRRSNLAPTTPVVKQETNEEMSGTPDDVTDLDSAMWKDFMEFEYCSEPDVMISNVYSCTGIKFRNFEMDFVNGFEFDGSDECVL >KJB82224 pep chromosome:Graimondii2_0_v6:13:48109967:48110320:1 gene:B456_013G182700 transcript:KJB82224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTIFMDNLINTGKDVELLRKSRIIDNWLGNDEAVTKMFNKLGDCIYYSREDVYYKNIVIQVNKHCEIKCNIWKWKAKLMKDYFNTPWSPISFLAAFVLLVLTIVQTIFSLLSYYH >KJB82082 pep chromosome:Graimondii2_0_v6:13:46515549:46520730:1 gene:B456_013G175700 transcript:KJB82082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSEQVPMDDPPSKLIGQFLHKQKASGEISLDMDLEMDELQQKQTHDGILLPTVAETPSPSAAALSRVSFENSPVRRRQSKGPASTGKEETDGVVKCSSNSSFKRSEGGSTQRKSSLLANKTKSRLMDPPTSERGEPKSGKTGVGKSGQVMRSGILGKSMEEEEDDSLLDEDLPDEYKIDKLSFLVLLQWLSLILIIAALVCSLTIPYLRKKRLWDLMLWTWEVLVLVLICGRLVSGWIIRIIVFFIERNFLLRKRVLYFVYGVRKAVQNCLWLGLVLIAWHYLFGKKVQRETKSDVLRFVTKIMICLVVGVMLWLVKTLLVKVLASSFHVSTYFDRIQESLFNQYVIETLSGPPLVEIRRAEEEEERLANEVANLQKAGAKIPPGLKTSTLSSPQYKRPIGSGPIQKSPRAKSPMISRVLSVEKGEKDDKGITIEHLHKLNPKNVSAWNMKRLMNIIRHGALSTLDEQIQDSTQEDESATQIRSEYEAKVAARKIFQNVAKPGSKYIYLEDIERFLPEDEALKTMSLFEGASESRRISKKALKNWVVNAFRERRALAFTLNDTKTAVNRLHRMVDILVGIIIVVIWLLILEIASSKVLVFISSQLLLVAFVFGNTCKTVFEAIIFLFVMHPFDVGDRCEIDGVQVPL >KJB82085 pep chromosome:Graimondii2_0_v6:13:46516522:46520730:1 gene:B456_013G175700 transcript:KJB82085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDSSDRKEFILKIDDRSHDGSISTPSGGATAGDGGKIWGEPSYDFWKDGEKININWKKENANSNDAGSSGGVSNKESEDFEFMQSEQVPMDDPPSKLIGQFLHKQKASGEISLDMDLEMDELQQKQTHDGILLPTVAETPSPSAAALSRVSFENSPVRRRQSKGPASTGKEETDGVVKCSSNSSFKRSEGGSTQRKSSLLANKTKSRLMDPPTSERGEPKSGKTGVGKSGQVMRSGILGKSMEEEEDDSLLDEDLPDEYKIDKLSFLVLLQWLSLILIIAALVCSLTIPYLRKKRLWDLMLWTWEVLVLVLICGRLVSGWIIRIIVFFIERNFLLRKRVLYFVYGVRKAVQNCLWLGLVLIAWHYLFGKKVQRETKSDVLRFVTKIMICLVVGVMLWLVKTLLVKVLASSFHVSTYFDRIQESLFNQYVIETLSGPPLVEIRRAEEEEERLANEVANLQKAGAKIPPGLKTSTLSSPQYKRPIGSGPIQKSPRAKSPMISRVLSVEKGEKDDKGITIEHLHKLNPKNVSAWNMKRLMNIIRHGALSTLDEQIQDSTQEDESATQIRSEYEAKVAARKIFQNVAKPGSKYIYLEDIERFLPEDEALKTMSLFEGASESRRISKKALKNWVVNAFRERRALAFTLNDTKTAVNRLHRMVDILVGIIIVVIWLLILEIASSKVLVFISSQLLLVAFVFGNTCKTVFEAIIFLFVMHPFDVGDRCEIDGVQMVVEEMNILTTVFLRYDNQKVIIPNSVLATKAIHNYHRSPDMGDAVEFCIHVKTPAEKIGLMKQRIMSYIEHKSDHWYPDPMIIFKELEELNRVRIAIWLTHRMNHQDMGERFTRRALLIEEMVKIFNDLDIEYRLYPININVCSVPPTASDRLPPKWTGPAS >KJB82084 pep chromosome:Graimondii2_0_v6:13:46515549:46520818:1 gene:B456_013G175700 transcript:KJB82084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSEQVPMDDPPSKLIGQFLHKQKASGEISLDMDLEMDELQQKQTHDGILLPTVAETPSPSAAALSRVSFENSPVRRRQSKGPASTGKEETDGVVKCSSNSSFKRSEGGSTQRKSSLLANKTKSRLMDPPTSERGEPKSGKTGVGKSGQVMRSGILGKSMEEEEDDSLLDEDLPDEYKIDKLSFLVLLQWLSLILIIAALVCSLTIPYLRKKRLWDLMLWTWEVLVLVLICGRLVSGWIIRIIVFFIERNFLLRKRVLYFVYGVRKAVQNCLWLGLVLIAWHYLFGKKVQRETKSDVLRFVTKIMICLVVGVMLWLVKTLLVKVLASSFHVSTYFDRIQESLFNQYVIETLSGPPLVEIRRAEEEEERLANEVANLQKAGAKIPPGLKTSTLSSPQYKRPIGSGPIQKSPRAKSPMISRVLSVEKGEKDDKGITIEHLHKLNPKNVSAWNMKRLMNIIRHGALSTLDEQIQDSTQEDESATQIRSEYEAKVAARKIFQNVAKPGSKYIYLEDIERFLPEDEALKTMSLFEGASESRRISKKALKNWVVNAFRERRALAFTLNDTKTAVNRLHRMVDILVGIIIVVIWLLILEIASSKVLVFISSQLLLVAFVFGNTCKTVFEAIIFLFVMHPFDVGDRCEIDGVQMVVEEMNILTTVFLRYDNQKVIIPNSVLATKAIHNYHRSPDMGDAVEFCIHVKTPAEKIGLMKQRIMSYIEHKSDHWYPDPMIIFKELEELNRVRIAIWLTHRMNHQDMGERFTRRALLIEEMVKIFNDLDIEYRLYPININVCSVPPTASDRLPPKWTGPAS >KJB82083 pep chromosome:Graimondii2_0_v6:13:46515549:46520894:1 gene:B456_013G175700 transcript:KJB82083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSEQVPMDDPPSKLIGQFLHKQKASGEISLDMDLEMDELQQKQTHDGILLPTVAETPSPSAAALSRVSFENSPVRRRQSKGPASTGKEETDGVVKCSSNSSFKRSEGGSTQRKSSLLANKTKSRLMDPPTSERGEPKSGKTGVGKSGQVMRSGILGKSMEEEEDDSLLDEDLPDEYKIDKLSFLVLLQWLSLILIIAALVCSLTIPYLRKKRLWDLMLWTWEVLVLVLICGRLVSGWIIRIIVFFIERNFLLRKRVLYFVYGVRKAVQNCLWLGLVLIAWHYLFGKKVQRETKSDVLRFVTKIMICLVVGVMLWLVKTLLVKVLASSFHVSTYFDRIQESLFNQYVIETLSGPPLVEIRRAEEEEERLANEVANLQKAGAKIPPGLKTSTLSSPQYKRPIGSGPIQKSPRAKSPMISRVLSVEKGEKDDKGITIEHLHKLNPKNVSAWNMKRLMNIIRHGALSTLDEQIQDSTQEDESATQIRSEYEAKVAARKIFQNVAKPGSKYIYLEDIERFLPEDEALKTMSLFEGASESRRISKKALKNWVVNAFRERRALAFTLNDTKTAVNRLHRMVDILVGIIIVVIWLLILEIASSKVLVFISSQLLLVAFVFGNTCKTVFEAIIFLFVMHPFDVGDRCEIDGVQMVVEEMNILTTVFLRYDNQKVIIPNSVLATKAIHNYHRSPDMGDAVEFCIHVKTPAEKIGLMKQRIMSYIEHKSDHWYPDPMIIFKELEELNRVRIAIWLTHRMNHQDMGERFTRRALLIEEMVKIFNDLDIEYRLYPININVCSVPPTASDRLPPKWTGPAS >KJB83841 pep chromosome:Graimondii2_0_v6:13:58030044:58033188:1 gene:B456_013G268100 transcript:KJB83841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITYEQEHAFVYWGLQLFNSDPYANCGYDGVVTQEDEEHYPVNYFEDHYGARECCNVENDEAIAHTVQLQELSQRAIIESSNKVSGYPQDCVDQSIGDFSSGQNCGQEVQDDTGPLSSCSSPEEKLVSEVDRLYSLELADEYALDREVGKRLNQMVPVPVIDEATLDHQRLLERLQVYNLVELKVEGDGICQFRALSDQFYRSPEHHEFLRQQVVNQVGLSAFLFFFKSYPDIDKGYVLMAYGDYLEKMSKSGEWGDHVTLQAAVDLYGVKIYFFLSFWAEVHYNSIYPFGDVPGFGITKKKKKKGRMFQNKHFESPDEYQ >KJB83840 pep chromosome:Graimondii2_0_v6:13:58029709:58030838:1 gene:B456_013G268100 transcript:KJB83840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITYEQEHAFVYWGLQLFNSDPYANCGYDGVVTQEDEEHYPVNYFEDHYGARECCNVENDEAIAHTVQLQELSQRAIIESSNKVSGYPQDCVDQSIGDFSSGQNCGQEVQDDTGPLSSCSSPEEKLVSEVDRLYSLELADEYALDREVGKRLNQMVPVPVSVTISFGSLIMTIQKRL >KJB79745 pep chromosome:Graimondii2_0_v6:13:7262871:7266771:-1 gene:B456_013G064800 transcript:KJB79745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSAMGAFPLLTTPIESNYRACTIPYRFPSDNPRKATPTEIAWIDLFRNSIPSFKKRAESDTTVVDAPTKAEKFAQRYADILDDIKKDPESHGGPPDCILLCRLREQVLRELGFRDIFKKVKDEENTKAISLFPEVVCLNDDIEDGGKRLENLVRGIFAGNIFDLGSAQLAEVFSRDGMSFLASCQNLVPRPWVIDDFDTFKAKWNKKSWKKAVIFVDNSGADVILGILPFARELLKCGTQVVLAANDLPSINDVTYPELIEIISKLKDESGKLIGVDTSNLLIANSGNDLPVIDLTRVSQELAYLASDADLVILEGMVGFSHNPADFT >KJB79741 pep chromosome:Graimondii2_0_v6:13:7261986:7266779:-1 gene:B456_013G064800 transcript:KJB79741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSAMGAFPLLTTPIESNYRACTIPYRFPSDNPRKATPTEIAWIDLFRNSIPSFKKRAESDTTVVDAPTKAEKFAQRYADILDDIKKDPESHGGPPDCILLCRLREQVLRELGFRDIFKKVKDEENTKAISLFPEVVCLNDDIEDGGKRLENLVRGIFAGNIFDLGSAQLAEVFSRDGMSFLASCQNLVPRPWVIDDFDTFKAKWNKKSWKKAIIFVDNSGADVILGILPFARELLKCGTQVVLAANDLPSINDVTYPELIEIISKLKDESGKLIGVDTSNLLIANSGNDLPVIDLTRVSQELAYLASDADLVILEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVFKYNEVLG >KJB79744 pep chromosome:Graimondii2_0_v6:13:7262826:7266771:-1 gene:B456_013G064800 transcript:KJB79744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSAMGAFPLLTTPIESNYRACTIPYRFPSDNPRKATPTEIAWIDLFRNSIPSFKKRAESDTTVVDAPTKAEKFAQRYADILDDIKKDPESHGGPPDCILLCRLREQVLRELGFRDIFKKVKDEENTKAISLFPEVVCLNDDIEDGGKRLENLVRGIFAGNIFDLGSAQLAEVFSRDGMSFLASCQNLVPRPWVIDDFDTFKAKWNKKSWKKAIIFVDNSGADVILGILPFARELLKCGTQVVLAANDLPSINDVTYPELIEIISKLKDESGKLIGVDTSNLLIANSGNDLPVIDLTRVSQELAYLASDADLVILEGMVGFSHNPADFT >KJB79743 pep chromosome:Graimondii2_0_v6:13:7261987:7266771:-1 gene:B456_013G064800 transcript:KJB79743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSAMGAFPLLTTPIESNYRACTIPYRFPSDNPRKATPTEIAWIDLFRNSIPSFKKRAESDTTVVDAPTKAEKFAQRYADILDDIKKDPESHGGPPDCILLCRLREQVLRELGFRDIFKKVKDEENTKAISLFPEVVCLNDDIEDGGKRLENLVRGIFAGNIFDLGSAQLAEVFSRDGMSFLASCQNLVPRPWVIDDFDTFKAKWNKKSWKKAVIFVDNSGADVILGILPFARELLKCGTQVVLAANDLPSINDVTYPELIEIISKLKDESGKLIGVDTSNLLIANSGNDLPVIDLTRVSQELAYLASDADLVILEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVFKYNEVLG >KJB79742 pep chromosome:Graimondii2_0_v6:13:7261987:7266771:-1 gene:B456_013G064800 transcript:KJB79742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSAMGAFPLLTTPIESNYRACTIPYRFPSDNPRKATPTEIAWIDLFRNSIPSFKKRAESDTTVVDAPTKAEKFAQRYADILDDIKKDPESHGGPPDCILLCRLREQVLRELGFRDIFKKVKDEENTKAISLFPEVVCLNDDIEDGGKRLENLVRGIFAGNIFDLGSAQLILQWQAVIFVDNSGADVILGILPFARELLKCGTQVVLAANDLPSINDVTYPELIEIISKLKDESGKLIGVDTSNLLIANSGNDLPVIDLTRVSQELAYLASDADLVILEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVFKYNEVLG >KJB82020 pep chromosome:Graimondii2_0_v6:13:45919332:45922334:-1 gene:B456_013G172400 transcript:KJB82020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRGHTDSNIVIMLVGNKADLRHLRAVSTDDAKAFAERENTFFMETSALESMNVENAFTEVLTQIHHVVSRKALEVGDDPAALPKGRTINVGSKDDVSAVKNAGCCSA >KJB82023 pep chromosome:Graimondii2_0_v6:13:45919385:45921836:-1 gene:B456_013G172400 transcript:KJB82023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFLIFGYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRGHTDSNIVIMLVGNKADLRHLRAVSTDDAKAFAERENTFFMETSALESMNVENAFTEVLTQIHHVVSRKALEVGDDPAALPKGRTINVGSKDDVSAVKNAGCCSA >KJB82021 pep chromosome:Graimondii2_0_v6:13:45919385:45920929:-1 gene:B456_013G172400 transcript:KJB82021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLSSKTRKASLHTFRGYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRGHTDSNIVIMLVGNKADLRHLRAVSTDDAKAFAERENTFFMETSALESMNVENAFTEVLTQIHHVVSRKALEVGDDPAALPKGRTINVGSKDDVSAVKNAGCCSA >KJB82022 pep chromosome:Graimondii2_0_v6:13:45919385:45921473:-1 gene:B456_013G172400 transcript:KJB82022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLYPLMKRYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRGHTDSNIVIMLVGNKADLRHLRAVSTDDAKAFAERENTFFMETSALESMNVENAFTEVLTQIHHVVSRKALEVGDDPAALPKGRTINVGSKDDVSAVKNAGCCSA >KJB82964 pep chromosome:Graimondii2_0_v6:13:54345376:54346261:1 gene:B456_013G223700 transcript:KJB82964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHLTLYWGKNVTLLVDSWKTDSWLSYLLTLLACFLFSSFYQYMEDRRLRFRSLSSSSTTAVPLLPKYQRSAKIATAVLFGFNSAIGYLLMLALMSFNGGVFLAAVSGLAVGYLFFRLIDDEEPWVVIDNACACA >KJB80057 pep chromosome:Graimondii2_0_v6:13:10561805:10563265:-1 gene:B456_013G079200 transcript:KJB80057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYILLTFIKIFLELQRMKLCSYIFTSQLTNSFPDTAKKNTLNFSLTISIHFCPSYKTKILFGFPKVKVQHFFIENFEWVLINSRNKASSIIIIRFSNVIH >KJB79514 pep chromosome:Graimondii2_0_v6:13:5021328:5022176:1 gene:B456_013G053100 transcript:KJB79514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPYTMALWFQLLLLMCGSGIHGVKDLREKQGDAQLVRKVEDKYSLVSNIGHPAAEESSSQVEVEDKYSRIAAWKPFVGKTPQSSSQLAKELEDKYSRIAAWKPFVDKTPQSSSQLAKELEDTYSALSG >KJB79513 pep chromosome:Graimondii2_0_v6:13:5021241:5022205:1 gene:B456_013G053100 transcript:KJB79513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPYTMALWFQLLLLMCGSGIHGVKDLREKQGDAQLVRKVEDKYSLVSNIGHPAAEESSSQVGKQLEDIYSSVSVLVDKSTKLSSDQLAKEVEDKYSRIAAWKPFVGKTPQSSSQLAKELEDKYSRIAAWKPFVDKTPQSSSQLAKELEDTYSALSG >KJB79515 pep chromosome:Graimondii2_0_v6:13:5021328:5022176:1 gene:B456_013G053100 transcript:KJB79515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPYTMALWFQLLLLMCGSGIHGVKDLREKQGDAQLVRKVEDKYSLVSNIGHPAAEESSSQVGKQLEDIYSSVSVLVDKSTKLSSDQLAKELEDKYSRIAAWKPFVDKTPQSSSQLAKELEDTYSALSG >KJB82616 pep chromosome:Graimondii2_0_v6:13:51602015:51606038:1 gene:B456_013G205100 transcript:KJB82616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALNAITTPDCFNLLTFTIAKVPDSTHRQGMQALQEFRLWSSIPQFRGRSQRQQHLSLNHYIKKTSHNTTLI >KJB83777 pep chromosome:Graimondii2_0_v6:13:57727015:57729616:-1 gene:B456_013G263700 transcript:KJB83777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPVQTSPADLPSDPLLKPGAFIDVNGQGKPAEPTKSRNGLIPNGSQPETPSRGSVNSSSSADSKVKRRVVAPETWLPPGWLIEDRVRTSGATAGLVDKYYVDPTSGRKFRSKKEVLYFLENGSPPPKRKKGTELPGSEVPSTGNSPGQKQKKSAKKQKPLNFDFINVPEKVDWFLTDACSDSWTPFLGNDLVPESTKQDWAAAFTSLTVKKSSQAMF >KJB79547 pep chromosome:Graimondii2_0_v6:13:5128558:5130862:-1 gene:B456_013G053700 transcript:KJB79547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVEEEVPSGGEMEELVRPSSTNVAASVNEHPTSHFSGMVRQKAYVFDGFGNYFNKDWDLGEGEGKEFCWYHVELPKVRQKCCQSAQHLIDVLCPPLKLQDILSLASNGPFCGHVDGALVFRVNSPGPISSSFTFRLAARITEKSVITVSLGRVPRLGFSPVGQSLLSEIPSVENPSNYKRGSTNRSGIVIKEHVLEFLLTMNHSEEADNPVPKSISNLVVHVIDTHVDHLEDVVTKLEMELETVELELDRGGFALKKEMLDDRRFPKMHLNLQRLLQVIAHGEQVFPRVKEKCLSKSWFLSKDINSLDELIGRLRRLKENVGFLANRVTAIQAGLDSWQSEQINKKLYYLSFLSIVFLPLSVITGVFGMNVGGVPWTMQNNPEVKDGFFKVMVLCVAMLLLVLLCFLFPILYSHVMAWYKKRFLRRTWSHNRRSCRRTIGIQERSCCPRID >KJB78401 pep chromosome:Graimondii2_0_v6:13:38778196:38779674:1 gene:B456_013G142200 transcript:KJB78401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHNPLFLVLTAIFSSLVVQPVMGAKGFSVELIHRDSPISPFYNASLSSSQILRKNALHSMDRLKHFQSLINQSAIQSAVTPNGGIYLMKLSFGTPPVDYMAVADTGSDLIWIQCVPCLQSQCYPQGSPPFDPQASSTYKKIPCDSDSCQALPRYQCSNDVNDCQYSYSYGDKSFTKGILSTDTLTFDDSNGQKTAFPTSIFGCGHNNQGKFRSPSAGLVGLGGGPTRPALGLLNLPFVTSIISRPGAVSTPLVSKTPQTYYYLSLEGVSIGDKTAQAGSSQGNIIIDSGTTLTYLESSFYSSLETIVKDAIGADPVQSPSESFSLCYGSETNINVPEMVFHFSGADLRLQPVNTFAKFDDNLVCMLIAPTPSDLPLSIFGNFAQINLQVEYDLEKWTVSFVPTDCTNQ >KJB81402 pep chromosome:Graimondii2_0_v6:13:39378633:39379625:1 gene:B456_013G143500 transcript:KJB81402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRSDMQEISREQGKIKEGQRQVREKFEAVESECELLRKETNIIMQQSMSTQLRLAFMFQILKARENHDLDQAAKLTSALRELIARENQQKETM >KJB81401 pep chromosome:Graimondii2_0_v6:13:39378029:39379625:1 gene:B456_013G143500 transcript:KJB81401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMNLSRRRSPLALPFNKLHSRSSLRNHLQRRKSKNIRKRMKRLRSDMQEISREQGKIKEGQRQVREKFEAVESECELLRKETNIIMQQSMSTQLRLAFMFQILKARENHDLDQAAKLTSALRELIARENQQKETM >KJB80566 pep chromosome:Graimondii2_0_v6:13:20655555:20659032:1 gene:B456_013G104300 transcript:KJB80566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAFRGSKKSSDYYMCRCSIFISMSIHETISMNRYKGLKCADNHSEPTFTQIMVASFIGIIIAAALRFHLWKLRDEKIIPRLRSRDKGGGRIDKVERFPHYVARQMGFIDRRECPLLCKLSAEYIRKLEGCEDDIYTFFSNEPDVDSLFVKLVEEFERCILSYFAFHWCHADLMITQVLSSDAEPKRKLKQIFMAATREQRFERVTKNLKVARVFTTLVEEMKAMGLTSTDDSQCTEVMAPVAHSDRSPVLLLMGGGMGAGKSTVLKDILKEPFWAGAAGNAVVIEADAFKESDVIYRALSKRGHSDMVHTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVLQTITMARCVHRRRYRMGAGYKKNPDGTITENYWEQIEEEDQVPEGGKRRKPYRIELVGVVCEAYLAVIRGIRRAIMCRRAVRVNSQLKSHKRFANAFPTYCQLVDNARLYSTNALEGPPKLIGWKEKDRTLLVDPDEIGCLKRIGRLNENADSIYELYRYPNPACQTGSIWKDIVLSPSRVNIQQELKYTIQKVERMENVVSHI >KJB83895 pep chromosome:Graimondii2_0_v6:13:58317803:58317912:1 gene:B456_013G2727002 transcript:KJB83895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLDFNLSPPDISPAIKLHRFFLSSFVGFWLRSTP >KJB83894 pep chromosome:Graimondii2_0_v6:13:58317617:58317912:1 gene:B456_013G2727002 transcript:KJB83894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLDFNLSPPDISPAIKLHRFFLSSFVGFWLRSTP >KJB82219 pep chromosome:Graimondii2_0_v6:13:47970575:47975280:1 gene:B456_013G182300 transcript:KJB82219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSYLKEMRSYSDIEKGDVKHGVSSSQEKMTPENSDLANPPSQEEESTIIFKVRHRLREVNEKAYEPNVIPIGPYHYRKAHLARMEDFKKWWFENFVQEPHLGIDQFREAISPLEEKIRNCYEQPLSFNYKDEKIDKEEFVDMMVYDGCFVVQLILEDHQYDFRKLGRYISAEIFQDLLLLENQLPFFVLLKLYSMINPNPGPTGHFNVLATSALNFFGKDSCSLPINTTSTRHLLDLVHSTFQPSEIQEKVVKVPCCVPKNTCIKHLLRLVRTTSHPSETQAEVESSRNSMPSATELEDAGIHLLSVPPIPEMQIQEQWKECMFGITFDKGTKELKIPTLQVDDFTERFFRNYMAYEQFLPSGEPIYFVDYVAFIDDLINTSKDVELLRKSGIIDNLLGNDEAVTQMFNKLGNFIHYSPESFYYNEIANQVNDHCKKKWNIWKAKLKKDYFHTPWSPISFLAALVLLLLTILQTTFSILSYNQQRQLDY >KJB82217 pep chromosome:Graimondii2_0_v6:13:47970817:47975280:1 gene:B456_013G182300 transcript:KJB82217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSYLKEMRSYSDIEKGDVKHGVSSSQEKMTPENSDLANPPSQEEESTIIFKVRHRLREVNEKAYEPNVIPIGPYHYRKAHLARMEDFKKWWFENFVQEPHLGIDQFREAISPLEEKIRNCYEQPLSFNYKDEKIDKEEFVDMMVYDGCFVVQLILEDHQYDFRKLGRYISAEIFQDLLLLENQLPFFVLLKLYSMINPNPGPTGHFNVLATSALNFFGKDSCSLPINTTSTRHLLDLVHSTFQPSEIQEKVVKVPCCVPKNTCIKHLLRLVRTTSHPSETQAEVESSRNSMPSATELEDAGIHLLSVPPIPEMQIQEQWKECMFGITFDKGTKELKIPTLQVDDFTERFFRNYMAYEQFLPSGEPIYFVDYVAFIDDLINTSKDVELLRKSGIIDNLLGNDEAVTQMFNKLGNFIHYSPESFYYNEIANQVNDHCKKKWNIWKAKLKKDYFHTPWSPISFLAALVLLLLTILQTTFSILSYNQQRQLDY >KJB82218 pep chromosome:Graimondii2_0_v6:13:47970817:47975280:1 gene:B456_013G182300 transcript:KJB82218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSYLKEMRSYSDIEKGDVKHGVSSSQEKMTPENSDLANPPSQEEESTIIFKVRHRLREVNEKAYEPNVIPIGPYHYRKAHLARMEDFKKWWFENFVQEPHLGIDQFREAISPLEEKIRNCYEQPLSFNYKDEKIDKEEFVDMMVYDGCFVVQLILEDHQYDFRKLGRYISAEIFQDLLLLENQLPFFVLLKLYSMINPNPGPTGHFNVLATSALNFFGKDSCSLPINTTSTRHLLDLVHSTFQPSEIQEKVVKVPCCVPKNTCIKHLLRLVRTTSHPSETQAEVESSRNSMPSATELEDAGIHLLSVPPIPEMQIQEQWKECMFGITFDKGTKELKIPTLQVDDFTERFFRNYMAYEQFLPSGEPIYFVDYVAFIDDLINTSKDVELLRKSGIIDNLLGNDEAVTQMFNKLGNFIHYSPESFYYNEIANQVNDHCKKKWNIWKAKLKKDYFHTPWSPISFLAALVLLLLTILQTTFSILSYNQQRQLDY >KJB82817 pep chromosome:Graimondii2_0_v6:13:53569150:53570332:-1 gene:B456_013G215500 transcript:KJB82817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVGKPKGQQVMRTRAMSATFLVMYCVLLFDVVGVLGDVGTAASYDPPYLPTRCGGYSEDQFPPGDLFAAVSDGLWDNGASCGRKYRVRCISGPKRPCKVRSIVVQVVDLCSHDPCPATLQLSNKAFTAISKIDAKVNVEYAQI >KJB83554 pep chromosome:Graimondii2_0_v6:13:57008714:57010256:1 gene:B456_013G252500 transcript:KJB83554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIWHAVASWTWDAQDETCGICRMAFDGCCSDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >KJB83552 pep chromosome:Graimondii2_0_v6:13:57007629:57010840:1 gene:B456_013G252500 transcript:KJB83552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGCCSDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >KJB83553 pep chromosome:Graimondii2_0_v6:13:57007826:57010565:1 gene:B456_013G252500 transcript:KJB83553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGCCSDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >KJB83040 pep chromosome:Graimondii2_0_v6:13:54589579:54603338:-1 gene:B456_013G226700 transcript:KJB83040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVNIIVGSHVWVEDPTLAWIDGEVFKISGEDVHVQTTNGKTAVANISKVFPKDTEAPPGGVDDMTKLSYLHEPGVLHNLAMRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDKNGRISGAAVRTYLLERSRVCQISNPERNYHCFYLLCAAPPEVREKFKLGDPKSFHYLNQSSCYALDGVDDAQEYLATIRAMDVVGISEEEQEAIFSVVAAILHLGNIDFSKGAEVDSSIIKDEKSRFHLNTTAELLQCDVKSLENALIKRVMVTPEEIITRALDPVAAVGSRDALAKTIYSRLFDWLVDKINISIGQDPNSKQLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEAWSTHETFAQKLFQTFKNNKRFIKPKLSRTSFTISHYAGEVTYLADLFLDKNKDYVVAEHQDLLTASKCPFVASLFPPPAEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNVLKPAIFENANIIQQLRCGGVLEAIRISCAGYPTRRTFYEFIHRFGVLAPEILEGNHDDKVACQMILDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLGNAARTIQRLIRTYIARKEFMALRKSAIMLQSHWRGLLACKLYEQLRREAAALKIQKNFRRHIARESYLTVRLAAITLQTGLRAMTARNEFRFKKQTKAAIIVQAALRCHLAYSYYKSLQKAALTTQCGWRGRVARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEGEKAQELAKLQDALHAMQVQVEEANTRVIKEQEAARKAIEEAPPVIKETPVIVQDTEKVNSLAAEVESLKASLLSERKAAEEARNACTGAEARSAELQKKLEDSERKVDQLQESMQRLEEKLANSESEIQVLRQQALAISPTGKSLTTRQRTMIIPRTPENGNVTNGETKVSPDTTLAISNVREPESEEKPQKSLNEKQQENQDILIKCISENLGFSGSKPIAACVIYKCLLQWRSFEVERTTIFDRIIQTIASSIEIQDNNDVLAYWLSNSSTLLLLLQRTLKATGAGSLTPQRRRATSASLFGRMSQGLRASPQSGGLSFLNGRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGTIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAAAQQALIAHWQSIVKSLNRYLDIMKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEFVKAGLAELEQWCYNATEEYAGPAWEELKHIRQAVGFLVIHQKPKKTLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIANMRVMMTEDSNNAVSSSFLLDDDSSIPFTVEDISKSLQKVDISDVDPPSIIRENSGFGFLLPRSD >KJB83042 pep chromosome:Graimondii2_0_v6:13:54590302:54603005:-1 gene:B456_013G226700 transcript:KJB83042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVNIIVGSHVWVEDPTLAWIDGEVFKISGEDVHVQTTNGKTAVANISKVFPKDTEAPPGGVDDMTKLSYLHEPGVLHNLAMRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDKNGRISGAAVRTYLLERSRVCQISNPERNYHCFYLLCAAPPEVREKFKLGDPKSFHYLNQSSCYALDGVDDAQEYLATIRAMDVVGISEEEQEAIFSVVAAILHLGNIDFSKGAEVDSSIIKDEKSRFHLNTTAELLQCDVKSLENALIKRVMVTPEEIITRALDPVAAVGSRDALAKTIYSRLFDWLVDKINISIGQDPNSKQLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPRSTHETFAQKLFQTFKNNKRFIKPKLSRTSFTISHYAGEVTYLADLFLDKNKDYVVAEHQDLLTASKCPFVASLFPPPAEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNVLKPAIFENANIIQQLRCGGVLEAIRISCAGYPTRRTFYEFIHRFGVLAPEILEGNHDDKVACQMILDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLGNAARTIQRLIRTYIARKEFMALRKSAIMLQSHWRGLLACKLYEQLRREAAALKIQKNFRRHIARESYLTVRLAAITLQTGLRAMTARNEFRFKKQTKAAIIVQAALRCHLAYSYYKSLQKAALTTQCGWRGRVARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEGEKAQELAKLQDALHAMQVQVEEANTRVIKEQEAARKAIEEAPPVIKETPVIVQDTEKVNSLAAEVESLKASLLSERKAAEEARNACTGAEARSAELQKKLEDSERKVDQLQESMQRLEEKLANSESEIQVLRQQALAISPTGKSLTTRQRTMIIPRTPENGNVTNGETKVSPDTTLAISNVREPESEEKPQKSLNEKQQENQDILIKCISENLGFSGSKPIAACVIYKCLLQWRSFEVERTTIFDRIIQTIASSIEIQDNNDVLAYWLSNSSTLLLLLQRTLKATGAGSLTPQRRRATSASLFGRMSQGLRASPQSGGLSFLNGRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGTIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAAAQQALIAHWQSIVKSLNRYLDIMKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEFVKAGLAELEQWCYNATEEYAGPAWEELKHIRQAVGFLVIHQKPKKTLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIANMRVMMTEDSNNAVSSSFLLDDDSSIPFTVEDISKSLQKVDISDVDPPSIIRENSGFGFLLPRSD >KJB83041 pep chromosome:Graimondii2_0_v6:13:54589579:54603338:-1 gene:B456_013G226700 transcript:KJB83041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVNIIVGSHVWVEDPTLAWIDGEVFKISGEDVHVQTTNGKTAVANISKVFPKDTEAPPGGVDDMTKLSYLHEPGVLHNLAMRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDKNGRISGAAVRTYLLERSRVCQISNPERNYHCFYLLCAAPPEVREKFKLGDPKSFHYLNQSSCYALDGVDDAQEYLATIRAMDVVGISEEEQEAIFSVVAAILHLGNIDFSKGAEVDSSIIKDEKSRFHLNTTAELLQCDVKSLENALIKRVMVTPEEIITRALDPVAAVGSRDALAKTIYSRLFDWLVDKINISIGQDPNSKQLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPRSTHETFAQKLFQTFKNNKRFIKPKLSRTSFTISHYAGEVTYLADLFLDKNKDYVVAEHQDLLTASKCPFVASLFPPPAEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNVLKPAIFENANIIQQLRCGGVLEAIRISCAGYPTRRTFYEFIHRFGVLAPEILEGNHDDKVACQMILDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLGNAARTIQRLIRTYIARKEFMALRKSAIMLQSHWRGLLACKLYEQLRREAAALKIQKNFRRHIARESYLTVRLAAITLQTGLRAMTARNEFRFKKQTKAAIIVQAALRCHLAYSYYKSLQKAALTTQCGWRGRVARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEGEKAQELAKLQDALHAMQVQVEEANTRVIKEQEAARKAIEEAPPVIKETPVIVQDTEKVNSLAAEVESLKASLLSERKAAEEARNACTGAEARSAELQKKLEDSERKVDQLQESMQRLEEKLANSESEIQVLRQQALAISPTGKSLTTRQRTMIIPRTPENGNVTNGETKVSPDTTLAISNVREPESEEKPQKSLNEKQQENQDILIKCISENLGFSGSKPIAACVIYKCLLQWRSFEVERTTIFDRIIQTIASSIEIQDNNDVLAYWLSNSSTLLLLLQRTLKATGAGSLTPQRRRATSASLFGRMSQGLRASPQSGGLSFLNGRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGTIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAAAQQALIAHWQSIVKSLNRYLDIMKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEFVKAGLAELEQWCYNATEEYAGPAWEELKHIRQAVGFLVIHQKPKKTLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIANMRVMMTEDSNNAVSSSFLLDDDSSIPFTVEDISKSLQKVDISDVDPPSIIRENSGFGFLLPRSD >KJB80035 pep chromosome:Graimondii2_0_v6:13:9971177:9976045:-1 gene:B456_013G077800 transcript:KJB80035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGFQEGKVKSWDTCKSKERKKKKNSGKEDLDDEAAVEETGCWVKLRFIGSCISSRSKVDSSVSGTSTNYDSKSAYDTSKDQPAAPVVSSTTTSNAESSSSTSKLEDELKVASRLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNYLGDLIHPNLVKLIGYCIEDDQRLLAYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGAQKAAQLAAHCLSRDPKARPLMSEVVEVLKPLPNLKDMASSSYYFQTMQAERVGSSPLARNGTRAPASSLSRNGHRSLSIPNGSHASPYHHQYPYQSPKPNGC >KJB80036 pep chromosome:Graimondii2_0_v6:13:9971374:9975960:-1 gene:B456_013G077800 transcript:KJB80036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGFQEGKVKSWDTCKSKERKKKKNSGKEDLDDEAAVEETGCWVKLRFIGSCISSRSKVDSSVSGTSTNYDSKSAYDTSKDQPAAPVVSSTTTSNAESSSSTSKLEDELKVASRLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNYLGDLIHPNLVKLIGYCIEDDQRLLAYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGAQKAAQLAAHCLSRDPKARPLMSEVVEVLKPLPNLKDMASSSYYFQTMQAERVGSSPLARNGTRAPASSLSRNGHRSLSIPNGSHASPYHHQYPYQSPKPNGKP >KJB80438 pep chromosome:Graimondii2_0_v6:13:17327996:17330414:1 gene:B456_013G097600 transcript:KJB80438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFWKRAKSFAEETAKKSQTLTMPNKIADLVAETAKKSKELALEASKKADELALEASKKADELKVAALKQADQIQLQSQSLSKTISDIIPPQLSSLSIASSDPPPISDSELRKFGLTDDLRDFVRNFTSSTFQSFPFPVQDEPDATTTGTNVRKDLNEWQERHATIVLTTVKEIKKLRYELCPRVMKERKFWRIYFTLVSTHVGSYEQQYMEEVKQRAKQEEAKEDEPKQTPVLTTEQSETSLKSKTSNASAEQDLDTFLLGDFDDNDAGGGDDGDGDGDGDGDGSFGDDFDKIENSDVEDEKKRGEGTKA >KJB80606 pep chromosome:Graimondii2_0_v6:13:22968509:22970105:1 gene:B456_013G106600 transcript:KJB80606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELSLFHHLSMEDSSDDVKTTSCPRGHWRPAEDEKLRQLVQQYGAQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRRPFTEEEEERLLAAHRIHGNKWALIARLFPGRTDNAVKNHWHVIMARKQREQSKLCGKRSFQDGLIDSKLCFAPRKSRCQEGFSSRFGFGDSRIFEFQNPSKDKIFSVTSSSSTSSPSWTFASSTSMASNNTSLVELSGRDHGLAMGGSNLLDQSLYKCHSNASAYCSSFRNFSAFGLPNYRRVVSSPFRYLGLEINNDVVKEESSSFTDNASKLTDIRVASNSHQEQDDDSIKTKDVPFIDFLGVGISS >KJB80895 pep chromosome:Graimondii2_0_v6:13:30133835:30136398:-1 gene:B456_013G120400 transcript:KJB80895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMKYLANLIAGVCLIFNLILVSSQPLFVDCGSKGGKDEYGRTWVEDTKYLTSSQNSEQAGAQYHDPLLPSTLPYMNARIFKSQATYKFPKKAKERYFLRFHFYPSTFGNYDVSKSYFAVAAGEITLMNNFSAAITCRALTQAYLVREYSLAPSDSDSLEITFTPSTNYSDTFAFVNGIEFVAMPNLFGTATMVGYRSGGVLDVPTENLQTMYRVNVGGQFIPPNKDAGGLSRTWYIDGTYLNGAVEGLSIQSDKTIKIDYGNMSTATAPEDVYSTARVMGKYGKINLRANLTWVFRVDSNFTYVVRLHFCEFQFDKLNNRVFNIYLNNRSAIADPYPADILAWTNQEEVATYKDFALDLNDESGHNQIRVDLNPSAIHKPRFYNVLLNGLEIFKVSEGRSLASPNPELSDMMIQEDQAAMNKPKTFSKSSDNIVVVGATGGAAAAFVVVALLAFFYTKKRKVHGVGSHTSSWLPVYGNSNVGGSRSNSAHISALAQGLSRHFSIAEIKQATNNFDESNVIGVGGFGKVYKGVINGGTKVAIKRSNPSSEQGINEFQTEIEMLSKLRHKHLVSLIGFCEDGGEMCLVYDYMALGTFREHIYNNKKSNLSWKKRLEICIGAARGLHYLHTGAQYTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPDMNQGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCARPALNPSLPKEQVSLADWALSCHKKGTLDDIIDPHLKDKIDPECLKKFAGTAVKCLSDQGIDRPHMGDVLWNLEFALQLQETADSSGSSGDQGSVRSRDASVRNPRESGNLSVGSEHELNESKDDSVIFSQLVNPTGR >KJB79850 pep chromosome:Graimondii2_0_v6:13:8064206:8068134:-1 gene:B456_013G069700 transcript:KJB79850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAAVSLTFVVLSLSVSVGIGRVNVGNQGFRHGDDRLRGGVLDRQEADRVTKLPGQPTVGFKQYAGYVTVNEKHGRALFYWFFEATSEPQKRPLLLWLNGGPGCSSIGYGQAEELGPFFPKKDQQTLKLNPHRWNKAANLLFVESPVGVGFSYTNTSRDLKELGDEITAKDSYAFLVNWFKRFPQFKSHDFYIAGESYAGHYVPQLADVIFYKNKHVPKSDHINIKGIMIGNALLDDETDQTGMVDYAWDHAVISDRVYNNIKAKCNFSSENSTQDCNEALDDYFAVYRIIDMYSLYSPICVINSNSSSSRQRRTIQGIAPQILSKFDGWHKTPAGYDPCASDYTESYFNRPDVQKALHANVTNMSYAWTHCSDVISIWRDSPPSMLPTIRKLIAGGIRIWVFSGDTDGRIPVTATRLTLKKLGLKTSKEWTPWYTYNKQVGGWTIEYDGLTFVTIRGAGHQVPSFKPKQALQLVKHFLANKKLPHKPF >KJB78535 pep chromosome:Graimondii2_0_v6:13:297064:300511:-1 gene:B456_013G004200 transcript:KJB78535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMRCCGNLLESSKPYFAMISLQFGYAGMNIITKVSLNKGMSHYVLVVYRHAFATAVIAPFALIFERRGQPKITFSVFMQIFILALLGPVIDQNFYYAGLKYTSPTFSCALSNVLPAMTFIMAVIFRMEKIDVKKVRCQAKILGTMVTVAGAMLMTLYKGPIVDLFWSKKYIHTNQSYAPHTTETTDKDWFKGSILLLIATFAWASLFVLQAKALKTYKDHQLSLTSLVCFVGTLQAIAVTFVMEHKASVWQIGWDMNLLAAAYAGIVTSSISYYVQGLVIKKRGPVFATAFSPLMMIIVAIMGSFILAEKIFLGGVIGAILIVIGLYSVLWGKHKENKEAEEEIPEPVKSIQPNGSTILVIGDIEANQDAKEKLSIVAITMPKQMPESPIQK >KJB80359 pep chromosome:Graimondii2_0_v6:13:15145717:15147916:1 gene:B456_013G093600 transcript:KJB80359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGHSSATYCLCKDGLGDQALQKALDYACGAGADCSAILQKGGCYNPNTVKDHCNYAVNSYFQKKGQAQGSCDFSGTATVSANPPSNIASTCSFPSSSTGITAPTGTTTGTPTTTGSTTGTPSTVFGGAGTTLGPTGTTATGFNDQSNAVGLFTKNINFFFTFAIMTLWIVGLSWI >KJB80360 pep chromosome:Graimondii2_0_v6:13:15145717:15147916:1 gene:B456_013G093600 transcript:KJB80360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLFSLLLFLAMTGHSSATYCLCKDGLGDQALQKALDYACGAGADCSAILQKGGCYNPNTVKDHCNYAVNSYFQKKGQAQGSCDFSGTATVSANPPSNIASTCSFPSSTGITAPTGTTTGTPTTTGSTTGTPSTVFGGAGTTLGPTGTTATGFNDQSNAVGLFTKNINFFFTFAIMTLWIVGLSWI >KJB80358 pep chromosome:Graimondii2_0_v6:13:15145684:15147916:1 gene:B456_013G093600 transcript:KJB80358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLFSLLLFLAMTGHSSATYCLCKDGLGDQALQKALDYACGAGADCSAILQKGGCYNPNTVKDHCNYAVNSYFQKKGQAQGSCDFSGTATVSANPPSNIASTCSFPSSSTGITAPTGTTTGTPTTTGSTTGTPSTVFGGAGTTLGPTGTTATGFNDQSNAVGLFTKNINFFFTFAIMTLWIVGLSWI >KJB78681 pep chromosome:Graimondii2_0_v6:13:829888:830436:-1 gene:B456_013G012100 transcript:KJB78681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQHVIHHHPLLFIQEESTLSSCRGCRSYLSGPTYGCKPCRFFIHKSCLDEHKAEVQCFFHPCPLTISTESFFDSNQKDEVICSICEGLCSSSSSTYGCMQMRVFFCMKSIPLQFINHRIHPCTLKFFSSKRKYLFIIF >KJB82221 pep chromosome:Graimondii2_0_v6:13:48015220:48015965:-1 gene:B456_013G1824002 transcript:KJB82221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVEPFTAHYVFALGVARTKLFVVCEPGTQHMEALFKVVYELYTDYVLKNPFYEMEMPIRFGLFDINLTQAVQKDRVALLGR >KJB79557 pep chromosome:Graimondii2_0_v6:13:5201224:5203050:1 gene:B456_013G054100 transcript:KJB79557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGYHMELCKISVAFSLVVLALFSRIGTHGSIGATQPGSTQSKVTVAAAPNPASIKNGSSPQDFWHVHNAARAVVGVCPIIWNNVVARYAANYAEKRISDCDLVHSGRPYGENLAWSNGDLSGPDAVRLWIDEKDYYNLKSGVCDSSHVLIGRNSSRLGCAKVIGSNNGGTFVICNYDHPSNDPIGKNQSNIMVATVPNPSFAAEGSLPQDYLNVHNMACAAVGVRPITSDNMVAMSAANYANKRILNCELMPSKGPYVIWRNSVNLGCAKAKCNNGGTFIVCNYDPLGKIVGLFFYFFSRTKRHKDDINIDNHHHISDVFFSGGEFRYGMALRKFSLVELAKFMANSNLDSHLFKGKSLLNWEVRYKIVQDLASALFYLHEEGDHYYKND >KJB81714 pep chromosome:Graimondii2_0_v6:13:43361534:43363403:-1 gene:B456_013G157900 transcript:KJB81714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQRLKKTITDNPKKLANLIDLANLPSPVREFVGQSQISRLGCFFRVWSYIKENNLQDPNNKNLVNCDEKLRSILLGKPQVELAELPALIKLHFPKVPK >KJB81715 pep chromosome:Graimondii2_0_v6:13:43361621:43363399:-1 gene:B456_013G157900 transcript:KJB81715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQRLKKTITDNPKKLANLIDLANLPSPVREFVGQSQISRLGCFFRVWSYIKENNLQVLLGSKQQESCQL >KJB79564 pep chromosome:Graimondii2_0_v6:13:5344458:5348019:1 gene:B456_013G054600 transcript:KJB79564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKKFGVLLCAEDSDYIKKRYGGYFGVFVEMLAEEGETWDVFRVANGEFPDDDEIAEFDGFVITGSCNDAHGNDVWICRLISLLKKLDSLKTKVLGICFGHQILSRALGGKTGRAVSGWDIGVTTIHLSSSSSKFFSSLEIPTTLPIIECHRDEVRELPPKAEVLAWSEKTGIEMFKYGSHMMGIQGHPEYTQDILFHLIDRLTQRGYIEQMQDWYGDELKAKLEEVEPDKDAWKNLCTSFLKDRL >KJB79565 pep chromosome:Graimondii2_0_v6:13:5344458:5348071:1 gene:B456_013G054600 transcript:KJB79565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKKFGVLLCAEDSDYIKKRYGGYFGVFVEMLAEEGETWDVFRVANGEFPDDDEIAEFDGFVITGSCNDAHGNDVWICRLISLLKKLDSLKTKVLGICFGHQILSRALGGKTGRAVSGWDIGVTTIHLSSSSSKFFSSLEIPTTLPIIECHRDEVRELPPKAEVLAWSEKTGIEMFKYGSHMMGIQGHPEYTQDILFHLIDRLTQRGYIEDWYGDELKAKLEEVEPDKDAWKNLCTSFLKDRL >KJB78428 pep chromosome:Graimondii2_0_v6:13:43255248:43257770:-1 gene:B456_013G157000 transcript:KJB78428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLVTTLIFAVIGIIASLCTRICCNRGPSANLLHLTLVITATVCCWMMWAIVYLAQMKPLIVPILSEGE >KJB78429 pep chromosome:Graimondii2_0_v6:13:43255248:43257799:-1 gene:B456_013G157000 transcript:KJB78429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLVTTLIFAVIGIIASLCTRICCNRGPSANLLHLTLVITATVCCWMMWAIVYLAQMKPLIVPILSEGE >KJB82334 pep chromosome:Graimondii2_0_v6:13:49118593:49119108:1 gene:B456_013G190000 transcript:KJB82334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVEDERWKPPDPIEININFDGAFDKQNSRSGIGIVCRDSSGKILNCRVMVNNRVPTPFAVEALACLQAAKAGLNPRFRRVVIEGDALNIIKKVKSNYKDKSVLSQYISDIKVLCKNYNRCWFRQICKNRNKIAHAVAQKALKINENTYLKGRLPRSMLKETETDAGNTEQI >KJB83846 pep chromosome:Graimondii2_0_v6:13:57967265:57972540:-1 gene:B456_013G267300 transcript:KJB83846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TASNDQGLFVVKFPQTNVVNVPIVPKKYIRRNPRGSKLLPPRINVPESDLHLRRLYGLPPLDLKRKPKYLAAFSVGIHQMNNIDACVKKFSEDFQIVLFHYDGKTTEWDQFEWSKKAIHVTASKQTKWWYAKRFLHPDVVAAYEYIFIWDEDVGVEHFNADRYIELVKKHGLEISQPGLGPNDIVTWEMTRRREGQEVHKVSLERPGWCSDQHLPPCAAFVEIMAPVFSRDAWRCVWYMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSEWIIHKVIPSLVNQEVTPDSNNINFSKGVTKFGKSRRQEQVRIRCKNEWSIFQDRLANADKAYHAQFGNG >KJB83844 pep chromosome:Graimondii2_0_v6:13:57967077:57972191:-1 gene:B456_013G267300 transcript:KJB83844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIDACVKKFSEDFQIVLFHYDGKTTEWDQFEWSKKAIHVTASKQTKWWYAKRFLHPDVVAAYEYIFIWDEDVGVEHFNADRYIELVKKHGLEISQPGLGPNDIVTWEMTRRREGQEVHKVSLERPGWCSDQHLPPCAAFVEIMAPVFSRDAWRCVWYMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSEWIIHKVIPSLVNQEVTPDSNNINFSKGVTKFGKSRRQEVRIRCKNEWSIFQDRLANADKAYHAQFGNG >KJB83845 pep chromosome:Graimondii2_0_v6:13:57967077:57972540:-1 gene:B456_013G267300 transcript:KJB83845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TASNDQGLFVVKFPQTNVVNVPIVPKKYIRRNPRGSKLLPPRINVPESDLHLRRLYGLPPLDLKRKPKYLAAFSVGIHQMNNIDACVKKFSEDFQIVLFHYDGKTTEWDQFEWSKKAIHVTASKQTKWWYAKRFLHPDVVAAYEYIFIWDEDVGVEHFNADRYIELVKKHGLEISQPGLGPNDIVTWEMTRRREGQEVHKVSLERPGWCSDQHLPPCAAFVEIMAPVFSRDAWRCVWYMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSEWIIHKVIPSLVNQEVTPDSNNINFSKGVTKFGKSRRQEVRIRCKNEWSIFQDRLANADKAYHAQFGNG >KJB81565 pep chromosome:Graimondii2_0_v6:13:42150635:42152467:-1 gene:B456_013G153400 transcript:KJB81565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHFNSIIAMAANAAKQTKLPMAATDSPNLTNDENGPKKTTFQSSKATSVATNCAPRTNIPDLKWLGLYYVIYIGAGTLSFFALRNHIRGKKTNDFIDSLYMSVVTMTTVGYGDLVPHDFLSQLVCTGFITVGMLLFGIVVKLAAKYLVFKQQKVLINALHTARKMGPMEALNEIESIEIDYTKCIISLIAMAVHFVIGIFVLVTVEGMEIEDAVYCACTTMTTVGFGDESFSSEFGRTFGVLWIFTGTSCLGQLFLYVAEVYTDIEAKKLVKWVIASNIIDKTDFEAADNLEKGKVHGAADFILYKLKEMRKIKKEDISCAMKDVGVDDRSVFDVIPAQSSEKK >KJB79184 pep chromosome:Graimondii2_0_v6:13:2934306:2938021:-1 gene:B456_013G037100 transcript:KJB79184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFARNSLNALRARHLAVSGQVLQGSQRYGLRLSSRSYGTQKDDEEREQLAKEISKDWSSVFERSINTLFLTEMVRGLMLTLKYFFERKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAVCPAQAITIEAEEREDGSRRTTRLILSFCFSPIIVVFFVSHEFNFEGMTLT >KJB79186 pep chromosome:Graimondii2_0_v6:13:2936360:2938021:-1 gene:B456_013G037100 transcript:KJB79186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFARNSLNALRARHLAVSGQVLQGSQRYGLRLSSRSYGTQKDDEEREQLAKEISKDWSSVFERSINTLFLTEMVRGLMLTLKYFFERKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAVSWSFILLAPLTKLLFLLYVNGTNMQ >KJB79185 pep chromosome:Graimondii2_0_v6:13:2934306:2938053:-1 gene:B456_013G037100 transcript:KJB79185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFARNSLNALRARHLAVSGQVLQGSQRYGLRLSSRSYGTQKDDEEREQLAKEISKDWSSVFERSINTLFLTEMVRGLMLTLKYFFERKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAVCPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGLCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >KJB80672 pep chromosome:Graimondii2_0_v6:13:24579248:24581450:1 gene:B456_013G109700 transcript:KJB80672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNIIGLPMLLFTPASGKDSVAHVIYQNSGDSEATKRPRADYMEMVQGDITDYMQATAIDWLVRVAGEYRLLPETLFLTLQLVVVTCMMIACKYEETTKLSVQVICHIADNKYRKEEILQVESAVLNYLKFEMAVPTAHFFLRILN >KJB81128 pep chromosome:Graimondii2_0_v6:13:34178402:34179695:1 gene:B456_013G130500 transcript:KJB81128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVTEEIKASASEVYRGDEICQVKSKSLLEEMGMPRGLLPLKDIEECGYVKETGFVWLKQKKSITHKFEKIGKPVSYATEVTAVIEKNRIKKLNGVKSKELLIWVTLSDIYVDDPATGKITFKTPAGLSRSYPVSAFEIEGEESSKEKN >KJB79810 pep chromosome:Graimondii2_0_v6:13:15713218:15715277:-1 gene:B456_013G095300 transcript:KJB79810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLTKLYTMQEASQHNTKDDCWIVIDGKCLHPLQVYDVTSYLDEHPGGDDVVLESTGKDATDDFEDAGHSKSAKELLQSFCIGELDTSSPIIPELEISSKREAVKYSEKLMDLTKQYWTIPVAIVGISVLVGFLYLHKK >KJB79809 pep chromosome:Graimondii2_0_v6:13:15713202:15715277:-1 gene:B456_013G095300 transcript:KJB79809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLTKLYTMQEASQHNTKDDCWIVIDGKVYDVTSYLDEHPGGDDVVLESTGKDATDDFEDAGHSKSAKELLQSFCIGELDTSSPIIPELEISSKREAVKYSEKLMDLTKQYWTIPVAIVGISVLVGFLYLHKK >KJB80217 pep chromosome:Graimondii2_0_v6:13:13110895:13111405:1 gene:B456_013G086800 transcript:KJB80217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEPPTNDKIHIEVFSTSSRIGLLHPNESLGYVTISLVGVVNNRRINERYHLIDSKNRRIQIKMQWRTS >KJB82644 pep chromosome:Graimondii2_0_v6:13:51752602:51754173:1 gene:B456_013G206700 transcript:KJB82644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQTQNGQTLVPHHEPQVFPECYESTSSTPYASAPSSPGRAPGPGPGFINSGFFYSAPASPMHFAMTSSVVASSRVSSSTQPSSPDFEFSARFGPTGSGQTGSMSSADELFLNGKIRPMKLSTHLERPQVLAPLLDLGHEDDDDDDDDETEDADNKIRGRDLRLRDKSLRRRTRSMSPFRHAAFGDDQTMCLDKDSGNKADTNNGTSCSSAGRITRRWVFLKDFLRSKSEGTNNNNNSKLWSTISFSPAKEKKPGSNKINGVVHESKNKRPSKTKAVNGIGKKRVPPSPHDLHYTAYKTQAEEMRKKTFLPYRQGLLGCLGFSSKGYSAMNGLAKALNPVSSR >KJB82926 pep chromosome:Graimondii2_0_v6:13:54066339:54067919:1 gene:B456_013G220800 transcript:KJB82926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSASEDDLGSDNHVPADIDWHMLDKSKFFFLGAALFSGVSAGLYPAMVLKTRQQVSSTQISCFKMSFSIMRCEGLRGFYRGFGTTLMGTIPARALYMGALEVTKTSVGSSTVRLGFSDTTATAIASAAAGLSSAMAAQLVWTPIDVVSQRLMVQAPNASPCRYKNGLDAFRKILFADGFKGLYRGFGISMLTYAPSNAVWWASYSVANKLIYGGLGWSDNIRRSESKATAVAVQGLSAAMASGVSALITTPLDTIKTRLQVLDKEENGVRKPLTMLQTVRNLVKESGLAACYRGLGPRWASMSLSATTMITTYELLKRLSAKNQES >KJB82140 pep chromosome:Graimondii2_0_v6:13:55313866:55314698:-1 gene:B456_013G234900 transcript:KJB82140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKFRPHSLFLGFEILYNTFKCLGGMCLWMLKAKMYVLRWFKLIFRLLRGAKNENSPFNKESKRIKLKYRLKLQLHHSTKECTIRIMMMRNH >KJB82588 pep chromosome:Graimondii2_0_v6:13:51416880:51419544:-1 gene:B456_013G203200 transcript:KJB82588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYLLVGPTPIHGFPPSSSSFIANGGNPSISAFPFNGSWRHPYNQHPSEHRNRWVPPPSSSFTPATGINGEQNHYTVLGVARNASSADIKRAYRLLARKYHPDVSKHSRASELFKSIRHAYEVLSNEVTRIRYDRTLTFQEDVGRSYKGKRNHSPKYEDGVRIYRWAELKRKMQEERFRKQYEVNEEYSSFYEETGDETDEESLQQGRGSFIEVIKSAFILLFLLQTFGSQFSLTFSSLMALLDKKLDAGYKIGYVIAWVLGGRGGVLLTLCLLFASWVCGKTSSSVVVLVVIAMWVGSNLARYAPLPQGALLALLYMSIKLQVDLH >KJB82157 pep chromosome:Graimondii2_0_v6:13:48333676:48335603:1 gene:B456_013G1844001 transcript:KJB82157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPANRPKRIVDVGCGIGGSSRYLARKYGAKCQGITLSPVQAGRANALANAQGLADQVCFEVADALNQPFPDDQFDLVWSMESGEHMPDKPKFVKELVRVAAPGGTIIVVTWCHRDLGPSEESLQPWEQKLLNRICDAYYLPEWCSTSDYVKLFQSLSLQDIKAGDWTENVAPFWPAVIRSALTWKGFTSLLRSGNIIFFSTITL >KJB82158 pep chromosome:Graimondii2_0_v6:13:48333676:48336030:1 gene:B456_013G1844001 transcript:KJB82158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPANRPKRIVDVGCGIGGSSRYLARKYGAKCQGITLSPVQAGRANALANAQGLADQVCFEVADALNQPFPDDQFDLVWSMESGEHMPDKPKFVKELVRVAAPGGTIIVVTWCHRDLGPSEESLQPWEQKLLNRICDAYYLPEWCSTSDYVKLFQSLSLQDIKAGDWTENVAPFWPAVIRSALTWKGFTSLLRSGLKTIKGALVMPLMIEGFQKGVIKFAIIACRKPAE >KJB80682 pep chromosome:Graimondii2_0_v6:13:24930003:24937157:-1 gene:B456_013G110000 transcript:KJB80682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVEAFRVGSARIGSSSIWRNNAMEAFSMSAREEDDEEDLKWAAIEKLPTYLRVRRGIFTEGEGQSREIDIKNLGFIERRNLLERLVRIAEDDNERFLLKLKQRIDRVGLDMPTIEVRFEHLNVEAEAYVGSRALPTIFNFSANILEGLLSYLHILPNRKKPLPILNDISGIIRPRRMTLLLGPPSSGKTTLLLSLAGKLGKDLKFAGRVTYNGHGMKEFVPQRTSAYISQYDVHIGEMTVRETLAFSARCQGVGPRYEMLKELSRREKEANIKPDPDIDIYMKAAALEGQEANVVTDYILKILGLEVCADTFVGDEMRRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDTSTTFHIVNSLRQSIHILNGTALISLLQPAPETYDLFDDIILLSDGHVVYQGPRENVLEFFEYMGFKCPERKGVADFLQEVTSRKDQEQYWARKDEPYSFISVKELAEAFQSFHIGQKLGDDLAVPFDKSKSHPAALTKDKYGVSKKELLKACVSREYLLMKRNLFVYVFKMIQLIFIGVITMTIFIRTEMHRDTITDGGIFMGALFFILIMIMFNGFAELAMTILKLPVFYKQRDLLFYPSWAYSLPALILKTPISILEVTVWVFMSYYVIGFDPDVGSFFKNYLVLLCLSQMASGLFRLMGGLGRNIIVANTCGSFALLTVLVMGGFILTRDDVKKWWKWGYWISPLMYAQNAIAVNEFLGKSWRHVPPNSTEPLGVLVMKSRGIFPEPHWYWIGVGALIGYCFLFNFLFTLALKYLDPFGKPQAVISKETLAERIASKTGENIELSSRGRDSSERRTGASSRSLSWKVTSVNEANQKRKRGMVLPFEPLSMSFDEIKYALDMPQEMKAQGISEERLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYVEGTIKISGYPKKQETFARISGYCEQTDIHSPHVTVYESLVFSAWLRLPPEVNSETRMMFIEEVMELVELSSLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGEEIYVGPLGRHSCHLIKYFEEINGIPKIKDGYNPATWMLEVTSGAQEEAIGVNFTNIYKNSELYRRNKALVKELSNPAPGSKDLYFQTRYSQSLLTQCIACLWKQYWSYWRNPPYTAVRFLFTTFIALMFGTIFWDLGSKRTRRQDVFNSMGSMYAAVLFIGFQNAASVQPVVAVERTVFYRERAAGMYSALPYAFGQVVIELPYILVQTVIYGIIVYAMIGFEWTSDKFFWYLFFMYFTFLYFTFYGMMTVAVTPDHNIAGIISSAFFALWNLFSGFIIPRTRIPVWWRWYYWVCPISWSLYGLIASQYGDVQDKFGSGETVQHFVRNYFDFREEFVGVVAIVVVGICVLFGFIFAFSIKAFNFQKR >KJB80861 pep chromosome:Graimondii2_0_v6:13:29566267:29568708:1 gene:B456_013G118500 transcript:KJB80861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSLGILIDIVDEEWMRDTLPDDDHETQQVDDNTWHDLELSTQ >KJB82383 pep chromosome:Graimondii2_0_v6:13:49424691:49428250:1 gene:B456_013G193200 transcript:KJB82383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKGRFLFRLFAASMALGIGFICYYRLRLLPVASGKLERWAWIGLFHCELWFSFYWFLTVICRWNPVYRFPHKNRLSLRYEKELPGVDIFVCTADPSAEPPSMVMNTVLSVMAYDYPPEKLNIYLSDDGASELTFYAMLEASSFSKQWLPFCKKFKVESRSPEAYFRTAVEPDSHHPLMLKHWLLVKKLYEEAKMRVEMKQIPEEIREWNLVSSRNDHQTIFKILIAADAEGNVLPTLVYLAREKRPQFHHHFKAGAMNAL >KJB82382 pep chromosome:Graimondii2_0_v6:13:49424985:49428250:1 gene:B456_013G193200 transcript:KJB82382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKGRFLFRLFAASMALGIGFICYYRLRLLPVASGKLERWAWIGLFHCELWFSFYWFLTVICRWNPVYRFPHKNRLSLRYEKELPGVDIFVCTADPSAEPPSMVMNTVLSVMAYDYPPEKLNIYLSDDGASELTFYAMLEASSFSKQWLPFCKKFKVESRSPEAYFRTAVEPDSHHPLMLKHWLLVKKLYEEAKMRVEMKQIPEEIREWNLVSSRNDHQTIFKILIAADAEGNVLPTLVYLAREKRPQFHHHFKAGAMNAL >KJB82381 pep chromosome:Graimondii2_0_v6:13:49424691:49425929:1 gene:B456_013G193200 transcript:KJB82381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKGRFLFRLFAASMALGIGFICYYRLRLLPVASGKLERWAWIGLFHCELWFSFYWFLTVICRWNPVYRFPHKNRLSLRYEKELPGVDIFVCTADPSAEPPSMVMNTVLSVMAYDYPPEKLNIYLSDDGASELTFYAMLEASSFSKQWLPFCKKFKVESRSPEAYFRTAVEPDSHHPLMLKHWLLVKVFVSFLT >KJB82160 pep chromosome:Graimondii2_0_v6:13:47256213:47258543:-1 gene:B456_013G179000 transcript:KJB82160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSYTEDDFSTSAFDPSTFSVQELVKLAAPDFTIPQPFVRINQQPPSLSVTTPLPPTIDMSRLLLEDDHQNLELHKLHSTCQDWGIFQLVNHGVSSSLLEKLKHEVEEFYRLPLEEKLKYKIREGELEGYGRRMRADGKYDWVDTFNIITNPLHRRSPHLFPQLPPSLRSTMECYLSELQKLATKLIGFMGKALKIKEKEMMKLIDDGMQAVRMAYYPPCPQPESVMGLIPHSDMTVLTILNQLNGVDGLEVKKNGLWFPFNVNPDAFVVNVGDIFQIFSNGVYHSVEHKVICNKERERITVTFNLSPNFEAEVGPSLSLINAENPPLFRRVGMEEYFKQFFTLKIYGKKYLDYMRIQPSENGD >KJB78992 pep chromosome:Graimondii2_0_v6:13:2176549:2177736:-1 gene:B456_013G028800 transcript:KJB78992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFRNSKLAIPKAFFSTQAVKQNPPFPSLKAAKSAIITEKDPEKLAEIFEQCSHLPTFLRHRPIYHLSIRKLARANRLDLVDRLLETQKLHSQDTPALKSEGFWIRLIMLYSNAGMVPQALQTLDDLSRNRYCNISEKSLCAILTVYLNNGMFEQIHDCFKTLSKKLGVKPKVVSHNLVLKAFVKENKIESAREWVEKMDVNPNIDTYNILLGAYLKNGDQNGFDGVMKEVINKGIEGNLATYNHRISRLCKSKECARAKKLLDEMISKGMKPNSASYNTIIDGFCRIGDLESAKKVLDKMLSDGYVLPCSFAYYSLIRSMVNEGELDMALEMSKEIIKRKWVPPFEAMEGLVKGLVERSRSEEAKQVVEKMKKRLKGDALESWGKIEAALPL >KJB81317 pep chromosome:Graimondii2_0_v6:13:37149455:37151735:-1 gene:B456_013G138700 transcript:KJB81317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGLLLSLLVVSSLAMAAAVGRDDVILKEGHRVIVVEYDQDGKHNTKVSISSPSLHQQTDQGEYFGKETMKDAASALPNVGHGISQGKAGSGRHSPGELICDAFGKCTQRVATALGKAKDKVSDTAHEANKLKQAASGTAHEAKEKAKDKAWETAQEVREKVSESAHETRDKVADKKGAIGDALGKAKGAVVQKGQDVKERAKESIDKAKEAATTAKDTAKTMGADIVTNTSEQVENVQEKAMEEAGRAANKVKTSANKYLDGLKYMTSMEALNTVMGIVNLLGLATAYGMSVWVTFISSYILAGQLPRQQFGVVQSKIYPVYFRAMAYSIGMALLGHLLWHRKRSISSPPEVFQAINLLSSLFMVLVNGLYLEPKATKVMFERMKMEKEDGRGRHDFVAEGSRATESPSVADPVAKNSRKGPSTAPAPAPAPAPAVAPTSSEQEVIKRTMGRLNERLKKLNTNSSMLNILTLMALTWHLVYLGQRLTFNC >KJB81695 pep chromosome:Graimondii2_0_v6:13:43283958:43287203:1 gene:B456_013G157300 transcript:KJB81695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAIRFIFFSFLLIPANTQLESNQKGYISAVISTKGLDFAKDLLIEKAVSSIIPLQLSDIEKSAKIPVVGKVRMGLSDIVIYSVDFPFSSVATGDSGIVLVASGATANLNMKWKYSYKTWIVTISDQGTATVEVLGMVVWLKAAVINEEGTLKLFLLDYECHVKDISINVDGGASWLYQGIIDAFRGKIMSEVEDAIIKKIKEGMIKLDSLLQSLPKQLQVNSVVALNVTFMDDPLLTNSSVELEINGLFNGADEISVSNYYSKRARNFLSCNGLAKMVEISLHEKVFQSAGSVYFHADHMHWTLNKIPESLTNTAGWRYIIPQLYEQYPNDDMKLHVTVTSPPVIRIADHDVDTTIYADLTFEVLDSSEVVPIACISLVISTSCSAEIHRNNLTGSIKLTNFTSSLAWSNIGNLNMHLLQAAMSTVLENFFMPDLNLHLRNGFPLPLPHGFTLQNAEIVQLDSKVMVRSDLSYTEDMISRPGINLLATA >KJB81696 pep chromosome:Graimondii2_0_v6:13:43283640:43287722:1 gene:B456_013G157300 transcript:KJB81696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAIRFIFFSFLLIPANTQLESNQKGYISAVISTKGLDFAKDLLIEKAVSSIIPLQLSDIEKSAKIPVVGKVRMGLSDIVIYSVDFPFSSVATGDSGIVLVASGATANLNMKWKYSYKTWIVTISDQGTATVEVLGMVVWLKAAVINEEGTLKLFLLDYECHVKDISINVDGGASWLYQGIIDAFRGKIMSEVEDAIIKKIKEGMIKLDSLLQSLPKQLQVNSVVALNVTFMDDPLLTNSSVELEINGLFNGADEISVSNYYSKRARNFLSCNGLAKMVEISLHEKVFQSAGSVYFHADHMHWTLNKIPESLTNTAGWRYIIPQLYEQYPNDDMKLHVTVTSPPVIRIADHDVDTTIYADLTFEVLDSSEVVPIACISLVISTSCSAEIHRNNLTGSIKLTNFTSSLAWSNIGNLNMHLLQAAMSTVLENFFMPDLNLHLRNGFPLPLPHGFTLQNAEIVQLDSKVMVRSDLSYTEDMISRPETLFLSLFSWTLYKSG >KJB78753 pep chromosome:Graimondii2_0_v6:13:1105802:1106172:-1 gene:B456_013G016100 transcript:KJB78753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMKILSFILILVLVLSIENMGVVGDGCCTDYHRGPCEHGKDDAAPDGSCFKFCTTQQFCRDALCKNNNVCHCAC >KJB80559 pep chromosome:Graimondii2_0_v6:13:20558197:20558685:1 gene:B456_013G103900 transcript:KJB80559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVADNSGEAAVANGNNNLVVETSKSESKEFNVQKLVDMFTKLNPLAKEFFPSSYHHNPTKTGDFNQAPVNKQGNENFPNGRRRNNYNQGRRKLNGKAFRAQKVFFFFF >KJB81395 pep chromosome:Graimondii2_0_v6:13:39129178:39132289:1 gene:B456_013G142900 transcript:KJB81395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNEEYYIILHVGGHFVKDPYVRYVGWEVIRLKEVESGGKGDVGEVQAEVEGVSTIGIEVDEYIGIESSGHINLGSTFREDNDCEVATDEYAGDFATSDGMNNVADEYAGDFATSDGLDNVAAVSSREEKDGIETEVWDSDEHGSLVGSDEDVEHEDGERRRSKFPLYNDKLKFSRRMLFNDGKQFKSAIRKYSKEWVVVNCIASPNCPWKIRASYSPVVKCLQIKMFQDEHHCSVSFKKKKVAAAMIAQHFEAIIKDHPKMKPREIQRRCASEMHVNVTTGCCYRAKKTLRSKMPRSIIKMVVQRVTVDSLPHFKRYYVCFDALKRGWKARCKPLIGLDGCFLKSSFNSEFLTAVRKDVVVELECTDSWAWFLSLLSTNLGLEDGYGYTIISDQQKGLEIAIFEILPRVEHRNYMRHMFTNWSGRNLGKSYECDFWQIVKCATKREWEDRCSVLEKKDKDACNNLMKKSPKMWTIDKDEDWTGSNAKRRAHMRSVMSISTLKRGEVDKVEKKEVHATSVGSSEAGDSQAVISRIHKRSRIFGLSFECSNDEKIKWQMENVYWLHQPQQSMP >KJB83205 pep chromosome:Graimondii2_0_v6:13:55342308:55347049:1 gene:B456_013G235300 transcript:KJB83205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQQVLHNIAIAEFLRDGCSDPKKMLEVLNNIKKRSEELAHASEEQVESGSDVGNKVTSGSKESSSTTHQVSASHSASTIYTAEFDTSVISLNIAVIWFHLHEYAKALSVLEPLYKNIEPIDETTALHICLLLLDVVLASCDASKSADVLNYLEKAFGVGNVSQGDNGNILLQQSTNLVGKLSSVPSSSLVSDASTPDLAASVNASENPLSRTLSEDPLDEMFSTLDIAGQNLSRSADLTSPKELPRTRVDRSIFGVDLKLKLQLYKVRFLLLTRNIKLAKREVKHATNIARGRESSTALLLKAQLEYARGNHRKAIKLLMASTNRTDTAISSMFNNNLGCIYYKLGKYHTSAVFFSKALSNCSSLQKEKPLKLFTFSQDKSLHITYNCGLQYLACGKPIIAARCFQKASLIFYKRPLLWLRLAECCLMAVEKGLVEGSRPPSNRSEIRVDVIGKGRWRKLLIEDGISRSGLVDSVGKDDWALGGDEEPKLSLPLARQCLYNALHLLNCSDSSHLKCLLPSNSSLEENESSDGASSKNPNHKSLVGIDSKPSTLSVGLVNSNGDFKEPKAGTNQEMIHNSVAYFADICRKENQMMKQALLANLAYVELELENPLKALSAARSLLELPGCSRIYIFLGHVYAAEALCLLNKPKEAVEHLSIYLSGPNKVESPFSQEDCEQWLTEKPIDCEEPNGGGGGAATAAKNPSPEGMQEFMFLKPEEACGTLYANLAALYATQGELDRAHQFTTQALSLLPNSTEATMTAIYIDLVLGKSQEALSKLKRCSHVRFLSSNLQSNKSS >KJB83203 pep chromosome:Graimondii2_0_v6:13:55340926:55347423:1 gene:B456_013G235300 transcript:KJB83203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRDSSSSSVPNRDGTAGDDDGVLSVTAALAKDAALYFQSRKFAECVDVLTQLKTKKEGDPKVLHNIAIAEFLRDGCSDPKKMLEVLNNIKKRSEELAHASEEQVESGSDVGNKVTSGSKESSSTTHQVSASHSASTIYTAEFDTSVISLNIAVIWFHLHEYAKALSVLEPLYKNIEPIDETTALHICLLLLDVVLASCDASKSADVLNYLEKAFGVGNVSQGDNGNILLQQSTNLVGKLSSVPSSSLVSDASTPDLAASVNASENPLSRTLSEDPLDEMFSTLDIAGQNLSRSADLTSPKELPRTRVDRSIFGVDLKLKLQLYKVRFLLLTRNIKLAKREVKHATNIARGRESSTALLLKAQLEYARGNHRKAIKLLMASTNRTDTAISSMFNNNLGCIYYKLGKYHTSAVFFSKALSNCSSLQKEKPLKLFTFSQDKSLHITYNCGLQYLACGKPIIAARCFQKASLIFYKRPLLWLRLAECCLMAVEKGLVEGSRPPSNRSEIRVDVIGKGRWRKLLIEDGISRSGLVDSVGKDDWALGGDEEPKLSLPLARQCLYNALHLLNCSDSSHLKCLLPSNSSLEENESSDGASSKNPNHKSLVGIDSKPSTLSVGLVNSNGDFKEPKAGTNQEMIHNSVAYFADICRKENQMMKQALLANLAYVELELENPLKALSAARSLLELPGCSRIYIFLGHVYAAEALCLLNKPKEAVEHLSIYLSGPNKVESPFSQEDCEQWLTEKPIDCEEPNGGGGGAATAAKNPSPEGMQEFMFLKPEEACGTLYANLAALYATQGELDRAHQFTTQALSLLPNSTEATMTAIYIDLVLGKSQEALSKLKRCSHVRFLSSNLQSNKSS >KJB83204 pep chromosome:Graimondii2_0_v6:13:55341000:55347410:1 gene:B456_013G235300 transcript:KJB83204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRDSSSSSVPNRDGTAGDDDGVLSVTAALAKDAALYFQSRKFAECVDVLTQLKTKKEGDPKVLHNIAIAEFLRDGCSDPKKMLEVLNNIKRSEELAHASEEQVESGSDVGNKVTSGSKESSSTTHQVSASHSASTIYTAEFDTSVISLNIAVIWFHLHEYAKALSVLEPLYKNIEPIDETTALHICLLLLDVVLASCDASKSADVLNYLEKAFGVGNVSQGDNGNILLQQSTNLVGKLSSVPSSSLVSDASTPDLAASVNASENPLSRTLSEDPLDEMFSTLDIAGQNLSRSADLTSPKELPRTRVDRSIFGVDLKLKLQLYKVRFLLLTRNIKLAKREVKHATNIARGRESSTALLLKAQLEYARGNHRKAIKLLMASTNRTDTAISSMFNNNLGCIYYKLGKYHTSAVFFSKALSNCSSLQKEKPLKLFTFSQDKSLHITYNCGLQYLACGKPIIAARCFQKARLVEGSRPPSNRSEIRVDVIGKGRWRKLLIEDGISRSGLVDSVGKDDWALGGDEEPKLSLPLARQCLYNALHLLNCSDSSHLKCLLPSNSSLEENESSDGASSKNPNHKSLVGIDSKPSTLSVGLVNSNGDFKEPKAGTNQEMIHNSVAYFADICRKENQMMKQALLANLAYVELELENPLKALSAARSLLELPGCSRIYIFLGHVYAAEALCLLNKPKEAVEHLSIYLSGPNKVESPFSQEDCEQWLTEKPIDCEEPNGGGGGAATAAKNPSPEGMQEFMFLKPEEACGTLYANLAALYATQGELDRAHQFTTQALSLLPNSTEATMTAIYIDLVLGKSQEALSKLKRCSHVRFLSSNLQSNKSS >KJB83803 pep chromosome:Graimondii2_0_v6:13:57842506:57846636:1 gene:B456_013G265500 transcript:KJB83803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGSSWNYQEDFDELNLKLQYTIMELENVKMDAIEQTRKHNEETKHLLNLLELAYKERDEAKNQLQNLINKLVPNSQFENPIFLTPKPNSTSITESNSLSDPYHHHNNHNHGDSFIDTVTSPDFSTIKMGFLNQTNVPGITTVDGLTKGKILPQKGKLLQSVMEAGPLLQTLLVAGPLPNWRNPPPPLQALKFPTVSANGGTKLASQDNPNRADMVQKRFNHCSPSVLNFVSSGFSGSQVLNTSGAVKRQKRQKAK >KJB82285 pep chromosome:Graimondii2_0_v6:13:48783721:48783951:1 gene:B456_013G187400 transcript:KJB82285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLQIAWSNPCGNYRVIGNQKEGLKFCEQCGVEFVDSRIRRYQMGYIRLACPVIHVWYLKRLPNYIANLLEKPLK >KJB83414 pep chromosome:Graimondii2_0_v6:13:56530381:56531027:1 gene:B456_013G246500 transcript:KJB83414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDRNRGVSLIQDDQMFFHRIISRDSSVGCSSRIYYYRSSEGIPFNWEMQPGTPKEPQKEDVLPPISPPPALLSLGLPKPRIDVVEESKVSKLKVFKFWKHGKKNDNKKKIDDHQSDKYSNYETCSSDDDGEFVGSPCVSSSSSSSFSFGSSSTPSRDSSFNRHYGCGPLNFASFLVRVSRSR >KJB81071 pep chromosome:Graimondii2_0_v6:13:33336863:33340568:-1 gene:B456_013G128100 transcript:KJB81071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFPSKRPAPDEADERCESMVPEPKRRATLENAVRSIMGFGGLSLNRIVLNLEPMLRSWVREEAEKAILSSFHPSSRSSLNQIEASRGRSLQLRFVNKLPSTIFTGSKVEAEDGNTIKIILVDAMTETMVSSGSLSSIKIEIVVLNSEFGADERQDWTENEFNASVLRERQGKRPLVTGDVSITLVDGVGNVDNVIFTDNSSWIRSRKFRLGARIVQRISGESKIREATSEAFIVKDHRGELYKKHYPPFLHDEVWRLERIAKDGTFNKRLTSNNIFTVKDFLRLHIIDSSALRHILGCGISNRVWDTIIEHALSCVLDDDEWYSYYGTAQSVGLLLNSIYRVEAATFDGQNYQPVENMTFSQKLLVEDAKRQAYKNVGDLVSVDQRATIRPLMPLTNFLPEPLCIPNLLLQQPELSVENEDKPDTPHGNLNQSSTSYVYEMEDTNQLQDCLPQDELAIQAFNPTLRNSFRMGGIFHSNGENSLSFLSDDHFATEDNSEAEMPIWISTTPAWGYASGSVSTPAASESSSTNFDVNNQRSMGESRDVWPKARWLKLRAVIHWRSFTRGAARRRLCLPLGTCI >KJB82560 pep chromosome:Graimondii2_0_v6:13:51346559:51350567:1 gene:B456_013G202500 transcript:KJB82560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLNKVEDLSKEFLAPDSARKVVSLKAFSKFENTAEALEAATKLLESAPSKGLRKFLRAHCDGETLGVADSKLGNAIKEKLKIDCVHNNAVMELLRGVRTQLTELISGLGAQDLAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIIQDNIMYAKAVKLMGDRANAAKLDFSEVLPEEVETELKEAAVISMGTEISDLDLMNIKDLCDQVLNLSEYRAQLYDYLKSRMNTVAPNLTALVGELVGARLIAHGGSLINLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKLEARLRALEGKELGRSAGSAKGKPKIEVYDKDRKKGAGLITPAKTYNPAADSVLSQITNTAALDEQDTVPKKKKKVEAELPQIEKAAEVPAIEAKKEKKKKKKKADKEAGLPTNENEPENEEPTEKEKKKHQTEDVENAEVGEKKKKKRKHEEQEDTESEVQTKKEKKKKKKKSED >KJB82564 pep chromosome:Graimondii2_0_v6:13:51346551:51350567:1 gene:B456_013G202500 transcript:KJB82564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLNKVEDLSKEFLAPDSARKVVSLKAFSKFENTAEALEAATKLLESAPSKGLRKFLRAHCDGETLGVADSKLGNAIKEKLKIDCVHNNAVMELLRGVRTQLTELISGLGAQDLAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIIQDNIMYAKAVKLMGDRANAAKLDFSEVLPEEVETELKEAAVISMGTEISDLDLMNIKDLCDQVLNLSEYRAQLYDYLKSRMNTVAPNLTALVGELVGARLIAHGGSLINLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKLEARLRALEGKELGRSAGSAKGKPKIEVYDKDRKKGAGLITPAKTYNPAADSVLSQITNTAALDEQDTVPKKKKKVEAELPQIEKAAEVPAIEAKKEKKKKKKKADKEAGLPTNENEPENEEPTEKEKKKHQTEDVENAEVGEKKKKKRKHEEQEDTESEVQTKKEKKKKKKKSED >KJB82565 pep chromosome:Graimondii2_0_v6:13:51346470:51350693:1 gene:B456_013G202500 transcript:KJB82565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLNKVEDLSKEFLAPDSARKVVSLKAFSKFENTAEALEAATKLLESAPSKGLRKFLRAHCDGETLGVADSKLGNAIKEKLKIDCVHNNAVMELLRGVRTQLTELISGLGAQDLAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIIQDNIMYAKAVKLMGDRANAAKLDFSEVLPEEVETELKEAAVISMGTEISDLDLMNIKDLCDQVLNLSEYRAQLYDYLKSRMNTVAPNLTALVGELVGARLIAHGGSLINLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKLEARLRALEGKELGRSAGSAKGKPKIEVYDKDRKKGAGLITPAKTYNPAADSVLSQITNTAALDEQDTVPKKKKKVEAELPQIEKAAEVPAIEAKKEKKKKKKKADKEAGLPTNENEPENEEPTEKEKKKHQTEDVENAEVGEKKKKKRKHEEQEDTESEVQTKKEKKKKKKKSED >KJB82562 pep chromosome:Graimondii2_0_v6:13:51346523:51350701:1 gene:B456_013G202500 transcript:KJB82562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLNKVEDLSKEFLAPDSARKVVSLKAFSKFENTAEALEAATKLLESAPSKGLRKFLRAHCDGETLGVADSKLGNAIKEKLKIDCVHNNAVMELLRGVRTQLTELISGLGAQDLAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIIQDNIMYAKAVKLMGDRANAAKLDFSEVLPEEVETELKEAAVISMGTEISDLDLMNIKDLCDQVLNLSEYRAQLYDYLKSRMNTVAPNLTALVGELVGARLIAHGGSLINLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKLEARLRALEGKELGRSAGSAKGKPKIEVYDKDRKKGAGLITPAKTYNPAADSVLSQITNTAALDEQDTVPKKKKKVEAELPQIEKAAEVPAIEAKKEKKKKKKKADKEAGLPTNENEPENEEPTEKEKKKHQTEDVENAEVGEKKKKKRKHEEQEDTESEVQTKKEKKKKKKKSED >KJB82566 pep chromosome:Graimondii2_0_v6:13:51346572:51350567:1 gene:B456_013G202500 transcript:KJB82566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLNKVEDLSKEFLAPDSARKVVSLKAFSKFENTAEALEAATKLLESAPSKGLRKFLRAHCDGETLGVADSKLGNAIKEKLKIDCVHNNAVMELLRGVRTQLTELISGLGAQDLAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIIQDNIMYAKAVKLMGDRANAAKLDFSEVLPEEVETELKEAAVISMGTEISDLDLMNIKDLCDQVLNLSEYRAQLYDYLKSRMNTVAPNLTALVGELVGARLIAHGGSLINLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKLEARLRALEGKELGRSAGSAKGKPKIEVYDKDRKKGAGLITPAKTYNPAADSVLSQITNTAALDEQDTVPKKKKKVEAELPQIEKAAEVPAIEAKKEKKKKKKKADKEAGLPTNENEPENEEPTEKEKKKHQTEDVENAEVGEKKKKKRKHEEQEDTESEVQTKKEKKKKKKKSED >KJB82563 pep chromosome:Graimondii2_0_v6:13:51347112:51349640:1 gene:B456_013G202500 transcript:KJB82563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLNKVEDLSKEFLAPDSARKVVSLKAFSKFENTAEALEAATKLLESAPSKGLRKFLRAHCDGETLGVADSKLGNAIKEKLKIDCVHNNAVMELLRGVRTQLTELISGLGAQDLAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIIQDNIMYAKAVKLMGDRANAAKLDFSEVLPEEVETELKEAAVISMGTEISDLDLMNIKDLCDQVLNLSEYRAQLYDYLKSRMNTVAPNLTALVGELVGARLIAHGGSLINLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKVNYILALVTYFSFSLHPHTLPEKKNSGM >KJB82561 pep chromosome:Graimondii2_0_v6:13:51346298:51350646:1 gene:B456_013G202500 transcript:KJB82561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLNKVEDLSKEFLAPDSARKVVSLKAFSKFENTAEALEAATKLLESAPSKGLRKFLRAHCDGETLGVADSKLGNAIKEKLKIDCVHNNAVMELLRGVRTQLTELISGLGAQDLAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIIQDNIMYAKAVKLMGDRANAAKLDFSEVLPEEVETELKEAAVISMGTEISDLDLMNIKDLCDQVLNLSEYRAQLYDYLKSRMNTVAPNLTALVGELVGARLIAHGGSLINLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKLEARLRALEGKELGRSAGSAKGKPKIEVYDKDRKKGAGLITPAKTYNPAADSVLSQITNTAALDEQDTVPKKKKKVEAELPQIEKAAEVPAIEAKKEKKKKKKKADKEAGLPTNENEPENEEPTEKEKKKHQTEDVENAEVGEKKKKKRKHEEQEDTESEVQTKKEKKKKKKKSED >KJB79754 pep chromosome:Graimondii2_0_v6:13:7360078:7363178:1 gene:B456_013G065200 transcript:KJB79754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRRSAVKPVIVLKPSKRVSLVEDTTGKASDALPLPLYLTNAVFFTLFFSVVYFLLSRWREKIRASIPLHAVTFPEIVAVFALVASLIYLLGFFGIDFVQSLIIRPSGDVWSGEDDEEGNEVLLREEDARTVPCGQALDCSVPSLPHMVRNVTAQRLFDEKPVRVTTEEDARKVSCGQAVDCSLRSLPPRPPIVTSQKLFHEKTVIVTTEEDEEIIKSVVAGTLPSYSLETKLGDCKRAAAIRREALQRLTGRSLSGLPLDGFDYESILGQCCEMPVGYVQIPVGIAGPLLLNGREYSVPMATTEGCLVASTNRGCKAIHLSGGAASILLKDGMTRAPVVRFSTAKRAAELKFYLEDPENFDTLAVVFNRSSRFGRLQSIKCAIAGKNLYLRFTCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIEGDVVRKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVTAIYIATGQDPAQNVESSHCITMMEAVNDGKDLHISVTMPSVEVGTVGGGTQLASQSACLNLLGVKGASKEVAGANSRMLATIVAGAVLAGELSLMSALAAGQLVKSHMKYNRSSKDVSNLSS >KJB81883 pep chromosome:Graimondii2_0_v6:13:45450896:45454314:-1 gene:B456_013G168800 transcript:KJB81883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMLNMEKKWVFPLVITSLICTILVVTCFNMGLVSSVHKINSIFSIFPAHISMNQRKPGYAESKIDTGPLPPPSGPALPRFAYLVSGSKGDLEKLWRTLHALYHPRNQYVVHLDLEAPAVERVTLASRIANHTVFSKVGNVYMITKANMVTYRGPTMVANTLHACAIHLNRSKNWDWFINLSASDYPLVTQDDLIDAFSDLNRNLNFIEHTSQLGWKADKRAMPLIIDPGLYMSTKSDIFWTSQRRALPTAFKLFTGSAWTVLSHSFVEFCVWGWDNLPRTLLMYYTNFLSSPEGYFQTVVCNVPEFAKTVVNHDLHYISWDTPPKQHPHILTLNDMDKMIRSNAAFARKFSQDDPVLDKIDKDLLGREKGSFTPGAWCSGEPKCLKVGDMNKIKPGPGAQRVRQLIAKLSTKAILGRDQCK >KJB81884 pep chromosome:Graimondii2_0_v6:13:45450911:45454314:-1 gene:B456_013G168800 transcript:KJB81884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMLNMEKKWVFPLVITSLICTILVVTCFNMGLVSSVHKINSIFSIFPAHISMNQRKPGYAESKIDTGPLPPPSGPALPRFAYLVSGSKGDLEKLWRTLHALYHPRNQYVVHLDLEAPAVERVTLASRIANHTVFSKVGNVYMITKANMVTYRGPTMVANTLHACAIHLNRSKNWDWFINLSASDYPLVTQDDLIDAFSDLNRNLNFIEHTSQLGWKADKRAMPLIIDPGLYMSTKSDIFWTSQRRALPTAFKLFTVMQLDGSSILKQCPAFVLQFKLEPHITFLHIDSIYGNLARMR >KJB81885 pep chromosome:Graimondii2_0_v6:13:45450962:45454209:-1 gene:B456_013G168800 transcript:KJB81885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMLNMEKKWVFPLVITSLICTILVVTCFNMGLVSSVHKINSIFSIFPAHISMNQRKPGYAESKIDTGPLPPPSGPALPRFAYLVSGSKGDLEKLWRTLHALYHPRNQYVVHLDLEAPAVERVTLASRIANHTVFSKVGNVYMITKANMVTYRGPTMVANTLHACAIHLNRSKNWDWFINLSASDYPLVTQDGNSLSYALFILSASELVDLVSGDQSVCNLQILLMHFRI >KJB80356 pep chromosome:Graimondii2_0_v6:13:15068294:15070739:-1 gene:B456_013G093500 transcript:KJB80356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGREKEEEQDGVSVHSPCKAPPSSASSLPKEQQEVELELRLLEALEIYPPVKLRGVHRHFVLYGLMEFLRKSLDRHFSPDEVLQLLDRFYNLEVLKPDDEEIDILNHEEDFCLPQSYFVKEEP >KJB80357 pep chromosome:Graimondii2_0_v6:13:15068294:15070668:-1 gene:B456_013G093500 transcript:KJB80357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGREKEEEQDGVSVHSPCKAPPSSASSLPKEQQEVELELRLLEALEIYPPVKLRGVHRHFVLYGLMEFLRKSLDRHFSPDEVLQLLDRFYNLEVLKPDDEEIDILNHEEDFCLPQSYFVKEEP >KJB78962 pep chromosome:Graimondii2_0_v6:13:2019907:2020710:1 gene:B456_013G026900 transcript:KJB78962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYSNASIFLVLEKLPISMKLIVIKWKPISIVLLLLLMLSMPCFFAGSFDVSDREIYEIDYRGPKTHSSIPPPDNSRHRRHSIHRETNTLSPHERRNVRKIHG >KJB82599 pep chromosome:Graimondii2_0_v6:13:51474079:51477085:1 gene:B456_013G203800 transcript:KJB82599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSQDIEKGSSGSLYQPFFHKDEKQQNSHDNGNLFMVILCTFVAVMGSCEFGSSIGYSSPTQQGIMEELGMSSEEYSMFGSILTIGAMVGSISCGRAADLIGRKGTMKMSSIISIAGWLIIYLSLGPLSLDVGRFLTGYGIGVNSYVVPVFIAEITPTHLRGALLTVHQVAIATGLLVAYAVGAFVSWRTLALTGMIPCAVMILGLYFIPDSPRWLAMVGCQAEFNAALQKLRGDTADVSREEAEIKDSLVTLQHLPKATVLDLFLKRNLRLLIIGVGLMVFQQFSGYNGVVFYANQIFTSAGVPPNVGSILYACLQIIVLSLGAVIIDKAGRRPLLMISASGMLLGSLLTGASFCLKEHELASDMGPMFTIIGIMIYMGSYCLGLGGIPWIVMSEVNEEHVVFSLAFK >KJB79482 pep chromosome:Graimondii2_0_v6:13:4901527:4905637:1 gene:B456_013G052300 transcript:KJB79482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLQLCRFSIRIGSDNFGGRSEALPFRKPISVRCSTDSTSVVVDPDNFDAKSFRHNLTRSKNYNRKGFGRKEETLELMNREYTSDILKKLKENGNEYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPDEKIWITNEIIHNPTVNKRLEEMEVKTIPVEGGKKQFDVVNKDDVVVLPAFGAAVDEMLVLNDKNVQIVDTTCPWVTKVWNSVEKHQKGDYTSIIHGKYSHEETIATASFAATYIIVKDMTEAMYVCDYILGGELNGASSTKEAFMEKFKHATSEGFDPDHDLVKLGVANQTTMLKGETEEIGKLVERTMMQKYGVKNINDHFLSFNTICDATQVRAPRCYV >KJB79481 pep chromosome:Graimondii2_0_v6:13:4901526:4905649:1 gene:B456_013G052300 transcript:KJB79481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLQLCRFSIRIGSDNFGGRSEALPFRKPISVRCSTDSTSVVVDPDNFDAKSFRHNLTRSKNYNRKGFGRKEETLELMNREYTSDILKKLKENGNEYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPDEKIWITNEIIHNPTVNKRLEEMEVKTIPVEGGKKQFDVVNKDDVVVLPAFGAAVDEMLVLNDKNVQIVDTTCPWVTKVWNSVEKHQKGDYTSIIHGKYSHEETIATASFAATYIIVKDMTEAMYVCDYILGGELNGASSTKEAFMEKFKHATSEGFDPDHDLVKLGVANQTTMLKGETEEIGKLVERTMMQKYGVKNINDHFLSFNTICDATQERQDAMYKLVEEDLDLMLVVGGWNSSNTSHLQEIPEDSGIPSYWIDSERRIGPGNRIAHKLKHGELVETENWLPEGHITIGVTSGASTPDKAVEDALVKVFDIKREEALQVA >KJB83726 pep chromosome:Graimondii2_0_v6:13:57565087:57569057:1 gene:B456_013G261400 transcript:KJB83726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNLNVDCYVDTAIIVVSGTWRIRCVMGSRSCDCLIAVPMGNQGSILGVEVDLPTKSYVTELIGEQQSRGIGKIARPEDGGFLKPHIFTLTIPQIDGGTNISIKIRWSQMLSYKAGRFSLTVPFCFPEYVTPAIKKISKKEKIELNVNSSVANGILCKATSHPLKEIRRHEGKFSFLYEAEIFKWSNTDFRFSYSVSSGNIFGGILLQSLYDYDQRDMFCIYLFPGSEQNRKVFKKEVVFVVDISESMQGRPLESTKSAISAALSKLSPEDSFNIIAFSNEAFQFSASMELASKDAIERATVWISMKYTVGGSTNLFIPLEKAADMLSNTRGSIPMIFLVTDGSVEDERNICHWMQKRLTNQGVLCPSIHTFGIFSLLLTDASLFDSFCNHYFLRMLAMIGQGEYGAAFDLDSVKVQMNKLFSKGLSTVLANITIDAFDDCEEIEVYSSRIPDLSLESPLTIYGRYEGSFPDNLKVKGILGDLSSFTMDLKIRRAKDIPLDSVLARRQIDAANNSGLVAKLSIQTCNISEYTRMTLLEKNKMLKALKHFGAWKKKGDPQKIVESEPPEMILLQRLSVGFGDLIATAENIRPGSQEPELPEVAKIFIKTTSNYFGGIRNRWCCMGCIRCCSKMNNQCATTLTQL >KJB82324 pep chromosome:Graimondii2_0_v6:13:49077263:49078133:1 gene:B456_013G189500 transcript:KJB82324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQIFVAIFILALASPLTFASDPSPLQDFCVAINDPKGSVFVNGKFCKDAKLAKADDFYFSGLHIRKNTSNTFGSTVTPLNVAQMPGLHTLGISMVRIDYAPNGGLNPPHTHPRASEILVVLEGTRHVGFITSNPDNRLISKVLYPGDVFVFPVGLIHFQYNIGNTYAVAFAGLSSENPGVITIANTVFGSNPSINADILAKTFNLDRKMVKNLQSKF >KJB81100 pep chromosome:Graimondii2_0_v6:13:33691000:33694291:-1 gene:B456_013G129200 transcript:KJB81100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKPIPNFIFLILVSFFVIASAEVFFEERFDDGWESRWVKSDWKKDDNMAGEWNYTSGKWSGDLNDKGIQTSEDYRFYAISAKFPEVNNKGKTLVFQFSVKHEQQLDCGGGYMKLLSGDIDQKNFGGDTPYSIMFGPDICGSTKKVHAILHYKGTNHLMKKEVPCETDQLTHVYTFILHPDATYKILIDNVEKRTGSLYTDWDLLPPKRIKDPSAKKPEDWDDKEFIPDPEDKKPEGYDDIPKEIPDPDATKPEDWDDEEDGEWTPSTIPNPEYNGPWKPKKIKNPNYKGKWKAPKIDNPDFKDDPDLYVFPNLKHVGIELWQVKSGTLFDNILVADDVEYAKKLAEETWGKQKDAEKAAFEEAEKKEEEDSKDDAADSDVDDDHGDSSSDDGEGSESDFDSKSDASKDSVEKDVHDEL >KJB81101 pep chromosome:Graimondii2_0_v6:13:33691014:33693949:-1 gene:B456_013G129200 transcript:KJB81101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEWNYTSGKWSGDLNDKGIQTSEDYRFYAISAKFPEVNNKGKTLVFQFSVKHEQQLDCGGGYMKLLSGDIDQKNFGGDTPYSIMFGPDICGSTKKVHAILHYKGTNHLMKKEVPCETDQLTHVYTFILHPDATYKILIDNVEKRTGSLYTDWDLLPPKRIKDPSAKKPEDWDDKEFIPDPEDKKPEGYDDIPKEIPDPDATKPEDWDDEEDGEWTPSTIPNPEYNGPWKPKKIKNPNYKGKWKAPKIDNPDFKDDPDLYVFPNLKHVGIELWQVKSGTLFDNILVADDVEYAKKLAEETWGKQKDAEKAAFEEAEKKEEEDSKDDAADSDVDDDHGDSSSDDGEGSESDFDSKSDASKDSVEKDVHDEL >KJB81102 pep chromosome:Graimondii2_0_v6:13:33691050:33694221:-1 gene:B456_013G129200 transcript:KJB81102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKPIPNFIFLILVSFFVIASAEVFFEERFDDGWESRWVKSDWKKDDNMAGEWNYTSGKWSGDLNDKGIQTSEDYRFYAISAKFPEVNNKGKTLVFQFSVKHEQQLDCGGGYMKLLSGDIDQKNFGGDTPYSIMFGPDICGSTKKVHAILHYKGTNHLMKKEVPCETDQLTHVYTFILHPDATYKILIDNVEKRTGSLYTDWDLLPPKRIKDPSAKKPEDWDDKEFIPDPEDKKPEGYDDIPKEIPDPDATKPEDWDDEEDGEWTPSTIPNPEYNGPWKPKKIKNPNYKGKWKAPKIDNPDFKDDPDLYVFPNLKHVGIELWQVKSGTLFDNILVADDVEYAKKLAEETWGKQKDAEKAAFEEAEKKEEEDSKDDAADSDVDDDHGDSSSDDGEGSESDFDSKSDASKDSVEKDVHVSSSFPFCLCLYIDFGCLINQNDYPFECRMSCKVNLV >KJB82274 pep chromosome:Graimondii2_0_v6:13:48636068:48636703:1 gene:B456_013G186700 transcript:KJB82274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSFFHYPNLHFSPESSSSSYVSQALPFNENDSQEMLLLGVLNQAPAPAENSIDTASVNWTSRDEHEVNSKEVSYRGVRKRPWGKYAAEIRDSTRNGERVWLGTFDTAEAAALAYDQAALAMRGSMAILNFPMDEVYKSLLEMNYGFEEGCSPVLTMKKRYSMKGKRSGKKKIIKKEKESMEVENIIVLEDLGADYLEELLSISESATRW >KJB83656 pep chromosome:Graimondii2_0_v6:13:57412590:57416446:-1 gene:B456_013G258700 transcript:KJB83656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSPSPRFKLSALSNGGGNGSMTFNVIGTRNFAHFKGIIEIESRLKLTSSRFKLSGFSTLHCVRPPDYAGNTTENDEIDSESNVSDIGIGDQEKIGGGCGGGGRDNGGNDSFGGGGGEDEEGKEFGPMLKFEEVKKEAEARGVKLPSDMMDAAKSCGIRKLFLLRYLDLQGSIWPLGFLMKHCSMLRDRMLADPSFLFKVGTEVGIDSFCATFAEMKKRGDAFWLEFELYLADVLVGLVIDVALVGMLAPYARFSQPSAASRGLFGSLQQACSALPGSVFEAERPGCTFSAKQRIATFFYKGVLYGSVGFGCGLVGQGIANLVMTTKRRIRKSDEDIPVPPLLRTASLWGVFLAVSANARYQIINGLEQLVEASPVTKQVPPVAMAFTIGVRFANNVYSGMQFVDWAKWSGVQ >KJB82915 pep chromosome:Graimondii2_0_v6:13:54030501:54031251:-1 gene:B456_013G220300 transcript:KJB82915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLRSCSTDIPPPLSSSSIPMMTTTTALTGTALSFDEEESTEARIRRLISEHPVIIFSRSSCCMCHVMKKLLVTIGVHPTVIQLDDHEIASLPPPPPPATGHGGISSRNPVPAVFIGGSCVGGLESLVALHLSGHLIPKLVEVGALWG >KJB78792 pep chromosome:Graimondii2_0_v6:13:1342748:1344469:1 gene:B456_013G019300 transcript:KJB78792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREEDVRLGANRFSERQPIGTAAQSQDEGKDYTEPPPAPFFELRELCSWSFYRAGIAEFMATFLFLYITILTVMGVVKENTKCRTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSFARAVFYMAMQCLGAICGAGVVKGFMGKTRYGLLGGGANAVNPGYTKGAGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPVTGTGINPARSLAAAIIFNKDKGWDDHWIFWVGPFIGALLAALYHQVVIRAIPFKSK >KJB79775 pep chromosome:Graimondii2_0_v6:13:7424958:7425713:-1 gene:B456_013G065800 transcript:KJB79775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSIAISILCTLLWLALLSPSEGDPKFCPTTMQISGSCGPNGAFECFEAINAKYGASAMAQRCSCKDLSANEHLCQCYIVCQ >KJB79776 pep chromosome:Graimondii2_0_v6:13:7424970:7434417:-1 gene:B456_013G065800 transcript:KJB79776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSIAISILCTLLWLALLSPSEGDPKFCPTTMQISGSCGPNGAFECFEAINAKYGASAMAQRCSCKDLSANEHLCQCYIVCQ >KJB83776 pep chromosome:Graimondii2_0_v6:13:57711625:57714049:1 gene:B456_013G263500 transcript:KJB83776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTAVVIQSWTWPSNSLIVTVSSMQGHKEWINEVNFLGVVNHPNLVRLIGYCAEDDERGIQRLLVYELMRNKSLEDRLLARTPLPLPPLPWVTRLSIAQDAARGLAYLHEEMDFQLIFRDFKTSNILLDDDFNAKLSDFGLARQGPPEGFGHVSTAVVGTVGYTAPEYVQTGRLTAKSDVWSFGVVLYELVTGRRALERNLPRSEQKLLEWVRPYISDSKKFHLIVDPRLEGQYCLKSARKLASLANKCLMKNPKPRPKMSEVVEMLGNIISETSSQYESISQPIGENEDVKEESEVETEESTKQGHNYLKKVFEIKEIISLRNRSVGKLDWRNWTPGLVRTW >KJB83775 pep chromosome:Graimondii2_0_v6:13:57711487:57714125:1 gene:B456_013G263500 transcript:KJB83775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKCFHFTNGEGRDEGEDVSGGGGVVSRMSSKVTWTRSLSVASSSADTRRSEFDSESTRDFASAASFCEFLTQRRANDLRVFTFAELKSATRGFSRALLIGEGGFGCVYRGAVDVSDEVNGGRYSKLDVAIKQLNRHGFQGHKEWINEVNFLGVVNHPNLVRLIGYCAEDDERGIQRLLVYELMRNKSLEDRLLARTPLPLPPLPWVTRLSIAQDAARGLAYLHEEMDFQLIFRDFKTSNILLDDDFNAKLSDFGLARQGPPEGFGHVSTAVVGTVGYTAPEYVQTGRLTAKSDVWSFGVVLYELVTGRRALERNLPRSEQKLLEWVRPYISDSKKFHLIVDPRLEGQYCLKSARKLASLANKCLMKNPKPRPKMSEVVEMLGNIISETSSQYESISQPIGENEDVKEESEVETEESTKQGHNYLKKVFEIKEIISLRNRSVGKLDWRNWTPGLVRTW >KJB83463 pep chromosome:Graimondii2_0_v6:13:56743521:56744591:1 gene:B456_013G249100 transcript:KJB83463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDDSSTVLNTKLTVLAAETSDSGNSGYKFRPGKRSRYDQIGSLPKFKGVVPQQNGHWGAQIYANHQRIWLGTFKSESEAAMAYDSAAVKLRSGDSHRNFPWTEQNIQEPDFQSLYSTEDVLNMIRDGSYQAKFEDFVKILSKRDGKLNTSNNVNKKLVHGDKQFPCMQLFQKELTPSDVGKLNRLVIPKKYAVKYFPHICETDRQVSAAGGGVEDIELVFYDKLMVTWKFRYCYWKSSQSFVFTRGWSRFVKEKKLNEKDTVTFYSCECSGEDQNGKSFFLIDVNYNGENGLGSSAAALEEEDRRDDDLAVGLEFNSGSTTKGYSNKAIEGFENGGRNVKQKSVTLFGVQINLL >KJB79623 pep chromosome:Graimondii2_0_v6:13:6280193:6282746:-1 gene:B456_013G059500 transcript:KJB79623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAPTGSPPSPPSLTHPPPPRKLTSVKALSESPGLSSIPSIYTFPEQPNHEPVSDTKEPIPTIDFSLLISSNPDERSKTIRELGKACRDWGFFMVTNHGVPERMMKAMIEVCREFFELTEEEKGESEGKHVLDPIRYGTSFNPSLDKILYWRDYLKIFQHPAFHSPSNPPSFSAIALEYSKRTRGVMKEIVRGISESLGLEDKYIEKASSLENCLQIMVANLYPPCPQPELAMGLAAHTDHGLLTLLIQNDTVGLQVLHKDKWVNIHPIPNSFLVNIGDHIDILSNGKYKSVLHRAVVNDRDVRISIALAHGPAADVAVNPAPMLLEDGQNPLAYPAIKYKEYVELQQSSKLDGKSYLEHIRNRGI >KJB83854 pep chromosome:Graimondii2_0_v6:13:58016215:58016574:1 gene:B456_013G267900 transcript:KJB83854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAQVAILYQPQQPPPLALPSTPPAKTTSSSHPPHKCPMAETFYRSPAPGELPFAKVNACSLLAIWSEFFIQLYIKKFKYSFQSIFFI >KJB78771 pep chromosome:Graimondii2_0_v6:13:1256071:1256424:-1 gene:B456_013G018200 transcript:KJB78771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLFSKVLSPTDIEHRLAVPSEILWAFEFDQARRCADFEVKDKHGQTWEFRCSTRKKDFHPKPVVSKGWRKFVKDKGLQAGDKVVFYKDVEGDSSYKIITMKKVFKLFGEEVWLPS >KJB80275 pep chromosome:Graimondii2_0_v6:13:14296121:14298972:1 gene:B456_013G089700 transcript:KJB80275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDLNELNINELQALEAKMDSSLLAIRERKEDDGSDSLSVQLVDEKHWEDLFIVIAVSKECLEKVTQTISFLAQPRESHLLLLTGEVKRDRAAKLLGLRACNFRPRHSSKLGNEFRVFTNYDPGERLGGWEQE >KJB80276 pep chromosome:Graimondii2_0_v6:13:14296139:14298972:1 gene:B456_013G089700 transcript:KJB80276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDLNELNINELQALEAKMDSSLLAIRERKEDDGSDSLSVQLVDEKHWEDLFIVIAVSKECLEKVTQTISFLAQPRESHLLLLTGEVKRDRAAKLLGLRACNFRPRHSSKLGNEFRVFTNYDPGERLGGWEQE >KJB78825 pep chromosome:Graimondii2_0_v6:13:1478135:1479870:1 gene:B456_013G021200 transcript:KJB78825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRSNPIEVPPAASSSEEDEEVTSSEEVDEGSSTEEDPKTQSTPMSQKSPPPRKLETATPAIVKEGSDESGSDSESDTAAQIAIATEPRCNKSLASSSKRPGKSELDAKEAKRLKKKVGEEGMATAPVVEGVKKTGEDAKKLLFQRLFSEDDEIALLIGMLDYSAKKGADPCADMNEFYEFVKKSIHTDVSKVQLMDKIRRLKKKFKNNAGKNSKGEDPTFSKPHEQNAFELSKQIWGKEGISGKVESSTAKSNGKAKGKNKAEVAVKAELLSSSDKKIDDAVPVEVDEVVSKSSSNFLDKKFSLSDLEEAVVKVGLDMVDGEKKAALEAKWMKLQVAQLEAYARRTEFVAEQAKLLLKYYKSEDK >KJB83743 pep chromosome:Graimondii2_0_v6:13:57606429:57610383:-1 gene:B456_013G262000 transcript:KJB83743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFEDKRWNLQGMTALVTGGTKGIGYAIVEELAGLGARVHTCSRTITELNDCLLEWKAKGFRVTGSVCDVSNQAQREKLLNAVSSEFNGELNILVNNVGISMGKLVSDYTAEDISKLTSINFESAYNISVLAHPLLKASPNASIVFISSVAGILPVFFAPIYGANKGAMNHLAKYLACDWAGDNIRVNTIAPGVIKTPLSEQFFDEKDERLKETINRTPLRRLGQPKEVSATVAFLCLPAASYLTGQIICVDGGMTVNGLNFPK >KJB78470 pep chromosome:Graimondii2_0_v6:13:21843:23373:1 gene:B456_013G000300 transcript:KJB78470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFVVSSLIHCFQLLSHCYPQSFAPKSGPPFFKRYLGSILHLSHSANTRPFPDYSPKKPTPYESKFRSNYLIWVQMNIKGEYTLVLDFFEWACLHRDPTLKARCIVFQIDTASKDLKMAHQLIHDFWSKPDLDIDLSFSYILDRLIYTYKDWGSDPKVFDVFFQVLVELGLLDEGNKLFDKILNYGLIISVDSLNIYLSKLRDHLGGFWRAVKVFFELPDVGSCWNTASYNIIIHSLFKLGIIKEAHRLLLQLELRGCFPDVVTYSTIIDGYCHRGGCKCVIYLLCEIGKVVQAEEALREMLNQGILSDSVMTEACNVFQEILGRGLEADEFTYTALIDGYCKAGEMKKAFSLYNLMVQMGLIPNVATYTAKIQQRKTHNSKIKNVKKNLTSLYEWLINFRKISSYCGQ >KJB83390 pep chromosome:Graimondii2_0_v6:13:56403686:56406057:1 gene:B456_013G245100 transcript:KJB83390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVNTPSGKLYSSLRDRVCNGKTGKVGVNQELLFTMELGKTYKYRVCNVGLKASLNFRIQGHKLKLVEMVGSHTVQNLYESLDVHIGQCFSILVAADQDPKDYYMVASTRFLKEVQTTTAIIRYKNGKGEASTELPPAPIGWACFCSQLMLLVLHFCVCCCICSNTWSLNQFRTFRWNLTASAARPNPQGSYRYGAISITRTIRLVNSVSRVNGKLRYGFNGVSYTDPNTPLKLAEYFNLADKVFKYNVIKDEPEKTTTLTIQPNVHNMTFRNFPGTWSPEKRENYNLLDAVSRHTVQVFPKSRAAILLTFDNAGMWNIRSEIWERTYLGEQFYTSVLSPEKSLRDEYNIPEDTPLCGIIAGLPKPSPYTI >KJB82346 pep chromosome:Graimondii2_0_v6:13:49240463:49241919:1 gene:B456_013G191200 transcript:KJB82346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPCAVHKVQTCSPSKLTKQFFRLLLVSYPESLSHTPMDNQDGVMAMAVEAALEWNRREKRQMRKLQRAVREKGRERTLLKRKKEDMAAKKAAKQNVVDEFMPFFDAIAKNDMETAQNFDETAMMNTIRTTLNDG >KJB82657 pep chromosome:Graimondii2_0_v6:13:51839581:51841706:1 gene:B456_013G207400 transcript:KJB82657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFFSKAEVTSSGRPVLLRNEVECHLLSAVDLEPEDHRHFSLLKSGLLILTTHRLIWLPSSSASTPTSASAIPLAAISHIFSSKKSLKSVFHSPRIRFQVLVSSDGRVFDPGSESGSSSGSGSRSVVVTAVIRGKGDCDGFLVKFWDSWRARAWETTETSDSGSASASGSGAATGTGGGLYSSDGSVRMVGVAGILRKEQEMWESTDKSLQDAFQDLNALMSKAKEMVMLAEKMRQKLLSGTSSQTIGTNDDDMGSKEEMQDWLLSVGIISPVTKESAGALYHQQLSRQLADFVRIPLERAGGMINLIDAYCLFNRARGTDRNFGFPAANNV >KJB82659 pep chromosome:Graimondii2_0_v6:13:51839463:51844610:1 gene:B456_013G207400 transcript:KJB82659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFFSKAEVTSSGRPVLLRNEVECHLLSAVDLEPEDHRHFSLLKSGLLILTTHRLIWLPSSSASTPTSASAIPLAAISHIFSSKKSLKSVFHSPRIRFQVLVSSDGRVFDPGSESGSSSGSGSRSVVVTAVIRGKGDCDGFLVKFWDSWRARAWETTETSDSGSASASGSGAATGTGGGLYSSDGSVRMVGVAGILRKEQEMWESTDKSLQDAFQDLNALMSKAKEMVMLAEKMRQKLLSGTSSQTIGTNDDDMGSKEEMQDWLLSVGIISPVTKESAGALYHQQLSRQLADFVRIPLERAGGMINLIDAYCLFNRARGTELISPDDMLQACSLWEKFDVPVMLRKFDSGVMVIQNKSHSDEEVFARIKSLVTKPEALLTGISPTDAAMTLGIAPAMAKEHLLTAEIKGLLCRDISPDGFRFYINLFPEIDPCNMYFVKNYGICSTWIKAVSSTG >KJB82658 pep chromosome:Graimondii2_0_v6:13:51837843:51842017:1 gene:B456_013G207400 transcript:KJB82658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFFSKAEVTSSGRPVLLRNEVECHLLSAVDLEPEDHRHFSLLKSGLLILTTHRLIWLPSSSASTPTSASAIPLAAISHIFSSKKSLKSVFHSPRIRFQVLVSSDGRVFDPGSESGSSSGSGSRSVVVTAVIRGKGDCDGFLVKFWDSWRARAWETTETSDSGSASASGSGAATGTGGGLYSSDGSVRMVGVAGILRKEQEMWESTDKSLQDAFQDLNALMSKAKEMVMLAEKMRQKLLSGTSSQTIGTNDDDMGSKEEMQDWLLSVGIISPVTKESAGALYHQQLSRQLADFVRIPLERAGGMINLIDAYCLFNRARGTDRNFGFPAANNV >KJB80178 pep chromosome:Graimondii2_0_v6:13:31297147:31297677:-1 gene:B456_013G122300 transcript:KJB80178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDLSKITLRPFKLEDADDFLLFAGDDQVTNYLRWQTLSSKQEALDHIKDVCIPHPWRRSICIDNCSIGFISIFPFSGEEHRFKANIGYGVAVKYWGHGIATKALKMAVPQFFADFPDVVRLEAFIDAQNLGSQRVVEKTGFQKEGLLRKYAYMKGNLRDMFIYSFLSTDLPANP >KJB82385 pep chromosome:Graimondii2_0_v6:13:49468326:49472971:1 gene:B456_013G193400 transcript:KJB82385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWREGEETRMGKNGYLPLFETRPARGLVFFRSYAASIFIGICFICFHRVSYFPVTERWVWVGMFVAELWFSFYFFVTVIVKWNPVFRSTFKDRLSSRYEEEELPGVDIFVCTADPRLEPPTMVVSTVLSVMAYDYPPHKLSVYLSDDGCSDLTFYALLEASGFAQLWLPFCRKLKVEPTSPEAYFQTTPEPVDDAFMANEWLIIKKTYEDMKTRIGSMTRLGKVPADIRKEHKGFDEWDFVVSRHDHPSILQILIDGRDPNAIDIEGKALPYLAREKRPQIHHNFKAGALNALIRISSRISNAPFILNVDCDMHSNDSKAIRDALCFFLDEENGREIGYVQYPQTFGNLTKNEIYGSLRVVMKLELAGFDGNGGPCYIGTGCVHRRESLCGMKYSKELVVEWKAMKYDRKIIEKASSIEGNCKALASCTYEENTPWGKEMGVKYGCVVEDILTGICIQSRGWRSVYLTPQREAFLGMVPTTLLDTLVQHKRWAEGDFQIFQSKLCPFVYQVLGACHICMSSLSTAFTVLWNLYGWEAQSEVG >KJB82386 pep chromosome:Graimondii2_0_v6:13:49468326:49473261:1 gene:B456_013G193400 transcript:KJB82386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWREGEETRMGKNGYLPLFETRPARGLVFFRSYAASIFIGICFICFHRVSYFPVTERWVWVGMFVAELWFSFYFFVTVIVKWNPVFRSTFKDRLSSRYEEEELPGVDIFVCTADPRLEPPTMVVSTVLSVMAYDYPPHKLSVYLSDDGCSDLTFYALLEASGFAQLWLPFCRKLKVEPTSPEAYFQTTPEPVDDAFMANEWLIIKKTYEDMKTRIGSMTRLGKVPADIRKEHKGFDEWDFVVSRHDHPSILQILIDGRDPNAIDIEGKALPYLAREKRPQIHHNFKAGALNALLELAGFDGNGGPCYIGTGCVHRRESLCGMKYSKELVVEWKAMKYDRKIIEKASSIEGNCKALASCTYEENTPWGKEMGVKYGCVVEDILTGICIQSRGWRSVYLTPQREAFLGMVPTTLLDTLVQHKRWAEGDFQIFQSKLCPFVYGCQNMPLKLQFSYCIYLLWAPNCFATLYYVFVPSFCMLKGISLFPKISSSWGMPYLYVIVVHRVHSLVEFVWLGGTVRGWLNEQRMWMFKRTTSYFFAAIDNILKLCGFSKSAFIITGKVADDDVNRRYEQESMELGLHHRCSRL >KJB82387 pep chromosome:Graimondii2_0_v6:13:49468326:49473261:1 gene:B456_013G193400 transcript:KJB82387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWREGEETRMGKNGYLPLFETRPARGLVFFRSYAASIFIGICFICFHRVSYFPVTERWVWVGMFVAELWFSFYFFVTVIVKWNPVFRSTFKDRLSSRYEEEELPGVDIFVCTADPRLEPPTMVVSTVLSVMAYDYPPHKLSVYLSDDGCSDLTFYALLEASGFAQLWLPFCRKLKVEPTSPEAYFQTTPEPVDDAFMANEWLIIKKTYEDMKTRIGSMTRLGKVPADIRKEHKGFDEWDFVVSRHDHPSILQILIDGRDPNAIDIEGKALPYLAREKRPQIHHNFKAGALNALIRISSRISNAPFILNVDCDMHSNDSKAIRDALCFFLDEENGREIGYVQYPQTFGNLTKNEIYGSLRVVMKLELAGFDGNGGPCYIGTGCVHRRESLCGMKYSKELVVEWKAMKYDRKIIEKASSIEGNCKALASCTYEENTPWGKEMGVKYGCVVEDILTGICIQSRGWRSVYLTPQREAFLGMVPTTLLDTLVQHKRWAEGDFQIFQSKLCPFVYGCQNMPLKLQFSYCIYLLWAPNCFATLYYVFVPSFCMLKGISLFPKISSSWGMPYLYVIVVHRVHSLVEFVWLGGTVRGWLNEQRMWMFKRTTSYFFAAIDNILKLCGFSKSAFIITGKVADDDVNRRYEQESMELGLHHRCSRL >KJB78683 pep chromosome:Graimondii2_0_v6:13:838195:841381:-1 gene:B456_013G012300 transcript:KJB78683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQHAIHPHPLSLSFIDEANTMRWCTACGRKLPGPTYGCESCCFFIHKSCLGEHKAEVQCFFHPCPLIISTPTTVSCFVCFKSITSKFSYECKLNCLFETHVECALKPIIEYSDEECTIQHFTHAHPLKLVDSNQKDEVICSICKELCSSSSSSSSTYGCMECKFFLHKSCMKSIPRQLSNHRIHPCTLIFITCPYSGVECDCCGEDIVPGMKFSCGACDLDLHVKCALFPTTDSEDAKEIQHFCHPHTLALVESDEEYGSERRCVACAQICLAPAPTFRCSRSCSHFFLHKSCYVKLPYKYYKIKHPSHPDHPLTITSLPYNDHIRTCDACCRGIDSTLLAYSCREYECKFNLHLDCYKVLASIKFSGHEHLLTLLEKTLDLSCHLCGVNCCNFFLRCMPCDINIHLQCLPSAPKTIKHKSHLHPLTLTKSHFEYELNSDEEEDEFYCDDCEQKRSQKELVYYCVECKFIAEVKCVLDEVLPLIYKKNFFEKKNSKR >KJB83472 pep chromosome:Graimondii2_0_v6:13:56761427:56763008:1 gene:B456_013G249500 transcript:KJB83472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCPGKLEVWKMGFVNYLDALKLQEKLVSDRKLCRIPDTLLSLQHPPIYTLGKRRTDHNLLLPVSELKNIGAELHYTQRGGDITFHGPHQAILYPIISLREIGIGARNYVEKLESTMIELSSLYGVKACAGQKGETGVWVGERKIGAIGVRISYGITSHGLAYNIDPDLKYFKHIVPCGITDKEVTSLRRETATVLPAEEVIQEQLISCFARLFGYSTVTWKENPSIFSDHESID >KJB80254 pep chromosome:Graimondii2_0_v6:13:13953435:13958756:-1 gene:B456_013G089100 transcript:KJB80254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGTSDGELAASGSSGRVSTTSSADKKKEKARVSRTSMILWHAHQNDAGAVRKLLEEDRALVNARDYDSRTPLHVASLHGWIDVAKCLLEYGADVNSQDRWKNTPLADAEGAKKHNMIELLKSNGGLSYGQSGSHFEPKPVLPPLPNKCDWEVDPSELDFSSSNIIGKGSFGEILKASWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTDKKPLMLITEYLKGGDLHQYLKEKGSLSASTAVSFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQNCHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGEPPFSNYEPYEAAKYVAAGHRPSFRSKSYLPELRDLTDKCWAADMNQRPSFLDILKWLEKM >KJB80253 pep chromosome:Graimondii2_0_v6:13:13953492:13958608:-1 gene:B456_013G089100 transcript:KJB80253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGTSDGELAASGSSGRVSTTSSADKKKEKARVSRTSMILWHAHQNDAGAVRKLLEEDRALVNARDYDSRTPLHVASLHGWIDVAKCLLEYGADVNSQDRWKNTPLADAEGAKKHNMIELLKSNGGLSYGQSGSHFEPKPVLPPLPNKCDWEVDPSELDFSSSNIIGKGSFGEILKASWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTDKKPLMLITEYLKGGDLHQYLKEKGSLSASTAVSFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQNCHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGEPPFSNYEPYEAAKYVAAGHRPSFRSKSYLPELRDLTDKCWAADMNQRPSFLDILKWLEKM >KJB78763 pep chromosome:Graimondii2_0_v6:13:1180298:1192485:-1 gene:B456_013G017300 transcript:KJB78763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPIAMKEVLTLPSIGINPQFITFTNVTMESDKYICVRETAPQNSVVIIDMSMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKMKSHQMPEQVVFWKWISPKMLGLVTQTSVYHWSIEGDSVPVKMFERTANLVNNQIINYKCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSILISFATKTFNAGQITSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISHKYSLIYVITKLGLLFVYDLETASAVYRNRISPDPIFLTSEATSAGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYAPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDTHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIRASEGADVYPDLVRYLLMVRQKVKEPKVDGELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDEALYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRYEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDIIVKVASVELYYKAVHFYLQEHPDLINDMLNVLALRVDHTRVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYRDAMETASQSGERELAEELLVYFIEQGKKECFASCLFVCYDLVRPDVALELAWINNMIDFAFPYLLQFIREYTGKVDELIKDKIEAQKEVKAKEQEEKDVIAQQNMYAQLLPLALPAPPVPGMGGFAPPPMGGMGMPPMPAFGMPPMGSY >KJB83237 pep chromosome:Graimondii2_0_v6:13:55462862:55469090:-1 gene:B456_013G236800 transcript:KJB83237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYWKLVWVFVLAFLFGGYQSEGCWEIEKAALFQLKPFFSRPNGEGISWGKGNCCRWDWVECSTSTGRVTRLFLGYSCDLEKKDIYLGWYLNISLFLPFEELKSLNLGGNNIVGFIDNQGIKKLSKLNKLEILDFSDNKLSGNNILSHLTQFTSLKTLFLKNCGLQGSIDILKLNNLKNLKELHLNDNKIESVQSKRQLRLTKLEVLDLSSNYFNSTKFSSLAVLPHLKSLNIESNNLTEWGYIQDLNVLSNLKILNISYEGKNNPVSSQDNKRELKLMSLKELNLWGNVFNSYILSSLGSLSNLKSLYLYDGYAMEEPIGLPALKNLEKLHLGCGNENVDLQLQLLDIFPSLKTLSLENFSLKGTIIIRRWQNLTNLKKLTLTYLSGMSNIIRDIGTLTSLEDLVIDGCDVDDNLNLHGFCELRKLQTLAIINSYWNASLPECVSELTSLKYLDISSNNFSGNISVFKNLTLLEYLDISSNSFFGDISMLKNLKSLEYLDLRSNKFFGDISYFMSLTSLRVLCLSNNNIEIPNNNMIYSAETEMHSVDTPTFQLRSISLSCCGDGGSFPQSLNHQHDLEHVDLSHINFKGDQFPNWLLENNKKLDTLYLVNSSLSGHFQLPSTSRRGLSRLDISSNSLDGNIPNEIGAKLPSLLVLNMSNNFFGGGIPISIGDMISLQILDFSNNKLSGGIPRHLPMGLLIFDVSNNQLFGDIPSSMENMSLLALDLSNNTLFGGIPRWMGKMSGLEVLLMANNHFEGPIPVEFCKLNYSLKFLDLSANNISGSLPSFFSFTRLTHVYLSRNKLKGPITSFINSIDLVTMDLSNNYLTGNIPNWIGNLSTLSYLLLNNNYFEGGIPVQLCDLHRLRLIDVSNNNLSGTIPPCLMNTISNYSSRANFDNSGYDGSIGYFSVDVPIKFTTKSISYFYKATVLTYLSGIDLSCNKLTGEIPHQIQHFQDIIVLNFSHNSLIGPIPPALAYLSQIESLDLSHNNLSGNIPSHLLGLHFLSFFSVAYNNLSGATPQRSGQFATFEESSYVGNPFLCGEPLPKNCSIDGPSSSMPKNATDKGFIDMEFFYASFVGSYIVMPLCIAIVLYINPYWRQAWFYHVEAATMSCYYFVLDHLFMYLFG >KJB78903 pep chromosome:Graimondii2_0_v6:13:1805681:1807925:-1 gene:B456_013G024600 transcript:KJB78903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTTNTTATTTTKTVNPHLTFEHKRDAYGFAVRPQHVQRYRESANIYREEEEERSDRWNDFLERQADYSQLLTNEMPSEGIKEVSHIEVAEDGNNEARKGVEGDDLCKKKLGSDSLSAKDDAEQEKVLPAPEKRGRQTAKFT >KJB79606 pep chromosome:Graimondii2_0_v6:13:5729217:5729855:-1 gene:B456_013G057400 transcript:KJB79606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFNCFALGFFMALSFASIDVGVAARHLQQLPPMPTLPTTTLPLFPSIPNLPQPSIPSFPRPGALPPLPTTLPSVPRATLPPLPSMPSVPTIPTTIPSIPFFSPPPSP >KJB82343 pep chromosome:Graimondii2_0_v6:13:49211283:49212162:1 gene:B456_013G190900 transcript:KJB82343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQIFVAIFILALASSLTFASDPSPLQDFCVAINDPNGSVFLAKADDFYFSGLHIHKNTSNTFGSTVTPVNVAQMPGLHTLGISMVRIDYAPNGGLNPPHTHPRASEVLVVLEGTLHVGFVTSNPDNHLISKVLYPGDVFVFPVGLIHFQYNIGNTYAVAFAGLSSENPGVITIANAVFGSNPSINADILAKAFNLERKMVKNLQSKF >KJB82602 pep chromosome:Graimondii2_0_v6:13:51505276:51507910:-1 gene:B456_013G204100 transcript:KJB82602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLSSSLHPPTSPLNPKLNSLSTPLPNAVVTKRQLIINTTTSFSIIFGVQQNPVPQCLAQPSNPSKPSVLNIANTKAWFQFYGDGFAIRVPPEFEDIMEPEDFNAGASLYGDKAKPRPFAARFASTDGSEVLSVVIRPTNQLKITFLEAQDITDLGSIKDAAKIFVPGGATLYNARTIKIKEDEGFKTYYFYEFGRDEQHIAIMAAVNGGKAIIAGTTAPQSKWDDDGVKLRSAAISLTVL >KJB82605 pep chromosome:Graimondii2_0_v6:13:51506416:51507878:-1 gene:B456_013G204100 transcript:KJB82605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLSSSLHPPTSPLNPKLNSLSTPLPNAVVTKRQLIINTTTSFSIIFGVQQNPVPQCLAQPSNPSKPSVLNIANTKAWFQFYGDGFAIRVPPEFEDIMEPEDFNAGASLYGDKAKPRPFAARFASTDGSEVLSVVIRPTNQLKITFLEAQDITDLGSIKDAAKIFVPGGATLYNARTIKIKEDEGFK >KJB82607 pep chromosome:Graimondii2_0_v6:13:51506817:51507910:-1 gene:B456_013G204100 transcript:KJB82607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLSSSLHPPTSPLNPKLNSLSTPLPNAVVTKRQLIINTTTSFSIIFGVQQNPVPQCLAQPSNPSKPSVLNIANTKAWFQFYGDGFAIRVPPEFEDIMEPEDFNAGASLYGDKAKPRPFAARFASTDGSEVLSVVIRPTNQLKITFLEVCIRKFLIRPID >KJB82601 pep chromosome:Graimondii2_0_v6:13:51504787:51508069:-1 gene:B456_013G204100 transcript:KJB82601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLSSSLHPPTSPLNPKLNSLSTPLPNAVVTKRQLIINTTTSFSIIFGVQQNPVPQCLAQPSNPSKPSVLNIANTKAWFQFYGDGFAIRVPPEFEDIMEPEDFNAGASLYGDKAKPRPFAARFASTDGSEVLSVVIRPTNQLKITFLEAQDITDLGSIKDAAKIFVPGGATLYNARTIKIKEDEGFKTYYFYEFGRDEQHIAIMAAVNGGKAIIAGTTAPQSKWDDDGVKLRSAAISLTVL >KJB82603 pep chromosome:Graimondii2_0_v6:13:51506416:51507878:-1 gene:B456_013G204100 transcript:KJB82603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLSSSLHPPTSPLNPKLNSLSTPLPNAVVTKRQLIINTTTSFSIIFGVQQNPVPQCLAQPSNPSKPSVLNIANTKAWFQFYGDGFAIRVPPEFEDIMEPEDFNAGASLYGDKAKPRPFAARFASTDGSEVLSVVIRPTNQLKITFLEAQDITDLGSIKDAAKIFVPGGATLYNARTIKIKEDEGFK >KJB82606 pep chromosome:Graimondii2_0_v6:13:51504787:51507941:-1 gene:B456_013G204100 transcript:KJB82606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLSSSLHPPTSPLNPKLNSLSTPLPNAVVTKRQLIINTTTSFSIIFGVQQNPVPQCLAQPSNPSKPSVLNIANTKAWFQFYGDGFAIRVPPEFEDIMEPEDFNAGASLYGDKAKPRPFAARFASTDGSEVLSVVIRPTNQLKITFLEAQDITDLGSIKDAAKIFVPGGATLYNARTIKIKEDEGFKTYYFYEFGRDEQHIAIMAAVNGGKAIIAGTTAPQSKWDDDGVKLRSAAISLTVL >KJB82604 pep chromosome:Graimondii2_0_v6:13:51505276:51507910:-1 gene:B456_013G204100 transcript:KJB82604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLSSSLHPPTSPLNPKLNSLSTPLPNAVVTKRQLIINTTTSFSIIFGVQQNPVPQCLAQPSNPSKPSVLNIANTKAWFQFYGDGFAIRVPPEFEDIMEPEDFNAGASLYGDKAKPRPFAARFASTDGSEVLSVVIRPTNQLKITFLEAQDITDLGSIKDAAKIFVPGGATLYNARTIKIKEDEGFKTYYFYEFGRDEQHIAIMAAVNGGKAIIAGTTAPQSKWDDDGVKLRSAAISLTVL >KJB81420 pep chromosome:Graimondii2_0_v6:13:39734172:39734562:-1 gene:B456_013G1450001 transcript:KJB81420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLPNSSVNPSITDFRKENRYKKLLSSVDLTKDFFFSYSYNVMCSLQKNLYNNKP >KJB78575 pep chromosome:Graimondii2_0_v6:13:515890:518105:1 gene:B456_013G007700 transcript:KJB78575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYRHIDTAWEYGVQESIHWPFRLADGASRPPKPGDVQEMDMEGVWREMEGLVKDKLVRDIGVCNFTLKKMNKLLGFAETMPSVCQMEMHPGWRNDKMLEACKQNGIHVTAYSPLGSQEGGRDLIHDETVDRIAKKLNKTPGQVLVKWAIQRGTSVIPKSNNPDRIKENMKVFGWELPQEDFQALCNIPDQKRVLHETIVCEQECR >KJB82407 pep chromosome:Graimondii2_0_v6:13:49569848:49575554:-1 gene:B456_013G194200 transcript:KJB82407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSPQGQKQKPPKSVRKVSPSESHKDLWLAVREGSLPDVDSALTLLKKTAGTVNCRYRFGLTPLHIVTWRNHLPIIQRLLAAGADPDAKDGESGWSSLHRAPHFGHLAVASVLLQSGASITLEDSNCRTPIDLLSGPVLKIFESGLDSVAPKVFCWGSGVNYQLGTGNAHIQKLPCKLDSVAVTAHGKVYTWGFGRGGRLEHPDFDIHSKYNTVVATEVGEVFTWGSNREGQLGYTSVDTQPTPRRVSSLKSRIVAVAAANKHTAVVSESGEVFTWGCNREGQLGYGTSNSASNYTPRIVEYLKGKVFKADGEVYTWGHRLVSPRRVVITRNLKKSGSTPMKFHRKERLHAVAIAAGMVHSMAITEDGALFYWVSSDPDLRCQQDCKKSMDKPPVATQLHGVKRATSVSVGETHLLSIVSLYHSIHPPYMPLSDQVPKLKVNDEGQELDEEVLFNDPDSSGMRSSMHKIAAECLVEPQNAIQLLEIADSLGADDLRKYCEDIVIRNLDYILTVSSQAFASASPDVLASLEKSLDSRSTESWSYRRLPTPTATFPVIINSDEEDSESEVLRIPLDSFLQPKDYLNQGISEQVQALQKKLQQIEVLEMKQLGGYILDDQQIAKVQRKPDLEDSLAELGLQVEKSQSKGSCSISADAKGNKKAEVSRKQRRKSKQRVAQVERVSDFSTMHFFLLLSKCCESAAHEILREIGTHLSPRGKKRKCYSYVAHSRSLFKFSREKELLQFCCMSTFFVQRKDSSVHAEDKSTSQTTTKKKNRKGGLSMFLSGALDDASKHILLPPPTPRSEGPAWGSAKVLKGKASLREIQDEQSKLRVNWLTGSKNQVEDLPEVRNGEGKILLSSFLPSKPIFVGPVQASQVSDVERTTPPWAASGGTHLFRPSLRDIQMQQQGKQLQSFSQSKNQSGCPTATGQDSPLDSPAMSRRFKPEVEATSSIR >KJB82408 pep chromosome:Graimondii2_0_v6:13:49569848:49576588:-1 gene:B456_013G194200 transcript:KJB82408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSPQGQKQKPPKSVRKVSPSESHKDLWLAVREGSLPDVDSALTLLKKTAGTVNCRYRFGLTPLHIVTWRNHLPIIQRLLAAGADPDAKDGESGWSSLHRAPHFGHLAVASVLLQSGASITLEDSNCRTPIDLLSGPVLKIFESGLDSVAPKVFCWGSGVNYQLGTGNAHIQKLPCKLDSVAVTAHGKVYTWGFGRGGRLEHPDFDIHSKYNTVVATEVGEVFTWGSNREGQLGYTSVDTQPTPRRVSSLKSRIVAVAAANKHTAVVSESGEVFTWGCNREGQLGYGTSNSASNYTPRIVEYLKGKVFKADGEVYTWGHRLVSPRRVVITRNLKKSGSTPMKFHRKERLHAVAIAAGMVHSMAITEDGALFYWVSSDPDLRCQQDCKKSMDKPPVATQLHGVKRATSVSVGETHLLSIVSLYHSIHPPYMPLSDQVPKLKVNDEGQELDEEVLFNDPDSSGMRSSMHKIAAECLVEPQNAIQLLEIADSLGADDLRKYCEDIVIRNLDYILTVSSQAFASASPDVLASLEKSLDSRSTESWSYRRLPTPTATFPVIINSDEEDSESEVLRIPLDSFLQPKDYLNQGISEQVQALQKKLQQIEVLEMKQLGGYILDDQQIAKVQRKPDLEDSLAELGLQVEKSQSKGSCSISADAKGNKKAEVSRKQRRKSKQRVAQVERVSDFSTMHFFLLLSKCCESAAHEILREIGTHLSPRGKKRKCYSYVAHSRSLFKFSREKELLQFCCMSTFFVQRKDSSVHAEDKSTSQTTTKKKNRKGGLSMFLSGALDDASKHILLPPPTPRSEGPAWGSAKVLKGKASLREIQDEQSKLRVNWLTGSKNQVEDLPEVRNGEGKILLSSFLPSKPIFVGPVQASQVSDVERTTPPWAASGGTHLFRPSLRDIQMQQGKQLQSFSQSKNQSGCPTATGQDSPLDSPAMSRRFKPEVEATSSIR >KJB81120 pep chromosome:Graimondii2_0_v6:13:34030112:34035473:1 gene:B456_013G130000 transcript:KJB81120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPNAREQISSKDIKMIVEQNNYTNINLHTIGKQLDYIENLVESQPIRKEPVKEITKKSSKEPIFTPYEIPKPFQKSQNDFLTEIQHRLDALESYKTRLIVLNTPIQDQHSVNKLHQSSQSDQSDEQQINKIAWKEPKRLYYPKTTTPNLNIKEKLVFQNKYNANTIYEWNIDGMSEYNILMTMVSNVYKTQNQNGLISDHAIANLLVAGFTGQLKGWNEPSSSKACCPVKLKNKKKNILEYYKKPKYRKYRKRKKQQKIENKIDKTIKCYRCGKLRRISKYYELQIDELYTTSQSSGDENEPSINMLTKDQEFMIDVINKIQDPELKREYLLKLKSSLKDKPEKEKEIISSQSQMYIIQYLIFNKYEKIKPRQITNSELQLEIKQIKLELSQLKIQQQEMKEQIHTLKHETSKKSSSETEPEPEENTQEYMMVLTGVSIQRYLIKINIVINNEFQLETIALFNTGADQNCIREGIIPTKYYNKISKSLKAANGKKLNITYKIPNAKISNKGQSIFFSKMCISWIDSWNYSYEQDQYTGIPLLVQNYRTKWWDKFNDEKYDSKYLNTFFNKNPRLCKSVAPDQTTVKFLQAKSTASAMLAQAKTKKEYKKLMAKMLSSLDSESEDEKSSASSIKTVDLADDTTSVTITMTKKK >KJB80431 pep chromosome:Graimondii2_0_v6:13:16794235:16802585:1 gene:B456_013G097000 transcript:KJB80431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMANVSVLVVGVVCLSLVIALIKVLHKYWWKPLRIQSLLSRQGINGPPYRFIHGNNKETIKMKQEALRNPMPTLSHDILPRVQPQIWSRIKLYGKNYLSWTGSRAMLVITEPELIKELLKNSERAFPKRTSRERKKEDDFVLKILGDGLVTSEGEKWAKKRKLANHAFHGETLKSMTPAVIASVETMLERWKLLEGKEIEVFGEFRLLTSEVISRTAFGSSYLDGEKIFDMLMKLSVITNRNMFKARFLVISKFWKSADQIEADKLEKEIHNCVMKIVKKREEKVANGDANSFGTDFLGLLLNAYHDTDKKNRISQQDLVDECKTFYFAGQETTNSSLAWTVLLLAIHPEWQEKARQEVIKIFGNQNPNPEGIGKLKIMTMIINETLRLYPPVSSMVRKVATGVQLGKLILPADLELLVPNLVLHHDPHLWGDDVNVFKPERFAEGIANATKHNSAAFIPFGLGPRSCVGMSFALMEMKMALSMILQRFTIFLSPTYSHSPFTLLLLQPQHGIQCKLASWQLAEELAGLVSLHFFGVLIVL >KJB82284 pep chromosome:Graimondii2_0_v6:13:48779715:48783625:1 gene:B456_013G187300 transcript:KJB82284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKSVDLRSDTVTKPTEAMRAAMATAEVDDDVLGADPTAARLESEAAKIMGKEAGLFVASGTMGNLISVLVHCDIRGSEVILGDNCHIHIYENGGISTIGGVHPRPVKNNDDGTMDIGLIEAAIRDPRGEIVYPTTRLICLENSHANTGGRCLSAEYTDKVGELAKKHGLKLHIDGARIFNASVALGVPVNRLVQAADSVSVCLSKGLGAPVGSVIVGSKSFISKARRLRKTLGGGMRQLGFICAAAFVALKENVAKLEGDHKKAKVLAEGLNQIKGLRVNVAAVETNIIFFDIVEGSKITAEKLYKKLEEHGVLVMLEGPLRMRIVLHHQISSSDVLYTLSCFQQALIGVQVENGN >KJB82283 pep chromosome:Graimondii2_0_v6:13:48780129:48783524:1 gene:B456_013G187300 transcript:KJB82283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKSVDLRSDTVTKPTEAMRAAMATAEVDDDVLGADPTAARLESEAAKIMGKEAGLFVASGTMGNLISVLVHCDIRGSEVILGDNCHIHIYENGGISTIGGVHPRPVKNNDDGTMDIGLIEAAIRDPRGEIVYPTTRLICLENSHANTGGRCLSAEYTDKVGELAKKHGLKLHIDGARIFNASVALGVPVNRLVQAADSVSVCLSKGLGAPVGSVIVGSKSFISKARRLRKTLGGGMRQLGFICAAAFVALKENVAKLEGDHKKAKVLAEGLNQIKGLRVNVAAVETNIIFFDIVEGSKITAEKLYKKLEEHGVLVMLEGPLRMRIVLHHQISSSDVLYTLSCFQQALIGVQVENGN >KJB83005 pep chromosome:Graimondii2_0_v6:13:54436288:54438397:1 gene:B456_013G224500 transcript:KJB83005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTISFPRTNFFTYACNGSSPRHKLHSISLTPNTKMNTKSIGLVRKEDHSVLPRTSLITHLKFNSLEPSDEDLFHEKKLVFGNYVARQAVRDEELWVAAYLRAETHWEDQPGDERLIEHLKMDFIEREFNSLKMRCRGQFGQINKCVVAVCKPDLNVQRTVIKSVVGTLDISIRHLLHGETFPGQHKKYRFPIINTKNLQKYAYISNLCTRKSARRQGIGSNMMRFVIEFAKLSGIKSVYVHVCRDNKPAFNLYRKLNFEVVEMANSALEEEQMYLLCYKA >KJB83163 pep chromosome:Graimondii2_0_v6:13:55090901:55093728:1 gene:B456_013G232300 transcript:KJB83163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETFLFNIAERVLEKLVHLSVQEIRLAFNVKTELETLEDTMSNIKAVLLDAERQQHHNEKLHLCMWKLRDIFYDAEDVIDDFKCEALRKQDAINHPDINNLKVRVLGSCCLPLSFSLKLSHKVKDINRRLGELATEWNSFALSQCNDSRHVFPRETISFVDSSDVIGRDEDKKNIISMLMKPSEDRNVPVIPIVAIGGLGKTTLAQLVYNDDRVNSLFPLKIWICVSEEFDLSRLLKLIIQSVNPEVICDDLTLEALQARLRSLLNDKKFLLVLDDVWNENQAKWVELRNLLRSTDGFLSSKIIVTTRSLNVASIMSSIPPYILKGLSLEDCLTLFIKWAFNDGNERHYPNLLRIGEEIVKKCKGVPLAVRTLGSLLFQKTDESDWIYIRENEIWRLQQNENDILPVLKLSYNHLPSHLQRCLAFLSLYKKDEIYYSDKVIRLWMANGLLEYPNQNQEWEDVGKRYLNELLSRCLIQKEKDFCLYFTFTMHDLVHDLALDVSQKECKIVNPETKMVDEHVRHLLLCDEKLVEVPCVLGEMKNVKTVVIQDASKESKTIHESLINLCVSNFKYLRALELRDSPLRALPNSIGTLKHLRDLDLAKCEGIHELPRSFYKLRSLQSLNLGGTGLKQLPDSVQRLIEIRHLEITVKAKRLKEIQAGCWTSLQYLKLHSCSKLECLPDGMQYLKSLRTLVLVGCARLVFLPRSLKFLSKLENLKITSCRRINLKMEPEEKEDDLQLSLKTFSLFRLDTLRDLPRLLLQGSSSTLQQIEITWCQQLSFLPAWLLNLTSLQELRIGGCKNLSALPEGIGRLTNLRQLTTVGCPVEQKIQTK >KJB80130 pep chromosome:Graimondii2_0_v6:13:11161008:11164993:-1 gene:B456_013G082000 transcript:KJB80130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVPSSTAAYMGWDEVNVSSDKGRREVHYYLKRRDGVSDLAVVGKEKSLRHMSYHFSIKNRSLFFTSMPFYKLKSRREVVYWLNSVVSDTSSREPYCSVDGIWNGKDVKNMDFGALKDLQSWKLGQYTKEFLWLGSSWICRKKRKHYQSYLRNGVKISIHDFVYVLAEEDKRLVAYLEDLYEDSRGNKMVVVRWFHKIDEVGIVLPHNYNYKEIFFSLCLQDLSIECIDGLATVLSHMHFKKFLKEAKHTRMEPFVCCKQFENDDLKPFDITQVKGYWKQDMLRYMYSLSPSNNCASHQQHADHKETDWDTDDGFGIRPRKRYCLSKDDDVYMHFSGSRESVDALGANLQDFQNRKNGTESFNGDRSSFLSADDTKHYVSQHLKLGSQVEILAQDSGIRGCWFRAHVIKKHRDKMKVQYHDIEDAADESNKLEEWILASRVAVPDPVGIRICGRTTVRPSSEYQRCNASCIGVGSVVDAWWHDGWWEGIVIKKDSEDKFHVYFPEEKLESVFGSGDVRQSREWIGNRWINIKERHDLVNSIFRGRKQVEGKSYDCNVEDTTICDGVQYGADGTDCNDSPVEPGSVGAKDVVVVVPDLSKDDLLSRLKWKSSRKRRNTTCVQKLNYSRNVIKATSGLVTCERFINPSSINVDNDNCKYMGDPLFSSSVVPPLASLVMTR >KJB83721 pep chromosome:Graimondii2_0_v6:13:57536513:57542743:1 gene:B456_013G260900 transcript:KJB83721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGKSATEKVPAVANPLSEQPSEIASNINYHAQFSPHFSPFKFEPEQAFFATAESVRDRLVKQWNETFLHYHKVDPKQTYYLSMEYLQGRALTNAIGNLDIQNAYAEALNKLGHELEEIAEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYKYGLFKQLITKQGQEEIAEDWLEKFSPWEVVRHDIVFPVRFFGSIEINPDGSRKWVGGEVVQALAYDVPIPGYKTKNTISLRLWEAKGRAEDFNLFQFNDGQYESAAQLHSRAQQICAVLYPGDATEDGKLLRLKQQFFLCSASLQDIILRFKERRSGKGSWKWSEFPSKVAVQLNDTHPTLAIPELMRLLMDDERLGWDEAWDVTTRTIAYTNHTVLPEALEKWSQPVMWKLLPRHMEIIEEIDKRFLAMINATRPDLEHKLPTMRVLDHNPQKPVVRMANLCVVSAHTVNGVAQLHSDILKAELFADYVSIWPTKFQNKTNGITPRRWLRFCSPELSNIITKWLKTDQWVTNLDLLSGLREFADNADFQDEWASAKMANKQRLAQYILRVTGVSIDPNSLFDIQVKRIHEYKRQLLNILGAIYRYKKLKEMSPELRKNTTSRTIMIGGKAFATYTNAKRIVKLVNDVGAVVNNDPEVNSYLKVVFVPNYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEIGEENFFLFGARADEVPQLRKDRENGLFKPDPRFEEAKQFIRSGAFGSYDYNPLLDSLEGNSGYGRGDYFLVGHDFPDYMDAQARVDEAYKDRKKWLKMSILSTAGSGKFSSDRTIAQYAKEIWNIEECQVP >KJB83720 pep chromosome:Graimondii2_0_v6:13:57536513:57541897:1 gene:B456_013G260900 transcript:KJB83720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGKSATEKVPAVANPLSEQPSEIASNINYHAQFSPHFSPFKFEPEQAFFATAESVRDRLVKQWNETFLHYHKVDPKQTYYLSMEYLQGRALTNAIGNLDIQNAYAEALNKLGHELEEIAEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYKYGLFKQLITKQGQEEIAEDWLEKFSPWEVVRHDIVFPVRFFGSIEINPDGSRKWVGGEVVQALAYDVPIPGYKTKNTISLRLWEAKGRAEDFNLFQFNDGQYESAAQLHSRAQQICAVLYPGDATEDGKLLRLKQQFFLCSASLQDIILRFKERRSGKGSWKWSEFPSKVAVQLNDTHPTLAIPELMRLLMDDERLGWDEAWDVTTRTIAYTNHTVLPEALEKWSQPVMWKLLPRHMEIIEEIDKRFLAMINATRPDLEHKLPTMRVLDHNPQKPVVRMANLCVVSAHTVNGVAQLHSDILKAELFADYVSIWPTKFQNKTNGITPRRWLRFCSPELSNIITKWLKTDQWVTNLDLLSGLREFADNADFQDEWASAKMANKQRLAQYILRVTGVSIDPNSLFDIQVKRIHEYKRQLLNILGAIYRYKKLKEMSPELRKNTTSRTIMIGGKAFATYTNAKRIVKLVNDVGAVVNNDPEVNSYLKVVFVPNYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEIGEENFFLFGARADEVPQLRKDRENGLVRTQFIIAICELRVVAFN >KJB83719 pep chromosome:Graimondii2_0_v6:13:57536466:57542844:1 gene:B456_013G260900 transcript:KJB83719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGKSATEKVPAVANPLSEQPSEIASNINYHAQFSPHFSPFKFEPEQAFFATAESVRDRLVKQWNETFLHYHKVDPKQTYYLSMEYLQGRALTNAIGNLDIQNAYAEALNKLGHELEEIAEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYKYGLFKQLITKQGQEEIAEDWLEKFSPWEVVRHDIVFPVRFFGSIEINPDGSRKWVGGEVVQALAYDVPIPGYKTKNTISLRLWEAKGRAEDFNLFQFNDGQYESAAQLHSRAQQICAVLYPGDATEDGKLLRLKQQFFLCSASLQDIILRFKERRSGKGSWKWSEFPSKVAVQLNDTHPTLAIPELMRLLMDDERLGWDEAWDVTTRTIAYTNHTVLPEALEKWSQPVMWKLLPRHMEIIEEIDKRFLAMINATRPDLEHKLPTMRVLDHNPQKPVVRMANLCVVSAHTVNGVAQLHSDILKAELFADYVSIWPTKFQNKTNGITPRRWLRFCSPELSNIITKWLKTDQWVTNLDLLSGLREFADNADFQDEWASAKMANKQRLAQYILRVTGVSIDPNSLFDIQVKRIHEYKRQLLNILGAIYRYKKLKEMSPELRKNTTSRTIMIGGKAFATYTNAKRIVKLVNDVGAVVNNDPEVNSYLKVVFVPNYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEIGEENFFLFGARADEVPQLRKDRENGLFKPDPRFEEAKQFIRSGAFGSYDYNPLLDSLEGNSGYGRGDYFLVGHDFPDYMDAQARVDEAYKDRKKWLKMSILSTAGSGKFSSDRTIAQYAKEIWNIEECQVP >KJB78766 pep chromosome:Graimondii2_0_v6:13:1213261:1216112:-1 gene:B456_013G017600 transcript:KJB78766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCGSKSELVPSNFTMEGELQEHKIGALCKCSLLLELAASNDLVAFKNEVEEKGLDLGEASLWYGRRIWSRKMGFEERTPLIIAAMFGSVDVLKYIIGSGKIDVNDACGTDGVTALHCAVAGGSDSSVEIVKLLLDASADANRVDANGSKPIDLVLPALKSLSSSKRKVIELLLKGVSGCVLNEKAESEKTVLPQMFKEGSEKKEYPIDVSLPDINNGIYGTDDFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYPYSCVPCLEFRKGACPKGDACDYAHGIFESWLHPSQYRTRLCKDEIACARKVCFFAHKLEELRPVNASTGSAMPSPRSAVINAADMANLSPLALGSSALPLPTTSTPPMSPLAVASSPKSGGLWHKQVNLTPPTLQLPGSRLKTAFNARDFDLEMQLLALENHASQLQQQQLMDEISSLSSPSCWTKEYNRLEDLKPTKLDDAFGSLDPSLLSPLKGLSIESVTQTQSQSRQNMNQLRASYPMNLSSSPVRKPSVFGFDSSAAVAAAVMNSRSSGFAKRSQSFIDRGAMIGRAGLTTSANSKTMMSSNISDWSSPDGKLDWGIQGDELNKLRKSVSFGFRNNNPTTTATTNMMPTDADEPDVSWVHSLVKDAAPTEARLHQQQRYSLGKGVRETLPPWMEQMYIEQEQMVA >KJB81822 pep chromosome:Graimondii2_0_v6:13:44406035:44408262:-1 gene:B456_013G163300 transcript:KJB81822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLICLAFLFLSLRNQICYGAPDDYDDYDEEEEPKEKNPPGQDKCNGIFLTYTFTERNKELPHVKNVTAQAWSFKSKATLVNTGLEELKGWKMFIRFQHREVLVSATNAILVDGAGDFPAKVEKGATLSGYPNTDLKTSVDTAGDLTKMSTEIEFTGTMFGLNEKAIPLPKSIRLANDGWKCPTLTTYRTFLTTCCHKDPKYKSLNQSAKGKYTPRQYGDVNLMYDVLKSYEGSYEAQVTIDNDGTLSRLDNWNLTWEWMRGEFIHSMRGAYTRRIEYSDCIFGLPGQYLKGFDFSQVMNCEKRPVISDLPLEKANDTEIGHIPNCCKNGSLVSPVMDENNARAVFQLRVYKLPPDTPKTVLYPPQRWNITSLVSAHYHCSAPVRVDPSAFPEATGTGAKTYAVASWQVVCNMTKPEKKRAKCCVSFTAYYSNGAVPCSTCACGCDDSQTDKCNPSSRAMLLPPDALLLPAVNRTAKIKAYAKLKKKPVPRAMPCPDNCVVSINWHVSSDHKAGWTARMTLFNWGETQFKDWYAAVELKKAASGYDDVYSFNGTKLVSPKNTIFFQGLKGLEYLIEIKNGSSDSKPKVPGKQQSVISFTKKKPEAINIRKGDGFPSKVFFNGAECALPDSLPSAATLLSSMPLLFFSAIAFLLITYPFHS >KJB80120 pep chromosome:Graimondii2_0_v6:13:11142625:11145004:1 gene:B456_013G081500 transcript:KJB80120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSDNTEAIVLNYVNEQNRPLNSQNVADSLQKYNLKKASIQKALDSLADSGKISFKEYGKQKIYLARQDQFNIPNNEELASMKEENAKLQEHLNQQKKAITEVEGEIKSLQSNLTLEQIHDKEAKLRKEVKEMEDKLVKLRGGVTLVRPEEKKAVEAMYSEKISLWRRRKRMFKDLWDAITENSPKDLKEFKEELGIEYDEDVGVNLQSVSELLQHGKKRARGQ >KJB83883 pep chromosome:Graimondii2_0_v6:13:58094145:58095592:-1 gene:B456_013G269300 transcript:KJB83883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDSKLKDYIEKYGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGEFTDDEDRIICTLFASIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMAMNGIPSQPQRKPPPPPPPFSHQPQPVSSLYEDSTAYYYTPFEPIMSSAQSDLLNNTNLTNNSSLIQTPESLFSHMQYHPMRDNFLMFGGEPSCSSSDGSYGKEMKQEDHMGFQGFGASLDYGTNNGVNQWIEKQSGYSGECPLDYNPEDVKRLISSNNNSFFIDENDTQDKAMYCYYY >KJB79145 pep chromosome:Graimondii2_0_v6:13:2709468:2710802:-1 gene:B456_013G035000 transcript:KJB79145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVKKIVLLFVMVSAFLLSTSMAGRRPKFLNLLAEETDASFEDSGEASAVHERLLRANMRDYGKYDPSPALAKPPFKLIPN >KJB80605 pep chromosome:Graimondii2_0_v6:13:22834035:22835756:-1 gene:B456_013G106500 transcript:KJB80605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQDSFYNTKIQRVETMSLVRSGDPATAAYRNTKLYSIQGISDNSCLSAQMFGSDKHNPVCINDSYSSESYKKYFLDSPMEELIHSSSSGISGSSFRPQDVSSYKLRYYLEIQSLDTLDSDTDKMKLKLQELERALPADNDVYEDVDMFGAGLSMEIDGEWSDPIRTDQSLHDSHKESSSSDSYHSSISSNKEVSHASSKIPKQMLIHCAAVLSDMFCW >KJB82840 pep chromosome:Graimondii2_0_v6:13:53634828:53638787:1 gene:B456_013G216400 transcript:KJB82840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFNILFSVLIFSFILFHSNALNVTHDSRSIIIDGNHRIILSGSIHYPRSTAQMWPDLIRKAKEGGLDAVETYVFWNAHEPARRQYDFNGNHDIIRFLKTIQDQGLYAILRIGPYTCAEWNYGGFPVWLHNMPGVSLRTKNDVFMNEMKNFTTLIVDMVKKEKLFASQGGNIILAQVENEYGNVMDPYGDDGKSYINWCAQMADSLDIGVPWIMCQQVAAPKPMLETCNGWYCDEYKPKDPNTPKMWTENWTGWFKSWGGADPLRTPEDLAYSVARFFQKGGTLQNYYMYHGGTNFGRTSGGPYITTTYDYNAPLDEYGNLNQPKWGHLKQLHDVLHSIEHTLTNGDIINEKLSNLVMATIYQTKEKSSCFLSNTNTKIDANVNFGGIKFFVPAWSISILPDCREEAYNTAKVSTQTSLMVKKLNKAEDEPSSLKWTWRPELIESASVQGRGDVSLNKIVDQKDMANDASDYLWYMTSIDVAKNDPMLNGTVTLRVNDTGHVLHAFFNGEYIGSQWSKYGNNNVTYVFERNINLSLGKNLISLLSVTVGFKNYGPMFDLVGAGITSPIELVLNKNVVKDLSSNKWTYKVGLNGISNKFFDIDCASKSSSKWVSDPIPIYRNFTWYKTTFKAPLENKPVVVDLLGLGKGMAWVNGHNLGRYWPSYIADKQLCKTKTCDYRGRYSNSKCVSKCGEPTQRWYHVPRSFLKDGENTLVLFEEFGGNPSGVQFQTVEIGSICINTHEGKEVKLSCQDRPISKIKFASFGSPQGVCGSFDKSEYDSKVDALSILEKECVGKESCSFKITEDKFGTPSCEVKKLAVEAVCEDFTF >KJB83263 pep chromosome:Graimondii2_0_v6:13:55614224:55618664:-1 gene:B456_013G238400 transcript:KJB83263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSLGLHSEYQTDTCPLLMEQPKDFNGHVIDMPRIGDTSSSNSSYETTSNGLDVLQHVDRPSTSARALVSQPSQPSQPSQPSTSSSNGTNSRTSSSVRRGDTRRRRSPLNSVLWISVELVLTVSQIVASIVVLSLSRNEHPRTPLFAWIVGYSSGCVATLPLLYWRYRHRNEASEQDSAQNRHASLNNAPARSFSLSVTRTSDGGDHRTATTSPRGGQNMGITSARIKALVEYFKMILDCFFAVWFVVGNVWIFGGHSSASEAPNLYRLCIVFLTISCIGYAIPFILCATICCCLPCIISVLGFREDLSHARGATPESIEALPTYKFKVKKNRNGDGKDVNSGASEAGVVAAGTEKERIISGEDAVCCICLAKYTNNEELRELPCSHFMHKECVDKWLKINASCPLCKNDIGENVLDAISGTSTASILSSLSGAHDNQRRGERSVDNNYRSSGF >KJB83265 pep chromosome:Graimondii2_0_v6:13:55614224:55618704:-1 gene:B456_013G238400 transcript:KJB83265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSLGLHSEYQTDTCPLLMEQPKDFNGHVIDMPRIGDTSSSNSSYETTSNGLDVLQHVDRPSTSARALVSQPSQPSQPSQPSTSSSNGTNSRTSSSVRRGDTRRRRSPLNSVLWISVELVLTVSQIVASIVVLSLSRNEHPRTPLFAWIVGYSSGCVATLPLLYWRYRHRNEASEQDSAQNRHASLNNAPARSFSLSVTRTSDGGDHRTATTSPRGGQNMGITSARIKALVEYFKMILDCFFAVWFVVGNVWIFGGHSSASEAPNLYRLCIVFLTISCIGYAIPFILCATICCCLPCIISVLGFREDLSHARGATPESIEALPTYKFKVKKNRNGDGKDVNSGASEAGVVAAGTEKERIISGEDAVCCICLAKYTNNEELRELPCSHFMHKECVDKWLKINASCPLCKNDIGENVLDAISGTSTASILSSLSGAHDNQRRAAYSLMVFLLMCWVVVPSILYGYLTG >KJB83264 pep chromosome:Graimondii2_0_v6:13:55614224:55618732:-1 gene:B456_013G238400 transcript:KJB83264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSLGLHSEYQTDTCPLLMEQPKDFNGHVIDMPRIGDTSSSNSSYETTSNGLDVLQHVDRPSTSARALVSQPSQPSQPSQPSTSSSNGTNSRTSSSVRRGDTRRRRSPLNSVLWISVELVLTVSQIVASIVVLSLSRNEHPRTPLFAWIVGYSSGCVATLPLLYWRYRHRNEASEQDSAQNRHASLNNAPARSFSLSVTRTSDGGDHRTATTSPRGGQNMGITSARIKALVEYFKMILDCFFAVWFVVGNVWIFGGHSSASEAPNLYRLCIVFLTISCIGYAIPFILCATICCCLPCIISVLGFREDLSHARGATPESIEALPTYKFKVKKNRNGDGKDVNSGASEAGVVAAGTEKERIISGEDAVCCICLAKYTNNEELRELPCSHFMHKECVDKWLKINASCPLCKNDIGENVLDAISGTSTASILSSLSGAHDNQRRAAYSLMVFLLMCWVVVPSILYGYLTG >KJB80272 pep chromosome:Graimondii2_0_v6:13:14152609:14154694:-1 gene:B456_013G089500 transcript:KJB80272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGSVRMRSDHLEKEGSKETHEESFELESSYDVSDDNHQFHSMNALEILRETVRILRYNSSSFFIIAVLLICPVSLVFMSNLLVDHSIVKRLTVRLLLVAKTCGLPLKPFIKQSSQRFAESAVSSAMCFPLFITLSLLSKAAVVYCVDCTYSRKPADASKFFTIILKFWRRLVCTYVWMCMVIVACVTTFFVFLVVACSLLSVVGFAPDLIVYAVIMMGLVFSVVFAYAIVVCNIGIVICVLEEVSGPQAMLRAGVLIKGQTQVGLLIFLGSTIGLAFVEGLFEHRVKVLSYADGSSRIWEGPLLVIMYSFVVLMDSMMSTVFYFSCRSYTMEASDGECQSILDTP >KJB79780 pep chromosome:Graimondii2_0_v6:13:7549481:7556917:1 gene:B456_013G066400 transcript:KJB79780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G08370) UniProtKB/Swiss-Prot;Acc:Q8RX86] MAPSSSTLCFLFLLGIFSSTFTSSFTEIGARVEGSGRIRRRTLMDNGLGLTPQMGWNSWNHFHCDINETLIKETADAMVSTGLSAVGYIYINLDDCWGELNRDSQGNLVPKASSFPSGIKALADYAHSKGLKLGIYSDAGTQTCSKTMPGSLGHEEQDAKTFALWGVDYLKYDNCEDTGGLSPKERYPKMSEALLNSGRPMFFSLCEWGQEDPATWAPSIGNSWRTTGDIEDNWDSMTGIADQNDQWASYAKPGAWNDPDMLEVGNGGMTTEEYRCHFSIWALAKAPLLIGCDVRSMDNVTFELVANKEVIDVNQDKLGVQGKKVKKEGDLEVWAGPLANNMVAVVLWNRGSSSANITAYWSDIGLKPSTVVDCRDLWAHSTETGVEDEISAEVDSHACKMYTLKPHTNRDRS >KJB79614 pep chromosome:Graimondii2_0_v6:13:6111688:6112431:1 gene:B456_013G058700 transcript:KJB79614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLPHSTTIKTRAFFRLLNWTHISRKMASFSCFALAFVMALSFASIDVGIAARHLLQLPQLPPMPTLPTTTLPPLPSIPNLPQPSIPVLPRPGALPPLPTMPGLPTLPSVPRATLPPLPSMPTIPTAIPSIPFLSPPPSPSTP >KJB78727 pep chromosome:Graimondii2_0_v6:13:997489:999065:1 gene:B456_013G014600 transcript:KJB78727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGEVKVLGTWASPFSTRVRIALHLKSVNYEYLEENFLESKSELLLDSNPVFKRIPVLIHGDNKPICESLIIVEYIDEVWSSGPSILPCDAYERADARFWATYVDDKFFPALKRAVVSGSEETKRASMAEVEEGVVMLEKLSKGKAFFGGDNIGYLDIVIGSVFEWIKVIDKCTETQLLSQAPCLLEWGARFSSHEAVKDVLSGVAKLAGFGLKLKAKI >KJB81084 pep chromosome:Graimondii2_0_v6:13:33407531:33407885:1 gene:B456_013G128500 transcript:KJB81084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNSFISVIFQEKKEENKRSVEFQIVSFTNKIRRLTLHLELHKKDYLSQRGLRKILGKRQLLLSYLSKTNKIRYKELI >KJB82697 pep chromosome:Graimondii2_0_v6:13:52280191:52284568:-1 gene:B456_013G211000 transcript:KJB82697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLWVWVVLPFAFAQLVTAFFDFNETELSLIESLEYGVSKINYNPLMVGLTLIHTAGAKGAVCLDGTLPGYHWHRGYGSGANSWLIQLEGGGWCNNIRTCVYRKKTRRGSSTYMEKQIPFTGILSEKAEENPDFFNWNRVKLRYCDGASFTGDSENKGAQLQFRGQRIWLAAMEDLMSKGMRYANQQFYTVTSLEACFQEPQELNALVMLVYSLMRKVDVSGGHTIRSLYSGVVGLQGVQHNLPRICTNHLDPTSCFFPQNVINHIRTPLFILNAAYDSWQIQSSIAPPSADPHGYWHDCRLNHAKCSASQLRYLQGFRTQMLNAIKGFSMSRENGLFINSCFAHCQSERQDTWFADNSPEIRNKPIAIAVGDWYFDRTAVKVVDCPYPCDKTCHNLVFR >KJB82695 pep chromosome:Graimondii2_0_v6:13:52280181:52284568:-1 gene:B456_013G211000 transcript:KJB82695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLWVWVVLPFAFAQLVTAFFDFNETELSLIESLEYGVSKINYNPLMVGLTLIHTAGAKGAVCLDGTLPGYHWHRGYGSGANSWLIQLEGGGWCNNIRTCVYRKKTRRGSSTYMEKQIPFTGILSEKAEENPDFFNWNRVKLRYCDGASFTGDSENKGAQLQFRGQRIWLAAMEDLMSKGMRYANQALLSGCSAGGLAAILHCDEFRGLFPRTTRVKCLSDAGLFLDAVDVSGGHTIRSLYSGVVGLQGVQHNLPRICTNHLDPTSCFFPQNVINHIRTPLFILNAAYDSWQIQSSIAPPSADPHGYWHDCRLNHAKCSASQLRYLQGFRTQMLNAIKGFSMSRENGLFINSCFAHCQSERQDTWFADNSPEIRNKPIAIAVGDWYFDRTAVKVVDCPYPCDKTCHNLVFR >KJB82698 pep chromosome:Graimondii2_0_v6:13:52280191:52284568:-1 gene:B456_013G211000 transcript:KJB82698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLWVWVVLPFAFAQLVTAFFDFNETELSLIESLEYGVSKINYNPLMVGLTLIHTAGAKGAVCLDGTLPGYHWHRGYGSGANSWLIQLEGGGWCNNIRTCVYRKKTRRGSSTYMEKQIPFTGILSEKAEENPDFFNWNRVKLRYCDGASFTGDSENKGAQLQFRGQRIWLAAMEDLMSKGMRYANQALLSGCSAGGLAAILHCDEFRGLFPRTTRVKCLSDAGLFLDAVDVSGGHTIRSLYSGVVGLQGVQHNLPRICTNHLDPTSCFFPQNVINHIRTPLFILNAAYDSWQIQSSIAPPSADPHGYWHDCRLNHAKCSASQLRYLQGFRTQMLNAIKGFSMSRENGLFINSCFAHCQSERQDTWFADNSPEIRNKVCLKNISFHIS >KJB82696 pep chromosome:Graimondii2_0_v6:13:52280191:52284568:-1 gene:B456_013G211000 transcript:KJB82696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSCLLSSLWNMESLKSIIILSWLDSLLFILLVLKELCVWMEPYRGIIGTVDMDQEQIAGSFNWRSGGGWCNNIRTCVYRKKTRRGSSTYMEKQIPFTGILSEKAEENPDFFNWNRVKLRYCDGASFTGDSENKGAQLQFRGQRIWLAAMEDLMSKGMRYANQALLSGCSAGGLAAILHCDEFRGLFPRTTRVKCLSDAGLFLDAVDVSGGHTIRSLYSGVVGLQGVQHNLPRICTNHLDPTSCFFPQNVINHIRTPLFILNAAYDSWQIQSSIAPPSADPHGYWHDCRLNHAKCSASQLRYLQGFRTQMLNAIKGFSMSRENGLFINSCFAHCQSERQDTWFADNSPEIRNKPIAIAVGDWYFDRTAVKVVDCPYPCDKTCHNLVFR >KJB78701 pep chromosome:Graimondii2_0_v6:13:909641:912903:1 gene:B456_013G013500 transcript:KJB78701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLVFFTFFFQARKVTAVDENFRICSVPRYCGKMNIKFPFFIQGRDDPRCGYPGFEIHCRNNYLPSFSFGDGDYIINDIYYHNQSCHLSRAVSFDKYAICSHSIRNISLPSDRFLLPSLQNKTFLFFNCNLSSSRDLSRYNIHCAAKNESNATLALFSNDPMLNFASEYCDTRVVVPVAFTSGEGSLEGMLNRGFMVEWLASNCSICEASGGKCGFDNATSHFKCFCRDRPHAWHCTPKNKNELRFKLGLGLAASSIVGIVLVILAFYYFIRKFSSDNIDENIEAFLKDHECLAPRRYRYSDIKKVTNSFQDKLGKGGYGDVYKGKLPDGRHVAVKILNNSQSNGEEFINEVASISRTSHVNIVSLLGFCFERSCRALIYEFMPNGSLEKFIFQENNDRRLKWDKLYQIAVGIARGLEYLHRGCSTRILHFDIKPHNVLLDDEFVPKISDFGLAKLCLEKESAISMTGARGTAGYIAPEVFSRNFGRVSHKSDVYSYGMMVLEMVGGRKNISVEVDRTSEIYFPYWIYNRIELDEELGLEDIESEDDQERARKMIIVSLWCIQIDPSNRPTMSRVVEMLEGFTNWLTIPPKPFLSSPPRS >KJB80651 pep chromosome:Graimondii2_0_v6:13:23693408:23695045:-1 gene:B456_013G108600 transcript:KJB80651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEPVDQKKLLESICQSNCAKPKNGYEECVKRISGDDTGTMHCTGQYFDYLACVDKCVAPKLFGKLK >KJB79444 pep chromosome:Graimondii2_0_v6:13:4535238:4536336:-1 gene:B456_013G050100 transcript:KJB79444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEALNSPATPFTNKYDDVDNNYVETWKKGKRSKRQRGDSPAAVELQPTTEEEYLALCLIMLARGSSGADLDVIRRSSSSSSPPPPPPALKLSYKCSVCDKAFPSYQALGGHKASHRKPLSADAATTTAAANVDNPSTTSTATTATSSGRLHECSICHKSFPTGQALGGHKRCHYEGGNNNNNNNKNNNNSGSVSVSGVTSSDGGALSHNHRAVDFDFDLNLPALPEFSQMYPDEEEVQSPLPTKKPRFLIAKKEKLDSSLA >KJB81265 pep chromosome:Graimondii2_0_v6:13:35942077:35943196:-1 gene:B456_013G135000 transcript:KJB81265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFCGAAGNKFLFSGQNKYITSWWPDSIKKALMDPSSVDNSSKEESTKLRAYLPPFLKPESLQHFIPVMDIMAKEHLNQHWSPYNEVQVFPLSKKYTFALACRLFMSVRDDSEIENFAKPFALATAGLMSVPIDLPGTTFNRAVKAGRLIRQRLLALITKKKNEILEKGKRVASDLVDSMLMDGMTEVEIGNKIVGFFIASHDTTSTAITFIVSYLSDYPEVYNRVLEEQMEVLRCKEAGEPLRWEDLQKMKYTWCVACEVMRLAPPANGSFREAITDFTYAGYTIPKGWKWSGVLDGAYNAQKSEILPGSREI >KJB81266 pep chromosome:Graimondii2_0_v6:13:35941693:35943503:-1 gene:B456_013G135000 transcript:KJB81266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFCGAAGNKFLFSGQNKYITSWWPDSIKKALMDPSSVDNSSKEESTKLRAYLPPFLKPESLQHFIPVMDIMAKEHLNQHWSPYNEVQVFPLSKKYTFALACRLFMSVRDDSEIENFAKPFALATAGLMSVPIDLPGTTFNRAVKAGRLIRQRLLALITKKKNEILEKGKRVASDLVDSMLMDGMTEVEIGNKIVGFFIASHDTTSTAITFIVSYLSDYPEVYNRVLEEQMEVLRCKEAGEPLRWEDLQKMKYTWCVACEVMRLAPPANGSFREAITDFTYAGYTIPKGWKAFWMVHTTHKNPKYFLDPERFDPSRFEGNGPAPYSFVPFGGGPRMCPGKEYARLEILTFIHNLLTTFKWVKLNPNEKISYIPSPIPKEGLPIKIQPLLN >KJB83116 pep chromosome:Graimondii2_0_v6:13:54882771:54887173:1 gene:B456_013G230300 transcript:KJB83116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLEEEEQRLDRVDSLHEPDLTSISSSLSSVFSAVDSRSATSSGDISGTSGSSGEILTADAVVPRLLEPAPACEELKATVTARQKCVGRNNKGVTWGFTSVIGRQREMEDAVAVVPAFMSLTCDHVGGCTAPGSRTSLEISPIHFFGVYDGHGGSQVAKFCGERMHGVIAEEWDREVDESCGWQRRWEVALSTSFERADSEVVTVAVAPEMVGSTAAIVVLSGCQIITSNCGDSRVVLCRGTETIALTVDQKPDRQDELMRIESEGGRVINWNGARVFGVLAMSRAIGDRYLRPWVIPVPEVTFTTRTDDDECLIIASDGLWDVMSNEEAGQVARRLLIRRRRRALMGEVDDGVSPAQAVADNLTAIAIGRNSCDNISVIVVDLKPKRKSPSNAVKKR >KJB78420 pep chromosome:Graimondii2_0_v6:13:57581346:57582631:-1 gene:B456_013G261700 transcript:KJB78420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSEKSTLRASQLQDFCRRKNNAELIENNRILYLKLQAKEIVDTNFGYGGRRQLTERWSINGIVMKVTWFWWEGVELLSLFYRGPSLYHERATCHNVTESR >KJB81195 pep chromosome:Graimondii2_0_v6:13:34912558:34915232:-1 gene:B456_013G132800 transcript:KJB81195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELERKNGEWIKSGSCDLGLRRIKVRKESVYEEEEPLSPMARMFQQPESNVYVIAMLGFKNPIDPHFLKANLVHTFLKQPRFSSVQVADEKNGGEVKWVKTKVDLDNHVKIPMVDQHMASPDQFVEDYIANLTNTQISTSIPMWDVHILNLKTCDAESTLVIRVHHSLGDGTSLMSFLISCCRKTSEPDALPSFPAAKKSRPHTGWFWKFWSVLLLAWNTLVDIWMCVATTYFLKDTQTPLKAPSRAVAFTSRRIVRRTFCVDDVILVKNATNTKVNDVVLAITQAGLSRYLNRKYGKGMGDGESWENNLPNSVRLTATLFINLRSSPGIYAIAEMVKKHSQTDWGNKIGYVLYPFKIALKDNPLDYIRDAKATMDRKKATLEAQFRLFMAKVFVRFYPTKLATFPLTTMWFSNVAGPRRKLAFLAIKSPSLLHLYMVNRWRSQFI >KJB81194 pep chromosome:Graimondii2_0_v6:13:34912558:34915137:-1 gene:B456_013G132800 transcript:KJB81194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMFQQPESNVYVIAMLGFKNPIDPHFLKVADEKNGGEVKWVKTKVDLDNHVKIPMVDQHMASPDQFVEDYIANLTNTQISTSIPMWDVHILNLKTCDAESTLVIRVHHSLGDGTSLMSFLISCCRKTSEPDALPSFPAAKKSRPHTGWFWKFWSVLLLAWNTLVDIWMCVATTYFLKDTQTPLKAPSRAVAFTSRRIVRRTFCVDDVILVKNATNTKVNDVVLAITQAGLSRYLNRKYGKGMGDGESWENNLPNSVRLTATLFINLRSSPGIYAIAEMVKKHSQTDWGNKIGYVLYPFKIALKDNPLDYIRDAKATMDRKKATLEAQFRLFMAKVFVRFYPTKLATFPLTTMWFSNVAGPRRKLAFLAIKSPSLLHLYMVNRWRSQFI >KJB78833 pep chromosome:Graimondii2_0_v6:13:1529841:1530981:-1 gene:B456_013G021700 transcript:KJB78833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIIKQMVSRATSGQKNVGLKRPTGQGSGLQPPTKFLRCGILKLACAPFRTLPGNLATQTYLLFLSYRIYGPAKP >KJB81050 pep chromosome:Graimondii2_0_v6:13:33129474:33129797:-1 gene:B456_013G127200 transcript:KJB81050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKGTRGHKLIAAKSVANLIREKLYNLMRVQKLAARNAMDCKLNFCSGVSYLKKMLINKVIMSYSEHNCNYGWD >KJB81048 pep chromosome:Graimondii2_0_v6:13:33129152:33130327:-1 gene:B456_013G127200 transcript:KJB81048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKGTRGHKLIAAKSVANLIREKLYNLMRVQKLAARNAMDCKLNFCSGVSYLKKMLINKVIMSYSEHNCNYGWD >KJB81049 pep chromosome:Graimondii2_0_v6:13:33129140:33130331:-1 gene:B456_013G127200 transcript:KJB81049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKGTRGHKLIAAKSVANLIREKLYNLMRVQKLAARNAMDCKLNFCSGVSYLKKMLINKVIMSYSEHNCNYGWD >KJB81840 pep chromosome:Graimondii2_0_v6:13:44528838:44535163:-1 gene:B456_013G164400 transcript:KJB81840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNPFLFVMMLMVQGQMGLIRTNSLWFLVVLLFASFAVSLDYTKEEEEEAFLNQIVDPSTGEIYDDLAELLWISCRQDLNNLKEAFEDPKLRLSEETRSTINDIGAKSHSLAKEKFQKFIKVIRPELKQVLSDCVRKNKLLFQESGEDSCFKTCYPQYSGSLFRWCEVSRKSLAAQSIAVGSALNLGPTTPRSSAPDPSLANESPDSSTDLSVSLAFSTSPPGIHDKRAVPARELADDSGSDDEEDYEEEGGEGEGEGGTDHNKIIIIACVATAVVTSLIAALILFLCCHRDSGSMVNDESPLLSLRSDASGGSTHAPGSSKESSQHQKASTNGSDYIESDALQISLDGNSSGGAAADAAKASSESSETPGNASSLLPLPPGRAGPGGLPPLKPPPGKEDLTPEAPVPAKSAPPPPPPPSLKSASTSAGPPPPGAPPPPPPKAGAPPPPPSKAGAPPPPPPKAGGGPRPPPPLGSKGPRPPNAPGRGGPGSGSASKAKLKPFFWDKVANTPEQGQVWNQIKGGSFQLNNAKIETLFGYSPVEKSKSDKKESTAQEPQFIQLLDGKKAQNLAIMLRALNVTSEEVSDALVEGNELPVELLQTLLKMAPTSDEELKLRLFTGEITQLGPAERFLKVVVDIPFAYQKMETLLFMCSLHDELTATRESFQILEAACKELKSSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGVDGKTTLLHFVLLEIIRTEGLRAARIARESRSFSSIKSEDLLEDVSPDDEEYYRNLGLQAVSNLSSELEYVKKAAALDAENLSSNVAKVGHAMVKTRNFLNTEMKDSGEKSGFHETLESFVKNAESSVMSLLEEEKKVMDLVKSTGDYFHGNVKKDEGLRIFSVVRDFLVILDKVCREVKNAPKKPTQPPKKQTSNASTSSESRVAPPSPDPRQKLFPAIAQQRKDDSSPSSSSDEKS >KJB81841 pep chromosome:Graimondii2_0_v6:13:44529801:44534763:-1 gene:B456_013G164400 transcript:KJB81841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNPFLFVMMLMVQGQMGLIRTNSLWFLVVLLFASFAVSLDYTKEEEEEAFLNQIVDPSTGEIYDDLAELLWISCRQDLNNLKEAFEDPKLRLSEETRSTINDIGAKSHSLAKEKFQKFIKVIRPELKQVLSDCVRKNKLLFQESGEDSCFKTCYPQYSGSLFRWCEVSRKSLAAQSIAVGSALNLGPTTPRSSAPDPSLANESPDSSTDLSVSLAFSTSPPGIHDKRAVPARELADDSGSDDEEDYEEEGGEGEGEGGTDHNKIIIIACVATAVVTSLIAALILFLCCHRDSGSMVNDESPLLSLRSDASGGSTHAPGSSKESSQHQKASTNGSDYIESDALQISLDGNSSGGAAADAAKASSESSETPGNASSLLPLPPGRAGPGGLPPLKPPPGKEDLTPEAPVPAKSAPPPPPPPSLKSASTSAGPPPPGAPPPPPPKAGAPPPPPSKAGAPPPPPPKAGGGPRPPPPLGSKGPRPPNAPGRGGPGSGSASKAKLKPFFWDKVANTPEQGQVWNQIKGGSFQLNNAKIETLFGYSPVEKSKSDKKESTAQEPQFIQLLDGKKAQNLAIMLRALNVTSEEVSDALVEGNELPVELLQTLLKMAPTSDEELKLRLFTGEITQLGPAERFLKVVVDIPFAYQKMETLLFMCSLHDELTATRESFQILEAACKELKSSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGVDGKTTLLHFVLLEIIRTEGLRAARIARESRSFSSIKSEDLLEDVSPDDEEYYRNLGLQAVSNLSSELEYVKKAAALDAENLSSNVAKVGHAMVKTRNFLNTEMKDSGEKSGFHETLESFVKNAESSVMSLLEEEKKVMDLVKSTGDYFHGNVKKDEGLRIFSVVRDFLVILDKVCREVKNAPKKPTQPPKKQTSNASTSSESRVAPPSPDPRQKLFPAIAQQRKDDSSPSSSSDEKS >KJB83457 pep chromosome:Graimondii2_0_v6:13:56707033:56709147:-1 gene:B456_013G248700 transcript:KJB83457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHGKKNKENRGWVNRVSIKKPPFTLSQIKQAILPHCCILTGVWVIAHECGHHAFSDYQWVDNTVGLILHSTLLVPYFSWKISHRRYHSNTGSMERDKVFVPKPKSKLSCFAKYLNNPPGRVLSLVVTLTLGWPMYLAFNVSGRYYDRLASHYNGPIFSDCERLQVYISDASIFTVIYVLYKITATKGLAWLLCTYGVPLLIVHAFLVLLTLLRVKTQRCFFRYNREYDSLSSVVRTSNLADRHGPGVSFPFDGTLIYKAMWRKAKECLYVEPDVGGGGGSKCVFWYHNKF >KJB78920 pep chromosome:Graimondii2_0_v6:13:1897879:1902498:-1 gene:B456_013G025400 transcript:KJB78920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYSTLAILLVLLVPLCSFIYFFRPRNHHKNGRKHPPGPAPLPIIGNLHMLGNLPHQTLHHLGKKYGPIMSIKLGYVPTIVVSSPEAAEQFLKVHDLVFASRPNLQSTEYLTYSGKGLAFAHYGSYWRTARKLSTLHFLSASKIECFAPVRKEEVVSLVESVRKAVTAGETVDLSRKLGKVIEVMMCKLLFGQSMDDKFQFKPLVDETMLLAGVFNLSDYLPFLAPLDLQGYRRRLKRTSNGLHAIFDKMVDEHQQGTYAKEQKPYTDFFHVLVSLLDTPMNPNDEEQQYIIGRENIKAIMVDMVAASFDTTATAIEWTLAELLRHPSVMVALQQELERIVGRHRMVEESDLPKLAYLDMVIKESLRLHPVAPLLIPRESTEDITIDGYFIPKKSRILVNVWSIGRDHKVWSNNAEEFFPERFKDSNIDLRGHDFQLIPFGSGRRGCPGMQLGLTTMRLIIAQLVHCFDWELPDGMLPNELDMTEKFGLSLPRANHLLANPTYRLVG >KJB83154 pep chromosome:Graimondii2_0_v6:13:55041135:55041440:1 gene:B456_013G2320002 transcript:KJB83154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFLFNIAERVVEKIVGLTVDEVRLALNVKTDLKKLEDTMISIKAVLLDAERQQHQNEKLRLCMRKLRDIFYDAEDVIDDFKCEALRKQDAINHPDINNLK >KJB82863 pep chromosome:Graimondii2_0_v6:13:53789710:53790706:1 gene:B456_013G217800 transcript:KJB82863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFMIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >KJB78540 pep chromosome:Graimondii2_0_v6:13:326085:329874:-1 gene:B456_013G004400 transcript:KJB78540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLYLGFTCGNADSAFNSAKASGQPFPTDRSYIGTLDKTPNSFTINPSALRVESQGLHLERGQQTGPVSISRGHTENWGESDMADASPRTDISTDAETDEKNLRFDSEKLNAAVAASDSSDRSKSNLDQKILRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSLGGNGAMTFDVEYGRWLEEHNRQINELRMAVNSHAGDAELRIIVDGVMAHYDEIFRLKSNVAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGVSNLQQSSQQAEDALSQGMEALQQSLSETLSTGSLGSSGSTGNVANYMGQMAMAMGKLGTLEGFICQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >KJB78539 pep chromosome:Graimondii2_0_v6:13:325855:331158:-1 gene:B456_013G004400 transcript:KJB78539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLYLGFTCGNADSAFNSAKASGQPFPTDRSYIGTLDKTPNSFTINPSALRVESQGLHLERGQQTGPVSISRGHTENWGESDMADASPRTDISTDAETDEKNLRFDSEKLNAAVAASDSSDRSKSNLDQKILRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSLGGNGAMTFDVEYGRWLEEHNRQINELRMAVNSHAGDAELRIIVDGVMAHYDEIFRLKSNVAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKSNSWWELATCNNLPSRLKMHYHKVWKHCSSLCPRHCPLDP >KJB79314 pep chromosome:Graimondii2_0_v6:13:3773831:3775845:-1 gene:B456_013G043500 transcript:KJB79314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPNPSLFHAKPSPLLPLLSRTSFRCFAAAGDAPSGPTFRKWFQFPTTTTTSDNVYGGGARIGQEISYEAPAGSSIKVKKWSRDTESYLTNDDEPLPLPMTYPDTSPVGPEEIDKRLQCDPEIQDCKEVVYEWTGKCRSCQGTGLQSYYNKRGKEIICKCIPCLGIGYVQKITARKDIEVMEDLDNGKPP >KJB79920 pep chromosome:Graimondii2_0_v6:13:8632574:8634552:1 gene:B456_013G072400 transcript:KJB79920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSAKRKKYWLEGENGIDRISDLPDVVLHQILFHLPIKSIAQTSVLSKRWRSLWISFPDLDFTSINPIGVTSTNAPNTKPSIKNKRLLLCPHSFSVKRLDFISQVLALRDKQSDLRILRFRAPLSFSRLNGLIRLAVRQNVQELDVEVATDDYFNFPRSVLTSESLRVFKLRSRYPGFRLLPPSVMKGGFQSLHTLSLSLVILYDQPTLSDLFTDSSFPRLKKLNLDACFGLKQLKVSCLALEEFTLENCFQLHGLDVSGAKLKTLQVASCFDAYCDKSWVKINAPSLRVMVWEYNAITENSSLENLVSAHEASIGFFVLNEDFSVTKLRSVSNLLSALSRLHTLTLEGQCVEVLSSRNYIANLMHPFDQLQSLELQTGFNKHNLPGLAYLFKCSPSLHTLILKILNDYKIERRKWNKDLWDMPSSEEQFWESQSQALKPLLNNLSVVKIHGFLECENEVSLAKFLLKHGKALQEMTFFSGHCNYRDSLRRQKIRSQMMGFSRASSNAKIEFL >KJB82411 pep chromosome:Graimondii2_0_v6:13:49642279:49644596:1 gene:B456_013G194600 transcript:KJB82411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIQANNTFFLPCINSSNPRKSELLFPSYPYLVCFNAKVLVTKKLIAGSSLRSQQFAPLLKHKRCVSGSPWKSIEKAIGNFGKKQSIEDVLRQQIEKQDYYDEGSGQNPPRGGGGGSSSGGDGFGESEDEGLSGILDETVQVIVATLGFIFLFFEKLTEKKEYDKFWLEKAIITTPTWYDSPDKYRRVLNSYIEYDDEDESDYDD >KJB82455 pep chromosome:Graimondii2_0_v6:13:50043046:50045899:1 gene:B456_013G196400 transcript:KJB82455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHGPDPNSPSHVSERSEPVRSRWTPKPEQILILESIFNSGMVNPSKDETVRIRKLLEKFGSVGDANVFYWFQNRRSRSRRRQRQLQASLAAGSEQRNNNPQAQAVYGGGAIQYDNSGAAAAAAMGFAPPPFPVGSSSSCGVMGDDGFENLLSMSAQMGFQELGQSSSVSETSNLHYQTGFITVFINGVPTEVPRGPLDVKAMFGEDVDLVHSSGVPIPINEFGFLLQSLQHGESYFLVSRVT >KJB81951 pep chromosome:Graimondii2_0_v6:13:45444529:45450678:1 gene:B456_013G168700 transcript:KJB81951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICCKPSAIEDSKQSPTSKVSLDVRVSMATSSRREDSQRTKDRYGNSEGRTMLIDKQVNGSVRIHGENLDRKREKVECVVRQHPGRGSVPKAIEGEQVAAGWPAWLAAVAGEAIQGWIPRRADSFEKLDKIGQGTYSNVYRARDLDQKKVVALKKVRFDNLEPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASNPGLKFSEPQVKCYMQQLLCGLDHCHSRGVLHRDIKGSNLLLDNNGILKIADFGLASFYDPLQNQPFTSRVVTLWYRPPELLLGATYYGTAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQRPYRRCVAETFKEFPAPALALMETLLSIDPSDRGSAASALKSEFFTTKPLPCGPSSLPKYPPSKEFDAKMRNEEARRQGAAGTKGQRPELERKATRESRAAPAPDANAELVLSMQKRQNQSNSKSRSEKFNPHPEEIASGFPIDPPRPSQAVESNADAHGNHPKRGSHSGPLSHRAAWAKSGKNRDDPPKILTGADLSMMSGLVAARRNNLISEDHRESSGSSQSEAPKLFARFPGSFKEASESFIQHDQEHNVQQKEDGRSDNNDPVLLGYGSKGNKIHYSGPLLVPSGNVDQMLKDHDRQIQEAVRRARLDKAKKRKVQLEANHMSTTSLFVSGR >KJB81952 pep chromosome:Graimondii2_0_v6:13:45445905:45450678:1 gene:B456_013G168700 transcript:KJB81952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREIHILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASNPGLKFSEPQVKCYMQQLLCGLDHCHSRGVLHRDIKGSNLLLDNNGILKIADFGLASFYDPLQNQPFTSRVVTLWYRPPELLLGATYYGTAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQRPYRRCVAETFKEFPAPALALMETLLSIDPSDRGSAASALKSEFFTTKPLPCGPSSLPKYPPSKEFDAKMRNEEARRQGAAGTKGQRPELERKATRESRAAPAPDANAELVLSMQKRQNQSNSKSRSEKFNPHPEEIASGFPIDPPRPSQAVESNADAHGNHPKRGSHSGPLSHRAAWAKSGKNRDDPPKILTGADLSMMSGLVAARRNNLISEDHRESSGSSQSEAPKLFARFPGSFKEASESFIQHDQEHNVQQKEDGRSDNNDPVLLGYGSKGNKIHYSGPLLVPSGNVDQMLKDHDRQIQEAVRRARLDKAKKRKVQLEANHMSTTSLFVSGR >KJB83025 pep chromosome:Graimondii2_0_v6:13:54538121:54539316:-1 gene:B456_013G226000 transcript:KJB83025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAASMALPLAGTTQNRMPSSEAFFKPLPVKPWRSMGTVKRPNGRLQVKAASSSFKEKAVTGLTAAALTTSMMIPEVAQAADGVTPSLKNFLLSIAAGGVVLVAIVGAVIGVSNFDPVKRT >KJB78395 pep chromosome:Graimondii2_0_v6:13:41216352:41216618:1 gene:B456_013G151100 transcript:KJB78395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFDLCASIGTTTKFNGRNYALWSEAFHTFLGSQGRDHHLVRTMANTQDPKYATWRQYDCVMKTWLLNSMEPKIAAFVELMSTTKEM >KJB82405 pep chromosome:Graimondii2_0_v6:13:49541517:49541793:-1 gene:B456_013G194000 transcript:KJB82405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKTMPEITKIILSTSVEMKAEEVGKITIQGIKLGNFNIPCKLDGRAMAIAIAGLSPQRCFIRASLEVAFAGLFRFVALLLQWN >KJB79385 pep chromosome:Graimondii2_0_v6:13:4289604:4290188:1 gene:B456_013G048300 transcript:KJB79385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQSEGAETESRSTKQQSELRVNEDSNIEAIEEEWLNLRLGGNSIPAPGDCESASDPQLRSSVPSKVFSCNFCRRKFYSSQALGGHQNAHKRERGAARRYQSQQMMMMMGLPMSNHVVRSLGVRPHSLVHKSSRDGAVAAAAAASVGRFNDTYAVQFTVDDGMGFVWPGSFRLDPRPPEPPPEPAKLDLNLRL >KJB78438 pep chromosome:Graimondii2_0_v6:13:51659183:51660868:1 gene:B456_013G205900 transcript:KJB78438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDQLWDDTVAGPRPDNGLGKLRKHSTFTFRSNSSKESDGGSVRSYNDETPEETTKVTRTIMIVKSPRYQSGSPPVSPAESTPPVSPFSGNIYTNNFLTDCRICPWFSS >KJB78437 pep chromosome:Graimondii2_0_v6:13:51659018:51660993:1 gene:B456_013G205900 transcript:KJB78437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDQLWDDTVAGPRPDNGLGKLRKHSTFTFRSNSSKESDGGSVRSYNDETPEETTKVTRTIMIVKSPRYQSGSPPVSPAESTPPVSPFSGGSRESYRFRRRSTSDAYEKGKEGGGSSLAPPYDV >KJB78439 pep chromosome:Graimondii2_0_v6:13:51659183:51660870:1 gene:B456_013G205900 transcript:KJB78439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDQLWDDTVAGPRPDNGLGKLRKHSTFTFRSNSSKESDGGSVRSYNDETPEETTKVTRTIMIVKSPRYQSGSPPVSPAESTPPEEAESRIGFGEGQRQMHTRRGRRVEVAALLLLTTCEM >KJB79017 pep chromosome:Graimondii2_0_v6:13:2220933:2225081:-1 gene:B456_013G029400 transcript:KJB79017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESEMSNNIGIVEKALSSLGRGFDLTMDFRLKYCKGKERLILLDETDKREIAVPGFGSIKDVPIDIKCDKGDRTRYQSDILDFNQMAEFFNKKCSIPGKIPSGRFNTMFGFQSGSWGKDAANTKCLGIDGYYIILFNLHIHRYPLLLSPHVLNDLPSTWDPYALARFIEKYGTHVIVGLSIGGHDVVLVRQDKSSNLEPSLLKKHLYDLGDQLFTGTCRFAPKTKDQKQKIPEAFNIFDQQSIALDGYPFINTKDGITVIGSKRGGDPEARSHCEWLPTVAGMPDAIHFNFIPITSLLKNAPGKGFLSHAINLYLRYKPPLGELRYFLDFQAQKIWAPIHNDLPLGPSTNRASSSPALHFNLMGPKLYVNTSQVTVGMRPVTGMRLYLEGMKCNRLAIHLQHLSTLPQTFENKMDDTQYWQASGDKADIRFFEAIHRKNFSHICTAPIKYNPEWRTGGKDAAFIVTGAQLHVKKHGSKSVLHLRLLFSKVSNCFLVRSSWAQAASGYSQRSSGLLSAISQSLTGNPVKEKEMAAVVVDSSVYPTGPPVPVQTPKLLKFVETSQLCKGPENSPGYWLVTGARLQLEKGKISLHVKFSLANVN >KJB83155 pep chromosome:Graimondii2_0_v6:13:55170782:55172314:1 gene:B456_013G233200 transcript:KJB83155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCWVGGDWMCGACQHQNFKKRESCQRCGYPKYGGPDVSTYLYNNSYNSAEVLAGDWYCSAMNCGVVNYASRTNCYRCGSLKNDFVGNYNTMGCDGTVPPGWKTGDWICTRYGCGVHNYASRIECFKCKTPRNFGGA >KJB79596 pep chromosome:Graimondii2_0_v6:13:5576861:5577214:1 gene:B456_013G056400 transcript:KJB79596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNCFALAFFVALSFSSIDVGLAARHLQQLPPMPTLPTTTLPPFPSIPNLPQPSIPSFPRPGALPPFPTMPGLPTLPSVPRATLPPLPSIPSIPTIQPTIPSIPFLSPPPSPSTP >KJB82276 pep chromosome:Graimondii2_0_v6:13:48713311:48713798:-1 gene:B456_013G186900 transcript:KJB82276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKSWLLMIVLINGLLLWSNEAVSASHPKTIPSGRDFPYKLKSVSKRVTTLSPPPSPKLSTPSYQNSPPPPIYI >KJB81646 pep chromosome:Graimondii2_0_v6:13:42600607:42601787:1 gene:B456_013G154600 transcript:KJB81646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFKKTKQTRHCRPNVLKKGLDAEGDISGLVWTGKSVNGPNKIQMQVSGLGLGSLVNPMVVVAIPGPKCAEVGSSLLAQDAKAQNVSANEILTSFGLHEGVTAHFNPTFEGPKKVGISIIEDVSDPERHTLIIFKKICIRNLLLFDNGISEDLGVDSFGAKGQAIGGKIVIGRVGRKFNNPLRGRESRFKSTGSYRVPLVESMKAVVGLIYSQLAYVAGKKTLG >KJB81853 pep chromosome:Graimondii2_0_v6:13:44584895:44588127:1 gene:B456_013G164700 transcript:KJB81853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFDELIAKAKDAVSIAGEPIQRLGAYMVEGLVARKEASGSNIYRALRCREPEGKELLSYMHILYEICPYLKFGYMAANGAIAEACRNEDHIHIIDFQIAQGTQWVTLLQALAARPGGAPRVRITGIDDPVSKYARGGGLEAVGRRLSALSEKFNIPVEFHGVPVFAPDVTRDMLSLRPGEALAVNFPLQLHHTPDESVDVNNPRDGILRLVKSLSPKVTTLLEQESNTNTAPFLPRFIETLEYYLAIFESIDETLPRDRKERINVEQQCLARDIVNIIACEGKERVERHELLGKWKSRLTMAGFRQYPLSSYVNSVIRSLLRYYSKHYTLVEKDGAMLLRWKDRNLISASAWRCNN >KJB81854 pep chromosome:Graimondii2_0_v6:13:44585588:44588114:1 gene:B456_013G164700 transcript:KJB81854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYTSQELDSALREPDRDKDVTTPSVSRPRETGPRSRTWSQESQGSLVLQPQTSFVSRHRQSAEAVHVEKRQKAIEDLSLHGIPPGNLRQLLIACAKALSENKMDEFDELIAKAKDAVSIAGEPIQRLGAYMVEGLVARKEASGSNIYRALRCREPEGKELLSYMHILYEICPYLKFGYMAANGAIAEACRNEDHIHIIDFQIAQGTQWVTLLQALAARPGGAPRVRITGIDDPVSKYARGGGLEAVGRRLSALSEKFNIPVEFHGVPVFAPDVTRDMLSLRPGEALAVNFPLQLHHTPDESVDVNNPRDGILRLVKSLSPKVTTLLEQESNTNTAPFLPRFIETLEYYLAIFESIDETLPRDRKERINVEQQCLARDIVNIIACEGKERVERHELLGKWKSRLTMAGFRQYPLSSYVNSVIRSLLRYYSKHYTLVEKDGAMLLRWKDRNLISASAWRCNN >KJB83169 pep chromosome:Graimondii2_0_v6:13:55113743:55116934:1 gene:B456_013G232700 transcript:KJB83169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGELQLEPAGARNPSDSDPLLGNQIDSPSPASSSEIRSEDIENGSAPCCRICLECDGEEDDELISPCMCKGTQQFVHRACLDHWRSVKEGFAFSHCTTCKAQFHLRVELFEDNSWRKIKFRLFVARDVFLVFLAVQTVIAAMGGFAYVMDKDGAFRKSFSDGWDRILSNHPIPFYYCVGVLAFFVLLGFFGLILHCSSLNSNDPRMAGCQNCCYGWGILDCFPASMEACFALVVVFVVIFAILGIAYGFLAATMAIQRIWQKHYHILTKRELTKEYIVEDLHGSYTPPKLDPEHEERLKMMKLL >KJB83168 pep chromosome:Graimondii2_0_v6:13:55113651:55116934:1 gene:B456_013G232700 transcript:KJB83168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTIKFPKKTKIQGLFLGFIIRSMSGELQLEPAGARNPSDSDPLLGNQIDSPSPASSSEIRSEDIENGSAPCCRICLECDGEEDDELISPCMCKGTQQFVHRACLDHWRSVKEGFAFSHCTTCKAQFHLRVELFEDNSWRKIKFRLFVARDVFLVFLAVQTVIAAMGGFAYVMDKDGAFRKSFSDGWDRILSNHPIPFYYCVGVLAFFVLLGFFGLILHCSSLNSNDPRMAGCQNCCYGWGILDCFPASMEACFALVVVFVVIFAILGIAYGFLAATMAIQRIWQKHYHILTKRELTKEYIVEDLHGSYTPPKLDPEHEERLKMMKLL >KJB81857 pep chromosome:Graimondii2_0_v6:13:44667726:44670526:-1 gene:B456_013G164900 transcript:KJB81857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQASQVLASPHPAISSENRPKADFHPGIWGDMFIICPDTDIDAATELQYEELKAQVRKMIMEPVDDSNQKLPFIDAVQRLGVSYHFEKEIEDELENIYRDTNNNDADTDLYTTALRFRLLREHGFGISCDAFNKFKDEAGNFKPSLTSDVQGLLELYEASYMRVHGEDILDEAISFTTAQLTLALPTLNHPLSEQVGHALKQSIRRGLPRVEARNFISIYQDLESHNKSLLQFAKIDFNLLQLLHRKELSEICRWWKDLDFTRKLPFARDRVVEGYFWIMGVYFEPQYSLGRKMLTKVIAMASIVDDTYDSYATYDELIPYTNAIERWDIKCMNQLPDYMKISYKALLDVYEEMEQLLANQGRQYRVEYAKKAMIRLAQAYLLEAKWTHQNYKPTFEEFRDNALPTSGYAMLAITAFVGMGEVITPETFKWAASDPKIIKASTIICRFMDDIAEHKFNHRREDDCSAIECYMKQYGVTAQEAYNEFNKHIESSWKDVNEEFLKPTEMPTPVLCRSLNLARVMDVLYREGDGYTHVGKAAKGGITSLLIDPIQI >KJB81868 pep chromosome:Graimondii2_0_v6:13:44786391:44789502:-1 gene:B456_013G165600 transcript:KJB81868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPADNTDHPQLPTIKIHHPSSPHHPTSAATPTPTSGARRKIGVAVDLSDESAFAVRWAVQNYLRPGDTVILLHVSPTSVLFGADWGPLTQTPQNPETPQSQKQLEDDFDAFTASKAADLAKPLKEAGIHFKIHIVKDHDMRERLCLEVERLGLSAVIMGSRGFGAEKRGSDGRLGSVSDYCVHHCVCPVIVVRYPEDKDGGDAAPVVTVKETVVVEKDGKDA >KJB81869 pep chromosome:Graimondii2_0_v6:13:44786307:44789519:-1 gene:B456_013G165600 transcript:KJB81869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPADNTDHPQLPTIKIHHPSSPHHPTSAATPTPTSGARRKIGVAVDLSDESAFAVRWAVQNYLRPGDTVILLHVSPTSVLFGADWGPLTQTPQNPETPQSQKQLEDDFDAFTASKAADLAKPLKEAGIHFKIHIVKDHDMRERLCLEVERLGLSAVIMGSRGFGAEKRGSDGRLGSVSDYCVHHCVCPVIVVRYPEDKDGGDAAPVVTVKETVVVEKDGKDA >KJB80860 pep chromosome:Graimondii2_0_v6:13:29532870:29533990:1 gene:B456_013G118400 transcript:KJB80860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYNCKRSGQIPAFGDWDHANELPITQYFESAREAGLIRFSSSTAKPKPYLTDDLKTKHPRDHVPVRKVSRVREKREGSGGGGPLVTEEKKAGRVGGVTDPPRKLHYQYHHRHPHHHVPNNINDCSKQHGNDVVPHKRLPVDEDLYKITPEILHSSKPVSAFSISFL >KJB83290 pep chromosome:Graimondii2_0_v6:13:56030186:56030695:1 gene:B456_013G240800 transcript:KJB83290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCFFSIHEPSNSIKMNPKAEANNRKKKINKKPNYSLLFTNIMGPKKKKEIEPNPPISQPSPPPPSPVPSTVTPRFIRASKTHRYSSCHFRCR >KJB83433 pep chromosome:Graimondii2_0_v6:13:56606825:56608493:-1 gene:B456_013G247400 transcript:KJB83433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIIRDRQFTDSSALTDFVINRGNGVKGLSEMGLKALPKPYIQPLEERLCMTKFVPQQSIPIIDMSYWKNPRVASAVCDAAEKWGFFQIVNHDVPIEVLNNVKDATYRFFDLPADQKNKYSKENSASNNVRFGTSFSPEAEKSLEWKDYLSLFFVSEEEASMLWPPVCREQVLDYIRRAEVVIKKLLQMLMEGLNVKEIDEAKRSLLMGSIRTNLNYYPICPDPELTVGVGRHSDVSTLTILLQDEIGGLYVRGNQGDGDNWIHVPPIKGSLVINVGDALQILSNNRYKSVEHRVIANGTKNRISVPIFVNPKPTDIIGPLVEVLKNGEKPIYKQVLYSDYVKHFFRKAHDGKKTVEFAEI >KJB83903 pep chromosome:Graimondii2_0_v6:13:58138392:58139691:-1 gene:B456_013G270100 transcript:KJB83903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVSLLSAKSITAIPKLKPFLFDFHVSPPFSLSFAVAPNTTTHFTLWSRKPRRNPTPPAAAMLFPQNPVFSDTCAAVISGSIALSVLRLWQETAKRGLFDQKLNRKLVHISIGLVFMLCWPLYSSGYRGAILAAITPGVNIIRMILIGSGLWKDEATVKAMSRYGDYRELLKGPVYYATTITLACAFYWRTSPIAIAAICNLCAGDGNS >KJB83902 pep chromosome:Graimondii2_0_v6:13:58137509:58139691:-1 gene:B456_013G270100 transcript:KJB83902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVSLLSAKSITAIPKLKPFLFDFHVSPPFSLSFAVAPNTTTHFTLWSRKPRRNPTPPAAAMLFPQNPVFSDTCAAVISGSIALSVLRLWQETAKRGLFDQKLNRKLVHISIGLVFMLCWPLYSSGYRGAILAAITPGVNIIRMILIGSGLWKDEATVKAMSRYGDYRELLKGPVYYATTITLACAFYWRTSPIAIAAICNLCAGDGFADIVGRQFGGQKLPYNKNKSIAGSVAMAIAGFLTSVGYMYYFSYFGYIKESTEIVFGFLIVSIASALVESLPVSTELDDNLTVTLTSILVGSLVF >KJB83901 pep chromosome:Graimondii2_0_v6:13:58138244:58139635:-1 gene:B456_013G270100 transcript:KJB83901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVSLLSAKSITAIPKLKPFLFDFHVSPPFSLSFAVAPNTTTHFTLWSRKPRRNPTPPAAAMLFPQNPVFSDTCAAVISGSIALSVLRLWQETAKRGLFDQKLNRKLVHISIGLVFMLCWPLYSSGYRGAILAAITPGVNIIRMILIGSGLWKDEATVKAMSRYGDYRELLKGPVYYATTITLACAFYWRTSPIAIAAICNLCAGDGFADIVGRQFGGQKLPYNKNKSIAGSVAMAIAGFLTSVG >KJB80350 pep chromosome:Graimondii2_0_v6:13:15005292:15008989:1 gene:B456_013G093200 transcript:KJB80350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIREGLRTHISPKSHKPPSLSLRRKKEGGKVMADDQEEPPLAIRIDQTVEEPSQSDQEKPQNDYVSVGVTVITGYLGAGKSTLVNYILNAQHGKRIAVILNEFGEEIGVERAMINEGESGALVEEWVELANGCVCCTVKHSLVQALEQLVQMKKKLDHILLETTGLANPAPLASVLWLDDQLESSVKLDSIVTVVDAKNLRFQLNRHRDSSSFPEAFLQIAFADVVILNKVDLVSQEQSEGALEELENEIHSINSLANIIRSIRCEVDLSQILNRQAYDATHATHLEALLEESKSLSSGDLHDSGVCTLCINQTEAVNLHKVRLWIEEILWDKKYGMDVYRCKGVLRVGNSDQLHTLQAVREIYEIVPTRQWRNEEKQMNRIVFIGHNLDENILIDTFRGCV >KJB79056 pep chromosome:Graimondii2_0_v6:13:2391170:2394349:1 gene:B456_013G031600 transcript:KJB79056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPNLGLESENDSKASSQVASNISAQEASTDPSKDTTTTTSCLSNPVKVQPDPGSVSLDLTLHFSANDAEMKGATGETMGINTLSTPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRAMRMGLLSDRYTSLASLPLHGSAFRSLGVKAHSAMHQGMLPSSQRPVDTRSGARFDQGCFGMPIFMEDEEVDLYWPGSFRQVNEERVGSNQAMELSQNPTQSSNIGFIAMATLPRADASSQLDLTLKL >KJB79057 pep chromosome:Graimondii2_0_v6:13:2390831:2394349:1 gene:B456_013G031600 transcript:KJB79057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPNLGLESENDSKASSQVASNISAQEASTDPSKDTTTTTSCLSNPVKVQPDPGSVSLDLTLHFSANDAEMKGATGETMGINTLSTPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRAMRMGLLSDRYTSLASLPLHGSAFRSLGVKAHSAMHQGMLPSSQRPVDTRSGARFDQGCFGMPIFMEDEEVDLYWPGSFRQVNEERVGSNQAMELSQNPTQSSNIGFIAMATLPRADASSQLDLTLKL >KJB80588 pep chromosome:Graimondii2_0_v6:13:21304651:21305205:1 gene:B456_013G105400 transcript:KJB80588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLLYHHRHIEAERALTIELLYLYAFNISYFTNEKNMYEAFLCGVLTTVTHAYRHLRKNTLSKQLNLYCDFNLNSSY >KJB79417 pep chromosome:Graimondii2_0_v6:13:4329778:4330461:1 gene:B456_013G048600 transcript:KJB79417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVLSVASGGIHVWYMPALTEIFGDDFVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRYLTHEGNEIICEASKWSPELAAACEVWKAIKFEFDDWCILFYPIYHGFRSWIEHHNCFYPSSNNPILGLVGT >KJB79561 pep chromosome:Graimondii2_0_v6:13:5239535:5241706:-1 gene:B456_013G054300 transcript:KJB79561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICSSKIVNLLITTVLFCLIEPFSNAISFNFTSFTTNNNNISYENAYPASRVIQLTANQRDRQMTASLGRATYYEPMHLWDKATGNLTDFATHFTFTIDSQNRSAYGDGIAFFLAPQGSKIPDNVTKGGNLALASDGMGLNTTVNRFVAVEFDIYRNRWDPEHEHVGIDIDSMKSVANITWWSDVMGGKRNEAWIRYDPKTHNLSVSFTGFRNNTTVMQHLSAIIDLRLYLPDKVTFGFSAATGNASAIHQISSWDFSSSLENDSNTTDPTAATQPNHKKRKNRTGFAVGFGVAGAFVVMVLVGIASLYRKKYQSDEENGDAIDEAIEDEFERGTGPRKFSYKELVHATDDFNEVQKLGQGGFGGVYKGFLKDSSSYVAIKRVSSGSKQGIKEYASEVKIISRLRHRNLVQLIGWCHENKQLLLVYEFMPNGSLDSHLFNQNSLLPWELRFKIAQGLASGLLYLHEGWQQCVVHRDIKSSNVLLDSDFNAKLGDFGLARLVDHSKGSQTTVLAGTMGYLAPECHIAGKASKQSDVYSFGVVALELACGRKPIEPKAGEGKVNLVEWVWDLYGRTELLEACDTRLNGVFTVREMEQLMILGLWCAHPDENSRPSIRQAIHALNFEAPVPVLPSKMPVATYYAPPMSVTPLNQFSSNSTYSNSSRSSQFTSSSSSSATASLLLAPPV >KJB82038 pep chromosome:Graimondii2_0_v6:13:46038449:46049800:1 gene:B456_013G173100 transcript:KJB82038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFMPNSSFVACFTALVSNLKMGNSAIAGSSFSGSVKPGMHLAEMSFSPYFEDMHDDDFEGSHDEHSISTEVFSENDTGRISKKCLVTGAINFKDIKSPEASLCSYSASSAVTSLSCSKTLCQEVSNLANDSCGWVSASGSFPERYALAERDDQNASFKRMKLSTGEVSRGEAQKKKALSAPLQQKEIVSGLSSTPTNSVYQRVMPHLVESSAVEMDKGAEMEDVDVTKSRIQDSGASEGKEVVVGIAIGSPVSEESFASKVVVSSPATALVKFESPLCAQERFNLCQSFGVGGSNISGATVSKMDPRPLLQSHVFHVLKGAGWSIERQKRPSRSYMDTVYRSPEGRLFRAFPKVWRFCGQVLLADRYDFMLENDGKKWTDMTQFWSDLLETLLNIEKEINQTNLSNALAQHWTLLDPFVTVVFINRKIGSLRKGDEVKAGRSLVIEKNKKNDTVLAQRKKVTMRKFCSQGMMPTQHCDSSLAAKSSLTIPKRSYDSSEELSGNGSLSKFYGKMSSGAVKCLKDVSNMTDQEGSHLVDTANRLETFGCEVKGLHIVSSHSCGSDNTYGQLVSSQFIDPVASGDVTNMLHGFKSVSPHQDINTRSPSFGKQMSLCNGETLKEVPGNVSVDSQEEKDKTSGALDAGNVRNLPQHLLDDHPSYLLDDYPSYPSDSLIQSGDGEDQFEKSAEALKFETNNENCAQNAILKKKARRRSRKISEISLTTSYQSDVLCSYTPDMSEQDIDSCQADLNSKEVQESFETKGSLQKSSSPGPSLCQVEKRGSNFKRICCDRDGSESRKKKSTECQIEDDDLLVSAIIRNKDLSMGATRSKLKAPKVRAQTKFKRKRGRPRLLPRVKGKGRKHVTKIKLNNVGSRTVLSWLILAGVISLNDVIQSRNPYDDAIVKDGFVSLEGITCKCCNRVLSVSEFKNHAGFKFNHPCLNLFMESGKPFTLCQLQAWSAEYKTKKKGIRKVEADENDRNDDSCGLCGDGGELICCDNCPSTFHLACLSMQELPEGDWYCSNCTCWICGNFVNDKEASSLFDAFKCSQCEHKYHKECLNDKCQFKEKESNTWLCGGSCEELYSGLSSRLGMINHLPDGFSWTLLKCIHEDQKVYSAQRFALQAECNSKLAVALSIMEECFQSMVDPRTGVDMIPQLLYNWGSDFARLNFFGFYSLVLEKDDVLISVASIRIHGVTVAEMPLIATCINYRRQGMCRRLMNVIEEMLISFKVEKLVITAIPNLVETWTKGFGFTPVEEDERKMLKKINLMVFPGTILLKKPLYRESTDIVIHSVGDQPEYKANAEIGTELAGDKNLQESEIDGMKEIKTTDAGEVVEKPTLRVTETTRPAL >KJB83804 pep chromosome:Graimondii2_0_v6:13:57847645:57849547:1 gene:B456_013G265600 transcript:KJB83804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSISKPINQIQRNRINHFVRIHEHSKDYHSFGAKRPKTKTNHENPQKSTQKRRPKRPPPFVAAMKGIHDPDEALSLFHEYYQMGYKHDYPSFSSLIYKLAKSRNFEAVETLLGVLQNLNIHCKETLFCALFQHYGKAHLSVKAVELFRKMPCFNCVCTVQSLNSVLNVLVDNNRFGDAKEIFDESKEMGFRPNSVTFNVMIKGLLNGAEFDEACNMFDEMLERNVEPSVVTYNSFISFLCNKGEVEKAKKLFEDMVKKGKYPNAVTYALLMEGLCSLGEYKEAKKLMFDMEYKGCKTKVINFGVLMSYLGKKGEIEEAKSLLSEMKKRRFKPDVVIYNILVNYLCKESKIEEAYKVLIEMQVKGCEPNAATYRMMVDGFCNVGNFEGALKVLNVMLKSRHCPRSETFCRLVAGLLRCGKVDGACFVLEEMEKRKISLDLEAWGDLIRDAYSGVGDTNELLTQIILGY >KJB83805 pep chromosome:Graimondii2_0_v6:13:57847645:57850132:1 gene:B456_013G265600 transcript:KJB83805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSISKPINQIQRNRINHFVRIHEHSKDYHSFGAKRPKTKTNHENPQKSTQKRRPKRPPPFVAAMKGIHDPDEALSLFHEYYQMGYKHDYPSFSSLIYKLAKSRNFEAVETLLGVLQNLNIHCKETLFCALFQHYGKAHLSVKAVELFRKMPCFNCVCTVQSLNSVLNVLVDNNRFGDAKEIFDESKEMGFRPNSVTFNVMIKGLLNGAEFDEACNMFDEMLERNVEPSVVTYNSFISFLCNKGEVEKAKKLFEDMVKKGKYPNAVTYALLMEGLCSLGEYKEAKKLMFDMEYKGCKTKVINFGVLMSYLGKKGEIEEAKSLLSEMKKRRFKPDVVIYNILVNYLCKESKIEEAYKVLIEMQVKGCEPNAATYRMMVDGFCNVGNFEGALKVLNVMLKSRHCPRSETFCRLVAGLLRCGKVDGACFVLEEMEKRKISLDLEAWGDLIRDAYSGVGDTNELLTQIILGY >KJB79587 pep chromosome:Graimondii2_0_v6:13:5477545:5483041:-1 gene:B456_013G055600 transcript:KJB79587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLVQLWKHFKGNDKPPEQLGTSREYNVDMIPKFMMANGSLVRILIHTGVTKYLNFKAVDGSYVYKKKKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYDENDPKSHEKLDLNKVTAKELISKYGLEDDTIDFIGHALALHNEDSYLAQPALNFVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDGDGKVIGVTSEGETAKCNKVVCDPSYLSDKVKKVGKVARAVCVMSHPIPDTNDSHSAQVILPQKQLGRKSDMYVFCCSYAHNVAPKGKYIAFVSAEAETDNPEQELKPGVDLLGSVDEIFYDTYDRYVPTNDHQADNCFISASYDSTTHFETTVIDVMEMYTKITGKVLDLSVDLSAASAAEE >KJB80611 pep chromosome:Graimondii2_0_v6:13:23141147:23145344:1 gene:B456_013G107100 transcript:KJB80611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEYYDVLGVSPSASEEEIRKAYYLKARQVHPDKNPNDPHAAERFQVLGEAYQILSDPVQRDAYDRNGKYSITRDTMLDPTAVFALLFGSELFEDYIGHAAVASMASSELANETDKLEKLNDKLKAVQKEREEKLAKSLGDFLNQYVRGDKDGFMQHAESEARRLSDTAFGVDILHTIGYIYSRQASQELGKKAIYLGVPFLAEWVRHKGHFWKSQITAAKGAFQLLQLQEDMRKQFKMGGSGAENDVEAHLRLNKETLMSSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARALALKILGKTFQEQEARNGGTSRRKNVAEMDDDDDDDGSSSDSSSEEESPGALSYRTPFLTQGIGRLFRCLCNPAFDVDDDEIVFKSK >KJB81478 pep chromosome:Graimondii2_0_v6:13:40438356:40441035:1 gene:B456_013G147300 transcript:KJB81478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase NANA, chloroplast [Source:Projected from Arabidopsis thaliana (AT3G12700) UniProtKB/Swiss-Prot;Acc:Q9LTW4] MKVKLIILVPFMVLVSMVVAQQHVDQMQHQHDSNSITLELIHRHAPQFTNNHPITQHQRLVDLLYHDIIRHGIMSHRRRAKEEDPLTASIKMPLASGRDFGIGQYITSFKVGTPSQKFWLIVDTGSDLTWIRCRYRCSRGDRSCTRKGRINRKRVFHAPLSSSFSPVPCFSEMCKVELMNLFSLTTCPTPITPCAYDYRYSDGSAAMGVFANETVSAGLTNGRKTRLHNVLIGCTDSFQGPTLQNVDGIMGLANTKYSFATNAAATFGGKFSYCLVDHLSHLNATNYIIFGTNRNQVKVSGNTRHTQLELDAIPSFYAVNVIGISVGNKMLEIPMQVWDASVGGGTIIDSGTSLTFLADPAYQAVMEALKVSVSKYQRVKLDGVPMEYCFNSEGFNGSLVPKLIIHFNDGARFEPHWNSYVIAAAAGVRCLGFLPARFPALSVIGNIMQQNYLWEFDLKGKRLVFAPSSCNSS >KJB82589 pep chromosome:Graimondii2_0_v6:13:51428014:51429649:1 gene:B456_013G203300 transcript:KJB82589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVGNSTNIFWQESPVGKLERQKLLHQKSCVVWITGLSGSGKSTLACSLSRELFTRGNLSYVLDGDNLRHGLNQDLGFKADDRTENIRRVGEVAKLFADAGLICIASLISPYRKDRDSCRAMLPDANFIEVFMNMPLTLCEERDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEIEIKQKAGVCPTPGAMAGEVVTYLEEKGYLQHQ >KJB80562 pep chromosome:Graimondii2_0_v6:13:20638676:20641884:-1 gene:B456_013G104100 transcript:KJB80562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATVNPFPRVQRNLKGFIRVLKFAACEWMLIFLLFIDAAFSYMVTSFAQYCELQIPCILCSRLDHVFGNAKDGFYRTLFCRSHRSEISFLLSCNIHDKLVDSSGMCDECLASHVEGNESNSDMKRLVSGKLGYDGNCCSQVDEDPTSAYKFTRLCLCCNKPWIPRPSSNRSLLLKSSTSVSKPSIPLPRSLSPINDLKKMNDTVPGKTGFEHLSHVGEPEVKISSESESAFPATDNDTGGSIIRNINENKKEQADDPNESHDLRCLAPENENVVSEHKVKANFNELPELISLDNYPPTSCLMEVPSFSASLLAADLIPLVDTSNSVNAKDVPLEPENENVSVNKNDEILKLISTTTGARFEADQVADDTAMLNSTDGDPSEPEFVTKHMLTNNNEVHEDHKSLPVETIFEPSKTESDEISKLISSSTQTVFGTDRLGDDTAIVNSTDGDRIAVQELPVCGEEKSASEFVTEPTNGVNGEVQSLSGQSTSREGIHLSLNNLSPELQDRAVELLRSESNSEEVRNLQNLFFEAINDSPGLKYFEESSVIEGENPLDTLKQEVDNYRNYINALSKELDEERNASAIAANQAMAMITRLQEEKAALRMEALQYLRMMEEQAEYDVDALEKANDLLTEKEKEVQDLEAELEYYKYNFPDETSEETKPEANINLDKEYVSMENNTSTCSKQDDMKYPLETMLPEASTVVDDHPVVITAWSEIEDEKSYISQWLQKLEKKLNMLAQYGTSSPQNSDGGREEKDHTPVQEASSMANGTGVSQETSISKDEEENCDTVSNGQEGSEDWGEISLDALENEIAELNERLEALETDCSFLHRSLNALKNGKKGLLLIQEILHLLREVKKFGLKTMNLSVL >KJB79347 pep chromosome:Graimondii2_0_v6:13:3945635:3947649:1 gene:B456_013G045000 transcript:KJB79347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTTIVEPLDLVGLQIPYHFRCPISLELMRDPVTVCTGQTYDRSSIESWVATGNTTCPVTRAPLSDFTFIPNHTLRRLIQDWCVENQAFGIQRIPTPKQPADPVMVRALLTQASAVSSPFQPRLSALRRLKGLARDSDKNRSVISSHDAREVLIDIVFSDTGSSDLSVEALAVLVMFQLNESECESIGSDPNRVVYLSRMLFHSSIEVRINSASLIENVLSGTRSPDLRAQISNADEIFEGVVDILRNLNSYPRALRIGVRALFALCLVKQTRHKAVQAGAPATLIDRLADLDKCDAERALATIELLCRIPSGCSAFAAHALTVPLLVKTILKISDRATEYAAGALMALCSESEGSQREAVSAGVLTQLLLLVQSDCTERAKRKAQMLLKLLRDSWPEDSIGNSDDFACSEIVPF >KJB81942 pep chromosome:Graimondii2_0_v6:13:45297564:45298559:-1 gene:B456_013G168100 transcript:KJB81942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIISYKRDGSMNTLCTENNSSNTWRSFKEKKMSIKSAISLTLIGSVLLMMLLSGIDAGGIAIYWGQNGNEGTLAETCATGNYDFVNIAFLPTFGNGQTPMINLAGHCDPYTNGCTGLSSDVKSCQAKGIKVMLSLGGGAGSYYLTSSKDARQVQFYNNPQCQYTEGDIKNLEDAWKQWTTDVNATLIFLGLPASPEAAGSGFIPVSDLTSQVLPAIKGSAKYGGVMLWSKYYDNQSEYSSAIKNDV >KJB80524 pep chromosome:Graimondii2_0_v6:13:18906023:18906572:-1 gene:B456_013G102000 transcript:KJB80524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRHLRQISQEISQSNVTGWGRRPAALRALSHKLSKGFNEAVNGFIDERWSMLETDGVDDITLLVNSSPGKMMDINFSYSNGFPSMGNAVLCAKASLLFLYVQLPFCMCGS >KJB81467 pep chromosome:Graimondii2_0_v6:13:40397027:40399134:1 gene:B456_013G147000 transcript:KJB81467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKINKTLNSDNNESSLMSSGAATDSQHSKKKNSLACNPPPQDQRKVEGMGKNSSSGASHHGHGSKVNENVSSNHQYAPKMMDPVKMPNTSSDADFHSSWAKTNDARNANHQGSRNSNRSDSMESTTAPLRPHTGGDVRWDAINSVSAKGPIGLSNFRLLKRIGYGDIGSVYLVELRGTNAYFAMKVMDKASLASRNKLLRAQTEREILGLLDHPFLPTLYSYFETEKFYCLVMEFCSGGNLHSLRQKQPNKHFTEEAARFFASEVLLALEYLHMLGIVYRDLKPENVLVRDEGHIMLSDFDLSLRCSVSPTLVKSSSSHQASNGGGSTGGILDNEHVPAHGCMQPSTFFPRILPGKKNRKSKSDFGLFVGGSMPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGQGNRATLFNVVGQPLRFPETPQVSFVARDLIRGLLVKEPSKRIACKRGATEIKQHPFFEGVNWALVRSAMPPHVPEPVDFSLLSAKEEKRNHHLQHELGDPGAPQKANSSDYVQFEYF >KJB79848 pep chromosome:Graimondii2_0_v6:13:8028092:8031208:1 gene:B456_013G069300 transcript:KJB79848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINTSFCWCFILVLLWFQSQGCLEEERAALLQIKDSMGSYLSSAFSNWYVKECCDWEGVVCDPSHTRIQRIFFPHLRSDDSEPWFPNATLFAEFKDLQELELPGNHIGGFISIQAFRKLKHLRKLNLRDNSIQNGSNLWWGKYLSLYNLDLSGNKLQGNFPDSLCANLFLRELILSRNNLFGSINSCLGNMITPRLLDLSENQFNGSFPSLLISNLTNIESLVLSSNEFQGMISLCVFANLSRLSELDISFNHLEVETEMMSPSCSPSFRLSTLSLGGCNVKKIYPWMFHNITSELWLSNNNLTGPFISNFQNITSKLTILDISDNFLRGTLPEDINLNFSELRHLDLSGNSFNGNLPMFFSDQLQMLDLSNNQFQGEIPYSIKSNMSCLLYLGLSQNNLTGDLFPKNSSLPNLRWLHLNNNRLSGTFPYALSKSMKLRIIDIQNNELSGELSSYLPVLPKLNILILRGNQFEGQLPVKICQMRDLHLLDLSENDLSGDIPDCVDNVTSWLGRSETAVGYLPDGSRNGIVFTTKGRRYIYKAVVLSFLMGVDVSCNRLAGKIPIQMTRLKGIRILNVSNNLLTGQIPSSLGNLVNLESLDLSHNNIFGVLPHELVGLGLLAAFNVSFNNLSGMIPIEHQFGTFESDSYLGNPDLCGHPLQIKCNGSTETPPIYARAEKSVSIMYFAVLICLFFIVICLE >KJB80354 pep chromosome:Graimondii2_0_v6:13:15055226:15058445:1 gene:B456_013G093400 transcript:KJB80354 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRF12 [Source:Projected from Arabidopsis thaliana (AT1G26480) UniProtKB/TrEMBL;Acc:A0A178WJF9] MSSSEKERETHIYLAKLAEQAERYEEMVESMKKVAKLDCELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNEENVKLIKGYRRKVEEELSNICTDILSIIDKHLIPSSSSGEATVFYYKMKGDYYRYVAEFKTDQERKEAAEQSLKGYEAASAAANTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDTVKGDDTKSVAKSEAKAEKH >KJB80355 pep chromosome:Graimondii2_0_v6:13:15056347:15058445:1 gene:B456_013G093400 transcript:KJB80355 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRF12 [Source:Projected from Arabidopsis thaliana (AT1G26480) UniProtKB/TrEMBL;Acc:A0A178WJF9] MVESMKKVAKLDCELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNEENVKLIKGYRRKVEEELSNICTDILSIIDKHLIPSSSSGEATVFYYKMKGDYYRYVAEFKTDQERKEAAEQSLKGYEAASAAANTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDTVKGDDTKSVAKSEAKAEKH >KJB78680 pep chromosome:Graimondii2_0_v6:13:814356:818123:-1 gene:B456_013G012000 transcript:KJB78680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQHVIHPHPLSISFIDGANTMRWCKACGRKLPGPTYGCESCRFFIHKPCLGEHKAEVQCFFHPCPLTISFDSFEFDEYCFVCFKSITSTFSYECKLNCKFRAHVECALKPIIEYSDEECTIQHFTHAHPLKLVDSNQKDEVICSICEELCSSSSSSSSSTYGCMECKFFLHKSCMKSIPRQLSNHRIHPCTLIFITCPYSGVECDCCGEDIVPGMKFSCGACDLDLHVKCALFPTTDSEDAKEIQHFCHPHTLALVQNDEEYGSEPRCVACAQICLPPAPTFRCSRSCSHFFLHKSCYVKLPYKSYKIKHPFHPDHPLTITSLPYKDHIRTCDACCRGIDSTLLAYSCREYECKFNLHLDCIKVLVSLKFSGHEHLLTLLEKTLDISCHLCGVNCCNFVLRCMPCDFNIHLQCVPSAPKTIKHKSHLHPLTLTKSPFEHELNSDEEEDEFYCDVCEQKRNQKELVYYCVECKFIAEVKCVVDEVLPLIANDRSFEEEVSKDNIAETEIQKWHVENLQVKCIKLQKRREDLKAKMKQLKGELADVTKEIEKTGDLLLDREAGTY >KJB80082 pep chromosome:Graimondii2_0_v6:13:10968818:10970759:-1 gene:B456_013G080500 transcript:KJB80082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHLKSFLFFSPFYFFALNFSKSTALCISVGPTHFRSLDFDERNDYLKGVVTDVIHDPGRSVPLARVVFRHPFRYKKQKEPFVAAEGMYTGQFVYCGKKVTLMVGNVLPLRYIPEGAIVCNVEHHVGDRGVFARLLVIMPLLLVTTLITTLPDLMIPYGICRPFIRPC >KJB80085 pep chromosome:Graimondii2_0_v6:13:10968751:10970674:-1 gene:B456_013G080500 transcript:KJB80085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHLKSFLFFSPFYFFALNFSKSTALCISVGPTHFRSLDFDERNDYLKGVVTDVIHDPGRSVPLARVVFRHPFRYKKQKEPFVAAEGMYTGQFVYCGKKVTLMVGNVLPLRYIPEGAIVCNVEHHVGDRGVFARLLVIMPLLLVTTLITTLPDLMIPYGICRPFIRPC >KJB80083 pep chromosome:Graimondii2_0_v6:13:10967313:10970759:-1 gene:B456_013G080500 transcript:KJB80083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHLKSFLFFSPFYFFALNFSKSTALCISVGPTHFRSLDFDERNDYLKGVVTDVIHDPGRSVPLARVVFRHPFRYKKQKEPFVAAEGMYTGQFVYCGKKVTLMVGNVLPLRYIPEGAIVCNVEHHVGDRGVFARLLVIMPLLLVTTLITTLPDLMIPYGICRPFIRPC >KJB80084 pep chromosome:Graimondii2_0_v6:13:10969230:10970674:-1 gene:B456_013G080500 transcript:KJB80084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHLKSFLFFSPFYFFALNFSKSTALCISVGPTHFRSLDFDERNDYLKGVVTDVIHDPGRSVPLARVVFRHPFRYKKQKEPFVAAEGMYTGQFVYCGKKVTLMVGNVLPLRYIPEGAIVCNVEHHVGDRGVFARLLVIMPLLLVTTLITTLPGISGAP >KJB82222 pep chromosome:Graimondii2_0_v6:13:48074779:48075568:1 gene:B456_013G1826001 transcript:KJB82222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVSMLRILTKAVFPQDADGLRKSAYLYFFTSIVFMVICIVLYNNIVGTVKWYGFGIVLIYVVTLSIFPGYITEDVHSLVLKDWYLVLLITGYNVFDLVGKSLTAVYLLENAKVAISACVVRLLFFPLFIGCLHGPQLFRTEFPVSLLTCLLGLTNGYLTSVLMIMAPKSIQIQHAETSGIVMVLFLVVGLASGSVIAWFWVI >KJB79103 pep chromosome:Graimondii2_0_v6:13:2561997:2563157:1 gene:B456_013G033500 transcript:KJB79103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELRRMMMLRQGSTRCEDCGNKAKKECGFTRCRTCCRSKGYQCQTHIKSTWVPAYRRIRQNPQISRNPTRLRQNPSSGLEVGKFPAEVISPATFQCVRVSSVEDADDEYAYRTSVNIEGRVFKGILYDQGPHHSLGESSSRDQTHLQQQQPNQIIAADPLTMATTTTSATVETLLPFAYASPFNAFMSPSTQFFLHQPKP >KJB79054 pep chromosome:Graimondii2_0_v6:13:2367018:2371908:-1 gene:B456_013G031500 transcript:KJB79054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLQQSYHHSVTVKVNEQMGTKRGYTFIQANRAWLPKFLLLWVMVMAFLSTWIYKKMDADNKVRRKEVLSTMCDQRARMLQDQFSVSVNHVHALAILVSTFHYYKDPSAIDQETFAEYTARTAFERPLLSGVAYAERVVHSEREKFERQHGWTIKTMKREPSPIRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPSPTEQERIEATAGYLGGAFDVESLVENLLGQLAGNQAILVNVYDITNSSDHLIMYGHQNQDGDLALLHESKLDFGDPFRKHQMICRYHQKAPTSWTALTTAFLFFVICLLVGYILYGAAIHIVKVEDDFHEMEELKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQRDYAQTAQVCGKALITLINEVLDRAKIEAGKLELETVPFDLRSILDDVLSLFSEKSRNKGVELAVFVSDKVPEMVMGDPGRFRQIITNLVGNSVKFTERGHIFVKVHLAENPKPMEDPKAETCLNGGSDEDALVSGARQFKTLSGYEAADERNSWDSFKHLVADEELRYDASVKMKAADEASQSVTLMVSVEDTGIGIPLIAQDRVFMPFMQADSSTSRNYGGTGIGLSITKCLVELMGGHISFISRPQVGSTFSFTTVFGRCKRASFSDTKKPNAEDLPSGFRGLKAVVVDGKPVRAAVTRYHLKRLGMLVEFANSVKIAASACGKNGSSCGSKTQPDIVLVEKDSWLSGEDGGLSLRTLDRQQNGHVFKSPKMILLATNITNAELEKARAAGFADTTIMKPLRASMVAACLQQVLGTGKKRQPGRGMLNGSSVLGSLLCGKKILVVDDNMVNRRVAAGALKKFGAAVECAESGKAALKLLQLPHSFDACFMDIQMPEMDGYVYHIFNIGQLTI >KJB79053 pep chromosome:Graimondii2_0_v6:13:2366137:2372776:-1 gene:B456_013G031500 transcript:KJB79053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLQQSYHHSVTVKVNEQMGTKRGYTFIQANRAWLPKFLLLWVMVMAFLSTWIYKKMDADNKVRRKEVLSTMCDQRARMLQDQFSVSVNHVHALAILVSTFHYYKDPSAIDQETFAEYTARTAFERPLLSGVAYAERVVHSEREKFERQHGWTIKTMKREPSPIRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPSPTEQERIEATAGYLGGAFDVESLVENLLGQLAGNQAILVNVYDITNSSDHLIMYGHQNQDGDLALLHESKLDFGDPFRKHQMICRYHQKAPTSWTALTTAFLFFVICLLVGYILYGAAIHIVKVEDDFHEMEELKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQRDYAQTAQVCGKALITLINEVLDRAKIEAGKLELETVPFDLRSILDDVLSLFSEKSRNKGVELAVFVSDKVPEMVMGDPGRFRQIITNLVGNSVKFTERGHIFVKVHLAENPKPMEDPKAETCLNGGSDEDALVSGARQFKTLSGYEAADERNSWDSFKHLVADEELRYDASVKMKAADEASQSVTLMVSVEDTGIGIPLIAQDRVFMPFMQADSSTSRNYGGTGIGLSITKCLVELMGGHISFISRPQVGSTFSFTTVFGRCKRASFSDTKKPNAEDLPSGFRGLKAVVVDGKPVRAAVTRYHLKRLGMLVEFANSVKIAASACGKNGSSCGSKTQPDIVLVEKDSWLSGEDGGLSLRTLDRQQNGHVFKSPKMILLATNITNAELEKARAAGFADTTIMKPLRASMVAACLQQVLGTGKKRQPGRGMLNGSSVLGSLLCGKKILVVDDNMVNRRVAAGALKKFGAAVECAESGKAALKLLQLPHSFDACFMDIQMPEMDGFEATRRIRMMESQANEQMNRGTEEGSIRTGEWHIPILAMTADVIHATYDECLKCGMDGYVSKPFEEENLYLAVAKFFKTKPISDS >KJB79052 pep chromosome:Graimondii2_0_v6:13:2366137:2371908:-1 gene:B456_013G031500 transcript:KJB79052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLQQSYHHSVTVKVNEQMGTKRGYTFIQANRAWLPKFLLLWVMVMAFLSTWIYKKMDADNKVRRKEVLSTMCDQRARMLQDQFSVSVNHVHALAILVSTFHYYKDPSAIDQETFAEYTARTAFERPLLSGVAYAERVVHSEREKFERQHGWTIKTMKREPSPIRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPSPTEQERIEATAGYLGGAFDVESLVENLLGQLAGNQAILVNVYDITNSSDHLIMYGHQNQDGDLALLHESKLDFGDPFRKHQMICRYHQKAPTSWTALTTAFLFFVICLLVGYILYGAAIHIVKVEDDFHEMEELKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQRDYAQTAQVCGKALITLINEVLDRAKIEAGKLELETVPFDLRSILDDVLSLFSEKSRNKGVELAVFVSDKVPEMVMGDPGRFRQIITNLVGNSVKFTERGHIFVKVHLAENPKPMEDPKAETCLNGGSDEDALVSGARQFKTLSGYEAADERNSWDSFKHLVADEELRYDASVKMKAADEASQSVTLMVSVEDTGIGIPLIAQDRVFMPFMQADSSTSRNYGGTGIGLSITKCLVELMGGHISFISRPQVGSTFSFTTVFGRCKRASFSDTKKPNAEDLPSGFRGLKAVVVDGKPVRAAVTRYHLKRLGMLVEFANSVKIAASACGKNGSSCGYVLV >KJB81368 pep chromosome:Graimondii2_0_v6:13:38415900:38416446:-1 gene:B456_013G141400 transcript:KJB81368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLLLLACYVGLCNGSSLLPFMDPGSGSLLLPDPFRVLEQIPLTLERDESLALSPARVDWKGLKKEELKIEVEENRVLRVSGERKKEDEKKGDHWHRVERSYGKFWRQFRLPENVDLDTVKARLEDGVLTLSLAKLSPDKIKGPRVVDIAGGEANEAPKLEGNDAK >KJB82596 pep chromosome:Graimondii2_0_v6:13:51448367:51449951:-1 gene:B456_013G203600 transcript:KJB82596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVQLQRQLVDYRTSLYHEGFLDEQFTQLQSLQDENNPDFVVEVVSLFFEDSERLLNELSKALEQQVIDFKRMDAQVHQLKGSSSSIGAHRVQKLCIVFRNYCEAQNVEGCLKCLQQVKHEYGIIKTKLESMFQLEQQILNAGGSIPV >KJB82594 pep chromosome:Graimondii2_0_v6:13:51448367:51449733:-1 gene:B456_013G203600 transcript:KJB82594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVQLQRQLVDYRTSLYHEGFLDEQFTQLQSLQDENNPDFVVEVVSLFFEDSERLLNELSKALEQQVIDFKRMDAQVHQLKGSSSSIGAHRVQKLCIVFRNYCEAQNVEG >KJB82595 pep chromosome:Graimondii2_0_v6:13:51448367:51449934:-1 gene:B456_013G203600 transcript:KJB82595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVQLQRQLVDYRTSLYHEGFLDEQFTQLQSLQDENNPDFVVEVVSLFFEDSERLLNELSKALEQQVIDFKRMDAQVHQLKGSSSSIGAHRVQKLCIVFRNYCEAQNVEGLNNKS >KJB79400 pep chromosome:Graimondii2_0_v6:13:4189107:4189787:-1 gene:B456_013G047500 transcript:KJB79400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALFFSCLLLFLAAMQCTGAVDYAVNGNTSNSDGCVQKNVLKVTLFIENGDGVAFANNNQIHVNANYLGTYKGDLRREFNGVLYHKMTHIWQWDGNGQTSVRLIEGIADFVRLKANYIPSHWVQPGHGDRWDQRSDVTARFLEYYDGLRNGFVAELNKKMRSGYNAGYFVELDYKAKYGN >KJB78761 pep chromosome:Graimondii2_0_v6:13:1175641:1178847:-1 gene:B456_013G017200 transcript:KJB78761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNWILCWSLITLTLATILLLASQILNHRRNKKRAVGFFHPYTNDGGGGERVLWCAVKAIQEQNPDLDSVIFSGDNDASSQSLMSRATDRFGVHLLYPPKVVHLNRRKWIEETTYPHFTMIGQSLGSVYLSWEALNKFTPLYYFDTSGYAFTYPIARLFGCKVICYTHYPTISLDMVSRVRQRSSMYNNDAVIAKSTWLSRCKIIYYTVFSVMYGMVGSCAHLVMVNSSWTQSHIEKLWGIPKRIKRVYPPCDTSGLQALPLERSVETPKIISVAQFRPEKFVGSCRNKSDEERLQNLKDKAVQLNIQDDVEFHKNVMYRDLVSLLGGAVAGIHSMIDEHFGISVVEYMAAGAIPIAHNSAGPKMDIVLDEDRQQTGFLAQNVEEYADAVLKIVKMPESERLKIATAARRRASRFSEQRFYDDLKAAIRPIICGSS >KJB78760 pep chromosome:Graimondii2_0_v6:13:1175575:1178917:-1 gene:B456_013G017200 transcript:KJB78760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNWILCWSLITLTLATILLLASQILNHRRNKKRAVGFFHPYTNDGGGGERVLWCAVKAIQEQNPDLDSVIFSGDNDASSQSLMSRATDRFGVHLLYPPKVVHLNRRKWIEETTYPHFTMIGQSLGSVYLSWEALNKFTPLYYFDTSGYAFTYPIARLFGCKVICYTHYPTISLDMVSRVRQRSSMYNNDAVIAKSTWLSRCKIIYYTVFSVMYGMVGSCAHLVMVNSSWTQSHIEKLWGIPKRIKRVYPPCDTSGLQALPLERSVETPKIISVAQFRPEKAHSLQLEAFSVVIKKLDEHYRRPKLQFVGSCRNKSDEERLQNLKDKAVQLNIQDDVEFHKNVMYRDLVSLLGGAVAGIHSMIDEHFGISVVEYMAAGAIPIAHNSAGPKMDIVLDEDRQQTGFLAQNVEEYADAVLKIVKMPESERLKIATAARRRASRFSEQRFYDDLKAAIRPIICGSS >KJB78762 pep chromosome:Graimondii2_0_v6:13:1175641:1178847:-1 gene:B456_013G017200 transcript:KJB78762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNWILCWSLITLTLATILLLASQILNHRRNKKRAVGFFHPYTNDGGGGERVLWCAVKAIQEQNPDLDSVIFSGDNDASSQSLMSRATDRFGVHLLYPPKVVHLNRRKWIEETTYPHFTMIGQSLGSVYLSWEALNKFTPLYYFDTSGYAFTYPIARLFGCKVICYTHYPTISLDMVSRVRQRSSMYNNDAVIAKSTWLSRCKIIYYTVFSVMYGMVGSCAHLVMVNSSWTQSHIEKLWGIPKRIKRVYPPCDTSGLQALPLERSVETPKIISVAQFRPEKAHSLQLEAFSVVIKKLDEHYRRPKLQFVGSCRNKSDEERLQNLKDKAVQLNIQDDVEFHKNVMYRDLVSLLGGAVAGIHSMIDEHFGISVVEYMAAGAIPIDEDRQQTGFLAQNVEEYADAVLKIVKMPESERLKIATAARRRASRFSEQRFYDDLKAAIRPIICGSS >KJB79019 pep chromosome:Graimondii2_0_v6:13:2239093:2240012:1 gene:B456_013G029600 transcript:KJB79019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSNMLEQVTEDGEPIASDNMIVRYQPPVDGTSKPVLAPVVDCENPHESDNSSDGTKDFWMNIDFVKVLMDESHTPFIPPDLHDDGAWEKLLLGNTFLENNDDGNQDKEIPMNSGMEMEVTDSETHSEKSCSFEQLLQNMGKSQNLEIEPLVNGSPLEKSPDLELLTDQMGHLTSKSTKPHQTP >KJB80128 pep chromosome:Graimondii2_0_v6:13:11159136:11159393:-1 gene:B456_013G081800 transcript:KJB80128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSSVVISLAISHLYIWHFHSIYLKSFESLLTDIMNQISSFWGGRIRFQKSKTLRETVKRRNILWFYISYLNTFKQPWKTNSNN >KJB79044 pep chromosome:Graimondii2_0_v6:13:2357424:2358158:-1 gene:B456_013G031200 transcript:KJB79044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSILLCIAIAFMLSFTLREVVAHTGTATFYTPPYVPSACNGYQKDGVMIAAASDAIWDDGAACGRKYKVKCTGATNQSPHPCRGKKYVVVKVVDYCPSGCEGTIDLSQEAFASIADPDAGKIKISFHQV >KJB82352 pep chromosome:Graimondii2_0_v6:13:49262236:49265834:1 gene:B456_013G191600 transcript:KJB82352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKFIINSKIPKFRNLEDLEPLPASTGNGQIRYRTPSSAELLESGPNGGSLSPTGNGTDDHLEKMIKRSMARHDSISDKIHKYRGVLLVISIPIVLITFFLYVMPGKSASDAAVLEEIELNSRRVGANSRGNRNYAVIFDAGSSGSRVHVYCFDQNLDLLKPGLSYYAKDPQAAANSLTSLLDKAESVVPLDLRSKTPVRVGATAGLRALGGEASDKILQSVRELLKSRSTLKSEANGVKILDGSQEGSYEWVTINYLLGNLGRTYQDTVGIVDLGGGSVQMAYAISENAASRAPSVPAGQDNYVNEMYLKGSKYYLYVHSYLHYGLLAARAEIFKATEDSGNPCILEGFDGTYKYGGEEYKASAPSSGSSMEECRRVTLKALKVNDSCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFIKASDPVAKVQPHSFADAAKRACQTKYADAKAIYKDLGESNLAYICMDLVYQYTLLVDGFGLDPYQDVSLVKKVKYRNSFVEAAWPLGSAIEAVSAMK >KJB82752 pep chromosome:Graimondii2_0_v6:13:52442428:52444911:-1 gene:B456_013G212100 transcript:KJB82752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSQFEDSSPLAGGGFMSSQLGGSTPSSARQIRDMQGLVPATVKQISEASQSGDEKSSFIIDGVDVANVTVVGMVFNKNSRSSDLRFHLDDGTGRIECIRWVTERLDTGDLDALEDGTYVRVNGHLQTFQSKRQLNAFSVRLVTNFDEVTCHYLECIHFHLQNSKVQSKANEGSVLASQAPDSSFGTPVRGASNGHHPASTNDFSMQYNADGLKSFDKLVLNYLQQPSNIDREMGIHVDELSQQLKSPLEKIKDAIEFLEREGLVYSSIDDYHYKAVEGL >KJB82750 pep chromosome:Graimondii2_0_v6:13:52442267:52445067:-1 gene:B456_013G212100 transcript:KJB82750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSQFEDSSPLAGGGFMSSQLGGSTPSSARIRDMQGLVPATVKQISEASQSGDEKSSFIIDGVDVANVTVVGMVFNKNSRSSDLRFHLDDGTGRIECIRWVTERLDTGDLDALEDGTYVRVNGHLQTFQSKRQLNAFSVRLVTNFDEVTCHYLECIHFHLQNSKVQSKANEGSVLASQAPDSSFGTPVRGASNGHHPASTNDFSMQYNADGLKSFDKLVLNYLQQPSNIDREMGIHVDELSQQLKSPLEKIKDAIEFLEREGLVYSSIDDYHYKAVEGL >KJB82751 pep chromosome:Graimondii2_0_v6:13:52442267:52444464:-1 gene:B456_013G212100 transcript:KJB82751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNKNSRSSDLRFHLDDGTGRIECIRWVTERLDTGDLDALEDGTYVRVNGHLQTFQSKRQLNAFSVRLVTNFDEVTCHYLECIHFHLQNSKVQSKANEGSVLASQAPDSSFGTPVRGASNGHHPASTNDFSMQYNADGLKSFDKLVLNYLQQPSNIDREMGIHVDELSQQLKSPLEKIKDAIEFLEREGLVYSSIDDYHYKAVEGL >KJB82749 pep chromosome:Graimondii2_0_v6:13:52442011:52444980:-1 gene:B456_013G212100 transcript:KJB82749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSQFEDSSPLAGGGFMSSQLGGSTPSSARQIRDMQGLVPATVKQISEASQSGDEKSSFIIDGVDVANVTVVGMVFNKNSRSSDLRFHLDDGTGRIECIRWVTERLDTGDLDALEDGTYVRVNGHLQTFQSKRQLNAFSVRLVTNFDEVTCHYLECIHFHLQNSKVQSKANEGSVLASQAPDSSFGTPVRGASNGHHPASTNDFSMQYNADGLKSFDKLVLNYLQQPSNIDREMGIHVDELSQQLKSPLEKIKDAIEFLEREGLVYSSIDDYHYKAVEGL >KJB82753 pep chromosome:Graimondii2_0_v6:13:52442011:52444989:-1 gene:B456_013G212100 transcript:KJB82753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSQFEDSSPLAGGGFMSSQLGGSTPSSARIRDMQGLVPATVKQISEASQSGDEKSSFIIDGVDVANVTVVGMVFNKNSRSSDLRFHLDDGTGRIECIRWVTERLDTGDLDALEDGTYVRVNGHLQTFQSKRQLNAFSVRLVTNFDEVTCHYLECIHFHLQNSKVQSKANEGSVLASQAPDSSFGTPVRGASNGHHPASTNDFSMQYNADGLKSFDKLVLNYLQQPSNIDREMGIHVDELSQQLKSPLEKIKDAIEFLEREGLVYSSIDDYHYKAVEGL >KJB81924 pep chromosome:Graimondii2_0_v6:13:45242299:45244350:1 gene:B456_013G167700 transcript:KJB81924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTAALVPATFTLPKEGKSSPSFKDSTFFGVSLSEHAKADFSSSALRCKREFNPRNGAVRAETTATATPAISRSAVDGKKTLRKGSVIITGASSGLGLATAKALAETGKWHIIMACRDFLKAERAAKSAGMSKQNYTIMHLDLASLDSVRQFVDRYKRSGRPLNVLVCNAAVYQPTAKEPSFTAEGFELSVGTNHLGHFLLSRLLLDDLKQSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSTMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRFHEESGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGFVSEDEAGKRLAQV >KJB81922 pep chromosome:Graimondii2_0_v6:13:45242299:45244350:1 gene:B456_013G167700 transcript:KJB81922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRDFLKAERAAKSAGMSKQNYTIMHLDLASLDSVRQFVDRYKRSGRPLNVLVCNAAVYQPTAKEPSFTAEGFELSVGTNHLGHFLLSRLLLDDLKQSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSTMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRFHEESGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGFVSEDEAGKRLAQVVSDPSLTKSGVYWSWNQNSASFENQLSPEASDEEKARKIWELSEKLVGLA >KJB81921 pep chromosome:Graimondii2_0_v6:13:45242205:45244350:1 gene:B456_013G167700 transcript:KJB81921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTAALVPATFTLPKEGKSSPSFKDSTFFGVSLSEHAKADFSSSALRCKREFNPRNGAVRAETTATATPAISRSAVDGKKTLRKGSVIITGASSGLGLATAKALAETGKWHIIMACRDFLKAERAAKSAGMSKQNYTIMHLDLASLDSVRQFVDRYKRSGRPLNVLVCNAAVYQPTAKEPSFTAEGFELSVGTNHLGHFLLSRLLLDDLKQSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSTMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRFHEESGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGFVSEDEAGKRLAQVVSDPSLTKSGVYWSWNQNSASFENQLSPEASDEEKARKIWELSEKLVGLA >KJB81923 pep chromosome:Graimondii2_0_v6:13:45242299:45244350:1 gene:B456_013G167700 transcript:KJB81923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRDFLKAERAAKSAGMSKQNYTIMHLDLASLDSVRQFVDRYKRSGRPLNVLVCNAAVYQPTAKEPSFTAEGFELSVGTNHLGHFLLSRLLLDDLKQSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSTMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRFHEESGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGFVSEDEAGKRLAQVVSDPSLTKSGVYWSWNQNSASFENQLSPEASDEEKARKIWELSEKLVGLA >KJB83122 pep chromosome:Graimondii2_0_v6:13:54910676:54911788:-1 gene:B456_013G230600 transcript:KJB83122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFNLTSSLFLLSVLLVGDVESISNSHGDASSDDAVSNFRPSLAIVIGILCATFALTLSLVACTKFCHRGTTVDSGDNLPTTLRRTKSGFSGIDKKIIESLPFFKFSSIKGSKQGLECSVCLSKFEDTEVLRLLPQCKHAFHVECIDEWLEKHSSCPLCRRKINADEPTILTYSNSFRFLRNGSNSNMELKEDGRSSRFETRTADMATDNGDDRRVFHKLNVVFKNRWSNLSSSDIVLLNSEMINDMSNDMIENEKKMKIIEDVKIKISSINETNTVSGPVVASTSSSRIVNEGERRSMSDITVLSRSRDVITRNRTCEPPPPSRSSSLSENYTNEETIRRQWWSIARRTIQWFSNRELRPQKSRIQPI >KJB81658 pep chromosome:Graimondii2_0_v6:13:42908611:42909988:-1 gene:B456_013G155400 transcript:KJB81658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNKCKSEKSVSHCLPLTSCKVSMSERGKTMGEMLAVWVSLKENVNCVAKLSDVVGRPEKSCGNSRTQNPEKELVHQLRNPFREALFRPIHPKTQFCELNVGDPSRNIIELIFQRASMDPSKSKPSWKIKRVLKVKNSIEVLKRFEDYREKVIKKANQQHERHPRSTIDGNELLLFYGTTMACCRKPKPVPELCKDPSCRACRIIHSRFDMEFTRKNGVRLSTSSEEVCDNMVSFKLKKLKRAVIVCRVIAGSIGNTIDGAREDFDSIGRIGRHSNLEYLVVPNPSAILPCFLIVFN >KJB83746 pep chromosome:Graimondii2_0_v6:13:57649542:57652906:-1 gene:B456_013G262500 transcript:KJB83746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVEMHAIVEELAGFGAIVHTCSRTETELNNCLLEWKAKGFRVTGSVCDVSDQTQRENLLNTVSSEFNGKLNILINNVGTNTAKSVTDYTAEDVSFMTSTNFESAFNISVLAYPLLKASNAGSVVFMSSMASLLPAYSGAIYASNKAAMNQLAKYLSCDWARDNIRVNAILPSVVKTALLEKYFEANKEGLEATLNRTPLGRLGQPKEVSAMVAFLCLPAASYVTGQVICVDGGMSVNGLFFPK >KJB83672 pep chromosome:Graimondii2_0_v6:13:57338776:57343880:1 gene:B456_013G257800 transcript:KJB83672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNLYDEFGNYIGPDIESDQGSEGEEEEDEDLPDRHVQEEGQQSDGEAPDGVSNGWITAANDVDMDNQIVLAEDKKYYPTAEEVYGEDVETLVMDEDEQPLEQPIIKPVKNIKFEVGVKDSSTYVSTQFLIGLMSNPGLIRNVALVGHLQHGKTVFMDMLVEQTHHMNTFDRNSEKHMRYTDTRIDEQERRISIKAVPMSLVLEDSNGKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAAEGVMVNTERAIRHAIQERIPIVVVINKVDRLITELKLPPKDAYHKLRHTLEVINNHISAASTTAGNVPVIDPAAGNVCFASASAGWCFTLQSFAKLYVKLHGIPFDAEKFASRLWGDIYYHPDTRAFKRKPPAGGGERSFVEFVLEPLYKIYSQVIGEHRKSVESTLAELGVTLSNAAYKLNVRPLLRLACSTVFGSASGFTDLLVQHIPSPKDAAAKKVDHTYTGPKHSMIYKAMVECDPSGPLMVNVTKLYPKSDCSVFDAFGRVYSGRIQTGQSLRVLGEGYSPDDEEDMTLKEVTKLWIYQARYRIPISSAPPGSWVLIEGVDASIMKTATLCNMDLDEDVYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENGVVSVDWSRKQLGDFFKTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKGLLGSVRDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIASEPLHRGSGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMVVELAQQAADLHQQMI >KJB83673 pep chromosome:Graimondii2_0_v6:13:57338304:57343880:1 gene:B456_013G257800 transcript:KJB83673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNLYDEFGNYIGPDIESDQGSEGEEEEDEDLPDRHVQEEGQQSDGEAPDGVSNGWITAANDVDMDNQIVLAEDKKYYPTAEEVYGEDVETLVMDEDEQPLEQPIIKPVKNIKFEVGVKDSSTYVSTQFLIGLMSNPGLIRNVALVGHLQHGKTVFMDMLVEQTHHMNTFDRNSEKHMRYTDTRIDEQERRISIKAVPMSLVLEDSNGKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAAEGVMVNTERAIRHAIQERIPIVVVINKVDRLITELKLPPKDAYHKLRHTLEVINNHISAASTTAGNVPVIDPAAGNVCFASASAGWCFTLQSFAKLYVKLHGIPFDAEKFASRLWGDIYYHPDTRAFKRKPPAGGGERSFVEFVLEPLYKIYSQVIGEHRKSVESTLAELGVTLSNAAYKLNVRPLLRLACSTVFGSASGFTDLLVQHIPSPKDAAAKKVDHTYTGPKHSMIYKAMVECDPSGPLMVNVTKLYPKSDCSVFDAFGRVYSGRIQTGQSLRVLGEGYSPDDEEDMTLKEVTKLWIYQARYRIPISSAPPGSWVLIEGVDASIMKTATLCNMDLDEDVYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENGVVSVDWSRKQLGDFFKTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKGLLGSVRDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIASEPLHRGSGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMVVELAQQAADLHQQMI >KJB78877 pep chromosome:Graimondii2_0_v6:13:1748031:1750061:1 gene:B456_013G023900 transcript:KJB78877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIIAENNGGGHVLDAATGDGTPVCETSVDVTKLPSMPFLLSFNHLTYSVKVGRKMPLLTGGGRTKTLLNGISGVARDGEILAVLGASGSGKSTLIDALANRISKGSLKGNITLNDEVVESRVLKSISAYVMQHDLLFPMLTVEETLMFAAEFRLPRTMSKSKKRTRVQALIDQLGLRNATETVIGDEGHRGVSGGERRRVSIGVDIIHDPIILFLDEPTSGLDSTSAFMIVKALQRIAQSGSIVIMSIHQPSYRILGLLDRLIILSNGQTVYTGLPTNLSLYFSEFGYPIPEKENKTEFTLDLIWELEGSPEGTKSLIEFNKKWQSKNSELVHLCSSLKEAISVSISKGKLVSTSTAMVPRFANSFWKEILVLSNRSILNSRRLPELFVTRLVAVLVTGFILATMFWQLDNSPKGIQERLGFFAFTMSTTFYACTDTLPVLLHERYIFMRETAYNSYKRSSYVISNALVVLPGLIILSFAFTTITFWAIGLNGGFSGFLYYLLIIFASFWSGSSLVTFLSGIIPHLMLGYPIVVAVLACFLLFSGFFINRDRIPAYWIWFHYLSVIKYPYEAVLHNEFNNSTECFMRGIQLFDDTPLVTIPNAMKVRLLQSFSDVLGTKITSSTCFSRGVDILRNQGITDLSKWDCLFITLVWGFFFRILFYFSLLFGSKNKRT >KJB78392 pep chromosome:Graimondii2_0_v6:13:44428523:44429969:-1 gene:B456_013G163400 transcript:KJB78392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQIPTAFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVCPVTPYLTCICYWNPIF >KJB78391 pep chromosome:Graimondii2_0_v6:13:44427999:44430088:-1 gene:B456_013G163400 transcript:KJB78391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQIPTAFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKENIKIHGF >KJB78393 pep chromosome:Graimondii2_0_v6:13:44428051:44429969:-1 gene:B456_013G163400 transcript:KJB78393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQIPTAFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVCPVTPYLTCICYWNPIF >KJB82922 pep chromosome:Graimondii2_0_v6:13:54048645:54050927:-1 gene:B456_013G220500 transcript:KJB82922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGKWVKSLMGVKKNEKEDQEKMGVKSKKWKPWGSKGKFRSDSSPRTAAMAALARAPAKDFRVLRQEGAAIRIQTAFRGFLARRALRALKGIVRLQALVRGRQVRKQATVTLRCMQALIRVQARVRARRVRMSTEGRAVQKILDECHSKDDILKQAEDGWCDSKGTLEDVKTKLQMKQEGAFKRERALAYSLAQKQWRSKPGSTSQTNSSGLYTKYQEFDKNSWGWSWLERWMAARPWETRLMEPSRAPPSKHCLEGKNARSSSPCFVEVRKNNISTRISAKPPCIGQVTHSSSSPSTEFRFNPSSASSSICTYSTPVSGNTTLSSTDRTEQSGNSRPDYMNLTESTKAKQRSSNNHVHMSRPLYPNTNAGNRYV >KJB81292 pep chromosome:Graimondii2_0_v6:13:36745815:36747763:-1 gene:B456_013G137500 transcript:KJB81292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDDLRGGGVRSQAFLSLQSKVSRNKHEMPIYELFHYSLFPGLFVAFTYNKKQPPAFGAAPAFWCILLSFLGLSFRHIPNNLSNYNVLTANAPFFYQISGTWSNHEGSILSWCRIPNFYGFLLCYRGRPQRHNVSKRGGHRETIFSFFVSNFVKNSILSLPRYEQKSGAAQQLYTPFVLRTFVDSELCSRRNRTFDGPALFYAPLYPERKMSFSPLGARRSRGSREGKKTHPLLHLARDDKERASSIDEQRIDGALGIALFFSPFLSVSSDPFVRNFFVRTEPLAESNPVPQDPISAIHPPCIYAGDVASAMGFGLCRSKMMNGIVALHSPPMRKDAAEKNGTLLCSAGCVGSCITSELFTLKFKHVGAKCYPALLLRSNRSPLMLFRRCFFAFSSLCWTGALVDTWREQAKRVVRNGKKDTTTSPLCWTAAANTVVSDQDQEPVRIWILTCWCHTSFLNIVTFPCCVLGTFSIRSGLLAPVHSFATDDTRGIFLWRFFLLMTGISIILFSQMKQQASVRITYKKEMVVARSTLVHLRHSARAQPRPIMLWKN >KJB82067 pep chromosome:Graimondii2_0_v6:13:46303745:46305409:1 gene:B456_013G174400 transcript:KJB82067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSLYRKSPNALEDEPPAEGPYSGYLVITDEEAEEQDTFCFGAIKRKAVEKLPFPQDKMLNVVHSSEVEETMVTRVWFIPVLDQPLSSNHYYVIRAKGRYKGLACTSSREIDTKLCCFFNNGVSDAKPKPFDHRNVYQQFKIHRHHRHSFFAKSTATDSVPPKFLRRNGWELRISLSYRLQLNQALGLDSSLRKRLPSFDFPMYNKKSPSVVIGQWYCPFIFIREESRLRRQMKKSLFYMMTLEQWWQQIHSCDKVNDEQTEVKMSKIVKREFISVNGMLGEREDTVGQGGFWWFKTLPRNDGRKSSSVGLSLAIMEKMKWLQEEGGWYKGDESEVRVEREEETRSEESGGWRRYACYMLVESFHLRRMDGSLVLRSDFRHTQKIRSKWE >KJB82815 pep chromosome:Graimondii2_0_v6:13:53556562:53559022:1 gene:B456_013G215300 transcript:KJB82815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAQEEMVYSIHHKKTTKPSERYPYDLICFRHTFTKTNSIFSFHCSLPYFPTIRSDPFLLFFLFIHHFPYLSIFQKTRKKQQHNRTMGKGGSLSDNVIKNIILSYTYVCIWIFLSFTVIVYNKYILDKKLYNWPFPISLTMIHMSFCATLAFLLIKVFKFVEPVSMSRELYLSSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSMGVLFKKETFKTDTMVNMISISFGVAIAAYGEARFDSWGVMLQLGAVAFEATRLVMIQILLTSKGITLNPITSLYYVAPCCLVFLLVPWIFVEYPVLKDTSSFDFDFVIFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGVAFLGVAYYNHAKLQALKAKEAEKKVGQADEEGERLIEERQGEGSVK >KJB81319 pep chromosome:Graimondii2_0_v6:13:37468867:37469202:1 gene:B456_013G138900 transcript:KJB81319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKSRVEHEGEEKWTESVGTCSYWWNGGGGVRAESAAECKGERNILVNACNGVIRQKSPTPYCCQRLRVTHVNCVCPIITPQLAALIDVNYAIKVDKSLAISNAEVSSLH >KJB79722 pep chromosome:Graimondii2_0_v6:13:7133122:7139250:1 gene:B456_013G064000 transcript:KJB79722 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT2G17250) UniProtKB/TrEMBL;Acc:F4IMH3] MTSILAKKPKKMKLKGLKTLGQQLLSSRAHINNLPLLLGFISPSSPPPHVLESLLSLQSFFTTVLADLPPSQSSKKRRRIDDSAKQDPEFIYRTWLRSKFDEFVTLLIEVLISPDTEDALREIVLDSFMEFVKLGNGGSFYSSIYHKLLRSIVNSPTPVDFLSELLALKYFKYIDVRYFTFISLEKLSKALDTKDTAGDETAGGDGDSRSQSRESMELSICKIHYIISHIPPLEGNDGKSEYEMWSGSGLPSNEEHDKKKLSKAQKAEDKGWKAEKQTNTVLSPASIAKKMKLKFTKAWISFLRLPIPVNVYKEVLATLHQAVIPHLSNPIILCDFLTKSYDIGGVVSVMALSSLFILMTQHGLEYPNFYEKLYALLSPSIFMAKHRAKFFQLLDSCLKSPLLPAYLAAAFAKKLSRLSICVPPSGELVIIALIHNLLRRHPSINCLVHKEDGDENEEDKNDSGSEKRPGFDHFNSEESNPIKSNAMRSSLWEIDTLRHHYCPPVSRFVLSLENDLTVRSKTTEMDIKDFSSGSYATIFGDEIRRRVKQVPLAFYKATPTSLFPESDFCGWTFKYEGSNEIKEQKTVEEDDASTKRQRIEC >KJB79721 pep chromosome:Graimondii2_0_v6:13:7133122:7139227:1 gene:B456_013G064000 transcript:KJB79721 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT2G17250) UniProtKB/TrEMBL;Acc:F4IMH3] MTSILAKKPKKMKLKGLKTLGQQLLSSRAHINNLPLLLGFISPSSPPPHVLESLLSLQSFFTTVLADLPPSQSSKKRRRIDDSAKQDPEFIYRTWLRSKFDEFVTLLIEVLISPDTEDALREIVLDSFMEFVKLGNGGSFYSSIYHKLLRSIVNSPTPVDFLSELLALKYFKYIDVRYFTFISLEKLSKALDTKDTAGDETAGGDGDSRSQSRESMELSICKIHYIISHIPPLEGNDGKSEYEMWSGSGLPSNEEHDKKKLSKAQKAEDKGWKAEKQTNTVLSPASIAKKMKLKFTKAWISFLRLPIPVNVYKEVLATLHQAVIPHLSNPIILCVMALSSLFILMTQHGLEYPNFYEKLYALLSPSIFMAKHRAKFFQLLDSCLKSPLLPAYLAAAFAKKLSRLSICVPPSGELVIIALIHNLLRRHPSINCLVHKEDGDENEEDKNDSGSEKRPGFDHFNSEESNPIKSNAMRSSLWEIDTLRHHYCPPVSRFVLSLENDLTVRSKTTEMDIKDFSSGSYATIFGDEIRRRVKQVPLAFYKATPTSLFPESDFCGWTFKYEGSNEIKEQKTVEEDDASTKRQRIEC >KJB80885 pep chromosome:Graimondii2_0_v6:13:29921433:29926027:1 gene:B456_013G119900 transcript:KJB80885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSPTTYPLLSYVMSLLPFDGPAFNPQDPSATSSSTDPPHIVNQMPHLSSPNVLSSMIHAVSDVSQTRSFLQNLGPRPDHESVNMARSKLAEIVSGVTKSVEELGLCPRPLEVDLLEWRAFLVSEELEVRKEADKEKPTHRLILQLDEMHEAYEKLLKEAEQRLVKIYENTEEVGVNSQEVEEEVNEDVAMVLQETEKRALERVELSGRRIRVLPQAFGKINEWIAGFGKLEKLNLASNLLEITANLDWVAPELERTSLKNCSILFSVIQAELPPFNFDLDEEKQRCRRRASFGLW >KJB80886 pep chromosome:Graimondii2_0_v6:13:29921433:29924367:1 gene:B456_013G119900 transcript:KJB80886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSPTTYPLLSYVMSLLPFDGPAFNPQDPSATSSSTDPPHIVNQMPHLSSPNVLSSMIHAVSDVSQTRSFLQNLGPRPDHESVNMARSKLAEIVSGVTKSVEELGLCPRPLEVDLLEWRAFLVSEELEVRKEADKEKPTHRLILQLDEMHEAYEKLLKEAEQRLVKIYENTEEVGVNSQEVEEEVNEDVAMVLQETEKRALERVELSGRRIRVLPQAFGKINEWIAGFGKLEKLNLASNLLEITANLDWVAPELERTSLKNCSILFSVIQAELPPFNFDLDEEKQRCRRRASFGKDFSSFFYFCLVHKEKNKQRNQ >KJB80884 pep chromosome:Graimondii2_0_v6:13:29921433:29926061:1 gene:B456_013G119900 transcript:KJB80884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSPTTYPLLSYVMSLLPFDGPAFNPQDPSATSSSTDPPHIVNQMPHLSSPNVLSSMIHAVSDVSQTRSFLQNLGPRPDHESVNMARSKLAEIVSGVTKSVEELGLCPRPLEVDLLEWRAFLVSEELEVRKEADKEKPTHRLILQLDEMHEAYEKLLKEAEQRLVKIYENTEEVGVNSQEVEEEVNEDVAMVLQETEKRALERVELSGRRIRVLPQAFGKINEWIAGFGKLEKLNLASNLLEITANLDWVAPELERTSLKNCSILFSVIQAELPPFNFDLDEEKQRCRRRASFGLDVSFKSLSYLPTHLARYLGNLQRLRIQLNKTRSLPPSIGEMSSLWHLDAHFNELGGLPDEIGRLKKLQFLDLSNNLTELRELPNTLGELTYLTELYLSNNEICALPDTFGHLEKLMILKLEQNPLVVPPPDIVN >KJB82037 pep chromosome:Graimondii2_0_v6:13:48928435:48929230:-1 gene:B456_013G188700 transcript:KJB82037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSTPQPDSSSNLSITVESNPSDSRLSELGIQSWPKWGCPPGKYMLKFEAEETCYLVKGKVKVYPKGSSEYVEFGAGDLVTIPQGLSCTWDVSVSIDKHYKFASSSSS >KJB81568 pep chromosome:Graimondii2_0_v6:13:41049355:41050767:-1 gene:B456_013G150400 transcript:KJB81568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLLLSRSMGICCFAYLDAVSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >KJB81572 pep chromosome:Graimondii2_0_v6:13:41048670:41051998:-1 gene:B456_013G150400 transcript:KJB81572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCLDGLKHLFASVLHCCDIDLCKQSRGLEDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >KJB81569 pep chromosome:Graimondii2_0_v6:13:41048845:41051924:-1 gene:B456_013G150400 transcript:KJB81569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCLDGLKHLFASVLHCCDIDLCKQSRGLEDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKVIIQFDLIEVVLLLKFYGV >KJB81571 pep chromosome:Graimondii2_0_v6:13:41048845:41051924:-1 gene:B456_013G150400 transcript:KJB81571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCLDGLKHLFASVLHCCDIDLCKQSRGLEDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKYHDDIPKLCFPLASR >KJB81570 pep chromosome:Graimondii2_0_v6:13:41048845:41051924:-1 gene:B456_013G150400 transcript:KJB81570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCLDGLKHLFASVLHCCDIDLCKQSRGLEDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFSFQLYDLKQQGFIERQEVSQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >KJB81567 pep chromosome:Graimondii2_0_v6:13:41048845:41051924:-1 gene:B456_013G150400 transcript:KJB81567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCLDGLKHLFASVLHCCDIDLCKQSRGLEDPELLARETVCIVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >KJB81566 pep chromosome:Graimondii2_0_v6:13:41048845:41052167:-1 gene:B456_013G150400 transcript:KJB81566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCLDGLKHLFASVLHCCDIDLCKQSRGLEDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >KJB79972 pep chromosome:Graimondii2_0_v6:13:9259700:9264973:1 gene:B456_013G075600 transcript:KJB79972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPATQSGSAPPEDEPYNIIPLHNLLFNHPSLRFPEVRAAIAALRTVGNLRMPPQAQLPTMDLLDWLALFFGFQRDNVKNQREHLILHLANAQMRLSPPPDNIDILDVGVLRTFLRKLLKNYTNWCSYLGKKSNIWFSRSSHSDHRRDLLYVGLYLLIWGESANLRFMPECICYIFHNMAMELNRILEDYIDQNTGQPAMPSTLGEYGFLNCVVKPIYETVKMEVECSKNGTAPHHAWRNYDDLNEYFWGRRCFHKLKWPIDAGCKFFVTSSKRKRVGKTGFVERRSFFNIYRSFDRLWVMLFLFLQAAILVAWEGEEYPWQALKVRDVQVRILTVFVTWSGLRFLQALLDAGMQCSRVSFAKLGLGLRMLLKVVVALAWIMLFAVLYRRIWVQRNKDKTWSEEANRRVYLYLQVAFVFVLPEVLALALFVLPWIRNFIEESNWRICYLMTWWFQSKSFVGRGLREGLFDNVRYTLFWAVVLATKFAFSYFLQIEPMIKPTKQLMDLREEEYDWDEPYRGRNKFAVGLLWLPVVFIYLMDIQIWYSIYSSFVGAGVGLFQHLGEIRNIQQLSLRFQFFASAIQFNLMPEEQLMTARGSYKAKIKDAIHRLKLRYGFGKPYKKLESNQVEAHKFALIWNEIITTFREEDIISDLEVELLELPHNAWNVRVICWPCILLCNELLLALSESKELVDAPDKLLWSKICKNEYRRCAVIEAYDSVKHMMFEILNVQSEEHSILSAMFQEIDHSIEIEKFIKTFKMTVLPQIHMKLIKLVQILTKPKKDVNLVVNTLQALYEIVVRDFIKDKRTVEQLREDGLAPRDPAVMAGLLFGNAVKLPDPSNKKFYRQARRLLTILTSRDSVQNIPANIEARRRIAFFSNSLFMKMPHAPQVEKMMAFSVLTPYYNEEVLYSKEQLVTENEDGISILYYLQTIYDDEWKNFMERMRREGMVKDEEIWTTKMRDLRLWASYRGQTLSRTVRGMMYYFRALKLLAFLDSASEMDIKEGVRELGSMGRDVGLDSLNPERSPSPRSLSRASTGFLFKGHEQVTSSMKYTYVIACQIYGAQKAKKDPRAEEILYLMKHNEALRVAYADEVSTGRDEKEYYSVLVKYDQQLQKEVEIYRVKLPGPLRLGEGKPENQNHALIFTRGDAVQTIDMNQDSYFEEALKIRNLLEEYGRYYGIRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKIRMHYGHPDIFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVVLTVYVFLWSRLYLSLSGVEKVANSNNNKALGAILNQQFIIQLGLFTALPMIVENSLEHGFLQAVWDFLTMQLQLSSVFYTFSMGTRTHFFGRTVLHGGAKYRATGRGFVVQHKSFSENYRLYARSHFIKAIELGLILTVYASYSPLAKDTLVYIAMTISSWFLVISWIMAPFVFNPSGFDWLKTVYDFDEFMNWIWYDGGLFAKAEQSWERWWYEEQEHLRTTSPWGKRVEILLDLRFFFFQYGIVHHLGIAANSTSFVVYLLSWIYIFVAFGIFLLISYARDKYAAKEHIYFRLVQFLVIIVGILVIVVLLQFTAFKFSDAFTSLLAFIPTGWGLLSIAQVLRPLVQSTWLWDSVIYVARLYDILFGVIVMAPVAFLSWMPGCQSMQTRILFNEAFSRGLRIFQIVTGKKSGDI >KJB81121 pep chromosome:Graimondii2_0_v6:13:34060837:34078694:1 gene:B456_013G130100 transcript:KJB81121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MAVRLLSTPTALSHPTKLSFFSSPKPISTATSFFQWRSKCRVFTRKMVVKACVKVEEKNVKETSKQEWGKVSAVLFDMDGVLCNSENPSRKAAVDVFAEMGVQVTAEDFAPFTGMGEANFLGGVASVKGVKEFNTEAAKKRFFEIYLDKYAKPNSGIGFPGAFELINECKNKGLKVAVASSADRVKVDANLAAAGLPLSMFDAIVSADAFENLKPAPDIFLAASKILDVSPDECIVIEDALAGVQAANAAKMRCIAVTTTLTEETLKPAGPSIIRNDIGSVSLDDILSGGSDEMVQDMQFLQVTEQNPSRILNERTRNGSTPGVDVPSNEVFSLQGLQGSRRDILRYGSLGIALSCLYFAVSNWKAMQYASPKAIWNMLFAAKNPFFGPSEDESRSARIQQFVNYISDLESRGTAPKVPEFPAKLDWLNTAPLQFQRDLQGKVVLLDFWTYCCINCMHVLPDLDFLEKKYKAKPFTVVGVHSAKFDNEKDLGAIRNAVLRYGITHPVVNDGDMYLWRELGVNSWPTFAIVGPNGKLLAQIAGEGHRKDLDYLVEAALLFYDQKKLLDNKPIPLNLEKDNDPRMLTSPLKFPGKLAIDILNNRLFISDSNHNRIVVTDLDGNFIVQIGSTGEEDLRDGSFDDATFNRPQGLAYNAKKNLLYVADTENHALREIDFVNEKVRTLAGNGTKGSDYTGGGKGASQLLNSPWDVCFDPVNEKVYIAMAGQHQIWEHSIQDGFTRAFSGNGYERNLNGSSSTNTSFAQPSGISVSPDLMEAYVADSESSSIRALDLKTGGSRLLAGGDPVFSENLFRFGDHDGVGSDVLLQHPLGVLCAKDGQIYIADSYNHKIKKLDPASKRVTTLAGTGKAGFKDGKALAAQLSEPSGIIEAENGRLIIADTNNSLIRYLDLNKENAEILTLELKGVQPPTPKSKSLRRLRKRSSADTQTIVVNGGSSSEGNLYLKISLPEEYHFSKEAQSKFTVDIEPENAVSIDPLDGKLSPEGSAKLHFRRSTSSAFTGMINCKVYYCKEDEVCLYQSLLFEVPFQEEDQQAKPADIKLVYDVKPKASTNSLQLIAP >KJB79643 pep chromosome:Graimondii2_0_v6:13:6413958:6415791:-1 gene:B456_013G060300 transcript:KJB79643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASIYNLLTLLLLSGIPFTFSELVKEQPLVLKYHNGPLLKGKITVNLIWYGKFSPTQRSIIVDFINSLSSAVKPKVPSASFWWKTTEKYKSGSSTLVVGKQILLENYPLGKTLKNPHLPALAGKFNGVGTVSVILTAKDVAVEGFCMRCGTHGSNRVGRGRVRGTFIWVGNSETQCPGQCAWPFHQPIYGPQTPPLVAPNGDVGIDGMIINLATLLANTVTNPFNNGYFQGPADAPLEAVSACTGIFGSGSYPGYPGKLLVEKSTGASYNANGINGRQYLLPAMWDPQTSRCKALV >KJB82699 pep chromosome:Graimondii2_0_v6:13:53722298:53724466:-1 gene:B456_013G217300 transcript:KJB82699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFMAFTSVDFLHKLGFGGYSNGSLLLFWEINPCDFPQFGYCIGGFSVFLVVAQHSQIELTGNSMSTCKQMV >KJB82288 pep chromosome:Graimondii2_0_v6:13:48916473:48919098:-1 gene:B456_013G188500 transcript:KJB82288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSSSSFSLLKPLTFPSTPCPSPALLFHNNPNNIPFKTTSNHSKTLHLSKRLTIPFALTESDSPKSLQPDLQTLLLQLADSFDLPTDYFSQLPNDLRLDLNDAAFDLSNGPVIDECGMELGETLLNLSRAWESADTSTSYALASKLPLLANSLTDSAKLAFGRRLVAAGRRFQAMGQYGQGELQKIAKAVNAAGKLLSASSISATTEEQPKTETRMFKFGELQVEVTSEKANIGAAIGFVFGILSWQIAQGIQSIPESSLEYANDNALLLAKVH >KJB82290 pep chromosome:Graimondii2_0_v6:13:48914794:48919279:-1 gene:B456_013G188500 transcript:KJB82290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSSSSFSLLKPLTFPSTPCPSPALLFHNNPNNIPFKTTSNHSKTLHLSKRLTIPFALTESDSPKSLQPDLQTLLLQLADSFDLPTDYFSQLPNDLRLDCGMELGETLLNLSRAWESADTSTSYALASKLPLLANSLTDSAKLAFGRRLVAAGRRFQAMGQYGQGELQKIAKAVNAAGKLLSASSISATTEEQPKTETRMFKFGELQVEVTSEKANIGAAIGFVFGILSWQIAQGIQSIPESSLEYANDNALLLAKSLRGALLAVFYSSTILSVFTTIGLLLLGRQLKSEGK >KJB82289 pep chromosome:Graimondii2_0_v6:13:48914794:48919279:-1 gene:B456_013G188500 transcript:KJB82289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSSSSFSLLKPLTFPSTPCPSPALLFHNNPNNIPFKTTSNHSKTLHLSKRLTIPFALTESDSPKSLQPDLQTLLLQLADSFDLPTDYFSQLPNDLRLDLNDAAFDLSNGPVIDECGMELGETLLNLSRAWESADTSTSYALASKLPLLANSLTDSAKLAFGRRLVAAGRRFQAMGQYGQGELQKIAKAVNAAGKLLSASSISATTEEQPKTETRMFKFGELQVEVTSEKANIGAAIGFVFGILSWQIAQGIQSIPESSLEYANDNALLLAKSLRGALLAVFYSSTILSVFTTIGLLLLGRQLKSEGK >KJB82287 pep chromosome:Graimondii2_0_v6:13:48916473:48919098:-1 gene:B456_013G188500 transcript:KJB82287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSSSSFSLLKPLTFPSTPCPSPALLFHNNPNNIPFKTTSNHSKTLHLSKRLTIPFALTESDSPKSLQPDLQTLLLQLADSFDLPTDYFSQLPNDLRLDCGMELGETLLNLSRAWESADTSTSYALASKLPLLANSLTDSAKLAFGRRLVAAGRRFQAMGQYGQGELQKIAKAVNAAGKLLSASSISATTEEQPKTETRMFKFGELQVEVTSEKANIGAAIGFVFGILSWQIAQGIQSIPESSLEYANDNALLLAKVH >KJB79430 pep chromosome:Graimondii2_0_v6:13:4432972:4433979:-1 gene:B456_013G049200 transcript:KJB79430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAAFTKTKILMLREAKRVERPLSKIAGADREINKKCKSNNDIKGSSSWVPHPKSGIYFPKGHEWVMDDVPDRAASFSSSYWVRSVDGVDKPESSVHDEHYSHANM >KJB81633 pep chromosome:Graimondii2_0_v6:13:42304554:42305961:-1 gene:B456_013G154000 transcript:KJB81633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYCCVLVMVIMTVFASCFRVGLSKPNELYVKNFISWDDMKVDGHKIRLNTSTVCHNQSRVIVVDKNGGGDSITVQGAVNMVPEYNKQRVKIYILPGIYREKVVVPRFKPYISFVGNEGQMVNTIISWHDKASDRNSNGGSLGTYRSASVTIGSDYFCATDITFENTVIGAPGEPGRQAVALRIYGDKAMFYKVKIVGGQDTLLDETGSHYFYHSVIQGSVDFICGKSRSLYQECLIQSTAKKIGAIAAHHRDLPDDNTGFSFVSCIITGSGKVYLGRAWGNYSRTIYSNCYFDNVIIPAGWSDWNDPLRHKTVEFGEFQSWGTGSDTSGRVPWSKKLTYGEAKPFMDIKFIDGKQWLKL >KJB81634 pep chromosome:Graimondii2_0_v6:13:42304350:42306172:-1 gene:B456_013G154000 transcript:KJB81634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYCCVLVMVIMTVFASCFRVGLSKPNELYVKNFISWDDMKVDGHKIRLNTSTVCHNQSRVIVVDKNGGGDSITVQGAVNMVPEYNKQRVKIYILPGIYREKVVVPRFKPYISFVGNEGQMVNTIISWHDKASDRNSNGGSLGTYRSASVTIGSDYFCATDITFENTVIGAPGEPGRQAVALRIYGDKAMFYKVKIVGGQDTLLDETGSHYFYHSVIQGSVDFICGKSRSLYQECLIQSTAKKIGAIAAHHRDLPDDNTGFSFVSCIITGSGKVYLGRAWGNYSRTIYSNCYFDNVIIPAGWSDWNDPLRHKIRGIPELGNRI >KJB78835 pep chromosome:Graimondii2_0_v6:13:1544862:1548522:1 gene:B456_013G021900 transcript:KJB78835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNLEPIPITSQKHDPAWKHCQMFKNGERVQLKCIYCGKIFKGGGIHRIKEHLAGHKGNAATCLRVPSDVRVLMQESLDGVVVKKRKKQKIAEEITNVNQVSTEIQAYADQVDTNTGLLMIEKSDTLEPSSSLLVNQEGTSNVAGERRKRGRGKSLPAEANALSFVPVELGARRVNNHVHMAIGRFLFDIGATMDAVNSVYFQPMVDAIVSGGSGALMPSCNDLQGWILRKLVEEVKSENDKVMAAWVRTGCSILVNQWNTQTGRILLNFLVYCPEGTVFLKPIDASSVINSSDALYELLKQVVEEVGSKHVLQVITNGEEQYIVAGRRLVETFPTLYWAPCAAHCVDLILEDFAKLEWINAIIEQARSITKFIYNHSVVLNMVRRYTFGNDIVEPAATRSATNFTTLTRMVDLKNNLQAMVTSQQWVDCPYSKKPGGLAMLDLVSNQSFWSSCVLIVRLTNPLLRVLRMVGSKKRPAMGYVYAGMYRAKETIKKELVKRNEYMVYWNIIDHWWEQQWHHPLHAAGFYLNPRFFYSMEGDMPNEMLSGMLDCIEKLIPDVTVQDKITKEINSYKNSVGDFGRKMAVRARDTLLPVEWWSTYGGSCPNLARLAIRVLSQTCSTLGLKHDHIPFEKLHETRNCLEQQRLRDLIFVQCNLQLRQIGYESKQHDSMQPLSSESASIVEDWVTGIDAFLDDDTYPDWTTLETLSVNTMPLRPGDEVEELGAGFNDHEIFNRMKEGDNEKAEDNVVS >KJB79316 pep chromosome:Graimondii2_0_v6:13:3777162:3781033:-1 gene:B456_013G043600 transcript:KJB79316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPCYSVELVKLESFKMEYANARLECNAADERANILASEVIGLEEKASEHLWLNLMVHQSHLIHSLSSLLLNKCS >KJB79315 pep chromosome:Graimondii2_0_v6:13:3777162:3779622:-1 gene:B456_013G043600 transcript:KJB79315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLQEEIKAQLVKLESFKMEYANARLECNAADERANILASEVIGLEEKASEHLWLNLMVHQSHLIHSLSSLLLNKCS >KJB79373 pep chromosome:Graimondii2_0_v6:13:4065053:4066501:1 gene:B456_013G046100 transcript:KJB79373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREIHMFFLPFLAHGHLIPVVDMAKMFASRGVKTTIVTTPVNALLFSNTIARSKESGIHIGVELLNFPAVDVGLPEGCENDDLIPTSQDGNLEMITKFFQASLMLQQPFEKLLQERKPDCLVADMFFHWATDAANKFGIPRLVFHGISYFSLCASECMSLYKPYKKVQSDSQPFVVPNLPGDIKLTRNQVPDYVKQDAETNSTKLLKEIKEAELKSYGVVVNSFYELEATYADYYRNVLGKKSWHVGPVSLCNRKNIDKVERGNKSVIDEQECLEWLELKEPNSVLYICFGSRTNFTSSQLKEIAMALEASELQFIWVVRKQKNNEEEDDWLPEGFEKRMEGKGLIIRGWAPQVLILDHEAVGGFVTHCGWNSTLEGVCAGVPMVTWPAFAEQFYNEKLLTQVLNIAVAVGAQKWVRVVGEFVEREAIEKAVKEMMKGDKAEEMRNRAKALAEAAKKAVEKGGSSYSDLDALIEEISLRSH >KJB79374 pep chromosome:Graimondii2_0_v6:13:4061866:4066787:1 gene:B456_013G046100 transcript:KJB79374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELHMFFLPFLAHGHLIPTLDMAKLFASRGVKTTIVTTPVNAAFFSNTIERSKESGIHIGVELLNFPAVDVGLPEGCENDDLIPTSQDGNLEMITKFFQASLMLQQPFEKLLQERKPDCLVADMFFHWATDAANKFGIPRLVFHGISYFSLCASECMSLYKPYKKVQSDSQPFVVPNLPGDIKLTRNQVPDYVKQDAETNSTKLLKEIKEAELKSYGVVVNSFYELEATYADYYRNVLGKKSWHVGPVSLCNRKNIDKVERGNKSVIDEQECLEWLELKEPNSVLYICFGSRTNFTSSQLKEIAMALEASELQFIWVVRKQKNNEEEDDWLPEGFEKRMEGKGLIIRGWAPQVLILDHEAVGGFVTHCGWNSTLEGVCAGVPMVTWPAFAEQFYNEKLLTQVLNIAVAVGAQKWVRVVGEFVEREAIEKAVKEMMKGDKAEEMRNRAKALAEAAKKAVEKGGSSYSDLDALIEEISLRSH >KJB78773 pep chromosome:Graimondii2_0_v6:13:1259991:1265377:-1 gene:B456_013G018300 transcript:KJB78773 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase CPRD49 [Source:Projected from Arabidopsis thaliana (AT3G11210) UniProtKB/Swiss-Prot;Acc:Q9SRM5] MSRGYKLDLTLISTLVERWRPKTYTFYLPCNECTITLEDVSLQIGLSVDGPAITGSTIVPGKVGLYKSLLGKVPDKFEGGRILMNWLKDNFNELPEDLEDRTEERGDLGQKPDIIIVSNLISIDVLRDCQRETSKRKGVQREEKRREMVGPVRPQFVLFGSSIVQLSFSNGGWGAILADVYARKADILLRGYFGWNSRRAIQVLDHVFPKDASTQPELIITYFGGNDSMGAHPSGLGPHVPLPEYIENMKQIVNYLKGLSDSTRLIFLTSPPVNEERVNKYASEHFSHLVRTNELCQTYADACVKLCQELDVKVVNLFTAFQQRENWMTDCFTDGVHLSAEGSKIVVAEILKVLKEAEWKPSLHWKSMPTEFAEDSPYDIVGADGKTTLNPSEWTFHQEIQWD >KJB78772 pep chromosome:Graimondii2_0_v6:13:1259991:1263377:-1 gene:B456_013G018300 transcript:KJB78772 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase CPRD49 [Source:Projected from Arabidopsis thaliana (AT3G11210) UniProtKB/Swiss-Prot;Acc:Q9SRM5] MVGPVRPQFVLFGSSIVQLSFSNGGWGAILADVYARKADILLRGYFGWNSRRAIQVLDHVFPKDASTQPELIITYFGGNDSMGAHPSGLGPHVPLPEYIENMKQIVNYLKGLSDSTRLIFLTSPPVNEERVNKYASEHFSHLVRTNELCQTYADACVKLCQELDVKVVNLFTAFQQRENWMTDCFTDGVHLSAEGSKIVVAEILKVLKEAEWKPSLHWKSMPTEFAEDSPYDIVGADGKTTLNPSEWTFHQEIQWD >KJB78440 pep chromosome:Graimondii2_0_v6:13:2100929:2101261:1 gene:B456_013G028300 transcript:KJB78440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRDVGVAFCWLVVIRNKFSPLFEIGLKIVAQAMPVCCMGVFLLPLSLCDALQKKKKTMNACFLKIWASESADITLGILKQTLCIKKLRRYGLSGSPMFKSCYV >KJB79799 pep chromosome:Graimondii2_0_v6:13:7746857:7751031:-1 gene:B456_013G067400 transcript:KJB79799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELSAIVYMTVALLILFIISYSPKKHPADNRRRLVKLRSSFSFSNPARHEPVAFDPLVAKIERRREDNQWEKQYVEHSRPDYLQYPEPAEESQPETEDYLNDEDKFNVTNRLVLLFPKIDVDPADGFVTENELIEWNLQQAMKEEWFRSLREMEIHDKNNDGLVSYAEYEAPSWVKNDYASFGHDMGWWTEEHFYASDADGDHVLNLTEFNDFMHPADSKNPKLLQWLCKKEVRERDADKDGKVNFDEFFHGVFDLVITHDEEGHNSFHPSDYSMDAETPAKQMFSQLDKDGDRLLSDEELLPIIGKLHPSEQYYAKQQADYIISQCHLQ >KJB79798 pep chromosome:Graimondii2_0_v6:13:7747382:7750938:-1 gene:B456_013G067400 transcript:KJB79798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELSAIVYMTVALLILFIISYSPKKHPADNRRRLVKLRSSFSFSNPARHEPVAFDPLVAKIERRREDNQWEKQYVEHSRPDYLQYPEPAEESQPETEDYLNDEDKFNVTNRLVLLFPKIDVDPADGFVTENELIEWNLQQAMKEEWFRSLREMEIHDKNNDGLVSYAEYEAPSWVKNDYASFGHDMGWWTEEHFYASDADGDHVLNLTEFNDFMHPADSKNPKLLQWLCKKEVRERDADKDGKVNFDEFFHGVFDLVITHDEEGHNSFHPSDYSMDAETPAKQMFSQLDKDGDRLLSDEELLPIIGKLHPSEQYYAKQQADYIISQADLNKDGRLSLVEMIDNPYVFYSAIFNDSEDDYEYHDEFR >KJB81441 pep chromosome:Graimondii2_0_v6:13:40102379:40104778:-1 gene:B456_013G146200 transcript:KJB81441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPKKPTTMNSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEINDHSMKDDLQRSAASSSGMMARSMNEMQMEVQRRLHEQLEVQRHLQLRIEAQGKYMQSILEKACQTLAGENMASGGYKGMGNQGVPDIGAMKDFGPLNFPPFQDLNIYGGDQLDLPHNMDRPLVDGFISNNDNTCLGKKRPSPYSGSGKSPLIWSDDLRLQDLGTAPSCLGPQDDPFKTDQIQLAPPSTELDSISEIYDAKPVLSGDGIGEKKFEASPKLERPSPRRAALQTERMNPMINSGSVAQGRNSPFG >KJB81347 pep chromosome:Graimondii2_0_v6:13:37748185:37750628:1 gene:B456_013G140200 transcript:KJB81347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNINIFPILFCSIIALCCLIQMAESTDATKSSSAAAAGTDAAVHIVYTERPQDEQPEAYHIRTLSNVLGSEEAAKEALIYSYKTAASGFSAKLTPQQVAEISKQPGVLQVVPSRTLQLHSGAGKLH >KJB80245 pep chromosome:Graimondii2_0_v6:13:13868188:13872082:1 gene:B456_013G088700 transcript:KJB80245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 homolog 10 [Source:Projected from Arabidopsis thaliana (AT1G69480) UniProtKB/Swiss-Prot;Acc:Q6R8G0] MKFSKDFKRQMVPEWIEAYVDYDGLKRILREILHYNLSKKRETPLKSLEKKLSLHRTLSGLHRHTNGDVENQVTREGREIEIEFFRKLDEELNKINTFYKEQIEVVMDESTLLNKQLDALIALRLKVRSSCSNGACSPEHQGVEMGSLNSSSEVEMSEHRALEVSSNSLSGSEITDVILQGINQDDESTSVLENNTIVKSNGRPEEVGNNSTNQQGDPLEILERVKIRNTLESPLDTIKGVFKDSKHDDLCFKKDELKKVEAKLRLAFIEFYQKLRLVKQYSFMNLSALSKIMKSYEKITSRREARLYMKKIDNSYIGSSDEVNNLLERVEATFIKHFSNSNIQKGMKSLRPKTKKEKHSVTFWSGCFSGFSAALLIAVALRIETKKLMEKEGASYTVNIFPLYSIFAYIVLHLLIYAADIYFWRRFKINYPFIFGFKPGTELSYREVFLLGTGLAVLSLSCFLGNLYLDLGSTTQRYKTLTGLFPLGLVAIVMIILFCPFNIIYRSTRFFFIKSLFRCLCAPLYKVTLPDFFLADHVTSQVTAIRSLDLYICYYGLGERSQRESKCHGHGIYNVLYFAVPVIPFWLRFLQCIRRLFEEKEAMHGYSSVNYLLTIVAAIIRTICELKKGMAWMVLALVSSSVVVIVATYWDIVFDWGLLRRHSKNPYLRDKLLVPYKSVYFAAMALDVVLRIAWMQLVLEFKLHSLHRMAITTVVSCLEITRRGIWSFFRIENEHLNNVGKYRAFKSVPLPFNYDEEEEESSKDD >KJB83333 pep chromosome:Graimondii2_0_v6:13:56080037:56085212:1 gene:B456_013G241500 transcript:KJB83333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPEPTKSPIPSSSAAPSSSFSPVQESPFSNFISNLSPIKHDKVPHVAPRFLGLSSPPLVFTSPRINTLKRPQSSNVEVSQNVKGDEQSTNRPGDLERSVSELHREMIIDVKEDDTKDSMSVQPSSSSGCVDEYLADPVEADCVNSAYSVNLNLKQSNNVLESSANGLPCSKNLESVDKHYSGREAGAVQLLSGQSEKGLETFDIEPLKIKEDQHGGQGISDGCRQIDSDMFDLSSQEKESKNLNPQKGVADDGYGCDGFLQLQPGSLQMVQAYEGFAENVGGVTDAPFYDTTHDLAAIEHLRGMSRRCLQFGEPQPESTANCSSSPNLVNDVITSVPLATSSKTEGLGSSHVDLSTSRKRRLVNLSQLAINMIPQSYDNRSSLTVSKPSGIGLHLNSIFNDIPTDQGGTVSMKLAVDSMGTEGIKSASITSCQSMENMENCSDAFEKVSTAPQDRTLEAKVSTIEGSAASESLCTMESIECHMTVNTKRKLSSGDGDINKVFNQQSPKNKRKKLSESDEGCKRCNCKKSKCLKLYCDCFAAGIYCAEPCSCQGCFNRPEYESTVLETRQQIESRNPLAFAPKIVQPVTEVPVNSREDGNWKTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSIGCRCEGCKNVYGKKEDYYVTEAIVKRGVGELSESTVTVKKDFLHSELCNPHYLTPTTPSFEHSDHGKNASVSGLLPMRCLPSPESDPTNVSLAKSPRTSNTNDILLETSKENLYVGSYHDKVNYNNADNILADDCHQTPLPNHPSIIIDSSSSKPRELTNLSRLNLGPRSGCFTLGGSLRWRSSPITPKSPIDKELQQLDSDGGLYDILEDDTPEMLKDTSTPLKSVKASSPNGKRVSPPHKLHQLGSSSSGSLRSGRKFLLKAVPSFPPLTPCIVSKGNSNESRSYYEEDGSKDQ >KJB81653 pep chromosome:Graimondii2_0_v6:13:42638376:42644215:1 gene:B456_013G154800 transcript:KJB81653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDTSFDFLLKPIFLHGFSAALHMVLLLFLLVLWVVNIVREAGEEGSKDTLRQRKVSWYKQTLGCCFAVSAFNIVLCLLSYFYQYRNGWSEEKLVTLSDYVLKILAWGAICVYLHCQFSNYGELKRFPFLLRIWSGFYFSFSCYSLVVDIVVLKKHASFPSQYLVSDVFSVITGFFLNMVAFFPRNEGEDALLEEPLLSGDSSVSNGVELSKKRGGDTVTPYSNAGIFSILTFSWMGPTIAAGNKKTLDLEDVPQLDSRDSVVGAFPKFRNRLEAADGEGTGVTTLKLVKALFFSVWKDILWTGLFVFMYTVASYVGPCLIDTFVQYLNGQRVFKNEGYLLVTAFFVAKLVECTSQRWWFFKLQQVGIRLRAVLIAMIYNKGLTLSCQSKQSQTSGEIINYMTVDAERVDDFSWYMHDLWMVPLQVTLALLILYKNLGLAAIAAFVTTILVMLANIPLGKMLEKFQDKLMKSKDERMKATSEILRNMRILKLQGWEMKFLSKIIGLRSVEEGWLKRFVYTNAMTSFLFWISPSFVSVATFGACILLGIPLESGKILSALATFRILQEPIYGLPDTISMIVQTKVSLDRIATFLRLDDLQPDAMEKLPRGSSNTTIEIVDGNFSWDLSSSSPTLKDINLKVFHGMRVAVCGTVGSGKSSLLSCILGEIPKISGTLKFCGTKAYVAQSPWIQSGKIEENILFGKAMDRERYDQVLEGCSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGILSSKTVIYVTHQVEFLPAADLILVMKNGSITQAGKYNDILNSGADFMELVGAHKKALSALDTVEAGSVSEKRVNEGDGASGSANGEMLKEGNENGKVDDVPKGQLVQEEEREKGRVGFSVYSKYITTAYGGALVPFILLAQILFQVFQIGSNYWMAWASPVSADVKPPVTNLTLIIVYLALAFASAFSVFARSMLLNTAGYKTATLLFKKMHLCIFRAPMLFFDSTPSGRILNRASTDQSVVDLTMPLLVGAFAFSVIQLLGIIAVMSQVAWQIFIIFIPVITTCIWYQQYYISSARELARLVGVCKAPVIQNFSETILGATTIRSFDQESRFQQKNMELMDAYSRPNFYVAGAMEWLCFRLDMLSSITFAFFLFFLISIPKGIIDPAIAGLAVTYGLNLNMLQAWVVRNICSMENRIISVERILQYSSIPSEPALVIETNRPDQSWPFCGEVHIHDLQVRYASHMPLVLRGLTCTFPGGLKTGIVGRTGSGKTTLIQTLFRIVEPAAGQIIIDGVSISSIGLHDLRLRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEVLDKCQLGDEVRKKEGKLESSVSENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQTTLREHFCDCTVITIAHRITSVVNSDMVLLLSQGVIEEYDSPARLLENKSSSFAQLVAEYSMRSK >KJB83982 pep chromosome:Graimondii2_0_v6:13:53756436:53760689:1 gene:B456_013G217500 transcript:KJB83982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKPIFFFLLLLFLSLKLQSSSSQTWVKAGHWTSSSYLPVSDINSALFTHLFASFAFINKTSYQLFINSSDEQSFSNFTSIVKLKNPSITTILSIWVARSESTTFSLIVNETSRRKSFIESSVKTARLYGFHGLDLCGFQPTNGTSLGTFLDEWRAEVASESRNTGKTRLLLTMSAGRLPIVNSVNYPIESAKRNLDWVNILAYDYYVPRLERGFPADKLVLGLPYHGYAWALVNGSDSGINSVAFGPDHNSDDGSIGYSGIKYLIRKSGYDVGAVYNSTYVVNYWKFGRSTWINFDDMKAIKAKVSYAKVKGLLGYNAFQIANDDNWVLSQAAYAIGTTQPKKHQLLVIVLVTAAAMVLLITALVYYLQHKIFKSQGLLGALKMSVSLIRTKISGERKHENGDPNLQAFTFATIKAATNNFSNENKLGEGGYGPVYKGELLNGQEIAVKRLSRSSNQGLEEFKNEVNLTAKLQHVNLVRVLGICTENEEKMLVYELMLNKSLDFYLYDPFKRHLLDWRKRVSIIEGVTQGLLYLQEYSNYTIIHLDIKASNILLDSEMNPKISDFGMAKFFKKDELEAKTNRIVGTYGYVPPEYVKNGIYSTKYDVYSFGVLLLQIISGKRNSNLYGCHENLNLLEYAYELWKQDRGAEFFDASLDDSSLSCKRIRCMQLALLCVQENPADRPSMVDIFAILKNESNVAISVPKQPAYSITRDERKGSIDIGRKNVFSVNDASITQVEPR >KJB81424 pep chromosome:Graimondii2_0_v6:13:39839359:39845910:-1 gene:B456_013G145200 transcript:KJB81424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSPATMVSFKYWDDCVDPEDLEAMWQQPAVRKEWIDVGETQGQKVHLSRDPEGQPYLTQTEMRAVAEIVTSRHFDTHIDPEMICTIAELESNRQPLAEQHDKKSKVTTVGIMQISPATAESMAKEYQISLYKIEGDPDILYRPFVNVYFGATYLKWLSNFENKVRTEEFMVRAYKGGTKKATHKSTLPYWKRYISVKETFTPRCRKHSNGPTTQAPTSTALDSSNSDDACLYWDSRVSPEDMREMWNNPEICNEWNKSSQKRGKVLFTLDSEKNPCLSRLELKAVAEIIVNNYFSTMGIKPTALCALAEMVSMRNVDGTEERAGLMGIDYSTAFRLYTEVGFRDYPIDYEEDLTKPFVSMYFGAAYFAWLSMYEGSERDLQFVIQAYISGPENVNPEGMCPEWAKFEQTLAGFEFRERNGGRCTVM >KJB81423 pep chromosome:Graimondii2_0_v6:13:39839207:39846506:-1 gene:B456_013G145200 transcript:KJB81423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFKYWDDCVDPEDLEAMWQQPAVRKEWIDVGETQGQKVHLSRDPEGQPYLTQTEMRAVAEIVTSRHFDTHIDPEMICTIAELESNRQPLAEQHDKKSKVTTVGIMQISPATAESMAKEYQISLYKIEGDPDILYRPFVNVYFGATYLKWLSNFENKVRTEEFMVRAYKGGTKKATHKSTLPYWKRYISVKETFTPRCRKHSNGPTTQAPTSTALDSSNSDDACLYWDSRVSPEDMREMWNNPEICNEWNKSSQKRGKVLFTLDSEKNPCLSRLELKAVAEIIVNNYFSTMGIKPTALCALAEMVSMRNVDGTEERAGLMGIDYSTAFRLYTEVGFRDYPIDYEEDLTKPFVSMYFGAAYFAWLSMYEGSERDLQFVIQAYISGPENVNPEGMCPEWAKFEQTLAGFEFRERNGGRCTVM >KJB82125 pep chromosome:Graimondii2_0_v6:13:47087640:47089471:1 gene:B456_013G177800 transcript:KJB82125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLEDRNSVFEFVVRQGNGVKGLVDSGLSTVPEAFVQPPEERIDKENAIKYDLPPIDLSKLDGHGPDHDEVANQIVRAAETLGFFQVVNHGVPLHLLESLKVSAHEFFSLPPQRKAVYLADVSPSPLVKYGTSFIPEKEKALGWKDYILMQYTNDDEALQHWPQEIKEMLLEYLRSSIGMVKKLLQVSLGNLGVKPDDSMIDVLIGKKMLSMIFYPICPNPDLTLGVGRHSDIDTFTVLLQDEVGGLYVKMEQDTGYGKKGDWMHIPPTPNALVINVGDMLQILSNGKYKSAEHIVCTSSTNSRLSIPIFIMPRETAKIAPLPQVVEKDGIAVYREVVYVDYMNKVFANALDGKRPLDFAKISPA >KJB79062 pep chromosome:Graimondii2_0_v6:13:2436597:2441148:-1 gene:B456_013G032100 transcript:KJB79062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNFVMQSSLVQPKPSLSLKTPSLPQLSRLSPPSKTKQDSQNPIILIDNYDSFFTSNLCQYLGELGCSLKVCRNDELTVEELKRHAQRLQNIIANSFGAWTIVPLLGVCMGMWCICEAFRGKTVRSPYGLKQGDSCCVYYYDEKDAGLFTGLPNPFQAGRGPDAFLDMDGFPLEALKVTAFTEDGLIMAARHKIYKYLHGVHFSQESIITSEGKIIVQNFIKLIERKEAAESQN >KJB82447 pep chromosome:Graimondii2_0_v6:13:49942380:49944545:-1 gene:B456_013G196000 transcript:KJB82447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTWVLQYQNKRFILILMNISFLLVASVFLMMCSSSSQVVLGSNGSKFRNSQQDCKALEDLDDYKAKCSYLKSNNNPCVYQGYVDYLYHFYCNFGRFPVLGLCLLIAWLIVLFYLLGNTASEYFCYSLESLSSLLKLSPTLAGVTLLSLGNGAPDVFSSVVSFMDSATQDVGLNTVLGGVFFVTCIVVGMIGTFVHRKRVAVNKPAFVRDVCYLLLVLVSLILILIKGEINLWGAMAFSSMYIVYVILVYIIYIVWNSGGRDITDSDSSYNSGLNIPILNGIDKVEIDYLEEGDVKDVKGDEFKNCCLCLRISDTWSVLLWVLEMPLYLPRRLTIPIACQERWSKPVAVVSVTFAPILLSVLWDLQDDNLTFKTGLVVYGIGVLFGISFGFLAYLRTEKSSPPKTCLFPWLAGGFLMSVVWSYIIAQELVGLLISLGHILGISQSILGFTVLAWGNSLGDIVTNLTMALNGGPEGVQVAISGCYAGPIFNTLFGLGMSLVGSAWHGYPSPVQIPKDPYLLETLGFLVAALLWALLVLPMRNMKLDGVLGGGLFLIYFTSMSLRVIKAL >KJB82549 pep chromosome:Graimondii2_0_v6:13:51287263:51290545:1 gene:B456_013G202000 transcript:KJB82549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKCAIKKDVTELIGNTPMVYLNHIVDGCGARIAAKLELMEPCSSVKDRIAYSMIKDAEDKGLITPGKSILIEPTSGNTGIGLAFIGAARGYRVIVTMPASVSIERRIVLRAFGAEVCLTNPAKGFKGALDKADEILKNTPNGYMLRQFANPANPQIHYETTGPEIWKDSEGKVDVLVAGIGTGGTVTGAGRFLKEKNSEIKVYGVEPVESAVLNGGKPGSHLIQGIGAGIIPDVLDVGLLDEVVQVSSEEAIQTAKQIALKEGLLVGISSGANTAAAIKLAKRPENAGKLIVVIFPSAGERYLSSAMFESLRHEAENMPID >KJB82550 pep chromosome:Graimondii2_0_v6:13:51287745:51289019:1 gene:B456_013G202000 transcript:KJB82550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKCAIKKDVTELIGNTPMVYLNHIVDGCGARIAAKLELMEPCSSVKDRIAYSMIKDAEDKGLITPGKSILIEPTSGNTGIGLAFIGAARGYRVIVTMPASVSIERRIVLRAFGAEVCLTNPAKGFKGALDKADEILKNTPNGYMLRQFANPANPQIHYETTGPEIWKDSEGKVDVLVAGIGTGGTVTGAGRFLKEKNSEIKVCSPFETEI >KJB82551 pep chromosome:Graimondii2_0_v6:13:51287313:51290541:1 gene:B456_013G202000 transcript:KJB82551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKCAIKKDVTELIGNTPMVYLNHIVDGCGARIAAKLELMEPCSSVKDRIAYSMIKDAEDKGLITPGKSILIEPTSGNTGIGLAFIGAARGYRVIVTMPASVSIERRIVLRAFGAEVCLTNPAKGFKGALDKADEILKNTPNGYMLRQFANPANPQIHYETTGPEIWKDSEGKVDVLVAGIGTGGTVTGAGRFLKEKNSEIKVYGVEPVESAVLNGGKPGSHLIQGIGAGIIPDVLDVGLLDEVVQVSLNSMFNISNSSWKLCNWFDF >KJB78767 pep chromosome:Graimondii2_0_v6:13:1228136:1231217:-1 gene:B456_013G017800 transcript:KJB78767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRYWNINLEKMLEAEVHFGHGTRKWNPRMVPYISEKRKGIHITNLTRTARFLSEACDLVFDAASKGKQFLIVGTKNKAADSVARAPTTKMRLHKFRDLRTEQKTGGLNHLLKRNVAILLDIVIIVDQQEEYTALRECITLVIPTNCLIDTNSDSDLTDISIRTNDDAIASIRLLLNKLVVTIFLFLLEGNMNGVSNALNRLYDISGVEVGQHFYWQITGFQVHPQVLITSWVVIAILLGSAIIAVRNPQTITTAGKISSNIIWSMGSLYWNYVSIIFVSNWSGALLPWKIIQLPRGELAAPTNDINTTVALALLASVAYFYAGLSKKGLGYFSRYIQPTPILLPINILEDFTKPLSLTLCLVGFRLFENILADELEVVVIVSLVPSVVPIPVMFHGLFTSGIQALIFATLAATYIGESMEGHH >KJB83852 pep chromosome:Graimondii2_0_v6:13:58008641:58012909:1 gene:B456_013G267700 transcript:KJB83852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNMLNQEEKMHEILTQFQLQEDGSSISIPDFLPPKMKELLTELAMVESEIAHLETQISQLKHGLNQEHEVIITKGKTPSKQKNPIMQDQQENVVFETKALHFISKAMKGDYTLSDFMSLNETMGNSGALFQEKIPKKSGYFKTRESIVEANLDLPPKSPSSALIVEKNSQNWHPNKLSENIMKCLHFIFVRLLRTSRAMELEKSSNVTRSMNTPLSSRSFRVENTLNPKSNPQKKLRQQDPYGIFNMEGSIPRDIGPYKNLAMFTSSSMDPNCISTSSSIPLLKKLRVLMSNLQKVDLRVLTYQQKLAFWINMYNACIMHGYLQYGLPDTSEGLLAMTNKATLDVGGNKISAQAMEHHILGKQSTGLKIQEGSDKEDDREATIRKLYGLELTDRNVMFALCCGTRSSPAVRIYTGDGVSAELEKSKQEYLQASIMVSNTKKIAFPELLLNNMFDFAVDMNSLVQWVCQQLPTSGSLRKSMVDCYRNHNAGKTSSSISVEKIPYDFEFQYLLAM >KJB83851 pep chromosome:Graimondii2_0_v6:13:58008641:58012909:1 gene:B456_013G267700 transcript:KJB83851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGGVGFKLFMDSQADLSLEIVSMLQNMLNQEEKMHEILTQFQLQEDGSSISIPDFLPPKMKELLTELAMVESEIAHLETQISQLKHGLNQEHEVIITKGKTPSKQKNPIMQDQQENVVFETKALHFISKAMKGDYTLSDFMSLNETMGNSGALFQEKIPKKSGYFKTRESIVEANLDLPPKSPSSALIVEKNSQNWHPNKLSENIMKCLHFIFVRLLRTSRAMELEKSSNVTRSMNTPLSSRSFRVENTLNPKSNPQKKLRQQDPYGIFNMEGSIPRDIGPYKNLAMFTSSSMDPNCISTSSSIPLLKKLRVLMSNLQKVDLRVLTYQQKLAFWINMYNACIMHGYLQYGLPDTSEGLLAMTNKATLDVGGNKISAQAMEHHILGKQSTGLKIQEGSDKEDDREATIRKLYGLELTDRNVMFALCCGTRSSPAVRIYTGDGVSAELEKSKQEYLQASIMVSNTKKIAFPELLLNNMFDFAVDMNSLVQWVCQQLPTSGSLRKSMVDCYRNHNAGKTSSSISVEKIPYDFEFQYLLAM >KJB83850 pep chromosome:Graimondii2_0_v6:13:58008549:58013159:1 gene:B456_013G267700 transcript:KJB83850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGGVGFKLFMDSQADLSLEIRKTKLSGLQKREALEKEVSMLQNMLNQEEKMHEILTQFQLQEDGSSISIPDFLPPKMKELLTELAMVESEIAHLETQISQLKHGLNQEHEVIITKGKTPSKQKNPIMQDQQENVVFETKALHFISKAMKGDYTLSDFMSLNETMGNSGALFQEKIPKKSGYFKTRESIVEANLDLPPKSPSSALIVEKNSQNWHPNKLSENIMKCLHFIFVRLLRTSRAMELEKSSNVTRSMNTPLSSRSFRVENTLNPKSNPQKKLRQQDPYGIFNMEGSIPRDIGPYKNLAMFTSSSMDPNCISTSSSIPLLKKLRVLMSNLQKVDLRVLTYQQKLAFWINMYNACIMHGYLQYGLPDTSEGLLAMTNKATLDVGGNKISAQAMEHHILGKQSTGLKIQEGSDKEDDREATIRKLYGLELTDRNVMFALCCGTRSSPAVRIYTGDGVSAELEKSKQEYLQASIMVSNTKKIAFPELLLNNMFDFAVDMNSLVQWVCQQLPTSGSLRKSMVDCYRNHNAGKTSSSISVEKIPYDFEFQYLLAM >KJB83557 pep chromosome:Graimondii2_0_v6:13:57021536:57022390:1 gene:B456_013G252700 transcript:KJB83557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNNFCELDAANRKALGGKSINDYTATKETIYFFEDTLLPGTKVFLQRLLQESDTTKFLPRQIAESTPLTNGELSQILKKFSLKPESKSASYVKNTVKNCERPEMRGETKYCAASLETFVDSGVSILGKNIKLLSNEIGDETKNPSFKIGKGVRTVGGNEVVCHKMTYPHAVYLCHSIEGTEVYKVPLVSDDGTKVKAMAVCHKDTSAWSPNHIAFKILKVKPGTVPICHFLGRDTLVWVSN >KJB83834 pep chromosome:Graimondii2_0_v6:13:58309501:58312330:1 gene:B456_013G272600 transcript:KJB83834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGFQIKRIRPLLVGSLFILGRISMLLFGWFPQMRNSDSVLNALNGHSDHGRIEIKKILPKISFTLSLQMRSKLLLLSQRYTFRFGDMVQQMKIY >KJB82073 pep chromosome:Graimondii2_0_v6:13:46365868:46368807:-1 gene:B456_013G174900 transcript:KJB82073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVIEQCSEKLEAGIKQILISVMSGDNQLIKSEIDYHEVIYGIYHCAPQILSGVVTYLTGELLANQLDTRLRAVRLVGSLFALPEFLKRLIDRVVDVRMSVLEHVKICLLSDPSRPEAPQIISALCDRLLDYDENVRKSHSISALCKAGKIDEAKNKFREMIGKNLQPNAVIFDTFIHIFCKEGMAKVATRLVANNSTQDVSICGHKEAFYTLIFLPHVQTNEPG >KJB79969 pep chromosome:Graimondii2_0_v6:13:9104683:9105689:-1 gene:B456_013G075300 transcript:KJB79969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGAAVVELQRNSTNFANIVEEIVKLEKKIFPKHESLARSFDQELRKNNTGLLYMMDLHGEVVGYVMYSWPSSLSASITKLAVKESRRRQGHGEALLKAAIQKCRTRNIHRISLHVDPLRIPAMGLYKKLGFQVDSLIKCYYSGDRDANRMYLDFASN >KJB81534 pep chromosome:Graimondii2_0_v6:13:40825152:40829665:1 gene:B456_013G148700 transcript:KJB81534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRSGGCRSPAASGVLNRRILSRRLESCQQTYSTVEEIVDHLRTNYPDYKRMKQQPFTIAVRHALRSSSKCTQKPSHSPSNLNFDADSDEHEHAIAASSSSSLPHSRSRKKARVTDAKEERLQHFEELHIEKRRNQRYDSSSNSDTDSSSSSEEVDEGVSTSEDAVYGEKEEPKFDLMKSMLRQGYNQSNNTKPKLEEKNMEMEVAINKTKDKIDMTNGGGTAKKDAKASFTAAADADGMEVNGKEGPRFRDLGGMKEVLEELKMEVIVPLYHPHLPRWLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFYKISATEVVSGVSGASEENIRDLFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHRLVQPNDKDSGLENSDSKPGYVLVIGATNRPDAVDPALRRPGRFDREIVLGVPDENARLEILSVLTGNLRLEGSFDLLKIARATPGFVGADLSALANKAGNLAMKRIIDQRKHEFSREPIDDEQADQWWRQPWLPDEMEKLAITMADFEEATKMVQPSSRREGFSSIPNVTWENVGGLDFLREEFERYIVRRIKFPEKYAEFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGADQRCGVFVIGATNRPEVMDRAVLRPGRSR >KJB81532 pep chromosome:Graimondii2_0_v6:13:40825061:40829789:1 gene:B456_013G148700 transcript:KJB81532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRSGGCRSPAASGVLNRRILSRRLESCQQTYSTVEEIVDHLRTNYPDYKRMKQQPFTIAVRHALRSSSKCTQKPSHSPSNLNFDADSDEHEHAIAASSSSSLPHSRSRKKARVTDAKEERLQHFEELHIEKRRNQRYDSSSNSDTDSSSSSEEVDEGVSTSEDAVYGEKEEPKFDLMKSMLRQGYNQSNNTKPKLEEKNMEMEVAINKTKDKIDMTNGGGTAKKDAKASFTAAADADGMEVNGKEGPRFRDLGGMKEVLEELKMEVIVPLYHPHLPRWLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFYKISATEVVSGVSGASEENIRDLFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHRLVQPNDKDSGLENSDSKPGYVLVIGATNRPDAVDPALRRPGRFDREIVLGVPDENARLEILSVLTGNLRLEGSFDLLKIARATPGFVGADLSALANKAGNLAMKRIIDQRKHEFSREPIDDEQADQWWRQPWLPDEMEKLAITMADFEEATKMVQPSSRREGFSSIPNVTWENVGGLDFLREEFERYIVRRIKFPEKYAEFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGADQRCGVFVIGATNRPEVMDRAVLRPGRFGKLLYVPLPSPDERGLILKALARKKPVDESVDLSAIGRMEACENLSGADLSALMNEAAMVALYDKLSSTETSEDSCTIKTCHFERALSKISPSVSDKQKQFYKVLSESFKAG >KJB81533 pep chromosome:Graimondii2_0_v6:13:40825152:40829665:1 gene:B456_013G148700 transcript:KJB81533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRSGGCRSPAASGVLNRRILSRRLESCQQTYSTVEEIVDHLRTNYPDYKRMKQQPFTIAVRHALRSSSKCTQKPSHSPSNLNFDADSDEHEHAIAASSSSSLPHSRSRKKARVTDAKEERLQHFEELHIEKRRNQRYDSSSNSDTDSSSSSEEVDEGVSTSEDAVYGEKEEPKFDLMKSMLRQGYNQSNNTKPKLEEKNMEMEVAINKTKDKIDMTNGGGTAKKDAKASFTAAADADGMEVNGKEGPRFRDLGGMKEVLEELKMEVIVPLYHPHLPRWLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFYKISATEVVSGVSGASEENIRDLFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHRLVQPNDKDSGLENSDSKPGYVLVIGATNRPDAVDPALRRPGRFDREIVLGVPDENARLEILSVLTGNLRLEGSFDLLKIARATPGFVGADLSALANKAGNLAMKRIIDQRKHEFSREPIDDEQADQWWRQPWLPDEMEKLAITMADFEEATKMVQPSSRREGFSSIPNVTWENVGGLDFLREEFERYIVRRIKFPEKYAEFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGADQRCGVFVIGATNRPEVMDRAVLRPGRFGRSR >KJB82326 pep chromosome:Graimondii2_0_v6:13:49114335:49115227:1 gene:B456_013G189800 transcript:KJB82326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPTINKKYFSADEQQCNFSPILQGLYQTQAPSSSLPMKDTAIVIFHWAYNSLDHLILKSILQETGKSEYY >KJB83638 pep chromosome:Graimondii2_0_v6:13:57229207:57230241:1 gene:B456_013G255900 transcript:KJB83638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGSSQSQSQSSTSSSTSRPGVMAPRGSAAAAAGMRRRRIVGGSSSATASGSVGSGSGGNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTALHVFGKLYRAKAGAGP >KJB78542 pep chromosome:Graimondii2_0_v6:13:347057:347257:-1 gene:B456_013G004600 transcript:KJB78542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQPGSSFYDVQTGEFILKAKELLKLHESLTRVYVLKLREDIERDVFMSTIEAQAHGIVNLVAIK >KJB78595 pep chromosome:Graimondii2_0_v6:13:618337:619123:-1 gene:B456_013G009300 transcript:KJB78595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSCHRKHPLVLQFNSERRACKICQVTQGRGYLYGCSPCELAIHIDCLSPLPVIESLLAVQETNLQGQINQLKTELNEKGIQIEALNKNLDKMKLKYDMLMKDKDCVTATVNNLVAEVRSRDLQIRQMEDHLQQLSKEHMQLTKNLEDELKLKIKDLEKEVDKQRNMILDVSEEKREVIRQLTFSLDHYRSGYKELQTFLKHKRHAVIALSSIK >KJB83689 pep chromosome:Graimondii2_0_v6:13:57633176:57634951:-1 gene:B456_013G262300 transcript:KJB83689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLSKFLAFDWAGDNIRVNVVAPAAIKTPLSQRFSEGNEEGLNAIISRTPLGRVGEPKEVSAMVAFLCLPAASYVTGQLIFVDGGITVNGLCFPNQITKQHEA >KJB79357 pep chromosome:Graimondii2_0_v6:13:3994432:3999117:1 gene:B456_013G045500 transcript:KJB79357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCPETSSDEPLTPAGRLFLQPETDLVIHCIIRGKNPADMDAIKSAIKSSLMVQHPRFCSLLVRDKNGFEHWRKTPIDIGQHVIVVNNRLDKSGNFIDQTQGSTTTEEDDEAAVNQYVADLSVSSPLSTDKPLWELHVLTAHKCAVFRIHHSLGDGISLMSMLMANCRRADDAEALPRMVPDKKTVIKGEKGRDWFWLFGVLWWLLKMVVFTLFFSMEFVLRSLLVSDEKTAITGGDGVELWPRKLATAKFLLDDMKLIKRAVPDTTINDVLLGMVSSGLSRYLDHRTPNVLHEGLRMTGVAMVNIRPQPGLQDLSKLMKSNTKARWGNKFGVILIPVYYHKGGNDPLEYLKRAKAMVDKKKHSLEAYFSYKIGDLVLSLLGPKLAL >KJB79355 pep chromosome:Graimondii2_0_v6:13:3994389:3999450:1 gene:B456_013G045500 transcript:KJB79355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCPETSSDEPLTPAGRLFLQPETDLVIHCIIRGKNPADMDAIKSAIKSSLMVQHPRFCSLLVRDKNGFEHWRKTPIDIGQHVIVVNNRLDKSGNFIDQTQGSTTTEEDDEAAVNQYVADLSVSSPLSTDKPLWELHVLTAHKCAVFRIHHSLGDGISLMSMLMANCRRADDAEALPRMVPDKKTVIKGEKGRDWFWLFGVLWWLLKMVVFTLFFSMEFVLRSLLVSDEKTAITGGDGVELWPRKLATAKFLLDDMKLIKRAVPDTTINDVLLGMVSSGLSRYLDHRTPNVLHEGLRMTGVAMVNIRPQPGLQDLSKLMKSNTKARWGNKFGVILIPVYYHKGGNDPLEYLKRAKAMVDKKKHSLEAYFSYKIGDLVLSLLGPKYACMLNYKLLCNTTFTLSNVAGPLEEISLAGNPLSSIKVNTSSLPQALTMHMLSYAGKAEMQILVAKDIIPDPEFLAKCFEYALLEMKEAVLRTNKA >KJB79356 pep chromosome:Graimondii2_0_v6:13:3994432:3997191:1 gene:B456_013G045500 transcript:KJB79356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCPETSSDEPLTPAGRLFLQPETDLVIHCIIRGKNPADMDAIKSAIKSSLMVQHPRFCSLLVRDKNGFEHWRKTPIDIGQHVIVVNNRLDKSGNFIDQTQGSTTTEEDDEAAVNQYVADLSVSSPLSTDKPLWELHVLTAHKCAVFRIHHSLGDGISLMSMLMANCRRADDAEALPRMVPDKKTVIKGEKGRDWFWLFGVLWWLLKMVVFTLFFSMEFVLRSLLVSDEKTAITGGDGVELWPRKLATAKFLLDDMKLIKRAVPDTTINDVLLGMVSSGLSRYLDHRTPNVLHEGLRMTGVAMVNIRPQPGLQDLSKLMKSNTKARWGNKFGVILIPVYYHKGGNDPLEYLKRAKAMVDKKKHSLEAYFSYKIGDLVLSLLGPKYACMLNYKLLCNTTFTLSNVAGPLEEISLAGNPLSSIKVNTSSLPQVLCNNLSLIVI >KJB79358 pep chromosome:Graimondii2_0_v6:13:3994432:3999117:1 gene:B456_013G045500 transcript:KJB79358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCPETSSDEPLTPAGRLFLQPETDLVIHCIIRGKNPADMDAIKSAIKSSLMVQHPRFCSLLVRDKNGFEHWRKTPIDIGQHVIVVNNRLDKSGNFIDQTQGSTTTEEDDEAAVNQYVADLSVSSPLSTDKPLWELHVLTAHKCAVFRIHHSLGDGISLMSMLMANCRRADDAEALPRMVPDKKTVIKGEKGRDWFWLFGVLWWLLKMVVFTLFFSMEFVLRSLLVSDEKTAITGGDGVELWPRKLATAKFLLDDMKLIKRAVPDTTINDVLLGMVSSGLSRYLDHRTPNVLHEGLRMTGVAMVNIRPQPGLQDLSKLMKSNTKARWGNKFGVILIPVYYHKGGNDPLEYLKRAKAMVDKKKHSLEAYFSYKIGDLVLSLLGPKVYIIVCTFITCIFYELRFFFFGV >KJB81129 pep chromosome:Graimondii2_0_v6:13:34179747:34182660:-1 gene:B456_013G130600 transcript:KJB81129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLSSLCNSTMKLPSRVLTLRNASVVGFRHSYNTPCDQKLTCKSKASFSFNQNSQFHAYPSPFLAFQGVIYNTQKLNCLPSSSFGLHNTVSRPYGVPVEASAVETDKNPERLCVENCNDENIVGGEVPGAEYGNSRASHESEIDKEAWSLLRDTVVTYCGFPIGTVASNDPGDKHPLNYDQVFIRDFVPSALAFLLRGEGEIVKNFLLHTLQLQSTEKTVDCYSPGQGLMPVSFKVRTVPLGDNKFEEVIDPDFGGSAIGRVAPVDSGLWWIILLRAYGKISGDYTLQEREDFQAGIKMILNLCLADGFDMFPSLLVTDGSCMIDRKMGVHGHPLEIQALTYAALRCSREMLTVIGCSKNLMTAINNRLSALSFHIREYYWVDLRKINEIYRYKTEEYSMDATNKFNIYPEQIPSWLIDWIPEDGGYLIGNLQPAHMDFRFFTLGNLWSLISSLATPKQNEAILKLIEAKWDDIVGHMPLKICYPALENEEWRIVTGSDPKNTPWSFHNGGSWPALLWQFTLACIKMGRIELAQKAVGLAEKKLSVDRWPEYYDTPSGKFVGKQSRLYQTWAIAGFLTSRMMLEKPDMASLLFWEEDNELLENCVCALTKGGRKICSRDVAKSQILV >KJB80809 pep chromosome:Graimondii2_0_v6:13:28807990:28812227:-1 gene:B456_013G116200 transcript:KJB80809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGILKNSTMICAPLMGETVEQMVKEMKQAKAEGSHLLEIRLDHITGFHPHHHLPAILDNKPLPLIILYRPKWDGGLYEGDEHSRLQALRLAAELGADYIDFELKVASHLIRELKINYQSATKFIVSCHITGTTPSEEELANLAATMRATGADILKVVVNVTDITEIARIFHLLSHCQMPVIAYSVGERGLISQLLCPKFGGFLAYGSIEGHSIPGMPSLYSVKHTYKHDLMNSETKVFGLVSKPVSHSKGPILHNPTLRHENFNGVYVPLFVDNLKEFFSTYSGSDFPGFSVGIPYKEAVVEFCDEVHPLAESIGAVNTIIRRPCDGKLIGYNTDCEAAIISIEDALKGTLISGKLFVLVGAGGAGRALAFGAKSRGARILIFDIDFERAKSLASAVLGEARTFEQVINFRPEKGAILANATPLGMHPYTDQRIPVDQETLGDYELVFDAVYTPRKTRLLKEAEAAGAITVSGVEMFLRQAVSQFNLFTGQQAPEELMREIIASQF >KJB80684 pep chromosome:Graimondii2_0_v6:13:24993179:24994038:-1 gene:B456_013G110200 transcript:KJB80684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFEKETSKAYSQRQLKKRWDTLKKEWKAWKKLKGRDTDDWWESKLEVVPEAQKFRTSSIDLEFEGKLDQMFRGIVATGDKAWAPSSKSSKQIEGVAKLSSQIEKLCNATENMNQATSILTPIMDPYDIPQAIKVLNSMSEEVPEASLLYFFSPKLLLNKDKRIMLLSINPKIRALWLKAKMEDS >KJB82244 pep chromosome:Graimondii2_0_v6:13:48349486:48353299:-1 gene:B456_013G184600 transcript:KJB82244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDKSMSPQQRLQQQQQQQQFLLHQQKQQQQQQQMLLLQQLQKQAQHHQQQQQAISRFPSNIDAHLRTTPGVLPNRAINIHPNPNSTPNPNPNPNPNPNSTPNSQQQQQPPQSQQQQQQRQQQQQQKQMRPLNQAELRMAYQDALRVCHPDFKRPFSSLEDACERLLPYHVVADYEAEEDDRILDSDTTGQMPSRSQQWDHNIAAKVAEFTATFEKQALAFNIISRKRAAGEFRSEERLMVEQALLQEEKRAMLELRAEIESRAKAGREAHEAKLRMAAMVQAEQAHAEIMAQGPIRANALGSHGHDIGEQSQGLNPDEMMNGWGNNAPRDEKEPSEDFLNDEETENGDTSVQNEWREVGEFDLNSR >KJB83723 pep chromosome:Graimondii2_0_v6:13:57549687:57551745:1 gene:B456_013G261100 transcript:KJB83723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELRLVQGSLLKKVLEAIKDLVNDANFDCSATGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNMSKMMKCAGNDDIITIKADDGSDTVTFMFESPTQDKISDFEMKLMDIDSEHLGIPEAEYQSIVRMPSAEFARICKDLASIGDTVVISVTKEGVKFSTRGDIGTANIVLRQNTTVDKPEEATIIEMNEPVSLTFALRYMNSFTKATPLSSTVTISLSSELPVVVEYKIAEMGHIRFYLAPKIEEDEDETNPKG >KJB83724 pep chromosome:Graimondii2_0_v6:13:57550186:57551711:1 gene:B456_013G261100 transcript:KJB83724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKRFGSFFCQYKGELTLIFVCIDGWIKAQDKISDFEMKLMDIDSEHLGIPEAEYQSIVRMPSAEFARICKDLASIGDTVVISVTKEGVKFSTRGDIGTANIVLRQNTTVDKPEEATIIEMNEPVSLTFALRYMNSFTKATPLSSTVTISLSSELPVVVEYKIAEMGHIRFYLAPKIEEDEDETNPKG >KJB80011 pep chromosome:Graimondii2_0_v6:13:9852253:9856695:1 gene:B456_013G077300 transcript:KJB80011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQTGVGLSKILILAGAGYTGTVLLKNGKLSDILGELQSLVKGLEKSGEQADDSDALLAQVRRLSTEIRQLASARQITVLNGDSGGKLTSLVVPAATLGALGYGYMWWKGISFSDLFWVTKRNMAMAVENLTKHLDSVSDALSAAKKHLTQRIQNLDDKMETQKEISKSIQTSVEEAHMNLSNIEYDLDALQRMISGLDGKIGSLEYKQDLANAGVWYLCNMVGGKKANMPEALQEQLKLSGKSRALLASGTPALKGLKDVADISSGNMNDSGRDGFVKDGFDNLDEEPRSLQS >KJB80013 pep chromosome:Graimondii2_0_v6:13:9852253:9857844:1 gene:B456_013G077300 transcript:KJB80013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQTGVGLSKILILAGAGYTGTVLLKNGKLSDILGELQSLVKGLEKSGEQADDSDALLAQVRRLSTEIRQLASARQITVLNGDSGGKLTSLVVPAATLGALGYGYMWWKGISFSDLFWVTKRNMAMAVENLTKHLDSVSDALSAAKKHLTQRIQNLDDKMETQKEISKSIQTSVKEAHMNLSNIEYDLDALQRMISGLDGKIGSLEYKQDLANAGVWYLCNMVGGKKANMPEALQEQLKLSGKSRALLASGTPALKGLKDVADISSGNMNDSGRDGFVKDGFDNLDEEPRSLQRSVSARC >KJB80015 pep chromosome:Graimondii2_0_v6:13:9852253:9857844:1 gene:B456_013G077300 transcript:KJB80015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQTGVGLSKILILAGAGYTGTVLLKNGKLSDILGELQSLVKGLEKSGEQADDSDALLAQVRRLSTEIRQLASARQITVLNGDSGGKLTSLVVPAATLGALGYGYMWWKGISFSDLFWVTKRNMAMAVENLTKHLDSVSDALSAAKKHLTQRIQNLDDKMETQKEISKSIQTSVEEAHMNLSNIEYDLDALQRMISGLDGKIGSLEYKQDLANAGVWYLCNMVGGKKANMPEALQEQLKLSGKSRALLASGTPALKVSILVECGSPKFHGLKDVADISSGNMNDSGRDGFVKDGFDNLDEEPRSLQRSVSARC >KJB80012 pep chromosome:Graimondii2_0_v6:13:9852253:9856695:1 gene:B456_013G077300 transcript:KJB80012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQTGVGLSKILILAGAGYTGTVLLKNGKLSDILGELQSLVKGLEKSGEQADDSDALLAQVRRLSTEIRQLASARQITVLNGDSGGKLTSLVVPAATLGALGYGYMWWKGISFSDLFWVTKRNMAMAVENLTKHLDSVSDALSAAKKHLTQRIQNLDDKMETQKEISKSIQTSVEEAHMNLSNIEYDLDALQRMISGLDGKIGSLEYKQDLANAGVWYLCNMVGGKKANMPEALQEQLKLSGKSRALLASGTPALKGLKDVADISSGNMNDSGRDGFVKDGFDNLDEEPRSLQRKVIFCGCQAMMEIMIFCRSVSARC >KJB80010 pep chromosome:Graimondii2_0_v6:13:9852185:9857844:1 gene:B456_013G077300 transcript:KJB80010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQTGVGLSKILILAGAGYTGTVLLKNGKLSDILGELQSLVKGLEKSGEQADDSDALLAQVRRLSTEIRQLASARQITVLNGDSGGKLTSLVVPAATLGALGYGYMWWKGISFSDLFWVTKRNMAMAVENLTKHLDSVSDALSAAKKHLTQRIQNLDDKMETQKEISKSIQTSVEEAHMNLSNIEYDLDALQRMISGLDGKIGSLEYKQDLANAGVWYLCNMVGGKKANMPEALQEQLKLSGKSRALLASGTPALKGLKDVADISSGNMNDSGRDGFVKDGFDNLDEEPRSLQRSVSARC >KJB80014 pep chromosome:Graimondii2_0_v6:13:9852253:9857844:1 gene:B456_013G077300 transcript:KJB80014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQTGVGLSKILILAGAGYTGTVLLKNGKLSDILGELQSLVKGLEKSGEQADDSDALLAQVRRLSTEIRQLASARQITVLNGDSGGKLTSLVVPAATLGALGYGYMWWKGISFSDLFWVTKRNMAMAVENLTKHLDSVSDALSAAKKHLTQRIQNLDDKMETQKEISKSIQTSQVEEAHMNLSNIEYDLDALQRMISGLDGKIGSLEYKQDLANAGVWYLCNMVGGKKANMPEALQEQLKLSGKSRALLASGTPALKGLKDVADISSGNMNDSGRDGFVKDGFDNLDEEPRSLQRSVSARC >KJB80148 pep chromosome:Graimondii2_0_v6:13:11583041:11584772:1 gene:B456_013G083000 transcript:KJB80148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPGKGEKYGASASATRQHRSSRHVSSQSRRDNGKKNKKHGPPTTPRDWPVSKVKKVGWVPARNIHSFCYSVLRAATRKFSKENIIGEGGFGRVYIGYINPDSMKAAKPDTGKAIAIKVLGRRGIQSDEEWQNELRFLNKSNHPNVVKLMGYCRERHHRIVVYEYMCNGSLDAHLLRGLNWNRRIKIAIGVARAIAYLHSCTRPVIHRDLKSSNILLDADFNPKLSDFGLARHGPLEDQSHVSTRILGTRGYFAPEYFTTGHLTVKADVYSFGVVLLEIFSACVAIRRCTDGTKSDLAIWAKPHLSNHMELHNIIDKKIARNINIEEAHKFASIIGQCLGSDPRDRPTMGEVLASLEQLQQDMVLSNLNTLAPFKYHRRCTHTLKS >KJB80402 pep chromosome:Graimondii2_0_v6:13:15734904:15737518:1 gene:B456_013G095400 transcript:KJB80402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVVVIVACLGFCICLALLKWNEIRYNRRKGLPPGTMGWPVLGETTEFLKHGPSFMKKQRAKYGSLFKTHLLGCPTVVSMDPELNRYILMNEGKGLVPGYPQSMLDILGKRNIAAVHGAAHKRIRGSLLSLIGPHVIKDELLPKIGNFMTSFLENWNGKTIDIQETTNEMALLISFKQIVEKAPSSLYEIFKPEFDKLLVGTISLPINMPGTSYHHGFQGRKRIVKMLKQIMEQRRASSIAYDDMLYCLLHSKDSKYNLSDEEIIDQIITILYSGYETVSTTSMMAVKYLHDHPKALEELREEHLEIRKKKKPGEAVHWDDYKSMSFTRAVIFETSRLATVVNGLLRKTTEDMVLNGFTIPKGWKIYVYTREINYDPFLYPEPLTFNPWRWLDKNLESHNYCFIFGGGSRHCPGKELGLLQVSIFLHYFVTSYRWEEVGRNEIHQFPRVEAPKGLHIRISNYHA >KJB80351 pep chromosome:Graimondii2_0_v6:13:39476746:39477855:-1 gene:B456_013G143800 transcript:KJB80351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMPFHSPQSKFNFQSQSVSISILIENLSMTKKEEVSKRKTKSLNAKFHGLKQNMGQIKEDQRCIRDEQRKIRGRLEDVRRQCNEVRLESEAIVKQSAFNRIRLVIMFQIIKARKDGDFDKAALLCRFLNSISDRTNHLFGSGKKKKGCNLGLNRRKMKQMG >KJB82458 pep chromosome:Graimondii2_0_v6:13:50129301:50132196:1 gene:B456_013G196700 transcript:KJB82458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKRGSSITKIAFLCLFMTTTMMFVLSLRGRPGLFDHKTRPAEKLLTVFNNGDSQTSNQSNAESSNGSNRAILANSEKQRVEEGGFQVSCNGNLKEPLKIFLYDLDPEFHFGLLDWKPQGNSVWPDIRTKIPSYPGGLNSQHSIEYWLTLDLLASEFSENPSSREAIRVKNSSEADLIFVPFFSSLSYNRYSKLSPHQKKSKNWELQEKLVKFVMSQKEWKISGGRNHVVLAHHPNSMLNARMQLWPAIFILSDFGRYPINVANLDKDVIAPYKHVIKSYVNDSSDFDSRKTLLYFQGAIYRKNGGFARQELYYLLKDEKDVHFSFGSVQRNGIRQASQGMHSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPYEDILDYTEFCIFIRTSDAVKKGFLINRIRSITKDKWTQMWEKLKQVEPLFEFQYPSKEGDAIQMIWQSLSHKVSSVKMKINKSKRYSRFVPRKRRGLRTRTK >KJB78751 pep chromosome:Graimondii2_0_v6:13:1100479:1101927:-1 gene:B456_013G015900 transcript:KJB78751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMFSKPFFYNQELANTKDSILEETNKNQQVVLVLYEAINTRDVQTVHQILAPDLEWWFHGPPAHQFMMRLLTGASSDQTFIFDVDPLSVTTFGSTVIVEGCDHKRSISWVHAWTVSTDGIITEVREYFNTSLTVTRLVNSSQTPPFNYNSSSSSSSPSPSSGSSSTAEITPVHCFSVWESSFSNRVGKSVPGLVLAI >KJB81025 pep chromosome:Graimondii2_0_v6:13:32645240:32647704:1 gene:B456_013G125700 transcript:KJB81025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMGCPFLSLRFSLFLSLSFATSSSPFSFFKLQSPSSSIPKATSSDLLSLLASPSHSSSVNPSVADELKSCFKFLVPFNPINTRWSSDFKSPSSRRSLLKSLRDEQNELIWWPPEPVLELARLAFDSGGDPDSIHRALDPTVMPVPDVEGSKEDKCELTRTPYGRRFISQELNSYLEYLFKLIVERGPDVGLKVSLNRYDLFHGHLFIATETGRLGILFHAKEYPLYDKQVFPYYLGYCQKDSNVTYDDSINLRNILWLAPLPSNSTQGWIAPGRSFCKLCIWF >KJB81024 pep chromosome:Graimondii2_0_v6:13:32645040:32647815:1 gene:B456_013G125700 transcript:KJB81024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMGCPFLSLRFSLFLSLSFATSSSPFSFFKLQSPSSSIPKATSSDLLSLLASPSHSSSVNPSVADELKSCFKFLVPFNPINTRWSSDFKSPSSRRSLLKSLRDEQNELIWWPPEPVLELARLAFDSGGDPDSIHRALDPTVMPVPDVEGSKEDKCELTRTPYGRRFISQELNSYLEYLFKLIVERGPDVGLKVSLNRYDLFHGHLFIATETGRLGILFHAKEYPLYDKQVFPYYLGYCQKDSNVTYDDSINLRNILWLAPLPSNSTQGWIAPGVLLVLDAHPGGIVYRDLIPEYVNVVRTIYEDDLGNVVVDVNYLNVGDSQPDYQIFIC >KJB83010 pep chromosome:Graimondii2_0_v6:13:54473467:54474270:1 gene:B456_013G2249001 transcript:KJB83010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DCDGAIDGTHIDVIIDEENQLGMKMTPTVNVLAACDFDLLFTYVLSGKYYLPDKGYPERQGYLTPYHKIRYHPSKFRDQNRIICDAFALHNYIRLSKVLDPTFKVIDGDPNFIPPEVFLDVECISTQEVDRMGTNKMTKVHNDITTSLMAARRQHRVS >KJB82220 pep chromosome:Graimondii2_0_v6:13:48018171:48018320:-1 gene:B456_013G1824001 transcript:KJB82220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHFYVVDDGILMQKKTHESINNRLALVMKSGKYTLGYKTVLKSLEAPK >KJB80129 pep chromosome:Graimondii2_0_v6:13:11159481:11160008:-1 gene:B456_013G081900 transcript:KJB80129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHPGQAKEEVTKIELNNPKSLLLPGSSLASVESLSMPLVHQVVLSADIQCAECQRRIAHIMSRMKETDSVLVNVLEKKVTLTSRYPSNGKQVAVINKNPLSAMSMVKRIFRTFRR >KJB80586 pep chromosome:Graimondii2_0_v6:13:21302400:21304478:1 gene:B456_013G105200 transcript:KJB80586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLTDQQQDKYRSYLHGEGEKNTNWKLGAPPNYDTVNKLFEEGRTKIWPPASLEEKVQNLVKTWEMEMFNKISFPDYKSVKLQNFTVSVNGTKPLSLEESRKLGGGYNSFMQTTLPENLRGYNPAQETEHSSHLAFTTAFPRGFALEVSQVYSGPPTIVFKFRHWGYMEGPFKGHAPTGELVQLYGISIFEVDDEMKILKVEFFFDRGELLGGLMKGEKLASGTDQAALSCPFLRNTG >KJB78427 pep chromosome:Graimondii2_0_v6:13:438726:440502:1 gene:B456_013G006200 transcript:KJB78427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDMTEAEKNIIQVDDEADSAMVGKFDQFYEDYGYYETSDNDVEPHHSGGFESLNTTERETAIEGGT >KJB83176 pep chromosome:Graimondii2_0_v6:13:55220895:55223768:1 gene:B456_013G233600 transcript:KJB83176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRAAMLSVSLFFSSSSSSHLLFLSKTLTKRTCFSSLVTMSINLNAHAFAGNPIRSKTPKAHDPLSPSSAFQSLKTHLLQNPETTSLPPPPKSPLFKVLPFRKGRPLASSSITGNNDDDVPSWHLGWISLPDCKYFLGKCGVDLTEDSLVYLGSKVEDDVVYWAVDVSGESRLVPELGDNQFCFVELRTLMVATDWSDSIAMGELAIAGHARALLEWHNLSRFCGHCGEKTIPKEAGRRKQCSNESCKKRIYPRVDPVVIMLVIDRENDRALLGRQSRFVPRMWSCLAGFIEPGESLEEAVRRETYEEAGIEVGEVVYHSSQPWPVGPSSIPCQLMVGFFAYAKSLEINVDKEELEDAQWHSREVVRKALAVAEYKKAQKTTAAKVDQMCKGVEKGRSLSADFNVESGELTPMFFPGPFAIAHHLISTWANQGVPTDGVEASSKQPSSSISNL >KJB83178 pep chromosome:Graimondii2_0_v6:13:55220915:55223756:1 gene:B456_013G233600 transcript:KJB83178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVSLFFSSSSSSHLLFLSKTLTKRTCFSSLVTMSINLNAHAFAGNPIRSKTPKAHDPLSPSSAFQSLKTHLLQNPETTSLPPPPKSPLFKVLPFRKGRPLASSSITGNNDDDVPSWHLGWISLPDCKYFLGKCGVDLTEDSLVYLGSKVEDDVVYWAVDVSGESRLVPELGDNQFCFVELRTLMVATDWSDSIAMGELAIAGHARALLEWHNLSRFCGHCGEKTIPKEAGRRKQCSNESCKKRIYPRVDPVVIMLVIDRENDRALLGRQSRFVPRMWSCLAGFIEGKA >KJB83177 pep chromosome:Graimondii2_0_v6:13:55220915:55223756:1 gene:B456_013G233600 transcript:KJB83177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVSLFFSSSSSSHLLFLSKTLTKRTCFSSLVTMSINLNAHAFAGNPIRSKTPKAHDPLSPSSAFQSLKTHLLQNPETTSLPPPPKSPLFKVLPFRKGRPLASSSITGNNDDDVPSWHLGWISLPDCKYFLGKCGVDLTEDSLVYLGSKVEDDVVYWAVDVSGESRLVPELGDNQFCFVELRTLMVATDWSDSIAMGELAIAGHARALLEWHNLSRFCGHCGEKTIPKEAGRRKQCSNESCKKRIYPRVDPVVIMLVIDRENDRALLGRQSRFVPRMWSCLAGFIEVTRLHFRYLSQYDRCLFPQFHCGIIFFFPLARGKLRRSSEERNI >KJB82239 pep chromosome:Graimondii2_0_v6:13:48240855:48243161:1 gene:B456_013G183800 transcript:KJB82239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRFSTRLLNVCIASLCKAHKLEKAESVIIDGIRLGVLPDVVTYNILIDAYCCFGIDAGYAILHRMREADVTPDIISYNSLIAGATRNRQIARSFDLLDEMIQRGIAPDVWSYNILMHGLFKLGKPDLANRIFKDIILAEYSPSIATFNIMMNGLCKNGYTENAFMLFRNLQRHGFVPELLTYNILVSGLCKIGRLGSARRVLKEIVESGHVPNAITYTTLLKCFFRKKKFEEGIELLLEMKSKGYTFDGFAYCTVIGALTKIGKVKQATEFMVDMIEIGIELDIVSYNTLINLYCKTGELEEAYKLLDEIEKKGLECDKYTHTIMIDGLRRAGNIEGAAQHLKYMNMMGFDSNLVAYNCLVDGLCKVGQIDDAIKVYKSMEVRDSFTYSSLVYNLCRDRRYHSAAKLLLSCLRSGMKILKSAQRAVLLGLRYSGFPREAKRLKSKIRIARILNH >KJB81970 pep chromosome:Graimondii2_0_v6:13:45568971:45575996:-1 gene:B456_013G169600 transcript:KJB81970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIEGLQPAAGSRFSQVELIGRGAFGDVYKVKFLFCHNAAVKYITEYYGSYLHQTKLWLIMEYVAGGSVADLLQSGPPLDEMSIATASILLTENGDVKVADFGVSAQLTRTISQRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITVIEMAKGEPPLADLHPILDGHFSRPMKEFASLCLKKVPAERATAKELLKHRFIKNARKSQKLLERIRERPKYQLKEDAETPRNGPKPVGESTDIVKVTRDVRGEETVRASNQGKTFRSAGWDFSIGGQQNTGTIQSAPKPPQVRERKLDDETSIGGSGTVVRRSPRGSQSSGLIRDPSSLAKAAIQAGLRKGNARDRSALSENNGTGNENRRRDQMSNSSNSSRSSHEYFDAQKAFPRTRQPSDDEENAKITSSCIPLSMLLIPSLKEVIADDSEGRVMRVVTNSLVHMERTKPGSCEALVCKLLDRLARFRNE >KJB82882 pep chromosome:Graimondii2_0_v6:13:53935720:53941175:1 gene:B456_013G219500 transcript:KJB82882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFDSGGRSGDKGPGAEDEGSTAPLPEKVQVGCSPMYRIERKLGKGGFGQVYVGRRIGAGPGALEVALKFEHKSSKGCNYGPPYEWQVYHALGGSHGVPRVHYKGRQSDYYVMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKIHSRGYVHGDVKPENFLLGTPGTPDEKKLFLVDLGLATRWRDSSSGQHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPLPFRQFVEYVVNLKFDEEPNYARYISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLTMEEEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLPQHIEKGNEDGLFISSVASCSNLWALIMDAGTGFTAQVYQLSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGVPFSDQVVELDFLYPSEGIHRRWDGAYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >KJB82881 pep chromosome:Graimondii2_0_v6:13:53935792:53941850:1 gene:B456_013G219500 transcript:KJB82881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFDSGGRSGDKGPGAEDEGSTAPLPEKVQVGCSPMYRIERKLGKGGFGQVYVGRRIGAGPGALEVALKFEHKSSKGCNYGPPYEWQVYHALGGSHGVPRVHYKGRQSDYYVMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKIHSRGYVHGDVKPENFLLGTPGTPDEKKLFLVDLGLATRWRDSSSGQHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPLPFRQFVEYVVNLKFDEEPNYARYISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLTMEEEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLPQHIEKGNEDGLFISSVASCSNLWALIMDAGTGFTAQVYQLSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGVPFSDQVVELDFLYPSEGIHRRWDGAYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >KJB79099 pep chromosome:Graimondii2_0_v6:13:2538279:2539588:1 gene:B456_013G033300 transcript:KJB79099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIILSTIFFLVSLPPLFLLLIFSFLAIKVVAGKSINDPDYPPVKGSVYNQLLYLNYLYDYQADAAKERSTYRLLALEQSKYFIFQMLNLKLTHF >KJB80060 pep chromosome:Graimondii2_0_v6:13:10635388:10637390:-1 gene:B456_013G079500 transcript:KJB80060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILASFTNINRSGLVEAKVPCNHLVECFTCGVVYNLSHVLHTAGRYSVKRFRKAQCPIVERLTNSLMKHGRNNGKKLMAVRIVKHAMEIIYLLTDQNPIQVIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGNRESAFRNIKTIAECLADELINAANRSSNSYAIKKKDEIERVAKANR >KJB80688 pep chromosome:Graimondii2_0_v6:13:25057822:25059938:-1 gene:B456_013G110500 transcript:KJB80688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKQKKKKSKVIIVGGSIAGVSCAHALTSIGWQVVVIEKTAAPPTGSPTGAGLGLDSLAQMLITSWIANPQLLQHATLPLTIDQNQASDSSTKVNWTLTRDEEFNFRAAHWADLHGLLYNALPPDIFLWDHQFISFSISEDKSYIKVKAKVLRNDKIVEIDGNLLVAADGCLSLIRQNFLPDLKLRYSGYCAWRGVLDFSGREDPKTIEGIRKAYPDLGKCLYFDLGSKTHCVLYELPNKRLNWIFYVNQPEPEIKRNSVTMKVSEDMIDEMMKEAEKAWVPELVKVMKETKNPFLNAIYDCDPLRQIYWDNVVLIGDAAHPTTPHGLRSTNMSILDAAVLGKCLEKWGVENLHSALGEYQSIRVPVTSKQVLHSRKLGRIKQGLHLPNREQFDPKKATSEDLKDLQQKNMPFFTSVY >KJB78662 pep chromosome:Graimondii2_0_v6:13:709367:712775:1 gene:B456_013G010700 transcript:KJB78662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISHVPYYLSKLPSPLFNCRLAAKRISEFSMENPQHSEGKEACESNPTNVKRVSDDIMPHLLNLYGSRATPSDFEIYAADASFEDPLMCAHGVKQIKSSFYSLSKVFSESRIVEYSITEKEISAGKHEVLVDNKQQYKFLGRNIDMISLIRLYVKDGKVVRHEDWWDKKPLRNRETVKLPCVGRMIELTRRGSMFVTHALMGFGKDPPTG >KJB80347 pep chromosome:Graimondii2_0_v6:13:14968441:14973082:-1 gene:B456_013G093000 transcript:KJB80347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKGIALPIITLLLALGIQAGFSQNISKASFPKGFIFGTASSAFQYEGAVKEDGRGPTIWDTFSHTFGKILDGSNADVAVDQYHRYPEDIQLMKDMGMDAYRFSIAWSRIFPNGTGEINQAGVDHYNNFINALLAAGIQPYVTLYHWDLPQALEDKYNGWLDPQIIKDFAMYAETCFKLFGDRVKYWMTFNEPDTFTVQGYDVGLQAPGRCSIVLHLFCKAGNSGTEPYIVAHNVLLSHATAVDIYRKKYKAKQHGSVGVSFNVIWFEPETNSTEDIEAAQRAQDFQLGWFLDPLMFGDYPSSMITQVGSRLPRFTKAESGLLKGSLDFVGINHYTTFYARENKTNLIGSILNDTVSDSGAFTLPFKHGKPIGDKANSIWLYIVPRGMRSLMNYVKEKYGNPPVIVTENGMDDPNSQFISIKNALKDEKRIKYLNDYLTNLLAAIKEDGCNVKGYFVWSLLDNWEWGAGYSSRFGLYFIDYKDNLKRYPKDSVKWFKNFLGSA >KJB80346 pep chromosome:Graimondii2_0_v6:13:14968441:14972811:-1 gene:B456_013G093000 transcript:KJB80346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKGIALPIITLLLALGIQAGFSQNISKASFPKGFIFGTASSAFQYEGAVKEDGRGPTIWDTFSHTFGKILDGSNADVAVDQYHRYPEDIQLMKDMGMDAYRFSIAWSRIFPNGTGEINQAGVDHYNNFINALLAAGIQPYVTLYHWDLPQALEDKYNGWLDPQIIKDFAMYAETCFKLFGDRVKYWMTFNEPDTFTVQGYDVGLQAPGRCSIVLHLFCKAGNSGTEPYIVAHNVLLSHATAVDIYRKKYKAKQHGSVGVSFNVIWFEPETNSTEDIEAAQRAQDFQLGWFLDPLMFGDYPSSMITQVGSRLPRFTKAESGLLKGSLDFVGINHYTTFYARENKTNLIGSILNDTVSDSGAFTLPFKHGKPIGDKVLKPISVHCSIFQFV >KJB81286 pep chromosome:Graimondii2_0_v6:13:36589130:36590845:-1 gene:B456_013G136800 transcript:KJB81286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISAYSRARSYPNMKTVGELSLLVCLIIVCFNGRGWSQRLPRPQPQQSQVPCFLIFGDSLVDNGNNNGMLTLARANYRPYGIDFPQGTTGRFTNGRTFVDALAQLMGFTRYIPPYSRARGPELLRGVNYASGAAGIRDETGDNLGAHSSMNGQVANFANTVMQMRRLFRGDTNALSSYLNTCIYYCGLGSNDYLNNYFMPNFYTTSSDFTTKAYADALIQDYTRQLTQLHSLGARKVIVTAVGPIGCIPYQLARYHGNSSRCNENINKAILLFNSELRKLVDRFNGGQLQGAKFVYLDSYKSSNDLYQNGTAYGFEVIDKGCCGVGRNNGQITCLPLQQPCADRRKYLFWDAFHPTELANVIFAQSAYQSQSYTYPVNIQQLATL >KJB78705 pep chromosome:Graimondii2_0_v6:13:928196:928444:-1 gene:B456_013G013800 transcript:KJB78705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKKPGNDGTADEVTRESLIAISYSLPDKVAAASKLSSDNSNREKLFERKGSDGDEKYRSELISISYSKSPEGKGSPSTLN >KJB78706 pep chromosome:Graimondii2_0_v6:13:927977:929499:-1 gene:B456_013G013800 transcript:KJB78706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKKPGNDGTADEVTRESLIAISYSLPDKVAAASKLSSDNSNREKLFERKGSDGDEKYRSELISISYSKSPEGKGSPSTLN >KJB78704 pep chromosome:Graimondii2_0_v6:13:928034:929388:-1 gene:B456_013G013800 transcript:KJB78704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKKPGNDGTADEVTRESLIAISYSLPDKVAAASKLSSDNSNREKLFERKGSDGDEKYRSELISISYSKSPEGKGSPSTLN >KJB80807 pep chromosome:Graimondii2_0_v6:13:28805578:28807965:-1 gene:B456_013G116100 transcript:KJB80807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heart Stopper MLKQAIWKVLSKRVVSESGDKLLLPCLYLPTTSFHAGQVHCSPRSFFGVEDFLDDDNSKPYTYQKGKKSKNPNKHVSFKQRTEAYMEPFTLDVFISKRFVSASVTHRVTCKQVAVAGTNSKDIKAVLRSRSDIPACLAIGRILAERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >KJB80808 pep chromosome:Graimondii2_0_v6:13:28805633:28807928:-1 gene:B456_013G116100 transcript:KJB80808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Heart Stopper MLLYTICFWFSGGVVCKMLKQAIWKVLSKRVVSESGDKLLLPCLYLPTTSFHAGQVHCSPRSFFGVEDFLDDDNSKPYTYQKGKKSKNPNKHVSFKQRTEAYMEPFTLDVFISKRFVSASVTHRVTCKQVAVAGTNSKDIKAVLRSRSDIPACLAIGRILAERAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >KJB82281 pep chromosome:Graimondii2_0_v6:13:48760270:48763981:1 gene:B456_013G187100 transcript:KJB82281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGLLDDIINRLLEVRGRPGKQVQLSESEIRQLCLVSKEIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPDANYLFLGDYVDRGKQSLETICLLLAYKIKHPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWRTFTECFNCLPVAALIDEKILCMHGGLSPDLNNLDHIRSLQRPTDVPDTGLLCDLLWSDPSKDVQGWGMNDRGVSFTFGPDKVSEFLQKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADKKPRFNFGGTATARPGSASASVFGSTTTAKPGNTPAGVKVNALSKSSTI >KJB82279 pep chromosome:Graimondii2_0_v6:13:48760266:48764174:1 gene:B456_013G187100 transcript:KJB82279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGLLDDIINRLLEVRGRPGKQVQLSESEIRQLCLVSKEIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPDANYLFLGDYVDRGKQSLETICLLLAYKIKHPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWRTFTECFNCLPVAALIDEKILCMHGGLSPDLNNLDHIRSLQRPTDVPDTGLLCDLLWSDPSKDVQGWGMNDRGVSFTFGPDKVSEFLQKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADKKPRFNFGGTATARPGSASASVFGSTTTAKPGNTPAGVKSFLGTRI >KJB82280 pep chromosome:Graimondii2_0_v6:13:48760270:48763981:1 gene:B456_013G187100 transcript:KJB82280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGLLDDIINRLLEVRGRPGKQVQLSESEIRQLCLVSKEIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPDANYLFLGDYVDRGKQSLETICLLLAYKIKHPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWRTFTECFNCLPVAALIDEKILCMHGGLSPDLNNLDHIRSLQRPTDVPDTGLLCDLLWSDPSKDVQGWGMNDRGVSFTFGPDKVSEFLQKHDLDLICRAHQVLLALAAYFMLNMC >KJB83039 pep chromosome:Graimondii2_0_v6:13:54586032:54589245:1 gene:B456_013G226600 transcript:KJB83039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDEFMDDMNGNGDSYGTVNRRFKDRAEQVAKTKEILSKQAVQTKEMLSKQAVKIAKQAEEHERFINKVTHLLGVLGFGGFCFLLGARPQDIPYVYCVFYVTFVPLRWIYYRFKKWHYYLLDFCYYANTIFLVDLLLYPKNEKLFMICFSFAEGPLAWALIVWRCSLVFSSVDKIISVLIHLLPGIVFFTIRWWNPATFEAMHPEGTTHRFSWPYVEDKSYLWTWLFGVPLAAYTLWQVLYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDQNRLLMYILLQAAFTVATMALTVPIFLSYEFHAIFQILKVSASVWNGGSFLLEVMPRQVIVKEKKKSGNQVPCQQQDQHSDLTENAMQANGSSVTHQSE >KJB82354 pep chromosome:Graimondii2_0_v6:13:49282139:49283022:1 gene:B456_013G191800 transcript:KJB82354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPHSSLPPGFRFHPTDEELILHYLMKKLSSSPFPVSIIADVDIYKFDPWDLPDKAVFGEKEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKIIVASSMAAGRGGVFSNIGVKKALVFYKGRPPKGIKTNWIMHEYRLSQNPNPNSNNRSFKSKDCSMRLDDW >KJB83055 pep chromosome:Graimondii2_0_v6:13:54687969:54691881:1 gene:B456_013G227300 transcript:KJB83055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFLRNISHLKHSFHAPQICRGGVLGSYSQLCNFSSKGRRKSKSDGSDSGEENMSKKDLALKQALDQITSSFGKGSIMWLGRSESPRNVPVVSTGSFALDVALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDPALAETIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKGELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQTILIFINQVRSKLSTFGFGGPTEVTCGGNALKFYASVRLNIRRTGLVKKGEETIGSQVLVKVVKNKHAPPFKNAEFELEFGKGICREGEIINLATKHKFVTKAGSFYTFNDQKIHGKEAFKNFLVQNESAREELATKLREKLLEVENEKERQGDISDGDASEEIISTDTTDEEAVTAIEA >KJB83056 pep chromosome:Graimondii2_0_v6:13:54687978:54691759:1 gene:B456_013G227300 transcript:KJB83056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFLRNISHLKHSFHAPQICRGGVLGSYSQLCNFSSKGRRKSKSDGSDSGEENMSKKDLALKQALDQITSSFGKGSIMWLGRSESPRNVPVVSTGSFALDVALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDPALAETIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVTALVPKGELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQTILIFINQVRSKLSTFGFGGPTEVTCGGNALKFYASVRLNIRRTGLVKKGEETIGSQVLVKVVKNKHAPPFKNAEFELEFGKGICREGEIINLATKHKFVTKAGSFYTFNDQKIHGKEAFKNFLVQNESAREELATKLREKLLEVENEKERQGDISDGDASEEIISTDTTDEEAVTAIEA >KJB80839 pep chromosome:Graimondii2_0_v6:13:28992468:28993591:-1 gene:B456_013G117200 transcript:KJB80839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTHIQSDRRREKEKCRHQDGDHLQEDRRGLPVYVAVLIFFAVVFAVFFLLVFMFCAAAAYLKAAVGQCSAGRLGQDLFNYSAHLFCPFTLLSICVLGCCEL >KJB79475 pep chromosome:Graimondii2_0_v6:13:4879246:4881053:1 gene:B456_013G052000 transcript:KJB79475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLRNHESRETLRIQLPSPCSFLQLQETLSLSLPPPHPPPSSLRFYLNDNDLLLPPSPHSSLHSLGVVAGDLLYFSSNPTAFSAVSVTQMDDRNQVPDNNNNQETQMQELPQFEEPMSQDPEFSAETMDIDASALSERLSEPYFLRKVLGEELGDSGCIHKLLAISVHAVLLESGFVGIDPISGLRTDRFHWPDEFPSPVSFHYSLPELLRFAPTLNLVWENCDKNVAMDDKKDGSFVSYPESEVFEFWKIVKDGLALPLLIDLCDKTGLALPVCLIRLPAELKVKILESLPGADIARMECVCSEMRYLASNNDLWKQKFKEEFGCTSGTVAMGNWKKMFISCWESRKKRNRAITRWQGFARVDNRPLYFPIWRDPNPFFPSFGVPHVIGGEHDASPFVAPHPYMPCVHQHPYRRRQNFRHHCNHGERQNDA >KJB79474 pep chromosome:Graimondii2_0_v6:13:4879246:4881046:1 gene:B456_013G052000 transcript:KJB79474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLRNHESRETLRIQLPSPCSFLQLQETLSLSLPPPHPPPSSLRFYLNDNDLLLPPSPHSSLHSLGVVAGDLLYFSSNPTAFSAVSVTQMDDRNQVPDNNNNQETQMQELPQFEEPMSQDPEFSAETMDIDASALSERLSEPYFLRKVLGEELGDSGCIHKLLAISVHAVLLESGFVGIDPISGLRTDRFHWPDEFPSPVSFHYSLPELLRSNLTDYVALKFQTLGHFFQVYGSLFKGSSLYKLSLDETRFAPTLNLVWENCDKNVAMDDKKDGSFVSYPESEVFEFWKIVKDGLALPLLIDLCDKTGLALPVCLIRLPAELKVKILESLPGADIARMECVCSEMRYLASNNDLWKQKFKEEFGCTSGTVAMGNWKKMFISCWESRKKRNRAITR >KJB79473 pep chromosome:Graimondii2_0_v6:13:4879210:4882183:1 gene:B456_013G052000 transcript:KJB79473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLRNHESRETLRIQLPSPCSFLQLQETLSLSLPPPHPPPSSLRFYLNDNDLLLPPSPHSSLHSLGVVAGDLLYFSSNPTAFSAVSVTQMDDRNQVPDNNNNQETQMQELPQFEEPMSQDPEFSAETMDIDASALSERLSEPYFLRKVLGEELGDSGCIHKLLAISVHAVLLESGFVGIDPISGLRTDRFHWPDEFPSPVSFHYSLPELLRSNLTDYVALKFQTLGHFFQVYGSLFKGSSLYKLSLDETRFAPTLNLVWENCDKNVAMDDKKDGSFVSYPESEVFEFWKIVKDGLALPLLIDLCDKTGLALPVCLIRLPAELKVKILESLPGADIARMECVCSEMRYLASNNDLWKQKFKEEFGCTSGTVAMGNWKKMFISCWESRKKRNRAITRWQGFARVDNRPLYFPIWRDPNPFFPSFGVPHVIGGEHDASPFVAPHPYMPCVHQHPYRRRQNFRHHCNHGERQNDA >KJB83935 pep chromosome:Graimondii2_0_v6:13:58282951:58283305:1 gene:B456_013G2724002 transcript:KJB83935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGKIAPDGNEARKRSEKGDSKSLNAYFKQRKSRTEDFKCRDPEGENQKQIRESGNENKGKPGKGFFACYLLTSLSPRHKGHTYI >KJB82668 pep chromosome:Graimondii2_0_v6:13:51965904:51969805:-1 gene:B456_013G208200 transcript:KJB82668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAMNFIINSTMKLPSTLLNLRNALFLGSRHRFNLPCCEDKLFYKSKASLLNFSKNSQFHDYPSRFVGFQRVINNAQKFNCLPSSVFARPRAVPMPNGFSVQAVVASKVRDLSTSVETGINDKNFERIHVQNGINVKTLVERIDTDKNLVGGENPLTEDGNNVKNIGEGLGNGKNGVSIDSAIEKEAWDLLRDSVVSYCGFPVGTVAANDPGDKQPLNYDQVFIRDFVPSALAFLLKGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPIDDNKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYSLQERVDVQTGMKLILNLCLADGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYAALRSSREILSVNEGSKNLVRTINNRLNALSFHIREYYWVDMKKINEIYRYKTEEYSMDATNKFNIYPEQIPSWLMDWVPEEGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLGTPKQNEGILNLIENKWDDIVGHMPLKICYPAVENEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACVKMGRLELAQKAIALAEKRLSRDHWPEYYDTRSGKFIGKQSRLYQTWTIAGFLTSKMMVENPEMSSLLFWGEDYELLEICVCALSKSGRVKCSRGAAKSQILV >KJB82669 pep chromosome:Graimondii2_0_v6:13:51965878:51969875:-1 gene:B456_013G208200 transcript:KJB82669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAMNFIINSTMKLPSTLLNLRNALFLGSRHRFNLPCCEDKLFYKSKASLLNFSKNSQFHDYPSRFVGFQRVINNAQKFNCLPSSVFARPRAVPMPNGFSVQAVVASKVRDLSTSVETGINDKNFERIHVQNGINVKTLVERIDTDKNLVGGENPLTEDGNNVKNIGEGLGNGKNGVSIDSAIEKEAWDLLRDSVVSYCGFPVGTVAANDPGDKQPLNYDQVFIRDFVPSALAFLLKGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPIDDNKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYSLQERVDVQTGMKLILNLCLADGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYAALRSSREILSVNEGSKNLVRTINNRLNALSFHIREYYWVDMKKINEIYRYKTEEYSMDATNKFNIYPEQIPSWLMDWVPEEGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLGTPKQNEGILNLIENKWDDIVGHMPLKICYPAVENEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACVKMGRLELAQKAIALAEKRLSRDHWPEYYDTRSGKFIGKQSRLYQTWTIAGFLTSKMMVENPEMSSLLFWGEDYELLEICVCALSKSGRVKCSRGAAKSQILV >KJB82988 pep chromosome:Graimondii2_0_v6:13:54328172:54330719:1 gene:B456_013G223200 transcript:KJB82988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKDKLLDQTSVTHLFPITKFLGLLATGMTADARTLVQQARNEAAEFRFRYGYEMPVDVLSKWIADKSQVYTQHAYMRPLGVVAMVLGIDEEKGPQLYKCDPAGHFYGHKATSAGSKEQEAINFLEKKMKNDPAFTYEETVQTAISALQSVLQEDFKATEIEVGVVKADNPVFRVMSTEEIDEHLTAISERD >KJB82986 pep chromosome:Graimondii2_0_v6:13:54328085:54330942:1 gene:B456_013G223200 transcript:KJB82986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKFLGLLATGMTADARTLVQQARNEAAEFRFRYGYEMPVDVLSKWIADKSQVYTQHAYMRPLGVVAMVLGIDEEKGPQLYKCDPAGHFYGHKATSAGSKEQEAINFLEKKMKNDPAFTYEETVQTAISALQSVLQEDFKATEIEVGVVKADNPVFRVMSTEEIDEHLTAISERD >KJB82987 pep chromosome:Graimondii2_0_v6:13:54328172:54330719:1 gene:B456_013G223200 transcript:KJB82987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKFLGLLATGMTADARTLVQQARNEAAEFRFRYGYEMPVDVLSKWIADKSQVYTQHAYMRPLGVVAMVLGIDEEKGPQLYKCDPAGHFYGHKVATSAGSKEQEAINFLEKKMKNDPAFTYEETVQTAISALQSVLQEDFKATEIEVGVVKADNPVFRVMSTEEIDEHLTAISERD >KJB80726 pep chromosome:Graimondii2_0_v6:13:26770502:26778442:1 gene:B456_013G112200 transcript:KJB80726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQNQNKQKPNSDQVVLFMDEPNSKHKESSPQAQAQADSNNITLPLSQQQTPAKTKTLRRLNFSKPRARFAETTFPLTPKPIPESDENQPLYPHHETSSTDSDDDWFDDDEEDGNVGDSKEAEYRRRRRKRKINRRALIEFVLFIIIMICLICSLTLQSLQHKLCWGLELWKWCLMIMVLFCGRLVSAWVVGFMVFLIERNFMLREKVLYFVYGLRKSFQNCAWLGLVLICWMIMFPDIHKQNIVVKKTFLGLIAVLTGATIWLLKIVLVKVLASSFHVATFFDRMKESVFHHYILDALSGPPLDEEPKKRGLKHAKTMPARLRPEGSGGGVARTLSKKGSRRIDMEKLKKLSLEGRANAWSVKRLVNYVKSSGLSTISRTVDDFGAGKSEINSEWEARTCAQRIFKNVAKPGAKYIEEEDLLKFLNSEEVHTIFPLFEGALETGKISKSSFRNWVVHAYVERKALAHSLNDTKTAVQQLHRLASAIVVVIIIVVSLLVMGVATIKVVFVVTSQLLIVGFMFQNTCKTIFESIIFVFVMHPFDVGDRCVIDGVQMIVEEMNILTTVFLRYDMEKIYYPNSVLLTKPISNFRRSPDMGDTVDFTIDVSTPVEDINALKKAIQLYIDSKPKHWSPKHTLIFKEIENMDKMKLVLCVQHTINHQNYGEKSSRRSELVFELKKIFEALGIKYHLLPQEVHLITNQLNNVMPNANARIPT >KJB80135 pep chromosome:Graimondii2_0_v6:13:11345562:11347787:1 gene:B456_013G082400 transcript:KJB80135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPQCLMCGNKFFFLVIEIQADTTVADAVKVLSECNILSAPVINPDAATSMNWRERYLGIIDYSAIVLWVLETAEVAAVALSASTATAVGLGAGAVGALGALAVGVTGPAAVAGLTVAAVGAAVAGGVAADQASGGDAPAAADNLGKEFYKVILQEEPFKSTTVKSIVKSYRWAPFIPVATDSSMLSVLLLLSKYRLRNVPVIEPGNPELQNYITQSAVVGGLEGCKGRDWFDCIAARPISDMGLPFMSSNEVISIQNDDLVLEAFKRMRDNHVGGLPVVEGPSKKIVGNVSIRDIRHLLLKPELFSNFRQLTVEDFISTVVSTGQEIGRVTTPITCKVDSTLGSVIQCLATKRVHRIYIVDENEVTGVITLRDVISCFIFEPPNFFDNYFGFSVKEMLNK >KJB80134 pep chromosome:Graimondii2_0_v6:13:11344548:11347787:1 gene:B456_013G082400 transcript:KJB80134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAQQTRESSALSSCEAYFEKVNSRKKLPQPLQETLTTAFARIPVSSFPQVPAGKVIEIQADTTVADAVKVLSECNILSAPVINPDAATSMNWRERYLGIIDYSAIVLWVLETAEVAAVALSASTATAVGLGAGAVGALGALAVGVTGPAAVAGLTVAAVGAAVAGGVAADQASGGDAPAAADNLGKEFYKVILQEEPFKSTTVKSIVKSYRWAPFIPVATDSSMLSVLLLLSKYRLRNVPVIEPGNPELQNYITQSAVVGGLEGCKGRDWFDCIAARPISDMGLPFMSSNEVISIQNDDLVLEAFKRMRDNHVGGLPVVEGPSKKIVGNVSIRDIRHLLLKPELFSNFRQLTVEDFISTVVSTGQEIGRVTTPITCKVDSTLGSVIQCLATKRVHRIYIVDENEVTGVITLRDVISCFIFEPPNFFDNYFGFSVKEMLNK >KJB83712 pep chromosome:Graimondii2_0_v6:13:57521433:57523258:-1 gene:B456_013G260500 transcript:KJB83712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVELIFVPQTSKGHLIPILEFAKRLVDHDNRILITIVLVKSPFDSFANAYIESIKASRPDRFKFINVPLIVDHHRQSFEDHVIDLMETHLPLLKNVVTDISSSKSSLNSTTFTRFVFDLLFVPLVDVAHEFNIPSYIFLAANAGFLSLLFHLTTRHSETRDIPEFKRSNLDELISGFINPVPSSVLPSDLFDKDNGYPAYMMIAQKFKAAKGIMVNTFEELEPYAFSNFSNGRNPPVYSVGPVLDINCRPHTESNLAQHKKVMKWLDEQPQSSVIFLCFGSFGRFLAPQVKEIALGLEQSGYRFLWSLCMQSLSTPQPSQNDALGGVRYNEDMFPEGFTERIQGKGMMIYGWAPQVEILAHEAIGAFVSHCGWNSILESLWFGVPMVTWPMYAEQKMNAFKMVKELGLAVELRLDYEQLNIDNVVTANEIEKAVKQVMDDCSGVRQKVKEMAKIARKAIVNGGSSFHSIQKLIDDMIVSV >KJB83713 pep chromosome:Graimondii2_0_v6:13:57519828:57523264:-1 gene:B456_013G260500 transcript:KJB83713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVELIFVPQTSKGHLIPILEFAKRLVDHDNRILITIVLVKSPFDSFANAYIESIKASRPDRFKFINVPLIVDHHRQSFEDHVIDLMETHLPLLKNVVTDISSSKSSLNSTTFTRFVFDLLFVPLVDVAHEFNIPSYIFLAANAGFLSLLFHLTTRHSETRDIPEFKRSNLDELISGFINPVPSSVLPSDLFDKDNGYPAYMMIAQKFKAAKGIMVNTFEELEPYAFSNFSNGRNPPVYSVGPVLDINCRPHTESNLAQHKKVMKWLDEQPQSSVIFLCFGSFGRFLAPQVKEIALGLEQSGYRFLWSLCMQSLSTPQPSQNDALGGVRYNEDMFPEGFTERIQGKGMMIYGWAPQVKILAHEAIGGFVSHCGWNSILESLWFGVPVVTWPMYAEQQLNAFKMVKELGLAIELRLDYEELNVSNVVTANEIKKAVKQVMDDGSEVRKKAKEMAEIARKAIVNGGSSFLSIQRFIEDMIGEN >KJB79334 pep chromosome:Graimondii2_0_v6:13:3879688:3880546:-1 gene:B456_013G044400 transcript:KJB79334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRYVPPLPPPSQQSSPLFKQRSWSPDAERDEAWLRRKEVYGSGLRRSQSFTEDDLDELKGCLDLGFGFEPDSPVISRSSSASSMDSFSDAGSTNSIIDQGDDPEMVKIKLRQWAQVVACSVRQFPRGPK >KJB79333 pep chromosome:Graimondii2_0_v6:13:3877991:3880599:-1 gene:B456_013G044400 transcript:KJB79333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRYVPPLPPPSQQSSPLFKQRSWSPDAERDEAWLRRKEVYGSGLRRSQSFTEDDLDELKGCLDLGFGFEPDSPVLDPKLSHALPALPFYCAVNRQYNGRISRSSSASSMDSFSDAGSTNSIIDQGDDPEMVKIKLRQWAQVVACSVRQFPRGPK >KJB83423 pep chromosome:Graimondii2_0_v6:13:56591326:56591760:1 gene:B456_013G247100 transcript:KJB83423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYWRKNIPVLAKSHRVTFQCYHGTSQVSEELVQIILHLGLEPGAVDVFLEFICYSDGLLPEELLLQVKCPVLIAWGDKDPWESIKLGRAYRDFDAVEDFVVLLMLATALKFALS >KJB80901 pep chromosome:Graimondii2_0_v6:13:30631678:30634875:1 gene:B456_013G120800 transcript:KJB80901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTDGTSYGAYTYDALEREPYWPSQKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCNEFHLVDLRVMENCLKVSKGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARISGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSIDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDKNLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKVTEFRYRS >KJB80900 pep chromosome:Graimondii2_0_v6:13:30631595:30634875:1 gene:B456_013G120800 transcript:KJB80900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTDGTSYGAYTYDALEREPYWPSQKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCNEFHLVDLRVMENCLKVSKGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARISGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSIDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDKNLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQIEKEKAQGIELSVYGSSKVVGTQAPVQLGSLRAADGKE >KJB83014 pep chromosome:Graimondii2_0_v6:13:54491945:54497289:1 gene:B456_013G225200 transcript:KJB83014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHHNTSDGVSQRVNSPRFSGPMTRRAHSFKRNNNGNSQTSTGNNVVGGSSNGSSNGSNGNNLSVHHEIDLQSSSPRSEVGAVGLVSIEGLSQRKGLFLRKPSVGSLVFDFGLKEKKKLGHWMFLVFCGVCLFLGVFKICATGWFGSAIETLASNQDLPDPSTNQLKRTDEGSHDYGYRDGGSDSDRTLMTVASDIAEHSGIWSKPSSENFSQCIDHSKNHKKPDAKTNGYILVNANGGLNQMRFGICDMVAVAKIMKATLVLPSLDHTSYWADESGFKDLFDWQHFIETLKDDVRIVETIPPEYSGIEPFNKTPISWSKVSYYKAEVLPLLKQHKVIYFTHTDSRLANNDIPSSVQKLRCQVSYKALKYSAPIEELGSTLISRMRQNGSPYIALHLRYEKDMLAFTGCSHSLTAEEDDELRRMRYEVSHWKEKEINGTERRLLGGCPLTPRETSLLLRALGFPPSTRIYLVAGEAYGNGSMEPLKEDFPNIFSHSSLATDEELNPFKNHQNMLAGLDYVVALQSDVFVYTYDGNMAKAVQGHRRFENFKKTINPDRMNFVRLVDEYDEGNISWEKFSSKVKKLHEDRNGAPYLREHGEFPKLEESFYANPFPGCICERTEEI >KJB83015 pep chromosome:Graimondii2_0_v6:13:54492079:54496501:1 gene:B456_013G225200 transcript:KJB83015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHHNTSDGVSQRVNSPRFSGPMTRRAHSFKRNNNGNSQTSTGNNVVGGSSNGSSNGSNGNNLSVHHEIDLQSSSPRSEVGAVGLVSIEGLSQRKGLFLRKPSVGSLVFDFGLKEKKKLGHWMFLVFCGVCLFLGVFKICATGWFGSAIETLASNQDLPDPSTNQLKRTDEGSHDYGYRDGGSDSDRTLMTVASDIAEHSGIWSKPSSENFSQCIDHSKNHKKPDAKTNGYILVNANGGLNQMRFGICDMVAVAKIMKATLVLPSLDHTSYWADESGFKDLFDWQHFIETLKDDVRIVETIPPEYSGIEPFNKTPISWSKVSYYKAEVLPLLKQHKVIYFTHTDSRLANNDIPSSVQKLRCQVSYKALKYSAPIEELGSTLISRMRQNGSPYIALHLRYEKDMLAFTGCSHSLTAEEDDELRRMRYEVSHWKEKEINGTERRLLGGCPLTPRETSLLLRALGFPPSTRIYLVAGEAYGNGSMEPLKEDFPNIFSHSSLATDEELNPFKNHQNMLAGLDYVVALQSDVFVYTYDGNMAKAVQGHRRFENFKKTINPDR >KJB83167 pep chromosome:Graimondii2_0_v6:13:55099354:55103399:1 gene:B456_013G232600 transcript:KJB83167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVGVERKQPATAEEMCTAKSGAKQGEGLRQYYLQHIHELQLNLRQKTHNLNRLEAQRNELNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRQDILKIHSRRMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >KJB83166 pep chromosome:Graimondii2_0_v6:13:55099350:55103441:1 gene:B456_013G232600 transcript:KJB83166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVGVERKQPATAEEMCTAKSGAKQGEGLRQYYLQHIHELQLNLRQKTHNLNRLEAQRNELNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRQDILKIHSRRMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >KJB79367 pep chromosome:Graimondii2_0_v6:13:4011739:4015740:-1 gene:B456_013G045800 transcript:KJB79367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKTWLWRKKSSLKRIDEEVQMPDSGRDRIVKNLNEKLASVLLDCHAKEDVVTENVQMIQESNAGREKAEEADVTLLKEGIDEALRQGKMADEKLAHSDAAMKASSEFERATNELQDKLTETNRRVEELIIENSRLSRALVVKEKLIEDQRKHKSRADAEFTALMARLDVIEKENAFLKYEFHVVKKELEIRNEEMEYNRRLADLAHKQHLDSVKRITKLEAECQKLLIVEEENMVLKAIMIHSSNLARSQTSSRPTEVEIPSMELVRSSQISSELSVTSGFDIGSIDGNSSYCSWTNGLVSESEVTVQRRVKNQMQHNVITVPEMRLMDDFEEMEKLALVSGDGYNQVSDHCGFSNTKGMNSRDMVAEGSSDWLQVVLHAIFEHKRVSDRSLDEILEDIEITLSTFNKPACSMHTIESDTLDVNRYIAWKSLNTSPTAGAVCGASSVETLTGTTKKQHCHSNLRKSICKIIELIEGTALTSYNTSSSSRNQTPKQLAGHEEYFVRVFQCQSSELETVLEQFLCTCDDLLNQRADLENFAEELSFALDWIVNNCVTPKEASSAGNEIKRHFGCNIWCSLEEENKRLKSDLKNMEARLESETRKSEALTLQLRESDESIGSLQAELKKLKESNDMMEDQVENQKSINEDLETQITVSKAKLNETFQKCSSLEVELEYKNNCCEELEEACFELQLQLESVAKKETPKYVTHQEGKQSQNGWEITAASVKLAECQETIMNIGKQLKVLASPHDAALLHRVFSNKGGGAAATTVINNRRVNKRFSLRDQMLADGGAKEEGLQSPNIQGTLYIHEVGNSSFPQPNNCNNMQASGLLLKASEAYLGSKNESGNAGIMALAIVPSKKQGFGFLRRLLFGKKKGHNKKSCHQETEQ >KJB78536 pep chromosome:Graimondii2_0_v6:13:386876:389017:1 gene:B456_013G005300 transcript:KJB78536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPKSLTNPTFVVVFMVIFLCYTLVLAQCEAESNNGCHDKEEAIKLKIIAFVANLLASMTGVSLPLFSRRMPSLGPERDLFTIVKAFALGVILATGYMHVLPDSFDNLMSDCLPENPWRKFPFTTFVAMLSAIVTLMVDLFAMSVYRKHHAKNGDVQIENHEHVHHGVMNEKPQQLLRHQVIAQVLELGIIVHSVVIGLAMGASENPCTIRSLIAALCFHQILIHQIPTQITKNLDCSRCEPPAEYKFQLKAIMVLFFSATTPLGIVLGIGLSKVYSETSPTSLIVVGLLNASSAGLLNYTALVDLLAADFLGPKLQTNMKLQIWSYVAVLLGAGFMSLMAKWA >KJB81856 pep chromosome:Graimondii2_0_v6:13:44860507:44865173:-1 gene:B456_013G165900 transcript:KJB81856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKNQKDHWAFLEEIEAPMWVDLISETNLSSQDIDDKWFQTSHLFHQCSSSQLKSAFLCSGEEGVTLELDLVGAYSPTLPQSVSRSRGKDFRSKKWKGNCCDVSLNKIESMKVLKGKSLVYGEGIKPELSFINSKGTSRSKSNMVSEITENAKGKNVKPVSNRGGPERSLSPVVDKSGETNGRSTVTSESIQQQRQQQQQQRRQKFFEVSSRGFGQTSELLTSVRSSLRKSCITRPASRVEINADRSHRMESRDSRSSSGKSSVGSSSYSGYEAKRSTVSWIKRKEKTPDSRNVARLTEAAKTKVKPSNMCKKSNVRGKEGERNSRTGGLVTVPKKTWEEAVKSKANSQTHRSKLSLLHKVNEQKPLADAKKASEKVGVGNKVRDAGKENNAGEIPLSQKCSGKGKAAEGMVAGRKGATQSTSAKGGKTGMGRVVNQREGKNPTNSIPKVHFR >KJB81855 pep chromosome:Graimondii2_0_v6:13:44862153:44864967:-1 gene:B456_013G165900 transcript:KJB81855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKNQKDHWAFLEEIEAPMWVDLISETNLSSQDIDDKWFQTSHLFHQCSSSQLKSAFLCSGEEGVTLELDLVGAYSPTLPQSVSRSRGKDFRSKKWKGNCCDVSLNKIESMKVLKGKSLVYGEGIKPELSFINSKGTSRSKSNMVSEITENAKGKNVKPVSNRGGPERSLSPVVDKSGETNGRSTVTSESIQQQRQQQQQQRRQKFFEVSSRGFGQTSELLTSVRSSLRKSCITRPASRVEINADRSHRMESRDSRSSSGKSSVGSSSYSGYEAKRSTVSWIKRKEKTPDSRNVARLTEAAKTKVKPSNMCKKSNVRGKEGERNSRTGGLVTVPKKTWEEAVKSKANSQTHRSKLSLLHKVNEQKPLADAKKASEKVGVGNKVRDAGKENNAGEIPLSQKCSGKGKAAEGMVAGRKGATQSTSAKGGKTGMVVPKGRVVNQREGKNPTNSIPKVHFR >KJB80433 pep chromosome:Graimondii2_0_v6:13:17057329:17058668:-1 gene:B456_013G097200 transcript:KJB80433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAQSCPLPTVSLSKYLEEEGQNKNAESQLLKSSLPAENDCHTQAEDPVSDVPKYLLELGLTPECKQLISSLPAEKGWVANLLHQYQGFWHTTRQLQAVLTCQNHFQAQETDILLVTTPKSGTTWLKAIVFALMNRVKYPNTDNNHPLLSENPHILVPFLEYGLYIDSQVPNFTTFTSPRLFATHLPLVSLPESAKNSSCKLVYLCRNPKDTFVSLWHFTNKLRTKDMGSNSLEETFDKFTRGVSLYGPFWDHVLGYWKESLENPERVLFLKYEEMKEQPKLQLMKLAQFLGCPFSNEEETRGAVDGIQKLCSFENLSNLEVNKTGKLASGEEYKAFFRRGEVGDAKNHLTPQMIEKLDQITEQKLHGYGLKF >KJB78389 pep chromosome:Graimondii2_0_v6:13:47876936:47877907:1 gene:B456_013G1821001 transcript:KJB78389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPNAGEDVHHLARSALSFFKISHFPSPKTDIMHLLDLVHSCQHPSHLGIKKHEEFKAKEAAAAVVQASTSVVVAAAKPNSQRSWKFIRSATELEGAGISFFGDHIEKMKDQNQGIEVMFDIMFTKDTKVLKIPTLQVSEWTESLFRNYMAYEQFFPRGKPTYFVDYVVFMDNLISTGKDVQLLCESGVIDNWLGDDEAVALMFNKLQDSIYMMSEDFYYADIFGRVNEHRQRKWNKWKAALKKNDFNTPWSLISFLAALVLLLLTLLQTIFSVLSYVKQ >KJB78388 pep chromosome:Graimondii2_0_v6:13:47876936:47878424:1 gene:B456_013G1821001 transcript:KJB78388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIEGIYPVEDIFQVGRIQTDIRHDLLLLENQLPFFVLFELYRMMVPNAGEDVHHLARSALSFFKISHFPSPKTDIMHLLDLVHSCQHPSHLGIKKHEEFKAKEAAAAVVQASTSVVVAAAKPNSQRSWKFIRSATELEGAGISFFGDHIEKMKDQNQGIEVMFDIMFTKDTKVLKIPTLQVSEWTESLFRNYMAYEQFFPRGKPTYFVDYVVFMDNLISTGKDVQLLCESGVIDNWLGDDEAVALMFNKLQDSIYMMSEDFYYADIFGRVNEHRQRKWNKWKAALKKNDFNTPWSLISFLAALVLLLLTLLQTIFSVLSYVKQ >KJB80042 pep chromosome:Graimondii2_0_v6:13:10223521:10223572:-1 gene:B456_013G0781001 transcript:KJB80042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNWEKGIIISFLSSG >KJB82435 pep chromosome:Graimondii2_0_v6:13:49834856:49838973:-1 gene:B456_013G195400 transcript:KJB82435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNLPSLVRLSIGGKKFCTTIDTLTRREPDSMLAAVFSSRHTVYQDSEKGYVFVDRDGKHFRHILNWLRDGVVPTLTDSEYSELIREAEYYQLLISHIGLIEGISSVLNKRKEDEKSPAELTHTDVIKCIQSERVKFRGVNLSGLDLSKLDLSFVDFSFACLKNVLFSRANLRCAKFRDVDAVGSNFHNATLRKEDASLIDCSFCGADLRCAHLHADLTDANLEGANLEGANLKGAKLSNANLKGANLRRTYLHHVNLCDTHLEGAKLDGANLLGAIR >KJB82434 pep chromosome:Graimondii2_0_v6:13:49835991:49838973:-1 gene:B456_013G195400 transcript:KJB82434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNLPSLVRLSIGGKKFCTTIDTLTRREPDSMLAAVFSSRHTVYQDSEKGYVFVDRDGKHFRHILNWLRDGVVPTLTDSEYSELIREAEYYQLLISHIGLIEGISSVLNKRKEDEKSPAELTHTDVIKCIQSERVKFRGVNLSGLDLSKLDLSFVDFSFACLKNVLFSRANLRCAKFRDVDAVGSNFHNATLRKEDASLIDCSFCGADLRCAHLQWIH >KJB82433 pep chromosome:Graimondii2_0_v6:13:49835991:49838973:-1 gene:B456_013G195400 transcript:KJB82433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNLPSLVRLSIGGKKFCTTIDTLTRREPDSMLAAVFSSRHTVYQDSEKGYVFVDRDGKHFRHILNWLRDGVVPTLTDSEYSELIREAEYYQLLISHIGLIEGISSVLNKRKEDEKSPAELTHTDVIKCIQSERVKFRGVNLSGLDLSKLDLSFVDFSFACLKNVLFSRANLRCAKFRDVDAVGSNFHNATLRKEDASLIDCSFCGADLRCAHLQWIH >KJB79793 pep chromosome:Graimondii2_0_v6:13:7684360:7685787:-1 gene:B456_013G067200 transcript:KJB79793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVAILVTILQLALCSIAIATNSFPDFQLLNVNETLTGTKMFMPLGLSETDDHRGKWNLKLVHRDKLLSPNDSLSTLHNHGRRFHARMQRDVKRVASLLRRLPGKGTHDGDAAYQVNDFGSDVVSGMDQGSGEYFVRIGVGSPPRSQYMVIDSGSDIVWVQCQPCNQCYRQSDPVFDPANSASFSGVSCGSSVCDRIDNSGCNAGRYCRYEVMYGDGSYTKGTLALETLTFGRTVVKNVAIGCGHVNRGMFIGAAGLLGLGGGSMSLVGQLGGQTGGAFSYCLVSRGTDASGSLVFGRGTMPVGATWVPLLRNPRAPSFYYVGLSGLGVGGVRVPISEDVFRLTELGYGGVVMDTGTAVTRLPSSAYNPFRDAFITQTANLPRASKVSIFDTCYNLSGFVSVRVPTVSFYFSGGPVLTLPARNFLIPVDNMGTFCFAFAPSGSGLSIIGNIQQEGIQISFDGANGFVGFGPNVC >KJB81867 pep chromosome:Graimondii2_0_v6:13:44779927:44780585:-1 gene:B456_013G165500 transcript:KJB81867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTYCSAAHNRASAIPNDKTGIAVVEGCVKRSIEVHLDKRFLRGLPYWSNCFHLGKYFISQLLHLPYNAEDNRAYILDWLSFSFHYELLSGLPECPSHDCSNLTKIMPLFRKTTLSQVRKSIFSFKVDQSTSIDRQTFFAGPHIRKRLAVVGMYSCVNHQMAILIFGGRFSSQICCKILYDWYL >KJB82841 pep chromosome:Graimondii2_0_v6:13:53641796:53653706:1 gene:B456_013G216500 transcript:KJB82841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLSEYDMTIMDYPCQYEGCPLIAMEVLHHFLRSCESWLSLDQNNLLLMHCERGGWPVLAFMLAALLIYRKQYSGEQKTLDMIYKQAPSERLQLLSSLNPVPSQLRYLQYVSRRNVAAAWPPLDRALTLDCVILRQIPNVDGEGGCRPVFRIFGQDPFLVADKVPKLLYSTPKRSKYVRYYKQKECALVKIDINCHVQGDIVVECVNLSEKEREKMIFRVVFNSAFIRSNILMLNRDEIDVLWDAKDQFPKEFRAEILFSEMDAAASITSMDNFCFEEKEGLPIEAFAKVQEMFSNVDWLDPRSDAAYNMLQQMGASSQEKSDSERNSSVDSPRFGRLSPRKLSDEKKLSSLPGSPRSPTTMGLKTLVSAPSEKSSLDSEAHKEAKPQDSQIASSSQPDAPQSAEIAKDQPSEVSTSMSSPASAAASTVTAPLALAGKEDQVIPTKSPLSKTSPAKEDPEVRVISPATSPPPTLPVKENQTINDITTEASASLEESLAQRATTPAARTPSPASPLSKTEVGKDGPPAVPPPSPVIAESPVAAPSPPQVSAFKEDVASTAAPPSHPSPPPHSKQEASPAASSLVPPTPPPPPPTPTDVSSANQAAPPLSPSPSPSTGSSSAPPPPPPPPTTGSSSAPPPPPPPLTTGSSSAPPPPPAPFGKKTGGGPSAPAPPPLLSSANSKNRLLSRSSNSKNDKKLKPLHWLKLSRAVQGSLWAEAQKTGEASKTPEIDISELENLFSAAAPNTDRGNKQGSRTAKGPKTEIVQLIDHRRAYNCEIMLSKVKVPLPELMSSVLALEESALDVDQVDNLIKFCPTKEEMELLKGYTGDKDKLGKCEQFFLELMKVPRVESKLRVFSFKIQFRSQVSDLRHSLNIVNSTAEEIRNSVKLKRIMQTILSLGNALNQGTARGSAIGFKLDSLLKLTDTRARNNRMTLMHYLCKVLADKLPVVLDFSKDVSSLEPAAKIQLKFLAEEMQAISKGLEKVVQELSSSENDGPVSDSFREKLKEFLCFAEAEVRSLASLYSGVGRNVDALILYFGEDPARCSFEQVTSTLLNFVRMFNKAHDENCKQLEQEMKKSAENDKSKMQDESKNILQTSIKSNNVK >KJB82843 pep chromosome:Graimondii2_0_v6:13:53641796:53653893:1 gene:B456_013G216500 transcript:KJB82843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRKLFYKKPPDGLLEICERVHVFDCCFTIDAWEEENYKVHVNGIVNNLHGHFPDASFLVFNFREGESKSYMAELLSEYDMTIMDYPCQYEGCPLIAMEVLHHFLRSCESWLSLDQNNLLLMHCERGGWPVLAFMLAALLIYRKQYSGEQKTLDMIYKQAPSERLQLLSSLNPVPSQLRYLQYVSRRNVAAAWPPLDRALTLDCVILRQIPNVDGEGGCRPVFRIFGQDPFLVADKVPKLLYSTPKRSKYVRYYKQKECALVKIDINCHVQGDIVVECVNLSEKEREKMIFRVVFNSAFIRSNILMLNRDEIDVLWDAKDQFPKEFRAEILFSEMDAAASITSMDNFCFEEKEGLPIEAFAKVQEMFSNVDWLDPRSDAAYNMLQQMGASSQEKSDSERNSSVDSPRFGRLSPRKLSDEKKLSSLPGSPRSPTTMGLKTLVSAPSEKSSLDSEAHKEAKPQDSQIASSSQPDAPQSAEIAKDQPSEVSTSMSSPASAAASTVTAPLALAGKEDQVIPTKSPLSKTSPAKEDPEVRVISPATSPPPTLPVKENQTINDITTEASASLEESLAQRATTPAARTPSPASPLSKTEVGKDGPPAVPPPSPVIAESPVAAPSPPQVSAFKEDVASTAAPPSHPSPPPHSKQEASPAASSLVPPTPPPPPPTPTDVSSANQAAPPLSPSPSPSTGSSSAPPPPPPPPTTGSSSAPPPPPPPLTTGSSSAPPPPPAPFGKKTGGGPSAPAPPPLLSSANSKNRLLSRSSNSKNDKKLKPLHWLKLSRAVQGSLWAEAQKTGEASKTPEIDISELENLFSAAAPNTDRGNKQGSRTAKGPKTEIVQLIDHRRAYNCEIMLSKVKVPLPELMSSVLALEESALDVDQVDNLIKFCPTKEEMELLKGYTGDKDKLGKCEQFFLELMKVPRVESKLRVFSFKIQFRSQVSDLRHSLNIVNSTAEEIRNSVKLKRIMQTILSLGNALNQGTARGSAIGFKLDSLLKLTDTRARNNRMTLMHYLCKVLADKLPVVLDFSKDVSSLEPAAKIQLKFLAEEMQAISKGLEKVVQELSSSENDGPVSDSFREKLKEFLCFAEAEVRSLASLYSGVGRNVDALILYFGEDPARCSFEQVTSTLLNFVRMFNKAHDENCKQLEQEMKKSAENDKSKMQDESKNILQTSIKSNNVK >KJB82842 pep chromosome:Graimondii2_0_v6:13:53641796:53653893:1 gene:B456_013G216500 transcript:KJB82842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRKLFYKKPPDGLLEICERVHVFDCCFTIDAWEEENYKVHVNGIVNNLHGHFPDASFLVFNFREGESKSYMAELLSEYDMTIMDYPCQYEGCPLIAMEVLHHFLRSCESWLSLDQNNLLLMHCERGGWPVLAFMLAALLIYRKQYSGEQKTLDMIYKQAPSERLQLLSSLNPVPSQLRYLQYVSRRNVAAAWPPLDRALTLDCVILRQIPNVDGEGGCRPVFRIFGQDPFLVADKVPKLLYSTPKRSKYVRYYKQKECALVKIDINCHVQGDIVVECVNLSEKEREKMIFRVVFNSAFIRSNILMLNRDEIDVLWDAKDQFPKEFRAEILFSEMDAAASITSMDNFCFEEKEGLPIEAFAKVQEMFSNVDWLDPRSDAAYNMLQQMGASSQEKSDSERNSSVDSPRFGRLSPRKLSDEKKLSSLPGSPRSPTTMGLKTLVSAPSEKSSLDSEAHKEAKPQDSQIASSSQPDAPQSAEIAKDQPSEVSTSMSSPASAAASTVTAPLALAGKEDQVIPTKSPLSKTSPAKEDPEVRVISPATSPPPTLPVKENQTINDITTEASASLEESLAQRATTPAARTPSPASPLSKTEVGKDGPPAVPPPSPVIAESPVAAPSPPQVSAFKEDVASTAAPPSHPSPPPHSKQEASPAASSLVPPTPPPPPPTPTDVSSANQAAPPLSPSPSPSTGSSSAPPPPPPPPTTGSSSAPPPPPPPLTTGSSSAPPPPPAPFGKKTGGGPSAPAPPPLLSSANSKNRLLSRSSNSKNDKKLKPLHWLKLSRAVQGSLWAEAQKTGEASKTPEIDISELENLFSAAAPNTDRGNKQGSRTAKGPKTEIVQLIDHRRAYNCEIMLSKVKVPLPELMSSVLALEESALDVDQVDNLIKFCPTKEEMELLKGYTGDKDKLGKCEQFFLELMKVPRVESKLRVFSFKIQFRSQVSDLRHSLNIVNSTAEEIRNSVKLKRIMQTILSLGNALNQGTARGSAIGFKLDSLLKLTDTRARNNRMTLMHYLCKVLADKLPVVLDFSKDVSSLEPAAKIQLKFLAEEMQAISKGLEKVVQELSSSENDGPVSDSFREKLKEFLCFAEAEVRSLASLYSGVGRNVDALILYFGEDPARCSFEQVTSTLLNFVRMFNKAHDENCKQLEQEMKKSAENDKSKMQDESKNILQTSIKSNNVK >KJB82545 pep chromosome:Graimondii2_0_v6:13:51246099:51247368:-1 gene:B456_013G201700 transcript:KJB82545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRGRTASLNLFNRLLHQSFRAPNRNLSSVAADVHNQSTIENMKRDLLNLDINSQIGSCMPIASMRIGTFIHNIEVNPGQGGKLVRAAGTSAKILKEPKSRYCLIRLPSGAEKLIDTRCRATVGTVSNPSHGAKKLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSYGKGSRTPWGKPCKCGFKTAKNRRRN >KJB82785 pep chromosome:Graimondii2_0_v6:13:52693034:52694860:-1 gene:B456_013G212800 transcript:KJB82785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEALVSDVTEEEIHAALLKINPNKAPGLDGMTSKMVQISEEIAQVNQMELRSGKKLPPVPNYGKNFVQELSQSKNRSSPNPESPVQDHGLSQNQNPGGIPIDRAANGQHVRYDILAHLKKIPALLSVYDALKMSAELRMSLVYALTNPEEFSNEVNQVKMRSSEPNYAECLALITFTDDYLQPGLIKHNRPLFISGYLNGLGITRIMIDGGLAVNLLPLRMLKRLGISIHRLCPSNLLIQGFNQKKTSRPVDPEQIGVDLVTFAVDFHPDVDPTQLTGDSRSTRRFQGEQTFIDQRLGANVGSAQGPTYLV >KJB79892 pep chromosome:Graimondii2_0_v6:13:8189231:8192852:-1 gene:B456_013G070700 transcript:KJB79892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAATSRATRRMGAEDEKLVFETTEGIEPILSFDQMGLKDDLLRGIYNYGFEKPSAIQQRAVMPIINGRDVIAQAQSGTGKTSMIALTVCQVVDTASREVQALILSPTRELASQTEKVIRTIGDFMNIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRHLPPELQVCLISATLPHEILEMTSKFMTDPIRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRSNNFTVSSMHGDMPQKERDAIMAEFRDGATRVLITTDVWARGLDVQQASVSGDQL >KJB79893 pep chromosome:Graimondii2_0_v6:13:8189231:8192867:-1 gene:B456_013G070700 transcript:KJB79893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAATSRATRRMGAEDEKLVFETTEGIEPILSFDQMGLKDDLLRGIYNYGFEKPSAIQQRAVMPIINGRDVIAQAQSGTGKTSMIALTVCQVVDTASREVQALILSPTRELASQTEKVIRTIGDFMNIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRHLPPELQVCLISATLPHEILEMTSKFMTDPIRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRSNNFTVSSMHGDMPQKERDAIMAEFRDGATRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >KJB78851 pep chromosome:Graimondii2_0_v6:13:1674578:1678598:-1 gene:B456_013G023000 transcript:KJB78851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAAHLSPLSSQFYNIGNSEAQMPYLDVLMQMESKGNVNGDAFSMEPKIFYNDNQIRDGAFAIPYQSHFNSNFLQDYEYGSSNFDNNFQQSMSLSSKLAIPYLESESIQNGRKREIGFNNDPNTALDERNKNKRKKLLTSFEQSHRSSQERTEIKDFRLNMPVRRSQKLSDKITALQKLVSPYGKTDTASVLQEASLYIKLLQEQIQMLSSSYKSLRAIHPQEIGKKQEDLKSRGLCLVPISFTQRVTKEEQSSLENLNNM >KJB78852 pep chromosome:Graimondii2_0_v6:13:1674768:1678566:-1 gene:B456_013G023000 transcript:KJB78852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLDVLMQMESKGNVNGDAFSMEPKIFYNDNQIRDGAFAIPYQSHFNSNFLQDYEYGSSNFDNNFQQSMSLSSKLAIPYLESESIQNGRKREIGFNNDPNTALDERNKNKRKKLLTSFEQSHRSSQERTEIKDFRLNMPVRRSQKLSDKITALQKLVSPYGKTDTASVLQEASLYIKLLQEQIQMLSSSYKSLRAIHPQEIGKKQEDLKSRGLCLVPISFTQRVTKEEQSSLENLNNM >KJB82587 pep chromosome:Graimondii2_0_v6:13:51415900:51416853:1 gene:B456_013G203100 transcript:KJB82587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRFLLVFLSAVLAGYVTWRTAHSSSNIDDDGGGNGNVVSEDSGKIVAIEKQEISSKRKVQNAFWVFVDMASGKYLWRNFKNSE >KJB80902 pep chromosome:Graimondii2_0_v6:13:30635705:30639783:-1 gene:B456_013G120900 transcript:KJB80902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESGLTVLDGTHLRSFNPSLPELNGSVSGAQLLEIADSKASTSLFGLSLPQNLKASALSRVIAGPGDHADVTFRQTELDKDKASKFLSDYISAIADELKDDPLVVSILDGNTLKMFLEDEDDYAMLAENLFTDMDIEDKGKICKNELRNALVHMGVEMGIPPFSEFPLLNDILKKHGAEGEEELGQAQFAELLQPILQETADALSENHVVIIHNVKVVNGSKLRKLLADEKQFDNVVERVLQETKSGKDGLQKTTELIRSFFEKHGNDFGLPPSESNDAVILLYDAVFSEVENEESVVKADNEFREYMEDVLKKFAEQLEDNPIYCDLDD >KJB80903 pep chromosome:Graimondii2_0_v6:13:30638308:30639692:-1 gene:B456_013G120900 transcript:KJB80903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESGLTVLDGTHLRSFNPSLPELNGSVSGAQLLEIADSKASTSLFGLSLPQNLKASALSRVIAGPGDHADVTFRQTELDKDKASKFLSDYISAIADELKDDPLVVSILDGNTLKMFLEDEDDYAMLAENLFTDMDIEDKGKICKNELRNALVHMGVEMGIPPFSDLLSRDLYGLRRSVHSKLI >KJB83907 pep chromosome:Graimondii2_0_v6:13:58144777:58149924:-1 gene:B456_013G270300 transcript:KJB83907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGGANYTEIDEDLHSRQLAVYGRETMRRLFASNILISGMQGLGAEIAKNLILAGVKSVTLHDEGAVELWDLSSNFVFSETDVGKNRALASVQKLQELNNAVIISTLTTKLTKEQLSNFQAVVFTDISFEKAIEFDDYCHNYNPPISFIKAEVRGLFGSIFCDFGPEFTVVDVDGEDPHTGIIASISNDNPAFVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKSARPYSFTLEEDTTKFGTYIKGGIVTQVKQPKVLNFKPLREALKDPGEFLLSDFSKFDRPPLLHIAFQALDKFVSDLGRFPVAGSEEDANKLISIAGNINERVGDGKVEDINPKLLRNFAFGARAVLNPMAAMFGGVVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLDPSDLKPLNTRYDAQISVFGSKLQKKLEDAKVFIVGSGALGCEFLKNIALMGVSCGDQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINSRLNIEALQNRVGPETENVFDDTFWENLTVIINALDNVNARLYIDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPVEYKTAQRNAGDAQARDNLEQVLECLEKEKCETFQDCIAWARLRFEAYFVNRVKQLIYTFPEDAATSTGAPFWSAPKRFPQPLQFSVADPSHLQFVMAASILRAETFGIPIPDWIEHPKMLAEAIEKLIVPDFEPKKDVKIVTDEKATTLSVASIDDAAVINELIFKLELCTKNLPLGFKMKPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGGHKQEDYRNTFANLALPLFSMAEPVPPKTIKHGDMSWTVWDRWILKGNPSLRELIQWLKDKGLNAYSISYGSCLLYNSMFLRHRERMDKKMVDLALEVAKAELGPNRKHLDVVVACEDDEDNDIDIPQISIYFA >KJB78611 pep chromosome:Graimondii2_0_v6:13:1166454:1167825:1 gene:B456_013G016900 transcript:KJB78611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGHEPRSTSSCAACKLLKRRCSPNCIFAPYFRSGEPKKFANVHKVFGASNVSKILVEVPEEQREDTVNSLAYEAEARLRDPVYGCIGAIALLQRKMIQLQHDLALARACLARYVATPSVIVSSDDHHRVITGPFDDFPVDCGVSFDSFNQNISYELNQEANMYDFSQIPYV >KJB82339 pep chromosome:Graimondii2_0_v6:13:49343216:49343691:-1 gene:B456_013G192200 transcript:KJB82339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQDVIRFTAMAVEAARMREETRRMTELLRSLQAALLEKAKEYEMLKKKRQRMVAKEAVKLKMVDDFMLFLDAIDESDGTNALNFDEKAMMNSILNLMKGGDNGGFAADDGKKEA >KJB80877 pep chromosome:Graimondii2_0_v6:13:29733292:29737993:-1 gene:B456_013G119300 transcript:KJB80877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMEYVYISGDALGSEPTLPYLSPELRGEKLLVGANFASAGVGILNDTGIQFINIIRMFRQLQYFQEYQTRLAELVGNDEAQRIVSDGLVLITVGGNDFVNNYFLIPFSARSRQFLLPDYVTYLISEYKKILMRLHDLGARRVLVTGTGPLGCVPAERAMRSPNGECAPELQQAASLFNPQLVQMINGLNSEYGANIFIAANTQLQTSDFITNPGAYGFTTSKIACCGQGPYNGIGLCTAFSNLCSNRDEYVFWDPFHPSERANGIIVNNIVSGSTNYVSPMNLSTLLALNSDTRT >KJB80876 pep chromosome:Graimondii2_0_v6:13:29733280:29738032:-1 gene:B456_013G119300 transcript:KJB80876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSMVLVSLMALGLLMAFSTTTQVEAAARAFFVFGDSLVDNGNNNYLATTARADSPPYGIDTPTRRPTGRFSNGKNIPDFISDALGSEPTLPYLSPELRGEKLLVGANFASAGVGILNDTGIQFINIIRMFRQLQYFQEYQTRLAELVGNDEAQRIVSDGLVLITVGGNDFVNNYFLIPFSARSRQFLLPDYVTYLISEYKKILMRLHDLGARRVLVTGTGPLGCVPAERAMRSPNGECAPELQQAASLFNPQLVQMINGLNSEYGANIFIAANTQLQTSDFITNPGAYGFTTSKIACCGQGPYNGIGLCTAFSNLCSNRDEYVFWDPFHPSERANGIIVNNIVSGSTNYVSPMNLSTLLALNSDTRT >KJB81458 pep chromosome:Graimondii2_0_v6:13:40321736:40329082:1 gene:B456_013G146700 transcript:KJB81458 gene_biotype:protein_coding transcript_biotype:protein_coding description:HUA1 [Source:Projected from Arabidopsis thaliana (AT3G12680) UniProtKB/TrEMBL;Acc:A0A178VA41] MANQFYGYSSPSPSASTLHRYLDSDAASQSQSHLLQQLHPSAAAAAAAAASLIKRPSQVLYHQNVLDAQNTLGQIEAWYSASSLVKRPRLESASNLPIYPQRPGEKDCAHYMLTRTCKFGDSCKFDHPIWVPEGGIPYWKEVPPVPAGESLPERPGEPDCPYFLKTQRCKFGSKCKFNHPKDKLDAPGDSENLTVSGLPERPSEPPCAFYMKTGTCKFGSTCKFNHPKDIQIQLSLQNNVNCEQSDIAMKIGGISGDIKPALYHNSKGLPVRPDEVDCPFYLKTGSCKYGSTCRYNHPDRNAFVPPVALGHSLIASASNLNIGVVNPASSLYQTIDPRLSQTTLLAQQTMPTLVGTQPVYPQRPGQTECDVRINPLCFLNF >KJB81457 pep chromosome:Graimondii2_0_v6:13:40321499:40330142:1 gene:B456_013G146700 transcript:KJB81457 gene_biotype:protein_coding transcript_biotype:protein_coding description:HUA1 [Source:Projected from Arabidopsis thaliana (AT3G12680) UniProtKB/TrEMBL;Acc:A0A178VA41] MANQFYGYSSPSPSASTLHRYLDSDAASQSQSHLLQQLHPSAAAAAAAAASLIKRPSQVLYHQNVLDAQNTLGQIEAWYSASSLVKRPRLESASNLPIYPQRPGEKDCAHYMLTRTCKFGDSCKFDHPIWVPEGGIPYWKEVPPVPAGESLPERPGEPDCPYFLKTQRCKFGSKCKFNHPKDKLDAPGDSENLTVSGLPERPSEPPCAFYMKTGTCKFGSTCKFNHPKDIQIQLSLQNNVNCEQSDIAMKIGGISGDIKPALYHNSKGLPVRPDEVDCPFYLKTGSCKYGSTCRYNHPDRNAFVPPVALGHSLIASASNLNIGVVNPASSLYQTIDPRLSQTTLLAQQTMPTLVGTQPVYPQRPGQTECDYYMKTGECKFGDRCKFHHPIDRLTTNEASQQAIKLTLAGLPRREGSVHCPYYMKTGTCKYGATCKFDHPPPGEVMSVTGVQGTSTTMSG >KJB81460 pep chromosome:Graimondii2_0_v6:13:40322580:40329956:1 gene:B456_013G146700 transcript:KJB81460 gene_biotype:protein_coding transcript_biotype:protein_coding description:HUA1 [Source:Projected from Arabidopsis thaliana (AT3G12680) UniProtKB/TrEMBL;Acc:A0A178VA41] MLTRTCKFGDSCKFDHPIWVPEGGIPYWKEVPPVPAGESLPERPGEPDCPYFLKTQRCKFGSKCKFNHPKDKLDAPGDSENLTVSGLPERPSEPPCAFYMKTGTCKFGSTCKFNHPKDIQIQLSLQNNVNCEQSDIAMKIGGISGDIKPALYHNSKGLPVRPDEVDCPFYLKTGSCKYGSTCRYNHPDRNAFVPPVALGHSLIASASNLNIGVVNPASSLYQTIDPRLSQTTLLAQQTMPTLVGTQPVYPQRPGQTECDYYMKTGECKFGDRCKFHHPIDRLTTNEASQQAIKLTLAGLPRREGSVHCPYYMKTGTCKYGATCKFDHPPPGEVMSVTGVQGTSTTMSG >KJB81459 pep chromosome:Graimondii2_0_v6:13:40321657:40329956:1 gene:B456_013G146700 transcript:KJB81459 gene_biotype:protein_coding transcript_biotype:protein_coding description:HUA1 [Source:Projected from Arabidopsis thaliana (AT3G12680) UniProtKB/TrEMBL;Acc:A0A178VA41] MANQFYGYSSPSPSASTLHRYLDSDAASQSQSHLLQQLHPSAAAAAAAAASLIKRPSQVLYHQNVLDAQNTLGQIEAWYSASSLVKRPRLESASNLPIYPQRPGEKDCAHYMLTRTCKFGDSCKFDHPIWVPEGGIPYWKEVPPVPAGESLPERPGEPDCPYFLKTQRCKFGSKCKFNHPKDKLDAPGDSENLTVSGLPERPSEPPCAFYMKTGTCKFGSTCKFNHPKDIQIQLSLQNNVNCEQSDIAMKIGGISGDIKPALYHNSKGLPDEVDCPFYLKTGSCKYGSTCRYNHPDRNAFVPPVALGHSLIASASNLNIGVVNPASSLYQTIDPRLSQTTLLAQQTMPTLVGTQPVYPQRPGQTECDYYMKTGECKFGDRCKFHHPIDRLTTNEASQQAIKLTLAGLPRREGSVHCPYYMKTGTCKYGATCKFDHPPPGEVMSVTGVQGTSTTMSG >KJB80949 pep chromosome:Graimondii2_0_v6:13:31482142:31484805:1 gene:B456_013G122900 transcript:KJB80949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHHHHHQGKNIQACLRTAIPDRHLLLQGGNGTGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTVMKIMGIPGLTLYHLKSHLQKYRLSKNPHGQANNGSNKIGAVAMPADKMSEANGIQMNNSTVGPQTNRGLQIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQESLGRQNPGSMGLEAAQLQLSELVSKVSNQCLDSTLMDLKELQGLCHQKTKTNSIDSCLTSIEGSQKDQEIHNNGICLRPYSLVAEDPWLPQTGLKENKMVPSSTGKIADRSSNGSDLSITVGLQGDKGKGFNSNSSRFSERKFNGRNEEDGLHFFATKLDLNVDEENDVASRCKQFDLNGLSWG >KJB78891 pep chromosome:Graimondii2_0_v6:13:2451291:2453917:1 gene:B456_013G032300 transcript:KJB78891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTICDVCDSAAAIIFCAADEAALCRSCDEKVHMCNKLASRHVRVGLADPSNVPRCDICENAPAFFYCEVDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKPGRLEEPGLQPLSPNEVRKDLNQQSKLAVRENRQNHGVSHIPLHDDNAKGDGEVGNELIDLNARPQQVQGQASINQEQAMDVSSGNNHDTTSGIPDGSFKQEPEK >KJB78889 pep chromosome:Graimondii2_0_v6:13:2451292:2453917:1 gene:B456_013G032300 transcript:KJB78889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNKLASRHVRVGLADPSNVPRCDICENAPAFFYCEVDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKPGRLEEPGLQPLSPNEVRKDLNQQSKLAVRENRQNHGVSHIPLHDDNAKGDGEVGNELIDLNARPQQVQGQASINQEQAMDVSSGNNHDTTSGIPDGSFKQEPEK >KJB78890 pep chromosome:Graimondii2_0_v6:13:2451291:2453917:1 gene:B456_013G032300 transcript:KJB78890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTICDVCDSAAAIIFCAADEAALCRSCDEKFPGDKPGRLEEPGLQPLSPNEVRKDLNQQSKLAVRENRQNHGVSHIPLHDDNAKGDGEVGNELIDLNARPQQVQGQASINQEQAMDVSSGNNHDTTSGIPDGSFKQEPEK >KJB78892 pep chromosome:Graimondii2_0_v6:13:2451989:2453917:1 gene:B456_013G032300 transcript:KJB78892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVHVGGKRTHGRYLLLRQRVEFPGDKPGRLEEPGLQPLSPNEVRKDLNQQSKLAVRENRQNHGVSHIPLHDDNAKGDGEVGNELIDLNARPQQVQGQASINQEQAMDVSSGNNHDTTSGIPDGSFKQEPEK >KJB82650 pep chromosome:Graimondii2_0_v6:13:51776555:51780453:-1 gene:B456_013G206900 transcript:KJB82650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTMANHKRFPNFVSLILLSLVATASAEVFFEERFEDGWESRWVKSDWKKDENMAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPEVNNKGKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNGTNHLIKKEVPCETDQLTHVYTFILRPDATYSILIDNVEKQTGSLYTDWDLLPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTPSTIPNPEYKGPWKPKKLAEETWGKQKDAEKASFEEAEKKREEEESKDDPVDSDAEDEDDADDTEGHESDSDTKSDDEDKEDAHDEL >KJB82649 pep chromosome:Graimondii2_0_v6:13:51776543:51780555:-1 gene:B456_013G206900 transcript:KJB82649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTMANHKRFPNFVSLILLSLVATASAEVFFEERFEDGWESRWVKSDWKKDENMAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPEVNNKGKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNGTNHLIKKEVPCETDQLTHVYTFILRPDATYSILIDNVEKQTGSLYTDWDLLPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTPSTIPNPEYKGPWKPKKIKNPNYKGKWKAPMIDNPDFKDDPDLYVFPTLKYVGIELWQVKSGTMFDNILVADDVEYAKKLAEETWGKQKDAEKASFEEAEKKREEEESKDDPVDSDAEDEDDADDTEGHESDSDTKSDDEDKEDAHDEL >KJB82651 pep chromosome:Graimondii2_0_v6:13:51776951:51780446:-1 gene:B456_013G206900 transcript:KJB82651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTMANHKRFPNFVSLILLSLVATASAEVFFEERFEDGWESRWVKSDWKKDENMAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPEVNNKGKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNGTNHLIKKEVPCETDQLTHVYTFILRPDATYSILIDNVEKQTGSLYTDWDLLPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKVPEDWDDEEDGEWTPSTIPNPEYKGPWKPKKIKNPNYKGKWKAPMIDNPDFKDDPDLYVFPTLKYVGIELWQVKSGTMFDNILVADDVEYAKKLAEETWGKQKDAEKASFEEAEKKREEEESKDDPVDSDAEDEDDADDTEGHESDSDTKSDDEDKEDAHDEL >KJB80028 pep chromosome:Graimondii2_0_v6:13:9862201:9864595:1 gene:B456_013G077500 transcript:KJB80028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSPFFRPLLPQWSFLVILNSNPKISLKPCVFSSSSSSSYNNDSVPLPKQIQVLSSSFFYGVALFGAFPFFFFFNVIFFLCGWQTQLGYDPSEELFGLSPVPKPSSALPKPRSWFGPNGQYIRELPCPSCRGRGYTPCSECGIERSRSDCSQCNGKGIMTCRQCLGDRVIWEESIDEQPWEKARSISPLRVKEDDEVDNLDLQLDVKKKSKRVYQSPSPEVGLKISRSLKSLNAKTGLFSKRMKIIHRDPMLQAQRVAAIKKAKGTAAARKRVSEALKDFFSDPENRHKRSISMKGVKFFCRNCGREGHRRHYCPEIRDSSIDKRFKCRVCGGKGHNRRTCPRSRLSNEGRSSRRRHRCKVCRRSGHNRRTCPQVIGVRDILTAGSRIYTCRLCRKEGHNARTCPSNS >KJB82755 pep chromosome:Graimondii2_0_v6:13:52370653:52374901:1 gene:B456_013G211500 transcript:KJB82755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKKRKKKIRSAIFIFNYCRFILIYISLIIIKENTTKGKERRISRRQRAMVEDKGVSDGESKESSPPPPVVKKKGKGVLSRIWHAIIGSSGDNFEKRLEHITKEEKAVVARIKRRSQAWRTIIRHLIVFSVVLEVIAVAYAIMMTRSEDLDWKMRAFRVSPMFLLPVISSVVYSAVVKITRMCDQRDQKTLENLRAERRAKIDELKEKTNYYITQQLIQRYDPDPAAKAAAATILASKLGADSGFKFYLEDESKLDVLGGRSNDVEIVPSSGLRKRKHSRSNSTGSTPLVHSNEGSPPHPVGNEGPQASEHDRLVVDHYHPQGPSVQDGGWLARIAALLVGEDPTQSYALICGNCHRHNGILSFKLYFLWGFCSGLARKEDFPYITYYCPHCQALNKPKQPEEHVSRSSPIMDPLHSGVGDAVKSSSGSMAEDILRSSSPVISVSEIEKVTEKVESIGVVG >KJB82754 pep chromosome:Graimondii2_0_v6:13:52370629:52374901:1 gene:B456_013G211500 transcript:KJB82754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDKGVSDGESKESSPPPPVVKKKGKGVLSRIWHAIIGSSGDNFEKRLEHITKEEKAVVARIKRRSQAWRTIIRHLIVFSVVLEVIAVAYAIMMTRSEDLDWKMRAFRVSPMFLLPVISSVVYSAVVKITRMCDQRDQKTLENLRAERRAKIDELKEKTNYYITQQLIQRYDPDPAAKAAAATILASKLGADSGFKFYLEDESKLDVLGGRSNDVEIVPSSGLRKRKHSRSNSTGSTPLVHSNEGSPPHPVGNEGPQASEHDRLVVDHYHPQGPSVQDGGWLARIAALLVGEDPTQSYALICGNCHRHNGLARKEDFPYITYYCPHCQALNKPKQPEEHVSRSSPIMDPLHSGVGDAVKSSSGSMAEDILRSSSPVISVSEIEKVTEKVESIGVVG >KJB82756 pep chromosome:Graimondii2_0_v6:13:52370799:52374901:1 gene:B456_013G211500 transcript:KJB82756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDKGVSDGESKESSPPPPVVKKKGKGVLSRIWHAIIGSSGDNFEKRLEHITKEEKAVVARIKRRSQAWRTIIRHLIVFSVVLEVIAVAYAIMMTRSEDLDWKMRAFRVSPMFLLPVISSVVYSAVVKITRMCDQRDQKTLENLRAERRAKIDELKEKTNYYITQQLIQRYDPDPAAKAAAATILASKLGADSGFKFYLEDESKLDVLGGRSNDVEIVPSSGLRKRKHSRSNSTGSTPLVHSNEGSPPHPVGNEGPQASEHDRLVVDHYHPQGPSVQDGGWLARIAALLVGEDPTQSYALICGNCHRHNGLARKEDFPYITYYCPHCQALNKPKQPEEHVSRSSPIMDPLHSGVGDAVKSSSGSMAEDILRSSSPVISVSEIEKVTEKVESIGVVG >KJB82338 pep chromosome:Graimondii2_0_v6:13:49153297:49154359:-1 gene:B456_013G190500 transcript:KJB82338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIRSILIAFGLFAFACSSASAYDPSPLQDFCVAIKDIKNGVFVNGKFCKDPKLAVAEDFFFSGLNRPGNTSNPVGSNVTMINVDIIPGLNTLGISLVRIDYAPYGVNPPHTHPRGSEILLVVEGTLYVGFVTANPDNRLFTKILNPGDVFVFPFGMVHFQFNIGKTAAVAFAGLSSQNAGVITIANAVFGSNPPINPDVLAKAFQLNKNVVKYLQSRF >KJB83922 pep chromosome:Graimondii2_0_v6:13:58211090:58220651:-1 gene:B456_013G271600 transcript:KJB83922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSRAWPWTLGAVFLMWLFGSSLLVGAQDGITHPDEVRALRAIWRTLIDPNRNLSNWNRGDPCTSRWTGVLCFNGTQDDGYLHVRELQLLNMNLSGHLSPELGRLSRLRILDFMWNNITGSIPNEIGNITSLELLLLNGNHLTGSLPEEIGYLPNLDRIQIDENRISGELPKSFANLNKTKHFHMNNNSISGQIPPELARLPYLVHFLLDNNNLSGHLPPELSRMPNLTILQLDNNNFEGTTIPDSYGNMSKLLKLSLRNCNLQGPIPDLSRIPQLGYLDLSSNRLNGTIPTNQLSRNITTIDLSNNELTGSIPASFSSLPILQELSLANNSLNGSIPSSLWQNKTLNANESLIVDLENNKFTDISGSTDLPPNVTLWLKGNPVCTNNSLSLPQQCASRNDNTRSQSGTNSTGHCQPQSCPFPFEYSPTSNISCFCAVPLPVVYRLKSPGFSDFVPYINSFSEYLTTGLELDSDQLYIGSFEWEKGPRLKMNLKLYPVYNASNNSGNMFNGSEVQRIRGLFTGWNIPDSDIFGPYELINFNLPDIYRGAVVRTSGSGVSTGALIGIVLGGIAVAVTLSAVVTLLILRVRLRNYRLVSKRRQTLKSSIKIDGVKSFTYTELATATNNFNSSTQVGQGGYGKVYRGTLADGMVVAIKRAQEGSLQGEREFLTEIQLLSRLHHRNLVSLIGYCDEEGEQMLVYEFMPNGTLRDHLSAKSKEPPNFAMRLKIALGSAKGILYLHTEADPPIFHRDIKASNILLDSRFIAKVADFGLSRLAPVPDMEGAVPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNVAYHSGMIFSVIDGRMGSYPSECVEKFVTLALKCSHDETDGRPSMADVVRELENIWAMMPESDVGVSVSIDTAAEKMTPPSSSSSSSLVKNPYVSSDVSGSDLVSGVIPSITPR >KJB83923 pep chromosome:Graimondii2_0_v6:13:58211429:58220178:-1 gene:B456_013G271600 transcript:KJB83923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSRAWPWTLGAVFLMWLFGSSLLVGAQDGITHPDEVRALRAIWRTLIDPNRNLSNWNRGDPCTSRWTGVLCFNGTQDDGYLHVRELQLLNMNLSGHLSPELGRLSRLRILDFMWNNITGSIPNEIGNITSLELLLLNGNHLTGSLPEEIGYLPNLDRIQIDENRISGELPKSFANLNKTKHFHMNNNSISGQIPPELARLPYLVHFLLDNNNLSGHLPPELSRMPNLTILQLDNNNFEGTTIPDSYGNMSKLLKLSLRNCNLQGPIPDLSRIPQLGYLDLSSNRLNGTIPTNQLSRNITTIDLSNNELTGSIPASFSSLPILQELSLANNSLNGSIPSSLWQNKTLNANESLIVDLENNKFTDISGSTDLPPNVTLWLKGNPVCTNNSLSLPQQCASRNDNTRSQSGTNSTGHCQPQSCPFPFEYSPTSNISCFCAVPLPVVYRLKSPGFSDFVPYINSFSEYLTTGLELDSDQLYIGSFEWEKGPRLKMNLKLYPVYNASNNSGNMFNGSEVQRIRGLFTGWNIPDSDIFGPYELINFNLPDIYRGAVVRTSGSGVSTGALIGIVLGGIAVAVTLSAVVTLLILRVRLRNYRLVSKRRQTLKSSIKIDGVKSFTYTELATATNNFNSSTQVGQGGYGKVYRGTLADGMVVAIKRAQEGSLQGEREFLTEIQLLSRLHHRNLVSLIGYCDEEGEQMLVYEFMPNGTLRDHLSAKSKEPPNFAMRLKIALGSAKGILYLHTEADPPIFHRDIKASNILLDSRFIAKVADFGLSRLAPVPDMEGAVPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNVAYHSGMIFSVIDGRMGSYPSECVEKFVTLALKCSHDETDGRPSMADVVRELENIWAMMPESDVGVSVSIDTAAEKMTPPSSSSSSSLVKNPYVSSDVSGSDLVSGVIPSITPR >KJB80609 pep chromosome:Graimondii2_0_v6:13:23135523:23138255:-1 gene:B456_013G106900 transcript:KJB80609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPILETCRKRKRRPKLFGLHSFAEPGCPINPTGPFRDNIRIFLKQCAEPEDYCVKGMPLWCTLLVRDKSCVVPLYTIEEGWGNHLVSKRKYHVVIPNDRDWNKPLEDNVLNIHSHLLHGLIHCNGFGHLLCINGIEGGSKYLYGREIMDLWDRICEILKARKISVEDASKKHGMDLRLLHGVAYGHTWFGRWGYKFCRGSYGVSEENYDRAIEILSSQKLDKIIQDFSDREQSRQIKCMVQHYRDLSESQLVTIRDLFKFMLTIKSRSALQKKLVAATAAPSASVQKNSIRISFHKKDNSKEKYLRCKRFTSVIAHMDSRWPAKRLEFAAEVIVDALKQHKSEVGHGGMTRQDLRDAARMHIGDTGLLDYVLKSMNNVIVGCHIVRRGINSARVLEYTIDDVDPVKDLKLLEATEEIHQKPLPDLLPAPAPVPGTDVYNDVVYLYNNTLLNYPESELLELATQAVLDSKHFVKDRPFRDEDDQLLRFFCQVMPGLFDAENMATETSPAGELVMVPLHATVFDLKQAAEKALRDTYCIMDKFVVTEVDNLGEMEDRDVLFGALESGAEILVRGSGIDLDSNLKHEGGADNWIVRCECGARDDDGERMIACDICEVWQHTRCCGIEDSEAVPPLFVCPGCCTSFGPPMTNSPLEFQCSDDLLLDSATMYGMDYEYDNCIGLLQ >KJB80176 pep chromosome:Graimondii2_0_v6:13:12172009:12176026:-1 gene:B456_013G084600 transcript:KJB80176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADLLSERPLFGGAISTTFPLRFQDVSNIRQVPDHQEVFVDPARDESLVFELLDYKHDVGDEGSAVWFIQDLAIEQDGEGFTLLERSTVVEAPGLCYNNIPAIVTTAVGQMAVSKGRQGREAQNIIKVYLANIRLKEVGTDVLITAYEPIVINPLSESASAVGAGLAIPATQSGFMPMVEIFKLAVTNFKVNDWGLFSPSV >KJB80177 pep chromosome:Graimondii2_0_v6:13:12172255:12175997:-1 gene:B456_013G084600 transcript:KJB80177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADLLSERPLFGGAISTTFPLRFQDVSNIRQVPDHQEVFVDPARDESLVFELLDYKHDVGDEGSAVWFIQDLAIEQDGEGFTLLERSTVVEAPGLCYNNIPAIVTTAVGQMAVSKGRQGREAQNIIKVYLANIRLKEVGTDVLITAYEPIVINPLSESASAVGAGLAIPATQSGFMPMVEIFKLAVTNFKVNDWGLFSPSV >KJB80494 pep chromosome:Graimondii2_0_v6:13:18432920:18435715:-1 gene:B456_013G100300 transcript:KJB80494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQFCPKMVKLNLRRRFQRRVLILRRCIRCFWDRFLMCSLGKPIKTRYRMLSSTSSSVHPPPSASVHPPPVCHHGRHDHKDSDLVPLKISLLGDSQIGKTSFLMKYIGDENDDEQEDSQEKGLNLMDKTFFVSGARIYYSIWEVDGVEKFQDHLPLACKDSVAILFMFDLTSRCTLNNVISWYQEARKWNQTAILIMIGTKFDDFIQLPIDLQWTIASQARAYAKALNATLFFSSATYNINVNKIFKFITAKLFDLPWTVERNLNIGEPIIDF >KJB80497 pep chromosome:Graimondii2_0_v6:13:18434581:18435538:-1 gene:B456_013G100300 transcript:KJB80497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQFCPKMVKLNLRRRFQRRVLILRRCIRCFWDRFLMCSLGKPIKTRYRMLSSTSSSVHPPPSASVHPPPVCHHGRHDHKDSDLVPLKISLLGDSQIGKTSFLMKYIGDENDDEQEDSQEKGLNLMDKTFFVSGARIYYSIWEVDGVEKFQDHLPLACKDSVAILFMFDLTSRCTLNKCVLILSIPISLYLYYPFLYLHFSRQ >KJB80495 pep chromosome:Graimondii2_0_v6:13:18432962:18435715:-1 gene:B456_013G100300 transcript:KJB80495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQFCPKMVKLNLRRRFQRRVLILRRCIRCFWDRFLMCSLGKPIKTRYRMLSSTSSSVHPPPSASVHPPPVCHHGRHDHKDSDLVPLKISLLGDSQIGKTSFLMKYIGDENDDEQEDSQEKGLNLMDKTFFVSGARIYYSIWEVDGVEKFQDHLPLACKDSVAILFMFDLTSSVISWYQEARKWNQTAILIMIGTKFDDFIQLPIDLQWTIASQARAYAKALNATLFFSSATYNINVNKIFKFITAKLFDLPWTVERNLNIGEPIIDF >KJB80496 pep chromosome:Graimondii2_0_v6:13:18433084:18435515:-1 gene:B456_013G100300 transcript:KJB80496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQFCPKMVKLNLRRRFQRRVLILRRCIRCFWDRFLMCSLGKPIKTRYRMLSSTSSSVHPPPSASVHPPPVCHHGRHDHKDSDLVPLKISLLGDSQIGKTSFLVSPITLVYFYLKNLIEYPIQMHFLPWFLKMKYIGDENDDEQEDSQEKGLNLMDKTFFVSGARIYYSIWEVDGVEKFQDHLPLACKDSVAILFMFDLTSRCTLNNVISWYQEARKWNQTAILIMIGTKFDDFIQLPIDLQWTIASQARAYAKALNATLFFSSATYNINVNKIFKFITAKLFDLPWTVERNLNIGEPIIDF >KJB82210 pep chromosome:Graimondii2_0_v6:13:47754038:47755995:-1 gene:B456_013G181700 transcript:KJB82210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRIPLFQIIISFLLVLFMAAIKSVRKSKARNLTQKLIPGPRKLPLIGNFHQLAGPGLLHRTLRDLATKHGPIMHLQLGQVSTVVVSSAEMAKEIMKTHDIVFANRPFLVVSMITTYECTDIAFSPYGNYWRHLRKICTEELLSAARVNSFQSIREEEVLNLIETIKSNEGLAVNLSEKVFSMSYGITARAAFGKKCKNQDAFVSVISEESKVNSGFLVSEFFPSLKFLDVVSGLKHRVEKIHGEADRILGNIVNDHKESIAKGKREDLVDVLLRLQENGEVPLTDNNIKAIIFDIFGGGSETSASVVEWAMSEMIKNPRVMTKAQAEVRQVFQGKGNVDETGIHQLKYLKCVIKETLRLHPVFPLLLPRECSQNCEINGFEIPSKTRVIINAWAIGRDPNHWVEPEKFEPERFINSSVDFVGTNFEFIPFGAGRRICPGILFAVPNVELPLAQLLFHFDWKLPKQEDIDMTEEFGLSVRRKTELMLIPTPYRASITVS >KJB79276 pep chromosome:Graimondii2_0_v6:13:3371602:3372798:1 gene:B456_013G041200 transcript:KJB79276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTFAHQGLVLVLVASSMVGVSLANKEWGSLGSHPHPHHPHNHTNVPKRIIVGGSQNWHFGVNYTDWSLKNGPFYINDTLVFKYDPPSNTTFPHSVYLLPHLKSFLNCDLRKAKMIANPTQGSGNGFEFVLKKWKPYYFACGERNGFHCKVGLMKFAVVSPLRRRH >KJB79058 pep chromosome:Graimondii2_0_v6:13:2406386:2409852:1 gene:B456_013G031700 transcript:KJB79058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 3 [Source:Projected from Arabidopsis thaliana (AT1G14740) UniProtKB/Swiss-Prot;Acc:Q94B71] MFGEKDLSSNLPCVGDESSQSKLSRLFQNKESSVEKVSFVQKGIDFLRESKVGLDGGYPLPRSSKPGISGSQELTLSYLCENPKLGFCSEKTQTLLEKVTTYKGKEVVDSENTHQDEKWVERDFLNLSESKGISSKRDVEDDFQERETSHREKKPKLETLNLSLALPDVSLSLTASNALQNGDPSQHRSRPSRSVQSLAPSTNNTQTTCSNDFTAASLSYSYSVPYSHNPSCSLTRNSTENYEYSVGKDDQIWCGGEGTNGSVHSRFRPIGDGVALAGAGAGFSMMQVNRHVNKDSCTSLHRTSSSDNHSFFPSELLAKPRIDNHSGDSRRKDSENWRVLESMDGGRSRKISRPEKILLEIVSESIPAMAQIMQELPEETLESTKEYLRNLIGTPERKEELVGLQSRLERRSDLSKETLSKCHKDQLAILVAVKMGLKSFLSGKVGLPMTELLEIFLFMRCRNLTCKSVLPVDDCDCKICSGNKGFCSSCMCPICLNFDCANNTCSWVGCDVCSHWCHAACGIQRNLIKPGPSIKGPSGAMQFHCIGCGHASEMFGFVKDVFLYCAKNWVLETLTKELDCVRKIFRGSDDSKDKELYVKADELCNRLQNKMMSASDACSIIIQFFNYSDGLSDFPTSGACSKELTTTQVDLRKDESSVTPGASLPQKLVFYSTSSASGHDLLPNEHHRKDLKASLMNDLKSEDEYRFGRSSNNDGFDSLESMVRIKEAEAILFQSKADEARREVESYMRMIRAKSEKLEEEYAEKLSRLCLQETEERRRNKMEELKVLEHSQCDYYKMKLRMQAEIASLLERMEATKQQWV >KJB79620 pep chromosome:Graimondii2_0_v6:13:6182994:6183960:1 gene:B456_013G059200 transcript:KJB79620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNCFALAFLMALSFASIDVGVAARHLLQQPQTPSLLKITFPPLPSFPKPSFPRPSIPSFPSIPSFPKPSIPSFPSIPSFPKPSIPSFPRPGVLPPLPSRLPSRPKATLPPLPSIPSVPQIPTAIPSIPFFSPPLSHSTP >KJB78837 pep chromosome:Graimondii2_0_v6:13:1563855:1565902:1 gene:B456_013G022100 transcript:KJB78837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTYCGVVGESEAAAATVEPKLRASRRRRLELRPFKIVADAAVQPPLENDRKRHKLDRDLFLPVSSRDCDNAFQNSKDHGFKNEGLFSNGTVKLMIEKSMEDEKERPKFGMASVCGRRRDMEDAVSIHPSFCKQSSQVQISSDIHFFAVFDGHGCTHCRDRFHEIVKEEVEACGGLNSVEWKNTMEKSFERMDEEVREWTVNAKESSTCRCQLRTPQCDAVGSTAVVALITPDKIIVANCGDSRAVLCRNGAAFPLSDDHKPDRPDELLRIEEAGGKVIYWDGPRVLGVLAMSRAIGDNYLKPFVIPKPEVTITERSEDECLILGSDGLWDVVTNDMACKVVRMCLCARKSSFAPGFSGNEMAVKNGALESFDKQCWDASVLLTKLALVRHSADNVSVVVVDLKKNQQAN >KJB78838 pep chromosome:Graimondii2_0_v6:13:1563855:1565931:1 gene:B456_013G022100 transcript:KJB78838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTYCGVVGESEAAAATVEPKLRASRRRRLELRPFKIVADAAVQPPLENDRKRHKLDRDLFLPVSSRDCDNAFQNSKDHGFKNEGLFSNGTVKLMIEKSMEDEKERPKFGMASVCGRRRDMEDAVSIHPSFCKQSSQVQISSDIHFFAVFDGHGCTHVAMKCRDRFHEIVKEEVEACGGLNSVEWKNTMEKSFERMDEEVREWTVNAKESSTCRCQLRTPQCDAVGSTAVVALITPDKIIVANCGDSRAVLCRNGAAFPLSDDHKPDRPDELLRIEEAGGKVIYWDGPRVLGVLAMSRAIGDNYLKPFVIPKPEVTITERSEDECLILGSDGLWDVVTNDMACKVVRMCLCARKSSFAPGFSGNEMAVKNGALESFDKQCWDASVLLTKLALVRHSADNVSVVVVDLKKNQQAN >KJB82206 pep chromosome:Graimondii2_0_v6:13:47627921:47629176:1 gene:B456_013G181300 transcript:KJB82206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLAVPFNDSSALIDFVINQGNGVKGLSELGLKALPKQYIQPLEERMCMTNIVPQGSIPIIDMSNWEDPKVVKSICDAASEWGFFQIVNHDVPVEVLENVKDATYNFFRSPAEIKNKYSKEHSSSNNVRFGTSFTPQAEKALEWKDYLSLFYVSEEEASTLWPSTCREQVLDYMKKSKVVIKQLLQVLMKGLNVNEIDEAKESLLMGSMRTNLNYYPKCPNPELTVGVGRHSDVSTLTILLQDEIGGLFVRGNEGDDWIHVPPIKGSLVINVGDALQIMSNGRYRSVEHRVVANGSKNRISVPVFVNPRPADMIGPLPELVANGEKPIYKQVLYSDYVKHFFRKAHDGKKTVEFAEL >KJB83422 pep chromosome:Graimondii2_0_v6:13:56583581:56585261:1 gene:B456_013G247000 transcript:KJB83422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILMLSSGNSGSDLFYSTINPEDGPFVIDFYYHSHIEYRWGGEGLRKTFVRWAGSVTNKIAGNEEHVVTVAEHLSTNYCYIFEVKNAGRVPPVKELRKLLRIQALHCNVIYCRNGTRINVIPVLASRSQALRYLYVRWGTDLSKIVVFVGDSGDTDYEGLLGGVHKSIILKGICCNTSNQLLANRNYPLSDVMPVEYSPNILQTSQDCTSTVREFLENLLKS >KJB83296 pep chromosome:Graimondii2_0_v6:13:55926245:55930593:-1 gene:B456_013G240300 transcript:KJB83296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDAKIEFPVIEFRSSDLERGTNGWHRLCNKVREACETFGCFEVVYDTISTEVREEMFRLMKELVEVPVERKQKNVLPPPSHGWVGPSSEVSPLYEGFGLGDASNYDSVNNFAQLMWPEGHPRFCDIAHTMGTQLEVLNKLIWLMLIDSYGLGDDSLKMNYTTIMRMMKYMPPPPGENEIGFFPHTDKPVSTLICENQIPGLEIEVNNGQWIKLTNLSPSSFVFVVGDPLMAWSNGRLKSAKHRVLIRGDKDRFSIAAFVLPNKGTIIKTPKELIDEQHPRVFKDFDFMEFYSFAFSDPARSRDSGQVLYDFAALSPPVPS >KJB82207 pep chromosome:Graimondii2_0_v6:13:47654655:47656562:-1 gene:B456_013G181400 transcript:KJB82207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTQEEQQLHFVVFPFMAQGHMTPMIDIARLLAQRGVIITIITTPRNAARFKATLDRAMESGLSIRLLEFQFPCAEFGLPEGCESFDMLPSFSLALNFFQAANMLEKPVRKLFPELTPRPTCILSDVLLLYTLDIANQFQIPRIVFQGVCCFLLVCLHNLRISNVLDQVTSETEYFVMPNMPHEVEFTKCQIPQVLHENLKQFSEERKKADLESYGVIVNSFEEMEPEYVKEYKKTRKDKVWCIGPVSLCNKEAMDKAQRGNKASVDEQQLLGWLDSQKPGTVIYACLGSISNVTPPQTIQLGLGLEASNRPFIWVIRGSDASNELDKWISEDGFEERTKGRGLVIRGWAPQVLILSHPAIGGFLTHCGWNSTIECISAGVPLITWPLFADQFANEKLAVQILEIGVRVGVEEPMRWGEEEKIGVLVKKEDVKEAIEKLMDKGEEGEERRKRAKGLGEMANKAVEIGGSSHLNITRLIQDIRRRANERKQLST >KJB83831 pep chromosome:Graimondii2_0_v6:13:57914125:57916484:-1 gene:B456_013G266500 transcript:KJB83831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGISNAFSVLEIDVDDHLPLSTASTISGPATLLLSFNDPGKSKSNEKGSIEDKQSEAYSSLLSENYKLPLVWIDMEMTGLDIEVDRILEIACIITDGKLTKSVEGPDLVIHQSKECLDRMGEWCQNHHGASGLTQKVLESTVSEREAEKQVIEFVKRNVGTYTPHIAGNSIYMDFLFLKKYMPDLASLFSHVVVDVSSIRALCMRWYPKDQENAPLKEKKHRAMDDIKESILELKYFKETIFKAKSKK >KJB83832 pep chromosome:Graimondii2_0_v6:13:57914125:57916504:-1 gene:B456_013G266500 transcript:KJB83832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGISNAFSVLEIDVDDHLPLSTASTISGKSKSNEKGSIEDKQSEAYSSLLSENYKLPLVWIDMEMTGLDIEVDRILEIACIITDGKLTKSVEGPDLVIHQSKECLDRMGEWCQNHHGASGLTQKVLESTVSEREAEKQVIEFVKRNVGTYTPHIAGNSIYMDFLFLKLST >KJB83829 pep chromosome:Graimondii2_0_v6:13:57914122:57916564:-1 gene:B456_013G266500 transcript:KJB83829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGISNAFSVLEIDVDDHLPLSTASTISGKSKSNEKGSIEDKQSEAYSSLLSENYKLPLVWIDMEMTGLDIEVDRILEIACIITDGKLTKSVEGPDLVIHQSKECLDRMGEWCQNHHGASGLTQKVLESTVSEREAEKQVIEFVKRNVGTYTPHIAGNSIYMDFLFLKKYMPDLASLFSHVVVDVSSIRALCMRWYPKDQENAPLKEKKHRAMDDIKESILELKYFKETIFKAKSKK >KJB83833 pep chromosome:Graimondii2_0_v6:13:57914758:57916396:-1 gene:B456_013G266500 transcript:KJB83833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGISNAFSVLEIDVDDHLPLSTASTISGKSKSNEKGSIEDKQSEAYSSLLSENYKLPLVWIDMEMTGLDIEVDRILEIACIITDGKLTKSVEGPDLVIHQSKECLDRMGEWCQNHHGASGLTQKVLESTVSEREAEKQVIEFVKRNVGTYTPHIAGNSIYMDFLFLKKYMPDLASLFSHVVVDVSSIRALCMRWYPKGTSSSLLQFICMCMHINMQISYPN >KJB83830 pep chromosome:Graimondii2_0_v6:13:57914125:57916484:-1 gene:B456_013G266500 transcript:KJB83830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPNQWREANVQEKAPYGIPPFLSCNSVYLILQGPDLVIHQSKECLDRMGEWCQNHHGASGLTQKVLESTVSEREAEKQVIEFVKRNVGTYTPHIAGNSIYMDFLFLKKYMPDLASLFSHVVVDVSSIRALCMRWYPKDQENAPLKEKKHRAMDDIKESILELKYFKETIFKAKSKK >KJB81369 pep chromosome:Graimondii2_0_v6:13:38454969:38457298:-1 gene:B456_013G141500 transcript:KJB81369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQLAMELPFLSYAALFIVSFLIVRTVISIRNRSKLPPGPTALPVIGHLHLLSPFIHQTFQKLSSIHGALMYLRLGSVECVVVSNPELAKELLKHHELTFSARKHTAAIDHLTYNSSFAFAPYGTYWKFIKKLSTFELLGNRTLGQFLPVRTKELHHFISILLDKSKSSETVNLTEELLKLTNNVISQMMMSIRCSGTGDQADGVRTLVREVTEIFGEFNVSDIIWFFKNIDVQGFRKRFLDIHSRYDSLLEKIMRDREELRENKKPNDDDVKDFLDMMLDVFEDEHSEIQLTRNHIKALILDFLTAATDTTAIAVEWALAELINNPETLRKAQQELDQVVGTSRLVQEFDTQRLPYLQAIIKESFRLHPPIPMISRKAVEDCKINGYTIPAQSLLFVNIWAIGRDPKVWEDPLKFQPERFSKCNRPDTNINSGDIDVKGLHYQLLPFGTGRRGCPGISLAMQELPVTLAALIQCFDWKLPNVGGGVDMSERAGLTAPRAHDLKCVPLARFSPTLFAT >KJB79192 pep chromosome:Graimondii2_0_v6:13:2948245:2951213:-1 gene:B456_013G037300 transcript:KJB79192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPNSYRKRQRVPHRHTSATRLSSTATVVNSFSDKTVSESSQKLHRTSSSVSTITTSPNAVTSSFNDPYTADVLLRLFVDRSPFDSDAPSDSSRQSDIQIYLHSAVIRRAKYFAAILSDCWQHRTNADAKSNNGAEGSRSNDNNNNLVQLNLAVVDDPNSISIHLTVLQLLYTSDFATVIESASTALEILPVALELLFEDCVKSCLRFLEAVPWSEEEEKRVLSLIPFLREEESKELLVRLSPGKEDSCEEMLHGLILAAIHNHPNMAFVKAFVAKLLRDFSSRESARRVLERAFETSLKVVKESLEEYTSPDFRGDHNETEAIQRLNLHTAMTNGRHLLWLVERMIELRVADSAVVEWSEQASFTADLQRAFRDDAWRNIVPGLPAVVLRCTCKLANAVAAGTILAARQFVDYIRVDGNSVGMVRMKLVKDWLPVLLVCKDNVSPMLPSHKPLHLELEETFLRIISTLPMSDAQLLLQQCLSFSTRNVEDCPHLITAFNTWFRRATRPPQLENLD >KJB79041 pep chromosome:Graimondii2_0_v6:13:2348136:2348868:1 gene:B456_013G030900 transcript:KJB79041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVHLAFLFLVFASLVALHECTNDDELDRSIPTGNEIILGPCSHSTCGVESASENDSIFRNCWCCLLLKSHLCYTYRIVCENNCAPGPPPDLAIP >KJB81985 pep chromosome:Graimondii2_0_v6:13:45751276:45753351:-1 gene:B456_013G170600 transcript:KJB81985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLPCIQPVRVPFKACSFFPAGVCGGFNNKKRINAVVFSSLRKISNDINIESKVLFSLMCICLMKQPTVFSNYLLVFYSIKALAD >KJB82950 pep chromosome:Graimondii2_0_v6:13:54342480:54344698:1 gene:B456_013G223600 transcript:KJB82950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKFRGIVRSVFALVLLFLFYNHENGFKTPFLVKPRVGFYDQSEVIHRRIIETNDTSVEVNDLAARKPKICSGLIEHKGYPSQCDYLIAHPECSPGGFFNYIKFFYCSCQNVSFLGFTVLAIWLVALFYLLGNTAADYFCFSLEKLSSLLKMSPTVAGVTLLPLGNGAPDLFASIAAFASKNSSEVGINSVLGGAVFVTCVVVGIISLCIAEKKVQIDRYSFVRDIGFFLFCLIWLFLILTVGEVTVGTALLFVLLYLIYVLAVALNEVGRINIRVFKLRYVTPLLPVRWCNSSSIGDEEGDSVYASLLESGSKSDVPCLENRLPHWMWASQVAIYSDELEEDPKSTWGWNDEDEVVLKERSLFSCSKFVSLLEFPLTLPRQLTIPMVEERWSKGYAVASATLAPILLAFLWNTKGDDATRLSQEIVYFIGVTFGGILGVSAYLFTSSDHPPHRFLLPWVLGGFFMSIVWFYMIANELVALLVGLGVIFGIKPSILGLTVLAWGNSMGDLMSDIALAMNGRDSVQIAMSGCYAGPMFNTLAGLGISLVLGAWNKKPAPYIVPRDSSLFCTMGFLALGLVWSFIMLPRNDMRPNKTLGIGLIMIYLIFVIVQVSASLGVLSIGWD >KJB81267 pep chromosome:Graimondii2_0_v6:13:48619614:48621918:1 gene:B456_013G186500 transcript:KJB81267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRYKEEKDAKKEAFRKYLESSGAVDALTKVLVALYEQNEKPSSALEFIQQKLGGPTIYEYEKLQAEISDLQTKYNELLCKHEDACKELEELKNMHSSVSPSTKETNDGEPLKDEHVEKNS >KJB81268 pep chromosome:Graimondii2_0_v6:13:48619721:48621918:1 gene:B456_013G186500 transcript:KJB81268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRYKEEKDAKKEAFRKYLESSGAVDALTKVLVALYEQNEKPSSALEFIQQKLGGPTIYEYEKLQAEISDLQTKYNELLCKHEDACKEVWIGLFV >KJB82803 pep chromosome:Graimondii2_0_v6:13:53497713:53499331:1 gene:B456_013G214200 transcript:KJB82803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVALTESLPINGTVFDSSLVFALQTMVVETAIVATKSFAWSLMMMGTLPNGIDVFIKEPEAYAGFPLAQLIAMTKPGPENKDASDTDDDDDDEDEAVDDEDGGEEEDGSGEEDEEEGDPEDEPEANGDGGTGDEDDDDDDDDDDDEGEEEEEEEEDEEEEELQPPAKKRK >KJB81624 pep chromosome:Graimondii2_0_v6:13:41725650:41731206:1 gene:B456_013G152800 transcript:KJB81624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISADLSEVVGRPVCRNGVWHDELLPVINDDHGGVIIEMKEHMDTETFLAMLRASMLQWKQQGKKGVWIKLPIGLIHLVETAVKEGFRYHHAEPSYLMLVFWIPKTPSTIPGNATHRVGVGAIILNDKREVLVVQEKSGLLQGTGIWKIPTGVVEEGEEIFVAAMREVKEETGIDTEFVEVLGFRQTHKSFFEKSDLFFICMLHPLSFNIQKQELEIEAAQWMPFEEYAAQPFAQKHELFRYVNELCLAKVDRGYTGFSPRPTVSIFSDHSSFLYLNNQDLDKSRSVKNPEEQN >KJB81623 pep chromosome:Graimondii2_0_v6:13:41725642:41731745:1 gene:B456_013G152800 transcript:KJB81623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISADLSEVVGRPVCRNGVWHDELLPVINDDHGGVIIEMKEHMDTETFLAMLRASMLQWKQQGKKGVWIKLPIGLIHLVETAVKEGFRYHHAEPSYLMLVFWIPKTPSTIPGNATHRVGVGAIILNDKREVLVVQEKSGLLQGTGIWKIPTGVVEEGEEIFVAAMREVKEETGIDTEFVEVLGFRQTHKSFFEKSDLFFICMLHPLSFNIQKQELEIEAAQWMPFEEYAAQPFAQKHELFRYVNELCLAKVDRGYTGFSPRPTVSIFSDHSSFLYLNNQDLDKSRK >KJB78396 pep chromosome:Graimondii2_0_v6:13:36249653:36249907:-1 gene:B456_013G135500 transcript:KJB78396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKGGSGGGKGGSGGGSKGGCGGSGGGSGNVGGGSTSKGGGGASGMMVAPGSGGAAIISRGAFESSPKGYFAGLHSSEKGNK >KJB81184 pep chromosome:Graimondii2_0_v6:13:34776228:34785504:1 gene:B456_013G132600 transcript:KJB81184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type II inositol polyphosphate 5-phosphatase 15 [Source:Projected from Arabidopsis thaliana (AT1G65580) UniProtKB/Swiss-Prot;Acc:Q84W55] MDANDDVPDLSPRIFDRYASSSDDDSQPSYPSLHSTNRRLDYMIQFLDRNLLPQNTSRPKPSLPEFVAKGGGQGIFTLPDRKPLHPNRPPYLQLRPHPLRETQFGRFLKTIASTDRQLWAGSESGAVRVWELKDLYEEGEEEEAAPFRESSAILSNGNGNGNAAVMCMVGDEGNGVVWSGHKDGKIRGWKMDCESGGFKEGWCWQAHRGPVLSVVFTCYGDLWSGSEGGNIRIWPWESINNALSLTMEERHMASLLMGKSFIDLRSQVAVNGFSSILNSDIKCLLSDNIRAKVWSSGYLSFALWDARTRELLKVFNIDGQIENRADLSLVPDFAMEDEIKMKIVASSKKEKTQSSFGFFQQSRNAIMGAADAVRRVAAKGGFLDDSRRIEALTITIDGMIWVGCANGMLIQWDGNGNRIQDIQPHPSAVLCLCNFGSKIWAGYASGTVHALDLDGNKLGEWVAHSSPVLKMAIGAGYIYTLAKHGGIRGWSITSPGPLDGILYSELTAKGFLYTRMENLTILTGTWNVAQGRASKGSLESWLHSAVSDVGIIVIGLQEVEMGAGFLAMSAVRESVGRDGSAVGQWWLDMIDETLHDMMERKSQDVMGKQSHQWRKFERVGSRQLAGMLIAVWVKDNLKPDVGDIDAAAVPCGFGRAIGNKGAVGLRLRVYDRIFCFVNCHFAAHLEAVGRRNADFDHVYRTMTFSRQSNLFNAGAGMVLYLLLCCTLACSIYLFWLVYRSSLPLVLSIAAGASSAVQMVRGANATGANSVEGMPELSEADMLVFLGDFNYRLDDVSYDEARDFISQRSFDWLRERDQLRAEMEAGNVFQGMREAVITFAPTYKFDKHVAGLSGYDSGEKKRIPAWCDRILYRDSRRTLGSECSLECPVISSVSQYESCMDVTDSDHKPVICLFSVEIAHIDESVRRQEFGDIVRSNEEVRCKLQELCKIPETIVSTNNIILQNQDTSILRITNKCTESIALFEIVCQGESTIKDDGQASYHHPRGSFGFPRWLQVTPAAGIIRPDHVAEVSVHIEAFHTQEEFVDGVPQNWWCEDDRDKEALLVVKVHGRYTMETRNHRIRVRHCCSTKMRKTDPKPRDSVQTQGNLLHRADYQKLSVSFDVVDHLRNLHSP >KJB81183 pep chromosome:Graimondii2_0_v6:13:34776123:34785620:1 gene:B456_013G132600 transcript:KJB81183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type II inositol polyphosphate 5-phosphatase 15 [Source:Projected from Arabidopsis thaliana (AT1G65580) UniProtKB/Swiss-Prot;Acc:Q84W55] MDANDDVPDLSPRIFDRYASSSDDDSQPSYPSLHSTNRRLDYMIQFLDRNLLPQNTSRPKPSLPEFVAKGGGQGIFTLPDRKPLHPNRPPYLQLRPHPLRETQFGRFLKTIASTDRQLWAGSESGAVRVWELKDLYEEGEEEEAAPFRESSAILSNGNGNGNAAVMCMVGDEGNGVVWSGHKDGKIRGWKMDCESGGFKEGWCWQAHRGPVLSVVFTCYGDLWSGSEGGNIRIWPWESINNALSLTMEERHMASLLMGKSFIDLRSQVAVNGFSSILNSDIKCLLSDNIRAKVWSSGYLSFALWDARTRELLKVFNIDGQIENRADLSLVPDFAMEDEIKMKIVASSKKEKTQSSFGFFQQSRNAIMGAADAVRRVAAKGGFLDDSRRIEALTITIDGMIWVGCANGMLIQWDGNGNRIQDIQPHPSAVLCLCNFGSKIWAGYASGTVHALDLDGNKLGEWVAHSSPVLKMAIGAGYIYTLAKHGGIRGWSITSPGPLDGILYSELTAKGFLYTRMENLTILTGTWNVAQGRASKGSLESWLHSAVSDVGIIVIGLQEVEMGAGFLAMSAVRESVGRDGSAVGQWWLDMIDETLHDMMERKSQDVMGKQSHQWRKFERVGSRQLAGMLIAVWVKDNLKPDVGDIDAAAVPCGFGRAIGNKGAVGLRLRVYDRIFCFVNCHFAAHLEAVGRRNADFDHVYRTMTFSRQSNLFNAGAAGASSAVQMVRGANATGANSVEGMPELSEADMLVFLGDFNYRLDDVSYDEARDFISQRSFDWLRERDQLRAEMEAGNVFQGMREAVITFAPTYKFDKHVAGLSGYDSGEKKRIPAWCDRILYRDSRRTLGSECSLECPVISSVSQYESCMDVTDSDHKPVICLFSVEIAHIDESVRRQEFGDIVRSNEEVRCKLQELCKIPETIVSTNNIILQNQDTSILRITNKCTESIALFEIVCQGESTIKDDGQASYHHPRGSFGFPRWLQVTPAAGIIRPDHVAEVSVHIEAFHTQEEFVDGVPQNWWCEDDRDKEALLVVKVHGRYTMETRNHRIRVRHCCSTKMRKTDPKPRDSVQTQGNLLHRADYQKLSVSFDVVDHLRNLHSP >KJB83103 pep chromosome:Graimondii2_0_v6:13:54835568:54839071:1 gene:B456_013G229600 transcript:KJB83103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKKPLKQLKLAVPAQETPISSFLTASGTFHDGDLLLNQKGLRLISEEKESRPSDAKELDFEFSLEDLETIKVIGKGSGGVVQLVRHKWVGRLFALKVIQMNIQEEIRKQIVQELKINQASQCSHVVVCYHSFYHNGAISLVLEYMDRGSLADVIRQVNTILEPYLAVVCKQVLQGLVYLHHERHVIHRDIKPSNLLVNHKGEVKITDFGVSAMLASSMGQRDTFVGTYNYMSPERISGSTYDYSSDIWSLGMVVLECAIGRFPYMQSEDQQSWPSFYELLEAIVEKPPPTAPSDQFSPEFCSFVSACIKKNPKERASSLDLLSHPFIRKFEDKDIDLGILVGSLEPPVNYPR >KJB81133 pep chromosome:Graimondii2_0_v6:13:34235326:34236828:1 gene:B456_013G130900 transcript:KJB81133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNITTTKAATVTAKAPKRAYVTFLAGNGDYVKGVVGLAKGLRKVNSKYPLVVALLPDVPEEHRKILVDQGCIIREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFDNIDHLFDMEDGYFYAVMDCFCEKTWSHTPQYKIGYCQQCPDRVQWPSQLGPKPPLYFNAGMFVYEPSLSTYEDLLTTLKVTPPTPFAEQDYLNMYFRDIYRPIPPIYNLVMAMLWRHPENIELDKAKVVHYCAAGSKPWRYTGKEDNMDREDIKTLVAKWWEIYDDESLDYNNVVASGQAAEADEDKQSGLQLLLAALSKAGGFVHRINAPSAA >KJB80339 pep chromosome:Graimondii2_0_v6:13:38156924:38157436:1 gene:B456_013G141100 transcript:KJB80339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYASLVAYHIFFNSTKYLYFLLLLISSLLYIFVFAKEMGNIKESAALYSSAATNDGNSTFWEFLHPPQISPSHHSNHSYFKLSTSVSTFSHIN >KJB81726 pep chromosome:Graimondii2_0_v6:13:43497073:43500294:1 gene:B456_013G159200 transcript:KJB81726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGNNNNNHQVSMQKEEGVMLKKGPWTAAEDAVLAEYVRTHGEGNWNAVQRNTGLARCGKSCRLRWANHLRPNLKKGAFSPEEERIIVELHAIMGNKWARMAARLPGRTDNEIKNYWNTRVKRRQRQGLPLYPPEIQSLYSQTQPVLTPPSTSSFSFQHSTTMLTSSSPSPPPRLLSYDPHSTATPPPVQSPSPASTPPPLPSPSHVSPLQSPHKPSFSSIPLADSFTSNTPSSSLDFYFPRPLPSLEQPLRNKRPRHDENNTNNGGSSFMLPFSSLMKTDPFNPHTVTNNSLNPQHYSNSYCLDQTTFDMASSSRFLQPHFDPGQFISTPRFGYNQLKTELPSNQIFTQDGNSQVRFDPKGNNNYSSHNQIQNSNHHSSGLNISGNGLVEDMLQEVQALNEKNEIMASQSCLVRPSSSSEGLASGLEAKEESKEQIMNTTKHVDYSHVNPSSMAMAIPEWYINNGESSIGQSSTVTYDDLALEFELHDQIASLLPIDTAPDHHGRSSSSYTWVHSFPGIC >KJB83283 pep chromosome:Graimondii2_0_v6:13:55792100:55796705:-1 gene:B456_013G239700 transcript:KJB83283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELVKDPVERKQKNASPLPYHGWVGPCEQVSLLYVGFGVRDASNYDSVKNFAQLMWPDGHPQFSDTIHTLATQMEELNNLVWLTLIDSYGLEEESLKMIYKTLVRMMKYMSPPPGEYKSGLHSHTNKPVSTLICEDQISGLEVEVNDNQWIMLSNLSPSSFIFVAWSNGRLKSVNHRVMMSGDKDRYSIAAFVIPNEGIIIKTPKEFIYDQHPRLFMDFDFMDFFHFAFSDLEKLIDSGFQDHFFLKFRWETAMEEGLELVFHILASSKFNSCFFHEIFIYLDFYN >KJB83284 pep chromosome:Graimondii2_0_v6:13:55792156:55796291:-1 gene:B456_013G239700 transcript:KJB83284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELVKDPVERKQKNASPLPYHGWVGPCEQVSLLYVGFGVRDASNYDSVKNFAQLMWPDGHPQFSDTIHTLATQMEELNNLVWLTLIDSYGLEEESLKMIYKTLVRMMKYMSPPPGEYKSGLHSHTNKPVSTLICEDQISGLEVEVNDNQWIMLSNLSPSSFIFVVGDPLKAWSNGRLKSVNHRVMMSGDKDRYSIAAFVIPNEGIIIKTPKEFIYDQHPRLFMDFDFMDFFHFAFSDLEKLIDSGFQDHFFLKFRWETAMEEGLELVFHILASSKFNSCFFHEIFIYLDFYN >KJB83286 pep chromosome:Graimondii2_0_v6:13:55793496:55796291:-1 gene:B456_013G239700 transcript:KJB83286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELVKDPVERKQKNASPLPYHGWVGPCEQVSLLYVGFGVRDASNYDSVKNFAQLMWPDGHPQFSDTIHTLATQMEELNNLVWLTLIDSYGLEEESLKMIYKTLVRMMKYMSPPPGEYKSGLHSHTNKPVSTLICEDQISGLEVEVNDNQWIMLSNLSPSSFIFVVGDPLKAWSNGRLKSVNHRVMMSGDKDRYSIAAFVIPNEGIIIKTPKEFIYDQHPRLFMDFDFMDFFHFAFSDLEKLIDSE >KJB83285 pep chromosome:Graimondii2_0_v6:13:55793253:55796705:-1 gene:B456_013G239700 transcript:KJB83285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELVKDPVERKQKNASPLPYHGWVGPCEQVSLLYVGFGVRDASNYDSVKNFAQLMWPDGHPQFSDTIHTLATQMEELNNLVWLTLIDSYGLEEESLKMIYKTLVRMMKYMSPPPGEYKSGLHSHTNKPVSTLICEDQISGLEVEVNDNQWIMLSNLSPSSFIFVAWSNGRLKSVNHRVMMSGDKDRYSIAAFVIPNEGIIIKTPKEFIYDQHPRLFMDFDFMDFFHFAFSDLEKLIDSE >KJB80277 pep chromosome:Graimondii2_0_v6:13:14332786:14333931:1 gene:B456_013G089800 transcript:KJB80277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPPPPLLKIDLWTIRSEYCRIIKAHSRHFLALSLLFLLPFSFFLSIYPFIAIEFYLSFLQQDPSIFPTKTFILNLLYTLPISIFSLLATGSITYSIFHGFYGRPIKLLSAIKAAFTSFFPLFSTCLMTQLIVSGISLILGLAFSAVVILGFQVRYPSPYFIFLCLVYVIILLFVVVHLQVNWIFAYVVVVVESSWGFEPLKRSRNLVKGMKGVALKIILFFGFFISINTWRSVMTYGDSAADKWTSWPFVLNIFVTSTFSMVFLFYNLAANTVFYIYAKALHGELAEDEEFAAKYVSLAVDDGKAPHVVSIV >KJB83774 pep chromosome:Graimondii2_0_v6:13:57692812:57693144:-1 gene:B456_013G263300 transcript:KJB83774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKYCKTSKKEASSSVNNSSSKRSSSLPRSFSAKTTTAAATTAESLLRSSSQKNPCSSSSSSSLPRSCSQKSSSISRKCSNLAKKQKARFYIMRRCVAMLVCWHKHGDS >KJB83764 pep chromosome:Graimondii2_0_v6:13:57669226:57671416:1 gene:B456_013G262800 transcript:KJB83764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILVYMVIISSIAIAENQNQFVYNGFHGSNLHLNGIAKIHPNGLLELTNTSYQQIGRAFFPFPIKFNTSSFSTTFVFAIVPKLPKLGGHGITFTISPSIEFFNRALANQYLGLFNITSNGHPTNRVFAIELDTMKNPEFEDIDDNHVGIDINSLQSYVSAPASYYSDKEKENKTLRLLSGKPVQLWIDYDERDMLLNVTMAPFGTQKPWLPLLSTNLNLSMSGSMHVGFSASTGSVPSDQYILGWSFNKSGQSQSLDYAKLPPPPPPRSRPRAKPDFRVAVPSVISCLLVITFIGTAYKMWIRRYEEIREDWEHEYGPHRFSYKDLYNATKGFKERELLGIGGFGEVYKGVLPSSNEQVAIKRVSHSSKQGMKEFIAEIATMGRLRHRNLVQLLGYCRRKGELLLVYDFMANGSLDTFLFTNEKMNLNWSQRVKILKGVASSLVYLHEDWEQVVIHRDIKASNVLLDAQLNGRLGDFGLAKFYNHGSNPRTTRLVGTIGYIAPELARTGKPTTGSDVFAFGNLMLEIACGRRPFEPEKSPEEVILYDWVLENWKIGLILRTSDPRLEGNYVVEEMELILNLGLLCANPTQEIRPSMRKVMQYLDGNASLSNNFFDSATNSLITAHNQESLNGTSFQASSDNISIVSLSSSTKSSLCYGR >KJB81723 pep chromosome:Graimondii2_0_v6:13:43448487:43450199:1 gene:B456_013G158900 transcript:KJB81723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSESRLRDSLRVPLSINNAQFAVNNFDRSSGTFPYSSGSNYVQQSTSHASSSYAGRGRGRYNNRLQCQLCGKLGHLVDRCFHRFDQNFAGVTAQTYSPRSVSVVGVNSCAFSEPTGPSDFHVESYCSYVPISPETYCSYVPTMSLPLISKYKGLFCPLMQFKLLNLLMRLVKQIQSMLLSHLFPLGFLILVLHIM >KJB79014 pep chromosome:Graimondii2_0_v6:13:2195009:2196236:-1 gene:B456_013G029100 transcript:KJB79014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLLLFLANILTISEGTCEPGIVKQIHLLRPRSGAGGNSIPGLSCLSWRLAVETNNIIGWKTVPEECEEYVGHYMLGKQYRKDSRAVAKEAFLYAQSLKLAGDGKDIWIFDIDETTLSNTPYYAQHGFGAEPYNATLFDKWVMEGAAPALPESLWLYNKLLSLGIKVVFLTGRHESQRNTTASNLKNVGYHAWNKLILKEPSKYSGKTAVFYKSKEREMLEKKGYRIIGNMGDQWSDLLGTNTGNRTFKLPDPMYYIS >KJB80819 pep chromosome:Graimondii2_0_v6:13:29323097:29325174:-1 gene:B456_013G118100 transcript:KJB80819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ricin B-like lectin EULS3 [Source:Projected from Arabidopsis thaliana (AT2G39050) UniProtKB/Swiss-Prot;Acc:Q945P1] MEFPFGHNHSHTHHHRNDDEENQERPPPPHLHHHEFTPPYPPPPSYQQPPGFDGPYPPPPPSSYLHQPGFDGPPPPSYFQQPGFPPPPPHQPPSYQYQQPPHVTHVHHQPEHSPSNYSPPPASVSHVAHESSQGRVDDHPSFRPHLPPELYKKPTVKVYCKADPNFHLTIRDGKVILAPSDPSDEFQHWYKDEKFSTSVKDEVGFPSFSLVNKATGQAIKHSIGASHPVQLVPYKPDHIDESVLWSESKEVRDGYRAIRMINNIRLNVDAFHGDKKSGGIQNGTTIVLWQWNKGDNQIWKIVPYCKLVIWCC >KJB80818 pep chromosome:Graimondii2_0_v6:13:29322921:29325208:-1 gene:B456_013G118100 transcript:KJB80818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ricin B-like lectin EULS3 [Source:Projected from Arabidopsis thaliana (AT2G39050) UniProtKB/Swiss-Prot;Acc:Q945P1] MEFPFGHNHSHTHHHRNDDEENQERPPPPHLHHHEFTPPYPPPPSYQQPPGFDGPYPPPPPSSYLHQPGFDGPPPPSYFQQPGFPPPPPHQPPSYQYQQPPHVTHVHHQPEHSPSNYSPPPASVSHVAHESSQGRVDDHPSFRPHLPPELYKKPTVKVYCKADPNFHLTIRDGKVILAPSDPSDEFQHWYKDEKFSTSVKDEVGFPSFSLVNKATGQAIKHSIGASHPVQLVPYKPDHIDESVLWSESKEVRDGYRAIRMINNIRLNVDAFHGDKKSGGIQNGTTIVLWQWNKGDNQIWKIVPY >KJB80969 pep chromosome:Graimondii2_0_v6:13:31890046:31901684:-1 gene:B456_013G123400 transcript:KJB80969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHLHRLNGLLLVLILLWAVSTYIFNIAFTVLNKEKWQDMEIWETIGLWHYPIPGFYLLAQFSLGVLVALSNLVNNSVFLYLSDRDGPSSSDDSSLDDTEETKVLIVATIVYGLRKSSRAMVLLLLFLIALNPGLIPALYMAFFLIFLLSHHVGTKIRQCLILLCEAHFTLLYLLQLNLISSTLMKKGSIAEKILSQLGSFNHAKSGDFLKIAVLACFSAIHNHGFEMLLSFSAIVQHTPCPPIGFTILRAGLLKSVILTVYTSKSRNPPEINFSHERKIGSYLNTIGKTFLLTYRSCGTYIVFLTILLTVYIVTPNYSSFGYLFFLLMWITERQLVGKTVKRLWLPLKVYAIAVFVFIYGLSAFSWLQEWLSRLVKLSSAFGYNPEASMLKNIWESLAVLVVLQLHRYERRQSKTFAPRDGVPETDAFTFLKRLLIWHSEKILYLTIFYASLSPISAFGFSYLFGLVICAISLKNSQIPSKLFLVYSASLVMIEYPFQMWGYQAEMFPGQKHHSVSFFLGLQVYEPGFFGVESGLRGKVLVIVACILQHSVSQWFEKMPSNFENEGWWEDSCGLFMSTEIASNGDMIFTRGRKCLDANPLLRKMKKPRSYSCPSLKTIILRGMNPAGGSEGRNHTNGHLQESSKDNHKWKRKQIHMLRKERLEMQKASLKVYIKFWVENMFNLFGLEINMIALLLASFSVLNAISLLYIASLAACILLHRDAINKLWLIFVFLFASIFTLEYMAFLLNLTSWMHLSPTKAKALCNDCWRSSHIHFDYCKKCWLGFIVDEPRMLISYYTVFMFSCLKLHADRLSSLSGFQTYKEMMFHSKQVSGLSDLSFETKVLWTFLDYLRLYSYCHLLDLVLALILITGTLEYDVLHLGYLGFALVFFRIRLQILKKKNSIFKFLRIYNFALIVLSLAYQSPFVGDFCEGKCEMIDRISKVIGLHKYGFHVTSRFALVEVIIFILVSLQSYMFSSLEFDYVSKYLEAEQISALVREQEKRAAWKTGHLQHIRKSEEQKRMRNLQVEKIKSEMLNLLIQLHSLSTTNCGSTFPEGKIKRNRNLSVNSNPSQTTPKKRDADLERKDLNGRLDLLSNHDMNGSPTSERTVVLCEEDSRQQSVNSLFEIYELHETVNGNMYSHTKPQEKYQSKRSPLASAVQLIGDGVSQVQSLGSMAVMNLASLLNIEHEKLDSYYHSSDDEVYYKVENHDFGGEHIERTHSMLSDSDRNMFNVARRQIKIIFHHIWDQMRSNNNVVCYCCFILIFLWNFSLLSMVYLVGLFLYALCVNTGPSHMFWVIMLIYTEVCILLQYLYQIIIQHFGMIFKAGLFRELGFPVCKNMSSFVISHWPLFLVYLFTLLQYAITASDGEWTATAEFSFTRKNHYQEIAAYDFGFFRRLKGLLLHLRNVMEVLIRNLYRYWISLTQESETPPYFVQLSMEVNLPPGDGIQPEMIESKMNKLLKIMHDRRCRDNLNIYPASRVRVQSIERSPENDNIALVVFEVLYASPSGDSSFKEGQRSLTPSADVTSEILEAYHADIFREIRFPYTILSVIGGGKRDIDLYAYVFCADLVVFFLVAIFYQSVIKNNSEFLEVYQHEDQFPKEFVFILMVIFFLIVLDRVIYLCSFATAKVIFYLFTLFLFTYSVTNYAWQMEPSDKHAGKFALRAIYLTKLISLALQAIQIRFGIPNESNLYRQFLTSSISQTNFLGFRIYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHGSLFLVKCDADLNRAKHQQGKKQTKLTKFCSGICLFFILICVIWAPMLMYSSGNPTNIANPIREASVQIDIKTSSGRLTLFETTLCERISWNKVKHEHIDLDPQGYLDNYNEVDIQLICCQPDASKVWLVPPVVQARFIDSLQQSMDIVFSWQFRRDRPKGKEVVKHQLLVADHDLPKSAEVMQVLNGTANSFRMFNIYPRYFRVTGSGDLRFLEQSVKKVSGDLVLNHGNPEWWSFQDIDVSGGTGCCEFAGPVAIIVSEETPQGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIQVENLPSCDRLLAICEDIYAARAEGELEVEEVLYWTLVSIYRSPHMLLEYTKPD >KJB80970 pep chromosome:Graimondii2_0_v6:13:31890046:31901684:-1 gene:B456_013G123400 transcript:KJB80970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHLHRLNGLLLVLILLWAVSTYIFNIAFTVLNKEKWQDMEIWETIGLWHYPIPGFYLLAQFSLGVLVALSNLVNNSVFLYLSDRDGPSSSDDSSLDDTEETKVLIVATIVYGLRKSSRAMVLLLLFLIALNPGLIPALYMAFFLIFLLSHHVGTKIRQCLILLCEAHFTLLYLLQLNLISSTLMKKGSIAEKILSQLGSFNHAKSGDFLKIAVLACFSAIHNHGFEMLLSFSAIVQHTPCPPIGFTILRAGLLKSVILTVYTSKSRNPPEINFSHERKIGSYLNTIGKTFLLTYRSCGTYIVFLTILLTVYIVTPNYSSFGYLFFLLMWITERQLVGKTVKRLWLPLKVYAIAVFVFIYGLSAFSWLQEWLSRLVKLSSAFGYNPEASMLKNIWESLAVLVVLQLHRYERRQSKTFAPRDGVPETDAFTFLKRLLIWHSEKILYLTIFYASLSPISAFGFSYLFGLVICAISLKNSQIPSKLFLVYSASLVMIEYPFQMWGYQAEMFPGQKHHSVSFFLGLQVYEPGFFGVESGLRGKVLVIVACILQHSVSQWFEKMPSNFENEGWWEDSCGLFMSTEIASNGDMIFTRGRKCLDANPLLRKMKKPRSYSCPSLKTIILRGMNPAGGSEGRNHTNGHLQESSKDNHKWKRKQIHMLRKERLEMQKASLKVYIKFWVENMFNLFGLEINMIALLLASFSVLNAISLLYIASLAACILLHRDAINKLWLIFVFLFASIFTLEYMAFLLNLTSWMHLSPTKAKALCNDCWRSSHIHFDYCKKCWLGFIVDEPRMLISYYTVFMFSCLKLHADRLSSLSGFQTYKEMMFHSKQVSGLSDLSFETKVLWTFLDYLRLYSYCHLLDLVLALILITGTLEYDVLHLGYLGFALVFFRIRLQILKKKNSIFKFLRIYNFALIVLSLAYQSPFVGDFCEGKCEMIDRISKVIGLHKYGFHVTSRFALVEVIIFILVSLQSYMFSSLEFDYVSKYLEAEQISALVREQEKRAAWKTGHLQHIRKSEEQKRMRNLQVEKIKSEMLNLLIQLHSLSTTNCGSTFPEGKIKRNRNLSVNSNPSQTTPKKRDADLERKDLNGRLDLLSNHDMNGSPTSERTVVLCEEDSRQQSVNSLFEIYELHETVNGNMYSHTKPQEKYQSKRSPLASAVQLIGDGVSQVQSLGSMAVMNLASLLNIEHEKLDSYYHSSDDEVYYKVENHDFGGEHIERTHSMLSDSDRNMFNVARRQIKIIFHHIWDQMRSNNNVVCYCCFILIFLWNFSLLSMVYLVGLFLYALCVNTGPSHMFWVIMLIYTEVCILLQYLYQIIIQHFGMIFKAGLFRELGFPVCKNMSSFVISHWPLFLVYLFTLLQYAITASDGEWTATAEFSFTRKNHYQEIAAYDFGFFRRLKGLLLHLRNVMEVLIRNLYRYWISLTQESETPPYFVQLSMEVNLPPGDGIQPEMIESKMNKLLKIMHDRRCRDNLNIYPASRVRVQSIERSPENDNIALVVFEVLYASPSGDSSFKEGQRSLTPSADVTSEILEAYHADIFREIRFPYTILSVIGGGKRDIDLYAYVFCADLVVFFLVAIFYQSVIKNNSEFLEVYQHEDQFPKEFVFILMVIFFLIVLDRVIYLCSFATAKVIFYLFTLFLFTYSVTNYAWQMEPSDKHAGKFALRAIYLTKLISLALQAIQIRFGIPNESNLYRQFLTSSISQTNFLGFRIYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHGSLFLVKCDADLNRAKHQQGKKQTKLTKFCSGICLFFILICVIWAPMLMYSSGNPTNIANPIREASVQIDIKTSSGRLTLFETTLCERISWNKVKHEHIDLDPQGYLDNYNEVDIQLICCQPDASKVWLVPPVVQARFIDSLQQSMDIVFSWQFRRDRPKGKEVVKHQLLVADHDLPKSAEVMQVLNGTANSFRMFNIYPRYFRVTGSGDLRFLEQSVKKVSGDLVLNHGNPEWWSFQDIDVSGGTGCCEFAGPVAIIVSEETPQGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIQVENLPSCDRLLAICEDIYAARAEGELEVEEVLYWTLVSIYRSPHMLLEYTKPD >KJB80971 pep chromosome:Graimondii2_0_v6:13:31891347:31901454:-1 gene:B456_013G123400 transcript:KJB80971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVLAALVALLEISGSRFGLDSQSDSFWGHLYSFVLQIGSHLRVLCCLLLPAVQLVVGISHPSWASLPFFICSSIGLVDWSLTSNFLGLFRSWRYLLLYTGLNIVLLYIYQLPVEYSGIFKWLADFIGIYKISAKSEWSEICSGLSLIVFYIILSWIRCDLMEMDFIMSARASSLTRQLLPSKHSFFIRESRSGVRHTNILLRGPILRTFGINYFTYGFPISLLALSFWSFHFASLYAFGLLAYVGYILYVFPSMYHLHRLNGLLLVLILLWAVSTYIFNIAFTVLNKEKWQDMEIWETIGLWHYPIPGFYLLAQFSLGVLVALSNLVNNSVFLYLSDRDGPSSSDDSSLDDTEETKVLIVATIVYGLRKSSRAMVLLLLFLIALNPGLIPALYMAFFLIFLLSHHVGTKIRQCLILLCEAHFTLLYLLQLNLISSTLMKKGSIAEKILSQLGSFNHAKSGDFLKIAVLACFSAIHNHGFEMLLSFSAIVQHTPCPPIGFTILRAGLLKSVILTVYTSKSRNPPEINFSHERKIGSYLNTIGKTFLLTYRSCGTYIVFLTILLTVYIVTPNYSSFGYLFFLLMWITERQLVGKTVKRLWLPLKVYAIAVFVFIYGLSAFSWLQEWLSRLVKLSSAFGYNPEASMLKNIWESLAVLVVLQLHRYERRQSKTFAPRDGVPETDAFTFLKRLLIWHSEKILYLTIFYASLSPISAFGFSYLFGLVICAISLKNSQIPSKLFLVYSASLVMIEYPFQMWGYQAEMFPGQKHHSVSFFLGLQVYEPGFFGVESGLRGKVLVIVACILQHSVSQWFEKMPSNFENEGWWEDSCGLFMSTEIASNGDMIFTRGRKCLDANPLLRKMKKPRSYSCPSLKTIILRGMNPAGGSEGRNHTNGHLQESSKDNHKWKRKQIHMLRKERLEMQKASLKVYIKFWVENMFNLFGLEINMIALLLASFSVLNAISLLYIASLAACILLHRDAINKLWLIFVFLFASIFTLEYMAFLLNLTSWMHLSPTKAKALCNDCWRSSHIHFDYCKKCWLGFIVDEPRMLISYYTVFMFSCLKLHADRLSSLSGFQTYKEMMFHSKQVSGLSDLSFETKVLWTFLDYLRLYSYCHLLDLVLALILITGTLEYDVLHLGYLGFALVFFRIRLQILKKKNSIFKFLRIYNFALIVLSLAYQSPFVGDFCEGKCEMIDRISKVIGLHKYGFHVTSRFALVEVIIFILVSLQSYMFSSLEFDYVSKYLEAEQISALVREQEKRAAWKTGHLQHIRKSEEQKRMRNLQVEKIKSEMLNLLIQLHSLSTTNCGSTFPEGKIKRNRNLSVNSNPSQTTPKKRDADLERKDLNGRLDLLSNHDMNGSPTSERTVVLCEEDSRQQSVNSLFEIYELHETVNGNMYSHTKPQEKYQSKRSPLASAVQLIGDGVSQVQSLGSMAVMNLASLLNIEHEKLDSYYHSSDDEVYYKVENHDFGGEHIERTHSMLSDSDRNMFNVARRQIKIIFHHIWDQMRSNNNVVCYCCFILIFLWNFSLLSMVYLVGLFLYALCVNTGPSHMFWVIMLIYTEVCILLQYLYQIIIQHFGMIFKAGLFRELGFPVCKNMSSFVISHWPLFLVYLFTLLQYAITASDGEWTATAEFSFTRKNHYQEIAAYDFGFFRRLKGLLLHLRNVMEVLIRNLYRYWISLTQESETPPYFVQLSMEVNLPPGDGIQPEMIESKMNKLLKIMHDRRCRDNLNIYPASRVRVQSIERSPENDNIALVVFEVLYASPSGDSSFKEGQRSLTPSADVTSEILEAYHADIFREIRFPYTILSVIGGGKRDIDLYAYVFCADLVVFFLVAIFYQSVIKNNSEFLEVYQHEDQFPKEFVFILMVIFFLIVLDRVIYLCSFATAKVIFYLFTLFLFTYSVTNYAWQMEPSDKHAGKFALRAIYLTKLISLALQAIQIRFGIPNESNLYRQFLTSSISQTNFLGFRIYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHGSLFLVKCDADLNRAKHQQGKKQTKLTKFCSGICLFFILICVIWAPMLMYSSGNPTNIANPIREASVQIDIKTSSGRLTLFETTLCERISWNKVKHEHIDLDPQGYLDNYNEVDIQLICCQPDASKVWLVPPVVQARFIDSLQQSMDIVFSWQFRRDRPKGKEVVKHQLLVADHDLPKSAEVMQVLNGTANSFRMFNIYPRYFRVTGSGDLRFLEQSVC >KJB80968 pep chromosome:Graimondii2_0_v6:13:31890127:31902326:-1 gene:B456_013G123400 transcript:KJB80968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALLNRSLISLVDLLAFLLIQYAAPEIGFQFPRQSLLSWYTLIFSSLTILSHAIFYIVWVVKGDQWSISDAQWAKLIGFVRDQSSSFPSLIYFLMVQVLAALVALLEISGSRFGLDSQSDSFWGHLYSFVLQIGSHLRVLCCLLLPAVQLVVGISHPSWASLPFFICSSIGLVDWSLTSNFLGLFRSWRYLLLYTGLNIVLLYIYQLPVEYSGIFKWLADFIGIYKISAKSEWSEICSGLSLIVFYIILSWIRCDLMEMDFIMSARASSLTRQLLPSKHSFFIRESRSGVRHTNILLRGPILRTFGINYFTYGFPISLLALSFWSFHFASLYAFGLLAYVGYILYVFPSMYHLHRLNGLLLVLILLWAVSTYIFNIAFTVLNKEKWQDMEIWETIGLWHYPIPGFYLLAQFSLGVLVALSNLVNNSVFLYLSDRDGPSSSDDSSLDGEETIMSNFIYLFRYTEETKVLIVATIVYGLRKSSRAMVLLLLFLIALNPGLIPALYMAFFLIFLLSHHVGTKIRQCLILLCEAHFTLLYLLQLNLISSTLMKKGSIAEKILSQLGSFNHAKSGDFLKIAVLACFSAIHNHGFEMLLSFSAIVQHTPCPPIGFTILRAGLLKSVILTVYTSKSRNPPEINFSHERKIGSYLNTIGKTFLLTYRSCGTYIVFLTILLTVYIVTPNYSSFGYLFFLLMWITERQLVGKTVKRLWLPLKVYAIAVFVFIYGLSAFSWLQEWLSRLVKLSSAFGYNPEASMLKNIWESLAVLVVLQLHRYERRQSKTFAPRDGVPETDAFTFLKRLLIWHSEKILYLTIFYASLSPISAFGFSYLFGLVICAISLKNSQIPSKLFLVYSASLVMIEYPFQMWGYQAEMFPGQKHHSVSFFLGLQVYEPGFFGVESGLRGKVLVIVACILQHSVSQWFEKMPSNFENEGWWEDSCGLFMSTEIASNGDMIFTRGRKCLDANPLLRKMKKPRSYSCPSLKTIILRGMNPAGGSEGRNHTNGHLQESSKDNHKWKRKQIHMLRKERLEMQKASLKVYIKFWVENMFNLFGLEINMIALLLASFSVLNAISLLYIASLAACILLHRDAINKLWLIFVFLFASIFTLEYMAFLLNLTSWMHLSPTKAKALCNDCWRSSHIHFDYCKKCWLGFIVDEPRMLISYYTVFMFSCLKLHADRLSSLSGFQTYKEMMFHSKQVSGLSDLSFETKVLWTFLDYLRLYSYCHLLDLVLALILITGTLEYDVLHLGYLGFALVFFRIRLQILKKKNSIFKFLRIYNFALIVLSLAYQSPFVGDFCEGKCEMIDRISKVIGLHKYGFHVTSRFALVEVIIFILVSLQSYMFSSLEFDYVSKYLEAEQISALVREQEKRAAWKTGHLQHIRKSEEQKRMRNLQVEKIKSEMLNLLIQLHSLSTTNCGSTFPEGKIKRNRNLSVNSNPSQTTPKKRDADLERKDLNGRLDLLSNHDMNGSPTSERTVVLCEEDSRQQSVNSLFEIYELHETVNGNMYSHTKPQEKYQSKRSPLASAVQLIGDGVSQVQSLGSMAVMNLASLLNIEHEKLDSYYHSSDDEVYYKVENHDFGGEHIERTHSMLSDSDRNMFNVARRQIKIIFHHIWDQMRSNNNVVCYCCFILIFLWNFSLLSMVYLVGLFLYALCVNTGPSHMFWVIMLIYTEVCILLQYLYQIIIQHFGMIFKAGLFRELGFPVCKNMSSFVISHWPLFLVYLFTLLQYAITASDGEWTATAEFSFTRKNHYQEIAAYDFGFFRRLKGLLLHLRNVMEVLIRNLYRYWISLTQESETPPYFVQLSMEVNLPPGDGIQPEMIESKMNKLLKIMHDRRCRDNLNIYPASRVRVQSIERSPENDNIALVVFEVLYASPSGDSSFKEGQRSLTPSADVTSEILEAYHADIFREIRFPYTILSVIGGGKRDIDLYAYVFCADLVVFFLVAIFYQSVIKNNSEFLEVYQHEDQFPKEFVFILMVIFFLIVLDRVIYLCSFATAKVIFYLFTLFLFTYSVTNYAWQMEPSDKHAGKFALRAIYLTKLISLALQAIQIRFGIPNESNLYRQFLTSSISQTNFLGFRIYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHGSLFLVKCDADLNRAKHQQGKKQTKLTKFCSGICLFFILICVIWAPMLMYSSGNPTNIANPIREASVQIDIKTSSGRLTLFETTLCERISWNKVKHEHIDLDPQGYLDNYNEVDIQLICCQPDASKVWLVPPVVQARFIDSLQQSMDIVFSWQFRRDRPKGKEVVKHQLLVADHDLPKSAEVMQVLNGTANSFRMFNIYPRYFRVTGSGDLRFLEQSVKKVSGDLVLNHGNPEWWSFQDIDVSGGTGCCEFAGPVAIIVSEETPQGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIQVENLPSCDRLLAICEDIYAARAEGELEVEEVLYWTLVSIYRSPHMLLEYTKPD >KJB80972 pep chromosome:Graimondii2_0_v6:13:31890897:31901684:-1 gene:B456_013G123400 transcript:KJB80972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVLAALVALLEISGSRFGLDSQSDSFWGHLYSFVLQIGSHLRVLCCLLLPAVQLVVGISHPSWASLPFFICSSIGLVDWSLTSNFLGLFRSWRYLLLYTGLNIVLLYIYQLPVEYSGIFKWLADFIGIYKISAKSEWSEICSGLSLIVFYIILSWIRCDLMEMDFIMSARASSLTRQLLPSKHSFFIRESRSGVRHTNILLRGPILRTFGINYFTYGFPISLLALSFWSFHFASLYAFGLLAYVGYILYVFPSMYHLHRLNGLLLVLILLWAVSTYIFNIAFTVLNKEKWQDMEIWETIGLWHYPIPGFYLLAQFSLGVLVALSNLVNNSVFLYLSDRDGPSSSDDSSLDDTEETKVLIVATIVYGLRKSSRAMVLLLLFLIALNPGLIPALYMAFFLIFLLSHHVGTKIRQCLILLCEAHFTLLYLLQLNLISSTLMKKGSIAEKILSQLGSFNHAKSGDFLKIAVLACFSAIHNHGFEMLLSFSAIVQHTPCPPIGFTILRAGLLKSVILTVYTSKSRNPPEINFSHERKIGSYLNTIGKTFLLTYRSCGTYIVFLTILLTVYIVTPNYSSFGYLFFLLMWITERQLVGKTVKRLWLPLKVYAIAVFVFIYGLSAFSWLQEWLSRLVKLSSAFGYNPEASMLKNIWESLAVLVVLQLHRYERRQSKTFAPRDGVPETDAFTFLKRLLIWHSEKILYLTIFYASLSPISAFGFSYLFGLVICAISLKNSQIPSKLFLVYSASLVMIEYPFQMWGYQAEMFPGQKHHSVSFFLGLQVYEPGFFGVESGLRGKVLVIVACILQHSVSQWFEKMPSNFENEGWWEDSCGLFMSTEIASNGDMIFTRGRKCLDANPLLRKMKKPRSYSCPSLKTIILRGMNPAGGSEGRNHTNGHLQESSKDNHKWKRKQIHMLRKERLEMQKASLKVYIKFWVENMFNLFGLEINMIALLLASFSVLNAISLLYIASLAACILLHRDAINKLWLIFVFLFASIFTLEYMAFLLNLTSWMHLSPTKAKALCNDCWRSSHIHFDYCKKCWLGFIVDEPRMLISYYTVFMFSCLKLHADRLSSLSGFQTYKEMMFHSKQVSGLSDLSFETKVLWTFLDYLRLYSYCHLLDLVLALILITGTLEYDVLHLGYLGFALVFFRIRLQILKKKNSIFKFLRIYNFALIVLSLAYQSPFVGDFCEGKCEMIDRISKVIGLHKYGFHVTSRFALVEVIIFILVSLQSYMFSSLEFDYVSKYLEAEQISALVREQEKRAAWKTGHLQHIRKSEEQKRMRNLQVEKIKSEMLNLLIQLHSLSTTNCGSTFPEGKIKRNRNLSVNSNPSQTTPKKRDADLERKDLNGRLDLLSNHDMNGSPTSERTVVLCEEDSRQQSVNSLFEIYELHETVNGNMYSHTKPQEKYQSKRSPLASAVQLIGDGVSQVQSLGSMAVMNLASLLNIEHEKLDSYYHSSDDEVYYKVENHDFGGEHIERTHSMLSDSDRNMFNVARRQIKIIFHHIWDQMRSNNNVVCYCCFILIFLWNFSLLSMVYLVGLFLYALCVNTGPSHMFWVIMLIYTEVCILLQYLYQIIIQHFGMIFKAGLFRELGFPVCKNMSSFVISHWPLFLVYLFTLLQYAITASDGEWTATAEFSFTRKNHYQEIAAYDFGFFRRLKGLLLHLRNVMEVLIRNLYRYWISLTQESETPPYFVQLSMEVNLPPGDGIQPEMIESKMNKLLKIMHDRRCRDNLNIYPASRVRVQSIERSPENDNIALVVFEVLYASPSGDSSFKEGQRSLTPSADVTSEILEAYHADIFREIRFPYTILSVIGGGKRDIDLYAYVFCADLVVFFLVAIFYQSVIKNNSEFLEVYQHEDQFPKEFVFILMVIFFLIVLDRVIYLCSFATAKVIFYLFTLFLFTYSVTNYAWQMEPSDKHAGKFALRAIYLTKLISLALQAIQIRFGIPNESNLYRQFLTSSISQTNFLGFRIYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHGSLFLVKCDADLNRAKHQQGKKQTKLTKFCSGICLFFILICVIWAPMLMYSSGNPTNIANPIREASVQIDIKTSSGRLTLFETTLCERISWNKVKHEHIDLDPQGYLDNYNEVDIQLICCQPDASKVWLVPPVVQARFIDSLQQSMDIVFSWQFRRDRPKGKEVVKHQLLVADHDLPKSAEVMQVLNGTANSFRMFNIYPRYFRVTGSGDLRFLEQSVKKVSGDLVLNHGNPEWWSFQDIDVSGGTGCCEFAGPVAIIVSEETPRKF >KJB80967 pep chromosome:Graimondii2_0_v6:13:31889860:31902326:-1 gene:B456_013G123400 transcript:KJB80967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALLNRSLISLVDLLAFLLIQYAAPEIGFQFPRQSLLSWYTLIFSSLTILSHAIFYIVWVVKGDQWSISDAQWAKLIGFVRDQSSSFPSLIYFLMVQVLAALVALLEISGSRFGLDSQSDSFWGHLYSFVLQIGSHLRVLCCLLLPAVQLVVGISHPSWASLPFFICSSIGLVDWSLTSNFLGLFRSWRYLLLYTGLNIVLLYIYQLPVEYSGIFKWLADFIGIYKISAKSEWSEICSGLSLIVFYIILSWIRCDLMEMDFIMSARASSLTRQLLPSKHSFFIRESRSGVRHTNILLRGPILRTFGINYFTYGFPISLLALSFWSFHFASLYAFGLLAYVGYILYVFPSMYHLHRLNGLLLVLILLWAVSTYIFNIAFTVLNKEKWQDMEIWETIGLWHYPIPGFYLLAQFSLGVLVALSNLVNNSVFLYLSDRDGPSSSDDSSLDGEETIMSNFIYLFRYTEETKVLIVATIVYGLRKSSRAMVLLLLFLIALNPGLIPALYMAFFLIFLLSHHVGTKIRQCLILLCEAHFTLLYLLQLNLISSTLMKKGSIAEKILSQLGSFNHAKSGDFLKIAVLACFSAIHNHGFEMLLSFSAIVQHTPCPPIGFTILRAGLLKSVILTVYTSKSRNPPEINFSHERKIGSYLNTIGKTFLLTYRSCGTYIVFLTILLTVYIVTPNYSSFGYLFFLLMWITERQLVGKTVKRLWLPLKVYAIAVFVFIYGLSAFSWLQEWLSRLVKLSSAFGYNPEASMLKNIWESLAVLVVLQLHRYERRQSKTFAPRDGVPETDAFTFLKRLLIWHSEKILYLTIFYASLSPISAFGFSYLFGLVICAISLKNSQIPSKLFLVYSASLVMIEYPFQMWGYQAEMFPGQKHHSVSFFLGLQVYEPGFFGVESGLRGKVLVIVACILQHSVSQWFEKMPSNFENEGWWEDSCGLFMSTEIASNGDMIFTRGRKCLDANPLLRKMKKPRSYSCPSLKTIILRGMNPAGGSEGRNHTNGHLQESSKDNHKWKRKQIHMLRKERLEMQKASLKVYIKFWVENMFNLFGLEINMIALLLASFSVLNAISLLYIASLAACILLHRDAINKLWLIFVFLFASIFTLEYMAFLLNLTSWMHLSPTKAKALCNDCWRSSHIHFDYCKKCWLDEPRMLISYYTVFMFSCLKLHADRLSSLSGFQTYKEMMFHSKQVSGLSDLSFETKVLWTFLDYLRLYSYCHLLDLVLALILITGTLEYDVLHLGYLGFALVFFRIRLQILKKKNSIFKFLRIYNFALIVLSLAYQSPFVGDFCEGKCEMIDRISKVIGLHKYGFHVTSRFALVEVIIFILVSLQSYMFSSLEFDYVSKYLEAEQISALVREQEKRAAWKTGHLQHIRKSEEQKRMRNLQVEKIKSEMLNLLIQLHSLSTTNCGSTFPEGKIKRNRNLSVNSNPSQTTPKKRDADLERKDLNGRLDLLSNHDMNGSPTSERTVVLCEEDSRQQSVNSLFEIYELHETVNGNMYSHTKPQEKYQSKRSPLASAVQLIGDGVSQVQSLGSMAVMNLASLLNIEHEKLDSYYHSSDDEVYYKVENHDFGGEHIERTHSMLSDSDRNMFNVARRQIKIIFHHIWDQMRSNNNVVCYCCFILIFLWNFSLLSMVYLVGLFLYALCVNTGPSHMFWVIMLIYTEVCILLQYLYQIIIQHFGMIFKAGLFRELGFPVCKNMSSFVISHWPLFLVYLFTLLQYAITASDGEWTATAEFSFTRKNHYQEIAAYDFGFFRRLKGLLLHLRNVMEVLIRNLYRYWISLTQESETPPYFVQLSMEVNLPPGDGIQPEMIESKMNKLLKIMHDRRCRDNLNIYPASRVRVQSIERSPENDNIALVVFEVLYASPSGDSSFKEGQRSLTPSADVTSEILEAYHADIFREIRFPYTILSVIGGGKRDIDLYAYVFCADLVVFFLVAIFYQSVIKNNSEFLEVYQHEDQFPKEFVFILMVIFFLIVLDRVIYLCSFATAKVIFYLFTLFLFTYSVTNYAWQMEPSDKHAGKFALRAIYLTKLISLALQAIQIRFGIPNESNLYRQFLTSSISQTNFLGFRIYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHGSLFLVKCDADLNRAKHQQGKKQTKLTKFCSGICLFFILICVIWAPMLMYSSGNPTNIANPIREASVQIDIKTSSGRLTLFETTLCERISWNKVKHEHIDLDPQGYLDNYNEVDIQLICCQPDASKVWLVPPVVQARFIDSLQQSMDIVFSWQFRRDRPKGKEVVKHQLLVADHDLPKSAEVMQVLNGTANSFRMFNIYPRYFRVTGSGDLRFLEQSVKKVSGDLVLNHGNPEWWSFQDIDVSGGTGCCEFAGPVAIIVSEETPQGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIQVENLPSCDRLLAICEDIYAARAEGELEVEEVLYWTLVSIYRSPHMLLEYTKPD >KJB80043 pep chromosome:Graimondii2_0_v6:13:10220491:10221451:-1 gene:B456_013G0781002 transcript:KJB80043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGSSFWGMALILASTNLLTARIAAGCFLLALVVVLFYAKNWTLRGLSIGFIIFLALIWFLQERTTVHILCYAILFIGVMNSLFSVYDIYDYLISRGVNSSDAEKFAEICPCPCNGVGWGFIWGMISFIFLRASVYLGLLILA >KJB82974 pep chromosome:Graimondii2_0_v6:13:54334189:54336083:1 gene:B456_013G223400 transcript:KJB82974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFPNFFPSRFLLLLLPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQCLTPNIGVVYSGMGLDFRVLVWKSRKQAEQYHRLYEVLMALNDA >KJB82975 pep chromosome:Graimondii2_0_v6:13:54334200:54336083:1 gene:B456_013G223400 transcript:KJB82975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFPFQISTSSSVRPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQCLTPNIGVVYSGMGLDFRVLVWKSRKQAEQYHRLYEVLMALNDA >KJB81782 pep chromosome:Graimondii2_0_v6:13:43769118:43773669:-1 gene:B456_013G160800 transcript:KJB81782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSQAPKSTKPSKVSTLAPPSNPVKPSSVSSHLAMVELKQRILTSLSKLSDRDTYQIAVEELEKTIQSLSPESFPMLLNCLFDSSNDPKQAVKKESLRLLSVLCNCHGELAASHLTKIVAHIVKRLKDADSGVKDACRDSIGALSGQYLKGESGGNMVGLFVKPLFEAMGEQNKGVQSGASTCMAKMVECASDPPLPAFQKLCPRICKLLNNQNFMAKASLLPVVASLSQVGAIAPQSLEALLLSIHECLGSTDWATRKAAADSLSALALHSSNLIADRASSTITILEGCRFDRMKPVRDSMTEALQLWKKIAGKGEDGAADDLKALSHDGDNIQSAESSEKNGSKDPSAGDKKTHTSDSVSEGKGGSIIDKAVVILKKKSPALTDRELNPEFFQKLETRGSDDLPVEVVVPRRYLNSSNLKSEEVSDPNGSDTRRRLSGVGDNQTDDFHASSSSKNRKIERGAAGMRDKWPEEKINGNDLRKRATDADDRIDVNQREPSGNRLGFSKVGGQSEGSFVSNKGSWVAIQRQLVQLERHQAHLMNMLQDFMGGSHDSMVTLENRVRGLERIVEDMARDLSISSSRRGGNFTAAFEGPYNRHLGKYNGFSDYGSKFNGQIPFVERFAQSDGIVPGVRGRGPSWRSEMPDDWDFPAFGASRNGQVVSRRAPASSSRDSRSPKSEHESDQFGGRRGWDKGPGPARLGEGPSARSVWQASKDEATLEAIRVAGEDSVASQSGRVPELTAEAVGDDNVGPERDPVWTSWSNAMHALQVGDMDSAYAEVLSTGDDLLLIKLMDRSGPMVDQLSKEIANDALHSIVQFLMEQDLFDICLSWIQQLVKVVIENGPDALGIPMELKKELLLNLHEAASTMDPPENWEGAVPDQLLLQLASAWKIELQQFDK >KJB82058 pep chromosome:Graimondii2_0_v6:13:46242534:46244100:-1 gene:B456_013G173900 transcript:KJB82058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKKEDVGNFFNKTETSLMDQNKKQIQKHQPTTCPCPLHTLELFPQLYSKQAIKQTANMKLTETKHKKKKQKSKMSLGETKDDDSSSHVRKQNGPSNQQGDPMVKLSSSFPDMKQKRLM >KJB82410 pep chromosome:Graimondii2_0_v6:13:49636843:49642091:-1 gene:B456_013G194500 transcript:KJB82410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WGTNLKLIISKYPRIKGINFDLPFVVKDAPSIPGVEHVGGDMFNKVPNGEVIFMKWILHDWRDEECLKLLRNCYEAISECGKVIVLESILPELPTPDIVTATTLICDAILLHLLPGAKERTFKEFETLVVQTGFAAFKPVCRVYNYWVIELLKNMNNSPQ >KJB79967 pep chromosome:Graimondii2_0_v6:13:9090328:9091139:1 gene:B456_013G075100 transcript:KJB79967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKLHPHRVFTIILLGVPFLLPGLSPLQAQLPLIPPGFYMLRWMVPGRAEADFGRFDTKIVIRKVAVDDQEILDLVDSSAPLPPWLSEEDLAVYGSLYEKSGFRTALQVPYWTMMQSCRLDDGKTRALGLLIMGEQDYIMKFPELEDYIRTGKVKEFVPNLDVTFLAQGNHFVQEQLPGEVNHFIISFLNKHRK >KJB81897 pep chromosome:Graimondii2_0_v6:13:44951400:44954746:1 gene:B456_013G166500 transcript:KJB81897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G52880) UniProtKB/TrEMBL;Acc:F4J849] MASSFNFVIGSAKFIFAPIERCLNCKRNFDENMKVLQKLLKELNTVKEVIELRLSAEIHGGTMQTEEVKNWLDDVQRIKTEIEIIERKAKEKKFLSRVFLGKMVEEKVVELKAFLRKGKAFLGMVKSFKYIIVGGGVAAGYAAREFDRQGLKPGQLAIISKEAVAPYERPTLSKGYLNPNPKAAARLPEFHVCVGSGGDRLLPDWYKEKGIQLILGTEIVKVNLALKTLVSAAGEIFKFQTLIIATGSTVIRLTDFQVEGADAKNIFYLRELEDADKLVESIKMKKYGKAVIIGGGYIGLEVAAAMSINDFDVTMVYPDPWCMPRLFTPTMAAFYESYYENKGIKIIKGTVSVGFNANANGEVKGVKLKDGRVLEADIVVVGVGARPLTALFKGQLEEDKGGIKTDGFFKTSMPGVYAVGDVATFPIKLYNEMRRVEHVDHARKSAEHAVKAIKANETVLWRQCWRNFVFQRRQSIITEAKIWVLLDQRWKDCWSFP >KJB81898 pep chromosome:Graimondii2_0_v6:13:44951619:44954724:1 gene:B456_013G166500 transcript:KJB81898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G52880) UniProtKB/TrEMBL;Acc:F4J849] MASSFNFVIGSAKFIFAPIERCLNCKRNFDENMKVLQKLLKELNTVKEVIELRLSAEIHGGTMQTEEVKNWLDDVQRIKTEIEIIERKAKEKKFLSRVFLGKMVEEKVVELKAFLRKGKAFLGMVKSFKYIIVGGGVAAGYAAREFDRQGLKPGQLAIISKEAVAPYERPTLSKGYLNPNPKAAARLPEFHVCVGSGGDRLLPDWYKEKGIQLILGTEIVKVNLALKTLVSAAGEIFKFQTLIIATGSTVIRLTDFQVEGADAKNIFYLRELEDADKLVESIKMKKYGKAVIIGGGYIGLEVAAAMSINDFDVTMVYPDPWCMPRLFTPTMAAFYESYYENKGIKIIKGTVSVGFNANANGEVKGVKLKDGRVLEADIVVVGVGARPLTALFKGQLEEDKGGIKTDGFFKTSMPGVYAVGDVATFPIKLYNEMRRVEHVDHARKSAEHAVKAIKANETGKELEEYDYLPYFYSRTFELSWQFYGDNVGETLFFRDDNPLSPKPKFGSYWIKDGKIVGAFLEGGTPEENKAIAKVSRLNPPVENLDQLKKEGLSFASKF >KJB81896 pep chromosome:Graimondii2_0_v6:13:44950293:44954619:1 gene:B456_013G166500 transcript:KJB81896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G52880) UniProtKB/TrEMBL;Acc:F4J849] MGIWDLISWSTDSVRGLWQSSCGHGSTVITKGKEVSVDALQKVNHHGSAAISKGKEMSCTALEKMNHHLSDPETRSKISRVATDIAKNATVEGLKTIPGAYPTYKNCIKVISDDDQKFKSENKSKKQEEALKALQATVSKLEKEVDVLREQAARQAVKTKPRNTVFTRYLPMASSFNFVIGSAKFIFAPIERCLNCKRNFDENMKVLQKLLKELNTVKEVIELRLSAEIHGGTMQTEEVKNWLDDVQRIKTEIEIIERKAKEKKFLSRVFLGKMVEEKVVELKAFLRKGKAFLGMVKSFKYIIVGGGVAAGYAAREFDRQGLKPGQLAIISKEAVAPYERPTLSKGYLNPNPKAAARLPEFHVCVGSGGDRLLPDWYKEKGIQLILGTEIVKVNLALKTLVSAAGEIFKFQTLIIATGSTVIRLTDFQVEGADAKNIFYLRELEDADKLVESIKMKKYGKAVIIGGGYIGLEVAAAMSINDFDVTMVYPDPWCMPRLFTPTMAAFYESYYENKGIKIIKGTVSVGFNANANGEVKGVKLKDGRVLEADIVVVGVGARPLTALFKGQLEEDKGGIKTDGFFKTSMPGVYAVGDVATFPIKLYNEMRRVEHVDHARKSAEHAVKAIKANETGKELEEYDYLPYFYSRTFELSWQFYGDNVGETLFFRDDNPLSPKPKFGSYWIKDGKIVGAFLEGGTPEENKAIAKVSRLNPPVENLDQLKKEGLSFASKF >KJB78922 pep chromosome:Graimondii2_0_v6:13:1919015:1922379:1 gene:B456_013G025600 transcript:KJB78922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTKCMTSSSSLTLKAHIKNATIISKSPILHRKRDIFCLNIKIKKHPYGCQYLQKKKNPWRTHKIYVTKEGESVINGAGKKGTIAGAVALIVGTSIGSGILALPQKASPAGVVPSSISLVVCWAFLLIEALLLIEINVGLQRKKKEGELEVISIRTMAQETLGDWGGNLATVTYVFLGYTSMIAYSSKSGEILFHLINLPEPASGFLFTALFTFLISVGGTQVTDQVNQWLTVSMIGLLMAIEVVAVMLGGWSGLEGNGDWGKVPATIPVMIFSLVYHDVAPVLCAYLGSDLTRLRASVLLGSLVPLLALLVWDAIALGISTQTDQVVDPIELLMGYVKYIDSTCHNCKIPSVEEYYVRVKWSGVSFMVEAFSLLAVGTSMIGTLLSFSEFFKEQLKNLSWHSSSVQITALALDDLQQEPDKLTNWWGRNKTGFTAMAMVVTPTLIVSTTVPEAFSAATDIAGGYCMTMLYGVLPPAMAWAMGNREGEGSNQKGLTMAWPAICGVGLFACGLVVEQILQDLLALQF >KJB78923 pep chromosome:Graimondii2_0_v6:13:1919015:1922379:1 gene:B456_013G025600 transcript:KJB78923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTKCMTSSSSLTLKAHIKNATIISKSPILHRKRDIFCLNIKIKKHPYGCQYLQKKKNPWRTHKIYVTKEGESVINGAGKKGTIAGAVALIVGTSIGSGILALPQKASPAGVVPSSISLVVCWAFLLIEALLLIEINVGLQRKKKEGELEVISIRTMAQETLGDWGGNLATVTYVFLGYTSMIAYSSKSGEILFHLINLPEPASGFLFTALFTFLISVGGTQVTDQVNQWLTVSMIGLLMAIEVVAVMLGGWSGLEGNGDWGKVPATIPVMIFSLVYHDVAPVLCAYLGSDLTRLRASVLLGSLVPLLALLVWDAIALGISTQTDQVVDPIELLMGVKWSGVSFMVEAFSLLAVGTSMIGTLLSFSEFFKEQLKNLSWHSSSVQITALALDDLQQEPDKLTNWWGRNKTGFTAMAMVVTPTLIVSTTVPEAFSAATDIAGGYCMTMLYGVLPPAMAWAMGNREGEGSNQKGLTMAWPAICGVGLFACGLVVEQILQDLLALQF >KJB78924 pep chromosome:Graimondii2_0_v6:13:1919105:1922379:1 gene:B456_013G025600 transcript:KJB78924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTKCMTSSSSLTLKAHIKNATIISKSPILHRKRDIFCLNIKIKKHPYGCQYLQKKKNPWRTHKIYVTKEGESVINGAGKKGTIAGAVALIVGTSIGSGILALPQKASPAGVVPSSISLVVCWAFLLIEALLLIEINVGLQRKKKEGELEVISIRTMAQETLGDWGGNLATVTYVFLGYTSMIAYSSKSGEILFHLINLPEPASGFLFTALFTFLISVGGTQVTDQVNQWLTVSMIGLLMAIEVVAVMLGGWSGLEGNGDWGKVPATIPVMIFSLVYHDVAPVLCAYLGSDLTRLRASVLLGSLVPLLALLVWDAIALGISTQTDQVVDPIELLMGYVKYIDSTCHNCKIPSVEEYYVRVKWSGVSFMVEAFSLLAVGTSMIGTLLSFSEFFKEQLKNLSWHSSSITALALDDLQQEPDKLTNWWGRNKTGFTAMAMVVTPTLIVSTTVPEAFSAATDIAGGYCMTMLYGVLPPAMAWAMGNREGEGSNQKGLTMAWPAICGVGLFACGLVVEQILQDLLALQF >KJB79845 pep chromosome:Graimondii2_0_v6:13:8003423:8005660:-1 gene:B456_013G069100 transcript:KJB79845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGCFGYCLWPQILKLKALPPSKKFEEHLPRHHAEFLCCLPFKEYTLSHCGLNLDTKLSEILPKPEMGPELHIAYGVAQELVRGDSVTKLHCDMSDVVNVLVHAAEVNLKGEQLASIMKLKERHHVQDLKEIFGMKKKVDRVQPAGYSTQNGTSSARVSKLEDSAVEDVQGGGFFLREDVPKLRDYLNKHFREFRHINCSPVPRVKMHSYFNLIVQ >KJB81718 pep chromosome:Graimondii2_0_v6:13:43381904:43382182:1 gene:B456_013G158200 transcript:KJB81718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLAPVKKEPIGDAWLQFRIRFYMFALVFVVFFYVETIFLYPWAMSFDVLGIPVFIKVFIFMLILIVGSVYAWGKGALKLS >KJB81377 pep chromosome:Graimondii2_0_v6:13:38687077:38695377:1 gene:B456_013G141900 transcript:KJB81377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDVGAREKQGNPKVDDGFKVPKEKITCIAASVDVDEGFESSGVEETRSTVTNGSRSHTNRRYRDKTASITTNSESGVTLDRGGSDDTFGTPRSREHRSSDVPASPSRSSRTVSSRSLRYEREDHGVERRLSSDDSRNEHRNARKRHYYDDRRDTHGRYGEDYRRSRNRYESRTPGRSDWDDGRWEWQDTPNRDSYSGSSRRHQPSPAPMFLGASPDARLVSPWMGDRTPRSTVSASPWDYASPSPVPIRASGASVKSSSSRYGRTSHQLSFSSESSQSFEDEADKNSLAEEHNYEITESMRLEMEYNSDRAWYDREEGNTMFDADSSSLFLGDEASFQKKEAELAKRLVRRDGTKMSLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRIVFTKQAEPVMPIKDPTSDMAIISRKGSNLVKEIHEKQSMSKSRQRFWELAGSKLGDILGVEKTAEQIDADTAEVGEHGEIDFKEDAKFAQHLKKGEAVSEFAMSKSIAEQRQYLPIYSVRDELLQVIRENQVVVVVGETGSGKTTQLTQYLHEDGYTINGVVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVAQRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEAAVKQAMTIHITSSPGDILIFMTGQDEIEAACYALAERIEQLISSTRKGVPKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFYVIDTGFGKMKVYNPKMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYLNEMLPAPVPEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTDIGWKMVEFPLDPPLAKMLLMGEQLECLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYRQWKANQYRGDWCNDHFLHVKGLKKAREVRSQLLDILKTLKIPLTSCGFDWDIVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPEYVVYHELILTTKEYMQCVTAVEPQWLAELGPMFFSVKESDTTLLEHKRKQKEEKTAMEEEMENLRKMQAEAEEESKEKERQKRAKQQQQVSMPGLRKGSSTYLRPKKFGL >KJB80230 pep chromosome:Graimondii2_0_v6:13:13387502:13387864:1 gene:B456_013G087600 transcript:KJB80230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQNEISPEMKNLATFLKWFYPLEQWADMITFEFLKNTRVQWILIIFYKPQYFMQKGPATQLGASPSAWIHKTYFLEVSLNRYDYNDPQKYLCQINRIIPSEIWPSGKNLAPWDIEKIH >KJB83218 pep chromosome:Graimondii2_0_v6:13:55387209:55394483:-1 gene:B456_013G235900 transcript:KJB83218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT5G20280) UniProtKB/Swiss-Prot;Acc:Q94BT0] MAGNDWINSYLEAILDVGPNLDDAKSSLLLRERGRFSPTRYFVEEVITGFDETDLHRSWVKAQATRSPQERNTRLENMCWRIWNLARQKKQLESQLAQRKAKRRLERERGRREATADMSEDLSEGEKGDIVSDVSAHGETHKGRLPRINSVDAMETWASQQKGKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVASPDVDWSYGEPTEMLTPRNSEDFMDEMGESSGAYIVRIPFGPKDKYIPKELLWPHIPEFVDGALSHIIQMSHVLGEQIGSGKPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRLSKDEINTTYKIMRRIEAEELSLDASEIVITSTRQEIDEQWRLYDGFDPVLERKLRARIRRNVSCYGRFMPRMVIIPPGMEFHHIVPQDGDMDGEVEGNEEYPSSPDPPIWSEIMRFFSNPRKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDGIDEMSSTNASVLLSVLKLIDKYDLYGQVAYPKHHKQFEVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQQSIAGALLKLVADKHLWARCRQNGLKNIHLFSWPEHCKTYLSRIASCKTRHPQWQRSDDGGESSETDSPSDSLRDIHDISLNLKFSMDGDRSGASGNDNSLDSEGNDRNSKLENAVLSWSKGVLKDQRKAGSGDRIDHGSSTGKFPALRRRKHIFVIAVDSDSNEDLLDAIRKVFEAVEKERIEGSIGFILSTYMTISEIQSFLVSGGLNANDFDAFICNSGSDLFYSTINPEDGPFVIDFYYHSHIEHRWGGEGLRKTLVRWAGSVTDKMEGNEEHIVTVAEHLSTNYCYTFEVKNAGRVPPVKELRKSLRIQALRCNVIYCRNGTRINVIPVLASRSQALRYLYVRWGIDLSKMVVFVGDSGDTDYEGLLGGVHKSIILKGICCNTSNQLLANRNYPLSDVMPVDSPNILQTSQDCTSTDVRESLENLLKS >KJB80295 pep chromosome:Graimondii2_0_v6:13:14530892:14533296:-1 gene:B456_013G090600 transcript:KJB80295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALTKVGSLKVGGLWISKKAKEEFSNITEDLTTFSNTVEEKAKWVFNKLKGKPTKSLPDLLREYNLPPGLFPQNVICYEFDETKAKLIVYMSSPCEVSFKDSSVIRYAMRVKGILLRGKLTGIEGMKTKVLVWVKVTSVAVEGYKSDKVWFTAGVKKSRPKDAYETPRDAVRVEEF >KJB79782 pep chromosome:Graimondii2_0_v6:13:7566031:7570626:-1 gene:B456_013G066600 transcript:KJB79782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVQEETKEVNVEAVNEALPFYMLFNYADTLDWTIMALGTFGSIIHGMAQPVGYLLLGKALNAFVDNTVDIDAMVKALKKVSSLILVTCIHIYIHVIPFVCERKMARLQLAFFKAMLSQEIGAFDTKITSGKIISAISYHMSIIQDAIGDKMGHFLSSFATFFSGIFIAVICCWEVSFLILVVAPTILVTRATYTKKMNEISATKTIYISEATSMTISQIKIVFAFLGENSTIKSFSEILEKQFSLSKGEALIKGVGTGMLQSVTFCAWALIIWVGSIIVTSRKAKGGNVIAAVMSILFSFLSLTFVAPDIQIFNQAKAAGYEVFKKKVKKIDGNIEIQDVYFAYPSRPEKLILEGFSLSISVEKMLKGSMIPKKVVQCSLCKCLKFSCKHREILIDNYNIKDLDLKFIRKNIVVVSQEPSLFAGTIKDNIKVGNMDASDQQVWDAAIMANAHMFVTQLSNQYSTEVIHLASIFTTLQCQRGVQLSGGQNQRIAIAKAILKNLPILLLDEATNALEKVMQGRTVILIAHRMSTIINADIIAVVENGQVTETRTHRSLLESSKFYKNLFSIQNIGQIRESRSLYNYQVAWNFSPLDIKEEETRYHKENHSEYSSKEKKMRINTNTFFRICFGLGKRELAKIATGSIAAAFAGVSKLLVGRYSIAFSLIGLLALFMHALQHYFYGVVGEKTMGNIRKALYLGILRNKLAWFKKPKNNVGLLTSRAVMPCHFIGGLIQAKSAKGFAGDSTATHREVVALASEFASNIRTIALFCHEEHILEKARMSLEEPMKRSKKENGIRSYQIFSLTVPSITELWMLIPTVISTINVLTPAFKTLDRKIEIEPDAPQDTKLKKIKGKIEFQNVKFNYPSRPEVTVLNNFSLQIEPGTKVALPFLLRFYDPNQGRVLVDETNIKEYNLKTLRKQIGLVQQKPLLFSSTLRDNICYGAKQASEAEIVEVSTEANIHEFISNLPDGYSTLVGDKGCQLSGGQKQRVAIARTLLKRPRILLLDEATSAIDTESERTVVNALESIDRRKGNCGRFISNPTQIIVAHRLSIVVNSDVIVVMDKCEIVETGSHSTLISTSDGVYSRLFHIQNEI >KJB80513 pep chromosome:Graimondii2_0_v6:13:18563934:18564605:1 gene:B456_013G100800 transcript:KJB80513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHLQFTLTDHWQHRRSPLYLFFHFLYFFPSSLLFFPFSFSKKTLVVVHYCVVRAANCCYFLDDCTIVQVVFSDH >KJB78474 pep chromosome:Graimondii2_0_v6:13:41961:44825:1 gene:B456_013G000800 transcript:KJB78474 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 49 [Source:Projected from Arabidopsis thaliana (AT3G56660) UniProtKB/Swiss-Prot;Acc:Q9LXX4] MAESPLEPQMGSELESLAIPPMDPLYLSSDLGFPLDENDDFQLTFDDFDELYFPSDSDHLIMPDSSGAPAFTSESYVERYLNSSSPGLDSFSGPNSSGNSHSPISSLGSGNCGSAVSDDTNVTSPDSGNNMDQKIDVEELGKRRVSKRKKGNEETDSIKCRRSSSLLTDNYKSNSDNKLNSLSEEEEKRKARLLRNRESAQLSRQRKKHYVEELEDKVRTMHSTITDLNNKIAFFMAENATLRQQLSTGGAGGGSAGMCPPQPVPLPMYPPVAYPWMPCGPPYMMKPPGSQVPLIPIPRLKTQQQSRPASKAKKNESKTKKVASVSVLGMLFFILLFSGLVPIMNVRYDNTPVGSGPGFVGDGFYEVHRGRVLRVDSHLNRSKYSRDAAFSYGDFNISNRVHGRRSESGGERKETGAQSAPDYIKNVSEPLTASLYVPRNDKLVKIDGNLIIHSVLASEKALASHKASERKNKETGLAIPKNFSPALAIPNARENGGKHSREYRNPAERPMALSSGSADALKDHIRSTAADGKMQQWFREGVAGPMLSSGMCTEVFQFDASAPGAIVPASSVTTESAKNRQNATQLNKGRNRRILHGHPVPLSRSDLNITQEHVGRNSGKQNLQGNKTASSMVVSVLIDPREAGDGDMDDMIVPKSLSRIFVVVLLDSVKYVTYSCGLPRSGLHLVTA >KJB80842 pep chromosome:Graimondii2_0_v6:13:29023155:29026575:1 gene:B456_013G117500 transcript:KJB80842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRLSLMYLSFILIISSRESSSSIPSNSFIGIPPQDEDYFKREIIKCKNGSKKFTKAQLNDDFCDCPDGTDEPGTSACPLGKFYCKNIGHAPSFQYSSRVNDGICDCCDGSDEYDGKVKCPYTCHEAGKVAMESLKRKIEVYQEGVILRKVEIGLAKRAIARDKAELSGLKNEREVVEKVVHQLEGQVRKLEQEPQEKDDKMREARNEKVENEESGPDKNVDSQIEPMKASDVEKQGQAGESLSDQNEKDEHESSEGLSREELGRLVESRWTGKKSGEQVEETDPVKNDQEEGGPDAEYEESVADNAGRSSTAQYKIGVQDLKNSSDIATPSGKSWLDKIQETAQNFLQSVNFFSIPVANLDANKIRKEYNHYTAKLSDLESRISSLTEKLKFDFGKQNEFYLFYDRCFELKQDKYVYKVCPFRNATQEDGSSETQLG >KJB81582 pep chromosome:Graimondii2_0_v6:13:42179158:42180987:-1 gene:B456_013G153500 transcript:KJB81582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLVYMQELEKSLKATCEKFIMAVTKQVVDPMLSFVTKITVVKVALSFGTQNKKRDSVIAKPLKEQTFATPEALAELVQKVHSAIQRELPVVIAKMKLYLQNLSTRTILFKPK >KJB78848 pep chromosome:Graimondii2_0_v6:13:1666458:1667301:1 gene:B456_013G022800 transcript:KJB78848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAYQYSWIIPFVPLLIPILIGMGFLLFTTATKNLRRIWTFPNILLLSIVMIFSLDLSIQPINGSSIYQYVWSWTINNDFSFEFDYFIDSLISILITTVGIFVLIYSDNYMSHDEGYLKFFAYMSLFNTSMLGLVISSNLIQIYIFWELVGMCSYLLICFWFTRPAAAKAFQKAFLNYKFLPSPSFHSLYM >KJB81115 pep chromosome:Graimondii2_0_v6:13:34004710:34006048:-1 gene:B456_013G129900 transcript:KJB81115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHKVPFLLMLLTLSLRISIANADECTGNGGSKFGYPIVVDKSGENHQWVKVQINPDVYMEKVTIPKQKPCIFLEGQDKNESATFTSMVDNIVAKGITFKNSYNHPLLLKHALIAAKILGDKSAFFKCGFLGLQDTLWDASGRHYFAKCHIEAAADFIFGSGQSIYHACKINVTAGALSSQYPYGYITAQGQGSSDDTSGFVFKGGTLFGNVHVVPQGWDAWKFPGKEYNFMYAEVNCKGPGFITSNRVT >KJB82492 pep chromosome:Graimondii2_0_v6:13:50733334:50735174:-1 gene:B456_013G198400 transcript:KJB82492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKGQLGNAPSFETKKDEASNDSEVEDLERGPDQGFIYEVPRNIRQANPKAYTPLLISIGPLHYRKTSLASMAKYKVDYQVKFLQRTSVSKKALESFWSFIERNEKNILNCYEALIDEDEFVKMIFYDALFIVELFLRNYEKEVEKNSDVKDLLLKETWSAGLRRDLILLENQIPMFVLEELYKPYENHKLASDASVPSFLKLACSYFDIPWDPQFEHIEIPHFTALQRCHMTKTQNPSSKTKIPTLKKVYGAASLQEVGVQLIVEPNQTACLLDIKFEGKKLKIPKLTVHSNTEAYLRNVMAFEMCHCPDEAYVCAYIELMNYLIQNAQDVERLIEKEILSKEGKHEGRLVTIINTNIVVQRMIKKLMVGIGQPSPACYRVTANRLNQLYKEARKRKVTLFIKENYGILKRVYFPNLWRGTGTVAAFMVVVFTFMQTVLAFVKD >KJB82491 pep chromosome:Graimondii2_0_v6:13:50733334:50735055:-1 gene:B456_013G198400 transcript:KJB82491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKGQLGNAPSFETKKDEASNDSEVEDLERGPDQGFIYEVPRNIRQANPKAYTPLLISIGPLHYRKTSLASMAKYKVDYQVKFLQRTSVSKKALESFWSFIERNEKNILNCYEALIDEDEFVKMIFYDALFIVELFLRNYEKEVEKNSDVKDLLLKETWSAGLRRDLILLENQIPMFVLEELYKPYENHKLASDASVPSFLKLACSYFDIPWDPQFEHIEIPHFTALQRCHMTKTQNPSSKTKIPTLKKVYGAASLQEVGVQLIVEPNQTACLLDIKFEGKKLKIPKLTVHSNTEAYLRNVMAFEMCHCPDEAYVCAYIELMNYLIQNAQDVERLIEKEILSKEGKHEGRLVTIINTNIVVQRMIKKLMVGIGQPSPACYRVTANRLNQLYKEARKRKVTLFIKENYGILKRVYFPNLWRGTGTVAAFMVVVFTFMQTVLAFVKD >KJB80229 pep chromosome:Graimondii2_0_v6:13:13370265:13372630:1 gene:B456_013G087500 transcript:KJB80229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEVGQGVKLPTPYEVSDVYLELEYQRVRDWVNGLKTHRKELGATLMCDGRTNSLNQMHIINFLVYCSKGTIFWKSVDVSSVRSRDAEFYYYLLDLVVEEIGENYIVQIVTDSEATMKVAGKKLMLKRKHLYWTSCAAHCLDLCLEDIEKKLSVAKVLDEAKKVTCFIYNHIWTVDLMKKYTQGKQILRPALTRFATHFIELEEITRQKQGLREMFNSKEFKESKWGQQKSGPAYEAKKIILEKDFWKKANDLIKVYEPLVEVLGLVDSNEKPTMGFIYEAVDRAERAIQQDCRYFTEYKKIIDNRWNFMHFDLHSAGYFLNPQFQFGVEHSENVLIETLEGTLSVIERLEPSLDTQVRMVNQTKSRNRLKYKKLEKLVFVYYNMRLQIRHQKRMSTDDINASFNPINLDHIFEDSGDGPDVGGLSPIDEDDGYSGDIGEIRSSSQYGGEYGVGTTSGHFRDRSEFDGNMFPEPRRDRSEPRAPSKGKGKKHTSIGFSSSRRSSSSNLGYSDSSTSTQGFYPLEQLSYFQPSHGYPQPYGYYPPFPNYGVLY >KJB80441 pep chromosome:Graimondii2_0_v6:13:17402960:17404943:1 gene:B456_013G097900 transcript:KJB80441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVAAKQPSSLACIKFWVVVTLIMLLILWVFAMQLATLDDKIMVPKSAFYIPYDFPPQRVYKNNGYLIISPNGGLTQKRLGYSDSPYMHFFFFLFIARYLNVTLIVPKFAHGTYWNDTSKFEDICYVNHFITSLRDEVKVLKELPPEQKRKAEPEPLYYMFPMYFGSLEYYLQRCRVNYEALRFTQPIQETGRKIVNILREKGPFLDLHLRYEKDILAFTSCKQGLTKEEAEDVKQMKYSQDGRRHKPIASNKKRKHGLCPLTPEETALVLQALDIDKNITIYIAAEEIYNKEKRMADLVATYPNLLEPFMEHADQMAAMDYIVAIESDIFMPTFVGNMAVAVQGHRGYLGFKPNNFTGQKAFDEFSLLVKKIHEDRTGKRAKRKENPDHARQEDSFYSNPQDSLPTFASTIN >KJB81455 pep chromosome:Graimondii2_0_v6:13:40255533:40278859:1 gene:B456_013G146500 transcript:KJB81455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FORGETTER 1 [Source:Projected from Arabidopsis thaliana (AT1G79350) UniProtKB/Swiss-Prot;Acc:F4IF36] MPQPSIPPLPLAQQPPPAPAAGPAAPGVQVRCAGCRMILSVGPGVTEFVCPTCQLPQMLPPELMTRARINNPPPTQSSVPAHGIDPTKIQLPCANCKAILNVPYGLARFSCPQCGVDLAVDLNKMKQLFPPPQPRPPLPPEEVNEVAIEVEREEDEGGPVGETFTDYRPPKLSIGPPHPDPIVETSSLSAVQPPEPTYDLRIKDDLENSKTLSCLQIETLVYACQRHLQHLPSGARAGFFVGDGAGVGKGRTIAGLIWENWHHARRKAIWISVGSDLKFDARRDLDDVGAACIEVHALNKLPYSKLDSKSVGINQGVVFLTYSSLIASSEKGRSRLQQLVQWCGSGFDGLVIFDECHKAKNLVPEAGSQPTRTGEAVLEIQARLPEARVIYCSATGASEPRNMGYMIRLGLWGAGTSFPDFQSFLVALEKGGVGALELVAMDMKARGMYVCRTLSYKGAEFEVIEAPLEAKMEAMYKKAAELWAELRVELLSASAFHSNEKPNPSQLWRMYWSSHQRFFRHMCMSAKVPATVRLAKQALKEDKCVVIGLQSTGEARTEEAVTKYGLELDDFVSGPRELLLKFVDENYPLPEKPEPLQGDESVKELQRKRHSATPGVSLKGRVRKVAKWKPASDGESDEESDTDSGHESTESDDEFQICEICSSEEERKKLLQCSCCGKLVHPACLVPPITDLVPEKWSCYSCKEKTDEYMQARRAYIEELLKRYEQALQRKSKILDIIRSLDLPNNPLDDIIDQLGGPDKVAEMTGRRGMLVRASSGKGVTYQARNTKEVTMEMVNMHEKQLFMDGKKLAAIISEAGSAGVSLQADRRALNQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPTLSAYNYDSSYGKKALMVMYRGIMEQDNLPVVPPGCSSEKPETIQDFITKAKAALVSVGIVRDTVLGNGKDNGKFSGRIVDSDMHDVGRFLNRLLGLPPDIQNRLFELFISILDVLIQNARIEGNLDSGIVDMKANIIELQGNPKTVHVDQMSGASTVLFTFTLDRGITWESASTMLDEKKKDGLGSANDGFYESKREWLGRRHFVLAFESSASGMFKIVRPAVGESVREMTLAELKNKYRRISLLEKARRGWEDEYEVSSKQCMHGPNCKLGNFCTVGRRIQEVNVLGGLILPVWGTIEKALSKQARLSHRRLRVVRLETTADNRRIVGLLVPNAAVETVLQDLTWVQDIED >KJB78789 pep chromosome:Graimondii2_0_v6:13:1322274:1323281:1 gene:B456_013G019100 transcript:KJB78789 gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhN [Source:Projected from Arabidopsis thaliana (AT5G58260) UniProtKB/TrEMBL;Acc:A0A178UG88] MATTTATCFHLQNIPSSPWVNNTSRTTTYKAMDGSLRASNPQTQTFIKPVKCSSSSSGNEIGIADFIGGDLLKFDLGQWLSDVEQHKALAIYTPHEGGYEGRYFTRLRHQGYYFLDLTARGLGDPETTLTKIHPVCPPHVGKQPIARWYFPPEVDYRLQALPANAKGLIVWVIEAKVYILN >KJB78788 pep chromosome:Graimondii2_0_v6:13:1322246:1324765:1 gene:B456_013G019100 transcript:KJB78788 gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhN [Source:Projected from Arabidopsis thaliana (AT5G58260) UniProtKB/TrEMBL;Acc:A0A178UG88] MATTTATCFHLQNIPSSPWVNNTSRTTTYKAMDGSLRASNPQTQTFIKPVKCSSSSSGNEIGIADFIGGDLLKFDLGQWLSDVEQHKALAIYTPHEGGYEGRYFTRLRHQGYYFLDLTARGLGDPETTLTKIHPVCPPHVGKQPIARWYFPPEVDYRLQALPANAKGLIVWVIEAKVLSKSELQFLALLPTLRPKVRVIAECGNWRKFMWKPLKEIAGLTAEDGA >KJB78790 pep chromosome:Graimondii2_0_v6:13:1322274:1324765:1 gene:B456_013G019100 transcript:KJB78790 gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhN [Source:Projected from Arabidopsis thaliana (AT5G58260) UniProtKB/TrEMBL;Acc:A0A178UG88] MATTTATCFHLQNIPSSPWVNNTSRTTTYKAMDGSLRASNPQTQTFIKPVKCSSSSSGNEIGIADFIGGDLLKFDLGQWLSDVEQHKALAIYTPHEGGYEGRYFTRLRHQGYYFLDLTARGLGDPETTLTKIHPVCPVCICFQPSLLF >KJB79951 pep chromosome:Graimondii2_0_v6:13:8926926:8928915:-1 gene:B456_013G074100 transcript:KJB79951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGGLLISQKGNGNGQQRPRPCGLVLLLAFGVAMLAIMALHKLRERRISNLLQENSHHLISLQLLLQKQTEYTKQLKGKAEETKAKMNSLRKQKMELDHRLLEMLSTIDSLKDEQKTTESELVDKQNQIKLLRHSGNQNPQLPALIATLKQKEDEIQDLKHRLNSSLTTHHPANPPINITIMESKTALTRVREDGGGAYNSTKGQDKTKSSYPHHQEDNREWVKNGDEKKGETTLENDMNSKHTTTDMEKNHAKATATFEHMDEGKNKSSPQQEGELHKSQPSHQGRNKLETDDSKISSLAERFGNLSTTKGMIFAKKRFLENVGKCLKNVNSEIDGVESTSRIFSTEYQDVRSMEEWGKPETGLRKEMDLATANLLRHHNSEAMSTNQDNSEARKVSENTGVNGEASNNTNEVNQQNFEATNDNSRDVEEQEKEVAKRDEMEDMEITDKQAATNGDLSGDFMSDSQDKQGYKEEMDES >KJB79433 pep chromosome:Graimondii2_0_v6:13:4459342:4461255:1 gene:B456_013G049400 transcript:KJB79433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNAQKSKMAREKNLEKQKAAAKGSQLDSNKKAMTIQCKVCMQTFICTTTEVKCREHAEAKHPKSDLYTCFPHLKK >KJB82341 pep chromosome:Graimondii2_0_v6:13:49171560:49173020:-1 gene:B456_013G190700 transcript:KJB82341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASRKTFLPLDPVTFSNESKAVIDFIADYYENVEKYPVQSTVEPGYLSAMLPESAPYCPEPLQDILEDVSNCIIPGLTHWQSPNFFAYFHANASTAGFFGEMLCSGFNVVGFNWISSPAATELESIVLDWMGKMLKLPSSFLFSGTGGGVLHGSSCEAAVCVLAAARDKALKELGGWENITKLVVYASDQAHFTFQKAAKLVGIPPSNFRLIETSFSTGFSLSPENLRFVIEDNIRSGLVPLFLCATIGTTPSGAVDPIAELGKVAMEFKLWLHIDAAYAGSGCICPELRHYLDGVELANSISMNPHKWFLTNMDCCCLWIKEPKLLVDSLSTDPEILRNNASKSKAVVDCKDWQIALSRRFRALKLWVVIRRHGLANLMCHIRSDIAMAKRFEALVGEDERFEIVVPRKFALVCFRLKPKVEEEDLNCKLVEAINSSGRAFMSHAVLSGIYVIRCAIGTTLTQQHHVDALWKLIQDKAQSLLM >KJB78712 pep chromosome:Graimondii2_0_v6:13:969563:970056:1 gene:B456_013G014200 transcript:KJB78712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYNFHLHVRDTKSSSKLPKLLDTTLSTSIGTASSIFFVGAVEDSTFPLIPSLPRIFLDNTNMLFMDFKRFGEKTGFAAFRLFHLLHNRCSHHPFFPDLFLGSFRFIGIQLRLPRLFR >KJB80980 pep chromosome:Graimondii2_0_v6:13:31940170:31948859:1 gene:B456_013G123700 transcript:KJB80980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPKQEAIEVIHSWSAPRSLSTSLMYSFSQRDDIEVLDEPLYGTFLRVTGVERPYREDVLSKMECDGNKVVDNIIYGPGKNRYRYCKHIAKQRVPGLPNDLLQKGKHFILIQNPLNILSSFEKVVPPSFLELGLGDLVSIYSELCALGKPPPVIDAADLQQNPEDTLRGLCKDLDIPFHDAMLRWEAGPKPIDGLWAPWWYKSVHNSTHFASPRKYPRPFPFSFYDLLEQSLPFYNLLKRNVRQTSSLLRSPLPVPDLPVPENAKLLAWVGDEIVPRESAKVSVFDSVVQGGDAVWEGLRIYNRKIFKLEEHLDRMFDSAKALAFINVPTRDQIKEAIFRTLNANGMFDNTHIRLTLTRGKKVTSGMSPAFNQYGCTLIVLPEWKPPVYDNESGIKLVTATTRRNSPNNLDSKIHHNNLLNNILAKIEGNNANADDAIMLDKDGYVSETNATNIFLVKKGRVLTPHADYCLPGITRATIMELVVKENFELVERRISLSEFHAADEVWTTGTMGELSPVVKIDGRIIGDGKVGPVTRRLQNAYKKLTEESGAPIPTNPET >KJB80063 pep chromosome:Graimondii2_0_v6:13:10666678:10669410:-1 gene:B456_013G079700 transcript:KJB80063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPCLCRLNSLNPHILLLDSIGAGWMKCNGFVALLCDDTGSFMRGVTGNANTFLEPHVVEEERRYPSSKIQE >KJB82530 pep chromosome:Graimondii2_0_v6:13:51200716:51202529:-1 gene:B456_013G201000 transcript:KJB82530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPSNLIPDEASPEWMNKGDNAWQLTTATLVGLQTIPGLVILYGSMVKRKWAINSAFMAFYAFAMVLVCWVGWGFRMSFGDKLVFFLGKPGVALDEKFLLKRAFAGYLPTATMVFFQSVFAAITVVLIAGSLLGRMNFRAWILFVPLWFTFSYTIAAFSIWCPQGWLAKLGVIDFVGPRAEKDRRNCPPNNVILMLAGAGLLWMGWSGFNGGAPFVASTISGLAILNTHVCTATSILTWLLLDSLFFGKPSVLGAIQGMITGLVCITPAAGVVQCWAAIIMGIISGSVPWYTIMVLHNKVKLLKLVDDPIAIFHTHAIAGGLGGILTGFFAVPKLCRLFYMVTDWEKYLGLVYGLQNGRTPAGFKQMGIQIAAMGFVIVLNVVVTSIICWFIGLIVPLRMSDEELENGDDAVHGEEAFALWHEGERLVGRRHNNKVYDTREFSFGEYKDEVQMV >KJB79573 pep chromosome:Graimondii2_0_v6:13:5398928:5401276:1 gene:B456_013G055100 transcript:KJB79573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKMKKNLDILKHIFAYIIVVFVIVFPRKHNECRRGGGETQGRNPKTRPDPIRWFLQVGTLRAAKKRKVVAYDGELLLQGVHDNVEIILKATTPAAAQSSN >KJB78671 pep chromosome:Graimondii2_0_v6:13:779212:783409:-1 gene:B456_013G011500 transcript:KJB78671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEFSAPEIASQPLSATPGSPSSSSSSSSSSSSSSDDDSKFVTSSVSDYALKENDNRTSDIDENGDGKFETVPERPFVADPDEVFPTVGDVSDTPFVGSDGSDVILKEESFGGGDNGLEEFRGEGSLRKVDFDSVGNGEEKDNKVGMGSTGEADQAVLSIESEEEGRIGMVENEDNSVLDGGAKVVNPVIAEAVDGEVVDDDGSKFSGGEELAVDATPLTGDGLGIETSEIKETEVVPVDGDVSLDNGFNQAGHDEEERVLDVHPVSDKTIDPVATDEIETTEVLTSETNAERKADVAGGGLLAKDGSESELSDLKEEAGVDMLEQASTEKIDGGGIDGIQTMDDSAQPTEMMAAREMEVSDADSESKRSVAMAVEESHLPKSVEQNSFEGEMQEEHHQNEGAEIGGSDTDGEAESMFFENADAAEQFLKELERGAAIGSHSGADTSHDHSQTIDGQIVIDSDEEGDTDEEGEGKELFDSAALAALLKAATGAGSDGGNITITSQDGSRLFSVERPVGLGSSLQNAKPAARSNRPNLFSPSAVTSRRDSDINLTEEDKIKLEKLQLIRVKFLRLVQRLGLSTEDSVAAQVLYRLALVAGRQTSELFSVDSSKRKALELETEGKDDLSFSLNILVLGKIGVGKSATINSIFGEEKTSIHAFEPATSVVKEITGTLDGVKLRIIDTPGLRSSAMEQGANRKVLASIKQYMKKCPPDVVVYVDRLDSQTRDLNDLPLLRSITNSLGSSIWKNAVVALTHAASAPPDGPSGSPLSYEVFVAQRSHVVQQSIAQAVGDLRMMNPSLMNPVCLVENHPSCRKNRDGHKVLPNGQTWRPQLLLLCYSIKVLSEASSLSKPQDPFDHRKLFGFRVRSPPLPYLLSWLLQSRSHPKLSADQGGENGDSDIDVDDLSDSDQEDDEDEYDKLPPFKALRKAQLAKLDKEQRKAYFEEYDYRVKLLQKKQWGEELRRMRELKKGKPAVDEYGNTGEDVDPETGGPASVPVPLPDMVLPPSFDGDNPAFRYRFLEPTSQFLARPVLDTHGWDHDCGYDGVNVEHSLAIASQFPAAVSVQLTKDKKEFNIHLDSSVSAKHGENGSTMAGFDVQNVGKQLAYVFRGETKFKNLKKNKTAAGFSVTFLGENVATGLKLEDHIVVGKRLVLVGSTGTVRSKGDSAYGPIFSLSYPLEGIQK >KJB78670 pep chromosome:Graimondii2_0_v6:13:779120:783551:-1 gene:B456_013G011500 transcript:KJB78670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEFSAPEIASQPLSATPGSPSSSSSSSSSSSSSSDDDSKFVTSSVSDYALKENDNRTSDIDENGDGKFETVPERPFVADPDEVFPTVGDVSDTPFVGSDGSDVILKEESFGGGDNGLEEFRGEGSLRKVDFDSVGNGEEKDNKVGMGSTGEADQAVLSIESEEEGRIGMVENEDNSVLDGGAKVVNPVIAEAVDGEVVDDDGSKFSGGEELAVDATPLTGDGLGIETSEIKETEVVPVDGDVSLDNGFNQAGHDEEERVLDVHPVSDKTIDPVATDEIETTEVLTSETNAERKADVAGGGLLAKDGSESELSDLKEEAGVDMLEQASTEKIDGGGIDGIQTMDDSAQPTEMMAAREMEVSDADSESKRSVAMAVEESHLPKSVEQNSFEGEMQEEHHQNEGAEIGGSDTDGEAESMFFENADAAEQFLKELERGAAIGSHSGADTSHDHSQTIDGQIVIDSDEEGDTDEEGEGKELFDSAALAALLKAATGAGSDGGNITITSQDGSRLFSVERPVGLGSSLQNAKPAARSNRPNLFSPSAVTSRRDSDINLTEEDKIKLEKLQLIRVKFLRLVQRLGLSTEDSVAAQVLYRLALVAGRQTSELFSVDSSKRKALELETEGKDDLSFSLNILVLGKIGVGKSATINSIFGEEKTSIHAFEPATSVVKEITGTLDGVKLRIIDTPGLRSSAMEQGANRKVLASIKQYMKKCPPDVVVYVDRLDSQTRDLNDLPLLRSITNSLGSSIWKNAVVALTHAASAPPDGPSGSPLSYEVFVAQRSHVVQQSIAQAVGDLRMMNPSLMNPVCLVENHPSCRKNRDGHKVLPNGQTWRPQLLLLCYSIKVLSEASSLSKPQDPFDHRKLFGFRVRSPPLPYLLSWLLQSRSHPKLSADQGGENGDSDIDVDDLSDSDQEDDEDEYDKLPPFKALRKAQLAKLDKEQRKAYFEEYDYRVKLLQKKQWGEELRRMRELKKGKPAVDEYGNTGEDVDPETGGPASVPVPLPDMVLPPSFDGDNPAFRYRFLEPTSQFLARPVLDTHGWDHDCGYDGVNVEHSLAIASQFPAAVSVQLTKDKKEFNIHLDSSVSAKHGENGSTMAGFDVQNVGKQLAYVFRGETKFKNLKKNKTAAGFSVTFLGENVATGLKLEDHIVVGKRLVLVGSTGTVRSKGDSAYGANLEMRLRGADFPIDQDQSTLGLSLVKWRGDLALGANFQSQLSVGRNSKVAVRAGLNNKMSGQITVRTSSSDQLQIALTSMLPIVMAIYKSIRPGVSDNYSMY >KJB82673 pep chromosome:Graimondii2_0_v6:13:51978104:51981360:-1 gene:B456_013G208400 transcript:KJB82673 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT1G56590) UniProtKB/Swiss-Prot;Acc:F4I562] MLQCIFLLSDSGEVMLEKQLTGHRVDRSICDWFWDHVISQGDSFKSQPVIASPTHYLFQVVREGITFLACTQVEMPPLMGIEFLCRVSDVLSDYLGGLNEDVIKDNFVIVYELLDEMIDNGFPLTTEANILREMIAPPNIVSKVLSVVTGNSSNVSDTLPGATGSCIPWRAAEPKYANNEVYVDLVEEMDAVINRDGALVKCEVYGEVRVNSHLSGLPDLTLSFANPSILDDVRFHPCVRFRPWESHQVLSFVPPDGEFKLMSYRIKKLKSTPLYVKPQLTSDAGKCRVNVLVGIRNDPGKTIDSITLEFQLPPCILSADLNSNHGTVNILGNKICSWTIGRIPKDKTPSLSGTLLLETELERLHVFPTFRVGFRIMGVALSGLQIDKLDLKTAPSRLYKGFRALTRAGEFEVRS >KJB82672 pep chromosome:Graimondii2_0_v6:13:51978104:51981230:-1 gene:B456_013G208400 transcript:KJB82672 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT1G56590) UniProtKB/Swiss-Prot;Acc:F4I562] MCCPNRAELACLLQLLDEMIDNGFPLTTEANILREMIAPPNIVSKVLSVVTGNSSNVSDTLPGATGSCIPWRAAEPKYANNEVYVDLVEEMDAVINRDGALVKCEVYGEVRVNSHLSGLPDLTLSFANPSILDDVRFHPCVRFRPWESHQVLSFVPPDGEFKLMSYRIKKLKSTPLYVKPQLTSDAGKCRVNVLVGIRNDPGKTIDSITLEFQLPPCILSADLNSNHGTVNILGNKICSWTIGRIPKDKTPSLSGTLLLETELERLHVFPTFRVGFRIMGVALSGLQIDKLDLKTAPSRLYKGFRALTRAGEFEVRS >KJB83934 pep chromosome:Graimondii2_0_v6:13:58288182:58289559:1 gene:B456_013G2724001 transcript:KJB83934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FTVNPLRRIRQHNGEIGSGAWRTKSRRPWEMVVCIYGFPTNVSALQFEWAWQHPRESEAVRQAAATFKSFSGVTNKIKLAYTMLTLPAWQSLNITVNYFSTKYTNHSASCPSLLEQMKVKVSPMDELPCYTEPNEFEYKDDCDNLDEYDEVSDTCETVPETYPNEVVIVSADNLHSLIHEACHEQSEHIEEYG >KJB79200 pep chromosome:Graimondii2_0_v6:13:2993505:2997099:-1 gene:B456_013G037700 transcript:KJB79200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDAPEGSPPSPTTTSSPPQSSSDNSSPPSPPPPSQSASPPPESSSSKPGKSAPPPNESKSTSSSPPPPPPPSPSPSPPPPPESTPSPPPPSTSPPSPSPPPSPPPPSKSSNAPPTKYSPPPPKLTPPSTPTTQSPPSKHSPPPPKWHSSSSNSESGSKSNTSSSLPIILGLLAGVVLLLIIITTIITVACCRKKKKANHDNPMQYYNNYTPSQGDGGYGNASSLAQRGQMNNGYEANNHIAINLPPPPYGTNAGHGGGWPQTPPTQRQTPSTQGYASYSSEMSPNMQGQVLPPPPTYASPSPLGLINQSSFSYEELAMATHGFSQANLLGQGGFGYVHKGVLANGKEVAVKSLKSGSRQGQREFQAEVETISRVHHRHLVSLVGYCIAGERRMLVYEFLPNKTLEFHLHDKCPMDWPTRLKIALGAAKGLAYLHEDCYPGIIHRDIKSANILLDFTFKAKVSDFGLAKLTQDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVVLLELITGRRPLDLNSNMDDSLVDWARPLCERAMENGNFGELVDRRLENNFVHHEMVSMVACASASIRHSARRRPKMRQIVRALEDDVPLDEINGVVAAGQSSRFSTTNDTSEYNSSSYSADMRRFRQTAMTDSQEHPSNNYGNTSEYGHNSSSSSSSSSDHITSTRTLT >KJB79042 pep chromosome:Graimondii2_0_v6:13:2353896:2354665:1 gene:B456_013G031000 transcript:KJB79042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKPSLLLILYCFLLASFLFFPHGADSRKLLQGEEEDGNGPKKAGVRRSPSRSAPSWVGHDSPPNSPPSPPSQQSFENQVRSEAEQEAQREACEYSRSYTNAYCSP >KJB81529 pep chromosome:Graimondii2_0_v6:13:40791261:40793843:-1 gene:B456_013G148600 transcript:KJB81529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNKRGKTNARVCGLFVQVGLYGMGIAYTITSAISLRAIQKSNCYHNEGHNAECYFGDAQYMLAFGVVQLILSQINNFHNIQWLSVVAAFMSFAYSIVGLGLGIAKVVGNGYIKGSIRGISTSTTGEKVWLVSQALGDIAFAYPYSLILIEIQDTLKSPPSEKDTMKTASIISISATTFFYLCCGALGYAAFGDKTPGNLLTGFGFYEPYWLIDFANMCIVLHLVGGYQVYSQPLFANVEKWVSVKFPDSGIIHKDFKLKLPLLPAFKLNVLRLCFRTIFVASTTIIAMLFPYFNQVLGVLGGIYFWPLSIYFPVQMYFKQRNVEAWSLKWVMLQSFSLVCLPLTLFALVGSIEGLITARLK >KJB81531 pep chromosome:Graimondii2_0_v6:13:40791458:40793308:-1 gene:B456_013G148600 transcript:KJB81531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVANAYFTCECRAIQKSNCYHNEGHNAECYFGDAQYMLAFGVVQLILSQINNFHNIQWLSVVAAFMSFAYSIVGLGLGIAKVVGNGYIKGSIRGISTSTTGEKVWLVSQALGDIAFAYPYSLILIEIQDTLKSPPSEKDTMKTASIISISATTFFYLCCGALGYAAFGDKTPGNLLTGFGFYEPYWLIDFANMCIVLHLVGGYQVYSQPLFANVEKWVSVKFPDSGIIHKDFKLKLPLLPAFKLNVLRLCFRTIFVASTTIIAMLFPYFNQVLGVLGGIYFWPLSIYFPVQMYFKQRNVEAWSLKWVMLQSFSLVCLPLTLFALVGSIEGLITARLK >KJB81530 pep chromosome:Graimondii2_0_v6:13:40791261:40795360:-1 gene:B456_013G148600 transcript:KJB81530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAHSSNQTPLLNNKHHNHLHRTGNVWTAVAHIITGVIGAGVLSLAWSMAQLGWIAGPLAMVLFASVTLISTYLLCNCYKTPDPEVGPVRNRSYIDAVNMNLGKTNARVCGLFVQVGLYGMGIAYTITSAISLRAIQKSNCYHNEGHNAECYFGDAQYMLAFGVVQLILSQINNFHNIQWLSVVAAFMSFAYSIVGLGLGIAKVVGNGYIKGSIRGISTSTTGEKVWLVSQALGDIAFAYPYSLILIEIQDTLKSPPSEKDTMKTASIISISATTFFYLCCGALGYAAFGDKTPGNLLTGFGFYEPYWLIDFANMCIVLHLVGGYQVYSQPLFANVEKWVSVKFPDSGIIHKDFKLKLPLLPAFKLNVLRLCFRTIFVASTTIIAMLFPYFNQVLGVLGGIYFWPLSIYFPVQMYFKQRNVEAWSLKWVMLQSFSLVCLPLTLFALVGSIEGLITARLK >KJB82183 pep chromosome:Graimondii2_0_v6:13:47413301:47418328:1 gene:B456_013G180100 transcript:KJB82183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHALVVADTSHTLVEHSLVIGQEFPDVETCRRTLKDIAIALHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFSIRTLHGEHTCEGVRNLHHQQASVGWVARSVEARIRDNPQYKPKEILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSVASVFATGQENCFQRLFISYRASIYGFITACRPLLELDKADLKGKYLGALLCAAAVDADDALFPLAIAIVDVESDENWMWFMSELRKLLGVNTENMPRLTILSERQRGMVDAVETHFPSAFHGFCLRYVSENFRDTFKNTKLVNIFWNAVYALTTVEFESKIAEMVEISQDVIQWFQLFPPRLWAVAYFEGVRYGHFTLGVTEMLYNWALECHELPIVQMMEHIRHQLTTWFTNRREMGMRWTSILVPSAEKRISEAIADARCYQVLRANEVEFEIVSTERTNIVDIRSRVCSCRRWQLYGLPCAHAAAALISCGQNAHMFAEPCFTVGSYRETYSQMIHPIPDKSIWKELGEGAEGGAAKLDITIRPPKIRRPPGRPKKKVLRVENLKRPKRVVQCGRCHLLGHSQKKCTMPI >KJB82182 pep chromosome:Graimondii2_0_v6:13:47414684:47418328:1 gene:B456_013G180100 transcript:KJB82182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHALVVADTSHTLVEHSLVIGQEFPDVETCRRTLKDIAIALHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFSIRTLHGEHTCEGVRNLHHQQASVGWVARSVEARIRDNPQYKPKEILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSVASVFATGQENCFQRLFISYRASIYGFITACRPLLELDKADLKGKYLGALLCAAAVDADDALFPLAIAIVDVESDENWMWFMSELRKLLGVNTENMPRLTILSERQRGMVDAVETHFPSAFHGFCLRYVSENFRDTFKNTKLVNIFWNAVYALTTVEFESKIAEMVEISQDVIQWFQLFPPRLWAVAYFEGVRYGHFTLGVTEMLYNWALECHELPIVQMMEHIRHQLTTWFTNRREMGMRWTSILVPSAEKRISEAIADARCYQVLRANEVEFEIVSTERTNIVDIRSRVCSCRRWQLYGLPCAHAAAALISCGQNAHMFAEPCFTVGSYRETYSQMIHPIPDKSIWKELGEGAEGGAAKLDITIRPPKIRRPPGRPKKKVLRVENLKRPKRVVQCGRCHLLGHSQKKCTMPI >KJB78821 pep chromosome:Graimondii2_0_v6:13:1444306:1444602:-1 gene:B456_013G020900 transcript:KJB78821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GAMQIIYAASISFGQQNFKKRIAYSSVSHMGFIIIRIVILQIISHGFIGAALLFLAGTSYDRMRLVYFDEMGRMAVSILKIFTMFSNC >KJB80485 pep chromosome:Graimondii2_0_v6:13:18087992:18088824:1 gene:B456_013G099900 transcript:KJB80485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSGPSNVKFLILLLTVSSVMFPMVPRTRGQIHLPCSSEDDCKAIECQGGTAHCINRQCQCTTFQIKTITCFNNFDCLNKCGPKSSIHRCVNGRCSC >KJB83275 pep chromosome:Graimondii2_0_v6:13:55663094:55663751:-1 gene:B456_013G239000 transcript:KJB83275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPGDYERRLFAHTDKPISTIICDDQDSGPEIEVNGQWIKLSLSPSSFCFVVGDPLKAWSNERLKAVNHRVMMSGDKDRFSIATFAIPVEGTIIKAPKELIDEQHPQLYKDFDFMDFFLFAFSNPAKHIDSGEQLQAFASLPPPISD >KJB80604 pep chromosome:Graimondii2_0_v6:13:22719186:22719880:-1 gene:B456_013G106400 transcript:KJB80604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCKATFIFLLLRRSICRHLEHPINPIYHSNHLYLFHTHLLANPKNPGSSAKIVKQLQLKRLPKRPGQSLWLAVTHQFWWEFWAPWCGPCWMIEPVIAELAREYAGKISRNKLNTDDSPNNATQFGIRSIPTIMFFKNGEECIIGAVPKSSLAASIEKYIDN >KJB80516 pep chromosome:Graimondii2_0_v6:13:18697172:18699706:1 gene:B456_013G101100 transcript:KJB80516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPIMILSTIFGLCLLLLLIRLLHTFWWTPVYIQYRLGSQGIKGPSYKFIHGNTKEMLEMRNAALSKPLGLLDDIFPRVLPHVYTWLSKYGKNYLSWKGAEPELMITEPELIKEVLINRDRAYLKPSPPFDSMKLMGNGLVTSNGERWAQHRNLINNAFQGESLKKMIPEMVVSVELMLQSWKNHLEKEIEVFEEFRLLSADIISRTAFGSNYLEGKNIFDMKVKYSNITRMNIFKSRFPSISKIWKTSDEIEADKLLSIIHKSVMDIIKKREEKVKLGEIDNYGTDFLGLLLQAYHDVDENRRILVEDLIDECKTFYIVGQEPINSLLSWTVLLLAIHTDWQEKAREEVIELFGHQNPHPDDLSKLNIVTMIINEALRLYPPAVGFPRKCDSEVRLGKFILPANIHLFISNLVAHHDPGIWGDDAHLFNPERFSGGVAKATSNNVAAYFPFGFGPRTCVGFNFATMGAKIVLMMILQRYRFTLSPAYVHSPVVHFVLYPQHGIQVILHSLKSEEGPS >KJB78630 pep chromosome:Graimondii2_0_v6:13:845056:850684:-1 gene:B456_013G012400 transcript:KJB78630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKWVLMKTAQTPTNIAVIKYWGKRDETLILPVNDSISVTLDPAHLCTTTTVAVSPAFQQDRMWLNGKEISLSGGRYQNCLREIRTRAREVEDKERGIKIEKKDWEKLHLHIASYNNFPTAAGLASSAAGFACLVFALAKLMNVSEDESQLSAIARQGSGSACRSLFGGFVKWIMGKEDDGSDSLAVQLVDEKHWEDLFIIIAVVSSRQKETSSTSGMRESVETSSLLQHRAKEVVPKRTIKMEEAIQNRDFASFAKLACADSNQFHAVCLDTLPPIFYMNDTSHRIISYVEKWNRSEGTPQVAYTFDAGPNAVLIARDRKAAAQLIQRLLFYFPPKSDTDLDSYLLGDKTILKDAGLEGLKDVEALSSPPENGSAQKYPGDVSYFICTRPGKGPILLSNDKLALLDPETGLPK >KJB78631 pep chromosome:Graimondii2_0_v6:13:845119:850666:-1 gene:B456_013G012400 transcript:KJB78631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKWVLMKTAQTPTNIAVIKYWGKRDETLILPVNDSISVTLDPAHLCTTTTVAVSPAFQQDRMWLNGKEISLSGGRYQNCLREIRTRAREVEDKERGIKIEKKDWEKLHLHIASYNNFPTAAGLASSAAGFACLVFALAKLMNVSEDESQLSAIARQGSGSACRSLFGGFVKWIMGKEDDGSDSLAVQLVDEKHWEDLFIIIAVVSSRQKETSSTSGMRESVETSSLLQHRAKEVVPKRTIKMEEAIQNRDFASFAKLACADSNQFHAVCLDTLPPIFYMNDTSHRQDNQLC >KJB78632 pep chromosome:Graimondii2_0_v6:13:845801:850157:-1 gene:B456_013G012400 transcript:KJB78632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKWVLMKTAQTPTNIAVIKYWGKRDETLILPVNDSISVTLDPAHLCTTTTVAVSPAFQQDRMWLNGKEISLSGGRYQNCLREIRTRAREVEDKERGIKIEKKDWEKLHLHIASYNNFPTAAGLASSAAGFACLVFALAKLMNVSEDESQLSAIARQGSGSACRSLFGGFVKWIMGKEDDGSDSLAVQLVDEKHWEDLFIIIAVVSSRQKETSSTSGMRESVETSSLLQHRAKEVVPKRTIKMEEAIQNRDFASFAKLACADSNQFHAVCLDTLPPIFYMNDTSHRIISYVEKWNRSEGTPQVAYTFDAGPNAVLIARDRKAAAQLIQRLLFYFPPKSDTDLDR >KJB78629 pep chromosome:Graimondii2_0_v6:13:845119:850666:-1 gene:B456_013G012400 transcript:KJB78629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKWVLMKTAQTPTNIAVIKYWGKRDETLILPVNDSISVTLDPAHLCTTTTVAVSPAFQQDRMWLNGKEISLSGGRYQNCLREIRTRAREVEDKERGIKIEKKDWEKLHLHIASYNNFPTAAGLASSAAGFACLVFALAKLMNVSEDESQLSAIARQGSGSACRSLFGGFVKWIMGKEDDGSDSLAVQLVDEKHWEDLFIIIAVVSSRQKETSSTSGMRESVETSSLLQHRAKEVVPKRTIKMEEAIQNRDFASFAKLACADSNQFHAVCLDTLPPIFYMNDTSHRIISYVEKWNRSEGTPQVAYTFDAGPNAVLIARDRKAAAQLIQRLLFYFPPKSDTDLDSYLLGDKTILKDAGLEGLKDVEALSSPPENGSAQKYPGDVSYFICTRPGKGPILLSNDKLALLDPETGLPK >KJB81717 pep chromosome:Graimondii2_0_v6:13:43378131:43381149:-1 gene:B456_013G158100 transcript:KJB81717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQSLVFRCLSTRKNRLVIEFPRRLLFASFQFVSSFTAHVATEEINPSLKVPLFKEKTKLEGSKRLKLYSKILHDCASKGSLSSAKAVHGKIIKNGIDPDLHLWNSLVNAYAKRGVFGYACKVLDRMPERDVVSWAALFSGLVNEGYGYYVLDLYCSMKKDGVLPNGHCLVTALKACSLSLDLFFGTLLHGEGVKVGVLLDVFVGSSLVDLYAKCGQMELAERVFLFMDKKNVVSWNALLNGYALKGDAGKILNLFQGMTESELRCSKFTLSNVLKSCTYLVNLTWGLIAHSLVIKTGCEHDEFVGCCLLDMYSKCGLAEDTLKVFKRIQEPNIVAWSAMIDCLDEQGQIQEAAELFSLMRCKGVSPNQHTFASIAGVAANLGDRLYCEGVHACIFKHGFESEIILSNALIAMYMKIRSVQNGWRVFKEMSSWNSASWNSLLSGSHNGKTCDRGPSIFHKMLAEGFKPDICTFTSILRSCSNLLNIKFGHQVHAHIIKNGLKDNNLVGTSLIDLYAKNGFLADAELLFTQLIERDLFSWTALIAGYAQSDCSEKAIKCFNHMQRQGVTPNNFTLATCLSSCSNMAMLENGQLLHSMAIKAGNSGDMFVSSSIVDMYANCGCIEEAEAAFQGMVSTDTVSWNTMLFGYLQHRQGLKVLETFRMMLDKGLEPDEVTFIAVLSACSYMGFVNEGKEYFDSLTNVFGIVPTIKHCACMIDILGRAGKFNEVESFIKDMKVTSNPLIWETVLGACRMHGNDKLGESAAEKLFELNPGIASHYILLANIFAAKGRWEDVRRVRALMTHCGVKKEPGCSWVMVNGQVHIFRSTDGFHPKHGEIYIKLKELVEKSILAGYVPKTDHILNDVSDDEKLEQLFHHNERLALAFALISINPVKTIRIFKNLHICEDCHDFMKLVSGVIKQEIVVRDANCFHHFKSGICSCQDYC >KJB81290 pep chromosome:Graimondii2_0_v6:13:36716216:36717842:1 gene:B456_013G137300 transcript:KJB81290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFDSFMPYLLHLVLLYVSAGLFYFLYKYKSSGGGGPTPNLPPGKKGLPYIGETLDFVLASRRGTPEKFVTDRTTKYSPDVFRTSLLGEDMAVFCGSAGNKFLFSGQNKYVTSWWPDSIKKALMDPSSVDNSSKEESTKLRAYLPPFLKPESLQHFIPVMDIMAKEHLNQHWSPYNEVQVFPLSKKYTFALACRLFMSVTDYDEIENFAKPFALATAGLMSVPIDLPGTTFNRAVKAGRLIRQRLLALITQKKNEILEKGKTVASDLVDSMLMDGMTEVEIGNKIVGFFIASHDTTSTAITFIVSYLSDYPEVYNRVLEEQMEVLRCKEAGEPLRWEDIQKMKYTWCVACEVMRLAPPANGSFREAITDFTYAGYTIPKGWKAFWMVHTTHKNPKYFPDPERFDPSRFEGNGPAPYSFVPFGGGPRMCPGKEYARLEILTFIHNLLTTFKWVKLNPNEKISYIPSPIPKEGLPIKIQPLLN >KJB78538 pep chromosome:Graimondii2_0_v6:13:317354:322432:-1 gene:B456_013G004300 transcript:KJB78538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSSTSDLLKPFKLKTKQQELLIRVSILCLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTQHGFYDFWNWFDYESWYPLGRIVGGTLYPGLMVTAALIYRLLHFLRFAVHIREVCVLTAPFFASNTTLVAYFFGKEIWDSGAGLVAAILIAVCPGYISRSVAGSYDNEGVAIFALLFTFYLFVKAVNKGSLAWGLASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNCMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKHMLSDTKLFQEFLSITVTCAVAAGGIALGVGMASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLTVLLRSKNKVAQIGSTKGTSSAKASSKASLDQSQPFQKNGAMALLFGAFYLLSRYATHCTWVTSEAYSSPSIVLAARGAHGNRVIFDDYREAYFWLRQNTPPDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIIRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGEMMTEYGKPPG >KJB78537 pep chromosome:Graimondii2_0_v6:13:316745:322487:-1 gene:B456_013G004300 transcript:KJB78537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSSTSDLLKPFKLKTKQQELLIRVSILCLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTQHGFYDFWNWFDYESWYPLGRIVGGTLYPGLMVTAALIYRLLHFLRFAVHIREVCVLTAPFFASNTTLVAYFFGKEIWDSGAGLVAAILIAVCPGYISRSVAGSYDNEGVAIFALLFTFYLFVKAVNKGSLAWGLASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNCMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKHMLSDTKLFQEFLSITVTCAVAAGGIALGVGMASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLTVLLRSKNKVAQIGSTKGTSSAKASSKASLDQSQPFQKNGAMALLFGAFYLLSRYATHCTWVTSEAYSSPSIVLAARGAHGNRVIFDDYREAYFWLRQNTPPDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIIRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGEMMTEYGKPPGYDRARGVEIGNKDIKLEHLEEAFTTSNWIVRIYKVKPPNNRW >KJB82413 pep chromosome:Graimondii2_0_v6:13:49652715:49658420:-1 gene:B456_013G194800 transcript:KJB82413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLTSPSIVKAVLSTATPNRFTSPIAKGPTSLGSVKTISRSFGLKCSSNHRTSMAMYKIKLVGPKGEVNEFEVPDNQYILEAAEAAGVELPYCCRAGACSICTAKVVSGTVHQPEAIYLEDDQIKDGYMLTCVSKPTSDYEIHTHKELDLYSPSK >KJB78906 pep chromosome:Graimondii2_0_v6:13:1809360:1813374:1 gene:B456_013G024700 transcript:KJB78906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSFRQLPSFSVRTTRSQTLPYSSILSLSSPLPKPQPSSFVFAPAKTIRSAATSYPSSIILWTFNRDCCNATRSIAVRCISSFPTPQPEMVVDWNDAVSCSEVGDGVEDKNGDDGTLEEGTKRSIPVRAYFFSTSVDLKGLVEQNKQNFIPPTSRMTNYVVLKFGDLSSSSGPGVFTSGSDCCFMVVFQYGSIVLFNIRECDVDQYLKIVEKHASGLLPEMRKDEYEVIEMPTLNTWMQAGLDYITLQFLNIDGIRTIGSVLGQSIALDYYVRQVDGMVAEFTDINRGMEKTGTFTMDSKKLFQIVGKANSNLADVILKLGLFER >KJB78905 pep chromosome:Graimondii2_0_v6:13:1809360:1813374:1 gene:B456_013G024700 transcript:KJB78905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSFRQLPSFSVRTTRSQTLPYSSILSLSSPLPKPQPSSFVFAPAKTIRSAATSYPSSIILWTFNRDCCNATRSIAVRCISSFPTPQPEMVVDWNDAVSCSEVGDGVEDKNGDDGTLEEGTKRSIPVRAYFFSTSVDLKGLVEQNKQNFIPPTSRMTNYVVLKFGDLSSSSGPGVFTSGSDCCFMVVFQYGSIVLFNIRECDVDQYLKIVEKHASGLLPEMRKDEYEVIEMPTLNTWMQAGLDYITLQFLNIDGIRTIGSVLGQSIALDYYVRQVCSIQLSTSIFEVFSLIMTINTSSLG >KJB78904 pep chromosome:Graimondii2_0_v6:13:1809335:1813374:1 gene:B456_013G024700 transcript:KJB78904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSFRQLPSFSVRTTRSQTLPYSSILSLSSPLPKPQPSSFVFAPAKTIRSAATSYPSSIILWTFNRDCCNATRSIAVRCISSFPTPQPEMVVDWNDAVSCSEVGDGVEDKNGDDGTLEEGTKRSIPVRAYFFSTSVDLKGLVEQNKQNFIPPTSRMTNYVVLKFGDLSSSSGPGVFTSGSDCCFMVVFQYGSIVLFNIRECDVDQYLKIVEKHASGLLPEMRKDEYEVIEMPTLNTWMQAGLDYITLQFLNIDGIRTIGSVLGQSIALDYYVRQVDGMVAEFTDINRGMEKTGTFTMDSKKLFQIVGKANSNLADVILKLGLFERSDIAWKDAKYAIIWEFLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIVLISAEIVISLYDLIKRSL >KJB83070 pep chromosome:Graimondii2_0_v6:13:54761659:54763341:1 gene:B456_013G228400 transcript:KJB83070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVSLQNSGNSISFSSHLKSSITTNHSFPLNNNKLKLSFSQDPNKLSSLCTYAASDNTKTNNSTRVYRRHGSNTHHRLATKNRPNPRKLNTENRNENHQSPENPTFQSVDVDLIKLCREGKVKEALDCMGQGVSADYNDFGALLDACADTNSLELSKRVNDLLRRSKFAGDIDLNNKLIGVYGKCASMRDARRVFDKMRERNLASWKLMINGYAVNGNGADGLLLFEEMRNGGFQPDNETFLAVLSACASAGDVEEGVKYFELMKNEYSIALGIEHYIGVISVFGEAGHLNEAVEFIENMPIEPTIEIWQAIRGFARIHGDIDLEDHVDELLLEFDPSISSENKLRVPTKKKHSTINMIEEKNRVSDYKCINPFKGEGNEKFKGLNGQMREAGYVPDTRYVLHDIDQEAKEQALQYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSKIVGRELIVRDNKRFHHFKDGKCSCGDYW >KJB82819 pep chromosome:Graimondii2_0_v6:13:53575964:53576735:-1 gene:B456_013G215700 transcript:KJB82819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRCEICCELLIAILLPPLGVCLRHGCCTAELCICLVLTILGYIPGIIYALYAIVYVDRDEYFDEYRRPLYYSNA >KJB81969 pep chromosome:Graimondii2_0_v6:13:45554175:45555084:1 gene:B456_013G169500 transcript:KJB81969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSKPIDPNSMEIRYRGVRKRPWGRYAAEIRDPRRKTRVWLGTFDKAEDAARAYDAAAREFRGAKAKTNFADSDFTRSPSHSSTVESSSPPPPLDLTLAVTANRPQFFFDAFASAGSGCPLSCGSQSDTDSSSWVVDFEEGGVQVREFDVDLNKLPAEMN >KJB82539 pep chromosome:Graimondii2_0_v6:13:51821424:51822214:1 gene:B456_013G207200 transcript:KJB82539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRGILTMGEQIVFRGFENFLMLTQNLCPPTRFISFKLLGPVNNQEPTTYLENGMLEAIVKKNFKVLAYYFLTSF >KJB80242 pep chromosome:Graimondii2_0_v6:13:13773959:13775670:1 gene:B456_013G088400 transcript:KJB80242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCREKMGLKKGPWTPDEDQKLLAYIEEHGLGNWRTFPEKAGLQRCGKSCRLRWINYLRPDLKRGKFSLQEEQTIIQLHAFLGNRWSTIAAHLPNRTDNEIKNYWNTHVKKRFTKMGIDPTTHKPKSNHVVSPTGRTTLNHMAQWESARLEAEARLVKDSKNLPSSSSRPSPYQKSCNKGSKSQCLDVVKAWQSVVAGMFATSTNNSNRIIFGPDQSSGNYELDSIIPIGGNVEDELMVGNDRSKCQVPELNERFDNYMSLHDTTHLWAAPIAENDVVEGFPDFLVHDFDYQIDNEESITV >KJB82800 pep chromosome:Graimondii2_0_v6:13:53489158:53491931:1 gene:B456_013G214000 transcript:KJB82800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLTSLKRSSPSMLHLSLIQQRLLTCQFSSLPLTDASNIDPNNKRDSFSRTFVKWVSGLAVGSSLGAVYWWYSTSVSDWGSAFFKKPFLSFSEWSMESDESTTDGSKTVFHKLALPDYSSKFIFGEVYRRKVFFNYEKRLRLRSPPEKVFEYFASFQTPEGELFMRPADLMRAVVPVFPPSESHLVRDGYLTGERSPGELRCDPSEFFMLFDMNSDGLISFKEYIFFVTLLSIPESSFSVVFKMFDADNNGEIDKEEFKKVMSLMRANNRQGAVQRDGLRFGLKVSGSVEDGGLVEYFFGKDGKARLQHDKFVQFMRKLQDEILRLEFDHYDYKGRGSISAKDFALSMVAAADMSHLDRLLERVDALNDKPQLREVRISLDEFKHFAELRRKLQPFSLALFSYGKVNGLLTKDDFKRAASHVCGVSLTDNIVEIVFHVFDSNKDGHLSSDEFVRVLRKRERDIAQPVESGIMGLKSCCWNCSNNSSIGQVIS >KJB82799 pep chromosome:Graimondii2_0_v6:13:53489135:53492054:1 gene:B456_013G214000 transcript:KJB82799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLTSLKRSSPSMLHLSLIQQRLLTCQFSSLPLTDASNIDPNNKRDSFSRTFVKWVSGLAVGSSLGAVYWWYSTSVSDWGSAFFKKPFLSFSEWSMESDESTTDGSKTVFHKLALPDYSSKFIFGEVYRRKVFFNYEKRLRLRSPPEKVFEYFASFQTPEGELFMRPADLMRAVVPVFPPSESHLVRDGYLTGERSPGELRCDPSEFFMLFDMNSDGLISFKEYIFFVTLLSIPESSFSVVFKMFDADNNGEIDKEEFKKVMSLMRANNRQGAVQRDGLRFGLKVSGSVEDGGLVEYFFGKDGKARLQHDKFVQFMRKLQDEILRLEFDHYDYKGRGSISAKDFALSMVAAADMSHLDRLLERVDALNDKPQLREVRISLDEFKHFAELRRKLQPFSLALFSYGKVNGLLTKDDFKRAASHVCGVSLTDNIVEIVFHVFDSNKDGHLSSDEFVRVLRKRERDIAQPVESGIMGLKSCCWNCSNNSSIGQVIS >KJB80383 pep chromosome:Graimondii2_0_v6:13:15572055:15573064:1 gene:B456_013G094800 transcript:KJB80383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDKRLTEIFCYICIKEILKGNRPGTHFTRDGWLKIMTNFEKETSKGFSQKQLKNRWDALKNNGKLGRNLKAKIMVVPEAKKFRTSGIDPEFEGKLDQMFMGIVATGDKAWAPSSGTLPTDFFEDVNNEIPEENEEENMRNDVHILNGVHISNDVHISNDVQIDGNSQKRKNPEMSSSHFKTGRKKSSKQIGGAARLSSQIEKLCNVADNMSQATSSLTPVMDPFGIPQAVKMLDSMSEEVPEASPLYFFALRLLLNKDKRFMFLSINPNIRALWLKTEMEDS >KJB80846 pep chromosome:Graimondii2_0_v6:13:29063320:29064067:1 gene:B456_013G117800 transcript:KJB80846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAENVLEAMKVELEGMRSENIYMEERLIALEKALEEHKRLLAEYKAIVELTDHLLSDIGVDAGFDNLHENNGSFDEETIKSLIEEAVRDFTEALDKAQFRLGRPGDGGADGQA >KJB82215 pep chromosome:Graimondii2_0_v6:13:47886706:47893359:1 gene:B456_013G182200 transcript:KJB82215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSDVLKTLRRSSYLGFTTRNFSLVSSQISNHTAKWMQDSSKKSPMGLIIKVPPIKVEGRIVACEEDNNPALEHPIEFICLDLKEPAVCTYCGLCYMQEHHH >KJB79993 pep chromosome:Graimondii2_0_v6:13:9476652:9478135:1 gene:B456_013G076400 transcript:KJB79993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNSKKRVRGDSAESDLDSPMVKRLRDDLLDLLEDSDSLPVNQDLASVIKSFEEEISAASSTSNAKEPLLNPKSDSSEPQPDLVYLLEASDDDLGLPPPMATTTSSEEIRSEVTELLRLDTDSSGTGELWEFGDQIPNYDLFEFGIGDNYGGGHVTYGGLFEYPDVYYDSSEFSALLWRPETLSAE >KJB83274 pep chromosome:Graimondii2_0_v6:13:55654485:55656058:-1 gene:B456_013G238900 transcript:KJB83274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQVEIEFPIIEFRPSDLKRGTDGWHRLCKRVREACETFGCFEVVYEKISAKAREETFGLMKELVEVPVERKQKNASPMPYHGWVGPCNQVSMLYEGFGLGDASNYDSVKSFAQLMWPDGHPHFCNTVHAMATQIEELNKLIWLMIIDSYGLGEKWESVMINYKSLVRFMKYMAPPPGDYERGLFAHTDKPVSTIICDDQVSGLEIEVNGQWIKLSLSPSSFCFVVGDPLKAWSNGRLKAVNHRVMMSGDKDRFSIATFAIPVEGTIIKAPKELIDEQHPQLYKDFDFMDFFLFAFSNPAKHIDSGEQLQAFASLSPPVSD >KJB81870 pep chromosome:Graimondii2_0_v6:13:44827620:44828127:1 gene:B456_013G165700 transcript:KJB81870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPKRAWIVAASIYVVEALKDQGICRWNYSLRLAKNNFTKPFPSLKQEAPPAMAVATSGKMREEMMKPERSMKKVMELSSWGPNTIRF >KJB80150 pep chromosome:Graimondii2_0_v6:13:11584878:11587860:-1 gene:B456_013G083100 transcript:KJB80150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDVQTKRKSSGGSRVSSKTSRSSAPSSLTIPSFSDTSSMGCLPTPRTEGEILSSPNLKPFSFSELKNATRNFRPESLLGEGGFGYVFKGWIDENTLAATKPGSGMVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHPNLVKLIGYCSEGENRLLVYEFMPKGSLENHLFRRGPQPLSWVVRLKVAIGAARGLSFLHDLKSQVIYRDFKASNILLDGEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTKVGVEQNLVDWAKPYLGDKRKLFRIMDTKLGGQYPQKSAYTAATLALQCLSTEAKLRPKMSEVLAALEQLEAPKTASKHTQLDQQPIPLPVQKSPIRQHHSPMHLTPSASPLPSQKQSPRAY >KJB80149 pep chromosome:Graimondii2_0_v6:13:11584839:11588588:-1 gene:B456_013G083100 transcript:KJB80149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLFSSTRVDSSQSPHATSASGGSRVSSKTSRSSAPSSLTIPSFSDTSSMGCLPTPRTEGEILSSPNLKPFSFSELKNATRNFRPESLLGEGGFGYVFKGWIDENTLAATKPGSGMVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHPNLVKLIGYCSEGENRLLVYEFMPKGSLENHLFRRGPQPLSWVVRLKVAIGAARGLSFLHDLKSQVIYRDFKASNILLDGEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTKVGVEQNLVDWAKPYLGDKRKLFRIMDTKLGGQYPQKSAYTAATLALQCLSTEAKLRPKMSEVLAALEQLEAPKTASKHTQLDQQPIPLPVQKSPIRQHHSPMHLTPSASPLPSQKQSPRAY >KJB83598 pep chromosome:Graimondii2_0_v6:13:57164282:57167019:1 gene:B456_013G254800 transcript:KJB83598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGHRMIKVNGINIHVAEKGEGPVILFLHGFPELWYSWRHQILALSSMGYRAVAPDLRGYGDSDAPDSVESYTCFHIIGDLVELIDVLDPDVGKVFVVGHDWGAYMAWLLCLFRPDKVKALVNLSVPFIRFDREINPVGVWKAVYGDDYYISRFQEYGEIEGEFAEVGVERVVKEYLCDFPVLLPKGKLFKRPLDEPITLPSWLSEEEANYYVTVFQKTGFTCPINYYRNLGRDERIHSQWWVQRRCAIFGASGGNERCQPFH >KJB83599 pep chromosome:Graimondii2_0_v6:13:57164347:57166812:1 gene:B456_013G254800 transcript:KJB83599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGHRMIKVNGINIHVAEKGEGPVILFLHGFPELWYSWRHQILALSSMGYRAVAPDLRGYGDSDAPDSVESYTCFHIIGDLVELIDVLDPDVGKVFVVGHDWGAYMAWLLCLFRPDKVKALVNLSVPFIRFDREINPVGVWKAVYGDDYYISRFQEYGEIEGEFAEVGVERVVKEYLCDFPVLLPKGKLFKRPLDEPITLPSWLSEEEANYYVTVFQKTGFTCPINYYRNLGRNWELLGPW >KJB78578 pep chromosome:Graimondii2_0_v6:13:540289:541030:1 gene:B456_013G008000 transcript:KJB78578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKELKKPSFLLGFALLLLLLMMMISSQSMADAEMMKVKPTKARTRKVLEMEQDYPGEVPSIPSDYDYKDFYRRQGDVPSPGIGH >KJB81306 pep chromosome:Graimondii2_0_v6:13:36953820:36956406:-1 gene:B456_013G138100 transcript:KJB81306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETQKPEAAAAPAPAEEVVVEKESEQEAASEKPTDAVVEDKPAEAVEEEPKVEAKDTKVTESASFKEETNIAGELPDPQKKALDELKQLIQEALNKHEFTPPPAKDKDKPAPEEKKEEDQPATSAAETEVKVETEAPAPVEVKEEEKADPPVEAPAPETVVETEVVEKVSAADDDGAKTVEAIEESVVAVATPPPAEKPEEEASSSLKPDEVAQSEEKPEEAEVPPPTPQEVSIWGIPLLADERSDVILLKFLRARDFKVKDAFTMIKNTVIWRKEFGVDGLLDEELGNELEKVVFMHGFDKEGHPVCYNVYGEFQNKELYQNTFADEEKRSKFLRWRIQFLEKSIRKLDFSPNGISTIVQVNDLKNSPGPGKRELRQATNQALHLLQDNYPEFVAKQVFINVPWWYLAFNMMISPFLTQRTKSKFVCASPAKSSDILFRYIAPEQVPVQYGGLSRDGEQEFTVADAATEVTIKPATKHSVEFPVTEKSTVVWEVRVVGWDVNYGAEFVPTAEDGYTVIVSKTRKVGPADETVISNSFKTGEPGKIVLTIDNQTTKKKKLVYRSKAKPYSE >KJB81305 pep chromosome:Graimondii2_0_v6:13:36953820:36956386:-1 gene:B456_013G138100 transcript:KJB81305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETQKPEAAAAPAPAEEVVVEKESEQEAASEKPTDAVVEDKPAEAVEEEPKVEAKDTKVTESASFKEETNIAGELPDPQKKALDELKQLIQEALNKHEFTPPPAKDKDKPAPEEKKEEDQPATSAAETEVKVETEAPAPVEVKEEEKADPPIEAPAPVEVREEEKADPPVEAPAPETVVETEVVEKVSAADDDGAKTVEAIEESVVAVATPPPAEKPEEEASSSLKPDEVAQSEEKPEEAEVPPPTPQEVSIWGIPLLADERSDVILLKFLRARDFKVKDAFTMIKNTVIWRKEFGVDGLLDEELGNELEKVVFMHGFDKEGHPVCYNVYGEFQNKELYQNTFADEEKRSKFLRWRIQFLEKSIRKLDFSPNGISTIVQVNDLKNSPGPGKRELRQATNQALHLLQDNYPEFVAKQVFINVPWWYLAFNMMISPFLTQRTKSKFVCASPAKSSDILFRYIAPEQVPVQYGGLSRDGEQEFTVADAATEVTIKPATKHSVEFPVTEKSTVVWEVRVVGWDVNYGAEFVPTAEDGYTVIVSKTRKVGPADETVISNSFKTGEPGKIVLTIDNQTTKKKKLVYRSKAKPYSE >KJB81307 pep chromosome:Graimondii2_0_v6:13:36954659:36956234:-1 gene:B456_013G138100 transcript:KJB81307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETQKPEAAAAPAPAEEVVVEKESEQEAASEKPTDAVVEDKPAEAVEEEPKVEAKDTKVTESASFKEETNIAGELPDPQKKALDELKQLIQEALNKHEFTPPPAKDKDKPAPEEKKEEDQPATSAAETEVKVETEAPAPVEVKEEEKADPPIEAPAPVEVREEEKADPPVEAPAPETVVETEVVEKVSAADDDGAKTVEAIEESVVAVATPPPAEKPEEEASSSLKPDEVAQSEEKPEEAEVPPPTPQEVSIWGIPLLADERSDVILLKFLRARDFKVKDAFTMIKNTVIWRKEFGVDGLLDEELGNELEKVVFMHGFDKEGHPVCYNVYGEFQNKELYQNTFADEEKRSKFLRWRIQFLEKSIRKLDFSPNGISTIVQVNDLKNSPGPGKRELRQATNQALHLLQDNYPEFVAKQVFINVPWWYLAFNMMISPFLTQRTKSKFVCASPAKSSDILFRQIYCA >KJB81308 pep chromosome:Graimondii2_0_v6:13:36953825:36956326:-1 gene:B456_013G138100 transcript:KJB81308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETQKPEAAAAPAPAEEVVVEKESEQEAASEKPTDAVVEDKPAEAVEEEPKVEAKDTKVTESASFKEETNIAGELPDPQKKALDELKQLIQEALNKHEFTPPPAKDKDKPAPEEKKEEDQPATSAAETEVKVETEAPAPVEVKEEEKADPPIEAPAPVEVREEEKADPPVEAPAPETVVETEVVEKVSAADDDGAKTVEAIEESVVAVATPPPAEKPEEEASSSLKPDEVAQSEEKPEEAEVPPPTPQEVSIWGIPLLADERSDVILLKFLRARDFKVKDAFTMIKNTVIWRKEFGVDGLLDEELGNELEKVVFMHGFDKEGHPVCYNVYGEFQNKELYQNTFADEEKRSKFLRWRIQFLEKSIRKLDFSPNGISTIVQVNDLKNSPGPGKRELRQATNQALHLLQDNYPEFVAKQVFINVPWWYLAFNMMISPFLTQRTKSKFVCASPAKSSDILFRYIAPEQVPVQYGGLSRDGEQEFTVADAATEVTIKPATKHSVEFPVTESTVVWEVRVVGWDVNYGAEFVPTAEDGYTVIVSKTRKVGPADETVISNSFKTGEPGKIVLTIDNQTTKKKKLVYRSKAKPYSE >KJB81499 pep chromosome:Graimondii2_0_v6:13:42249363:42252181:-1 gene:B456_013G153800 transcript:KJB81499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIAILLDLYRKNPSFCSQQSFHSSGFFSASAAAASAAATVVAGTPFASRFLFGNPKVSHCDAAAAFPEDYISNVQRLSEDIVKNVKNLKNDALKYAVKEYKVELKPLYSAFELRPFAMTTLRSFLTFYLPLLEPATNVEEDDEDFLQDTPKELHVDLVVPFKKSVKQIIRETTVVTTRRVLERLAVIYVSQRMAWKLLKDVPKSAVRKSQRGLPTTVYFFRVSRTTFRGHLLGVVAAALVQTGIEIYRCFCRKTNSEESDEVNLTEQTKLLGKKISGITIRCGASLIFASIGAGIGAVLFRPSVGQWIGK >KJB81498 pep chromosome:Graimondii2_0_v6:13:42248871:42252283:-1 gene:B456_013G153800 transcript:KJB81498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIAILLDLYRKNPSFCSQQSFHSSGFFSASAAAASAAATVVAGTPFASRFLFGNPKVSHCDAAAAFPEDYISNVQRLSEDIVKNVKNLKNDALKYAVKEYKVELKPLYSAFELRPFAMTTLRSFLTFYLPLLEPATNVEEDDEDFLQDTPKELHVDLVVPFKKSVKQIIRETTVVTTRRVLERLAVIYVSQRMAWKLLKDVPKSAVRKSQRGLPTTVYFFRVSRTTFRGHLLGVVAAALVQTGIEIYRCFCRKTNSEESDEVNLTEQTKLLGKKISGITIRCGASLIFASIGAGIGAVLFRPSVGQWIGCAAGDLAGPIIVSVCLEKAFHVNL >KJB79563 pep chromosome:Graimondii2_0_v6:13:5311343:5313147:1 gene:B456_013G054500 transcript:KJB79563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPGRFILVLITCSVLELHKRGLQVFVAFMMDCASCLKFLLPGNEFFGFGFLVFGRFSHVFNVLGLVFVFGMGLKFLQFGLSNKGIMQFLCDARGKSNDLRGGICSKHDLNEVYDPNITKSIDNCKEILKKDTDFDAKYGVEEGTDEKEKECCPEDEEFDVMSLRKLVKIERRRTKAAYRELEKERTAASSAADEAMAMILRLQNEKSSVEIDANQFKRMAEQKQEYDQQVIESLQWIVMKHESEWSSLENQLQLCKQKLKLYMEDDELDQFELDGGLDHFHDA >KJB83859 pep chromosome:Graimondii2_0_v6:13:58045450:58048950:1 gene:B456_013G268400 transcript:KJB83859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANALSSASILCSPNKGSLRRKGNQRQNQRVNYRQGNNRFGVKACAKEIAFDQSSRAAMQAGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPNAMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLTVTSGANPVSVKRGIDKTVQSLIEELEKKARPVKGRDDIKAVASISAGNDDLIGTMVADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNPEKLICEFENARVLVTDQKITAIKDIIPLLEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGILNVAAIKAPSFGERRKAVLQDIAILTGAEFQANDLGLLIENTSVEQLGIARKVIITKDSTQIIADAASKDEIQARVQQLKKELAETDSVYDTEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSTCVPAIKDKLEDSEERIGADIVQKALVAPASLIAQNAGMEGEVVVEKVKNSEWEIGYNAMTDTYENMLEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKAPAAAAPEGLTI >KJB83861 pep chromosome:Graimondii2_0_v6:13:58045472:58048947:1 gene:B456_013G268400 transcript:KJB83861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLQLLFFALPTRRKGNQRQNQRVNYRQGNNRFGVKACAKEIAFDQSSRAAMQAGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPNAMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLTVTSGANPVSVKRGIDKTVQSLIEELEKKARPVKGRDDIKAVASISAGNDDLIGTMVADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNPEKLICEFENARVLVTDQKITAIKDIIPLLEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGILNVAAIKAPSFGERRKAVLQDIAILTGAEFQANDLGLLIENTSVEQLGIARKVIITKDSTQIIADAASKDEIQARVQQLKKELAETDSVYDTEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSTCVPAIKDKLEDSEERIGADIVQKALVAPASLIAQNAGMEGEVVVEKVKNSEWEIGYNAMTDTYENMLEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKAPAAAAPEGLTI >KJB83860 pep chromosome:Graimondii2_0_v6:13:58045472:58048947:1 gene:B456_013G268400 transcript:KJB83860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANALSSASILCSPNKGSLRRKGNQRQNQRVNYRQGNNRFGVKACAKEIAFDQSSRAAMQAGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPNAMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLTVTSGANPVSVKRGIDKTVQSLIEELEKKARPVKGRDDIKAVASISAGNDDLIGTMVADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNPEKLICEFENARVLVTDQKITAIKDIIPLLEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGILNVAAIKAPSFGERRKAVLQDIAILTGAEFQANDLGLLIENTSVEQLGIARKVIITKDSTQIIADAASKDEIQARVQQLKKELAETDSVYDTEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSTCVPAIKDKLEDSEERIGADIVQKVTLPNNN >KJB78571 pep chromosome:Graimondii2_0_v6:13:503145:506261:1 gene:B456_013G007400 transcript:KJB78571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQAYSPLGSQEGGRDLIHDETVDRIAKKLNKTPGQVLVKWAIQRGTSVIPKSNNPDRIKENIKVFGWELPQEDFQALCNIPDQTCAQAPLELNHPFHRHHPLLLLQNPPSSYTRCVCDFCDETCEKFIYHCSCGLYFHIKCALFTFNIAERNLKELEHVALEDPSFSPKNDGGSLGKCFACWEPLARYTYFSLGCGFNLHKKCADLPLKIDHLCHRKHPLVLQFNSERLSCKTCQVTPERGFVYGCSACKLAIHIDCASPLSVIEDKSHPHPFTLFWRRIPFVCDACGTEGHHVAYTCDCNVIFHVNCALQKKEWYCIVSQENEDNKSLDIPVNSITKVLERNDAGEATVIEHCEHKHYLMLSYKMKEHGDKCCDGCLLLISAKFYYCSRCDFFLHKSCAELPKKRLFKNHDCDEERFSGPKPFILTSDGMFKCGGCRNLSNGFSYKCNECGACSCLRCLALILQDGVKIPGHKHPLLFYYDYFHYKEQCSGCGRDMISRTYRCKDCNFNRCRSCVQLPATVKHKCDDHILTLTYDKITDYAIYHYCDICEKERDPKHWFYHCETCDISVHVDCVLGEYSFIKLGSTYNEGNHEHPLTFVKKIHYYPECVECGKLCEDLSLECAEPGCNYIAHCKCIKPAIGGRY >KJB83365 pep chromosome:Graimondii2_0_v6:13:56283568:56288215:-1 gene:B456_013G243500 transcript:KJB83365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEIVKEEAPSSSSGVVPAESHPFGSLRGVQWRINLGILPPSSSIDDLRRVTADFRRRYAGLRRQLLVDPHVPKDGATNSLDLVMDNPLSQNPDSTWSQYFRNAELEKMVNQDLSRLYPEHESYFQTPGCQGMLRRILLLWCLRHPDCGYRQGMHELLAPLLYVLHVDVERLLEVRKLYEDLFIDKFDGFSFEENGVAYNFDFKKFADSVEDEIESQGNSKKVMSLYELDPELQAVVLLSDAYGAEGELGIVLSEKFMEHDAYCMFDALMIGAHGSVAMADFFASSPAAESQSGVPPVIEASAALYHLLSIVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLQDLLVVWDKIFSEDNSPLDEISDDGEHFSFKLLGSRRGTLIAAMAVSMILYLRSSLLATETATSCLQRLLNFPESINLKKLIAKAESLQTLALDSNRSSLPSTFVGAYNCSKSPINAHNVSSDLVCPKTTLNLVPDSYWEEKWRVLHKAEEQRQNSSEKKTSSGKKKWSERVKFRLSRTESEPLPAIREKCKLEHTLSVRRSLLEDLSKQLGLEEDVETGACVEVSNSVDHHPAEVHRDGQNCANKGSICTVEDRCDDGSGAVVSEDNSSIFSEPPSPPSSVINDHDHENDTEKSSVASNLSADDNYVHQRSIPEDLPQPVSLPPEDVYLNSPHENGSSGKMVSAMKEKRQLSGKFQWLHKFRGSIVSEETSDKRGGMSEAANSPNHDSKRNTVDSFTAGASSNSHPTNKGDAMDQNMMDTLKHLGQAMLDHIQVVESVFQQDQGQGGSLDNLSKNIGKGQVTAITALKELRKISNLLSEM >KJB81341 pep chromosome:Graimondii2_0_v6:13:37630225:37633092:-1 gene:B456_013G139800 transcript:KJB81341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCAQVMGNHVAITVGGSNGHSELNVFKPMIANALLHSLRLLGDASASFEKNCVRGIQANRERISKLLHESLMHVTSLNPKIGYDNAAAVAKKAHKEGSTLKEAALSLGVLTSEEFDTLVVPEKTIGPSD >KJB81340 pep chromosome:Graimondii2_0_v6:13:37629817:37633039:-1 gene:B456_013G139800 transcript:KJB81340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVANDIHLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEAITMVCAQVMGNHVAITVGGSNGHSELNVFKPMIANALLHSLRLLGDASASFEKNCVRGIQANRERISKLLHESLMHVTSLNPKIGYDNAAAVAKKAHKEGSTLKEAALSLGVLTSEEFDTLVVPEKTIGPSD >KJB81339 pep chromosome:Graimondii2_0_v6:13:37629743:37632029:-1 gene:B456_013G139800 transcript:KJB81339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVANDIHLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEAITMVCAQVMGNHVAITVGGSNGHSELNVFKPMIANALLHSLRLLGDASASFEKNCVRGIQANRERISKLLHESLMHVTSLNPKIGYDNAAAVAKKAHKEGSTLKEAALSLGVLTSEEFDTLVVPEKTIGPSD >KJB78943 pep chromosome:Graimondii2_0_v6:13:2240166:2242291:-1 gene:B456_013G029700 transcript:KJB78943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G01770) UniProtKB/Swiss-Prot;Acc:Q9ZUA5] MAAQNSRATIEPEKQTLLNHHKEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANASSSIVITAGIAEVAAGAISMGLGGYLAAKSEADHYTRELKREEEEIINVPDVEAAEVAEILAEYGVEPHEYAPVVNALRKRPQAWLDFMMKFELGLEKPDPRRALQSAFNIAVSYILGGLVPLLPYMFIPRAQDAVVASVVITIAALLIFGYAKGYFTGNKPVKSAFQTALIGAIASAAAFGIAKVIHP >KJB78944 pep chromosome:Graimondii2_0_v6:13:2240206:2242237:-1 gene:B456_013G029700 transcript:KJB78944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G01770) UniProtKB/Swiss-Prot;Acc:Q9ZUA5] MAAQNSRATIEPEKQTLLNHHKEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANASSSIVITAGIAEVAAGAISMGLGGYLAAKSEADHYTRELKREEEEIINVPDVEAAEVAEILAEYGVEPHEYAPVVNALRKRPQAWLDFMMNLRVEPMSCFTGGIHDLSNSWPSANENSKLKRHKKDYTV >KJB78945 pep chromosome:Graimondii2_0_v6:13:2240988:2242291:-1 gene:B456_013G029700 transcript:KJB78945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G01770) UniProtKB/Swiss-Prot;Acc:Q9ZUA5] MAAQNSRATIEPEKQTLLNHHKEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANASSSIVITAGIAEVAAGAISMGLGGYLAAKSEADHYTRELKREEEEIINVPDVEAAEVAEILAEYGVEPHEYAPVVNALRKRPQAWLDFMMNLRVEPMSCFTGGIHDLSNSWPSANENSKLKRHKKDYTVELGF >KJB79247 pep chromosome:Graimondii2_0_v6:13:3157565:3158053:1 gene:B456_013G039500 transcript:KJB79247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPPLYSETNEIFSKDLSETDVGVRLSFPMKALKVFQFLEGENKMEFEAADGIGKRWIFGLSKRKARHPKPVLSSGWLAYVRAKGLQIKDRFVLYGDLDNLSTKKRFRVRAQRKVRRPIKLFGKEIHVEEVWVDVEELEGLKREVSRSIL >KJB81786 pep chromosome:Graimondii2_0_v6:13:43812382:43813740:-1 gene:B456_013G161000 transcript:KJB81786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVWNYVGTYTSSPGYFVQLENPDGLFLKKRTRMHRWLCCSCQVEENYQSRENEHLKCNSDGHQKNSKVVSPIKPEERKSSSLIEVPALSLEELKEKTDNFRSNALIGEGSYGRVYYANLNDGKTVAVKKLDVSTEPNTLLS >KJB82264 pep chromosome:Graimondii2_0_v6:13:48490749:48495543:1 gene:B456_013G185700 transcript:KJB82264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFVMATPSNIPLEFTVRRCEPELVTPAKPTPYEQKLVSDIDDQEAFRLHVPIFNFYQYEPSMEGKDPAEIIREALAQTLVCYYPFAGRLREGANGKLIVDCTGEGVMFIKADADVTLEQFGEPLLPPFPYLDQLLYDVPGSEGILNCPLLLVQVTRLKCGGFIFTLRLNHVMSDATGLAQFLFALGEMARGVATHLISPVWERHLLDARHPARITFTHREYDEVEAPVTTPITILPFDNPVQRSFSFGFAEVSLLRSLLPPHLHRCTTFELITACLWRCRTLAINLDPDEEVRMLCVVNACSKFNPSFPSGYYGNVIVLPAAVTTVKSLCEKPLGYAVELIKQAKASVTEEYVKSVAALTVARGKRIHFPNVIGTYIISDLTKAGFEDIDFGWGKAVFGGPMIAVGVISHLMPTKNKKGEVGIVTSVCLPAPVMERFAKELDMLKHQASEGKKSKSNSISSAL >KJB79790 pep chromosome:Graimondii2_0_v6:13:7607263:7608596:-1 gene:B456_013G066900 transcript:KJB79790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPSQYLESLLDTVRPFLRGDLESVDGNLPSFLAVLRSAGAGECSHQHGSFLQHLLDVYRILKIWKAPRSVCLCGLFHGAYSNAYVNLAIFDASTSRDVVRGHVGEVVERLIHLFRVIPRQKFIHDDLLFKYTDSELVEHLKASEISLKNAKEKGLFDKDELWRKKLEALVPKNGIVMKIIKSGEEVLVAREVMCVFLLMSMADYSEQFFGYHDQLFDNFDGKFRFLGDNYDALLPGDGKPGLWMSSISKMGATYTLILRDEAIILEEKKRVNGENIEEVIDEGLDLVVPPVFDNCTKVLGAKEQVEARDLYWEAICGGGGRAEELLLGCCERNPFVGEPHVVLAQVYLNQGRFEEAEKEAERGVTLMLEWGSPWDNRMSWEGWVAWGRVLLLRAKEKSWPHSAWGVLSLGLVR >KJB79211 pep chromosome:Graimondii2_0_v6:13:3035168:3042156:1 gene:B456_013G038200 transcript:KJB79211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKFSPERFNISSNMAKVDRVEQLNKDDAIVNETPQPHTDDHNSDEEDTSLSLSSLSKLILPPLGASTYNHSHIKSKGWIISPMDSRYRWWETFMVMLVFYSAWVYPLEVAFFTSSSPPTNLYIADNVVDFFFGVDIVLTFFLAYIDSTTHLLVRDPKKIALRYLSTWFLMDVASTIPFDALAYLFTGKSKMGLSYSLLGLLRFWRLRRVKQLFTRLEKDIRFSYFWIRCARLIAVTLFLVHSAACLYYMLADRYPQQGNTWLGSVNPNFRETSLWIRYISALYWSITTMTTVGYGDLHAVNTAEMIFIIFYMLFNLGLTAYIIGNMTNLVVEGTRRTMEFRKSIESASNFVSRNRLPPRLKDQILAYMCLRFKAESLNQQQLIEQFPKSIYTSICQHLFLPIVEKVYLFNGVSRETLLHLVAKMKAEYVPPREDVTMQNEAPEDVYIVVSGEVEIIECEMEKEEAVVGTLQCGDMFGEIGALCCRPQRFTFRTKSLSQLLRLKTADLIEAMQAKHEDNVAVLKNFLKHNKRLKDLKVGGIVMEGGEEDGDPKNMSVNLLDVADTGNAAFLNELLRARLDPDIGDSKGRTPLVRFNFKRTEESK >KJB79212 pep chromosome:Graimondii2_0_v6:13:3035168:3044044:1 gene:B456_013G038200 transcript:KJB79212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKFSPERFNISSNMAKVDRVEQLNKDDAIVNETPQPHTDDHNSDEEDTSLSLSSLSKLILPPLGASTYNHSHIKSKGWIISPMDSRYRWWETFMVMLVFYSAWVYPLEVAFFTSSSPPTNLYIADNVVDFFFGVDIVLTFFLAYIDSTTHLLVRDPKKIALRYLSTWFLMDVASTIPFDALAYLFTGKSKMGLSYSLLGLLRFWRLRRVKQLFTRLEKDIRFSYFWIRCARLIAVTLFLVHSAACLYYMLADRYPQQGNTWLGSVNPNFRETSLWIRYISALYWSITTMTTVGYGDLHAVNTAEMIFIIFYMLFNLGLTAYIIGNMTNLVVEGTRRTMEFRKSIESASNFVSRNRLPPRLKDQILAYMCLRFKAESLNQQQLIEQFPKSIYTSICQHLFLPIVEKVYLFNGVSRETLLHLVAKMKAEYVPPREDVTMQNEAPEDVYIVVSGEVEIIECEMEKEEAVVGTLQCGDMFGEIGALCCRPQRFTFRTKSLSQLLRLKTADLIEAMQAKHEDNVAVLKNFLKHNKRLKDLKVGGIVMEGGEEDGDPKNMSVNLLDVADTGNAAFLNELLRARLDPDIGDSKGRTPLVRFNFKRTEESK >KJB79210 pep chromosome:Graimondii2_0_v6:13:3035096:3044259:1 gene:B456_013G038200 transcript:KJB79210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKFSPERFNISSNMAKVDRVEQLNKDDAIVNETPQPHTDDHNSDEEDTSLSLSSLSKLILPPLGASTYNHSHIKSKGWIISPMDSRYRWWETFMVMLVFYSAWVYPLEVAFFTSSSPPTNLYIADNVVDFFFGVDIVLTFFLAYIDSTTHLLVRDPKKIALRYLSTWFLMDVASTIPFDALAYLFTGKSKMGLSYSLLGLLRFWRLRRVKQLFTRLEKDIRFSYFWIRCARLIAVTLFLVHSAACLYYMLADRYPQQGNTWLGSVNPNFRETSLWIRYISALYWSITTMTTVGYGDLHAVNTAEMIFIIFYMLFNLGLTAYIIGNMTNLVVEGTRRTMEFRKSIESASNFVSRNRLPPRLKDQILAYMCLRFKAESLNQQQLIEQFPKSIYTSICQHLFLPIVEKVYLFNGVSRETLLHLVAKMKAEYVPPREDVTMQNEAPEDVYIVVSGEVEIIECEMEKEEAVVGTLQCGDMFGEIGALCCRPQRFTFRTKSLSQLLRLKTADLIEAMQAKHEDNVAVLKNFLKHNKRLKDLKVGGIVMEGGEEDGDPKNMSVNLLDVADTGNAAFLNELLRARLDPDIGDSKGRTPLHIAASKGHEDCVLVLLKHACNVHLRDTNGNTALWDAISSRHHSIFRILYHFASISDPFTAGDLLCTAARRNDLTVMQELLKQGLNVDAKDRHGSTALQVAMKEKHQEMVNLLVMNGADVIDPNTYEFSPTTLNEMVKKREIGHRITVMTDNEPPLKEVEGDRHVGIVGKSCRGIDHPRVSIYRGHPLMRKESSCMEPGKLIRLPGSFDQLINFAGEKFGIDARNAIVTDEAGAEIDSIEVIRDNDKLFIFENFK >KJB79595 pep chromosome:Graimondii2_0_v6:13:5569357:5569942:1 gene:B456_013G056300 transcript:KJB79595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFNCFALGFFVALSLASIDVGVAARHLQQLPPMPTLPTTTLPPFPSIPNLPQPSIPSFPRPGALPPLPTMPALPTLPTVPRATLPPLPSMPSIPTIPTTIPSIPFFSPPPSPSSP >KJB80771 pep chromosome:Graimondii2_0_v6:13:39948631:39948921:-1 gene:B456_013G145700 transcript:KJB80771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWKEKTVISTTLNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVKK >KJB81710 pep chromosome:Graimondii2_0_v6:13:43293477:43298873:-1 gene:B456_013G157500 transcript:KJB81710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELFSKKGDDKTLDNFIPKSESDFVEYAELISHKLCPYEKSYHYIALLKAVMRLSLTSLKAADVKDIASLITTILARFVKKKIL >KJB81984 pep chromosome:Graimondii2_0_v6:13:45745514:45746216:-1 gene:B456_013G1705002 transcript:KJB81984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EMRKGGRRVNELGEYEFEDQMVMEYGKMMEAEGPPKFDETAVPDLKKNLDDPPGEGPILRWQTRAVFAPGGDAWHPKNRKVKMSVTVKELGLSKHQFRRLRELVGKRYHPGKDELTITSERFEHREENRKDCLRTLFSLIEEAGKANKMVEEARTKYVKNRLRANPAFMERLRAKTMKLQESTTFP >KJB82838 pep chromosome:Graimondii2_0_v6:13:53616697:53620501:-1 gene:B456_013G216300 transcript:KJB82838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKISNSMFLCLGPSQRPIRSQTIAIHSSTASICHLKWDNLLVKGINHRKGIIPLRRNKVIQAVAVPVRPSSADSAEYRKQLAETYGFRQIGEPLPDNVTLKDVIDTLPRKVFEIDDVKAWTSVLISVTSYALGIFMISKAPWYLLPLAWAWTGTAITGFFVIGHDCAHKSFSKNKLLEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLSEDTAWHPVWEKEFETSPFLRKAIMFGYGPFRPWMSIAHWLIWHFDLNKFRPNEVKRVKISLACVFAFMAIGWPLIIYKTGIMGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKHSDEWNAAQAQLNGTVHCDYPRWIEVLCHDINVHIPHHVSSRIPSYNLRAAHKSIQENWGPYLNEATWNWRLMKTIMTVCHVYDREQNYIGFDELAPNEASPITFLKRVMPDYA >KJB82839 pep chromosome:Graimondii2_0_v6:13:53616383:53620963:-1 gene:B456_013G216300 transcript:KJB82839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKISNSMFLCLGPSQRPIRSQTIAIHSSTASICHLKWDNLLVKGINHRKGIIPLRRNKVIQAVAVPVRPSSADSAEYRKQLAETYGFRQIGEPLPDNVTLKDVIDTLPRKIDDVKAWTSVLISVTSYALGIFMISKAPWYLLPLAWAWTGTAITGFFVIGHDCAHKSFSKNKLLEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLSEDTAWHPVWEKEFETSPFLRKAIMFGYGPFRPWMSIAHWLIWHFDLNKFRPNEVKRVKISLACVFAFMAIGWPLIIYKTGIMGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKHSDEWNAAQAQLNGTVHCDYPRWIEVLCHDINVHIPHHVSSRIPSYNLRAAHKSIQENWGPYLNEATWNWRLMKTIMTVCHVYDREQNYIGFDELAPNEASPITFLKRVMPDYA >KJB79432 pep chromosome:Graimondii2_0_v6:13:4455614:4458004:1 gene:B456_013G049300 transcript:KJB79432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKWKGSKRKLGLPAALLLCSLSFLAGLFVPTFFFQDVPIIKPKPRMLEAVQEKTYRDPMPNGVTGESSIESIPFQVLSWKPRAYYFPNFATSEQCEHVIEMAKVNLKPSGLALREGETEESTKGTRTSSGTFISASEDETGTLDLIEKKIAKVTSIPQSHGEAFNILRYEIGQKYDSHYDAFNPSEYGPQSSQRVQSYM >KJB79431 pep chromosome:Graimondii2_0_v6:13:4455569:4459100:1 gene:B456_013G049300 transcript:KJB79431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKWKGSKRKLGLPAALLLCSLSFLAGLFVPTFFFQDVPIIKPKPRMLEAVQEKTYRDPMPNGVTGESSIESIPFQVLSWKPRAYYFPNFATSEQCEHVIEMAKVNLKPSGLALREGETEESTKGTRTSSGTFISASEDETGTLDLIEKKIAKVTSIPQSHGEAFNILRYEIGQKYDSHYDAFNPSEYGPQSSQRVASFLLYLSDVEEGGETMFPFENDMRVKGYDYRQCVGLKIKPRKGDGLLFYSLFLNGTIDPTSLHGSCPVIKGEKWVATKWIRDQDQND >KJB80007 pep chromosome:Graimondii2_0_v6:13:9790059:9794489:-1 gene:B456_013G077100 transcript:KJB80007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyllysine-residue acetyltransferase component 4 of pyruvate dehydrogenase complex, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25860) UniProtKB/Swiss-Prot;Acc:Q9SQI8] MQTQLGSAAFPRLLRRSLSYIPSILYSLHSLLNLFHFFRFLSNSSPQSQKHKEMASPFLSNTTISFSSSLSSSSLPRIAPSIPFSSIRSNSTPRRILTVRSKIREIFMPALSSTMTEGKIVSWVKSEGDVLSKGESVVVVESDKADMDVETFYDGILAAIVVGEGETAPVGAAIGILAETEDEIAEAKAKAASKSGASTPSAPPPAPAPGAASTPAPPKSTPAPAAPTVAEGPRKTVATPFAKKLAKQHKVDIESVVGTGPYGRITPEDIEAAAGLSPSKKNVGLAVVVETKPAAPAKAPAASAAPSSLPPPVPGSTVVPFTTMQAAVSKNMVESLSVPTFRVGYPVTTDALDALYEKVKPKGVTMTALLAKAAAMALAQHPLVNSSCKDGKSFTYNSNINIAVAVAINGGLITPVLQDADKLDLYLLSQKWKELVEKARAKQLQPQEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADADGFFSVKNKMLVNVTADHRIIYGADLAAFLQTFSKIVENPESLTL >KJB80008 pep chromosome:Graimondii2_0_v6:13:9790181:9794458:-1 gene:B456_013G077100 transcript:KJB80008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyllysine-residue acetyltransferase component 4 of pyruvate dehydrogenase complex, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25860) UniProtKB/Swiss-Prot;Acc:Q9SQI8] MASPFLSNTTISFSSSLSSSSLPRIAPSIPFSSIRSNSTPRRILTVRSKIREIFMPALSSTMTEGKIVSWVKSEGDVLSKGESVVVVESDKADMDVETFYDGILAAIVVGEGETAPVGAAIGILAETEDEIAEAKAKAASKSGASTPSAPPPAPAPAASAAPSSLPPPVPGSTVVPFTTMQAAVSKNMVESLSVPTFRVGYPVTTDALDALYEKVKPKGVTMTALLAKAAAMALAQHPLVNSSCKDGKSFTYNSNINIAVAVAINGGLITPVLQDADKLDLYLLSQKWKELVEKARAKQLQPQEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADADGFFSVKNKMLVNVTADHRIIYGADLAAFLQTFSKIVENPESLTL >KJB81510 pep chromosome:Graimondii2_0_v6:13:40734740:40736931:-1 gene:B456_013G148200 transcript:KJB81510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINKLLCWFAVFFFLLGFSACSSISDGVFDSFTSTGRHLLQAKKACPVNFEFLNYTIITSQCKGPKYPPESCCSAFKEFACPYAEQINDLTTECASTMFSYINLYGKYPPGLFASECHEGKEGLACPALSPSASASENANGSQQLIRNPRMLVMAAIIAAAMLL >KJB81512 pep chromosome:Graimondii2_0_v6:13:40734992:40736502:-1 gene:B456_013G148200 transcript:KJB81512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDGVFDSFTSTGRHLLQAKKACPVNFEFLNYTIITSQCKGPKYPPESCCSAFKEFACPYAEQINDLTTECASTMFSYINLYGKYPPGLFASECHEGKEGLACPALSPSASASENANGSQQLIRNPRMLVMAAIIAAAMLL >KJB81511 pep chromosome:Graimondii2_0_v6:13:40734663:40736970:-1 gene:B456_013G148200 transcript:KJB81511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINKLLCWFAVFFFLLGFSACSSISDGVFDSFTSTGRHLLQAKKACPVNFEFLNYTIITSQCKGPKYPPESCCSAFKEFACPYAEQINDLTTECASTMFSYINLYGKYPPGLFASECHEGKEGLACPALSPSASASENANGSQQLIRNPRMLVMAAIIAAAMLL >KJB81612 pep chromosome:Graimondii2_0_v6:13:41644393:41646360:-1 gene:B456_013G152000 transcript:KJB81612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRKSCFYHLPSSMPIKYAFHHQVGTWFLHIEARTLKDSGSPCLSNFAAIKVGDHKCDGNHLTGSLVRDTREGTTICRDAAEWNSGSMTKNQTNISPRAITADGVIDGCLLNCSGVSQHPSSTGSGRAMQGLPEEQLRTKADNGYCSSALSPFTVRTPPKQSLFLTPVDRTTRIRRNRFGSSGVGKRIILASNNIRISDKKRPITPLSNIRDGKLLCCKNLCRAKFLVFEMSDSDEEDVDAL >KJB81611 pep chromosome:Graimondii2_0_v6:13:41644382:41646768:-1 gene:B456_013G152000 transcript:KJB81611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDDEVAVFTHTNLGTHLAIAVSPNTTVGDFHRELERTHHACFPELGKIEVHALMVRRKSCFYHLPSSMPIKYAFHHQVGTWFLHIEARTLKDSGSPCLSNFAAIKVGDHKCDGNHLTGSLVRDTREGTTICRDAAEWNSGSMTKNQTNISPRAITADGVIDGCLLNCSGVSQHPSSTGSGRAMQGLPEEQLRTKADNGYCSSALSPFTVRTPPKQSLFLTPVDRTTRIRRNRFGSSGVGKRIILASNNIRISDKKRPITPLSNIRDGKLLCCKNLCRAKFLVFEMSDSDEEDVDAL >KJB82270 pep chromosome:Graimondii2_0_v6:13:48605648:48606135:1 gene:B456_013G186100 transcript:KJB82270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKLYRAVIKGYVASMRDLASSDSRFVLLQVTPQGDNIVHVAAKHDVKQIADALNSKGNTPLHIAARTGSSEICQVLINHMSGGKIEAGEKLIRVVNKNHDTALHDAVRNGHEEIVNLLIRRDPELALLTNNVGESPLFSAADKRHDRI >KJB79455 pep chromosome:Graimondii2_0_v6:13:4598172:4599097:1 gene:B456_013G050500 transcript:KJB79455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPCLIHAMKKQKPSNRYRSMSVGSSRGYHLLMEQSPAESVEGSSHRRTRSEFQPPTTVEFVEQRSGLGLVSSKGDNSSSYLNYPSSMAGSSKAGSYYRQNSKVNNVRISDIRPRR >KJB79055 pep chromosome:Graimondii2_0_v6:13:2475169:2477724:-1 gene:B456_013G032600 transcript:KJB79055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHYVLLFLLHHHHRQQFFLFVSLVFSPLVRCGHHDYSDALSKSILFFEGQRSGVLPRDQRMKWREDSGLSDGRSMYNMDLTGGYYDAGDNVKFGFPMAFTTTMLAWSVIEFGELMPPSELRNALVAIRWGTDYLLKTVSQPNRIFVQVGDPYKDHNCWERPEDMDTARTVYAVDAPNPASEVAAETAAALAASSMAFRSVDPGYAKTLLRNSVRVFQYADNFRGAYSDNSNVRDGACPFYCDFSGYQDELLWGAAWLRRASQDNSYLNYIEINGKTLGADDNINEFGWDNKHAGLNVLVSKEALEGNIYSLQSYKVSADSFMCTLIPDSSSSHIEYTPGGLIYKPGGSNLQHATTISFLLLVYANYLDRTSQTVNCGNLIASPLSLRTIAKNQADYILGDNPMGLSYMVGYGNRFPQRIHHRGSSLPSVKDHPEFIACKEGSIYFNSTNPNPNVLVGAIVGGPGEDDVYDDDRADFRKSEPTTYINAPFVGVLAYFAANPS >KJB80519 pep chromosome:Graimondii2_0_v6:13:18812582:18815045:1 gene:B456_013G101500 transcript:KJB80519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVTLIKIGLWAFAIGRIARVVEPNSSYNNKKQLFSAFSLFRNPDSLSHSPISQSILITMAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVERAEKTDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNILPPTAAMLSAIYEENKDEDGFLYMTYSGENTFGIIEAHDQDISM >KJB82321 pep chromosome:Graimondii2_0_v6:13:49131983:49132790:-1 gene:B456_013G190200 transcript:KJB82321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRIRSILIAFGLFAFACSSASAYDPSPLQDFCVAIKDINNGVFVNGKFWKDPKLAVAEDFFFSGLNRPGNTSNPVGSNVSMINVDQIPGLNTLGISLIRIDYAPYGVNPPPILLVVEGTLYVSFVTANPDNRLFTKILNPGDVFVFPFGLIHFQFNIGKTAAVTFAGLNSQNAGVITIANAVFGSNSPINPDVLAKA >KJB78559 pep chromosome:Graimondii2_0_v6:13:441767:444679:-1 gene:B456_013G006300 transcript:KJB78559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYDEADSGSIGVINDYEDSKPATPPMEEPKVVGAAAAQAPIISSYNDKIRPLLDVIDRLRLLMVMKEGIQLPTIVVVGDQSSGKSSVLESLAGVNLPRSQGICTRVPLIIRLQNHARPRPELYLEYNGKMVPVEEPQIATAINIATDEVAGPGKSISDIPLTLVVRKDGVPDLTMVDLPGITRVPVHGQPDNIYEQIRDIIMQYITPKESIILNVLSATVDFSTCESIRMSQQVDKNGERTLAVVTKVDRAPEGLVDKVTADDVNIGLGYVCVRNRIGDESYEEARKEEARLFETNAHLSCIDKSIVGVHVLAQKLVRIQANAIAKCLPEIVKNISAKLDANVSELEKMPKALTSIADATQAMMRIIQAAKESLKKLLWRGEFDEYPEDNTKHGTARFVEMLNRFSDELHNCEESNLSKEFLTEEIKGLEDARGIELPNFLPCEAFLSILRRKVERISCLPIKFSEKYWDYIDDVVMSVLTRHSEMYYHLKVSAKGAAHNLVQKLREQSINRVKEIVEMEKLTGYTCNPEYMMEWNKLMKQQDHFINQISGTNMWPPPCSVNLQDFGEIQIEHLRQHSNISILQQAFDLKMRMVAYWKIFKVRLVDSMALHLQYHVHNLVHNDIDEIVKELMRPDGHGIKMMLVESPASVAKREKLKNSIKVLKESKDNVAKIMDRIVAYDACLV >KJB80655 pep chromosome:Graimondii2_0_v6:13:23831792:23833809:-1 gene:B456_013G109000 transcript:KJB80655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYTDTYPFGISEGCSLDDSFLITCNTTFSPPRPFLGPGNTPAPCYDASGMLVEETTSSLVSEKFPISTCNRFTAVGCDSFAAIMGTRGQNFTTGCLSLCDQMESVTNGSCAGIGCCQTMIPIEMRNFGAAVSGLNNHSTFYNFSIADLIDMQNKQDMPMVLDWTVGNITCQEAQKDLTTYACEAANSECLDSNNGPGYCCRCKTGFQGNAYLVDGCQEGFEGDGMVNGTGCRRIINQGVSMSLLLIIIAGCWIYWGVQKRKLIKLKEKFFQQNGGLMLQQKLSNGSIETAKIYTTEELKKATNNYHKSRVIGQGGYGTVYKGILPDNKVVAIKKSKVCDQSQMEQFINEVLMLSQINHRNVVKLLGCCLETEVPLLVYEFITNGTLADHLHDKLSASSLAWEMRLKVTAETAGALAYLHSTTSMLIIHRDVKTSNILLDNSYTTKVSDFGASRLVPLDQTQLTILVQGTLGYLDPEYFHSSQLTKKSDVYSFGVVLAELLTGKQALAFDRPEKE >KJB82687 pep chromosome:Graimondii2_0_v6:13:52081251:52083818:-1 gene:B456_013G209500 transcript:KJB82687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLFGPPGCWKTSLLKALFGNLDQSLQGVLDTSSTSPFLESGALLSAFYPLLNLFSGFLIPQRQIPKWWTWLYYLMPTSWTLNCLLTSQYGDINDEVMVFGEAKTVASLLKYYFGFHHDRLPITAILLISYSLIFATLFAFFLSRLNFERR >KJB78764 pep chromosome:Graimondii2_0_v6:13:1201208:1203284:-1 gene:B456_013G017400 transcript:KJB78764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRKTNNNLPIFVVVFTVFLFGVFMYDEDVKSIAEFPFSTPKATQIQDQQSKQANNPENEVLPLNSKETTKEPDDDHHHHDLEESIVVKDDEQQQKIEIPVVEEDDDDVEVPPEDCDLFTGQWVFDNETYPLYKEDECEFLTAQVTCMRNGRKDSMYQNWRWQPRDCNLPKFKPRFFLEKLRNKRLMFVGDSLNRNQWESMICLVQSAVPAGNKSLNKSGSLSVFRIEEYNATVEFYWAPFLVQSNSDDPKRHSILDRIIMPESIREHGKNWKDVDYLIFNTYIWWMNTFTMKVLRGSFDRGDTEYDEIERPVAYKRVLETWSKWVEKNVNSNRTTVFFNSMSPLHIKSLDWNNPNGIKCALETTPILNQSMYLDVGTDRRLLVIANNITQNMNVKVHFVNITSLSEYRKDAHTSVYTIRQGKMLTPEQQADPENFADCIHWCLPGLPDIWNEFLYTRIITNS >KJB81939 pep chromosome:Graimondii2_0_v6:13:45256970:45257869:-1 gene:B456_013G167900 transcript:KJB81939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVIKSAILILSVFLSVSFSSGVRLLQEQPNDDPKNMDAIPPIDALNPTEFNGPGVGIHEDHVVPKGSEIGTLGFGFEGGPEKCCSSGCSGNCNPGGFVLPFPQIPEIPRIPQIPQIPQIPQIPQIPLIPQIPQVPQIPQVPQIPLMPGQSGCESGECCRQGCGGTCAECGQPRCASGCCQAETVKSKGIEEVMESEPSPPK >KJB82119 pep chromosome:Graimondii2_0_v6:13:46961394:46962365:-1 gene:B456_013G177200 transcript:KJB82119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEIILLDFWSSPFAMRVRIALAEKGIDYEAREEDLSNKSSLLLKMNPVHKQIPVLIHKGRPVCESLIIVQYIDEVWSHKSPLLPSHPYHRANARFWADFVDKKIYPTGKLVWGATGEVQEAAKKELIESMKVLEGELGDKPYFGGESFGFTDVALIPFYTWFYSLETCGDFSIIANCPNLVAWAKRCMQRESVSKSLPDQYKVYCFLMELKKKFEAK >KJB82811 pep chromosome:Graimondii2_0_v6:13:53536702:53539941:-1 gene:B456_013G215000 transcript:KJB82811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLFSFSGSDNFFPGGSIYPNPKESSLFFSLGHHVDVYFPHHKRSRISPPIVCSGERVEKKNPSIEILPDECLFEIFRRLPGGQERSSCACVSKRWLTLVSNIHRDEINDNNKGGVVSKVEDQDVEGDGYLSRVLEGKKATDVRLAAIAVGTASRGGLGKLSIRGSNSTCGVTNVGLRAISRGCPSLRVLSLWDSSSVGDEGLFEIADGCHQLEKLDLCHCPAITDKSLLAVAKGCPNLKDLTIEGCANIENEGLQALARCCPNLKAISIKDCPLIGDQGIASMLSSASFTLTKIKLQALNITDVSLAVIGHYGRAVTDLSLISLPNVSEKGFWVMGNGHGLQKLKSFAVTSCRGVTDLGLEAVGKGCPNLKQLCLHKCAFLSDKGLVSFAKTASSLESLQLEECHRITQFGFFGSLLNCGAKLKAISLVNCYGIKDLNLGLPSVLPCKSLRSLSIRNCPGFGDASLAALGKLCPQLQHVELSGLHGISDAGLLPLLETREADLVKVNLSGCVNLSDKAVCIMADLHGWTLEMLNLEGCKISDASLVAIAKNCQLLSDLDVSKCAITDSGIAALARSNQIKLQILSMSGCSKVSDKSMPSLRKLGQTLLGLNLQQCKAISSSTVDLFVEQLWRCDILF >KJB82844 pep chromosome:Graimondii2_0_v6:13:53801295:53804301:-1 gene:B456_013G218000 transcript:KJB82844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFDSSISPLTHSAKLSSPLLLSSLSHRPLRYAVLGAGFAGLSVAWHLLKESPKDLNLHIDLYDEMGIGGGASGVSGGLLHPYSPKVKLLWRGAECWKECMKLLSIAEQAVSSEEDLVSLSGEFGQDFGGILDRRRGIIRPATNMKTLNVLNDNAKNCLANCKIEIIDKNAAEKLVPHIHMPFNLAFYMPEAINVNSKYYLNALFLACQNIVKELSASSFGKKNLCLQKKSVYELRELEGEYDAVIICLGAKADLLPELAGKLPLRTCRGVILHLQLPDNIGEDYPDHGPSILSDAWLAIKGNRRLDLGSTWEWKSRNSSSNVSTDEASDALQELLPKASAIYPGITSWSFARARAGLRAMPPLTPHGSLPLLGCVNNILGNDLICKYWLLGGLGSRGLLYHGWLGKLTAEAVLSCNEQIIPSELTSWKNKDSRP >KJB79040 pep chromosome:Graimondii2_0_v6:13:2345682:2346561:1 gene:B456_013G030800 transcript:KJB79040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIKSPLPLILCCYLLVSFVFFPHGALSRQLQGEGGGNKRSKADVKRSTSRSAPSWVGQDSHPPPSSQVSRTSSSSSQSTVVEYSRQDSNSPTGPGWNCQTTTTSNGYARSCSKTTYQSSTSP >KJB79039 pep chromosome:Graimondii2_0_v6:13:2343729:2346378:1 gene:B456_013G030800 transcript:KJB79039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDENKHTNYHSRNNNSVCCFENWEKLNIQSRILAEKQIMVTIKSPLPLILCCYLLVSFVFFPHGALSRQLQGEGGGNKRSKADVKRSTSRSAPSWVGQDSHPPPSSQVSRTSSSSSQSTVVEYSRQDSNSPTGPGWNCQTTTTSNGYARSCSKTTYQSSTSP >KJB79260 pep chromosome:Graimondii2_0_v6:13:3278185:3281940:1 gene:B456_013G040500 transcript:KJB79260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATFNSICFNQGYLRKGEVKISKVKNRNQRVKFYVGGASVLSTKCMQSSEKNKKEVRIGLLGASGYTGAEIVRLLANHPYFGITLMTADRKAGQSMGSVFPHLITQDLPTMVSVKDADFSSVDAVFCCLPHGTTQDIIKGLPRHLKIVDLSADFRLRDIAEYEEWYGQPHSASDLQKEAVYGLTEISREDVKNARLVANPGCYPTSIQLPLVPLIKAKLIEHRNIIIDSKSGVSGAGRGAKEANLYSEIAEGIYSYGVTKHRHVPEIEQGLSDAAQSKINIRGMQSTIYVEMSQGVTMEDLYQQLRKSYEDEEFVKLLDKGVVPRTHNVRGSNYCFMNVFPDRIPGRAIIISVIDNLVKGASGQALQNLNIMLGYPENTGLLYQPLFP >KJB79261 pep chromosome:Graimondii2_0_v6:13:3278185:3281940:1 gene:B456_013G040500 transcript:KJB79261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATFNSICFNQGYLRKGEVKISKVKNRNQRVKFYVGGASVLSTKCMQSSEKNKKEVRIGLLGASGYTGAEIVRLLANHPYFGITLMTADRKAGQSMGSVFPHLITQDLPTMVSVKDADFSSVDAVFCCLPHGTTQDIIKGLPRHLKIVDLSADFRLRDIAEYEEWYGQPHSASDLQKEAVYGLTEISREDVKNARLVANPGCYPTSIQLPLVPLIKAKLIEHRNIIIDSKSGVSGAGRGAKEANLYSEIAEGIYSYGVTKHRHVPEIEQGLSDAAQSKINVSFTPHLMPMIRGMQSTIYVEMSQGVTMEDLYQQLRKSYEDEEFVKLLDKGVVPRTHNVRGSNYCFMNVFPDRIPGRAIIISVVCIKRTIFQCALLSLFSELLKKPVLQIDNLVKGASGQALQNLNIMLGYPENTGLLYQPLFP >KJB79259 pep chromosome:Graimondii2_0_v6:13:3278183:3282036:1 gene:B456_013G040500 transcript:KJB79259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATFNSICFNQGYLRKGEVKISKVKNRNQRVKFYVGGASVLSTKCMQSSEKNKKEVRIGLLGASGYTGAEIVRLLANHPYFGITLMTADRKAGQSMGSVFPHLITQDLPTMVSVKDADFSSVDAVFCCLPHGTTQDIIKGLPRHLKIVDLSADFRLRDIAEYEEWYGQPHSASDLQKEAVYGLTEISREDVKNARLVANPGCYPTSIQLPLVPLIKAKLIEHRNIIIDSKSGVSGAGRGAKEANLYSEIAEGIYSYGVTKHRHVPEIEQGLSDAAQSKINVSFTPHLMPMIRGMQSTIYVEMSQGVTMEDLYQQLRKSYEDEEFVKLLDKGVVPRTHNVRGSNYCFMNVFPDRIPGRAIIISVIDNLVKGASGQALQNLNIMLGYPENTGLLYQPLFP >KJB79262 pep chromosome:Graimondii2_0_v6:13:3278185:3281942:1 gene:B456_013G040500 transcript:KJB79262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADRKAGQSMGSVFPHLITQDLPTMVSVKDADFSSVDAVFCCLPHGTTQDIIKGLPRHLKIVDLSADFRLRDIAEYEEWYGQPHSASDLQKEAVYGLTEISREDVKNARLVANPGCYPTSIQLPLVPLIKAKLIEHRNIIIDSKSGVSGAGRGAKEANLYSEIAEGIYSYGVTKHRHVPEIEQGLSDAAQSKINVSFTPHLMPMIRGMQSTIYVEMSQGVTMEDLYQQLRKSYEDEEFVKLLDKGVVPRTHNVRGSNYCFMNVFPDRIPGRAIIISVIDNLVKGASGQALQNLNIMLGYPENTGLLYQPLFP >KJB80786 pep chromosome:Graimondii2_0_v6:13:28517782:28520479:-1 gene:B456_013G115100 transcript:KJB80786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDTTVGACHDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGREIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQISVPEITSAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRAVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFARIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGIEDEEEPEDY >KJB79884 pep chromosome:Graimondii2_0_v6:13:8205135:8208076:-1 gene:B456_013G070800 transcript:KJB79884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSIDNHNDDHQDGWETEALDNGDQQSTDKVLVEAAFKGTPVPPWNKQITVRAVVTSLVLSLVFNFIVCKLNLTTGVIPSLNVAAGLLGFAVVKTWTTVLEKLGLLKQPFTRQENTVIQTCVVASSGIAFSSGTASYLLGMSPLVSGQGDSGNTPENVKRLSLGWMIGFLFVVSFVGLFSIVTLRKIMILKYKLTYPSGTATAYLINSFHTPKGAKLAKKQVAVLFKSFAVSFVWAFFQWFYTAVDGCGFSNFPTFGLQAYDKKFYFDFSSTYIGVGMICPYMVNVSMLLGAIFSWGVLWPIIEGKKGVWYSAELSPTSLHGLQGYKVFIAISMMLGDGLYHVLFMLIKTIHSLIVKNSGKKDSSVVAPGASVLDSETADYDEIRRTEFFMKDQIPNKVALSGYVVLAIISVIVVPFIFHQLKWYHILVAYAIAPILAFCNAYGCGLTDWSLASNYGKLAIIIFSSWVGLNNGGVVAGLASCGVMMSIVSTASDLMQDFKTGYLTLSSPRSMFFAQVIGTAIGCIMSPMVFWFFYKAYPIGDPNGTYPAPYGLLYRGIALLGVEGISSLPKNCLNLVIVFFLIAFAINLIKELLQRYETKLGLHRFVPSPMCMAIPFYLGGFFGIDMCVGSLILFLWERRNKQQATDFAPAVASGLICGDSLWGVPAAILSLLNVKPPICMKFLSAAVNAKVDSFLEGN >KJB79883 pep chromosome:Graimondii2_0_v6:13:8204892:8207919:-1 gene:B456_013G070800 transcript:KJB79883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLVSGQGDSGNTPENVKRLSLGWMIGFLFVVSFVGLFSIVTLRKIMILKYKLTYPSGTATAYLINSFHTPKGAKLAKKQVAVLFKSFAVSFVWAFFQWFYTAVDGCGFSNFPTFGLQAYDKKFYFDFSSTYIGVGMICPYMVNVSMLLGAIFSWGVLWPIIEGKKGVWYSAELSPTSLHGLQGYKVFIAISMMLGDGLYHVLFMLIKTIHSLIVKNSGKKDSSVVAPGASVLDSETADYDEIRRTEFFMKDQIPNKVALSGYVVLAIISVIVVPFIFHQLKWYHILVAYAIAPILAFCNAYGCGLTDWSLASNYGKLAIIIFSSWVGLNNGGVVAGLASCGVMMSIVSTASDLMQDFKTGYLTLSSPRSMFFAQVIGTAIGCIMSPMVFWFFYKAYPIGDPNGTYPAPYGLLYRGIALLGVEGISSLPKNCLNLVIVFFLIAFAINLIKELLQRYETKLGLHRFVPSPMCMAIPFYLGGFFGIDMCVGSLILFLWERRNKQQATDFAPAVASGLICGDSLWGVPAAILSLLNVKPPICMKFLSAAVNAKVDSFLEGN >KJB79402 pep chromosome:Graimondii2_0_v6:13:4203823:4204745:1 gene:B456_013G047700 transcript:KJB79402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSPRLSALNEDQEHLTKTIDKCQKDFGYYQIQTGIDLMAKARYLHQERNYRLRTDGRRIMAYYSRIGDKDEESICLLKKIAEMDFEDFPPHILQQIKNTWENYHQDISTIHHYG >KJB78752 pep chromosome:Graimondii2_0_v6:13:1105349:1106135:1 gene:B456_013G016000 transcript:KJB78752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFFQGDEVKVCSKEEGFFGSYYEPKIISPLNNNTLYRMKYKNIIEEEDQTWPLVEIVSTDEVRPMPPPATITTATQVFHYLERMDAFDNDGW >KJB80875 pep chromosome:Graimondii2_0_v6:13:29700876:29702788:-1 gene:B456_013G119200 transcript:KJB80875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASLMVLALLMASIAAIAEARAFFIFGDSLVDSGNNNYLETTARADSPPYGIDYPTGRPTGRFSNGLNIPDLIGKQIGLSESPLPYLSPDFNGKKLLLGANFASAGVGILNDTGVQFVNILRMYRQLELFEEYKRRLSGLLGTRQANRVVNQALVLITVGGNDFVNNYYLVPFSARSRQYSLPDYVRFLISEYRKLLMKLYKAGARRVLVTGTGPLGCVPAELAMRGNNGGCSQELQRAASLYNPQLVQMINGLNDKIGRDVFISANTQKMHNDFVSDPQAFGFTTSEIACCGQGPYNGLGLCTQLSNLCPNRDLYAFWDPFHPSEKANKLIVEQIMTGSNEYMNPTNLSTIIAFDSKI >KJB78774 pep chromosome:Graimondii2_0_v6:13:1278926:1281776:1 gene:B456_013G018400 transcript:KJB78774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLRGVKTVFFLIAMAISFLLFSAPVFLVIADTLLPSALLSSSLSLKTLSTHFNNYSFQDSLIDIPIISIIRSAIIICVYGFCDGPRLSRGPYLGITAICSVSSLVFVSLKASFVFGSGVTQKGHVITSMETALFVCSTVMGVAHIIVAYRTSCRERRKLLVYKIDIEAISACKSGFSRYEKILQDERVKLHLNLR >KJB79020 pep chromosome:Graimondii2_0_v6:13:2268051:2269385:-1 gene:B456_013G030100 transcript:KJB79020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSWRKRRAGKRAGKEQARNENGEMELSIPRDFRCPISLDLMKDPVTLSSGITYDRPNIEKWIEAGNFTCPLTNRVLRSLEPIPNHIIRKKIQDWCVENRSHGIERIPTPRVPVSSMEVSEILSKIDVACKKQDGSRCLDLVAKVKSLAKESERNKRCIVSNGTGNVLSEAFAAFSMAAFDENVSVLEEILSALVIMFPLDGEAKGFLGSASAMHCLIRFLSSGDLSRRRNAVLALKELVSSMEDQRKILNQLSEMEGAIEALLKLIKSPICSASTKASLTIIYHMITSYGNEKQVGNKLVNLGIVPLLLEMLVDAERGMCEKALGVLDGIFDTEQGREMACKNALTMPVLVKKILRVSTMATEFSVSILWKLCKNEKKEDGGVLIEALQVGAFQKLLLLLQLGCVEKTKDRVSDLLKMLNPYRSKIECVDPIDHFKGLKRPF >KJB79689 pep chromosome:Graimondii2_0_v6:13:6835628:6835957:-1 gene:B456_013G062100 transcript:KJB79689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHLRLQPDDYLHECYHIETYKKAYSFPMQPINGLHDWAKTGIEPVLPHIKRKMPRKPKKNRRMAKDEPKKLKPGHLSRKGLLMTCTQSGQHGHNKRFCTQGNEHAKHV >KJB83386 pep chromosome:Graimondii2_0_v6:13:56387175:56389254:1 gene:B456_013G244800 transcript:KJB83386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTLISMLVLLAGSMLMVQGGDPTLFFEWNVTYGTIAPLGVPVRGILINGQFPGPNLNSTTNNNIVVNVFNNLDEPFLVTWDGVQHRKNSWQDGVLGTNCPIPPGKNYTYKFQVKDQIGSYMYYPVTAMHKAVGGFGGLHVNSRLLIPVPYADPADDYTLLVGDFFNKGHTGLKKILDSGRNLGRCDGVHLNGKVAKGDGKDEPLFTMEAGKTYKYRICNTGIKTSLNVRFQGHTMKLVEMEGSHTMQNDYDSLDVHVGQCFSVLVTANQEPRDYYVVASTRFTRREVTATGIIRYKNGKGAASSELPPPPVGWAWSLNQFRTFRWNLTSNAARPNPQGSYKYGSINITRTIKLANTAQRVDGKLRYALNGASYVEPTTPLKLAEYYGVADKVFKYDTIPDVPPSDNTKVTLAPIVLNMTHRNFVEIIFENHETAIQSYHLSGYSFFAVGMDIGKWSPEKRMNYNLLDAVSRHTIQVFPNSWSAILLTFDNCGMWNLRSEIWDRHYLGQQLYASVISPNRSLKDEYNLPEGVLTCGIVQGMPRPPPFSS >KJB80228 pep chromosome:Graimondii2_0_v6:13:13367511:13368026:1 gene:B456_013G087400 transcript:KJB80228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKRPRITTIQNENKPIGIFREVRFTTEGANRGSYQRFINELYDALTERADKSGVIPVLPSPLPKPDDHRQYVLAELSNEYQSIKLALNVSDVYILGYHPGDSDTSYFF >KJB80674 pep chromosome:Graimondii2_0_v6:13:24694123:24695957:-1 gene:B456_013G109800 transcript:KJB80674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKLHQNDANCVWVDGPVIVGAGPSGLATSACLTQQGVPSLILEKSDCLASLWQLRTYDRLKLHLPKQFCELPLLGFPHNFPKYPTKHQFISYLESYAGHFSIQPRFNQAVQSADYDHILGFWRVKTQDSEYISRWLITATGENAEPVIPDIPGINKFNGPVVHTSSYKSGSEFKNQRVLVIGCGNSGMEVSLDLCRYNAIPHMVVRNTVHVLPREMFGFSTFGIAMALIKWFPLWLVDKFLLLVANFILGNTDQVGLRRPKTGPIELKNVTGKTPVLDVGALSQIKSGEIKVFQVMEGVKEITGNGAKFMDGQEKEIDSIILATGYKSNVPTWLKGCDFFNKDGMPKTPFPNGWKAEKGLYTVGFTRRGLLGTASDAVKIARDIARQWRPNDSCSNSHVILLKET >KJB80673 pep chromosome:Graimondii2_0_v6:13:24694006:24696425:-1 gene:B456_013G109800 transcript:KJB80673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKLHQNDANCVWVDGPVIVGAGPSGLATSACLTQQGVPSLILEKSDCLASLWQLRTYDRLKLHLPKQFCELPLLGFPHNFPKYPTKHQFISYLESYAGHFSIQPRFNQAVQSADYDHILGFWRVKTQDSEYISRWLITATGENAEPVIPDIPGINKFNGPVVHTSSYKSGSEFKNQRVLVIGCGNSGMEVSLDLCRYNAIPHMVVRNTVHVLPREMFGFSTFGIAMALIKWFPLWLVDKFLLLVANFILGNTDQVGLRRPKTGPIELKNVTGKTPVLDVGALSQIKSGEIKVMEGVKEITGNGAKFMDGQEKEIDSIILATGYKSNVPTWLKGCDFFNKDGMPKTPFPNGWKAEKGLYTVGFTRRGLLGTASDAVKIARDIARQWRPNDSCSNSHVILLKET >KJB83007 pep chromosome:Graimondii2_0_v6:13:54440523:54440881:-1 gene:B456_013G2246002 transcript:KJB83007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLWFESTMHLMVSTPPPHSFVVEGTLYVGFVMANPTHCLFTKILNPGDVFVFPFGLIHFQFNIGKTAAVAFAGLSSQNAGVITIANAIFGSNLPINPDILAKAFQLKKNVVKYLQSRY >KJB82357 pep chromosome:Graimondii2_0_v6:13:49363146:49363874:1 gene:B456_013G192400 transcript:KJB82357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNATSTVLENLKNTAFNYSQRGDAHSAYNRLRSFEFIFIFHMMKEGLRVTDNLCQALQRCSQDILNAMSLVLTMKDLIQKLRDGGWNELLKNVISFFETWELDFLDMNAQYILGRSHNKKEDVIVEHHYRVNLFFATINTQLQELKSRFNEHVVELLTLTTTLNPKEFFKLFDIDKISILVNKFYPEDFSQQEKESLPYELEHYELDVCKHPDLIIILTLYELCRSLVESGKSVMYPLADR >KJB80592 pep chromosome:Graimondii2_0_v6:13:21502317:21508702:1 gene:B456_013G105700 transcript:KJB80592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDQTVLSLRPGGGRGSRLFGAPSSSSSSSSLAFGSLSSDLPVFRPHAGAPPAFSIKAGDSRFEGRECVQYTRDQLLKLRVAVEVSDDILKIKREIEVELFGEDQNRTRGESNPPNQSQNRYSEPDNRDWRNRSAQFSSDGEERSWESLHDRELGNHYDSKQPEASQFNRRAQISFNQLGGQTPALVKAEVPWSARRGNLSEKDRVLKTVKGILNKLTPEKYDLLKGQLIDSGITSADILKGVISLIFEKAVLEPTFCPMYALLCSDLNDKLPSFPSDEPGGKEITFKRVLLNNCQEAFEGADKLREEVAQMTAPELEMERREKERLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGHDTKGCPVEENVEAICQFFNTIGKQLDESLKSRRINDAYFNRLKELVTNPQLASRLRFMVRDVLDLRADNWVPRREEVKAKTITEIHSEAEKNLGLRPGATASIRNSRIVSVGPISPGGLPITRPGTGGLMPGMPGTRRMPGMPGMENDNWEVPRTRSMPRGDGLGMLPVGRVPSPSINKPTSVNPRLLPQGSGGLMSGRTSALLQGSSSPPVAQPSLPAKPVLVAAVSPALEKPVTPASRLNQDELSRKTKALLEEYFSVRLLDEALQCVEELKSPGYYPEVVKEAISIALEKIPPCVEPVSKLIEYLFIKKVLTARDIGTGCLLYGALLDDIGIDLPKAPNNFGEIIGKLALAGGLDFNVVREILKKMEDDLYQKAVFDAAMRIVSSSPSGQAVLEAQASEVKVCQSLF >KJB80591 pep chromosome:Graimondii2_0_v6:13:21502317:21508646:1 gene:B456_013G105700 transcript:KJB80591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDQTVLSLRPGGGRGSRLFGAPSSSSSSSSLAFGSLSSDLPVFRPHAGAPPAFSIKAGDSRFEGRECVQYTRDQLLKLRVAVEVSDDILKIKREIEVELFGEDQNRTRGESNPPNQSQNRYSEPDNRDWRNRSAQFSSDGEERSWESLHDRELGNHYDSKQPEASQFNRRAQISFNQLNCSFWMVGQGGQTPALVKAEVPWSARRGNLSEKDRVLKTVKGILNKLTPEKYDLLKGQLIDSGITSADILKGVISLIFEKAVLEPTFCPMYALLCSDLNDKLPSFPSDEPGGKEITFKRVLLNNCQEAFEGADKLREEVAQMTAPELEMERREKERLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGHDTKGCPVEENVEAICQFFNTIGKQLDESLKSRRINDAYFNRLKELVTNPQLASRLRFMVRDVLDLRADNWVPRREEVKAKTITEIHSEAEKNLGLRPGATASIRNSRIVSVGPISPGGLPITRPGTGGLMPGMPGTRRMPGMPGMENDNWEVPRTRSMPRGDGLGMLPVGRVPSPSINKPTSVNPRLLPQGSGGLMSGRTSALLQGSSSPPVAQPSLPAKPVLVAAVSPALEKPVTPASRLNQDELSRKTKALLEEYFSVRLLDEALQCVEELKSPGYYPEVVKEAISIALEKIPPCVEPVSKLIEYLFIKKVLTARDIGTGCLLYGALLDDIGIDLPKAPNNFGEIIGKLALAGGLDFNVVREILKKMEDDLYQKAVFDAAMRIVSSSPSGQAVLEAQASEVKVCQSLF >KJB81732 pep chromosome:Graimondii2_0_v6:13:43602569:43604137:1 gene:B456_013G159500 transcript:KJB81732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRYSFPSLRDGDFEEEDVWAVLKESKDSTYKVGHSSEPSIPDRGHVPSAARMIPRTLSAINTSSNIGSCCSSYSHEANDVKQQSAPENIPDLSKVSTKMRNGSWHDDDDDDDEYNSMLPPHEILARRLARNQISSFSVFEGVGRKLKGRDLKKVRNAVLTKTGFLE >KJB81733 pep chromosome:Graimondii2_0_v6:13:43603094:43604137:1 gene:B456_013G159500 transcript:KJB81733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRYSFPSLRDGDFEEEDVWAVLKESKDSTYKVGHSSEPSIPDRGHVPSAARMIPRTLSAINTSSNIGSCCSSYSHEANDVKQQSAPENIPDLSKVSTKMRNGSWHDDDDDDDEYNSMLPPHEILARRLARNQISSFSVFEGVGRKLKGRDLKKVRNAVLTKTGFLE >KJB79612 pep chromosome:Graimondii2_0_v6:13:6041650:6042824:-1 gene:B456_013G058500 transcript:KJB79612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRGRYPSVLGRGGRANVNPSFQSRPEQPQYAQRNLVQNHHDFQQQQHHHHHLQQQQHQQQWLRRNQLHGENDSTVADEVEKTVQSEAIDSSSQDWKARLKMPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFE >KJB78813 pep chromosome:Graimondii2_0_v6:13:1419566:1421553:1 gene:B456_013G020500 transcript:KJB78813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALAKEPEGVVVIIDIDGEEEPANQTDNEEQQQGTNSSRCSSSCSNEAKSGTSEIIKERGSSVSDTSCSSSSLEVDLEAEVVTETKLHLDNVERDCRICHLSLDPSNQECDLPIELGCSCKDDLAAAHKQCAEAWFKIKGNRICEICGSTARNVACMNETEMMEQWNDSNDSIAVAAAAAVPVGTRGADSRNFWQGHRFLNFLLACMVFAFVISWLFHFNIPS >KJB78814 pep chromosome:Graimondii2_0_v6:13:1419548:1421553:1 gene:B456_013G020500 transcript:KJB78814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALAKEPEGVVVIIDIDGEEEPANQTDNEEQQQGTNSSRCSSSCSNEAKSGTSEIIKERGSSVSDTSCSSSSLEVDLEAEVVTETKLHLDNVERDCRICHLSLDPSNQECDLPIELGCSCKDDLAAAHKQCAEAWFKIKGNRICEICGSTARNVACMNETEMMEQWNDSNDSIAVAAAAAVPVGTRGADSRNFWQGHRFLNFLLACMVFAFVISWLFHFNIPS >KJB83454 pep chromosome:Graimondii2_0_v6:13:56670296:56671263:1 gene:B456_013G248300 transcript:KJB83454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYYRDSYMDYLSLPPLHLCFFISILFFVLGFSWYLNYESVLEDFMNQLKFFLMLAPIVLLLLLHCFSGRVPSLIPEPEKDSLHRAGGSPWGVALVLVLLLYMISYQSYFHERWFPFGV >KJB80607 pep chromosome:Graimondii2_0_v6:13:23010682:23012149:1 gene:B456_013G106700 transcript:KJB80607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEQEEMQFVGFFGIFNESYKAIFEWRKIFTKITLSLILPLSFIYLVHMEVSNLFFRKIIHNEIELDHTRSGTPKYEKLSDLISDEWAYFWLFKAAYFTLFFIFSLLSTAAVVYTIACIYTARELTFNKVISVVPKVWKRLMVTFLCIFIAMFLYHVAALAILIGCVVSIGGSNLGFAVFVVLAILYLVGFLYLTAIWHLASVVSVLEEAYGFNAMVKGKNLLKGNLWLAMVIFLTLGIAAAVIQFAFQSLVVEGSGSGMANRIAYAIICLFLHSMLSLLGLVIQTVIYFVCKSYHHENIDKSALSDHLEVYLGEYVPLTVKDVQLEQYHV >KJB81466 pep chromosome:Graimondii2_0_v6:13:43441843:43444066:1 gene:B456_013G158700 transcript:KJB81466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVMTVGDASARFRSISSTSSIIPSNVPLLSAFFAFALAQFLKLFTTWYKEKRWDSRRLVASGGMPSSHSATVTALAAAIGLQEGLGGSAFAIALVLACVVMYDASGVRLHAGRQAELLNQIVCELPPEHPVSSVRPLRDSLGHTPLQVLAGSILGCIVAFLMRTSS >KJB81232 pep chromosome:Graimondii2_0_v6:13:35374402:35375547:-1 gene:B456_013G134100 transcript:KJB81232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAQAPRGDSKSGEKIFKTKCAQCHTIEKAAGHKQGPNLNGLFGRQSGTAPGYSYSAANKSMAVIWGENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKESTAS >KJB79545 pep chromosome:Graimondii2_0_v6:13:5067578:5073973:-1 gene:B456_013G053600 transcript:KJB79545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKKRSTKSEEEVLEGQTDLAADNTVSAPSSKKIKMDGKKDADMGDGVASSSSVANSVKPMERKKKRKQVDKERRRSVLENEESQLKQPIIEPKGKDATEPVAASSSSSLPEFHISVFKDLASADSSVREAAVETMVTELQEVQKAYDRLENKDLVEGGLKLEAQKDDGLNNCASSLGYAVRRLIRGVSSSRECVRQGFALGLTALVAAIPSIKVDSLLKLIVDLLEVSSSMKGQEVRDCLLGRLFAYGAIARSDRLTKEWLSDKNTLLIKEFMSAIISLASKKRYLQEPSVSIILEIIEKLPAEALLDHILEAPGVPQWFEEAIDVGNPDALLLALKIHEKTSIDSKFGKLLPNPFSPSKLFSADYLSSISNCLKESTFCQPRVHSLWPVLVNILLPDTILQAEDAVSASNSLKKHKKGRKSSSSEEEIANNVQSFCDAVIERSLLLSSHDRKHLAFDVLLLLLPRLLSSFIPIVFSSKVVQCLIDILSTKDSWLYKVAQHFLNELLDWVRNDDVRRVAVIVAFQKHSNGKFDCITKTKTVKDLMAEFKTEAGCMLFVQNLINLFLDEAHASEEPSDQSQTTDENSEIGSIEDKDSIGIMGNADFLKGWVIESLPSVLKHLKLDPEAKFRVQKEILKFLSVQGLFSASLGNEVTSFELQEKFRWPKATTSTALCKMCIEQLQSLLANAQKVEEPRSLANGLEPNDLGSYFMRFFSTLRNIPSVSLFRTLSDDDKELVTKLLEMESKLYKEERNFRLSNDANKVHALRYLLILLLLQVLLRPGEFCDAASELTICCKKVFAAPDDLNSSGEDELDGDAAPELMDVLVDTLLFLLPQSSAPMRTAIEQVFRYFCGDVTDDGLMRMLRIIKKDLKPARHQEAGSEDDDDDDDLLGIEEDEDMDEAETGETADSDEQSEDSEAVVGSEGADKDLPEDSDESDGGMDDDAMFRMDTYLAQIFKEKKNQAGGETAQSQLVLFKLRVLSLLEIYLHENRGKPQVLTVFSNLAQAFVNPHTTEGSEQLGQRIWGILQRKVFKEKKLPKDESILLSTLETLLEKNLKLASKPFKRKKSASSLSKKKLTASLTRYKMIVSLAQNSTYWILKIIEARNLSDSELQGVFDLLQAVLEGYFDSKKSQIKSGFLKEIFRRNPRISHQLFGFLLENCGNAKSDFRRVEALDLVIEVFKSHVPINSNESNRDVSKKFLKSHLQSLGHLIETLVTKMPEKKSRKTEVHKCCDKIFQMITTLDLTKAFLKCLEPGTLSACESQLGPVFLKLKKPK >KJB79544 pep chromosome:Graimondii2_0_v6:13:5067664:5073973:-1 gene:B456_013G053600 transcript:KJB79544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKKRSTKSEEEVLEGQTDLAADNTVSAPSSKKIKMDGKKDADMGDGVASSSSVANSVKPMERKKKRKQVDKERRRSVLENEESQLKQPIIEPKGKDATEPVAASSSSSLPEFHISVFKDLASADSSVREAAVETMVTELQEVQKAYDRLENKDLVEGGLKLEAQKDDGLNNCASSLGYAVRRLIRGVSSSRECVRQGFALGLTALVAAIPSIKVDSLLKLIVDLLEVSSSMKGQEVRDCLLGRLFAYGAIARSDRLTKEWLSDKNTLLIKEFMSAIISLASKKRYLQEPSVSIILEIIEKLPAEALLDHILEAPGVPQWFEEAIDVGNPDALLLALKIHEKTSIDSKFGKLLPNPFSPSKLFSADYLSSISNCLKESTFCQPRVHSLWPVLVNILLPDTILQAEDAVSASNSLKKHKKGRKSSSSEEEIANNVQSFCDAVIERSLLLSSHDRKHLAFDVLLLLLPRLLSSFIPIVFSSKVVQCLIDILSTKDSWLYKVAQHFLNELLDWVRNDDVRRVAVIVAFQKHSNGKFDCITKTKTVKDLMAEFKTEAGCMLFVQNLINLFLDEAHASEEPSDQSQTTDENSEIGSIEDKDSIGIMGNADFLKGWVIESLPSVLKHLKLDPEAKFRVQKEILKFLSVQGLFSASLGNEVTSFELQEKFRWPKATTSTALCKMCIEQLQSLLANAQKVEEPRSLANGLEPNDLGSYFMRFFSTLRNIPSVSLFRTLSDDDKELVTKLLEMESKLYKEERNFRLSNDANKVHALRYLLILLLLQVLLRPGEFCDAASELTICCKKVFAAPDDLNSSGEDELDGDAAPELMDVLVDTLLFLLPQSSAPMRTAIEQVFRYFCGDVTDDGLMRMLRIIKKDLKPARHQEAGSEDDDDDDDLLGIEEDEDMDEAETGETADSDEQSEDSEAVVGSEGADKDLPEDSDESDGGMDDDAMFRMDTYLAQIFKEKKNQAGGETAQSQLVLFKLRVLSLLEIYLHENRGKPQVLTVFSNLAQAFVNPHTTEGSEQLGQRIWGILQRKVFKEKKLPKDESILLSTLETLLEKNLKLASKPFKRKKSASSLSKKKLTASLTRYKMIVSLAQNSTYWILKIIEARNLSDSELQGVFDLLQAVLEGYFDSKKSQIKSGFLKEIFRRNPRISHQLFGFLLENCGNAKSDFRRVEALDLVIEVFKSHVPINSNESNRDVSKKFLKSHLQSLGHLIETLVTKMPEKKSRKTEVHKCCDKIFQMITTLDLTKAFLKCLEPGTLSACESQLGPVFLKLKKPK >KJB79543 pep chromosome:Graimondii2_0_v6:13:5067578:5073933:-1 gene:B456_013G053600 transcript:KJB79543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKKRSTKSEEEVLEGQTDLAADNTVSAPSSKKIKMDGKKDADMGDGVASSSSVANSVKPMERKKKRKQVDKERRRSVLENEESQLKQPIIEPKGKDATEPVAASSSSSLPEFHISVFKDLASADSSVREAAVETMVTELQEVQKAYDRLENKDLVEGGLKLEAQKDDGLNNCASSLGYAVRRLIRGVSSSRECVRQGFALGLTALVAAIPSIKVDSLLKLIVDLLEVSSSMKGQEVRDCLLGRLFAYGAIARSDRLTKEWLSDKNTLLIKEFMSAIISLASKKRYLQEPSVSIILEIIEKLPAEALLDHILEAPGVPQWFEEAIDVGNPDALLLALKIHEKTSIDSKFGKLLPNPFSPSKLFSADYLSSISNCLKESTFCQPRVHSLWPVLVNILLPDTILQAEDAVSASNSLKKHKKGRKSSSSEEEIANNVQSFCDAVIERSLLLSSHDRKHLAFDVLLLLLPRLLSSFIPIVFSSKVVQCLIDILSTKDSWLYKVAQHFLNELLDWVRNDDVRRVAVIVAFQKHSNGKFDCITKTKTVKDLMAEFKTEAGCMLFVQNLINLFLDEAHASEEPSDQSQTTDENSEIGSIEDKDSIGIMGNADFLKGWVIESLPSVLKHLKLDPEAKFRVQKEILKFLSVQGLFSASLGNEVTSFELQEKFRWPKATTSTALCKMCIEQLQSLLANAQKVEEPRSLANGLEPNDLGSYFMRFFSTLRNIPSVSLFRTLSDDDKELVTKLLEMESKLYKEERNFRLSNDANKVHALRYLLILLLLQVLLRPGEFCDAASELTICCKKVFAAPDDLNSSGEDELDGDAAPELMDVLVDTLLFLLPQSSAPMRTAIEQVFRYFCGDVTDDGLMRMLRIIKKDLKPARHQEAGSEDDDDDDDLLGIEEDEDMDEAETGETADSDEQSEDSEAVVGSEGADKDLPEDSDESDGGMDDDAMFRMDTYLAQIFKEKKNQAGGETAQSQLVLFKLRVLSLLEIYLHENRGKSPSLDSILKLGSSICQSTYHRRQ >KJB80988 pep chromosome:Graimondii2_0_v6:13:32106240:32106559:-1 gene:B456_013G124400 transcript:KJB80988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLKQTSVFKISLLFTLFFISSTAQFAKIVPHFETLQRGLLPPSGGSPCTNIPGGSGTCVVNEINAAGHLLRSRPAFPGVHVIKAAGTTSMGE >KJB78919 pep chromosome:Graimondii2_0_v6:13:1886645:1890813:-1 gene:B456_013G025300 transcript:KJB78919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGLNCNEPRIGGTACANIPKVKNTQILRVGSGRRADPPYPKRRRFNILSILLVLLVPLCSFIYFFLPRNHHKNGRKPPPGPAPLPIIGNLHMLGNFPHQTLHHLGKKYGPIMSIKLGYVPTIVVSSPEAAELFLKVHDLVFASRPNLQSTEYLSYSGKGLAFSHYGSYWRTVQKLCTLHFLSASKIECFAPVRKEEVVSLVESVRKAVTAGETVNLSRKLGKVIEVMMCKVLFGQSMDGKFQFKPLVNETMLLAGVFNLLDYLPFLAPLDLQGYRRRLKRTSNGLHAIFDKMVDEHQQGTYAKEQKPCTDFFHVLVVQAQFLKPEPNYKTSPNPEPKPKAQ >KJB83326 pep chromosome:Graimondii2_0_v6:13:56041038:56049641:-1 gene:B456_013G240900 transcript:KJB83326 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDG4 [Source:Projected from Arabidopsis thaliana (AT4G30860) UniProtKB/TrEMBL;Acc:A0A178UX33] MPGLGNLSHSSSLSLSPCPNLKLLSVDSADSIDAFDSTKLKTLPVNCKWDQRLVPKRVNCNGSGIRVLKKVKKGSNGKALQDYLRDWVHRKMESGLPKSCYFLPFLVGAKRLVECLDCHKLIYPGEEVLCSVRGCQGVYHKTCAEESFRMSNPKKFQCPQHVCFVCRQRLQWRCVCCTMASHDKCSPWPDAVIHLKDKPGRAICWRHPTNWRLDKKHADPATEIQEIFCQLPLPYMDEEFKLDLTWRDLIENKLVPPPYVHIKRNVYLVKKKRDDVVDDIGCTSCSSTCSEDCVCRVQCISCSKACRCPESCTNRPFSKEKKIRIVKTELCGWGVEAAEPIKKGDFVIEYIGEVIDDAQCEKRLWDMKHKGVKNFYMCEIRKDFTIDATFKGNTSRFLNHSCDPNCVLEKWQVEGETRVGVFAARSIKVGEPLTYDYRFVQFGPEVRCHCGASNCQGYLGTKRKIVKLDLCWGSKRRRTSTACLAIITV >KJB82353 pep chromosome:Graimondii2_0_v6:13:49274090:49276776:1 gene:B456_013G191700 transcript:KJB82353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGIRFTRSKLYAFSFFASAHLKEMHLIPTQYRNPIFETVVTTTKSIATTNPLNLCFSFQLSSFGTSNTDLPREHKVEIFDENSDNDNDGGEDSDDFEGKKGLSLSDGVIEDVKEIMDIIRVTGRNYLELKIKLEQCGVRVSSAMVVEVLSRVRHDWEVAYTFFLWAGKRPDYAPSLREYHSMISILAKMRKFDTAWALIDEMRGGRAGPCLVTPQTLLIMIRRYCAVHDVGRAINTFYAYKKFKFDVGIEEFHGLLSALCRYKNVQDAEHLMFCNKDVFPLNTKSFNIILNGWCNAIRSPREAERIWREMSKRGVRHDVVSYASIMSCYSKGSNLNKVLKLFSQMKSMRIEPDRKVYNAVIHALAKARHVKEAINLLKVMEENGIGPNVVTYNSLIKPLCKARKVDEARQVFDEMLQNGLSPTIQTYHAFFRILRNGEEVFELLKKMRKLGCQPTNDTYIMLIRKFSRWCQFDNVFKLWNEMIEKGVGTDRSSYIVLIHGLFLNGRFDDAYKYYMEMKEKQFLPEPKIDQMLQDWVSGKQYADRVVADLKNNQLLDKKLNNQIRVQSKKHDQEKDFLKQPETRRVVRERGFSFQEQ >KJB81012 pep chromosome:Graimondii2_0_v6:13:32516185:32521712:-1 gene:B456_013G125100 transcript:KJB81012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMARANSGPAYPERFYAAASYAGFDGSPNSNTKDIASRFSNDVALILYALYQQATVGPCTVPKPSSWSPVEHSKWKSWQQLGNMVSIEAMRLFVKILEEEEPGWYSRASNSVSEPVIDVQMNHNSNVEPIIENRNSFAETKIISAENGGLMETQDKDVVSEGLGSIVVYDKWISPPVIGQRPKARYQHGAAVVQDKMYIYGGNHNGRYLNDLHVLDLRSWTWSKVEAKVVPKSVESPSLVNIAPCAGHSLIPWENKLLSIAGHTKVPSETIQGKAFDLQTGTWSVLNTYGKAPVSRAGQSVTLVGTTLVIFGGQDAKRTLFNDLHILNLETMTWNEIDAVGAPPSPRADHAAAIHAERYLLVFGGGSHATCFNDLHVFDLQAMEWSRPTQQGEIPTPRAGHASVTVGENWFIVGGGDNKSGKCLALKSNRRLNMFLDFPGYT >KJB81009 pep chromosome:Graimondii2_0_v6:13:32513111:32521712:-1 gene:B456_013G125100 transcript:KJB81009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMARANSGPAYPERFYAAASYAGFDGSPNSNTKDIASRFSNDVALILYALYQQATVGPCTVPKPSSWSPVEHSKWKSWQQLGNMVSIEAMRLFVKILEEEEPGWYSRASNSVSEPVIDVQMNHNSNVEPIIENRNSFAETKIISAENGGLMETQDKDVVSEGLGSIVVYDKWISPPVIGQRPKARYQHGAAVVQDKMYIYGGNHNGRYLNDLHVLDLRSWTWSKVEAKVVPKSVESPSLVNIAPCAGHSLIPWENKLLSIAGHTKVPSETIQGKAFDLQTGTWSVLNTYGKAPVSRAGQSVTLVGTTLVIFGGQDAKRTLFNDLHILNLETMTWNEIDAVGAPPSPRADHAAAIHAERYLLVFGGGSHATCFNDLHVFDLQAMEWSRPTQQGEIPTPRAGHASVTVGENWFIVGGGDNKSGALETVVLNMSTLAWSVVASVEGLVPLASEGLSLIVGSFNGEDMLISFGGYNGHYNNEPSHKSTLQSKMMEARVPDSVSAIHNVTNPTRDLESEFEVGHEGKIQEIVMDNIDTGHQKFKGEETTERIIATLKVEKEELESSLNKEKLQSLQLKQELAEAKAQNSDLHKELQTIRSQLAAEQSRCFKLEVDVAEQRQKLQTMETLQKELELLQRQKAASEQAALNAKQRQGSGGVWGWLAGSPPQNADNI >KJB81008 pep chromosome:Graimondii2_0_v6:13:32513111:32520141:-1 gene:B456_013G125100 transcript:KJB81008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIEAMRLFVKILEEEEPGWYSRASNSVSEPVIDVQMNHNSNVEPIIENRNSFAETKIISAENGGLMETQDKDVVSEGLGSIVVYDKWISPPVIGQRPKARYQHGAAVVQDKMYIYGGNHNGRYLNDLHVLDLRSWTWSKVEAKVVPKSVESPSLVNIAPCAGHSLIPWENKLLSIAGHTKVPSETIQGKAFDLQTGTWSVLNTYGKAPVSRAGQSVTLVGTTLVIFGGQDAKRTLFNDLHILNLETMTWNEIDAVGAPPSPRADHAAAIHAERYLLVFGGGSHATCFNDLHVFDLQAMEWSRPTQQGEIPTPRAGHASVTVGENWFIVGGGDNKSGALETVVLNMSTLAWSVVASVEGLVPLASEGLSLIVGSFNGEDMLISFGGYNGHYNNEVNVLKPSHKSTLQSKMMEARVPDSVSAIHNVTNPTRDLESEFEVGHEGKIQEIVMDNIDTGHQKFKGEETTERIIATLKVEKEELESSLNKEKLQSLQLKQELAEAKAQNSDLHKELQTIRSQLAAEQSRCFKLEVDVAEQRQKLQTMETLQKELELLQRQKAASEQAALNAKQRQGSGGVWGWLAGSPPQNADNI >KJB81010 pep chromosome:Graimondii2_0_v6:13:32515992:32521783:-1 gene:B456_013G125100 transcript:KJB81010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMARANSGPAYPERFYAAASYAGFDGSPNSNTKDIASRFSNDVALILYALYQQATVGPCTVPKPSSWSPVEHSKWKSWQQLGNMVSIEAMRLFVKILEEEEPGWYSRASNSVSEPVIDVQMNHNSNVEPIIENRNSFAETKIISAENGGLMETQDKDVVSEGLGSIVVYDKWISPPVIGQRPKARYQHGAAVVQDKMYIYGGNHNGRYLNDLHVLDLRSWTWSKVEAKVVPKSVESPSLVNIAPCAGHSLIPWENKLLSIAGHTKVPSETIQGKAFDLQTGTWSVLNTYGKAPVSRAGQSVTLVGTTLVIFGGQDAKRTLFNDLHILNLETMTWNEIDAVGAPPSPRADHAAAIHAERYLLVFGGGSHATCFNDLHVFDLQAMEWSRPTQQGEIPTPRAGHASVTVGENWFIVGGGDNKSGALETVVLNMSTLAWSVVASVEGLVPLASEKCCNGD >KJB81011 pep chromosome:Graimondii2_0_v6:13:32516178:32521712:-1 gene:B456_013G125100 transcript:KJB81011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMARANSGPAYPERFYAAASYAGFDGSPNSNTKDIASRFSNDVALILYALYQQATVGPCTVPKPSSWSPVEHSKWKSWQQLGNMVSIEAMRLFVKILEEEEPGWYSRASNSVSEPVIDVQMNHNSNVEPIIENRNSFAETKIISAENGGLMETQDKDVVSEGLGSIVVYDKWISPPVIGQRPKARYQHGAAVVQDKMYIYGGNHNGRYLNDLHVLDLRSWTWSKVEAKVVPKSVESPSLVNIAPCAGHSLIPWENKLLSIAGHTKVPSETIQGKAFDLQTGTWSVLNTYGKAPVSRAGQSVTLVGTTLVIFGGQDAKRTLFNDLHILNLETMTWNEIDAVGAPPSPRADHAAAIHAERYLLVFGGGSHATCFNDLHVFDLQAMEWSRPTQQGEIPTPRAGHASVTVGENWFIVGGGDNKSGALETVVLNMSTLAWSVVASVEGLVPLASEVFYVL >KJB81007 pep chromosome:Graimondii2_0_v6:13:32513007:32521783:-1 gene:B456_013G125100 transcript:KJB81007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMARANSGPAYPERFYAAASYAGFDGSPNSNTKDIASRFSNDVALILYALYQQATVGPCTVPKPSSWSPVEHSKWKSWQQLGNMVSIEAMRLFVKILEEEEPGWYSRASNSVSEPVIDVQMNHNSNVEPIIENRNSFAETKIISAENGGLMETQDKDVVSEGLGSIVVYDKWISPPVIGQRPKARYQHGAAVVQDKMYIYGGNHNGRYLNDLHVLDLRSWTWSKVEAKVVPKSVESPSLVNIAPCAGHSLIPWENKLLSIAGHTKVPSETIQGKAFDLQTGTWSVLNTYGKAPVSRAGQSVTLVGTTLVIFGGQDAKRTLFNDLHILNLETMTWNEIDAVGAPPSPRADHAAAIHAERYLLVFGGGSHATCFNDLHVFDLQAMEWSRPTQQGEIPTPRAGHASVTVGENWFIVGGGDNKSGALETVVLNMSTLAWSVVASVEGLVPLASEGLSLIVGSFNGEDMLISFGGYNGHYNNEVNVLKPSHKSTLQSKMMEARVPDSVSAIHNVTNPTRDLESEFEVGHEGKIQEIVMDNIDTGHQKFKGEETTERIIATLKVEKEELESSLNKEKLQSLQLKQELAEAKAQNSDLHKELQTIRSQLAAEQSRCFKLEVDVAEQRQKLQTMETLQKELELLQRQKAASEQAALNAKQRQGSGGVWGWLAGSPPQNADNI >KJB82322 pep chromosome:Graimondii2_0_v6:13:49061309:49062824:-1 gene:B456_013G189300 transcript:KJB82322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEQVPGALGTSASLALRLGQTIFSSASLLFMCLDVEFYNYTSFSQDQTTDIEDGFPRTPQVAPFTSADDTAPFLENGSSDDKLNFDGPMDFNEDLCRSSSFNGTMVGEENAVSMLVSSYSQEQLPEIFVGLKSQQGCIGEMSDVEDETFNTVVSRSSQTCRIDLLEEIIEDAKDNKVLFALNLLLSFVNFGGCLDHAIDYELDERSLRGSCRTSKRGSCKGGMDILVKVEELKQMLPHAKEANDMVVHLLIT >KJB83926 pep chromosome:Graimondii2_0_v6:13:58240341:58241070:-1 gene:B456_013G271900 transcript:KJB83926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGKAKVGLMMLLLTVSMLLPRHRVGAAITCEQVTYLLIPCISYGVFGGTVAPSCCTGIKTLDAAAKTTEDRRKKCNCIKEGAAKIPGLNYDRVNEIPAKCGTTCPYKVTPDVDCSKVN >KJB78911 pep chromosome:Graimondii2_0_v6:13:1818943:1823374:1 gene:B456_013G024900 transcript:KJB78911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKEREILKNKMSSQMNILHEFQFPEASRTRMKVDFDGFMLMEASKDEACRKEEEKKTDGLESKYDLRKSLAWDSAFFTSPGVLDPEELFETLNINGGDNGLKEPSALPSESMAASRIGEYIARRSLAWDAAFFTSAGVLDPEELSLVNNGYKRSETVTHILPGTEQEFWKSTESNSTMESEYSLSSLETDLFDDMRASMHKSCKASDLLKSSSKFQSQTGIPNPHSSKRVDTTSMRVKTLPASRRPKTTADGVGKTLKEVINPTKAQYGTRSGELDSSSSLRLSKASNQANPFSPGATKRASLGANQMKINNKVRKAVSGQNMSKKPCIGDRGTPPPESTSRVHIVSKDLSGSVCGHNASTLKSPSSLRRKNDLIALDSDARTPSKSLTGHKSKQIDSSQQTGLQSTPKSTSTSLCNSMDCWSSETSASLNHVNNDASQGLDTNCSNDQLVPSGSKETRLPHQDVGRFSKGSCHPPSTVSRETKPSGLRLPSPKIGFFEVGNSREFTPNGVKFHSGLQNNCKAKTSVNHINGTPNRSRSGKLQSPRTSSRTSNLKEKKLGSLQNGTRSSMDIKLNCAAKLEVEKASPGTLESSPAATSMAETELSCESSNGYRLKIYGFGSYVKGDYKGNIQPESKERATQLVEKSSQDKKEEIIAPKPDENENLFSFKSQVDVLTKQIEAIDVNGGESVIDL >KJB78912 pep chromosome:Graimondii2_0_v6:13:1819448:1823374:1 gene:B456_013G024900 transcript:KJB78912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDFDGFMLMEASKDEACRKEEEKKTDGLESKYDLRKSLAWDSAFFTSPGVLDPEELFETLNINGGDNGLKEPSALPSESMAASRIGEYIARRSLAWDAAFFTSAGVLDPEELSLVNNGYKRSETVTHILPGTEQEFWKSTESNSTMESEYSLSSLETDLFDDMRASMHKSCKASDLLKSSSKFQSQTGIPNPHSSKRVDTTSMRVKTLPASRRPKTTADGVGKTLKEVINPTKAQYGTRSGELDSSSSLRLSKASNQANPFSPGATKRASLGANQMKINNKVRKAVSGQNMSKKPCIGDRGTPPPESTSRVHIVSKDLSGSVCGHNASTLKSPSSLRRKNDLIALDSDARTPSKSLTGHKSKQIDSSQQTGLQSTPKSTSTSLCNSMDCWSSETSASLNHVNNDASQGLDTNCSNDQLVPSGSKETRLPHQDVGRFSKGSCHPPSTVSRETKPSGLRLPSPKIGFFEVGNSREFTPNGVKFHSGLQNNCKAKTSVNHINGTPNRSRSGKLQSPRTSSRTSNLKEKKLGSLQNGTRSSMDIKLNCAAKLEVEKASPGTLESSPAATSMAETELSCESSNGYRLKIYGFGSYVKGDYKGNIQPESKERATQLVEKSSQDKKEEIIAPKPDENENLFSFKSQVDVLTKQIEAIDVNGGESVIDL >KJB78796 pep chromosome:Graimondii2_0_v6:13:1361297:1363619:1 gene:B456_013G019400 transcript:KJB78796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDHTVLQLSTPSTANLSAKVHPLVIFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHTESAEQVALDIEYHHNMLVSHQKVNPKEVIVGWYSTGLGVTGGSALIHDFYSREVPNPIHLTVDTGFRNGEGTIKAYVSVNLALGDRQLAAQFQEIPLDLRMVEAERLGFDILKTTAVDKLPNDLEGMEVTMQRLLALIDDVYKYVDDVVGRVAADNSIGRFISDTVASLPKLSPSVFDKLVNDSLQDQLLLLYLSSITRTQLGLAEKLNTAAQIL >KJB78793 pep chromosome:Graimondii2_0_v6:13:1361281:1363653:1 gene:B456_013G019400 transcript:KJB78793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDHTVLQLSTPSTANLSAKVHPLVIFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHTESAEQVALDIEYHHNMLVSHQKVNPKEVIVGWYSTGLGVTGGSALIHDFYSREVPNPIHLTVDTGFRNGEGTIKAYVSVNLALGDRQLAAQFQEIPLDLRMVEAERLGFDILKTTAVDKLPNDLEGMEVTMQRLLALIDDVYKYVDDVVEGRVAADNSIGRFISDTVASLPKLSPSVFDKLVNDSLQDQLLLLYLSSITRTQLGLAEKLNTAAQIL >KJB78795 pep chromosome:Graimondii2_0_v6:13:1361369:1363043:1 gene:B456_013G019400 transcript:KJB78795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDHTVLQLSTPSTANLSAKVHPLVIFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHTESAEQVALDIEYHHNMLVSHQKVNPKEVIVGWYSTGLGVTGGSALIHDFYSREVPNPIHLTVDTGFRNGEGTIKAYVSVNLALGDRQLAAQFQEIPLDLRMVEAERLGFDILKTTAVDKLPNDLEGMEVTMQRLLALIDDVYKYVDDVVVRACCG >KJB78794 pep chromosome:Graimondii2_0_v6:13:1361297:1362779:1 gene:B456_013G019400 transcript:KJB78794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDHTVLQLSTPSTANLSAKVHPLVIFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHTESAEQVALDIEYHHNMLVSHQKVNPKEVIVGWYSTGLGVTGGSALIHDFYSREVPNPIHLTVDTGFRNGEGTIKAYVSVNLALGDRQLAAQFQEIPLDLRMVEAERLGCMYHFNNLRRYLIVMPILFLTLCEYDHACIA >KJB81968 pep chromosome:Graimondii2_0_v6:13:45550930:45551324:-1 gene:B456_013G169400 transcript:KJB81968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFSTITRKPNRYSVVCEAAPKKKADSAAKRDRQAKKRQIYNKAKKFQVKTRMKKDIWKADFYVS >KJB81967 pep chromosome:Graimondii2_0_v6:13:45550326:45551531:-1 gene:B456_013G169400 transcript:KJB81967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFSTITRKPNRYSVVCEAAPKKKADSAAKRDRQAKKRQIYNKAKKFQVKTRMKKVLEALDVLRKKPEAQAKEIYQLRN >KJB79645 pep chromosome:Graimondii2_0_v6:13:6467038:6469247:-1 gene:B456_013G060500 transcript:KJB79645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPISLPNNPHAVCIPYPAQGHVNPMLKVAKLLHFKGFHITFVNTEYNHKRLLESRGPHALDGLPDFRFGTIPDGLPPPDIDATQDIPALCDSTSKHCLAPFRRLLVELNANAGVPPVTCIVADGSMSFTLEAAKELGIPSVLLWTPSACGFLAYCHYRRLIDDGFTPLKDESYMTNGYLDTVIDWIPGMKNIRIRDLPSFVRTTNPDDIMLNFFATECDRASKASAIIVNTFDELEHDVVKALSSIFPKFYTIGPLHLLLNHIPPSSPLSSMGSNLWKEEPRCFQWLDLKEPKSVVYVNFGSITVMTANQMVEFAWGLANSKKPFLWIIRPDLVRGDSAILPPEFIEETKDRCFMASWCPQEEVLNHNAVAGFLTHSGWNSTLESISSGVAIASWPFFAEQQTNCWFACNEWGIGIEIDHDVKREKVEKLVRELMEGRKGEEMRENAMEWKRKAEKAACLDGPSLLNLDRLINEVLLEGHE >KJB83046 pep chromosome:Graimondii2_0_v6:13:54694905:54698634:-1 gene:B456_013G227400 transcript:KJB83046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSFQFPAYFTAIFIITRVMSIMKISKPLDVHHKDIRAVDLATKLSVDPSAIESASRDFGGIVKAEPEAVLHPSAPQDIAALIKFSYSSSVPFGIAAKGHGHSVRGQAMAENGVVVDMRSMANNRRNGTGIRVSIDRLYADVGGEQLWIDVLNATLEYGVAPVSWTDYLYLTVGGTLSNAGISGQTFRYGPQISNVLEMDVITGKADFLTCSPRMNSELFYAVLGGLGQFGIITRARIPLQPAPKGVKWVRLLYDDFSSFTKDQELLISKNGRKDKSALDYLEGSLLMDQGSPDNWRSSFFPHKDHPKIISLITKHGIIYCLEIVKHYDDRTKHTVDKGMKQVLQGLNYMPGFMFGKDVGYEEFLNRVRSGELKLKSQGLWDVPHPWLNLFIPKSQISDFNNGVFRGIVLERNITTGPVLVYPMNRQKWDDRMSAVIPDEEIFYTVGFLHSSGFDTWEAFEDQNKDIMRFCNKTGILVKQYLPHYSTKEEWVHHFGSKWKVFQHRKYQFDPRMLLSPGQRIFNNN >KJB78810 pep chromosome:Graimondii2_0_v6:13:1394957:1396522:1 gene:B456_013G020200 transcript:KJB78810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLHCNGFLLISAINVFICVFVMQKMGLWTILEGFLLLANAMAILNEDRFPAPRGWSFSEFSTGQTKSFKGQLIGLIYATQYMRLPLILLNAICIVVMLISG >KJB78666 pep chromosome:Graimondii2_0_v6:13:751743:752821:-1 gene:B456_013G011000 transcript:KJB78666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEISEIKQESPMDDVRSSNTSLISSANVTVKNCSNINRSAKHNEEPRQGVARKRSLTETGEEENGRSIDLNVELTPTGQPPKDRLSTNRSSEISSTSLSETSRMLLNQQDSSGEEVEFSNATPEEPPLVAMGCTRCLVFVLTSETNPKCVNCQTPDHLIDVLHYNPPKKPKHV >KJB79713 pep chromosome:Graimondii2_0_v6:13:7082415:7084246:1 gene:B456_013G063500 transcript:KJB79713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNNSINKVPPGFRFHPTDEELVVHFLQRKAALLPCHPDVIPDLHLYPYDPWELDGKALGEGKQWYYYSRRSENRNTRNGYWKAMGMEESVVNRSSSRRVGIKKYLVFFIGEAEEGGGGGVKTDWIMHEYRLSDSDSSSGTSRSSKRRGHNSKIDYSKWVICRVYERRCSTSDEEDGGMELSCLDEVFLSLDDDDEISNCH >KJB79712 pep chromosome:Graimondii2_0_v6:13:7082415:7083235:1 gene:B456_013G063500 transcript:KJB79712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNNSINKVPPGFRFHPTDEELVVHFLQRKAALLPCHPDVIPDLHLYPYDPWELDGKALGEGKQWYYYSRRSENRNTRNGYWKAMGMEESVVNRSSSRRVGIKKYLVFFIGEAEEGGGGGVKTDWIMHEYRLSDSDSSSGTSRSSKRRGHNSKIVSILLYTILHL >KJB82001 pep chromosome:Graimondii2_0_v6:13:45834075:45836518:-1 gene:B456_013G171900 transcript:KJB82001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQRDKEGKTRGSSANVGNGNKNGNGRSSCGAVFTRWLVAVQEGEGKEREIELVVGGGEDDERRESSEMMMMRSSQRRHVFEDIEINDFANENKGGDDDDEAAARVSICIPPKNALLLMRCRSDPVKMAALANKFWETPVPKDEHDDEGEEDGNEREGEVEEHELVQEDTLEVEREEREVKFEQEIEHQVSEVSDMYVYCEANEEQEEIPETEAAAEAETEVESVLVKNGSTGVAEETSIEYQDQEHEHEDEHEVEEDPQESTSKEQTLTEVPLSLENEAAIEELHLAEEENVNGNGEEEREEQTKAEEDEEEDSTVEEEEEGETSQERSELEYPETDPENESKESESQDKLLPDCLLLMMCEPKLSMEVSKETWVCSTDFIRWLPEKRKQQVVKPKDSGDEPKRRISVDSNPLPIFLQPPRSSCSFPAAPPMDTAGAEAVNGAGSGGSKTTMMEHKLVGGKGYEPFPLTRCKSEPRRSSAKLAPDACFWKNRKLEPTSVGVGGVLMSRVG >KJB82000 pep chromosome:Graimondii2_0_v6:13:45834207:45836366:-1 gene:B456_013G171900 transcript:KJB82000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPERPHRSTSINNSSTSGNNTTSELFICFTSRLSSSSSMKISSKSILSPGRSRESSSHISLSSSLSRRLRNNGSMKGGQASPMFATNSGKKRGSGFDNPEPSSPKVTCIGQVRVKTKKQGKKFRACRSKRRGEVSFRKVDHNNGGNSLDSSSSQDYNMGHFLSSNSSHHQQQQECKKWVHLPLTICEALRAFGAEFNCFLPCRSSCMANQRDKEGKTRGSSANVGNGNKNGNGRSSCGAVFTRWLVAVQEGEGKEREIELVVGGGEDDERRESSEMMMMRSSQRRHVFEDIEINDFANENKGGDDDDEAAARVSICIPPKNALLLMRCRSDPVKMAALANKFWETPVPKDEHDDEGEEDGNEREGEVEEHELVQEDTLEVEREEREVKFEQEIEHQVSEVSDMYVYCEANEEQEEIPETEAAAEAETEVESVLVKNGSTGVAEETSIEYQDQEHEHEDEHEVEEDPQESTSKEQTLTEVPLSLENEAAIEELHLAEEENVNGNGEEEREEQTKAEEDEEEDSTVEEEEEGETSQERSELEYPETDPENESKESESQDKLLPDCLLLMMCEPKLSMEVSKETWVCSTDFIRWLPEKRKQQVVKPKDSGDEPKRRISVDSNPLPIFLQPPRSSCSFPAAPPMDTAGAEAVNGAGSGGSKTTMMEHKLVGGKGYEPFPLTRCKSEPRRSSAKLAPDACFWKNRKLEPTSVGVGGVLMSRVG >KJB83747 pep chromosome:Graimondii2_0_v6:13:57656156:57659798:-1 gene:B456_013G262600 transcript:KJB83747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSKMGEAGVFSKMGEAGVFSKMESSRWSLQGMTALVTGGTKGLGHAIVEELAGFGAIVHTCSRTETELNNCLLEWKAKGFRVTGSVCDVSDQTQREKLLNTVSSEFNGKLNILINNVGTNTTKLVTDYTAEDVSSLTSTNFESAFNISVLAYPLLKASSAGSVVFMSSIASLLPAYFGAIYASNKAAMSQLAKYLSCDWARDNIRVNAVLPSVVKTALLEKYFEVNKEGLEVTLNRTPLGRLGQPKEVSAMVAFLCLPAASYVTGQVICVDGGMSVNGLFFPK >KJB81488 pep chromosome:Graimondii2_0_v6:13:43670033:43672568:1 gene:B456_013G159800 transcript:KJB81488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCSLRSIHVPTVDVGLLRTRSPLAAVGGVVAGSSFLRSSSRNGSPFACLSLSTSPGIKEAVATDKAPAALGPYSQAIKANNLLFVSGVLGLIPETGKFISDSVEDQTEQVLKNMGEILKASGADYSSVVKTTIMLADLKDFKKVNEIYAKYFPSPAPARSTYQVAALPLDAKIEIECIAALKA >KJB81486 pep chromosome:Graimondii2_0_v6:13:43670081:43672481:1 gene:B456_013G159800 transcript:KJB81486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCSLRSIHVPTVDVGLLRTRSPLAAVGGVVAGSSFLRSSSRNGSPFACLSLSTSPGIKEAVATDKAPAALGPYSQAIKANNLLFVSGVLGLIPETGKFISDSVEDQTEQVLKNMGEILKASGADYSSVVKTTIMLADLKDFKKVNEIYAKYFPSPAPARSTYQVAALPLDAKIEIECIAALKA >KJB81489 pep chromosome:Graimondii2_0_v6:13:43670081:43672481:1 gene:B456_013G159800 transcript:KJB81489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCSLRSIHVPTVDVGLLRTRSPLAAVGGVVAGSSFLRSSSRNGSPFACLSLSTSPGNKRKTIRIKEAVATDKAPAALGPYSQAIKANNLLFVSGVLGLIPETGKFISDSVEDQTEQVLKNMGEILKASGADYSSVVKTTIMLADLKDFKKVNEIYAKYFPSPAPARSTYQVAALPLDAKIEIECIAALKA >KJB81487 pep chromosome:Graimondii2_0_v6:13:43670081:43672481:1 gene:B456_013G159800 transcript:KJB81487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCSLRSIHVPTVDVGLLRTRSPLAAVGGVVAGSSFLRSSSRNGSPFACLSLSTSPGIKEAVATDKAPAALGPYSQAIKANNLLFVSGVLGLIPETGKFISDSVEDQTEQVLKNMGEILKASGADYSSVVKTTIMLADLKDFKKVNEIYAKYFPSPAPARSTYQVAALPLDAKIEIECIAALKA >KJB78732 pep chromosome:Graimondii2_0_v6:13:1048663:1050672:-1 gene:B456_013G015100 transcript:KJB78732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPEGQSFVTSSPLQFIPWMSFSPGLGSPFPWLRELKSEERGLYLIHLLVSCANHVAAGSLENANIGLEQISHLASTDGDTMQRIAAYFNEALAYRMLKAWPGLCKALNSTKISLVSEEIHVQKLFFELCPFLKLAYVITNQAIVEAMEGEKMVHIIDFNCFEPAQWINLFQTFNARPEGPPHLRITGLHEQKEVLDHMARRLTEEAQKWDIPFQFNPVVCKLENLDLESLRVKTGEALAVSSVLQLHSLLVTDDEMHKWKVNSPSMSKTDRSHRVLQMNQRTLGEWLKKEPVHAYSPSSDLASPSPLSPLSLAPAPKMGSFLTALRALSPKLIVITEQESNNSGSTLMERVMEALNFYAALFDCLESTVSRAPLERKKVEKMLFGEEIKNIIACEGVERKERHEKLEKWILRLELAGFGRVPLSYHGMLQAGRLLQTNNYDGYKIKEENGCLVICWQERPLYSISAWRFRPYD >KJB80934 pep chromosome:Graimondii2_0_v6:13:31423279:31423977:1 gene:B456_013G122700 transcript:KJB80934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYGGYTYSSSHTGASPRSYSGSKTINTTDQVADRWRKPIMSYTTNGNTDQYYVTDTEITIQQSCVYKYTQSSPINVVGVLRY >KJB81394 pep chromosome:Graimondii2_0_v6:13:38940537:38943609:-1 gene:B456_013G142800 transcript:KJB81394 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCI1 [Source:Projected from Arabidopsis thaliana (AT1G79200) UniProtKB/TrEMBL;Acc:A0A178WDT9] MGSDRKEKRSKKRSNYSSSGSEDEGRDKRQRRGEEEEEERKIRKKNDKKEKRKDKKSHKHSSHKEKKSKEKHKSKHHKGDRHSKHGFQELSNDDYFSKNNEFATWLKEEKRVFFSDLSSESARDLFADFVKDWNNQKLGSQYYEGIASGPRTAHNWKIKL >KJB82584 pep chromosome:Graimondii2_0_v6:13:51558588:51562896:1 gene:B456_013G204500 transcript:KJB82584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFHFILNQNSAGEKGGRRRERRKKMEKDEENQNKKKTQIVIGIPSYKEVIESSQTKSTPPSLFTPSQSFSQAFNFIKSSEFYSPPPPPPPPAPSSPLFTPRPTGEANVPSSSSAASTSASPAVSSSSSQSRNAILVSHRQKGNPLLKHIRNVRWAFADVACDYLLGQNTCALYLSLRYHLLHPDYLYYRIRELQKNFKLRVVLCHVDVEDVVKPLLEVTKTALLHDCTLLCGWSLEECGRYLETIKVYENKPADLIQGQMDTDYLSRLNHALTTVRRVNKTDVVTLGSTFGSLSSIMDASMEDLARCPGIGERKVKRLHDTFHEPFKRIVSSNPSVPETPVCKDAEPSSASEVIEADKDTEDTSKRRKKENEINIKSALTAAFAKYAGKNSKMNNKSEGNKGGGTLAAAVPEPATKNSGEGVET >KJB82586 pep chromosome:Graimondii2_0_v6:13:51558620:51561648:1 gene:B456_013G204500 transcript:KJB82586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFHFILNQNSAGEKGGRRRERRKKMEKDEENQNKKKTQIVIGIPSYKEVIESSQTKSTPPSLFTPSQSFSQAFNFIKSSEFYSPPPPPPPPAPSSPLFTPRPTGEANVPSSSSAASTSASPAVSSSSSQSRNAILVSHRQKGNPLLKHIRNVRWAFADVACDYLLGQNTCALYLSLRYHLLHPDYLYYRIRELQKNFKLRVVLCHVDVEDVVKPLLEVTKTALLHDCTLLCGWSLEECGRYLETIKVYENKPADLIQGQMDTDYLSRLNHALTTVRRVNKTDVVTLGSTFGSLSSIMDASMEDLARCPGIGERKVIYMSVCIHMYVFGRAIVCLLIQVIDYKTIQSLVSA >KJB82585 pep chromosome:Graimondii2_0_v6:13:51558620:51562791:1 gene:B456_013G204500 transcript:KJB82585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFHFILNQNSAGEKGGRRRERRKKMEKDEENQNKKKTQIVIGIPSYKEVIESSQTKSTPPSLFTPSQSFSQAFNFIKSSEFYSPPPPPPPPAPSSPLFTPRPTGEANVPSSSSAASTSASPAVSSSSSQSRNAILVSHRQKGNPLLKHIRNVRWAFADVACDYLLGQNTCALYLSLRYHLLHPDYLYYRIRELQKNFKLRVVLCHVDVEDVVKPLLEVTKTALLHDCTLLCGWSLEECGRYLETIKVYENKPADLIQGQMDTDYLSRLNHALTTVRRVNKTDVVTLGSTFGSLSSIMDASMEDLARCPGIGERKVKRLHDTFHEPFKRIVSSNPSVPETPVCKDAEPSSASEVIEADKDTEDTSKRRKKENEINIKSALTAAFAKYAGKNSKMNNKSEGNKGGGTLAAAVPEPATKNSGEGVET >KJB78397 pep chromosome:Graimondii2_0_v6:13:36178013:36178344:-1 gene:B456_013G135300 transcript:KJB78397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKGGSGGGSKGGCGGSGGGSGNVGGGSTSKGGGGASGVMVAPGSGGAAIISRGAFESNPKGYFAGLHSSEKGNK >KJB81809 pep chromosome:Graimondii2_0_v6:13:44061491:44063233:-1 gene:B456_013G162300 transcript:KJB81809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGVLRNKALRNRFMKFSDGFLSLRKDISVDRFRLGCGPGIDHRKINEWILYAVRHGVRELDLIFQSRSFETRHFTELEFAVFTCKTLLTLRLFNLPSLVLTIPTHCCLPKLKVLHLNFLKFSDDESIRRLLSGCNSLEELLVQSCELSNLNKLNVCHRTLKRLTISGGDLAPSCELEINTPNLVCFDFGYIYCEETRLSLRNLNSLSEARICIGFIVNRSSNYCNDTASVFDLMRALSCVQSFHLTGICSEIQAMLMRPSLIPEFPNLTSLKMGACYAC >KJB81122 pep chromosome:Graimondii2_0_v6:13:34083593:34084767:1 gene:B456_013G130200 transcript:KJB81122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTPNLEPAPDASLQFKPKKPRITLQTPSSCPSNQRTQRIKRWRTQRDQHIYSSKLFQALRRSRRTSASREVHETADRVLAGLARGTTRWSRAILTARKVTKHKKAKLPTNNRLRKPDIYRERRKTTAVERKLKVLGRLVPGCLKLSFSNLIEETSDYIAALEMQVRAMTAITEFLCGGTGGGPQPPADRLPSNVNS >KJB79738 pep chromosome:Graimondii2_0_v6:13:7238960:7240554:-1 gene:B456_013G064600 transcript:KJB79738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDHLGIFRLIIWVSIIRGFDSMAAVADNSGEAAVANGNNNLVVETSKSESKEFNVQKLVDMFTKLNPLAKEFFPSSYHHNPTKTGDFN >KJB79946 pep chromosome:Graimondii2_0_v6:13:8905065:8906898:-1 gene:B456_013G073800 transcript:KJB79946 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g28210 [Source:Projected from Arabidopsis thaliana (AT4G28210) UniProtKB/TrEMBL;Acc:Q9M0I6] MTIPTIASNFSLNPSLFPLKTSSISRRTVLSRHQTPPSFPSIPQAFIPRPAPNFLSLSGKRLGLSLNRKSKFYANAGNGGEFGGVDEAERLARGESTMPERFRYLTKEAPDPPVRWPFFVAVAFLLYAWRAVLLELSNWRNAAFSVVRFVGYILKLALALIFYFIGDPITSMIRCIETLIYSVRAFYSGIVAYAPVKELTVIIVLASAVLAIAEATVPNSISCQPYMLTMSGLIGYAAVTGYISEPLYWTLLLGLYSFSRVIKKRDDVTSALPVAAVMAAIGEPWVRILVITSYLALAIFNHSKKLSEGREETEGIAMERRLPMPLLGAALAIGINLAAKWVGYRHLTWMIV >KJB78984 pep chromosome:Graimondii2_0_v6:13:2104816:2109119:1 gene:B456_013G028400 transcript:KJB78984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHWYFGCFLKFSLLSIFFLPLKSLRFDRYGFSSVLPFLLYIYTSCFFFNFSIPSSIMNPIEINPEQDKVCDADQKIIPNGGVRAGQDGDVEVGKSSENKEDSDSTEVNKTPDSDVANEADHQINCNGHNKYIPTSKAQVHLPKPEPPKPKMERSQSLSIAESMPSIGKYIRDRSSSFSAAIRNRLSSVKEGSGDFVLKNDSLNFEVTEFKIPGVKVIVKLKSEEERLEDQIRGRVTLFTKSNCEHSIAARQFFKEKGLRYVEINIDVFTKSGNELMERTGSCEVPQIFFNDSLIGGLATLKSLSESGELHEKMNELLGPKCPEEAPKAPVYGINDEEDKEDGLVGVVRFLRQSLPIQDRLIKMKMVKSCFAGPDMVEAIINHLDCGRRKGIATAKMMAQKHFIHHVFGENDFENGNHYYRFLEHEPFIMGCFNFRSSTNDNEPKPASFMADRLSKLMFSIVEMDGYVSDDRLHVDYFRISKSEEFRRYINLTRDLQRINLQLFTPNERLAFFLNLYNAMVIHAVISIGHPEGILDNKAFFLDFQYVIGGYPHSLSIIENGILRNNRKSPYSLTKPFSKGDRRLHLVPMKVNPLIHFGLCKGARSSPKLRFFTAHNVEDELISAAKDYFQSDGIKIDRELRTVYLTRIIKWFSQDFGGQEKEILEWVLNYLEGRNAKLLKTMLGDGDPITIVYQDYDWSGNL >KJB78436 pep chromosome:Graimondii2_0_v6:13:49349902:49350832:-1 gene:B456_013G192300 transcript:KJB78436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFSIKSLLILPTILYLSCRLLPQSFAYLSMDPGDEGMAMAEAVLETERESLRACQLALEAKISERAVLLRRKQVMGAKEAAKQKVVADFMLFIEAIEKNDMETTNRFDEKAMKNTILTMMNDDTGGFGKKK >KJB82347 pep chromosome:Graimondii2_0_v6:13:49253629:49254881:1 gene:B456_013G191300 transcript:KJB82347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT2G39060) UniProtKB/TrEMBL;Acc:A0A178VR23] MGFFGPHHQLAFIFGVLGSIVSFMVFLSPVKTFYTIYKKRTAEGYQSIPYMVALSSSMMLLYYGMLKTNANLIVGISCFGCAIEIIYLILYIVYAPKRDKVFTVKWIILFNLGGYCLIMVATNLFRERSKRVTVMGWVCAVNSVAVSASPLGIMRRVIRTKSVEYMPFLLSFFLTLCSTMWFFYGLFLQDLYVAFPNVLGFLLGTAQMVIYVIYKNANKGVEKTEKMQKGDMEGSVGDINPS >KJB82075 pep chromosome:Graimondii2_0_v6:13:46376196:46377890:1 gene:B456_013G1751002 transcript:KJB82075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNGGLFSSFNFAHMELEYYACCLPPVFYKNTAHLHLDLCSYRNFCM >KJB82076 pep chromosome:Graimondii2_0_v6:13:46377278:46378095:1 gene:B456_013G1751002 transcript:KJB82076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIQMKFSILQR >KJB81350 pep chromosome:Graimondii2_0_v6:13:37836946:37837218:-1 gene:B456_013G140500 transcript:KJB81350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQPRWNKLFFSFSIFSPHLFETLFSQPDSPSHQASFVALPLATFVLPLFHVSRLKLLVPSFLHSFFFFFSLSLLNHVSRYYFKAISSS >KJB81351 pep chromosome:Graimondii2_0_v6:13:37833216:37837268:-1 gene:B456_013G140500 transcript:KJB81351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQPRWNKLFFSFSIFSPHLFETLFSQPDSPSHQASFVALPLATFVLPLFHVSRLKLLVPSFLHSFFFFFSLSLLNHVSRYYFKAISSS >KJB81349 pep chromosome:Graimondii2_0_v6:13:37836946:37837218:-1 gene:B456_013G140500 transcript:KJB81349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQPRWNKLFFSFSIFSPHLFETLFSQPDSPSHQASFVALPLATFVLPLFHVSRLKLLVPSFLHSFFFFFSLSLLNHVSRYYFKAISSS >KJB83235 pep chromosome:Graimondii2_0_v6:13:55458160:55462727:1 gene:B456_013G236700 transcript:KJB83235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFITLVAAVLCILCINVFADPVFPSHIAGTFGDGSREPKYKIEFHTEDSPYHPDDGQESVVMPNKDGNNFLCFLPKVGKAKKPVTHQNTSSMIVETEKRVKLKTPDELLEVLKNQCFIRQEGWWSYEFCYQKQLRQLHLEEDKVVQEFVLGVYDEEATAAFNQNLSDISTLKDPRSKDASQRYHAHQYTNGTACDLTNHPRETEVRFVCSEPRAMISSITELSTCKYALTIQCPMLCKHPLFQEERPVWHTINCNILPKDYKDTKVDDTHFTMIIDSDDPSTHSTK >KJB83234 pep chromosome:Graimondii2_0_v6:13:55458135:55462727:1 gene:B456_013G236700 transcript:KJB83234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFITLVAAVLCILCINVFADPVFPSHIAGTFGDGSREPKYKIEFHTEDSPYHPDDGQESVVMPNKDGNNFLCFLPKVGKAKKPVTHQNTSSMIVETEKRVKLKTPDELLEVLKNQCFIRQEGWWSYEFCYQKQLRQLHLEEDKVVQEFVLGVYDEEATAAFNQNLSDISTLKDPRSKDASQRYHAHQYTNGTACDLTNHPRETEVRFVCSEPRAMISSITELSTCKYALTIQCPMLCKHPLFQEERPVWHTINCNILPKDYKDTKVDDTHFTMIIDSDDPSTHSTK >KJB83236 pep chromosome:Graimondii2_0_v6:13:55459549:55462727:1 gene:B456_013G236700 transcript:KJB83236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKDGNNFLCFLPKVGKAKKPVTHQNTSSMIVETEKRVKLKTPDELLEVLKNQCFIRQEGWWSYEFCYQKQLRQLHLEEDKVVQEFVLGVYDEEATAAFNQNLSDISTLKDPRSKDASQRYHAHQYTNGTACDLTNHPRETEVRFVCSEPRAMISSITELSTCKYALTIQCPMLCKHPLFQEERPVWHTINCNILPKDYKDTKVDDTHFTMIIDSDDPSTHSTK >KJB81901 pep chromosome:Graimondii2_0_v6:13:45011552:45014936:1 gene:B456_013G166800 transcript:KJB81901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSDNLKEWFEGFPDLDRIELEESVFADIENSMEIGKEDKFDKTHVSELGFNGSESDFDGFKPIVHGSALVEGEPGRTVKVEEDKSKPESNLNLSIEESKAEGFDGFKPIVCGSEPVGGESGCTLKVEEESKPEGNLSLPIEEVIGNVSLVDGLESGSSSSESDSESSSSSSSSSSSSDDDNDEDEGEEDEEEENKEKVKVQAKNVDGADELEEGEIIGINEEVAVDGTDSDDDDDDDDEEDDEEDGTDEILSALDIELDEVDDEEEDAGALRGPIKSKNEVEVLPQVPPLDVELQPHHQMLPVGVVLSVISTKVIVEGREQHNPLNEGSILWITADRSPLGFVDEIFGPVKNPYYVVRYNSESEVPAGIHEGTSISFVPEFANHVLNEKNLHKKGYDASGENDEELSDDAEFSDDEKEAEYRRMQKMTKRAMNDQRVGNRKSNKKKNKSKNGCRKTDKNSSQQTSTGMGQLPPNQNQHNFTTVSASLVNHNCSSSVIGEQNFVGGSGFVPPFSVMPQSSGIITPSNGVWTNGVPVQHPQNAIFPNRFSAEGMSLLSQNYQQQPIPLPTPAMPTMMPYQQQQFDPSSNTFPNLVLPGGQSNLFAALASAPWMGIAGQNGTFGMGIQGQQFNSALQGISTNGPTMGGNCNLQPDGVQGNFESSQNFNMGASSSRGRKPYHRGRGRFTGGRGHQRS >KJB81902 pep chromosome:Graimondii2_0_v6:13:45011602:45014888:1 gene:B456_013G166800 transcript:KJB81902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSDNLKEWFEGFPDLDRIELEESVFADIENSMEIGKEDKFDKTHVSELGFNGSESDFDGFKPIVHGSALVEGEPGRTVKVEEDKSKPESNLNLSIEESKAEGFDGFKPIVCGSEPVGGESGCTLKVEEESKPEGNLSLPIEEVIGNVSLVDGLESGSSSSESDSESSSSSSSSSSSSDDDNDEDEGEEDEEEENKEKVKVQAKNVDGADELEEGEIIGINEEVAVDGTDSDDDDDDDDEEDDEEDGTDEILSALDIELDEVDDEEEDAGALRGPIKSKNEVEVISTKVIVEGREQHNPLNEGSILWITADRSPLGFVDEIFGPVKNPYYVVRYNSESEVPAGIHEGTSISFVPEFANHVLNEKNLHKKGYDASGENDEELSDDAEFSDDEKEAEYRRMQKMTKRAMNDQRVGNRKSNKKKNKSKNGCRKTDKNSSQQTSTGMGQLPPNQNQHNFTTVSASLVNHNCSSSVIGEQNFVGGSGFVPPFSVMPQSSGIITPSNGVWTNGVPVQHPQNAIFPNRFSAEGMSLLSQNYQQQPIPLPTPAMPTMMPYQQQQFDPSSNTFPNLVLPGGQSNLFAALASAPWMGIAGQNGTFGMGIQGQQFNSALQGISTNGPTMGGNCNLQPDGVQGNFESSQNFNMGASSSRGRKPYHRGRGRFTGGRGHQRS >KJB78935 pep chromosome:Graimondii2_0_v6:13:1950430:1952331:-1 gene:B456_013G026000 transcript:KJB78935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNTLMSCGIATAFPSVLSSSKSKFATATPLPSFGANVGHRVSMSADWMPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERYKESELIHCRWAMLAVPGILVPEALGYGNWVKAQEWAAVPGGQATYLGNPVPWGTLPTILVIEFLAIAFVEHQRSMEKDTEKKKYPGGAFDPLGYSKDPKKFEEYKVKEIKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIIIPRSISP >KJB78934 pep chromosome:Graimondii2_0_v6:13:1950430:1952155:-1 gene:B456_013G026000 transcript:KJB78934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNTLMSCGIATAFPSVLSSSKSKFATATPLPSFGANVGHRVSMSADWMPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERYKESELIHCRWAMLAVPGILVPEALGYGNWVKAQEWAAVPGGQATYLGNPVPWGTLPTILVIEFLAIAFVEHQRSMEKDTEKKKYPGGAFDPLGYSKDPKKFEEYKVKEIKNGKELKSLFIYIYI >KJB81132 pep chromosome:Graimondii2_0_v6:13:34203378:34204854:-1 gene:B456_013G130800 transcript:KJB81132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNITTTKAATVTAKAPKRAYVTFLAGNGDYVKGVVGLAKGLRKVNSKYPLVVALLPDVPEEHRKILVDQGCIIREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFDNIDHLFDMEDGYFYAVMDCFCEKTWSHTPQYKIGYCQQCPDRVQWPSQLGPKPPLYFNAGMFVYEPSLSTYEDLLTTLKVTPPTPFAEQDYLNMYFRDIYRPIPPIYNLVMAMLWRHPENIELDKAKVVHYCAAGSKPWRYTGKEDNMDREDIKTLVAKWWEIYDDESLDYNNVVASGQAAEADEDKQSGLQLLLAALSKAGGFVHRINAPSAA >KJB78768 pep chromosome:Graimondii2_0_v6:13:3726531:3731225:-1 gene:B456_013G043000 transcript:KJB78768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFLNLHMTKWVRNIMTRCIAITPSLIVSIIGGSQGPMILSFELLFALIPLLKFSSSSTKMGPYKNSVIVIVISWILGIGIIGINVYYLITSFVDWLVHNDVPKLGNVFISIIVLPLIAIYIIAVIYLTCRKDIVVTYVEPQTYEAADTQVV >KJB80999 pep chromosome:Graimondii2_0_v6:13:48308798:48312994:-1 gene:B456_013G184200 transcript:KJB80999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGGGGGGGGAESAGVGMVAMRSSSSPFTVSQWQELEHQALIFKYMMAGLPVPPDLVLPIQKSFESISQRFFHHPTMGYCSFYGKKVDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQTMAQSLSTVTSLTVSGSTTGGGGTGTASFQSLPLHAFGGTQVTALATGQSNYHVESIPYGIPRKDYR >KJB81001 pep chromosome:Graimondii2_0_v6:13:48308798:48313096:-1 gene:B456_013G184200 transcript:KJB81001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGGGGGGGGAESAGVGMVAMRSSSSPFTVSQWQELEHQALIFKYMMAGLPVPPDLVLPIQKSFESISQRFFHHPTMGYCSFYGKKVDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQTMAQSLSTVTSLTVSGSTTGGGGTGTASFQSLPLHAFGGTQVTALATGQSNYHVESIPYGIPRKDYRSQGIKPDAGGHSFISEALGSNRDTWPLMQSRVSSFPQSKSDGNPVLLNDYPQHSFFSDFNSGETVKPEGQSLRPFFDEWPKTRDTWSALEDDRSNQTSFSTTQLSISIPMASSDFSTTGSHSPHGEFQTP >KJB80998 pep chromosome:Graimondii2_0_v6:13:48311466:48312877:-1 gene:B456_013G184200 transcript:KJB80998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGGGGGGGGAESAGVGMVAMRSSSSPFTVSQWQELEHQALIFKYMMAGLPVPPDLVLPIQKSFESISQRFFHHPTMGYCSFYGKKVDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQTMAQSLSTVTSLTVSGSTTGGGGTGTASFQSLPLHAFGGTQVTALATGQSNYHVESIPYGIPRKDYR >KJB81000 pep chromosome:Graimondii2_0_v6:13:48308798:48312994:-1 gene:B456_013G184200 transcript:KJB81000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGGGGGGGGAESAGVGMVAMRSSSSPFTVSQWQELEHQALIFKYMMAGLPVPPDLVLPIQKSFESISQRFFHHPTMGYCSFYGKKVDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQTMAQSLSTVTSLTVSGSTTGGGGTGTASFQSLPLHAFGGTQVTALATGQSNYHVESIPYGIPRKDYRRERYERSNSLYCCCWNLVLKVSYYLLFLGKEIIGYPEFEVSRNKTRRGWA >KJB82593 pep chromosome:Graimondii2_0_v6:13:51442465:51445029:1 gene:B456_013G203500 transcript:KJB82593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEFLDRKVPANANLVDGVFSFDVLIDRGTSLLSRIYRPATAEEPQPNIAELEKPVTAEVVPVIIFFHGGSFAHSSANSAIYDTLCRRLVSLCKAVVVSVNYRRAPENRYPCAYDDGWTALKWVNSRPWLQSQKDSKVHIYLAGDSSGGNIAHHVALRAIESGIDVLGSILLNPMFGGQERTESEKRLDGKYFVTLRDRDWYWRAYLPEGEDRDHPACNPFGPNGRSLEGIKFPKSLVVVAGLDLIQDWQLAYVEGLKKAGQEVKLLYMEQATIGFYLLPNNHHFHTVMDEISKFVSSDC >KJB82592 pep chromosome:Graimondii2_0_v6:13:51442423:51445157:1 gene:B456_013G203500 transcript:KJB82592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNEVNLNECKMVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANANLVDGVFSFDVLIDRGTSLLSRIYRPATAEEPQPNIAELEKPVTAEVVPVIIFFHGGSFAHSSANSAIYDTLCRRLVSLCKAVVVSVNYRRAPENRYPCAYDDGWTALKWVNSRPWLQSQKDSKVHIYLAGDSSGGNIAHHVALRAIESGIDVLGSILLNPMFGGQERTESEKRLDGKYFVTLRDRDWYWRAYLPEGEDRDHPACNPFGPNGRSLEGIKFPKSLVVVAGLDLIQDWQLAYVEGLKKAGQEVKLLYMEQATIGFYLLPNNHHFHTVMDEISKFVSSDC >KJB82313 pep chromosome:Graimondii2_0_v6:13:48959682:48961680:1 gene:B456_013G188900 transcript:KJB82313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVVVPWLVLGVLMAISSTQVEAAARAFFVFGDSLVDNGNNNYLATTARADSPPYGIDTPSRHPTGRFSNGDALGSEPTLPYLSPELKGDKLLVGANFASAGIGILDDTGIQFMNIIRMFKQFLYFEEYQNKLADLVGKDEAQRIVSEALVLITVGGNDFVNNYFLVPFSARSRQFNLPDYVRYLISEYRKLLMRLYDLGARKVLVTGTGPLGCVPAELAMRSPSGQCATELQQAAALYNPQLVEMVNGLNSQLGANIFIAANTQQQTSDFISNPGAYGFTTSKIACCGQGPYNGLGLCNQLSNLCSNRNEYVFWDSFHPSERANGIIVDMILNGSTNYMNPMNLNAFLALDTKTKT >KJB82312 pep chromosome:Graimondii2_0_v6:13:48959662:48961703:1 gene:B456_013G188900 transcript:KJB82312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVVVPWLVLGVLMAISSTQVEAAARAFFVFGDSLVDNGNNNYLATTARADSPPYGIDTPSRHPTGRFSNGKNIPDFISDALGSEPTLPYLSPELKGDKLLVGANFASAGIGILDDTGIQFMNIIRMFKQFLYFEEYQNKLADLVGKDEAQRIVSEALVLITVGGNDFVNNYFLVPFSARSRQFNLPDYVRYLISEYRKLLMRLYDLGARKVLVTGTGPLGCVPAELAMRSPSGQCATELQQAAALYNPQLVEMVNGLNSQLGANIFIAANTQQQTSDFISNPGAYGFTTSKIACCGQGPYNGLGLCNQLSNLCSNRNEYVFWDSFHPSERANGIIVDMILNGSTNYMNPMNLNAFLALDTKTKT >KJB83509 pep chromosome:Graimondii2_0_v6:13:56869457:56869750:-1 gene:B456_013G2510001 transcript:KJB83509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIWPRLAYALALCLLINNVAAHYDEPYNTPPPYYRKKPETPLPHYTYKSPPLPSPPPPPYTYNSPPPPSYVYKSPPPPPYAYKSPPPPSPSPPPPY >KJB79238 pep chromosome:Graimondii2_0_v6:13:3104092:3110246:1 gene:B456_013G038900 transcript:KJB79238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVFSTVRSTLSLFVVVFIFFSPVFASESDHKYQPDDPVTLWVNKVGPYNNPQETYNYYSLPFCHPGTNPAHKWGGLGEVLGGNELIDSQIDIKFQKNVEKSTICQLELDEAKVREFKDAIENSYWFEFFMDDLPLWGFVGELHPDRNSDNSKHVLYTHKNIIIKFNKDQIIHVNLTQESPKPLEAGRIFDLTYSIKWIPTNVTFARRFDVYLDYPFFEHQIHWFSVFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPYNLVLLSAVVGTGAQLALLVLLVILLAIVGTLYVGRGAIVTTFILCYAFTSFISGYVSGGMYSRNGGKSWIKSMILTACLFPFMCFGIGFILNTVAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFIFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSVYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >KJB79239 pep chromosome:Graimondii2_0_v6:13:3104109:3110113:1 gene:B456_013G038900 transcript:KJB79239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPLWGFVGELHPDRNSDNSKHVLYTHKNIIIKFNKDQIIHVNLTQESPKPLEAGRIFDLTYSIKWIPTNVTFARRFDVYLDYPFFEHQIHWFSVFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPYNLVLLSAVVGTGAQLALLVLLVILLAIVGTLYVGRGAIVTTFILCYAFTSFISGYVSGGMYSRNGGKSWIKSMILTACLFPFMCFGIGFILNTVAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFIFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSVYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >KJB79240 pep chromosome:Graimondii2_0_v6:13:3105090:3110113:1 gene:B456_013G038900 transcript:KJB79240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDFCNFFMLRFSTSKVLCKFYQFSSWYSLQYQPDDPVTLWVNKVGPYNNPQETYNYYSLPFCHPGTNPAHKWGGLGEVLGGNELIDSQIDIKFQKNVEKSTICQLELDEAKVREFKDAIENSYWFEFFMDDLPLWGFVGELHPDRNSDNSKHVLYTHKNIIIKFNKDQIIHVNLTQESPKPLEAGRIFDLTYSIKWIPTNVTFARRFDVYLDYPFFEHQIHWFSVFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPYNLVLLSAVVGTGAQLALLVLLVILLAIVGTLYVGRGAIVTTFILCYAFTSFISGYVSGGMYSRNGGKSWIKSMILTACLFPFMCFGIGFILNTVAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFIFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSVYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >KJB79277 pep chromosome:Graimondii2_0_v6:13:3458916:3461355:1 gene:B456_013G041300 transcript:KJB79277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLIGEHKKMETMGKLLILLTAFLCLYLFVALLNVFYKYWWIPQRVQFIMNSQGIRGPPYEFIHGNNKEAAQMSMEASTKPMALTHDIFPRVVPHVYSWINKYGKTYLSWNGIRGQLLISDPDLVKEVLKNSDKAFRKPKISYFFDKLIGDGLASTEREKWARQRKLANYAFHGESLENMTPAVVASVETMLEKWKSKEGKEIEVFQEFRLLTSEVISRTAFGSSYLEGEKIFDMLMKLTVIAGRNILKAKIPIISKFWKSADEIESERIAKMIHDSVMRIVKKREERVVNGEADNFGRDFLGLLVNAYHEADQKNRLSIQDMVDECKTFYFAGQETVNSLLAWATLLLAIHTDWQDKARAEVIEVFGNQKPDSEGMAKLKTMTMIINETLRLYPPLNRVIREVGREVQLGKLVLPTHLEVDMRIIALHHDPDLWGDDVNLFKPERFAEGIAKATKYNAAAFMPFGLGSRSCVGMSFAITEAKTALSMILQRYTVTVSPTYVHAPVPSLTLKPQHGMQLLFHSLHYDA >KJB79706 pep chromosome:Graimondii2_0_v6:13:6967858:6976847:-1 gene:B456_013G063100 transcript:KJB79706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERLIAVCLWLTLVLYLILRVAGNAEGDVLKALKNNTADPNNVLESWDATDYNPCVWDHVTCNHRNSVTRVDLQNANLSGQLVPQLGQLPNLQYLELCGNNISGIIPEELGNLTNLVSLDLCLNNLTGGIPTTLGKLTKLRFLRLNSNSLTGTIPLSLTTVMTLQVLNLSNNQLVGDIPVNGSFTLFDSSSFSNNKLNNPPPASPTSSGNSVIGAIFGGVFAGALLLFSVPAIIFARRHCRKRQDLLFEDPEYHLGQLKRFSLHELQAATDYFSNKHVVGSGGSGRVYKGHLVDGSLVAIKRLKQGCTHGGMLQFQTEVEMVSMAVHRNLLCLRGFCMTTTERLLVYPFMVNGSVRSCLRERPEYQAPLDWGVRKRIALGAARGIAYLHDHCNPKIIHRDLKAADILLDEEFEAVVGDFGLAKLIDYKNTHVTTAVRGTMGHIAPEYLSSGRASEKTDVFGYGIMLLELITGQKAVDLARLANDDVMLLDWVEGLLKDKKLETLVDSDLQGNYIKEEVEQLIQVALLCTQSTPVGRPKMAEVVRMLDGDGLAERWEDWQKMKMFHQEFSNTRHPNVNWIITNSTSRILPDELSGPR >KJB79221 pep chromosome:Graimondii2_0_v6:13:4660523:4667438:1 gene:B456_013G050800 transcript:KJB79221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQSHVHLVLRLLQCFATLLSQGREIFVFCWPFLFPSFLSLNWLLLINCFIPLFSQGSAELDFLATIIPGQGREIFVFCWPFLFPSFLSLNWLLLINCFIPLFSQGSAELDFLATIIPVHNIDNQKEKSAGAFRESNSGPLAPKARIIPLDQMPNV >KJB79348 pep chromosome:Graimondii2_0_v6:13:3950346:3953528:-1 gene:B456_013G045100 transcript:KJB79348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATPGSFFLCENHPFPPPSNNNSGGGGANGSEDNNQHEKQPLQDSTNNKRGGESDHEMHIWTERERRKKMRNMFSNLHALLPHLSPKADKSTIVDEAVKHIQTLEKTLQKLQKQKLDRLQEGPNPIDLGHQDTSREAFMADQVLSGNDAAAKDLIIKSNSVTVAQPRLQFQTWTSSNVVLNICGKEAQISVCSPKKPGLFTSVCCILEKHYLEVISAHVSSQSNRSIFMIQAHVGSSGAYNHQVSEVDEIFKQAAAEIMFCLTS >KJB79349 pep chromosome:Graimondii2_0_v6:13:3950619:3953299:-1 gene:B456_013G045100 transcript:KJB79349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATPGSFFLCENHPFPPPSNNNSGGGGANGSEDNNQHEKQPLQDSTNNKRGGESDHEMHIWTERERRKKMRNMFSNLHALLPHLSPKADKSTIVDEAVKHIQTLEKTLQKLQKQKLDRLQEGPNPIDLGHQDTSREAFMADQVLSGNDAAAKDLIIKSNSVTVAQPRLQFQTWTSSNVVLNICGKEAQISVCSPKKPGLFTSVCCILEKHYLEVISAHVSSQSNRSIFMIQAHQVGSSGAYNHQVSEVDEIFKQAAAEIMFCLTS >KJB81712 pep chromosome:Graimondii2_0_v6:13:43333359:43334007:1 gene:B456_013G157700 transcript:KJB81712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRISHPIVREFGEFEGGREVVGQNRGFVSLETLDFLQQLQFKGCYLLLKESYFVTGPLIRMVGELNFFHIIIIVIVLLILANSGLSRTFQMERRGSNRRWLSCCS >KJB81903 pep chromosome:Graimondii2_0_v6:13:45015885:45017347:-1 gene:B456_013G166900 transcript:KJB81903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKLAMFPYYFPEEIFLEIFCKLPVKSLGKCMCVSKAWNSLIKDPYFISSHVSHQSKLRRTKDNNLFFVMTGRHEAEYSLHSDDQEFRKYAQLEYMPFDNHHSIVGACNGLLCLMDFQFSFDSIFILCNPIIRKSITLPKPCLSSLPYKISVGFGFDSAQNDYKLLKITKKGVLDKYVEVELYSLKRNCWKILAPPKYDLYSDDFMVYVNETVHWIAYERVNNEGSYSCKLLILGFDMRDDVFKEIMLPERLRNLPHQSEIYVIPYDELSSIAVIELGSLHAECDIWVMKKYGVVETWTKMYSLGKLGTEPMPRVLGFRKNGDLILRTYNNLRLVSRDPESHEIDYFGIQGRRTYVCNYTESLVLLDRVIDGAMTENRANYAGNANR >KJB83702 pep chromosome:Graimondii2_0_v6:13:57559841:57563257:1 gene:B456_013G261300 transcript:KJB83702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICAEHGIDPTGRYNGDLDLQLERINVYYNESSCGRFVPRAVLMDLEPGTMDSIRSGPVGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYQALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATVDEEEEYEEEGAEYE >KJB83954 pep chromosome:Graimondii2_0_v6:13:44262572:44263190:1 gene:B456_013G162800 transcript:KJB83954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISPINDFLPCILSFFFFYLQNSWWVNSIHCFFWVSEHGFSNYSTRILHLHHLLCSHTLYWRSLRCLWLTGEDLEGNPTATCSLSRILQTDLDTSMHDHHPKQGLIDLQGLLLLW >KJB78853 pep chromosome:Graimondii2_0_v6:13:1688176:1691826:1 gene:B456_013G023100 transcript:KJB78853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIATDIKQRLTESDNKAEPTGLKINKQDSAAASQAAQKSGCCG >KJB78854 pep chromosome:Graimondii2_0_v6:13:1688200:1691772:1 gene:B456_013G023100 transcript:KJB78854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRVKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIATDIKQRLTESDNKAEPTGLKINKQDSAAASQAAQKSGCCG >KJB78679 pep chromosome:Graimondii2_0_v6:13:809877:813037:-1 gene:B456_013G011900 transcript:KJB78679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAIVAEPKPSAEPPFPATRSDLGQYPMVDPTGAEEDDLYCRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEVSSGILSKLVLILCAFSFGRNS >KJB83686 pep chromosome:Graimondii2_0_v6:13:57387374:57388490:-1 gene:B456_013G258400 transcript:KJB83686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHRCQAPKLCANNCGLIGSPATQNLCSKCHRDLQLKQHRSSSAKHAVNQTSIPSLSSFPSVSSSSSADKDAGSVAETKAAEVVEVEVRPKRCLSCNKRVGLTGFKCRCGMVFCGIHRYPEEHGCKFDFKAMGKQQIAQANPVVKAIKLHKI >KJB82123 pep chromosome:Graimondii2_0_v6:13:47037500:47039519:1 gene:B456_013G177600 transcript:KJB82123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGELVLLDFWPSPFGMRSRIALAEKGIPYEYREEDLRNKSDLLLQMNPVHKKIPVLIHNGKPVCESVIQVQYIDEVWHDKAPLIPSDPYQRAVARFWVDFIDKKMYELGSKIWKTKGEEQANAKKEFIECLKLLEGELGDKTYFGGESLGYVDVALVPFYSWFYAYEKCGDFSIEAECPKMIAWVKRCMQKESVAKSLPDQEKVYEFILQLKKVFGIE >KJB82867 pep chromosome:Graimondii2_0_v6:13:53827138:53829844:1 gene:B456_013G218300 transcript:KJB82867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLQEKASQWSGVDTADAFAIDDTNLFQKLGLQTFINLSTNFYTRVYDDEEEEWFRSIFSNSKKEEAIQNQYEFFVQRMGGPPLYSQRKGHPALIARHRPFPVTHQAAERWLYHMEKALESTPDIDADSRVKMMNFLRHTAFFLVAGDELKTQKQQIPCKHGASKPAES >KJB82216 pep chromosome:Graimondii2_0_v6:13:48322839:48323832:1 gene:B456_013G184300 transcript:KJB82216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDKRLTEIFCDICIKEILKGNRSGTYFTKDGWLKIMTNIEKETGKGFSQRQLKNRWDALKKEWKAWKKRKGEDIGLGWNPIKRTVDASDEWWESRLQVVPEVNIFRTSSIDPEFEGKLDQMFMGIVATENEEVNMRNDAHILNDVHISNDVQIDGNGQKRKNPEMLSSHFKTGRKKSSKQIGGAARLSSQIEKLCNAADNMIQATSSLTPVMDPYGIPQAVKMLDSMSEEVPEASLLYFFALRLLLNKDKRIMFLSINPKIRALWLKTEMEDS >KJB83797 pep chromosome:Graimondii2_0_v6:13:57798285:57801522:1 gene:B456_013G265100 transcript:KJB83797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIAKDIKQRLADSDTKAEVFKLLLCL >KJB83795 pep chromosome:Graimondii2_0_v6:13:57798242:57801576:1 gene:B456_013G265100 transcript:KJB83795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIAKDIKQRLADSDTKAEPSTIKINQPDAAGGAGQAAQKSGCCG >KJB83796 pep chromosome:Graimondii2_0_v6:13:57798285:57801522:1 gene:B456_013G265100 transcript:KJB83796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIAKDIKQRLADSDTKAEPSTIKINQPDAAGGAGQAAQKSGCCG >KJB79755 pep chromosome:Graimondii2_0_v6:13:7384729:7388428:1 gene:B456_013G065300 transcript:KJB79755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 5 [Source:Projected from Arabidopsis thaliana (AT4G38180) UniProtKB/Swiss-Prot;Acc:Q9SZL8] MDPEILEFDIGLGGGGSSGRDGDDDANIGLDVDEDMADSPAPSSILASNSNGGGFGTGGGEIYLPEGDLLDLEPYEGMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRTITRVGCKASLSVKVQDSGKWVVSGFVREHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQRSLEGDIQLLLDYLRQMQAENPNFFFAVQGDEDQALTSNVFWADPKSRMNYTYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPILFGCAFLINESEASFVWLFKTWLMAMSGRPPVSITTDHDAVIRSAITQVFPETRHRFCKWHIFKKCQEKLSHVFLKHPTFEADFHKCVNLPESIEEFESCWLSLVDRYELRDHEWLQMMYNDRRHWIPVYLRDTFFAEMSITQRSDSMNSYFDGFVNASTNLNQFFKLYEKALESRNEKEVKADYDTMNTSPALKTPSPMEKQASELYTRKLFTRFQEELVGTLTFMASKSDDDDDVITYQVAKFGEDHKSYYVKFNVLEMKAACSCQMFEFSGLLCRHVLAVFRVTNVLTLPSHYILKRWTKNARSSVILEERSSDVYTNYLESHTVRYNTLRHETFKLVDEGSKSLDTCNVAIDALQQAAKRVALATKNEGRATLVNGRIRGDSARDASRANTTNHKMPCQPLSEDDMDKKIRELTNELDYASRKCEVYRSNLLSVLKDIEDHKLQLSIKVQNIKISMKDGL >KJB81815 pep chromosome:Graimondii2_0_v6:13:44312701:44314052:-1 gene:B456_013G162900 transcript:KJB81815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLYIFPNQVSLECFRVDDEVCDVDNLRLYGWICATLWRGVKEIEIYFEKSPMLPTQLFTSHSLVTLKLRFWGEINVPTKVCLPNLRTLHLKVFTPSNDSVFRLVSGCLALEDLLMVPGGSSLGKTAVNIHSPSLKRLVLDFHVLLTKFPNDIDYVVKINAPNLECFEYNDSVGDFFTLSSMKLLEEADILISRYQEDERNATRLLQAICNVQSLFLSIIEAETVFRSRLDPVLIFPSLVFLDFCNEGDDWQGTWLVEFLHCLPHLKKLVLTFATPRRGLKSLPKTVPSCLLFDLEEIEILRFEEDEHMFELVGFFLSHALVLKNLVINFAGKVGEEYQWRLSVKERLLSLSMGSKTCEIVFCH >KJB83104 pep chromosome:Graimondii2_0_v6:13:54839906:54847565:-1 gene:B456_013G229700 transcript:KJB83104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPETSTAAVPTLYTNNTLRNAFGGVLSFFILLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKKGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGAGAGLTAAVLLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYLKTLNTGSLFYATLNSLAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIIIHVVAFVYYIKGILSPKMFKVAVTLVVTVGLAVCCAVIAVLIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFIVLYIATSVYFSGVMVRLMLVLAPAACITSGIALSEAFDVLTGSIKFQLPGVTSNAEVDAGETSSDTSEAQTDEVKAEKPDDTSKNRPSKKSKKKERELAENPPAKATAKAKAKAEKKRLLALPLEASVISLLLLVVLGAFYVVHSVWAAAEAYSAPSIVLTTRSHDGLHVFDDFREAYAWLSHNTDVNDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPERAAWEIFHSLDVKYVLVVFGGLIGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNCLMYKLSYYRFVETDGKAYDRVRRTEIGKKYFKLTHFEEVFTTHHWMVRIYKLKPLKNRIRGKAKKSKSKTSSTSSSKRSGTKKKNPWL >KJB82892 pep chromosome:Graimondii2_0_v6:13:53897756:53898456:-1 gene:B456_013G219300 transcript:KJB82892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETVPKWEGKSTAELKTTTPEQIWPFLAEFCNIDKFFPSVDTCYRKEGTPGQPGLVRYCESSTSWAIEKLLTIDPINHSLSYEIVENNIGFKGYVATLNVLAVEGDGCKIEWSFISDPIEGMKLEDFVSYLDNTLLFMAKKLEDAVRAQI >KJB82340 pep chromosome:Graimondii2_0_v6:13:49155766:49156602:-1 gene:B456_013G190600 transcript:KJB82340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQIIVAIFILALASSLTFASDPSPLQDFCVAINDPKGSLFVNGKFCKDAKLAKADDFYFSGLHIRKNTSNTFGSTVTPVNVAQMPGLHTLGISMVRIDYAPNGGLNPPHTHPRASEILVVLEGTLHVGFVTSNPDNRLISKVLYPGDVFVFPVGLIHFQYNIGNTYAVAFAGLSSENPGVITIANAVFGSNPSINADILAKAFNLDRKMVKNLQSEF >KJB79812 pep chromosome:Graimondii2_0_v6:13:7788455:7789968:1 gene:B456_013G067800 transcript:KJB79812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQILEQVIGVPMKSTLYRVERTPRRYLPDSAGQYRIPSSVEVSNTFRKGKRNFVLKRINNIGKKADTFAHGVREHVRVGPKISETVKGKLSLGARILQVGGVEKIFKQLFSVREGEKLLKACQCHLSTTAGPIAGLLFISSQKVSFCSDRSIKIPSPNGEMLRVHYKVLIPHEKIKGVNESENMKKPSQKYMEIVTVDDFEFWFMGFFNYQKAFKYLQQAISYM >KJB79598 pep chromosome:Graimondii2_0_v6:13:5615216:5616040:-1 gene:B456_013G056600 transcript:KJB79598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNSFALAFLMTLSFASIDVGVTARHLQQLPQTPSLFKKTFPPLPSFPKPSFPEPSIPSFPKLGALPPLPTIFPSGPKATLPPLPSIPSVPRIPTAIPSIANLFSPSFPSFPNLPKPGALPPLPTIFPSGPKATLPPLPSIPSIPTFPTAIPSIPFSFPPLPSFPNLPNPGALPPLPTIFPSGPRATLPPLPSIPVVPTIPTAIPSIPFSSPPLPSFPNLPNPGALPPLSTIFPSGPRATLPPLPSIPSVPIIPTVIPSIPFFSPPRSRSTP >KJB78737 pep chromosome:Graimondii2_0_v6:13:1071911:1074881:1 gene:B456_013G015400 transcript:KJB78737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESAKYVSSDPTYLSCEKKDCRKYNKNGKCEIRTCSASVIFHVINIRTDIEFVFFAGGFHTPCVLKTTLPFKFSNPNAPLYGHLSSIDSSATSMRLTWISGDKKPQQVIYGNGKSQISQVTTFSQDDMCGSTFIPSPAQDFGWHDPGYVHTAIITGLQPSTIFNYKYGSDAVGWSDEIEFRTPPTGGSDELKFLVFGDMGKAPLDAAIEHYIQPGSLSVVKAMVEEMRNGSVDSIFHIGDISYATGFLVEWEYFLHLITPLASKVSYMTAIGNHERDYAGSGSRYVTPDSGGECGVPYETYFPMPTAAKDKPWYAIEQASVHFTVISTEHDWTQNSEQYKWMIKDMESVDRSKTPWLIFAGHRPMYSSYNGLITVDATFRKVVEPVLLANKVDLVLFGHVHNYERTCSIFKSECWAMPRKDENGTDTYDNGNYKAPVHAIVGMAGYSLEKFPSF >KJB82468 pep chromosome:Graimondii2_0_v6:13:50295689:50296651:1 gene:B456_013G197300 transcript:KJB82468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEFLEKPPHILAKELFNEWHFKPLDSQKPQQYYENILVQTGSVLFKHYTDPKYSKFYYSFNSPNLKNSSTKELNSRLCKSATLDRTTAKFLQAKSTASAILAQAKTKKEYKKLMAEMFSSMDFESEDEKSSMSSIKTVDLADDTTSVTITRIKKKMM >KJB80785 pep chromosome:Graimondii2_0_v6:13:28515906:28516833:1 gene:B456_013G115000 transcript:KJB80785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQSQQQIQLKLQPKSSNPSVSKGSKFKGRNNNKVNKFVGVRQRPSGRWVAEIKNTTHKIRMWLGTFETAEEAARAYDEAACLLHGSNTRTNFITQAPSDSPLAYRIRNLLNSKKGGEQQHHQSVVASAAPSTSATISFSPMPSPSTSSSGCSSNGGLSNGNLSVSGTVQDTQLFDDAYRPDMRSWRRESGFDTDFTYTTSELGFDRFLYTQETTGLPEETGSELTEFQSMKVERQISASLHAMNGVEEYMETVHDSSENLWDLPPLCSLLY >KJB83130 pep chromosome:Graimondii2_0_v6:13:54941503:54943719:1 gene:B456_013G230900 transcript:KJB83130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKNGCNEAARLLLVHGAFIEAKANNGMTPLHLAVWHTIRSDDYATVKTLLEYNADCSAEDNEGMTPIKHLSKGPGSEKLSELFHWHLEEQRKRRALEACGETKAKMSELENELLNIVGLNELKVQLRKWAKGMLLDERRRALGLKVGARRPPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQEAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSVMDSGKIVVIFAGYSEPMKRVIASNEGFCRRVTKFFHFSDFNSEELAKILHIKMNHQTEESLLYGFKLHSSCSVDAIARLIEKETTEKQRKEMNGGLVDPMLVNARENLDLRLDFNCINADELRTITLEDLEAGIQLLTP >KJB83129 pep chromosome:Graimondii2_0_v6:13:54940306:54943764:1 gene:B456_013G230900 transcript:KJB83129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRHQDQLSRPSKPTTIHGFAQYGDLDALRKLLNDNPSLLNERNPVMAQTPLHVSAGYDRVDIVKFLLDWQGPEKIEMEAKNMYGETPLHMAAKNGCNEAARLLLVHGAFIEAKANNGMTPLHLAVWHTIRSDDYATVKTLLEYNADCSAEDNEGMTPIKHLSKGPGSEKLSELFHWHLEEQRKRRALEACGETKAKMSELENELLNIVGLNELKVQLRKWAKGMLLDERRRALGLKVGARRPPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQEAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSVMDSGKIVVIFAGYSEPMKRVIASNEGFCRRVTKFFHFSDFNSEELAKILHIKMNHQTEESLLYGFKLHSSCSVDAIARLIEKETTEKQRKEMNGGLVDPMLVNARENLDLRLDFNCINADELRTITLEDLEAGIQLLTP >KJB83131 pep chromosome:Graimondii2_0_v6:13:54940404:54943719:1 gene:B456_013G230900 transcript:KJB83131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKNGCNEAARLLLVHGAFIEAKANNGMTPLHLAVWHTIRSDDYATVKTLLEYNADCSAEDNEGMTPIKHLSKGPGSEKLSELFHWHLEEQRKRRALEACGETKAKMSELENELLNIVGLNELKVQLRKWAKGMLLDERRRALGLKVGARRPPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQEAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSVMDSGKIVVIFAGYSEPMKRVIASNEGFCRRVTKFFHFSDFNSEELAKILHIKMNHQTEESLLYGFKLHSSCSVDAIARLIEKETTEKQRKEMNGGLVDPMLVNARENLDLRLDFNCINADELRTITLEDLEAGIQLLTP >KJB80382 pep chromosome:Graimondii2_0_v6:13:15509475:15511160:-1 gene:B456_013G094600 transcript:KJB80382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSKMGSEASENRSKEMENLSLQTENSPRNLLQRFMSRETLDSKRSEGEDDEDEEEVELNLGLSLGGRFGVDKHASKLKRSSSIAGSIPIFREDDSANAPPPSVPHPTTLIRASSLPTVTEEEWRKRKELQTLRRMEAKRRRSEKQRISRGKMEESKGLQGARNSGEARSSGSASSLQEQGDSEAATGSSGTKTSRSERTLGLVGETVENKEKGGGKAMEDMPHVFTKGEGPNGKRVDGILYKYGKGEEVRIMCVCHGNFFSPAEFVKHAGGGDVDNPLRHIVINPSSSSLL >KJB83794 pep chromosome:Graimondii2_0_v6:13:57793431:57797387:1 gene:B456_013G265000 transcript:KJB83794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAHFVGRNSPIYEKFKRPSRDVLEPLVGRMQIHGYGTTVNVGSLQGRSYAKVYKYVGQYKLWWSASLFSTPCIHNSYGIFFGEEITGPFGAKPLSCRQFCFQQQLAFEKMVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KJB81794 pep chromosome:Graimondii2_0_v6:13:43919910:43920712:-1 gene:B456_013G161600 transcript:KJB81794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEPGKAFSQKQLKNRWDTLKKECKAWKKLKGEDTSLGWNPIKRTINASNDWWESRLKVVPEAQKFRTSGIDPEFEGKLDQMFIGIVATGDKAWTPSSGTLHSDFFENVINKIPKENEEENVRNDVHILNDVHISNDVQIDGNGQKRKNPEISSSHFKTERKESSKQIGGATRLSTQIEKLCNATDNMSQATSSLTPVMDPYGIPQAVQVLDSMSEEVPEASPLYFFALKLLFNKDK >KJB82302 pep chromosome:Graimondii2_0_v6:13:48839894:48843452:1 gene:B456_013G187800 transcript:KJB82302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTVTLHNYSVEICRSHCLQRGLKQPGTGCSSLKCSIVNIPTSNLTCSVNFSRTIHSCLPWKVNNGSEREVVSLVCQGLQRSVCIDTDEFDQDECWGSKDQNVSVKGKFKEQINLKKVPNSLMAALDGRFVRNDEETNNDILQQFCSNGKLNDASRLIEIMARQNQIPHFPSCINLIRGFVKVGELDKAGRVLQIMIMSGGIPDNITYNMMVRSLCKRGRMRSAIDLLEDMSLSGCPPDVITYNTIIRSMFDHGIFDQAVGFWKDQLRKGCPPYLITYTILIELVCRHCGIARAMEVLHDMAIEGCYPDIVTYNSLVNLTCKQGKHEDAALVVYSIISHGMEPNAVTYNTLIHSLCNHGYWNEVDEILAIMKETLHPPTVVTYNILINGLCKYGLLDRAIKFFDQMVSQNCSPDIVTYNTLLGALSKEGMAEEALQLLQFLSGSSCSPGLITYNTVIDGFTRTGDMKKAMELYNRMSEEGISPDDITHRCLVWGFCRSNQVERAVGILKVMVEQKHRVGTSGYNVVIHGLCKDGKVDLAIQVLEMMVSSRSKPDDTIYSTVIKGIADAGMKEEANKLHQKLREWNVSGEGKQLS >KJB82268 pep chromosome:Graimondii2_0_v6:13:48553274:48554928:-1 gene:B456_013G185900 transcript:KJB82268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELTDVDMDGKPNGVVNSNGNLTVSEGSESNNYEVKECTAKNSVVDNGHEKQEALGVKSTNFGTDIPEDQKCMDNDELSATASKPIGAGQAHHATSKTGANGTANVNSALSPATTKGSEPNSPITPLILRKPLQPYDRKHPDDEDNWSLTSSAASVRTARSRVTIGSAPTFRSAERAEKRREFYQKLEEKHRALEAERSQFEARTKEEQEAALKQLRKNMVVKANPVPDFYYEGPPRKVELKKLPLTRPKSPNFTRRKSCGDAVRSSQDEKAKACCQTHRRSLGNHTERSTTANEFKSKGRVSGQTSNGAGKAKERAKQVKEATKAAPTKIIEPSNANITVQS >KJB82267 pep chromosome:Graimondii2_0_v6:13:48553024:48556235:-1 gene:B456_013G185900 transcript:KJB82267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELTDVDMDGKPNGVVNSNGNLTVSEGSESNNYEVKECTAKNSVVDNGHEKQEALGVKSTNFGTDIPEDQKCMDNDELSATASKPIGAGQAHHATSKTGANGTANVNSALSPATTKGSEPNSPITPLILRKPLQPYDRKHPDDEDNWSLTSSAASVRTARSRVTIGSAPTFRSAERAEKRREFYQKLEEKHRALEAERSQFEARTKEEQEAALKQLRKNMVVKANPVPDFYYEGPPRKVELKKLPLTRPKSPNFTRRKSCGDAVRSSQDEKAKACCNHTERSTTANEFKSKGRVSGQTSNGAGKAKERAKQVKEATKAAPTKIIEPSNANITVQS >KJB82266 pep chromosome:Graimondii2_0_v6:13:48552993:48556277:-1 gene:B456_013G185900 transcript:KJB82266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRELTDVDMDGKPNGVVNSNGNLTVSEGSESNNYEVKECTAKNSVVDNGHEKQEALGVKSTNFGTDIPEDQKCMDNDELSATASKPIGAGQAHHATSKTGANGTANVNSALSPATTKGSEPNSPITPLILRKPLQPYDRKHPDDEDNWSLTSSAASVRTARSRVTIGSAPTFRSAERAEKRREFYQKLEEKHRALEAERSQFEARTKEEQEAALKQLRKNMVVKANPVPDFYYEGPPRKVELKKLPLTRPKSPNFTRRKSCGDAVRSSQDEKAKACCQTHRRSLGNHTERSTTANEFKSKGRVSGQTSNGAGKAKERAKQVKEATKAAPTKIIEPSNANITVQS >KJB80001 pep chromosome:Graimondii2_0_v6:13:9698913:9702980:1 gene:B456_013G076800 transcript:KJB80001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSVKTLKGTHFDIEVKPEDAVADVKKNIETVQGTDVYPASQQMLIYKGKVLKDDTTLAENSVTENSFIVIMLTKNKGTTGEGSAASTAPTKKDPEASNLPTAPAPASTAPVATSAMAAAAAESAPVASSTPLSDSDVYGQAASNLVAGSNLEGTIQQILDMGGGTWDRDTVVRALRAAYNNPERAVEYLYSGIPEQAEAPPVARAPVVGQTTNPAAQPQQPAQTAAVPTSGPNANPLDLFPQGLPNMGASGAGAGTLDFLRNSPQFQALRAMVQANPQILQPMLQELGKQNPNLMRLIQEHQGDFLRLINEPAEGGEG >KJB80000 pep chromosome:Graimondii2_0_v6:13:9698811:9704065:1 gene:B456_013G076800 transcript:KJB80000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSVKTLKGTHFDIEVKPEDAVADVKKNIETVQGTDVYPASQQMLIYKGKVLKDDTTLAENSVTENSFIVIMLTKGTTGEGSAASTAPTKKDPEASNLPTAPAPASTAPVATSAMAAAAAESAPVASSTPLSDSDVYGQAASNLVAGSNLEGTIQQILDMGGGTWDRDTVVRALRAAYNNPERAVEYLYSGIPEQAEAPPVARAPVVGQTTNPAAQPQQPAQTAAVPTSGPNANPLDLFPQGLPNMGASGAGAGTLDFLRNSPQFQALRAMVQANPQILQPMLQELGKQNPNLMRLIQEHQGDFLRLINEPAEGGEGNILGQLAEAMPQAVQVTPEEREAIERLEAMGFDRATVLQVFFACNKNEELAANYLLDHMHDFQD >KJB79998 pep chromosome:Graimondii2_0_v6:13:9698795:9704065:1 gene:B456_013G076800 transcript:KJB79998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSVKTLKGTHFDIEVKPEDAVADVKKNIETVQGTDVYPASQQMLIYKGKVLKDDTTLAENSVTENSFIVIMLTKNKGTTGEGSAASTAPTKKDPEASNLPTAPAPASTAPVATSAMAAAAAESAPVASSTPLSDSDVYGQAASNLVAGSNLEGTIQQILDMGGGTWDRDTVVRALRAAYNNPERAVEYLYSGIPEQAEAPPVARAPVVGQTTNPAAQPQQPAQTAAVPTSGPNANPLDLFPQGLPNMGASGAGAGTLDFLRNSPQFQALRAMVQANPQILQPMLQELGKQNPNLMRLIQEHQGDFLRLINEPAEGGEGNILGQLAEAMPQAVQVTPEEREAIERLEAMGFDRATVLQVFFACNKNEELAANYLLDHMHDFQD >KJB79999 pep chromosome:Graimondii2_0_v6:13:9698811:9704065:1 gene:B456_013G076800 transcript:KJB79999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSVKTLKGTHFDIEVKPEDAVADVKKNIETVQGTDVYPASQQMLIYKGKVLKDDTTLAENSVTENSFIVIMLTKNKGTTGEGSAASTAPTKKDPEASNLPTAPAPASTAPVATSAMAAAAAESAPVASSTPLSDSDVYGQAASNLVAGSNLEGTIQQILDMGGGTWDRDTVVRALRAAYNNPERAVEYLYSGIPEQAEAPPVARAPVVGQTTNPAAQPQQPAQTAAVPTSGPNANPLDLFPQGLPNMGASGAGAGTLDFLRNSPQFQALRAMVQANPQILQPMLQELGKQNPNLMRLIQEHQGDFLRLINEPAEGGEGNILGQLAEAMPQAVQVTPEEREAIERLEAMGFDRATVLQVFFACNKNEELAANYLLDHMHDFQD >KJB83813 pep chromosome:Graimondii2_0_v6:13:57860591:57863509:-1 gene:B456_013G265900 transcript:KJB83813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVATKVNPMLKHKPVQDGKANNLAQSFTFEELATATGNFRSDCFLGEGGFGKVYKGFLDKTNQVVAIKQLDRNGAQGIREFVVEVLTLSMAEHPNLVKLIGFCAEGDQRLLVYEYMPLGSLENHLYELPASRKPLDWNTRMKIAAGAARGLEYLHEKMKPPVIYRDLKCSNILLGEGYHPKLSDFGLAKVGPIGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDETRDRSEQNLVAWARPMFKDRRNFSRMVDPLLQGQYPVRGLYQALAIAAMCVQEQPNMRPAITDVVMALNYLASQKYDPNNPVQSSRKSKSSTATNGDGDKKPVAEHELNSD >KJB83814 pep chromosome:Graimondii2_0_v6:13:57860855:57862301:-1 gene:B456_013G265900 transcript:KJB83814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHPNLVKLIGFCAEGDQRLLVYEYMPLGSLENHLYELPASRKPLDWNTRMKIAAGAARGLEYLHEKMKPPVIYRDLKCSNILLGEGYHPKLSDFGLAKVGPIGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDETRDRSEQNLVAWARPMFKDRRNFSRMVDPLLQGQYPVRGLYQALAIAAMCVQEQPNMRPAITDVVMALNYLASQKYDPNNPVQSSRKSKSSTATNGDGDKKPVAEHELNSD >KJB83812 pep chromosome:Graimondii2_0_v6:13:57860416:57863530:-1 gene:B456_013G265900 transcript:KJB83812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFCFSGNSSKGSEKPTKKIIINNKNDSSSNKSKPHAQTQTSSDELKVTVTNEEVVAKKGDQLSIDVKNFNLNDEISKDGKANNLAQSFTFEELATATGNFRSDCFLGEGGFGKVYKGFLDKTNQVVAIKQLDRNGAQGIREFVVEVLTLSMAEHPNLVKLIGFCAEGDQRLLVYEYMPLGSLENHLYELPASRKPLDWNTRMKIAAGAARGLEYLHEKMKPPVIYRDLKCSNILLGEGYHPKLSDFGLAKVGPIGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDETRDRSEQNLVAWARPMFKDRRNFSRMVDPLLQGQYPVRGLYQALAIAAMCVQEQPNMRPAITDVVMALNYLASQKYDPNNPVQSSRKSKSSTATNGDGDKKPVAEHELNSD >KJB82470 pep chromosome:Graimondii2_0_v6:13:50670949:50671519:-1 gene:B456_013G198200 transcript:KJB82470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHEAVEVAKTVLEVTDVAWTALECSHHLHHHHDTPHNLHNFELQKELETLQSKNRRLRNQLEQNLKLLNNLSESPVLLNDCPPNLYARLVSTVDSRDFLTRLKSLNESDIKIEFPFKEAAGSYILNLFI >KJB80811 pep chromosome:Graimondii2_0_v6:13:28854313:28855577:-1 gene:B456_013G116400 transcript:KJB80811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAHIPPPPGYFVQLENLDGLFLKKRTRMHWWLCCSCQVKENYQSRENEHLKCNLDGHQKNSKVVSPIKPEERKSSSLIEVPALSLEELKEKTDNFGSNALIGEGSYGRVYYANLNDGKTVAVKKLDVSTEPNTLLS >KJB81731 pep chromosome:Graimondii2_0_v6:13:43593715:43594728:-1 gene:B456_013G159400 transcript:KJB81731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELDIQIPTTFDPFAEAEEFGSTGTKDYVHIRIQQRNGRKSLTTVEGLKQELSSDKILKSLKKEFCCNGNVVHDKELGKIIQLQGDQRKKVAHFLVNAGIVKKEQIKIHGF >KJB81730 pep chromosome:Graimondii2_0_v6:13:43593715:43594794:-1 gene:B456_013G159400 transcript:KJB81730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELDIQIPTTFDPFAEAEEFGSTGTKDYVHIRIQQRNGRKSLTTVEGLKQELSSDKILKSLKKEFCCNGNVVHDKELGKIIQLQGDQRKKVAHFLVNAGIVKKEQIKIHGF >KJB80498 pep chromosome:Graimondii2_0_v6:13:18471499:18474073:1 gene:B456_013G100400 transcript:KJB80498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGNSSSYTTGMEGTKRSEEICLKFPPNVISPGLAALLAQKDKHEKLMDYSGPRLHFQMVVIFVLTQTIHSLLKKLGLPLFISQLLAGILLSPMVFSDQHSLVNISEESVSVLGTVGAFGFVFFLFLSGVKMDLSLTLKSGKKAICIGLLTVVVPLVSCMTTIKLLHEEGNEFSNKSFFLAVTYSGTSFPVIHCLLSDLKILNSELGRLGLSAALIGDMLTLFLTVFSLWVKTGFEKGRKEALIDFGLAMLFIVIVVFVLRPAMKWMVKRTPEAGQIKDICFYLVILAFMMSPRFTDLFRIYFLYGPFIFGLAVPDGPPLGSALVEKLDPVISGLFLPIFATTCGLRFDLSYFKNSNLFAYHQVLGAMVALIIKFGVSLLVPLLCKMPTRDSLALAFIMISKGIVEMGSYSIMNDNRVISEDIFAHMTIVIILVASIVPILVKRLYDPSRKYLCFQKRTIMNSRLNQELRLIVCVHVPGNVNSIINQLNASCPTRESSIALDVLHLIKLSGQATPLFITHDKQKKTLSSKSYSENVVVSFEQFERDNWGAVSVTVFTAVSPPNLMYEDICNLAMDRLTSFILLPFHRRWYIDGSIESEDQTVRTLNFDILEKAPCSVGILVEGRRNLKGSGIRDPLSSDNSSFYNIAVIFLGGQDDREALALAKRISQDKSVRLTVIHLKAANSLGVILTENDRMLDSAVLNDVKQSVCFTYIEEHVNDGPETSNFLQSIVEDYQLIIVGRRYKTEDPQTLGLQEWCEFQEIGIIGDLLSSADFIRNYSLLIVQQQQQRTV >KJB83785 pep chromosome:Graimondii2_0_v6:13:57762909:57766267:1 gene:B456_013G264300 transcript:KJB83785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLVFFLTFLFSSNDRAFLLSSPSLNCYMASQASLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPPNYPNSPPAVKFTSEIWHPNVYADGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAACLMQTSMTYANVVNLKLLSRTLVRNGERGKMNSGGRSAAALDGHKKCYDRFASVVLRLYMHNRSKCLNLLRERCGSYISSILVEYHLKVLTFSFLLILPFLLIIVTSLKCFMYSKAFCLLLTICVPLDPSIGLY >KJB78966 pep chromosome:Graimondii2_0_v6:13:2032200:2034293:1 gene:B456_013G027100 transcript:KJB78966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAALSVVPLLQIISGAGPDILYTLSASASCAIGTGSPTSTIFSGKFLFSKVWSSFGPVQCEENKNLTTSVVKELMEKQILSYNAKALCVGEGSMSAVRALKDLGFSDVTGAYRHPCFSLKHKKFVYELDYEDNSYDFVISRDLDKVSAPAMLVLEVERVLTPGGIGSMLVGRSGSLIRSVTPVSSLLKASSVVHVDYVNGFALIVFKKKLKNGTYFEQYQLPADCRSMANTKPMLDNIEPLLEKRPVGFEKTIAYLPEFVNISHKQRLVYIDVGASEHLNSNVTDWFLPSYPVDRKAFHVYFIDHNTSVMLSYVNKPGINFVYYPSLAGNRATSNSKAFIGSDDSDPYVEDEGFDFLLWIKETVQNADFVVLKMNAGEVELKILSDLFESGTICSIDELFLHCSNRAVNRDWMDLFKSLRGTGVYVHQWWGDQ >KJB79209 pep chromosome:Graimondii2_0_v6:13:3007351:3010661:-1 gene:B456_013G038000 transcript:KJB79209 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g71190 [Source:Projected from Arabidopsis thaliana (AT1G71190) UniProtKB/TrEMBL;Acc:Q9C989] MRKRTLYVWGVAILCFVVLMIVTPAIPQSQEYHNFADQREFFGIPNTLNVISNFPFLVIGVIGLVLCFYKNYFKFSLQGELWGWTCFFIGVAAVGVGSSYYHLDPNDARLVWDRLPMTIAFTSIMAIFIIERIDEQKGTISIIPLLLAGLVSILYWRFFDDLRPYAVVQFVPCIVIPLMAILLPPMYTHSTYWLWAAAFYLLAKVEEAEDKVIYKWTHHIVSGHTLKHLCAAMVPVFLTLMLAKRTTETNRISLLKTWKVSWTKVNENGTRVESREYVYTSVQSEDSH >KJB83810 pep chromosome:Graimondii2_0_v6:13:57918009:57920320:1 gene:B456_013G266600 transcript:KJB83810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNVEKYDVGVENKLELIRSATESNADEAIEALKAGKVIAVPTDTLYGFACDACSSEAVNRIYDIKGRKHTSPLAICVGDVSDIEHFAVTDHLPHGLLDSLLPGPVTIVLGRGESSILEKSLNPGLDSIGVRVPDSDFIRIIARGSGSALALTSANLSGQPSSVSIKDFENLWEHCAYVYEGGVLPAGRAGSTVVDLTKPGKYRILRPGRCGYH >KJB83809 pep chromosome:Graimondii2_0_v6:13:57917938:57920685:1 gene:B456_013G266600 transcript:KJB83809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNVEKYDVGVENKLELIRSATESNADEAIEALKAGKVIAVPTDTLYGFACDACSSEAVNRIYDIKGRKHTSPLAICVGDVSDIEHFAVTDHLPHGLLDSLLPGPVTIVLGRGESSILEKSLNPGLDSIGVRVPDSDFIRIIARGSGSALALTSANLSGQPSSVSIKDFENLWEHCAYVYEGGVLPAGRAGSTVVDLTKPGKYRILRPGSAKEETVAILENYSLLEEVLSG >KJB79125 pep chromosome:Graimondii2_0_v6:13:2640830:2643292:-1 gene:B456_013G034500 transcript:KJB79125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAKIMNTNSCSAFLMITLLMPLITSALVFVDAEIMDYPSFVDGPKSWRIPPSSDYNFRESFGVKPILVNGTFVCGFHCSYDGDNCLFAISIFSTSYDGHIRSSPQVVWSANRGYPVESQAQLQLTHDGKFWLLDAYNVSVWDALIFDTSRLNLSAEGNLMLLNKASDMVWQSFHHPTDSLVLGQSLMLQHPLTTNVSLPNSSIVSKVREFPVPASAKFIQLGSDGHLKAYEWKDPKWEGTDLLPIDPCSYPFACGNYGVCLKEGCSCPVDANENGTTYFKPINYTKPDLGCYAVSPISCESSIHQSFLELQGYNFHPVKKYLISETKTLKECKALCLNNCSCEAFMDTEGSCYFLSGGLSIAKSYSSGYGTYNDNSAFIKVQSSPISQSSNKNVSSPKYTSVIVGSTLGAIFGVFLICAFIILGFRKGQHAGMPTRFSYEELKNVTKNFSNKIGEGGFGYVFHGTLPPGSEVAVKQLVGFGAVNKSFVAEVQTIGSINHFNLVSLVGFCAEKFNRLLVYKYMANGSIALRWQIRKKIIIDIAKGLAYLHEGCNQKIIHLDIKPQNILLDENFNAKVSDFGLSKLIGRDQSRVVTAMRGTPGYMAPEWLSSVITEKADVYSFGIVVLEILCGRPNVDKSQLEEDMHLLRLFRRKQEEGLLLDLVDQCSDDMQSNATEVVEMMKVAAWCLQNEYARRPSMSAVVKLFEDSNYVASNLNEDFLNGLTWEIVGSFASQVSPSILSGPR >KJB81354 pep chromosome:Graimondii2_0_v6:13:37887569:37889318:1 gene:B456_013G140700 transcript:KJB81354 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 30 [Source:Projected from Arabidopsis thaliana (AT1G71930) UniProtKB/Swiss-Prot;Acc:Q9C8W9] MMELESCVPPGFRFHPTEEELVGYYLRRKINSLKIDLDVIIEIDLYKMEPWDIQARCNVGNEEQSEWYFFSYKDRKYPTGTRTNRATAAGFWKATGRDKSVLSKNNIIGMRKTLVFYKGRAPNGRKTDWIMHEYRLQTSEHGPPQEEGWVVCRAFRKPCPNQRQGFEAWSHGYYMRDNSQVRPPVSLSDIATTSHLHLRRNQGSSFYESYGSEQELVSNSHNFLDSQQVIELPQLDSPITVSPSLAEKEVFHCDEEKSNESSQIHIIDWKNFDNLFNSQLTDTTSYPYQTAALIPQNDELEAQQHHLLGCFPGS >KJB81353 pep chromosome:Graimondii2_0_v6:13:37886483:37889515:1 gene:B456_013G140700 transcript:KJB81353 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 30 [Source:Projected from Arabidopsis thaliana (AT1G71930) UniProtKB/Swiss-Prot;Acc:Q9C8W9] MMELESCVPPGFRFHPTEEELVGYYLRRKINSLKIDLDVIIEIDLYKMEPWDIQARCNVGNEEQSEWYFFSYKDRKYPTGTRTNRATAAGFWKATGRDKSVLSKNNIIGMRKTLVFYKGRAPNGRKTDWIMHEYRLQTSEHGPPQEEGWVVCRAFRKPCPNQRQGFEAWSHGYYMRDNSQVRPPVSLSDIATTSHLHLRRNQGSSFYESYGSEQELVSNSHNFLDSQQVIELPQLDSPITVSPSLAEKEVFHCDEEKSNESSQIHIIDWKNFDNLFNSQLTDTTSYPYQTAALIPQNDELEAQQHHLLGCFPGS >KJB80379 pep chromosome:Graimondii2_0_v6:13:15489245:15492406:1 gene:B456_013G094300 transcript:KJB80379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGRGKGASKCSETGTEESDGNGISKHSLMHPELVGNQSYTHSSGTSTGTSDHSQEHIELGVASDPFYFNQDVFNGTESSKVVSAKQQRLSSSSSSSTSSTDDQFQANKKLSESRSGIAFTSSSKPDDEHHVSATATSTSRVPSFVQGPTVTISPPLQMMDQEGGYDPYRISSSVFKRRNSLTPADWSIASNESLFSIQVENNSFSREHFLNSKSGEFSKSDEELFALSPSTDKKSVEFEKSEGTLISDDGAKDKTDPSAEEPNEEKPTYPPRFWTSPTHSDVGTTVNSFAFTVLEDGRNVVSMEEKREQQRQGREEEQEPLSSSTPSKLSCCGNCCSCFPCCQRQRQCCTWHWHWCRSCHNCCQ >KJB83330 pep chromosome:Graimondii2_0_v6:13:56063621:56069898:1 gene:B456_013G241300 transcript:KJB83330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDQTVLSLRPGGGRGNRLFGGPSSSSASSSSSSSSLAFGSFSSDLPLFRSHGGGGASSLKAGDSRFEGRERVRYTRDQLLQLREAVKVADEILKIKQDFEVELFGEDKSWSRGESNPPNYSQNRYSEPDNRDWFSRSAQFPPAGDERSRELLRGRDLGNRFDSRQQETNKFNRQEQFNPQFSRAQNSSTQGGGSTPALVKAEVPWSAKRGNLSEKDRVLKSVKGILNKLTPEKYDLLKGQLIDSGITSADILKGVISLIFEKAVLEPTFCPMYSLLCSDLNDKLPSFPSDEPGGKDITFKRVLLNNCQEAFEGADKLREEVRLMTAPEQEMDRRDKERMAKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGHDSRACPAEENVEAICQFFNTIGKQLDESPKSRRINDTYFSRLKELTTNPLLAPRLRFMVRDVLDLRANKWVPRREEVKAKTITEIHSEAEKNLGLRPGATASIRNSRVVPVGSVSPGPGGFPITRPGTGGLMPGMPGTRRMPGMPGVDNDNWEVPRGRSMPRGDAQSLPVGRGQSPSIHKSSMNPRLLPQGSGDLMSGRTSALLQGSSTPPAWQSNTSLGAEPMAQPSVSAKPVPVAAVSPITEKSPAPAAILNPDDLQRKIRALLDEYFNVRLLDEALLCVEELKSPSHHPEVVKEAISIALDKSPPCVEPVWKLLEYLFTKKVFTARDIGTGCLLYGALLDDIAIDLPKAPNNFGEIIGRLILAGGLDFKVVKEILKKMEDDLYQKAVFDAAMKTISLNPSGQGLLDASASEVEACQNLF >KJB80557 pep chromosome:Graimondii2_0_v6:13:20421734:20422336:1 gene:B456_013G103700 transcript:KJB80557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGTTWRTKLVISITLLPMASESPLLRLLGAEARGPFCCPRMIFSVSRVSQPFVTPELMIILKSRRRQWLD >KJB83811 pep chromosome:Graimondii2_0_v6:13:57858386:57859297:-1 gene:B456_013G265800 transcript:KJB83811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KJB80879 pep chromosome:Graimondii2_0_v6:13:29778225:29780285:1 gene:B456_013G119500 transcript:KJB80879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRERARKAFQATRALGLPDQEVTPVLEHLLKLFNNNWDLIESEDYRALIDAYFELKENKGEDNRKNVVGDYGESSRLPKRLCLQDSEGQASPTKGSARQILSPEEHGKPSSVNLQQGATFLNKKDSSSSGCSNLCKKPQQQPVTCEKNRPLHIINDITKGTENVKISLVGDIGKQELPKFTYMRDNIIYQDAYVHISLARVADEDCCSGCSGDCLSVSIPCACAHETGGEFAYTTDGQLRDKFLKACISMKQDPEGHDSVYCQDCPLERLKNEYKPEKCKGHLVRKFIKECWRKCGCSMQCGNRVVQRGITCKLQVFWTREGKGWGVKTLQDLPKGTFVCEYVGEILTNTELFERNLKGSGNEKHTYPVTLDADWGSERVLKDEEALCLDATFCGNVARFINHSLAGCCCEKHCLRTFKKCFLY >KJB83745 pep chromosome:Graimondii2_0_v6:13:57639819:57643992:-1 gene:B456_013G262400 transcript:KJB83745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEGCSKDKRWSLQGMTALVTGGTKGIGHAIVEELAAFGAIVHKCSRTETELNDCLLEWKAKGLRVTGSVCDVSNQAQRENLLNTVSSEFNGKLNILINNVGTNIGKMVTDYTAEDVSFLTSTNFESAYNISVLAHPLLKASAAASVVFISSIAGILPVFNAPIYGANKGAMEHLSKFLAFDWGGDNIRVNTIAPATIKTPLSQRFFEGNEEGLNTMISRTPLGRLGEPKEVSAMVAFLCLPAASYVTGQLICVDGGITMNGLCFPNQITKQHEP >KJB83744 pep chromosome:Graimondii2_0_v6:13:57639819:57643969:-1 gene:B456_013G262400 transcript:KJB83744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRHDCTCHRWYQRHRVLSSSYSIKIGHAIVEELAAFGAIVHKCSRTETELNDCLLEWKAKGLRVTGSVCDVSNQAQRENLLNTVSSEFNGKLNILINNVGTNIGKMVTDYTAEDVSFLTSTNFESAYNISVLAHPLLKASAAASVVFISSIAGILPVFNAPIYGANKGAMEHLSKFLAFDWGGDNIRVNTIAPATIKTPLSQRFFEGNEEGLNTMISRTPLGRLGEPKEVSAMVAFLCLPAASYVTGQLICVDGGITMNGLCFPNQITKQHEP >KJB79853 pep chromosome:Graimondii2_0_v6:13:8085846:8088501:1 gene:B456_013G069900 transcript:KJB79853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINPNTNLNSSDNDDNFAGIFVSRWLFFLHLHIVELILALIVFIAIHYLRQKRLCQQNGTFLFIGPWFSSLSFVVTADPRNLEHVFKTKFSVYPKGPSFRENVGDLLGDGLFSADDETWRRQRKAASTEFHSAKFRRLTTESSVELVHARLLSVLENATNKSIPIELQDILLRLTLNNICIIALGALEDATEVTALRFVTPTWVWKTMKCLDLGSEKKLKKLIKDVDEFAKKVIETRKKELSVSLRQGSDLLTVFMASKDEEGKPFSDKFLRDICVNFILASRDTSAVALSWVEEKIVAEISGIVKEREEIKNGELIFKAEEIKKMDYLQAALSEALRLYPSVPVDLKEVVEDDVLPDGTVIKTGTKVIYAIYAMGRIESIWRKDCREYKPERWLRDGNYMSESAYKFFTFNSGPRLCHPVAPKIALTMYMKYGLMVNLINRHESGLHEYLK >KJB80175 pep chromosome:Graimondii2_0_v6:13:12166527:12169530:-1 gene:B456_013G084500 transcript:KJB80175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDENGIRRPNFPLQLLEKKEQPRSDPADVDTSSKPTLEPPSKKPPPKRTSTKDRHTKVEGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAVFAATGTGTIPANFTSLNISLRSSGSSMSASYLRNTYFNPNFTTQKLRTGSEWDQRNVLDDSSQQQQQQRRVLFPGVALSSEDSLTFPGTSSTTLNAFLQAKQEIRDAESADTSIGKRRRPEQQESPQNQVGSYLIQSNTGSIPTSHNPIPATFWIGNQVISGSGTGDPMWTFPSTNNTNMYRGTMSSGVHFMNLAAPMALLPAQQFGSGISAGGGSVTDTHLSMLASLNAYRPVPGIGVSEPPAGGSH >KJB83047 pep chromosome:Graimondii2_0_v6:13:54618426:54618802:-1 gene:B456_013G226800 transcript:KJB83047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLKNWAQHAFNEKIYEIEKLGKEAFGRLKGRWAILQKRMDIKLQELPAVLGASCVLHNICEMRQEEMEGELKFEVFEIHGYCTS >KJB78871 pep chromosome:Graimondii2_0_v6:13:1719934:1723464:1 gene:B456_013G023400 transcript:KJB78871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YFPTYHHTQRLYKTHRFAPISHPLKTKIKKISYRKMSTSPSVTELQVENFTFPPTVKPPGSTKTLFLGGAGERGLEIQGKFIKFTAIGVYLEDSAVNCLGVKWKGKSAVELTESVEFFRDVVTGDFEKFVRVTMILPLTGQQYSEKVSENCVAIWKSLGIYSDAEAKAIEQFIEVFKDENFPPGSSILFTISGQGSLTIGFSKDSSVPEGGKAVIENKLLANSVLESIIGKNGVSPPAKESLASRLSPLFNDCGVDSEKPQS >KJB80324 pep chromosome:Graimondii2_0_v6:13:14732867:14736136:1 gene:B456_013G091900 transcript:KJB80324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLILLFFFLSLISQVPSEPILTVNPKTLSKSGDSVHIQWSGIESPSKLDWLGLYSPPDSPHHNFIGYKFLSSSSSTWESGAGSISLPLTFLRSNYTFRIFRWTESEVNPEIHDQDHNPLPGTKHLLAESETVGFELGRGPEQIHLALTGREGEMRVMFVAEDPEERQVRYGEKEGEWEGDVAVARVGRYEREDMCHAPANESVGWRDPGWIFDAVMSGLRGGVKYYYQVGSESKGWSTTRSFVSWDKSSNETIAFLFGDMGTATPYLTFSRTQDESISTIKWILRDLEALGDKPTFISHIGDISYARGYSWLWDEFFNLIEPVASKVPYHVCIGNHEYDWPSQPWKPDWANSIYGTDGGGECGVPYSLRFNMPGNSSEPTGTHAPATRNLYYSFDMGPVHFVYMSTETNFLQGSSQYDFLKHDLESVDRMKTPFVVVQGHRPMYTTSFESRDAPLREKMLEHLEPLFVKTNVNLALWGHVHRYERFCPLKNFTCGSMGQKGKDWEALPVHVVIGMAGQDWQPTWEPRPDHPHDPVYPQPKRSLYRTGEFGYTRLIATKEKLTLSFVGNHDGEVHDMVEILASGQVLNGGDDNNGKVGAVHKVDDVTRYSFSHYVWGGSVLVLGGFVGYVLGFVSHARRQIATERGWTSLKTEER >KJB83849 pep chromosome:Graimondii2_0_v6:13:57999151:58006969:1 gene:B456_013G267600 transcript:KJB83849 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 28 [Source:Projected from Arabidopsis thaliana (AT5G60740) UniProtKB/Swiss-Prot;Acc:Q9FF46] MFSEDEASGDKFGNSAAATEMFSQYASSRFTNLSSSLKDDIRAKFSFCITDVETDWNAAFNFSKNPKFLSECARSTKGDMMKRVCTAAEVKFYFSSFYQSGGEAKKSSFLKPNKNCNLTSWVSGCEPGWACSAGKQQKINLKNSTEIPYRVQNCSACCEGFFCPRGITCMIPCPLGAYCPLANLNTSTGVCDPYRYQLPPDNPDHTCGGADTWADVMSSSEVFCSGGSYCPSTIQKIPCSKGHYCRTGSTSELLCFRFATCNPKSANQNITAYGLMLFAGLGFLIVIIYNCSDQVIATREKKKELSREKAVQSVRETAQAREKWTSAKEIAKKHAIGLQTSFSRTFSRRKSQKHPASQAKSAAADAAGLTVSTGTSQQPKKKEKGNLTKMLHEIEDNPESHEGINIEIGDKQGKKNPPKGKDLHTQSQMFRYAYGQIEKEKALQEQNKNLTFSGVISMANEVDDLIRKRFTIEIVFKDLTITLKGKNIHLMRSVSGKLSPGRVSAVMGPSGAGKTTFLSALTGKAPGCVMTGSVQINGKDESIQAFKKIIGFVPQDDIVHGNLTVAENLWFSARCRLPADLAKPEKVLVVERVIESLGLQAVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVVHQPSYTLYRMFDDLILLAKGGLTVYHGPVKKAEEYFAGLGINLPDRANPPDYFIDILEGITKLNTGTGLTVKQLPVRWMLHNGYSVPMDMLNSIEGMSGKSAENSSHGGSSAHATGSEQNSFAGELWQDVKCSVETKKDRLQHNIFKSADLSERKTPGVFTQYRYYLGRLLKQRLREVRTQVVDYLILLLAGICLGTLAEVSDETFGAMGYTYTVIGVSLLCKIAALRSFGLDKLHYWRERSSGMSSLAYFLAKDTIDHFNTVIKPLVYLSMFYFFNNPRSSVYDNYFVLVCLVYCVTGIAYILSILFDPGQAQLWSVLLPVVLTLIATQGGDSKTVDFIGDLCYTKWALEAFVVSNAKMYSGVWVITRCGSLLQYGYALKNYGRCLVLLVLTGILTRIVAFFSMVTFQKR >KJB83291 pep chromosome:Graimondii2_0_v6:13:55839986:55841965:-1 gene:B456_013G239900 transcript:KJB83291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVNPEIEFPAIEFRSSDLKRGTEGWNRLCKRVQEACETFGCFEVVYEKVSTKLREDAFGLMKEMVELPVETKQKNNSPMPYHGWVGPCKQVSVLYEGFGVGDASNYDSVKSFAQLMWPNGHPHFSDTIHTLGTQMEELNKLIWLMLIDSYGLGDDSLKMNYTTLVRMMKYMAPPPGEYERGLFAHTDKPVSTLICEDQISGLEIEVNDGQWIKLTNLSPSSFVFVVGDPLKAWSNGRLKSVNHRVMMSGDKDRYSIAAFVIPNEGTIIKTPKEFIDDQHPRLFKDLDFMEFFLYAFSDPARHIDNGELLHVFAGLSPPVSN >KJB83292 pep chromosome:Graimondii2_0_v6:13:55840690:55841860:-1 gene:B456_013G239900 transcript:KJB83292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVNPEIEFPAIEFRSSDLKRGTEGWNRLCKRVQEACETFGCFEVVYEKVSTKLREDAFGLMKEMVELPVETKQKNNSPMPYHGWVGPCKQVSVLYEGFGVGDASNYDSVKSFAQLMWPNGHPHFSDTIHTLGTQMEELNKLIWLMLIDSYGLGDDSLKMNYTTLVRMMKYMAPPPGEYERGLFAHTDKPVSTLICEDQISGLEIEVNDGQWIKLTNLSPSSFVFVVGDPLKVYIYLLFNLFKI >KJB82864 pep chromosome:Graimondii2_0_v6:13:53797186:53801346:1 gene:B456_013G217900 transcript:KJB82864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGDISLEQIKNEIVDLENIPVEEVFKLLKCTKEGLTTAEGETRLSIFGHNKLEEKKDNKVLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWPDFVGIVTLLLINSTISFIEENSAGNAAAALMAGLAPKTKVLRDGKWSEQEASILVPGDIISVKLGDIIPADARLLEGDPLKIDQAALTGESLPVTKNPGDSVFSGSTCKQGELDAIVIATGIHSFFGKAAHLVDNTNNVGHFQQVLTSIGNFCIFSIGIGMVIEIIVMYPIQHRNYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDILCSDKTGTLTLNKLTVDKNLVEVFINDMDVDTLVLLAARASRVENQDAIDACIVGMLSDPKQAREGITELHFLPFNPVDKRTAITYTDSKGEWHRCSKGAPEEIIDLCGLTGGLRKKALSIIDGYANRGLRSLGVARQTIPEKTKESPGGPWEFVGLLPLFDPPRHDSAETIKRALELGISVKMITGDQLAIGKETGRRLGMGTDMYPSSALLGQCGDEDIAAIPIDELIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADSTDAARGASDIVLTEPGLSVIVSAVLTSRSIFQRMKNYTIYAVSITIRIVLGFMLVALIWKFDFSPFMVLVIAILNDGTIMTISKDRVRPSPKPDTWKLDEIFATGVVLGTYMAIVTVFFFWLVHDTEFFTRTFGVKPINDNEDALTTALYLQVSTVSQALIFVTRSRSWSFIELPGPLLLIAFILAQLVATLLAVYANWGFARIQGIGWEWAGAIWVFSIITYIPLDVLKFFIRYALTGDAWGDVVQSKTAFKGECEVQLIANYRPLQGVSSPPETWSNDELAPIV >KJB78754 pep chromosome:Graimondii2_0_v6:13:1108320:1108754:1 gene:B456_013G016200 transcript:KJB78754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFFKGDKVEVCSKEEGFLGSYYQAKILSPLNDNTLYRVQYKNLVEEEDQTRPLVEIVSADEVRPVPPPVTFTKATQVFHYLDSVDAFDNDGWWVGKITGRQGSKYWVYFETTRDEIAYPVSRLRHHLEWRDGHWILANNTFF >KJB80040 pep chromosome:Graimondii2_0_v6:13:10074584:10081816:-1 gene:B456_013G077900 transcript:KJB80040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLLLRSNQQAVPEPPPVQEEVAESTYVSSSATSLEGLIGEDPFPEYPTVENHDAETNGHLGDNAGVGSGKKSSVPENHIDVCEEDGWITIPYRDLPDDWNCAPDISSLRSLDRSFVFPGEQVHVLACLSACNQETEIITPFKVAAVMCKSGKRRGAQKQNGNMEGETNSVPEGGDASLNGAVMDQNGENLEKDKIDPAKDVSASESFLRMEDHRRQTETLLKRFKNSHFFVRIAESGEPLWSKKGASEKVSELSEMDSQQSIANETNNAAKNISRQNAVIDRGNFDANVSGGVARDSIKCCSLSSGDIVVLLKVNVGVDFLRDPVIEILQFEKYQDRNPSSKNQDNLVYANQDPCGELLKWLLPLDNTLPTPLTLSPPPLGSASGIGSPSQRPGVSASSGSPLFSFSHFRSYSMSSLPQNVTPPAGPVKAQSSKPSFDLDDVDHYTSQKNSKGKITGIEELLSYRGVSLERERFSVRCGLEGIHIPGRRWRRKLQIIQPIEIHSYAADCNTDDLLCVQIKNISPENVPDIVVFVDAITVVLEEASKGGPPASLPISCIEAGDDHSLPNLALRRGEEHSFILKPVSSMWKDLKTHGEKSKSSALQPPSMTSDRKGSVLVVNHYAVMVSCRCNYTESRLFFKQPTSWRPRVSRDVMISVASEMSGQYSGPNEGITQLPVQVLTLQASNLSDEDLTMTVLAPTSLTSPPSVVSLNSSPATPMSPFVGFSELAGKAGGERRTTAVSMPSLSENQKQNGDAGAKFTSSNAQLTPTADFIPTSGLGCTHLWLQSRVPLGCVPAQSTATIKLELLPLTDGIITLDTLQIYVKEKGLTYIPEHSLMINATSSISTGII >KJB80039 pep chromosome:Graimondii2_0_v6:13:10075691:10081115:-1 gene:B456_013G077900 transcript:KJB80039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLLLRSNQQAVPEPPPVQEEVAESTYVSSSATSLEGLIGEDPFPEYPTVENHDAETNGHLGDNAGVGSGKKSSVPENHIDVCEEDGWITIPYRDLPDDWNCAPDISSLRSLDRSFVFPGEQVHVLACLSACNQETEIITPFKVAAVMCKSGKRRGAQKQNGNMEGETNSVPEGGDASLNGAVMDQNGENLEKDKIDPAKDVSASESFLRMEDHRRQTETLLKRFKNSHFFVRIAESGEPLWSKKGASEKVSELSEMDSQQSIANETNNAAKNISRQNAVIDRGNFDANVSGGVARDSIKCCSLSSGDIVVLLKVNVGVDFLRDPVIEILQFEKYQDRNPSSKNQDNLVYANQDPCGELLKWLLPLDNTLPTPLTLSPPPLGSASGIGSPSQRPGVSASSGSPLFSFSHFRSYSMSSLPQNVTPPAGPVKAQSSKPSFDLDDVDHYTSQKNSKGKITGIEELLSYRGVSLERERFSVRCGLEGIHIPGRRWRRKLQIIQPIEIHSYAADCNTDDLLCVQIKNISPENVPDIVVFVDAITVVLEEASKGGPPASLPISCIEAGDDHSLPNLALRRGEEHSFILKPVSSMWKDLKTHGEKSKSSALQPPSMTSDRKGSVLVVNHYAVMVSCRCNYTESRLFFKQPTSWRPRVSRDVMISVASEMSGQYSGPNEGITQLPVQVLTLQASNLSDEDLTMTVLAPTSLTSPPSVVSLNSSPATPMSPFVGFSELAGKAGGERRTTAVSMPSLSENQKQNGDAGAKFTSSNAQLTPTADFIPTSGLGCTHLWLQSRVPLG >KJB80038 pep chromosome:Graimondii2_0_v6:13:10074584:10081855:-1 gene:B456_013G077900 transcript:KJB80038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLLLRSNQQAVPEPPPVQEEVAESTYVSSSATSLEGLIGEDPFPEYPTVENHDAETNGHLGDNAGVGSGKKSSVPENHIDVCEEDGWITIPYRDLPDDWNCAPDISSLRSLDRSFVFPGEQVHVLACLSACNQETEIITPFKVAAVMCKSGKRRGAQKQNGNMEGETNSVPEGGDASLNGAVMDQNGENLEKDKIDPAKDVSASESFLRMEDHRRQTETLLKRFKNSHFFVRIAESGEPLWSKKGASEKVSELSEMDSQQSIANETNNAAKNISRQNAVIDRGNFDANVSGGVARDSIKCCSLSSGDIVVLLKVNVGVDFLRDPVIEILQFEKYQDRNPSSKNQDNLVYANQDPCGELLKWLLPLDNTLPTPLTLSPPPLGSASGIGSPSQRPGVSASSGSPLFSFSHFRSYSMSSLPQNVTPPAGPVKAQSSKPSFDLDDVDHYTSQKNSKGKITGIEELLSYRGVSLERERFSVRCGLEGIHIPGRRWRRKLQIIQPIEIHSYAADCNTDDLLCVQIKNISPENVPDIVVFVDAITVVLEEASKGGPPASLPISCIEAGDDHSLPNLALRRGEEHSFILKPVSSMWKDLKTHGEKSKSSALQPPSMTSDRKGSVLVVNHYAVMVSCRCNYTESRLFFKQPTSWRPRVSRDVMISVASEMSGQYSGPNEGITQLPVQVLTLQASNLSDEDLTMTVLAPTSLTSPPSVVSLNSSPATPMSPFVGFSELAGKAGGERRTTAVSMPSLSENQKQNGDAGAKFTSSNAQLTPTADFIPTSGLGCTHLWLQSRVPLGCVPAQSTATIKLELLPLTDGIITLDTLQIYVKEKGLTYIPEHSLMINATSSISTGII >KJB80187 pep chromosome:Graimondii2_0_v6:13:12715474:12716352:-1 gene:B456_013G085500 transcript:KJB80187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVNTKTTPYPQSESSSETHNPNEATTKSLTLLNSCTIHHHMVVSYKECLKNHVASLGGHALDGCGEFMPSPTSTPTDPVSLKCAACGCHRNFHRRDPYDAPPAFIHRLPPPPTHHSSSPSPTHTPGLSPSPSPSPTHTPPSPVPYSYYSSAPHMLLALSTGYSGPLDEYHHHPRVGVIEKNNNNPSGRKRSRTKFSKEQKQKMHDFAVRVGWRMPKGEEKLVKEFCDEVGVDRGVLKVWMHNNKNNFGKKLEVLAVGNLNPDSNNNNNSEDNPNGNATISFDSNSDTPQQ >KJB80608 pep chromosome:Graimondii2_0_v6:13:23045762:23046925:1 gene:B456_013G106800 transcript:KJB80608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPIPKVGSHKNGCSSARKSARRIPKGVIQVQASFNITIVILTDVWGWVISWFSIDTCGFNGKRKGTPCASQTEVGNVIRAVVDQGQADTIGSVMQRALLGELEGTCITCAKSVKIPQEYSTIVGSQESVHEILMNLKEIVLSGNLYGPRNAFICAKGPGYVTAQDIILPPSVEIVDNTQHVTSLTEPIYLCIGLQIERNRVYDIKMPKKFQDRSYPIDVVFMLVRNANHCINCYGNDNEKQEMLFLEIWKNGSLTLKEALCEESIDKKC >KJB81006 pep chromosome:Graimondii2_0_v6:13:32511146:32511497:1 gene:B456_013G125000 transcript:KJB81006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGNKEGTSKQFRWTKPMEHLFLEILADKAQRGNKPSNTFKVVSINRVAEAISERFQVQCDAKHVENHLRTVKNQWQIICTIRGESGFGWDDNMKMITCDKATCDAA >KJB82273 pep chromosome:Graimondii2_0_v6:13:48615105:48615437:-1 gene:B456_013G186400 transcript:KJB82273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLRDDHCDKSKKPKKIPVKVKYISSPMMVKASNAEEFRAIVQELTGQHSDMGEPVNVVTTNTKAKLDDRNWLDAYPDDMSSMELFDEGFVWRGVAENLFGFQSPSVFD >KJB81031 pep chromosome:Graimondii2_0_v6:13:32731209:32735153:1 gene:B456_013G126200 transcript:KJB81031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRSNSVDDVVVEDGDGGDGGGNVDCRNGGKSFGSVSCSICLETVTDNGDRSWANLQCGHQFHLDCIGSAFNIKGAMQCPNCRKIEKGQWLYANGCRSNPEFSVDDLTHDDDIYDLSFSEMSFGVHWCPFGSLAQLPSSFEQGEFSSTTYHELLGQHAIFAEHSSSATHPCQYIAYFGPTIHPSSSNSSGSVSDSSSFNGHWNGPSVPSEIPPSYAFPAMNLHYQGWEHHSPPFSTSNRRIGGSSQPSIPPVSQRSARNMPSLGTFMHPFVASHSSGTRTASAVASSFIPPYPGSNARARDRVQALQAYYQHHHPSTLPALRTPIVSGSRRLGSHRSHGHGHGHVGPVASSSDHMGGFYFIPSGTSGGRNFQEPENPMSSQFHAWERGHLSSFSLSQVERDPGWGAFHEAATSGSDPGCSFRQRLGSERPPSQSS >KJB81162 pep chromosome:Graimondii2_0_v6:13:34593257:34595068:-1 gene:B456_013G132100 transcript:KJB81162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTMMMEKARAMKTMNGGGSQEHFREQEQEEELKPSLSYNINSFPQQKTNLGVDVSADEDTEISIFDAKRYFSESNSDSRVCKRVSPLNIPNSVHVASEPGAALAGRFSSASSAADGYGYGRTYRVRSFHATPTPSSEASWNSQTGLLANPPGAIAVSMTTADDKRKGSGNLRRLWRLSCPCSGKKSVQVEPNKPQSFKVDGKRSTGTQSASSGIDHKREEMLQTCNPRSISEENHEFHSSLGVQRVVATTARVPLMISNGSGTAGFTFPILNQVQPKSSHVKMVVNINSSSLDNHEDPPRESLDVFLPPDDSSISVPKKLVSRITIADDDAASDTSSDLFEIESLSTTTQGHSTSHPMYNNSRDSLDDALNFNTIRSIAAACNGSGFACQYSSMMTDCCYEPSEASIEWSVTTAEGFERGSVGVSEAEEMHGNYNYNGIGKGGRQKSGNGGLLSCRSEKAVSVGPNPVKYVPPQGQAAITLKHVSNVNNPPLSRLSIPFSA >KJB81230 pep chromosome:Graimondii2_0_v6:13:35290417:35291178:-1 gene:B456_013G133900 transcript:KJB81230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDCKFLSTKIELLRSFTFTRNSVWYGASFLLQVTYLFDKMEEWLGIVLLEVLQNGAAVLDLKKLENLFYYIFDT >KJB79925 pep chromosome:Graimondii2_0_v6:13:8680740:8682164:1 gene:B456_013G072700 transcript:KJB79925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFSSLFSIAPRKRKFLKEIEREIFSEKTKEEERAEASLSVFVDQMKSCELCDLAARTYCESDQASLCWDCDAKVHGANFLVARHVRCLLCHACQSLTPWRAAGSKLGHTVSVCESCVDGGDREDTEAENDDEDGEGNDGGAVSDDDVEEDEDNQVVPWSAVANTPPPASSSSSSEESSDGEREIYKSTSLFPLKRLRENGSDLRSQDDLDLSSPKRRCGYRTVLATRSRAEEEEEEEEETVSVDSMRVLKDQPIIKPEDTVQFQSDSRGAASTQSLGKN >KJB81379 pep chromosome:Graimondii2_0_v6:13:38725825:38735207:-1 gene:B456_013G142100 transcript:KJB81379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFASQMVPEWQAAYVDYSSLKTFLKEIHGVKQKYRQPDTPTRLQRALTLYRSFSGLDPRRNKRGSSFDDHDVENQAILVNSVQGNGSGKYETTFLMVAEEGAEYEQEFFKKLDKELNKVDKFYRSKVKEVMAEAETLTNQMHALIAFRIKVDKLQENFDVSGSNDKIMVSMNALQGTTESDNDAKQSSNCCQEIQEENPKKVMSSIRLAPQEVLNHVKLNQAIQAPFSAAKVYRASNTNETQMNFSNDNLKKIQNQLKQAFIEFYYKLRLLKNYSFLNVLAFSKIMKKYDKITSRRASKYYMRTVDDSYICNSDEVTKLMERVEATFIKHFSNSNRSKGMNQLRPKSKKERHSTSFGTGFFAGCTAALILALVLIIHARNILDKEGRVQYMESMFPVYSLFGFMVLHMLMHAGNIYFWRRYRVNYSFIFGFKQGTELGFREVLFLSFGLATLALISVVSNLDMEMDPKTGDYKALTELLPLSLLLLVIIVLLCPFNILYRSSRFLLLRTLFRCICAPLYKVKFQDFYLADQFTSEVQAFRSVEYYICHYGWGDFKLRQNTCKSNDIFNTFYFIVAVVPYWSRLLQCVRRFHDEKDPMQGYNGLKYFLTIVALCTRTAYGLDRGTSWKAVAWTFSAMAALYGTYWDLVVDWGLLQRRSKNRWLRDRLLIPYKSVYFGAMVLNVLLRFAWLQTVLDLSLSLHRETLIAIVASLEIIRRGIWNFFRLENEHLNNVGKFRAFKSVPLPFYNDEDEDRID >KJB81865 pep chromosome:Graimondii2_0_v6:13:44712714:44714916:-1 gene:B456_013G165300 transcript:KJB81865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAMRAAAKVAGAGIVNTGLRGGVQVAPSSAEYSVMRVAASRSASSGISVSGGGVSSVADMTASPNQMISWEMVDDWEFAGGVEEEVPTAISGGGEPMPRVLFGVAPTLEQAKEAASDLKDALDKAYLSSLDSTDDTRSSCSSLLSEETKDCVAYDAKATLLPKPAVQAFKLLNESPAVQSMVASIAADPNVWNAVLHNPAYMDFIGSHKTNYIFKENRSPRGCESTSVKIEEYFEANEGKEVGNPFSEFLENLKSSVVEVANKATDFLQSLFTIPTAGMEKENGGLNYLEKTIGASLMGLAVMVIMVVLLKRV >KJB81002 pep chromosome:Graimondii2_0_v6:13:32277828:32278566:-1 gene:B456_013G124700 transcript:KJB81002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQPHRHFPTEEIIIATNCYDGNRIVVYDGGYRLYKVSLKDRPIFVKKYDSHYPLGLKYYAYKDIVIGSQMSGYKNVLKVLGCCLETQNPSVVYEFACKSLSRSLSATNVKPVLPWKCRPVIHRDIKCSSIMLDHNNVPKLMDFGLGISLPQGQAHVEDAVIGRIGLSAPEYVTTGYLTEKADVYLFGMLLLELLGGRKLTIVERNILDTDEKHCAEIFSSFVDPRM >KJB81866 pep chromosome:Graimondii2_0_v6:13:44753818:44754854:-1 gene:B456_013G165400 transcript:KJB81866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSASASANPSSGFEDFLPIMANKLGGEGLIGELCNGFNLLMDSEKGVITFDSLKKNSALLGLQDLSDDDLRCMVKEGDFDGDGALNQMDFCVLMFRLSPELMEASGFFLEEALQHDFKDFI >KJB83946 pep chromosome:Graimondii2_0_v6:13:56289933:56292412:1 gene:B456_013G243600 transcript:KJB83946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSLRTFSAAAPSFIQRHYNSGFSYRFIHGGPNADFFFLNQTPRPREKRRRRGGQVCLPRGSSLPLFNLLHPSPYHSLHRFSLQIPFPLPHSSSFRHLQAPLLALDLNLRGFSSVTGGDRDSDTDTGSGSTESRSDPKEVERVCKVIDELFSLDRNMEAVLDECRINLTHDLVIDVLNRFRHARKPAFRFFCWAGQKPGFNHDSRTYNKMMNVLAKNRQFITMSRLIEEMGANGVLTLDTFIIAIKAFAAAKERRKAVMVFDLMKKYKYKVDVDTVNCLLDSLGRVMLAREAQMLFEKLRDRFMPNLNSYTILLNGWCKVRNLMEAGKVWNEMIDKGFEPDVVAHNVMIHGLLRSRKTSDAAKLFEAMKSKGPLPNVRSYTIMIREFCKQGKMNFAILYFEEMRDSGCLPDAAIYTCLITGFGNQRNMDVVFRLLKEMQEIGCPPDSQTYNALIKLLTTQRKPDDAMRVYKKMIQTGIQPTIHTFNMMMKSFFQSRDYDTSRAIWDEMREKGFCPDDVSYTIFIGGVIRLGRSGDACRLLEEMFEKGMKPPQLDYNKFAADFSRAGKSDILEELARKMKSSGNVQASDIFTRWAEMMKKRIKRKGPFKTDGRCI >KJB83418 pep chromosome:Graimondii2_0_v6:13:56545056:56546185:-1 gene:B456_013G246700 transcript:KJB83418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYPPMTNPFCLYIYIYKPNTYMLKLYILVFCKQVGMKSYERKRTYPMKSSKVIHSTTFTTKYASYLVPALTNIGRLRASCDVDEETKKMVRYEVDMALALSAKGFAWSRALKHKLRLNYKDDDRVGDGHGEPVTKKPKTEHEEEERMMCLRKLIPGGKEMMADDEMLLSELGSYVSCLELQVNILRSMLQNN >KJB82443 pep chromosome:Graimondii2_0_v6:13:49927806:49933572:1 gene:B456_013G195800 transcript:KJB82443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPCAAVLVLIVTGFLCLGIKESALAQGIVTAVNVFAMLFVIIAGGYLGFKTGWTGYEVPTGYFPFGVDGMLSGSATVFFSYIGFDTVASTAEEVKNPKRDLPLGIALSLSICCCLYMLVSIVIVGLVPYYAMDPDTPISSAFASNGMQWAAYIITVGAVTALISTLMGSILPQPRILMAMARDGLLPSFFLEVNKHTQVPVNSTLATGIVASILAFFMDVSQLAGMVSVGTLIAFTMVAVSVLILRYVPPDEVPLPSSLQESIDSYMLRHNSDAQMINGENPAKSPGDPCLPLLGEKKVAVDCTVVEKLEALSSFTAGTLDEENRRKIASWTIMLICVGAFILTFSASNLWFSSLIRFTLCGAGGLLLLSGLVILTCIDQDDERHNFGHAGGFICPFVPLLPISCILINVYLLINLEVATWVRVSVWLMIGVLIYTFYGRRHSSLLNAIYVPKAHVDEIYRSSGAML >KJB82442 pep chromosome:Graimondii2_0_v6:13:49927628:49933604:1 gene:B456_013G195800 transcript:KJB82442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLVDSQKEGFGSSWGGLKNLVRRKQVDCAHTKRLDNPQLAKELTIPHLVAVGVGSTIGAGVYILVGEVAREHSGPALTMCFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLVGWALILEYTIGGSAVARGISPNLALLFGGENSLPTFLARQHFPGLDVMVDPCAAVLVLIVTGFLCLGIKESALAQGIVTAVNVFAMLFVIIAGGYLGFKTGWTGYEVPTGYFPFGVDGMLSGSATVFFSYIGFDTVASTAEEVKNPKRDLPLGIALSLSICCCLYMLVSIVIVGLVPYYAMDPDTPISSAFASNGMQWAAYIITVGAVTALISTLMGSILPQPRILMAMARDGLLPSFFLEVNKHTQVPVNSTLATGIVASILAFFMDVSQLAGMVSVGTLIAFTMVAVSVLILRYVPPDEVPLPSSLQESIDSYMLRHNSDAQMINGENPAKSPGDPCLPLLGEKKVAVDCTVVEKLEALSSFTAGTLDEENRRKIASWTIMLICVGAFILTFSASNLWFSSLIRFTLCGAGGLLLLSGLVILTCIDQDDERHNFGHAGGFICPFVPLLPISCILINVYLLINLEVATWVRVSVWLMIGVLIYTFYGRRHSSLLNAIYVPKAHVDEIYRSSGAML >KJB82444 pep chromosome:Graimondii2_0_v6:13:49927878:49933547:1 gene:B456_013G195800 transcript:KJB82444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLVDSQKEGFGSSWGGLKNLVRRKQVDCAHTKRLDNPQLAKELTIPHLVAVGVGSTIGAGVYILVGEVAREHSGPALTMCFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLVGWALILEYTIGGSAVARGISPNLALLFGGENSLPTFLARQHFPGLDVMVDPCAAVLVLIVTGFLCLGIKESALAQGIVTAVNVFAMLFVIIAGGYLGFKTGWTGYEVPTGYFPFGVDGMLSGSATVFFSYIGFDTVASTAEEVKNPKRDLPLGIALSLSICCCLYMLVSIVIVGLVPYYAMDPDTPISSAFASNGMQWAAYIITVGAVTALISTLMGSILPQPRILMAMARDGLLPSFFLEVNKHTQVPVNSTLATGIVASILAFFMDVSQLAGMVSVGTLIAFTMVAVSVLILRYVPPDEVPLPSSLQESIDSYMLRHNSDAQMINGENPAKSPGDPCLPLLGEKKVAVDCTVVEKLEALSSCTLDEENRRKIASWTIMLICVGAFILTFSASNLWFSSLIRFTLCGAGGLLLLSGLVILTCIDQDDERHNFGHAGGFICPFVPLLPISCILINVYLLINLEVATWVRVSVWLMIGVLIYTFYGRRHSSLLNAIYVPKAHVDEIYRSSGAML >KJB82894 pep chromosome:Graimondii2_0_v6:13:53945435:53947827:1 gene:B456_013G219700 transcript:KJB82894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKAAVHASIQLKEPKHSFLSATDTEEYPQLLNLNLMNKECLVINHDRNLELSLGPPGEFSPIRDNASNKRIASSPVVGWPSIRSCRKNLVINSLSKSKENGGKPENPFVKIKMEGIPIGRKVNLNAYGSYEELSFAIDKLFSCLLAAQRDTSATQNGNKIESSLARNGEYTLVYDDDEGDVP >KJB80499 pep chromosome:Graimondii2_0_v6:13:18490669:18493236:1 gene:B456_013G100500 transcript:KJB80499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNSSSYTTGMEETKRSTEVCLTFPPKVISPGLATILVENDKDEQLMTYSGPRLHCQMVVIFVLTQIIHSLLKKLGLPLFISQILAGILLSPMLFSDRHSLVNISEDSVSVLGTVGALGFVFFLFLSGVKMDLSLTLKSGKKAICIGLLTVVVPLIFCMTTIKNLHPEGNEFSNKSFFLAVTYSGTSFPVIHSLLSELKILNSELGRLGLSAALIGDIVTLVLTVFSLWVKTGIEKGIKEALMDVGLALLFIVIVVFVLRPVMEWMVKRTPEAGQIKDMCFFIVVLAFMMSPRFTKLFRVYFLFGPFILGLAVPDGPPLGSALVEKLDPIISGLFLPIFATTCGLRFDPSYFKDSTKFAYHQAIGAVVTLLIKFVVSLLVPLLCKMPTRDSFALAFIMISKGIVEMGSYSIMNDSRVISEDIFTHMTIVIILVASIVPILVKKLYDPSRKYLCFQKRTIMNSKLNQELRLISCVHVPSNVSSIINLFNASCLIRDSSIALDVLHLVKLSGRATPLFIAHHKQKKTQPNKSYSENVVLAFEQFERDNREAVSVNVFTAVSPPNLMYEDICNLAMDRLTSFIILPFHRRWYIDGSIESEDQTIRSLNFDILERAPCSIGILVEGRRNLKGSNVRDPLASNNSSSYNIAVIFMGGQDDREALALAKRISQDKNVSLTVIHFKAANSLGAILTENDRMLDDAMLSDIKQSKCLTYIEKQVKDGPETSNYLRSIVEDYQLLIVGRRYKRENPQTSGLQEWCEFQEIGIIGDLLSSADFIGKYSLLIVQQQQQRTT >KJB83873 pep chromosome:Graimondii2_0_v6:13:58084711:58085833:1 gene:B456_013G269000 transcript:KJB83873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCVVWLETKQRGADAVAGVCFQGVCFFNYEAWLNGSTHIRPNAYVVWPIVSQEILNVNVGPIHIGPSQQQVKKS >KJB78765 pep chromosome:Graimondii2_0_v6:13:1207675:1209488:-1 gene:B456_013G017500 transcript:KJB78765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAFSTHKQHQHLPNLYGATAAPPPTPSAQPNHHTVTSSAAADELSNLLHRLPPTLSLPKRRSSTSATSPPPPTLSFSDPNFNHLLLSSGSELGFLQLTNHDIPSQLANSAETESLSLFELTRDQKESCFPKNWPLGFDADDDDEEEEDGDGKGESFCLDTECSTETTTDLSLTSLREFTRALEKLGLKIIDKLADAMGFDNSIGEDPTRFRSLMWISEGLHGDHDKPSGGFYPYVIGLQYQIRCQKYSLLKDSGSVSVSPQVDSIMVTLGDIAQVWSNGKLSKVRGRPMACLGDGNKSRLVSMSLLVTLPCNSRVTPLLPEVVGEEGTGDEDDESQVCGSKEEQEKRLFNSFSFEDYAWRVYNECYLFNDPLDRYRI >KJB80212 pep chromosome:Graimondii2_0_v6:13:12884531:12887077:-1 gene:B456_013G086400 transcript:KJB80212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPGCSSTTIPSSSSSSLGSSMGMVYPDMGSLSLGQNYGILGSSVSSTQDSYGCKVPEMENERASWGFHFMGNCKTRSFEENHSSDVVEGQSSDCSDGFGDDSRTINLNAILNEENPNDNTVSGKETDSGQSKLCARGHWRPAEDTKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMQAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLSQSVYRKMEETPTFVCRDAATKAEPPPYCLNIPNRRLGTISHYQFGTFNGANAGVNGGSNVSPDSSSEVPRKGFIAQQPPFDFIPGVKSNDMMSIIRQTRYWDRPIDEPQISGFYPHQHHHPSYIMAMQQSEFLSSQGLTDSTAPTAQISGSEPSSSVPGTKAATSSHYETVPPPFIDFLGVGAI >KJB79487 pep chromosome:Graimondii2_0_v6:13:4927473:4928222:1 gene:B456_013G052600 transcript:KJB79487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYAKLMIVTMVVAGLALGSGPMVANGQKVCGMNKEGFKACQPSVTAGNPSPPPPSAACCMALNEADLTCLCFFKNSKLMNDYGIDFNRAKDLPVQCNLTKSFNC >KJB83936 pep chromosome:Graimondii2_0_v6:13:58307397:58307981:1 gene:B456_013G272500 transcript:KJB83936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQDGTLCSFSPCIEQVQRSCETLRSDFTDIWTFEMLLHMYENREWKMDHLKVNDGNSTACPPHKRRQPSSEASVGDNASSRTVMAWPSAET >KJB78495 pep chromosome:Graimondii2_0_v6:13:190621:193016:-1 gene:B456_013G002400 transcript:KJB78495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLLRTHSKLEFSVLLHGFSEKACKTHQEVKFGPKKRRVPNGCVKVKASSSALLELVPETKKENLEYELPMFDPSKGAVVDLAVVGGGPAGLAVAQQVSQAGLSVCSIDPSPKLIWPNNYGVWVDEFEAMDLLDCLDTTWSGAVVYIDDGKKKDLDRPYGRVNRKQLKSKMLLKCISNGVKFHQAKVIKVIHEESKSLLICNDGVTVQATVVLDATGFSRCLVQYDKPYNPGYQMAYGILAEVEEHPFDVEKMVFMDWRDSHLSDSKELKERNSRIPTFLYAMPFSSNRIFLEETSLVARPGVPMDDIKERMVARLKHLGIKVKSIEEDEHCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVADAIVRCLDPGKNLMGDKLSTEVWRDLWPIQRRRQREFFCFGMDILLKLDLPATRRFFDAFFDLEPHYWHGFLSSRLFLPELITFGLSLFYHASNTSRLEIMGKGTVPLVNMINNLVKDRE >KJB80668 pep chromosome:Graimondii2_0_v6:13:24503277:24505608:-1 gene:B456_013G109500 transcript:KJB80668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETGAGKSYLYNNQNFDLSIDVFSQVSSKLLDDDGRPKRTGTAWTASAHIITAVIGAGVLSLARATAQLGWIAGPVVMFLFSFITYYTSTLLAACYRSGDPVNGKRNYTYMDAVRSNLGKFQNPEIFEFALPKMGGGKDPCHMNSNPFMIAFAIVEIFCSQIPDFNQLWWLSIVAAVTIGLGIGIAKDTLKAPPSESKTMKKATLLSVGVTTFFYMLCGCTGYAAFGDLSPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAYQVYCQPLFAFVQKTASEKFPDSKFTTNDIKISIPGFRPYNLNLFRLVWRTCFVMITTLISMLLPFFNDIVRLLGALGFWPLTVYFPVEMYISQKKMPKWSTTWLCRQVLSIACLVITIAAAAGSIAGIVLDLKSYTSFSTGY >KJB80411 pep chromosome:Graimondii2_0_v6:13:15968529:15969482:-1 gene:B456_013G095800 transcript:KJB80411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTGFSDPDRMFNKSFHRRKDSGELDVFEAARYFSGYNEPVGSYSCATFSQRIMREERQPWRGGRASLDVPMRNPIAQQAHVVEKQIKEKKYKQPSSPGGRLASFLNSLFNQTSSKKKKSKSTTQSMKDEEESPGGRRKRRISISHFRSSSTADTKSFYSSSNSGSRTPPPYAHTPAKSYKDFRSYLDHKQHLAASSQTKNNIGQTKSTALQHDTTTDYSWLDEKLKFLDDYSEKHHNLGARHQDKARNRADRYLAEGKDFRNFNEVDDGADSDSSSDLFELQNYDLGIYSSGLPVYETTHMDNIKRGAPISNGAL >KJB78894 pep chromosome:Graimondii2_0_v6:13:1779793:1789172:1 gene:B456_013G024400 transcript:KJB78894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTTTTTATTTTKTVNPHLTFEHKRDAYGFAVRPQHVQRYREYANIYREEEEERSGRWNDFLERQADYAQLLTNEMPSEGRKEVSHIEVAEDGNNEARKGVEGDDLCKKKLGSDSLSAKDDAEQEKVLPAPEKRGRQTAIWTEIRPSLRAIEDMMSTCVKKGRLSEEEQETSRAKPLSPAEDARFTKGSSEDDSEDESFYAERSDPVQDAPTLDRTGTIRGAAANAAPTESSFPWKEELKVLVRGGVPMALRGEIWQAFVGVRRRRVENYYQNLLANETNSGDNTNQKSYQSDGKGSATRSACGPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAAFLLLLMPEENAFWTLKGIIDEYFDGYFSEEMIESQVDQLVFEELVRERFPKLVSHLDHLGVQVAWVTGPWFLSIFMNILPWESVLRVWDVFLFEGNRVMLFRTALALIEFYGPELLTTKDAGDAVTLLQSLASSTFDSSQLVLSACMGYENVNEQVLLELREKHRSVVKAAVDERLRGLQIWRESQGRASKLYGFKQDSKSALRKTNKTGELVDSKTNGDLSRSKSESMNADENLLCLTRDAKSDSVPDLQQQVVGLKVELSRLLEEKRSAVLRSDELETALMEMVKQDNRRQLCARVEQLEQEVGELHKALFEKTEQETAMLQILMQFEQDQKETEDACRFAEQNAAAQRYSVEVLQEKYEKAIAALAEMEKRAVMAESMVEATLHYHSGQNKAQPSLSPRSPQPNSPPRNNQEVQQENPASKLNLLARPFVLGWRDRIKGKISNAYRPNDGKSSSEDQNTATQQETNTKENNAENTDDKEANGNEAHVFSRIMSLNFQKSTSWREAWK >KJB78896 pep chromosome:Graimondii2_0_v6:13:1780552:1789172:1 gene:B456_013G024400 transcript:KJB78896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSTVTRQSSDADFRDAYGFAVRPQHVQRYREYANIYREEEEERSGRWNDFLERQADYAQLLTNEMPSEGRKEVSHIEVAEDGNNEARKGVEGDDLCKKKLGSDSLSAKDDAEQEKVLPAPEKRGRQTAIWTEIRPSLRAIEDMMSTCVKKGRLSEEEQETSRAKPLSPAEDARFTKGSSEDDSEDESFYAERSDPVQDAPTLDRTGTIRGAAANAAPTESSFPWKEELKVLVRGGVPMALRGEIWQAFVGVRRRRVENYYQNLLANETNSGDNTNQKSYQSDGKGSATRSACGPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAAFLLLLMPEENAFWTLKGIIDEYFDGYFSEEMIESQVDQLVFEELVRERFPKLVSHLDHLGVQVAWVTGPWFLSIFMNILPWESVLRVWDVFLFEGNRVMLFRTALALIEFYGPELLTTKDAGDAVTLLQSLASSTFDSSQLVLSACMGYENVNEQVLLELREKHRSVVKAAVDERLRGLQIWRESQGRASKLYGFKQDSKSALRKTNKTGELVDSKTNGDLSRSKSESMNADENLLCLTRDAKSDSVPDLQQQVVGLKVELSRLLEEKRSAVLRSDELETALMEMVKQDNRRQLCARVEQLEQEVGELHKALFEKTEQETAMLQILMQFEQDQKETEDACRFAEQNAAAQRYSVEVLQEKYEKAIAALAEMEKRAVMAESMVEATLHYHSGQNKAQPSLSPRSPQPNSPPRNNQEVQQENPASKLNLLARPFVLGWRDRIKGKISNAYRPNDGKSSSEDQNTATQQETNTKENNAENTDDKEANGNEAHVFSRIMSLNFQKSTSWREAWK >KJB78895 pep chromosome:Graimondii2_0_v6:13:1780552:1789172:1 gene:B456_013G024400 transcript:KJB78895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSTVTRQSSDADFRDAYGFAVRPQHVQRYREYANIYREEEEERSGRWNDFLERQADYAQLLTNEMPSEGRKEVSHIEVAEDGNNEARKGVEGDDLCKKKLGSDSLSAKDDAEQEKVLPAPEKRGRQTAIWTEIRPSLRAIEDMMSTCVKKGRLSEEEQETSRAKPLSPAEDARFTKGSSEDDSEDESFYAERSDPVQDAPTLDRTGTIRGAAANAAPTESSFPWKEELKVLVRGGVPMALRGEIWQAFVGVRRRRVENYYQNLLANETNSGDNTNQKSYQSDGKGSATRSACGPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAAFLLLLMPEENAFWTLKGIIDEYFDGYFSEEMIESQVDQLVFEELVRERFPKLVSHLDHLGVQVAWVTGPWFLSIFMNILPWESVLRVWDVFLFEGNRVMLFRTALALIEFYGPELLTTKDAGDAVTLLQSLASSTFDSSQLVLSACMGYENVNEQVLLELREKHRSVVKAAVDERLRGLQIWRESQGRASKLYGFKQDSKSALRKTNKTGELVDSKTNGDLSRSKSESMNADENLLCLTRDAKSDSVPDLQQQVVGLKVELSRLLEEKRSAVLRSDELETALMEMVKQDNRRQLCARILMQFEQDQKETEDACRFAEQNAAAQRYSVEVLQEKYEKAIAALAEMEKRAVMAESMVEATLHYHSGQNKAQPSLSPRSPQPNSPPRNNQEVQQENPASKLNLLARPFVLGWRDRIKGKISNAYRPNDGKSSSEDQNTATQQETNTKENNAENTDDKEANGNEAHVFSRIMSLNFQKSTSWREAWK >KJB78893 pep chromosome:Graimondii2_0_v6:13:1779793:1789172:1 gene:B456_013G024400 transcript:KJB78893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTTTTTATTTTKTVNPHLTFEHKRDAYGFAVRPQHVQRYREYANIYREEEEERSGRWNDFLERQADYAQLLTNEMPSEGRKEVSHIEVAEDGNNEARKGVEGDDLCKKKLGSDSLSAKDDAEQEKVLPAPEKRGRQTAIWTEIRPSLRAIEDMMSTCVKKGRLSEEEQETSRAKPLSPAEDARFTKGSSEDDSEDESFYAERSDPVQDAPTLDRTGTIRGAAANAAPTESSFPWKEELKVLVRGGVPMALRGEIWQAFVGVRRRRVENYYQNLLANETNSGDNTNQKSYQSDGKGSATRSACGPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAAFLLLLMPEENAFWTLKGIIDEYFDGYFSEEMIESQVDQLVFEELVRERFPKLVSHLDHLGVQVAWVTGPWFLSIFMNILPWESVLRVWDVFLFEGNRVMLFRTALALIEFYGPELLTTKDAGDAVTLLQSLASSTFDSSQLVLSACMGYENVNEQVLLELREKHRSVVKAAVDERLRGLQIWRESQGRASKLYGFKQDSKSALRKTNKTGELVDSKTNGDLSRSKSESMNADENLLCLTRDAKSDSVPDLQQQVVGLKVELSRLLEEKRSAVLRSDELETALMEMVKQDNRRQLCARILMQFEQDQKETEDACRFAEQNAAAQRYSVEVLQEKYEKAIAALAEMEKRAVMAESMVEATLHYHSGQNKAQPSLSPRSPQPNSPPRNNQEVQQENPASKLNLLARPFVLGWRDRIKGKISNAYRPNDGKSSSEDQNTATQQETNTKENNAENTDDKEANGNEAHVFSRIMSLNFQKSTSWREAWK >KJB79660 pep chromosome:Graimondii2_0_v6:13:8044704:8045843:1 gene:B456_013G069600 transcript:KJB79660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYCWFRFIRHLLSISRRFIRCRGHTSLPCMSIVSTVVAIVRERFVLHPLRMVFHTDLQYQRLSQIETHCQPSKLTYRPVFSIIINLDNPSNRFTNGLQNTLLIHATRKANPNHQRRREHLRCRERRSP >KJB80132 pep chromosome:Graimondii2_0_v6:13:11263066:11263350:-1 gene:B456_013G082200 transcript:KJB80132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLQNLVCSFSKDAKSGSLFSCRKSSTVLAAATVRCSELCRLCFIAEIIVNYSFKRRVRQWTSKVLK >KJB78415 pep chromosome:Graimondii2_0_v6:13:389592:390026:-1 gene:B456_013G005400 transcript:KJB78415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKALATIALLLSINLLFLSMANAHNRPQCRNDALLLNVCANILNVVDVGIGKPLKPCCDLINGLVGLELDACLCIVVRGNVLGLVNVKPPLQLNLLLTKCGMKRRGYRCN >KJB81507 pep chromosome:Graimondii2_0_v6:13:40694053:40695093:-1 gene:B456_013G148000 transcript:KJB81507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit V, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G55670) UniProtKB/Swiss-Prot;Acc:Q9S7N7] MASASALLTPIFTTTLQNKHGSRHNIATPSFHGLRPLRKAPSSKFTSMSCGRKVGGVKAELSAPVVISLSTGLSLFLGRFVFFNFQRENVAKQVPEQNGLTHFEAGDTRAKEYVSLLKSNDPVGFNLVDVLAWGSLGHIVAYYILATSSNGYDPKFFS >KJB79652 pep chromosome:Graimondii2_0_v6:13:6609259:6614780:1 gene:B456_013G060900 transcript:KJB79652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKIICHRCKQKFSASTTTEIIICPQCRTVIPNRTPRKQSPPSGGDYGSSIPSLIGKLLKKLCHALKPATAPGLSSFVYPKSCGLDNVGALGNKRAVLCGVSYKKWKYKLKGTINDVFNMRDLLIRYYEYRDENMLILTEEQPDARLIPTKANIESSLKWLVKDCRSGDSLVFYYSGHGLRQPDFEGDEIDGFDETICPVDFLKEGMIVDNDIYATIVQPLTEGVRLHAIVDACHSGTILDLEHYYDNNEQQWKDNKPPSGARKQTSGGKAYCISACEDDQVAADTTAFSSKTMNGALTYILIEVVKANPDVTYGILLKNILERIDKANERGCVGGSGILSRIFGPNITQKPLLSASEQFNIYETQFKL >KJB81669 pep chromosome:Graimondii2_0_v6:13:43125476:43126673:-1 gene:B456_013G156200 transcript:KJB81669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSKLFTRNSGGLSDGFEVNTKEAVVFDRHNFIGVVEVYVYQARDIHNICIYHKQNVYAKLCLTSDPESTFSTKIINGSGRDPVFNENLRLKARTIDSSLKIEIVMMSRVRNYLEDQLLGFALLENEFSLSSTDQFHSPAGFVQLSLAYVGSSPEVMAIPIMHMDLVAEKTVKDSEISECELEKIEFPDPKIVNENKMMVSEYFGIPCSSLDSEIFGSLVISNAKNRVSLDMAVNVAESFSTATVNSIPVPKLESPPSIASKTSMEEHISTPKEKTADARDADSSSSRARSDSIEKPFVSVSIEPEQKVVQQEIVDMYMKSMQQFTESLAKMKLPLDINKGATKLENLSTTIVGVFTENKGEMKLRTNAKED >KJB83148 pep chromosome:Graimondii2_0_v6:13:54994327:54996942:1 gene:B456_013G231500 transcript:KJB83148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETFLFNIAKRVLAKIGNLSVDEVRLAFNVKTDLKKLEDTMISIKAVLLDAERQQHQNEKLRLCMWKLRDIFYDAEDVIDDFKCEALRKQDAINHRDNNLKVRVLGSCCLPLSFSLKMSHKIKDINGRLGELATEWKSFDLRQCSDNRHVFRRETISFVDSSDVIGRDEDKENIISMLMKPSEARNVPVIPIVGIGGLGKTTLAQLVYNDDRVTSLFPLKIWICVSEEFDLSRLLRLIIQSVNKGERCDDSTLDALQARLRSLLTEKKFLLVLDDVWNENKAKWVELRNLLRSTDGFSPSKIIVTTRSLNVASIMSSIPPYILKGLPLEDCLTLFTKWAFNDGDERHYSNLIRIGEEIVKKCKGVPLAVRTLGSLLFQKTDESDWIYIRESEIWRLEQHENDILPVLKLSYNHLPSHLQRCLAFLSLYKKDEIYYSDRVIYLWMANGLLEHPKQNQEREDVGKRYLNELLSRCLIQMEQDLVHDLALDVSQKECKTMNSETETVDENVRHLLLCDEKLVEVPRVLGEMKNVKTVIIQDASEASKTIHESLINLCVSNFKYLRTLELRDSPLSALPNSIGTLKHLRDLDLAKCEGIRELPRSFYKLRSLQFLNLEGTGLKQLPDSVQRLIELRHLVITIKATHLKEIRAGCWTSLQYLKLHYCTKLECLPEGMQYLKSLRTLVLNDCVKLVSLPRSLKFLSKLEHLEIVGCLLINLKMELEEEEKDLQLSLKTLSLFVLPALRDLPRLLLQGSSSTLQQLRIQFCQNLSVLPAWLPNLTSLQKLEIFNCFNLWDLPEGIDRLTNLRELRIYGCPELSKRYRENGGEDWHKIAHIQKVVIC >KJB83259 pep chromosome:Graimondii2_0_v6:13:55588602:55595450:-1 gene:B456_013G238100 transcript:KJB83259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRVVNSHGAEIPKKSRSLDLKSLYESGDSKEFFKNKSLKRKESSQEGDDEKRSIINNKRKKSRKALPLSSFRTNHDISSSKSLTEVYDGGVCSRLHGPESLKFGLSQNSKNGCSANGISLSLGDSGTSIPRRKRGFVRRNKFESGQVLKPDGQPSSVVVGVSEDVKLASEDSSTQNVSLKVEEEKLIDDFKENRSSEPSSVQHMKEEDSVAGYSAVNDGDSSFKRSRRKPRKKKDTVKGGKSFAKKAERLVDSSVKPFGDLQDDDEENLEENAARMLSSRFDPSCTGFSLNSKVSLSPSENGLSFLLASGRDASSRSKKFSGCESPSVDASGRVLRPRKRHGEKGNSRKRRHFYEIFSGDLDANWVLNRKIKVFWPLDKSWYYGFVNDYDKERKLHHVKYDDRDEEWVNLQKERFKLLLFPSEVPNKSEPKRSQGDGDTGDRIRNMKLNKENRKRNAMKEDDSGNGSYMESEPIISWLARSSRRVKSLPLHAVKRQKTSASLSFHRQPLSCDEAVDENGCLHGGSLKARKVKLFGSSALSDRPVDGRRIEDSSLGSCPKDGKHPIVYFRRRFRRTEKVLWQASESTCGASLVSKPIAFLGSVDDFQDLGELDVCLGRLDPEGDMLFTDNAGQLQLNISLLHSKRFRFGLSFPMLSVNDLFGAKSFWLVHSLLLLQCGTVMTIWPIVHLEVLFVDNEVGLRFLLFQGSLKEAVAFIFQVLKVFYRPAEQGKFADVQVPVTSIRFKFSLTRDFRKQIVFACCYFHEVKHFKWMFLDCKLKRYCVLNRQLPLSECTYDNIKALQNRTNQLFSSPYKGSSSLEGSRRRYRLGLSRMGVSRGSSCLEVGQLSSSSEKQNKNLPLFTLSFGAAPTFFFSLHLKLLMDYCVARISFQDHDSIENPESSGNLLLDENSNREDCVKKSFESSLGNFPKASSKVASVTELMTLDLSVSSDGRWRKYLQKHANSDQIVNGSPAIYHKPEEVGASAIGQLEKQKCDYSESQQPFLSSKVVDGDKKGSGSSSVLNGIRVELPPFDQYKNHVDSKLPSTQQSTDLTWNMNGGVIPTPNPTASRSYWHQNRSSLSIGYHAHRSSDGKVDIFHNNFGNGPKKPRTQVSYSMPFGGLDYSSKNIGYHQRGLPHKRIRRANEKRSSDVSRGSQRNMELVSCHANLLLTLGDRGWRECGAQVALERFDHNEWKLAVKMSGSTRCSYKAHQFLQPGSTNRYTHAMMWKGGKDWTLEFTDRSQWALFKDMHEECYNRNIRAASVRNIPIPGVCLVHDYDENATDVTFVRSCFKYLRQVETDVEMALDPSHVFYDMDTDDEQWISGIHISSQSDGSCSTLEFSDEMFEKIMDMFEKAAYTQQCDQFNSDEIEEFMAGVGSMELIRAVYEHWREKRQRVGMPLIRHLQPPLWERYEQQVREWELTMSKVSSIPSNAVEKPPMFAFCLKPRGLEVPNKGSKQRSQRKISVSGQINPALGDHEGFHSFGRRSNGFLFGDEKVLYPMHNYESLEDSPLSQASPRVFSQLDSGIKGYFRDGFDKHHHQKLRRSEPKKICTFLSPNESQMTTSYSQKLTGKRNGIHQQSMAFSEWPSVHHYFSDGLQRHGPEQLDNPDTDEFRYRDATSAARHALKMAKFKRERAQRLLFRADLAIHKAVVALMTAEAIKASSDNVNGDG >KJB80449 pep chromosome:Graimondii2_0_v6:13:17498098:17503090:1 gene:B456_013G098400 transcript:KJB80449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDNTAFAGLFASLLADITAVTESVECIEVEQESFFEAGCYLYRVFPVIMELKYTEKTPKNAKEILETISQNVNLAKDLVGDCDKNNQPVSDSELGSIIGQLEGVIKDIGECLCLIPSATFGGEGYAETAVRSLSEEMQNVRFKVKRPQELRTKELKPQISFAAEQHKKSEMATESSQMPQLTQNVHIEVKQPQPQELRTKELKPQTSFETEPHEKSKMEIGSSEMPQLMQNVHIEVKQPEDLRTKELEPQMSFAKEPHKKSKMATESSHMPQLMPMESDLYSVNVEVSVSTESSQASNMPCLNDFLKITNQKSQNENVNKSLPRLPQVAHYIEPMYDTFFCPLTKQIMDDPVTIESGVTYERKAIMEWFETFSHLEDIICPTTGMKLTSRVLSTNVALKTTIEQWKDRNEAARIKVARVALSLASSDNMILEAITDLQHICKRKQYNKVQVLSVGILPLLIKLLGYKDRDVRCGALELLRQLAEEDNEGKEMIANAMDLSTLLELLSSSHQSVQHASLLLLLELSRGQALREKIGSATGAILILIRIKYNHQVDPFASQKADEILKNLERFRDNIKQMAEYGFLDPLLNHLTVGSKEVQMEMASYLGEIILGNDSKTYVAERASPSLFKMVQSGNTIIRKAAFKALAQISSHHPNARILVEAGVVQIMAEEMFARRIYDEPMNSKKEAAAILANILESGVEHDSIQVNPHGHRISSDYVVYNIICMLKNSTAHELDINLIRILLCLTKSPKSMATIVSVVNETEASYTLIELINTQHEQLGIAAIKLLITLASHVGNTLAERLCKTRGQPESLIESQTETNHITEKQAVSAKFLAKLPQQNLTLNLALLHKNVVPTILQRIILIQRSGIRTSRHATVYLEGLVGILVRFTTTLYEPQILFLAKAHNLTSVFTELLTKTSSDEVQRLSATGLEKLSLESIKLSKPPKIKKTKLTNLFHLPKLLSSSSLKRRKIPLLCPVHRGVCSSETTFCLIDANAVERLLACLDHENNEVVEASLAAICTLLDDNVDVNMSVSLLSEVNAIQHILNVVKEHRQEGLWQKSFWMIEKFLVKGGNKSASDISQDRLLPASLVSAFHHGNGSTRQIAENILRHLNRMPNTSTSYYTM >KJB81597 pep chromosome:Graimondii2_0_v6:13:41328680:41332533:1 gene:B456_013G151400 transcript:KJB81597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGDSIRPSPIQISKTSKLVTVTPKTVPKGTQQVASEQDCVGMIDEIKGSKTYKLGAVDLLTKKVDLGLSLSHPKEVPSHVGSEVCQDGGLPEGSGEEEKKASEHGISSASAKSSDGATGLVKTSGSAKVGDHPDYTESGKSSMCRGSTSSDVSNESSYSSLSSRINRPNKTNDIRWEAIQAVQGKDGVLGLNHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPILVKSSSHESEPLRKNPVYCVQPACIEPSCIQPSCVAPTTCFSPRFFSSKSKKDRKPKNEMGKQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQQRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEVERIPAPTSSAGNKPAVPATKDQNNYLEFDFF >KJB81598 pep chromosome:Graimondii2_0_v6:13:41329117:41332533:1 gene:B456_013G151400 transcript:KJB81598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGDSIRPSPIQISKTSKLVTVTPKTVPKGTQQVASEQDCVGMIDEIKGSKTYKLGAVDLLTKKVDLGLSLSHPKEVPSHVGSEVCQDGGLPEGSGEEEKKASEHGISSASAKSSDGATGLVKTSGSAKVGDHPDYTESGKSSMCRGSTSSDVSNESSYSSLSSRINRPNKTNDIRWEAIQAVQGKDGVLGLNHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPILVKSSSHESEPLRKNPVYCVQPACIEPSCIQPSCVAPTTCFSPRFFSSKSKKDRKPKNEMGKQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQQRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEVERIPAPTSSAGNKPAVPATKDQNNYLEFDFF >KJB79466 pep chromosome:Graimondii2_0_v6:13:4829661:4830217:-1 gene:B456_013G051400 transcript:KJB79466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQLGSLMESIKSKVRAMKKSKPNKPYIKMDKSASVKVEIRSRKARKLIDKTLKVADQPGKRSIS >KJB82522 pep chromosome:Graimondii2_0_v6:13:51131124:51131872:-1 gene:B456_013G200400 transcript:KJB82522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLRVCIMVLACVVVSAAAQSASNVRATYHLYNPQNINWDLTAASAFCATWDASKPLAWRQKYGWTAFCGPAGPQGQAACGRCLRVTNTATGTQATVRIVDQCSNGGLDLDVNVFNQLDTNGIGNAQGHLTVNYEFVNCGD >KJB80515 pep chromosome:Graimondii2_0_v6:13:18659218:18661891:1 gene:B456_013G101000 transcript:KJB80515 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05190) UniProtKB/Swiss-Prot;Acc:O23049] MASSIISLQTSNLRSAFLGEKTGICVSSIPITRVSLVRKAIECKESRIGKQPITVPSNVTITMEGQDIKVKGPLGEMALTYPREVKVNREESGALRVRKSVETRRANQMHGLFRTLTDNMVVGVSKGFEKRLQLIGVGYRATLEGKDLVLSLGFSHPVRMAIPDGIQVKVEENTRIVISGYDKSDVGQFAATIRKWRPPEPYKGKGVKYADEVVRRKEGKAGKKK >KJB81781 pep chromosome:Graimondii2_0_v6:13:43766698:43769631:1 gene:B456_013G160700 transcript:KJB81781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPTGNEIENETTVEEREELMVSPFANGKPCLRTAHFLKPTLSSIHEPIPDLFPLSPSSLHDSFCPEQWPLKVSFTGWSNPKKAWVFWIDILRPVYQPIWEKAGIFEAIMSSTYSTKRYYNLVIGLAEKWNPGTNTFIFPWGEATISLENVLILGGYSVVGFPVTFSGDSQELREAQDKLVTEHKNIRGDTWRKIFLTEWMDYFIGSGRDIEHGAFLSWWFTRFVFQDSVGFICKSVFPIACLLARGQVVASIYRDLTLLKETIADSTKYKSAEFDDCVSKVTLCSPMKLVQLWAWERFPALQPKPNVIQEFDPRSARWNSVKFVKVENVRMVLDSAGDTFGWRPYAKVVNNRQCLEFYEKEEWVSIDRNLIKELVSFALCLRPSELVGLGPGCIQQYLPHRVAMQFGIDQDVPSHVARSNKSPEIAWNNYLRPINGGKLYIPSRFFGSDVTVRYLEWWKMVSMLVQQDVIKGIVQRKRSSRKRPRQIPWVKAKKGENEVRIPPGFLPKLITVKPELFIELEPEAFEVSKQKGNVTTRPVGHDNCFLVKAQGHSSSTADTGGSVKMEFSMTPGQKAIDIKDQSEETARVRREAIESEFECSMYSKDNISKNGESSSTFEVNMIASTLEVRVARLERIVSNLNAA >KJB83456 pep chromosome:Graimondii2_0_v6:13:56699262:56701962:-1 gene:B456_013G248600 transcript:KJB83456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASSANVYCTNATDKQISYANNSSLQKKVQLKTRPFLEDTIKDMLSKMVHVPCIKVADLGCASGPNTFFPTCEVMDIIASVCRQAHWESPKLQVFLNDLPQNDFNTVFKSIPAFNGKPCFVAGVAGSFYQRLFPSKSIHFVHSSYSLHWLSKTSPPNVSKAYSEQYRNDFSRFLRFRSEEMITEGRMLLTFIGRSITDPTSKDCCIIWDLFTKSLLDLVAEGLVCESDVDSFNVPYYYPCKEEVREIIEKEGSFVLNKLEIFEVNWDFEDDVCNENFVLKKSKSGRNVANCIRAITESMIASHFGDTIIDDLFSRFAQHVGEHLSCERTKYYVTIVVSMTMK >KJB82310 pep chromosome:Graimondii2_0_v6:13:48932016:48935855:-1 gene:B456_013G188800 transcript:KJB82310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAYIIANKRAVSSHPHRQPHLPLLLLLFSLLFIGKFDLVSALNYTKYTRKVSNLRLQRIQKHLEKINKPAVITIESPDGDIIDCVHKRKQPALDHPLLKKHKIQRVAPEMPKVKTLKRDEGSGDSKRKEGEDLIWQMWHRNGTRCPKGTVPIRRSTTRDVLRAKSLFHFGKKQSTTINPTRRADAPDVVSSNGHEHAIAYTGTSQEVYGAKATINVWDPSIQEVNEFSLSQIWILSGSFDGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDSYQATGCYNLLCAGFVQTNSRIAIGAAISPVSQYDANQYDITILIWKDPKLGNWWMGFGDNNLVGYWPAELFTHLADHATMVEWGGEVVNSRANGKHTSTEMGSGHFAEDGFGKSSYFRNLELVDADNSLSSVHDISTLAENTNCYNIKNSYNNEWGTYFYYGGPGNNPQCP >KJB82311 pep chromosome:Graimondii2_0_v6:13:48932020:48935776:-1 gene:B456_013G188800 transcript:KJB82311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAYIIANKRAVSSHPHRQPHLPLLLLLFSLLFIGKFDLVSALNYTKYTRKVSNLRLQRIQKHLEKINKPAVITIESPDGDIIDCVHKRKQPALDHPLLKKHKIQRVAPEMPKVKTLKRDEGSGDSKRKEGEDLIWQMWHRNGTRCPKGTVPIRRSTTRDVLRAKSLFHFGKKQSTTINPTRRADAPDVVSSNGHESRSNKNWMVMDACARLQHAIAYTGTSQEVYGAKATINVWDPSIQEVNEFSLSQIWILSGSFDGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDSYQATGCYNLLCAGFVQTNSRIAIGAAISPVSQYDANQYDITILIWKDPKLGNWWMGFGDNNLVGYWPAELFTHLADHATMVEWGGEVVNSRANGKHTSTEMGSGHFAEDGFGKSSYFRNLELVDADNSLSSVHDISTLAENTNCYNIKNSYNNEWGTYFYYGGPGNNPQCP >KJB82689 pep chromosome:Graimondii2_0_v6:13:52142788:52146665:-1 gene:B456_013G209700 transcript:KJB82689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PAPETFDLFDDIILMAERKILYHGPRDHILDLFENCGFRWEIFKACMSRELLLLRRNLIFYVLKITQLVFIACVIMTVFYKTQMDIDIVHANYYLAALFYTLIILVADDFPEVFMTISRLQVFYKQKMLSFYPAWAYVIPSAILKLPMSFLQSLIWTSITYFRMGYTPEASRMHTYQFVSFITTSWPGWMKWLFWVSPMSNAEIAVSGNEFLSPRWQQMLTMRTTIGQATLESRGLNFGESFFWIAIAALFGFAIVYNIGFTFALCFLKSPGWSRVVISREKLFKIQKGGSSGGKGVENRTSHMNSNGNKPASRMMVLPFEPLTLTFQDVQYYIDTPLRKLQLISNVTGALRPGILTTLMGTSGAGKTTLFDVLAETFARISSYCEQNDIHYPKIIVEESLIFSASLRLASHIDSKTKEFVKEVLEIMELNDVKDALAGIPCLSSLSTAQWKWLTIAVELVANPSVIFMDEPTTSLDARAAAIVMRAVKNVADTGRTIISTIHQTSLIYLKHLMSIQLILLKNGGSLIYFGPLGQNSCKVIEYFESITGVPKIKDNCNPTTWMLEVTSSFAEDELGADFTEIYKKSSLHELVQLLNVSLVRQMQSSYVHHQSQS >KJB82506 pep chromosome:Graimondii2_0_v6:13:50965136:50966218:1 gene:B456_013G199300 transcript:KJB82506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSTPNTWSPYETYKDCSQGICSMYCPQWCYFIFPPPPPFAIDDDDDDSSTDFSPLIIALIGILASAFILVSYYTIISKYCRRRRQSHTSLDFNENRDEINHNGWQPGSQGLDESLIKAIAVCKFKKNEGLIEGTDCSVCLSEFLEDESLRLLPKCNHAFHVPCIDTWLKSHSSCPLCRANITSTNQPATAAATVQEVHRNAGVSAIVYQQRNEAISVIQDLESGVREEAVVSLVVNEDFGKTTAGDDDVSDMAVLEIRQDGDPIQPLRRSVSMSSTLFSQGQAMSIADILHISEEDEDELQSSMGIGSSKQFDASEYYCKSNHRNGVFNLVRSPLAMKRSISTGIFMFPRYEKERN >KJB82173 pep chromosome:Graimondii2_0_v6:13:47309619:47316117:1 gene:B456_013G179400 transcript:KJB82173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNVTVFTNRQESVTADDGNGESETVNHGCGGGDGDGDGGGDGGKSGVSIAERRAATCGFKVDKINTARFRASTSPLASPPVRLPYLTIPPGISPTALLDSPIMLPNAQGSPTTGTFPVPTLNQDGQVLSVSNTDRGSKIAPSFTFKPQSMDSQPSFSSLEDQVSSSLNLVQRAEVDYQPLVHLGTPLDFEFPAEFSKEATSRSFAADSVAEVKVLNNIVNDNVNLGCHPSELAGDRTSMQKVPFNGQDVSTNLSESDPKGTNTTAGTARTSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSLDGQITEIIYKGAHNHPKPQPCRPSLGSSSSSNEMSESAEGNGTCVKIESGLIWKNTQAGSKDIKLGSDLRADGLERTSSTSVITDLSDPLSTAQGKSVGVFESADTPEFSSTLASNDDDNDDRATQGSISLCDDAANDDESESKRRKTESCSTEMNVASGAIREPRVVVQIESEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSPGCPVRKHVERASHNLKCVLTTYDGKHNHEVPAARSSSHVNTSACNLPPTVPNSQAALALSRNSHVLKPETPIQDIAPPFDRKPEFKIEYMRPSFLGDFSNEMKLGTASLASVYQMKFPSLQKAIPYGTFGLNPNCIATRSSGSIASTVPNFPISMPLNLPTSANLSLAGFDINNGGKPAAPIHSFLPGQQFKENAARFHGIKQELKDDNHYDPCLPIVDHASATSSSSSSVYRQQATGNFPS >KJB82172 pep chromosome:Graimondii2_0_v6:13:47309832:47316096:1 gene:B456_013G179400 transcript:KJB82172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNVTVFTNRQESVTADDGNGESETVNHGCGGGDGDGDGGGDGGKSGVSIAERRAATCGFKVDKINTARFRASTSPLASPPVRLPYLTIPPGISPTALLDSPIMLPNAQGSPTTGTFPVPTLNQDGQVLSVSNTDRGSKIAPSFTFKPQSMDSQPSFSSLEDQVSSSLNLVQRAEVDYQPLVHLGTPLDFEFPAEFSKEATSRSFAADSVAEVKVLNNIVNDNVNLGCHPSELAGDRTSMQKVPFNGQDVSTNLSESDPKGTNTTAGTARTSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSLDGQITEIIYKGAHNHPKPQPCRPSLGSSSSSNEMSESAEGNGTCVKIESGLIWKNTQAGSKDIKLGSDLRADGLERTSSTSVITDLSDPLSTAQGKSVGVFESADTPEFSSTLASNDDDNDDRATQGSISLCDDAANDDESESKRRKTESCSTEMNVASGAIREPRVVVQIESEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSPGCPVRKHVERASHNLKCVLTTYDGKHNHEVPAARSSSHVNTSACNLPPTVPNSQAALALSRNSHVLKPETPIQDIAPPFDRKPEFKIEYMRPSFLGDFSNEMKLGTASLASVYQMKFPSLQKAIPYGTFGLNPNCIATRSSGSIASTVPNFPISMPLNLPTSANLSLAGFDINNGGKPAAPIHSFLPGQQFKENAARFHGIKQELKDDNHYDPCLPIVDHASATSSSSSSVYRQQATGNFPS >KJB82174 pep chromosome:Graimondii2_0_v6:13:47309832:47313938:1 gene:B456_013G179400 transcript:KJB82174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNVTVFTNRQESVTADDGNGESETVNHGCGGGDGDGDGGGDGGKSGVSIAERRAATCGFKVDKINTARFRASTSPLASPPVRLPYLTIPPGISPTALLDSPIMLPNAQGSPTTGTFPVPTLNQDGQVLSVSNTDRGSKIAPSFTFKPQSMDSQPSFSSLEDQVSSSLNLVQRAEVDYQPLVHLGTPLDFEFPAEFSKEATSRSFAADSVAEVKVLNNIVNDNVNLGCHPSELAGDRTSMQKVPFNGQDVSTNLSESDPKGTNTTAGTARTSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSLDGQITEIIYKGAHNHPKPQPCRPSLGSSSSSNEMSESAEGNGTCVKIESGLIWKNTQAGSKDIKLGSDLRADGLERTSSTSVITDLSDPLSTAQGKSVGVFESADTPEFSSTLASNDDDNDDRATQGSISLCDDAANDDESESKRRKTESCSTEMNVASGAIREPRVVVQIESEVDILDDGYRWRKYGQKVVKGNPNPRYQNLSVVSFLLCLISFACEAK >KJB82175 pep chromosome:Graimondii2_0_v6:13:47309832:47314836:1 gene:B456_013G179400 transcript:KJB82175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQPSFSSLEDQVSSSLNLVQRAEVDYQPLVHLGTPLDFEFPAEFSKEATSRSFAADSVAEVKVLNNIVNDNVNLGCHPSELAGDRTSMQKVPFNGQDVSTNLSESDPKGTNTTAGTARTSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSLDGQITEIIYKGAHNHPKPQPCRPSLGSSSSSNEMSESAEGNGTCVKIESGLIWKNTQAGSKDIKLGSDLRADGLERTSSTSVITDLSDPLSTAQGKSVGVFESADTPEFSSTLASNDDDNDDRATQGSISLCDDAANDDESESKRRKTESCSTEMNVASGAIREPRVVVQIESEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSPGCPVRKHVERASHNLKCVLTTYDGKHNHEVPAARSSSHVNTSACNLPPTVPNSQAALALSRNSHVLKPETPIQDIAPPFDRKPEFKIEYMRPSFLGDFSNEMKLGTASLASVYQMKFPSLQKAIPYGTFGLNPNCIATRSSGSIASTVPNFPISMPLNLPTSANLSLAGFDINNGGKPAAPIHSFLPGQQFKENAARFHGIKQELKDDNHYDPCLPIVDHASATSSSSSSVYRQQATGNFPS >KJB82784 pep chromosome:Graimondii2_0_v6:13:52691723:52692430:-1 gene:B456_013G212700 transcript:KJB82784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRRAKIPDPEHIIDSTTKLLNEGVAFLVLAERKVMPFVQCRKGPDAVGSFGLLQPLADGLKLILKEPISPSSANFSLFKMAPVATFMLSLVAWAVVPFDYGMVLSDSNIGLLYLFAISSLGVYGIITAGRSSN >KJB83941 pep chromosome:Graimondii2_0_v6:13:55041846:55042264:1 gene:B456_013G2320003 transcript:KJB83941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIIHSVNKGERCDDSTLDALQARLRSLLNDKKFLLVLDDVWNENKAKWAELRNLLRSTDGFSPSKIIVTTRSLNVASIMSSIPPYILKGLPLEDCLTLFTKWAFDDGDERHYPNLIRIGEEIVKKCKGVPLAVRTLGSL >KJB80701 pep chromosome:Graimondii2_0_v6:13:31339735:31342277:-1 gene:B456_013G122500 transcript:KJB80701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGVDISPNPVISGKPATFTISASTAITGGKAVIEVYFFGFHIHQETHDLCEETSCPITVGNFVLSHNQVLPGFTPPGSYKLKMTLSGARIKQLTCISFDFKISFGASESSVSDHQVYI >KJB82515 pep chromosome:Graimondii2_0_v6:13:51086989:51090446:-1 gene:B456_013G200100 transcript:KJB82515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSSIPLKFTVRRCEPELVAPAKPTPYEQKLLSDIDDQASLRFQIPVINFYQYEPSMEGNDPAEVIREALAQTLVCYYPFAGRLREGANGKLIVDCTGEGVMFIKADADVTLEQFGEPLLPPFPCSDELLYNVPGSEGMLNCPLLLIQVTRLKCGGFIFALRLNHVMSDGTGLAQFLFAIGEMARGVATHSISPVWERHLLDARHPAGITFTHREYDEVEAPVTTPITILPFDNPVQRSFSFGFAEVSLLRSLLPPHLRRCTTFELITACLWRCRTLAINLDPDEEVRMICIVNARSKFNPSFPSGYYGNVFIFPAAITTVKSLREKPLGYAVELIKQAKASVTEEYVKSVAALMVVRGKRIHFPNVIGTYIISDLTKVEIEDTDFGWGKAVFGGPMIAVGVISFLMPTKNKKGEVGRVASICLPAPAMERFAKELENMLKQQPSEGKKSKSNSISSAL >KJB82615 pep chromosome:Graimondii2_0_v6:13:51597758:51598430:-1 gene:B456_013G205000 transcript:KJB82615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPVELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >KJB82614 pep chromosome:Graimondii2_0_v6:13:51596915:51598593:-1 gene:B456_013G205000 transcript:KJB82614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPVELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKASLVG >KJB83872 pep chromosome:Graimondii2_0_v6:13:58079329:58082223:-1 gene:B456_013G268900 transcript:KJB83872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDARKKKAAQKKADNGVDNVSDGDSALQISDRTCTSDLCSHPVSRDIRIESLPVTFHGHDLILSHTTLLRYYSVERDYSQDRHDPFLHHLLSVIKPTHLFGSLEDVLFIPLICNNVNFNNAANPFSIARFIMNDALVQGLLDCMDAKNSKWRTVPLPHETLGRPFWLLDWRLNQAYAWFPQEGHFSKEDLIAAQILGIPCTPRLGPCEVDDWQFFAGNALPAQLNVANYKRATPRRFYGAAERYGLLGLNGCGESTLLAAIELPELPVPEHMDIYHLTREIEASDMSALEADDGDGEQLERIYERLDAMDASTAEKLAAEILFGLGFNKMMQEKKTRLGNTIDSLAEALNEWDIGGLVLVSHDFRLINQVAEEIWVCENQTLTRWEGNIMDSKAQATSQE >KJB83871 pep chromosome:Graimondii2_0_v6:13:58080011:58082223:-1 gene:B456_013G268900 transcript:KJB83871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDARKKKAAQKKADNGVDNVSDGDSALQISDRTCTSDLCSHPVSRDIRIESLPVTFHGHDLILSHTTLLRYYSVERDYSQDRHDPFLHHLLSVIKPTHLFGSLEDVLFIPLICNNVNFNNAANPFSIARFIMNDALVQGLLDCMDAKNSKWRTVPLPHETLGRPFWLLDWRLNQAYAWFPQEGHFSKEDLIAAQILGIPCTPRLGPCEVDDWQFFAGNALPAQLNVANYKRATPRRFYGAAERYGLLGLNGCGESTLLAAIELPELPVPEHMDIYHLTREIEASDMSALEAVISCDEERLKLEKEAEILAA >KJB82531 pep chromosome:Graimondii2_0_v6:13:51205771:51207197:1 gene:B456_013G201100 transcript:KJB82531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIMSEELIAEFQEAFCLFDKDGDGCITMEELAIAIKSLDQNPTQEELQNMINEVDSNGNGTIEFGEFLNLMATKMKEAEAEDELKEAFRVFDKDQDGYISPYELRLVMMNIGEKLTDEELEQMIREADLDGDGRVNYEEFVRMMLAAAS >KJB79910 pep chromosome:Graimondii2_0_v6:13:8492914:8494191:1 gene:B456_013G071700 transcript:KJB79910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTLVCLAATAMLVQLAMAANYTVGGANGGWDSSTDLQTWAASQKFAVGDNLIFQYTPNHDLVEVTKADYDSCQTSSPIRTYTDGNTVVPLTSPGKRYFICGTLGHCSQGMQIEIDTLATSPTYQPSASPAPETSPSPAETPELALETSPSFPPSVYPAPETLPSLPPSVYLAPETSPLPTETPEAAPGSLSSDVVPSIESAGTSSSRSDLSQQPLPSSANTNSFRICLGFGFGLMLVVLLAL >KJB83128 pep chromosome:Graimondii2_0_v6:13:54928735:54932575:1 gene:B456_013G230800 transcript:KJB83128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCSVILFPIAITFYVTWWFIHFVDSFFSPIYNKLGIDIFGLGFITSLTFIFLIGVFMSSWLGASVLALGEWFIKRMPFVRHIYNASKQISSAISPDQKSQAFKEVAIIRHPRIGEYAFGFITSSLTLQSYSGDEELCCVYVPTNHLYIGDIFLINTKDVIRPNLSVREGIEIVVSGGMSMPQILSTVDTRLPLESRSDRS >KJB83126 pep chromosome:Graimondii2_0_v6:13:54928443:54932954:1 gene:B456_013G230800 transcript:KJB83126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKSTIVMASRESRDRELLIPVADPVHDDSSKPSSSSSPRHAGHETFYKFVRSWASKKFMTGCVILFPIAITFYVTWWFIHFVDSFFSPIYNKLGIDIFGLGFITSLTFIFLIGVFMSSWLGASVLALGEWFIKRMPFVRHIYNASKQISSAISPDQKSQAFKEVAIIRHPRIGEYAFGFITSSLTLQSYSGDEELCCVYVPTNHLYIGDIFLINTKDVIRPNLSVREGIEIVVSGGMSMPQILSTVDTRLPLESRSDRS >KJB83127 pep chromosome:Graimondii2_0_v6:13:54928735:54932575:1 gene:B456_013G230800 transcript:KJB83127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKSTIVMASRESRDRELLIPVADPVHDDSSKPSSSSSPRHAGHETFYKFVRSWASKKFMTGCVILFPIAITFYVTWWFIHFVDSFFSPIYNKLGIDIFGLGFITSLTFIFLIGVFMSSWLGASVLALGEWFIKRMPFVRHIYNASKQISSAISPDQKSQAFKEVAIIRHPRIGEYAFGFITSSLTLQSYSGDEELCCVYVPTNHLYIGDIFLINTKDVIRPNLSVREGIGENCCFRGNVHAPDPVNSRHTFASRK >KJB81271 pep chromosome:Graimondii2_0_v6:13:36271306:36271539:-1 gene:B456_013G135600 transcript:KJB81271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKGGSGGGSKGGCGGSGGGSGNVGGGSTSKGGGGASGMMVAPGSGGAAIISRGAFESNPKGYFAGLHSSEKGNK >KJB78797 pep chromosome:Graimondii2_0_v6:13:1363664:1365426:-1 gene:B456_013G019500 transcript:KJB78797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYRPPPPSSIDLDLTVVSAKHLKNVNWRNGDLKPYAVFWVDPDRRLSTRSDDAGSTRPVWNERFTLPLPSPLHDVVLTLEIFHSKPSETPKPLVATLRVELKELPDPDDRSKIRTFPLLRPSGRPQGKIRVKLGIRERPLPPPPDYHFAPPNYYYTNVPPHPPRYSGLPYVSHPPPPQSPTYSVPDSYSPHFSNHYYPTPPPPMPPRPFLERSYSYTGPSAPLDYSPYDQRPRGGPKFGTGSTVGAAAGALGGLSLEEGLRYEEERNRGRVEDDVASRDRDDYSDYRHPDY >KJB81622 pep chromosome:Graimondii2_0_v6:13:41714831:41716408:-1 gene:B456_013G152700 transcript:KJB81622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIEGQFPYPWHQHCKILHLVRHGQALHNVEGDKNPEALLSPNLFDAQLTPLGLKQVAKLRNEVHASGLFNRIELVVTSPLSRAMETASGCFGREGENAVSSSTPKIMAVELCRDRLGVRPCDMRRKMSDCRSRFPWIDFSMANDNLWNPELRESEEELAKRVIKLLNWVWKRPEKEIVVVSHGLVLQHILINLLANSDFNPTLTPALCKRFDNCELRSVVVLDTSKIERERWAWLCSDDKAKDVLKQCGHHSIDVATCIYLKSSLVA >KJB78784 pep chromosome:Graimondii2_0_v6:13:1298619:1299502:1 gene:B456_013G018800 transcript:KJB78784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRNDPSGSKLISNNRSDRTNKNLFYSIYSNAMIQQSFSQNHETIHTLLNRNKESQSLIILSSSSCFCISPFNDVKYHNVIKQSIKKDPLIPIKNLLGPLGTIPKIVNFYSSFYPLITHNQTSVAKYLELDNLKQAFQVLNYYLIAKNEKIYNFDPCRNIFLNAFICENVCIAKSGPLLKSCQVFIVQADSIVIRSAKPYLATTRETVHGHYGEILYEGDTLVTFIYEKSRSDDIRQGLLKVEQVLEVRSINSISMNLKKRIEG >KJB80124 pep chromosome:Graimondii2_0_v6:13:11145053:11147218:-1 gene:B456_013G081600 transcript:KJB80124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKLAAFLGRGFKPSKFKSLVTLAISRLAVFKNQRQIRCNQARSDVVQLLQLSHHDRALLRVDQVIKEQNMLDVFVILEGYCNLVIERLHLIEQDRLCFSIISLFFPCRVFKFLDNPLFVFCCRVCPDELKEAITGLLFASSRCGDFPELLEIRAVFTSHYGKEFAARAIELRNNCGVNAKIIQKLSTRQPDLQSRRNVLNQIAAEYGIALQLEETTDSSEGNLDVSKKQETSVKASHDAGDDDEFSDSTKTRRKYRDVADAAQAAFESAAYAAAAARAAVELSRSDSYDPDDQNGPNTRQNTVSDKQEANSKDMENHGSQAVELNHTKKTPEIKMSSPSSSEGSAEGIIDLRTMSFNEVDPLKLLEKEVVIYESDDDKYDSRRSSFDLNARKLKGKVLNTDNGGEHSEKKLD >KJB80123 pep chromosome:Graimondii2_0_v6:13:11145032:11147305:-1 gene:B456_013G081600 transcript:KJB80123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKLAAFLGRGFKPSKFKSLVTLAISRLAVFKNQRQIRCNQARSDVVQLLQLSHHDRALLRVDQVIKEQNMLDVFVILEGYCNLVIERLHLIEQDRVCPDELKEAITGLLFASSRCGDFPELLEIRAVFTSHYGKEFAARAIELRNNCGVNAKIIQKLSTRQPDLQSRRNVLNQIAAEYGIALQLEETTDSSEGNLDVSKKQETSVKASHDAGDDDEFSDSTKTRRKYRDVADAAQAAFESAAYAAAAARAAVELSRSDSYDPDDQNGPNTRQNTVSDKQEANSKDMENHGSQAVELNHTKKTPEIKMSSPSSSEGSAEGIIDLRTMSFNEVDPLKLLEKEVVIYESDDDKYDSRRSSFDLNARKLKGKVLNTDNGGEHSEKKLD >KJB80155 pep chromosome:Graimondii2_0_v6:13:11619536:11624426:-1 gene:B456_013G083300 transcript:KJB80155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETRKKNGGNEDSKKKERHIVTWTQEEDDILRGQISLHGTENWAIIANKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKVFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENTATCINPNNKRILLQNGFNLDGTADCTDFVKRMRRSHVSDLTENCIFGDRSHRVSGTMMNQQLRHPFAVLGQNLHNAKNVMVQSQVNNVKEVSSDAQDNSTRGTFLRKDDPKINALMQQAELLSSLALKVNSDNTEQSLENAWKMLQDFLNQSKENDILRYTMSDIDLQLEDFKDLLEDLRSSNEGSRPSWRQPDLYEASPASSEYSTGSTLMPHPAGETGEEAQGKIDELHQDLPSSHIGEQNCGSEEKRAVSGANTNQVEIIPSCDDITNNIVASTSSSIEFSSPIQVTPLFRSLAAGIPSPNFSESERNFLLKTLGMESPSPNPGNNHSQPPPCKRVLLQSL >KJB78770 pep chromosome:Graimondii2_0_v6:13:1245619:1248403:1 gene:B456_013G018100 transcript:KJB78770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPFSPFHFAVSFFTVISFPPLTHALGSGSTFAVAYATATVCAIVAAEPSQRIVCYRAGNASSFAVSVLPNISYSTVAGGRTIICAVRSSGSSLLCWETNDPTFPVKRLYYNDTVLLKSLAIGDGKICATSTNATSSVSCWRPIGNNGNNNDELPNGNYTMGKITSGFGFSCGIVLSENNRVSCWGSNSIGKTIEEQFGNISISNIEAGFSHVCGVNSVGDLLCKGDNSNGQLNVPLNKGLTFVSGLALGDGFSCAIRRSNGTVVCWGSMNESAIEGIEMESVVAGLNFTCGLTTRDFSIVCWGPGWPLSNGSASNSSLMELPFGAEILPGPCLQSSCNECGLYPQSSRLCFGNGNICKPSPCFNFTIPSSPLPASPPLPVGTSRSSPLRRGLLAFVIVGSVGGFMGICSIIYCLWTGVCCGRKKVHNSVQPRITRVGSNGGPGSNNSSLSRSFTIRRHSSRAMKRQRSGTSSKHADRAEEFTLSELAAATNDFSPENKIGAGSFGIVYKGKLWDGREVAIKRGETGQKTKKFQEKETAFESELAFLSRLHHKHLVRLVGYCDEKDERLLVYEYMKNGAVHDHLHDKNNVVKTSSLINSWKMRIKIALDAARGIEYLHNYAVPPIIHRDIKSSNILLDMNWTARVSDFGLSLMDPESDHNYKPMKAVGTVGYIDPEYYGLNVLTTKSDVYGLGVVMLELLTGKRAIFKNDDNGGTPISLVDYAVPAIMAGELVKVLDQRVGPPELNETEAVELMAYTAMHCVNSEGKERPIIGDIVSNLERAFNVCDGSHGSISSGAFSFVSD >KJB83258 pep chromosome:Graimondii2_0_v6:13:56313644:56314168:-1 gene:B456_013G243900 transcript:KJB83258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPTILFAISAIMAIFIARTTQAYFDKEVPKVQTNQISSQGLCRFLTQQYPKHGMTCDKFPRICRQKAGSPGPDCCKRKCVNVMKDRFNCGMCGYKCKYSEICCKGQCVNASFDKRNCGGCHKKCKKGEFCVYGMCNYA >KJB83888 pep chromosome:Graimondii2_0_v6:13:58117295:58118879:1 gene:B456_013G269600 transcript:KJB83888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQSNTQKISWTKGKCLGKGSFGTVSLAINELDGSVFAVKCVDLATCLPNQLESLENEIRILRSFSSPFVVEYLGDDVTSYEFLTTSYRNLHMEYLQGGTVVDFGAFKRRLADVDERILRWQTRCLVSALKYVHGQGIVHCDVKGKNALVGSDLATVKLADFGSAVDVKRESAGNRCMSLITPRGSPLWMAPEVIRGEYQGPESDVWSLGCTVIEMVTGKPAWEDQGFNSLSRIANSDELPELPTQLSELGKDFVKKCLRRDRNQRWSCDQLLQHPFLASASPPNTTKGSSPRCVLDFANSDFEEDENTENVDDSARERICKLATVRGVVWESDGWMAVRSLSFLRASSVNCEEGINTEYLESMRTGLEFPDCFDVSNSVEWQCGNSEDVRGLKSSSVGLRCDFSALRSHGYRSENVELAVDKGEFRFYRYCNLLLQLFLSNLRIFRYILYFIFVLITALLQLFLSNLINPKLSQSTQA >KJB83281 pep chromosome:Graimondii2_0_v6:13:55755619:55756173:-1 gene:B456_013G2395002 transcript:KJB83281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AWSNGRLKSGMHRVMMSGDKDRYSIAAFAVPNKGTIIKTPKELIDDQHPQLYKDFDFMEYFFFTASDLAKRFNSNQQIDAFAALSPPISN >KJB79630 pep chromosome:Graimondii2_0_v6:13:6356541:6359210:-1 gene:B456_013G059800 transcript:KJB79630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSPREDNVYMAKLAEQAERYEEMVKFMETVVSAVPSSDELSVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNADHVSVIREYRAKIEAELSEICAGILKLLDEKLVPAAKTGDSKVFYLKMKGDYHRYLAEFKTGDDRKAAAENTLTAYKSAQEIATSELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQDDATDEIKEAPKREEEKQE >KJB79631 pep chromosome:Graimondii2_0_v6:13:6356565:6359210:-1 gene:B456_013G059800 transcript:KJB79631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSPREDNVYMAKLAEQAERYEEMVKFMETVVSAVPSSDELSVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNADHVSVIREYRAKIEAELSEICAGILKLLDEKLVPAAKTGDSKVFYLKMKGDYHRYLAEFKTGDDRKAAAENTLTAYKSAQEIATSELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQVRT >KJB81506 pep chromosome:Graimondii2_0_v6:13:40690419:40693830:-1 gene:B456_013G147900 transcript:KJB81506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEHQEQSESRNGHANMSRYPSPTATYEDVLQSPDLFWEKLKAFHISLGFKFKVPVVGGKALDLHQLFVEVTSRGGLEKVIKDRKWKEVIVGFRFPTTITSASFVLRKYYLSLLYHFEQVYYFRKQLSSVSTPGIASESLVTGSANAMEDASTNQLTAVGAQELQIGSSVTGIIDGKFDNGYLVTVRLGSDQFKGVLYHIPQMHQLSQSSNTSDVPPRRRKRSRLAQDPSRPKSNRSGYNHFYAEHYAQLKPMYYGEEKVISKKIGNLWSNLTEAEKQVYQEKGMKDERYGTEMLEYRSSHDSTPQ >KJB81505 pep chromosome:Graimondii2_0_v6:13:40690419:40693706:-1 gene:B456_013G147900 transcript:KJB81505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEHQEQSESRNGHANMSRYPSPTATYEDVLQSPDLFWEKLKAFHISLGFKFKVPVVGGKALDLHQLFVEVTSRGGLEKVIKDRKWKEVIVGFRFPTTITSASFVLRKYYLSLLYHFEQVYYFRKQLSSVSTPGIASESLVTGSANAMEDASTNQLTAVAGAQELQIGSSVTGIIDGKFDNGYLVTVRLGSDQFKGVLYHIPQMHQLSQSSNTSDVPPRRRKRSRLAQDPSRPKSNRSGYNHFYAEHYAQLKPMYYGEEKVISKKIGNLWSNLTEAEKQVYQEKGMKDERYGTEMLEYRSSHDSTPQ >KJB79653 pep chromosome:Graimondii2_0_v6:13:6622078:6625118:1 gene:B456_013G061000 transcript:KJB79653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVKGIVQTLLLNRLWENGGMFDWWDEIDKSQECQRFIFHLLAVSYAFVSFGALVQLCRIQQRVPEYGWTTQKVFHLMNFVVNGLRAVLFGFYKTAFLVKSKALEMVLLDLPNLLFFSTYMLLVLFWAEIYYQARSLPINKLRPAYYSINGFMYFAQACIWISVRLSHSPIAIEFARLFISVISLCAAFGFILYGGRLFFMLRRFPIESRGRQKKLFEVGFVTGICCACFLLRCFMVMASVFDKNADVDVLYHPLLNLIYYMLVEILPSALVLFILRKLPPKRVSDQYYPIR >KJB80521 pep chromosome:Graimondii2_0_v6:13:18828341:18828662:-1 gene:B456_013G101700 transcript:KJB80521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCHVAKFMQIQQHKLGLFSVYDGHLGDIISSYLKKHLFANILNKIGCAISFSNGLLLLFLKHHMLTFFYLLLEEFWVDPFRLMRKQIKQFFHKAQTWVVVDPLL >KJB82663 pep chromosome:Graimondii2_0_v6:13:51946056:51946845:1 gene:B456_013G207900 transcript:KJB82663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLPDLEPGPEMSPEFERKKRRKTEGNPSSFHPTQGNRRIKQWRTQREQRIYSSNLIESLRRSPTPTGNYARDMAYRLLAVSAKGRTRWSRAILLGRVAAGAKMRKHKRAKVGANRKLRKPVSNRQKRKMPAVERKLMALGRLVPGCRKLPLANLLEETSDYIAALEMQVRAMTAISEFLASGGAQPPAGRLVNS >KJB78960 pep chromosome:Graimondii2_0_v6:13:2007217:2007891:-1 gene:B456_013G026700 transcript:KJB78960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKNTYKISHEDLEETLSFCDLSLEDYQDIDDISHHSLSSPSHDHQHFEFPIVPNTPLNINKANGFAFCGKLINDQGFVDGDGDGDQSRSVFRLSSVRQFNNNEKDLGSFYLLNSKPISCLSTKGFRSQSYSGLRKHKALIGITKIEPKMELGDMKKRQSRRNHPLPMFPPMATGDMAIVEANDVSDSGEKRGRRWNLLRCLRGRPNLFSALAKTSLRCIPRV >KJB82070 pep chromosome:Graimondii2_0_v6:13:46327825:46328709:1 gene:B456_013G174700 transcript:KJB82070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSWFCKVSNISSWFCKVSSNGTIVFLDLSFYHLSGTIPDSLGFMSDFHASRIIGANLISYSNNNLTGPIPSSGQLTTFPASRYENNLGLRGMPLPHEF >KJB83194 pep chromosome:Graimondii2_0_v6:13:55295128:55297497:-1 gene:B456_013G234700 transcript:KJB83194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYECVKRAWHSETHQLIRGSMVQQILRLAIQTHSSATKKNMEWRDKILIVIVKAEEIMYSKANSEIRFSKSLVDLDGKRHLCVVRNGCNLQQGDRYRCRVYETDGS >KJB83667 pep chromosome:Graimondii2_0_v6:13:57329441:57331573:1 gene:B456_013G257400 transcript:KJB83667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKITLKTEKILVEEKSKSIITIIDSGINVNHECFEDKHIPILESNHLNIPFKWYVVAIENYTKGKVLTKATGFIAFNFPKDLDGHGTAFNRSVEVSKNSLLDAMKKVTLDKVDVIMVPLSTDTLSNNSSYLGDPVNLGGYLAMKENIVVCTSSGNHGDDYYTLSGGLAPWVIEVESLNSGGRFITQVELGSVTQIKGFGSFMDEDYCELIHWSEYVPYKFLFRLYYNFNIEIINELLNANITGILSVYSIHNFQSYELHRPIVYMTQKDGIKIQKYIDNKKKKAKIYQTVYEEQDGDLCKVSLTSGKGPNPHDPYVLKPDICVPGEDIFCANKYDAQNMYAHYQVISGTSMATAVVAGMLSYIKSFHKDWGIAGIKSAIITSANQVTKLSNEAVLAMGSGCINPLKAMNPSLVYDISPEEYRRYLLSREGELKYLALMEENIEGEKILGMDLNLPNF >KJB78872 pep chromosome:Graimondii2_0_v6:13:1726273:1726605:1 gene:B456_013G023500 transcript:KJB78872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMFVTAGNVRQLLPPFPFAPTPGIAPFQLGEAQNCWSSLTKIQGCMTAISDSFFYGQIGAIGSACCRAITRISDDCWSKMFPFNPFSPPFSQDLLFISNTTCKTDIEWH >KJB81137 pep chromosome:Graimondii2_0_v6:13:34260730:34265442:1 gene:B456_013G131100 transcript:KJB81137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase haspin homolog [Source:Projected from Arabidopsis thaliana (AT1G09450) UniProtKB/Swiss-Prot;Acc:O80528] MDGQAKVRSGGEVDLWSEIIGASDQPSTNAPLIQPVYQRRRRTSHPTPSDSDHPLLLQPTNSNRLSFAAANNKRVSWNRSLSIRGRISIAVAPCLANQPQQKQPRRRGKPPVPKGKAAEHSSLDKERAYFQEVDAFELLEESPSPKHFGTWATGNQTVTDPIPHVSSRLEKWLFSKKINFSCGPSTTLSKILETPAAPMDPIYTSGLDLSSVKTPEKFSPVSVIDADQGCEDIDAAIKKLSLASKSTSSHLDRIDPFTALLGICEQPEPMKFLDLFSKYCAPESIAKIGEGTYGEAFRAGNTVCKIVPFDGDFPVNGELQKKSEELLEEAVLSQVLNSLREFEKNDSNACTTFIETIDLKVCQGSYDAALIRAWEKWDEKNGSENDHPKEFPEKQRYLVFVLQHGGKDLESFVLENFDEARSLLVQVTAALAVAEAAYEFEHRDLHWGNILLSRNGSVTVKFILEGKQISFRTYGLSVSIIDFTLSRINTGENILFLDLSQDPYLFKGPKGDKQSETYRKMKEVTEDHWEGSFPRTNVLWLVYLVDILLLKKTYVRCSKNERDMRSLKKRLDKYDSAKEAILDPFFEDLFVNN >KJB81138 pep chromosome:Graimondii2_0_v6:13:34260874:34265268:1 gene:B456_013G131100 transcript:KJB81138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase haspin homolog [Source:Projected from Arabidopsis thaliana (AT1G09450) UniProtKB/Swiss-Prot;Acc:O80528] MDGQAKVRSGGEVDLWSEIIGASDQPSTNAPLIQPVYQRRRRTSHPTPSDSDHPLLLQPTNSNRLSFAAANNKRVSWNRSLSIRGRISIAVAPCLANQPQQKQPRRRGKPPVPKGKAAEHSSLDKERAYFQEVDAFELLEESPSPKHFGTWATGNQTVTDPIPHVSSRLEKWLFSKKINFSCGPSTTLSKILETPAAPMDPIYTSGLDLSSVKTPEKFSPVSVIDADQGCEDIDAAIKKLSLASKSTSSHLDRIDPFTALLGICEQPEPMKFLDLFSKYCAPESIAKIGEGTYGEAFRAGNTVCKIVPFDGDFPVNGELQKKSEELLEEAVLSQVLNSLREFEKNDSNACTTFIETIDLKVCQGSYDAALIRAWEKWDEKNGSENDHPKEFPEKQRYLVFVLQHGGKDLESFVLENFDEARSLLVQVTAALAVAEAAYEFEHRDLHWGNILLSRNGSVTVKFILEGKQISFRTYGLSVSIIDFTLSRINTVFPEQMCYGWYIWWIYCC >KJB81139 pep chromosome:Graimondii2_0_v6:13:34260874:34265268:1 gene:B456_013G131100 transcript:KJB81139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase haspin homolog [Source:Projected from Arabidopsis thaliana (AT1G09450) UniProtKB/Swiss-Prot;Acc:O80528] MDGQAKVRSGGEVDLWSEIIGASDQPSTNAPLIQPVYQRRRRTSHPTPSDSDHPLLLQPTNSNRLSFAAANNKRVSWNRSLSIRGRISIAVAPCLANQPQQKQPRRRGKPPVPKGKAAEHSSLDKERAYFQEVDAFELLEESPSPKHFGTWATGNQTVTDPIPHVSSRLEKWLFSKKINFSCGPSTTLSKILETPAAPMDPIYTSGLDLSSVKTPEKFSPVSVIDADQGCEDIDAAIKKLSLASKSTSSHLDRIDPFTALLGICEQPEPMKFLDLFSKYCAPESIAKIGEGTYGEAFRAGNTVCKIVPFDGDFPVNGELQKKSEELLEEAVLSQVLNSLREFEKNDSNACTTFIETIDLKVCQGSYDAALIRAWEKWDEKNGSENDHPKEFPEKQRYLVFVLQHGGKDLESFVLENFDEARSLLVQLSVNLSIALQVTAALAVAEAAYEFEHRDLHWGNILLSRNGSVTVKFILEGKQISFRTYGLSVSIIDFTLSRINTGENILFLDLSQDPYLFKGPKGDKQSETYRKMKEVTEDHWEGSFPRTNVLWLVYLVDILLLKKTYVRCSKNERDMRSLKKRLDKYDSAKEAILDPFFEDLFVNN >KJB78560 pep chromosome:Graimondii2_0_v6:13:447166:449785:-1 gene:B456_013G006400 transcript:KJB78560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPKVVGAAAAQAPIISSFNDKIRPLLDVIDRLKLLMVMKEGIQLPAIVVVGDQSSGKSSVLESLAGVNLPRSQGICTRVPLIIRSQNHARPRPELYLEYNGKMVPVEEPQIATAINIATDEVAGPGKSISDIPLTLVVRKDGVPDLTVVDLPGIIRVPVHGQPDNIYKQIRVIIMQYITPKESIILNVLSATVDFSTCESIRMSQQVDKNGERTFAVVTKVDRAPEGLVDKVTADDVNIGLGYVCVRNRIGDEYYEEARKEEARFFETNAHLSCIDKSIVGVHVLAQKLVQIQANAIAKCLPEIVKNISAKLDANLKNPSRNFFGGGGEFDEYPEGNMKHGSARFVEMLNRLSDELHNCEESNLSKDFLTEEIKGLEDAKGIELRNFHPCEAFLGILRRKVERISYLPIKFSEKYWDYIDDVVMSVLTRQWEMYYHLKVFAKGAAHNLVQKLREESINRVKEIVEIEKLTGYTCNPDYMMEWNKFMNQQDHFINQISGTNMWPLPCSVNLQGFGEIQIEHLRQHWNVSILQQAFDLKMRMIFKVRLVDSMALHLRYHVHNLVHNDIDEIVKKLMGPDGHGIKMMLVESPAIVAKREKLKNIIKVLKESKDSVAMIMDRIVAYDAYLV >KJB80246 pep chromosome:Graimondii2_0_v6:13:13872543:13874186:1 gene:B456_013G088800 transcript:KJB80246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFIYSILIISILAFIIIRLILFFSSKSCNGVPQKRLLLGMLSLLFPNLDLSVHDRTAEMLERSKGTIHIHQYSWFTGTKVLLTSDPANVCHVLSTNFSNYPKGSKWREHVDVLGDTLFSADFKEWEKERKLVRSLTSYHKFHQATTKIVWDRIQTGLIPVLQHASKLALLVDLQDLFQKLILDIAWMISIGYNPNFLGIEFRKDPFSVALEDACEAAFSRFLMPHSLWKLHRWLGIGKEKKLKHAWETIDRVFADCISKKQEESAKGEDHEEGCNFEGVYCVTGEDKAFRSAATRKGLRDNIVSFIFATQDTTSSVLTWFFYSVAKHPSVEAKIRDEIFKNNPQELNKLVYLHAALCETLRLFPPGPVLSRIAVEPDTLPSGHRINGNTNVVIAVHAMGRMTSIWGDDCHEFKPERWITEQGEIKRVSHYQFPAFSAGPRICLGKKLAFMMMKATAATIIYNYDIEIQVDHPAEPKSSVVFHMKRGLMARINSRGT >KJB81861 pep chromosome:Graimondii2_0_v6:13:44702118:44705910:1 gene:B456_013G165100 transcript:KJB81861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MASFIWIISLLVLFFITRQVLLVSSSAQSVDRDDFPDGFIFGTASSAYQYEGAVNEGNKGDSIWDTFTRKPGKIMDFSNADTTVDQYHRFESDIGLMKDLGMDAYRFSISWPRIFPYGTGEPNIEGINYYNCLIDALIKKGIQPLVTLYHWDLPQILEDKYQGWLSKQIVDDFEHYSITCFQAFGDRVKHWVTFNEPHGFSIQGYDNGIQAPGRCSILGGLLCNSGNSSTEPYIVAHNILLSHAAAYHSYRRYFKEKQGGQIGIALDAKWYEPISDKDEDKDAANRALDFGIGWFLDPLFFGDYPGSMRKLVGERLPQISPETSKLLVGAFDFIGINHYTTYYARNDRTQIRKLILQDASSDAAVITTSFREGAAIGEKAASRWLRIVPWGIRKLARYLKDKYGNPPVIITENGMDDLNSRFISIKRALKDVKRIEYHRDYLSNLSAAIREDKCDVRGYFVWSLLDNWEWNSGYTVRFGLYYVDYKNNLTRIPKASVQWFKSFLRPRTHVSTI >KJB81862 pep chromosome:Graimondii2_0_v6:13:44702118:44705910:1 gene:B456_013G165100 transcript:KJB81862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MASFIWIISLLVLFFITRQVLLVSSSAQSVDRDDFPDGFIFGTASSAYQYEGAVNEGNKGDSIWDTFTRKPGKIMDFSNADTTVDQYHRFESDIGLMKDLGMDAYRFSISWPRIFPYGTGEPNIEGINYYNCLIDALIKKGIQPLVTLYHWDLPQILEDKYQGWLSKQIVDDFEHYSITCFQAFGDRVKHWVTFNEPHGFSIQGYDNGIQAPGRCSILGGLLCNSGNSSTEPYIVAHNILLSHAAAYHSYRRYFKEKQGGQIGIALDAKWYEPISDKDEDKDAANRALDFGIGWFLDPLFFGDYPGSMRKLVGERLPQISPETSKLLVGAFDFIGINHYTTYYARNDRTQIRKLILQDASSDAAVITTCSF >KJB81859 pep chromosome:Graimondii2_0_v6:13:44702791:44705730:1 gene:B456_013G165100 transcript:KJB81859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MDFSNADTTVDQYHRFESDIGLMKDLGMDAYRFSISWPRIFPYGTGEPNIEGINYYNCLIDALIKKGIQPLVTLYHWDLPQILEDKYQGWLSKQIVDDFEHYSITCFQAFGDRVKHWVTFNEPHGFSIQGYDNGIQAPGRCSILGGLLCNSGNSSTEPYIVAHNILLSHAAAYHSYRRYFKEKQGGQIGIALDAKWYEPISDKDEDKDAANRALDFGIGWFLDPLFFGDYPGSMRKLVGERLPQISPETSKLLVGAFDFIGINHYTTYYARNDRTQIRKLILQDASSDAAVITTSFREGAAIGEKAASRWLRIVPWGIRKLARYLKDKYGNPPVIITENGMDDLNSRFISIKRALKDVKRIEYHRDYLSNLSAAIREDKCDVRGYFVWSLLDNWEWNSGYTVRFGLYYVDYKNNLTRIPKASVQWFKSFLRPRTHVSTI >KJB81860 pep chromosome:Graimondii2_0_v6:13:44702118:44705910:1 gene:B456_013G165100 transcript:KJB81860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MDFSNADTTVDQYHRFESDIGLMKDLGMDAYRFSISWPRIFPYGTGEPNIEGINYYNCLIDALIKKGIQPLVTLYHWDLPQILEDKYQGWLSKQIVDDFEHYSITCFQAFGDRVKHWVTFNEPHGFSIQGYDNGIQAPGRCSILGGLLCNSGNSSTEPYIVAHNILLSHAAAYHSYRRYFKEKQGGQIGIALDAKWYEPISDKDEDKDAANRALDFGIGWFLDPLFFGDYPGSMRKLVGERLPQISPETSKLLVGAFDFIGINHYTTYYARNDRTQIRKLILQDASSDAAVITTSFREGAAIGEKAASRWLRIVPWGIRKLARYLKDKYGNPPVIITENGMDDLNSRFISIKRALKDVKRIEYHRDYLSNLSAAIREDKCDVRGYFVWSLLDNWEWNSGYTVRFGLYYVDYKNNLTRIPKASVQWFKSFLRPRTHVSTI >KJB79558 pep chromosome:Graimondii2_0_v6:13:5720400:5723343:1 gene:B456_013G057300 transcript:KJB79558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 3 [Source:Projected from Arabidopsis thaliana (AT5G64330) UniProtKB/Swiss-Prot;Acc:Q9FMF5] MWESETESVRGREFGNGFFSSTKHGFNTDGFQLKGQSWYVATDIPSDLLIQVGDINFHLHKYPLLSRSGKMNRLIYDSKDPDLKRIVFEDLPGGSEAFELAAKFCYGLAVDLTAGNISGLRCAAEYLEMTEDLEEGNLVFKTEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIARRCSESIAWKACANPKGIKWAYTGKPSNVSSPKWNDLKDSSPSRNQHVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGAAIMHYAAKWLPCLIQDVELQADEMSISSHSNSWKGGFHMIIPGTKDDNLSIQAKDQRTIVESLISIVPPQKDSVSCGFLLRLLRAANMLKVAPALVTELEKRVGMQLEQATLADLLIPNYNKSETSYDVDLVQRLLEHFLVQEQTDSSSPSRRSFSDKQMYEGTHRGNNLNPKSRVARLVDSYLTEVSRDRNLLMTKFQVLAEALPESSRTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSVDACIHAAQNERLPLRVVVQVLFSEQVKISNTLANAITLKDPAETRYQPLITNRKTLLEGTPQSFQEGWVTAKKDINTLKFELDGVKSKHVELQKEMENLQRQFEKMSKPKPTSAWSSGWKKLGKLTKMTTVENHDIGPQISTTIEHVRKPPRRWRNSIS >KJB79559 pep chromosome:Graimondii2_0_v6:13:5720550:5723288:1 gene:B456_013G057300 transcript:KJB79559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 3 [Source:Projected from Arabidopsis thaliana (AT5G64330) UniProtKB/Swiss-Prot;Acc:Q9FMF5] MWESETESVRGREFGNGFFSSTKHGFNTDGFQLKGQSWYVATDIPSDLLIQVGDINFHLHKYPLLSRSGKMNRLIYDSKDPDLKRIVFEDLPGGSEAFELAAKFCYGLAVDLTAGNISGLRCAAEYLEMTEDLEEGNLVFKTEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIARRCSESIAWKACANPKGIKWAYTGKPSNVSSPKWNDLKDSSPSRNQHVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGAAIMHYAAKWLPCLIQDVELQADEMSISSHSNSWKGGFHMIIPGTKDDNLSIQAKDQRTIVESLISIVPPQKDSVSCGFLLRLLRAANMLKVAPALVTELEKRVGMQLEQATLADLLIPNYNKSETSYDVDLVQRLLEHFLVQEQTDSSSPSRRSFSDKQMYEGTHRGNNLNPKSRVARLVDSYLTEVSRDRNLLMTKFQVLAEALPESSRTCDDGLYRAIDSYLKVIYLTYRCENIISRKIFKKKV >KJB83780 pep chromosome:Graimondii2_0_v6:13:57742532:57747382:-1 gene:B456_013G264000 transcript:KJB83780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLNPAHRDPIPGPSFRPRVDRPANTQFPESAEETGGFNAGEKDKVFIAVGKSVEKAVNLLQWTLKRFGGKHICLLHVHQPSPLIPTLLGKLPASQANSRVLSAYRKDEKEQLKKLLENYSNFPRKFKVHISIITIEANQVHKGIVELVKRHGIRNLVMGAIPENCMRMKKSSSKSSYAAKYVPCFCDIWFVDKGKLVWMREACEKPCLSTPVSQAAVTAKSSRSNSLPHRNIDSLVHPDDLRSNSCLSITFAASSTRLTESIVAQTDVSLSPRLSSFSNLSIPSFTNGSERASSEMRLSLDSYSKDEDENLYRQLGEACMEAKASKNEALAESLKRQKLESEAMEAINKIKDLESARVHEVKLREKAEESLRATVQEREKLIKEKEEAMEELQRTTRNITLLNDCVQEANCKHDEVAGKLKLIQVSIVTLREEKQRIRRQKLEAVRWLERWRSRGQAGATTCNGFIGIVEDLPELAEFSLADVQTATCNFSESFKIGKGGHGCVYKGEMLGRTVAIKKLYPHNMQGQSEFQQEAQVLSKLQHPHLVTLLGVCPEAWSLVYEYVPNGSLQDRLFRKTSVSPLTWKIRARIVAEISSALCFLHSAKPEKIVHGDLKPENILLDSELSCKICDFGISRLVTEDNLYCPSFHCSTEPKGAFPYSDPEFRRIGVPTPKSDIYAFGLVILQMLTRRPPVGLAGEVRKAISSGKLASILDKSAGEWPMFVARRLVDLGLQCCESYSRDRPDLKPSLVRELGQLHVTEERPVPSFFLCPILQEIMHDPQVAADGFTYEGEALRGWLQNGRETSPMTNLKLSHLHLTPNHAIRQAIQNWLCKA >KJB82269 pep chromosome:Graimondii2_0_v6:13:48593268:48594787:1 gene:B456_013G186000 transcript:KJB82269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQVLNPGDHIYTECLGGSYYHHGIYVGRGLVTNPNTGKTRTITNAVIHFLGLDKEGKVKKTKPQCQTCFYKPKGSGIVLTCLDCFHEGNGLYRYEYDVSLLSFTFKTSGSCTPWSCYDPNTVIKRAYDYLRDQNFGDYNFVFNNCEHFATKCKMGKARCNQALWGTGLPGALVYNVTRRLTKDILW >KJB81842 pep chromosome:Graimondii2_0_v6:13:49982583:49985483:1 gene:B456_013G196100 transcript:KJB81842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELALNISSPLIFPTNSTPKLKKLTKFLMAEARAVGDAHRRRSSLESLFCYDKPIPEERIEEPVGVSLAEKLVGDNPRCADCQAKGAVLCTTCSGSGLYVDSILESQGIIVKVRCLGCGGSGNIMCLECGGRGHLGSE >KJB83577 pep chromosome:Graimondii2_0_v6:13:57097466:57098769:1 gene:B456_013G253800 transcript:KJB83577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMIGVISLSQAPNYSSDDDSSSENAPLSGGSVSGYVGVNGSGGDGSGSSKSKTPTAMVIDDHHPQPRAPSSGATARKPRGRPVGSRNRPKPAAVTARDGGSSVVQPAVLEITAGADIIETIISFARRNRVGVSVVSATGSVMNVTLRHPLFNAPSFSLHGSYGLLAMSGSFMAFNGAPSSSNRTPQSTFGVTLAGTQGQLSGGLVWGRLMVATEATVVLNTFVNPALHRQLPFEVEDRRRQDMIMPSLRGNNGAVLGGLSSLGGSHGVGVGSGLGGGGGLGLRGSVGGGANGFRPAYGVAAVPLPMNGQSQMSSDVLQWGPSPRPY >KJB79852 pep chromosome:Graimondii2_0_v6:13:8073262:8075416:-1 gene:B456_013G069800 transcript:KJB79852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSYNNLGKNVDEADAEQIIDMASKASFADQQKQVQENIHNQIKNLCTVMDAILLDVNEPQESQSKATPSRSGLSFAIGRNGPTNNHPDVPKTRPLKRTELSQRLKDSIGYTLDVKQSQIPHSEAGQGLFLNGEATVGSVIAIYPGVIYSPAYYRYIPGYPRVDAQNTYLITRYDGTVINAQPWGFGGEARELWDGSKMLDAKLNRDATEKGSDRLWKMLSKPLESSRVGNGEVLERRNPLALAHFANHPAKDTVPNVMICPYDFPLTEKDMRAYIPNLSFGNAEEVNMRRFGSFWFRWGSRNSESNGPVLKTLVLVATTALCDEEVLLNYRLSNSKRRPSWYTPVDEEEDQRRWS >KJB79851 pep chromosome:Graimondii2_0_v6:13:8073098:8076292:-1 gene:B456_013G069800 transcript:KJB79851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLNKFQDAVRTFARSPRFTKDPRKLQFEVDINRLFMYTSYNNLGKNVDEADAEQIIDMASKASFADQQKQVQENIHNQIKNLCTVMDAILLDVNEPQESQSKATPSRSGLSFAIGRNGPTNNHPDVPKTRPLKRTELSQRLKDSIGYTLDVKQSQIPHSEAGQGLFLNGEATVGSVIAIYPGVIYSPAYYRYIPGYPRVDAQNTYLITRYDGTVINAQPWGFGGEARELWDGSKMLDAKLNRDATEKGSDRLWKMLSKPLESSRVGNGEVLERRNPLALAHFANHPAKDTVPNVMICPYDFPLTEKDMRAYIPNLSFGNAEEVNMRRFGSFWFRWGSRNSESNGPVLKTLVLVATTALCDEEVLLNYRLSNSKRRPSWYTPVDEEEDQRRWS >KJB82513 pep chromosome:Graimondii2_0_v6:13:51049507:51052768:-1 gene:B456_013G199900 transcript:KJB82513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSSIPLKFIVRRCEPELVAPAKPTPYEQKLLLDIDDQASLRFQVRVINFYQYEPSMEGKDPAEVIREALAQTLVCYYPFAGRLREGANGKLIVDCTSEGVMFIKADADVTLEQFGEPLRPPFPCSDELLYNVPDSEGMLNCPLLLIQVTRLKCGGFIFALRLNHVMSDGTGLAQFLFALGEMARGVATHLISPVGERHLLDARHLTRITYTHREYDEVEAPVTTPITILPFDNPVQRFFSFGFAEVSLLRSLLPPHLRRCTTFELITACLWRCRTLAINLDPDEDVRMICIVNARSKFNPSFPSGYYGNVLVFSAAITTVKSLREKPLGYAVELIKQAKASVTEEYVKSVAALMVARGKRIHFPNVIGTYIISDLTKARFEDIDFGWGKAVFGGPMIAVGVMGSLMATKNKKGEVGSAASICLPAPAMERFAKELENMLKQQPSEGKKSKSNSISSAL >KJB83460 pep chromosome:Graimondii2_0_v6:13:57234227:57235483:-1 gene:B456_013G256000 transcript:KJB83460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMKQFDHLMLFFLLHTSCSTLLTLAQAPAAAPVVAPKATPVLAPASSPAPPGPTNVTKILEKAGQFSTFIRLMKATQVANQLLGQLNNTNNGITIFAPSDSAFSSLKSGTLNSLSDEQKVELIQFHIIPTYLSSAQFQTISNPLRTQAGDSGDGKFPLNVTSSGDTVNITSGLTNTSVSGTVYTDGQLAVYQIDRVLQPLQIFDPRPPAPAPAPAKSKKKKDDDVADSPADDSTDNSKAVRFTIGNNVGLFGVTAIVIALISL >KJB78815 pep chromosome:Graimondii2_0_v6:13:1420969:1424004:-1 gene:B456_013G020600 transcript:KJB78815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLYFLSNKILKTDEEKDGDRVNMVARCYPFELPSDNRNGHETALPRSHFVQVPHINQLFYWDCGLACVLMALSTVGINGYSIQNLAELCCSTSIWTVDLAYLLRKFSVRFSYYTVTFGANPNYSGETYYKEHLPSDLVRVDKLFQKAVEAGINILCRSISKEEISCWILSGKYIAIALVDLYKLSRSWVGDVLIPGFHGNDVGYTGHYVVICGYDAEADEFEIRDPSSSRKQDRISSKSLEEARKSFGTDEDLLLISVDESRKQNYPII >KJB78816 pep chromosome:Graimondii2_0_v6:13:1422260:1423928:-1 gene:B456_013G020600 transcript:KJB78816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLYFLSNKILKTDEEKDGDRVNMVARCYPFELPSDNRNGHETALPRSHFVQVPHINQLFYWDCGLACVLMALSTVGINGYSIQNLAELCCSTSIWTVDLAYLLRKFSVRFSYYTVTFGANPNYSGETYYKEHLPSDLVRVDKLFQKAVEAGINILCRSISKEEISCWILSGKYIAIALVDLYKLSRSWVGDVLIPGFHGNDVGYTGHYVVICGYDAEADEFEIRDPSSSRYFPTLLFHCLAAPNYIISFCFCFVQGHIIVLNTGIKVIIVGMMIYE >KJB82804 pep chromosome:Graimondii2_0_v6:13:53499380:53503078:-1 gene:B456_013G214300 transcript:KJB82804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSAMFIGLAIGIGLIVAFARYEKIRSSRRTHMAKTVASFARMTVQDTRKILPLEFYPPWVVFSQRQKLMWLNVQLKKIWPYLNEAASGLIRDSIEPTLEQYTPAIISSIKFAKFTLGTVAPQFTGVSIVESESGAEGITMELELKWDGNPNIVLNINTRLGVSLPVQMKNIGLTGVFRLIFKPLVDEFPCFGAVAYSLREKKDFDFKLKVVGSEVSTIPGISDAIEETIRDAMEDSIMWPVRKIIPILPGDYSDLELKPVGTLEVKLVQAKDLANKDMIGKSDPFAVVFVRPLRDKIKTSKTINNQLNPIWNEHYEFIVEDASTQHLTVRIFDDEGVQAPELIGCAQVALKDLEPGKVKDIWLKLVKDLVVQKDTKNRGQVQLELLYCPFGTESSIKNPFDPDFSLTSLEKALKTATAEKEGDRIMNQRKRDIIVRGVLTVTVIAAEDLPAVDFLGKADPFVVLTLKKAERTAMTRAANETLNPIWDQTFDFVVEDALHEMIIFEVWDYDILKKEKIGRCIMTLTRVLLEGEVQDSFQLDGAKSGKLLLHLKWVPQLVLRGA >KJB82883 pep chromosome:Graimondii2_0_v6:13:53866126:53867788:-1 gene:B456_013G218800 transcript:KJB82883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHGRKTGHCYMDNESNPLQSPSRLSIRTHLDMYDQDPQFSPGRPPSPRSSASALQLMLPPGSPETPWTLSPLQTPSPALLYHCVASLHRQDGNIHSIAISKGLVFTVSDSKRIRVWRQPECIERGCIKTSSGEIRAILAYGNKLFTAHRDCKIRIWNYTVSDSFGYKKISTLPKRSSFLLFPKTSSQQHKDCVSCMAYYHAEGLLYTGSYDRTVKAWRLVDNKCVDSFVVHESKVNAIVVNQDDGCVFTCSSDGSVKIWRRLYRENSHTLTMTLRFQQSPVNAMAISSTFSNCFLYSGSSDGTVNFWEKEKTSGRFNHGGFLQGHRFSVLCLVAIEKLIFSGSEDTTIRVWRREEGSCFHECLAVLDGHRGPVKCLAACLQMEKIVMGFLVYSASLDQTFKVWRVKVMPEEQTCFDFADRNDSKTKTKTEYEMSPVLSPSWVEKKLRDFNLDKPWNQY >KJB82275 pep chromosome:Graimondii2_0_v6:13:48675526:48676703:1 gene:B456_013G186800 transcript:KJB82275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSYFQYPYSQFSPESPSSHVSQENLSLESLNQALPFNENDSQEMLLLGVLNQAHENSFETNPRDDEVSSKANNEGEVSYRGVRKRPWGKFAAEIRDSTRKGVRVWLGTFDTAEAAALAYDRAALTMRGSMAILNFPMEKVYQSLREMNYRFEEGCSPVLAMKKRHSLKSKRGIVKKVKEEKGIISMENLLVLEDLGANYLEELLSMSETPDLW >KJB83500 pep chromosome:Graimondii2_0_v6:13:56813975:56816005:1 gene:B456_013G250300 transcript:KJB83500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEDVSDEEIRADELERRMWKDSVRLRRIKERERVAAQQAAELNQTSELARRKKISRAQDGILKNMLMLMEVYNARGFVYGIVPEKGKAVSGASDNLRAWWKEKVNFDKNGPAAIVKYEAECLAMSVSDRNRNGNPCSSLQDLQDATIGSLLSTLMQHCDPPQRKYPLEKRIPPPWWPTGNEDWWVKLGLQRGDSPPYKKPHDLKKMWKVGVLTAVIKHMSPDIAKIRRHVLQSKCLQGKLTAKESAIWLGVLGREEALIRTSSMTKISRGGHADSNDVVGDQIGSVSSKNGGRNQLTDAEPVALIGNDDAPLVQEDVPVEKQSRRKRPRLRSSRGGR >KJB83499 pep chromosome:Graimondii2_0_v6:13:56813952:56816039:1 gene:B456_013G250300 transcript:KJB83499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLDDTGGDISWDIEVSELRRDDMGEEDVSDEEIRADELERRMWKDSVRLRRIKERERVAAQQAAELNQTSELARRKKISRAQDGILKNMLMLMEVYNARGFVYGIVPEKGKAVSGASDNLRAWWKEKVNFDKNGPAAIVKYEAECLAMSVSDRNRNGNPCSSLQDLQDATIGSLLSTLMQHCDPPQRKYPLEKRIPPPWWPTGNEDWWVKLGLQRGDSPPYKKPHDLKKMWKVGVLTAVIKHMSPDIAKIRRHVLQSKCLQGKLTAKESAIWLGVLGREEALIRTSSMTKISRGGHADSNDVVGDQIGSVSSKNGGRNQLTDAEPVALIGNDDAPLVQEDVPVEKQSRRKRPRLRSSRGGR >KJB80894 pep chromosome:Graimondii2_0_v6:13:30086671:30087700:-1 gene:B456_013G120300 transcript:KJB80894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKEPKRLYYPKITAPDLNIEEKPVFQNKYNANTIYEWNIDGMSEYNILSLLQQMTMVSNVYKTQNQNGLINDHAIANLLVAGFTGQLKGWWDHALTKTQQEEILKAIKKDDQDRIILDEQGREIQDAVATLIFSISKHFIGDPSHLKDRNLELLSNLKCKKLTDFKWYKDVFMTRVMQRSDNQQPFWKEKFLAALPTLLGEKVRNQIRENYKGIIPYEKLTYGELISFTQKEGLKICQDLKLQKQLKKERYQYVENQDISQNIVKSKEKSIT >KJB78665 pep chromosome:Graimondii2_0_v6:13:739134:748217:1 gene:B456_013G010900 transcript:KJB78665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDSLVSLPTSHCHSPLFTSKPHSSSATATTTTTTTTTTSTSVSFSLSPPPQPPEPNIRRPKSINSSPKHKISSNPLKNFSTTTPTPTPTPTTSTTNNNFSHVPSPGETTRTTQSLVSKLRLSSKLFPPPPPPPLLHDTRKATQISEPEAPSPELEKPENFRQHGKVFIGNLPSWIKKHEVAEFFRQFGPIKDVIVIKAHNEIHRNAGFGFVIYGGPPPVAEKSAVKAVEFDGVEFHGRVLTVKLDDGTRLKGKAEERARWVEGYQGQDLSNKSKWHQEREGSRRLFRKVLDSEPENWQKVVIAFERIDKPSRREFGLMVNYYARRGDMHRARETFERMRSRGIEPTSHVYTNLVHAYAVGRDMEEALSCVRKMKEEGIEITLVTYSILVRGFAKIGNSEAADYWFEEAKERHTPLNAIIYGNIVYAHCQTCNMERAEALVREMEEEGIDAPIDIYHTMMDGYTMIGNEEKCLIVFERLKECGFTPSIISYGCLINLYAKIGKVSKALEVSKMMESAGIKHNMKTYSMLINGYLKLKDWANAFAIFEDLVKDGLKPDVVLYNNIIRAFCGMGNMDRAIHTVKEMQKERHRPTTRTFMPIIHGFARAGEMRRALEVFDMMRRSGCIPTVHTFNALILGLTEKRQMEKAVEILDEMTLAGITPNEHTYTTIMHGYASLGDTGKAFEYFSKLRNEGLELDVYTYEALLKACCKSGRMQSALAVTKEMAAQNIPRNTFVYNILIDGFGLLLNFFSLIY >KJB78664 pep chromosome:Graimondii2_0_v6:13:738725:748275:1 gene:B456_013G010900 transcript:KJB78664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDSLVSLPTSHCHSPLFTSKPHSSSATATTTTTTTTTTSTSVSFSLSPPPQPPEPNIRRPKSINSSPKHKISSNPLKNFSTTTPTPTPTPTTSTTNNNFSHVPSPGETTRTTQSLVSKLRLSSKLFPPPPPPPLLHDTRKATQISEPEAPSPELEKPENFRQHGKVFIGNLPSWIKKHEVAEFFRQFGPIKDVIVIKAHNEIHRNAGFGFVIYGGPPPVAEKSAVKAVEFDGVEFHGRVLTVKLDDGTRLKGKAEERARWVEGYQGQDLSNKSKWHQEREGSRRLFRKVLDSEPENWQKVVIAFERIDKPSRREFGLMVNYYARRGDMHRARETFERMRSRGIEPTSHVYTNLVHAYAVGRDMEEALSCVRKMKEEGIEITLVTYSILVRGFAKIGNSEAADYWFEEAKERHTPLNAIIYGNIVYAHCQTCNMERAEALVREMEEEGIDAPIDIYHTMMDGYTMIGNEEKCLIVFERLKECGFTPSIISYGCLINLYAKIGKVSKALEVSKMMESAGIKHNMKTYSMLINGYLKLKDWANAFAIFEDLVKDGLKPDVVLYNNIIRAFCGMGNMDRAIHTVKEMQKERHRPTTRTFMPIIHGFARAGEMRRALEVFDMMRRSGCIPTVHTFNALILGLTEKRQMEKAVEILDEMTLAGITPNEHTYTTIMHGYASLGDTGKAFEYFSKLRNEGLELDVYTYEALLKACCKSGRMQSALAVTKEMAAQNIPRNTFVYNILIDGWARRGDVWEAADLIQQMKQEGVQPDIHTYTSFINACCKAGDMLRATKTIQEMDAIGIKPNVKTYTTLIHGWARASLPEKALKCFEEMKLAGLKPDKAVYHCLMTSLLSRATVAEAYIYSGVLSVCREMIVSGLTVDMGTAVHWSRCLRKIERSGGELTEALQKTFPPDWNSYHTIAAKSDPETDDEPESDDEDNDVYLDNVTDGDNDLDDEDLNRLW >KJB81538 pep chromosome:Graimondii2_0_v6:13:40833459:40836465:-1 gene:B456_013G148900 transcript:KJB81538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKLQVGVQSHLEQMGSLEDRSMQDLHDECLHSPETDARKNQITYSNELLPFSDGRLPVGRKNMIGHGVYRSIKTVVFSNKLNLLMPFGPLAILVHKLTAHNGWVFFLSLLGITPLAERLSYATEQLAFYTGPTIGGLLNATFGNATELIISIYALKSGMTRVVQLSLLGSILSNMLLVLGCAFFCGGLVYHRKEQVFNKATAVVNSGLLLMAVMGLLFPAVLHYTHTERQYGKSELSLSRFSSCVMLVAYAAYLVFQLKGQNDLYAPINEENLDDDDDDDDDGDDDDDDDEAPEISKWESGIWLVILTAWISILSDYLVDTIEDISLGVAIGSSTQISMFGIPFCVVIGWMMGQEMDLNFQLFETATLFITVIVVAFFLQEGTSNYFKGLMLILAYLIVAASFFAHEDPTSATTVNEAVE >KJB81537 pep chromosome:Graimondii2_0_v6:13:40833459:40837237:-1 gene:B456_013G148900 transcript:KJB81537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKLQVGVQSHLEMGSLEDRSMQDLHDECLHSPETDARKNQITYSNELLPFSDGRLPVGRKNMIGHGVYRSIKTVVFSNKLNLLMPFGPLAILVHKLTAHNGWVFFLSLLGITPLAERLSYATEQLAFYTGPTIGGLLNATFGNATELIISIYALKSGMTRVVQLSLLGSILSNMLLVLGCAFFCGGLVYHRKEQVFNKATAVVNSGLLLMAVMGLLFPAVLHYTHTERQYGKSELSLSRFSSCVMLVAYAAYLVFQLKGQNDLYAPINEENLDDDDDDDDDGDDDDDDDEAPEISKWESGIWLVILTAWISILSDYLVDTIEDISLGVAIGSSTQISMFGIPFCVVIGWMMGQEMDLNFQLFETATLFITVIVVAFFLQEGTSNYFKGLMLILAYLIVAASFFAHEDPTSATTVNEAVE >KJB78755 pep chromosome:Graimondii2_0_v6:13:1110474:1111005:1 gene:B456_013G016300 transcript:KJB78755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLKSKEKVDDGDRSWLELLNGVETSTSSENEKLHKLTQMVLSKFVARLVTKMENKMMIEKEGLKSCHEAGVEEGKRDYLIGK >KJB79593 pep chromosome:Graimondii2_0_v6:13:5541623:5542291:1 gene:B456_013G056100 transcript:KJB79593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNCFALAFFVALSFSSIDVGLAARHLQQLPPMPTLPTTTLPPFPSIPNLPQPSIPSFPRPGALPPLPTMPGLPTLPSVPRATLPPLPSIPSIPTIQPTIPSIPFLSPPPSPSTP >KJB80805 pep chromosome:Graimondii2_0_v6:13:28800996:28804144:-1 gene:B456_013G116000 transcript:KJB80805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQENNKNEGDSAGVGLALSQASEKRSSNLSKAKSDIAKSMARNFLKTREATHTSEDWRRNRKKKNKSLSEGSKATENDAKKQNSREENPQKDATTSMVHVENSRQIEKNEENTYGSGGKEKSSKSSQSSRKRRRGGGPGLLNKNWKNEEKLRGNKRRKWNEQMEKRRISENNNKNKEKNDGKGKKQENKMKERIDGLIFLCNAKTKPDCFRYRVMGVSNGKKDLVLGIRRGLKLFLYDYDLKLMYGVYKASAFGGMKLEPEAFGGAFPAQVRFSVHADCFPLAESIFKKAIKENYNKNNKFKTELTARQVRKLTKLFQPVAVHSTALPVHSPPSRAAARIREHPNREAHDRPREARPPSDREASARDPYANISGRSYRVLSHERDQQITYGELASARREDIHRDLYLNENDYGAYGFQGERRNSRFQPHMAPRLGSYHRDYNEQPLCQPEMAYRESVPIQRNIIPSDPLYLPQREYRSYDLGATQAMQSTLTAATANTSVAAASTLDSYATDPYYSQYYGGSIDSYLPRSGGETHLIESDHLRRENNQVDRSYLTYASDALADYNQMQRHHDIKPVPASTSVSYRYSFTGASFSYL >KJB80806 pep chromosome:Graimondii2_0_v6:13:28800958:28805395:-1 gene:B456_013G116000 transcript:KJB80806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQENNKNEGDSAGVGLALSQASEKRSSNLSKAKSDIAKSMARNFLKTREATHTSEDWRRNRKKKNKSLSEGSKATENDAKKQNSREENPQKDATTSMVHVENSRQIEKNEENTYGSGGKEKSSKSSQSSRKRRRGGGPGLLNKNWKNEEKLRGNKRRKWNEQMEKRRISENNNKNKEKNDGKGKKQENKMKERIDGLIFLCNAKTKPDCFRYRVMGVSNGKKDLVLGIRRGLKLFLYDYDLKLMYGVYKASAFGGMKLEPEAFGGAFPAQVRFSVHADCFPLAESIFKKAIKENYNKNNKFKTELTARQVRKLTKLFQPVAVHSTALPVHSPPSRAAARIREHPNREAHDRPREARPPSDREASARDPYANISGRSYRVLSHERDQQITYGELASARREDIHRDLYLNENDYGAYGFQGERRNSRFQPHMAPRLGSYHRDYNEQPLCQPEMAYRESVPIQRNIIPSDPLYLPQREYRSYDLGATQAMQSTLTAATANTSVAAASTLDSYATDPYYSQYYGGSIDSYLPRSGGETHLIESDHLRRENNQVDRSYLTYASDALADYNQMQRHHDIKPVPASTSVSYRYSFTGASFSYL >KJB80804 pep chromosome:Graimondii2_0_v6:13:28800996:28805181:-1 gene:B456_013G116000 transcript:KJB80804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQENNKNEGDSAGVGLALSQASEKRSSNLSKAKSDIAKSMARNFLKTREATHTSEDWRRNRKKKNKSLSEGSKATENDAKKQNSREENPQKDATTSMVHVENSRQIEKNEENTYGSGGKEKSSKSSQSSRKRRRGGGPGLLNKNWKNEEKLRGNKRRKWNEQMEKRRISENNNKNKEKNDGKGKKQENKMKERIDGLIFLCNAKTKPDCFRYRVMGVSNGKKDLVLGIRRGLKLFLYDYDLKLMYGVYKASAFGGMKLEPEAFGGAFPAQVRFSVHADCFPLAESIFKKAIKENYNKNNKFKTELTARQVRKLTKLFQPVAVHSTALPVHSPPSRAAARIREHPNREAHDRPREARPPSDREASARDPYANISGRSYRVLSHERDQQITYGELASARREDIHRDLYLNENDYGAYGFQGERRNSRFQPHMAPRLGSYHRDYNEQPLCQPEMAYRESVPIQRNIIPSDPLYLPQREYRSYDLGATQAMQSTLTAATANTSVAAASTLDSYATDPYYSQYYGGSIDSYLPRSGGETHLIESDHLRRENNQVDRSYLTYASDALADYNQMQRHHDIKPVPASTSVSYRYSFTGASFSYL >KJB80121 pep chromosome:Graimondii2_0_v6:13:12837504:12839189:1 gene:B456_013G086100 transcript:KJB80121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKCIALINFLVLQCLAILGVSKGFDFFYFVQQWPGSYCDSDKFSCCYPTTGKPAADFSIHGLWPNYRNGSYPQNCDPNNPFNESETSDLISSMRRNWPSLSCPSSSGESFWSHEWEKHGTCSESLLDQHSYFQTALTLRQQTNILQSLKSAGIIPDGGSYSLANIKDAIKNGTGYTPWIECNEDSSGNSQLYQVYLCVDSSGSNLIECPIFPKGKCGSEIEFPTF >KJB80122 pep chromosome:Graimondii2_0_v6:13:12837511:12839034:1 gene:B456_013G086100 transcript:KJB80122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKCIALINFLVLQCLAILGVSKGFDFFYFVQQWPGSYCDSDKFSCCYPTTGKPAADFSIHGLWPNYRNGSYPQNCDPNNPFNESETSDLISSMRRNWPSLSCPSSSGESFWSHEWEKHGTCSESLLDQHSYFQTALTLRQQTNILQSLKSESFQMEDLIALPTLKML >KJB80896 pep chromosome:Graimondii2_0_v6:13:30520946:30522064:1 gene:B456_013G120500 transcript:KJB80896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKMVDASFLSSSSTSIYEAETEELQHMPLKPLMKNKKCLSKQLSMCETSRGMDWERRNRQVVRQERGKNTEEGPRLTAVPSLMRNRRYLSKQLSMLETSRDIAWERRRRQILRQERGKNGIAEGNGNGLTDEDLHELKGCIELGFGFNEEEGQQLCNTLPALDLYFAVNRQLSPSPVSTPHSRRSSSLSSLGGRSSSFGSPKSDQDWKICSPGEDPQQVKTKLRHWAQAVACSVMQSY >KJB83995 pep chromosome:Graimondii2_0_v6:13:47491622:47498019:1 gene:B456_013G180600 transcript:KJB83995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGAGIDGGGVGSIVWVRRRNGSWWPGKILGPEELPASHLASPRIGTPVKLLGREDASVDWYNLEKSKRVKEFRCGEFDDCIERAESSQGVPPKKREKYARREDAILHALELEKELLRKQGKLDRPSNARSKSSGSAKKDSCGSDISNGKPGNTKSIQSRNQDTSIKGETVSSPVLLQKDQARNLPSSENDHAEIIPRMREMQDMGLKTACAKQKLTSFSALDDDTLSPSTRVPSMGRPAHINGEQRMEGVLRAKRSKCMYFPPESFDVLDYKEILRNQIEMSPSHFLECDSYPFHRLLIEDDSSEFLEDVESGSSESTSSESESESDSSETEPDMDEDITSHSGATICMDARLGAFQRLDTLGGGSMGQGESDESSLSGEMSHFYPHDPHSVNEAVSKWQLKGKRNLRNLGKRSMDASQIRGYDGYAPGLHSEERGMFRKRLLGQTYCRNHDFDNDVDVAGLSAKDFGAQMFGFDGTGSLYMPRDSSRSRDSFDRNIHDWEGMRWENHAAERNEWENEVWHFDPKFSTHRNFGGKRRSILIDIDLNVQASYQKEPVPIVSLMSKLDGKAIIGHPIQIEALDDNSTETLLSANGYFSNGLMNNGYTSLPPAWRTAKRTNFRVPRPHRPFAVGSYEGGEYHSLNHERKPPFKKYNVVSPNHSAALVKKRISHVPVFPTDRQLQRKLPKKVSLFSSPKTRTLSSIGIEHTLISKPIHDSGNCQMEGSIKPESSGLTTVVCIPVKLVFSRLLEKINRPPSKAANKVILSTSDAVRDPS >KJB83870 pep chromosome:Graimondii2_0_v6:13:58076512:58076950:-1 gene:B456_013G268800 transcript:KJB83870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLNYKKNGIVKLKVVAEMFHKSLPLKKKWKHNEARRGSNNVPDDVKEGHFAVVAEHGEEPRRFVVPLSYLTHPRFLMLLEQAAEEYGFDHKGALTIPCCPSELERILADEQQQQGQEREDPNANVTWATSYEAMIQSY >KJB80173 pep chromosome:Graimondii2_0_v6:13:12097402:12097470:1 gene:B456_013G0844001 transcript:KJB80173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQLIVSLVIALMADLKVTIDEY >KJB83190 pep chromosome:Graimondii2_0_v6:13:55256152:55258497:-1 gene:B456_013G234300 transcript:KJB83190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEMENPKASTGHQLEPRDTYRVAYVIHFLLGAGNLLPWNAFITAVDYFGYLYPSKHVEKVFSVGYMSTSVLVLVVMISSSSCCRTNLALTRRFRLNMGFSMFLLSLMVAPTIDWVWQGGWSEEKQNAAYFVTVTAVVICGLADGLIAGSLIGSAGKLPKQYMQAIFAGTASSGVLVSLLRITTKASLPQTPEGLRASAHFYFIVSATIQLCCILCCNLLYKLPVMQQHYRILGDDPFCSRPQFWTVARKICWPALGILMIYVVTLSIFPGFIAENLESKFLQDWYPVLLITVYNVADFMGKSLTAIYVLQSIKKATWACISRLLFYPLFTACLHGPKWLKGEIPVVVLTFMLGLTNGYLTSVLMILAPKTVPVSEAELSAIVLVVFLGIGLVSGSVLGWFWII >KJB79456 pep chromosome:Graimondii2_0_v6:13:4604805:4606943:1 gene:B456_013G050600 transcript:KJB79456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSHQRKKKRSKTSKRHKQQEPAGPRRFSEFGKFANDLLTKGYIHDQTLSISTHSCNGVIITSRASRQGRRSTANVGAQYKYKNAAVEVNFDTKSSIATTLSFRGEILPSMNVNASLRLPEYGSSQLNLKFQQSLRNAALSISVGLNQSPDILLSATIGTSSIAFGIESKYKTTSRSFSRLDAGISVTNPSRDASIILAEKGDLLRLAYAHRFGQSRKISAVAEVTRRLSNNKNSLAVGVSCIADKLTTVKATLNNRGKLQALLVHKIKPNSSLNISAEFNMKVLDKIPRIGLALALRL >KJB83354 pep chromosome:Graimondii2_0_v6:13:56244866:56245466:1 gene:B456_013G242900 transcript:KJB83354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRKHLQQHSHRRKKATTMTLGWPWIPSPPNRLRQTNYYAANESNRKKKARGGETKKAFRLALSCCRWSKNQHIRLTKAKESARLKKRPNAVLKKYGLQLQQKFKIF >KJB78702 pep chromosome:Graimondii2_0_v6:13:916039:917421:-1 gene:B456_013G013600 transcript:KJB78702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMNCPPDFRCPISMEIMKDPVTIVTGVSYERKNIEKWFFVYNKKTCPTTMQCVESFDMTPNHTLKRLILAWKETLVAPSSTSSSMPQPLIKHDEMVSLFTALESSPFKVSSMKKIRAIVELGDETKSDFIRSGGVEAVVGMLINQFDNSDFVSFQACEEALGILHLLPLSKQDEKSFQLISKPDPMRSIAIILQRGSAEARFYAITIFRKIAKTGFNWNSLIEDQGIDLFKSLLELVYDGICNKASSYALEILFEILSSSKKSRLKAIEAGAICILIELLPDSNRSKSEKMLLLIKLLCESPEGRMAMVDHSLGIPVISKTLLQVSNLATKLGVKILWQVCNFHPTERVLEEMLMHGAVKKMVTLLHLEGRLSSTKKKVLEMLKMHCNSWKRHQCFPCDLKDYLGVK >KJB82231 pep chromosome:Graimondii2_0_v6:13:48178201:48180790:-1 gene:B456_013G183100 transcript:KJB82231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSVKVSNVSLGASEQDIKEFFIFSGELVYVEMQGDNERSQVAYITFKEPQGAETAVLLSGATIVDQSVTIELAPDYKLPDHVFTTPGTGDKGSGQAEAGFKKAEDVVSTMLAKGFIIGKDALGKAKAFDEKHQFTSTASAKIASLDQKIGFTEKFSAGTAIVNEKMRDVDQKFQVSEKTKSAFAVAEQSVSTAGSAIMKNRYVLVGASWVSAAYNRVAKAAEDVGQKAREKVLAEEQAQKPEGHAHVNESNSPKDSKPAETSGQPSNPSSEQALILSEQPSNPSADRGLLI >KJB83722 pep chromosome:Graimondii2_0_v6:13:57543405:57546777:-1 gene:B456_013G261000 transcript:KJB83722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSKRSRYSSSPSPNKRYTRSVSRSLSSSRSRSRSKSRSAESDVENPGNSLYVTGLSPRITKRELEKHFASEGNVIDVHLVVDPRTRDSRGFGFVTMATNKEADCCIKYLDGSVLEGRVITVEKARRRRGRTPTPGRYLGLKTIRERHRSPSYSPCRSPSYSPYSSSRSPSSCYSSDRSRSRSRSRSYSPQYRSYSRAHSQYSRSPDDRYYRKNYRYCSYSPEDRYYRRRDQSYSPYDCYYHRRDRSYSPDDRYYRRRDRFYSPDDRYHCRRDRSYSPEDRYYRRRDRSYSPDDRYYRMSRDRSYSLYNSRYRRLRYRSASRSLTPPRSRRASRRSCSYSVSPKRSTSLRRSYSRSTSSEGRRSRSYSRSVSPPPRRSLRSLRQEGSNKSYSRRSGSPALRSISRSVSPRSDSSS >KJB78921 pep chromosome:Graimondii2_0_v6:13:1912142:1914762:1 gene:B456_013G025500 transcript:KJB78921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFLLSSPAFLVFFSFFTFCLLVDPVFGITRHYKFDVKLHNVTRLCHTRSIVSVNGQFPGPRIVAREGDQLLIKVVNHVPNNVSIHWHGIRQLQSGWADGPAYVTQCPIQTGQSYVYNFTIIGQRGTLFWHAHISWLRATLYGPIIILHKRGIPYPFAKPYKEVPIVFGEWFNSDPEAVISQALQTGGGPNVSDAYTINGLPGPLYTCSAKDTFKLKVKPGKTYLLRLVNAALNDELFFSIANHTLTVVDVDAVYVKPFKTETLLITPGQTTNVILKTKPSYPNATFFMTARPYVTGQGTFDNSTVAGILEYESPPNSLHSSIMLPLFKPILPALNDTSFATKFGSKLRSLASAQYPANVPQKVDKHFFFTVGLGTSPCQHNQTCQGPNGTKFAASVNNVSFAMPTSALLQAHFFGQFNGVYTPDFPSTPITPFNYTGSPPNNTTVSNGTKVVVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFIVGQGFGNFDPNKDPAKFNLIDPVERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWIVLDGELPTQKLLPPPADLPKC >KJB81724 pep chromosome:Graimondii2_0_v6:13:43461436:43464500:1 gene:B456_013G159000 transcript:KJB81724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPPIQRAHHQTGVWLPVMLLMPDSVTWLLPPLAVIAIPLVKRRLGIPPLFPIFYSILCPHLPSVPSILRRFPSRAKSADVEKEMEQVNIKPSLDVRLSDLKLVLGLELRIVYPLILNFAVSGELELNGQAHPKWIKPKGILTFENGDVNLVATQASIVR >KJB82337 pep chromosome:Graimondii2_0_v6:13:49142556:49143372:-1 gene:B456_013G190400 transcript:KJB82337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIRSILIAFGLFAFACSSASAYGPSPLQDFCVAIKDINNVFVNGKFCKDPKLAVAEDFFFSGLNRSRNTSNPVGSNVTMINVDIIPGLNTLGLTLVRVDYAPYGVNPPHTHPRGSEILLVVEGTLYVGFVTASPENRLFTKILNPGDFNIGKTAAVAFAGLSSQNAGRITIADAVFGSNPLINPDVLAKAFQLNKNVVKYLQSRF >KJB82627 pep chromosome:Graimondii2_0_v6:13:51643429:51647675:1 gene:B456_013G205600 transcript:KJB82627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGSNGGEDVVVDDSDGGDGGDCENGGKSFGSVSCSICLETVTDNGDRSWAKLQCGHQFHLDCIGSAFNVKGAMQCPNCRKIEKGQWLYANGCRSYPEFNVDDLTHDEDLYDLSYSEMSFGVHWCPFGSLARLPSFEVGEFSSTSYHELLGQQAIFAEHSASAAHPCPYVAYFGPTVHPSSSNSGGSVSDSSSFNGHWNGPSVPSEMPTSYAFPAMDLHYHSWEHQSTPFSTFSSRIGSSDQPSNPPINQRSTRSSSDTPRSASFMHPLVGGHSSGARAGSSVAPSLIPPYPGSNARARDRVQALQAYYQQHPSNSPTIRTPIFSGSRRSSGHRNHAQAGPAASSSDQVGGFYFIPSGTSGRNFQEAENPLSTRFHPWERDHLSPFSLNQVDRESGWNTFHQSATGAPDPSIRSSSFRQRHGSERMQSQNHS >KJB81806 pep chromosome:Graimondii2_0_v6:13:44006158:44007656:1 gene:B456_013G162000 transcript:KJB81806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTFGFINAKLLFCLLVISILYHSAFVTSKPTGFSLRAVIDDSPESPLYLIENLTIAKRLERLIKISYARVNYLNLVSSGDAKLVPDNIRIPILRDALYYAVAFTIGSQGHPVKLLMDTGGGLIWTQCLPCTNCFPQKLPIYNPTASTSYATLPCSHPLCNGDRRLYNCEHGRYCVYNAQYGGGASTSGIASTEAFHFFVDQRSTHPFNVIFGCSYDSRDIAFMNTDISGIFGLSFSPDSMASQFSALIQHRFSYCLAPFDDVTPRPLVLRFGEDIPQLPPQSVGSTQLIASPTSYFFYLGLKDISVAGHRIGFPPSIFQIKPNGLGGFFIDSGALFTHIDADALGGNAYAEVLKVFAAYYGSKYLKQTGASPEGFELCYERPPNFDEFAALTFHFDESVYTVNGQYMHVIAPNFFCVGILKGSSVSVLGAWQQQNKRIIYDGGRGVLEFADENCMNDIA >KJB82574 pep chromosome:Graimondii2_0_v6:13:51368768:51377153:1 gene:B456_013G202700 transcript:KJB82574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNSNNSTVNNVARAIVAALDWNSTPDARKAAVSYLESIKAGDIRVLANTSFLLVKKDWSSEIRLHAFKMLQHLVRLRWEEFSLSERRNFSNVAVELMSEIADPCEEWALKSQTAALVAEVVRREGLNLWQELFPSLVSLSSKGPVQAELVSMMLRWLPEDITVHNEDLEGDRRRLLLRGLTQSLPEILPLLYTLLERHFGEALSEVGRQHLDIAKQHAAAVTATLNAINAYAEWAPLSDLAKFGIIHGCGFLLSSPDFRLHACEFFKLVSPRKRPADDFASEFDSAMSSIFQILMNVSREFLVRSNSAGGAIDESDFEFAEYVCESMVSLGSSNLQCILRDSSTSALYLQQMLGFFQHFKLALHYQSLQFWLALMRDLMSKPKLSVHSSGEGSAASNTDSNSAQVDNEKRKILSFLNDDICSTILDISFQRMLKKERLITGKALSLGALELWSDDFEGKGDFGQYRSRLFELIKFIASNKPLVAGAKVSERIIMIIKNLLNSPMPAEDLAVMESMQVALESVVSSIFDGSNEFAGGSSEVHVALCGIFEGLLRELLSLNWTEPALVEVLGHYLDAMGPFLKYFSDVVGSVINKLFELLNSLPFVVKNPSTSSARHARLQICTSFIRIAKAADKSILPHMKGIADTMVYLQREGRLLRGEHNLLGEAFLVIASAAGVQQQQEVLAWLLEPLSQQWIQIEWQNNYLSEPLGLVRLCSETAFMWSLFHTVTFFEKALKRSGMRKGQSSSTSSSTPHPMASHLSWMLPPLLKLLRAIHSLWSPSVFQVLPGEIKAAMSMSDVERSSLLGVGNPKLSKGALTFIEGSPFDVNKEGYTEPNEADIRNWLKGIRDSGYNLVHSVLIPLVKCCPPDMWGVWLEKLLHPLFVHCQQALSCSWFGLLHEGRAKVPDNHGILTGSDLKVEVMEEKLLRDLTREICLLLSTIASPGLNTSLPALEHSGHVGRVDMSSLKDLDAFAPSSMVGFLLKHKSLAIPVLQISLEAFTWTDSEAVTKVCSFSASVVLLAILTNNVDLREFVSRELFSALIRGLALESNAFISADLVNLCREIFIYLCDRDPAPRQILLSLPCITPNDLHAFEEALTKTASPKEQKQHMRSLLLLATGNNLKALAAQKNVNIITNVTARPRGPVNAPGNGIEEGDSVGLAAIL >KJB78976 pep chromosome:Graimondii2_0_v6:13:2055054:2059251:1 gene:B456_013G027800 transcript:KJB78976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESAESSKDYFVTTVNEDRATICWGCGLRLLLPTHAPVFKCGWCGAITSQNVNKPETKCLWGRRWQDRCFVTILSVFMLFLICGGVWAVHWFVFSVSYTFGIFHYILTAILAATTVSTFSLSAFRCAGTPPTVLWGSYPVVGKGDLENYTFCHYCVKPKSPRAHHCRSCGTCVLDMDHHCPFIGNCVGAANHRHFIAFLFSAVFSTIYISFLSAYAGLHIWPPVKYRSLGHLNGSGRDLAIRAFKEVMLALVSSAVQLSARGLILVYLFVSSVSLQIGLSVLLWQQLCYIYEGKTYLSHLSSQGSGGDHIEEKGCQNIFRFFGCPYSIFRYLPTLRNSPKRHTK >KJB83267 pep chromosome:Graimondii2_0_v6:13:55619880:55621995:-1 gene:B456_013G238500 transcript:KJB83267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSLTSITPQPTTGFSLKNNNNYSYPTTKFLPKKRHVLLTLATPSPQTSSSTTSEQPEIELEFLGPKPGSDGSYPVDKATAISGQKLLRNIMLDNNIELYAAYGKVMNCGGFGNCGTCIVEIVDGKDLLNERTKDELRYLKKKPESWRLACRTIVGNKENSGKVVVQRLPQWKK >KJB83266 pep chromosome:Graimondii2_0_v6:13:55619880:55621872:-1 gene:B456_013G238500 transcript:KJB83266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSLTSITPQPTTGFSLKNNNNYSYPTTKFLPKKRHVLLTLATPSPQTSSSTTSEQPEIELEFLGPKPGSDGSYPVDKATAISGQKLLRNIMLDNNIELYAAYIVDGKDLLNERTKDELRYLKKKPESWRLACRTIVGNKENSGKVVVQRLPQWKK >KJB83024 pep chromosome:Graimondii2_0_v6:13:54533602:54534916:-1 gene:B456_013G225900 transcript:KJB83024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSAASMALPLAGATQNRMPSSEAVFKPLPVKPWRAMGGVKRPNGKLQVKAGASSFKEKAVTGLTAAALTTSMMIPEVAQAADGVTPSLKNFLLSIAAGGVVLVAIVGAVIGVSNFDPVKRT >KJB78669 pep chromosome:Graimondii2_0_v6:13:774784:775770:-1 gene:B456_013G011400 transcript:KJB78669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKIPKIKQESPLDDVRPSNTSLISSATVTMKNCSNINQSAKPNEEYHRGDARKISLIETVGEEKGKIIDLNSELTPIGLPPKDPLSTNRYPEILSEMSRMLLNQQDFSGEEIEFNYPIAEGPSLVVMACTRCLMYVMACDVNPKCANCKTSEHLLDIFRDPPQSP >KJB82241 pep chromosome:Graimondii2_0_v6:13:48245420:48261138:1 gene:B456_013G183900 transcript:KJB82241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 5 [Source:Projected from Arabidopsis thaliana (AT5G15920) UniProtKB/Swiss-Prot;Acc:Q9LFS8] MEEPRVKRPKISRDEDDYLPGNITEIELHNFMTFNHLVCKPGPRLNLVIGPNGSGKSSLVCAIALCLGGEPQLLGRATSIGAYVKRGEDAGYIKISLRGYTKEEQIAIVRKIDTRNKSEWFYNGKSVAKKEILEVIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPQLPVQHFALVEKSRELKKYQKAVDKMGESLKQLIALNAEQEKDVERVRQRDELLEKVDCMKKKLPWLKYDMKKIEYLEAQKREKEAKKKLNEAAKMLNEFKAPIEKQKQEKTKLDDQCKRISNFMNENIKKRNDLLQKENEAAVQARSKYKEIEDLRREEDCRKQSIIEAKMKLADAERDLQNLPAYEPPKEEIERLKSQILELTSSAHQMMQQKKEKEKSLGQMKTTLRNCVDGLKDMENTKTKLLHALKKSGAEKIFEAYQWVELHRNELNKDVYGPVLIEVNVSNEVHAKFLEGHVAHYIWKSFITQDSGDRDFLVKNLQPFDVPILNYVREESGRKAPPEISKQMHELGIYSRLDQVFDAPAAVKDVMSSQFGLEHSYIGSDKTDRKADDIAKELGILDFWTPQNHYHWSVSRYGDNEMSARVEPVHDSRLLLCGLDSGEIENMRSRKNELEKLIADAEEGIKSLQIQQRLVEDEAAKLQKQREEMVETARREMRKRKDLESCVEQRKRKLVSLERSGDIETAVDKLIEQAARSNIERLKHAIKVKDLLVEAVSCKWSYAEKHMASIEYDAKIIDSEVNLKEQEKFAYQASVNLQNCQQDVKDYSQQLLAAKRNAESIAIITSELERLFLQMPTTIEELEAAIQDNISQANSIVFLNQNILQEYEDRRRQIETISAKLETDSKELQRCLAEIHTLKGSWLPTLRNLVSQINETFSRNFQEMAVAGEVSLDEHDTDFDQFGILVKVKFRQAGQLQVLSAHHQSGGFQRFFILFLFKISLIVPLGWLMRLTKEWTPLMKERCFSN >KJB82240 pep chromosome:Graimondii2_0_v6:13:48245404:48261289:1 gene:B456_013G183900 transcript:KJB82240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 5 [Source:Projected from Arabidopsis thaliana (AT5G15920) UniProtKB/Swiss-Prot;Acc:Q9LFS8] MEEPRVKRPKISRDEDDYLPGNITEIELHNFMTFNHLVCKPGPRLNLVIGPNGSGKSSLVCAIALCLGGEPQLLGRATSIGAYVKRGEDAGYIKISLRGYTKEEQIAIVRKIDTRNKSEWFYNGKSVAKKEILEVIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPQLPVQHFALVEKSRELKKYQKAVDKMGESLKQLIALNAEQEKDVERVRQRDELLEKVDCMKKKLPWLKYDMKKIEYLEAQKREKEAKKKLNEAAKMLNEFKAPIEKQKQEKTKLDDQCKRISNFMNENIKKRNDLLQKENEAAVQARSKYKEIEDLRREEDCRKQSIIEAKMKLADAERDLQNLPAYEPPKEEIERLKSQILELTSSAHQMMQQKKEKEKSLGQMKTTLRNCVDGLKDMENTKTKLLHALKKSGAEKIFEAYQWVELHRNELNKDVYGPVLIEVNVSNEVHAKFLEGHVAHYIWKSFITQDSGDRDFLVKNLQPFDVPILNYVREESGRKAPPEISKQMHELGIYSRLDQVFDAPAAVKDVMSSQFGLEHSYIGSDKTDRKADDIAKELGILDFWTPQNHYHWSVSRYGDNEMSARVEPVHDSRLLLCGLDSGEIENMRSRKNELEKLIADAEEGIKSLQIQQRLVEDEAAKLQKQREEMVETARREMRKRKDLESCVEQRKRKLVSLERSGDIETAVDKLIEQAARSNIERLKHAIKVKDLLVEAVSCKWSYAEKHMASIEYDAKIIDSEVNLKEQEKFAYQASVNLQNCQQDVKDYSQQLLAAKRNAESIAIITSELERLFLQMPTTIEELEAAIQDNISQANSIVFLNQNILQEYEDRRRQIETISAKLETDSKELQRCLAEIHTLKGSWLPTLRNLVSQINETFSRNFQEMAVAGEVSLDEHDTDFDQFGILVKVKFRQAGQLQVLSAHHQSGGERSVSTILYLVSLQDLANCPFRVVDEINQGMDPINERKMFQQLVQAASQPNTPQCFLLTPKLLPDLEYSEACSILNIMNGPWIEEPSKVWRNGDCWSTIAGLAETR >KJB79377 pep chromosome:Graimondii2_0_v6:13:4087985:4090080:1 gene:B456_013G046400 transcript:KJB79377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQQYEQQQQQQENHPSFLLDALYCEEEADAGEVLEEESSCVGCNNGGNPSFFPLLLLEQDLFWEDGELLSLFAKETEQQPSCFNVGTDESLAMARREAVEWMLKVNARFGFTTLTAVLSINYLDRFLSTFQFQRDNPWMIQLLAVTCLSLAAKVEETQVPLLLDLQVEETKYVFEAKTIQRMELLVLSTLKWKMHPITPLSFLDHIIRRLGLKTHLHWEFLKRCERLLLCVISDSRSIHYLPSVLATATMMHVIDQVELFNPIDYQNQLLSVLKISKEKVNDCYKLILDVSTRPQAQGNGGACKRKVEERVPSSPSGVIDAAFGSDSSNDSWGTVSLSPEQQPPFKKSRAQEQVMRLPSLNRVFVDIVGSPS >KJB79922 pep chromosome:Graimondii2_0_v6:13:8636452:8640397:1 gene:B456_013G072500 transcript:KJB79922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSCRWSVINTLSSILSCYTIFILFSALQACFARDNITIESGLADGQTLVSAGNKFQLGFFGPSRGSNVKRYVGIWYASNSQIVVWVANRDNPILDRTGVLSIANDQLKLSDEKGKIYWSTQRGAKRSKLVAKLNYTGNFILLDERLRVNLWESFTEPTDTFLFGMKMDAKFLLTSWSSEEDPSSGNFIFKQDQGVEQLVVMEKSTIHWKSSRPDADMNSWSSIWSEPNNNCSVFNFCGNFGTCNTNSGLPCKCLPGFQPKFMDKWKAGEFSDGCSRNHTSSCGNYFLSLKRMKVENSDSSYEAKDETDCRGECLKNCQCQAYSFVGQRDYTTSCLIWSEDLKDLQEVEDDGYDLNIRVALSDIEASTRNCETCGTNSVPYPLSTGPKCGDPMYFSFHCNNDTGKLSFMAPNGRYSVVAVNPDARTFVIQMKAEEANNCAALHASASRILQFNQSSPFNVTSSCSGELGNLTSDSTLEGTVEVEISWKPPLEPMCSSSADCKDWPHSTCNENKNGQRRCLCNSTYHWDGLVLNCTREDGQLGESSDKSKPLALILGISLPIGTAFLCAAVSIYVWREKVVKRREKQRQAVLHMYDTEKGVKELIDLTPFEEKDHGTGIDVPFFDFESILAATNNFSEENKLGKGGFGPVYMGKFPGGEEVAVKRLSSVSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCIRGEEKILLYEYMPNKSLDSLLFGESSSQQLDWATRFNIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDAEMNPKISDFGLARMIQGKQTEANTLRVIGTYGYMAPEYALDGLFSVKSDVFSFGIVVLEIISGKRNMRFYQVKDDAPSLVGYGWRLWQEGKALDLMDERAGSNCNESEFIRCVHVGLLCIQEDPSERPTMGDIVLMLSGTQSSKLPIPKQPAYVIRRPLSATASLYSNAETNTEITSSIEEGR >KJB79923 pep chromosome:Graimondii2_0_v6:13:8636497:8640397:1 gene:B456_013G072500 transcript:KJB79923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSCRWSVINTLSSILSCYTIFILFSALQACFARDNITIESGLADGQTLVSAGNKFQLGFFGPSRGSNVKRYVGIWYASNSQIVVWVANRDNPILDRTGVLSIANDQLKLSDEKGKIYWSTQRGAKRSKLVAKLNYTGNFILLDERLRVNLWESFTEPTDTFLFGMKMDAKFLLTSWSSEEDPSSGNFIFKQDQGVEQLVVMEKSTIHWKSSRPDAGKIIKSDELPPTIVNFLTFSQSNNPRVYQDKRMVMTFNGKLQYWDFDADMNSWSSIWSEPNNNCSVFNFCGNFGTCNTNSGLPCKCLPGFQPKFMDKWKAGEFSDGCSRNHTSSCGNYFLSLKRMKVENSDSSYEAKDETDCRGECLKNCQCQAYSFVGQRDYTTSCLIWSEDLKDLQEVEDDGYDLNIRVALSDIEASTRNCETCGTNSVPYPLSTGPKCGDPMYFSFHCNNDTGKLSFMAPNGRYSVVAVNPDARTFVIQMKAEEANNCAALHASASRILQFNQSSPFNVTSSCSGELGNLTSDSTLEGTVEVEISWKPPLEPMCSSSADCKDWPHSTCNENKNGQRRCLCNSTYHWDGLVLNCTREDGQLGESSDKSKPLALILGISLPIGTAFLCAAVSIYVWREKVVKRREKQRQAVLHMYDTEKGVKELIDLTPFEEKDHGTGIDVPFFDFESILAATNNFSEENKLGKGGFGPVYMGKFPGGEEVAVKRLSSVSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCIRGEEKILLYEYMPNKSLDSLLFGESSSQQLDWATRFNIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDAEMNPKISDFGLARMIQGKQTEANTLRVIGTYGYMAPEYALDGLFSVKSDVFSFGIVVLEIISGKRNMRFYQVKDDAPSLVGYVSRDDFKIGFLLHMALPSIAILNIWLQGWRLWQEGKALDLMDERAGSNCNESEFIRCVHVGLLCIQEDPSERPTMGDIVLMLSGTQSSKLPIPKQPAYVIRRPLSATASLYSNAETNTEITSSIEEGR >KJB79921 pep chromosome:Graimondii2_0_v6:13:8636452:8640397:1 gene:B456_013G072500 transcript:KJB79921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSCRWSVINTLSSILSCYTIFILFSALQACFARDNITIESGLADGQTLVSAGNKFQLGFFGPSRGSNVKRYVGIWYASNSQIVVWVANRDNPILDRTGVLSIANDQLKLSDEKGKIYWSTQRGAKRSKLVAKLNYTGNFILLDERLRVNLWESFTEPTDTFLFGMKMDAKFLLTSWSSEEDPSSGNFIFKQDQGVEQLVVMEKSTIHWKSSRPDAGKIIKSDELPPTIVNFLTFSQSNNPRVYQDKRMVMTFNGKLQYWDFDADMNSWSSIWSEPNNNCSVFNFCGNFGTCNTNSGLPCKCLPGFQPKFMDKWKAGEFSDGCSRNHTSSCGNYFLSLKRMKVENSDSSYEAKDETDCRGECLKNCQCQAYSFVGQRDYTTSCLIWSEDLKDLQEVEDDGYDLNIRVALSDIEASTRNCETCGTNSVPYPLSTGPKCGDPMYFSFHCNNDTGKLSFMAPNGRYSVVAVNPDARTFVIQMKAEEANNCAALHASASRILQFNQSSPFNVTSSCSGELGNLTSDSTLEGTVEVEISWKPPLEPMCSSSADCKDWPHSTCNENKNGQRRCLCNSTYHWDGLVLNCTREDGQLGESSDKSKPLALILGISLPIGTAFLCAAVSIYVWREKVVKRREKQRQAVLHMYDTEKGVKELIDLTPFEEKDHGTGIDVPFFDFESILAATNNFSEENKLGKGGFGPVYMGKFPGGEEVAVKRLSSVSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCIRGEEKILLYEYMPNKSLDSLLFGESSSQQLDWATRFNIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDAEMNPKISDFGLARMIQGKQTEANTLRVIGTYGYMAPEYALDGLFSVKSDVFSFGIVVLEIISGKRNMRFYQVKDDAPSLVGYGWRLWQEGKALDLMDERAGSNCNESEFIRCVHVGLLCIQEDPSERPTMGDIVLMLSGTQSSKLPIPKQPAYVIRRPLSATASLYSNAETNTEITSSIEEGR >KJB82144 pep chromosome:Graimondii2_0_v6:13:47205549:47209094:-1 gene:B456_013G178600 transcript:KJB82144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRREISEDGRELLFDHGAPYFTVTNPDVLSVVTEWESRGLVAEWKSNFGSFDCFTNKFVNTEHQERESKKYVGVPGMNSICKALCHEPGVDSKFNMGIGKFEWLEHENLWSLIGLDRQHLGHFKGVVASDKNIASPRFTAITGQPPPLDLSLAPELVKLHDIPIYPCFALMLAFNEPLSLVPAKGLSFTNSKVLSRANCESSKPGRSSTSERWVLHSTTEYAKDVIAQSGLEKPSKETLSKVGNEMLQEFLSTGLSIPQPFFMKAHRWGSAFPAASIAKEEKCVWSEKKKLAICGDFCLSPNVEGAILSGLAAASKLKGLIRTL >KJB82143 pep chromosome:Graimondii2_0_v6:13:47205549:47209105:-1 gene:B456_013G178600 transcript:KJB82143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPVAKVAVVGSGRSVCAATLARNGISVTLFDSARGPGGRMSQRREISEDGRELLFDHGAPYFTVTNPDVLSVVTEWESRGLVAEWKSNFGSFDCFTNKFVNTEHQERESKKYVGVPGMNSICKALCHEPGVDSKFNMGIGKFEWLEHENLWSLIGLDRQHLGHFKGVVASDKNIASPRFTAITGQPPPLDLSLAPELVKLHDIPIYPCFALMLAFNEPLSLVPAKGLSFTNSKVLSRANCESSKPGRSSTSERWVLHSTTEYAKDVIAQSGLEKPSKETLSKVGNEMLQEFLSTGLSIPQPFFMKAHRWGSAFPAASIAKEEKCVWSEKKKLAICGDFCLSPNVEGAILSGLAAASKLKGLIRTL >KJB82142 pep chromosome:Graimondii2_0_v6:13:47205511:47209236:-1 gene:B456_013G178600 transcript:KJB82142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPVAKVAVVGSGISGSVCAATLARNGISVTLFDSARGPGGRMSQRREISEDGRELLFDHGAPYFTVTNPDVLSVVTEWESRGLVAEWKSNFGSFDCFTNKFVNTEHQERESKKYVGVPGMNSICKALCHEPGVDSKFNMGIGKFEWLEHENLWSLIGLDRQHLGHFKGVVASDKNIASPRFTAITGQPPPLDLSLAPELVKLHDIPIYPCFALMLAFNEPLSLVPAKGLSFTNSKVLSRANCESSKPGRSSTSERWVLHSTTEYAKDVIAQSGLEKPSKETLSKVGNEMLQEFLSTGLSIPQPFFMKAHRWGSAFPAASIAKEEKCVWSEKKKLAICGDFCLSPNVEGAILSGLAAASKLKGLIRTL >KJB83202 pep chromosome:Graimondii2_0_v6:13:55333937:55340514:1 gene:B456_013G235200 transcript:KJB83202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIISSQMEEIKILISSNSKTDQSLGYSTLLHFQEQSCDSPPSIQALIQSSRCLINSIVSDIHNEDEEIAAQALKCLGFMIYHPSLVATIPAGDGKRVLESLAKLITFTKMKSVCNLGVWCISMQQFDATLLAACFDTLLQAVVHALDNPIGSLSTTFEAMQAVAKLVAQMSEMMRESSHLWAPPIYRRLLSIDKRERDMSERCLLKIRSTILPPPISLSKAIIEDMREKLLTGMKDWSDKGMKVQTVQAWGWFICFLGSGALKNRHLVNDMLKVLEQTFSDHNPQVQIASLVAWQGLIDALVHPQILSCKKNGIQQLQTSPGKSSELVLNGFSKSLKLAMAPLIGIISSKCDVSVLLSCLNTWCYLLHKLDTFINSPSVINVVLDPMFQAIFKIGPDSKSIRLWNLCLDLLEDSISTKCSDLNSDPKDQVNLHLSARTFISGSGRYSWNQYPIKWLPWDLSQLDFYLKMISIIITHVATATAAPESKKSVCDAAVRIFRSVLKGVQMEFRNPSNNYDNIMFCLNTILSFMKKLGEDASSDGGGDLFNTSLYLIEAAVEELEPSIMESPLYKVALDISYVGSLDSVKHSKIPHRCSFMDMFSPMVYLTVLYLGLVVQLTINTPEMELILQRLQRFYKFVLSSDDPLESFLASVGFLYAHKGFKYMEIWMVMVTCLNGYIDGMKDLSLFRTDSDNSFYRAICHLLSYPFILFSCAKKDITLSKASNSLKESFVLSERKLEQVIEVWKSLFGSVCVACLKSSATNTLSHDLCAMLNSCFDENSSMFQYNSELGYKDLELACLSFSGKVLVCILEQKLTSDTIGRECVGVCNGSSGINNIFEFASRVMKFMYINMGREPASGLVSSRVFSTLTRFISCLHSKQDILSFFEIISGLLLQWLSHLEIKDENAKDQLGILLAESLNCLQRSQPPLTFDSSFLKLQASLLEKTLDHPNTSVSDPTIIFWNSTYGKQISLDYPQNLLHVLHKLSRNGRISLYNRSKSFLARCSTLENDTVITPRYCKITPTQKSSKRVELTEEGMIPGSNQNNKPPSNSKRKRVELTEHQKEVRRAQQGRERDCNGHGPGVRTYTSLDFSQGNQELQDSQDIRDSEAMLEMLRRDN >KJB83256 pep chromosome:Graimondii2_0_v6:13:55560399:55561109:-1 gene:B456_013G237800 transcript:KJB83256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAADGIFWSLYEGCISGNEIGIERRPYHKNCRCALHDKSRGNCPRSIAKSNNVSYPMRRAWSEGCLMMTAAASSSCHSSPSSLPAWAGKHHLGPSKEEDEIDLLGNGKIVKMNTNLIE >KJB81668 pep chromosome:Graimondii2_0_v6:13:43118346:43121103:1 gene:B456_013G156100 transcript:KJB81668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKGGFDLFLNWLTCIAVVSALIKTCMGGELYESKSFFSFIRSVDPQNELGIQWNHLSQNPCLHKPNGVKCNLQATSILEIRLENLNLSGVIDADALCKLRKLQVLSLSRNLIHGTIPSSISYCTGLRYLNLSSNSLSGRVPHTLTKLKYLKSLDISNNHFTNIGPYIGKEFDHSNKYLKKPVSLQSDGHLNTRRKDEQAAGASKDSSEDNSIDLLVVLIPLYLGFGFLFVFLYYMRKRADKIAKEKEAQKVLKETPLKLSPINAIQEVKQEDRNQALVFFVEDHQSFKLDDLLEASADLQSQGICSSLYKVILKNNATYAVKRLKKLQVSFEEFSQTMTRIGNLKHRNILPLVGYNCTNEEKLLFYKYQNNGSLLNLLKGYIEGKREFPWRLRLTIASGLARGLAFIYQNPKDADEIIPHGNLKLSNILLGDSMEPLISEYGISRLLDPKKNSLFSNGYTAPEKSLSEKGDVFSFGVILLELLTGKTVEKTGVDLPKWVKSMVREEWTGEVFDKEVTETALQWAFPLLNIALKCASYSPLDRPTTSEVLETIDEALSAHDDRSVSSMSSWESGHPDCCILHTVIPETWDTPGSNC >KJB82973 pep chromosome:Graimondii2_0_v6:13:54295646:54296290:1 gene:B456_013G222700 transcript:KJB82973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHTPHHHYYNFLHSKTMVKLRNEKPRGERNESQFKGARKRKWGKWVSEVRLPNSRERIWLGSYDTAEKAARAFDAAVFCLRGPSAKFNFPHNPPDIAFGKSLTPSEIQAFAARFANSEPPATIHSEQSTSSCGTESPSPSVRLEWETPVVGSFTDGLTMDSGNYGLDYGIFPGFDDFSSDVVIGSSVGNIGGEEEEDKVDGILVSESFLWDF >KJB82303 pep chromosome:Graimondii2_0_v6:13:48863248:48867723:1 gene:B456_013G188000 transcript:KJB82303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFSKYAHSPAHLAVARRDHAGLRRIISTLPRLAKAGEVNTEAESLEAELQADAVSAVIDRRDVPGRETPLHLAVRFRDPILAEILMAAGADWSLQNEHGWSALQEAVCTREEAIAMIIARHYQPLAWAKWCRRLPRIVASASRIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYTSEDENLSLPPGSLVVLSHKEKEVTNALEGAGQQPTESEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERSEMVGNWKAKVYDMLHVTVSVKSRSVPGAMTDEELFSVDDEERMAKGGDNDEYDDVLTAEERMQLDSALCLGNSDGLCDDDEHGVIECKENGSGASYEDCESNGVAKDKKGWFGWNKKGSKNSDDPEDSKILKKFSKLAPEGSNQRHADSHKSSSEISKEDAVDGKKSKDKGSKKKKKKGAPSDAKHESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAIRRLRELLTTKLPLGTFPVKVAIPIVPTIRVLVTFTKFEELQPVEEFSTPLSSPAHFQDSKSKEPEGSTSWISWMRGTRGGQPSDGESHRYKDEVDPFHIPSHYTWVDANEKKRRIKAKKAKSKKHKRQTTAAKGGDGGQQVNEEVEE >KJB82432 pep chromosome:Graimondii2_0_v6:13:49813224:49814360:-1 gene:B456_013G195300 transcript:KJB82432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVMAPLSTREKFITKAAMAMVMAPLSTREKFITKAAMAMGPLRPPVTVTASSTRKGLWIRSRTRSTAREVMNLVMRAKRKRRRRRRSMKMAMKAAAAATVIRSSIIVLVFLSLMVHGMNVKACQNICIIFIFICGENEKKKKSKKRGCLWCMVLIACDVFDKL >KJB83400 pep chromosome:Graimondii2_0_v6:13:56448605:56450767:1 gene:B456_013G245700 transcript:KJB83400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRYLYYPPIQRRLENPYPFLIFVSFFCPHRFLLLLPSALQIYPHFFCFHNKSSTLSSSEADFRVSFIFAAVYTGLLFPGDRIMGLDTPSGGNTSHGYYTPHGTKVSSASIFFESLPYKVNPQTGYIDYEKLEERALDFRPKILICGGSSYSREWDYRRFRQIADKCGAVLLCDMAQISGLIAAKVYKL >KJB79971 pep chromosome:Graimondii2_0_v6:13:9143649:9148060:1 gene:B456_013G075500 transcript:KJB79971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDMEDSRSSSSHSIHSVAFHVYFAAVRTRRFAKNLIPSSPRISLLGFPRKIACSLKEQLARTTLNNVRSQGHTYIELREERKWFIFFCTLCLGPCYSDSMLLDHLKGNLHTKRLAAANPWPFNDGVLFFGKCNEKEKQLAVVKFNENRLLEFESNDNYLAIVKYVGSEVSSYEKNVNGIAGDSDLVIPGVLIKDEVSDLKVSLIGFGKIAARFCENGVSNRLSRIWCEWLGKEAPRNDDKVKVPKHEFAVVTFVYNCDLGRKSLLDDVKSLLTSGSPMELVNVVAAGWKRKKSFSDPEDISESLSNQYDSSGEDSSASNSASSKLALDRHDDQLLLTRFISSKAVRRELRWQQCIAAERMCDICQQKMLPEKDVTTLLNLKTGKLVCSSRNVNGAFHLYHTSCLIHWILLCELETIESHKVNPIVRRRLLEEKWSQMQ >KJB79970 pep chromosome:Graimondii2_0_v6:13:9143649:9148903:1 gene:B456_013G075500 transcript:KJB79970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDMEDSRSSSSHSIHSVAFHVYFAAVRTRRFAKNLIPSSPRISLLGFPRKIACSLKEQLARTTLNNVRSQGHTYIELREERKWFIFFCTLCLGPCYSDSMLLDHLKGNLHTKRLAAANPWPFNDGVLFFGKCNEKEKQLAVVKFNENRLLEFESNDNYLAIVKYVGSEVSSYEKNVNGIAGDSDLVIPGVLIKDEVSDLKVSLIGFGKIAARFCENGVSNRLSRIWCEWLGKEAPRNDDKVKVPKHEFAVVTFVYNCDLGRKSLLDDVKSLLTSGSPMELVNVVAAGWKRKKSFSDPEDISESLSNQYDSSGEDSSASNSASSKLALDRHDDQLLLTRFISSKAVRRELRWQQCIAAERMCDICQQKMLPEKDVTTLLNLKTGKLVCSSRNVNGAFHLYHTSCLIHWILLCELETIESHKVNPIVRRRLRKNGAKCNETRKDLETKPMGFLSSSILCPECQGTGIEVEDDKLEKHDISLSQMFRYKIKVSDGRRAWMKSPEMLESCSTGFHFPSQFGEMVQEKVLPLKLLHFYSADNCECGSSLVG >KJB83008 pep chromosome:Graimondii2_0_v6:13:54442816:54443708:-1 gene:B456_013G224700 transcript:KJB83008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQIIVSIFILALASSLTFASDPSPLQDFCVAINDPKGSVFVNGKFCKDAKLAKADDFYFSGLHIRKNTSNIFGSTVTPVNVAQMPGLHTLGISMVRIDYAPYGGLNPPHTHPRASEILVVLEGTLHVGFVTSNPDNRLISKVLYPGDVFVFPVGLIHFQYNIGNTYAVAFAGLSSANPGVITIANAVFGSNPSINADILAEAFNLDWKMVKNLQSEF >KJB81044 pep chromosome:Graimondii2_0_v6:13:33089391:33090859:-1 gene:B456_013G127000 transcript:KJB81044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNWLLSYLAILIVMAAASTSISDSDSVSLASTTRHLLQAKKACPIKFEFLNYTIITSECKGPKYPANRCCAAFKKFACPYAKQINDLTTDCASTMFSYINLYGKYPPGLFAAECREGKQGLKCPKSAPTR >KJB81043 pep chromosome:Graimondii2_0_v6:13:33089391:33090791:-1 gene:B456_013G127000 transcript:KJB81043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNWLLSYLAILIVMAAASTSISACPIKFEFLNYTIITSECKGPKYPANRCCAAFKKFACPYAKQINDLTTDCASTMFSYINLYGKYPPGLFAAECREGKQGLKCPKSAPTR >KJB82012 pep chromosome:Graimondii2_0_v6:13:46004411:46010698:1 gene:B456_013G172900 transcript:KJB82012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKPEWVTQPIFSGLIFSIFYLIFVNLLKNLNPGLQYFDLQSNWNFQKEFESFTAENGKLEDLIEYLIAGYRQVPATDLQKQEISVDLSYSHPNFDVKLDGIELDDLKDDLVPSKVTNPENSLIIQPNTITEFLLKIDEDVASNVDLSDFTICFNGEQKTIGRYSFPISLVPTVERIMNAYGDVSASSPMNTNITGKIYLLFCATIKEMEDLELHQVTQTKMLKWRDAIKDALRVKFKVEFAMSHLKKIARAYFGGIGEQVLLTINEKLNSLYKERAKALEGFKDFLANAKDFDGQSVSTGLFP >KJB82524 pep chromosome:Graimondii2_0_v6:13:51159547:51161334:-1 gene:B456_013G200600 transcript:KJB82524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMRVCIVVLACVVVSAAAQSGTNVRASYHEYNPQNINWDLSAASVYCATWDANRPLEWRRRYGWTAFCAPGGPQGQAACGRCLRVTNTGTGNQATVRIVDQCQNNGLDLDVNVFRQLDSNGVGIANGYLTVNYEFVNCGD >KJB79435 pep chromosome:Graimondii2_0_v6:13:4464992:4467493:1 gene:B456_013G049600 transcript:KJB79435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CHHCHSRSSKKMTENPNPFQPLFQTVESFYIANFVRKVNHSSPTEKPPLTFPPPSKLNAGSPVTTTHLLVKKPVAPVTKEELGRATWTFLHTLAAQYPERPTRQQKKDVKQLMSILSRMYPCNECADHFKEVLRANPVQAGSHEEFSQWLCHVHNVINRSLGKVAFPCERVDARWGKLECEQRACDLQGTTMNYTEF >KJB82232 pep chromosome:Graimondii2_0_v6:13:48181096:48181464:-1 gene:B456_013G183200 transcript:KJB82232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILKSFIPGNLISLHMTIINSVVMVGLYYRFLTTLSIGPSYIFLLRARVIEEGEERTESYFYTNYTNKRTACPKST >KJB81635 pep chromosome:Graimondii2_0_v6:13:42309026:42311072:1 gene:B456_013G154100 transcript:KJB81635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPETRTNLQYQKYIWRLNKQILLVQSNSHGSNPSHTFSRPSDVKRKKNSPPFPIFKSPASLYLKPQIPHRISQPQTHSHFLKKQTSTQMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB83975 pep chromosome:Graimondii2_0_v6:13:1231422:1232141:-1 gene:B456_013G017900 transcript:KJB83975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCNRNNEVRSIDSISMYLEKRIEGWNECITRILGIPWGFVIGAELTIVQSRLSLVNKIQKVYRSQGVQVHNRHIEIIVHQITSKVLVLEDGMSNVFLPGELIGLLRAERMRRALEEEICYRAVLLGITRASLNTQSFQETARVLAKAALQGRIDWLKGLKENVVLGGMIPTGTGFKGLVHQSRQHNNILLETKKKEIFFRGEMRDIFFHHRELFDSYISNNLHDTSGRSFISIEFNDS >KJB82430 pep chromosome:Graimondii2_0_v6:13:49774618:49776345:-1 gene:B456_013G195100 transcript:KJB82430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLISLEPSNLVAVRIELGQKCFGELTLRNVMFTMPVAFRLQPVKKGRYSVKPQSGIIVPLGTLTVEIVYLLPPGSFLPDSFPFTDDSFLLHSVVVPGAAMKDSTSSYDAVPNEWFTTRKKQVFIDSGVKIMFVGSPVLVQLVMDGSMDEIREVLERSDPSWRPADSVDSHGQSLLHVAIAHSRPDIVQLLLEFEPDIEFQSRYGSTPLEAASGCGEELIVELLLAHKASPDRSESSSRGPIHLAIIGGYFEVLRLLLLKGANVDALTKDGNTALHLAVENRRRDCTRLLLANGADPSVRNTRDGDTALHVAAGLGDEQMVKLLLQKGVNKDIRNKTGKTAYDVAAEHGHMRLFDALKLGDNLCLAARKGEVRAIQRLIENGAAINGRDQHGWTALHRASFKGGTDAIKILIDKGIDIDSRDEDGYTALHCAVESGHAEVVELLVKKGADVESRTNKGVKPLQIADSLHYVGISRILIHGGATTTGGMPRVSAMPDSVPFGNGKIGKEIETKKAPMRRRPAKARAVRGSFDRSLPLAIV >KJB78593 pep chromosome:Graimondii2_0_v6:13:608890:609804:-1 gene:B456_013G009100 transcript:KJB78593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSCHRKHPLVLQFNSERRACKICQVTQGRGYLYGCSPCELAIHIDCVSPLPVIESLLAVQETNLQGQINQLKTELNEKGIQIEALNKNLDKMKLKYDMLMKDKDCVTATVNNLVAEVRSRDLQIRQMEDHLQQLSKEHMQLTKNLEDELKLKIKDLEKEVDKQRSMILDVSEEKREVIRQLTFSLDHYRSGYKEFQTFLKHKRHAVIAL >KJB83914 pep chromosome:Graimondii2_0_v6:13:58181674:58183157:1 gene:B456_013G270900 transcript:KJB83914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVHTRPISGTLVAIPYPGRGHINPMMNLCKLLASRKHDLLITFVVTEEWLGFIGSYTKPDNIHFASIPNVLPSELVRGADFPGFYEAVMTKMEAPFEELLDTLELPVTAIIADTELKWAICMGNRRNFPVASLCTTSAKFFSVLHSMVLTENRLFLIDTLDNGSELAEQSSGISPDIRMIFEGSTRQVIQLTLECISWVQKAKYVLFTSVYELEGHAIDTLKARFNIPIYTIGPAIPYFELHGNSSETMVQNVPSYLQWLDIHPPCSVLYVSLGSFLSVSNDQMDEIAAGLQDSGVLYLWVARGGTSRLTEKGSEMGLVVPWCDQLKVLCHSSIGGFLTHCGWNSILEAIYAGIPMLTFPILFDQAPNSKQIVDDWKIGWRLKEQQKDGRLVTRASIAELVRSFMDPENNEVKNRRKSASELKEKCRKAVAEDGSSQRNLDAFIKDISQGHDGMLID >KJB83506 pep chromosome:Graimondii2_0_v6:13:56836871:56838538:1 gene:B456_013G250700 transcript:KJB83506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVFTIMSALLLAVIATVNSCPPSDRAALLAFKAALHEPYLGIFDSWTGTDCCHNWYGVSCDTESHRVADINLRGESEDPIFERAHRTGYMTGFISPEICKLTRLSSITIADWKGITGEIPKCISTLSFLRILDLVGNKISGEIPYDIGKLNRLTVLNIADNQISGRIPASLTSLSSLMHLDLRNNKISGPIPRRFGRLGMLSRALLSGNQISGLIPGSISRIYRLADLDLSMNKISGSIPASLGKMAVLATLNLDCNKISGTIPPTLLTSSIGNLNLSRNGLAGKIPDVFGPRSYFTVIDLSYNKLSGSIPRTLTAASYIGHLDVSYNHLCGRIPAGAPFDHLEASSFSHNHCLCGKPLRAC >KJB79354 pep chromosome:Graimondii2_0_v6:13:3973980:3975729:-1 gene:B456_013G045400 transcript:KJB79354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERAPIESVQCFGRKKTAVAVTHCKRGRGLIKINGCPIELVEPEILRFKAVEPILLLGRQRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVGYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >KJB79470 pep chromosome:Graimondii2_0_v6:13:4857028:4859375:-1 gene:B456_013G051700 transcript:KJB79470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSCCSPSLKPSLTLFFENSARCGVMVVKFGCMQSAAKFPAIKFFISPIKSYLLLQVLGATDYYCPTFKVKFIILNILLLTNV >KJB80706 pep chromosome:Graimondii2_0_v6:13:25738184:25741108:1 gene:B456_013G111300 transcript:KJB80706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEVQVESMPFSLDKELLATKSAKVAALLKENSNADLYYLLHDIPADSETFDLVARFCHGCAVQMSTENIALRSSEKSLQQTMQIGLFDACLQAMIPKASDNPRHLGEPIIVSTDKGDDSDRPNAKRRLFALDWKEDLTTLSLQLYEPIIYTMKQHEIPPTYISASIYRYAKKWIFHCNNGRETMSIYKRKSQRNVIETLEKLLPHGRELLPCTLLFEMLRCAINLEASSVCRNGFEIRIGKQLDQAKVKDLLILPQGYAKEVQYDIECTRRILKVFYSNYDSSDASGFITVAELMEEFLAEVACDMDLMIDSFISLAEMSMAAALGTKRNSDGIYRAIDLYFEKHAYLTEKEKEQVCKVLDFRKMSPEACEHAAKNERLPVRAVVQVLFMAQLQMRETLARTAYDDDKSEKEEEEEVEVRMEMEKMSIKVKELEKDCCETKQEITNGCIRQRVNKGKVLDRTYYGLGSALISNYFELGSTRT >KJB82927 pep chromosome:Graimondii2_0_v6:13:54073091:54074331:-1 gene:B456_013G220900 transcript:KJB82927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRYYDVVDFTCFHLLNAFSFVSLLCSISGIQIGVRLMVFQQFFGYNGVVFCANQIFTSAGVPPNVGSIFYACFFDPSDN >KJB82643 pep chromosome:Graimondii2_0_v6:13:51744496:51745811:1 gene:B456_013G206600 transcript:KJB82643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGLNLGFIVIMIGLVVETEIGESMRFELESGKTKCIAEDIKANAMTVGKYSIVNPSEGQPFPDSHKLVVRVSSSKGNNYHLGDQVDSGTFGFLATESGDYTTCFWANKHKPPVKMTIDFDWKSGVAAKDWSKIAKKGQVETMEIELKKLYDAVAAIHEEMFYLREREEEMQELNKETNSKMATFSFFSLLLCLSVAGLQIWHLKSFFERKKLL >KJB79618 pep chromosome:Graimondii2_0_v6:13:6141657:6144574:-1 gene:B456_013G059000 transcript:KJB79618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKAMIEVCREFFELTEEEKGESEGKHVLDPIRYGTSFNPSVDKILYWRDYLKIFQHPAFHSPSNPPSFREIALDYSKRTRGVMKEIVRGISESLGLEDKYIEKASNLENCLQIMIANLYPPCPQPELAMGLPAHSDHGLLTLLIQNDTVGLQVLHKDKWVNIYPIPNSFLANIGDHIEILSHGKYKSVLHQAVVNDRDVRISIALAHGPAADAAVSPAPMLLEDGQNPLAYRAMKYKEYVELQQSNKLDGKSCLEHIRNRGI >KJB79617 pep chromosome:Graimondii2_0_v6:13:6141657:6144248:-1 gene:B456_013G059000 transcript:KJB79617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNHGVPERMMKAMIEVCREFFELTEEEKGESEGKHVLDPIRYGTSFNPSVDKILYWRDYLKIFQHPAFHSPSNPPSFREIALDYSKRTRGVMKEIVRGISESLGLEDKYIEKASNLENCLQIMIANLYPPCPQPELAMGLPAHSDHGLLTLLIQNDTVGLQVLHKDKWVNIYPIPNSFLANIGDHIEILSHGKYKSVLHQAVVNDRDVRISIALAHGPAADAAVSPAPMLLEDGQNPLAYRAMKYKEYVELQQSNKLDGKSCLEHIRNRGI >KJB79698 pep chromosome:Graimondii2_0_v6:13:6890672:6891127:1 gene:B456_013G062600 transcript:KJB79698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEPLSDMPQSFNDCIQDLGGSEIKIIIQKFLQVTDLMSQQNRFSISLKQIKSTFLNEDEERMLNAKRQMAVAFVEPCLSVSTVNLAKWNIGSSLSYIINGDYSKVLKNNRDSLKPNAVVQIWLFRVQPNLQLGFALIKVIDEENSQVID >KJB80381 pep chromosome:Graimondii2_0_v6:13:15506132:15509278:1 gene:B456_013G094500 transcript:KJB80381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCHLLCKGLVLFLFVFCLVSGELLVPERRILLEFKTSVFDPSGILSSWDLSKNPNHCSWHGVSCNSRSQVISINIAGGGCCEGNFTPPCSPSSKLLPFYGFGFRRRACSRGKLVGYLSPLIGKLTELRVLSLAFKDIGGEIPLELWGLEKLEQLDLEGNSFTGKLPDEIVGLRNLRVLNLGFNALEGEIPGSLSKFVDLEVLNLAGNKLKGSIPDYFGSFYYLKGLHLSNNQLNGSILDFFGSNCRYLEHLDLSGNFLVGHIPGSLGNCRQLRTLVLFSNMLDGVIPNELGQMHELEVLDVARNNLSGFIPTELGDCVRLSILVLSNLFDPLLSGQNSNEELSFRLPHSNTDEYNSFQGSIPMGITTLPKLKVLWALRANLEGKLPRNWGGCENLEIINLAQNHFDGDVFGVFNGCKKLSHLDLSSNRLTGELDEQLQVPCMTLFDISGNLMSGSIPRFNYRACPGILSSSFELLQPHDPPSAYLSFFTCKTRLKTILPFQGSKAVLIHNFGGNNFSGSLPWLPIAPARFVKQIEYAFLTGGNNLTGSFPGSLFVNCNKLHGMIVNVSNNRLSGHIPSRIGVICRSLKFLDVSKNQIEGIVPQSFRDLKSLVLLDLSGNKLRGLVPKGLYQLKNLKHLSLGSNNLSGAIPSSFGQFRSLEVSELSSKSLAVRSADMTINTEDSQNEVASSLGNRTVNKSLGPIEIASIACASAIVSVLLVLAVLFFYTRKRVPKSRVQVSESREITAFVNIGVPLTYETIVQATGNFSAGKCIGIGGFGATYRAEIAPGISVAVKRLAVGRFQGIQQFHAEVKTLETMRHPNLVTLVGYHASETDMFLLYNYLPGGNLENFIRERSTRAVDWKIIHKIALDIAHALAYLHDECTPKVLHRDVKPSNILLDNDCNAYLSDFGLSRLLGTSETHATTGVAGTFGYVAPEYAMTCRVSEKADVYSYGIVLLELISDKKALDPSFSSQANGFNIVSWARMLLQQGQAKDVFTLRLRDAGPHHDLVELLHLAVTCTVDSLSTRPTMRQVVRRLKRIQPSSVH >KJB83909 pep chromosome:Graimondii2_0_v6:13:58157794:58167251:1 gene:B456_013G270500 transcript:KJB83909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSKANLTEDDEEEEEIEHFDDFTLASSWERFISEIEATCRQWSADGPKNLLEKGAVHLDYSNKMYRIKSELKDATKIYSMEYYFGIDNNGNISDWNCTLHDLQLCFGVKEFLVISPQSASGVVLDAPESSKLLSAVAIALSNCSCLWPAFVPVHDPSRKAFIGIQNMGTIFTRRFEADRIGSQVPVKFMHLEGLYELFVSKFAYWTSDHLTHLFKVYLMMKLTYRTLPNDDENDGIQDADAENAESEKMPDGGNHNRKHWDDDCPWSEWYSAEDPVKGFDLVTTWSEKVIESSLEMAEMENASPHEAEKWILTPNLSPNLDSSKGDRIGFASQLQLLVSALDMSFEAQFMEDFVSDENLGSDNLKSSMVIPPPTVLDRVLKDLLLEGRQFPDFAKGKHKSSQAIKGAPLESLFAQFCLHSLWFGNCSIRAIAVLWVEFVREIRWYWEESQPLPKMPAHGSIDLATCLINQKLQMLAICIEKKRELNEEFQDCLGSNDDVFAHMEEDIQVGEESTSFLTQSQDFDGKRDSPLILEGFNESKTSISKFSTNSQDVYSADKSTSDSKRRGSAGPVGSMRLLNSCQSLHAPFTQNAPLMTEDMHEERLRAVEAFGDSFNFSAQLERETLSSDMSAFKAANSDAAFEDFIRWHSPGDWENDGSEANDEWPPRGKLSQRMSGPGNSWRKIWNDAPSLPAYEQKPLLDPNREGEKILHYLETVRPHQLLEQMVCTAFRASADTLHQTNFGSLKQMATKMDQLYHTMASTLRPLQANLLSGNSEKIGDLKRLCVALEHVEKLLTLAASLRHKFIQAPRVYEAIFSDYYDFYLPNMGKGSADVDIQKEFDLKLQLRMNERQVVSNMFTPPTANQSWRKVLSMGNLLNGHEPILRQIVFSKRDSGSDCHYAVNTRGGPHMDDQQEIETYRMYVCGTSNDLRVALCVTSYD >KJB83910 pep chromosome:Graimondii2_0_v6:13:58157810:58167183:1 gene:B456_013G270500 transcript:KJB83910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSKANLTEDDEEEEEIEHFDDFTLASSWERFISEIEATCRQWSADGPKNLLEKGAVHLDYSNKMYRIKSELKDATKIYSMEYYFGIDNNGNISDWNCTLHDLQLCFGVKEFLVISPQSASGVVLDAPESSKLLSAVAIALSNCSCLWPAFVPVHDPSRKAFIGIQNMGTIFTRRFEADRIGSQVPVKFMHLEGLYELFVSKFAYWTSDHLTHLFKVYLMMKLTYRTLPNDDENDGIQDADAENAESEKMPDGGNHNRKHWDDDCPWSEWYSAEDPVKGFDLVTTWSEKVIESSLEMAEMENASPHEAEKWILTPNLSPNLDSSKGDRIGFASQLQLLVSALDMSFEAQFMEDFVSDENLGSDNLKSSMVIPPPTVLDRVLKDLLLEGRQFPDFAKGKHKSSQAIKGAPLESLFAQFCLHSLWFGNCSIRAIAVLWVEFVREIRWYWEESQPLPKMPAHGSIDLATCLINQKLQMLAICIEKKRELNEEFQDCLGSNDDVFAHMEEDIQVGEESTSFLTQSQDFDGKRDSPLILEGFNESKTSISKFSTNSQDVYSADKSTSDSKRRGSAGPVGSMRLLNSCQSLHAPFTQNAPLMTEDMHEERLRAVEAFGDSFNFSAQLERETLSSDMSAFKAANSDAAFEDFIRWHSPGDWENDGSEANDEWPPRGKLSQRMSGPGNSWRKIWNDAPSLPAYEQKPLLDPNREGEKILHYLETVRPHQLLEQMVCTAFRASADTLHQTNFGSLKQMATKMDQLYHTMASTLRPLQGFLSSFLQVYNFQN >KJB81348 pep chromosome:Graimondii2_0_v6:13:37753129:37754496:1 gene:B456_013G140300 transcript:KJB81348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENGEREDLIGDRNTKKVHFKDLDSGVENDMVVDSTPTLEISWRDKVLGRGAPDSGRDEDFEFLDGNVVRTTVNGVSAINFSERIQRILFRNMATTKPSQQIHIMDVENCYFLIKFQSHDDYERVLTQGPWIVLGQYPTVQPWTPEFNPLQAFPSSTMVWVRLPGLSGFLYKRQILEEIRGLIGTVTKLDFQTDKGSRGNFERTVVCIDLIKPLVSQILINRAVQRVQFEALPFVCFPCGRFGHSKATCVEMGYSQNADDGMNTAMESLLEVVKAVEPLERFGPWMIVERKSRRNSRARINTETKIAMNNALNLFGIEKAEIREKEADVSGITFQKGEFLKGINQRSASTDKGNTGLITKTANIFSVLGKADVDGLDFLMEIEGQVDSGLMVEGPGMPIESLVDPKGFGKKQLILMCRSKTLGLAYRVWIY >KJB81284 pep chromosome:Graimondii2_0_v6:13:36512254:36526552:1 gene:B456_013G136700 transcript:KJB81284 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMU1 [Source:Projected from Arabidopsis thaliana (AT1G73720) UniProtKB/TrEMBL;Acc:A0A178WHG8] MTLEIEARDVIKIVLQFCKENSLHQTFQTLQNECQVSLNTVDSIETFVADINSGRWDAILPQVAQLKLPRNKLEDLYEQIVLEMIELRELDTARAILRQTQAMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQDSTKEKRRAQIAQAIAAEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDIDDMHPTTLSHTIKFGKKCHAECARFSPDGQFLVSSSVDGFIEVWDYISGKLKKDLQYQADETFMMHDDPVLCVDFSRDSEMIASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSLVFSRDGSQLLSTSFDSTARVHGLKSGKLLKEFRGHTSYVNDAIFTADGSRVITASSDCTVKVWDVKTADCLQTFKPPPTLRGGDASVNSVHLFPKNTDHIIVCNKTSSIYIMTLQGQVVKSFSSGKKEGGDFVAACVSPKGEWIYCVGEDRNMYCFSYQTGKLEHLMTVHEKDVIGITHHPHRNLVATYAEDCTMKLWKS >KJB81285 pep chromosome:Graimondii2_0_v6:13:36512309:36524347:1 gene:B456_013G136700 transcript:KJB81285 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMU1 [Source:Projected from Arabidopsis thaliana (AT1G73720) UniProtKB/TrEMBL;Acc:A0A178WHG8] MTLEIEARDVIKIVLQFCKENSLHQTFQTLQNECQVSLNTVDSIETFVADINSGRWDAILPQVAQLKLPRNKLEDLYEQIVLEMIELRELDTARAILRQTQAMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQDSTKEKRRAQIAQAIAAEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDIDDMHPTTLSHTIKFGKKCHAECARFSPDGQFLVSSSVDGFIEVWDYISGKLKKDLQYQADETFMMHDDPVLCVDFSRDSEMIASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSLVFSRDGSQLLSTSFDSTARVHGLKSGKLLKEFRGHTSYVNDAIFTADGSRVITASSDCTVKVWDVKTADCLQTFKPPPTLRGGDASVNSVHLFPKNTDHIIVCNKTSSIYIMTLQGQVSSEGNLLLLM >KJB79615 pep chromosome:Graimondii2_0_v6:13:6133601:6136001:1 gene:B456_013G058800 transcript:KJB79615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRGGSVALRTLGNVGNLGMVGSGGERKGIEGIVGWIVGIEGMLGRGGSVALGTLGNVGNPGMVGSGGSAPGLGKDGMDGCGRLGIDGNGGSVVVGNVGIGGSC >KJB80133 pep chromosome:Graimondii2_0_v6:13:11310159:11313327:1 gene:B456_013G082300 transcript:KJB80133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVKEENERLKLLLCQIVKDYQSLHTRFLDVLKKEEANKSTTTPIVGSHEENNEEHHQLISLSLGRSSSNDPPKKQEKKSSKDDDDDDDDGKHGNDGGGGLELGLECKFEPDGSIESEKNNNPSCESSLGKPEEEEEEKEPTEVWPPSKILKSVKNGDEEDVSEPMQLKKTRVSVRTRCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTLSPTCPVRKQVQRCSDDMSILITTYEGNHNHPLPLSATAMASTTSAAASMLHSQSSTSQPALPTSLSAPTASLSTSTTHLHALSFNFSQNPTPSHHYHFPNSSISTLNSHPTVTLDLTAPPPPHNNYPSYFSRFSNLPTSSSSSSSSSSCLNWKKTSSHLTFGGPLNNYPAIRQSPPYMQITNHQALSETLTAAATKAITSNPSFCSALAAALTSFVGNNGGSGGTASELGFASNSYLQGKKPSSMVNPHQQQKQGSLLLFPPSNTASDPPAD >KJB80418 pep chromosome:Graimondii2_0_v6:13:16224681:16225990:1 gene:B456_013G096300 transcript:KJB80418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGTRLPSFCLNRIRPHVRVRSPPIQAKVNLNSATTDHKHENNGKVEEDKPSHGEKKPGFVMGRKIMIVVDSSIEAKGALQWALSHTVQCHDTVILLYVTKPSKQVTNDESNKNTAYEPVSTLKTMCKQKRPEVEVEVAVVEGKEKGPTIVEEAKKQGAALLVLGQKKKSMTWRLIMMWAGNRITGGVVEYCIQNASCMAVAVRRKSKKLGGYLITTKRHKDFWLLA >KJB80417 pep chromosome:Graimondii2_0_v6:13:16224572:16226123:1 gene:B456_013G096300 transcript:KJB80417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGTRLPSFCLNRIRPHVRVRSPPIQAKVNLNSATTDHKHENNGKVEEDKPSHGEKKPGFVMGRKIMIVVDSSIEAKGALQWALSHTVQCHDTVILLYVTKPSKQVPVTNDESNKNTAYEPVSTLKTMCKQKRPEVEVEVAVVEGKEKGPTIVEEAKKQGAALLVLGQKKKSMTWRLIMMWAGNRITGGVVEYCIQNASCMAVAVRRKSKKLGGYLITTKRHKDFWLLA >KJB79180 pep chromosome:Graimondii2_0_v6:13:2868085:2868872:-1 gene:B456_013G036700 transcript:KJB79180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSMSSRGSGSWTAKQNKDFERALAVYDKDTPDRWYNVAKAVGGKTAEEVKRHYELLVADVKYIESGQVPFPYRSNGN >KJB79560 pep chromosome:Graimondii2_0_v6:13:5219031:5221076:1 gene:B456_013G054200 transcript:KJB79560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCKNSVTICLFVLALLGCMETHGSLGANQPGSNRSNVTVAAAPSPSSTADGSSPQDYLNVHNKARAAVGVRPLTWDDVVARYAADHAKQRISQCELVESRGPYGENLAWSKNDLSGSDAVKICNNGGTFIVCNYDPPAKHPENWSLIGAISPNPAAEPDSFDPNDLMGLVVGLIVGACALIVVLCLGCCFCSRRKRNKDNANGDHDNHVIIGAISPSAAPAPHSFPSEHPKRRKNIRGLVVGLIVGASGLILGLGLLVVGLIVGACALIFGLGVFLWFFLRRLRREDDTNVDDHDHVSDMFFHDSDFRHGAAPRKFSLEELAKVTNMFKGEKLGEGGFGAVYRGYLRDLDTHVAVKRISKASKQGIKEYASEVKIISRLRHKNLVKLIGWCHEKRELLLVYEFMANGSLDSHLFKGKSLLNWEVRCKIMQDLASALLYLHEEGDHCVLHRDIKASNIMLDSNFNAKLGDFGLARLVDHAKGSQTTRLAGTIGYMAPECISSGKVSKESDVYSFGIVALEIACGRRSIEPEYEESKASLVAWVWDSYGNQRLLDVVDQKLCMEFDYKQIECLLIVGLWCVHPDPSSRPSIRQAIQVLNFEAPLPELPSSRPIPTYHAPNTSEVRPSEPCFSCLTITIPR >KJB80048 pep chromosome:Graimondii2_0_v6:13:10342272:10343654:-1 gene:B456_013G078400 transcript:KJB80048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWVMKLEKLGALKWDKVVHGNQAWRLITCIWLHAGVIHLLANMLSLIFIGIRLEQQFGFSTLSVPFCNGSVLSSLFIQRSIFVGASGALFGLLGAMLSELLTNWTIYTNKAAALITLTVIIVINLAVGILPHVNNFTHIGGFLTDFLLGFVLLLRPQFGWVGRKHLPAGARVTSKHKAYQYLFLVIAMVLLIFGFTVGLVMLFRGENGHDHCSWCHYLSCVPTSKWHRGN >KJB79293 pep chromosome:Graimondii2_0_v6:13:3676863:3680009:1 gene:B456_013G042700 transcript:KJB79293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESELSYEETRKRRLEENKKRMEELNLKSLSQALKSKSVKSSPAKPRTPRKQVELTAVRRSSRVADKPKPDYKDVPLEPFERLRRGYNRGHSHRDLFNRVYASAEERAYAIERAEHLESTLDSEYPSFVKPMLQSHVTGGFWLGLPNQFCKKNLPHHDVMVTLVDEEGEEFVTKYLADKTGLSGGWRGFSIDHNLVDGDALVFQLVAPTEFKVYIIRAYESDDNGGGEDEKGGEDEREEENREDEKEEKREDDGKEADVEPDVQNRRSKRIRARK >KJB82074 pep chromosome:Graimondii2_0_v6:13:46369129:46369540:-1 gene:B456_013G175000 transcript:KJB82074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRMGFGNNTYIFLASGKIYNAEKTMAPLLDMFPNLHTKQMLPSEEELAPYKNFSSRMAAIDYIGCLHGEVFVTTQGGNFPHFLMGHRRYLFGGHSKTI >KJB83826 pep chromosome:Graimondii2_0_v6:13:57897131:57903005:-1 gene:B456_013G266200 transcript:KJB83826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVINMPADSLDDRRGGGDRKDNDNNNNNNRQPPSSDYPNSSPPSPPPPRRRERDSRERRDRDFYDRNRSPPPPLTRERDYKRRNSISPPPPPFYRDRRHSPPPRRSPSYKRSRREDGGYRGRRGSPRGGFGPGDRRFGYDYGGGYDREMMGRTGHPEERPHGRYFGRSTGGYQDWDSGRGGYIDASSSGSTQREGLMSYKQFIQELEDDILPAEAERRYQEYKSEYISTQKRAFFDAHKNEDWLKDKYHPTNLVTVIERRNELARKVAKDFLLDLQSGTLDLSPAVNSLSSKKSEQTSDPNSEDEADIGGKRKRNAREPTKETDLSAAPKAHPINSDPRRISIDIEQAQSLMRKLDSEKGINENILSGPDNQITRDKSHGNSTGPVIIVRGLTSVKGLEGVELLDTLITYLWRVHGLDYYGLIETSEAKGLRHVREEGKGSDVTINGSEWEKNLDTRWQERLKGQDPLELMTAKEKLDAAAVEALDPFVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVMELTSKVREELYFQNYMNDPGAPGGTPVMQQSVPKDKPLRRKMLENRLKDERGSRRERDNRANGSDRYDRSENPQSSDFPSNNDGPEGGNRDDLMFESFGGQGMHVATPFSSDMAPPPVLMPVPGAGPLGPFVPAPPELAMQVFREQGGPLPFEGNSRSGRPGPNLSGPAPFLLPPGFRQDPRRLRSYQDLDAPEDEVTVIDYRSL >KJB79600 pep chromosome:Graimondii2_0_v6:13:5660533:5661128:-1 gene:B456_013G056800 transcript:KJB79600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLSNAFSLLDIDVDDHHPPPASSSASKPSGKSKINGKGSTELKPALLSENYKLPLVWIDLEMTGLNIETDRILEIACIITDGA >KJB82205 pep chromosome:Graimondii2_0_v6:13:47623335:47624592:1 gene:B456_013G181200 transcript:KJB82205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLADPFNDSSALIDFVINQGNGVKGLSELGLKALPKQYVQPLEERMCMTNIVPQGSIPIIDMSNWEDPNLAKSICDAASEWGFFQIVNHDVPVEVLENVKDATYNFFRLPAEVKNKYSKEHSSSNNVRFGTSFTPQAEKALEWKDYLSLFYVSEEEASALWPSVCREQVLDYMKKSKVVIKQLLQVLMKGLNVNEIDEAKESLLMGSMRTNLNYYPKCPNPELTVGVGRHSDVSTLTILLQDEIGGLFVRGNKGDDWIHVPPIKGSLVINVGDALQIMSNGRYRSVEHRVVANGSKNRISVPIFVNPRPVDMIGPLPELVANGEKPIYKQVLYSDYVKHFFRKAHDGKKTVEFAEL >KJB82958 pep chromosome:Graimondii2_0_v6:13:54209415:54209630:1 gene:B456_013G222200 transcript:KJB82958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNINSYKRKRVNSQQLKKKCFQKLIHSSNSFLGFFSFVNIYFFPFAFQGAPILGFQIIDSLFPFSAIPP >KJB83698 pep chromosome:Graimondii2_0_v6:13:57440388:57445219:-1 gene:B456_013G259200 transcript:KJB83698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVFRAWVVITVVITEAAVVGGFPATFKLERSIPLASHELELSELVERDRLRHGRLLESSSVGVVGFPVSGTYDPFIVGLYYTKLQLGSPPREFNVQIDTGSDVLWVGCNSCNGCPKSSGLKIPLNFFDPGSSSTASLVSCSDQRCSSGIQVSDSTCSGSSNQCSYTFQYGDGSGTSGYYVSDLLHFNTILPGHTTGNATASIMFGCSMLHTGKLTDSHRAVDGIFGFGQQSLSVISQLSSQRVTPRTFSHCLKGNDDGGGILVLGEIVEPDMVYTPLVPSMPHYNIDLRSISVGGQVLSIDASVFSTSINHGAIIDSGTTLSYLADEAYNAFIDAINNAVSEHVHPVLSHGNQCYLITDSIDHIFPEASLNFAGGAALILHPEDYLIQQNPIGGSAVWCIGFKTIEGQKLTILGDLVMKDKIFVYDLEKQQIGWVNYDCSSSVNVSVNTEPGKTKFVNARPINNSSSPDELQTTVALLLNMFMLAGLLLL >KJB78845 pep chromosome:Graimondii2_0_v6:13:1644562:1645344:-1 gene:B456_013G022600 transcript:KJB78845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSSVGIGLSIAFASLLLALVGELYYLLWWKKRLTSRRDFFYMFCLKTSSSSSPPTTNEDDVHHHNNLHSNKDGMLKPFDDHQHQHHHHQPELMRPTPRFLFTIAEETKEDLELEEGKSKGKFGSRDMSVETPFLTPLASPTFLTPPHTPTVDEPIQQQGFDPLFEATTDAEFNKLRSSPPPKFKFLQEAEEKLHKRMLMVDEDNDGNNNGGFDEENGEMTPPSRYLKDEEDESFITIIVNNNHSHQQVIPLSLTKKN >KJB81548 pep chromosome:Graimondii2_0_v6:13:40965375:40968365:1 gene:B456_013G149700 transcript:KJB81548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQLYDNALRLIPKTHPDRAVFHSNRAACLMQMKPIDYDAVIAECNMAIQAQPLYVRALLRRARAFEAVGKYEMAMQDVQLLLGAEPNNKDALEIDRRLRTALGPRLEAQQDLQSRPSPAALGASAVRGAPIAGLGPCLPARPVPKKTSTSPPRGSAVSPNNKLDKHQMNVAHETGSKNKNQLPKLVLKPSSGSSKATDNPNKDGLREKSFSTSMRGQVPEVAIQWRPLKLVYDHDIRLSQMPVNCSFKVLREIVSKRFPSSKSVLIKYKDNDGDLVTITCTTELRLAESSVDALVPIEPEADKTSGFRTLRLHIVEVSPEQEPPLPEEEEEKPLESQGAKSDESVSHSSLGDSISEGVDVEIEKTEKEASKRKIGATEDPECKEVEMDDWLFEFAQLFRTHVGIDPDAHIDLHELGMEHCSEALEETVTSEDAQILFDKAAAKFQEVAALAFFNWGNVHMCAARKRIPLDESAGKEVVSEKLQTAYDWVREKYSLAREKYTEALSIKLDFYEGLLALGQQQFEMAKLNWSFALAKKIDLSTWNPAETLQLFNSAEEKMKDATEMWEKLEQQRVNELKDPNSSKKEELLKRKKKLGSGAENEFPGTGNQCELSAEEVAEQAAVMRSQIHLFWGNMLFERSQVECKLGMDGWKKNLDTAVERFKLAGASEADISTVLKNHCSNGDAVEGDEKKVVGDVNQISDK >KJB81796 pep chromosome:Graimondii2_0_v6:13:43936311:43937133:1 gene:B456_013G161800 transcript:KJB81796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKLKLISEGCNPKAKEEKHISKHIIGEVFKTHHAIQTLDKTILSLEMELAAARAAQESLLGGSPLSTDANRAKSSRKRKYLMVIGINTAFSS >KJB80425 pep chromosome:Graimondii2_0_v6:13:16263949:16265508:-1 gene:B456_013G096400 transcript:KJB80425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYLYFHILHYQKLDVSADESAYFPLETILLDCGSSALRALSYDGRNWTNDSSHFGASNSESSFAMSRASLEETSVAEVPYMTARLFHSRFTYTFNVTPGSKFIRLHFYPDSYMNLNASKSFLTVTAGNYTLLRNFSAYLNAKHIKSAYFFKEFIVHVENHTLDLTFSPTTNASDGYAFVNGIEIVSMPLSLYYQANNVSAMETMHRVNVGGQSIPPNEDTGMSRSWAMDSSYIFGEAFGVENYDFDASITYPKEVSAYIAPQGVYKTPHSMSPVPEININYNLSWTFPLDPSFMYLIKLHLCEISRNITKINQRVFDIFINNQVIERGIDIIALTYGNDIPLYRDFTVLIPNLTTKHDLWLELHPNLQTKPQYYDAILNGAEIFKVSNIDGNLAGLNPSLKNESNVDGDEPSFSSSSSKTSTEAILIIIGSLLVLVLAISLGFYLVAFLRRRNLEWTKKENTRSSLPYRSFSIDEIKMATDNFNEAKLIDRGAFGLVYKGYIDHGSIAVTINRLIQC >KJB82314 pep chromosome:Graimondii2_0_v6:13:49006242:49007750:1 gene:B456_013G189000 transcript:KJB82314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNKNLSVSIVDDCRLTRRFYEMHIKKFGVKVQAVENGKQAVDLFRSGTSFNPNIKDQDMPVMDGLEATKQLRGMGVNCRIDGVTSISSQDESSLAKCKVSWCR >KJB79341 pep chromosome:Graimondii2_0_v6:13:3910072:3913434:1 gene:B456_013G044700 transcript:KJB79341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNESTTLVPSESSDQRRTLMVDLNVNVLQPSMNQQNRTSSHDGPVAILWDIENCPVPSDVRPEDVAGNIRMALRVHPIIKGAVVVFSAYGDFNAFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYTIILVIPAGVGVSSALNNAGNFVWDWPSVARGEGFVPPSKAIMPPQGGTADIAGYFMGCHISDNPDGQNEEEAIVYRGISKSYYNSRDFSIVSQSLSEYTSNSSIAIPSCPTTLRSQSLPSGLNEASGCLSTYDQNDTMWVQPGDINGLKGQLVKLLELSGGCMPLIRVPAEYHKFFGRPLYIAEYGAFKLVNLFKKMGDTLAIDGKGHKKFVYLRNWKACPSAPPLVLTRKDKKGKGNQEESLDIAAGVGSSDEFSDEERVVVEEHYEKRNEGRTNFGEAGCEVDDRNLEQFKYELQEILVSYSCRIFLGCFEEIYQQRYKKMLDYQKLGVEKLEELFDKVRDVVFLHEEPLSKRKFLYAVGS >KJB80859 pep chromosome:Graimondii2_0_v6:13:29482996:29484163:-1 gene:B456_013G118300 transcript:KJB80859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVAWTEEEDYLLKKCIERYGEGKWHRIPVLAGLKRCRKSCRLRWLNYLRPNIKRGSFAAEEVNLIINLHSLLGNRLPGRTANDVKNYWNCHLSKKLNSAPQSEDDQTAAATTTASMKPRRPAHVSPNTQQETSVWAPFHDVQVNQQGQEVAAEEPVTLVGDLEFDEGGSSKWWDDFIFDMDLWTASL >KJB80858 pep chromosome:Graimondii2_0_v6:13:29482996:29484140:-1 gene:B456_013G118300 transcript:KJB80858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGSGIEYLFWLRCRKSCRLRWLNYLRPNIKRGSFAAEEVNLIINLHSLLGNRWSLIAGRLPGRTANDVKNYWNCHLSKKLNSAPQSEDDQTAAATTTASMKPRRPAHVSPNTQQETSVWAPFHDVQVNQQGQEVAAEEPVTLVGDLEFDEGGSSKWWDDFIFDMDLWTASL >KJB80857 pep chromosome:Graimondii2_0_v6:13:29483118:29484097:-1 gene:B456_013G118300 transcript:KJB80857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVAWTEEEDYLLKKCIERYGEGKWHRIPVLAGLKRCRKSCRLRWLNYLRPNIKRGSFAAEEVNLIINLHSLLGNRWSLIAGRLPGRTANDVKNYWNCHLSKKLNSAPQSEDDQTAAATTTASMKPRRPAHVSPNTQQETSVWAPFHDVQVNQQGQEVAAEEPVTLVGDLEFDEGGSSKWWDDFIFDMDLWTASL >KJB79538 pep chromosome:Graimondii2_0_v6:13:5051801:5052934:1 gene:B456_013G053400 transcript:KJB79538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCFNPKPTTYMPDRPPGIKSSRSLMFLSNHTNGKSWFDEFSTVLDPFLDVKNLGFKCLSRADSSVSCNPTTT >KJB80654 pep chromosome:Graimondii2_0_v6:13:23828871:23830005:-1 gene:B456_013G108900 transcript:KJB80654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSSGRFLKIIVLVIILTATTTATMEAAQVKARCQSQCRNLSVPYPFGTEDGCFMDTQFFIKCDESVEPPKALLQNSTIDVLHIDLDAGELRILNWVGSDCYNSSGSSFRFSTWLRSGLYNISSTKNKLTVIGCDTYAFITGSIGQDYATGCLSLCDEISDVINGSCSGVRDYEISLASYYNHARVLSFNPCSHAFVVEEGEYEFSASHLNNSGEDWKLPMILDWTIGDQSCSEARKDPETYACKENTICREPENGNGYLCKCGDGFQGNPYLSNDIDECETLRPCSSTCHNTFGGFYCSCPKGYKGDGRRDGSGCSPIVNHHNWKLHITQTAIG >KJB80284 pep chromosome:Graimondii2_0_v6:13:14468074:14470789:1 gene:B456_013G090100 transcript:KJB80284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCASVYGQMAIPRPHKRHFSFGKRHGKMSGKIPPPIPEVRRNMSDASMTDITVSEYVHVDYENGSEMSNKTFHVTQLQYNHSQLSSNGKCQDEVWFDSVSIMESESDEDCHSVYGGGAERYVYRPRAGVQIPCSGEKATPGTWSEISPSVFKLRGLNFFRDKQKSPAPDCSPYVPIGVDLFICATKMNHIAEHVQLPKVKPHDKVPALLIVNIQVPTYPANVFIGDANGEGLSLVLYFKASDTFDKDISPQFQETIKKFVDDEMEKVRGFAKESTVPFRERLKIMAGLVNPEDLQIGATEKKLIQAYNDKPVLSRPQHSFFQGENYFEIDIDIHRFSYISRKGLESFIDRMENGIINLGLTIQAQKPEELPEQALCCLRLNKIDFVNHGQIPTIVTSKDDLI >KJB83217 pep chromosome:Graimondii2_0_v6:13:55370441:55372431:-1 gene:B456_013G235800 transcript:KJB83217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTLDSKLVSEPVEAEKGKKRVQSPPSSPSYGLVSFKELPEYMKDNEFILNYYRANWPLKEALFSIFRWHNETLNVWTHLLGFVLFLGLTMANLIEVPQVSDLIAFLTSSFPISGDSNVSQDPLLGTTTNLVDLKQITASEPDVSPVTRWPFYVFLAGSMFCLLSSSICHLFSCHSHHLNLSLLRLDYAGITTMIITSFFPPIYYIFQCDPQWHFIYLGGITVLGLFTIVTLLSPALSTKKFRAFRAMLFSSMGLFGIIPGVHAMIVNWSNPRRNITLAYESAMAIFYLTGTLFYVSRVPERFKPGWFDLTGHSHQIFHVLVVMGALAHYGASLVFLDWRDHHGC >KJB83216 pep chromosome:Graimondii2_0_v6:13:55370441:55372385:-1 gene:B456_013G235800 transcript:KJB83216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTLDSKLVSEPVEAEKGKKRVQSPPSSPSYGLVSFKELPEYMKDNEFILNYYRANWPLKEALFSIFRWHNETLNVWTHLLGFVLFLGLTMANLIEVPQVSDLIAFLTSGDSNVSQDPLLGTTTNLVDLKQITASEPDVSPVTRWPFYVFLAGSMFCLLSSSICHLFSCHSHHLNLSLLRLDYAGITTMIITSFFPPIYYIFQCDPQWHFIYLGGITVLGLFTIVTLLSPALSTKKFRAFRAMLFSSMGLFGIIPGVHAMIVNWSNPRRNITLAYESAMAIFYLTGTLFYVSRVPERFKPGWFDLTGHSHQIFHVLVVMGALAHYGASLVFLDWRDHHGC >KJB82967 pep chromosome:Graimondii2_0_v6:13:54230252:54234271:1 gene:B456_013G222400 transcript:KJB82967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERALTRVHSLRERLDSTLTAHRNEILALLSRIEGKGKGILLHHQIILEFEAIPEENRKKLADGAFFEILKASQEAIVLPPWVALAVRPRPGVWEYIRVNVHALVVEELTVAEYLRFKEELVDGSSNANFVLELDFEPFNASFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLKVHCHKGKNMMLNDRIQNLNSLQHVLRKAEEYLVALPAETSYADFEHKFQEIGLERGWGDTAERVLEMIQLLLDLLEAPDPCTLEKFLGRIPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLNRIKQQGLNITPRILIITRLLPDAVGTTCGQRLEKVYGTEYSDILRIPFRTEKGIVRRWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLEDKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYYPYTEEKKRLKHFHSEIEQLLYSKVENEEHWCVLNDRNKPILFTMARLDRVKNLSGLVEWYGKNAKLRELVNLVVVGGDRRKESKDLEEKAEMKKMFELIEKYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAAEILVDFFEKCKTDPSYWTKISEGGLKRIEEKYTWKIYSERLLTLTGVYGFWKHVSNLDRLESRRYLEMFYALKYRKLVILMPHFFLSSLNTNDLHC >KJB82966 pep chromosome:Graimondii2_0_v6:13:54230175:54234656:1 gene:B456_013G222400 transcript:KJB82966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERALTRVHSLRERLDSTLTAHRNEILALLSRIEGKGKGILLHHQIILEFEAIPEENRKKLADGAFFEILKASQEAIVLPPWVALAVRPRPGVWEYIRVNVHALVVEELTVAEYLRFKEELVDGSSNANFVLELDFEPFNASFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLKVHCHKGKNMMLNDRIQNLNSLQHVLRKAEEYLVALPAETSYADFEHKFQEIGLERGWGDTAERVLEMIQLLLDLLEAPDPCTLEKFLGRIPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLNRIKQQGLNITPRILIITRLLPDAVGTTCGQRLEKVYGTEYSDILRIPFRTEKGIVRRWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLEDKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYYPYTEEKKRLKHFHSEIEQLLYSKVENEEHWCVLNDRNKPILFTMARLDRVKNLSGLVEWYGKNAKLRELVNLVVVGGDRRKESKDLEEKAEMKKMFELIEKYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAAEILVDFFEKCKTDPSYWTKISEGGLKRIEEKYTWKIYSERLLTLTGVYGFWKHVSNLDRLESRRYLEMFYALKYRKLAESVPLAVEE >KJB82670 pep chromosome:Graimondii2_0_v6:13:51976900:51979134:1 gene:B456_013G208300 transcript:KJB82670 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPD1 [Source:Projected from Arabidopsis thaliana (AT4G15510) UniProtKB/TrEMBL;Acc:A0A178UV94] MAIILDSLLPLSRPTLPARLSTPFPPPASRLCTRRNQSFQAFSIPRRNAMALILSTYIFSEVGLHDNIAFAEPSVGFREYIDTFDGYSLKYPQNWIQVRGAGADIFFRDPYVLDENLSVELSSPSSSRYKTVEDLGPPEEAGKKVLKQYLTEFMSTRLGVRRESNILSTSSRVADDGKLYYQVEVNIKSYANTNELAVMPQDRVPRLEWNRRYLSVLGVENNRLYELRLQTPESVFVEEENDLRQVMDSFRVNKVAG >KJB82671 pep chromosome:Graimondii2_0_v6:13:51977304:51979134:1 gene:B456_013G208300 transcript:KJB82671 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPD1 [Source:Projected from Arabidopsis thaliana (AT4G15510) UniProtKB/TrEMBL;Acc:A0A178UV94] MFASLLYNQFQAFSIPRRNAMALILSTYIFSEVGLHDNIAFAEPSVGFREYIDTFDGYSLKYPQNWIQVRGAGADIFFRDPYVLDENLSVELSSPSSSRYKTVEDLGPPEEAGKKVLKQYLTEFMSTRLGVRRESNILSTSSRVADDGKLYYQVEVNIKSYANTNELAVMPQDRVPRLEWNRRYLSVLGVENNRLYELRLQTPESVFVEEENDLRQVMDSFRVNKVAG >KJB81318 pep chromosome:Graimondii2_0_v6:13:37152400:37153607:-1 gene:B456_013G138800 transcript:KJB81318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPKAQAPTPKRRYNKLKPLPEFINNWIVTESPRKNGRIDKKYRHKERNITLRSLLEVKRYETDGILPVRGKKKNESNGQSESPAPFLLLTYGETGEQNEELEASTMERTNSKNMHMSNLTASASASTAPKGRRGRKRKMKTVVSSEAEASIGVEQNAKAPPIDVPINDEAAPIGVPIIDEVALIDVPINDEAAPIDVPIIDEAALVDVPITYVENFSDLGH >KJB79911 pep chromosome:Graimondii2_0_v6:13:8497186:8499185:1 gene:B456_013G071800 transcript:KJB79911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKMKRSGNSRSRRMTYVKRKESVLKQAAELSMLYHIDVGLMMFSPTGQFTSFAHKGRVEDIFLRYLDHNDEHKEPLENEARLKYEAEILDKLGRYHALERKLADLNWKKYEAEDKMRSYNPDMTKILTISEADLHQQFVMDAILRIEKIKSCLSKRFHLQSRMMLRC >KJB83061 pep chromosome:Graimondii2_0_v6:13:54726205:54726864:1 gene:B456_013G227900 transcript:KJB83061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYGTIPAELPPSTNFISRAKEQIRSGLGTRRQWKEMANFKSINLPSNINESTQRIRTNAAYFRVNYMIIVLFVLFITLLWHPVSLIVFIIMMAAWLFLYFLRDDPVSIKGFVIDDRVVMTGLLVATIALLMLTDVTDNIIVGLSVGLAVILVHGMTRSTDDLFIRDEEVIQSPAPVSSLMNASVDVVRFRTIYA >KJB80553 pep chromosome:Graimondii2_0_v6:13:23152305:23153803:1 gene:B456_013G107200 transcript:KJB80553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAVFCLHRRQQQPTVVPPLPKNDPPSLLNHPEPLHAQPCFPISRRRCSDKPQIALKIPSPPRLMH >KJB82081 pep chromosome:Graimondii2_0_v6:13:46492555:46493256:1 gene:B456_013G175600 transcript:KJB82081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRIERKLGKGGFGQVFVGRRVSDGNERATGSTAMEVALKFEHRNSKGFSYGPLYEWQVYNALGGSHGVPKVHYKGKQGDYYVRVMDILGPSLWDVWNPSGQTMSAKMVACIAVESLSLLEKMHSKGYVHGDVKPENFLLGQPSTPQEKKLFLLDRGLATKWKDSRSGLHVDYDQRPDMFRGTVRYASVHAHLGSTVSRRDDPESLAYTLIFLHQGRLPW >KJB83779 pep chromosome:Graimondii2_0_v6:13:57739683:57742071:-1 gene:B456_013G263900 transcript:KJB83779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNMLAALEGLPEEDKARMSAMIDHLQLRDSLRMYNSLVERCFTDCIDNFTRKTLQKQEETCVTRCAEKFLKHSMRVGMRFAELNSQAATQD >KJB79644 pep chromosome:Graimondii2_0_v6:13:6457501:6461664:-1 gene:B456_013G060400 transcript:KJB79644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPISLPNKPHAVCIPYPAQGHVNPMLKVAKLLHFKGFHITFVNTEYNHKRLLKSRGPHALDGLPDFRFGTIPDGLPPPDIDATQDIPALSDSTSKHCLAPFRRLLVKLNATSGVPPVTCIVADGCMSFTLEAAKELGIPGVLFWTPSACGFLAYCHYRRLIDEGFTPLKDESYMTNGYLDTVIDWIPGMKNIRIRDLPSFVRTTNPDDIMLNFLATEGDRAAKASAIIVNTFDELEHDVVKALSSIFPKFYTIGPLHLLLNHIPPSSPLISMGSNLWKEEPQCLQWLDLKEPKSVVYVNFGSITVMTANQMVEFAWGLANSKKPFLWIIRPDLVRGDSAILRPEFIEETKDRCFMASWCPQEEVLNHSAVAGFLTHSGWNSTVESISSGVAMVSWPFFAEQQTNCWFACNEWGIGMEIDNNVKREKVEKLVRELMEGRKGEEMRENVMEWKRKAERAACLDGPSLLNLDRLINEVLLKGHE >KJB83462 pep chromosome:Graimondii2_0_v6:13:56733460:56736141:-1 gene:B456_013G249000 transcript:KJB83462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIEYSTDEIEKKADEEIISFGKIESGNENQHSPGKRKKCGGWKLASLLLVNQGLATLAFFGVGVNLVLLLTRVLDQDNAVAANNVSKWTGTVYLCSLIGAFLSDSYWGRYLTCAIFQLILVLGLGLLSFASWFFLISPTGCGDGMKLCNTPSLVGVVMFYLSIYLIALGYGGHQPTVATLGADQFDDSNPNAVISKAAFFSSFYFALNVGSLFSNTILVYYEDSGKWTLGFLVSFGAAIIALLLYLSGTSRYRYVKASGNPLPRVAQVFVAAYRKWGVTPATVDALYEVEGTESAIKGSRKILHSNDFKFLDKAATITSMDLRGPNNPWKLCTVTQVEEAKCVLKMLPIWLCTIIYSVIFTQMASLFVEQGDVMASKLKNFRIPAASMSAFDICSVLIWTGVYRHVVVPVSRSLTGNPKGLTELQRMGTGLIIGMIAMVAAGVTEIQRLKSVSPGSKKSSLSIFWQVPQYVLVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASMSLGNYVSSLLINIVMEVTTRDGGPGWIPDDLNDGHLDRFYFLIAGLTAVDFIIYVYCAKWYNGINLDTSENGIQLEEQQKEVLASLMS >KJB78668 pep chromosome:Graimondii2_0_v6:13:772808:773188:-1 gene:B456_013G011300 transcript:KJB78668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YFYLQGDVRKISLTETIGEENGKSVDLNSELTSIDLPPKDPLSTNQCPEISSASLLKTSHMLLNQQDSSSEEIEFSYSRAEGSSLVVMACTCCLMYVMACEINPKCANCKTSDHLLDLFRDLPQSP >KJB82059 pep chromosome:Graimondii2_0_v6:13:46246487:46249822:-1 gene:B456_013G174000 transcript:KJB82059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCFHMGRLLLPCFFLETHFQVYLNLTSCSSTSSSSTHLCLSDQRASLLEFKNTLSFNDYCMQFTSPQTNSWNETADCCSWEGLSCDEQTGHVIAIDLSGGCLQGSLHANSTLFQLRQLQQLNFAYNDFNGSIPSPLFNHFVSLTYLNLSGSGFSGLIPHEISLLSSLVSLDLSYSSLTFDATGFDRLSRNLTKLRNLVLEGTDMSGVSVASFSNLSSSMETLILGTCQLHGEFPSEVFSLPYLKHVELAWNENLTGYLPKTNLSPSLVSLDLYYCRFKGSIPSSFGNLTQITLLDFTQNDFQGEIPDVFENLDKLTILKFGSNNFSGRVPTTVFNLTQVTQIDLSHNRLEGTLPNHVTRLQFLQYLTLTNNLISGGVPVWLFSLPSLITIDLSYNKLTGPIGQFQKPNSVMVIDLSFNDIQGPIPSSLFDLKNLSSLLLSSNNFSGVIESSMLSKLENLYDLQLSNNGLVSLSSSYDGVNYSFPQLTRVLFSSCSVRKFPSFFRTSKVEVLDLSNNKISGGISKLEAEGWEGLNMLNLSNNFLTSLEQIPGKYLQILDLHSNLLQGPILSTWFNLPPPNPPYLSLLLISENKLTGNIPSLICNWTSLVVLDLSKNNMSGTIPECLGNYSYGLQFINLQVNNFHGKIPDSFTNNMLKNLLLNDNQLEGSLPRSLANCTSLEVLNLGNNNLTDTFPHWLASLPSLQVLILRSNRFHGSISNSIASSNFSALQIIDFSRNELSGPLPANFFRNLRAMKDAPKEKLPGSYLFKTDARVRYVYQYYQSPVNVTMKRLELEFLKTLAILTAMDFSNNLFTGQIPEELGDLFSLQVLNLSHNSFAGPIPLSFANIVALESLDLSSNKLSGRIPSKLTNLTFLAVLDLSKNELVGAIPNGNQFSTFDNVSYSDNLGLCGMPLSRQCSTAGETTPAPPAPMVREDEDFVIPFIWEVVMMGYGCGTVLGLSFGYIVFTTGKPWWIVRIVERDLQTKFTKWVKNRTHSK >KJB81987 pep chromosome:Graimondii2_0_v6:13:45764941:45766484:-1 gene:B456_013G1708001 transcript:KJB81987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLQKLADVKAVAQGGYPQAERCRLSIGHSEVLTNDPNVVAAINISGNFSFQPCSHGDFLGAILGKGIAREKLGDIILQGEKGAHVVIVPELVDFLMSTLDK >KJB80784 pep chromosome:Graimondii2_0_v6:13:28442942:28444636:-1 gene:B456_013G114900 transcript:KJB80784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPILLGTSTATSRTPCCSKVGIKKGPWTAEEDEVLANYIKIEGGGRWRTLPKRAGLLRCGKSCRLRWMNYLRPTVKRGPIAPDEEDLILRLHRLLGNRWALIAGRIPGRTDNEIKNYWNTHLSKNLISQGIDPRTHKPLSPVSHGSSQANQDDDDDVPESNPNPPSSFKSSELMGEKTNSMETTNLESHQIYQHQQEVGDDCMGQPSSSGNGGDLVENGNEDHMFSLFLDSLITDNQQQQQQSNNGELWEAEIMSPMVEFGNPQNYFNHHQQHP >KJB82517 pep chromosome:Graimondii2_0_v6:13:51816279:51819094:1 gene:B456_013G207100 transcript:KJB82517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTLVKAIKKVHIEEGYEGYFIFKEGGGDYVNLLDVCCSWRSAPPPLRSLPNLCASPYPSLFHFSGNNSKCKFYDPFYNGTYVAQIPDELIDARIFFSNYGWLLMCQDTQLSFSHPFTNQRIDLPSIERHNLEEYGRMCFSTPPTSPNCLVFGILNDYPIISDVTIIHRGESSWTNICYKTNNVRFYTSHGNPVFYKGAFYCLGIDGKLGIFDPNKRGSRFESNGELISICMDPIGEYVRIFRLNYDYDIRWEDVHNLGDEMIFASRTGSMCLQTHAMGNTIYFPSFDNGGNGLFYSLASHKFHSLGVALPRKDLYNTKRMLHCAWITPTALE >KJB82968 pep chromosome:Graimondii2_0_v6:13:54241671:54242678:1 gene:B456_013G222500 transcript:KJB82968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSNKLTKLLSVLKKLNSFNSKQSRTPTSTAAVAASDIIYNEESSADLHPVYVGKSRRRYLISSDIIDNPLFRELAERSGEDDDAVINVSCEVVLFEHLLWMLENADPQPESLEELVEFYAC >KJB82676 pep chromosome:Graimondii2_0_v6:13:52036184:52037335:1 gene:B456_013G208800 transcript:KJB82676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSNLASSFAMENSKNFGIQKELELNEGYQKTYKKMDELLPTLPRSKGWWLDQLLRYQAFWLSNHGIRGSMLIDDHFNPRPTDIIVATSPKCGTTWLRALVFSIINRNSFDFNNHPLSKANPRDLVHFLEAHIRGDRSTVSIDGLLSPRLLSTHLPYSLFPKCMTDDASSACRFVYICRDPKDVLVSKWHFANKLRPKELPPLPLEEAFELFSNGVSHYGPFWDHVLGYWKASLEWPKKVLCLKYEDVKKEPSGCVRKVAEFLGVPFSPEEEKKGIVEEIVKLCSFESLSNQDVNKSDTRSRENPTSNSDFFRKGEVGDWVNHLSPQMSEILDKITEQKFQDTGFSFH >KJB81917 pep chromosome:Graimondii2_0_v6:13:45217976:45222495:1 gene:B456_013G167500 transcript:KJB81917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRTRLDYVLFQLTPTRTSYLFLFFVCELVIFARKESEKLASGLLDPFISHLKTTKDQISKRGYSITLRPVGLTPWFTKATLQRFVRFVSTPEVLDRFVTVEREIEIQSCYGIINYVTHDSSNLKLTGTESVIFGNFQKTFLHQRYSNGEFNGTGDVVQEENSKKKVLSKEQAMAYARALVAGYEPDHIEDLLSFADAFGASRLREACLNFMELCKRKNEDRLWMAEVATMQACPRPDLSYLRTSGTVLAGEENDPNQNLMMSLSSTKQNGSADASDARSSDINPGPGFQQMSLYQGYFFSGMHATPPYYPGNMHWPPNVEDSSLARGWEPEDHRSHKSSSRSRKKSSRSKADETSKQDESTEPSDSSSESEPEEQVRKKKHKKRASRKVVIRNINYISSERNGEKDSDSEETSDEDEFIDGDSLKQQVEEAVESLEKQHKSTSRHHKQHNRSKHRNTVSYDYEHETKAATANNPWDAFQNLLLQEKDLDSSERTRLQGKYFAGNGSKNRIGRSSVFNPNSETVRNQPAVSSDPFPATQMDSSYEGETRGINFGTNEFFGPVVKRRENTNEELVMLQGNGSRISSRTDISDFATESTMTRSQKEGEWFMNNQLDKSANQDEIIGLKMFNGDNASSLAAGCFDTEKNKKDALIDDSLMIQGPPLGEDQHDSQLRIGIGMVTEIEATQHENDNAENVPKAVSVSYEPDDLYMMLGQIDYEMNMLSAKVNRKHSDVEATSADDKDTNGRKHRSSERKLSNKESRSRVLNRSEVKSKSDMESKIRKTPAGTRIAVRKSKFDQEEEIRKRIEELRIQRHKRIAERSAAKGLNLVTSRKNSIGTKTSTTSLKNQPLNQDTKKVPKPALRSSTIERLATAQNTSKVLSAELKSNQPKKSASKENIKASDKKSGTNKVLSCDTDAQEKGSEEVTFESEATQQTAIVDDFKDIQELQSTTSIMKPEEYHLKAEDFDEDVPEMITVDPMPPSPDKTVKFSTVNVETNSEVNGKDTSGRVITEIEISTPPPNEAIEMEPPVHSRKKWNNGENSSKASKGFRKLLFFGKRTRNSTT >KJB83410 pep chromosome:Graimondii2_0_v6:13:56508166:56517596:1 gene:B456_013G246300 transcript:KJB83410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dethiobiotin synthetase/7,8-diamino-pelargonic acid aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57590) UniProtKB/Swiss-Prot;Acc:B0F481] MLLRRHHHHRLFRLRLLLLHHHHHHHQSQSFSTLTIPLSHPTYIIWSSNTSLGKTLVSTGLSSSFLLSPSSSSKKFLYLKPLQTGFPSDSDSRFLFQKLPSLSLRRNLPLFSSHSVLLSSLPAAKSFKPNEFSLNESREMCELGFYEEKKVLEAGRVAPELVSETIYAWEGALSPHLAAEREGGAVEDSELVKRLETLLKEGLLEGGVERGKLDGFCVVETAGGVASPGPSGTLQCDLYRPLRFPGVLVGDGRLGGISGTISAYESLKLRGYDVVAIVIEDHGLINEIPLKSYLRSRVPVFVLPPIPQDPSNDLMEWFDESCNVFNSLKDIMLEAYLERMRRLNEMPRKAGDVFWWPFTQHKLVPPSAVTVIDSRCGENFSVYKVQNKEYITQQFDACASWWTQGPDATLQTELARDMGYAAARFGHVMFPENVYEPALECAELLLDGVGKGWATRVYFSDNGSTAIEIALKMAFRKFSSDHGILPELLKNNPTESCIELMVLALKGSYHGDTLGAMEAQAPSSFTGFLQQPWYTGRGLFLDPPTVFMHNGKWTVSLPEVFHSATLKPEDTTFRSRDEIFLKRRDESNLAGLYSLYVSQQLSQYSTLSETNKQIGALIMEPIIQGAGGMHMVDPLFQRMLVNECRHCRIPVIFDEVFTGFWRLGVESAAELLGCVPDIACFAKLMTGGIIPLAATMATDAIFDSFTGDSKLKALLHGHSYSAHAMGCTAAAKSIKWFKDPNTNLNITSEKSQKMLLRELWDAELVQQISSHPSVSRVVTLGTLFALELQADESDAGYASLYARSLVQMLREDGIYTRPLGNVIYVMCGPCTSPKMCTLQLLKLYTKLEEFTLVKTKMNIN >KJB81991 pep chromosome:Graimondii2_0_v6:13:45773337:45774975:-1 gene:B456_013G171100 transcript:KJB81991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGESSKQLKKRGRPNHFPTGNQKTNKRITDQKARLENREIVVKLTEAGPIVKQLLEPFSSINEGNLLQTVTYIRVSHDAL >KJB80480 pep chromosome:Graimondii2_0_v6:13:17919161:17920721:1 gene:B456_013G099600 transcript:KJB80480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSSARIVLVVVFGVLALIGSAQAQLQMNFYASSCPKAEQIVLDYVKQHIPNAPSLAASFLRMHFHDCIVRGCDASVLLNSTSGQAEKNAVPNLTLRGFDFIERVKSLLEAECPGVVSCADILTLVARDSVVTTGGPFWNVPTGRRDGVISNITEANTNIPSPFHNFTTLLTLFSNQGLNLTDLVLLSGGHTIGISHCPAVSRRLYNSTGPGGIDPTMDSEYAENLKANKCKTASDNTTILEMDPGSRKTFDLSYYSLLLKRRGLFQSDAALTTDSTSLAFINQLLTSPPQFFFDEFAKAMEKMGRVNVKTGSEGQIRKQCALVNN >KJB82783 pep chromosome:Graimondii2_0_v6:13:52689202:52691186:1 gene:B456_013G212600 transcript:KJB82783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVLTAGYTEGWFGYILLLLYAFFLRTFWYVLPPNYRSDPPDEKLNSAQLLSRRTYPPRRFVEFLWIALGEIYQISRLRGRSAPYPRRWVICSQKMLFQSDLAGERSQFAAENKTASERTFVRFFSTKHGSLRITVGRWLPKETLIRSTPPLGGIYLISITRRERMKCIEDHPFVAGCSSDLRIRRGPQTLLSMAFPLDFPNVELSDFVTFA >KJB81638 pep chromosome:Graimondii2_0_v6:13:42516748:42518571:1 gene:B456_013G154300 transcript:KJB81638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLPSFQVLLSILLVSFFIFRSLKKSKPKNPDLKPIPGPRKYPVIGNLHQIASPSPHKTLRDLALKHGPLMHLQLGEISTVIVSSPEVAKEVTKTHDINFSYRPAMEVPRVYTYDFTNIAFAPYGNYWRYLRKLCNTELLTASRVQSFRSIREAEVLNLVKTIHESKGEAVNLSDMIFSMTYGIVARAAFGKKCKDQQIYIDSITELTKLLSGFSLSDFYPSIKPLQLFSGMKTKVEKMHKENDKIIANIIAEHRERRVREKSGQAEAEQEDLVDVLLRIQEENEFPLADKNIKSVIDVFGAGSETSSTTVEWALSEMIKNPWVMKEAQAEVRRVFGPKGNVDETGLHELKYLKAVIRETFRIRPSVPLLLPRECHQACEINGYHVPEKTRVLINAWALGRDPNYWNEPDKFNPERFLNGTVDYTGTNYEFIPFGAGRRMCPGITFATPNLELPLAQLLFHFDWKLPNGMKGEDLDMSEVFGMTVKRKTDLVLIPTPYHGSKIVH >KJB81637 pep chromosome:Graimondii2_0_v6:13:42516677:42518699:1 gene:B456_013G154300 transcript:KJB81637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLPSFQVLLSILLVSFFIFRSLKKSKPKNPDLKPIPGPRKYPVIGNLHQIASPSPHKTLRDLALKHGPLMHLQLGEISTVIVSSPEVAKEVTKTHDINFSYRPAMEVPRVYTYDFTNIAFAPYGNYWRYLRKLCNTELLTASRVQSFRSIREAEVLNLVKTIHESKGEAVNLSDMIFSMTYGIVARAAFGKKCKDQQIYIDSITELTKLLSGFSLSDFYPSIKPLQLFSGMKTKVEKMHKENDKIIANIIAEHRERRVREKSGQAEAEQEDLVDVLLRIQEENEFPLADKNIKSVIVDVFGAGSETSSTTVEWALSEMIKNPWVMKEAQAEVRRVFGPKGNVDETGLHELKYLKAVIRETFRIRPSVPLLLPRECHQACEINGYHVPEKTRVLINAWALGRDPNYWNEPDKFNPERFLNGTVDYTGTNYEFIPFGAGRRMCPGITFATPNLELPLAQLLFHFDWKLPNGMKGEDLDMSEVFGMTVKRKTDLVLIPTPYHGSKIVH >KJB78963 pep chromosome:Graimondii2_0_v6:13:2024549:2030753:1 gene:B456_013G027000 transcript:KJB78963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTANGMISTPSSSANAQSPGLKTYFKTPEGRYKLHYEKTYPSGLLHHSHGKTVTQVTLAHLKEKPAPSTPTASSSSFTASSGVKSAAARWLGAGNGSRALSFVGGNGSSKSVSSAGRIGSLGSSSPSNSMTNTNFDGKGTYLIFNVSDSIFISDLNSQDKDPIKSIHFSNSNPVCHAFDQDAKNGHDLLIGLTSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNNSRCTSIAWIPGGDGAFVVAHADGNMYIYEKNKDGAGDSSFPVIKDQTQFSVAHARYSKSNPIARWHVCQGSINSIAFSSDGACLATVGRDGYLRVFDYSKEQLVCGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDQKVVAWGEGHNSWVSGVAFDSYWSSPNSDGTGETVMYRFGSVGQDTQLLLWDLEMDEIVVPLRRCPPGGSPTFSTGSQSSHWDNVCPLGTLLPAPSIRDVPKISPLVAHRVHTEPLSGLIFNPGSVLTVCRQGHIKVWMRPSGAESQSSNSETVSSSKDKPLVSNTLGSTSHKQ >KJB78964 pep chromosome:Graimondii2_0_v6:13:2025021:2028940:1 gene:B456_013G027000 transcript:KJB78964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTANGMISTPSSSANAQSPGLKTYFKTPEGRYKLHYEKTYPSGLLHHSHGKTVTQVTLAHLKEKPAPSTPTASSSSFTASSGVKSAAARWLGAGNGSRALSFVGGNGSSKSVSSAGRIGSLGSSSPSNSMTNTNFDGKGTYLIFNVSDSIFISDLNSQDKDPIKSIHFSNSNPVCHAFDQDAKNGHDLLIGLTSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNNSRCTSIAWIPGGDGAFVVAHADGNMYIYEKNKDGAGDSSFPVIKDQTQFSVAHARYSKSNPIARWHVCQGSINSIAFSSDGACLATVGRDGYLRVFDYSKEQLVCGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDQKVVAWGEGHNSWVSGVAFDSYWSSPNSDGTGETVMYRFGSVGQVCKCCVSGKNNGCPEFLMPCFSIVISCRVFLWVVELTLVPEMSSFHKLLMPDTNLMWLKQHL >KJB78965 pep chromosome:Graimondii2_0_v6:13:2025021:2030606:1 gene:B456_013G027000 transcript:KJB78965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTANGMISTPSSSANAQSPGLKTYFKTPEGRYKLHYEKTYPSGLLHHSHGKTVTQVTLAHLKEKPAPSTPTASSSSFTASSGVKSAAARWLGAGNGSRALSFVGGNGSSKSVSSAGRIGSLGSSSPSNSMTNTNFDGKGTYLIFNVSDSIFISDLNSQDKDPIKSIHFSNSNPVCHAFDQDAKNGHDLLIGLTSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNNSSRCTSIAWIPGGDGAFVVAHADGNMYIYEKNKDGAGDSSFPVIKDQTQFSVAHARYSKSNPIARWHVCQGSINSIAFSSDGACLATVGRDGYLRVFDYSKEQLVCGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDQKVVAWGEGHNSWVSGVAFDSYWSSPNSDGTGETVMYRFGSVGQDTQLLLWDLEMDEIVVPLRRCPPGGSPTFSTGSQSSHWDNVCPLGTLLPAPSIRDVPKISPLVAHRVHTEPLSGLIFNPGSVLTVCRQGHIKVWMRPSGAESQSSNSETVSSSKDKPLVSNTLGSTSHKQ >KJB80704 pep chromosome:Graimondii2_0_v6:13:25487264:25489640:-1 gene:B456_013G111100 transcript:KJB80704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDYQGSSTPLTIIGRSLLSMKRDQVHALESPNDGSASHDFELGSFQRQVADRFHDLASVPPDELLSLPWVRKLLDVFLCCQEEFRLILFNNKAQVIKPPMDRLIAEYYERTVKALDVCNAIRDGIEQIKQWQKLLEIVLCALGDNNGIANNNCCQRALGEGQFRRAKKALIDLAIGMLDEKDSGQALSHRNRSFGRNNNSTSHSKDHHHRPLGHFRSLSWSVSRSWSAARQLLAIGNNLAVPRGSDVVATNGLVMPVYTMGCVLLFVMWALVAAIPCQDRGLQVHIYVPRHFSWAGPLLSLHERILEESKKRDRKNACGLLREIYQMEKCTRLLGELTDTVQFPLGEEKEMEVRQRVKELGQVFDAMKEGLEPLEKQVMEVFHRIVRSRTEGLDSLGRGNNTE >KJB80595 pep chromosome:Graimondii2_0_v6:13:22107153:22108423:1 gene:B456_013G106000 transcript:KJB80595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVSSLMIYQNLHCMRRFLYPKSRMLVAPSFFRHRMSRFVGLPRVQFTYYG >KJB80888 pep chromosome:Graimondii2_0_v6:13:30027924:30030433:1 gene:B456_013G120100 transcript:KJB80888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLWMLIQLMIGPHYFVLTLPPLVLTFCWARVYVAHGPFRLWADSAPTGHMSRWPAEHVILSASSLDVCESRSWPSLSSCKLDLRPYLASWVLGSALRDGSASLLCCPLVIRQTLQASKLTSIPLCKYSTFINSLTMLSSTSLNPITNPKIPFFSSFSIQNPSLLSFHLPFSPSKTLLKPISATLIPSTPPPQQQQLYQPFRPPPSPLPPKFRSLDAQGRLDVLANRNGLWFEYAPLIPSLYQEGFSPPSIEEITGITGVEQNRLIVASQVRESLIQSKTDEDVMSFFDTGGAELLYEIRLLSASQRAEAVRYIVENGLDAKGAQDLARAMKDFPRRKMDKGWKSFEYGLPGDCLSFMYYRLSREHGNPSEQRTAALMQALQVAESESAKKEVSEELEGGEDEKPEKDDDLEYRVRVPVVRMQFGEVAEATSVVVLPVCMAEEDTKELLEAPLECRSGGDFGVVEAEKGWKRWVVLPSWEPLAGLRHGGVVVAFSDARVIQWKSNRWYKEEAILVVTDRNRKEVEVDAAFYLAMVDGGGLKVDTGSALKEMGVKESLGTVVLVVRPPKEESDDQLSDEDWE >KJB83792 pep chromosome:Graimondii2_0_v6:13:57785158:57787909:-1 gene:B456_013G264800 transcript:KJB83792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVHDDCKLKFLELKAKRTYRFIVFKIEEKQKQVVVEKLGEPSQSYDDFTASLPADECRYAVYDFDFVTAENCQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIRSRAN >KJB82335 pep chromosome:Graimondii2_0_v6:13:49130183:49131608:1 gene:B456_013G190100 transcript:KJB82335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESRKTFLPLDPVTFSNESKVIDFIADYYENVEKYPVQSTVEPDILEDVSNCIIPGLTHWQSPNFFAYFHANASTAGFLGEMLCSGFNVVGFNWISSPAATELESIVLDWMGKMLKLSYFLFSGTGGGVLHGSTCEAATRDKALKELGGWENITKRVVYASDQTHFTFQKTAKLAGIPPSNFRLIETSFSTGFPLSPENLRFAIEDDIKSGLVPLFLCATIGTTPSGAVGPIAELGKVAMEFKRWLHIDAAYAGSGCICPELRHYLDGVELANSISMNPHKWFLTNMDCCCLWIKEPKLLVDSLSNDPEILRNNASKSKAVVDYKDWQIALTRRFRALKLWVVIRRHGLANLMYHIRSDIAMAKRFEALVGEDERFEIVVPRKFALVCFGLKPKVEEEDLNCKLVEAINSSGRAFMSHAVLSGIYVIRCAIGTTLTQQHHVDALWKLIQDKAQSLLM >KJB81808 pep chromosome:Graimondii2_0_v6:13:44039190:44047644:-1 gene:B456_013G162200 transcript:KJB81808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPTDRAPDDLQNRRKQLSSVNSNYDGLTVYSQNDRFQLQCYCYWASMIPLTHFNYKRNNPMAIKRKQGFANAENLKTRDVKARRIDEEDLANRLSELPDNVILHIISSLPMKEAVRTCLLSTRWKDLFTSISNIELDGVLRKRALRNRFMEFVDGFLSLRKDISVDRFRLCYGPGIDHRKINEWYAVRHGVRELDLIFQSGTFETRHFTDLKFGVFTCKTVLTLRLFNVPSLVLTIPTHCCLPNLKGLHLTFLKFSDDESIRRLISSCNSLEELLVQSCELSNLNKLSVCHPTFKRLTISVEINTPNLVFFDFGCVYRKETKLSLRNLNSLSEACTYIGFIAMSSNYCNDTDSVFDVMRALSCVQSFHLTGICSEIQAMLQRPSLIPEFPMQCWMGKHDFGPVDWRTPKKYPSCLRHHIKAIKIFALKRKDVAFQLVEYL >KJB80753 pep chromosome:Graimondii2_0_v6:13:27986026:27987219:1 gene:B456_013G114100 transcript:KJB80753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKTPMINSAAEKIAYNQDLLTQILLRLPTKSLIKFKSVSKLWQFIISDPDFCLSHTRHHHRNGFLSPTALLLKGDRFSPPSEFSIVPLKHYSKVPFFHYIPDSHVKILQSCNGLLLCESYRQSYLICNPTTKKFRRIYCPSNSAYNFISKCFVSFAFDPLTSPDYRIICIWESYREPCKFNLDLYSSKTGSWDLCIVSFEVDEDEQEIELNNGVFCNGRIHWCGYGEESLYFDVEKECLETMPMALPSRMDAPETCRYFSESRGVLYVAVTYCMSVCLEFDVFEMARDYSEWNWKKRVNLGDAVKAFPELELGCIEYYPGFSGVCIIGSEKQEEPMVVVWADGKIISFDFRQGAWKMLYDLGPGIKIGSLYLGEDDHLHYELFHAYQYFENLSCL >KJB81944 pep chromosome:Graimondii2_0_v6:13:45333626:45334361:-1 gene:B456_013G168300 transcript:KJB81944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKKIKLAYITNDSARKTTYKKRTKGLVKKVHELTTLCGIEACAINPADFDSQPEVWLSHAGARCLLSEFKKLPLSKQNNKMVNQESFLEQSLAKATQHLRKLRKENRQKELKNAMFQSLNGEGILQSLNSMDLNELGPLVKQNLKDIDDRVRVLTKASCS >KJB82051 pep chromosome:Graimondii2_0_v6:13:46135535:46140018:-1 gene:B456_013G173400 transcript:KJB82051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(6)GlcNAc(2)-PP-Dol alpha-1,2-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G16900) UniProtKB/Swiss-Prot;Acc:Q9FZ49] MSFSTRQRRATVSDLPSSSSPSQPSTPESYTKVDKPGRSSSDGVGEDRGLGWFTVLFALGMLRYMSATSNIIHDCDEVFNYWEPLHYLLYKSGFQTWEYSSEFALRSYLYIIFHELVGRPASWLFAEEKVRVFYAVRLFLGFLSLISDATLVVALSRKYGKRLASYALAMLCLASGCFFASTSFLPSSFSMYAMSLSSGLFLLEKPAWAVAVAAVGVILGWPFSILAFLPLTFYSLAKQFKQAFLSGAVTSIALLALSILVDHCYYQRWTSSVFNLLVYNVLGGGESHLYGTEGPLFYIRNGFNNFNFCFILALLFLGILPIARKKYAPDLLIVISPLYIWLAFMSLQPHKEERFLYPIYPLVCVAASAVIESFPDLFRDKYNPYDNSIIVMMAKFLRPVALSLILCASHSRTFSLINGYAAPMEVYKILEHHDDAGSGSVLCVGSEWHRYPSSFFVPDYIGEVRWIDDGFRGLLPFPFNDTLGGTAAAPPYFNNKNKASDEQYLRDIEACTFLVELQLNRPFPYRGNDLSAWEPIAALPYLDRELSPAKYRSFFIPYLWQEKNVFGMYKLLKRVSKPE >KJB79699 pep chromosome:Graimondii2_0_v6:13:6892873:6896166:-1 gene:B456_013G062700 transcript:KJB79699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRVADALGYKDMDNISAASSRHNSENLPYVHKVGVPPKQNLLKEIAGTLKETFFADDPLRQFKDQPRSRKLVLVFQALFPIFEWGRNYSLSKFKGDLIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNEIDPSENPVDYLRLAFTATFFAGITQFTLGFFRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGLLGIKKFTKKTDIVSVMRSVWSAAHHGWNWETILIGMSFLAFLLVAKYIQGKKKKKLFWVPAIAPLISVVLSTFFVYITRADKHGVQIVKHIRRGINPSSLNEIFFSGEYLAKGFRIGVLAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCNTAVSNIVMSSVVLLTLELITPLFKYTPNAILASIIISAVISLVDIEAMTLIWKVDKFDFVACMGAFFGVVFSSVEIGLLIAVSISFAKILLQVTRPRTAVLGKIPRTTVYRNILQYPAATKVPGILIVRVDSAIYFSNSNYVKERILRWLADEEEQLKESSRPGVQYLIVEMSPVTDIDTSGIHAMEELFRSLEKRDVKLVLANPGPAVVDKLHASKFHEMIGEDRIFLTVEDAIVTCAPKMDLEP >KJB79700 pep chromosome:Graimondii2_0_v6:13:6892575:6896166:-1 gene:B456_013G062700 transcript:KJB79700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRVADALGYKDMDNISAASSRHNSENLPYVHKVGVPPKQNLLKEIAGTLKETFFADDPLRQFKDQPRSRKLVLVFQALFPIFEWGRNYSLSKFKGDLIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNEIDPSENPVDYLRLAFTATFFAGITQFTLGFFRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGLLGIKKFTKKTDIVSVMRSVWSAAHHGWNWETILIGMSFLAFLLVAKYIGKKKKKLFWVPAIAPLISVVLSTFFVYITRADKHGVQIVKHIRRGINPSSLNEIFFSGEYLAKGFRIGVLAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCNTAVSNIVMSSVVLLTLELITPLFKYTPNAILASIIISAVISLVDIEAMTLIWKVDKFDFVACMGAFFGVVFSSVEIGLLIAVSISFAKILLQVTRPRTAVLGKIPRTTVYRNILQYPAATKVPGILIVRVDSAIYFSNSNYVKERILRWLADEEEQLKESSRPGVQYLIVEMSPVTDIDTSGIHAMEELFRSLEKRDVKLVLANPGPAVVDKLHASKFHEMIGEDRIFLTVEDAIVTCAPKMDLEP >KJB82068 pep chromosome:Graimondii2_0_v6:13:46317032:46321645:1 gene:B456_013G174500 transcript:KJB82068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSGEEMGPLLLEIPDVAKGDALIKKCKVFSDKSNVHDGVGNETTDVDRGASGLSGWSKSALLDENLFQEHGRNSSHKLKSKAFRKSPTEENLFSGRNCFQETSSTGFSREEHEELFSYASVPTMRNKRKISKNKEPSSAQLMREERDIPNGSRGTPLAESSKRKGRRLVKNVNRESVVFDISDSDQECHPALRVHKPYVGVTSPSGHSLDGIVSLSQIGGPSYRDFSEHIRLDEEIEEVEEIKLRDNGPPSNDLTSPGKGAQPVSGRTSPDRCSDAERENQDVTSRLSTSMNLSCVICWTEFSPTRGVLPCGHRFCYSCIQEWADKMTSRRKAPCCPLCKAIFISITKVEDAAISDQKIFSQTIPCATSTLDVSILSDQERTGFGAQSSAASVCIKCRLREPEDLLISCHFCQIRNIHRYCLDPPLLPWTCIHCQDIERLNPYIF >KJB78875 pep chromosome:Graimondii2_0_v6:13:1731267:1731424:1 gene:B456_013G0237002 transcript:KJB78875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISRKSLLMFLNLAFMVMFVTAGNVRQLLPPFPFAPTPGIAPFQLGEVQNC >KJB83996 pep chromosome:Graimondii2_0_v6:13:48181598:48182866:-1 gene:B456_013G183300 transcript:KJB83996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNKPSLDACSLQPSADSNNVYTLVTNTKSRESHLLPVPDFIGESTRDGPEPEDAHSVLYFKASDLLDGHGHGHGVSLDYQSDEITSRLAPSIDHSDVASPTTLMEIPQLSHDDSILAMLDIFTEDSTMMIVGEKREDGPLLVSLADEKDNDIFSLLQNFI >KJB83353 pep chromosome:Graimondii2_0_v6:13:56234862:56236157:1 gene:B456_013G242800 transcript:KJB83353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMENIENIGDEYKNYWETKYFLENEEYSWPIDEFSGYYDSSSPDGAASSVAAKNIVSERNRRKKLNERLFALRAVVPNISKMDKASIIKDAIDYIQELHDQEGRIQAEISELESGKLKKNPGFEYDQELPGLRSKKTKLDNIFDYGGSRACPIEVLEVHSPTPPSLSPCFM >KJB83352 pep chromosome:Graimondii2_0_v6:13:56234641:56241418:1 gene:B456_013G242800 transcript:KJB83352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMENIENIGDEYKNYWETKYFLENEEYSWPIDEFSGYYDSSSPDGAASSVAAKNIVSERNRRKKLNERLFALRAVVPNISKMDKASIIKDAIDYIQELHDQEGRIQAEISELESGKLKKNPGFEYDQELPGLRSKKTKLDNIFDYGGSRACPIEVLELKVTHMGERTMVVSITCSKKTDTMVRLCEVFESLKLKIITANITAVSGKLLKTVFVEADEREKDELKVQIETAIAALNDPQSPMSM >KJB81606 pep chromosome:Graimondii2_0_v6:13:41366383:41368304:1 gene:B456_013G151700 transcript:KJB81606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTVSLNAVFGIRFTITKEECFSHDVKYEGDTIHVSFVVIKVNSGWPSTHEGVDLVVKGPSGDQIHVTRDRISEKFSFLANKKGEALFNIQFEQHWLEVLADRQSTVNEGMSQKAVHKALYEAAALIGASLLQVFLLRRLFDWKLAMPRV >KJB79281 pep chromosome:Graimondii2_0_v6:13:3518798:3520676:1 gene:B456_013G041900 transcript:KJB79281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMGNLLILFTASLCLYLFVALLNVFYKYCWIPQRVQFIMNSQGIRGPPYEFIHGNNKEAAQMLMEASTKPMALTHDIFPRVMPHVYSWINKYGKTYLSWNGIRAQLLITDPDLVKEVLKNSDKAFRKPKASYFFDKLLGDGLASTEREKWARQRKLANYAFHGESLKNMTPAVVASVETMLEKWKSKEGKEIEVFQEFRLLTSEVISRTAFGSSYLEGEKIFDMLMKLSVIAGRNIFKAKIPIISKFWKPADEIESERIAKMIHDSVMRIVKKREERVVNGEADNFGRDFLGLLVNAYHEADQKNKLSIQDMVDECKTFYFAGQETVNSLLAWATLLLAIHTDWQDKARAEVIEVFGNQNPDSEGMAKLKTVSKLYKFNSLKTSTDHLYCLLDFFFLQQITMIINETLRLYPPISGVTREVGREVQLGKLVLPTHSEVDMRIIALHHDPDLWGDDVNLFKPERFADGIAKATKYNAAAFMPFGLGSRSCVGMSFAITEAKTALSMILQRYTVTVSPTYVHAPVPRLTLKPQHGMQLLFHSLHYDA >KJB81247 pep chromosome:Graimondii2_0_v6:13:35720040:35722444:1 gene:B456_013G134700 transcript:KJB81247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLCSKGAKDNNINEKHLNKRKNKAAVQLVAPSSTQEDILEGGNDGEKQSFVPKQLGDGDQLDAAGWPSWLASVAGDAVKGWQPRRADSFEKLEKIGEGTYSSVYKARDLVTGKIVAMKKVRFINMDPESVRFMAREINILRKLDHPNVMKLEGIVASRMSRSLYLVFEYMEHDLAGLAATPGIDFTEAQIKCYMQQLFRGLEHCHSRGVLHRDIKGANLLIDDNGVLKIADFGLATFFQADPKQPLTSRVVTLWYRAPELLLGATEYGVAIDLWSSGCILAELFAGKPIMSGRTEVEQMHKIFKLCGSPSEEYWRKTELPHASSFKPQKPYKRRIAATFKNFPQSALSLVDKLLAMEPENRGSVASALRAQFFSTEPLPCDPASLPKYPPSKELDIKRRDDEARRKKAEAVKGRGPESVRRRSRDFKEVPTPEGSDTNADSTRNRGSFRTQMSQAANTSHKKGDKASNEDPASGYAPRKTKIQYSGPMVPPGGNIEDILKEHERQIQQVIRKARLDK >KJB82893 pep chromosome:Graimondii2_0_v6:13:53903816:53904636:-1 gene:B456_013G219400 transcript:KJB82893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNSQPKWEAKVSSKLTKASPDKTWAIYTDFFNFHKWYPTLATCYGIHGTNGELGCIRFCSGFSISSQGGDGDSNGGGSEKWSKERLVAVDHSNRSLSYEIVESNIGFNSYVSTVKIVPGGVDDQEGCVIEWSFTVDSVEGWKLDEMKKLYEEGLQGLAKRIDDS >KJB80164 pep chromosome:Graimondii2_0_v6:13:11808058:11809167:-1 gene:B456_013G083800 transcript:KJB80164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRSGSGVSSISDDQITHLVFKLQQLIPELCARRSLKASASKVLQETCDYIRNLHKEVEDLSDRLSQLLASIDTDNDQAAIVRSLLM >KJB83825 pep chromosome:Graimondii2_0_v6:13:57891151:57894711:1 gene:B456_013G266100 transcript:KJB83825 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE6 [Source:Projected from Arabidopsis thaliana (AT1G07890) UniProtKB/TrEMBL;Acc:A0A178W5I1] MEVMPKSYPVVSEDYKKAIENARKELRGLIAEKNCAPIIVRLAWHSAGTFDWKTNTGGPFGTVKHAAELAHKANTGLDIAINLLEPIKEQFPIISYADFYQLAGVVAVEVTGGPEIPFHPGRPDKEEVPPEGRLPSATDGADRLREIFINQMGLTDKDIVALSGAHTLGRCHKDRSGFDGAWTTNPLTFDNSYFKELLSGTGADTMQLPSDKVLVSDPVFRPFVEKYAADEGEFFADYANAHLRLSELGFADD >KJB79756 pep chromosome:Graimondii2_0_v6:13:7387826:7390776:-1 gene:B456_013G065400 transcript:KJB79756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G38160) UniProtKB/TrEMBL;Acc:F4JSY9] MDVTSCQNGGSIMWFFRDKGFDDKSINEMFKKCKRLQGVHKERASENWSYLKSIGIQERKLPSVVSKCPKILALGLYEKLVPMVECLATLGTERREVASAIARFPQIVSHSVEEKLCPLLAFFQALGVSERQLGKMILLNPRLISYSIESKLTDIVNFLATLGLTREWMIGKVLAKYPFIMGYSVNKRLRPTTEFLKSVGLSKTDLQTVTMNFPEVLCRDVDKILRPNFAYLERSGFEDRQIAALVTGYPPILIKSIKNSLEPRIKFLVEVMGRQIDEVANYPDFFRHGLKKRVELRHRLLKEKDVNCSLSEMLDCNHKKFLLKFGLFEGLA >KJB82498 pep chromosome:Graimondii2_0_v6:13:50846459:50847349:1 gene:B456_013G199000 transcript:KJB82498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLQVAEVNENRGCIYKVPHRLREVNEKAYEPNVVSIGPYHHGKQHLKAMQVIKRSFFLKIAEENNPNVNELARIMRSLEARIRKCYEEEAFYLDSDQLVEMMLLDGCFIVQQIRGIHLAEDIFEVGRIQTDILHDLLLLENQLPFFVLFEVYRTMVPIAGGDVRHLARSALSLFGVSPCRLPETGIMHLLDLVLSCEHPSPLGIKQHELFKAKAKAKAKAAAAAAKPNSQRSWKFIRSATELEGAGISFFGDHIENMKDQNHGIESKLHIMVSTDTEVLKKPLLKLEKRGSTLR >KJB83193 pep chromosome:Graimondii2_0_v6:13:55292793:55297497:-1 gene:B456_013G234600 transcript:KJB83193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSTRLSVEMRVLKQESFCHLVLKLNLGCYPVRYSRSQQHCNPRTYLTPRAPEHVSLAPRIFDEGSEESCPWLPPVQSVRIATDVNSNISVSQAYHRWSHFLSEDCPYDCDQSTRIETKTSPIGQVYPLYHGIHHQNTQPLTGSPVCENIMSDTIFVRSPIGTSLAELGVNGSSLNLFSSSDIGGKGDGLQDMKRTNEKSFLAECDLSLRLGLFSDPRVQVEKDSIVETGDVGQSSPCDGGKVNEVFQQKSKEFCFSLEGTVNGHFEPIS >KJB83510 pep chromosome:Graimondii2_0_v6:13:56868119:56869198:-1 gene:B456_013G2510002 transcript:KJB83510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPPPPSPSPLPPYVYKSPPSPSPSPPPPYIYRSTPPPSPLPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPSLPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPSLPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPSSPSPPPPYVNKSPPPPSHSPPPPYVYKSPPPPSSSPPPPSPSPPPPYVYKSPPPPSLSPPPPYVYKSPPPLSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPPPPPSPSLPPPYVYKPPPPYY >KJB83013 pep chromosome:Graimondii2_0_v6:13:54478934:54481260:-1 gene:B456_013G225100 transcript:KJB83013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPSVFKLIPYCISASDSSKLQRMAKEITLLLIQVLRRSVEGIGDQSKGCDGCGFSANTNSVLEGFSIGKRFSCTSKHVGDMNLKTFHTLFVNGKFCKDAKLAKADDFYFSGLHIHKNTSNTFGSTVTPVNVAQIPGLHTLGISMVRIDYAPNGGLNPPHTHPRASEVLVVLEGTLHVGFATSNPDNRLISKVLYPGDVFVFPVGLIHFQYNIGNTYAVAFAGLSSENPGVITIANAVFGSNPSTNADILAKAFNLERKMVKNLQSKF >KJB78509 pep chromosome:Graimondii2_0_v6:13:221698:223276:-1 gene:B456_013G003000 transcript:KJB78509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVKGKFLKKLKSIKPVGYLKPDRVLQVLAIDGFIDSYTKTPNPNEQPKLFTKEFEEQEMIKENQPEIIDVEELMKDLESDEDEDGEEEDDDKENIRPNIETENVDCSRQNCSPTAVPLSEIDVSSFRRPDLNSCTLFDPKLLAAFEQAVKEHMKMNEEIHHVEKKPRIEEKEEEEEEEEEEEEEEEESDPLLAFEEKCPAGGDGSVILYTTTLKGIRKTFEDCNSVRFLLESFKVIFYERDISMHCEYKEELWRILGGKVMPPKLFIKGRYIGGADEVLTLHEQGKLKVLFNGIPLDNCNGACDGCGGVRFVLCFVCNGSHKIIGDDGMSSECMKCNENGLIVCPLCC >KJB83080 pep chromosome:Graimondii2_0_v6:13:54767838:54768765:1 gene:B456_013G228600 transcript:KJB83080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPNAVRLVTKFTFIFVFLTVSAVSAINDSQVCSRTCVAENCYTVAIRYGKYCGVGWSGCPGEKPCDDLDACCKIHDECVGNKGLVDVECHEDFKVCITKVQESGKVGFSRKCPINTVVPAMIKGIDMAILLSQLGGTKYDEL >KJB82496 pep chromosome:Graimondii2_0_v6:13:50779958:50780442:1 gene:B456_013G198800 transcript:KJB82496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGDLGGYPPQSAYPPAGYPGQSSHGHAVGGLIAGGAAAAYGAHRIAHGHGGLFGRGKFKHEKAKFKPGKRWKKGSKFLKPKFKKWKP >KJB79730 pep chromosome:Graimondii2_0_v6:13:7206752:7208667:1 gene:B456_013G064300 transcript:KJB79730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGGTRKRKMVDRSRTITSADNKKATAVAKWPSLKPKLDLHITRLQDNDLFTVQNFFTSAESKAFIKTAESIGFEHQGSLGPTKGEAYRDNDRLSVYDPTLADTIWQSGLSLLFSDIKIRGKVAVGLNPNIRFYRYKVGQRFGRHFDGSVDLGEGKRTHYTLLIYLIGGPEMKGKSDLSNLKDTASEPLVGGETVFYDSWNEIVAEVAPAEGMALLHIHGDKCMLHEARNVSKGIKYVFRSDVVFACGP >KJB83906 pep chromosome:Graimondii2_0_v6:13:58269204:58270414:-1 gene:B456_013G272200 transcript:KJB83906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYRFRFSDMIPNAWFYKLKDMNKTRTHHSNQKKPPTSQTHHNSKPRHFTSEPFKAARLHVEASGDPPRKSLKRRDRRRAVYKPSPRAISSASAGFSHHAIPILNNSAHHYSLSPFGSYPESSNSEDDEFLAPPPSTSYNCQLSSSTTDIIIDMHNTSKFDAISELELPPILTKLPKSNHKADECTKLMTSSSPRSSPLVRKSLGTPGIKLRANSPKIASKKIQAAYARKSMSPCRYLKFRNRSIAESLAVVKSSLDPQRDFRNSMVEMIVENNIRSSKELEDLLACYLSLNSNQYHDLIIKAFEQIWLDMTNLRL >KJB80652 pep chromosome:Graimondii2_0_v6:13:23725407:23727346:1 gene:B456_013G108700 transcript:KJB80652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLLPNPANSTPLTTLGFLDRAATAYGDSPSIVYNNVSYTWLQTHCRCLQMASSLTSLGIQRGQVVSVVAPNVPSMYELQFAVPMSGAVLNNINTRLDARTLSILLQHSESKLVFVDHHSSSLVLEAISLFPRNTRHPQLILIDDEMVSSGSKSTASTSHFLDHYEGILEDGDPKFKWVRPKSEWDPMVLNYTSGTTSCPKGVSLSHRAIFIKTMDALVDWSVPNQPVYLWTLPIFHANGWSFPWGMAAVGGTNICLRKVDLSQIYRLIKQHKVTHMCGAPVVLNMLSNSPDLETLDHHVQILTAGAPPPAPVLARTESMGFVVSHGYGLTETGGMVVSCAWKQKWNKLPLTERARLKSRQGVNTVGCTEAEVLDPKTGEPVKRDGKTVGEVVLRGGSLMLGYLKDTEGTSKCMRENGWFYTGDVGVIHPDGYLEIKDRSKDIIISGGENISSPEVESVLYSHPAVNEAAVVAKPDKFWGETPCAFVSLKKNGELTQKPSEKEIIQYCRDRLPHYMVPKTVVFESELPKTSTGKVQKFILRRIAKAMS >KJB82833 pep chromosome:Graimondii2_0_v6:13:53585803:53588539:-1 gene:B456_013G215900 transcript:KJB82833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRCFHVAWIFFSLFYLFFLPSHCFYLPGVAPEDFKTGDPLLVKVNKISSTKTQLPYSYYSLPYCQPDHIVDSAENLGEVLRGDRIENSPYTFIMRESRMCNVVCRKVLDKKAAKAFKEKIDDEYRVNMILDNLPLVVPIRRPDVENAVVYQHGFHVGLKGKYAGSKEEKHFINNHLAFTVKFHKDPLTETARIVGFEVKPFSVKHEYEGEWNTKTRLTTCDPHAKRAVTSSESPQEVEEKEIIFTYDVEFQESDIKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSAMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPTNFDLLCVYVGTGVQFFGMILVTMIFALLGFLSPSNRGGLMTAMLLLWVFMGLFAGYSSARLYKMFKGANWKSITLKTAIKFPATVFAIFFVLNALIWGQKSSGAVPFGTMFALVLLWFGISVPLVFVGSYIGFKKPVKEDPVKTNKIPRQVPDQPWYLHPAFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFIILVVTCAEITVVLCYFQLCSEDYQWWWRSYLTSGSSALYLFLYAAFYFFTKLEITKLVSGMLYFGYMLIVSYAFFVLTGTIGFYACFWFTRLIYSSVKID >KJB80430 pep chromosome:Graimondii2_0_v6:13:16763441:16765448:1 gene:B456_013G096900 transcript:KJB80430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFGTLEKKILIEPIFAQWIQSAYGKTSYGFDVLLSSMNGPTFNAGRSIWLPGWLNDVNKNSNSLFLTIGLHTITLILVKGTLDARGSKLMPDKKDFSYSFPCDGPGQGGTCDISERSFERSNSALVRSIHQTLSWFKQWSIRHISREENSEADHLVKLVQHRTFDLRLFETFPLEGLA >KJB80244 pep chromosome:Graimondii2_0_v6:13:13826793:13829517:-1 gene:B456_013G088600 transcript:KJB80244 gene_biotype:protein_coding transcript_biotype:protein_coding description:fucosyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G03210) TAIR;Acc:AT2G03210] MAERKYNHNQSHVKIWRPCDPWKRSGLEAMRSSKTLLLALPFLIMLTFLLRHRPSDRFTDATPFFNNLTTPPLLEVDVLQHVDIAEDKLLGGLLADGFDGQSCLSRYQSILYRKALPYKPSSYLVSKLRKYEDLHKRCGPNTPSYNKAVEQLKSGSNVVGTTDCKYVVWVWYSGLGNRILTLASVFLYALLTERVLLVDRGNDMASLFCEPFPERSWFLPMNFPIAKMFNSFDQKSAESYGNLLKNNMIKASMGSLPSYVYLHLAHDYDDHDKLFFCDDDQALLKRFTWLVVKTDNYFVPSLFLMPSFEDELKKLFPNKETIFHHLGRYLFHPSNHVWGIITRYYKAYLAGADERIGIQVRIFDKGPGPYQYVKDQISACTIGEKLLPEVDTRRLAVNPSKNPKVKAVLMTSLVYGYYENMKNIYWEHPTVTGDIIGVHQPSHEEQQRSEKPLHNMKALAEMYLLSLTDVLVTSAWSTFGYVAQGLGGLKPWILYKSENQTTPNPPCQRAMSMEPCFHAPPFYDCKAKEGIDTGKVVPHVRHCEDISWGLKVVDRYTEL >KJB83897 pep chromosome:Graimondii2_0_v6:13:58131625:58132919:-1 gene:B456_013G269900 transcript:KJB83897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGSGELIIRSYNAQNDRVRVEGLESICEVGPADKPFLFTDTLGDPICRIKNSPIYNMLVAELGGQLVGVIQGTIKLVTLHKPPKNVAKVGYILGLRVAPAYRRHGIGSRLVTKLEEWFVACDVDYTYMATEKDNEASYKLFVNKLGYVKFRTPAILVNPVNHHRSSQISSNFKLTKLKIEEAESLYRKYLSSTEFFPIDIGNILRNKLSLGTWVSYPKGETWGKVPTSWAMLSVWNSGELFKLRLGNVPISCFMYTKSSQLIQKFLPCFKLPSIPDFFNPFGFYFIYGVYGEGPLYGKLVKSLCNFVHNMASSRPKDCKVIVTEIGGNDTRSKLHIPHRKSMSCSEDLWCIKSLKNEDRKSVHKLTTVPPTRALFVDPREV >KJB82712 pep chromosome:Graimondii2_0_v6:13:52215696:52216500:-1 gene:B456_013G210300 transcript:KJB82712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKKIKLAYITNDSARKTTYKKRSKGLLKKVREITTLCGIQAFVVINSPDFGSQAEVWPSLEDARRLLSEFKKLPLSKQNKNMVNQESFLEQSLAKATQQLRKLRKENHQKELKEVMFESLSGKGILQSLNAMDLDEVDLLIKQNLADIDNRVRVLTKASRS >KJB80787 pep chromosome:Graimondii2_0_v6:13:28547831:28551333:-1 gene:B456_013G115200 transcript:KJB80787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITEKREGESNNSSKDLNNNDNINNDLTDSDGNNTSKEAEERRARDLKAGLHPLKHKFVFWYTRRTPGVRTQTAYEDNIKKIVDFSTVEGFWVCYCHLARPSTLPSPTDLHLFKEGIRPLWEDSANCNGGKWIIRFKKVVSGRFWEDLVLALVGDQLDYGDDVCGAVLSIRFNEDILSVWNRNASDHQAVMALRDSIKRHLKLPHSYVMEYKPHDASLRDNSSYRNTWLRG >KJB82688 pep chromosome:Graimondii2_0_v6:13:52091561:52095798:-1 gene:B456_013G209600 transcript:KJB82688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRETLDFSSHCQGVGSHAEMMMEVSKREAEAGIVPDPVIDAYMKATSVKGLEATLQTDYILKILGLDICVETFVGNALIRGISGGQKKRLSTGEIVVGPIKTLFLDEITNGLDSSTAFQIVSYLQQLVHMIDTTLLVSLLQPAPEIFDLFDDIILMVEGKILYNGPRDHILDFFENCGFRCPPRKAVVDFLQEETSKIKHNTGTTLSCPTHTFQLMCSPGNLRHPPWGRR >KJB79707 pep chromosome:Graimondii2_0_v6:13:6996987:7003071:-1 gene:B456_013G063200 transcript:KJB79707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFVPLCLWLILVLELVFRAAGNAEGDALNALKTNMADPNNVLQSWDATLVNPCTWFHVTCNNENSVTRVDLGNANLSGQLVAQLGQLPNLQYLELYSNKITGTIPEELGNLTNLVSLDLYLNNLTGGIPTTLGKLTKLRFLRLNNNTLTGQIPRVLTAINSLQVLDLSNNRLEGDIPVTGSFSLFTPISFNNNLLRPIPPSPPVAIPPPASTSSGNSVTGAIAGGVAASAALLFAAPAIVLAWWRKRKPLDPFFDVPAEEDPEVHLGQLKRFSLRELQVATDYFSNKAILGRGGFGKVYRGRLTDGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPFMVNGSVASCLRERSESQSPLDWDKRKRIALGAARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDRKLETLVDSDLDSNYIEEEVEQLIQIALLCTQGSPMDRPKMAEVVRMLEGDGLAERWEEWQKEEMVRQEFNHTHYLNSNWIIADSTSHIPPDELSGPR >KJB79708 pep chromosome:Graimondii2_0_v6:13:6997037:7003071:-1 gene:B456_013G063200 transcript:KJB79708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFVPLCLWLILVLELVFRAAGNAEGDALNALKTNMADPNNVLQSWDATLVNPCTWFHVTCNNENSVTRVDLGNANLSGQLVAQLGQLPNLQYLELYSNKITGTIPEELGNLTNLVSLDLYLNNLTGGIPTTLGKLTKLRFLRLNNNTLTGQIPRVLTAINSLQVLDLSNNRLEGDIPVTGSFSLFTPISFNNNLLRPIPPSPPVAIPPPASTSSGNSVTGAIAGGVAASAALLFAAPAIVLAWWRKRKPLDPFFDVPAEEDPEVHLGQLKRFSLRELQVATDYFSNKAILGRGGFGKVYRGRLTDGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPFMVNGSVASCLRERSESQSPLDWDKRKRIALGAARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDRKLETLVDSDLDSNYIEEEVEQLIQIALLCTQGSPMDRPKMAEVVRMLEGDGLAERWEEWQKEEMVRQEFNHTHYLNSNWIIADSTSHIPPDELSGPR >KJB78581 pep chromosome:Graimondii2_0_v6:13:549413:550939:1 gene:B456_013G008200 transcript:KJB78581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMDSSTKISFNRCIRDGDLIIVYERHDTMKAVKVCENSILQNRFGVFKHSDWIGKPFGSKVFSNKGGFVYLLAPTPELWTLVLSHRTQILYIADISFVIMYLEVVPGCLVLESGTEDFERTGINTLITVGVRDIQGEGFPDQFFGLADSVFLDLPQPWLAIPSARNMLKQDGTLCSFSPCIEQVQRSCEILRSGFTDIRTFEILLRTYEVHEWKMDHSKVDDGNSTACPPRKRRQPSREASVGDNASSPVIMARPSAETRGHTGYLTFARKSLNFHC >KJB83328 pep chromosome:Graimondii2_0_v6:13:56055954:56057656:-1 gene:B456_013G241100 transcript:KJB83328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPDKQFIECADRLVEQWLVQWEAFSESAAIWEDRSHILIDGRTYKSEFMGVKLKQVLMFPFVKFRNKKKKKQAKPLAKPSLSSSSSFSSSKRVGSGGGFYGGFCCTRPRTLESADDSNTSDPNDPNFTYEMLKTLIEKNQFYSKECNPHSV >KJB80113 pep chromosome:Graimondii2_0_v6:13:11122865:11123433:-1 gene:B456_013G081200 transcript:KJB80113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDMDYEDDGFYAEIRRQILLLTAEDDDDYQQTETNNSPPTRGAANLCRLRHASYFSWCAGEKTSSAPTWLSNLWRNDNSNRTGTGVFIPHINNSRRWRRPSRMSKRKNTRPTTKQS >KJB83912 pep chromosome:Graimondii2_0_v6:13:58174563:58175918:1 gene:B456_013G270700 transcript:KJB83912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSGANPNSINEGSSSATAPVLMDSQQGGAGELSSSPAHPPSRYESQKRRDWNTFLQYLKNHKPPLTLSRCSGAHVIEFLKYLDQFGKTKVHVTACPYFGHANPPDPCSCPLKQAWGSLDALIGRLRAAYEENGGRRESNPFGTRAVRIYLREVRGGQAKARGIPYEKKKRKRPTTTAVSAASGTQPPGGAAGDDSSGGTTANVGTVTAAATTNSL >KJB79792 pep chromosome:Graimondii2_0_v6:13:7651594:7653931:1 gene:B456_013G067100 transcript:KJB79792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILVWVFEVLFISSLVGVLPLSLAETTSPGVCSEADRAALLAFKAKIVKDTTGILSSWIGRDCCGGDWEGVQCNPAGRVTTLALQGPVKDSSMYMKGTLSSSLGSLPFLQVLVISGMKLITGPIPDSFSKLTRLTQLVLEDNSLQGNIPSGLGLLSHLQTLSLAGNHLKGPVPPSLGNLRNLGMINLGRNSLSGPIPTSLKNLHLLQSFDLSFNSLSGFIPEFLGQFRNITFIDLSNNQLSAHLPISMFNLVSLSYLSLSHNLLTGTIPEQVGNLKSLTSLSLSSNKFIGHIPASISRLQNLWSLNLSRNGFSDPLPDVSNRGIPSLLSIDLSFNNLSLGTVPKWITERQLSDVNLAGCKLRGSLPRFTRPDSLSSIDLSNNFLTGSISTFFTKMTSLQKVKLSNNLLKFDLSELKVPDGISSIDLHSNQVCGSLSSILNNRTSSFLEVIDVSNNLISGTIPEFSEGLNLKELNIGSNKIAGQIPSSISNLIELERLDVSRNLITGTIPMSLGRLANLHWLDLSINRLTGRIPTSLLGIKFMRHASFRANRLCGEIPQGRPYNIFPASAYAHNLCLCGKPMPPCRGKKQETSQ >KJB82204 pep chromosome:Graimondii2_0_v6:13:47619939:47621185:1 gene:B456_013G181100 transcript:KJB82204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLADPFNDSSALIDFVINQGNGVKGLSELGLKALPKQYIQPFEERMCMTNIVPQGSIPIIDMSNWEDPKVAKSICDAASEWGFFQIVNHDVPVEMLENVKDATYNFFRLPAEVKNKYSKEHSSSNNVRFGTSFTPQAEKALEWKDYLSLFYVSEEEASALWPSICKEQVLDYMKKSEVVIKQLLQVLMKGLYVNEIDEAKESLLMGSMRTNLNYYPKCPNPELTVGVGRHSDVSTLTILLQDEIGGLFVRGNEGDDWIHVPPIKGSLVINVGDALQIMSNGRYRSVEHRVVANGNKNRISVPIFVNPRPVDMIGPLPELVANGEKPIYKQVIYSDYVKHFFRKAHDGKKTVEFAEL >KJB83114 pep chromosome:Graimondii2_0_v6:13:54873003:54873702:1 gene:B456_013G230100 transcript:KJB83114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGSAKCNVVVSGNNDISVPFDHHFDLDDAMTMPENLLTRHQTVVRLVSDMPTVKQATGSCSICMESLSEFEGDGSRRVLCGHVYHHDCITDWLLNGNSNSCPICRHEICG >KJB78530 pep chromosome:Graimondii2_0_v6:13:270361:270678:-1 gene:B456_013G003700 transcript:KJB78530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHITIPLRNLRMRFTATRGIHTETPKITKVRTNMEEEVNLSFKEAKLAWDRRSPQNSLEYTNLFVGILLTLASTYELVRPSPETTLPTLKIKTSPGNASKTSSD >KJB82980 pep chromosome:Graimondii2_0_v6:13:54319345:54321123:-1 gene:B456_013G2230002 transcript:KJB82980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSAQTSLMVKKLNKAEDEPSSLKWMWRPELIESTSVQGRGDVSVNKIVDQKDMANDASDYLWYMTSINVAKDDPMLNGTVTLRVNDTGHVLHAFFNGEYIGSQWSKYGNNNVTYVFERNINLSLGKNLISLLSVTVGFKNYGPMFDLVGAGITSPIELVLNKNVVKDLSSNKWTYKVGLNGISNKFFDIDCASKSSSKWVSDPIPIYRNFTWYKTTFKAPLGNKPVVVDLLGLGKGMAWVNGHSLGRYWPSYIADKQLCKTETCDYRGRYSDSKCVSKCGEPTQRWYHVPRSFLKDSENTLVLFEEFGGNPSGVQFQTVEIGSVCINTHEGKEVELSCQDRPISKIKFASFGSPQGVCGSFDKSEFDSEVDALSILERECLGKESCSFKITEEKFGRPSCEVKKLAVEAVCEDFTL >KJB81278 pep chromosome:Graimondii2_0_v6:13:36402180:36402413:-1 gene:B456_013G136300 transcript:KJB81278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKGGSGGGSKGGCGGSGGGSGNVGGGSTSKGGGGASGMMVAPGSGGAAIISRGAFESNPKGFFAGLHSSEKGNK >KJB82483 pep chromosome:Graimondii2_0_v6:13:50522351:50524234:-1 gene:B456_013G197900 transcript:KJB82483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKGQLGKINAPSFKTKKDEASNDSEAEDLERGPDQGFIYEVPRNIRQANPKAYTPLLISIGPLHYGKTNLASMAKYKVGYQVKFLERNSGFEEPLESFWSFIERNEKKILNYYEALIDEDEFVKMIFYDALFIVELFLRNYEKEVKNSDIKDFLLKETWSAGLRRDLILLENQIPMFVLEELYKPYENHKLASDASVPSFLKLACSYFDIPWDPQFEHIEIPHFTALQRCHMTKTQNPSSRTKIPTLKKVYGATSLQEVGVELIVEPNQTACLLDIKFEGKKFKIPKLTVHSNTEAFLRNVMAFEMCHCPDKAYVCAYIELMNYLIPTAQDVKLLIEKGILSKEGRNEGILVTTINTDIMVQRTIKKLMQGIGEPPACYRETANRLNQLYKEGRKHKVTLFVKKNYGILKRVYFPNLWRGTGTVAAFMVVVLTCIQTVLAFVN >KJB82482 pep chromosome:Graimondii2_0_v6:13:50522502:50523842:-1 gene:B456_013G197900 transcript:KJB82482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKGQLGKINAPSFKTKKDEASNDSEAEDLERGPDQGFIYEVPRNIRQANPKAYTPLLISIGPLHYGKTNLASMAKYKVGYQVKFLERNSGFEEPLESFWSFIERNEKKILNYYEALIDEDEFVKMIFYDALFIVELFLRNYEKEVKNSDIKDFLLKETWSAGLRRDLILLENQIPMFVLEELYKPYENHKLASDASVPSFLKLACSYFDIPWDPQFEHIEIPHFTALQRCHMTKTQNPSSRTKIPTLKKVYGATSLQEVGVELIVEPNQTACLLDIKFEGKKFKIPKLTVHSNTEAFLRNVMAFEMCHCPDKAYVCAYIELMNYLIPTAQDVKLLIEKGILSKEGRNEGILVTTINTDIMVQRTIKKLMQGIGEPPACYRETANRLNQLYKEGRKHKVTLFVKKNYGILKRVYFPNLWRGTGTVAAFMVVVLTCIQTVLAFVN >KJB83503 pep chromosome:Graimondii2_0_v6:13:56820869:56821960:-1 gene:B456_013G250500 transcript:KJB83503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KJB80296 pep chromosome:Graimondii2_0_v6:13:14711991:14712233:-1 gene:B456_013G091800 transcript:KJB80296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYRLSISVLLFFLLLLLRPSAQTPSPRNHCPWQRSSCSQLRIHKFRHFLPPWPEQDEIDPRYGVEKRLVPSWPNPLHN >KJB83192 pep chromosome:Graimondii2_0_v6:13:55285774:55287462:1 gene:B456_013G234500 transcript:KJB83192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTLNTIFLVTVAIAALFQISLAQRDYVVGDALGWVIPPGPSVYATWAANKTFTAGDTLVFNFLNGSHDVAKVTKANFDSCNGGNALLLLTNSPANVTLNETGSHYFICGFSGHCGAGQKLAVNVSAAGSSPAPQPSAAPPRGSSPAPLPSSPSPAPVSGPTRSPTTYTVGDNLGWTVPTSGASTYQTWANGKNFMVGDILVFNYVTGTHDVAEVTRAAYQPCNTSNLLSNFTTGPTRITLQTAGEHFYICAVPGHCAAGQKLAINVTGSSTATPPSPSPTPSPSPSTATPPSSSSPSPSTAPPPSSTATPPNPSTPSPAGDNNNTPSTAGNSATSLSVASLTATLPCLIVAFLM >KJB78799 pep chromosome:Graimondii2_0_v6:13:1370339:1371740:-1 gene:B456_013G019700 transcript:KJB78799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLFLSVLFSFLHFTSSIPSIGITYSSTVSPPPPPLPAKVSSLISALKITSVRLPDPDPSLIKAFAPTNTSLFLSISNSHLPALASNGSVALSWLRRHVLPFYPRSKICLISVGNTVLDSTTIQDFSPFLLPATRNLHHALQELGINKIQISATFSFFSTITTAFPPSSAVFQQPAGDLIIKPLLQFLEQTNSSFLINIYPYNLFRLNSEIPIGFALFQDNLFNFRDDPVTGIRYFNLFAMMADAVLTAMAAMGYQNIPVVVAETGWPSGGSQAEEVEANGVYAEMYLKGLVRHLKSGAATPLKKDGVAEVYVYELMDHGGGNNERGRKWGILTENMTMKYNVEFSSGAKNSGICLVAIWLTNWLLITSMNLILGFIGVQG >KJB83701 pep chromosome:Graimondii2_0_v6:13:57463761:57464280:-1 gene:B456_013G259400 transcript:KJB83701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDGHNKVYKSFSDVIEGKERRFHETLLGKRVDYSGRSVIVVGTSLSLHRCGLPREIAIELFQTFVIRGLIRQHLASNIRVAKSKIRHITSQLDIAIQLQLVFAQWIQNTHTLAPSATAPSATVNSEHQFNLRRRFYQTLNT >KJB80517 pep chromosome:Graimondii2_0_v6:13:18702007:18702372:1 gene:B456_013G101200 transcript:KJB80517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFTNTKVVAQDDQDETRNVIPAAGVLETKKEKKKKVVSFKANEENNVDSGKPKDGVVRIRFVVTRKELKQILSSGKDLNKYSSMEEVVRAMKLRENEVCDDGFHGAWRPALETIPEEY >KJB80878 pep chromosome:Graimondii2_0_v6:13:29749646:29751387:-1 gene:B456_013G119400 transcript:KJB80878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSKFPTYNPNSTSPHFCIGMLFKDGEKFKSAIRKYSMCRRKEIKIIKTEPNRVRVKYIASKNFHDEHNSCVSFKNKMVNVKVIVEHFEATIGDNPKMKLREIQRRVASEMHVNKMVKDKLARNFVQEFAMLWDYANELKLKNPGSTIKMAVNRATLESPTHFKRFYVCFEALAKGWKEGCRPILGLDGCFLKGLFKGELLAAVERDGNNQILLTTDLGMEDGFGYTITSDQKRTKAFKGLHSLSDIVDNNLYETFNSSIVESRFKSIITMLEEIRVNMMTRIVAKRKQCSSWKYNYGPLIKKKFDDSKKEDADWKMIRNGENGCEISCPHACCTIWHFEQGPDDYLHRYYHKDTYLKAYEYTLQPIYGSHEWTKSGIKPVLPPVEKIMSGRPKKEGKN >KJB83944 pep chromosome:Graimondii2_0_v6:13:56248576:56251869:-1 gene:B456_013G243000 transcript:KJB83944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLANYASSDDDEPQQITHPPPPPPPKVSSLPQPKSSSLFTNLPQPKQSLKSFTKHHDEDGNGGGGGEVAVRVPKPAVPHPKNPSNLFSHLPQPKPQQPPNPPVAKRIVQFKPPINPNTHVDSDDDDEEENERPKRGESETLAQGPSVKSFLSSIPAPRNSTTLGVAPSSGSGRRSIIDTQVIPTSTSSTFEDKKEASIDNNPPNYSNYEWGSDVNAGTTVGYNNYVNYDQSSVDQNSGNYGNNDQNIGSYANYADYSSYQSSSDPNIGGVDAATSYGSYESYGNYHVQYENNWGDGSTTASMLPETKGIADFGVKVKGKRGRNDLPVEIVEVKQDDLTKNRPREDQVKMTGIAFGPSYQPASSKGKPTKLHKRKHQIGSLYFDMKQKEMELQERRSRGLLTKAETQAKYGW >KJB81465 pep chromosome:Graimondii2_0_v6:13:40391036:40396025:1 gene:B456_013G146900 transcript:KJB81465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSADPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDITLTRDNNITTGKIYQYVINKERKGDYLGKTVQVVPHITDAIQEWIERAALIPVDGKEGPADICVIELGGTIGDIESMPFIEALGQFSYRVGTGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTMALDENVKEKLSRFCHVPAENIVTLYDVPNIWHIPLLLRDQKAHEALLKELNLLGIARKPDLTEWTARTKVYDMLHDPVKIAMVGKYVGLKDSYLSVLKALLHASVGCRKKLVVEWVEASHLEDITAKVDPDTYKAAWNRLKGADGILVPGGFGDRGVQGKILAAKYARESKVPFLGICLGMQIAVVEYARSVLGLYDANTEEFDPQTSNPCVIFMPEGSKTHMGGTMRLGSRRTYFKVPDCKSAKLYGDATFVDERHRHRYEVNPDMISQLEAAGLSFVGRDESGRRMEIVELPSHPYFIGVQFHPEFKSRPGKPSALFSGLIAASCGQMDLLLNKSDHLSNGMANGINGKATSKPRANGNCFKSSNGSLNGVYSNGNGVHH >KJB81464 pep chromosome:Graimondii2_0_v6:13:40390425:40396066:1 gene:B456_013G146900 transcript:KJB81464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGLLLKSCGFRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDITLTRDNNITTGKIYQYVINKERKGDYLGKTVQVVPHITDAIQEWIERAALIPVDGKEGPADICVIELGGTIGDIESMPFIEALGQFSYRVGTGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTMALDENVKEKLSRFCHVPAENIVTLYDVPNIWHIPLLLRDQKAHEALLKELNLLGIARKPDLTEWTARTKVYDMLHDPVKIAMVGKYVGLKDSYLSVLKALLHASVGCRKKLVVEWVEASHLEDITAKVDPDTYKAAWNRLKGADGILVPGGFGDRGVQGKILAAKYARESKVPFLGICLGMQIAVVEYARSVLGLYDANTEEFDPQTSNPCVIFMPEGSKTHMGGTMRLGSRRTYFKVPDCKSAKLYGDATFVDERHRHRYEVNPDMISQLEAAGLSFVGRDESGRRMEIVELPSHPYFIGVQFHPEFKSRPGKPSALFSGLIAASCGQMDLLLNKSDHLSNGMANGINGKATSKPRANGNCFKSSNGSLNGVYSNGNGVHH >KJB81337 pep chromosome:Graimondii2_0_v6:13:37623419:37627281:1 gene:B456_013G139700 transcript:KJB81337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKPKKRGAMENGDTGEDSVLATLIGNGDDLGPLVRHAFEMGRPEALVHQLKHILKKKEAEIEELCKTHYEEFILAVDELRGVLVDAEGLKSDLSSDNFKLQEVGSALLVKLEELLESFSIKKNVSEAINMSKICIEVLELCVKCNTHLSEGQFFLALKTVDLIDTNYLQNIPVNAIKIMIGKNIPIIKAHIEKKVTADFNEWLLHIRSSAKDIGQTAIGHAASVRQRDEEMLERQRKAEELNVSGLGDVVYSLDVEEVDEDSVLKFDLTPLYRASHIHSCLGIQEQFRRYYYKNRLLQLNSDLQISSSQPFVESYQTYLAQIAGYFIVEDRVLRTSGGLLSADQVETMWETTVAKVVSVLEEQFSHMDSATHLLLVKDYIALLGVTLRQYGYEVSSILEVLDNSRDKYHELLFEECRQQISNALSNDSYNKMVMKKDSDYENNVLAFHLQTSDVMPAFPYIAPFSSMVPDCCRIVRSFIKSSVDYLSFGVNSNFYDAARKYLDKLLIDVLNEVVVTTVQSDGIAVSQAMQIAENIPFLERSCDFFLQHAAHLCGIPVRSVERPQASLTAKAVFKTSRHAAYLAVVNLVNSKLDEFLALTENINWTAEEISENNSEYMNEVVFCLDTVFSTALQILPLDALYTVGCGALEHISNSIVAAFLSDSVKRFNANAVMVINQDLQKLESFADERFHSTGLSEVYKEGSFRSSLIEARQLINLLSSSQPENFADLVIREKSYNALDRKKVASIVEKFKDPADGIFGSLSTRNTKTSSRKKSMDMLKKRLKDFN >KJB81338 pep chromosome:Graimondii2_0_v6:13:37623378:37626813:1 gene:B456_013G139700 transcript:KJB81338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKPKKRGAMENGDTGEDSVLATLIGNGDDLGPLVRHAFEMGRPEALVHQLKHILKKKEAEIEELCKTHYEEFILAVDELRGVLVDAEGLKSDLSSDNFKLQEVGSALLVKLEELLESFSIKKNVSEAINMSKICIEVLELCVKCNTHLSEGQFFLALKTVDLIDTNYLQNIPVNAIKIMIGKNIPIIKAHIEKKVTADFNEWLLHIRSSAKDIGQTAIGHAASVRQRDEEMLERQRKAEELNVSGLGDVVYSLDVEEVDEDSVLKFDLTPLYRASHIHSCLGIQEQFRRYYYKNRLLQLNSDLQISSSQPFVESYQTYLAQIAGYFIVEDRVLRTSGGLLSADQVETMWETTVAKVVSVLEEQFSHMDSATHLLLVKDYIALLGVTLRQYGYEVSSILEVLDNSRDKYHELLFEECRQQISNALSNDSYNKMVMKKDSDYENNVLAFHLQTSDVMPAFPYIAPFSSMVPDCCRIVRSFIKSSVDYLSFGVNSNFYDAARKYLDKLLIDVLNEVVVTTVQSDGIAVSQAMQIAENIPFLERSCDFFLQHAAHLCGIPVRSVERPQASLTAKAVFKTSRHAAYLAVVNLVNSKLDEFLALTENINWTAEEISENNSEYMNEVVFCLDTVFSTALQILPLDALYTVGCGALEHISNSIVAAFLSDSVKRFNANAVMVINQDLQKLESFADERFHSTGLSEVYKEGSFRSSLIEARQLINLLSSSQPENFADLVIREKSYNALDRKKVASIVEKFKDPADGIFGSLSTRNTKTSSRKKSMDMLKKRLKDFN >KJB83299 pep chromosome:Graimondii2_0_v6:13:56002765:56004322:-1 gene:B456_013G240600 transcript:KJB83299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPGEYETGLFAHIDKLVITIICEDQIPELEIEVNDGQWMKLTNLSPSSFVFMVGDPLKAWSNRRLKSTNHKVMMSGDKDQFSIAAFIMPNEGTIIKTPKELIDEEHPQLFKDFDFMKFFFFAFSDPARRIDSGQLLSHPTSFQCPYGQVVKSQLQVIN >KJB79267 pep chromosome:Graimondii2_0_v6:13:3299566:3302369:1 gene:B456_013G040700 transcript:KJB79267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAQEQPQEKFQMKDAMVAAASAKTSGGSNGVTFKFNAHAPEFVPSSHTQIPISGYYYPCFHYLGGGGGGGGIGAGVGSDWFFVGEQEPAAYMFSNHNLSFPNFSYKNVLNDDLRQKIIKQVEYQFSDMSLIANESFSKQISKDPEGYVPISFIASTKKIKALITNHQLLAQALRSSSKLVVSNDGKKVRRKHPFTEKDREEVQSRTVVVENLPEDHSHQNLDRIFDVVGSVKNIRICHPQESNSSRSKSDFFMSNKLHALVEYDSKEIAEKAVEKLNDERNWRKGLRVRLLLRLTPKSVLKTRKSDFDGILDDDDSMLTDYYEESSQPTHVEFIENNAEDNTVGSKRGWGKGRGKGRGRVQNHSGRGLLAPSQPGNAVQCEAPMKQMAKGPRMPDGTRGFTMGRGKPVSSPLD >KJB81564 pep chromosome:Graimondii2_0_v6:13:41037220:41040239:1 gene:B456_013G150300 transcript:KJB81564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIHIVPDKTNNTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKPNNIKLYVRRVFIMDNCEELIPEFLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRNKIAELLRYHSTKSGDEMTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEALKEKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKS >KJB81562 pep chromosome:Graimondii2_0_v6:13:41037208:41040239:1 gene:B456_013G150300 transcript:KJB81562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIHIVPDKTNNTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKPNNIKLYVRRVFIMDNCEELIPEFLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRNKIAELLRYHSTKSGDEMTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEALKEKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDNSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDAGDADADSEMPPLEDADAEGSKMEEVD >KJB81563 pep chromosome:Graimondii2_0_v6:13:41037220:41040239:1 gene:B456_013G150300 transcript:KJB81563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIHIVPDKTNNTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKPNNIKLYVRRVFIMDNCEELIPEFLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRNKIAELLRYHSTKSGDEMTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEALKEKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDNSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDAGDADADSEMPPLEDADAEGSKMEEVD >KJB82529 pep chromosome:Graimondii2_0_v6:13:51192401:51195892:-1 gene:B456_013G200900 transcript:KJB82529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent protein translocase protein TATA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G28750) UniProtKB/Swiss-Prot;Acc:Q9LKU2] MEITSITLSLARPPIAPSLPFSSSQSNFLGTGSTISLLNKAKSQTKSVSFVVGRPRWGTTAEPAKKGLTCNALFGLGVPELVVIAGVAALLFGPKKLPEVGKSIGKTVKSFQQAAKEFETELKKEPESITEQPKENTSAVSEEKKPDLEVSSSKESV >KJB80549 pep chromosome:Graimondii2_0_v6:13:19408479:19409185:1 gene:B456_013G103000 transcript:KJB80549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASHILTSFETEEQSSRESGWTMYIGSSIHENDYNTYEQESHHKNRQCPNGYNEDESDDSMASDASSGPSHHKFPPSGEQNSGMNHFTHGSIVKSISTEKLQKQVIKRDQRRNRHGKGKLKLKTISAPSRVQRGYISKDDEAGRIGVSQISQSYLEMCDEC >KJB83006 pep chromosome:Graimondii2_0_v6:13:54441167:54441278:-1 gene:B456_013G2246001 transcript:KJB83006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIRSILIAFACSSASAYDPSPLQDFCVAIKDFKNG >KJB80628 pep chromosome:Graimondii2_0_v6:13:23406584:23412798:-1 gene:B456_013G107800 transcript:KJB80628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDKTGGAAGGTAESSRLVAPKVMKSGDRQVFTVELRPGETTYVSWRKLVKDANRANGSSAAASVLTAPAPEPPPNAHPNLQSRIAPGQAAEKEAKDEAPGPNRFSAVIEKIERLYMGRDSSDEEELDETPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGMLERVNEPPAIPNQQPKKRRRKDTSKPPSESDDGHVSNKHVKTVKVTAGRVEPSHGKNNSNSAQNLTTLSEQHGDVKAQNQLSVSGVPSKKKSSETRVALDSSAFLKVPNGDTSVPLADVKDTEKSKMGFVQSKNVVSNKLKDATGSSDVLQQKYHDKNAYAQSKSQHGKRISNADELEQSFRPREKNGIRELPDANISDGKHAMQTAKSSHMSKKDGSTLRPKSSILEKAIRELEKLVAESRPPAVENQEADASSQGIKRRLPREIKVKLAKVARLAAQASQGKVSKELLNRLMSILGHLIQLRTLKRNLKVMISMGVSAKQEKDARFQQIKKEVIEMIKTRIPSFETKILEPPPGASDDFQETGSEERVNRRKFGMDATLENKICDLYDLYVDGLDEDAGPQIRKLYVELAQLWPNGMMDNHEIKRAICREKERRKARYNRCKEQEKVKRKKIGALGLEESVRVESALSAQPQHTRERLATDSASQVLPSTNKLTSSTTAAAVQIPGPSTNGSSLDRVKQEKLKGISSNAMEEIKVADSSLPKKKVKRKPETELDAAHFCPEKSSLQPGDDRHKSTKQPVNVTPKSSLLPTTTSFEQSS >KJB80627 pep chromosome:Graimondii2_0_v6:13:23406081:23413116:-1 gene:B456_013G107800 transcript:KJB80627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDKTGGAAGGTAESSRLVAPKVMKSGDRQVFTVELRPGETTYVSWRKLVKDANRANGSSAAASVLTAPAPEPPPNAHPNLQSRIAPGQAAEKEAKDEAPGPNRFSAVIEKIERLYMGRDSSDEEELDETPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGMLERVNEPPAIPNQQPKKRRRKDTSKPPSESDDGHVSNKHVKTVKVTAGRVEPSHGKNNSNSAQNLTTLSEQHGDVKAQNQLSVSGVPSKKKSSETRVALDSSAFLKVPNGDTSVPLADVKDTEKSKMGFVQSKNVVSNKLKDATGSSDVLQQKYHDKNAYAQSKSQHGKRISNADELEQSFRPREKNGIRELPDANISDGKHAMQTAKSSHMSKKDGSTLRPKSSILEKAIRELEKLVAESRPPAVENQEADASSQGIKRRLPREIKVKLAKVARLAAASQGKVSKELLNRLMSILGHLIQLRTLKRNLKVMISMGVSAKQEKDARFQQIKKEVIEMIKTRIPSFETKILEPPPGASDDFQETGSEERVNRRKFGMDATLENKICDLYDLYVDGLDEDAGPQIRKLYVELAQLWPNGMMDNHEIKRAICREKERRKARYNRCKEQEKVKRKKIGALGLEESVRVESALSAQPQHTRERLATDSASQVLPSTNKLTSSTTAAAVQIPGPSTNGSSLDRVKQEKLKGISSNAMEEIKVADSSLPKKKVKRKPETELDAAHFCPEKSSLQPGDDRHKSTKQPVNVTPKSSLLPTTTSFEQSS >KJB79836 pep chromosome:Graimondii2_0_v6:13:7966373:7969837:-1 gene:B456_013G068800 transcript:KJB79836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDASVHGGGGDANTDGATNKPFFCYQCNRTVTVTIYPSADPSCPLCNEGFLEEYENPSFENPNPNSNPLSEPFLSVSDPFSSLLPLLFPSSSSTTSSSPSPASIDLHNPNSFGSTRSGRGDPFAFDPFTFIQNHLNDLRSRGAQIEFVIQNNPSEPGFRLPANIGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAIDALPSVKIAKNNLNSEFNQCAVCMDEFEEGAEAKQMPCKHLYHKDCILPWLELHNSCPVCRHELPTDDPDYERRVRGAQATGGGNDGDSSGGDNEQRSGDNRTAERSFRISLPWPFRARGSGSGSGSGDNPQTRQEDLD >KJB78834 pep chromosome:Graimondii2_0_v6:13:1532332:1533783:-1 gene:B456_013G021800 transcript:KJB78834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSYWCYQCNQFFLISNEDPITCPDCDGGFIEEIDNMPHAARALHADSRLVGGDGFTAMYNSSTTLRRSRMNGGDRSPFNPVIVLASPSGESTNVEQSGRGFELYYDDGGGLGLRPLPASMSEFLLGPGFDRLIEQLSQMEIQNIGRHNQPPASKSAVEAMPMVEIDDTHIHNELFCAVCKEQFELGTKVLNMPCNHLYHSNCLLPWLRLRNSCPVCRHELPAAKGEEGDGSSNSLDETPMGLTIWRLPGGGFAVGRFSAGENREFPVVYTEMDGGFSGGGSVPRRVSWGSVGRERGGYLRRVLRNMFGCFSGSSSSPRLDSRIRRSSRSFSLFSPPSRRRGWALELDNARSRSRRRRR >KJB82360 pep chromosome:Graimondii2_0_v6:13:49377527:49378989:1 gene:B456_013G192600 transcript:KJB82360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRMLVGRYWCYICSQMVNPTMEPEIKCPFCESGFVEEITSVTPYSNNNNGGNDSAGSTNNLLLWGPILLGLIGGLGSSQLRITGRAQINDGNDGMDDELGREFESLVTRGRRRTLGPGIRILQDIRTESENAENEGSDRGGRMILFDPFNDEALIVQGSFGFNHGQSSNPRATISFSDYLMGPGWDLLLQYLADNDPNRHGNPPAKKEAVKAMPNVTIDDNLQCCVCLENIEIGSQAKEMPCKHKFHGGCITPWLDLHSSCPICRFRLPSDEEKLDENGTGQSSGRVGNRRRYWIPIPWPSEGLLTLSGSSQNAASSSASLEAMPGSSSAAQTEDN >KJB82786 pep chromosome:Graimondii2_0_v6:13:52712940:52714454:1 gene:B456_013G212900 transcript:KJB82786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYRKNLPIALLAAACNLCENTDFIDGCTTIFFKRRKKDTQLLFLVRLMQRDRTAKIPQYHSHQNPLILAYGGVISNQTCQVEFQSYFPFFSPEYLLPQSLHWTFDLSNLVANCQQSWCLELVGRHKRDAPKEMLQTWYQSLDSTSRGKCHRVSARLRLKELLAKPSIFFAFPSLYRIDKSYLFSYFIFSAGFLNTFPRSKGAPGEHGLAIDAGLGYRYLTNPVSQLLVLFPFTEHFIDRLFSPITAYYYNELFKILSTEWFIYRKQIKLALLPCILWVMKKLWWKPRVEDSSRQPITDWPEMKQELRKMFLPCNVQWLARD >KJB81320 pep chromosome:Graimondii2_0_v6:13:37511455:37512152:1 gene:B456_013G139100 transcript:KJB81320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLLLLACYVGLCNGSSLLPFMDPGSGSLLLADPFRVLEQIPLTLERDESLALSPARVDWKETAEGHTIMLDVPGLKKEELKIEVEENRVLRVSGERKREEEKKGDHWHRVERSYGKFWRQFRLPENVDLDTLKAKLEDGVLTLSLAKLSPDKIKGPRVVDIAGGEANEAPKLEGNDAKQEL >KJB80810 pep chromosome:Graimondii2_0_v6:13:28816959:28817090:-1 gene:B456_013G116300 transcript:KJB80810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRYFGVLGIKEQYQFISNLAVKLYCGDDTVGEVLRKNSSTPG >KJB81541 pep chromosome:Graimondii2_0_v6:13:40918739:40919745:1 gene:B456_013G149300 transcript:KJB81541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAIATFSSPPAVTTLNNTYSVSRSKKTVTVRNSAQTENKVVVAAAAAGSLYEILRVERTASFNEIKTAYRSLAKVFHPDAMGSSSDGRDFIEIRNAYATLSDPTARAMYDMSLAPRWMRVRTRVYPTRRWETDQCW >KJB81456 pep chromosome:Graimondii2_0_v6:13:40278860:40281008:-1 gene:B456_013G146600 transcript:KJB81456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKAVLIGINYPGTKAELKGCINDVKRMYKCLIERYGFSEEDITVLIDTDNSYDQPTGKNIRRALNDLVRSAEPGDFLFVHYSGHGTRLPAETGDDDDTGYDECIVPCDMNLITDDDFRELVDKVPKGSRITIVSDSCHSGGLIDEAKEQIGDSSKPQGSEPESESGSSGFRSFLHHTVQDAFESRGIHVPSRLRHRDHHHDKEEVDDRGVNEDYDDSGYVKSRSLPLSTLIEMLKQKTGKDDIDVGKLRPTLFDMFGEDASPKVKKFMNIIMTKLQGSGDDGEGGGFMGKVGNLALQFLKQKLDDDEGYGKPALETEVSSKQEAYAGAGKHSLPDSGILISGCQTDQTSADASPSGNASKAYGALSNAIQTIIADSDGSITNKELVLKARQMLKKQGFTQQPGLYCCDHHVRAPFVCEF >KJB83134 pep chromosome:Graimondii2_0_v6:13:54963344:54964213:1 gene:B456_013G231100 transcript:KJB83134 gene_biotype:protein_coding transcript_biotype:protein_coding description:DVL10 [Source:Projected from Arabidopsis thaliana (AT4G13395) UniProtKB/TrEMBL;Acc:Q6IM91] MSAIPNFLSSSKSTLRCCSKSQNPREKPSFKTRCSSMAKQQKTRFYILRRCITMLLCWHEPS >KJB80841 pep chromosome:Graimondii2_0_v6:13:29011118:29011447:1 gene:B456_013G117400 transcript:KJB80841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYVDTGYQYEIGERGGDGDEKGQFIHAVIESRKSRRVDGRIEGKDGEVMVLEWSPLEGSPTVNNRWRNRGLDRVVNDGWFLP >KJB82711 pep chromosome:Graimondii2_0_v6:13:52190583:52191240:-1 gene:B456_013G210200 transcript:KJB82711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKKIKLAYITNDSARKTTYKKRSKGLVKKVPFAIINSPDFGSQAEVWPSLEDARRLLSEFKQLPLWKQNNKMLNQESFLEQSLAKDTQQLWKLQEENYRKELNKVMFESLSGNGILQSLNTMDLNEVGRLVKQNLTDIDDRIRVLTKASRS >KJB83220 pep chromosome:Graimondii2_0_v6:13:55398165:55401632:-1 gene:B456_013G236000 transcript:KJB83220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLFQFILVPFVILNFVNNVNAGITSRFVREEWPSVDIPLDHEVFAVPKGYNAPQQVHITQGNYDGNAVIISWITFDEPGSSKVQYGKSDKNYEFSAEGKMTNYTFYKYNSGYIHHVLVDGLEYDTKYYYKTGDGDSAREFWFQTPPMIGPDVPYKFGIIGDLGQTYNSLSTLEHYMESGAQSVLFVGDLSYADRYKYNDVGIRWDSWGRFVEKSTAYQPWIWSAGNHEIEYMPYMNEVTPFKSYLHRYPTPYLASKSSSPMWYAIRRASAHIIVLSSYSPFVKYTPQWKWLEEELNRVDRKKTPWLIVLVHVPIYNSNEAHFMEGESMRAVFEEWFIHHKVDVIFAGHVHAYERSYRISNIRYNVSSGERFPVPDESAPVYITVGDGGNQEGLAGKFRDPQPDYSAFREASYGHSTLEIMNRTHAVYHWNRNDDGKKVAIDSFVLNNQYWSSNVRERKLKRHYLTGMRMIAS >KJB83219 pep chromosome:Graimondii2_0_v6:13:55398165:55401304:-1 gene:B456_013G236000 transcript:KJB83219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLFQFILVPFVILNFVNNVNAGITSRFVREEWPSVDIPLDHEVFAVPKGYNAPQQVHITQGNYDGNAVIISWITFDEPGSSKVQYGKSDKNYEFSAEGKMTNYTFYKYNSGYIHHVLVDGLEYDTKYYYKTGDGDSAREFWFQTPPMIGPDVPYKFGIIGDLGQTYNSLSTLEHYMESGAQSVLFVGDLSYADRYKYNDVGIRWDSWGRFVEKSTAYQPWIWSAGNHEIEYMPYMNEVTPFKSYLHRYPTPYLASKSSSPMWYAIRRASAHIIVLSSYSPFVKYTPQWKWLEEELNRVDRKKTPWLIVLVHVPIYNSNEAHFMEGESMRAVFEEWFIHHKVDVIFAGHVHAYERSYRISNIRYNVSSGERFPVPDESAPVYITVGDGGNQEGLAGKFRDPQPDYSAFREASYGHSTLEIMNRTHAVYHWNRNDDGKKVAIDSFVLNNQYWSSNVRERKLKRHYLTGMRMIAS >KJB83221 pep chromosome:Graimondii2_0_v6:13:55398165:55401761:-1 gene:B456_013G236000 transcript:KJB83221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLFQFILVPFVILNFVNNVNAGITSRFVREEWPSVDIPLDHEVFAVPKGYNAPQQVHITQGNYDGNAVIISWITFDEPGSSKVQYGKSDKNYEFSAEGKMTNYTFYKYNSGYIHHVLVDGLEYDTKYYYKTGDGDSAREFWFQTPPMIGPDVPYKFGIIGDLGQTYNSLSTLEHYMESGAQSVLFVGDLSYADRYKYNDVGIRWDSWGRFVEKSTAYQPWIWSAGNHEIEYMPYMNEVTPFKSYLHRYPTPYLASKSSSPMWYAIRRASAHIIVLSSYSPFVKYTPQWKWLEEELNRVDRKKTPWLIVLVHVPIYNSNEAHFMEGESMRAVFEEWFIHHKVDYRISNIRYNVSSGERFPVPDESAPVYITVGDGGNQEGLAGKFRDPQPDYSAFREASYGHSTLEIMNRTHAVYHWNRNDDGKKVAIDSFVLNNQYWSSNVRERKLKRHYLTGMRMIAS >KJB81352 pep chromosome:Graimondii2_0_v6:13:37852781:37854067:1 gene:B456_013G140600 transcript:KJB81352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLQVLIAKKCRFAYYVHYLSHRLQLALVVASKEQAHDQLRDIEAFNIVELMDSGELETGKGKNQVGTLQYIIKSDNLTQRSEVNGIYDAMTSVEFVFILHFMIEMLGIIDDLCKALQYKSQDILNVMQLLLVFSSALVPRDNYKAFRAEDICKLMNDFYLNDFTEQEKLHMKIQLDHFQLDAYQSTELQKASTIVELCQVLAKTNKSSIYPILDRIIHLVPIERAFSAMKIMTTRLHNIMEDDFLSTYLVGHIEKEIAQEFSADSIIGEFYLMKKQRVQFRIPSIEKYD >KJB79702 pep chromosome:Graimondii2_0_v6:13:6920135:6926533:-1 gene:B456_013G062900 transcript:KJB79702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLISVCLWLILALHLVLRVAGNAEGDALNALKNNLADPNNLLQDWDPTDINPCQWYNITCNGENSVTRIDLGNAKLSGKLVPDLGLLSNLQYLELYSNNISGEIPEEIGNLTNLVSLDLYLNVLTGHIPATLGKLRKLRFLRLNNNSLTGQIPMALTTIDTLDVSNNQLEGDIPVNGSFSLFQPISFSNNRLNYPLPLPPPPMPSTASTSSGNSAVGAIVGVVAASVVLFSAPAIIFA >KJB79703 pep chromosome:Graimondii2_0_v6:13:6920333:6926273:-1 gene:B456_013G062900 transcript:KJB79703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLISVCLWLILALHLVLRVAGNAEGDALNALKNNLADPNNLLQDWDPTDINPCQWYNITCNGENSVTRIDLGNAKLSGKLVPDLGLLSNLQYLELYSNNISGEIPEEIGNLTNLVSLDLYLNVLTGHIPATLGKLRKLRFLRLNNNSLTGQIPMALTTIDTLQVLDVSNNQLEGDIPVNGSFSLFQPISFSNNRLNYPLPLPPPPMPSTASTSSGNSAVGAIVGVVAASVVLFSAPAIIFA >KJB79957 pep chromosome:Graimondii2_0_v6:13:8937166:8940147:1 gene:B456_013G074500 transcript:KJB79957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LIP1 MMQSRFTSVVRAISSAAKARPFSSTIDSPTPTKPDFPPTLAGLRARLAAESPTLSDFVGLQTNNLYSVEVGTKKKPLPKPKWMRESIPGGEKYVQIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPRNVAEAIASWGLDYVVITSVDRDDLADQGSGHFAETVEKLKTLKPNMLIEALVPDFRGDAGCVEKVAKSGLDVFAHNIETVEELQRVVRDHRANFKQSLDVLMMAKEYAPAGTLTKTSIMLGCGETPDQVVKTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYITPEAFEKYRALGMEMGFRYVASGPMVRSSYKAGEFYIKSMIESDRSAASS >KJB79123 pep chromosome:Graimondii2_0_v6:13:2630377:2632770:-1 gene:B456_013G034300 transcript:KJB79123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIMNAFSYFLMITLLILLIVPSSFFIDAETKEYPSFVRGPNSWRITPSSDFSFPETDISVMPVLVNGIFVCGFHCSYHGNTCQFAISIFNTSFNGDYRFPQSSFPPQVVWSANRDKPVDVQALLELTFEGKFMLKDANDTSVWSQGTVGKLVSRLNLTAEGNLMLLNKADHIVWQSFDHPTDTLVRGQRLVPGQKLKASISPDDPREGLYAFAISRGVFTAYMDLNPPQIYYSSSVEDNVEFKNKWFGSFYVGDWGSFIRLGNDGHLKAYELTESGWEGIDLLGLDQCSYPLPCGKYSLCSKEGCSCLDTVSESETTFFKPINSTSLDHGCYAVSPISCEPSVRHSFIELKGGYSQPIYSSLFSDTIITLEICKETCLKNCSCKAAIYNLGYCYFLSQVFSIEKNYSSDYYSNSAFIKVQNYPEKKRQNGAVIVGSTLGAVLVVLLICGLFFLRAEKGFEEAEEHCLDNMLGMPTRFSYEELKNITKNFSNKLGEGGFGSVFHGILPLGSDVAVKHQFNIGAVNKSFIAEVQTIGSIHHYNLVSLVGFCAESSNRLLVYEYMANGSLDRWIFNQNRDLDLGWQIRRKIILDIAKGLAYLHEECNQKIIHLDIKPQNILLDENFNAKISDFGLSKLIGKDQSRVITAMRGTPGYMAPEWLSSCITEKVDVYSFGIVVLEILCGRRNVDVSQQEEDMHLLGLFKRKQEEGQLMDLVDKCSDDMQSNAAEVVELMKVAAWCLQTEYARRPSMSTVVKLFEGSVDVTGSLNEDFLNGLTLEPVDTFPSIVLPSMLSGPR >KJB79814 pep chromosome:Graimondii2_0_v6:13:7854612:7855927:1 gene:B456_013G068000 transcript:KJB79814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLTVFFVVLFLALIGQGYCKCTLADVKIIQTQTGKTVENKPEWKATVKNDCICTQSDLKLSCDGFQTVEAIDSSLMAKTGAECLINGGQPVASSSNLSFNYAWDTSFPFKPLSSQINCS >KJB82548 pep chromosome:Graimondii2_0_v6:13:51252874:51265223:-1 gene:B456_013G201900 transcript:KJB82548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPMPSGVPRQPPPQTHNPNFQQKQESLPDNLQKWNPNKPSPLPGSAPTLPPLVRPPPSSSTSAPSQPNSRPGAPPPLVVPGPNVPPNVGSVRPFGPPSGPPSPFRSPSGPPSPFRSPSGPPSPFGPPSGPPSPFRPPSGPPSPLGTRPPPGSLPSSMGGGPVISPRGSGAPGPGGRPGAFGSSIRNGPPVGVPPPMMVSGRSPGPAPSMGPFVGSPQASHKPGPPTQSAPPFSAPLAAPFGTQTWAPGQVAPPTAAPNAAVQPPRMFGMPPPQPNQPLPAIDQSGASLEDARKINSNQIPRPTTSYSVIQYDTRQGNQANIPPPASCDYIVKDTGNCSPRYMRCTIHQIPCTADLLTNCGMELALMVQPLAPLHPSEEPIPVVDFGEGGPIRCSRCKGYINPFMRFTDHGRQFICNFCGCVGSTPHEYQCNLGPDGRRRDADERPELCRGTVEFVATKDYMMRDPMPAVYFFLIDVSMNAIQTGATAAACAAISQVIADLPEGPRTMLGIATFDSTVHFYNLKRVLHQPLMLIVPDVQDVYIPLETDVVVQLSECRKHIQQLLESIPTMFQDNSTAESAFVAAIKAAFLAIKSSGGKLLVFQSVLPSVGMIALSARDAEGRDNTSAGEKEPHKPLQPADKALKTMAIEFAECQVCVDVFITTQTYVDIASISVIPGTTGGQVYYYYPFSSISDPVKLYNDLRWNITRPQGFEAVMRVRCSQGIDVKEYHGNFCKRIPTDVDLPGIDSDKAIVVTLKHDDKLQDGSECAFQCALLYTTVYGQRRIRVSTLSLPCTRNITNLFRATDLDAQFVCSLKQVANEIPFTPLLQIREQVTNICINKLLAYRKFCITATSSGQFILPEALKLLPLYTLALTKSTGLQTDARIDDRSFWINYVSHISTPSAVCLVLPQMIAVHNLDLDKEEENGSAIPPILPLSSEFVSEEGIYLLENGVNGLIYIGSLVDSNIVRQLFGFSTVEETPTQFALQQYDNPLSKKLNDVVNEIRHQRCSYLRWTLCRKGDASGALFFSYLVEDATPKDGPSYSEFLAHLHRSIQAKLS >KJB83680 pep chromosome:Graimondii2_0_v6:13:57377350:57378888:-1 gene:B456_013G258100 transcript:KJB83680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFLQKRENDRSPLNLFECWGFQFNENERHCFFTGKFLLKPLPIYIAEAMDCQCCSSGIGECHSGRMTKENKMLQLHMKTPTSSTSSSLACTCLSLSGNPETIGNANNGLIFMMKTTLLSPTTLIALHEKSLEWKVYLVNH >KJB78719 pep chromosome:Graimondii2_0_v6:13:980865:984893:-1 gene:B456_013G014400 transcript:KJB78719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMESLIGLVNRIQRACTVLGDYGGGDNSFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTDEGSQEYAEFLHLPKRRFTDFGAVRNEIQEETDRITGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAIEGQPETIVDDIETMVRSYVEKPNCIILAISPANQDIATSDAMKLAREVDPSGDRTFGVLTKLDLMDKGTNALDVLEGRSYRLQQPWVGIVNPPEQGYRRLIEGALNYFRGPAEASVDAVHFVLKELVRKSIGETQELKRFPTLQADIAAAANEALERFRDESKKTVVRLVDMESSYLTVDFFRKLPQEVENKGGNPQAPVTDRYGEGHFRRIGSNVSSYVGMVSDTLKNTIPKAVVFCQVKEAKQSLLTRFYTQLGKKEGKQLLQLLDEDPALMERRQQCAKRLELYKAARDEIDSVSWTR >KJB78720 pep chromosome:Graimondii2_0_v6:13:980865:984977:-1 gene:B456_013G014400 transcript:KJB78720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMESLIGLVNRIQRACTVLGDYGGGDNSFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTDEGSQEYAEFLHLPKRRFTDFGAVRNEIQEETDRITGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAIEGQPETIVDDIETMVRSYVEKPNCIILAISPANQDIATSDAMKLAREVDPSGDRTFGVLTKLDLMDKGTNALDVLEGRSYRLQQPWVGIVNRSQADINKNVDMIVARRKEREYFATSPDYGHLASKMGSEYLAKLLSQHLESVIRSRIPSITSSINKTIDELESEMDHLGRPIALDAGAQLYTVLEVCRAFERIFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDRHLSLQNVRRVVSEADGYQPHLIAPEQGYRRLIEGALNYFRGPAEASVDAVHFVLKELVRKSIGETQELKRFPTLQADIAAAANEALERFRDESKKTVVRLVDMESSYLTVDFFRKLPQEVENKGGNPQAPVTDRYGEGHFRRIGSNVSSYVGMVSDTLKNTIPKAVVFCQVKEAKQSLLTRFYTQLGKKEGKQLLQLLDEDPALMERRQQCAKRLELYKAARDEIDSVSWTR >KJB83473 pep chromosome:Graimondii2_0_v6:13:56764853:56765339:1 gene:B456_013G249600 transcript:KJB83473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIHRALFAVFALILFNLLICSPLSLHCWRNTHPRVRNQPRRLLGSFASISANLNKLSEAIQDPAETSLRKAPPSDSNPNQNK >KJB78444 pep chromosome:Graimondii2_0_v6:13:583129:583536:-1 gene:B456_013G008700 transcript:KJB78444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNEKGIHIDALNKNLDKMKLKYDMLMTDKDCVTATVNNLVAEVRSRDLQIRQMEDHLQQLSKEHMQLTKNLEDELKLKIKDLEKEVDKQRNMILDVSEEKREVIRQLTFSLDHYRSGYKEFQTFLKHKRHAVIAL >KJB80380 pep chromosome:Graimondii2_0_v6:13:15496796:15498517:1 gene:B456_013G094400 transcript:KJB80380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSFIPHLVFGVLVVLGVSLVNAEDPCISPGLLLMVLALFLEFPGNDGSMSEEDEPSYNEDGKW >KJB79478 pep chromosome:Graimondii2_0_v6:13:4884056:4886061:-1 gene:B456_013G052100 transcript:KJB79478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEASNRYGDDGSSKRTGTWVTASAHIITAVIGSGVLSLSWAIAQLGWIAGPMALVIFSIITWFTSTLLADCCRDPVSGKRCSCYMDAVKSNLGGISNKFCGLAQYVNLVGITIGYSITSAISMAAIERSGCFHKEGHNVSCHVKNNKFMIIFGIIEIILSQIPNFHELSWLSAVAAVMSFGYSTIGLGLSIARAVGGNQARTSLTGTTVGVDVTSWQKTWNCFEAIGDIAFAFAFSTVLVEIQDTLKPNPPENESMKKATLVGVSVTTVFYVSCGALGYAAFGNNAPGNFLTGFGFYEPYWLIDMANVFIIVHLIGAYQVFCQPIFKQVEDRCFNRWPNSSFIKESPPIKLPLFGVYSFSVFRVVWRTGYVILTIVLAMLLPFFNAILGLLGAASFWPLTVYFPIQMHISREQIRAFSWKWIWLNFLVLLCLIVSVLAAAGSIATIVKDLSTYEPFNSVS >KJB83693 pep chromosome:Graimondii2_0_v6:13:57426395:57430664:1 gene:B456_013G258900 transcript:KJB83693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFLRLRKPYYVESTINCSSSSDQKLVNLSFGINETRNQTKKRQAKEHHGRRSCLDSCCWVTGYLCTTWWLLLFLYHCCLPFTVGHEVPELMPAARLKREGLKGIHPVVLVPGIVTGGLELWEGKPCADGLFRKRLWGGGGFTQLLKRPLCWLEHLSLHNETGLDPPGIRVRPVPGLFGADYSAPGYFVWDVLVKNLAKIGYEGKNLHMAAYDWRLSFQNTEVRDRALSKLKSKIELMYRANGNKKVVVVPHSMGVAYFLHFLKWVETPPPMGGGGGPGWCAEHIKAIVNIGPSFLGVPKAVSNILSAEGKDVAYFRAMAPGVLDSGTLGLRALEHVMRVSRTWDSVVSLMPKGGETIWGNMDWSPEDEHVCDFSKKRYFRFSPSDNNVNNSDAKQVFRVKDPVKYGRIISFGKAASVLHSSQLPTTVLKEILHMSASRNFNSSCWEAWTEYDEMSRESIRKIGAADKAYTATTLFDLLRFVAPKMMNRAEAHFSHGIADNLEDPKYNHYKYWSNPLEMKLPDAPNMEIYCSYGVGIPTERSYVYKLSPSNKCKHIPYQIDTSVDGEDGSCLKSGVYFADGDESVPVLSAGFMCAKGWKGRTRFNPSGINTYVREYQSKPPTSGIKSTAHVDIMGNIALIEDILRVAAGATGEEIGGDKIYSDILRMSERINLRL >KJB80728 pep chromosome:Graimondii2_0_v6:13:26827723:26830572:1 gene:B456_013G112400 transcript:KJB80728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSATASSDPELDLIKQIRTYEVAIAELGSLSSSRTVYQKNGHLFFRTSIQKATSSEEKQLDQAKAKLEKLNSQ >KJB80656 pep chromosome:Graimondii2_0_v6:13:24113072:24114658:1 gene:B456_013G109100 transcript:KJB80656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHPPLKQSSITPFPLLFPSTQFSSPNNMLHSHLSLADHIGRRLNDSCGHAMNHVQNTTQNYANYMSLNTLEGTEYDSGASTPPLWRNSPSRSPPHRPNIDYRCLSPSSKAEAIARGQRELMEMVSKMPESCYELSLRDLVEHQPLAVESKQESFAERRGLINGEKGNKKKKQKNPKPQIKRSGSLDNGGFLLKMVLPISLGTNKKKNKKKNDCDANQNCKLSPKPTVLDASGKSVDKEWWKKRSGSNESESGGSTINSGSTRSIRSSSTSSSSSSCRSISNSSRSHKRGGCLAFILARKAKSSR >KJB82234 pep chromosome:Graimondii2_0_v6:13:48204132:48208056:-1 gene:B456_013G183500 transcript:KJB82234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLHGSVPAACADTAANGNTVTPFLSKTFDVVDDPSTDSVVSWSSDNNSFVVWKVPEFARDLLPMYFKHNNFSSFVRQLNTYGFKKVDPDRWEFANEGFLRGKFGLQEEVEGLKRDKNVLMQELVRVRQQQQATDNQLQAYGQRVQMMEQRQQQMMSFLAKVMQTPGFLNQLLQQQNECNRQLTGANKKRRLHRQDEENLTGENGAVSPNGQTVKFQPLLNEHQMMEVNTSPRREHGAPPSSALHSSSSSSEIAEVILSEVSPASWQSYLQAESGVPDSSLSTAAAQLTTETSVHKSLKDAIFGSVPQMLGFVPDNIVGPESRNAEYLDPMSVVSNATMPTEADESCAGQDMEIMLDGSPKLPAINDTFWEHFLTGSPLTGDTDEINSSSLENGAYGG >KJB80047 pep chromosome:Graimondii2_0_v6:13:10320536:10322224:1 gene:B456_013G078300 transcript:KJB80047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAGYSYSWFLLSFFVLIPTLEAHIAEYDEYWKARELEATENLNKAYFPNPEEVVQHYNDHFARTMLEFNSTRRALKGKKKGPCEITNPVDSCWRCDPNWEKNRKRLADCAPGFARGTIGGKHGKFYVVTDPSDDIANPKPGTLRHAVTQLRPLWITFKKSMIIKLEQELIVTSDKTIDARGANVHICKGAGITIQFARNVIIHGLHIHHIKPGNGGMIRDTENHIGLRTASDGDGISLFGATNIWLDHLSLYDCFDGLIDVIQGSTAVTISNCHFTDHTDVMLFGASDSYVADEKMQITVALNHFGKGLVGRMPRCRLGFIHVVNNDYTHWFMYAIGGSSHPTIISQGNKYSASGSYVTKEVTSRGYLPPEQWKKWNWVSQGDQFKNGAYFTPSGDPNASKLFGANKMMPFKPGRLVPKLTRYAGTLHCRTGRPC >KJB83828 pep chromosome:Graimondii2_0_v6:13:57906440:57909956:-1 gene:B456_013G266300 transcript:KJB83828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFEEMGICGDMDFFGAFGEKDVTAASQVEMDAAVDDDYSDEEIDVDELERRVWRDKMLLKRLKDQSKGKDGVDTAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQADNAIPGNNDGCNLIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGTEEWWPQLGLPKDQGPPSYKKPHDLKKAWKVGVLTAVIKHISPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARELYPDSCPPLSSSGGSGSLVINDCNEYDVEGVVDEPNFDVQECKPGNLNRVIQQPSFPVNLDFLRKRKPSDDINVEHRIYTCEFLQCPYSELQLGFLDRTARDNHQLTCPHRNSSAEFGGSNFNINDVKPVIFPPPFAQPKPAAPSITSVSTPFDLSGLGVPEDGQKMISDLMSIYDNNVQANKNVSPIKNPVTEGQNLLQLKTQQQQDEFFRGQGLSFFNESNIPANNQILPQGEAQFDRLKTSNSPFETTYNNNNSFKLMFGSPFDLSSFDYNDDLQAVGVDTMPKQDVSIWF >KJB83827 pep chromosome:Graimondii2_0_v6:13:57906516:57909956:-1 gene:B456_013G266300 transcript:KJB83827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFEEMGICGDMDFFGAFGEKDVTAASQVEMDAAVDDDYSDEEIDVDELERRVWRDKMLLKRLKDQSKGKDGVDTAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQADNAIPGNNDGCNLIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGTEEWWPQLGLPKDQGPPSYKKPHDLKKAWKVGVLTAVIKHISPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARELYPDSCPPLSSSGGSGSLVINDCNEYDVEGVVDEPNFDVQECKPGNLNRVIQQPSFPVNLDFLRKRKPSDDINVEHRIYTCEFLQCPYSELQLGFLDRTARDNHQLTCPHRNSSAEFGGSNFNINDVKPVIFPPPFAQPKPAAPSITSVSTPFDLSGLGVPEDGQKMISDLMSIYDNNVQANKNVSPIKNPVTEGQNLLQLKTQQQQDEFFRGQGLSFFNESNIPANNQILPQGEAQFDRLKTSNSPFETTYNNNNSFKLMFGSPFDLSSFDYNDDLQAVGVDTMPKQDVSIWF >KJB83162 pep chromosome:Graimondii2_0_v6:13:55074823:55077868:1 gene:B456_013G232200 transcript:KJB83162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETFLFNIAERVLAKIGNLSVDEVRLAFNVKTDLKKLEDTMISIKAVLLDAERQQHQNEKLCLCMWKLGDIFYDAEDVIDDFKCEALWKQDAINHPDINNLKVRLLGSCCLPLSFYLKMSHKIKDINGRLGELATEWKSFDLRQCSDNRHVFRRETISFVDSSDVIGRDEDKKNIISMLMKPSEARNVPVIPIVGIGGLGKTTLAQLVYNDDRVTSLFPLKIWICVSEEFDLSRLLRLIIQSVNTGERCDDSTLDALQARLRSLLTDKKFLLVLDDVWNENKAKWVELRNLLRSIDGLPQSKIIVTTRSLKVSSIMSSICPYELKGLSFEDCLTLFTKWAFNDGDERHYPNLIRIGEEIVKKCKGVPLAVRTLGSLLFQKTDESDWIYIRESEIWRVEQHENDILPVLKLSYNHLPSHLQRCLAFLSLYKKDEIYFSYRVINLWMANGLLEHPKQNQEWEDVGKRYLNELLSRCLIQMDQDYGLIFTFKMHDLVHDLALDVSQKECKTVNSETETVDENVRHLLLCDEKLVGVPRVLEEMKNVRTVIIQDASKESKTTHESLINLCLSNFKYLRALELRKSPLMALPNSIGTLKHLRDLDLVGCSSIRELPRSFDKLRSLQSLNLGGTSLKQLPDSVQRLIELRHLVITIKATHLKEIRAGCWTSLQYLELRSCMELKCLPEGMQYLKSLRTLVLGGCDKLVSLPRSLKLLTKLEHLYINFCASMNLKMEPEEEEDKDLQLILKTLSLFGLDALRDLPRLLLQGSSCTLQQLRIRGCPNLSVLPAWLPNLTSLQELEIVNCIKLSAQPEGIDRLSNLRELTIHLCPELSKRYRENGGEDWHKIAHIQKVVITDEE >KJB83161 pep chromosome:Graimondii2_0_v6:13:55052290:55057875:1 gene:B456_013G232100 transcript:KJB83161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIKAVLLDAERQQHQNEKLRLCMWKLRNIFYDAEDVIDNFKCEALRKQDAISHPVINNLKVRVLGSCCLPLSFSSKMSHKIKDINRRLGELATEWNSFALSQCNDSRHVFRRETISFVDSSDVIGRDEDKENIISMLMKPSEDQNVPVIPIVGLGGLGKTTLDQLAFNDDRVTSLFPLKIWICVSEEFDLSRLLKLIIQSVNKEERCDDSTLDALQARLRSLLNDKKFLLVLDDVWNENKAKWVELRNLLRSTDGFSPSKIIVTTRTLKVASIMSSIPPYELKGLRLEDCLTLFTKWAFNDGDERHYPNLIRIGEEIVKKCKGVPLAVRTLGSLLFQKTDESDWIYIGESEIWRLEQHENDILPVLKLSYNHLPSHLQRCLAFLSLYKKDEIYSSDRVICLWMANGLLEHPKQNQEWEDVGKRYLNELQSRCLIEMGHDFGLVFTFKMHDLVHDLALDVSQKECKTVNSETETDDENVRHLLLCDEKLVGVPRVLEEMKNVRTVIIQDALKGSRTTHESLINLCVSNFKYLRALEIRQSLLTALPNSIGTLKHLRELDLVGCWIIDELPRSFDKLRSLQSLNLGDTGLKQLPDSVQRLIELRHLVITITATHLKEIRAGCWTSLQYLELRYCMELECLPEGMQYLKSLRTLVLGGCVKLVSLPRSLKFLTKLEHLEIVMCHRINLKMEPEEEEDKDLQLSLKTLSLIGLLALGDLPRLLLQGSSTLQ >KJB83424 pep chromosome:Graimondii2_0_v6:13:56591817:56594114:-1 gene:B456_013G247200 transcript:KJB83424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVSSPFAKPSDVKNGLQSVTVKSIHFDEDEAKTLVRSISYNSLEPEPLILISVGSKKMVSEGSVSFKGIDLEQSVSAKSPPLDKPENVPIKAFIETPKQSPVFDPSNPQHEAAIRLQKVYKSFRTRRKLADCAVLVEQTWWKLLDFAELKRSSISFFDIGKHETAISRWSRARTRAAKVGKGLSKNEKAQKLALQHWLEAIDPRHRYGHNLHFYYHQWLHSQSKEPFFYWLDIGEGKEVNIEKCPRSKLLLQCIKYLSPIERKPYEVMVVDGKFVYKQTGKPVHTIEETGDAKWIFVLSTSKILYVGVKKKGTFQHSSFLAGGATIAAGRLVVDNGILKAVWPHSGHYRPLEENFNDFISFLRENNVDLNNVKMTPVDEEGNLADKQRSNNHLRCNSSEDDFNFEADEISVKDSIDQIR >KJB80909 pep chromosome:Graimondii2_0_v6:13:30711232:30712056:1 gene:B456_013G121100 transcript:KJB80909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPDSRYPYGLKLMINDYPYAIDGLEIWAAIETWVTEYYSFYYPSDETVKNDTEIQSWWSEVKNEGHDNLRKEPWWPEMNTLAEITQAYTIIIWIASAFHVAVNFGQYLYIGYLPNRPTVSHRFMPEPGTKEYDKLENNPDLAFLKTITAQFQTLLGVSLIEVLSRHATDEIYLGKRDTAEWTIDDEPLATFERFGKKLVEIDSRIMETNNDINLKNRVGSMKVPYTLLYPNTSDYSREGGLTGKGIPNSISI >KJB80466 pep chromosome:Graimondii2_0_v6:13:17793975:17794339:-1 gene:B456_013G098900 transcript:KJB80466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICIGVEMVSTIPTLVFKGHPPIFHAFTICIIFAFSAAFCALMILNYPKIARFCGYYSMASALSLLIWVVSS >KJB82136 pep chromosome:Graimondii2_0_v6:13:47183386:47187188:-1 gene:B456_013G178300 transcript:KJB82136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKRRAADNSRGGSSTDHLHTLHQRLKHALSLGTTRVSDDKERKWKCTDLEIQKHVVRSLAAFLDSSSGHASTHRLLKDSLADIVEALVWILHCKSEAIVGMAVNVVVKLVSSNSSMMQLYLTDLINPLSSLLCSNNLEVATSCATALNMVLSNLSVKREKQVWEIVKEAKTLIQIIRIIREFPGGTQPIENFQEMVSLLYTILWRWPPSRYFVWKDTILIKVLEDSRIKSHLSTKVAVLKLYSALALCNKVAKELLGNGETILTMMVSCMDVSEPLAVRIEGFRLAQHLVADEQRCIKMTSLCSGPLIKAIIGGMRVWRLGSGKGVNDLVSLLDEACRLALITRWPGEHHNHFWEQGIDKVLLDLLLENFDKQASEHPLTPQAQISIAQQGLDTNFLIALRPYIWEIFGWLAVHCRKDFRPSADRNELYIDMLITCACLSFVEAICKGCQISENDDTSRSESSSRAVLVMMHSSSTYIASKVRLILSGVLEIKGNECLKRLLYLLDYGSSANNFGSANIPKTVVELVCLICYSGLPEYQKNVTRGDGIRTLVTSIRRCLSNEVCTSRRSFALHFYHNVFYERTCCWMTAEEWEGKDALLFYSLWGLAELVQHSSDIDHSHIKSNLIKTVQEVLDNISAPGPRWLAANILSYFGVCGFPNKHDKGFGRALEDKEHTDLQLLFANGESVSVHKIILAVRCPSLLPPEQFPQSAETTDNFLVKDVPRKCCPMLQKEVRLSARVDQQALLKLLDYVYFGYVEAGEDLARKLKTLAKSCNMQPLFLMLCRKIPKWGTPIPSSDFTDALGPLGFQFACVFLCLSLCLVMPLLHYGYNLGS >KJB82138 pep chromosome:Graimondii2_0_v6:13:47183386:47187188:-1 gene:B456_013G178300 transcript:KJB82138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKRRAADNSRGGSSTDHLHTLHQRLKHALSLGTTRVSDDKERKWKCTDLEIQKHVVRSLAAFLDSSSGHASTHRLLKDSLADIVEALVWILHCKSEAIVGMAVNVVVKLVSSNSSMMQLYLTDLINPLSSLLCSNNLEVATSCATALNMVLSNLSVKREKQVWEIVKEAKTLIQIIRIIREFPGGTQPIENFQEMVSLLYTILWRWPPSRYFVWKDTILIKVLEDSRIKSHLSTKVAVLKLYSALALCNKVAKELLGNGETILTMMVSCMDVSEPLAVRIEGFRLAQHLVADEQRCIKMTSLCSGPLIKAIIGGMRVWRLGSGKGVNDLVSLLDEACRLALITRWPGEHHNHFWEQGIDKVLLDLLLENFDKQASEHPLTPQAQISIAQQGLDTNFLIALRPYIWEIFGWLAVHCRKDFRPSADRNELYIDMLITCACLSFVEAICKGCQISENDDTSRSESSSRAVLVMMHSSSTYIASKVRLILSGVLEIKGNECLKRLLYLLDYGSSANNFGSANIPKTVVELVCLICYSGLPEYQKNVTRGDGIRTLVTSIRRCLSNEVCTSRRSFALHFYHNVFYERTCCWMTAEEWEGKDALLFYSLWGLAELVQHSSDIDHSHIKSNLIKTVQEVLDNISAPGPRWLAANILSYFGVCGFPNKHDKGFGRALEDKEHTDLQLLFANGESVSVHKIILAVRCPSLLPPEQFPQSAETTDNFLVKDVPRKCCPMLQKEVRLSARVDQQALLKLLDYVYFGYVEAGEDLARKLKTLAKSCNMQPLFLMLCRKIPKWGTPIPSSDFTDALGPLGFQFACVFLCLSLCLVMPLLHYGYNLGS >KJB82139 pep chromosome:Graimondii2_0_v6:13:47181302:47187326:-1 gene:B456_013G178300 transcript:KJB82139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKRRAADNSRGGSSTDHLHTLHQRLKHALSLGTTRVSDDKERKWKCTDLEIQKHVVRSLAAFLDSSSGHASTHRLLKDSLADIVEALVWILHCKSEAIVGMAVNVVVKLVSSNSSMMQLYLTDLINPLSSLLCSNNLEVATSCATALNMVLSNLSVKREKQVWEIVKEAKTLIQIIRIIREFPGGTQPIENFQEMVSLLYTILWRWPPSRYFVWKDTILIKVLEDSRIKSHLSTKVAVLKLYSALALCNKVAKELLGNGETILTMMVSCMDVSEPLAVRIEGFRLAQHLVADEQRCIKMTSLCSGPLIKAIIGGMRVWRLGSGKGVNDLVSLLDEACRLALITRWPGEHHNHFWEQGIDKVLLDLLLENFDKQASEHPLTPQAQISIAQQGLDTNFLIALRPYIWEIFGWLAVHCRKDFRPSADRNELYIDMLITCACLSFVEAICKGCQISENDDTSRSESSSRAVLVMMHSSSTYIASKVRLILSGVLEIKGNECLKRLLYLLDYGSSANNFGSANIPKTVVELVCLICYSGLPEYQKNVTRGDGIRTLVTSIRRCLSNEVCTSRRSFALHFYHNVFYERTCCWMTAEEWEGKDALLFYSLWGLAELVQHSSDIDHSHIKSNLIKTVQEVLDNISAPGPRWLAANILSYFGVCGFPNKHDKGFGRALEDKEHTDLQLLFANGESVSVHKIILAVRCPSLLPPEQFPQSAETTDNFLVKDVPRKCCPMLQKEVRLSARVDQQALLKLLDYVYFGYVEAGEDLARKLKTLAKSCNMQPLFLMLCRKIPKWGTPIPSSDFTDALGPLGFQFADIILEAEETEKMPWACSFCSLLVPHMHAHKFILQSRCKYLQALFLSGMQESHSQSIKVPVSWEALIKLVRLIYSRKLPDPPFGCLWDNMDTKERLYELKPYVEVYWLAEFWILEDVQEACFTTIISCLDSDRQLALEVMKLAAGFSLWKLAEVAADYMAPIYHKLRDSGVLEQLDELLIELVRDASVRLSQGSGGFSG >KJB82137 pep chromosome:Graimondii2_0_v6:13:47181822:47187188:-1 gene:B456_013G178300 transcript:KJB82137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKRRAADNSRGGSSTDHLHTLHQRLKHALSLGTTRVSDDKERKWKCTDLEIQKHVVRSLAAFLDSSSGHASTHRLLKDSLADIVEALVWILHCKSEAIVGMAVNVVVKLVSSNSSMMQLYLTDLINPLSSLLCSNNLEVATSCATALNMVLSNLSVKREKQVWEIVKEAKTLIQIIRIIREFPGGTQPIENFQEMVSLLYTILWRWPPSRYFVWKDTILIKVLEDSRIKSHLSTKVAVLKLYSALALCNKVAKELLGNGETILTMMVSCMDVSEPLAVRIEGFRLAQHLVADEQRCIKMTSLCSGPLIKAIIGGMRVWRLGSGKGVNDLVSLLDEACRLALITRWPGEHHNHFWEQGIDKVLLDLLLENFDKQASEHPLTPQAQISIAQQGLDTNFLIALRPYIWEIFGWLAVHCRKDFRPSADRNELYIDMLITCACLSFVEAICKGCQISENDDTSRSESSSRAVLVMMHSSSTYIASKVRLILSGVLEIKGNECLKRLLYLLDYGSSANNFGSANIPKTVVELVCLICYSGLPEYQKNVTRGDGIRTLVTSIRRCLSNEVCTSRRSFALHFYHNVFYERTCCWMTAEEWEGKDALLFYSLWGLAELVQHSSDIDHSHIKSNLIKTVQEVLDNISAPGPRWLAANILSYFGVCGFPNKHDKGFGRALEDKEHTDLQLLFANGESVSVHKIILAVRCPSLLPPEQFPQSAETTDNFLVKDVPRKCCPMLQKEVRLSARVDQQALLKLLDYVYFGYVEAGEDLARKLKTLGYNLGS >KJB82135 pep chromosome:Graimondii2_0_v6:13:47181302:47187326:-1 gene:B456_013G178300 transcript:KJB82135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKRRAADNSRGGSSTDHLHTLHQRLKHALSLGTTRVSDDKERKWKCTDLEIQKHVVRSLAAFLDSSSGHASTHRLLKDSLADIVEALVWILHCKSEAIVGMAVNVVVKLVSSNSSMMQLYLTDLINPLSSLLCSNNLEVATSCATALNMVLSNLSVKREKQVWEIVKEAKTLIQIIRIIREFPGGTQPIENFQEMVSLLYTILWRWPPSRYFVWKDTILIKVLEDSRIKSHLSTKVAVLKLYSALALCNKVAKELLGNGETILTMMVSCMDVSEPLAVRIEGFRLAQHLVADEQRCIKMTSLCSGPLIKAIIGGMRVWRLGSGKGVNDLVSLLDEACRLALITRWPGEHHNHFWEQGIDKVLLDLLLENFDKQASEHPLTPQAQISIAQQGLDTNFLIALRPYIWEIFGWLAVHCRKDFRPSADRNELYIDMLITCACLSFVEAICKGCQISENDDTSRSESSSRAVLVMMHSSSTYIASKVRLILSGVLEIKGNECLKRLLYLLDYGSSANNFGSANIPKTVVELVCLICYSGLPEYQKNVTRGDGIRTLVTSIRRCLSNEVCTSRRSFALHFYHNVFYERTCCWMTAEEWEGKDALLFYSLWGLAELVQHSSDIDHSHIKSNLIKTVQEVLDNISAPGPRWLAANILSYFGVCGFPNKHDKGFGRALEDKEHTDLQLLFANGESVSVHKIILAVRCPSLLPPEQFPQSAETTDNFLVKDVPRKCCPMLQKEVRLSARVDQQALLKLLDYVYFGYVEAGEDLARKLKTLGYNLGS >KJB79179 pep chromosome:Graimondii2_0_v6:13:2860760:2864768:1 gene:B456_013G036600 transcript:KJB79179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYSKRSRKARGKDVEMEDFDDSEDEELLVNSEVEEEAEGEEDDDEEAIGDDDDDDDDDDDDELEDNDDDDDGEDEDGEEEDEEEEVDNDGEMEELEKEYKDLHNQEQDILRNLKHHKDEDVKKGQAVKNQKALWDKTLEFRFLLQKAFSSSNRLPRDPVRSSFCTSDEEVSAAYSDLITASKKTLDSLLELGEALLENNPSIAQSLEGNAGQSSKKLSGDSNLDIEDDKEWLRISQMNRRISAFRDKAVDKWQRKTEVTTGAAAIKSKLQAFNQNISEQVAAYMRDPTRMIKQMQQRRSTIGIFGAVTEVANNANGEEAHPEGDPELLDDSEFYQQLLKEFFETVDPTSSETAFYALKRLQTKKRKIVDRRASKSRKIRYDVHEKIVNFMAPEPMNLPPMAPKLFENLFGLKTQ >KJB79201 pep chromosome:Graimondii2_0_v6:13:2999911:3002816:1 gene:B456_013G037800 transcript:KJB79201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKELQFEDKLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFENLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSEKKVRAWCALKGNIPYFETSAKEGVNVEEAFQCIAKNALKSGEEEEIYLPDTIDVVSSSNQPRSTGCEC >KJB81616 pep chromosome:Graimondii2_0_v6:13:41679093:41687711:-1 gene:B456_013G152400 transcript:KJB81616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIENVLIQIFERKNRIIDNVKQQILLFDHQLASKCLIDGFVPPPWLLSSSSFELNKDDLISGLLLPHSQHSIPYCSLYQQPVVTTDNVQLPHVLCSGVGVDASNEGLDKFLSGKTDQLDPSVTSPQDCRDEMVSDLCPDPCLSLARIQRSKSRQRALEHRNSAKVCKNVESFDKNDDACNSQNKVSKIASLMSGPVDKLELIRSGDNVVSYEVEKEERGQCRSKERSEYFYSGRVTRSSSVQPSKSMGGPSGAGNTCVAEQYEVAPMKSICKSGQHHVSHELLEPKVAEPVDNTESRLVKNEARGPCWSQERGEDICSGGITRSRSSVHPPMSVNCPPSAGKNFCVAKQDGILLTESINKSRLQPEAADELLQFVKPVENVVTFVVAEQERDQYPSKERGGSIYSGRVTRSRSSVRSPKSVDGLSCVGKTSEGATCDENMLIECISRSKAQPDVVDKSLALVKPTVISDETCGSRKARDHRSKEKGNDVYQGRLTRSRSSRQYQTMENDVVDQYNDGSTRSRSNHSAKLFKLDSSNTLENKVRQSKSTASNQFLYAKSSERSEGVELREVSGTQVDSLPCIDGSDLAELNQCDAFVAETDANSGELVEASASSASNLDGGNNSSLIKSLNRYERVELEVIGKSQQSSSAIKVLPKQLDFDDLGDCTVKEASSLLLKSENMINSLDKSSLTPLPCADNLDEVTSVHYQETYNSTHERQLPEEQEDFSKEEKLSETAFSKTSGGRISNLNVLSSVKGTPEVCTDVVTSTVPESIEISEQKTFMEDLPTTSKVCYEALHKNLLQDGAGSNIDVESGMDYLFEKDNGKVEEAKSVIMVREISHLNFNTDLCGGPPICSPPLLSVADASTIDAFGHPCAALFEETKGRSLKEKMESSPQNQDEVAMGRCIAGDTGSVLDQKHAKSSDKVAVQSIRPGKHSRSHVEGSWSHKRRKVDGQQSNSLSLSLNLMEEDAMQLNAKLLVDEEQNGGKYNRKERGRSENTPSNFMHKQFDVASVSSSPLANLENFDQSEDAPGTVYPSSIMFGSTRKCTADENQIILNVGDNSDFANIEHLACDERSKKESKCQLGEDGEFSTFLISSPCRPPTDFISADQSRPELEGFIIQTDDKQICNGGEGIGFEKLHLSNPTIERASLIEQLCKSACTRTPLPQFPTTYRMHQATGLYQSVPKGLLECTDLRSTLPKNDDRKSHLKVSSSCFGEETNCATSLCQSFPNGLLECMDLRSTFPENGDSSHLKISSSCFGEETNRAFLGGCFSDCLPLSSSQLIGNVKNPYLSPVGKFWDRIISNSDSSEKRGSLKLELPCINEENENTEEVVDAIHFQEATASKRLTCSAKRVPLAEIMECPNAPSVSGAEIFNVRDSLDSVNTAYSFIGTENCIKQKVEKQNATKRRDINKSKENCSIGPGMTTTKRTSESLRYKFSKPKLSQKTNPRKGGPSFSEKELKLNNIVSNVTSFIPLVQQKQAASIATGKRDVKVKALEAAEAAKKLAEKKENDRKMKKEALKLERVRLEQENLRQLELEKKKKEERKKKEADMAAKKRQREEEERLEKERKRKRIEEARRQRCASEENLCSKKDGKEKKCQARDERAQTIKVPNGEALKLEKMQRVGTNEGKMSAREISTTVSSTTDTIKTSTANEDFHAKAMSTLDRAKASDNNDADITIEQSYDISPYKGSDDEEDDGESEPNRKFVPPWASKNHVAWAIISQQKVDPEAIFPPESFCSISQVLLPRKLQQHRAS >KJB78380 pep chromosome:Graimondii2_0_v6:13:43020148:43021239:1 gene:B456_013G1558002 transcript:KJB78380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAIFSSSTPILKRPNLESNFFLLCLHEAAKRSAKAAVSSTLPSKLFGKKEDELTQLWQMKTVKCRMNMRVKGLLRKLPLWSQFQIRILLMKSYHSDRRK >KJB79158 pep chromosome:Graimondii2_0_v6:13:2758593:2759335:-1 gene:B456_013G035600 transcript:KJB79158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFHVKLEKRNATLKHRHQLRKVANVVRFVEFCVLVVLITRFTVHLPVAGDYFRGLSVVLVSPRFVFVIGNAIVIILFAKAGQFSSRDSGSVTDLYKEFVEKSEKNRAIRWYETENRGKQREKSVDENKTVSLNVHTSKVTTIKSYRRTQSENHKTMNCNKACKQLRKSESEKYIKHNDWDEKRVVKSSSYPEDVLSNEQFRNTVEAFIARQKKLLRDEELLSDLERF >KJB81720 pep chromosome:Graimondii2_0_v6:13:43410028:43412596:-1 gene:B456_013G158400 transcript:KJB81720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDIECFSPISLGFRSLNPPIVSTSKNAASPSILRISPNHASFKLTKVIESKCTIGYVGFSLIFYFCTISARLTLKFVAIPDGLKACEMLKGRPHNVDLVLTEVDLPSISGFALFTLIMEHDICKSIPVISMI >KJB78873 pep chromosome:Graimondii2_0_v6:13:1726610:1726852:1 gene:B456_013G023600 transcript:KJB78873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPLWSRSEVEKCWSSLSNVNGCITEIFKSLSGGTMPSPTCCNAIIKLNDDCWPKLFPFNPLFPPLLKNYCGGTAATPK >KJB83206 pep chromosome:Graimondii2_0_v6:13:55350710:55352432:1 gene:B456_013G235400 transcript:KJB83206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFVEDGPLDDNAVLWYTDTLKPKSTLEEHSGLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSIRTYMGHSGNVMSLDFHPTKDDLICSCDGDGEIRFWSINNRSCTRAFKVLILFPLCGSGWYGPWYLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQGHTKPIHFVCWDPSGELLASVSADSVRVWSFASGSEGECVHELSCNGNKFLSCVSILRFNHGSLLAVTRQGFIAALAVSPVTRLVSSASHDKFVKLWK >KJB82243 pep chromosome:Graimondii2_0_v6:13:48292263:48295661:-1 gene:B456_013G184100 transcript:KJB82243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCIAPVAISGGSHLQASEICFAKSSTFGKIPSLAVQRKPMASNHRFSVCAEYRDGTKGGGNDFAAGFLLGGALFGTLGYIFAPQIRRSLLNEDEYGFRKAKRPIYYDGGLEKTRQTLNAKIRQLNSAIDNVSSRLRGGNNSPSVPVETDAEVEATM >KJB79242 pep chromosome:Graimondii2_0_v6:13:3115612:3116277:-1 gene:B456_013G039100 transcript:KJB79242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIAAAKPVIKVAALCISPIPMLNTDLEVDGKYPPVVEAFRQRILVADSILFASPDYWYEAFSSICWLQSILGFISLYFL >KJB79651 pep chromosome:Graimondii2_0_v6:13:6578132:6578733:-1 gene:B456_013G060800 transcript:KJB79651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNCFTLAFFMALSFSSINVGLAARHLLQLPNLPQPTIPTLPTTQPSLPFPRMPFPTTLPTLPITLPPLPNMPSIPTMIPSIPFFSPPPSKTSP >KJB80556 pep chromosome:Graimondii2_0_v6:13:20320763:20321592:-1 gene:B456_013G103600 transcript:KJB80556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPKISHRPTICYRSMHPSDLEILEQIHSDVFLSDIDLFRYESEFFQNVVNGRDIVSWAAVDRSRPNGQSDELIGFVTARIVTAKDSEIADLLRYDPSKGHQTLVYILTLGVVDAYRNPGIATALIHEVIKYASSIAVCRAVYLHVISYNNPAIHLYKKTSFKCCGGCMGFT >KJB82945 pep chromosome:Graimondii2_0_v6:13:54162701:54165021:1 gene:B456_013G221500 transcript:KJB82945 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:Projected from Arabidopsis thaliana (AT2G26250) UniProtKB/TrEMBL;Acc:A0A178VYA3] MVVALHRYTRSFNMARNEQDLLSTEIVNRGIESSGPNAGSLTFSVRVRRRLPDFLQSVNLKYVKLGYHYLINHGIYLATIPVLVLVFSAEVGSLSREELWKKLWEDARYDLATVLSFFAVFVFTVSVYFMSRPRSIYLIDFACYRPHDDLKVTKDQFIELARASGKFDEASLEFQKKILKSSGIGDETYVPKVIMSKENCATMKEGRLEASTVMFGALDELFEKTRIRPKDVGVLVVNCSIFNPTPSLSAMIINHYKMRGNILSYNLGGMGCSAGIIAVDLARDMLQANPNNYAVVVSSEMVGYNWYPGRDRSMLVPNCFFRMGCSAVLLSNRRRDYRRAKYRLEHLVRTHKGADDRSFRSIYQEEDEQGFKGLKVSKDLMEIGGDALKTNITTLGPLVLPFSEQLFFFATLIWRHFFGGDKSKTSLSPSSKPYIPDYKLAFEHFCVHAASKTVLDELQKNLELSENNMEASRMTLHRFGNTSSSSIWYELAYLEAKERVKRGDRIWQIAFGSGFKCNSVVWRSMRRVRKPSRDNPWLDCTDRYPVRA >KJB81398 pep chromosome:Graimondii2_0_v6:13:39286109:39287071:1 gene:B456_013G143200 transcript:KJB81398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQPYLKKGTWSHDEDQKLIAYIRKYGIWNWNEMPKFAGLQRSGKSCRLRWMNYLRPNIRRGNFSREEEETIIHLQKTLGNRWSAIAARLPQRTDNDIKNYWNTRLKKRVMGEKKNPSSATTETKSSMEENSSDADSSMMVDILLDYQIPTMDDFPELAADTTISLSDCNLSVAVDDHYNMAMDNNLVSSENYWEIENLWGQSLTMEGLDCEVMSPNSQLWLHEPIYACDSYYDPVVELWVNPFI >KJB83924 pep chromosome:Graimondii2_0_v6:13:58235813:58238243:1 gene:B456_013G271700 transcript:KJB83924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLFLEKALLGLFAAVVLAITISKLRGKRFKLPPGPLPVPVFGNWLQVGDDLNHRNLTDLAKKYGDIFLLRMGQRNLVVVSSPEIAKEVLHSQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRFGWEDEAARVVEDVRKNPEAATNGIVLRRRLQLMMYNNMYRIMFDTRFESEDDPLFVRLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKICKEVKDRRLQLFKDHFVEERKKLGSTKSMNNDGLKCAIDHILDAQQKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQKKLRHELDTVLGPGNQITEPDTHKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKILVNAWWLANNPANWKNPEEFRPERFFEEEAKVEANGNDFRYLPFGVGRRSCPGIILALPILGITLGRLVQNFELLPPPGQSQIDTTEKGGQFSLHILKHSTIVAKPRQF >KJB80727 pep chromosome:Graimondii2_0_v6:13:26820701:26821400:-1 gene:B456_013G112300 transcript:KJB80727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILKGNRLGTHFTIDGWLKIMTNFEKETGRVFHKDNLKIEWKAWKKLKGEDTGLGWNPIKRTVDVSDECKKGKLDQMFMGIVAIGDKTWVPSSGTLPSDFFENVNNEIPEENEEKNMRNDVHFLNDVHISNDVQIDGNSQKRKKTLRCQVHILKLEERNPQSKLEGLQDCPVK >KJB80868 pep chromosome:Graimondii2_0_v6:13:29601418:29601937:-1 gene:B456_013G1189001 transcript:KJB80868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSSSKPLPPPPSPPRTSAWDFLNPFESYENYNHSYTPSTDLREVREAEGIPDLEDENYQHEVVKEVDGDQKIDNLQGICQKLGFFLVSSSSVDLYVAYFIRVFDH >KJB81553 pep chromosome:Graimondii2_0_v6:13:40982403:40984006:-1 gene:B456_013G150000 transcript:KJB81553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFVGKYAEELIKNAKYIATPGKGILAADESTGTIGKRLSSINVENIESNRQALRELLFTSPNALSCLSGVILFEETLYQKTSDGKPFVEILEENNVIPGIKVDKGTVEIAGTNGETTTQGFDSLGARCQQYYKAGARFAKWRAVLKIGPNEPSELAIQQNAQGLARYAIICQENGLVPIVEPEVLTDGPHDIKKCAAVTETVLAAVYKALNDHHVLLEGTLLKPNMVTPGSDSPKVAQEVIAQYTVTALRRTVPPAVPGIVFLSGGQSEEEATQNLDAMNKLEVLKPWTLSFSFGRALQQSTLKTWAGKKANVGKAQEAFLSRCKANSEATLGKYSGGSAGGLASESLFVKGYKY >KJB79988 pep chromosome:Graimondii2_0_v6:13:9344499:9345506:1 gene:B456_013G076000 transcript:KJB79988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFKRRNSNMQFLETTISKIFFADRVFDLFDVKHNGVIEFGEFLCSLTVFHPSAPIADKVAFLVNCTSYDKLVTLREKR >KJB81654 pep chromosome:Graimondii2_0_v6:13:42645241:42647050:1 gene:B456_013G154900 transcript:KJB81654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCGILILGCKRVFAVEEVVNAGYVVTGKSMLLLRNAWPKASMILKVFKEQGVVLTLLLGLSALFSMAETAITTLWPWKIHELAEKESEDGVFKCFIVM >KJB83282 pep chromosome:Graimondii2_0_v6:13:55768561:55770255:-1 gene:B456_013G239600 transcript:KJB83282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNAEIEYPVIEFRSSDLKRGTDGWNCLCKRVREACETFGSFEVVYEKISTEVREEVFRLIKELVEVPVERKQKNVSPVPFHGWVGSSPQISLLYEGFGVGDASNYDSIKSFAQLMWPDGYPHFCDIVYTLATQMEELNKLIWLMIIDSYGLGEELLKMSYKTLIRMVKYMAPPSGKFESGLFPHTDKPISTLICEDKISGLEIEVKDDKWSRLSNLSPSSFVFMVGDPFKAWSNGRLKSGMHRVMMGGDKDRYSIAAFAVPNKGTIIKTPKELIDDQHPQLYKDFDFMEYFFFTASDLAKRFNSNQQIDAFAALSPPISN >KJB82613 pep chromosome:Graimondii2_0_v6:13:51578455:51581437:-1 gene:B456_013G204900 transcript:KJB82613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDLESISEATSGAIGSLLSTTIFYPLDTCKTKYQAEVQAHGQRKYRNLSDVLWEAVSTGQVLSLYQGLGTKNLQSFIAQFVYFYGYSYFKRLYLQNSGSKSIGTKVNLILAAGAGACTAIVTQPLDTASSRMQTSAFGKSKGLWKTLTEGTLSDAFDGLGISLLLTSNPAIQYTVFDQLKQRLLKQKLKKADHDSSPVVLSAFTAFLLGAISKSIATFLTYPAIRCKVMIQAADPEDDDDDDKTKRAQSKSRKTVPGVVSAIWRREGILGFFKGLDAQITKTVLSSALLLMIKEKITATTWVLILAIRRSLLLRNGRLKNV >KJB82342 pep chromosome:Graimondii2_0_v6:13:49208795:49209854:1 gene:B456_013G190800 transcript:KJB82342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLIPSGLQKDHFLHFNPTFKEVTEVNVGVKEGILDAKNHTAVVFKENTHPNLSGEAVGGNLSISKNHPIMVNGRGSGVKAFGSEGGWKINKTLKGPGNRFKATESSRVSFAETMKMTTNLISIELDRQVVKNLSTEEGEQGCANVKFSSLS >KJB83452 pep chromosome:Graimondii2_0_v6:13:56657883:56658737:-1 gene:B456_013G248100 transcript:KJB83452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILKKSSMAKLSENTLAAHFIFTIFIVSALAENGSSFARTMDKKVLGMKKEKLSHFRLYWHDIVGGKNATAVPVVFPSRNSTTAFGMISVIDDPLTMGPELSSKMVGRAQGFYSAASQQEVGLLMAMNFAFMEGKYNGSTITILGRNTVFSKVREMPVIGGSGLFRFARGYVEARTHRFDPATGDAVVQYDCYVMHY >KJB81899 pep chromosome:Graimondii2_0_v6:13:44955019:44958373:-1 gene:B456_013G166600 transcript:KJB81899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 2 [Source:Projected from Arabidopsis thaliana (AT3G13682) UniProtKB/Swiss-Prot;Acc:Q9LID0] METPVSEGLVSKRSLRKKSAVKNYDENLMDEFIEKHIGGSFRKIRTKEELEKETETEAMIALSLGFPIDALIEDEIKAGVVRDIGGKEQNDYIVLRNHILSRWRSNVRIWLSKGHIRETVSNEYEHLLSAAYDFLLYNGYINFGVSPSFSSYVPAEATEGSVIIVGAGLAGLAAARQLISFGFKVVVIEGRNRPGGRVYTQLMGKKDKRGAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYKPDGVPVNKVIDSKTEMIFNKLLDKVNELRKIMGGFANYISLGSVLEKLRQLYGVARSPEERQLLEWHLANLEYANAGCLSDLSAAYWDQDDPYEMGGDHCFLAGGNWRLIKALCDGVPIIYGKTVDAIRYGVEGVEVVTGKQAFQADMVLCTVPLGVLKRRTIRFEPELPQRKLAAIDRLGFGLLNKVAMIFSHVFWGEELDTFGCLNDTSDNRGEFFLFYSYHTVSGGPVLIALVAGKAAQTFERTDPSLLLHRVLSKLRGIYGPKGVDVPDPIQTICTRWGNDPFSYGSYSHVRVQSSGRDYDILAESIGNRLFFAGEATTRQYPATMHGAYLSGLREASRILRATRGRQNYFRRSVQRNVGPSSDQLGDLFKMPDLVFGKFSFVFNPLTEDPKSLALLRITFDNCTDDMRKVLEKSCDPQSNQSLQLYAALSREQAHELQMVTGEDESKLVYLINNIGLKLMGANALGITYNSLVTSISSARKGRSRYRISAPLLNTV >KJB82497 pep chromosome:Graimondii2_0_v6:13:50816623:50821421:1 gene:B456_013G198900 transcript:KJB82497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYNCKRSGQIPAFGDWDHANEFPITQYFESAREAGLIRFNSSSAKPKPYLTVDLKTKHPRNHVPVRKDERFD >KJB81099 pep chromosome:Graimondii2_0_v6:13:33614868:33617348:-1 gene:B456_013G129100 transcript:KJB81099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLDWIYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVFLVDSYDKERFAESKRELDALLSDEALASVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLGDSSVRPLEVFMCSIVRKMGYGDGFRWLSQYIK >KJB82345 pep chromosome:Graimondii2_0_v6:13:49216794:49221030:-1 gene:B456_013G191100 transcript:KJB82345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EPCMISFQTGEKWMQELLNGHEKCCFNMFRMTQRTFSLLCMDFEKVSLFVFTLSKGASNRDVQERFQHSNEIVSRIFKEVLDAMGGLTRSNDLKNIKIIKNEIKHTLNLSFQDCVGAIDGTHIDVIIYEENQLHYRGMKMTPTVNVLAACDSDLLFTCVLSGITHQSFVVQIQEGREIFNRAHSSLKSCIERAFGIIKVQWKILVKISIYSSQDQNRIICDAFALHNYIRLSKVLDPTFKVIDGDPNFIPPEAFLDFECISIQEVDRMGTNEMTKVHNDITTSLMATRRQHRVS >KJB83853 pep chromosome:Graimondii2_0_v6:13:58013494:58014837:-1 gene:B456_013G267800 transcript:KJB83853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKTKKATDASEPRPVLSRSQRTRPSHSPGAQSSSLSHSAIPSSSNPTSDLYTSSSSYTKATSSGTGTSISSRTSLSSLRESLPENPHIYHVSEIRAATNNFLAKRYSSSSSASTAACWRCNLRGRDTVVFQRKFRHKIQTSQLKERLSVICRSHHMSIIKLLGASVSGDHIYLVYEFVEGSNLVDCLRNPRNPSFTVLSNWMSRMQIATDLAHGIDYVHNKTGLNLSIVHNHIKSSSIIVTEPSFNAKICHFGTAQLCGETEEEVAVETDTSKRETEIEEITEEANASSLRKLKRSDSGDRQFEGVRGYMSPEFRSSGVATQKSDVFSFGVVVLELFSGEEALKYRFDKKTGNFVRTSLMDTAEAATAEGSDGLRRWIDRRLNDSFPVDVAQKMIRLALDCVHVDPDKRPDMGRVAGKISKLYLESKKWSDNVNIPTGISVSLAPR >KJB82716 pep chromosome:Graimondii2_0_v6:13:52244432:52245008:-1 gene:B456_013G210700 transcript:KJB82716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKKMKLAYITNDTKRKTTCKKRTKGLLKKVRELTTLCKIEACAIILSPDFDSQPEVWPSHTGAQQLLSQFKKLPQSIRNNKMVNQESFLEQSIAKATQQLKKRRNENRQKDLKKFMFQSLSGKWILQSMNVMDLNEVGVFVEQNLKDIDKRVRVLTNESRS >KJB83843 pep chromosome:Graimondii2_0_v6:13:57963607:57965411:-1 gene:B456_013G267200 transcript:KJB83843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDFLDDIIVESLTFSCDGYSQQSSSTKASYSKSTDVKGSSWFQTSSPVSVLDSRSSSSGANRTPINPNLSFQGKRCRTNRRRASTLNSPFTLPLVFSTFSTSQKPTRKRQKKKNPKLLSGSSESNNSSSQQLVIRKCPHCEVMETPQWREGPIGPKTLCNACGVCYRSDRLFPEYRPAGSPTFIPSLHSNSHKVVEMRRKSQFAGDSNNIRVVNPTETSLRYQLY >KJB79914 pep chromosome:Graimondii2_0_v6:13:8536435:8537599:1 gene:B456_013G072000 transcript:KJB79914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWYLTHLFHIGIEFFIPDLQHFLTNSINNEEAPEMTNLAKFLKWFYPLEHWANMIMFESFKNTRVQYVVIIFYKPQYLMQNGPATQLASLPSAWIHRTYFDEVYENPFDVNDFQKYLCQLNRFIPSEIWPSGNSQAPWDVQKDPPTPYQQQLEDALMEYQSNIPDPKEWSQEYPMFCSQAVQDTPAWKDMQEDNSRWKDIQESSQIDPPQQTDTSDVIPPDDLERRVEQMYLRCYRAREKKRIKIEELNITSDIDTDYDDESLE >KJB79601 pep chromosome:Graimondii2_0_v6:13:5668463:5669199:1 gene:B456_013G056900 transcript:KJB79601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFNCIALACLMALSFSSIDVGLAARHLQQMPPMPTLPTTTLPPLPSIPNLPQPTMPRPGALPPLPTMPALPTFPAIPTATLPPLPSMPSIPTIPTAIPLIPFLSPPPSPSSTP >KJB81396 pep chromosome:Graimondii2_0_v6:13:39214321:39215373:1 gene:B456_013G143000 transcript:KJB81396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQPYLKKGTWSRDEDHKLIAYIVRYGIWNWNEMPKHAGLQRSGKSSRLRWMNYLRPNIRRGNFTREEEETIVRLQKILGNRWSAIAARLPQRTDNDIKNYWNTRLKKRVISENKNSTAATTSTKETNSSMMENSSDADSSSMLTNILLDSPVSTIDDLLEPPAYSCFSPSGSDLVAVDDKYSMSTDNFLVSCWETQSYLEQPQMIEGLDWEAVLPNSELWHSHHQQCYDPLDDFWINPLI >KJB82628 pep chromosome:Graimondii2_0_v6:13:51647955:51652347:-1 gene:B456_013G205700 transcript:KJB82628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR30 [Source:Projected from Arabidopsis thaliana (AT1G09140) UniProtKB/Swiss-Prot;Acc:Q9XFR5] MGRSSRTLYVGNLPGDTRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDPRDAEDAIRGRDGYDFDGYYLRVELAHGGRRPSSSVDRHSSYSGSSSRGPSRRTDYRVLVTGLPSSASWQDLKDHMRKAGDVCFSQVFRDRGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVKKYDSRYDSRRSYSRSRSPYSRSPSRSRSYSSRSRSRSKSPRARYTSQSPSVSRSVSPCSHSVSPARSYSRSRSISRSPTPSPRSKHVSRTPPNRSPSWSRSRSLSRSRSPSVKSD >KJB82624 pep chromosome:Graimondii2_0_v6:13:51628599:51629475:-1 gene:B456_013G205500 transcript:KJB82624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPIFLRMKHWKREKDITCWVVFQTMFVANRRNGGRDNQTDMNKEDLHGKSIAEDRFFEICNHQKLRW >KJB80736 pep chromosome:Graimondii2_0_v6:13:27332829:27334229:-1 gene:B456_013G112900 transcript:KJB80736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVSLFAFVFAIVGLSNLSLIQAQKGGFSVELIHRDSPKSPLYNHLDTTYNRVTNALRRSFNRVHRFKPTSVSTMEAEADVIADSGEYLMNISIGTPAFDIVAIADTGSDLIWTQCKPCSQCFPQNAPLFDPTASSTYKKFSCRTSQCGDVEGTSCSSNGSCQYSVSYGDGSYSKGEVAADTLTLDSTTGSPVVVPNVIMGCGHDNDGSFDENTSGIIGLGGGDSSLISQLGTTVDGKFSYCLLPFSEAGNSSKMNFGSDAIVSGNGAVSTPLTKQSPQTFYFLTLEAISVGTNRIKYTDKPFGTDQGNIIIDSGTTLTLLPDDFYSELESAVSSMINATKVNGPEGLNLCYDATTEFAVPDITVHFSGADVKLQPLNTFVLVSETVACFTFSPVPNFAIYGNLAQMNFLVGYDTIKQTVWFKPSLKFIEF >KJB82208 pep chromosome:Graimondii2_0_v6:13:47677356:47680811:-1 gene:B456_013G181500 transcript:KJB82208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTQEEQQLHFVVFPFMSQGHMTPMIDIARLLAQRGVIVTIITTPQNAARFKATLDRAVESGLFIRLLELQFPCAEFGLPEGCESFDMLPSFSLALNFYQAADALETPVKKLFPELTPSPTCILSDVLFPFTLDIANQFQIPRIVFHGVCCFRLVCLHNLRISNVLDHVFSETEYFVVPNMPHKVEFTKCQITQVMAENLKQFSEERKKADLESYGVIVNSFEEMEPEYVKEYKKTREDKVWCIGPVSLCNKDAMDKAQRGNKAPVDEQQLLGWLDSQKPGTVIYACLGSISNLTPSQLIQLGLGLEASNRPFIWVVRGSDASNDVDTWISEDGFEERTKGRALVIRGWAPQVLILSHQAIGGFLTHCGWNSTIEGISAGVPLITWPLFADQFANEKLAVQIVEIGVRVGVEEPMRWGEEEKIGVLVKKEDVKAAIEKLMDEGEEGEERRKRAKRLGEMANKAVEIGGSSHLHISGLIQDIRQRANERKQLST >KJB83387 pep chromosome:Graimondii2_0_v6:13:56391493:56393561:-1 gene:B456_013G244900 transcript:KJB83387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLTIIVVFFLWVGSLLMVQGGDPTISFEWKVTYGTISPLGVPVKGILINGQFPGPNMNSTTNNNVIVNVFNNLDEPFLLTWSGVQHRKNPWQDGVLGTNCPIPPGTNYTYKFQVKDQIGSFMYYPVTAMHKAVGGFGGLRINSRLLIPVPYADPADDYTIIAGDFFNKGHTTLKKILESGRNLGRCDGVHINGKVAKGDGSDEPLFTMEAGKTYKYRICNAGIKTSLNVRFQGHTMKLVEMEGSHTVQNDYESLDVHVGQCFAVLVTADQEPKDYFVVASTRFTKREVTATGVIRYTNGKGAPSPKLPPPPVGWAWSLNQFRTFRWNLTASAARPNPQGSYKYGSVNITRTIKLANTAQKVDGKLRYAINGASYVEPTTPLKLAEYYGVADKVFKYDTISDDPPAEITKVTMEPVVLNLTHRNFMEIIFENRETAIQSYHLCGYAFFAVAVETGQWSPEKRKNYNLLDAVSRHTIQVFPKSWAAILLSFDNCGMWNIRSEVWDRRYLGQQLYVSVVSPNKSLRDEYNMPESALVCGVVESMPRPPPAYT >KJB82212 pep chromosome:Graimondii2_0_v6:13:47797980:47798881:1 gene:B456_013G181900 transcript:KJB82212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAHIPPPPGYFVQLENPDDLFLKKRTRMRRWLCCSCQVEENYQSRENEHLKCNSDGICYFDLNFFFVFLNLLDTFVDIGHQKNSKVVSPIKPEERKSSSLIEMPALSLEELKEKTYNFGSNALIGEGSYGRVYYANLNDGKTVAVKKLDVSTEPNTLLS >KJB80657 pep chromosome:Graimondii2_0_v6:13:24150958:24154037:1 gene:B456_013G109200 transcript:KJB80657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26540 [Source:Projected from Arabidopsis thaliana (AT3G26540) UniProtKB/Swiss-Prot;Acc:Q9LRV2] MAVSAFSILKRLLQNINNQPQKQPQGIESIIKSILSHLKAGRFQQAVSVLFASPEPLPHSLYADLFALCSDKKAIVEARKLESHLVTFCPFPPVFLLNRCIEAYGKCGCLDDARGLFDEMPQRDGGSWNSMITAYARNGFGEKALFLFSKMNKEGIVPNEISFASVLGSCGVVLEVSLSRQVHAMIVKYGHYKNVILGSSLVDVYGKCGFISDARLMFDEIENPTIISWNVIVRQYIEVGDGEEAILMFFKMLRDDVRPLNFTLSNALVACSSMSALKEGLQIHGVVVKTNFEKDKVVSSSLINMYVKCGQLEIARTVFDQLGSKDLISWTAIMSGYAMSGRTREARELFNMMPERNVISWNAMLAGYTRLSQWEDALEFIFLMRRMTKDFDHVTLVLILNVCAGLSDVEMGKKVHGFIYRHGFFSNIFVSNALLDMYGKCGTLNSARVWFYQMSQGRDTVSWNALLTSYAQHQRGEQAITLFSEIQWESRPSINIFGTLLTVCGNIFALNHGKQIHGFMVRNGYELNMAVRGALVGMYSKCRCILYAFMIFKEADSRDVALWNIMTFGFCHNGRGREVLEFIGMMEEEGVKPDHVTFHGILLACICEHEAELGQLYFNSMSNDYCIIPRMEHYECMIELYSRCGCMEELEKFIKSMPFEPTVAMLTRVFVACKKHGAVRFGEWSAEQLNQLNPHTTLKI >KJB83786 pep chromosome:Graimondii2_0_v6:13:57767399:57768183:1 gene:B456_013G264400 transcript:KJB83786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVDENCINGKDTLIWLSRGSILSAVDKSLIARLTLSPAKIFKIPLRPSKSFYINNPAIPLTFTKQPSFSLFTLKKLIFPEHKKLSSKMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KJB78836 pep chromosome:Graimondii2_0_v6:13:1554873:1555844:1 gene:B456_013G022000 transcript:KJB78836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAQYLMLMKRRQFLKSHFQVSINSLSETWEEKAFAEDAAGSLGGCIWPPRSYTCSFCWREFKSAQALGGHMNVHRRDRAKLKQSLSPKNEVVSNQNQNPNPLKPSDPKSPHPAADLAHSFSSSRVSASSKNFGTCSFVQGQHQGLLSSYDDGVINCKRRKIAASTTLPFLVPKEKCYSSLQWQVLGVKPAVAGSMEELDLELRLGAQPKVKSWNMDQRDCKRMELL >KJB81636 pep chromosome:Graimondii2_0_v6:13:42330453:42332550:1 gene:B456_013G154200 transcript:KJB81636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLGTPRPLPLALDTNDSRVLSHTHSSPSILSSTKHSHKLSKSMATCIDTSRTTEPSQVSQPPNRSQPDEIHYKYVKFCRPTFTDHVSSIPFSENHTNGIHTRKIADLSFEEEGEVAEMGSLWLKMNEEARLDAEQEPILSNYYYSSILSQNSLECALANHLSIKLSNSSLPSCTLFDIFMGIVLEDKGIVKAVKEDLKAVKERDPACISYVHCFLNYKGFLACQAHRIAHNLWSQERKVLALLIQNRVSEVFAVDIHPGAKIGSGVFFDHATGVVVGETAVIGNNVSILHNVTLGGTGKVCGDRHPKIGDGVLIGAGTCILGNIKIGDGAKVGAGSVVLKDVPPKTTAVGNPARLIGGRENPVKLDKIPSFIMDHTSHITEWSDYVI >KJB80429 pep chromosome:Graimondii2_0_v6:13:16542776:16543129:-1 gene:B456_013G096800 transcript:KJB80429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKLWDVTIKHAKTCVMGNKYYVFQGTNYRVFLNPICQLVKAEINGTTYPIQTLSSINRVSFILSSNIYIVASV >KJB80220 pep chromosome:Graimondii2_0_v6:13:13277765:13278894:-1 gene:B456_013G087100 transcript:KJB80220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNVLLSDIVYMALGDVSVYVVGKDEYDEFALAEVIFVITLAVKDVCGKLPTERLFLDKYRRICLTLDEIIWKNTDKDRIRRLVRLKPPTEF >KJB79278 pep chromosome:Graimondii2_0_v6:13:3462565:3463926:-1 gene:B456_013G041400 transcript:KJB79278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSTTAQCIEIKKAVSGALELSKITGSHAYERYTGPQIRKIFETQQETYEDTERISLVSSFMACLFLGAYACIDTTDGVGMNLMDIKQRAWSKAAVEATTPGLEEKLGKLAPAHAVTGSIASYFVERYKINKNCLVVQ >KJB78667 pep chromosome:Graimondii2_0_v6:13:767700:771305:-1 gene:B456_013G011200 transcript:KJB78667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPPSSFSTFRSYLRALAQTPSRFAHRAPSVSSSYEELSWVKSRSGSNMHKSLRWYDLIGLGIGGMVGAGVFVTTGRASRLYAGPAILISYAIAGLCALLSAFCYTEFAVHMPVAGGAFSYLRITFGEFAAFLTGANLIMEYVMSNAAVARGFTSYLSAAIGIPTAKWRFTAAALPKGFNEIDLLAVAVVLTVTLIICYSTRESSILNMILTTLHILFIIFVVLMGFWKGDWNNFTRPMNPNSPSGFFPYGPSGVFNGAAMVYFSYIGYDAVSTMAEEVRYPIKDIPIGVTGSVVIVTILYCLMAASMSMLLPYDMIDVEAPFAAAFSGRWEWVSKVIGVGASFGISTSLLVAMLGQARYMCVIGRSSVVPAWFARVHSKTSTPLNASAFLGIFTAAIALFTDLNILLNLISIGTLFVYYMVANAVIYRRYVVIGITKPWPILSFLCLLTLTSLLFTLSWHFAPSGSSKSFLLGLCIGLATAITLIFRHTVPQVRKPEFWGVPFMPWLPSISIFMNIFLLGSLDGLSYVRFGFFSGLAVLVYVLYSVHASFDAEAEGFLGVKNGEMIRESTESEEDPNHKV >KJB83622 pep chromosome:Graimondii2_0_v6:13:57194240:57196219:-1 gene:B456_013G255400 transcript:KJB83622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRWKGKGSEAKASADPMSKIVSQLHSSLIRSVARGLLLSRNVLIEADAELFYLFNRARFGRSRITSQEEKQWFQLDMEEAFYLCFSLECLKGIGKDGSIKVSYKAYSHLRYKNWVVRSGLQYGADFIAYRHLPALTHSEYAVLALSKGDNELNGRLRVWSDVHCTVRLCGSVAKTLLVLFVDSNSQGTSSPSCLEHYTVEEETITRWNPEQSREDQTSLRNQTNLGTI >KJB79311 pep chromosome:Graimondii2_0_v6:13:3757537:3759956:1 gene:B456_013G043200 transcript:KJB79311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYRPTIRNPAYSHASPIPTPAPSDTIPTQLHHAPGHWTTGLCHCFDDPANCVITCVCPCITFGQVAEIVSRGSKSCFSRGLLYGVLLGFTGCACLYSFLYRSKLRGQYDLEEEPCNDCLVHFCCCPLALCQEYRELKNRGFDMEIGWEANMDRQKRGVAMMPIVIPGMTR >KJB82214 pep chromosome:Graimondii2_0_v6:13:47874980:47875081:1 gene:B456_013G1821002 transcript:KJB82214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRTTQQQQQATPAARQQARPSAAQSSSSRFGP >KJB82077 pep chromosome:Graimondii2_0_v6:13:46477971:46478444:-1 gene:B456_013G175300 transcript:KJB82077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSVPDWEYLPELCLLTVLEKIDEPISRVQFGAVSKYWHSLFNTFLDIKRRSSTTLVPMLMIPSKKSATKRKLYSLQSKSKIAEIEFPKPYTWRYCGSCYGWLATVDESFNITLSNPFKNLSIHLPQFDSMAYDSGHYT >KJB80522 pep chromosome:Graimondii2_0_v6:13:18832278:18833097:1 gene:B456_013G101800 transcript:KJB80522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYYIAFIINYFKLAWNLLLNHSMFPNIYNMQSYPPVAAAMAVHQQLGVVRYSGGDDQEEVACAVCLCEIEEEDEMRELSCNHLFHKECLDRWVGVSYGFSSTCPICRTSLTPAKLVAGVEVLVFNCFSFNSGHLRHNWWLR >KJB82690 pep chromosome:Graimondii2_0_v6:13:52159531:52160691:-1 gene:B456_013G209800 transcript:KJB82690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGLSTRNGNIGMALPTAAVNKLNNPLPSKECSNCSGHSPFFIHQVRHLGILRRLCTACVLRLHPSSFCPACFTFYGGSPPHPSKRVNCSNCCSLTHSHCAGDTILTSYLCTPCKDSSFSFFPLKDNKIDKKLALALLCAAKIASSSMGKAVTVAWAEADRKVREAALARKRARESLEHLLVVTRKEKARKENEAKVEDLDVDNGDEDGDGDGDGDIDVDLVRHIEDSLVKADD >KJB83277 pep chromosome:Graimondii2_0_v6:13:55694227:55696012:-1 gene:B456_013G239200 transcript:KJB83277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNAEIEFPVIEFRSSDLQRGTGGWHNLCKRVREACETFGCFEVVYENISPKVREETFGLMKELVEVPVERKQKNASPMPYHGWVGPCNQVSLLYEGFGLGDASNYDSVKSFAQLMWPDGHPRFCNTIHTMATQIEELNKLIWLMLIDSYGLGEKWESVMINYKTLVRFMKYMAPPPGEYERGLFAHTDKPVSTIICDDQVSGLEIEVKDGQWMKLSLSPSSFCFVVGDPLKAWSNGRLKAVNHRVMMSGDKDRLSLAAFAIPVEGTIIKAPKELIDEQHPQLYKDFDFMDFFLFAFSDPAKHIDSGEQLQAFASLSPPISY >KJB80302 pep chromosome:Graimondii2_0_v6:13:14607038:14607493:1 gene:B456_013G091000 transcript:KJB80302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRYCIPPVLNLILFMFLSPSNSDGGSSIFVREFSIMELPFLLLALFFLLLFLFTSADIPLNVNLIRFRPRDFSIAVSASLLVSLFYPPSLFWPFHFFLLLSYPCHGFFFDIFKQLFCWFYGVLHSLPTYVIGIVPRNEENPSSRPPLPL >KJB80707 pep chromosome:Graimondii2_0_v6:13:25874908:25878422:1 gene:B456_013G111400 transcript:KJB80707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRGVVLKHLRVNATSLSLLRNPKPIPNGHCALTFNAIRRRYSDDVMGSFLDKSEVTDRVVSVVKNFQKIDPSKVTPNAHFQKDLGLDSLDTVEVVMALEEEFGFEIPDNEADKISTISHAVEFIASHPQAK >KJB82609 pep chromosome:Graimondii2_0_v6:13:51525250:51526676:-1 gene:B456_013G204300 transcript:KJB82609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEAVIFQQDWFGYNGKDVLLGGNWSYGLGLGKEEEKFCFEHIPGNQTSDTNNLVDGDHRVSSSSQTSMAPPLPHSSGPSAMGRRKRRRSTKAPKNKEEMENQRMTHITVERNRRKQMNQYLSLLRSLMPPSYAQRGDQASIIGGAINFVKELEQRLQWLSGQKEVKEETPKFDDFFTSPQYSTPIGDIEVNVNERHANLKIRWKRRPSLLFRLVSGLNAMRLTILHLNVTTAALIVLYSLTLKVEDDCKLTTGDGIASAVNQLLCRNEGDDGDDAMFNSFNSILP >KJB81972 pep chromosome:Graimondii2_0_v6:13:45583015:45584233:-1 gene:B456_013G169800 transcript:KJB81972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDFIAEGLDNDMQEEEEEGVGGDHGFPDDEKKKKGYGRRGAAGGGGGVSPPACQVEKCGLDLSDAKRYHRRHKVCEIHAKAPFVVVAGLRQRFCQQCSRFHELPEFDEAKRSCRRRLAGHNERRRKSSAESSSAAESSSRRGMMISAQLKESHYLADDQRARVNPMAIHGSSSFKRSQIR >KJB83669 pep chromosome:Graimondii2_0_v6:13:57333170:57334189:1 gene:B456_013G257600 transcript:KJB83669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTKNSLADINDILRNTKELKEKLKENLRNKSDFLKNGATRDQVRLGVAGWKKRYYSLKFSAETDWDIEITRKEIVQKSSMGAFVLFLGCTIVGLVSYLHFPF >KJB79958 pep chromosome:Graimondii2_0_v6:13:8940242:8943653:-1 gene:B456_013G074600 transcript:KJB79958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEYFWETVPPTATVDPLSLEREEHWRRFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPTSDTSTTPNDLESHNIFNAKLNYPSPKMTVYASEVSVLMPGEETPTFIAHPTPALLPCPPDRVLRPLHQQSQSIGVPSSLS >KJB81013 pep chromosome:Graimondii2_0_v6:13:32522828:32525309:-1 gene:B456_013G125200 transcript:KJB81013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDDTLPHPQAECSSPPPNQSQIQLPLLIHQLSFNQDSTCFSAVTDRGFFVFNTEPYRPLICRDFESGLSLLSMLFRFEVFVLVGSSYSPSPSAAATNTKALLWDDHASRCIGELSFRSPIRSIRLRRDTIVVILLHKIYLYNFSDLKLLHQLETTSNPKGLCEVSQISGPMLLVCPGLQKGSVRVENYGSKKCKFINAHSSNITCLALTHDGRVLATASSKGTLIRCFNTLDGTLIQEVRRGADRAEIYCLAFSPTAQWLAVSSDKGTIHVFSLKADSVVLGDDRSTSALESPLSNQSALSSLSILKGVLPKYFSSEWSVAQFRLPEGSRCIVAFGQQKNTIMIIGMDGSFRRCQFDPVNGGQMTQMEEHNFLKQEEHFPSWNEDS >KJB81014 pep chromosome:Graimondii2_0_v6:13:32522882:32525217:-1 gene:B456_013G125200 transcript:KJB81014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDDTLPHPQAECSSPPPNQSQIQLPLLIHQLSFNQDSTCFSAVTDRGFFVFNTEPYRPLICRDFESGLSLLSMLFRFEVFVLVGSSYSPSPSAAATNTKALLWDDHASRCIGELSFRSPIRSIRLRRDTIVVILLHKIYLYNFSDLKLLHQLETTSNPKGLCEVSQISGPMLLVCPGLQKGSVRVENYGSKKCKFINAHSSNITCLALTHDGRVLATASSKGTLIRCFNTLDGTLIQEVRRGADRAEIYCLAFSPTAQWLAVSSDKGTIHVFSLKADSVVLGDDRSTSALESPLSNQSALSSLSILKGVLPKYFSSEWSVAQFRLPEGSRCIVAFGQQKNTIMIIGMDGR >KJB82866 pep chromosome:Graimondii2_0_v6:13:53810306:53822003:-1 gene:B456_013G218200 transcript:KJB82866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTAMADIGSSPLPLLFRRRSSGEIRNLATVSSSILPAFGTVVDDGYSHLKKYVIVPYDQRYRWWQTFLVVLVLYSAWASVFELAFNKTARGPLLIVDLVVDVFFAIDIVLTFFVAYLDKSTYLLVDDHKKIALRYVTRVWFFMDLTSTLPYQFIFRIFTGAWHDGEVFSFLNLLRLWRLWRVSEFFKRLEKDTRFSYFWTRLLKLLGVIVFAVHSAGCFYYWLARHHKTPANTWIGTAIEDFKHRSVWLCYTYSIYWSIVTLTTVGYGDLHAVNTGEKIFNMIYMLFNIGLTAYTIGNMTNLVVHAAVRTFAMRDALNELLRYASKNRLPEGLREQMMAHMQLKFKTAELQQEEVLQDLPKAIRSSIAQHLFRRTVEKSYLFNGVSEDLVSQLVSDMKAEYFPPKVEIILQNEIPTDFYILVSGAVDLLTYKNGTEQFLSKLGAADMAGEIGVIFNIPQPFTVRTKRLSQVVRISHHHFKQMIQPQSEDGKIIIANFMKYLQGLKEDVLQEIPFLTELLADQTQKPTEQNEEQQNRETLDSQDASPEGRTGTSSLPGESTIRVIIHGHHPSQGTSSDRLGKLVYLPDSLQDLFNLAEKKFGKRGSTILMADGSKVEELNVLRENDHLFVV >KJB83164 pep chromosome:Graimondii2_0_v6:13:55094416:55095453:-1 gene:B456_013G232400 transcript:KJB83164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIRFEPTKQYYATTSLVVGYALCSSFLAVINKFAITKFNYPGLLTALEYITSALGVWVLGKFGFLHHDPFKLDIANKFLPAAVVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVALADTIFRRQPCPSKLTFMSLLIILGGAVGYVATDSAFTLTAYSWAFAYLVTITTEMVYIKHMVMNLGLNTWGFVLYNNFLSLMMAPIFWVLTGEYNEVFAALIANGGNWFEPVAFTGVSLSCLFGMLISFFGFATRKAVSATAFTVTGVVNKFLTVMINVLIWDKHATPFGLVCLLFTLSGGVLYQQSVTGPPPHDSTASKQTGDASDNDDHEDNQDKKMPGKHASI >KJB83791 pep chromosome:Graimondii2_0_v6:13:57782217:57783639:-1 gene:B456_013G264700 transcript:KJB83791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKTTVAEKSPAEKKPKAGKKLPKEGGAAGDKKKKRAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGHVTVL >KJB82925 pep chromosome:Graimondii2_0_v6:13:54059416:54063881:-1 gene:B456_013G220700 transcript:KJB82925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFIILTPYTLYFSISLSQSFASFFSDILIYTMNLLKKCCSCFRKKTDGTSTSTSHQLSHPTTDFKGQPRLPTFAVPIRYDIQLKPDLAACKFEGIVSIVVNIVADTRFIVLNAAAQLHINPDSVYFSDPNSNKVFEKPKVGKVEADEILVLEFSETLPKGTGVLTIGFEGVLNDKMKGFYISTYDHNGEKKNMAVTQFEPAYARQCFPCWDEPSFKAKFNITLLDVPSELVTLSNMPVDEEELNGNLKTVYYQESPVMSTYLVAVVIGLFDYIEDHTPDGIKVRVYSQVGKANQGKFALHVALQTLGFYKDYFQMPYSLPKLDMVAIPDFAFGAMENYGLVTYRETDLLFDDHHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTDLWLNEGFATWMSYMAKDKLFPEWKIWTQFFDHESSEGLRLDGLAKSHPIEVEVNHASEIDEIFDTISYKKGASIIQMLKGYVGGPHFQNSLATYIKRHAWSNARTNDLWAVLKEECNEPTIDKIMNSWTKQEGYPVLSVKMKNQTLEIKQSRFLYAGSHDRCQWIIPITYCCGDYDSLISLLMEKKSETYDIKDLLSNITDPARSWIKLNVEQIGFFRVKYDDGLAAKLRYAIEHKCLSVTDRLGILDDSFALCMAREMSLTSLLTFMAAYREELEHTVLSNLIKIIGKIERIVADARPELMNYIKQFFIGLLLPAVANFGWDPKQGESHLDAMSRGDIFTALAVLGHEETINEANSRFNAFLNDRNTPLLHPDIRKAAYVAVMQKVTSSNKEGLESLLKVYRETDLSQEKTRILGSLASCPDESVVYEALNFALSSEVRKQDAVFGLAVSKEGREVAWKWLKNNWDKIRTTYGSGLLLTRFVGPIVSSFASSDKMKEIGKFFGNRTQASMVRTLKQSMEQIYINSKWVQTIRNDKDLAEAVKKLANK >KJB80754 pep chromosome:Graimondii2_0_v6:13:28049589:28054141:1 gene:B456_013G114200 transcript:KJB80754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDISQRLLKEAEKIEVEEATLKEKIWMELKKTFVVAAPATFTRFSTFGIAVISQAFIGHIGPVELAAYSLCFTCLLRFGNGVLLGMASALETLCGQAFGAKQYHMLGIYLQRSWIVLFMSACCLLPLYIFTTPLFIALGQEEKIAQVCGYISHWFIFIVFSFIISFTCQMFLQAQSKNMIIAYLAAFSIGIHIFLSWLLTMKLEFGLAGALFSTVLAYWLPNVGQILFVTCGGCKDTWKGFSMLAFKDLCPMVKLSISSGVMLCLELWYNTILVLLTGNLKNAEVAIDALAICLNINGWEMMISLGFLAAASVRVSNELGRGNAKGAKFSIIMTTLTSLCIGFVLFLVFLCLRGRLAYIFTSSEEVAEAVADLSPLLASSILVNSVQPVLSGVAVGAGWQRVVAWVNIASYYLVGIPIGVVLGYVWNMHVKGVWIGMLLGTLLQTIVLVVITWRTDWDKQVTLARTRLNRWFVPESEDNQNVAA >KJB79627 pep chromosome:Graimondii2_0_v6:13:6354987:6355524:1 gene:B456_013G059700 transcript:KJB79627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILKLALYWVFLMFHAVISFPGSLKRFSWIHGLELLMRICFLSIEVTALGFACLWGISFSSLALIWVADFFNYLLLDTFIFLYFLP >KJB81807 pep chromosome:Graimondii2_0_v6:13:44027786:44029162:1 gene:B456_013G162100 transcript:KJB81807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLGFLNAKLFFCLCVTFLKHHFTFSASNPTGLTLRAVLDDSSGSPLYLIENLTIAERTERLIKVTNARANYLSLVSNCDARIHPDNIPMPISREGLFYVVEFAIGSQHHKVKLLMDTGGGLIWTQCVPCKTCFPQNLPIFNPSASATYARLPCDHPLCKGPGDRYTCFDGFCVYNVHYTGGASTRGTASMETFHFSIDQSEIESFKNVIFGCSDDSSDIFFKNTDISGIFGLSMSPDSMMMQFSSFIHSRFSYCLVPFADAIPRPLVLRFGEDIPQLPPDVRTTSFVQSPTNHFYYLELWGISVAGHHLPFSQSTFQYRANGKGGCFIDSGALFTQIDAGTVGINAYAAVMQVFASYYESKSLRRTTSRGFELCYERPPNYDDFASLTFHFNGADYTVAGEYVNLISPDIFCVAIIKGTYATMLGAWQQQNKRLIYDVGMGALQFADENCVNDIS >KJB79935 pep chromosome:Graimondii2_0_v6:13:8870846:8872388:1 gene:B456_013G073300 transcript:KJB79935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKENNKRMKEEHNIVRKSKDKKERVVDLTAKPTQFAARPNLSPYAPLVIPMDYDDGIPYRAISGVTGELLEQNAHAFNQISANLAAFQVNYR >KJB78933 pep chromosome:Graimondii2_0_v6:13:1929643:1931284:-1 gene:B456_013G025900 transcript:KJB78933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRANHKIMIGEKIRSSKKKNSKNSTKIHSVRTCFFTKYYNAYLNTCIFDFLR >KJB79312 pep chromosome:Graimondii2_0_v6:13:3770486:3772689:1 gene:B456_013G043300 transcript:KJB79312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G15690) UniProtKB/Swiss-Prot;Acc:Q9ZQE5] MASLMAIRRGRSSTPPFTSLLTKVRLNSSHFTSNRHFDRTQILSLSLKSLSTSAIPNEYQRPPPHHQNQQPPPSSDPRVFHGQRNPNFNNQWNPQNQGYHHPQQQGGVSDNNQWNPQQNQGQGYSQRGRPNQWNPQQNRSYPQYPNANPMNTQMPRSPNQWSNQNQGQGYHPQGRNFNERAPQSQNPNQFSRERGNQGLMVEHVQAEPVPSLVDLTRLCHEGKVKEAIELMDKGVKGDASCFSYLFGLICNPKSLEDAKKVHDYFLQSTCRGDLGLNNKVIEMYAKCGSMTDARRVFDHMPDRNMDSWHLMINGYADNGLGDDGLQLFEQMRTLGLKPNQQTFLAVLSACGSAEAIEEGFIHFQSMESEYGISPGVEHYVGLIGVLGKSGHLYEAKEYIEKKLPFEPTAEVWEALRNYARIHGDVDLEDYVEELMIDLDPSKVDANKIPTPPPKKHTAISMLDGKNRISEFRNPTLYKDDEKLKALKAMKEASYVPDTRYVLHDIDQEAKEQALLYHSERLAIAFGLISTPARQTLRIIKNLRVCGDCHNAIKIISRIVGRELIIRDNKRFHHFKDGLCSCGDYW >KJB83965 pep chromosome:Graimondii2_0_v6:13:37133081:37136708:1 gene:B456_013G138500 transcript:KJB83965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEKTKLLGLPKPLVFHQFYQSCYMHLSFDSVTYTKLVQHSTKSSCLLHGKLAHTHIIKSAFNPCLFLLNNLLNMYSKAGEMDVAHRLFDKMSKPNLVSYNSLISGYTQMGAFDKALEVFVEARKACRKLDKFTYAGALNVCAQTGDLKLGKLIHGLILVSGLIEKAFLTNSLIDMYCKCECVDQARFLFENSQELDEISWNTLIAGYVRLNKKEEILELLISMHRNGLELNTYTMGSVLKACCTNTDVGIMCGKMLHGCIMKLGFDIDIVVGTALLDMYAKNGELNSAIKTFKSMPNRNIVMYNAMISGIMETESISKECTNEACRLFFEVQRQGLKPSKFTFSSMLKACIAVEDFVYGKQIHAQICKYNLQSDEFIVSALIELYSLMGSTEDGLKCFISTPRRDIVLWTSMIAGYIQNGQFESALSLFYELMAYGGRPDEFTISNILSACADLATARLGEQVHGHVVRSGFGSFRIVQNSQICMYAKCGDLNSADLIFRETENPDVVSWSVMICSCAQHGCAKDALNLFGLMKEHGIRPNHITYVGVLSACSYGGLVEEGLKYFESMKDDGVEASIEHYCCVVDLFSRAGRLAEAENFILASGFKNNPIMWRALLSSCRVYKDTVAGKRAAMKVIELEPQDSSSYVLLHNIYAGAAVEPLAARIRELMQQRGVRKEPGLSWI >KJB78424 pep chromosome:Graimondii2_0_v6:13:3817496:3820698:1 gene:B456_013G044000 transcript:KJB78424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFICFYKCSYFPGFRSDTMLMAVMIIKKLINILSFTLPFCNNVGLENLSIVNISVVISSLSKHSASM >KJB79043 pep chromosome:Graimondii2_0_v6:13:2355136:2355450:-1 gene:B456_013G031100 transcript:KJB79043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILGVGIAASACNGYQNDGVMIAAASDAFWDGGSACGRNYKVEGRGATNQGDPNPCRGQDYMVVKIVDYCPSGCQGTIDLSQEAFAAIANPDAGKIEILLPLL >KJB79208 pep chromosome:Graimondii2_0_v6:13:3004583:3005623:1 gene:B456_013G037900 transcript:KJB79208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPFHVGGNTRLFFSLLGAKTTSILPLECCQRQWFHLRPISMETPYPKPISPTQTRIGWIGIGIMGAAMASHLLSAGYSLTIYARSPSKASSLLSQGAHLAHSPHQLARQSDVVFTMVGNPQDVNQIVLQPNGILSTLNPGAVIVDHTSSSPSLARQIYASAREKGCWSVDAPVSGGDIGAREGKLAIFAGGERSVVEWLTPLLDLMGRVTYMGEAGCGQTCKIGNQIMVGANLMGLSEGFFFAEKAGLELSKYMEAIRGGGAGSMAMELFGRRTIERDFKPGGFVEYMVKDLGMGLNVVKEEEDERVVVLPGAALCKQLFSSMVANGDGKLGIQGLITVVERINGK >KJB83565 pep chromosome:Graimondii2_0_v6:13:57043788:57049048:1 gene:B456_013G253100 transcript:KJB83565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCTRGDGSDKLEKAAAGYGNGDADPTVTSHQTSYRTAPSSQGASTVGKQSKPAPMGPVLGRPMEDVKATYTIGKELGRGQFGITHLCTHKTTGEQFACKTIAKRKLSSKEDVEDVRREVQIMHHLTGQPNIVELKGAYEDKHAVHLVMELCGGGELFDRIIAKGHYTERAAASLLRTIMQIVHTFHSMGVIHRDLKPENFLLLGKEENSPLKVTDFGLSVFFKPDEIFKDIVGSAYYIAPEVLKRKYGPEADIWSVGVMLYILLSGVPPFWAESENGIFNAIIKSHVDFSGKPWPSISHQAKDLVKRMLNPDPKRRLTAAQVLSHPWIKEDGEAPDTPLDNAVLSRLKQFKAMNQFKKVALKVIAGCLSEEEIRGLKEMFKAMDTDNSGTITLEELRQGLAKQGTKLSEYEVKQLMEAADADGNGTIDYDEFITATMHMNRMDREEHLYHAFQHFDKDNSGYITTEELEQALREYGINDSTDIKQILSEVDADNDGRINYDEFVAMMKKGNPEPNPKKRRDVVV >KJB82660 pep chromosome:Graimondii2_0_v6:13:51849617:51851046:1 gene:B456_013G207500 transcript:KJB82660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAFPLEYTGPKESERCSFSQLMGKSREYFKGENHSGFIPSYRHAVETMGESEGIGSSGRIVTEMTASEGSYAPKRKCIGLNADSYNNFGVPVQALLLSKMSKSERKNLELRLKMELEQVRLLQKKVVSLGSRLDILSPSIDNRSCSDGKKMPLLNNFNRSRASNSQGKKRPLGGHNEVHLKKSTSGRFELKLVVAMSNSNAYLMKQCETLLNRLMEHNFGWVFNSPVDVVKLNILDYFTVIKHSMDFGTMKNKITSGQYASPLDFAADVRLTLSNAMTYNLPGNDVHIMAETLSKYFDARWKAIEKKLLITMNVVDAMPSLATEHLIEVKRNSDILPMKKKKITPKESILKSEPVRQMMTDQEK >KJB79317 pep chromosome:Graimondii2_0_v6:13:3785393:3786523:-1 gene:B456_013G043700 transcript:KJB79317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPMKRQRLTPVPPPSVHRLSPEHETSIMVAALKNVVAGYTPTSTSAVSVSAVDIPSDFVPLVTSTVSTAGISRDFDDALFEANEVMDKCHVCRFDGCLGCNLFPPSHQEDQTVTTTATTMFSKPKRVKKNYRGVRQRPWGKWAAEIRDPRRAARVWLGTFNTAEEAARAYDKAAIDFRGPRAKLNFPFPDRTHSDGDVTTSAAAAATTTSVVVFDQENSGAFNLNIGKESEMRIGSEFWDGMGEDEIQEWMKMMTTDFDWDNNNNNNKYFSDSAMTMGNASSF >KJB83479 pep chromosome:Graimondii2_0_v6:13:56779887:56780395:-1 gene:B456_013G249900 transcript:KJB83479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLVNVNQFTMVFNHSIYRCTDFTFSVSFLHVPFSRDSQHLDNSSPFQYFCFSDYSTLYLHQLVILFFFHQFTKLVYPLGRNDICTQVKKIGFLKMEFYSSSYSLKPSNCKLVSEIKSC >KJB78478 pep chromosome:Graimondii2_0_v6:13:68544:73180:-1 gene:B456_013G001200 transcript:KJB78478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELASSVDELWEDQESSSQSSFDTYLLGFVIANIVGLQYYRGKISGREMVGLVREPLNPYDGNALKVLNTRTLQVGHIERSVAAVLSPLIDSHLIVVEGIVPNSRSASNRYKIPCQIHIFARLEAFNSVKSAISRGGLELISHSDVSFTLSEAAVVKGNRAGGESQSLDKVFKLVEKNVSKKAAMEPIEPPNEVIISQLLLHQKEGLGWLLHKENSNELPLFWEEKGGEFVNVLTNYQTDKRPEPLRGGIFADDMGLGKTLTLLSLIAFDKFGSFVPNSGDAGIEEIVEEDVKKGKRGRGASKKGTRPLKKRNTKEAEFGSKAKGKSVSVADGCVSFSGRRTTLVVCPPSVFSSWITQLEEHTSPGKLKVYMYYGGERTKEVEELKKYDIILTTYSTLATEESWFDSPMKKIEWWRVILDEAHVIKNANAQQSKAVTNLKATCRWVVTGTPIQNGSSDLFSLMAFLRFEPFSIKSYWRSLVQRPLAQGNKNGLSRLQVLMASISLRRIKGNNLVGLPPKTLQTCYVELSVEERELYDQIEGKAKNVIEEFIANDSLVRNYSTVLGMLLRLRQICTSLALLPLDLRALFPSSNVEDVSNNPELLKKMVVMLQDGEDFDCPICISLPVDVVITRCAHIFCRSCILKTLQRTKPCCPLCRQPLSQSDLFSAPPKSSEADHTEISSRNPTSSKVSALLSLLRESRDQKPATKSVVFSQFRTMLLLLEKPLTDAGFKILRLDGSMNAKKRAQVIEEFQVPGLDGPTVLLASLKASGAGINLTAASRVYLIEPWWNPAVEEQAMDRVHRIGQKEDVKIVRLIARNSIEERVLELQERKKKLATEAFGRKGPKHRKEVTIDDLRTLMSL >KJB83171 pep chromosome:Graimondii2_0_v6:13:55195098:55197010:1 gene:B456_013G233300 transcript:KJB83171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQMDLLNVVQVYINPLFLSLVLLFSLLIWLKPAKKKNLNLPPSPPKLPVIGNIHQLGMLPHRSLRDLSRNYGSLLLLQLGYNQTVLISSPELVKEIVKNHDIIFSNRPRTTAVDMLFYNCGDMAFAPYGEFWRQVKKISVLELFSHRRINSFQFVREEEVDLLISKIRGACLKGESINLSEMLMSVSSNIASRCILSHKSEEEDGCSKFGQLGKRLLVLFTGFCIGDMFPYLRWMDVLTGYIPSMKALSAEFDAFLDHVIEEHRALEVDGQVSNKKDFVSIIMQLQKDGMYEMDLTRDNIKAILLDMFVAGNDTTTATIEWMMAELLKHPNVMKRVQQEVRTVVGNKSKVVIEDINKMDYLKCVVKETLRLHPAAPLLTPRRTSASVKLGGYDIPSDTTIIINGWAIHRDPKWWENPEGFIPERFEDSSNTDFQGQDFHFIPFGFGRRACPGMQFGVVTTEYVVANLLYWFDWKLPAGEIPKIWTWLNSTVSRAIRKHLFVLYLISLFKN >KJB83172 pep chromosome:Graimondii2_0_v6:13:55195168:55205127:1 gene:B456_013G233300 transcript:KJB83172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLNVVQVYINPLFLSLVLLFSLLIWLKPAKKKNLNLPPSPPKLPVIGNIHQLGMLPHRSLRDLSRNYGSLLLLQLGPRTTAVDMLFYNCGDMAFAPYGEFWRQVKKISVLELFSHRRINSFQFVREEEVDLLISKIRGACLKGESINLSEMLMSVSSNIASRCILSHKSEEEDGCSKFGQLGKRLLVLFTGFCIGDMFPYLRWMDVLTGYIPSMKALSAEFDAFLDHVIEEHRALEVDGQVSNKKDFVSIIMQLQKDGMYEMDLTRDNIKAILLDMFVAGSYTTTATIEWMMAELLKHPNVMKRVQQEVRTVVGNKSKVVIEDINKMDYLKCVVKETLRLHPAAPLLAPRRTSASVKLGGYDIPSDTTIIINGWAIHRDPNWWENPEGFIPERFEDSSNIDFQGQDFPLHSIWFRKKGMSWDAIWSCRY >KJB79597 pep chromosome:Graimondii2_0_v6:13:5583456:5586011:1 gene:B456_013G056500 transcript:KJB79597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRGGSVALGTLGNVGNPGMVGSGGSAPGLGNAGMDGCGRLGIDGSGGKMASFNCFALAFFVALSFSSIDVGLAGRHLQQLPPMPTLPTTTLPPFPSIPNLPQPSIPSFPRPGALPPLPTMPALPTLPTVPRATLPPLPSMPSVPTIPTTIPSIPFFSPPPSPSSP >KJB79193 pep chromosome:Graimondii2_0_v6:13:3508409:3512163:1 gene:B456_013G041800 transcript:KJB79193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAFAYRLILVVAVASVLGISLANKDWGSPNSNYTGWGWGWGWGSSKSNHTGQGFNNHPVNETQGPKKIIVGGSENWHFGFNYSDWAFNNAPFYFNDTLVFKYDPPSNTTFPHSVYLFPDRWSYLNCDLKRAKMVANTTEGGGDGFEFVLKRWTPYYFACGERNGFHCKVGSMRFMVMPLFRWHY >KJB80157 pep chromosome:Graimondii2_0_v6:13:11699238:11700073:-1 gene:B456_013G083500 transcript:KJB80157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSLLSPAAIATAAGATSITHHSLHPSSKLPVRNLLFKSISGNNRPSSRLHVSSPTDQPAATTTPAQPSSKSPKEETIFFDGGAHYGDLLANLLLGFTLLWLPLTLAAVSRAFFLRYRFTNLRVTVISGLTGQDRSDFSYKVIKDVQVVPRFIGEWGDVIITLKDGTKVDLRSVPRFREIAKYCLAMAEKPVVLKETGTKGF >KJB81436 pep chromosome:Graimondii2_0_v6:13:39955801:39957767:-1 gene:B456_013G145800 transcript:KJB81436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGVKYANNLRTDSSDYRAELLSPAPNGENHVTMASEQSWRLNMDKFHLPERRKESSYFSLGYFIKALRRHRKLSQYYKRQEELLKGFNEVDAFNELGILPGSLSEEEMKQVARSERVAIYASNVANLVLFLAKVYASVMSRSLAVIASTLDSLLDLLSGFILWFTAYAMRKPNQYRYPIGKNRMQPVGIVVFASVMATLGLQILFESGRELLIKAQPERDPVKEKWMIGIMVSVTLVKLVLMLYCRRFQNEIVKAYAQDHFFDVITNSIGLGTAVLAIKFYWWLDPLGAILVSLNLLFISFNTMENYMCVVNTLQIALYTMGNWANTVMDNVWALIGKTAPPEYLAKLTYLIWNHHEEIRHIETVRAYTFGSQYFVEVHIVLPQDMTLPQSHNIGQTLEDKLEQLPEVERAFVHVDFDTIHPPEHKPKGTPSSSP >KJB81435 pep chromosome:Graimondii2_0_v6:13:39955707:39957869:-1 gene:B456_013G145800 transcript:KJB81435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGVKYANNLRTDSSDYRAELLSPAPNGENHVTMASEQSWRLNMDKFHLPERRKESSYFSLGYFIKALRRHRKLSQYYKRQEELLKGFNEVDAFNELGILPGSLSEEEMKQVARSERVAIYASNVANLVLFLAKVYASVMSRSLAVIASTLDSLLDLLSGFILWFTAYAMRKPNQYRYPIGKNRMQPVGIVVFASVMATLGLQILFESGRELLIKAQPERDPVKEKWMIGIMVSVTLVKLVLMLYCRRFQNEIVKAYAQDHFFDVITNSIGLGTAVLAIKFYWWLDPLGAILIALYTMGNWANTVMDNVWALIGKTAPPEYLAKLTYLIWNHHEEIRHIETVRAYTFGSQYFVEVHIVLPQDMTLPQSHNIGQTLEDKLEQLPEVERAFVHVDFDTIHPPEHKPKGTPSSSP >KJB81273 pep chromosome:Graimondii2_0_v6:13:36311530:36311763:-1 gene:B456_013G135800 transcript:KJB81273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKGGSGGGGKGGCGGSGGGSGNVRGGSTSKGGGGASGMIVAPGSGGAAIISRGAFESNPQGYFAGLHSSEKGNK >KJB82611 pep chromosome:Graimondii2_0_v6:13:51575017:51575367:-1 gene:B456_013G2048001 transcript:KJB82611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKTLTNFSTCNWLHNGHVTNNNEKMSKSLGNFFTIRQITQRYHPLALRYFLINAHYRSPLNYSVVQLEGASDAIFYIYQ >KJB82834 pep chromosome:Graimondii2_0_v6:13:53606808:53610571:1 gene:B456_013G216100 transcript:KJB82834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPRLRSMNAPDSEARPVLGPAGNKAGSLSARKPASKPLRKVEKSPVEVTATEEKKSLPSSIVSSLSPKKHSVSVPSVLRRHEKLLHSNLSLNASCSSDASTDSFHSRASTGRLIRSNSVGSRRKPYVSKPRSFVSDSGSDSPSDGSHQKKRCAWVTPNTDPSYATFHDEEWGVPVHDDKKLFELLVLSGALSELTWPAILSKRQMFREVFMDFDPAAVSKLNEKKLIAPGSVSSSLLSELKLRAIIENARQISKVIDEFGSFDEYIWSFVNHKPIISKFRYPRQVPVKTPKADVISKDLVRRGFRSVGPTVIYSFMQVAGITNDHLTGCFRFQECITAAEGKEVEIKERAEEKKPDNSVSVIESELSIAIDELSFSCE >KJB82835 pep chromosome:Graimondii2_0_v6:13:53606720:53610571:1 gene:B456_013G216100 transcript:KJB82835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPRLRSMNAPDSEARPVLGPAGNKAGSLSARKPASKPLRKVEKSPVEVTATEEKKSLPSSIVSSLSPKKHSVSVPSVLRRHEKLLHSNLSLNASCSSDASTDSFHSRASTGRLIRSNSVGSRRKPYVSKPRSFVSDSGSDSPSDGSHQKKRCAWVTPNTDPSYATFHDEEWGVPVHDDKKLFELLVLSGALSELTWPAILSKRQMFREVFMDFDPAAVSKLNEKKLIAPGSVSSSLLSELKLRAIIENARQISKVIDEFGSFDEYIWSFVNHKPIISKFRYPRQVPVKTPKADVISKDLVRRGFRSVGPTVIYSFMQVAGITNDHLTGCFRFQECITAAEGKEVEIKERAEEKKPDNSVSVIESELSIAIDELSFSCE >KJB78994 pep chromosome:Graimondii2_0_v6:13:2180336:2183661:1 gene:B456_013G028900 transcript:KJB78994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSHPLKPHFLSTPKHKLQPKKLPSKVRMSFQESGPSVAVVGVTGAVGQEFLSVLSDRDFPYRSLKLLASKRSAGKSISFQDRTFTVQELTSDSFNNVDIALFSAGGSISKEFGPIAVEKGAIVVDNSSAFRMVDGVPLVIPEVNPEAMDGIKVGMKKGALIANPNCSTIICLMAATPLHRRAKVTRMVVSTYQAASGAGAAAMHELELQTREVLEGKPPTCNIFKQQYAFNLFSHNAPVLENGYNEEEMKMVKETRKIWVSN >KJB78993 pep chromosome:Graimondii2_0_v6:13:2180307:2183661:1 gene:B456_013G028900 transcript:KJB78993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSHPLKPHFLSTPKHKLQPKKLPSKVRMSFQESGPSVAVVGVTGAVGQEFLSVLSDRDFPYRSLKLLASKRSAGKSISFQDRTFTVQELTSDSFNNVDIALFSAGGSISKEFGPIAVEKGAIVVDNSSAFRMVDGVPLVIPEVNPEAMDGIKVGMKKGALIANPNCSTIICLMAATPLHRRAKVTRMVVSTYQAASGAGAAAMHELELQTREVLEGKPPTCNIFKQQYAFNLFSHNAPVLENGYNEEEMKMVKETRKIWNDADVKVTATCIRVPVMRAHAESVNLQFEKPLDEDTAREILKNAPGVIVIDDRISNHFPTPLEVSNKDDVAVGRIRQDLSQEGNQGLDIFVCGDQVRKGAALNAVQIAELLL >KJB80874 pep chromosome:Graimondii2_0_v6:13:29691472:29698421:-1 gene:B456_013G119100 transcript:KJB80874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 3 [Source:Projected from Arabidopsis thaliana (AT3G04260) UniProtKB/TrEMBL;Acc:F4J3M2] MSLLFSHALPPSLPPLSGHRNALVFATISTQKRKSSSRRKKRQPQQNKDEGNATLSSSNGSTALSALEKSLRLTFMEELMQKARSRDTVGVSDVIYDMIAAGLTPGPRSFHGLVVAHVLNGDVEGALQALRRELGVGVRPLHETLVSMVRLFGSKGLATKGLEVLAAMEKLNYDIRQAWIILVEELVRNKYLEDANAVFLKGAKGGLRATNELYDLMIEEDCKAGDHSNALEIAYEMEAAGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGEEYMKPDTETYNWVIQAYTRAESYDRVQDVAELLGMMVEDHKRLQPNVKTYALLVECFTKYCVVREAIRHFRALKNYEGGTIVLHNEGNFDDPLSLYLRALCREGRVVELVEALEAMSKDNQPIPPRAMILSRKYRTLVSSWIEPLQEEAELGYEIDYIARYIEEGGLTGERKRWVPRRGKTPLDPDATGFIYSNPMETSFKQRCLEEWKIYHRKLLKTLQNEGLAALGDATESDYMRVVERLRKIIKGPDQNVLKPKAASKMVVSELKEELEAQGLPTDGTRNVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEELDELISRIKLEEGNTEFWKRRFLGEGLNVNQVKLIDEDESEAADDELDESDVVEDAGKDIEEEEGEEEEEVEQTESREVDRIKDKEVEAKKPLQMIGVQLLKDSDQTTTRSKKSRRRSSRVSVEDDDDEDWFPEDIFEAFQEMRDRKVFDVEDMYTIADAWGWTWERELKNKPPRRWSQEWEVELAIQVMQKACHRIRWNTHHWGLCNDIASSH >KJB80873 pep chromosome:Graimondii2_0_v6:13:29691280:29698536:-1 gene:B456_013G119100 transcript:KJB80873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 3 [Source:Projected from Arabidopsis thaliana (AT3G04260) UniProtKB/TrEMBL;Acc:F4J3M2] MSLLFSHALPPSLPPLSGHRNALVFATISTQKRKSSSRRKKRQPQQNKDEGNATLSSSNGSTALSALEKSLRLTFMEELMQKARSRDTVGVSDVIYDMIAAGLTPGPRSFHGLVVAHVLNGDVEGALQALRRELGVGVRPLHETLVSMVRLFGSKGLATKGLEVLAAMEKLNYDIRQAWIILVEELVRNKYLEDANAVFLKGAKGGLRATNELYDLMIEEDCKAGDHSNALEIAYEMEAAGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGEEYMKPDTETYNWVIQAYTRAESYDRVQDVAELLGMMVEDHKRLQPNVKTYALLVECFTKYCVVREAIRHFRALKNYEGGTIVLHNEGNFDDPLSLYLRALCREGRVVELVEALEAMSKDNQPIPPRAMILSRKYRTLVSSWIEPLQEEAELGYEIDYIARYIEEGGLTGERKRWVPRRGKTPLDPDATGFIYSNPMETSFKQRCLEEWKIYHRKLLKTLQNEGLAALGDATESDYMRVVERLRKIIKGPDQNVLKPKAASKMVVSELKEELEAQGLPTDGTRNVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEELDELISRIKLEEGNTEFWKRRFLGEGLNVNQVKLIDEDESEAADDELDESDVVEDAGKDIEEEEGEEEEEVEQTESREVDRIKDKEVEAKKPLQMIGVQLLKDSDQTTTRSKKSRRRSSRVSVEDDDDEDWFPEDIFEAFQEMRDRKVFDVEDMYTIADAWGWTWERELKNKPPRRWSQEWEVELAIQVMQKVIELGGTPTIGDCAMILRAAIKAPVPSAFLKILQKTHSLGFVFGSPLYDEAISLCIDLGELDAAIAIVADLETTGIAVPDQTLDRVISARQTMDTSGNDTSSSSPPPPSS >KJB83344 pep chromosome:Graimondii2_0_v6:13:56134944:56136998:1 gene:B456_013G242300 transcript:KJB83344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKRVSLGVQKNTKQAKLLTNVFNYLKSDNYMFAPLISPSISSGPKLKEPIKGNKKKVLKMVDKYMKSDTYMYAPLLSSQLMGSLSSEQIQCISKVTVEVATTKTTLNTESANALAEEEQPHEDARPTDNQTVAQGETVEHMVYHHRCSTPMSGKAMADHMKVRKLAVE >KJB80746 pep chromosome:Graimondii2_0_v6:13:27659031:27660061:1 gene:B456_013G113600 transcript:KJB80746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAEDLKVLGTKQSMFTQRVVWALKLKGVEYEFIEEDLVHKSSLLLALNPVHKKVPVLVHDGKPIAESKIILEYLDETWKERPLLPEDPRERANVRFWAAFIDDKLMEASKKAFVSTGDDRAKAMESTMEGLKLLEQELQGKKYFSGDEGIGYFDIVAGWIAYWLQFIEEIGGFKVMESTKFPCLDAWIKNFLQVPSVKQSLPSPDELRNVFGAIRMAMQNEII >KJB81036 pep chromosome:Graimondii2_0_v6:13:32838372:32840013:1 gene:B456_013G126500 transcript:KJB81036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKSLNKILHSRIEAMHIQFAEKDRGSALAELVTVSGIGNNWCEGFSTYPRTYALIHANGVFSLYQDKYFSYPYFSSLPCFIMNQSCHSAYKSIHTSTVAMLHHLSLLKLRNNKW >KJB80587 pep chromosome:Graimondii2_0_v6:13:21303551:21307398:-1 gene:B456_013G105300 transcript:KJB80587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKYPFGSNNPKSFNAYPRGDFDIESGTIKRTRSRKSSIYPVRMAKSWANRLHYYYKLHPLFVFSISLAFGVTILIVLSLYEQHYRVLRNYMKLDDGFGSYYPFAKLKNLVMVAGHSVYTSSSCEKADKEDSWFLESYQKNPGQAATFLAHIKEGIESTALDDEALLLFSGGETRKDAGPRSEAQSYWTVADSEGWFGKEESLKWRALTEEHARDSFENLLFTVCRFRELTGTYPHNLTVISYDFKEERFAQLHRSAIGFPESRFLYRGTPAPVTSIEAALKGEALVRTQFQEDPYGCMGSLKRKKLGRDPFHRSVPYPKGCPEIEGLFRYCGAAPYRTSLPWTV >KJB81792 pep chromosome:Graimondii2_0_v6:13:43887857:43891845:-1 gene:B456_013G161500 transcript:KJB81792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSLKQSALAACNDVSNSVLQRRSLSCRSSILPPLVFQNNSKRTNLSLSKPLHVSAVEIIGKDKEPVIKCRAYEADKSQPIEAAAEEVKSEAAKRVKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLACGSLMMLISWATRIAEAPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSSLLLGESFPPAVYLSLVPIIGGCALAAVTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGNSVSGMNYYACLSMLSLVILTPFAIAVEGPQMWAAGWEKALSQIGPQFIWWVAAQSIFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNAVGAAIAILGTFLYSQAKA >KJB81793 pep chromosome:Graimondii2_0_v6:13:43888512:43891806:-1 gene:B456_013G161500 transcript:KJB81793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSLKQSALAACNDVSNSVLQRRSLSCRSSILPPLVFQNNSKRTNLSLSKPLHVSAVEIIGKDKEPVIKCRAYEADKSQPIEAAAEEVKSEAAKRVKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLACGSLMMLISWATRIAEAPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSSLLLGESFPPAVYLSLVPIIGGCALAAVTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGNSVSGMNYYACLSMLSLVILTPFAIAVEGPQMWAAGWEKALSQIGPQFIWWVAAQSIFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNAVGAAIAILGTFLYSQVSDPVIHEQTLCISDCQTCRTVIDYPTRYMNKHYFSRLFTYDLHVCFWMIFP >KJB83896 pep chromosome:Graimondii2_0_v6:13:58126165:58130378:1 gene:B456_013G269800 transcript:KJB83896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKKITKKWLSAEMDRRVKQMLNLIDQDADSFAKKAEMYYQKRPELVAHVEEFYRLYRTLVERNDYLKGELRRNVLLDVQSQGSGVSDNGFELPPTCPSPEQRLTRRRSGARAAGFEFFLGSSWSDMYQRGDDESSYVTDSEPESDDGSVNNYTVSSTTNLGDQGAGRKTIELEIELRETKEKLQILEDESELLARIRKYEEELKIVNRKLRLSEEKNNWLTIELQKYKQMETSESDSSEEDSVKTDTSMVQNKVDKETLHDDGKILPLEDEFNLTKEMPQDPEAEIACLKLENKQAFEKIQSLQGQLDMAQSEIRTSNTKLSILKKEVCKLQGRMAMLKDGLVSRDNEIRELKIVVSDAEMKIFNEKAQIGAKVSKLLEERSYLEEQLRDGESHARSLEEEIRNVLTEKRELEERLHDEIEALKTEIAKRGDSIKILNENLETLKSERDELKMKIDLLEEEVGYKGDQIVEMDKQLHRLRVEHGELIASAEGANKLVEEMQGKAKELEDEIERQSRAIAEAAEEKREAIRQLCFSLEHYRDGYYKLKQAFTGNKRVPILAT >KJB81416 pep chromosome:Graimondii2_0_v6:13:39699372:39700780:-1 gene:B456_013G144700 transcript:KJB81416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVVCKNYMMCPFLLSRFQYSMEPSKRHFILSKKPKRKIKSTKYMKSFERLKMDMKKTSKVQQSIKEGQGQVVAKFNAIEQECKQLRDEIDLMIRCSANTQIRLGLMFSILKARQQADFGTAAQLTGVLREIVGRDN >KJB81070 pep chromosome:Graimondii2_0_v6:13:33319355:33320728:-1 gene:B456_013G128000 transcript:KJB81070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKHSLVEMQCTIENQAFPCLLNFLANNFKKSINKEACWAVSNITARNKEQIQVVIEAIIIAPLVHLLQNAEFDIKKEAVWAISNATSGGTPDQINQGCIKPLFDLLNCPDPRIVTVYLVGLENILKVGKADKNLGSTRGVNLHAQMTDDTGAHRRLRFYSVMITQIYEKAVKILETYWLVEEDETMPPGDASQTGFQFGGGELPVPSGGFNFN >KJB79990 pep chromosome:Graimondii2_0_v6:13:9369855:9370252:-1 gene:B456_013G076200 transcript:KJB79990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEFDLALIGARLQLCFSSSTIFCSHLFIKASLALCFYRQRLNLVLPIVSMRLRPKKTCSGVGCFGGFHIKQRFFYLLLFLRGAYTLYCFDSLRFLFSLSS >KJB81630 pep chromosome:Graimondii2_0_v6:13:45818675:45819376:-1 gene:B456_013G171700 transcript:KJB81630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWSKEYLDIVLVPAGLFILSIYHVFLLYKYLKFPETTAIGFENHFRKAWVERMLQVEAKDRGLSLTTINSTISASTFLATTSLALSSLIGTLLADSSHQKLFKNSLIYGNTSSYINSVKYITLLICFLVAFGSFLQCVRSFVHACFLISMPNAVIPMSYAQKAVIRGSACWSIGLRAIYYATVLLLWIFGPIPMFAGSLIMVLVLHLLDTNVTPLHQFEEDNKSCNVVTETN >KJB79537 pep chromosome:Graimondii2_0_v6:13:7439959:7440714:-1 gene:B456_013G066000 transcript:KJB79537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPIAIPILCTLLFALLSPSKGDPKFCPTTMQISGSCGPNGAFECFEAINAKYGASAMAQRCSCKDLSANEHLCQCYIVCQ >KJB81626 pep chromosome:Graimondii2_0_v6:13:41780603:41781591:-1 gene:B456_013G153000 transcript:KJB81626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic20-V [Source:Projected from Arabidopsis thaliana (AT5G55710) UniProtKB/TrEMBL;Acc:A0A178URN8] MLLFNPITSLAVPLTLSPKIHYSPSLSSLKNHPSFHTNKNHNPTRRKLLIVQAKGEDSADGPDRLISAICYFYPFFDGIQYGKYVITQFTPIQVLIQPLFPAIKVFKSFPLNGFLVFLTLYFVVVRNPNFSRYVRFNTMQAIVLDVLLIFPDLLERSFNPKEGCQGCLLLLKLLIDRFFDAI >KJB81999 pep chromosome:Graimondii2_0_v6:13:45806654:45807120:-1 gene:B456_013G171500 transcript:KJB81999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGAQVWRKVVPVSVAMDVIISGIEPYSDDGGSNPLSWEVSRHIQWRTLHDAGLPSPFSLNAEVGDCSKEVLCTIV >KJB78414 pep chromosome:Graimondii2_0_v6:13:3200418:3200566:-1 gene:B456_013G039900 transcript:KJB78414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASITDIFQIEAKAVFEGLKLAWNKRFRQVELESDNILLIEILQWVLR >KJB79599 pep chromosome:Graimondii2_0_v6:13:5649139:5649948:-1 gene:B456_013G056700 transcript:KJB79599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQNSLISSANDSNQNLVFGHNNNLYSQMIAAGFVVIVEISFSLQLISYSQGAEAIKSHNLQSILSIFPFLEEKFSHLNYVLEALITSSLPSRNLSSSLRYWVKDAFSLHLLRFSLYELL >KJB82467 pep chromosome:Graimondii2_0_v6:13:50286317:50289716:1 gene:B456_013G197200 transcript:KJB82467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLKTLPFKSTPLPPLSPSLRPISLNSPRPFYVCFKQRLPRTPGALWFSSSPSLRFVKLVPFASNGGETETAETQEEVEEPQIEDSSDGAVSVEEDTTGDESNDFEDAPSSTIVSLLQTYKEALASNDESKVADIEAFLNSIEDEKVDLEKKMASLSEELSIEKDRVLRISADFDNFRKRTERERLSLVSNTQGEVLENLLPVLDNFERAKAQIKIETEGEEKINNSYQSIYKQLVEILGSLGVEPVKTVGNLFDPMVS >KJB82466 pep chromosome:Graimondii2_0_v6:13:50286255:50289724:1 gene:B456_013G197200 transcript:KJB82466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLKTLPFKSTPLPPLSPSLRPISLNSPRPFYVCFKQRLPRTPGALWFSSSPSLRFVKLVPFASNGGETETAETQEEVEEPQIEDSSDGAVSVEEDTTGDESNDFEDAPSSTIVSLLQTYKEALASNDESKVADIEAFLNSIEDEKVDLEKKMASLSEELSIEKDRVLRISADFDNFRKRTERERLSLVSNTQGEVLENLLPVLDNFERAKAQIKIETEGEEKINNSYQSIYKQLVEILGSLGVEPVKTVGNLFDPMLHEAIMREDSTEFEEGIILQEFRKGFKLGERLLRPSMVKVSAGPGPAKPEQGESLESTEKGESNEGGESSGSSETDPGTAETS >KJB82714 pep chromosome:Graimondii2_0_v6:13:52232074:52232496:1 gene:B456_013G210500 transcript:KJB82714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYCGKGNWIVGYNRFLGKCSVFVAELWGILDGLLLIQKQGYNEIIIQFDNLEVVVTISDSKLERSKSTLVRQIQQILLNEEKWCLRYVPRETNKIAHALTKMALSNDEVLPMFDDLSMEIQKVLKEKNTRGNLLMNMTI >KJB81414 pep chromosome:Graimondii2_0_v6:13:39614140:39615035:1 gene:B456_013G144500 transcript:KJB81414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYITHLLYHRLPSSFIDFLRRMFPKPHCYDLILHRKIGMNMQTKHKKKKARKHDSELKCRRWKGELEEIRKEQNSITEGQSRVGEKLEAMEIECEALHEESKLMIERSALTQIRFAVMLNILTARKNGDYAKAAHFTQLLREIIAKDNMQQQQTLRNS >KJB78511 pep chromosome:Graimondii2_0_v6:13:223969:228213:-1 gene:B456_013G003100 transcript:KJB78511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSISKNGFFQSVSAVMWPNRSPEGSVSHRETGNELASKEPESALPVQSRPPEQMTMPKSETKQETKSKKPKKPKPPHVKRVSSAGLRTESVLQTKTGNFKEYYSLGKKLGQGQFGTTFLCVEKSTGKEYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDAMAVHVVMELCAGGELFDRIIQRGHYTERKAAALTRTIVGVVESCHSLGVMHRDLKPENFLFVNQQEDSLLKTIDFGLSMFFKPGETFMDVVGSPYYVAPEVLRKHYGPEADVWSAGVILYILLSGVPPFWAESEEGIFDQVLHGDLDFESDPWPNISESAKDLVRRMLIRDPKKRLTAHAVLCHPWIQVDGVAPNKPLDFAVLSRLKQFSAMNKLKKMVLRVIAENLSEEEIAGLREMFKMIDTDNSGQITFEELKAGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFVAATLHLNKIEREDHLFAAFSYFDKDGSGYITPDELQQACEEFGIEDVRLEEMIHEIDQDNDGRIDYNEFVAMMQKGHVATNAGSAGAGKEGLQHSFGIGFREALKL >KJB78510 pep chromosome:Graimondii2_0_v6:13:223969:228346:-1 gene:B456_013G003100 transcript:KJB78510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSISKNGFFQSVSAVMWPNRSPEGSVSHRETGNELASKEPESALPVQSRPPEQMTMPKSETKQETKSKKPKKPKPPHVKRVSSAGLRTESVLQTKTGNFKEYYSLGKKLGQGQFGTTFLCVEKSTGKEYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDAMAVHVVMELCAGGELFDRIIQRGHYTERKAAALTRTIVGVVESCHSLGVMHRDLKPENFLFVNQQEDSLLKTIDFGLSMFFKPGETFMDVVGSPYYVAPEVLRKHYGPEADVWSAGVILYILLSGVPPFWAESEEGIFDQVLHGDLDFESDPWPNISESAKDLVRRMLIRDPKKRLTAHAVLCHPWIQVDGVAPNKPLDFAVLSRLKQFSAMNKLKKMVLRVIAENLSEEEIAGLREMFKMIDTDNSGQITFEELKAGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFVAATLHLNKIEREDHLFAAFSYFDKDGSGYITPDELQQACEEFGIEDVRLEEMIHEIDQDNDGRIDYNEFVAMMQKGHVATNAGSAGAGKEGLQHSFGIGFREALKL >KJB83334 pep chromosome:Graimondii2_0_v6:13:56086047:56088232:-1 gene:B456_013G241600 transcript:KJB83334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLQSLLDPKKSWLASLHMKALTTRLRKYGLRYDDLYDPYYDLDIKEALNRLPREIVDARNQRLKRAMDLSMKHEYLPEDLQKMQTPFRSYLQDMLALVKKERAEREALGALPLYQRTIP >KJB82262 pep chromosome:Graimondii2_0_v6:13:48450802:48453973:1 gene:B456_013G185500 transcript:KJB82262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSTSLVFKVHRHEPELIAPAKPTPHEYKLLSDIDDQEGLRFQIPVIQFYKYNPSMQGKDPVRVIREALAQALVFYYPFAGRLREGAQRKLMVDCSGEGVMFIEADADVTLEQFGDALQPPFPCLEELLYDVPGSTGVLNCPVILIQVTRLRCGGFIFALRLNHTMSDAAGLAQFMFAVGEMARGRLTPSIPPVWERHLLRARDPPRVTCKHREYEEVEGTIVPYDDMVHRSFFFGPMEVSALRKLVPKHLRKCSTFELLTACLWRCRTIAIQANPEEEVRIICIVNARSRFNPPLSSGYYGNAFAFPVAVAQAGKLCQNPLGYSLELVKQAKNDVTEEYMKSLADLMVIKGRPHFTVIRSYLVSDVTRAGFDDADFGWGKAVYGGPAKGGVGAIPGVASFLIPFKNKKGEAGIVLPITLPARAMEIFVKELDGMLKGKPIERKPGFILSSL >KJB81954 pep chromosome:Graimondii2_0_v6:13:47189705:47193024:-1 gene:B456_013G178400 transcript:KJB81954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNSTITPLCSVHCRIPDLPNVFTKALPYSTTLTAPGKGSFTSRTLIKVSSSSENQAAVFDVASQPHDEGAAEEVVRRFYDGINRRDLASVEPLIAEKCVYEDLIFPRPFVGREEILGFFKSFIDSISKDLQFVIDDISAEDSSAVGVTWHLEWKGKAFPFSKGCSFYRLQMVDGKRQILVPSGL >KJB81953 pep chromosome:Graimondii2_0_v6:13:47189602:47193024:-1 gene:B456_013G178400 transcript:KJB81953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNSTITPLCSVHCRIPDLPNVFTKALPYSTTLTAPGKGSFTSRTLIKVSSSSENQAAVFDVASQPHDEGAAEEVVRRFYDGINRRDLASVEPLIAEKCVYEDLIFPRPFVGREEILGFFKSFIDSISKDLQFVIDDISAEDSSAVGVTWHLEWKGKAFPFSKGCSFYRLQMVDGKRQILYGRDVVEPAIKPGKAALGAIRAVTWVLQQFPQLADQL >KJB78562 pep chromosome:Graimondii2_0_v6:13:455069:457204:-1 gene:B456_013G006600 transcript:KJB78562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYDEADSGSIGFINDYEDSQPATPPMDRRRVVTAAAAQAPIISSFNDKIRPLLDVIDRLRLLMAMKEGIQLPTIVVVGDQSSGKSSVLESLAGVNLPRSQGICTRVPLIIRLQNHASPRPELYLEYNGKMVPVEAPQIATAINIATDEIAGPGKSISDTPLTLVVRKDGVPDLTMVDLPGITRVPVHGQPNNIYEQIRDIIMQYITPKESIILNVLSATVDFSTCESIRMSQQVDKNGERTLAVVTKVGRAPEGLVDKVTADDVNIGLGYVCVRNRIGDESYEEARKEEARLFETNAHLSCIDKSIVGVHVLAQKLVQIQANEIAKCSPEIVKNISAKLDENVSELEKMPKVLTSIADATQAMMWIIQAAKESLKKLLWRGEFDEYPEDNTKHGTARFVEMLNRFSDELHNCEESNLSKDFLTEEIKGLEDAKGIELPNFLPCEAFLRIFRRKVERISYLPIKFTEKYWDYIDNVVTSVLTRHSEMYYQLKVSAKGAAHNLVQKLREQSINRVKEIVEMEKLTGYTCNPDYMRKWKELMNQQDYFINQITGTDMMLPPYREDLQNMMLRPSCEDLQGFGEIQGLKEVQGFGEIQVEHLRQHSNVLILQQAFDLKMRTVAYWKIFKVRLVDSMALHLQYCVHNLVNNGIDEIVKELMGPDGHGMEKVMVESPAIVAKREKLKNNIKVLKESKDNVAKVMDRIVVYDAYLV >KJB79269 pep chromosome:Graimondii2_0_v6:13:3318516:3319494:1 gene:B456_013G040900 transcript:KJB79269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLKLVTENQNPISPITKETKRTLQNILIFQRKFLFLFPSLVMSTPLEQQQPPLVGTTQEAYTAHTGHGSVGPVIAVVAVITILGIIAGMIGRLCSGRPIMGHGHYDFEGWVERKCSSCLDGTVVDPPPSRPADEEEEHAAVPAEETQQEIKDEEHDEAQKQHGSSSKS >KJB81316 pep chromosome:Graimondii2_0_v6:13:37144878:37145234:1 gene:B456_013G138600 transcript:KJB81316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSWFNLILFKGELEYRCGLSKSMDSRLGLVENTTVNEDPTRNGTDKNIHDCSDSSSYYSKVDHLVDVKDIRNFISYDTFLIRDSNQDRYSIYFDSENQNFELNNDYSFLSELEIFFI >KJB79924 pep chromosome:Graimondii2_0_v6:13:8646228:8647011:1 gene:B456_013G072600 transcript:KJB79924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGKTAPDFEDLLPAMAEKLGGDGLMRELCNGFKLLMDEETGVITAASLKRNAAVLGLQDLRDDELASMVREGDLDGDGALSEMEFCVLMFRLSPGLMEESRLLLEEMLEDQLKTAGF >KJB79462 pep chromosome:Graimondii2_0_v6:13:4792862:4796627:-1 gene:B456_013G051100 transcript:KJB79462 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRS2-3 [Source:Projected from Arabidopsis thaliana (AT3G19640) UniProtKB/TrEMBL;Acc:A0A178VLJ7] MTATGTPPPPPSSKPEDDPDLSNRSAVIPNQTLPFTTHRKKGSSIRSWLLLDSTAHTFRLEAGKHSIMRRTGLPGRDLRILDPLLSYPSTVLGRERAIVINLEHIKAIITAQEVLLLNSKDPSVTPFVDELQRRILLHYQANQSFFFLNFGAIVQNEGTIDDTNCIIRTTSQNLLPKNSQLQSLSEEGRGEEKQGLETQEGTKTLPFEFVALEACLEAACGCLESETRTLEQEAHPALDRLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELENLLDDDDDMAEMYLTEKQLVENSSTTPGEESLTTSYEGDVQDPDKPHDHSFGATIGGDTHGIHASSCHSLIGKPVNVEELEMLLEAYFVQVDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLSTANLVIGAFIVVAGLFGMNIHIDLFDENKAGTPEFLWTIGGSTAGTILLYVVAIAWCKYEGLLE >KJB81069 pep chromosome:Graimondii2_0_v6:13:33255293:33256715:-1 gene:B456_013G127900 transcript:KJB81069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSESNKKFCTDCKTTKTPLWRGGPAGPKSLCNACGIRYRKKRRAMLGLNKGIEKKKKEISHSPSSDSSSSSAPTNDGGGENLSANLNGLSESVKMRLFALGSEVLLQTSSSLSGVVKKQRCQRRRKLGEEEQAAISLMALSCDTVFA >KJB81068 pep chromosome:Graimondii2_0_v6:13:33255146:33257020:-1 gene:B456_013G127900 transcript:KJB81068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMDLRAKKSWSEDMMSESNKKFCTDCKTTKTPLWRGGPAGPKSLCNACGIRYRKKRRAMLGLNKGIEKKKKEISHSPSSDSSSSSAPTNDGGGENLSANLNGLSESVKMRLFALGSEVLLQTSSSLSGVVKKQRCQRRRKLGEEEQAAISLMALSCDTVFA >KJB79110 pep chromosome:Graimondii2_0_v6:13:2604871:2605448:1 gene:B456_013G033900 transcript:KJB79110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIFQRSVQESTAQGFCQELVQMCQISGIEFDRDPVIPIYSARPDQVNKALKYVYHAAANKLEGK >KJB78783 pep chromosome:Graimondii2_0_v6:13:1294989:1297436:1 gene:B456_013G018700 transcript:KJB78783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFVVNLEGKVYSCKHCKTHLALSDDIVSKSFHCRHGKAYLFSKVVNVTTGEKEDRLMMTGLHTVADVFCVGCGSIVGWKYVSFWYYIFRIRSLTYLYLLLLVISIVSSRKWLMRRVRSTRKENWSSNGLRCPVRTEAITGSAMEDMWVGVMQMMFDQNNKKKMVKFPNCTFFKPLKNLIQFMSILKKKTRHS >KJB81787 pep chromosome:Graimondii2_0_v6:13:43838607:43841521:1 gene:B456_013G161100 transcript:KJB81787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPSMKTVHLNTKWGILERVSIFRRFFSFLWDKILVCSIARPIQYRRLTRPDSSSSSSSPVEVVVVDDNKNLPEDHPPMICNGNEADSDLVSLKISLLGDCQIGKTSFLIKYVGDEQEKSLQMTGLNLVNKTLFVQGARIAFSIWDVGGDSNSLDLLPIACKNAVAILFMFDLTSRCTLNGVVGWYSQARKWNQTAIPILVGTKFDDFVGLPPDLQWTIVTQARAYARAMNATLFFSSATHNINVNKIFKFIMAKLFNLPWTVERNLTIGEPIIDF >KJB78959 pep chromosome:Graimondii2_0_v6:13:2003991:2004933:-1 gene:B456_013G026600 transcript:KJB78959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKNTYNINQEELEETLSFCDLSLENDQDLDDTSHHSPNSPSYGHDIFEFPFIPNTPLNNNNNKANDIVFGGKLIKEKEFVGGDGDGDQSRYLFHLSSSTKKFNNKNDLGSFYLLNSKPNSCLPTKGFRSQSYSCLRKHKALIGITKIEPKMELSDMKKRQSRRNHPLPMFPPMANGDMAVVDTGDGCNAGGKRGHRWSLLKPLRCRPNLFSTATKASLGCIPRVM >KJB80570 pep chromosome:Graimondii2_0_v6:13:20674052:20676119:1 gene:B456_013G104600 transcript:KJB80570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKHEEDQEFDVGSEDEAAGKGTWKHAAFHVATTIATPAAYAPLPFALASLGWPLGVSSLVTATLATWYSSLLIASLWRWNGKKHVTYRLLADSIFGFWGYWSIAFFQQVASIGNNIAIQIAAGSSLKAVYKHYHKHGTLTLQHFIIFFGAFELFLSQLPDIHSLRWVNGLCTLSTIGFACTTIGVTIYNGKKIDRESISYSLQGSSSAKRFAAFNALGAIAFSFGDAMLPEIQNTVREPSVKNMYKGVSAAYSVIVLTYWQLAFTGYWAFGSQVQPYIVASLTVPKWTIIMANIFAVIQISGCYQIYCRPTYAYFEERLASNRTSMLKNGLIRPIFTSIYIVVITLVASAMPFFVDFVSICGAIGFTPLDFIFPVLAFLKAGKMPKNRAVGISMRILNVGIAAWFSIVAVVGCIGAIRFVVEDVKTYKFFHDM >KJB83668 pep chromosome:Graimondii2_0_v6:13:57332415:57333169:1 gene:B456_013G257500 transcript:KJB83668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLTVYKQNFKNIGGYLVDMQRINDKKGGYIKLKRVEKFILLVGSFEKRVFKERLELHKRCLRRLCQNSDRVCVSVLDISMRCSP >KJB81629 pep chromosome:Graimondii2_0_v6:13:42145635:42146218:1 gene:B456_013G153300 transcript:KJB81629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPGKLVKLKFPIILTLTLSLALSLLIIFAPSFLTILTYFWPLFLSTALFLIVVIFFAKTSADSLADKPGEGILDYVAGHPELPLDSYKSD >KJB79562 pep chromosome:Graimondii2_0_v6:13:5268327:5270189:1 gene:B456_013G054400 transcript:KJB79562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRILFLMLILPWAASQSFNFTSFDTSNDNIRYKGDARPINSTIQLTNLEVWRSGHAIYAEPMHLWDKYTGKLAGFTTHFTFSIQAQTNITPADGLAFFVASLEYHVPDAPDGSGIGLATGTLLFNSTENPFVAVEFDTYHNDWDPENNHVGIDINSVVSNKTIEWYSGGLDGEIIDVWISYNASSKILQVSFTGFGEDNTTIQQSLQYELDLRDYLSEWVTFGFASATGIYYELNTIHSWYFSSHLQIPGNQKGNIKFNTIMKVGFVVIGSFILLFGICLIFLFLKKKKRREKNEVYEIRSMDKEFERVRGANKRTFAELIEATNNFAERRKIGEGGFGAVYRGFLKDSDSEVAIKRISTISSQGIKEYASEVRITSQLRHKNLVQLIGWCHEKKELLLVYEFMLNGSLDSHLFDGERPLEWRVRYDIAQGLASSLHYLHFECKSCVLHRDIKASNVLLDSNFNAKLGDFGLARIVSHEKAPQSTKFGGTLGYMAPEYVSSGTASQETDVYSFGIVALEIACGRRPIVANANRNEINIVEWLWGLYAKAKHIEAADPRLEGKFNRQQMERLMIVGLSWAHPDFNSRPSIKQVIRMLNFEVLPRNLPFQMPRVPNHLSD >KJB80243 pep chromosome:Graimondii2_0_v6:13:13824523:13825947:-1 gene:B456_013G088500 transcript:KJB80243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVSATMKAINFPATSTVPRATVSITAASPWSQTKLNMLTFYAPNPNTRFSSICLPRCSTKPNTDTNNETDQNPTFEPNPSLTTENPSSAVSDEVIPSSSNPPSSLSRGLVLDLGPVGSWDCTDIGSPVVKRFLSDEEERWYMWYHGVSTDSQGSDSIGLAVSSNGVHWERGKGAVKSSADVGLVMSCGNDWWAFDTQSIRPGEVVIMSSAKVRASSAVYWLYYTGYSNEKVDISADSLGFKVQNPENQSSQTGEVLRSLPGLAISQDGRHWARIEGEHHSGALFDVGSEGDWDSLFISSPQVVFHGNGDLRMYYHSFDVGNGVFSIGMARSRDGMKWIKLGKIMGGGPKGCFDELGAMNPYVVKNKKDRNYVMAYEGVGADGRRSIGLAMSAEGLKDWRRVEDEAVLKLATMEDGWDSKGIGSPCLVEMDGDVDEWRLYYRGIGNSGRCGIGMAVSDGSDITRFRRWKGFQV >KJB82857 pep chromosome:Graimondii2_0_v6:13:53713207:53715188:1 gene:B456_013G217100 transcript:KJB82857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKDDDYGEEEDSEYVLLDLEAVRGQIDIPPNAPYTLSGLDTMNPILIIDKKVKLSEYEETIGTCFVFSEDEASPVVHEETGPSEANLFSGKYVLDPNQAPRKQVKSVARLQKILKFRLLLDEDVQVETNSQNNSIL >KJB80792 pep chromosome:Graimondii2_0_v6:13:28647017:28648141:1 gene:B456_013G115600 transcript:KJB80792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NEFNGLQNLILNDCRYAYYVHCFAHHLQLALVESDLFGIVNIASASSKRHGELQKSQATKITHLVSINELATRTGMNQIFNLQRPNILKAMSLVSTTKDLIQKLRDDGWDKLLKNVISFYETWELDFPNMNAQYIVGRSHNKKEDVTMEHHYRVDIFFATIDTQLQELKSIFNEHVVELLTLTTTLDPNEFFKLFDIDKICIFLKHYELDVCKHPELRKISTLSELCKSVVESGKSIMYPLVDRLICLILALPVSTASSKRVFSAMKIVKA >KJB81075 pep chromosome:Graimondii2_0_v6:13:33357731:33358723:-1 gene:B456_013G128300 transcript:KJB81075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRRLLINFMAVFEGGAVFLKAVNCEKEYNNKFYVATGSLVGTQHPHIFRTPYVVHTLNFALKNIRAAKNTKKNEVTYDVLCWINNVGDDAIFIRNFIMNHSMRLAIFNFFVYLKLLVVADTQFASIIVMFKIFKLIKQGLQNMTNIYRHEGKKGDERSIFYKVVYDILIDRWTKSSTPLYCMAYSLNPSDWLNEISNRLPPHKYVKILEEKKKCLICIFLLPKNGR >KJB78543 pep chromosome:Graimondii2_0_v6:13:351599:352717:1 gene:B456_013G004700 transcript:KJB78543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAASETKFHVLAVDDTLIDRKLIEKLLKTSSYQVTAVDSGTKALEFLGLNNNDHQDVKVNLIITDYCMPGMTGYDLLRKIKESSSFKDIPVVIMSSDNIPSRINRCLEGGAEEFFLKPVQLSDVNKLRPHLMKRTQTNVNKRKAMDEIVSPDRTRARYNELEVN >KJB80367 pep chromosome:Graimondii2_0_v6:13:15287615:15289086:1 gene:B456_013G093900 transcript:KJB80367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPWPFRENKRKNLLETSKEMESFEFSTELVPGLPNELGLECLTRLPYTAHRLASGVCHRWRDLFQSSDFHYHRKKLGYTQKVACLVQAFSGGTVNGPKRPGELPSYGISVFESLNRGWYRLPPVPKYPNGLPLFCQLASCEGKLVVMGGWNPVSYDPVADVFIYDFTTQQWRQGKDMPSTRSFFAIGACSGRVYIAGGHDENKNALRTAWVYDLRKDEWDQLGELSKERDECEGVVIGEDEFWVVSGYGTERQGQFDGSADVYEFKSGEWRVAEGIWEPGQCPRSSVGIGKGGKLMNWAELNTAVRVGARGIMLGGRVLVTGSEYQGGPHGFYMVEVKEGQIGKLEKINVPDEFSGFVQSGCFVEI >KJB81098 pep chromosome:Graimondii2_0_v6:13:33556474:33556943:1 gene:B456_013G129000 transcript:KJB81098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVELKVRLHCKACEKAVRKSLLKINGVKCVEIDMISCKITVLGYVDRKAVVKSIHKTGRKAETWRPSSSSAAARKRDEYFSPRLPKGFSCIIPRWGYQKV >KJB78532 pep chromosome:Graimondii2_0_v6:13:278334:279880:1 gene:B456_013G003900 transcript:KJB78532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLTRPFHGIILILFVMLAINSTSATTKYNVLSFGAKPNGKTDSTKAFLMAWEAACSSADSTMIYVPKGRYLLGSMAFKGGCKSPQITIRIDGTLVAPQDYRVLGKSTDWLNFEGVNGVSILGGALDAKGPSLWACKASHSNCPSGATTLSFTNSKNIRIRRLLSLNSQMFHIVINGCENVHVQGVSIIAAGDSPNTDGIHVQLSKNVNIIKCSIKTGDDCISIGPGTKNLWVEQVTCGPGHGISIGSLAKDLKEEGVQNVTIRKTTFMETQNGLRIKSWARPSTGFVQGVRFLDSLMRNVQNPIVIDQNYCPHNLNCPNQVSGIKIKDIIYEGIRGTSSTQVAIKFDCSPKNPCTGIRLQNVNLSYLNKPAQSSCSNVRGKALNLVRPESCL >KJB83012 pep chromosome:Graimondii2_0_v6:13:54475999:54476727:-1 gene:B456_013G225000 transcript:KJB83012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRSILIAFACSSASAYDPSPLQDFCVAIKDFKNGACSYRRFLFRPKQAGNTSNPDGSNVTMINVDQILGLNTLSISLVRIDYAPYGTLYVGFVMANPTHCLFTKILNPGDVFVFPFGLIHFQFNIGKTAAVAFAGLSSQNAGVITIANAIFGSNLPINPDILAKAFQLKKNVVKYLQSRY >KJB79781 pep chromosome:Graimondii2_0_v6:13:7558965:7564960:1 gene:B456_013G066500 transcript:KJB79781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSGNLSRSSNSPSVRVPSLSPPPQSQQSSRRLSLCSQIATHSSPIVFPEKRTKKPKAFSKRSEASITDDQTGNKSKREEHRIDIGGGDEKSDLLGYVVYSGKLILDKRKNVPDDNNNSTVEKDSSNDTANEQAVAAKLTSKALVWGSHVLSLDDVVSVSYNVGVRHFTVHSYPLKKGACGFSAFIKPKRSQKDFRFLASSVEEAVQWVGGFADLQCYINCLPHPLVSSKKQASSELFPMDTPPELVFRCKNPPKMLVILNPRSGRGRSSKVFHGIVEPIFKLAGFKLEVVKTTSAGHAKKLASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLIWTVLGVRDPVSAAISIVKGGLTATDVFAVEWIQTGLIHFGMTVSYYGFVSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYHYEVEYLPAAKEDREGKISTDREVVDMSDLYTDVMRRSNADGIPRASSLSSIDSIMTPSRASVGEMDTCSSTHASTEPSEYVRGLDPKAKRLSFGRNNVTAEPEVIHPQLPPSTTPNWRRTRSKSRTDKGWSGSTAAHDPSRCSWGNAATNDREDISSTLSDPGPIWDAEPKWDTEANWDVENPIELPAPRNDLESGAKKEAAPRVEDEWIVTKGPFLGILVCNHACRTVQSSQVVAPRAEPDDNTMDMLLVHGSGRLRLMRFFVLLQMGKHLSLPYVEYVKVKSVKIKAGKRTHNGCGIDGEFFPLNGEVVSSLLPEQCRLIGHFRGHHDV >KJB82965 pep chromosome:Graimondii2_0_v6:13:57495369:57497145:-1 gene:B456_013G260000 transcript:KJB82965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGCNCGSSCSCGDSCSCSRNLNLGYSEKTTSTQTIISGVAPVKMTFEGPEMSTTESGHGCKCGSNCSCDPCNC >KJB83770 pep chromosome:Graimondii2_0_v6:13:57677654:57679237:1 gene:B456_013G263000 transcript:KJB83770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g59600 [Source:Projected from Arabidopsis thaliana (AT5G59600) UniProtKB/Swiss-Prot;Acc:Q9FGR2] MHRSFQSSTDAYANLIETYAHDRALKPGKLLHAHLIVKGLAHLTYLATKLIAFYTECGQLSIARKLFDKIPKRDIHHWISIIRAYTRRGYYQEAIGVFTEMQTEGLGIDKYLIPSVLKACGHVLDQETGKKIHCLCVKKSFESDAFITSSLIDMYSKCGQVEKAKKVFDGMFVKDLVALNAVVSGYARMGIVEEGLSLVEEMKLIGVKPDVVTWNTLIAGFSKKGDHLSVSKVFESMLDNGIEPDVVSWTSVISGFVQNFQYDEAFDTFKKMMKQGLYPSSATISSLFPACMNTVNLKHGKEVHGYATVIGVVDDVYVKSALVDMYAKGGFISEAQTLFYKMSETSIVTWNSMIFGYANHGYCEEAIQLFEQMEKEGKKPDYMTFIAVLNACSHAGLVELGKSLFNSIQERYEISLRVEHYACLVDLLGRAGKLDEAYDVIKTMPMEPDLFVWGALLGACRNHENIDLAELAAKHLRELEPGSKGNNLLLANLYADIGSWGNVEKIKTMMKKKRLRKFLGCSWIEGL >KJB83110 pep chromosome:Graimondii2_0_v6:13:54855981:54862347:1 gene:B456_013G229900 transcript:KJB83110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILTDCVQNSLRHFMFKNAIFLCDRLCAEFPSEMNLQLLAASYLQNNQAYSAYYILKGMQTSQSRYLFAISCFQMDLLNEAETALCPSNEPGGEIPNGASGHYLLGLIYRYTDRKRSAIHHFRLALSIDPLLWSAYEELCILGAAEEATVVFGEAAALCIEKQHFYLGLASPNLHVASEGCNLVSSLNFSSEDVSPRQLKNTQVNSFRDIPSNHHGAAISASQPHNGGGPSNISLYNTPSPLASQLSGVVPPPLCRNAQPNGSNLNTVNADGSPKSVVNSLVQAPRRKFVDEGKLRKISGRLFSESGPRRSTRLAGDAGVDTNANTTGVDGNGTSSSKYLGAKLSSVAFRTVTVRNSQYLANDNIEEGISAEIFDDICANLASANSSSSPGDVRSLDQDGPTVPVGGVAISRSKVSSGVSEVLGLIKTLGEGYRLSCLYRCQDALNTFLTLPLRHYNTSWVLSQVGKAHFELVDYLEADRVFSLSLRVSPYSLEGMDIYSTVLYHLKEDMKLSYLARELISTDRLAPQSWCAMGNCNSLQKDHETALKNFQRSVQLNTRFAYAHTLCGHEYVALEDFENGTKSYQDALQIDSRHYNAWYGLGMIFLRQEKFEFSEHHFRTAFHINPRSSVIMSYLGTALHALKRSEDAIKIMDCAIFSDRKNPLPMYQKANILMSLEKFDEALEVLQELKEYAPRESSVYALMGKIYKRQNMHEKAMLHFGIALDLKPSATDVATIKAAIEKLHVPDELEN >KJB82782 pep chromosome:Graimondii2_0_v6:13:52641426:52641700:1 gene:B456_013G212500 transcript:KJB82782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRGVTPDIAVKARRIGGSTHQVPIEIGSTQGKALAIRWLLGASRKRPGRNMAFKLSSKLVDAAKGSGDAIRKKEEIHRMAEENRAFAHFR >KJB81610 pep chromosome:Graimondii2_0_v6:13:41401163:41403716:-1 gene:B456_013G151900 transcript:KJB81610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPSGGDPHVKPGADPSKTQPKKWLCCMHAPPAES >KJB82323 pep chromosome:Graimondii2_0_v6:13:49063437:49065546:1 gene:B456_013G189400 transcript:KJB82323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHGAYALIRKMIRKGEVPDKRTYTVLVNGWCYSEKMKEAQDFLEDMSKKGFNPLVRGRDLLIEGLLNAGYLESAKKMVRRMTKEGFVPDIATFNSLVETICKTEEIDFCIDMYVIYVIYVLCMLCIKLCIM >KJB79046 pep chromosome:Graimondii2_0_v6:13:2365418:2366136:1 gene:B456_013G031400 transcript:KJB79046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATKLMATRLAFLLLLFSSLPAFHESCRSSSIHGNELDPGSKITLGPCSHRPCPTSGPASGDGIFRSCWCCISRKSECYTVRADCEKNCPLGPPPDLPFP >KJB80233 pep chromosome:Graimondii2_0_v6:13:13403753:13405518:-1 gene:B456_013G087800 transcript:KJB80233 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 35 [Source:Projected from Arabidopsis thaliana (AT1G26760) UniProtKB/TrEMBL;Acc:F4HPB8] MSGAEEQMQQLRSKATELLLREEWRDSIQLYSELIDLCQSQISNTHQHSDPDHLSKLHKSLCVAFSNRAEARSKLQHFTQALNDCDQALQIEATHFKTLICKGKILLCLNRYFNALDCFKAALFDPQGNGNLDIVNGYLEKCKKLEFQSRTGSFDLSDWVLNGFRGKPLELAEYIGPVKVNRSEISGRGLFATKNIDTGTLVLVTKAIAIERGILGGQDSAENAQLVMWKNFIDKVKEAVTRCQRTQLLIDMLSTGENEAGLEVPDMSIFRPEIEENGCSNDQKLDMDKILSILDVNSLVEEAVSAKVLGKNSDFYGVGLWILVSFINHSCNPNARRLHVGDYVIVHASRDVKAGEEITFMYFDALSPLEKRVEMSLSWGFNCRCSRCKFEEAVCSKQELREIEIGLEKGVDVGGAVYRLEEGMKRWAVRGKGKGYLRASFWAAYAEVYGSDRSMKRWGRRIPAMETVVDSVVEVMGSDERLLKVVVEGLKKSGGVVEFERAMKLGRGFYGKVIKKQALRTLLEIGINDKGY >KJB81276 pep chromosome:Graimondii2_0_v6:13:36363560:36363929:-1 gene:B456_013G136100 transcript:KJB81276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKGGSGGGSKGGCGGSGGGSGNVGGGSTSKGGGGASGMMVAPGSGGAAIISRGAFESNPQGYFADLHSSEKGNK >KJB79835 pep chromosome:Graimondii2_0_v6:13:7961841:7965355:-1 gene:B456_013G068700 transcript:KJB79835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEKTKTTTCSSNQVSEMEEDTTTSKVDSPTNSQQTISDDDEIDYSIKPEFYDPNLDDKDELWVQSKRKDRVSDAVLSCPACFTTLCLECQRHEKYVTQYRAIFVANCKIEDGKVRQERVKPKRGKRRRETVEGEAVAAAGGETFKSVHCSVCTTEVGVIDEDEVYHFFNVLPSES >KJB81974 pep chromosome:Graimondii2_0_v6:13:45663844:45666364:1 gene:B456_013G170000 transcript:KJB81974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPLNVEGANGCRPEGTNGRCWKKVMDLAEAKTQIMFAVPMVLSNVFYFSITMVSVMFAGHLGEVELAGSTLANSWATVTGFAFMTGLSGALETLCGQGFGAKMYKMLGIYLQASCIISFFFSIIISVLWSFTEPILVLLHQDPEISRAAAVYMKYLIPGLFAYGFLQNILRFLQTQSIVIPLVVFSVVPLGIHFGIVYSLVNKTSLGYKGAPMAASVSIWISFLLLALYVLFANKFQNTWTGFSFESFRYIIRNSKLALPSAAMICVNTEAIAFMITIGLSAATSTRVSNELGAGNPNQAKHAMVVTLKLSILLAVAVVVSLSLGHDIWAGFFSDSHSINKKFAEMTPLLIPSIAVDAIQGVLSGAVRGCGWQRVTVLANLGAFYFIGMPIAIVLGFKFHLYVKGLWIGLICGLCCQACTLMLITFYKKWANIDLLEKNNSETQLSV >KJB80899 pep chromosome:Graimondii2_0_v6:13:30598655:30599702:-1 gene:B456_013G120700 transcript:KJB80899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVPPTGASSQASRGSKRKWVPEDDAALVSCMVDLHNVGTFNADTGFKAGYLNELEKMLEKALPTAMLKAKPNIESRIRCLKRECGFGWDEHRQLVVAEDAVWESYVKSHKEASQFRHRTFPYYNQLTAIYARDRVTGKDAQTAADVLEEIHAEDERTTDMNEERNTFYDCEAEVSLDDMDVSSTDPRGDRDQGGSSSSNKRKKKSDARDNVYSSFEEAATLLGEKIQAVGDKISMSIASEVVVQQNKIPDHPTQMIVFFSLPSVARLEWVRRFLSHH >KJB79680 pep chromosome:Graimondii2_0_v6:13:6731067:6731645:-1 gene:B456_013G061700 transcript:KJB79680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELKGKEKVDDGDRSWLELLNGVEASTSSQSKKLQKLTQMVLPKFVACLVTKMENKMMVEKESLKSCHEIGVEEGKQNYLSGKQKKQKTSSDIKKKQKINNQRKREYLEKFMEMGSEPPPHMPQVFKDRIEDLGGSEIKLVIQKFLQVTNLRPQQNCLPMSLKQIRSTFLNEDEEKMLNAKRQMLVTFVEP >KJB79622 pep chromosome:Graimondii2_0_v6:13:6224497:6224850:-1 gene:B456_013G059400 transcript:KJB79622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFNCFALGFFVALSLASIDVGVAARHLQQLPPMPTLPTTTLPPFPSIPNLPQPSIPSFPRPGALPPLPTMPALPTLPSVPRATLPHLPSMPSIPTIPTTIPSIPFFSPPPSPSSP >KJB80869 pep chromosome:Graimondii2_0_v6:13:29599925:29601105:-1 gene:B456_013G1189002 transcript:KJB80869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WGCPPSKFPWTYSSKETCYLLEGKVKVYLDGSNDFVEIGAGDLVEFPKGMSCTWEVSVAVDKHYKFETLK >KJB83913 pep chromosome:Graimondii2_0_v6:13:58178670:58181478:1 gene:B456_013G270800 transcript:KJB83913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPFPVFLFLTILCLLGSTADAIGVNWGTMSSHPLPPPKVVELLKSNEVSKVKLFDADPLVLQALSGSNIVVTLGIPNSMLKTLNSSRKAAESWVHDNVTRYFSHGEAGVRIEYVAVGDEPFLQSYGDQFHPFVIGAAMNIQAALTKANLAGEVKVVVPCSFDTFVSESGRPSKGHFRTDLNKTMIELLTFLSKHHSPFFVTISPFISFQQNKNISLDFSLFKENARHHNDSHRTYKNSFDLSYDTLVSALSKIGFPEIDIVVARIGWPTDGAANATSSIAETFMKGLIDHLHSKTGTPLRPRNPPIETFMFSLLDEDHRSITSGNFERHWGVFTFDGQAKYHFNFGQGTKNLVNAQFVEYLAPKWCVVNNNKDLSNASASALEACSTSDCTALSPGGSCSNISWPSNISYAFNSYYQQNDQRADSCDFGGLGLITTVDPSVDTCRFFVELQTSHSTSAHEAYIFLRIILLLACLFSCLLGFTW >KJB83233 pep chromosome:Graimondii2_0_v6:13:55452501:55455873:-1 gene:B456_013G236600 transcript:KJB83233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHSVKNKKQTGKAVHSNVTSPKRNDSDLAVFVSMSRELKEEGNKLFQRRDHEGAMVKYEKALELLPKNHMDVCHLRSNMAACYMQMGSSEYPRAIRECNLALEVTPNYSKALLKRARCYEALNRLELAFRDVNTVLNMEPNNVMALEISERVQSTLEKRGLQVNHTVIELPPEYVEHPSASKVVKEKTKKKNKKIDKARVGQIQEKKVDENMNEKKAEDKRVVGEIISSKMEEESKKNVKLIFGEDIRCTRLPLNCSLVQLREIIHDRFPSSRAVLVKYRDEEGDLVTITSDEELRLAEISAVSRGSMRLYVVEVNSDQDPFFERFKHEEVHNLENGEAGKAMEARQDSCCIDDWIIEFARLFKNHVGFDSDAYLNLHELGMKPYSEAMEDTVTSEEAQHHFGSAAEKFREMTALALFNWGNVHMSRVKKRVYFMNNGSRESVLKQIKTTYDWAQLEYTKASKSYEEALRIKPDFYEALLALGQQQFEQAKLSWYYAISNNVNLETWPSKKVLQLYNNAEENMEKGMLMWEELQVQGLRELSKPNEEQNQIMGLDGLFKDISADEVAEQAFTMSAQINVLWGAILYERSIMEFKLGLPLWQECLEVAVEKFELAGASPMDIAVMVKNHCSNNNAPEGLGFTIDEIMQAWNETYEANKWQSRIPSFRLEPLVQQRVSKNYHA >KJB78592 pep chromosome:Graimondii2_0_v6:13:605989:607443:-1 gene:B456_013G0090002 transcript:KJB78592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IEDKSHQHPFTLIWRQIPFICDACGTEGHHVAYACCTCSIMVHKKCISLPRILQHSFHVHRVFHTYFIHKEYFESLNCIMCHEVVDTEHGSYFCADCNVIFHVDCALKEKKLYCIVSQENEADKSLDIPVNSINKVLERNDAGEATVIEHCKHKHHLILSDNIREHGDKCCDGCLLLISAKFYHCSRCDFFLHKSCAELPKMKSFSKHLCGGTQFFSGPKPFILTSDCMFKCDLCSVVLVGGISFAHFVVRIAIFTYAICVLYDQLELDTNAINIFLHSLMTRLMIIQNIIIVTFVRKKEIQSTGFTIVKLVTLLFTWIAFLENIHSSNSGAPTMRETMNTLSHLSRRFITILNVLNVVSAVKIFLLNVQN >KJB82710 pep chromosome:Graimondii2_0_v6:13:52179048:52179993:1 gene:B456_013G210100 transcript:KJB82710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWYMAWNNLQAKTQDFSTAKLTHIQPPAKLCDSKKNTKNIYSLAMGGNKHKKSFSFFTSLFKGKKGRRDHDSYSYGDDAWGAARKIYPSDEDNTHRVVAEPGIDKRASAFIANFHATRVSEAIHHQQAG >KJB80838 pep chromosome:Graimondii2_0_v6:13:31254776:31255522:-1 gene:B456_013G122100 transcript:KJB80838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSGCAAADNRRMTQENLNHKEKKAKLEAKQRRRMREEYEKLTVTEQPKKQIKAAKSNTKNTIIMEEGDANISSASKHGKVDDECVESFMEQLKAKVKSKVDYLDFQILEEDLGKDLRMVGRFSVPLRLALIANRIKDGFGFTWEGTQS >KJB83588 pep chromosome:Graimondii2_0_v6:13:57125805:57129456:-1 gene:B456_013G254200 transcript:KJB83588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPASKSKSKDKKTSKEPQKASSKPSGSANAGSGVPASAYNPVLGTFHTIETVPLSSASALQNNGRFRNIDETDEHLGGSPRAGVGYDSVSNNGSWSGESEDHKDKTSNPPVRQEIIPGADNDKREKIRQKNERKHQRQKERRAQELHERCNGYLMSRKLEALAQQLVAMGFSHDRATMALILNDGKVEESVAWLFDGGEEAVKHKESTVGGGILKIDISEELAQIADMEIRYKCTKQEVERAVVAADGDLEIAAESLRTFKLDPPTPSKPEETCNPMTSSTSKVAVTSGQNLSARPQPKQNLSTVTQLRRDEKDLNFTKAAVTMGVSPESVSKSFQHMKRIQPKMEWAKPQLSEVPAEKRWLSSGSNPSVSYSLASPLQASPPPSKVESHHVAVASDFKNLLPSIREPVIMMQRSQSVNTKQVPTTSISTSPPGTSFMYPATRVENTKSNGFIPHIPSSRSLNSNHLSSSQMHHQIFHPQQQQHFTCSSGPGDSPGTSRGNGLWSRTGASPMLAAASSLGLFTGLGSTTSSGASSPVDWSSGSSMGQLDYTNIDWSLNRGLSSSPNPGGIWLGSSTSPMKSIHMYYPNTNGLSAKPAMRLTPNGKGVQIVGLPDGGVAPAETSTAGSQEWTSPFEGKDLFSLPRQYVSSPSI >KJB78598 pep chromosome:Graimondii2_0_v6:13:643913:645977:-1 gene:B456_013G009600 transcript:KJB78598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQPVKMRAVVYALSPFQQKIMSGLWKDLPGKISHKVSENWISATLLLTPLVGTYATSKKRRNWNTGIKNLRKHPFYRSNSELLCFRCKFIVVRSNDESCFLFGI >KJB83343 pep chromosome:Graimondii2_0_v6:13:56120386:56123245:1 gene:B456_013G242100 transcript:KJB83343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTSNNIVGFVNLLSFLISIPMVIVGVSMSQGSAEECYQVMAKPMIIIGGFFMFFSLVGIIGACCNVTFLLIVYLILMMFVIIVGIIFSMITMIATSKGAEEVKTREGYKEYRLPDDPNWLAMAILKEKSWESIKSCLTVPEHNVCTDLKERQINSTATELDQNELTPIQSGCCKPPSECGFVYGGMTTWTKNNGGSSKNNDCNLWNNDNKTLCFDCQSCKAGVIYGVKDSRRKSSVVNIVFCIFIVVFYFISICALGNSAKHG >KJB82889 pep chromosome:Graimondii2_0_v6:13:53890548:53890670:-1 gene:B456_013G2191001 transcript:KJB82889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLSTPLSHNSLSSPELSTKRHGCPLHQPMYLSESPVLLL >KJB80484 pep chromosome:Graimondii2_0_v6:13:17977477:17980313:-1 gene:B456_013G099800 transcript:KJB80484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSVPSMIMEEEGRFETEVAEVQAWWNSERFKLTRRPYSARDVVALRGSLKQSYGSNEMAKKLWTTLKTHQANGTASRTFGALDPVQVTMMAKHLDSIYVSGWQCSSTHTTTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERARTPYVDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVSEHINRLVAARLQFDVMGVETVLVARTDAVAATLIQTNVDTRDHQFILGATNPNLRGKSLANMLAEGMAAGKNGPQLQAIEDNWLAIAQLKTFSECVMDAIKSMNITEDEKRRRMNEWMNHSSYDKCLSNEQAREIAERLGLKNLFWDWDLPRTREGFYRFRGSVMAAIVRGWAFAPHADLIWMETSSPDMVECTRFAEGVKSMHPEIMLAYNLSPSFNWDASGMTDEQMRDFIPRIAKLGFCWQFITLAGFHADALVTDTFARDFARRGMLAYVEKIQREERNNGVDTLAHQKWSGANFYDRYLKTVQGGISSTAAMGKGVTEEQFKETWTRPGAGNIGSEGNLVVAKARM >KJB80086 pep chromosome:Graimondii2_0_v6:13:10972935:10975352:1 gene:B456_013G080600 transcript:KJB80086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAIRGRLSLSPNTVFNPKPGEKRSLCRGPCINRGILMAISTTGPSKGGGILEKPVIERTSPGRESEFDLRKSRKIAPPYRVMLHNDNYNKREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGLAVVIICAQADAEEHCMQLRGNGLLSSIEPASGGC >KJB81417 pep chromosome:Graimondii2_0_v6:13:39703314:39703827:-1 gene:B456_013G144800 transcript:KJB81417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQETLYFWVLHVVENVEKPVSEVKLSQEMCTSPTVHPGDCRNGKAPINNDMNVEKGETSSATVTVAVPIVDSSVPIALDKGRSSFSTWTIGQK >KJB79901 pep chromosome:Graimondii2_0_v6:13:8258651:8261902:-1 gene:B456_013G071000 transcript:KJB79901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIPTESDHPSGEDFVHIDNPNPNAVDALSDSIVNVEKEEEEEEEEEAAAAAAAEEIRDEDNYDRNDGDVSRNERSDSSERRNVELPVEIARSVMVLSCESSAEGGNCDVYLVGTAHVSLESCREVEAVISYLKPQVVFLELCSSRVAVLTPQNLKVPTMAEMVDMWKKKHNMFGILYSWFLAKVASRLEVFPGSEFRVAFEEAMKYGGKVILGDRPVQVTLRRTWGKMPLWHKIKLLYSLLFQAVFLPSPEELNKMLKDMDDVDMLTLVIQEMSKEFPTLMETLVHERDQFMSSTLLRTASEHSSVVAVVGKGHLQGIKKYWRQPVLIDDLMTVPSKKPTVPTGKILVSLGIAAAGVAIASGIYLAGKK >KJB82191 pep chromosome:Graimondii2_0_v6:13:47463932:47465206:-1 gene:B456_013G180300 transcript:KJB82191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLNLVNSPFCISNSGGRVVRNRVLPPATPTFIHASSKQESKAFDTAKETIKGVNETKEATKNMKDSAISAASQVNQKAQETIKQGANQAADATKNMKDKTTSAAGQVSEKAKGMAEKATDAAKQVWDTAIETAQKAKETVLGKADESKRGIKENAEKIKHRMDDKN >KJB82190 pep chromosome:Graimondii2_0_v6:13:47464162:47465146:-1 gene:B456_013G180300 transcript:KJB82190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLNLVNSPFCISNSGGRVVRNRVLPPATPTFIHASSKQESKAFDTAKETIKGVNETKEATKNMKDSAISAASQVNQKAQETIKQGANQAADATKNMKDKTTSAAGQVIISLYTFRVEILEFSAVGVSEKAKGMAEKATDAAKQVWDTAIETAQKAKETVLGKADESKRGIKENAEKIKHRMDDKN >KJB79586 pep chromosome:Graimondii2_0_v6:13:5465608:5468721:-1 gene:B456_013G055500 transcript:KJB79586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKVKEEAMRMIGMFQVLPRLVVFDLDYTLWPFYCECRSKREMPSMYPHVKGIIAALKDKGIDLAIASRSPTADIANAFLDKLNIKSVFVAKEIFSSWTHKTDHFQRIHSRTGIPFNSMLFFDDEDRNIQAVSKMGVTSIYVGDGVNLGALRQGLTEFTENQNASEKNKQRWLKKYSQNSSSSEKKDLK >KJB79171 pep chromosome:Graimondii2_0_v6:13:2821469:2823766:-1 gene:B456_013G036300 transcript:KJB79171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IIKKKLFWFSIASPGNSRHILTFAFALLVCLSIHGEITQKPQKWIGEPSSDSEESFVNVKRSDFRADFVFGASSAAAQIEGSEKSKGKGPTVWDQFIRKLPDKIVDNCSLEVAIDLYNRYKVLSFL >KJB83480 pep chromosome:Graimondii2_0_v6:13:56785398:56790850:1 gene:B456_013G250000 transcript:KJB83480 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TOP6B MESESPTETKKGKSKTPKKAKESILKQKSPAEFFAENKNIAGFDNPGKCLYTTVRELVENALDSAEAISELPVIEITIEEIVKSKFNSMIGLVDRERVDEALYDDYETAKAREKRLAKEARAQEIQAKNAALGKKVKEHAVSKSSKGRGEASFYRVTCRDNGRGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMRGQNYLSFCRLDIDIHRNIPHIHLHEKRDNKDKWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAQFLFKFVSDAPDKNVTIKFARRTDVMPPVPVETKHHPSSVDILLIKRLIAETSKQNLMQFLQHEFVNIGKPLAERLIGEMGPEFSPKMAVKSLTDQQIVRIHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELHPDMVATYSGSAQVFEGHPFIVEAGVSVGGKDVKQGLNIFRFANRIPLLFEQGGDVVTRTALKRISWNNYKINQTQDKIGVFVSIVSTKIPFKGTGKEYIGDDISEIASAVKSAIQQCCIQLKSKIVKKMQAREQQERKRNLSKYIPDASNAVYNVLQEMAKSHASKKKRYEEGDADILQKVSDHLITKETLYEKLTQHVEKVDYEMALEYATQTGVREEPREDIYLQSLDGKGNFFDFHSPIFVFRLFL >KJB81026 pep chromosome:Graimondii2_0_v6:13:32665154:32668671:1 gene:B456_013G125800 transcript:KJB81026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQRLDRGDLWKNKARVLQLQLRQRFRVAVDRHRPSVLADRYFSSSVQRWLRRFRDFRRDSLPSSSSFYRKKVSKDFDVEVDSAIFRMLQAVAVPLIGNVCHVFMNGLNRVQVYGLEKLHDALLNRPKNKPLITVSNHVASVDDPFVIASLLPPRVLLDAQNLRWTLCASDRCFSNPVTSAFFRSVKVLPVSRGDGIYQMGMDMAISKLNTGGWVHIFPEGSRSRDGGKTVRSSKRGVGRLVLDADSTPIVLPFVHTGMQDVMPIGANFPRIGKTVTVLIGDPIIFDDLLNSEEATEASRGKLYDAVASRIGHQLQNLKVKVDKLALEQSIRLENHSKDGAERAANILHQVDLDPFGLGSHEYIRYESLVEETRTKLNDMSPEEATADVYTRMGYSCEGGIGSRIRSYMDPTELMGFAARGLLMNCRSKEKYSDTSDIRPLKTWKQYLEANMLKQWNTC >KJB78840 pep chromosome:Graimondii2_0_v6:13:1598266:1599510:1 gene:B456_013G022300 transcript:KJB78840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKEKKKNKKQKHQHPNDQTTKSSVPASDFSFKPSNEVKGLRFGGQFIVKSFTVRRARPLELPKLLDFPPLLNKNKQLPFPTTTAFLPTNFTILAHHAWHTLTLGLGTKKSKVVLFVFESEAMKLGVDRVWPSEIPLGEVNKKLIRGLKGCEMARFKFRKGCITFYVYAVRGIGTMGFSCADDLRTILQSVVALKDFLDHTAMLAMPNQRSLNYSPQLAMAH >KJB80650 pep chromosome:Graimondii2_0_v6:13:23692674:23692961:-1 gene:B456_013G108500 transcript:KJB80650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRDEKRLYSTIKFILYTVRGFDFLLIGVLGLGLYDSNEPTLNFKTLVNQSFLVALEIIFYIGYLIAFVVKSLIIPCIHDYQIPTGRLIIVLVCF >KJB78600 pep chromosome:Graimondii2_0_v6:13:649389:650688:-1 gene:B456_013G009800 transcript:KJB78600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTPSAVSYLTRIEHTNLFPSKKLQFNPFSPAKKPLTVVSMAPKKKVNKYDSEWEKQWFGAGIFYEGSEEIEVDVFKKLEKRKVLSNVEKAGLLSKAEELGFTLSSIEKLGVFSKAEELGLLSLLEKVAGVSPSTLASAALPALVAALAAIVIIPDDSVGLVALQAVVAGALVVGAAGLFVGSVVLGGLQEAD >KJB81742 pep chromosome:Graimondii2_0_v6:13:43694897:43698822:-1 gene:B456_013G160000 transcript:KJB81742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPTTFSGRNPADADLKSTRKRTTRNTRWKRVGAAIVGRRSRPETPLLKWKMEERERVRDKGGGVEKELEEEEDGGRARRRKGTSTVSARKLAGGLWRLQLPETVTLGGGERRREQLGLKAGNGFIGVPFLYHHKDKFYGSEPKDPLQSPSSVSPAKNSFRRKVEPLIQFSNSAMEGATKWDPVCFRTTDEVRQIYSRMKRIDQQVSAVSIVSALEAELEQARVRIKELETECWSSKKKHEHFLRKVSEERAAWRSREHEKIRAFVNDVKADLNREKKNRQRLEIVSSKLVKELAAAKLSAKQYMQDYEKERKDRELIEEVCDELAKEIGEDKTEVEALKRDSMKLRDEVDEERKMLQMAEVWREECVQMKLIDAKVALEERYSQINKLVADLDTFLRSRTGTLDVKDMREAESLRQAAASVNVQEIKEFAYESANPDDIFAVLEDVVLAEANERETEPSVACSPASHASKVHMASAEMNMMKKDGNLRHSNLYGDHKDEIEEDESGWETISHIEGQGSSYSPKGSAASADKFHRHSNLSGSGTEWEGDTPVTEISEVCSLPARQLKKVSPIVRLWRSVPKNEEHFKVISVEGTNGRLSDGRKCNGSIMSPDKGSDNGGFSPLDLVGHWSSPDLGHPHIMKGMKGCIEWPRGVQKKSLKAKLLEARTESQKVQLRHVIKQKIK >KJB83711 pep chromosome:Graimondii2_0_v6:13:57516511:57519172:1 gene:B456_013G260400 transcript:KJB83711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLSFKDSFKALEADIQHANTLALDYPRERDGARLQMRLSYSSAAQFFLFLVQWTDIQLAGALGLLNIHIYMTYADGKTTMSVYERKASIREFYGDFLFRAVIFPSLLQLQRGITDLEDRKQKEACTVRYRRKDESARGKISEIDCEREDECSICMEMNGLVVLPNCSHSMCLNCYKDWHDRSQSCPFCRDSLEKVDSSDLWIYIEQGEITELSSILRENRKRLFTYIDRLPLIVPDPVFAPFDVHVR >KJB78974 pep chromosome:Graimondii2_0_v6:13:2046122:2047626:-1 gene:B456_013G027600 transcript:KJB78974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFPKPHKETEIILGEWWNSDVETLVNRANKMGLPPPTSDAHTINGKPGSLFPCSLKHTFSMEVEAGKTYLLRIINAALNDELFFTIAGHNMTVVEIDAVYTKPFTTRVILIAPGQTTNVLIKADQSPSRYFMAARPFMDAPVPVDNKTVTAILHYKDIPKTVIPSMPKLPAPNNTNVAMSYNKRLKSLNTPQFPAKVPLKVDRHLFYTIGLGANPCSSCQNGTQLTASLNNITFVMPKVGLLQAHYFNIKGVFKTDFPDQPPVPFNYTGAPLTSNLGTSLGTRLSKVAFNSTVELVLQDTNLLTVESHPFHLHGFNFFVVGSGIGNFNPSKDPARFNLVDPPERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTMWGLKMAFVVENGKSPEESIIPPPMDLPPC >KJB79279 pep chromosome:Graimondii2_0_v6:13:3464523:3465180:1 gene:B456_013G041500 transcript:KJB79279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVEVSGEKVKAMWDKRLTEIFCDICIKEILKDNRPGTHFTKDGWLKIMTNFEKETGKCFSQRQLKNR >KJB78876 pep chromosome:Graimondii2_0_v6:13:1734408:1736961:-1 gene:B456_013G023800 transcript:KJB78876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLGNFRLVFGISFLYCLLNLSLGFNPVDNYLIDCGSFKNRSIGVREFVADNTNTSSHTLSTPEHIFANSSSNSISLYYDSTLYQTARIFNGPSHYSFSIKEQGRHWIRLHFFPFVFQKYDMSKAKFSVSAQNFSLTREPQSGNVSVVKEYCLKITSNNLVLSFIPDSKSFAFINALEVLSIPENVVPEEAKTVDRKGDKKSLRELALETVARVDMGNSTVLPQNDTLWRLWVSDDSYLIDKNLGSFVSNVSAVNFTGGLVTEDVAPASVYGTATRFNLDDPNLNANLTWSFDVDPGFDYAVRLHFCDITSHVLGAPYFMDVYTRASASRKLNVSVGSSNIVNFPSVILNGLEIMKINNDKGRLDVSEVVPSRTSKTTLIVGVAVGLFVFVVLAALVFLFCRRRRRRKPDSGGGGQNIPMTGSVYSNGTARFPFIELVEATDNFSENLVIGVGGFGKVYKGVLRDETEVAVKRGTPQSSQGLVEFRTEIEMLSQFRHRHLVSLIGYCDENNEMIIIYEYMENGTLKNHLYGSSHPGLSWRQRLEISIGSAKGLHYLHTGSTKSIIHRDVKSANILLDKNFMAKVADFGLSKTGPDIDRTHVSTAVKGSFGYLDPEYLTTQKLTEKSDIYSFGVVLLEVLCGRPVIDPSLPREKVNLVDWALKSHRNGRLEYIVDPSVVG >KJB78596 pep chromosome:Graimondii2_0_v6:13:629483:631234:-1 gene:B456_013G009400 transcript:KJB78596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APLELNHPFHRHHPLLLLQNPPSSYTRCVCDFCDETCEKFIYHCSCGLNFHIKCALFTFNIAERNLKELEHVALEDPSFSSKNDGGNLGKCFACWEPLAIYTYFSLDCGFNLHKKCAELPAKMGHVCHRKHPLLLQFNSEQLSCKICQVTQQRGFLYGCSTCNLAIHIDCLSPLPVIEDKSHQHPFTLFWRQIPFICDACGTEGHHVAYACCTCSIMVHKKCISLPSIIQHVFHVHRVFHTYFIHKEYSESLNCIRCHEVVDTEHGSYFCADCNVIFHVNCALKEKEWYCIVSQENEDDKSLDIPVNSITKFLETNDAGEATLIEHCKHKHYLMLSDKISEHGDKCCDGCLLLISAKFYHCLRCDFFLHKSCAELPKMDLIWDHRCVGKPFSGSKPFILTSDCMFECEQCTYLSNGFSYKCNECGIHSCVRCATLPYAVKIPGHKHLLLFYYDYYNFEKQCSGCGTDLSNTYRCKDCNFGLCLNCVMRPTRVGHNCDDHPLNLTYHKINDYTKYHYCDICEEERDSKNWFYHCETCDTSAHVDCVLGKYRFIKLGSTYNEGNHPVGTMAAAKENKVAKQNLQN >KJB81605 pep chromosome:Graimondii2_0_v6:13:41359412:41361494:-1 gene:B456_013G151600 transcript:KJB81605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMGRSSATFSPKVEVGEVDTSAPFTTVKDAVTRFSEATFSGENPTMKAAKARPAERVLAKDTQLRLAQNVLNKFKGRLENAETTKSQALEDLERAQTTVEELTHKLKTANESKNSVIKATEAAKDQAKQFDETNSGDLPGTNGARSQDLETANEQYTTVITELYAAKQKLSKARKERDASLEAKIAAFNRAGEAEHAVNVNIEKVGALSREISAVQESIGNVKLASLEIQKEQAKTYAEKDTQRQLYKAKLEESTKRLLALKNESDIELARNLEAKLCETVYQIADLQKQIKNAKASDLESVQAVTSELDGAKGSQQKVINKENLLRNLVESLKVELENVKKEHSELKEKEAETESIAGNLHVKLRRSKYDLEVFLAEESKTRGAYEEMISTLQQLSVETEGAQREAEEMKKETEKLKLEAEASRVRLKEADKKLRNVSEENEAAKEAETRALDQIKMLSERINAARASTPECGADITISREEFESLSHKAEESNNIAEMKVKAAMARVEAVKASENEALERIEAIQKEIEDVKAATMDALKRAEVAEAAKMAVEGELQRWREREQKKAAKVTAQILPESSPQHGRKQKQNPPDKIVQVQKLEKSKSSIFEKPVSILNQRNNQIDSGSPSYWPDEKSV >KJB79463 pep chromosome:Graimondii2_0_v6:13:4799800:4801746:1 gene:B456_013G051200 transcript:KJB79463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETKPLKFVESSHVSPPPGSVPTTSLPLTFLDLLWFPLPNVQRLFFYEFPYPTLHFMETILPLLKQSLSLTLQQFFPFAANVVCPPSPGKPYIRYEDGGSSVAFTVVESPSDFRCAIADYPRDLKSLRPFAPELRTALSEAKDGTRVVLLPALAFQVTVFPNSGVCIGSSYCHVIGDGKAFMHFMKSWVDVYAAVGLKKSSLPLFNKDVIKDPNRVESFLLKMYHDWLSSLRENSGLTDVRSEVNMVRATFVLSRADVERLKQLVASQCMNEANSNQFHHVSTFVVTCALTWASLIKSKACVVKNLSYVDDADELYYLLFPFDCRNRLEFPVPSTYFGNCLKPGIVEMKKKELTGENGIVLAAKAIGSKVKEMGQSGISEAENWLPSIAERSKTGRLISLAGSPKLRVYDTNFGWGKPRKVEVMHVESGQTISMAECRDEEGGIEVGVALKKNQMDEFVAIFGQSLKLL >KJB78700 pep chromosome:Graimondii2_0_v6:13:898580:900258:1 gene:B456_013G013400 transcript:KJB78700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVDQNGLPHLRRSLPMRTHFPVPHPEPTEPYFAHINMASPSPVPYHEPFMAPLPNRLVRFSHNHYPSASPTTGVAASASIPSATTLFGGPRWGNITNGGNSRWPRQETLTLLEIRIRLDPKFKEANQKGPLWDELSRIMAEEYGYQRSGKKCREKFENLHKYYKKTKQRKAGRQDGKNYRFFRQLELLYGETTDQCPTVLYQTSNNPMNQRNHHEEEKKPSDQSLGVSNSSEFETSSSENNADNEVSAFTKVKDFMESQMNKLIDSQDVWMERMLKAIEDKDQERLSKEEEWRRQETALLDKEHEIWVKERAWVEARDFALMEVVKNFTRKRVLEVSSSSSSAERPVGYTQQGISSLIHSLGQAWNQDSSNI >KJB81617 pep chromosome:Graimondii2_0_v6:13:41699732:41702359:-1 gene:B456_013G152500 transcript:KJB81617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGDGFNRFYKHAKSSSGLSIMHGDSSNSNTNTIINNNAANSNTMPPPCMVREQDQYMPIANVIRIMRRILPTHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMGKLGFDDYVEPLTVFLNRYRENENERTSLRSEPMLKRGIDYGPSMMMAPYGAGFHVGHQQGIFDGATAMGGYMRDGSSGGGGGPSSQASLANHFDPFGQFK >KJB82814 pep chromosome:Graimondii2_0_v6:13:53550658:53555657:1 gene:B456_013G215200 transcript:KJB82814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRDNDVYEEDLLDYDEEEEKAPDSVTAKVNGEAGKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIEPSPGQVIALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALTRDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KJB83023 pep chromosome:Graimondii2_0_v6:13:54530436:54531117:-1 gene:B456_013G225800 transcript:KJB83023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSAASMALPLAVATQNRVPSSEAFFKPLPVKPWRAMGGVKRPNGRLQVKAGASSFKEKAVTGLTAAALTTSMMMPEVAQAADGVSPSLKNFLLSIAAGGVVLVAIVGAVIVTNFWMYFGRDPVNF >KJB79947 pep chromosome:Graimondii2_0_v6:13:8920541:8922026:1 gene:B456_013G073900 transcript:KJB79947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMGFEEDVNFILGKTCSAHQMVMFSATWPAAVHRLAQEYMDPNPVKVVIGSEDLAANHDVMHIVDI >KJB78597 pep chromosome:Graimondii2_0_v6:13:633738:637211:-1 gene:B456_013G009500 transcript:KJB78597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPSHTLHLLVLFLHFILFICFFIIIILSKFIQKLCSKPIFHFCSALLLLSFFSLRQKMEVDMMNKVDSKNLKSCWWDSHLNPENSEWLAENSKEMDLNVKQIVKLVEDNSEDVTKSKVIVQVQELYRIYRNLAERYDHLTGELRKTQGPDSGLDQISPMVTPDKPVQQASSFSSVGGSSEKEGTESSSFSSDSDSESFISSVNVYLSSAMDTDKSKMRENRRYEELNEKLTRYEEELRDSNLKLLLAEEEIVKLNTKLKKSESLELELQKQIIELEACFSNSNSEVMRLMEELSTSKENIKASEEEIVMLNAQNLRYENDLLNRGHEVEELKGALCDARDNFSIQKASFQSEIFGLLEKETLLEARLKEWELHGSVLEEKIRQRETKNSEIESLLVVQETNLQGQINQLKTELNEKGIHIEALNKNLDKMKLKYDMLMKDKDCVTATVNNLVAEVRSRDLQIRQMEDHLQQLSKEHMQLTKNLEDELKLKIKDLEKEVDKQRNMILDVSEEKREVIRQLTFSLDHYRSGYKELQTFLKHKRQAFIAL >KJB80486 pep chromosome:Graimondii2_0_v6:13:18122676:18123509:1 gene:B456_013G100000 transcript:KJB80486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSGPSNVKFLILLLTVSSVLFSMVPRTRGQIHLPCSSEDDCKAIECQGGTAHCINRQCQCTTFQIKTITCFNNFDCLNKCGPKSSIHRCVNGRCSC >KJB84004 pep chromosome:Graimondii2_0_v6:13:49103071:49104258:1 gene:B456_013G189600 transcript:KJB84004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMQSFSIFSKAIANNRLSQYIIMKGTHFTVIAFTLLAFACSLASAFDPSPLQDFCVAINDIKNGVFVNGKFCEDPKLAVAEDFFFSGLNKPGNTSNLVGSNVTTVSVDQIPGLNTLGISLVRIDYAPYGLNPPHTHPRGTEILVVIKGTLYVGFVTSNPDNRLFTKILNPGDVFVFPIGLIHFQFNIGKTEAVAFAGLSSQNAGVITIANAVFGSNPLINPDVLTKAFQLDKTVVQYLQSRFWSD >KJB82808 pep chromosome:Graimondii2_0_v6:13:53524515:53526087:-1 gene:B456_013G214700 transcript:KJB82808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPTPLCLFCALLLCLPLAVIITITSPTTTVHDGSGHNLVPDLDPPPKTQQRFSSKPLPLPLHDDDSLFRAASRVNSKLPPGSPKKIAFLFLTISPLPFAPLWELYFNQTPKDLFNVYVHADPSYPYDPPFSGVFAHRVIPSKPALRFTPTLASAARRLLAHALLHDRSNYMFVLLSASCIPIHSFDFTYKTLTRSKKSFIEILNNEVGSYDRWAARGKDSMLPEVALEDFRIGSQFWSLTRKHAKLVVGDELIWAKFNQPCVVWDTCYPEENYFPTLIHMRDPRGAVPATLTHVDWNGSFDGHPRMYVESEIGPQLISRLRKDEPRYGDDGTNGSGSPATKQRRGFPFLFARKFSPESIQPLLRIANVVVFKD >KJB83229 pep chromosome:Graimondii2_0_v6:13:55442990:55447105:1 gene:B456_013G236400 transcript:KJB83229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASILSDFGAEILIPVCAVIGIAFSLVQWVLVSKVKLSPGRESVNNGSGAKNGYSDYLIEEEEGLNDHSVVLKCAEIQSAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSVEGFTSKSQPCTYDKSKICKPALATAAFSTISFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWSGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHELTPMLYPLIISSVGIIVCLITTLFATDFFEIKAVKEIEPSLKRQLIISTVLMTIGIGIVSWIALPSSFTIFNFGDQKAVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLVVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASTHAKSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKLF >KJB83230 pep chromosome:Graimondii2_0_v6:13:55443051:55447084:1 gene:B456_013G236400 transcript:KJB83230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASILSDFGAEILIPVCAVIGIAFSLVQWVLVSKVKLSPGRESVNNGSGAKNGYSDYLIEEEEGLNDHSVVLKCAEIQSAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSVEGFTSKSQPCTYDKSKICKPALATAAFSTISFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWSGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHELTPMLYPLIISSVGIIVCLITTLFATDFFEIKAVKEIEPSLKRQLIISTVLMTIGIGIVSWIALPSSFTIFNFGDQKAVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKVSSCFRLSMTLISSFISVWHNKFVLHFQGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLVVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASTHAKSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKLF >KJB78372 pep chromosome:Graimondii2_0_v6:13:15400:20780:-1 gene:B456_013G0002001 transcript:KJB78372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGSKGSCRGGASSSSGGRKGRSIGKNRVFQSSRLGAPSGSADSHFDRQVVVVDHHNKDYGSNFTNQNRREREYESDQVKRECSRKVKAESASDYEMPLPCISSNGVDIDASRSGSSSGRATTAVHHSPSRCLSGFSFFSGNISFAELIVWVRLGHILFLLQVLQY >KJB80468 pep chromosome:Graimondii2_0_v6:13:17806801:17807787:-1 gene:B456_013G099100 transcript:KJB80468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSLTLVFFFILLAKASYWQNGIEAREVPIQCYQSLFDTVVEVIQEAYEGITGDLVERKKCDSHFEVIGGGYKFRKINSL >KJB80910 pep chromosome:Graimondii2_0_v6:13:30748518:30750270:-1 gene:B456_013G121200 transcript:KJB80910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYQILAFAALFLILLPVAVNGDLDDLSPALSPFYDRLCDDVDCGKGTCKADISYPLNYICECDAGWKRTQDDSDDDDDHKFLPCVIPNCTLDYSCQPAPPPVPQREVPRNSSLFDPCYWAYCGEGTCNKTATYKYVCECRSGFSNLLNRTYFPCYSQCTLGSDCSRLGITVESQEVTPDGGVGKANTFLPGKLHWVAIGMMSLAIVLW >KJB80156 pep chromosome:Graimondii2_0_v6:13:11671527:11675726:1 gene:B456_013G083400 transcript:KJB80156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSMAITKDGALFYWVSSDPHLRCQQLYSLCEKTIVSISAGKYWAATATAIGDVYMWDGKKSMDKPPIVATRLHRVKGKKIP >KJB81279 pep chromosome:Graimondii2_0_v6:13:36441007:36441405:1 gene:B456_013G136400 transcript:KJB81279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDTIADIITSIRNADMNRKGMIQIGSTNITENIVKILLREGFIDNARKHRERNKYFLVLTLRHRRNRKGMN >KJB81599 pep chromosome:Graimondii2_0_v6:13:42214444:42215266:1 gene:B456_013G153600 transcript:KJB81599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIFTIWVFAESRAIIRYYAEKYKSQGTDLLGKTVEERGQVENWLEVEAHNFNPPIYALTLHLMFASKMGFPPDENLIKESEEKLGKVLDIYEERLSKNKYLAGDFFSLADLSHLPFTQYLVGQMGKEYMRTSRKHVSAWWDDISSRPSWQKVLQLYAPPL >KJB80926 pep chromosome:Graimondii2_0_v6:13:31062365:31064425:1 gene:B456_013G121800 transcript:KJB80926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYEPHTGQTLTKLTSFLSPSPTRFFNQQNPREPLSFSTLSLMASEQYGAVFEESQDDDEEPSSSGNDDVLNGNDEEDEELLEEEEEEDFNSPSLLPQPIAAVVPSASIPAVPLAVPSSTVVTVASVPLGGSIPDSKRQRIDSAVSEKKPPPPQQFDESRRLFQRLWTDEDEIELLKGFLDYTTSKTNSNSSHHHHHDTALFYDLIKSKLQLDFNKNQLVEKLRRLKKKYRNVMNKINSGKEFSFKSPHDQATFEISRKIWSSSVGKVEDNVLDYDENNITPPTTTNINLLDESGEKKNLTPKSAANKRRSRSKGGKLEEKRVLNDGFVISNNNFNNRSDHDQGNVEGLGGGGGGAGGERGNLTAVVEETVKSCLTPVFKELLGSLIGGGGGGGRGISGMAMNAMPLSFGGTLNFGGGGGGNVEFMDERWRKQQILELEVYSKRLELVQDQIKVALEELRSIGG >KJB78490 pep chromosome:Graimondii2_0_v6:13:143299:148446:1 gene:B456_013G001900 transcript:KJB78490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNMLNKENSTVSRAWEATLRKTHAAKKRANSIFGTIALANATATNTTTTTTTNAVEDNDKNCTISEAAYFTEKILPNGEYYKGQWCDNFPEGQGKYYWIDGCMYVGEWHKGKTMGRGRFRWPSGATYEGEFKSGYMDGTGTYVGSNGDTYKGQWVMNLKHGNGIEYYANGDWYEGEWRRGLRYGIGKYQWHNKNHYDGEWKNGVIHGNGCFVWINGDQYDGCWEDGMPKGNGTYQLSDGSFYVGYWSKEENEQNGTYHPSESSSNDVEWDPKIVYNQLADCKISPGEKVPILPSQKRLAISYSSNNAEKSRRPSIDGRGSLVLERPFDKMNLWDENDNDFNSFEMSRQLDCEFYGVNPAESNYKFNPVLPLKVPKPGNRQGETISVGHKNYELMLNLQLGIRHSVGRPAPPTSLDLKASAFDPKKKIWTRFPPEGSKYTPPHQSCEFKWKDYTPVVFRSLRKLFKVDPADYMLSICGNDALRELSSPGKSGSFFYLTDDDIYMIKTMKKAEVKVFLKMLAAYYNHVRSFENSLVIKYYGLHCVKVTGAIQKKVRFVIMGNLLRSEYTIHTRFDLKGSSLGRVTNKPGSEINSTTILKDLDLNFIFKLQKAWFHKFCWQINRDCEFLEQVRTMDYSLLVGLHFREISSNGELIPCEKRDPRNESSSLHSRSTSDQLLLDLERQANMVLGANMPARVERTIRKPECELQLVGEQTGEYYKVILSFGIIDILQDYDITKKLEHAYKSIQYDPTSISAVDPKLYSKRFRDFIFKAFTEDT >KJB82159 pep chromosome:Graimondii2_0_v6:13:47234677:47237223:-1 gene:B456_013G178900 transcript:KJB82159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSYTEDDFSTSAFDPSTFSVQELVKLAAPDFSIPQPFVRINQQPPSLSVTTPLPPTIDMSRLLLEDDHQNLELHKLHSTCQDWGIFQLVNHGVSSSLLEKLKHEVEEFYRLPLEEKLKYKIGERGWEGYGRKMRADGKYDWVDTLNIITNPLHRRSPHVFPQLPPPLRSTMECYLSELQKLATKLIGFMGKALKIKEKEMMELFDDGLQAVKMAYYPPCPHPESVMGLIPHSDMTLLTIVRQLNGVDGLEIKKNGLWFPFNVNPDAFVVNVGDIFQIFSNGVYHSVEHKVTCNKERERITVTFNLSPNFEAEVGPSLSLINAENPPLFRRVGMEDYFKQFFTIKIYGKKYLDYMRIQPSENGD >KJB81409 pep chromosome:Graimondii2_0_v6:13:39531785:39532114:-1 gene:B456_013G144000 transcript:KJB81409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKETWKPKTKSFKATVHRIKLDMGKIKEDQRCIRDEQRDIRERFEDVNRQCDALRLETEAIVKQSALNRIRLVIMLNILEARQVGDFDKVALLTCFLNSVSNRRNK >KJB83578 pep chromosome:Graimondii2_0_v6:13:57099848:57102754:-1 gene:B456_013G253900 transcript:KJB83578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAVEINGEQLVNALPDLSIQDQIEVKKKGAVVEEGCENHSGICAICLDKIILQETALVKGCEHAYCVTCILQWATYSQMPTCPQCKHPFEFLDVHRSLDGRIHDYMFEESVCLLLRATWFKPLIVLEEREEVYDNLESYYDYYPYEDEDDEEDEVYYTNSPSLRIGNRRWGDSGYVRSGRQEARPVQRSNFQDSTGAGSSCEPKNKETTKSTLGRRAKRTLKREAANKAAAEKHQEHLMSSRQK >KJB82121 pep chromosome:Graimondii2_0_v6:13:47013066:47013998:1 gene:B456_013G177400 transcript:KJB82121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVVLLDFWPSPFGMRSRIALAEKGIKYEHKEEDLRNKSALLLQMNPVHKKIPVLIHNGKPVCESLIQVQYIDEVWHDKAPLLPSDPYQKATARFWADYIYELGGRVWKTKGEEQATAKKELIETLKLLEKELGDKPYFGGESLGYVDVAFIPFYSWFYALEKCGNFSIEAECPKLIAWAKRCMQKESVAKSLPDQQKVYDFILEMKKHFGNE >KJB80752 pep chromosome:Graimondii2_0_v6:13:27918714:27919780:-1 gene:B456_013G114000 transcript:KJB80752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYPFLCGTLHHQQEDDGIWSSSPVCSPRKSKRKKDTKNPYSTRGLDQFSALLAELEEKRQKIYSQTGSQSMVRFVYKNSNDCVPVVVNLKDKKEEKTKPENTKERYVSEPINKLQTPSGSDKNMDMKVKKKRFSWNSALRKPSHYIPAIIILILLILVFFGRSVAILGTCIGWYVVPTINGEGSNLRTSMKKKDYGRRQSGNKLVGGKLSFQKS >KJB78703 pep chromosome:Graimondii2_0_v6:13:921295:924312:-1 gene:B456_013G013700 transcript:KJB78703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETCKRVLNDDDAFKPGWPTSTLELIGKKSFIGISYYEHKRLRHLTAASINGHEALSVYIPYIEENVVCSLEKWSEMGEMEFFLTHLRMLTFRIIMFIFLSSESEEVMAALEKEYTDLNHGVRSMAINVPGFAYYKALKARKKLVAVFQSVVNERRNERKTEKWLKKEDMLDALMEVKDEKGETLEDEEIIDIMLMYLNAGHESSAHTTMWATIFLQQHPHFLQKAKVIDETLRLVTFSLTVFREALIDVSINGYVIPKGWKILVWFRSIHLDPQIYPNPKEFNLSRWDDYAAKASTFLPFGAGSRLCPGNDLAKLEIAIFLHHFLLNYELDRVNPESRVLYLPHTRPADNCLARIKRHNTFSTQER >KJB81949 pep chromosome:Graimondii2_0_v6:13:45378461:45382600:1 gene:B456_013G168500 transcript:KJB81949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSPTSKAEHWQIFILKRDPHFINSIHRNKNTSSEWSLDVQVNSEPTKVFINFRSENPTKRGTNCMQ >KJB82446 pep chromosome:Graimondii2_0_v6:13:49934337:49939119:-1 gene:B456_013G195900 transcript:KJB82446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVDKYRPKTLDQAMVHQEIALNLKKLVAEQDCPHLLFYGPSGSGKKTLIMALLRQIFGPSAEKVKVENKNWKIDAGSRTIDLELTMLSSANRVELSPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGYKVLILNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAIRSRCLNIRINAPSEEQIIKVIEFIGKKEGLQLPSGFAARIAEKSNRSLRRAILSFETCRVQQYPFTSNQAISPMDWEEYISEIATDIMKEQSPKRLFEVRGKVYELLINCIPPEIILKRLLHELLKKLDAELKHEVCHWAAYYEHRMRLGQKAIFHIEAFVAKFMSIYKAFLIATFG >KJB82445 pep chromosome:Graimondii2_0_v6:13:49934716:49938632:-1 gene:B456_013G195900 transcript:KJB82445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRQIFGPSAEKVKVENKNWKIDAGSRTIDLELTMLSSANRVELSPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGYKVLILNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAIRSRCLNIRINAPSEEQIIKVIEFIGKKEGLQLPSGFAARIAEKSNRSLRRAILSFETCRVQQYPFTSNQAISPMDWEEYISEIATDIMKEQSPKRLFEVRGKVYELLINCIPPEIILKRLLHELLKKLDAELKHEVCHWAAYYEHRMRLGQKAIFHIEAFVAKFMSIYKAFLIATFG >KJB78531 pep chromosome:Graimondii2_0_v6:13:270875:273029:-1 gene:B456_013G003800 transcript:KJB78531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQTDKEIEEILAAHLEQQKVDSEQTVVEVDVDEDDDEDEDDDKDEDDAEGHHDGEGAGRSKQSRSEKKSRKAMLKLGMKPILGVSRVTIKKSKNILFIISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSHVISKPEPEPSTSAQDDEEVDETGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVAAIMELTT >KJB80479 pep chromosome:Graimondii2_0_v6:13:17916399:17916410:-1 gene:B456_013G0995002 transcript:KJB80479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLF >KJB83366 pep chromosome:Graimondii2_0_v6:13:56345111:56348378:1 gene:B456_013G244200 transcript:KJB83366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGKRNWCTLLAFLIMYGAFSTSVEETKLIIREKQRCRKMACLNARRSLSTLLSRALTASSSSSFPSRSRLTVALLNKTPVFIPEATKILTRTKTSGSGYSPLNDPSPNSSSPRPSVLLDDSSYEHWLIILEFPERPKPLEEEMIDAYVKTLASVVGSEEEAKKRIYSICTTRYTGFRAFFSEDLIDELRELPRVRWVLPVRHCSQDHYYAEDFFVDGKVLHRPQFPWVGVPNSSHRVGGCGDHELWLITFEFREEPSFEEKIDFYVKTLASIVGSEEEAKRRIYAVGGRLTRYTGFRAVMSEEMAYELEGHLLVDGKIVLRPTSCSTKRLEGGKLPGLLHFLQEQILDVIYWEESKSNGGGKN >KJB81958 pep chromosome:Graimondii2_0_v6:13:45528205:45530926:1 gene:B456_013G169000 transcript:KJB81958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASVTSPWLLRKAFQALAFSQPLHTNKHLSFYGNLCSFPFQYPLRSSGLCHIAQVIKGDNDVLLKGVGDKSAIEEVKHILDTARRAATRREVFHTDFLTPPVLKESMIVLQKLADVKAVAQGGYPQAERCRLSIGHSEVLTNDPNVVAAINISGNFSFQPCSHGDFLGAILGKGIAREKLGDIILQGEKGAHVVIVPELVDFLMSTLDKVANVSV >KJB81957 pep chromosome:Graimondii2_0_v6:13:45528205:45530484:1 gene:B456_013G169000 transcript:KJB81957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASVTSPWLLRKAFQALAFSQPLHTNKHLSFYGNLCSFPFQYPLRSSGLCHIAQVIKGDNDVLLKGVGDKSAIEEVKHILDTARRAATRREVFHTDFLTPPVLKESMIVLQKLADVKAVAQGGYPQAERCRLSIGHSEVLTNDPNVVAAINISGNFSFQPCSHGDFLGAILGKGIAREKLGDIILQVFRWSIAD >KJB81246 pep chromosome:Graimondii2_0_v6:13:35616944:35619916:1 gene:B456_013G134600 transcript:KJB81246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLIEEKALDQKLNGHQHRDRDHARQKPGLNNNYNNKSLEEKVSEFLYNDFAESDGNWVNDATTDGYGSDGNESNDSIQHTLYWESQQALLQEILERYMLIGSKLRQEVNGIIEEAKETEFCSCINQYQTLSFAIGCINCLRKRVINLLCHKGLKATLCVSKWKHTKNYPAGSHEYIEVMASTQGRKKQIPLVIELEFRDQFEIAKACDEYMKLVDKLPLCYVGKTEYLNPIVGVMCDAAKRSMEEKKLHMGPWRKRSFMQMKWSSTSERRSLNDEPSRQLLHQSCSKSSKPAAAGIISKRKLDNSC >KJB81231 pep chromosome:Graimondii2_0_v6:13:35292451:35293476:-1 gene:B456_013G134000 transcript:KJB81231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRPESIPSLLRPLAVIGIPHAERRLEIPYRFLFSITYSALIDFCFFFHRYCTGNFCFFFHRFLLLLLLTTKNARTLSLFFFSFLFCKINFVFLFSIF >KJB82118 pep chromosome:Graimondii2_0_v6:13:46897510:46899740:1 gene:B456_013G177100 transcript:KJB82118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASQAASATNCKFLPVSTCFSSSLNLGSMPLKRTCRKPNISCSLQTPSILLLPNQSSTDYTTKNAVKPQPQHWNPFQRAAAMALDVVENALVSHERHHPLPKTADPTVQISGNFAPVPDQPVKHNLPVIGTIPDCLQGVYVRNGANPLHEPVAGHHFFDGDGMVHAVQFQNGSASYACRFTETNRLVQERALGRPVFPKAIGELHGHSGIARLLLFYARGLFGLVDPSHGTGVANAGLVYFNGHLLAMSEDDLPYHVRVTPSGDLETVGRYDFDGQLKSTMIAHPKVDPETGEFFALSYDVIQKPYLKYFRFSPDGKKSPDVEIPVDGPIMMHDFAITENLVVIPDQQVVFKLPEMIHGGSPVVYDKNKMSRFGILDKNATDASGITWVEAPDCFCFHLWNAWEEPETDEVVVIGSCMTPPDSIFNECDESLKSVLSEIRLNLRTGKSTRRPIISDSEQVNLEAGMVNRNLLGRKTRYAYLALAEPWPKVSGFAKVDLSTGEIKKYIYGDQRYGGEPLFFPRNPNSENEDDGYILAFVHDERTWKSEVQIVNAMNLEVEATVKLPSRVPYGFHGTFINSKDLEKQA >KJB79313 pep chromosome:Graimondii2_0_v6:13:3772823:3773377:-1 gene:B456_013G043400 transcript:KJB79313 gene_biotype:protein_coding transcript_biotype:protein_coding description:CML30 [Source:Projected from Arabidopsis thaliana (AT2G15680) UniProtKB/TrEMBL;Acc:A0A178VPG8] MSNVGFLEFQYKLSQNKFLWKPLRLFSRDRQNSGLLTAYQPDLDELRKVFDKFDSNKDGKISQMEYKAMLRALGQGTMTRDVPKIFQLADFDGDGFINFKDFVEVHKRSGGVTIMDIQNAFHTFDLNCDGKISAEEVMEMLRRLGESCSLEECTKMVRAVDTDGDGMVDMDEFITMMTRTMKLD >KJB78449 pep chromosome:Graimondii2_0_v6:13:5766997:5767374:-1 gene:B456_013G057600 transcript:KJB78449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFNCFALALLMALSFASIDVGVATRHLLQQPQTQSLSSFPNLPTPSRPSFPWPGALPPLPTTLPTGLPLLPNIPSVPIIPTTIPPIPFSSPPLPSFPNLPNPGALPPLPTTLPRGLPPLPSIP >KJB82686 pep chromosome:Graimondii2_0_v6:13:52080438:52081064:1 gene:B456_013G209400 transcript:KJB82686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGARMLLISVMVLLVLASNAEGRRLKEEAYHPQNFLGAFGTSGGLVPTPGGGVGLNLGPSVFCSYPGTGCVRVQPTIPGSTGTIGAGTPP >KJB81812 pep chromosome:Graimondii2_0_v6:13:44232543:44234367:1 gene:B456_013G162600 transcript:KJB81812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKRKQGSANAENLKTRDVKARRIDEEDLADRLSELPDHVILHIMSFLPIKEAVRTCLLSTRWKDLFTSISNIELDGVLRNKALRNRFMKFSDGFLSLRKDISVDRFRLCCGPGIDHRKINEWILYAVRHGVRELDLIFQSRSFETRHFTELEFTVFTCKTLLTLRLFNLPSLVLTIPTHCCLPKLKVLHLTFLKFSDDESIRRLLSSCNSLEELLVQSCELSNLNKLSVCHPTLKRLTINGGHIDPICELEINTPNLVCFDFGYFYCEETRLSLINLNSLSEARIWIGFIAKMFSNFCNDTASAFDLMRALSCVQSFHLTGICLEIQAMLQSPSLIPEFPNLTSLKMGACYAGWENMLVRCPCLETLAFELEDFGPVDWHTPKKDPSCLQHHIKAIKIFALKRKHVEFQLIGYLLKKAGVLESLTVEIKSVTKREQRMMSKNICALPKASKKCHILII >KJB83189 pep chromosome:Graimondii2_0_v6:13:55253975:55255912:1 gene:B456_013G234200 transcript:KJB83189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSASMPLLNSWVPHSKEPSPELDSLHLIGRTRSVSLRILCSSSSSSSISVGSGDDPSRRMTRAVSETDLREMVVPKMREVKRNNGILNTIFVEEEEEVEREEAGFQWRRTASLAVEEECEIGGADGGGRSDSGGDNEWSSWDSNNGNDGTELYYQKMIEANPGNSLLLSNYARFLKEVRGDFVKAEEYCGRAILANPNDGNVLSMYADLIWETHKDSSRAETYFDQAVKAAPDDCFVLASYARFLWDAEEEEDGENLSEVPEPSFIHGVSSMPPPLTAAS >KJB82523 pep chromosome:Graimondii2_0_v6:13:51147802:51148823:-1 gene:B456_013G200500 transcript:KJB82523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERKKNQFMSFQEHTLSSLMFPKNSKRVCIVVIACVVVSAAAQSASNVTATYHYYNPQKISWDLNAASAYCATWDANRPLAWRRRYGWTAFCGAVGPQDQAACSRCLRVTNSGSGTQATMRIVDKCSNGGLDLDVNVFNKLDKNRNGNARGHLTVRYDFVKCSH >KJB82260 pep chromosome:Graimondii2_0_v6:13:48435687:48438342:1 gene:B456_013G185300 transcript:KJB82260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQPTSLVFTVERQEPELIVPAKPTPHECKLLSDTDDQAGHRFQIRGLHIYRNNACMQGKDPVRVIREALAETLEGPNRKLMVDCSGEGILFIEGDADVTLEDFGDSLHPPFPCFKELLYELPASIDLLNSPLLQIQVTRFNCGGFIFAHRFNHTSDAVGLIQFMSTMGEMARGAVAPSIPPLWERHLLNARDPPLITCAHLEYDHDKAATGTIMPTDNLVHRSFFFGPTQISALRRSIPDNLRCSAFDILTACIWRCRTKALELGPDEDVRFICTVNARSKFDPPLPLGYYGNALGYPAVETTAAKLCQNPLEYAIKLVKEAKGKVTEEYMKSTADLMVIRGRPNVNTGRSLIVSDLSRARFREVDFGWGKAENKEGKEGITVPVCLPAPLMDSFVKEINRLMLKEDEATGG >KJB83613 pep chromosome:Graimondii2_0_v6:13:57272041:57273032:1 gene:B456_013G256600 transcript:KJB83613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRNEVADMVLMKILSKLASKSVIRFMNVCKSWRSSLGTPYFWTQHQHNGLKSNKLRLLIKCYHQNAHSKTTYFSGLSTEKSENFSVKRNIHIPYFENLKDQYSIHGQCNGLLCLHNHDDIAIWNPSTREITILPPPPMQLDPVYDVNLLNVGFDYKTNDYKIPISFGFPTYSYIYDDCINGCFYWMLVGDFDLHVLSLDLADEKFSTLLAPYHDCFLIHKWNFFDFSGSLGVIFRIDRRAKSNFESWVMKESWSKVLNIESIYGVDYPMGFWKNGGLFFSGSNNELLLFDPNVGEFEGL >KJB81831 pep chromosome:Graimondii2_0_v6:13:44494394:44495029:-1 gene:B456_013G163800 transcript:KJB81831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLLPFLSPPNLLHNHTSNLSSISNNPSKTLHFPIKCTSPTSESSQSDFPSPISHETTTSPESFPIEKRRRSEIVRERRPRPDIAKPEPPNFEVGWRRTKEINLEKPKGYVIMDFLEKLEGLMGREFGSTELLAKAGEIVAERAREEAEVLRDEGEVEDRMVTELFRVLKLMEMDLAMVKAAVKDETLSERLEKAKARCRQAILVANSF >KJB78975 pep chromosome:Graimondii2_0_v6:13:2052067:2052886:-1 gene:B456_013G027700 transcript:KJB78975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQLDSCFGSFFLCIFCFAWFLTFPAEAAVRKYQFDIRVKNGSRLCHAKPIVTVNGRFPGPTIYAREGDRVLVKLTNYAKYNISIHWHGLKQFRNGWADGPAYITQCPIKTGHSYTYDFNVTGQRGTC >KJB79945 pep chromosome:Graimondii2_0_v6:13:8900491:8902531:1 gene:B456_013G073700 transcript:KJB79945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGVDEEKSEGEGKVWSLCTMPKLWQMSSGNGNGSSSSSSSSMGNNNVYQQTPSSERSAVTSSNAFFSMAKSLLPARRRLRLDPSNKLYFPYEPGKQVRSAIDIKNTSKSHVAFKFQTTAPKSCYMRPPEGILGPGESLIATVFKFVEPPENNEKPMEKKSRVKFKIMSLKVKGETDYVPELFEEQKDEVTVEQILLVVFLDPERSCPALEKLKRQLAEAEAALESRKKPQEETGPRIVGEGLVIDEWKERRERYLAKQQVEGVAPT >KJB78558 pep chromosome:Graimondii2_0_v6:13:422939:423472:-1 gene:B456_013G006000 transcript:KJB78558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDNSNSHELQPQKGIQIKQDDKFFSRLMSKETSMANSSCRIYYGGASVAVPFMWESQPGTPKHTFRDNVLPPLTPPPSYRASFESKSKRKKSLKPTLLSSIFACLNYGATPSSSLANPSMNRELIERRRRCFPCSRSSVHVCLDDYGEGMGSPTWTLCFGVKTRNVNELRGLFQG >KJB82598 pep chromosome:Graimondii2_0_v6:13:51453432:51459595:-1 gene:B456_013G203700 transcript:KJB82598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNGNIENNKGSNIINNKTNSHNNNRYLPISLKFISSCIKTASSGVRSASASVAASISGDSHEHQKDQVLWTSFDRLELGPSSFKRVLLLGYSNGFQVLDVENASNVNELVSRRDDPVTFLQMQPLPEKLEGHEGFRASHPLLLVVACDESKGSGLMLTGRDGLTRDGFDGLQTGNVLISPTAVRFYSLRSHNYVHVLRFRSTVYTVRCSPRIIAVGLATQIYCFDALTLENKFSILTYPVPQAGGQGMVGINIGYGPMAVGPRWLAYASNNPLQSNTGRLSPQNLSPSPGVSPSTSPSGGSLVARYAMESSKQLAAGLINLGDMGYRTLSKYYQDLIPDGSGSPVSSHSGWKVGRAASHAAETDIAGTVVVKDYVTRAVISQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSSTKNGSGSQSYDWSSSHVHLYKLHRGMTSAVIQDICFSPFSQWIAIVSSRGTCHIFVLSPFGGENVLQIQNSHVDGPILSPAVSLPWWSTPFFVIYSQTFSMPPPTVTLSVVSRIKNGNSWLNTVTNAASSVAGKASFPSGAFSAVFHNSLPNDVQQAQMKTNILEHLLVYTPSGHVVQYKLLPSFRGEAGENASRIGPGSAPQVQDEELRVKVETMQLQAWDVCRRTDWPEREECLSGMTHGRKEALEMMVDVSDSENNDAGHDDLSKPQDRSHLYLSNAEVQISSGRIPVWQNSKVSFYTMSPVGFEEHKFTADLSGGEIELEQMPAHEVEIRQKDLLPVFEHFHRLQSEWNRGFGGEKYPVSSEDAKARFSQITVISHSKLMSPSSVENSDSGSTRSSYPSGIQSGKDDDGVKGQNSVLASTMLNQSTLNKDAGSVSFNQSKVGVCHIEDTNSTNSMSSLTSGSLSGGRTVANEVQFPNSDGTSDVSNTSSNRSDLSMNMLDEGPVNESPDFEQFFQEEYCKALPLSACSEPKKVITDVDNSISPCDKEKSEEEVDNDDMLGGVFAFSEEGSFG >KJB78844 pep chromosome:Graimondii2_0_v6:13:1612158:1613562:-1 gene:B456_013G022500 transcript:KJB78844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSFVPLIDPLNWQQPPTHQQDQVFTKDNHHHIHNPLLPPPPPAGGGVGINRLGSMAERARLANMQKPEKALKCPRCESTHTKFCYFNNYSLSQPRYFCKTCKRYWTRGGALRNVPIGGGCRTNKRSKGSKPTKSPSIGQMNPTPPQLPLLLHPLHYLGDYISVGTRASSNMEFQIGNSSHGDGSMLTNGLVQQFPFLTGLETPTGLYPLGSEGVEASSYGVHHQLRSKPLESWIAQLEAVSDQYWAGGGGGHGGDAWTDLSGDFVYKSFLPPNPVQY >KJB79255 pep chromosome:Graimondii2_0_v6:13:3248432:3250124:1 gene:B456_013G040300 transcript:KJB79255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQSFQAFGCLTFFSCILFCSYAPTFALTDVEQSYITRRQLLALRENGKLPDGYEYTVKTTEKFENERLRRAFIALQAWKKAMYSDPKNITSNWFGPNVCDYKGVYCVRAMDDPKLKVVAGIDLNHADIAGYLPVELGLLTDVALIHLNSNRFCGIIPESLSELTLMHEFDVSNNRFVGDFPKVVLSWPSVKFIDLRFNNFEGCLPPELFKKDLDALFLNDNRFTCNIPETIGKSTVSVVTFANNKFKGCIPRSIGKMSNLDEIIFSNNNLGGCFPQEIGLLRNVTIFDVSKNSFVGSLPANFSGFEKVDVLDISGNKLTGSVPEDICKLPSLSSFKFSYNYFSEEHMACIKPERKNIVVEDTGNCVAGRMKQKTDKECKQVVSNPVDCSKDKCTGGSPPSKPKSPPLPPVHSPPHHVALPPHHVHSPPHPSTVPTPTSTISTSTNSISSSCCVYTATYKRYCPPTKHRIRIPIATSTNVSRLLNSTTALTSLYCIFSLSGIKGKKKEP >KJB79181 pep chromosome:Graimondii2_0_v6:13:2926005:2931152:1 gene:B456_013G036900 transcript:KJB79181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQRSIGVALLYLLLLMLLESTLEQQVQQRLSSRIEFTALFELRSSLGLRSRDWPRKVDPCTSWNGIRCENGSVTSINISGFRRTRVGKRNPQFAVDSLANFTRLVSFNASEFLLPGPIPDWFGQRLLTLQVLDLRSCNVSGVIPLSIGNLTNLTTLYLSDNRLTGQIPSTLGRLLRLLVLDLSKNSLTGSIPSSLVSLRNLTSLDISLNNLTGSIPPGVGSLLKLQILNLSSNRLTSSIPAQLGDLDSLVDLDLSSNGLSGSVPRDLRGLRNLQTMDFGNNGLQGSLPVALFPSPSQLRIIVLRNNSFSGDLPEVLWSLPELNLLDISHNNFTGMLPNSALNDNATAAVLNISQNKFYGGLTTVLRRFISTDLSGNYFEGRVPDYAHDNSFLSTNCLQSVSSQRTLTECVSFYAERGLSFDNFGPPNSTEPPATESQRSNRRIIILAAVLGGAGLVVLLMLLLLVVLCFRKRSTRNHRGIDVGAVPARETSLSPVEEINFSSLGDLFTYQQLLEATGDLNDANLIKHGHSGDLFKGILEGGLPVVVKRIDLQSIKKDAYLSELEFFSKVSHPRVVPLLGHCLEREHEKFLVYKYMPNGDLSSSLYRKKSSEDDSLQSLDWITRLKIAIGAAESLSFLHHECTPPLVHRDVQASSILLDDKFEVRLGSLSEVCAHEGDGHQNRITRLLRLPQSSEQGSLGSSTAICAYDVYCFGKVLLGLVTGKLEISSSSDTEMKEWLEQTLQCISIYDKELVTKILDPSLLVDDDLLEEVWAMAIVARSCLNPKPSRRPPMRYILKALENPLRVVREDNTSSARLRTTSSRGSWNAALFGSWRQSSLDVAGIPAASTTRAEGGSSFKHSGTTGSQGSAQNGGGDHSSSRRRHSKEIFPEPPKPQQDIERQDRD >KJB79415 pep chromosome:Graimondii2_0_v6:13:4308939:4311834:1 gene:B456_013G048400 transcript:KJB79415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDSPLSSPLGPPACEKDAKALQFIEEMTRNAESVQQRVLAEILSRNAQTEYLTRFQLNGATDRETFKSKLPVITYEDLQPEIHRIANGDRSAILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVAGLDKGKGLYFLFVKSETRTPGGLLARPVLTSYYKSDHFKTRPYDSYNVYTSPNEAILCPDSFQSTYTQMLCGLLDRHQVLRLGAVFASGLLRAIRFLQLNWRQLAHDMETGSLNSKITDPSLRECIVKTLKPNPELAQFVRHECSKDDWEGIIVRIWPNTKYLDVIVTGAMAQYIPTLDYYSGGLPLACTMYASSECYFGLNLNPMCKPSEVSYTIMPNMAYFEFLPHEPNLDSTGFDFTHELPPKLVDLVDVEVGKEYELVITTYAGLCRYRVGDILRVTGFHNSAPQFHFIRRKNVLLSIDSDKTDEAELQTAVENASHLLREHNASVVEYTSHADTKTIPGHYVVYWELLVKDSTNSPDNDTLEQCCLAMEESLNSVYRQGRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVNFTPIMELLDSRVVSAHFSPALPHWSPERSQ >KJB79416 pep chromosome:Graimondii2_0_v6:13:4327285:4328823:-1 gene:B456_013G048500 transcript:KJB79416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINPTTSVPGVSTLEKENLGRISQIIGPVLDVAFPPSKMPNIYNALVVKGRDTTGQQINVTCEVQQLLGNNRVRVVAMSATDGLTRGMEVIDTGATLSVPVGGATLGRIFNVLGEAVDHLYTKLSIFEIGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGISIFGGLGERTREGNYLYMEMKESGVINEQNLAESKVALVYGQMNEPPGAHILLFIDNIFRFVQAGSEVSALLGRMPSAVGYQLTISTEMSTLQERITSTNERSITSMQAVYVPADDLTDLASATTFAHLNPTTVLSRGLAAKGIYPAVDPLDSTSTMPQPRIVSEEHYETVPRVKQTLQRYKELQDIIAILGLDKLSEEDRLTIARAQKFECFLSQPFFVAKVFTGTPGKYVGLAETIRGFKLIISGELDGLPEQVFYSIGNIDEATVKATNLEMERKLKK >KJB82469 pep chromosome:Graimondii2_0_v6:13:50666078:50669325:-1 gene:B456_013G198100 transcript:KJB82469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLEERAVNGCPITVVIAVNFSELLTQIAFLQSGTNFCTNPIQHVFETFLISRKAIDNVSRTKVSDIFGLLHQATHEAYISSEMFGFIREALLTLWKNAFYRTLKTLTPEELQKRALGCRKRQ >KJB83596 pep chromosome:Graimondii2_0_v6:13:57149653:57152013:1 gene:B456_013G254600 transcript:KJB83596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIKHQMVKVNGINIHIAEKGEGPVILFLHGFPELWYSWRHQILALSSMGYRAVAPDLRGYGDSDAPDSVESYTCFHIIGDLVELIDVLDPDVGKVFVVGHDWGAYMAWLLCLFRPDKVKALVNLSVPFLRSHREIKPVDFWRSYYGADHYISRFQKPGEIEGEFAEIGVERVEKELLTDFPVILPKGKLFKRPLDEPITLPSWLSEEEANYYVAVFQKTGYTGALNFYRNFNRNWELLKPWVGSKIKTPAKFIVGDNDLVYHMPGMKDYIHNGGFQEDVPSLQQVVVMEGVAHFINMEKPDEINKYISDFFTQFV >KJB79926 pep chromosome:Graimondii2_0_v6:13:8702687:8703420:-1 gene:B456_013G072800 transcript:KJB79926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPQHHPQSEPYLPVPPLASLRIQPTSPRVPLSVTPTAGAQRRIAITVDLSDESAYAVRWSVQNYLRPGDAVILLHIHPTSVLYGADLGSVKQKLKNEFDLMTTKVNTLAQPLVDAQIPFKIHIVKDHDLKERLCLEVERLGLSAVIMGSRGFGAARRTSKGRLGSVSDYCVHHCIEETKKKKITGEDEELQPVLEEELEYYDAEEEHRGLS >KJB79894 pep chromosome:Graimondii2_0_v6:13:8276428:8277868:-1 gene:B456_013G071100 transcript:KJB79894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMPSTISFVAPPSSNLISSSFYRLLFSFVGTQKLVFPRLGLSRRNIHTHAFIIDHKIIRIRWFIRPSANLFCRSSSTIACRSHLLFA >KJB82247 pep chromosome:Graimondii2_0_v6:13:48386032:48387903:1 gene:B456_013G184900 transcript:KJB82247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSSHKLSCEMLAYCFPLIIQLSNYKSRIIHNIGLLVGNLKYRRTYSSQNLLEPVSGRIITSSQFVNHPSQRSFSQSSCYQKFAPVETHPILRCSSLQHRFKNWQELRKHKLTASTFAGAIGFWPLRRTQLWLEKLGAIEPFSGNLATCWSNIKEEEALERYKLITGNTIEFPEFQVYGKMNPEDSWLAASPDGLVNSVYGLPPGGVLEIKCPYIDGKMEETFPWKRIPLYCIPQAQGLMEIMDREWMDFYVWTPNGSSLFRIYRDVKYWNVLKSALSDFWWKHVQPAKEICSKNVITDPLRELKSIRPDSRHESCGDIVRQSKLVADNSNLLIREINGQLIT >KJB79994 pep chromosome:Graimondii2_0_v6:13:9590523:9595791:1 gene:B456_013G076500 transcript:KJB79994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSVKTLKGTHFDIEVKPEDAVADVKKNIETVQGADVYPAAQQMLIYKGKVLKDDTTLAESSVTENSFIVIMLTKNKVASGEGSTASAASTMKAPEASRPPTAPAPASTAPVATSATAALAADSAPVASSTPLSDSDVYGQAASNLVAGSNLEGTIQQILDMGGGTWDRDTVVRALRAAYNNPERAVEYLYSGIPEQAEAPPVARAPVVGQTTNPAEQPQQPAQTAAIPTSGPNANPLDLFPQGLPNMGASGAGAGSLDFLRNSPQFQALRAMVQANPQILQPMLQELGKQNPNLMRLIQEHQGDFLRLINEPAEGGEGNILGQLAEAMPQAVQVTPEEREAIERLEAMGFDRATVLQVFFACNKNEELAANYLLDHMHDFQD >KJB82211 pep chromosome:Graimondii2_0_v6:13:47793802:47794251:1 gene:B456_013G181800 transcript:KJB82211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPHRLREVNEKAYEPNVISIGPYHYRKPHLARMEDFKKRWFKMFVEKPHLGIDQFREAIRPLEEKIRNCYEQPLPLDYKYEKFDKEKFVDMMVHDGCFAVQLILEGHLYDFSELGRHISAEIFQDLLLLENQLPFFCAFEVVLHDKPKS >KJB81151 pep chromosome:Graimondii2_0_v6:13:34352619:34355276:1 gene:B456_013G131400 transcript:KJB81151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIYSQDSHRHKPTHLNYLLNATAQTKCLRHATQIHSQIITNSFISLPFLFNNLLTLYSKCGRPSLSLLLFSTAHQLTRTVVSWTSLISLLSHLPAPFQALSCFNQMRTAGVYPNHFTFSAVLPACASTSILVHGQQMHCLISKHGYEGYVFVGSALVNMYAKCHDMGLAEKVFVALPERNIVSWNSMIVGCLLNSFHVKALFLFREVRREDLFSPNQVSFSTVLSASANIGALEFGKQVHGVVVKQGIENRDVIAWNVMSMGCVYNENFEEACNYLWVMKRAGISPDEASYSTALHASAHLAALDQGTLIHNQTIKSGFSTNTCIASSLITMYAKCGSLDDACRVFEEIDNPNVVCWTALIAACQQHGNGNHVIKLFEKMLADGLKPHYITFVCVISACSHTGRVEEGHAYFNSMEKVHGIIPGHEHYACMVDLLGRSGQLDEARKFIAQMPIKPNASVWGALLGACAKYGNLEMGSEVAGRLFELEPDNPGNYILLANMYVHKGKLREADHVRRLMGINRVRKEPGCSWIDVKNKSFVFTVHDKLHARTDEIYEMLKKVKELVTNKGYVPQTQFAVNSAEELKEESLWYHSEKIALAFGLLALPAGAPIRIKKNLRTCGDCHTVMKFASEIWKREIIVRDVNRFHHFRNGLCSCRDYW >KJB81539 pep chromosome:Graimondii2_0_v6:13:40837795:40838370:-1 gene:B456_013G149000 transcript:KJB81539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNVVYGRSVMLAALAGMALAAPLLGMMGFSFLATLTLLFITSPLLLIFSPLLLFAGLVLMGALVGFAIAATMAFAGVSTLVWMYREIRGSLGFGSRRGQLVPGRYLQQTIQEK >KJB83405 pep chromosome:Graimondii2_0_v6:13:56475038:56476262:1 gene:B456_013G245900 transcript:KJB83405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAREPHNATARTHSGVNSELHTSPWHSPVPYLFGGLAAMLGLIAFALLVLACSYWKLLGYNENDGEGGTERDLEAGEGKGEAGRPVMEPKVLVIMAGEKKPTFLATPIGSNGSYYLGDNSEKRCCCSDQKGEEGKQDEKEVCRGGDGGGDGGAETTVTQQSSSDDH >KJB82612 pep chromosome:Graimondii2_0_v6:13:51572626:51573129:-1 gene:B456_013G2048002 transcript:KJB82612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLKDCQDALLQLQEEIPNDGKPARTTPDAKKCISKLRNEFQVKMSDDLSTSLILTGAFLKALKLVNNLLTMLKKKQQKQQRLLVIQFLKEIEKGVTKVLDVLGLQPPCSYNEVSGFTYYTMLRFMPSVKF >KJB82106 pep chromosome:Graimondii2_0_v6:13:46563389:46564322:-1 gene:B456_013G176300 transcript:KJB82106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKENKTEVMQKLTSLFFHVEQVFPQCRGLISCLGTPQIASTDRYWVYPSAFSNRAFNHPMVKCARKKLKQKLKLIYLNAFHINLKKKNKMKQKTDHIESIKEFPIKFKLAKAIKYLTKKIKVKNQKTRCHVQPIQLNRN >KJB83191 pep chromosome:Graimondii2_0_v6:13:55265470:55268030:1 gene:B456_013G234400 transcript:KJB83191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSTMAALLVILAANLLQSTYGRTYTVGDSTGWGIPANNNDDFYDDWADNKDFLVGDVLVFNFTNGQHNVVEVTEAGYDACNAANPVSTGSTGPARITLNRTGEYYFICGIPGHCSAGQKLNVEVRNGNTTGGVTPSTPVTPTTTTYTVGDSTGWRVPTNNDDFYEDWADNKHFVVGDVLVFNFTTGQHNVAEVTEDAYDDCNTANAISTLTTGPARITLNKTGDHYFICSVPGHCSAGQKLKVEVRTGNTTGGVAPSPPVTPSTTTFTVGDSTGWRVPTNDDFYEDWADHKHFVVGDVLVFNFTTGQHNVAEVTEDAYDDCNTANAISTLTTGPARITLNKTGDHYFICSVPGHCSAGQKLKVEVRTGNTTGGVAPSPPVTPSTTTFTVGDSTGWRVPTNNDDFYEDWADHKDFVVGDVLVFNFTTGQHNVAEVTEDGYDDCNAANAISTLTTGPARITLNRTGDHYFICSIPGHCSAGQKLKVEVRNGNRTSTSPTPGTPSAAAPGTSSSPGTNSASSHVATLSLVFFMSIALTLFC >KJB83062 pep chromosome:Graimondii2_0_v6:13:54733239:54734635:-1 gene:B456_013G228000 transcript:KJB83062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPRFVIDSAEVEQMAKQSRQTVLHLLPSLVKSAQALARPPISNYHVGAVGVGSSGRIFFGVNLEFPGLPLNHSVHAEQFLITNLSLNAESCLKFLAVSAAPCGHCRQFLQELRAAPDVNILITATEKEKENKTINNRNDKDMQFAPLSYFLPHRFGPDDLLEKDVPLLLEPHRNGLSFCSDTRNGEINGDGDDSKYAALEAANASHAPYSGCPSGAALVDVEGKIYKGSYMESAAYNPSLPPVQAALVAYVASGGGGGYERIVKAVLVETADAVIKQEHTAKLLLQCISPKCEFKVFHCNKNMLKCEYQV >KJB79642 pep chromosome:Graimondii2_0_v6:13:6408996:6413633:1 gene:B456_013G060200 transcript:KJB79642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:Projected from Arabidopsis thaliana (AT4G33510) UniProtKB/TrEMBL;Acc:A0A178US70] MALTSPSFLASKSPLLPRRHLLPPSLKPITAVHSADPTKSTKSMAAAASTSSPSIPTQWSLESWKSKKALQLPEYPDQNDLVSVLETLSTFPPVVFAGEARSLEEKLGQAALGNAFLLQGGDCAESFKEFDANNIRDTFRVLLQMGVVLMFGGQMPIIKVGRMAGQFAKPRSDPFEEKDGVKLPSYRGDNINGDSFDEKERVPDPHRMIRAYCQSVATLNLLRAFATGGYAAIQRVTQWNLDFTEHSEQGDRYCELAHRVDEAMGFMAAAGLSVGHPVMTTTDFWTSHECLLLPYEQALTREDSTTGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPSELVRLIEILNPRNKPGRITVIVRMGAENMRVKLPHLIRAVRGAGQVVTWVSDPMHGNTIKAPCGLKTRSFDAIRAEVRAFFDVHDDEGSHPGGIHLEMTGQNVTECLGGSRTITYNDLGSRYHTHCDPRLNASQSLELAFIIAERLRRRRLASRNPLASSRSSI >KJB81052 pep chromosome:Graimondii2_0_v6:13:33137635:33138012:-1 gene:B456_013G127400 transcript:KJB81052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHGNIVRLQDVVHSEKHLYLVFEYLDLDLKHIIAYCHSHRLLHRDLKPQNLLIGRRTNALKLADFGIPVRTFTHEENNKK >KJB83915 pep chromosome:Graimondii2_0_v6:13:58183763:58185587:1 gene:B456_013G271000 transcript:KJB83915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTNIRTTRVCHMVAMPFPGRGHINPMMNLCKLLASRKKDILITFVVTEEWLGYIGSDPKPHNILFETIPNVIPPERLKAANFPGFYDAVMTKMEAPFEQLLDRLELPVAAIIGDIEVRWSTGVGNRRNIPVALFWTMSASVFSMFHHFDLHIKQSHAKIDLIQQVESIAGMSSSNVEELRTIFHRDDPRVLELALDCISRVPQAQYLLFTSVNEFEPQAFDSLRAIYNFPVYPIGPAIPYLELGESSCETKSYLQWLDSQQTGSVLYISLGSFLSVSNTQMDEIVAGLQISGVRYLWVARGEASRLKDRCGDMGLVVPWCDQLKVLCHPSVGGFWTHCGWNSILEAVFAGVPMLTFPLFLDQDTNSRQIVEDWGNGWRVNDAVKAEKLVTKENIAELVRRFMGAESDEGKQIRRKAKLLQDKCVVAISEGGSSAANLDAFISSISPGKGH >KJB81721 pep chromosome:Graimondii2_0_v6:13:43415551:43417158:1 gene:B456_013G158500 transcript:KJB81721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVTNQEEDKKPADQTAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRVEQTPDELEMEEGDEIDAMLHQTGGAMA >KJB83058 pep chromosome:Graimondii2_0_v6:13:54717640:54718671:-1 gene:B456_013G227600 transcript:KJB83058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYKNPHAETCYILVLRGAYAKINTNLFTSCSSSSRQYFPSPKMFSDLSDLRETWLSPTTLFLFLNIMVGTIFFISRITPHRTPYVADDGNSSSSSSPSLDRSLSFFGRVTSFSFSTYSFPISSQDVNHHFLQTDDGSAAHQVERAPSILERVKSNFYKYSPQSPETDCIEPAQQSLISRAPSLLERVKSFYKPDTVKPNETEPTVTDSNGSEMGLSSVHGEIKRIQSEPMVRQRELPEKMKKSRRKVEKEVEMKRSASTGIEETTPFEDDDHAKADDFIEKFKQQLKLQRLNSLLPYRYSKPLESI >KJB83253 pep chromosome:Graimondii2_0_v6:13:55548967:55549638:1 gene:B456_013G237600 transcript:KJB83253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGENESTSPISPASLKQKLKSSFRLPWQRHQNNQRLAASASMTPPSPKAGDNNSPRLTSSTWLKSPEFKDKYRNLINRIGNGHRHSHSHSLGRRNSAEFRYDPSSYALNFDEGCDDSQFDEFPFRNFTARLPPSPTTNHNSREIAA >KJB80131 pep chromosome:Graimondii2_0_v6:13:11211023:11213956:1 gene:B456_013G082100 transcript:KJB80131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCGKAGPYQPILSEYPTYNSKKHPRYFQPSWFKQFSWLEYSPSNDALFYLPCSLFNSNASSHFGSTPFTQSGFSNWKKVHDTCNCVSLTHMGKDMNSLHNNAQLSLDRQTTQQIATNCLRLKSNIDVVRWLSFQGCAFRGHDESSGLKNRGNFLELLSLLASYDEKVEDVLESAPQNASYTSSTIQKEIWQIYANRVRNVNREEIGDRKFSIIVDEARDESKKEQMAIILRFVDKQGQLTSGKGINQIGTLQRPGETRWSSHLNSVTSLLKMYNATSTIVENLKNITSNYSQRGDAHNAYNKLRSFEFIFILHMMKEVLGLKDDGWNELLKNVISFCETWELDFPDMNAQYIVGRSHNKKEDVTVEHHYRVDILFAKINTQLFNEHVVELLTLTTALDPNEFFKLFDIDKICVL >KJB79927 pep chromosome:Graimondii2_0_v6:13:8717230:8717437:-1 gene:B456_013G0729001 transcript:KJB79927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPFYDKNGMKKGEWSAEEDHKLRSYIQRYGHWNWRELPKYA >KJB82414 pep chromosome:Graimondii2_0_v6:13:49663780:49668421:-1 gene:B456_013G194900 transcript:KJB82414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKDRNLANTFNHSMSNHTAIVMNKVLQIYKGFEGLTQVVDVGGGWGTNLKLIISKYPRIKGINFDLPFVVKDAPNIPGVEHVGGDMFNKVPNAEVIFMKWILHDWGDKECLKLLRNCYEAISECGKVIVVESVLPELPTPNIVTATTLSFDVGMLHLLPGGKERTFKEFETLFVQAGFAAFKPICRVYNYWVIELLKNVNNSPQ >KJB83627 pep chromosome:Graimondii2_0_v6:13:57755340:57757041:-1 gene:B456_013G264200 transcript:KJB83627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGGGGGGGGNSRWNPTKEQISMLESLYKQGIRTPSADQIQQITSRLKAYGTIEGKNVFYWFQNHKARQRQKQKQENLAYINRYIHHHHRARPVFHPPPCTNVVCAGPYFVPQADHHHHLGFYPQCPKVLLPSGSIKRKGRPIGKTGKALFYNGNAYDHTMVPSPDTENLNNGAFNNGGGATNHHETLPLFPLHPTGVSEETLMASSSPTGSTSCETTISAGGVDNHESSNEGSGEHRFIDFF >KJB81725 pep chromosome:Graimondii2_0_v6:13:43463272:43464099:-1 gene:B456_013G159100 transcript:KJB81725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTCRRLPSWTSTSVPKDILLAKDLRGSVVGSTESRLGICGAYTSLHLAYAGQPYAFPTTLDNYVVRPMEHNWQKVNYMIDSTFSFHIKQICTAWGRKIFQFLNGLTLSFLNTQANSLYKAKIRNYLIIIYE >KJB80599 pep chromosome:Graimondii2_0_v6:13:22565006:22566245:1 gene:B456_013G106200 transcript:KJB80599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNYISYAMTLLQEKGAKKIVLKATGRAINKTVMIAELIMVCGALRMTVKIFLMWNSKMVIDGCEDTTIATSWLEASNLVAVPCIWLLLS >KJB82868 pep chromosome:Graimondii2_0_v6:13:53830203:53832559:-1 gene:B456_013G218400 transcript:KJB82868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPPPPSPPPPPPLPPKQRRLWEKPLPSASIIKQISKPPPLVPPSIPFMTHNPISISQVELPTRSESEAVEEKDAEEASKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFVAKTPKQATPRSVLPSPNQDNRVLDPKKAQNIAILLRAINVTVEEVCEALLEGNADTLGTELLESLLKMAPTKEEERKLKDYKDDSPVKLGPAEKFLKAVLDIPFAFKRVDAMLYMANFESEVEYLKKSLKCLRGIENQ >KJB78493 pep chromosome:Graimondii2_0_v6:13:181233:186753:1 gene:B456_013G002200 transcript:KJB78493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEFYSALYEVQNQEQQQAQGSTPPQTTKPLIQRVSPLLLDKKADFQKCFEPRLVAFGPLHHGNPKFQRAEQYKLKFAARFVHVSGTNCDDLFKKVKAQIDDLRKCYTPEDVEAYDDDKLAWMLFVDGCAVLCAVRYVMEGMFDELNTRTYLLVFAQLDLFLQENQLPYKLLKILIGSAKDPPRLRDELLTGKKMKIDSSVMGRMLLSSGVSRKHRKMFRSIKELKESGIHVKPSTTNNLKDISFYCNFLGTLMMPRLLFLNLVALEMCQDFKNDSEVTSYLCFMDALIESADDVKELRDTGMLHNYLGKNEEVAELFKKMSGGLVPDQTRYIEVIDDIHNTATIHGRMPWLKSITPILVPHGLSLPLLVPL >KJB79034 pep chromosome:Graimondii2_0_v6:13:2311484:2311586:1 gene:B456_013G0304002 transcript:KJB79034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKSSFSVILCCSLLASVFFLYEISSRKLMVVP >KJB81780 pep chromosome:Graimondii2_0_v6:13:43764745:43766293:1 gene:B456_013G160600 transcript:KJB81780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTYTEGCKILLELGGWCSFMNTFLLALIRDSCILILWHQLILEWQGGLVSLLSLRIGSVLGLLAVILH >KJB81664 pep chromosome:Graimondii2_0_v6:13:43056733:43059440:1 gene:B456_013G155900 transcript:KJB81664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSASRDEDYYYSSNRDSLDSLENEDSNLQWAPSKGPTTKAEELLVVEFDRFFFPFYLKICPQISHFQQHQVNTPTTITLLFHLCFTKSHSNVSTGTQKTPIIHIDMEPS >KJB82664 pep chromosome:Graimondii2_0_v6:13:51957040:51958222:1 gene:B456_013G208000 transcript:KJB82664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVTEEIKASASEIYHGDEICQEKSKFLLEEVGMPRGLLPLRDIEECGYVKDTGFVWLKQKKSITHKFEKIGKLVSYATEVTAVVEKGKIKKLTGVKTKELLVWITLSDIQVDDPPTGKITFKTPSGLFRTFPVSAFEIEGEQVKGSKGKDEEKQVNGAVEVKEV >KJB83238 pep chromosome:Graimondii2_0_v6:13:55500752:55502634:1 gene:B456_013G236900 transcript:KJB83238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKDIHIWDGNASRDFLDSIGLTSREEGDLGPVYGFQWRHFGARYTDMHTDYTGQGFDQLLDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANEELSCQMYQRSADMGLGVPFNIASYALLTCMITHVCDLIPGDFIHVLGDFKT >KJB81150 pep chromosome:Graimondii2_0_v6:13:34313170:34314880:1 gene:B456_013G131300 transcript:KJB81150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNKQKKKPSFFSFFLAMAKKGRIHKDEEHSYSTGEDVWSTRKVYDDDYTLWDVAEPGIDRRASAFIANFHATCVSDADHKHFIIPRHAENEAAQI >KJB78553 pep chromosome:Graimondii2_0_v6:13:381784:385188:1 gene:B456_013G005200 transcript:KJB78553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKNSHLGFSLISLIFLFSIPKSVSALDPQPAVWDMLPKYGLPGGLLPSTVTDYVLHEDGRFIVTLGSPCYVQFEYLVYYDKTITGKLGYGSITDLKGIQVKRFLFWLDVDEITVDLPPTGSIYFQVGFINKKLDVDQFQTVHSCRDGVTGSCKYSWKSVLQLPMPTNDIQMLITE >KJB78564 pep chromosome:Graimondii2_0_v6:13:471076:475851:1 gene:B456_013G006800 transcript:KJB78564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDEITLNVFQDDPMRAFNCGPDTTGRPGSKTRDPTSFIDDKMFSFPPLQPPEFRSSNIYAAAAAAAAASADRRSSPNHHQRNWGSSPGGGSTNSGDDELDGDDVDDDEEEDEDDDDDPNENNISNKNHNININNHINKNNNSVNETVNRTQGVTQLWETEKQSIPLVMGGNGRELVVKEGGNGVGQGLRESNSYQNAVTIADPDGDLYYTQYSHGGEGSASVAGANGQKDIIVAENGGGCGFSGRKDVSSLSESSDPLRVIFSDPITGALMDDAMILPCGHSFSAAGLQHVLRMKVCSICSQSVSEASVAPNLSLRAAVQAFRQEEELQFYRSPKRRRDKFDQDKSSYGDPNIMDPPRNRGVQFPFAVTDRVIIKGNKRTPQRFVGREAVVTMQCLNGWYVVKTLDNAESVKLQYRSLAKVADNTAPKPITSKMGPNWL >KJB83637 pep chromosome:Graimondii2_0_v6:13:57619988:57624067:-1 gene:B456_013G262100 transcript:KJB83637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEGCSKDKRWSLQGLTALVTGGTKGIGHAIVEELAGLGAVVHTCSRTETELNDCLLEWKAKGFRVTGSVCDVSNQAQRENLLSTVSSVFNGKLNILINNVGTNIGKMVTDYTAEDVSFLTSTNFESAYNISVLAHPLLKASAAASVVFISSIVGALPICTAPILGANKGAMNHLAKFLACDWAGDNIRVNVVAPAVIKTPLSQRFFEGNEEGLKTVISRTPLGRLGEPKEVSALVAFLCLPAASYVTGQLIYVDGGMTVNGLCFPNQTTKQHEP >KJB82816 pep chromosome:Graimondii2_0_v6:13:53559495:53562626:-1 gene:B456_013G215400 transcript:KJB82816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKMSLTVADAVDYKGHPADKSRTGGWVPAALSLGIEICERLSTMGIAVNLVTYLGGTMHLPSATSANVVTDFMGTSFLLCLLGGFLADSYLGRYRTIAIFAMVQMLGTCLLAVSTKLPQLRPPPCKYTNVTQCEQANSFQMGILYLSLYLIALGTGGLKSSVSGFGTDQFDEKNEKEKAQMAYFFNRFFFVISTGTLMAVTVLVYIQDEVGRSWGYGICSASMFIALMIFFSGTKRYRYKKCSGSPIVHICQVVSAASGKKTAKLPSDISLLYEDLPEASRIHHTDQFRFLDKASVVTEDDYRQGSFMMNPWKLCPVTRVEEVKKMIRLLPIWATTIIFWTTYAQMITFSVEQATTMERTIGHFQIPAGSLTVFFVGAILISLAVYDRFIIPLCKKWRGEPGFTNLQLIGIGLFLSAMGMAAAAVVEVKRLSVAKKYNETTTLPITVFYLIPQFFLVGAGEGFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFFSSLLVSIVKATTGSSDKQGWLGEHINKGRLDCFYGLLAALSFFNFGLFLLSAAWFRKKTVKQAPETEIIVKESTIEEKC >KJB83593 pep chromosome:Graimondii2_0_v6:13:57139794:57141759:1 gene:B456_013G254400 transcript:KJB83593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIQHKTVIVNGINMHIAEKGEGPLILFLHGFPELWYSWRNQINGLAALGYRALAPDLRGYGGTDAPDSVADYTCCHVVGDLIALLDTVAPPEKEDKVFVVGHDWGAIIAWYLCLFRPDRVKALFNLSVPFIPYDPRFKPVETWKAFYGKDHYIVRFQEPGVIEAEFAEIGVGRAVLELLSYRVPDPLYLPKGNLFGHPLDSPVNLPPWLSEKDADYYATQFQITGITGALNYYRNFDRCGFLSLFLLDKKNQFAF >KJB83594 pep chromosome:Graimondii2_0_v6:13:57139794:57142405:1 gene:B456_013G254400 transcript:KJB83594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIQHKTVIVNGINMHIAEKGEGPLILFLHGFPELWYSWRNQINGLAALGYRALAPDLRGYGGTDAPDSVADYTCCHVVGDLIALLDTVAPPEKEDKVFVVGHDWGAIIAWYLCLFRPDRVKALFNLSVPFIPYDPRFKPVETWKAFYGKDHYIVRFQEPGVIEAEFAEIGVGRAVLELLSYRVPDPLYLPKGNLFGHPLDSPVNLPPWLSEKDADYYATQFQITGITGALNYYRNFDRNWELSAPWWKSQIKVPVKFAMGDLDLVYTMPGMKDYIHNGGFKRDVPFLEEALVINGVSHWIHQEIPDQINQLLFDFFSKFH >KJB80803 pep chromosome:Graimondii2_0_v6:13:28755659:28761617:-1 gene:B456_013G115900 transcript:KJB80803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCLSGDLKGGKQAIGGGVHGRSTMNNDTGHNEAIHHFYTVRGHSPLFTQIELSLSASNLRDLDITSKSDPMAVLYVKKMDGTLEELGRTEVILNSLNPAWIDKIHAAYQFEIVQNLVFHVYDVDTKYHNIPVKALNLNEQDFLGETTCVLSEIVTKSNRTLTLNLRGKNGPGGSRNFGALTVHAEETFLSRMTVEMKLCCSRLDNVDMFSKSDPFLRISRQTEDGNHVPICKTEVINNNLNPVWRPLYLSMQQFGSKDDPLLIECFDFNSNGNHVLIGQLHKSVSELEKLHKDRSGANLIFPSHRGQEKVLKGQLFVDQFIEKEHFSFLDYISSGFELNFMVAIDFTASNGTPRNQDSLHYIDPSGRLNSYQQAIMEVGEVIQFYDSDRRFPAWGFGGRTYDGTLSHCFNLNGTNAYEVEGVQGIMSAYASALHNVTLAGPTLFSHVISIAAQMASQANSNGITKYFVLLIMTDGVLTDIQETMDALVRASDLPLSILIVGVGNADFKQMEVLDADDGRRLESSTGRVATRDIVQFVPMQEVHSGQVSVVQALLEELPSQFLTYMRSRNIKPLHV >KJB82544 pep chromosome:Graimondii2_0_v6:13:51239837:51245721:1 gene:B456_013G201600 transcript:KJB82544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASFLFAATGLSSVGGVKSPRLFVKSSLDNNVSDMSVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYESRSFIGGKVGSFVDRRGNHIEMGLHVFFGCYNNLFRLMKKVGADKNLLVKDHTHTFVNKGGELGELDFRFPVGAPIHGMRAFLSTNQLKTYDKARNALALALSPVVKALINPDGAMRDIRDLDNISFSDWFLSKGGTRMSIQRMWDPVAYALGFIDCDNISARCMLTIFSLFATKTEASLLRMLKGSPDVYLSGPIRNYITERGGRFHLRWGCREILYDKSADGETYVTGLAMSKATNKKLVTADAYVAACDVPGIKRLLPSGWRESEFFNNIYELVGVPVVTVQLRYNGWVTELRDLQRARQLRQAIGLDNLLYTPDADFSCFADLALTSPEDYYIEGQGSLLQCVLTPGDPYMPLPNDEIIKRVSKQVLTLFPSSQGLEVIWSSVVKIGQSLYREGPGKDPFRPDQKTPIKNFFLAGSYTKQDYIDSMEGATLSGRQASAYICDAGEELAALRKKLAMVGSQEQMETSSVTDELSLV >KJB79213 pep chromosome:Graimondii2_0_v6:13:3050121:3052159:1 gene:B456_013G038300 transcript:KJB79213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSCTTTSSVNGFYTMLNQELEDLDCTFLSCNFMSMQFLQKTLSSLRSFHSQLTLLVQRLHLPVGEKWLDEYMDESSRLWEACLLLKSGISGIESYCSSAANIASSLDHHHHFNPQFARQVIRAMMGCQREIVGLEEENRNLIETRARQLSLRFDENITKESKLNGFNGFRGVLYAMRNVTSLILMILLSGLVYYWPQLSFIHGEHEGHVMFGSAFMVSIARLHQRVCNEMEQQNGGQQAAGIMVYEFREAKVAMEEVKGEIERMVKYGVSSVQVEIQDKIDNLKSCFGLLRCGVETIIGQIDDFFDEIVEGRKKVLDMCTHR >KJB78757 pep chromosome:Graimondii2_0_v6:13:1116653:1117459:1 gene:B456_013G016500 transcript:KJB78757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFFKGDKVEVCSKEEGFLGSYYQAKILSPLNNNTLYRVQYKNLVEEEDQTRPLVEIVSADEVRPVPPPVTFTKATQVFHYLDSVDAFDNDGWWVGKITGRQGSKYWVYFETTRDEIAYPVSRLRHHLEWRDGHWILANNTFF >KJB82685 pep chromosome:Graimondii2_0_v6:13:52073725:52078097:-1 gene:B456_013G209300 transcript:KJB82685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHRSTNGQPPNGTAPGSGSIYSINLENFSKRLKALYSHWNEHKAELWSSSDVLAVATPPPSEDLRYLKSSALNIWLLGYEFPETIMVFTKKQIHFLCSQKKASLLEVVKKSAKEAVGVDVVMHVKAKTDDGTALMDAIFRSIRAQYKGSEDAPLFGYIAREAPEGKLLETWAEKLKSASFQLVDATNGLSDLFAFKDKEEHMNVKKAAYLSYNVMNNVVVPRLETVIDEEKKITHATLMDETEKAIGNPQLAKVKLKPENVDICYPPIFQSGGEFDLRPSAASNEENLYYDSASVILCAVGARYNSYCSNIARTFLIDATPVQSKAYEVLLKAHEAAIGMLKPGNRISAAYQAALSVVEKEAPDLVPNLTKSAGTGIGLEFRESGLNLNMKNERVVKAGMVFNVSLGFQNLQCASKNPKNKNFSLLLADTVIVGEQNTEVVTGKSSKAVKDVAYSFNEDEEEEDKHVKVETNGSDHFMSKTVLRSDNHEISKEELRRQHQAELARQKNEETARRLAGGPETGDNRAIAKTAADLIAYKNVNDLPPPRDFMIQIDQKNEAVLLPIYGSMVPFHVATIRTVSSQQDTNRNCFIRIIFNVPGTPFSSHDSNSLKNQGAIYLKEVSFRSKDPRHISEVVQQIKTLRRHVVARESEKAERATLVTQEKLQLAGNRFKPIRLPDLWIRPVFGGRGRKIPGTLEAHVNGFRYSTTRADERVDVMYGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQSFVNRVNDLWGQPQFNGLDLEFDQPLRELGFHGVPHKASAFIVPTSSCLVELVETPFLVVTLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDLKYYESRLNLNWRQILKTITDDPQSFIENGGWEFLNLEASDSESEDEEESDQGYEPSDMESESESEDDDSDSASLVESEDEEEEDSEEDSEEEKGKTWEELEREASNADREKGNQSDSEEDRRRRKMKAFGKSRAPPSSAIPKRSKLR >KJB83835 pep chromosome:Graimondii2_0_v6:13:57923955:57925079:1 gene:B456_013G266800 transcript:KJB83835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRSYYSRFLSSDQQLQAMLSRDSVGFELDESDIYNHTVTARSDSPEFRSSRVTKKPSTMRGGGESRVGGTPASLPVNVPDWSKILREEYRGNRIRSERNEDDDDVEGDDWLEGGVRIPPHEFLAKQMARTRIASYSLHEGRGRTLKGRDLRRVRNAIFEKTGFQD >KJB81272 pep chromosome:Graimondii2_0_v6:13:36290493:36290726:-1 gene:B456_013G135700 transcript:KJB81272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKGGSGGSSKGGCGGSGGGSGNVGGGSTSKGGGGASGMMVAPGSGGAAIISRGAFESNPKGYFAGLHSSEKGNK >KJB82124 pep chromosome:Graimondii2_0_v6:13:47049912:47051179:1 gene:B456_013G177700 transcript:KJB82124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFEDGNSVFEFVVRRGNGVKGLVDSGLSKVPEAYVQPPKERIDKENAVKHGLPPIDLSKLDGFGPDHDEVVDQIVKAAETLGFFQVVNHGVPLHLLEFLKDTAHYFFSLPPQRKAVYRSDVSPSPLVKYGTSFMPEKEKALEWKDYILMQYTNDDEALQHWPQEIKGVLLEYLRSSIGMVKKLLQVLLGNFGIKPDDPMIDVLIGKKMLSMNFYPACPNPDLTVGVGRHSDMGTLTVLLQDGIGGLYVKMEQDIDYGKKGDWIEIPPTPNALVINVGDMLQILSNGKYKSAEHRVRTTSTSSRVSIPIFTMPRETTKIVPLPQVVEKDGTALYREFVLIDYMKNFFGNAHEGKKSLDFAQINPT >KJB80343 pep chromosome:Graimondii2_0_v6:13:14949888:14950823:1 gene:B456_013G092800 transcript:KJB80343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTVVNSDNTTPSANIVIQFNPVSQLPTKLIGSQNYSTWKAQVSMLMHGHNIFGPLDGSLSAPPLMITTDKHNVINPAYQKWFQQDQLVQNALLASVKPTLASTVANAPIAHKAWLALQTAFANKSQTRLITLQDNLARLIKDTRPVADYLHDIRTIANELATAGEPLTDVQLTVRILQGLGLEYTAISAAIRSRSTPISYEELYEKLLDHELFLQNEERKKPPTIVAAVAQNNSNQRPSTTRNQNWRSTSKNNAQWHQQRNSTTSSYDKPRCQLCERIGYTAKVCRSQSHNHHQARANYAGYTTQSEQT >KJB82805 pep chromosome:Graimondii2_0_v6:13:53508659:53510779:-1 gene:B456_013G214400 transcript:KJB82805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYALVARGSVMLAEFTAASTNASAIARQILDKIPGDNDINVSYSQDRYIFHVKRTDGLTVLCMADESAGRRIPFAFLEDIHQRFVRTYGRAIFSALPYGMNDEFSRVLSQQMEYYSSDPNADRINRLKGEMGQVRNVMIENIDKVLERGDRLELLVDKTANMQGNTFRFRKQTRRLRNTVWWRNVKLTGALIILILIIIYVVLAFVCHGITLPTCIK >KJB81971 pep chromosome:Graimondii2_0_v6:13:45577151:45577890:-1 gene:B456_013G169700 transcript:KJB81971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRRKRSSSGDQDSDFEFGCYTRPDSPSLDPYKTSPADHLFFNGRLLPHAFPLQLSPTTPTMGSADNGSRPTSRTSSINSKHSLMSSRSNSSSSRSSTCSSARTSSSDSSERRLLYHSRIRGCENKAGKLVSGQLYGDPHRWQYITAVPVLKREVSRRKHAEAKKQGDHQQNCVSRGR >KJB82031 pep chromosome:Graimondii2_0_v6:13:46632106:46634132:-1 gene:B456_013G176600 transcript:KJB82031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDLSPKLAKKVYGDDGGSYYAWCPNELPMLREGNIGAAKLALEKNGFALPRYSDSSMVAYVLQGGGVAGIILPESEEKVIAIKKGDAIALPFGVITWWYNKEDTELVVLFMGDTSKGHKPGQFTDFFLTGFNGIFTGFTTDFVKRAWDVDDDIAKALIGNQTGKGIVKLDANVKMPEPKPDHRKGMALNCKEAPLDTDIKNAGNVVLLNTQNLPLVGQVGLGADLVRLEGNAMCSPGFSCDSALQVTYIVKGSGRLQVVGVDGKRVLETIVKAGNLLIVPRFFVVSKIADPGGL >KJB80342 pep chromosome:Graimondii2_0_v6:13:14947364:14947941:1 gene:B456_013G092700 transcript:KJB80342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQSLLRHHAPLVHLYPSTLLHLPLFLLLSCSCWNCTKKRKKKTTLLPGTRREPPILQPSITKLYKEKEKNRTKNKKRKIIVNLWHDKREGEMYETFG >KJB82553 pep chromosome:Graimondii2_0_v6:13:51295814:51305909:1 gene:B456_013G2021002 transcript:KJB82553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKYAIKKDVTELIGNTPMVYLNNIVDGCGARIAAKLELMEPCSSVKDRHKFHLHLIFTFFTASCKLVLIGPTGGNTGIGLAFIGAARGYRVIVTMPASMSIERRTVLRAFGAEVCLTNPAKDFKGVLDKADEILKNTPNGYMLQQFANPANPQIHYETTGPEIWKDSEGKVDVLVAGIGTGGTVTGAGRFLKEKNSEIKVYGVEPVESAVLNGGKP >KJB81656 pep chromosome:Graimondii2_0_v6:13:42768303:42771918:-1 gene:B456_013G155200 transcript:KJB81656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAYITNDSTRKVTYKKRMKALKNKMSKLSTRCGSDTCVIMYSPYKSQLEVWPSPMKEKEITQVVINNICGKGAVHGLNSGALSDISLLLDKKMSNIDKKIDALSKTPLNLQGLSPLPSSSVVALPLMTMVTPKAMLRTGTENIVQPDVNNMDPMQRQQWIMDLVCNNNNNPQTHVGGDEMMFQFGDNIKLNNGLCLFP >KJB80136 pep chromosome:Graimondii2_0_v6:13:11347788:11350029:-1 gene:B456_013G082500 transcript:KJB80136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMKLHRFECALKVFYEMPDGNLASLNTIISGFWRNGYCNEALILFKEICFGLWRPNSLTIATVLPACQSLELGMQVHTLAIKLGVELDVYVATSLLTMYSNRGEIVLAINMFVEMTDKNVVSYNAFLSGLLQNGVPLMVLQVFKNMMGNCQVGQPNCVTFISIISACASLLYLQFGRQVHGVLMKIETQFDTMVGTALVDMYSKCRAWQWGYDVFKEMKGSRNLVTWNSMIAGLMLNNQSEMAVALFEEVKFEGMKPDSATWNSMIRGFSQLGKGFEAFKYFEKMQSAGVELSLKCITSLLPACSILCALKQGKEIHGLAIRSGISNEEFMATALIDMYMNCGYSSCARKIFDQFESKPDDPAFWNAMISGYGRNGENGSAFEIFDLMREEKVKPNSATFIGVISSCSHTGQVDRGLQVFRMMNKVCNLSPNLEHFGCMVDLLGRCGKLEEAKDLIQELPDPPAAIFASLLGACKCHLNYELGEEIAMKLSELEPETPEPFVILSSIYAAVGRWGDVERIRLMIDDRGLRKFPGFSSISVT >KJB81152 pep chromosome:Graimondii2_0_v6:13:34393369:34394371:-1 gene:B456_013G131500 transcript:KJB81152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRFEFALSSQSDGKSSLIKALLGFHFNVREIEMGTRQPLILQMVHYRSTLEPRCRFQEEDSKEYGSPVVSASTIADVIKSRTEALLKKTKTSVFPKPIVMKAEFAHCPNLTVIDTPRFDLKIACWFI >KJB80983 pep chromosome:Graimondii2_0_v6:13:32004878:32008669:-1 gene:B456_013G124000 transcript:KJB80983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQWIFTSLIPHIPSCFSSILFPFKTPKLYQFPSSNLPKLVLNHLDLSLLLSLSGKQGFFLLGSSIHASFIKNPENIYPIEDFKDSNNALVVWNSLLGMYSRCGTLTDLTKLFDEMPMRDTISWNTMVSGFLRNGKFDEGFAYFKQMGKSGICWFDQATLTTILSACDRVEFYHVVKMMHGLVFSNGYEKEISVGNSLITSYFRCGCLSSGRQVFDEMFERNVITWTAMISSLVQNELYEESLELFNQMRSGPVCPNSLTYLSSLVACSGLQALTGGRQIHSLLWKLGIQSEVCIESSLMDMYSKCGQVNDAWKIFQSAQDLDEVSMTVILMGLAQNGFEEEAKRFFMKLFESGIEIDPNMLSAVLGVFIEDTSLSLAFARHGDGCRALQLYEEMRSDGIAPTDITFLSLLHACSHVGLVEKGMELLKSMTDVYGIIPRAEHYACVVDMLGRAGLLNEAKTFIEGLPVKADMLVWQALLGACSIRGDSEIGKYAANQLILETPESPVPYVSMANIYSSRGKWKERARTIKRMKEIGVSKEIGISWIEIEKKVHSFVVQDRMHPQAELMYGILEELLELMLEEGYVPGNVTPTECQT >KJB81652 pep chromosome:Graimondii2_0_v6:13:42608245:42614083:1 gene:B456_013G154700 transcript:KJB81652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFASESFLLMSSASSFDFLLKPIFLHGFSASLHLVLLLFLSLLWAVNRVKGTGRESSMKTLKQRKVLWYNQTLACCVVVSAFNVVLFLLSCFCWYRNDCSVVKLVILSDFVVKLLAWCAMCVFLHCQFSNSGGQMEFPFLLRVWWGFYFSISCYCLVIDIVLFTKQVPFPSQYLVSDVVSVVTGLFLCIVGFLARNEGAKALLQEPLLDGDSNVSNGINLSRKRGSDTITPYSNAGIFSILTFSWMGPLIAAGNKKTLDLEDVPQLDSHDSAVGAFPNFKNRLESTDSEGNGVTLLKLVKALFLLAWKDILTTALFAFLSTVASYVGPYLIDTFVLYLNGQRLFNYEGHLLVTAFFVAKLVECISQRHWFFKLQQVGLRLRAVMVAMIYNKGLTLSCQSKQCHTSGEVINFMTVDADRVGEFCFYMHDLWTAAMQVVLALLILFKYLGLASVAAFVATVLVMLVNIPLGKVLEKLQEKLMESKDKRMNATSEILRNMRILKLQGWEMKFLSKIIGLRNVEEGSLKRFIYTNAISSFFFSIAPSVVSVSTFGACILLGVPLESGKILSALATFRILQGSIHALPETISMIAQTKVSLARIASFLQLDDSQPDVIEKLPRGSSDTAVEVVDGNFSWYFSSTATLTNINLKVYHGMKVAVCGTVGSGKSSLLSCILGELPKISGSLKLCGTKAYVAQSPWIQSGKIEENILFGKEMDRERYDRILEACALKKDLEILPFGDQTVIGERGINLSGGQKQRVQIARALYQDADIYLLDDPFSAVDAHTGSHLFKEVLLCSLISKTVIYVTHQVEFLPAADLILVMEDGRITQAGKYYDILKSGTDFMFLVGAHKKALSAIGAVETGTDSEQSRSEGLKGDGGLESAQGNESDNIEDVGPKGQLVQEEEREKGKVGFSIYWKYITTAYGGFLVPLVLLAQILFQTFQIGSNYWMAWASPTSADVEPPVGSFTLIIVYLVLAISSAFSVLARAMLLSTVGYKTATLLFKKMHSCIFRAPMAFFDSTPSGRILNRASTDQNAVDLRIPYQVGGFAFSVINLLGIIVAMSQGAWQIFIIFIPVIVTCIWYQQYYISSARELARLVGVSKAPVIQHFAETLLGVTTIRCFDQESRFQETNLTLNDSYSRPKFHVSCAKEWLCFRLDMLSSITFAFGLFILISVPKGVINPAIAGLAVTYGLNLNLLQTWLVWNICNMENQIVSVERMLQYSSIPCESALVIETNCPERSWPFHGEVNILNLQVRYAPHLPLILRGLTCTFPGGLKTGIVGKTGSGKSTLIQALFRIIEPAAGQIIIDGVNISSIGLHDLRSKLSIIPQDPTMFGGTVRSNLDPLEEYTDEQIWEALDMCQLGDEVRKKEGRLNSTVSENGENWSMGQRQLVCLGRLLLKKSKIVVLDEATASVDTVTDDLIQTTLREHFSSATVITIAHRITSVLDSDMILLLSQGVVEEFDSPARLLENKSSSFAQLVAEYSTRSDSSLQKLE >KJB78446 pep chromosome:Graimondii2_0_v6:13:24107:31672:-1 gene:B456_013G000500 transcript:KJB78446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylylsulfatase HINT1 [Source:Projected from Arabidopsis thaliana (AT3G56490) UniProtKB/Swiss-Prot;Acc:Q8GUN2] MSDETQKSRLSVLSSHLTRLPPASSLMASEKEAALAAAPSDSPTIFDKIINKEIPATVVYEDDKVLAFRDIAPQAPTHILIIPKSKDGLTGLSKAEERHCEILGCLLYTAKLVAKQEGLDDGFRIVINDGPKGCQSVYHIHVHLLGGRQMNWPPG >KJB80326 pep chromosome:Graimondii2_0_v6:13:14789844:14790890:1 gene:B456_013G092100 transcript:KJB80326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFKTICFGCCSFTQLFNPDFFANLHPRLKCGGPVLLLSAIPNFMPSKHAYASAANGEGTFLWITVFITSRFPLISQPTSPVADLKVLLSSAASNLIDILGTFSCIL >KJB82629 pep chromosome:Graimondii2_0_v6:13:51654355:51656443:1 gene:B456_013G205800 transcript:KJB82629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKSKFESIREWVVDHKLRTVGCLWLSGIGGSIAYNWSQPNMKPSVKIIHARLHAQALTLAALAGAAVVEYYDHRNKPKADPYAKYLPHSHKE >KJB83081 pep chromosome:Graimondii2_0_v6:13:54770436:54771907:1 gene:B456_013G228700 transcript:KJB83081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKARGRKEEVVSREYTINLHKRLHGCTFKKKAPKAIKEIRRFAEKAMGTKDVRVDVKLNKHIWSRGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKVIDDDDE >KJB79060 pep chromosome:Graimondii2_0_v6:13:2413971:2415158:-1 gene:B456_013G031900 transcript:KJB79060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 3 [Source:Projected from Arabidopsis thaliana (AT1G14730) UniProtKB/Swiss-Prot;Acc:Q67ZF6] MHSTHHHVVVSQYKRSASRLTIFAHVFGILAFALMLVWLLHYRGGIEYDSYNAVRVFNVHPFLMFCGFIFLSGEAMMVYKTVQATHMVQKVVHMILQLLAFVLAVVGLCAVFKYHDMTNIEDVYSLHSWIGIAAISLFVLQWLAGLITFMFTQPDVTRQSLLPWHICGGRTLLYMSIVAALTGLLEKATFIGLRSNREARLVNFLGLSILLFGIFVDLSVALARYV >KJB82511 pep chromosome:Graimondii2_0_v6:13:51017394:51018310:1 gene:B456_013G199700 transcript:KJB82511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKRRGKFLASMNGGKSRINKKGRGESRVTKFLKFLKCLKHAILGSLLISSNKRQIQAHHKFQKEKQ >KJB80427 pep chromosome:Graimondii2_0_v6:13:16462277:16465474:-1 gene:B456_013G096600 transcript:KJB80427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITNSKAYHIMRLSQFWAYFSLIFLGFHIRRRTFVSAESLAYLRTESIFLDCGSSAKQSLSLGRNWSSDIGSQFIASNSDSDSIITTASKGMTVPYITARLFYTKFSYTFNVTPGPKFIRLHFYSDSYQGLNASQSFLTITAGRYTLLRNFSCYLTAKYLKTDHFFKEFIVYVENHTLELIFSPTSNPPNAYGFVNGIEVVSMPLQLYIRGDDVSLPFVGFHNNMLAIDNKFALEMVYRANVGGQTISPDQDTGMFRTWNDDASYIFGAAFGQLDYDTTVSIQYPKTVPAYTAPEDVYRTARSMGQYNEINMNYNLSWFFPVDTGFLYLVRLHFCEIVPDMTLGNQRVFFIFINNQTAETQADVIVWSKGHGVAVYRDYIVMVPSQAARKQDLWLELHPNIQVKPEYYDAILNGLEIFKISNYDGNLAGFNPPLDESNIQQASSPALSKKSKKGLQNRIKYSISGGLLFILCLFVLIFIKTRRKWKMKNTKDSSPCNNFHADDITKATNNFDESLVIYNLDMGKVYKGEINGTEVAILRGIKEISEQDFKEGIKMLSQTHHHNIVSLLGYCQEDSEKILVYEYMDNGTLSDHLHDHSKHLSWNQRLEICVGAARGLHYLHTGKNRPIVHGDISTSSILLDENWTAKILSFGFTMGSHNSDGYSIHIGSQTDVYSFGLVLLEVFSGRAPPLNLKTENDENSGSNGDDDHKSLIPPASDCLEKGDVDQLLDQSLKGKISLVSVGNFVKITKQCLAKKEVKRPSMSEVLYSLELLQMSQDNESNESSPKGLYPESCSDLMIGVEFSDMLMSSGR >KJB82304 pep chromosome:Graimondii2_0_v6:13:48868809:48871139:-1 gene:B456_013G188100 transcript:KJB82304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDRAFTCEICKFWLHRECALISHPSHSQHPLQLIYEDKHCCCNGCSGLSRNWLYRCQRCDFNLDLSCASSTTIPTPIDKEINHFCHHHTLTQFNYRKVSKYHPICFWCVKLLSGICYVCLQCDSLRLCIHESCLINMPTVITEHPFHPSHLLYIIASSESVRCFACTSYHTMIYSCKKCRFHFCVHCTNLKPSLKHELHQHHLTLFPIIKSHRINEPCKKGDISIYLNPLDEIKLFYRCLECDSSFHFRCLIHESSAKHEYHRYELILTDFFVEDFSDQYYCDICEQERVPQHPVYCCKKCRLVAHIGCALTESKDYHGSASSLVGGKGTSIGKLMEQDERNAESKIEYFDHEHPLCFKKVAEQNGSAICKACFLEISDEAYACKSCKYYIHKACTNLPWEVLHPLHPHHSLKLLSEVRGKVFSCDGCRDVTAGFAYACSMCGFMLDVKCALLRVPKIETQRLKETEERRPKSCLLNKAYQLSFFNIKAIFDECCSFCQRYVKGPAYSCSDCDMKLQFHPLHPFHSLLDNSSKICHVCKREIWYDICYSCVQCDLHLHASCAKSLKRVLKSTSHIHNFIILDQILRPRALNTRCKNVENAEKCYVPILSVPVWNVIPSCMLNVLCHLHSNPTSILALLSLVLDSGQNYCNICEEERDPEDYVYYCTECINVF >KJB79997 pep chromosome:Graimondii2_0_v6:13:9684601:9685485:-1 gene:B456_013G076700 transcript:KJB79997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSATEKDLMIVNMGPHHPSMHGVLRLIVTLDGEDVVDCEPILGYLHRGMDKIAENRTIIQYLPYVTRWDYLATMLELSHIASHLLWLGPFMADIGAQTPLFYIFRERELVYDLFEAATGMRMMHNYFRIGGAAADLPYGWIDKFYHYECYEEFDWEIQWQKEGDSLARYLVRISEMTESIKVIQQALEGILGG >KJB83011 pep chromosome:Graimondii2_0_v6:13:54470061:54470428:1 gene:B456_013G2249002 transcript:KJB83011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELLNGHEKCCFNMFRMTQRTFSQLCMDFEKVSLFVFTLSKGASNRDVQERFQHSDEIVSRIFKKVLDAMGGLSRDIIRPRDPELPMIPNICHILCNNPTRVRRRIR >KJB82246 pep chromosome:Graimondii2_0_v6:13:48373230:48373979:1 gene:B456_013G184800 transcript:KJB82246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSQVSALVFMLVLLFSAGKEVVATETGHTCTEEIKLPSWKIPDCSSLCKTRHGDEATGVYFRGGCLCSYPCLSSFIY >KJB79124 pep chromosome:Graimondii2_0_v6:13:2634178:2636563:-1 gene:B456_013G034400 transcript:KJB79124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNTNTSSAFVMITLLILLIIPSSFFIDAEIMDYPSFVNGPNSWRNTPSTDFSFWESAGVRPILVYGMFACGFHCSFVGENCLFAVSIFKSSSEGNSSFSAQVVWSANPNNPVEMQALLELTSEGKLNLKDANNTVVWSPSTPGKSISRLNLTAEGNLMLFDETNYIVWQSFDHPTDSLVRGQRLVSGQKLRANVSSADSSESLYSFAVIDGKFVAYMDSDPSQSYYQSSSILSTEPEFKNGQFGSFHVADSANFIQLGSDGHLKAYELKESGWEGTDLLPIDPCSYPLACGNYGVCLEKGCSCPDDISENEAVFFRPIDFTTPNLGCSAISPISCESSLLQSFLELSSGYSQRVYTSLFSNTIALEDCKEACLKNCSCKAATYRKGSCYFLSQVDSLKKTNSSDLTFIKTHFPERKKQNTSVIVGSTLGAIFGVFLICTLIFLRFKKGFQEVEEDFLDNMLGMPTRFSYEELKNVTKNFSNKLGEGGFGSIFQGTLPSGSEVAVKHLVGFGPVNKSFMTEVQTIGSIHHFNLVSLVGFCAQKFNRLLVYEYMANGSLDRWIFNKNRDLALGWQIRKKIILDIAKGLAYLHEDCNQKIVHLDIKPQNILLDEHFNAKVSDFGLSKLIGKDQSRVITTMRGTPGYMAPEWLSSVITEKVDVYSFGIVVLEILCGRRNVDESHLEEDRHLLGLFKRKQEEGQLMDLVDKCSDDMQSNAAEVVQMMKVAAWCVQTKHARRPSMSIVVKLFEGSVDVAGNLNEDFQNGLTPEAMETFASIVLPCLLSGPR >KJB83530 pep chromosome:Graimondii2_0_v6:13:56959143:56969079:-1 gene:B456_013G252000 transcript:KJB83530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear cap-binding protein subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G13540) UniProtKB/Swiss-Prot;Acc:Q9SIU2] MSSWRNLLLRIGDKSSEYSSSSDFKDHIETCFGALRRELGHSSAEILSFLLQCAEQLPHKIPLYGTLIGLLNLEDEDFVKKIVENTQNSFQDALDSGNCDGIRILMRFLTALMCSKVLQPTSLVVVFETLLSSAAITVDEEKGNPSWQARADFYVICILSCLPWGGAELAEQVPEEIERVLVGIQAYLSIRRHTSDSGLSFFEDEESGGDVEKDFLEDLWERIQVLSSNGWKVESVPRPHLSFEAQLVAGKSHEFGPISCPEQPELPSTISAVAYGKQKHDAELKYPQRMRRLNIFPASKTEDLQPIDRFVVEEYLLDVLLFFNGCRKECAAFMVGLPVPFRYEYLMAETIFSQLLLLPQPPFKPIYYTLVIMDLCKALPGAFPAVVAGAVRALFDKIADLDMECRTRLILWFSHHLSNFQFIWPWEEWAYVLDLPKWAPQRVFVQEVLEREVRLSYWDKIKQSIENAPALEELLPPKGGPNFKYSGADDQEKTEQEQALSAELSNKVKGRQTAREIILWVEETVYPIHGQEITLSVVIQTLLDIGSKSFTHLITVLERYGQVMAKLCSDQDKQVMLISEVGSYWKNNAQMTAITIDRMMGYRLISNLAIVRWVFSPENIEQFHISDRPWEVLRNAVSKTYNRITDLRKEISSLKKSVVSAEEAASKAKADLEAAESKLTLVEGEPVLGENPTKLKHLKSVAEKTKEETVSMHDSLEAKEALFARALDENEVLFLSLYKNFSNVLMERLPDASRDGTLQSLKSVNGDSMAVDIEEPSTMEVDDENERPKKSQSNGGKTTNGYNVREKEQWCLSTLGYVKAFSRQYASEIWPHIEKLDAEVFTEDAHPLFRKAVYSGLRRLSNEL >KJB83082 pep chromosome:Graimondii2_0_v6:13:54772766:54774155:1 gene:B456_013G228800 transcript:KJB83082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSEK >KJB78729 pep chromosome:Graimondii2_0_v6:13:1140032:1143192:-1 gene:B456_013G016700 transcript:KJB78729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPLSSSSSSSMDVLRKPRLSPYLFTLLTFIVFITILYGEDFICIFGQLEPIPGRAISRPVKKREKLAFAIGKSEEKCDVFKGRWVRDELSRPLYEESECPYIQPQLTCQEHGRPDTEYQKWRWQPHGCDLPSFNATLMLETLRGKRMMFVGDSLNRGQYVSMICLLHRLIPEHAKSMKSYNNDALTVFRAKDYNASIEFYWAPFLLESNSDNAVVHRVSDRIVRRGSINKHGKHWKGVDILVFNTYLWWMTGLDIKILKGSFEDEEKEIIEVSAEDAYRMAMRSMLRWVRKNMDRKKTRVFFTSMSPTHGKGIEWGGEPGENCYNQTTIIEDSNYWDSNSRKTIMNVIAEEFSKSKFPITFLNITQLSSYRKDAHTSIYKKQWSPLTAEQLANPVSYADCVHWCLPGLQDTWNELLFSKLFYP >KJB82209 pep chromosome:Graimondii2_0_v6:13:47682556:47684732:1 gene:B456_013G181600 transcript:KJB82209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSGVLKTLIRSSYLGSTTRNFSLVSSQISNHTAKWMQDSSKKSPMELINEVPPIKVEGRIVACEGDNNPALGHPIEFICLDLKEPAVCKYCGLRYVQEHHH >KJB80144 pep chromosome:Graimondii2_0_v6:13:11503675:11504172:-1 gene:B456_013G082800 transcript:KJB80144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YGISNLLHQILFPSFTRLRINTASVGYHSFIHEILQRGRRMSHWVLSRGINCKVLPLRSVIQASVVEHYNDGILMGRALAESEPEFENSNYGMVPAKESLVKEMLKTVRIEAGDKEDCMICLEELEVGFDASRMPCSHTFYGDCIEKWLRQSHYCPIYRFEMPAN >KJB83904 pep chromosome:Graimondii2_0_v6:13:58141585:58144602:1 gene:B456_013G270200 transcript:KJB83904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLKRLIERFKGTQVHALNPPDSNGVNTTGSSSTPGNCRVKFKGSKQKSSKACSGSGAETLIPFGFPRTDHLEPSIEPHLKPIQLIETLADLFRRSEACLESEKSLIYIEQYSILSSLGDPKLLRRCLRAARQHALDVHSKVVLSAWLRYERREDELEGVSPMDCSSRFILECPKATLVSGYDPNSIYDNCKCYQECAKSTDSHISKVKTLEEDSDVSFCVGNEEIDCIRFKIAALSRPLKAMLYEGMRAVDLYSRTRRVDLFPPEVVLELLSFANRLCCEEMKSDCDIHLASLVSCIEDALILIEYGLEERANFLVASCLQVLLRQLPSSLYNPKVMKMFCSFEAKEGLASAGHASFILYYFLSQVSMEVNTVSNVTVMLLERLRECAAQKWQKALALHQLGCVLLERQEYASAQCCFEAAAEASHVYSLAGNARCRFKQGQRYSAYKLMSSVISEYKAVGWMYQERSLYNVGKDKIDDLNTATELDPTLVFPYKYRAVLKAEEKQTTAAILEIDRIIGFKLEPDCLELRAWFLIAIEDYGSALRDVRALFTLDPNYRMFDEHIRSQADCWMQLYDRWSCLDDIGSLAVIHQMLVNDPGKSLLRFRQFLLLLRLNCQKAAMRCLRLARNLSSSEHKKLVYEGWILYDTGHREEAIARADESISIQRSFEAFFLKAYILADTSLGPESSYVIQLLEEALRCPSDGLRKGQALNNLGSIYVGCGKLDEATNCYMNALEVKHTRAHQGLARIHFLRNQRKAAYDEMSKLIEKACSNASVYEKRSEYCDGLMAKNDLNMATRLDPLRTYPYRYRAAVLVDEQKDNEAIKEVSKAIAFKPDLQMLHLRAAFFESIGDLKSAVCDCEAALCLEPNHIDTLDLYNKARGT >KJB82459 pep chromosome:Graimondii2_0_v6:13:50181346:50182812:-1 gene:B456_013G196800 transcript:KJB82459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALFESSITENQRGRRGGREKEAKEAMGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLRKFQSAMEPPSMAGDEKSLDFAESETRAGSSNLKLNQTSSSYASSTENISRLLQGWMRSSPKINNNNNSDSIGSCSAAANCDLISHGDDQLESILSFENMKDVALMEKSTSKATFQDSGEKIINPERKQKADNSNNNIDDNNNNNSNPPLSFLEKWLLDESSSAAQVEEIDQMMELPSIF >KJB80186 pep chromosome:Graimondii2_0_v6:13:12665904:12669699:-1 gene:B456_013G085400 transcript:KJB80186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLIESMGTNRFILLKKTHFAYAFLHRNMFRVLVSFWVFLSKLIRSFFRFSTSRFLEGSDSNIDDFNEIRSNDHVDEGNRETESSPMVASTSKYEFLCSTGISGFVEEPETKSFTVHAFFMGSNDYVEAEKTEETNAVFEEKTEECALRFSFFEFEKQIEVIKQEEPVEDYVGRSSVESSVEKQVGDMEGNEHVADVFESIVVDKCSGKCHKDNTGKEIHECNTRISDHKELTIFEEPVNDSEEDLSAETESIASPEKTIEPNMQDEEEEEDDDLIDRLKMEVKIARAGGLATILEDFESPKMVQELGPLKIDEKYDRKHHMGEIQKVYKSYSDKMRKLDILNSQTMHAISLLKLKDVPIQVSTLGKSSAAPTKSLHKALSFKQRKAEAEPAMKLIKDLHKDFETVYVGQICLSWAILHWQFGQVKKLLDCDNSLSIHHYNQVAEEFQHFQVLLQRFLEDEPFRTGHRVENYTYSRCSVRHLLQVPVIKDDCSKCKDDAISCEMLKGIIEESLLVFWEFLRADKDEANSTSKALRSQVAPHEPIDFEFLMDVKTHLHKKEKRLKEIQRGTNCIIKKFQRQEHQGNLLDYALFIAQVELKLVSRVLNMSKISTDQLAWCHEKLQRIRFSSRKIEIEPSFTLFPC >KJB82265 pep chromosome:Graimondii2_0_v6:13:48549406:48550717:1 gene:B456_013G185800 transcript:KJB82265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVASVAKKKTAGSKKSKSAPSHPPFLEMISDAIVSLKERTGSSQYAIAKFIEEKYKQLPANFRKVLLVQTKKFVASGKLVKVKASFKLPSASAAAKKPAAVAKKPAAAKPKSATTTAASKSKPKATKTASKPKAKAKVGTPVKAKAKVAAKPKATTTKAKAKPAAKPTKVAKTAKKSSPGKKVKVPAKKTVAVKKPKSVKSPAKKAAPKKAKK >KJB78569 pep chromosome:Graimondii2_0_v6:13:498687:501163:1 gene:B456_013G007300 transcript:KJB78569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKSIKRFLVRNIVEQAAVRDVQEACVYDTYTLPKLYVKMQYCVSCAIHSHVVRVRSRTDRRKRDPPARFIRRRDDMPKPGQPGQAPRPGVAVPARA >KJB82078 pep chromosome:Graimondii2_0_v6:13:46479336:46482134:-1 gene:B456_013G175400 transcript:KJB82078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLCASTSVIFSSSSSERPSFASLKRTIPSNQSSFLGFFPVVALSKPSSARTTTLSFSKRDFRVCCQDLSLVPENQRWMFEESEADGPDIWNNTWYPKAKDHINTEKTWYIVDAADKILGRLASTIAVHIRGKNLPTYTPSVDMGAFVIVVNAEKVAVSGKKRTQKLYRRHSGRPGGMKVETFNQLQQRIPERIIEHAVRGMLPKGRLGRALFNHLKVYKGADHPHEAQKPIELPIRDKRVQKQR >KJB79036 pep chromosome:Graimondii2_0_v6:13:2338228:2339030:1 gene:B456_013G030600 transcript:KJB79036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIIKSPFPLILCCYLLVSFVFFPQGTVSRKLLGEEGGNGPSKAGVRKSKSQPAPSWGGQGSHPAASNQVSRTSSSPFVVYSRQTANNPTDSGWNCKNSTTNNVFVLACSSANSYSNNPNP >KJB83257 pep chromosome:Graimondii2_0_v6:13:55577407:55580103:1 gene:B456_013G237900 transcript:KJB83257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGIWVLTIFLVFQSGYGFYLPGSYPHKYKVGDPLSVKVNSLTSIDTEMPFSYYSLPFCKPAEGVKDSAENLGELLMGDRIENSPYRFKMYTNETLIFLCQSGKLSADEFKLLKERIDEMYQVNLILDNLPAIRYTVKEGFVSRWTGYPIGVKFQDMYYVFNHLKFKVLVHKYEETNVARVMGTGDAVDVFPSGGKGGSDVPGYMVVGFEVIPCSVMHNGNVVKDLKMYDKYPSEIKCDTTTVTVPIKEGEPFVFTYEVAFEESDIKWPSRWDAYLKMEGSKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPSHPALLCIMVGDGVQILGMGVVTILFAALGFMSPASRGTLITGMLFFYMILGIAAGYVAVRLWRTIGCGDHKGWASVAWKAACFFPGIAFLILTILNFLLWGSHSTGAIPFSLFVILLLLWFCISVPLTLVGGYFGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLLIVMVLLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSVNYLIFDLKSLSGPVSATLYLGYSLFMVLAIMLATGTIGFLSSFWFVHYLFSSVKLD >KJB83054 pep chromosome:Graimondii2_0_v6:13:55037686:55038198:1 gene:B456_013G231900 transcript:KJB83054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLKSLRTLLVSYCHSLVSLPRSLKFLTKLEHLEIVRCLRINMKMELEEEEDKDLQLSLKTFSLFGLHELRDLPRLLLQGSSSTLQQLRIRGCPNLSFLPAWLPNLTSLQKLEIANCINLSALPEGIDRLTNLKELRIYGCPELSKRYRENGGKDWHKIAHIQKVDIYY >KJB80984 pep chromosome:Graimondii2_0_v6:13:32008992:32012791:-1 gene:B456_013G124100 transcript:KJB80984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLWIQPVKIIDPHLVSSFFDRYTRVYLHATTELENRSSWVAECSLNIQVTTELEGSVCLMEHLKTQHVSIPPRARIQYTFPQLFFYKPNLWWPNGMGKQSLYNVSITVDVKGHGESDSWGQLFGFRKIESHIDSATGGRLFKVNGQPIFIRGGNWILSDCLLRLSKERYKTDIKFHADMNLNMIRCWGGGLAERPEFYHYCDVYGLLVWQEFWITGDVDGRGVPVSNPNGPLDHDLFMLCARDTVKLLRNHPSLALWVGGNEQVPPADINTALKNDLKLHPFFESQSEYITSVEGLSTAYKDPSQYLDGTRVYIQGSMWDGFANGKGGFTDGPYEIQNPEDPFKDNFYKYGFNPEVGSVGIPVAATIRATMPCEGWQIPLFKKLPNGYTEEVPNPIWQYHKYLPYSKPGKVHDQIELYGTPEDLDDFCLKAQLVNYIQYRALLEGWTSRMWSKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFFGCRCAAEPIHVQLNLATYFIEVVNTTAEELSNVAIEASVWDLEGACPYYKVFDKLSLPPKKVVSISEMKYPKSKNPKPVFFLLLKLYHVSNYSIVSRNFYWLHVSGGDYKLLEPYRNKRIPLKITSKTFIKGSSYEVEMKVLNKSKKPDPKTLTYKNNFAVRNDDSDFDMTSLKPIPDTRTDLKQPTGLFQRLYRQFSRESDSLRVAEINGSDGGVAFFLNFSVHGAKLEHEEGEDSRILPVHYSDNYFSLVPGEEMSIKISFKVPPGVSPRVTLRGWNYHHGVHTVL >KJB82512 pep chromosome:Graimondii2_0_v6:13:51019172:51021247:-1 gene:B456_013G199800 transcript:KJB82512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASSIPLVFSVQRRKPELLAPTKPTPHEHKLLSDIDDQAALRFQVPFIQFYKDDPSMQGKDPAEPMSMLLEQFGNALHPPFPCFDELLYDVPGSEGMLNCPQYLCLITNFNSSITRLKCGGFIVAIRFNHIICDGIGLKQFMSTLSEMARGATACSISPIWERHLLDAGDPPRVTFHHHEYDEVEPTTTSRPLDNLVQRSFFFGPVEVSTLRSLLPLHLCHCTTKFELLTACLWCCRTIALNLNPNEKVRMLCVGNLRSKFNPSLPLGYYGNAIVFPAVITTVRNLLHNPLGYTVELLKQAKASMTKEYAKSVAALMVLRAKQLNFTVVVGSFVVSDLTKIGFEDIDFGWGKAVFAGEAKAVGVISFFVSTKSKKGEVGTSVPICLPAPAMERFEKELDNMLKGHPIQVFSIIFKITIMIESPM >KJB79477 pep chromosome:Graimondii2_0_v6:13:5894096:5894564:-1 gene:B456_013G057900 transcript:KJB79477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNCFALAFLIALSFASIDVGVAARHLLQQPRTQSLPSFPNLPTPSRPSFSWPGALPPLPTTFPTGLPPLPSIPSVPTIPTAIPPIPFSFPPLPSIPNLTNPGALPPLPTTLPRGLPPLPSIPSIPTAVPSIPSFSPPPSRSTP >KJB79968 pep chromosome:Graimondii2_0_v6:13:9091340:9094356:-1 gene:B456_013G075200 transcript:KJB79968 gene_biotype:protein_coding transcript_biotype:protein_coding description:LanC-like protein GCL2 [Source:Projected from Arabidopsis thaliana (AT2G20770) UniProtKB/Swiss-Prot;Acc:Q8VZQ6] MADRFFPNVLPDFVPETTQHEQQEIGDTLIKLLSMPYSSLSQHFKRTALDLKETVTLETWGITSQKVSDFTLYCGSLGTAFLLFKSYQLTNNTNDLSLCLAIVDACNSASLSSRDATFLCGRAGVCALGAVAAKYSGNQESLNHYLTPFREIKLSRDLPDELLYGRAGFLWACFFLNKHLGEGTIPSTTTRAVVDEIIKNGRALGKKGGGSPLMFEWYGEKYWGAAHGLAGIIYVLMDTELKPDEAEDVKSTLRYMIRNRFPGGNYPASEQDRKSDVLVHWCHGAPGIALTLVKAAEVFGDDEFLEAAVDAAEVVWNRGLLKRVGICHGISGNAYVFLSLYGKTGNVKFLYRAKAFACFLLDQAHKLISKGEMHGGDRPYSLFEGIGGMAYLFLDMIEPLGSRFPAYEL >KJB80054 pep chromosome:Graimondii2_0_v6:13:10555840:10557241:1 gene:B456_013G079000 transcript:KJB80054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPISNKMAFMFWALIVTTLTLQLAITSAQTPAASPSSTPTTTTTPPPSTSPPPTTSAPPPSTTPPPTTSAPPPSTATPPPSTTPIAPAQPPATTPPPTVAPATSPTVPPPQTPPPQPPQTPPTTAPAQPPAVPPPSPPPVSPAPAPAQKPPAPAPVKLPPAPAPITLPPAPAPAPTKHKRRHRHRRRRHHHAPAPAPTVPSPPAPPAVPTTDDTTPAPSPSLNLNGGDSLLVAGTKLWWARTGLTIAILIAITGYSF >KJB79015 pep chromosome:Graimondii2_0_v6:13:2202989:2205014:-1 gene:B456_013G029200 transcript:KJB79015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVSDSLAKENNDTASENSTAQVIGGHGTNSYSNNSSYQRLSANVVTKKIEDAIMKNLDVETLSSTSNIFRVADFGCGVGSNTINSMQDLLLIVEKKYISQCPTSSQMPEFQVIFNDQFTNDFNTLFTYLPQDKQYLVASVPGSFHNQVLPKSSLHLAHCSYSLHWLSRLPKELRDERSPAWNKGKIHYTSAPPEVLMAYATQFAEDLDDFLSARGREMVSGGMIVIVGSSIPDGMPYSQVVNGIMYDCMGSILMDMVKTGSISEADVDAFNLPIYACPPGEFIEGVERNGLFSIEVIELMNPAPWLKGPIDIPVFVKHVRAAMEGMFSNHFPSKAIDELFDHLVLKLSDISQQLESCYRDGLQLFAILKRK >KJB81811 pep chromosome:Graimondii2_0_v6:13:44175642:44176976:-1 gene:B456_013G162500 transcript:KJB81811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLRFLSAKLFLCLCLTLFQHHVTFSASNPTGLTLRAVLDDSPNSPLYLIENMTIAERIERFIQVTNAKDNYLNLNARVGPDNSNSLSRVVMARDGLFYSVWLLIGSQGQEVKLLMDTGGGLTWTQCQPCLNCFPQNLPIYDSRASTTYSTLSCDHPLCQVEGSLYTCVDDLCIFVHNYHGGLYTTGVASLETFYFPMDPSTALTFNNLVFGCSRDSRNVVFQDTELSGIFGMNMMPDSLMSQLSSFTNFRFSYCLVPFPDLIPHTLVLRFGDDIPLLPPERVKTTMFVHAPYLYNYYVNLVTISFLNDRLGFPPSQFQLREDGLGGCFVDSGYLLTAIEDNYVGGVNAYDVLMDLFTAYYESNNLRRTTDPSGLDMCFERPNDFNNFANLTFHFDGEADYFVPPQHLHIFQQDHFCVAITRGRYATVLGAWQQQINVCFMM >KJB78832 pep chromosome:Graimondii2_0_v6:13:1523719:1524684:1 gene:B456_013G021600 transcript:KJB78832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLTTTCSTSPPPTFYLDEKWKLSKKETSSSSSSSSSRRSRSSFIVKNSSNKRCAFTRKCARLVKEQRARFYIMRRCVTMLICWRDYTDS >KJB79966 pep chromosome:Graimondii2_0_v6:13:9025673:9027629:1 gene:B456_013G075000 transcript:KJB79966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIQHNHVQAKGLKLHVAQIGTGPKVVVFLHGFPEIWYSWRHQMVAVANAGYRAISIDFRGYGLSEHPSEPENATFNDFVNDVVAVLDSLGITKAHLVAKDFGAFVAAMVGILHPDRVSTIILLGVPFLLPGLSPLQSQLHLIPPGFYMLRWMVPGGAEADFGLFDTKTVIRKVYIMFSGSLPPVAADNQEIMDLVDSSAPVPPWLSEEDLAEYGSLYEKSGFRTALQVPYRTMMLPCGLDDGKIRAPGLVIMGEQDYIMKFPGLEDYIRSGKVKEFVPNLDVTFLAQGTHFVQEQLPEEVNHLIISFLNKHCE >KJB78491 pep chromosome:Graimondii2_0_v6:13:153877:154077:-1 gene:B456_013G002000 transcript:KJB78491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYFCNNSFIFMLMLTTLLLVSPLLLPELPPPPLILLLIPVLLMSVLLCLALSSSRSPNISMASF >KJB82675 pep chromosome:Graimondii2_0_v6:13:51991663:51994215:-1 gene:B456_013G208700 transcript:KJB82675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADFMPFLANVLLQVGYAGMNITSKLALESGMKPLILVAYRQIFATLAIAPFAFFLERKTRPKLTMPILFQIFLCSLTGATANQVFYFVGLQNTTATIACALNNVLPAATFLLAAICRQEAVGIKKASGQAKVIGTLVCVGGAMLLSFYHGHIIGIGESSIHWNYANKMANSSPSPSGSNFFLGPFLVMASAVAWALWFIIQGQTSKSFPAPYTSTTLMCFMASIECTIIGIFSDPKPSAWSLSSSMRLIAALYAGIICNAVAFCVMSWCIQKRGPLYVSVFSPLLLVIVAILSWALLREKLYVGTVVGSLLIVGGLYAVLWGKDKEMKQMKGNEKGGGGGEQVVVEEGAVEVTKAGDRDHDLEMQLQQGGGARG >KJB79650 pep chromosome:Graimondii2_0_v6:13:6531233:6533909:-1 gene:B456_013G060700 transcript:KJB79650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSVPNKPHAVCIPYPAQGHVNPMLMVAKLLHFKGFHITFVNTEYNHERLLKSRAPHALNSLPDFRFETVPDGLPPPDINATQDIPTLCDSTSKHCLTPFRQLLARLNVSSGIPPVTCIVSDGCMSFTLEAAQEVGIPDVLLWTPSACGFLAYCHYRRLIEKGFTPLKDETYMTNGYLDTIIDWIPGMKNIRIRDLPSFVRTTDPNDIMLNFVATESERSAKASAIIVNTFDELEHDVVKALSSIFPKLYTIGPLHLLLNNIPQSSPLSSIDSNLWKEEPQCLQWLDSKEPKSVVYVNFGSITVMTANQLVEFAWGLANSKQPFFWIIRPYLVMGDSAILPPEFIEETKGRSLMASWCPQVSVLNHTAIGGFLTHNGWNSTIESISSGVPMISWPFFAEQQTNCRFACTQWGISMEIDNDVKRDEVEKLLRELMEGVKSVEMREKAMEFKKKAEEAAMLNGPSFVNLENLIKEVLL >KJB78756 pep chromosome:Graimondii2_0_v6:13:1115634:1116428:1 gene:B456_013G016400 transcript:KJB78756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLASKEKIDDCNRSWLELLNDVEASTSSESEKLHKLTQMVLPKFVARLVAEKEEKMMIEKEGLKSCHEARVEGKQDYLTGKQKKQKTRGNKKKQQKINNQRKGQDWKKLMEMGLEPPPDMPQVFQDCIRDLGGSEIKLVIQKFLQVSDLRSQQNRLSMSLKQTRSLFLNEDEDRMLNAKRQMAVTLVEPCLSVSTVNLAKWSIGSSMSYIINGDYSKVLKNNRDSLKPNAVVQIWSFRIQPNSQLGFALIKVTDGEDGHVIN >KJB80637 pep chromosome:Graimondii2_0_v6:13:23481355:23482182:-1 gene:B456_013G108100 transcript:KJB80637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNPELLRSKKNNEIMEVNILAFIATALFILVPTAFLLIIYVKTICQSD >KJB83507 pep chromosome:Graimondii2_0_v6:13:56845537:56847528:-1 gene:B456_013G250800 transcript:KJB83507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSDPYSNYFNGWFNLNPFNPFFPSPSSSTPPSLAPINGYNGFPSSPPLKEALPLLPTRHDEEQQQQQQEDSSSFSAAMEMEKKSLEDNDETLTVALHLGLPSPSSCSNDLMMVSSRFSPQEVTDDKEDHTIVASEYLSNTLNKGQYWIPTPSQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYKRKHGIKAFMCRKCGKSFAVRGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHIRAFGNGHSAYGIDSFDEEDEPGSDNEQDNESSQ >KJB83398 pep chromosome:Graimondii2_0_v6:13:56424271:56427684:-1 gene:B456_013G245400 transcript:KJB83398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKPKTKIVCTLGPSCRSVSMIEKLLKAGLNVARFNFSHGSHDYHQETLDNLRAAMINTGIFCAVMLDTKGPEIRTGFLKDGKVQLKQGEEITVTTDYDIKGDEKLISMSYKKLAEDVKPGMVILCADGTISFTVLSCDLEKGLVHCRCENSAVLGERKNVNLPGVVVDLPTLTDKDKEDILQWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHSKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMVYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICVEAESTLDYEDVFKRIMKHSPVPMSPLESLAATAVRTANSANAALILVLTRGGSTAKLVAKYRPGKPILSVVVPEIKTDSFDWSCSDERPARHSLIFRGLIPVLYAGSARASHEETTEEALGFAIKHAKSMGLCKEGDSVVALHRIGTASVIKILTAK >KJB82976 pep chromosome:Graimondii2_0_v6:13:54301495:54306696:-1 gene:B456_013G222800 transcript:KJB82976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFNLLFTAFIFSFILFHSNALNVTHDSRSIIIDGNHRIVLSGSIHYPRSTAQMWPDLIRKAKEGGLDAVETYVFWNAHEPTRRQYDFSGNLDLIRFLKTIQDQGLYAILRLGPYTCAEWNYGGFPVWLHNMPGVSLRTKNDVFMNEMKNFTTLIVDMVKKEKLFASQGGNIILAQVENEYGNVMEPYGDEGKSYINWCAQMADSLDIGVPWIMCQQAAPPKPMLETCNGWYCDEYKPKDPNTPKLWTENWTGWFKSWGGADPFRTAEDLAYSVARFFQKGGTLQNYYMYHGGTNFGRTSGGPYITTTYDYNAPLDEYGILNQPKWGHLKQLHDVLHSIEYILTNGDVKNEKLSNLVMKLNKAEDEPSSLKWMWRPELIESTSVQGRGDVSVNKIVDQKDMANDASDYLWYMTSINVAKDDPMLNGTVTLRVNDTGHVLHAFFNGEYIGNYELCFIYIILNSKYIYLSIYVFVFVGSQWSKYGNNNVTYVFERNINLSLGKNLISLLSVTVGFKNYGPMFDLVGAGITSPIELVLNKNVVKDLSSNKWTYKVGLNGISNKFFDIDCASKSSSKWVSDPIPIYRNFTWYKTTFKAPLGNKPVVVDLLGLGKGMAWVNGHSLGRYWPSYIADKQLCKTETCDYRGRYSDSKCVSKYHVPRSFLKDSENTLVLFEEFGGNPSGVQFQTVEIGSVCINTHEGKEVELSCQDRPISKIKFASFGSPQDSIEVQMNKLFSKGVSTVLANSTIDAFDDHEEIEARGTKAVFPTTLGILGDLSSFTMDLKIQRAKDIPLDS >KJB78561 pep chromosome:Graimondii2_0_v6:13:450096:452268:-1 gene:B456_013G006500 transcript:KJB78561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEIGGNDYNYAFWQGKTIEEVKTMVPEVVETIKQATKRVIGYGATRLVVPGNFPIGCFPVYLTKYQTNDATAYDELHCLKELNNFSIYHNDLLQQAIAELKEEHPDETVVYGDYYNAFLWLLSKADLLGFDPTSLQKACCGIGGDYNYGLSSWCGDPKVPVCENPNERLSWDGVHLTQRAYELMATWLVRDIYPKLQCEYIVSYSSAV >KJB79280 pep chromosome:Graimondii2_0_v6:13:3479774:3480327:1 gene:B456_013G041600 transcript:KJB79280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKGASLLEDLVEKAGGCAVMDGGFATQLESHGASINDPLWSALCLIKDPHLIKQVHLEHLEAGADILVTSSY >KJB82862 pep chromosome:Graimondii2_0_v6:13:53786786:53788270:-1 gene:B456_013G217700 transcript:KJB82862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAYSLPPSSIPTLYFTHVFYAFVGIDASSYGLSITQPDAQWMGNFTATLHAKKPLAQALLAIGGANSGSGIFSKMVSNPDNRAAFIKSTIATARKYGFDGLDIDWEFPNNPTDMSNLEVFFKEWREAVESEASASSKPPLLLSAAVYFASSFFLDLPRTYPTDAIAKYLDFVNPMCFDYHGSWDTSVTGEHALLYDKTSNISTSYGISSWIEAGVPPKKLVMGMPLYGKSWELKHPKNHGIGAPANGVGPGNNGIMLYSDIVKYNDEHYAHVVYDGDTVSEYSYSGTDWIGYDGPTSVEKKVEYAKTQNLGGYFFWALGYDMNWTLSGIASNTWERMH >KJB83095 pep chromosome:Graimondii2_0_v6:13:54804614:54812629:-1 gene:B456_013G229200 transcript:KJB83095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MASPALPEISKPKIVDGPGGYVLEDVPHLTDYIPDLPTYPNLLQDNPAYSVVKQYFVHVDDSVPQKVVVHKDDPKGIHFRRAGARQKVYFHSDDVHACIVTCGGLCPGLNTVVREIVCGLHHMYGVKKVLGIDGGYKGFYAKNTVYLNPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQRGAALIFEEIRRRGLKVSVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVESESFENCIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLFEYIEKRLKEDEHMVIVIAEGAGQELLSQSIQSTTDASGNKLLQDVGLWISQSIKDHFSKQKMPINLKYIDPTYMIRAVPSNASDNVYCTLLAQSAVHGAMAGYTGFTSGLVNGRHTYIPFNRIIEKQNKVVITDRMWARLLSSTNQPSFLRPSTNLLSNGNGSQKSLDKKVTH >KJB79094 pep chromosome:Graimondii2_0_v6:13:3482204:3483462:1 gene:B456_013G041700 transcript:KJB79094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNAHWLQRLISNRCGSSAFCASPFFFVFWLSWFSVSQFYPQLYGETKALPTSCKFFRTLLLSNWRFRFSNLTYCKDFLLIIYGEILQGKKKEGNAARYVTRSQAIKILQVSLSDFRKLCIHKRVFPREPKKKVKGNHHTYCHLKDVMYILHDPLLEKFREIRAYQRKIKKAKAKKKDELAKLLLSRAPSYRLDMVIRDRFVKQSLYVLFPLVASCIKSVKLLY >KJB80520 pep chromosome:Graimondii2_0_v6:13:18827104:18827647:-1 gene:B456_013G101600 transcript:KJB80520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENFSDKSLKSHLRSDPDIQWANIDNNTDILILASDSLWKVMSNQEAVDIAKKFKDPQKASKQLIAEAVKRDSKDDISCVVVRFRQ >KJB79714 pep chromosome:Graimondii2_0_v6:13:7094556:7097103:1 gene:B456_013G063600 transcript:KJB79714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRLKKAIGRVKDQTRIGLAKVGGTTSLSDLDVAIVKATRHEEQPADERYIREIICITSYSRAYIIACINTMSRRLNKTKSWTVALKTLLLIHRLLNEGDLAYQQEIFFSTRRGTRILNLSDFRDTSRYRSWDFSAFVRTYALYLDEKLEYNIQDRRGEKTKTATIANENKEEENNEKESGAKSSHFREMKTEQIFTTLQHLQQLLERFLACRPIGAAKCNRVVTVALYQIVKESFQLYYDITEILSIFIDRLMELDLAESLQVYDIFCRQGKQFDELDNFYSWCKSAGIGRSSEYPNIEKITQKKLDLVDELMRDKKLEAKKVEDDLPKDEVELEVKESEVVEEEDMNAIKALPAPEEEVEEASVAKGLEKEEKDESQAIVLQQVVDLLNLGDDVVSSKDQAEKFALALFDGGVSVGPPAGLGWDAFKDEADWESALIQSTSNLNHPKASLGGGFDMLMLDGMYQHGRMASQGMAATGSASSVAFGSAGRPAALALPAPTSSNGKNTSDSDPFMASLTVAPPPYVQMSDMGKKQKLLAEEQAMWEQYKRNGVQGHVGMEKLQTSPYSQGGHGY >KJB81973 pep chromosome:Graimondii2_0_v6:13:45626372:45629380:1 gene:B456_013G169900 transcript:KJB81973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLNVEGANGCRPEGTNGGCCKKVMDLGEAKTQIMFAVPMVLSNVFYFSITMVSVMFAGHLGEVELAGSTLANSWATVTGFAFMTGLSGALETLCGQGFGAKMYKMLGIYLQASCIISFFFSIIISVLWSFTEPILVLLHQDPEISRAAAVYIKYLIPGLFAYGFLQNILRFLQTQSVVIPLVVFSVVPLGIHFGVVYSLVNKTSVGYKGAPMAASISIWISFLLLALYVLFANKFQNTWTGFSLESFRYIIRNSKLALPSAAMVCLEFWAFETLVFLAGLMPNSKITTSLIAICVNTENIAYMITYGLSAAGSTRVSNELGAGNPNRAKHAMTVTLKLSILLALVVVLTLALGHDIWAGFFSDSHSIIKQFAQLTPLLIVSIVIDAIQGVLSGVARGCGWQRLAVLVNLGAFYFIDMPIASVLGFKFKLYVKGLWIGLICGLSCQACTLVLITFYRKWTKYEVSEENIKETQVSV >KJB83276 pep chromosome:Graimondii2_0_v6:13:55671313:55673122:-1 gene:B456_013G239100 transcript:KJB83276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNAEIEFPVIQFRSSDLERGTDGWRCLCKRVREACETFGCFEVVYEKISTKVREETFGLMKELVEVPLERKQKNASPMPYHGWVGPCNQVSLLYEGFGLGDASNYDSVKSFAQLMWPDGHPRFCNTVHTMATQIEELNKLIWLMLIDSYGLGEKWESVMINYKTLVRFMKYMAPPPGEYERGLFAHTDKPVSTIICDDHVSGLEIEVNDGQWIKLSLSPSSFCFVVGDPLKAWSNGRLKAVNHRVMMSGDKDRFSLAAFAIPVEGTIIKAPEELIDEKHPQLYKDFDFMDFFLFAFSDPAKHIDSGEQLQAYASLSPPISH >KJB83038 pep chromosome:Graimondii2_0_v6:13:54570181:54574350:-1 gene:B456_013G226500 transcript:KJB83038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSKAEARKKNGGNSSNGKLLNEIETMSKALYLDKNRSSNSFSAFNSRSKPTRKTNLPEPKSTVKNSNEDPLLKEKKSVWNWKPLKVFSNVRNRRFNCCFSLEVHSIECLPVSFNDLSLFVHWKRRDGDLTTRPSKVFNGTAEFGEKLTYTCSIYGVRSGLSAKYEAKHCMLFASVLGTPDFDLGKHRVDLTRLLPLTLEELEEEKSTGKWTTSFKLSGKAKGATMNVSFGYMVVGDNSVLLKSNQHRAELSHIKQNNQSTGKAVAGFDHLDLDTTRCVESLPSLVNTRPFGSSPVVEEIKDLHEVLSVPKPQLDVKNTVDQKLDEEKPNASAASKPEPDVLNEHFEPIRPLTSLASESINEYIEKETEDNDFSVDEKGIGLSSEEQARSEAVTFVATLSTIENPEVVEINPGMGVNFEECSQLHPSNQGEARSEEGTLVATLSTVENPQVVEINPGVGENFEERSQLHPSNQGEARSEEVSLAATLSTVETPQVDEINPGMGENFEECSQLHPSNQGDMLVVQDRSSEEDEQCSNESLMRELDLALDGITNLGEALASSPGLEDPEDYMDNKGDYKAESLGLDEATESIATDFLNMLGIDHSLLGLSSESEPESPRERLLRQFEKDTLASGCSLFDFDMADEEELESGFDTSTASGWGSLTESFDLSSFIQDAEQEYQKETGGRNKTRAKVLEGLETEALMREWGLNEKAFQQSPSGSSGGFGSPVDLPPEDPLELPPLGDGLGPFLQTKNGGFLRSMNPSLFQDAKSGGNLIMQVSNPVVVPAEMGSGIMDILQQLASVGIEKLSMQANKLMPLEDITGKTMEQVAWEAAPALEGLQRQCSLQHDFEVGEDMSSRQKKVKRRSPRPSCSDINSTSVNEMGSDYVALEDLAPLAMDKIEALSMEGLRIQSGMSDEDAPSNISAQSIGEISALQGKGFGISGSLGLDGTAGLQLLDIKDSGDNVDGLMGLSLTLDEWMRLDSGDIDDEDQISERTSKILAAHHATSLDSILRGSKGEKKRGKKCGLLGNNFTVALMVQLRDPMRNYEPVGAPMLALIQVERVFVPSKPKIYATVSALSNDNQDGDDSEAATKEKVKPAEMKEEKASQEEGIPQYRITDVHVAGLKTEPGKKKLWGSTTQQQSGSRWLLANGMGKSNKHPLLKSKPGFKSSTPSTTKVQPGDTLWSISSGIHGTGAKWKELAALNPHIRNPNVILPNETIRYR >KJB83037 pep chromosome:Graimondii2_0_v6:13:54570097:54574606:-1 gene:B456_013G226500 transcript:KJB83037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSKAEARKKNGGNSSNGKLLNEIETMSKALYLDKNRSSNSFSAFNSRSKPTRKTNLPEPKSTVKNSNEDPLLKEKKSVWNWKPLKVFSNVRNRRFNCCFSLEVHSIECLPVSFNDLSLFVHWKRRDGDLTTRPSKVFNGTAEFGEKLTYTCSIYGVRSGLSAKYEAKHCMLFASVLGTPDFDLGKHRVDLTRLLPLTLEELEEEKSTGKWTTSFKLSGKAKGATMNVSFGYMVVGDNSVLLKSNQHRAELSHIKQNNQSTGKAVAGFDHLDLDTTRCVESLPSLVNTRPFGSSPVVEEIKDLHEVLSVPKPQLDVKNTVDQKLDEEKPNASAASKPEPDVLNEHFEPIRPLTSLASESINEYIEKETEDNDFSVDEKGIGLSSEEQARSEAVTFVATLSTIENPEVVEINPGMGVNFEECSQLHPSNQGEARSEEGTLVATLSTVENPQVVEINPGVGENFEERSQLHPSNQGEARSEEVSLAATLSTVETPQVDEINPGMGENFEECSQLHPSNQGDMLVVQDRSSEEDEQCSNESLMRELDLALDGITNLGEALASSPGLEDPEDYMDNKGDYKAESLGLDEATESIATDFLNMLGIDHSLLGLSSESEPESPRERLLRQFEKDTLASGCSLFDFDMADEEELESGFDTSTASGWGSLTESFDLSSFIQDAEQEYQKETGGRNKTRAKVLEGLETEALMREWGLNEKAFQQSPSGSSGGFGSPVDLPPEDPLELPPLGDGLGPFLQTKNGGFLRSMNPSLFQDAKSGGNLIMQVSNPVVVPAEMGSGIMDILQQLASVGIEKLSMQANKLMPLEDITGKTMEQVAWEAAPALEGLQRQCSLQHDFEVGEDMSSRQKKVKRRSPRPSCSDINSTSVNEMGSDYVALEDLAPLAMDKIEALSMEGLRIQSGMSDEDAPSNISAQSIGEISALQGKGFGISGSLGLDGTAGLQLLDIKDSGDNVDGLMGLSLTLDEWMRLDSGDIDDEDQISERTSKILAAHHATSLDSILRGSKGEKKRGKKCGLLGNNFTVALMVQLRDPMRNYEPVGAPMLALIQVERVFVPSKPKIYATVSALSNDNQDGDDSEAATKEKVKPAEMKEEKASQEEGIPQYRITDVHVAGLKTEPGKKKLWGSTTQQQSGSRWLLANGMGKSNKHPLLKSKPGFKSSTPSTTKVQPGDTLWSISSGIHGTGAKWKELAALNPHIRNPNVILPNETIRYR >KJB82344 pep chromosome:Graimondii2_0_v6:13:49214326:49215093:1 gene:B456_013G191000 transcript:KJB82344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIRSILIAFGLFAFACSSASAYDPSPLQDFCVAIKDFKNGAYLWFESTMHLMVSTLPTLTPGDPRSCLLLKAHFMSASLWRTQPTVSSLLNPGDVFVFPFGLIHFQFNIGKTAAVAFAGLSTQNAGVITMAKAVLGSNPPINPDVLAKAFQLNKNVVKYLQSRY >KJB83917 pep chromosome:Graimondii2_0_v6:13:58199527:58203065:-1 gene:B456_013G271300 transcript:KJB83917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLCLNSLPLPPSSCPQKLTETSSSFSNGSIGTALKPIVVNGNPPTFVSAPGRRIVAVGDLHGDLDQARYALEMAGVLSSDGQDLWTGEDAVLVQLGDVLDRGDDEIAILSLLRSLDIQAKAKGGAVFQVNGNHETMNVEGDFRYVESGAFDECADFLEYLNDYEYDWNEAFVRWCGVSRIWKDERKMSRNHWGPWNLVERQKGVIARSVLFRPGGPLACELARHAVALKVNDWIFCHGGLLPHHVAYGIEKMNKEVSQWMRGLIEEDTNRNMPFIATKGYDSVVWNRLYSRNISDLADYQINQINAILQETLQALGAKAMVVGHTPQFSGANCEYNCSIWRIDVGMSSGVLNSRPEVLEIRDDKARVIRSKRNRFSELQMVDYI >KJB81233 pep chromosome:Graimondii2_0_v6:13:35409617:35413114:1 gene:B456_013G134200 transcript:KJB81233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLLQMMKSSQLLQLPRTNLSRFLSVACKSSSPSPFASEIKTEVDRITRIINDHSFPDEPLEPTLLWHIPPVSLSSYFVESVLGQLFAAHSNGLKALEFFKYSIHHSQHAPSVGAFEKTLHILTRMRYFDKAWELMLDMQCSHPSLLSLKSMSIMLAKIAKFQSYEDTLEAFKRMETEVFAGRNFSTDEFNVLLRAFCSQREMKEARSVFLKMHSRFPTNTKTMNILLLGFKESGNVTAMELFYHEMIRRGFKSSSMTYNIRIDAYCKKGCLGDGLRLLEEMERVHCLPTLETITTLIHGAGVARNIPKARQLFDEIPKRNLQLDVGAYNAMISSLIGSKDIHSAIELMDEMERKQIEYDGVTYHTMFLGMMKLSGIEGVSELYCKMLERNFIPRTRTVVMLMKFFCGNQHLHFALNLWDYLLQKGHCPHSHALDLLATGLCSRGRWQEAYQCCKQILERGRHMSESVYHMMQRILKQYDEMEKLMELNMMKMKLQYVLPQSGKEPINSTC >KJB83522 pep chromosome:Graimondii2_0_v6:13:58033580:58034170:-1 gene:B456_013G268200 transcript:KJB83522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKNMGLEMALSTNPSTKKPRTGSANKASTSKNKKTTTKDRHAKVDGRDRRIRLPTVCAARIFQLTRELGLKTDGETIAWLLRQAEPSIVAATGTGVSETSTTPGPTNNNSTNTGLVPFSTGSDSPLATSDYTPCLTFLQEPDRMLLKNSGDVSRGEPSLPPLEFDFDLEFSAHEMAMFQSVAAAANHDKEGKI >KJB81293 pep chromosome:Graimondii2_0_v6:13:36747787:36748237:-1 gene:B456_013G137600 transcript:KJB81293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSASGASSSSARDEDSFGIRVLLEPFFETEPEGTSVNQPEERPAPPANPVASPGEEAGPSNRTPQGDCVLSIQRRLLANNSSPSAEVIQMARIQAEDLFEVKVEIIQQMADLDPTGDWMGRGARALENSHTATGE >KJB79422 pep chromosome:Graimondii2_0_v6:13:4385314:4386885:-1 gene:B456_013G048900 transcript:KJB79422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLNNKFLFLSALFCIAVAGALGQAPPTPSNPPTSTPVPPTPPASTPPPTTQPPPTPTTTSPPPASTPPPTSSPPPVTASPPPVSTPPPATPPPVSSPPPASPPPATPPPATPPPATPPPASPPQATPPPASPPPATPPPATPPPAPLASPPATVPAPAPSKTKAKSPALSPSASSPPSPSTEAPTPSLGASSPGPAGTDTSGVEKTWSIRKMVWSLVIGWGVLTLML >KJB80169 pep chromosome:Graimondii2_0_v6:13:12068967:12074209:-1 gene:B456_013G084200 transcript:KJB80169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSHRNGLFERDVNQAITALKKGTCLLKYGRRGKPKFCPFHLSNDESKLIWYSGKEEKQLKLSQVSRIIPGQRTAVFHRYPQPEKEYQSFSLICNDRSLDLICKDKDEAEVWFVGLKALISHGTCRKWKIEVGSDAASVDTPKSHNRRTSPNSHFDRGDAQGIQVPYEAHTRLGKAFADIITHAASAKISNQVDSVEFGLLSTGSVENLNGRSSGADAIRVSLSSAVSSSSHGSCHEDNDALVDIFIWGQGIGGGILGGSGDKIGSSFNTKMDALLPKALESKMVVDAHNIACGSRHAAIVTKQGEIFSWGEESGGRLGHGVEADVPRPKLIDTLRGMNFESVACGEYHTCAITVSGDLYTWGDGTHNSGLLGHGSEVGHWIPKRVSYLDGMHVSYVSCGPWHTALVTSGGQLFTFGDGSFGALGHGDCSSTTVPREVETLSGLRTTRVACGAWHTAAIVEVGTESSGNGSPVSSSSTKLFTWGDGDKGQLGHGDKEPRLCPQSVAALFDDISQVVCGHDLTVALTTTGRVYTMGSSAYGQLGSPTTDGKVPTQVEGKITDSIVEEIACGSYHVAILNSKKEVYTWGKGTNGQLGHGDTDDRNTPTLVNFLKDKQVKSVVCGSNFSAVVCLYKWVSSADHSMCSGCRNPFGFRRKRHNCYNCGLFFCKACTMRKSLKASLAPTINKPYRVCDDCFAKLKKGADPVSNAWTPKVRNGIFPRKSNESIDKDVLAPRSHTQLSRLSAAGSCNQSESGNCKHELKLDLQNRTLFPAQNGNFHLGGFYTPKLSLSPVGDSKKNLPVSVSRKTSRAASPASGKSSTRSSTVTFDDSKQMNDGLNQEIITLRAQVEDLTCKSQHLEAELENTSRRLKEVTAIAENEAEKCKAAEEAIKSLTAQLEEAANRLPTYHNAGNTSPMAKHNSDIEHVFSSSSHASSMMSSAPSNDKCNANNLSTSHGTKVQTKKSETVIHDEPGVYITLSPFPDGSNALKRVRFSRKHFTEEQAEKWWAENGAKVCERHNIIRAY >KJB80649 pep chromosome:Graimondii2_0_v6:13:23671668:23679521:1 gene:B456_013G108400 transcript:KJB80649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKVDSEADILSNLNINAGDWPSNLVKNLCLLSPDQIELGKMLVEMGQSHLFQQWTDPGVEDDKKKAFFAQVAKLNSSYPGGLASYIKNARELLADSKVGKNPYDGFTPSVPTGEVLSFGDDNFIKYEEAGVKEAQNAAFVLVAGGLGERLGYNGIKVALLAEATTGTCFLQLYIESILALQEGSCRLTQGTFQKDIPFVIMTSDDTHTRTLEVLESNSYFGMKPTQVKLLKQEKVACLDDNDARLALDPHNKYQIQTKPHGHGDVHSLLYSSGLLKVWLYAGLRWVLFFQDTNGLLFKAIPASLGVSATKQYQVNSLAVQRKAKEAMGGITRLTHSDGRSVVINVEYNQLDPLLRAAGHLDGDANCETGYSPFPGNINQLILELGPYIEELTKTGGAIKEFVNPKYKDASKTSFKSSTRLECMMQDYPKTLPPTARVGFTVMDKWLAYAPVKNNPEDASKVPKGNPYHSATSGEMAIYRANSLILIKAGVQVEGPVQQVFNGQEVEVWPRITWKPKWGLTFSEIKIKVSQSCSVSQRSTMVLKGRDIFLEDLSLDGALIINCIDGAEVKVGGSIQNKGWLLERINYKDTSSPEELRIRGFKINKLEQLEKTYSEPGKFILKPQC >KJB82233 pep chromosome:Graimondii2_0_v6:13:48186050:48187412:-1 gene:B456_013G183400 transcript:KJB82233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNKPSLDACSLQPSADSNNVYTLVTNTKSRENHRLPVPDDSILSLLAIFIDESTRDGPEPEDAHSVLYFKASDLLDGHGHGHGHGVSLDDQSDEITSRLATSIDYSDVASPTTLKEIPQLSHDDSILAMLDIFTEDSTKLIVGEKREDGPLLVSLGDEKDDEIFSLLQNFI >KJB82989 pep chromosome:Graimondii2_0_v6:13:54352601:54355491:1 gene:B456_013G223800 transcript:KJB82989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSGGSSSSSVPTLPPPCPRSPPEYPDLYGKRREAAKTQMLEREISFLEEELKSVEGLQPASRFCKEVTDFVMANSDPLIPTSRKNRKSRRFWKWLCGIPCFNLSWICCCCYSACSCHLKCLQCSGLQCCDCDLCNCSSCICSLCKCRCCSCGSSEHSSCKCSSCDLPSCKCSSCNCTSCKCSSCNCNPCKCSSCDCNPCKCSSCNCNPCKCSSCDCSSCKCSSLCDCSSCKCSTCNCSSCKCSSLCDCSSCKCSSCDCKSCLSCCTIPKWRCKCSSCNPCHCNPCLSCCSILKWRCCSFPKSGCCKKISCSRNCCIFQFPSCTGCFCCKWKCSCPKVTRCCSYTKTSCNPCCLFF >KJB83188 pep chromosome:Graimondii2_0_v6:13:55243485:55245855:-1 gene:B456_013G234100 transcript:KJB83188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTSISQSHTLLKKPNFTPSIPHNLSSSFTPRDFLFSKTQSFKLPQMASRSYVVSASTTETPRKRYPGEAKGFVEEMRFVAMKLHTKEQAKEGEKEVKQPEEHSVRKWEPSIDGYLKFLVDSKLVYDTLESIIDKAAFPIYAEFRNTGLERSEKLAKDLQWFEEQGYAIPKPSSPGITYAEYLKEISDKDPQAFICHFYNTYFAHSAGGRMIGKKVAQQILNNRELEFYKWDGDLSQLLQNVRDKLNKVAESWTRDEKNHCLEETEKSFKHSGEILRLILS >KJB80182 pep chromosome:Graimondii2_0_v6:13:12369322:12370754:1 gene:B456_013G085000 transcript:KJB80182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERSESDKMEEIMLPGFRFHPTDEELVGFYLKRKVQQRPPSMEFIKQLDIYKYDPWDLPKMATTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGNKCIGLKKSLVFYKGRATKGVKTDWMMHEFRLPSLADSAPPKIFLEKTLPPNDAWAICRIFKKTNSTAQRALSQSRVSQVPETSSSSISEVPSMPLTAKTSLNLNVDLLQTSNGAFSSLDFVPYKPPQHSMSNGDLTSLVFTPLDHTSTDSRAVDVTSMLLNMLSSILGDHYCGRATDGLHFGGGFTGTLPHELQGNNMMSGGDHESSLFKSLNVGSHGDDQWGGVRSIGFPISMPLPMNVMGDAWKPSLAWDSSCPSEMSTSFCSTKCYT >KJB83068 pep chromosome:Graimondii2_0_v6:13:54754706:54756415:1 gene:B456_013G228200 transcript:KJB83068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine acetyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT5G56760) UniProtKB/Swiss-Prot;Acc:Q42538] MPAGELRYPSSISCPQISQATETPMEEDEAWVWAQIKAEARRDAELEPALASYLYSTILSHSSLERSLAFHLGNKLCSSTLLSTLLYDLFLNTFSSDPSLRAAAVADLRAARVRDPACVSFSHCLLNYKGFLACQAHRVAHKLWTQSRRPLALALHSRISDVFAVDIHPAAKIGKGILLDHATGVVIGETAVVGNNVSILHHVTLGGTGKACGDRHPKIGDGVLIGAGATILGNVKIGEGAKIGAGSVVLIDVPPRTTAVGNPARLVGGKEKPSRHEECPGESMDHTSFISEWSDYII >KJB79146 pep chromosome:Graimondii2_0_v6:13:2716587:2720440:-1 gene:B456_013G035100 transcript:KJB79146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDTSYYDILGVKVSASAAEIKKAYYLKARQVHPDKNPGDPKADEKFAALSEAYQVLSDPDKREDYDKNGKDGIIPGSMLDPSAVFGMAFGSDYFDEYVGTLAMATLSSLEVEFEESLVDKEARTQKIREKMEVLQKEREDKLIVTLKNRLQPFLDGQTDEFIYWANGEAQRLSKAAFGEAMLHTIGYIYIRKGASELGKDKRYMKVPFIAEWVRDKGHRVKSQVMAASGAVNLIQIQEELKKVNQGEKKDENLMKALEDKREAMLQSLWKVNVVDIETTLSRVCLAVLQDPDASKDVLILRAKALKKLGSIFQGVKVRYSREDSLRHEIDC >KJB80227 pep chromosome:Graimondii2_0_v6:13:13343355:13344458:-1 gene:B456_013G087300 transcript:KJB80227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFTNSTFFIHVNQKFPTPQSHHCSRLSLPIIRASFQPQQNNVIISNRRKLVTTFLATSLAALGLNGTPVAVAENWGTHSFLRERFFEPGLSPEDAAARIKQTAEGLHSMRDMLDTMSWRYVMFYIRLKQAYLSQDLKNAMSTLPQGRKDKYVKTANELVDNMAEFDYYVRTPKVYESYLYYEKTLKSIDDLVALLG >KJB79016 pep chromosome:Graimondii2_0_v6:13:2207950:2210512:-1 gene:B456_013G029300 transcript:KJB79016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVAPDPSPLIIGGGGETVRRPLPDSLHVNGGDGTYSYTRNSYFQRLAANVVKERIKGAITMKLDVENLSSTSNTIYIADLGCAVGPNAFDAMQDVVHFIQQKYTLQCPQSNTTLQFLVLFNDQPSNDFNTLFTSLPRERPYYAAGVPGSFHRRLFPESSIHFVHCSYALHWLSKVPEELLDKNCGAWNKGRIHYTNAPNEVVQAYASQFAKDMEEFLCARAMEIVTGGMMTIIMPGLPNEMPYSQLAASLMYDFMASTFMDMANEGLVSEDEVDSFNLPIYTPSPAEMASVVEKNGHFSIEMLELTNPASLVGGPVDIKAWVIHVRAAMEGMFIKHFSTDIIDEMFDRLTKKLFMFTEQVESGYKDRTQLLVVLIRK >KJB80769 pep chromosome:Graimondii2_0_v6:13:28266592:28267632:1 gene:B456_013G114600 transcript:KJB80769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKLVMLTIVAIFLPAMALATDYIVGDDSGWTTGFDYQAWAKDKVFRVGDKLVFQYPKGYHNVFKVNGTGFKNCDIPPENQALTSGNDTIVLKTPGKKWYICGVASHCSMYAQKLTVFVQYPFGLAPAPAPTQPSVPATPAPAPRLPVTPSVPATPTQHWAPTPALSMPTTPTQPWTPAPAPWAPSTPSLAVAPTPATPSVPATPYEPWAPAPAPWAPTTPSVLATPSEPWAPGPAPWAPTTPTVPATPSNPCAPAPAPWAPAAPSVPATRSQPWAPGPAPWTAATPSVTTTPSDPWAPTPSPYPWI >KJB79813 pep chromosome:Graimondii2_0_v6:13:7800632:7801478:1 gene:B456_013G067900 transcript:KJB79813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQILGQVIVVPLKTIMYGVKRTPRRYLLDSASQNFVLKRINNIGKNVDTFGHGVREHVIFGTAVRVGPKISETVKGKLSLGARILQVGGVEKIFKQLTAGPIAGLLFISSQKVGCCSDRSNEIPSTIEELVRAHYKVSIYLIYFPLSQIVFKYLQQVIFFQRLLHDVLQVTF >KJB83778 pep chromosome:Graimondii2_0_v6:13:57734440:57739206:1 gene:B456_013G263800 transcript:KJB83778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSATKLLIFFFFSCHLSIFSSTATAVYTIGVNYGTIADNLPTPAQVANFLKTKTNIDRIKIFDTNPDILKAFAGTGISVTVTVGNGDIPSLAKLPGAKAWIEKNILPYHPKTIIRYIAVGNEILATSDKTLIAHLLPAMKALRSALDSANATSVKVSTPHSLGILSTSEPPSAGKFRKGYDKLIFAPILEFHKQTKSPFMVNPYPYFGFKPQTLDYALFKPNGGVFDAGTGINYTNMFDAQLDAVYTAMKRVGYEDVDLVVAETGWPSVGDPGQPGVSLENALSYNGNLIKHVDSGKGTPLMPNRTFETYIFALFNENLKESVPERNFGLFKPDLNPVYDVGILRSEQGMGPTSAPTTATAPSAAMAPSAGKAPSNGSGKWCVAKSNASNAALQANIDYVCSSGVDCKPIQSAGACFNPNNVRSHASYAMNAYYQANGRHDFNCDFNRTGVITSTDPSHAACNYSVNQGSGLKLESSVAANTMRFSAVWHVISLATLSYCMIFIRFY >KJB83916 pep chromosome:Graimondii2_0_v6:13:58185879:58187362:1 gene:B456_013G271100 transcript:KJB83916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSSAKRAVCHIVAMPYPGRGHINPLMNLSNELASKSDNILITFVVTEEWLSFIGSERKAGKIRFRCIPNVVPSELVRASDMIGFLEAVWTKMEAPFEQLLDELELPPTLIIADTHLFWAVSVGNRRNIPVASFWPMSTTMFSVFHHFHLFQEKGHFPVDLLDNKNEQVEYIPGVSSTRLLDLPGFNGGIYPLILKQILGCVSWAGKANYLLLPSIYALESQTIDALKAELSLSIYTVGPSIPYLDLSHGDKGDCLQWLDRQPSNSVLYVSMGSFLSVSNVQMEEITAGLQDSGVRFLLVAREGSWKLKHGYGGEGLVVPWCDQLRVLCHPSIGGFWSHCGWNSVKEGIFAGTPFLTFPLFADQNLNSKLIVEDWKIGWRIKKHQFTTRGEISSLVTKLMDLESDDEVKQMRARAKELQKECLQAIERHGSSESIINSFIHSILQFNDH >KJB84089 pep supercontig:Graimondii2_0_v6:KB204119:1210660:1216468:1 gene:B456_N004500 transcript:KJB84089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAYRLRARSSLEHKPPLISKHMNCIRMVTPSLPKIIIEGQKNKKIARVLRLRLLSPGTILNPLRSRAAASFFISFPTFEIQKKKNFFVEINFKRKKKKQIADFVGLRFTVNIFYSGKEMVSGSALSAAAKQAEQLRKDGNIYFKKDRFGAAIDAYTEAITLCPNVPVYWTNRALCHRRRNEWLKVEEDCRRAIQLDYSSVKAHYMLGLALLQKQELDEGVKELQKALDLGRGANPKGYMVDEIWQELAKAKYMLWEHASSKRSWELQSLKEACETALREKHFLDDSQPEGFLDEAGISHMKQLEVLRQVFRKAGEADIPCEVPDYLCCKITLDIFCDPVITPSGVTYERAVILDHLQKVGKFDPITREPLDQSQLVPNLAIKEAVQAYLDKHGWAYKLD >KJB84087 pep supercontig:Graimondii2_0_v6:KB204119:1210660:1215815:1 gene:B456_N004500 transcript:KJB84087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAYRLRARSSLEHKPPLISKHMNCIRMVTPSLPKIIIEGQKNKKIARVLRLRLLSPGTILNPLRSRAAASFFISFPTFEIQKKKNFFVEINFKRKKKKQIADFVGLRFTVNIFYSGKEMVSGSALSAAAKQAEQLRKDGNIYFKKDRFGAAIDAYTEAITLCPNVPVYWTNRALCHRRRNEWLKVEEDCRRAIQLDYSSVKAHYMLGLALLQKQELDEGVKELQKALDLGRGANPKGYMVDEIWQELAKAKYMLWEHASSKRSWELQSLKEACETALREKHFLDDSQPEGFLDEAGISHMKQLEVLRQVFRKAGEADIPCEVRMRSFHCQLYKPVCTVLET >KJB84085 pep supercontig:Graimondii2_0_v6:KB204119:1210660:1215610:1 gene:B456_N004500 transcript:KJB84085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAYRLRARSSLEHKPPLISKHMNCIRMVTPSLPKIIIEGQKNKKIARVLRLRLLSPGTILNPLRSRAAASFFISFPTFEIQKKKNFFVEINFKRKKKKQIADFVGLRFTVNIFYSGKEMVSGSALSAAAKQAEQLRKDGNIYFKKDRFGAAIDAYTEAITLCPNVPVYWTNRALCHRRRNEWLKVEEDCRRAIQLDYSSVKAHYMLGLALLQKQELDEGVKELQKALDLGRGANPKGYMVDEIWQELAKAKYMLWEHASSKRSWELQSLKYVIPGGL >KJB84086 pep supercontig:Graimondii2_0_v6:KB204119:1210660:1216421:1 gene:B456_N004500 transcript:KJB84086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAYRLRARSSLEHKPPLISKHMNCIRMVTPSLPKIIIEGQKNKKIARVLRLRLLSPGTILNPLRSRAAASFFISFPTFEIQKKKNFFVEINFKRKKKKQIADFVGLRFTVNIFYSGKEMVSGSALSAAAKQAEQLRKDGNIYFKKDRFGAAIDAYTEAITLCPNVPVYWTNRALCHRRRNEWLKVEEDCRRAIQLDYSSVKAHYMLGLALLQKQELDEGVKELQKALDLGRGANPKGYMVDEIWQELAKAKYMLWEHASSKRSWELQSLKYACETALREKHFLDDSQPEGFLDEAGISHMKQLEVLRQVFRKAGEADIPCEVPDYLCCKITLDIFCDPVITPSGVTYERAVILDHLQKVGKFDPITREPLDQSQLVPNLAIKEAVQAYLDKHGWAYKLD >KJB84090 pep supercontig:Graimondii2_0_v6:KB204119:1210660:1216468:1 gene:B456_N004500 transcript:KJB84090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAYRLRARSSLEHKPPLISKHMNCIRMVTPSLPKIIIEGQKNKKIARVLRLRLLSPGTILNPLRSRAAASFFISFPTFEIQKKKNFFVEINFKRKKKKQIADFVGLRFTVNIFYSGKEMVSGSALSAAAKQAEQLRKDGNIYFKKDRFGAAIDAYTEAITLCPNVPVYWTNRALCHRRRNEWLKVEEDCRRAIQLDYSSVKALDLGRGANPKGYMVDEIWQELAKAKYMLWEHASSKRSWELQSLKEACETALREKHFLDDSQPEGFLDEAGISHMKQLEVLRQVFRKAGEADIPCEVPDYLCCKITLDIFCDPVITPSGVTYERAVILDHLQKVGKFDPITREPLDQSQLVPNLAIKEAVQAYLDKHGWAYKLD >KJB84088 pep supercontig:Graimondii2_0_v6:KB204119:1210660:1216421:1 gene:B456_N004500 transcript:KJB84088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAYRLRARSSLEHKPPLISKHMNCIRMVTPSLPKIIIEGQKNKKIARVLRLRLLSPGTILNPLRSRAAASFFISFPTFEIQKKKNFFVEINFKRKKKKQIADFVGLRFTVNIFYSGKEMVSGSALSAAAKQAEQLRKDGNIYFKKDRFGAAIDAYTEAITLCPNVPVYWTNRALCHRRRNEWLKVEEDCRRAIQLDYSSVKAHYMLGLALLQKQELDEGVKELQKALDLGRGANPKGYMVDEIWQELAKAKYMLWEHASSKRSWELQSLKEACETALREKHFLDDSQPEGFLDEAGISHMKQLEVLRQVFRKAGEADIPCEFQVPDYLCCKITLDIFCDPVITPSGVTYERAVILDHLQKVGKFDPITREPLDQSQLVPNLAIKEAVQAYLDKHGWAYKLD >KJB84080 pep supercontig:Graimondii2_0_v6:KB204119:1553185:1555394:1 gene:B456_N005000 transcript:KJB84080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKTAAKKEATEDGEVAAEETKKSNHVLRKLEKRQKERTLDAHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGAGAA >KJB84081 pep supercontig:Graimondii2_0_v6:KB204119:1553340:1555394:1 gene:B456_N005000 transcript:KJB84081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFDLYLPPSFSHFLTLKTCTFIFSLLSSPQYGFFSIFDSGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKTAAKKEATEDGEVAAEETKKSNHVLRKLEKRQKERTLDAHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGAGAA >KJB84072 pep supercontig:Graimondii2_0_v6:KB204119:364587:367803:1 gene:B456_N001600 transcript:KJB84072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVKAQKTKAYFKRYQVKFKRRREGKTDYRARNRLINQDKNKYNTPKYRLVARFTNKDIIAQIIHASISGDIVLAAAYGHELPHYGLEVGLTNYAAAYCVGLLLARRTLKQLEMDAEYEGNVEATGEDYSVEPGESRRPFRSLLDVGLVRTTTGNRVFGVLKGALDGGIDIPHSEKRFAGFNKDNKQLDPEVHRKYIYGGHVASYMRTLMEDEPEKYQSHFSEYIKRGIEADNLEGVYKKVHAAIRANPEAKKSEKPPPKEHKRYNLKKLSYEERKAKLIDRLKALNSAAGVDSDEDDE >KJB84074 pep supercontig:Graimondii2_0_v6:KB204119:364663:367636:1 gene:B456_N001600 transcript:KJB84074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVKAQKTKDIIAQIIHASISGDIVLAAAYGHELPHYGLEVGLTNYAAAYCVGLLLARRTLKQLEMDAEYEGNVEATGEDYSVEPGESRRPFRSLLDVGLVRTTTGNRVFGVLKGALDGGIDIPHSEKRFAGFNKDNKQLDPEVHRKYIYGGHVASYMRTLMEDEPEKYQSHFSEYIKRGIEADNLEGVYKKVHAAIRANPEAKKSEKPPPKEHKRYNLKKLSYEERKAKLIDRLKALNSAAGVDSDEDDE >KJB84073 pep supercontig:Graimondii2_0_v6:KB204119:364663:367636:1 gene:B456_N001600 transcript:KJB84073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVKAQKTKAYFKRYQVKFKRRREGKTDYRARNRLINQDKNKYNTPKYRLVARFDIIAQIIHASISGDIVLAAAYGHELPHYGLEVGLTNYAAAYCVGLLLARRTLKQLEMDAEYEGNVEATGEDYSVEPGESRRPFRSLLDVGLVRTTTGNRVFGVLKGALDGGIDIPHSEKRFAGFNKDNKQLDPEVHRKYIYGGHVASYMRTLMEDEPEKYQSHFSEYIKRGIEADNLEGVYKKVHAAIRANPEAKKSEKPPPKEHKRYNLKKLSYEERKAKLIDRLKALNSAAGVDSDEDDE >KJB84075 pep supercontig:Graimondii2_0_v6:KB204119:365684:367636:1 gene:B456_N001600 transcript:KJB84075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEYEGNVEATGEDYSVEPGESRRPFRSLLDVGLVRTTTGNRVFGVLKGALDGGIDIPHSEKRFAGFNKDNKQLDPEVHRKYIYGGHVASYMRTLMEDEPEKYQSHFSEYIKRGIEADNLEGVYKKVHAAIRANPEAKKSEKPPPKEHKRYNLKKLSYEERKAKLIDRLKALNSAAGVDSDEDDE >KJB84076 pep supercontig:Graimondii2_0_v6:KB204119:365684:367636:1 gene:B456_N001600 transcript:KJB84076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEYEGNVEATGEDYSVEPGESRRPFRSLLDVGLVRTTTGNRVFGVLKGALDGGIDIPHSEKRFAGFNKDNKQLDPEVHRKYIYGGHVASYMRTLMEDEPEKYQSHFSEYIKRGIEADNLEGVYKKVHAAIRANPEAKKSEKPPPKEHKRYNLKKLSYEERKAKLIDRLKALNSAAGVDSDEDDE >KJB84022 pep supercontig:Graimondii2_0_v6:KB204119:835625:836178:1 gene:B456_N002400 transcript:KJB84022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTELRRMFQMFDKNGDGKITNKELSESLENLGIFIPEKELTQMIEKIDVNGDGYVDIDEFGALYQTIMHERDEEEDMKEAFNVFDQNGDGFITVEELRSVLSSLGLKQGRTIEDCKRMIKKVDADGDGMVNFKEFKQMMKGGGFAALSSS >KJB84021 pep supercontig:Graimondii2_0_v6:KB204119:652085:653270:1 gene:B456_N002000 transcript:KJB84021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLINLDELLEAQAHVWNHTFRFISSMSLKCAVELQIPDIIHNHGQAMNLSDLALALGIHHSKLHCLHRLMRILFHSGFFAESKTNSTDQQRAYVLTTVSHLLRKDYPLSSTPFILGMLDPVLLKPWQYLSYWFQNLDHPTAFSAAHGESMWDYAGHEPRVNHFFNDAMASDGLLAASVVLSKCKGVFEGLKSVVDVGGGTGIITKVFAKAFPQTEFTVFDLPHVVHGLQGCENLNYLGGNMFEGVPHGDMIMLKWILHTWSDQDCIKILERCKEEIGKKENGGKIVIMQMIIENQELDEHQSTETKLSFDMGMMVCLGGQERSEQQWSKLFAHAGFAHYEIYHILGLTCLIELFP >KJB84098 pep supercontig:Graimondii2_0_v6:KB204119:1092846:1096617:-1 gene:B456_N003700 transcript:KJB84098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRARCTLRADDIPRACHRNDGPIRHVNMDRYRPSYNVGPGMNFPVVRRDDGSNTDGAGIVLHCMKWGLIPSFTKKSDKPDFFKMFNARSESVCEKASFRRLLPKSRCLVAVEGFYEWKKDVSKKQPYYIRFKDGRPLVFAALYDSWENSEVYCLPAGEKLHTFTILTTSASSTFQWLHDRMPVILGDKGSTDAWLNGSKTDMLLKPYENPDLVWYPVTPAIGKLSFEGPECVKEVPLKTQEKNSISKFFSTRKVEKEQESNMVQSVCDESVKTNLLNNLKEEPRSTDDRLASLTDKDHDLKSNVPVPSLGDVGKSQVKRDSDEFLADTKPSKDEIETSPARKKGNIKGGGDKQPTLFSYFGKK >KJB84100 pep supercontig:Graimondii2_0_v6:KB204119:1092846:1096617:-1 gene:B456_N003700 transcript:KJB84100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRARCTLRADDIPRACHRNDGPIRHVNMDRYRPSYNVGPGMNFPVVRRDDGSNTDGAGIVLHCMKWGLIPSFTKKSDKPDFFKMFNARSESVCEKASFRRLLPKSRCLVAVEGFYEWKKDVSKKQPYYIRFKDGRPLVFAALYDSWENSEGEKLHTFTILTTSASSTFQWLHDRMPVILGDKGSTDAWLNGSKTDMLLKPYENPDLVWYPVTPAIGKLSFEGPECVKEVPLKTQEKNSISKFFSTRKVEKEQESNMVQSVCDESVKTNLLNNLKEEPRSTDDRLASLTDKDHDLKSNVPVPSLGDVGKSQVKRDSDEFLADTKPSKDEIETSPARKKGNIKGGGDKQPTLFSYFGKK >KJB84099 pep supercontig:Graimondii2_0_v6:KB204119:1092505:1096855:-1 gene:B456_N003700 transcript:KJB84099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRARCTLRADDIPRACHRNDGPIRHVNMDRYRPSYNVGPGMNFPVVRRDDGSNTDGAGIVLHCMKWGLIPSFTKKSDKPDFFKMFNARSESVCEKASFRRLLPKSRCLVAVEGFYEWKKDVSKKQPYYIRFKDGRPLVFAALYDSWENSEDRMPVILGDKGSTDAWLNGSKTDMLLKPYENPDLVWYPVTPAIGKLSFEGPECVKEVPLKTQEKNSISKFFSTRKVEKEQESNMVQSVCDESVKTNLLNNLKEEPRSTDDRLASLTDKDHDLKSNVPVPSLGDVGKSQVKRDSDEFLADTKPSKDEIETSPARKKGNIKGGGDKQPTLFSYFGKK >KJB84101 pep supercontig:Graimondii2_0_v6:KB204119:1071732:1082173:-1 gene:B456_N003600 transcript:KJB84101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARLCTLLGDLGYEGAEKLDPDSFEWPFQYDDTRPILDWICSSLRPSNVLSLSQLSLYEQIVQEGKLLEGEDLDFAYDSISAFSSRRDNQEAVFGAEEGLKDIRDATVAYKAEALELQKQLRHLQSQFDMLTGQASALIQGRRARVAATSAANGHLTTIDDSLSGRNLQMNEVLGKIASTAQELAHYHSGDEEGIYLAYSDFHPYLVGDSSCIMELNQWFAKQLDTVPFRLVAEEGKSKCSWVSLDDVSNSLVRDLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMALKSQISSDEAHIHLDLHSLRRKHAELVGEVSNLYHKEEKLLTETIPDLCWELAQLQDTYILQGDYDLKVMRQEFYISRQKAFINHLINHLARHQLLKIACQLEKKNMLGAYSLLKVIESELQAYLSATKGRVGRCLALIQAASEVQEQGAVDDRDTFLHGVRDLLSIHSNAQAGLSTYVSAPGIVQQISGLHSDLMALQSDLENSLPEDRNRCINELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHCKKNEIVKHHSQEVGLQRSVFVDFFCNPERLRSQVRELTARVRALQDS >KJB84107 pep supercontig:Graimondii2_0_v6:KB204119:1071749:1082093:-1 gene:B456_N003600 transcript:KJB84107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARLCTLLGDLGYEGAEKLDPDSFEWPFQYDDTRPILDWICSSLRPSNVLSLSQLSLYEQIVQEGKLLEGEDLDFAYDSISAFSSRRDNQEAVFGAEEGLKDIRDATVAYKAEALELQKQLRHLQSQFDMLTGQASALIQGRRARVAATSAANGHLTTIDDSLSGRNLQMNEVLGKIASTAQELAHYHSGDEEGIYLAYSDFHPYLVGDSSCIMELNQWFAKQLDTVPFRLVAEEGKSKCSWVSLDDVSNSLVRADLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMALKSQISSDEAHIHLDLHSLRKHAELVGEVSNLYHKEEKLLTETIPDLCWELAQLQDTYILQGDYDLKVMRQEFYISRQKAFINHLINHLARHQLLKIACQLEKKNMLGAYSLLKVIESELQAYLSATKGRVGRCLALIQAASEVQEQGAVDDRDTFLHGVRDLLSIHSNAQAGLSTYVSAPGIVQQISGLHSDLMALQSDLENSLPEDRNRCINELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHCKKNEIVKHHSQEVGLQRSVFVDFFCNPERLRSQVRELTARVRALQDS >KJB84104 pep supercontig:Graimondii2_0_v6:KB204119:1071983:1081963:-1 gene:B456_N003600 transcript:KJB84104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARLCTLLGDLGYEGAEKLDPDSFEWPFQYDDTRPILDWICSSLRPSNVLSLSQLSLYEQIVQEGKLLEGEDLDFAYDSISAFSSRRDNQEAVFGAEEGLKDIRDATVAYKAEALELQKQLRHLQSQFDMLTGQASALIQGRRARVAATSAANGHLTTIDDSLSGRNLQMNEVLGKIASTAQELAHYHSGDEEGIYLAYSDFHPYLVGDSSCIMELNQWFAKQLDTVPFRLVAEEGKSKCSWVSLDDVSNSLVRADLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMALKSQISSDEAHIHLDLHSLRRKHAELVGEVSNLYHKEEKLLTETIPDLCWELAQLQDTYILQGDYDLKVMRQEFYISRQKAFINHLINHLARHQLLKIACQLEKKNMLGAYSLLKVIESELQAYLSATKGRVGRCLALIQAASEVQEQGAVDDRDTFLHGVRDLLSIHSNAQAGLSTYVSAPGIVQQISGLHSDLMALQSDLENSLPEDRNRCINELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHCKKNEIVKHHSQEVGLQRSVFVDFFCNPERLRSQVRELTARVRALQDS >KJB84105 pep supercontig:Graimondii2_0_v6:KB204119:1071983:1081117:-1 gene:B456_N003600 transcript:KJB84105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGQASALIQGRRARVAATSAANGHLTTIDDSLSGRNLQMNEVLGKIASTAQELAHYHSGDEEGIYLAYSDFHPYLVGDSSCIMELNQWFAKQLDTVPFRLVAEEGKSKCSWVSLDDVSNSLVRADLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMALKSQISSDEAHIHLDLHSLRRKHAELVGEVSNLYHKEEKLLTETIPDLCWELAQLQDTYILQGDYDLKVMRQEFYISRQKAFINHLINHLARHQLLKIACQLEKKNMLGAYSLLKVIESELQAYLSATKGRVGRCLALIQAASEVQEQGAVDDRDTFLHGVRDLLSIHSNAQAGLSTYVSAPGIVQQISGLHSDLMALQSDLENSLPEDRNRCINELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHCKKNEIVKHHSQEVGLQRSVFVDFFCNPERLRSQVRELTARVRALQDS >KJB84106 pep supercontig:Graimondii2_0_v6:KB204119:1071749:1082093:-1 gene:B456_N003600 transcript:KJB84106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARLCTLLGDLGYEGAEKLDPDSFEWPFQYDDTRPILDWICSSLRPSNVLSLSQLSLYEQIVQEGKLLEGEDLDFAYDSISAFSSRRDNQEAVFGAEEGLKDIRDATVAYKAEALELQKQLRHLQSQFDMLTGQASALIQGRRARVAATSAANGHLTTIDDSLSGRNLQMNEVLGKIASTAQELAHYHSGDEEGIYLAYSDFHPYLVGDSSCIMELNQWFAKQLDTVPFRLVAEEGKSKCSWVSLDDVSNSLVRADLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMALKSQISSDEAHIHLDLHSLRRKHAELVGEVSNLYHKEEKLLTETIPDLCWELAQLQDTYILQGDYDLKVMRQEFYISRQKAFINHLINHLARHQLLKIACQLEKKNMLGAYSLLKVIESELQAYLSATKGRGRCLALIQAASEVQEQGAVDDRDTFLHGVRDLLSIHSNAQAGLSTYVSAPGIVQQISGLHSDLMALQSDLENSLPEDRNRCINELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHCKKNEIVKHHSQEVGLQRSVFVDFFCNPERLRSQVRELTARVRALQDS >KJB84102 pep supercontig:Graimondii2_0_v6:KB204119:1071983:1081963:-1 gene:B456_N003600 transcript:KJB84102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARLCTLLGDLGYEGAEKLDPDSFEWPFQYDDTRPILDWICSSLRPSNVLSLSQLSLYEQIVQEGKLLEGEDLDFAYDSISAFSSRRDNQEAVFGAEEGLKDIRDATVAYKAEALELQKQLRHLQSQFDMLTGQASALIQGRRARVAATSAANGHLTTIDDSLSGRNLQMNEVLGKIASTAQELAHYHSGDEEGIYLAYSDFHPYLVGDSSCIMELNQWFAKQLDTVPFRLVAEEGKSKCSWVSLDDVSNSLVRADLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMALKSQISSDEAHIHLDLHSLRRKHAELVGEVSNLYHKEEKLLTETIPDLCWELAQLQDTYILQGDYDLKVMRQEFYISRQKAFINHLINHLARHQLLKIACQLEKKNMLGAYSLLKVIESELQAYLSATKGRVGRCLALIQAASEVQEQGAVDDRDTFLHGVRDLLSIHSNAQAGLSTYVSAPGIVQQISGLHSDLMALQSDLENSLPEDRNRCINELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHCKKNEIVKHHSQEVGLQRSVFVDFFCNPERLRSQVRELTARVRALQDS >KJB84108 pep supercontig:Graimondii2_0_v6:KB204119:1073823:1082093:-1 gene:B456_N003600 transcript:KJB84108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARLCTLLGDLGYEGAEKLDPDSFEWPFQYDDTRPILDWICSSLRPSNVLSLSQLSLYEQIVQEGKLLEGEDLDFAYDSISAFSSRRDNQEAVFGAEEGLKDIRDATVAYKAEALELQKQLRHLQSQFDMLTGQASALIQGRRARVAATSAANGHLTTIDDSLSGRNLQMNEVLGKIASTAQELAHYHSGDEEGIYLAYSDFHPYLVGDSSCIMELNQWFAKQLDTVPFRLVAEEGKSKCSWVSLDDVSNSLVRADLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMALKSQISSDEAHIHLDLHSLRRKHAELVGEVSNLYHKEEKLLTETIPDLCWELAQLQDTYILQGDYDLKVMRQEFYISRQKAFINHLINHLARHQLLKIACQLEKKNMLGAYSLLKVIESELQAYLSATKGRVVCWILRVVCVLFMCTLYFHQCSYVCVYIS >KJB84103 pep supercontig:Graimondii2_0_v6:KB204119:1071094:1082114:-1 gene:B456_N003600 transcript:KJB84103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARLCTLLGDLGYEGAEKLDPDSFEWPFQYDDTRPILDWICSSLRPSNVLSLSQLSLYEQIVQEGKLLEGEDLDFAYDSISAFSSRRDNQEAVFGAEEGLKDIRDATVAYKAEALELQKQLRHLQSQFDMLTGQASALIQGRRARVAATSAANGHLTTIDDSLSGRNLQMNEVLGKIASTAQELAHYHSGDEEGIYLAYSDFHPYLVGDSSCIMELNQWFAKQLDTVPFRLVAEEGKSKCSWVSLDDVSNSLVRDLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMALKSQISSDEAHIHLDLHSLRRKHAELVGEVSNLYHKEEKLLTETIPDLCWELAQLQDTYILQGDYDLKVMRQEFYISRQKAFINHLINHLARHQLLKIACQLEKKNMLGAYSLLKVIESELQAYLSATKGRVGRCLALIQAASEVQEQGAVDDRDTFLHGVRDLLSIHSNAQAGLSTYVSAPGIVQQISGLHSDLMALQSDLENSLPEDRNRCINELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHCKKNEIVKHHSQEVGLQRSVFVDFFCNPERLRSQVRELTARVRALQDS >KJB84036 pep supercontig:Graimondii2_0_v6:KB204119:1555917:1561596:-1 gene:B456_N005100 transcript:KJB84036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan glycosyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G07330) UniProtKB/Swiss-Prot;Acc:Q9SRT3] MSREPNYEFQEWWNKQREKNHDFFTNDSNNANKGFLTVEIRSLNPDLTTEKDRSRSARQLSWICLLRFQQLANSLAWITNSFLLLLRTANRRISAADSPSDSSASRLYRIIKFFLFLVVLLLCVELVAYFKGWHFSPPSAASAEAAVELFYALWLEIRADYLAPPLQSLANVCIVLFLIQSMDRVLLMLGCLWIKIRRIKPTASMEYPMGRVETGNVDDYPMVLVQIPMCNEKEVYQQSIAAVCIMDWPKERMLVQVLDDSDELDAQNLIQAEVQKWHQKGVHILYRHRLIRSGYKAGNLKSAMSCDYIKNYEFVAIFDADFQPAPDFLKKTIPHFMGNDDLALVQARWAFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDCGGWLERTTVEDMDIAVRAHLCGWKFVYLNDVKCYCELPESYEAYKKQQYRWHSGPMQLFRLCFLDILHSKVNKAKKANLIFLFFLLRKLILPFYSFTLFCIILPLTIFLPEAELPAWVVCYVPGIMSVLNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFRLGNSYEWIVTKKLGRSSEADLVAFENESDSSVTETTSFLRSSSDSGFEELSKLEVTSRRSVKTKRNRLYRMELTLAFILLSAAGRSLLSAQGHYRCHLESEMQVTLTQHL >KJB84031 pep supercontig:Graimondii2_0_v6:KB204119:1555872:1561801:-1 gene:B456_N005100 transcript:KJB84031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan glycosyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G07330) UniProtKB/Swiss-Prot;Acc:Q9SRT3] MSREPNYEFQEWWNKQREKNHDFFTNDSNNANKGFLTVEIRSLNPDLTTEKDRSRSARQLSWICLLRFQQLANSLAWITNSFLLLLRTANRRISAADSPSDSSASRLYRIIKFFLFLVVLLLCVELVAYFKGWHFSPPSAASAEAAVELFYALWLEIRADYLAPPLQSLANVCIVLFLIQSMDRVLLMLGCLWIKIRRIKPTASMEYPMGRVETGNVDDYPMVLVQIPMCNEKEVYQQSIAAVCIMDWPKERMLVQVLDDSDELDAQNLIQAEVQKWHQKDFQPAPDFLKKTIPHFMGNDDLALVQARSMVCLLTSLVSMELLVCGELRPSRTVVDGWNEQLLRTWI >KJB84035 pep supercontig:Graimondii2_0_v6:KB204119:1556425:1561566:-1 gene:B456_N005100 transcript:KJB84035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan glycosyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G07330) UniProtKB/Swiss-Prot;Acc:Q9SRT3] MSREPNYEFQEWWNKQREKNHDFFTNDSNNANKGFLTVEIRSLNPDLTTEKDRSRSARQLSWICLLRFQQLANSLAWITNSFLLLLRTANRRISAADSPSDSSASRLYRIIKFFLFLVVLLLCVELVAYFKGWHFSPPSAASAEAAVELFYALWLEIRADYLAPPLQSLANVCIVLFLIQSMDRVLLMLGCLWIKIRRIKPTASMEYPMGRVETGNVDDYPMVLVQIPMCNEKEVYQQSIAAVCIMDWPKERMLVQVLDDSDELDAQNLIQAEVQKWHQKGVHILYRHRLIRSGYKAGNLKSAMSCDYIKNYEFVAIFDADFQPAPDFLKKTIPHFMGNDDLALVQARWAFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDCGGWLERTTVEDMDIAVRAHLCGWKFVYLNDVKCYCELPESYEAYKKQQYRWHSGPMQLFRLCFLDILHSKVNKAKKANLIFLFFLLRKLILPFYSFTLFCIILPLTIFLPEAELPAWVVCYVPGIMSVLNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFRLGNSYEWIVTKKLGRSSEADLVAFENESDSSVTETTSFLRSSSDSGFEELSKLEVTSRRSVKTKRNRLYRMELTLAFILLSAAGRSLLSAQGIHFYFLLFQGISFLVVGLDLIGEQVS >KJB84032 pep supercontig:Graimondii2_0_v6:KB204119:1555971:1561596:-1 gene:B456_N005100 transcript:KJB84032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan glycosyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G07330) UniProtKB/Swiss-Prot;Acc:Q9SRT3] MSREPNYEFQEWWNKQREKNHDFFTNDSNNANKGFLTVEIRSLNPDLTTEKDRSRSARQLSWICLLRFQQLANSLAWITNSFLLLLRTANRRISAADSPSDSSASRLYRIIKFFLFLVVLLLCVELVAYFKGWHFSPPSAASAEAAVELFYALWLEIRADYLAPPLQSLANVCIVLFLIQSMDRVLLMLGCLWIKIRRIKPTASMEYPMGRVETGNVDDYPMVLVQIPMCNEKEVYQQSIAAVCIMDWPKERMLVQVLDDSDELDAQNLIQAEVQKWHQKDFQPAPDFLKKTIPHFMGNDDLALVQASRSMVCLLTSLVSMELLVCGELRPSRTVVDGWNEQLLRTWI >KJB84033 pep supercontig:Graimondii2_0_v6:KB204119:1557639:1561566:-1 gene:B456_N005100 transcript:KJB84033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan glycosyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G07330) UniProtKB/Swiss-Prot;Acc:Q9SRT3] MSREPNYEFQEWWNKQREKNHDFFTNDSNNANKGFLTVEIRSLNPDLTTEKDRSRSARQLSWICLLRFQQLANSLAWITNSFLLLLRTANRRISAADSPSDSSASRLYRIIKFFLFLVVLLLCVELVAYFKGWHFSPPSAASAEAAVELFYALWLEIRADYLAPPLQSLANVCIVLFLIQSMDRVLLMLGCLWIKIRRIKPTASMEYPMGRVETGNVDDYPMVLVQIPMCNEKEVYQQSIAAVCIMDWPKERMLVQVLDDSDELDAQNLIQAEVQKWHQKGVHILYRHRLIRSGYKAGNLKSAMSCDYIKNYEFVAIFDADFQPAPDFLKKTIPHFMGNDDLALVQARWAFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDCGGWLERTTVEDMDIAVRAHLCGWKFVYLNDVKCYCELPESYEAYKKQQYRWHSGPMQLFRLCFLDILHSKDLE >KJB84038 pep supercontig:Graimondii2_0_v6:KB204119:1558348:1561596:-1 gene:B456_N005100 transcript:KJB84038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan glycosyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G07330) UniProtKB/Swiss-Prot;Acc:Q9SRT3] MSREPNYEFQEWWNKQREKNHDFFTNDSNNANKGFLTVEIRSLNPDLTTEKDRSRSARQLSWICLLRFQQLANSLAWITNSFLLLLRTANRRISAADSPSDSSASRLYRIIKFFLFLVVLLLCVELVAYFKGWHFSPPSAASAEAAVELFYALWLEIRADYLAPPLQSLANVCIVLFLIQSMDRVLLMLGCLWIKIRRIKPTASMEYPMGRVETGNVDDYPMVLVQIPMCNEKEVYQQSIAAVCIMDWPKERMLVQVLDDSDELDAQNLIQAEVQKWHQKGVHILYRHRLIRSGYKAGNLKSAMSCDYIKNYEFVAIFDADFQPAPDFLKKTIPHFMGNDDLALVQARWAFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDCGGWLERTTVEDMDIAVRAHLCGWKFVYLNDVKCYCELPESYEAYKKQQYRWHSGPMQLFRLCFLDILHSKVRKLIKTIF >KJB84034 pep supercontig:Graimondii2_0_v6:KB204119:1555879:1561801:-1 gene:B456_N005100 transcript:KJB84034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan glycosyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G07330) UniProtKB/Swiss-Prot;Acc:Q9SRT3] MSREPNYEFQEWWNKQREKNHDFFTNDSNNANKGFLTVEIRSLNPDLTTEKDRSRSARQLSWICLLRFQQLANSLAWITNSFLLLLRTANRRISAADSPSDSSASRLYRIIKFFLFLVVLLLCVELVAYFKGWHFSPPSAASAEAAVELFYALWLEIRADYLAPPLQSLANVCIVLFLIQSMDRVLLMLGCLWIKIRRIKPTASMEYPMGRVETGNVDDYPMVLVQIPMCNEKEVYQQSIAAVCIMDWPKERMLVQVLDDSDELDAQNLIQAEVQKWHQKGVHILYRHRLIRSGYKAGNLKSAMSCDYIKNYEFVAIFDADFQPAPDFLKKTIPHFMGNDDLALVQARWAFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDCGGWLERTTVEDMDIAVRAHLCGWKFVYLNDVKCYCELPESYEAYKKQQYRWHSGPMQLFRLCFLDILHSKVNKAKKANLIFLFFLLRKLILPFYSFTLFCIILPLTIFLPEAELPAWVVCYVPGIMSVLNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFRLGNSYEWIVTKKLGRSSEADLVAFENESDSSVTETTSFLRSSSDSGFEELSKLEVTSRRSVKTKRNRLYRMELTLAFILLSAAGRSLLSAQGELTVGHYRCHLESEMQVTLTQHL >KJB84037 pep supercontig:Graimondii2_0_v6:KB204119:1558101:1561801:-1 gene:B456_N005100 transcript:KJB84037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan glycosyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G07330) UniProtKB/Swiss-Prot;Acc:Q9SRT3] MSREPNYEFQEWWNKQREKNHDFFTNDSNNANKGFLTVEIRSLNPDLTTEKDRSRSARQLSWICLLRFQQLANSLAWITNSFLLLLRTANRRISAADSPSDSSASRLYRIIKFFLFLVVLLLCVELVAYFKGWHFSPPSAASAEAAVELFYALWLEIRADYLAPPLQSLANVCIVLFLIQSMDRVLLMLGCLWIKIRRIKPTASMEYPMGRVETGNVDDYPMVLVQIPMCNEKEVYQQSIAAVCIMDWPKERMLVQVLDDSDELDAQNLIQAEVQKWHQKGVHILYRHRLIRSGYKAGNLKSAMSCDYIKNYEFVAIFDADFQPAPDFLKKTIPHFMGNDDLALVQARWAFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDCGGWLERTTVEDMDIAVRAHLCGWKFVYLNDVKCYCELPESAFKLYILF >KJB84039 pep supercontig:Graimondii2_0_v6:KB204119:1559903:1561596:-1 gene:B456_N005100 transcript:KJB84039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan glycosyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G07330) UniProtKB/Swiss-Prot;Acc:Q9SRT3] MSREPNYEFQEWWNKQREKNHDFFTNDSNNANKGFLTVEIRSLNPDLTTEKDRSRSARQLSWICLLRFQQLANSLAWITNSFLLLLRTANRRISAADSPSDSSASRLYRIIKFFLFLVVLLLCVELVAYFKGWHFSPPSAASAEAAVELFYALWLEIRADYLAPPLQSLANVCIVLFLIQSMDRVLLMLGCLWIKIRRIKPTASMEYPMGRVETGNVDDYPMVLVQIPMCNEKEVYQQSIAAVCIMDWPKERMLVQVLDDSDELDAQNLIQAEVQKWHQKGVHILYRHRLIRSGYKAGNLKSAMSCDYIKNYEFVAIFDADFQPAPDFLKKTIPHFMVS >KJB84053 pep supercontig:Graimondii2_0_v6:KB204119:867161:868115:-1 gene:B456_N002800 transcript:KJB84053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTPQQVKNPSTSLKSRFITRFLGALTKINAEDPISSPSPTRIFQRYRRIKVASNKSMAYSVRSRRIWSRAMLSRFRSRRSAFSGRRSSVKTTNCGEAMDVCNLLDETAHYIKCLTTQEHISLKKENKCLYRQVFYIFSVESG >KJB84045 pep supercontig:Graimondii2_0_v6:KB204119:772683:774140:-1 gene:B456_N002300 transcript:KJB84045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPLIVSTFIHLVFIFAAVSCEKDSIYDILKAHGLPMGLLPKGISRFDIDDTGRFEVHLDQACNAKFESQLHFDTNVSGTLSYGQIGALSGIAAQELFLWFPVKGIGVDVPSSGLIYFDVGVVVKQLPLSMFETPKDCMAVGDFESGDSIPDDDLLAESIAKSQSAKLGHELDQGSLGGTICRKVGKSSCVLVQSV >KJB84047 pep supercontig:Graimondii2_0_v6:KB204119:771386:774140:-1 gene:B456_N002300 transcript:KJB84047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPLIVSTFIHLVFIFAAVSCEKDSIYDILKAHGLPMGLLPKGISRFDIDDTGRFEVHLDQACNAKFESQLHFDTNVSGTLSYGQIGALSGIAAQELFLWFPVKGIGVDVPSSGLIYFDVGVVVKQLPLSMFETPKDCMAVGDFESGDSIPDDDLLAESIAKSQSAKLGHELDQGSLGGTICRKVGKSSCVLVQSV >KJB84044 pep supercontig:Graimondii2_0_v6:KB204119:772447:774140:-1 gene:B456_N002300 transcript:KJB84044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPLIVSTFIHLVFIFAAVSCEKDSIYDILKAHGLPMGLLPKGISRFDIDDTGRFEVHLDQACNAKFESQLHFDTNVSGTLSYGQIGALSGIAAQELFLWFPVKGIGVDVPSSGLIYFDVGVVVKQLPLSMFETPKDCMAVGDFESGDSIPDDDLLAESIAKSQSAKLGHELDQGSLGGTICRKVGKSSCVLVQSV >KJB84046 pep supercontig:Graimondii2_0_v6:KB204119:772612:774140:-1 gene:B456_N002300 transcript:KJB84046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPLIVSTFIHLVFIFAAVSCEKDSIYDILKAHGLPMGLLPKGISRFDIDDTGRFEVHLDQACNAKFESQLHFDTNVSGTLSYGQIGALSGIAAQELFLWFPVKGIGVDVPSSGLIYFDVGVVVKQLPLSMFETPKDCMAVGDFESGDSIPDDDLLAESIAKSIRETWT >KJB84015 pep supercontig:Graimondii2_0_v6:KB204119:13927:14280:-1 gene:B456_N000200 transcript:KJB84015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFEELSIPYKLVLNVIGIEEIEALAVLKFAVCVFGFDSSSKFNLLKVVLVLPISKECLGGILLGMLNINSTVPSWCWEISLNEAFLIGVGATGSVAPSSFHLSGRFISCRCILGIVV >KJB84054 pep supercontig:Graimondii2_0_v6:KB204119:866026:866460:1 gene:B456_N002700 transcript:KJB84054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWEPPPCGWLKFNVAGVSLDERAGCEGVLRDEKGVVSALFSGNCAVGGLEMVVLMAIIVVEMFTSLFHKVQLPLIIEFDLNTVLNWLKYRSLCPWSLRKLFVKIEDGCRHIAEIQFAVTNHKKNGKAETLAKANMSRKNFFKAA >KJB84049 pep supercontig:Graimondii2_0_v6:KB204119:1756113:1758494:1 gene:B456_N005500 transcript:KJB84049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFPTINEACRVLDEGVVARASDLDVASVLGMSFPSYCGGIMFWADTVGSKHIYLSLKKWSERYDSYFKPSRYLEERAMKGMPLVNETAVIHCGFYSENGGFKISDEDKSYMQSCKVAKLRFLLVRLVVEMIFINLKECQRHHLKRFAMFKIFCFYRMEESIMVNLLPWY >KJB84048 pep supercontig:Graimondii2_0_v6:KB204119:1756113:1758494:1 gene:B456_N005500 transcript:KJB84048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFPTINEACRVLDEGVVARASDLDVASVLGMSFPSYCGGIMFWADTVGSKHIYLSLKKWSERYDSYFKPSRYLEERAMKGMPLVNETAVIHCGFYSENGGFKISDEDKSYMQSCKVAKLRFLLVRLVVEMIFINLKECQRHHLKRFAMFKIFCFYRMEESIMVNLLPWY >KJB84050 pep supercontig:Graimondii2_0_v6:KB204119:1756113:1758494:1 gene:B456_N005500 transcript:KJB84050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFPTINEACRVLDEGVVARASDLDVASVLGMSFPSYCGGIMFWADTVGSKHIYLSLKKWSERYDSYFKPSRYLEERAMKGMPLVNETAVIHCGFYSENGGFKISDEDKSYMQSCKVAKLRFLLVRLVVEMIFINLKECQRHHLKRLYSRSRI >KJB84084 pep supercontig:Graimondii2_0_v6:KB204119:1301828:1304414:-1 gene:B456_N004700 transcript:KJB84084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTGLLSSDPTVRARAVELKKELQRLVKTIVDDEDYTIHTVDQAKDALCALRELKFNKRSTVPTTTTTSSLKLHEALSCPEEFKCPLSKELMRDPVILASGQTYDRPFIQKWLNAGNRTCPQTEQVLSHTILTPNNLIRDMISQWSKSQGIELPNPVMDGKEEGVTEAERDRFFSLLDKLCAALPQQKEAAKELRMLTKKMPSFRALFGESVDAIPQLLTPLSGSKSESCVHPDLQEDVITTLLNLSIHDSNKKLVAETPMVIPLLMEALRSGTIETRSNAAATLFTLSALDSNKALIGKSGALKPLIDLLDEGHPLAMKDVASAIFNLCIIHENKARAVRDSAVSVILKKIMDGVLVDELLAILAMLSTHQRAIEEIGELGGVPCLLRIIRESTCERNKENCIAILHTVCLNDRTKWKALREEESTHGTISKLAQDGTSRAKRKANGILERLRRAVNITHTA >KJB84055 pep supercontig:Graimondii2_0_v6:KB204119:845690:848288:1 gene:B456_N002600 transcript:KJB84055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSSLDSNLDLQSMGENASMEEPEAEPIQNSDGKEFVSVEGTSDEEPYVGKEFESEEAAKVFYDAYATRVGFIMRVDAFRRSMRDGKVVWRRLVCNKEGFRKLRPRRSENRKPRAITREGCKAMIVVKKEKTGKWVVTRFVKEHNHQLVPIPVNGRRSMLLSQTPDEKDVKIRELTAELQRERKRSAAIQEQLDMVLREMEEHSNHLSRNIDDIVQSLREIESNPVALFKRQ >KJB84058 pep supercontig:Graimondii2_0_v6:KB204119:845653:848359:1 gene:B456_N002600 transcript:KJB84058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSSLDSNLDLQSMGENASMEEPEAEPIQNSDGKEFVSVEGTSDEEPYVGKEFESEEAAKVFYDAYATRVGFIMRVDAFRRSMRDGKVVWRRLVCNKEGFRKLRPRRSENRKPRAITREGCKAMIVVKKEKTGKWVVTRFVKEHNHQLVPIPVNGRRSMLLSQTPLELQTWKMVMDEKDVKIRELTAELQRERKRSAAIQEQLDMVLREMEEHSNHLSRNIDDIVQSLREIESNPVALFKRQ >KJB84056 pep supercontig:Graimondii2_0_v6:KB204119:845807:847016:1 gene:B456_N002600 transcript:KJB84056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSSLDSNLDLQSMGENASMEEPEAEPIQNSDGKEFVSVEGTSDEEPYVGKEFESEEAAKVFYDAYATRVGFIMRVDAFRRSMRDGKVVWRRLVCNKEGFRKLRPRRSENRKPRAITREGCKAMIVVKKEKTGKWVVTRFVKEHNHQLVPIPVNGRRSMLLSQTPAFQIGSPCVRVKLA >KJB84059 pep supercontig:Graimondii2_0_v6:KB204119:845628:848359:1 gene:B456_N002600 transcript:KJB84059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSSLDSNLDLQSMGENASMEEPEAEPIQNSDGKEFVSVEGTSDEEPYVGKEFESEEAAKVFYDAYATRVGFIMRVDAFRRSMRDGKVVWRRLVCNKEGFRKLRPRRSENRKPRAITREGCKAMIVVKKEKTGKWVVTRFVKEHNHQLVPIPVNGRRSMLLSQTPDEKDVKIRELTAELQRERKRSAAIQEQLDMVLREMEEHSNHLSRNIDDIVQSLREIESNPVALFKRLR >KJB84057 pep supercontig:Graimondii2_0_v6:KB204119:845690:846653:1 gene:B456_N002600 transcript:KJB84057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSSLDSNLDLQSMGENASMEEPEAEPIQNSDGKEFVSVEGTSDEEPYVGKEFESEEAAKVFYDAYATRVGFIMRVDAFRRSMRDGKVVWRRLVCNKEGFRKLRPRRSENRKPRAITREGCKAMIVVKKEKTGKWVVTRFVKEHNHQLVPIPVNGRRSMLLSQTPSIGGV >KJB84066 pep supercontig:Graimondii2_0_v6:KB204119:552918:554387:1 gene:B456_N001900 transcript:KJB84066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIKGDEINLDELLEAQAHVWNHTFRFISSMSLKCAVELQIPDIIHNHGQAMNLSDLALALGIHHSKLHCLHRLMRILIHSGFFAESKTNPTDQQKAYVLTPVSHLLRKDNPLSSTPFILGMLDPVLLKPWQYLSSWFQNLDHPTAFSAAHGESMWDYAGHEPRMNHFFNDAMASDGLLAASVVLSKCKGVFEGFKSVVDVAGGTGTITKVLAKAFPQTEFTVFDLPHVVHGLQRCENLKYLGGDMFEGVPHGDMIMLKWILHDWSDQDCIKILERCKEAIGKKENGGKIVIMDIIIGNQELDEHQSTETKLFFDMEMMVCLDSQERSEQQWSKLFAHAGFSHYETYHILGLRCLIELFP >KJB84096 pep supercontig:Graimondii2_0_v6:KB204119:1161982:1163315:1 gene:B456_N003900 transcript:KJB84096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGREEEEGGEEYLFKIVLIGDSAVGKSNLLSRFARNEFDNNSKATIGVEFQTQVVEIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRRSSFDSIKRWLDELYTHCDTTVARMVVGNKCDLENIRDVSVEEGKSLAEEEDLFFMETSALESTNVQTAFEVVIREIYNNVSRKALNSEAYKAELSVNRVTLVKDGANTSKEGFSCCAR >KJB84094 pep supercontig:Graimondii2_0_v6:KB204119:1197744:1199529:1 gene:B456_N004100 transcript:KJB84094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAKQFNVHSVPSLKLDCLEVVTAVGRGAKGVVFLARDKLKEEILALKVISRDSIEKSNKGVKGNNGIERNEYRRVRFEQQVLMNFNHQLLPQLRGVLTTDKVVGFAIDYCPGRDLNSLRRKQTEKMFTDDVIRFYAAELVLALEYLHNLGIVYRDLKPENIMIQENGHIMLVDFDLSTKLSPTPPNSVTQKPPDSVKRNRFFPFKRYFNSGIAPYDSSYQALVNSEHIESGFVNKSNSFVGTEEYVAPEVISGKGHDFSVDWWSLGIVLHEMLYATTPFRGSNRKETFYRILTKSPELMGEPTPLRNLIRKLLEKDPKQRITLEGIKGHDYFKGIDWELILLIQRPPHIPAQLVSEEGFSEEGREGIKRIDVELFVQGIFANGGRRNMVKQQDTPNVYKNNTWLKPQILRPREPNPFSIF >KJB84118 pep supercontig:Graimondii2_0_v6:KB204119:60090:64714:1 gene:B456_N000600 transcript:KJB84118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MAFFRNAKQPLGILLYNTRLSTCSRHGYSTWATKGTSFAQSTDTKASKTAYLYWVSRRFNSQAASSAEQMSLIKQLRERTSAPIKDVKASLVDCNWDIEAAQKELRKRGNVLAMKKSSRTATEGLLALAQLEGKAAVIELNCETDFVARNEIFEYLALALAKKALFVQNSSQQIPGVFSFGPECLEDLKLNLDHPKISGETTIQNAVTEVAAMMGENIKLRRGVVMSTSSHGVVSAYLHRCPQPGLGRIAGILSLEVENEISQLDALQKVGSELAMHIVAAKPLFLTKELVTSDVLNNEREILKSQAISTGKSPMAIEKMVDGRLRKYFEEVVFMEQKYFLNDSLSIKTILDNVSKEVGSPVKIGNFFRMEVGEGIQSQEASSSAEPVAQAV >KJB84116 pep supercontig:Graimondii2_0_v6:KB204119:60013:64770:1 gene:B456_N000600 transcript:KJB84116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MAFFRNAKQPLGILLYNTRLSTCSRHGYSTWATKGTSFAQSTDTKASKTAYLYWVSRRFNSQAASSAEQMSLIKQLRERTSAPIKDVKASLVDCNWDIEAAQKELRKRGNVLAMKKSSRTATEGLLALAQLEGKAAVIELNCETDFVARNEIFEYLALALAKKALFVQNSSQQIPGVFSFGPECLEDLKLNLDHPKISGETTIQNAVTEVAAMMGENIKLRRGVVMSTSSHGVVSAYLHRCPQPGLGRIAGILSLEVENEISQLDALQKVGSELAMHIVAAKPLFLTKELVTSDVLNNEREILKSQAISTGKSPMAIEKMVDGRLRKYFEEVVFMEQKYFLNDSLSIKTILDNVSKEVGSPVKIGNFFRMEVGEGIQSQEASSSAEPVAQAV >KJB84119 pep supercontig:Graimondii2_0_v6:KB204119:60047:64714:1 gene:B456_N000600 transcript:KJB84119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MAFFRNAKQPLGILLYNTRLSTCSRHGYSTWATKGTSFAQSTDTKASKTAYLYWVSRRFNSQAASSAEQMSLIKQLRERTSAPIKDVKASLVDCNWDIEAAQKELRKRGNVLAMKKSSRTATEGLLALAQLEGKAAVIELNCETDFVARNEIFEYLALALAKKALFVQNSSQQIPGVFSFGPECLEDLKLNLDHPKISGETTIQNAVTEVAAMMGENIKLRRGVVMSTSSHGVVSAYLHRCPQPGLGRIAGILSLEVENEISQLDALQKVGSELAMHIVAAKPLFLTKELVTSDVLNNEREILKSQAISTGKSPMAIEKMVDGRLRKYFEEVVFMEQKYFLNDSLSIKTILDNVSKEVGSPVKIGNFFRMEVGEGIQSQEASSSAEPVAQAV >KJB84117 pep supercontig:Graimondii2_0_v6:KB204119:60512:64002:1 gene:B456_N000600 transcript:KJB84117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MAFFRNAKQPLGILLYNTRLSTCSRHGYSTWATKGTSFAQSTDTKASKTAYLYWVSRRFNSQAASSAEQMSLIKQLRERTSAPIKDVKASLVDCNWDIEAAQKELRKRGNVLAMKKSSRTATEGLLALAQLEGKAAVIELNCETDFVARNEIFEYLALALAKKALFVQNSSQQIPGVFSFGPECLEDLKLNLDHPKISGETTIQNAVTEVAAMMGENIKLRRGVVMSTSSHGVVSAYLHRCPQPGLGRIAGILSLEVENEISQLDALQKVGSELAMHIVAAKPLFLTKELVTSDVLNNEREILKSQAISTGKSPMAIEKMVDGRLRKYFEEVVFMEQKYFLNDSLSIKGLKRGYQKVETFKVSLALKLCTQ >KJB84093 pep supercontig:Graimondii2_0_v6:KB204119:1202590:1203623:-1 gene:B456_N004200 transcript:KJB84093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAIEVSDEKVKAMWDKRLIEIFCDICIKEILKGNRPGTHFTRDGWLKIMTNFEKETGKGFSQRQFKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQVVPEAKNFKTSGIDPEFEGKLDQMFMGIVATGDKAWAPSSGTLPTDFLEDVNNEIPEENEEENMRNDVHILNDVHISNDVQIDGNRKKSSKQIGGVARLSNQIEKLCNAADSMSQATSSLTPVMDPFGIPQAVKMLDSMSEEVPEASPLYFFALRLLLNKDKRIMFLSINPKIRALWLKTEMEDS >KJB84029 pep supercontig:Graimondii2_0_v6:KB204119:945686:953378:-1 gene:B456_N003300 transcript:KJB84029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEP-RELATED DEVELOPMENT ARRESTED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48470) UniProtKB/Swiss-Prot;Acc:Q1H5E9] MLKSSVFFSFPSCSAPNLPSLFFPTSVPFSFSTPHFHCQIKQLKVTKQQFFCRVSSSYEVGGGYPDEELERTYKTQTQQLQGSQNLDSSQYDALLKGGDQVISVLEEIITLLEDMNMDEASEEVAVELAAQGVIGKRVDEMESGFMMALDYMIQVAEKDQDDKRKSLLEVIKETVLAHLTKKCPPHVQVIGLLCRTPLKESRHELLRRVAAGGGAFKSENGTKVHLPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARNMMGGGILDERNDRGFSTLPESEVNFLTKLVALKPGKPVQEMIKNVMLGKDEGADYSDTDEEANASRTRPRGIAGRGSVTGRKPLPVRPGMFLETVTKVHQKTLQVLQEIAF >KJB84024 pep supercontig:Graimondii2_0_v6:KB204119:945471:953545:-1 gene:B456_N003300 transcript:KJB84024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEP-RELATED DEVELOPMENT ARRESTED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48470) UniProtKB/Swiss-Prot;Acc:Q1H5E9] MLKSSVFFSFPSCSAPNLPSLFFPTSVPFSFSTPHFHCQIKQLKVTKQQFFCRVSSSYEVGGGYPDEELERTYKTQTQQLQGSQNLDSSQYDALLKGGDQVISVLEEIITLLEDMNMDEASEEVAVELAAQGVIGKRVDEMESGFMMALDYMIQVAEKDQDDKRKSLLEVIKETVLAHLTKKCPPHVQVIGLLCRTPLKESRHELLRRVAAGGGAFKSENGTKVHLPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARNMMGGGILDERNDRGFSTLPESEVNFLTKLVALKPGKPVQEMIKNVMLGKDEGADYSDTDEEANASRTRPRGIAGRGSVTGRKPLPVRPGMFLETVTKVLGGIYNGNVSGITAQHLEWVHQKTLQVLQEIAF >KJB84027 pep supercontig:Graimondii2_0_v6:KB204119:946808:953545:-1 gene:B456_N003300 transcript:KJB84027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEP-RELATED DEVELOPMENT ARRESTED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48470) UniProtKB/Swiss-Prot;Acc:Q1H5E9] MLKSSVFFSFPSCSAPNLPSLFFPTSVPFSFSTPHFHCQIKQLKVTKQQFFCRVSSSYEVGGGYPDEELERTYKTQTQQLQGSQNLDSSQYDALLKGGDQVISVLEEIITLLEDMNMDEASEEVAVELAAQGVIGKRVDEMESGFMMALDYMIQVAEKDQDDKRKSLLEVIKETVLAHLTKKCPPHVQVIGLLCRTPLKESRHELLRRVAAGGGAFKSENGTKVHLPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARNMMGGGILDERNDRGFSTLPESELVALKPGKPVQEMIKNVMLGKDEGADYSDTDEEANASRTRPRGIAGRGSVTGRKPLPVRPGMFLETVTKVLGGIYNGNVSGITAQHLEWVHQKTLQVLQEIAF >KJB84028 pep supercontig:Graimondii2_0_v6:KB204119:947140:953344:-1 gene:B456_N003300 transcript:KJB84028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEP-RELATED DEVELOPMENT ARRESTED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48470) UniProtKB/Swiss-Prot;Acc:Q1H5E9] MLKSSVFFSFPSCSAPNLPSLFFPTSVPFSFSTPHFHCQIKQLKVTKQQFFCRVSSSYEVGGGYPDEELERTYKTQTQQLQGSQNLDSSQYDALLKGGDQVISVLEEIITLLEDMNMDEASEEVAVELAAQGVIGKRVDEMESGFMMALDYMIQVAEKDQDDKRKSLLEVIKETVLAHLTKKCPPHVQVIGLLCRTPLKESRHELLRRVAAGGGAFKSENGTKVHLPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARNMMGGGILDERNDRGFSTLPESEVNFLTKLVALKPGKPVQEMIKNVMLGKDEGADYSDTDEEANASRTRPRGIAGRGSVTGRKPLPVRPGMFLETVTKVLGGIYNGNVSGITAQHLEWVHQKTLQVLQEIAF >KJB84025 pep supercontig:Graimondii2_0_v6:KB204119:946808:953545:-1 gene:B456_N003300 transcript:KJB84025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEP-RELATED DEVELOPMENT ARRESTED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48470) UniProtKB/Swiss-Prot;Acc:Q1H5E9] MLKSSVFFSFPSCSAPNLPSLFFPTSVPFSFSTPHFHCQIKQLKVTKQQFFCRVSSSYEVGGGYPDEELERTYKTQTQQLQGSQNLDSSQYDALLKGGDQVISVLEEIITLLEDMNMDEASEEVAVELAAQGVIGKRVDEMESGFMMALDYMIQVAEKDQDDKRKSLLEVIKETVLAHLTKKCPPHVQVIGLLCRTPLKESRHELLRRVAAGGGAFKSENGTKVHLPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARNMMGGGILDERNDRGFSTLPESEVNFLTKLVALKPGKPVQEMIKNVMLGKDEGADYSDTDEEANASRTRPRGIAGRGSVTGRKPLPVRPGMFLETVTKVHQKTLQVLQEIAF >KJB84026 pep supercontig:Graimondii2_0_v6:KB204119:946808:951503:-1 gene:B456_N003300 transcript:KJB84026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEP-RELATED DEVELOPMENT ARRESTED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48470) UniProtKB/Swiss-Prot;Acc:Q1H5E9] MISMLSRFLLLFLAPKVQVIGLLCRTPLKESRHELLRRVAAGGGAFKSENGTKVHLPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARNMMGGGILDERNDRGFSTLPESEVNFLTKLVALKPGKPVQEMIKNVMLGKDEGADYSDTDEEANASRTRPRGIAGRGSVTGRKPLPVRPGMFLETVTKVLGGIYNGNVSGITAQHLEWVHQKTLQVLQEIAF >KJB84040 pep supercontig:Graimondii2_0_v6:KB204119:1972539:1972622:-1 gene:B456_N0057001 transcript:KJB84040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNMKTWLICLALIGIVVMEE >KJB84008 pep supercontig:Graimondii2_0_v6:KB204119:907187:908043:-1 gene:B456_N0029001 transcript:KJB84008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVDDYSGDESDDEREKKEILQRMECFNRLFVVASSSVQLYYEKYILRQPCMDSKQSVSQCRERFQRSGSTISRHFAVVLEKVSRMATDLIALEDPFFSSIPEQIRNDSRYMPHFK >KJB84112 pep supercontig:Graimondii2_0_v6:KB204119:1689123:1691274:-1 gene:B456_N005300 transcript:KJB84112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPLQPYFVGPTYSVVWLATSKLYALSFFFVFSLIFLFIFDFFVSAPGQLIWEVVKKNNCFLVRQFGRGTTSLQFSKEPNNLYNLHSYKHSGLANKKTVTIQSGGKDQSVLLATTKPKKQNKPSALLHKSLMKKEFARMAKAVKNQVTDNYYRPDLTKIALARLSAVHRSLKVAKSSVKKRNRQALKVRGRK >KJB84121 pep supercontig:Graimondii2_0_v6:KB204119:23582:26688:1 gene:B456_N000500 transcript:KJB84121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAALGAFRSPATSSSNASFVSRSRLSTAPRTLSFSASSLSGDHVVSRTITGSRQKERTPLIVSPKAVSDSKNSQTCLEPDVSRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGGNYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHISRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMNYESFIQAHRETAADITVAALPMDEKRAASFGLMKIDDEGRIIEFAEKPKGDQLKALQVDTTILGLDDERAKEMPYIASMGIYVVSKNAMLDLLSKKFPGANDFGSEVIPGATSIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFRYFYDRSSPIYTQPRYLPPSKMLNADVTDSVVGEGCVIKNCRIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADRKFLAAKGSVPIGIGKNSHIKRAIIDKNARIGDDVKIINNDNVQEAAKETDGYFIKSGIVTIVKDALIPSGTVI >KJB84120 pep supercontig:Graimondii2_0_v6:KB204119:23509:26768:1 gene:B456_N000500 transcript:KJB84120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAALGAFRSPATSSSNASFVSRSRLSTAPRTLSFSASSLSGDHVVSRTITGSRQKERTPLIVSPKAVSDSKNSQTCLEPDVSRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGGNYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHISRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMNYESFIQAHRETAADITVAALPMDEKRAASFGLMKIDDEGRIIEFAEKPKGDQLKALQVDTTILGLDDERAKEMPYIASMGIYVVSKNAMLDLLSKKFPGANDFGSEVIPGATSIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLNADVTDSVVGEGCVIKNCRIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADRKFLAAKGSVPIGIGKNSHIKRAIIDKNARIGDDVKIINNDNVQEAAKETDGYFIKSGIVTIVKDALIPSGTVI >KJB84092 pep supercontig:Graimondii2_0_v6:KB204119:1204047:1204862:1 gene:B456_N004300 transcript:KJB84092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWTDDFKWFVWFLIRSAIYGYILYHAFCFLRRKVPGVLGYGPIRKYPNMRKLRRVFAERLF >KJB84109 pep supercontig:Graimondii2_0_v6:KB204119:93593:96061:-1 gene:B456_N000700 transcript:KJB84109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVGTRAVGLSSFPSSSSYLSWNSRATYSSFSCTLDLVYMRNMITSFILKLTVFLIRIRLQMDVAASMSHLSYCNFNCMALVQMMSKMIPSRGCVTCSAVQESSSPTKLLLSISKAHLLKLRPPLRIRRKASASNQQQSHCRLPELMWEDVIPPLKTILESQHHLSQIQLSFQDNKLEGSFLKNGWPYSFWAFFPNGELTGPKGFSLCSYGRGASTVEPFLVDEKKITAKHVVFWVEKRLAAQGIIPVWKE >KJB84069 pep supercontig:Graimondii2_0_v6:KB204119:393910:398950:1 gene:B456_N001700 transcript:KJB84069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGNKFGGGPRELSGVVDLISRYKLLPHHDFFCKRPLPLSIADTHYLHNVAGDTEIRKGEGMQLDQLNQNTSHNRDTNARIQPFDFDILKEAFQLRETTPVELPPTEKGMPTIAGKSKGEVKDKERKHKKHKDRDKEKDKEHKKHKHCHKDKDRSKDKDKEKKKDRSGHHGSGADHLKKHHEKKRKHDGDEVRNDINRHKKSKSKLVN >KJB84071 pep supercontig:Graimondii2_0_v6:KB204119:397324:398964:1 gene:B456_N001700 transcript:KJB84071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDQLNQNTSHNRDTNARIQPFDFDILKEAFQLRETTPVELPPTEKGMPTIAGKSKGEVKDKERKHKKHKDRDKEKDKEHKKHKHCHKDKDRSKDKDKEKKKDRSGHHGSGADHLKKHHEKKRKHDGDEVRNDINRHKKSKSKLVN >KJB84070 pep supercontig:Graimondii2_0_v6:KB204119:393578:398996:1 gene:B456_N001700 transcript:KJB84070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGNKFGGGPRELSGVVDLISRYKLLPHHDFFCKRPLPLSIADTHYLHNVAGDTEIRKGEGMQLDQLNQNTSHNRDTNARIQPFDFDILKEAFQLRETTPVELPPTEKGMPTIAGKSKGEVKDKERKHKKHKDRDKEKDKEHKKHKHCHKDKDRSKDKDKEKKKDRSGHHGSGADHLKKHHEKKRKHDGDEVRNDINRHKKSKSKLVN >KJB84067 pep supercontig:Graimondii2_0_v6:KB204119:393692:398950:1 gene:B456_N001700 transcript:KJB84067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGNKFGGGPRELSGVVDLISRYKLLPHHDFFCKRPLPLSIADTHYLHNVAGDTEIRKGEGMQLDQLNQNTSHNRDTNARIQPFDFDILKEAFQLRETTPVELPPTEKGMPTIAGKSKGEVKDKERKHKKHKDRDKEKDKEHKKHKHCHKDKDRSKDKDKEKKKDRSGHHGSGADHLKKHHEKKRKHDGDEVRNDINRHKKSKSKLVN >KJB84068 pep supercontig:Graimondii2_0_v6:KB204119:393692:398950:1 gene:B456_N001700 transcript:KJB84068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGNKFGGDTHYLHNVAGDTEIRKGEGMQLDQLNQNTSHNRDTNARIQPFDFDILKEAFQLRETTPVELPPTEKGMPTIAGKSKGEVKDKERKHKKHKDRDKEKDKEHKKHKHCHKDKDRSKDKDKEKKKDRSGHHGSGADHLKKHHEKKRKHDGDEVRNDINRHKKSKSKLVN >KJB84082 pep supercontig:Graimondii2_0_v6:KB204119:1510318:1511650:1 gene:B456_N004900 transcript:KJB84082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNDSLRVASLWHSMHAISQQLSPTTGCSEIELLEANTFDLHCFQSLTGTKFFVVCKPGTQHMEALLKVVYELYTDYVLKNPFYEMKMPI >KJB84011 pep supercontig:Graimondii2_0_v6:KB204119:179375:180829:-1 gene:B456_N001100 transcript:KJB84011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALPPRKLKAASKLIFMNGGFGGCHLFDSIHRIESTCKQPTIFIGVMFKKPILQGMGIGFNSTCTSRTETIISHSSIV >KJB84012 pep supercontig:Graimondii2_0_v6:KB204119:179725:180248:-1 gene:B456_N001100 transcript:KJB84012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALPPRKLKAASKLIFMNGGFGGCHLFDSIHRIESTCKQPTIFIGVMFKKPRQSYRAWVLVSIPPAHRGQRL >KJB84042 pep supercontig:Graimondii2_0_v6:KB204119:458782:460378:1 gene:B456_N001800 transcript:KJB84042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYQSPMSQNSNLASQTSYAEIIKDFPAIVKSVLQNIINNDDFYYYFHIEISSLIGIAEQEKFYQPYQIWIIKKMIGTPQLSSVNEDKEHLSKTMMNVIINLIIIKSKQELTYYHKPIIFSMMMFINYGQMEEGYWYFLMETSRRKEMKNL >KJB84017 pep supercontig:Graimondii2_0_v6:KB204119:19256:19495:1 gene:B456_N000400 transcript:KJB84017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HYINQGTITPIESSIEFTSKFPDQILDKVQLQRFLRSFNYVINFYPSLSKLCKPLYDRLKKNPQPWTNDHTNIIAHIKK >KJB84060 pep supercontig:Graimondii2_0_v6:KB204119:836356:838967:-1 gene:B456_N002500 transcript:KJB84060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENASSQGIDSDESVRCLQVENFDEQELVDHGISDNIDLCLGEVDKIIEESSEGLPFLRNAAEPYIGMEFKSRDAAREFYIAYGRHIGFTVRIHHNRRSRINNSVIGQDFVCSKEGFREKKYMYRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFVKEHNHSLMSPGKVPWRGSTKNLITEDEKDQRIRELTQELNNEKQKCKRRCAAYQEQLRTILKFVEEHTDQLSKKVQDMVKNISELEEERLEDSDCRYV >KJB84062 pep supercontig:Graimondii2_0_v6:KB204119:836955:837569:-1 gene:B456_N002500 transcript:KJB84062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENASSQGIDSDESVRCLQVENFDEQELVDHGISDNIDLCLGEVDKIIEESSEGLPFLRNAAEPYIGMEFKSRDAAREFYIAYGRHIGFTVRIHHNRRSRINNSVIGQDFVCSKEGFREKKYMYRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFVKEHNHSLMSPGKVPWRGSTKNLITEVHFLSLLIVNIKVNLRLAY >KJB84061 pep supercontig:Graimondii2_0_v6:KB204119:836386:838698:-1 gene:B456_N002500 transcript:KJB84061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENASSQGIDSDESVRCLQVENFDEQELVDHGISDNIDLCLGEVDKIIEESSEGLPFLRNAAEPYIGMEFKSRDAAREFYIAYGRHIGFTVRIHHNRRSRINNSVIGQDFVCSKEGFREKKYMYRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFVKEHNHSLMSPGKVPWRGSTKNLITEVHFLSLLIVNIKVNLRLAY >KJB84020 pep supercontig:Graimondii2_0_v6:KB204119:322888:325381:1 gene:B456_N001500 transcript:KJB84020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWGALELRDKSQSNPSTGESPPKPWEQVGGSSDSRTFKSPSIGSTNDVVEASGTARPGEIVSTTDRTTAVDRNVLGRPLPNRPWEQQTYGSTCGGRGYSSSLNYNSGYGSGMYGLSCDGLGSYGGGLYGNGMYRGGYGGLYENSGMYSGGMYGGGLGGFGQDSNNPFGAPPSPLGFWISFLRVLFDRTGVLYGEIARFVLRLLAIKTKPRKINQPGPGRVLGPHNHHGNQNYIDASKAAPSVAWDNIWGENISA >KJB84091 pep supercontig:Graimondii2_0_v6:KB204119:1206684:1207404:1 gene:B456_N004400 transcript:KJB84091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDRERHRARETANIICFIMHKSSGNKTHLMKKKINSPAEEQSTSRGTKNQHQQRNKEPAPAEDQRSSRGTKNQREKR >KJB84115 pep supercontig:Graimondii2_0_v6:KB204119:99245:103613:-1 gene:B456_N000800 transcript:KJB84115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFPGLLAKDFGIKPQGKSAPMAPPRNPSSGTNYGFGSDFIRSSYGNDRSSSNSIFDDQDRDGHMFNDVYGGPPKYSSESRATAEQTSSNDYDSFFKDSKPPVFDKPVYDDDLFDGLPGVKSSSSASAAKFDNVFGISQSTSRSRDKSPGSSPFDDLLGNLGTKESETKTKSEKMKAEKDAPLFDDLLPGFGRSSSPASARSTSDAAPQKPATNSRKIGSNVMENPFHAFESTSDTADSSSGLFVDPLEEITKLNGSGKSGVSSVNEGLFDDIDPLEGLGKSVPLSTEINKRGMDRSPLRTASGSQTPASKEPNDEDFEHYTKKRMPSTENFPESHQPVFDMPSMSTDFHSSVHHTASPPPYSNFGSNDTSSQVNATPRSEENFDTSEDVWLTVSEVPLFTQPTSAPPPSRPPPPRPPRVSKSATGSFSSSNAKKKINDNSSFPKSAPYKDTPHSTHAAAGSSAASQIDELEDFAMGRAWNNVDHAEDFSGDDFGTNSAAAASAAAMKEAMDRAEAKFRHAKEMRERENLKAARNKEAGVMDKDERAMQDALGREAREKHERLERERQQREREEEERQQRRYELEREREEKEREQRRLEKEREQERARQAVQRATREARERAAAEARVRAERAAVEKAAAEARERAERAAVQRAQAEARQRAAAEARERAERAAVEAREREAKEKEAQEKAERAEAKLRAERAAAEKAAAEARERAAASARASQQNNDNDLESFFSMGSRPSSAPRPRTNSSDPLFDGQNKGGPEVARGTSAGSSSSMRKASSSANIVDDLSSIFGAAASSFGEFQEVDGETEERRRARLERQQRTQERATKALAEKNQRDLQVQREQAERHVSRCSEHSFSFYFCSFYSFSSSFYFLSGLFVGVYLSMRSNLKFSPDPCLHIIYIAQWHI >KJB84114 pep supercontig:Graimondii2_0_v6:KB204119:96710:103841:-1 gene:B456_N000800 transcript:KJB84114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFPGLLAKDFGIKPQGKSAPMAPPRNPSSGTNYGFGSDFIRSSYGNDRSSSNSIFDDQDRDGHMFNDVYGGPPKYSSESRATAEQTSSNDYDSFFKDSKPPVFDKPVYDDDLFDGLPGVKSSSSASAAKFDNVFGISQSTSRSRDKSPGSSPFDDLLGNLGTKESETKTKSEKMKAEKDAPLFDDLLPGFGRSSSPASARSTSDAAPQKPATNSRKIGSNVMENPFHAFESTSDTADSSSGLFVDPLEEITKLNGSGKSGVSSVNEGLFDDIDPLEGLGKSVPLSTEINKRGMDRSPLRTASGSQTPASKEPNDEDFEHYTKKRMPSTENFPESHQPVFDMPSMSTDFHSSVHHTASPPPYSNFGSNDTSSQVNATPRSEENFDTSEDVWLTVSEVPLFTQPTSAPPPSRPPPPRPPRVSKSATGSFSSSNAKKKINDNSSFPKSAPYKDTPHSTHAAAGSSAASQIDELEDFAMGRAWNNVDHAEDFSGDDFGTNSAAAASAAAMKEAMDRAEAKFRHAKEMRERENLKAARNKEAGVMDKDERAMQDALGREAREKHERLERERQQREREEEERQQRRYELEREREEKEREQRRLEKEREQERARQAVQRATREARERAAAEARVRAERAAVEKAAAEARERAERAAVQRAQAEARQRAAAEARERAERAAVEAREREAKEKEAQEKAERAEAKLRAERAAAEKAAAEARERAAASARASQQNNDNDLESFFSMGSRPSSAPRPRTNSSDPLFDGQNKGGPEVARGTSAGSSSSMRKASSSANIVDDLSSIFGAAASSFGEFQEVDGETEERRRARLERQQRTQERATKALAEKNQRDLQVQREQAERHRISETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITGAAVKKAYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >KJB84063 pep supercontig:Graimondii2_0_v6:KB204119:754801:756642:1 gene:B456_N002200 transcript:KJB84063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESEQDPRRLTSTSSSSSSTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPGQHSLENRAPCDAGIDFAKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDEAIRAHINLKVLRLGMMGSAVGSVMGCLFLMLSMVNVIEIRLGMLSCGSKSAVHSVTALVLLVSSALLVYISTAVYAFLH >KJB84064 pep supercontig:Graimondii2_0_v6:KB204119:754963:756553:1 gene:B456_N002200 transcript:KJB84064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESEQDPRRLTSTSSSSSSTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPGQHSLENRAPCDAGIDFAKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDEAIRAHINLKVLRLGMMGSAVGSVMGCLFLMLSMVNVIEIRLGMLSCGSVL >KJB84006 pep supercontig:Graimondii2_0_v6:KB204119:909384:910134:1 gene:B456_N0031002 transcript:KJB84006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWTDDFKWFVWFLIRSAIYGYILYHAFCFLRRKVPGVLGYGPIRKYPNMRKLRRVKGYFNYRWRRIKRKKKAGIDPIRTAFDGMK >KJB84007 pep supercontig:Graimondii2_0_v6:KB204119:909384:910184:1 gene:B456_N0031002 transcript:KJB84007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWTDDFKWFVWFLIRSAIYGYILYHAFCFLRRKVPGVLGYGPIRKYPNMRKLRRVKGYFNYRWRRIKRKKKAGIDPIRTAFDGMKVQF >KJB84083 pep supercontig:Graimondii2_0_v6:KB204119:1459253:1461568:-1 gene:B456_N004800 transcript:KJB84083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMGLLSLFFHFLFLSISSGEISSQVGINYGQLGDNLPSPEKSVKLIQSIGANRVKIYDANPDILNALRGTDLQVSIMVPNQHLTNISTNQKLADSWIQSNVLPFYPKVKIRYLLVGNEVISSSPKEIWYSIVPAMRKIKNALNTHRLNKIKVGTSMAMDVLESSFPPSNGTFRSDIADPIVKPMLQFLSRTKSFYFLDVYPYFPWSTDSNNINLDYALFVSRTIKYTDPVSNLTYSNLFDQMVDSVIFAMEKLGYPDVRIWIAETGWPNAGDIDQIGANIYNAATYNRNVIKKLTAKPPVGTPARPGRVLPSFIFALYNENQKPGPGTERHFGLLYPNGSNVYGIDLSGKTPDSAYEPLPKPTNDEPYKGKVWCVAARRVNASELGSALSYACSQGNKTCDPIQSGKECFKPDSLVWHASYAFSSYWSQFKKTGATCYFNGLATPTAKDPSFGRCKFPSVTL >KJB84051 pep supercontig:Graimondii2_0_v6:KB204119:1714537:1716228:1 gene:B456_N005400 transcript:KJB84051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSDKRVKRVTDPLDDRVKARLVGVSYDSSGSEHSAAVVVEDDDSPCLSELVHSFLEDDHDAAEQTSYNSDSDRVDSNLDFTDSLEIIIKSTSLNNTDSYRNLLMAHVLRAMEMLSFFKTDKAIFRRKVMAYLREVGHNAAICKTKWSSSGGLTAGNYEFIDVVQSAARTGQNRYLIDLDFASEFEIARPTIEYSRLLQHLPRVFVGRNEELKMIVKVMSDSVKRSLKSKELTLPPWRKNRYMQNKWFGSYRRTTNQIPASSSSLTPSAVHPVNIVQCRHVGFDVTVNGRLFVRTR >KJB84023 pep supercontig:Graimondii2_0_v6:KB204119:924528:930607:-1 gene:B456_N003200 transcript:KJB84023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYVGILVSDPCLQNQFTQVELRSLKSHFTSMRRESGKLTLGDLASRMSRLKAVGENLSDQETTDFIAALYPNFNDEVDFESFLKVYLKLHAHASSRTGNPAKNSSAFLKAATTTLLHTISESEKASYVAHINNYLAQDGFLNKNLPIDPSSNDLFEIVKDGVLLCKLINVAVPGTIDERAINTKRLLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSKDVEELMSLPPEKILLRWMNFQLKKSSFKKIVTNFSTDVKDAEAYAHLLNVLAPEHSNPSTLAVKDPLQRAMLVLDHADRMGCKRYLTAKDIVNGSPNLNLAFVAHIFQHRNGLSIQTKQISFLETLPDDAQVSREERVFRFWINSLGNSTYIDNVFEDLRNGWILLETLDKVSPGIVNWKVANKPPIKLPFKKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRFNILQLLKNLRSHSHGKEITDVDILRWANTKVSNSGSQSRMDSFKDKSLSDGIFFLELLSAVQPRSVNWSLVTKGVTDEQKKMNATYIISIARKLGCSIFLLPEDIIEVNQKMMLTLTASIMYWFLKQPVEEKPAATSDSENGSQLETLSNSTTDDSASESSVE >KJB84097 pep supercontig:Graimondii2_0_v6:KB204119:1107632:1108655:-1 gene:B456_N003800 transcript:KJB84097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIKISHLLLILFTFFLAAYVIQGSRTQVLLPCQHQASHSPPLNLQLRASNEAEKKKNSRRLMIGSTAPTCTYNECRGCKYKCRAEQVPVEGNDPINSAYHYKCVCHNP >KJB84014 pep supercontig:Graimondii2_0_v6:KB204119:6493:8725:1 gene:B456_N000100 transcript:KJB84014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLTSWLEEARQHANANMTVMLIGNKCDLSHRRAVSTEEGEQFAREHGLIFMEASAKTAQNVEEAFLSTASKIYKKIQDGVIDISNESYGIKIGHLSQASSFGGRDGSASQPGGCCS >KJB84013 pep supercontig:Graimondii2_0_v6:KB204119:5263:8725:1 gene:B456_N000100 transcript:KJB84013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIENKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLTSWLEEARQHANANMTVMLIGNKCDLSHRRAVSTEEGEQFAREHGLIFMEASAKTAQNVEEAFLSTASKIYKKIQDGVIDISNESYGIKIGHLSQASSFGGRDGSASQPGGCCS >KJB84079 pep supercontig:Graimondii2_0_v6:KB204119:122058:124876:1 gene:B456_N000900 transcript:KJB84079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGKKAIREEENSSSKPGNAFHQSALPTQPMEKPFEYRKRPLSHQELENIARTDPKRAKRIIINRKSALRAKEKKKLYTCELEQRFQKLKSQAAQASLQVNLLQMEQKSLINENSMLKDHTKLTKQMIELQESKKDVIRKEIKFYQHFVPTQMCGTVGGNMVNNLIPVWVNNSSMAATQGLTLLHPVGQLSNQTRLPRPQALGQ >KJB84018 pep supercontig:Graimondii2_0_v6:KB204119:210153:211264:1 gene:B456_N001200 transcript:KJB84018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRFNFLLHAFLSLALATTSFSLSPKFYDNVCPQALPAIKKVVEAAVHREPRMGASLLRLHFHDCFVNGCDGSLLLDSSSAFETEKNARGNFNSVRGFEVVDQIKAEVDRVCGRPVVSCADILAVVARDSVVVLGGPTWKVRLGRRDSTTASRALADSVLPSASMDLPALISNFKNQGLNKRDLVALSGGHTIGLSQCVIFRNMIYNATNIDPVFAKERRATCSRTGGNTNLALFDPTPARFDTVYFKNLVKERGLLTSDQALFNDGSTDKLVETYNKNPNAFWVDFGKSMIKMGNIKPLTRNQVQIRNVN >KJB84043 pep supercontig:Graimondii2_0_v6:KB204119:1941848:1942213:-1 gene:B456_N005600 transcript:KJB84043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNMKTWLICLALIGIVVMEEVIQIDAAMTIHHPEVSGLVSYRRLLQEAVNPWNRGCSRLTRCRS >KJB84065 pep supercontig:Graimondii2_0_v6:KB204119:661839:663054:1 gene:B456_N002100 transcript:KJB84065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTASPMATQLKSSFTSSVTRGLVVPRGISGSPFKVSSGKTRTPCFTVKAVQSDKXXQVIQPINGDPFIGSLETPVTSSPLIAWYLSNLPAYRTAVNPLLRGIEVGLAHGFLLVGPFVKAGPLRNTAVAGQAGSLAAAGLVVILSICLTMYGVASFKEGEPSIAPSLTLTGRKKEPDQLQTADGWAKFTGGFFFGGISGVTWAYFLLYVLDLPYYFK >KJB84016 pep supercontig:Graimondii2_0_v6:KB204119:15287:16057:1 gene:B456_N000300 transcript:KJB84016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNVYKTQNQNGLISDHAIANLLVVGFTDQLKGWWDHALTKTQQEEILKAIKKMINEELFWMNKEKKSKMHDFKWYKYVFITRVMQRSGNQQPFWKEKFLAGLPTLLGEKVKNQIRKNCRGIIPYEKLTYGELISFTQKEGLKICQDLKLQKQLKKERYQCRKELGSFCHRFDIRNEPSSSKTCCPVKPKNRRKNISEYYKKPKYRKYRKETTKKGKQNR >KJB84030 pep supercontig:Graimondii2_0_v6:KB204119:1301122:1301741:1 gene:B456_N004600 transcript:KJB84030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRGTKISGCKTNTLLNECKCKDVRECLWVSGCELTVPHIEIWVQSCGRHSSKLLQRRLFGKGGTGEGAGSKLEGPQPQKSPNMNTF >KJB84110 pep supercontig:Graimondii2_0_v6:KB204119:1055117:1057089:1 gene:B456_N003500 transcript:KJB84110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYYKRSHVPAFGSWDWNNDLPFTQCFETARPTGMLRYSYSEDRDLYVAGDLYENDVVTPAMIVVPRRRNKVGQTHSKEGKNQRREVSDGKEAPEPRPRPRRKPVDEDLYKISPDLLYAKPKKKRGLSFFSSCLLPTCVS >KJB84077 pep supercontig:Graimondii2_0_v6:KB204119:220845:221952:-1 gene:B456_N001300 transcript:KJB84077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPTNFLSRAFLWLALVVTVFSLSPKFYDKVCPQALPTIKRVVEVAGCDGSLLLDSTSFETEKIARGNFISIRGFEVVDQIKAGMDRFYGCLIVSCADILVVAARDSVVALGGPIWKVRLGRRDSTRDWKDLANSTLPSASIDLPALISNFKNQGLNKRDLVALSGGHTIGLSQCRRATCPCTGGNTNLTPFDLTLARFDTAYFKNLVKEKGLLTSDQALFNGGSTDKLVETYSKNTDAFWVDFGKSLIRMGNIMPLTGKQGKIRVNYRKMNE >KJB84111 pep supercontig:Graimondii2_0_v6:KB204119:998988:1000052:-1 gene:B456_N003400 transcript:KJB84111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKFVVLMVMVALMVEGSRAMTLCDMNDEGLEACKPSVRQPNPVDPSPECCKALKGADLPCLCSYKNSVWLGSFGIDPKLALDLPPKCKLQMPSVC >KJB84113 pep supercontig:Graimondii2_0_v6:KB204119:1666754:1670560:1 gene:B456_N005200 transcript:KJB84113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGVLTPGRVRLLLHRGLWTLKYFSEHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTELLRSMLYRGADIIRDIEWVKGLLFVALLYIVVSLLFLLNALVSSFV >KJB84052 pep supercontig:Graimondii2_0_v6:KB204119:908443:909123:-1 gene:B456_N003000 transcript:KJB84052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAIEVSDEKVKAMWDKRLIEIFCDICIKEILKGNRPGTHFTRDGWLKIMTNFEKETGKGFSQRQFKNRWDALKKEWKAWKKLKGEDTGLGWNPIKRTVDASDEWWESRLQVVPEAKNFKTSGIDPEFEGKLDQMFMGIVATGDKAWAPSSGTLPTDFLEDVNN >KJB84010 pep supercontig:Graimondii2_0_v6:KB204119:912080:912850:1 gene:B456_N0031001 transcript:KJB84010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELKDCIKGLLYLVGVVEHRIQVFRTDGYG >KJB84009 pep supercontig:Graimondii2_0_v6:KB204119:906253:906522:-1 gene:B456_N0029002 transcript:KJB84009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTMIVVATMTIHNFIRKHVGRNDADFMEYEDINSAYENNIDSENANGRESDDDDDDDDDSDDDGESNNFSGFEMELTRDAIASSLMNSL >KJB84019 pep supercontig:Graimondii2_0_v6:KB204119:294268:295406:1 gene:B456_N001400 transcript:KJB84019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRFNFLLHAFLCLALATTSFSFSPKFYDNVCPQALPAIKKIVEAAVHREPQMGASLLRLHFHDCFVNGCDGSLLLDSTSILETEKNARGNFNSVRGFEVVDQIKAEVDRICGRPVVSCADILAVAARDSVVVLGGPTWKVRLGRRDSTTASTALADNVLPSASMDLPALINNFKNQGLNKRDLVALSGGHTIGLSQCIIFRNRIYNATNIDPAFAKERRTTCPRTGGNTNLAPFDPTPARFDTTYFKNLVKERGLLTSDQALFSGGSTDKLVESYSKNTDAFWVDFGKSMIKMGNIKPFTGNQGQICVNCRKVN >KJB84078 pep supercontig:Graimondii2_0_v6:KB204119:138427:144814:-1 gene:B456_N001000 transcript:KJB84078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASANPTGNNQEASSSQKVTAPPPPANGVSVNSNSSGGNTSAAVSADTKSALRHNPGISVDWTSDEQSMLEDLLAKYASDSTIVRYAKIAMQLKDKTVRDVALRCRWMTKKENGKRRKDDHNTARKNKDRREKGTDSSAKSTSHLPTRPNGPSYAPPVIPMDNDDGIPYKAIGGVTGDLLEQTAQMFNQISANFSSFQIHDNINLLCKARDNILTILNDLNDQPEVMKQMPPLPVKVNEELANNILPQSSNAMKS >KJB84041 pep supercontig:Graimondii2_0_v6:KB204119:1966337:1966548:-1 gene:B456_N0057002 transcript:KJB84041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIQIDAAMTIHHPEVSGFVSYCRLLQEDVNPWNRGCSRLTRCRS >KJB84095 pep supercontig:Graimondii2_0_v6:KB204119:1167272:1168134:-1 gene:B456_N004000 transcript:KJB84095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLFCPSWILLFSFCVILISPTQSLVCSSLKIRNSNKEYANCIQLPTFNSTLHFTYNVINSSLSIAFSATPSDTNGWIAWAINPMGIGMVGSQALVAFKDKGFVVAKTYNISSYSSIVEGKLSFEIWDLEARVANDGKMVIYCSLKIPAGAKKLNQVWQVGAAVSNGQLMKHELGKANLGSMGELNLVETVSSISTPPELEPFPKLQLSRKYSGHGSRVNAGIWIFGLISLLIFM >KJB84138 pep supercontig:Graimondii2_0_v6:KB204120:73733:80715:-1 gene:B456_N006900 transcript:KJB84138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLFQLKQLPVVLMANLVLMHTSLLFLSTLFHCVHLSDQFQPFQYNALKQIQQFLNYPSVLTVFDNTWDFCNVEPSPFLTIVCYEDNVTQLHITGNNGVFPPSLPRNFSIDALFSSISSLSNLKVLSLVSLGLWGPLPATIGKLSSMEILNLSSNYINGFIPLELSYLNNLQTLVLDHNKFTGQIPHWVSSFNDLAVLSLKNNSLFGSLPSSISSSENLRVLAMADNHLFGEIPSLQNLTNLQILDLKNNYFGPSFPVLHHKVVTVDIRNNSFKSSVPNELNSYFQLEKLDISINELIGPFPPSLFSLPSINYIDISGNKLTGKLFQNMSCNAHLVLVNLSSNLLTGELPACLRENVEEGAVSYDGNCLSGEEGNQKPLSFCHNEALAVEVSPQKLRHKERKAMAVLVSSLVGGIVGLAVIVGLSLWVFQRRNRRAAMKGPSTRLITEKLSTVNTVKLLSDARYISETMKMGANLPMYRAFALEELKEATNNFSHPSIVGEPSLIQIYRGKLGDGTAIVIRSIKMRKKHSPTMFTQHIEMISRLRHNHLVSCIGHCFECCPDDSTVGIVYFVFESLPNGTLRSSICGGGVRLNWAQRITAGIGVAKGIQFLHTGIMPGVFSNHLKITDVLLDPNFHVKICTYNLPLLAHQNAGLMGGAAVSSNGLKSNIGGREKEEEKDDIYDIGVILMEILVGRPIMSQNDVMVVKDIIQVSNKMDDTARRSIVDPTIIKECSTESLKTVMDICLRCLSDDPTARPSIEDVLWTLQFAAQLQDPWRNDSHHIHRLSDSNPNNLQEQISRG >KJB84137 pep supercontig:Graimondii2_0_v6:KB204120:75701:80682:-1 gene:B456_N006900 transcript:KJB84137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLFQLKQLPVVLMANLVLMHTSLLFLSTLFHCVHLSDQFQPFQYNALKQIQQFLNYPSVLTVFDNTWDFCNVEPSPFLTIVCYEDNVTQLHITGNNGVFPPSLPRNFSIDALFSSISSLSNLKVLSLVSLGLWGPLPATIGKLSSMEILNLSSNYINGFIPLELSYLNNLQTLVLDHNKFTGQIPHWVSSFNDLAVLSLKNNSLFGSLPSSISSSENLRVLAMADNHLFGEIPSLQNLTNLQILDLKNNYFGPSFPVLHHKVVTVDIRNNSFKSSVPNELNSYFQLEKLDISINELIGPFPPSLFSLPSINYIDISGNKLTGKLFQNMSCNAHLVLVNLSSNLLTGELPACLRENVEEGAVSYDGNCLSGEEGNQKPLSFCHNEALAVEVSPQKLRHKERKAMAVLVSSLVGGIVGLAVIVGLSLWVFQRRNRRAAMKGPSTRLITEKLSTVNTVKLLSDARYISETMKMGANLPMYRAFALEELKEATNNFSHPSIVGEPSLIQIYRGKLGDGTAIVIRSIKMRKKHSPTMFTQHIEMISRLRHNHLVSCIGHCFECCPDDSTVGIVYFVFESLPNGTLRSSICGGGVRLNWAQRITAGIGVAKGIQFLHTGIMPGVFSNHLKITDVLLDPNFHVKICTYNLPLLAHQNAGLMV >KJB84139 pep supercontig:Graimondii2_0_v6:KB204120:74569:80682:-1 gene:B456_N006900 transcript:KJB84139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLFQLKQLPVVLMANLVLMHTSLLFLSTLFHCVHLSDQFQPFQYNALKQIQQFLNYPSVLTVFDNTWDFCNVEPSPFLTIVCYEDNVTQLHITGNNGVFPPSLPRNFSIDALFSSISSLSNLKVLSLVSLGLWGPLPATIGKLSSMEILNLSSNYINGFIPLELSYLNNLQTLVLDHNKFTGQIPHWVSSFNDLAVLSLKNNSLFGSLPSSISSSENLRVLAMADNHLFGEIPSLQNLTNLQILDLKNNYFGPSFPVLHHKVVTVDIRNNSFKSSVPNELNSYFQLEKLDISINELIGPFPPSLFSLPSINYIDISGNKLTGKLFQNMSCNAHLVLVNLSSNLLTGELPACLRENVEEGAVSYDGNCLSGEEGNQKPLSFCHNEALAVEVSPQKLRHKERKAMAVLVSSLVGGIVGLAVIVGLSLWVFQRRNRRAAMKGPSTRLITEKLSTVNTVKLLSDARYISETMKMGANLPMYRAFALEELKEATNNFSHPSIVGEPSLIQIYRGKLGDGTAIVIRSIKMRKKHSPTMFTQHIEMISRLRHNHLVSCIGHCFECCPDDSTVGIVYFVFESLPNGTLRSSICGGGVRLNWAQRITAGIGVAKGIQFLHTGIMPGVFSNHLKITDVLLDPNFHVKICTYNLPLLAHQNAGLMQFQGGAAVSSNGLKSNIGGREKEEEKDDIYDIGVILMEILVGRPIMSQNDVMVVKDIIQVSNKMDDTARRSIVDPTIIKECSTESLKTVMDICLRCLSDDPTARPSIEDVLWTLQFAAQLQDPWRNDSHHIHRLSDSNPNNLQEQISRG >KJB84156 pep supercontig:Graimondii2_0_v6:KB204120:111784:115985:-1 gene:B456_N007200 transcript:KJB84156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQTNTLFLEQWLRTNIGGISYSVSGHSSLSTSSSSARAIIQAWSEIRDSLQNQTFNPLILQSLKTLLNSQASLHVADPQAKLLLSVLSSRSYDLPSESYPILLRLLYIWVRKSFRPSTVLIDSAVDVLSHVFATEFGLKKSPSFLAEGILILGAISFVPSVSESSKIACSELLCRLLEEDYELVRLGEEIIPDVLAGIGYALSSSVDVHFVRVWDSLLGMWGKEDGPRSTVPTALMILHLVEWVVSGCIKSRSLKKIEAFSQQILGTSKASYVPFALVMVAAGVLRASRQAANGQGLEFVSRLRISAENQIAFVAQQLVSETKGFINSDNDPANSLLRQCLSLALARSGAVSFTAPVLLCLASALLREIFPLSHLYMQILQFIHSSGSEFDTNEIKRHLDSTLFKEAGVITGVFCNQYVSADEESKSLVESLIWDYCRDVYSGHRQVALLLRERNNELLVDLEKIAESAFLMVVVFALAVTKQRLNSNFSQEIQREKSVQILVSFSCLEYFRRMRLPEYMDTIRRVVACVQENESACISFVESMPTYVDLTTWQDFSSKQKMGYEWSKDEVQTARVLFYVRVIPTCIERLPAHVFRRVVTPAMFLYPKIHGYFT >KJB84155 pep supercontig:Graimondii2_0_v6:KB204120:111631:116008:-1 gene:B456_N007200 transcript:KJB84155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQTNTLFLEQWLRTNIGGISYSVSGHSSLSTSSSSARAIIQAWSEIRDSLQNQTFNPLILQSLKTLLNSQASLHVADPQAKLLLSVLSSRSYDLPSESYPILLRLLYIWVRKSFRPSTVLIDSAVDVLSHVFATEFGLKKSPSFLAEGILILGAISFVPSVSESSKIACSELLCRLLEEDYELVRLGEEIIPDVLAGIGYALSSSVDVHFVRVWDSLLGMWGKEDGPRSTVPTALMILHLVEWVVSGCIKSRSLKKIEAFSQQILGTSKASYVPFALVMVAAGVLRASRQAANGQGLEFVSRLRISAENQIAFVAQQLVSETKGFINSDNDPANSLLRQCLSLALARSGAVSFTAPVLLCLASALLREIFPLSHLYMQILQFIHSSGSEFDTNEIKRHLDSTLFKEAGVITGVFCNQYVSADEESKSLVESLIWDYCRDVYSGHRQVALLLRERNNELLVDLEKIAESAFLMVVVFALAVTKQRLNSNFSQEIQREKSVQILVSFSCLEYFRRMRLPEYMDTIRRVVACVQENESACISFVESMPTYVDLTTWQDFSSKQKMGYEWSKDEVQTARVLFYVRVIPTCIERLPAHVFRRVVTPAMFLYMGHPNGKVARASHSMFVAFMSSGKDFKDERVSLKEQLVFYYMQRSLEGYPDITPFEGMASGVAALVRHLPAGSPATFYCIHSLVNKANNLLSDANALKADDWKNWQGGPEPCKKILELLSHLISLVDIQVLPTLMKSLAQLIIQLPKTGQTMVLNELYAQVAESDDVTRKPTLVSWLQSLSYLSSQAKMEVFTSKEREGKENSASSGTVEPQARL >KJB84171 pep supercontig:Graimondii2_0_v6:KB204120:201663:203105:-1 gene:B456_N007800 transcript:KJB84171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLKSFIASSVLVLFLAISFSFSRTNYYKIFNLKSSLASYRPTFLQSVFSFVLKTPKPNRPHWPNPISSPPHCVLWMAPFLSGGGYSSEAWSYVLALDEYMKSRENPSFKLGIHQHGDLESLEFWEGLPQNVRDLAIELHRTDCRINETIVVCHSEPGAWYPPLFETLPCPPTGYHDFMFVIGRTMFETDRLNSEHVKRCNRMDSVWVPTEFHVSTFVQSGVDPSKVMKVVQPIDVRFFDPSKYEPLDIASEGNLVLGAKAPNSSPVKEFVFLSVFKWEFRKGWDVLLEAYLKEFSKDDGVALYLLTNPYHSSRDFDNKIVQFVEDSDMQKPANGRAPVYVIDTHIAHIDLPRLYKAANAFVLPSRGEGWGRPVVEAMAMSLPVITTNWSGPTEYLTEENSYPLPVERKSEVTEGPFKGHLWAEPSVIKLRALMRHVISNVEEARAKGRQARKDMINSFSPEIVAEIVTGHIQNILDK >KJB84170 pep supercontig:Graimondii2_0_v6:KB204120:201254:203629:-1 gene:B456_N007800 transcript:KJB84170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRENPSFKLGIHQHGDLESLEFWEGLPQNVRDLAIELHRTDCRINETIVVCHSEPGAWYPPLFETLPCPPTGYHDFMFVIGRTMFETDRLNSEHVKRCNRMDSVWVPTEFHVSTFVQSGVDPSKVMKVVQPIDVRFFDPSKYEPLDIASEGNLVLGAKAPNSSPVKEFVFLSVFKWEFRKGWDVLLEAYLKEFSKDDGVALYLLTNPYHSSRDFDNKIVQFVEDSDMQKPANGRAPVYVIDTHIAHIDLPRLYKAANAFVLPSRGEGWGRPVVEAMAMSLPVITTNWSGPTEYLTEENSYPLPVERKSEVTEGPFKGHLWAEPSVIKLRALMRHVISNVEEARAKGRQARKDMINSFSPEIVAEIVTGHIQNILDK >KJB84169 pep supercontig:Graimondii2_0_v6:KB204120:201663:202799:-1 gene:B456_N007800 transcript:KJB84169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRENPSFKLGIHQHGDLESLEFWEGLPQNVRDLAIELHRTDCRINETIVVCHSEPGAWYPPLFETLPCPPTGYHDFMFVIGRTMFETDRLNSEHVKRCNRMDSVWVPTEFHVSTFVQSGVDPSKVMKVVQPIDVRFFDPSKYEPLDIASEGNLVLGAKAPNSSPVKEFVFLSVFKWEFRKGWDVLLEAYLKEFSKDDGVALYLLTNPYHSSRDFDNKIVQFVEDSDMQKPANGRAPVYVIDTHIAHIDLPRLYKAANAFVLPSRGEGWGRPVVEAMAMSLPVITTNWSGPTEYLTEENSYPLPVERKSEVTEGPFKGHLWAEPSVIKLRALMRHVISNVEEARAKGRQARKDMINSFSPEIVAEIVTGHIQNILDK >KJB84127 pep supercontig:Graimondii2_0_v6:KB204120:20121:22144:-1 gene:B456_N006100 transcript:KJB84127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNENENESHDKSVQQIQHHFHEQHPLVLVAEQSNEGLKAHCHGCGELLSTPCFTCIRCNYHLHKQCAEALLEIPNHPLHPTHSDTVFFLRQRPNFDDDLKCLDELPTKIDHPSHHIHPLFLNYNNRNHFCNLCQKEHSGAFYGCSLCHFNIDVGCAWPRSIIEDKSHHQHPFTLFWRQDSFICDACGTKGNYISYICITRQDCKICFKEVRLERGSYSCVKQGCNYVVHVNCVLEHRRLYKVIEEEKQCEELEEKSMQSSIIHVIEVNEAGEATKIQHFSHQHSLVLADKMEEEIDRKCDGCMLPISNIFYYCSECPLFLHKTCVEFPRIKQHYFKCCNFCNRFSSGFFYKTDHPDMCLRCAKVANIIECEGHQHFLFFDFKCMEKCNGCGDNIIKDVFRCGKCRFALDFECLTLPHSALHKIDEHKLKLTYHDDKGQSYCDICEQYRDPSLWYYSCSIYDTSAHIKCVLGQFSFLKNGVTFPSQYYHNHYHDLKFSRKVEGFLDSSRCGKFCQEEIFKCEKSTCSYIINCKCH >KJB84129 pep supercontig:Graimondii2_0_v6:KB204120:35548:36975:1 gene:B456_N006300 transcript:KJB84129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVHLRKFTSNSNLVIRVKILTDKLGQPKGFGYVEFVEVDAAQSALLLNESELHGHQLKVGFLLCLLCICTISFMDYAHLILQLRVVLLLQWTSAASKLEQAGFQNIECITSGLQTVKPGTFDSVVTTKLQDAGKAGLVTIQGAYLFITLFPDQAEKLLQMSLAR >KJB84130 pep supercontig:Graimondii2_0_v6:KB204120:37452:39161:-1 gene:B456_N006400 transcript:KJB84130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFYKCRNCWFSIDIKCAHLSSSFKFSQLSKHDIHRHPLSFIESPMAIYVLKRLNCCWCHEPLIDATYFCSDCPSFIIHKKCLDELPTEINHPSHHIHPLSFRYTDRNHFCNLCQKEHFGAFYGCSLCHFNINLECALLRSIVEDKSRHQYPLTLFWRQDSFICDACGTEGNYISYTCSTCFTTVHKKCISLPRIIKFSRHDHCIFHKYFLQTQELTKQDCKICFKEVRLERGSYSCGKLGCNYVVHVNCVLENQWLYKVIEDEKQYEELKEKSMQSSIIRVIEVNEAGEAAKIEHLSHQHCLVLADKMEEEIDRKCDGCMLPIANIFYYCSECPFFLHKTCAELPRIKQHWFHQNNGTLNFDSFKQCAFCYRDCSGLFYKIGGYWDMCTRCAKVADIIECEGHQHFLFFDFKYREKCNGCGELNWDGAFRCGKCRFALDFGCLTLPHSALHKIDEHKLKLTYHDDKEQSYCDICEQYRDPSLWYYSCSICDTSAHIECVLGQFPFIKDGSIVPYYCRKHYCALKFFRKVEGFPECSRCGKFCQEEILKCEKSTCNYIVHYKYECRRGH >KJB84135 pep supercontig:Graimondii2_0_v6:KB204120:71734:73237:1 gene:B456_N006800 transcript:KJB84135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMPTTNFSLNPRLSPQHQNPPSLRPCSVMSILRKLNTKSPNPQFISSLFFTRFSSSAQYVDPGPFEDPFPDEPTSSYYDHQVFKAGRNGDMATVGYLLNKRIKDGCFNTTKTFSFITNTDSSLSILDPLIQTLSRLDKGITRKQAFDLLIARLCKIERIEDSLRVVQTMMEKGELNAATFHPIVNALAKKKKMEEAWRVVDIMRVAGVKPDVTAYNYLLTAYCSESKLREASAMVKKIEEEGLGADLRTYDALVLGACRVGKVEGGLMLLRNMMDAGISVMYSTHTHLINGMLSIRYYDGAVRFVKACGGRDAMLDQESFGVLANKLVRLGREVEAMVVLSEMKKRGLSFGKKLNDFYEMHLKNIS >KJB84136 pep supercontig:Graimondii2_0_v6:KB204120:71831:72897:1 gene:B456_N006800 transcript:KJB84136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILRKLNTKSPNPQFISSLFFTRFSSSAQYVDPGPFEDPFPDEPTSSYYDHQVFKAGRNGDMATVGYLLNKRIKDGCFNTTKTFSFITNTDSSLSILDPLIQTLSRLDKGITRKQAFDLLIARLCKIERIEDSLRVVQTMMEKGELNAATFHPIVNALAKKKKMEEAWRVVDIMRVAGVKPDVTAYNYLLTAYCSESKLREASAMVKKIEEEGLGADLRTYDALVLGACRVGKVEGGLMLLRNMMDAGISVMYSTHTHLINGMLSIRYYDGAVRFVKACGGRDAMLDQESFGVLANKLVRLGREVEAMVVLSEMKKRGLSFGKKLNDFYEMHLKNIS >KJB84161 pep supercontig:Graimondii2_0_v6:KB204120:150315:152317:-1 gene:B456_N007500 transcript:KJB84161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNSKYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGSGGGGHDPFDIFQSFFGGNPFGAGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGDKVVQEKKVLEVIVEKGMQNGQRITFPGEADEAPDTVTGDIVFVLQQKDHPKFKRKGDDLFVEHTLALTEALCGFQFILTHLDGRQLLIKTQPGEVVKPDQFKAINDEGMPIYQRPFMRGKLFIHFTVDFPDSLTPDQCKALEAVLPPKASVQLTDMELDECEETTMHDVNIEEEMRRKQAQAQQEAYEEDEDMHGGAQRVQCAQQ >KJB84162 pep supercontig:Graimondii2_0_v6:KB204120:150315:152317:-1 gene:B456_N007500 transcript:KJB84162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNSKYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGSGGGGHDPFDIFQSFFGGNPFGAGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGDKVVQEKKVLEVIVEKGMQNGQRITFPGEADEAPDTVTGDIVFVLQQKDHPKFKRKGDDLFVEHTLALTEALCGFQFILTHLDGRQLLIKTQPGEVVKPDQFKAINDEGMPIYQRPFMRGKLFIHFTVDFPDSLTPDQCKALEAVLPPKASVQLTDMELDECEETTMHDVNIEEEMRRKQAQAQQEAYEEDEDMHGGAQRVQCAQQ >KJB84164 pep supercontig:Graimondii2_0_v6:KB204120:150039:152655:-1 gene:B456_N007500 transcript:KJB84164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNSKYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGSGGGGHDPFDIFQSFFGGNPFGAGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGDKVVQEKKVLEVIVEKGMQNGQRITFPGEADEAPDTVTGDIVFVLQQKDHPKFKRKGDDLFVEHTLALTEALCGFQFILTHLDGRQLLIKTQPGEVVKPDQFKAINDEGMPIYQRPFMRGKLFIHFTVDFPDSLTPDQCKALEAVLPPKASVQLTDMELDEKMRTCMVVRREYNALSNDKKAECPRHRRWFLAMECTVVKKKKKNI >KJB84159 pep supercontig:Graimondii2_0_v6:KB204120:150068:152655:-1 gene:B456_N007500 transcript:KJB84159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNSKYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGSGGGGHDPFDIFQSFFGGNPFGAGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGDKVVQEKKVLEVIVEKGMQNGQRITFPGEADEAPDTVTGDIVFVLQQKDHPKFKRKGDDLFVEHTLALTEALCGFQFILTHLDGRQLLIKTQPGEVVKPDQFKAINDEGMPIYQRPFMRGKLFIHFTVDFPDSLTPDQCKALEAVLPPKASVQLTDMELDECEETTMHDVNIEEEMRRKQAQAQQEAYEEDEDMHGGAQRVQCAQQ >KJB84160 pep supercontig:Graimondii2_0_v6:KB204120:150044:152655:-1 gene:B456_N007500 transcript:KJB84160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNSKYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGSGGGGHDPFDIFQSFFGGNPFGAGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGDKVVQEKKVLEVIVEKGMQNGQRITFPGEADEAPDTVTGDIVFVLQQKDHPKFKRKGDDLFVEHTLALTEALCGFQFILTHLDGRQLLIKTQPGEVVKPDQFKAINDEGMPIYQRPFMRGKLFIHFTVDFPDSLTPDQCKALEAVLPPKASVQLTDMELDECEETTMHDVNIEEEMRRKQAQAQQEAYEEDEDMHGGAQRVQCAQQ >KJB84165 pep supercontig:Graimondii2_0_v6:KB204120:150747:152317:-1 gene:B456_N007500 transcript:KJB84165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNSKYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGSGGGGHDPFDIFQSFFGGNPFGAGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGDKVVQEKKVLEVIVEKGMQNGQRITFPGEADEAPDTVTGDIVFVLQQKDHPKFKRKGDDLFVEHTLALTEALCGFQFILTHLDGRQLLIKTQPGEVVKPGKDFSANPFMINVFTCCFNSCH >KJB84163 pep supercontig:Graimondii2_0_v6:KB204120:151102:152317:-1 gene:B456_N007500 transcript:KJB84163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNSKYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGSGGGGHDPFDIFQSFFGGNPFGAGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGDKVVQEKKVLEVIVEKGMQNGQRITFPGEADEAVKL >KJB84166 pep supercontig:Graimondii2_0_v6:KB204120:150068:152655:-1 gene:B456_N007500 transcript:KJB84166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNSKYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGSGGGGHDPFDIFQSFFGGNPFGAGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGDKVVQEKKVLEVIVEKGMQNGQRITFPGEADEAPDTVTGDIVFVLQQKDHPKFKRKGDDLFVEHTLALTEALCGFQFILTHLDGRQLLIKTQPGEVVKPDQFKAINDEGMPIYQRPFMRGKLFIHFTVDFPDSLTPDQCKALEAVLPPKASVQLTDMELDECEETTMHDVNIEEEMRRKQAQAQQEAYEEDEDMHGGAQRVQCAQQ >KJB84134 pep supercontig:Graimondii2_0_v6:KB204120:57166:70008:1 gene:B456_N006700 transcript:KJB84134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 77 [Source:Projected from Arabidopsis thaliana (AT1G17760) UniProtKB/Swiss-Prot;Acc:Q8GUP1] MCMFSGADIASGPIWMEYIAFLKSMPAVNTQEETQRMTAVRKAYQKAIVTPTHHIEQLWRDYENFENSVSRQLAKGLLSEYQPKYNSARAVYRELKKYVDEIDWNMLAVPPTDSCKDQMQWVAWKRLLAFEKGNPQRIDSASNKRIIFSYEQCLMYLYHYPDIWYDYATWQAKSGSMDAAVKVFQRALKALPESEMLKYAYAELEESRGALQSAKKLYESLLGDAAETTALAHIQFIRFLRRNEGVEAARKYFLDARKSPSCTYHVYVAYALMAFCLDKDPKVAHNVFEAGLKQFMHEPVYILEYADFLSCLNDDRNIRALFERALSSLPQEESIEIWKRFTQFEKTYGDLASMLKVEQRRKEALSGTIEEGASALETSLLDLISRYSFKDLWPCSSKDLDHLSRQEWLSKNIGKKVDKSALSNGSAMTDKSTSAPSGVPTASLKVLRPDTSQMVIYDPRQHHGTTVPSNTTTAILAAPNLSNPMATVVGAASNPLSNPIVSMVDGVSSKAFDEVLKATPPALSAFLAGLPSIEGPAPNVDIVLSICLQNDYPTVQTKKATSLPSQRTAGPAPSTSDLSGSSKSHPIPSNSSFRPRDRQLGKRKDQDRQEEEESTTVQSQPLQRDAFRIRQMQKARGGSASQTGSVSYGSALSGDLSGSTC >KJB84133 pep supercontig:Graimondii2_0_v6:KB204120:57166:66707:1 gene:B456_N006700 transcript:KJB84133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 77 [Source:Projected from Arabidopsis thaliana (AT1G17760) UniProtKB/Swiss-Prot;Acc:Q8GUP1] MCMFSGADIASGPIWMEYIAFLKSMPAVNTQEETQRMTAVRKAYQKAIVTPTHHIEQLWRDYENFENSVSRQLAKGLLSEYQPKYNSARAVYRELKKYVDEIDWNMLAVPPTDSCKDQMQWVAWKRLLAFEKGNPQRIDSASNKRIIFSYEQCLMYLYHYPDIWYDYATWQAKSGSMDAAVKVFQRALKALPESEMLKYAYAELEESRGALQSAKKLYESLLGDAAETTALAHIQFIRFLRRNEGVEAARKYFLDARKSPSCTYHVYVAYALMAFCLDKDPKVAHNVFEAGLKQFMHEPVYILEYADFLSCLNDDRNIRALFERALSSLPQEESIEIWKRFTQFEKTYGDLASMLKVEQRRKEALSGTIEEGASALETSLLDLISRYSFKDLWPCSSKDLDHLSRQEWLSKNIGKKVDKSALSNGSAMTDKSTSAPSGVPTASLKVLRPDTSQMVIYDPRQHHGTSFCLSKNICL >KJB84132 pep supercontig:Graimondii2_0_v6:KB204120:55332:70008:1 gene:B456_N006700 transcript:KJB84132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 77 [Source:Projected from Arabidopsis thaliana (AT1G17760) UniProtKB/Swiss-Prot;Acc:Q8GUP1] MAAVDKYNVESAEILANSALHLPITQAAPIYEQLLTIFPTAAKYWRQYVEAQMGVNNDDATKQIFSRCLLNCLHVPLWRCYIRFIRKVNDRKGVEGQEETRKAFDFMLSYVGADIASGPIWMEYIAFLKSMPAVNTQEETQRMTAVRKAYQKAIVTPTHHIEQLWRDYENFENSVSRQLAKGLLSEYQPKYNSARAVYRELKKYVDEIDWNMLAVPPTDSCKDQMQWVAWKRLLAFEKGNPQRIDSASNKRIIFSYEQCLMYLYHYPDIWYDYATWQAKSGSMDAAVKVFQRALKALPESEMLKYAYAELEESRGALQSAKKLYESLLGDAAETTALAHIQFIRFLRRNEGVEAARKYFLDARKSPSCTYHVYVAYALMAFCLDKDPKVAHNVFEAGLKQFMHEPVYILEYADFLSCLNDDRNIRALFERALSSLPQEESIEIWKRFTQFEKTYGDLASMLKVEQRRKEALSGTIEEGASALETSLLDLISRYSFKDLWPCSSKDLDHLSRQEWLSKNIGKKVDKSALSNGSAMTDKSTSAPSGVPTASLKVLRPDTSQMVIYDPRQHHGTTVPSNTTTAILAAPNLSNPMATVVGAASNPLSNPIVSMVDGVSSKAFDEVLKATPPALSAFLAGLPSIEGPAPNVDIVLSICLQNDYPTVQTKKATSLPSQRTAGPAPSTSDLSGSSKSHPIPSNSSFRPRDRQLGKRKDQDRQEEEESTTVQSQPLQRDAFRIRQMQKARGGSASQTGSVSYGSALSGDLSGSTC >KJB84152 pep supercontig:Graimondii2_0_v6:KB204120:103001:110131:1 gene:B456_N007100 transcript:KJB84152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELLQNHLFATHAIAASGSVVFGTALTYPLDTIKSLIQVGSGSAKQLNASQVIKRVRAFSGYSGLYSGFEWCAWGRTFGMAARFGIYEVLTAFYKDGREDNFVYVSEALMAGMAAGAVESLTGTPFELIKLRAQVTSASRITTASSASENKAVMPAVSKLLRGYTPDMKALNNAVGMLSILNSKHSNMVSAIQEYPWMMTGSGRPPPVYDVRRPSEIISLEGWGALWRGLRSGVARDSVFGGIFFSTWELLHQVMLNWKAAGMDPPPRYDEEICPLSPLAVSLAAGFSGSVAAAASHGFDTAKSRSQCIVLPKFVSMERKLLKWKTPGKRFEKLTGIHPADRNILFRGIWLRMARSGIASFAIVGSYYFSITHLVSSN >KJB84154 pep supercontig:Graimondii2_0_v6:KB204120:106316:110063:1 gene:B456_N007100 transcript:KJB84154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMAAGAVESLTGTPFELIKLRAQVTSASRITTASSASENKAVMPAVSKLLRGYTPDMKALNNAVGMLSILNSKHSNMVSAIQEYPWMMTGSGRPPPVYDVRRPSEIISLEGWGALWRGLRSGVARDSVFGGIFFSTWELLHQVMLNWKAAGMDPPPRYDEEICPLSPLAVSLAAGFSGSVAAAASHGFDTAKSRSQCIVLPKFVSMERKLLKWKTPGKRFEKLTGIHPADRNILFRGIWLRMARSGIASFAIVGSYYFSITHLVSSN >KJB84148 pep supercontig:Graimondii2_0_v6:KB204120:101945:110131:1 gene:B456_N007100 transcript:KJB84148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELLQNHLFATHAIAASGSVVFGTALTYPLDTIKSLIQVGSGSAKQLNASQVIKRVRAFSGYSGLYSGFEWCAWGRTFGMAARFGIYEVLTAFYKDGREDNFVYVSEALMAGMAAGAVESLTGTPFELIKLRAQVTSASRITTASSASENKAVMPAVSKLLRGYTPDMKALNNAVGMLSILNSKHSNMVSAIQEYPWMMTGSGRPPPVYDVRRPSEIISLEGWGALWRGLRSGVARDSVFGGIFFSTWELLHQVMLNWKAAGMDPPPRYDEEICPLSPLAVSLAAGFSGSVAAAASHGFDTAKSRSQCIVLPKFVSMERKLLKWKTPGKRFEKLTGIHPADRNILFRGIWLRMARSGIASFAIVGSYYFSITHLVSSN >KJB84149 pep supercontig:Graimondii2_0_v6:KB204120:102169:110131:1 gene:B456_N007100 transcript:KJB84149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELLQNHLFATHAIAASGSVVFGTALTYPLDTIKSLIQVGSGSAKQLNASQVIKRVRAFSGYSGLYSGFEWCAWGRTFGMAARFGIYEVLTAFYKDGREDNFVYVSEALMAGMAAGAVESLTGTPFELIKLRAQVTSASRITTASSASENKAVMPAVSKLLRGYTPDMKALNNAVGMLSILNSKHSNMVSAIQEYPWMMTGSGRPPPVYDVRRPSEIISLEGWGALWRGLRSGVARDSVFGGIFFSTWELLHQVMLNWKAAGMDPPPRYDEEICPLSPLAVSLAAGFSGSVAAAASHGFDTAKSRSQCIVLPKFVSMERKLLKWKTPGKRFEKLTGIHPADRNILFRGIWLRMARSGIASFAIVGSYYFSITHLVSSN >KJB84153 pep supercontig:Graimondii2_0_v6:KB204120:104287:110131:1 gene:B456_N007100 transcript:KJB84153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFGIYEVLTAFYKDGREDNFVYVSEALMAGMAAGAVESLTGTPFELIKLRAQVTSASRITTASSASENKAVMPAVSKLLRGYTPDMKALNNAVGMLSILNSKHSNMVSAIQEYPWMMTGSGRPPPVYDVRRPSEIISLEGWGALWRGLRSGVARDSVFGGIFFSTWELLHQVMLNWKAAGMDPPPRYDEEICPLSPLAVSLAAGFSGSVAAAASHGFDTAKSRSQCIVLPKFVSMERKLLKWKTPGKRFEKLTGIHPADRNILFRGIWLRMARSGIASFAIVGSYYFSITHLVSSN >KJB84151 pep supercontig:Graimondii2_0_v6:KB204120:101968:110131:1 gene:B456_N007100 transcript:KJB84151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELLQNHLFATHAIAASGSVVFGTALTYPLDTIKSLIQVGSGSAKQLNASQVIKRVRAFSGYSGLYSGFEWCAWGRTFGMAARFGIYEVLTAFYKDGREDNFVYVSEALMAGMAAGAVESLTGTPFELIKLRAQVTSASRITTASSASENKAVMPAVSKLLRGYTPDMKALNNAVGMLSILNSKHSNMVSAIQEYPWMMTGSGRPPPVYDVRRPSEIISLEGWGALWRGLRSGVARDSVFGGIFFSTWELLHQVMLNWKAAGMDPPPRYDEEICPLSPLAVSLAAGFSGSVAAAASHGFDTAKSRSQCIVLPKFVSMERKLLKWKTPGKRFEKLTGIHPADRNILFRGIWLRMARSGIASFAIVGSYYFSITHLVSSN >KJB84150 pep supercontig:Graimondii2_0_v6:KB204120:102138:110063:1 gene:B456_N007100 transcript:KJB84150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELLQNHLFATHAIAASGSVVFGTALTYPLDTIKSLIQVGSGSAKQLNASQVIKRVRAFSGYSGLYSGFEWCAWGRTFGMAARFGIYEVLTAFYKDGREDNFVYVSEALMAGMAAGAVESLTGTPFELIKLRAQVTSASRITTASSASENKAVMPAVSKLLRGYTPDMKALNNAVGMLSILNSKHSNMVSAIQEYPWMMTGSGRPPPVYDVRRPSEIISLEGWGALWRGLRSGVARDSVFGGIFFSTWELLHQVMLNWKAAGMDPPPRYDEEICPLSPLAVSLAAGFSGSVAAAASHGFDTAKSRSQCIVLPKFVSMERKLLKWKTPGKRFEKLTGIHPADRNILFRGIWLRMARSGIASFAIVGSYYFSITHLVSSN >KJB84173 pep supercontig:Graimondii2_0_v6:KB204120:242438:244468:-1 gene:B456_N008200 transcript:KJB84173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQVTTSRCNRFSILFIGQHPLVLVAEQSNEGLKAHCDGCGELLSAPCFTCIHCNYHLHKQCAEAPLYLHNHPLHSKHSGAGLFLRRGPYPRDLKVYGCALCKEKCNMFFYLCRECYFSIDIKCAQLSSSFKFSQLSKHDIHEHPLTFIESPMAIDVFKRFSCSWCHEPLTDATYFCSTCPSFIIHKKCLDELPTEINHPSHHIHPLFLHSSDRNHFCNLCQKEHSGAFYGCSLYHFNINLECALLRSIVEDKSRHQHPLTLFWRQDSFICDAYGTEGNYISYICSTCCTIVHKKCISLPRIIKFSRHDHCIFHKHFLQTRELTRKDCKICFNEVKLDRGSYSCRRPGCNYVVHVNCVLEDARLYKVIEDEKQCEELEEKSMQSSIIRIIEVNEAGEATKIEHFSHRHCLVLADKLEEEIDRKCDRCMLPISNIFYYCSECPFFLHKTCAELPIIKQHWFHQSNAYLNSDSFKKCYFCSQYSSGFFYRIRGYMDMCTRCAEVADIIECEGHQHFLFFDFKCKEKCNGCGERCQYGAFRCGKCRFALDFGCLTLPHSALHKIDEHKLKLTYHDDKEQSYCDICEQYRDPSFWKVEGFPECSRCGKFCQEEIFKCKESTCDYIVHCKCPGLYH >KJB84168 pep supercontig:Graimondii2_0_v6:KB204120:171438:172427:-1 gene:B456_N007700 transcript:KJB84168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSDFTESMLDQLPMERFWDIPLYQWDGFWYRSYHLQATMALRSQYIARDDDIILASPMKTGTTWLKALCFSILQKDGNAARLNGETVGKTEEDDPRHIRDPLVDNHPAVYVQTLEVQVFTAKPPPDVSAMESPRLFHTHLPYTALPDSIKTSNCKLVYITRNPKDTLVSMWHFFNKLRTPEQGPYPFEQAFESFINGVSHFGPFFDHVLQYWNQSLKSPNKILFLKYEDLKKDPKGEVKKLASFLGKPFNNEKEVEDVIWRCSLERLKNLEVNKNGIDPWVGMQNSAFFRSGIVGDWKNIMSEELSERLDQITRQKLKDSGLHLEI >KJB84125 pep supercontig:Graimondii2_0_v6:KB204120:10240:12368:-1 gene:B456_N005900 transcript:KJB84125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKNENENESHDKPIQQITHRFHQQHPLVLVAEQSNEVLKAHCDGCGELLSAPCFTCIHCNYHLHKQCAEAPLELPNHPLHPKHSGAGLLLRQRPNPSGYWVYGCALCMEKRNMFFYECYWCYFSIDIKCAQLSSSFKFSQLYKHDIHQHPLTFIKRFSCSWCHEPLTNFVSFCSECPILFILHKKCLDELPTKINHPSYHIHPIFLNHSDSGCFCNLCQKQHSGPFYGCTLCHFNINIGCALPMSIVEGKSRHQHPFTLFRRRGSFICDACGIEGNYISYICSTCNVIVHKKCTSFPRIIKFSRHDHCIFHKYFLEDLTRQDCKICFKEVRLEHRSYSCGKLGCNYVVHVNCVLEDDKLYEVIEDEKQCEELYEKSMQSSIIRVIEVNEAGETTKIQHFIHQHCLVLADKMEEEIDRKCDGCMLPISNIFYYCSECPFFLHKTCAELPRIKQHWFRQSNATLNFDSFLQCDFCCRRCSGFFYKIEGYKDMCLRCAKVADIIECEGHQHFLFFISNTGRNVMVVALISTKVHSKVHSDVETFHKIDEHKLKLTYHDDKEQSYCDICEQYRDPSLWYYSCSICDTSAHPKCVLGNFPFLKDGSTLPNYEHTHDHDLQFFRKVEGFPECSECGKFCQEEITKCEESICNYIVHGVPDG >KJB84128 pep supercontig:Graimondii2_0_v6:KB204120:30083:31082:-1 gene:B456_N006200 transcript:KJB84128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRHPFHQEHPLVLVEEQSNEGLKAHCDRCGELLSAPCFTCIHCNYHLHKQCTEAPLELPNHPLHPKHSDKGFFLRQRPNPFSGVYGCALCKEKRNMFFYQCLSCWFSIDVKCAQLSSSFKFSQPSKHDIHEHPLTFIESPMAIDVLKRLSCSWCHEPLTNAIYLCPDCPFFILHKKCFDELATKINHPSYHMHLIFLNRSDSGCFCNLCQKHHSGPFYGCTLCHFNINIGCALPHPHFPLLPPFVTPPQSLANMQPRKAETDHKNSSKNRGFAFIFSPLSLSYKAEYAVWERGIFFGHQQ >KJB84172 pep supercontig:Graimondii2_0_v6:KB204120:235391:241627:1 gene:B456_N008100 transcript:KJB84172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATA MKNTSWVLSFPCFETMLSTLQPPRYLSRLPLRGRTKSRPFHILSSAQPVTKTSFAADQSQILSIRDSLLNRHLSAVELTHSYLDRLKQTEPHINCFLHVSDPDKVLKQAQDIDDKIKRNEEVGPLAGVLVAIKDNICTADMPSTGGSYILEGYRPPFDATAVKRLKDLGAIVVGKTNLDEFGMGSTTEASAFKVTANPWDLSRVPGGSSGGSAASVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVIGCFGSSVADTGILLHAISGHDSLDATSSKREVPDFTSQFTSASSLKSRPLEGLRVGLIRETVDAGVDSGVKSAIEGAATHLEQLGCVLKEVSLPSFSLGLPAYYILASSESSSNLSRYDGVRYGNQASSDELNALYEESRAKGFGSEVKMRILMGTYALSAGYYDAYYKRAQQVRTIIRKSFKAALDENDILISPAAPSAAYKIGEKKNDPLAMYAGDIMTVNVNLAGLPALVLPCGFVEGGAVGLPVGLQIIGAAFDEEKLVKVGHIFEQTLEGYNFVPPLITDVGGASA >KJB84141 pep supercontig:Graimondii2_0_v6:KB204120:214482:219142:-1 gene:B456_N008000 transcript:KJB84141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uric acid degradation bifunctional protein TTL [Source:Projected from Arabidopsis thaliana (AT5G58220) UniProtKB/Swiss-Prot;Acc:Q9LVM5] MEEIKVDEKEALACCGSSQFAKQMALASPFPSVDHAISVAKDIWFDKVDVIGWLEAFAAHPQIGESPSSHTKSAQWSKGEQSTALATATDSGLQELSDWNARYRQKFGHVFLICASGRSAAEILGELKKRYSNRPIHELEIASQEQMKITELRLRKLFSAKAKADSTGSQYSTAVAKRVGIIGQHLTAPLEGFPAKAPQVQARTRPPITTHVLDVSRGSPAAGIEVRLEMWKSSQPRPSFGGTDIGGWVLEGCSTTDRDGRSGQLMSIVDALSPGVYRISFNTGKYNPGGFFPYVSIVFEIKDTQKFEHFHVPLLLSPFSFSTYRGS >KJB84146 pep supercontig:Graimondii2_0_v6:KB204120:214777:218033:-1 gene:B456_N008000 transcript:KJB84146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uric acid degradation bifunctional protein TTL [Source:Projected from Arabidopsis thaliana (AT5G58220) UniProtKB/Swiss-Prot;Acc:Q9LVM5] MEEIKVDEKEALACCGSSQFAKQMALASPFPSVDHAISVAKDIWFDKVDVIGWLEAFAAHPQIGESPSSHTKSAQWSKGEQSTALATATDSGLQELSDWNARYRQKFGHVFLICASGRSAAEILGELKKRYSNRPIHELEIASQEQMKITELRLRKLFSAKAKADSTGSQYSTAVAKERVGIIGQHLTAPLEGFPAKAPQVQARTRPPITTHVLDVSRGSPAAGIEVRLEMWKSSQPRPSFGGTDIGGWVLEGCSTTDRDGRSGQLMSIVDALSPGVYRISFNTGKYNPGGFFPYVSIVFEIKDTQKFEHFHVPLLLSPFSFSTYRGS >KJB84143 pep supercontig:Graimondii2_0_v6:KB204120:214499:219058:-1 gene:B456_N008000 transcript:KJB84143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uric acid degradation bifunctional protein TTL [Source:Projected from Arabidopsis thaliana (AT5G58220) UniProtKB/Swiss-Prot;Acc:Q9LVM5] MEEIKVDEKEALACCGSSQFAKQMALASPFPSVDHAISVAKDIWFDKVDVIGWLEAFAAHPQIGESPSSHTKSAQWSKGEQSTALATATDSGLQELSDWNARYRQKFGHVFLICASGRSAAEILGELKKRYSNRPIHELEIASQEQMKITELRLRKLFSAKAKADSTGSQYSTAVAKRVGIIGQHLTAPLEGFPAKAPQVQARTRPPITTHVLDVSRGSPAAGIEVRLEMWKSSQPRPSFGGTDIGGWVLEGCSTTDRDGRSGQLMSIVDALSPGVYRISFNTGKYNPGGFFPYVSIVFEIKDTQKFEHFHVPLLLSPFSFSTYRGS >KJB84144 pep supercontig:Graimondii2_0_v6:KB204120:214777:218033:-1 gene:B456_N008000 transcript:KJB84144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uric acid degradation bifunctional protein TTL [Source:Projected from Arabidopsis thaliana (AT5G58220) UniProtKB/Swiss-Prot;Acc:Q9LVM5] MEEIKVDEKEALACCGSSQFAKQMALASPFPSVDHAISVAKDIWFDKVDVIGWLEAFAAHPQIGESPSSHTKSAQWSKGEQSTALATATDSGLQELSDWNARYRQKFGHVFLICASGRSAAEILGELKKRYSNRPIHELEIASQEQMKITELRLRKLFSAKAKADSTGSQYSTAVAKERVGIIGQHLTAPLEGFPAKAPQVQARTRPPITTHVLDVSRGSPAAGIEVRLEMWKSSQPRPSFGGTDIGGWVLEGCSTTDRDGRSGQLMSIVDALSPGVYRISFNTGKYNPGGFFPYVSIVFEIKDTQKFEHFHVPLLLSPFSFSTYRGS >KJB84145 pep supercontig:Graimondii2_0_v6:KB204120:214499:219142:-1 gene:B456_N008000 transcript:KJB84145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uric acid degradation bifunctional protein TTL [Source:Projected from Arabidopsis thaliana (AT5G58220) UniProtKB/Swiss-Prot;Acc:Q9LVM5] MEEIKVDEKEALACCGSSQFAKQMALASPFPSVDHAISVAKDIWFDKVDVIGWLEAFAAHPQIGESPSSHTKSAQWSKGEQSTALATATDSGLQELSDWNARYRQKFGHVFLICASGRSAAEILGELKKRYSNRPIHELEIASQEQMKITELRLRKLFSAKAKADSTGSQYSTAVAKRVGIIGQHLTAPLEGFPAKAPQVQARTRPPITTHVLDVSRGSPAAGIEVRLEMWKSSQPRPSFGGTDIGGWVLEGCSTTDRDGRSGQLMSIVDALSPGVYRISFNTGKYNPGGFFPYVSIVFEIKDTQKFEHFHVPLLLSPFSFSTYRGS >KJB84142 pep supercontig:Graimondii2_0_v6:KB204120:214499:219065:-1 gene:B456_N008000 transcript:KJB84142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uric acid degradation bifunctional protein TTL [Source:Projected from Arabidopsis thaliana (AT5G58220) UniProtKB/Swiss-Prot;Acc:Q9LVM5] MEEIKVDEKEALACCGSSQFAKQMALASPFPSVDHAISVAKDIWFDKVDVIGWLEAFAAHPQIGESPSSHTKSAQWSKGEQSTALATATDSGLQELSDWNARYRQKFGHVFLICASGRSAAEILGELKKRYSNRPIHELEIASQEQMKITELRLRKLFSAKAKADSTGSQYSTAVAKERVGIIGQHLTAPLEGFPAKAPQVQARTRPPITTHVLDVSRGSPAAGIEVRLEMWKSSQPRPSFGGTDIGGWVLEGCSTTDRDGRSGQLMSIVDALSPGVYRISFNTGKYNPGGFFPYVSIVFEIKDTQKFEHFHVPLLLSPFSFSTYRGS >KJB84122 pep supercontig:Graimondii2_0_v6:KB204120:41199:43946:-1 gene:B456_N006500 transcript:KJB84122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFEKKVSIKDSMEIEQENSNCSKSNEILNLLRKFLEIQQRRAQAYSKLKTGFSEYMKSGGELAYQQLCSEITVEFNDCSKQVLEMESLFLNPDYCRVDLAELLRAIQTQEKQKLHLTATIQVLKKAGRPSERLMNHENCSFKKPMEHECVHLQEITEAAGTEEAEANAEYDNALKEAIRGVQDAVTAINEHLEEVRYEIAALETE >KJB84123 pep supercontig:Graimondii2_0_v6:KB204120:41358:43925:-1 gene:B456_N006500 transcript:KJB84123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFEKKVSIKDSMEIEQENSNCSKSNEILNLLRKFLEIQQRRAQAYSKLKTGFSEYMKSGGELAYQQLCSEITVEFNDCSKQVLEMESLFLNPDYCRVDLAELLRAIQTQEKQKLHLVLKKAGRPSERLMNHENCSFKKPMEHECVHLQEITEAAGTEEAEANAEYDNALKEAIRGVQDAVTAINEHLEEVRYEIAALETE >KJB84157 pep supercontig:Graimondii2_0_v6:KB204120:124998:127223:1 gene:B456_N007300 transcript:KJB84157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINRMIGSNYNNSPSRTIYSDRFIPSRSGSNFAVFDISNSPALLRATLFGPDTPGTPASRNVFRYKTETKRSLHSLQCLGYDESGPGISHSPVKAPTKVPTSPYKVSDAPGLEDDFYLNLVDWSPNNVLAVGLESCVYFWNACSIKVSKLCDLGIRDSICSVGWAQCGTHLAVGTNNWPSSDMGCIQLPHCRTMEGHRSRVGVLAWSSSLLSSGSHDKSILHRDMRARDDFASKLVGHKKEVCGLKWSYDEREQASGGNENRLHVWNQHSAQPVLKHCEHTASAKAIAWSPHRHGLLASGGGATDRCIRFWDTATNTHLSCMDTGSQISNLVWSKNVNELVSTHGSSQDNIIVWRYPTMSKLATLTGHTTRVLYLAISPDEQKIVTGYGDETLCFWKLFPASKSQ >KJB84124 pep supercontig:Graimondii2_0_v6:KB204120:6953:9343:1 gene:B456_N005800 transcript:KJB84124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLTFRFEGKPGSSSRKTSRNSLTVRTIIRKGFKAALDENDILISPAAPSAAYKIGMLVELLEKKNDPSAMYAGDIMTVNVNLAGLPALVLPCGFVEGGAVGLPVGLPGAAFDEEKLVKVGHIFEQTLEGYNFVPPLITDVGGASPEL >KJB84158 pep supercontig:Graimondii2_0_v6:KB204120:129685:133594:1 gene:B456_N007400 transcript:KJB84158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPKTPETLEAKNPDLDLLEEAYEPPDLLTLIESSNGLGSILDVQLQENGNAFGGDSLAMDVKENGVNIIETEEGYLVGDGVDNVKEDQQERLEVRVDTGIGDNAVVEPIKDEGTKEDDRTGINGVGLVKSVQVSGDNISLYVDFSSTLNEVNSTGLMTGKEELMVDGQEYKFYVGDIVWIRTKSQSWWPGKIFDPSKVPEYALAGEEKNWLLVGYFGSSRVAWCCSSQLKPFHLNFRQMIGRNKARSFLGAVEKAVEDFGKCLKMEMTCSCILKEDKFLSYGSSTKEGASMPERKSGRLGEFSATQFEPVKFLCQLKSFAQVVSKPDMLEFVALQNYLSAFYCSIGHCQLPLQQLWETTYDADNAGSASMGERDNNAGLAEGNSISYKFLLEQSDVMKNEMLQHNPNGVTARVSGENWGALPGSCEDIIAGEGVFSSNQALTSRKRKRKVHYELSNSSTLFEGPDQGTCLSVENGDDDSELRNETGYELRERKKSRYLSYPYVNLEGKVLPVTEDSKTSKVSHEEVKEFIGSPSSDKRSAKRFQKTWYRKFIKGNDVTAYPELSNTSSADLLSELHLLAVDCLFQTESKTFGLTEWFFSRFRISSYHDESIYEMHCKNMANQKEAIVTDLCLLGNDPHQTKPTSSPLTSPRNKMQKKKKLTNSATSNAKSPSGMLDGNINLAACNLSAKESQAMASEAPNGKQTHQETKEATNIPDLNGNGAINPGLLDNASSRSFMLDFQGTGPHSVETIAEQSNREWLNDSLPDSSGPIAPLTSPNMVSFLSESKSGRKKRGRKPRAPSSSPNPVLTSNIPDLNGTSTEPNTSTKDSQEANSVPPTGKPARKRRRRRKGEISLGTPSMIIDYNGAGTSGKLLATTLLLTFTPGASMPSKEVLVATFSRFGPLKESEVQILKDSSNAHVVFMRNEDAAKALRSLEESNPFGATLTNYQLQNNNILTTHQHMEGFRLPAKLTGAMPRLSDAPPIDVIKKNLEMMTSMLEKSGDNLSPEMKAKLESEIKGLLKKVSHCPGSSS >KJB84147 pep supercontig:Graimondii2_0_v6:KB204120:94515:100319:1 gene:B456_N007000 transcript:KJB84147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALSVVGGALLTATFEWLFAKLDSTQLLSFAKQNQVGLELKKWRNLLLKIQAVLEEAETKQITSPLVKIWVSEVRVLAFDMEDILDEFPLLFDEARTNNSSNMFRAWFAGTRRSHSMLDYGISSKIKDVTDRLQCISSEINGLSLNLTKIAGGDMSKSGRLQEKRLTTSLIGDHVCGRETEKEDILQWLLKGDENGVSVMPIVGMGGMGKTTLAQLVYNDERVADRFAIKAWVCVSEQLDLMMVTRIILEKVLSNSYECSSDLDSLQVRLTEELSGKKFLFVLDDVWSESYHQWDLLRRPFKAGAPGSKIIVTTRHCKVASMVGNVAAYHLHALPYDDCLSLFAQHALGKRDFSAHPSVEEIGRAIVRRCKGLPLAVKTLGGLLHGKQTCDEWNDILTSKLWNLPNEGNDILPALRLSYHHLPSRLKQCFAYCAIFPKGYEFDKDELVFLWMAEGLLLQQPKGMEQMEDLGHKYILDLLSRSFFERASNKESRFVMHDLINDLAQFVAGETCYSFTDKFKHVNISNASFEKFRYLSFTKQNFDTSQRFKMSRHMMSLRTFLSFSPFSHSFRSFLSKSVLHDFLPKLRYLRSLSLCDYNIKKLPESIGELKHLRYLNLSRCVIKCLPESITTLFYLQTLILNRCYKLCWLPATIGNLMDLRHLDITHTKALNEMPSGIGKLRNLLTLPKFVVGEVDGLRLGELNGLSHLRDQLSILQLHNVLDIQDARHANLNEKKGLQELKLEWSCDFHHSRNETREMEVLSWLKPHQNLQKLTIKFYGAKEFPSWVGDPLFSKVTHLELYHCPRITSLPSLGQLLSLKTLIVKGMVAVKTVGFEFYGCGSSSIEAFPSLKILRFEDMLVWEDWSSSLVGIELKRVRQFERLHELQIYNCPKLVSKLPTNLPSLIKLEICKCPQLTYSFVSLPSLRNLNLEECNEMIFRSMVHLKCLRTLKITNISHLACLPNTFKQDMIALEDVRIEECTELTSLWEDRSNTDNLVCLNRIVIKKCPMFDPLAREAHQLVPSHNLEALELLGCNNLRRLPNELFNISCLRILRIIGCKELKSLDGIMKIDGGNNGNIMHLEKLEIIHCPSLKYFPSGKLPPTLKKLVIKDCELLQALPQGIMSNGDDDDDGILHLEEVTVGNCPSLESFPRGRFPPSLKTLLIEDCELLQALPEGLMCDNDNTLHLEGLRMQGLPSLMFFPNGQLPKTLKNLVVFNCRRLDLFPDRMLQNSKALETIEISHCTNLKALPLDCFNSLTRLSTLVISGCHGLESFPESQLLLPNLKFLRINRCKNLKSLPQAMYKLKSLKELKVKSCPNILVIPDGGLPLNLSLLFIDCENLKQPMSEWGLDRLINLHHLWIHWICPPENVLPSSLIELYIRNVADLKSLPQKLLKNLSSLQVLDISRCYQLQTLPKEGLPPSLGSLRIDECPVLQHWCLENKDSRRLIAHIPCLWIG >KJB84131 pep supercontig:Graimondii2_0_v6:KB204120:47277:53765:1 gene:B456_N006600 transcript:KJB84131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDKAKLVEEYEILDILGRGGFSVVRKGIKRKNGSDHEKTQVAIKTLKRFGTTPSPARVEKTIASMAALLPTRNQVSISDALLTNEILVMRKIVENVSPHPNVIDLYDVYEDQAGVHLVLELCSGGELFDRIVAETRYSEAGAAAVVRQIAGGLAAIHKANIVHRDLKPENCLFLNKNKDSTLKIMDFGLSSVEEFTDPVIGLFGSIDYVSPEALSQGQITAKSDMWSLGVILYILLSGYPPFIAQSNRQKQQMIMAGEYNFDERTWKNISSSAKHLISNLLQVDPDRRPSAEQLLAHPWVIGDSAKQEQIDAEVVSRLQSFNARRKLRAAAIASVLSSKVLLRTKRLRSLLGSHDLSKDEIDNLKSNFKKICANGDNATLPEFEEVLKAMNMSSLLPLATRIFDLFDSNRDGTVDMREIVCGFSSLKNSKGDDALRLCFEMYDTDRSGCITKEELASMLRALPDDCLPPDITEPGKLDEIFDRMDANSDGKVTFEEFKDAMQRDSSLQDVVLSSLRQQ >KJB84167 pep supercontig:Graimondii2_0_v6:KB204120:160944:162057:1 gene:B456_N007600 transcript:KJB84167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLASPTPSPSSSASSSIIYSLTFLLSLFLLGLFAPQFFPLRKNQERQPPIPINKGLDDLHLFELASNIELSHGVPKICHLRTANSHPKIAFLFLTNSDLVFAPLWQRFFQGNEHLFNVYIHADPFTKLSPPDWSIRANFIPAKKTHRGSPTLVNAARRLLANAIIDDSSNLYFALLSQHCIPLHSFKFIYGSLLGNPDSPSSYKAFGNQHRHKSYIEILSGEPHLFNRYVARGPRVMLPEISFDRFRIGSQFFVLAKRHSLLDPKGCSHYTLTRVNWSDSIDGHPHTYRSPEVSPKLLRQLRTSNSSHSYFFARKFEPDCLKPLLKIAEEAIFRD >KJB84126 pep supercontig:Graimondii2_0_v6:KB204120:16601:17489:-1 gene:B456_N006000 transcript:KJB84126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFSEYMKSGGELAYQQLCSEITVEFNDCSKQVLEMESLFLNPDYCRVDLAELLRAIQTQEKQKLHLTATIQVLKKAGRPSERLMNHENCSFKKPMEHECVHLQEITEAAGTEEAEANAEYDNALKEAIRGVQDAVTAINEHLEEVRYEIAALETE >KJB84174 pep supercontig:Graimondii2_0_v6:KB204120:248844:250873:-1 gene:B456_N008300 transcript:KJB84174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IQHPFHRQHPLVLVAEQSNEGLKAHCDGCGELLSASCFTCIHCNYHLHKQCAEKCLDELPTKINHPSYHIHPIFLNHSYSGYFCNLCEKQHSGPFYGCTLCHFNINIGCVLPMSIAEGKSRHQHPFTLFRRRGSFICEACGIEGNSISYICSTCNVMVHKKCTSLPHLTMQHCKICFNEVKLDSGSYFCKKPGCNYVVHVNCVLEDERLYESSIIRVIEVTESGEATKIKHFSHQHCLVLADKMEEEIDRKCDGCMLSISTFFYYCSECPFFLHKTCVELPRIKQHWFRQSNAILNFKGFLECNFCCRPCSGFFYDIEEYLRMCLMCAKITDIIECKGQQHFLFFYFKCKEKCNGCGIRCWHGAFKCGKCRFVLDFACLALPHSALHKIDEHMLNLTYDDDKEQSYCDVCEQERDPTLWYYSCSICDTSAHPKCVLGQFPFLKDGITWAYADHSHTHHLKFFRKAEGYPECSRCGKLCQEEILKCEESTCNYIIHCKCRDYQVKSFVVSTLNN >KJB84140 pep supercontig:Graimondii2_0_v6:KB204120:205483:206564:-1 gene:B456_N007900 transcript:KJB84140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPALFKPKEPTSKQPWQWPSCKHPKTLSFRAGDDVFKTVNSMFFDPNTNNDSGVETPQSWFTNSSDSPSLSTTTTTDSDHQGFDGESLETVVRGARSERLFFEPGGDTSSILEEAKAAGGGGDGLFPFKESVILAMESDDPYVDFRKSMEEMVETHGMKDWEWLEQLLGWYLKVNGKNNHGFIIGAFIDLLVAITSSSDSTSYSSALSSFPSSPLCSTEGDVDEFQLQQNIVLP >KJB84178 pep supercontig:Graimondii2_0_v6:KB204121:71412:79551:1 gene:B456_N008600 transcript:KJB84178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNNSSEERPTVMVTNDDGIDAPGLRALVRVLISSNLFRVLVCAPASEQSAVSHSITWRHPVSVKQVDINGAIAYAVFGTPADCASLGISKELFSFVPDLVISGINMGSNCGYHVVYSGTVAGAREAFFNGIPAVSVSYDWVGGKSSVDDYTLAAEACLPIFRAILAEIKNKSYPLNGFLNIDLPTDIANHKGYKLTRQGKSIFKMGWEEVKSEGQGGKMLSTMEMESDSSARAEIDTATVAAGYRMFKRKVIRSVIDDVDTDKRSLQEGYITVTPLGAISPAETDCHSYFKEWLPSAVQQFSSSAL >KJB84180 pep supercontig:Graimondii2_0_v6:KB204121:72216:79506:1 gene:B456_N008600 transcript:KJB84180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSTGTPADCASLGISKELFSFVPDLVISGINMGSNCGYHVVYSGTVAGAREAFFNGIPAVSVSYDWVGGKSSVDDYTLAAEACLPIFRAILAEIKNKSYPLNGFLNIDLPTDIANHKGYKLTRQGKSIFKMGWEEVKSEGQGGKMLSTMEMESDSSARAEIDTATVAAGYRMFKRKVIRSVIDDVDTDKRSLQEGYITVTPLGAISPAETDCHSYFKEWLPSAVQQFSSSAL >KJB84177 pep supercontig:Graimondii2_0_v6:KB204121:71412:79506:1 gene:B456_N008600 transcript:KJB84177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNNSSEERPTVMVTNDDGIDAPGLRALVRVLISSNLFRVLVCAPASEQSAVSHSITWRHPVSVKQVDINGAIAYAVFGTPADCASLGISKELFSFVPDLVISGINMGSNCGYHVVYSGTVAGAREAFFNGIPAVSVSYDWVGGKSSVDDYTLAAEACLPIFRAILAEIKNKSYPLNGFLNIDLPTDIANHKGYKLTRQGKSIFKMGWEEVKSEGQGGKMLSTMEMESDSSARAEIDTATVAAGYRMFKRKVIRSVIDDVDTDKRSLQEGYITVTPLGAISPAETDCHSYFKEWLPSAVQQFSSSAL >KJB84179 pep supercontig:Graimondii2_0_v6:KB204121:71412:79506:1 gene:B456_N008600 transcript:KJB84179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNNSSEERPTVMVTNDDGIDAPGLRALVRVLISSNLFRVLVCAPASEQSAVSHSITWRHPVSVKQVDINGAIAYAVFGTPADCASLGISKELFSFVPDLVISGINMGSNCGYHVVYSGTVAGAREAFFNGIPAVSVSYDWVGGKSSVDDYTLAAEACLPIFRAILAEIKNKSYPLNGFLNIDLPTDIANHKGYKLTRQGKSIFKMGWEEVKSEGQGGKMLSTMEMESDSSARAEIDTATVAAGYRMFKRKVIRSVIDDVDTDKRSLQEGYITVTPLGAISPAETDCHSYFKEWLPSAVQQFSSSAL >KJB84182 pep supercontig:Graimondii2_0_v6:KB204121:121677:122273:1 gene:B456_N0087001 transcript:KJB84182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSGFQVDIDESDHKSLQEGYISITPLGILTHPDKDCTAYFEDWLPNMIQQHQSHNPLLD >KJB84181 pep supercontig:Graimondii2_0_v6:KB204121:121677:122263:1 gene:B456_N0087001 transcript:KJB84181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSGFQVDIDESDHKSLQEGYISITPLGILTHPDKDCTAYFEDWLPNMIQQHQSHNPLLD >KJB84183 pep supercontig:Graimondii2_0_v6:KB204121:121878:122273:1 gene:B456_N0087001 transcript:KJB84183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISITPLGILTHPDKDCTAYFEDWLPNMIQQHQSHNPLLD >KJB84175 pep supercontig:Graimondii2_0_v6:KB204121:11104:11732:-1 gene:B456_N008400 transcript:KJB84175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSMAITEDGALFYWVSSDPHLRCQQLYSLCKKTIVSISAGKYWAATATAIGDVYMWDGKKSMDKPPVATRLHRVKGKKIP >KJB84184 pep supercontig:Graimondii2_0_v6:KB204121:114744:118493:1 gene:B456_N0087002 transcript:KJB84184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVIDSKSKGEKGTILVTNDDGIQAPGLNALVSVLVSTHRFNVLVCAPQLEMSAVSHSITWRQPVRVEKVEMDGATAFKTSGTPADCASLGVSSVLFPSVPDLVISGINQGSNCGYHTLYSGTTAGAREAFLNGVPAISISYDCYGGLSLEEFEKMLSVEAGSKTKMNYEYEAAAKACLPIISGIIAEIKNNTYPQGCFLNMDLPKDIANHK >KJB84186 pep supercontig:Graimondii2_0_v6:KB204121:114766:120215:1 gene:B456_N0087002 transcript:KJB84186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVIDSKSKGEKGTILVTNDDGIQAPGLNALVSVLVSTHRFNVLVCAPQLEMSAVSHSITWRQPVRVEKVEMDGATAFKTSGTPADCASLGVSSVLFPSVPDLVISGINQGSNCGYHTLYSGTTAGAREAFLNGVPAISISYDCYGGLSLEEFEKMLSVEAGSKTKMNYEYEAAAKACLPIISGIIAEIKNNTYPQGCFLNMDLPKDIANHKGYKVTKQGKSMMKIRWKQITSNGQPSEIDHHLLFRRQ >KJB84185 pep supercontig:Graimondii2_0_v6:KB204121:114744:120215:1 gene:B456_N0087002 transcript:KJB84185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVIDSKSKGEKGTILVTNDDGIQAPGLNALVSVLVSTHRFNVLVCAPQLEMSAVSHSITWRQPVRVEKVEMDGATAFKTSGTPADCASLGVSSVLFPSVPDLVISGINQGSNCGYHTLYSGTTAGAREAFLNGVPAISISYDCYGGLSLEEFEKMLSVEAGSKTKMNYEYEAAAKACLPIISGIIAEIKNNTYPQGCFLNMDLPKDIANHKGYKVTKQGKSMMKIRWKQITSNGQPSEIDHHLLFRRQ >KJB84176 pep supercontig:Graimondii2_0_v6:KB204121:11731:14110:-1 gene:B456_N008500 transcript:KJB84176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQLFDALSAKGTPGDWSHIIKQIGMSTFIGLIRTRTNTTPQNIVYVFYDANHRQHAKGVNYIRNMIQSSLDKRLAMWEKYCLCHCFTVPEGFSLPKNSM >KJB84188 pep supercontig:Graimondii2_0_v6:KB204123:89497:91210:-1 gene:B456_N008900 transcript:KJB84188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLYNKHAVLACEGWSWSCHDPEFAPLMAGALVIFCCAWLWWSMKFTKINPPLPPGPLGLPIIGNLPFIKPELHRYFSDLSRIYGPVFKLRMGSVLAIVINSPSLAKEVLKVQDAIFANHDVPTATVVGTFGGINILWRPNGSRCNQLRKLVICEIMSKQSLDACYVLRQREVRRMVKEIHGKVGSSVNIYEQLSATALRVMMSTLWGDDPSQDLIDTECFGFFPILAPFDLQGIESKGKEQVSWFYGVFESMIKNRRNIRDDGKEKENIRKDFMQQLLELHWRGDEKSSLSINEVKALLLDMMVAGTDTVPTAVEWAMTELLCHRDKMSKLVKELDMVVGNQNTVEDSHIPQLVYLDAVIKETLRLHPVAPLLIPRVPSKTTVIGGFTVPKGCRVFINAWVIQRDPELWDDPLRFHPERFLETDINYRSNNFGFIPFGSGRRICVGVSLAEKMMALLLGSLVHSFEWGLSEGTKPSLEDKFGIVLKKTESLVGIPVARLPNLEQYQ >KJB84189 pep supercontig:Graimondii2_0_v6:KB204123:116503:117198:1 gene:B456_N009000 transcript:KJB84189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWRALRSSIVKINFDVALNRQRKMSCSGVVIRNETGIVFGSKLVIHDNILTTFAAEVMACLQAIQLGLDLQLSVVEIKGDAHSMVRKIQKKEDRSEIMPYIGDSKCLCVCLASFIVYKLF >KJB84187 pep supercontig:Graimondii2_0_v6:KB204123:17407:18398:-1 gene:B456_N008800 transcript:KJB84187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLYNKHAVLASEGWWWWDLKFTKINPLLPPGPLGLPIIGNLPFIKPELHRYFSDLSRIYGPVFKLRMGRVLAIVINSPSLANEVLKVQDAIFANRDVPVSSVVGTFGGLNILWRPNGPRFNQLRKLVICEIMSKQSLDACYVLRQREVRRMVKEIHGKVGSSVNIYKQLSATALRVMMSTLWGDDPSQDLIEFRKRLDEIIVTFAARNVSDLFPILAPFDLQGIESKAKEQVSWFYGVFEPMIKNRRNIRDDGKEKEKISKDFMQQLLELHWRGDEKNSLSINEVKALLLVNNFNTITST >KJB84191 pep supercontig:Graimondii2_0_v6:KB204124:80840:83503:-1 gene:B456_N009200 transcript:KJB84191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELVATRGVAVGLGQVADETCGGSTRCGARGATPIAETDDNFVCATLDWWPTEKCNYNQCPWGKAGILNLDLKMKGLINAVKAFNPLRIKIGGSLQDQVVYGVGEVKNCPNFIKNEDSLFGFSQGCLPLERWDQLNNFFNQTGVKVIFGLNALLGRNRSEIEKGLWVGDWQSQNARDFMKYTISKGYKVDSHEFVKELHPDPKTQPKVLGPSGYYDEKWFNSFLEVSGHDVVDGVTHHIYNLGPGDDPNMIAKIQDPSYLNQVSQTYKGVLNIVNKFKPQSGAWVSESGGALHGGAKDLSPTFADGFWYLDQLGMASTYNQKVFCRQTLIGGNYALLNTTTSIPNPDYYGALLWHRLMGSTVLAVTQESNPNLRVYAHCAKKKPGISIIFINLSKDSSFNATLSNYEHQGRNLRSTDVVKPNFEFRGSKDREEYHLAALAGNIRGQIVLLNDVPMVPTETFDIPAVEPKLVNASTPIHIAAHSIVYVTIRDFQAPACA >KJB84190 pep supercontig:Graimondii2_0_v6:KB204124:16754:18253:-1 gene:B456_N009100 transcript:KJB84190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYTISKGYKVDSYEFGNQLFGAGMGASVEAEQYGKDIVVLKNLVKELHPDPKTQPKVLGHSGYYDEKWFNSFLEVLGHDVVDGVTHHIYNLGPGDDPNMIAKIQDPSYFNQVSQTHKGVLNIVNKFKPQSGAWVSESGRALHGGAKDLSPTFADGFWYLDQLGMASTNNQKAFCRRTLIGGNYALLNTTTSIPNLDYYGALLGHRLMGSTVLAVTPESNPNLHVYAHCAKKKPGISIIFINLSKDSSFSVTLSNYERQGRNLRSTDVVKPNFEFRGSKDIEEYHLAALAGNIRGQIVLLNDVPMVPTETFDIPAVEPKLVNASTPIHIAAHSIVYVTIRDFQAPACA >KJB84192 pep supercontig:Graimondii2_0_v6:KB204124:120790:123216:-1 gene:B456_N009300 transcript:KJB84192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKCIFSLAILVSQISLSSTQNVNVVIQGATPIAETDDNFVCATLDWWPTEKCNYNQCPWGKAGILNLDLKMKGLINAVKAFNPLRIKIGGSLQDQVVYGVGGVKNCPNFIKNEDSLFGFSQGCLPMERWDQLNNFFNQTGVKVTFGLNALLGRNRSEIEKGLWVGDWQSQNAGDFMKYTISKGYKVDSYEFGNQLSGAGMGASVEAEQYGKDIVVLKNLVKELHPDPKTQPKVLGPSGYYDEKWFNSFLEVSGHDVVDGVTHHIYNLGPGDDPNMIAKIQDPSYLNQVSQTYKGVLNIVNKFKPQSGAWVSESGGALHGGAKDLSPTFADGFWYLDQLGMASTYNQKVFCRQTLIGGNYALLNTTTSIPNPDYYGALLWHRLMGSTVLAVTQESNPNLRVYANCAKKKPGISIIFINLSKDSSFNVTLSNYEHQGRNLRSTDVVKPNFEFRGSKDREEYHLAALAGNIRGQIVLLNDVPMVPTETFDIPAVEPKLVNASTPIHIAAHSIVYVTIRDFQAPACA >KJB84195 pep supercontig:Graimondii2_0_v6:KB204125:59988:60183:-1 gene:B456_N0095002 transcript:KJB84195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIQIDAAMTIHHPEVSGFVSYCRLLQEAVNPWNRGYSRLTRCRS >KJB84194 pep supercontig:Graimondii2_0_v6:KB204125:65744:65829:-1 gene:B456_N0095001 transcript:KJB84194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNMKTWLICLALIGIVVMEE >KJB84193 pep supercontig:Graimondii2_0_v6:KB204125:41793:42275:1 gene:B456_N009400 transcript:KJB84193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYFGQYSARLLKKADQFRAVYASSHLFWVDDQDGIKDGVSKQITSSAIHGLIELINTEMQSDSTNPYSVADAFLASTLCYIQFQKQKGGVVGEKFDSVKL >KJB84196 pep supercontig:Graimondii2_0_v6:KB204125:93810:95116:1 gene:B456_N009600 transcript:KJB84196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVEEDDFQVFFTVRCSTSTFFELTVLNGAASFSLFTWHIGWLQCVEIPKFVDTATPQFKPKFDALLVELKEVEEKSLKESKRLEKEIADVQELKQKISTMTVDEYFEKHPELKNKFDDEIHNDNWGY >KJB84199 pep supercontig:Graimondii2_0_v6:KB204127:27677:28701:1 gene:B456_N009900 transcript:KJB84199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSIPLSSVPQLTKENYGKWSIQMKALLGSLEVWEIVEKGYNEVEAKEEEGLTQVQKESLRKSRKKNQQALFWIYQGVQSYEAAWEKIACATTAKQAWEFLQNSFKGDEKVKRVRLQTLR >KJB84201 pep supercontig:Graimondii2_0_v6:KB204127:36410:48730:-1 gene:B456_N010100 transcript:KJB84201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FAIVFIIKYCFLQLFSQQDCGTHILKSKFKILKNFLSSITIMAWSAVTSAVTTIGELLTEEAIYLWGVEEQVDRLQTELQWMQSSLMVAETKQSTDERIRLWVAEIRELAYDAEDIVEEFALKIGSKNKGGLPSCIKRSACCLKEGWVLHETRSKIEKIIERINDLVRRLQAYGVKELKDKGEESSFSTERRESRRPYPHIMDDNIVGLVDDTEGLVKVLTKESGCKVVTIWGMGGLGKTTLAKKIYHHPQVVDYFDHLAFVYVSQPCRKRNVWEDILSGFKTLDKKDRKKTDEDLAKKLCKTLEDKKCLVILDDVWTSEAWDSLKPAFPVATGRDSNSKILLTSRNKGIVSDAAIRELNCLNDEESWELFQKIVFPQTGNIIDVEMKKLGENMVKDCAGLPLAIVVLGGILATKKNLLNEWRKISDNVKSYLKRGKNQGPEDVLALSYDDLPLYLRPCFLYLSHFPEDYMIDVDSLIQLWVAEGIVSSKQEERDGGEIAEDVAESYLMELVERCMIQVRERDVATLKVKTIQMHDLMRDLCLSKAKQENFVFIVDQSNASSLSMIRKVRRVSVHEFFFIQCIKSPNIRSLLFFNEFFPNEALEKYLPLEVLNYVEEHENDVCNPLYWILLISGISTMDLKARGIWRYMFNNFKLLRVLNYERTTGDRFGGSKLPSDIGNLIHLRFLSLKDLEFLWQKLPSSLRNLRCLQTLDLRVDIGRIHVPNVIWRMEQLRHLYLPSRCKSRTKLKLGTLRKLLTLVNFNTKNCYLKDLINMKNLRELGINFPFNIENFNEKELGENPPIIGIKYDLHSLSIITSGDKSIDPKHLAHLLSNCISIFKLIIKTRISELPEYHYFSSHLAYIGLRWCKLEKDPMPTLEKLPNLRILELESYFEGKEMFCSAHGFPKLESLILTEVNNLEEWKVDEGAMPSLQRLEIKGCLGLKMLPEGLRFITTLKELKIESMPDEFKKRLEEGGEDFYKVKHVPSIIFQRIQRKSDIDLFSFAFQVKTPFFHLLLLFTGSNLDLKLLL >KJB84198 pep supercontig:Graimondii2_0_v6:KB204127:2447:4636:1 gene:B456_N009800 transcript:KJB84198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRLAQHGRLGPMYLNIERQGSFRMFPSGARFKVLGFSSENGLNNAAMMGYAFDPNKNYVFVIGKLSGGELCCVITENLADDEGPRMRAEAIAGRICQVLERNWNI >KJB84197 pep supercontig:Graimondii2_0_v6:KB204127:1267:1941:1 gene:B456_N009700 transcript:KJB84197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNAGEVMHNFNRIEEERKREKRKRIEEEERIDFLHKMAYLLISHAGFYYGCELAVTKSVIGLFLEQL >KJB84203 pep supercontig:Graimondii2_0_v6:KB204127:52645:64414:-1 gene:B456_N010200 transcript:KJB84203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAVTSAVTTIGNLLTEEAIYQWGVEEQVDRLQTELKWMQSSLMEAETKQSKDERIRLWVAEIRELAYDAEDIVEEFALKIGSKNKGGLPSCIKRSACCLKEGWVLHETRSKIEKIIEKINDLVRRLQAYGVKELKDRGEESSSSTERRESRRPYPHIMDDNIVGLVDDTEGLVKVLRNESGCKVVTIWGMGGLGKTTLAKKIYHHRQVIDYFDHLAFVYVSQPCQKRNVWEDILSGFNTLDKVDRKIRDEALAEKLYNILKVKKCLVILDDIWTIATGCHSNSKILLTSRNKGIVSEAEIRELKCLDEEESWELFQKIVFPQTGNIIDVEMKKLGENMVKHCAGLPLAIVVLGGILATMNNSLNEWQKISDNIKSYLKRGKNQGPEDVLALSYDDLPPYLRLCFLYLSHFPEDYEIHVDRLIQLWVAEGIVSSKQEGRDGGEIAEDVAESYLMELVERCMIQVREIDVATLKVKKIQMRDLMRDLCLSKAKQENFVFIVDRSNASSLSMIRKFFPEGALEKSLPLEVHLKIRGIWRYLFNNFKLLRVLNYEIRRTCDLFGEWMLPSAIGNLVHLRFLSLRNLKFQWSKLPSSLGNLRCLQTLDLRVDNDRIHVPNVIWRMEELRHIYLPFSCKSRTKLKLGTLRKLLTLVNFNTKNCYLKDLINLTNLKTVGDKFARQY >KJB84202 pep supercontig:Graimondii2_0_v6:KB204127:58270:64414:-1 gene:B456_N010200 transcript:KJB84202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAVTSAVTTIGNLLTEEAIYQWGVEEQVDRLQTELKWMQSSLMEAETKQSKDERIRLWVAEIRELAYDAEDIVEEFALKIGSKNKGGLPSCIKRSACCLKEGWVLHETRSKIEKIIEKINDLVRRLQAYGVKELKDRGEESSSSTERRESRRPYPHIMDDNIVGLVDDTEGLVKVLRNESGCKVVTIWGMGGLGKTTLAKKIYHHRQVIDYFDHLAFVYVSQPCQKRNVWEDILSGFNTLDKVDRKIRDEALAEKLYNILKVKKCLVILDDIWTIATGCHSNSKILLTSRNKGIVSEAEIRELKCLDEEESWELFQKIVFPQTGNIIDVEMKKLGENMVKHCAGLPLAIVVLGGILATMNNSLNEWQKISDNIKSYLKRGKNQGPEDVLALSYDDLPPYLRLCFLYLSHFPEDYEIHVDRLIQLWVAEGIVSSKQEGRDGGEIAEDVAESYLMELVERCMIQVREIDVATLKVKKIQMRDLMRDLCLSKAKQENFVFIVDRSNASSLSMIRKFFPEGALEKSLPLEVHLKIRGIWRYLFNNFKLLRVLNYEIRRTCDLFGEWMLPSAIGNLVHLRFLSLRNLKFQWSKLPSSLGNLRCLQTLDLRVDNDRIHVPNVIWRMEELRHIYLPFSCKSRTKLKLGTLRKLLTLVNFNTKNCYLKDLINLTNLKTVGDKFARQY >KJB84200 pep supercontig:Graimondii2_0_v6:KB204127:33299:34954:1 gene:B456_N010000 transcript:KJB84200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPPSTRGLPIIFMLDHLLLEDDKVLKRLINSLLAQHDRLQPMYLNIERQVSFRMFPSGARFNVLGFSSENGLNNAAMIGYAFDTNKNYVFVIGKLSGGEFCCVITENLADDEGPRMHAEAIAERIYQALERNWNI >KJB84205 pep supercontig:Graimondii2_0_v6:KB204128:24543:25561:-1 gene:B456_N010400 transcript:KJB84205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALTGKLEADVEIKASPEQFHEMFAHKPHHVHHTCYDKIQGCDLHEGEWGKVGTIVHWSYVHDGKAKKAKEVVEAVDPDKNLVTFRVIEGDLMEEYKSFVITIQVSPKGEGSGSVVHWTLEYEKLHGGIAHPETLLQFVQDISKDIDAHLTQAS >KJB84204 pep supercontig:Graimondii2_0_v6:KB204128:8016:8776:-1 gene:B456_N010300 transcript:KJB84204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALIGKLEADVEIKASPKQFHEMFAHKPHHVHHTCYDKIQGCDLHEGEWGKVGTIVHWSYVHDGKAKKAKEVVEAVDPDKNLVTFRVIEGDLMEEYKSFVITIHVSPKSEGSGSVVHWTLEYEKLHGGIAHPETLLQFVQDISKDIDAHLTQAS >KJB84207 pep supercontig:Graimondii2_0_v6:KB204129:15407:16244:-1 gene:B456_N0105002 transcript:KJB84207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTKRVEIIKGIAHALSYLHHDCCPPIVHRDISSNNVLLNSSFEAFVADFGTARMLDLDSSNQTIIVGTCGYVAPELAYTMIVTEKCDVYSFGVVALEIVMGKHPEELLSWLSSPTSLVNMKLVDVLDNRLPLPTSQLVTQNLVHVATLAFACLNPQPKSRPTMKEVCEEFLSCHTSLGIPLRMISLLQLMNREMHIGGKTKTCDV >KJB84206 pep supercontig:Graimondii2_0_v6:KB204129:15373:16230:-1 gene:B456_N0105002 transcript:KJB84206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEVNAVEMDWTKRVEIIKGIAHALSYLHHDCCPPIVHRDISSNNVLLNSSFEAFVADFGTARMLDLDSSNQTIIVGTCGYVAPELAYTMIVTEKCDVYSFGVVALEIVMGKHPEELLSWLSSPTSLVNMKLVDVLDNRLPLPTSQLVTQNLVHVATLAFACLNPQPKSRPTMKEVCEEFLSCHTSLGIPLRMISLLQLMNREMHIGGKTKTCDV >KJB84208 pep supercontig:Graimondii2_0_v6:KB204129:16392:18421:-1 gene:B456_N0105001 transcript:KJB84208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLTISVILGVLWATILLSFATTVTAAYPSPLESEAIALLESRWWSNHSSNTSQRCQWPGITCNTAESITQINLSDAPNIEVGDRFGKLNFSSFPNLVLLDLSNHQLRGKIPHQIGDLSALKYLDLSNCGLSGCLLFSRFKLKNNHVNVQPTKNGDLCSIWNYDGKIAYEDIVAATEDFDFRYCIGVGGYGSVYKAELPCGKVVALKKLHHLEAENPTFDRSFRNEIKFLSEIRHRNIVKLH >KJB84209 pep supercontig:Graimondii2_0_v6:KB204131:86198:86381:-1 gene:B456_N0106001 transcript:KJB84209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNVYSVQLVLFLTCGAVMATKENDRIIKENNCETKMGLPCVLEVFTSIFETGSISN >KJB84210 pep supercontig:Graimondii2_0_v6:KB204131:80666:80837:-1 gene:B456_N0106002 transcript:KJB84210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CCGELVVLGKVCHSALVKRTLENPLFKDLSPTTIIAKSIQTWNNFLALIDSPSPSA >KJB84213 pep supercontig:Graimondii2_0_v6:KB204132:44082:45118:-1 gene:B456_N010900 transcript:KJB84213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYERHQQWMVQFGRVYKDTNERQKRFQIFKQNMARIDSFNAANNKPYKLGVNQFADLTNQEFTASRNGFKGHMCSNTATTFKYENATALPSTVDWRKKGAVTPIKDQGQCGCCWAFSAVAAMEGVTKLTTGKLISLSEQELVDCDTKGEDQGCEGGLMDDAFQFIEKNKGLTTESIYPYKGVDGTCNINEEANHAAKINGFEDVPANSEDALQKAVANQPVSVAIDAGGFDFQFYSGGVFTGSCGTDLDHGVTAVGYGEDGGTKYWLVKNSWGSSWGEEGYIRMQRDVDAKEGLCGIAMQASYPTA >KJB84211 pep supercontig:Graimondii2_0_v6:KB204132:2526:2836:-1 gene:B456_N010700 transcript:KJB84211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKHQLHHHFTCLALLIFILGVCEATSRAALEDASMYERHQQWMVQFGRVYKDTNERQKRFQIFKQNVARIDSFNAANNKPYKLGVNQFADLTNQEFTASRN >KJB84212 pep supercontig:Graimondii2_0_v6:KB204132:24600:25782:-1 gene:B456_N010800 transcript:KJB84212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNQLHHHFTCLALLIFILGICEATSRAALEDASMYERHQQWMVQFGRVYMDTNERQKRFQIFKQNVARIDSFNAANNKPYKLGVNQFADLTNQEFTASRNGFKGHMCSNTATTFKYENATALPSTVDWRKKGAVTPIKDQGQCGCCWAFSAVAAMEGVTKLTTGKLISLSEQELVDCDTKGEDQGCEGGLMDDAFQFIEKNKGLTTESIYPYKGVDGTCNTNEEANHAAKINGFEDVPANSEDALQKAVANQPVSVAIDAGGFDFQFYSGGVFTGSCGTDLDHGVTAVGYGEDGGTKYWLVKNSWGSSWGEEGYIRMQRDVDAKEGLCGIAMQASYPTA >KJB84219 pep supercontig:Graimondii2_0_v6:KB204133:25942:32445:1 gene:B456_N011100 transcript:KJB84219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREKEEEDDDDDDEVLLGISPRPNTFSYSELKAATEDFNPSNKLGEGGFGPVYKGTLSDGKVVAVKQLSVASNQGKDQFVTEIATISAVQHRNLVKLYGCCIGGNRRLLVYEYLVNKSLDHALWGKKDLHLDWPTRFNICLLTAKGLAYLHEESRPKIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHITSRAAGTVGCLAPEYAMRGHLTEKVDVFSFGVVALEIITGRPNSDNRLEDGRVYLLNWAWTLHENNQLLSLLDRTLAEFDENEALRVIGVAFLCTQAAMESRVRTILFQR >KJB84217 pep supercontig:Graimondii2_0_v6:KB204133:26305:27998:1 gene:B456_N011100 transcript:KJB84217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREKEEEDDDDDDEVLLGISPRPNTFSYSELKAATEDFNPSNKLGEGGFGPVYKGTLSDGKVVAVKQLSVASNQGKDQFVTEIATISAVQHRNLVKLYGCCIGGNRRLLVYEYLVNKSLDHALWGKKDLHLDWPTRFNICLLTAKGLAYLHEESRPKIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHITSRAAGTVGCLAPEYAMRGHLTEKVDVFSFGVVALEIITGRPNSDNRLEDGRVYLLNWAWTLHENNQLLSLLDRTLAEFDENEALRVIGVAFLCTQAAMESRVRTILFQR >KJB84216 pep supercontig:Graimondii2_0_v6:KB204133:25050:27998:1 gene:B456_N011100 transcript:KJB84216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLEYLKACFISAMRCILFKFLISVTGNHLEIHLFWAGKGTTGIPTTGTYGPAISAISVVPNFKPSHTALIAVVTIPVVALALILIFSILYMKREKEEEDDDDDDEVLLGISPRPNTFSYSELKAATEDFNPSNKLGEGGFGPVYKGTLSDGKVVAVKQLSVASNQGKDQFVTEIATISAVQHRNLVKLYGCCIGGNRRLLVYEYLVNKSLDHALWGKKDLHLDWPTRFNICLLTAKGLAYLHEESRPKIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHITSRAAGTVGCLAPEYAMRGHLTEKVDVFSFGVVALEIITGRPNSDNRLEDGRVYLLNWAWTLHENNQLLSLLDRTLAEFDENEALRVIGVAFLCTQAAMESRVRTILFQR >KJB84218 pep supercontig:Graimondii2_0_v6:KB204133:25358:29257:1 gene:B456_N011100 transcript:KJB84218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREKEEEDDDDDDEVLLGISPRPNTFSYSELKAATEDFNPSNKLGEGGFGPVYKGTLSDGKVVAVKQLSVASNQGKDQFVTEIATISAVQHRNLVKLYGCCIGGNRRLLVYEYLVNKSLDHALWGKKDLHLDWPTRFNICLLTAKGLAYLHEESRPKIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHITSRAAGTVGCLAPEYAMRGHLTEKVDVFSFGVVALEIITGRPNSDNRLEDGRVYLLNWAWTLHENNQLLSLLDRTLAEFDENEALRVIGVAFLCTQAAMESRVRTILFQR >KJB84220 pep supercontig:Graimondii2_0_v6:KB204133:44003:50639:1 gene:B456_N011200 transcript:KJB84220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEIVRALNSIFQQWDVQAVDSWNTTGDPCSGSALSQVDSVFEAASNNPAIRCDCSFNASTVCHITRLKIDGLDKRGELPEELLDLPYLTFLRIDRNFFSGPLPAFIGNMSRLGTLSVAHNFFYGSIPRELGNLKELCLLSVGNNNFSGTLPPELGSLVKLQQIYINSCGLGGEIPSTFANLENLEIVWASDTAFTGKIPDFIGNNWTKLTSLRIGGNSFQGPIPSSFANLTSLTCLRIGRIYNGSSSLNFVRNLKNLTDLVLRNVLLTGTLPSYITELQSLQKLDLSFNNLTGEIPRALFTMNSLQYLFLGNNSLSGAIPSQKSESLRTIDLSYNFLSGNLPSWVNSGLQLNLVANNFTLNSTNIRLLPGLECLQRSFPCNRNAPRYANFAIKCGGPQMTSTGILFEAENSKLGAATFNVTSTQKWAVSNAGLFADRQDPLFVQDTSAQVKSTNTPELYQTSRLSPGSLRYYGLGLQNGPYTVRLFFAETGFPDRTTQSWKSLARRVFDVYIQGTRRLRDFDISKAAGGVERAIITNFTANVTENHLEIHLFWAGKGTCCVPTQGYYGPSISAITVVPNFKPNVSGIPPGIPEEKNHVALIVGVTVPIVALAFILVFVIIYAKSQRDDDDEELLLGINPRPNTYSYSELKAATENFSSSNKLGEGGFGPVYKGTLSDGTVVAVKRLSVASNQGKEQFVTEIATITAVQHRNLVKLLGCCIAGKRRLLVYEYLENKSLDQALFGKIDLRLDWPTRFNICLSTARGLAYLHEESRPRFVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHVTTRAAGTIGYLAPEYAMRGHLTEKADVFGFGIVAFEILSGRPNADNTLENDKIYLLEWAWTLHENNQLLNLVDPTLLEFNEDEALRVIGVAFLCTQGSPLMRPPMSRVVGMLAGDFEVSKVATKPSYITDWAYKDITRSFMKEESQTSNASDHSSNNVKSNNKTTVGTDDQPRHSPVNITEFHEIIGEGR >KJB84214 pep supercontig:Graimondii2_0_v6:KB204133:15785:15839:1 gene:B456_N0110001 transcript:KJB84214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFKTISS >KJB84215 pep supercontig:Graimondii2_0_v6:KB204133:9755:10356:1 gene:B456_N0110002 transcript:KJB84215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLMMKPPLSSSKGLLFFYLVVLFSCSQSVNAQTNQTNATTDPSEVNPLLPRLTPPPILPK >KJB84221 pep supercontig:Graimondii2_0_v6:KB204134:21407:21972:1 gene:B456_N011300 transcript:KJB84221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFDTSNCSTVFPLLCILLLFLSVEARTCSPRQCNQENDSDCCKDGKWYTTYKCSPPVSSQTKATLTLNSFEPDGDGGAPSECDNQYHSDDDPVVALSTGWYNNGKRCLNYINIHGNGKSVRAKVVDEYDSTMGCDPDHDYRPPCPNNIVDASKAVWKALGVHESDWGGMDIYCSDTDI >KJB84223 pep supercontig:Graimondii2_0_v6:KB204135:56658:57474:-1 gene:B456_N011500 transcript:KJB84223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTYEFEVTSPVAPTRLFKAFVLEGAKVYPKAAPHAIKSVELEGDGKPGSIVKINFVEGLPFQYMKHMIGGHDESNLSYSYSLIEGGPLGDKLEKISYENQFVADASGGSVCKSSIKFYTIGDYVITEDEIKALIQRSEVVYKAIEAYLLANTDACN >KJB84222 pep supercontig:Graimondii2_0_v6:KB204135:56284:57474:-1 gene:B456_N011500 transcript:KJB84222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTYEFEVTSPVAPTRLFKAFVLEGAKVYPKAAPHAIKSVELEGDGKPGSIVKINFVEGLPFQYMKHMIGGHDESNLSYSYSLIEGGPLGDKLEKISYENQFVADASGGSVCKSSIKFYTIGDYVITEDEIKALIQRSEHE >KJB84224 pep supercontig:Graimondii2_0_v6:KB204135:45203:46353:1 gene:B456_N011400 transcript:KJB84224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTYNYDSTSPVAPARLFKAFVLEADKVWPIAAPHAIQSIEVEANPGPGSIVKINFVEGLPFQYMKHQIGGHDENQFSYSYSLIEGGPLGDKLEKINYENKFEAAVGGGSVCKSSMKFYTFGDYVITEDEIKALIKGSEGVYKAIEAYLLANPDACN >KJB84225 pep supercontig:Graimondii2_0_v6:KB204137:31300:31761:-1 gene:B456_N0116001 transcript:KJB84225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPEKIEEGLAHEENMEKKGDKLVEQQQQQQQLQEQQQPILVKQKTKRVATLDAFRGLTIVLMILVDDVGGSYARIDHSPWNGCTLADFVMPFFLFIVGVAIALAL >KJB84228 pep supercontig:Graimondii2_0_v6:KB204137:25496:28493:-1 gene:B456_N0116004 transcript:KJB84228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KIPKIMDTIKKICLRTLKLLFWGVLLQGGYSHAPNDLVYGVDMKLIRWCGILQRIALVYFIVSVIETFTTKRRPTVLEPGYSSIFTAYCWQWLGGFVAFVIYMTTTYSLYVPDWSFVVSTDSKITQYTVKCGMRGHLGPACNAVGYVDREVWGINHLYMYPVWQRLKACTHSSPSS >KJB84226 pep supercontig:Graimondii2_0_v6:KB204137:24589:24750:-1 gene:B456_N0116002 transcript:KJB84226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IDVWGWRTPFLFLEWIGMNAMLIYVLGAQGILPAFVNGWYYKSTNNTLVSNII >KJB84229 pep supercontig:Graimondii2_0_v6:KB204137:33510:34178:1 gene:B456_N011700 transcript:KJB84229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIVVVKLVGKKIGFKILFNKVFSLWKPRGQFQLMDLENDFYLIRFQDKDDFDKILMGGPWVIFGHYLTIRPWSLDFSATNKEVDNQIVWISLLGLSEGYYSKMLLRAIRKEIGLVIKTDEHTNAAIRGRFARLVVCVDLRKPLISKVQINGKTQRVEYEYLPNIYFTCGLYGHTTAFCLGKNIGMMENPTDTTAPVTEESNLINRVEKEPFCPWMLLERK >KJB84227 pep supercontig:Graimondii2_0_v6:KB204137:24893:25343:-1 gene:B456_N0116003 transcript:KJB84227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HIHSILAILSGTIGIHYGHVLIHFKGHSERLKQWVSIALGLLIVAIILHFTDAIPINKQLYSFSYVCFTAGAAGIVFSVFYIL >KJB84233 pep supercontig:Graimondii2_0_v6:KB204140:7594:8037:-1 gene:B456_N012000 transcript:KJB84233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAKKLIKLARKWQKIAAIKRKRITFSRTSGDVVDTTSCSTSSEVKKGHFVVYSADEKRFVLPLEYLKNKIVMELFNLAEEFGLSGNGVLILPCDATFMEYVIALVKRNPSKAVEQALILSIASDHCISSHLYQEETSQQLLICSF >KJB84231 pep supercontig:Graimondii2_0_v6:KB204140:11601:14876:-1 gene:B456_N012200 transcript:KJB84231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISARKLIKLARKWQKLAAIRRKRITFSSTSSMVEKGHFVVYSADEKRFMLPLEYLKNEMVMELFNLAEEEFGIPSNGHLILPFDSTFMEYAIGLIKRKASKEVEKALIVSIVNGRCSSSSNLYQQETSQQLPIWMVVKGCFVISTTDKRHFVIPLAFLSNCIFRELFKMSEEEFVLPSDGPITLPCDSVFMNYIISIVKRGLSKDLERAVVNSISTYCFSSDTYFNQGHEDTQSLVFGF >KJB84232 pep supercontig:Graimondii2_0_v6:KB204140:9050:9965:-1 gene:B456_N012100 transcript:KJB84232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKKLVQLARKWQKMVAIRRKRITLPRATLDTDTNSCSTSTTVVKGHFVVYSADQKRFVLPLEYLKNEIVMELFNLAEEEFGVPGNGLLILPCDAPFLEYVIDLIKRKPSKDIEKALILSVASSRCSSSNHYQHETSQQLPIWSF >KJB84235 pep supercontig:Graimondii2_0_v6:KB204140:3329:4346:1 gene:B456_N011800 transcript:KJB84235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVALADATIYNHMVWLSLSPFNELLHSKDFLWDASLCVYIYIHPIPVFISSAQRKLSGFLRSLHSLPPLVQLNILPCFTKANKMISAKKLIKLARKWQKMAAIKRKRITFSRTSGDVVDTTSCSTSSAVKKGHFVVYSADEKRFVLPLEYLKNKIVMELFDLAEEFGLSSNGALILPCDATFMEYVIALIKRKPSKAVEQALILSIASDHCISSYLYQQETSQQLPICSF >KJB84234 pep supercontig:Graimondii2_0_v6:KB204140:5114:5805:-1 gene:B456_N011900 transcript:KJB84234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAKKLIKLARKWQKNAAIKRKRITFSSTASMVEKGHFVVYSTDEKRFMLPLEYLKNEIVMELFNLAEEEFGISSIYGHLTLPFDSTFMEYAIGLIKRKASKEVEKALIMSIVNARCASSSSLNLYQQETRQQLPIWSF >KJB84230 pep supercontig:Graimondii2_0_v6:KB204140:13475:13932:-1 gene:B456_N012300 transcript:KJB84230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTKIFIRMERKWKKLSVIGRRRITSSLVDNGHFVIYTIDQKRFVIPLAYLRNNIFMELLKMSEEEFGLPSDGPIIFPCDSVAMNYIVSLLRRSLSKG >KJB84237 pep supercontig:Graimondii2_0_v6:KB204144:2414:2965:-1 gene:B456_N012400 transcript:KJB84237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLTWILILHLFSVSVQSQYYTESLPYDPEEAKVTNLHFFLHEFLSGSNPTVAVIAQANITSSDNNSSVPFSTIFALDDILKIGPEDNAEVIGNAQGLGILIADTNTTNLLWYWDFGFITGKFNGSSISMFSRNPTTVTVRELSVVGGRGKFRMAKGFAQLKDFSRNDTTTIAELNVTVIHY >KJB84236 pep supercontig:Graimondii2_0_v6:KB204144:11102:11437:1 gene:B456_N012500 transcript:KJB84236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPLTMESEPTSTLIGNSQGLYLDLSRERTQFTAIIYADFAFTTGRFNGSSFSLFSRFSAADAPSTIREMAIVGGRGAFRTATGFALLRVTWSNTMGDAIVEFNVTLYHY >KJB84238 pep supercontig:Graimondii2_0_v6:KB204146:13341:13568:1 gene:B456_N012700 transcript:KJB84238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HATLKSHGVFRSSPRGWFTFGHALFALLFFFGHIWHGARTLFRDVFIGIDPALDAQVEFGAFQKLGDPTTRRQVV >KJB84239 pep supercontig:Graimondii2_0_v6:KB204146:7398:8132:-1 gene:B456_N012600 transcript:KJB84239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVIKVSMHCSKCRTEALQVAAVAYGVNSVALHGPEKDKLMILGEGVDVACLTEALRKKLCHATIEIVEEVKEPSPPKPPAPPTPPPQIIYRQQPPQFECYRVVADPSPAPCTIM >KJB84245 pep supercontig:Graimondii2_0_v6:KB204151:27227:27928:-1 gene:B456_N013000 transcript:KJB84245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKCALDLGILDIIHDHGKPMTITELVAALQMLNPAKACDIYRLMRILVHSAFFARQKLDTDAQEEGYVLTNSSRILLKNNPFCVTPTLKATMDPIITKPWSFLGTWFQNDDHTPFATAYGKTLWGYFTHDPQLKDLINDGLPSDSQLVTSVLVDKCKGAFEGLNSLVDVGGGTGTTAKAIADTFPLMECTVFDLPNIVAGLQGSKNLKYVGGNMFEAFPTGDAILLKVKLY >KJB84242 pep supercontig:Graimondii2_0_v6:KB204151:5289:5606:-1 gene:B456_N0128002 transcript:KJB84242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVLHDWNDEGCLTILKRCKEAISSQDKVGRKLIIIDMVVRENEQVNDEASSLTKTQLFFDMLMLVLVAGKERREEEWAKLFSEAGFSYYKITTIVGLTSLIEVYP >KJB84243 pep supercontig:Graimondii2_0_v6:KB204151:5118:5606:-1 gene:B456_N0128002 transcript:KJB84243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVLHDWNDEGCLTILKRCKEAISSQDKVGRKLIIIDMVVRENEQVNDEASSLTKTQLFFDMLMLVLVAGKERREEEWAKLFSEAGFSYYKITTIVGLTSLIEVYP >KJB84240 pep supercontig:Graimondii2_0_v6:KB204151:5828:7222:-1 gene:B456_N0128001 transcript:KJB84240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIITKPWSFLGTWFQNDDHTPFATAYGKTLWDYFTHDPQLKDLINDGLPSDSQLVTSVLVDNCKGAFEGLDSLVDVGGGTGTTAKAIADTFPLMECTVFDLPNIVAGLQGSKNLKYVGGNMFEAFPVADAILLK >KJB84241 pep supercontig:Graimondii2_0_v6:KB204151:5828:6235:-1 gene:B456_N0128001 transcript:KJB84241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIITKPWSFLGTWFQNDDHTPFATAYGKTLWDYFTHDPQLKDLINDGLPSDSQLVTSVLVDNCKGAFEGLDSLVDVGGGTGTTAKAIADTFPLMECTVFDLPNIVAGLQGSKNLKYVGGNMFEAFPVADAILLK >KJB84244 pep supercontig:Graimondii2_0_v6:KB204151:9122:9436:-1 gene:B456_N012900 transcript:KJB84244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMGNANGEHAIEALQAQAHIWRHAFNFVSFMSLKCALDLGILDIIHDHGKPMTITELVSALQMLNPAKACDIYRLMRILVHSDFFARQKLDNDAQEEVLTPFF >KJB84247 pep supercontig:Graimondii2_0_v6:KB204154:94:1233:1 gene:B456_N013100 transcript:KJB84247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKLLMLSVVAIFLPAMAMATDYIVGDDSRWTINFDYQAWAKDKVFYVGDKLVFQYPKGYHNVFKVNGTAFKNCDIPPANQALSSGNDTVVLNTPGRKWYICGVSNHCSAYAQKLFITVQYQYGWAPAPTPQILQVSQPWAPTPAPTPSSPSTPAPTPSVPDTIVTDPWASSPISSSPPLPTPIAPSWPPAPSLPTTPAPTPEPWAPTTLS >KJB84246 pep supercontig:Graimondii2_0_v6:KB204154:2926:5917:-1 gene:B456_N013200 transcript:KJB84246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISAFSTPLHLISKTQFKSPKNLFFYPNSIHFQTKVSTFSSNNLTLSFKNSKTLYGTWKLKSAEEEETTVVEQEREETTVAEQESVSVPVSPSDTLRMYFQADGMLNEAEILKVTKALEVSVDILYCREKYSNGMVISSALIIWKGLICIIGSESPVVVVLSGSMEPGFK >KJB84249 pep supercontig:Graimondii2_0_v6:KB204160:1:1066:-1 gene:B456_N0133002 transcript:KJB84249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSPDLELLTDQMGHLTSKSTKPHQTP >KJB84248 pep supercontig:Graimondii2_0_v6:KB204160:1196:3790:-1 gene:B456_N0133001 transcript:KJB84248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPSENGSQSIAPFLKKCYEMVDDESTDAIISWSQNNDSFIIWDMTEFSVHLLPKFFKHSNFSSFIRQLNIYGFRKIDTDRWEFANDGFVRGQKDLLKNIARRKHSPGSEQRKPLQQQPLQQLENTVGSCENNENTALWKEVENLKTDKNALMQELVKLTQFQETADNKVLLLKERLQGMEKSQQQLLSFLIMAMQSPGFLVQLIQPKENNWRMAEPSNMLEQVTEDGEPIASDNMIVRYQPPVDGTSKPVLAPVVDCENPHESDNSSDGTKDFWMNIDFVKVLMDESHTPFIPPDLHDDGAWEKLLLGNTFLENNDDGNQDKEIPMNS >KJB84250 pep supercontig:Graimondii2_0_v6:KB204165:1981:4457:1 gene:B456_N013400 transcript:KJB84250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIYQFVFFFILAFLTFGRYDIALGINTFGAFNVLNFGKKCDKIKLFLHISTAYVCGEKAGIILEKRFYMGETLKGTHSINIFEEKRTMEEQLAQLRCHGAPDKAIKSSMKEFGLKRAMGEMLLGQFKGDLPLVIIRPTAIASTYKQPFPGWIEGVRTFDSFIVSYGKGKLTCFPANPNTIVDVIPVDMVVNAMVVAMRVHYAERHVCETIYHVSSSFRNPLTLSDLRNLFHCYFIKNPWIDANGLRVKVGQLTFFSKANRYLLLMQMKYVLPLKVWCS >KJB84251 pep supercontig:Graimondii2_0_v6:KB204165:1981:4929:1 gene:B456_N013400 transcript:KJB84251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIYQFVFFFILAFLTFGRYDIALGINTFGAFNVLNFGKKCDKIKLFLHISTAYVCGEKAGIILEKRFYMGETLKGTHSINIFEEKRTMEEQLAQLRCHGAPDKAIKSSMKEFGLKRAMGEMLLGQFKGDLPLVIIRPTAIASTYKQPFPGWIEGVRTFDSFIVSYGKGKLTCFPANPNTIVDVIPVDMVVNAMVVAMRVHYAERHVCETIYHVSSSFRNPLTLSDLRNLFHCYFIKNPWIDANGLRVKVGQLTFFSKANRYLLLMQMKYVLPLKVLYLANILCCQRFKKIYKNLNRKINFAIQLAKLYEPYAFFLGSFNDGNLVELQRVAEEQGIDLVEFNFDSESIEWEEYMMNIHIPGLLKYGIKS >KJB84252 pep supercontig:Graimondii2_0_v6:KB204166:11320:14474:1 gene:B456_N013500 transcript:KJB84252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVAWLPSLLLLIVTLHTCLSDVESNSTAEALALLNWKASLLSLTNRSVLPSWTVSPGNAQANPCGWFGIHCKGDSVSRINLTSYGVKGTFHAFPFSSLPNLEELDLSINGLFGTIPRQINQLSNLTYLDLSYNQLSGRIPPQIGQLIHLKTLHLVQNLLNGSIPEEIGQLESLEELALQNNYLNGSIPSSLGNLANLTYLYMLNNSLSGNIPSEIGNLSSLQELYIDNNQLAGSIPSTFGKLKHLRLLHLSTNSLSGSIPSEFGHMESLNELALFKNNLSGFIPPSLGNLTDLTILQLYENKLFGPIPEELGNLKLLVFLEVSQNQLNGSIPSSFANLSNLETLFLRDNQLSGPIPQEIGNLMKMWMLELDGNQFTGQLPQNICRGGTLEYFIANDNHFRGPIPKDLKNCSSLKRVRLERNRLTGNISEDFGVYSSLNFISLSDNDFYGEISLQWASCNNLSSLQIARNNITGRIPPELGNSAQLQALDLSSNHLVGEIPKELTKLTSLTRLILSGNQLSGGIPMEVGSFSQLEYLDLSANRLSRSIPETIGDMLKLYYLNLSSNNFSLGIPRQIGKLVQVNELDLSHNVLSGDIPTQFQSLQSLSTLNLSYNNLSGSITIFDELRGLVHVNIAHNELQGPIPDIPAFQNAPIQALEGNKGLCGNISGLKPCKLSKNGHHTLFSISSFDGKLLYSEIISATNNFDSSCCIGKGGYGNVYRVELSSGDIVAVKKVHPLRADEVRAAKEFQNEVMACIEIRHRNIVKFYGFCWSAEQSFLVYKYLEKGSLATNLSNEEAGRELDWEKRVNIIKGVANALSYLHNDCSPPIVHRDLSSNNVLLDAEFEAHVSDFGTAKLLNPDSSNWTNLAGTYGYVAPELAYTMKVSEKCDVYSFGVLTMEVIMGAHPGDLISTLPSSSLEMRLLVKDVLDQRPLPPSTDVQDKLESVMEIAFMCLAENPHSRPTMYAISQLLAS >KJB84253 pep supercontig:Graimondii2_0_v6:KB204169:1308:3129:1 gene:B456_N0136001 transcript:KJB84253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RCDDLTLEALQARLRSLLNYKKFLLVLDDVWNENQAKWVELRNLLRSTDGFSPSKIIVTTRSLNVASLMSSIPPCMLKGLPLEDCLTLFTKWAFNDGDERHYPNLIRIGEEIVKKCKGVPLAVRTLGSLLFQKTDESDWIYIRESEIWRLEQHENDILPVLKLSYNHLPSHLQRCLAFLSFYKKDEIYYSDRVIRLWMANGLLEHPKQNQEWEDVGKRYLNELLSRCLIQMEEDYWLYFTFKMHDLVHDLALDVSQKECKTVNSETETVDENVRHLLLCDGKLVGVPLIIQDASKESKTTHESLINLCLSNFKYLRALVLRKSPLTALPNSIGTLKHLRDLDLGVCMSLRELPRSFDKLRSLQSLNLGDTGLKQLPDSVQRLIELRHLVITIQATHLKEIRAGCWTSLQYLELRYCMELECLPEGMQYLKSLRTLILSYCLSLVSLPRSLKLLTKLEHLEIVGCFQINLKIELEEEEDKDLQLSLKTLSLLGLHALRDLPRLLLQGSSSTLQQLRIAFCPDLSVLPAWLPNLTSLQKLEIEHCVNLWDLPEGIDRLTNLRELRIYGCPELSKRYRENGGEDWNKISHIQKVDIYE >KJB84255 pep supercontig:Graimondii2_0_v6:KB204169:18339:19249:-1 gene:B456_N013700 transcript:KJB84255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KILEEAIVANLLRLRDNETSLTQPPRTSVRSDFRYCIPSGNCYKPVYPKYNDCKLRSLSKDLGSSRRLLHPPKSRSRKCFKVPMEFGKAVKGDFLNSNARRYLKLERHRFISDS >KJB84254 pep supercontig:Graimondii2_0_v6:KB204169:486:1182:1 gene:B456_N0136002 transcript:KJB84254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETFLFNIAERVVEKIVGLTVDEVRLAFNVKTDLKKLEDTMISIKAVLLDAEHKQHQSEKLRLCMRKLRGIFYDAENVIDDFKCEALRKQDAVNHPNINNLKVRLLGSCCLPLSFSSFSLSFSSKMSPKIKDINGRLGELATEWNSFDLRQCSDNRHVFRRETISFVHSSDVIGRDEDKENIISMLMKPSEDQNVPVIPIVGLGGLGKTTLAQLAFNDDRVTSLFPLRIWI >KJB84256 pep supercontig:Graimondii2_0_v6:KB204171:23:6829:1 gene:B456_N013800 transcript:KJB84256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLSASIIICIGFFFSFRVGIDLPTIEVRYENLNIEAEAFVGTSALPSVLNFITSIFENFLIDMGVLSSRKKKLTILKDVSGIVKPGRMTLLLGPPSSGKTTLLLALAGKLNPDLKFSGSVTYNGHTMKEFVPQRTAAYISQHDLHLGEVTVRETLAFSARCQGVGTRYDMLAELSRREKEANIKPDPDIDVFMKAVATEGQEASVITDYILKILGLDVCADTMVGNEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQTVHILNGTAVISLLQPAPETYDLFDDIILLSDGVIVYQGPREHVVSFFESMGFRCPERKGVADFLQEVTSRKDQMQYWVRKDQPYRFITANEFAEAFQSFHVGMKLGDELGTPFDKTKSHPAALTTQKYGVGKWELLKACIAREFLLMKRNSFVYIFKCMQLTVMALITMTLFFRTEMHKDSVQGGRIYMGALFFGMTMIMFNGMSELSMTISKLPVFYKQRDLLFFPSWAYALPSWILKIPITFLEVSIWVFITYYVIGFDPNAERFFRQYLILVLTNQMASGLFRSIAAIGRNMIVANTFGSFALLVLFALGGFVLSREDIKGWWIWGYWISPMMYGQNALMANEFLGHQWNRVLPGSNVSLGVEVLNSRSFFPDSYWYWIGVGGLIGFVFLFNFVFTVALTYLAPFEKNKAVISDEPESDDQVNGVGVGGSIQLTNQESSSSHVNRSEIQDDIQRSTSSMSFSMSDATVGANGKGKKGMVLPFEPHSITFDDIVYSVDMPQEMKEQGVPEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGNITVSGFPKQQETFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLSSEVDAQTRKMFIEEVMELVELKPLRHALVGIPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGHHSRHLIKYFEGIQGVSKIKDGYNPATWMLEVTSTAQELSLGVDFAEIYKKSDLYRRNKALIEDLSKPAPGAKELYFATQYSQSFLTQCTACLWKQHWSYWRNPPYTAVRFLFTTVIALMFGTVFWDLGSKTKTIQDLSNAMGSMYAAVLFLGIQNASSVQPVVAVERTVFYRERAAGMYSAMPYAIAQVLIEIPYIFVQASVYGLIVYAMIGFEWTVAKFFWYLFFMFFTLLYFTFYGMMAVAVTPNHYIAAIVSAAFYGVWNLFSGFIIPRPSMPIWWRWYYWICPVSWTLYGLVVSQFGDITHMLEDGNNETVEQYLRNFYDFRHDYLGLVAAVIVSFAVLFGAIFTVSIKMFNFQRR >KJB84257 pep supercontig:Graimondii2_0_v6:KB204175:14071:15764:1 gene:B456_N013900 transcript:KJB84257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGASPVAVAVAVAAETRKIIKKALMSGFPISVAMSLPELVTFMFFSSIRNEKMNLNRRHQGSFITLSCPENENQNVCGPALFYLQGQSRKLF >KJB84259 pep supercontig:Graimondii2_0_v6:KB204180:14370:16405:1 gene:B456_N014100 transcript:KJB84259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKYLLVIFVGVNLLVGGVNGRFYKDAEREIDTNGMSAVGDPGMRRNGLRVAFEAWNFCNEVAHEAPGMGSPRAADCFDVSNSTLLHKVSEADNKLGIGRTFKGLSTEAMHRPDLYAVEKELYLGSLCEVSDSSKPWQFWMVMLKNGNFDTKAGLCPENGKPKPPFTTTKNFPCFGEGCMNQPTLNHRPTQLLGDGTMRGWFNGTYDLDVDIGKGLTNLSFYEVIWEKKLGSGSWVFKHRLKTSMKYPWLMLYLRADATKGFSGGYHYETRGMLHTLPRSNFKVKFSLEIKKGGGPKSQFYLIDMGSCWKNNGEPCDGDVLTDVTRYSEMIINPDVPAWCSPTALVNCPPYHITPNNTKILRNDTANFPYGAYHYYCAPGNAQHLEQPVSLCDPYSNPQAQEIVQLLPHPIWGEYGYPTEKGQGWIGDPRTWVLDTGGLASRLYFYQGLRFIVFYFRIRIPLQLKEDGHLLIWALKFLLVTKMKRQNGSLVT >KJB84258 pep supercontig:Graimondii2_0_v6:KB204180:14341:16405:1 gene:B456_N014100 transcript:KJB84258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKYLLVIFVGVNLLVGGVNGRFYKDAEREIDTNGMSAVGDPGMRRNGLRVAFEAWNFCNEVAHEAPGMGSPRAADCFDVSNSTLLHKVSEADNKLGIGRTFKGLSTEAMHRPDLYAVEKELYLGSLCEVSDSSKPWQFWMVMLKNGNFDTKAGLCPENGKPKPPFTTTKNFPCFGEGCMNQPTLNHRPTQLLGDGTMRGWFNGTYDLDVDIGKGLTNLSFYEVIWEKKLGSGSWVFKHRLKTSMKYPWLMLYLRADATKGFSGGYHYETRGMLHTLPRSNFKVKFSLEIKKGGGPKSQFYLIDMGSCWKNNGEPCDGDVLTDVTRYSEMIINPDVPAWCSPTALVNCPPYHITPNNTKILRNDTANFPYGAYHYYCAPGNAQHLEQPVSLCDPYSNPQAQEIVQLLPHPIWGEYGYPTEKGQGWIGDPRTWVLDTGGLASRLYFYQDPNTPPAERRWTSIDMGTEIFVSDKDEEAEWILSDLDVILL >KJB84260 pep supercontig:Graimondii2_0_v6:KB204180:15:1444:1 gene:B456_N014000 transcript:KJB84260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSDLTLLHKVSESDNKLGIGKKFNGLSAEALYDPNLYAIEKELYLGSLCEVSKSSKPWQFVKTSKPWQFWMVMLKSGNFDTKASLCPENGKPKPPFTTTKNFPCFGEGCMNQPTLNHRPTQLLGDGTMRGWFNGTYDLDADIGKGLTNLSFYEVIWEKKLGSGSWVFKHRLKTSMKYPWLMLYLRADATKGFSGGYPYETRGMLHTLPRTNFKVKFSLEIKKGGGPKSQFYLLDIGSCWKNNGKPCDGDVLTDVTRYSEMMINPDVPAWCSPTQLVNCPPYHITPNNTKILRNDTANFPYGAYHYYCAPGNAKYLEEPVSLCDPYSNPQPQEIVQLLPHPAWGEYGYPTEKGQGWIGDPRTWVLDTGGLASRLYFYQDPDTLPAKRKWTSIDVGTEIFVSDKEEEAEWSLSHFDVILL >KJB84261 pep supercontig:Graimondii2_0_v6:KB204203:2100:3605:1 gene:B456_N014200 transcript:KJB84261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKQHYSTLSMVKVQKCESRKIRFSRRVKKLSAFLLIVGRREPTAGVNPLNPKEPDAGVSPLNPKEPNAGVSPLNPKEPNAGVSPLNPKEPTAGVSPLNPKEPTAGVSPLNPKEPDAGVSPLNPKELDAGVSPLNPKEPTAGVSPLNPKEPNAGVSPLNPKEPTAGVSPLNPKEPKSGVIPLNPKEPNAGVSPLSPKVLRRSLRSPIA >KJB84263 pep supercontig:Graimondii2_0_v6:KB204208:15055:16443:-1 gene:B456_N0144001 transcript:KJB84263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEVLRSLSDVDDLTNTFSKLNTAISGPRGSRIIGDGGSRQSSSAAEWTHGEEFPHWLDQQPLETESIPDGKCWSSQPLANLDAKHLYRTSSYPEQQQQQQQLQQQQQYHQHFSSEPILVPKSSYISYPPPDGRSPQ >KJB84264 pep supercontig:Graimondii2_0_v6:KB204208:13642:14595:-1 gene:B456_N0144002 transcript:KJB84264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DQRAKSAQKGRQSLRGFDSGALKSDFGWPQFTSKYMSTDEIEGILRMQLVATHSNDPYVDDYYHQACLARKSAGAKLRHHFCPTHLRDLPPRARANTEPHAFLQVDALGRLPFSSIRRPRPLLEVDPPNSSAVTNNDQKASDIPLEEEPMLAARVTIEDGLCLLLDVDDIDRFLQFNQLQDGGAQMRQRRQVLLEGLGALLQLVDPLGKSGNTDELAQKDDLVFLRIVSLPKGRKLLARFLQLLPPGGELMRIVCMSIFRYLRFLFGGLPSDPGEDEITINLARVVSSCVHRMDLRALGVCLASVVCSSEQPASSPW >KJB84262 pep supercontig:Graimondii2_0_v6:KB204208:2825:5740:1 gene:B456_N014300 transcript:KJB84262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVISDSVFLASEILLLSCFYLQSGTALDTITPSKSIKDPEFIISQSGVFRLGFFSFANSSNRYVGILYHQIPVQTVVWVANRNKPLKDSSGILNISDDGNLVVFNGKAEILWSSNVTNLVPNATTAQLLDSGNLVLSNGEDGESSLWESFEDPSNAFLETMKIRTDVKKGRKVELKSWKSIDDPSDGSFSFGFEPFNIPELVIRNNNKLYFRSGPWNGNTCIGVIMKTVYIDGFHVVADNQQQTYYFTYEYSDNYRLKFYELDSQGKLFERTWDGRKESCVYGQCGAFGICDRTKQPICSCLKGFKPRNIEEWSRGNWSSGCFRTTPLQCQRDKNNGSEAGQGDDDGFLKLQTMKVPAFPDRSSINNGECKDQCMKNCSCVAYAYDAGIGCMFWSGDLMDMQKFSTQRVNLYIRLPSSELGRKQKHKQIRLQLNNGNAMTKFSSENVGENAIGVKLQQLRLFNFEELAIATSNFDHAKKLGQGGFGPVYRGILSDEKEIAVKRLSKASGQGLEEFMNEVEVISKIQHRNLVKLFGCCVEAEEKMLVYEYMPNKSLDTFVFDPIKKTILDWRKRFNIIEGISRGLLYLHRDSRLKIIHRDLKASNVLLDQELNPKIADFGMVRIFGGDENQANTKRVVGT >KJB84268 pep supercontig:Graimondii2_0_v6:KB204209:6574:7195:1 gene:B456_N014900 transcript:KJB84268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLPHIISSKKVPKGYFAVYVGENQKRFVIPVSFLNQPLFQDLLGMSQEVFGYSHPTGGLTIPCKEDIFLDVTSRLN >KJB84271 pep supercontig:Graimondii2_0_v6:KB204209:5215:12132:1 gene:B456_N014800 transcript:KJB84271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLPRIASSKKVPKGYFAVYVGENQKRLVIPVSFLNHPSFQDLLGKSEEEFGYSHPTGGLTIPCNEDTFLEVTSRMY >KJB84267 pep supercontig:Graimondii2_0_v6:KB204209:2935:3846:1 gene:B456_N014700 transcript:KJB84267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLPRIISSKKVPKGYFAVYVGENQKRFVIPVSFLSQPLFQDLLGKSEEEFGYSHPTGGLTIPCDEDIFLDVTSRLNQL >KJB84269 pep supercontig:Graimondii2_0_v6:KB204209:9410:9987:1 gene:B456_N015000 transcript:KJB84269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHLSRIVNSKKVPKGYFAVYVGENQKRFVIPVSFLNQPSFQDLLGMSQEEFGYSHPTGGLTIPCNEDTFLEVTSRL >KJB84270 pep supercontig:Graimondii2_0_v6:KB204209:13085:13412:1 gene:B456_N015100 transcript:KJB84270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSLLIRPQQYVPSPLIPFGPLTSIYTSMIMLICNTYLHILKVLQFSEIFFYPFEAYLYWKTTMAIRLPRIVGSKKVPKGYFAVYVGENQKRFVIPVSFLSQPLF >KJB84265 pep supercontig:Graimondii2_0_v6:KB204209:3:164:1 gene:B456_N014500 transcript:KJB84265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLPRIVGSKKVPKGYFAVYVGENQKRFVIPVSFLSQPLFQDLLGMSQEEF >KJB84266 pep supercontig:Graimondii2_0_v6:KB204209:1558:2077:1 gene:B456_N014600 transcript:KJB84266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLPRIISSKKVPKGYFAVYVGENQKRFVIPVSFLNQPLFQDLLGMSEQEFGYSHTTGGLTIPCNKDIFLDVTSRLN >KJB84272 pep supercontig:Graimondii2_0_v6:KB204212:15434:15939:-1 gene:B456_N0152001 transcript:KJB84272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLLLGVSMMMAGHLGELALSGVAIATAFCNVTGFSLLWGLCGALETLNGQAYGATQYYKLGSYTYCAIICSLPICLPVCLLWMYMDKLLVLIGQDPQVAEIANRYAMCLIPGLFGYAIVQSQVRFFQSQSLVLPMMF >KJB84273 pep supercontig:Graimondii2_0_v6:KB204212:13319:13371:-1 gene:B456_N0152002 transcript:KJB84273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQGEGENASWNLSGRE >KJB84274 pep supercontig:Graimondii2_0_v6:KB204213:4529:5790:-1 gene:B456_N015300 transcript:KJB84274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GMTPAFGSLGFRGMTPVFGSLGFRGLTPAFGSLGFRGMTPAFGSLGFRGMTPAFGSLGFRGMTPAFGSLGFRGLTPAFGSLGFRGLTPASGSLGFRGLTPASGSSGFRGLTPASGSSGFRGLTPAVGSLGFRGLTPAVGSLGFRGLTPAFGSLGFRGLTPAVGSLGFRGLTPASSSLGFRGLTPASGSLGGLTPAFGSLGFRGLTPAFGSKGFRGLTPVFGFLGFRGLTPAFGSLGFRGMTPAFGSLGFRGMTPAVGSLGFRGLTPAVGSLGIRGLTPASGSLGFRGLTPAVGSLGFRGAAPPPGVYRMALLLFLPRCLKSIIRLQMAGYAR >KJB84276 pep supercontig:Graimondii2_0_v6:KB204215:53:4347:1 gene:B456_N015400 transcript:KJB84276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVETKRNKQRKSWSKVWVDFDKFEEHGKQVAKCKHCPKVFTGSSKSGTTHLNNHSKVCPGKKKPNQESQLILPVDTNERSSTFDQERSHLDLVKMVIKHQYPLALVGQEAFKNFVKGLQPMFEFQSRDKLLSDFYKEKKREVRQGFDKLACKFNLRVTLWKNGLGKTAYCCLIAHFIDDDWKLKMKILAFKTLKHIYDTKALSEIIQRSVSEWNLDEKIYSITMDDPSLNEEMFQQIKETCVRDQGSLSSAHWFISCNFLEDGSREMYSILHKFRESIEYVTETTHGRLKFQEAVDQVKLQGGKSLDDLSFKLESEFDILTSALKSREIFCQLEQIDGNFKLNPSMEEWEKAIALKSCLKCFNDIKGTQSISVSLYFPMLCDIYKKFLQLEKSNHSFLTLMKRKFDRYWSLCNLELAVASVLDPRLKFKIVELSYNVIYGHDNKMQLNEFHKVLTDVYWKYTNGARNSIASTSILGDSNSLTTETANDCILDSFNNFLPSWKSELEDYLGESEPALPLDGDILGWWRANSLRLPSLAKMARDHLAMLVSVSMPCSAVSAMITHSSYNRLDPDSMEALVCSQNWLETLKENEGENHELTQNTEKRKRKMDEEDTYVVKSFKPLNCEKANSTKDIAKDSNNNEELQIVKSCKNWCKEETDSGNKYKAANKMNVGKEVISSKSSELNLGRNTNDVIEILSEDTSIDTTQLDQVESSSSESDNETTLKDQGSWCEQDVEAYLLSRFTSKEHKRLDKWQKKCERNELNGKMIGRDVEFKLMSNELAPLFMVPQDDETREEYYINDSVVNVFFELLKKRSYKFPNAYINHYSFSSHLVTQLIKGFKSEHEVLAWFKVEKLRGLHKMFLPLCISSHWVLLYVDIKEKIFSWLDPDPSSQILSYHFEKPVTVLQCFKSFLLPKLGYSDANEWPFVVRNNIPKQKNSVDCAVFVMKYGDCLTHGECFPFKQEDMVHFRRHIFLDIYRGRLHRKNKD >KJB84275 pep supercontig:Graimondii2_0_v6:KB204215:11510:12661:1 gene:B456_N015600 transcript:KJB84275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSDTALRLLLSCAEAIEDGDLKSADAFLHNILILADERPFWFGNKKRVVEYFADALVRRAYGLHPASSYFTFPVDPAPYYHYNSYHINGIIKKVIDDALMEKGRLHLIDFSIPYYRFQNSVLRTLPNFFGYPLPVRVSYILPPFLKEYVEFSRQMEFLTKDAEEVDVKLEDELKVVYGNSLAEVDECEIDFKRRRDDEMVVVYYKFKLDKLGRDAKAMERELVRLKEINPTIVIMLDFYSNHTHSNFLTCFKDSLQYSLKTLDYWEALGHNLGWKYGWECNIEAGEGNNIIRRHPSLTEWQHLFSMAGFSRIPLNHRKDNLSFEDNPSFADNSLLEIMGEEEECLILGYKQRPMFFLSAWKPKVEDGHFDSNSTNHKFGQ >KJB84277 pep supercontig:Graimondii2_0_v6:KB204215:7663:10219:1 gene:B456_N015500 transcript:KJB84277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYLFDTETALRLLLSCAEAIEDGDLKRADAFLHNILILADERPDSYQSRVVKYFADALVRRAYGLHPASSYFTFLVDPAP >KJB84278 pep supercontig:Graimondii2_0_v6:KB204216:4502:6044:-1 gene:B456_N0157001 transcript:KJB84278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVTEELWQALPNRREALIISSWPQTSLPRSTHLVKRFENLQALTRAIRNARAEYYVEPAKRITASIVGSEEVIQYISVVSS >KJB84279 pep supercontig:Graimondii2_0_v6:KB204216:4825:5526:-1 gene:B456_N0157001 transcript:KJB84279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVTEELWQALPNRREALIISSWPQTSLPRSTHLVKRFENLQALTRAIRNARAEYYVEPAKRITASIVGSEEVIQYIS >KJB84280 pep supercontig:Graimondii2_0_v6:KB204216:3197:3701:-1 gene:B456_N0157002 transcript:KJB84280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LHILLCLLMPAIAYKPLTIYNMCSRQFIEKAPKDVVRGVQEKAAEAE >KJB84282 pep supercontig:Graimondii2_0_v6:KB204217:268:1961:-1 gene:B456_N015800 transcript:KJB84282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNIERQVSFQMFPSGARFNVLGFSSENGLNNAAMIGYAFDTNKNYVFVIGKLSGGEFCCVITENLAGINVLGCVLKPLQKGFVKRLNVIGISNLFTLFAQQLTFFFL >KJB84281 pep supercontig:Graimondii2_0_v6:KB204217:268:1660:-1 gene:B456_N015800 transcript:KJB84281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNIERQVSFQMFPSGARFNVLGFSSENGLNNAAMIGYAFDTNKNYVFVIGKLSGGEFCCVITENLADDEGPRMRAEAIAERICQALERNWNI >KJB84284 pep supercontig:Graimondii2_0_v6:KB204220:6:2433:-1 gene:B456_N015900 transcript:KJB84284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFALFLILLPGASLARHLFNQDCGSTFCGNLNISYPFRLKNQPPQCGCYDLELACENNNRTTLVLREGKFFVQNIFYENKTIQVMDSNLDKNDCNSLPLSSIYFNRESEASIMYVVNCWKPIKSSQYIDASRCITKSNISFPPTFFYFLDRNTVRNLNQACTLEAEVPIMVKSISGMSTLAIYNKLSQGFYLSWYENPYLELSGLRYVMFCFGLCLNEIGMHMVSLLYISYCGMRYMMFCVSIILRLFFGIPCLLVLVIYKWRRRHLSMDDKIEEFLQSHNLAPIRYSFKEVKKMTKNFKDKLGEGGYGSVFKGKLRSGHHVAIKLLCTSKGKGQDFINEVASIGRIHHANVTKLIGFCVEGSKQALVYDFMSNGSLDKIIFSEEKRTL >KJB84283 pep supercontig:Graimondii2_0_v6:KB204220:11626:12264:-1 gene:B456_N016000 transcript:KJB84283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTLGWKKLFDIVLGVAQGIDYLHQGCDMQILHFDIKPHNILLDENFNPKVSDFGLAKLYSVDDNIVSLTAARGTIGYIAPELVYKNLGGISYKADVYSFGMLVLEMVGRRKNLNAFANHTSQIYFPSWIYDRLDQGEDMELGDISDDEKVMIRKMIITAFWCIQLLPSDRPSMNKVLKMLESNVELLEMPPKPFHQLPLETSTDIHSCENSN >KJB84286 pep supercontig:Graimondii2_0_v6:KB204223:5843:6864:1 gene:B456_N0161002 transcript:KJB84286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFTPQIIFVSLLLGILTFITYLRKWLNSRSQSLTPPSPPSLPIIGHLHLLTDMPHHTFTILAQKLGPIIYLQLGQVPTVIVSSPRLARLILKTHDHVFSNRPQLVSAQYLSFNCSDVTFSPYGPYWRQARKICVTELLSSKRVNSFQLIRDEEVSRLLTTLSAHPGSEVNVSELFLSLANDILCRVAFGRRFTERVGSSNHLAAVLRETQELFAGMSVGDFFPEWEWVHSVSGYKRRLMKNLNELRRVCDEVIQEHLQRGETGIKEDFVDVLLRVQKQDNLEVPITDDNLKALVL >KJB84285 pep supercontig:Graimondii2_0_v6:KB204223:7984:8827:1 gene:B456_N0161001 transcript:KJB84285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DMFVAGTDTSAATLEWTMTELAKHPEIMKQAQEEVRAVARRTGKVIDETHLQHLHFIKSIIKEAMRLHPTVPLLVPRESMDECIIDGYKIPPKTRLLINTYAIGRDPNSWDNPFQFNPNRFQDSNIDLKDQDFRFLPFGGGRRGCPGYTFGLATVEIALARLLFHFDWELPYGIHTDDVDVDEIFGLASRKRAPLILVPTVNEGL >KJB84288 pep supercontig:Graimondii2_0_v6:KB204228:10607:11788:1 gene:B456_N016300 transcript:KJB84288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYVAPPAVEIVADQAKEYASPYLRYFFRYGEIVEDFKNQREALELKKERLIHKDVDNWLTSAKKELKETQNLKDEIDRVKCFKWCPKWGWRYSLSKKLAEKIPIISKLLETSNFAQVGYRRPLQGIEFITSTDFMDSESSKSAFNQIMEAINAKGVNMIGLHGMPGVGKTTLAKEVGKHAREQKLFDKVVMFTMSQNPNIRTIQDKIAEMFGLNFHTNTEEGRAEELFRSMQGVNKILVIVDDLWEEFKMESIGIPFGDEHEGCKILLTTRRQQVCTKMNCKEIQLGILSEDEAWVLFRHKAGLEDDCSTLNDVAKEVAAQCKGLPLAIVVVAKALKGESLNGWRDAIQIFKDSTHLYDEEVLGGVLEPLKLSYDYLKK >KJB84287 pep supercontig:Graimondii2_0_v6:KB204228:2607:4539:-1 gene:B456_N016200 transcript:KJB84287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLRKLSAYRNFLFGTIPHSIGNLTVLTSLALDVNNLQGSIPSSLGNCQNLLEIYLSNNNLSGAIPLEVLGIPSMSIALDLSSNYLTGELPVAVKNLKHLGEFYVSQNRLSGWLPNSLGKCASLEYLYLDGNLFGGPIPSSLSSLRGLVELDVSGNNLSGGIPEFLVTLGELKYLNLSFNDFEGVIPSEGVFKNASATFVEGNNKLCGGNPELHLSRCNSKTSSNTPLRVKIAIAIVILGVALVFSFFLIVWFRKKKKQQPTTTFGIIYKGIHEESGAVLAIKVLNLLNRGASRSFLAECEALKNTRHRNLVKVLTAVSGFDYQGNDFKALVYEFTEKGSLEDWLHPSIDMNEQETVRNLNFFRRVSVAIDVAHTLEYLHHRCETPIIHCDLKPRNILLNEEMVAHISDFGLAKLLSTDGVSYSANQSSSLRFRGTIGYAPPEYGMGSELSTKGDVYSYGILLLEMFTGKRPTDERFKESLSLHNFVKSTLPERITEIIDPILLQQRDTRGTIANITRNRNILGNGRHLQCLISMLKIGLICSGESPSERMDMNDIVTKLCSIRDKLHPTRLHSED >KJB84290 pep supercontig:Graimondii2_0_v6:KB204230:37:379:1 gene:B456_N016400 transcript:KJB84290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQENSLFNILDPMVVKDGPEQEIIVVALLAKRCLNLNGKKRPTMKQVALELELIKASGGNVIEDHGDEESEIDDIIHSWETNPSCSLSRTVTTNSETFPLNSSF >KJB84289 pep supercontig:Graimondii2_0_v6:KB204230:8120:11715:1 gene:B456_N016500 transcript:KJB84289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVRLVNFAIYFPFAKPGGCERPRLGTINFNTYKVESCGNVTFHYPFRIKDQDDYNDWFKAICNKTVNGEKVPFLNINGTDLQILGFNFFFGTVIINHPITYFNCRENHHNGMSLNLTGTRFYYSDSCNFFCSLGCGNLVTIFGNKTDNIIGGCSQSSCRSHNKTSFIFGCPGTIPQGLSSFFINMSNMVDSKCYLSDSSDTSCTSNGEYCWSRLGSNHLCICYRDNNGISYLSSYEDGKCENYDCGLLCLNASSNYCWTESCPPHYEYNSTELHCELKIQAQNSRCSTSIGTLFLLLATWSMYKVLKRKQKITLKQRYFKRNGGNVEKIKLFTSKEMEKATDHFNENRILGQGGQGTVYKGMLIDGSIVAIKKSKMVEGKKFDGKKVEQFINEVIILSQINHRNVFIPNDTLYDLIHNQNEELPLTWEMRLRIAIEIANALFYLHSAASAPIYHRDIKSSNILLDGKYRAKVSDFGTSRSVALEQTHLTTRVQGTFGYMDPEYFRSSQFTEKSDVFSFGVVLIELLTGQKPISAEQSEPVRSLNSLFNILDPMVVKDGPEQEIIVVALLAKRCLNLNGKKRPTMKQVAMELELIKASGGNVIEDH >KJB84291 pep supercontig:Graimondii2_0_v6:KB204236:4206:5190:1 gene:B456_N0166001 transcript:KJB84291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSVENSVLQLSYQSIVRATDGFSTQNLVGSGSFGSVYKGVLEASGAVIAVKVLNLLNRGASRSFLAECEALKNIRHRNLVKVLTAISGVDYQGNDFKALVYEFMENGSLEDWLHPLIGMNGPETVRNLNFFQRVSVAIDVAHALQYLHHHCEEPIIHCDLKPSNILLDEEMVGHISDFGLAKILSTDRLNYSANKSSSLGLRGTIGYAPPEYGMGSELSTKGDVYSYGILLLEMFTGKRPIDERFREGLSLHNFVKAALPERIIEITDPILVQERVRRGTPNVNNFRND >KJB84292 pep supercontig:Graimondii2_0_v6:KB204236:2238:3984:1 gene:B456_N0166002 transcript:KJB84292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWNSSIHFCQWHGVTCGHKHQRVNKLKLQFLKLSGSLSPFIGNLSFLKELNLSGNSFYNQIPQEVGHLRRLEILDLTSNSISGEIPPNLSSCSKLKIVRMGSNQLTGEIPSFLGFLSNLKVLSFYNNSLRGSIPPSLGNLSSLEELALTYNALDGIIPETLGRLTNLSIFLAAANAISGTLPVAMFNLSNIRGNKISGQIPISITNASNLHNKIMGRIPDGIGNLVNLEVLFASENQMSGPIPFEIGRLQKLNKFFAHINFLSGTIPHSIGNLSALTIVGLDFNNLQGNIPPSIGKCQSLLELTVSYNNLSGPIPPQLLGVSSMSIILDLSSNHLTGELPVAVENLKNLGQLYVSQNRLSGLLPKTLGSCVSLEKLYLDGNLFEGPIPSSLSSLRGLEALDVSNNNLSGEIPEFLVRFGALRFLNLSFNNFEGVIPSGGIFKNASATFVEGNSKLCGGIPELHMLR >KJB84293 pep supercontig:Graimondii2_0_v6:KB204236:8732:10953:1 gene:B456_N016700 transcript:KJB84293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRSITFFVVLLSCLLVSKAEKVEVVVEGATPIAQTDEDFICVTLDWWPTNKCDYDQCPWGQAGLFNLDLKNKILEKAVKAFHPLRIRVGGSLQDQVVYNVRNNIENCQPFQKQDKGFLFGFSIGCLDMKRWDELNEFFNQTRAKVTFGLNALIGRKESETEKTLWVGDWYSHNARDLMSYTISKGYKIDSYELGNELCGVGVSARIEAKQYAKDMATLKNLVKEMYPNPKTQPKVLGPGGFYDKKWFDTFLDASGHDVIDGVTHHIYNLGPGNNPNVVHRVQDPFFLTQIAQTFKDVSNAIDKFAPWSGAWVSESGGAYNSGGQLVSYTFAFGFWYLDQLGMTSVYNHKVYCRQALTGGNYALLNTTTFVPNPDYYGALLWHRVMGSKVLSVMHKGSPYLRVYSHCAKKEAGVSFVFINLSKNTSFEIDLFHDLNLNGGSPNFEFKGHKKREEYHLIPKDGNILSSIVLLNGTPLELSDSLEIPELKPKLVDGLKPISIATHSIAF >KJB84295 pep supercontig:Graimondii2_0_v6:KB204250:1:3397:-1 gene:B456_N0168002 transcript:KJB84295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSIFCRTKLCFNAFFFLFIISSFTCLHVVFGTASEFDYGVHCNSVVHESKPVDEEFNIMPFPGRQNGYFSGGDKVLNNPPSRSYSPPESKTFLFETHHVYTTDADDVFMVEGNLIFQTSFYYEQSISSGSSYVISSSDSSDRGTLDFDFRGFWSRTTGKLCMVGSSYAYSKEGKLLHLAAVLKINNLRKSSTIRTLVTGTLDSLNSIGDPNHFEQISLLMFPQANYAYTMVSKQFSEGCPRGADVQPMSSLRLSQTRTICDMLGGSNAFELEYTGSCNSSKSCNPFGDGIGYLPSVISLSMIQCSNDRLSLRFLIEFRNDSYQGYYSSPNLNTSLIGEGSWDAKSNRLCIIACRIYDASSSLEKSHVGDCTTRLSLRFPAILSIRNTSTIVGEIWSEKPRNEGGFFDRVEFRNTGRYGGGIQLQGLKYVYTEMDEVEKSCPKKNPKTKSIKGHYPDGYSGNLGFSMSIIKGSKGRIGWGSSDPLAVGDQQDQRFPSLIPSSSSKPKSSGVESNSSSGLLNISYKMSIMLRSSELDGGLNLVNESSNEYLETEIQISAEGVYDTATGSLCMVGCKHLRSGDKTFSSHSMDCEILVKINFPPLNSDRRSKIKGSIESTREEIDPLSFKPLQFSGRAYYRSWVAESIWRMDFEMIMLVISNTLAIIFVAFQIFHVRKHRGIGPLVSLLMLVILALGHLIPLVLNFEAMFIQDSERSVLIRGGTWLEMNEVIIRVVTMVAFLLQVRLLMLSWTARCSTEKKKTFWIAEKRGIYVCVPVYVIGAIIAFLVKSRQNVHLTWYYIDEIILGSSRAYAGLVLDAFLFPQIIFNMFQNLREPALSHFFYIGITLVRLVPHGYDLYRANSYADIDDSYIYGDHGADYYSTAWDFIIIVLGIFFAVIIHYQQRLGGRYFLLKRFQESVIDEEFPVDSEEQLPLRYST >KJB84294 pep supercontig:Graimondii2_0_v6:KB204250:1:1934:-1 gene:B456_N0168002 transcript:KJB84294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKGRIGWGSSDPLAVGDQQDQRFPSLIPSSSSKPKSSGVESNSSSGLLNISYKMSIMLRSSELDGGLNLVNESSNEYLETEIQISAEGVYDTATGSLCMVGCKHLRSGDKTFSSHSMDCEILVKINFPPLNSDRRSKIKGSIESTREEIDPLSFKPLQFSGRAYYRSWVAESIWRMDFEMIMLVISNTLAIIFVAFQIFHVRKHRGIGPLVSLLMLVILALGHLIPLVLNFEAMFIQDSERSVLIRGGTWLEMNEVIIRVVTMVAFLLQVRLLMLSWTARCSTEKKKTFWIAEKRGIYVCVPVYVIGAIIAFLVKSRQNVHLTWYYIDEIILGSSRAYAGLVLDAFLFPQIIFNMFQNLREPALSHFFYIGITLVRLVPHGYDLYRANSYADIDDSYIYGDHGADYYSTAWDFIIIVLGIFFAVIIHYQQRLGGRYFLLKRFQESVIDEEFPVDSEEQLPLRYST >KJB84296 pep supercontig:Graimondii2_0_v6:KB204250:8376:9654:-1 gene:B456_N0168003 transcript:KJB84296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPGRQYGYFSGGDKVLNNPPSRSYYAPKSKTLLFETHHVFTTDADDVFMVEGNLIFQTSFYYEQSISSGSSYFRGTLDFDFRGFWSRTTGKLCMVGSSYAYSKEGKLLHLAAVLKINNLQSSSNVSTLVTGTMDSLSSANDPNHFEQISLLMFPQVNYAYTMISNQFSKGCPRGTDVQPMSSLRLSQTRTICDMLGGSNAFELEYTGSCNSSKSCNPFGDGIGYLPSVISLSMIQCSNDRQSLRFLIEFRNDSYQGYYSSPNLNTSLIGEGSWDAKSNRLCIIACRIYDASSSLEKSHVGDCTTRLSLRFPAILSIRNPSTIVGEIWSEKPRNEGGFFDRVEFRNTGRYGGGIQLQGLKYVYTEMDEVKKSCPKKNSRTNSSMEHYPDAYSGDMSFSMSIIN >KJB84297 pep supercontig:Graimondii2_0_v6:KB204250:14068:15089:-1 gene:B456_N016900 transcript:KJB84297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVIIRVVTMVAFLLQVRLLMLSWTARCSTEKKKTLWIAEKRGLYVCVPVYVIGAIIAFSVKSRQNVHRTVRATHSWYYIDEIILGNSRAYAGLILDAFLFPQIIFNMFHNSRELALSRLFYIGITLVRLVPHGYDLYRANSYVDIDDTYIYADHGADYYSTAWDFIIIVLGIFFAVIIHYQQRLGGRYFLSKRFLESVIDEEPPVDSEEQLPLKYST >KJB84298 pep supercontig:Graimondii2_0_v6:KB204257:8999:10582:1 gene:B456_N017000 transcript:KJB84298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSPLPPYFLILLVFLIHCSIQVSSFRFKFSTFEIDHMKQLILSNSSIVEHALQVTPDHQGNSIENKSGRAVYKKPFRLWKDSHTIASFNTTFVLNIKKITSPGGEGLAFIIAGNSTLPPNSTGKWLGIVNSDPKGSPVVAVEFDTRKSDDQDLDDNHIGLDINSINSNASVSLTHFGFNISGNHDLSVLLQYDGQNLTVRVNETLVLSQRLDLSSYLPKKVFVGFSASTSNETQLNCVKSWEFSGTGLGGEGNLLWVAWIMIPVVILVLFMGVLLYLYRRTGPIEEDFEGAQRNIEDEIRRSDFAPKKFRFSELKQATGNFSPKNKLGKGGFGTVYKGSWGNKEVAVKRVSKKSNQGKQEFIAEVTTIGNLNHKNLVKLIGWCYERRELLLVYEYMPNGSLDKFIFCDEKASMVESRLNWEQRQNIIRGAAQALEYLHNGCQKRVLHRDIKASNIMLDSEFIAKLGDFGLARTIHEKEKPYHSTIEIAGTPGYMAPETFLISRATVETDVYSFGVLILEVVCGRKP >KJB84299 pep supercontig:Graimondii2_0_v6:KB204260:686:1856:-1 gene:B456_N017100 transcript:KJB84299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLLTSQFKSLAALPCRSASKTAPFPFKSHISPSPSASLLVFHFSAESADTAVKKRVEDVMPIATGHEREELESELQGKKILEDVNNPVGPFGTKVGISPSITTENPNLIMSVSSYI >KJB84300 pep supercontig:Graimondii2_0_v6:KB204262:5395:10132:-1 gene:B456_N017200 transcript:KJB84300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPNESLENSPQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIERYKKASDSSNTGSVAEVNAQFYQQEADKLRNQIRNLQNANRHMLGESIGGLPMKELKSLESRLEKGISRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAENERKQQSMNLMPGGSSANFEALHSQPYDSRNYFQVDALQPATNYYNPQQQQDQIALQLV >KJB84302 pep supercontig:Graimondii2_0_v6:KB204262:5281:10205:-1 gene:B456_N017200 transcript:KJB84302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPNESLENSPQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIERYKKASDSSNTGSVAEVNAQFYQQEADKLRNQIRNLQNANRHMLGESIGGLPMKELKSLESRLEKGISRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAENERKQQSMNLMPGGSSANFEALHSQPYDSRNYFQVDALQPATNYYNPQQQQDQIALQLV >KJB84301 pep supercontig:Graimondii2_0_v6:KB204262:5281:10251:-1 gene:B456_N017200 transcript:KJB84301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLPSSWFFFWFFGLFQLGSMVYPNESLENSPQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIERYKKASDSSNTGSVAEVNAQFYQQEADKLRNQIRNLQNANRHMLGESIGGLPMKELKSLESRLEKGISRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAENERKQQSMNLMPGGSSANFEALHSQPYDSRNYFQVDALQPATNYYNPQQQQDQIALQLV >KJB84305 pep supercontig:Graimondii2_0_v6:KB204266:2458:7392:1 gene:B456_N017300 transcript:KJB84305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQSLWKTRPALWSFSKFSPIARKEMHYALDQLASSSSDEQEIIGTPNMNHFVNRVFELHRVEVVETLNTLREQPDKAFSFFNRLKEDGFSHDVCTYASIIRILCQCCWERKLDSVLLEIIWREKHLGFKVMDLFEILEEGLEGEDSNLLVRLSNALVKAYVSVEMFDEVIDILFQTRRRGFIPHIFSCNFLMNRLICCGKVDMAIAVYHQLKRLGLKANDYTYGIMIKAFCRKGNFEKAVGIFHEMDELKVRPNAVAYTTYIEGLCMHGRTELGYEVLKAWRESKIPLDDAFAYYVVIKGFCDEMKLEEAADVLFEAELHGIVLDTFPYGALIRGYCKCGNIDRALEVHDEMMSNGIKTNCVILTSILQSLCQMGRDFEAVNQFKKFRNFGIFLDEVCYNVVADALCKAGKVEAAVELLDEMKGKQISPDIINYTTLINGYCLQGKVEDAVNLFEEMSENGHKPDIVSWNVLVGGLARNGHARKAIDLLNRVKKQGLKCEIVMRNMIIKGLCIGGKVKEAEDFLDSLPDKCFENDAALVDGYIESRLTKKAFNLFLKLANQGFLVRKASCSKLLSSLCKDGDNYKALMLLKVMSDLNVEPTKLMYSQLIGAFFKAGNWRKAQLLFDQIFARRQTPDLVIYTIMISGYCKMNLLQEALLLFNNMNERGIKPDVITYTVLINSHLKLNLKSLSSPDAPQNKDKKIMDASTFWKEMKGKEIEPDVVCYTVLIDHYCRTNNLWDAIRIFDQMIDTGLEPDNATYTTLISGYCKRGYLARALNLFEEMYRRGIQPDMRTISTVDHCILKA >KJB84304 pep supercontig:Graimondii2_0_v6:KB204266:2458:7392:1 gene:B456_N017300 transcript:KJB84304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQSLWKTRPALWSFSKFSPIARKEMHYALDQLASSSSDEQEIIGTPNMNHFVNRVFELHRVEVVETLNTLREQPDKAFSFFNRLKEDGFSHDVCTYASIIRILCQCCWERKLDSVLLEIIWREKHLGFKVMDLFEILEEGLEGEDSNLLVRLSNALVKAYVSVEMFDEVIDILFQTRRRGFIPHIFSCNFLMNRLICCGKVDMAIAVYHQLKRLGLKANDYTYGIMIKAFCRKGNFEKAVGIFHEMDELKVRPNAVAYTTYIEGLCMHGRTELGYEVLKAWRESKIPLDDAFAYYVVIKGFCDEMKLEEAADVLFEAELHGIVLDTFPYGALIRGYCKCGNIDRALEVHDEMMSNGIKTNCVILTSILQSLCQMGRDFEAVNQFKKFRNFGIFLDEVCYNVVADALCKAGKVEAAVELLDEMKGKQISPDIINYTTLINGYCLQGGLARNGHARKAIDLLNRVKKQGLKCEIVMRNMIIKGLCIGGKVKEAEDFLDSLPDKCFENDAALVDGYIESRLTKKAFNLFLKLANQGFLVRKASCSKLLSSLCKDGDNYKALMLLKVMSDLNVEPTKLMYSQLIGAFFKAGNWRKAQLLFDQIFARRQTPDLVIYTIMISGYCKMNLLQEALLLFNNMNERGIKPDVITYTVLINSHLKLNLKSLSSPDAPQNKDKKIMDASTFWKEMKGKEIEPDVVCYTVLIDHYCRTNNLWDAIRIFDQMIDTGLEPDNATYTTLISGYCKRGYLARALNLFEEMYRRGIQPDMRTISTVDHCILKA >KJB84303 pep supercontig:Graimondii2_0_v6:KB204266:7405:9375:1 gene:B456_N017400 transcript:KJB84303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEEIQPLVCDNGIGMVKAAFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHRTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGWTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSNGITALAPSGMKIKVVAPPERKYSVWIGGSILASLSAFQQMWISKGEYDESGPSIVHRKCF >KJB84307 pep supercontig:Graimondii2_0_v6:KB204268:10113:10461:-1 gene:B456_N0175001 transcript:KJB84307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAYTNPRFSLAMTVMLAMAAALVQAQGTRVGFYARTCPRAESIVRSAVQSHFRSNPNIAPGLLRMHFHDCFVQGCDASILIDGPNTEKTAPPNRLLRGYEVIDDAKTQLEAACP >KJB84306 pep supercontig:Graimondii2_0_v6:KB204268:9055:9709:-1 gene:B456_N0175002 transcript:KJB84306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GHTIGTSACQLFSYRLYNFTNGGPDPTMNPAFVPQLQALCPQNGDGSSRIDLDTGSGNRFDTSFFANLRNGRGILESDQKLWTDPSTRTFVQRFLGERGSRPLNFNVEFARSMVKMSNIGVKTGTNGEIRRICSAIN >KJB84312 pep supercontig:Graimondii2_0_v6:KB204270:3118:3632:-1 gene:B456_N0176003 transcript:KJB84312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNKHFSHCGSPRDSGFDKIINKEIPATVVYEDDE >KJB84313 pep supercontig:Graimondii2_0_v6:KB204270:7666:7759:-1 gene:B456_N0177002 transcript:KJB84313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLFNVFLSFYFSLGYPQAKRTHAIVGREFS >KJB84309 pep supercontig:Graimondii2_0_v6:KB204270:4232:6311:-1 gene:B456_N0176001 transcript:KJB84309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSMIRYFSTKPKPKMKPIELKTSLEQMQTISRVTFDILKEHGPLTVGDTWERVKVLKEASRLYAASWVRDIGPDLRPNDYKKDDGTEGKSNGEIAYCPTLSVYSIECVFRILRILLMDSSMKISFNRCIRDGDLIIVYERHDTMKAVKVCENSVLQNRFCVFKHSDWIGKPFGSIIFSSRGGFIYLLALTPEFWTLVLSHMTQILYIADISFVIMCLEVVPGCLVLESGT >KJB84308 pep supercontig:Graimondii2_0_v6:KB204270:4168:5802:-1 gene:B456_N0176001 transcript:KJB84308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSMKISFNRCIRDGDLIIVYERHDTMKAVKVCENSVLQNRFCVFKHSDWIGKPFGSIIFSSRGGFIYLLALTPEFWTLVLSHMTQILYIADISFVIMCLEVVPGCLVLESGTGSGSSGTCVYL >KJB84311 pep supercontig:Graimondii2_0_v6:KB204270:9064:9401:-1 gene:B456_N0177001 transcript:KJB84311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDIDRNWRISTDLLIAKVFVDLGFMIIYGGDCHDSCMHICFLFHTA >KJB84310 pep supercontig:Graimondii2_0_v6:KB204270:430:664:-1 gene:B456_N0176002 transcript:KJB84310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TAKSEGGGLLTHIEKFYETIGLEVQNGYGLIETSPCVAGRQPYYNVRQL >KJB84317 pep supercontig:Graimondii2_0_v6:KB204277:4464:4569:-1 gene:B456_N0178004 transcript:KJB84317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIQKRISSSPNAIKLIVFSFIRVSLAYTELKRIYE >KJB84314 pep supercontig:Graimondii2_0_v6:KB204277:5245:6312:-1 gene:B456_N0178001 transcript:KJB84314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRHHCFVSCFKSIDLDLFVLFVCFLCTFS >KJB84316 pep supercontig:Graimondii2_0_v6:KB204277:2802:3091:-1 gene:B456_N0178003 transcript:KJB84316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFLFSYSKSHCYVPLKLWISVWASVLAFGPVPSLVYGLLGFYPAWRLSDPICKCT >KJB84315 pep supercontig:Graimondii2_0_v6:KB204277:6780:8274:-1 gene:B456_N017900 transcript:KJB84315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITCFIHRFWVFSPRKYCFGSSFQIYGCLCGCSCWMLWERKKLWLCKISPAMASLLQSISITLILIPNRHAIPFIVLHVFSRIACIWRTLGGPYLDAHVRACVGNEFRHSSYLGKMKSWVKI >KJB84318 pep supercontig:Graimondii2_0_v6:KB204284:6121:6721:-1 gene:B456_N018000 transcript:KJB84318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDKKTKGKQKIEIKIIENENDRLITFSKRCTGIYKKIYEFSALCGGEILFIIFSQTGKPYSFSHPPVDLSLNTFQTQANLFKKPLMLLRFAVFNNLISLMRDKKIAPISSMQAPMDEDVPSAFPPRYGPNLQ >KJB84319 pep supercontig:Graimondii2_0_v6:KB204290:3496:4537:1 gene:B456_N018100 transcript:KJB84319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASRNLLSFISMLPICFILSPPPENSVFIEGSLLGFSAGDSSIFYFVFRNMCRMEVHEDMYTAVESVEICLVSSPMDETGKRLWEKVKYQLVEYHSLPNLICNLFIYI >KJB84320 pep supercontig:Graimondii2_0_v6:KB204290:5798:6469:1 gene:B456_N018200 transcript:KJB84320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYTKDGEQRGLKWTHHDVWLVYFDVSVRHRLRKDHGIEGGIPVVFSLEKPKAQLLPFRGPSVGKFIQYIEAEFEMRIESTFGWS >KJB84326 pep supercontig:Graimondii2_0_v6:KB204314:1992:4261:-1 gene:B456_N018400 transcript:KJB84326 gene_biotype:protein_coding transcript_biotype:protein_coding description:LanC-like protein GCR2 [Source:Projected from Arabidopsis thaliana (AT1G52920) UniProtKB/Swiss-Prot;Acc:F4IEM5] MLIMRIGIAIGDFNVYSVLGLLRFYEITNFYFKKKGENCFFFLSFPKFGNCLVWSQVVRETWGLSGKRVQDYTLYTGALGTAYLVFKAYQVTKNDNDLKLCSDIVKACDSASKDSGRVTFICGRAGVYALGAVIAKHSGDTSLQQRYLEKFKEIRFPSDLPHELLYGRAGFLWACSFLNKHIGKDTISTARIRAVVDEIIESGKRLAGKGRCPLMYEWHGKKYWGAAHGLAGIIHVLMDTELKPDEAEYVKGTLRYIIKNRFPSGNYPSSEGSESDRLVHWCHGAPGITLTLVKAAEVFGDKEFLQAALDAGEVVWKRGLLKRVGICHGISGNAYVFLSLYRLTGNVEYLYRAKAFTSFLSDRAEKLISQGKMHGGDRPYSLFEGIGGMAHLFLDMVKASEARFPAYEI >KJB84322 pep supercontig:Graimondii2_0_v6:KB204314:1992:3484:-1 gene:B456_N018400 transcript:KJB84322 gene_biotype:protein_coding transcript_biotype:protein_coding description:LanC-like protein GCR2 [Source:Projected from Arabidopsis thaliana (AT1G52920) UniProtKB/Swiss-Prot;Acc:F4IEM5] MRLHGCRRVTFICGRAGVYALGAVIAKHSGDTSLQQRYLEKFKEIRFPSDLPHELLYGRAGFLWACSFLNKHIGKDTISTARIRAVVDEIIESGKRLAGKGRCPLMYEWHGKKYWGAAHGLAGIIHVLMDTELKPDEAEYVKGTLRYIIKNRFPSGNYPSSEGSESDRLVHWCHGAPGITLTLVKAAEVFGDKEFLQAALDAGEVVWKRGLLKRVGICHGISGNAYVFLSLYRLTGNVEYLYRAKAFTSFLSDRAEKLISQGKMHGGDRPYSLFEGIGGMAHLFLDMVKASEARFPAYEI >KJB84325 pep supercontig:Graimondii2_0_v6:KB204314:2110:3321:-1 gene:B456_N018400 transcript:KJB84325 gene_biotype:protein_coding transcript_biotype:protein_coding description:LanC-like protein GCR2 [Source:Projected from Arabidopsis thaliana (AT1G52920) UniProtKB/Swiss-Prot;Acc:F4IEM5] MRLHGCRRVTFICGRAGVYALGAVIAKHSGDTSLQQRYLEKFKEIRFPSDLPHELLYGRAGFLWACSFLNKHIGKDTISTARIRAVVDEIIESGKRLAGKGRCPLMYEWHGKKYWGAAHGLAGIIHVLMDTELKPDEAEYVKGTLRYIIKNRFPSGNYPSSEGSESDRLVHWCHGAPGITLTLVKAAEVFGDKEFLQAALDAGEVVWKRGLLKRVGICHGISGNAYVFLSLYRLTGNVEYLYRAKAFTSFLSDRAEKLISQGKMHGGDRPYSLFEGIGGMAHLFLDMVKASEARFPAYEI >KJB84323 pep supercontig:Graimondii2_0_v6:KB204314:1891:4652:-1 gene:B456_N018400 transcript:KJB84323 gene_biotype:protein_coding transcript_biotype:protein_coding description:LanC-like protein GCR2 [Source:Projected from Arabidopsis thaliana (AT1G52920) UniProtKB/Swiss-Prot;Acc:F4IEM5] MKCLLLWRNQLSLQELPAVTHSPTSFLYLTNLSLITSNPLLWPSKKPRVTFICGRAGVYALGAVIAKHSGDTSLQQRYLEKFKEIRFPSDLPHELLYGRAGFLWACSFLNKHIGKDTISTARIRAVVDEIIESGKRLAGKGRCPLMYEWHGKKYWGAAHGLAGIIHVLMDTELKPDEAEYVKGTLRYIIKNRFPSGNYPSSEGSESDRLVHWCHGAPGITLTLVKAAEVFGDKEFLQAALDAGEVVWKRGLLKRVGICHGISGNAYVFLSLYRLTGNVEYLYRAKAFTSFLSDRAEKLISQGKMHGGDRPYSLFEGIGGMAHLFLDMVKASEARFPAYEI >KJB84324 pep supercontig:Graimondii2_0_v6:KB204314:2110:4474:-1 gene:B456_N018400 transcript:KJB84324 gene_biotype:protein_coding transcript_biotype:protein_coding description:LanC-like protein GCR2 [Source:Projected from Arabidopsis thaliana (AT1G52920) UniProtKB/Swiss-Prot;Acc:F4IEM5] MADRFFPNEMPTFVAESTVSTGAAGGDSLTNLLSLPYKSLSDHLKSAALALKETVVRETWGLSGKRVQDYTLYTGALGTAYLVFKAYQVTKNDNDLKLCSDIVKACDSASKDSGRVTFICGRAGVYALGAVIAKHSGDTSLQQRYLEKFKEIRFPSDLPHELLYGRAGFLWACSFLNKHIGKDTISTARIRAVVDEIIESGKRLAGKGRCPLMYEWHGKKYWGAAHGLAGIIHVLMDTELKPDEAEYVKGTLRYIIKNRFPSGNYPSSEGSESDRLVHWCHGAPGITLTLVKAAEVFGDKEFLQAALDAGEVVWKRGLLKRVGICHGISGNAYVFLSLYRLTGNVEYLYRAKAFTSFLSDRAEKLISQGKMHGGDRPYSLFEGIGGMAHLFLDMVKASEARFPAYEI >KJB84321 pep supercontig:Graimondii2_0_v6:KB204314:31:2002:1 gene:B456_N018300 transcript:KJB84321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLIVLKGALVGSYKGSCHLYDTSENKLQPKSQINLQNKKKKAHQKKITGFQFAPGSSSEVLITSADSRIRLVDESDLIHKFKGFRNTNSQISASVTTNGKYVVSASEDSYVYVWKQEAESRPSRNKGVTVTYSYEHFHCKDVSVAIPWPGMSDTWGVRDAQLNDQNCFDDTIDEVSTANHPPTPDEEYSGNEGSLSASGCTNSPLHGTISSATNSYFFDRISATWPEEKLLSATRTRSRRISLDYTSWVNPNIPAWRMVIVTAGLRGEIRTYQNFGLPVRI >KJB84327 pep supercontig:Graimondii2_0_v6:KB204315:428:673:-1 gene:B456_N018500 transcript:KJB84327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYIVGVGAVIASLLGFLFLYCNSLQRFRELRKLKRAASMEFPKGNSVKKTGNSEGVGTTDIIIVGAGVAGAALAYSLGK >KJB84330 pep supercontig:Graimondii2_0_v6:KB204315:3647:9140:-1 gene:B456_N018600 transcript:KJB84330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYIVGVGAVIASLLGFLFLYCNSLIRFRGLSKLKRAASMEFPKGNSVNKTGNSEGVGTTDIIIVGAGVAGAALAYSLGKDGRRVRMIERDLNMPNRIVGELLYPGGYIKLIELGLEDCVDEIDAQQFLGYTLYKDGKETLVSYPLEKFQSHISGRTSHNGRFVQRLRIKAASLHNVTLEQGTVTSLIEDNGIVKGVHYKNKSGQVLTAYAPLTIVCDGCFSNLRRSLCYPKVDIPSYLVGLTLTNCNLPKKNYGAIILGHPSPIILYPVSSTEIRCMVDVPSEKVPSVSNGEMACYLKTQVAPKVLPELYNSFMSAIEKKGNIRIMPAKIMAAAPHLTPGALLIGDALNMRHAITGGGMTVALSDVVILRDLLRPLHDLSDAFTISKYLESFYTLRKKVFSASSNPAMENLQHTFLGYLRLGGVFSYGISAMLSGLCPRPLSLAFHFFSLAIYGVGRLLLPFPSPKRLWDGAKFLWVASSILLPYIYSEGIRQMFFPLTVPAYYRTPPKKGPKRNFMH >KJB84329 pep supercontig:Graimondii2_0_v6:KB204315:1598:9140:-1 gene:B456_N018600 transcript:KJB84329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYIVGVGAVIASLLGFLFLYCNSLIRFRGLSKLKRAASMEFPKGNSVNKTGNSEGVGTTDIIIVGAGVAGAALAYSLGKDGRRVRMIERDLNMPNRIVGELLYPGGYIKLIELGLEDCVDEIDAQQFLGYTLYKDGKETLVSYPLEKFQSHISGRTSHNGRFVQRLRIKAASLHNVTLEQGTVTSLIEDNGIVKGVHYKNKSGQVLTAYAPLTIVCDGCFSNLRRSLCYPKVDIPSYLVGLTLTNCNLPKKNYGAIILGHPSPIILYPVSSTEIRCMVDVPSEKVPSVSNGEMACYLKTQVAPKVLPELYNSFMSAIEKKGNIRIMPAKIMAAAPHLTPGALLIGDALNMRHAITGGGMTVALSDVVILRDLLRPLHDLSDAFTISKYLESFYTLRKPMSSTINILANVLQKVFSASSNPAMENLQHTFLGYLRLGGVFSYGISAMLSGLCPRPLSLAFHFFSLAIYGVGRLLLPFPSPKRLWDGAKFLWVASSILLPYIYSEGIRQMFFPLTVPAYYRTPPENKDKKNFMH >KJB84331 pep supercontig:Graimondii2_0_v6:KB204315:3901:9124:-1 gene:B456_N018600 transcript:KJB84331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYIVGVGAVIASLLGFLFLYCNSLIRFRGLSKLKRAASMEFPKGNSVNKTGNSEGVGTTDIIIVGAGVAGAALAYSLGKDGRRVRMIERDLNMPNRIVGELLYPGGYIKLIELGLEDCVDEIDAQQFLGYTLYKDGKETLVSYPLEKFQSHISGRTSHNGRFVQRLRIKAASLHNVTLEQGTVTSLIEDNGIVKGVHYKNKSGQVLTAYAPLTIVCDGCFSNLRRSLCYPKVDIPSYLVGLTLTNCNLPKKNYGAIILGHPSPIILYPVSSTEIRCMVDVPSEKVPSVSNGEMACYLKTQVAPKVLPELYNSFMSAIEKKGNIRIMPAKIMAAAPHLTPGALLIGDALNMRHAITGGGMTVALSDVVILRDLLRPLHDLSDAFTISKYLESFYTLRKPMSSTINILANVLQKVFSASSNPAMENLQHTFLGYLRLGGVFSYGISAMLSGLCPRPLSLAFHFFSLAIYGVGRLLLPFPSPKRLWDGAKFLWVASSILLPYIYSEGIRQMFFPLTVPAYYRTPPKKGPKRNFMH >KJB84328 pep supercontig:Graimondii2_0_v6:KB204315:1598:9140:-1 gene:B456_N018600 transcript:KJB84328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQYIVGVGAVIASLLGFLFLYCNSLIRFRGLSKLKRAASMEFPKGNSVNKTGNSEGVGTTDIIIVGAGVAGAALAYSLGKDGRRVRMIERDLNMPNRIVGELLYPGGYIKLIELGLEDCVDEIDAQQFLGYTLYKDGKETLVSYPLEKFQSHISGRTSHNGRFVQRLRIKAASLHNVTLEQGTVTSLIEDNGIVKGVHYKNKSGQVLTAYAPLTIVCDGCFSNLRRSLCYPKVDIPSYLVGLTLTNCNLPKKNYGAIILGHPSPIILYPVSSTEIRCMVDVPSEKVPSVSNGEMACYLKTQVAPKVLPELYNSFMSAIEKKGNIRIMPAKIMAAAPHLTPGALLIGDALNMRHAITGGGMTVALSDVVILRDLLRPLHDLSDAFTISKYLESFYTLRKPMSSTINILANVLQKVFSASSNPAMENLQHTFLGYLRLGGMFSYGASAMLSGLCPSPLSLAFHFFSIAIYGVGRLLLPFPSPKRLWDGAKFLWVASSILLPYIYSEGIRQMFFPLTVPAYYRTPPENKDKKNFMH >KJB84332 pep supercontig:Graimondii2_0_v6:KB204323:967:3355:1 gene:B456_N018700 transcript:KJB84332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTLVLSIVLIIWFRKRKVQQPISTFAENSLLWLSYRRILKATDEFSMRNLVGSGSFGSVYKGILEESGVVIAVKVLNLLDHRSSRSFLVECETLKNIRHRNLVKVLTAISGVDYQGNDFKALVYEFMVNGSLEDWLHSPTGTSELETMRKLNFFQRVSVTIDVAHALEYLHHHCETSIIHCDLKPSNILLDEEMVGHISDFGLAKIISADELNRSTKMSSSLGLRGTIGYAPPEYGMGSELSTKGDVYSYGILLLEMFTGKRPTNERFRDGLSLHNFVKAALPEQIIEITDPILVEERVTRGTPDVKNLRNDRHLRCLNSLFEIGLACSAESPNERIDMSDVVTKLCSIRDKILLSRK >KJB84335 pep supercontig:Graimondii2_0_v6:KB204326:8486:9279:-1 gene:B456_N018900 transcript:KJB84335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKILIFGGTGNLGTYMVKASIKLGHPTFVFARPLTPQSTINKINLHKEFQSSGVTIIQGELKEHEKIVAILRQVDIVISVLPFPQVPDQIHIIEAIKVASNIKLRDNGLKHSEM >KJB84334 pep supercontig:Graimondii2_0_v6:KB204326:120:356:-1 gene:B456_N0188002 transcript:KJB84334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFLPSEFGVEEDRLSFLPPFEACLEKKRKIRRAVEASGIPYTYVSANCFGAYFLNYLLRPHEQHEDVTIYGSGEAKGT >KJB84333 pep supercontig:Graimondii2_0_v6:KB204326:1120:1483:-1 gene:B456_N0188001 transcript:KJB84333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKASIKLGHPTFVFARPLTPQSTINKINLHKEFQSSGVTIIQGELKEHEKIVAILRQVDIVISVLPFPQVPDQIHIIEAIKVASNIK >KJB84336 pep supercontig:Graimondii2_0_v6:KB204330:908:4159:1 gene:B456_N019000 transcript:KJB84336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTLENLHESNDHVLDIAPNDGQVVNNVDSHEPVIQPSSSHGSFMSPFCFWVYIRLVFNISQIVASITVIVVSRNEKPQAPLSTWIMGYAAGCAASIPILFQHCFLPYPTLSRLLEHFKWVLKAYFFVWFIIGNVWLFGGYSSSRASNLHRLCIMFLVFSYLEFTMPFILCVVLACCCGDLGLIKGASSECIDSLPTYTFKLQKDGSGSIRKINSEVKGGAETVGTEKDCAIPGDDAVCCICLASYADNDMLKELPCSHFFHTNCVDKWLKMKALCPLCKCTVLITK >KJB84337 pep supercontig:Graimondii2_0_v6:KB204330:1433:3930:1 gene:B456_N019000 transcript:KJB84337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTLENLHESNDHVLDIAPNDGQVVNNVDSHEPVIQPSSSHGSFMSPFCFWVYIRLVFNISQIVASITVIVVSRNEKPQAPLSTWIMGYAAGCAASIPILFQHCFLPYPTLSRLLEHFKWVLKAYFFVWFIIGNVWLFGGYSSSRASNLHRLCIMFLVFSYLEFTMPFILCVVLACCCGDLGLIKGASSECIDSLPTYTFKLQKDGSGSIRKINSEVKGGAETVGTEKDCAIPGDDAVCCICLASYADNDMLKELPCSHFFHTNCVDKWLKMKALCPLCKCTVLITK >KJB84338 pep supercontig:Graimondii2_0_v6:KB204338:1:2630:-1 gene:B456_N019100 transcript:KJB84338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMRKFPCIFLLIFSCLYLQVYNGTVSATDTLFPAQNMTASGQLTSSANTFELGFFSLGSTNLYLVIRMKNVPTKDIVWVANRDLPFTGSSMILTINGDGYLVIVNDRTTYRVSDDPSSSQNVSATLLNSGNLVLRNGNLDILWQSFDYPSNMFLPGMKLGYNKKTGKVWSLTSWLDEEDPNKGMFELKMDPTNSNAVILMRGSVPIWSSGPWNGHIFVSMPEMRLNYIFNYSLYSDENETYFWYSLYKPGTITRFILDVEGHMKESAWLESAQEWNLFWSQPRQSCGDICGSFSSCSGDSQNGGCMRSMPLTCNKDGFIKMNDVSYPLSSTQQTNATNPFPYSRPQVSSSHKDSCKEACLNNCSCSAYAYNTSGHCLRWYGDIVDLRQLSSKDPNGHTIFIKLSASEFNNGRGASKYLWIVAIPAVLLVLLQASYVVIRWKKSFKNKGDREDPSQDILLFDMEMSITTSSGEFSGSENSGKQRKDPAFPLFSFASVLTATENFSLENKLGEGGFGPVHKGKLLNGKEIAVKRLSKRSRQGLEELKNETMLIAKLQHRNLVRLLGCCLEQGEKILIYEFMPNKSLDLFLFGSDIEGLLDWGTRVRIIEGIAQGLLYLHQYSRLRIIHRDLKASNILLDSEMNPKISDFGLARMFGGDKLQANTNRIVGTYGYMSPEYAMEGLFSIKSDVFSFGVLLLEIVSGKKSTGFYHS >KJB84339 pep supercontig:Graimondii2_0_v6:KB204347:4682:6243:-1 gene:B456_N019200 transcript:KJB84339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNAHTNAKAEEKRQSRRDKKPEISEDNNCSASESLSVEELVQEIASKFNSLHNSQHVTPDYCIFRIPGKISEENSAPYRYRYAFIGPLVYSSYLSAHREEEKQRYLAAFLLRAQPNTSLIDFITLIKGSLAKIRGCYENLYYRNWDDSFKRPRQYKRACTESDPLLLIRTILVDAGFILELLLRASCKERRVENDLIFAKDMYELAFAGNPDYHSFLHLTCHFFSHYYNQSIPIDGVLSPNNPHTSEYRSKLEDAKHFTDLIRTFQQPYPFQKHQHRGNNNEDHQSHILRVKKHLQLISSEKPEPEVILEEGKLQQQQGEYLYSAVLLREAGVKFKVSLSTCLFNIEFHEKNGELKIPPLKVDDSTESFYGNLMVWEQCYYPNDTFICDYIFLMGYLIKSAEDVGILVRRRIMINQLGSHKAIVKMFNNLCKYIDVEKKNRYSSLFMKLNAYNAVPHHSWLAILKHQYFSSLWRGVATVAASILLVLTLIQTIIAILSL >KJB84341 pep supercontig:Graimondii2_0_v6:KB204352:5504:5794:-1 gene:B456_N019400 transcript:KJB84341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WWHLQGNPLWLQYIKVPLVTLGYETSYDVFVKAHTGGVSGQTQAITLDIALALLKVSADHKSPLKKEGLLTRDSRVVERKKVGLEKAHKAPQFSKR >KJB84340 pep supercontig:Graimondii2_0_v6:KB204352:1:3010:1 gene:B456_N019300 transcript:KJB84340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKGTKNLKNLRYLDIRNCHELTSIPVALGQLSFLRKLSMFIVGKEDGCGIDELKGLALEGELSIKGLHNVKSSMEAKNANLIKKHNLRSLSLSWRVSSNKSSHHQNDEEILSALQPHSNLKKLRIIDYQGLMFPYWMMDLLLPNLVEISLGYCERCHQLPPLGKLRFLKVLNICRISALKYIDDTFYGDMESSFPSLEVLSIREALCLEEWTTVNGREHFPLLSSLTVDCCPKLVKLPMIQSLKQLQIGETNVTLLAPLIMNATVLTSLQISGFNELPDGLLQNQKQLVSFCSLKSSSDLLDNLSCLKQLYIQDCSIESLPAGLQNLSSLKTLSLNCCDSLVSLPVNGLQGLSSLSSLRIQNCKTLASLSEGVGYLTSLQDLLINGCPELTSLPRSIQHLSSLRYLQIRCCRGLISLPDEIQHLTLLSLLEISYCSNLMSLPQGVRNLNALETLRIRGCHPPEKTV >KJB84342 pep supercontig:Graimondii2_0_v6:KB204352:6793:8877:1 gene:B456_N019500 transcript:KJB84342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVLLSPLVGTILDSLKSWSLKELELPGSLKTEVASLESTLTTIQAVLQDAEEKQWKSEAIKNWLGKLKQAAYDLEVVLEDFNTEAQSRSLHTDARSQVTTFFSLRNPLLFRLDMARKFKNVREKLDVIAGEKSKFHLREGVGEAEIERNEDRQTSSLVKESEVLGRADEKEKIVSMLLCNVSHHDDLSVYAICGMGGLGKTTIAQLVFNDVNVAKVFDLRGWVCVSDDFDIKWLTKAIVESFVGNSCGIQELDPLQRCLAEKLVGKRFLLVLDDVWNEYHDKWDRLKQALQCGRKGSTVIVTTRLEKVALMMATTPFYRLGCLSDDDSWSLFKQRAFGMGMNGSNANLETIGRQIVQRCGGVPLAIKAIGSILRFRSQESEWLRVKDSEIWDLEDEGSRILAVLRLSYEHLPPYMRQCFSFCSIFPKDFVMKKNELIGLWMANGFIPSRGALDLHDMGCEIFSELTWRSFFQEIKEDVDGTVTCKMHDLIHDLATSIMGQECCVIEGNEGSQIPKTARHLFVYNSSPSTNVMDLTKLQPLQSLIQRGCRFNLSNRSGFFCKQKYLKVLDMDFNSTNIAFKSSKHLRYLCLHGAHVKTLPESTSSLHNLQTLNLGDCYNLQMLPKGTKNLKNLRYLDIRNCDALTSMPVALGQLSFLRKLSMFIVGKEDGCGIDELKGLALEGELSIKGLHNVK >KJB84343 pep supercontig:Graimondii2_0_v6:KB204357:3:1520:-1 gene:B456_N019600 transcript:KJB84343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLIPLGLVISLLLFFPIATSLPASGRPRTYIINMDKSAMPAAFSSHHDWYMSTLSSLLSPDGFSPLHLYTYNHVMDGFSAVLSQAHLDQLHELPGHLATYPETIGHLHTTRAPTFLGLKKHSGLWPAGGFGEDMIIGVLDSGIWPESESFNDEGLPPVPKRWRGACETGTEFNASYCNRKLIGARSFSKGMQQEKQNISKTNDYDSPRDFLGHGSHTSSIAAGSSAVGAEYFGYAKGKAIGMAPKARIAMYKVLFFDESYDAAATDVLAGLDQAIEDGVDVLSLSLGFFETPFDENPIAIGAFAALKKGIFVSCSAGNNGPHAYTILNGAPWITTVGAGTIDREFAAHVTLGDGELTVTGKSVYPENLFVSDVPIYFGHGNRTKELCEIYSLDPEEVAGKYIFCDFDSSGQTNAYAQIDEMDTAGAAGAIFSSSEGPFFRPTDFFKPFVLVNPKYGDLVKHYIINSKNATVSIRFQTTLLGTKPAPQVAYFSSRGPDRKSPWIL >KJB84344 pep supercontig:Graimondii2_0_v6:KB204357:7927:8717:-1 gene:B456_N019700 transcript:KJB84344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PIVCSRFSLSEFCNNIDDDDYLLTDYALESGTSMSCPHAAGIATLLKAAHRDWSSAAIRSAMMTTAEVFDNANGRIIDMTTGVAGTPLDFGAGHINPNKAMDPGLVYDIEIQDYINYLCGLNYTGKQIRTITGMRQFNCDSATLDLNYPSFIILLNNTSTTGTTFRRELTNVAEGSSVYRAVVRAPSGMKAVVQPATITFAGKYSKAKFQLTVEIDVGVGSIPESDYFGNYGFLSWYEVNGKHQVTSPIVSAFAP >KJB84345 pep supercontig:Graimondii2_0_v6:KB204362:278:1867:1 gene:B456_N019800 transcript:KJB84345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQLGVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFSISLITKLLGRIYYFDAHSAKPGTLPPRIAAAVNGVAFCGTLAGQLFFGWLGDKLGRKRVYGLTLMLMVICSIASGLSFGKSPHGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGIVALIVSAAFDHAYKAHPYNVDREHSTAPQADYIWRIILMFGALPALLTYYWRMKMPETARYTALVARNAKQAAADMSKVLQVDLNAEQEKVDKIGREQFGLFSKEFAKRHGLNLLGTTSTWFLLDIAFYSSNLFQKDIFSAIGWLPKAETMSATKEVYRVAKAQTLIALCGTVPGYWFTVAFIEHLGRFTIQLMGFFFMSVFMFALAIPYQHWKTHNSGFLIMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGSFGFLYAAQSTHLDKVDKGYPTGIGVKNALMVLGAVNCLGMLFTLLVPETMGRSLEDITGENEEDNGHQQTFSVEKVPV >KJB84346 pep supercontig:Graimondii2_0_v6:KB204362:7171:8760:1 gene:B456_N019900 transcript:KJB84346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQLGVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFSISLITKLLGRIYYFDALSKKPGTLPLRIAAAVNGVAFCGTLAGQLFFGWLGDKLGRKRVYGLTLMLMVICSITSGLSFGKSPDGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGIVALIVSAAFDHAYKALPYNVDREHSTAPQADYIWRIILMFGAIPALLTYYWRMKMPETARYTALVARNAKQAAADMSKVLQVELNAEQEKVDKIGREQFGLFSKEFAKRHGLHLLGTTSTWFLLDIAFYSSNLFQKDIFSAIGWLPKAETMSATKEVYQIAKAQTLIALCGTVPGYWFTVAFIEHLGRFAIQLMGFFFMSVFMFALAIPYPYWKTHNTGFLIMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGSLGFLYAAQSTNHDKVDKGYHTGIGVKNALIVLGAVNCLGMLFTLLVPETMGRSLEDITGENEEDNGYEQRFSVEKVPV >KJB84347 pep supercontig:Graimondii2_0_v6:KB204385:36485:37233:-1 gene:B456_N020000 transcript:KJB84347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FDILKEHGPLTVGDTWERIKEVGLRGLTSKRHMKIVVRWMRGRQNIRLICNHVGPHKQFL >KJB84348 pep supercontig:Graimondii2_0_v6:KB204389:6672:7987:1 gene:B456_N020300 transcript:KJB84348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLSVFFVVIIPTLEAHIAEYDEYWTARELEAIENLDKAYHSNPEEVVRHYNDHFSRTMLEFYITKRVLAESKKGPCEVTNHVDSCWRCDPDWEKNGKKLADCAPGFARGTTGGKDSEFYVVTDPIDNAADPKSGTLRSMTIKLQQELIVTSDKTIDARGANVEICNGAGITIQFAKNIIIHSLQIHHIIPTKGGKIKDGENHHGLRGDSDGDGVSLFGATNVWLDHHALHHCTDGLIDVVQGSTAVTVSNCHFTDHNDVMLFGASDCYSADKKMQVTVALNHFGKGLVERMPRCRFGFIHVVNNDYNHWFLYAIGGTSNPTIISQGNRYSAPGFGAKEVTCRGLLKPGQWKNWSWVSQGDHFENGAFFTPSGNPSAGKQFGADKMMPFKPGQM >KJB84349 pep supercontig:Graimondii2_0_v6:KB204389:3234:4902:1 gene:B456_N020200 transcript:KJB84349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSYSFFLFLFVVIIPTLEAHIAEYDEYWTARELEAIENLDKAYHSNPEEVVRHYNDHFSRTMLEFYITKRVLAESKKGPCEVTNHVDSCWRCDPDWEKNRKKLADCAPGFARGTIGGKDGEIYVVTDPIDNAADPKPGTLRHAVTQTGPLWITFKGSMTIKLQQELIVTSDKTIDARGANVEICNGAGITIQFAKNIIIHGLQIHHIIPTKGGKIKDGENHHGLRGDSDGDAVSIFGATNVWLDHLALHHCTDGLIDVVQGSTAVTVSNCHFTDHNDVMLFGASDSYSADKKMQVTVALNHFGKGLVERMPRCRFGFIHVVNNDYNHWFLYAIGGTSNPTIISQGNRYSAPGFGAKEVTCRGLLKPGQWKNWNWVSQGDHFENGAFFTPSGSPSASKQFGADKMMPFKPGQMVPELTKYAGPLSCTIGRSC >KJB84350 pep supercontig:Graimondii2_0_v6:KB204389:1:1584:1 gene:B456_N020100 transcript:KJB84350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSYSFFLFLFVVIIPTQESHIAEYDEYWTARELEAIENLDKAYHSNPEEVVRHYNDHFSRTMLEFYITKRVLAESKKGPCEVTNHVDSCWRCDPDWEKNRKKLANCAPGFARGTTGGKDGEFYVVTDPIDNATDPKPGTLRHAVTQTGPLWITFKGSMTIKLQQELIVTSDKTIDARGANVEICNGAGITIQFAKNIIIHGLQIHHIIPAKGGKIKDGENHHGLRGDSDGDGVSIFGATNVWLDHLALHHCADGLIDVVQGSTAVTVSNCHFTDHNDVMLFGASDSYSADKKMQVTVALNHFGKGLVERMPRCRFGFIHVVNNDYNHWFLYAIGGTSNPTIISQGNRYSAPGFGAKEVTCRGLLKPGQWKNWNWVSQGDHFENGAFFTPSGSPSASKQFGADKMMPFKPGQMVPELTKYAGPLSCTIGRPC >KJB84352 pep supercontig:Graimondii2_0_v6:KB204410:58:1688:-1 gene:B456_N020400 transcript:KJB84352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNEVNLNECKMVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANANPVDGVFSFDVLIDRGTSLLSRIYRPTTAEEPQPNIAELEKPVTAAVVPVIIFFHGGSFAHSSANSAIYDTLCRRLVSLCKAVVVSVNYRRAPENRYPCAYDDGWTALKWVNSRPWLQSQKDSKVHIYLAGDSSGGNIAHHVALRAIESGIDVLGNILLNPMFGGQERTESEKRLDGKYFVTLRDRDWYWRAYLPEGEDRDHPACNPFGPNGRSLEGIKFPKSLVVVAGLDLIQDWQFAYKKGSRKQTRG >KJB84353 pep supercontig:Graimondii2_0_v6:KB204410:58:1983:-1 gene:B456_N020400 transcript:KJB84353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEFLDRKVPANANPVDGVFSFDVLIDRGTSLLSRIYRPTTAEEPQPNIAELEKPVTAAVVPVIIFFHGGSFAHSSANSAIYDTLCRRLVSLCKAVVVSVNYRRAPENRYPCAYDDGWTALKWVNSRPWLQSQKDSKVHIYLAGDSSGGNIAHHVALRAIESGIDVLGNILLNPMFGGQERTESEKRLDGKYFVTLRDRDWYWRAYLPEGEDRDHPACNPFGPNGRSLEGIKFPKSLVVVAGLDLIQDWQFAYKKGSRKQTRG >KJB84351 pep supercontig:Graimondii2_0_v6:KB204410:58:2005:-1 gene:B456_N020400 transcript:KJB84351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEFLDRKVPANANPVDGVFSFDVLIDRGTSLLSRIYRPTTAEEPQPNIAELEKPVTAAVVPVIIFFHGGSFAHSSANSAIYDTLCRRLVSLCKAVVVSVNYRRAPENRYPCAYDDGWTALKWVNSRPWLQSQKDSKVHIYLAGDSSGGNIAHHVALRAIESGIDVLGNILLNPMFGGQERTESEKRLDGKYFVTLRDRDWYWRAYLPEGEDRDHPACNPFGPNGRSLEGIKFPKSLVVVAGLDLIQDWQFAYKKGSRKQTRG >KJB84354 pep supercontig:Graimondii2_0_v6:KB204410:58:1688:-1 gene:B456_N020400 transcript:KJB84354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNEVNLNECKMVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANANPVDGVFSFDVLIDRGTSLLSRIYRPTTAEEPQPNIAELEKPVTAAVVPVIIFFHGGSFAHSSANSAIYDTLCRRLVSLCKAVVVSVNYRRAPENRYPCAYDDGWTALKWVNSRPWLQSQKDSKVHIYLAGDSSGGNIAHHVALRAIESGIDVLGNILLNPMFGGQERTESEKRLDGKYFVTLRDRDWYWRAYLPEGEDRDHPACNPFGPNGRSLEGIKFPKSLVVVAGLDLIQDWQFAYKKGSRKQTRG >KJB84355 pep supercontig:Graimondii2_0_v6:KB204416:2539:5608:-1 gene:B456_N020500 transcript:KJB84355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLRLLFCFTLLVWPSLQEEEGKCVETCGNVTIPFPFGIKPECYSDPSFRVTCKNGEKPYISRIDMEILGSFTSRDVVVNSPVTYSNCPSKGDNGSGDVDLTDSPFFFSGNNLFGSIGCGHSATVFSNRTDPIGGCLQPRCGDMTEFQGCYATVSENITSFTAKMSPFTNESNGRNACTSAFMFDVGTFERIIFPGDINIDSTHVPAILEWKTFDPKLKVPLRKSNCTEKCGNIDILYPFGIEDRCYMNDAFRVSCEETIDGSKPFISSIDLELVDVKFSDGRVIINNSITYSNCNNHQDDRKKGVSVNLTNTPFYFSDIFNLFGSVGCGNFATIYHNQTDDPIGGCMQPSCNSNANSSTNDMCFTSIPPRLDTFAASLTKKYRSYDGNRSCGSAFVFDTDSWDNDGFLTVPHTNGHVTTSLQWGKPLPAPCKLKDGKKTFCNSEGHYCWSWLSRELLCVCSESEYSSAYSVDVCEEPGKCENSKRRYCNMLCLNAPGNYCSLTCPEGYKYSDEEYRCKLIQTNSSPLPGRTRNLIIIIGCSTSIGTIFALLCIWRLFKALERRKDIKLKQKYFKRNGGLLLQQQLSSNEGNVEKIKLFASKELEKATDYYNENRILGRGGQGIVYKGMLTDGSIVAIKRSKLVEKKVLEEMKLEQFINEVIILSQINHRNVVKLLGCCLETNVPLLVYEFIPNGTLSDLIHKPNEEFPLTWEMRLRISTEIANALFYLHSAASVPIYHRDIKSSNILLDDKYRAKVSDFGISRSVAIEQTHVTTRVHGTLGYLDPEYCRSNQYTEKSDVYSFGVVLVELLTGQKPISSSQSVEQRGSLVTFFLHSMKENSLFDILDPQVMNEGPREEVTAVSWLAKRCLNINRNKRPTMKQVAMELERIRTSDETNVLQEQSDDEEDYEINDDVTDPWGISSCSTMVIIDNI >KJB84356 pep supercontig:Graimondii2_0_v6:KB204426:7782:10305:1 gene:B456_N020600 transcript:KJB84356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLMANLGLNFRVAKRHTLSSSLTICARMSNDNSFLNDEVWSLLDNLDDSISEVANRPPLSSEELNPSMSSGSKKRNREIPVTHKKLMEKSGRNNARVREPFIPVNHQLPGNKNV >KJB84358 pep supercontig:Graimondii2_0_v6:KB204436:38818:39495:-1 gene:B456_N0207002 transcript:KJB84358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIPKLEELELGTFGDMDQFPPDLFQHIKVFAVSGGSPFSLFPFVRRFYNLERLEFSCFDFEHVVPCKGDAGTLPPIRNLKLFSARNLNHIWRKDSELDHILSNLQTLTVQHCDDWINIRAFSSSLQNLTILNVSFCNMMTNLVTPSVLKNLVQLTTIKVEDCTKMTEIVGNEGDCHQTIVVSKLKCLQLCNLKSLTSFSPRYYNFEFPCLEELVVEDLSLVKDLF >KJB84357 pep supercontig:Graimondii2_0_v6:KB204436:41271:42437:-1 gene:B456_N0207001 transcript:KJB84357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLTQLRLLDLRGCRELKIIPPNVLSGLSKLEELYMSRSFVEWEKGGVVENERKNASLDELNNLPCLTTLYVHILDVQMIPKHRFVETLDRFRIFVGNYGGYNCCHNYESPKALKLMLYANIDLDNGMKMLLIKTEDLCLEGLEGVKNVLMELNNGKDLPNLKRLHVRNGRHVQYIKTNKIGFSELCFIKLENLPQLVSFCSSDERCSTKPLLLFNKQTCHWVTNLRSLIIKGCGKLEHLLSPSLARSL >KJB84359 pep supercontig:Graimondii2_0_v6:KB204451:3034:5243:1 gene:B456_N020800 transcript:KJB84359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKQYSADVVVEGLRKILISEEVRLDEEVQSKVEKLIAELQGREHHHHPPCDHDAQRLDDGFHFFKTNIFDKNRECFEKLAEAQHPKFLVISCSDSRVSPSVVLNFKPGEAFAGRNIANMVPQFDQLRHTEIGSVIEYAVKELQVDNILIMGHSSCGGIKRLMSLPDQTQTYDFIDEWVKIGLPAKKKVLEEAGDLPCEQQITLCEKESVKNSMGNLLTYPFVRSAVVNGTLTLRGGYYDFVNGDFEQWKMCTKPCHPQ >KJB84360 pep supercontig:Graimondii2_0_v6:KB204452:1446:2489:1 gene:B456_N020900 transcript:KJB84360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KJB84361 pep supercontig:Graimondii2_0_v6:KB204453:2959:4932:-1 gene:B456_N0210001 transcript:KJB84361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKCIFGLVIIVSRISLSFTQNVNVVIQGSKSVAEIDDNFVCATLDWWPTEKCNYNQCPWGKAGLLNLDLKRKVLINAIKAFNSLRIKVGGSLQDQVVDGVGEVKNCPNFMKKEGSLFGFSQGCLPVERWDELNNFFNQTGWVTFGLNALLGRNESQSEKCLWVGDWNSQNARDFMKYTISRGYKVDSYEFGNQLSGARMGARVEAEQYGKDVIVLKNMVKELHPDPKTQPKVLGPSGFYDEKWFNSFLEVLGQEVVDGVTHHIYNLGPGDDLNLITKIQDPSCLNQVAQTYRGVFNIVNKFKPQSGAWVSESGGALQGGAKDVSPTFADGFWQTLIGGNYALLDTTTFIPNPDYYGALLWHRLMGSIVLAVTQESNPNLRVYAH >KJB84362 pep supercontig:Graimondii2_0_v6:KB204453:2409:2755:-1 gene:B456_N0210002 transcript:KJB84362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDFYIFINLSNDSTFDVTLSSYEHRRRNLRPTDAAKPKFEFRSHLNREEYHLTALGGNIQGQIVLLNDVPMVLTDIFDIPAMDPKLVNASTPISVAAHSIVYLTIRDFHAPVCV >KJB84365 pep supercontig:Graimondii2_0_v6:KB204467:4082:7502:-1 gene:B456_N021200 transcript:KJB84365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKYQILDNRPIDQWKVTELKEELKRRKLITRGLKEELVRRLDEAVRLERENAETEEDNGFNSDPQPTDEGGIEKAMPVIAETVKDDVDHSGSRIKESGVKVHVDINESAAALGHEGVQGSDSLVEKELVSETTTIQTEITVTKNVVCEVPSTEKESSGQNENVNTDIEVESEDSKLQSDPKPRLENVGPKPEVKNEGSKPEVESEGLKAEGENDDPKGQLQCVGSKPQLDLEESKVQLEIEGLKAPHKDDVRDSSAPNIQVSEVSPDLGFQVKSDSISTDSVSNNEKIELKDNILADNVKLDLDVVKPEMVEPSSSNVVPFSGESHPMDVEDPPENKAPVDERDDKNVTNVDISNKNDSAEMAFSEKLNLDRSSGDDSMEEDVLESKQIDSKCSTDEMGDKSEKNRAPIVKEKSPVGVFRDDLSIDKKDTLVENKSRSFVPAEKRKLHDQEPVGNNELSKRRKWNSDNIKVPEHQGNLAPTTTPKDTPQPAALRRNFSRSDSTASEGTPKERVVPPSQNAPTNSLRIDHFLRPFTLKAVQELLGKTGTVTSFWMDHIKTHCYVTYSSVEEAIETRNAVYNLQWPPNGGRLLVADFVDPQKVKTRLDAPPQTPTTPGTSGSTAPQAQPASQPQPSPRQQVSRQQHPPPSALPPPPLSNPPPVRERLPLPPPPAEKVDPPIVTLDDLFWKTKAIPRIYYLPLSDEQVAAKQAAHGRNI >KJB84364 pep supercontig:Graimondii2_0_v6:KB204467:5379:7502:-1 gene:B456_N021200 transcript:KJB84364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKYQILDNRPIDQWKVTELKEELKRRKLITRGLKEELVRRLDEAVRLERENAETEEDNGFNSDPQPTDEGGIEKAMPVIAETVKDDVDHSGSRIKESGVKVHVDINESAAALGHEGVQGSDSLVEKELVSETTTIQTEITVTKNVVCEVPSTEKESSGQNENVNTDIEVESEDSKLQSDPKPRLENVGPKPEVKNEGSKPEVESEGLKAEGENDDPKGQLQCVGSKPQLDLEESKVQLEIEGLKAPHKDDVRDSSAPNIQVSEVSPDLGFQVKSDSISTDSVSNNEKIELKDNILADNVKLDLDVVKPEMVEPSSSNVVPFSGESHPMDVEDPPENKAPVDERDDKNVTNVDISNKNDSAEMAFSEKLNLDRSSGDDSMEEDVLESKQIDSKCSTDEMGDKSEKNRAPIVKEKSPVGVFRDDLSIDKKDTLVENKSRSFVPAEKRKLHDQEPVGNNELSKRRKWNSDNIKVPEHQGNLAPTTTPKDTPQPAALRRNFSRSDSTASEGTPKERVGELSSLSQLIRIEFY >KJB84363 pep supercontig:Graimondii2_0_v6:KB204467:448:2773:-1 gene:B456_N021100 transcript:KJB84363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKYQILDNRPIDQWKVTELKEELKRRKLTTRGLKEELVRRLDEAVRLERENGETEEDNGFNSDPQPTDERGIEKAIPVIVETVKDDVDHSGREIEKESGVKVHVDINESAAALGHEGVQGSDSLVEKELVSETTTIQTEITVTKNVVCEVPSTEKESSGQNENVNTDIKVEGEDPKLQFETDDPKPEVENDDPKGELQGSNPQLESDDSKPQLDIEDSKVQLENEGLKVPHEDDVHDSSAPNIQVSEVSPDLGLQVKSDSISTDSVSNNEKIELKDNILADNVKLDLDVVKPEMVEPSSSNVVPISGESHPMDVEDPPENKAPVDERDGKNVTNVDLGNKNDSAETTYSEKLNLDRSSGDDSMEEDMLESKQIDSKCSTDEMGDKSENNGAPIIKEKIPLGDVRDDLSVNKKDTLVENKSRSFVPAEKRKLHGK >KJB84366 pep supercontig:Graimondii2_0_v6:KB204471:3745:4763:1 gene:B456_N021300 transcript:KJB84366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSVALGIDSSPLYGHVLLLLTHNATTLHLSLLSPFLPTSPEAKQRTFLNRPTPKATSASSISDLISPKLKMALEWVVLGYAAGAEAIMVLLLTLPGLDGLRKGLIAVTRGLLKPFLSVVPFCLFLLMDIYWKYETRPSCEGDSCTPSEHLRHQKSIMKSQRNALLIAAALLFYWLLYSVTGLVVKVEQLNQRLERLKNRD >KJB84367 pep supercontig:Graimondii2_0_v6:KB204474:6426:7679:-1 gene:B456_N021500 transcript:KJB84367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIKEEVRAEIKIWNYVLGHVKIAVVKCAIELGIADVIENYGRPMPLSELATALRCEPSRLHRIMRFMVHDRIFKQEPLNQHTVGFSSTPLSRLLMKGGQKSMAALILLMSSPTCLAPWHSLSARVLETGNNISPFEVANGKDLWSYAEANPDFRELFNNAMGCDARLTVQATIEGCPEVFDRVESLVDVGGGNGTALSLLVKAFPWIRGINFDLPHVVAVAAKSDSIENVGGDMFMSIPNADAAFLVWVLHDWDDEECIKILKKCREAIPEDKGKVIIVEAVLEEDKEGDELGDVGLMLDTALMAITNKGKERTLKEWSSVLRQSGFTRFNVKPTHAVQSVIEAYP >KJB84368 pep supercontig:Graimondii2_0_v6:KB204474:2120:3308:-1 gene:B456_N021400 transcript:KJB84368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSGIEEEEARAEVDIWNYVFGYAKIAVVKCAIELGIADVIENYGSPMPLSELATALRCEPSRLHRIMRFMVHDRIFKQEPINQHTVGFSSTPLSRRLIKDGEKSMAPFILLESSPTWLAPWHSLSARVLETGNNISPFEVANGKDLWSYAEANPDFRELFNNATGCDARLTVQATIEGCPEVFDGVESLVDVGGGNGTALSLLVKAFPWIRGINFDLPHVVAVAPKSGSIENVGGDMFMSIPNADAAFLMWILHDWDDEECIKILKKCREAIPEDKGKVIIVEAALEEDKEDDELGFVGLMLDMAMMAHTDKGKERTLKEWSYVLRQSGFTRFTVNPIRAVQSVIEA >KJB84369 pep supercontig:Graimondii2_0_v6:KB204496:5957:6715:1 gene:B456_N021700 transcript:KJB84369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNCLALAFLTALSFASIDVGVAARHLLQQPQPQSLPSFPNLPTPSRQSFPWLGALPPLPTTLPTGLPPLPSIPSVPTIPTAVPPIPFSFPPLPSFPNLPNPGALPPLPATLPRGLPPLPNIPSIPTAVPSIAFFSPPPSSSTP >KJB84370 pep supercontig:Graimondii2_0_v6:KB204496:1973:2770:1 gene:B456_N021600 transcript:KJB84370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFNCLALAFLIALSFASIDVGLAARHLLQQPQPQSLPSFPNLPTPSRQSFPWPGALPPLPTTLPTGLPPLPSIPSVPTIPTAFPLFLSFLHHLLVLLLEIPPFLLCCILDLV >KJB84372 pep supercontig:Graimondii2_0_v6:KB204505:36:700:-1 gene:B456_N021800 transcript:KJB84372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYDSSYDEIFADYPLSNTILGPTVEIHTEASKVQTSADGLLLSSLESTRNLRQPGRATPEVQGGTNGLPLSSLESTGNLLQLGRATPQVKDGANGLLFSVDGNQANLGMKRDLELVPCRLSVAEGRVTKKQCRRTFFIDESSTLQQPEPKRKELISGKTIKEGAKVLVDRIDRRLNRVKNPTFTGLDSAL >KJB84371 pep supercontig:Graimondii2_0_v6:KB204505:2557:3053:-1 gene:B456_N021900 transcript:KJB84371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNELPLIPDELSVGRKQDRFPVSFFASVGRIVRLLCTLDVSSMLFEVVCRSTNLQEQQKLSRSGDCSKSTRSLNNLIYRGQRQSMFKSKS >KJB84373 pep supercontig:Graimondii2_0_v6:KB204516:2733:6810:1 gene:B456_N022000 transcript:KJB84373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVLLLCLLSSLVFTPAYSNDWLSHGGNLLNRRFGEKETKISPETVSKLRLKWKFEAGRDITATPSVFKGRVYFPSWDGYLYAVKQSDGSLIWKQNLQQLTGINSTRVIFNVNVTASRTTPTIADELLIIGISGPAFVVAVKLSNGELVWSTQLDDHPAACITMSGTYYNGNFYVGTSSQEEEVSIEQCCTFRGSLVKLNAKTGKILWQTFMLPDNFGNRGEYAGAAIWGSSPPIDVTRNLVYIATGNLYSAPQNITDCQERQNNQTQVAPTHSDECVEPDNHSDSFLALDLDTGNIKWFHQLGGYDVWFFACNNLSVPDCPPGPNPDADFGEAPMMLTIYVNGTTKDIVAAVQKSGFVWALDRDDGNIIWSTEAGPGGLTGGGTWGAATDEKKVYTNIANSDGKNFTLKPSTRNTTGGGWVAMDATNGQVLWSTADPTNGTASGPVTVANGVVFGGSTYKEGPVYAMDSKSGEILWSYNTGATVFGGMSVSDGCIYVGHGYRVSFGIFNPNNTAGNTLFAFCIS >KJB84374 pep supercontig:Graimondii2_0_v6:KB204516:2781:6770:1 gene:B456_N022000 transcript:KJB84374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVLLLCLLSSLVFTPAYSNDWLSHGGNLLNRRFGEKETKISPETVSKLRLKWKFEAGRDITATPSVFKGRVYFPSWDGYLYAVKQSDGSLIWKQNLQQLTGINSTRVIFNVNVTASRTTPTIADELLIIGISGPAFVVAVKLSNGELVWSTQLDDHPAACITMSGTYYNGNFYVGTSSQEEEVSIEQCCTFRGSLVKLNAKTGKILWQTFMLPDNFGNRGEYAGAAIWGSSPPIDVTRNLVYIATGNLYSAPQNITDCQERQNNQTQVAPTHSDECVEPDNHSDSFLALDLDTGNIKWFHQLGGYDVWFFACNNLSVPDCPPGPNPDADFGEAPMMLTIYVNGTTKDIVAAVQKSGFVWALDRDDGNIIWSTVRYLTPYHNIIWSIVLVVKTMITLGFKW >KJB84379 pep supercontig:Graimondii2_0_v6:KB204517:4318:5557:1 gene:B456_N0221002 transcript:KJB84379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDVTMMAKIRCVQSTFRFCLLACWVGGKNKHYADKITFSLYTQTSTNRHHNNLYNFFSCTSQARPFSVMGTPLPREWLGLQQFPAATQTKLFELLGKLKQENVNTLTIVVMGKGGVGKSSTINSLIGEQVVRVTAFQSEGLRPVMVSRSWAGFTLNVIDTPGLVEAGYVNHQALELIK >KJB84378 pep supercontig:Graimondii2_0_v6:KB204517:4318:5557:1 gene:B456_N0221002 transcript:KJB84378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDVTMMAKIRCVQSTFRFCLLACWVGGKNKHYADKITFSLYTQTSTNRHHNNLYNFFSCTSQARPFSVMGTPLPREWLGLQQFPAATQTKLFELLGKLKQENVNTLTIVVMGKGGVGKSSTINSLIGEQVVRVTAFQSEGLRPVMVSRSWAGFTLNVIDTPGLVEAGYVNHQALELIK >KJB84380 pep supercontig:Graimondii2_0_v6:KB204517:4484:5557:1 gene:B456_N0221002 transcript:KJB84380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPLPREWLGLQQFPAATQTKLFELLGKLKQENVNTLTIVVMGKGGVGKSSTINSLIGEQVVRVTAFQSEGLRPVMVSRSWAGFTLNVIDTPGLVEAGYVNHQALELIK >KJB84376 pep supercontig:Graimondii2_0_v6:KB204517:5730:7748:1 gene:B456_N0221001 transcript:KJB84376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLLNKTIDVLLYVDRLDVYRVDNLDKQIIRAITNSFGKEIWRKSLLVLTHAQLCPPDGLNYDVFSSKRSEGVLKAIRMGARIRKMDLEDSVIPVVLVENTGRCNKNDIDEKILPNGEAWVPNLVKAITGVATNKSRAIVVSKKLVDGSDANDKGKLWIPVILGVQWLVLKWIQGAIKKDIATGSGPL >KJB84375 pep supercontig:Graimondii2_0_v6:KB204517:5729:7638:1 gene:B456_N0221001 transcript:KJB84375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLLNKTIDVLLYVDRLDVYRVDNLDKQIIRAITNSFGKEIWRKSLLVLTHAQLCPPDGLNYDVFSSKRSEGVLKAIRMGARIRKMDLEDSVIPVVLVENTGRCNKNDIDEKILPNGEAWVPNLVKAITGVATNKSRAIVVSKKLVDGSDANDKGKLWIPVILGVQVKFPVVIGFSLG >KJB84377 pep supercontig:Graimondii2_0_v6:KB204517:5729:8011:1 gene:B456_N0221001 transcript:KJB84377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLLNKTIDVLLYVDRLDVYRVDNLDKQIIRAITNSFGKEIWRKSLLVLTHAQLCPPDGLNYDVFSSKRSEGVLKAIRMGARIRKMDLEDSVIPVVLVENTGRCNKNDIDEKILPNGEAWVPNLVKAITGVATNKSRAIVVSKKLVDGSDANDKGKLWIPVILGVQWLVLKWIQGAIKKDIATGSGPL >KJB84381 pep supercontig:Graimondii2_0_v6:KB204527:1871:6131:-1 gene:B456_N022200 transcript:KJB84381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWTKKTILRNEALQKYIYETSAYPKEHEQLKELREATVQKYNDLSVMNVPVDEAQFLAMLLKLMNAKKTLEIGVFTGYSLLTTALTLPEDGKVIAIDPDKEAYEVGAPFIKKAGMQHKIEFIPSDAFLVLDDLIKNGEEGSFDFVFVDAYKSDYLKFHELTLKLVKVGGIVAYDNTLWYGSVAQSEKEVVEDLIKRYRNFVVEFNSFIAADPRVESSLLSIGDGLTLCRRLH >KJB84382 pep supercontig:Graimondii2_0_v6:KB204527:1959:6116:-1 gene:B456_N022200 transcript:KJB84382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWTKKTILRNEALQKYIYETSAYPKEHEQLKELREATVQKYNDLSVMNVPVDEAQFLAMLLKLMNAKKTLEIGVFTGYSLLTTALTLPEDGKVIAIDPDKEAYEGEEGSFDFVFVDAYKSDYLKFHELTLKLVKVGGIVAYDNTLWYGSVAQSEKEVVEDLIKRYRNFVVEFNSFIAADPRVESSLLSIGDGLTLCRRLH >KJB84386 pep supercontig:Graimondii2_0_v6:KB204552:4129:5610:-1 gene:B456_N0224002 transcript:KJB84386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKAPGMDLLKISLCKPPKVSDGVSVWEWSGSALDEGDEASKWFTNYLGKPSRMVRFNAASETRPVDPVYAQGYSIMFSDMFPFMLASQGSLDELNKLLKEPVPMNRFRPNILVDGCEPFSEDLWTEIKISQFSFQGVRLCSRCKVPTINQETAIAGPEPNETLMKYRSDKVLRPDKKQQGKIYFGQNMVCKESLTEGKAKLVKVGDPIFVLQKVSTAAEAVA >KJB84384 pep supercontig:Graimondii2_0_v6:KB204552:1014:1929:-1 gene:B456_N0223002 transcript:KJB84384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PNCFLHWRFKDRMVEVMINAGQRIRKGEEMTINYMNGQQNQMLMQRYGFSSSVFSIFPSMPEARRTLETAIKYRLHRKLFIEKVIEALDIYQERILF >KJB84383 pep supercontig:Graimondii2_0_v6:KB204552:2263:3946:-1 gene:B456_N0223001 transcript:KJB84383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSRSWVVTSTSDQVLFEIETPSFPLFQPTPVQESPSQLEPADPDFYKIGYVRSMRAYGIEFKEGPDGFGVYASKDVEPLRCARVIMEIPLELMLTIRQKLPWMFFPDIVPLDWDLRLACLLLYAFDKEDNFWQLYGDFLPSADECTSLLLATEDDLSQLQDPDLVSTMKNQQLRALEFWEKNWHSGVPLKIKRLARDPERFIWAVSIAQSRCINMQVRIGALVQDANMLIPYAGL >KJB84385 pep supercontig:Graimondii2_0_v6:KB204552:6564:6690:-1 gene:B456_N0224001 transcript:KJB84385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDASSAAAAVADAKISSIFIYPVKSCRGISVPHAPLTPT >KJB84388 pep supercontig:Graimondii2_0_v6:KB204559:1647:2380:-1 gene:B456_N022500 transcript:KJB84388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPLKLLTPCPLLSFLPQPQFLNAQIWKAIFSFSAELFWYTFSQDGHNLENSKQSTADMSVFVCQNLLQQMESRFQTMSESIITKNNLFVYL >KJB84387 pep supercontig:Graimondii2_0_v6:KB204559:5384:6651:1 gene:B456_N022600 transcript:KJB84387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLFFFIQLLMFVFCCLNVLVGMFLNCYVHDRSIQLAVVPFFSYLSSSYTKLMLAVVPFFSYLSSSYTKLMLCCVITKIRSEYMWQNDLINELALNWKKIK >KJB84390 pep supercontig:Graimondii2_0_v6:KB204567:1:1334:-1 gene:B456_N022700 transcript:KJB84390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAFRLLYGICCKPSTTGDSDSVGPPYTTTAPGVSALAHDLFNFEITSQVPEDLSQHVVSSRKAQVKWYGKLLQAWKEAKPPPKTPEEVARLIVETLSGHRRADVEGLLEFYDLPRPSILEEISTGAPTRLPEGVKSEMHTLPVDGNTVPDGDGLNVYVNTDDPRESSNIPRAVLMAAVQRSKARAKKNYARADELREKIIESGY >KJB84389 pep supercontig:Graimondii2_0_v6:KB204567:569:1334:-1 gene:B456_N022700 transcript:KJB84389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAFRLLYGICCKPSTTGDSDSVGPPYTTTAPGVSALAHDLFNFEITSQVPEDLSQHVVSSRKAQVKWYGKLLQAWKEAKPPPKTPEEVARLIVETLSGHRRADVEGLLEFYDLPRPSILEEISTGAPTRLPEGVKSEMHTLPVRILNAISILFKGDCSLGQS >KJB84391 pep supercontig:Graimondii2_0_v6:KB204567:1:1565:-1 gene:B456_N022700 transcript:KJB84391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAFRLLYGICCKPSTTGDSDSVGPPYTTTAPGVSALAHDLFNFEITSQVPEDLSQHVVSSRKAQVKWYGKLLQAWKEAKPPPKTPEEVARLIVETLSGHRRADVEGLLEFYDLPRPSILEEISTGAPTRLPEGVKSEMHTLPMGIRYQMGMV >KJB84392 pep supercontig:Graimondii2_0_v6:KB204567:1952:2832:-1 gene:B456_N022800 transcript:KJB84392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KNYTKADALQKKITISGYRVLNVQNQEILARKYRIQLKGIDAPESSMPYGKEAKEELVKLVGVKCLRVLVYGEDRYDRCVGDINCNGKFVQEIMLKKGLAWHYSAYDQRIELATWEKEARAKRVGLWALPNLKKPWEWRKDKRQGR >KJB84393 pep supercontig:Graimondii2_0_v6:KB204569:7586:8206:-1 gene:B456_N022900 transcript:KJB84393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYLNRITDLFFKKSKLLIFRFGIVNYVENEGFSFVDVGHSYQPKVSLYNLMVNNGSLIKPWVFSCFHAIFFFISGKYYYVIQKYFWDVAYGLLDEDIFQSLLH >KJB84395 pep supercontig:Graimondii2_0_v6:KB204579:5603:6533:-1 gene:B456_N023100 transcript:KJB84395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PNFLPCEAFLRILRRKVERISYLPIKLSEKYWDYIDDVVMSVLTRHSEMYYHLKVSAKGAAHNLVQKLREQSINRVKEIVEMEKLTGYTCNPDYMMEWNKLMKQQDHFINQISGTNMRPLPCSVDLQGLGEIQIEHLRKHSDVSILQQAFDLKMRMVAYWKIFKELMGPDGHGIKMMLVESPAIVAKREKLKNSIKVLKESKDSVAKIMDRIVAYDACLV >KJB84394 pep supercontig:Graimondii2_0_v6:KB204579:14:475:-1 gene:B456_N023000 transcript:KJB84394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYDEADSGSIGVINDYEDSKPATPPMEEPKVVGAAAAQAPIISSYNDKIRPLLDVIDRLRLLMVMKEGIQLPTIVVVGDQSSGKSSVLESLAGVNLPRSQGICTRVPLIIRLQNHASPRPELYLEYNGKTVPVEEPQIATAINIATDEVAGP >KJB84397 pep supercontig:Graimondii2_0_v6:KB204585:2879:3894:1 gene:B456_N0232001 transcript:KJB84397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAWFNGIIKPVKERGTTLDPELVQAETEPRIIKVMQGGGGEFDTITKAIESVPSGNAKRVIISIGPGSYKEKIRIERNKPFITLLGDPKNMPNLTFDGTAKQYGTVDSATLITESNYFVGANLNIVNSAPRPDGKMVGAQAVALRVSGDRSAFYNCKIIGFQDTLCDDRGNHFFKDCHIRGTVDFIFGSGTSLYLNTEIFVVGDPEGDSKMAIITAQARESSSEDTGYSFVHGRITGTAKDVFLGRAWKSSPRVVYSYTEMDEIVHPGGWSSNRQPERAE >KJB84396 pep supercontig:Graimondii2_0_v6:KB204585:1303:1372:1 gene:B456_N0232002 transcript:KJB84396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYIRTCVATKDSIRSSWDIFR >KJB84398 pep supercontig:Graimondii2_0_v6:KB204592:1476:6323:1 gene:B456_N023300 transcript:KJB84398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIFSEQILADKLSKLNSTQQCIETLSHWCIFHQSNAELVVATWDKQFHNSEMAQKVPLLYLANDILQNSKRKGNEFVNEFWKVLPAALKDLLENGDDRGKNVVSRLVGIWEERRVFGSRARSLKDVMLGEEVPPPLELSKKRPRSASVRIVKRDSRSIKTKLSIGGTAEKIVSAFHLILSEQPNEDEEMSKCKSTVHRVRKMEKDVDVACSIAKDPKRKTLSKELEEEENLLKECIEKLKSVEANRAALVSQLKEALHEQESELENVRTQMQVAQAQADEAFNMRKRLSDEDYVSMSSATTVLSIDANAKAGETPKRSAAAIAAEVADKLAASSSSQMIMHSVLSTFAAEEAKNVGLTKASTQSNSLNSVTDAISKSEKSLPVADSTALMPAQPLCAPINHSYQSVLVPQPAMQSQTTSSQPQYHMLPNIAAQQFLQSSGGTLTPYGTYGGMPPLPPGPPPPPPYMVSPMLPLPITQQQPIPSGQQTASLTQQQLLPVTQQPPVPPSFRPLQPPGMVYYGHPPHS >KJB84399 pep supercontig:Graimondii2_0_v6:KB204598:5633:6280:1 gene:B456_N023500 transcript:KJB84399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDENGIRRPNFPLQLLEKKEQPRSDPADVDTSSKPTLEPPSKKPPPKRTSTKDRHTKVEGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAVFAATGTGTIPANFTSLNISLRSSGSSMSASYLRNTYFNPNFTTQKLRTGSEWDQRNVLDDSSQQQQQQRRVLFPGVALSSEDSLTFPGTSSTTLNAFLQAKQEIRDAESADT >KJB84400 pep supercontig:Graimondii2_0_v6:KB204598:23:1120:1 gene:B456_N023400 transcript:KJB84400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDENGIRRPNFPLQLLEKKEQPRSDPADVDTSSKPTLEPPSKKPPPKRTSTKDRHTKVEGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAVFAATGTGTIPANFTSLNISLRSSGSSMSASHLRNTYFNPNFTTQQLRTRSEWDQRNVLDDSSQQQQQQRRVLFPGVALSSEDSLTFPGTSSTTLNAFLQAKQEIRDAEAADTSIGKRRRPEQQESPQNQVGSYLIQSNTGSIPTSHNPIPATFWIGNQVISGSGTGDPMWTFPSTNNTNMYRGTMSSGVHFMNLAAPMALLPAQQFGSGIGAGGSSVTDTHLSMLASLNAYRPVPGIGVSEPPAGGSHQHHGGENRHDSTS >KJB84405 pep supercontig:Graimondii2_0_v6:KB204604:650:1584:1 gene:B456_N023600 transcript:KJB84405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDKAIILYEEIIRAAKDFDAQYCIGKGGYGNVYKAEPSSGYVVAVKKFHPLHTGRSFLVYKYLERGSLASVLSKNEESKKLDWNKRLSYTMQVTEKCDVFSFGVLALELIVGAYPGEFLSNLSILTAESIPLNNVLDQRLTPPLPEVVNKLVFILKLVVSCFSAVV >KJB84401 pep supercontig:Graimondii2_0_v6:KB204604:5056:6366:-1 gene:B456_N0237001 transcript:KJB84401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMKFERDPDGFAFNFGDVSSYHAEKYGSYDGFNKGFQSSPQHVSMCLDSTFLGLQGTGYEKGDSFGSYSIGYNQSNDLSSVPSWHDNQRNYLLEQRMEQSRGLDNRGMLLQGAFTTRPYIGNPFVCSQQCGIDGNGGRAAIDSLSSPGFLHSKIPLEENVMEDHSVIIQGRGLKYDIENKGFDSFKCCKKKTLKEFGLQNLQEKNSKLDKRHGENVILMPSPYSSVEFQGCIYYMAKDQKGCRFQRRIFDEGSCLDVQIIFNEVTDNIVELMMDPFGNYLVRKLLDVCNEDQRLQIILTVTKETGQLVRISLNTYGTRVVQKLIEILKSRQQISLIKSALKPGILDLIKDLNGNHVLQRCLQCLNNEDNK >KJB84402 pep supercontig:Graimondii2_0_v6:KB204604:5056:6366:-1 gene:B456_N0237001 transcript:KJB84402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSRGLDNRGMLLQGAFTTRPYIGNPFVCSQQCGIDGNGGRAAIDSLSSPGFLHSKIPLEENVMEDHSVIIQGRGLKYDIENKGFDSFKCCKKKTLKEFGLQNLQEKNSKLDKRHGENVILMPSPYSSVEFQGCIYYMAKDQKGCRFQRRIFDEGSCLDVQIIFNEVTDNIVELMMDPFGNYLVRKLLDVCNEDQRLQIILTVTKETGQLVRISLNTYGTRVVQKLIEILKSRQQISLIKSALKPGILDLIKDLNGNHVLQRCLQCLNNEDNK >KJB84403 pep supercontig:Graimondii2_0_v6:KB204604:1957:3775:-1 gene:B456_N0237002 transcript:KJB84403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHGCCVLQRCIAHSNGPHRDKLITQISRNGLLLAQDPFGNYVVQYIIELKVNSGNLLSQFKGHNVHLSMQKFSSHVVEKCLKHFAESRSQIIRELTYVVHFEQLLQNPFANYVIQSALVVTKGPLHASLVDAVRPHTILRTSPYCKRIFSRNLLKK >KJB84404 pep supercontig:Graimondii2_0_v6:KB204604:2302:3775:-1 gene:B456_N0237002 transcript:KJB84404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHGCCVLQRCIAHSNGPHRDKLITQISRNGLLLAQDPFGNYVVQYIIELKVNSGNLLSQFKGHNVHLSMQKFSSHVVEKCLKHFAESRSQIIRELTYVVHFEQLLQNPFANYVIQSALVVTKGPLHASLVDAVRPHTILRTSPYCKRIFSRNLLKK >KJB84406 pep supercontig:Graimondii2_0_v6:KB204607:2667:3262:1 gene:B456_N023900 transcript:KJB84406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKSSSITILNILGHKNKPLQLPSESIYCTSTRFRSTVRRFKVRVPVLSLHRTSIPAISSIVVILLVMAP >KJB84407 pep supercontig:Graimondii2_0_v6:KB204607:40:446:1 gene:B456_N023800 transcript:KJB84407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRVGFFHATTASGWKALDPIFFFMNPRPVYEVTFLNQLPMEATCSSGKSPEDVANYVQRILAATLGFECTNLTRKEKYKILAGNDGTVSRTSFVDQVKKVVRTFKSSFQ >KJB84408 pep supercontig:Graimondii2_0_v6:KB204611:1821:4285:-1 gene:B456_N024000 transcript:KJB84408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIVVEDEIPVHVDRSNREDHKKTDHDSYFSEWKLLICPHGWKNGKGGAVTRYRLENLPQSFGPGTYELAVCKLPSRDRHGKLEPGLVVYVGEAENIRVRLQQYGRDGTHLCRKNGFREKKCRLFDPIFARGCCIIYRWASMKSKAAAQRTEARLLEKYDYAWNIGSNGARRPNDILRKLDKHVSNKRHLPIKIKSNKQVNGRCRRHLDHRGGGGDITYICGAATSDGAPCERPVSGNGRCWQHSNYGRSSSSNKRHVPVKIKSNKQVNGRCRRHLNYGGDGGSSFTSRNLNYGCYDSSFTPRWLDHGGDDIILICGATTDNGAPCERPVSGNGRCWQHLDYMVVLLAVLSTMTRNGSFNRMTVRGNGRYW >KJB84410 pep supercontig:Graimondii2_0_v6:KB204611:2173:4274:-1 gene:B456_N024000 transcript:KJB84410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIVVEDEIPVHVDRSNREDHKKTDHDSYFSEWKLLICPHGWKNGKGGAVTRYRLENLPQSFGPGTYELAVCKLPSRDRHGKLEPGLVVYVGEAENIRVRLQQYGRDGTHLCRKNGFREKKCRLFDPIFARGCCIIYRWASMKSKAAAQRTEARLLEKYDYAWNIGSNGARRPNDILRKLDKHVSNKRHLPIKIKSNKQVNGRCRRHLDHRGGGGDITYICGAATSDGAPCERPVSGNGRCWQHSNYGRSSSSNKRHVPVKIKSNKQVNGRCRRHLNYGGDGGSSFTSRNLNYGCYDSSFTPRWLDHGGDDIILICGATTDNGAPCERPVSGNGRCWQHLDYSSSSSSSSGSSSGGSSSSSGCFTCGTFNYDPQWFF >KJB84409 pep supercontig:Graimondii2_0_v6:KB204611:1832:4285:-1 gene:B456_N024000 transcript:KJB84409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIVVEDEIPVHVDRSNREDHKKTDHDSYFSEWKLLICPHGWKNGKGGAVTRYRLENLPQSFGPGTYELAVCKLPSRDRHGKLEPGLVVYVGEAENIRVRLQQYGRDGTHLCRKNGFREKKCRLFDPIFARGCCIIYRWASMKSKAAAQRTEARLLEKYDYAWNIGSNGARRPNDILRKLDKHVSNKRHLPIKIKSNKQVNGRCRRHLDHRGGGGDITYICGAATSDGAPCERPVSGNGRCWQHSNYGRSSSSNKRHVPVKIKSNKQVNGRCRRHLNYGGDGGSSFTSRNLNYGCYDSSFTPRWLDHGGDDIILICGATTDNGAPCERPVSGNGRCWQHLDYSSSSSSSGGSSSSSGCFTCGTFNYDPQWFF >KJB84415 pep supercontig:Graimondii2_0_v6:KB204612:4077:6116:1 gene:B456_N024200 transcript:KJB84415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATVTSNDQDQSKLRSTTDLSLHDNDQGLYNKIGGTNGGGGKDELGDSFKRDMRELQELFSKLNPMAEEFVPHSLVNNGLNGGFHTNNIAFQNNNNNISRNGNANCNGGGKRKKILGQGKRKSNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAGLFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGAQAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQICARTIYCTNIDKKVTQADVKLFFETVCGEVCLTLVFCFTIYYDKTYRDAFKGSTCLMQ >KJB84417 pep supercontig:Graimondii2_0_v6:KB204612:4087:6116:1 gene:B456_N024200 transcript:KJB84417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATVTSNDQDQSKLRSTTDLSLHDNDQGLYNKIGGTNGGGGKDELGDSFKRDMRELQELFSKLNPMAEEFVPHSLVNNGLNGGFHTNNIAFQNNNNNISRNGNANCNGGGKRKKILGQGKRKSNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAGLFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGAQAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQICARTIYCTNIDKKVTQADVKLFFETVCGEVCLTLVFCFTIYYDKTYRDAFKGSTCLMQ >KJB84412 pep supercontig:Graimondii2_0_v6:KB204612:3994:6119:1 gene:B456_N024200 transcript:KJB84412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATVTSNDQDQSKLRSTTDLSLHDNDQGLYNKIGGTNGGGGKDELGDSFKRDMRELQELFSKLNPMAEEFVPHSLVNNGLNGGFHTNNIAFQNNNNNISRNGNANCNGGGKRKKILGQGKRKSNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAGLFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGAQAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQICARTIYCTNIDKKVTQADVKLFFETVCGEVCLTLVFCFTIYYDKTYRDAFKGSTCLMQV >KJB84414 pep supercontig:Graimondii2_0_v6:KB204612:4013:6116:1 gene:B456_N024200 transcript:KJB84414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATVTSNDQDQSKLRSTTDLSLHDNDQGLYNKIGGTNGGGGKDELGDSFKRDMRELQELFSKLNPMAEEFVPHSLVNNGLNGGFHTNNIAFQNNNNNISRNGNANCNGGGKRKILGQGKRKSNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAGLFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGAQAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQICARTIYCTNIDKKVTQADVKLFFETVCGEVCLTLVFCFTIYYDKTYRDAFKGSTCLMQ >KJB84413 pep supercontig:Graimondii2_0_v6:KB204612:4024:6116:1 gene:B456_N024200 transcript:KJB84413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATVTSNDQDQSKLRSTTDLSLHDNDQGLYNKIGGTNGGGGKDELGDSFKRDMRELQELFSKLNPMAEEFVPHSLVNNGLNGGFHTNNIAFQNNNNNISRNGNANCNGGGKRKILGQGKRKSNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAGLFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGAQAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQICARTIYCTNIDKKVTQADVKLFFETVCGEVCLTLVFCFTIYYDKTYRDAFKGSTCLMQ >KJB84416 pep supercontig:Graimondii2_0_v6:KB204612:4032:6116:1 gene:B456_N024200 transcript:KJB84416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATVTSNDQDQSKLRSTTDLSLHDNDQGLYNKIGGTNGGGGKDELGDSFKRDMRELQELFSKLNPMAEEFVPHSLVNNGLNGGFHTNNIAFQNNNNNISRNGNANCNGGGKRKKILGQGKRKSNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAGLFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGAQAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQICARTIYCTNIDKKVTQADVKLFFETVCGEVCLTLVFCFTIYYDKTYRDAFKGSTCLMQ >KJB84411 pep supercontig:Graimondii2_0_v6:KB204612:3994:6119:1 gene:B456_N024200 transcript:KJB84411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENASNQDTAAATVTSNDQDQSKLRSTTDLSLHDNDQGLYNKIGGTNGGGGKDELGDSFKRDMRELQELFSKLNPMAEEFVPHSLVNNGLNGGFHTNNIAFQNNNNNISRNGNANCNGGGKRKKILGQGKRKSNSRTSMAQREEVIRRTVYVSDIDHQVTEEQLAGLFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGAQAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQICARTIYCTNIDKKVTQADVKLFFETVCGEVCLTLVFCFTIYYDKTYRDAFKGSTCLMQV >KJB84419 pep supercontig:Graimondii2_0_v6:KB204612:1:1138:1 gene:B456_N024100 transcript:KJB84419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPVALADLHIYRPLLLCFGIFVYHRSLVFCLSTHATLLYIENSDGTSRLLEQCYALLLSNLGQNLTLSGN >KJB84418 pep supercontig:Graimondii2_0_v6:KB204612:292:1138:1 gene:B456_N024100 transcript:KJB84418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPVALADLHIYRPLLLCFGIFVYHRSLVFCLSTHATLLYIENSDGTSRLLEQCYALLLSNLGQNLTLSGN >KJB84421 pep supercontig:Graimondii2_0_v6:KB204616:4245:5884:1 gene:B456_N024400 transcript:KJB84421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQR >KJB84420 pep supercontig:Graimondii2_0_v6:KB204616:4202:6078:1 gene:B456_N024400 transcript:KJB84420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRYQFCSSALY >KJB84422 pep supercontig:Graimondii2_0_v6:KB204616:260:2444:1 gene:B456_N024300 transcript:KJB84422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVLTMNYIFQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTARDYNIEGGSVLHLVLALRGGRL >KJB84423 pep supercontig:Graimondii2_0_v6:KB204617:3614:4207:1 gene:B456_N024500 transcript:KJB84423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNQLCLVLIIFLSIFSLSSLPTNAIIPKANVSLPVPSSQLVENLCNGKAVENRRFCLQALSTPKIIAAMDTTQLGTLIMKLGAANAKATLNVYNEIIKKPGSPQALKALNCCVEAYKYAILSFEMVSSELVEDPQTANYDVAVIGPEIANCEKELINAKVQAPRLLAGNRFMKYYVSMGYEITSTLELENPNEY >KJB84424 pep supercontig:Graimondii2_0_v6:KB204618:1294:3082:1 gene:B456_N024600 transcript:KJB84424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDNCLGAQRSRKIQRTLRHCKVTILCLVLTVVVLRGTLGAGKFGTPGQDFVEIRDHLYYRKQGEPHRVLKEVQTTSSDNHDGADTNAGTNNYNEFDINKILIDEEPDVPKRDPNAPYSLGPGISDWDEQRSRWLQENPNYPNFIGPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDSNFVMHGWNEMVYDQKNWIGLNTGSFLLRNGQWALDILDAWAPMGPKGKIREEAGKVLTRELKNRPVFEADDQSAMVYLLATQREKWGDKVYLENSYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYSVERCLKQMDRAFNFGDNQILQIYGFTHKSLASRRVKRVRNETSNPLEVKDELGLLHPAFKAVKVSSS >KJB84428 pep supercontig:Graimondii2_0_v6:KB204656:1950:2442:-1 gene:B456_N024800 transcript:KJB84428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNLRPRQVEIWFQNRRARKRLKQTEVDCEYLKRCCDNLTEESRRLQKEVQELRALKLSPQLYTHINPPTTLTMCPSCERVAVASSSSSSLAASSAPNSKHQRPVPASSWAALPLPVTHRPLNAPASRP >KJB84427 pep supercontig:Graimondii2_0_v6:KB204656:2597:3211:-1 gene:B456_N024900 transcript:KJB84427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKDDGLGLSLSLGCAQNQPSLKLNLMPLALPSMQNLQQRNIWNELFQSSDGQLETRSVAGGIDVNKAPALAECEEDGVSSPNSTVSSIGGKRNGRDPVCDETEAETPSCSRSSDDEDGGGAGDDASRKKLRLSKEQ >KJB84426 pep supercontig:Graimondii2_0_v6:KB204656:11:288:-1 gene:B456_N0247002 transcript:KJB84426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPKSKMIESLKERNPSQSFPLPSPLKRRKPVIASHSRQYSDGSLLGTRSRKCFEDEWEEFCDSKKDDGSSTNKERVSSYPLKFDAKPIAPSK >KJB84425 pep supercontig:Graimondii2_0_v6:KB204656:528:1355:-1 gene:B456_N0247001 transcript:KJB84425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDPPFTRANNIHRNNDSNNYALFLGKLLFFAFLLIAIPLFPSQPPDFINQTVLNKFWELLHLMFIGIAVSYGLFGRRYIDNGNLDDSHSHASGMFHVSSIFEDGFDHSMHCSGQGKAGCCNVKNSSFDSPYEENVVQAWSSKYVQVEPTVVLAQPHCGVEKYGELISFRDDKPLGLPVRSLKSRVLSPEFGNGSSDSSGPSAMDSSDGSDKSENGSFSDLTRENLEGKSNKSGALGSPKPWRWRSGRMRQRFDG >KJB84429 pep supercontig:Graimondii2_0_v6:KB204667:83:1674:1 gene:B456_N025000 transcript:KJB84429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEIGIVDKEFGEKGTCFRFTVFLRACETQGDAMYGGTNSSFAIRNSSPKLGIRTPSPKLDGSQVVLFMQNIERRRVAQKFLESLGISVLVVDQCHQFPSAMKKIQPKLNSLHHSSRRSDMSGRSDISSSSSKDMPLSAMEGAEHKLPFNRRKDTPSFILLVIDVNAESFSELWRIVAEFRRGLHSTCCKVIWLDKPTSPCINPKRLDPDDEILLQPFHGSRLHRVIKLLPEFGNLSQGISSSSESSKHPYNKTRLRSTQRNDEIQEYVSSSDERYSKQGPSSPTLVHTRGRLKSKRKNEDSAESSNGEMPLFGKRILIAEDNKMLSILAITTATQLGADVEHCENGNEALERVCNGLKAQRNYDYVLMDCEMSPMNGYEATRRIRIEEERYGVRIPIIALTAHTSGTEALEAGMDAHLNKPLVANELMKVIESLQTKK >KJB84430 pep supercontig:Graimondii2_0_v6:KB204681:4570:5573:1 gene:B456_N025100 transcript:KJB84430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISRKMTLAILALALFSYCLQATLEEIICEHLDQDTCAYAVASTGKRCVLEKHVKRSGEEEYTCRTSKIEADKINNWIETDQCVKSCGLDRKSFDISSNSLIESRFTEMLCSPQCYNGCPNVIDLYFNLAAGEGIFLLKLCEAQKGNICRGMSEIRSSGMVAPGPVGGVKFWGVAPAMAPY >KJB84433 pep supercontig:Graimondii2_0_v6:KB204686:615:1579:-1 gene:B456_N025200 transcript:KJB84433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMIILHLILESSHKLYHLVSFHQLNSFPNTCTVCGGALQNLRWRVNSGVFTVDRCNIQRTWAARFIQAAWRRYSKRKIMELHRKEEEEEEEAEGSDGYRSNSGGGSYSLGASFLASKFAANALRGIHRNQNAKSAKKLVKLQKPPEPDFAAEDADRYVTANF >KJB84431 pep supercontig:Graimondii2_0_v6:KB204686:5632:5880:-1 gene:B456_N0253001 transcript:KJB84431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTYLDLSSNNLSGYIPFEIGKLRSISELYLESNILTGSIPPSIGNLTDLSFLYLHKNKLSGSIPQQIGMLKSLYKLALSDNNL >KJB84432 pep supercontig:Graimondii2_0_v6:KB204686:2805:4956:-1 gene:B456_N0253002 transcript:KJB84432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NCTSLHRVRLEHNQLIGNVSEDFGIYPNLDYLDLSGNKLIGELSSKWGQCHNLTNLRISNNNLSGELPSELGKATQLRVCDLSLNHLTGGIPKALGELKLLFNLMLNDNHLSGSIPPEMGMLSSLVHLNLAANNLNGSIPIWLRQCENLLELNLSVNRFGGGIPSEVGSLSFLQILDLSQNFLIGKIPKAVGNLKSLEKLNLSHNKLFGFFPSTFDDMLSLTSVDVSDNRLEGHLPDNKAFREASFEAFRNNKGLCGNITGLEVCSSKLSSNVDRKKNSKIVIATVAPILFTLLLVFVVFWILSSSKRRERNTENTPRVVASDSLFEICNYDDKMYENIVEATEEFDSKYCIGVGGYGTVYRAELSDGQIVAVKKLHPLPEGGVGDQKAFNSEIWALTEIRHRNILKLHGFCSHPQHLILVYEFLEGGSLEKILRIDEQAMEFDWIKRVNVVKGMANAVAYMHHDCSPPMVHRDISSKNILLDSEYEAHVADFGAARLLKPDSSNWTSFKGTFGYTAPELAYTMQVNEKCDVFSFGVVTLETLMGRHPGDIISFLSSSVSSLTPSCSSSAPFNQLLLKALLDQRLPSPREQIAAEVVSVVKLASLCLHATPQSRPSMEQVSQELSTQNPPLVKQFHTITIGQLFDSSCYTS >KJB84435 pep supercontig:Graimondii2_0_v6:KB204694:3575:3869:1 gene:B456_N0254002 transcript:KJB84435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASVTAPLRPSLSTGHRLKSRIECSSRCGLVRIPARIRPWELGLQSQPSVGRSRKQSSSIACTATALV >KJB84434 pep supercontig:Graimondii2_0_v6:KB204694:4782:4978:1 gene:B456_N0254001 transcript:KJB84434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEKSPQLDDGDSGFPPRDDDGGGGGGGGGGGNWSGGFFLFGFLAFLGFLKDKESEEDYRDSRRR >KJB84436 pep supercontig:Graimondii2_0_v6:KB204697:920:1546:1 gene:B456_N025500 transcript:KJB84436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISRKSLLMFLNLAFMVMFVTAGNVRQLLPPSPFAPTPGIAPFQLGEVQNCWSSLTKIQGCMTAISDSFFYGQIGAIGSACCKAITRISDDCWSKMFPFNPFFPPFLRIFCSSPTPHARPILNGISELLPPLWSRSEVEKCWSSLSNVNGCIMEIFRSLSGGTMPSPTCCNAIIKLNDDCWPKLFPFNPLFPPLLKNYCGGTAATPK >KJB84438 pep supercontig:Graimondii2_0_v6:KB204745:3239:4367:-1 gene:B456_N0257002 transcript:KJB84438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CYICLLEYEEGDSMRIFACNHEFHRTCIDKWLKEVHREDFERTGISTLVTVGVRDIQGEGFLDQFSGLADSVFLDLPQPWLAIPSA >KJB84439 pep supercontig:Graimondii2_0_v6:KB204745:3055:4367:1 gene:B456_N025600 transcript:KJB84439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQILSISLLQHALACHSKGCWTNKLYLMPASSFGSSIFVVSPYNTKNSFVQNFQRGILMSLSSLI >KJB84437 pep supercontig:Graimondii2_0_v6:KB204745:4778:4857:-1 gene:B456_N0257001 transcript:KJB84437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RQVDVQTLEGQMETEVMVACSIDGSF >KJB84440 pep supercontig:Graimondii2_0_v6:KB204752:445:1553:-1 gene:B456_N025800 transcript:KJB84440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVEDRISNFPDHIRCYILSFLPIQEAVRASIISTKWRNLFASISTVDFDTYLLCGLTDRNVDSFKNFVDRLLKFPDQLSLDCFRIRGDEIASWNDGDHDFDVSGWICGAVCRGVKEIDLYLDNFCHTLPALLFTCHSLRTLTLVAKDSKIFEVPSEVCLRNLKTLCITNSVLIGDSLNRLISNCHVLEDLTFDECSVAYAREIIIQIPSLKSLYLDFFFPIGALNYVVVINAPNLVYFRYDSVVVKGYSLSNMKSLEKAEICIWFGSSNYETSAIHLFQGICNVRSLRLTIHEVIPLTSRFPILHNLIEFEFLGKEIWLVEFLHCAPNLKTLTVLLQV >KJB84441 pep supercontig:Graimondii2_0_v6:KB204764:3174:4703:1 gene:B456_N026000 transcript:KJB84441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLMHALQYNSYGGGAAALKHVEVPIPTPNKGEILLKLEAISLNPADWKIQKGMLRPLLPRKFPFIPASDVAGEVVKVGPGVTNYKV >KJB84443 pep supercontig:Graimondii2_0_v6:KB204764:76:1433:1 gene:B456_N025900 transcript:KJB84443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTAHRAVTHGAGVKLDGSGQQLNLLITAASGGVGHYAVQLAKLGNTHVTATCGARNLDFVKSLGADEVLDYKTPDGAALKSPSGRKYDVVIHCASGIPWSTFEPNLSSNGKVIDITPGLSAFLTCAMKKLTFSKKKLVPLFMSPKKENLDYLVNLLKDGKLKTVIDSTYPLSKAEEAWAKSMDGHATGKIIVEP >KJB84442 pep supercontig:Graimondii2_0_v6:KB204764:1:1437:1 gene:B456_N025900 transcript:KJB84442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTAHRAVTHGAGVKLDGSGQQLNLLITAASGGVGHYAVQLAKLGNTHVTATCGARNLDFVKSLGADEVLDYKTPDGAALKSPSGRKYDVVIHCASGIPWSTFEPNLSSNGKVIDITPGLSAFLTCAMKKLTFSKKKLVPLFMSPKKENLDYLVNLLKDGKLKTVIDSTYPLSKAEEAWAKSMDGHATGKIIVEP >KJB84444 pep supercontig:Graimondii2_0_v6:KB204766:23:2186:1 gene:B456_N026100 transcript:KJB84444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLTRLRILDLSRNHLSGPIPFDFPSLLNLEQLNISFNMFGGPIPSTISNLTRLTILDIFTNYLSGDIPSDMFERLSKLQVLDLVSAWIPTSIPMSLFKCKELQIMNLVDCGFEGILPKEIGNLTMLRSLDLTYNEIEAFANNIIICKMQQSPTEKCPSTKYKSPKSHIDNIITSYHQTRKTPLCYSAL >KJB84445 pep supercontig:Graimondii2_0_v6:KB204769:4501:5702:-1 gene:B456_N0262001 transcript:KJB84445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKKLSSLFPLLSLICFISFFLLLSISRKASISSSTTHPQSLRFKPIDANLTTRSDPSATGDSSCDYSDGSWVYDPNAGVDRYDSSCKEIFKGWNCILNNKSNGRDIIKWRWKPRNCDLPPFDPLQFLPTYRDTNIGFIGDSLNRNMFVSFFCTLKRVSNDVKKWRPAGADRGFTFLHYNLTIAYHRTNLLARYGRWSANGNGDQLEALGYKEGYRVDVDVPESTWEKAPSFHDILIFNTGH >KJB84447 pep supercontig:Graimondii2_0_v6:KB204769:5997:6716:1 gene:B456_N026300 transcript:KJB84447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLNQTRSSNTTTKATRGRQKIQIKKLENESSRQVTFSKRRNGLFKKASELCILCGCNIGIIVFSPKGKPFCFGHPDIDMILERYLSKNPNHADGLMMSGDDIAPCLEEFNEESRETLEKLEEEKKRSKEIQKEKEERKMKGLFWWDDPIDNNMGIEELEAYAKALEELRNNVANRASTLMGDVFAMSTAVTVANPDGLGNGFAVQNSGFAVGDTGGYGDFNLGVEGFNFGHGHDLDY >KJB84446 pep supercontig:Graimondii2_0_v6:KB204769:2498:2866:-1 gene:B456_N0262002 transcript:KJB84446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WWAPSKFDPVKSPLLFFEKGLPVIPPIPPDLGLDKVLNHVIRFVEKTMRPDAIKLFRTQSPRHFEGGDWDQGGSCQRLQPLLPEQVSIFHLAKK >KJB84448 pep supercontig:Graimondii2_0_v6:KB204783:4027:4404:-1 gene:B456_N026400 transcript:KJB84448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIHMLAPMEEVVGYKFIKADSFPRLHAWVKHFSEHPVIKDNVPDYTKVVDFLKIRREFYRNSQQNS >KJB84449 pep supercontig:Graimondii2_0_v6:KB204793:3669:4403:1 gene:B456_N026500 transcript:KJB84449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVYDPLHHLTSKKLCFKDLEIPPRKKQLHCCHNAASMELPHHEARLHKYLPSNEDDDGTDDPYGTDHFRMYEFKVRRCTRSRSHDWTDCPFAHPGEKARRRDPIRYQYSSTICSDYRRGGGCPRGDDCEFAHGVFECWLHPTRYRTEACKDGKNCKRKVCFFAHSSRELRLLPESPPPLKYKNLDNNYNHCCLFCRSVTSSSSLSPTSTLLGLSHFSRSPSLSPPLSPLGHQQRTPRYGGDRI >KJB84450 pep supercontig:Graimondii2_0_v6:KB204804:1289:4289:1 gene:B456_N026600 transcript:KJB84450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFPYSVSPLSFANLYPQTLLAMDVRRLAAVIKPIYIYTPITRPLTCATSSSPIPSPAAPQIDPQRQPPSPLPVNQSLLDTLSSILSKPSLDSSKSKQLLPLLSPSDFDRFFIALSPRADPKTTLNFFHLASRSFNFRFTLRSYCILILLLLLSNNSSAARLLLIRLIDGKLPLFSPNNPPTVNHIQIAIALADLNTSFKGVAGVDLLLHLYCTQFKNVGFTYAIDVFFTLAYKGIFPSTKTCNFFLNSLLKANEVRKTYQVFETLSRFVSLDVYLCTTMINGFCKGGRIQDAMALFSRMENLGISPNVVTYNNIIHGLCKSGRLDEAFQIKQNMTKQGVDPSLITYSVLINGLIKLDKFEEANSVLKEMSDKGFAPNEFVYNTLIAGYCKMENIDEALRIKHQMLSNGMKPNSVTFNLLMHGFCRVGQMEHAEHLLGEMLSRGLFINIGTFSSVIRWLCLQSRFDSALHFTKEMLLRNLRPNDGLMTMLVGGLCKERKHYEAIELWYRLFEKGFPANIITSNALIHGLCEAGKVQEVIRLLKEMLQRGLIFDRVSYNTLILGCCKEGKVEEAFRLKEEMFKRGIQPDIYTYNLLILGISNMGKMEDAVKVWDESKSHGLISNVYTYAILIDGFCNVDQIEEGQNLLDEVVTTKLELNAVIYNTLIRAYCKKGNMKMAFQLCDDMKSKGILPTIGTYSSLIHGMCNIGLPDDAKRLLVEMRGLGLLPNVVCYTALIGGYSKLGQMDTVASLIQEMSSYDIQPNKVTYTIMINGYCKLGKLNEAAKLLTEMIKRGIAPDAVTYNAFMNGICKEGRVNDAFRVCDNMNSEGLALDDITYTTLIHECP >KJB84451 pep supercontig:Graimondii2_0_v6:KB204813:3282:4108:-1 gene:B456_N026700 transcript:KJB84451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQMKPKPKIKAPAPTINQTTRLVLCRAAGEGETTKVFGPSAPTGGGTREPTVLGPSAVTEEAFGVTVTEALTDLYAIGADCTYIRGNKAKPMKQKVSENLVDSILCTKYMKMDYKRKQNEVCL >KJB84452 pep supercontig:Graimondii2_0_v6:KB204826:321:2376:-1 gene:B456_N026800 transcript:KJB84452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSYGGEPSHTAHHPTNSQDNERQRNDFAPPHHPLPHQPGYGAAPPHQLGYVAAPMHQAGYGATLQHQPGYGAAPQHQPGYGTASLHHLGYGAPPSHQAGYGVAPSHQAEFGAVPSHQAGYGAAPLHQPGYVVTPSHQAGYGAALSHHSGYGVAPLHQLGYRAAQHQPGYGAAPMYQPGYGGNYLPPEYGSYNYITTPGHVQPCGHGTSEGRFDHHGHNRPYSPSMTHHSSYPGSASNPSMKPTVKIFCKSDPNLNLSVRDNKVVLAKANPSDEHQVQLEPFKPYEFDKSLMWSEGKVVDNEGYRAIRMANDIHLNMDAYLGDRPQVQDCNEIGLWEWNSGNNQIWKISPHSPGHEKQGCHASSEGRLEHHGHDGPYSSSDTHHSSHFDSASSLSKKPAVKIFCKSDPNLNLSVRDNKVVLAKANPSDEHQVCNWKVYQQNSF >KJB84453 pep supercontig:Graimondii2_0_v6:KB204827:8:4090:-1 gene:B456_N026900 transcript:KJB84453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HSQLREVNLVRLPKLKHVWTKYRKRNVSFGNLRYVIICECWSLKTLFPFSIAKDLQQLERLTIDRCGLEEIVAKSVEESDEQDIFFAFNQLSFLKLWCLPYLTCFYQGIHRTTWPALKQLTISGCGRIKIFRHEESQIRHSLFLVEKVIPQLEEVSFSHGDIAMISDGKFEADLFCNIKFLRIYCDFGVSVFPISFLSRFCNLESLALSFCYFKELASFKSDAFEDKDMIITTPKFKKLLLDGINNIRDLWKQDSLLDHICASLECLEVLQCGNLINLGLDLSSFENLTTLDVWKCNKMSELITSFKAKSLVCLVTMRIRECEMMRVVVASGGDDTSYEIIFIALKRLELHFLSSLTSFCSGNYTLRFPSLEQVTLSQCPRMKNFYQGALSTPKLHKVQLTETDFKGSWVGGLNATVEQLYKEQVGYRGLKHLKLSEFPELVDIWSKNPQEMFGFYNS >KJB84454 pep supercontig:Graimondii2_0_v6:KB204829:2464:3057:1 gene:B456_N027000 transcript:KJB84454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRNLNSDQFALLEFKDLIAGPQNVLANNWTASTSVCNWIGVSCGILHKRVIALNLISMNLRGTIPPHLGNLSFLLSLDLSSNHFYGHLPKELGQLHRLRILRLSYNSLNGEIPSWLWNIHRVRRLEMKNNNFTGTIPETLANMPNLEILNLGANQLSGQVPSSIFKISSLKAISLCLMICVNIFPSLKGFTKKYA >KJB84455 pep supercontig:Graimondii2_0_v6:KB204837:855:2410:1 gene:B456_N027100 transcript:KJB84455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFVGRVRDWARTHSGDPEIEAAIQRGEDPGLALVTKAYNYIHKYGHKSKLMAAAVRNKQDIFSLLGVDYIIAPLKLLQSLKESITSPDEKYSYDRRLSPQSAARYDFTAEELTKWDQLSLASAMGPASVELLAAGLDGYVNQAKRVEELLDKIWPPPNV >KJB84456 pep supercontig:Graimondii2_0_v6:KB204837:3398:4274:1 gene:B456_N027200 transcript:KJB84456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTEGKVNLKLLVNVKCQRVLFAEANKDFIDFLFNIMALPIGTIVRLLNKEGMVGSLSKLYKSIEELSHVYMQQPFQTKEALLNPEVLAHSGVANLPRLLPDIESSNNSIDKGFYSHQAKLVKSNQKTYSNSLSSSSSSSNEEGERGYVKGVVTYMVIDDLMEKPMSTISSLALLNSFNVKDIEALQEKTIKIGMDEAVKLLKALLLSKTVLTEIFYGEKAHYV >KJB84457 pep supercontig:Graimondii2_0_v6:KB204848:1:1714:-1 gene:B456_N027300 transcript:KJB84457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSLNSFSNLKGQSRCPLQEQLLFRKNSKENMDRFIPNRSAMDFDYAHYMLTDGRKIKENQTVCSPAREAYRKQLAETLNMNRTRILAFKNKPPAPVELFPSEHSTTSVHPTKSAKPRRHIPQSSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGNTVYLWDASDSSTSELVTVDDENGPVTSVSWAPDGRHIAIGLNNSEVQLWDSASNRQLRTLRECHRSRVGSMAWNNHILTTGGMDGQIVNNDVRIRSHVVETYRGHQQEVCGLKWSASGQQLASGGNDNVVHIWDRSMASSNSPTQWLHRLEEHTSAVKALTWCP >KJB84458 pep supercontig:Graimondii2_0_v6:KB204877:1781:2957:-1 gene:B456_N027400 transcript:KJB84458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGMGKGMVWINGRSIGRYWNNYLSPLKKPTQSEYHIPRAYLKPKNLIVLLEEEEGNPKDVHIVTVNRDTICSAVSEIHPPSPRLFETKNGTLQPKVNDLKPRAELICPGKKQIVAVEFASYGDPFGACGAYFIGNCTAPESKQVVEKYCLGKPSCQIPLDSIHFSDKNAACTHLRKTLAVQVKCA >KJB84460 pep supercontig:Graimondii2_0_v6:KB204890:1126:1561:-1 gene:B456_N0275002 transcript:KJB84460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYSPLGSQEGGRDLIHDETVDRIAKKLNKTPGQVLVKWAIQRGTSVIPKSNNPDRIKENMKVFGWELPQEDFQALCNIPDQKRVLHGEQLFVNKNAGPLRSVADVWDHED >KJB84459 pep supercontig:Graimondii2_0_v6:KB204890:1812:2161:-1 gene:B456_N0275001 transcript:KJB84459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KAARHAGVERRDLFITSKLWCTELSPERVRPALLNTLQELQLEYLDLYLIHWPFRLADGASRPPKPGDVQEMDMEGVWREMEGLVKDKLVRDIG >KJB84461 pep supercontig:Graimondii2_0_v6:KB204910:1193:2591:-1 gene:B456_N027600 transcript:KJB84461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFGLLLLPILLLLLYFIVRPRPINIPIKNRHVFITGGSMGIGLAIAKQAASEGARISLLARSVDRLQKAKESICQAYEVEVSIFSADVRDYDAVERAIKEAGPIDVLVVNHGVYYLEEFETQGLDVMKTMIDVNLMGSFNVIKAALPLMKDRKDKGPASIALMSSMAGQAGIYGFAAYSATKFGLRGLAEALQQELLVNNIHLSIIFPPVTETSARERMPEITKIILESSPGMKAEEVGKITIQGIKSGSFSIPCRLDGRAVAIATAGLSPQTSFLMASIEVVFAGLFRFVALLLQWRGYEIIEKYNYSHKKQQVE >KJB84462 pep supercontig:Graimondii2_0_v6:KB204922:388:969:1 gene:B456_N027700 transcript:KJB84462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVKASTALVLSLNLLFFAFVSSHNVENPVFIHPGDVYHNGRITHGHPGTCNPLNLGVCLGLLDLVGVSVGNVPTEPCCSVIQGIGRS >KJB84463 pep supercontig:Graimondii2_0_v6:KB204924:2326:3080:-1 gene:B456_N027900 transcript:KJB84463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTLAKPLAGGLPIGATLVTERVASAIAHGDHGSTFAGSPFVCSAAISVFNKIANPSFLSSVSKKGDYMKELLNQKLGGNPHVKEIRGWGLMIGIELDVTASRLVDACRNSGLLVLTAGKGNVVRLVPPLIISEEELKHAAEILHECLPALDNSN >KJB84464 pep supercontig:Graimondii2_0_v6:KB204924:140:471:1 gene:B456_N027800 transcript:KJB84464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRIHSNPSCTIKKLSPFRIIQFTALPTGKHHRSSCIRSNNKLLCFLYHFIASIRLQFQWIRCFHVHV >KJB84466 pep supercontig:Graimondii2_0_v6:KB204933:4046:4481:-1 gene:B456_N0280002 transcript:KJB84466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CKVCNFNVHDQCATLLPQTTWHKSDRHCLILTYHEDNDYSQYHYCDVCEKERSPNTWFYHCPICDNSAHLSCILRGEPFLKRGIKIKESDHPHTLMIVEKVYDYPECHKCGHRCMDLALECLDAECKYIIHWKCSTTFQYFRSA >KJB84465 pep supercontig:Graimondii2_0_v6:KB204933:4916:5316:-1 gene:B456_N0280001 transcript:KJB84465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKKCISLPRFIKSLWHDHPISHKYFVVDNECTTHDCPICHEEVNMACGSYNCSKCKFIIHVNCALEDARCYYKISKDDLDNLLNLMLEVGTMNPSFSVRNMIKVGEKMINVEIEHFSHQHSLVLSDEVNER >KJB84467 pep supercontig:Graimondii2_0_v6:KB204938:856:1347:1 gene:B456_N028100 transcript:KJB84467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPLKKKLRSQWPNLKFGGSNINFWFHEWMEHGTCSDFAQHPLSYFQSAIQLRTNLNSAMGLTPGSTYTVRQAVNAVFQLIHAYPQISCNRNRTNNRQLLLSEMYICYERPTAPHLLGTLKNCSHLYHGQ >KJB84468 pep supercontig:Graimondii2_0_v6:KB204971:1484:1831:-1 gene:B456_N028200 transcript:KJB84468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGYHNRSNSFPSRAHPLASKVDEHLSRLASSESASTSSSLNQKLGRLHDLHDCTEKLLLLPLTQQILSHEQQGEYVEELLNGSLGLLDVFTTAKDVVLQVKERTVELQSILC